>Et_10B_003056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1615916:1620180:-1 gene:Et_10B_003056 transcript:Et_10B_003056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMPIPSDDMGYQSTETVKLKGSAIASGHHTYTAMKKALVLVLLIFPVTVVSATSSGLAISLPGCPDKCGNVSIPYPFGVGARCAATSLNPYFAVICNNSFQPPRPMIGDPSLAVEVIDISLEHGEIRVYGGVSYNCFTSNTTLSDNGTAGFSLEGTPFIPSSTRNRFTVIGCNTLGIIGGSMSSDLYIAGCYSYCQGINSTSDGAPCAGTGCCETTISPNITDFEALLFNQSSVWKFNPCFYAMLVEVGWYSFRKQDLVGRLGFIKKRAARGVPVVGDWAIRNGSCPEDGAKVPKDYACVSSNSYCVSASNGPGYICNCSKGYEGNPYLPKGCQDIDECKLRKQDSKYKELYPCENGICRNTAGGYICKCRMGTRQDGRNSGCRPVLSRPEQVVIGLSTSAVVLMSLACLLAMKLQRRKHMKEKGEYFKQNGGLRLFDEMRSRQVDTIRILTEKEIKKATDNYSEDRVLGCGGHGMVYRGTLNDNKEVAIKKSKVKDDDSREEFVNEIIILSQINHRHIVKLLGCCLEVDIPMLVYEFISNGTLFELLHDTNQKSPIPLDLRLKVATQSAEALAYIHSSTSRTILHGDVKSLNILLDNEYNAKVSDFGASALKSMDKNDFIMLIQGTLGYLDPESIVSHRLTDKSDVYSFGVVLLELMTRKKAIYIDTANEKKALSHTFILMVHQDKLLDILDSEITDDEVMVVLQKLAELVMHCLSPKGDERPTMKEVAERLQILRRLQMQLVTKMNPTQAHYSYGGPSVPSPSDDMGYQSTETAKL >Et_1A_006188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1914471:1918309:1 gene:Et_1A_006188 transcript:Et_1A_006188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLVSRIFRGSAEPPGPSPLKQTIPIHQKQAALAASKHGVAISKKHRAFVAGDERWYGRIFDPSSDFILTWNRVFLFSCFVALFIDPFYFYVPKIIYGNPNSCVGTDRRLAIGVTFLRSIADLLYVLHIIIKFRTAYINPSATMRVFGRGDLVTDPKEIAWKYLKSDLAVDVAAALPLPQIIVWFVIPAIKFSTAEHNNNILVLIVLAQYLPRLYLIFPLTHEIVKATGVVAKTAWEGAAYNLVLYLIASHVLGALWYLLSVDRQTACWKKTCRNETGCDIRFLDCDATQNLNWATKTAVFSDCNANNDSISFDFGMFLPALMNQAPAQSFAMKYFYSLWWGLQNLSCYGQTLEVSTYIGETLYCIFLAVLGLILFAHLIGNVQTYLQSITVRVEEWRLKQRDTEEWMRHRQLPHELRERVRRFIQYKWLATRGVNEESILQALPADLRRDIKRHLCLGLVRRVPFFSQMDNQLLDAICERLVSALCTKGTYIVREGDPVTEMLFIIRGKLESSTTNGGRTGFFNSITLKPGDFCGEELLGWALVPKPTTNLPSSTRTVKALIEVEAFALQAEDLKFVASQFRRLHSKKLQHTFRYYSHHWRTWASCFIQAAWRRYKRRKMAKDLSMRESFNSVRSDETRKRPQNIKELPKLKKPDEPDFSAEPDE >Et_7A_052033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3887781:3890556:-1 gene:Et_7A_052033 transcript:Et_7A_052033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTNVSEYEKLAKERLPKMVYDYYASGAEDQWTLKENREAFSRILFRPRILIDVSRIDMTANVLGFNISMPIMIAPSAMQKMAHPEGELATARAAASAGTIMTLSSWSTSSVEEVNSVGPGIRFFQLYVYKDRNIVRQLVKRAEMAGYKAIALTVDTPRLGRREADIKNRFTLPPHLVLKNFEDLDLGTMDKTNDSGLASYVAGQVDRTLSWKDVKWLQTITSLPILVKGVVTAEDTRLAIEYGAAGIIVSNHGARQLDYVPATISCLEEVVREARGRLPVFLDGGVRRGTDVFKALALGASGVFIGRPVLFSLAVDGEAGVRKVLQMLRDELELTMALSGCTSLREITRSHIVTDSDRIPLSRL >Et_4A_035154.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:1059568:1060422:-1 gene:Et_4A_035154 transcript:Et_4A_035154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSASPPIRSGGGEAAEEAVVEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSAANEKGLLLSFEDRTGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFGRGVGDHARGRLFIDWRRRPDPPAPYYRLPPSTIPFAPWSYPHARTAVLHHLPPSSPSALYDYDSHHHRRHVAYDGYGPSTRQLLFYRPHHQQATVVLESVPVRMPVATPAGHAEPPSVASSGSKRVRLFGVNLDCACAEEENGDRRPSPPSSSSTSSSGKLAGCSLNLDL >Et_6A_046465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15568927:15571159:-1 gene:Et_6A_046465 transcript:Et_6A_046465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCQVAGADGPFPSSIANFELIGQQKPHAAAAPPIPPLLLDPGRARRHSARASFYAGRPTSRRRPRRRIRAPPYGLRPPERSAGAAGGGDSSRTSPPRPAARRKASLLRVARVYFGSPTEAPAARLRHGSEDSSRSFPACPLVLGHLLATKALITMSAPTAFSNPLPKWIGKKCAESLFFVMVEAKGETAVESIRSIVQELELLPEEEIDKTCERSSNCTGFVVEEKDGIIKILTSAHCIDHVFTARNPIPAEKVQSLFSVSIICDHYESGFRESKSRRKRRFYCPARGAEIDCVKDLLLLQVDRSNILDFSGKTCQSPHPPLLPSKSPPSQFETAAMVSWPDKRPRTSPTGEISHVCRSYDDASDTNPNGYGMTLVEVDIAGQKGISGAPLIDGTGGYIAMLHAGGKDCFSGFVSQADVLECLNRSDIQCKYRILTYILL >Et_3B_030074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30224039:30225695:-1 gene:Et_3B_030074 transcript:Et_3B_030074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PCRPLEIPAVQKTSPPSSQIPQSTAESRREAQPVRSERKKMVRVATYFAMTFGAFLFWQSMDKVHVWIALHQDEKKERMERELEIKRMQAELMAQAKESES >Et_3B_027816.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20695529:20695690:1 gene:Et_3B_027816 transcript:Et_3B_027816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDGFMDGSEMFLKAAVLCQHRPTRVQFLDLKDRDARIEFINFVWSNRDARI >Et_9B_065545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6504602:6505383:-1 gene:Et_9B_065545 transcript:Et_9B_065545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVIVSMEHNLWEGVCPQIREGVGVLDRYLSSEVFESGGKVDGAAGTNELGIAALLELVADTANGKHKVGLDRVKHHLLSGAAGLAPSRSLLQLAASSNARGIHECYFVVQ >Et_4A_032236.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25571167:25571478:-1 gene:Et_4A_032236 transcript:Et_4A_032236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMEKEGGVTKAVAAPEEAVLNAPEKEPAGKCYKKTVGEEATFLETAKDYLTQFKDRPAKMHWICFMNRVRAYGEYVSQKSSSVTSLAPRPRPLCSGLAPC >Et_7B_055088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7096199:7097598:-1 gene:Et_7B_055088 transcript:Et_7B_055088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLCDECAAEAATVFCSNDEAALCDACDRRVHRANKLAGKHQRFFLLHPTPSSPSSSSSSAQKPPLCDICQDRRGLFFCKEDRAIFCRECDVSTHTANELTRRHSRFLLTGVRVSSEPVDFAAVPEKEVVEVEPENSSSSARNANSSSGANAAGAATASASDGSSISEYLTKTLPGWHVEDFLVDDADAGVAACSAGLDQVSKHPAAPSFSSTMFANAIGGKVQIGGLLQEAFPAWAGSEQVLSNVVVTADERASRERCVPQMQMHPEWTGSKRPRASAP >Et_5B_044028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19084418:19086324:-1 gene:Et_5B_044028 transcript:Et_5B_044028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENATHSVEVVKVEYQREIIGLEGSRYAKGLRDMRKLAVCTYPQHCRPIEVEPPGAIRGLGSEKGRWPSRTCSSGNKQKVSQIGASVWDYLDVQKQDGLRRPTRFREVECSGQQKIFYLIGLGGAITMEFIVIAS >Et_3A_023339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21510613:21511740:-1 gene:Et_3A_023339 transcript:Et_3A_023339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALVSPANVDRLRSALELKPFAFGDQRLASPRYFVGGGDDALFRCSSPFSPSFGFSSPSPLATSVSLSPSSSASLADDVDDAAAAAAAADVTAHRLQLARLALQYQEVADRYELCLARLADAADEAAALRRENAELRVANGDLARQLALLCGKQATAAAIAEEIRRLRHGEQKAATERAPAEKLAVLPKSISVRSKDYLKMNQLPTKAPASPVATNNRKPRAPNPTINNQSVARIFLDTTQQRLYVDGGTKGIEEAKEQRAAGGVELEVYNQGMFKTELCNKWEETGACPYGDQCQFAHGVAELRPVIRHPRYKTQVCRMVLAGEPCPYGHRCHFRHTLTAAERLLLPRS >Et_1B_012790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35144592:35146933:1 gene:Et_1B_012790 transcript:Et_1B_012790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGAGGAGDPVDDFLLADDGDLAIFCDNVPTRTADAGLGIEDDSGDAYGLEHSILGKRGRDESSSGPKSKACREKLRRDRLNDRFLELSSVINPGKQAKLDKANILSDAARMLGQLRDEAEKLKESNEKLRETIKDLKAEKNELRDEKVSLKAEKERLEQQVKAMSIAPTGFLPHLPHPTAFHPAAFTPFAPPHQAQANKSAPVPTAFPGMAMWQWMPPAVVDTTQDPKLWPPNA >Et_3B_029516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25648193:25648769:1 gene:Et_3B_029516 transcript:Et_3B_029516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDRRYGYSYPPPQGYYNNGPPVMAPPQYAGPPPRREPSFLEGCLAALCCCCLIDECCCDPSVIFVS >Et_10B_002562.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:16051038:16051178:-1 gene:Et_10B_002562 transcript:Et_10B_002562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTLPAASTSTLLSVSCKATLPSSAIRYGHTSSRTNSMFSDVRAN >Et_2A_018409.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27040759:27041112:1 gene:Et_2A_018409 transcript:Et_2A_018409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMGGATREILDIHYTIKFMESGGCRKEFLTAMRTCVSVKAASDGDEEAAKKKACVEKTAALRRCMAANKAFFKHYIRDMDEGIELDERRGYAEEYETDADGYVRWRWWRGMRRK >Et_2B_022849.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6791424:6792104:1 gene:Et_2B_022849 transcript:Et_2B_022849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSSPVLRHVAMLPFMAKGHAMPLIHLARLLLRRGLAAAVTFLATPRDAPFIRAGAEGAAVVELPFWPSCGGGGGPQSMEELAPGSSFLDVVDAAAAALPLAFADALARLEPRPDLLVHDGFLAWAADAADELGIPRLVSMGTGAFSSYVCVAVQMQKPHARLSSPTEPFELDGLPGLRLTKADLPPPFDEPEPAGRPALGLRVRGRKGHGVEPGHNPQLIP >Et_4A_032516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1183313:1187237:1 gene:Et_4A_032516 transcript:Et_4A_032516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHEACCGTMFWVYLMSCVGLVMFAGLMSGLTLGLMSLSLVDLEVLAKAGTPKDKLNAARILPVVKNQHLLLCTLLIGNSLAMEALPIFLDKLVPSVVAILISVTLILAFGEIMPQAICTRYGLSVGAMAAPVVRILLIVFFPVAYPISKLLDKLLGKGHFALMRRAELKTLVDMHGNEAGKGGELTHDETTIITGALELTQKIAKDAMTPISETFSLDINAKLDQHTMGMIMTKGHSRVPIYSGSPSNIIGLILVKNLITCRTEDEVPVRNVTIRKIPRVADDLPLYDILNEFQKGHSHMAVVVKRRKEAGASIENQKNATSDYKINLKDTHADGTSPSQVTIAGSRRYNTEKVGDGRPYNKKSGRKRVNILDFNTDPLPSYSMDEEAVGIITMEDVMEELLQEEIYDETDEYVDVHNKIRINMLPPGRSLSPVISPGAGPQSQGLRRTPMASPLSPYHQPSLVSNNAHQSPGTLPTTLSPGRSPAPQTPGHSSPNTSRAPRKPDEKHKQDIES >Et_8B_060277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8570341:8583428:-1 gene:Et_8B_060277 transcript:Et_8B_060277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPEDFRGQARLPHFASPLRYDLRLRPDLTACTFAGAAAIDVAVSAPTRFLVFNAAELSDLVPSEVVQFEEDEILVMGFDRELPIGEGVLKMNFTGTLNDQMRGFYRSKYECDGESRNMAVTQFEAADARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPAVEETVNGPLKTVYYEESPLMSTYLVAIVVGSFDYIESSTLQGTKVRVYAQVGKCNQGKFALDVGVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVESLFPEWNNWTQFLDETTSGLRLDALAESHPIEVEINHASEIDAIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEESGEPVKDLMTTWTKQQGYPVIYAKLNGHDLELEQAQFLSDGSSGPGLWIVPITSCSGSYDVQKKFLLKEKTGKLHVKEFTASLSANGEKDPNFWIKLNIDQTGFYRVKYDDELAAGLQNAIKSKKLSLMDKIGIVEDAYALSVARKQTVTSLLRLLNAYRDESDYTVLSHVCLSISKISVDATPELSKDIKQLLINLLLPTAKKLSWDRKAGESHLDVMLRSLLLIALVKLGHSETINEGVRRFHIFLGDRKTSLLPADTRKAAYLAVMRTVSTSNRAGYDALLKIYRETAEAQEKSRILGSLSSSPDKDIVFEALNFMLTDEVRNQDSFYILGGISLEGREVAWTWLKQNWDYVLKTWPSSSLISDFINSIVSRFTSEAKAAEVSEFFAGRIKPSFERALKQSLERVRISARWIESIQSETNLGHTVHELLQSGV >Et_9B_064630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17241886:17243026:1 gene:Et_9B_064630 transcript:Et_9B_064630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVGKQVQQMVRFILQEAEEKASEISVAAEEEFNIEKLQLVESEKKRVRQEYERKEKQVDVRRKIEYSTELNAARIEVLQAQDAAVSRVKESAGEALLRVTKDAAAYKKVLRGLIVQSLLRMREPSLLLRCREADRGMVEAVLETAKKEYAEKAKVNHPKVIIDGKVYLPPQKTAGDAHGPSCSGGVVLASHDGKIVCDNTLDARLDVSFRQKLPEIRKKLYSQQVS >Et_2A_016471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24987330:24989401:-1 gene:Et_2A_016471 transcript:Et_2A_016471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRVSTTDVFGVKNSDLVPGKYEGGLKLWEGSLDLVKTLNSEINDDKLRLEGKRVLELGCGHGLPGIFAGLKGAGLIHFQDFNAEVLKCLTIPNVKGNLFKEQSEGTCMSRSVGFFAGDWSEMDKLLLCGDAEHDNTTSRNTDKTSDGYDIILMAETVYALSSLPNLYRLIKKCLHYPGGVVYVAGKKYYFGVGGGTRQFLRLVEEDGVMQPELVNDVADGSSNVREVWKFSFK >Et_5B_044052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19478393:19481439:1 gene:Et_5B_044052 transcript:Et_5B_044052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRSSSAPPASMGIFVLAVVAVTAALVGADDPYRYFTWTVTYGPINPLGTNQQGILINGQFPGPRIDCVTNDNLIVNVINKLDEPFLITWNGIKQRKNSWQDGVAGTNCPIPPGANYTYKFQSKDQIGTFTYFPSLAMHRAAGGFGALNVYQRPAIPVPYPPPASDFTLLIGDWYKAGHKQLRQTLDTGGALPLPDGLLINGMQSANFVGDLGKTYLFRVSNVGLKTSINFRIQNHTLRLAEVEGTHPVQNVYDSLDVHVGQSVAVLVTLDKPPQDYAVVASTRFSPANLMAVGTLHYTGASARAPGPLPAGPPGQFDWSMNQARSFRWNLTASAARPNPQGSFHYGTIPTSRTLVFASSAPQIAGKRLCAVNSVSFVNPDTPLKLADNFNIANVIAWDSVPPRPDGGAPRQGTPVVRLNLHEFVEIVFQNTENELQSWHLDGYDFWVVGYGNGQWTENNRQTYNLVDAQARHTVQVYPNGWSAILVSLDNQGMWNLRSASWDRQYLGQQLYLRVWTAQQSFSNEYSIPTNAILCGRAVGLPH >Et_1B_014060.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:29208972:29210654:-1 gene:Et_1B_014060 transcript:Et_1B_014060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAKPAATHLLPVVLLAVAVAVASTATPLPHTEALLAWKASLGDPDALSTWTSATPGCAGWRGVAFCDAAGRVASLRLQRLGLSGELGILRFPGAAQARAQQQQPPGRHPRVRRHAAPRVAGPQHQLLRGRHPAATEQLSSVVVARKPQRQLPDERFPEFVHSNMSLMDLSLNSFSGPMPGALPLNLKYLDLSNNAFTGPMPAMLARLTKLRQLRVNANNLSGGVPEFLSSMTQPRVLELADNLLGGQLPPTLGGLWRLRHLDLKGAGLVSVLPPELGKLRKLRFIDLSMNRLTGALPASLARMRGMQEFGMSSNNFTGEIPGALLASWSKLISFQVQNNSLTSKIPSEIGKATKLKFLYLYLNNLTGPIPSEISELVSLEELDLSMNLLTGSIPNSFGNLKKLTSMVLHSNKLSGTIPQEIGNMSALQNLGIYLNQLEGELPTSISSLRNLKFLSLYDNKMTGIIPPDLGKGLTLVVASFANNSFYGELPQNLCDGLALQQFTAHNNNFSGRLPPCLKHCTELLRVRLEGNHFTVDISEAFGVHPNLVYLDVSGNELT >Et_1A_008098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4338835:4340483:-1 gene:Et_1A_008098 transcript:Et_1A_008098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGTREVVPYTNRAHFIILPRKLERKLGELWMEGHKKKMGSKVLPPLHPDSVRVRRIASEIVDAFDSHRRKVGGASTMPGASSRRGATAAAQLDDGEGLGAKPRTCSLFGGLEVILIKDDMIRATCVGGGKIIVHTLQFSTNSEKMRKLRLCLGMSRLELTLLQLCIAHAIAKHAAESITKFIMHFVTLEFIYRPPPERAIKKRSWQRRNEVEADYIGLLLVAAAGYDPRALPLVYEKLEKLAGEGRIPTKDDYHPTATERVQMLSQPDVMNKALELYRGVDHVPIPSREDCISLTHGHVTTSYLTVQAMVDLEIRAAWVLLTECAGLTGC >Et_7A_050928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12501661:12504315:-1 gene:Et_7A_050928 transcript:Et_7A_050928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGDAHEPLPSLTDLDDNGFPALPSSPAAGGSDGGGSSFAADFYRSGTDWSALRARPSLPPEAESGVKEKGGGSLRQKSLFQAPQGEAVGVADASPSPSHSGSWSARKRRRGGVEDSGEVKKPLTCPFYKKIPGTPFTVDAFRYGEVEGCSAYFLSHFHHDHYGGLTKKWCHGPIYCSALTARLVKMCLSVDSEYVCPLELDTEYIIEGVSVTLLEANHCPGAALIHFRLTDGRTYLHTGDFRASKSMQLHPLLQRGRVNLLYLDTTYCNPKYKFPPQEEVIDFVVRTANRYLQKQPKTLIVVGAYSIGKENVYLAISRALEVPIYTDASRRRILHSFGWSDLSKRICSCNESSPLHVLPIGSVNHEATAKELDLIKPKSNGRVTIYGVPYSEHSSFTELRDFVTFLRPQKVIPTVNVGNAASRDKMRACFREWLKAL >Et_1B_013380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7973262:7978337:-1 gene:Et_1B_013380 transcript:Et_1B_013380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRGAKGDGEALPPSLQPRGLPAVCSGGKGSSGGRPLMAGTTRVPSEPPRESDASDCFSMEPPRFDMERFDVAVKSMTNIEISKETAERVLNKLLILYDYNWEHIEADNYQTLADAIFDNSDSKEKKKKRAEKKNLYSDNCNKKLKVKEHGHKPRSNFYSNGNKKLSEVARQQGAEALDGKSIRTPSQKPSSQIFLKEPKKETNLRDDTTIGESSSALLLESQDNHYYETPLAIMSPQVLEPGQCKEAASQHLTTQKNKGVPVSHEGHMADARNTTNFEVALSNSGKGKLSFTFNSSLANRSNFHMPNIESICKAMEVRCLSTYKILEPGFSFMKLLDDTCQCIIDLGSGSSEARDKSIVRVLPGMDILSKPSVPQSLQSNQASSSCVPLNKNISRFGSSSVIQHRLPSSLKRQFHDVNDITKGEECVRIPIVTGADNGVPPPFHYIAQNITFQDAYINLSLARIGDENCCSGCFGDCLAAPLPCACASETGGEFAYTRDGLLKKEFLDACVSMLQEPHKHPHFYCKICPSEKIKIEQSFDSPKTKANPDPCKGHLIRKFIKECWKKCGCTRNCGNRVVFLTPGGKGWGLRTAEKLPPGAFVCEYAGEILTNIELHERIVQKTGKGKHTYPLNLDADWGTEGVLKDDEALSLDATFYGNVARFINHRCFDGNLMGIPVEIETPDHHYYHLAFFTKRQVEPLEELTWDYEIDFDDVDHPIKAFKCCCGSEYCRDKKRISIASFLVIQVIPSD >Et_9B_064291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13681925:13686025:1 gene:Et_9B_064291 transcript:Et_9B_064291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSKSASSSSSTTAADGGEVKRRNGGGKGRTARSLLPLPSSCFRGVAEPRERDASAAPPAAAAIESSKGGETANLPSLTHTEKSDEDTPAMSKSHSGEGTTSPSSDSERDRDDDVLQNPAATSTSAVGNQSPNLSDRSRPHFGVNFGLSRAVSLGSSVACSILSSGLSTSANPGEIRGNVDHSSDAGIAQQGGAFTAGIDSTLDVLRDSVTAQARAARQARRNLLESEDANLRNSYRRGSQEPFEGSVRFSRTLSVGRLRDRVLRRTPFSDGLFTPSLLYDRAVWPSGNASARQDSAVMQRTNSDRSSELRSDSNSETLREASNRDLLERRSAFLERRRRIRSQVRALQRLGSRFENFSGHERSCILSGQHRTGNCNCRTSSRPGNPDEETSTRASISRIVMLAEALFEVLDEIHQQSAALSRPSFSSIGSVPAPREIVECLPVKVYRKPMKYQTEEAAQCYICLVEYEEGDSVRILPCNHEFHLTCVDKWLKEIHRVCPLCRGDVCRSDTSSIGKIG >Et_2A_017181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31864319:31870469:1 gene:Et_2A_017181 transcript:Et_2A_017181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQASLAHTPTWVVAVVCFTIVSVSLVAERFLHYLGKTLKHREQKALFSALQRLKEELMLLGFISLLLSLSQGFIVHICIPETATRFMLPCKKDEASEQNTKLCKKKGDVPLLSLEALHQLHIFIFVLGLVHVLFCATTISLGGVKMRRWKHWEKEIHREIDKFPKTEFERKTTPLNDVIHRNYQGVFVRERTHGFLMKLAVVSWTISFLKQFYDSVSKSDYVALRSAFVLKHYPKRLNFDFHKYMMRALEHDFKRVVGISWYLWLFVIFFLLLNINGWHTYFWLAFLPLFLLLIVGAKLEHIITRLAQEAAAALSNDTEGAPNIKPSKEHFWFGKPGLVLHLIHFILFQNSFEIGFFFWILVSEGFDSCMMERKAFAISRLVLGVIIEVVCSYITLPLYAIVTHMGGDIKLQGLGSSVHESVQGWVTEAQRKQTLKKASGDDPDPDDPGAEKVDVTRAPNDRFGSSRNMLTASQELDEIVTVDDSRR >Et_2A_017061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30614362:30616991:1 gene:Et_2A_017061 transcript:Et_2A_017061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAGAPRVRSLNIAAAEAEARPVLVPGGNKARSGPANARKPSLKPQRKAETGTPEKAVAAGAKKEEGPKKNAAGEGGGRSGGAAKGASPLPLSLDVSCSSDASTESTRVQVSAGSLERSRSYRPTAPKHGKAVKVVESMAAGAEVVAPATPMTAELGGKRRCAWVTPTTDSCYVSFHDEEWGVPVHDDRRLFELLVLSSALAEITWPEILKRRQIFREIFMNFDPAAVSEINEKKLVAPGSIANSLLSEQKLRAVIENARQILKIADEFGTFDRYCWGFLNYKPIVNQFRYPRQVPVKSPKADIISKDMVRRGFRGVGPTVIYSFMQAAGLTNDHLVSCFRFKECNATPTLRASDAERVDKKADLKKDEMTTKICCEEVTTNADMPMMMDALTVS >Et_2B_020900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24660405:24665579:-1 gene:Et_2B_020900 transcript:Et_2B_020900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGQYPSSSCSKEHQKIYQEWFAFADSDGDGRITGPDAIKFFGMSKLSRADLKQVWAVADSRRQGYLGFNEFVAAMQLVSLAQAGNEITPDSLKRDDLRSVNPPVMEGLDALLAKSKHMVKRVDPDIDGFPQEQSPLTNKWFSSKSSKKIPLTAVTSVIDGLKKLYIEKLKPLEVTYKFNDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLRTSYPGAHIGPEPTTDRFVVVMSGPDERTIPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMRHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVSRVYIGSFNDKPVNESAVGPIGKELFEKEQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYIIGHLKKEMPTMMGKAKAQQRLIDNLQDEFAKVQREYHLPPGDFPYVEHFKEVLSGYSFDKFEKVKPKMVQAVDDMLGYDIPELLKNFRNPYE >Et_9B_066107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3913817:3915410:1 gene:Et_9B_066107 transcript:Et_9B_066107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHKKVKLAVLQFYKVDDSTGKVTRLRKECPNADCGAGTFMANHFDRHYCGKCGLTYVYNQKALRCEVDYDDWLAKLAMLNAEIVLFFNLNLFDFNMPVPM >Et_1A_005710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1359317:1361673:-1 gene:Et_1A_005710 transcript:Et_1A_005710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPEIQAPPDVFYNEAEARKYTTSSRIIEIQARISERALELLALPNDGVPKLLLDIGCGSGLSGETLTEHGHHWIGYDISKSMLDVALERETEGDLLLADMGQGLGLRPGVIDGAISISAVQWLCNADKSSHDPRLRLKAFFGSLYRCLARGARAVLQFYADNVKQSEMIVTYAMRAGFAGGVVGIYERNRPKKKQKTKKNGKGKDWLLRKKEQMRRKGHDVPADTKYTGRKRKTYF >Et_5B_043979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18573984:18574888:1 gene:Et_5B_043979 transcript:Et_5B_043979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GAESADCCYVPVNDKPPADFTIDGFRPNYASCRHRRDFLTPAPLCWPESCNVTDSLHASLIRDLNHGLLNNWPSLSCENRNPMDFWSEEWNEHGTCSNMDQHSYFRAALDFKARFNLTRILLNAGIVPSNENMYELSRIRDAVTEATGSAPNVECNQNEEGELQLYLVHQCVGLDGRSPVHCPRQLETTCTDEIKFPEFQINDDYYG >Et_1A_005704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1320532:1322270:1 gene:Et_1A_005704 transcript:Et_1A_005704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGDLHDHGAPDVAVHGSQSGGLDDELLDGLSALPNDVLLCILWKLVVVGDVYTVARTSTLSRRWRALPASLPWPHIAHFSYDLENFPGHLEDQKQELLGRPVEQARQRCSDPQALATKTATCNAADAGKIRSVDLEIVGHIDCLSFDETPAMLAYGERFVRLMQDSPGAFRCEAHHGKPVVPYLLRECNALDSLSLTFCGFLPEGVQDVGDDEAPRPALTIESVSSATSAASSWRTRRSSFPSATTGCGLSEEEALSPPVSFGCTPSLKGLFLCHRRDFDDDGDELKLSDLLMIETGQLEWLSLGFHNGKSWVRPERPQQLRAALCGLKHLHVTNLFSKCKLSWAFLLEAAPFLEDLDIHFCDHICDAYSHKNVDESADLEWKPPPDFKHHHLKRLSIRRAFHVEKSLPFARLVMGLAGNLQEISLEVLTLWCQECTDTQRQFPDLARSRSKYTDSNKQADALVRELKHGISTSAKITLLLSGL >Et_5A_040977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1611688:1612872:1 gene:Et_5A_040977 transcript:Et_5A_040977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSIGLETAKGIFTKVIPRNTRVPTSRIVRMPVWRSCGESLCIGIFLGEHVSVDNNKFLGELELISIGTLHEGSVDIELTFKVDENFMVTVTSNAGDQLEGDSDVKKVLNVFSVSIHKEVMCQQRIFNAVKDALLDWPMNAMRIHANLRNQARYLMNSLGDVLSVRKDDLPTDIHEDVIKAMNELQIALEGDGAMYWSSFRHLESTVSSETIPV >Et_3B_030123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30684964:30701350:1 gene:Et_3B_030123 transcript:Et_3B_030123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQQNVLNQLVSFILGASAAAVLLFFLTSAGSGARSTWISSWANGTMEFADPVQEATSPAREAAVHAEEKGVTEPEQDELERLLRTVADEDRTVIMTSVNEAWAAQDSLLDLFLESFRMGERIAHFAKHLLIVALDDGALRRCRAVHPHCYLLPAAAGRNLSYEKVFMSKDYIDLVWSKVRLQQRILELGYNFLFTDVDILWFRNPFERMSVAAHMVTSSDFYFGDPYSPFNLPNTGFLYAKSSRRTVGAFEAWRGARAAFPGKHEQQVLNEIKHELVAKRGLRIQFLDTDHNAGFCNNTRDFNTLYTMHANCCVSLGAKLHDLANLLQEWRAYRQMDDGERRKGPVRWKLTSCGSIRNPFRHAHISVFADMTTSSDTFFGDVDSLDNWPYTGSYNGKATNRTVEMLRRWRAARARFPPDHEQTVLNDIKHGLAGDLRLFCQFFRNDIGAACTMHANCCVGLGNKLHDLREVLAQWKNHTSLSRAASRRGRRGAGVLRGTFLPSVGLRTKGIHEPPKNVKPHPEDMASQGKQNGGLNHFGSFLLGALLPTLLLLFLASDRVGERLSSISSFGNGYLLKSSSQGQDNLTAIGGSPPAGKQEVSFLTLDAFPGLAQLLPQVATEDRTVIITSVNEAWARPDSLLDLFRESFRNGEGIAHLLNHTLIVAVDAAAFDHCRAVHPYCYLLEVKSANVSGANRFLTKGYLELVWTKLSLQQRVLQLGYNYLFTDVDVMWLRDPFRHINLYADVTMSTDHFVGDPESLDNWPNTGFFYVKSTNRTVEMLRYWRAARSRFPPHHDQKIFDNIKRELVGKLGVRIEFLDTALFRSFCEFHGGEMGPSMCTVHANCCIGLENKVHDLKNVVADWRNYTSLLSPPEKRSGKKVKLKWTFPTKSNMGMGFGAKEGSHVVSFLLGAALPTALLFFLASSISLSWRNGTALPPAGAPGQRAANLTGQGAAPSPDQEVGFAGLAELLPKVAMDDRTVIITSVNEAWARPNSLLDLYLESFKNGEDIAQLLNHVLVVALDPAGFEHCKAKHPHCYLLINATSANLGSAKRYTSRDYLELVWTKLTFQQRVLELGYNFLFTDADMILFRNPLRHIPVYADMSCSSDDFKPTRKPLDNPLNTGLDYMKSTNRTIAMVKYWRAARARFPGRHDQQVFVAIKWELIRELHVTIEPLETVYFGGFCEYHDDPERICTMHADCCVGLETKVHDLKDIAADWKNYTSLTPQERKKGNFTWTVPVSCRRTTRWHDMGCGGKEGSHLVSFLLGAALPTAFLFFLASDRLGEGLSKIAISWGNGTMPPAGPAPVAHTQDHDPIGFDGLAELLPKVAMEDRTVILTMVNEAWAKPNSLLDIFRDSFKNGEDTADLLNHVLVIAVDAGAFKGCKAVHPHCYLLELKSTNLSSPERFMSKEFLELVWLKVTFQQRILELGYNFLFSDTDIIWFRNPFRHITLYADMTISTDYFRPPTSYPLDKTLNTGFYFVKSTNRSIEMIKYWHTARERFPGNNEQGVFNNIKNELVSKLQARIVALDTAYFGGFCEYSNDLRRICTIHANCCIGLENKVPDLKDKAAEWKNYTSLTPEERKNGGFKWTTPDRCWKTIGAGAKEGSRSHLVSFLLGAVLPTALLFFLTSDRLTEGLSGVSCSWGNGTISQPVGRPGTEATTLTSDVSAASAQDPEAEFAGLAELLPKVAMEDKTVIFTSVNEVWTQPNSLLDIFLDGFRNGEGTAHLLNHVLIVAVDTGGFEGCKAVHPHCYLLEIKSMNMSMAKWFGSKEYMELVWLKLSLQQRVLELDYNFLYTDADVLWLRNPFRHISVYADMSCSLDNSKTAPVLLDNEINCGFYYMKSTTRSIEMMKRWVAGRALFPGENEQVVLSKMKGELIGEVGVRMEALETEYVSGFCDFQKKLDKVCTVHANCCMGLENKVYDLNNVAANWKNYTSLPPEERKKESVQATPPSMCRKSMGWSPKSNSSLSPVVTFLLGAASATALMLFFLTAVERPVSPSLQATARTRGEAGTGHHDEEPEFERMLRRAAMEDRTVIMTSVNEAWAAPGSLLDSFLESFRVGENISHFVQHIVVVAMDGGAFRRCREVHPHCHLLLPEKQGLDLSGAKSYMTKDYLELVWSKLKLQQRILELGYNLLFTARTLTLEHY >Et_4A_034310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31596354:31598269:-1 gene:Et_4A_034310 transcript:Et_4A_034310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLSSPRLTYSSKEEPDAGLASTNGNRSHARERRAEARAVQAAKRIIHSRMLTLYSSPSPPLRFGCCWCSPCNLSSSLGVFSKKNNGGRLNITQNFEFGKTRGARRFHTKWQILSADQAQASVVDTGEIEICEECKQMLTSLNFSSEDANKMLKKAFGWIHSPYWSEERKKEVPNAETVSGVLNYIRGLGLSDDDLHKVLKKFPEVLGCDLNSEVKLNVSKLDSDWGINGKTLRSLLLRNPKVLGYNIDCRGDCMAQCTRCWVRF >Et_3A_025201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27884729:27886965:-1 gene:Et_3A_025201 transcript:Et_3A_025201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRKTLLHGRLPAPPAAAAASSRISSLFRLLSSSSFSGGSGGGDEWGAFSLPGGGGGGDEWDASSVPGGGSGGDDWGSTWSAGLTKDHFDGSSPPVGRPVPSPSDPIAGKLATVRAMDEEDEMMRALARENRESSAYVDSWDDRLRETCALLKQVREPGARGSYLKDSEKQKMYQLHKEDPATYTVERLAKDFRVMRQRVHAILWLKEMEEEEERKRGEPLDDSIEILLDSCPEFFDSHDREFHVASLPYKPDFKVMPEGWDGTTRDPDEVLYEISMKEDQMLYEEFVQRLEFNKKKVAGEVKCHKYSRRRPDDGWTYMVEKMGPQGKRGAGGGWKFISLPDGSSRPLNDMEKMYVKRETPKRRRRIIAPYK >Et_6B_050021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3845193:3846800:1 gene:Et_6B_050021 transcript:Et_6B_050021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHMEKQAASHRHPSNVGGALEVSVEAGNVGDASWLDDDGRPRRTGTMWTASAHIITAVIGSGVLSLAWAIAQLGWAAGPAVMLLFAGVIYYTSTLLAECYRSGDPENGKRNYTYMDAVRASLGGAKVALCGAIQYANLVGVAIGYTIAASISMQAIHRAGCFHDKGHGNPCKSSSNPYMVLFGVVEILFSQIPDFDQIWWLSIVAAVMSFTYSGIGLGLGVAQTVANGGFKGSLTGVAVGAGVTATQKVWRSLQAFGNIAFAYSYSIILIEIQDTIKAPPPSEAKVMRWATAVSVATTTLFYMLCGCAGYAAFGDDAPDNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVFCQPLFAFVEKQAHQRWAHNSAFVSKEIKLGPFFVLNAFRLAWRTAFVCLTTVVAMLLPFFGDVVGLLGAVSFWPLTVYFPIEMYVVQRKVPRWSARWVCLQTLSAACLVVSVVAAAGSIADVIGSLKVYRPFSG >Et_4B_039747.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28365372:28365965:-1 gene:Et_4B_039747 transcript:Et_4B_039747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQCLPTRLRLELALAPFPSLELLHRHLRPVLEHARTPRCAFGGGGPCTDGADRRAPINHTERLYQIMRCSVGPSTCSCRALLDARAAAPDACSAGVAYTNMTICATFIFAYDLLPLMWRVLRAQAVHLFDGIATMEIRWAGLTLAAGLVRERACMQFYMIGATGFVPGSSVLWLVGLKGLPFKLTSKPRGNRAFA >Et_5B_044073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19720536:19734190:1 gene:Et_5B_044073 transcript:Et_5B_044073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HFPMALFFKTIIGDTAFCIQSYLKMAAPNMEDQSLHNLERLLLQASIIIEEAEGRLITTKAQRSQEIEVKRHDVSSSFVVSKFNYAKRIFSATIDTYKEKDLQQVLNNLNTIIEDLSRFLSTGFVGEITKISRKCGCSTNCGPNMGWKEHPSCICMRRCKSAQLLLHEDFIINENFAMLTKDEGENERVLVNIELSRDIDEVSWYSFYSSYGIYLGRDSRIIITTQALILTFGSTYSIDHPQLESIALQIARDMNGSFISANLISAYLRNNFDYNFGCRTYPTEENAPMITVEDVVSGGIRYEGVFDFLEWKSQILPFSFDIVFWTAIVGELANRSISFLIDEYSKRATPNMEAQRLHDLQQLLLRVRAIIEEAEGRDITNRAMVHQLNILWKELYRGYFTMDRLRIQGNDAKQHDVSDTFSLSKYNPVKHLLFSTHDMLRNKELQQVIQVLKNIIVDASEFVSFLKNCPPLYRQPYSMHLFVGKCIFCRQMEMHRVMDFLMQQEHPSRERVGVLPIVGPGYVGKSTLVAHVCHATRVRNHFSQIVVVNGKYIRNQKITDLKYGGFIIHKKNPSCGDERLLVIIEYSEDVDEVAWNSFYSSSVGCLVRGSRIIVTGRSDTIIKFGTTKALVLNFLPLEAYWYLFKILIFGSADANDHPKLEPIAMEIARELNGSFIGANMNSGFLRNNLNAQYWCKYLTAFKANVQINVPLFGERPHNLVQNKKPANYRIRKDEYLTIYQHRTSLTDDNVPVITMYDVICGNVKCEGTFEVLSWKSHMLPYKSYIMRCRIQNAKLNKRKRSSPLARRLMGSWRRKNRTTTTMSAPSMLINSVIFTAIMGEIVNGSISFFIDKYSKLEDNRLNDLQRLLRRAHTIVMEAEGRLITNRAMAYQLNILRKEMYRGYFTLDRLKKQSNDAKGHDVSHSSALSKLMHRNKRIVVQTDGTHIKNEWSSSFAI >Et_1B_010755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13717820:13721110:1 gene:Et_1B_010755 transcript:Et_1B_010755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAQKHVIGIPLTSFAYADEKAQGKPSCSALIHSKSNTLTPFFLISLFQTAILYKKSSFIHRVSKLSQKTDSYVQGFKEHLTLGPKISETIRGKLSFGAKVLQAGGIDKVFREYFAVEKEEKLVKAFQCYLSTTAGPIAGMLFISTKKIAFHSDRPLNLASPKGRNTRVPYKVLIPAKRIKSASVRENLYNPDEKYIDVVTVDGFDFWFMGFVSYEKEREAQMMNGIRMDRSGHSKKLWSCRSIQIRKFFFTMIGFVAGMLIKAKATAILSL >Et_1A_008981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23198729:23205677:-1 gene:Et_1A_008981 transcript:Et_1A_008981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVAAESPSGSDAGPGGSGAAREEAVVRPRTHGWACELWKTWSTCAALCDWDEDEKIWTYPGVTSGVASCEGHVMTERSSYGFEAFSLNAATTTAPRSPVWAWILESDFGGPFEPLGRLPAVLDWRINHTTCHDNGSSAACRSGHSYCQIDYTGGGYDAHLCKCKKGYQGNPYVPDGCKDINECADPNRYSCYGGVCINKIGTFDCQCKHGTYGDPFAKGGCASLTVLKIWLGVGVGTGFLLLALGAPFIIRKIKLHKVKRKKERFFKQNHGLLFQQLVSQNSDICGRMIITLRELEKATNNFDPSHEVGDGGHGVVYKGLLDLQVVAIKKSKIIVQREIDDFINEVAILSQINHRNIVKLLGCCLETEVPLLVYEFISNGTLAHHLHVEGPVSLSWEDRLRIALEISKALAYLHSSTSTPVLHRDIKSSNILLDDNFIAKVSDFGASKYIPIDRTGVTTAVQGTIGYFDPMYYYTGRLTDKSDVFSFGVLLVELLTRKKPTYRTEDGDGLVSHFASLLNQGTLVDIIDPQILEEGGEQVNEVAIIASKCTKMHGEDRPTMREVEMTLEHLRATKRQVHHNSAPRRKIYDRDHDMAFRGQNLETSRQYSMEEEILLSARYPR >Et_3B_029600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26342963:26344132:-1 gene:Et_3B_029600 transcript:Et_3B_029600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNDYAVNLMDEGNNPLNWDDDNDQSSPPERLLCVEEVTPTVRHGKRTKNFSEQEDEMLVLAWLNTSMDAVQGLDQHRTKYWTRIYDYFHANKTFNSERNENSIMNRWCTIQDSVHRFTECLNCIEGRTQSDLSIEDKACNLFKSEDKNSYSFRFMHCWRLLRNQPKWIERLSQIATHKSSHKRQKTTPNSSPCTSTPDDSEGPAPDYELPGRKGEREKLQVARDVVCMEASDNVLAKKADAEKEPKKDDRCKQASALEEERVALEQARVKKDGRCKQVSALEEERLALEQARVANERRNLELKSKELELRSKELDLKIMLEEERIMAMDLRGMSGAQQQYYKYVQKEIINRRFKSSS >Et_2B_019633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11450609:11457608:-1 gene:Et_2B_019633 transcript:Et_2B_019633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVPDLSFSASISSVKLPRYGASKNETFLVKRSPTFRAEARATESDKYGSNGRAIKMVPTTELRRSNAVINGSPKVIVNGTSLVKGSNMSSLVKTQKQMRPDDTPFEEELKVLPSDEGFSWAKDNYNSWQRSVDIWSFVLSLRIRVLFDNSKWAYAGGFSEEKQKTRRGKTAAWLREQVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPEKAKAFIEKEMGCPIEVVFKEFEDRPIAAASLGQVHRAMLHNGEKVAVKVQRPGLKRLFDIDLKNLKLVAEYFQRSETFGGPSRDWMGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLIMWDYTTEKVLTLEYVPGIKINNLGVLDSQGYSRSLLASRAIEAYLIQILKTGFFHADPHPGNLAIDKDSSLIYYDFGMMGEIKSFTRERLLSLFYSVYEKDANKVMKALIDLEALQPTGDLSPVRRSIQYFLDNLLSQTPDQQQTLAAIGEDLFAIAQDQPFRFPSTFTFVIRAFSTLEGIGYTLDPDFSFVKVAAPYAQELLDLKQRRRAGPELVQEIRKQANDARDSTISMPYRVQRIENFVGQLESGDLKLRVRVLESERAARKATVLQMATMYTALGGTLLNVGVTLNSQGNQIIANGSFIGAGIFLALLIRSMQRVKKIDKFETMI >Et_5A_041911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4106982:4111261:1 gene:Et_5A_041911 transcript:Et_5A_041911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDHIVFRDYRGEVPKGSAEIFFRKVKFWNDDEAEEAPPVFNVDGVNYIHVKVAGLFFVVTTMVNVSPSLLLELLQRIARVTKDYLGVLNEDSLRKNFILVYELLDEVIDFGYPQTTSTEVLKSYIFNEPIMVDAGRLPPLGPAAMFMQGTKRMPGTAVTKSVVATEPGGKKREEIFVDIIERISVTFSSSGYILTSEIDGTIQMKSYLSGNPEIRLALNEDLSIGRTGSSTYDYRSSSGGGTVVLDDCNFHESVHLDSFDIDRTLTLIPPDGEFPVMNYRMTQEFKPPFRVTALIEEAGPSRAEVLLKIRADFSANVTANTITVQMPVPSYTMRASFELEAGAVGQTTDFKEIVGGSEHTLRAKLTFSQESHGNLTKEAGPVNMNFTIPMYNASKLQVRYLQIAKKSKTYNPYRWVRYVTQANSYVARL >Et_10B_003992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9006825:9007819:1 gene:Et_10B_003992 transcript:Et_10B_003992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVLRDILSQIGSGRMSLCKCNDEQELIAKIRERLSQKSSLCKSFYRYLIVIDYIWSIQVWDIIRCAFIHDNNGSGVITTTRIEQVAGACCSYCYNHVYKMKPLCDHDSRRLFFQRIFGSEGDCPEQHKYVSQSILKKCGGVPLMIIA >Et_3A_025596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31049247:31051640:-1 gene:Et_3A_025596 transcript:Et_3A_025596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVSSTAPEYAALLPAPHEVVIALDATPKNRREEMKMMLWNLISRGDILRGVGDSLVVLVVLRPSKRYRLRRQCASMSSPPQPKTKNVEVVGPAKGVEVVHSTIGSQLHHAQEDDAGKNTTSAVTGATQRRKKGKRN >Et_6B_048978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16208251:16212067:-1 gene:Et_6B_048978 transcript:Et_6B_048978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGGDGGQSSTYGFPIYCAAWLPLAHILKPDGTPAADDADAASSSTPPQPRAQMALLGGGGGEGRSGVPNKAVVAALESDATSALCTEPVLVVEAKEKLPYRIAVHPCGDGVVVSFLNGCRFYQWESKEGKKPHKLDLKCEAEALVELKDVGSQLVVSFSGEGSTLATGGEDGHLRVFKWPAMESVLSEADTKTLIKDLCFSSDEKFLAVNRSSGPCRVWNLKSSEIVANLPREAGEIFSFCKFSNKTDGNHILFITAMQGSDYGKIISWNTTSWTRIGSKKITRQGISAFAVSPDGAYLAIGTSEGSIIILDSKNMQTLVTVKKAHLGIVTALAFSQDSKTLLSTSIDSTARVTSVGSIKSNGTSVWPMILAILLAILVYYCMQHKDILAMLPR >Et_8A_056317.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:22301388:22301813:1 gene:Et_8A_056317 transcript:Et_8A_056317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGDATTQSAIQQQQPGNDMQAAFDALHGELLEEAHAVATELGADVRTVAFLPDGGDGDGDQPQAVAHEFIGSPRKMMRQLVAKDVSRMGAAELAQHAARLRTLRAAVVRKLREKEQKKTATADASGGESTVKREPEQG >Et_5A_040581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:996769:1000418:1 gene:Et_5A_040581 transcript:Et_5A_040581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPKPGDPPQRSPGRSPNLNLPCPLPPIPGGAPQQQQPQPGGAPPPRAAHHRRARSEVAFRFPDDLAGGGGGSFDEIGSEDDLFNTFMDMDKIAGADRDRAAETSSPPRPAKHRHSASFDGFGMGGGGGGPGGQQDGAGGVFGEVMEAKKAMSSEQLAELAAIDPKRAKRILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAQLRDALNDALKQEVERLKIATGEMTKSNESYNVGMQHVTYSPSFFQLSEQQAILHHGNIQLPPHFQPPPNVPTHQMLSHPNSLSDMMQQDSLGRFQGLDIGKGQVAVKSEAEVVVKSEGSSISAGESNSTFS >Et_10B_004000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9076712:9079873:1 gene:Et_10B_004000 transcript:Et_10B_004000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHLRLLLSSLVLVTLLSHTNPATTLGNCEASSRCKYIVRVRPPPNFFVDRSPVNLEIWYRSFLPPHLAQSKIQSPFIHTYREAITGFSVSLTNDEVEYIKKKDGVLMVYQDRLIPLLTTHTPDFLGLRPDGGAWNSSGMGEGTIIGLLDTGIDFAHSSFLDDGMPSPPAKWHGSCNFPSVKCNNKLIGARSLIGGQDPNPPLDDVGHGTHTASTAAGRFVQGASVLGNGNGTAAGMAPRAHLSMYQVCNKQGCYGSDILAGVEAAISDGVDILSISLGGHAQPFHEDIIAIGTYSAMKKGIFVSCSAGNSGPSARSLANEAPWVLTVGASTMDRQMQAIVKLGNGQTFVGESAYQPSNLGPLPLLFDLDVSGNVTGRIVATEGMDVGIGEAVRDAGGAGMILLGSEVSGHTTFATAHVLPASYLNSPDAEEIKKYINSSDKPTASILFNGTSLGTYPAPIVAYFSSRGPSTASPGILKPDIIGPGVNVIAAWPFKVGPQTGSEQDKTFNSISGTSMAAPHLSGIAAIVRSAHPDWSPAAIKSAIMTTAYVVYENSKPILDEKFNPAGHFSIGAGHVNPSKVINPGLVYDIDEGQYILYLCGLGYTDSEVETITHQKEHAEGNFKWVSDKQVVRSPIKLETNLRCNRTHRRP >Et_2A_015448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13151549:13156667:1 gene:Et_2A_015448 transcript:Et_2A_015448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLQPLETLSTVEETCVRDKAVESLCRIGAEMKESDIVDWFIPVVKRLAAGEWFTARVSSCGLFHIAYPSAPDQLKTELRTIWGQLCQDDMPMVRRAAASNLGKFAATIEQPHLKTEIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVLGAEITCQKLLPVVINSSKDRVPNIKFNVAKVLQSLIPILDQSVVEKTVKPCLVELSEDPDVDVRYFANQALQACDQMMVSS >Et_4B_037143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15366329:15374070:1 gene:Et_4B_037143 transcript:Et_4B_037143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAHRSGGAAAAETTQRVFHFGKGRSDGNKAMKDLLGGKGANLAEMATIGLSVPPGFTVSTESCRQYQQLGGGGAMPAGLWDEILGALRWVEGAMAAGLGDPRRPLLLSVRSGAAVSMPGMMDTVLNLGLNDDVVAGLAERSGRRFAYDSYRRFLDMFGNVVMGIPHGLFEEKLEAMKCAKGVQNDTQLSVTDLEELVSQYKNVYVMAKGEQFPSDPKKQLHLAVLAVFDSWDSARAKKYRNINQITGLKGTAVNVQCMVFGNMGDTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPQNLDAMKECMPEPYAELVENCTILERHYHEMMDIEFTVQENKLWMLQCRTGKRTGQGAVKIAVDMVNEGLIDHRSAIKMVEPRHLDQLLHPQFESSSSYKENVIATGLPASPGAAVGQIVFTADAAETWHAEGKNVILVRTETSAEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVAGCSSIHVNEIEKIVVIGDRVLCEGDWLSLNGATGEVILGKLPLSPPALSGDLGTFMSWVDEVKQLKVMVNADTPADALTGRNNGAQGIGLCRTEHMFFSSDERIKAMRQMIMADTAEQRQKALDVLLPYQRIDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNIEDIVHMLSSGMKSTNEEILARIEKLSEVNPMLGFRGCRLGISYPELTAMQARAIFEAAIAMNDQGFQVFPEIMVPLVGTPQELEQQVHVIRQVAEKVFANAETTISYKIGSMIEVPRAALIAGEIAELAEFFSFGTNDLTQMTFGYSRDDVGKFLPTYLSQGILQHDPFEVFDQKGVGELVKIATERGRRSRPDLEVGICGEHGGEPSSVAFFAKAGLDYVSCSPFRVPIARLAAAQAAL >Et_1A_004895.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14813084:14813195:-1 gene:Et_1A_004895 transcript:Et_1A_004895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PSHSDGPPSSPFWNPFTVITAGAPSSSPRRDTASRSK >Et_2A_018816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8160659:8162286:1 gene:Et_2A_018816 transcript:Et_2A_018816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVPAGDDFIGLCKNGIYFFNYQYQRALEGVQGAYCLCRYDWLERVTIVVKRMPGGNWGWAHGRWFLPTLNNRLRIFHGFASSESICNLNQTRESCLTQINKFERVAVKSERRVSPSMPPALASSSSMSEAKVVLPWLAGVMTTQHLDHFRVEHPVQVGGGERGEEVGDIGYPGHLHGTPRSIPGSPSTRRGGGSRARGSAARTARRPWSPVASGTDLDAKAASCCAFAGTLSPTVATAPRRRDMSRGSGPGGRACGCRSAAVGGGLEGDRI >Et_10B_002485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10802953:10804029:-1 gene:Et_10B_002485 transcript:Et_10B_002485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRMSKRHDPITVTNDFVLGEALTNFGVSKVIHSTHPDYHAGDLVWGMSGWEEYTLITEPASLVKINNPDLPLSYYTGILGMSGLTAYAGFFDVCKPKQGETVFVSAASGAVGQIVGQLAKITGCYVVGSVGSDDKAEPDLEAALRRRFPGGIDIYFDGVGGATLDAALLHMRPRGRVAVCGMVSQYNLEDADEGVRVRMEGFNVADYLGDAAYYRRFEEEIAGHLRDGRVTYVEDVVEGLESAPAALVGIFRGRNVGRQLIVVARE >Et_4A_033293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21177950:21180245:1 gene:Et_4A_033293 transcript:Et_4A_033293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKSSLDVVAAVVGRGNEMGNAAMKTLEMEMEHDAENGSGSDNVQLPPVPPTPTPLQQLVDACRLAFTGSSGSPTDHAVSSIRGLMDKIGMLDVGLMDEVGFFYVRSTVRRQITPMFTWKIIYQCDNFKVAVFYLPKGVGIPLHENPSVKVISKLLVGSAHIEAYDWVFPHIIAAGSRSAMLTKKVTDQHVTAPSGASVKIQDYIHSFKAGQDGPCAFLNVFVPLHSPAEKSCSAFYKDFPYEFQPKVASGEAPEEQKSRLAWLHKIDEPKDVKALLLPCRGPPIV >Et_4B_038121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26472777:26474201:1 gene:Et_4B_038121 transcript:Et_4B_038121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQEFTVDLNKPLVFQVGHLEERYQEWVHQPIVSKEGPRFFANDILEFLTRTKWWAVPTIWLPVVCYLFGKSILMGHTIQEVALMAIFGIFIWTLIEYSLHRFLFHIETKTYWSNTAHYLLHGCHHKHPMDSLRLVFPPTATAILCVPFWKLVAFFATPSTTPALFAGGLLGYVMYDCTHYYLHHGQPSKDPAKHLKRYHLNHHFRIQDMGFGITSSLWDTVFGTLPPTGKKN >Et_2B_022637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28767598:28768899:-1 gene:Et_2B_022637 transcript:Et_2B_022637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARREDDSYMTNGTSVFEASMEEGTKDDKTDVYDDAVQPEPADDAVLCGMPMTVSFIQQLVAEFFATFFLIFAGCGVIVLNQKNGMATFPGVALVWGMVVMAMVYAVGHVSGAHINPAVTVGFAVSGRFPWRKVPAYMLVQTVAATLASLMLRLMFGGSHEPVPVNLPTGSNIQSLVLEFIITFYLMFVILAVATDDRAVGQMAGLAVGGTIMANALLAGHVSARLTTDRPVSGASMNPARSIGPALVGSKYRSLWVYIFGPFAGAAAGAWAYNLVRPTDKSLGEITKKISGAN >Et_8B_060282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8638482:8639909:1 gene:Et_8B_060282 transcript:Et_8B_060282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVPVPPSRHRLFSSVGLDNTQLHPTRLSTASSSMQDSVGKFVEFNIDFTRVESLAVGAAVSRDVSFNGDVWRVHLYPRGAPGDAGAGEWLSVHLVNTSIARSCRVLFEAFVLGKAQEDAAVAAAAPTVHNTFQCFVDYPTKELVRKPLTKIFRTNDLAANCAVCGYVTVACGIVVLRHSPIPVPPSNFVNEIRGLEVRNRYGEPDASFVVDGKFIDVNRSILAARSPVFKKELELAAQAASAEAKPDGPKEETGVPMIKKITMDAPSNLEAWTFLALLLYIYCDRLPKDKECAGAPVTTERLRELLAAADRFRLRRLKLMCARRLWEDLSPETVSTTLHYADKYNCRELKDACMNFITAQNQKNPYSLMGIEEFRLLLRESPAIFNELKKRFTIRH >Et_2B_021549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30522483:30524689:1 gene:Et_2B_021549 transcript:Et_2B_021549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRLPTTALLVLPSSSSPSSIVAAAAAASPGKWGRWRGARRPRLLLHPLPAASASSSSSYNGWGELAAAPDVSSFPLSNGLILLLLLPASASALALSRLPPLPLLVAAFTAGFAARHLSSPQAAASTPRRLTLVLADLDAQLRDLRETTQSQPLVDAVDRLHDAVLEATRLANHNATTGLGDLADSFAAWAWDTMRDLLTSTRNKQPPKDPAVHPSSSKAVYSNSQTDAAAQAQLDPPVHAATRAHSLQDMLPFDDDAHGLEDAGRTNLEDVPLERLLLKHRQNHHFRGGASSRFATDSSFLHKRKLEIRDRSYSLKIESESQVSEAQERLDDLFSTGLDPDIAATDSDCEEFSRNVKEAAEILNKARECMMARANEETADALLYKSARLLSAAVALRPTSLVAVGQLGNTYLLHGELKLKISRELRTLLANSDALLNGRERVPRSIKLDTRILSRDKISTALVDVCEECESLLVEAGRSYRTALSIDSGDVKALYNWGLVLIFRAQLLADIGPEAAVDADQVYLAAIDKFDAMLSKSNTYAPEALYRWGTALQQRSHLRPRSNKEKIRLLEQAKSLYEDVLYVEADNKMVREALSSCISELNYHGRWI >Et_6A_046364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13772598:13783050:1 gene:Et_6A_046364 transcript:Et_6A_046364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMSSEDLIQAQRMIFHHSLSFATSMALKCVMQSILTAGRQHWTISSPAPGSMHPGSPMSKRLMNLLSISGAFASSDPSACATGSPDNGDLRGEAIVYKQTPMSLLLVDRNKIGSMRNSDDNALYNNAMVSASHVTMEIILREAGSDIFGRLNSLTDVGGGHGTASAAIIAAFPHVKCGVLDLPHMVHKAPANGTVQFIAGDMFEFIPRADEALLKGSNHLKREAGGKVIIIDAVMEAGPEDNFSKETKALYDFHMMHLDGLGWEKIILEAAFSDYKITLVLGLHSVI >Et_1A_005345.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6909643:6909909:1 gene:Et_1A_005345 transcript:Et_1A_005345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAALLVLILVVASLATAAASRVAGARGATSLTTSATSRRTTRRCPRRRWARSPTAASAWATPRAASSSLRPRHLRPAHCPPWPRG >Et_10A_002078.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21669415:21670857:1 gene:Et_10A_002078 transcript:Et_10A_002078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKVQRSLVIPAPASQPSEEVPFTVFDLVTPPYHVTVLFAFTAPNPSNAALLDALAATLPRFPLLTARLVDGRRPFFVAGALVVEAEVATPLADHLPLAPSPCLDRLHAPLDDGGEEPAPHLLLVQINRFACGGLVVASSSHHRAADGYSMSTFFQAWVDAVRSPPPQHPGQSPVPYGPGALTPRRPPRCEFQHRGAQFMPLPVSKNKDADGEASSGNRPDDQPVLRVAPSEIANLTLHYTREFVAGLKAAAAAAQSHNSKYTTFETVSAHLWRKITAARLHGADADASDSSTRRPTSLNVSVNGRARLGPDTFPRGFFGNLVLTARTDKAGAGGPTTAGDLVRGTLADAAAKVRAVDRRYFQSFIDFGALHLHGGDEEVELEPNEDYLLLPDVAADSWLHLDLHRLDFGRGAGSSGSCRGRCRWMASSCSCPACRKRAGWTCSSRSTTSMPRSSGISLTPWTDHRRSNHHRPNYGMYE >Et_10A_000891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18867313:18869350:1 gene:Et_10A_000891 transcript:Et_10A_000891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGKLEFGSPVRSIMDMPCVNGTSFWSVPELRLKVHREIWQQNGLLLLNTIVVGVMVAPRYRRHPVIGALYLGATILFLPIISYIASTVGSTLLLIGALYSRPGDELDCDVDPHFICIFLWTGLVVVIGISTSVVVASDAREGRSIDPPILLPVKAVWTIYLTFSTIGGIAIIAPSLFDQLFAIMLTKLAFKYYTFYKARQSFAFGCNPRFIAGYMVRLQIGEEHAVLPTTPALVVMGEDSVQVEKEPYGYSFKTRTNSSNRLVTLERVWGLDYSDMQFQRLLRSTQAKDLCFSFGLFKMLRCRFAKYTVVEAGFIKACKFLQDTLLKGDDYERVFGIMKDELSFIHDYYNSSLPNYYSHHVLPFLSILLSLYSIGYYVYLIPYLQKYQGPQFYCTCRTLDCIMLQLGNLLFDEVPIILVLAVILLAEIRDIVSYICSNWTKVALVCHYVNQASWQQSPAVGKCIGLVFKYCRCKLVNSWDDKMKQCSILVLPSRKIPLLRLHHLLCLREPIKKVKVQSTVKAAIFKALKRESLTCEQAGGLKHIRPPSPPIAVASDDKLRPAHTILAWHVATSIFEEGHKIAATHLSCYCAYLVACRPELLPDDDEWCKSLYDAVKKDS >Et_4A_033853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27426168:27430906:1 gene:Et_4A_033853 transcript:Et_4A_033853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVMPVRKFVRRMLRVVRLNPLQRNAKSRRAIHLAHNSGDTRYTQGCCIVSAVKITEAGWLHSGRFFTGTLDRLHWIMGAKVEGESFMPGYYATMDLNAEANGRWSPYYEEKTPNGQMCSGFTTKSANGFSNFDKEMLKHTMLEHDAVFRQQPHNPLTVQVYELHRVYKLQRHMMKQYRSKEVYACPMLTDTSQTNSPSQVPQNGATMVWQIPVPPASTTFRKAPVEHDATNQASIKFLREGSVQSSPNGFPSSDAAPKSRQHTFDLQLPADHYVDDDNASENNPIDFLGLTSDSKPQINADLALVSAEGLGRLSDNSSTSGLRTTNNLGSRHVSDLNEPNTGVDMGRANGSASRGLSHTLDNPWHQSMLRSSTTNFSFSKEDNKDKHTDEGTSSNFFDTSVKIRQDEKPLINKGKQVNGMNFLAPRYSETDPQKYFKIADGRPANCNQFVYHDQSSSVGWFARSPLDPYAVNNFARFDRPHHSSMGTLAAPISIPPIDHPSVTTPRGSCTIDPRSSVISNPAFIPRFNGSSAVNSYTSLCAATQSIGSSTPKLKYGNSIDRRYPGFTLDSFSASHSRHQAAISNDVEQKSTKMFEHSGQQSHGKGMKSFNLNETLSDGQEDGLLEQDRRCAGSLLHNKDDAVFGVSWLKNKATYADPTVLEQPGKLFGHSFGPAAELKITEARNEGSLTIRNLSDSASTSLECRIKKDGPSEDFVTRNQLICNKTHERVPLSCLKHLIKGGQAAEGVIKNNSASIRNFIDLNDDVPNEDNSEESVVSHDCQVTSLQNNQSKRGFVIDLEVPPCDEDAVWTFHQECTRPITRDAYQEDGDTSVTSAVAAAESIIALSMDVPATAEAPDDMLLWFVDLAISNIDDLAEQVEACINDSSDDESDSFESLTLKLEETKIDEYWSRPLAPTITTEEQAVSTAHLLTKPRRGQQRRRRQKRDFQKDVLPGLSSLSRPEIIEDVQLLEGLVQASGGSWESSLSRRGRYGGRTRGRKPRKNLTVAVEEDVQVTTPPKPPGTGDLAADDKGMFGWGRTTRRCRRTRCPSGNAAAS >Et_1B_011717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25364349:25369762:1 gene:Et_1B_011717 transcript:Et_1B_011717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHGGHGQHPPHYPPYQQPYPQPPYPYGYQYPPPASGAPPYLAPSPSFPGYSPAAPLPPPFTHHSGPLQPYPPLPQTHAYPPPPAPSSYGHGYDPYPSSHSTPYPSQSPSPALSPSSSFDHHHHYQHASAPEPPSPAAPSAPSYPIEDVLATMRLSDRHDYPSSPSLPPPSTAFSAGGSTHGGGMQVVPYGGGGGSQHGSVRPSLKVVLLHGSLDIWVHDARSLPNKDMFSKRMSSASMTSDPYVTIQVSTATVARTYVVSNNENPVWSQHFLVPVGHETAEVQFVVKDSDVFGAQIIGAVAIPAERLLSEDRIEGVYPVLEPNGKPCAPGAVLRLSIQFIPVARLAAYHHGVVAGPDCLGVPNTYFPLRRGMKVTLYQDAHVPDGCLPDIWLDHGLRYQHGQCWRDIYDAICQARKLIYIVGWSVFHTIHLMRDGAQVPSLGDLLKMKSQEGVRVLLLVWDDPTSRSILGYKTNGLMGTQDEETRRFFKHSSVQVLLCPRSAGKRHSWVKQQETGTIFTHHQKTVIVDADAGNYRRKIIAFVGGLDLCGGRYDTPQHPLFRTLQTVHKEDYYNPNFTTVDARGPREPWHDLHSRIDGPAAYDVLQNFQERWIKASKRHGIKKLAKSYDDALLSIERIPDIVNINDATYFSDNDPETWHAQVFRSIDSNSAKGFPKDPREATRKNLVCGKNVLIDMSIHSAYVHAIRAAQHFIYIENQYFIGSSFNWDSHRDLGANNLIPIEIALKIANKIKANERFSAYIVIPMWPEGNPTGTTTQRILYWQNKTMQMMYDTIYRALKEVGLDEIYEPQDYLNFFCLGNREVADTTSASNAGNNPQEQARKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGIRDTEIAMGAYQPQYTWANKVSAPRGQIYGYRMSLWAEHIGAIEENFHYPESLECMRRVRQLGEENWKQFIADDVTEMRGHLMKYPVSVDRKGKVKPLEGCTTFPDLGGNICGSFLAIQENLTI >Et_5B_045326.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:18422914:18423270:1 gene:Et_5B_045326 transcript:Et_5B_045326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQMKAFLDSTGGLWQSQALAGKPAGFFFATGTQGGGQETTALTAVMQLTHHGMVFVPVGYTFGAGMFGMDEVKGGSPYGAGTFAGADGSRTPSETELAMAAHQGKYFAGVAKKLKA >Et_7B_055186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8145424:8145776:1 gene:Et_7B_055186 transcript:Et_7B_055186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQVDRTCTDARLCVVAPHAPKPRGSPAAPPISRPRTHSTYALRYAPRRHPAARHSQLPRSRPRSADAARSEARKN >Et_1A_007629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36609307:36613516:1 gene:Et_1A_007629 transcript:Et_1A_007629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAAAPQPPRQYKLAPQSELRVEVLPDTPLRVRLVTGTAEIFGTELPPEGWVPIPPRSKISIFTWHGATVELEGVSESEYTSDETPMVIYVNTHAILDARRARARAAAVQGGDPEASQGPRVIVVGPTDSGKSTLCKMLLSWAAKLGWKPTYVDLDIGQGSITIPGCISATPIEKPIDIVDGIPLEMPLVYFYGHPNPSINPDVYKVLMKELAKTLEKQFSGNAESRAAGMVINTMGWVEGLGYELLLNAIETFKANVVLEKLWKMLKDAVQSKPNIDVVKLHKSEGVEYFYGIANDLAPHSNTVNFSDVSVYRIGGGHQAPRSALPIGAEPVADPTRLVAVNISTDMVHTVLAVSYAKEPDEIISRKKLTYIAPCPGDLPSRLLIASSLTWYEA >Et_3A_024534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21762673:21763387:-1 gene:Et_3A_024534 transcript:Et_3A_024534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDARMSKDDLEAKDRLILLQKLTRNVTCQTVMLDTGTSVERYLLLTTFREKKAPLSSQFLTTASIVRGEYGRWTALFGLLMSLLRLIPGELQLLVSTMLLVILGPYQFMNL >Et_5B_045389.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20755556:20755858:1 gene:Et_5B_045389 transcript:Et_5B_045389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLIPFIELVAATSPLSEQYLAIAVSVPDGIDLVALPPPPQQDAIPSFSRGRRASSLLTCMVSAPACDVAAELSVPCYMFFTSPWMDGCCCPCSCTSRS >Et_10A_000135.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:10318102:10318296:1 gene:Et_10A_000135 transcript:Et_10A_000135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPCYKCVLNTSADETLHHLFFECPFSRWCWTFLHITWNTTLALGEMLLQARQHFGSRISEKF >Et_5A_041709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26133673:26135621:1 gene:Et_5A_041709 transcript:Et_5A_041709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWRSPYDKEMRKRAARIVAYIAGGTHLKQYPLGIQWIASLLDTFQEYNDLEPYQRDWLLHAMEQDWTQQASLAYLKEDDDRKVGYEDQVRQALRILWRLAANEDNCKIMRNHAGLLSKAMAPVTNDLLHNHSVGHAEWPRSVVDGSLKLISQLVTAPGETGKKLCNTFWKEPERTEETDTESSAKDAVDEDSTSLMQIYIAEKEEPDIIETAARALMRISLRMENSAAVILMQNADIINHLTSLLVRAEGETYQRVTAAVVLERLCIYYNDLNNQNEKNMTEALFL >Et_1B_012865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3600748:3602428:-1 gene:Et_1B_012865 transcript:Et_1B_012865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRCDGGGEGCGRKRLLVRHMWPSTRVEAAPPPAQGPATPSSSSVPPHSATSYPPAPTTPPSPAQKQGRLDSPRPVSPDSFVKDGREIRVGDCALFQANDAPPFVGLIRWIEKKEEGYPRLRVSWLYRPSDVKLSKGIQLNAAPNEIFYSFHQDETSSVSLLHPCKVAFLRKGVELPAGISSFVCRRVYDIDNKCLWWLTDKDYISVSSLLTLFSFFSVIFVLSVKLMTRNDRKK >Et_1A_008174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:511253:514289:1 gene:Et_1A_008174 transcript:Et_1A_008174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KKIMGGCCCGSSGRTESERAPVRTYNQQNREEREPLSSAFNGPSPTSSIVAVDTNLDTSTPDTYRAPPAPLPYDTGLTAEENRDLKKPDIKSKTDEQQESFKVDEYESCKKGASENKPDEEDVCPICLEEYDEENPRSITKCQHHFHLCCILEWMERSDTCPVCDQEHDLEAIGN >Et_6B_049160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18946850:18947450:-1 gene:Et_6B_049160 transcript:Et_6B_049160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGKKGTAAGARLLAALLAMAMVSSYYCQAIVPCNAQTCSNYCMKNNYKNFQTFCIPGQYYQSCCCRVPGACKRRRRYCRI >Et_8B_058765.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:2682088:2682213:1 gene:Et_8B_058765 transcript:Et_8B_058765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRHAAAWCAQSELFFRLLLKNTVCTPQLAAYANFWRVPSL >Et_3A_025880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33593526:33595167:-1 gene:Et_3A_025880 transcript:Et_3A_025880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLLLDEVRPEPRLGSAVRIGRQERRPFKGVVDVLHDDDRFGHGVVTVEEHGHLLVDGVVLQQQIALLVQQVFQDELVRHALELQGRLGAVGEWAAERADELNQLLLRHFCCLSSSQN >Et_3B_028938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20616168:20616743:1 gene:Et_3B_028938 transcript:Et_3B_028938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIPRAVCAVILTIVFLCVLGLILGYVIFESIRHTYVTVSLVRARGLGVDDDQGTPVKFDVVIGFRYLGRDRAASHDSGIKLAEGLVSKFYVAGDGTQWVEATRAVASAGKNQAPPSQLFRDHLWVDQQLDGVADFDVALSFFDVNITSGVTKSSYHSCKVGFSLHRNEAGLSNCGRPASLLH >Et_9A_063471.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:3512453:3512809:1 gene:Et_9A_063471 transcript:Et_9A_063471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSKASDASKSAAVVQKKKGSSVPAATVAEEDTDALDCGVCFHPLKAPIFQCEVGHVVCSSCRDKLAAGGKCHVCGVTTGYRRCHAMDRLVESVRVPCPNATYGCTATAWWSPSCST >Et_2B_019416.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28638918:28639517:1 gene:Et_2B_019416 transcript:Et_2B_019416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLLYLRPAPTIFRDAALPLHRQQYNRAWSDPLQHLRPHRSGGSSHGESLGSPKSLASRGACHAAGILNKALHYRAVNYASYNQVLVKTILRQDFQRDPGDWELRPLVYEFTDNTTNVKYPISKVSRHYIRRAHLSQHAIRAPIAECQFTRNHLAHGDQCLISEALRDVSWRTIRQILTEGADKLKIREEQFPPCDRK >Et_3B_027859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23155863:23156936:-1 gene:Et_3B_027859 transcript:Et_3B_027859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDAPSPSPSRSLSSSSGASRSPSSPRTKRRRTDRYALGFEFAPRLAPYEVPSSPPRASPEWTEGSTLALLDAWGDRFVRSGRRGIRADEWLEVARLATAAAGRPAGYFSESQCRNRIDTLRKKFKKEKERMRIAARRSRSRPTTSKWVYFDKLMSILSPPPPLAPVPRPLPLLLPHVVTRRRDTHPSPRLSWGRDAPERMLGGGADTRPRDSRPDVGSGEEQKIAAARKIQNGFAMFTESMQKFGDVFERIESRKRQHMVEVEQMRKDFHRELDAKWREILEKAQSEIACLEDEDGDEDDVEEAEDADGNKSLEYVGDQGQNNGTMDTSHRT >Et_4A_033951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28330570:28347816:1 gene:Et_4A_033951 transcript:Et_4A_033951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPREPLPVHLLLDIAARADDVATVAVRFAATSKEIRRAILGTGFQRCLADANGGFHPSLLLGVSYAAYGGAGTVPRRPSSRLRFDANILQSSSFKHMASRDGVVVLWQRPGDVRVCNTATGRVDALPSCIDEENYRFGDGGMIYPPALRAVVGGGRNKFGNGGIYPPALLGVGRADRSFELLVMDKCLRCRIYSSTKDGHGQWADMCLVRPPPDRDHWTMFAPDTDIAAAVIGRTVHWVCYPDPSWMPGNPLPGKFVLAVHADAAVATAIELPQGYVRGTGSCTQLLAVRDGRLSLVVAEPAVVSTWTLLPKAGWSRQVVISRQRITEQVVARGVDASRPVWFRFEQGFGEASGTVLLWMDKVGLVQLNVGTKKVVLLRRYVEGKTDGDNRACLHELNLAALLQGMKQFSVSPLPTNILLEIVARSDDVTTVVRCAATSKILRNAILNPSFFHRLQARADPAFLVGVSYSSTVYGHDKLELDPSSRHLRLDPRVFQYAYQPVSSRDGLLVLRGPESRPDELHVCNSFTGVITRLPPMDVEPDKWENAMAYYPAILDVGRAGRDFEVLVMDKCLRTRIFSSSDVVGKWGAIRVAEAPPEYESCGRVKQLVLHNSPAVIGRTVHWICHAKVGPAVEDTEMFVLALHADDATQATAIEMPPGCPHIDSWEMRTCTVQIAAVDGKLGVMMLESEVVSVSTRSPEGWIRQTVFGKREISRQVTGKRAFRVFRFEGFGERSGTVLFWMDTVGLVRLSLDTRKAVVVREYIEPDGRGIRESRAWLHEINLASLLSEMKAPPPPLWQLLPLDLLIEIAVRSDDGATVVRCGATCKPLRRAILEPAFRRRLVDIAAAKGGRVPALVMAASYRVRLNPIAPFTVRTSWPGLHFDTSILQKFQPACSGDGLLLLWREYTLGWIEFCVCNTRTGDVTYAPGLDLWGTRSSYYCPALLTLDGASSSFELLVLHRSWQIQTCSSSRLGSLGWSATRCIKSPLGRRRTPPMTTEEESLTAPVVIGRTVHWLCNGGYRLGPDENIIIIALHANTAHATVIKLPTEIRISGTMNPFRNLLLAATTDGRLGMVAAEALALTMWTLSPEENVWGRQIVIDRQLTAGLVPYTAVQLQWFGEMTGTLLLLLVVDRVGLVQIDMRTKEATVLHVHGTRGIVSAACLHEIDVVSLLQRMEPSSISRAKLISMWTLSSAVEVWCREVVVRKQQDTPDMDAANRSIWFVGLEFGKTTGTTLLWRVSGSSSSTWEQWWPSERHKSGAVAWVSLHEIDSPPAQQEPFLPQDLQLEIVARADDVATIIRCAAVCKPLRHAIVGDPSFRRRLALRAAAKGGFDSTLLEDVSYRILEENNRRSVISTPRRLPFESRYMYSYEPACSRDGVLVLWRNRKVEKRFNEYWVQLAACDVFTGRVTNIRRGKKFMSSTYGVYGGMYRPALLNVGDDDGSGGRSFELIAMDQRLRIQTFSSHDDGKKGGAFHQLYIPPIISELIHKENVCRAPAAVIGRTAHWLCHLARNSINASMIILAVHADTAQATPIELPEGCVASVGSWDALQRDPAVGGLMLVATEEATRLNLLVAEDTAITMWTHTADEGSSKWSQRVVVRRRELHGQLGSGMNTYQGIRFMGFGERSGTVLFWIRWFGLVQFNLVTNEATLLRRYRKCTQYAAACLHELPFDVLLEIAARTDPTTVVCCAATCKPLRHGILDPSFRRRRLAILAAANNNGGYDFDPAALVGFSYRLTVVRGRHWGWIDTADSRRCRASGSTPDSWRRSSPWRRATASSSSASTTPRSPANGTPASASATVVPPPPSWQAPRLGRLGRKRTSLPAITVSEDHYPLALLNVGARNRSFELLVVDRAIMRFKIFSSKTGRPTRPSSDAPCTGSAAVAVQTLTSSSRSTWTRSPVATMVELPPGCGSSTMVSNSMYVNEILLASVGGRLSCLVAESSTLTVSMWTLTSA >Et_6B_048589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11087930:11090783:-1 gene:Et_6B_048589 transcript:Et_6B_048589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASQLAPMHETTPFIAWRRNSKTKGSSLNYLVQEEQKSRDKKRHKGMCHVAVLPLSTMRQKGQQEGCKLSDIKVTQEKAGKVVQGQPEYQVTIENLCSCPQHDVEFHCNRLPSVEPIDSRKIKVEDELCKVSSALSKRLPATFKYAWKTPQDFTVVSTTASC >Et_2A_015259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1028670:1035210:1 gene:Et_2A_015259 transcript:Et_2A_015259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LTHYDGENIVDCIAHASAPIGHFVSRICLETAFASPRESRRGTPGCLLQSPAALIPRVRLWMNPSMEDRAKAALQRALAEWEDIARISREWRSRSLEELLPDLSRDEQLRLQDRIRERDRVLKRRNKEFPPSSPSSSSSSSNSNSSSAYEIRRDSAMMHLVLVALHHYNARHPGGEFDPVKPLMQSRVGFRGHIWFHINFWACSRTNSKMIKRFFAEIHHMSHSTYTDKCQDPQKPLALIPVVEICTIIEEPLCKYKSSCGFCPADFEILHPKGCRKFVCGNDKDRIGQRLEKDWPQGCQHPFDLIGPSRKGQRR >Et_6A_046749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20025117:20025764:1 gene:Et_6A_046749 transcript:Et_6A_046749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENLTTALGRRNSGWVKFVEATQLATIFFCQSERWYPVREPQFLLHRYLQYPFSDVRLEHTDVAINSSQKRKQRACLSALLDLADSVLRTAIMTRRNPARCPVPVDCPDLTYLRKNLNLPACTKLNGSN >Et_1B_010605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12021910:12025029:1 gene:Et_1B_010605 transcript:Et_1B_010605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAAAPVIGLTQPNAVELPQVTFAAKNIGFSDWNGDVLAVAVTEKDVSKDSDSKFENTVLKKLDSQLGGLLSAAAAEEDFAGRAGQSVVLRLQGQGFKRVALIGHAGHNAASLQGLGEYIASVGKAAQASSVAIVLASPSVIKEELKLNTAAAIASGTVLGLYEDCRYKSERKNVHLKQVHLIGLGSGPEMDQKLEYANHLSSGVVFGRDLVNSPANVLTPAVLAEEASKIASTYSDVFTATILDAEKCSQLKMGAYLAVAAASANPPRFIHLCYKPTGGNVKRKLAIVGKGLTFDSGGYNIKTGPIASIELMKWDMGGSAAVFGAAKALGKIKPPGVEVHFIAAACENMISGTGMRPGDIVTASNGKTIEVDNTDAEGRLTLADALVYACNQGVDKIIDLATLTGAIRVALGPSIAGIFTQSDELAQEFVAASEVSGEKFWRLPMEETYWESMNSGVADMLNTGPMQPKGGAITAALFLKQFVDEKVQWMHIDIAGPIWNHKKRAATGFGVATLVEWVLNNSFY >Et_4B_038506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29551792:29553648:1 gene:Et_4B_038506 transcript:Et_4B_038506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHRFRLLLLLLVVAMMSIAVAESVLEDVMQRQEEGVEPVDAGGYRTYMVFLATPSEAELNKFIKDGVVAAHRAWHQSFLPSTRTSLGGPRLVWSLHTGIYGFAARLTEDELKVVSGKPGFVHASPDVLCSKDTTHTPSYLGLPQRRPRRRERRQYGPGGVIRPQPRSLDADGGTMDRAFTATVHLDGESMVPEDGDRRYCLYPRDELHLFRDRIVRHMPTWPAGDGARRIVEPVLQNGALGVILIQPREEGYTARLLDSGPDRPVVLVPYHEFPRLRDYYFAKAPLSFGDGTVLGFGPAPTVAYFSSRGPNPQLPSILKPEIMAPGVNVLAAKPYMFRGFDYGFKSGTSMATPHVSGLALLLKKQHPTWNPAAIRSALMTTADVADNRGLRIMDERHDAPAGVYTAGAGHVNLRRAMDPGLLYDLLGDQEYSSFICATLGETPLKNITRDDHRKCSYFPTMSHPWDLNTPSIVVPLRPTNPPVAVRTLTNVGPASSTSHLHGCRSPTRSQSAAPATAPSRERCTRGPSPGPPTSTASGSPWSPSSAWGNRSRQPAGTSTTDDLLRLALLQHWYSL >Et_9B_066009.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:20319469:20319759:1 gene:Et_9B_066009 transcript:Et_9B_066009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQVLPLQLRRPVLGRPGTYRFLALLGASMVVFSVVGTPAVHGGYALAGFLIWLMGVARLLLFGQIGQHPPSPGALEAATAYLSGGEADEPSPA >Et_2A_014657.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32324252:32326605:-1 gene:Et_2A_014657 transcript:Et_2A_014657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAPPNAAAPPAPEARQSRQKLPDFQQSVRLKYVKLGYHYLISHGMYLLLSPLMALVAVQLSTVSPGDLVDLWEQLRFNLLSVVACSTLLVFLSTVYFLTRPRPVYLVDFACYKPEPERKCTRETFMHCSELTGSFTNENLEFQRKILERSGLGEDTYLPPAVLRVPPNPCMDEARKEARTVMFGAIDQLLEKTGVKPKDIGVLVVNCSLFNPTPSLSAMVVNHYKLRGNIVSYNLGGMGCSAGLLSIDLAKDLLQVHPNSYALVISMENITLNWYFGNNRSMLVSNCLFRMGGAAILLSNKRSDRRRSKYELVHTVRTHKGADDKCFGCVTQEEDEIGKIGVSLSKDLMAVAGDALKTNITTLGPLVLPLSEQLLFMATLIAKKVFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELTDWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIRRSDRIWQIAFGSGFKCNSAVWKALRTVNPKKENPWMDEIDNFPVDVPKISKVGKA >Et_7A_052228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5872408:5874529:-1 gene:Et_7A_052228 transcript:Et_7A_052228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTPARAASAMRLFDAHCHLQDPRVAAVAPGLIRAAAASGVARFAVNGTSEKDWHLVKKMAEDHPAVVPCFGLHPWWVPERSQDWMDLLRRFFAETPEAAVGETGLDKGSHGKTIDFGEQVEVFRRQLELAKELDRPVSVHCVRAFGDLLEILKEIGPFPAGVLLHSYLGSAEMVSGLANLGCYFSLSGFLTGMKSTKAKKMLKSIPLDRILLETDAPDALPKLDNFPVSPIPVDTSDADTENQPKDSDPLAATASNESSNHPANIHIVLKYVASLLEMSEAELAEVSYKNAVKLFSYPGSKVHPEAESI >Et_4A_035589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30254778:30260922:1 gene:Et_4A_035589 transcript:Et_4A_035589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRLLVLLRRLSQLHPTPAAISSASAPPTPALLAPTRHLAFSSAEEAAAERRRRKRRHRIEPPIHAFRRESSPPPRDCHLPDTTSSLVGPRLSLHNRIQSLIRSGDLDGASDAARAAVSSRVRPTVFTCNAVAASMVRAARHDDAAELFEFFFRRSGIIPNVVSYNTLILAHCEAGRVDAALKVYNEMLTSAPFSPSAVTYRHLTKGLVTAGRIRDALDLLREMLNYGQGADSLVYNNLIAGYIDLDDWDRAFELFNELSERCLVYDGVVHTTFMEGYWKQDKDKEAMDNYQSLLDRGFKMTPATCNVLLETLFKHGKHKQANDLWETMIDNHSPPTFIGINAESYNVMVNQCFKEGKFQEAIEVFHRKPRKNVQMDVGCFNNIIGKLCNNAMLAEAEKLFEEMETKSVLPDVYTYTYLVDSCFKEGRVDDTMQYFYKMADGREHGSKFNIGFFNRMLGGLSEVGRSGDALKVYARMPDKEIKPNTTTFEILVNALCKQGDLDRAQDLVRDMARGGIVAPELRESITEIFNKANRQEEIERAFEEKPVPTPQSRISYHPPSSPHGLPGFASGQNQTSYAPYPGQAGIAVVTGGNKGIGLEVCRQLDHNGITVILTARDDKRGAAAVKKLRDLGLCDVIFHQLEVTDTPSITRLADFVKTRFGRLDILVNNAAVSGLEYAQDHVGVSEEKLSDMDMNQRIDLVLRCCRETCDAGKECLRTNYYGTKQVIEALLPLLQSSDDGRIVNVSSEYGQLRVIKLPSSDDWHHIYHTISNESVQCMSNQPMQHFDNEQLKQELNDEENLMEERLDEVLAMFEKDMEAGALLEASRGWPMGLSAYKVSKAVLNAYSRVLARRHPELRVNCVHPGYVSTDMNLRSGFLTPEEGGSRVVAVALLPAGGPTGALFIERQLAPFL >Et_5B_044524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3088422:3090730:-1 gene:Et_5B_044524 transcript:Et_5B_044524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWEGYVSDETMGTFAPIMLYWVYGGGYQLILHRRPLQRYRLHTQAEENEKNLVSLPTVVRGVLLQQLVQAIVAMILFMVTSDSSTPVVQPPIRIQIFQFGIAMLVMDAWQYFVHRYMHQNKFLYKHIHSQHHRLIVPYAIGALYNHPLEGLLLDTLGGAMSFLFSSMTPRTAVFFFCFAVMKTVDDHCGLWLPYNIFQRLFRNNTAYHDIHHQLQGTKYNYSQPFFSIWDRILGTHMPYKLVNRKEGGFEARPARD >Et_9B_066174.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:5694827:5695546:-1 gene:Et_9B_066174 transcript:Et_9B_066174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGMARRLWHVVLAVCHMLRRGLSRRRIMMDVHLLLGRGKLAGRALRGLLAHPAGQHGHGRGGHRLTSSSYGAAASSSSSSSLASFYGHPREVEFSCTTTPSYPPYYGLFPFAANKGRGGRARGEYGGLDAAAVARAFEMLSAEVDAGGSTPAMAAAATPGAASTATPSPMVAWILGRSPAGVRPLRVTDSPFPAVPDDGCGGERVDAEADDFIRKFYEQLRMQPYAATPDAHLRRRG >Et_6A_046695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19545017:19548778:-1 gene:Et_6A_046695 transcript:Et_6A_046695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSLQLLTFYANCRTPYQHAVPIEGGVKKLAEMEAMLVKTIVVSASIGVMKPLLAKLTTLMGDEYKKFKGVRRQVSFLKDELGTINAFLETLELMDELDPLVKNWRSHVREMAYDIEDCIDNFMHHVGDTDPNEGFANKTVRRLKTIDKLKTRVLEARDTKVAVDSQVTSLYPKAGNLVGIDGPRKELVNLLTDAQQKLKVVSIVGFGGLGKTTLAKEVYCNVGEKFRCKAFSSVSQRPDIMKFLSGIQSKLGLPGSSSKCGGLPLAIITIASLLANQPATLKEWEKTLHSLTMHIGTHPTLEGMRKILDLSYKNLPPHLRTCLLYLGIYPEDYEIKRNDLIRQWVAEGFVCNLQGQELVDVAKSYFNELINRSLIQPESTDYGEVLSCRVHDMMLDLIWHRCKDDNFVTVLCNSKDMETHQDNKARRLYLNCSNADLVDGRVSGTILSSMSQVRAFAVYGESNCVPPLVLFKYLRVLILDIFSYKIECKVDLTAISQLFQLTYLKIAGNYIVDVVLPTKIKGLKHLETLDMYGVESIPSDIIYLPRLSHLIVDADASLPDGIGSLKSLRTLGSIYLFSTTINVLGELTNLRDLTLCYTDYDDIPDPETAKIKRLVSSLGKLCMLRSLTLDIKWEVRDDGDQLGSLWEPPLGIERLHLNRWLFPSVPEWIGGHLSKLCSLTLFVMKMSRDSVHALAELPSLLFLGLEMKIHRGMTIVDFGSAGFPSLEHLDFSCGRYSASYMEFHAGVMPRLRRLTLRFPYSLHDTGPSSWCDNVTLTGMRHLPRLRSIHALAWSCSEEHVRKIERDLRNATRAHPNRPSFTMDRYPNERILHP >Et_4B_038709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4118566:4121149:-1 gene:Et_4B_038709 transcript:Et_4B_038709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGRRMVRVEASPERGRPVYASVRPAPARPKRKVQIVYYLCRNGQLEHPHFMELAQHPHQPLRLKDVTDRLTLLRGKGMPALFSWSCKRNYKNGYVWNDLSENDVIYPSDGVEYVLKGSEIFPGCSSDRFQHLRVTDRSPTKALALPHTHKQYMDSYRDDAAEDPDDDELAYAYHRRAAAAARLARQDKPVSARTNRSRPVELPVEETSPPSSTSSDKPPPPAPLQQPGRADLEPEPEPNRPGSMLLQLIACGSTAPAAGGAGKCRSEPRRSCGLVSRLSSRAGADEDDDEEQVGGELGRRFGHLAVEDKEYYSGSIVEGGGGRGTPLPASSLKRSNSYNEERSSRLGVGSLGEERRDEQMEGDEGIIRGRCIPGRKKQQPQK >Et_7B_054635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3062589:3067226:1 gene:Et_7B_054635 transcript:Et_7B_054635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLTPRNPYQKKENLGSVRRGMGFKVAPRRNVLSAINNGAPSEQSDEGGPPPPPPLVEFSGREDVERLLAEKMKGKSKNDFKGRVDQMSEYIKKLRVCIRWFMDLEDGYLAEQEKLRGDMHAENTRHGELEIDKTMRITGGSLNKEQADKLLAIESYEKEKKQRESVEAVRDQMIVDLERVTDEAKRFSDQLEMIQETNKTLQLYNTSLQTYNANLQADASKSGETISKLQKEKSGMMEIMTSLKERNDSMNNQLESSRASQQEAIRVKEELRKEVECLRAELKQVREDRDNSVAQLNNLRVELTNYKEQIGKSSEDCENLRIKLSALEKTCSTQQEQILNFQKQLAVATEKLKLADVTAIEAMTGYEEQKERIKYLEERLAHAESQIVEGDQLRKKLHNTILELKGNIRVFCRVRPLLSHGDSNSVEGASISYPTSLESAGQSIDLMNQGQKLSFSYDKVFDHDASQADVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRRGVDQKGIIPRSLEQIFKTSQSLESQGWKYSMQASMLEIYNETIRDLLAPGRSNSFEAANSKQYTIKHDSHGNTTVSDLTVIDVFGTADVTSLLEKASQSRSVGRTQMNEQSSRSHFVFTLKIFGSNEHTGQQVQGILNLIDLAGSERLAKSGSTGDRLKETQAINRSLSALSDVIFAIAKGDDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPETSSQGETLCSLRFAARVNACEIGTARRHTQTRAVDSRLSYG >Et_1A_007831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38621276:38625307:-1 gene:Et_1A_007831 transcript:Et_1A_007831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPFPTHAIVAPARKKDDRTDAAMRLCAPMPSGERGEGTAAVAVDGDRGSQYALKWAADHILSRTRSFFLLHVRRKHTSLHGGGKQFSISHVQDDVASAFHAQVDLHTKELLLPFQCFCSRRGLQCREIILDGADVSKAIVDFVASKNIDKLVLGSASRNAFTRTIRKLDTPTSVTKSAPNFCSVYVIAKGKLSSFRPATQANVNDTGKEDFKSNLPGNRVPGVKSMCFADILLLHIHNIYLWSYESTDDGSLTSASSYLKTGSSWPSEFSDTDRHSSYFSPEYLEYNRDRLLLPNKGNEQAIISPNGKYLGYDESSFNDSSLSPGYNICDPVSPNASGECAESTSYHLTEDDEAELTLFKIEQRKNNGDMPPSSYEEEHKEMSRSPETYSSNLPPYRNRPEAESCSRSAIDRKHKPVPLDTSSSDSQSRETIIEEFTDHSSQSTVHPILRRLPPKFYSPRNDGRRESAPEETYILDLKIKALPRPIETKRMLECLPTRLECRTYTPQDIADATDRFAPELKIGEGGYGPVYKATLDNTLVAIKILHSNVTQGLKQFRQEVDLLNNIRHPNMVHLVGACPEYGCLVYEYMPNGSLEDRLFCQSGTPPLPWKLRFKIAVEIARGLLYLHNMKPEAFVHRDLKPGNILLDADFVSKIGDVGLARIIPRVDDAAVTQYRETAAAGTFCYIDPEYQKTGLVCTKSDVYALGVIYLQMITGKDAMGLAYTVSDALEEGTFEELLDSNVTGWPVQEAREFAELALKCCEMRRRDRPDLESDVFPELIRLHKIVTLSSEGSSPHPSMDQIHQRSASEKDLPLEDDLADILNDRIVKGASFAI >Et_9A_063098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10139042:10141611:1 gene:Et_9A_063098 transcript:Et_9A_063098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMVMIRNTSRSQILTVKSIRGLTVVKSSKEANKVTGKIAIAVQKPAPGLKVNLFEDKLKVKFGFDKEDEGAREKEKDEMAKKGKDVKKASDARKTDGGGGEGQGEEQQEGLLALSSDCGQGDKQVTGRKGDKQKAWTTIAH >Et_1B_010972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15973432:15978931:1 gene:Et_1B_010972 transcript:Et_1B_010972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KVINCQQHVLFVSWGGCGWVGAGRDADWSRRRRGDLVGAVYGGDGGQIRKGRATSSGANRGVGERKCRERGGWRFARTRRGFLTMGFDNEYIFNIQSLPGEYFCPVCRTLVYPNEALQAQCTHLYCKPCLAYVVATTKACPYDGYLVTETDSKPLMESNKLLAETIGKVTVHCLYNKSGCQWQGTLSACTTHGDTCAYGNSPVVCNRCGAQIVHRQVQEHAQLCPGVQSQTQQADGSQAQPPLSPSKRCISPNPKHQLVIHRLSSIKCHPNPKVSPTFHIFKHLQVRRRLSSLCNQPIKFRRCNNKVKCLSNCLLPKHNLQYINRPLLKLQFGVPSTQATQHQMQPPVQAQPPQLQALPPQQNQHLQPHMQLNSQIQHHSTQVQLQSYQQSHVYPQPTPLMHPRNTSYPQQQMPPGAPQYPAHASHHQGLVSQHPAPMRPPMPSQQPAMPPPQGILHKPQHQQNAGYHAQRPPVHSSNPSQAPQQGLPPHSSVSSQAGQPQKNNFGSGSNDGQTVIALDSADTSIGKDGIAGQAGNCQGRGVQGGKEHKASDTYDNLEKGGLAQQASQRNAKPPGSFAPLGMGPQHPFGPDRIFPQHMMNSGDMPYNMQGRPNQTSRPPKHSLGDNIRPPLEQPYGSFNSGTAQRTFVENHIPSPMPQPAGIRPGEGMVRPPIAGPFPGHHDTMPPFVPEPLGRPHPPGNTLDQWQILLFFYCIYTDQTCIHSGMSKSNGVGGGSFGSGRAFHEEGFNTSGEHLRSFAAYPGRHNVHHKDIEDLKQFPGPAHLDVRGPQMGPNSFERTLGTPDGFPERPVFENQKGQFPVALHDDFSRKPNATGRPDFLSPGAEFDHHGSDEMPNLRNPGPFFQGMSAGPGSVHKDYLGPVNAFDGPVFPHTRFHPGHMHPDDPNLVADYSRHGFPKGPGHFGLTGVMRNAGWCRICLFNCGSAENLDLHVETREHQQCTMDLVLKMKQDVAKRQKLNHGGPKSFHNKKVAGKVHFRGN >Et_10B_004305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3300252:3301000:1 gene:Et_10B_004305 transcript:Et_10B_004305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLHHPPPPVPAPAPAQQQDAIAAAVIMPHAAAVQTVMVAQNPTAMAGREQCPRCASMDTKLILLLQHGPATTLLPRVPALLDPGGLPPQRPRHAPQAPAARAAHTHTRRHHLIGGSAADDDEDGHRLLVRPICVPCGRAGTDRQPARLAAAGLGAAATPASCSRRLLGFGAGPLPWPVTTASQADRAEPTPWKPSGGVFPLPPAAALWQEEELAAAEMAPMAHAGGPLLHHGAPTPLLL >Et_1B_011575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23748362:23750162:-1 gene:Et_1B_011575 transcript:Et_1B_011575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGGKSSNDDDRRVMSCGAGQVAVLGVRAGGAVCRGGGGRGRLEPEHPGALHVCAPHVGPLVREQPRDAAAGVSAERPAGPREAVEQVEVGLEIVAAGGPGVGAQARRVAAVQPELGARVHVALPPPRDHRRHARHHVPRVHAALPLEPVRERAVPGLGPAERDHGDGRRLTISIVAGEAGAELVGGEEGQRRAEAVADDRDAELLPGVHLHEALHLLHHGVREARHVVPRRLVQAQEPLGHLRHRRVVAVTVLRVERHQAGPQVLGPLGARRGAAQHDDDVAAAHAPDLPLAVADGHVAHEAGPLPTPARPAAAHGGTASDDDADRLRVGRYDRSGSTSVRCCPFDGAASAADGMEWNAVYAACTKSPYRRSSRRRAPFGDCSRGDSVSRRCRWARLRGDLSSAAGLWVKGRKVDRFSACSSPPRPLRSSSSGVDSPSSPGFSTGAGGFLLGSTRGRGSGGGRTRRRSRLARWLLVEMAFGLLPSLGGAAALAFGLSGDGRLWLGGAVTAADMVAGR >Et_2B_019689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12322178:12324232:1 gene:Et_2B_019689 transcript:Et_2B_019689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRAATECPKKVAGLVDLVNLPTALREFAGGQSQMSHLTFFRRVWSYIKDNNLQDPTNKNIVKCDDKLKAVLLGRSRVELSELPMIVKLHFPKVPKS >Et_4A_032481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10526049:10532064:1 gene:Et_4A_032481 transcript:Et_4A_032481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASAAVPPPPPPPPAGVPPYGPGLAGILPPKPEEEKKEEKVDYLNLPCPVPFEEIQREALMSLKPELFEGFRFDFTKGLNQKFSLSHSVLMGSLEVPAQSTETIKVPTAHYEFGANFLDPKLMLIGRVMTDGRLNARVKCDLTDNLTLKVNAQLTHEAHYSQGMFNFDYKGTDYRTQFQIGNNAFYGGNYIQSVTPNLSMGTEVFWLGQQRKSGVGFASRYNTDKMVGTLQVASTGIVALSYVQKVSEKVSLAADFMYNQMTKDVTSSFGYDYLLRQCRLRGKIDSNGVVAAYLEERLNMGVNFILSAELDHPKKNYKFGFGLTVGDT >Et_2A_018180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1748751:1751593:-1 gene:Et_2A_018180 transcript:Et_2A_018180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAQDVTLFEVEQREFSAQPFVTPYSIAMLRERTHGFLIALILCCLMFAKSAGKASSCIPGERDALLAFKAGITDPGDKLRSWQGQDCCKWSGVSCSNNTLHVIKLDVSQYALKGELNSSLAALTRLVHLNMSYNDFGGMAIPEFVGSFKKLKHLDLSCANFGGKVPPQLANLSILEHLDLNSFNSSTIMIDSFVWISYLTSLRYLDLSWLDLAASSDWLQVTRDATGGKNLFRCLKQLRFLDVSINKLTGSISGWLEDLSTFHRKKGIFSVH >Et_1B_014059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29270754:29274835:1 gene:Et_1B_014059 transcript:Et_1B_014059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRHSTAAAAARASSSPAKRDSDASAASSPFLSSPSARGRGGGGSGGVDDDDSKDAHRSSPLLAHHHKHLRIPSPLRSLLALEDPRSAAASTSYRILLALLTLLLAAGLFAIPSLWSRLTKEPLSLWENPRAATTSWKPCAERRGDEPSGKSTLHITQRHNICNAVAIAKIMSATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPDWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRVEEKRIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPHIEEMADKLAGRMRNRTGSVNPYIQVHFAFRDQTLDAHCCIAPSSQVTKEELASAEELAPFRRHVTSLAALDFLVCLRSDVFVMTHGGNFAKLIIGARRYAGHRLKSVKPDKGLMSKSLGDPDMGWASFAEDVVVSHRTRTGLPEPTFPSYDLWENPLTPCMCRA >Et_1B_011113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17732162:17732741:-1 gene:Et_1B_011113 transcript:Et_1B_011113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRCYCGSSSAVIIPQKNSPRTIIRASMDSFPSDDAKAVSNSVRVTTKVNKVYEDRNMGIHCYTDENGELVCEGLDEGPRLTWQDMEKLSREKKRAEEDLRLRRLPVPGGIDWSKLQAAVSMGKN >Et_2A_018342.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:2445554:2445826:1 gene:Et_2A_018342 transcript:Et_2A_018342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRGEATDHTDDAFREVGWGACLANHYQHALWPSIPGPVRAVTVFFGAKDAALPDRASSKLPHVPVAEYRDNLRAQLRMQLKSHILYLP >Et_3A_025311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28851586:28855532:-1 gene:Et_3A_025311 transcript:Et_3A_025311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTPPLLPVTNPAAGGGSAPSSGSVLSDAPLATPAFRLFVSRLSDTARRSLADRKPWTELLDRSAFSRPDSLSEATSRLRRNLGYFRVNYAAVVAFSLAASLLAHPFSLLVLLSILGAWCFLYVFRASDQPVVLFGRTFTDRETLLGLVVASVLAFFLTSVASLIISGLLVGGAIVAVHGAFRMPEDLFLDDPGASSNGNTTNRLLSFLASPGSGGRLAFTVPHLRQHLEMPVAVNRDMRCFSSADHRPSVLKTSSRHGSLPCPGHPTIFVVGTLPRLPVNLQRHKLDHVVTIIVFDDSNVVVHILQYIRSRADDDAKEHEVLLRRDTVKGWTADALRDLRQVLKVEALKGAKQDVQLSSAPNGSEAVEDHHIEAYKVL >Et_8B_058524.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:12729034:12730315:1 gene:Et_8B_058524 transcript:Et_8B_058524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDDAPTPPLAAAEGAGTRTVRVAPRACFRRFLEQWHRRPDSGLALWAAAALGAAAEQGCLRLGSGGLPEETARLWPLDTLPSPPAVTDDRNEVDVADTAGCAAAALIAGAAGFVKSSVRLIRGAAGCETTTGGAGDGAGRGEAGGDSVCTAAGGARRNRAWPVRSRRLMTRRNRETAWSAICPSGCGWCSACGVGEREDARSSRLRSPAAAASWNARCSPAAAGAAKARPSRSTAMAGWRRRRPSRGGRGLGRSTRWWFFLLLGLRFGLGCEDF >Et_5B_045127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9853702:9854177:1 gene:Et_5B_045127 transcript:Et_5B_045127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINCDGAFDKRMVMGDGALLSMTLMETQGQVNYAFDSLHTEVIACLKEAQTAMEMGMGRIVIETDALLVKQAIESPNYDDCAYGALIAELQSLIRYEFLAARIEYKPRQCNKVAHSLAALGVVCPVTADPLLDSLPACINDLVASDLAAPLS >Et_8B_059531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18973645:18975088:1 gene:Et_8B_059531 transcript:Et_8B_059531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLPTTVAAARLAAAQDFAFPTPKPSSSATTLTLAAPAAFPSLALAAAPLGRRSRSAQPRPPAAAPGADQRETILLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCKYPTYQPKERRTSKYESRRYERRRDGPPANRRPKQEAPQTESASS >Et_8B_059395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17552167:17559438:1 gene:Et_8B_059395 transcript:Et_8B_059395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSDFLLAAFLALIASQAIASDPSPLQDFCVADMHSPVRVNGFVCKDPMAVNAEDFFMAANLDKPRDTKMSKVGSNVTLVNVMKLPGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVLSNTDNGNKLFTKVLNKGDVFVFPEGLIHFQFNPVHDKPAVALAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQIEFQHSKDLRNSYKMAASTYFLLAALLAVVTSYAIASDPSPLQDFCVADMHSPVRVNGFVCKDPMAVNAEDFFMAANLDKPRDTKMSKVGSNVTLVNVMKLPGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNTDNGNKLFTKVLNKGDVFVFPEGLIHFQFNPVHDKPAVALAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVQKGTIDWLQAQFWENNHY >Et_5B_044931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7396828:7400057:-1 gene:Et_5B_044931 transcript:Et_5B_044931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGAGAVDLALLLLLLLARPATAAFPCDGTVTYTPNSTFQANLDSLAKELPVNASASPAGFATLTVGTAPEQANGLALCRGDTNASTCASCVRAAFQDAQQACPLYKGAVIFRDACNLQFAGRQFLDFLKPDQWTLQELLINTAPDSVNASDAWFSAAVTGIFTAMIKSAAASTNSTRKYFTTAEMAFNPKIYGLAQCAPDLTPDQCRDCLGFIQSETMARHMDGRPVSNIGAVVWCMLSYSALSPVYEGRAMLQLAAPPEPPPAATPSPATPESGAGRKGIAAAVSAGIAGSVVFMLIVSVFFYLRFRRKIKATKKDHTPALKKIGNPQCTVFDLMTLQEATEHFSEKNKLGEGGFGTVYKGTLSDGEEVAVKTLLGRTGHALHQLHNEIQVLAKLQHKNLVRLLGYCSHQNDTLLVYEYIKNGSLDGILFDKSKGNELHWEQQYNIILGIAKGILYLHEDSSTRIIHRDLKANNILLDDDLEPKIADFGLARLMGEGHTRTQTARVVGTFGYMAPEYAMHGIVSPKVDIFSFGVLVLEIVTRRSNCGSDDYSAVNLLSDVWDHWTKGSTSQMLDQSLVGYARIQALRCIHVGLLCVQVDPDDRPDISAVVFMLTRDGMELQPPEEPAFFFARGSPSASRSDGQSSYLYDRSSSILGQDISVNGLTVSEPYPR >Et_8A_057981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1176587:1177057:-1 gene:Et_8A_057981 transcript:Et_8A_057981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPANAAAVVHRALIAACLVLLVIGGGGRGPMMLRGVAAQRGGECVPQLNRLLACRAYAVRGAPDPSPDCCTALSSVSHDCACTTMGIINSLPSRCNVAPLFEASGASRMQSSPK >Et_3A_027181.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:4034538:4035110:1 gene:Et_3A_027181 transcript:Et_3A_027181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFNGVRFVRLRCCTRRGKYLAADVDGRDVCLSGQRGVHNAVWAVQHAAGPDGGPCVLLRGAYGRYLLATDLQAGTGPSHGVAAAQGDLRCATPPPGMLWQAIRRRSAFVIRCGTGRYLRANGKYLRWRRAVTVAGDNGSTMMHWVIENVPIRMTRPCILDPTFQACHILISDDELPIGVLVFILSDRF >Et_10A_000088.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22739573:22740649:1 gene:Et_10A_000088 transcript:Et_10A_000088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRRPPRLRPLPRRRRHRRLRRRPTPPPRRRRRRCVQEIDLLPSSAHSLSLTASQRRRKSTKRGGERGQGRRFRGVRWRPWGKWSTEIRDPGLGTKRWLGTFDTAEEAAAVYDSAALRLRGPTAVTNFHHSSPLSSSSLSSAVSPASSTAASTTPPVPSPEAEGSTASPPSPESSVVDAEEEVTGMWFQEESPLGFMDFGLPDKGGLWGPTPAACEFGDLGDLDDLFSPE >Et_3A_026815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2210625:2213477:1 gene:Et_3A_026815 transcript:Et_3A_026815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLSPTVSSLAAPSPPRFRALFAATASISNLAPRLQCKNLATLQSPLNITSTPSCAKKRPVLVHASTEASEADAEQPEEPKPAVKIEEMSLESKQQMIMEQRARMKLAKKLRQRRKRLVRKRRLRKKGRWPPSKMKKLKNEMIWDLLGRASDSHDKLKNKLTGK >Et_1B_013451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8525640:8528979:1 gene:Et_1B_013451 transcript:Et_1B_013451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMDCVTSKVDKQETAPPAKAPWWTSETVAVVTGANRGIGHALAARLAEQGLTVVLTARDEARGEAAAAALRARGLRGSVRFRRLDVTDPASVAAFASWVRDELGGLDILVSPSISSSSLRDVNNAAVSFNEIDTNSVEHAETVLRTNFYGAKMLTEALLPLFRRSSATSRILNMSSLLGLLNVSDQMLHTDGAELSRTAAELFKLRDPALQRMLLDESSLTERDIEAMVSRFLSEVSDGTWQGQGWPAVWTDYAVSKLALNAYSRLLASRLAGQGVAVNCFCPGFTRTDMTRGWGKRTAEEAGRVAAGLALMPPGELPTGKFFKWSTPQLYSKL >Et_4A_035882.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7819076:7819552:-1 gene:Et_4A_035882 transcript:Et_4A_035882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRHGNVFDPFSLDLWDPFQGFPFGSGSSSGSLFPRVSSDSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEIEDGNVLQISGERSKEQEEKNDKWHRVERSSGKFLRRFRLPDNAKMEQIKASMENGVLTVTVPKEEVKKTEVKPVQISG >Et_6B_049668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8188360:8200050:-1 gene:Et_6B_049668 transcript:Et_6B_049668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAKAKNGKLDAQPACGADRIGALPDALLHHMLSFLPVQEAVRTCVLARRWRDLWKHATGLHIIRSAGSDPASAQNLRKFVYHLLLLRERSPLHTFEFKLRGFDVEDVANNFLNFSGCPALKDLEITNCDFLDVKKLSSLSLKHLTIRACFNSEQFGPHICTPGLVSLYLDDPTENRAPVLERMPELVLAYVNVSPLLSARYGTSILDSDSNDDAAVKGYDSMLLGGLSEAKDLTLLAPNPRMARLEMVPYVCEVEDFGPEHKVEMEGSRNPTETTLAISECLRIVSVKCEVADERVLSVMKFLSRHNIRKWTEDTFYNHWGKSAGGGGSVVSRVGPFCTSNAMANPIGR >Et_2B_022859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6871560:6872622:1 gene:Et_2B_022859 transcript:Et_2B_022859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRQVQNLLMQMAWRSKLKTPWSNEMGMRIDELEQSINDIKAEMGSEGMTPPPKLKDEESKPADSSA >Et_6B_049629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7577561:7582739:1 gene:Et_6B_049629 transcript:Et_6B_049629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLVILLLPLLLALPAPAAALSADGQALLAFKSAVTDDPTSALSLWSDADADPCRWPGVTCVNASGGGEPRVVGVAVAGKNLSGRLPGELASLPFLRRLNLHGNRLTGPVPSALANATSLHSLFLYDNLLTGELPAAALCGQLPRLQNLDLSRNALSGALPRELSRCGQLQRLLLAGNAISGELPRDVWPAMASLQLLDLSSNNLTGGIPPELGKLPALAGTLNLSRNHLTGGVPPELGRLPATVTLDLRFNELAGEIPQSGSLASQGPTAFLNNPGLCGFPLQVPCRAAPPSSSASDMPTSSDVRGGAQGGGGPRPPMKTSLIVLISAADAAGVALIGIIAVYVYWKLRDRRRRESRSPSKCDAAADGNDEEEEGRVGLFPCCCPCTRSDASSSECSSDDGGDGKPTTTGGAEGELVAIDKGFRMELDELLRSSAYVLGKGGKGIVYKVVVGNGTTPVAVRRLGGGAAAPERCREFAAEAAAVGRVRHPNVVRLRAYYWSADEKLVVTDFVNNGNLATALCGRSGQPCLSWPLRLRIARGAARGLAHLHECSPRRFVHGEVKPSNILLDADYTALVSDFGLARLLAVAGCTDVYSVSGAGGGGLMGGALPYARPPGASFDRPSAYRAPEARAAGARPSQKSDVYSFGVVLLEMLTGRSPEHGSTSFPAAHDEQQQNNVAPEMVRWVRQGFEDARPLAELADEAVLRDAGARKEVVAAFHVALGCVEADPERRPRMKAVSESLDRIGE >Et_4B_039991.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7274303:7274566:-1 gene:Et_4B_039991 transcript:Et_4B_039991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCCRRRDRPAAGGLLGPWLLIAILVQSSFLGSTLFTAVDAARTSPFVVAEAPLTMAPAPAPSPADDTVGDSKRKVPTGSNPLHNR >Et_6A_046335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13257511:13260452:1 gene:Et_6A_046335 transcript:Et_6A_046335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGRMAEENRRPVAAAGKPLPSVRDVLTVAVLGSDLALGVAAAEMGNRRALRDINNLVGAHRYPCAIAKKPVLQKIGGDEQKPATRLCFDLEFLLWFIRMYATSLASKQDPENQAIETDAAPGAEHHKEASIDDGTVDVDVELCETIDDTDMDEQHKDSSNDEMMDIDKADSKNPLAATEYVEELYNFYRETEEASCVKPDYMTSQEDINNKMRAILIDWLIEVHYKFELMDETLFLTVNIIDRFLEKQVVPRKKLQLVGITAMLLACKYEEVSVPVVEDLVIISDRAYTKGQILEMEKLILNTLHFNMSVPTPYVFMRRFLKAADSDKQLQLVSFFILELCLVEYQMLKYRPSLLAAAAVYTAQCALNRCQHWTRICELHSRYSSDQLLECSRMMVDFHQKAGNGKLTGVHRKYTTFKFGCTAKVQPALFLLETEGTT >Et_1B_009735.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:21922638:21923135:1 gene:Et_1B_009735 transcript:Et_1B_009735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKSLVAFALAMALAIAATRAQNTPQDFVNLHNRARAADNVGPVTWDQRVARYAEDYAAKRSGDCQLVHSGGPFGENIFWGSAGRAWSAADAVSSWVDEKKNYHYSTNTCDPGKVCGHYTQVVWRKSTRIGCARVDCAANRGVFIICSYDPPGNFNGERPFMIA >Et_9B_065185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21882926:21884299:-1 gene:Et_9B_065185 transcript:Et_9B_065185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGAAAAAPAPVGGSVIAIHSLDEWSMQIDEANSTPTKKLVVIDFTASWCGPCRIMAPIFEDLAKKNPNVVFLKVDVDEVKEIARQFRVEAMPTFLFMKDGEVKDRVVGAVKDELAKKLELQMSQ >Et_3A_024427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20711735:20715582:1 gene:Et_3A_024427 transcript:Et_3A_024427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRAREMYKKVVRRVGGDGNLPTDLMDSVKNMLPNNKVVMGRAKRGIFAGRHIQFGNKVSEDGGNNYIHNRHIRVKVTTHALRCIDKAGGIDEYLLKTPYNKMDTEMRIVWKAKIEKMYSELAGMEVGLFLPGEEAKIEQGFQEARAANRVSRREARRALAKQKQLKEGNGNDDQTTEVADTKEDMLPVAAYLIKDLRKQYTNQHAGFHGGRKHERLSQSPLHPTPRSVRKSAVPPEVWASRRYCVDSTLAQASPRSPPSPSAEVLQNSSMVPCGAPACLGVLASAFLTGVFLPSLLSGRERTVPLDTEDASSGPNPLSSPCTEWFPSLPESEALSTLETTPAIFSRSTVLYANIWNGTSETRAAPSPRCHAGILFSSSASMSR >Et_3A_023549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33968567:33970224:1 gene:Et_3A_023549 transcript:Et_3A_023549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLSLSLSLSLSLSLSAPRVLRAQPFDYPSAKPSTTWANTDASLSHHLVYSDGSVARAALLRLNPAGFGPSFAFGFFCTNHRGGSTPCADFLLGVAVVYSNSGGLMTSLTAGIPQVVWSANRGRPVGEGATAELTAAGDLVLKAANGAVVWSAGTAGRSVAGVSINGDGNLVLFDASSKPVWQSFDHPTDTLLVGQTLKQGARLVANSSAANWTDSRIYLAVADDGLGAYVAAKPKPQRYFHLGFAKTAGAFASYTNGSFAVLARSGSGEQELLATFQLPAVGAGTVQYMRLEHDGHLRLYEWNPAGWAPVFDVIRLFPDGDGCAFPTVCGNYGVCTDSSQCSCPDATNFRPVDFRRPNRGCVPAIATPISCAARGKLRLVSLQNMAYFNDHATSLRAMDRVSEDACKKACLGDCACMAAQFVYGADARDGSCYLQSEVFSLQTSQPEIVHYNSTMHLKVHAA >Et_8A_056836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17779823:17783902:1 gene:Et_8A_056836 transcript:Et_8A_056836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKTDADEAIDADDDMLQELVRELAHNGSVCSVGRLELLLGVQDLGIVHVFRRTKLLAESSDVGRVFLLEECDLGFAHLAAPVPSCQPLPWPFVPTGTNPTWRRRRRSPHQRRCFPATAAEG >Et_4A_033322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21398531:21402442:-1 gene:Et_4A_033322 transcript:Et_4A_033322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGTWGSLTRVFVSVELIGLTRLEYSMVGDAQEMRRVAEENPALLLGTTAQGNTCLHILSIHGHKLFCTEVLDLGLNRSPSLLSVTNKDGETPLYYYRDGQLNQAVLQQDTHGCNVLHHAIRSGYKGLALELLEAAPALSHARNRFKESPMFIAVVRGYTDVATKLLEDPYSADSGANGYNALHAAVKYGNEGRTYRLRKGEPLLVTAATRGHVEFAKELLKHCPDAPYCDATGKTCLFEAIDKNRMKFIDFVVKEPKLGKLINMRNAHGDSALHLAVRKCNPKMVAALLGHPNIDVTTIKSDGCSAVWKLNEFDNYAKTINWEVKNKVIDASRKNVKSLTETYTSNTSLVAILIATITFTAAFTLPGGYSNDPETEGLPVLARKLAFQAFIITDTLAMCSSFAVAFICVIVRWMDFEFLLYLFRERAEHLMWFAYMATTLAFATGLYTVLAPCVYWLAAAVCILSVLLPIVTMLFGEWPTLKLRLRKELDSGLFDIV >Et_2B_020506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20982751:20987053:1 gene:Et_2B_020506 transcript:Et_2B_020506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRPRLLCCLFLSLSLASVARAATRRYNWEISYQFVHSDCVRKLAVTINGQTPGPTIRATQGDTVVVTVKNSLLTENTAIHWHGIRQIGTPWADGTEGVTQCPILPGDTFTYAFVVDRPGTYMYHAHYGMQRSGGLNGMIVVQAAKGGKDAEPFSYDGEHDVLLNDWWHKSTYEQAAGLASVPIGWVGEPQALLINGRGRFVNCSTMAAGACNATHPECATPVFAVVPGKTYRFRIASVTSLSALNFEIEGHQMTVVEADGHYVKPFVVKNLNIYSGETYSVLIKADQDPNRNYWLASNVVSRKPGTATGTAFLSYYGGRSSPRRPPPTTPPTGPAWNDTAYRFNQSVATVAHPAHAVTPPPRHSDRTILLLNTQNKIDGRIKWALNNVSFELPHTPYLVAMKRGLLGAFDQRPPPETYAHQGYDIYAVQKNPSATTSNALYRLRFGSVVDVVLQNANMLAPNNSETHPWHLHGHDFWVIGYGMGRFDPAKDPKNFNLKDPALKNTVAVHPYGWTALRFKADNPGVWAFHCHIEAHFFMGMGIVFEEGVERVGQLPPEIMGCGATKH >Et_10A_000603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13519793:13523164:1 gene:Et_10A_000603 transcript:Et_10A_000603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTICSILRSSAVSDLTTERRSRLKALPVINDGLLPTDILCEALLRLPAKELCRLRLVCRAWQALTSDPCFVEVHSTRHPLIIGLRKKGADIHIMDLSGHVVKRIRIEQGSSYDDLRTHPNIVFIREMERTMDACVLNLATGAVSVLPAIFGHVTFTHSFMLGYVPSTGECKVLRHNKYFKEEGGTGDMYHIVTHGGGDGQWRARACPPMDISWIFHHRVVIDGVFYFLSDDHNNNTCATGIVMFDLGTKEWRQLTLQVPFVGSIILIGANKNLPMHIDFQLTELNGCLVAVDCRRHDYSIDLWFLEDMDQGLWTKRHTIPGSPGMDDCWLRPLIVLADGRIVLRDFRGIVLRVYDQVTSTWADLASLTDYSVQHLAKVTDGVEACKERSTQAQDSCRRQRRRAAHSVLFEVLLHLPAKELCRLRLVCRAWRAITYNPSFAESHSTRHPLIVGLRNSGCEIHVMDLSGHVFKRTYIEKASYNNLSTQHNIVLISGCTMDTWVLNLATSAVTALPTNLNSGHVTFSYFYMLGYVPSTGEFKVLRFDKYFMEEGDTDDMYHIVTVGSADGRQWRARACPPMSISWTFDHREAIDGVAYFLSNICTDEICATDIIVMFDLETEEWRPSILQLPFMSRILYVGAELMHNDLQLTELNGYLVAVHCKNSDYVIDLWFLVDMDKGLWSKRYSMRGSPANWDDCWSRPLNR >Et_7A_051086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14220636:14224907:-1 gene:Et_7A_051086 transcript:Et_7A_051086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEIPNPDVTNPDAAADAPVPAVAPTDSPCRYAHPHQSVTVDRENKVMACADSLRNNCFRGRTCRYYHPPPHIQESLLKSIGVEDPKVKTVCRDFARGKCSRSANECRFLHHSSVEDCAIVCQDFLRGRCERKSCRYSHAVAHPMPPMGNVPIQYPEMNPADFYTQRRMHRLKFAVISNEENAIGLLVAFTIHMQAEVFVSKSECPVLRRCYRSAAAAAAHSSSAAAAAAAAKELLSWL >Et_10B_004092.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:1249050:1249550:-1 gene:Et_10B_004092 transcript:Et_10B_004092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDVVLIAASVAALLLISAATFFCSRRRRSPSQRSVDALGHDVELGRGVAGIDEAVLAAYPTLVFSSPPHDGEGKETEKEEEEEEEEEGKKKAVGTAAAALPAGDVARCAVCQADYADGDELRLLPDCQHAFHRGCVDQWLRRRPTCPVCRASPSPARSSEERGR >Et_6B_048769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13506651:13508789:1 gene:Et_6B_048769 transcript:Et_6B_048769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWEKMNPAAVSASGSEPDVPGNTVPLPPTPSLFLPIMDQLESSSFLRSEQLTSSYNMEANVPAVLAPNSYAAADYTSHFGHDHDILHFYHPASHYLDAGNPYSHFSESTFLPTPQDYYFPTSLEESMGSFGVAPYAPMGINYGGGYQRYYLPTRGGYAYGHHPLRCQVEGCTADLSQAKRYHRRHRVCAQHSKAPVVITAGAIMPQRFCQQCSRFHEVDEFDDGKKSCRQRLADHNRRRRKPKTIGTNVQLKRRAHVKKSATAKDTCSILVTRRYSNEISTDGGSSKSLTNRDILGGSASTEYDQSMSHGEMARELVDPKGKAPMEQQERIPQRLPQHAGYPFVLPPGSDTCSPLRQPVSGGNTSNIGSVQEPCLAFHQYHQHGNIMQLGQTVFDLHFNQ >Et_9A_062464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23197098:23197400:-1 gene:Et_9A_062464 transcript:Et_9A_062464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSETFRRSGSSGLIKPADRGAEAAVERSRSTGHGHGGYRAAGRVPPAIDPPSPRVALCGFCRLFGGGDKGKSGGGKAKAKGRRH >Et_2A_016727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27690232:27691533:-1 gene:Et_2A_016727 transcript:Et_2A_016727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAASSAGGVRRGEGTVLGDLPENCVAEVLLRLDPPEICRMARLNRTFRGAASGDGLWESKLPRNYARLFSVAAAGCEEGPKVAAAALEAEALPKKDVYARLCRRNRFDGGRKEFWLDKGGGGVCMSISSRALSITGIDDRRYWNFIPNDESRSSENSCEVCGFSCRFHTVAYLSQIWWFEVRGEVEFCFPEGTYSLFFRVHLGRPFKRLGRKLYSSEHVHGWDIKPVRFQMSTSDGQHAQSKCYLTDPGVWINHHVGDFVVKDSNEPITIRFAMVQIDCTHTKGGLCVDSVVVKPQYITQKKAPRNYL >Et_3A_027140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34058386:34064829:1 gene:Et_3A_027140 transcript:Et_3A_027140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSHDAFAAAVKSRARRLSSASTSSRRADPAALCRERAALIRAAADRRFALAAAHAAYFRSLAAVGDALRRFAAAALAPATPSPGSSPVLTLPPSPVKPVAASAATVSSLPPSPSSSSTVSQLSHSLSDDDLEDARPREKASSSSTTHHRHYMRRSPTVPNVVYEDPNNAQAQYTQGGEAPSYGYGYGYASYPYGPYGEVVAEGIRPETTAPRPPPSPPTAETSPWDFFDPFTHYDQFVEDYSRGNLPTNSPNYAELRRMEGIPELEDEAELEPDASKPSTSRAADRNAKGKGPIPENDSSVGGKLQRKGSEPPPGRADAELGKPVSSSRNDSVPSNAGSRSKDDGLQKSDSANLKATAGGDIDGTSSSGKKKSVAFGEEDAIRPAERGGGGESHGKSVQSLISSESFSPLHRGTRDVVEAMDEIKEQFDEAVNCGAEVSKLLEVGKLPRRSTPRVLRYLSSRVVDPLGLTVSTSSCLPRSHGRKSRASSSKASTSVSSSAGRGNGAGNLSSTLEKLCFWEKKLYQEIKDEEKLRMEYEKKYKRLKSLDERGAESSTVDSTRLSVRLLRSKISISIRTANAFSSKIQKIRDEELYPQLVDLIQRLRGLWKAVLECHEKQLLAIQDSKIHRLKAMTISQSSVASTASKDLERELTKWYRCFNKWISTQKSYVEALNGWLKKWIPEVQEEVTADGVPPFSPGRLGCPPAFVISNDWFQAIERVSKSEVLRAMNHFSKLVREFKKSQEDEQRQKRKVDHASRDYNKKREDLRGELELSTSPDVVAVIENPRYSHDDRVMDLVKARRRRDDERTRHDKMVEADDHSPWKVSHELQVRDLSLSECLAALIPEIIPWKQESKLRIQQI >Et_3B_029015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21450325:21450745:1 gene:Et_3B_029015 transcript:Et_3B_029015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRTTRGGTSLRPRTEGGASVAGTTTIVTDNGQVTVSPFVAELDEAARRRLDRMHQRLRQLEQQMETLEAEVGKASSSTDATYA >Et_1B_013501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9015833:9016173:1 gene:Et_1B_013501 transcript:Et_1B_013501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVGLVSLLVLIFLLCFRSLLHQQVLVGEGAAAAAAGRLERKRNGREHAKQWADERKRMRWFMTRDYACARRHTPRNNRLDP >Et_1B_013891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22630597:22633359:1 gene:Et_1B_013891 transcript:Et_1B_013891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAMIGIPALLRGHRLLPARPGATSAARPGTLPGCRRGRRGFTCSASAYPHRGRPQQAAGALNKLASSSFMGPGRNAASPELRHGLIAPCSSAAGTGGASTSSGLLPTILGTVHLVVSLGVVLAADKFLKQAFVAASIKFPSALFGMFCVFSVLFVFDIFVPALAKAVMDFFEPATLFIQRWLPLFYVPSLVVLPLAVRDIPAASGLKILVIAFGGWFASLAVAGYTALAVRRLVKTQVIPAEPTNKPSPFSTVEVWAWAATFVASFGIAYFNPTALGTTARTCLPFMLASTVLGYMVGSGLPAGVKKVLHPIISCALSAYGAAAAYGYLSGSGIDAALGVNSSLTAAVVVLTGLIGANFIQVALDKLGLNDPIARGIGTASSAHGFGTAALSAKEPEALPFCAIAYGLTGIFGSLFCSVPAVRQSLIFIAG >Et_3A_024046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16624532:16624865:-1 gene:Et_3A_024046 transcript:Et_3A_024046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFWGGGVGGGGVVATLESSMPPPPKRPMGRNKPRRKRRKETILDLVLVKDKDNEFRKQKLLVDQERLRWDQERLIKWEKEKDLMFCDV >Et_2B_022211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1391898:1394610:1 gene:Et_2B_022211 transcript:Et_2B_022211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRDGGPGVILMPQQPPPPPPPPPPCSFVQIVPVHHHAQPMQMLFQAPQPLLVCGGSSAEVRDVWAANLHDELSLLAAMLPHYPCVTVDTEFPGTVHDSDTPRHLRGPRESYAVVKRNVDGLKLLQVGIALSGASGRCPFAWQFNLRGFDPLRDAHAPASVAMLRAQGMDFAALRDYGVDPDAFAAGFYACGLACPGLTWAAFSGAYDFAYLVKVLGAGRPLPDTVEGFLAQVHALFGPTVLDVKRLARFCGEGIRGGLDQVAAALGVERAAGRAHCAGSDSLLTCDVFMAMLDRFFRDKNVLTHAGAIVKQVRVKVYGFDIIRTRIRAIHFSGKQRLAGFCSTVERYIVVFELNEPAFSPTRPPT >Et_6A_046014.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:18565715:18565933:1 gene:Et_6A_046014 transcript:Et_6A_046014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EGLYLGASAVVITGLSDPKQLEAIACRESLALAADFGLQSFTVASDCANIVKNIKEGTAMGPTAKLSRKSSP >Et_8B_059506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18646576:18651545:1 gene:Et_8B_059506 transcript:Et_8B_059506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVSVSSAGSLLAMLQEPAPELKLHALASLNSLVHAFWPEISTSVIDIESLYEDEEFDQRQLAALLASKVFFYLADLNSALSYALGAGPLFDVSDDSDYTQALLAKALDEYATIRSKASGATNEENAMDPRLEAIVEKMLDKCISDGKYQQAMGMAVECRRLDKLEVAISQCDNMHGALSYCINLSHQYVNHREYRCEILHCLAKIYLDSSDPDFLSICQCLMFLNEPDTVATILNGLLIASEDDALLAYQIAFDLVENENQAFVLDVRNHLDALRASASTDLASTPALSSDQTVNAATEPSDDVQMRDDATMPISPIPVDPNDAVQADRLTKIKGILSGETSIQLTLQFLYSHNRSDLLILKTIKQAVETRNSVCHSATICSNAIMHAGTTVDTFLRENLEWLGRATNWAKFSATAGLGVIHKGHLQQGRALMAPYLPPDGAAGSASPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSNEVVQHGACLGLGLAALGTADEEICEDIKNVLYTDSAVAGEAAGIGMGLLLVGTASEKATEMLAYAHDTQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVLALGFVLYNEPEQTPKIVSLLAESYNPHVRYGAALAVGISCAGTGSSEAISLLEPLMSDVVDFVRQGALIAMAMVMIQTNESYDSRAGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLKSRSKHDKLTAVIGLAVFTQFWYWYPLTYFISLAFSPTALIGLNSDLKVPKFEFLSNAKPSLFDYPKPTTQQTTATSVKLPAAILSTYAKAKSRAKKEAESKAQEKAESKIQEKAAAPSEDSASASTSMQVDGAAEKKAPEPEPTFQVLSNPARVVPAQEKFIKFLQGSRYEPVSAAPSGFVLLRDLKPTEAEELVLSDAPATAATTNASATPAEQGSGWDDIAVDEEPPLPPEAFEYTS >Et_1B_011098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17514085:17514786:-1 gene:Et_1B_011098 transcript:Et_1B_011098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARLLVDALLLALLLVSATATSYPAPSYKHHGLARYSRIFGFGNSLTDTGNADIFPATAGGVSSRPPYGQTFFGHPSGRSSDGRLLIDFIVEQLKVPQPLPYFAGKTAADFVHGVNFALGGATALEAEFLRNLGLVAFVPASLVNETKWFQHVLQLLNSSAPGNKKRMQFSVSCRRVFCFFFQNRTVWG >Et_2B_019205.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17448592:17448768:-1 gene:Et_2B_019205 transcript:Et_2B_019205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIIFTFVDEDDGARRRRRRQQGGSRGSPVFVGAAGLCDGTGPGCSGGFGPCGTCLD >Et_3A_024236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18801555:18808119:1 gene:Et_3A_024236 transcript:Et_3A_024236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGRAATASPQPPISRRAAATMAAATSWGDVFDNILVIVSGFLPCRADRVHMACVNKFWRAAVTGLGRPPPPILPELPALPSQLPWIIFPRAEAEAPTFYSPLARSYHRLCRLPDDVRGARWCGSGDGGWLVLAFDAPRAYALYNLNTSRRIPLPLGIRFPNASNVFPLVLQSATLSDSPSGGPYYMVAAIALVNERPAAAFWFERCQSWFSMGGLLREMPQDVIYHAGTFFFVTPGEDLIRLGATRGPNGDVTLGPLSFNTRRRVDYAEDVGSMLVAGMMRRYLVNSRGRLLMVVTREVRLQRGRHRDVPGIPVVHLRTGNGYHTWEHIVELSGRMLFLGPGCSRSFEVAHCDGFSESMIYFLDESLRFFAVPSVDDDDTETGRYTMGQMNPVRWPPGRPSGSDASPILMAEGGSTATASPPPQTSPPAPAAARVLIRVSGFLPCGVDRVHMACVNWCWHDAVTGADQPYPPVLPPLPPQLPWVIFPNTEAPTFYSALGRHYHRLGRLPPDVRRARFCGSVDGGWLVLALNSSHAYALYNLYSHQRVPLPREFVSPRGAVLPLVVRAATISNEPYQNGFMVAAIVLFANRSTAAFWTMDRDRWFSEGMLDMTPQDLIYYCGGFFFITSNERLVTFWPFRPPGSGQVIVGSMDYDMQQRDDYDDDVGFVQGNGQMRRYLVQSRGRLLMVVRYIYYDTGTEMIRVFRLHITTPLDDAQMPPRAHWEHLGDELDGRMLFLGPGCSRSFEVAQYDGFQDQESMIFFLDESFYSVPSADGRRLYSFTDMGRYSMEEMTSVPWPPGDRPTTSDNAPPTWWLH >Et_6B_049451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:575805:579011:-1 gene:Et_6B_049451 transcript:Et_6B_049451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGDLLTRTRKLVKGLAKPAPRWLKAMEEAPPVTFPRTDGKIKKIELPEDVYVKRFFKKHPDSLYHDAIKISGFDPPPARVFAWRVLELKEEGVSEDDAMAVADMEYRTEKKAKKKAYKELKEIARSEGKRPPPNPYPSAIKEIQAEEKKYVNDRLYNPKIIEIANKMKEERDQLLQDRGAPGFSLNVGSYRAVL >Et_2B_022178.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1149458:1149733:1 gene:Et_2B_022178 transcript:Et_2B_022178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRILLTSLVGAAPGNIFGPGMCAGAMEVFARDARGGYKAGGGGGGGTGPAAGARPAAAKDGHEQGVDDTAARLGSASGGRFSFFEFVAPH >Et_4A_032416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:88152:90835:-1 gene:Et_4A_032416 transcript:Et_4A_032416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQRSRRRRIAGEPPPGLFPARGDLLRLLAVLSIAAAAATVCSLLNRRPQPFCDSRQSLDATDYNDDHDSCEPCPQNGRCVDGELECIQGFKRRGKTCVEDGLLSRTADKISDLLQRRICVQHAHALCGKPAKILMHDISDMVDELLSKYSAGLTDDGIMLVRSRVLQSLQGLLDTALTLDKAEAFKCPELVAEFHLPLICRIRQWVVRNVIFVTTSFILFAALLRTLWSIYQKRALSNRAEQIYEQVCEILEANATTGRIGNSECDPWVVASWLRDHLLVPRERKNAFLWKKVEELILEDSRIDQYPKVIKGESKVVYEWQASGSLSAKIKKVQGARGKSRTGTGTIKVAEELGACAGEVGEHGPCDLLRKDKTSA >Et_2B_022130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9568747:9577734:-1 gene:Et_2B_022130 transcript:Et_2B_022130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGSASPVPSDDTTIAFGDEPPGSPSSLSISIGSSSSTSSSYSSLVVPDLSDSEPEVTKKPRRGQRLWPAADEIALLEAAVAHKEERGRVPSRSELAAALRGILSEDRCGAEQISKRFYSLRNRYYATLRRLSLGTVPEKDDDVRIFRLSKRIWDPNHTPRRLKTRAADARPDPRDFSELAELYPCLAKQVEEIDASCGFPAGLLKRWFCRIGDDRAARLEAKVKRQQLVEARVRAKLDSLTAEVAITLLEFALEQICLVRMLLGDCPEVAGLQLVDPYTLSRQRN >Et_9A_061498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12688590:12693849:-1 gene:Et_9A_061498 transcript:Et_9A_061498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISPRRRLRRFLRRLRAMRPSAAAPEAEASASSGDRRPWEPPFDASAPAPPISYPITDLAALASRSYLSATANFHLPFNRASVPGSGEPLPPRPGGGYRDDAAPQGGSNPEAYALWHWHLVDVFVYFSHYLVTLPPPCWINAAHLHGVKVLGTFITEWDKGAAICKEMLATEATAQMYAERLSELAVNLGFDGWLINIEVNLDVQFIENLKEFANHLTKEMHAAVPGSLVIWYDAITVNGELDWQNKLNKYNKSFFDLCDGLFANYTWKKNDPQDSADVAGDRKYDVYMGIDVFGRNTFGGGQWNTNVALDLIKKVDVSTAIFAPGWLYETKQPPDFQSAQNRWWGLVEKSWGVLRSYPKKLPFYSDFNQGYGYQVSVEGLQVSSEPWNNISCQSFQPVLKYTGDQAQLQALTDFKGRPYSGGNFLTVKGSLRQNIIFSEQLFNGALSMEVGSIRLLFSVRAAASSAVGLSLDLSSRDTESTSILVAEDIATFTKKKLKKTAIGPEPELDGISEANAYRSSLYHASLGSISIQKTGEDIQFPPPESWIAEGEYISWSNNSNTSKQVSLKISWKLKTPGQTSFKTYNIYIEMLKADSSVKPPRSYLGAASVDAFYVSDLEVPNEVTGLKFIIQACGPDGNCQALEECPSFLLIPDDSE >Et_2A_018631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3682722:3683487:-1 gene:Et_2A_018631 transcript:Et_2A_018631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQRHFAYLLLVQCNRLTTHHEEVDENVVNVEQDGVVGQIVHAEQYVSGEPMVHSTTEDEPQINVNTLEADSGEVNEAIVDEMDRDDDEFRIFVDETAGQGWGLGYEEPQDWINMDQYGSSYGHPDVHSFSQMPGAPLPTQPTQQFPSTPLQAPRVRQPPDHLTYPTDQIRRGRKGQGKRAEGEPSKPLVPL >Et_5B_043786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16101916:16102663:1 gene:Et_5B_043786 transcript:Et_5B_043786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHISALPVKLRQRVLTQLSLKEAIRTGALALGWRDLWKSRHRSYIEILLRSHDDLKREVDVLSRLSPSSWTSAISSPQNSDASSTTPPSAAWRYSTSRRQRALLHAEKLNFPPHEAIRLHFVSLIQAAIGKMTACAPTSALSIYLINFDAGKPERCHHHGMDGMVYQNWLPIPRLQSLLYSGRFLEGPLILPGDVTLSDIYICLGYSISDCYYIKEFKKSPPNDLSGLTVHTICNDAVP >Et_9B_064239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13091930:13095195:-1 gene:Et_9B_064239 transcript:Et_9B_064239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAQWLGVAAMCAAAVTCGVAATMVARRTAARRRWNRAVAVVRGLEEGCATPTERLQRVAHSLAIEMFAGLASEGASKVRMLLTCVDALPDGCEEGVYYAIDLGGTSFRVMKLELGPGSMVIKKKIEHQPIPEELTKGTTEDLFNVIALALKNFIEREGGNAEGRALGFTFSFPVRQDSISSGSLIRWTKEFSIEEAVGKDVAQCLNEALVRTGLNLQVTALVNNAVGTLAMGHYYDDDTVASVIIGAGTNASYIERSVAITKSRGLLTNSELMVVNVEWGSFRPPQIPLTPYDICFNDESHDHYDQAFEKMISGVYLGEIARLVLQRMAQESDVFGSAMNCLSTPFILSTPCLAAIREDDSPDLREVGRVLEEHLKIQDVPLKTRMLVMRVCDIITHRAARLAAAGIVAVLQKIGRDGTLCGTAKVRKIRGEPKKSVVAIEGGLYQGYSVFREYLNEALVEILGDEIASTVTLRVMEEGSGIGAALLAASYSSTRQNPAVGLT >Et_10B_003429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19737221:19739651:-1 gene:Et_10B_003429 transcript:Et_10B_003429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRAKCSSSMEAAATGAFPFIQAAIASAAKRASTFSTLASCSSATPPTMDPFAIAHALAAASSSPPSTSPPYVGTKLHARSVKLGMAADTFTMNHLLSFYYRRGLLDCALDVFDEMPCRNLISWTSMVSGCMRNGAPELGLGLFASMVRSGFCPNEFPLANALGACQSTAHAKLGLSLHGLAAKVGLDGNSYVGSSLLLMYAKHGRVAAAERVFANIRCKDLACWNAVLEGYVSNGCKYNAMGTAVQMHERGVKYDVFTFISAMKASLIDGELKFGRQLHGLVIQNMFESDTSVMNVLVDMYFKSGLKETAMTIFGKIRRKDTVSWNTVISGLAHDEDERAAAGCFVDMSRCGYKPNQVTLSAMLRMSGVKEKASLGLQIFGLAYCRGYSENVLVANSIINMLSRCGFLNSAYGFFSNLSVRNVVTWNEMIAGYGLFSCSEDVMRLFRSLLCFGARPDEFTYPAVLSAFQQAHDTRNQEQIHASIIKHGFASYHFASTSLIKAMAASGLVQSSLKVIEEGGQMDLVSWGVIISSFLKQGLNDEVLYLFNLFRATFVAVISACSHLGLVEQGKLMFASMLSDQGMNSTRANYACLVDLLARKGLLEEAKGIIEAMPFQPWPAVWRSLMNGCRIHGNKELSLLAAERILRTVPSSDGAYVSLSNVYAEDGEWQSAEATRRRMTEYRVHKVQGYSSVEI >Et_1B_009867.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:35579269:35579812:1 gene:Et_1B_009867 transcript:Et_1B_009867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVDALSELCSASARVRGRRRRRKELQTVEMKVRMDCEGCERKIRKALEGMDGVSGVEVAPKQHKVTVTGYFDAGADKVMRRLARRTGKRVEAWPYVPYDVVAHPYAPGAYDKKAPAGYVRNVEVADPSAAPLARASATEVAY >Et_1B_010631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12317638:12321573:1 gene:Et_1B_010631 transcript:Et_1B_010631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQFFLLAMLAVSVAQVFASDPSQLQDFCVADKISQVRVNGFACKDPTTAVAEDFFFSGLHMAGNTSNKQGSAVTPVNVAQIAGLNTMGISLARIDYAPYGLNPPHIHPRGTEILTVLEGSLYVGFVTSNPENKLFTKVLNKGDVFVFPQALIHFQFNYGTKNAVALAGLSSQNPGVITAANAVFGSEPSISDDVLAKAFQLESQLQSYIFTSQKHLVQPEEGSHIMASQFFLLAILALSVARVFASDPSQLQDFCVADKVSQVRVNGFACKDSTAAVAEDFFFSGLHMAGNTSNKQGSAVTPVNVAQIAGLNTMGISLARIDYAPYGLNPPHIHPRGTEILTVLEGSLYVGFVTSNPENKLFTKVLNKGDVFVFPQALIHFQFNYGTKNAVALAGLSSQNPGVITVANAVFGSEPSILDDVLAKTFQVDKNTIDRIQAQF >Et_8B_059243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15726999:15736752:-1 gene:Et_8B_059243 transcript:Et_8B_059243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLTAGFRWQPDIWMRDSTRTVTAKPADVAMPSRLSTPSCCSFMMMVDNTVKINMNVPRNSAIICNNNNLSICGGAESIGFGEGGIEVPRGRSNILPLGLHNEASHPAPTNRKRTEVTLVKKVAAEFLGTFLLIFTLLSALIMNEMHDGALGLLGVAAAAGLAVVVIVASIVHVSRSHLNPAVSIAMAVFGYLPTVHLVPYMSAQFLGSICASFVAKAIYHPSNAGITIATVPSLGGAEAFFVEFITTFVLLFVITALATDPNAVSTCHLGPFADSQKISHSNQSAQVNELIAVGAGAAVMMNALISAESTGASMNPARTLGPAIATGTYTKIWIYMIAPPLGAIAGTGAYVAIK >Et_4A_034420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32410599:32411668:1 gene:Et_4A_034420 transcript:Et_4A_034420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAMAPAAVVTRHSQHASFKNPSSSSSAAAACCVRSGFLGRAVGVAAQPLVARRRRAVSVRMAWDGPLSSVRLIMQGRNVKLSDRLKEHIEDKVGRAVAKHCHLVREVDVRLSARGGELGRGPKTSRCEITLFSKRHGVLRAEEDSESTYASIDMAADIIKRKLRKIKEKETEVRHMKGTKEWAAGLSDSEQEAEEDDEDAEAEQDLVEAVGAEDEETVLTKVVRTKVFEMPPLAVDEALEQLENVNHDFFAFRNEETGEVNILYKRKEGGYGLIIPKEDGHIEKETISPSPAAAEPSFAAAAHGDSN >Et_2B_019921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15243022:15246705:1 gene:Et_2B_019921 transcript:Et_2B_019921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSAAFFGLADTQMQPPQQQQQNAAAAAVPPVAAAAAAPKKKRNQPGNPNPDAEVIALSPRTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKENRRKVYLCPEPTCVHHDPSRALGDLTGIKKHYCRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALARESAHIPPLGGGLYVGSGGMALGLSGAAPQMPIFADHQAQSSSAAAAAAPFDHMIPSPSSGSASMFHHSQASGSSSSFFLGGGGGHAPSQDFSEDGDQSQGSQHQQSSLLHGKPPPAFHGLMQLPEQNHGQQPGSSNAASGNNLLNLGFFSGNGSGAGGQDARLVMQDQFNSNSEQRSMIGNHLGGSFASQLYNSNSSAGLAQNSATALLLKAAQMGSTSSNPNGPSALLRAAGFGGGSSNRAAAAGGGGEGSSAQHESHFHDLIMNSLAAGGGTAGFAGVDDGKLSTRDFLGVGGRGASMAPLGLHIGSLDPAQMK >Et_5A_040514.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:3482261:3482482:-1 gene:Et_5A_040514 transcript:Et_5A_040514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDQKRLKADVMIYTAWHIWNECNRRVFTSTALQPPDIARMIREDMALRVRACGQPQLHFEQIEQEHPHISM >Et_4B_036669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10226033:10228162:1 gene:Et_4B_036669 transcript:Et_4B_036669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGATPCRSPLAWLFALAAALFFASWYLLLDSAAGPPAHRPHHQGLHLGGGGGRRTGWKCDPAKALLRVFMYDLPPEFHFGLLDWHPPAGGGSVWPDVTGAAVPEYPGGLNLQHSIEYWLTLDLLASEQGAPTPCSAVRVRDAADADVVLVPFFASLSFNRHSRVVPPARASEDRALQRRLLRYLAARPEWRRSGGRDHVVLAHHPNGMLDARYRLWPCVFVLCDFGRYPPAVASLDKDVIAPYRHVLPNFAANDTAGYDQRPTMLYFQGAIYRKDGGFIRQELYYLLKDEKDVHFSFGSVAGNGIEQATQGMRASKFCLNIAGDTPSSNRLFDSIVSHCVPVIISDEIELPFEDVVDYSKFCVIVRGEDAVKKDFLMNLIKGISREEWTRMWNRLKEVERHFEYQYPSQTDDAVQMIWKAIARKVPSIRLKINRLRRFSRFETNKTNETPPQSSSWLQNQSSSSQLGSILSNLNSRLGQ >Et_1A_008287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:643840:646258:-1 gene:Et_1A_008287 transcript:Et_1A_008287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGGAAAAAASPAVVGSISVMALVYYSTVFVFLDHWLGLDTTAGAAHAAAVSHAVAACFFAFVCAAAADPGSVPKSFGPDAEAAQGQGLKSRYCDKCCMFKPSRTHHCKVCKRCVLKMDHHCVWINNCVGYANYKAFIICVLNATIGSLYSFVIFLCDVLLTEHEFDIVYVKMVYILAGVLFFFLSLTIGSLLCWHIYLLCHNMTTIEYREAVRAKWLAKKSGQKYRHRFDLGMRKNIQMIMGPNILCWLCPTATGHLKDGTEFQITNN >Et_4A_033490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23617146:23623250:-1 gene:Et_4A_033490 transcript:Et_4A_033490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRRDRGGSLRPLLLLLPFAALLSVATLSLRSADRHFVSDTASSSSLRLHRLALSGLDVRALDAGPPIHAAAARAFRSGGRLLREVLTSSSAPAPPPPAAGRGRGGATRCPASAAVSGAGGVSLPLPCGLTLGSHVTVVGTPRRVPGAGLVQFFVELRGEGDGDAASRILHFNPRLRGDWSGRPVIEQNTRFRGNWGPALRCDGRRSRPDEETVDGLLTCEEWGGAGKIVGMSEELKRLQLRIRGAEQKNRNLIYWPYTFVEGEMFVLTLSAGLEGYHVHVDGKHVTSFPYRVGFVLEDATILSVNGDIDVESIVAGSLPTAHPSIVQRNLELLTEFRAPPLAEEHVELFIGILSAGSHFTERMALRRSWMSSVRNSSSTVARFFVALNGRTEVNEDLKKEADFFGDVVIVPFADSYDLVVLKTVAICDYVVRWARVDIRMSNNHAIRVFIVHLLTVLIMRSHTVSSVLDHWKAHVVPAKYVMKCDDDTFVRLDSLMAEVKKIPEGKSFYLGNMNYNHRPLREGKWAVSYEEWPREEYPPYADGAGYIVSSDIANFVVSEMEKGRLNLFKMEDVSMGMWVGQFNGSGNAVEYVHSSKFCQSGCEGGYLTAHYQSPAQMVCLWEKLRQGKPHCCNASLHPRPSHSQ >Et_7A_050501.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:20131610:20131942:1 gene:Et_7A_050501 transcript:Et_7A_050501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLLSYISKLLCIKAPPEATTAGDDGEECRVCLSRIRAGEATRRLPCRHTFHRDCVDRWLQASCKRTCPLCRVYVVDGDRRPVPAKRAGETTHADDLVIWFSTMIVPGF >Et_3B_028658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17851895:17854963:1 gene:Et_3B_028658 transcript:Et_3B_028658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGQTGEGEVPLDLFFDASIQEIDNGTAHQHDMHGGSASAGSEAYHLTAQESLLPSSISGKKRARQKIFAHRGSAFKKEEDCVLCSAFLNVSKDPIKGVNKNKGGYYKRIYDYYHDHKPEGSVRSQISLQKRWATIEKAVTKFCSFKSAVDRKNESGKNEYDRIEDAVKMYEKTEPFHFMHCWEMLRNEAKWNDKLLKVRSTPIVAKGATVAASNPEHGNDSAGMHEGSASAGSEDGQTGEGEYDMHGGSASAGSEGYHFTAQESLLLSSKSGKKRAREKRVAHRGSAFKKEEDRVLCSAFLNVSKDPTTGVDQNTDGYYKRIYDYYQDHKPEGSVEDALEMYEETEPFHFMHCWKMLRNEAKWKDKLLEVRSTSILAKGATTASSNPEHGNDSAGMHEGSASAGSEDGQTGEGEYDMHGGSALAGSEDYHLRAQESLLPSSKSGKKQARQKIFAHRGSAFKKEEDCVLCSAFLNVSKDPITGVNQNMDGYYKRIYDYYHDHKPEGSVRSQISLQKRWGIIQKAVTKFCSFKSAVDRKNESGKNEHDRVEDAVKMYEETEPFHFMHCWKMLHNEAKWKDKLLEVRSTSILAKGATAAASNPEHGNDSAPVERPEVRDSTKIRRAREDTESSSDAVEVLQQTHDRDENAEGKQDQQIQEILNMKADISQLSQKMFDLQKQDMEFRSKLKEEQLSINKQQLSLTKQDIEVRAKQSEAQLLTAEVGIMGADLEKLSPAVRSYYIMMQHQILVRRGVITPENNDGA >Et_4A_032716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12931964:12935089:-1 gene:Et_4A_032716 transcript:Et_4A_032716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNFRKRSIEPDTDDRSDDEDTRRVALEEIKYMQKLRERKLGIPADPVAASTNGSSARGRVGGGSTAAGEAEKEDLVLQDTFAQETAVTIEDPNMLRYVETELAKKRGKIVDVGNKEEKDHVDELYTVPDHLKVKKKNSEESSTQWTTGIAEVQLPIEYKLRNIEETEVAKKLLQEKRLANKPKSDSNIPSSYSADYFQRGREHDEKLRRENPGSYKERDSRTSETAGGDTKNPEGTAAGRREAASDELMLERFRKREKFRVMRR >Et_9B_066279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9774899:9777111:1 gene:Et_9B_066279 transcript:Et_9B_066279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMTEERRGWLMVFATLTASITYSAAINPPGGMWQADDTTNGYVAGFPILLDKSRSRYMAFYFLNAASFLSSVCIIALLALNRRMLPLFKGVTVLNILVTLNMTAMVGAFIAGSSSASALLIVDVVVRWQRAALATHFGSVLNSSLRFAQGQQANCIRASGTHTL >Et_9B_064028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10206384:10206756:1 gene:Et_9B_064028 transcript:Et_9B_064028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAASTGRLFRSLPPQRRLQSTDIAAVAGWSVAGLATAIWLVQPFDWIKKTFFEKQEPEEQN >Et_2A_015181.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34466606:34466743:-1 gene:Et_2A_015181 transcript:Et_2A_015181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYFSIPDGLPKTYCRRKTLSLIVVDASSYELLQLVNHIADHFL >Et_1A_006324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20721008:20735002:1 gene:Et_1A_006324 transcript:Et_1A_006324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRWGFTLRRGMDGRGIPLNACCYWMPQGAGGGANKLLSATRVYCFVHKVPVCGECICFPEHQLCVVKNYAEWVVNSDYDWPQHCSSCNSVLEAGSEETTRLGCLHVMHTKCLISHIQNFPTQTAPAGYVCPSCSSPIWPPSSIKDTGSRLHTNLKEAIVQTGLEKNVFGNHFVTISKTDTRTPPAFASDPLKRLSTSGDRESIGANPITQAIHVEPEIVEVDGPSPVITQFPDQESNFIRSPSPHGPGAMTRKGATSVDRQNSEISYYADDEDGNRKKYTKRGPFRHRFLRMLLPFWSSALPTLPVTAPSKKESDAPEGRQRHQRSSRMDPTKILLAMAILACIATMGILYYRLSQRSLSDTFAEDEAHLTNLKCAIMDLRSWGRVEEIVGVMRQGSDRSI >Et_2B_021998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7944598:7964563:1 gene:Et_2B_021998 transcript:Et_2B_021998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVPSLLFCLVLLFSPYLGLSYHTSYTHAGRHFVLRSNSDPKQPSLPCSAIHAGRQSGSDGLPVVHRSNPCSLLADAENQKTPSVEDSTAPAPAPAPSLPEADLPSRGDPLRSLPGAFEYHVVAGFGTPVQNFTVGFDTFTHGATLLQCKPCASFPEPCTNSFDPSKSSSLAQVRCGSPDCPLRRGCSGPSCGLSVSVNGTVVGNATFVTDTLTLSPSATFEKFRFACLEAGLRSRDSWSGILDLSRNSHSLASRVPHSFLTVAFTYCLPRSSSSSTGFLRIGPVRPGLFSGRNVSYTPIRNRVVNGNLYLLGLGGLGLGGPDIGGVPPSAFAGDSVLDLHITFTYLKPEVYAALRDGFRRWMSGYRVAPPRAGLDTCYDFTGLKIIVTPVISLRFGGGASVDLSIDQMMYFQDPDNVFSVGCLAFLPVPPDTRLVSVIGTLAQKSVEVVYDVHAGQRSLVTLFVLCLLCCTYLAVAKPRYLSVNMDDLLSSKAHVDCPPAKKSVTTSGDKVTIPAACGLPPNCRLPPGCGSDGNSVGRDVFDHDIRRLSTLLQRSSVDSQGSADSLAGPVPAISLPPLPWPLPRPPISPPVAPPAEAPSVTIPDSSGTSLNTLEFVVTVGFGTPARPYSVVFDTGSDLSWIQCKPCSGHCYRQHDPLFDPSNSSTYAAVSCGDTQCSAAHGACKGGTTCLYSVRYGDSSSSSGVLSRDTLTLTSSRTLPNFAFGCGQNNLGSFGKVDGLIGLGRGELSLASQAAASSSLGATFSYCLPSSDKSHGYLTIGSKPAQSGKVQYTAMVRKQQYPSFYFVELVAIDIGGFVLPVPPTVFTSTGTLLDSGTILTYLPEQAYTALRDRFRFVMKGYKPAPAYDVLETCYDFTGQSAIIIPAVSFKFSDGAVFHLDFYGVLVFPEKPAVGCLAFVPRAPMMPFTIFGNIQQRSAEMIYDVAAEKIGRMYAMASQRAIVALFVLCLLCFTSVAVAKRQYLSVNMDDLLSSKAHVDCPPTEKSGTENMNHAYEIPTLLVWSFAISHALTTSGKKITIPAACGFPPRCGHPSRCGSDADSVGRDILNHDIRRLTTLLRRSSVSQQGAAGLSAAAPVPRISVAPAPSAVPRRHPISSPPVAPPAEPPSVTIPDSSGTSLNTLEFVVTVGFGTPAQPSLVSFDTGSDVSWIQCQPCSGHCYHQHDPLFDPSKSSTYSAVPCGDPQCSAAGGRCNGTTCLYNVEYGDGSSTSGALSHETLTLTSSRTLPGFAFGCAQDNLGSFGDVDGLIGLGRGKLSLASQAAASSFGATFSYCLPSRDDKHGYLTIGSTPVQSREEVQYTAMVQKQEYPSLYFVELVSIDVAGFVLPVPPTVFTSSGTLLDSGTILTYLPEEAYTALRNRFRFEMNGKGYTPAPAYDILDTCYDFTGRSPIIPGVNFKFSDGAVFHLDLFGIMVFPEKPAVGCLAFVPRAPMMPFTIFGNIQQRSAEVIYDVAAEKIGCSGVALAAAGHQERNFKPLRTHAQAVPSCHWRTGTGHVPPCSPKEEVSLVETLRRDRARTEYITRTLSKRKQRQQSIDAVTVSVPTQLGSAFDSAQYVVTVGFGSPAVPQTLLVDTGRELTWIQCKACNSSASKCYPQRHPLFDPSSSFTYTPVPCDSQACRSLAASIDGNGCSNSNSECSFQITYGSGANTTGVYSSDALTLAPGAVVESFHFGCGGDQHGPFDKVDGVLGLGRLPESLAWQAPSSGGVFSHCIPPTGSAATGFLALGAPANTSGFAFTPMLTADEQPWFYQLMLTGISVGGKMLDIPPAVFSEGMITDSGTVITALQETAYAAVRAAFRRAMAAYPLALPVGHLDTCYDFTGFDNVTVPTVSLTFRGGATVDLDAASGVMLDGCLAFWGSGGANYTGAIGKVNQQTIEVLYDMPGGRVGFRPNAC >Et_1A_009268.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:36060612:36060992:1 gene:Et_1A_009268 transcript:Et_1A_009268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAALQCASSGRMALSRHLTKRSFATNTSGLAALSHRLTKQLSAANDNHGSDGAGNLVFSPLSIHSALAIVALSARGRTSSELLDALGEKNREGLAENARAVVERAFPEKPQPGAPCGTRPRGR >Et_4A_032857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14762226:14764936:1 gene:Et_4A_032857 transcript:Et_4A_032857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALADGEAASSSWDSDDEYEKFIQKMNPPRVMIDNTSCANATVIHVDSANKYGILLEVVQVLTELRLIVKKAYISSDGGWFMDVFNVTDQSGLKIMDESVLERVKDHIHKSLGADSCFHPSRRRSVGVEPSSDYTLIELTGTDRPGLLSEVSAVLTNLECNVVNAEVWTHNKRAAAVLQVTDSKSGLAISDSERLGRIKERLCHVFKGSNRNRDAKTAMVSMGISHTERRLHQMMLEDGDYETYDKDRTNVKPRPMVDYSVVTVRCKDRPKLLFDTVCTLTDMQYVVFHGSVDTEGPEAYQEYYIRHIDGSPINSDADRQRIIQCLEAAIERRVSEGLKMELSTSDRVGLLSDVTRIFRENGLTVSRAEVSTRGDKAVNTFYVCDASGNYVELKRLEAIRMEIGQTMLQVKGHPDLKSPPQESPTRFLLSSLFSRNLGLIGS >Et_2A_014762.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:13067541:13067558:-1 gene:Et_2A_014762 transcript:Et_2A_014762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHN >Et_8B_059681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20602052:20607760:-1 gene:Et_8B_059681 transcript:Et_8B_059681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGRKPGKKSAPAPATAPEESPSSTSSEAADSPADQAEDEAPAATAATPAVPEPPPPPPPSEPPQSQKPSTDAAPSRLTDITYTKPKVGAVYGRVKLKFKSKAAEPPPQQSSSEAQAPKDDAGKPGNPAVPEAINEAATEKATVVKDGPPADGQASELIGSDKEKPTRKIGAIKIKSSGLSSSSVENSTPDRKAASEIEPPPSNQETVSENKETDDTSELRSSQESEEKQSTPERQRDDKELTAALEAIKKVMKMDAAEPFNVPVDPVALGIPDYFDIIDNPMDFGTICQNLEHGNKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKAFMKNWLAAGLYSDMSESGGNDNMGDDDAKGHSKSKSKNKKRRPGNDRHKNDCICAVCQVTRRKKEKDEILAIIDNDTTAMNSNNSDQHNLEGNFAANNHGSHDTSSSQEQPPVTDMYKEAAEANDTDTQMEDVGKFSSDRPTSLLQRSYEDEGSRQYSDGKEGIEYRDMNSQEEHTPSQAYDNSGVDHHQKAQMESSPEVEMEDYPVQQENPSFMQLCASLFPSNRSSIFRGRHSLFRRQRRVPLKESPLHAAMAAIMKHRYSISAAATVFAVIFLQPQLQPAEQFVWNKGD >Et_10A_000121.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:765823:766128:1 gene:Et_10A_000121 transcript:Et_10A_000121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LDSNLHEITLCRPNRKDKWCVKYYHARTVQGIRNYNYSRFVSENKLREGDICTFELMKGVRRVTTRSRFWWASSCLLCSTSVGMQNAHVQVWHLQQVVCAI >Et_8B_060253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:805536:806987:-1 gene:Et_8B_060253 transcript:Et_8B_060253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPLLSSHLSSRLVIFVVSNLDNKAARRSVAAGVTASGLNGLVAHADEEVFYGEGVRIGEGSYEEETRRMFVGWKAKYNKTYRDVGEEKCRYMLFKGNRRVVVKLNAAGKTAYGLNQFGDLTKEEVRERCDGEMEGKLSALCQAAVARYPGVHHMPIRSQVCWCITSELKQTESGGSAIPGDEAHTQI >Et_2A_017723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5481188:5496305:1 gene:Et_2A_017723 transcript:Et_2A_017723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSSSFRLRDHALFLLVLALLSLARPSLSVAGSGRKLMDLYRPPPSDLLRYHNGAVLSGDIPVSVLWYGRFTPAHKAVVSDFLLSLSAAPRDAPAPSVSQWWSNINQLYLSKAAAAVDKSGAHGGGHGATTNARVVLAGQVSDEACSLGKNLKLDQLPALAARARPAKGGVALVLTAADVAVEGFCMSRCGTHGSDARTRAAYAWVGNAATQCPGQCAWPFHQPQYGPQAAPLVPPSGDAGMDGVVINVASVLAGAVTNPFGDGFYQGDRAAPLEAASACPGVYGKGAYPGYAGDLLVDKATGASYNANGAHGRKYLLPALFDPDTATCATLPMASRSNLVCSRMLVPMVTLILLSLARQSLCDRRELEDEAPPIEYLKYHGGSVLHGDIAVSIVWYGAFTPAQKAIVVDFVESLTSKPAASTPSVAEWWNTISRTYLSNATSGGGDTRVLLDGQVADATYSVGKTLTLAQVSQLAAGARPKKGGLVLVLTDADVVVEGFCSVRCGQHGADAGGAGWAYAWTGDAASQCPGQCAWPFAKPSYGPQDKPLGAPNGDVGVDGMMVTLASTVAGAVTNPLRDAYYQGEQDAALEACTACAGVFGSGSFPGYAGNVLVDKGNGGSYNAVGAGGHKYLLPAIYDPAKSGCSTLLSWRRPRVSQWWSNINQLYLAKAAAAVGKNGALGGGATTNARLVLAGQLPALVAKARPAKGGVAPVLTAADVGVEGFCMSRCGTHGSDVQTRAAYAWVGNAATQCPRQCAWLFHQPHKKIDPVAEFWLRKIREEDEQERAKYPDEDLFDVLAVWARDYREAWDDSYGMYYGPFDRITPVPPMRYTDGAVSCHASDHYRTLQIFSVKIRELRRGLQWPLHVFGIVAVRDTIDHNRNIIFQHQRNNCQILMEEDPYLVLTGPTRAVVVCNPVYFEVVLKLKGSAESEDKELSFLTASLTGGSDESSYSCLINREYTSRLSTLELTFGSIVRSVEATINVQVTDGAWSAGFYGRFTAQTASLDDNIILLDSGDEEVPIDVDGVIKLSRRVASVEHDGELKVTVVAFGYDNDEKVVGKDDEQFRPKRAGKSFGKLDVSFCKMEVTIFWLLIVVALVLLSLSRLSQGTRRLPGLTETELGGDNELSYHGGAVLHGDIPVSIVWYGQFKPAQKAIIVDFLLSLTSVPVNATPSAAQWWGTIDRAYLSKAPSTPPSGDDGGSSNSSNNTTRVVLAGQVADEQYSLGKSLTLVQVFQLAAGLVPKPGSLVLVLTDADVAVEGFCSARCGLHGSDAGAGYAYAWVGNAARECPGHCAWPFAKPAYGPQDVTPLVPPNGYAGVDGMVVTLAAMVAGAVTDPFGDAYYLGDKDAALEACTACAGQFGAGAYPGSPGKVLVDETTGGSYNAVGANGRKYLLPAIFDPATSACSTL >Et_9B_066252.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:8704173:8705120:1 gene:Et_9B_066252 transcript:Et_9B_066252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWAGTMGGVDIRNHLAQFATGGGGSMGEPAPTTPNSSGSNNNHDDSSGAAAQEDSPAGGGDNSPTPTSGGATGGSSSSGRRPRGRPPGSKNKPKPPIIITRESPNALRSHVLEIAGGADVMEAVAAFARRRQRGVSVLSGSGVVANVTLRQPGAAPHPGGAVVSLHGRFEILSLSGAFLPSPCPPGATGLAVYLAGGQGQVVGGTVVGELVASGPVMVVAATFSNATYERLPLADDEPGGEAAAAGTDGMQMLPEGSPPGGGNNGGSAAAGGLPDPTSMPFYNLPPNLMPNGGGGQMAAHEVFGSFRPPPPAF >Et_2B_020198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17929608:17931098:1 gene:Et_2B_020198 transcript:Et_2B_020198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISCHGGLGPDGLGVQELLPADGLQDLLAERVLLPGFGHVEGMGEPVDELRRRAHHHVERPVPPGPRHVVVALPHARHHHPRQRLRLAHRQRPDIDVARVLVDADVAILLEALAYGHVGDDEARHEDGDANLGPQLGPQGLVEPHHRAFACVVEAHPGGRDPAGDGRGDNDVATAGLQVRQRKVGGVDRSPEVEVDHAAEGGDVGDFLEQAAGPDPRVRHQVIQFSEVCDGLRDGALGLVDLGDVGRHDEHVWRAEVARRLGHGAQRCLRARHQRHARALPSVLVHQVLADAGGRAGDEHDLAAEVLAFGKRPDEEPLHKAAHQGERQVDEQHQRQAHVHEAAQERVHQRLLGHLLLFSQLTPPPTNLNGDGGGGVGEAALTTVLLVVYVRAIG >Et_2A_018203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1805183:1809290:-1 gene:Et_2A_018203 transcript:Et_2A_018203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYRLKRKPGDWNCKYCQHLNFSRRDFCQKCRNPRSDLQFGDGHGTGGVLTSLDIRPGDWYCTCGYHNFASRSSCFNCGNIVRDVPAGQGATGAEGGRDSAAVRAGWKAGDWICTRPGCNVHNFASRIECYRCNAPRDAVGPGK >Et_2A_015694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1743422:1747966:-1 gene:Et_2A_015694 transcript:Et_2A_015694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASAGASGGGAAAARSSPAAVQATNDDAAASKLSCVNKGYMKDDYVRFFVRRATRRAPIINRGYYARWSVLRKLLHQFLNAGKNSNDEKRKQILSLGAGFDTTFFQLQDEGIAPHLYVELDFKEVTSKKAAIINHYSEMKEKLGSEASISIEKGEVTSAHYKLFSADIRDIPKLDSVIRMAEMDPNLPTFIIAECVLIYLDPSATATIVSWASKKFSTAIFFVYEQIHPNDAFGEQMIRNLESRGCPLLGINATPTLSHKEKLFLNNGWQRAVAWDMLRIYNDFIDSEERHRIERLELFDEFEEWHMMQEHYCVAYGINDAEGIFDNFGFNHE >Et_2A_015669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16780972:16787491:-1 gene:Et_2A_015669 transcript:Et_2A_015669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PPAVPAVSHKQRQIETRRLTRAPHTWLQHHLASLPAAARRKKKRGSKPYSTDMGRAPCCDKSSVKKGPWAPEEDRKLKEYIHKLRWLNYLRPNIKHGDFSEDEDRIICSLFATIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMQAHGLQLPTTGSHHRSKKQQQQHLFISSEAISPAPPTGDDLLSSLQHLSATPAHNFSHHYGSHCYDNTSTSSLLMFGGNGDQQMMMSSSSSDSGAGAGLGLYFELCNHVHDSAIAAGLSMESFIVQDDHHLKAPLLIPSDVDGANTCCYEEAKPLVDLTAGGGGSNGAGDSFFYSSSSPTAAGVSRQGSFMY >Et_2A_016562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26044690:26049082:1 gene:Et_2A_016562 transcript:Et_2A_016562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPSSGAPAFRFLPAEVAEMESRLQQLNNSIPSRTVLQTLADKFSASPERAGRVAIQPKQVWNWFQNRRYSHRAKNVRTAPPPATKMTPSGADHLQHATASSAFRAAQTPAAAAGAHPGSSPAAGKGAVEGVQVEFEAKSARDGAWYDVAAFLSHRLFESGDPEVRVRFSGFGAEEDEWINVRKCVRQRSLPCEATECVAVLPGDLILCFQEIVPLRKVCRRPETDYRLQILHAARAAAASADVRTPPKEVKVESASNEKSPAEKKPPKQHKMMDVNTDEVSMVSDQEAASKATGPAPSARSETCNDSSSEVVTKDAEPAQVIEVDDEVQVVDKVKEAE >Et_10A_001923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11302968:11306086:-1 gene:Et_10A_001923 transcript:Et_10A_001923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPAVAALLVALQLCSEAAGQGAGPPRSCPTSCGNVTVPYPFGIGGASCYHPGFALTCDRTRNPPRLLLGDDGTLQVVEISLPNATVRVKTLTGAVNLTHDQGVNGNGTWPGSGLGAGSPYVVSEWENQLVLTGCNVEVTLLGSVDSGNIVTGCSSYCSISDKWSGAVLTVPKEKAGTACAGIGCCQTPIPFGRPTYGVQLKGLDSSNELTGRVPTAVRIAERGWFDGVAAEMLNASLGEATTRTAVPVVLEWALASTPVDVPGVVPDGGNSSCAADSAKRACRSSHSSCHNVTGNYRSGYVCRCQEGARLLRQKFFKQNRGHLLQQLVSQKADITERMIIPLAELDRATNNFDKAREIGGGGHGTVYKGILSDLHVVAIKKSKVAIQREIDEFINEVAILSQINHRNVVKLYGCCLETEVPLLVYEFISNGTLYHHLHVDGPTSLPWEDRLRIATETARALSYLHMAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPADETGISTAIQGTFGYLDPMYYYTGRLTEKSDVYSFGVVLIELLTRKKPYSYRSPQDDGLVAHFTSLLSQGNLVPVLDPQILEEGGEEVGAVAALASSCVMLKAEDRPTMRHVEMTLESIQTSRQDNMLHSAGTKLSKDKQVVVSYATGEGGSSEVSNRLYSLEEEFLLSARYPR >Et_4B_039145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8191233:8202461:1 gene:Et_4B_039145 transcript:Et_4B_039145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSARGRAPLPHQGHGLAPSRGDELVFLLSGDLTTLLLLLARLADSFLADLDELSDNVAYHLCVSTPATPSGAPPSSAKSVQSFDFRYIKGPCGHKDVIFWNEEFLGFRAEQTRSLCTYKSGIEKEPIDEHGAYESFPYTPCLIGYLPETNQETHPDYQQDKC >Et_4A_035261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15416777:15419878:1 gene:Et_4A_035261 transcript:Et_4A_035261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPNYWSKPRRIFQKRHVEALGQVDAAAGGRRPLESVQQLREPEVGGGERERLPRADAAPGSERREPEVAAQHADVLVLLEPLGPERVGLGPHRRVARDGPHVDHGRGAGRDRVAADRRVVHGEARPREKRARRVHAERLLDDALQVGQPRHVGVGDGAVAGAAADDGVQLRLRLGLDVRVQHHPRHHPLQQDGHRVGTPEDHLLLNITNQSMHIKYIYMSTHDT >Et_3B_031481.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:31119715:31120080:-1 gene:Et_3B_031481 transcript:Et_3B_031481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTIKAPAAAMLLLALVVAAAAAATVNPSTDTPDQLAAGWTAKSGSGRRNGGHQSRKQQSPLTGLTECVTTCGTQVTGCFLQCYKPGVRADPVALPVCLFSCTTTAMVCATSCSSNIV >Et_7A_052929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2765689:2767220:1 gene:Et_7A_052929 transcript:Et_7A_052929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSALPVVDLAPFFAGDGDEAGVAGATAAVREACRSHGFFRAVNHGVPPELMARALELSAAFFALPEEEKAKVRPAQGFPTPIPAGYGRQPAHSADKSEYVLVFNPKLGFNAYPDEPAGFRDAVEDCFAKLTDLGLLVQEILNECMGLPLGFLKAYNSDRSFDFMSAKRYFPVTEGENVNGLGEHEDCNCITFIFQDGVGGLEVLKDGHWVPAEPIDGSIIVNIGDVIQVLRHLLLDAMSTRTYFTIVFGLTLMSDEYKLKVLSNKKLKSATHRVGLFGAEKRRNEKNIEMKRLRKL >Et_9B_064342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14147487:14150355:-1 gene:Et_9B_064342 transcript:Et_9B_064342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGGIPVSSAPPGAEFEAKITTTVVISCVVAATGGLMFGYDIGVSGGVTTMRAFLHEFFPSVLKHDGNKQSNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLMAGSFFIIGVIVNGAAQSLAMLIGGRILLGCGVGFANQVVPLFLSEIAPTRIRGGLNILFQLNITTGILFASLVNYGTNKINPWGWRLSLFLAGVPGALFTIGALVLVDTPNSLVERGRLEEGRAVLVKIRGTDNVEAEFNEILRASRIAQEVKHPFRNLLHRRNRPQLVMAVLLQTFQQLAGINAIMFYAPVLLTVLGLTSDASLYSSVIIGGLNVLSTLVSVYTVDRVGRRMLLLEGGVYMFLSQVAVAVVFRIKVTDGSDDLGHGWAVLAVAMVCVFVSAFAWSWGPICWLVPSETFPLETRSAGQSVSVCANLLMTFVIAQAFLTMLCRLKHAIFAFFSAWVLVMTLFVLFFLPETKNVHVEEMAERVWKKHWFWKRFVNEEDNHLIGQPVAQHN >Et_1B_014096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30573935:30576510:1 gene:Et_1B_014096 transcript:Et_1B_014096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTDSGRDSDGAPEELTAVQGVEKHEEITKVEKDSAIRVTREGKERRKRWAQRKTSSQPDKRAPREVEEEETKQTEENEETHTIPGMLPTNVIEMLAAREKQTFESDSEEENVKQKVQKRKKKLRTDGPETILLKDVRATQQIKNAIDFLERRKNQGTYKDDSFVEGCDGERVLVVCALVEPPAGQASLHLCGQQVRRLFSSPYSRMMQSMTGI >Et_10B_002907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13327703:13328398:1 gene:Et_10B_002907 transcript:Et_10B_002907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSHGEQEFQSELSVIRRTYHMNLVIMWGCRSEGRHRILVYEHIENGSLGSTECLEWIILFDQDLEPKITDFGLAKLLNQDGSDADLSRIRGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELVKGVRISDWVVDGVLFAEMDTRVVSKAIQEKMNVHDAETCFKDLIDYHLNGENNNIAVSCLEEDRAKRPNMSSVMQGLIAAEDET >Et_8B_059508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18619384:18619759:-1 gene:Et_8B_059508 transcript:Et_8B_059508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKHYEHPDGEWVRKPIMLESDCASLVATLKATEEAKSLSKELLDVNFLAVRREQNTIAYELTQIATTHTAVWRGHISRCIEHLIAPNCNPNLK >Et_1B_011824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26373324:26375223:1 gene:Et_1B_011824 transcript:Et_1B_011824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCIASPGAAGAAPCRPRRPRCRVACSAANTGGNDSGSAEPGLFSAGRKNAGHLACGVLAAWAVASASNPVIAAGQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRFCDYTNEKTNLKGKSLAAALMSDAKFDGADMSEVVMSKAYAVGASFKGTDFTNAVIDRVNFEKADLTGAIFKNTVLSGATFEDAKMDDVVFEDTIIGYIDLQKLCRNTSISADSRLELGCR >Et_3A_024895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25124314:25128804:1 gene:Et_3A_024895 transcript:Et_3A_024895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGKDGNPLRNYRIGKTLGIGSFGKVKIAEHISTGHKVAIKILNRRKIRGMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVVMEYVKCGELFDYIVEKGRLQEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKWNIKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSGAARDLIPRMLVVDPMKRITIREIREHDWFKIHLPRYLTVPPPDSALQVKKVDEETLREVMSMGYDKNQLVESIQNRLQNEATVAYYLLLDNRLRTTSGYLGAECQEAMDSAFSNITSSETPSSARGNRQIFMESPSGLRSHFPAERKWALGLQSRAHPREIMTEVLKALQELNVYWKKIGHYNMKCRWSPGFPGQESMVHNFGAESIEAVDLSAKLNLIKFEIQLYKTRDEKYLLDLQRVTGPQLLFLDLCAAFLAQLRVL >Et_2A_016636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26819183:26821076:-1 gene:Et_2A_016636 transcript:Et_2A_016636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRPAPKTRDDDTMHALHGLLLVVQWLVSSSFYLAGASAPPPVVRCGKSGGCTVTNAYGVFPDRSTCRASAAAFPASEAELVAVVANATASGTKMKVATRFSHSVPKLACPGGDRGLIISTNLLSRVVSVDAGRAEITVESGVTLGQLIDAAAAAGLAVPHAPYWLGLTVGGLLSTGAHGSSLWGRGGAVHEYVVGMRVVTPAPASEGYARVRALAAGDPELDAAKVSLGVLGVISEVTLALQPMFKRSVRFEERGDGDLAERAVAFAREHEFADILWYPAHGKAVYRVDDRVPVDTPGDGVYDFIGFRPTPTLAIQADRLDGRNLVTCTDGVRTFDAILEEDVVEATGNSGGRCLAAAATTAIFAAGNYGLWTNDGVLLLPGRPVVGFNNRIQSSGRCLSGPAAADGVPPTTACPWDPRVPRGAFFFESGVSVPLSAAAPFIRDVQRLRDLNPPGALLCGVEVYNGVLIRYVRRSSAHLGKAEDSVDFDLTYYRSRDPAAPRLDGDAAEEVVQMALRRYGGLPHWGKNQNAAAKYGAARVAAFTAVKRAYDPDGLFSSEWSDQVLGLGGGGVSVVRDGCALEGLCVCSQDSHCAPDKGYFCRPGRVYKEARVCRRDRT >Et_7B_053466.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:21282697:21282912:-1 gene:Et_7B_053466 transcript:Et_7B_053466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VTIRTIPLHQDPFDVAQKPLLYVQLALKRLLLVEVVELNKVFPLEQLCTFSIFYSLMIGPDLVDPIKLFHL >Et_4B_036662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10177361:10178373:1 gene:Et_4B_036662 transcript:Et_4B_036662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPAAALLLLALASALLLQPPPAARAQISAAPWGAPAASLDCTGALLNLSPCLTYVERRSALTRPDKGCCGALAAVVGGDSAACFCGLVAGYGARGVRVDPARALALPTICRVDAPPPKLCAAFGMPVAEPPGGAAAPAESGTACSPAPCGPALLRSACCERVVYYTLFRCVFFLMWLLFCPGEVRVNSRRIVSSTRLLLTKSHGAFAGSDVPATTPETAAANGGPATTQLQASRHPYLLLCFVLSATLLMLL >Et_7B_055906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7155657:7156396:-1 gene:Et_7B_055906 transcript:Et_7B_055906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHDEKPPLVRLNHVSFQCASVEASVHFYQRVLGFQLVKRPASLDFKGAWLHRYGMGIHLLQRGSVPSAPAVAIPHPINPKGNHISFQCTDMGLMKTRLQDMALEFVATRVRDGDTVVEQLFFHDPDGNMIEICECEKLPVIPLVVPDAAAACLDRPLVQMTVHNQLAST >Et_3A_023233.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:12899416:12899643:1 gene:Et_3A_023233 transcript:Et_3A_023233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAEVAFRSVADFTFRFRHNKACTVFSHLGAQRAIGNDSTTVLQIRKLILSNRCCSLDSSGMTSLGLKNCCNSS >Et_2B_019781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1386563:1391302:1 gene:Et_2B_019781 transcript:Et_2B_019781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSSAGDGVDPMALVQGYNDEELAIAGEFLNTWLPFLSAGLCPSCVSSLRARVDSLLPQAEDAPPSQQPLRIDQIEASGWESDPAPLQHLSFEPSGWDSDPPPPPSPQHQQTPPPADKPRMSWADMAQEDELAAAAEEDVTAAAADDGEEASEVGKQKVQLSRDQREQRRYRSVVRKKDYICLERVSGRLVNILEGLELHTGVFSSAEQRRIVDCVYDLQERGRRGELGDRTYTEPQKWMRGKGRVTIQFGCCYNYATDKKGNPPGIIKTIVSDPMPPLFKTMVKRLVRWNVLPANCVPDSCIVNIYEPGDCIPPHIDSHDFVRPFCTVSFLSECNILFGTTLKVAAPGEFIGTMAIPLPVGSVLIINGNGADVAKHCVPAVPAKRISITFRKMDPAKRPFSFKDDPELRNITPLDTAVQDAGRSSDEGRSKVSDVQIRNLSKISRSKRSKGRTSAAKVEQGILGDQPPGHAQTPAVDVLSQQRLHGLHNVSAASAERNSAGRSRDLRDRLNVPGTQSQVDDFRQWPNRSAQERRHGNGMSSGEDGVESRERRQRMEHRQISLINRTIKDDMDSLSVSRRESADPPRPMGRTIYNKPRRTRLILDD >Et_5B_044145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20706602:20710588:-1 gene:Et_5B_044145 transcript:Et_5B_044145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRLHCIVLLLAVAAAAARAAAADTDADALLAAKAALGDPAGALSSWNATAAASVCAWPGVTCNSRAAVIGLDLSGRNLSGGLPAALSRLSHLARLDLAANSLTGPIPASLAALRFLTHLNLSNNVLNGTFPPPLARLRALRVLDLYNNNLTGALPLEVAAMPQLRHLHLGGNFFSGEIPREYGTWGRLEYLAVSGNELSGKIPPELGNLTSLRELYIGYFNSYSGGIPPELGNMTELVRLDAANCGLSGEIPPELGNLANLDTLFLQVNGLAGGIPPELGRLRSLSSLDLSNNALSGEIPESFAALKNLTLLNLFRNKLRGSIPELVGDLPSLEVLQLWENNFTGGIPRRLGRNGRLQLVDLSSNRLTGTLPPELCAGGKLETLIALGNFLFGSIPESLGKCESLTRIRLGENYLNGSIPEGLFELPNLTQVELQGNLLSGGFPAVKGIGAPNLGAICLSNNQLAGALPASIGNFSGLQKLLLDHNEFTSAIPPEIGRLQQLSKADLSGNALDGGVPPEIGKCRLLTYLDLSQNNLSGEIPPAISGMRILNYLNLSRNHLAGEIPATIAAMQSLTAVDFSYNNLSGPVPATGQFSYFNATSFVGNPGLCGAYLGPCHAGGAGTEHGEHSHGGLSNTFKLLIVLGLLLCSIVFAAMAILKARSLKKASEARAWRMTAFQRLEFTCDDVLDSLKEENIIGKGGAGIVYKGTMPDGEHVAVKRLSAMSRGSSHDDHGFSAEIQTLGRIRHRYIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGGHLHWDTRYKIAVEAAKGLSYLHHDCSPPILHRDVKSNNILLDSDFEAHVADFGLAKFLQDSGTSQCMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGKKPVGEFGDGVDIVQWVKTMTDSNKEQVIKIMDPRLSTVPVHEVIHVFYVALLCVEEQSVQRPTMREVVQMLGELPKPTERQGDELPSDRDGSASDPPVSSESVDAPTDDAKEQEQQTNSQVISYNKLQAVIDPDPSAVAGDQSFGVK >Et_7B_053476.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:21768251:21768286:-1 gene:Et_7B_053476 transcript:Et_7B_053476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFCQRMNLK >Et_1B_014269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4704049:4705076:-1 gene:Et_1B_014269 transcript:Et_1B_014269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMVAEGKVRGVVVGTPNSFLRACGRIPMLQPNYAAGEPAPGNDERLLPVACTFCNCAFCFAEHLICVFNPPQGLTYPRLPGPATPDLNTAPLVGPLCCGALPGEHKQPVPLTVCSDRHHFAVAMGMMDLPRDALPVEWRRPEALGVRLPFVPNQSQPKEKPNHFNRLQAEGLAGRVPVAVGTRGGGGVLQRKEPAPEDGSSSASAPPPPSQNA >Et_2A_018507.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:30910406:30911263:-1 gene:Et_2A_018507 transcript:Et_2A_018507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASSKRLRPCLLTLLLLLSTSVTPILAEVDVDCDCDKPKAPKPSHPPKSRPSNPKPPKGPTYPSPSTHPPKGPKPPKGPAYPSPPVTRPPKSPSYPPVLPRPRPPVVGPPKGPVPRPPVVGPPVTRPPVVGPPVTFPPVPTPPVVGPPVTYPPITYPPPVTGPPSTTPPVTNPPGGGDVPCPPPPPTPTPTPTPSSPTCPVDSLKIGACVDLLGGLVHVGLGDPVVNKCCPLLEGLVELEAAVCLCTTIRLKLLNINIYLPLALQLLLTCGKTPPPGYTCTV >Et_7A_050926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12483867:12496393:1 gene:Et_7A_050926 transcript:Et_7A_050926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEVENPASTESANRLSLKRHDSLFGDAEKVSGGKYHGSEASWARTLHLAFQSVGIIYGDIGTSPLYVYSSTFPDGIKNNDDLLGVLSLIIYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRLIPNQQAEDAMVSNYSIETPNSQMRRAQWLKQKLESSKTAKIILFTLTILGTSMVMGDGTLTPSISVLSAVSGIREKAPSLNQTQVVWISVAILFMLFSVQRFGTDKVGYTFAPVISVWFLLIAGIGMYNLVVHDVGVLRAFNPWYIVQYFKRNGKDGWVSLGGVILCVTGTEGMFADLGHFNIRAVQISFNGILFPSVALCYIGQAAYLRKFPENVGDTFYKSIPAPLFWPTFIVAIFAAIIASQAMLSGAFAILSKALSLGCLPRVQVIHTSKKYEGQVYIPEVNFMMGLASIIVTIAFRTTTSIGNAYGICVVTTFSITTHLMTVVMLLIWKKHIVFVLLFYVVFGLTEIIYLSSILSKFIDGGYLPFCFALVLMTLMATWHYVHVKRYWYELDHVVPTNEMTTLMEKNDVRRIPGVGLLYTELIQGIPPVFPRLIKKIPSVHSIFVFMSIKHLPIPHVIPAERFLFRQVGPREQRMFRCVARYGYSDRLEEPKEFAGFLVDMLKMFIQEESAFALNEAAAEDDETSGNEVSEAQTRPVRSTQSVVHSEEAIQPRVGSHSGRITVHANQTVEEEKQLIDREVERGVVYLMGEANVSAAPNSSILKKVVVNYIYTFLRKNLTEGHKALSIPKDQLLKDPTDTKEVGGKLQRHDSLYGDAEKVSGAQHHGSQDSWIRTLRLAFQCIGVIYGDIGTSPLYVYASTFSSGIGNVDDLYGVLSLILYSIILLPMINFYNTSTRWHFCALFTDITPRKSLMPNQQAEDAMVSSYTMDTVSAPMRRAQWMKKRLESSKVAKVAIFILTILGTSMVISDGVLTPAISVLSAVSGLQEKAPQLKQGHIVLISVAILILLFSVQRFGSDKVGYSFAPIILLWFLSIGGIGFYNLIKYDVGVLRAFYPKYIVDYFKRNGKDAWISLGGILLCFTGTEAMFADLGHFNVRAVQVIYSGIWFLLNLNFLESSNNFIAGPLFWPAFIIAVAAAIIASQAMISGAFSIIQQSQTLSCFPRVKVLHTSKFYEGQVYIPEVNFVLGLLCVIITLAFQTTTDIGHAYGICVTTVMIITTILLVIVMLLIWRVSIWLIIPFCLVYGFIELIYLSSVLYKFTDGGYLPIVIATVLVIMISVWHYVHVKKYWYELDHIVKNEDMRQLIQASDVKKISGVGFLYTELVQGISPIFPHLIEKIPFVHSVLMATGGANPKPAPTTTKSERFRPPPPPARAAAAASDGG >Et_7A_052314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6934002:6937227:1 gene:Et_7A_052314 transcript:Et_7A_052314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFTKTYEIPITTEPSDRRAPSSYGYGGGGYHQQPGPERKPRDVPVTSTRRPSFRTQPPASSRPAAFPSGRGSSSLPRRASSGAVGPVLQRPVVDVRTLFHLERKLGSGQFGTTYLCTERATGLKYACKSVSKRKLVRRADVEDMRREITILQHLSGQPNVAEFKGAFEDDDCVHLVMELCSGGELFDRITAKGSYSERQAADVCRDIVTVVDVCHFMGVMHRDLKPENFLLANSNDDAPLKAIDFGLSVFIEEGKVYKDIVGSAYYVAPEVLRRNYGKEIDVWSAGVILYILLCGSPPFWAETEKGIFDAILVGQLDLQSAPWPSISESAKDLIRKMLNRDPQRRITAAQALEHPWLKEGGAPDRPIDSAVLSRMKQFKAMNKLKQLALKVIAENLSPEEIKGLKQMFNNMDTDKSGTITVEELKEGLRKLGSKISETEVQKLMEAVDVDKSGSIDYTEFLTAMMNKHKVEKEEDLLRAFQHFDKDNSGYITRDELEQAMAEYGMGDEASIKQVLDEVDRDKFFTEVMKTHDPRPKVKRNTSLRKTECKRNEIDLIDMAL >Et_7B_055682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21393268:21396399:1 gene:Et_7B_055682 transcript:Et_7B_055682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEFSAQHCSLLRVTGPTLTPEPHALSFLPAFYGPASVSREHLLHVAVGNRTDYRKRLLQAVNVGILLEQLGEGPVPHTAVLVCSEDLVADGVPVLDVGQVLDGDACVAALPPHVDRLPDRLQPLCFACDHRERGQRPLERLDAFVVVGGGGRVAGGDHTDRVQRGIPAQRQAWQVGQAADEVAVAVAERERRGPWNPELVPGEAVPVIAPVGEAGDGEVVGRQGQFGYVLAIALQDDDPQFVVLGLGEWRVVLLHQREEAADGEREHENAERDGEALLHGEWMIGEPACCDWVGVKKVCSNDRISRKAPSYGWGSTSVRGKRSRRCGGGAMSLRRQHPGGRGGHESGTVVKAVLQGDRQEGIHNEIERGGGRNQH >Et_2B_021637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3791873:3792802:-1 gene:Et_2B_021637 transcript:Et_2B_021637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTPSTACCKPSPLLAPRASSRGSPARAQALLCTPSTSAFRGLRAPLSASPLAPRRRAAGSIGIVCGKVNKGSVPPSFTLKDQNGKPVSLSKFKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVIGISGDDTASHKAFAQKYRLPYTLLSDEGNKVRKDWGVPSDLFGTLPGRQTYVLDKNGVVQYIYNNQFQPEKHIAETLKILQSL >Et_10A_000159.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:13357078:13357399:1 gene:Et_10A_000159 transcript:Et_10A_000159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNAANNYSGSCGSFGNALSSSSHNCETCYRALFDAFASVLPFPLVLSESCCYSL >Et_8B_060415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14220978:14233379:1 gene:Et_8B_060415 transcript:Et_8B_060415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGDKPPSLDDDIDIEMADAAALDAPATSSAAATRFAPRAKGKPALKPKPKPKPKPPKPEPEPETEAEAPVRPPPPPPEDRDDAMDVDGAGKGAGLQEGEEEEEDDDFVVREIDVYFTPKPFDDDGKLYVLQYPLRPSWRPYELDEICEEVRFKPLSSKVEIDLNVDTQSENYDQDVSAPLRLTKQTLSSSKAADVADYAVGVLKGNLVHLNHIDAVLQLRPSMSHVNSGRSHTKQALQTQEPNGGLGGSMVPSVNGNRCSQDSKDDIEDSEPWISLTYQPAGSNIATNYHNKMVSNEGGPIDFTMSKSDYVMSLCPGASAGSKRINRCQAIREMDPLPLGDRLKKWFTEVSQVNRFDALKHLAPTHSEEEILKVLPKYADLVRGLWVCKSSLLFDDGYASKRDKILLEFNKKDSIPSKIVDTVIRPNDPWRNRILFPLCKRREILKDYKLIFEADLSFLKRYPHVVNEQECAWSARETTIRYSQDVNSTMPRNTKNPTRSNAPSRGPHQNMSKGKDGSAEGSEDPMITAVRTVFTANKVRSMQAVVRDLRQLAAKYASNRKDETRWQTHANAAKACASLPHEELKALINEVAVPVHGVFVAKHQDQKNPRNIIILLFRQKDANATLTKQEILETAVTRLKREISEKEYYQASPSRRLRRCGGGGSPRDATGYGQNQEAPKQRHGGSDLEQRPNKECRDGEATYLNEMVHQQFAVRNM >Et_4B_037405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19478564:19482074:-1 gene:Et_4B_037405 transcript:Et_4B_037405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DSPLFDFINTLSPLETLKPPNSVQSSQLFKASEFPLVSSIFSSPQVNPDKQSQLTASLSSDLSTKLPTLTRDSTVQPSQEGSLVDCKMSQMGTSTCIEMSESTNAASENCSMNCYINEALHQSQLVSNGYLMDTLKVPRDNMLMDSAISKHNYGMHRRSLFNEKLGASGMSVQGVSNIHPASICADSYLKFAGSPTCAPLGIGLHLNSIASISKEIMPYNNQAAGDPYNIMPFAINPSLLPENNSPMKSVLSGSELVPYISEVETHIQSDHSSPKTTPSAAKSGKQSHKKRRKFQSGDGDSCRRCNCKKSKCLKLYCACFAAKVYCSELCSCQGCLNNHAYEEVVMCTRKQTESRNPLAFAPNVVRTCGFDQEFGDNSNKTPASARHKRGCNCKKSYCLKKYCECFQSGVGCSISCRCESCKNSFGRSEGVLLLNGKGAHAKEERLELDKQLLSGQSVNVRSSENLFTTPSIGPYRSSVLLPSTCPRPSLSSTVCSSPQHNSQSPMKTDALLAHLDTYSAEMIFGDGPSGNQEGNTCNAGVKVLSPNKKRVLPLHGSTGLSPINRSGRKLILKSIPSFPSLTGDATSWGGIYPQKLTLPNFGQ >Et_7B_055464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11129261:11130224:-1 gene:Et_7B_055464 transcript:Et_7B_055464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALEPLVVGKVIGEVIDNFNPTVKMTVTYGSNKQVFNGHEFFPSAVVSKPRVEVQGGDMRSFFTLVMTDPDVPGPSDPYLREHIHWIVTDIPGTTDASFGPSHIYIPTPDSRTLILAGKELVSYECPKPYIGIHRFVFVLFKQKSRQAVRPPSSRDYFCTRRFAADNDLGLPVAAVYFNAQRETAARRR >Et_1B_013281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:761355:764846:-1 gene:Et_1B_013281 transcript:Et_1B_013281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTQGQVITCKAAVAYEPNKPMVIEDVQVAPPQAGEVRIKILFTALCHTDHYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRVATGAGVMMSDRKSRFSVNGKPIYHFMGTSTFSQYTVVHDVSVAKINPQAPLEKVCLLGCGVSTGLGAVWNTAKVEAGSIVAVFGLGTVGLAVAEGAKSAGASRIIGIDIDSKKFDVAKNFGVTEFVNPKDHDKPIQQVIVDLTDGGVDYSFECIGNVSVMRAALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRTQVPWLVEKYMNKEIKVDEYITHSMNLTDINKAFDLLHEGGCLRCVLTAHD >Et_6A_047394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4994337:4996328:-1 gene:Et_6A_047394 transcript:Et_6A_047394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASASQPQACSPAERRKRVPPAVNAAAGSLGGGLEACVMQPTDVVKTRLQLDRAGVYRGGIARRGRPRAGLTPIATHLTLKYALRQGTNASRLAVFRDPATGEVSTAGRVVKIRLQQQRALSPDLLKYKGPIHCARAIVREEGLRGLWTGALPTVLRNGPNQAVMFTLKSKLDAALWDKRDGDVGKEFLPWQSTVTGFLAAAPGPFLTGPFDVVKSRLMAQGGCGAGGGGEVVKYKGMVHALRTIYAEEGLRALWTGVDAASTFGVVAVTAVDGAAAQAGEDPVRGGVGVGGD >Et_10A_000711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15954857:15961614:1 gene:Et_10A_000711 transcript:Et_10A_000711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELSLVSSLSLAVFLLSALYVYLTTIRRSRSPKLRLPPSPPGWPVIGHLHLLSDMPHHAMAELARTMRAPLLAMRLGSVPAVVISKPELARAALTSNDAALASRPHLLSGQFLSFGCSDVTFAPAGAYHRMARRVVVSELLSARRVATYGAVRVKELRRLLAHLTKNTSPANAIDISECFLNLSNDVLCRVAFGRRFPHGEGDKLGAVLAEAQDLFAGFTVGDYFPELEPFASTVTGLRRRLKRCLADLREVCDEIVDEHISGKRQRVAGDDREEDFVDVLLRVQKSAGLEVPLTDDNLKALVLDMFVAGTDTSFATLEWVMTELVRHPRVLAKAQSEVRRVVASSGNGNNTTVDESHLGELHYMRAIIKETFRLHPAVPLLVPRESVSACVLGGYDIPAKTRVFINTFAMGRDPEVWGEDVLTFSPERFEVAAGAGGEIDLKDPDYKLLPFGGGRRGCPGYTFALATVQVSLASLLYHFDWALPAGSRVLREEEEEEESKGLLCRRVQSVKSNIISVVGLRFKPINDPSMCEQRPEERHQLAAAGDGLGVPVQVLRWSDQFDHPLERCFPGRTEDATSALQDVPV >Et_4B_039432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15340529:15340997:1 gene:Et_4B_039432 transcript:Et_4B_039432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSGLENSKIDFNRNSYEYLPFGAGWDRGCTPVLPAACRSQIVLMQLLYDFNWSLPVTNMDMTEASGLGVRRKLPLLLKRSDNNG >Et_5A_040993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16198127:16201480:-1 gene:Et_5A_040993 transcript:Et_5A_040993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTHTYSSEDALPEGPESDLFVYYCKHCASHVLITDTQLQKMPKRKTDRAHVLDKTKHLSRLNVKESGKIMLKRGEGKLEKQFRMSCVGCDLFVCYRSEEDLELAPFIYVVDGALSSVAAETNPHDAPVPPCITQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANSELLEFMGKVLGLRLTQMTLQRGWNNKSKLLIVEDLSARQVYEKLLEAVQP >Et_8B_060482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17882444:17884935:1 gene:Et_8B_060482 transcript:Et_8B_060482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPRRRRRKEARDWSALPGDILYAIFLRLGTREIMRGADKACTAWRRAAAGEPALWRRVDLTLTMLPARSTRRWKAMAREAVDRAAGQCEAYWGPCDNDFLRYLVERGSLLDQSDPSPWPRIALGLDRPSRELRPTTETGYAVLRLPQPEARKADDDLAGFRGAARHWTARPRIILLDVFLKLGPREVMLGAEFACTAWRRVALEEPALWRRVGWENHCRCRHLSVDT >Et_7B_055696.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:22204753:22206216:-1 gene:Et_7B_055696 transcript:Et_7B_055696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVELLAALLLALVVSLAIFRRSTERARHRSGAPRQPTIKVRDPAVARHALIDQADAFSNRPPTPFPVPLITGRRRSHGITTVAYGPHWRALRSNLTATILQPWRQGLLAPIRREAVASLVAELAATSGAEDAVVVVVRDSVYTAVFAMLTRVCFGDSVDEARVRSMQRMMQEFRVAIGEAKVFARSTTAKLAHWRQWRRFLAFRGEQAALFLPLIEAARQRRSAGSGDGSGSGVRPYVDSLVDLRVPDDEDDDAGRATKRRALTDDEMVSLMVEFLGAVESIVACVEWTLAHLVAQPDVQTKLRHEIVNASGDGERPGRLPYLHAVVLESLRLHPPFPLVMREVRAEGATVGAEKVPAAGGNGVRVQFMLGDIARDAKVWADPHVFRPERFLAGGEGEGVGLVPAGAKEIRMMPFGAGQRSCPGAALGVLYVESFLAALVRGFEWAVPVAEGAAGDGGGVDMTELYGFITVMKSPLRARMTPARQ >Et_1A_008781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12765942:12767200:-1 gene:Et_1A_008781 transcript:Et_1A_008781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSATAVVVLVLFAFLAGQSAAGRYYQDKVEDKVRKEVEKAIKENRGIGAALVRLVFHDCWVNGCDGSVLLDQSPDGATPEKQAVNNIGLAGFDVIDRIKDKLRNDNVSCADIVVLAGRDAVEILSGGSITYKVARGRLDGVHSSAADADAALPTSDFAFGELRDNFASGFRKFTVEELVVLSGAHSIGVAHLSSYARRLSTADTPFFQIESSYRAALGNATPPALLEQGQNPTVPNNVRDETAEFQRQANYDPASLGVSPTRQVLDNSYYHNTLENKVLFKSDWVLRTDGEAAGKLQEYRDKPAEWNSDFADAMAKLSGLPAQGDRLEVRNNCRVTNGYRQY >Et_10A_001634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6217689:6221963:-1 gene:Et_10A_001634 transcript:Et_10A_001634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLNISITFLFTVEAPVMLTEPLEFCGHTGVSCCNAMDDAKLREQFEDMNISDGACAAIVQAFICSRCIPSLSALLFHSADPATTTKLSVPLLCASAPPRSTAQQTRSPQRQHSTVCLERISAGSFLGMAAHPDGSDRVFLSSRDGKIWLAMMPERGSGRALRVDDDHLFLDLRDRVLGLVSVAFHPEYAANGRFFVSYNCDSHTSPACGTGSRSWGEAAGSRSCRYKLVVAEFSAIGGADYSEATRAHPSEVRRIFTMCLPELHTSYSYQQHGGQILFQPSDSDGYLYLITGHGDFSKNGSSFLGKTIRFDVGSMAGQRGGTNNRGARKMDKPEMFSTGLNNPSGCSFDSERPSHLYCANVDQQQKEKVYLITNKAGSHSTSPSNAVSFTIINHGHAADGRMPSIVGGLIYRGYADPSLTGRYLYIYASTMRTSTVEAPGGSKSHSRHSSQIPNLRCSSSSPVPCRGRGTAILGRVQSFNEDNSKDAFIFTTRGVYRVVPPGVCRNTPQPPQWPPGTSWVLSHGVLAMLFALYLIWSIIFGGGTSIEMRCCNGLWSRNNYHYYRNGEHQE >Et_9B_066105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3805992:3815795:1 gene:Et_9B_066105 transcript:Et_9B_066105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDEARLVLPRVRIMAGPVRTQRPLGNTCRPAEQSTTAIMASPLPPPLELMDDNLEEILLRLPPDDPALLIHASLVCKSWGRLLNDPVFLHRYRTFHRTPPLLGFLHNINYHLKLVSVISFRPREPNYHYGYVLDCLHGRVLHQDRATLDLLVWDPITAEEHRIPGHDDASGSDFSAAVLCAVANCDHRNCHGSPFLVALISASDFGAEQFTEAVLYSSETGEWSAPTTVEEYFSFGPELPPTLAGDTVYFICGHNSMILGYDLRGERGLSVIEVPRVYCGGIALIPTLDGGLRLAGLNDRYSLDLWSLERIGPEGVLGWTHLTKLSIEVPVADDFAEYFVSLVGSKGPDPNVIFVRTEDCVFMIDLDSQKARKPYEKFIEFGGHGKGDILVWKSRASSQTCKVGTWIWH >Et_10B_003364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19135105:19138403:1 gene:Et_10B_003364 transcript:Et_10B_003364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRAEEERKVPLLEARPASDVGDRGTAGRKVEEDGDDDSSLSLGRRAWEENKKLWVVAGPSIFTRFSSFGVTVISQAFIGHIGATELAAYALVSTVLMRFSNGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIILFACSVVLLPVYVFTEPLLIALGQDPKISSVAGTISLWYIPVMFSYVWSFTLQMYLQAQSKNMIITYLAMLNLGLHLVLSWLMTVKFNLGLAGVMGSMVIAMWIPVFGQLAFVFFGGCPLTWTGFSSAALTDLGAIVKLSLSSGVMLCLELWYNTILVLLTGYMKNAEVALDALSICLNINGWEMMISIGFLAATGVRVANELGAGSARRAKFAIVNVVLTSFSIGFVLFVLFLFFRGSLAYIFTESREVANAVADLSPLLAFSILLNSVQPVLSGVAVGAGWQSVVAYVNITSYYLIGIPLGAVLGYVVGFHVKGIWIGMLLGTLVQTIVLLFITLKTDWEKQVDIAQERLKRWYMEENRRLQASRENA >Et_2A_016010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20095609:20098188:1 gene:Et_2A_016010 transcript:Et_2A_016010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRPSSEQGVMAGREPFGLPKSPPTPPSSAALQSVRMAYTTDGTPVFAPVSSAPPATPTYQPVGGGGAPGPNVAAAGGNDAAALPGGAAEPLAKKKRGRPRKYGPDGSMSLALVPASSATVPGAPGPFSPEAAKTPNSAPSASPDGGKKRGRPKGSTNKMKHVPAIGTIGAGFTPHVIFVKAGEDVSAKIMSFSQHGTRAVCILSANGAISNVTLRQSATSGGTVTYEGRFEILSLSGSFLLSENGGHRSRTGGLSVSLAGPDGRVLGGGVAGLLTAASPVQIVVGSFNTEEKKEPKQKHQRQQQLAPSEPSSVPPMTALMGGTTGPSSPPSRGTMSLSESSGGPGSPQHPGSTAAGNHVQQSGGFSSVSAWK >Et_4B_038941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6109133:6118010:-1 gene:Et_4B_038941 transcript:Et_4B_038941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGNGDARAPLLAGRRRNSVGSMRGEFVSRLPKKVLDAVDPEHPSHVDFSRSKGLPEGEREYYEKQFATLRSFEEVDSLEESIVINEEEELQEQNQSEFSMKISNYANIILLALKVYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKSINVYKYPIGKLRVQPVGIIIFAAVMATLGFQVFIQAVEKLVVNETPDKLNPVQLLWLYSIMIFATVDHYFDVVTNVVGLAAAVLGDRFYWWIDPIGAIALAVYTISNWSGTVWENAVSLVGESAPPEMLQKLTYLAIRHHPQIKRVDTVRAYTFGVLYFVEVDIELPEDLPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHSILSKLPSSQP >Et_6A_047415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5329977:5332133:-1 gene:Et_6A_047415 transcript:Et_6A_047415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTRETEGPGHRRSKRSSCSPASHPTWVILHETGARDDDSTGDRAMSLTFTGELISVSFVLLEPPRISFLTVNVPQRPRPTEPIRSDHLMRRGITGILSTSWSRSKEDASFVVADLRRECPESQRDYDCGPARKFDLHVLCSGSDKWMVFKNLHIRGTKSGRELDWWSTDAAVPYRNRYLIWADYYQGVIFADLACPEKKPDLWCVPLPVDPLRKDPSDTEENGVGPGYPDTSRSSRNLCATRSGLKFVSVDHQRNTNIGVRHWNSTCTFWITMWSLRDDGVTWRRDERLCADDLWALDSKNRFPHVEPKFPIINMENPNAVCFEVEEDLIISSSRKRAFMVEIDMRKKVLLEVTDYSKEPHLFSLDSVMIATELPTYLSTGEACKKNRQ >Et_4B_037983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25200563:25204052:-1 gene:Et_4B_037983 transcript:Et_4B_037983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRKPAAAAAVEETEAKGERSAVEEVALVVPETDDPAMPVMTFRAWTLGLGSCVVLIFLNTFFTYRTQPLTISGILAQILVLPAGRFMAAVLPDREVRVLGGRLGSFNLNPGPFNVKEHVIITIFANCGVSYGGGDAYSIGAITVMKAYYKQTLSFACALLIVLTTQILGYGWAGMLRRYLVDPAEMWWPSNLAQVSLFRALHEKEEGEKSRGPSRMRFFLIVFFASFAYYALPGYLLPILTFFSWACWVWPHSITAQQVGSGYHGLGLGAFTLDWAGISAYHGSPLVAPWASIANTAVGFVMFIYIIVPLCYWRFNTFDARKFPIFSNQLFTGTGQKYDTTKVLTKDFDLNVAAYESYGKLYLSPLFAISIGSGFLRFTATIVHVLLFHGADMWKQSRSAMNAVKQDVHAKLMQRYKQVPQWWFLVLLLGSVVVSLLMSFVWKEEVQLPWWGMLFAFALAFIVTLPIGVIQATTNQQPGYDIIAQFMIGYALPGKPIANLLFKIYGRISTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLAVAWWMLDNIENICDVDALHPDSPWTCPKYRVTFDASVIWGLIGPERLFGSHGLYRNLVWLFLAGAVLPVPVWLLSRAFPDKKWIALINVPVISYGFAGMPPATPTNIATWLVTGTIFNYFVFRYRKGWWQKYNYVLSAALDAGTAFMGVLIFFALQNAHHELKWWGTEVDHCPLASCPTAPGIAVKGCPVF >Et_8A_056214.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:16087133:16087219:1 gene:Et_8A_056214 transcript:Et_8A_056214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASRLLETVTMDIFAKHEWKFSNRLCR >Et_4A_032348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31107961:31108287:1 gene:Et_4A_032348 transcript:Et_4A_032348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWERQVERRQLFLRSYHFSRDADAPRSPRARARRVVWAGLRRLRRAAATGLRRLRARLRLCFGWATRRRGGFRYGRLSGAGSSKARTTTAASVCFW >Et_1A_005490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10816426:10817202:-1 gene:Et_1A_005490 transcript:Et_1A_005490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRTWVAAGLGARLLMLAFLAMAVQLTVSNHTRLDYRHNYNQLQSYTYAVVAAGVGMAGNLLQVPVAVYLLCKSKRMATSVLVLDISMYTDIVVTAVLASGVGAGFGATNEAKICSGEKFSSAPEFCLAPFLPILHALKNEHML >Et_1B_012891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3899414:3901045:-1 gene:Et_1B_012891 transcript:Et_1B_012891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRKLVLSVIMVVMVFSVAAVAAARPLAGGEWAGEATGSESFMSFLRQLYRQRLSGPGHSCSTWNPNVITLSSCLEGRISWVASFLGNLVAL >Et_5B_045280.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:16438715:16439113:1 gene:Et_5B_045280 transcript:Et_5B_045280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSLRSAAETGEPCCPAFANSASQVAGAPYAFERNSRATRSSLAPPPPAPAAAALAASPSRRAAAAYSVSHAHRQRHRGGDVAESRSAAKILSSVALATVAAILAAMAARPRASAVALALSACIVLMQKS >Et_2A_016865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28939949:28942763:-1 gene:Et_2A_016865 transcript:Et_2A_016865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLPHSGLRRSSVVAGGRSSAGAGADGAAADVSGAGPRAPASSTFWFLLHALCCIISLFLGFRFSRLLFFMLFSSTALYHSATTSSSAAVLRATTTTTTTTTTTTTTTNTFTLSFNAANPPPSSLANRTALETAAAIKAGTSGNPQSHVVVGRHGIRIRPWPHPDPVEVMRAHRIMERVQEEQRRWYGVKEPRQVLVVTPTYSRAFQALHLTGLLHTLRNVPYPLTWIVVEAGGTTNSTAAMLSRSGLTFVHIPFPDRMPTDWADRHATENRMRLHALRVIRERKMDGVVVFADDSNVHTMELFDEVQKVQWMGAVSFGILAHTGVAEQPRLSEEDKQNMPLPVQGPACNSSGHLAGWHTFNSLPFAGKAATVVGEAAPVLPRGLEWAGFVLNSRMLWKDAEGKPDWAKDLDAVGENGEEIENPLTLLNDPSSVEPLGNCGKKVLLWWLRVEARADSKFPKGWVIDPPLENVVPAKRTPWPETTTELPSELLDAKQDQEDRRLSRTNKSSRPRSTTKRKGDVQEHEN >Et_1B_010453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10784104:10787355:-1 gene:Et_1B_010453 transcript:Et_1B_010453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAAQHLPRKESRELSGHEGAVLAVRFNRDGNYCLSCGKDRTLRLWNPHTGALVKTYKSHAREVRDVHSSSDNAKLVSCGADRQIFYWDVSTGRVIRKFRSHNSEVNSVKFNEYNAVVVSAGYDRTVRAFDCRSQSSDPIQTIDTFQDSVMSVILTKTEIIAGSVDGTVRTFDIRMGRETVDNLGHPVNCISLSNDSNCLLANCLDSTVRLLDKTTGELLQEYKGHACKSFKMDCCLTNDDAYVVGGSEDGYIFFWELVDAPVVASFRAHSSVVTSISYHPTKACMLTSSVDGSVRVWN >Et_10A_000489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11277756:11280957:1 gene:Et_10A_000489 transcript:Et_10A_000489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSFDDADDDPPAATTSGGDKRKREGDGPSDAADGGGPRKARILVAGGGEPDGSAAVTEDTAGGSGGWRMVEAVGGDADGAISVRIDPEVLDCSICFEPFRPPLYQCQNGHVACVSCWSKLSNKCHICCHEANFVRNIALEKIVESVKSSCSYANWGCSKLVSYSLKDAHEKSCLFAPSVCPIPGCGYSGFTGWWSGHFFINHSGHGLRFLYDQCFEVELEISLPFLVLLAEDDHLFLLLNKNVVSFGHALSVICLRKGSLNWNFLYEIKAASSVNTENNLQLKASVTNTREWGGVHPTEAFLLVPYAFCKSNKLTLQLSIERIADVRVNM >Et_6A_046619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18339151:18339651:1 gene:Et_6A_046619 transcript:Et_6A_046619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRIQCTALKQNLKALLLFILLSPSKSFQVERMSCSCGSSCNCGSSCNCGCTLTWRRRALPPRPPSSSAWRLSRRPSSRRRPSPARLPTAAAAAPAASATPAPA >Et_10B_002925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1456690:1459842:1 gene:Et_10B_002925 transcript:Et_10B_002925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRRAPPRSSSGGVEPRFRQVGFVTSAGEPAAEAPAVAGSSPTPSELSPGPLSPVMIPPPLVPDHLPDDVDVSWARPPPPALLEPIKKGLAETKSGDDPTSSVPQKPKLSKAERRAIQEAQRAAKAAAKEAGLSGKPKAMASGVSATVSKQPKTAKTPLKKDVTTQDNPPDAPDRKTGERHPDRDRKKDGPQPRMQFDDVHRVDKAKRRSVVKQSETEKRVELFRHLPQYTRGTLLPDLESKCFLLGAIHPSVYKVGLQYLSGVISGGNARCIAMLLAFKDAINDYSTPAEKTLDRDLPAKISSYVSFLVECRPLSISMGNAIRFLKNRITKLSHTVSESEAKASLQSDIDRFINGKIITADKVIISHAITKIRDDDVLLTYGSPSVVEMIFNHAHDVGKKFRVVVVDSRPNLEGQGLLRRLVAKGISCSYTHINAVSYIMHEVTRVFLGASSVLSNGTVYSRVGTASVAMVAHAFAIPVLVCCEAYKFHERVQLDSICFNELGDPDAISNVPGGENFNHLKNWADIENLQVLNLKYDITPSDYVSMLITEYGMVRCASTSHLTLLCL >Et_9A_062149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19988139:19988426:1 gene:Et_9A_062149 transcript:Et_9A_062149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYEACLLRYSNASFFGVVDYVAQCAQDLARRTTATSVFPPSPCRPCVSECPDDLTELLRAVRDVRTSSTTLRQSRRPFHRYEAVE >Et_5B_045254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1501488:1502244:-1 gene:Et_5B_045254 transcript:Et_5B_045254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGARAGVLHQGAAHWLYRDETIDFSLPQDKRDLYMLIATAAAGRVSLTIQAAGGLPYVCISRDGRLWVAPVDATNQTRAGIENAKKPELAGRLVEVEALLRVAVVPRQLRPALRHGVAEEVAPALVPDEEEHAVAGCEQPAVVVLPRAACAARKCGSVVMRRHALQTAPERITDEIGSWRRISTTVSSGRRTDTARQKYVSMLQGPLTEEAMEAIEDLLTAMNMDKKAKKGRKKKVVPAQA >Et_10A_000841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18042996:18044565:-1 gene:Et_10A_000841 transcript:Et_10A_000841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVSFSLILLSVFQLIASTRRRLPPGPLPLPLVGNLLNISAGSPHRSLARLAQRHGPSLMSVRLGVVQAVVVSAADAAQEILQKHNADLAGRPTVDVWRANGHIANSVIISQADARWRALRKLSATELFSPARLNAMRPLRLQVVQELVRHVSEHVARGGEAFAVRDPAFTASINILSRAVFSGDLDSGPSVRGLKDIVKEATVLAMTPNVSDFFPAIAAADLQGLRRRMAPLVATAYGIIDREFEQRLRAREAREPRKNDMLDVVLDKEHDQEGSVIDHNAIKGLFTDLFVAGADTSSTTVEWAMAELLQSPEVMKKVKAELREVLGKKMQVEESDIANLPYLQAVVKEVLRLHPPVAMTYYRADATVQVQGYTIPEGTTIILNIWALHRDADIWEDPDKFMPERFMNTESDFSGKDCRLIPFGGGRRICLGLPLAYRTVPLILASLLHQFEWSLPEDARSKGIDMTEKFGVVVSLASPLKAVAKKCDI >Et_7B_055171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:880376:884633:1 gene:Et_7B_055171 transcript:Et_7B_055171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATGSSAAAGADAPASHDGLDKFITQGQNQAFLMLRTKIAPNIPRQIPQYPIRQIPASMRMPMLASHTLSAPQIAAPPQQIARPPQQVARPLRHPIQSQPPLVAGQRHQASLRHHQQSGSYQPQDNSISNTTSSTSPLGFADWRMYNDAIVSSAQTTTPFGGGGGGINNCNISSHGFHQNSSAYSTNIWTNYVPRKEKDLGFATSFGATAPVVSVSPFELMSQRPTNYSSAQMFQKVKNLDGVSWAFVSREIEGSDASYESDFAPELDSDGDTDDMNFVLNCKYYNTILCKELTNSDCGSMGRIVLPKRDAEANLPALVEKDVMILEMHDFELPRVWKFKYRYWPNNRSRMYILETAGGFVKRHDLHAGDLLIIYNHKRTGRHVCQSSMLHFLLPATLYSINLIILFLLHHVLRETESILNLYLFRLDFFPMVVRAVKAIRLNSAGNMMECQCIKAGNSVEECGFAIRTKKKYDI >Et_1A_004683.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30441086:30441376:1 gene:Et_1A_004683 transcript:Et_1A_004683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAAALIVATMSASVASTDDCNPNQLSVCFPALINGVAPTTDCCSTLRAHQRCFCRYACDPKSRKYIRSPNAYHALKSCGIPAPYCLAVSPFRTC >Et_2B_020454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20340848:20342883:-1 gene:Et_2B_020454 transcript:Et_2B_020454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDGGKKHVQDEEKQRLLLDEHKEKHFTAGEVVRDIIIGVSDGLTVPFALAAGLSGANASSALVLTAGLAEVAAGAISMGLGGYLAAKSEADHYNRELQREQDEIDTVPDVEAAEIADILSQYGLGPEEYGPVVTSLRNNPKAWLEFMMKFELGLEKPDPRRALTSAATIALSYVAGGLVPLLPYVFVPKAGRAMAVSVAVTLAALLFFGFVKGRFTGDRPFISAIQTTIVGALASAAAFGMARAVQSI >Et_9B_063682.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18803316:18804883:1 gene:Et_9B_063682 transcript:Et_9B_063682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLRLLAQRRRPRRAVSTITTAAASLPAHYSDAPDNSEAEAEEDEFFDLDFSSSVRASSSSSSGSDESDDACSDLDFIISLHRSRSASPSYDTLLFGAGHPPLQFCASEPPSSSLLLPSSRYGDHSKKKRGGAGSLRTLSFGAKKAAFYGGRPSFASSARSLRLFMESPADDVEEDVVNASEHKRAPPSGDVIRRYLTKISRRLRSVRPRGAASAVTASGGGEARGLRRLRKSRSAAVSLAPSASSRRDDSLVEKQDGIASAIAHCKESFRRGISTHDVSVF >Et_1B_010843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14703821:14709025:-1 gene:Et_1B_010843 transcript:Et_1B_010843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSFHRACSVARRIFEGTTFASGALRVRDTFSRGRALLLKRARLAPDSSPYTVGAGRRLATLPAPLPDSLHQAISPGLAPIHATSQITSASPPRCRAMDTGGGLAAGSHMRDELHVMRNHDEPNAKIRSADVNTCRVCGDEIAAREDGQPFVACAECGFPVCKPCYEYERSEGTQCCPQCNTRYKRQKGCARVEGDEEEGPEMDDFDEEFQIKSPKKPHEPVPFDVYSETGEQPAQKWRTGGQTLSSFTGSVAGKDLEAEREMEGSMEWKDRIDKWKTKQEKRGRLNHDDSDEDDDKNEDDYMLLAEARQPLWRKVPIPSSQINPYRIVIVLRLVVLCFFLKFRITTPAKDAVPLWLASVVCELWFALSWILDQLPKWAPVTRETYLDRLALRYDREGEASRLSPIDFFVSTVDPLKEPPLITANTVLSILAVDYPVDRVSCYVSDDGASMLLFDALSETAEFARRWVPFCKKFAVEPRAPEFYFSQKIDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSQGALDVEGHELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPFILNLDCDHYVNNSKAAREAMCFLMDPQLGKKLCYVQFPQRFDGIDAHDRYANRNVVFFDINMKGLDGIQGPVYVGTGCVFNRQALYGYDPPRPEKRPKMTCDCWPSWCCCCCCFGGGKRGKDKKHKKGGGAGDEEPRRGLLGFYKKRRGSKKDRLGSVAGGMKKKRGFELEEIEEGLEGYDELERSSLMSQKSFEKRFGQSPVFIASTLVEDGGLPQGAAADPAALIKEAIHIGWIYGSVTEDILTGFKMHCRGWKSVYCTPSRPAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPLWYAYGGRLKWLERFAYTNTIVYPFTSIPLLVYCIIPAVCLLTGKFIIPTLNNLASIWFIALFLSIIATGILELRWSGVSLEDWWRNEQFWVIGGVSAHLFAVFQGLLKVLGGVDTNFTGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFIPKAKGPILKPCGVEIAILDPSCHPQFDGFLRPPLQHASNVTILSK >Et_2B_022112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9286226:9289234:1 gene:Et_2B_022112 transcript:Et_2B_022112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPQPSPRAAILAPVSSAAPAGLPRHARLLPPSTRTRLLPSLGDAAPRPANAASRRPGDAAPPPSSPPPRPSLAPCPQRPVPHRRRPGPCCPSPSLPCCCRIEDLAGYTSEKDLYRLCLWGSVRISGFGAEEDEWVKVCTCVQQCSQPSQDTECACVLHVDHDLCIKESITLVFKGEDMIPEVVIVDFWSVMTTLRQLCRRPETDYKIQASHAARGDHAVPKGTTV >Et_5B_043689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1446252:1446604:-1 gene:Et_5B_043689 transcript:Et_5B_043689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVLPAQRTLLVRGVLGWITTLKRYVRARSWHSCVFRKTPRGQSIAPASLPEPKQFANFNVCPSGTARPMTLYISPSGNICISIITLPR >Et_9B_064351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14256104:14262822:-1 gene:Et_9B_064351 transcript:Et_9B_064351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAAAGMPGSPAGAAAAAGGPAEPEVAARDAVIGWFRGEFAAANAMIDALCGHLAQIGGAAEYEPVFAALHRRRLNWFPVLHMQKFYPVADVAAELRRVAEARAAAAAAAGSCCYSEEEAASTVIHEPMDDPEPEPERDQDPVQQDPAPAAEEVDGAVNHAAEHEADAEVDSSGDSSERKGASTEDDAVADGHHTDQGSQGEHSLPESYPICSDHEECIVRPERIKIQKGFVAKESVNVVKGLKIYEDVFTTSEIMKVADFINEIRQAGRNGELSGETFIFFNKQIKGNKREIIQLGVPLFQSTTEEANCHIEPIPVVLQAVIDHLVLWRLIPESRKPNSVIINFFDEDEHSQPYFKPPHLDNPISTLLLSETTMAFGRSLVTDSNGNYKGPLTLSLKQGSLLVMRGNSADMARHVVCPSSNRRVSITFARVRPSTPVDLSPLSSPTKAMTPWQPQPAAAAVAPVAMAQKPLSSGAIIGYAPAPQAMIAPASWGMAVRAPVMMVAAGPARPMVMASSGNGAGGNIGKRMGRSGTGVFLPWTVGPKRYNKHLPPRIQKRRFSTMMSPIEAQG >Et_7B_054080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15018937:15033880:-1 gene:Et_7B_054080 transcript:Et_7B_054080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLAEFAKMAAAEVAADAMAQGAGAPANQGYRGVVILHGGKWGAHIRDPASPGLKLWLGTYEKPEEARVVTANAGAQEEAGAPAPNPGYRGVTTRLGGKWGAYLDSRGPRLWLGTYDTPEEAACARTLKPGAPTNFPEPAGEELRRRAVVLAHVARVKTKRANRMAKEAKRKMDAAEAAMAEGDASSSQIAAPPAGGDASSSDAVPAPVVSAGAVSAVLPPAVPAKTTSTRPAPAGADASSPQPGLAPEAHFAKKVAANGEPQEVQQQGGAPAPERNYRGVTRRPSGKYGAYIFESFTHKKVWLGTYDTREEAACAYDAASRTLRPHATKTNFPEPAGEEETRLAVVSAHVDGVKRRREEKMQQKEARRKMDADNAAATAPPPDDVQPPPAPAPRRATTRLDPRSHRPLVAARQPPSLHRLRRVRRSSPRLHRLRWACRPSVCSESTSWSPLNDVRVPTTDGTSIGASCPGSDASSSSAW >Et_7A_050618.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:7211543:7211899:1 gene:Et_7A_050618 transcript:Et_7A_050618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSTTMPSTLALMAVQRQTAASRSARPPRRLQHGSAGTLPTSPLTSPSTLAHTPNLSVSTGHLLYDGVGGGGGGRAGGDGVGHLPQAQPQALAAVKKRMLRAKMMRTLDAMAYYELS >Et_9B_063650.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:16450832:16451379:-1 gene:Et_9B_063650 transcript:Et_9B_063650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVTRLCSTKSIVTVNGQYPGPTLFAREGDHVEVNVVNHSPYNMSIHWHGVRQLFSAWADGPSYITQCPIQPGRSYVYRFQIVGQRGTLWWHAHISWLRATVHGPIVILPPAGVPYPFPKPDGEVPLMLGEWWRNDTEAVIAEALRTGGGPNISDAYTINGLPGPLYNCSSAAQETFKL >Et_10A_001498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4053845:4058624:1 gene:Et_10A_001498 transcript:Et_10A_001498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLHHSPVPTMPAPATPRQQQQDALAAAALQHAATAMAGREQCPRCASRDTKFCYYNNYNTAQPRHFCRACRRYWTLGGSLRNVPVGGSTRRKRPRPTRPTRALAAAVATATASRAATTTTMMTTSSCGPFASSVAAPVLSGGLLNSLLLGSAPLLEGHLGLIGQAAGAGDYLAQLGIGAGPLPWPTATVSQEPSWKSGAGIFPPAAAAAPWQEELAAPAAPMHQHHGPPPLLLSLLLGSASSPLFALGAAPLLEGRLGIDLGLGQPAITWPS >Et_6A_045903.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:460895:461358:1 gene:Et_6A_045903 transcript:Et_6A_045903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNEGDLEEDVEYTQELRKLTRGELLLRLDGYIDKIMRKSEETPRLQYFAEGQVNGGWGGANRVSDNNAGVGASENVVLGMIPGTAQQLSPLNNVIATVMDSVDFGFSL >Et_3B_031115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16486924:16489035:1 gene:Et_3B_031115 transcript:Et_3B_031115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYDREFWQFSDQLRLQTAGLSALSLGDSIWSPAADRRNADALFGGASPANSDAFPSAAAAAKNTNNNGPGAGEYGGGKKKQGKNDNGGAAASDKRFKTLPASEALPRGEPVGGYIFVCNNDTMDENLRRELFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGTNIDPTAWEDKKCPGESRFPAQVRVATRKIYEPLEEDAFRPILHHYDGPKFRLELSVTEALALLDIFADKDDA >Et_3A_027024.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29905472:29908399:-1 gene:Et_3A_027024 transcript:Et_3A_027024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKTRVLCEACGADVGLWQAAFTSECDHKFHLRCVSGHAACPECKVPWRDTPAVAPAPAPASPFSFASAPGLFTQQSTGTAEVSGFPSSPAGQTSLSGSSPPTTLGSSGSSSSSLFGSSSSSLFGSSTPSLFGSSSPSLFSSAPQTTSSSSLFASGSAPQTTSSSFSFPVPSSTPSFDSSPFGTSSLSTTPSFGTSLFGPTQSATDAQSPSCSVCRGAMGRGQATVTSECNHTFHLRCFSGSVCPVCSVRWRYEVTATRSSPFSFTSSPPQPSLFDRPPRIGSYIPPDRLYSPPRPSLFHQPPSFSFAPPNPSPTPPFSSPPLQSSASLFNFNDDEPGDPPLDEGWDTVPEVAHDGALVLETHCEHPAVARDAAQENFAVLVHAKAPAVAAEVSERAPLDLVTVLDVSGSMAGSKLALLKQAMGFVIDKLGPGDRLSVVTFSCNACRIIRLTRMSESGKASAKGAVESLRAGGTTNIGDGLRIAAQVLDDRRHRNAVSSVILLSDGQDNHTLDLGQGRFGPYGGTKSFIDLVPLSLRRGGGGNMCAPVHTFGFGTDHDARAMHAVAEVTGGTFSFIENQAVVQDSFAQCIGGLLSVAVQEARIAVECLHPGVRVRTVKSGRYKSRVDADGRAASVDVGELYAEEERRFLLLVDVPIAAGDGGGDVTRLIKASCTYKDAATGRSVDVAAGEDAAVTRPVVADTEPSMEVARERFRVETAEDIASARAAAERGEHAEAARILDRRREASAAAGLSGDARCAALAEELRELSLRVANRREYETTGRAFMLAGMSSHAQQRASTVQLFGSAAPPPQPYGSSAFVSPGLFGGAPATGIQSSSGWAAPQAAASRSSLFSTASSSPFAGASGFSSAPAFGAAPSGSSSSAFPSTSGAGGFSSIPSGAAFSPARSPFVSGTAPAFGAAAPCFTFGYATPAMQNMVDSSRRTREQQHQQSEPATEAKDGSPSQASKRTEESS >Et_9B_065358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4167067:4171055:1 gene:Et_9B_065358 transcript:Et_9B_065358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSNCFWIEGETMDQEQKAEISSGVYTYKHHCEMGLHIHEIIVRKSRSQVLLSCIGIAFLLATVCRSLLAKDLSLGSVWNVTFAFLVAKCLQYRPVKKALVVIMPSFGVQLERHFWSGRVDRRFVPIGKILKPLLNECVTPVTCYWSLALLLRDEEELLLVFQKFRPPVKMLVPIWKALRTFINFESQSPSGSVELDAAAYTVDDDEEEAAGPTAAAAANVAVLAAADLAGSPRPAEAARSWEACDDGSTVATADAAAAAGRYLCLTISALTAASSASSACTCCWSVRMAPMQP >Et_3B_027835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21418721:21419429:1 gene:Et_3B_027835 transcript:Et_3B_027835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDAAGFKLFGKVIQPPDAHQRAAEEGATAPQPPPPPPPPPLPPPLPAPPPAPASTGEPLPCPRCGSRETKFCYFNNYNVRQPRHLCRSCRRYWTAGGALRRVVSASPGRRRPRPTAARSAAAAAATSASAAEEGDSFGGQKGCGQLLESDGKL >Et_7B_053816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12397798:12404063:-1 gene:Et_7B_053816 transcript:Et_7B_053816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVLASSCSASPRLPLSAASRGPRLPAAPPLPAGRRGGARRPRLVVAAAAAEPRGSRNVLEGLNSKGFASVSSSTSNENMSTGTGTLPPVPPQSSFIGSPVFWIGIGVALSAAFSVRYAMEQAFKSMMTQAPPNTFGSNSPFPFAMPQQAAPPIPSSYPFSEPKKATSKQSVTVDVSATEVEAAGTSKDADAEISKPSKKFAFVDVSPEELQQNQLQSSLETVDVKQDSTGSETKEDTEQKVPTNGFAFKPSDDAARGPTESSNPGSGPMLSIETIEKMMEDPAVQKMVYPYLPEEMRNPDSFKWMLQNPMYRQQLQDMLNNMGGSPDQWDNRMVDHLKNFDLSSPEVRQQFAQVGMTPEEVVSKIMANPEVAVAFQNPKIQTAIMDCSQNPLNIVKYQNDQEVMDVFMKISQIFPQING >Et_9B_064341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14095334:14104089:-1 gene:Et_9B_064341 transcript:Et_9B_064341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPESGRFGRPPTKLVLVEKKQRKGSKFVIEETADHIIFKCKIPNFDNFKLELNSFHIIPPIINPYLAGRILFASAFSSSSTSDTLSPPPAIPRMEAEQQPQRPRRKGQKRKLEDEAAAAVAAAAAAASSLGSTGADDDNEDDGSAGPEICCRRSHAALVREVRTQVDDLLRCTSWRHEDRAAAKRATHVLAELAKNEDVVNVIVEGGAVPALVRHLVEPAATAQEQPRPFEHEVEKGAAFALGLLAVKPEHQQLIVDAGALPPLVNLLKRQKNTSNSRVVNSVIKRAADAITNLAHENSNIKTCVRVEGGIPPLVELLESQDLKVQRAAAGALRTLAFKNDENKTQIVECNALPTLILMLLSEDAAIHYEAVGVIGNLVHSSPNIKKEVLNAGALQPVIGLLSSCCTESQREAALLLGQFASADSDCKVHIVQRGAVRPLIEMLQSADVQLREMSAFALGRLAQDTHNQAGIAYNGGLVPLLKLLDSKNGSLQHNAAFALYGVADNEDYVSDFIKVGGVQKLQDGEFIVQATKDCVAKTLKRLEEKINGRVLRHLLYLMRVGEKSVQRRVALALAHLCAPEDQKAIFIDNNGLDLLLDLLTSMSSKHQQDGSAALYKLANKAAALSPMDAAPPSPTPQVYLGEQYVNSSTLSDVTFLVEGKRFYAHRIALLASSDAFRAMFDGGYREKDARDIEIPNIRWDVFELMMRFIYTGSVSNEIAQDLLRAADQYLLEGLKRLCEYTIAKDVNLDNVSDMYDLSEAFHAVSLRHTCILFILEQFDKIGTRPGSTQLIQRVIPELRNFLTKALSPNQKHAQT >Et_3A_024216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18496861:18501855:1 gene:Et_3A_024216 transcript:Et_3A_024216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPATVAAVAVLVAVFAAVASAAVTYDRKAVVVNGQRRILLSGSIHYPRSVPEMWPDLIQKAKDGGLDVVQTYVFWNGHEPAPGQYYFEGRYDLVRFIKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYAEMQKFTTKIVDMMKSEGLFEWQGGPIILSQIENEFGPLEWDQGEPAKAYASWAANMAVALNTGVPWVMCKEDDAPDPIINTCNGFYCDWFSPNKPHKPTMWTEAWTAWFTGFGNPVPHRPVEDLAYGVAKFIQKGLLREPKWGHLRDLHKAIKLCEPALVAGDPIVTSLGNAQQSSVFRSSTGACAAFLENKNKLSYARVAFNGLHYDLPPWSISILPDCKTTVFNTARVGSQISQMKMEWAGGFTWQSYNEEINSLGEESFTTVGLLEQINVTRDNTDYLWYTTYVDVSQDEEFLHNGKNLKLTVMSAGHALHVFINGQLTGTVYGSVDNPKLTYTGDVKLWAGSNTISCLSISVGLPNTGEHFETWNAGILGPVTLDGLNEGRHDLTWQKWTYQVGLKGEALSLHSLSGSSSVEWGEPMQKQPLTWYKAFFNEPDGDEPLALDMSSMGKGQIWINGQGIGRYWPGYKASGTCGTCDYRGEYDEKKCQTNCGEPSQRWYHVPRSWLNPTGNLLVIFEEWGGDPTGISMVKRTTGSICADVSEWQPSMKNWRTKDYEKAKIHLQCDHGRKITDIKFASFGTPQGSCGSYSEGACHAHKSYDIFWKNCIGQERCGVSVVPDVFGGDPCPGTMKRAAVEAICS >Et_7B_054882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5195928:5196415:1 gene:Et_7B_054882 transcript:Et_7B_054882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGSSGGGRSSLSYLFEPEEFAPYHTSKSKQETEKTSRISSGVKEDKMIAGEADQQLPVIPAPPKKEVSNAIVPSHILPCNIYHTSQLNHNSGLLITDRPSTRVRCAPGGPSSLAFLFSDENEK >Et_4A_032747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13314760:13315574:1 gene:Et_4A_032747 transcript:Et_4A_032747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTALLVLILVVLASLATAAAWRRRRSRCFLLDYVCYKPPDDQKLSTETMSAVTGRSERLSHHARSFLLRSGLGEHTYAPSTILHGREESPTHQDAIDEMDAVFRGGVAELFARTGLRGRDVDVLVVNVSTLYPAPSLASRLVRAHGMRDDVAAYNLAGMGCSAVLAAVDVARNALLARAPRPAMALVVSSECMAPNWYAGEDSCVWEVTGDMADKGAWADCIDGYPPESLANLQMDEYASPNHVQGDKASDVPLAGA >Et_8A_056490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10864882:10865443:-1 gene:Et_8A_056490 transcript:Et_8A_056490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHTAMHLFSGSSTRAGVYKPPYGGFVEMHIEEQHRTIALRTLVSYSILPCAANTNQIRLHGHYSSIAQLCNSMIMHSVIESFGDGGRTCITAQVYPDHVVTSSSGEGLQARGVGSRHGCRERCIM >Et_4A_032272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27692455:27693006:-1 gene:Et_4A_032272 transcript:Et_4A_032272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEFKCSVCGKSFGSYQALGGHKTSHRAKVEAPVPITAVPPPAELIREPATSSTAASSDGAAAPNGRVHKCSICHKEFPTGQALGGHKRKHYDGGVASSGNGSSAARAFDLNLPAVPEFVWRCTAKAGKMWEEDEEVQSPLAFKKPRLLMTA >Et_2A_018024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9141175:9143786:1 gene:Et_2A_018024 transcript:Et_2A_018024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGTRIYSLLGLLLLLAVAYLSWFPGRGPSPSGPGPGGLKVPVPWLQPRMSFAARAGACFVDADTGAPLYVNGWNSYWLLSARSPALAAEMLRRGRRMGLGVCRTWAFSDGGPDALQISPGRFSEPVFQMLDYVIYEARRNHIRLVLCLVNNLDQFGGKAQYVKWAQMAGVNVTSTDSFFSHATIRGYYKDYVKALVTRRNSYSGIRYCDEPAIFAWELMNEPRCVSNSSAPHLQAWIEEMASYVKSLDSKHLVTIGTEGFYGPGRPERLDVNPGDWAASLCTDFIQNSAVKHIDFASVHAYPDTWLPKASMEEKIKYLSKWVDSHLNDSEYILRKPVLFSEIGFLQHAESNGTVDGDTLLKVVYDKIYNSAKKLQAGVGALIWQLMVDGMQTYHDDFSMIARDHPSTYKLMKEQSCRLQRLHLKEGGPEWDCSLPP >Et_5A_040812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1286174:1297189:1 gene:Et_5A_040812 transcript:Et_5A_040812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHAPLLHSGPLLYVATNQEQALPRRQAACHLPTTPRCFRWMIWAAFSADICLLYYHDAAPASAQSPLFYCSHVDSQLVDRSPGSAMAQAERTQLSVVMFPWLAHGHITPFLELARRLTSTSDHDMDVVVHFVSTPVNLAAIAHKQTEKIRFVELHLPELPELPPSLHTTKHLPSRLMPVLKRACDLSAPRFGALLETLRPDVLLYDFLQPWAPLEAAQRGVPAAHFSTCSAAATAFFVHCLGAGGQGRSPRAFPFESVGLGGADEERKYTELFVLRDDDGGDAMFRERDRLLLSLARSEGFVAVKTCAEMEQAYMDYLSELLGGGKEIVPCGPLLVDYGGSDHGADGEAGRVARWLDAQEPASVVLVSFGSEYFMSETQIAEMARGLELSGERFLWVVRFPKDSAAADEDSNAARALPRGFAPARGLVVEGWAPQRRVLSHASCGAFLTHCGWSSVMESLAAGTPMVALPLHIDQPLSANLAAELGAAVRVPQPRRFGEFTAEDVARTVRAVMRGEEGQAVRRRARELREVVTRSDADDAAQVGALLQRMARLCGKGQGSKLVGGKDVVPCGPVLVDYGDGEENGRVAREYFMSEAQIAEMARGLELSGERFLWVVRFAKENAADDV >Et_8A_058273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:393935:397823:-1 gene:Et_8A_058273 transcript:Et_8A_058273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLMEHLGMDVSRLLGFGTDMALEFVYNAIPGPPVSRAAPLASAVAKRAPDDGVDRISRLPDQILRNVVSRLPAKDAVRTGALASRWRGIWRSVPLVLVDADIVPEYMDAKRVMPGGDDIMSMVVVPLASRVLAAHPGPFRCVHLTRGHMASNQAEIESWLQLLAAKGVQELVFINRPWPLDFPLPATLFGCGASLTRLHLGAWTFPSTRSLRRSAGFPHLQELILSMMVMQDRDLALMLDMSPVLEKLIVISAQTGLRLRVVSHSLRCLQLGMCTLQDITVVDAPRLERLLLWMTVRGDKRPRIKIGHAPNLRMLGYWQADNQELEISNTIIKADTRVSPSTIPSLQVLALEVHFEVRNEIKMVPCFLKCFPNVETLHSLKSDNPSGKLNLKLWQEAGRIECVKRHVKKFVLHEFQGKKAEIAFLKFIAETAQVLEKMVVMVASQFFCSVNDVNAKLKPLTSAEWASKECKRIIFKSPSPDGTYPPWSFQLGSNFSLKDPFDLMTEGEARGMLVVHGELASHDVKVGSDSGSWRVLEERQNFPILQVKAEDVALDQRFSSKALHKLKPVLINRDVHNVILHHAK >Et_4A_032744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13179671:13191502:-1 gene:Et_4A_032744 transcript:Et_4A_032744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVSFKIKEVDGGMSRWSEYLNIEEPAPSALASWRNMGVDGQQGSSASGQKHLQMEPVVQLAKVAEGLLAKMYRLNSILDYPDPNTHTFSDAFWKAGVDKFALDALNENAEGYMHNLEQWVMLLLDLLAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSDKMPRKMILQVYNILHIMLKGGRDCEFYHRLVQFVDSYDPPIKGLHEDLNFVSPRIGEVLEAVGPIIFLSTDTKKLRNEGFLSPFHPRYPDILTNSAHPMRAQDLANVTSYREWVLLGYLVCPDELLRVTSIDVAMVVLKENLILPLFRDEYILLHENYQLYVLPKVLESKRMAKSGRTKQKEADLEYNVAKQVEKMLTEVHEQALVSCDAMHRERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQSEVVWYFQHVGVASSKSTRGKTVDIDATDPTIGFLLDGMGKLSCLVRKYTAAIKGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKGLFQQVLHCLENIPKPQGEIVPAITCDLTDLRKNWLSILMIVTSSRSSINIRHLEKATVSTGKEGLVSEGNAAYNWSRCADELESQLAKHGSLKKLYFYHQHLTTVFRNTMFGPEGRPQHCCAWLGAACSFPECASAIIPEEVSKIGRDSISYVESLIESIMGGLEGLINILDSEGGFGSLEMQLSPEQAALRLNSATRAKAISGLLAPGHESYPDNSSSVKMLEAAIQRLTSLCSVLNDMEPICVLNHVFVLREYMRDCIVGNFRRRFHSMIRTDNCIQRPSIIESLLRRHLGIIHLAEQHISMDLTEGIREVLLAESFTGPFPNLQIFETPVGTQGGGSAVEIVCNWYIENIIKDASRIGVVFDATQNCFRSSQPIGSGCLAEAFTDKRELKALVRLFGGYGIDKMDKMLREHTSALLNCIDSALRSNRDALEALAGSVNSGDRIERDANLKQIIDIETLADLCIQAGQAITFRRLLVEAVGTVLEEKVPLIYSLLKGLALQLPDEIPDKSEIIRLRRVASSVGVGDKHDAEWVHSILAESGAANDNSWILLPYLCAAFMVSNLWSGAVYDVNIGGFSNNLHCQARCISAVVGGSEYTRMEREQRTSSLSNGHTDELQEPELLSRVSAEANIKSAMQIYVKLSAGIVLDSWNDTSRPHIVPKLIFLDQLCELSPYLPRSTLEVHIPYTILRSIYHQLYGASLMASELMEQSPRQSPLISLAHASPSARQNRPDTTPRSHTFEPSYYSSTGSQYDEGYDLDKRTGEKQLRSMRRSGPLDFSASRKAKFVEGSSSASHGTES >Et_2B_019452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30404051:30406243:-1 gene:Et_2B_019452 transcript:Et_2B_019452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAVLVAIAAAIGNLLQGWDNATIAGALLYIKREFHLESQPAVEGLVVAMSLIGATIITTFSGPVADMVGRRPMLIASSLLYFAGGLIMLWSPNVAVLLLARLVDGFGVGLAVTLVPVYISETAPPEIRGLLNTLPQLTGSFGMCASYCMIFVMTLSPSPTDWRSMLGVLCAPSLLYLALAVLYLPESPRWLVSKGRMKEARAVLETLRGRGDVSAEMALLVEGLGLGLGGGDTAIEEYVVGPAAAGGGDHQQTSEQQQQQQPLTMAAARQGSMYEQMKDPVVALLGSVHEKTNQLGGGSALFLGSMLGGVADEENVPPNLSTVDESSSKKMMKRYSSSVGGAEAASTMGIGGGWQLAWKWTEDVGPDGVTRGGVKRMYLHEGGGSSSAGVGQHATPALANPPDVRSSSSGGPWWEELMAPGVRHALFCGVMIQILQQLSGINGVLYYTPQILDQAGVSVLLASLGLSADSTSILISGLTTLLMMPSIGVAMRLMDVSGRRSLLLRTIPVLIAALLVLVVANAVPMPTTAHAALSTGSVIAYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLTFWLGDIAVTYSLPVMLTSVGLAGVFGFYAVVCCVALAFVAIKVPETKGLPLEVIIDFFNVGANAKMPASINTTPPHH >Et_2A_016256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22586818:22588690:-1 gene:Et_2A_016256 transcript:Et_2A_016256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICGFPKMGKKSLQFEEENCMESGNLSSTLQKLYMWEKKLLQVLWLKKIHSTLFAYRCVIFEIQLCDAKARDLYPSCCVANHGHSLTTISLNCNLFRVNYILNVLINISSNEACHYFPYACIREKIRVLLFGNTRSKNFITRVLKIINLRQLTFISRKLATKLSIAIQVIKSISYKINKLRDEELYGHKHMSSLKGNLNEWLKKGIEYMPEVNDDGVPPFSPGRLGAPPVFTICNNLSTSVARISQMEVVGMMETFASSEKQRSEWKHAMLADKDIGYLRWMQRDEMFTRKVVEARNKRLVHVSSQCYICLYYQHNCCMTELHLLKMACMHACIS >Et_10A_001983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16701971:16703338:1 gene:Et_10A_001983 transcript:Et_10A_001983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAHVAVICAAVAALALAAAALGIAGEATKSKARTHASSFVRYDGKSCVYRRTPAFGCGVAAAASLLTGQLVLTAAAGCWGLCRTRRCAGGGDHRRCVGAVFPALLSWFLAVLAASAFVVSAVKNQSGERRPKEGVAGAYYRCTVLVAGVFAGGSFFSLAAAAVGIASYVAVESADGHSAPPRSPVWYVGELPRQDGVAGQTPAQHGTAASAAAASGSAKDEHAGMMTCLSKFTEHSMNLDIRKHSQNAK >Et_5B_043109.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:4039664:4040035:-1 gene:Et_5B_043109 transcript:Et_5B_043109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IRLIKKTFLIRLFLSSHSSPLYPSSSNSFHSTKEFSIIIRKSKSANCSLIAPAPVAISRFRNVAKPGVEKKGEMLWLQDEISSSNKPRNRKKVGFLALGLAKEKSPYTRPSNFLRGLSKRFAI >Et_5B_044281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22259320:22264701:1 gene:Et_5B_044281 transcript:Et_5B_044281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSSTRQARLRSKKLDDPQPAEPGRKGAAGRRVPPASKPKRKGVDIIDLEAEPVCEDPPKAVAGKAVACEVGAKGPRMDGESAEKLVGVEDESTTAPVPERVQVGNSPEYITERKLGKGGFGQVYVGRRVSGGTSRVGPDAYEVALKFEHRNSKGCNYGPPYEWQVYHNLNGCYGIPSVHYKGRQGDYYILVMDMLGPSLWDVWNSLGQTMAPHMAACIAVEAISILEKLHSKGFVHGDVKPENFLLGQPGSPDDKKLYLIDLGLASKWRESSGLHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDTKSFLVCKKKMATSPEILCCFCPAPFKQFLETVTNMKFDEEPNYAKLISLFDSLIEGPVSRPIRIDGALKVGQKRGRLLVNLDEDEQPKKKVRLGSPAAQWISVYNARRPMKQRYHYNVADSRLSQHIEKGNEDGLYISCVASSANLWALIMDAGTGFCSQVYELSPVFLHKDWIMEHWEKSYYITAIAGANNGSSLVVMSKGTPYTQQSYKVSEQFPYKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYSSQVVELDFLYPSEGIHRRWETGYRITSTAATNDQAAFILSIPKRKPMDETQETLRTSAFPSNHVKEKWAKNLYIASICFGRTKHHRQLACDHHAPCGSRARDVRVANSNQAALTINKDRS >Et_5B_044126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20193780:20196026:-1 gene:Et_5B_044126 transcript:Et_5B_044126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPELIHDFTAEILLRLPPDDPAHLFRASLVCKPWLRILCDPNFLRKHRAFYRTPPLLGLLHRRNLVDNGPAPRLAPTTAVPAFPHPAYGGRRLRALDCRHGRVLLHKWDEKSVDFLVWDPVTGGQHALPTVEIEWMTYSAAVLCAVGGCDHVDCHGGRFLVAFVATDDIDLLIKARVYSSETGAWSTPTSLDNGAVPLLLIGDEVFFAVALGKAIVKHDWRKNRLSMINLLPPAGYNNVVTLMVTDDSSLGFIGTKGFNLYLWSRKVNEKGIAAWVQCRVIELDAVLLVDCPSKVACMAGFAEGVNVIFVGTEVGLFMIQLKSGLARKVGEPGGYYSILPYMSFYTPRQTSAADIRYYFEQSFGSKTGHNVAGNAIADASKAVRTRSFLPRYF >Et_3A_025586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3148572:3151847:-1 gene:Et_3A_025586 transcript:Et_3A_025586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGGGGVMVGGGGGPGGGMGGGGMGGGGDVELVSKTLQFEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPIDGVAWFLDLFDYYIRTEERDAFSKELRLQTKVFYFDIGENKRGRFLKVSEASVNRNRSTIIVPAGSSGEEGWEAFRNVLLEINSEASRLYVLPNHPNQQHMEPPERLPGLSDDVGAGFIAGHGSQSASGPEVDVERLVDLPPQEEISGMGMSKVIRADQKRFFFDLGSNNRGHYLRISEVAGADRSSIILPLSGLKQFHEMVGHFVDIMKDRLEGMTAANVRTVEPSQR >Et_1B_010129.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25335147:25335560:-1 gene:Et_1B_010129 transcript:Et_1B_010129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCTMIRDSEMGLPWWSRTGIFLCTGLDLSSSSLFLPTTSSKTSCSTPFSLSAIRTRITNGLAQRPSTRTAGASSLVDDILYWVGYQSCVLLLSGLSTERLSLPPAAEICRGMCYEELKTSFLTSRLGQHFYLFFSV >Et_8A_056911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18872741:18880990:-1 gene:Et_8A_056911 transcript:Et_8A_056911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARFPFSPAEVAKVHLVQFGILSPDEIRQMSVIQIEHAETMERGKPKPGGLSDPRLGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEDDTKFKQALKIRNPKNRLKRIYDACKSKKVCAGGDDLDVQEQQDTDEPVKKRGGCGAQQPNITVDGMKMVAEFKATKKKTDDQEQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLNPKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDQLGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWEDFDGKVPAPAILKPRPIWTGKQVFNLIIPKQINLIRFSAWHSETETGNITPGDTMVRIEKGELLSGTLCKKTLGTSSGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFSIGIGDTIADAATMERINETISKAKNDVKELIKQAQDKNLEAEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDFGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKGEFDNVFRYELDDENWRPNYMLPEHVDDLKTIREFRNVFEAEVQKLEADRFQLGTEIATTGDNSWPMPVNLKRLIWNAQKTFKIDFRRPSDMHPMEIVEAVDKLQERLKVVPGDDAMSIEAQKNATLFFNILLRSTFASKRVLKEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVNKKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDVEFVRSYYEMPDEDIDPDKISPWLLRIELNREMMVDKKLSMADIAEKINHEFDDDLSCIFNDDNADKLILRIRITNDDAPKGEIQDESAEDDVFLKKIEGNMLTEMALRGIPDINKVFIKFGKVNKFDESEGFKADNEWMLDTEGVNLLAVMCHEDVDATRTTSNHLIEVIEVLGIEAVRRALLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGGCALYLNDQMLQQAIELQLPSYVEGLDFGMTPARSPISGTPYHEGMMSPSYLLSPNIRASPINSDASFSPYVGHMQFSPLPSPGGYSPSSGGYSPSSPNFSPGPGYSPASPNYSPTSPSYTPGSPTYSPTSPTYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPIPPPQFIVLPRQHIALHLLHTAQHLRLTAPHLLHTAHISFIQPHIPIIQPDISFIQSDITLIQPDITLIQPYITLIQPYIACIQPYFSWLQPDITKLQPNFAELQSNFTKLQSFISQVQSFACLLSKQPKDESIQSDFSELQPNFTDLLPYFAILFATNPFNTSGGPSPDYSPTSPNYSPSGSYSPTAPGYSPSSTGQGNDKDDESTR >Et_4B_039059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7474273:7476372:1 gene:Et_4B_039059 transcript:Et_4B_039059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAVASPLLLSLSSSSSPFLSSSSTSFLPPSSSIPASAHTAGRRKPAASILRALRAEAATLPVLSFTGDKVGEVVLDLKSAPPSTARAVVHRAIITDRQNARRGTASTLTRGEVRGGGRKPYQQKKTGKARRGSQRTPLRPGGGVVFGPKPRDWSIKINRKEKQLAISTALVSAAVAEDAFVVEEFDEAFAEGPKTRDFVAALQRWGLDPKEKAMFFATDFADNVRLSGRNIGSLKMLTPRTLNLYDILDARKLFFTPAAVDFLNSRYGTTVFDEYEDDGEDDGEEESKKKKAPQRRLLKTRLKRARQTKIH >Et_4A_035342.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2121213:2122763:1 gene:Et_4A_035342 transcript:Et_4A_035342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWVSLLEVALSFLCFVVFYYFHIRSKRKNPVIPLEWPLVGMLPALLGNLHHLHEWITSLLVASPLNFPFVGPPRSGMQFFVTADPANVRHVFTSNFGNYPKGPEFEEIFDILGGGIFNADGESWRRQRAKAQALMSGASFRAFVSRCSRDKVERALLPLLAHFAATGEAFNLQDLFLRLTFDTTTTLVFGVDPGCLAVGLPEVPFARAMDDAMHVLLLRHVIPHAWWKLARRLRVGYERQMAEARRTIDRFVADTIAKRRVEQKARPSSGGGVRDSAPDLLSSYIDEDVTVDAFLRDTTINLMLAGRDTTGSALSWFFHNLTRNPHVAAKIRQELASVKSTTTVTDGDGGMVSYDPDELGRLPYLHAALCESLRLYPPVPQELKEAAAGDVLPSGHEVRPGDKILVWLYAMGRMESVWGKDCREFRPERWIADDGCRVRYVPSYKFMSFNSGPRTCLGKDMAFVQLKAAAAAVLGNFDIEAVPGHVVEPKLSIILHMKNGLMVTAKRRPRALAN >Et_9A_061071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14109723:14110092:1 gene:Et_9A_061071 transcript:Et_9A_061071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QLCTLPNSVEETLKHLFFTCSFSQWCWRFIGYNWGNKDEITERIEDGVQRAHSAIVKEILCFPVVNMEAQRNWKREFKEEFDLNLIKARGDKKLALETWLGRSFLAGWLF >Et_5B_045638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5938607:5940741:-1 gene:Et_5B_045638 transcript:Et_5B_045638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCVYLARLRGKPCRVTVTWSKVPMGQALSISVDDYSNKCLCKTEVKPWLFSKRKGSKIVEMHGSGNLEITWDLSNAKFGAGPEPIEDFYVAMVCDLEAVLLLGDMKNKEEDRAASPDALHSDAVMIARKEHIYAKKVYSAKARFLDIGQVHHISIECDAAGVRDPCLEIRIGKKRVLQVKRLVWKFRGNQTIYVDGLPVELNARVKM >Et_2A_015601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15900117:15907459:1 gene:Et_2A_015601 transcript:Et_2A_015601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAALARAAARFLRGGLQPFAPAAAAVPELSPRITRQFCDVPAAKEPSLSGEDESGTEAEAEILDDVEPVIDRVKDILHSRRYRNGAVLDPDDEKFVVEKLLPNHPRAEDKIGCGVDAILVDRHPDHKSRCLFIVRTNGDLEDFSYRKCLQAYIEGKYPSHADRFLAKHVFHRRPQKIPVVP >Et_9B_065038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20732203:20733275:-1 gene:Et_9B_065038 transcript:Et_9B_065038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLIAKAVDTWGVEDLEVSAKVTFRRQDAHSFPHRGLCNNPHMSRLRSLKLAACYIPPLHGLNALTSLVLQDLPGSTPAAAYEAIFTLCPQLRVLHLKSCKNRGIVAINAPKSEIKQLVLEHCSFECIKLYALPMLESMAVVDTIVRYKLSSFPHLTHLNLAMCLGITKNHLCRFKGNIDLSLFLGDTPGITNLRLLVAEVPSSWDVSWPRLLIEAAPCLESLHVHITSWEEEPCDDISWPSSEFCHNQLKDLVIVGFEGTKRQLYLINCVIHVSMVLQHVSLYKKGHIQDRGHWDWDIVAEQYQWDNDEKVKILNQIADNVSCAASPIQLSL >Et_2B_020316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18964683:18968061:-1 gene:Et_2B_020316 transcript:Et_2B_020316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLLRAVRRRELATPLGSVSHAPSLRSTLGLPDFIRLYSLGASLQSTCAANVCSRWGNFARPFSAKPAGNEVIGIDLGTTNSCVAVMEGKNPKVIENAEGARTTPSVVAFNQKGERLVGTPAKRQAVTNPQNTFFGTKRLIGRRFDDPQTQKEMKMVPYKIVKASNGDAWVETTDGKQYSPSQVGAFVLTKMKETAEAYLGKSVSKAVVTVPAYFNDAQRQATKDAGRIAGLEVERIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDISILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVSDFKRSESIDLSKDRLALQRLREAAEKAKVELSSTTQTELNLPFITADASGAKHLNVTLTRSKFEALVNHLIERTREPCKNCLKDAGLSAKDVNEVLLVGGMSRVPKVQEVVSEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMATDNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVAAKDKATGKEQNITIRSSGGLSEAEIQKMVQEAELHAQKDQERKALIDIRNTADTTIYSIEKSLGEYRDKIPAEVSSEIEAAITDLRQQMASDDIEQIKAKLDAANKAVSKIGQHMSGGGSAGGSQSGSQGGGDQAPEAEYEEVKK >Et_8A_056394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7608114:7608641:-1 gene:Et_8A_056394 transcript:Et_8A_056394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWATKLPPKIKIFLWQLQKNKIPAADALKQKKWKGSEGTICWALWLTRNDFVFRNQLCPSLQSIIHRMLSLMQRWKVLYKQEDREDIEMFIKAVRSKIEERDHHQFLLTGG >Et_4A_032082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16060755:16061129:1 gene:Et_4A_032082 transcript:Et_4A_032082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQFPPSLCEFIEYIDTEQTLENIAHVYRVTERARRHWFDMEAEERREEERRKMRQKEEERLREYEAERKAREAERERMRERARRSRAAGPDAFRKGKYPRCTQ >Et_3A_023744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11717267:11728927:-1 gene:Et_3A_023744 transcript:Et_3A_023744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSEDFLDNAGIITAAVLALLVVALSTYRIQPPPRPPPGAAVPDVGRLHGVPPAHLLHHLLPAREGPGAPARRHAAAHGQEQPRRPEHVDPPPLDRADPHHQEQRRPSAAAAAYAWTAYLVVVCFPLAGWLGAANKAVFVAFGVLGLVKLALKLAAFYGAGYSFALGKNAALVAGYMEQQLDVAGVGHEQVPRYIVTGVRKRHVEESSRGYRRPRHAGPRVADGVVAEHRDLCLSYSLFKILRLRLSGYPMREAGSVEAREFVLRGMDTVGAGAVDADRVFRVLEDELWFSSDLYYSAVPLSTFGGWSAVVNHVCSVLIVVGAVAVGWIYVAKEVVNTVPYYVVTFSLLVVVVLVEAWEVVAGVCSNWTKMALLGHYIRHQSAWRRFSFVHTALASLLRLRPARRWRDKIGQNSVLEPRRFRMRTGLLSEQFYGRAGLMKSIKVSPAVKDATSTAAATPDVAAMAVAGNLSCYFAYLVAAAPELLPDAAAWTEKRYREVSDDLRAAFGADQKATATGESAASGRYERLVRALSAGERDVVLRRGAQLGRHLVEEYAGDDKASACRILADFWSDMLLYVAPSEETVMGHVEAILARGGEFLTLVWALLLHAGVTDRPHDPTPAG >Et_4A_032544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11155526:11157457:-1 gene:Et_4A_032544 transcript:Et_4A_032544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSVSAMAAPLLLALLVLLLVSRCSAAAAKPRHGGEKEWWEEGEGEWRPEEEKEGKGGKGMFVLDRLEKVVESEGGQVHVVRGQPWPPASYREGLMHIAFIAMEPKTLFVPQYLDSGLTLFVQRGQVKVGWIYKDELVEKKLKMGDVIHIDAGSAFYMVNTGKGQRLHLICSIDASEGLGFGPPYQAFFLGGAGHPKSVLAGFEPKTLAVAFNATYDELASVLLAQTRGPIVYYAAEPGGGGEEEEERRQQRDAHNTPADRGSRCRQAGAWRPGGRGEEDGDEEYGDDQPAWSWRKLLNKFVGLGGGQENKKDKKKKGSAPEPYNLYDHEPGFRNTYGWTVAVDRHDYEPLKHSDIGVYLVNLTAGSMLAPHVNPRATEYGVVLGGEGVIQVVFPNGSLAMSAAVRAGDVFWIPRYFPFCQVASRSGAFEFFGFTTSARRNRPQFLVGATSVLRTMLGPELAAGFGAREEDFRELMHAQKEALILPSFPGTRKKRHGKKEEEHGKGRREQVAEE >Et_3B_027486.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21334661:21335203:1 gene:Et_3B_027486 transcript:Et_3B_027486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMQKAMGQTHQSTGHLAKLLPSGTVLSFQLLAPTLAKQGHCSDMNRMMTGGLVVLCALSCVVLSFTDSFRDDEGKVRYGFATFKGLWVIDGGATLDPIIAVRYRVRFIDFVHAVVSAMIFVAIALFDQNVASCIYPIAPEDTKQLFTTLPVAIGVIGSMLFVSFPTTRQGIGSPLSQH >Et_9B_064016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1073276:1074973:-1 gene:Et_9B_064016 transcript:Et_9B_064016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIATLRSLMSYTFESILEGSSHRDGAIYKKKWERCYDMDMADRNETVSDLTKLSEVSDCYPDQENCICHWPGRMMPIFSLRLAETPINSGSSIQLYGYMAVRDDLDGLLNYVFNHSRDDPVIVQQGSLIQMTGPNRGILMLSDVLLEFDMRIKTRENGEDDIQLIDGLIHYDDHMAFDIPFTSRISGDCGAVDMSFAVVEFGLEAIIEVAISEVRSAFDLSLSSFVYVGEVRKEIQLLHGAAASEMGIRSVVAVPIDTTMHLKFSVGQKGSGRDASHCCSFGAKLHGCTNRQIKLDIACISMKVSWRPPLY >Et_5B_044264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22004515:22008273:-1 gene:Et_5B_044264 transcript:Et_5B_044264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIPSFTVWSGVRDPARELEIWGGLVGAASLRRALPVRVGTADCAVWYPQFVPGGSELAADSFELPRRDSSRDGDIEMGMHQPDASDNLKDFLKKVDAIEGLILKLANLLNKLQTANEESKAVTKASAMKAIKQRMEKDIDEVGKIARMAKTKVDELEKDNLSNRQKPGCGKGSAVDRSREQTTGAVKKKLKERMDDFQVLREAIRQEYREVVERRVFTVTGNRPDEETIDDLIETGKSEQIFKDAIQQQGRGQILDTVAEIQERHDAVRDLERKLLELQQIFLDMAVLVEAQGDMINNIETHVSNATNHIQQGVSALQNAKKLQKNSRKWMCYAIILLLVIVVIIVVAVIQPWKKGA >Et_5B_045410.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:21481018:21482772:-1 gene:Et_5B_045410 transcript:Et_5B_045410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSAPPAGVAPTPAPAKSKKPSTQLNPNPAKNRAAVPARPMRASPPARPKKKWNPFQRLAAAALDAVEENLVAGVLERAHPLPRTADPAVQIAGNYAPVGERAPSSPLQVTGRVPPFLDGVYVRNGANPLHAPRAGHHLFDGDGMLHAVRLRAGRAESYACRFTETARLAQERDLGRPLFPKAIGELHGHSGVARLLLFGARSLCGVLDASRGIGVANAGLVFHNNRLLAMSEDDLPYHVRVTDSGDLETVGRYDFGGQLDAGATMIAHPKLDPATGELFALSYNVVAKPYLKYFFFSRDGRKSRDVEIPVAAPTMMHDFAVTENFAVVPDQQIVFKLQEMLRGGSPVVYDQNKVSRFGILPKRAADASELRWVEVPGCFCFHLWNAWEDHATGEVVVIGSCMTPPDAVFNDHSGDGDEESCRFRSVLSEIRLDPATGKSRRRPVLRDQEDQVNLEAGMVNRNLLGRRTRYAYLAIAEPWPKVSGFAKVDLEAGTVQKFLYGEGRYGGEPCFVPRPNGGAEAEEDDGYVLCYVHDEARGESEMLVVNAADMREEAAVKLPGRVPYGLHGTFIAAKDLQRQA >Et_2B_020675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22607882:22608695:-1 gene:Et_2B_020675 transcript:Et_2B_020675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSMADQLHYTAVQNNMSGAAAAAEHPAECRVTVPAADVVAAVAGEEHENAKAAGRRRACCVPAPRLFPWMAAPWNRVLGVARAAWLWLASCAAAARDGVAGFARTVWKIGADDPRKAVHGLKVALALTLCSVFYYVRPLYSFTGESAMWAVLTVVVVFEYTVGGCFYKGINRAMATITGGALALGVQWVASKSGKEFEPYILTGSLFIFGAFNLHNNFTDIAVD >Et_2A_017372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33632748:33635048:-1 gene:Et_2A_017372 transcript:Et_2A_017372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHARHHALLLLLLICVSVPAHVSSSSSSCPGRDDAAVVAAAFRHVRNFRSTKVKTCQPVRELRLPSRNLTGAVSWTALANLSALATLDLSGNALQGAIPGGFWRAPSLRVVDVSRNQLGGALRVEPNPRLESLNVSGNRFTFVAGVDGLAGLGALDVSRNRIWAVPQGLRRLTRVTQLDLSGNEMQGTFPGDLPPLGGVRSLNVSYNTLSGVVDSGAVKKFGRSAFEHAGNASLVFSGNTTASSPPQRRPLSPPHEKSKKNNSGGRKEKKSTTRGEKKKKHLSVVAVAVMCGVASLAMLLCLVGCVACGRCRKSRGKDDEKKKPQWTSENGDEEEAAVVAAAKGASAAPVVLFERPLMELTLADLAAATSGFGRESQLAERGGRSGAAYRAVLPGDLHVVVRVVEGGMAGLEEGGDPAAAAAFREIARLRHPNILPLIGYCIAGKEKLLLYEYMERGDLHRWLHELPAGQPDMDDGAGDAWETAEDKRSISDWTTRHRIALGIARGLAFLHQGWAGSGRAVVHGHLVPTNVLLGDDLEPRISDFGHLGGGNEESSTPEGDVYSFGVLVLELMTGQARWDEASVSWARGIVRDGKALDIVDPRIRDDGTAPEREMVECLRVGYLCTANSPDKRPTMQQVVGVLKDIRPAPPTPTQLPA >Et_6B_049966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2140345:2157748:1 gene:Et_6B_049966 transcript:Et_6B_049966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINAETNQKTSKWPLVPRRFQRRKVVVCEEQQLRELEGGVGGLGEGVEFLFRRLIQSRVSLLNVLSSY >Et_6A_046883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21939525:21941874:-1 gene:Et_6A_046883 transcript:Et_6A_046883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESTKDLATSGGRFWSDASDSEVEELGFADPYPEPKKHACPHKGFNQSREAEWQTVKRKDRRKTEDRQRPFGITWPWSKVWQKPWRGPLSKPRVSPKKTIGDLIVPALKRSSAGIASPADHRSWACPDPKPARVQILNALGEAGLSGPKVSPIQMGQKHRGLVTLRDRLPIARSFGRNPDPESRRSTYLQAAMAGGVVKGAALGGAGGDGGGDKRRTYGHQSFRGNRFKAGRGRANRSPSPPGRDGDRASRGGRGSSDNRDGRGPNRGRGRGRGNQGVNASRGNFDRTPTRADDRVVIPGADDRAAIPRADDHADRKEEEKHHLAGKHRQRISTSRKLILIMASRTVELQPQFNTLAPGTSGTKPTEEETRIMKEAIDRAVEDLLVECANNVIVEDAVFVGEGMVVFSGATQEPLAAAQASTDSGGEIMVAYDAAPPDRFQPADQVTAGVEPLSMAEVETLFSPLTVADMGAQQVDVAVAQLGEPVVQELGLAGHNTPTALRSSGCRRAARADEPPTAIL >Et_1A_008890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17510970:17512468:1 gene:Et_1A_008890 transcript:Et_1A_008890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNAVSHNAADLLMVLLVLCGSCCTDASTATPPHTSPPANQTRAPALFVFGDSIVDPGNNNEITTLIRCNFPPYGQDFPGHNATGRFSNGKVPGDILASRMGIKEYVPPYLGTELSDFDLLTGVSFASGASGYDPLTAEIMAVLTMDNQLDLFKEYKAKLERIAGGRRAAEIVSTSMYLVITGTDDLANTYFTTPFRRNYDLESYIEFVVQCASDFIKKLYGLGARKVNIAGAPPIGCVPSQRTNAGGLERECVSLYNQAAVVYNAALEKEIKRLNGSEALPGSVLKYIDLYTPLLDMIQRPEAYGFDVTNRGCCGTGVFEVTLTCNQYTAHACKDPSKFLFWDTYHLTERGYNLLLTQIINRYGLQ >Et_4B_036942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12804932:12805732:-1 gene:Et_4B_036942 transcript:Et_4B_036942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFEYGFEQTPGTELSCSRHPSTKWAQRSDKVYLTIELPDAKDVKLNLKPEGHFDFSAKGADDLPYELDLELFDAVNVEESKAAVAPRTICYLIKKAESKWWPRLLKEGKPPVFLKVDWDKWQDEDDEDIGLDDFGGMDFSKLDMGGADDDDMEDDEEDVAESANKGIQFSLPYQLVGEESCS >Et_4A_034297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31506613:31507052:1 gene:Et_4A_034297 transcript:Et_4A_034297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTTTSLDFRAQAPPQPSTPRYIYCVLCDPEAETTDHLILPVQLFQTGVVSGDTPCQLSKFAARSMTGSEYMTGGKQRSPGLPKKLAKGVDTLIMPVTWSVVLSIFNDKAATVQQLVEILLSEVDIWIAEGAKKVAGANA >Et_9A_062847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5848223:5850910:1 gene:Et_9A_062847 transcript:Et_9A_062847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSGGARLQCAEAAPAADWSCCFLGLPPAAPSSAGGDGFDLSWTLHQSFHPPAGLFASVGQQMGVGFPGSSSSAPSPEAPRDQYMKYVSPEVGHRVVETTLPGEGVDLREKGKKKVVKLKIKVGNHHLKRLISGAIAGAVSRTAVAPLETIRTHLMVGSNGNSTTEVFESIMKHEGWTGLFRGNFVNVIRVAPSKAIELFAFDTANKFLTPKSGEEKKIPIPPSLVAGAFAGVSSTLCTYPLELIKTRLTIQRGVYNNFLDAFVKIVRDEGPTELYRGLTPSLIGVVPYAATNYFAYDTLKKVYKKMFKTNEIGNIPTLLIGSAAGAISSTATFPLEVARKQMQVGAVGGRKVYKNMLHALLSILEDEGVGGLYRGLGPSCLKLVPAAGISFMCYEACKKILIEEEDQ >Et_2A_017618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4121755:4126127:-1 gene:Et_2A_017618 transcript:Et_2A_017618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLTRLHSLRERLGATFSSHPNELIALFSRYVNLGKGMLQRHQLLAEFDALFDADKEKYAPFEDILRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVSELAVEELSVSEYLAFKEQLVDGNSSSNFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLKVHNYKGTTMMLNDRIQSLRGLQSSLRKAEEYLLSVPEDTPYSDFNHRFQELGLEKGWGDTAKRVLDTLHLLLDLLEAPDPANLEKFLGSIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLDIKPRILIVTRLLPDAVGTTCGQRLEKVMGTEYTDIIRVPFRNENGILRKWISRFDVWPYLETYTEDVASEIMKEMQAKPDLIIGNYSDGNLVASLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHIAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSVYYPYTETDKRLTAFHPEIEELIYSDVENSEHKFVLTDKKKPIIFSMARLDRVKNMTGLVEMYGKNARLRELANLVIVAGDHGKESKDREEQAEFKKMYSLIEEYKLKGHIRWISAQMNRVRNGELYRYICDTKGVFVQPAFYEAFGLTVIESMTCGLPTIATCHGGPAEIIVDGVSGLHIDPYHSDKAADILVNFFDKCKEDPTYWDKISQGGLQRIYEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYIEMFYALKYRSLASAVPLSFD >Et_2B_022897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7432763:7451479:1 gene:Et_2B_022897 transcript:Et_2B_022897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRKLLLLLAILAVTVTYQAGLSPPGKFWLEDGDEAHHVDDPVLADNYPRRYKVFFYSNTVSFMVSIAVIVILVGRQVSDANNKNYWYRLLKASMFISLIGLAGLLLAYAAGTTRRVKTSIYVLALVPFVLLVALIQVHYIYEKLEGWLRQPSGPAGEPNGGQTSASAVLANGVPGQPGPTAGEANGVPGHPGASASDGTYKMRKYLMLGMLGNRSALTLMKVTHMVVVLDLLGLLVSYAVGSSRDWHTSGYVLAMAATVLAYVAIYVLLSYANRNTDGHNQLAAGSQDNTNEMQMAETQRRNGIELSAIRERSTLIFYLDLVRGETHTVLASSMTISKLPALNLGVRRQEYPLPQTKEPQIRINRLYQAFLLKTQNVRPMEGWRWKEVRQRRAQQEFSREEPDAAVILQPFVDSLFAAAAEK >Et_4A_032400.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8231350:8231991:-1 gene:Et_4A_032400 transcript:Et_4A_032400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVLVVGTGCDKLAKEIVVLGVRTLALVHWKGHTDLVVVEGGEVLRLLCGNGCVPWDHHAHCIIFPDFDTKRERRDVVQNQSHLHRIAVPSTEYGGLDGCTVGNGLVGVDRPAKLLPIEELPEHALHLGDAGGASHEDDILDQAPVHLGILEALLKSVDALVEQALVELLKPSAGDGCAEIDAVMERIDLNGGLCSGRKHPLRPLACSSQPP >Et_6B_048392.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18170970:18171344:1 gene:Et_6B_048392 transcript:Et_6B_048392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYNHFTSCVCFAVARPCLVRLAPFLLVVGRGIAGKPRCINWLHTPWRKERGSRPASFERRVQRLILLVLWKWWKEQNRWVFQNEELMELRLLQRMHEEAMTWELGGEKALVNLRESPENVPS >Et_2A_016796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28221481:28223414:1 gene:Et_2A_016796 transcript:Et_2A_016796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLISLGSTRRSGAPTAADADVVVAASRAMTTTTAAGGLRPRYNKIISMATACSFVAISLLVVATVVQQVRSGLHVDPEDIYKTIKSKNGVVIDCVYIRKQPTLKHPLFKDHKIQVKSYHYLVALLILIYMFS >Et_9B_066041.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21190597:21191841:1 gene:Et_9B_066041 transcript:Et_9B_066041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTIVLYPGLAVSHFVPMLQLADALLEAGYAVTVALIDPSLKPDIAFVAAVDHAAASKPAVTFHKLPVLRDPPSPITYDGHFLLGYFNLVSRYNHPLHDFLRSMPPGSVHALVMDMLSIEALDVARKLGIPAYTFFASNASALATSIQVASVRAAEGQPSFGEMGDAPLDLHGVPPLPASHLFAELLESPETEIHRSVANTLRRVQEADGVLVNSFESLEPRAVGALGDPPVYCVGPLVAGAGVAARDKRAEECLAWLDAQPDRSVVFLCFGGAGAGNHSEEQIKEIAVGLEKSGHRFLWVVRTPPPPPPPQGDPAEKPFDPRADPDLDALMPEGFLERTSGRGLVVKLWAPQVDVLRHRATGAFVTHCGWNSVLEGIMAGVPMLCWPLYAEQKMNKVFMVEEYGVGVDMEG >Et_1B_009704.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:18001088:18004970:-1 gene:Et_1B_009704 transcript:Et_1B_009704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENNVGMSLVILLLLPCVLLLGSTSYGQALTVRNKTDLDALLAFKAGLSPQPDALASWKINTDFCQWIGVICSHKHKNRVSALNLSSTGLVGNIVPSIGNLTHLRSLDLSYNILHGEIPPAIGQLSQISYLNLSNNSFQGEIPQTIGQLQWLSYLDLSNNSLQGDIKVGLKNCSRLVSIKLDLNHLSGGVPNWLGSLTMLRSMSLGKNNFTGIIPPSLGNLSSLREVYLNDNHLSGPIPEGLDRMGSIKVLALHVNQLSGTIPRTVFNLSTLIHISLHMNELEGKLPSDLGNGLPKIQYLILAVNHFKGRIPASIANATTMQSLDLSHNTFTGIVPPEIGTLCPNYLLLDGNQLTASSIQEWEFITLLANCTSLRGITLQNNNFSGLFPSSITNLSAQLGILDIRFNKISGNIPDGIGNFPKLYKLGLSSNQLTGSIPNSIGRLKMLQLLTLENNQLSGIIPPSLGNLSQLQHLSVDNNILEGPLPVNLGNMQQLVSATFSNNALSGPLPGDIFSLSSLSYILDLSVNHFSGSLPSEVASLTRLTYLYIHGNNLSGVLPDALSNCQSLMELRLDENYFNGIIPVSISKMRGLVLLNLTKNSFSGMIPQELGLMNGLKELYLAQNYLTAEIPQTMGSMTSLYRLDIAFNSLEGLVPGKGVFTNLTGFSFHGNAKLCGGIEELHLPSCPTKPMEHNRQVIQVAMTVSAIFICACFILALILFYLKKMMTSSSAKRIMVDPQLMDGMYPRVSHQDLVRSTNAFSANNLIGIGQYGSVYKGSMLLNESVTTVAVKVFDLEQSGSSESFVTECKALSKIRHRNLIGVITCCCCSDFNRNDFKALVFEFMPHGSLDKWLHQETYSSHSIKVLTLMQRLNIAADIACALDYLHNNCQPSIVHCDIKPRNILLGEDMVARVGDFGLTKILTDPVGEQLINSKSSVGILGTIGYVAPGN >Et_3B_028445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15495995:15503509:1 gene:Et_3B_028445 transcript:Et_3B_028445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRFAQLDDDDDDGTAEAPPKAKSSGGGAGSSGSNAKKQQPLQQRRRIEEDEDDEEDDVELEEEEEDEKDLEAMRRDEEEERREEETQTRRRRGRPRKHPAPESDEEEAEPGEEQEEEEEPREEENTEAVPIGDVVRVTGKGKKQKKHYASFEYEGNTFELEDPVLLTPEDRSQKPYVAIIKDITETEGNLNVTGQWFYRPEEADKKGGGNWIAKDTRELFYSFHIDDVPAESVMHKCVVHFIPLRKQIPSRKQHPGFIVQKVYDAVEKKLWNLTDKDYEDGKQQEIDLLVKKTVDRIGELPDIELEDIKLQKRTEDAPSDNNDHISSKRSLRKKAVNPIDVTKETPADKSEHFAKAETPGTDKPKNYAILVRYKALTGDQYRDKWLDKLLENIPLIASKETDGVSRADPAAAPKSSNGSSAKDAGSDDNEKSYVLDDVVPIMVALERSAYEALGADYVKYNQKLRQLWFNIKNSSKLRRRLMDKELDPPVLLTMSPDELKMTDARCQRCQEKKVGISDIIHGGHGDRYQLECTSCGATWFSSYDAISSLTVDAPSSAANVGTAPWATAKFDVLEKQLASPRDQPVKPVADALQKSTTAYMPTLEKQKSFSKPKPDEPSPAPAT >Et_10B_003499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2161205:2164463:-1 gene:Et_10B_003499 transcript:Et_10B_003499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTAGGVAEAAVRRWVEAGGGRLVLDGGLATELEANGADLNDPLWSAKSWCKHYNLSILSGFESKGFSKEQSENLLTKSVEIAKEARDVFLKEHSNQSTPIHHPILVAASIGSYGAYLADGSEYSGDYGEAGTLEFLKDFHRRRLQVLAEAGPDLIAFETIPNKLEAQAYAELLEECNINIPAWFSFNSKDGVNIVSGDSLIECATIADKCGKVGAVGINCTPPRFIHGLILSIRKVTDKPILIYPNSGERYDGEKKEWVESTGVSEGDFVSYVSEWCKDGAALIGGCCRTTPNTIRAIVRTLNQGDTARLLSAA >Et_2B_022521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24459002:24460349:-1 gene:Et_2B_022521 transcript:Et_2B_022521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRPLPFAFAVIVAAALMPPSAVAQLRTDYYANICPNLETIIRSSVKQSMAQSPISGPAALRLFFHDCAVRGCDASILIVNSNGDDEWRNSDNQSLKPEGFQTIMNAKAAVDSDSQCKYKVSCADILALAAREAVYQVQRSSTTCIANPSSMTPTPLELECGWSGGPNYPVELGRYDGRLSTRDSVVLPHANFNLDQLNSYFAGLGFTQTEMIALSGGHTIGAADCPFFQYRIGTDPTMDTAFADQLRSTCNANPTSGFAFFDDTVGGFDNSYFRKLQSGRGLLGSDQVLYSDTRSRATVNNYATNQGAFFADFVMAMTKLGRVGVKTAATGEIRRDCRFPN >Et_9B_065489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5825281:5826177:1 gene:Et_9B_065489 transcript:Et_9B_065489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSHQIVIGMLVLVILASSPSMLHARVAPRDHARAQAHAEESTSSTSVGIVDAASPSQEQPRQVAFIVAPPMPPSPPAGKPEKAFVAKRWGTAQVTDGSVPSPGRAGVALITYARVGINP >Et_4B_036347.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:19165901:19166071:1 gene:Et_4B_036347 transcript:Et_4B_036347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGSIPRRPSKEAAYKELKTHLAIMASCVVVIRAAPYVLHFLTRDGGSSDVKLDF >Et_1B_010555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11575915:11585790:-1 gene:Et_1B_010555 transcript:Et_1B_010555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQPHLSRSRRRGEERSRRRRRHHGYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAPAAKKEGAEGQEGEAAAEETKKSNHVQRKLEKRQQGRTLDPHIEEQFGGGRLVAIVADPDRAAAYARLLDLQRGCAEDPSTAADLAAELPSTLLPLLIRDAADPDESVAASALKCLGFALYHPVLVSTISVQMAQLILDTLAQLIMSTQMKSVCNLGVWCVSIQQLEPLIVQDRAASLLTAIVHAVDNPFGSLSTTFEAAQAIMKLAGQSPKRMRDLSSLWVPPIYRRLLSADKPERDMAERCLVKVSGILLPPQPLLSKIVASDLEQQLLSCTMNMLGDPLKKVQAVKSWGWIVSLLGSSAVSNRPLLNKLLKVPERMFIDLDPQVQLAAMASWKHLVDAFFPTQAAGTAAEETMVSSSVLRKYASAHVKRIKLIMVPLCRVLSRSRSIALCSSCLSTWHYLLHKLGNLINHLPILEAAFGPILKIIFSFGINDLNKPLWSFCMSLFHDFVSSKVSHREDLCTWVDQKLLDQSCMYLKALLDVQRIKWLPWDISCFHFNLEILGTILNPEIFQHMISETMVIVMDFAAQILRLLVQGIATEVKLQLAYEQVSKCITQMCKFVKVFFLDDVGKHDSNRSTILLQFGLQFANVIMEELDHSLLASEKFEICLDIEHIEVNQYAEWSHKLSCPVIRVLSYKEMVSPAFYMTVLSLSMIAQFTGEVSHDVAEKLALILSSSDNLENFNATVSFMYMQIRCPEIERTKIKWLLVWNKLAKHLKEKNDYCLEISLRSSSPDVLYQFFCYPFFALSYPGPQSVYPNSESRSEIYAPVTQNLEVELALEVYRSLSINSFCGSKVASMVFLEGFYEYLVTVIDENMSVFQSNLVHCLEKFQSTAILSTLGEVLIGLLQNNRHLNSANQGLNRTSEDSTGCIQPNLSVSCLKIINRFMKLSSFGFKANPTGQNDATNRVLMSLSTFVGNLMLKKDILLFVEIVGDQLTDWLSLSGMYYCEMQQGETIYQLQRLWITTVERLKTSQLIRDGSFFQHQKLLQVALNHPHHPISAATASAGPATHGNSSLQHPGCLVSKLNELLSRRPKDFDKSGDANKTVYEGIDVSRRSALPMPEKRTFASDESEQNEIDGDH >Et_7A_051482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19203870:19209542:-1 gene:Et_7A_051482 transcript:Et_7A_051482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEENNSMFLIFILTMIALPLVPYTIMRLCRAASEKAKTIHCRCTGCHRSGKYRKSIYKRISNFSTCSNLTIVLLWVVMIFLVYYIKHVSREVQVFEPYSILGLESGASESDIKKSYRRLSIQYHPDKNPDPEAHTFFVEYISKAYQALTDPVSRENYEKYGHPDGRQGLQMGIALPKFLLNIDGASGGIMLLGIVGFCILLPLMIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFIKAAEYMEMPVRRSDDEPLQKLFVAVRSELNLDLKNIKTEQAKFWKQHPSLVKMELLIQAHLTREAFALTPALLKDYRHMLELAPRLLEELVKIAVLPRSPHGFGWLRPAVGVIELSQNIIQAVPLSARKASGGNPEGIAPFLQLPHFTEATVKKIARKKIRSFQELCDMSVDDRTTLLTQVAGLSEEETQDVELVLEMIPSIEVDIKCETEGEEGIQEGDVVTMYAWVSLQRRNGLTAALPHSPFFPFHKEENFWLLLADSATNDVWLSQKVSFMDETTAITAASKAIQETQEALGASAKEIGIAVREAVDKVKKGSRLVMGKFQAPAEGNHNLTSYCLCDAWIGCDTKTSFKLKVLKRSQEGPAAAATEDGIEEEEEEEEEYDDYESEYSDDEEDDKNKGKGKVANGVAHQGASSDIDSGSDE >Et_3B_029586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26213921:26216141:1 gene:Et_3B_029586 transcript:Et_3B_029586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRALLVGINYPGTKAELKGCHNDVDRMRRCLIDRFGFDEDGIRVLDDSDRSAPQPTGANIRRALAQLVGDARPGDFLFFHYSGHGTRLPAETGQNDDTGYDECIVPCDMNLITDQDFKELVQKVPDGCLFTIVSDSCHSGGLLDSAKEQIGNSTKQNKTQSREFEERPDSGSGSGFGFRSFLKETVRDAFESEGIRIPHSRHSHGHHGGEDQDEANAQPTGPGRTKNRALPLSTFIEMLKEQTGKEDIDVGSIRMTLFNVFGDDASPKIKKFMKVMLGKFNQGQSGEQGGGVMGMEEAFKPALDQEVHSVDEVYAGTKSWAPNNGVLISGCQTNQTSADATTAQGVSFGALSNAIQTILADNHGKVTNQDLVMKAREMLAKQGYTQQPGLYCSDDHVHVAFIC >Et_1B_009727.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:21177506:21177576:-1 gene:Et_1B_009727 transcript:Et_1B_009727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AWRRCSSSPSSAASPFLGTAEVC >Et_4B_039998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7400306:7401790:-1 gene:Et_4B_039998 transcript:Et_4B_039998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKLALRRGRDGIVQYPHLFFAALALALVITDPFRLSPLAGIDYRPVKHELAPYREVMGSWPRDNASRLRRGRLEFVGEVFGPESIEFDRHGRGPYAGLADGRVVRWMGEEAGWETFAVMNPGWSAKVCANGVNSTTSNQHDKEQFCGRPLGLRFHRETGELYIADAYYGLMVVGQRGGVAASLAREAGGDPILFANDLDIHRNGSVFFTDTSMRYSRKIADKSSFCRDHLNILLEGEGTGRLLRYDPETSDVHVVLKGLTADIQLLLPLTNNTLIRIMRYWLEGPRTGELEVFADLPGFPDNVRSNGRGQFWVAIDCCRTPAQEVFAKRPWLRSVYFKLPMTLTMLTKRATARMHTVLALLDGEGNVVEVLEDRGREVMKLVSEVREVGRKLWIGTVAHNHIATIPYPLD >Et_2A_014919.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21946845:21947237:-1 gene:Et_2A_014919 transcript:Et_2A_014919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSYYRGGGSSSGPEVPVHLCFFLLVLFVFLGFSWYTSYESAAESFANQARVLLLASPLALLLAVKLLSATGDGQRRVDELLALPMPERDSIHRAGGSPWGVGLLLMLLLVMVSYQSNFREKWFPLATR >Et_4A_035754.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:5125793:5127763:1 gene:Et_4A_035754 transcript:Et_4A_035754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTMGKQSSNSKGKAKAMAKILEEEEEEADDDLSSGSCDCFFCAIKQPDARVRRASLTAFFRDLPYCEDDDDDGGRSGRSCSAAVAAVWRAAMAAPDDPELPSLGAIRCMSLLLGRALADEEWRRRGGNARVPYYAAHAIGSYTIRSAAHAELAVAAGAVRPLLRLLGGAVTWVERRAAARALGHLASYDATFPAVARHAAEAVPLAVRAASSGVADVYESFVSVAPPSRRPQYQRDLLAEAARGGGGNVAGEDRKAEEWASQLQCWSLYLLSCLASRDASSHAEICSDAAFLGELPRMWGGLANGDSPAGVGLLRLLCRSDVGRGAVAACRDALRGLCDLARSSDDWQYMAVDCLLHLLDDRDTWRAVADATAPCLVDLVEIRQLGPRRRLGDAIATALLRLDASDDVVVRGHELGSEAKEAIANVREIKVERKEREEAMSRDELLKREQLAKEKKRQGNNRFMDGDVDKAIELYTEALDLCPLRRRSERLVLYSNRAQCRLARRDADAAASDATRALALARPANAHARSLWRRAQAYDIKGMARESLLDCLAFAAAWLDRRSRRKQQHTRRGVNPKLPYCVARMISKQMSVTGLFAGMTTTVNNVVDQQVGGGDRMLRCSEDDDDGDDEDDPNDGTGSEEFSDDGLNLCTSG >Et_2A_016929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29602135:29608161:-1 gene:Et_2A_016929 transcript:Et_2A_016929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPSLHSLLLMAASASSSAAAAGGDSGLLLAARRRLPVAAVAGGHRIRLLHCFSGSRLPRRPEVVCCVRSSPDARPAGPVAVRSRNVHSMNYNKAAEKRLAQLVQKLDNEGIVPKQLRTGNFQRMLCPKCKGGSTEELSLSLYIRTDGHNATWNCFRSTCGWRGFIQPDGVSELSQDKSNIGGESDQEVETRQAASKVYRRPTEEELKLEPLCDELIAYFSERMISAETLRRNKVMQRNWNNKIAIAFTYRRDGVLVGCKYREVSKRFSQEANTERILYGLDDIKRAQDVIIVEGEIDKLSMEEAGFRNCVSVPDGAPPCVSNKLPDKEQDKKYQFLWNCKEYLDSASRIILATDADAPGQALAEELARRLGKERCWRVIWPKRNESDTCKDANEVLMFLGPHALKKVIEDAELYPIRGLFSFRDFFPEIDNYYLGVCGDELGIPTGWGSMDDIYKVVPGELTVVTGVPNSGKSEWIDALMCNINDQCGWKFVREHARKLLEKHIKKPFFDARYGGSVERMSLDEFEAGKEWLNETFHLIRCEDDSLPSINWVLDLAKAAVLRYGVRGLVIDPYNELDHQRPSSQTETEYVSQILTKIKRFAQHHSCHVWFVAHPRQLHNWSGGPPNMYDISGSAHFINKCDNGIVIHRNRDPNAGPVDVVQVCVRKVRNKVIGQIGDAFLTYNRVTGEFNDADKAVVAAVIASQTKKHLRSVQ >Et_9A_061535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13340901:13353898:1 gene:Et_9A_061535 transcript:Et_9A_061535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSAPVIQRINWICTHQHEVGLPNMLLDCLIYYYLTHGAHKLYLDISYQRLNRALGKRYNNSHSLSVLIWGCISKQRGMLLDHWYCICFRFDQYRRSISNYVVNTRKFVNLLRKIRRGISTANYCTRYSDSLSGVMPSRLSLRPTYQLEKRKRAWLEDLVVYCSLSSGILHIDLHNTPLLNEATLNVSRVSIKKKCLKGKGFNMSFWAPMVRKIDCDCHYDHAELGLQYMHLQRLGNGIHTNGHVFGQLLLQLLQIRPKQKLIVDLASNKCLCHRWALNINSIQILFQTSCPSDCSCNADPSWREQSIFSVYLQVVTSYGFHGHDDEIDFLKVLRCATDLKHMTMRVSTRGYKKIRSICELYPQETINEAARTSALAKRWHGLWTRLPELTFYNVPLVTVEGLLAQVTRPALNLLEIVINLVEPEDSFRVSSILRAAQRLAGKNLTIEVMEIEDSGDEVEVPCFDRTTYLDLFLPEIILTPPPAGEFTALRSLCLGAYRVELGSLLPMCPSLRSLHFTCHYDFDVVIVHPTLEELKVEIMNHDGIDRLEIVAPLLKKARFNIYRGQDFSMSFSAPKVKKICWSCECTHRNVGLENMRLRDLTYYYRSRGVCTLRLGISCEVCVSSLSH >Et_9B_065780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11495996:11498092:-1 gene:Et_9B_065780 transcript:Et_9B_065780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHNAATLTTLLLLLSFVFGLARSDAPPSTPVSPSDACNATTDPTFCRSVLPPRGRGNLYTYGRFSVAESLAGARKFAALVDRYLARHRRLSPAAVGALRDCQLMAELNIDFLTAAGDTIRSTDTLLDPQADDVHTLLSAIVTNQQTCFDGLQAAAMASTWSGGGDGGLDAPVVNGTKLYSLSLSLFTRAWVPTAKTPPHKGGKKKKPHHGRHGGKKKKPPALARRGLFDVTDDEMVRRMATEGPEQTVAVNSVVTVDQSGAGNFTTVGDAVAAAPKNLDGSGGYHVIYVLAGFYEENVEVPKHSRYIMMVGEGVGQTVITGNRSVVDGWTTFHSATLAVVGQGFVAMNMTIRNTAGPSKHQAVALRSGADLSAFYGCSIEAYQDTLYAHSLRQFYRGCDIYGTVDYVFGNAAVVFQGCTFYSRLPMQGQSNTVTAQGRSDPNQNTGTSIQGCALVAAPELAANDAFRTVTYLGRPWKNFSRTVVMESYVGGLVDPAGWMPWSGDFALDTLYYAEYNNSGPGADTSRRVAWPGYHVLGDATDAGNFTVTSMVLGDNWLPQTGVPFTSGFIS >Et_9A_061234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23258357:23260092:-1 gene:Et_9A_061234 transcript:Et_9A_061234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSIRTRRRSGKPPPQDGHTTSALRPIHRSRLSNAIARKILFQRHRLSASGGDDRLSGLTDDLLLLILRHLDTRTALATAALSKRWAGLPRGLDTLDFRVSDILPPRYHRGHWDWDIVAEQYQWDNDEKVKILNQIADNVSCATSPIQLSLE >Et_4B_039463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17510210:17511074:-1 gene:Et_4B_039463 transcript:Et_4B_039463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALQQTTSFLGQALVSRVGVDAGGRITMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHARWAMLGALGCVFPEILAKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAIWAVQVVLMGFVEGYRVGGGPLGEGLDKVYPGGAFDPLGLADDPDTAAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHVNDPVANNAWAYATNFVPGK >Et_1A_005090.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2619879:2619956:-1 gene:Et_1A_005090 transcript:Et_1A_005090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTNSSHPRNEPSTAGSKLAENTP >Et_5A_040876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13965955:13968974:1 gene:Et_5A_040876 transcript:Et_5A_040876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGTSYYVEAAPPVDMNKNTEWFMYPGVWTTYILLLFFAWLLVLSVSGCSPGAAWTVVNLAHFAITYHFFHWKKGTPFAADDQGMYNRLTWWEQVDNGQQLTRNRKFLTVVPVVLYLMASHLTDYKQPMLFLNTVAVLVLVVAKLPNMHKVRIFGINADI >Et_8B_059734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:21005255:21008602:-1 gene:Et_8B_059734 transcript:Et_8B_059734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVVGDEAQIKAFEEALSSSSPQAQVGLVVGKLSASSDRALVYSLLPTPPTDADAPACSLRAAPKSNKPTKGKGSDASLEFDVDWIAEHARQVSRMLLGGMSVIGIYVWASEASFKATSPAVLSQVIRAVSHACYGGDFGERLLIHISYSPRRWACRICEIASGSLRPCDFKYSKLLASLQTFKCTYNFDIRFTDVQDGPFKKIILKAINHLTEEVETARALVDGDMFSEDMNISTKGPHRVDFLVPFKNAVPVEECSLDGVAGLLRFVGSVSALAYLGPKESVSEAISDLKLDIITSLRSRLDIILDEEDGDSTTNDLEKLPSQKATQTTFHQLREPFSFSFPRRVLIPWLAGACVCDYLQQSETMECAMERCKEIISLETAADSSSILEAESEEASATFESFWDLVPGAPLRVPARSSTEDGRKDGSSRWQRGNLNILAVLFALVIAVIVGFVITFSAS >Et_2B_021331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28770586:28776121:1 gene:Et_2B_021331 transcript:Et_2B_021331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTDRLYPPIEPYDLEPPQVVTAGGEEARVCDWPVMWDEEPEASSSPQEAPRIFEPGTKDHPPIKFEEESLDVVNAYEFSNEMTLTKETGENIYSKSPFRDQTIWVPASVPPMTKHDHEEWQKGFGYNSGCFSEEEYKWDIDEENLELTMWDVVTEMFVAGKDKILSVASYDFGRHGMSLVSQFFLEEALKEKTQTLEDSCAGSEHTLLETEPAKWLPDSAASSCMLCGARFHPIICSRHHCRFCGGLFCGGCSKGRSLLPPKFKTSDPQRVCDVCGVRLECIQPCLMNQLSRACQLPTQDLTDLSTLRSWLNFPWATTMEYEIYKAANSIYGYCKVGELKPEKSIPDSILRQAKGLAIITEVKVGVIMTYKIGTGLVVARRADGSWSPPSAISTCGLGYGAQVGGELADYIIVLRNTDAIKTFSGNAHLSVGAGISASAAHFGRAAEADFRAGDGGYAACYTYSCSKGAFVGCAFNGSVVSTRNSINDRFYGGPIKASEILLGSLPRPPAAATLYKALSILFEKIEKLTQVQIPERGCISPPENHDVVSEALLSFYKH >Et_9B_063765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13259172:13259552:1 gene:Et_9B_063765 transcript:Et_9B_063765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGSDAFRKGKYPVALSRH >Et_1B_012233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29992118:29995156:1 gene:Et_1B_012233 transcript:Et_1B_012233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAADPPCIIQALPANAPPSTTHPAPVLVQALAAPLHSPAKAKDHPPAASASRPPKRRPQQPPPTAPPPGPTPPSPRRTRSGGAPEWTRAETLALVAEVAAVDDGWSRSVSAFQKWAMVAENLAASEAFAAAGPAAARRRGGRGSGKRAAGECRRRWEALAAEYGAVRRCEVRTGASYWEMGAAARRKAGLPAEFDAEVYGAMDALIRVEEALLAGAAGGGGGAAAEEVEGLVGGGAGVEVVEEEDGGGEAEVGEDEVQEDDSASEEEEDEEEMQPDAGNADASNDLGCSTETNSKPENSQNVAWELANKLQENAQHIHTILEEEAGEYADENHALAYSTSSDAMEITRQKADELIKSLGGLVGYLNQFTELIKETGFENFGMT >Et_4B_036415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22939944:22941725:1 gene:Et_4B_036415 transcript:Et_4B_036415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAPAGEQDEEVDELLAALGYKVRSSDMADVAQKLEQLEMAMGMGGVPAADDGFVSHLAADTVHYNPSDLSSWVESMLSELNAPPPPLPPAPAPPAPQLVSTSSTVTSTYALKPIPSPVAAPADPSADSAREPKRMRTGGGSTSSSSSSSSSMGGGGARSAVVEAAPPASAAANAPAVPVVVVDTQEAGIRLVHALLACAEAVQQENFSAAEALVKQIPMLASSQGGAMRKVAAYFGEALARRVYRFRPAPDSSLLDAAFADLLHAHFYESCPYLKFAHFTANQAILEAFAGCRRVHVVDFGIKQGMQWPALLQALALRPGGPPSFRLTGVGPPQPDETDALQQVGWKLAQFAHTIRVDFQYRGLVAATLADLEPFMLQPEGEENDEEPEVIAVNSVFEMHRLLAQPGALEKVLGTVRAVRPKIVTVVEQEANHNSGSFLDRFTQSLHYYSTMFDSLEGAAAGTDQVMSEVYLGRQICNVVACEGAERTERHETLVQWRNRLGRAGFEPVHLGSNAYKQASTLLALFAGGDGYRVEEKDGCLTLGWHTRPLIATSAWRLAAA >Et_5A_041331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21217136:21221078:-1 gene:Et_5A_041331 transcript:Et_5A_041331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNFGEHAVVVDARDHMMGRLSSIVSKALLKGQAVDVVRCEEIAVSGGLVRQKSKFARFLRKRMNTKPSHGPLHHRSPSRIFWRAVRGMIPHKTARGEAALARLRAFDGVPPPYDRTKRMVIPEALKVLRLQPGHKFCRLGDLAKEVGWNHQETIKELEEKRKEKAKIAYDRKKQLTKVCALAEKAAEEKLGSQLDILAPIVLERRKTIVMLKKVTAHYPDIPSKSLGNTKT >Et_4B_036770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11412172:11423510:1 gene:Et_4B_036770 transcript:Et_4B_036770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGWRTLLLRIGDRCPEYGGTADHKEQIIGLINLENEEFAKAIVDTTQANLQDALHTENRDRIRILLRFLSGLMCSKVVAPNSIIEMFETLLSSAATILDEETGNPSWQPRADFYVYCILASLPWGGQELFEQVPDEFERVLVGIQSYLSIRRHFDDIAFSVFETDQGHSPNKKDFMEDLWERIQTLSRNGWKVKSVPKPHLSFEAQLVDGKSHRVSSISCPPPTPSQSSSVISKGQEKHEADLKYPQRLRRLHIFPTNKAENMQPVDRFVVEECILDVLLFFNGCRKECAFYLVSLPVSFRYEYLMAETIFSQLLLLPNPPFKPIYYTLVIIDLCKALPGAFPSVVVGAVHALFDRISNMDTECRTRLILWFSHHLSNFQFIWPWQEWSYVKDLPKWAPQRVFVQEVLEREIRLSYFEKIKQSIEDAAELEELLPAKAGPNFKYHSDESNENSDGHRFSKELVGMIRGKKNTRDTILWAEEHIIPTNGAEFALGVVSQTLLDIGSKSFTHLITVLERYGQIISKLCPNEEMQLLLMDEIGAYWKNSTQMTAIAIDRMMGYRLLSNLAIVKWVFSSANVDQFHISDRPWEILRNAVSKTYNRISDLRKEIQSLKKGLQVAREVSAKAAKELEEAKSVLEIVEGQPAPAERPGRLRRLQVHADKAKQEEITTEESLEAKGALLVRALEESKDLLKLLFKSFVDVLTERLPPVSADGEIPNLRAGDLNVNFAAHDPETATMEIDNENGADNSSESNGQSSKTGYNVGELEQWCLCTLGYLKSFSRQYATEIWSHITMLDEEVFAGDIHPLIRKAAFSGLCRFPSEGSHL >Et_10A_000096.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22936041:22936316:1 gene:Et_10A_000096 transcript:Et_10A_000096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGATRRNAGEEEEEQSAARRELRARVVRREVEKSVAAAANRPWRASVRTRRCSWPPGSSRKLISQALTPLLGSSVSDLNLKEAAGSSH >Et_6A_046672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19146055:19149160:-1 gene:Et_6A_046672 transcript:Et_6A_046672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLARSIANTLLSPEEETDSADPGPSAFPGSSPPRGVREDLSELTGALANRFQGLASFLASNAQDGAPRRPDPAEIAGRFRAGLARLPGRQAVADLAKIASSLLPPELDDAEAAAGVTEEVVAFARDAALRPELWLDFPLLPDDADSDDFDLTDAQQDHALAVESLAPELADLRIELCPSHMSEGCFWMIYFVLLHPKLKREDAEILSTPQILEAREKLSNNLQYQSKLQSSKQSTVPVAFTNEDTSLSSPVELLGVSKDKDDSVVARSFSNIDYGIPQPTAQEVLLTSTVSDAISSGNNSSGVPVQLVPILKDTKEVLQSRMEKSVCDLSTEDVVADEQPVLMSEIALMDAPKDMIWRKTMMQPDIISRLDNTA >Et_1B_009874.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5491032:5491322:1 gene:Et_1B_009874 transcript:Et_1B_009874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSAFFASRAACPSGSSGEFSARRICRYSDSTTGRLRARSSAVAQIRPPAPPLAIAAASLRRRRAAVLELDDAALGFYAVRVGRCRVGLLRCRG >Et_7A_051513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19845951:19853003:-1 gene:Et_7A_051513 transcript:Et_7A_051513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALSPMVSPPSSPTAAGAEPPPSAITDGAVSGTLPAAESFAVHYPGYPSSPARAASTLGGLSAIAQARSSEPDARLELRFRPEDPYCHPAFGESRATTGLVLRLYRRKGDPAPRAEVVARVRTAYHFEGMADYQHVVPVHAAEAKKRKRSGCPNDEENLSSANATGGLETDDGDVMMLVPPLFALKDKPTKIALLPSSNAVSKSMQRGVVQQKWEMNVGPTLALPFNIQDILWKINWEDHVPKNSADWDWQVAVCKFFDERPVWPKQSLYERLHDDGVHVSQNQFRRLLFRAGYYFSTGPFGKLWIRRGYDPRRDCESRIFQRIDFRMPHELRSPQTKKDSRSQKWSDLCKLEAMPSKNFHSTGWFSKHMIKTLRFRVAIRFLSVCPNENAKNLLRNAHELIERSKKQEALCRSEQSKEDKDVDEETPAIKTGTEDQADPDNSDSEDVDDEEEEDKEESDGYDSPHMTHLEKAFLMATLKMCCAISQCKEIPKTDKMMARTLLMQVMVSSKFTNSRVTKNILM >Et_8A_058037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1552271:1555549:-1 gene:Et_8A_058037 transcript:Et_8A_058037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGPLLLLKQSSRRIEPEGEEQNMTTPPPRHGRSGRGAVHVVDVRANNQEEELGRIRALARDYRIAAVAVSHDGPAAAAAGKPADLEARYAAVRASLCLALADHRDGELAGVWRFHLGAASGGGGGLADPRRVCEGIRAHGRATTRGSMLMTSDGAEDVAYLVRHVTGGLPRRRGEFLEEEGACFPALYDLRVLAEWTHLDGSEPPPLAGPSPSSAFRRLVALAQDSGFWEVQMAYNAFLYGLGAADTAQLLSFKEFQAERHDKLRRLHESFVQMYGEDYVGKDKVLWHNLYDKAPDFHYYNATFHINVHQNY >Et_3A_025989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34444003:34445799:1 gene:Et_3A_025989 transcript:Et_3A_025989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDGSAAVLGSNNKYSCWHRDFGDQELMISELLDETCAAAADSKGDVDDDERRRESMVNKLISTVYSGPTISDIESALSFTGGDHNQLAVDARKYNSCGSPVVFSPEKVLSKMENKYTLKIKTCGNGLAEDGYKWRKYGQKSIKNSPNPRCFGAKKKTKKNRSYYRCTNPRCNAKKQVERATDEPDTLVVTYEGLHLHYTYSHFLHAAQQQGPPGLPKKPKRSPLVSDPSSLPDLDGPAQALASGGLSASEEVVASPAHAVVPAASSSSSAAAAAAACYYFDDDEVFQQAGLIINQHEEVHMTSNGLLEDVVPLLVRQPSSTTSSSSSPPPPGSSPSTSSVCWTPTSPYIDMAILSNIF >Et_2A_014781.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:14531861:14532112:-1 gene:Et_2A_014781 transcript:Et_2A_014781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVKRSVLRSFDGNNTEITETGPEQLQNCPVCKTHIFEIFAGTIQLHQTHATSEKERSPGRFDRNNTDITETGPEQLENCPL >Et_10A_000176.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:14610111:14610446:-1 gene:Et_10A_000176 transcript:Et_10A_000176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VAAALVRRTTSASYLTISCTTSSPASSPPRQLHAPASYPVAGAMSGLTCRRSCSTATVKRRPCPSTPLMRHSKPSPPRPSATSRSDCFNTGSTLTVLCSGCASPPSAWWAA >Et_8B_059435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17946219:17952051:-1 gene:Et_8B_059435 transcript:Et_8B_059435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERDWADGLPMDAHLAILERLDNIDVLMSAEFVSRSWRCAARDEPSLWRRITMRGSEEIAAKLNRCGMACEAVRRSAGRAPCLKSLRLISCKGVTGEGLVEAAKELPLLEELEVALCHNIGNSSVCEVVGHLESLDIRHCFNVEMNETLLAKCSRIRTLRLPEDPTDDCDFQVASPVRTRFVEPAWSPPWSPNMMPSWSPNIRNHSDDDDSGELDFYREPSRYEEDLDKYDKVLPFSMRTPDPHSPATSTMPSRRPGGQQRSRRARRRKAEERDWADGLPMDALLAILARLDHIDVLMAAELVSRSWRRAARDEPTLWRRIAMRGHEGIATKLNRCGMACEAVRRSARRCEAFCGEFAGDDGFLIYLSEHLRLISCDGVTDVGLIEAVKELPLLEELEISLCDNVGDSTEYEALGDVCPQLKHFIQRKSHFNVSDLNYAKDIRAIASMRGLHSLQLFGYALDNEDLEIILDNSLNLESLDIRHCFNVDMDETLLAKCNRIKMLKLPDDPTDDCDFQFGSPLRTYVARTWSCCSCCFFHRSDSDDDDSDFYRDPSRYEEDLDKYDRVLPYSMRTFLK >Et_6A_046602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1817491:1818248:-1 gene:Et_6A_046602 transcript:Et_6A_046602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAISRGTSIAQYKVPRCVTIRPSVIELLDSRVASCHFSPALPHWAPGQPAPKHEEMLITDPTAINALQTLKLKR >Et_3A_026768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19707794:19711929:-1 gene:Et_3A_026768 transcript:Et_3A_026768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANWSSLPRDLVFSISELLLADDDLDYYMNFRAVCGHWRQSTLDPKEHITADPRFQLKKWAVLKDSGYNHDIKMVNLSTCRVVRKNVPQLYHYYFYAADGGLLVLGEQSPLPEGRVWVLNPFTGSVICFTVPEPIEEVRAVTVTSSPMRLFVSNLWNTVRWTEPNAKEVHEHRVRLPNYIVSMAQFAGDVYVADRYGSIISTADEGIAVTQTVGAAEDDVRKVDTVRKVLEPVTSIGRRAIFISQVKSFVVDAFPTIEAGSIYFTRKSWLHGASGHLGHAVS >Et_2B_022721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3614950:3619683:-1 gene:Et_2B_022721 transcript:Et_2B_022721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLQKPSYYTVSLIILFPLTLLCLSFLLPFSSYLSNPLAVAAGASGCASGAAAAPAAEDDAGRPELSILVGVHTTAGKHARRSLIRMAYALQQTPALRRAGARVDVRFVLCARPMPPEHRAFAALEARAHGDVLLLDCDEGADKGKTYTYFASLPAMMTLAGAGETGGRPYDYVMKVDDDTFLLLDALVETLRAAPREDAYAGVGLPMYDRAFPPFMLGMGYLLSWDLVEWIATSDMVKREAIGPEDVTTGNWLNKGNKAKNRLNIFPRMYDYRSAKPEDFLENTIGVHQLKQDIRWAHTLEHFNLTSNGKLAVGPEAHLIQLEV >Et_7B_055782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4472935:4473792:1 gene:Et_7B_055782 transcript:Et_7B_055782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRQAKEEAEREIAEYRAQMEAEFQRKVAESSGDSGANVKRLEEETAAKIEQLNQQAASISPEVIQMLLRHVTTVKN >Et_1B_011514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23049361:23054774:-1 gene:Et_1B_011514 transcript:Et_1B_011514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVVLAPMAAADAAEAVLAPIAAADATEALLAPMAAVDAAEAVLAPMAAAAGAAEAVLAPMAAADAAEAVLAPIAAADATEAILAPVLIAAPPLAADGDAETIVAVLAPTPISLLPMPADAAETVTIAAMPAAEEDEDAETFVAPAEAATQQIAADAAVLVEVSAEVLLMTANDAEAAVVAQMPVSALPMTANDAVPMPVIAPSSPEQTISSGTYDAAAEDEEMPSQREIDEDIGKQTEDTDHPSAHSMSDDKQPMVDCDRNEECHDAEEFWEMNPMRSPRRMTDYRLWMRHRHEEDMHMGLRFCRNRGIYGRSEHSLIMISDDESGQSDESDHIDDGDACHFYNPFDHHDNLYGRCRGRANRRGYPCHCYERRNRNNGHPFHPGFMLYDPMPYPMERWHSPKPDYGRLSGWSSPKPDHEKEIYNVGAGLLNPHRWTCFLNCILQCMVHTVPLVLKLQEADHPDPCPRASIGFCCYCSLKLHANESIKRSGSSFYPLSFVDRLSSISPDFESGVQQDAQELLRCLLDKLDEASVAPRTLEEPSSTEEGGVAKEVFGGRLKSQLHCRECSHCSDRYEPFLDLSLEVNMVDTLVDALESFTKVELIEDVMCDGCKTRVNMEKRLKIEQAPEVLVIHLKRFLNSGHNISKIWDRVKYTLELDIDPFMCSVGDTPQKYDLYGVVEHLGTYARGHYVCYIRSSEDDWYKFDDANVYRYSEANILDSTSYLLFYVKQGSSPWFSSLLEKEKKIALDGSADEDPDNFLKDKEECMPSGGKDCSDCLVEPEEENENGPSLQRDVDGSTLLDAPGQLEESCSVGGISRGTQEIRCLARSGDENGHADGFRYSLQEKKDDNPRGSLLHMKEMEINTQGDNTCTQGGSPRKDDNTFSPIQRTDLHEHQNGSSRASSGFGMFSSEKKATVESSNSNHNDEHGIGTNGIRKGKCEQL >Et_3A_025614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31207282:31209166:-1 gene:Et_3A_025614 transcript:Et_3A_025614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRRRGPLWSLPVARSDALGKLGPAFGIGAGCGVGVGVGLIGGAGIGAGFPGLQLGFGAGAGCGIGIGFGYGFGKGVAYDEIGRYSNIRRPFQNSRNLPYDEQFDVLFDELMESTRKLIKATSKEIDKWRRM >Et_2A_017554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35369309:35370044:-1 gene:Et_2A_017554 transcript:Et_2A_017554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLASSSSSSLAACSSAHPPRRQPFSGASTCPFLKRTCVSKDASKHRLVASSALPDPQAAAAVSELVAGVAATLLLRSSSSSQQKQQQQQQKRETETETEEEAGEECWDCGGTGLCSRCKGEGFVFKQLSEDTATKARKAAKNMATRYTAGLPTKWTYCNKCSSSRSCTTCRGSGRIASLTSTSP >Et_1B_012416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31819304:31825201:1 gene:Et_1B_012416 transcript:Et_1B_012416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRSERLVRPRRGAVPDGGAEEDRISGLPTDLLLQILLRLRCAAAAARAGLVSRRLRGLWALLPEIYVSVGNSGGALDAFLGRLESARAASADAPPLALLHVQALCDRLSTRVLASWLRRAARLAPEELKLSVTGSYSSSWPSGRSKIELPCSTRTASIELELRKFNRDLRLEPPRSGDFLALERLSLKGFHFDPAALLPRCPSLRALRIGIGPSAQTPAPRSIHSPSLEELVVVVVAENAHETGLDIVAPELKRMSLAANVLDLKVSASNLEKLVLDCSRNFGPVELGNMGQRPEVMLSGISLWGQNMFQTLAVGYGVNSFVQQLPRLHRLLINIALDLLAEKLDITDDGIVSATL >Et_6B_048999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16554473:16561826:1 gene:Et_6B_048999 transcript:Et_6B_048999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRLLAAFGLSPPDSTATASATPNTVGAGVTELSASAIVAETVTGSHVLTIHGYSQTKELLGTGQSVSSGKFTVAGHRWVIEYYPNGQSTATADWVSVYLKLERRSTDVKARVRISLLDKDGVPVPSYSYPRRAGLLPATLYTANKLSWGVPVFITRKALEASDYLIRDDDCFRIRCNITVLKEIRTEDRDAGAMVVPPPDLGRHLGHLLDGGEGADVTFEVAGESFPAHRYILAARSPVFMAELFGSMKEKDAACIRVDDMEARVFKALLHFIYTDSIPEIDEDEDLMVMAQHLLVAADRCNMARMKVICEEKLRSYIDATTVGTTLALAEQHGCHGLKKACFQFLMSRSNLKAAMATDGFGHLTSSCPPASSSTPTAAPDLSASAIVANTVSGSHVLTIEGYSQTKELLGTGRCARSGTFTVAGHRWFLVYYPNGEVPETADWISIYLNLERPSKDVVKVRVKLSLLDKDGEPVPSYSYPNTTRPATSYTANEFSKGYARFIKRKALEASDYLVRDGDCFRIRCDVVVFKQISTEDPDAGAIVAPPPDLGRHLGRLLSGGYGADVTFEVAGEMFPAHRYILAARSPVFMAELFGPMMEKGASCVSIDDMEARVFKSLLYFIYTDSLPEIDEEEDVTVMAQHLLVAADRYSMERMKLICEEKLRGYINASTVGTTLALAEQHGCHGLKQACIQFLMSRSNLKAAMATDGFVHLTKSCPFVLSELLAKLPSPFLRSTSSPNPPRARVLLDPTIQGLVTSSSMSASGDSPAPSQSSIVADTVSGSHVLTIHGYSQTKGLGTGKSIASSPFDAAGHTWLIEYYPDGFDDSSADCISIYLRLLDIPPEVKARFKLSLLDETGGPALTRDTPQDMLCTFPSSTKKTKWGYNRFIERKDLEHQTRSGWWRWTRNSISLKYLKDDSFRIRCDITVLKEIRTEDVTMISTVVVVPPSNIGQQLGRFLDSGVGADVQFDVAGVTFAAHKCILAARSPVFMAELFGTTKDKTESCVRIDDMEARVSSLSATGNAPAKSQSSIIADAVFGSHELTIKGYSQTKARLGTGKFITSNPFVAAGHTWRSDYYPDGYDQNNADWISIYLKRVDGDADVKARVKFSLLYVTGEPALLGHNQDAIRIFANDNNKFGLDRFIERKAWSTRVGGGGCQKTILHI >Et_8B_060608.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3156160:3157491:-1 gene:Et_8B_060608 transcript:Et_8B_060608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLDRARALRVLGRGAMGTVFLVADHSSAYLPSRYALKVLDKRSARGRDADRRARWEVSVLSRLAHPHLPSLLGCAETDDLLAWAIPYCPGGDLNELRHALPDRVFSPAAVRFYVAEVVSALAEVHAAGIVYRDLKPENVLLRADGHVTLTDFDLSRLLPPTSNTNNRHSAASPSPPLPAAAFRGGGGHRNRRTRVSARSDSVVVGQLSAANNKPPEAPAGSWPSPRHHLQSLVRYLIRSSDGGGVLVKKAKSARVTPVSKTKPASFGCAPSWAKSYSFVGTEEYVAPEMVRGEGHGFAVDWWAVGVLAYEMAFGASPFKGGNRKETFRNVLHKEVEFPGDAARRTPELVDLISRLLDRDPAARLGHDGGADEVRNHPFFAGVAWDMLTELSRPPYIPPPADDDDGETGGGFDVRDHFKKLQQPPPPAGTTESSPEFLAEF >Et_3B_031735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9237416:9243369:1 gene:Et_3B_031735 transcript:Et_3B_031735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSRINNWSLGVLYKEQYMVFILINRYISTKPTKKMDRSLEIRTSSLTPAPESEKQRVEEFWRKKKEEIESIEDFGERAIPMTRMKKVICAEKGNMMMTFDTPSFLTKTCEIFVQELTFRAWMCAESHHRSIISESDIAEAIATTESYDFLKDILHAYQREHNYIPCPKPTKKRYRSIYQPSTSYHPPPHQVPQFHQPQFSHYPPFVHCPLSLPLINMHPMPLLLPFSLQEAYPLMSTIVTPTPIVSPIVSPINYVARGLGFFGNGTNTTIPSNFVVNNNIIGSGAMTHPLPVYAGATPSIPSTFFYTNNMTNASAPFYDVGSTSNTNIIAHDQCVAIEVDHILPEVAKTGCAMHTSSTANENDNNDLDASVEIEDGQQQQQHQLEDIIFNHPPNALDGTLDAVVAGASATNAGEESSNINLDDFEIADESWLSKFWEDVMMEGNPSPSLDVTSSDLLPLSSDMQDLDGINYESYLLDDMSPAQAQA >Et_2B_019157.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:14744484:14744849:1 gene:Et_2B_019157 transcript:Et_2B_019157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPLRRLLLRLRDPPPLPAIPLLSRLAPQIQRAPTPALAPPVPDSAPSEMAPPSLRDALFSFHPGIQIRPCLDPIGEDEVNGGDAAEVWADSVKKKRKRKMNKHKLRKLRKRLRRQT >Et_10A_000437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10164108:10172424:-1 gene:Et_10A_000437 transcript:Et_10A_000437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFGDDAVGGDGTRDLFPNPDPFSNTGASRLDLGRIDLNAEGFAPPGGFVGTGDLAGPSGFGIGSSSGFAAAPPHLFGASRPTAPAMVPAYGPFGGGLGGGLAAAGGGLPGYGAFGGGHGGGVSAGGGGVPAYGPLGGGHGSEVLPGRGALAAARRRGSRGAGGAPARRGGGPRIGAARGRGAAGRGRGAVGRGRGRGATEEDEEPEDVDSEDDEHVVLPWWTDRECPRSHWDDEKTEILLDIMMEAKVKGYYISGTMKPRGYAYLRVQFYQRTNIKQSKLQMRNRLSQLKLVYIVCIKLHDQTGRGCHPNGWPKASKKWWRQTLQGRNLGELENLKHRGPPYYDKLIEVFQGVVVDGSSVFHSGGNSEHEHEEEEEEEDEEEEADEEEGGDQFGQDQAEPNDIRTPFGTPPGFYSSPGTSGSRKRGSSTHTTGASPSKRSSRNPMVSAFQDMTDEQKHSNQDKIEAMKEVEEKKLAFMREQAQVAVELERKKLEMKAEIELRKEERHAKKAADEREILQKMFAIAIADGWDTGSMEYMALSYMCYEEGPRGAWLLCTTREARLNFMRHWIKGTVHGMVQLQGMVQRMVEMECMDTARWLLDDGEEEFNDRLPQLLYGKLKERAQMPTIGAISAKCSVNNCQGGWNAPRV >Et_4A_033848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27301155:27305109:-1 gene:Et_4A_033848 transcript:Et_4A_033848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATPVSSFWGARYPQLQPQSSNCAEMLTNVDVSGAKDLSVDPSAFGFPQDANQEFYNRALQYGYSGSRQGFEAQGFAASAPEFVLNSDSAALGGYKQSDHFWGAQPGEYEGTQTQNVNVNIAHRSQPSNASCLDHIEEITSYDKDDRAISFGSSCSTGFGSYPYSSPVQSKNCISDTKDGTWAALMQMQQALESSNSDNGLIEECSDLSFNHAELSGGNTLQNQIVWDNGSLASPSFTSNFLPFPGDAEVTTASPACGLQNFVDPTHSMNNNEQQISSFKLEVPHQEGPTTSHVYEPRDVIHSAEWDTNPGLLESSDFMPSTLDRQDTIHHQLSNSFVNSGDGSVNSGSKTSHDLYECEEQMEIDSLLNSFGVSTDSFSQTYEIFGLSETSVSLDKKVELHESVSPTCFSNAVPYMQSGGPGSAISDGSSYPEQYQSTSQTCGLLYSSSPQWQNISSSGLLLEDCHKSISEPNSIFSPGANSKDQLMAANNNTLVQKQQSVTSDTGVQMTDNVGNPYLEFTTSLDGQSCPEGAYICTDGALAKAVQTAKPGMMEDCSIGVDTSNHTGHSGLQLPVTKIAHVQGPALSTSVDPSSSCIGGTEFNKVELTAAHNTTQNHQGFDNSECSGILHPKSFEQNAPANICVKVDNSQVVGPKQSTVSSASKPLNLSVSHADRFNGLAKKAEKGNKRSIIMACASHDQTWEHAAYKVDGENKTMTITRLGTRAHKRLVLTTTLMQYILPVLPAKLLAANVNNSSETIIYHLSKQVLSDACDAVLSYGNDNMLPNQTSTSVKEDSEILSEVLETFAGRFGELESLLLRAEKATTLRELETDVQQLERWSILHRLATSRGYAFAYSGDSSNSGPNPYATTIKRHVEAAGVPVDLLCGIKCRLLN >Et_5A_042996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9203915:9205608:-1 gene:Et_5A_042996 transcript:Et_5A_042996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSVLGSPSYGKAAEAYKKAVTVAATAAAYTMVARSMSRELLPDELRGAARWALAFVRGRLQPPPAKLPRTKTFFIRPGCDDCSPVQLLQRNRLYTAARAYLAIHIDPHAASRLCLAVRDGDSDGDGEGGSDGDGEGTGDGHGAGAGAGQILSIVPGDSTAVVFEGVKFTWTLVSASRRRRRGNSDDDDDGGVPGAGSLMLSFDEEHTDLALRKYVPFILATAEEMQRRERPLKIYLNKGATWGRGINHHHPATFDTLAMDPELKQAIVADLDRFVKRKDYYRRIGKAWKRGYLLYGPPGTGKSSMVAAMANHLRFDLYDLDLSEVGYNNTLQMLLMAMPNKSILIIEDIDCCDSAASREDDGDNNSPSPTQQSSFSPKIKTKSSSGKLNASFPGVPGYPGYPVTAQQRDNLTLSGLLNFIDGLWSTTGEERIIVFTTNYKDRLDPALLRPGRMDMHIHMGYCGWEAFKTLAKNYFLVHDHALFPEIQKLLSGVEVTPAEVSEMLLRSEDADVAFQGFLEFLQDKKKGVKA >Et_5B_045403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21245505:21249510:-1 gene:Et_5B_045403 transcript:Et_5B_045403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREKLVPTEITMVGVLYACSHCGLVDDGFMYFDRMKKEYVITPRIEHLGCMVDLLGRAGKVKEAYDYINTMPLEPNAVVWRTLLGACTMHKKLELGEIAWARLVELDPGHSGDYVLLSNLYAAVGRWADVHVLRKTMIKDGVRKNPGRSLVELRNSVYEFVMGDRSHPESEQIYQMLAEMAERLRREGYIPHTSDVLADIEEEEKVTALNYHSERLAIAFALLKSLPGTPIRIVKNLRGNPFIRLQYLKSSAAGARPQLKAESLTPIPTLSPPEGNMTFIDGMTWCVARPGATQEDLQNALDWACGPGGADCSPLQPGGRCYQPNTLLTHASYAFNIFYQQNGNSDIACNFGGTGAIVKRDPSMYQSDLVLVGQGSARTGFAGFSVISRVRRDLLPGFGSCKFLVSETSAASSLNLGRTVVLLQFAR >Et_3B_027823.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20976702:20976875:-1 gene:Et_3B_027823 transcript:Et_3B_027823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMQPQSGARKRTSCSSRSSPAMARVRPTAGTKCPVPWVAAGQLMRCAANTSSSRRT >Et_6A_046158.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:5304409:5304621:1 gene:Et_6A_046158 transcript:Et_6A_046158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQALEFLSHLVSSDMSGAGRPGNRDHPRREHASIPESVPSSIQILRSTFTTPTILPLCLVPALFPRNGL >Et_8A_056155.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8052754:8055122:-1 gene:Et_8A_056155 transcript:Et_8A_056155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LSTVSELWTLKVWHLHKNLHPASSRA >Et_7B_055728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2838680:2839206:1 gene:Et_7B_055728 transcript:Et_7B_055728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKCDVFSFGVVLLEVVSGRRNCAEPSLVSHAWKLWEEHRITDLLDPEVPRPRSVLDLLSELQRCIQIGLLCVQRSPGDRPAMSAVLAMLTSKNSQLEQPRMPMLECRTRGPLAVEAGGGITGGPLTVVNLTQGDDMVEKACNTVVGPDH >Et_4B_037176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15924736:15942850:1 gene:Et_4B_037176 transcript:Et_4B_037176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPEPVVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQSASPSTPNITLVGATSPPSFALEVFVHCDGESRFRRLCQPFLYSHSSSNVLEVEAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELGLDNSLANVVSSPSEGKLEDLPPALHSSKLTFEESLSSLKPLSFQVTELDLSTEVKKVLHLTLKLYQMSDVENLVPNLRNIVSSAISKYVTASTNHIIHTCDQDFDNVIAKSNYDLQSINKILIEAGHELSEIWTNVSAVADRSILNDGGFGIGVDAELPTTRILVDLFNKCFPYYQDISLLDLPCQSQNKWLGSSLSLVLLLCTSKESCFYFLCTGGMEQIINLLCWETPKSPATTLLLLGIVEHITRHGFGCEAFLGWWPQSDHNNISVGSSDGYCSLLKLLLEKERHDIASLSAYVLQRLRFYEILSKYESEVVKVVSNLPGELSTDKVPFLLSASVELAEMLKLMNFCGPIEDPSPVSYARRISKSGHLEGLLSYQSTIGLISSSKYSFLQFDTDPYLLSLIQETSFFPLSAALLSSPVLHSASGPAAEIWMGLALSIESIVLSLLFCRSGISFLLSQPEATELIVLSLQDTENMSKTECITLRQAFVLLSKGFFCRPQEIAMIIELHLKVGSAANRILSVLPNSDELLWVLWELCAISRSDSGRQALLALGYFPEAISVLLSSLSSYKNLDSVMTKNGGSPLGLAIFHSAAEILEILVTDSTASSLKSWIGFAVDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYQRNGARGLLRYSAILAAGGDAHLSSGNVLVSDSIDVENVVADSNNSSDGQVIDNLLGKLVTDKYFDGVALCSTSVVQLTTAFRILAFISDDKAVASSLFEEGAITVIYIVLLNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQALVDLMIPTLVLLINLLSILRETKEQYRNKKLLSTLLQLHREVSPRLAACAADLSFMFPTFAISFGVVCHLITSAVACWPLCNWAPGLFHRLLENVEATNASVPLGPKDAFSLLCLLGDLFPDEGIWLWKVNLPSLSAVRSLSTGTVLGPQVENEVNWYLHPEHVAILLVRLMPQLDRLAHIIDNFATSALMVIQDMLRIFIIRVASEKIECAVVLLRPIFTWLNDKVDEASLSEKEIFKVHQLLKFIVKLSEHPNGKALLWKMGVTRILRKILQNCTIESLSADKMTFERVPSTNGLMLQWRIPLFRSLASIFSTDPSNNKKIFTEETLNDNAVHECSSIMHHLLMLCQVLPVGRELFACSVAFKELACSYTCRSAVTLIVSQIQTSNQDVHDKEDSDTYHESSTVDSWGCCSSLLKCWKKLTKYIVSNHRTDFFVETIYSMTLGAIALSQYGEKLEGLTILRYLFGLPEMESSGESMSEITLFLKTFEKVCQGFDNLATSVGVSLSQVHNSITLLCSILENSAVSTDLVQMVLEEGTGSLSRVARSVVMTGRLMPTLAGVSVNDQSGLFFSNAWNVIVDSEEPVDCLDGEFAKRLVWELPDSSLDRQLMPGQSARRKLALGESATRRVRDNQALEPSGHFSRTLNTTNVSTGHTRRDTFRQRKPNTSRPPSMHVDDYVARERNIDGASSASNIVNSTPRGTLSGRPPSIHVDEFMARQRERQIPVLAPSGDVPQGNSQTPSLDDNLHAKPGNPCQPKADLSDDQEIDIVFDEDSGSEDKLPFPQLDDGLQSPPVIVGENSPSPIVEETENQENERIPFSQRGTPVTKDDENPGVGISSQTTISDVNVSSEQKYPSPENSSFHDHVDESKYISLITGSGRSPIDNPHSAQATHQQLPPTSRYESRSPHKLSESSLSGGSHGHEPRNSNSHPPLPPMPPPISSASSKNPDSLHRQPSSYIARDRPTTYSSCYPTQSFDASMPSAFTGLQAQAQYMLAGAGGSSSNDLPNSEAKFLWNTFSVNRLPMENFSSGLSARTTPPPPPYSATAAMSSCSPAALYNHGSSAIQPSPPASVISDLTSGMNSGSTLASNLLPSFASQFLMGRPSVPSSFFGTPLQQVQLSSGLQQNISIPQSSISSIQPRPPPPPPQQPHPSQTLQQIGTIQLPLQDQHPSYAQGAILSQVPLQFSNQLPVSQLQLYPPSQQEFVQPPRQVGEQSQLQSQGLQTDGFSQQQNDSGINLNQFFSSPEAIQGLLSDREKLCQLLEQNPKLMQMLQDRIGQL >Et_5A_041830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3233223:3237292:1 gene:Et_5A_041830 transcript:Et_5A_041830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDGFAVAAAACPAAAEAFAKFCGTVSGGTNAKTRQGLIELSQAIDGIEGMRDAIFADIPKLMPFIDLEDVSLFNYFYDFVFFICRENGQKSITIQRAVAAWRIVLNGRFRLLDRWCNFVDKYQRHNISEDTWQQLLAFSRCVNEDLEGYDPRGAWPVLIDDFVEHMHRIYHSSDCSNAMESQCSISNTFKGLDLLPGSKRKCHSQFNSNEEDVELSDSFTRSVHLTPLKRLKESSGTRFGVWESHKGTPFSNSSSDYYEDTNLHSSRGCLQNSTCIVEDTLSKGFEGCISMKCSF >Et_2A_016299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22955536:22957194:1 gene:Et_2A_016299 transcript:Et_2A_016299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PARLRDALARALVPFHLLAGRLAMGPEGRAEIDCNGDEVFRDFEPSPEARRLFVPFAESGEPPCVLAMVQLTFLKCGNVVVGTGMHHWAMDGAGAFQFIRTWTALARGESPPDVSPFHDRTLLRARSPPHVPFEHPVYSPSYLTGVPRPFVTRMYVVPPKLLADIKSCCAEEGASTYSAVTAHLWRAMCVARGLPCQAATARPGSASPSTSGISTTFLGNAIIRDLVTVKVGDVLSRPLGFVADLIKRAVSRVDDAFVRSVIDCLELELEKGSQAARGQFMPESDLWVAIWLGLPIYDADFGWGRPGFVAPAQMQGSGTAYVTQGPDGEEDPVTVIFALEPEYLHCFEKAFYGE >Et_8B_060129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6119711:6120354:-1 gene:Et_8B_060129 transcript:Et_8B_060129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDSSSAEAIGSIRREISVVVRMSSYFSIPDGLPKTYCRRKTLSPIVVDPSSYGLLQLVNHIADHFLWGSKQYISLWSESEHDDDVRFPIKSDEQLLQWFELNLDKGVVHIIAEIDDFEGPLQCSPTKRSLHPKVRERLLETPSTPSLDLDPRVDPTQLTQSTPTKERAIILRSCIWRGKQ >Et_6A_046097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24110019:24111482:-1 gene:Et_6A_046097 transcript:Et_6A_046097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIRLEAGLEASRRWRIRDAPLLRWRRKLKRAAEECAGELRERRRRAAAVEEKEDLARAAAAALFGGHGGSRDADAAVRRFEWYADGAGEFLRFVELGGGAPRVDPLIGRLLAGDELRYCRFVRRGAGGEYRQLYVRPVRLDSRGVEAKLIFVCEDDEAPERNLCLGAILRLSESTDLVGTLVRCLDLLLVAPHFRPAAEAARRELLALPRQDFSWLPSTYSGNEHWNAMHSCLSRWFRPDPLCCCSQRHVSDLEPVIGVFLRRHIPLADQYDAHRSKSTAAAAIIDGDVGATCLEEEKKKNVPHLRLGLHFSPHGGSSDMAGSAAVEVVDGEELPAGGVHRNISSLEELDEFALPKAIDCLRHRRPEAAAYQLFWKARHGTAYLEVEKTGLLMEAPPRRVGGSRRPVAIRRRRDPKLGMWTQVVVVDFFNLWVARAPRRLQGSIIEWVQKANEIQQGAWLSKKRKYI >Et_2A_014514.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18197527:18198373:-1 gene:Et_2A_014514 transcript:Et_2A_014514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRVAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWSYATNFVPGN >Et_4B_038623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3457020:3457701:-1 gene:Et_4B_038623 transcript:Et_4B_038623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQDWESGREQGPVSWHIQLSTQEEAAEAYDIAAIKFRGLNAVTNFDISKYDVKRICASTHLIGGDAAWRRSPTRPLPDAPELAVAGAGADRADAPPGGGGELQGGASDNSDASEGHRGAHLLHGLQYAPAMKFEAGEGSGGAAGNWMTAAAAAARPVAGVASVHQLPVFALWND >Et_3A_024491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21202958:21205086:-1 gene:Et_3A_024491 transcript:Et_3A_024491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPALVFTITGFQAKALAEKNMRDMLAQREQTERHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYILGADSGWQSVPLTDLITAAGVKKAYRRATLCVHPDKVQQRGATIRQKYICEKVFDLLKTPISAPATRFTESQVDKGMQEVEQQAASHMRSSKR >Et_6B_048524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10242724:10254131:1 gene:Et_6B_048524 transcript:Et_6B_048524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQQPRQPLPPFAQAQNPAVAQGPGPASGPPGAGALPASFSNLQISRGPAPAPGQAPPPGGPRGLMPQTVPPAFAARPGPPPAAGAARPSFPGSPPAPAFSSPPFGGPPVATSQQPAPFGRPPGAASQAPPPFGGPPAAASQAPPSFGGPPGVASRAPPPFGGPPTAASQAPPPFSGPSAAVPQAAPFGGPPGAVSQPPPFGGPAASMSQPAPQQFGGPRPAFPGQPAAMAGASSQPAPPTFGAPQQSTPPFSGPPQFGGPRPGGQPPFAAQSSLVSQQPPFMGRPGATAPAFGAPSWQTQGPGSNAMQPPMRMPGIPGSMPPNPLGQGMPPPGTPTIPYSPHAGTQVSTPSKIDPNQIPRPMSESSVIIYETRQGGQANIPPAASTEFIVKDTGNCSPRLMRSTVNQIPCTGDLLTMSGMPLALMVQPFALPHPSEEPIQLVDFGEVGPIRCSRCKAYINPFMRFVDQGKHFICNLCGFSNDTPRDYMCNLGPDGRRRDADDRPELCRGTVEFVATKEFLVRDPMPAVYFFLIDVSMNAIQTGATAAACSAIAQAISDLPEGPRTMVGIATFDSAIHFYSLKRAQQQPLMLIVPDVQDVYTPLQTDLILPVSECRENLEQLLESIPSMFENNRVADSAFGAAVKAGFLAMKATGGKLLVFQSVLPSLGLGSLSAREAEGRANITTGDKEAHKLLQPVDNTLKTMALEFAEYQVCVDVFLTTQSYVDIASISVVPQTTGGRVYHYYPFSARSDPAKLFNDLRWNISRPQGFEAVMRVRCSQDYFGNFCKRVPTDIDLPAIDSDKTMMVTFKHDDKLQENVECGFQCALLYTTVYGQRRIRVINLSLSCTSMLSNLFRYADQETQFACFLKQAANGIQTSPLPRIREETTNTCINILQSYRKHCASVSSSGQLILPEALKLLPLYTLALIKSIGLRNDCRLDDRSYWVSLVSSVSVALAVPLVFPRLIPIHDLTTRDDDDSLVPSPLMLNSENVHEDGIYLLENGEDGLIYVGNMVNPATLEQIFGVSSLVLEQFDNELSRKVNEVVNEIRRQRCSYLRLRLCRRGEPSGDFFRSFLIEDKTPAVFSYVEFLVHVHRQIQSKMPLSLIT >Et_1B_010561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11595379:11603903:-1 gene:Et_1B_010561 transcript:Et_1B_010561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSDEMGHAGGQSVGPASASASAAASAAADRFLRSRGAGASTQIELSLSASNLGDQEYFPKSNPMVVVYLKAKDGQLEEIGRSEVILNSLNPSWSKKITVHYQFEVLQPLVFQLYNINPQFHDVSETMLKLEEQEFLGEATCFLSEVITKRNRLLTLKLGVSEHGLPNPSKFGELTVQAEESAGSKAIMEMVFRCSDLEIKDLLSKSDPFLLISRISDSGMAVPICKTEVRKNDLNPRWKPENPLIIECFNFSSNGKHELVGKIVKSVAELENMHHSQDGEHLFMPASTTHECHSKEVLKSQVYVEKYVESNRLTFLDYISAGCQLNFMVAVDFTASNGNPRLPDSLHYIDPSGRPNVYQKAILEIGDVLQYYDPSKRFPSWGFGARPIDGPCSHCFNLNGSTYQPEVDGIQGIMSAYISALRNVSLAGPTLFGQLISTATTIASQSLAGNQQKYFVLLILTDGVVTDFQETIDAIIKASDFPLSIIVVGVGGADFKEMEFLDPNKGERLESSTGRVASRDMIQFAPMKDTHGSGISTLQSLLAEIPGQFITYMRTRETQAIS >Et_4B_038276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27881789:27887953:1 gene:Et_4B_038276 transcript:Et_4B_038276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATALSTSLPHLPPRRLPSLPSSSALSISSRSSRRREPRLAATASAASEVLESTNGAVPSASKSGTARGYGREYFPLAAVVGQDAIKTALLLGAIDREIGGIAISGKRGTAKTVMARGLHAMLPPIEVVVGSIANADPSIPEEWEDGLADRLQYDADGNIKTEIAKTPFVQIPLGVTEDRLIGSVDVEASVRSGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTEGVNIVEREGISFRHPCKPLLIATYNPEEGSVREHLLDRIAINLSADLPMSFDDRVAAVDIATQFQESSKEVFKMVEEETEEARTQIILAREYLKDVAISTEQLKYLVMEAMRGGCQGHRAELYAARVAKCLAAMEGREKVFVDDLKKAVELVILPRSVLSDNPQDQQQEQPPPPPPPPPPQDQDSSEDKDEEEEEDDQEDDEEENEQQEQEIPEEFIFDAEGGLVDDKLLFFAQQAQRRRGKAGRAKNVIFSEDRGRYIKPMLPKGPVRRLAVDATLRAAAPYQKLRKEKERDKARKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVAIIPFRGDYAEVLLPPSRSIAMARKRLEKLPCGGGSPLAHGLSTAVRVGLNAEKSGDVGRIMIVAITDGRANVSLKRSTDPEAAAASDAPRPSSQELKDEILEVAGKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISAATKTALTDLKGS >Et_7B_055527.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:13122588:13123550:1 gene:Et_7B_055527 transcript:Et_7B_055527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTATTGSAGEDNDEVVHDFAPLLLVYKSGRLERPIPMPLVPPGHDAATGVVSRDVHLSPSSSFARLYLPPAAAGAGSNRLLPVLVYFHGGGFVIGSAAAAAYHRCLNDLAAACPAVAVSVDYRLAPEHPLPAAYEDSLAALKWALSRADPWLAAHGDPDRVFLAGDSAGGNICHHLAMHRGAGGLRGVVLIHPWFWGKAPLDGEPRGEAAGEKGLWEFVCPGAVDGVDDPRMNPTAPGAPGLENLACAKVMVCVAEGDALRRRGVAYADAVARAKGPGSVVELFESEGVGHVFYLLEPATEKATELLRRIAAFVVAE >Et_2B_019949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15555408:15557943:1 gene:Et_2B_019949 transcript:Et_2B_019949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAIFVFLVVFALQLVDRYIDLARKRGSQSDEQLNLRQEIKQLLKEANQLSTPSTFAQAAKLKRLAVAKEKELAKIQEQDIKGKQSLYDRYRKILLVTKVVICVLLVLWFWSTPVTTVRQHLLQPFGKMFSWRGVDTATRHVVVGILPWLFLTSRVSKLLCQKFSFVLLRP >Et_1A_009467.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6120417:6121694:-1 gene:Et_1A_009467 transcript:Et_1A_009467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPHLFLCPISMELMDDPVTVSTGVTYDRRSIERWLLKYGRATCPATMQPLASLDLTPNHTLKRVIDSWRDRGSPSTSASSSPSTSSLSSPAHDQMATPLSRMLEAERLRSALADLEETPFKVTALRNMRARIAGDVAMQCEFVASGGIQAVGRVMAQALAECGAGGDFSSFTVCEEAAAVLAVLPLADPASVALVLAPECVRPVMALLQRGGAEARLHAMDILTKISDAGARDWVAGVDVGDVVKSLLELLADEGPTRLGSRALDVLLAVVGLSQCGPAKAVEVGAVHVLVELLADADGRHDAERILLLLKRLCKCPEGRLAFADHGLSVAAVAKTMMRVSELATQLAVKVLWLVSVVAPSEKVLEDMVVTGAVAKLLGLLHVEIPPAIKQKTVRMVRTNGGFWRQYSCFTTDLRDYLRLLD >Et_9B_064487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15612697:15616772:-1 gene:Et_9B_064487 transcript:Et_9B_064487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESQKPLLVNTHIPVVCDMKKISDLILIRNVGLEIEESDIHKLFEPFGVVSEVIFKHDQHRAFLKMEDINASVRAVQYYNSVPPTVRDFTDLSLATVRPRASQINCVVLCVDYVEQQGYPDLAGLFAFQQRTEISVTLIHNAFASGVCQMARAAVIAIAFGGILPSGVTGANDCCTIVLSNLNPYKIDEDKLFNLFSLYGKVVRIKNLPNKRDNALVEMEDGLQAELAAHYLKGATLFGKKMELDHSKEYPSSAPSQEGKSYFDSIHHRFNNCHAVKKYRYCCAPTKMLYISHLPAEISEDAVRNHMSEHGLITKMKLSSEAGWTKAHILFNTEEEATEALVSKHGSFLEWHKIQISFSN >Et_2A_014788.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:14816323:14817489:-1 gene:Et_2A_014788 transcript:Et_2A_014788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRVFSLTRYRVPGIYVREDRRVACADGTAAWLVRGKDEDWLVNPLTAARLPISLGDLRCTNGCLDCTHRVVSGDGTILVYGGLAPCPRQRALHGLILKPGHEKWRPVELHTGSDSRRAVAYHDNVIVHCTLDYCYIVQPFWFQEAVVRLPHDPADKFCWRRYLVEFNGEMVLVSVMYVMALVNGRVTCIWSLSLHALDLTAESSPAACVRDCDAVSLLRDHVLFLGFPSSFAVEAARFAGEVSGGTAYFLAEARVGQCCVYKHDFRIGKTTMMQELPRRWGDESCMWFLPQPDIAPIVTRQQQLTINVGNLPPSMGNSPLRQMFSEHGKVASAMIAYDKKGRSRGFGFVTMVTQKGFDRAMAVLAVEEPQVSAKSTWSLKHFSSAN >Et_6A_045793.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:10747497:10747879:-1 gene:Et_6A_045793 transcript:Et_6A_045793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKRYMHLTEEILQENPNMCAYMAPSLDARHDIVVVEVPKLGKAAAQKALKEWGQPRSRITHLLFCTTSGVDMPGADYQLTKLLGLCPSVNRIMMYQQGCFAGGTVLRIAKD >Et_2A_017073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30756717:30766819:1 gene:Et_2A_017073 transcript:Et_2A_017073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKLVTLTGIVADGTPGFLSLMVHMKRLKKVKIWCSYAGDGVDLNNELARVIPLFLEKPLPDYETRCLSLDFQAVTEGTLYALQEFSSHCLHGNEIHLSALKLHGKMSILPQFVRKLDGLKDLCLTTSRVTQNMMSEIGKMDILLYLKVTAEQIDHLVIDGGSFKSLRRLRFVVHKPNFMLPTIKEGGLPELVSLQLLCQSLVISGTEINKLNNIKEIVLHSGLHPQTRAILEEVTKTHPNMPDILLISNFNALCFGLGWG >Et_1A_008660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9625456:9626970:1 gene:Et_1A_008660 transcript:Et_1A_008660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDQLVVGNVVGDILDPFIKSASLRVIYNNRELTNGSELKPSQVSNAPRIEIAGRDMRALYTLVMVDPDSPSPSNPTKREYLHWLVTDIPELTDVSLGTEVVSYESPKPTAGIHRFVFVLFRQSVRQTIDAPGWRPNFNTRDFSALYNLGPPVASVFFNCQRENGCGGRRYIR >Et_10B_003328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18927311:18931179:1 gene:Et_10B_003328 transcript:Et_10B_003328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPVYRRVLKAVQKHVGGGASKQHFRDFVAAEFRAPVGSEADARARLRFAGDYAYLLTSVHHHKDLLFSYNIAVDRSDEMKKILNKSAASVGLQLPDMEQCEDLGGQYLRQVSQNFIFTVKPFTMTSLVRRNLIRGVFPLLQSIDGVLEPSNSSASGGALVFLGTIPGSLVDVMNRKMRVSDIEAEIIVLGFMMIAWDDNRDDTIGLERYAITCYVIMWILTIIVVRITITTAAWCICC >Et_5B_045019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8462329:8466336:1 gene:Et_5B_045019 transcript:Et_5B_045019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAPYRLGVAPGLFLLLLVVAAAALLAPATAAQPLWQVCGTTGNYAANSTYQANIKLLWTTLPKTTSSSVDLFAKASAGAVPEIVHALALCRGDANASACGSCVATAFQDAQQLCAHDKDATVYYDLCYLRFSNTNFLGSNENDISYGFMDSQNVSSPVPAFDAAVGTLLNATADYTANNSTRTFATGEEGFDSNYPAIYGLTQCTPDLSPADCQICLANTFRMLPYYFSGKKGGRVIGTRCNFRYEVYSFFSGSPSLRLPTPSSPAPAPPPPALMPTVTAKDKNTGNALKWGQRYNIILGIAKGILYLHEDSSTRIIHRDLKANNILLDDDMEPKIADFGLARLLELGHTLTQTAGVVGTL >Et_3B_029894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28948094:28955737:1 gene:Et_3B_029894 transcript:Et_3B_029894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSEEAAAAIARQFFCGLLYFGCHRFRSPASSLSALPPYRPPSLGANATVASIRAFRRRLLVSGLPPPHPPRSLSCAISSACCRCRLRVDGGAACSAVEGPHRRMSPALALKDTALDGVCYGLSYSISCCKKCDHGETSLVNNGDMDWLSMDTSPSSTPYGTPIFSRESSFSSFTSCFSSLGDSLIDSESEEEIELQDTGQLDPDSLFTDEFMEQRKESLIQVDEIELRHGASVDDRASFHIPADQNISYGQGASETHGDTTKENLDVTNNILDSNVSSEYHQDVLCNDQVTETKYGLSVEDSGLNQSDVIEIEEVTSLPMPGGEIIPLNEQVTDRLDSVKENTIVYNNILNTEPEMKPSDDIDYRNECINPQVVPSFDADSLIWLPPEAANKEDDVDIVSNDDDESDNNSTEWGRPSFSVDFAEKIKNSREDQLQKVMSEVMNGQFKILVSRFLAAEGFSLSEGGTDKSWLDIVASLSWEAALLVKPDANSGNAMDPGLYVKVKCIASGSCQQSEVINGLVFKKSAAHKQMRANIKHPKLLLLQGALGHCSAGLSSINSMQQENEQLEKTLADVIKKCHPDVMLVEKAVSRNVNEYIQKQGVTVVSDMNIHRLERIARCSGSPIMLLQDVLTKPNLIKQCESIRFEKLIEEHDITGEDGRRTFKTYLFLEGFPKPLGCTILLKGATSEELKKIKRALHFTVFAAYHLILETSFFADQKLFTTDRVTTGKENCFETDRQLPERKSDTIRHSIPTCDEQYVNMEELHHTESSGSLHLHDSKKNSRDSADGDLADTKAMESYSLLPVSHPSINSSRDISSSGCPEPTTSDGFDGLVLSAISNKVTAQKKDECGENCQDTVDDRKCTEMGVALNTQDILISMSSQHIRNQTVCEQSHLSRITYYGYFDTSLGRYLQDTLLNEKHICLSCGEPPESHMYSYTHHNGTLTVLVKRLPLESSLSGRGQGRIWMWTRCLKCNAKPTQRVIISSSARNLSFGKFLELSFSTHSSAKKLSTCGHLLHRDCLRFFGFGSKVAMFRYSSVEIYSARKPPLMLEFSNQTRKDWLDVEVNDVRHKWKLHFSEIENALRDLKSRYSSQDMCENTNISVYEKLLLEVASMLAQEKNEVEVSLMEFGQTVKPENCAHEILGLNWLYQQLFLSFYVWDVRLHHILWYIKVNSTSPDCIANESTEENEQKNSEDIALRDIPLVKDLGMEREEGTICSSTCFDNSCDGVLSDKAHLTNKSKVQEDESPLFPDHDARSSPTSSGDVSVLYTNDEQSAPSRANELYHVVIPRDDTRKWVWNKLSQVEMEYKKELQCGLLDKFHLINKYTPLSSSLTHHNHQIDLRYFIVGPGGNILSVSEEEISSIIAYALTISEQQGFYSEAAFVKDELVDGRKIAKLASSNVDRDASLLSSILSPSDSLEKNHNLLRNVSTLSSEESTSGFYDSFLSALKDLHPEICVNNEKISLKSKYTVVCIYAKQFRDLRKICCPSELEYISSISRCKSWNAQGGKSKVFFAKSMDDRFIIKQIKKTEFDSFLKFGLEYFTHFGASQVSSNPTCLAKILGIYQVKENRNGKETRVNFMVMENLLFGHNIIRRYDLKGALFSRYVPDSKNPEKVLLDQNFIEDMRTMPIYIEGRAKNLMERAIWNDTSFLSVCMPVASNQPPQYIVLFILQGYMSILLPLSLKSVCLMLQRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLVVPKNQTPTVISPREYKLRFRAFMS >Et_6A_047717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1104459:1107165:-1 gene:Et_6A_047717 transcript:Et_6A_047717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKLSRSATPQRQLPDDVLVDEIFTRLPAAAAVRFRSVCRAWNAALTSDDLVRARAAARQPELLFFMPSADQTSLGMYTCTLLRDGKAPSAARELLTVSNLSSTEHVVVMSSPRPCRGLTLILVGGPGRASSEYYYVCNLSTGEHVAVPEPCQQPAAAMGLDSASRRIGMGFHCRSPPWIPFQIESAGLGFDQATGEHKVVRLFKHRNGETTCEVCCIPFPCSSGQWRPCAGRVPPRAAGFVVAMPPVFLDDGHLYWLLDLNNQPAILSFSVGAEQFEWTVPPPPARRVCHLTGLDAATLCAAVDLRLEISKYVLFTWTRGSSSWSLRCCIDLQSLPKQVCDDFVEEWDVVPLCCCTAGSKGRKTIILLATGRHKVFAYDPGRRAMERVFSMHEFVDVPYRNRDASLRLNIVLHEEHIVNQQLQRRNLLHDVDQGGEKKKKLQIKLSGGNTIAKREVADFEHRDDHRFRYVGETPEMLLLSGDSRRCFLVGEKGMKIFDIRSRAADAELRKPRGNVPSARRRRGPSFATRSPASVSATPSTAGLLITGEMAPQTAAHAASSAKQSANSSPDSGSAQVSVAPSSLSKASPGRIGYANTMRCCGCPSGPAYRTRDCPCGRCCATGRRRPGAPCAVEELHGVSAACHRLVSARWMEVESARWVMSSLDSWSW >Et_2B_022089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:923482:925085:1 gene:Et_2B_022089 transcript:Et_2B_022089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLRYLAGTAGPSGFGSRTTAEEATAEIAGDLGHMTAIITGATSGIGAETARVLAKRGARVVVPARSLKAAEEARARLLADCPGADVVVLSLDLSSLASVRRFVKRFLKLGLPLNLLVNNAGKYADRFALSEDGVEMTFATNYLGHFLLTRLLLEKMAETARETGVEGRIVNVSSTIHSWFSGDDAVAYLDRVTRRKIPYDPTRAYALSKLANVLHTRALADQLKQMKANVTANCVHPGIVRTRLIRDRDGLITNTVFFLASKLLKTIPQAAATTCYVAAHPAVAGVSGKYFADCNEAAPSRLAASSEEAARLWTFSDAITAEKVQKMGVHAATGFRLQVQSSNADRGMALA >Et_6A_046151.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4842183:4842383:-1 gene:Et_6A_046151 transcript:Et_6A_046151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYRRWKCPCRKLPDVMFLAVKREQNATAHELTQLAKRTTHTAVWRGQVPRCIEHLIAQDCILIE >Et_2B_022619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2827029:2829999:1 gene:Et_2B_022619 transcript:Et_2B_022619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDWDMFHSLHPAGEYHGSARAISGGPVYVSDAPGKHNFELLKKIVLPDGSVLRARLPGRPTKDCLFTDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWSFAEKKTMFYQTGTDALTCGVKGSDVHLISEAATDPEWNGDCVVYRHASGELVVLQNGAALPVSLKVLEQDILTVSPIKDLAPGFRFAPIGLVDMFNGGAAVEGLTYHLLDGGKMLGGDDSASASEAVGLVCMEVRGCGRFGSYSSVRPRKCMLGSAQVEFSYESSSGLVILQLEDMPKERVHRIIVEL >Et_4B_038327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28123376:28133958:1 gene:Et_4B_038327 transcript:Et_4B_038327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EGAMDEEEVPHLPLDIMYKISKHISDPVSLARAASSCKLWHDVIKDSSFLDGLKKQHLDHGFTSVLLRILITYGSITRTKAFAWHPGSYRYLNFYNSLATKRTILQPDHCPLAPSCRVLVQTSIASQDSFLVLCHRSQDNEGNPRPDVVRVCNPLTGVVFCIPDLQYVPPDHYALLVTNDVSLDGRRSQSFQLVAVWIKGKKFIYLYYCSKTSAWWRSASTPELLPGLYLVSSPAAASHGCIHWLCGSWKSWALSHVVTLHVDGEVLSYLELPSEAKCSKEPLLAKSADGGLLLILMKGLQMLLWKHNGEPGSSTGNWLLSEMIDLTRSLPSRVLKMRASAKVRLEIFRGKSGVVVFWIEGEGLFCLGLSDRLIRKIDNKYRFCPYEVDWLSCLTVTNLVVDGSLSHDERIMENNVMLQLPMDIIYKIPSHLSDPASLARLASSCKFWRNLIKDPEFLDCIKKQRHTHGFTPSLLLGFFYQDSTEPPSHFQQHHKDKLSSLAPRFMPMSELSQFIGSKADKNAVEPPSLGTFMRGLGEKLNFYEPIASQDGFLALRRRVLDAPTQQYELSVCNPLTGEIVCIPSPLQESPDRYALLVTENVSRDGRTTQSFRLVAIWIKNRRLVNRAYCSKARGWNWYGGSPELMSGLYVMPYPASVSRGAVHFLCGSWENWTLTHLTTLHVEKQELSYLPLPPDARRNKAPLLACSADGGLMLLCLKGHQLSLWKHDNAVRLWFLAKTIDVASSLPPREVQIQARARVIRLESFHGKSGAVVLCLEGEGRYLFSFSDGSMRKIDNDNGRKNGFLCPYEIDWLSSLAIMNLVVDGSLSRDVGRKMIRGRWRIIENDNMLHLPTDIIQKILFNITDPASLACLSLTCKFLHNIIMDPSFLDCLSLRRHDHGFVPSLLLGFFNQEWTESPLHSLQRETDKWRCLASRFVPTSSFSFIGSKGCCVDLTPVTLDPFLRRLGGNLNFCNQAEPDRLFVCNPLTGDIFYIPTVQGEEPDQYALLVTEEVSPKGWTSQSFKLVAIWVTGKSICGFRSYSSKICGFQSYSLKNKRWAPSGPLPTLLPGLDVVLSPVAASHRSINFLCGNSINWTLTHVVTLHVDTGSLSYLELPLAPNCSKGHLLGNSADGGLLLLLLEDLQLSLWKHDSKLGSDSNSWVLSEKIDLASSLPLQVVQMWHRSRIMLDLFLGKSGAVVLRVEGEGFFLFSLGDRSTRKICDENHAKKYSLCPYEIDYLSCLDVMNLVTDIKDS >Et_1A_005767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13803971:13818208:1 gene:Et_1A_005767 transcript:Et_1A_005767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFSLSPHAPARPPPSRRPCHAKKEGGDRHPHARVPHSCSLHHPCSRGGGGAPRHELGFWTRALRLRLLPVVDARWFLHQPQSPARRPPPVGMDLPSLALVLRAALSHVPEERKAAEESLNQNFIAKNWSPNDPDESPKVLDSDKAMVRDNILGFIVQVPPLLRAQLGESIKTIMHSDYPEQWPSLLHWVTHNLELQNQIFGALYVLRVLARKYEFKSEDERIPLYHIVEETFPRLLSIFSKFVQIVNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMVLFINLLERPVPVEGQPMDPEIRKSWGWWKVKKWTIHILNRLYTRFGDLKLQKPESKAFAQLFQKNYAGKILACHMQLLNAIRTGDYLPDRVINLVLQYLTNSVTKNSMYQMMQPQIDIILFEIIFPLMCFNDNDQKLWEEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKGTLQKFIHFIVDIFRRYDEASADHKPYRQKDGALLAIGTLCDKLKQTDPYKSELERMLVQHVFPEFSSPVGHLRAKAAWVAGQYAHINFSDPDNFRRAMHCIVSGMRDPDLPVRIDSVFALRSFVETCKDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMASSEADDEADDSGALAAVGCLRAISTILESISSLPHLFERIEPTLLPIMRRMLTSDGQDILVPLDNYISRGTAHFLACKDPDYQQSLWNALSSIMRDENMEDSDIEPAPKLIEVFFQNCKGSVDRWVQPYLMLTIERLRKTQKPYLKCLLVKVIANALYYNPLLTLETLQNLGVAADIFAHWFAMLQEVKKSGARANFKREHDKKVCCLGLTSLIGLPADKIPADALDRIFKATLELLVAYKDQVAESKKRSEEDGDDMDGFDADEEDDEEVDSDKEMGLDDEDGDEVSSLHLQKLAAEARGFQPADEDDDSDDDFSDDEELTSPIDEVDPFIFFIESIQGLQASDPARFQNLTQTLDFRYQALASGIAQHAEERKVEIEKEKAEKANAQ >Et_3A_025551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30681782:30685108:-1 gene:Et_3A_025551 transcript:Et_3A_025551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLLPRAASFLDAAAAASTLLLSSPRMPALRLSGPALAARPTSNPRRFRCPGWLRYDGARTGLCSIQAARRGGDAEDERQKVGGRGGSFAVSERRQRGSGELLAIPGVGPRNLRKLVDKGFDGLAQLKQLYRDKFFGKSNEKMVEFLQSSVGIIHKNHAESITLFIKESVDEELKGTGTSKLPKSRRLTFCVEGNISVGKTTFLQRIANETIELRDLVEIVPEPIAKWQDVGPEHFNILDAFYAEPQRYAYTFQNYVFVTRVMQERESASGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRATPDTCHKRMMIRKRSEEGGVTLDYLQGLHEKHESWLLPSKGAGSGLLSVSQLPDHMEGSLSAGIRDRVFYLEGDHMHSCIQKVPALVLDCDQDIDFNKDVEAKQQYAQQVVDFFEFVKKKKESPTAETGDGEKSVNKRIMLPHGGGLWVPGNSPMPESALKSFDFRRTMSSVLST >Et_6A_046965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23287460:23298893:1 gene:Et_6A_046965 transcript:Et_6A_046965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRLVAAVGQSSPDSTATASAAPNTAGDGVTELSASAIVAETVTGSHVLTIHGYSQTKELLGTGQSVSSGKFTVAGHRWVIEYYPNGQSPATADWVSVYLKLERRGTDVKARVRISLLDKDGVPVPSYTYPRRSGLLPATSYTANKLSWGVPVFITRKALEASDYLIRDDDCFRIRCNITVLKEIRTEDRDAGTIVVPPPDLGRDLGHLLSGGQGTDVSFEVAGEKFPAHRNILAARSPVFMAELFGPMKETTATCVCVDDMEANVFKALLHFIYTDSLPEIDYDDMMIMAQHLLVAADRYSMERMKVICEEKLRSYIDVTTVGTILALAEQHGCHGLKKACFQFLMSRSNLKAAMATNEFGHLTSSCPSVLYELLAKHSASAIVAETVSGSHVLTIHGYSQTKELIRNGQCVRSGAFTVAGHRWFIDYYPNGDSPATADWVSIYLKLERRRTDVKARVKLGLLDQDGEPVPAYWYPKNTGQEVGQVCSYTVDGEGWGTRRFIERKALEASDYLVKDDDCFSVRFDVVVLKEIHTEEQDAGDAACSWASSIVAPPPDLGRHLGRLLSGGEGADVMFEVAGETFPAHRYILAARSPVFMAELFGSMEEKDAACIRVDDLEARAAMATDGFGHLTSSCPSVLYELLANSSTPTAVPDLSASAIVANTVSGSHVLTIEGYSQTKELLGTGRCARSGTFTVAGHRWFLVYYPNGEIPETADWISIYLNLARPIKDVVKVRVKLSLLDKDGEPVPSYSYPNTTRPATSYSANEFSKGYARFIKRKALEASDYLVRDADCFKIRCDVVVFKQISTEERDAGAIIAPPPDLGRHLGRLLSGGIGADVTFEVAGEMFPAHRYILAARSPVFMAELFGPMMENAASCVSIDDMEARVFRSLLYFIYTDSLPEIDNEEDVTVMAQHLLVAADRYSMERMKLICEEKLRGYIKASTAAMATDGFVHLTRSCPSVLSELLAKAS >Et_4B_036675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10273080:10277361:-1 gene:Et_4B_036675 transcript:Et_4B_036675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHGSSRHMSASQKELGDEDARVVRVGDAARTNERLEFAGNAVRTAKYSPLTFLPRNLFEQFHRLAYVYFLVIAVLNQLPQLAVFGRGASVMPLAFVLIVTAVKDAYEDWRRHRSDRAENGRLAAVLGPGAEFVPTEWKHVRVGDVVRVVSDESLPADMVLLATSEPTGVAYVQTLNLDGESNLKTRYAKQETLATPPDQLAGAVIRCERPSRNIYGFQANLELEGETRRIPLGPSNIVLRGCELKNTAWAVGLVVYAGRETKAMLNNAGAPKKRSRLETHMNRETLFLSAILIVLCAVVAALSGVWLHAHHEDLELAQFFHKKDYLSKERNDNYNYYGIAAQIVFIYLMAVIVFQIMIPISLYISMELVRLGQAYFMIRDARLYDASSNTRFQCRALNINEDLGQVKCIFSDKTGTLTQNKMEFRCASVDGVDYSDVARQQPVEGGRIWAPKISVNTDTELVKLIRDGGDTEQGKYTREFFLALATCNTIVPMIVDGPDPTRKVIDYQGESPDEQALVAAAAAYGFVLVERTSGHIVIDVLGEKQRYDVLGLHEFDSDRKRMSVIIGCPDKTVKLFVKGADNSMFGVTDKTLNSDVVQATEKHLHSYSSLGLRTLVIGVRDLSQEEFQEWQMAYEKASTALLGRGNLLRGVAANIERNLRLLGASGIEDKLQDGVPEAIEKLRQAGIKVWVLTGDKQETAISIGYSCKLLTSEMTQIVVNSHSRESCRKSLDDAVSMVNKLRSFSTDPQARVPLALIIDGNSLVYIFDTDREEKLFEVAIACDVVLCCRVAPLQKAGIVDLIKKRTSDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNATFVFVLFWYVLYTGFTLTTAITEWSSVLYSVIYTAVPTIVVAILDKDLSRRTLLKYPQLYGAGQREENYNLRLFIFIMLDSVWQSLAVFFIPYLAYRKSVIDGSSLGDLWTLSVVILVNIHLAMDVIRWNWITHAAIWGSIVATWICVMIIDSIPFMPGFWAIYKVMGTALFWTLLLAVTVVGMIPHFAAKAFREYFTPSDIQIAREMEKSLDFQDATHPEVQMSSVSRA >Et_6A_047986.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2799770:2800732:1 gene:Et_6A_047986 transcript:Et_6A_047986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGLGAVVDDGRSPACGEAAAAAIEDLPADVLALVLRRLDGASLAALGCACSSFHDLATDPATWRGLCLAMWPSVRDVPCCVGGHRALFADAFPFPSPSPPVDSARASLPERLVSAVDLHHGGACIISRVVETDTSSAWFRGSPFRVDALVQEGFSAPTPITPSELTLSWILIDPATGRAVNASSRRPVSVERKWLTGDVVARFALVLGDGGGVALDAAVTCDERHGHVREVSLCAEDADGGGVSGLDGLSVITAAMAGPRQGLRGTEDEAKRRYSEFVKGKRARKEWKARREGMLDLCCSGLGAVAFVSFLVMLMFR >Et_1B_012316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30849350:30854404:-1 gene:Et_1B_012316 transcript:Et_1B_012316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVEQWLEKAALPLFRRRSLAAGSPVAQEVLGIQLNLMSRVKPTSGMPPYHHRPGPSPGQGPIPAHEMMHREIRDPYGQGMHLPPPGHGPGPFPYDMLPPLPPPEVLEQKLVAQHGEMQKLAMENERLAASHASLRKELAAAQQELQRLQAHGDAAKAAEEQEMGKLLERIAKMEADLKACASLKAELQQAHAEAQSLAAVRQNMAADVQKLSKDLQRNLGEAQQLPVLMADRDAARQEYQHLRATYEYERKLRVDHSESLQAMKRNYDSMVTELEKLRAELRNTASFDSGVMYNANTAQKDVGTSGHHSSVGQIAYDGRYGGAQARTTPTGIADALSGSQAGTAPRSGFDPSRSNPYDTSRIAGISSSKAEAHDVSRAGTGYDSLKGAGYDASRAPATGGQAAATASHGSSVGYYGSNQTTTPPYAWGQSASTYGSVQVPPSGSVQSSYGTTAARPYSSAQTLPSYGQTQAPSAYGHLQLPSSYGLAQAPPPFAAAQGSSPYGLAGQPPAHGIGRAAANAGNNYEAPHGRK >Et_1B_012639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3393560:3394623:-1 gene:Et_1B_012639 transcript:Et_1B_012639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTRHDARLEHPETIPGQEQSWTACVSRKQEIHQVVLSFLMPRLLMQMEATPRHLMRTISIWYFANLVCAHTHYKLVFVVNDHRLQFIRRRRSAIPNAPPATPCATNKGHNHEPAGDGRRSPVLSLK >Et_4A_032748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13356669:13358739:1 gene:Et_4A_032748 transcript:Et_4A_032748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEWSMPKEAAYEMISDELKLDGNPLLNLASFSTTWMEPECDQLIMDAMNKNYVNMDPITTQLHNRCVNMIARLFHAPLGESEAATGVGTVGSSEAIMLAGLALKRRWQNKRRAEGKPYDRPNIVAGANVQVCWKKFASYFEVELREVKLRDGCYVMDPEKAVAMVDENTIGVAAILGSTLTGEFEDVKLLNDLLDEKNRATGWGTGIHVDAASGGFVAPFLHPDLEWDFRLPLVRSINASGHKYGLVYPGIGWCVWRGKEDLPDELVFHINYLGVRQPTFTLNFSKGSGQVIAQYYQLVRHGFEGYRKMMRSCRDNAVLLEEGLQNTGRFDIVSKGDDGVPLVAFSLVRDPGRGYDEFDVSDALRRRFGWVVPAYAMPPDARHVTVLRVVVRADFTRATAERLLLDLDKVVRQLDDALFPSSKLTTLPAVPLVPPAPRANGVVRMGTPTTTSRISCWRRIMRGTSFCARRQAQCLLSLPVPRLC >Et_1B_012870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3729946:3733355:1 gene:Et_1B_012870 transcript:Et_1B_012870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKFGSFKAEKGDSTAAASATTQRRDPYEVLGVGKNATEQEIKSAFRRMALKYHPDKNANDPVASEKFQEATFSYNILSDPDKRRQYDSSGFEAIEADGQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNASVEISQLELGKSVCRKVEKQSAHFYSVDITDKEAKMGLVCRVHSTTKSKFKLLYFEPEENGGLSLALQEDSAKTGKVTSAGMFFLGFPVYRFEQNSSAATAKDPDSAFFKRLDGFQPCEVNELKGGTHYFAVYGDNFFKSASYTIEVVCAEPFSAEKERLRTVESKILAKRSELSKFESEYREVLAKFTEMTSRYAQEMETIDELLKERNVIHASYTSNPTLQRSSSSGKGKSSSKGSKSDGDQTVKKEKKSKSQPMEGSQSDEEGSKNKKGKKSKDRTPSKKWFNIPLKVDKRRPC >Et_7B_056031.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:9778435:9778908:-1 gene:Et_7B_056031 transcript:Et_7B_056031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METQTSRRPRLHVASLFLLGLLIVHASVAAAEAAALACPADQASALLRLKRSFHQPFLPSWRAGTDCCRWEGVSCDAASGRVAALDLGGRGLQSRRRGGLDGSLFHRLVTLRRLSLAGNDFGGTTLPASGLERLAELTHLNLSNAASPARYPLASAA >Et_1B_010018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18612502:18613512:-1 gene:Et_1B_010018 transcript:Et_1B_010018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVLGADAAVGEEPPLPVLSAQVTELADGVFVGVSLNHSVGDGTAFWQFFNTWSEIHRLGIGEDDLLPEWFLESSPVPIPLPFRKLRHLIRRAESVRKLKARANAEMAGAGTDTTDSTISSLQAVLAHLWRAVCRARRLAPEQATSYTIIVGCRGRVRGVPAAGYISTAGEVEAKGLGGTAWLLNRAVASFDEARVRETLERWVEAPDFAYAGRLSSLGTALVTGSSPRFDVFGNDFGWGKPLAVRSGPGNKVNGKATVFEGPGKGGSISLEVCIAPDALERLLADAEFMDAVTKPAA >Et_3B_027599.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:30806810:30810814:-1 gene:Et_3B_027599 transcript:Et_3B_027599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDDAIRSKEIAERKFRENDIVGAKKFALKAKALFKPLEGIDQMILALDVLIRGQRKIGEENDWYGILEVSPLADEEAIKKQYKKLVLQTHPDKNNSICADGAFNLISDAWSVLSDTTKRMVYNQRRHMCRPQVHQSNYNANVNSTSGSSMPSVNSLWHQNGVPDRPTKVPPHFAHVVPDTFWTYCESCFVNFQYSREYVNRHLKCTVCQAVFVAVEVPPPSSPVYPNGPKPMATDSSIGDTAVPDIATLGIQVEVAPGNANYDPTVLQHWSFLKSATYAQSTRYPVQQMHESARKQEAGKAGAAENEKANIRRKVMQAARKHAHAGSSVGRANAATREHEAAKRRRVNDGKQASWQTASSFPDGDLLKPTRPAKRKSRSTTETSGAKKHKISSVDLKCESSSNAGKTSFGSVLMQLDIRGILIENTKLQLREKLKGFNGKEDKVKSKENMHLSKKSSKHVACGAAIDVNKMKMKKSSNSVHPKEADAPELVSKSVDPEEKQKEKSSKQISSEEKGKLCQWRSREVHMVYTRRNRKEHKKEQTDETTDASSATEQHLVDKHGCLNPEPSSDEGTSEMPVPDADFHNFGDHPESSFQNDQVWAMYDEEDGMPRYYALIRKVLSTDPFKIRLAYLKANDCNEFGAAKWVSCGYSKTCGEFKAGVSKDIEQLNAFSHKVNCEKGPGGILRIFPKKGDIWALYQNWSRGWDEFTPDETMYKYKLVEVLDSYNPTDGVSVMPIVKIPGFVSVFKPLHDATKSWRVPR >Et_9B_064540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16363324:16363679:1 gene:Et_9B_064540 transcript:Et_9B_064540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLAPSASSEPEPPFRPREKVLEKQRYFQSVHKPTYLKGRYDVITSVAIPLALAVSSMYLVGRGIYNMSHGIGKRE >Et_3B_027867.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23736196:23736405:-1 gene:Et_3B_027867 transcript:Et_3B_027867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WRWRRRRRGGRGGRLGVCVRARGAAGAGVEHVGDEEGQGGGPLRLHPPRHPHRHELRAQAAPRPASLPHL >Et_7B_053477.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:21778651:21778818:-1 gene:Et_7B_053477 transcript:Et_7B_053477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELLFSPIPSLYHAHAQAPKLARAQIFIWGNFVQGCRESEVFPSFPKNLFPDG >Et_4B_039538.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2105096:2106874:1 gene:Et_4B_039538 transcript:Et_4B_039538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSVLPAHQDDMEKGGGKHPPSRLCFLATLAAMFWVLIFYFHFAVMSDDPEASTARTVPVRIARPGRPFRVPDGASRAELPPAPLARVSEPPPPAARPKVVEESAREPPPKVSYPFERALKTAENKSDPCGGRYIYVHDLPPRFNEDMIRNCKRLSVWTDMCRFMSNDGLGPPLGNEEGVFSSTGWYATNQFSVDVIFGNRMKQYECLTKDSSIAAAVFVPFYAGFDVARYLWGYNISTRDAASLDLVDWLMKKPEWKVMGGRDHFLVAGRITWDFRRLTEEESDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPSKDADVFQWQDRMRSLERPWLFSFAGAPRPGDPKSIRGQLIDQCRSSSVCKLLECDLGESKCHSPSAIMKMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYVQYTWHLPKNYTRYSVFIPEDGVRTGNVSIEERLKSIHPDVVKKMREEVINLIPRVIYADPRSKLETLKDAFDVSIDAIINKVTTLRRDIIAGREDKDFIEESSWKYDLLEDGQHTIGPHEWDPFFSKPKDKGGDSSSSSAEAAKNSWRSEQRGRN >Et_4B_036929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12770513:12772167:1 gene:Et_4B_036929 transcript:Et_4B_036929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSDRGSVATAVSTAAATDKLLHGPVSGKKIQKNVPRKVHKAEREKLKRDHLNDLFIELGDMLDEDRQNNGKACILADTTRILKDLLSQVESLRKENSTLQNESHYVTTERDELRDETSALGKEILELQNELRMRLSSNSGWGHGAAESDSADAVFPLPQQVQPPSMTSPVIPLQQPLPPQTVIEIPYAATPRELKLFPKAGFEPAEGQEATNHVARPQARYPTQAASWTVSLFSGLPGMEEEQCSSNTTGSSKEASTGRD >Et_5A_040796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12731131:12734373:1 gene:Et_5A_040796 transcript:Et_5A_040796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLSVIVVALLVAATCGGASACERCARKGTAAYSPSMSPLPHGGGVCGYGAMAAEINGGFFAAGGPRQHRGGLGCGRCFQMRCRDAKLCSSEGVRVVLTDFHRSNRTDFLLGGPAFAGLAKPGMVHELKRLDALSIEYGRIPCDYKDKNLSILVEEQSKLPSNLVVKFLYQGGQTDIVAVDVAQVGSSDWRFMTRVYGPVWSTDRAPTGPLQFRAVVTGGYDGKWVWAEQEVLPADWQPGQVYDTGVRIADVAREGCQGCAKLDWKGKEKAWEEDNLTAASDSVRGTPGLTGKVDIDMGSSLLSWKPTSTLQGKLIATRGT >Et_4B_038735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4450274:4451238:-1 gene:Et_4B_038735 transcript:Et_4B_038735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQEEERLLFPSSFAFVPESFPEADTAGPGGEQKKARQRRRRKPKPAAADGEGGDEQAKKRRLSDEQAQFLEMSFRKERKLETPRKVQLAAELGLDAKQVAVWFQNRRARHKSKLMEEEFSKLRAAHDAVVLQNCRLETEVTIPKRLASRLIKHGGVVNCCCARVSFINFLAAANLMDACVDVQLLQLKDRLAEAEEEKMKLLAATAAATGGAGSSSPSSSSFSTVTNPAALVGQQFGVEEEAAADLSYMSDYAYNNYMMDFATAAGGYFGGVYDHPFN >Et_2A_016119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21123752:21128321:-1 gene:Et_2A_016119 transcript:Et_2A_016119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSAPPVAAARAARTAKPLLQAPSSSTPTRFPHLSMSTSSSSPRAPRLAAAAGATPSLLTADPSHREAVLLAARAATGNCLGETRLDLAVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFNGTRHITPNAVVSSPDKNVTIAKRCSVFPVEFVVRGYVTGSTDTSLWTVYNKGVRNYCGNALPDGMVKNQKLSANILTPTTKAADHDVPITPDEIIKSGLMSKDDFDEARSKALSLFAYGQQVAFENGLILVDTKYEFGKTSDGTIVLIDEVHTPDSSRYWIASSYEERFRSGLEPENVDKEFLRLWFKNNCNPYEDAVLPEAPEELVCELAWRYIFLYETITNTKFEIPETQEPIHERISRNVAKALQNL >Et_1A_007683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37161513:37164835:-1 gene:Et_1A_007683 transcript:Et_1A_007683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTALVTERGIDFSNGAGRLCGLGYASVFTALSPLPCHCNQMEVQEGRKGIPSLLSSHGECIASNITQLIGWTPLLELKNIAKKDGIDARLIGKIEPYQPLSSVKDRSALRLIEDTEEKGLISPGITTLVAVTSGNLGIGVAFVASQKGYKFVAVMPAKVAIDKQILLRYLGIEVILVDASINGFKGLLDRVEQLKKEIENVYVVDQFTNPANPDTHFRWTGPEIWKDTEGKVDIFVAGSGSGGTLTGTGRFLKMKNPSVKLICVEPAESAVISGGESAFHNIQGIGPGFVPETLDTSQIDEIITVTTQEAMDMARRLAREEGLLVGISSGANAAASREENRGKMIVTMFSSGGERYLNSELFAQVEECVDMNNTF >Et_9A_063562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7402288:7411887:-1 gene:Et_9A_063562 transcript:Et_9A_063562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRTPTKTPSPRPLAGNVTPTKPSPSPVARRHPPLRRRSAAKRRGSPLKSLASAPAAVAATFDRSLRSCRRRLLKLFARLAVLGSPRKRRAVAAGFQRLRSPSPPPPPPPAYQQKAPVRAQSAALPPPATPGRRTLFLDLDETLIHSQTDPPPARFDFAVRPVIGGQAVTFYVAKRPGVDAFLRAAAERFEVVVFTAGLQEYASLVLDALDPDGEVFAHRLYRGACRDAGDGRLVKDLAATGRALDGVLIVDDNPNAYALQPENAVPVAPFVDDDNDQELQRVMAFLDVTAGFHDTREAVKYYKELMTENAYQNKERLPIQMAEQENVMQESTSDLEEGRRGGVQGGRDNSEQDGTSDRSMFSVQFVQKILAEFIGTYFLIFAGCGVVVVNQTMGGVVTLPGIAITWGFTVMVMIYTVGHVSGAHFNPAVTIAFAAVRRLPWKQVPAYVTVQVVASLAASVTVQLLLGSAQEHFFGTVPTGSALQSLVLEFIISFYLMFVICGVATDKRAIGEISGLAIGATVLLNIHFAGPISGASMNPARTIGPAIIANHYANIWLYIVGPICGTVAGAWAYNLIRFTNKPLHKIIKTTPFFRIARDNAEPADLRLGGLPSQELGQDSNGSGSSDVLSPLIIE >Et_4A_034591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4669708:4672123:1 gene:Et_4A_034591 transcript:Et_4A_034591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRTKRPEWKSLMPLQLSRKSAMRFFLFPKVQSAVQSPDDTPVYLNVYDLTPMNGYIYWAGLGIFHSGIEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFRFRKSILLGTTCLDPIQVRQFMELQSVNYNGDTYHLITKNCNHFCKDMCFKLTGNKIPKWVNRLARIGAICNCLLPESLKISPVGHDPNSQSEDSDKRRLRNPFSCFSSISSQRQLPSSSPFPPSPVKDRLPHSSSRKSSTTSLRHR >Et_6A_046166.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:6800676:6800960:-1 gene:Et_6A_046166 transcript:Et_6A_046166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLAARSKQALAYVVMTLLIALFTASVLADKDGNHCKEWPCDPNATTPDTCWGRCGVEGIKGVGICVLTYGVTYCCCVDTNLSQIHVQQQLVH >Et_1A_005154.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:29789243:29789578:1 gene:Et_1A_005154 transcript:Et_1A_005154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKVTTGSREAEEIKRRNAELERAVAEAAAREERLRRELEAALARLAVAEEAEERLCVQLGELEAEAMMQAVEYHEHVRALSERLAFADGVLGSTSSGLRSVAAGVGGNR >Et_4B_039304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9854271:9858315:1 gene:Et_4B_039304 transcript:Et_4B_039304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPSLKLPATAAAAASPRTAAASRTCGLRGTCVRPTQRLRCSAAAAAVGASTEMSAPLNWAERALKELSSLPDKDTFCLMSLSPLDGRYDRFTKDLMPFFSEFGLIRYRVLIEVKWLLKLSQIPEITEVPPFSEEAELFLDAIIQNFSIDDATEVKKIEQITNHDVKAVEYFLKQKCSSNPEIAKVSEFFHFACTSEDINNLSHALALKEGVDTVMFPAMVDICKAICSLATQNAHHAMLSRTHGQPASPTTMGKEMANFAARLSNIGKSFSEVKILGKFAGAVGNYNAHVVAYPEVDWPKVAEEFVISLGLQFNPYITQIEPHDYISKLFNIFTQFNNVLTDFDRDMWSYISVGYFKQIPKAGEVGSSTMPHKINPIDFENSEGNLSLANPILSAMSMKLPISRLQRDLTDSTVLRNLGVGLGYSLLAYKSTMRGISKVQVDESRLAEDLEKTWEILAEPIQTVMRRYGIPEPYEKLKELTRGQAVTKDSMQKFIDGLDVPEEVRSKLSKLTPHSYTGLAEDLARNIDKWIDLESGFKTK >Et_3B_029456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25117729:25121121:-1 gene:Et_3B_029456 transcript:Et_3B_029456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPADMVSGRKNWSGECKNLWRIAGPVILTQIFQFGLGFVTSAFVGHIGKVELAAVSIVNGVIEGLAFGLLLGMGSALETLCGQAVGAGQVQMLGVYMQRSWIICLATSLVLLPLYVFTAPVLRLLRQSPAISSVSGRYARWCAPQLFAYAVNFPIQKFYQAQSRVWVMTAISGAVLAAHALLNWVVVARLGHGLVGAALVGDVSMWVLNAAQLVHVVGGWFPEAWTGFSRKAFVSLGGFIRLSIASAVMLCLEMWYFTAVLILVGLLSNPEIQVGTISICMNYQLWTLMVAVGFNAAVSVRVSNELGANHPKAAKFSVVVATTTSAAIGLIFTAIALAARKQMPRLFSDDDVLVKETAKLGYLLAATIFLNSIQPVWSGVAIGAGWQSLVAFVNIGCYYLVGLPLGAVFGFKLKLSATGIWAGILIGIVLQTIILFIILARTKWQKEAMLAEERIRTWGGNIELPSIQETR >Et_5B_044821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6205621:6206688:1 gene:Et_5B_044821 transcript:Et_5B_044821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRLSPLAGSAIRGRGIHAVPLRPSLGSTARPCRNVVIFSSAGKDGEEAAGRETPEEMRKRLAELDALLEGLTEPKMRPPAPPPPPDVYFERETMTRQGSTDEMPEFSPTYVAFSTLALVILTIFTNVMFELYIKPTVDGVNQPERVQRVPMVNPADRQFE >Et_1A_005918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15531203:15534579:-1 gene:Et_1A_005918 transcript:Et_1A_005918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAGDERCLDPQLWHACAGGMVQMPPVRSRVFYFPQGHAEHAQGGGGGGADLAAAVGPRALPALVLCRVDGVRFLADPDTDEVFAKIRLVPVAPGEADLRDPDELDPAEARDKLSSFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYRADPPVQTVLAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTELGELCVGIRRAKRVSCGGMECMSGWNAPGYGGFSPFLKEEESKLMKGPGGYMRGRGKVKIADVVDAASLAASGQPFEVVYYPRASTPEFVVKAASVQNAMRIQWCPGMRFKMAFETEDSSRISWFMGTIASVQVADPIRWPNSLWRILQVTWDEPDLLQNVKCVNPWLVELVSSIPPIHLGPFSPPRKKLRMPQHPDFPIDGQLLNPIFHGNPLGPSNSPLCCFPDNAPAGIQGARQFGLSITDHQLNKLRLGLLQGSGFNRLDTVTPSSRISKGFVITSAPVHESVSCLLTIGTPQGAEKPDDKKKPHIMLFGKPILTEQQMNSRSSRETFSPEATGNSSSNGNVSKAANVSDGSGSSICIGFSSQGHEASDLGLEAGHCKVFMESEDVGRTIDLSVFGSYEELYGQLADMFGIEKAEIISHLRYRDAAGAVKHTGEEPFSDFMKVARRLTITEGSEGRLQKPLMECLVERA >Et_9B_064806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18832759:18833354:1 gene:Et_9B_064806 transcript:Et_9B_064806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEAEAAKTKPSLMDKAKNFVAEKIAHMPKPEATLDSVSFKSMSRECITLHSNVNVSNPYDTRIPICEVTYTLKCAGKVVASGTMPDPGWIAANDSTKLEIPAKVPYDFLISIIKDVGRDWDIDYVLEVGLTIDLPIIGNFTIPLSTSGEFKLPTLKDMF >Et_3B_028556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16820848:16824621:1 gene:Et_3B_028556 transcript:Et_3B_028556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGEKAIFTIPPKFALTKSAYPASIPWNIPPNQTLLFDIELISFTTDILGDQGILKKTTKIGAGDQHPSDSDEVSVDYDAFLKDGTFVSKSEGVEFTLAEGFFCPAFAHALKTMTEGDEAFLVIKPEYGFGDSGRPSMGNETAVPPDATLHVNLRLMSWKTVSHIGENQEIIKTTFGRAKFQGSSSARAGESMKENFVAGLKEAIMSMVEGETASVIIPPHHAFGTVGSNQFQLAVVPSNSVVIYEIELVSVEAFS >Et_7A_052487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8527625:8528642:-1 gene:Et_7A_052487 transcript:Et_7A_052487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDQRLEWLWRNCNETYDAAKKGLQTNYYGAKHHFRNEELKQELNNVDNITEERLDVLLDMFLKDFEAGAVDTRGWPAAFSAYKVAKAALNAYSRILARRHPELRVNCVHPGYVNFEHTLFTYSFCHINQLSIDIHVTMFPKNLKTIM >Et_2A_016218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22155479:22156511:1 gene:Et_2A_016218 transcript:Et_2A_016218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ANVSGCRKDEFSDWPQSLLAIGTFGNKQIEEVAQSSSGNEQTMQDSVKFTEEEVDNIRKEFEVLLEGNDAAEGHGSCGDEQGASQKHVGEDDNEKRREQLINKEIVISKAREILGKKCSARKPRSVASLLRLFVCKGGFPPTVPEPRNSFPQSRMEKVTRRPLDWKLDEREINECLEDALRDLDDDDGAKWVKTDSDCSKGLGKHGGVRGDDK >Et_10A_001656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6522633:6527476:1 gene:Et_10A_001656 transcript:Et_10A_001656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGPPAKRRKMTPSVGGEGPSLPGSRMLHQTVLVMLFLVRVGARSTDAVTGLTASLSQISSIVQTHVDRALHQCYKMISSKLERVETKLETVESKLEIVQEQVQGLRHETKQMARICPNRHADQHNRVEPTQEHDTANGSNANIRLCFLNYLKPPVYTDKDIADEKNSAIKVAVFEGDKKITTGALSKAKIEILVLHGGFYNKYQDNWTEEEFEKHIVLGRDEQALVLGSVQLINGEAELSQIRFKEGSCRKKFVMAARVCKTKQNAGRVLEAIMKPVKVKDRRNEPNEKRHPPRLGDEVYRLEEIAKDGPYRTRLLEAGISKVQGFLKALNKDPIKLRKALVDKYKEHAYESLEDIPFDYVMKGNVPERISAGTDIDAGPSVPAVGSSSQPIASDEHLAAYQGTGTAENWPHSEMNPVTGPMYTNANYVPMNTHDQGQINSQFDQQQIVPPSIDPDWQQIPHGYMHSSDQFESETMFNYYMLQQPSVASTSAPPIVEPPQYLPELHETVPFAAAPEWTTATTAQPSLEEDERGRGPNCSAFPGSGRSNDCESSLQQQQLLALGITSDYL >Et_5A_041082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1828889:1829970:-1 gene:Et_5A_041082 transcript:Et_5A_041082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRAVQHAVRQGRSQAWPALPAAVSHFSSTASNPLQSQRLAGKVAVITGGASGIGKATAEEFVRNGAKVILADVQDDLGHAVAAELEGAEYTRCDVTDEAQVAAAVDLAVARHGRLDVMFNNAGIVGSLARPALGALDLADFDRVMAVNTRGVMAGVKHAARVMVPRRAGSIICTASIAGVMGGLTPHPYSVSKHAVVGLVRSVAGEVARAGVRVNAISPNYIPTPLVMRILGTWYPELGADEHKRIVEEDVNEMAGLVLEPADIARAALYLASDESKFVNGHNLVVDGGYTVGKVPNMPPPAQ >Et_2B_019099.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:8696544:8697113:-1 gene:Et_2B_019099 transcript:Et_2B_019099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYCMMQHAFTLNSMTSPSMAMMMLQQSWAFPYQQCGMQMMMPSMMTPPQCHCGAIWQQSMRMVMQMPLMLGTMTPSAFINQTFIRGSFSQHPFVFFEVKQPFVGGALFQQPFVGCSF >Et_1B_010507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11132561:11134664:1 gene:Et_1B_010507 transcript:Et_1B_010507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCGRRELGFSAATSPPSSLASSTHPVFARRHHHDLLNLSADCGTAAIAPVSGRRKTREPKEENVTLGPTVREGEYVFGVAHIFASFNDTFIHVTDLSGRETLVRITGKEPSTSDVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIGRFIQGPNCFPMFY >Et_3A_023465.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29243477:29244004:1 gene:Et_3A_023465 transcript:Et_3A_023465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPIHAYPLPALPTFPIIITASAPTASPPPRNRAPLLALPWHDSAPELPQVDLHDGAVLDAELPPPAAPEAPAPAIPVPPAALAPPVAKRFSERLTKKEPALRLDMITKAAQRRALKDSLNPCSRELKKHVNKRGLLDKNKKPIQPKDLRKLVSVAGLGPDAAHAMDTVLSAHE >Et_3A_023004.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:15681578:15682399:-1 gene:Et_3A_023004 transcript:Et_3A_023004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYYPKAIEKEHRPNDYNHILHLFHMYLRPNRKLVDGHRFLGRKIPFFSLANLKTGCRHADSNQNVSQDPWQDCSQRHHQLIQCRRAVQYHQAGVRFKKKLFDSNNPHSLLDISYENGVLEIPYLFIDQSTVSSFRNLIAFEQTCSLIGNDVTAYAAFLSFFISTPDDVALLAHKGVIVHQLRTDSEVSEIFTKLGKNVDFDLNGSHFLKHICHSLEEHYQSRVNRWMAWLWQNHFSNPWLILAVIAAAIVLFCTILQTLFSLLAYLKPANGK >Et_4B_038061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25930242:25931349:1 gene:Et_4B_038061 transcript:Et_4B_038061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFASYLAMKTQPAAEAARSLIYADLQDLGVAARKLAIHALGLGGDLAWDISSFLKCLAFLAAVYLLILDRTHWRTNILTALLVPYIFFTLPNELFYLLRGEIGRWIAIIAVVLRLFYPRHFPEWLELPGAVSILVAAAPNLVAYTFRADLLGELVCLIIGCNLLQEHIRASGGIKKAFKKGKRVSNTMGILLLFIYPVWALVLAFM >Et_4B_037196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16373824:16377446:1 gene:Et_4B_037196 transcript:Et_4B_037196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEIAERFAFDGVWFNLISYLTGPLGEGNAAAAAAINAWAGVSQLLPLLGGALADNCLGRYRTIALASMLYVLGLGMLALSTLLSTGECTATSSAGGKTCSPSNLQVALFYMSLYLVAVAEGGFKPCLQAFGADQFDPSDPSRSSFFNWWYLGIRAGGTVTYVVLSYVQDNVGWGLGFGIPCAITACSLVVFLLGTRTYRYYDEKVTSGGGGGPPRQASDCKDAKAVLRLFPIWATCLVYAVALAQSSTFFTKQAATLDRRVGNLKVPPAALQSFIGVTIVAFIPVYDPRIGVGMLLSAVCMALAALVEARRLQLARDAGIADNPGATVPMSLWWMVPQYVLFGVADVFTVVGLQEFFYDQVPDTLRSLGLALYLSILGVGNFISSALVSAIDRATAARGQSWFDNNLNRAHLDYFYWLLAALSAFELLAYLFFVATYKYKKKGALHADCLYSEFPLYQVLENSFVFKRRVCVRFVLTFVRLMEPKTAGTGPAVANGSNPDLLEIKIPHPFVPSFHITTDRERGRRGRMAEASDGGGD >Et_8A_057719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6473144:6476939:1 gene:Et_8A_057719 transcript:Et_8A_057719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGLSTGLVLQLPFFRPRRSVPVKSLLSRRTVAGAAAGNYFAGTEVYNRKGYQQFLSSKKSGRLQAAVLPVAPPILDDEEKRKQMSEDYGFSQIGEHLPDDITLKDVMDTLPKEVFEINDVKAWTSVLISVTSYAMGLFFIAKSPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSRNKLVEDIVGTLAFMPLIYPYEPWRFKHDRHHAKTNMLIEDTAWQPVWQKEIETSPFLRKAIIFGYGPIRPWMSIAHWLIWHFDLKRFRPNEVPRVKISLACVFAFMAIGWPLIILKSGLAGWFKFWFMPWMMSTFTMVHHTAPHIPFKSSEEWNAAQAQLNGTVHCNYPRWIEILCHDINVHVPHHISPRIPSYNLRAAYDSIKQNWGKYVNEANWNWRLMKTILTRCHVYDKERYYVPFDELAPKESGPIKFLRKFMPDYA >Et_10A_001239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22422291:22424185:-1 gene:Et_10A_001239 transcript:Et_10A_001239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKVRRREPELVAPGRPTPRETKALSDVDDQEWLRYYETVIGFYRRRPGEDRDPVEAIRAALAEALVCYYPVAGRLREVAGGKLVVDCTAEGVLFVEADADVRLEEFGEPLLPPYPCVDELLCDAGDTRDVIGRPLILMQLTRLKCGGFVVGFHMCHNIADGFGMIQFMTSIAELACGATVPSILPVWKRELLCTPHSPFSITAPEPLYQPLLTSLDSPSEDIMLTTPPEHMVSECFLFGPRDVATLRSHIPEHVAASTTSFELLTAVMWRCRTIALGYRPSQRVRLMITMNARGRWNRHTPLPRGYYGNAHFSPIAEVIVDELSRQPLIDAVEIVRRTKRSITKECMELMVKTIASMRQKPCLEEVRTYEVCDTKWIGAGNGLKLSFAEYVGGGIPFAGDITSKLGSDHMRCKNKDGEDSTVVSKPLGAAPSFYFFWGGGGVVF >Et_5B_043869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1741860:1743300:1 gene:Et_5B_043869 transcript:Et_5B_043869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDSRAAAGLDEVLKPFEERASEAETRLAKLEALLFKEDELSGRTETSSAAMRDLQSKLDAVSAECLAEKEKNKKLIIENEKLQYRITHLIRAIKEAESR >Et_2A_015185.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34630150:34630383:-1 gene:Et_2A_015185 transcript:Et_2A_015185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLKRLEARLSVARSGTRQSEAAERSPEKGRSAATATCDEHTSRCTAGDVAAVGDGAVRTRCKLLWTRGNGHIAFQ >Et_3B_028634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17641536:17654310:1 gene:Et_3B_028634 transcript:Et_3B_028634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFLTKAVEGTIGIEVIQGDFDGRITGCTTSIPNEVVLYERELYGAMTGDKGAIQLMRPVVCVSSKDMLEIAAKTRDGMSERTIRFSPMVNGAEDNEIRFVAIEMRVKVTWSIIHDTSKFAIVKHEVLLNTGCASSDCSIKFSLYDLNCNCSLHRALHNRVHHLHPEWTAVECWAAIEDHLERCRALRDEDFSGMTEPQRAAEAKKRREQVLEEAHRTERKARMELSQEAASRMMHRVKWHQGRARIFDLSQAERAASTTTDSIPRHVRPRRGVSHWSNEIHNRGSQPCQQPFTTSAGLNVFSVKIGTSDVGFPIHVYGTVIARDSINKKCIYLFCRDRDHCQLINSVDESLMLTGPKRGMALINDAYVEMDLNIKEHGGQDRGLSKGILTIKGIARRYLDKCEIESESLATRLSTVDVMYAVVMDAVEATVAIEVVQRYFYGEITAHTTSIKNRLVLFNNNEVTGCMNGEATGLIQLMPLVISVHVKEKLVIVARTRDGKDERTIDFTPKLNGDEEGDITVGATTMHVKVLVQARNLRFLLAEAMNKIFAQSIYLDISMDLRK >Et_3A_025163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27401955:27402717:-1 gene:Et_3A_025163 transcript:Et_3A_025163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPSSGADAAAPAPPPVRLSAAAQAAAIQPSSPRFFFSSLAGTNPASPHRRIAIAVLAGMEQGQQMSCTQCRRMSRCTTMPLRRTK >Et_6B_049669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8295498:8301976:1 gene:Et_6B_049669 transcript:Et_6B_049669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADPPPFPAQRNHRRFAMAAAAAASSTLLRASQFSSAAGRSAAPSICARSGSRRRVAVVRASAAGAGGTPTAALPAALLFDCDGVLVDTEKDGHRISFNETFAERELGVSWDVELYGELLKIGGGKERMTAYFNKTGWPAKAPKTDEERKEFIASLHKRKTELFMALIEKKLLPLRPGVQRLIDEALGKGVKVAVCSTSNEKAVSAIVSYLLGPNRAEKITIFAGDIVPRKKPDPAIYTLAATTLGVDPSSCVVVEDSTIGLAAAKAAGMTCIVTKSGYTADEDFGTADAVFDCIGDPPEMRFALDFCANLLQEQYVR >Et_10B_004250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:20091696:20096686:-1 gene:Et_10B_004250 transcript:Et_10B_004250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYAREMMELKTLVTRTLEKKGVLAKIRVNFPHDPPHRTWDRIVQSPPPRIQIPLASALGIWTFAELRASVFEAIEEEDRVVENDDGGNPALLGSCNDRAKQLHASPSGRLLTALVCEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSNKSGAEGSRSAESGPMLLDVLEGYLKYENLSQTRMGGRRMMSSESDPGLNVEHRNMRRPTSSSVGSLPPSGSVVVLNSLNKWLVILDRRGGSSASGARKDEYNWRYDADDISEDVIRASSALENIQLDRKSRNLPTSWRDDDGAIPGRLSKKKLPAAASPSADSALLALLRAHDTDAAFGLFSGNPEVLPCSPATASRLLAQLSFNSDKSSSFSRAALLLQRLRARNALSLLDANALSLAAAAAARSGNATLARSLILHMLRQGLFPDRRAYTAAVSASTPRKAVRLFDAVLRHLRRVPREEAPLSSLPDTAAFNAALNACADAGDCERFRQLWSDEMAPDAVSYNILIKMCARAGRKDLLPRVLSSLGGVAPCATTFHSLVAAYVGFGDLAAAETIVQAMREGRKLDDISSSLLLLLGEEEASAHQHQSAALLDDILPPPPPEDEEDEEVVPLPPPDARVYTTLMKGYMHAGRVHDVLATLRAMRREAPASRPDHVTYTTVISALVAAGHVDRARAVLDQDMPADGVPPNRVTYNVLLKGYCNQLRVDKATELFQAMMEQMDLPPDVVTYNTLMDGCVATGDTPGALDLFNEMRSRGIAPSTASYTTLMKAFAAAGQPKTAHRVFHEMQSDPRVRVDRAAWNMLVEAYCRVGQLDTAKQLVETMKKTKQSGLHPDAATYGSLAKGIAIARRPGEALLLWDEVKERCPDPDEDLLEALADACVRAAFFKKALEIVACMEERGIAPNKTKYKKMYIQMHSRMFTSKHASQARQDRRRERKRAAEAFKFWLGLPNEYYGATEWRLEN >Et_4A_033313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21370677:21373846:1 gene:Et_4A_033313 transcript:Et_4A_033313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAASAVPRLRLAVPPPPLRQATGRSQWLLPRLRTLSSSVPNVTPAAGAGALEPPDLPRLANAARISLSPQEAEEFAPKIQQVVDWFGQLQAVDLESIEPSLRAGTAAASSLREDKPETFSKRDAIVEAIPSYDDPYIKVPRVLNKE >Et_4B_039934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6186951:6208878:-1 gene:Et_4B_039934 transcript:Et_4B_039934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSGEKAMASENGTPKKTRTARKVAVPESESSEDPKSLVVRLEPDTLECPLCFAPFVASIFQASKAPYSLTVKSLRPVRLQFNYSPCNLSIDQCKNGHAVCDSCCARVRWQCPSCGEPTGAIRCRPLEKLIAGMAVPCAFRARGCKQALRYAEKPAHEAAFCQHAPCYCPVPGCAYSGLLLHEHVRATHAGAGDDAAVSFVDEATVTLHVSMLFRVLLRPPPDSRVFLLLNGGEVPSGWSLSLLCIGPRPAAGQEMEYSMVVCAGGELPGALSLSAFGTVPCARRWPGPGQAPAEGFLFVPDAYWSSSGCVSVAVTCGRKCCETSHLHTNATRGPWVKWHANARLTPRATGESGRSGLIRDLRPAPLEVGRQHARKRRRPPHRGHGAQWFPVVEVAAAAGQDAPGDPAAVQAVHDDVAAVRVQLHDVALVVAVPRAGVGRHRRRRQGRGRGGDGIVADEVALVPVDGAVPHQHRVASGAEVADVPVRVAAWRHRGVGGRRRRAHVVTIGSGSEKEEEEEGKRGGVEDGSCRERHD >Et_4B_038300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2876031:2883193:1 gene:Et_4B_038300 transcript:Et_4B_038300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPGAGMPRPTPSSVAAAASATKPAANALRFRYTGVQQSPSGGWTSHVDDPDLLGPRVIGTFADEHAAALAHDRVAVAFHGDGARINFGPAFHALERQFLRRCRMRRGDIDVCAIVADGTYEARYATFLRAVFALEQYGEFMDVMIQFFIDRAAEIGEEALVAGGEKLVARFVAMHRNKAGDPAMACPNPKKQKHGNEHASSQGTQSQSSIFNHNRHVRLEFLEQSNKLKYGSVAKDVEEINTKRRQLIGILEKLQHAPIRLPYASPVLRPSYTTGQSRNNYILENIIDLDPIEDNADVGNTGADATVVVLDTGDKDGVKSFGDENSSSKQNAIYIQQQLLPEPPVKHQDIVIPDNCDSSTEPQVLATQGRNTMDVDNTSDEVPLIKQGHGNKNADNNEGVFYLKKTGGTWLLAISMLVGTDHHEEKGKIERAIQKDDADSCEVIPNEPQMNENNNYDKGCLVDELEDLWRDMSVALTCSKTIGINQSIVPFDENSCGKIEEACNHDFFMKDDLGIVCRVCGLIQQRIENIFEYTWKKRKLSYRTNLSEHRNSSDPDATGDPLGSILKVAPDVLSIHPRHSQQMKPHQVEGFNFLVKNLADESNPGGCILAHAPGSGKTFLLISFIQSFLARYPAGRPLIMLPKSVLGNWKKEFLCWQVEDIPLYDFYSSKANSRSEQLKVLKLWEENRSILLLGYQQFAHIISDYSSESEAIMCKEKLLKVPSLVILDEGHTSRNEQTDLLNALETIQTPRKVVLSGTLFQNHVSEVFNILNLVRPKFLKMQKSRAIVKRILTKVDMFGKSVRSKNISEKVFFDLIEENFQKDSNDKTRVMIIQNLRELTENVLHYYPGENLKELPGLVDFTVLLNMSTKQDDIIKGLVGTNKFEAHAKCNAVSLHPCLKDVKNVDKKNRNISKKKMDSVIRGINIKDGVKAKFVYNLLSLSEAAGEKVLVFSQYVRSLDFLETLVTRMKGWRSGVDTFQMDGGLTNDQREEAVDRFNNSPDAKVFFGSIKACGEGISLVGASRVVILDVHENPAVMRQAIGRAFRPGQTKVVYCYRLVAAGSLEEEHHRTAFKKERVSKLWFEWNEHSCNEEFELAEVDVSDTEDRFLESPALREDIKSLLRRYILMVNMDLIGLFVYV >Et_5B_043883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17043793:17046598:-1 gene:Et_5B_043883 transcript:Et_5B_043883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGGGHGPVAAPLLQAQEEGRRGRGGGGATSAQTLGNVVVSIVGTGVLGLPYAFREAGWLAGSLGVAAAGSATLYCMLLLGNLFIIFTVLFHLKVDCRDKLEEETEEHSNGHYTYGDLGEKCFGTIGRGLTEILILVSQAGGAVAYLIFIGQNLHSLFSQLMSPVGFIFTILLPVQIALSFIRPLSALSPFSIFADACNVLAMAIVIKEDLQLFDHPFANRSAFNGLWAVPFSFGVAVFCFEGFSMTLALEASMAERRKFRLVLSQAVATIIMVYACFGVCGYLAYGEATKDIITLNLPNNWTSAAVKVGLCIALAFTFPVMMHPIHEIVETRIGSSGCFQKLSHNVRGAEWLGLHSSRILMVTILTVVASFIPAFGSFISFVGSTMCALLSFVLPALFHLRIVGSSMSLWRRVLDYCFLLFGLLFAGYGLVTALSSK >Et_5A_040567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:68810:73173:-1 gene:Et_5A_040567 transcript:Et_5A_040567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLRAASGVARLALRRTLAARAPPAATRRCFHSTALRPRASAPSPRAVPLSRLSDSFLDGTSSVYLEELQRAWEADPSSVDESWDNFFRNFVGQASPSAGVSGQTIQESMQLLLLVRAYQVNGHMMAKLDPLGIDHRPVPEDLDLSLYGFTDADLDREFFLGVWRMSGFLSENRPILTLREILSKLQQAYCGPIGYEYMHIPDRDKCNWLREKIETAKPKDYDKDRRLVMLDRLIWSTQFENFLATKWATAKRFGLEGGETLIPGMKEMFDRAADLGVENIVIGMPHRGRLNVLGNVVRKPLSQIFSEFTGGTRPVEGEDGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQFYSNDSDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDLEAVVRVSELAAEWRQTFHSDVVVDLICYRRFGHNEIDEPSFTQPKMYQVIKNHPSSLKLYEQKLLGTGEVSKEDVQRIHDKVNRILNEEFTKSKDYVPNKRDWLSAYWTGFKSPEQISRVRNTGVKPEVLKRVGQAITALPESFKPHRAVKKIFEQRAAMIESGEGIDWAVAEALAFATLIVEGNHVRLSGQDVERGTFSHRHAVLHDQETGGKYCPLDHVVMNQNEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVMFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFVIPEMEPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMAPKNLLRHKDCKSSLSEFDDVEGHAGFDKQGTRFKRLIKDRNDHKQVEEGINRLVLCSGKVYYELDEERKKSERSDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYISPRLFTAMKALGRGSFEDIKYVGRAPSAATATGFQSVHVQEQTELVKKALQPEPIEFI >Et_7A_052410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7777784:7779673:1 gene:Et_7A_052410 transcript:Et_7A_052410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEELANAPRVVGVLSALLERVVERNDAAAAADEPAPAASSAFRATTRPDISVRAYMARIARFAGCSPACYVVAYVYLDRLLRHRRRPALAVDSYSVHRLLITTVLAAVKFMDDVCYNNAYFARVGGISLPEMNYLEVDFLFAVGFDLNVSPETFGHYCAVLHSEMLCLELETITPAGSAGGPRLQHCCCLSEDDDGTSTTNSCVETGTEIASKQAVHAQ >Et_2A_016141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21394781:21395338:1 gene:Et_2A_016141 transcript:Et_2A_016141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATTRSMSSLAFSHSGFPRKKTCDSPWDSSHATVPGHALAATASYPSSFPAHTSILAHPSFSSAGAPGASGLNLGSSAPPTAPGHAYIQSPADAAGPPSGSPSMGWFPPNHGWHRIAPSMLGLALPSPAAARSSMSCTMLAPALSPARKRRPTSPCSATHSSCPDAAHRSAAQESS >Et_1B_010329.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9059892:9060185:1 gene:Et_1B_010329 transcript:Et_1B_010329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LCCLHDAAGSTRGPAAAPAPSPLVELHEADDDVVVVQPVSTERRRCNSDKRWNDQLCRNVCGMFKFYGYEFNAPAAEASGEAPSCCCCPGGVTCLQL >Et_9A_063360.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21412674:21414827:-1 gene:Et_9A_063360 transcript:Et_9A_063360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAASPRCLKPLRLIRLRRSIWTATAPAVQCMREEELHAELKRLVRSGRLADAEALFDAMPGPDEVAYAILLAGHAAEADFRGAMALFSRFRASSPPHAAGDPFVLSPVLKACAAAADAGTLPHAEALHAFAVRSSAVSSVFVATSLADAYAKAGRLERALKVFDEMPVKNVVSWTTLVASLARAGRRHDALRRFAEMRRAAGVTCDSYAYAAVLTACADAGLLSRGREVHALCAKLGLDATPYVANTLATLYARCGDVDRALAAVNRMGSRDVAAWTTLIASYVQTGRSEEAIEAFLRILHGEPSASPNEYTFSAVIAASANISSVCLGEQLHAQAAHRGFANARTVTNSLVKLYTRAGRLSAADTVFRESIIKDVVSWSGIISGYAQEGLAQEAFTLFAEMRHHGSSSRPNEFTLASLLSVCASAAALDAGRQLHALAVAAGLEHHAMVRSALIGMYGKSGSMSDANVVFSGRLKDDVISWTAMIVGYAEHGRSKEALELFEKMCSVGIKPDHVTFIGVLTACCHAGEVEHGLRYLNAMNKTYGLEPAKEHYGCIVDLLGRAGRIHEAEELIGRIDADERDCVVWTSLLRACAARGEEEAGKKAAARVMEAEPWGAGAHVAMANLFSSKGQWREAAQERHMMKQKGVVKGAGWSSVEVGGEDRGISVFVAGDRTHPQDNAIYRMLELMYYGSGFDQCIPDHMDLGSELDVAVRS >Et_1A_007887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39258253:39266869:1 gene:Et_1A_007887 transcript:Et_1A_007887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRLLETTKQAVTTGAGAAAPKPATPAAANTPKPANPKRATPAAAAPPKLSTLKGRHSSRRAEVTPATAVSQASLLRLKQAAASKKTTLPSSLPHAHAHVQDEEDPPHALTKALMSVLDGPDDVEESRPSEALVEDSEDSEGAGNVNKILDIEWFVPPQSKDPMMHWRREVAREKKKQYIFKNTESRRFTKLMRVCAKKLGAESTLEFFGKLGRDTGVKEFNALIRSCLERARACKDIDSAVEHIYRAYNLFETMKDRGLRIEQDSYGPFLLYLVEVGMSEEFEMFSAFFKDANPKSFSRIAYYEMLHCIRLQDERKIQELCHSVEDYNEEAHYDIAESADGNISSLILDYAANIPNIMVEDIVVAFHNWHEKFEVVPSIAAYDKIISICCNSLQTSLALDVADSMCKSNSDVPIESFHAIIQTCDQRCELDMVHPIYDVIRRHKLKLKSETFRSMINLFVKMKDFEGAYNILTDAEESGETSTISLYNAIMLGYYKEKNHDGAQMVMAQMQIAGVKPDSETFSYLIMNCESEETISKYHDQLRQDGILMTKHIYMSLINAYSRLGNFDMAKKIILDKEIPHKHRKDIKSALVAALASNGQVLEALTMYDQMKESGSSLEPKAAIALIEHIRTEGQLDRMHQLLEELNDSSFWFEGSGRAILYFVQHNYLEAAVDLLKQLKERDGMSTHMVVDQVFGQIWELEPTNLDFGMKLLHAIKELGLNISRTSLDFLLSACVKAKDSHQAWKIWTEYESAGFPHNVLTSLRMYQALFSSGSLKEAKKLRETIPKEDVHVRYILDSCHMFGSEDHKLSATVIPGSKKCARSKQRATNEANKGHVEADMESSGAKPAPRIQAGVQTTAPLPLLLSH >Et_1B_013826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18651968:18656694:-1 gene:Et_1B_013826 transcript:Et_1B_013826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDRFGPQNGLGLGRNRGRDVRAGEGCSRRQSPHVSAQGSVVSRSNAPVAPIGSVPIVPPGFVGRSAPVVAPARETAAPVLNAEAMNVASPASPLIQEVHEEENMMEADHAADVSKLLLQNQAEDGAGDVAEEGASGDTAAHAADSSGLAVIDRPDDFPRRRRRRVVPQDTTLLRRSSRIEAINKGYKPSSSKPATSAATPSKPVSAATPAAASKKKGKALKLQDGEASSVRPMYEGTAADASAPPPPNLSIGNVRAIGTGFCKMQPGAVSDKALLDSSDDDRIASFFMADS >Et_2A_017993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8859444:8866615:1 gene:Et_2A_017993 transcript:Et_2A_017993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RGQEVAVLCASDSEQLGQSYGAGCTNGTTSSSLCQYAIQYGGGEHTVGLYSTETLTLKPGVTVNNFSFGCGSHQHAQFDKFDGLLGLGGAPESLVSQTANQYGGAFSYCLPAGNGTAGFLALGAPSNDTAGFVFAPTHQLPGVSTFYIVTLAGISVAGKQLDIPPAVFSKGMILDSGTVVTGLPGTAYAALRTAFRSAMSAYPRLPPNADGLDTCYNFTGFSNVTVPKVALTFSGGATIDLDVPSGVLVQDCLAFVGGSSDNGIGIIGNVNQRRFEVLYDKARGHVGSMASSRLLLFSVNILCSFCLIAFGSNQHNFVVVPTSSFASESAACSSISQVTSDPNRAFVPLVHRHGPCAPTGPNAIKPSLAERLRRDRARRNHIIRKASGTKLSDAGVNIPTALGDAVDSLEYVVTLGIGTPPIQQTVAIDTGSDLSWVQGKPCNATECYPQKDPLFDPSASSTYASVPCESDACKQLDDSDAGGCTNGTDPSLCQYAIQYGGGEHTVGLYSKEMLTLKPGVSVKNFRFGCGLHQHGEFDKFDGILGLGGAPESLVSQTAGQYGGAFSYCLPAGNGAAGFLALGAPSNDTAGFVFAPMHQFPGVSTFYMVTLAGISVAGKQLDIPPVVFSKGMIIDSGTIITALPTTAYSALRSAFRSAMSAYPLLPPKDELDMCYNFTGQSNVTVPKVALTFSGGATIDLDVPSGVLVEDCLAFVGESSGPFGIIGNVNQRTFEILYDKGRANVGTMASSHLLLCVFLCTFHFIVLGSPEHNFVVVPTSSLSSESGCSSMSQVTSDPNRALVPLVHRHGPCAPSGAKTAIKPSLAEMLRRDRVRRNHIISKASGSTTMLSDAGLNIPTVLGSAVDSLEYVVTIGLGTPAVQQTVLIDTGSDLSWVQCQPCNATDCYPQKDPLFDPTKSSTYKTISCQTDECKNLTRDGYHGACTNGTTCSFSIPYGDGSSTTGVYSSETLTLAPGVTVSDFHFGCGHGQQNSTDKFDGLLGLGGAPESLVSQTAEKYGGAFSYCLPAGNGTAGFLALGAPSNDTAGFVFAPIHRFHGLATFYTVTLAGISVGGKQVDIPPALFANGMIVDSGSLVSLLPTTAYSALRTAFRSAMSAYPLLPPNDLDLDTCYNFTGHNNLTVPKVSLTFSGGGTIDLDVPSGVLVEDCLAFAGDIPDNSPLFGVIGNVNQRTFEVLYDSSRGNVGFRPGAC >Et_3B_031552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4417165:4417551:1 gene:Et_3B_031552 transcript:Et_3B_031552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRQILLVAAVALWSVASVATADTFLPPAPPGTASPFPFCPTRPAGVSTLPFPWSPPPPMTIYPQDPGFFPSGRASLTAVDVSLVLRFIPICMIRLAPLSM >Et_4B_039237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:963760:965231:-1 gene:Et_4B_039237 transcript:Et_4B_039237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAMATPSLSLHGRPSQTPTKKLSSPFLGAPASFLRPVAPAPTAGPSRRTLAVRAMAPPKPGGKPKKVVGLIKLALEAGKATPAPPVGPALGAKGVNIMMFCKEYNAKTADKPGYIIPVEITVFDDKSFTFILKTPPASILLLKAAGIEKGSKEPQREKVGKVTADQVRAIAQEKLPDLNCKSIESAMRIIAGTAANMGIDVDPPILQKKEKVLL >Et_8B_059024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12393107:12394138:-1 gene:Et_8B_059024 transcript:Et_8B_059024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMPGSIGLRCAAYKSPIATIEDPGADQLSGKRRKLCANPSCATHRHRMPVRTDALKVCDWIENKASDDILLWLYIDGAPEVKLTGREIRQRLLWQTPLDVEMASVVIRLLRELEEETIFRRPNCPGRHYVDPTWGIMVANGTICPEASFDHFMYPSPPYDIKSCSIVLTLVCLSSNWSCYAFDFTSNVISIMDPMIRHPVEESKVLEHTAVCKKLLAEVMHCMRRATGNPTMGHGKWTPKLMMAGGRKPAA >Et_1B_012659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34156644:34159553:-1 gene:Et_1B_012659 transcript:Et_1B_012659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNQKGSVLWDWENSPPIGANANENLKILPQAEPKFAGHESVHSSCGTFSSSSEMGYCSSKSSIASIDSSPKVGDNRELNFAPVKAPDKKTGKKADLGKVDTARSSPSSVIAVSSGEPVIGLKLGKRTYFEDVCGGQSVKSSPLDTSAVAPPAPPCKKAKVAQNAQNSYCQVEGCKVDLSSVKDYHRKHRVCEAHSKTPKVIVGGLERRFCQQCSRFHALSEFDQKKRSCRRRLNDHNARRRKPQPEPISYGASRLSAMFYDAREQKSFLLGQSPYDQMRSCANYPWDNAVGGFKFAETKAPWLKPTRAVGADGINLSSQQVWNNSTPHGHHDFNGFIAFKGTSAKVLDQGVDASAVVSNSNGAPDLQRALSLLSNSSADAASGQAIPQLHPSMTTIAGSSNPVMQGSSPGLWQEGTALDHQARFQAFDPLNNGSNIAAPHQLHLLKPPSYDSSPSHYDQMH >Et_4B_037725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22977267:22978581:1 gene:Et_4B_037725 transcript:Et_4B_037725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAKGWTGWAEQDLLLLVVGCLPALDLLRFRAVCQAWRAAAAAFVAHRGQPRPDRPWLLLPTDVAADHDQGRLIACRDSEVPVVTLPARLGRVNPRRFVPLGSARGAIVAADERGEMHLLDLVTGARKHLPPVATLPLVARVETGPAGLQVHRRGGGVGPIDHLILKAVPVPTPGGGVLVVAIYRQHRNQWATARPGDSAWKSVAPTSIPAVVDVVVHRGQLYANTRYGMMYVFPELRGLGSASPEIIPSVTRRPSAYVERSFLVESPRGELMQVELLRPVAAAGGEGFVVRVLDECGETWEETEDIGDAAVLVDATGAVAASTAECPALRPNTVYFAVDLAGETRVWAYSLAGKHKRIEVVEALPTAEGYKPPCFWFAPVYSQP >Et_4A_034809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6782169:6784064:-1 gene:Et_4A_034809 transcript:Et_4A_034809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAYKMATEGMNVKEECQRWFMEMKWKKVHRFVVYKIDERSRAVLVDKVGGPGEGYEELVAALPGDDCRYAVFDFDFVTVDNCQKSKIFFIAWSPAASRIRAKILYATSKQGLRRLLDGVHYEVQATDASEMGFDVIRGRAQ >Et_5B_045746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8733196:8734911:1 gene:Et_5B_045746 transcript:Et_5B_045746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSPFKMEHPLEKRQDESARIREKYPDRIPSSWLCRYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKSTLPPTASLMSAIYEENKDEDGFLYMTYSGENTFGSA >Et_6B_049103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17968970:17976772:1 gene:Et_6B_049103 transcript:Et_6B_049103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSSPESGEPAGPFPPWLSAMLQQPSSGDVETGEQQQAGQLPPLLSDLLRSSCRSTGDPDDEFELRCLVSLAKTYLPTPPPPPPAREIPGYIKRRHVLYARPEVIIEQSKKFAKGALEHYNKRKKIKFELVDVMPVIMMPESGRHYTHVNFTARSNKEGSQEKLFFAELYNCSIRKAPGGYLVTCCEPLGSDSTVGHKGFQRGGSSTERKNVDFTCCFACTPRMHHPKGHKYVAGHCNIPHIYSSTSPESGEPAGPFPPWLSAMLQQPSSGDVETGEQQQAGQLPPLLSDLLRSSCRSTGDPDDEFELRCLVSLAKTYLPTPPPPPPAREIPGYIKRRHVLYARPEVIIEQSKKFAKGALEHYNKRKKIKFELVDVMPVIMMPESGRHYTHVNFTARSNKEGSQEKLFFAELYNCSIRKAPGGYLVTCCEPLGSDSTVGHKGFQRGGSSTERKNVDFTCCFACTPRMHHPKGHKYVAGHCNIPHIYSSTC >Et_8A_056826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17517656:17522403:1 gene:Et_8A_056826 transcript:Et_8A_056826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLEGKVAIITGGASGIGACTARLFVKHGASVVIADIQDELGASLCAELSPEASSFVHCDVTNEDDVAAAVDHAVARFGKLDIMFNNAGIGGDLSTSIRESTKEDFQRVLAVNLVGPFLGTKHAARVMIPAGRGCIISTSSVAGAVGGTTSHAYTSSKSGLVGFTKNAAAEMGRHGIRVNCISPAGVATPMGTATTGLDVATLEAYMETMANLKGVGLKTDDIAAAVLFLASDDGRYVSGQNLLIDGGFSVVTAAFRFFTD >Et_4A_033199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:194809:196775:1 gene:Et_4A_033199 transcript:Et_4A_033199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYSSCSSSSSRMVNRVVAAALLWLVMASSHGCCAKHKKPSSHKAHAAPAPYYAPAPPPANGTGGGGGNVDAGGGGWLNARATWYGAPNGAGPDDNGGACGFKNVDMPPFSAMTSCGNEPIFKDGKGCGSCYQIRCVAHQACSGVPETVIITDMNYYPVAPYHFDLSGTAFGAMAKDERNDELRHAGIIDIQFKRVPCVYPGLTVTFHVERGSNPYYLAVLVEYENGDGDVVQVDLMESRADDGEPTQVWEPMHESWGSIWRMDSRRPLQGPFSLRITNESGRTLVADQVIPADWQPDAAYSSIVQFD >Et_5B_043456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10678955:10680740:-1 gene:Et_5B_043456 transcript:Et_5B_043456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQSSPPCAGAWHGSPVLDCEEDGADRISGLPDDLLLGVLVRLRCARAAARASLLSRRWRGLWRRLPELTFRDVAPDALRAALAQVARTDLSLLDIDVPERHRFSPASVSSLLRTAANLTPAELRLVVWGLSKDRLIAVEVPCFRRATSIRLGVWNLRLALPEQGAGFPFPLLERLATDGCNFDFASLISRRPRLRVLEACHNGGLGNVKVHSPTIEDLRVEGVGFGGIDIAAPLLKKFTLSTHTAKDLRVSFLAPMVESVDWNVQFFPFLVVIGMRWTVRRLCLQLVEDVYLLSLDIEFLLRSLSFFTLWFDKRDHSLITYRPHDFNWTIPGGDLKQKLSQLPNFSILKLSIDTLEHVSGAVLLNVLEACSAVKRLTMFLGKLENGDPCPPNCPCDQNNNWRNQSISLAALEEVQIEGFVPFDHEVDFLKLLIRCAPMLKLITVKLSDKVLPCSSGCKQIYDILKACPSLKWSFSGKRIHELRPAPRFHLNLFYDD >Et_3B_030675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6171740:6175271:-1 gene:Et_3B_030675 transcript:Et_3B_030675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTPLRPTAAAGSGSYHQRRRGRGAGLRRPRVRRCKMKLMYFLMDRDEQRGKRLELEFEVAELETTLDKEQRLGRVLQCSLQGRVVCHCCLSALVLPTKVRNLLGELAMVEDEIFYLEKKVDDLRLRLHRERKWNDHCVAQQTPPRNWPNQDRQPPRHSSCGLGCRKELKGAEQQLPRLPCPATDETLERESKASVGSASVKGDEAEHVGRSSHCHSPETPTPPDRKLCLNSPNKLSEDLIKLTVTIFHKLNKTSPDAATTTEPELGGGEPKLNISCIGASRSLVPKVAATGAAAAMSPLKSRSSRTPKGGDRGAAAKESASAGAGCGGHRRFVEFTRASVDVSRVSLCLVDIKNLRGLMQKLSAVDPSFLTNKQKLAFWINIYNFCVMHAFLQHGLPPSPDKLLALLNQASVNVGGTVLNVLSIEHLILRHSPEGKQGIVDDGERDLLRSYGLGFPEPNVIFALCRGSRSSPALRVYTAEEVSNELELAKVEYLESSVRVVGRRQRAVAVPRLLHWHMRDFADDAASLLEWVHSQLPRGPLKRAIREALGNATGGGSGRAPKALVEVEPYDAEFCYLLPVW >Et_8B_059440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1797772:1803887:-1 gene:Et_8B_059440 transcript:Et_8B_059440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRKRQPGAAPLLPLAASLRCPQLSSAPSIHQPHHHSPLGQAPLQSLRVVLIPSACFSTAPWRGEVDDLAAEAEGSWGGKGKQQQEAAAAAVASAPPATTTMGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSQSMPKTLEKYQKCSYAGPETALQTRENEELKSSRNEYLKLKARPLQILHVYDNDRNLLGEDLDSLGIKELEQLEKQLDSSLRHIRSTRTQHMVDQLTELQRREQMFSDANKCLRRKLEESNQVLWQQAWEHGERQPEVQQPQQLHGGNGFFHPLDAAGEPTLQIGYPSEALTTSCMTMTTFLPPWRV >Et_8B_059310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16560895:16563911:1 gene:Et_8B_059310 transcript:Et_8B_059310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFPSQPDLSLQIGLPSTATPHDHHHHAALNARFFAAGAGGNGVVGGNAAAMAPSSLQLPAMPMPLPLPLPMPMHHHPGAGGVGQGGGLYYHPDGAAAAAVLRAPIRGVPLYQHHHPPPPAHGGAAAGPCFCEPCHVAGAWRRAGCGVVAGARFPPAKRAARAPRMRWTSTLHTRFVHAVELLGGHDRATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTERPAASSDQADGFENGSAGEICDDNSLDLHGYSSGFPSESNTGSMQSLKDQIQSKSLEILSDMNSSCVSETTSSTSELNLEFTLGGPQNRPN >Et_1B_013017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4833947:4836777:-1 gene:Et_1B_013017 transcript:Et_1B_013017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLAVSARQTLSITQRRSRRRRMVIVSSGGAGPPPKLVTFLGKGGSGKTTAAAVAAQYYASEGFKTCLAIQSQDPTAEQLLGGKIGNSLTECAANLSAIKLQTSKMLLEPLDRLKKVDAQANFTQGVLEGIVGEELGVLPGMDSMCSVLSLQKLLNFFSSGSNTSQPEFDVVVYDCNNTEEILRLIGSTDRARSYLRYARDLAEKTDIGRLASPSLLKLTYDAARPNGKTSEGRLSTEIWNEIEQLLERISAWFVDPSKFACFLVMDPRRSISVSSALRYWGCTTQAGGQICGAFGYTENPSDTHQEVADKFLPLSFSLLPFLSDDSSADWDKTLGSLNQNTKQKLRDTTARGYPSVSFDSVQKLVTLFMPGFDKSEIKLYQYRGGSELLIEAGDQRRVIKLPSAIQGKVGGAKFVDRNLIVSIRS >Et_4A_035613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3133136:3137204:-1 gene:Et_4A_035613 transcript:Et_4A_035613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAPSRSGSSTCAVHPPLGLCCTPVYRNRSAGAAGFVDIVILRLCVCVLASGSWIRSWRGLVLFVRRRTSFRRSAAATEYLKTAAGLPAAESESAGSGFRGLGDLGPSDSGSAFGLAPRRPNRVDINQPLSVISFHDYSSLRSPNRRWIGLAACSRSSQLAAAKQSTRIHHRSPPEMAIPRRLCRLPAATAVTKRSAATMAVGVGGIVPKPSTAALAAAATAAAAAGRASECQSLLLRMSRRRGASRGEIVSSLLASYSSSSSSSTPQPQVFDLLIRTYTQSRKPREAFEAFRLLLDHRVPIPASASTALLAALSRAGWPHLAAEAYGLVLSSNSEVNTYTLNIMVHNYCKTLEFDKVDSVISDMEKRYVFPDVVTHNVMVDARFRAGDVDAAMALVDSMATKGLKPGIVTYNSVLKGLCRNGRLDKAQEVFREMDSCGVATDVRSFNILIGGFCRVGQIEEAVKFYHEMRQRGITPDIVSFSALVGLFTRKGKMDLAAAYLREMRELGLLPDGVIYTMVIGGFCRAGSMTEALRVRDEMVACGCLPDVVTYNTLLNGLCKQRRLSDAEELFNEMAERGVPPDLCTFTTLIHGYCREGNVEKAMQLFDTLLHRRLRPDIVTYNSLIDGMCRKGDLDKANELWDDMHAREIFPNHVTYSTLIDSHCEKGQVEAAFGFLDEMINKGISPNIMTYNSIIKGYCRLSKTAAFKERDWRAKHAPLRLIQKSRKKNNESKE >Et_1A_007197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3196423:3198280:1 gene:Et_1A_007197 transcript:Et_1A_007197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFSQEHLTCTAKMAVAAHSESPSCGIDIDEFEDKGGVVLQEDQADALLLHGVGAATTWDAAALCRPCSIAPGAVEERWDVVENRSVSPAPAPVASRRRRRRPKAVKNKEEVENQRRNHIAVERNRRRQMNEYLAVLRSVMPPSYAQRGDQASIVAGAINYVKEMEQLLQSLEAQKRSVQRPEQLAAAPPFAGFFTFPQYSTGATGVPGDSSDNGGDVQCAPRRGVADIEVAVAESHANVKVLTPRRPRQLLRMVVAMQCLGLTVLHLNVTTTADNLAFYSFSLKMEDECRLSSVDEIAAAVNEIVAKISQECVGQLST >Et_5B_043240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19662239:19663555:1 gene:Et_5B_043240 transcript:Et_5B_043240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRLHNLHRLLLRVSIIIEEAEGRHITNKAMVHQLNLLRKEMYSGYFIMDSFKNQGQMEMEMVMEFLMKNEHLGAESVGVLPIVGPTWVGKSTIIAHVCKDARVRDYFSHIMVFTGDNINNENLYSMKYVGMRQNERVLIVIELSRDVDEVAWNSLYSSYGVYLGRGSRIIITSRSNQIKKIGTTQALVLNFLPLEAYWYFFKVLTFGSVYSRDHPELESIAMEIARGLDGSFISANMVSSFLQNNLVSQQWRTSRAYFNKCIQKNVSMFGESPYHLVRKNKPTAYQINNDELAVWNVRHTYPETIPKITVQDVMFGKVKHEGEFDALLWKSFIPPYKSYILSCTIEKNHSKKMKEN >Et_10A_000519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1245946:1249842:-1 gene:Et_10A_000519 transcript:Et_10A_000519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRANIWNQMSKAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGFDTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPNTKFSYSSHKAVNEYKEAKALGVDTVPVLVGPVSYLLLSKPAKGVEKGFPLLSLLSSILPVYKEVIAELKAAGASWIQFDEPTLVLDLDSEKLAAFSAAYTELEAPLSGLNVLIETYFADIPAESYKTLTSLSSVTAYGFDLVRGTQTLELIKSAGFPSGKYLFAGVVDGRNIWADDLAASLNTLQSLEAVVGKDKLVVSTSCSLMHTAVDLVNETKLDSEIKSWLAFAAQKVVEVNALAKALAGQKDEAAALKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKITEEEYVTAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKTAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAAGIQVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVVYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLDTNILWVNPDCGLKTRKYAEVKAALTNMVSAAKLIRTQLASAK >Et_7A_051497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19604109:19609075:1 gene:Et_7A_051497 transcript:Et_7A_051497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNLQWWQEIFSPCFWTGTFVLIYLLFITSVMAHFLFRKIRWCRPKLKPAGSVCDNRSSQEQNYADLKPGISYQTSIACCFLILATHVLKIALFQIQGRTSYCKYQYYLLGQGSQVLSWIILSLAVLSIKKMRSVKLPFTIRAWWIFNFLESLITMVFDVRSILSDQEYVGLKEYTDILTLVFCTYLFAMSVHGNTGITCIDGTTTEPLLSQQTENKRQCPYGRANILELITFSWMNPVFTIGYKRPLETNDMPDVDVKDSAEFLSDSFKQMIADVERDHGLTTSSVYRAMFLLVRRKAIINAGFAAINAAASFVGPLLISDLVKFLGEERQHGLKRGYLLAVAFLTAKVVETLAQRQWVFGARQLGMRLRAALISHVYQKELALSCSSRQNHTSGEIINYMSVDIQRISDVIWYANYIWMLPIQLSLAVYVIHRNLGLAAWASLAAMLTIMSCNIPLTRMQKGLQTKIMAAKDNRMKATTEVLRSMKILKLQAWDMQYFKKIQALRSVEYNWLCRSQRLSALTQFIFWASPGFISTITFGSSILMGTSLTAGTVLSALATFQMLQDPIFQLPDLLSVLAQGKVSTDRVAKYLREEELKPDGVTEVQRDHTNYDVEIDHGTFGWELENTSPTLRGIDLKVKRGMKIAICGMVGSGKSSLLSCILGEIPKVAGTVKVSGSKAYVRQTAWILSGNIRDNILFGNPYYKDKYERVIQACALTKDLELFANADLTEIGERGINMSGGQKQRIQIARSVYEDADIYLFDDPFSAVDAHTGSQLFKDCLMGILKEKTIIYVTHQVEFLPAADLILNGQIVQKGKFDEQNIGFEAYSRSPQQGYRKVPTGYHQPKTPIQQKVIEKQESAHDVSQVINEKERLTQDEEREKGSIGRSVYWAYLRAVHGGALVPVTVAAQSFFQIFQVASNYWMAWASPPTSATNPTVELSLLFSILEKCQLGDIVRQSPKMLDSAVVENGENWSVGQRQLFCLGRVLLKRSNVLILDEATASVDSSTDAIIQETIHKEFRDSTVLTIAHRIHTVIDSDLILVFSDGRIIEYDTPSRLLENKNSEFSRLIKEYSRRSKTSNRSVKS >Et_2B_020420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1994489:1994683:1 gene:Et_2B_020420 transcript:Et_2B_020420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRPQTKPVQRDNGVAFGTSKHYQNRKSKRIQLQRYVVIVAEKKVLLALFYHVNMWQ >Et_4A_031921.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29795911:29796168:-1 gene:Et_4A_031921 transcript:Et_4A_031921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQAVTTSDYYTSPMCMLVAELKSLLASDFISGSLIARPRSCNSVAHALAALGCECPMEADHLIGSLPICIRELVDRDSATPLV >Et_3B_029119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22268476:22271215:1 gene:Et_3B_029119 transcript:Et_3B_029119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAALASSAALFISSTVASSLPYIMLSLMEVINSRGSWLTRPIFPLIQLSLRASWTTRVSLDILETAWPVVNSVSKKPISCLRTALRYKERMRADCLCPAIVQHDTSGTNDDVDCTYDALTLLTLRRKFSAVLSPKELMTFPKRSITRGSAKPLATAPTVPTTISSTSRRSAYRNMPSSDTFLAAAASSFLSPSA >Et_1A_006681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26226843:26233218:1 gene:Et_1A_006681 transcript:Et_1A_006681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSGWHRHRLRVLRTQPLGLVLQWADPGPTVKFDRNHIKSPYSIDLEYQKSAIHPRLPILPPPHPNPAAGRPSPNFLAPLPKISRAAGAGLMARKRRRSRPPHAEQPYRCDRPERITRNRSALLEIIKGFYAAALDRLPVAEMPALVPRLLKAGLCVGFSDPVSNIIINTSTYRKRAPDSRLLPDGDKEKAAKRKRRRALSRAVADTGNANAHANDWPLGRRRLLNMPVATRSLEALVAFLTFYFRYLPACEALEYLYLARADLLAAVQLVEEDRAAVGLVEEDHNSNDGFNYASHTARTALRCAALAARHPKPRALVNRSCSLVSQMDQVSRLLAMEGGILSCTTIQKISMLIKHRKKLQIACQWKAFCVTRSALPKLDFPKKEGTDPDIAGVMPPQFLQVRNKQLPFVSSKSVKSVLRDLIYGLYLDVLALLPSQDLQMCYHQSLLKAGHCYGPMVDPMHNIMLNTLWYKNMYPPKGDISVVTMICSRSLVRVACRSLYGLVAFFCARFPMISKKQAIRYLLLSKASLWIAVEMARRDGYAERNVSSQKGAYKAAATAALHPDPDAVAAFFLSTFPMIPIPTELHMLDVQRLSELLFEYCSSRYGSPRTVPVLSERASKVLSCIMEDFKDEESFARRKVNAMLKNYTLKSKGPEYDLHVICGLNSNVGDSYVFGLHYGPGLFRQRRKEQYSHINFLASPKGSLSVPILFFAECSNDENAFPESSCWLVMGRPGRCFHCENEGAKIIHPNTEMYRGCHTELKEMACSNLIGMAVEGSITDGESVIDSVDICEEDCIYFDASRDVKCAEFLNARAMSGRLRGYLNISSSCWAMSLVISFDTYEANTTIPHIRRPARGIPHFKMFDSKYVDPRIGVHMIRVFCQASKRSADRLALILNEYNMRSGQL >Et_9A_060917.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:1741372:1741737:1 gene:Et_9A_060917 transcript:Et_9A_060917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAEVAAASDLRICEGQAYLRRLKTAPGEARAARGHAGAAVAAGGTASPATSAAWGRAAAGGARPRRRYHVCGPPCTNSPSDPAAAAASTAPSSSSSQRRLYAAPAAAAYHIRAGKPTRQLL >Et_2B_019766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13705947:13711068:-1 gene:Et_2B_019766 transcript:Et_2B_019766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDSSSPPLFEFNTNPCELPTAAPEGPNGAPSTSSQEGPAPNQEDAATPSTEGGVKVYEMKENELVIESAIRWASIANVFLDVKLNSIKVTAQVRFLAGCNINMDNTLAPGLISYFQLVDVNLMLTPGVTLKPLVPVFPCFAKFCVSLMDKV >Et_6B_049662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8149981:8151479:1 gene:Et_6B_049662 transcript:Et_6B_049662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMCFFLLAVAVALALALAASPARGIPFTEKDLASEESLRGLYERWRSHYTVARHGGVQLQDEESARRFNVFKDNVRYIHEANKKDRPFRLALNKFADMTTDEFRRTYAGSRVRHHRALRGGRRAEGGFMYADAGGLPPAVDWRQNGAVTGIKNQGQCGSCWAFSTVAAVEGINKIKTGKLVSLSEQELMDCDNGDNQGCNGGLMDYAFQFIKKNGGITTESNYPYLGEQKRCNKAKAGVHDVTIDGYEDVPANDENALEKAVANQPVSVAIEASGQDFQFYSAGVFTGSCGTDLDHGVAAVGYGTTRDGTKYWIVKNSWGEEWGEKGYIRMQRGIAASHGLCGIAMEPSYPTKSAPHGANDEL >Et_9B_065189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21901456:21902240:-1 gene:Et_9B_065189 transcript:Et_9B_065189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLTFRRSFSPEMMCRWMELEEIVSEVVYNEDMDALVWQHESSETGLEEHPCGGETHMANCKKLDADVKGRDGGKHGPLDLYPGRMVESATANPKRIRFWKPEDGIDDEVSYIRGAVMSSPS >Et_4A_034065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29653511:29657841:1 gene:Et_4A_034065 transcript:Et_4A_034065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGESGGDPGAAARRRWDLTNKGAESTPIVKEAVEMSTDEESDSLVVSHPNGNTEGCDEVISESNVDEVSEGQVTSCVKEPDVEGDAQEDKCVNQDSLKLIDQEKSAPPKLPAKSASSASERSKRSVSQPFSLSAQRRSSGGNGGATSPSINKAKSGDKTNISPASMTKKSTPVVPKKTLQPNHTIRPQEEDSCSVTSSTTTSSRAGKTRTTVPVPPSFVCSVRADKRKEFYTKLEEKHKALEAEKDQAEARKKEEQETALKQLRKSLVIRAKPMPSFYQEGPPPKAELKKVPPTRAKSPKFTSSRRKSCSDTPQTPDGGKNTTATSTHSHRHSIGSSKDANRVQCSPKSGVATKTRAVKPEVKAV >Et_3A_027127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33805980:33808877:-1 gene:Et_3A_027127 transcript:Et_3A_027127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHWPTSWCAPSLLSIRFRLTGVMQPSPFISYIRNASFRPLLLSSSSRSSSTSFRNSSSLSSPSPSVSTDATSAAASSGERSSPSVAFMQRRSSVAEILPSLSRSKDEKALLNSLEATMVAELRHFTAQTKEHLNLHTEQTCGCYITLLRIHHDLELLKAEHPVSIEVEPADHSLALIDVLVRAEFAQHPLQAHRRDAPFAFHLVHPESLLKSPAPLLLLHFQLDQLQELLLVQKPIAVGVRRRDEILGVLGRQILAQCRLHAEPQLGGRDLAVVVTVER >Et_3A_023153.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32387921:32388864:1 gene:Et_3A_023153 transcript:Et_3A_023153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPHPEPGLPGHHHHHLVHLHLDPRHHHHVHIRLCHHHHHHTHPLAPAPPAHLHHHAHPAPVFVPNAYPGASSWQPEPPRSAAGDATEDLDPETALHAEELAEDEDEDEEPVFVLTDEWAQFFAKSDAKRRLGN >Et_9B_065205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2427261:2432220:1 gene:Et_9B_065205 transcript:Et_9B_065205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVRRSTRGESSRKASRDCEFERFTISGEVSHLTKARSQPCHRTRGAVHAGRRKPLSTFELLSARESGRTGGAGFSAADRAYVGRKHLPAKGPWRVDDMDSEAYVSQFSSDGSLLVAGFRGSRIRIYDAEKGWKVRKDISCRSLRWTVSDIALSPDQRFLAYSSLSPIIHIVNVQSAGKESHANITEIHEGLDFAEDDYQVDFGIFSVKFSKDGREIVVGNNENSIYVYDLGQNKVSVRINAHKADVNAVTFADETGNVLYSGSDDSLCKVWDRRCLSGEKPAGILTGHLDGVTFIDSRGDGRYFISNCKDQTIKLWDIRKMSSITRVRPLRIVDWDYRWMSFPSEAHHFRHPDDQSLATYRGHSVLRTLIRCYFSPTHSTGQRYIYTGSSDKSVYIYDVITGKIVEKLSWHGSIIRDCTWHPYYPTLVTSSWDGYLARWEASGEDNDPSMLAAEEQRPNPYLTAYGDSFLLFSLLVLPSILLTTVAAAAPLLGEVIPEDSSLICNDETAWDAMKPGQ >Et_5A_042268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8161921:8163995:-1 gene:Et_5A_042268 transcript:Et_5A_042268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFALRLVPRLATPSPGRRRDSVGGDGGAAGSRALVSKKPNKQHHLWIRKETAGSGKKALRLVDTVSKLPNERETIYGALDKWSAFEPDFPIIAAAKALGMLKRRRQWLRIIQVTKWLMSKGQVLTWTTYDTLLMALFMDGRVDEAESIWSTIIQTHTRSVPKRLFSRMILMYDIRNRSDKVLEIYADMEELGVRPDEDTTRRIGKAFASLGQEDKQQIVQGKYLKKWKYIHFNGERVRVRRDGPLA >Et_4B_036392.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21559785:21560189:-1 gene:Et_4B_036392 transcript:Et_4B_036392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFTSDPIASQRWYAPFCGRSGGPRARRPPSSGKGHGCGWPPLERVAGWVGGGIAAVFFASLERCSCVNVRTQDDLLDDEQRDSEAPLMFDVDGNNSIGCSTAGGATVSRRGGGRRSDENKTSRGGISCYGEF >Et_9B_064746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18287442:18289973:1 gene:Et_9B_064746 transcript:Et_9B_064746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLFQAASKAARSLLSSSSATGLVAAEGRTAALAALTNLGRKTLPTAYSYHKAGGSHHASGWLPTIAAIPAAVYMLQDQEAHAAEMERTFIAIKPDGVQRGLISEIVSRFERKGYKLVAIKLIVPSKEFAEKHYHDLKERPFFNGLCDFLSSGPVLAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEIALWFEPKELVSYTSNAEKWIYGVN >Et_1A_007674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37107621:37108983:1 gene:Et_1A_007674 transcript:Et_1A_007674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNGAPLVVFDFDKTIVDCDSDNWVVDALGATERFDELLRQLPWNYAIDAMMGELHSQGKTIEDVKATLRTAPLSPHVVAAIKTAHALGCELRVLSDANAFFIETILAHHGLTGYFSGTDTNPAHVDAAGRLTIRPYHEFHASATGHGCGLPTCPRNMCKRILQEEEEAAGKRRRRAVVYLGDGRGDYCPALKLREGDYVMPRAGYPVCELLLEASPPGADVRAWDGFADLARVLLGIVDAEIARAVAEDVAGVDKGTGTGGVVVTPPVSECRGAAMPMHQDALLGRPSAVRVPN >Et_2B_020800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23756957:23761378:-1 gene:Et_2B_020800 transcript:Et_2B_020800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKAVDDVMEAAVGAHFSGLRIEALRLSSPSAPSSPSSARAAALASPSAIRQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTPEESAHAQDYNFDHPDAFDTEQLLECMGQLKRALPVNVPIYDFKKHRRCSESFRKVNASDVIILEGILVFHDQRVRNLMDMKIFVDTDADIRLARRIRRDTVERGRDISSVLDQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAVDLIVQHIRTKLGQHDLCKIYPNVHVVQSTFQIRGMHTLIRDRDITTPDFVFYSDRLIRLVVEHGLGYLPFTEKQVITPTGSVYMGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDNGQQLIYHKLPMDIAERHVLLLDPVLGTGNSANQAIELLIRKGVPEDRIIFLNLISAPEGIQCVCKRFPLLKIVTSEIDSGLNEEFRVIPGLGEYGDRYFGTDN >Et_4B_037908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24731632:24732204:1 gene:Et_4B_037908 transcript:Et_4B_037908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAAVTRSLGLDPSDQELTDMMREVDTDGNGIIDFQEFLSLIARKMKDGDGDEELKEAFEVLDKDQNGFISPTELRTVMINLGEKMTDEEVEQMIKEADTDGDGQVNYDEFVLMMKNAERKISG >Et_6A_047344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4266459:4278668:1 gene:Et_6A_047344 transcript:Et_6A_047344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQQLDKQRRSIEYNILDHELNEASNELASMDDKRIEISERISKADNQMSESHQKIKSSDKEIKRLTKIIKEINTQKEDAEKRRTEALKVIYQTELDLRGIEDIILSEKKAKDEAVWNLQNVKKDIEDFKTELAKVSESYQSKLKEEEKISKSIMDHQKQLSKLYQKQGRAIQFANKAARDMWLKNEIEGLETLLSSNRKQECLLQEEIRTLTDGMNNLMVYIDSQKGESGKLEATLTKNHKDYNDWCKQRDMLQEGRKSLWKEESDVKTEIDGLVEDLVKSQKSLDRAMPGDIRRGLNSVRSIVMDYCITGVCGPVLELVDCDEMFFTAVEVTAANSLFHVVVDNDDTAMKIIKKLTQEGGGRDSHMSRSSDQVTKNGGMTGGFYDHRHSKLKFMKIIKDNQVEIKKKKAHLDSIGNNLKDILLTHINAERDHAKSELEQCTVDIINAMKQKGSHEKALEKRKKSLGCIHDEIEKIESSIAMKKDEIGTELIDELTIEERDLLSQLNPKVTKLNENFLLCKDSRIKFETIKEELETNLSINFIRRQKELEAIISSADSSTLPLEAESKEQELESYNRNVDELSSLLKGTNPACEARSAWEVQEGTDDLKQLMNSRRVYINKQEECMKMIKDLGLLPADAFEVYKGKNKKQLQKMLYECNEELKKYSHVKRKALDLYVNFSEQHEELKRRRVELDAGDQKIKELVSLLDQRKDESIERTFKGVARDFREVFSKLVQGGHGYLVMTKKKDDDAGDDENNGDETREQDLEGRVEKKYVGVKVSFTSNEETQSMKQLSGGQKTVVALALIFAIQRCDSAPFYLFDEIDAALDPLYRTAVVNMIRCLADKDGIQFIATTFRPEIVKLADKIYRVTHQNRVSSINVASKEQALKFIEHDHIRDRNELLEMTDV >Et_4B_039376.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12353529:12355091:1 gene:Et_4B_039376 transcript:Et_4B_039376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEASTAHSQPRAPRPPGPPRVLPRHLRTAGALAAAIQGLINASPPRAAAQTLHAQLLASGLGAPADVSVKLLVLHLRCGSLGNARAVFDGMRRPTNAAHNYLAAGYFRRGLPWEALEVVRRLAACTGRVDAFALSMALKLSAALALPGVAAREVHARVVRTVDGFDEVLFAALVDAYVKTGSLGHARRVHGGMPARSVVCSTALLVGCMNEGLCKEAEAIFQDMEEKDVVAYNAMVEGYSKTEETAECSLEVFKAMQRAGFRPTVSTFVSVLGACSLLSSPELGEQVHCQAVKGNLIGDIKAGSALVDLYSKCGRVEDGRRIFDRMPATNVITWTSMIDGYGKNGLSDEALQLFAEMRKRRVVRPNHATFLSVLSACAHAGLLSQGQEVFQSMESEYSLQPRMEHYATMVDLLGRFGSVRQAYDFIRGIPAKPNTDVWAALLGAATLHGDVNMANVAARKVFELSRGGRPGAYMAFSNTLAAAGEWDGVQDVREMMKQRGVLKDAASSWIGSENSPMVN >Et_5A_040198.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:21885645:21887861:1 gene:Et_5A_040198 transcript:Et_5A_040198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPFGKQVGDLLYTYISANKLRHIFKASKHARIDRCCVRSCRNTFQLVPSSPLSNLMNALLDVFDVIGDMMANFGHGQQTHCPIEEPSEAMRLGLNRSIDAHHESFNAVLNVVGELLQVPRPNLIKLANLMSWLLGQHVENGLHALHFGLQEFDLPSHSLVELVLISQEDPQLGEEAAQCGCRELH >Et_3B_029542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25894092:25895300:1 gene:Et_3B_029542 transcript:Et_3B_029542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSKGTIGVRKDTYHSNHVKWEKNIKFFLFWCFEQNLYNGYQFSNRYYSTYGHVGKLAEEIKKGASSVEGVEAKIWQVPETLPEEVLGKMGAPPKPDVPIITPQELADADGILFGFPTRFGMMAAQMKAFFDATGGLWREQSLAGKPAGIFFSTGTQGGGQETTPLTAITQLTHHGMVFVPVGYTFGAKLFGMDQVQGGSPYGAGTFAADGSRWPSEVELEHAFHQGKYFAGIAKKLKGSA >Et_5B_044837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6409074:6414130:1 gene:Et_5B_044837 transcript:Et_5B_044837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDHLAALLRGGGGGAHPQTVHGAAIRLGCIASTFLCNKLLLAYLRRPVLADARRLFDEMPRRNLASWYILISSSARLGAVSEAFSLISGVLRGAGRESCDRPDSFTLGALAAGCARAIDIDAGSQVHACAVKFGVDEDESVAGALVDMYAKCGRVDSAWRAFALAPQRSVVSWTSMIACLVNQACPGYHDSVIALFKKMLVLKVWPTNATFSCILKAFDVPELLPVGMQVHGCLLKMGTEIDPALGSALMKMYGRCGGVDGMARLACRIKHDVFSRTSLLVAYARNGYNMEAVGLFREMITENVAFDQSAITSLLQICSPLGQLRMVKEGHGYALRTFFKLDTFLLNATITAYSRCGDITSAEHVFNLLENKDIISWTAMLTCYGQNGLVREVLMFFREMLWRGLESPVFCITSVLRACSITIDLTAGLQIHSRALKIGIDDDNSVENALVNLYAKCGSVHVALKIFNSMRHRGIISWNALITSFSQHGYQKAALQLFDLMQEEGVCPDDYTFVGLLSSCSRMGLVKEGCEYFKHMTAKYNLEPKMEHYTCMVDLYGRAGKFSDAVDFIDTMPYRPDQMVWQSLLASCKVHGNVQLGRVAAKKILEIAPEDPSPYIILSNIHASVDMWDEKAWNRNELDTQRAGKDIGSSWINSQDFSDNIYDALQIPVYAKSVSVLMPGQNVPTFIAHLTHAPCAPDRNRGSSGFRIIPLLSLVPRHTRASIESITDVGLVD >Et_10A_001359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23746999:23752218:1 gene:Et_10A_001359 transcript:Et_10A_001359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPSAVTAGSGSPGDKENISEDVDHPLLDKEVAFAAKGRKKPAGFNLRKSIAWNPAFFTEEGVLDNSELSVLTGFKLMAHGTPSSGFNGIMSPLRRSGRCGNTSVLKEVAESSRGKLSAKHRCIENQGRRLFSSAKPPQRDERKEPVGCQIRSSARSYQKCIPRKKVPNSTSTTPMSRILKKPLPMALRSTTPETTVLKSNKNLPPVKTEPIHKVPGLLPKSKIESGPILEKDMVPSVAAIHEEANGSVKLKNPQISPSSFGGKHQLLLNHQRSECLRLQLENAHMSHSNSAQRNAGRFSSVNSSSLVKPPRYKQSEDLKTGIHLSKLLSTSSTTASNLISRPVTTDSNTNTLVGSEKRSLSKIISTYSAKSRDANYQERPEVYCLLAGSAGSAATTQPLSSEKNDGARNSMPIVYNDTSHVEGRGIIKEIEPTENSLSLKATCLSTFEVIDDSCSLKATCSIISPIAGSNISSQTSYLSCQSKSDSGSVAEIDLESSCVGERGLAIPMSDGDSCDLDIDSSRNLRSCDDLNTECALMEYTIHADQMTPRGNSSDGMPALADRSSVSNDSLCDEGNSVLSEEANNDGGTELEINNASALTEDPLLQMGPEPNHTYKGTKCSPVQQEAPKTCVERQHALSVEPNMEDEMVSDSYKLPAQDDASHTEKDKAVVDRPRTNTILGDHLKNLVPFTEEWLAVMEACGQEVLEQKSGAVQNSPPDKSAPEPSPWSPVKRKAQDVGPFDCTKYSKSVRTSPELERLCGALALPASVLARLRGVLAGEHPGVHLDVHLLVLGLVGRDASLLHARHDLQRLLEEGRPDADVGQRLEEVLVRVRAALPDLVPEQQRLPGPARYGDALGQAAVGGRVRLEAAVLLHGLDELLGRVELAGAAVGFDEHVPGRPVHFHLTLCIWWNTSCAVLGCPAAANAFMSVV >Et_2B_021908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6835770:6843495:1 gene:Et_2B_021908 transcript:Et_2B_021908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTMRENYSNRCSIPVISLLLFVALIAVFNSYYTSPNRSIPWLHSSGRKRGEPCDIFRGTWVLDPDAPYYTNDTCSVIDEHYDCMTYGRPDLGFIKWRWRPDGCDLPRLDPARFLAVMRGKSLAFVGDSVARNHMHSLICLLTRVSALSFLR >Et_3B_029718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27342178:27343833:-1 gene:Et_3B_029718 transcript:Et_3B_029718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSTAATTVVSGSAAGGSMLPGPPPPTPSNHHPLPSANAGGTDAALSAFLHRMLLSSQAPPLRSPPVARSQSAPSLPPLVAFDAPDPRALRDAADTGYFHLAGHGVPSELPSSALAELAQIDVSVRRESNLRTLGFTEEEEEEQDADGGVDDPALVFDASEEEPDALPAAASEYARRMRDVGMRVVALLSGSPDVGFREDPFAEGRRNARCLVWVSKVCAGETGAPPAPGKAKAYPYVVGLHSQWEATGKEAAPGNWVMNDGGEWTSVGARDGAILVTIGDIAQVWSNGKLKKSRGIARPTSAPSKDGQHCEPDRLSVTVLITLPLDSVISPLVPFSDAAGEEGHDDETDGIGEGGDEWRFHSFLLEDYAWRVFNQRLQFKDPLVRYRI >Et_4B_039861.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:4330276:4331274:-1 gene:Et_4B_039861 transcript:Et_4B_039861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVDKWHPTHPGRLSTSSSIYRYPPDRTTTDQRPAVPAVSPPVASQNGNSGGWCAPSSGGVDGDDEVWHELRAEAQADAESEPLLRKFYADLVLSRPSLEAALAAHLSAKLCVPGALPQDALRDLIAGALAAHPEAGRAARADLLAARDRDPACDKMVHCFLYYKGFLALQAHRAAHGLWSEGRAAAALLLQSRASEVFGVDIHPGARIGCGILFDHATGVVIGETAVVGDDVSILHGVTLGGTGKESGDRHPKVGDGVLIGAGASVLGNVRIGAGAKIGAGAVVLRDVPEGTTAVGNPAKAIGKKAAPQRRPEEQPGVTMEQRWSDYVI >Et_1A_006464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22814643:22822124:-1 gene:Et_1A_006464 transcript:Et_1A_006464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVPDAESSPAPTVNEGRTPSEAVEAGVAVAVQEGAMEGDAATAVEEPDEVEPEEDEEAEEPQEATATAAEPDDEVEDDPDEDPKEEENAREEAEAAAKKDSDEEIVMEPLAVVKPGEVQEEYESEETEEEEEEGEDEEPSEWDEESEELEMEEPEEAIKGAEEGGVVEDGTKGSVDVSGADHMNETSQGEHVRSGDVQNSELAGALEVMVDELPKDCVEEDVAVVFSECGEIKSVRIVRNSSTEKSKDIAFICYASIEAANKALTEFKEGIEVKGIKVRVLATLNDIGIQEFEISLPPCKGRNRGFAFLKFKSHYYARAAFRRLMKPDAIFGADGSVRVSFYRKPTKPRDDLMEAKRVYLEHVPLSWNEDKVKECCEGYGKILKIDLFQISKNMKSEIFSFVEFVSSKSALACVEGINDANIVDGAFKVFCVLFSKAYIFFANLLTWAFSLITKLSACLARPKNGATSEGTTSSKKEDDEAKKEVDKKSLQKLPKGNKSRQTSSTKEVVVKKNAPNKLPRGNERKRTSQEAAEEPQTSKSSEGERKLGKSKNASVNQRQSTKARDYRNVDGSNLTYQSAAVLQTSNPSTGKRKLGKNRNTYTNERPLKKAHNNLRQPNRTRGTGQPAGPAPDSRTHHSLGGSSRSKAYASDLQPHAGYIPPANQVHSTNAYHQRRFILVSSLLLIVITAQYDLHPIDAHPYARETASLRSTYSDYTSRAQYQTGYEYVYPPPPTSESYYRGRGPFIPRRGEY >Et_1B_011088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17428514:17445551:1 gene:Et_1B_011088 transcript:Et_1B_011088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRPGTAVWVEHPDLAWAEAEVVSSVPTASSSLSSVTVVLFNGAKVVVIGRKVLPRDTEADLCGVDDMTKLIYLHEPGVLCNLARRYALNEIYTYTGRILIAINPFAKLPHLYEMHMMEQYRGVQFGELSPHVFAIADASYRAMVGENCSQAILVSGESGAGKTETTKLIMRYLTFVGGRATGNIRSVEQQVLESNPQLEAFGNARTVRNDNSSRFGKFVEIQFDKSGRISGAAVRTYLLERSRVVQISESERNYHCFYQLCASGQDADKYKLAHPRNFNYLNQSHTFELQGVNDAEEYLKTRRAMDIVGICFSDQEAIFRTVAAILHIGNIEFSPGNEFDSSAIKDAKCEFHLQMAADLLMVDASLLLSTLCYRTIKTPEGNIIKAIDNSSAVIGRDALAKTVYARLFDWLVDNINKSIGQDMESRAQIGVLDIYGFECFKYNSFEQLCINFANEKLQQHFNKHVFKMEQEEYKTEEINWSYIEFVDNQDILDLIEKKPIGIVTYQTDLFLEKNRDYIITEHQNLLSSSKCSFISCLFASQQDDPSKSSYKFSSVASRFKQQLQALMETLSSTEPHYIRCIKPNSLNYPQKFENSSVLQQLRSGGVLEAIRISLAGYPTRRTYSEFNNRFGLLVPEQMDERLDERSLTEKILKQLNLENFQ >Et_4A_035253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14936506:14940017:-1 gene:Et_4A_035253 transcript:Et_4A_035253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGAEARTGSPSPENTDSCGSGKTKDVAVAQTMAEKALYMEKLRLWMLNYQKKWKTELTSNEKILKALHIVRRQEFTEYDPKKRAFVATRFCKFNMAFFDFEREVELGKPLIELKRSDRASLEASVNVISVKIAESDVGYPIHVFGTVLARDQLDYKCVYLLKRGRDNPQVISSPNDMLTLTGPNRAFGVTDRMFFEINLKIKCDDNGERDFSKGVIEHNECHTGHTRKRLLTSWLSTVEFVYSPVQCSVEATIAVNVLKGPRDFVGKVIAWTARNCDNQIILYDSEAAGTRTEVGNAGSVKLSCCSVAVALAEKLVLDICVCGGDGFKLELGQQDDKRIWNVGSYELEVKSNIRYKKKKKKEMDSLALRTQPKPSRDGSVGVLAKFGHHRNRAATRGPIANAGGCALSVRARRRDVASSQRSVAREEEGARQRKEPLILLLRWPNSNWSPFCFSIQPTRAASVEHRDGNGCYI >Et_4B_037347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18767772:18771573:-1 gene:Et_4B_037347 transcript:Et_4B_037347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWDREGRSKHERGHADHACFYAIKNPKPFHWHSPCIVGSQQTVSAWWDIAVAATASDLEGAHALAVKQQRENLLRLNPSIKGQPYSKMEALSWDNLQFEEPLLENSVAGSSEKIIDIKEVRHHTGSWRACTYILVTQCFEELAYYGIQFNLVTFLKTVLHENNVTAARNYTNWQGTCYIAPLVGAVIADSYLGRYLTTLAFFTLYLIGMATMSISASFSACAGPDCLQEGLPKSVVFFVGLYMMAIGAGGIKPCVSSFGADQFDDSIPAERLKKDSFFNWFFFAIYIGSFVSGTVVVWVQDHCGWVIGLWIPTLFIALAVVVFLLGSSSYRVQKPQGKPIVRVFQVVVAATRKWNVVLPHDDVLLYESSEKKKSMADGSTLQHTPVLRFLDKAAVISSPEELSAGPDPWRLCTVTQVEELKAIIRMLPIWATGIVFFAVLAQFSSTFLEQGRTMKTQVGTFAIPPASLASFDAVSVVLWVPVYDRILVPAARRLTGNERGLSELQRFGAGLLLSVLVMATAALVETRRLAVDAAERVAPLTMSILWQVPQYLLVGASVVFACVGQSEFFYNEAPPSMRSLCSALALLTVALGSYLSSLVVTVVACLTATGGEPGWIPDDLNEGHLDRFFWLLAALSALNLAVFVCCARRYSRKKMLSGFSG >Et_4A_035035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:916282:919303:1 gene:Et_4A_035035 transcript:Et_4A_035035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAQLDKMQLRQSYRNLWHTDLTNAIQADFPYCCLALWCGPCVSYMLRKRALYNDMSRYVCCAGYMPCSGRCGESRCPEFCLATEVFLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQVACIFSLVAAIVGSEELSEASQILSCMSDMVYWTVCACMQTQHKVEMDKRDGKFGPQPMAVPPVQQMSRIDQPIPPPAGYAPQPAYGQPYGGYPPPPAQGYPPAGYPAQGLPQGGAYPPPGYPPQGSYPAPQGSYPPPQGSYPPQGYYGK >Et_7B_056027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9755340:9757727:1 gene:Et_7B_056027 transcript:Et_7B_056027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKIYRRKLRGRTVTEICDELVFEILLRLPVKSILRFKSVCKAWCAIISGPLFIRLHLQQSVIKHEQQQASFLIAPHTLDEVIEDEPWPSTFCNKVPFYLWQEGQENACLMLSTDFNGEFESVYFMSHCDGLVMLPTNTMVYVLNPATGDVLKLSNGQKHTREFQTFGFGLDSRTNKYKVACFYYRSLDAFMRAYDAGMEVFTICGDGINSCWRSTVEDPPYPIQLQPPTYLKGSFQPFSRTVNDGLLVRKANNLYSYDEANQKVREVISLDRLVGYKDPGVGSVDFIGEDLFFFNVVPYTESLVPVTKTKKKGPIVYCRNCYMETLLVLVTALLCKQLLTPFKRRRTASLPSHAQEAYRSSATSTSSARSRTPPSTLAELAVEHAAPLMLLRLGSARLRSHARRLLSSIDALRPRHVRPPGSRTACGTSCSRPLTRSGARHGSRAGRSSSASRARVLWRSVGPRRPGARV >Et_8A_056930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18988641:18996559:-1 gene:Et_8A_056930 transcript:Et_8A_056930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRPYPPQQQHPPPPPQGGFPPQMNPFAPQPPQQAPYGRMPAPPFHAAPPPGPPPGPPPPHQPQFNFGPGAPQQQQPPPPPPQMYYQPPPPNYGGNSNPPPPPPSAPPPPPSPPPSAPPPPPPPPAQPPPSQAPPPPKEQQPKASLPRVETEEERRARKKREFEKQRVEDRKQQQMLRQSQATILQKTQQVRAAQQQQQPQSRHHQAPGGSRAAASGSRPASAPNAERFENRLKKPTTFLCKHKFRNELPDPSAQLKWLPLNKDKDRYTKYRITSLEKNYMPKLIVPEDLGIPLDLLDTSPPMAPEDEELLRDDEVLTPIKPEGIRKKERPTDKGVSWLVKTQYISPLSTDAAKMSLTEKQAKERRESRQGRNSFLDNLNDRQKQIKAIEDSFKAAKSRPVHQTKRGMALDWVMPLLPNFDRYDDQFVMVNFDGDPTADSEQYNKLEGPVRDECESRAVMKSFSVNGSDPTKQEKFLAYMAPAPHELARDVDDEDDVQYSWIREYHWEVRGDDKDNPMTYLVTFDKNEGARYLPLPTKLVLQKKKAKEGRSGDEIEHFPVPSRITVSRTDHGGTMERGESSSMHGNLKRQRSVVDDDLDEHPKHARVEDMDQYSGDEYSDERWLLEIKAERCRAGGFIIYLMKGCQ >Et_7A_050405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13085540:13086211:1 gene:Et_7A_050405 transcript:Et_7A_050405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDASRCIDPRSPALAAGDEEDKETTAVVRGVRSDRLFFEPAGAEFLPLKQQSAPAPARGEEEAADTASSVIESDEPAAAAAAASAAPSDEAHDAVKGGAVVVTVESKDPYGDFRASMAEMVAAHGLRDWDALEELLAWYLKLNAKGVHAAIVGAFIDLLVAMQASPAPATPPSHPSPSPSSSCITFEGEYSSATFDDEERS >Et_4A_033500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23986723:23987292:1 gene:Et_4A_033500 transcript:Et_4A_033500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARARACLLAAAAVSLLFAAASAQSGSDSSDCTSALVSLSPCMDYISGNGTAKPSASCCSQLRTVVQSKPQCLCAALGSDASSSLGGVTIDRERALGLPAACNVQTPPVSQCGSSGSSGGGSKTTPSLPSGGASLGAPAGLVIGLAVAAVYAVSLAA >Et_9B_065356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4046378:4055542:-1 gene:Et_9B_065356 transcript:Et_9B_065356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSGSKGSESAAAGLGGGDGSSGEGGAGEVAWHGGAQLYVSLKMANAQQISGDLVPHVCGSEPIIGSWDHSRALAMERELASMWELSFVVPPDHETLDFIFLLKPKGAATPCIIEEGPMRHLTGGMLEGDARVALFKLDGDDEVLEFSVFNKADVVSPLDLAASWRVYKENFQPSQVRGIPDISINVAPTHPTEDGPPASLELDLEHYVVPAPTAPPEYAANLAATPASLIQSGANDFLLSDGIHSSRSVAEDFEVHGTHQKNVEALVVDYSTKVQTSGLTESKSVGTFTPLQMQDGQKGLFVDRGVGSPRLPKSASACSMASGLSFGSAKTMPEAAGAVAAAAVADRLHGSKEDRKLAIVLVGLPARGKTFTARKLTRYLRWLGHETRHFNVGKYRRLKHGANLSADFFRDDNPEGIEARNEVAALAMEDMIDWMHGGGQVGIFDATNSTRKRRHMLMEMAEGNCKIIFLETICNDPNIIERNIRLKIQQSPDYADHPDFEAGLLDFKERLMNYEKAYEPVGEGSYIKMIDMIKGQDGQLQVNSHLTPRPILLTRHGESLHNVRGRVGGDTVLSENGELYAKKLANFVEKRLKSEKTATIWTSTLQRTILTASPIVGFPKIQWRALDEINSGVCDGMTYEEIKKIMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLREVPDIEMPLHTIIEIQMGVKGVEEKRYKLMD >Et_3A_024097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17332702:17342664:1 gene:Et_3A_024097 transcript:Et_3A_024097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSEEQLKLLGAWPSPFLHRVRVALHLKGLKYEYVEEDIFNKSELLLASNPVHKKVPVLLHGGRPVPESMLIVQYLDDAFPGAGRAILPADPHDRAVARFWAAYVDDKLHPAIIASLKATTEEEMAAATTNTFAALEMLEGAFAELSGGKGFFAGDTPGYVDIALGGFIAWLRAWDKLFGVTLLDASRIPHLAAWAPRFAALDAAKGVLPDVDSIVEFGKQEWPEAGGTSSGFAWRCTSRGWTTRTWRTTSSTRAIELLLASNPVHKKVPVLLHGGRPICESLVIVQYLDDAFPSAGQAILPADPYDRAIARFWAAYVEDKIAPSMLDVALGGFVAWLRAWDRFFGVTLLDAGRIPLLAAWAQRFAALDAAKEVLPDVDYLVEFAQAILEARRAAAAIFPSMSKALTATTTDEVKTAATVNLVAALETLEGAFAELSGGKGFFAGNASGYVDVALGGFVAWMCVWDKLLGMTLLDASRIDPAPRRVGAPLRRAGRCQGGTPGCGQVGRKTNT >Et_2A_017901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7688897:7692593:1 gene:Et_2A_017901 transcript:Et_2A_017901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPSSSSPPLLLLLLLLISSPSISSAALSPKGVNYEVQALMAIKDLLKDPHGVFKAWDINSVDPCSWAMVTCSPDSSVTGLEAPSKNLSGMLSPSIGNLTNIQTVLLQNNDITGPIPVEIGKLAKLRTLDLSSNNLYGEIPTSVGHLQSLQYLRLNNNTLSGPFPSASANLSQLVFLDLSYNNLSGPIPGSLARTFNIVGNPLICGTKTEKDCYGTAPMPMSYNLNSTQGAVPPAKSKSHKFAVAFGSAIGCMSFLFLAAAFVFWWRNRRNRQILFHVDDQHMENVSLGNVKRFQFRELQSATDNFSSKNILGKGGFGNVYRGQLPNGTLVAVKRLKDGNAAGGEAQFQTEVEMISLALHRNLLRVYGFCMTATERLLVYPYMSNGSVASRLKGKPPLDWVTRKKIALGAARGLLYLHEQCDPKIIHRDVKAANILLDEYCEAIVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKAANQKGAMLDWVKKMHQEKKLDVLVDKGLNGGYDRIEMEEMVQVALLCTQYLPVHRPKMSEVVRMLEGDGLAERWEASQRADSHKFKVPDFTFSRCYSDLTDDSSLLVQAVELSGPR >Et_3A_027199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4613244:4615837:-1 gene:Et_3A_027199 transcript:Et_3A_027199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGLLVLGLLLLLALRPHGCSAQGGGDDDGGDSSGSAATAPMEEKERRALFAAIESFVGKGWNGSGLYPDPCGWSPIQGVSCDIFNGLWYPTVISIGPVLDNSLQCAADAKFSPQLFDLRHLRSLSFYSCFPASNPTAIPTASWDKLAGSLETLEFRTNPGLGGAIPASLGRLGSLQSLVLVENNLTGAVPPELGALTKLRRLVLSGNGLSGPIPATLGNNTNNARNRHGDDAPPLLIVDLSKNDLTGSLPPSLGALKGLLKLDLSNNRLQGPIPPELGGLRSLTLLDLRNNSLTGGMPQFVTQSSMASLQDLLLSNNPLGGSLAMSGWEKLSGLATLDLSNIGLVGTIPESMAALAGLRFLALDHNRLTGKVPAKLAELPNIGAMYLNGNNLTGTLEFSPGFYQRMGRRFASWDNPGLCYNLAAVDAAHAPSGVVVCKDLQEPSVGGAKDKAGGRKPEASSSLMSSSSSLGLTAGTKVDGFWRQLVAASSLVLVLLL >Et_3B_029744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27589377:27592733:1 gene:Et_3B_029744 transcript:Et_3B_029744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEAALKVLVEKMGLPDDPSSYLESSQFLRCCQWWKQNSYRIATLEFDRVRKSMGVIAKSNSGKKIITSQAVENLLERCTYMQLLDGTVVEMDDKSKGLILESLHEMSRSALRCLGFAYKDEISEFATYDGEEHPAHKLLLDHSNYSTIENNLIFVGFVGLRDPPREEVPEAIEKCKAAGIRVIVITGDNKETAEAICREIGVFSRDENLYTKSFTGREFMSLADKKSKLRQQGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGVVGTEVAKEASDMVLADDNFRTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKSPRRSEDSLISAWVLFRYTVIGLYVGLATVGAFVIWYTHESFLGIDLSADGHTLLSSWGQCSSWEGFKPDPFTAGDRMFSFDANPCNYFTEGKAKATTISLSVLVAIEMFNSLNALSEDASLLTMPPWVNPWLLLAMAVSFGLHFLILYVPFFASAFGIVPLSFNEWLLVLVVAFPVIIIDEALKLVRRCALRVSIKSRKAKAD >Et_9B_064722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18003324:18017872:1 gene:Et_9B_064722 transcript:Et_9B_064722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGYEEEEDEEMAETGVDSQVRIDPLLPFLASSPIAKCGSEFCHSAPFVHMACVMQGRRIGVAYYDSSTRQLFVLEIWEDNAGEFPLIDLVKYQAKPSTIYASTKTEEELLMALQRNDDSDEAPVVKLMKSSTFSYEQAWHRLIYLKLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLEQMEGGASIAIDSVAQISFYPLFLSQIYLISEKRDKFLKLDATAHEALQIFQVDKHPSYMGIGRAKEGFSIFGMLNKKFNSPSSYCTSSDWHSFLKCVCSLLHINKIFEVGISEHLANKLQHMNIDLLEKANSSITAELEYVSDLVVGVIDVQRGKEKGYETVVKEGLCDELDELRMVYEELPDFLEQVSANENASLPFSLECRNAPLIVYVHQIGYLMCFFDEKLSDALLVGLPDYEFARKEKSEGSTIILKKQENWITFWETFTIKFLFLPQLTKAVNFAAELDCILSLAIVARQNNYVRPILTEDSILEIQNGRHALQEMTVDTFVPNDTKILNAGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCVMGSKSMTTEQSTFMIDLHQVGTMLRHATSRSLCLLDEFGKGTLTEDGIGLLGGTISHFVNYDCPPKVLLSTHLTEIVTENYLPQSEHIKCCTMSVLNPDGQASNEDIIFLYRLVPGQALLSFGVPSEITQRAAGVLEDIHSKRPIKRVICDKLAAKDQQYQDAVTKLLTFDAHKGDLNSFFQEIFPSEW >Et_2A_018446.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28451992:28453914:1 gene:Et_2A_018446 transcript:Et_2A_018446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSVFSKSRISPSPARRHFLLHGLLPCSLHTGTLLRPAASAAGATLAPPPSSGAPHLSSQWPSCDYYTTTLRSCIAARAVRPGLQVHARLLVSGLGLHAALTTRLVDLYASSGHVADARYLFDGMHQRSVFVWNVLIRAYAREGPREAAIELYRAMLESGMEPDNFTYPPVLKACAALLDLGTGREVHDCVARTRWFADVFVRAGLVDMYAKCGCVDEARAVFDGTAVRDAVVWNSMIAACGQNGRPTEALALCRDMAAEGVGPTIATLVSTISAAADAAALPRGRELHGYGWRRGFGLQDKLKTSLLDMYAKSGWVRVARILFEQLMHRELVSWNAMICGYGMHGHADEALELFSKMRTEAQVTPDNITFVGVLSACNHGGMAKEGKEFFDLMVNVYSVKPTVAHYTCLVDVLGHSGRFDEASDLIKEMSVEPDSGIWGALLNGCKIHKNVELAELALQKLIELEPEDAGNYVLLSNIYAQSGKWEEAARVRKLMTNKGLKKIVACSWIELKGKSHGFLVDDTSHPRSDEIYEELERLEGLMAQAGYVPDTRPVFHNVEDDEKRNMVRGHSERLAIAFGLISTPPGTKLLVTKNLRVCEDCHVVIKLISQIVQREIIIRDVNRYHQFVNGECSCKDHW >Et_7B_055698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22413890:22415068:1 gene:Et_7B_055698 transcript:Et_7B_055698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYKKMLIRLDVFLVAGELVMSSTRPYLTSLGRPLGRNAPLPSHQACVHQGALQQEQR >Et_1A_009125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3063287:3067751:-1 gene:Et_1A_009125 transcript:Et_1A_009125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTPAADVEAGLLAHLNSAGEVTDSRSFASSLGVSHAELESVIKRLSAFRIVDSTDITKEAWLLTEEATGYAAKGSPEAQVVAAIPPEGATKDVLMVSFLFGASYAKLGDAFDIGMRAAARNKWIGFENGNKDVLRKVESVKDELQEQLKKLQAGEAVPDKVIHDLKRRKLITKEKSIWYSLKKGPEFVLKRKTLATDETTEHLRSGDWKDLEFKDYNYGAQGQPIAKGYAHPLLEVREEIQNIFIKMGFVEMPTNNFVESSFWNFDALFQPQQHPARDSHDTFFLTAPASTKQLPEDYLEKIYGYDWKRDEAQKNLLRTHTTAVSTRMLYKLANEKPFVPKRYYSIDRVFRNEAVDRTHLAEFHQIEGLICDYGLTLGDLIGVLEDFFASLGMSKLRFKPAYNPYTEPSMEIFSYHEGLKKWVEVGNSGIFRPEMLLPMGLPEDVSVIAWGLSLERPTMILYGIDNIRDLFGPKVDFSLIKSNPLCRLGLQ >Et_2B_022907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7595167:7596589:-1 gene:Et_2B_022907 transcript:Et_2B_022907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRWLEASRYEHMSLHTSNGENADSFNDDFSCAGRASGSCSVISFKPPIKTLMLGFHVLRINGYSLLCNMMDKGDYIDSPAFHLGGHTWRLQLYPNGEEEEDSDDHSSNSEEDCDDDKESRSARNLSLFLNLDSGTDDGQPIFVRPQFSLLDQLGNPGLVSDQGWGKISRWKLWGSDWISKEELEKSKYLKDDSLALRCDLEIANVIKSRGMLIVSHCIKCKFSLGLDLFTRTCTANMNYAEPYEAYARGRMR >Et_3B_030437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3824477:3827398:1 gene:Et_3B_030437 transcript:Et_3B_030437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDPSGAAVAEALPPSPAPAPPTPLLSWAAPTPAPEVSTSPTGWDAVWALEDQQRRRLNRIWERGVAWKPSPAPGETEGSPAAVVFRLDHGGEVESDGNCLFTAARTAAAAKADARELRQRAVRRFAEVYAAAGDDDKGAVDAAVRHLYAPDLKAGWGVHVVQEIKMLAPKAQRDSLDAAIQELVDIGIQREIAAETIYKERCISVNNGDSWAKYMAISGSAEDEHDIITMQYTEEGLLTIDENRDGRAAAFGDDIAIECLATEFKREVYVVQAHGADAMVDEDNCVFFLPHRPRGEICEPQPPIFLFMKGTAWCGAGADHYEPLIATVLQHVTPDKAAVVLTSEEVELPSEYLPAPT >Et_7A_051763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24267544:24268933:-1 gene:Et_7A_051763 transcript:Et_7A_051763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLERTEEPRLAEMGIGQGHLYTGPARSGHDETCTVAVSKKTTGTAQVGFPAAPTFLQSGSRKEETRRK >Et_7B_054512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22361381:22364049:-1 gene:Et_7B_054512 transcript:Et_7B_054512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCQLLVGCRMEMEEEAFFDTRPELSPLAASPALPWSAGGFCSVRERRERFLRSMGLECSVSPQPVCMVGGAENAEEEIVPELGRLWSQSDENDCSMSSWSTESYEGGTSDDNSISGSSKDGGSKVGRSFSSLSFIQRLMSRSGKLSGVPKAIEKRRNGWLRRLGLRVGLVDHEGDEASTSSSDSGRIGVGRYERVKVHSHRKRSRELSALYQGQVIKAHDGAILIMKFSPDGQLLASGGEDGVVRVWTVMQSEDCRIPMDDPSCVYLKTHHKCGLTPVNLENVKKRKIKGMKQSGELACVVIPATVFQISEEPLHEFHGHCGDVLDLSWSNNKQLLSASTDKTVRMWEIGFANCVTVFPHSNFVTCVQFNPANENRFISGSIDGKIRVWDIPQCSVIDWADVRDIVTAVSYRPDGKGVVVGTITGNCRFYDSSDRLLRFETQMALNGKKKSSLKRITAFEFCPSNPSKLMVISADSKVKILDGTNVTQKYTGLRSGSCQLSATFTRDGHHIISASEDSNVYVWNHENQDEYTWKQAKSITSSERFHSNNAAIAIPWNGKAPRTTVSLASQLLAPQGDTFWCMSRPSKCNSCRPGEDSSINNFVSKHAAAPVIFNLNQELSTDSTCKSAATWPEEILPSCSVGDIFDESQHKFLKKCLQGTSNLWGQVIVTAGWDGRIRSFQNYGLPINQ >Et_5B_044346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2356903:2377684:1 gene:Et_5B_044346 transcript:Et_5B_044346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPINSSNMEQHSQRLLEPELPVQVRLQLAMEVRDSLEMTHTPDYLNFLRCYFRAFSSILTNYTKPQATENAEHKLRNVVIEILNRLPHSEVLRPFVQDLLKLSLRVLTQDNEDNALLAIRIVFDLLRNFRPTVEAEVQPFLDFVVTIYRNFPNTVAYFFENPNANANISAAVPIQHLDPTVDAPGTMAVPGGGQLNPSARSFKIVTESPLVVMFLFQLYAKLVQTNIPFLLPLMVSAISIKGPDKVPPHLKTPFNDLKGAQVKTLSFLTYLLKSNADYIKPYEESICKSIELLVGLKQVLNTEYRRGLFPLIDTLLDERVLVGTGRVCVETLRPLAYTLLAELVHYVREDLSLPQLSRIIYLFSRNMHDSSLTLVIHTTSARLMLNLVEPIYQKGVDQQSMDEARVLLGRILDAFVGKFRTLKRTIPQLLDEGEEGKEQPNLRMKLEVPLQTVLNLQPPLEYTKEVHDYKSLIRTLAVGMKTIIWSITHAHWPRPQQQNQQASNLQVQPFRGLREDEVRKTSGVLKSGVHCLALFKEKDEDRDILQCFSQMLAIMEARDIMDMFSFCMPDLFDCMITNNQLLHIFSTLLQAPKVLRPFTDVLINFLVSSKLDALKQPDSPAAKLVLQLFRFLFIAAAKAPESCERTLQPHVPVIMEVCMKSATEVEKPLGYMHLLRSMFRALNSAKFDSLMRDLIPSLQPCLNMLLSMLDGPISEDMRDLVLELCLILPARLSSLLPHIPRLMKPLVLALKGSDDLVSLALRTLEFWIDSLNPDFLEPSMANLMSEVILALWSHLRPPPYTWGTKSLELLGKLGGRNRRFLREPLALECKENPEHGLRLVLTFEPATPFLVPLDRCIHQAVGAVMQGSSMEAFYRKQALQFVRVCLDSLLNLRENVSGEGVNPGVLGTLLISSLDPSRRRNDASDMKGDLGVKTKTQLLAEKSVFKTLLVAVISANSDTSLHDEKDDYVVDLCRHFAMLFHVDSPSSNQSGFMQPIGTSLPSSISMGSRSRSNTSSNLRELDPLIFLDALVEVLSSENRQHAKAALSALNTFAETLIFLARMKHTGMLRGGPSTPMLVSSPSLNPVYSPPPSVRVAVFEELLPRLLHCCYSSTWQAQMGGVMGLGALVGKVSVETLCIFQVRVVRGLLFVLKRLPVHANKEQEETNHVLTQVLRVVNNADEANSEPRRQSFQGVVEYLATELFNPNASMVVRKNVQACLSLLASRTGSEVSELLEPLYLPLLQPLISRPLRSKNIEQQVGTVTALNFCLALRPPLLKLSPELVNFLQEALQIAEADETVWVTKMMNAKVVLTWNKLRTACIELLCTAMAWGDLKAPNHNDLRAKIISMFFKSLTCRTTEIVNVAKEGLRQVVQQQRMPKDLLQSSLRPILVNLAHTKSLTMPLLQGLARLLELLSNWFNVTLGAKLLDHLKKWLEPEKLAQTQRSWKAGDEPKIAAAMIELFHLLPPAASKFLDDLVTLVIDLERALPEDQFYSEINSPYRAPLAKFLNRYAVEAVDYFLARLSHPKYFRRFMYIICSDTGELRDELAKSPQKILASAFSQFSPQTDVAAAQLSSSVKDEALTGAITESFTGQSSSNMVTGSDSYFNGLELVSALVKLMPEWLRNNRVVFDTLLLAWKSPARIARLQNEQELSLPQVMESKRLIKCFLNYLRHDRTEVGALFDMLSIFLYRSRIDYSFLKEFYVIEVAEGYTPNLKKTILNHFLNIFQSKQYGQDHLVVTMQILILPMLAHSFQNGQSWEVVDSSIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQNDLVHHRKELIKFGWNHLKREDNSSKQWAFVNVCHFLEAYQAPEKIILQVFIALLRTCQPENKLLVRQALDILMPALPRRLPPGDSRMPIWIRYTKKVLVEEGHSIPNMIHIFQLIVRHADLFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVAWERQRQSEMKVALESDSQNQIGDMVNPNAIGGDPKRSSDVPMFADDLSKRVKVEPGLQPLCVMSPGGASIPNIETPGSSGQADEEYKPNAAMEEMIITFLIRILEPCFNNKMLDAGKSLCSLLKMVFSAFPLEAATTPQDIKLLYQRVQDLIQKQLAAVTTSQISLELSNANSMISFALFVLNALAEVQKNFIDPFIGLLFRVLQRLARDMGSSAGTHIRQGQRPDLDSSVSSRPTVDSTVISNMKTVLKLLSERVMSSSDHRKSMGQILQALLSEKSTDPSILLCILDMKKTWIEDDFRLASSTGSVSSLNPKEVLTYLQKLSQVDRKSFPPSAQEEWDAKYLELLYSLCADSSKYPYAVRQEFFHKVERQYMLGLRAKDPEMRKRFFNIYNESVGKSLFSRLQFIIQTQDWEAVSDVFWLKQGLDLILAILVENEPITLATNSARVPALMVAGPVPDRITLPQQVADAHESMDGTSLSFDSLTTRHAQFLTEASKLVVADIMAPLKELAFADANVAYHLWVLVFPIVWVTLHKEEQVALAKPIIALLSRDYHKRQQGARPNVAQALLEGLHLSHPQPRMPSELIKYIGKTCNVWYTAIALLESHMVLMNEAKCSESLAELYRLLNEDDMRCGLWKRRSITAETRAGLSLVQHGYWQQAQNLFYQAMIKATQGTYNNTVPKAEMCLWEEQWLSCATQLGQWEVLADYGKGVENHEILLDCLWKVPDWAYMKENVISKAQVEETPKLRLIQAFFTLHDKGTNGVSEAENLVSKGVELALEQWWQLPEMSVQSRMPLLQQFQQLVEVKESSKILLDIANGNKPASAGSGGNNAHNSFADLKDILETWRLRTPNEWDNMTVWYDLLQWRNEMYNSVIDAFKDFGQTNPQLHHLGYRDKAWNVNKLAHIARKQGLPDVCVTILDKMYGHATMEVQEAFVKICEQAKAYLEMKGELVSGLNLINNTNLEFFPVKNKAEIFRLRGDFLLKMNDCENANQSYSNAIALFKHLPKGWISWGNYCDMVFKDTNEEVWLEYAVSCFFQGIKYGISNSRSHLARILYLLSFDTQNEPVGRALDKYLEQLPHWVWLSWIPQLLLSLQRSEAQHCKLVLLKIAQVYPQALYYWLRTYLMERRDVATKTEMGRNMLAQQRMQQAMLANNAGSNLADGSARASNHAGGNMASDNQVHQSTQSGGATGSHEGGNLQGQEPDRSNVEAGTGVSHDQGQQSSATAEVSQVPLRRNTGLGWVTSAASAFDAAKDIMEALRSKHTNLANELEYPTATTGEVPQSLKKELSGVCRACFSQDAVNKHVDFVKEYKQDFERDLDPESATTFPATLSELTERLKHWKNVLQSNVEDRFPAVLKLEEESKTLRDFHVVDIELPGQYFTDQEIAPDHTVKLDRVGPDIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERMLQLFRVLNKMFDKHKESRRRHLAIHTPIIIPVWSQVRMVEDDLMYSTFLEVYEINCARHNREADSPITIFKEQLNQAISGQVSPEAVVELRLQAYNEITKNIVNDNIFSQYMHKILPTGNYLWTFKKQFAIQVALSCFMSYMLQIGGRAPNKILFAKNTGKIFQTDFHPAYDTNGMIEFNELVPFRLTRNMQAFFSNFGVEGLIVSAMCSAAQSVVSPKQSQHIWHHLAMFFRDELLSWSWRRPLGIPSVPVAAGMINPLDFQQKVINNVEHVINRIKSISPHYLADEEENATEPPQSVQRGVTDLVEAALSSRNLCMMDPTWHPWF >Et_4A_034630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:499654:503800:-1 gene:Et_4A_034630 transcript:Et_4A_034630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHCGARGAHHYAAAATNPLLHSPPTAASATPRLGYTLMLSRLPLAAHLRCLLCSSSTVSAAPARPLIHRAMASTAATQTDGVAAATEYEEVLGRLSSLITQKVRAHSGNRGNQWELMAHYLKILELEEPIARMKVVHVAGTKGKGSTCTFTESILRSCGFHTGLFTSPHLMDVRERFRLDGVDISEEKFLKYFWWCWNKLKEKTDDDVPMPAYFRFLALLAFKIFSAEQVDVAVLEVGLGGRFDATNVVEAPVVCGISSLGYDHMEILGNTLGEIAGEKAGIFKKGVPAYTAPQPEEAMVSLKQRASELGISLQIADPLEPHQLKNQQLGLQGEHQYMNAGLAVALASTWLEKQGHKDLIPANCTDPLPDHFIRGLSSASLQGRAQVVPDSQVNSEEKDQNSSLVFYLDGAHSPESMEICAKWFCNVTKEGRTAPFSIGQSQTCGSSKKILLFNCMSVRDPLRLLPHLVNTSIQNGVHFDMALFVPNQSQYNKLGSSASAPAEPEQIDLSWQLSLQEVWEKLLHDSDSTNSSTTSQVFESLPLAIEWLRKNAQENRSTFQVLVTGSLHLVGDVLRLIKK >Et_3A_024273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19017089:19020128:-1 gene:Et_3A_024273 transcript:Et_3A_024273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFLRRLEHHRDVSNALNVSSFHMEDLPLEIQHLVISRLSMKEVAKASIVARNWRKLWTCYPNLCFDGTTDLSTDEGSVKIETAKFIETVNSIIHQHNGIGLNKFSIRFSLQKKSSHHLDRWISFAAASKARIIDINLWPKRKSVGPAIKACNFPLEALGAQDGPFIQSLFLKDVSIKPHMEIRGFAELRRFCLHCVQIIGNLGDLLLSCFALEDLELIACSGVTNLNIPHRLDKLRHLLVSGRRIQMVNFHVPALIHFEFQGNAIPIALHGCSNLEKASLMFKTAFKRDNKALGHAFTAIPCISAVKMLNVYADMEAREPVWAPQVPGVFHFHLSILFTWVLIIFPNVLNLFQVHKLMASPTCMFMFLRHLTCEIRVFTNGPNSHDGILQLAHYLEFAPQLEVLQLHVSTIHMFYYTLEDSWHGKVKWVGGSCARSLDHLKSVYMSGFRCYRAQVELLCGILEKGAALEHVTIEPKVIIKCVSEINLYVPEEMVRKWAQRTSELFGKAINVVEGSSVLC >Et_3B_031010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:123070:123754:1 gene:Et_3B_031010 transcript:Et_3B_031010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPISNPGCTDRCGNVVIPYPFGIGDGCFADWPESGSFNITCNQRFSPPKPFSGDFEILDITVETGEMRVVSPVSYICYNSSNTIESDQAGWNISLSSTFLISKRRNTFTAIGCSTIALLEGTEVLTGYYLTDLSHID >Et_10B_003256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18252022:18257536:-1 gene:Et_10B_003256 transcript:Et_10B_003256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFPAYRAFDFPKRRSNAMRVGSWTAVTQCRCMQGKSSSKQNSKPRTRQANTNQEELFTCSMEGREGIAVAGAHESGHGPFGADITMTEAQEAVKEYHSSPSSPSTSPTPSPPPAAPGHGEEAAATPIAWSLGGEKPSETVGDNGMQTAGQGDQANLSSGRRRGRPRGSGRRQILATLGEWYALSAGGSFTPHVIIVGTGEDVAARIMSFSQKGPRSVCILSANGTISNVTLRQPDSSGNFTYQGRFEILQLMGSFTMAEEGRRRSGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVIVGSFLPNSLKQHQRRMSLQQQPSATPALPAPMAPSSVFTAAMPISQAAPGNGCHAPPPSSAPHQEHANAAADHSSTGMNLNTAAGFTMVGWPPSSQSMAHRSSPDINVSLTPLE >Et_7A_051213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15647792:15650207:-1 gene:Et_7A_051213 transcript:Et_7A_051213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETCAPRPLFGGAISTTFPVRFQDVSNIREVPDHQEVFVDPARDESIIIELLDLKGEVDDDGSAVWFLRDIANEQDAGDNVVVEHSGTLDLARLNINGAPVVAGTAVGQLAVSKGRQGREAQNIVRIYLANIRLKNAATDVLITAYEPLLINPLSESARAVASGPAIPAEQAGCMPMSEIFKLVVMNFNVHDWNLFNGGP >Et_4A_032735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13135330:13140621:-1 gene:Et_4A_032735 transcript:Et_4A_032735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPYPMRMFGYGKMHVSPLLDAHIMAGHRNSHGKRHSDYTENGGGKRRNPGDDTYAPGPDDTVYRYLCASRKIGSIIGRGGEIAKQLRADTQAKIRIGESVPGCDERVITIFSSSRETNTIDEVEDKVCPAQDALFRVHEKLTTDEAPGNEDSEEALPQVTVRLLVPSDQIGCIIGKGGHIIQGIRSETSAQIRVLSNDHIPACAINGDELLQISGDAAVVRKALHQVSSRLHDNPSRSQHLLASSLTQTYPGSSHLGNSSTAPVVGITPVIPPYGGFKGDVAGDWPSIYQPRRDESSAKEFNLRLLCAAANVGGVIGKGGGIIKQIRQESGAFIKVDSSNSGPEDDCIITVSAKEFFEDPVSPTIDAAVRLQPRCSEKTDAESGEPSYTTRLLVSTSRIGCLIGKGGSIITEIRRSSRANIRILSKENVPKVAAEDEEMVQISGDLDVARHALVQITTRLKANFFERESALAPFPPTLSYHPLPAVVSDEPKYLGRDTKPAGHGYLYSSGYRASDDLIPADTYSHYSSSQAPGGGYVPYSAYSSRSGSSGLRAKT >Et_2B_019818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14246555:14248556:-1 gene:Et_2B_019818 transcript:Et_2B_019818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILDVPHHTPPISHAADDDHHGCRHQRRHGKYHGGGDQQEAAADEDVLNKGVRHLCERAGSGGVTSLPPRYVLPPSQRPAPAGGGFSTCRLPVVDLARLRAGDRAAALGELDSACREYGFFQVVNHGVDVDAVLDVARRFFDLPFAARAPYMSSDIRAPVRYGTSFNQLKDGVLCWRDFLKLVCDGVVGSWPGDPADLREVVSAYARATQRLFRELMEAALDALLGNTTAASAPTKAAMLAGCAAGSQMLIANCFPACPEPELTLGMPPHSDYGLLTVLLPDQVRGLEVRHVAGRWLLVDPLPGALLVNVGDHLEIFSNGRYRSVLHRVRVNAERPRISVASLHSLPPETVVAPAPELVDGGENPRRYMDTDFAAFLSYIAAAEGSHKSFLDSRRIPHPSTVTE >Et_4B_036370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20554246:20555280:-1 gene:Et_4B_036370 transcript:Et_4B_036370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLVLLLVPSAAAARVGPSVTKPIDASQTQHMELPDIVIGPESVAFDPHGGGPYVSVNDGRILNQIPIVAKEPLCGRPLGLRFHRESGNLYIADAYMGLMRVGPDGGEATMLVTEAEGVPLSFTNGVDIDQVTGDHEMVTKTGDSTGRILNADRTHLIVASTGPCKLLKHWIRGPNAGKLELFADLPGYPDNVRPDLKAIRIGAKGEKLQDMRGPKNVRPTEVVEREGGKLFLGSVELDYVSIVST >Et_10B_003639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4155365:4159482:-1 gene:Et_10B_003639 transcript:Et_10B_003639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPASLASGWRQLLPEIYILLRCCEEGIVSELNTFSLQIAINMGACVSSSKKRRSQRLCCIYRRYRGKILSNVPIVRTSDAQNLTASGEVIHVGTSAAARRRSSGSNVTFHLTQLQWHHSELDTENGSVVCQEEAWFDSLSILGSDSDEDFSSVNGDLPVMSNSAGTQLMQCEDASSIADAIQKFEKIFDSSSVAQAVGQYLKDANKADRSMQADMQESERPKVANPEPCDVSNGMVEEAKTRNEGVRILTKLRRGDDACNTLKSVKDGEKVHESIFKSLTPVCTPRHANKIQPLAVASPRGQKKKSTVVRLSYKRQSFDGEQTTEICSSRRYLIRPRAGLLVPHATEKISEGCWSLLEPSTFKLRGESFFKDKKKSPAPDSCPYTPIGVDIFMSPRKIHHIAQHIELPSVKPNESIPSLLVVNIQMPTYPAAMFLGDSDGEGINLVLYFKLNDNFEKNISPQFHDSIKRLVNDEIEKVKGFPLDSTVPFRERLKILAGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHNFYVGSNYLEIDLDVHRFSFISRKGLEAFRERLKHGVIDLGLTIQAQKQEELPEHVLCSVRLNKIDFVDNGQIPTLLPCDDD >Et_2B_021045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2627293:2634941:1 gene:Et_2B_021045 transcript:Et_2B_021045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPHAFHFLAQVNSHPRPPLMALPPLAFIATLLLLFAVAAAQNGEPVPPPPPSKPQLMLQPTNASDTAALLKVFQQWGLEGNASKEDPCQKRVWLESFWTNASVGCSCSNDQCRVTHLNVTGFRNISEIPLALFNLTELISLDLSNNNLSGPIPQEVASLAKLEIWHFNNNYLNGSVPPGLSLLRNLQSLWMFDNNIDGPVPEFIQNLANLTDLRIYGMKLEGPIPTNFSKLANLEYLMLGDLVGNHSSFGFIANWANLSTLSLRKCGLTGQLANAPLPKLKYLDLRSNNISGPIGQLLPYKNLKYIFVGENNFTEHLPFEIVQASVALDVSYNPSVNVTLPNNPAEIISELQLMLAEQLTVFTHHLIMHADSTTSLAINCGGKQMKTSDPSPTVFFEDSTDLGGAGFHVNNASHWVVSHVGYDPFSYSPGIVNTSQHILGTNIPELYRTARTSTNALWYYVIGLANRKYTVQLFFAEIVIVDGPGRRLFNIDIQGENVKNDFDISQEAGGARKPTNITHEVIVDNSILEIYLYWGGRGTCCIPYRGAYGPLVSAIKVFPSEDSNITPPQPRTSRSSRQDEKRRGVVAGIAALCIAAAVISLSVVYLWWKWVSLVKRPVA >Et_1B_014257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4499513:4504646:1 gene:Et_1B_014257 transcript:Et_1B_014257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSSDSSFEQWAISAAPAPAPCKGGLSLTIGSMSNYHEDHIEEVEDDYDMDDPADDMIDENQERGVRDSDSEDDDYGPSNDKIPDTSSADARKGKDIQGIPWERLAITREKYRQTRLEQYKNYENVPNSGEEAMKDCKPTEKGGMYYEFRQNTRSVKSTILHFQLRNLVWATSKHDVYLMSHFSVLHWSALSGVDTELMNVQGHVAPREVSTLAVKDNLLVAGGFQGELICKHLDREGISFCCRTTYDDNAITNAVEIFNTSSGAVHFIASNNDSGVRDYDMERFQLCKHFQFEWPVNHTSLSPDRKVVVIVGDDPDCLLIDANSGKTLHSMKGHRDYSFASAWSPDGRTFATGNQDKTCRIWDVRNLSKAVHVLRGNLGAIRSIRFTSDGQFMSMAEPADFVHIYDVKSDYNRRQELDFFGEISGTSFSPDTDALFVGVWDRTYGSLLQFGRLYNYSYLDTLC >Et_2A_016121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21169485:21171203:-1 gene:Et_2A_016121 transcript:Et_2A_016121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSYEMAASILLCAEDSSSLMGFGAEEEDEVLVRRMRSRELAAEFPVPSEECVERLMESETAHMPREDYAERMSAGGLDLRVRMDAIDWIWKVHAYYSFSPLTACLAVNYLDRFLSLYQLPEDQAWTTQLLSVACLSLAAKMEETSVPLSLDLQVGDARYVFEAKTIQRMELLVLSTLKWRMQAVTPFSYIDYFLHRLNGGDKPSRRSIVRSAELILCIARGTQCLDFRPSEIAAAVATAVAGEERAVDIDEACTHRVHKEKVSQCLEEIQAMSLTSTVLLPLPLKSEGLSRASSSVPRSPTGVLDAGCLSYRSDDSTVASHAICYRDESESSPVVSSKRRKISR >Et_3B_028997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21212726:21215767:1 gene:Et_3B_028997 transcript:Et_3B_028997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WFVCGFTGGRFGPDPANSATAASTCARLPLFVRARYKQGPPFPRLSRSPAAPATPRNRLRDKPSSLPELARSLAPGSHSMDSSRSRDMRFLLVRRRVLFLLLLVASAVGTSRGREAQPLPPVATAAAGKAGGSGIRRAPERHGLSLDFYAKTCPAVEQIVANVTAARFRDFPAAGPGVLRLLHHDCFVEGCDASILIAPTVNVAGGAPKVERDMEENKYLPQEAFDTVEMAKAAVESKCPGIVSCADVLALAARDYVQLAGGPFYPVKKGRKDSKVSLAGKVRGSLPRANATVDELLRVFAAKGLDAGDLVALSGAHTVGFAHCVHVLGRIYDFRGTRQPDPLMDARLVKALRMSCPSSGGSARAVVPFDVSTPFQFDHAYYANLQARLGLLASDQALFLDARTRPIVEGLAADKARFFQAFVASMDRMGSIRIKKGKKGEVRKVCSQHLI >Et_7B_055053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6902690:6904546:1 gene:Et_7B_055053 transcript:Et_7B_055053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEELTNAPRVVGVLSALLERVVERNDAAAAADEPAAASPAPASSAFRATTRPDISVRAYMARIARFAGCSPACYVVAYVYLDRLLRRRRALAVDSYSVHRLLITAVLAAVKFMDDVSYNNAYFARVGGIRLPEMNYLEVDFLFAVGFDLNVSPETFGHYCAILHSEMLCLELETITPAGSAGGPRLQHGCCLTEDDDGTANTNSCVETRDRYRDRFQASGACTVTL >Et_10A_001744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7658668:7662620:1 gene:Et_10A_001744 transcript:Et_10A_001744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSSNTRPPPPSSLPLLLVLVLLLAGAADGKPVLKAHFYRERCPAAEAVVRDIVLARVAADPAALPAKLLRLFFHDCFVRGCDASVLLESTAGKKKAEKDAAPNASLGGFDVVDTAKAVLEAVCPGVVSCADILALAARDAVSFQFGGRDLWDVQLGRRDGVVSRASEALAEIPSPSANFSALEANFAAKGLDVKDLVILSGAHTIGVAHCNAFAARLTGNSTAGNGGDPTLNAAYAAQLRAACGPSASTNSATAVPMDPGSAARFDAHYYVNLKLGRGLFASDAALLTDRRAAGMIHRLTRREYFLEEFRNAVRKMGRVGVLTGRRGEIRRNCRAVNGD >Et_5B_045669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6597353:6599327:1 gene:Et_5B_045669 transcript:Et_5B_045669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAAAAAGEEEAAAPAGSQEPGELADEQGRLAAALEAISALVSDSLSASLFPLKWQLIRDRLNRLHAGLADITVDDAADDGNNGRPGAFAALLRAVAATAREGRELVPRSQGRSYGGGKLRLRSDLDVLSAALDAHVARLDELHASGALTRARALVVPRPPPGAARDDVRFYVRDLLARLRVVGGAEMRREAAAALAEALRGCGCGGDGDRYARAVVASEDGVGVLVALLEGPDPRVREEALDAVSLIAAHDAHRGDLVVGGVVAPVVRVLDDARAGDGAGAGVRERAARVLCRLTENSDNAWAVAAHGGVTALLNACSADDAQGELACAACRALRNLAGVHEIRKYMVADAGAVPVLVALAQGASGATADDASQIHAMELLAAMATGDDGTVREAMIQEGAVESLVGALDPSSPRSSKAREVALRAIDALCLSSPSQTGATDRLLAAGFLDRVLSLLRRNSNGDTALQHCALKAVHRLCCRHHASEEEARKAVGDAGFMPELVGVLLHAKSPEARELAAEALCILVSVHRNRKRFVQAEDRGGVAQVLQLLRPDEEKPSATTRFLLSTLTHLADSSSGRRKIVSSEHVRNLERLAEANVPEAKRIVKKLGGSKLRSIFHGIWSL >Et_10A_002095.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22181691:22181975:-1 gene:Et_10A_002095 transcript:Et_10A_002095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTWRHHTLLQALLARGPLPEPDFHAVFTGISGKNPGTVHPARLLLFSRPTPGPLSILPAFSRRIFVAHQVFDQMLTWRLMVLVVCLFAMPA >Et_1A_009359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40392319:40394646:1 gene:Et_1A_009359 transcript:Et_1A_009359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGYGGVSEFQQFIMDGAFTAMSAPPPPPQPPAPAAGHQEPFRYQPLHHHHAPPMPPHFAHFASGGIPFTQQLLHQAAAAAAGHHHPHLQLFQEQHHHHHHQKPSVPTRWAPQHPHQHHHHHLGFDVEAAVPESSGAAGGSTASGGAPPGVPPFLAAAMNFKLGVDAGGGSGATGGTDDGGGMLHDEAATESRLRRWPGDEEASIKEPTWRPLDIDYLHSTSSSSKKEKAPTPESPAAAAGANYLKKGDDDAAAAATAAASAGGGNYKLFSELEAIYKPGSGGGQTGSGSGLTGDDNAAILQEPAMPDLPAAPQVNTSDGEDVAAVVQQQEKEEEQQQDKEQKKRKRQRQKQQQQHLMRSASSSFFERLVQRLMEQQESLHRQFLDAMERRERERAARDEAWRRQEADKFAREAAARAQDRASAAAREAAIIAYLEKLSGESIALPPPAHAHVPVPVAAPSEDEQPQQQVSGGPTSRWPKHEVEALIRVRTGLEGRFQEPGLKGPLWEEVSSRMAAAGYGRSAKRCKEKWENINKYFRKAKESGKKRPSQAKTCPYFDELDRLYSRSSSSSKPTTSSSSDLLKSGGPPGFVNNNTNTNDTPTTQALPDDHGNKEDATGRDPDDQGDSHGDDDH >Et_5A_040179.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:2044037:2044519:-1 gene:Et_5A_040179 transcript:Et_5A_040179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARVPIKPPMRVSGTEMQNHRARRVKNSEMGRAPVLFLPHRIEFNTVRMMKTIPGKKQAVSQATDFHPSEVPLIVLQMRTQTYPAIIPRKRYRMIIPVRSIPLEAGERKPRAANTMVTIAIPRIWIPSATMTHKSFEQQGSLKTSPTTSFHPESSSFI >Et_4B_036218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11245776:11246414:-1 gene:Et_4B_036218 transcript:Et_4B_036218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAAPSSVAVPPSDIHLHFARLLQSGDGADVTFQVGNRTLAAHRCVLAARSSVLKAQLFSHEEGITSNVIRIDIDNMEPKVMELLLSFIYSDEMPEIEDEEDVMWQHLLVAADKYELERLKILCEEKLCGYINVTTVATILALAEQHHCQGLKETCLDFLDFPANLHDVMASGGLEHLRNSCSAVLIDLIAKLASIKLDN >Et_6B_048830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14227145:14228618:-1 gene:Et_6B_048830 transcript:Et_6B_048830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEAQKQLVQEVATGGLREPPSRFVQRPENRPTSAVVATGMMLPTVDMRRLAEPDVHVEEAAKVRSALESWGLFVVTGHGMPKELLDDILGVTRDFFSLPTEEKLKYSNVVDGDNFQHEGYGIDRVDTYEQVLDWCDRLYLKVQPEDERRPELWPSHPPSLAALLHEYTKKSEEVAKLVLRAMAVGLGLRENVFVDRVGERVTTFARFSYYPPCARPDLVYGVKPHTDNSVVTILLLDKDVGGLQVLKDGMWVDVPALGHDLLVLVGDVMEIMSNAVFKAPVHRVMTGERERVSLVMFYRPDPRKDVEPAEELAGEKRPLMYKKLNARQFADGFWDAYAAGERAIDFLKLRPEHEAAAAASSS >Et_2A_018548.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32449786:32451192:-1 gene:Et_2A_018548 transcript:Et_2A_018548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPQQQQQQHFLLVTNPMQGHINPARRLAAMVMASTPGARVTFSTAVSGHRRMFPDLASPDEEAVDAAGVLHLPYSDGFDEGFNPAVHGLGSYKARARAAARETLSAVVARLAARGRPVTCLVYTFLVPWAADVARDHGVAAALYWIQPAAVFAVYYRYFHGHDAALASFANGSEPDATVSLPGLPPLRPDALPSVVSITSPEHRHYLLLDLLRDLFSSLDEHKPKVLVNTFDALEAEELRAVPQFELAAVGPVVPDDASSPSSADLFHRHDATAYMEWLDAKPARSVVYVSFGSVLSMSACQEEEMRRGLEATGRPYLWVARKPSDNLGGAQGGMVVEWCDQVRVLSHPAVGCFVTHCGWNSALESVTRGVPMVAVPQWTDQPTVAWLVEARMGAGVRARVDGEGVVERRELQRCVETVMRDGDAAAGVREQAARWRQLASEAVASGGTSARNLGAFASSLAFGA >Et_5A_040209.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:22612722:22613425:1 gene:Et_5A_040209 transcript:Et_5A_040209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRPPPELVDDAVREILLHLPPDDSAHLFRASLVCKRWRRILSDPGFLRRYRRFHRTPPLLGFFHDIRSYEDGPRFVPTTTAASPSLRTPLDRGSWAFDCRHGRVLLQPMGSDNDSFIVWDPITGDQVEFCAPGFPCHHFAASVLCSRDGCDHLHCADGNFLVVFVGSDDTKGVQKACTYSSEAGAWSTPASIHLSPFSSVEPNRGALVGDKIFFTLTPR >Et_2B_022246.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15104795:15107446:1 gene:Et_2B_022246 transcript:Et_2B_022246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPPLLALLLAGALLLAAGASPCPHTNLTANFSADLTMLQHQLRGTVRLARNGSCALTLSRFDLLPASPAARFWAADGASLAELSAGAPFSPLPLNRTFRNATLELPFSAPLPRLLALYDPDTSSDLGHVFLPQNGTGGSELAAAALPAPTPTMFDNCIPLSETETYRLRWTLNASAGTVEIGLEAAVGSEYYMAFGWADPKANSPAMIHSDVVVAGFTEEGMPFAEDYYITDYTECTLGKDDKPVTGVCPDKAYDDGGSNHSHLVYGHRRDGVSFVRYARKLDTEDAKYDVLVDATKEMAVVWAIGKLRPPDTLRPHYLPQSHGGPRDTTFGFARLNLSEAVDNCLGPLDAENKEDQERIIADGKTPLVVTSAPAVHYPNPPNPEKVIYINKREAPLLKVERGVPVTFSMQAGHDVALYITSDPIGGNATLRNKTEVVYAGGSDAHGVPATPTDLVWLPDRNTPDLVYYQSLYEPKMGWKVQVVDGGLSDMYNNSVLLDDQQVTLFWTLSPDSISIAARGEKKSGYLAVGFGSGMVNSYTYVGWVGDDGVGRVKTYWIDGKSATSIHPTKENITYVRCKSENGIITFEFTRPLNPSCTGRVECKNIIDPTTPLKVVWAMGASWSDDLTDSNMHSVTSGRPIRVLLLRGSAEAEQDLRPVLAVHGFMMFVAWGILLPGGIMAARYLKGLKGDGWFQIHSYLQYSGIAIMFLGVLFAAAELRGFSVSSTHVKFGVLALLLAVSQPLNAKFRPSRPANGEVPSRNRILWEYLHVITGRLAIVVGVVALFTGMKHLGHRYDSENVEELTWALMLWVLSVIVIVLSLEYKEVKRRSSERNVRGHWVLGNTEEDDSVDLLHPDGTARNSESSASGVMEVQLEPLNR >Et_2A_015646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16402666:16405937:1 gene:Et_2A_015646 transcript:Et_2A_015646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLLVLLLLQVAVVAVLPAGARGAGTIGKTNISAIFFFGDSLVDPGNNNDRITVAKANFPPYGKDFPGGKPTGRFSDGKVPGDLFASKLGVKELLPPYLGYNLQLNDLRTGVAFASGGSGYDPFTTQVTNGISSTGQLELFQDYKEKLKTLVGEEEMTRVISQGIFFTAMGANDIANNYYTFPLRRLQYDVSSYVDFLVSSAINFTVTLNDMGAKKIGFVGILPIGCCPSQRTLEGGPSSECEPLRNQASELFNTRISKEIDRLNAERNVDGSKFAYLDIYYNLLDIIKNPALYGFKEVSQGCCGSKVFDAAIFIAYHRRACPNVGDYIFWDGFHPTEKAYNIIVDKIIEQNMQFLIVADAVALWVEERE >Et_9B_064622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17006642:17008819:-1 gene:Et_9B_064622 transcript:Et_9B_064622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAPASLGSLANSGPVALSFGSFLGGGSRLKTMAPSSLPLSPSSGFNAASGFLESPILLTPSLFLSPTTGAFPSEPFNWMGTPENLQESVKDEQQRQGFDFTFQMAASAPPAMMAGATQAESFSQSSMLMAPLEGIGDCSYNNEPQPWSYQQEPAAMDFNAPPFEAPTSVAAATQPAEHMHGGYGAAAPAGFRDQTTSRRSSDDGYNWRKYGQKQMKGSENPRSYYKCSFPGCPTKKKVERSPDGQVTEIVYKGSHNHPKPAQGTRRGAASSASASTYVLQSAANYNDEHSFGAQMSGTPENSSAGSFADDDVNGVSSRLVGDFGADEFANDEPDSKKWRDGAVDGEGISLQASGGGNRAVREARVVVQTMSDIDVLDDGYRWRKYGQKVVKGNPNPRSYYKCTAAGCPVRKHVERAGHDARAVITTYEGKHNHDVPPARGAAASMIYHRAGTATTQQQAAAGYSQQQAGVVVRPAPMQAADQSGGGFALSGFGDTMGVTYPYASNQQQQSDAMYYASRTKDEPSDDMSFFEQPLLF >Et_10B_003785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6084286:6085052:1 gene:Et_10B_003785 transcript:Et_10B_003785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPPPGLYIFAMLQPAFCQMLRAEVRPEFQLINLLPIIDHSDRNLIMPMTATMDQKCIDLSYIGLETMLDNLMKDFISPVVAVLYPELAASPLDSHHSFVTEFAEGQGDGCCVDNSEVTLNVCITIEFTGGAMYFHEIRCSDHSNLK >Et_10B_002992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15003076:15003810:1 gene:Et_10B_002992 transcript:Et_10B_002992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NWFVLGFFQTSSKLPNTTLNTYLGIWFNKVPELNPGWTPTKANITTNDTVVVLLNSGKLVIRSSSNVSHCTGLGHGMVDSSSLEPEMAASSNCNYTYVKNDQEAYFSYTLLDWNIIMLSELVVSVQTEVGIWTEKNWDMMGALPKEECDVYQRNYWISCS >Et_6A_046051.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20931422:20931772:-1 gene:Et_6A_046051 transcript:Et_6A_046051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSAVVEETLKQALSGLIGGGNGRQEDAVAVADVERLEMAQMKLEAALDASRRWRIRDASSSARRAQAARRRRRGGGRRQGVFSPPPGARLLLRLWRREAVRVVRGRRRRVPAVR >Et_10B_003193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17530091:17538725:1 gene:Et_10B_003193 transcript:Et_10B_003193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFLRRLAGVLLKCCDLDIPQRPKGLEDPERLARETVFNVNEIEALYELFKKISSAVVDDGLINKEEFQLALFKTNRKDSMFADRVFDLFDTKHNGILEFEEFARALSVKQMVVATLAESGMNLSDDIIEGIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLPYLRDITTTFPSFVFNSQVEDA >Et_2A_017031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30340549:30344342:1 gene:Et_2A_017031 transcript:Et_2A_017031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATPLRHHLPAPAGPVRSSDPAGPSPTIHRTFLPRHVHLRALLAAAPSTSPAARTVTAAASAAAGAGGRPTVLVTEKLGPAGLDLLREFANVDCAYELTAEELRAKVSLVDALVVRSATRVTREVFEAARGRLRVVGRAGVGIDNVDLRAATEAGCLVVNAPTANTVAAAEHAVALLAAMARNVAQADASLKAGKWQRSKFIGVSLVGKTLAVMGFGKVGSEVARRAKGLGMDIIAHDPYAPVDRARAIGVDLVSFDEAISTADFISLHMPLTPSTEKIFDDETFAKMKKGVRIVNVARGGVVDEDALLRALDNGIVAQAALDVFTEEPPPKDSKLVQHENVTVTPHLGASTTEAQEGVALEIAEAVIGALRGDLAATAVNAPMVPDEVLSELSPYVVLAEKLGRLVVQLVAGGSGIKGVKVVYSSARDPDDLDTRILRAMVIKGIIEPISSAFVNIVNADYVAKQRGLQIVEERILLDGSPEIPLDSIQVHLANVESKFGGALSDAGDISVEGKVKDGAPHLTLVGSFSVDVSLEGNLLLCRQVDQPGIIGKVGSILGNTNVNVSFMSVGRTAPGKQAIMAIGTDEEPDKEALKLIDEIPSVVEFVESCNITALSRSRSNSVG >Et_4A_034910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7788445:7791274:1 gene:Et_4A_034910 transcript:Et_4A_034910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARPSNATLLARLRDGTAKFELVEDSTPAPTPTSPSWPRLHCFARIAPSLRGGWSAALNKVEHYGVQRVTGDGRCMFRALVKGMAKNKGIPLTPREEVQDADDLRLAVKEVICDSAAERQKYEEAVIAITVEQSLKRYCQRIRRPDFWGGESELLVLSKLCRQPIIIYIPEREYHGRGNGFIPIAEYGLEFTKNSKQWKKKTPVRLLYSGRNHYDLLV >Et_7A_051948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3040412:3043146:-1 gene:Et_7A_051948 transcript:Et_7A_051948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFDGDFGLEDLFQEPKIESSEEDHVDDATGSKDSKLDHSSFMENYEDEATGSDSKGSKLDYSFMEDLFRNCTKETAEEEADRLKREAEEKKREAEEEEREEEEKKRKEAASVLAWKKHSAVIKSIRKYDPKEKCVVYTRYSFMDFSTFDIDEESSVPPMRHTGTKYQTKDQLERSMEDSANILSVKIVSSDVGYPINVYGTVIARDDLDYKCVYLFRCHRRDSQLIKSEDESLTLTGPSRGLILEDFIFLEVDLKIKGERGQDKPLSKTLLEIDGRVVTRQNIEVRSVPRPSRLSIVKVEYAAVRNAVEATIEVRVIKGDLFGEITAHTTSIPYKILLYSGVMTSDDNGAIQLWRHTIAVCIKEMLIFTIVARAGKDVTASSSRTIDFAPSLNGSDEDIIYCCTAKLHVKVTWSVIDCAAWSDSEM >Et_1A_008619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9281695:9282709:1 gene:Et_1A_008619 transcript:Et_1A_008619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRDNIEIKTAPADFRFPTTNQTRHCFTRYIEYHRCVNAKGDDAGDCEKFAKYYRSLCPGEWVSDFVASCHFNYITIETYLID >Et_4A_032063.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:1511126:1511458:1 gene:Et_4A_032063 transcript:Et_4A_032063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFSCTFSYEGELQLWAVRLRFNDFHMDHYSLQNRITDVCSGLGYSLVYLIHHPITAFVTLRGKVDWSFYAKPGKNNEQIILSYAAEKNNQTKVVLSGLGEIHTLVQRL >Et_2B_021475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3040839:3043096:1 gene:Et_2B_021475 transcript:Et_2B_021475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSRLMPPTVRALRRCFRSYSASPASKAVIYDDHGAPDQVLRVVDVPPVELGERDVCVRMLAAPINPSDINRVEGVYPIRPPLPAAIGGYEGVGQVHALGPAVTAPLAPGDWVIPSPPSFGTWQTYIVKHESLWHKVRTDVPMEYAATVTVNPLTALRMLQDFVKLNPGDAIVQNGATSIVGQCVVQLAKVHGIHTINIIRDRPGSEDAKDKLKQLGADEVFTESQLDIRNIKSLLGALPGPALGFNCVGGNAASLVLKFLRQGGTMVTYGGMSKKPVTVPTSYFIFKDISLQGFWLQKWMNSDKADDCRTMIDYLLGLMHEGKLKYEMEVSPLSDFSLALEKAMGKHGSHPKQVIRF >Et_3A_026988.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28877684:28878274:1 gene:Et_3A_026988 transcript:Et_3A_026988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHQQHGRVVTVVSTQSGRAALVACAPADVATPGDVARCHEHAVGAGQCCSAVTQTVAAPVDAVWSVVRRFDRPQEYKRFIRSCCLVDGDGGAVGSVREVRVVSGLPAANSRERLEILDDERRVISFRIVGGDHRLSNYRSVTTVHEAAPAVTSVIESYVVDVPPGNTVEETRMFVDTIVRCNLQSLARMVERGS >Et_2A_015814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18034919:18037891:-1 gene:Et_2A_015814 transcript:Et_2A_015814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHRQDSQHQEEDGLDGRVDGANDDDGVLSAFLCPITMQVMRDPVVIESGHAYEQGAIARWFAECGELGRRPCCPITMQEVRTADLRPVLALRAAIEEWTDRQVRDELRRACQWLTKDAPEKEAVCALVHVMRGWSKGRAGKNVMRGEGMIPMVGGMLKNCSGRVRLQALEALLQFAKETDEDRESVSKGDTIRTIIKFIDCEDCQERELAVSALCELSKSELVCANISELNGAILILGKVAGSKTQDPTMAEQAEKTLDNLDRCEKNAVQMAENGRLEPLLNLLIEGSPEKQLLMASSLEKIVLSNDLKILVAQRVGSLFAGIVEKGSLEAKEVAFKVLEHISANPESAKVLIEENVLIPLFRVLSINGVNLLPPRLQEAAAAVLCNLVASGVDFGKVPLDGDRTLVSEDIVHSLLHLISNTSPPIQCKFLEFFDKLSSSPETVQSIVSAIKSSGAITNLVQFVESDHQESRIASLKLIYKISFHIDREIAQVFRGSPSLLDCLVRAIFLNDGNMGEQEAAVQILANLPKRDRHLTRELMEQGAFKLVARKVLSICRRETGSNINDHTLLEGLAKVLSRITYALRDEPRCIAVAREYNLATLFTSLLRLNGLDEVQVISAKALMNFSLESKYLSSTPKFDEPEQKSMLARFGRKPSSIQLCRVHSGICSIRDNFCILEGKAVDRLIHCLNHSNKKVVEAALAALSTLLGDGVEIAEGVLVLHRANGIKPIFDILKENPTGSLQYRVTWAVERILRAEEISKSVSADRSLGSALVHAFQHGDSRTRRIAEAALKHIEKIPIFSQIIDKLPSRRGSSMGSMERFYKFDR >Et_3B_031723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:907257:909546:-1 gene:Et_3B_031723 transcript:Et_3B_031723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYSISSSAIVRNVTDAGEGRELELAGLGERQREVAEDGEVDGESDAHLVVDVPVAAGVLDEADEEVVGDLHGHVELRRVAGLERPRVGHGAGGHLTTRALERVADLADRDRVRVRVAHVEASGEGGAVAADVAHGKVGEVRLRLLHVRHLVRHEPLRLVHKLRHFPLFCSTACSLSALLCFVSLPDYHTLNDVIDLDGFDRTPLK >Et_1B_009751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23754791:23755069:-1 gene:Et_1B_009751 transcript:Et_1B_009751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKGSFSLFSVKFVRREANVAAHCCAKKPTQIETVCSWSAHLPQWLREV >Et_4B_038743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4583500:4587809:-1 gene:Et_4B_038743 transcript:Et_4B_038743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSKLLRLRGGGGGGGGGHRLFPSRASTSHVSSPAPPPPPAAAAPPPPGSGKEASVWSKLFLFAPGAITFGLGTWQLFRRQEKIEMLDYRTRRLEMEPVAWNETTSSAATRDPTDLEFRKIVCEGDFDEERSVFVGPRSRSISGVTENGFYVITPLIPRPTEPGSSQSPILVNRGWVPRGWRDKNTKDDQNVGEASESQEAVEKTDKKNSWWNFWSKEPKSSPEIEEKPGKPPVRVIGVIRGSEKPSIFVPANEPSSGQWFYVDVPMIARAFGLPENTVYIEDINEDVSPTNPYPFPKDVNTLIRHSVMPDDHLRYTFTWYTLSAAVTFMASKRIKARKIDARSNGSGTQG >Et_8B_060717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5443166:5445496:1 gene:Et_8B_060717 transcript:Et_8B_060717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAFSDFGPLTERRRAERRQQRRRVMVATGGASVVLILIVMGGAAVAYNASTKDNDGSSKSSPSSPSPPGGGGGLVSVSKSIKAMCAQTDYRDACERSLAKSANTSAASPTDLVRAAVAAIGDAIGAAFDRSGLIVSDDPRVKAAVADCKEIYGHARSDLDRTLAGIDVAGAGGLVAGGHQLRVWLSAVIAHQETCIDGFPDGDIRKKMTDAMEAGKELTSNALAIIEKAASFLGALGGLASHRRLLEHNATAMEEEIEDQPMVNHTLGGDPPLHRKERHLRGFQNAPKPNVVVAKDGSGQFKTINDALKAMPKTYTGRYVIYVKEGAYEEYVTIAKEMPNLTMYGDGAQKTIITGNRNFADGLTTFKTATFSKKKLASPHLFFRLFLCTYVACMLTAD >Et_8B_058816.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:8584808:8585080:1 gene:Et_8B_058816 transcript:Et_8B_058816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFCKEHAEEVKPAKDKKEKKQKKEKKDKSKDKKEQVGEITDAAKLRAKLEKIDAKIDDLEAKKQDIVARLLELEGTAANAAAAVPPASG >Et_10A_000069.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21491383:21491916:-1 gene:Et_10A_000069 transcript:Et_10A_000069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVTNLFGSKKESSKRKVRKDGAKQSTSFGSTASSSSSSDEASPRTVLPPPPASASKKKPAVAVTREELEAALRRVVSSEDELAEMLAEAGVVLEEIATAGASAATDERELKETFAVFDADGDGRISAEELMAVLASLGDEPCSVEDCRRMIRGVDTDGDGFVCFDEFTRMMMLGM >Et_5B_044184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21179548:21180684:1 gene:Et_5B_044184 transcript:Et_5B_044184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASVMASLGLKPCPSPFLERPRLRGVQPSARSSSFRVMAKKAKKIQTSQPFGPAGGLNLKDGVDASGRPAKGKGVYQFASKYGANVDGYSPIYNPEEWSPSGDVYVGGKTGLLLWAVTLAGILLGGALLIYNTSAIAS >Et_9B_063841.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17089053:17089541:-1 gene:Et_9B_063841 transcript:Et_9B_063841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAAVGRAGFSPAAVHVQVRRVQPLLPGARLRAARGARHHRVLPGGVAMQVPEPALHAVTRASMEGGDATMAGEAGGHSLISGACRASAHGRRRGPLRRMIGEQDGRPHQWRLLPVSRTRAPASAGRRRPCAYVRPHARGNESLGTGARPCACWTGGCGRGV >Et_9B_064629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17244142:17246148:1 gene:Et_9B_064629 transcript:Et_9B_064629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQCAQISHEAKTTFAKRLSASAWPLKAGLWDSLRSGFVKNNNGTQTVEPPSTPLEVEEPVPEELVLLERTLPDGSTEQIIFSSSGDVDIYDLEALCDKVGWPRRPLSKIAASLRNSYLVATLHSVIRHSDTEGEEKKQLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALMEKVIRTLLQRDINNITLFADNKVIDFYKNLGFEVDPQGIKGMFWYPKL >Et_10B_004215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19287014:19290699:-1 gene:Et_10B_004215 transcript:Et_10B_004215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLLLFPSSSSAASSYSASSQSLHATTSSSSHSHLLPPLPISQPPQDQLLLHYLHLVEDPAAGAMVRKRPAPEMDLPPPRRHVTGDLSDVTAAAAASAQPQPLPLPASAQLPALPAQLMQLPAFQQQQHAAAAAAEVEQQQQQQQQGQEAATTAWVDGIIRDIIGSSGAAVSVAQLIQNVREIIHPCNPGLASLLELRLRSLLDHQHPPANLLPSPAVAALPPPPAPTQLDKQQPNPPSPKAPTAEETAAAAAAAAAAAAAAAKERKEEERRKQRDEEGLHLLTLLLQCAEAVNADNLDDAHQTLLSIAELATPFGTSTQRVAAYFAEAMSARLVSSCLGLYAPLPPASPAAARLGTRVAAAFQVFNGISPFVKFSHFTANQAIQEAFEREDRVHIIDLDIMQGLQWPGLFHILASRPGGPPRVRLTGLGASMDALEATGKRLSVFADTLGLPFEFCAVAEKAGNVDPEKLGVTRREAVAVHWLHHSLYDVTGSDSNTLWLIQRLAPKVVTMVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYGEDSPERHVVEQQLLSREIRNVLAVGGPARSGDVKFGSWREKLAQSGFRAASLAGSAAAQASLLLGMFPSDGYTLVEENGALKLGWKDLCLLTASAWRPIQTSVGHQLFFPTKRENGCYLV >Et_9A_061288.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:775504:775674:-1 gene:Et_9A_061288 transcript:Et_9A_061288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFDPWPVFFRREWNRNWPFLTGFAITGYLIVKMTANFTEEDLKNSKFVQEHKKH >Et_1B_013936.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:24813916:24814443:-1 gene:Et_1B_013936 transcript:Et_1B_013936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPHWVLRRDGVPPAKPPPPAGDGKMQIVVEDTISQGTGAQRYALRVGAGDTVASVMATQAGLSAGSAGVMLRRQVYNVSRQSAARWRITTWRTDRRSHCFLDQRAAVAADVDDRKLKKAEDLAAAMERQKRNRAKDFATGSEEDQKPKKAKVDPIEETDHVPQQQLNKTPAQE >Et_8A_057898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:928142:930490:-1 gene:Et_8A_057898 transcript:Et_8A_057898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTKILSDASAMLKALAGLRRINLDGLRWRVFDAKGQVLGRLASQIAVALQGKDKPTYAPHVENGDMCIVLNAKDISVTGRKMTDKIYYWHTGYIGHLKERRLKDQMERDPTEVIRKAVLRMLPRNRLRDDRDRKLRIFSGNEHPFHDRPLEPFVMPPRQVREMRPRARRALIRAQKKEQANRAAAESAKNEEDGKTGKAEVTA >Et_6A_046104.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:24521874:24522437:1 gene:Et_6A_046104 transcript:Et_6A_046104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRAASMGVYYGGGGLAACTESLGSETGDVGGDDEIDSQLGLQVDDGAAEDAEEAARVEVERLAVASPETARPAPRRLPPPMPEGGVIRAERRGGRLILTELRDEQRRREVFRASRDGGRLRLQFAGAAAASAPDQDEAAASRSAVDSGEPAGADGGVVGGCGTAPGSPAAMWPPAPAGGASSLVR >Et_1A_006116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1837914:1839798:-1 gene:Et_1A_006116 transcript:Et_1A_006116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTMTMTTSPASTTVPLLRLPSAAAPRLRIRAAAAAGVSPHAVRWTGGGRRSRRCRAAVVEEAGAQEDGVLLPKEGEDAAPAAAGRYDWREEWYPLYLAKEVPDDAALPLTVFDRQLVLYRDADGVLRCHEDRCPHRLAKLSEGQIVDGKIECLYHGWQFDGEGKCVKIPQLPEGAKIPRSACARNYEVRDSQGVVWVWMSDANPPDERKLPWFEPYARPGFTDLSTVHELPYDHSILLENLMDPAHVPISHDRTDWTAKREDAQPLFFEVTERTPRGFAGYWGRARTPHLRNLLRFEAPCVLTNTLEFVDKEGKPQCFSAHFLCRPAGQGKSMLIVRFGNTTRSPLLKVFPSWYFHQNACKVFEQDMGFLSSQNEVLLREKVPTRELYLNLRSSDTWVAEYRKWMDRAGHGMPYYFGHSTLSPPSVPAVVEQAPAGAVAGISASFPAKGGVGTTHAPNPTNRYFRHVVHCKGCRETVKKYTSLKNVFAALAAAAVAAAILAATRQWKAILVAASAVLAAASYACDAVVSLITTNFIRTHRRL >Et_5A_041403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22071113:22077203:-1 gene:Et_5A_041403 transcript:Et_5A_041403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLASPKVYLMPSFCKLGHRGEETASPISSGGPWNQTQIKVSSASRAKTPADGMTMPRNPRLSETVVARSKQGISKAGSSSSTSLAFLWFSTMSWPERRRAAARRQSAMDSRPACSVPTKRWRRGASWAASAAAMRWGRRGEAEAQLREEGPHAAPAAGEDAGPTGGGGGVEAEQDEDQGVVWERAEPVLAGAGAVVGRLGAPAGDLATALVHKLSQIAKMNIAWVIHIHPDESYQLRC >Et_8A_058304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4613872:4618198:1 gene:Et_8A_058304 transcript:Et_8A_058304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMSGTLGASSVAALRPCTGRRAPPAASSVAPLGSGTARCAKGVRMGAGKSSGRVVKVRCDTAVAEKPTGEEASEEKFEYQAEVSRLMDLIVHSLYSHKEVFLRELVSNASDALDKLRFLGVTDSSLLADGGELEIRIKPDPDAGTITIIDTGVGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKIVVSTKSPKSDKQYVWEAEADSSSYVIKEETDPEKMLTRGTQITLVLRPDDKFEFADPSRIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEDEEPKEGEEATEGEKKKQKKTITEKYWDWELANETKPIWMRNPKEIEKTEYNEFYKKTFNEFLDPLAHTHFTTEGEVEFRSVLYVPGMAPLSNDEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLGFVKGIVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIEEIAEKDDKEDYKKFWESFGKFIKLGCIEDTGNHKRLAPLLRFHSSKNETDIISLDQYVENMPENQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDDDNKETKQEYTLLCDWVKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIVKDLNAACKNEPESTEAKRAVELLYETALISSGYTPESPAELGGKIYEMMAIALGGKWGRSDTDEAEASAGEASAEGDSSEGTVTEVIEPSEVRPESDPWRD >Et_5B_044478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2785638:2793317:1 gene:Et_5B_044478 transcript:Et_5B_044478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAWLVAAAVAAVAASWAFNTLVHLVWRPYAITKKLRAQGVRGPGYKFFVGSLGDIKQFRAEAAGATLDVGDHDFVPMVQPHLRKWIPLYGRMFVYWTGARPNVCVADVNVVKQVLFDRTGLYPKNLINPHIGRLLGKGLVLTDGDEWKRHRRVVHPAFNMDKLKVRNVHQETKVKSDTARVNVLNNKKSSSDCAGSMISDWEAQLAQGGDVEVELSSQFEELTADVISHTAFGSSYREGKQVFLAQRELQFLAFSTVFNVQIPGFRFLPTEKNLKTWKLDKQVRAMLTDIIKTRLAAKDTAGYGNDLLGLMLEACAPEHGESPVLSMDEIIDECKTFFFAGHDTTSHLLTWASFLLSTHPDWQDRLREEVRRECGDEVPTGDTLNKLRLVNMFLLETLRLYGPVSLLQRRAGSDLELGGVRVPEGAILTIATIHRDKEVWGEDAGEFRPERFENGVTRAAKHPNALLSFSSGPRSCIGQNFAMIEAKAVVAMILQRFSLELSPKYVHAPMDVITLRPRHGLPMLLKSLKVAAMGLAWLVAAAVAAVVASWAFNALVHLVWRPYAITKRLRAQGVGGPGYKFFVGSVGEMRRLRAEAAGATLDVGDHDFIPMVQPHHRKWIQLYGKMFLYWMGTRPNVCLADVNVVKQVLSDRTGMYPKNIMDPNLVRLLGKGLVLTDGDEWKRHRKVVQPAFNIDKLKMMTVTMADCARSMVTEWAAQLGKGGDVMEVELSSRFEELTADVISHTAFGSSYEEGKQVFLAQRELQLVAFSAVLNVQIPGFRYLPTKKNLKIRKLDKQVRRMLMAIVKSRLAAKDTTGYGNDLLGMMLEASAPEHGQSPVLSMDEIIDECKTFFFAGHDTTSHLLTWASFLLSTHPEWQDKLREEVRRECGDEVPSGDTLNKLRLVNMFLLETLRLYAPVSLIQRKASSDLELGGVMVPEGAILTIPIASIHRDKEIWGDDAGEFKPERFENGVARAAKHPNALLSFSSGPRSCIGQNFSMIEAKIVVAMILQRFSLELSPKYVHSPMDVITLRPRHGLPMLLKRLTR >Et_8A_058019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1485616:1488556:1 gene:Et_8A_058019 transcript:Et_8A_058019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMISFDDCERLGHVRPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDAEKANKLKQSLPPDVSSRLMRSPATVVQPIILLWTLTPRRAVDVLLLAESWSAVTIARLKRLKEGSEEVTRPTLGYGGVASTLRVAAAVGELNDKIAANYMEMS >Et_1A_006388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21761630:21763924:-1 gene:Et_1A_006388 transcript:Et_1A_006388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRRLVSKSWAESRLLWHVAFPAILTEVFQFSIGFVTTGFVGHIGEVELAAVTVVENIMDGFAFGVLFGMGSALDTLCGQAVGAGQLGMLGVYTQQSWVVCGATAAALAPSYAFTAPILRSLLRQPADVAAAAGPYARWAIPRLFAHALNYPLLKFFQTQSKVWAVAAISGASLAVHVALTYVAVRRLGCGLRGAAVSGNVSHWLIVAAQFIYMVRGPFHDAWKGFSVRAFHNIGAFVKLSLVSAVMICINYEFWTMMVALGFSTAISVRVSNELGANRPKEARFSVAVAVSTAAFIGVIFMAIFFIWRTSLPKFFSHSKEVIDGASRLGYLLAVTVFLSSIWPLLSGVAVGAGLQVLVAFINVGCYYLVGIPLGVLFGFRLKLGALGIWMGMLTGTLLQIIILLFIIIRMKWERQAMVAAAKITEWGGKNEDQEMSASIHT >Et_2B_019494.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:884671:884844:-1 gene:Et_2B_019494 transcript:Et_2B_019494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRILYTWWIVWKARNRRIFQGNEEDELRVVMHTKEEIDQYVRATSQSYRQVLFLN >Et_9A_062390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22443381:22445508:1 gene:Et_9A_062390 transcript:Et_9A_062390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRCFLRLLSSRLVPHRPQPLAPASIVTRNLTSSPQPLPPLTPRALTSLRLYPSRCHFATRSSGDEEDEEEHYEDEGSEDEWEDEEEALAAKKPSGKTEEEKVAEAAEIGYKVVGPLGSDEKPFKPYEPVFAIVQIGSHQFKVSNGDSIFTERLKFCDVNDKLVLNRVLMLGSQTQTVIGRPTLPDAAVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITDIEGIDKPETVAVAA >Et_4A_035147.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:91350:91814:1 gene:Et_4A_035147 transcript:Et_4A_035147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVHHGGGARSGGGAVGEHYMRGLSGDDHYQEEQTSAAAAAAKAVAAGTAALSLLVLSGLALTGTVLALIVATPLLVLFSPVLVPAAFTVALLTAGFVTSGGTGAAAVAVLAWMYRYLQHPPQPQQQHPLSDKAHDVKDWAQHRLDQARAAAH >Et_10B_004390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5733198:5748677:1 gene:Et_10B_004390 transcript:Et_10B_004390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIINPSEQNVSRPQSTALGFNAMPCLHSADRRGSSQHHPPRPNTLFHLATPAPSLHQLSTPPVDKRRRWQKATSQRKQNQPPKQAAGGCRWDLGKKHFQQSRAMFWLRKWCHQQLYGASAFRPVLLASSLPLISGNRRFYHRPEKPAPASREKLTTVLTIDGGGIRGLIPGTILEFLEGELQKLDGPDARLAHYFDYIAGTSTGGLITAMLAAPGKDGRPLSAANKINPFYFEHGPQMFYRPWGEMFARISKVWGPMYDGKYLQELICRELGDTRVSETLTNVIIPTFDVKKLQPVIFSKHDAKKKLVKDAWLRDVCIGTSAAPTYFPAHHFDTQDENGDWHEYNLIDGGVAANNPTMVAMTTITEEILADEKKQGYLLKAPEEEGGRFLGLSIGTGSSSDAVRYTAHKCSGWGVFGWLTSTGTSRPIVDIFLDASSDLVDIHVDVKFKLLKSQHNYLRIQDKLPRGVSSQVDDASLENMEKLVGVGEAMLANPVTTVNVETGDYEVVSKDRSNADALVDMAKQLSEERKARQAEEKARQAELARPVTQDAVETLIWSASWRFNKFPSDDEQWMCGCNE >Et_3A_023400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25548173:25549321:-1 gene:Et_3A_023400 transcript:Et_3A_023400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIKSFKVHDEVHKFITRTARDVNFVDSDLPLNLAPHFSIHNRIVLDLEDCKLLKNHHLKSICNILLLKYLSLRNTGIAYLPKKIKELQCLETLDIRQTKIRIFAKKAVVLPQLKHFLSGHKVSSSNDLKTLKESFPTMCVPFDIQRMKNLEILYHVHFANSNSELAGIGQLQKLRKLGVDLHGENAKLSVLFHQINKLYRCLRSLSVRIHRPAENHGSGTTDVLPMLQPYIESLNISGIVTGFAHLFLHQYQLVKVTLSETLFKEGDLRILGKLPRLRCLRLQHRSYNESSLAFKEDEFQSLNFLLVEGSEITKITFVNGAAPKLKKIIWSFATMDGISGLIHLPKLTN >Et_1A_009491.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6537079:6538974:1 gene:Et_1A_009491 transcript:Et_1A_009491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGWYDPRRAYGYGYGVGTAAEAPSMRRQQQQQPPPLRADAAAVANGGVLKRSLSEMERWQQALYLRAVRQRTAAQAPIDIGAVLAGVASRASGFSVTPSAGFAGLSQQPSSTLSSSLTSASRVATPPPMHHQLLQRQVMAAPTAKAAQAAAVGSAARQATAREMVLLHELEKQLLGDEEEEAEAAGSACGSAVTSNSGWGETIQKLNSIAATPLPSHPKTSAMNNNYYNAAPMSRSPSNSTSSTTSSTASSSPPTSAASSRQLLSDAAAAVADGNMTAAAAHLAVLKISANPRGDAEQRLVAMMAAALPSRVAPPSTQHLADLCGGEQRAACQLLHDVSPCFGLALHGANLAILDAVSDSRAIHLVDFDVSVAQHIALIQALASRRRAAAGASLKVTVVVDPTSPFTPAATQALASTAERLKRVALQAGVEFRFKAVSCRAAEIEASRLGCQPGEEALAVNLAFALSRVPDESVSPANPRDELLRRVRALAPRVVTLVEQELNTNTAPLATRFADACAHYGAVLESLDATLARDSAQRARAEAALANKAANAVAREGADRVERCEVFGKWRARFGMAGLRPLPIGQGIADRVKARLGPARPGFDVKLDNGRLGVGWMGRVVTVASAWH >Et_5A_041795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2940040:2941653:-1 gene:Et_5A_041795 transcript:Et_5A_041795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKSLKPTKSLKDSEEVVTTDYIGGEALDDLLSKLVRSVEVAKASRGGLPEKIWMKQQFAIGVNDVTRVLERMTPAAATHSAHSSSEAQIVSGRHKAPLVPLQAVLVAADCNPKWLTKHIPTLASSSQVPVLYLKDNKGGSLRLGEVVNVRTALAIGVKARDSIVNKTIDEVLKCGSKLVADEL >Et_3A_023739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11620189:11622709:-1 gene:Et_3A_023739 transcript:Et_3A_023739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVVGALSGMVDALPGKLGELLEQEYALLSGVRVDVVFLQNELTSMRAAIRHCESLDHPDAQTGTWVGRVRELAYDIEDWVDLFGIRVDGGAGPGAAGAPASTLSRFFCWIRRGAKKLGTMPDRHVIANELRDLKERVVELSEQRKRYDCLIPAWPRSSSPPSSLVGIDKPVEEVSKLVMETGSKTELRIVSIVGMAGAGKTSLANTVYKRLEAQESFQCHAFVSVGQKPESISKVLSDMLWKMGSKHRGIEDISQLIRQLRELLEEKRYPIVVDDLWGTDHWSTMKCCWPENSLGSRIIITTRNAALATACSSGPDKCIYKIGFLSDEDTKNLFLQKAFGNGHACLKHLEDVFDQTVARCGGLPLAVFNRASMLAHKQSRDDWERLGLSWLSISHSDGVKQILNLSYNDLQPQLRTCLLYLSIVAENFEVDTGRLMRRWIAEGFISAARGVSTEVTVRSYLGELISKNLVQPLHLNHENFPRTCRVHPVIYDFIVCKSKEENFATLVDAQQQYVPNNNSTIRRLSLKNSSKQGESAVRNEHMDLSHARSITVIGNTGATPLLTIYASPVCLDNLCKLLLLRYLSLGSETNELPATIGELKCLETLDVKSTKVKELPSSIVKLEKLTHLLAGSAKLPGKIAEMRALQMLSCSANALEQLQELTNVKKLELFCDETEMTVDERRVTFPGDGFKGVKWLCIRGSSPSVTFEAGSLPDIQVLEMRFEEGLAKKSSSISGIEHLSSLKNVNVEFSEDDADAMATVEAMRKATANHPEMTVMINGRK >Et_5B_045165.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:10490128:10490652:-1 gene:Et_5B_045165 transcript:Et_5B_045165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAASSLLFLVLAVFAAGASAATFNIQNNCPYPVWPAATPVGGGRQLNTGETWNLDVPAGTPSGRIWGRTGCSFNGDTGSCQSGDCGGALSCTLSGQPPLTLAEFTIGNGQDFYDISVIDGFNVPMSFSCSNGPNLVCQADKCPDAYLFPSDDQKNHACNGDNNSYQVTFCP >Et_3B_031326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2634293:2635298:1 gene:Et_3B_031326 transcript:Et_3B_031326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDRYQGCRAGYGGRAPCWPFAPAGEFSNPTTAAERITIHRVLSLSSSMASLASPCDATSGCDASILLDVANSEKTAGPNLSVGGYEVIDAIKTQLEQTCPGVVSCADIVALAARDAVSVQFPSSLWQVETGRRDGTVSLASNTGALPSPFAGFNGLLQSFTNRGLNLTDLVALSGAHTIGVASCTSVTARLYNGNATAVDPLLDSAYAQTLMTSCPNPSTSKAGTTVNLDGTTPVKFDSTYYANVQRKQGTLASDAALLSNAQAAQMVADLTNPIKFYAAFSMSMKKMGRIDVLTGTKGQIRKQCRQVGS >Et_6A_047769.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:1556939:1557904:1 gene:Et_6A_047769 transcript:Et_6A_047769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANESTEHAPATATGDRAAADAERLLALLSEQHEDMQTSDDDEQSNGMAANPLLHGRFNDWDRRLGNLEGSLRIFDVMMVSTTVGELEGVPALDFDDIAFSEQDGPTEDDVAAVQQLLDRFFSEQQQEESPGEHEAVVQPDLPEEHEAVGQPSSSDAAAALLDEFVRQIDELMHRLIPNLDELDDPQYNALMARVLEAIGLPVPDGYANSAQDNNVVVVRARLPRLDELESYPNGGFGAVPASAAAVAGLEKRTFHAGDHGCGTVLGGCAICLDEEFEDGQELSAMPCSQAHAFHTQCITAWLGQSNMCPLCRHALPASED >Et_6B_050152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7290178:7291636:1 gene:Et_6B_050152 transcript:Et_6B_050152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQSPWSKLAAVSSTCGKLVTPVTESPWRPSDHHLYGGTPSRATPGASSPSCDTFSATVSRDTRSAARRAAGSDRSQNGRPRDGDDGPHENGGSLAAAGVASSSNAGSTRMIAVVTSGRGAVPAAAVWRDEVAAMATEKSYRGGKRERPSGKPLNAVH >Et_1A_008845.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:15886456:15886671:1 gene:Et_1A_008845 transcript:Et_1A_008845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSAVQLKSLKNALTSCTKELKKHVTRRGLLGKKKTEIAGKDLRKLVTAAGLGAAAASSLDHSQVLAGAL >Et_4A_035507.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27026689:27027159:1 gene:Et_4A_035507 transcript:Et_4A_035507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMTMISAKRLAQMARKWQRMAALARKRLMPSTPENEADGSPCSTSSMAGKGNFVVYSADGRRYEIPLAYLGTAVFGELLDMSEEEFGFAGEDGRITLPCDGTVIEYVMCLLRRDASEEVERAFLSSIVRPCHTASSMAAAPSLGLNQQLAVCM >Et_1A_008527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8427198:8431018:1 gene:Et_1A_008527 transcript:Et_1A_008527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGGGGGHYDGGAGGAANANALFSGGGFMPSQSTAVPESSVGGGISKSRNAQTLLPLTVKQIMDAEQASDDKSNFAVNGVEVSTVRLVGRMLNKVERVTDVAFTLDDGTGRIDVNRWENESSDTKEMADVHDGNYVIVNGGLKGFQGKRHMVAYSVRRVTDFNDVTHHFLHCIHVHLQLTRPKSQVNANIGNPNQANQLRLPNNQAPVSGNTVGNDPSSLILNVLNDPAILEVLKKHVEDGDIYNTIDDHHFKSKEWDGSGMSPTREHIWCYGRFVCYGVTGRFQPLDGISVDRIDEGVGKHI >Et_8B_060640.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3864096:3864554:-1 gene:Et_8B_060640 transcript:Et_8B_060640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPATEKAEKAPAGKKPKAEKRLPAGKSAGKEGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Et_4A_034881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7484286:7485782:-1 gene:Et_4A_034881 transcript:Et_4A_034881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLAFSRCSLLLLLLLLATTASHALNVGNLLGTPPAGSQGCSRKCESEFCTVPPLLRYGKYCGILYSGCSGEKPCDALDTCCMVHDHCVAAHNNDYLNTRCNENLLHCLDSVSPAGPTFPGNECDVGRTAFVIRGVIETAVIAGKILHKRDDGH >Et_7A_052716.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1464717:1466090:-1 gene:Et_7A_052716 transcript:Et_7A_052716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPIGRHAVFIVVLVLAGAHGVLCGSNPANELVRIGGKKNAPSWRPGANVTGARGGGGPAPAPSGEDLEGCGCGPTPAPWEFLNQKLASLYPVIQAFKRTVTCDPLGVTSTWVGPKLCDSFTGGGMYKGFYCDYPPTANTTLTVASIDFNGFGLCAPSLAGFVDAFPDLALFHANSNNFSGPVPDLTPLPFFYELDLSNNNFSGAFPDTVVPLGGLLFLDLRFNRFAGTVPPPVFSIGVEALFLNNNGFTGGIPDTFGSSTAEYLVVANNRFTGPIPRSIFNTSGHLAEVLFLNNDLSGCLPYEIGLVDGLALFDAGGNEITGPIPLSFGCLALAEEINLARNQLYGHVPDVLCLLAKTGKLTNLSLSENYLHSVGHHCMELVRSRVLDVRRNCILGFPDQRPALECALFYADPPQHCPFVPHIPCDLPGYGPHHGHGLGTAALPAASSQHHGSGN >Et_4B_036488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26687516:26688260:-1 gene:Et_4B_036488 transcript:Et_4B_036488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGVGEVATACVDGKDVRLFPCLFCNKKFLKSQALGGHQNAHKKERSIGWNPYFYMPPTTHNAAPPSPTAPGGPYAGVGFVGVGPAAAGPAGTPAAAAGGGVLPAHAHAYAASHHHGYAAVPAPFPIASHGSSAGGLQYYEQGAAAAGDGGAAAAATTKTTAAASSTSTSASSA >Et_2A_016886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29074640:29080307:-1 gene:Et_2A_016886 transcript:Et_2A_016886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGAVLGFGVGLAIGLVGAYLVYLRFFSARRHLQDPIVRPLRDLDSETMQTIIPDIPLWVKSPDYERVDWLNKFIRDMWPFLDKAICNNIKRATKPIFDQYVGQHGIESIEFGQLTLGSLPPTFQGIKVYEMQEKELVIEPVIRWASVANVIVNVKVHSVKVSAQLVDLHIMLTPRVTLKPLVPSFPCFANLCVSLMEKPCVDFGLKLLGGDVMAIPGLYRFVQDQISKQISILYHWPKVIQIPILDGASGATKKPVGILHVKVIRAMNLLKMDLLGKSDPYVKMRLSGERLPSKKTSVKMNNLNPEWNEHFRFIVKDPETQVLELHMFDWEKVKMHDKLGMQVIPLRLLTPYESKLFTLDLLRSMNPNDPHNKKNRGKLLVELTFDLFRDDSSRTSMTSDGEGNASLRRYDASSGAGVLLVSLENAEDVEGKRHTDPYAVVLFRGEQKKTKVIRKTRDPRWNEEFQFMVEEPPADDKIRIEVRNKRKGLPFRGKESLGHVDINLVDVVNNGRINEKYHLINSRNGKIHVEIKWSTV >Et_10A_000427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10073934:10078607:1 gene:Et_10A_000427 transcript:Et_10A_000427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALVHRAASLLRAVAAGPTPRHPCPAQHRLPEAVCRPKNVPNIYSSRYSTLVVPSNEGLIPPELLSSQTVWTPERKIGQYEDLVARVTNFHNEDKGYMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFEDLEIPSHKTKNIVNYISQMDGTKKVLLVDGGDIDKKLKLATQNLHYVNVLPTIGLNVYSILQHDTLVMTRDAVNRIVERMHTPINR >Et_7A_052373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7382224:7383250:1 gene:Et_7A_052373 transcript:Et_7A_052373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSENKRHDREEDGDQSKTRQAKKARGTSAGCLLLDPHGYPYHSERDGAYANGGSSTWTAYAVTSRGARFQLFINAQEPPGVSRLLFKADMPADILTPCNTTPYFLRGTRSVEFNLRVISSDDKALLLQALCVGTDYFIMERLGGVSPPVLTRLPEMMPPCVHSELGLMRRNDGYVVAALQQAAPTEAWHVSFFSSSTNAWHRKAAHLAPDLGNWSLSPCLPVEADSGDHCRGGSVQSWTLNDNRLAWAEDHTLKLADVWRDDSYGTTGLPREAPEFPLVDRLDPNVVYFSIIKRGDGDSHVFGVDLRTRKVKSCSCSCAGLNDHVSYALQRVMCTD >Et_9B_064400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14795052:14796053:-1 gene:Et_9B_064400 transcript:Et_9B_064400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSSSLPATPGSVITMASSPTASSISGGSVGVGGAGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKILNELHPSQREDAVNSLAYEADMRLRDPVYGCVGVISVLQHRLRLAHQELGRAYNEISKYQAAVAASAAVGSNGGQAPMAGFVGNPVVPNCSQNFVNVGHSTAAAAIGGAGFMQHDAYATVQLQHMLARGYDGEASTARVGVNGNGGGYSFGYSSGLTSDHGGLGSLGNAGPFMKCAAGTAGGDDRSTVAQ >Et_3A_025237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28166358:28169573:1 gene:Et_3A_025237 transcript:Et_3A_025237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVKSESDCEMVPQDQMDSPAADDGGSPNRGPSLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKNTGLSRCGKSCRLRWANHLRPNLKKGAFTPEEERLIIQLHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRCQRAGLPIYPASVCNQSSNEDEQVTDDFNCGDNLASGLLNGNGLFLPDFTSDNFVGNPDALTYAPQLSAVSISNLLGQSFASRSCSFLDHVDQTGILKHSGSVLPSLSDTVDGVLSSVDHFSNDSEKLKQALGFDYLSEANACSKTVAPFGVALSGSHAFLNGTFSASRPINGPLKMELPSLQDTESDPNSWLKYTVAPAMQPTELVDPYLQSPTATPSVKSECASPRNSGLLEELIHEAQVLRSGKNQQMSVRSSSSSAGTPEFEICQEYWEEHPSSLPSEYAPFSGNSFTESTPPVSAASPDIFQLSKISPVQSPSMGSGDLVTEPKYESGGSPHPENFRPDALFSGNTTDVSIFNDAIAMLLGNDVSADSKPVLGDGTAFNYSTWSNMPHACEMSEFKVSTNLAPPVLAFGAIYNPLAFALSGGRRKGRR >Et_9A_061825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16911310:16915323:1 gene:Et_9A_061825 transcript:Et_9A_061825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAARSLRRLSSSASVRSLRRAFPHHPPPPPSAPPPLPPLRTLTRAFLPHLAAGPHFSTASFSTSAPSPLRQCGVTVPLAIPEATEGEEEAEALVRHDTDAYAAVELALDSVVKVFTVSSSPNYFLPWQNKAQRESMGSGFVISGRRIVTNAHVVADHTFVLLRKHGSPTKYKAEVKAVGHECDLALLTVDSEEFWDGMNSLELGDIPFLQEGVAVVGYPQGGDNISVTKGVVSRVEPTQYAHGASQLMAIQIDAAINPGNSGGPAIMGDKVAGVAFQNLSGAENIGYIIPVPVINRFISGVEESGKYSGFCTLGISCQATENIQLRECFGMRPEMTGVLVSRINPLSDAYRILKKDDILLEFDGVPIANDGTVPFRNRERITFDHLVSMKKPGETAILKVLRDGKEQELSVTLRPLQPLVPVHQFDKLPSYYIFAGFVFIPLTQPYLHEFGEDWYNNSPRRLCERALRELPKKPGEQLVILSQVLMDDINVGYERLAELQVKKVNGVEVENLKHLCSIVENCTEENLRFDLDDERVIVLKYQNARLATSRVLKRHRIPSAMSSDLAEEQAANGEMEASCTS >Et_4B_037482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20241075:20254455:1 gene:Et_4B_037482 transcript:Et_4B_037482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGEDGGEEELVGCCCGDEGCRARKERELCRGDGAGCRGGERKRQLAAVQPTPTPLQQLVDACRVVFTGSSVPPTDHAVSSIRGLMDKIGMLDVGLMDEVGFFSVRSTVRRQRAPMFTWKIIYQCDNFKVAVFYLPTGVGMPLHDHPSVTVISKLLVGSAHIEAYDRVLPHVITVGSGSAMLAKKVTDQHVTAPSGASVKNQDYIHSFKAGQDGPCAFLDLFVPLHSPAEQRCSAFYKDFPYEFQPTSGEAPEEHKNRLTWLYKIDEPKDVKAFRSPYRGPPIRHRHRRRSNSWWTRAASSSPTPPTRRRMRPSLSSVAPWVAVFYLPMGVAMPLHDHPDVTVISKLLVGSSHIEAYDWVSPRVNAAGPGSAMLAKKVIDQHVTVPSGASVKVRDYIHRFMAGQDGPCAFLNVFVPLKSPAEKHCSAFYQGFPYEFHPNKIGMLDVGLMDEVGFFYNRRITGNQSPPMLTWKVIYEGATFKVAVLYLPMGVAMPLHDHPDVTMISKLLVGSSHIEAYDWVSPPVNAAGSGSALLAKKVIDQHVMAPSGASVKTRDYVHHFMAGQNGPCVFLNVVVPLNSPAERRCNAFY >Et_5A_042710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2835178:2836007:-1 gene:Et_5A_042710 transcript:Et_5A_042710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLAVAIALLALLGPVPSHGFVTICFNGWQLPTIILGIVGCPWRPCSSSPAAPPPSGLGLSYGYYNNKNYSDSYCPQGESLVRKAVADAIGKDSGIGAGLMGGSTSQRREAASTGTETNQLPGPFSDVAQLQASFIRKGLTSDEMVTLSGAHSIGNARCTFFSHRLPPNPSTMDPDYAAGLKIECQNDDTRVNQDNQTANVLDNLFYDNVRKGKALFDSDDALKSVAEVKQNADDPKIWEEKFEKAMEKMGKIIDVRSRTNGQIRKTCY >Et_4A_035378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22251511:22252637:1 gene:Et_4A_035378 transcript:Et_4A_035378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPASRCVCRAWRAVVDAHRLLRPDLLPLSLAGIFFTVSSEAFPPFLSHPSAAPAMVDNLQRFGSDGDLGFGQPQDHCNGLLLFPEHVVNPATGRWACLPPPPPSPMERFRHRSYLMFDPATSPYYEVLLLPQLPHHLELDRAMEAEWPPSPCTMRVFLIKGRALGGEVVQPRRAINSSTSNYQMIEPPPNSEFRFNELHLGKSDKGVYYATTDIHPLLVWFLDESDGQMEWVLKCDRELMPSRKARPNYDEEPWLLQCFGDDNFNHSPYVDDYHYEDEDVQVPRSTHLNRCANTIIKTKMFTYQRTYIH >Et_6B_049567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6678191:6687196:1 gene:Et_6B_049567 transcript:Et_6B_049567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLMGKTITLEVESGDTVDNVKAKIQDVCVVHHLIRLRNSFDRTRLNAPDYVSRRASSVSSLRGSSWRRAAPLRTTTSKNSPRFTWLRGGGGGRGCYPRNIDLNLRELALQHNEKKMICRNRCKTAKRTGRLCPEGAMHGFPSGLQTAGRRNVATRRTLADYNIQKESTLHLVLRLRGGGGRCYPYIDPALRDLALKHNETKMICRKYAQPPEPNICIFICQKNGTTFALAIHAPMHGQLNFFTVSCFVLCTASHEGYKLPQEKVWPQQPGEAFNQIFVKALAGKTLSLDVESSDTVDSVKAKVHVKERIPPDQQRLDFAGKQLEDGRTLADYNVQKESTLHLVLRLSGGGKSGCYPYIEYSLLTLALKHNETKMICRKCYARLPMGARNCRKKMCGHSNQ >Et_1A_005363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32194:32924:-1 gene:Et_1A_005363 transcript:Et_1A_005363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPQLSLSGCSSLFSLSSSSSGGRDDDSAAAVPAMPPLPPRRPLLSLSVGGGDMEEEEEEGEGEEYLLGGLDLQLMGGGGGGGGGSCDGDDERKTIRMMKNRESALRSRARKRELEKEVRRLVNENLKLKRQCKQLKVEMAALIQPSSTTKSSNIRRASSSTQL >Et_2B_022581.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26508282:26508461:1 gene:Et_2B_022581 transcript:Et_2B_022581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVASRRKRGPDAFLDDPFGFPTDLSPLAKRGRCSPSAVTAADLGLSPPLSSTPSKR >Et_5B_044422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2461609:2462728:1 gene:Et_5B_044422 transcript:Et_5B_044422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDELLHFLHKLAGVGELRERVETMDRVRVLDHLHLLPLPTQRLHVPRLPVLEEVEPADDHHRRRERLRHLHFLAAHARRRVVPGGALRQELPPVMVRHRHGQGRGRPVERHLRARPLLAAEERLDQDGAGDADACGRRRPRRRGRRGRRRGPALGHVVDDVAAGAVAGEEAAGEVDGDRERVGWQCVGVGVEVAERRDAVVVGCGEAVVWRKAVVHGHDDGAELAAETAAERVVGARRRGEEHEPAAVETTTGSGGASSAVDDGEKTRAQRPRAASTVTSAERTPVASGRGRAAACRLPSEKRRRRTLPSARCAAPSAVSWTRTVHGRDGVRPVLSELAIPCPACLLAWDAVATAAFKQRGRDLETTSQQ >Et_7B_054453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21513157:21514354:1 gene:Et_7B_054453 transcript:Et_7B_054453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILEAIVELSDQEGKHPDPKDLHFELHGRLMREKRRKKKAKANLQALCPGHRPAPEHTTSNGVVPKEVVPGGASGSEERQARRLLQVRQPSSDRVHVIVVHRPHGVVADGKPRYEKGRPASDHVDRWRLVSLLALSSTRRPSTSLCSSSAELYLGSAAPLYLAETSPARWRGAFQRGVPALIIVVGALLVPQQPGAAREEAKRLRSRAYRPYTVIMVAFFDFTGFLVVFSPVLLRTVGFTSDRALLGWRCCASSARWGPLKWVVWSTRWTWSVAQGITLSVTYVLSVVQSQVFCHLKYGIFLFYAACLLAMTVSRRGDQGRAAGGHARRLCAPLVPEELHHQGRCTCR >Et_4B_039905.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:5677457:5678878:-1 gene:Et_4B_039905 transcript:Et_4B_039905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRASLLLLLRRLSTRQPHRDHPKLAALLSVLTSPLTSSTPLPHALSRAFPSPADAFPLRTLPRLLPLLPSPVLSLRFLLWRLTHSSPLPSPHAHSSLANSLPDLRSSVPLLLSSSPQPLPLPHYAVLLNISAHAGLFPASLAVLRHMRSFGLLPDAACFHHALRAAGSAGDISAVLEIMSESGASPTVPLIVTAVHKLAFAGEFESARRLIDKMPEFGCVPNVVVYTALLDGTCGFGDLDAALALVEEMEGGKLGVSCAPNVVTYTCLLKCLCGKGQLGEALGVLDRMGERGVLPNRVFMRTLVEGICAGQRVADAYAVVERLVGDGSLSSWQCYNVLLVCLWWIGMEAEAEGLAQRMMKKGVQLTPLAGSSMVRELYGRKRLLDAYHWLGVMDENGVLCDSDVYAGLLLGLCDEGHVLEASVLGRKVVERGIHIEASCADRLVELLKQHGDEELASHILGLRRCPEEVLL >Et_4B_039766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2959870:2960857:1 gene:Et_4B_039766 transcript:Et_4B_039766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLICLSPSKSGAVVRVCNMDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >Et_4B_038062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25933000:25935226:1 gene:Et_4B_038062 transcript:Et_4B_038062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGFTSYLAMKTDPAGAAASQALIDSDLRELSVAARKLANHAFVLGGGLGFGTSFLKWLAFLAAVYLLILDRTNWKTNMLTGLLVPYIFFTLPHVLFHLIRGEVGKWIALIVVVLRLFFPRHFPDWLELPGAIILLTVVAPGIFADTFRSDLVGIFICLAIGCYLLQEHIKAQGGFRNAFRKGNGVSNSIGILLLFIYPVWALVLNFL >Et_2B_021531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30286062:30288887:-1 gene:Et_2B_021531 transcript:Et_2B_021531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSSASASSSAAAAAAAYPRSLLHLWRRPSLLALLLILLCFISFQVVIHVPSARSSVSRWLFANHQTKHDVSANCPGCGDLQDVSDADKTIAYTDQHGRIKLFKVTAREFVTSSIWKKPSLPRDTQQQKAAQELLLGSESTNLSNANTLVTNSIDPIKLKRQVFRRKRKEQRTQELLQMDKEIELQMRSAATISSRNFDNKVRDSYNIWRQEFHHINTDSTLRLMIDQIIMAKIYATIALSQKESDLYASLMKCIKESQTAIGDAHMDNELDSSALERAKAMGHVLSSARDVLYSSDEVSRKLRAMLQSTETNIDAVKKQNTFLVQHAAKTVPMPLHCLHMQLTTDYYFRDDLIKEHFHDASLKEEDQQPNLEDRSLYHYAIFSDNVLAASVVVRSTVANANEPEKHVFHIITDRLNFAAMKMWFISHPPRLATVHVENIENFKWLNSSYCSVCRQLESARLKEYYFKAHDPSSLSDGNENLKYRNPKYLSMLNHLRFYMPEIHPKLDKILFLDDDVVVQKDLTPLWDIDLKGMVNGAVETCKESFHRFDTYLNFSHPKISENFDPRACGWAFGMNMFDLEEWKKQNITGIYHYWQDLNEDRKLWKLGTLPPGLITFYNLTYPLNRTWHVLGLGYDPAVDLTEIENAAVVHYNGNYKPWLDLAISKYKPYWSKYVDLDNSHVQHCYTSKQ >Et_3A_025913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33981646:33982971:-1 gene:Et_3A_025913 transcript:Et_3A_025913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGGGNATAVCRTLLLVLLGAAAAETAQAQVVPALFVFGDSLVDDGNNNALAKANYFPYGLDFLGGAPTGRFCNGKTVVDALCDLFGLDYLPPYTSTGQDGSPSLLGGVNYASAAGGILDETGQHLGERFSLSQQVLNLETNLDAIRSQLSDPGSYGQFLARSVAVMVVGSNDYINNYLLTSLYDSAATYNPQDFANLLITHYTRQILALYSLGMRKFLLAGVGPLGCIPCLRALSGQGQCAEQVNQVVGFFNKGLRSLVDQLNADHPDAAFTFGNTFDAVRDMIRSPGSYGFTTVDSSCCGLGRNRGQILCLPLMQPCDGRDQYLFWDAYHPTQAANIVLALAAFNGTQDSIYPLTLQQLADKQLI >Et_6A_047911.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2487531:2488961:-1 gene:Et_6A_047911 transcript:Et_6A_047911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATEQNVMAPHVLVVPCPAQGHMLALLDLTGLLASRGLRLTVVTTPGTAPLLAPLLQAAHPGAVRALTFPFPSHPALPAGVESGKHLPPALFPSLIVAFAGLRGPLGAWARARSDGPDRVVAVLSDFFCGWTQPLAAELGVPRVAFSPCAVCGTAVIQSLFRRMPEPAEKDDVVAFPDLPGAPAFPWRQMSMLYRSFKPGDEVSEGLRSNFLWNLDSSGGFVLNTFRRLEERALEAPLADMGFRPVRAVGPLAPLDAATRGGEMAVDAAELCAWLDTFEENDGSVVYISFGSMAVLQAPHAAALAAALESTGVPFVWAAGPAAPLPDGFEARVAGKGRVIRGWAPQVAALRHRAVGWFLTHCGWNSVLEAAAAGVPMLAWPMTADQFVNARLLVDDLRAAVPLSWGGLHAAPEPDDVARVLHDAAVGGFGQVAARAKELAEQAAAAVRQGGDSWMDLEGLARELRDLGSQPKHQ >Et_1A_008298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5995184:6001774:-1 gene:Et_1A_008298 transcript:Et_1A_008298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGSSSSSPSAADSVEGYWEARDEAMSRLGAMAIRGRGEVELSAEQLETNNQLQEDEVLALQAIYGNDMVILEDKAGSRSFQVFVWYPLHNGTQVFLNLHPEGATVETENDMGRDDGQLIYACSLKHLPPVVVTSLLPQSYPSTCPPYFTISAKWLDEPKVSYLCTIFDEIWTELPGQEVVYRWVDWLNSSSWACISLKDNIVFAPDKVSDAADERAIARKFLVDSTIPLMQSYNEKRHHEIFLQSLHECRVCLSESTDTMPDLVYCPRCGAACLEVDNDAQCPECFFTFCSLCKERRHVGTACVTPAEKIRILRERHQKYSLPEKQLLREQREIEELLNVCAVLRDSKQCPSCKMAISKTQGCNKMTCRNCGKFFCYRCNKAISGYDHFWGGGGGDCVLFEIQIPNLRRIYGRFEEQDDDEDSDDDPEEQEWIWLYPCPICGRNNRKWGTNNHILCMGCRGHYCALCRKRVLKSSQHYGPRGWYEAAARLKAMAAEVRVEDEVSDEQFQVNNQTQEDEVLALQAIYGDDMVIFDNTEGLRSNFVKLPCDHSFCVKCMESYCSIHVKEGSVTRLTCPDTSCRSPLPPSALRRLLGEDGYARWESLALRRTLDTMPDVAYCPRCNAACVAAGDDAQCPACFFTFCAQCGDRRHVGGACILPEEKLANLLVPRRRRRSKAGKGSAFFIFADRLLIDMARRNSRSRRDRRMSEEQERLEQRKVEELLSLREVLRSTRQCPSCRMAIAKIEGCNKMVCDNCGQFFCYRCNRAISGYQHFE >Et_8A_057706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6279032:6282462:-1 gene:Et_8A_057706 transcript:Et_8A_057706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GDSESEDEESIISEESFDDVPPPKQEKRDDSRYYLDGSSDSDESDTRRVVRLLKVKLNEEMWSTVEQIRNAMKINDWVSLHSCYDNLNKQLEKVVRVNESNKLPNAYIMALMLLEDFLVESLANKAMTKMNSSNSKALNSMKQNLKKNNKQYAELILKCRENPKCFDKEGANDKGKGDNDDEYDAHSDIEINDLASGKEQDNNRDGSKDDGLPRETKTVKEDNIIDKQFPLDPSEITWEIVDKKLKEIVASRGKKGTGRTERVDQLMFLACVAKTPSQKLEILCHAISAQFDINPSLLGHMPISVWKSCAKNILLVLDILQQYPNIIVDNLVDPDEKETQNGADYDGTIHVSGNLAAFVERLDSEYFKSLQCADPHTKDYIERLRDEPLFMVAAQNIQGYLERVGNFRAAAKVAVHQVELVYYKPQEVYDAMRTLAEQAEIEDGDAKEIDRCSGPTPFVVIPEVVPRRPIFPPSSRALMDRLTSLIYKHGDERTKARAMLCDIYHHANSDEFLIARDMLLMSHLQDGVKLMDISSQILFNRVMAQLGLCAFRAGLIAEAHNCLSELYSTGRVKELLAQGVRYGHNHEKTPEQERLEKRWLMPYHMHINHDLLEAAYFISAMLIEVPSMVANTDNRKPGYKSFRGLLEFSEKLTFIGPPENVRGHVMAAARILKSGDYQKAFNVISSLEIWKLLRNSEHVLDLLKLKIKEAALKTYLISYSSCYKSLSLAQLSLMFDMTESLTHSVVSRMMILKELDASWDQPTRSVVFQNAEQTRLQMLLSQMADNLFVILETNEKAYVAAGGKVFEEEVPKRRAENRDPSKLGRWQENFVSTQGKRSGGRLGYTGRGAGLARLYQKDRNSKGNQETAPRQTSSATRMVNLKRSIVV >Et_3A_026652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12078289:12080834:-1 gene:Et_3A_026652 transcript:Et_3A_026652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGALCCLLPKLYQLLKEEYNHKKGVKKTIRFLLRELESMHAALRKVSEVPRDQLDEQVKLWARDVRELSYDIEDAVDTFMLRVAGHESAHPSNVFKKFGKMAINLFKKGSQIADTIEEIKAQVQDVANRRDRYKIDNIAANPVATSIDPRLSALYRNDRELIGIQEARDELIKMLTEGVDVSQQQLRTISIVGFGGLGKTTLAKAVYNKLRTLFDCRAFVAAGRNPDLKKVFRDILIDIDKKKYMNVNATILDERQLIDELRELLHNKRIFGDEANYPDGDQLGEASDKILKKCGGVPLAIITIASLLASKRMEDWFNVYNSIGFGDEDNKHVKNTREILSFSYYDLPSHLKTCLLYLSVFPEDYFIDKDPLIWKWVAEGFVLEKHGTAGLFEIGEGYFNELVNRSMIQSVEVQDEAIVIGCRVHDMMLDLIRSLSSEHNFVVVHEGEDKWQSVSGSNVRRYASFVKELGNLCELRTLRTQVVAEKDEDAQEGALMDCLRSLRKLRSVKILGTGREYWNSSVAMEKTGAGYFHKLRSCCIRNASRRACGNPSPR >Et_9B_064693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17748564:17749971:1 gene:Et_9B_064693 transcript:Et_9B_064693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVEKAKPYVAMISLQFGYAGMNVLTKVSLNQGMSHYVLVVYRHAFAALCMAPFALVLERKVRPKMTWPVFWQIFVLALLGPVIDQNFYYAGLKLVGPTFSCAMSNILPAMTFVLAVIFRMEKLDMKKVRCQAKVAGTLVTVAGAMLMTLYKGPLMELAWTKHSHGGAGAEAPAAAVAVEISGRDWFLGSLFVIIATLAWASLFVLQTHTIKQYSAQLSLTTLICLVGTVQAVVVTFAMDRRRGLSAWAIGFDMNLLAAAYAGVVTSAIAYYVQGLVIQKTGPVFASAFSPLMMIIVAAMGSFILAEKIYLGGVLGAVLIVVGLYSVLWGKHKETQEKEAEAKMALPMASKGDGDAAGDGGDRDVDCDKGSSVMKSSSDRRGASSAASASEV >Et_3B_027827.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21088902:21088976:1 gene:Et_3B_027827 transcript:Et_3B_027827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTNGVGVGPRHAEPKGFTFIL >Et_8B_060290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8686751:8702916:-1 gene:Et_8B_060290 transcript:Et_8B_060290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGKQMATKVNLREAKDAMGRNALHCAAGKGHLDICRFLVEELGLDVNTTAGGSGTLVHFAAVGGDERVLGYLLDHGGDPGARDAKGSTPLHDAAEQGRCEAVKLLLSKGVDVDPVNHRGTSLHLAAAKDRDQVVKILLEHGADAGADVNFISPSGPSILMEAVDDGLTDMVKFLLESGADPNIADEQFMGTVSLSKFCFLRQPIPSVPDWSVDGIIRSMRYLRFEAQDAAVMGKRLTDAKSQGKEVFAKGEYIAAIYFYCLAMEKDPLDATLLANRSLCWLRLGEGDRALLDAQQCRMMSPHWSKAWYQVANEMDLREANDSNGTNALYFAAAEGHLEVCRFLVEESGLDVNSTNADGYTPIFRAANEGKVSVLRYLLDHGGDPAIPDALGYMPLHMAAERGRHEAVELLLCRGVDVDPLNSRLATPLHSAAMKSNEKSLKLLLERGADGAGYGADMKIRGNETFAKGDYAGAIYFYGLAIFVLPLDATLFANRSLCWLRVRDGNNALSDAKKCREIRPRWSKAWEDTEALRTDLREAKGPSGWTVLHLAAAGGHLNVCRFRVEESRVDVNCATADGETPIAHAAAAGAVSLLRYLVDHGGRPATPNSMGRTPLHNAAQNGHTEAVILLLSQDVDVDPIINSRNGGGTPLIMAAGKGHDQAVKVLLDHGADPNRVVHGIFSPLLMACYAGSLKCMKALVEGGADVKSRSLYGPSLLMKAVMDGSTIWEKSNHVRNWSVDGIITTAKSMPFKAMQCKTLRPHWSKGWYREGAALRLLKKYKESANAFVEALKLDPANDEIKSALRQCSCLTASIYCYFSVIMLLLDCFHLLVIFIQLP >Et_3A_025058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26499951:26502785:1 gene:Et_3A_025058 transcript:Et_3A_025058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRACSRLRRLLAPPPPQRSHHLAGGPHAAAVARCSPFCRRFAAASASVAVAPHDGRDSGIGGSAYYAWIRAAAEAAPAPSPPQEEEDDGPERYIPVKAYFLSTSIDLKSMQVEHGNDIVPPSTRSLNYIALRYSEFPPEIMDIGIRDNRFCYRYVVVFQYGSAVLFNIADHEAEYYLDMIRKHASGWLPEMRKDDYAVVERPSLSTWMKGGLDYIVLKSLDTDGIRIISSVLGQSIALDHYIRQVDDMVEEFTEINRVMEKTGNFTMQRKKLFQLVGKANSNLADVIIRLGLFDRSEIAWKNANYAQILEYLREEYELNQRFGSLDFKLKFVEHNIHFLQEVLQNRRSDLLEWGVIILLTIEIVISLYEIVKDSNMIS >Et_1B_011631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24406377:24408473:1 gene:Et_1B_011631 transcript:Et_1B_011631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRASVSVSDESSELTAGEHGGCGSASTRSLVDAAVGNLSRTVSDVSTSFSSEQCSSVDHSGPFEPAAAAVAKLVDRPPAALSRLSMKPRADVLDRRSTDDEMELVKERFSKLLLGEDMSGGGKGVCTAVAISNAITNLYATVFGNCHKLEPLPAGKKAMWRREMDCLLSVCDYIVEFFPSTQTLPDGTKVEVMATRPRSDIYINLPALEKLDAMLIEILDSFQEAEFWYADAGTRSFASVTSTSSTMSSSFRKSMRRNEEKWWLPVPCVPDAGISEKARKDLQQKRDCANQIHKAAVAINSGVLSDMEVPDTFMAVLPKSGRASVGDSVYRTMLGADKFSPDYLLDKLDISSEHDALAMADRVEAAMYVWRRKASASHGKARWSKVKELTADDDDKNVALANRAESLLLCLKHRFPGLSQTTLDTSKIQYNKDVGQAILESYSRVLESLAFNMVSWIDDVLFVDKSTRNK >Et_10B_003180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17381934:17382647:1 gene:Et_10B_003180 transcript:Et_10B_003180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRAAGAYINLSPLISSITSTSSVTLREAIAAASPLQLTAVAILFLLVAVVAARPAAATDAGAAAPAPPLEERLRRAFSVPLDEAGGDGQDGGGGGMMECWGALSKLGSCTSEILLFLVNGESYIGDECCRAIRGATRHCWPAMLASVGFTAEEADVLRGFCDGEVAAGHGGGDATTTPAAAGNAPAPGKP >Et_2B_019789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1427205:1432128:-1 gene:Et_2B_019789 transcript:Et_2B_019789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRSFDGLVAFLTTLFRYLPRGEATLYLDAAGADPLVAALIISNRRGMKRFAFCSGTTAALVETALRCAAATARHPDPQRLSLVSELSASHLQLEQSWELASSRRVLIGTPTPESLPPVRGAMKRILLATIHGFYLERCLINRRRIAVLQVLPPNATSILLTKASPCFGCEKMSSPPAARRKRHLTSDPGSGWASLPEDLLGLVASLFLAGDLLDYVRFRAVCTAWRSGTADPRGRGIADPRFHPRRWMMLPEGHYLYPGHPNLGGFIRFLNLDTGVLVRARIPFLGVGNFWPIDSVDGLLLLLRDTVDQEGAVRLLHPFTGDIVELPPIGTLASSLLSKCPATSVSFNAAGTVTVMLVLHGVDRIAFATSRDRQWTLSPRPREFPELLFPSLSFQGNLYVMHTSSRSVWDDDKIHHVLQVDPPVTLDDGGLAPPQFKSVATIPSSKLVNPVSLVECGSEILVLGRNDWSAQQIIIYKLADIVLQRFIPIESIGGKTLFFKERGISVSSRALPTVRGDICKGPPHLAQYNLSSGTLSPAIDTCSLYGRAQGPSNLVHYIFSCCIRDPWSKGLIFRRTAQDCGIYGPRNLEDKFLIGVLKDEIRPVLAKIEKIYGRVKNHDNNNGFCFGLLRRWNQDAANSLLLLSFDGLVAFLTTLLPRREATAYQDAAGADPLVAVIDRRGGRDRAQVRRGRRPDPQRLVHGWKLLAPHLKNLVSELSPSTGPDDAVVRRVLTTMYYPAGSPTPWSSRGSSRLHGAPTPGSYPTNRLPPVRGATKRMLLATIHGFYLRALATFPTAELRARYHRGLLMGGYCYGPLDPVSNIAVNTQHALHHVPASRRSLYGLVSFLCTRYPSLTPDQALQRLLAAGADLRVADPNLFDATSRESRENKKLSCSGCFLPVGYGAGAGGYLPARSKRTLLRPPPPLTLSRRTASWITRFSVQA >Et_2A_017808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6394653:6400434:-1 gene:Et_2A_017808 transcript:Et_2A_017808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKRHAAAAAALSRPCVLIIVVASVERFAYKGVSSNLVTYLTGVVGMSTSAAAKSISAWSGVNFMLPLVSAVLADSYWDRYSTITGSSLLYVLGMVGLTSWALLRTWMPCSTLFLPLYLISIGQAGGSLLGNSILPYIQDNLDWALGFAIPCVVMAMSVVAFFCCTPLYVHKQPKRIDTPSRVSILKVLKSILSSRKISLPSRDDNDDTAASELDVAKIVLRLLPIWTMLLMFAVIFQQPMTFFTEQGMLMDHRVGGGAFVIPPAMLQSSINVSIILLMPVYDKTIIPLINMVTGRSDGITVLQRIGVGMVLSVVAMVIAALVESWRIRVAGGERFAYKGVASNLVTYLTGVVGMSTSAAAKSVSAWSGVTSMLPLVSAVLADSYWDRYSTVTASSVLYVLGLVGLTSWALLHTWMPHSTLFLTLYLISVGQAGYNPALQAFGADQLGIGDEEDTGMDAEEKGKVKSKFFQWWYFGICSGSMLGNSIMSYIQDNLGWGLGFAIPCAVMAVSVAAFFCCTPLYVQKQPVSVGRPSPISVFKVVKSVLANVGARKIKLPARDDNGDDDISELELQEKPLKARSHDQKLSMDESADSAPSVARIIIGLLPIWTMLLMFAVIFQQPMTFFTKQGMLMDHTVGGGAFVVPPAMLQSSITVSIILLMPLYDRTIVPLINAVTRSRDGITVLQRIGVGMVLSVVAMAVAAFVESWRLRSLAGRRLSIFWLLPQYVLLGVSDVFTVVGMQELFYTQVPGAMRTIGIALYLSVFGVGSFLGAFLITALEMATAMDGNSRGWFSDDPREARLNKYYWFLALLSSISFVVFIHLCKYYRATDASGK >Et_2A_018769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6993736:6996500:1 gene:Et_2A_018769 transcript:Et_2A_018769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLGPPSIRGSRPPLAADTADAKPEAEVEAEPNTFLDLLDAHFNDPDPATPDTEPRLARTENNSATYANSGNPCLDFFFHVVPDTAPERVRELLAAAWARDPLTALKLVCNLRGVRGTGKSDKEGFYASALWVHARHPRTLACNVPALAEFGYLKDFPELLYRLVHGADARKADKEKAEARKKARKAKEARVVRVTVGRKRARGRRGAAAPAPQPAAAAQLNSKPLLSDFIFATAALSVKSKRRSAKPAAVVPVAATGEPRDQAMEDVEAKLEGMEIDRKAAMEIDEKAAPKTEKKEISSGKKARKAAKLAVHSLETYYGDRAYRFLFDSIAHFFADLLASDIEQLAPGGNRRKIGLAAKWCPTPGSSFDRTTLLCEAIARRLFPRDSSPEYADLSEEHYVYRVLHRLRREVLVPLRKVLELPEVYMSAQRWSELPYTRVASVAMRRYKKMFKEHDEARFDKYLEDVEAGKAKIAAGAVLPHEIAAAAYRGEDDNVSELQWRRMVEDLRKKGSLSNCIAVCDVSSSMDGQPMEVCIALGLLVSELSEEPWAGRAITFSARPQIHMIKGKTLKEKLSFVRRMDWGGNTNFQATFDRILETAVDGRLAPEKMIRTLFVFSDMEFDLASAQPWETDYKAICRKFRDAGYGDVVPQIVFWNLRDSRSIPVTSTQPGVAMVSGFSKNLVKLFLENDGVVNPEAVMAAAIAGEEYQKLAVLLAYLRLRLGKT >Et_5A_041968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4698775:4699463:-1 gene:Et_5A_041968 transcript:Et_5A_041968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQLMASTSARLALDDDDTTAARDFHRYAETACAPGTRGGRPRRSTKLSRAVRAFTGWRPSNGVNISSTAALYDGGSRARSTEWSKLIGRDPPRGGERRPPGRPPELRPRPGQLRGAVPVQDQVVGAPRHREAAAGERHQLHRQRDVVVVRQQDPLQGLLGQAEVQAAQGDLPGVSDHELRALVAAVDLGQARVRVVHDAPGQWEEVAEQ >Et_2A_017916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7939204:7940597:-1 gene:Et_2A_017916 transcript:Et_2A_017916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAAAQASDHTSVPHVLVVPYQAWGHMQALLDLATCGPPSHRRDHAGHGAPPHAAPAEHSSSVRSLSFPFTAADHDTLGPAPVGTDIHMLGGALRAPLSKWMMKQSRNSDGEEERVAAVISDFFCSRCERRRRASHGWCLRRSACSPVATAATHLLFRCTPRSPLRQRRRFRGGYAVSFPELPGAPAFPWQQISRMYRGCVERGGEHAEAIKDNFLRNLESAAFVCNTCCPIEGRYLDAQPLVDLAGKRVWAVGPVAPVLPSITGGDEGSAASGDDVTAWLDASPDASVAYVSFGTMMVPPPPRWTGRSRTGARRRGQDGAGAPRVGAADGRAAAPRGGVLRHALRVELGAAVLEAAAAGVPMLAWPMAADQFFNARLVVEEARVAAAASWGAFGAVPDVEQLARSLAEVVGEGGANMRARTGELKARVAEAVKEGGSSRLELDGLVQELRELAGGR >Et_8A_058319.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4866634:4867872:1 gene:Et_8A_058319 transcript:Et_8A_058319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSNGRLLRLLLAAVALAGAAVDSAAAQQQSPPTTSGPGPSYFDPRNFNPSMAIVMVVLITAFFLLGFFSIYLRRCAGPPLGAGPDEYPPRPGAFLAAASRSTARTRTRGLDRAVLDSFPVMAYADVKAHKAGGKGALECAVCLSEFDDDEALRLLPRCAHAFHADCIDAWLASHVTCPVCRAVLIPDAVADAPAPAPAPAIAVPVTVQVDAPAAPRQPAPETATAATEQVAVVVVVPAEETEEERIRREEAAELMQIGSVKRALRSKSGRRPAQFPRSHTTGHSLAAAAPAALAADERYTLRLPEHVLQEVVAASNLRRSASVQASPGTGRFFGGGARGAGRRSVRLGNSGRWPNMSQLARTFSARLPAWGGSGRRGEADAAGKGAKAAAGDGKAGEQCGGGGACPLGGV >Et_4A_035621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31271664:31272236:1 gene:Et_4A_035621 transcript:Et_4A_035621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVILHMDVHCLRCARKIRRAIEHLHGVERVWAAPETGLVLVAGTADASKLKWRIESKLGKPVTVVSDGERCFPTYTRMEHLGPPQGYPQPPPTAYPYYAGGGWQQQQQPMMMSWAPVAAPQAYHYAQPVDRQYVPNQAPAYFNDENPSACCVQ >Et_10B_004179.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17746764:17747312:1 gene:Et_10B_004179 transcript:Et_10B_004179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVARVHLAMANAALPGLLPTPPKSAMMPSPAASCIPILLPAKSPSNKTMPGRADAVERWDAHKTKPGGSASTSSSGSSSPRSTSPGRASSCERWDSNKSTTTSSSLSSASSRQRRDSSSKRPAPVSRASSAGERWDLHKKPRALQVAGGKPQAMYAGPGFLASPEPCMLPVPTFMVRAR >Et_5B_044355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23198346:23198955:1 gene:Et_5B_044355 transcript:Et_5B_044355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPPQQFGHNFHPYPPNFNPYAPQAGYQQFHSSDFPFHAHFGGFHPGMDMAGGPSSPVGSAAFLGALGGPDGSRADECSPISSPVAAAKNKNKAHVIEEWSGVDSDEGQKGGRKYWSDSDNRRLIQGFDPIDGNSKKGTHYWKDVAAEYNMGAPKEKNSHAVEESLESTQHNCFKVPWGLDIKEEHLCEWAIDSV >Et_3A_024615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22605637:22608896:-1 gene:Et_3A_024615 transcript:Et_3A_024615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNNRHWPSMFRSKHAAAAQPWQAQPDMSGSPPSLVSGGSTTTTGSSIKHSFPGVRPRRRRQRLLLNRKARSKNKLRATTTARAPPLRLQPVAHALYTPPQIQAQPVHLLASPVQAPAPAPTSSSSSSSDRSSGSSRPAAPPQETTMSATEAMDLLGPLAAACPQVYSYHQSQPAAAPAPSPKLQDHVVAAAAADDTIYLPWPQGYCLSAAELAAILVHARPGAPAPAAAAAVAVPGALQRATPCIAGLADGAVVGVTGPPPAGEVAGPDDQGVAVLCVGDSGTGKSVVREVAARHLDVRSQFGEAAVLFRYVGDSERAVHVPVDAASGCTVEPLQHGVVYWVLV >Et_1A_007727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37592363:37596751:-1 gene:Et_1A_007727 transcript:Et_1A_007727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPRISLLAAVATVAVLAAGGGVEAADPPLSPKGLNYEVAALMAVKSRVRDDKGLMAKWDINSVDPCTWSMVTCSPDGFVVSLQMANNELSGTLSPSIGNLSHLQTMSLQNNRISGQIPPEIGKLTNLNALDLSGNQFVGDIPSSLGQLTRLNYLRLDRNNLSGQIPVDVARLPGLTFLDLSFNNFSGPVPKIYAHDYSLAGNKFLCNSSSIHGCTVLTEVTNGTIIRQLQKGKNHHQLALAVSLSITCATILVLLFVYWLSYCRWRLPFASADQDLEFELGHLKHFLYHDLQNATENFNPKNILGQGGFGIVYKGCLRNGTLVAVKRLKDPDVTGEVQFQTEVELIGLAVHRNLLRLYGFCMTSKERLLVYPYMSNGSVADRLREYHHGKPSLDWSKRMRIALGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAIVGDFGLAKLLDRQESHVTTAVRGTIGHIAPEYLSTGQSSEKTDVYGFGILLLELITGPKTLSHGHGQSQKGMILDWVRELREEKKLDKLVDRDLKDSFDVAELECSVDVIIQCTQTNPILRPKMSEVLHALESNVTLAESSIELNREPLPYGGTYSFSIRHEDPHDSSSFIIEPIELSGPR >Et_2A_016757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27956435:27963562:1 gene:Et_2A_016757 transcript:Et_2A_016757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVAQRTKEAEITEQDSLLLTRNLLRIAIYNISYIRGLFPEKYFNDKSVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCICEKEEGPMIEEYAFSFSYPNTNTEEVAMNMSRTGSKKSSATFKSNAAEVTPDQMRSSACKMIRTLVSLMRTLDPMPEERTILMKLLYYDDATPEDYEPPFFKCCADNEAINIWNKNPLKMELGNVNSKHLVLALKVKSVLDPCDDNNTNSGDDGMSVDNESDQDDDFSDTEVRPSEADRYIVAPNDDTQDAAHEEELTAQVREWICSRDIGTINVSDVHSNFPDISMILWRGYLKKVYYPGQARMTVDPKTPHVKKEVVMPNVSPTEGTKSNNADLMYMKALYHALPLDYVTVSKLQGKLDGEASQNTVRKLIDKMVQDGYVKNTANRRLGKAVIHSESSNKKLLEIKKILEGSEVHEIGDGSTMGCLHSIGSDLTRTQELPELQQNVSMQSGQEASAMDKDPNRTPTSLREPAAPACSLESGVLGQRIRKSLNVAGEMQSTQDKRSRKTSMVKEPILQHVKRQKCLAQ >Et_8B_060802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7988286:7989005:1 gene:Et_8B_060802 transcript:Et_8B_060802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTTSLTEFAPPDVLAALADVAEEEEEDGELQLAARGAEEDAERKEEEGPYGWSVGGAAGRADWLAAYRARAAPALLGLRRNSADFSAAETAAFLRACGLCNRRLGPGRDTFMYRGDTAFCSLECRQQHITIEEWKEQCTALATMDTSHQAPSLADPVKQESERPDKPAAAAGTGGGGGMLAAA >Et_5A_040378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18305958:18306571:1 gene:Et_5A_040378 transcript:Et_5A_040378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RLHNSRVVANALARRRWILTSGVHGSETLDHLLLHFVHHKETWFRILRQLRLHHLAPSVDEPPFVEWWLRSRKRVPEELCLGYDTHYFGHMEALERAKQKGS >Et_3A_025928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34072494:34073412:-1 gene:Et_3A_025928 transcript:Et_3A_025928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQWRFVEKMDDHFTTSSKRNERTDDLRMNSKKRRRKICNKGVATKSLSSGLSLLDIFLTALSEY >Et_10B_003405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19498375:19499929:1 gene:Et_10B_003405 transcript:Et_10B_003405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GSEAEKNTVEVEGITFPSEIAVGKPLTLLANGLTDIEIHFLQINFNAIGIYLEKNDVLLEHLQTKSKGNKAEELAEDDDFYQALVSAPVEKLFRVVVIKEIKGSQYGVQLESSVRDRLVAVDKYEDDEEEALEKVTEFFQSKYFKANSVVTFHFPTTPGPAQARKDEVKITVENENVAGMIQKWYLGGTSAVSPTTVRSLADHFAPLLST >Et_9B_065124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21423944:21426012:1 gene:Et_9B_065124 transcript:Et_9B_065124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRSPASSRRGGGRATRQSPFFRDLASPIPTHRGTSRFASGASPAAAPSAAPPPPPIFTLDDRVAAADFSPDPTGSELLPVPSSPSPRAAASRSPSWDRSRARVSLSPPGSPMDGVVEPARKEILSLPAPPSPVTPPPAVEAQSPVTPAQASARTEPIANGAEVDGEEWVTVFGFSLGDTNLVLREFEKCGVILRHHSGPRDGNWIHILYQRSYDARKALQKNGIQLSSGLIVGVKPIDRVHRQQLDESFSRSNQGGFMVSLPSKSFALKTTGASNQLGALPRPYDPKANTNVNRDAGRRATGSVAAPAKSIVTNVMDLIFGI >Et_2A_014474.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:11492049:11492441:1 gene:Et_2A_014474 transcript:Et_2A_014474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGDGVSSGSAESGPTPAPARRRRGTYRGVRQRPWGRWASEIRDPVKGARLWIGTFDTAADAARAYDAEARRIHGARARTNFPPGDGEASTTPLELECCSDDVLDSLLAGFDDASPRSMDSWSFCSFQC >Et_7B_055583.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:15572803:15573003:1 gene:Et_7B_055583 transcript:Et_7B_055583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMITAKVALLLVVLAHVVSVLATAARPLEGHAARTNGGWLESGIGMVTQMLGAAKSRSSSKTHCC >Et_9A_062781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4898440:4901354:1 gene:Et_9A_062781 transcript:Et_9A_062781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGQVKFEVKSAKDGAWYGTVGPPIPLLLFMWYDVEAFLSQRGMETGDLEVKVRISGFGTEEDEWVKVRTCVRQRSLPCQNAECACVVHGDLVLCFKESKTQSLYFDAHITGIQRRRHDSRGCRCRFLVRYDHDNSEEIVRLRQLCRPETDYRIQALHGAIGDQAVPKGTNVELTCPSSTR >Et_3B_029020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21381210:21387783:-1 gene:Et_3B_029020 transcript:Et_3B_029020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCGLKLISMGLLNRLPPLNHARSDPCAGCSSTAREPEGVAATAESGAGVCGEPGSSSTEAETSSEKKSAAGMSLMGSPAAVISLRKTA >Et_1B_014248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4250891:4252857:-1 gene:Et_1B_014248 transcript:Et_1B_014248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHIVTEDRRITSFIKIEQLTEEGELQHYFKLPYLEDYGRISSSRDLIVLSYKYGYLLSNPAKRDLVYLPHDPWNEAEIHLTGFGFVSSLGKYKVVSLMIDSGDTCEVFTVGMDKSWRKGKSPPFTLSQAEHMPYIDGKLYMLSLDYFFEEDFNGNGRVLMFNLEEEAWSVMALPDVQKLEYMAFELRELQGLLCCVGCIPYKRIEMWMLRDYANNVWSKDFVVDDTQLGVNDDTRLLHAFPLKVMTDGRIFLETDDVPSDQSQVFWLLFAGQPRAYPWLDCARAPCNSVAAAVVACRPVSGALDPSTLPGRSLRGGARDDDEIVPIRAAAAGITRDGVTTSTTERL >Et_9A_061897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17544686:17546720:-1 gene:Et_9A_061897 transcript:Et_9A_061897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKTKSPLLQPRNPLLILLFLAATTAAAATTMAANPEQAAPAAAAAQDAAVHIVYVDRPESVEAEEFHIRTLAPVLGSEEKAKDAVLYHYKHAASGFSAKLTPQQVEDLKKQPGVLQVVPSQTYQLHGPQSGTTRTLGLIRGGRGREESSLTMVFGRTRHYVSAMLGPARQC >Et_1B_011840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26453214:26457000:-1 gene:Et_1B_011840 transcript:Et_1B_011840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALRRFLPRCGSLRHALLSNPPSSASAAAGGTFRRSFQSGNDETMEEFEQRLFGKTGPNEGPLFEKLDRAGNAGRRYGMGSGMGAFGHRSSSGTMGGFDSLNDGLSGMLGDAAHNFQDDDDEDEEDDEDFEFRADVQFRRGSMYNTRDLDLTRPAAAKNPPRPQFETTTKEVLRKADFRNVRFLSNFLTEAGIIIKRSQTRISAKAQRKIAREIKTARAFGLMPFTTMGRRPFIFGRSVEEHHSEEEYGYDFVEKEGEPDVDNEDAEPALDPV >Et_1A_005297.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:38831889:38832137:-1 gene:Et_1A_005297 transcript:Et_1A_005297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPKLFLCLPEQLLKRPVAKVLCGDHKPLHLLADAHSEESLRNTMAAAALVSLGCKCKPLPLLEKLLKSHNVSDLVAARPA >Et_3A_026250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:672450:674745:-1 gene:Et_3A_026250 transcript:Et_3A_026250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKFANLEKPKPRKPFETDAGEGRELELAGLGEREREVADDGEVDGESDAHLVVDVPVAAGVLDEADEEVVGDLHGHVQLRRVAGLERARVGHGAGRHLTARALERVADLADGDGVRVRVANVEAPGEGGAVAADVTNGKVSEIRLRLLHVRHLVRNETLRLVHKLRHSLLLARDRARRSASSLPDCFSLSTT >Et_9A_062954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7418521:7429121:1 gene:Et_9A_062954 transcript:Et_9A_062954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHQIVIGMFVLVILASSLSMLHARVVPRDHAREQAHAKESTSSTSVGIVAASPSQEQPQQAASVVAPPMPPSPPAGKPENAVVAKRWGTAQVTDGMIPCEEITTQDLTAPPAISSKLEIAAAKRRQIIQVDGSAVVQHDMQLFQRAQETHI >Et_8B_060424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14622907:14630003:1 gene:Et_8B_060424 transcript:Et_8B_060424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSKRGNERDSAAVPGDITKRSKWGNERDSAAVPGDITKRSKKGNERDSAAVESRRPPKRTRPSSSRALAPAADDSEDTVSEDEGPLAKVCIRCNPKKVIDTIQMLTPEQHHQICDFGFQDFMKLTTDGLGSRDLLVWLMDCTDPVDMCINLEGGRKLYFKPRDVHLVLGLPLGASLAPLRSEDEINAQMEVLRAHFNIPDKSVKVRGVYVKKKGDISVECLQAEILKKKLDELTWRCFFMILSARFLFPTGKYNVGQRDIEFAMEPTMLGGVDVSNVVWLFLHNALKEWHNRDRRTQNPAIQGCGLFLIIFYVDNLHHPITQASPLDFPRTKYYTTNMMQTIIKAARFIDASGNVQFTKLSIHLPHMKGYAHSIFQASMNSVAPNLHALRPSLRIQALEALDKFDADALVITNKIAGGQRKIAREQRKLRNKTIENILRITETPHGAEEHENEGQNVKSPSPAAEEHENDDQTTKAAAEEHENEDETSKGNYPADVTEKPHIENEKDADSTDADSSKVTEAMDVEAPEMGTGFDNFPVGNEPDIHRDMSGTQTQIASGQIASGTGDDDLVWDTKAPGPELTYLSPSICFSWDSEGPGAGSTFPNLIPNIDEPLENFGLMNEDACEPNAVATSIAGFSTAPGTETYGETLFASGDFIRQSFDGGQCTGYEFINAFVQCVNAGDKRLRPALHEERLIINPAGLVSANSQELPQLGPNRDFVPENLVRVLKETLPDKVNWKKLKVILMPVFHHEHNSLYAVNYGQRRINVIDSINYEDRGSKYEQHHDPIGQKLMLRMQEALDKVRFPCPTMVKPNDCSFLTMRFIEYYTADDGCLENVVNPFKSLELRADYLHYLLFHGENVSFSPMNLRSNGFCVDARKREVLISAVAMMLFRRK >Et_8A_057045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20433866:20435206:-1 gene:Et_8A_057045 transcript:Et_8A_057045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSDKIYNEGDKHWPLYAVDFLSVKIISLDVDFPIHVYGTVIARDSLDSKCVYLFQRDKEQSQLINSKDESLFLTGPKRGLVLAADAYVETDLKIKDHHGQVKELSKGLCSVRGIASRDLDKCKIESELLPTRLSTVEVMCAVVKDAVEATLAIDVLQGEFYGKITARTSSIPSSILLHDSKVAGVLTCDGKRVIQLSRRVVAVCRKDKLEVTAVARTGDVECEGTIALTPALSGRVEGELTVGSTKMLVKVTWSVLD >Et_5B_044369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23368382:23372376:-1 gene:Et_5B_044369 transcript:Et_5B_044369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKRLHEEGSHSTPAKRPLDDSSSYSSPSGKAIQPGSSDFHGAFEHDGRFAKVQRVEPRDDKARLESKQNKDARDCKADDRESKVDTRDVHSDSRVEFQGNKVESDVKVDNRVDESEIRTERRSHADYKADTKFEKDSHPSVTSHLGWKDNKDHRGWRFPRPGTQSTDETPKVPTPVEEHNSKDAHESTGDNKTDPKSEDKFRDKDRKKKDEKHGARESDRNDRRIGIQIGGSSVERREMQREERDAEKWDRERKDPQKDKEGNAREKDSAKKEALVATEKENIVEKAISDGAVKIAEHENTSTDSKALKDDIWKAHDMDLKDKKRDKDVDAGDRHDQRSKYNDKESDDNGAEGDMEKDKDGFGSVQRKRIARPRGSSQSSQREPRFRSKMRDGKSEVSAIVYKAGECMQELLKSWKEFEATQDAGSSESRQNGPTLEIRIPAEFVTSTNRQVKGAQLWGTDIYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRATVQVLPPQDSYTSTLRNNVRSRAWGAGIGCSFRIERCCIVKKGGGTIDLEPRLSHTSAVEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWLKYSISIVADKGLKKSLYTSARLKKGEVIYLETHFNRYELCFSGEKPRSIGSNSNASEADPEKHQNSGHHSQNGDRSSMDHELRDVFRWSRCKKAMPESAMRSIGIPLPPEQLEVLQDNLEWEDVQWSQTGVWVAGKEYPLARVHFLSPN >Et_1B_012303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30680310:30682201:-1 gene:Et_1B_012303 transcript:Et_1B_012303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASFRSASPDAGDIDAALGLDDISGGGADCIGALACGRRRSFSYRRLPEPRLRLTVRKLDASCFDVQIARTAAVWELKAAIEDVIFAAIKSSCLSICCVHVWSHFCLCFKDEKLTDDKATLRAFGIRDGDELHFAQHLSVDYNPCKSLSKNHKAASHRRSRTSLDDFSPRTLLDELNEDEGEKFTDRRRSSTSVLEEDLWVYQHNEQCIEESCKKGSFFRGWFSYSRLRSNRRTHAEDTVPSSCEKKNTRPKLGKWFSSKRSKTRCN >Et_4B_036440.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24203970:24204071:-1 gene:Et_4B_036440 transcript:Et_4B_036440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPCTSTSAVLRLAAGTGKNTMQNKPAQEDNC >Et_4A_031770.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:12601514:12601904:-1 gene:Et_4A_031770 transcript:Et_4A_031770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFRKELVSAVLLVSLLLVLQLESAAGSSASSPSCCHHQRVGVAARVLRRRLLISHHEQVAKGLMARAKEGGGVFVSEDEEKREVITGPNPLHNR >Et_9B_063721.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:4006666:4007732:1 gene:Et_9B_063721 transcript:Et_9B_063721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKQKDQTELQTYTFYRIEWEKNKIKRNEKEPSRQHHSALLQCSLASFLLMSHAPPHSCHDNGGHPETVSNNAPRGRRRRRPLRVVSHRRIRHRLRPGLLLLLPAVHRRRRRRRRGHGRAVERPGQEHLADLVHDEPVAGVDRAVDGGVHNSGGHVHLLPAAVGGDVERVLAASDVAGLRPHRVADGVELRGGEHLRDHVELHQRRLLLVGERVERAGGERREGVVRRREHREPAREGLALQLVRDLVGLLRRLQELQELVELTGLGQHRRDRLRRRRRRGRSRRGLAVRRWGGAGDEEGGYDNDSEVRRRHYIIG >Et_3A_024321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19532999:19534501:1 gene:Et_3A_024321 transcript:Et_3A_024321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEESPLAESLLAPGKDAGDDAAIMESHLLLPCDTGASFSRSCLNLSNVISGVGMLSVPYALAQGGWLSLALFAVVGAVCYYTGDLIARCMRAGGGGGDAVRGYPDIGQLAFGRAGRRAIGAVMYAELYLVATSFLVLEGGSLDKLFPGAGADLLGCRLRGKQLFTVAAAAVVLPTTWLRDLSVLAYVSAVGLVASAALTASLVWAGVDEHGFHANGGNVLNLAGLPTSLSLYFVCFAGHGIFPTVYSSMKKKRDFTKVLLVSSVLCSLNYALTAVLGYMIYGDDVQALVTLNLPSGKTYTRIAILTTLITPLAKYAIVIQPIATRIEEKLSLAGGHGGLTRAAISTAVLVSTAVVACTVPFFGYLMSFIGSSLNVTVAVLFPCLSYLKIFVPRGGVRRAEVAAIVGILVIGVCIAVVGTYTSLHQIVGTF >Et_10A_002105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22777734:22781148:1 gene:Et_10A_002105 transcript:Et_10A_002105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSVSLYPGDLGSSRVTRTQQRIRKDERSWTTNTYAPYNDGHQWRKYGEKKLSNSNFPRFYYRCTYKNEMKCAATKQVQQKDTSDPPLFSVTYFNHHTCSSSSNPMGSARDVAAQSTSKKAVSISFSSHSSSEQAKFLTSVTTPPSASIQSYRANQQPDRSAYAHQFHWTGTSSPTSNGPVKMEVDRVSESSTSSSSTGALPRTLLPIAERHGGAEVVDEQAGVDELVGRHGPREHRARSGVPAAVREEPAHGTCGAHPASKPMSAIERRLPELSRFQRN >Et_8B_060150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6479022:6480908:-1 gene:Et_8B_060150 transcript:Et_8B_060150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPLQYGHLHACVHGSSKITPRCPSIRDPHISSMQDSMCRKRDHSVFALKMYSKRDFVGVLVGAAIAVACFLLVPPSSPPCRVVPAGPEPAIWTVDGAQADRPGRKKLDMDSMCRKRDHSVFSLKMYSRRDFVGVLVGAAIAVACVLLVQPPSPPCRASLTGQKPAVLVGDGAQVDRPGTKKLDMVSAASFPSEDKLVELLGRAAMEDDKTIIMTFTNEAFSAPGSLMDLFLESFHTGLKTEALLKHLVIVAVDAAAFARCQETHPLCYHLAVDGGADFSSEQEFNAKDYLDMMWLRHRFQARVLELGFSFVFTDVDIVWFRNPLLRVPVAADLAMSCDQYYGDNPYDLNKNANGGFVFARSRPRTVAFYRDWHEQGRAGYPGKNEQFVFDAVKHRLATRHGVAVQFVDTAYLSGFCQRSSDFRKVCTFHGNCVPGLQRKVAHLRQVLDEWKQFRANNTAVTD >Et_6A_046948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2374942:2386728:-1 gene:Et_6A_046948 transcript:Et_6A_046948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISWRLAHNRREQSQPGAPPRSVAATKAHLSGSGYKDSSTAGCRVGPLSFSKITFPPLIKPKVAVAAASSLNPNRFASPTISSSGAARFPVNGLAAAAVVKSNSTSSSHRLRALPRAVRASLPRRPEYVPNRIDDPNYVRIFDTTLRDGEQSPGATMTSAEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGDDGHVPVICGLSRCNKRDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAKEMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYNLPYEFGSLIADIKANTPGIENAIISTHCQNDLGLASANTLAGARAGARQLEVTINGIGERAGNASLEEVVMAIKCRGELLGSLYTGINSQHITMTSKMVQEHSGLIVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEINDQEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQAKVIWSLVDVQATCGTLGLSTATVKLIAPDGEEKIGCSVGTGPVDAAYKAVDQIIQIPTILREYSMTSVTEGIDAIATTRVVVTGDVSNNAKHALTGQSFNRSFSGSGADMDIVVSSVRAYLGALNKMCSFVGAVKAGSEDELDSDSRVLSYLDQDGVTYMNGHIFPPLRFSGGVASPLLDGGFGSVPGLGVAVAGRPKTTVTEGEAAEEGFLKTMPCSHGFHERCIVEWLYVSRLCPLCRFALPAAVMAADSSPRDAQTSSSMQPFFMHSDSDAQAQASMEVIVGMLLHMYRRAPSMLPIHPDRALRDRVLFTSLRARDPDAGDDHDDISAYGDGGFGAVSASGVAMACLPERTVGESEAMNKAECAVCMEAYEAGDALRTMPCLHRFHENCIFGWLRVSRLCPLCRFALPAETEEEDDEDLVAVDAAFLHTRRPGGVHRARVARPTLHLGPVSRRDRALTLVSVWTPRDGYLYGGDDGIGVDGNGGFGAVPASGDAIAGLPERTVGESEATEKPECAVCLESYEAGATLRTMPCSHGFHESCIFGWLRVSRLCPLCRFAMPAENETEEEDVLLRPHRASPSSFPLAPSIRVSSVSITPTVITLVVPVVNHYLDHDVIGGEYDDAYRNGGFGAVGASDEAIASLPKTTVTVAGEKAECAVCLEGYEVGDALRTMPCKHDFHDRCIVDWLRVSRMCPLCRFKLPPVEETEESDAEEEEDADGASSTC >Et_2A_014780.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:14528870:14529067:-1 gene:Et_2A_014780 transcript:Et_2A_014780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LPSLTRLQQNSHFLDFSRGNHPITSNTSQQVKRSDPGRFDRNNTEITETGPEQPRLTSLQQNSIF >Et_2A_015362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11683141:11692534:1 gene:Et_2A_015362 transcript:Et_2A_015362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSFRPRPLDIHKKLPILKSVREFEDDDPGYAPASAARVGVLLRHSGAELTASAASNTADGEGNQAPNKKNIQEIPTPQFDAVDTYERDYTRTFAQPTCYIRGRGARAEIGEFVEYDLDNEDEDWLEDFNNERKNLNPEKLEVLLFKLEILDHKARERAGAITPTFIGPVPVLLQLDAAMEALQYLSVRYAVFQAVYNYWKAKRDRWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNIQSFEKLRLVRRNLEQAKSLMEALIKREETKREAMECQVNLQRVQMKYKHEAQLVDDGATFSGFQQTSSRFASSDDDYADSDDTTEQTYMRPAVLHWFTDTKQSVPPLRIKRERELKRRPQQNGWVFKRDPEEPVFLFTRPLDPEKLVAAGIKPPPDPPVENGATVPPFRCRGRIGRGGRIIFDRWNPLLRTPMGQETSYYVPYGHRPPHQKAKLAPWTTPFATSELRAQWI >Et_1A_007224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32255407:32272300:-1 gene:Et_1A_007224 transcript:Et_1A_007224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVVMKRAGTTLMALCSLVMSIQVHGALASGKAQPQAPPAVFVFGDNNVDVGNNNYLEATEGSEPVQANHPYYGIDFPKSKATGRFSNGYNLADFIVKGIGLNISPPAHLSMASRINSMKGFTGVNYASAGAGIFNITVLSKSIFLLCIGTIDLYYIWDGIFYGSNDNETDVRNLIASYEVGIRILYSMGARKFIVINAPPMGSAPVMPYIGEPWNILAMEFNDGLKSLLASLSSKLYGLRYSMADFYGFVDTVKACCKGSCNPQAGPPCQNRKQYWFWDDLSITQQAANLAAAAFYDGPAHFTVPINFKKLHFAMKGSNAAILTTIMMSFQVLAIARAMELSVPQAIFVFGDGALDVGNNLYVPGGAEVGEPTRADHPYYGMDFPGGTSTGRFSNGYNLADFIAKAFGFEMSPPASESLPKPSPTKMEGFTGVNYATGDAGIRNFTNGDITNPLLYQIGFFESTRTQLKTLLGGRKPLNYFLSKSLFVVGIGTMDLFPDYNPYWDNPENDNQTEVQRLISLYGEALTTLHAMGARKFGIINISPIGCSPIVMAVTHGEDACNTGMNNVAQEFNHALALLLSDLRSKLHGVHEHHGNVLAWLWDSLFQPHRILVLGYLWIHDGACSESDCHCILWWQE >Et_7A_052838.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1898131:1899141:-1 gene:Et_7A_052838 transcript:Et_7A_052838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCLSAPDDDDDGVASAAPVTYEFTIRRYTQTKGIGHGKSILSRYFTVDGRRWYVRFYPDGYCTAPSEHIAFFAQTLYKPHLCGVRVHFSFDLLKPDGTVAYTKRSDRPVNFDRCCNCWGFRAFITRAEFESANLGVLHDDSVRVRCSVLVINKAGRKRRGGGAGAVMVPQSDFASSAMAFLRSGRAPFDVKFSVDGGAVFEAHKLVVAARSDWFAAAVYGHDEAWVEASMPCIPVHGTSPEAFEGVLHYMYHDTMPDDAWMKAHGDAEMTRQLFEAADMFLIERLKKMCANRMCRFIKADTVEGIMELAKAHSCKELERACQNHLGRRRAIVRR >Et_7B_054285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19206105:19207392:1 gene:Et_7B_054285 transcript:Et_7B_054285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRKDSINGGGAHVLLLPFPGMQGHANPMLQLGRRLAYHGLSPTLVVSRHVLLSTTTVADSCPFPVAAISDGFDAGGIASCPDTAAYLRRMEAAGSETLARLVAGVARAGRPVRVLLYDSHLPWARRVARDAGVITLFIEADHLESTWRAKTIGPTLPSFYLDDHRLPSNKTYGFNLFSSCAATPSMAWLDKQAACSVVLASYGTVANLDIAQLEELANGLCNSGKPVIWVLRSSEAEKLSAQLRAKCKDRGLIVPFCPQLEVLAHKATGCFLTRCGWNSTIEAIVTGVPVVAMPQWADQPTTAKYVESAWGIGLRMRKGLVTREEVERCIREVMEGERKEEYKRNAHMWMQKAKEAMQEGGSSDKNIAEFAAKYISNY >Et_8B_060526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2041278:2045359:-1 gene:Et_8B_060526 transcript:Et_8B_060526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAERSSGRKRSVRDRLGSDGGSSRSRSDDSKRYRQADGTWRRELYQDPVGTQNSSELSSRSRQANPKSSLLRSTEVVKKSSVPDLREKLSGVQHPQLSSTIQIPKPVSEIAKSEKPVQKRETPAAEAPSVPKKVSAPAPAPSAPKLSKEKALVYMNEEDMKSLGIPMFKVQKYSSFFSRIPSLLKQASQQESEADLPGIQSRQGTAAAQATPRPPYLRPPAVKRIIAIPSPMHLPHLNGPAQVRPVLAEASSERVCLRLIVAFGELVQQKWRRRAGQEAQAEAVGRKRRGGGGPAGEAEELPKQGDFCK >Et_6A_047213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26894178:26894751:1 gene:Et_6A_047213 transcript:Et_6A_047213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYHYTSGNSQRLHVSALSGPQPGGPRHRREADLLLPPQAQAAERRRPCADERSCQRGRQTAATRAGEKVLSVGPLVCTYRRADGWREAACGVCLSELADGDAVRVYLSHGPGRRSGLRAAAAGQLRRRHGIATPVYLYHGSSPPRLVVTRRRGGHTLPPLLQTAAAAGGDTATGGRGARCAWRSWPT >Et_4B_039460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1782658:1785196:-1 gene:Et_4B_039460 transcript:Et_4B_039460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEEMLLLTFNNTSWNSKTSVSFLPCLSFHCTRRFDGPLRPFRAMPPDGCRSNALRSPAAASSFETAGAGARDPADGEGGGGFLLLPLNDALLRMRRSIAGWHQLRVARA >Et_10B_004465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8404288:8410085:1 gene:Et_10B_004465 transcript:Et_10B_004465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAIGAARWVVGMALGPLGPNVHALKLELLYPQAVLDNARGREVRSAALGQLLLELRHQAYKADDVLDELEYFRIQDEVHGTYETTDERGLVVNARHAAKAVVRKLKIPACSCAPVCKHLRKPKLKFDRVEMSNRMVEIVEQLKPICAKVSTILDIELLGSAIQKLDLIASNSTTSLQGNALNQTRNTTAQIIEPKLYGRDDLKKDIVDGITHGKYSANAGITVLSIVGPGGLGKTKFTQHTYEEVKNHFQIVRRLKGFPLAVKTVGRLLKNELTLEYWKRVLDSKEWESQANADDIMPALRLSYNYLPFNLQQCFSHCSLFPEDYEFDSKELIHLWIGLGLLGCDNQNKKIEDTGSDYLKDLVNHGFFQEEKKEGGHTSYPQPQLQPAEQPETYLEITMEAFGNHLVIYLEKQKPFVSFFCLENLMKNFEFTTINDQIPTKRIQSAEAHGHTGPNHTKQETRAGNQPWINIPESKQEMLSP >Et_1B_009895.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9572218:9572910:1 gene:Et_1B_009895 transcript:Et_1B_009895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SREQRQRDLDLVPAHPRRPLPPPHTGARALEWADVELVQAAETAERKHDPAEHLLGVPEADPLPHARRLPQPLVPRQLPPRPAHARRGRQRPRLRPQQHLEHQVLPEIRHPHLLVPARRGLLRLRRSREGRGRALPRPTRAGGRLLRPLLLTRLAGSPADRVSGGRSPGILATGRGIGAAVHGNRLEQTNLQEAGQLIYLHRK >Et_3A_023816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12809795:12819745:-1 gene:Et_3A_023816 transcript:Et_3A_023816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTVFGSAVFANAARVLVCLEEVGAEYEVVEVDYMAKEHKGPEHLARNPFGQIPAFRDGDVMLFESRAISKYVLRKYGKASNVDLLREGNAEEAAMVDVWTEVEAHHYFPAIAPIVYECVVFPARLGMQPNQKVVDASLEKLSKVLDIYEERLSKTKYLAGDFFSFADLTHFPYTYYFMKTTHASLLDKYPHVKAWWEDLMSRPSTKKHGAAIVTCNCKVHPSQIQVNRGRSPSGGGRHKTSRQVYEAHLSKHKYLAGDFFSFADLNHFPFTFYFMATPYAWLILKTHLRVLVEVTGMKGARNMEPTPTTPLLTPYKMGELDLAHRVVHAPLTRCRAHGSLARPHMAVYYRATPGGLLISEACAVSEGARGLPDVPGLWTHEQVEAWRPVVDAVHAKGAFFFCQIWHAGRVSHRELQPNRQAPVSSTDKPIPPQVNHTDHVDEYDAPRRLEAEEIPNVVNEFRAAARNAIKAGFDGVEIHAAFGYLIDQFMKDGVNDRADAYGGGLENRCRFAAEVIAAVAGEVGAGRVGVRLSPFADYVDCRDSDPEALALHVVRAILNPLGVLYCHAVEPRMRVNPDDAR >Et_3A_023116.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28904251:28904979:1 gene:Et_3A_023116 transcript:Et_3A_023116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSALSFLSDRKRPIVVAVTLFILLSSLFLLLSPAPSALPFFFSPSSHLASSSSSSPVSVSPSSQTAIPVLADPSPAETTSAVASDGIGNRTADPPRPDADTTAAASDIAAIVPQTDHGTLPVAAEASGSGSNNESTASIPGERDGLGQGGGGVEERVEVPSWELCQVGKGVEAADYIPCLDNVKAVKALKSLRHMEHRERHCPPAPRPRCLVPLPPRYRRPVPWPRSRDMVRTPACLLR >Et_6B_048974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16186857:16187064:1 gene:Et_6B_048974 transcript:Et_6B_048974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIGTPALRPGFWHGPAVLLLAGGLVFVLPSSSGDGSIERVQGCLFSRAMDVFKNYCYSLPKAAGA >Et_6A_047137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25815457:25834445:-1 gene:Et_6A_047137 transcript:Et_6A_047137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSPPSLPSSRRVFARPGASSTPWRRRRPAAATDHCNGLVLLSCRDRDRGVDDLYVCNPAMDIAAAPRRRPVGSQGLPRAPHAFTCKLSLSDGKHHVIQLPGDLPEGYEYSSSFLGKSEKGVYFAVIHHEHWDKHLMVWTLNDQTACWSLTHRIELKPTTWWEGSYNYGKLKIWSRPLVDADTGEEKRVLLTKQNVDWSSDDEDVTDLTDEECYWEQEVDFLGIHPYKEIIFLSAYRYVGVACHLKSGKIQCLGIYAGGTYPATETAAAVAILPDDALADVLRRLPVRSLAASRRVCKAWRAVVDEQELLLRLRRLLPNSVRGIFINYMDHRRPYFLARPAPASRSSGWPLIDGELGSVVCGRWHKVRDHCNGLVLFLDGDKSLHYVCNPATRRWTLLPPRPSGMNSWNSRAFLVFDPAASPDYYEVLLEPLDPLTERNIGGDKDDTMLLMEWPPPSWTWHGFSSATGRWEEKVFVRENEAAGTVASLNTVCSIYGIAEDRWRYAAYWKGALHVQCRGEYVTRLSLSNNKYQVIKSPIDLVECKTGVRSFLGRSGKGVYFAAIDGTDQLRVWILSEAHDLQMEWVLKHRSSMKTRTWWLHDEKYRKLQYKGPWILNTPAATVAILPDHVLAAVLGRLPACSLAASRCICKAWCAIVDEQRLLLPHLLPHSVGGLFINYIDCLRPHFFSRPSSATGPRIDGEFGFITREKPWRWYRILDHCNGLVLRSGDQRSGDSMYVCNPMTQWWASLPHCGDDGWSRRAFVVFDPAISPHYEVLLASLEPSNKQGSVEWPPSSWTWHAFSSKTKRWEERVFIREGEAAGTVAKLKLRHWKEEQYDSRWRYGAYWQGSLYVHCRGEYVSRLSLSNNTYKVIKSPIGLADCDRGERSFMGKSKNGIYFATLDDKAHLHVWILDESEDKRTVWKLKHDSVLDRSAWWEWIHNDGRSCDYVQQIYDGPWIIDVCGDETRNDACDDYYVERGDNVSSEKGGDDVYGDESEDDAFDDESEDDVNDTESQEGKGSSIIKYKTDWDSDNDNIMDLRNNREGNFREYIHFLGFHPYKEVIFLSDSEKFGVAFHLNSTKVQYLGVANPPPPHHYNRGLFESFHRSMETVAAVAILPDDVLAAILDSLPVRSLAASWRVCRSWRAVVDKHQLLLLPRWVRGLFINYIDHWRPHFFARPTSAAGPRIDGEFSFVAREKPWSWYRILDHCNGLVLHEDFTKYGMYVSNPATQRWARLPHCGDDDWSRAFVVFDPAVSPHYEVLLAPLEPANKPGSLEWPPSSWTWLALSSRTMRWEERVFVREGEAAGTVAGLQLEHWRYNRLCLSNGTYKVIKSPIDLTECDGGARSFIGKSKNGIYFATLSDEQNLRVWNLDQSEDKTTEWQLKHDSVLKPNACYKEIKSDRKKYDCLQMTYAGPWIILTYAGIEPGLIDDEREDGVSANEGEDDVYDDKNEVDVNDDESEGDVNDDESEEEKTPVAEYKADWDSDDDNIIDVQNKREVDTIQYVQFLGFHPYKEVILLSFSTFVVACHLNSSKVQYLGVANPPLTYNRGLIESFLESEVAAAALPDTALAAILGLLPARDLAASRAAVDGRRLLAPHLLPHAVRGFFVNYVDHEQPHFFARPAAAGPKIDGEFDFVKPKPYGRDWMVVDHCNGLVLYEADLVFGELHACNPITRRSVKLPLPPRVRHYREPRPIIVFDPAVSRHYEVFLAPLHPEKLQPEDETMEWPPLRWKWHMFSSRTGRWRERVFVREGEAAGTIADLLMGSLQYSKEPRWRFNAYWQGALYVHCHGEYVARMSLSNGKYRVIESPIDRTECYNKVKSFLGKSEKGVYFATIYRCQLRVWILNESCHRPQWILKHDRVLKPDDWWGVVINGDYQKIKHDGPWILDDYEKWKTSGDFDWSSDDDDVLHTVDWNENTNEGYMYPDTFHVLGFHPYKEVIFLTTLSVAVAYHLNSSKVQFLGILKPNEYNCGIPLAQGGSESAQAKSYLRASQMSENTTLSNNVVKSILRIPDVM >Et_3A_024130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17731504:17731862:1 gene:Et_3A_024130 transcript:Et_3A_024130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHKTKEGRVLFVVAIVVMALLLTPCAVKGDEDFSTTYCTKMPGCTADKCRQQCLNNNPKNTLRDTTCWPVSPETPVDCCCKYHD >Et_5B_043454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10613979:10619319:-1 gene:Et_5B_043454 transcript:Et_5B_043454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PKAQLSPLANLGDPGPHQNTGASHCVSTPPSIRIALSVPASRVAQKDGYVQKLPPVWGGLGVLRRGPSSPFAPARGPPPWRGSDQRPADDLLFLILVRLRCACAAARTSLLSHRWRGLWTRLPELTFHHICPGPLDNALAMVSTTAALSLLRIKIFNNHSLAPLFQAAARLAPAELSVLFSGDIPHNAIEHGVELPCFHRSTSIWLDVSHVRFTLPVAGDFHVLESLSLTSCQIDLADLIPRCPRLRKLWIFSWVLQSVTVHAPLLEELSVYSHGRVQRINISAPLLKKLVLHARGGLTAPSKEFSLSYSAPVVEELSWKCNRFSNVGFGQIWRLGSLSLETQENSVRSSSSSSQLTNNREISCLSLYIRPSIHPRASHKRYPNFSSFSILELKIPRPGHIYGPVVLHVRGIFNFVRSLKVELFEASQPQTCYVNCPCDQPNNWRSQIIFLTCLKEVEIKGFRGENHTVDLLKVILKSATMLESMAVSFSSEVQPSRKRCMEVYNASKTYPSVECNIYNWAGEQGCKKLDLRPGYPLDDEQHVVSNVSGELKWVQCTPRVADRLLGSPMKFEGRTRRTGGHNLSQHPLPAYSNLANFKSALQPLKFEYSNLRK >Et_5B_044223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21756522:21759832:1 gene:Et_5B_044223 transcript:Et_5B_044223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RGGEIAAMRPKPTSSDADAGEDRLSALPDDILVLILLHLNTSAVGQTSILSRRWRHVWALLPELSFPQAREPNRIRDALEAHRSSIRHLSVEIQSAYPDSVATWLLVAARRLAGHLTFRNLVPEGNDEVEVKEDEEGRERRGSFELPCFESATAVLLDLGFLGLSVPAAGVCSRLTKLCLSRIRFEGPWELGDAISSPRCPFLTMLGGLTILTINSKSLRCVNLRGLLGLRRLAIEAPALTCLSVSQCFYFGHDQPVVSISAPRLERLQWLDSYDPRSMHLDTMERIGRLTPFFFYVYGGQESITNNHSCLRLLQHFKVIKTLILSLLYLEGSDNYSYLMDDMTVLPEITDLHLIVMAKGHAFGASTFHVLRMCSGIRMLHLKLVVEKAQPACSFGCICGQHAHWKTEQLVLYCLQEVGIAGLMGSECEISVVKQLLNWATVLKKLTVIFDRSVTVKEAKEFSHILCGFSRPEICTEFYICKGMSKVLYVPEE >Et_5B_044363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23331088:23332960:1 gene:Et_5B_044363 transcript:Et_5B_044363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLSDREAKKEAFRKYLESSGVLDTLTKVLVALYEENDKPSSAVEFVQQKLGGPSISDYEKLKAEKLDLQLKYNELLDTHKETCRQLEELKNMKYEAPWN >Et_1B_011213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18930458:18934818:-1 gene:Et_1B_011213 transcript:Et_1B_011213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSVQPEHHRGGGATGHPRSVKNRRPLLGHLNVQVPTVVGNAGIFHPSPKSAAHADRPASPSLLRSPSAWIRAAKSHGFGSGNKHTPRPPKNFCYDARSYAQNFDEGGGDEDAIKYRCFSPRLPASPQPASAAPGLDHHHHRAVDTGNPRDAKPRRHHLDHLEVQVPTVVPHNAAGCFIGCFRPSPTSTTPSSPSPAERVVHGHADRPASPSLIRSPTAWIRAFGSGNKHTRRRSRDFQYDATSYARNFDEGGANGDGEEEAGLATSDAHKYRMFSSRLPTSPPAMSPSGLGPGKGIIEPAAPRETGRDRE >Et_2A_016209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22002986:22004819:1 gene:Et_2A_016209 transcript:Et_2A_016209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEHRGLRGRLAGLFSSASAQNGHDEQVAKLTEELQRQRDLKETYKARLDSTQGYLRFCLEVAQEHGFLHLMSDNAQRQCSPHRDAEAGPASSTDDDVEEDEQAETPPSCDPYLAATRDLAVQHGWSVAPDEVTISPELTSKDTRSRVQQFCDHALRAPVMEQQIELHEVIGQGTTANIHRATWRGLEVAVKWVRPEFFRSSNPGGAGAAFFAQELHALSRQRHPHVLRLMGACLRPPETCFLVTELLTGATLGEWLHGGRERRPRPRASSTPPLKDRVSRALEIALAMRYLHEQTPRVVHRDLKPSNVLLDADMRARVADFGHARFLPDGKEALTGETGTYVYMAPEVIRCEPYTEKCDVYSFGVILNELVTAEHPYIDTSYGPSKVLLLFRLTFLINGRWRLIPSNFQNFSEMMMRKFHLAVLAFRAVSITLIVITINVLQIALEVAAGKLRPRLPEADEYPTGLTDLICQSWDAEPSNRPSFATITSTLREIIQQIVQQGETDLYSNHPSTCLKERLE >Et_8B_060411.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1416388:1417041:-1 gene:Et_8B_060411 transcript:Et_8B_060411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIGKAAEFLRKAAAAMRGKAAVLRARLLFLASLRRRAAVVAGISRHLRSLAPSHRGHEKAAASRAMVLSSRPVDHTDVDDDERAGTRDGDVVVGMAELARLFQEVEADGGDEGGYQDWTLALHALFDDEVESHGCCCGDEPRRAGRMDDGVEGLEDDDEPSVLELIRSVREGDGQEFRIDDEIDRAAGMFITRVRRRMSKQTADELVVSSLVAID >Et_2A_017435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34369633:34371106:1 gene:Et_2A_017435 transcript:Et_2A_017435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPKPRSRQEPRRMGNAAMVVTMLISLCVLTYIKARYCSNPFPKAAAEMEVVEIDEDYDSTRYKLDGPIGEEDFDPSRPTCYNTSKRSERCAAVGDIRVDGNHSTIYISPLSKEWKTKPYARLHDAVAMEDVREFTLLPFGGPNDSAVPPLCTRNHSVPGFLFSIGGFAGNLYHDYTDVLVPLFASTHHFGGEVQLLISDIWGKEEKDWWVDKFTPLFRQLSKYDVIDADNDQEVHCFPRIVIGPTFHRAMGIDPTRSPGGINISDFKRLLRRTFRLERAVASRTGAPRRNRPRLLIISRKSSRRFLNERAMAHAAALARFDVRIAEPDNHTDMPNFARLVNSADVMMGVHGAGLTNMVFLPSRAVLIQVVPFGGLEWLSRVTFKDPARDYDVNYMEYNVSLEESSLRDLYPEDHFYLKHPYDVHKKGWDAIKTTYLDKQNVRLNLTKFTKTLEQARHLLPSP >Et_8A_057809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7494933:7501314:-1 gene:Et_8A_057809 transcript:Et_8A_057809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMIMEKISSGEEVGGAGGAYSYSALKRLDQIWSSIRGEQSDSKIPEVVTRVQGPLVDNDRGAGSDIFDVLVCGGTLGIFIATALSSKGLRVGIVERNKIKGREQDWNISRKELMEIVEIGILSEAEVEQIISSDFNPNRCGFENKGEIWVENILHLGISPAKLVEIMRERFISSGGRIFEGKNLSSISVHDDLAILKLSDGDSLSCRLVVDAMGNFSPIVRQIRSGRKPDGVCLVVGALARGFEKNSTSDVIFSSSSVKKAGNSGVQLFWEAFPAGSGPTDRTTYMFTYVDPKFGGPKLEELLELFWDLMPSYQAVSLEDLDIRRVGDASGIQSPVSFGGFGSLTRHIGRLSNGIHEAVEGDFLDVHSLRLLNPYMPNLSASWLFQRAMSVRPQISVSPTFVNELLFANFQSMQDVIQFGPLVKTLGLVMLNRPQILPSIFKQVGLGVILDWSGHFLMLGYYTYLCTFIDPAVRSWVESLPPRDKFKWRRYLEAWRYGAGLDYRQGE >Et_1A_006871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28411498:28413807:-1 gene:Et_1A_006871 transcript:Et_1A_006871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVVLHVYDVTNSDSEKTNNTILQINRIFKDRIGLGGIFHSAIQVYGEEEWSFGFCENGSGVFSCPVGKNPMYTYRERIVLGETECTVAQVNRILRELSREWPGHSYDLLARNCNHFCDVLCERLGAPKLPGWVNRFANAGDTAAVVAENAAVKFRQAKTEIVNASRVCYRFMAGLTSKNQAGQESPSNSNQNRGSPTFQGAWFKNIVSAGAKPSSSGSTPSQDTDDGSPPPRQNSTDQSTRL >Et_4B_036244.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12896201:12896560:1 gene:Et_4B_036244 transcript:Et_4B_036244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSAAAAVACLVLALAAASAGAATSPAPAPAVDCATAASSLIDCLDYVSPGSNKTKPGKTCCGEVKAAVANPALVDCLCAAMGSKNLPIQIDMKRVLALPGACGLSNAAFSKCHSE >Et_4B_039341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10774678:10778231:1 gene:Et_4B_039341 transcript:Et_4B_039341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQSMTSLAHIGVKQKLAETDSDSQEAATHGSKIAQEKRRKLQDRWRHMPTFPQSVAVFIMSGGMSPMRC >Et_1A_007653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36920222:36924240:-1 gene:Et_1A_007653 transcript:Et_1A_007653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSAAARNPSTASTVALTLALALASAGLLFLLLRLSPSSPSPNPHPHRRLRLRSGSRAAHQIPFDPVIAELERRLEDREWERLAAAGLHAPGMEAAPVPEDLTDSDADDDYINDAARFNVTQRVEALFPKIDVDPADGFITGAELAAWNLASARREVLHRTARELELHDRNHDGLVALSEYERPSWAWRFDDHNSTNDEVGWWKEEHFNASDMDGDGFLNLTEFNDFLHPSDTTNPKLIHWLCKEEVRERDKDNDGKLNFQEFFNGLFYSIRHYDDEGSTDDSNGSDAPAKKSFSQLDLDNDGLLSADELKPIIGNLHPSENFYAKQQADYIIQQADTDKDGKLSMKEMMENPYKMIMDSTMSSVRSFEITLLHLYGEDVR >Et_5A_041243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2057123:2058466:1 gene:Et_5A_041243 transcript:Et_5A_041243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEPAQPAPSAAAAATAEQAQDLIDAARYDDLEDVVALFSAGVSLDSTDSQGRTALHMAAANGHLAVVEYLIQNGANVNATNLEKNTPLHWACLNGHIEVINALISAGASVSALNSHEKTPMDEAVTNGKMDVIEAIGAAVAQADLDGVTVS >Et_3B_031204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20652016:20653155:-1 gene:Et_3B_031204 transcript:Et_3B_031204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERCALVGELVQVLEMVRQLDTHMAAGEERCRELVATMRASIDRSVLIARSCCAEFGGGHHQPESPPSGGDGSPRSGGSEQAGDSRGRGKKRKTQPKWSIQVRVSAVADVAPLDDGLSWRKYGQKDILGAKYPRAYFRCTHRHTQGCTASKQVQRVDGDPLLFDVVYHGDHTCAQAQQGAARPGNQEASAGHSQPQPGPEQAVASPLLERAALPFALPSNEPAANDNGSGFAAGGNTASSFLSAAQATPEESRLVSGGSNYAAGVRNVSDVELASATNSPIGDMDFMFQLDDAADFLENANYF >Et_8B_059737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:21070551:21075320:-1 gene:Et_8B_059737 transcript:Et_8B_059737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAIAPSDPSSGADEDAEEDACRICHLPAEAERPLRHPCACRGTIRFVCKHIICVAPVYAPNAPARLPLPEFMLGLANKLMGWLFLLLSLLFAIYVWEFVMPLTTVWVWRLALSRTFAQVRHLLSLRFSAFPGLHGLRFMPSSDTVLACVSIRRAFLRELPNLRRLNAPARIAADALAPFALWIARFEAILQTRFGGLDTLQVLALHTVEAALIVAIGDVIFAFVFGFLPFSMGRIVLCCISCFSFGNLDIDHSYTSTVSIILIGYGLILSLALLLTGLQTFQQYSRGEHLTVAVLFKVLLNCVQWLFTPFRMLPGIHVMVDMTFTFSKHFILWTISLANISLNLILLLVIFPLFFGWLLDISTSKMFGVTISQKLSLLFASSYASTALHWLIGCTCLKLWSLLSSFLQPVLSLRVNIPFAHSARCQVKIQSIGESFYKFYFQMLPDIFSSVIYVTMFILVPVEIVFRLVPTVFPLDIIYFDPSTQDTALWLSPRSYAELISGFVLLRFLICKTLKYLEPGAFVVNVLQYWFAITGHAFGLSELLIVQADGAGESKIGNSAAPKDDYGRPNEAKEKRSNVAVRVVLLVVLAWLTAVIFNSAILVVPVSVGRALLIVIPQLPVAGALKSNDLFAFAVGFCIISAIIAASRDSFAYVTSERTHLLVSIVSNWGVTALKSSPLLFIWIIIIPFLIGLLVDCLLILPFVVPTNEVPVLDVFCIWFLGTHLLKFWTTLVHWTRIVPFLAYFIDERWDQKLTQAREDGFSGLRTMWVMQDVLMPITVKLLSALGVPYVLAKGVFPTFGYSAAVNSTVHRFAWQPCRMLVSRFVVKLHDSIKDERYLIGQRLQNYADNG >Et_10B_003522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2495117:2499245:1 gene:Et_10B_003522 transcript:Et_10B_003522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGHPAANTPAGKFWEQALPDTPMPEGIANLVQKGIYSCLLASVVKTNLYIVSGVLKCGVHNGQNTKKRSFTTLITHPLWSDTLRRPAVSVHAHSWTLFCSPQKVAETGVFFRMSQLRPGSTMTLSFPAEVESAAILPRDVAEKVPFHNLDDVLATFNIPAGSAEAAEVRNTLSRCEAPPLAGEVMKACATSLEGTVQAAMRMLGTTSRGTVAAATSELPAGGVPRQPFAVEEVAELAGERYVSCHKVPFPYAVYQCHMADKSYGDYKVSLRGLRRGGSAISMVAFCHFDTSGWNPAHPAFQVLHTRPGDEPVCHFTTYGNLAFAGPAGHTDARVHLRPCPERYVSLAYTQSEYMLRDTSLQIRMGGAVLGPVCARRACMGGSDGHLLPQGAAPSRQHHDDFLPGGGGSEPAILPRDVAEKVPFGNLLAAFSIPAGSDEAANVAA >Et_8B_059348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1744777:1747146:1 gene:Et_8B_059348 transcript:Et_8B_059348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSWRALCLSVLCVLLFLRPAAAIRFVIDREECFSHNVEYEGDTVHVSFVVIKAETPWHYSEEGVDLVVKDPNGAQVRDSRDKTSDKFEFIVQKRGVHRFCFTNKSPYHETIDFDVHVGHFSYFDQHAKDEHFAPLFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMSRRAVHKALLESLALIAASVIQVYLLRRLFERKLGNSRV >Et_9B_064755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18290660:18293771:-1 gene:Et_9B_064755 transcript:Et_9B_064755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADAGFGFAAAYSPAPAALSSSQPLDFSFSSSAPAPALHQDACIPIPMDDAYAAMPLIADASEIAGAHLGNMVQPSLVSEYDLGGEGDLFKAPEPIINEPLLSLDPVAAAISMMSGSETAMDETLKAADIGTIQNDPLLSEVLYECEKELMEKSAIEETISELLDVKIPMLQVEEMPRQVEEALIQVELSTMEKEKSSIPECSLQKSVSSGCLNSADWINGPVRPNFLDFQGLDFETAFGMRRAYSEGDIQNLGANTPRPANSASVQTSCERLVTISDLKSEERKQKLSRYRKKKIKRNFGRKIKKSPGRQSAESAREICKDRRIRPAQAKVDGKVAKLSRNRLKGLMELELLEASEDQGGCTFRDQGEAALCARRN >Et_1B_014182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34309126:34311951:-1 gene:Et_1B_014182 transcript:Et_1B_014182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYLIIRSPKDSDTEEWHSGAEEDQYLKSMVDNFVVAAADAVNSALSEADIDKAGDHLRQSDKYAGIALKHYNNDEKNKVKYELVKAIRSCGIMESRGCYGHVNFIAKWIQHDSKEELFFAEIRCVRDTYVTTCVLSLEGGKKVGGLRESKYDNYKGKGIPFDAKHCYACGNKVKHPREGKLYETGHVAISDYYHG >Et_7A_051652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21994826:21995256:1 gene:Et_7A_051652 transcript:Et_7A_051652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEKERSPGRFDRNNTEITETGPERLHNSPVLPFSCGNHRVTSNKSQQANSFSPKLHRNKRNRSRTALFGPSAAKLTFFRVFAWEPSSYIKHIAISEKERSPGRFDRNNAEITQTAPKQPRLARLQHNSHF >Et_9B_065382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4446616:4457126:1 gene:Et_9B_065382 transcript:Et_9B_065382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNSTAAAPLRRSKRRTEPSNAPLPASRRTRRDAPSVDPVGPLDVGVDRKSMFGSTSLASHFHPHSKRARTVPSYAPLPTSRRNHREVEGAISSSGPSSSRSYVGPDRKVNCVHQEEGQGTEATVMAVDKWSKFMPKKIRIRLERLAAWCSTMTGLMNCLLHLLLHQIVNLKYEDDRPVDGQGVGRKMIEKRQRTYATELGNKDFAFVRRACSQLVNNEFTVMLEDVSTGMTAANGSPSNDSPSGSDRKRLGGPTRQRHLKLNFVSQQKFLRALRGQELEHTQKAIRVLIDIILRQHSCKTVCILHTFLNESFANIFYFTGVAYITLVGCLLVRQSFFHNNPSNFVVLGGDVSTTKPGRLIDFLFANQKVDHPNKIDWAKAKHALKNLRIKTRPSNSEHKIVCLSDRPWYEHIGFVKNHGIELRYSGDYPCINVGKPKRLTFFPVELCTLVPLQKYTKDLSTLEMSSLVEKSRQKPQESLKLEIEKIFILEMGGGTSTISSVDESAVVNFSARCNIRDLVCDLIKCVGVKRIKNPFDVFEENPSMRRAPAIRRVYDIVDQVKTKFPGAPKFLLCILAEWKNSDIYGPWMKKCLAEFGVVTQCVAPTRVNDQFLINEKLGGLNSMLQIEASPAIPLVSKEPTIILGMDVSHCSPGPFFLREPHVALICYAHLAAAQVGQFIKFDELSDTFSSQGGHTLAGSVLVQELPRLHKNVSSSEWPHMICEREYASQWQSKSNANENTIGAKK >Et_1A_004602.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22018633:22019331:1 gene:Et_1A_004602 transcript:Et_1A_004602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSYTVLGGGVGLVTYLNKVYDWFEERLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFSSVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLAVLTASFGVTGYSLPWDQIGYWAVKIVTGVPEAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFPMIRKQGISGPL >Et_3A_026136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4710030:4711414:1 gene:Et_3A_026136 transcript:Et_3A_026136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSCVLSSMKAMCAMAIVLAVLVSCSVVDCSDVVVAAPPKIERMLISLYACASMVMACKQVQVLIRTEAVRTGRRRRRDPAPCSTGAPISSRSAIAPALPRRRRRRRIGSPSGGDEVKSQIVRMETEEAL >Et_1A_007244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32485184:32511171:-1 gene:Et_1A_007244 transcript:Et_1A_007244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKPSTLSPPASVAAMEAEETEGSAPPPPASAAAISMVLGNDDLLHEILLRLGFPTSLVRAAVVCRRWFHVVSNPTFLRRFRDLHQPRLLGFYVCHTSSGGSTGAAGGLDPPLHTRGGWMPIERVPQFIPMLPQPPELATVLRRSNFSLDTYESLGTGIMDCRNGRVLIVNLFRPDGRFTLTQGAYLPLQPTRAMAIVPPLPMQDGEDDTVYKFGRVISKEDENGLSYFWLVMEFNKERKATAFLYMLEDDVWRMHTSATTQLPGLPPDTSNIQLVDDRIYMAGTARSLLVLNLTSSSFCTIKLPVGVSFNDGGILLSPANDSGVYLIHLKDLKLCIWLHRAINDSVGGWLLFDTICLRDMCPIRGCQIVGDNAEFVFLEMGKSILYLDVKSKVLHKDSDPSFDHDMASRLPYAVGILQAVTKRAQPTPTEEEALAAAISCDLLREILLGLGFPTTLVHAALVCRRWYRVAAHPDFLRRFRELHPPRLLGFYVTPRSYSDFVPMLPQPPELATVLRRRSFFRAPQPDIFQSGIKKRLQHNTYEVHTPMYPMRSPVILPQLGHMDICAMCYGELLSRESGDELPYFWLVMNFYNNRGADVYVYMLQDDVWRICSSVSTQLNSLPPGKRNSLLIEDRLYVTATVSSIVDFSTTMLPDGVLHNRDMLLSQANGYGVYLVQVKELQLRIWLLRGTHGNPRDWLMVDTFCLRDMCANLRIPNCMAGDGGAFDIYLNAVGDNAEFVFLDMDQYVLFLDVRSRAMRKVYENSKTESRSVQIHPYMMILSAQSTPPAPAVAVSSVLGDDDLLLLGFPTSLVRVASDPAFLRRFCDVHPPRLLGFYFSTWRIYRSRRIGFVPILPQPQELATVLCRTSFNLGTWGNPPTSIMDCRNGRVVCRHQGDRFKDGVHSPLQAATRLVLPLPPRPMVPFDDDTYSIFSGILLKEDGDRMSYVYFSMRNHRTEHKVTAHAYILQDGGKDYGSASRIYISASNTIGVLDLTTSSFSTIGFPDGVELGVYGRVFLSRGNESNDSGLYLLHLKNLQLSIWLLKGTNSIMGDCWLLFHIVCLRDMCANPRISKGIYMSDDCIIGAHFNGMGEEFVFLEVDQCVLLLDVRSRTIREVHKEPPQSAPALAASAAAISMVLGDYDLLTDILLRLAPSGCLTFVRAAAVVVSLFRGGDFAHGVHTPLDPAGGLDVFPPLPTEDASIDNSRCWIFKEFLCKGSGGDVLSYIWFTLGYSDGHTATACVYTLQDNAWRIHSSASTQIYTLHSSGLKTMSIFLSDDKIYMGITKKNILVLDLTSSTFFTIQFHAEMALGGEVRLARGDASGVYLVHVDKLELCIWLHRPGNGGVGDWSLLHTIYLSDMCANLKMPMEHGRTPVVYLHEVGNNAEFVFLEMCGWVLYLDVKTRELQKVYEVTEKTAVGWIHPFVTKLAPTFPALKELE >Et_1B_011395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21660248:21663822:1 gene:Et_1B_011395 transcript:Et_1B_011395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCALAWLRSMPELLRNRRAVVEELVALGAIVHTCSCDAVELGERLADWEARGCRVTGSVCDISVREQRERLMHEVACLFNGRLNILVNNAGIAIRKSAVEHSADEYSLLMSTNLESAYHLSLLAHPLLKASGSGSVVFISSIAGVVALFSGPIYGMTKAALNQLSKNLACEWAKDNIRTNAVAPGYISTSLTEGIFSDKGLKDNIMRRAPIRRAGEPEEIASVVAFLCMPGSNYITGQTIAVDGEYQNLLKLLKLYHDVVAFIAQCRANK >Et_10B_003076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16241425:16242914:1 gene:Et_10B_003076 transcript:Et_10B_003076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYGLHFIFIAMDHSTIESRGNGAGKGWGNEYHPSPLELIPHPVCPIPTIKVIRENLIHIPVPARSLFPVRVPWGHVVVGSEDLASEFGHTVPPTCGKPRRSAIMCLYLLAQNICLSEVDFWGVFSVHEAIHPAYTPLTFFDTKLAAAVVDIKTNELIDTKEIINDLELCCSPCGAFSAGLTDNGIHFVTSVCHNLKSVCLINCLSLKEKSFDEHRFKLPGTLQNLMIFRSSISNDGANAKRDLSLLLLGSCRQIGEDAIMSLLLDNPFLDKFELTDMIAGESHLSGARQSSPFQGMFAHFACSVASSK >Et_1A_004733.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35323851:35326658:1 gene:Et_1A_004733 transcript:Et_1A_004733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CSGRDLGPRIVSDQATGVHPYPIPPPPPLPPLPMAGFAGDDPFDFDDYSDGAEFCYDPFDFDDGDEGEQFCVSGFSFPDGDDGEELCVSGFAFPDSEDSLVVEDHASQASHEEPILETLGLSFDSDEGLGMFLPQFVSALELEEDSSGEEDAGGGLDREPRHAIVEGAVEDGDDGLGFMFEEAADDDGDDGLEFMLSGFDLDPRPVSGGFQLVDADEGWEEAAGDDDMGEGEGLMLSGFDLGPSPAIGAFRMLVEDIDSDDGGLLDALAAHVGEAAARSGRLPASRAAVEALPEVAPSEEEASSGCAVCKDGIAAGQLVVRLPCKHFFHGDCIRPWLAIRNTCPVCRYELPTGNAEYDRQRSTAGGAPPAQQGVTVQV >Et_4B_036064.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:19804438:19805697:1 gene:Et_4B_036064 transcript:Et_4B_036064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKADEERISMRKRRRGSRSGGSTSTTPERYLYLVLDDWFLGYSIRKIGLSIGDDEQTCLPLPSAMFQVEAQRELPMYFAVAFGSNIIAMHPKHDMKDGDKQHAIAFDVREKALSYGPRPNEDLPDPIFIPVRDKLFALGGSFQRLDPPPQDEPEGSWAWHKLDHPPFDTRYVTSYAVHPDGTIYVSIGGFLDDATYSFDVSENKDETSVVWDYKGQWLLPFMGRVHYNHA >Et_2A_015040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27274186:27274629:1 gene:Et_2A_015040 transcript:Et_2A_015040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQKSPEDDICYICGAEDHEEHFCPYNYIYGRYFDDDTCTGDCPRRRHRITSRNKFLRRFVRLNNEVCDCATEIRMRFGVVVFKKREDGERAVDALNGYETAGRKLRVDWVYPSFV >Et_1A_008836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15329344:15330503:1 gene:Et_1A_008836 transcript:Et_1A_008836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQEEIVQQQRRQDQHGCGGESNGKDYTDPPPEPVLATSELRRWSLYRAAIAEFVVTLLFLYVTVATVIGHKRQSSDMCGGVGVLGIAWAFGGMIFLLVYCTAGISGGHINPAVTFALLLARKVSLPRAALYVAAQCLGAVCGVGLVRAIHSPAHFERHGGGANVVDDGYGKGTGFGAEVVGTFALVYTVFSATDAKRSARDSHIPILAPLPIGFAVFVVHLATIPITGTGINPARSFGAAVVYNQAKAWHDQWIFWVGPLVGAAIATLYHEYVLRASALKALGSFKAG >Et_9A_062183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20462998:20467087:-1 gene:Et_9A_062183 transcript:Et_9A_062183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSEIAAAARHFAAMTRIVGPDPKAVKMRCHAFHLHQSGSTTLSASALLLPRGALAEPPALLDHICAAHGHLEGDVALTAASLVEPFLIAEQRNNPGEEMHPRLVPEARLDVLVEHEELGNARDGKSRGPRWLSARLLAVVDVPASADSVLSLLKHEESLIGSASWDLGWSLADVNQKQVENDIRSSLEFNRNSASIESMDPSKLAKSATRIAVLGIPTMTANNARHINVSAIQHRGDSLMIVGSPFGIMSPFHFFNSISVGVVANCLPPGTVRSSLLMADIHCLPGMEGAPLFDKNSCLVGLLMQPLRQRGSSIEVQLVITWDAICIAWNRSKMEKIRLPPSELPDHNNADHKTMELSCAENYGRFVSFPANNLNGYCTSPSSLSKAISSVVLVTVGDTSWASGIVLNKNGLVLTNAHLLEPWRFGRTSTLGLQNETTSITGEYLSDREKEPLHSQQCKVPNEDVVKHEVSLFNLGFKREKRISVRVDHGKRQMWCDANVVFISKGPLDVALLQMEKVPTELTAIRPEFVCPTAGSSVHVVGHGLLGPRSGLCSSFSSGVISKVVEIPSAPNSHLAGTIEAGSMDIPVMLQTTAAVHPGASGGILINSHGLMVGLVTSNAKHGGGSTIPHLNFSIPCKPLEMVFEYSANGDSTVLWKLDKPNEVLSSVWALAPASSPFPSGSPEKGREEKVLELKKFLADKQQVLSSNRDLEELLRRKISSKI >Et_10A_000986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19907859:19909118:1 gene:Et_10A_000986 transcript:Et_10A_000986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLLKSSFLPKKSEWGASRQLAAPRPATVSMVVVRASAYADELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTAPGLGQYISGAILFEETLYQSATDGRKIVDILVEQGIVPGIKVDKGLVPLAGSNDESWCQGLDGLAAREAAYYAQGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRTFEVAQKVWAETFHAMAENHVMFEGILLKPSMVTPGAEAKDRATPEQVAEYTLRLLRRRIPPAVPGIMFLSGGQSEVEATQNLNAMNQGPNPWHVSFSYARALQNTCLKTWGGRPENVKAAQDALLLRAKANSLAQLGKYTSDGEAAEAKEGMFVKNYSY >Et_8A_056756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16361657:16366309:1 gene:Et_8A_056756 transcript:Et_8A_056756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHTILARKSPLGTVWIAAHLERKIKKPQIDGIDIPSYAGPLRPSPPLARSMAQFSLACRVSPRGNWRGASRRGRKGAPFLFSGGNGAKSIMFPEVPIALRLSGHLLLGLVRIYSWKVNYLFQDCNRMVTTIRTAFSSVQVDLPVGQDHAPFETITLPATLNLDDLDLEDAISQINTPDNHQKTLDQITLPGKENSMWGYLYQVFTGKTEIIAIQSFNCLVAVADEREYVMIDLDEGAAVEPPITEPTETFPPFNDDFGPSRNSHNEIPIDPSPGNLAVNPNDDIPTDVPQNTPERMREAPQEGPGIDFTAFIHEDESHMEVDPSPFVQRKVINSPITAETSAGQQIPGTSVPKLQTPFAYVEQPEIGNELPDWTVEPSPPHVRAPPQIQAPPQEQAPPQVQGNRKRKFDLQLVRSNVYMKKQIAGDKLEKLVHKRRKLPQTTLDMWRFSRTNRNDSFFLEPLLPGMCSNLHATYERNYPRVSNPEAESVSHEPEAGDGNDGCQDALPERQPSPKSPENEDALPEQQPSPKSPENEDALRERQPSPKSPRTVDAQPEPLSTPKSPEAGTARDDYSLPELPRFSPSATGEDDSPFKTRSRTPPSRLGGTGGTERTPSDWNYSLPGQSTRSSHTMASLFPINEDDDLPEIPGLVSTPGGVTSAGTGVTGLGSMSARTRAVAQFFKDRISSDEQPGKFSLNGILEGRTRKQAARMFFETTVLKTYDYIDVRQEDPYGDIEVSVKPSLSTAKL >Et_4B_038561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29856318:29860169:-1 gene:Et_4B_038561 transcript:Et_4B_038561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSEQYRSSSSSASSPAARRYYLPRPGRPIAFEDSPDWDDIHLDDPVVHLSASSIHSSAYPSPAPSLPPASGTACRERKVAGATLVWKELTISVRRRRTTHRVVKSSTGYALPATLTVIMGPARSGKSTLLRAISGRLSAAERMYGEVFVNGAKSRLPYGSYGYVDRDDVLIDSLTVREMLYFSALLQLPGFLASKKSIVEDAIAAMSLGDHADKLIGGHFLTKRLPIGERRRVSIARELVMRPHALFIDEPLYNLDSVSALLLMVTLKKLASTGCTVIFTMYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNLQDDQGDFSSVSMDTAVAIRTLEATYKQSADSVAVESMIAKLTEKEGPYIKSKGSACDATRILVLTWRSLLIMSRDWKYYWSRLVLYMFIALSIGTIFTDIGHSLSSVTVRVSAIFAFVSSVILLSVSGVPAHIDEIKIYSHEETNRHSGTMVFLLGHFLSSIPFLFLVSISSSLVFYFLLGLRNEFSFLMYFVVTIFMCLLANEALMMTIAYIWPESYECTLTLICLYVIMMLVAGYFRIRESLPYPVWTFPLSFISFHTYVVQGLLENEYVGTSFAVGQIRTIPGVQAVRGSYDISSSSNAKWVNLLALLLMAIGYRILLYILLRLNVRNHARFDNWRSCWPSVHTASVK >Et_9B_063859.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:1883897:1883926:-1 gene:Et_9B_063859 transcript:Et_9B_063859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREEPAPV >Et_4B_037589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21513626:21519896:1 gene:Et_4B_037589 transcript:Et_4B_037589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYHCCEAGFFEHIAIIILLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTEKDRKHAAKIMPVVKNQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEILPQSICSHYGLAIGAAVAPLVRVLVWICFPVAYPISKLLDYVLGHGHTALFRRAELKTLVTLHGNEAGKGGEELMQEVLEKGHSRVPVYYEKKTNIIGLILVKNLLSVNADDEVPIKSVTIRKIPRVFEDMPLYDILNEFQKGHSHMAVVIRKNNPTYPAEPPASASNGGETFEVSIAIDDKNNEKVVKNLPPPLRRWKSYPNTQSQNSNRGSRSKKWSKDQSDVLQIHKEPLPTLSEDEEAVGIITMEDVIEELLQEEIYDETDVHEEQTEQTEQ >Et_10B_004402.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:6210906:6211775:-1 gene:Et_10B_004402 transcript:Et_10B_004402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVAAVRQPHLLLRRGGLIPAYCSPTTLPFASRGPASPRLRLPPPRFSLSPVPKSLSSASSHVPVRSLFTGIVEEVGRVRRLGPPLTPPSGGGGEDAPGLDLEVESKNLLAGTQLGDSVAVDGTCLTVAAIDAAASTLTFGVAPETLRRTSLGARAPGDGVNLERALTPASRMGGHFVQGHVDGTGEIAAFRPDGDSLWVTVRAPPEILRLLVPKGFVAVDGTSLTVCNVDEEAGWFDFMLVRYTQDNIVLPTKKVGDKVNLEADILGKYVEKLLAGRMEAMSKADS >Et_3B_029014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21352838:21353318:-1 gene:Et_3B_029014 transcript:Et_3B_029014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGGAPAADRSFARAEQAAGGGDVVAEPYNGGEAAAKRPAGSTSRRCCRAASLRIDGCRPLGFLLSLPFAFLSLVLSVVGIALWLAGKTLSCICPCFACCVPMIQLAVTLVKLPVSIVLFFLDLIPC >Et_3A_024826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24624553:24626481:1 gene:Et_3A_024826 transcript:Et_3A_024826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTASSILLLIASCAVFGSSSARCGGCFTRLFSFGDSITDNGNWLRFASSPGTDASSAGPVTSSPYGETFFHLPNGRFCDGRIIIDHISDALGLPFLTPYLAGNSSQDYAHGANFAVGGATALSKDYFTAKNLDDLFTPYPLLWQMAWFRNVTRMVATDEQGLRDVMATPLFLVGEIGGNDYNRALLRGRSVDEVITYVPDVVAAISVFLTVLIPFLGNHHRMRIFSHQLSTGINDPVNDLQELIGLGAKTIVVPGNFPTGCSPGYLAWFQTNDTAQYDSMGCLRWPNHLTELHNRALKAELTRLRRRHPGVAIVYADYYAAAMDLIADPGKHGSILWFGGQPLVSCCGGGGTYNVNPQVQCGMNQSTVCSDPNLAVSWDGFHFTEHTYKVIADGMLRGAYAVPPITSSCSGRSL >Et_8B_058563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18292438:18292814:1 gene:Et_8B_058563 transcript:Et_8B_058563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRPSGRCRPSSGSSRRSSRLPAWCTGCCRGGRRCRGMSGAGSSLCTPAPPPRSLRRCHCRLHLTAQEAPGEVHTPSPVT >Et_2B_019917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15093769:15094355:-1 gene:Et_2B_019917 transcript:Et_2B_019917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLPVVALLAVLAADLCAATDHIVGANHGWNPNINYSLWSGNQTFYVGDLISFRYQKGTHNVFEVNQTGYDNCTMAGVAGNWTSGKDFIPLHEARDYYFICGNGFCLQGMKVAITVHPLPHNASADGKRHGSTGEKEQDSAAALHSTLGPAWMVALALAVAAVAV >Et_7A_052459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8256664:8260529:-1 gene:Et_7A_052459 transcript:Et_7A_052459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPDRTAVTVLAFFPASPDPNGPLYHKGWYHLFYQWNPDSAVWGNITWGHAVSRDLVHWLHLPLAMVPDRWYDANGVWSGSATLLPDDGRIVMLYTGSTNESVQVQNLAEPADPSDPLLREWVKSDAANPVLVPPPGVGLTDFRDPTTAWRVPNDTAWRVAIGSKDRDHAGLAMVYRTEDFVTYDPQPALMHVVPGTGMWECVDFYPVAAAGSSNAEDGLETSVAPGPGVKHVVKASLDDDKHDYYAIGTYDAGTDAWTPDDAENDVGIGLRYDYGKYYASKTFYDPVRRRRVLWGWVGETDSERADILKGWASVQSIPRTVLLDTKTGSNLLQWPVVEVETLRMRGKRFDAIAVERGAVVPLDVGKATQLDIEAVFEVDASAVESVAEADVAYNCSTSGGAAGRGLLGPFGLLVLADDDLSEQTAVYFYLAKGTDGSLKTSSKANDLVKRVYGSVVPVLHGENLSVRILVDHSIVESFAQGGRMCITSRVYPTKAIYESARVFLFNNATNIHVTAKSLKIWELNSAYIRPYSSSHA >Et_3B_029035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21494307:21505723:-1 gene:Et_3B_029035 transcript:Et_3B_029035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATRSKTAPPRPRPAFFSHPSEEELIGAFLRPRVSGGDKPPPTSAFIIHDADVYSACPDVLTDDFAPAVASNGDRAWYFFSPVRNKSREGQRKARTVDDGDGCWHSEAGAKPVVVEHGRRLGHRQSFSFVTKVDGRRVRSGWLMVELGLGDADETVLCKIYFSPRAHLTAGATAASSSAARKRKAAADDGDKRPAPVRRQRRASPPTDSAAPHADDTSGAQEKDDTQEEGSGDDYECVNLIGYGDGGSLIAQIMRDREMFREMGIVDRSDEEIQADNGLTEFVTLLNDMGKRLDDHERRWLPSTYDDRGYPACLSREDLNSAYPLWFERRSRAALLMVRSTSPANNPTCVAFHSQPSDLELVKSYLRPWVSTGLKAGTFIHEADVYAADPADLTREFPPAVAQDGERAWYFLTPLRRKSGRGKRKARVVATGSGWWHNEAKSKPVVDGLGGRREVGYRQSFSFMKKEGGMRTRTGWLMLELRLESEHDDGRGYQDEGSPGGLVLCKVYRSPRHPEPSAGEPKAAPAAPAGRKPEDDDDESCAAPPPKGKKAEDDEGSDATAASGRRRKSDGEGSSAATVAAPDRKAGDKIPVTATAAAPGRQGEKAADDEDSAETSAAAPGAKRKAADDESSGAASAAEPERSKRKTDGGSSGAPATELHCPQCGCHLDVEALLAVAKSKSETKSDNGIVQVEGDSLVKDHSFHKPLVKPICSDLSALAISELQVRRAVHADLHVHRPASGRALLRRLLGCGRGERVLPVVPHGRPSHHLSPASTARIDQPGGVRFEAKEAILARIEGSGVLLGGRRRCGGRLALAGPGSRGGAGGVREGALGGGGGGGLGSGRQGPEGERGGDVLVAVAVGGLGAREAEAEGEARGRRRGRRRGGGRGRRRGGGGGGEADVVVGLEGRRHWGARAGEETEGRAEVVVVFSLSPRLESDDLVVVVVE >Et_7A_053022.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:5039386:5040474:1 gene:Et_7A_053022 transcript:Et_7A_053022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKFSEMSRQGRPLFGYRTAEPQKHLYLVVDDWERGHSVYRVDDDDHFESSLDTPFARMEAEHGDSRSLLAHGTKILAMQPKQSSPGIPAFDTVCNDSRTRLRGVCGRKPVYASVGDTLMAFAYPYVDVLVGPAPRPTEQMWHWTFKDEPPVTPPFASNYVSGYAVHPDGRTVFMSVRLYRPDPGRTIPFYGDRSSTYAFDVETRKFTYVGEWVLPFRGQACYDAELDAWVGLYLYNEQEGVGRVCCCDVVPPDATDMPAWKLGVDVLFQHDDLHSGATLVYMDGDDSKFCLVELRHRAPADDGVCPRPRFRDVNITSFTLKYDKEGNLRTGRRRGHASMWYQVDHQDFHPANDHPVAFWM >Et_7A_051879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25966700:25971279:-1 gene:Et_7A_051879 transcript:Et_7A_051879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWARSKLRWLLSASFISLLLLTPPDCKGLQLVGAIRSSLLWPPPRPSSLPIPRQDVSVEQLWLIRQGVRNKYHCTPLLNTISNSYRTNNKKSSPRRISSEQVIATILSSEEVASTFLDCFDKHNFPASEHGQKSLQQEETRSLFPNFSSINPPSVMKRRSLFERASAKQATRSVLSSKAEARPLVTSMKKAAKPVPKSKSKDSSLATVVAGLSVACIALVALVCLCYCACRGNDGSESSYDLRDDKPLLSLTDLSGSSRKSCATPIDVNRLGALSRSSSESQNNESTLPIKVGGRELSMRSEFARRSNVQAMKLSSHEITTIAGHPVASANSLGGKANGAVPSTNASNSACEPSAGPAPPPPPAPPLLKVPFAPTAAPAPPPPTKPAPPPPGPPPPPAPRAATGPGPPPPPPARAGAGPPPPAMPGPPKARGPPPFKKPGNVAGPQVADANKTKLKPFFWDKVTANPDQAMVWDQIKAGSFQFNEEMIESLFGCHSTDKKNVDGKKDLAAKEATQYVRILDPKKAQNLAISLKALSVSAEEVRNAVMEGLELPSDLIQTLIRWTPTSDEELRLRLYTGELTQLGPAEQFLRTIIDIPYIFQRLDVLLFMSSLPEEAGNIEHSFKTLEVACEELRHNRLFKKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLADVKGVDGKTTLLHFVVQEIVRSEGVRAVRSAKEQVNSSISSVNSEDLSEDVGDDTEHYKQLGLDVVSSLGEDLQNVRKAACLDSDALTISVASLGHKLVKANEFLNTSMKSLDEDSGFHRKLGQFIEQSQVQVTHLLEEEKKLRSLVRSTVDYFHGSTGKDEGLRLFVIVRDFLSILDKVCKEVKEAASKAAPGANKKPPAAAGSKSRQSSDQSSLSFRDPRQHLMPAIQDRRGAAAHSSSSSDSDDDS >Et_7A_051316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16978600:16979847:-1 gene:Et_7A_051316 transcript:Et_7A_051316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSTMATVLHALVGSCVKKLQDIITEETILILGVKEELKELQRTMNQIQCFLNDADERRTKESAVNNWLGELKEAIYEADDIIDLAKFEGSKLLTDYPSSMSSPSVNSTACARFFYCLPSIQRRCQIAVRIKMFNANLHKVSKLGEMFLKLQYMQPKEDVCVVKRMTSSQLVEPNLVGKETSRACTRILELLLAQRENKVYKFGSFSKQAWICVSHVYSEVSLLREVLRNIGVRYEQDETVGELSRKLARTVDKKSFLFVLDDVWEHEVWTNLLRTPLDTADRGAILVTTRNDTVARAIGVDDIYRVELMSEEVGWELLWKSMDIIKEAEVQNLHDLGIEIVRMCGGLPLAIKVIARVLAAEEKTENKWRKVMSKSAWSMSKLPVELSGALY >Et_3B_028029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32375684:32376337:-1 gene:Et_3B_028029 transcript:Et_3B_028029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACVGFLLTMLADCVIANKTRSRQLEAVEEEQQQQQRDKTSSSSFSDAVLLIVALCFHSVFEGIAIGVSASKSEAWRNLWTIGLHKIFAAVAMGIALLRLIPKRPFLTSVAYSLAFAVSSPIGVAIGIGVIGGATPNSRAWVYAVSMGFATGVFVYVAVNHLMAKGYRPQEPTRADRPFLKFIAVLLGVAVMAIVMIWD >Et_3B_030811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7633397:7634450:-1 gene:Et_3B_030811 transcript:Et_3B_030811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLQERATVATRNIGSLLLINQLAFVRTELNKALRRYCYLHPWEPSYQLDIICRVAVRFGCYHANFLASTDVANTDDPSERSLFFAEFWGSSLSQWKPPSCCPISDYCGCTGRCSFCEDEANTIVHPPSGAHSWADIDASKDLCCSTMQNTHMDRLKGLIDSDYIYFDPERDVEVAKIISDCRIYRTNHLLLFL >Et_3A_023345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21790365:21790775:1 gene:Et_3A_023345 transcript:Et_3A_023345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREGAVVKKGQEEGLKMAVSLLEEFGLPLGLLPLADVIEVGFVRATGFMWIAQRKKVEHHFKMITGYVQAKRIKKLKGVKAKELMLWPPVNEISVDDPPTGKIQFKSLAGVTKTFPVEAFAAGQ >Et_7B_055620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17089061:17092952:1 gene:Et_7B_055620 transcript:Et_7B_055620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEMYGSNGYLTHDSREEDVHLRLPLVWKGKIPEKPVSSETFVIRLDCPHKDDTNGIHKANDIRKGRDGTSREQRPQLNDSGLCPMRISSRVQHLHRPQVDRGRVSRGESQMAIFPGCEHNPLPVKARWRQANHRHFPGAQIVPEHRGAHLERKVERVPHEGTGREIDAVAGVPGRRGLPPRAGLRRVDVGEHLLAVGADDDNAEVAAAAVEVVAPGGGAEHGGVPAVGVRRPRDGREIRPAVGDGVPDDEGGGGRVAVAGGVPAEEDAAVAGVERAPAAEEAARGEPSRAEGGGREEARPRAGVAEYAEEGRRAVELPEAAAEPGGRDERAPAPADERGAHEASRVRGREPQQDLLHELLHQRGRRRRRIRGGHGGWNGGWAGDGERSTAWVCFDSPALRRQQLFVFVGEDLPGGAMGAERAATRLKSWPCEWDPDHPSFLHTSRLEHLNLIGHRN >Et_3B_027716.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:15048961:15049167:1 gene:Et_3B_027716 transcript:Et_3B_027716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVRNNDDGLETRILVHVRELKEPCMAALLEMAEQQFGYSQQGVLRIPYDAQRFEHTVVARAQSFSI >Et_7B_055978.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8539606:8541261:1 gene:Et_7B_055978 transcript:Et_7B_055978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFNKEVLSWYLITLKLKEAVDANLQRPPPPQWQPRDLLPLIANGSASSPPAVLQLQDQPERPGEAAVARPSSPAHSPKPQDSEWVVSIRGKLAQARAEEAACPWARLSVYRVPKCLRDGDERAYTPQVVSIGPLHRGRRRLREMDRHKWRALHHVLKRTGHDVTAYLGAVRPLEERVRACYEGRANGVSSNDLAECLVLDGTFVLELFRGAADGGKGFVDVLGYSRHDPIFAMRGAMHAIRNDMILLENQVPLFVLDLLLGTQLGSPEQTGAVAALAVRFFDPLMPTDAPLLRKDRSRLESSVGAAAFDPLSDPMLHCLDVFRRSLLRAGMQPTPPPPARLWPRRWSSVLRRVADKRRQQFVHCVSELREAGIRCRRRNTDRFWDIRFDNGVLQIPRILIHDGTKSLFLNLIAFEQCHHMDIATPGGNNITSYAIFMDNLINSAEDVKYLHDRGIIEHWLGSDAEVADLFNRLCSEVVFDINDSYLSGLSDQVNRYYDYKWSTWIASLQHNYFSNPWAIVSVVAGVFLLLLTMTQTFYSAYSYYRPIH >Et_8A_058311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4701444:4702522:-1 gene:Et_8A_058311 transcript:Et_8A_058311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >Et_1B_010328.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:8871413:8871595:1 gene:Et_1B_010328 transcript:Et_1B_010328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQFIHCIVLVCPRSCNFVADKIAAHGACNLEAGNCMFMSHAPNFVLDLVTGDLQGADE >Et_4A_034597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4743753:4745019:1 gene:Et_4A_034597 transcript:Et_4A_034597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVTLEKTTTIRSGGDDDVASLTMRSPLLKKEKGGNGEDSKGRCCGHKLELVSYDALPEFLKHNEFVLDYYRSEWPIKEALLSAFSIHNETINVWTHLIGFFMFLALTVCAATMVPMEYEASSSHLSTTTTGAMMATNNHTGGGNPQLALIDGAVMAMQALLRNASVDPAELALSPAHGRVARWPFYAYLSGAMFCLLMSSACHLLACHSEHASYVLLRLDYAGITGLIVTSFYPLVYYTFLCDAFYQTLYLGFITVFGAAAVVVSMLPVFESPRLRWARAALFACMGASGLVPIVHKMLVFGNRPEAVLTTGYEMAMGAFYLAGVVVYATRVPERWMPGRFDLAGHSHQLFHVLVIAGAYAHYLAGLVYLSWRDMEGCSCY >Et_1A_008662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9634844:9636920:-1 gene:Et_1A_008662 transcript:Et_1A_008662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLEAPPPVVGLRPATCQPHGVRLPPWRHGLSTSTTISLCRDTVLCYCWLQYAHSYLLFIQGLYSSVPTAYNLQRTFIFMVYVTTLRNADADDLRVAASQSPTSVRSRLLAAEREEAKAVLSLFLRQKGLRSTLAARIVNKSDGFIEHLVSKLQNTYRSRYVGDIISPYWFRIVDSLQYFYFSGRELSTPEIRDALLPYLEALSREHGDGLVEVVENFSDPFSEEREALSSSMVLTPTSSNKQKAIARVSTPTSGGALPELVLFLLELGMDHEEIKNVVRKFPAFAYYNVDRKIKPLVELLIELGVPQSSIPGIIKKRPQLCGISMSDNLKPMMIYLENIGVDKAQWSKVICRFPAFLTYSRNKVETTVSFLTELGVSKENIGKILTRCPHLMSYSVDDNLRPTAEYFRSIGADVASLIQKSPQAFGLNVEAKLKPITEFFLEKGFSMEEISIMVNRFGIIHTLSLEENLLPKYDFFLTMEYPRGELVKFPQYFGYSLEQRIKPRYARMTDCGVRLILNQMLSVSDARFEEILKRKTV >Et_9A_062550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23978824:23982954:-1 gene:Et_9A_062550 transcript:Et_9A_062550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AVYVGCILQRNKLRVLLLPEVSSEKRPVTRPKRKLRRLTEESKSRLRSLVSPHLGSIPGTGGVMADWDGIPERERRQMEEILQLDLEELNVEVVDDDDDEKEEEQGAHDDDAFLGDNGDGVASTSGPCTFNTSLASLHTYLGEVDDTRGRVSLLDGGAVLNIPIFYLRGVVLFPGATLPLRIIQTRFRVAIDKALRLVDAPCTIGVILVYRDPSDRYYATGSVGTTAEIRQHGRLEDGSLNVVARDTPLRTPRDAFAQLAACNSFRLRSSPDISLNMPPIKQGHMDSELECDTPSPCSDASNHSSKDTKLCPLGPPLSDSVLSSNEDKMWLNDALTSPEPLSTARDTKRQRQCHAVRYSKHAFQAPLSFWPRWTYEIYDSYTLARRAADLWRQIIANPSMEDHVRKPDILSFHIGSKLPVSEYLRQKLLELDGTSYRLQKEIQILKAFNLIKCRDCQSLIAKRSDMVVMSTDGPLGAYVNPHGCVHETITVSNATGLALTGSPSTVHSWFPGYSWTIAHCAACESHIGWLFRATKKNLRPRSFWGIRSSQIADDTQLDQNE >Et_7A_052977.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:3918328:3918663:-1 gene:Et_7A_052977 transcript:Et_7A_052977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTISAACRNIEKLPAALISGGVIQAAVALSLLIYKAPEGIFLHHGKAPFYFYYGILIAVVIFSLMEASAGFWVSRNLVDRHAIGMTIIWISILPLVLVAGLGGSIFLKS >Et_2B_020070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16555704:16566372:-1 gene:Et_2B_020070 transcript:Et_2B_020070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVLMVSHRRRCLGHHPPLLLAAAPTSIPHLLTSRFSSLQVAEKPSIALSIASALSGGRMSTRKGSTDVHEFDGVFQGSHALYKVTSVIGHVLSVDFPPAYQNWEATDPMDLFEAPVLRSEYNPKAHIRRHLAQEARGCTYLILWLDCDREGENICYEVIECTGIPENEVGRRIFRAKFSSVTEKDILSAMGNLVLPNKDEALSVEARQEIDLKVGVAFTRFQTRYFQGKYGNLDSRVISYGPCQTPTLGFCVQRYQQITTFKPEKFWSLKTYIVKDGTEIQLEWDRKKLFDFDVTVMFQKMVLNDGNLKVVDISVKEECKTRPSGLNTVNMLKVASSALGIGPQTAMHLAERLYTQGFISYPRTESTAYPASFDFTSVLAVLVHNPLWSNNIRTLLDAGFLKPRQGHDAGDHPPITPMRSATEEALGTDAWRLYQYICQHFIGTISPDCRYTRTAIELTSGGQTFHCVGSRVTSKGFTSIMPWLAVSENNIPAFRKGDTVSIHKVDIYEGSTTPPDYLSESELISLMEKNGIGTDASIPVHINNICERNYVQVNSGRRLVPTPLGTTLIRGYQCIDADLCLPDIRSFIEQQITLIAKGKADHRQVIQHALQQFMKKYTYFVKKIENMDALFEAQFSPLADSGRLLSKCGKCGRYMKYISTQPMRLYCVTCEDVYYLPQKGSIKLYKEIICPLDGFELLLFSMVGPDAKSFPLCPFCYNSPPFEGIDKLFGALKLDETGKVGKGAGMPCFLCPHPTCKQSMITQGVCACPECNGTLILDPVSAPKWRLYCNMCNCIVLLPHAAHRITTTNKKCPTCESTIIEVDFNKKTTPLEDGSTLHEGCILCDDLLHSLIEMKHGKSFFMRRGRGRGRGRGRGRGYAGRARSGTDLPGFGKSRLPGAWGLNAECMITADPTGKGPAAQCYTF >Et_7A_051112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14634063:14638075:1 gene:Et_7A_051112 transcript:Et_7A_051112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAPLSSLQEEDVEEREPTGEDPSSAFSPAAVPPRPATHQSQHKYSPLDWSAYFDEERSVAIAGTNDVFNVYTAGSEGPVVFCLHGGGYSGLSFALAASRIKDKARVVAMDLRGHGKSTTSDDLDLSIKTLTNDVIAVIRTMYGDSPPAIILVGHSMGGSVAVHVAARKEIRNLHGLVVVDVVEGTAMASLVHMQKILANRAQHFPSIEKAIEWSVRGGPLRNIESARVSIPSTLKYNESQGCYIYRTPLEETEKYWKGWYEGLSDKFLSCPVQKILLLAGTDRLDRALTIGQMQGKFQMIVVRHTGHAIQEDVPEEFASHILNFISRNKIGPNGVEIPGLIKKWGH >Et_6A_046877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21868253:21868859:-1 gene:Et_6A_046877 transcript:Et_6A_046877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGDCKFGGVCKFHHPMDQTVPVSNCALSSIELPLRPGEPMCTCYSRYGIMVQDENSIIQCKSSCTALLHHQPVRSQLLDVCWHKYHRIRIVFHPHIRSSESNPKRTLITSCRECHLNYTTVICSIEGECIPSRPDKPECQFYMKNGDCKFGVVCEFHHPKDGTVPVPCCALSSIELPLCPGEPTCTFYSRYRMFKFG >Et_9A_063278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18722567:18727580:1 gene:Et_9A_063278 transcript:Et_9A_063278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNHRPSAVELIKNLQHCTRKARLAVDDECKLKFLALKAEHAYRYITFRIDENQKQIIVDVLGEPTMSHDDFIASLPADECRYAVYDFDFVTKENCKKSKIFFIAWSPGTSKLTNKIVYVTSKDWFMRELKGIQVHLQATDPTEMSLDIFWSCVCNNMFGVCSKFEQLPADHECLLKFVALKDQHAYRYITFRIDEKQKQIIVDELGEPTMNHDDFTASLPADECRYAVYDFVTEENSHKSKSSLPGNVDHHLMCVSCDERHCTLAPFHY >Et_3A_024276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19076860:19080247:-1 gene:Et_3A_024276 transcript:Et_3A_024276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGRVLEEISEEVNHKLEKTAECVVEGRKKEYMGGGDEGVLLVCGGHDGKRKEEEDEDDETEEDDQDTEEDDDDTGEDWHEDNGRRSLPYTLRYLDPRPLCTAGYTPEASEKMAELVHEKNYDMLAGWSEMADNSITPLPARPLSVLPEITIPCAYGDVCYHREYWTDIISEIAPIHPYFRPCGMLQVFSLRLSSTLAHPVNIYGTFAVRDCWEPRRNYLFERSRDYPAIVAPGCSVLPLCSPCRGIFVLQYVLLDIDLWIKEEGGMSSDKQLFSGYIELNACLSGFEKLKGRIQDGLLGLDIHYAFLCDAIETVIEVLAAAEYPSDVKISASTSGFDDKIALYDGTFFGTGVISRHFVAVRKLEQLHVFLKLDDSMYKWTFQAGVGVLAAPDGPVSGFAQFEVNVSFKTKGKEASAWKWRCICNEDEARQWAITGAKDLDSIPPLLPDGQQHHVS >Et_4B_038536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29726058:29728377:1 gene:Et_4B_038536 transcript:Et_4B_038536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATVKGRFDGDKASAATTLALPAAGDLRFKASATEATFTNGPSLNGLTLTLEKPGAFLVDVKPHNRDVRFQFMNSALVYDKRLSLTYTHSTSIAAAADAPPSRTALDCTLTVDPANKLTLSHALGSGGCRVKYSYAHGTDRLTTIEPVFDTKTNAWEFAVTRKFQGGDAVKGTYHASTKLLGLEWNKDSLAGGSFKVAASFDLSDQSKAPKLVAESTWNYEI >Et_6A_046638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18527310:18529796:1 gene:Et_6A_046638 transcript:Et_6A_046638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSEPTREESVYMAKLAEQAERYLAEFKSGTERKEAAESTMNAYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDSLGEESYKDSTLIMQLLRDNLTLWTSDTNEDGGDEIKEAAAPKESGDGQ >Et_1A_004766.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:39452369:39452637:-1 gene:Et_1A_004766 transcript:Et_1A_004766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGVLGLPFAMAHLGWGLGTAVITASFAVTLYTLWQMVEMHEMVAGRRFDRYHELGQHAFGEKLGLWIIVPQQLIVEVGTDIVYMV >Et_1A_005068.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2512694:2512780:1 gene:Et_1A_005068 transcript:Et_1A_005068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGAIVTASFLIMVVSHCNVGRLLLKKK >Et_1B_010556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11586098:11586919:-1 gene:Et_1B_010556 transcript:Et_1B_010556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LSQKEFVDQNLLGQSLHASQGFVGCSTYELMIPGTVVTRKYFENMDYEMMVIIVDFAGQILRFLVRGISTEPKLQLAYEQVSECITKKHKNNRGAILRHFGLQFNAVKSIMSSNQAHKEMVSQAVYMNVISLSMIAQLSGELSRDVDEKLVLILSFIIRCPVINRTNMDCLVVWNKIAKNLNKQNDYCFFLRSTK >Et_7B_054728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3950531:3953185:1 gene:Et_7B_054728 transcript:Et_7B_054728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWELEQVTSKVAWFNFACARDELDSCVALLAELKVLLTKFGSLPSSFEKTPNAVAELKIARAIYEQAVILSIKIKDQDAFERNFSLLKVFYMDTCGIIPPSPDEYPILGLNLLRLLADNKIADFHTELELLPLEALNHPCIRYVVELEQSFMEGTYNRLFNAREAIPHKAYVYFMDHLADTVRDEIADCTGQAYDYLPIGDAKKMLMFTSDQELLEYISEEQHEWEIKNCSVLFHMAKPKPHADLLSFKLMNQALSYARELEHII >Et_2A_018737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6384891:6387307:1 gene:Et_2A_018737 transcript:Et_2A_018737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCCSPAAGRSFGFLLLSRRQWLRRPLHALRAPTTVASAAPSATTSLSPPQQHQVALYVEALLDWNQPPPYARNALHNGPLQLLASLTLASVQRMNLTAVTDEAEVMKRHVDDSLSVLPPLERAYRARSTSGGGDIDGLSLIDVGSGAGLPGLILAVARPSWRFTLLESMRKRCTFLEHAVEAMGLSNVNVVCDRAENVGQNLDYREAYDIAAARAVAELKVLVEYCLPLVRVGGLFVAAKGHDPHEEIKSAKSAVQKLGGSMLELCNVESMGPHGQRTAVIYLKDRATPKKYPRLPDSKEFDFGTLRII >Et_5B_043137.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:10293690:10293899:1 gene:Et_5B_043137 transcript:Et_5B_043137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGMINYRMKEIWEAKIPLTVKFFPWQLHRDRIPSADQIKEKWKGEKECRLYGGRPSSKSCYVFLSSS >Et_7B_055569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14744099:14747418:-1 gene:Et_7B_055569 transcript:Et_7B_055569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIPAFGDWENTGNTPYTQKFEDARKTKKTGIPSHPNDQGWHPEPPRKSPLHQSAYKPDPRDQGLKNSPHATRFETDQRRHSEHPMQRESAPRRQANPHREQGGNASTPRSPYRAAAGPASPMQPNNQATPKHRSTGMQTPERRVSSEGHGQHTPGRSRMKPSDRGYEPEEEVVVPPFGEWDDANAASGEKYTGIFNRVRDNRLSPPSSIGQPSRAHDQENQVKQCLGK >Et_6A_047529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:779680:788578:1 gene:Et_6A_047529 transcript:Et_6A_047529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHGSSGGLQMLGGFSVLRRSRAFLLTPPPRFLRRQPRSLAVSSSSLPPPPPPEMEAPYKFGPYKIDDREVFHATPLSYSMVNLRPLLPGNTPGPVPSWFESCKDISNHERAAGRFVLESLIPLGMERRRANAGGSSVLSALSSSLGILGDQCRQLGEEGLYQAAH >Et_10A_001589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5677402:5678110:-1 gene:Et_10A_001589 transcript:Et_10A_001589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTSSWPPHLQNGERAAGAVRQGKPPRRTGAVLLLLLLRGVALALSAAGAALVATDGAALRRAPFRFLLAAEAIVAVYSAFEAGAAAWEVARGATLLPEALQLWFDFGHDQGFGYMALAASAAAARDAAACVRADVAVGLGFAGFAFLAVAALVTGFRLACFLVTGSRFPTPASSSSSSSY >Et_7B_054092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15237933:15238595:-1 gene:Et_7B_054092 transcript:Et_7B_054092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGTINYRMKEIWEAKIPLNVKIHLSFFLCQLHRDRIPSADDQLRKKKWDTQNWPYIPMSPQQFVNVARKDGGHTDNKHLMFYYRSSYLDIVVNQKRADFER >Et_6A_046836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21176169:21182538:-1 gene:Et_6A_046836 transcript:Et_6A_046836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVHPDWSGFPEDLIAIVMRALDIPDLLHAGEVCTSWSAAFSAVRRVRIPIKDASPCLLYSCAGDDADTATIYSPSAGAAFKIRQLPGPAFRSRYVLGLAHGWIVAADEASNLQALNPLTGAQVDLPPVTGLHHVESSSDDQGRPVYVLLDQVMRADEFPDIYTPRELRRYLYHRVYLSCSPSAGGECVVLLMHKRNGDMSFARVGDDRWTLVTRSETVPWDCCYRSAAFNDKDGLFYVLSSDCSIYAFDLKGPKPVARKIIEGAAPALWEDPASYIVLAPWGDILHVWRYTTLRKRTTPVQVPAEYAHEVIDPYQECYTDEIKLYKVDVRDRRLVEISSSDLQGHALFLGFNSSMLVPTKDFPRLKPNCAYMTDDAWEQICVNMYGSRDDFQTETLERLGDDSNSCSERVREIATGGFQPKLPTSSSNRKARAKVKPATAAATDIMESTTGVFRDWSGLQEDLMLIVTRALDIPDLLRAGAVCTTWRTACADVRRVRFPVTDSSPCLLYSCATDPADTATVYSPSAGKAFKVRVPAPSFRSRYVVSSGHGWVVAADEASNLHVLNPLTGAHVGLPPVTGLHHVEGFSDDHGRPMYHLYGEDLWNPESPTSYKPRQLRLFLYERAYLSCSPSKGAACIVLLLHSTDGDLSFARLGDQRWTHVAKSESVQWSSGYRSAAYNENDGLFYLVFFNASIFTLDLHGGSPVMKTVLNGNSRFNDPIRSIILAPWERCSRFIGVRWLDTPVQVPADFANEVINPNRESYTEEIELYKVDVSGRIHKLVKVSSSELRGHAIFVGFNSPVLVSTEDFPMFKPNCTYITDDSWETICINMYGGREVGIWNFETEKLESLGDVQVAHPWLNWPPPVWITPSIY >Et_6A_045965.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:14151660:14152136:1 gene:Et_6A_045965 transcript:Et_6A_045965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPPLATRPSMMPLRSDLAGSDMARSAHGLSDLVEKVTMERRSMGLSFLITNCMADLSWSSLAPCMLPLTSRTTTRSSGALPTVEEELLTSSSTLTVVAASGASLLWSAFTDAFPASLKPTFGLPARNIVAHTLVNNQSVILMVHSFSHFVAMKYLN >Et_8A_057550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4324204:4326357:1 gene:Et_8A_057550 transcript:Et_8A_057550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLPIRRIENNTNRQVTFSKRRNGLIKKAYELSVLCDIDVALLMFSPSGRLSHFSGRHGVEDVVLRYMNLPEHDRGETLVQYLISMLQRLKREGDTAVQLANPGALNEKIEEIQQEVYSCQQQLHISEERLRLFEPDPAMFGSTSEIDGSEKILMDVLARVVERKNYLLSNHMAPFEATAPGIKAEGAAEMFVHPEQVEGMGTFAGDAALWAADEGSSPGHQMFGSSDPMIYLRHLADPDVRGSCVRRVHIGVMHPACLCFRDQDVYDATSQVAGLHGEPSCAAGAGAGEAEAEADAWREEYTCTELLSTLIPTTPFPLMQHCLVLGPDDQFLPLQEGGMAAASAAQEQAEASASCSYVPSDDAGTPVMAYDSTVAPANVPSVDHA >Et_3A_024089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17257146:17258471:1 gene:Et_3A_024089 transcript:Et_3A_024089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLSFSSRPGPVLISLSRSRPVAGSSFLLLRPNRQLSHGRLQLLSTANYHAAELEPPVPCDDPTATGNPDADPTPLFLRPAIHPVPASELAAFRRRASSVAPHYLHGHLRLLLADAGADDASDPALLRAPLHVVEALWLGHVRGRRPIQYVVGNERWRDLVVAVREGVLIPRPETAAVVDIVKTRLGEGFAAGWWADIGTGSGAIAVAVARELGPAGRVFATDISDVAIQVARLNVERYGVQDKVEIRKGSWFEPLQDVKGKLTGVISNPPYIPAEDLPNLRGLRPEMVCHEPKLAMDGGRDGLEHLLHLCEGLSSALKPGGLFVFETNGNEQSEFLVDFISSKWRSSFRDVEAVLDFADIKRFVIGYRT >Et_9A_063490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4775733:4776926:-1 gene:Et_9A_063490 transcript:Et_9A_063490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCVDAGLWAGLVIGYVTEYFTSNAYAPVRSVARSCRTGAATNVIFGLAVGYKSVIIPILAIAAAIFASFRLAAMYGVAMAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMPRRVRHRTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVSAVDVLGPRVFVGLLAGAMLPYWFSAMTMRAVGSAALRMVEEVRRQFEEIPGLAEGRAGAKPDYATCVRISTDASLRKMVAPGALVMLSPLVVGTLFGVETLAGLLAGALVSGVQVAISASNSGGAWDNAKKYIEAGASEEARSLGPKGSEAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGIIFNHL >Et_2A_017001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29994611:29998812:1 gene:Et_2A_017001 transcript:Et_2A_017001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSLEEHRLQSKPAEADGPDGLRKCKSDSKAIASALAPPKDVEDLQVEGYGNVNIFTYNELRAATKNFRPDQILGEGGFGVVYKGVIDENVRAGFPSRQVAVKELNPEGFQGDKEWLAEVNYLGQLSHPNLVELIGYCCEGSHRLLVYEYMACGSLEKHLFRRVCLNMPWSTRMKIALGAARGLEYLHGAERSIIYRDFKTSNILLDADYNAKLSDFGLARTGPSGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMIIGRRAVDKSRPTREHNLVEWARPLLVHNRKLFRIIDPRMEGQYSTKAAIEVASLAYRCLSQNPKGRPTMSQVVETFEAVQKMPECQDVLLQDCITGAVTLYEVPKEPAENVEPEKAKPVPTSRRTRPGNGRSKSETALESKLYIPSPDSDGAGLEVLASPSRNGNIEDPPDEDLYKI >Et_6A_048065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4909526:4910104:1 gene:Et_6A_048065 transcript:Et_6A_048065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPKGEVNLGVFVFFTGPGLLVTRMLLLHGRPFDPAVPQACWLAIVAGVFGWVVVTVLVSVFFSEDAMTGFAWVVAMGLAGLLGYGQGVRARYEQLMAMKRSQPRTAQDASGLLVGRDREDACCAHI >Et_3B_029793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2859508:2860984:1 gene:Et_3B_029793 transcript:Et_3B_029793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGYVPPQYVPLYGLDTEEDRVPPLEENHAERHKLSRDPTQWSSGICACFDDPQSCCIGAACPCFLFGKNAQFLGSGTLAGSCTTHCMLWGLLTSLCCVFTGGLVLAVPGSAIACYACGYRSALRSKYNLPEAPCGDLTTHLFCHLCAICQEYREIRERTGSSTSSAPNVTPPQMQTMDDP >Et_3B_028676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17971119:17973417:-1 gene:Et_3B_028676 transcript:Et_3B_028676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSAQHLKPTGKALPPLSPVHTPRASQQPTLAFAAHRRKTLRRPTMLLRRPLQTLTLPLLRRHLSAAAAEAVVSPADLLSPPYDYLPGHPRPDAKHDEVILAVPRASSGRHVAAKERKAGRVPAIVFEQENGQEGGNKRLVSVQSKQIRKLVDHLGRSFFLSRLFRLEVWSEHAGQGDLVESVRVLPRKVHLHAGTDEPLNCTFMRAPSSALLKIDVPLVFIGDDASPGLRKGAYFNTIKRTVKYLCPADIVPPYIEVDLSELDVGQKLLMRDLKGHPALKLLQSPDQPICSIIGSRAPDQKKSK >Et_3B_030849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:828475:831141:-1 gene:Et_3B_030849 transcript:Et_3B_030849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGYVNKKFSNQYKATIGADFLTKEVQIDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVTKSFEKLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRTVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFELIARNAVKNEPEEDMYLPDTIDVGGAGRQQRSSSGCEC >Et_1A_005682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12752145:12757993:-1 gene:Et_1A_005682 transcript:Et_1A_005682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPGGAGIVWQTPANPPEANDYIFRNGRRYVRPYYFEFISHVKNRWAGKTIVDLFTDEFKGRSRDYYVRAVKCGRLQVDEQMVHAEYVVKSSQKISHFNEVDVVTICKPASVPVHPCGQYRKNTVVGILQAEHGLTPLFPVHRLDRLVSGLLIFAKNAERAECFRQQIEGGLLQKEYVAKVLGVFPDGEQTVDANINFNAREGRSTVEVSDDSGKGPTGKQALTRFQRICTDGTHSIVLCKPVTGRTHQIRVHLKHTGYPIANDELYLSGNFCPRSAKRTIASKATERSDTDISAVDHGGKDAEANKEFDIDQMCTNCPDLAPVGYDGDEEGLWLHCVRYTGPDWSYECPYPDWALLDSVSTKKMKS >Et_2B_021278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28185820:28187118:-1 gene:Et_2B_021278 transcript:Et_2B_021278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGEVAPMPPVAVSGVAAAEAGEVAPVPAAVSGAATAEAAPVPATVSEVASMPTVPVIEAVEKEAPQQGAEVAAAADGDGKSPSLSPAPVSPSKVKERQIPVDPASLRRLGMVADEDSPLSLPSVFTELVVQSPLLPPLRRPTFVGASLPCSAASSPVHRAKWEENTPSPRSALSSLARQHSAALARLVVAAPVAVAPPVLSRSASRAEGRTMAPHADAGDEEEEDPVDARALAADDGFTCGALCMLIPGFSNSKKKPAAAATAAGMAVSGMQRQQSRGARRSSVSRLASLERFECGSWSPPPAAAAARDSSDCLEVPKISCADDTESPVKMAFVFDGGEPAAPTTTRGILKNSASSRLSSARPSTSSQSQRHVRFSTAAAESCPSSPSGVLTPRLARARAEFNAFLEAQSA >Et_6A_046047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20723903:20724921:1 gene:Et_6A_046047 transcript:Et_6A_046047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEHATEFSSVFDLRDLFPSSRLVRMLPRSRKAQRQLEKVYRLFDDILRHHEERRAAGEAEQEQNMIDVLLRIQKAGGAMGASLTPGIIKSMVMDVFGAAVDTQAISLQWAMAELIANPRVMKKAQLEIRRVLAGQERVNEADLRDLHYLRAVIKENLRLHPPTSLVARVCLQEDQKVQQYDVPHGTIVVTNVSAISRFEGKCAFDFKGSDYEYMPFGAGRRICPGITFTQANIEIALANLLYHFNWELPPGLQPEDVDMTEVFGITVKRKSELLLHPVPWIPE >Et_3B_030435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3821186:3822322:1 gene:Et_3B_030435 transcript:Et_3B_030435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFNGVRFVRLRCCTRRGKYLAADVDGRDVCLSGQRGVHNAVWAVQHAAGPDGGPCVLLRGAYGRYLLATDLQAGTGPSHGVAAAQGDLRCATPPPGMLWQAIRRRSAFVIRCGTGRYLRANGKYLRWRRAVTVAGDNGSTMMHWVIENLIHQRRPPPTESEVARQIRYARGDANGNVDDEAWKTMQLHTNNLMQLRLTLACCMGVSRDVTRTTLCVRAGRYGELTPLLVDLPIGNDRIDVVILKHGTQADGDLRYPDLSFTVVEDTGKKS >Et_2A_015650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16537668:16539734:1 gene:Et_2A_015650 transcript:Et_2A_015650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVTARPHLPRALVLPSTARKLLFRCSAASTMDTPSASSDGAEKKTTTVFVAGSTGRTGKRVVEKLLAKGFGVVAGTTDVGRARGSLPQDSNLQLVRADVTEGADKLVEAVRGVDAVICATGFRRSFDPFAPWKVDNFGTVNLVEACRKAGVTRFILVSSILVNGAAMGQLLNPAYIVLNLLGLTLVAKLQAENHIRKSGINYTIVRPGGLTDQPPTGNIVMEPEDTLYSGSISREQVAEVAVEALLCPEASFKVVEIIARGDAPNRPLKDMFAAIKQN >Et_7A_052773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15833028:15836539:-1 gene:Et_7A_052773 transcript:Et_7A_052773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSDVASPASAAAARDAKKKRGNRTAAKLKQSKLETRRGQWLSQVQGKDGKDAKIAPSPTGAGSNAGSPILASPHPPLPRRRADARSRGSDPDDREETGAAGLEVGSSDLDSPINSPVSNNLHGGGCPLRKGCSGNDGGPSLSSGSSVWSSSRSVSDAEEDDTGCPDDDSGVLDDWEAVADALSVDDSHCHQSPGPMMPPAPPTNATPADAARPELIRSKTRAWAPDDVFRPQSLPSISKQASFPASIGNCWAGIGLSGQQAILSLPLSCPICCEDLDPTDSSFLPCPCGFHLCLFCHKRILEADGRCPGCRKQYNNVSAAGGGNAKATAVGIGREMANDKLVRNKTLSAR >Et_3A_023867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13758463:13763777:-1 gene:Et_3A_023867 transcript:Et_3A_023867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPLLGLSLLLLIVLLPASDAIYCDEDDCYDLLGLKQDANASEIRKAYYKLSLKHHPDKNPDPESRKLFVKIANAYEILKDESTREQYDYAIAHPEEVFYNTAQYYRAYYGHKTDPRAVLIGLLLIISAFQYINQLTRYNQAIESVKQTPAYRNRLKALEFERTGGIASKKKGHKQVDKKVEVELNNEVELQIHGVERPSVWRLFGVQFILLPYYIGKMLTWEICWLWRYRVKKLPYAWEDACYLTQTSLRMPANTWKNMDESTKENLVSRRLWEKSNMERYIADMRKESKRRR >Et_4B_036363.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:20159996:20160454:1 gene:Et_4B_036363 transcript:Et_4B_036363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDTACALEIAAVVAVALVIIAVVAASSGACGGSARAARRAAVHDVELALGAATLMTYAQAAAMKKNGKASVPSPEGKEEDCCAICLSEYAEGDVLVRVVPACGHFFHAGCDVDRWLRERRRCPMCRGGLLPLPRPECPPMPPRARVASTE >Et_2A_015513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14300695:14300968:1 gene:Et_2A_015513 transcript:Et_2A_015513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTFEDAEQSRALLGAVRFPDKRFSKGRDSLKAIYMQSEVRTNREEDLGKSSVHNRGGLQGQYKGYESRRTRKQKISGWLT >Et_1B_013172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5999369:6000294:-1 gene:Et_1B_013172 transcript:Et_1B_013172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKETDSPPSKLPRLSSADPNAGAAAVSMAASSPLVLGLGLGLGGDSSSGERDTEASATAHKARSGLTFMQQQELEHQVLIYRYFAAGAPVPVHLVLPIWKSVAASSFGPQRFPSLMGLGSLCFDYRSSMEPEPGRCRRTDGKKWRCSREVVPGHKYCERHVHRGRGRSRKPVEAAVASAAAPAPNAAAASGVHHHGAGASPATGLGFSPTSVLLAHSAARAT >Et_4B_038191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26986305:26987014:-1 gene:Et_4B_038191 transcript:Et_4B_038191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFQHFTEHRLFIKERSAERRWTYVSLGQRVIDGGDEAVHDHVPGAALVPVVPPQHALAAEPGLLRHALRRRVVHVHQRPHARQPVHLREHGPQRAAHGRRGHALAPVRAGQHPSSPRHRSAVGATDTAPTGRLSPARQMARNHGCGSTVSRRYASRGSVDSYGSQRQKAATCGSDAHAIMASTSESSNRRSVTSAMGAESVR >Et_5B_043637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13338608:13340837:1 gene:Et_5B_043637 transcript:Et_5B_043637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LHMLPMNVQDILPRILSLLTFRESSRVGLVSRKWRQLWRTCCSKVVFTRTTMFQPGNTSIRRTRTTFARRVNSLLRQLCSPCTLNKFIFGLRRKHTSHVNRWVSFCAASKARHIIIDFTPGSKNVYRGFADDKYIMPLHAFGGPDNSFAHIKSLHLDYVCLNPATASFASFSNLKNLTLHRVSFLGDHPCLMLLECTALEWLSISRSSLASLTANQPLHGLRYLRLHYCYLDKLELEAPNLTTFSLTNQAIPFTLSGDSPKVVEADIYLMCKGTIYSDNLDYIYSKLPAALPHLQKLSVTSTLYIFDENQGFVKTSARFTNLRHLTLYLPMYGDTRSIGAILRLAYLLELVPVLEELELHFYWYGVVSFPAQKLKGNTMPYRHDKLKRVFMTGACSWVGIIELAYHILRSASGLDSMIMDPVGRIRPSAADGWMLSKCRRMAKRFSRETSFKAF >Et_2A_017439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34320915:34321930:-1 gene:Et_2A_017439 transcript:Et_2A_017439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKATIFNKNCNPILELGEGLYNTIKWNPKGRFMVLAGFGNLDGDMEFWDCSEKQKVAKTKSEWSMTSEWSPDGRHFMTAATVPRLQKDNLIKIFDHNGSLQFTKVFQKLRQADWKPEAPERFDDITDLPTSLSILKIEETKKQGSGVGGLAPTGLVTLCIYFS >Et_9A_062528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23755152:23757140:-1 gene:Et_9A_062528 transcript:Et_9A_062528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSTPKVDENSKKLKKPKAWKHTEPITLAQLKQMRDEFWDTAPHYGGRKEIWDALRAAAESDLALAQTIVDSAGIIISNPDMTLCYDERGAKYELPKYVLSEPTNLIRDA >Et_8B_058873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10280045:10281281:1 gene:Et_8B_058873 transcript:Et_8B_058873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLPSSWEEPPPDILGLVLRRLPSLADRVHVRAVCRPWRAGALRQRQSLPPPLPWLAFRDGGLVDLQGAPVRCAPILRKGVDFGYLAFDNLAFRVDRDGKCTLINPISGLRLPLPKLAPVVLRAINGSGAYGRSYIQKGYMKAIISSPFDSTTDPHVAALILDGHCVAISACRKNDAVCIGMPNPEWPDSTLNIDDIAFLHGKLYALTPHEGLYIIQFDAGHLSELKSSSCFHQCIADDPEQQEIYNSKPQQADNYASYPEYLVMRYLAESNGRLLIIRRWMSIPPKARLGDHDRTLRFEVFEADLATVPGVDEECSKSVIASQCAGGIEEDCIYFMHRAFDNPCKEYFGPCVDPLGDSGVYNMRNGGIKPLVPDSVMSELKRKRQFLTWFFPADA >Et_9B_064888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19608549:19609369:1 gene:Et_9B_064888 transcript:Et_9B_064888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQELAPCGCGLRYAGGCGGRCGGAAAGSSAFSLLFPMAGGGQFGYCAEEGGSPYGGGGTVDCTLSLGTPSTRRAEAGAHARGRQDGGGAAVASCNNNKESGRGSLRRCANCDTASTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAVAPAPAPQVDSYACGAYVRQHHHHQPHHQWGCYSPAAAKSASFSMHGGGVDAAAADGPCLSWMINVMPSSSPAFAVRDRPTLFQYY >Et_4A_033414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22405452:22406803:-1 gene:Et_4A_033414 transcript:Et_4A_033414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPVDIQYDGRAEACQIHDVLLEILVSMSGDENFVTVFDGQEAEANNLLGKIRRLSLHHNYRGSEVHVSSKSMAHVRSVHVFGSSKDVSDNLDFPSMRVLDLEGCSLCMQVNGIHKCLKLRYINLSRTGITEVPKEIGDLQYLETLDMRGSSMKGKLEPAIGHLTRLKHLFVEHETILPDEIMNLRALQVLFVPTIHSVKLVEWLGRLKELRQLYIGRIKPDNENDLTSYKKSFLSFVRDLRRQNLRVLFILRHPSVRDKGFVNPLMDSCCESVSSSVWPLSSLTHLSQLHTWVPTMESRYLDALRELPCLLYLFICTEYASEENVSNEGFKSLKEFRFYGEGRIELVFAPGAMPDVHTFDLELTAKGTERNHGVNADFGLEHLSALTRVRARTLCFGATTVQVESAEAAIRNVVSSHPNHPKIELVFSRAHEDKMLKDSQEQDETG >Et_1B_014373.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:732009:735011:-1 gene:Et_1B_014373 transcript:Et_1B_014373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAEKLVVEVVAAHNLMPKDGQGSSSAFVEVEFEHQKRRTRARPKDLNPVWNERLVFPIADPDDLPYRAIDVGVYNDRTGSGDGGSPHSRNFLGKVRVPATGVPGPGEEVVPQLFTLEKRSLFSHIRGEITLKLYRIYSGDVVVNNKSKPEKQAKVVVAGPEVVAAPTTVTGGKKQHQQQQQPVVAVQPPPQQHQEPPMDIMQPPPAQPHMKPVMLADPYPVPAMFSGPGDFSLKETRPHLGQGDKASATYDLVEQVEYLYVRVVRARGVAMVGDAVAEVKLGNYRGVTPAAPAHNWDQVFAFSKETIQSSFVEVYVRARGGGSDDHVGRVWFDLAEVPRRAPPDSTLAPQWYTMEDRRGQRGGAEVMVAVWFGTQADEAFAEAWHSKAAGVHGNGPLGSIKSKVYVAPKLWYLRASVIEAQDLFPMDKGPLPMGRFPELFVRVQVGNQTMRTRPAPVVATRGPSSPFWNEDLMFVVAEPFEEFLVLSVEDRVSPGRDEILGRLVVPISAIERRWDSKPVVSRWFGLDRATGGANVAGSNVNRFGSRRVHLRLSLDGGYHVLDEATAYSSDLQPTAKQLWKPCVGVLELGVLGATGLIPMKARDGRGATTDAYCVAKYGQKWIRTRTIVDSVCPRWNEQYTWEVFDPCTVITVGVFDNCHVDKPASGNTTVAVRDNCIGKVRIRLSTLETDRVYTHAYPLLMLHPSGVKKMGELHLAVRFCCGNAGNMFHAYARPLLPKMHYAEPLHVRQVETLRFQATNVVAARLGRAEPPLGKEVVEYMLDHRSHLWSMRRSKANFARLVGVLSGPVAIVRWFELVRSWQRPVHSCLAVFTFLVFVTMPELILPTAFLAMAFTGLWRYRVRPRHPPHMEMRLSHADAATADELDEEFDTFPSSRGDVVRFRYDRLRSIAGRLQTVVGDIATQGERMQGLLSWRDPRATLMFSIACVVAAVIAYSIPVKVMIGFWGLYAMRPPRFRSRMPSRLMNFFRRLPSRADILL >Et_2A_014879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20077805:20078669:-1 gene:Et_2A_014879 transcript:Et_2A_014879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NGSQGGEEGGHRRRRHKRACRVQAPPGAGLPTGGVRSGRLRRRPVDAHAGLHEAAEEEGGVDWYPRHDQVLGYLAAYARRFGVDACVRFRSRVVAAEFVGGADDADDAERLYWAGNGEAFGGAGAGVWRLAVRHGESDAHQTYEFDFLVLCIGRFSGVPNIPAFPPNGGPDAFRGGRVLHSMDLSDMDDADAAALLKGKRVAVVGSGKSAFDIAAECAEANGQSLNLFFFSSGEAS >Et_10B_004204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18737885:18742980:1 gene:Et_10B_004204 transcript:Et_10B_004204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANKNLPRLASPVPGSPPSSSTFTRSRVTMAMDLDEQLDSSAAAEKGEAALQRLRDADPSLFLSPSANLAAAARAASQHIYSSLAPLSPAQPPPLPSLLAGPAFDAEQIWSQIELLTRPLLPHLQRQLRRLEQQPPSQPQAAPRVETPAGAEEEQSEEGEEDSELEELKEDEEELEGEGTDDEDEMSDDDEQDEEELEGKGGNGVEDKFLKTKHLEEFLEQAEEEEYGGDFEDDDEEDEGKTGGDIMYKDFFEKGHKQAKKRDSSTKKVQFKDEAQEIELDDSEDDDDDRNVGPALDLLLKVEEQDEQVLSTHEKERLKKHAEIEQMEKAILGPNTWTMQGEVVTASKRPKNSALEVDLDFEHNVRPAPVITEEVTASLEDMIKKRIAEGHFDDVEKPSLLPTKAPKEHKEMDESKSKKGLAELYEEDYAQKTGLAPAPLSISDELKKEANTLFKRICLKLDALSHFHFAPKPVIEDMSIQANVPALAMEEVAPVAVSDAAMLAPEEIFDGKGDVKEEAELTQAERKRRRANKKRRYAESHRERPAKLQKEA >Et_9A_061805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16687373:16689358:-1 gene:Et_9A_061805 transcript:Et_9A_061805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTVAERERDGGGEQKKWKNGGYKTLPFIMANEICDKFATAGFNANLITYLTQQLHLPLVDASNTLANFGGTTSLTVVLGAFAADSFIGRFWSIVAGSLFYQIGMLGLVMSAVVPALRPPPCDPPSSSTNCQRPSAGQLAVLYVSMLCMCLGTGGIRPSVVAFGADQFDQQAGAEAWANRKRRYFNVYFFTMGSATLLALTLVVYIQENVGWGWGFGIPAISMFVSIVVFVVAYPLYVRAKPGGSAFTRVAQVLVAAVRKRNAAAPEDTGMLYQDKELDAPISTKGRLLHTDNIKCLDRAAIVKPGDISDAGQPNLWRVATVHRVEELKAIVRMLPIWSAGIVLATAGSHNGSFTIMQARTMERHITRTVEIPPATLSIFTTGTTLGSIICYDRVFVPLARRATGRPSGVTYFQRMGIGLAIAVFGVASAALVETRRRRTAVEHGLLDSPKAVVPMSVFWLVPQYAIHGIADAFASVGQMEFLYDQAPESMRSTAVALFWLCGSFGSYLSTVLVTVVQKATRGHGDWLQDNINRGRIDNYYWLITFIMVANLGHYLLCFHYYTLKPLDLADEHAGDGDQECEVPSQQKNGTGGCERMA >Et_2B_022369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19475198:19479011:1 gene:Et_2B_022369 transcript:Et_2B_022369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKDRPFTSFGPLAVWLPSKGGKKSSSSNRMYEAPLGYKIEDVRPAGGIKKFQSAAYSNFSLGWLLPLLLSFPISPISSFLFHPLFLATHHPMVMPSADSWGSAPASPIGFEGYEKRLEINFSDAPVFVDPCGNGLRALSRKQIDSFLDLARCTIVSQLSNKDFDSYVLSESSLFVYPHKVVLKTCGTTKLLLSIPRILELAGELSLPVLSVKYSRGMFIFPGAQPSPHRSFSEEVSVLNGFFGGLKSGGNAYVIGDAFKPKKKWHVYYATEKPEQPMVTLEMCMTGLDVKKAAVFFKNSADGRCSSAKEMTKLSGISDIIPEMEICDFEFDPCGYSMNGISGPAASTIHVTPEEGFSYASYEAMNFDPGSLVYSDVIKRVLAGFCPSDFSVAVTIFGGDGFAKSWAKGADVASYMCDDFVEQELPGGGLLMYQSFTAVSPGSMSPRSTMEMDGWSSDGTETAEKGDEMCISWDVEKKSKSDYKLRRKLLQSFSQNSCQVQWTPAASSRPQQTTPANKKNILSIPIQNKSAPEYSVWVSGWFSHCSIYQSKQRTLNINIYRDGAGGQEAADEAEEDVVGVLWVALDAKHVLADAEHLNARLLGGGQHLSTDRHLPHLLGHEQHRQI >Et_10A_000875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18522171:18523782:-1 gene:Et_10A_000875 transcript:Et_10A_000875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YNQVVERGGVEKLSRKTAKIGLCAINSFKKKAFHLMGCLCSKGAKDDVNATSENRTPLRNDDSAAQADGGEKVLVALDVRISSGNNADLKGLSGEHVVAGWPAWLVNVAPKAVEGWLPRRANTFEKLAKIGQGTYSIVYKARDLETGKIVALKKVRFFNMDPESVRFMAREIHILRRLDHPNVIKLEAIITSRVSQSLYLVFEYMEHDLAGLIAFPGLKLTEPQIKCLVQQLLHGLDHCHKSGVLHRDIKGSNLLIDDNGLLKIADFGLAISYDPSNPLPLTSRVVTLWYRPPELLLGATEYSVAVDMWSTGCIVAELFAGKPIMTGRTEVEQIHKIFKLCGSPPDNYCKKSKVPETAMFKPQQQYGRCVAETFKDLPSSAVVLIDSLLSLEPDVRGTAASALESDVSF >Et_4A_034302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31583583:31587680:1 gene:Et_4A_034302 transcript:Et_4A_034302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAIHGWAVEAISQEDLDLISGLPDGILGTIVSLLGTEEGARTAALARRWRHVWRSAPLNLDDRLQFTYGDCRLIPVISKILAAHRGPARRIVFRSIRLSGNISTFDTWFQLPLLDALQELVLHFHLDPGNPELPASALRFTSSLRVLDIRSCKFPGAGCRSLAFPCLNHFTLCDVGIAEDLLQEIISNSPGIETMMLDTNFGHRRLRISLPRLRCLAVAVRLIQRREDEVELDDLVVEDAVSLERLLLDQITYGPSVRISGATKLKMVGYMGTGFPAIVLGNSIFKAMVPLNFVQQISTVRVLALTMTQPDLRIVIDYLRCFPCVEILHIKFENVWMIIEGVDHCDRLNTVECLDRCLKTVVLQPYDGLSSLIEFAKFFVERAMVLECMKFCSLKKRNTKWIQDQLRELNIENKASRYAEFLFVRDNDSSAFWMDEAFGLFTFVLALLPLAVVMAAGAGSDGAATYLVYVDPAPLGVACQAHQLGILAAALGGEEKAKAAMVYNYKNVISGFSARLTPSELEAVKKQPQVNRVMPSATLQLMSSNFEGVS >Et_4B_039867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4510416:4511681:1 gene:Et_4B_039867 transcript:Et_4B_039867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVTLEKTTTIRSGSGDDDVASLTMRSPLLKKGKGGGGGDGKGRCCGHKLELVSYDALPEFLKHNEFVVDYYRSEWPIKEALLSAFSIHNETINVWTHLIGFFMFLSLTVCAATMVPMEYEASSSHLSTTTTGGMMANNNHTGGGNPHLALIDGAVMAMQALLRNASAEPSDLAAAAALSPAQHRVARWPFYAYLCGAMFCLLMSSACHLLACHSEHASYVLLRLDYAGITGLIVTSFYPLVYYTFLCDPFYQALYLGFITVSGAAAVVVSMLPVFESPRLRWARAALFACMGASGLVPIVHKMLVFGNRPEAVLTTGYEMAMGAFYLAGVVVYATRVPERWMPGRFDLAGHSHQLFHVLVIAGAYAHYLAGLVYLSWRDMEGC >Et_3B_028111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10350210:10354271:-1 gene:Et_3B_028111 transcript:Et_3B_028111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETPPRPQQQPQPVHASPPFPAAPFTPPRRVFTPAAARGTPSPRSGPGPGPAHLSTPPGPPVFSSPLRPAAVPFRTTPASPHPSPFAAGYPSSSSSSVTTASLPTSSAPHFLNGAFTPQGDLASAPPPPQQLQGDGLDSPYVQFSAHKVLKQKKLLNAPSLGFGALVSPGSEVSPGPEVVERDARRCLNCGAYVNMYCDVMIDSGQWQCVICKKLNGSEGEFRVSSKQDLLQWPELASTTVDYVQVGNRRPGFVPVTDSRVSGPIFILIDECLDEANLQHLQGSLHAFVDSLPSMAKIGIITYGRTVSVYDFSEGAAVSADVLPGNKSPTSESLKALIYGTGVYLSPIHASLPVAHTIFSSLRPYQLSVPEVSRDRCIGAAVEVALGIIQGPSVELARGIIKRSGGNCRILVCTGGPSTFGPGSVPHSVQHPNYAYLEKTAMKWMESLGHEAQRHSTVVDILCAGTCPVRVPVLQPLAKCSGGVLLLHDDFGEAFGVNLQRAATRAAGSHGLFEIRCSDTMLVTQVIGPGEEASPDSHESFKHDSSFCIQMHSVEETQSFSVSMEAKSDIKNNFVYFQFAVRYSNMYQAEITRVITMRLQTVDGLSAYLASVQEDVASVIIGKRTVLRARTASDASDMKLSIDERVKDIAFKFGSQAPKSKLYRFPKELASLPECLFHLKRGPLLGSIVGHEDERSVLRNLFLNASFDLSLRMMAPRCIMHREGGTFEELPAYDLAMQSNAAVVLDHGTDIFIWLGAELAAQEGQSAAALAACRTLAEELSEQRFPAPRILSFKEGSSQARYFVSRLIPAHKDPTYEQESRFPQLRTLNPEQRARLKSSFINFDDYSFCEWMRSLKLVPPEPS >Et_3A_025967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34275670:34276544:-1 gene:Et_3A_025967 transcript:Et_3A_025967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLMGVSSSDDEEAEVEIGWPTDVRHVAHVTFDRFHGFRGVPEELQPEIGEKAPSASNTVFGVSTESMQCSYDARGNSVPTILLQMQRRLYDQGGLDVEGIFRITAEDSQEHYVRDQLNAGVVPQGIDVHCLAGLIKAWFRELPGGLLDSLPADEVTRCQTEDDCARLVARWLPPPKAALLDWAVNLMADVAQHERANKMSTRNVAMVFAPNMTQAVDPMTALKYAVQVMNFLNMLIERAIKQRQPT >Et_4A_034267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31312834:31315254:1 gene:Et_4A_034267 transcript:Et_4A_034267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDVPGGDLVPDQPRRNADYDKSRLMGIIRSHYVEALRRLPPSLIPRVCEVGLCFGFFDPLSNIILNTFFHGVSPDEKETNQQEQRESGEKRKRSQPGTGSKSTEYMEMKEARLPQEDEISLISTGAESIVARSLQGLVTFLTSFFPYLHARDALFYLAQAGGDLVLAGQNIQEVRACHAFTTDHPTTKIALACAARAAMYPQPDKLVSSIIALASRMEELHTLLQQPLDPQGCLSNSTVRGISQICLESELHETNPQERPIFYRFSTLSLPRTCPLGIDHKLCMRRVLLDKIHGFYLEAISHMPTTLLRSRLHRALLMAGHCYGPFDPVSNILLNTIWCDTEFPTQLEFEVDMIRKESLAVVELRSLDGLVAFCQAINPHSGTNETDILSAPLSCDLILLSHRSGQLGYSDEPVVNCYDAFKSAADAAFHPTPVTLAYFASSLPQAAKAMLEPLLKAKRLSPSDVQAISKVLSENHPIHKPVGKVKKLTTQISVTLKKFEAHQRLIRKRVETALQEHAKLKATICGVNSEIPEDGKFGYFNNYHGYRVVQRGSHHANAAPKLLFIECSNDKENMEVAPFLCQIPDLTEFSGRCFHCEYDGAKIIHPCSGSYRGQSRDFLDMACGEHFVTNKELIGFGEMGTIFADAVETEDLMEELDEEDEDDEDLQESEVGDGEDGQRVDVPIGN >Et_3A_025901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33900284:33901241:1 gene:Et_3A_025901 transcript:Et_3A_025901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAMAPADWSSLPADLVRRVADCFLATNDLDWYMVLRAVCHNWRGVTADPKSSPPCDPRFRPSRWVMLDEHDPSNHGGFTRPASSSTPAPAASYARACLCFVTTTTGGFLILADSNRPHAVRVLNPFTGCLIRFSAPLPPGPRFVADIIVGSSSVPILVLLSVSSNMVYWADPQSERFSAEKHVDCPANRLLIAARNNPDSGGYRVLPVSPTEIFGRRASEEGCRGYVVEARGSGMLAVLRRRHGVDVFKIGSDGKATEQVNNIGSRALFLGVRSFVVDTDRFPSIEANRVYFQLTDVGVTALPVSDTDI >Et_5B_043529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11506394:11507676:1 gene:Et_5B_043529 transcript:Et_5B_043529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASFDSVAAVLGDEDAPLPEPFDLDVPEQPGQLGMRRGHRFASSYSSFGTAVSEDDLAGDAYGGGFGMPPPDSSGGAGYVLGAEDVMDGAAHGGVGGGGFFGGGGGLDDALFGGAAADDGPVLPPPEAMREEGILRREWRRQNRLMLEEKERKERERRSEIIAEADEFKKSFLEKRKNNREAKRTQNKDREKLFLANQEKFHKEADRQYWKAIAELVPHEIRGLEKRGKRKEQDVRKPGIMVVQGPKPGKATDLSRMRQVLMNLKQKPPLHMVPPPPPAANVVEEKKEDADKDAKKEGKGASKDDKKDGKQDDGEAGKKTPSDKKTSVTGDASAPLAATEASTDKAPELPAKK >Et_1B_010375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:185727:189446:-1 gene:Et_1B_010375 transcript:Et_1B_010375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEKESSSSSSLEAALAAARPFLRGEEEQVDPALPQLAAVLRDAGAGECWHKHGTFLAHLMDVYRILRLWRAPEAVARCGLYHSSYSNSYVNLAIFDPGTGRDRVRAIIGEPAERLVHLFCIVPRQQLIHDDLLFHYTDAELVADLQSSEESLRGGDLQSSSPPSWRRKIQRLLPADGITVKHIRTGEDVALSRRVAAAFLLMTMADFSDQLFDWQDRLFGNADGRLDFRGNTWTSLWPGTGKPGLWLSSISRMGALYTLIAREEQIHMAQQQQQGDHHRPRDDEDIELVIPPVFEGCTKVLDADDQKAARDLYWEAICNGDDKPNKMVIEQLLRESIGKNPFVGEPHLVLAQVYLNMERYQDAQTQAEQGLKLLLQWGSSWDKRMPWEAWVSWGRAMLIKAQQKDWPHTSFGIISLGLVKKDLPSLFAAAQPFLRDEFEKLDPKLPSFLSVLRSAGAGERHHKNGAFLAHLLNFHRIIQLWGAPRDITRCGLFHSAYANSYVNVSIFESNATREHVQSLIGAPVERLVFLFCGVPRHKLIHEQLHFQYTDAELTDHLAASDVSIKTARETGTFDVSEPWRKKLCSLLSPKGIEVRHFKTGEPISLSRRIMALFILMTIIDICDQYIDYQDKLYDNENGRLEFRGDSWTALWPGSCKPGLWMNATSRLAVLYNLILREEELYMLERSKLGEPIRLDRDEEIELVIPPIFNNCTKVLDPMEQIAARDLYWEAICSDDWKDRDSQRVENILLESVKKNPFVGEPHLVLAQVYLNMGKYEEAKKEAEEGLKLLLEWGISWDKRMTWEAWVSWGRVMLDKAKENEWPQSAAGITNLGLVK >Et_1A_007032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30202518:30205436:-1 gene:Et_1A_007032 transcript:Et_1A_007032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLRRAVAQRCASSSPAYGLRRFMQEQPSFRPAVPPDRFMPLADRIRDLGLGFPRINLDGLVPPAAPAAKTPQREAAAAPPASLTVEEARKVLRATQMEAARERLRASGAGAVSYAEYLRLCCDAAGPDAGPSVARALDESGSVIVLGKTVFLRPDMVVKAIEKAIPVRQAVPVDENSPAREELKAMEAQKADIDATAASHVRRELWCGLAYLVVQTAGFMRLTFWELSWDVMEPICFYVTSMYFMAGYAFFLRTKKEPSFEGFFESRFAAKQKRLMQARDFDVRRYDELRQACGLAPALQARSPCAAAATQESGHCHSYCHCH >Et_2A_016543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25836694:25843852:-1 gene:Et_2A_016543 transcript:Et_2A_016543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREASSGCMTRACACLRPPVGCRGTRSATSPAQHAKIVAAGKGYVVLTPAEETWLFSVELETMEMEREHIRNRVAGEVYPYELEMRPKEARRRKKQAPASRPPTTVHDVPDHLLKLVIRRLDTHVSFLRAAAVCKRWRRIAARRGVLDWKDGFLDRHPGPHVLGHYHVFDSPSRPPGHRFRFVPAAEPNSVNARHFSLDGFLPCGRRPWELVDSCRGLLLLANKRHGFFPDLVVCDPTSRRYVRIHPVKDMKYQRCLGVSLHRNSSVCNLSNFNVICVLFERSVGMADDMGTATSCKPKERLADAGNQHSDLFGVRDADSVHYVGRSAGSMFWLVKDDGTVLAALDNGKLFHYHLPEHLHGSHQLSMIRFVDNGVEDECPSMVRLVSLIGDELRVFAVGFHDRNEWHLERTLRLSQVTAGMPGHKEGFFGSTAKIVAAWEGYLMLTPAEETWMFSLDLKTMRAERVDNRNRFVIAIYPYEIKTSPVVEACVIQCEREGSRPTWRGHGKCSHICTRRRKEPTRRPTTLHDIPDHLLKRVILRLDTHLCLVRAAAVCKRWRRIAASPGVTDYYFSNRYPGNYVLGYYHAADDSPNNQGALPPDGSLVLDPASPAPRRPLVFVPASPAISVRPFSLDFLPDAADGSSLLLFADQRRGLFPDLVVCEPISRRHVRIRPVKEMKYLRCLGVFLANNAGFSRHVGMSHFRVTCVLYERSAGMADDVGEAVSCFYNQAPRNRLRILGWNTRRVETYSSSVLLRGAESAHYAGRAAGSALWVVGDDDDDGTVLAASIDEGGIRRYRLPEHLWGLSQPGSSFRFVDDGVNVYPRVLRLVSLVGDELRVFVKDLCSGSEWNLERTLILSQVTRGLPGRKECFFATATAKIVGEGRGYVVMTPAEEETWLFSLDLKTMQVERDHYRNRLPGKVYTYELETPPVVRACVVSCKRIGRGQLWRGLGQCSHICVCG >Et_4B_039936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6340725:6343692:1 gene:Et_4B_039936 transcript:Et_4B_039936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSDEWRFLFPVASVFAPPSASRSRGPLIFSPLPPPAPLLSLPFPIPPPRASSRGLHRFLRAFVTTTSFLPLADLDSVACDLLAPPSSPFPPPSNLLAVLRRPSSPPSWSLLLFFPYGDNAEQVAFVTLNSDTVSGSTPASPIVQGEGFKHPGHRIQLLAASATEPSWPSQSGDSLVEGFLLAATLYSVNWFRVESRDSDPPALVPVAKQGFDAAVVHACWSRHLPSECIVLLENGELCWFNLDSRSGGKMRVDFGSKDDSENWLSCDYGAQPWMVIIATSKSILLVDLRFGDGGKYDVLAKVGMPGLFETDPFAGADQYLAFCRAGFDQFHFSVVTEHFLILLDVRQPLKPVLAWQHGLESPNNVAMFRLSELWPTKKHEWASNSGFAILVGSFCTGDFGLFCYGPEEQGCPENSHLYAWDLPSRISLTDQGCSCSDGIIKEVFSMHVLRDGSAQESKNPTIGYHVLPNDFSILEPSFTGFALIRLTALGKLEIQKYHASTGSGDDIPLLPIHALLAMEQRNKNVDSSSQGASEEIDYVSDQSREVLEAFHPVISIADMSNSDGWFASQEMNDEKSYFAYEPQIETSFTSESARNNGQKDQNIDAPFHASATYQDKIFTTFVCGKAETESGPEQAAATMFDFGPVRMAFEPRDMEIQPEEEKIVVVTVANALLVR >Et_7B_053340.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:11033461:11033568:1 gene:Et_7B_053340 transcript:Et_7B_053340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCSLFQKEETRNSMLQACRLLKVVTMKLFAKHG >Et_4B_036833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11864493:11867156:-1 gene:Et_4B_036833 transcript:Et_4B_036833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARAIGSLPVANVQELAAAFNRSDDHVPERYLVKEASTDGELVAGEDSSLAIPVIDLAKLLDPQSSEEECAKLASACHDWGFFQLINHGVPDEVAGNLMNDVAEFFKQPLEAKKACSQQPGSLEGYGQAFVVSEEQKLDWGDMLYLQVQPAASRDLRFWPTHPASFRCSVDVYSSEITAKLSCRLLEFMAKGVGAEPASLLGVFEGQPMGMRVNYYPPCRQADRVLGLSPHTDGGGLTLLRQKNDDVQGLQINKDGKWFAVNVLDGAFVVNVGDALEVLSNGKFKSVEHKAVIHPNKERISVAMFHYPCQDLVMGPLPEFVAEGERVRYKSTSYQDFLTQYFAAKLDGRSHVERLKLE >Et_4B_037570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21271649:21275811:1 gene:Et_4B_037570 transcript:Et_4B_037570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAELKEKHAAATSSVNSLRERLRQKRERLLDTDVARYSKTQGRAAASFNPTDLVCCRTLQGHSGKVYSLDWTPEKNWIVSASQDGRLIVWNALTSQKTHAIKLHCPWVMTCAFSPNGQSVACGGLDSACSIFNLNSQPDRDGNMPVSRILTGHKGYVSSCQYVPDQETRLITSSGDQTCVLWDVTTGQRISIFGGEFPSGHTADVLSVSINSSNSNMFVSGSCDATVRLWDIRIASRAVRTYHGHEGDINSVKFFPDGQRFGTGSDDGTCRLFDMRTGHQLQVYSREQDRNDTELSTVTSIAFSISGRLLFSGYSNGDCYVWDTLLAEVVLNLGNLQNSHDGRISCLGLSSDGSALCTGSWDKNLKVKCVHWLLKLHKLCC >Et_2A_017427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34273354:34275524:1 gene:Et_2A_017427 transcript:Et_2A_017427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKDKRDIYYRKAKEEGWRARSAFKLLQIDQEFNIFHGVKRVVDLCAAPGSWSQVLSRNLYPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAALTIVTHVLKVGGKFVAKIFRGKDTSLLYCQLKLFFSQVTFAKPKSSRNSSIEAFAVCENYSPPEGFKEKDLYHLLEKVGTPSGADDLDCRSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPSTEGGSYRSLDPVQPPIAPPYKTALEMKKASSHGIGADISKLSLDP >Et_6B_048437.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:4311308:4311454:1 gene:Et_6B_048437 transcript:Et_6B_048437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDRSFHQDTRMGGWGYVIRDHEGDVIRAAQGRLSFLMSPFHVELLT >Et_4A_032532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11012779:11022667:-1 gene:Et_4A_032532 transcript:Et_4A_032532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAAAAVSSSSPLFSPSSTRPVLRLHAPPSSVSFQTRRRSPAVASAAADETSGTPLLEVRGLTASVKETGQQILAGVNLTIGLGEIHAIMGKNGSGKSTLTKVLVGHPHYEVTGGTILFKGEDLLEMEPEERSLAGLFMSFQAPIEIPGVSNFDFLLMAVNARREKSGLPALGPLEFYSVVSPKVDALKMDPKFLDRNVNEGFSGGERKRNEILQLSVIGADLALLDEIDSGLDVDALEDVANAVNGLLTPQNSVLMITHYQRLLDLIKPSYVHIMENGKIIKTGDSSLATSISEGGFKSIALRSLRGKRHLRQSFVDWRHARNAPVPY >Et_3A_025578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30967640:30970328:-1 gene:Et_3A_025578 transcript:Et_3A_025578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPARLLPLLLVLLIHLPFCLSSRHHHGRSPSPSPATPPASSDAAPLAVLLACNATRFQPVCVSTLSNAGAESSSSDLLAATLTALRARLPPAVSTAKSVLAGSSNVNLTNAATNCLTFLALSSHRLSPSPSPSLISSSTAMLHLYDCWSAYKYVNFSGAISDAMAYLDDTIAVNSNYISMLAARQRYGDDTSRWAPPQTERDGYWPPAAAETSKVDEDAFGVPKGLPANATVCGAGCDYKTVREAVAAAPDLGEANFVVHVKEGVYKETVSVPWEKTNVVLVGDGMGRTVISGDLNADTPGVSTFNTATVGVLGDGFMARDLTIANTAGPDAHQAVAFRSTGDRTVLDTVELLGHQDTLYAHAMRQFYTRCRVAGTVDFVFGNSATVLHDTALVVLPRQLKPEKGETDAVTAQGRTDPAQPTGIVLRGCAVNGSDEYMAMYRERPEVHRVYLGRPWKEYSRTVYVGCTLAEIVQPQGWMPWSGDFALKTLYYGEFDSAGPGARGAGQRVKWSSQVPKDHVDVYSVASFIQGHEWIPKV >Et_2B_022063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8594392:8598738:1 gene:Et_2B_022063 transcript:Et_2B_022063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RHSGLPSLIVSVRRHQQFPPQFVPHSPLLRSCSSSIHPLPPPFVRSWPKSVARQLPKKRGESGQARTSVRARRPARPPAPPRTPRDRAAGDRIGRAMKPAARKDPPPGAGGAALGGVSCFDVKSFAAALVLFTLVLALWQLHPYQPLISASRASTSCPLLPTKTASSHSAAAVAAAVLPSANATAAATTAIASAAAAAEATRPAASPRDPNKRELRPYGTAAALFVQMGAYRGGPRTFAVVGLASKPAHVFGTPYFKCEWLPNPNPTTAAGDPSPARPVRTKAYKMLPDWGYGRVYTVVVVNCTFPSNPNARNAGGKLLVHAYYSTASRRYERFVALEEAPGAYDEARYSPPFPYEYLYCGSSLYGNISAARMREWLAYHARFFGPRSHFVLHDAGGVSPEVRAVLDPWVAAGRVTLQDIRAQAEYDGYYYNQFLVNPMSSKLCVEDPTNEYSRQWGFEKLVFRNSITGVRRDRKYAIKARNAYSTGVHMSQNVIGRTTHKTESLIRYYHYHNSINVMGEPCRDFVPKPTNGSKVLFEGTPYVYDDNMKRLAGVIKRFEKETVGAILSGGDVAAATRALCLSETATSWRFADRKKRQHPEERHEERSDETKPTWQAAAC >Et_3A_025978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34283736:34285199:-1 gene:Et_3A_025978 transcript:Et_3A_025978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDQEADDMEFSQGRMEYDNRVAYKSMLIRDKLTTKLSTMGMTYALVNRAVEATIQIKVPEEFSIELDKFYGKISAFITGTVTEVILFNSEACGTVIKIGDDGIKLWRHVRSVPIDGSLVLRVDTWEGDCKAKLRRSSTIFTPQICGKDVASVHGPMQIKVTWSPLYICQYDDKLRHDPSDSSTSVLERDGRHKG >Et_4A_033573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24520559:24528711:-1 gene:Et_4A_033573 transcript:Et_4A_033573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWAEQLERELASRGLAFASVPGKGRGMVAVRSFFPGEVIISQEPYASTPNKISVGSGCDHCFATGHLRKCSVCRVAWYCGSACQKQEWKLHQLECQAMAALTEDRKKMLTPTIRLMVRLVLKRKLQNEKMANLVNLILPSLELDLKEIAHTFSKFACNAHTICDPELRPLGTGLYPVISIINHSCVPNAVLIFDGRTAYVRALQPISKLDEISISYIETAAVTKKRHNDLKQYFFTCTCPRCLKGSEEDALLEGYRCKNQTCDGFLLLHSGKKAYTCQKCSSSRDEEEVKTMTNEILLLSDKASSFLSSGSSVYRIIEQLERKLYHAFSITLLHTRETLLKIYMDLQDWQTALTFCRLTIPVYERVYAPFHPMIGLQFYTCGKLEWFLECTEDALKSFTRAADILRITHGTKSQFMKELFAKLEEARAEVSFRFSSRDGQQEPSDCHGRNLWLQYGCGGGVQELPLAVEEIGLERLLHLVHRLQLPDNLKKQKASLDELAFGTRSLGEGKKLCSSTLTCSTPSLSSGVASAPRSSGAMAARSSSLSLSAFLASFSPFPVRNGGRKEKDDTPALHLLLSRRPLGAAGAAVRARPRTPPKLSDDATT >Et_1B_014411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:864345:865958:-1 gene:Et_1B_014411 transcript:Et_1B_014411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVDACEIERLPEELLSAALSLTSPLDSCRAAAVSRAFRAAAESDAVWSRFLPRDLPPLAAGELSPAPPSKKGLFLRLADRPALLEGGLTIYGSEDEGGNEMHEPQLPRERGDGWMEVKLGQFYNDKGEDGDVSISLAETKDLFGKSGLIVQGIEIRAARS >Et_1B_009987.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:16933989:16934186:1 gene:Et_1B_009987 transcript:Et_1B_009987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >Et_1A_008349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6856888:6861850:1 gene:Et_1A_008349 transcript:Et_1A_008349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGSLFDGGAGGSGGGMQFPYSAGFSSSPALSLGLDNAGGMGGLMHPGGAGDGGMGRDMDAENDSRSGSDHFDAMSGGGEDEDDPEPGPGNPRKRKKRYHRHTPQQIQELEALFKECPHPDDKQRGELSKRLGLDPRQVKFWFQNRRTQMKTQLERHENALLKQENDKLRAENMTIRDAMRSPMCGGCGSPAMLGEASLEEQHLRIENARLKDELNRVYALATKFLGKPISFLTGYQPQSLLSTMPMPSSALELAVGGLGGMGGSMPPATMPGTMSDFAGGMKAELQVLSPLVPIREVTFLRFCKQLGQGAWAVVDVSIDGLVRDQNSATTSTAGKVKCRRLPSGCVMQDTPNGYCKVTWVEHTEYDEASVHHLYRPLLRSGLAFGARRWLATLQRQCECLAILMSPDTVSAKDSIVITQEGKRSMLKLARRMTENFCAGVSASSAREWSNLDSGAAGSIGEDVRVMERKSVNEPGEPPGVVLSAATSVWVPVAPEKLFNFLRDERLRAEWDILSNGGPMQKMTNIAKGQEHGNSVTLLRASAMSANQSSMSSMLILQETCTDASGSMVVYAPVDIPAMQLVMNGGDSTYVALLPSGFAILPDGPSIDSAGQKTGGSLLTVAFQILVNSQPTAKLTVESVETVNNLISCTIKKIKNALQCDP >Et_7B_054703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3690960:3693492:1 gene:Et_7B_054703 transcript:Et_7B_054703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQDASASGVDFHLPDEILAVIPTDPYEQLDVARKITSMAISSRVSRLEADVASLRRDLVDRDRSEADLRARLAETDARLLAALDENAKLAKERDSLAATAKKLARNLAKLEAFKKQLMKSLSEDNLLQLSETGHDHDAEDNLTARVPPWKDEVSSSHTSSEASSRSTMTESIHGYQFSITPYMPPKLTPGSTPIISSSGGSPRAYSTGPPSPKFLSGPTSPTKSRSEGQSTFSSWQGSSSHQYSAPTSPPQRRSYSGRPRIDGKEFFRQARTRLSYEQFGAFLANIKDFNAQKQSREDTLSKAEEIFGTEHKDLYISFQNMLNRNQP >Et_6B_048633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11964310:11965567:-1 gene:Et_6B_048633 transcript:Et_6B_048633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMLMRRHLLTLGFFPHRFAKAREYDLCEGVQECHGIAAGRGSAAGDADQADRCTIEVGVFTGYSLLATALALPHDGAIDTNQEWYELGRPFIDGAGVAHKGPALERLGELLADQGNHGAFDFAFVDADKPHYVRYHEQLLRLVRVGGVIVYDNTLWRGTVALPCRTTAGPSRRPSGTSTRGLPPTSVSRRASSPSPMVSPFVAASCDRRQQPCGTCYICSPSVSAVSIKVLYVRYVSNKAAMQKR >Et_5A_041267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20389812:20390777:-1 gene:Et_5A_041267 transcript:Et_5A_041267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGNNGSGVVALYGGSNNGAALSEPSQQKSATFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIRDIKRAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTLADDAHHINKHNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMADVFSYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPKILAEVSSGLGEAMVGINLNDPKVERFAARSE >Et_2A_017264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32621153:32622153:1 gene:Et_2A_017264 transcript:Et_2A_017264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSFVNDVRLAMQDDMKPVTPCDSRFSSITRRGDCALHLTPCQLQNSMDALFHVSKTLVGPDSWDLKQRRACRSFSLSMHMADFPLDRAPPCAQPFPLLCATATERRRERRQGDDGCEAPGQADPAAEGQWRQAPGA >Et_1A_006187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1909159:1912125:1 gene:Et_1A_006187 transcript:Et_1A_006187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDARVTSGELEDEFRVFGVLRSVWVARKPPGFAFIDFDDKRDAEDAIRDLDGKNGWRVELSKSGGRSGRDRYGGSESKCYECGETGHFARECRLRIGSGGLGSGRRRSRSRSRSRSPRYRRSPSYKATVLVIARRGAVVFPQLLVDAAIAGPHRTTAAMMNLPVVMLVFIEFCRIMKAYPYV >Et_8A_057075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20831259:20835498:-1 gene:Et_8A_057075 transcript:Et_8A_057075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEARGIANMHALRSLQLFSIALTNEGLAIILDNCSMLESLDIRHCFHIKMDKNMLTKCSRIKTLRLPGDLTDDYDLKVYKPIRGRATFSSHFWNQPMRDWAGGLPTDVLLSIFHRLDHANVLMSADRVCRSWRLAAVDEPSLWRCIIMRWHERFADIDPFAMAAAAVRRSAGQCEAFCGDYFVDDGFLGYLNFQAPCLKSLCLIYCDLVTDESMMAVIMAQPLLEELELSLCRNISEDWVSYAREETLKLKHLRRNTHFVNCHKSESDVEAQAIATFMQDLQSLQLFGNVLTNKGLEAILDNCPKLEYLDIRHCFNIKMDTTLLSKCAGLKTLRLPDDPTDDYGLEIQTPILIYESDVWSSDYWYTDQYGYSEDSDDSSFYDGRP >Et_7B_055428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10333748:10336042:1 gene:Et_7B_055428 transcript:Et_7B_055428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQHQQPPPQQQRMMFPPPQGFAGAGMPPLAIGGFGAVAASQAEAEAAARARAAEQMALEDAWKALNPDFRTPFTSVEDVVSRLLPYHVFADEDDYGVDGDVTTNRDNGAAATEKSSAEEFEDEMQAKTEFFLAEFEKQVLTFNILTQRRAEGFNRGEEGVLLELAMLDDERRETERVRAALAQQQQQQQEQREKQEAARARLALAHAQAAAGAWPPMAQPSPSSRWHQALAAAAAAARGEGSSSTGQGMMAPAMSMQQQHQMLSPPPPLQQQMQEEEEMMTPGAWPALSAAGASGGESGQALMQAVMMQQLQQQQQQRQQQRQRQQQQQQQQLEVMAAVARGEGGLGGQALPPAMVMQLLHQQQQEMMAVGTWQMGGRQAYMGPRGDGSSSSSQAGAALLLLQQQQPGQGMAGTMALTWRSSAERSE >Et_10A_001194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21990776:21998894:1 gene:Et_10A_001194 transcript:Et_10A_001194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVTLLRADVDSGLHKEELPDWMSQLFEKLDMEMNEDSVCSTGKAYDNIFHHFNFTIEAQHEGSNVWTSNLYFAEVKEVSGIKFYFCCLLDPTDKGHCYGCKSQDVYELQHPNTGGYKEGDADVFWHFETETDYDTDSSDDSYENQHIKCDLPSITLIYLNRRTRARRPRLSSNCWMVDRCGKAELTWVETTRESSRSMTAGHLVLGDRRAAGDGVVQELLERARPHDADLQRRDGAVLHPLLAVHGAQGLLHLQAAPLEDVTVHHRVVLLIVEITQLPVDDVAPRDVGGARPAGVLDDGGAAVRAEERRGALDGALQVGLVGLVDVDGEQRVLAPHEPDHGRRVVEARDAQHVAEPVPVQPRVRRDHHLVLPPGLHPRQLHQLIASVIAIVNNGQELEVGHVGHDGDASYGSHSGLRLSPKSPSEAPQMSEAIGRPPL >Et_2B_022944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:911568:913769:1 gene:Et_2B_022944 transcript:Et_2B_022944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVVTSLIFLLAGFVASLFTLLCCNRGPSTNLYARFSHLPLSLPNLDLGCLLKGSPEIATGVLSLPLLLLGEVNDLPVHVERDLPHLHQPLELALVLDLAGLLLGCELGLRNLQLLPELAYLGGVRGVGLLQLRSELADLGSVRLLKPSYVRPHPEEERRECGGHCSAPGGAHRRGQPAQR >Et_7B_053357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1291101:1291521:1 gene:Et_7B_053357 transcript:Et_7B_053357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAEAEAEACLEGVRLAADWVRQPAVVEFDHKLSKMKREANEVAHALAQLAVKKQECAVWRFRAPPSVMLLIDFNLSVGVCNTLARSQERVEPMVGVCVNSVT >Et_8A_058141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21015630:21018353:-1 gene:Et_8A_058141 transcript:Et_8A_058141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAIPTAAAARSVQDLPEDAVVEILARVPDVVSLFRCSVVCRWWRRLVSDPAFLRRRSWPEGGRCSLVGFFVHPQDLVSEVDEEYEFEFTRIVPTEEGLVFVPAPDSAAALGTGRRQLTSFVRDGAGILLDEARPLVARDGLLLVRLSTRPCEKKTVLRLCVCDLLTGRRDLLPPLDGAGLIEDGVGGYAVLTAADHGAGLHRPADGYATFFQVLIAGVCSTDLQGYLVRFSSDSAASQTWTWSSIPEALTGGPYGSSVAAITRVAAHWLVIGTGHDGNNRMQILDVSIDTERVGVTEIPFHALPGLIPPDWGNTWLCPSMVDGRLSFYYLDNDQLRIWNRQDDTEVWQLTQAIQLGTELGLSGTESSLSTVCIGEKSNTMLVLNQQDPDNACVLHLRSRSSAMVAGWESSFNFVPAVPYEMNWSASVLGQPTLIEK >Et_4A_031972.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7976239:7976637:1 gene:Et_4A_031972 transcript:Et_4A_031972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGSSSGGAGGGSSIRAANGAAAISAAATAVGSADARFHSHPPQQDRVYTLHDFLFHGACNCSCFSCSFYPSCFRCVDEYQQFLVGLDDLDCHRLCVLSTNGTAQWWLQLATPASLPPIIRLQYCLLVCF >Et_3A_025463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3000826:3004653:-1 gene:Et_3A_025463 transcript:Et_3A_025463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAQASAVAVAPSAAAAPAVVAHQHDSAGGDAPPKQVAQAMERLGRAGRLIADIRLGADRLLEALFVAGGAPPYSGHQHVERTARAISQEEAAMHRHFQDLRALGRQLEESGVLNGALKARGNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTKLALKAFTDQKRRFFPHLDDEVHGETGLTKRPRLSGSDGELEEITLSEILKNLENEVPNMKIFTFRHLDWSKRASSLASLLDDDFVDPTKELNLQMMGKSKPGALTTPTDQVAVIELLVPSIFRAIVSLHPAGSTDPDAVAFFSPTEGGSYLHARGLSVHHVFKHVKEHADKALQYFISVAPSKALSLLLRWIASYQTLFTKVCSKCRRLLLMDKSLALILPPVHRPYHEISNVGLDLQEAYHIGCSSYDG >Et_9A_061984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18562781:18567732:-1 gene:Et_9A_061984 transcript:Et_9A_061984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMPAVKVEEGDGAAGNWGNLAAWSPLESASEAASYGGGAPMSPVLSSPMDSDSGRRRTSGPVRRAKGGWTTEEKKEQKCPKLSSDLSSLYAAEFLPHRTEVQCLHRWQKVLNPELRKGPWTQEEDDIIIDMVKKHGPKKWSVIAKSLDGRIGKQCRERWHNHLDPQIRKEAWTVEEERVLANAHRVYGNKWAEIAKLLPGRTDNSIKNHWNCSLKKRLGNYNTNIDLPASMHTIQNCLKHVKQPIAGNHIDLNKDPNINLRDPPEIADHSECTSHLHACNLKGVKSCSGFLSLSLPTGQPEIPWKALAAEDSAAALAMQGLKLNAVDDKGTEINFLCEEGIPQFGRATEKIVSSGSARPERKTSMNLCELTLRNESSSFGSLCYQIPKLDDIVPAHSPVFSRHHVQEHSGDGFQSPIGYSTYSPMDGSISDQRSVESILKSAAENFPGTPSILRRRKREKPMDAQESNLKIDILNGDSFHTPLGKCSTGSPHSFKTATFLSLGPPNGEGLSAALGSVDVSPAYRLRSKRMAVLKSVEKHLDFSDGMDNCSADTVKSTSWNTACTNSSTDVSSWPEKNMRKHMFGLETLAKDFAHIPKLA >Et_8B_058610.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:9375271:9375801:1 gene:Et_8B_058610 transcript:Et_8B_058610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFYGESPEFLSRLLYTTGDGFLIGAGAGSAYHFTRGLGNGGRLAGAARAVGTNMPRVASRCAAYLAVFCAIETTISNARGRSRDEDRWNSIFAGAATSGLFNLRRGAAATARGALFGATVLAGLAATRWTADLLCSSLSMYYDETRTTAPAVPSRSTTKGEKGAVPSGFFSPLLR >Et_10B_003656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4437644:4440613:1 gene:Et_10B_003656 transcript:Et_10B_003656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDEEMGHGDRSLLFIGDEDDDLGADRDGGSPPSSDDSSLSGGSDDDDAGSGRDGERDAPDDDGQKGAWPQSYRQSIDMLSAVPSPTVSTIMAASPSLSRLGNSFVKAGSSFFLKKAAAAGGEGSLPLTRPLLPPSMSHLSQQSQAQPQQLVRQSTDSLPPRPPPARQGSGLPERPSRACLKSDYIELPPPATKCSKSQSIINGFNVLCGVGILTTAYGIKEGGWLSLLLLPLLGASSCYTGLLLKRCIDSSPNIETYPDIGQVAFGIFGRIFVSVVLYLELYASCVEYITLLGDSLSSVFPSAHLAFTGIDLSAHNLFAITMALLILPSVWLRNLSLLSYLSAGGVFATLTVIICLFWVGIGGGVGFHPSGTALNLTRLPVALGLYGYCYSGHSVFPNIYSSMKDRSQFPFVLLFCFIVCTVVYAGVAVSGFMMFGESTMSQFTLNMPQEFIPSKIAIWMTIVNPYTKYALTMTPVALSIEEALPKKMQNYLVGMSVRTCLVLSTVAVALLFPYFALVMALLGSVFTMLVALILPCACYLSIKKGEVPMWEVFLCITIILIGVVCACVGSYTSIHQMISSR >Et_7B_054346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20154954:20157084:1 gene:Et_7B_054346 transcript:Et_7B_054346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVRPLYKHMHPSWPNHLTQQTINTMASSSYLFLLAALLALTSWQAIAYDPSPLQDFCVADMKSPDASFLLLTFVYACCYFYAAVRVNGFPCKDPMAVTPDDFFNDARIIGQPRDTTKSKVGSNVTNVNVINFPGLNTLGISLARIDYGPLGVNPPHIHPRATELLTVLEGTLYLGFVTSNPNRLFSKVVKKGDVFVFPKAMIHFQMNLAHDKPAAALSSLSSQNPGVITIANAVFGSDPPISDDVLARAFQVEKKLIDWLQSQFWENNHY >Et_3B_028161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11115852:11125537:1 gene:Et_3B_028161 transcript:Et_3B_028161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KMSHLVKKTLNEPERNKKTIQVTAISSLKFKYSTTIYIFPTWHAHLESIIPFPPLLRILRPSPKPTPSPSLAASATLASSYTASATTVLRSCSPPLRAAAMYSTAMSLSATAAAAAAASVTSSRPDAIRPGGLRFCGLRREALGLRTLRASPQAVSARRSVAAASAAAENGAAGSGGFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGKMRELKDEHHMKSLGLQVQSAGYDRQAVADHANNLASKIRSNLTNSMKALGVDILTGVGTIVGKQKVRYGKVGFPDNEITARNIIIATGSVPFVPKGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRILINPRNIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENINVVTQRGFVPVDERMQVMDADGNAVPNLYCIGDANGKLMLAHAASAQGISVVEQICGKDNILNHLSIPAACFTHPEISMVGLTEMIYRPDTGEILGVHIFGLHAADLIHEASNAIALGTRVQDIKFVVHAHPTLSEVLDELFKAAKVFMAMQPCYCHA >Et_6B_049320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3215304:3220568:1 gene:Et_6B_049320 transcript:Et_6B_049320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGRSLAETPTWSVATVTTLMVAACFLVERSLSRFAKWLRKTKRKAMLAALEKIREELMLLGVISLLLSQTAKWISEICVPSSVFTSKFYICSEKDFNDIMHTEEGNLTSLTDHISGGQATHVCNEGHEPFVSYEGLEQLHRFLFILGITHVLYSFVTVVLSMIKIYSWRKWETLAGPIAAEEMKGINIYFWLSFVPVILVLLVGTELQHVIAQLALEVAEATAPYVGTQLKLRDDLFWFGKPRVLWWLIQFISFQNAFEMATFLWSLWELSEVQSCFMKHYYMIVIRLISGLVVQFWCSYSTLPLNVIISQMGSKFKKSLVSENVRESLHSWCKRVKDRSRHNPLFSRNGTVTDRSVCSLDTTYETDHETNTVCTLSRTVSASSMDDQLTVATLDDEPMEKDV >Et_2A_015791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17976686:17980102:-1 gene:Et_2A_015791 transcript:Et_2A_015791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIPTTPAPSPARTVLPLRNRLPVSSSSPLSPRPRLPARLLRLPARPLAAPMSAEARAPASPVAPPAHPTYDLKAVIALALSEDAGDRGDVSCLATIPSNVEAEATFIAKADGVIAGIGLADMIFNQVDPLLKVKWFESDGNYVHKGLQFGKVYGCARSIIVAERVVLNFMQRMSGIATLTKAMSDAARPACILETRKTAPGLRLVDKWAVLIGGGKNHRLGLFDMVMIKDNHISVAGGIANAMRSVDQFLEKEKLKLPVEVETRTIEEVKDLLKYAAENKTALTRIMLDNMVVPLPNGDVDVSMLKDAVQLINGRFETEASGNVTIDTVKKIGETGVTYISSGALTHSVKALDISLKIDTELALQVGRRTNRA >Et_3A_023316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20207815:20208798:-1 gene:Et_3A_023316 transcript:Et_3A_023316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKAPPPAAADEEELRLSDLEHICHLGAGACGVVTKVRHRRTGAVFALKTANYPRRCCAGSSPHVVLCHAVLSDPAAEDDVIAYVLELMDAGTLASVLARRGGRGLPETALAEVAAGCLAGLDHLHSRGVAHLDLNPDNVLVSSRGDVKIGDFSESRIFRDGSGEGVRVSIAVAATAYKSPERFVPNARAGPCGTAAVDVWGLGITVLELFLGRRPFFPSLKWPPYEQLRRAICDEEPPAVPEFVAACLQKDPRRRATVPQLLAHPFVARRHVQESRRSLREVIVQSMEKRRSRTFSDATVPSDS >Et_6A_046823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2163839:2165829:-1 gene:Et_6A_046823 transcript:Et_6A_046823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMSKMKPGLASDAVNLAFIEEVTRDADAVQGRVLSEILGRNGESEYLTEKCGLSSSGSVDRAAFRAKVPMATYEDLLPYIRRIADGERSLVLTGAGNPVTELFTSSGTSGGERKMIPTVEDEVDRRYLLEGLFTTVMNQHVPGIDKGKSMYFLFVSSQSKTSGGLTAGTVMTSYYKSKQYAAHAYPQNNTSPTAAILCEDAAQSTYAQVLCGLCQRLDVMHVGAVFAVALVRAVRFLQDNWDRLAADIEAGDLMSGVVTDPAVREAVAAVLRGPDPELAAFVRAECGKGDWAGIIPRIWPNTRYLGTVVTGSMAQYVPTLDYYSGGLPMASDIWGASEGDFGLNLSPLCDPYEASYTIMPNMAYFEFLPVVDDGDDARDQLVELAGVEAGREYEMVITTYAGLNRYRLGDVLRVTGFHNAAPMVRFVRRKNTLLSVDVDKTDEADLQRAVERASALLRPHSGAAVVEYTSRACTADIPGRYVIYWELRYFDNEEDDTVVNGDVLERCCLEMEEALGSVYRQKRVEDGSIAPLQIRVVRSGTFEKLLDYAISRGTSIAQYKVPRCVTENPPVIDLLDSRVVSTHVSSALPHWAPDQPSNNY >Et_6A_046671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19122729:19123118:-1 gene:Et_6A_046671 transcript:Et_6A_046671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding INLGTLGQLAESNRFRIGKISFGRQYLLWSCSLGTNSQERVKQVSINLIGAFSMAYGKGKGLGELFDAEIANKSAMKILEEIGKLATQCLKFDVCKRLK >Et_6A_046445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15148978:15159564:1 gene:Et_6A_046445 transcript:Et_6A_046445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSGAESELHAARRCRRPSMRGAVSAPTAAAAAGRNGIHMGSLLDKLGGGAFGVVRRAQHRRSGEVVAIKSPRHGGDELLREASLLAACGGDPAVVALRKVARGRRRGRGPNNNAGDGDVHLVMEFVGPKDLHDVLLDHRCRRQPFTEAEARRVMQQLLSGVERMHAHGVIHCDLKPGNVIVGDGGRLKICDFGLAMSVSVPAPADAELQGTPSYMAPELLLGGNDRVAVAVDMWSLGCVMAEVVAGKPLFFEENEFELAMAIIRFLGIPDDISGFPLKLKVAAPSKLRDVVPEERLSQAGFDVLRGLLEYSPSDRLTAAAALQMPWFATEIDDSPSSSRSNGTSSAAARSAASCTGHRTAAAARWSPSSPHATAATSKLQDVVPEERMSQAGFDMLRGLLEYNPKDRLSAAAGLQVPWFVLDNNDDSPSSSSA >Et_10A_001937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12851364:12856925:1 gene:Et_10A_001937 transcript:Et_10A_001937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKDYCNKFPGFEDTVRSGAMGSFALDMKTVFIPEGSHFMQEQQMPEQVNELLVGFFSDHPAVAGEPLHEHVEVILRLDEADPGVPCAVLALEPRPRDDARPEQHLTAVVVFLAPDPRESGQRLPDPLEIRTVLRRYLAHRDDAARQDGIEPAPPVRHLVSGEGEHVVAGAAVEVGEDDLDDSQHPEQGVPGVADAALLQVISDPGGHRGVPDQRPAEAAACCRLGRREEHHVVVGRRQDRREVGPVVDVVSDHFGGGEDGLAEEGVRY >Et_7B_054106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15444268:15447113:-1 gene:Et_7B_054106 transcript:Et_7B_054106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKCIRHTNMVPRDFSFGLLERITDGFSRDHKVGSGGFGDVYKGVLHDGEVIAVKKLHPTILTLDDAKFMQEFNNLMTVQHQNIVRLVGYCYESRRIHIEANGVYHLAKIEEKALCFEYLPNGSLDKHLSDESCGLDWCTRYKIITGICKGLNYLHGGLNKPVFHLDLKPSNILLDENMEPKIADFGLSRILLETKTHISTINMAGTINYMPPEFITKRHITNKFDVFSLGMIIIQIITGPEGYEGKYLEMSHQEFIDIVVLARVGPWGGNVGKIHDIKVAPHSLESVTIWSADIVDAIAFSYSEITGKKHNIGTWGGPDSVWPFRILVEISGTTGPYICAVTDVVKSLKLVTNIGSYGPFGSGGETPFCISVPDNGSIIGFFGRSGAFLHSIGVYAVTKIGPWGGNGGVPYDIEVNRPHRLESMTIYSDVVVRSLTFSYSDVKGEQHTTERLGGPGGSANTIRLGRFDQIKGISGTFSRFDRSPSNVITSLTISTHIDSKIYGPFGQGGGTKFNVPVEINGSVVGFFGRAGSYLDALGVYMRTY >Et_6A_047542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7043023:7045376:-1 gene:Et_6A_047542 transcript:Et_6A_047542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EVKNTRMAVRGYSGTLAQALFWFVLCHPCFGTVSDIQCLKRLKASVDPDNKLDWTFHNNTEGSICGFNGVECWHPNENKVLSLHLGSMGLKGQFPDGLENCSSMTTLDLSSNSLSGPIPDNISKRVPFVTSLDLSYNSFSGEIPEALANCSYLNAVNLQHNKLTGMIPGQLAALVRLTQFNVADNKLSGQIPSSLSKFPPSNFANQDLCGNPLSNCTATSSGRTGVIVGSAVGGAVITLIVVAVILFIFLWKMPAKKKGKDVEENKWAKTIKGAKGVKVSMFEKSVSKMKLNDLMKATGDFTKENIIGTGRSGTMYKATLPDGSFLAIKRLQDTQHSESQFTSEMSTLGSVRQRNLVPLLGYCIAKNERLLVYKYMPKGSLYDHLHRQNCEKKTFEWSLRLKIAIGTARGLAWLHHSCNPRILHRNISSKCILLDDDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTGEEPTHVSNAPENFKGSLVEWITYLSNNSILQDAVDKSLIGKDNDAELLQCMKVACSCVLSSPKERPTMFEVYQLLRAVGEKYHFSAADDELTMQPQNANAEDELIVAN >Et_3A_027106.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33047633:33048046:-1 gene:Et_3A_027106 transcript:Et_3A_027106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAMATMLLLAVLVAAAAAMSPPDAVDHQPAASGIAGTLRGRGHHRDDKGHHNRSSDNDKGHHNGSSSDDGQNTRLTELALCVSACGSNVANCIMTKCYEPLARGKGNPVMVPLCLLACTTDVMNCATSCPNGFAH >Et_7A_050365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10178837:10179077:-1 gene:Et_7A_050365 transcript:Et_7A_050365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKSSSSRSMMYEAPLGYSIEDVRPAGGVKKFQSAAYSNCAKKPS >Et_5B_044552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3421417:3422353:1 gene:Et_5B_044552 transcript:Et_5B_044552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGAKRIIGVDLNPDKFDIGKRLGITDFVNPNDTGEKPVSEVIKEMTGGGADYCFECIGSAPVMEEAFRSSRTVRTLILARSTHGWGKTVILGTDGKAEPVSISSSEIKRGRSVHGALLGGIKPKDDIPVLAQKYLDKVHYGHGRIFEGTTSTSSLDDVCSVYFCMQELQLDEFVTHETGFDEINGAFDLLVQGKRLRCIIWMDGRRRRP >Et_2A_015622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16046667:16050912:1 gene:Et_2A_015622 transcript:Et_2A_015622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADALARSQGQCEAFSGEGTGVVDDSFLLFLADRAPLLKSLTLNSCRGVTHEGFMKAIERFPLLEELEVSKFPGVLTEEVLKDIAMVCPRLKHLSLIDAPYIIGGCSGRHMDLSNDREAMAIATMHELRSLQLVYNDLSNQGLEAILDNCPHLESLDMQQCRHIIMDDILRAKCARIKTLKLLVYKQHAKDDYFRDFEVEAIECSTCAFYFRKHIGDKSWWNHWSTSKANNVKAKMVITTVPELRSLELHRKGLTTQGLWAILEKCPHLETKDVLRCRNIVIKFHWWRIPTKKLTTRLVTTRCFFGFDYTYNPNGFPPISIEDKAKDCHRKTDRVMAEIRENNFYFKDSDRQKIEPDGSDIDECSTCLMIEYLSWKVLDPNGHSDYYDHSYNLDSIDEKVSYSEEHSDYYDPSYRLDSIDETSFHVYDMLLRKRLRRTNSTPHTMPPTLALPATAARPRRKRLSPALHVSVFRFVPTSRDWAALQPEVILSIFHRLDLVDIMLCADKVCRSWRCAAREEPKLWRRIHVRPHEELAGRGLADLGKMADDAVWYSQGRCEAFRGQGCGVDHYLLRLLSHQAPLLKSLSLVSCRQVSHHGLMEAIKRFPLLEELELIKCCTLCTQELLEVVALACPQLKHLRLHHPSTYFDYSDIVDNQVLDYINGSEDDSNDGEAIGIATMHQIRSLQLVYSELTNKELAAILDNCPHLESIDIRGCRHIIMNDMLRAKCARINSVNLLLHERDADDYYEDRQRPCPIDECSMCHSYFEGNEGRRYWKLGRSFEIVAKEAMVVVAVRELRSRQLYHNDLTTQGLMAILANCPYLESRDIRNALAKSARRSLLESRGIYCSIRDERARTKTKKRTTQLLRSCVCFDYNILNPEAEFVRDCCSIITDTRFITADRKTLRKRIKSYHQQTESMMKPKGWKLNAEQPIDNSNYNGECGRQEFETSRNIECSTCLMFGYFAHRWDDFNLDRYDD >Et_7A_052052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4084221:4087255:-1 gene:Et_7A_052052 transcript:Et_7A_052052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGSGLLGFIRGDRPHMPTTPRSRSQARTTRSWILSGMDFSDSRRKPNFTGKIAVAAALTVMCIIVLKQSPGFSSTSVFSRHEIGVTHVLVTGGAGYIGSHATLRLLKDNYRVTIVDNLSRGNMGAVKALQRLFPEPGRIQFIYADLGDAKAVNKIFSENAFDAVMHFAAVAYVGESTMEPLRYYHNITSNTLTVLEAMAAHKVNTLIYSSTCATYGEPDTMPIVETTPQNPINPYGKAKKMAEDIILDFSKSKGSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVRGTDYPTADGTCIRDYIDVTDLVDAHVKALDKAQPGKVGIYNVGTGQGRSVKEFVEACKQATGATIKVEYLARRPGDYAEVYSDPSKILNELNWTARYTDLRESLSMAWKWQKAHPHGYGSA >Et_1B_014207.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:35419939:35420721:-1 gene:Et_1B_014207 transcript:Et_1B_014207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKATSLSELGFDASSGFFRPVDDGAVVSPSSADQGGVGGRRGERGHGDRADHPDARPRRRDRAPRQEMLDLQHAAAFLPRTRLVSDTDLAVTRGPDLVIITAGARQIPGETRLNLLQRNVALFRKIVPAVAEHSPESLLLIVSNPVDVLTYVAWKLSGFPASRVIGSGTEPGLVEHLEVNAQDVHGG >Et_4B_037645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22005226:22009417:-1 gene:Et_4B_037645 transcript:Et_4B_037645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFIVALLSVTICLYHSHGLQGELLLLVSLVDVGGMPFASAVGLLPGVRDLPSPVSLLCYLVFQSAASQSSGSLKSEDDASSMAGYTCGCLNSPMKFSGHDLLNLLGGGPQIWWHRCSCCTCCQVQEMAPSSTSDRWWLLGGFPNGDRSELFLFPLLAAGSSSPAMSEHLALRSSVGSRSSALPSHHHHQNQHLVSNPDPLSSVWIRRLHLTPNPPPPPRPPPLPSRAAPPPHQDAVSTDESRTPPPPLPRSSGFGPFRWNPRPLGGAPVSAWDAAAAAAVPGSSVGGGWQPMLSPFFRLPAPPPMTTVSDTGETASVSPLIRLGSSSGGYTGQLTRMAVGGDPHASWLVARAAGTAYPSHALDMVPIRTLDDLHDRQHGVMPQSFARHDPSSSSQHDEPFSYWNMGRFRRNTTTSSISPISVGVVPGNFGNKRNADSTSFLPLKFRKLSGAI >Et_2B_021592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3366844:3372095:-1 gene:Et_2B_021592 transcript:Et_2B_021592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSTAAAPEGVLHRRIEFHLARRPHTALAVGGGGFRMETLNPDAAGKAVAAGSSEGEARRPEKGDAGGMDPELSVARIYLGRIGAGLQNLGNTCYLNSVLQCLTYTEPFAAYLQSGKHKSSCRTAGFCALCALQNHVKTALQSTGKIVTPSLIVKNLRCISRSFRNSRQEDAHELMVNLLESMHKCCLPSGVPSESQSAYEKSLVHKIFGGRLRSQVKCTRCSHCSNKFDPFLDLSLDIAKATTLVRALQNFTEEELLDGGEKQYQCERCRQKVVAKKRFTIDKAPNVLTIHLKRFSPFNPRQKIDKKVDFLPTLDLKPFVSDSKGSDLKYSLYGVLVHAGWNTQSGHYYCYVRTSSGMWHNLDDNQVRQVREADVLRQKAYMLFYVRDSLGNSMPHKDIITANVPIKKTPEKISCLNGKTGSDKMDSVNNSSIFAKTSVDCSKHDVKTEDSAASLPFAQKALREEGASSSDQRPSLLLSSSGKQTVTGRFSQELTPKVDMGKVTSVASPMVNSPGPATLSKSDKPTSQPQTVPISKPTPHANGIDTGFSTRTSSNKDAVASNDAMPINECVTSNENVKGLPESLEQANETAKAPVTSKNNIPPELSRVDSGGSVQIVVAGSCNGSMAKMSKLKSKKFVRYPVVNIRLGSRQLLVASLKLGKKTKHKRIGRRYVVCKAMSNSACLGDCINEQSTSTSATAPSENVQKVAGACASAGTSATTESADLPKLGPSSFTDQTQSKKNVNAKLGDSRTISVRARDLMEATVPSWDGIDAPSTKTAGPQHSKRSIGYVLDEWDEEYDRGKTKKVRQSKDDFDGSNPFQEEANYISQRQMKQKSLQGKSWNKPNRLHELRV >Et_7A_051210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15675713:15679562:1 gene:Et_7A_051210 transcript:Et_7A_051210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLNPTARRLAVAAYRRLSIPAPAEAPFSCRSTRAMHLKKSALIASFLKLWAERYSSSLVDVSGGFDDMLANASTQRFYVVGGKGGVGKTSIAASLAVKFANQGEPTLIASMDPTHSLGDSFEQSMTNDGKIVRINGFDSLFATEIGHVIKKDPSEARSWIHNILGKMGLGIPADPIINSKLDEILNRLPPGCEEEYAISELIRYVELQGGDKFRRIVLDTASTGHTLKLLSATNWIDKGLSVATTSASAGIQEALEKLRQQIARVREVLYNPESTEYITVTIPTMMAVTESSRFNASLRTDGAHTRRLVVNQVLPPSTSDCRFCAAKRREEARAFKAIRDDSELGGLKIIQAPLLDLELKGVPALRFLGDSVWK >Et_1A_005176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30951130:30951516:1 gene:Et_1A_005176 transcript:Et_1A_005176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPHAAAVLLLWLAVLTVAFHGCGRGHAGCGGLAAATTKKMLLDVTSSFDAAPSPTTDEHHHHRHHHHVHHHHHIGGRWNRQGIPPSLPAGKNGEGVDPRYGVEKRLVPTGPNPLHH >Et_9B_063677.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18542301:18544763:-1 gene:Et_9B_063677 transcript:Et_9B_063677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVCAARDEHSLLPLRRPHCAAAEPITIYLTAPGLAATPMRVMASDSIAAVKLRVQTPNRGVQKTSRSVRLVFDGRELARDDCRVRDYGVADGNVLHLVIRVADLRLVVVETVRGAKFRFRVEPGRTVGHVKQRIARECMLLHAPAHPDDLQATLVLEGEELDDRHLIHDVFKADGAVIHLLVRAQNVEVSVVDARDAGDDALRTQPQVIAKDVGVEAVVVNPKAELPSALQDLVGAALAGMENGNAPIMSSEGTGGAYFMQDASGDRHVAVFKPADEEPMAANNPRGLPVSCTSEGLKKGTRVGEGAFREVAAYLLDHPLGGRRSLAGHDGAAAGFAGVPPTALVRCMHERFRYADVGSPVFKLGSMQVFVKNCGSCEDMGPRAFPVQEVHKISVLDVRLANADRHAGNILVCKDEAGRGVSLVPIDHGYCLPESVSFLPLHVHLVDFSCLPAG >Et_1A_007447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34601751:34606319:-1 gene:Et_1A_007447 transcript:Et_1A_007447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSAVTGGGVEEEAAVALGQKLVVHVAENGHTLEFGCGGDTHVEVIQHYIQHHCRIPPADQLLLCGNTSLDGANPLAYYKLPRDDREVFLYNKARLLADSQPPVLESVDIPAPDIPPPPRLQDSPPVEVSADPALKALVSYETRFRYHFQVANALYRSSMAKFELCRRLLRERQVQERALDTARSNLEHTYRKLSQRYSDFVRCFSQQHRGHVEMLNNFERDLQRLRAIRLHPVLQCEGRQCLLDLIKENDLRKLADGCFSSHKQFEVKVTQLKANFLELKKRVESLFNVMSSNGCKDLEKLIKEHQGVISEQKSIMQSLSKDVDTSKKLVDDCSSCQLSASLRPHDAVSAVGRIYEVHEKDNLPSIRNLDYRLTKLLEKCKDKKNEMNTLVHVCMQRVKSAQTSIRGMMNELHAFQEVMGHQDRDFENLKLVNGLGYAYRACLAEVSRRKSYFKLYTGLAGTYAEKLATECETERTRRVDFYRTWSKYIPDDIMVSMGLSDSPSQCDIKVAPFDRDLLPIDVNDVEKLAPQSLVGSFLKSERSQQPKSSLSNSSTSRNFNQPEQNPPNTDDKMDFQDLLGCYETIDIAGTSKLEVENARLKAELASAIAVLCSLGAECGYESIDGGQIDSVLKKAREKTAEALSAKDEYANHLQSMLNAKRDQCSSYEKRIQDLEEQLANKYIQGHMVSGSKGTSDSLLSAFKGNDCNLDVSGGRHTQLRDESSVAMDEASSTSEQPSKQTEGGDENMTDISGALNLPSLDSAVCTNLDAFMTEMPRDNENKIVNIDKEGRMLTQLTMTDASDVPIEDPLSILNFITDEHHTLELRNKEILLSELQNSLDEKSKQLGETESKLSAVMDEVNSVKKDLVHTRGLLDESQMNCAHLENCLHEAREEARTNKCSADRRAVEYNALRSSALRIHGLFERLNKCVTAPNMSGFAESLRSLAVSLSSSVNKDEADSTIQFQQCIKILAERVALLIRQSTEMAERYRRVQEAHAALLKDLEEEKERNNSLYSKLQLEKQVSKEKISFGRFEVQELAVFVRNPAGHYVAINRNDSNYYLSEESVALFTERHSRHPAYIIGQIVHIERRVVHPDQIGGPDSGGGCRPPASMLNPYNLPRGCEYFMVTVAMLPDAVR >Et_2A_016417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24513128:24527102:1 gene:Et_2A_016417 transcript:Et_2A_016417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERADEALLPAVYREVGAALHTTPAGLGALSLCRSVVQAACYPLAAYAAARHNRAHVIAVGAFLWAAATFLVGISDTFLQVAISRGLNGIGLALVVPSIQSLVADSTDDGTRGTAFGWLQLASSLGLISGGFVGLLLAQTTVLGIAGWRVAFHLVAAISVVVGIFNWLYAVDPHFPTDAAGHHQRDGGSKRPATAREVVAEMFEEAKFVVRIPTFQIFVAQGVSGTFPWSALSFASMWLELLGFSHGATAVLMTVFWVASSLGGLLGGKMGDVLALRYPDAGRIVLSQISAGSAVPLAAVLLLGLPDDPSKGAVYGVVLFVMGVFISWNGPATNFPIFAEIVPEKSRTSIYALDRSFESVLASFAPPIVGLLAERVFGYRPADDKGGASVQRDRDNAKSLAKALYTAIAIPFTICTSIYSFLYCSYPRDRDRARMQSLAESELQQMEHDSSAMEDGDAGSPKVFASQNDGERAVIGVTYDHKEVPETEKDTVSNKEMGPPARHERRTLVLVNLASIMERADEALLPAVYREVGAALHATPTGLGALTLYRSIVQAACYPLAAYAASRHNRAHVIALGAFLWAAATFLVAVSDTFLQVAISRGLNGVGLALVIPAVQSLVADSTDDNNRGTAFGWLQLTSSIGSIFGGFFALMLAQTTIFGIEGWRIAFHLVAIVSVIVGTLMWFFAVDPHFIANNVGLRATTVHRKSAWDEAKELLREAKSIIQIPTFQVFVAQGVSGSFPWSALSFLSMWLELIGFSHEQTAVFNTIFAVATSIGGLLGGKMGDFLAQRYPNAGRIILSQISAGSAVPLGAILLLGLPDEPSRSSGIAHGLVLFVMGLIISWNGAATNCPIFAEIVPEEQRTSVYALDRTFESILASFAPPVVGFMSQHLYGFKPEDKGSSPEQDRENAASLAKALYTAISIPMIICSSIYTFMYRTYPRDRERARMHCLIKSELDQIEMGGSGFGCDDDDRFELFESANDGEKPDQFDAGAEVDAGTEKLLANRELRQRRSRRAMLLLAFAAQAMQCADAALLPAVFREIGDALQASPTALGSIALSRSIVQTACFPLAAYLSSRHDRLTVIALGAFLWATATFLIAFSTTFPQVVPSAAASCLVIAFTLRELDRFGAMQMAVTAAFNGVGLALQVPAIHALVAESVDGASRGTAFGWLAVAGNVAGTSVGLLMAPITFLGFPGWRFAFLPLGVLGAAVGVSIRAIAAGDVERSRVATSPAVKPVRQELVDFAREARSVLRIPSFQVIIVQGLPGSFPGSALSFTAMWLELVGFSHGETAALMTLFKAATSISALFGGKMGDVLARRFRNGGRIVLAQISSGTAIPLAAALLLGLPNDAATAAKHGAALFVLGIMINWNASATNSPILAEIVPPRAMTTVFALDRTFEAVLASFAPPVVGILAEHMYGYKLSRSVDDAAAVKMERHNATSLAKAVFTAFAFPMALCCLIYSFLYYTYPKDREIERAEAARGGDSSEVSNGAMGLPAARYERWTLVLVNLASIMERADEALLPAVYREVGAALHATPTELGALTLYRSIVQAACYPLAAYAASRHNRAHVIALGAFLWAAATFLVAISDTFLQVAISRGFNGVGLALVIPAVQSLVADSTDDDNRGTAFGWLQLTSSIGSIFGGFFALMLAQTTIFGIEGWRIAFHLVAIVSVIVGILMWLFAVDPHFMANNAGLHATTVHRKSAWDEAKELLREAKSIIQIPTFQVFVAQGVSGSFPWSALSFLSMWLELIGFSHEQTAVFTTIFSVATSIGGILGGKMGDFFAQLYPNAGRIILSQISAGSAVPLGAILLLGLPNEPSKSSGIAHGLVLFIMGLIISWNGAATNCPIFAEIVPEEQRTSVYALDATFESILASFAPPIVGFMSQHLYGFKPEDKGSSPEQDRENAASLAKALYTAICGPMIICTSIYSFMYRTYPRDRERARMHCLIKSELDQIELGDSGFGCDDDRFELFESVNDGDKADQIDAGYGAEESAQADAGTEKLLANRERQRRSRRAMLLLAFAAQAMESADAALLPSVFREIGLALQASPTALGSIALSRSIVQTACYPLAAYLSARHDRLTIIALGAFLWATATFLIAFSTTFPQVASLTSPRLASASFFVVTLTSPAFRPNMAVTAAFNGVGLALQIPAIHAFVAESVDGANRGMAFGWLAVAGTAGNIAGASVGLLMAPTTFFGFPGWRVAFLLLGVLGAAVGVTIRAIAAGDVARRSRHQASIKPVRQELLEFAREARSVLRIPSFQVIIAQGLTGSFPWSALKFTAMWLELVGFSHGETAALMTLFKVATSIGALFGGKMGDVLARRFKNGGRIVLSQISSGTSIPLAGFLLLGLPNDVATAAKHGAALFILGIMASWNGSATNSPILAEIVPPRAMTTVFALDRTFEAVLASFAPPVVGILAEHMYGYKLSRSADDGGDDNAAAVKMERHNATSLAKALFTAFAFPMALCCLIYTFLYYTYPKDREIARAEAARGGGEVVSDTEDEQEDEMKLLPLSAAP >Et_2A_015199.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4362504:4363070:-1 gene:Et_2A_015199 transcript:Et_2A_015199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTTLGATNPLAPVVAAAALLVLLGTASWASWHCPWRPRSRAPCSCPPRPAHSASRAYRLSRGPSGACGGGGREEAAAGRVTGMVVPPLDDEMRWGGAATFVGRVGFTRAQWSGGKPDCTTLGGCFFATFRPMGWKTKNDLLMGWDLQFTFFCFEATKDNIHMPLNQNSPPFVNESTWPCLVLNQIPR >Et_6A_046223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:150322:150936:-1 gene:Et_6A_046223 transcript:Et_6A_046223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAGWYLKIAAVGASIGAAMELFMIHTGFYDKVTVLESEKRAWESSPEAQAMREALNPWRKHDEQQEK >Et_4A_033771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26771568:26773490:-1 gene:Et_4A_033771 transcript:Et_4A_033771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAAVAAGMATGPGSRVTRFAKSTAASVTPVRPGKTHALSPLDNAMERHTVHVVLYYRAAPGMDREQLKESLSEALSLYPAMTGRLTRGEDAAAALALAAGGGDAAAAAEAGTAAQRGWVVKCNDAGVRMVDARAAASLEEWLATATGDEEMDLVYCEPMGPEPYIWSPFYVQLTEFADKSFALGLSCTHLHNDPTAAALFFHAWAAAHRRTSSTYPPFLHAPALAVSPASPPPPPPLLAAKSSTADAAAAAMSSATFHFPAAAVRELMSSLDPGATPFAALAALFWLRLAAGDSEREERELTLALDFRKRMHAPLPTGYYGSAVHFTRARADLAAGLPAVAAALDRHVAGVPEDEIWAALEWLHARQQQQGGGEPFQMYGPELTCLALDHVPVYGAEFEVGAPPARVSCRVGGAAGEGLVIVLPAAEGGEARDVVVTLPMEATARVCRDGEVLRYGVKVVAGPKVEKNA >Et_4B_037802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23564537:23565051:1 gene:Et_4B_037802 transcript:Et_4B_037802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGGGGRGKAKPATKSVSRSSKAGLQFPVGRIARYLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLMGTVTIAAGGVLPNINQVLLPKKAGNKGDIGSASQEF >Et_2A_015603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15954083:15961041:1 gene:Et_2A_015603 transcript:Et_2A_015603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAALLSVPLLLLLPVVVMASGELKAHPGYADAACGVAEAGGAPERREEFDGGRIVDISHYYRDDMPAWESGEGVGEFLRLARSMRNGSDIANFSELRLTAHSGTHVDAPGHVFQHYYDAGFDVDTLDLAVLNGPALLVDVPRDSNITAAVMESLHIPKGVRRVLFRTLNTDRKLMWKKEFDTSYVGFMKDGAQWLVDNTDIKLVGVDYLSVGAFDECIPAHLVFLEKREVILVEALNLDHIVPGIYTLHCLPLRLRGAEGSPARCILIKKGHDLVAQAAKRPYWLPLARDEKFEKTKRKTRATSFNELIQRSPSLPPSRNSPTLAAAPPPPPPPSLPRSASVSIYLHDRMRCAAAARSLLSLQLPAGGPIHTFTTSVSPSLAAELDAADALHALLSTLPPSLPALLPCLSLLSRRLTPHSVADALLCAALPAASRLRLFLFSALSPRLRSPLLHSRAVVPILLATDADAAMYDAIDDVRAAGLQPPSAAFEALVFAHASTGRHEEAVEAFSRMDEFGCRPTAFVYNAVLKVLVDSGSIPLALALYNRMPAAGCPPNRVTYNVLMDGLCKRGMAGDAVKLFDEMLERGIVPNVKTHTILLSSLCNAGKLSDAEKLLQSMNEKGCSPDEVTHNAFLSGLCKAGRVDEAFERLELLRAGGFTLGLKGYSCLIDGLFLAGQYDEGFDCYKEMLEQTDVKPDVVLYTIMIRGCAEADRIKDAFSFLDEMKEKGFVPDTFCYNTLLKGLCDAGDLEGAHSLKSEMLQNNVVLDATTHTIMICGLCNNGLLDEAMQVFHEMEKVGCNPTVMTYNTLIHGLYRMHRLEEARMLFYKMEMGNNPSLFLRLTLGANQVRDSDSLQKLVDKMCQSGEVLEAYKLLRAIIDSGVVPDVVTYNTLINGLCKARNLEGALRLFKELQLKGLSPDQITYGTLIDRLMRAHRESDAMMLFQNILQSGGTPSLSIYNSMMRSLCRMKKLPQAINLWLDHLPKKYNLSPENEAIATARKKMRDGSLDEALRELIKLDQEYGSVNSSPYTIWLIGLCQARRIGDAVSMFHTLQELGIDVTPASCALLTRYLCWEKNLNAAVDVMLYTLSKRFIMSQPVGNRLLRSLCIHRRRKDAQALAWRMHLVGYDMDEYLREPTKDLLYGQ >Et_3A_027008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29387889:29393189:-1 gene:Et_3A_027008 transcript:Et_3A_027008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLVTTLSMENGGPCTLLSMDPTGHLAVPDDRAVGAMVQALIGGAVGARAHAVSPSGAPPPDINQPWQTDLCDMLGSDLLLDMFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHQRPGEPQFPFSADRGFVRSHRMQRKHYRGLSNPQCIHGIEVVRSPNLAGLSEADLRRWAELTGRDANFMIPQEASDFGTWRTMPNSELELERPQPAMKSNGTQNSKKLLNGSGLNLSSPSNHSGEDGMDLSPVSSKRRKEGFPHAMEEECFLPLNSCTEKTQKDVEMHSVSVVRPSWLHDFTGVMTKAFGPVTAAKSIYEDDKGYLIMVSLPFVDQQRVKVSWRNSLTHGIVKIVCTSTARMPHIRRHGRAFKLADPSPEHCPPGDFIREIPLATRIPGDAKLEAYFDEAASVLEIMVPKQGNEPEEHEVRTRHQHPGFKVSRNHSPEFRHTATAGNHQDETPDQFSLVDLLNLRTSAAATGRRILVHASSSAHVRPSALVHLRDDGVADALQLLHLVFKLVHLSELVAVQPADGSVNGVLNLLLVLGRELGGDLVVLDGVPYVVGVVLQPILGFHLLLELLILCLVLLSLLHHLLDFLLAKPTLVVGDRDLVLLPGGLVLSRDVEDTIGVDVEADSDLGHAAGRRRDARQLELAEEVVVLGPGALTLVHLDQDAGLVVGVGGEDLLLLGGDGGVPRDEHGHDTAGSLQAEGERRDIQQEQVLHLLVALPAEDGSLNSSAVRHRLVGVDALAQLLAVEEVLKQLLHLGNTGRATNKHDVVHAALVHLGVAQALLDGLHALAEQVHVQLLEASPCDRRVEVDALKQGVDLNGGLGSRRQRALGALTGSAQPAQGAGVAADVLLVLALEFLNEVVDHTVVKVLTTKVSVTSSGLHLKDALFNGEQGHIERAATKVKDEHVLLPRACGLLVKTIGNGCSSGLVDDAHHIEAGNDTGILGGLPLRVIEVLCFFYKARCIEIFRSKGMMKIAVFMYYVSTPQNATRINS >Et_5A_041851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3515072:3515475:1 gene:Et_5A_041851 transcript:Et_5A_041851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGRFFSPDNCCCMYALVVVIKTNAEAAIMSTLPLFAFWEGRHSLVLVLRIIQIRGQHK >Et_4B_037623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21928144:21934155:1 gene:Et_4B_037623 transcript:Et_4B_037623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRAPPPPRVSAANFPANPGRVLRRCGQASSRAAITTAAAAAKAPSFRAGQKRKQVASVANPLVKHCVKLRLSAGYRRSCHRLLVGLAPILEICRFDLSAIDYLLLLDGVDVPEELQEFSGNVVHVSAAVMKKVSGMQSVDSTEAIAVMHMPKHFRDLGSDEGGAALDGLFHSPKRILVLDGIQDPGNLGTLIRSACAFNWDGVFLLPPCCDPFNEKALRAARGASLQLPIVTGAWHDLHGLMAKYDMRIMAGHPESSGDGSKVTHPLSKELADSLMNESLCLVLGSEGNGLSAETLLTCELVNIPMEGSFESLNVSVAGGIFLFMLQHKHQLGSRKSAPSKHPHDFVTKALVTDDTSKMELPEATTPGNALVPIGLVREYVWRQENLLEFVRVQ >Et_3A_025119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27067135:27071088:1 gene:Et_3A_025119 transcript:Et_3A_025119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCYFILRRSLLVAIQLLTLPPLTSPYSLEQPLRSYTLLEMGTRMPILRRISNVLSTALLEWLLMLLLFIDGVYNFLVTRFARLCKLPAPCPFCSRLDHVLGNEQPCFYRELICNTHKSEISSLAFCRLHQKLAGAKNMCGGCCEKANDDDKTNEAAMDVNVSDRSQVDDMLNSPRTRICSCCGQHFKQRGGTLSSRKIEELEPTKVVGSPKIYINYSIAGCVDESLKPKDIYHQSDPHERQSVLQMTPDSEVEVPCADDGESSHPREANAVEEHGYEDATFELPDIPFPEMFKESERHAQKELCVTDHHDTSLANSAESDRSDIETNGNQTDAKDIPSVNWASHHDFKDSKDVDVSQCPVASSDEFPQNLGETEPFQGMTEGNDVPYTSQFTILEQHYAVTGERNMKDNLKEILGPQITATSNSEFHQRITLANDLGTTDMAPQNTHHVASPDAALKGNTHVSQDVYSGNIGDTEDSTKEIEPTDDMVTGDLKMQDPTGTAPNSFIEKDYVEEACISADAVRPNGEVPQDHSAACISADAVRPSGEVPQDHSATEYPKTSESTVERRPSLSTQISMNEAYRLAVGSKSSLPSPTLTDVILGKDSTSSVNEELRLLLSQLSASRGLEAPWVDPGPSPRAYGRGDDLVVQNITKRISLERNASGLESLDGSIVSEMEGESTIDRLRRQIDLDRKSIHLLCRELEEERNASAIAASQALAMITKLQDEKAAMQMEASHYQRMMEEQAEYDSEALAKANELLAEREQQIEELEAELENYKQRFGGGHSPFKQENANRTFLDDGDLEIPVIDTPKGTISLASFEDERTYISNCLRKLEQKLQSYSNNSTFVVVSSSDALEDDLSGKVFTADDDSVHQQERSRDTEEHISLGKEEGSSTMSGEFNLTKVQEEVASLNRRLKTLEGDRNFLEHSINSLRNGNEGLMFIQEIACNLRELRQIAIDKK >Et_4A_033728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26208883:26212652:-1 gene:Et_4A_033728 transcript:Et_4A_033728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKPAADGHDPPADCAGGAGASAAAAAEALLSAASEQLTLLYHGDVYVFDPVPPQKVQALLLILGGCEVPLGLESIAVPTAHDEKYTTVATRRISSLMRFREKRKERCFDKKIRYNVRKEVAQKYGQYYANALLRRKGQFAGRVDFGDHACSSAACSSPANGENGHFRGTICQNCGVSSRLTPAMRRGPAGPRSLWYSKKSYECPHNDSAASCESLGMQMTKTQVFFLLSISKPLSGVTEMMPEQEPKQDIHPPTKEDIEAFL >Et_5B_043455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10657731:10659061:-1 gene:Et_5B_043455 transcript:Et_5B_043455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLMPALLLLVAMAAAAVAQPSPGYFPSARHRPVPFNRGYVNKWGPQHQTISADHSALTIWLDKTCGSGFKSKHAYRNGYFSARIKLPAGYTAGTNTAFYLSNNEAYPGFHDEIDMEFLGTVPGEPYTLQTNVYVRGSGDGRIVGREMRFHLWFDPTAAAHTYAILWNPDAITFFVDDVPVRRYERRAELTFPDRPMWAYGSIWDASDWATDDGRHRADYRYQPFVAHLSDFVIAGCATTAPASCRPVPASPAGAGLTARQYEAMRWAQRQHMVYYYCNDFRRDHSLTPEC >Et_3B_029689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27132012:27134406:-1 gene:Et_3B_029689 transcript:Et_3B_029689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERAEKEKVPLLEASRPAAHGGGGNVEEEEDIDDSSLSLGRRAWEENKKLWVVAGPSIFTRFSTFGIAVISQAFIGHIGATELAAYAIVSTVLMRFSGGILLGMASALETLCGQSHGAKQYHMLGIYLQRSWIILFASAIILLPIYIFTEPLLIALGQDPKISAVAGTISLWYIPVMFACVWSFTLQMYLLAQSKNLIITYLAVLNIGLHLVLSWLMTVKFQLGLAGVMGSMVIAMWIPVFGQLAFVFFGGCPLTWTGFSSAALTDLGAIIKLSLSSGVMICLELWYSTILVFLTGYMKNAEIALDAFSICLNINAWEMMISVGFLSATGVRVANELGAGSARRAKFAIFNVVITSFSIGFVFFILFLIFRGTLAYIFTESPAVANAVADLSTLLAFSILLNSVQPVLSGVAVGAGWQSVVAYVNITSYYVIGIPLGAVLGYWVGFHVKGIWIGMLLGTLVQTIVLLFITLRTEWEKQVEIAQERLQRWYMEENRGLQTSRGNA >Et_1B_012586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33415224:33418725:-1 gene:Et_1B_012586 transcript:Et_1B_012586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NPVEPAHQHFQALPRRCPTNTPEIRRDQNPPASIVPPSHTPIPSSSPRPPPPLPFPSSLRRPSLPTPPNPCPVSPGPMRWDAAEAGAALERIWDLHDRLSDAILAAARARLLLPAPSPAPSAPPAGAHLGGGSGCCRNGCVFVKSGAGEDEGAALATAEAVAEARSLHAIRSALEDLEDNLEFLHTVQSQQRAERDAAIARLEQSRLVLAMRLAEHQGKKYRVIDEALEFVGEVSGKCRFISPEDVRATQSQSGNNAEDSRSSSSRIMANMLSCSLSLAKSSFRLDKIGGALGNATVFAVSMLAFLQLHQIAFGSRTPAIQYRKRIEYRFSGSSQANDRGKHLEVKISTVLVVMGRQSRKRKWMLSADMEQSTRGGTSTRSNRRDGDGDGSAKASAAARRGAAADAAGRLVEVRRRRPQRDNLRRFQRARC >Et_9B_064542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16286865:16294621:-1 gene:Et_9B_064542 transcript:Et_9B_064542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADRSRSFMRDVKRIIIKVINGSSGQRPRGRPARSNSARGFARRCCIALLAFRYIFFCDRMKNPQSRSPHRCSKLTVITLFDSPVGTAVVTRHDGRLALGRLGALCEQVKELNTLGYEVIMVTSGAVGVGRQRLKYRKLVNSSFADLQKPQMELDGKACAAVGQSGLMALYDMLFTQLDVSSSQLLVTDSDFENPNFRERLRETVESLIDLKVIPVFNENDAVSTRKAPYEDSSGIFWDNDSLAGLLAIELKADLLVLLSDVDGLYNGPPSDPQSKIIHTYIKEKHHNEITFGDKSRVGRGGMTAKVKAAIVASTSGTPVVITSGFESQSIINVLRGEKIGTLFHKDASLWEPSKDVTAREMAVAARESSRRLQNLSSDERKKILLDVADALEANFDLIRTENEADVAAAQEAGYEKSLVARLTLKPGKIASLAKSIRTLANMEDPINQILKRMEVAENLVLEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAMRSNTILHKVITGAIPDNVGEKLIGLVTSRDEIADLLKLDDVIDLVIPRGSNKLVSQIKASTKIPVLGHADGVCHVYIDKSADMVMAKRIVMDAKIDYPAACNAMETLLVHKDLMKAPGLDDILLSLKTEDCTVTSDDKAAATFLRQVDSAAVFHNASTRFSDGARFGLGAEVGISTGRIHARGPVGVEGLLTTRWYGHSVPSFNLIILLYHYPLLPMKMSFGYASMYVGQTFTLRRTRCIFGDQLRELCLKSRLVPHVLRGGRLLHFVSLYCADYATGGQCAGSLDVSSDVGGIHCQNAAGGG >Et_3A_026875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24775454:24776617:1 gene:Et_3A_026875 transcript:Et_3A_026875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMSLSSPALAGKAAKIAPSSLFGEGRITMRKTAAKAKPAAASGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEVVDPLYPGGSFDPLGLADDPEKFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHITDPVNNNAWAFATNFVPGK >Et_3B_027410.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:13455355:13455678:1 gene:Et_3B_027410 transcript:Et_3B_027410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDGLGLNVDDVVVDDHADDEPRFTIDKENPKIRKGETFPTMNDFRMSLRHFSILEQFEVHKVWTDKKRYRVELIQRSPGTIFEIATKEVEAGIMFDKLFLSLRPCI >Et_3A_025291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28626297:28627312:1 gene:Et_3A_025291 transcript:Et_3A_025291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTTASLPGLAAAAEGAVRCAAASSTEPLQVGQESCAWSQVSTQRTWKPCAQRGSTRTFSPSANSPRQMAQTSAATTSPAPYTSTGMLRSACFLRPPPPARARPPSSRSPGAGARRAQRMRKRASELRPSAKRSAKSSAARMMTMLVSKLASLPPPGLLLSACCASAALPGAGGPMCQLIVRTCTPMGTDKCAAPLPPSSLPLKPRPPPRHMVQLRAGGTGFREKDGGTAATRLPAVRSWLTLTVRKKWWLVVVRAIAACDLERSRARGRFPEWFLVGPVVSKWRHSLRRGRSEEGDFIAGPERRPALLGGGWSRVPVPVSLYGGAAKSARLT >Et_4B_036700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10844909:10847495:1 gene:Et_4B_036700 transcript:Et_4B_036700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCHQPPSSSSFSFISEGRTRGAEMSGKGKRRSARLLKLEEQKNDDDSTVCLLDPWQIIRNSISGSSARGKRKRNEELTQSQGEASCSHQPLPVATSNNISSQSSVGQIIEYILDELEMRDRHELFAMPDDIQVADYAERVNRPGDFATLRQKNKDGMYTALEHFENDVYTVFQKAMTMNSQDTVPFREAMSLLEQAKHVFMSLKSNQMYSESELAAWRQRHLDQLQQPITPARREGDMGAPSPHLPPTTPAQRPPATPRKKGAAAEKKTLGSAFENQRARQRGGNKEINKGTPPVKKIRKAGDDAGEAVRRRLTYADEAGGDQARRAMAVPALQGRHVTLQDQPQEHTYRESLQRFVRHAGLKARVAAEFRTLECVARARRSPAPPHYWNPGAAGFLPPHGYHHHPMNQPLPPDGSNDPVCKLETDELLKLFVLMGTPGAFLERAKQMFGDDKRKERGATKQGRKGKPADDVRASATMAKASNNAKTSATAAKTADHAKTSATAAKAADRVAKAGAAASKAANNATACAMASKEPGQKSDASEPAAATYGPFAAPKLLPGRLGFGQFASSPSQPFKVKPSTSSASGKKNNSQLGSRSSLPHQWTSGLKRC >Et_8B_060268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8294350:8295127:-1 gene:Et_8B_060268 transcript:Et_8B_060268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAPSTIHDGVHLALELVFLRLPTLADLVRAAAACKPWRRVIADAGFLRLFRARNPRPPAAGDYYNGYHSSGPPSRPVISIRPSFLPSPSSTTVTAIDAGRFSLDFLPDNDAEFPPDWRILDSRGSLLLLIVRRARCIGVSNFRVLCEFYRGCVARHPAGSWSWTERTIGHYFFVRDRTLAVLDGHTGEYSPFVLPDTEDWPPNLRNFEFCFT >Et_8B_060743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5927354:5928537:-1 gene:Et_8B_060743 transcript:Et_8B_060743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCEKGGVKKGPWTPEEDLVLVSYVQDHGPGNWRAVPANTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLGKMGGGAAAAAGEGGVAGADAKGGRSAAPKGQWERRLQTDIHTARQALREALSLDSSSAAAPPAAVKPEHAPAAAPPAGLQAAATYASSAENIARLLEGWMRPGTGAGGKASSGSSASTALSGGEGASASQYSGTARTPEGSTGTSVIKAEDHRAGQGAAAAAPGPAFSMLESWLLDDGMGHGEAGIMGMGVPLADPCEFF >Et_8A_056380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6006500:6006850:1 gene:Et_8A_056380 transcript:Et_8A_056380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRYEEMLLDPFGVLKNIAAFMGCPFTAAEEDAGVVQAVLDLCSIKTQRSLPVNTKGVAGDWRNHMTPEMAARLDGVVEEALKGSGFSFASSKNDQ >Et_1B_009769.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25797192:25797881:-1 gene:Et_1B_009769 transcript:Et_1B_009769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKKVMADAVVTGRCCFDSFCDACIRGRIVADAKCGCGAPARADDLVPNQTLRVTIANMLAARAATGGADNQKTSSAGSNEKPCTSQSPDASRSQGSRSQVTAACSEHSDGSTSSSTSKIAAAVQPRTKQATMGSTQVMASGGYPEQYGCAGPFGPALYDPVFGAMPWAPDPYTYYGVPFAGGYPIAPVPAGYHDGGGHGRKRTADGEGRRRDEPGLKRMCRSRSLVAV >Et_3B_029802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27990621:27999685:-1 gene:Et_3B_029802 transcript:Et_3B_029802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQALLATLAVVALLAALPLAESQGESFIFSCLHLQNQFSLRYCVELINVSLQGARSRPSHCAATTAVSAPGANRRPASARTFRPVGATRRARTARSLPVATAPHSSSARTSSPTSASAAARRRSSWAPQALLITLAVVAVLAAVPLAQGLGLPKPGQWPCCNNCGICNFKFPPDCFCSDASPGGCHPACKNCEKFTSSNGATFFQCQDLKTNFCQRRCTPT >Et_1A_004530.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:13015979:13016524:-1 gene:Et_1A_004530 transcript:Et_1A_004530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLAVVVSFGLILISVYAFQLINDARRRLPPGPLPLPLVGNLLSIRGGNPHRSLARLAERHGSLMSVRLGAVLAVVVSSSDAVREIHQKHNADLAGRPVIDAWRADGHLANSIALGPPDAKWRALRKLCATELSHSPRHQFSFGLTEAVPIFFFKKVFWQTWGCLADKDCQGSVRSSL >Et_2A_016536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25795828:25798108:1 gene:Et_2A_016536 transcript:Et_2A_016536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSCDGVCEHVINVAHGETASTSTSHQDMYSDSDEPHQEDRPSTSTRSPSSQSSASTSPTAYSTRNLSFPRRDSIYGQGRSLWNSGLWISFEIVVYVAQVIAAITILMFSRDEHPHAPLFAWIIGYTIGCIASIPLIYWRYVHRNRPLDQESQQPPTTYPTLTPSQSSEGRSHRTSGTIFHLGCITFTCPRLSILAYHFKTAVDCFFAVWFVVGNVWIFGGRSISSDAEDAPNMYRLCLAFLALSCVGYAIPFIMCAAICCCFPCLISVLRLQEDLGQSRGATQELIDALPTYKFKPKRNKNWGIDHASSSENLDEGGILGPGTKKERVVSAEDAVCCICLTKYGDDDELRELPCTHFFHVQCVDKWLKINAVCPLCKTEIGGVVRSLFGLPFGRRRVDRMAGRGAANSRFNV >Et_4A_034880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7477580:7482214:-1 gene:Et_4A_034880 transcript:Et_4A_034880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEADDDQLLKSFLAEVSEAERDNEVIRILGCFKLNPFEHLKLSFDSSPDEVKKQYRKLSLLVHPDKCKHPKAQEAFAALAKAQQLLLDPQERGYVLDQVNAAKEELRAKRKKELKKDSASKIKSQVDEGKYEEQFERSDEFQKQLIIKVREILTEKEWRRRKMQMRISEEEGRLKKDEEETKEMWKKKREHEEKWEETRDQRVSSWRDFMKTGKKARKGEIKPPKLKTEDPNKSYVQRPVKRT >Et_8A_057053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20641095:20643436:1 gene:Et_8A_057053 transcript:Et_8A_057053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDGMPATAAGVRRPEGAGGHEAHDAFTVSVDEAVDEAQQGRRPVALEESAAEVGVGDDAAIGGSRRSSSVMGSQKAKASGGLIIQWADD >Et_9B_066043.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21196585:21198033:-1 gene:Et_9B_066043 transcript:Et_9B_066043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPNPTPTVVLHACLGVGHLIPMVELAKLLLRRGLAVVIAVPTPPAATADFFSSTASAVAGLVAANPAVTFHHLPPPDYPAPDPDPFLEMLDALRLTVPALAAFLRSLPSVAALVLDLFCVDALDAAAAAGVPAYFYYTSSAGDLAAFLHLPYYFAATATDGRSFKDMGKEELLRLPGVPPIPPSDMPHTVLDRADRTCATRVAHYGRIPEARGGLLNTYEWLEAQPVRALRDGVCVPGRPTPPVYPIGPLIVGAKEADVEGGRRHPCLSWLDAQPERSVVFLCFGSLGAVSAAQLTEIARGLESSGHRFLWVVRSPPEDATKFFVARPEPDLDALLPEGFLQRTRDRGMVVKMWAPQVDVLRHRATGAFVTHCGWNSVLEAASAGVPMLCWPLYAEQRLNKVFVVDGMEAGVVMEGYDEELVKAEEVEKKVRLVMESEEGEKLRKRMALAKEKAAEALADGGPSQMAFEEFLKDMKKLAK >Et_1B_012919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4094585:4105393:1 gene:Et_1B_012919 transcript:Et_1B_012919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSHGPRQPMSPAVSASVVLPQQRQMQLHHHPARPAIADLFTLYLGMNSRQRAEDPGRESSNKLQKRVTALNRDLPPRDEQFISDYEQLRMQFPDQEQLQSVTESVLISFMLQCSNHAPQSEFLLFATRCLCARGHLRWDSLLPALLNTISSMDAPVGQGSSVTVGGPVTPSSSTITMPNAPHFHPSTPASPLSVMNTIGSPTQSGIDQPVGANVSPIKAAEFSSPGQLITAVRGDQSRRGAEISYLHHLCCRTILAGLESDLKPATHSVIFQHMVNWLVNWDQRPHGMDDAEAFQTWRLEKPLHEWMHLCLDVIWILVNDNKCRVPFYELVRSNLQFLENIPDDEALVSIIMEIHRRRDMVCMHMQMLDQHLHCPTFATHRFLSQSHPSIAGESVTNLRYSPITYPSVLGEPLHGEDLANSIPKGGLDWERALRCLRHALRTTPSPDWWRRVLLVAPCYRSHSQQSSTPGAVFSPDMIGEAVADRTIELLRLTNSGTQCWQDWLLFADIFFFLMKSGCIDFLDFVDKLASRVTNSDQQILRSNHVTWLLAQIIRIEIVMNTLSSDPRKVETTRKIISFHKEDKSLDANNVGPQSILLDFISSSQTLRIWSFNTSIREHLNSDQLQKGKQIDEWWKQVSKASGERMIDFTNLGERAMGMFWVLSFTMAQPACEAVMNWFTSAGMADLIQGPNMQPNERIMMMRETYPLSMTLLSGLSINLCLKLAFQLEETIFLGQAVPSIAMVETYVRLLLITPHSLFRPHFTTLTQRSPSILSKSGVSLLLLEILNYRLLPLYRYHGKSKALMYDVTKIISMIKGKRGEHRLFRLAENLCMNLILSLKDFFFVKKELKGPTEFTETLNRITIISLAITIKTRGIAEVEHMIYLQPLLEQIMATSQHTWSEKTLQTTVINQCNQLLSPSAEPNYVMTYLSHSFPQHRQYLCAGAWMLMNGHNEINSANLARVLREFSPEEVTANIYTMVDVLLHHIQLELQRGHLVQDLLSKAIANLSYFIWTHELLPLDILLLALIDRDDDPYALRLVINLLERPELQQRVKAFCNSHSPEHWLKDQPPKRAELQKALGNHLSWKDRYPPFFDDIAARLLPVIPLIIYRLIENDAPDIADRVLAHYSTLLAFHPLRFTFVRDILAYFYGHLPSKLIVRILNVLGVSTKTPFSTSFAKYLGSSNSTVCPPPEYYANLLLGLVDNVIPPLSSKSKSNPSDSTSTTFNKHHPSSQAGGISNTDGQRAFYQNQDPGSYTQLVLETAAIEILSLPVPASQIVSSLVQIIAHVQAMLIQSNSGQGMSGGLGQNSGLPTSPSGGGAESTGPNQANNTASGMSATNFVSRSGYSCQQLSVLMIQACGLLLAQLPPEFHMQLYSEAARIIKDCWWLADSSRPVKELDSAVGYALLDPTWASQDNTSTAIGNTVALLHSFFSNLPQEWLESTHTVIKHLRPVNSVAMLRIAFRILGPLLPRLAFARPLFMKTLALLFNVLGDVFGKNSPASAPVEASEITDIIDFLHHAVMYEGQGGPVQSTSKPKLEILTLCGKVIEILRPDVQHLLSHLKTDPNSSIYAATHPKLVQNAS >Et_8A_057953.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:129296:131272:-1 gene:Et_8A_057953 transcript:Et_8A_057953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPCAPRLCFCFFFFGFLFPLGAAAAAQELVPSSSDIAVLLEKVKPALQGSTPNAQLATWNASTTPLCLWRGLRWSTPDGRPLRCDSSAANVVLTSIRLPAAALAGRLPPELGAFSGLESLYLGANSLSGPIPLELGNAPALSALDLSGNALSGALPTSVWNLCDRVTELRLHGNALAGAIPAPAGPNTSCDSLRVLDLGGNRFSGEFPSFVLAFRGLERLDLGGNRLLSGPIPAGISPQVRALNLSYNNFSGQVPPGLWPLESFVGNNPALCGPPLRECVPPSTSSTRTGGLSSPRGVAGMLIGVMAGAVVAASLCIGWAQGKFRRKTGEEEEEEDDKEGEGRLVVFEGGEHLTLEEVLNATGQVVAKASYCTVYKANLASGAGSIELRLLRDGCCFNSGRVSVEARRIGRARHENLVALRAFYQGRRGEKLLVYDHFPRATTLHHLLHSAGAGDTLTWPRRHRIALGAARALAYLHSSFSDAHGNVRSSNVLVVPADDVSVSRLAEHGLHRLLVPAAAEAKADGYKAPELRSMRKCSARTDVYAFGILLLELLTGRDPAAADLPSLVKAAVMEETPILEDLLDAGLHHLKHRPPNHDHLLQALKLAMGCCAPVPAARPTMPEVLRKLEEIRPKNYPLYSPAESRSDAGTPSTAVA >Et_6A_047527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:756086:756612:1 gene:Et_6A_047527 transcript:Et_6A_047527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERELMEKLDLDIERKDRTMKEMAHEANEEICKHRESRPTVSLGDAKGDRTAAGRCPGDNHPPCLLN >Et_6B_049324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3273787:3278095:1 gene:Et_6B_049324 transcript:Et_6B_049324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGKAATLLLVVYAILFPQIVSGTYPTCTGVLSLCGEARKGGPLVGEPFSPELRHSQQAGEPEKGRFRGKPAPSSAEGNGRNPSRRRRPQASARAPPALPSPEQSAGQSHCLLRGRRRQGLLPAATPVLPGSIVVAVRPPPDSAPHGQIDAIHGQIDALHGRIQRPGSDRSHIHHLPSRRFAGCCSVAVASQCLWVTVAALGHGGQAHRSHSGAAAVLMRGHGGRASSRTAQDVGTGRHSSNITRPRTRTTVEHVAYSGYGAGTLGGWACS >Et_4B_037744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23053897:23055677:1 gene:Et_4B_037744 transcript:Et_4B_037744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAMLGQSLWSLSLVLLDGYALRSGMDLTTNVQLRVFLVGDWATALLSFAASSSSAALVVLLERDMHACRNYPQLSCGRFILATVFACLAWAFSAISAVVSFWLVADTVASATFCEFNGLFCCSV >Et_8A_057716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6425596:6428641:1 gene:Et_8A_057716 transcript:Et_8A_057716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDVVETTLVAPSEDTPRRELWLSNLDLAVPKTHTPLVYYYPAPTATEEGGFFAPERLKSALAKALVPFYPLAGRLGVGEGGRLQIDCNGDGALFVVARADFTGEEIFKDYEPSPEIRRMFVPFTPSGDPPCVMSIKTCDLPSWTCAFVQVTFLKCGGVVLGTGIHHVTMDGMGAFHFIQTWTGLSRGLDVAEACGPQPFHDRTLLRPRSPPTPTMDHPVYSPALLNGRPRPFVTRVYSVSPKLLADLKTQCAPGVSTYCAVTAHLWRCVCVARSLSPGSDTRLRVPANIRHRLRPPLPRSYFGNAIVRDLVTARVEDVLARPLGFAAQAIKDAVDRVDDAYVRSVVDYLEVESEKGSQAARGQMMPESDLWVVSWLGMPMYDADFGWGTPRQSATRLQIHCKE >Et_10A_002084.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21662292:21664067:-1 gene:Et_10A_002084 transcript:Et_10A_002084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLEMVYDGVPCDAFTLSVALRAASSLGRVGLGHQLHGCMIKMGLVGNEFLENCLIGFYGRTGELRLMQKVFDEMDGKDLVSWNTVIQCYAGNLLDDEAFSHFRAMMFEYSECDEFTLGSILHVVTRRGAFDYGMEIHGHLIRAGLDSDKHVMSALVDMYVNRATLQKRHRMFPLRMLRYYMSVQGKLDQFIVASSLKSCASHLDLASGRMLHACILKFDKNPDSFVTSSLIDMYAKCGALEESQLLFSRTKDPGTAAWSAIISSNCQNGQYERAMNLFRRMQLEHVQPNEFTYTAVLTACTALGDVASSMEIHGSSIRNGYEANTSVVKSLISFYLRQGMYHQALKLCMSLSNHDISWGTLVQEFAQDDDHVGIVNLLRVIQRSGVELDHKTACLILSSCEKLALLDEGLQAHAYITKRGLASTACVNNHLIDMYSNCGNLRHAFDAFNYMSDKNASSWTSIIVANVENGCPETAIRLFRQMLRKEKPPTSTAFLFVLKACSKMGLVSEAFKFFVSMTEVYKIQPSEGHYSYVIEALGRAGMFKEAEHFIDSVVPSESSASAWSLLCRAAEQNGNAETVKLAANKLAKC >Et_2B_021120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26613161:26614374:-1 gene:Et_2B_021120 transcript:Et_2B_021120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFAARDADVDLLVRRRGGRDLADRQGVRGHLRHRRIAEALDANADSPQMLTWPISKVAVLLVDPTGKKCLIERSSVTESVWSIFEKDITGSSIYKVALISEVYMLQAAAYSLVEVETGMKHDSLHFLEEHLYEQTVNRNLTEMPIEDLISRMGGPIFINDDEACLKTTSVVEYYHILPAPTALKP >Et_4A_033420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22508473:22509734:-1 gene:Et_4A_033420 transcript:Et_4A_033420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRLSELLQEQQEPFLVETAACGARRSRRLGRSGSGGCLPAGAGAAADACRRLLGLCNHGFKKRRNSGGGLRAALSKVLCGRAMRSVLRWEDLAGGGCGGREFRRLRREFSGECDPRRMEFGDDERGNRWKGGDMEEVDSSRQLSPVSVLDLHSDDDDESPVHSPWEDDKPSTSGSGSSPPSEAFLGPGSPCFTYNLTDKFCEMDVDENDEEMVGRNGRSIEEQISSWEKIAGDISRIPTMMELDLKQSMHQWREHRPEVAEIGTRIETLIFEDIRRETVCDMLASHCTLATPTSC >Et_5B_044477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2772398:2776984:-1 gene:Et_5B_044477 transcript:Et_5B_044477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYIVLARFVVFTGRVFVSWFGPTPDVCVADLAVARQVLTDRTGLFPKHRMNANLLRLLGEGLVLANGDHWHRHKKVVHPAFNADKLKVLHYYTGPGPLEFTTSHLDADPEARNMSMMTATMVDCARFMVSGWEAQLARHGTKEVVIELSDQFEELTADVISRTAFGSSYREGKQVFEALKELQFITFSTLFDVQFPVSRYLPTEKNRRAWKVDKEVRSTLIRIIRNRLAAKGKTGYGHDLLGLMLEACTPKRDGVPKLLSMDEIIDECKTFFFAGQETTSHLLTWAMFLLSTHPEWQDRLREEVLREYLPTEKNRRVWKRDREVRTTLMRIITNRLAAKDKAGYGNDLLDLMLEACAPERDGEPQLLSMDEIIDECKTFFFAGQETTSHLLTWAMFLLSTHPEWQEKLREEVLRECGGGRDHRTPTHGMLNKLKLASRLGRCPTFSLCRPTSFGPAKC >Et_6B_050131.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6958762:6959887:-1 gene:Et_6B_050131 transcript:Et_6B_050131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAEEETCMRALHLVSSFALPLTLRTCIELGVLETLAGAGGKALTPNEVAAKLPSKAKNNPAAASMVDRLLRLLASYDVLSCVVEEGDDGSLCRRYAAAPVLKWLTPNEDDGVSLAPFLLLANDKLFMHAWSFLTEAVLEGGSPFNRAYDTASWFDYAGTDPRFNGVFNKAMDEHSVILTRKLLEHYKGFDGVHTLVDVGGGVGSTIHAIVSRYPKIQGINFDLPHVIAEAPDDVQVRHVGGDMFQEVPSGADAILMKWMLNCHGDDDCARVLKNCYHALPPNGKLINVECVLPVNLEATDRVQGLVAVDVSLLVYSPNGKERYEREFVKLAKDAGFTSVKSTYIYANFWALEYTK >Et_3A_025048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26472044:26472653:1 gene:Et_3A_025048 transcript:Et_3A_025048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QTVPPLLHVLNFSGFSHTPTTLAAIPSAKLRLSPPTQPGRRSLRQPRSRKATFSTAAVRSFSTASLKRPPRTRDHGTQVCAARRRRADIQSDTYVLMEPGMDEEFVSREELEARLKRWLENWPGEDGLPPDLARFDTVDEAVSYLVRSVCELEVDGDVGSLQWYQVELE >Et_5A_041583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24695928:24696375:1 gene:Et_5A_041583 transcript:Et_5A_041583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACRIGRLLRWTIFSQISLQTRVVAVQERDKNENRDASTSSAASTDGNSFKWQKIWQLKLPNKVKMFLWWLAHNSLPVRKNLKRRNYMPSMQSLDESLVASDEPRPYPDIIHSVSVRKRSLAENLEPGSGAKNIK >Et_3A_023890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14057103:14060599:-1 gene:Et_3A_023890 transcript:Et_3A_023890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKVVCVTGAGGFIASWIVKLLLQRGYTVRGTLRDPADPKKTEHLRALDGSAERLQLFKADLLEEGSFDAIVEGCECVFHTASPFYNDPKDPQVELIDPAVKGTLNVLGSCKKASSVKRVILTSSLAAVVFTEKPLSPDVVIDETSFSIPELCEKAKEWYVLSKTLAEQAAWKFSNDNGLDLVTINPAMVIGPLLQSTLNTSAEIIVYLVNGSPVYPNFSFGWVNVKDVALAHVLAFETPSASGRYCMADKVVHFSDVVKIIHDLYPSLPVPNKCADDQPFFPTYQVSRDKIRSLGVELTSFEASLKETIQCLKEKGFVSF >Et_5A_041802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:308831:316682:-1 gene:Et_5A_041802 transcript:Et_5A_041802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSVPKPLLLLGLPSTTNRLLRVAPRAIGRPRALALAPPLRPRAVLSEQQPEVGMEAGTEGRPLRVGLVCGGPSAERGVSLNSARSVLDHIQGEDLVVNCYYIDSGMNAFAISPAQLYSNTPSDFDFKLESLAHEFHSLSDFAEHLAANVDIVFPVIHGKFGEDGGIQELLEKANVPFVGTPSKGCHRAFDKYNASLELSTQGFLTVPNFLVKKDKFAKSELDEWFASINMNKENGKVVVKPTRAGSSIGVVVAYGANEAAQKAEEIISEEIDDKVIIEVFLEGGCEFTAIVVDAGTADNREPVVLLPTEVELQSSSNTETQEDTIFNYRRKYLPTQQVTYHTPPRFPAEVIDCIRQGVSLLFRHLGLHDFARIDGWFLTAPVTSLPTSENSGKFGNTKYGTVLFTDINLISGMEQTSFIFQQASTVGFSHSRILRTIVQHACSRFPSLVPCNNAWTALSRKLQSEKQAEAIHKGTSKQKVFVIFGGDTSERQVSLMSGTNVWLNLQGFDDLDVVPCLLAPSNGYSSSLNQDFSESSREVWMLPYPLVLRHTTEEVHAACIEAIEPERVKRTSRLRNQVMKELTQALSKHDWFEGFDIADEQPIKYSLQQWINHVKEAKAVVFIAVHGGIGEDGTIQSLLESAGVPYTGPGPTASRTCMDKVSTSLAVDHLTSYGVRTIPKDLRATEDILKSSLVDIWNELKAKLLTETVCVKPARDGCSTGVARLRCPEDLEVYANALRRKFQRLPANCLSRAHGVIEMPVPPPQSLIFEPFIDTDEIIISNKSENGSVRHLVWKGENEWLEVTVGVIGKRGEMLSLNPSITVKERGDILSLEEKFQGGTGINLTPPPATIMSEDALQRCKKSIEIMANTLGLEGFSRIDAFVNVRSGEVLLIEVNTVPGMTPSTVLIHQALAEDPPVYPHKFFRTLLDLAFQRAN >Et_1A_009421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5066196:5069783:-1 gene:Et_1A_009421 transcript:Et_1A_009421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGGGGGREGNALKTAVIVAGGLALAWVTVETAFRPFLDRLRAAVSRSTDPARDPDQEEAPAAPPAPAAAEEEEEKAPAEPSAPPVPAEEGEKAADKVAELEEKLEEAAAKADFWYHVATVLDVTRIYELEGNVCYFISLPPQRI >Et_1A_009123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3084852:3085705:1 gene:Et_1A_009123 transcript:Et_1A_009123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRRRGWSPFDAIRSFPSTPESLMSQIDAAIASTEYARACALLDPAPASASSQPEPPPEGEAASAQGAAAPAACHDARVADEAYRAACAALGAGRPDAAVRSLRVALASCPPEKTAAVAKVRSMLAIASAQLHKQQHQAQQSRNDFFGGILRVYEQSYEQILITSSSSL >Et_1A_006524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23692400:23697811:1 gene:Et_1A_006524 transcript:Et_1A_006524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLCSPAVDLPPDDLLLEVFLRLPPEPRHLYAVSRVCRCWRRLVRSPAFLCRFRAFHRTPPVLGIFQNTPSSGGCFRFFPTNNPGAGLSQRQIFQDGACDVLDCRHGLVLLIDYDDEELFVWDPMDDEVETLSLPGELPEVDFAGAVVCAAGHEDHTDCHSCGPFQVILMEWRPDEAGDDEYYATGCVYSSETEEWSEWTSITFPADISCQASALVGDSVYWKLKLTEEGSQHVLEFDLSRQTWDTIELPDEVSEMYMSGIRIMPAEDGGLGFAGVSGSSIHLWSRMIVSDVVEEWELVRKIDMDTLTLSGVPAGDMLSWSSVVGYAEDSNVLFLKSDAGVFMINLERMQLKKVCHENNFNPFCERNSVIYPYSSFYTPGCDIAGIDVKDVRYGIKYERKKKRAASQVEAAGPSVSLPADNIRTSGSALTLEKVRRALPDMNDRLDRS >Et_4A_035595.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30466000:30466494:-1 gene:Et_4A_035595 transcript:Et_4A_035595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPTLAAPAPATVVVGARFCAPEATAFAVTKTISLTGRDFTVTDAAGSAVMQVEAEVFAFLRRSVLLDGAERRPVLTMRDAGLFMGAQWDVFRGDSTSRRNKLFSAVRSSDFQIRTKIYVYLAGKQAPDFVVRGSYYDGACTVCTGNSDVAIAQASSSCLL >Et_3A_023732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11408718:11410497:1 gene:Et_3A_023732 transcript:Et_3A_023732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCNPATQRWTVLPPRRLAPGAKSDYAGAYLVFDPAVSPHYEICGLIHSNPTGLHRVNSMPYIETVCLPLVLFKGKYQVLKTPVNYIKGVKPFQGRVKKIKTQMKGAKPYLGRLKNSVCYGIVYDSELRIWMLKESSGRTEWVLKYEIDIGVYADEVGSPLDKNGRKIYGSWMVEEDNRNVDDIAEKHDNGIEWDSDNDDTFTPKVGDKVGYGGRPYILGFHPSKKVVFLANWSFKIAAYHLDIILLVLSKGKYQGVKPFHGRVKNIKTPTTEMNGAKPYLGRIKNNLYYGIIYKRELRIWMLDESCGHNEWLLKYEADNGLYADQVRSPFDKNGRNLYGPWMVEEDS >Et_3A_026310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6733134:6744481:1 gene:Et_3A_026310 transcript:Et_3A_026310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGSAVRRLYLSVYNWVVFYGWAQVLYYAVMALRESGHEAVYAAVERPLQFAQTAAIMETHSHILVTSLVISWSITEIIRYSFFGMKEALGFAPSWLLWLRYSTFLLLYPTGITSEVGLIYIALPFMKASERYCLRMPNKWNFSFDYMYGSILALAIYVPGSAMAGVGSAVRRLYLAVYNWIAFFGWFGAITCLCNSSTNRIKIIYHVGHLVEAQVLYNAILALLGSGHQTVYGAVEQPLLFMQTAAFMETHSRLPVTSLILCWSITDIIRYSFFGLKEAFGAVPYWLLWLRYSSFMVFMPIAVVSEVGLIYVALPYMKASKEYCLRMPQKYAYILLTILHIPGFPHMFCYMLGQRKKALSKAKLIGLAISYFSSGNT >Et_1B_011728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25482688:25486433:1 gene:Et_1B_011728 transcript:Et_1B_011728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGCSASGERLVSAARDGDAVEARMLLELSPALARYSTFGGLNTPLHFAAAKGHLDIVTLLLEKGADVNARNYCGQTALMHACRHGHWEVVQMLLLFKCNVARADYLSGRTALHFAAHDGFVRCVRLLLSDFVPSVALKDIASSVVDGGDSQMNGGSSPNSSLGQKFNESARVRYINKPADGGVTALHMAASNGHFDCMQLLIDLGANVSAVTFSYGAGSTPLHYAAGGGSQECCELLISKGASRLTLNCNGWLPIDVARIFGRRYLEPLLSPNSNSSIPVFQPSSYLALPLMSILNIAREFGLQHTIPSVDDSDLCAVCLERSCSVAAEGCGHEFCIKCALYLCSTSNIRVEFTGPPGSIPCPLCRNGIRSFTKLPSTPTGGLKSSSSLTFCNPCILNTRSVDSPATISKSEIRRNRVAAVSSELVCPITCSPFPSSALPTCRCSDDDPCDDATEAQDGSEAQSPRPSHSASMELDKRGGDDLDRTSCSGMFWSRRSCHREQQCNAEINA >Et_4B_039534.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:20960123:20960425:1 gene:Et_4B_039534 transcript:Et_4B_039534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRKKSGGGGESPSRGYSGPDEEEKVPKGHVPMVAGGEDGDGERVMVPVRLLSDPSIAELLDMAAQHYGYGQPGVLRVPCDAGHFRRVVDGAMHRCGIS >Et_10B_002351.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:10670240:10673627:1 gene:Et_10B_002351 transcript:Et_10B_002351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASSGAAAARCRDPTADPSSPYFVDAEHPYAAAAASALTSHRAKSKWSHLSSVPVPSPLPASAAAAVLLLLRRRPHTALRFHAFALRRLLPARSPPPLLFSASAAHFAAASRLRGAATRHHSPAEIFNALAATYRRFASAPFVFDLLLLAYLRSRRDALAAASVARRILAAGARPLPSTAAALLRSLPSAAAALDMYNQIYTHPSPKPNRLLLPTVHTFNSLLLALYREGSCHEFDTVLKEMDKYSCKHNVCTYNIRMAGYCDRGEVEKARELWNEMIQEGIQPDVTAYNTMIGGYCRAGEVGMAEEMFKDMEIGGIDPSATTFEWLVRGHCKAGEVDSALLLHADMRRRGFGMAAEVVEEFLDGLCQNRRVENASCIMREEMRREEFEPTRRSYEVLITGFCDEGEMDVAIRLQAEMAGKGFKAGREVYNAFIRAYEKSEDYEMVERLKKEMAILSTEDSGMKHVAYLFSVLFLYSWIFFFFFFGGGGGRNA >Et_2A_016034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20345893:20349778:-1 gene:Et_2A_016034 transcript:Et_2A_016034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMLAAQVAATPVSLSPSPKPGFGLQLRPSPAASALSLVPRAASSATAVSAKPAAVAPVASERSVVRIGLPSKGRMAEQTLSLLKSCQLSVKQVNPRQYTADIPLIPNLEVWFQRPKDIVRKLQSGDLDLGIVGFDIVSEYGMGNDDLVVVHDALAFGHCRLSLAVPKEGIFENINSLEDLCKMSEWTEERPLRVVTGFGYLGEKFLRENGFKHVKFLSADGALESYPPMGMADAIVDLVSSGTTLRENNLKEIEGGVVLESQATLVASRRSLHKREGVLEVTHELLERLEAHLRASSELMVTANMRGSSPLEVAQRVSQTSICGLQGPTVSPVYSTDGKVDVEYYAINVVVPQKLLYKSIQQLRSIGGSGVLVTKLTYIFDEETPRWRNLLTELGL >Et_4B_037956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25060792:25063195:1 gene:Et_4B_037956 transcript:Et_4B_037956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLDMSALETQFGAPAGEDGGLLFDVDGAAMPAMEFPNCPDFDRFQAKTKDMVKNKRGTTTLAFIFDKGVIVAADSRASMGGYISSQTVRKIIEINPYMLGTMAGGAADCQFWHRNLGTKCRLHELANKRRISVTGASKLLANILYSYRGMGLSIGTMIAGWDEKGPGLYYVDSEGARLKGNSYRFKMSVEEAGELARRAIYHATFRDGASGGCVSVYHVGPDGWKKLSGDDVGELHFQYYPVQKTPVEQEMTDASTS >Et_8B_058748.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20285343:20285732:-1 gene:Et_8B_058748 transcript:Et_8B_058748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTKIMHSTASSSKAPPATTEEISAESALLDIEKRIKNAPAAGREKRRRRAVVAAAIVDVCLAAAALAGAAVLTWWSIAFHPSFAHLWMVPVGLVLACTPIVVCVALHFSSRADTRAPPLSAVVVDKL >Et_10A_000945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19462576:19483416:1 gene:Et_10A_000945 transcript:Et_10A_000945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKYLKTPMTSMAKDAQSRGPMPVTATYCLYIANTVPSNLKRVLTTSRLESLLSSKERAVMLSGPPRWIRKKGSHSATSCCSRGVLPKVSLARAAVATQSATRDATHKTSVPGLVASRRRTPASRARTTWANKLPTGATRITHSKSNHPGSPEKKKKKKKSSRSRSCSCASNADILILVIIETVEATLKPCPFVQDLSLFLRIRAQYTATWKLAHFQDVSNVTRNRTRENKRDNARGAGLPSRTDVLPSTSFTLRQSPVQNPSKTSPNPPMATPPLSPEPEEEEARELLYEAYNEVQALAAELGGTAVPAPAVVVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPIALHLRFNPRCDEPQCRLVAGADGGDEAGVAGRPMPLADIQAYIEAENLRLENDPCQFSEKEIIIKVEYKHCPNLTIIDTPGLILPAPGRKNRILQTQASAVESLVRAKIQHKETIILCLEDCSDWSNATTRRVVMQVDPDLARTVLVSTKLDTKIPQFARSSDVEVFLHPPSCVLDVSLLGDSPFFTSVPSGRVGSCHEAVFRSNEEFKKAISLRESEDITSIEDKLGRSLKREEKDRIGVSTLRLFLEELLRKRYIDSVPLIIPLLENEHRNATRKLREISQEISGLDEVKLKEKAQLFHDSFLTKLCLLLKGMVVAPPDKFGETLINERINGGTFTGSENFQLPNKMMPNAGMRLYGGAQYHRAMAEFRLVVGSIKCPPITREEIVNASGVEDIHDGTNYSRTACVIAVAKARDTFEPFLHQLGFRLLYILKRLIPISVYLLEKDGESFSSHEVLVKRVQAAFKGFAESTEQSCRERCMEDLESTTRYVTWSLHNKVPVFTHKELRLCSISMLTSFLYWMQNRAGLRHFLDSFVAPEQLSVNTQDDSKQDRPKRDLKSSQSSDSNSSGTVPETRLVDLLDSTLWNRRLVPSSERLVYALVHQIFHGIKEHFLVTTELKFNCFLLMPIVDKLPALLRQDLESAFEDELDNIFDVTQMRQSLGQKKRELEIELKRIKRLKEKFGEINKKLNSLPVRQ >Et_1B_010250.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:32117615:32118112:-1 gene:Et_1B_010250 transcript:Et_1B_010250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSSLSTILGLFLPTSRRRASGCCGGCPAPRTTRWTGTSAGCAAASTPSSPADGEIGPRGSRTAPPPHRWTSLRRCWTRWRAAAARISSRWRTGTSARWRTSTSTAFMLSSVLYLVKEKLLREVDGFFAPRRGRAAPDADELQSRFPYSTRSYNGTTQELQKL >Et_9B_065694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9253110:9257552:1 gene:Et_9B_065694 transcript:Et_9B_065694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAKAGNGYLGVTEPISLSGPTEKDVVQTAEVEKYLTDAGLYESQEEAVSREEVLGKLDQTVKAWIKKATRLSGYGEQFVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATRNEYFFRWLHDMLAEMPEVSELHPVPDAHVPVLGFKLNGISIDLLYANLSHVVIPEDLDLSQDSILYNVDEQTVRSLNGCRVTDQILRLVPNIPSFRTALRFIRYWGKRRGVYSNVMGFLGGINWAILVGRICQLYPNASPSMLISRFFRVYSKWKWPNPVMLCHIEEGSLGLPVWDPRRNFRDRGHQMPIITPAYPCMNSSYNVSVSTRYVMVQEFTRAFEICQAIDEGKADWDALFEAYPFFESYKNYLEVNITASNEDDLRNWKGWVESRLRTLVLKIERYTHETILAHPYPRDFSDKSRPLHCFYFIGLWKKQANQAQEAEPFDIRGIVNEFKTSICAYQHWKEGMDIDVSHVKRKEIPLFVFPGGVRPSRSSRTTNRISRAVPRHDVSADGQVGNPLSTVNFSDAQPAPYEGSNMKKPEPDSSGSYQFLGDTSVLPSSLPYKEALNGHANFNTESVQDEHPGQYQGSMSAPVGNTVCNVYNQPNSMLPNSSNDWQTNEFGSFSRSSQRETPDSAANILPNLSPPIPVAPDELDELTSYQGTAIQKDATIDQRQFSDGCSEKSQGQTSTLSSHGYNNLKRKATEELEVLYLFISLFYECLVCNTNLEK >Et_8A_058196.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23606651:23609299:-1 gene:Et_8A_058196 transcript:Et_8A_058196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHHARASYLLFFLLRLRIRSSRSSLLLLFLVLLAGVLDGCSATRAFSTVAISHAPNSTLICALVRKSDADATASGGSGSKLHCTSLPGGEQYEYPSADIPYNAIAAGTDFLCGLMAPHGGHAAMRWWSFSEEAAANRSRPVGRRLYWGPSLRALSAGGPHVCGLSDDHDPACWEWPDLKLPKGLDFSRIALGQDFLCGVVNGNDTAMSCFGGMRTPSLLAPSPAAFTTVAAGHQHACAVDAEGGFVCWGDGAPRVPANELPESMLAMALGRNTTCILAGDNTARCWGAVRVPARYAGTAFVALEADGDAVCGIVMSNYSVVCWGAGDRFVDGGAHLVYNDTMPGACVPRKNCPCGLMPGSGALCGNGGGEGSVELAICQACTVPLNASRIVIANGITTNPTPATDQGGGEKKKKLAVALGAAGAGAAVLAVVGTAFYLVVVRKKLDISKKKKHAVIVRRLGGESSSSRLCRDLEAVVMPAPQASPPRPSRPLGCEEFTLRELSRLTNGFAEESKIGSGSFGSVYRGKLPDGREVAIKRAERNNGSGGGTTRRRGLRRVDAERAFRSELQLLSRVNHRNLVQLLGFCEERGERILVFEFMPHGALHDHLHGAGGDLSASPLFASWEARLRVALDAARGVEYLHCYAVPPIIHRDVKPSNILLDADWTAKVSDFGLSLASSGGGGGSGSSKTSTTTTSTAGTVGYMDPEYYRLQELTERSDVYSFGVVLLELVTGRKAVHRTSQHEGGSGSPRNVIEFAVPAVEAGNIARILDGRVPPPRGHEVEAVARVAKIAAECVRPRGRARPIMSEVVAELEWAVTLCEECVLTAGQGQGQYSSRNAGSDLSRSESDDPSPFQSRDLGFGFGLSTSRSVTHGRSHSAV >Et_5A_041230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:187109:190933:1 gene:Et_5A_041230 transcript:Et_5A_041230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AESRSATRQEGGGGATIQSPTTSNSNPFPGSTPRRGQQAAVMQHRRKSASAPAPAAAKQAPPRRPSASLSVAGLVVCIFLVATFLYNEDVAKPASSSSSSTNRSDLPLPDGAATTTTSGRDLQEASHLQEVNAHHTDNHLREDGWWTYDAAGEQVPLYREAECEFLTEQVTCMRNGRRDDSYQRWRWQPTGCDLPRFDAHLLLERLRNKRLMFVGDSLNRNQWESMVCLVQSVVPRGKKTLQKFVNNGSLNVFTAHEYNATVEFYWAPFLVQSNSDDPQVHSIVDRVIAWRAIAKHAKNWKGVDYLIFNSYIWWLNTFEMKVVKGGGRHHQDPSVQPGGWSKYALVDRPIAYREVLKTWAKWVDRHIDPNKTTVFFMGMSPNHVVPWVWGNPGGIKCAMETQPIVNWTKPLDIGTDWRLHGAARGVMARYLTRVPVEFVDITALSELRKDAHTSVHTLRQGKLLTPEQQADPKTYADCIHWCLPGLPDTWNHFIYAHIVSRPGGHSSSSSSSHR >Et_5B_043975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18462793:18466821:-1 gene:Et_5B_043975 transcript:Et_5B_043975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPQAVSAPPSAAAGTPNPGKRKRPSKGGKRKKKKLARSDEPLRRRTNKPSAKFLKLLKKRARDYNSDDEEEDKQQEEEPPSSRRSRHADHDEEEAHSGDEEEAASSSGDESGGTGAGGVTRFEQGCRAFRVAFLKIMSKKLPDDPLGPILAANKKLVAAKLAEEVEEHKPKAEARKEKREAAEKGHVLPNELLDSHDKELMKIATQGVVRLFNAVSKAQKPRKDLNPSSTRDAKVLAKERKKTFLRELESTSHQDKKSQASSSFSKHIAKDDDEPGWAPLRDTYMLGSKLKDWDKMQDSAAASEQTKVPVGDSSDEE >Et_8B_059698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20798923:20802197:-1 gene:Et_8B_059698 transcript:Et_8B_059698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHATAHGPDHTCPRPAPLLVFLAVLATTYLALTRLPAAAPLAALIAPRPEPADRDSCAGFYRGAGASARSVSASVEEFGAVGDGVTSNTAAFRRAVAALEERARAAGGGGGARLEVPPGRWLTGSFNLTSRFILFLHDGNNGTIDGQGKMWWELWWNRTLNHTRGHLIELVNSTNILVSNITLRNSPFWTVHPVYCRNVVMKDLTILAPLNAPNTDGIDPDSSSEVCVEDCYIESGDDLVAVKSGWDQYGISVGKPSSNIVIQRVSGTTPTCSGVGFGSEMSGGISSVLVRDLHVWNSASAVRLKTDVGRGGYITNITIVNVTMEKIKVPIRFSRGADDHSDDKYDRSALPRISNVLISDVVGVDLQRAPMLEAVHGAVYEGICFRNVSLKGIRRRDGWHCESVYGEAHEVFPAPCEDFRKNVSSSWCGLS >Et_4A_035725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4438726:4442293:1 gene:Et_4A_035725 transcript:Et_4A_035725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKQDEAASSTPASNLDGRRLLAMPLGAPSSSRPQAPTATPAVRSLLPRLPPSSPPDFMRTATPVERPPQTLNPPQPRPQELLPNASHRERLLQEFEVVRLHSQLLGYRGGEFGDIASSLLPSPQQVLAPTASSDELREGELQMLQAVRAVLLHDDDDDEVARRDVRRPGGSVRVPYSHHDLPVTSPYRPMAPIGSQRKPSAVPYDSSKFIEQSSSSSSVSPYPPQLGAAPALSARHDHASFDPYASTLLTAPRDNQSDSLSLGRAPAIGPLHWLDEPNARHFYSKLKPGNVSAGPLKASDLSAGARPFLPRQDRQHLEEIGEYLVCAPMNPGFAMSFESKFVVLLLKEGDERVRESVFKGVKRAIHKMMKSKEGHSVFIALLQACKDSFDALQGVIKVSCNGKGYLMEAASNQYGVDEIDRVMSSDAGFKSLEVCLKNARNEELADIEEVIWRRTGQMAKGTYSCNFLKRAVESGSNHFVEHIAEQLLQDITDFTMHPRARFVVMACFIQKGSPALQERLIAMFLSLRDDQLAQELLLSRPGAASKGWK >Et_9A_063112.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:10489385:10490191:-1 gene:Et_9A_063112 transcript:Et_9A_063112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSSASNYFCMAPIFSACVPSGKQNASSDAAGKSRLSFSFPDRSLAVAGGKQPQQTTTEEQNSESIIDPAASVIARKEGGGGGRQGQHCTVIVGTIFGRRTGRVTFCVQRAAAAPPPFLFELSVPMQSLAAEMASGLLRIALECHRPGSCKAAAHGGGGRSVAWKASCNGRDVGYATRRRPTEWDRRVLESMRNMTTGVGALPPDLVAAAAEGEQQDGGGEVLYMRATYERVVGSRDAVSFHLISPAGGGSPPQELSVFLLRTRGD >Et_2A_018813.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8025426:8027609:1 gene:Et_2A_018813 transcript:Et_2A_018813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFVLLVLGLVPALLLQLAVADAAALAVSASATAAAKNVTIDSTTLSFADLTLLGDSFLRNGSVGLTRETGVPSSSAGSVLCTQPVAFRAVPARGNSTTTTNATTTASFAARFSFVIADPNAGAAGGDGIAFFVSPDRATLGGTGGYLGLFNSSSPAKNGSAAIVAVEFDTIANPEFGDPSGNHVGLDLGSPVSVAAADLAAAGIDLRSGNLTTAWIDYRAADRRLEVFLSYAPAGKPNRPVLSVAVDLSGYLREEAMYVGFSAATEGSTQTHTIMDWTFRTFGVPSSGANGSAATANNVSEQAVPGGEVTVAAAPRKKRVGLALGILGPVALAVSFVFFAWVSVKKLLDLTSRNRNNDAAAFSPEELLKGPRKFSYKELSIATRGFHASRVIGKGAFGTVYKAAMPGSAAATSYYYAVKRSTQAHQGRSEFVAELSVIACLRHKNLVQLEGWCDEKGELLLVYEYMPNGSLDRALYGDPCTLSWPQRRTVAAGVASVLAYLHGECERRVIHRDVKTSNVLLDATLSPRLGDFGLARLMDHDNKSPVSTLTAGTMGYLAPEYLQSGKATEQTDVFSYGVVLLEVCCGRRPIDRDDAAAGGGGKGNNVNLVDWVWRLHGGDRLIDAADARLNGEFDRDEMMRLLLVGLSCANPNCEERPSMRRVVQILNREAEPAPVPRKKPLLVFSSSASMKLQEIAFSCGDEVRGCYSATNQATSPRSESGDIER >Et_1B_012333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3150303:3152995:1 gene:Et_1B_012333 transcript:Et_1B_012333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITVPAAIPSVEEDCEQLRKAFAGWGTNEKLIISILTHRDAAQRRAIRRWYADSYGEELLRALSDEIHGKFEKAVILWTLDPAERDAELANEEARKWHPGGRALVEIACARTPAQLFAARQAYHDRFKRALEEDVAAHVTGDFRKLLVPLVSAYRYDGPEVNTSLAHSEAKILHEKIHKKAYSDDEIIRILTTRSKAQLLATFNSYNDQFSHPINKDLKADPKDEYLATLRAIIRCFTCPDRYFEKVIRLALGGMGTDENDLTRVITTRAEVDLKLIKEAYQKRNSVPLERAVAKDTTRDYEDILLALLGAE >Et_6A_048136.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7434942:7436435:1 gene:Et_6A_048136 transcript:Et_6A_048136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFLHVREVSRRLVQASDPSDAPQVLAVSNLDLLDTDYPVTFVCVYPSPPAGSFDAVVATFEAALPSFLNHFFPLAGRIVRSPATGLPEIHCNNEGAEVVVGDAGGVPLSSLDLSSMGASLCRLRLPYDDRAVALSVQLVSFACGGFSVLWSSSHVVADGCATCMLIDAWSRFVRNNGALGVGGVVPFHDRGSVLQPRSPPSYGPSFGDAYTPDTPEHRVNVLANQSFVERLYLMEARDVERLRRAATREGEGCAAARSTTRMEAVSAFLWKALAGVVGDAGDARCRMGWWVNGRPHISPSHRPGIGHDAAMHGYFGNAASFAVREATVEEILRSPPPDVASLVREAVAATANPEHFQELVDWLEEHKPQKYVEAPIVGLGSPTLAVSWFASFRPDTDFGFGRAALAMPMVIRGRDCSGYMAVAARPGGDGDLFVSAFLWPRLAAALESDAHGILKPLTPENLGFFTRKNASSFVRPHVTTRDLMAEKQTTTKVI >Et_3A_026534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9362051:9370551:-1 gene:Et_3A_026534 transcript:Et_3A_026534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFDSGGGVKAGGDAGDDGAAPPLPQTLCSKVTANEVLPLPMSMRGHRVHASMKQVQVGNSPTYKLERKLGKGGFGQVYVGRRISSPRLGDRNPGASSLEVALKFEHQASKGCNYGAPYEWQVYNTLSGNHGVPRVHYKGKQGEFYIMVMDMLGPSLWDVWNNSHSMSVEMVACIGIEAISILEKMHTKGYVHGDVKPENFLLGPPGTLEEKKLFLVDLGLATKWKDAGSGQHVEYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGFQGENKGFLVCKKKMGTSPESLCGICPQPFKQFVEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKKRGRLTVDENDDEQPKKKIRMGMPATQWISIYNARRPMKQRYHYNVADNRLLQHIQKGNEDGLFISSVASCSNLWALIMDAGTGFSSQVYELSHYFLHKEWILEQWERNYYITALSGASNGSSLVIMSKGTQYAQQSYKVSESFPFKWINKKWKEGFYVTAMATAGSRWAVVMSRNAGFSAQDKWSKNLYLASICYGRSVS >Et_5A_042704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26342979:26344532:-1 gene:Et_5A_042704 transcript:Et_5A_042704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTKVIESDRIDAAAVKILNLLKEDPNTARRISSRNNVFYFDGWDGLGASAVLRAIARHLTAKLKEEPAGTSVSAKLELDQVMHIDCSKWESRRTLQRTVAEQLELPAKVMEMFDKQDEEDDFHGVAQDSRTEVENVTRVMYEHIQKLNRNFLVIFHNGSREEIDLPSLCGFPLSGYSTNKVLWTFQGRFRLKPQAKVDSAMKSAGTTDAFLSAIPLTKDPQELWPYLVHEEAEELVAAQHKISTVPHNNIDQPALVEECLLYTLELCSRGHQSIDYDFTTHGANYWICDGIIKQCPQKESDIDDADTDADDDDGLWTTANALRCEVQLDAEHHQVSPSSHLARFVETKPYWASPTYGFFPSDPDP >Et_2B_020335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19092473:19093726:1 gene:Et_2B_020335 transcript:Et_2B_020335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMISIDQIPVILTTSPKVKAVVGADAIPSVDLSSATGAAAPVVDACRRVGFFRVTNHGVPAGLADALDASATAFFALPAQDKLGMFGYGSKSIGLNGDAGWLTSSSPSAPATPSPSPPSGKIIPLPAGILLARAREGRCLLAADGRLRMHDICRTALEDYAAAVRELGGLVLELVAEGLGVDDRAVLRRMVAAGEGMVRVNHYPPCPPARELPGGYGMTGFGEHTDPQIISLLRANSTPGLQIKLADGSWAPVHPDPESFFGIVGDSLQVLTNGRFRSVKHRVVAPEGTLSRLSFIYFGGPAPSQLIAPLPQVMREGERSLYREFTWADYKKAAYKTVLADHRLGPFELPAAPIHNTE >Et_7A_051196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15397963:15405757:-1 gene:Et_7A_051196 transcript:Et_7A_051196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITYPNTFVAGLYNSTAIRHAPSHSGQLSNDETGAKAMERDVEGMDPLLDWRLWSLDYLLDAPAGDLVVLPRVRDASASPASNARRSHPKQRDTQASSRRRRRRPSSRDGSSFLAIRNEPYVTCVVVLATLQLFLHLVARADATALLLPALSRMATGRRATGRRPRAGAGDERRRRPRVRVRDAAVRQGRHVRRQRGVHRLVPARGPRWHGDGLVSTDHHAAAMFAAACAVSCGLGWAWGALFWAAPGPGEGGGVRSAGRAAARVALGLAQMQMPGGAFLLNNSGGTADYGGRLTVPVVVTCLMAASGGLIFGYDIGISGGVSEMESFLKKFFPGLLKATAYGSKKDVYCMYNNQALTAFTSSLYLFGMVGTLVASRVTRRVGRQAIMLVGGSLFLAGALVNAAAAHVAMLIAGRMLLGLGLGFSGQATPVYLAEVSPPRWRGGFISAFPLFISVGYLAANLVNYGCSRIPGWGWRLSLGLAAVPAAVMVAGAALIPDTPSSLVLRGRHDAARAALQRLRGKGVDVGAEFGDILAAAESDRRNEEGAFRRVLRREYRPYLVMAVAFPVFLNLTGVAVTAFFSPILFRTVGFESDAALMGAVILGVMNIGGILASGFAMDRYGRKMLFVIGGALMFTCQAMHMQTPHILHSSVLRYKHTADNVNKEELL >Et_1A_007647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36873230:36880107:-1 gene:Et_1A_007647 transcript:Et_1A_007647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITQCPVLPNHNFTYRFNVTRQEGTLWWHAHVPGLRATVHGAFIVRPRHGVGSYPFPKPHREVPIIIGEWWEMDLGQVATDWWNNTLFESNSASTINGKLGDLYNCSGVTEDGFLLEVEPGETYLLRLINAALFNEYYFKIAGHRFTVVASDANYVNPFYTDVIAIAPGETVDALVVADARPGRYYMVALPNQPPKPNVQGPIYISRWLVDYSFGNGGSPVQSSSNRATDKEEGAPSSVNNLPVVSPEMPNQNDMVASFYFRGNLTSLRHPRVPPVPTQVDERIFITLALGSVCRRGQVCKRGSINDEAIVVATMNNLSFELPTAMTTSLLEARYYNITGGMDVLRELPVMPPRMYNFTDRALVPFGPKEAPLEPTSKATLARRFRHGAVVDVVFQSTGLMQSESNPMHLHGHDMFMLAQGLGNYDAAKDMARYNLVNPPMKNTVLVPSLGWVAVRFVADNPGIWYMHCHFEFHLSMGMTALFIVEDGPTVNTSLAPPPADFQTCGHDQSLMLRKLGIEKRRSMKKGWSLPAVAATVFLFLSATMELPASSASNVEHTFIVSQMNLTHLCKDTLVTVVNGQLPGPTIEVTDGDSVVVHVINESPYNVTIHWHGVKQRLNCWADGVPMITQCPAPPNHNFTYRFSVTGQEGTLWWHAHVPGLRATLHGAFIIQPRHDAGSYPFPNPYKEVPIIIGEWWEMDLAQVATDLSNSSLFDFNSASTINGKLGDLYNCSGVAEDGFVLEVEPGKTYLLRLINAALFIEYYFKIAGHRFTVVASDANYVNPYDTDVIAIAPGETVDALLVADARPGKYYMVAFPYQAPQPNIQGPVYITRGTIQYSSGNGTSAEEGGGPSIDVPVTPEMPDQNDMVTSLYFRGNLTSLRHPQPPTLIDERLFITLALGSVCRRGQVCARGSDNDEVITVATLNNVSFELPTATATPLLEAHYYNTGGMDALRELPARPPRAFNFTDPDPRRRGWSRRPRRRWRGDSGTARWWRWCSRARR >Et_6A_047364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4406068:4409441:-1 gene:Et_6A_047364 transcript:Et_6A_047364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRAQLKLSTRLMNVGLAALCRGGSLARAESVLVDAIRLGLPPDVVTYNTLLAAHCRAAGLDAGVSVVHRMREAGVSPDAVTYNSLIAGAARGGLPMRALDLFDEMLHCGIAPDAWSYNALMHCLFRSGHPEDAYRVFADMAEKGVAPCATTYNTLLDGLFRAGHATNAYRMFRYLQRVGLPIGIVTYNTMINGLCRSGKVGSARMVLKELGRAGHAPNVITYTTVMKCCFRYGRFDQGLETFLSLLEGGYISDAFPYCTVISALVKKGRMQEANAYCELLIQSGSRFDNVCYNTLIHLRCQEGKLDDAFELLAMMEEGGLESDEYTFSILVNGLCKMGQIEAAEKQLWSMEMMGMQSNVVAYNCLIDALCKSQEVDEAIKLLHSMKLKDDFTYTSLVHGLCKVGRYHMASKFLRICLREGNNVLASAKRAVISGLRSAVFKNDLRKVRSALYMARALCRFEVNIIGVLERIVTMIVPNFDQKLKSLSPVPPQNKASSVYGLLCLRQLLLPFNAVVDERLVGDCIAAWAAHHGFGQEEVACLPKRAGGGSGRSCSRSRQ >Et_2B_021206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27430243:27434739:1 gene:Et_2B_021206 transcript:Et_2B_021206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRAYLHRLLLDNLSIGPPAQLARTPSARKPPLSRRTALLIPPKRPQVLPPNRAPRRNIPAPLPFLSSSVLALRPPAAAAMDVNEEAMAAHKRAFLDFLDQDVGKGVYMQAVRDMVQNKRRRLIIGMDDLRNHNLDLARRVIRSPGQYMQPASDAVSEVARNLDPKFLKEGERVLVGFSGPFGFHRVTPRDLMSSFIGTMVCVEGIVTKCSLVRPKVVKSVHYCPATGATLSREYRDITSFVGLPTGSVYPTRDESGNLLVTEYGMSEYKDHQTLSMQEVPENAAPGQLPRTVDVIVEDDLVDCCKPGDRVSIVGLYKALPGKSKGSVSGVFRTVLIANNVSLLNKEANAPVYTREDLKKMKEISKRNDTFDLLGNSLAPSIYGHLWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAVMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVIAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPEIDRQISEHVSRMHRYCTDDGGSRSLDKTGYAEEDDGDANAAIFVKYDRMLHGQDRRRGKKAKQDRLTVKFLKKYIHYAKNLIQPRLTDEASDHIATSYAELRDGSANAKSGGGTLPITARTLETIIRLSTAHAKMKLRHEVLKSDVEAASGNDPMDVDVGNASNDQDLPAERIEAFETILGQHVLANHIDQISIDEVEQTVNRESAAPYTRRQVEIILERMQDANRIMIRDGIVRII >Et_7B_053971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13893345:13896510:1 gene:Et_7B_053971 transcript:Et_7B_053971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNGGKKPAPGGRGGPTIRTLADINRGPAGFPGAGGGGSDSDEPQEYYTGGEKSGMLVQDPTKRNEFDAIFEQARQMGAVQGVPPPFEDQSSSSRSFAGTGRLLSGETVPSAAPQPPAQVLHNINLWTNGFSVDDGPLRQYDDPENADFIESIKKSQCPQELEPADRTTAVHVNVIKRYEDYKEPVKPRSRFEGVGRTLGGGSSTDDNSAPAPSTETPPAASRSVGIVVDDSQPFTSIQLRLADGTRMVARFNMHHTVGDIRSFIDASRPGAARPYQLQTGFPPKLLTDPTQTVEQAGLKNSVIMQKM >Et_1A_005560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11417877:11423470:-1 gene:Et_1A_005560 transcript:Et_1A_005560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISTIQIVTWSLCLRKKVVEKGTHAFFMSINAMGGEARDKKAARSSFMSLMVLGLLGAVGDGTSTPLKLLITSRIANDLGSGPDQLRHFSSRINENVGDIFILACASWIMAFLGELSTPQYTPLFEELVRRV >Et_4A_032411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:123741:128588:1 gene:Et_4A_032411 transcript:Et_4A_032411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFEAQVVADLVEDPNGGLVVLSSGLPLASIAAALLLHLRGAEGGGCVLLLSAPDPLKALLRRRLLGLVDLHDVAPDLPAPQRASLYSSGLCPALFLSPRALAADLLTSRLHPSRVRALVLLSAHRSSDTSSDAFIARLLRSRHLLPVYAFSDRPHAMVSGFAKAERAMKSLYVRRLHLWPRFHVLAAADLERAPPDVVDVRVPMTPPMRGIQAAVLATMDACLKELRRTNKVDVDDLTVDKGLFKSFDEIVRRQLDPIWHTLGKKTKQLVADLRTLRKLLDYLVRYDAVTYLKYLDTLRVSEGVRSVWILADSSHKIFELAKRRVYQVVRADGTKISSDIKGTPTKKRKMTQNSTNNKGKETVYEDSTTDKDGIRNANADPGIVLEEVLEEAPKWKVLRELLQEIAEERMKGDGENAQYEDRNDESGTVLVTCKDERSCLHLQECIAKGPHKVMREEWEKYLLGKAELHGLRKNNKKKSQHPKGFGVLDGEVQMGPSDISGPVSISKLETNALLAAASEIRTSIKEADIKEDSNVSCSKRGSMGKRKVKKTTANRKASKNRNGEIDNDQGTDLEGQGQSGKTDEHAYTDAFKVSADDAFSSASTAVDGRNYSSAFGELANGKLPPVQFYALDSEQHVLDMWKPSVIIVYHPDITFVREIEVYKAENPSRKLKVYFLFYEDSTEVQKFESSIRRENEAFESLIRQKSLMMIPVDQVDGRCIGPTLANDQEPLLSQNSVTRKAGGRKAPDKEMQVIVDMREFMSSLPNVLHQKGIQIVPVTLEVGDYVLSPLICVERKSIADLFQSFASGRLYNQVETMVRYYKIPVLLIEFSQDKSFSFQSASEIGDDVSPTNIISKLSLLVLHFPRLRIVWSRSLHATADIFISLKTNQDEPDENKAMRVGVPSEDGMVENDVRAENYNTSAIEFLRRLPGVTDSNYRAIMDGCSSLAELALLPVERLAELMGSQKGARTLKEFLDAKCPTML >Et_10B_004429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:701628:702536:1 gene:Et_10B_004429 transcript:Et_10B_004429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDGGRERDAVDGLFFFNLQLPPSLYPAVPPLVSYRSFGLRVNPNLYESGTVCLSLLNTFGGEGGELWLPTTSTVLQVLVSIQGLVLTAQPYYNEAGYESQIGKLQGHRNELPYNENAYLLTLQTMLHLLRRPPASFEAFVKEHFCHRGQHVLRACQAYLTDGCTVGTLDGEARPTEVSRERSCSVGFRLALGNILPRLLEVFKEIGADG >Et_7A_051761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24241061:24243900:-1 gene:Et_7A_051761 transcript:Et_7A_051761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVGVRVPIIESSLAGFNTSPVCYGQSGTGKTYHVGAPRCDAMDDSGSEHTELGVVPRVFQNLCSRIKVGQEISPEKQISYQCRCSFLEVGIIGLLYSWMAKKQDCMKQTPLKPDLLQYFFVVHQETK >Et_1B_010882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1564288:1570955:-1 gene:Et_1B_010882 transcript:Et_1B_010882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCEIRAPGAVLLQKSELPAEKKNYTNGHSDAAVRRKVAPMPAAAPTTPRRHPSPNAGRASSPARAGSQAKRSQSTERRPATPTRPSSGGSRPSTPSRISAPTSPSSAPSSPSSSSSSSSTPVRDAVAETQSAPRRLSGGRAPPDGLWPSMRNLSSSFQLESRGKGISSSSTTDQVKTREAGAGPADRKRSPLRGRTAPEQSENPHAKVIDHHRWPAMMGGRVSASAMSKSMDLTDKINRSAPPSVSSRGVSPKRTTMSSAANALSRSIDLADKIDRLVSTPVSSRGESPRRSPSSNGTNDISKSSAGKDVKSASLAISSTRVSSIRTAASGDTKALSESTDLTEKDNSAVSSSVSSPSISPSTSVSSVSNATSQTTAKSSERLNGPISTLSSSRGLSPRRISTSGSIGTISKNFDLPEKDRRPASSSGSSRGISPRRRLASDGVNSTVKNMDFAEKDSRAVSLSVSSRGVSPRRRLASDGVDTISRITDFSEKDNRPSTSSSASRGISPRRRLAPDSISAVSKGVDFADKVNRPSTSSAASRGISQRTQLAPDGVGTISKSTDVADKHDRPSTSSAALRGMSPRRRLASDASNAISECINYTEKDARNLSSSVARRGGVSTLRRLSSDSVEAVSNNTDLAEKGTRPTTSSAAMRGLSPRRRLASDGVNVVSKSMGLADDGTKPATTLTAARGVSPRRRLASDSIDSLSKSTDFTEKVIRPLSSVASRGMSPRRRLASDVANAVLKNTNFADKDNRPSTSSGTSRGTSPRSRVASNAISKNIILSEKDSGSSTSSIALGGTVRSGKLESDVDNASEDVDVTDKDSAQPVLSNWTSDSRLDGTGDLVKGMDITDKFVVAVQDGGDSCDPGRMDSSDIGSGAASLSIASQEESPSIPVSDGIKNMSENVDAIQKGDRAISVKVPSRGISPRRRLASDGIDSLTKSMDFSEKDKKPVIKSVPSRGMSPRRTARSEGANVMSRSMDFAEKYNGPISSLVPPRVFSTRKILGLDGANALSRSMDLTDKIRQHISSTVQPSRASPRKTPLAYNRARGSELLPGDVGRPGSADGNESQEENAGSSPDAPSNNSEKFTPPKRLARTSSSPSRVLIRPSSPSNASPSFASRRLPSPSRTRPSTPVSPCSSARSDSASSILSFIGDATRGKKSPSHMEDAHQLRLLYNRNLQWRFTNAYVDEMLSIQKMSAETMLYSVWDANSRMSDSMVMERSYVQKLRQEVKLGIVLKEQMDYLDHWAALQTEHSSSLHSATEALKASTLRLPVTGRAKADVLTVKNAVSSAVDIMQAMGSSICHLLSKVSIKGPFTSILTPLLQCTHSLVTELSAVAAKETTLLNEYRELLATAAALQVPKSKQESLLKFTLSTSRSCKRVSI >Et_2A_015267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9994387:9995325:-1 gene:Et_2A_015267 transcript:Et_2A_015267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVPFADAIGDASETCFMRHVLPAPWWKLMRTLGVGPERKMAAARKVIDGFVAESISQRRADKLKDSADDLLSSFLCSQENYSDEFLRDMVVTLLVAGRDGTATALTWFFYLLSKNPRVEQKLLDELSLLVASREDKEAGCANGNDGFTLRLYPAIPFEHKSAVADDVLPSGHEVKAGETVLVINYSMGRMESVWGKDCMEFRPERWITDDGKLRYEPSYKFFAFNTGPRTCLGKELAFMQMKTVAAAMLWNFAFEVVPEHVVEPKLAILLYMKNGLAVRVSRRNLVKATS >Et_3A_026307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6676384:6679386:-1 gene:Et_3A_026307 transcript:Et_3A_026307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKFFVGGNWKCNGTTDQVDKIVKTLNEGQIPSTDVVEVVVSPPYVFIPVVRSQLRPEIQVAAQNCWVKKGGAFTGEVSAEMLVNLGVPWVILGHSERRALLGESNEFVGDKVAYALAQGLKVIACVGETLEQRESGSTMDVVAAQTKAIADRIKDWSNVVVAYEPVWAIGTGKVATPAQAQEVHASLRDWLKTNVSPEVAESTRIIYGGSVTAANSKELAGQPDVDGFLVGGASLKPEFIDIINSATVKSA >Et_4B_039079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7581836:7584891:-1 gene:Et_4B_039079 transcript:Et_4B_039079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHASRGRRTLEEIRQKRAAERMQQHVPPTAASQADLYGNQRAGTELLDRVQELENGNTQLEKENQSLLSKIAEKEVEKDALVNRLNDLERNVVPSLKKALNDVSLEKDAAVIAKEDALAQLRSMKKRLKEAEEEQYRAEEDSASLRAQLNTLQQQLMGNSYGGYPIGISREETIAMEKEIQDLQDQLKQESLLRQQEQHKFAEESLLRQQEQHKLAEEQSRIAALEAEKKQLEDQIAVLSKKATEDASEFAACKAFSVEDREKLESQLHDMALMVERLEGSRQKLLMEIDSQSSEIEKLFEENSALSTSYEEAMAVTKQWEIQVRECLKQNEELRSHLEKLRLEQGSLLKSSSTSIQPDGQNEHSISNPPELVTENLSLKDQLIKEQSRSEGLSAEIMKLSAELRKAVQTQSNLTRLYRPVLRDIESNLMKMKQETYATIQ >Et_3B_028869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:268181:269071:1 gene:Et_3B_028869 transcript:Et_3B_028869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TPAQARHDTKQERERRKVASEWCTQPNSTQPGKWRKSYSNHHQRRPPKAPQTKWRTGTQQKIYGRRLLDALRATGGGAPQPRAVKAAADSALALTARGQTRWSRAILLSTCRRRVLVKAGGKIRRRHHRRPAKAAALEPPALRERKVKERLRVLGRLVPGCRKLPAPALLEETADYVAALEMQVKTMRALADALAAAQLSSASPPADSEMESS >Et_9A_062186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20513574:20514365:1 gene:Et_9A_062186 transcript:Et_9A_062186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVQLPSAFDPFAEANAEDSGAGPGTKDYVHVRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIAKKENIKIHGF >Et_2B_020456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20411953:20413080:1 gene:Et_2B_020456 transcript:Et_2B_020456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAQQAADDSDAGGEASSSSPSKYSSSLSGAEDTLPDLLGLGGFDVDGAAGRTLREACEDAASDVAAWTRQGGALRALLVVSVGSASLAALTGLLLVVFFLAAVTTNAIVCSFLMSLVAAGGFLAVLLSFLASVYVGALSVAVFVVATTTAAAVWLHFSGLFGSLYEMLGPCYSIAIYRHVKFSDMMHIPPTVGFHYSTAAVPDSLAWHESCYVQITVR >Et_7A_052433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:820742:827415:-1 gene:Et_7A_052433 transcript:Et_7A_052433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDDDVTRLRGPGSSAGGVWLPCRAAMDLRAASLMSGRGVERRLVREERGAAASLAFRPLQRVSTAGHIRRARIARSLIPSVAACMEAGDGAAASVSVGEGGSMGRPSRSTMAAWAAEMQRRRWEERERRKNARGYYIGYRSEKHTIFLADPNTSNGWIVGLFHGSNSWSASGSAERVGGADSSPLQLLPADFHAHVCLGRRHKVTKVTTLIRFLLWLVYQLADSTALFTLGHMAIRARSPDEQQLMAFWAPFLLVHLGGQDTITAYSFEDNRLWLRHLQTLVVQILGAAYVLYKYIPDSATLIHMAAVLMFVVCCLKYGERICVLRGASIDSLWSSLDKKSDGVSTHENQADRMFLEVSRKRRSTNLDDEDVLMVAHSLLDVCKGLFIGLRRARPAGYIKDIMQHCRREGQLDKLMEMELSLMYDIMYTKAAVIHTWYGRCIRVISPVATATAFMLFRITRKDDHNKNDVIITYILLVGALLLEMASLGSTIDKGWSWLYNFQDFRLSMRAAAPRTWSGTVGQYNLLQSWALDEAKPTFNRMVELIGLERWWYQVCHSQSGHISSSTKELVLREILLMGNRRRVTSHPGLHALQQRQLDAHYLSWSVDDSDLEGSIIAWHVATEVTTENYYSLEDLDVDARMLKEACQQVSKYMMFLFMVCPYMLPGPVRRSRYAEARDGWNSAIQQACHESIMAKRRLQWIKRTTRKTLNNAPLSRRQEAFMDLFPHEFPPSEYGPALGGFAIAKYLSDHPNKLEVIFGVWVEMLCYVANHCSQESHARQLSSGGELVTIAWLMAGHANLANISVAAPRTWSVGQYNLLQSWALDEATSPTFRRMLELMGLERWYQLRHLQSGQISSSTKELVQREILLMGGRYNISSRPGLLALQQYNLDGCLGWSVQDSDFEGSIISWHVATELVSNASCSQRNVLRIREACHEVSKYMMFLFMVRPYMLPGLIRRSRYTEAHDGWNRAVQEACDMAIVAKRQLHWVNRTLRKILSDVPLSQRQEDFLHVFFDKSPRSDYGAAIEGLTIFEELRYNPNKLEVIFGVWVEMLCYVANHGSQKSHASQLTSGGELVTISWLTASHDNLEDVSVGTLDMV >Et_2B_021774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5366457:5370671:1 gene:Et_2B_021774 transcript:Et_2B_021774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWHGGFVIVSLFIILMLRYVILDSPLAEKSLQYVFQQNSTAALHWLDVPNPPAIQNPQNSSEVISTKLLASNLSITRNLTERELQTLHSWNHLRDLVTHAYILPDGVEAIKEAGTAWRELNSALANDDSFVSVNGSTQQKDKGKQCPYSIRRMNATRFGDRFALKIPCGLIQGSSITIIGTPGGLLGNFKIELTGAAVPGEPDPPMVLHYNVRLLGDKLTEDPVIVQNTWTIADDWGSEERCPSPESDAKDTTKVDDLEKCSKMVGKNQTHMLASSSYANVSAVPSVTRKNTGPRKYFPFKQGYLAVAILRVGAEGIHMTIDGKHITSFAFREGLEPGFVGEVRITGDIKLLSVIASGLPTTEDFEHVTDLETLKAPPVPLNQSVDLFVGIFSTANNFKRRMAVRRTWMQYDAVLSGNVAVRFFVGLHKNEVVNEELWNEARTYGDIQLMPFVDYYSLILWKTIAICIYGTNVLSAKYVMKTDDDAFVRVDEVVASLHRVNTSHGLLYGRVNSESQPHRDPYSKWYITPEEWPEENYPPWAHGPGYIVSEDIAKEVYRKHKKGELKMFKLEDVAMGIWINEMKKDGLDVKYENDGRILVDGCEDGYVVAHYQEPRDMMCLWDKFQKTKRGTCCKE >Et_1A_005565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11538063:11541245:-1 gene:Et_1A_005565 transcript:Et_1A_005565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVGGGMRRSASHGSLTESDDFDLSRLLNKPRINVERQRSFDDRSLSDVSHSGGYGRGGAFDGMYSPGGGLRSLMGTPASSALHSFEPHPIVGDAWEALRRSLVFFRGQPLGTIAAFDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLLLQGWEKKVDRFKLGEGAMPASFKVLHDAKKGVDTLHADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLAETPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMVDRRMGVYGYPIEIQSLFYMALSSALQMLKHDNEGKEFIEKIATRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWLFDFMPCQGGFFIGNVSPARMDFRWFALGNMIAILSSLATPEQSTAIMDLIEERWEELIGEMPLKICYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIDLAERRLLKDGWPEYYDGKLGRYVGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDRAMLKPVLKRSASWTN >Et_6A_046270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11799445:11806955:-1 gene:Et_6A_046270 transcript:Et_6A_046270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLLRRAEPLLRRVLRPPPPFACASPSSSSSALVRSLRPELLELLPSLLMSQSPTRTRVCCNKKISSTVQLQSLSTEDEDGYREDVTQKDFALQQALDQITSAFGKESIMWLHRSNDRKEVPVISTGSFALDLALGIGGLPKGRVVEIYGPEASGKTTLALHIIAEAQKSGGYCAFIDAEHALDPALAESIGVNAEHMLLSQPDCGEQALGLADILIRSGSIEVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSRSRTILVFINQVRSKLSTFGGFGAPAEVTCGGNALKFYASVRLNTKRIGLIKKSEEVVGTQIQVRIVKNKHAPPFKTVQLELEFGKGLSRESEVIELGCEHKLITKSGVFYHMNGQTFQCKDAIKRYLIENTGIQEGLMSMIREKIVQKESKLDKNEGMNQDTSSSEQIVSATDEEVDNELEA >Et_8B_059741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2170565:2172826:1 gene:Et_8B_059741 transcript:Et_8B_059741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSCYMPFQLEHPERTKLAIGDSSVSSQEISAAGHLWRIDFYPRGCNTEDDGEYVSIFLSLVSNSNNVKAILHAFVMDRNGQASSSYEERISQIYPPNGDRNQDWGWPRFVKRSDLKSSVYARNGLVTIMCGVIVERESLGDPLCLPPSDIGSHFGKLLDSTEGSDVSFVVAGDTFPAHRIVLAARSPVFKAQLFGSMADAKMPSITLHDITSATFKAMLRFVYTDSLPEDDELDLGDSPPPMEVFQDLLAVADRYALDRLKLICARNLWENVSVDTFAATLSCAETYNCPELKKNCIAFFAEEENFKKVVLTDGYIQLAQNRAHGGLRRAGGA >Et_1A_006832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2878421:2879871:1 gene:Et_1A_006832 transcript:Et_1A_006832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQELLIGLTNMNGSTVAIPITIQVSLLSNDHSIYLQLDRLKQLAKIIAEWKLTNLGLNLTIFGKIRDLTLSPVLQDLMPACAPSLPPGPISSISRPTSWNHPEINPDGSFSCPALVNKQHAIVPHRKLSTQFHRRSSIDQQKVEKEALQ >Et_3A_025125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27059203:27064197:-1 gene:Et_3A_025125 transcript:Et_3A_025125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFPVDPRSSLLVCTLACFFLVPGGGAAGTGGWGECSVNAVRKFGMSPLSELVWSPDEGLSIKIAASSLSTRKASLRWNADTLSIVISSPQQSGGAGKSGDNVDASSEVSEKMPSQILACSDSSIRVAMASQNNLTNIHALQSTSMRSPKQYSRIDVMNEGKEGSQNCCVDNCPTRCCKDTSYSSASRKELMPSILEKQVCSTTVQNERSWATNAWRARLLKAVSQKASVLPKNAENALSTSSIGDLRNAGKVAGNLTGFLCKRNVQCLGNDSKDISHIQEFHSHGSCHNQVLKEDHKDEHVVGREDPPSGVNAVARCESASDVDARRIEKGKKKVIYNNINCVSNTKESDDSNESIESCPSTKNLKRKLVECSAANMSSRKKRSRREDNESSCSGILQKCGSSFFNWMSSLTKGLIMLDETTAVPLDQTCAATDAEESVAPSLPLQSNSGFPMQSVGFNSLFQSLYNHNIMITSRNICHQPETNWNQHEASDSVLDRQIGMGRDVTTGALATESPQMVSGASRGNFHNQFSIFPMRAEKNLELPDSCSRPGEEKRNECPAGCSNAATGNKGGAKSLWVSRLLPKTSMKLMDATPCDVESDFCADNPKGMDNNLYGSALQNFNVETDVNDVQYLAGKGSSDGVTSSKCPAMPTEDPKQSETMASVFAKRLDALRHATTSAVQLAITSDHGIHKRNNQEANSFAVSYSSHDGLEAGQDTHKSSNANGKMVLWMGDNSKEPLCTRSSGESRGTFVSEREHQHHGASTAGKSVAPHDILKANTSAEDVYIETVLTKEVTPDFMANMPDNKQIVPYGIVSSDLFNESSDVCGALRRLRLSRSDIIRWLRSPIVHTSLDGFFVRLRFGKWEEALGGTGYHVARLNGALDRNRLSVTIRNSTCQVDSRFVSNHDFHEDELKAWWSAAMKGDWKLPSKQELDVKLRERELLHW >Et_8B_060229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7751697:7751986:1 gene:Et_8B_060229 transcript:Et_8B_060229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGTPKDCSISRTHEKTRPQEASMMTNFGDKTLQPDFDTLALELKKRNKRFLTSSRSLWRKRPSTRRNFGRCLCSPSSVQLPTEALDG >Et_6B_049598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7049191:7054938:1 gene:Et_6B_049598 transcript:Et_6B_049598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASLQSFLLPQHHSFANTGNHDISPSNLLKLTTNSSSNISFRLFSNTSPSVTTTSTPNSSAPTPVNPTTADKPPAPTLDLLSRQLAAGDYRQADETTRALLIDLAGESARRRGYVFFSEVQFISAEDLRAIDELWREHSNGKFGYSVQKRLWEKARCDFTRFFIKVGWMKKLDTEIEQYNYRAFPDEFIWEMKDDTPEGHLPLTNALRGTQLLGNIFMHPAFKEGQEEPTEESVTATTSGQSKDDSKGKAQALVSEKYKDNKLETHSRSKSYTGCEQQGITIRIWVLEAMKTSGSHRIPETEAEKQAHAATATARRRIRRWRRHPPVIGPGTGRQRQIGTARREDERGLQASR >Et_1B_012932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4153887:4154368:-1 gene:Et_1B_012932 transcript:Et_1B_012932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSASRGGVLAAALLVGFLVMMLSAPPVAEAAATYMVGDFGGWKFNVAGWAKGRTFRAGDWLVFNYNRAVHDVAVVNAAAYRSCVVPRGARVLRSGRDRVKLGRGTHYFVCTVRGHCQAGMKIAVRAL >Et_1B_010208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30061172:30061730:-1 gene:Et_1B_010208 transcript:Et_1B_010208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKRCELCGGAAAVHCAADNAFLCLRCDARVHSANFLASRHQRRRLGLGVSAAAAESGTSSASSASSCSCVSTAESTAAAAAGASCRGRKKPRRPRAEAVLEGWAKRMGFAPPRSVRWAGAWPPRASPSASPWPPRSGPRCRPLLPAAREEEEGTPCCCAGWRPRPTCPRGWC >Et_4B_037109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14871254:14872241:1 gene:Et_4B_037109 transcript:Et_4B_037109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKYRANNIRSEQCDKHMATSLNTEYLVCFAVTWNVTCTYSQFVAQRAPTCCVSLSSFYNESIVNCPKCSCGCDNNITSPGSCVEGNSPYLASVVNGPGKNSLVPLVQCTPHMCPIRVHWHVKLNYREYWRVKITVTNWNYRTNYSQWNLVVQHPNFDKITTIFSFNYKALDTYGEINDTGMLWGIKYYNDLLMVAGPEGNVQSELLFRKDPSTFTFEKGWAFPRRIYFNGDNCVMPPPDAYPWLPNSSRMLKASSFLQPMAMWATLFLWLYM >Et_10B_002916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13922144:13924051:-1 gene:Et_10B_002916 transcript:Et_10B_002916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVMLSSLWSSRKMCQKLQILFSHLPIMKSAVSYDEGQLNEPMMPLPPTSNQQGVRPVVRSEYAIQRERIKKLKREDISRYFHIPLEAASKKLRVCATAFKGIRRRFRIKRWPYRTVRLETTKLCIHGTAGLFYHATLEEYLANHENMVPTLTHQSFTEDRDYEWVKQCLMDYAQQRASSGYIVVQDSISSFHDVLCTSMLTGGGHSDDP >Et_9B_065535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6305585:6310245:1 gene:Et_9B_065535 transcript:Et_9B_065535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVWVVRLASLLALGLVLGSVDASLGDVDPQYRTCVEECQTTGVIGENIISHCQSQDQNDTSDGGSWYTQEQWKQLNCKADCRYFCMMQREGERESLGLNPVKYHGKWPFLRVSVFQEPLSAALSAVNLLMHFTGWLSFFLLVKHKLPLRPQTRRTYYEYTSLWHIYAMLSMNAWFWSTIFHTRDIDLTEKLDYSAAVALLGYSLILSLLRTFNLDYGWNMKVCVVMAVVQLLTWAIWAGALAMLLELYDFPPYMGYADAHSLWHASTIPLTYLWWSFIKDDAEFRTSTLIKKAK >Et_1A_009099.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:29031104:29034391:1 gene:Et_1A_009099 transcript:Et_1A_009099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVAKPLDLEALKPLLKGLLDKGIRCLAVVLMHSYTYPHHELLVEELALEMGFKHVSLSSSLTPMVRAVPRGLTASVDAYLTPVIKEYLSGFMSKFEGGSEQVNVLFMQSDGGLAPERRFSGHKAVLSGPAGGVVGYSQTLFELETSKPLIGFDMGGTSTDVSRYDGSYEQVLETQIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFKVGPESVGAHPGPVCYRKGGELAITDANLILGTVIPEYFPSIFGPNEDMPLDYEATKKAFESLAVEINSHRKTQDPSAKDMTVEEIALGFVNVANETMCRPIRQLTEMKGHDTKNHALACFGGAGPQHACAMARSLGMTEVLVHRYCGILSAYGMGLADVIEDLQEPYSAVYNADSAAEASRREALLVKQVKDKLIEQGFAEENIKTDSYLNLRYEGTDTTIMVKQPDRGSGNDYADEFVKLFQQEYGFKLLNRKILICDVRVQGVGATNILQPRELTPVSTKPVKERSCRIYFSYGWQETPLYKLENLGYGHVLEGPAVIMNGNSTVIIEKDCNAIITKYGNIKIEISAPPSTVDVAEKVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGPDGGLVANAPHVPVHLGAMSSTVRWQLNFWGDNLHEGDVLVTNHPCSGGSHLPDITVVTPVFDNGKLVFFVASRGHHAEIGGITPGSMPPFSKCIWEEGAAIKAFKLVERGVFQEEGIIQLLQSPCSDEFDGYKIPGTRRIQDNLSDLHAQVAANQRGIALIKELINQCGLITVQSYMYHVQKNAEVAVREMLKTVASRVQKENGSCVIEDEDYMDDGSVLHLKLTLDASKGEAVFDFEGTSPEVYGNWNAPEAVTTAAVIYCLRCLVDVDIPLNQGCLAPVKILIPKGSFLSPSDKAAVVGGNVLTSQRVTDIVLMAFQACACSQGCMNNLTFGDDTFGYYETIGGGCGAGPTWDGTSGVQCHMTNTRMTDPEIFEQRYPVHLHRFSIRENSGGSGFHRGGDGLVREIEFCRPIVVSILSERRVHAPRGLKGGGNGTRGANYLIRKDGRKVFLGGKNTVTVSAGDILQIFTPGGGGFGSL >Et_1B_010881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1523919:1529314:-1 gene:Et_1B_010881 transcript:Et_1B_010881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQRRRLGAVQAVASVLVAIGCMLAGAHGTVAGVGVEECVERAQGDRIEALPGQPPVAFAQYSGYVTVNEERGRALFYWLTEAVGDAARKPLVLWLNGGPGCSSVAYGASEEIGPFRIKPNGTGLYLNKYSWNREANLLFLESPAGVGFSYTNTTSELKTSGDERTAQDALQFLISWMSRFPQYRHRDFYISGESYAGHYVPQLARKIVEFNKASPYPFINLKGILVGNAVTDNYYDNIGTVTYWWTHAMISDRTYKTILKSCNFTSANVSRTCNRAMNYAMNHEFGDIDQYSIYTPSCAAAAANATVLRFKDTLIRRRSFGYDPCTETYAEKYYNRMDVQRAMHANTTGIPYRWTACSDVLIKTWQDSELSMLPTYKMLMKAGLRIWVFSGDTDSVVPVTATRFAISHLGLKIKTRWYPWYSAGQVGGWSEVYEGLTFASVRGAGHEVPLFQPRRAFRMFQSFLAGEPLPKS >Et_6A_048169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8621798:8625074:-1 gene:Et_6A_048169 transcript:Et_6A_048169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGNYGTGSGLVGLGRGPLSLVSQLGVGAFSYCLIPDPSMASPLLFGSLANLTGSGVQSTRLLQSSTFYNVNLRSITIGYARTPGTGTDGIIFDSGTTLTHLSEPAYTLARTAIQLQTSLPPVADTDGLRPCFRATGNDVSGAAVPKMVLHFDGADMTLPVANYFVEVESGVICWVVQRSPSLSIVGNIMQANYHIKYDLDKKALSFQPANCSSIMCNHNTTKCYQYGCICRRFASNAWIHTAKGTS >Et_6A_047541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6999854:7001384:-1 gene:Et_6A_047541 transcript:Et_6A_047541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGGVAAALLVVALAMAACGAASAAVSPRKPRGPPKVATPGDPRKLPPKGKVITVKPRFHNRMYEITCVTDWGASCLIKCPARCPNKCLAYCAYCLTFCLCDFIPGTSCGDPRFTGGDGNTFYFHGKKDESFCLVSDPNLHINARFLGNHNADSGRDFTWVQALGVTFGAGDGHHRLYIGARKAAEWDEDEDHITITLDGEPVELEAGDRNARWESKTVPGLSVARTDDANAVAVELDGVFAVTANAVPITDEDSRAHGYGKTPNDALVHLDVGYKFYNLTRGVDGVLGQTYRPNYVTKVDVKAAMPLMGGAEKYRASGLFATDCAVSRGNKIICGQAAADKIRPVQLAKLATEAMVQHPKMGQ >Et_9A_061930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1849481:1856622:1 gene:Et_9A_061930 transcript:Et_9A_061930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVRYHLYVGIFAIGLKPRWIKKIYEGPIHVCYVIFPYEGFLAPTPELPGDVLGDILLRLPPDDPACFLRASLVCKRWRRVLADPAFRRARIALHRRPSFLGLLHFVSKELPCCSRFVPNDPASRHPAARDLPGWLVLDCRHGRALFATAGKSLGTLEFIVWDPLTGEERRLPRLSSSPTPTVGSLSLSWNAAVLCAATVQGCDHRGCHGGPFNVVLLCKKSSFTLSARVYSSEKWREPTSSVCHSDIIFVRSGPSVALVGDTLYFSVSRNRAAKYQLGTQRLSVIHEPPASVFRGFSIYPMTMEDGVLRFTGVELGLKPSACLCLCSMEEARDGGAQWARLRAIELEMLLPDGALADNPYVVVSCSDSASITAKVVGFVDGTDI >Et_5B_045231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1310851:1312017:-1 gene:Et_5B_045231 transcript:Et_5B_045231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSSAAAAEEEEDCLVHVAFNSKATHFVAATATGIRVFRSTPLKHVFGKRSGFASGADSGEVISADVALSGSLVAVVFRDTADNGSDDDKVRFWSELTGKMLDKDMNPSSHGRVRAVRQVGNLVLVAGDGRATLHETSKRRTDEFETGPNPMGVCAIAQRAGQPFVLACPLPPGKGGRGRVQVRGSRGRRVYVDAHSSGVALSRDGRMLATAGSRGTLLRVFGTDDGKKLQELTTTASSDLPMDRHAAVASSAKQTRTRPAPPPPSTPLVHLSFTRDASCFIAADASAVHWRSCDTFALRGL >Et_2B_022135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9670437:9670790:1 gene:Et_2B_022135 transcript:Et_2B_022135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVYTFLLVSNLGIIFFAIFFREPPKGCPNAVYKAYPVKLTSKPDMKMATKVAVSIFLEFQDYNGSMKRSCIYNYNGIVYKVNTND >Et_1B_009938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12982761:12983621:1 gene:Et_1B_009938 transcript:Et_1B_009938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IHCRLPPLPPCRRRPRLAGGSLQHHQATGRVPGLLQLQRPPNAGEAAEEINRRQTITVLALDNGAAGGVSSLASDVQRKVLSMHVVLDYYDTAKLEAIKGKSAMLTTLFQSSGQATDRMGFLNFTKRADGAMVFGSAEPGAQLASRMVKSVASRPYNISVLQVSAAIVPPGVGGKQAAPAPAKGKKAAPPSEGDEAPAPGPSDDDAGADAPADAPGPAADGPVADGPTADGPADADAPASEKSDDAADAPEGSAAGRVVAGAGLGILALLLII >Et_4A_033791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26933310:26934742:-1 gene:Et_4A_033791 transcript:Et_4A_033791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALYAPAAAAAPHATPATLTSSRKHPIAMCHSPKLGGGNQRAPRLAASASPQARGAVAPSTTEQAAAGPAPVNVDYLAAEFAGHGLSFEPVGGSCAVKMALSNGSAAHVLLPSGLVTSYKPAMWHGTVTEVLHTNVGEGPRGRAVIRGGVHVDLRCAGAGGGGGWSPSGKWSLRDVRGNPTTSIEIELAAAAPGNAVEARCVVTLLPEALATALSVTNSAAASASPLALSCGVSNHLRVSTPDATYALGLQGSDYRSVEPALSEFSIIPPDYRAAPRESAAGAALHRWANKGFDMVLSPGGDRGRGVSADEPDGEEDDDYKHMTDEMCRIYSQAPREFTIIDRGRRNSVCLQRKGFEELYVFSPGSQYQWYGQYAFVVVGPAMLQPVVLRPGQTWQGGQYLRNPNL >Et_6A_046747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20029751:20030603:1 gene:Et_6A_046747 transcript:Et_6A_046747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKKSASTCSVIIWLDLPEHENIRNRCWIKFIEAAERTTSFFSQKEKGYAVREPQFVCSRSKHRSVTTNLSKESKLCGSFSALDDFANGKLANGPNLADLWKDLNLPHYVGFPGIY >Et_5A_042540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19242482:19248168:1 gene:Et_5A_042540 transcript:Et_5A_042540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHDLTALMAAQLDRHLVFPLLEFLQERQLYPDGEILEAKIRLLSGTNMVDYAMDIHKSLHSTEDVPADMVARRSEVLGRLKSLDAAAAPIVAFLQNPQLVQELRPDKQYNIHMLQERFQNFSSPLNQLQNRIWLMHWALFIFFNHENGRNGIIDLFFQDSMLAGKLNMNYDEAESWIMNLVKSSKLDARIDLVSGTLIMTTTPVNVHEQILESLKGLNMRTYMLAKNIVEPAQAAQQAARSSMRGKRDRDGTMLDY >Et_5A_042282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8285817:8301505:1 gene:Et_5A_042282 transcript:Et_5A_042282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNRTRDVALVYNQCYVRVSNSDFLASANNSGELGLISGTNVSAGVDVAAYDRAVTALLNATARYAAENSTRMFATGQLVGLDPARVPNIWSMAQCAGDLTPAQCRLCLDDLLAQWFNGSSGFDPNGEGARIAGSRCTLRSELGEKFYTGSPMVKLQRNGQPASPGPALAPSTGKLTGKHTSVGKLLGIILPVVLVAVVVSIILCMWNVRKKRRYQRAKLAQKTRTVEDFESIKSTLLSLSSLQVATNNFNESNKLGEGGFGAVYKGDLSGLEVAVKRLSKNSNQGLEELRNELVLVAKLHHKNLVRLEGFCLEAGERLLVYEYMPNKSLDTILFDPEEKRRLDWRKRFNIIEGVARGLQYLHEDSQKKIVHRDMKASNVLLDADMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMSPEYVMRGQYSTKSDVFSFGILVIEIITGQRNNGQYIYDQNEDIISIVWRHWSEGTITEIIDDSLGRNYSETEVLKCINIGLMCLQQNPIDRPTMSEITIMLDDDATSSLPPVARPTFFFMAVARFLVVLLGAALASLLLSSCADASEVGVSYGRVANDLPDPAKVSKLLKENGITMVRIYDANAAVLTSLANTGIKAMVMLPNENVAEAATNPSYAQRWVRDNVKAYHPATKINGVAVGNEVFKSRPDLNMQLVPAMVNVQAALKNLGLADAIKVTTPVAFDALKASSPPSAGRFKDDIAQPVMKPMLQFLKRTGSYLTMNIYPFWAYYNQPDDISLDFALGNSNPGVVLDDDDDTGHKYHSLLDAQRDAAHYAMDDLEPGVELYVTETNWPPKGKPHHGGGGGHRGGRRLADDDGVFTVANAQAYVNNLINRVVAGNTGTPHRPDAQMDVYIFALFNENQKGDGPDDIEQNFGLFYPNMQKVYPFSFQGGGGAPSGGGQTARSWCVANAAVGDARLQAALDWACGHGADCSAIQSGASCFEPDTKLAHASYAFNSYYQKKGRAAGTCDFKGAATVVYQEPSMCSARASWCVANAAVGDGRLQAALNWACSNGADCSNTQPGAACFDPNTMVAHASHAFNSYYQRKHRASGTAVHGVLICGGDISASYCFDCGTFAANDVQRMCNRTRDAALCYNQCYVRVANVNFLANANNSGELYLISGTNVSSSVNVTAYDRAVTALLNATVRYAVEASPKTMFATGQLVGLDPTIPNIWSMEQCAADLSPAQCQGCLDDLLTQWFNGSGFNPNGEGARIAGSRCTLRSELGEKFYTGNPMVKLQRNGQPASPPAQAPSTDVQPPGTVKRKHISVGKLLGIILPVVFVAAVVSITHCMWNVRKKRRYQRAKLPHRTHTLEDFESIKFFFGQCESIKSTLLSLSSLQLATDNFNDSNKLGEGGFGAVYKGYLSGQEVAVKRLSKDSNQGLEELRNELVLVAQLHHKNLVRLEGFCLEGGERLLVYESMLNKSHDTILFDPEEKRRLDWRKRFNIIEGVARGLQYLHEDSQKKIVHRDMKASNVLLDADMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMSPEYVMRGQYSTKSDVFSFGILVIEIITGQKNNGQYFYEQNEDIISIVSTHKQTGNPAYSMPYGDTGLRERLRR >Et_1A_007526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35582880:35586187:-1 gene:Et_1A_007526 transcript:Et_1A_007526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLNLKQALVLSAQSNNVGSLLAAPSPSPTTAAARRGGARRHMPRISCSASSTEEVGGGGVSALTMDTALTVTATVEAAPAIGQMYATRGLDDFGDLFGKTLLLELVSSELDFKTGLEKPRVKGFAHRTLVEGRYEAKLQVPASFGPVGAVLVENEHHKEMYIKEIKLITGDDESTAVTFDCNSWVHSKFDNPEKRVFFTLKSYLPSATPKALEAFRKQDLAALRGDGTGERKSFERVYDYDVYNDLGDPDKNPAHQRPVLGGSTQYPYPRRCRTGRARTKADPLSERRNGHCYVPRDEQFSEVKQLTFGATTLRSGLHMVLPALRPMLMQKELPFPHFPAIDSLYSDGIPLPVDAAGLDAIRSVIPRVLKLVEDTTENVLRFEIPEMLGRDRFKWFKDEEFARQTIAGLNPLCIQLLTELPIMSKLDPAIYGPPESAITKEVLEKQIKGNITVDEALAAKRLFILDYHDVFLPYVHKVRDLEGAATLYGSRTVFFLTDLGTLMPLAIELARPKSPTKPQWKRAFTHGPDATDAWLWKLAKANVLTHDTGYHQLVSHWLRTHACVEPYIIAANRQLSRMHPVYRLLHPHFRYTMEINALARESLINADGIIEESFWPGKYSIELSAVAYDATWRFDTEALPNDLLKRGLAVRNDDGELELTIRDYPYATDGLKVWDAIKQWVHDYVRVYYKSNADVAADPELQAFWEEVRTKGHADKKDEPWWPVLDGRDSLVETLTTIMWVTSGHHAAVNFGQYHFGGYFPNRPTTIRKNMPVEENREDEMKKFMSQPEMFLLDMLPTQMQAIKVMTTLDILSAHSPDEEYMGEHAEPSWLAEPMVKAAFEKFSGRMKEIEGFVDECNNNPEFRNRCGAGIVPYELLKPFSKPGVTGRGIPNSISI >Et_5A_040863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13720627:13733283:1 gene:Et_5A_040863 transcript:Et_5A_040863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSARLPGFLREAELRLLRCTLPTPSARAPELTSPPPPQHPLGAAAAAALAAVEAGYYAAALAAAAPHLLPASASAGPPGSAAQFYADLGASVRAFLRGGGDGDGAGEAAVEEHECRCAVVLAAAVAALLAFTQENVTGPPGTFSAFPFWTSSLDEAWYNNLGGIWDSWASDNLSSFGSHVHGKFSLLQFIVFAELLFTSIQTLDLSDCLSVSWWLFRLSMFQQNILDELSSSLFDQVQVYRNKMLGHFSELENVSAYWGPLLCDGEGSYFVSAAFLEAGIAEYKYGRVDASRLHLDSAQEACGLHLSLTGILGFRTIHQVDAKSQMVLVAKTSKSEADGRITEPTEAQNDFAALKSASSSVPFESDEFCDILRTPRLVQDGSSSASENTRDHSAKTSLSAIQQASVLAECLHVSRRSRSDEMSGWEMAPYIESIDSQNESYFLVRSLCNILRIRWESTRNRTKQRALLMMENLVEDIGKEIPVAAQRVNLVFGVHMPTLPALRKEYGELLISCGIVGEALDIFKDLELWDNLIYCYRLLGKMADAVTLINARLSVTPNDPRLWCSLGDVTNNDDHYKKALEVSKNKSARAMRSLARSAYNRNDFYTSKTLWESALALNSLFPDGWFAYGTAAWKDKDLDKAVDAFSRSVQIDPENGEAWNNIACLHMIRGKSQAAVQAFREAVKFKRNSWEIWENYSKVALDTGNIRLTLEALKMVLNLSSNKRFNVDILDKVMVLLEEQPTHLCDTQGDTSADVNKDRRQSNHLLDMIGDILQQIVRSGGSNAEIWGIYARWHKIKGNLMASSEALLKQVRSLQGSGLWHDQRKFTKYAQASLQLCKVYMEISSSCGSRRELLLAEMHLKSSLKQATDFSDTEEYRALENCLEEIKNLIGAGA >Et_5B_043380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:81133:83441:-1 gene:Et_5B_043380 transcript:Et_5B_043380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCFPCLGGGNKRKKKPVDKPQIPPASDKPKLDSSSSVMKQDLFEVKKEATKKDILNNASENHQIAAQTFTFRELAVATNNFRADCLLGEGGFGRVYKGYLESVNQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDPFPDKARLDWNTRMKIAAGAARGLEYLHDKASPPVIYRDLKCSNILLGEGYNPKLSDFGLAKLGPIGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDIYSFGVVLLEIITGRRAIDNSRAAGEQNLVAWARPLFKDRRKFPLMADPALDGQYPSRGLYQALAVAAMCVQEQPSLRPLIGDVVTALSYLASQTYNPEAHGGHRSSRLVAPGTPPRTRRDSGRSSHGADGRGSG >Et_9A_061637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14733929:14734475:1 gene:Et_9A_061637 transcript:Et_9A_061637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLGMKAVTGVSRVTVKKSKNILFVISKPDVFKSPASDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSNVMSKPEASTAAQDDDEDVDETGVEPKDVELVMTQASVSRPRAVKALQAANGDIVSAIMELTT >Et_3B_031655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6978955:6983420:-1 gene:Et_3B_031655 transcript:Et_3B_031655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCDPDVLFRDFVAYGKKRLLVLSASLFFRRLEYLEMDKQVKEMLKLIEDEGDSFAKKAEMYYQRRPLLVTHVENFYRMYRALAERYDNVTGELRKNIPSSLQSQGSGISETDSETQSTSPSPEPIMEQKKPKQKRKTRAAGFDVFLGSGGSSDISKKGSDGSSSSSSSESDSEIDEMGEENGNGISYALNGRIIELEEELQEAREKLEALEEKNMHCHQCEKLEESLNQVSSEKDELAASVVANKKEVEKCKEELEQVSEKYFREKSTLETELGNLQEVVKNFEGDLAKVSQEKSQLEARVMELEQASHSLDDSSAEIVRLQEMILELQARLESDSSEKRALEERNMEFEQVHRQLEDSRGEVRELQSTIKNLKDKLEKAMQEKSLLQDRAKDLEQAASDLSASVAHNQEKFLLEKSSLSAEIQKLSEANASLGERLTSAEAQLEQISAEKVEASLESEKQISELNQAIADLKTRLELLSAEKATVDNTVSALLIDVTTRDEKMKDMDSHLHQLHLEHVKLIEEADLARKSVSDLRAQVCELEGEVEKQKLMIFDSAEGKREAIRQLCFSLEHYRHGYQQLRQLLQGHKRPMVMAS >Et_5B_043815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16455354:16456627:1 gene:Et_5B_043815 transcript:Et_5B_043815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGINGIDLLNGFDVAVEGRGKAPPVDICRRVDNCWNSTLESISEGIPMLYRAHSVDQTMNARYVEKVWGVGFELEGELDRRKIELAIRNLMSMEEGSEMRERAGQLKKKVVNYLGSYGSSYIAID >Et_10B_002389.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:16786539:16790046:-1 gene:Et_10B_002389 transcript:Et_10B_002389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRARSQRRSLADSPVGSMALGDIVPQPHHCISYSAHGSEGVMTRARRRRRRVLAESTEPVVVSSEAIFQDPADGLLDWRDWANLAPELLEEIGGWLLTLDVAEYLRLRAVCKPWRDHTDAPRARGALDRRFRPSNWMVLTITPDAGSRRRLLNLATAASVGVDLPALNTHCHLCAVDGLLVLFHRATKSICLLDPLCNTVTEFPSISSIVATASPSREEHFSAVFKNPGGVDSHSVNGAAFDDSTSPPTLVLCLRDNISNIIFAKPGDAHWTLVDQGDAFHIVYHPLGRVLFHTLLSQGGRCYVASPEGSIYLVELSWPLPKLVEIVDQRQIATRDVIVYMRVLSFLFRSGDGRMLMVRYWRNVEHFGGREAFNQMELFTVGAITGRFELLEVDLARRRFVPVRSLGRHAVFIGMTHCILISTETFPSIAADAIYLGFFNQPHQKFSMYHFNSRKTEPPHEFSRDEDRRVIPCVRPCNLDQYLTFYVDRTHRFSGSCINHVQHYLLGKLM >Et_7B_055449.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:10973210:10974355:-1 gene:Et_7B_055449 transcript:Et_7B_055449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAADAAVAAAADQDAVYCSEHPYPPGAAAAAGAGAGGICAFCLQEKLGMLVSSSKSSPFHPPVSASPSSPPSGVSQPPLPLHPSAAAASHKVMTLPPSQKTKSSSSSSSAAAPAAVGLKRSKSVAPRPEEPLAPAITADSPRKKSFWSFFHLSSSSSSSSSHHRGASAAATANGGAAAARRNSVSVASASSASLGGRLEAIAEPESPGRRSEGSSSSSFGRKVARSRSVGCGSRSFSGDFLERLSTGFGDCALRRVESHREPKPNKAAAALGHHHLGGGDEDEREQHHRIKCAGFFGGGVGAAQTQPSSYWLSAPDGGGGGSSAKGRSHRSWAWALASPMRALRPASSSSSKSIMAAPHGRGVVGNNGMPSMAAVATS >Et_9A_061943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18119016:18125385:-1 gene:Et_9A_061943 transcript:Et_9A_061943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGASSSGGAANAGESVVIDYGRRRTSCGYCRSTGPTSISHGMWANSLKADDYQVLLDRGWRRSGCFLYKPEMERTCCHSYTIRLKANDFICSKEHGRVLRKMQRFLDGELDPQVGSAKCKSSPTKRALSEPMKSPTSKVSKVSANEFQASTCSNILNEDELTRRLSRKIDEAVDTCFQGGIFGSAVQLPKAVVKTVKPQVKKKVGESVQQKKAGEAVQDLAYTCNISFQIVAAFRRALPKEKGSDQSALLGDISPNSVAEKLAMTMERLGELAGFEVKACNGHLNFYSATNQTTQNHTSICAPEHVSDKSGTSKQSSVNINNARPSQKRRNLEIRMRTSHFDPEEFALYRRYQTIVHKEKTVSESSYKRFLVDTPIVFVPPRSGDNSVPPCGFGSFHQQYRIDGKLVAVGVVDILPKCLSSKYLFWDPDLAFLSLGKYTALKEIDWVKTTQEHCPSLQYYYLGYYIHSCNKMRYKAAYRPSELLCPVRYEWVRYDLAKPLLDKSQYSVLSDFDKMQDKTPQPRVCGHNNDSSEKADNFESASDEDDEDLNDYESDMIVDDGITHSEKADTTEDSSNINDIENVILELHGSRVKYKDLQQVFGPIQRRNLSALEGQLSRYVKVVGKELSDRMVYSLA >Et_4B_039556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2227277:2228688:-1 gene:Et_4B_039556 transcript:Et_4B_039556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDSSAAAVRHSAGVMAVDAAGSSERPRFEPLMPNEMSGGRPPVPSHRFAPLKRCWLEIYTPVYEHMKVDIRMNLKRLDDLYVDSFEIKNVKTLRGEHLSRAIGRLSGKGGKTKYAIENSTRTRIVIADTKIRILGSFVNIKVARDSLRSLILGSPAGKVYSKLRAVSARLAERY >Et_7B_054273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1926771:1929628:1 gene:Et_7B_054273 transcript:Et_7B_054273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGRRNRRRPLAEAESEDHGPVPNKQKIQSSATELVGGSSTVNTGTEERAAKDPRRELPTVMKPKDQQTAECVASSRDKALVRDVARSIVSVCPVGLDRNVMHQCTGFLIGWNETKKCARILTSFRAIRGLDPKTKVCLPNKTVFEGQVLFFNVHYNIALLEISSIADLPLELPSFGSNPNYGQEVFVLARGEESNLMARHGAILWFDKSDLLGRNYHMFLSCKIPAGANGGPVVDHNGDVVGMAFFGGSPQPTILSISTIRTCIEMWMKFSRIARPAHGLHLRTVELLEVSLQEMMSLDHNINSGYIVDKVSVNSAAGRLGIRYGDVIVSIDGLHVHTLPQLEDYLLSLGWGFLQGSIDSSSMVELKLEVYDLLEHNTRSIILPVEFCDASET >Et_7A_051329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1742343:1748494:-1 gene:Et_7A_051329 transcript:Et_7A_051329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAEPEKDAAAAAGDGEVKAEATGGGSGWELLYCGGTSFDTMGRKVVGGPQGNLVSPTRLRPLVGVDIRFVASGCTACHCVALDAEGRCYTWGRNEKGQLGHGDTLLRNLPTVVSELSKYKVVKASVGRNHTVVVTDDGKSFSFGHNKHGQLGTGSLRNEIESSPVPCLVSEATNAVCGADFTVWLSSVEGSSILTAGLPQYGQLGHGTDNEYNAKDSSVKLQYDPQPRPRAIATLSGKTVVKVACGTNHTVAVDSSGFVYTWGFGGYGRLGHREQKDEWQPRLVEVFQKHNVLPPNAVVSAGSASSACTAGGGQLYMWGKLKNTGDDWMYPKPVMDLSGWNIRCMASGNMHHVVGADDSCISWGVAVHGELGYGPNGQKSSANPKKVDILEGMRVTSVGCGLGLSLIVVDRANFEDRLDQLEIYDGDTSTQVEEAEVQGTKKKASARTNSRANKRKKNKDLSDSEEEDDEDESGDDENGEATEAKGKRGRKPSNRGRGRGAKKATPEPKPAGRGRGRPKKTESPAQKSGSSGRGGKRGRGRPRK >Et_1B_010539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11392154:11392521:-1 gene:Et_1B_010539 transcript:Et_1B_010539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDHSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQ >Et_2A_015121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3159761:3160386:-1 gene:Et_2A_015121 transcript:Et_2A_015121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding STRAPSSSSPTPTWSSSSSLVATPVHHDRWSNSARPGLVLGVCGPLLLRNGLRGATCLCLLVRNKCVTQVCNGNGVAIFNNATGRKAPATIQSLGAASEWLLFVPFFLGYGTGGLRAWPCSRTDVAPLSIALSLGLVSTLVASVRPRFRKALLLNTMSGGRQTPGRVFIFYIFTAEP >Et_1A_005523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11101058:11103096:1 gene:Et_1A_005523 transcript:Et_1A_005523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKAATAVRVLVVDDSPVDRKVVELLLRNHKGNAAPFHVTAVDSGKKAMELLGLKGQGKLDSSAADDANALSSPNPIPVVVMSSENEPQRISRCLTAGAEDFILKPLKSKDVQRLCNCSNARSTKDATEDQRKSLSNTRKPPSDRIAKKATSEHRSQLAGFAMVLNVSSSELSYYFQYLFKFILLAYAVLCLRELLHRWSNGSFLSLWCA >Et_3A_023853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13473568:13475819:-1 gene:Et_3A_023853 transcript:Et_3A_023853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRSREVELKRELDHIDDSLVLSICLDAEAGASFPAGSRGELDRYLAAVKRLLRMEAFGDMERRRKCLLDVAMSSLSNVFCRLRLWRLVDEAGDHTPASIWGSAVRRSRSCSTSSDGSSRASWPTASCTSFTSNGGTSVGEESDNPFSGMICIERKSLYIEIFDISKIWGSHMEEPRDILVKVWTSVMRTLTGLLSEMQRQLDQQDLGSFNSLKEDYFLTIARISILKLLNSASSIIQVDPAVDSSCNKTLVTSDLTKIITVVKMYKALDRGRPTILSFFSGTTKEGVVAEGEELINRLSNMFFKLSVELNNMVRSENLFITNTGVHRATKYMMHHIRLVAQQKNAIHLILKGDFKAFGEMVTRLILSLEFMLNMNARSLQLRGQQQIFLLNNVHFLLEEAKKDTVLRLVLGQSWFSQCSVRVEQFIEDYVDASWTPLMSTFRRRIRLVTILWSHRQLFEKFASSLKMTCTMQKTWKVNNQSIRQKLRVAISQRVIPLYQMHMEYYSEKMHKSEKYSIEEIESEIQQLFEG >Et_3A_022978.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:11670870:11671767:-1 gene:Et_3A_022978 transcript:Et_3A_022978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGHYIKHQSAWRRFRSVLAAVLRLRPARRWRDKIGQHSVLEPRRFRRTGLLSEKLYGDSGLMKSIDVSPAVKDAVLRSLQSSYSTSAGRVGGKIDWTRYGSKTSCAWNGDCGTITELILAWHIGTRLFEMKSTSASTEMIAASHLSYYCAYLVAAAPELLPDCAAWTKIRYDEVSEDVRAALGKDAKGESMAARYEKLVAALSADSRDKVLRRGAEIGRHLVKQYAEDEASACRVLADFWSEMLLYVAPSENVKGHVEAMARGGEFLTLVWALLLHAGVTTRPEAPGAAIV >Et_4A_035789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:607893:609619:-1 gene:Et_4A_035789 transcript:Et_4A_035789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGRKNLRRARDEGAAVTLAEGESIMQVLTLRGSNVIEVMDGKGVKSLALFPAKFQKSFWIKNGSFVVVDASGRDQALESGSKIACVVSQVLFHDQVRALEKSNEWPAIFKSTANEGSEAGTQGETAAQSQIDEEPNSDEDDDLPPLEANTNRNRPYELYSDSESGSDS >Et_3B_031384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27746643:27751999:-1 gene:Et_3B_031384 transcript:Et_3B_031384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSAPPVSPRLALGAALVLLAVSALPSPAAGVNVTAVLAAFPNFADFARLLASTPVAGELFGRSSLTLLAVPNANLPQSPSAFAAAAGADIADVLRYHVLLEYLAPSDLARLPASGKLVMTLFQTTGRAPSDLGAVNLTAGANSSVVVRSPAPATGSNATVLGAVTAVPYNISVLAVGGLIVPSGFDLAASESGPPPPVNITRVLTDARGFNVAASMLQASGVAAEFEADEHGAGITVFIPTDDAFASLPATGRLQSLPADRKALVLRYHVLHSYYPLGSLESIVNPVQPTLATEFTEAGRFTLNITRVNGSIAIDTSIVQASITRTVFDQNPVAVFAVSKVLLPKEMFNPGDGGTLATASPSAAKAPDDAGNKQTPPTRLSSPPDLHGDDINLGCHQASQEGERSYFFHSNVTPTEKRLIVSLAQEQHRLRPLLSERVERVVVVWRLLRLGLHFPRGPRFQPRYQVLLVVVVAVVPPPPLLVLRVAPPVRGHATAGIATGQLRDRTRGAAEVEAAESLDLARDLARPLALLLQERAPLQQLEHALRLPLHRQAPVEAAARRVHGSRQRHNTTGG >Et_4B_037636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2281358:2283457:1 gene:Et_4B_037636 transcript:Et_4B_037636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRYAARLLSSSATTNNPASPTPQPAAAWLHDATGDCCAFCDLAHSPTQEAPDAVKHKGHIACRVPESEVKGGELVGLAQGLSAPEAKKCKVDHGHPENEADESLIVTNARGATLVTKAAVNIAFKAGPFVANDVTIDQEVKCGGSLVTDTIKADVTGGNSHVNGWTTELEVLKDAPVSIGVVPDPAVTEGVSLERGATTLPGATCIEPDVTGEYSIMNESGAELGVIRTASLVNEASTEPELTERVSVTTKADREADDTGRVSRSVEDDPALDESQPPSSDMIGNVQVGNAGETVATVVEPCQCDAADVDGSVGSTSYGHVGAKDSIVEGGAAHDKSGTPSVSCTLGVVARSIGRSERTDVICYTRRRGKRKLDLLEVKTENIDLDDGVSCDPYGENKTLKSNGPCESMISRAVSVDVKLADIKRELMENSPARKVKKMKTNKFECNIDYCRMTFKTKTELSVHKKNMCTVKSCSRHFRSHKYLRRHQSVHNDDMPYKCPWEGCSIAFKWPWDRAEHFQVHAGVKPYKCMTPGCSKIYKFVSDFTRHRRRCKPQE >Et_4B_039305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9881598:9884317:1 gene:Et_4B_039305 transcript:Et_4B_039305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSRGSIAFFTSYRPPVPLDIFCCPVPPSPEQGELHLTDGLFYNYNCQAIPPAALKTIIRRLGLAPETVIEDDGVGCWPLAPAAGWCRLLSVGCCLPAAAADQPKNNQPNRPDIDSGFITGFVFVSEREHNLETLHVALRFGADDEVKVFSLAEIYGTGFFSGTRMEDSACFAGGYEVDGSTIDHCLVYVSTKEPVQERRSPWNVVYKTNLRTGETERLTPPGTYDICPSACPSGDKIAVASFQGKRWDGEIKDLQTNIYVMSLENPSLDRKRVIENGGWPSWGSDNIIFFHRKVGDIWGVFRYNLSTGEAIRVTPEAFDAVTPAAIDETRVAVATIRQKSEFTDVRTETQYRHIEIYDMCAPDQPVKITQNTRAKADHFNPFVMDGGKYVGYHRCKSDLLQHGDDIPRHFHKLHSPHDDVGLFRVSGVFPTFSKDGSKLAFVDNEFKAVWLADSKGLRVVFETDGPDSIFSPVWNQNKDILYVCMGPSFKASATLEIHAIADVSSGARKPRLLTKGEFNNAFPSTNPDGTRFVFRSTRDGGDKFYKNLYIMEDAEFGEVGGGEVTRLTEGEWIDTQCQWSPNGDWIVFASNRDRPKDAPERDHGLDPGYFAVYLMDVCDRSVVRVIRSGYDIAGHVNHPVFSPDGRSIVVTADLAAVSADPMSLPTFLHSVRPYGDIFTVDIDPDDMNKNEDLESFVRITHSRYENSTPSWTVFSTHDPHAQWNVLVVEDDYTPSCPYAHHDGSESWHMTGQICIPKRHC >Et_10A_002196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:465944:468978:-1 gene:Et_10A_002196 transcript:Et_10A_002196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAHPVPVLLLCLLAAAASTAGAGEVDALLAFKRALTIPPAAAGFFATWDDAAASPCNFTGVRCDLLRGLVVAVEVAGQGVSAASVPFADLCKSLPALRTLSLPENALGGGIDGVVKCKALWELNLAFNGFAGAVPDLSPLTSLTELNLSSTSLSGPFPWSSLLAMPDLAVLAVGDNPFLAPTTKFPEAVTKLTSLTTLYLSAANIGGAIPASIGDLVNLVDLELSDNSLTGEIPKEITKLTRLTQLELYNNSLTGSLPAGFGNLTRLQFFDASSNNLTGDLSEVRSLTRLVSLQLFYNGFTGHVAPELGEFRELVNLSLYNNNLTGELPRSLGSWAAFNFIDVSTNALSGAIPPDMCKRGTMKKLLMLENNFSGAIPATYADCKTMERFRVSKNALTGEVPEGIWALPNRRAAEAEAMAASGKRLLFAKKGSWDLKSFRVLAFDERDIIDGVRDENLIGSGGSGNVYRVKLDSGAVVAVKHITRTSRTAALGKCREFDAEVGTLSSIRHVNVVKLLCSITSEDGAASLLVYEHLPNGSLSDRLHKLAWPERHDVAVGAARGLEYLHHGCARPILHRDVKSSNILLDEAFKPRIADFGLAKILVDGDESFKQDSVVAGTLGYMAPEYAYTWKVTEKSDVYSFGVVLLELVTGRAAIQEGKDLVDWVSRRLDSRDKVVSLLDARIAAADEWAREEAVSVLRVAVLCTARMPAMRPSMRTVVQMLEDAAAARHYAPPEKLIDVKIVT >Et_1B_010703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13174387:13189338:1 gene:Et_1B_010703 transcript:Et_1B_010703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSDASSQGGDQRSFRQITRDRNNRPPYLLRLLFEMLRSTRKDSKSTWKVLIMDKFTVKIMSYACKMADITEEGVSLVEDLYKRRQPLPSLDAIYFIQPTKENIVMFLSDMSGRSPLYKNSPIQKDLVAQIKKDSSVLPRIGALSEMNLEYFAIDSQGFITDHERALEELFSENAEGSHKYNACLNTMATRISTVFASMREFPRVHYRVAKTIDASTMTTLRDLVPTKLAAGVWNCLAKYKTSIPGFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVHEVPSKNGSATEKKEVLLEDHDPVWLELRHAHIADASERLHDKMTNFISKNKAAQLHQARTGGELSTRDLQKMVQALPQYSDQIDKLSLHVEIAGKLNNIIREQYLRDVGQLEQDLVFGDAGTKELINFLRTQLGVSRENKLRLLMIYAALNPDKFESDKGGKLMQLAGLSADDMIAVNNMRCLCGPDTKKSSGGGFTLKFDVHKKKNAHRKERTGEESAWALSRFYPVLEELIEKLSKGELPKDEYNCMNDPAPSAHGPPTSASVRTSPAHSMRSRRTGGTWARPRGSDDGYSSDSVLKSASSDFKKLGQRIFVFVIGGATRSELRAAHKLTSKLKREIILGSSSLDDPPQFITNPTFRRLFSSWFFPHGDATTGPPRRRVPQPYEPESDRAEAAGRLTHADSSRSVPE >Et_3B_028913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20376258:20379009:1 gene:Et_3B_028913 transcript:Et_3B_028913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPRTQRTHDWALPNQQVVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFSTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDQNLHFVEAVALKPPEVQIDMAMQQQHEAELAAAAAQPLPDDDDDLIE >Et_3A_023906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14460643:14466622:1 gene:Et_3A_023906 transcript:Et_3A_023906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHLPQQQQYGDPYRTLVPSPQPDHHPHALQYQQQQQLQPTLMSPPQPQPTLMSPPQPQPQPMLMSPPQPQQQHHASLASHFHLLHLVTKLADAIGTGTRDQNFDALVEELTSQFARCQQLLNSISGTISSKSTTMVSSLWCPELGTPLQKQNLLPLSTRERAYGLNIRAGKHHLYTSKIYGEAASD >Et_6A_046641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18647040:18655817:1 gene:Et_6A_046641 transcript:Et_6A_046641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADNSSCGSHGSRACVAVWTVQTPYDHECLDAHRSLGGLLPHTRSTSILIKPLRTDGDKKPVGTFAEREDPTGVLTDSRCPEFLRKSFVPIFFVPLEGEQNSQGPSPNAEPTIRASMLRRRRAPLFLAAAAAGAALVAAAPSGESGRSVASTLHHGVSRSSRAVYTIGFVVADYKYSLKGMATGSADYRVKLSEVHLRSAKKLLKLCEANGGFYVKAGQFVSSLRQVPKEYTSTLSCLQDQATPSSFRDIKIVIEQNFGKKINDIFLEFDEHPIAAASIAQVHRGRLHNNREVAVKIFPDYRFDRILVEFERSMSMELDFTKEAKNSERTASCFRKNSVIKVPYVIGELTTREVLTMEFCYGHKVDDLDFLRRANINPAKVAKALIELFGEMIFVHGFVHGDPHPGNILVSPQGHGKFSLVLLDHGIYRELDEKFRLDFCQLWKALILLDAKKILELGEQFGVGKYAKYFPLIFTGRTIESKSVLGTQMSGEEKRRLKEDLNALGMDDISSFTESLPPDFLVILRTDGLLRSILGNLGVPRHVRLLTYAKCVVHGLQKQSKMESGAINRMFLQVKTNFRYLHLRVLIEIAVLLEKVNDVRRQIIYKLRWMLQAIGGNLFVLR >Et_1A_008438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7742120:7742881:1 gene:Et_1A_008438 transcript:Et_1A_008438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIAGLVLLAAVAPAYGKDYVVGDSSGWTSGVDYTTWAKGKTFNVGDNLVFQYSMMHTVAEVSSSDYSACSASNSIQSYSDQNTKIALTAPGTRYFVCGTPGHCSGGMKLAVTVAAATTPAASPPETPSTTPAAPGTDTPPDQTTTPSTPTARTTGSTSDANSLVMGLSAGAAALVGVALLG >Et_10A_001711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7142880:7145242:1 gene:Et_10A_001711 transcript:Et_10A_001711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGRVAAMAVHHRRPLAQLAAVLLLVLATAATALSDAEASDIARRQLLSGSNGELPDDFEFDIRVDVTFANDRLRRAYIALQAWRRAMYSDPRNFTGTWVGADVCGYFGVTCSPAPDDANVTVVAGVDLNGGDIAGYLPAELGLLTDLAFFHVNSNRFCGVIPESFSRLSLLHELDVSNNRFVGAFPNVTLRIPVLKYLDLRFNDFDGELPPGLFEKDLDAVFVNSNRFVGCIPENFGNSPATVVVLANNAFVGCIPRSVGRMADTLDELVLLNNRLDGCVPPELTQLKNAAVVGVSGNRLVGTLPEIGRGEMASLEQLDVSRNQLAGAVPAAACALPRLANFSFADNFFSSEPAACVPSGNAAVALDDAGNCLRGERPGQRPPAECAAVLARPVDCSTNVCSAGPPKLPLHKKATPEAPPTESPESSPEPPKEPAPTPAPEPKPTPKPETPPPAKKVPEPETQAESPGNSPTEANPPSPSPQPQPPVPVPARSSSPPPAPVSSPPPPVKSPPPPAPFATTTGEVPATTSSSELTTTSTGELASSTGEIASTTSARELTTSTCELTSAASANQLTSTTSKIPTASSSGKLTTTTCKITAAAGSSELATTTCKITSTTVSSEFTAATYKITSTTGSSKLSTTTTSSELTTATSQVSTTTDSSELAAPTGKVTSTAGSGKLATASSKISSTASSGELSASSCKVSSSTCAGELSTPTCQIPTTTSSIELAASTSGPITSATGTIVASASARRNHPTANHDTKLRLSTTANVPRILTKWVGSRRDQ >Et_4A_032084.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:16146972:16147040:-1 gene:Et_4A_032084 transcript:Et_4A_032084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSLKGSIQRLELGGISSGRI >Et_9B_064886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19580355:19584674:-1 gene:Et_9B_064886 transcript:Et_9B_064886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LKRTGKSCRLRWLKHLSPSVRRGNITLEEHDLIVHLQAACGNSQQVHHRLTPPSTGYYHFPGRTDDEIKNHWRTRIQVKKQRKQDFMATNKSSTSAEKQGSCNSNSGRTEAMQDYGIVVPQADTHILDNSQDYNPHGGGGGGSCNGAAMDIPLGILALSGENFWSFEDLRSCARAPGRWRRTASSSTTSAPTARARMATNKISTSPGDQGSDGSGSGREEVTQDYGIVVPQRDTSCLDNLPQGYKANGGGGSCDGAMGVPPGFLAMSDEDFWFFDDFWPMVQSLHSDSSTLFVEHEAV >Et_9A_061083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14639670:14639975:1 gene:Et_9A_061083 transcript:Et_9A_061083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTHTTIGEEDCQEDKSLVKRVCVDSIKIRGPYAPPTAPCRHLDEITVSMTKFVQLSSDCNKPLPAGTKCASKYMMLLAFLVYK >Et_2A_017669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4925308:4933258:-1 gene:Et_2A_017669 transcript:Et_2A_017669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGRDEDDDEVEEEEEEEEAYDVDEEEDDEDDYEEEARRGKASRGGGGGGGRKRSREDNFIDDSAIEDEDEDDDDDDGGGRARKKRGGGVRGFFDEEAQVDEDEEEEDDGEGEDDFINDAGADIPDDDVVRGSRRHSIPMREEEEDIDEIERQVRERYARSTHIEYGEEAAEVEQQALLPSVKDPKLWMVKCAIGHERETAICLMQKSIDRGDLQIKSAVALDHLKNFIYVEAEKEAHVKEACKGLRNIYASAKITLVPIKEMADVLSVESKSVDLSRDTWVRMKLGVYKGDLAKVVEVDNVRQRVQVKLIPRIDLQALASKQEGREVVKKKAFVPPPRFFNIDEAREMRIRVERRKDIYSGEYFDFVDNLQFRDGFLYKTVSIKSIHTHNIQPSFDELEKFRKPGDDMNGDMASLSTLFANRKKGHFMKGDAVIVIKGDLKNLEGWVEKVEDETVHIKPKISDLPKTLAFNEKELCKYFKPGDHVKVVSGVQEGATGMVVKVEGHVLIILSDTTKEHIRVFADHVVESSEITTGITRIGDYELHDLVLLDNLSFGVIIRVETEAFQVLKGVPDRPEVVLVKLREIKSKIDRRSSAKDRSNNIISTKDVVRVVEGACKGKQGPVEHIHKGILFIYDRHHLEHAGFICAKAQSCLLVGGSTGGRRGNGMDTADARLGALRSPASILQSPGRLPPRGPHMNYGGRFGGGGRGGRGHDALVGKCIKIKSGPYKGYRGRVKEVTGALVRVELDSLMKIVTVKRDDIADTPAVATPFREPRYSLGSETPMHPSRTPLHPYQTPMRDPGGTPPARPYEAPTPGSGWANTPGVNFNDAPTPRESYGNAPSPYVPSTPVGQPMTPNSASYLPGTPGGQPMTPGNVGMDIMSPIIGGEGDGSWLLPDVLVNVLKGGDDGPGVVREVLADGSCRVALGSSGDGDTVTVLPNELEVIKPKKSDRIKIMNGNFRGFMGKLIGIDGSDGIVKLDDTYEVKILDMVILAKLAT >Et_3B_027949.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27979979:27980143:-1 gene:Et_3B_027949 transcript:Et_3B_027949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RQLFKQITRNRPIVNLNVLLYPFFAHTLGQYTLTHLNVPSQSHLCRSFPKLLCY >Et_7B_053716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11368927:11384852:-1 gene:Et_7B_053716 transcript:Et_7B_053716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQDQSIVNGVDDSVGFLGSCRERLRSAVDKLRCSVVGFAAKLCKIARDDPRRVAHALKVGLALTLVSVVYYVTPLFNGFGDSTLWAVLTVVLVMEFTVGGTLSKGLNRVFATFIAGFLAVGAHLVASLCGEKGEPILLGLFVFVVASAATFSRFIPEIKARYDYGVLIFIMTFSMVAVSSYRVQELIQYAHQRASTVAVGVGTCLFTSTFIYPIWAGEDVHSLAAVNLDKLAEFLEGMESQCFGENTASENLESKSFLQVYKSVLNSKAREDSLCNFARWEPIHGRFRFRHPWSQYQKLGALCRQCACSMEALASYVVTLKNSHCPEVNPERCLKVRISCHEMCSHSARVLKELAKAIRTMTVPTPTNNDMSAAIEAANCFINDLSGDATLLQVMHVAVIASLLSDLVMRIKEITESVDSLAQLARFRKAQKIRNDVRPRSAADADKLRRSVVGFGAKLGKIASDDPRRVAHALKVGLALTLVSVLYYVTPLFRGFGVSTLWAVLTVVVVMEFTVGGTLGKGLNRAFATLVAGFIAVGAHKVADLCGDKGEPILLAIFVFLLASAATFSRFIPEVKARYDYGVTIFILTFSLVAVSSYRVEELIKLAHQRFSTIVVGVLTCLCTTVFVFPVWAGEDLHKLAAGNLDKLAEFLEGMESECFNEDTTSEELESKAFLQVYKSVLNSKATEDSLCNFAKWEPGHGKFSFRHPWGQYQKLGALCRQCASSMEALASYVVTLKKSQYPEANPELSSKVRTTCGEMSLYSAKTLRELSEAIKTMTVPSKASTHMSAALKAAKSLRSELSEDAALLQVMHVAVIASLLSDLVSQIKKINESVDNLAKLACFKDPEKTQKEVVIDIIICMNHANDMIELVCPTLSMMIPVEMLRYAARNNGLMDVPVIHGRLAVVTIATCRLLSEILCVNFEILLRKSTSSIIISKVVVAITKLSLNFSTNSLRPRNPANMSLDRLRRSNSLSPLRIILTTSHSLAPSSTRSPFHRAILHRPAPSPSATTALRMAVRSTRRLAPAGAVGHPSSAARDEYCLRSSWIFG >Et_1A_005394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1026399:1027739:-1 gene:Et_1A_005394 transcript:Et_1A_005394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMSKANNNGEPQLVCVTGAGGFIGSWVVRELLVRGYRVRGTARDPGDSKNAHLLALEGAEERLSLCRADVLDYDSLRAAFRGCHGVFHVASPVSNDPKLVPVAVEGTRNVINAAAEEGARRVVFTSSYGAVHMDPNRSPDAVLDETCWSDYEFCKKTDNLYCCAKMMAEITATEEAAKRGLQLAVVVPCMTMGPMLQQTLNFSSNHVARYLMGTKKSFPNAVAAYVDVRDVARAHVLAYERPAARGRYLCIGTVLHRAQFIGILRELFPQYPVTAECEDDGMPMAKPYKFSSQRIKDLGLEFTPLKKSLYEAVICMQQKGHLPVIAQQQRAYL >Et_1B_011111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17771704:17776713:1 gene:Et_1B_011111 transcript:Et_1B_011111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAQAAWLLVILCCCCSWSQRQILVAATTDANDVTALNTLFTSMNSPGQLQGWKVSGGDPCGGSWQGITCSGSSVTAIKLPSLGLSGTLAYNMNIMESLVELDMSQNNLGNGQQIPYNLPNKKLERLNLAGNQFTGTVPFSISTMSKLKYLNLNHNQLSGDITDIFSNIPSLSTNNQLTGSINVLANLPLDDLNVANNRFTGWIPNELKKINSLQTDGNSWSTGPAPPPPPFTAPPHSRKRGKSPGQRSNGSDSSSSGGSSGIGAGAIAGIVVSILVVGAVVAFFLIKRKQRKGAVPEHFEQRQPFNSFPSNEVKDTKPIEEATTIEVESLPSPATVNVKPPLKIERNQSFDDDDGFANKPVAKKVNVAPVNTKVYSVADLQMATDSFNMDNLVGEGTFGRVYRAQFSDGKVLAVKKLNSTALPSQSSDDFFELVSSISKLHHPNLSELVGYCMEHGQHLLVYDFHKNGSLHDMLHLSDEYNKPLSWNSRVKIALGSARALEYLHEICSPSLIHKNFKSSNILLDAELNPHISDAGLASFVPDAEFQASDQSSGYGAPEVDMTGQYTLKSDVYSFGVVMLELLTGRKPFDSSRPRSEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVVALCVQPEPEFRPPMSEVVQALVRLVQRANMTRRMLDGEEASRRPDDQDQEFL >Et_9B_065940.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18313583:18314392:1 gene:Et_9B_065940 transcript:Et_9B_065940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALLLLVVVVFHLPTPAPATATLNPIGDLFAKANDTTTTTTTSAHAPTAASASAAAPAPSAAVSSGHDNGKKLPPHEAAAAPAAAGSPKEKEKEEREKKELEEIAKAKKEAAANGNLVSLAVDDTGAYKGMTHEFLEGHNVLRKRYGVPPMKWNHKLARYARRWSNAMRKDCELKHSSGSNYGENVFVSRKNTWNATAKDAIGSWLNEESLYDRVTGNCTAGHHYRDCGHFKNMVNEKLQKVGCARGECYKGGVFMSCNYYVYNYDA >Et_1A_005360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:41411:43937:1 gene:Et_1A_005360 transcript:Et_1A_005360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMINQMGVPASLKLELPLRRCSTAATPRFMRMRCALNSDDSPTPVPPMTVSITGATGFIGRRLVQKLLSDDHKVCVLTRSVSKAASVFPASTYPGVTIAQEGDWEACVKASSAVVNLAGMPISTRWSPEIKREIKQSRINVTSKVVKYINNAQNADVRPSVFVSATAIGYYGTSEIHSFDESSPSGNDYLAEVCREWEARAREVNQEDVRLVLLRIGVVLGKDGGALAKMIPLFMMFAGGPLGTGRQWFSWIHLDDIVNLIYESIANPAYKGVINGTAPNPVRLSELCERLGQVVGRPSWLPVPEFALKAVLGEGASVVLEGQKVVPKKAKELGFSYTYPYVDDALKAIARDL >Et_9A_062107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19781021:19781336:-1 gene:Et_9A_062107 transcript:Et_9A_062107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKKNDELLIPFADFLRLVKEITGRHSREVSRWTPKALLSLHEVNVQTFMCISS >Et_9B_065193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2220037:2223100:1 gene:Et_9B_065193 transcript:Et_9B_065193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVANADAEAVDFNFDDDDLMDEEVPEPSPAPAPAPRLRSAIAGGGDDGARRTKGRGFREDPNSSSAPRDSRFAAGGRADFDSDGVSDPVRSIEGWIVLATGVHEEAQEDDLHNVFREYGEVKNLHLNLDRRTGFVKGYALVEYENFKEAQAAIKALDGTVLIDRTISVDWAFSNGPAVKPRNTNTRKRSPRAHRSRSPPRRRH >Et_4B_036696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10780754:10785348:-1 gene:Et_4B_036696 transcript:Et_4B_036696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTAPPAKRRRGPRLAVLALVFCSLLVPIAFLFNRFPAVYVTDERPQQEIDLPSFERIGVESGGSVNKAKQESGSVTGGNTEAQVILPPPFIEKPKPEVKPVTVPVQPTKDIDRGKLRPPRVQNADEVEKAKACQIEFGSYCLWSIEHKEVMKDAIVKRLKDQLFVARSYYPSIAKLKGKEALTRELKQNIQDHERVLSESIVDADLPSFIKKKIEKMDQSIARAKSCTVDCNNVDRKLRQILHMTEDEAHFHMKQSAYLYNLGVHTMPKSHHCLNMRLTVEYFKSAPLDSDDSAVHKFTIPDYKHYVILSRNVLAASVVINSTVSSAEDTENVVFHVLTDAQNFYAMKHWFARNSYRESAVDVINYERVILENLPEFGTQQLYLPEEFRVLISSLEQPTEKSRMEYLSVFSHSHFFIPEIFKDLKKVIVLDDDVVVQRDLSFLWNLDMGDKVNGAVRFCGLRLGQLRNLLGRATYDPKSCAWMSGVNVIDLDKWREYNVTENYIQLLRKFGNNGDEASLRAAALPISLLSFQHLLYPLDEKLTLSGLGYDYAIREGAIQSSASLHYNGNMKPWLELGIPDYKKYWKRFLARDEQFMDECNVNP >Et_2B_019245.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19819262:19819522:1 gene:Et_2B_019245 transcript:Et_2B_019245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGNTWKGKNGRTFDAIHSAQVFRWQCKLKRNRRHTYERQGEIIHMKHSRVLTLVPTTMILPVFVFLFCFYGLRFCLCYVNRFFF >Et_5A_042195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7308543:7312423:1 gene:Et_5A_042195 transcript:Et_5A_042195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSLPFAALRGAADWRPSTVAAVSGAVVLSARARRGSRTVVRCVATAGDVPPTVAETKMNFLKSYKRPIPSIYSTVLQELLVQQHLMRYKRTYQYDPVFALGFVTVYDQLMEGYSSDEDKDAIFKSYITALNEDPEQYRADAQKMEEWARSQNGNSLVDFASKDGEIEAILKDISERAKGKGNFSYSRFFAVGLFRLLELANATDPTVLDKLCAALNVNKRSVDRDLDVYRNILSKLVQAKELLKEFVAREKKKREERSETPKPNEAVTKFDGNLYSIRH >Et_3B_031022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10318704:10321654:1 gene:Et_3B_031022 transcript:Et_3B_031022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIMWHSWKLFDERKNSLRYPNSSLEPLLLLIRRSLGYMRSVEVHSLCSYKFGNSAAIQKLLLGDSLALGVFLLGGCSRVEAGAVRVVMSMDAEDPVLVNINSLLGSVPKPVDLRRHSIYRVPKNVRDNADLKHYEPQLISIGPYHRSKEHLLAMEKRKRLYLQRFLDESGRGTLEQCIERLRAREQEARACYFEGSALKSAEFVEMLLLDGCFIVQFFLHWFCGKPSDPMFYVAWNLPLLLTDLLMLENQIPYFVLLEIYDIHSHDPDRPRQARPKKSLTYIITKYFNSKERRNVTCVPLDQESDIDHLLHLYHSHFITPPNKRSLKCPSGADTTRLLLQGEDGEAEPAPAPRTVRCAKELRLHGVTFRCTPQTMTGNILNVTFDQGSGVFEIPGVEIHRATCSKYLNLVAFEQSRHGEEKYFTSYVVLLDNLVNTAEDVLILEKNHILVNMLPNEEDAAKFFNQLSSCSYIDYENHYLAEVYTDLHAFCRRRWPRYKAMFRRNYHNSPWSIFGFYLGSLFALITIFTTIITILEKFTHVLN >Et_7A_052092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4565877:4568686:-1 gene:Et_7A_052092 transcript:Et_7A_052092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVHGVGRRLVAVAWLVVSFAASLASARFIVEKSSVKVVSPRSLRGHHEAAIANYGVPDYGGTLTGVVLYPDAKLATGCKPFGGEKKKKFRSPSGRPVVLLVDRGGCYFALKTWNAQEAGAAAVLVADTADEPLLTMDTPEEETPDMVFLRNITVPSALVTKSFGDALRRAASESGGEEVVVRLDWRESMPHPDERVEYEFWTNSNDECGPRCDEQAAFVAAFRGHAQLLEKRGYALFTPHYITWFCPDEFLQSAQCKAQCINRGRYCAPDPEGDLGAGYSGRDVVLENLRQLCVHRVANARNRSWVWWDYVADYHLRCSMKENKYTRRCAEDVAGSLGLPMDKIDECMGDPDADAENDVLKTEQIVQVGHGTRGDVTILPTLVINNVQYRGKLESTAVLKAICAGFKESTEPHVCLTPDMETDECLTDNGGCWRDEKTNTTACKDTYRGRICQCPLVDGIQYQGDGFTECKAVGPGRCAMNNAGCWKETRHDRTFSACSESNLSGCKCPPGFKGNGFHCQDVDECSEKLACSCPHCSCKNTWGGFDCKCSSGLMYIKSEDTCIAKNMTAVGWLITALVLSCLAGAGIAGYVFYKYRLRRYMDSEVMAIMAQYMPLESQHNENQPLGREEPEQA >Et_7A_052989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:479767:480855:-1 gene:Et_7A_052989 transcript:Et_7A_052989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGSASVVVPRNFRLLEELERGEKGLSDGTVSYGMDDGDDIFMRSWTGTIIGPLNCVHEGRIYQLKLYCDKDYPDKPPTVRFHSRINMTCVNPETGLVEGKKFHMLSNWQRDYTMEHILTQLKKEMCTPHNRKLVQPPEGTLFE >Et_1B_009678.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:13974770:13975816:1 gene:Et_1B_009678 transcript:Et_1B_009678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRQLLLQARRQCLLQQPQKLPGLIVLFRTLSILPSEEAARRFRPLQVQLPQSSPWSGSDPLGSGFRIEAVDSDLWPASVGFSLDPTLGEECPDGFEQHSDNKLHDSDDEIDDMRHRKKLYYKLDRGSMEFEENSVPLRRRRKRDTTSAKNPKDCKKVEPAQSVSTNVPKLKAKRSVREDNMVEVKRERVPTFNQMTDPYHLPFCLDIHVTKGSVRACFVHRVTSRVVSVAHSISKDMKFDLGSRKGKGMKACAAVGALLAKRAIEDDIHNAVYTPRKGDIIEGKIEVVLRAITENGVDVKVKLKQRKPIKVFHYYALFSFLLCCCAEPRSLSRSCCEFVFFFSIQV >Et_2B_019102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9667581:9668231:-1 gene:Et_2B_019102 transcript:Et_2B_019102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGVPKVPYRIPGDEEATWVDLYNVMYRERTLFLGQEIRCEITNHITGLMVYLTASMASFILLGGEPTKRIAFPYARIMLHQPASAYYRARTPEFLLEVEELHKVREMITRVYALRTGKPFWVVSEDMERDVFMSANEAKAYGLVDIVGDEMIDEHCDTDPVWFPEMFKD >Et_2B_020840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24093288:24096645:1 gene:Et_2B_020840 transcript:Et_2B_020840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAALGLRLRVLRRRRRRPRRGRRRPRAAKEDEGREPVLLVSGMGGSVLHARRRSNPKFDLRVWVRILFADLDFKKYLWSRYNADTGYVESLDDDVEIAVPEDDHGLYAIDILDPSWFVELLHLSMVYHFHDMIDMLVGCGYEKGTTLFGYGYDFRQSNRIDKAMAGLRAKLETAYKTSGGKKVNIISHSMGGLLVRCFMSMNHDVFAKYVNKWICIASPFQGAPGCINDSLLTGLQFVYGFESFFFVSRWTMHQLLVECPSIYEMLPNPDFNWKEKPIIQVWRKNPEKDGIVELVLYEATDCVSLFEEALKNNELTYNGKTIALPFNMSVFKWATETRRILNTAQLPDTVSFYNIYGTSYDTPYDVCYGSKTSPIGDLSEPAYTYVDGDCTVPIESAMADGFAAKERVGVKADHRGLLCDENVFKLLKKWLGVSEISARHRLSKSKVVDLYPEGSC >Et_4A_033577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24710683:24711485:1 gene:Et_4A_033577 transcript:Et_4A_033577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGSSGRFRLLAAAVVGVLLVCAPAAALDIGLQSAGDGPNKRQACSRTCESDHCTTAPFLRYGKYCGILYSGCPGEPPCDALDACCMHHDNCVQAKNDYLSTQCNEGLLECLAKLREGTETFEGNKCMIDEVIDVITLVIEAAVVAGRVLHKP >Et_2A_017583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3856543:3860995:-1 gene:Et_2A_017583 transcript:Et_2A_017583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLTSSGKRHADPAEPAMAPARAPAAAAATVKLEADELGFEEGGPLSKRAKAAQPAPSTPPQQQQDMYHNVLDEPSPLGLRLKKSPSLLDLIQMRLSQASTAGQSSMDNENSEPSKKRDVKSGASSASERLKASNFPASILRIGSWEYISRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDITALKASCPENGQGTLDVVLARPPLFFKETDPQPRKHTLWQATSDFTGGQASMHRRHFLQCPSTLLSKNFEKLIQCDQRLNQLSLQPDIILDSPVFEPRCSIFEDPVESKCASFTNLKDGHEALPAYSGSLSPCAGSSMSAKNEANDSVGMPAEFLPPTVSPGVGAVGVQTVSRNLNGAVPEFNIPQWWSQLKVPGLRPSMSVDDLVNHLGNCISEQITSGNATLANNEVPTKETLEEIAQYLLGDTQGPPVSASDERSLMARVDSLCCLIQKDAAPVVKPKPEPNDSDSIGVDASDGSDEEFSSASTRKTTDASEPPAMSRKDSFGELLTNLPRIASLPQFLFKIPEDSEN >Et_8A_058080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18397594:18402600:-1 gene:Et_8A_058080 transcript:Et_8A_058080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHTCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTAEEEKLIISLHAIVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPASTTTMTSSASPPCSTTAAAADASHGHLQTPFSVADHQLDAFINQSLSLPPPKLAATGQDSPPAPLPPHCPFFMFDTSPPSSLSPPAAAQLHHPFLTFTTAAMDVPSFQLLPPLVDGMSMGMAGLDCSSLGEESRGHDHEGNNGQAAAGLSNSGGGCCYEQQKQQQQVEEEQQLGHEQWDDESAQHLLMWDDDQDLTPSNLEVMQSDYS >Et_2A_015421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12445046:12448851:-1 gene:Et_2A_015421 transcript:Et_2A_015421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVTAEEVRKAQRVEGVATVLAIGTMTPPNCVYQADYPDYYFRVTRSEHLSDLKEKFKRICRKSMIRKRYMHLTEDILQENPNMSSFSAPSLDARQDILVEEVPKLGAAAAEKALAEWGQPRSAITHLIFCATSGVDMPGADYQLVERLDLSPSVNRVMLYHQGCFAGGLVLRVAKDLAENNRGARVLIVCSEITVVTFRGPSEAHLDSLVGQALFGDGAAAVVVGADPDEPAGEQPLFQLVSAQQTILPDSKGAIEGHLREVGLTFHLQDRVPDLISTHIECQLEKAFKPLGIADWNSIFWVAHPGGPAILNAIEAKAGIDKARLRATRHVLAEYGNMSSACVLFILDEMRKRSGEQGLATTGEGMDWGVLFGFGPGLTIETVVLHSIPIATGKAE >Et_7B_055975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8402167:8407214:-1 gene:Et_7B_055975 transcript:Et_7B_055975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSCYLGGNADAVEFCPHRPFHHVLAAATYTLQEQEQDRAGTVSLFSVDAGAEEASQRLRLMHTVDTPGVFDMKWSPKLPLLALADAHGRLALRRLEQDDGSDTGIVFTDVCVGDISSSMCLYVDWNQSAESLSIGLSDGSLSVISMREDHLEASEEWAAHQYEVWTCYFDRARPHLLYSGSDDCCFSGWDLRESPSNIVFQNKKSHSMGVCCIAQNPLEGNMLLTGSYDEFLRVWDMRSMAKPVKEKSINLGGGVWRMKYHPSIADVVLAACMHNGFAIVKVGPEDPMVMETYGKHESLAYGADWQIRGDTQQNGNSAWKMHGLSIYMALESRSRMRVENKSRARSQEPLTSVVPLLASKVEPLPAWSSEAYNSSFHVSGTPGKHQWLQSCFDTAAILSSSVLYRIRYTDG >Et_2A_017774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6096984:6100804:1 gene:Et_2A_017774 transcript:Et_2A_017774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAAPPHCGLSSSAPPRPQAGGVRPFLRTAAARPRSVASLAPLRAAAVRTKAALSGDGAPGISDSPHVVCFGELLIDFVPTVSGLSLAEAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGDDEFGYMLSDILKENNVNNQGLLFDPHARTALAFVTLRSDGEREFMFFRNPSADMLLEEKELDLDLIRKAKIFHHGSISLITEPCKTAHIAATKAAKDAGVLVSYDPNLRLPLWPSAEDARDGILSIWETADVIKISEEEVSFLTNGEDPYDDAVIKKLMHSNLKLLLVTEGPDGCRYYSKEFSGRVSGLKVTAVDTTGAGDAFVAGVLSQLATDFSLLQDEGRLREALKFANVCGALTVTERGAIPALPTREQVLDTLTSVVA >Et_7A_050959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1331033:1334365:1 gene:Et_7A_050959 transcript:Et_7A_050959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLIEEWRGKKSSVVSVMDNEPILVDFLSMTLVNLKSRNQRSVAWFDGTGKCFLPSMFFDEETGDTVKRDAAKVEGTSQGIMLNKAANSPPEVVKQVAKETCPPNPQKPSNADILRKKITYVNKGSRDFLFVQDLFLSGMGPFATPKDLLHVYRYSPNDTTEQFRLEAFEREMMLTKEEHGDANVRYGWLGSRKDDIVRILVNDVGTTRKPVEKSGLNAGIYLSPENRAFTSVGLCDVDEKGVQYMLLCRVILGKMKAIKSGSQESFPSNETYDFGVDDCLNPKCYVMRPSHLSTHIRLEYLISFKIAPLFQNYLLGLKGLWFHQSPAQLAADISTLKLVTCERGQGPTTPWVSFKVLFGEIQDKISPVARELLFHHDEELKESKITREEMVKKMLIIVGEKILSEALEKLRVCPSLWYKPSVEAASSRDGLAPSCLPETSSSAGSILSSFQGVGTEGRESTSQIMSPGNSATQCAKKHDSLVPKLPPIPRELLLKTSQRSASPGVEACNPPPATELSAPSSASRGRWSSASGFAAGSEGCESSIPILSLGNSETRGMRRLSSKPRMTFEGQEFLSLGIASQGSAFHPVKGPDGIKSVPTPPVHAPGKASIYYQR >Et_8B_058978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11892610:11895607:1 gene:Et_8B_058978 transcript:Et_8B_058978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCPQVTSRRNVPKANTSVRGDELPIQEATSNSFYDMKPLGPIQTELILFTVQILVKTAIFHVIIH >Et_9B_066111.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:407358:408284:1 gene:Et_9B_066111 transcript:Et_9B_066111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQHVYNMTQRRSGEQASSCEVIAAVDDVPVHGHHKPGKAVTASVYRAKIAGHSRVVTVSWSRDLLSHAFAVSISGADAECRVELRPWQFWRRAGSRRVELAGGVTVRVLWDLRHARFGAGVAEPRGGYFVAVEAAGEVVLVQGDMRRDALRRAAPCAAAEAEAVPVARREHVFGRRRFAAKALRFHDGGAVHDVAIECGQGDQGDDVEMSIAIDGEEAVQVKHLQWKFRGNQSVTFSRAKVEVYWDVHDWLFSAGTRPALFIFRPIVLSSASAPAGTMTMLAADGTLSSSSSTTGFCLYLYAWKLD >Et_4A_033401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22326688:22327794:1 gene:Et_4A_033401 transcript:Et_4A_033401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVEYRCFVGGLAWATDDRSLEQAFSQYGEVLDSKIINDRETGRSRGFGFVTFSTEQAMNDAIENMNGKELDGRNITVNQAQSRGGGGGGGGYGGNRKGS >Et_6A_045870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2223533:2223916:-1 gene:Et_6A_045870 transcript:Et_6A_045870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVGARHRPELLLRAEILQAHGALLLLQALDGLARGGDACTLAAVAVLLLRFILLLLLPCAVVIIKIRNHRKPWVAELLVLVDLWLDGI >Et_10B_003535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2823456:2824071:1 gene:Et_10B_003535 transcript:Et_10B_003535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFAAMKSPLPVAASAAAGDAKSPLFCPKPRRPVAPLRCHQSGGFSDAGAGMDLLDLLLSKGEESGLSAASPQPPLFCGSPPRRASNPVVHDSRFGMDCPPMPAALPVAAPVASRAYATPRPSAAPSMSPRGGAGCARARFAFQPAAVRVEGFDCLDGGRRGRGHGITAMA >Et_1A_007291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33022250:33028660:-1 gene:Et_1A_007291 transcript:Et_1A_007291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAVSAAPLPRVPRPRRARLLSRVVAPRAASSSASSSSPPATAASAAPVYAPTPRDRPLRTPHSGYHFDGTARPFFEGWYFKVAIPECRQSFCFMYSVENPLFRDGMSDLDKLVNGPRFTGVGAQILGADDKYICQFSEKSNNFWGSRHELMLGNTFIPNKDATAPEGEVPPQEFSNRVLEGFQVTPIWHQGFIPRWEYCTRPVYGWGDVNSKQLSTAGWLAAFPLFEPHWQICMAGGLSTGWIEWDGERYEFENAPSYSEKNWGGGFPRKWYWIQCNVFPGASGEVALTAAGGLRKIGLGETYESPSLIGVHYEGKFYEFVPWTGTVSWDIAPWGHWKMSGENKNHLVEIEATTTELGTTLRAPTMESGLAPACKDTCYGDLRLQLWEKKYDGGKGKMILDATSNMAAVEVGGGPWFNGWKGTTITNELVNNIVGTPIDVESLIPIPFLKPPGL >Et_1A_005851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14720044:14721005:1 gene:Et_1A_005851 transcript:Et_1A_005851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPWWDPTWEDRSGPEYRIHVGNLPFSTNDSSLKDAFASYGPVYGEVAYDNETGRSKGFGFVQFEDKSSMDNAIQGMNGQQIGGRNVSVNQANQRSRRWRT >Et_5A_042980.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:8688734:8688940:1 gene:Et_5A_042980 transcript:Et_5A_042980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDCVVGSDRLVEGDTLNLQFLQAVVKETMRLHPAAPLQSPRMCREDASVGGYDIPAGTCVPASSLG >Et_2A_015722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17272863:17273679:1 gene:Et_2A_015722 transcript:Et_2A_015722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGSLLLLVMVFMTVTSAGSAELVAVSSLFTYDVYRTYVNPGADGRRILRVSRLAVLVFGCDYSTDTTGRNAPTLAGNLASVLAGGAVHVACSLASPQRFDCWEATRRQITTVESVSVAGGGGEEEELDEERLLRARRWIVRWSVALAVLLVVLWPALSLPAGRFSVGYFTLWAVVAIAWGAVASVVIIVMPSLESWGIIAKVCAAMFTIDSHRQEKTTPPPVTVSTIDDVCPSTL >Et_7A_050662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:175972:178538:-1 gene:Et_7A_050662 transcript:Et_7A_050662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRNGVVIFPLFLCVIVCITAELGAANVVLMGNNLTSSFYDIEASFAPGVKGTGISGVVYTAEPLNACTPLTNRAIKGPPSPFALIIRGGCPFDEKVRNAQDAGFEAAIVYDNENSGVLVSNRPQVPEVREFHGMSSQLVKAMPSLIFTKVLEDNCTSSMCAICLEDYDVGEKLRVLPCRHKFHAACVDLWLTTWRTFCPVCKRDARNGVSDLPASETTPLLSSAVRLPSRSSSFRSSVAASPPRPISRRPSTQSVSRAYSVSTTPHSPNPLRSYTNSPAIGISRSNADLRNMSSPHPHISHISSTHSLVGSHLSPPISIRYSTPHMSHSVYGSPSPHVSSSYVSNSGYGSSSYYLGSSSQHRSYLRRCGESGPSLSTLGPQSPQQSQLPHGESEANLAGTSSAQSFRQSYLRHCGDSDASLSDMTSAQSLPGC >Et_8A_056298.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:20299610:20299786:1 gene:Et_8A_056298 transcript:Et_8A_056298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGERKGATVRAKVAELQKAAADGLARVDTGGDHDGCGHRPEEEEERKGKIGIKMTRG >Et_6A_048097.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:6372904:6373713:1 gene:Et_6A_048097 transcript:Et_6A_048097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICPKLALSSRPVHLPTLRRVNHSSASSSALRIAAMSSSFSAAVATPIEHIVLIKARPDEADAATAMVSALQALATQVPGLAHLHAGPVLRLGSPAAAALGPTHVLHARYAAKSDLAAYAAHPAHVAAVRAHVLPVALDTTAVDWVNAAPAASPVAPGSVVRLTLAKAHDGVDPAQVVETVTAATKAAAEIMGAAKVSFGVSFGENFASPERAKGYQFGMVVIFDTVEELDAVEGNEKVLEARAGVRSQLDDVCVLDFVVGPAADASTI >Et_4B_037203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16441799:16452141:1 gene:Et_4B_037203 transcript:Et_4B_037203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGRGGKRRGPPPPVASGAAAKRAQPSPGTPQPPPPAAAAAAPAAEEEDMMDEDVFLDESILAEDEAALQMLQRDEALASRLSRWKRPPLPADLVAGCSRAVAFQQLEIDYVIGESHKELLPNSSGPAAILRIFGVTREGHSICCQVHGFEPYFYISCPSGMGPDDISRFHQTLEGRMKESNRSNNVPRFVKRVELVQKQTIMHYQPHQSQPFLKIVVALPTMVASCRVPAGKYRKAARVLSYCQLEYSDLVSHAAEGEYSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQIANLVTLQGEGQPFVRNVMTLKSCSPIVGVDVMSFETERDILLAWRDFIREVDPDIIIGYNICKFDLPYLIERAEVLKIAEFPILGRIRNSRVRVRDTTFSSRQYGMRESKDVTVEGRVQFDLLQAMQRDYKLSSYSLNAVSAHFLGEQKEDVHHSIISDLQNGNSETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVIPNIKGQGSGQDTFEGATVLEARAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVPPEDARKLNLPPESLNRTPSGEIFVKPELQKGILPEILEELLAARKRAKADLKEAKDPFEKAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKSAAQGGYEHNAEPIKLEFEKVYFPYLLISKKRYAGLYWTNPEKFDKMDTKGIETVRRDNCLLVKNLVTECLHKILIDRDVPGAVQYVKNTISDLLMNRVDLSLLVITKGLTKTGEDYAVKSAHVELAERMRKRDAATAPTVGDRVPYVIIKAAKGAKAYEKSEDPIYVLDNNIPIDPQYYLENQISKPILRIFEPILKNASKELLHGSHTRAVSISTPSNSGIMKFAKKQLTCLGCKVVISGANQTLCSHCKGREAELYCKSVANVSELEMLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRRKAQKDMAEARLQLDRWDF >Et_8B_059938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4234006:4248560:1 gene:Et_8B_059938 transcript:Et_8B_059938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRAHAGCLMHCPHEMRLTRAGLFVFGFLSALQLSRSSTDVDFSKVRAVNLGGWLVVEGWIKPSLFDGIPNGDMLDGTQVQLKSVGLQKYVSAGDGGGGNVTVNQDVASSWETFKLWRISTSVFQFRCLKGQFLTASDGDVMSATANSAGDSAPFYIERNNTMIHIKLLNGSYLQVTNDSQLTSNHPSQPGWDDGMATFEMTIFANNLHGDYQLANGYGPEQAKAVLTEHRKSFVTGSDFSFLSQNGINAVRIPVGWWIAYDPDPPSPFIGGSLNALDKAFYWAQIYGLKCIIDLHAAPGSQNGMEHSASRDGSVDWPSEANIEKTLNVINFLAQRYADNPSLLGIELLNEPSAAAVPLDTLLSYYKKGYKIVRSYSDTAYESGWFTIRKPKLHVACGCMHHVPFVCSVMIRGETYQQKTV >Et_5B_043292.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22347165:22347665:1 gene:Et_5B_043292 transcript:Et_5B_043292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHPAPRKRTIAVQRCAAAALGGKKLRRLPHIFAKVLELPFAADADVSVEEDAAALRFVAAADGFSPAGARAHAVEIHPGVTKVVVRDLSAGAGADDDDGAAAFELDRWRFRLPPCTRPAMATATYAEGELVVTVPKGTSPDDEDGGDGAAVLGGAGTESVLLLV >Et_6A_047324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:398276:407261:1 gene:Et_6A_047324 transcript:Et_6A_047324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSRCIALLLLLALAGKSSAQLSTSFYSYSCPGVYDAVKSVVQSAIAKEKRMGASIVRLFFHDCFVQGCDASLLLDDTSSFQGEKMATPNNGSVRGFEVIDAIKSAVEKACPGVVSCADILAIAARDSVVILGGPNWNVKVGRRDSTTASFSGANNNIPPPTSGLANLTSLFAAQGLSQKDMGCDASLLLDDTPTFQGEKMATPNNGSVRGFEVIDAIKAAVEKICPGVVSCADILAIAARDSVVILGGPTWDVKVGRRDSTTASFNGANNNIPPPTSGLANLTSLFAAQGSHTIGLARCTNFRAHIYNDTNIDRSLAGTRQSVCPRTSGSGDNNLAPLDLQTPTIFENDYYKNLVCKKGLLHSDQELFNGGATDAQVQSYVTSQSAFFADFVTGMIKMGDIMPLTGSNGQIRKNCRRRN >Et_8A_058448.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8061785:8062837:-1 gene:Et_8A_058448 transcript:Et_8A_058448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRRFVYLAMNDTKRRDFPLRCIDTSRLFLPKGESDEPMKNPPPLEDVRLPPPAIRFSPPITNTRNGDMEFMLLGGGGGRRRPKVVATDQTGRCVMYDHTLGSIRAMPELTVPKFMPASVDVGDDLYIIDTHFNYTYSRDDCFDGLRYDEEEGDWECHSLPPPPYTADYKPYNERVPAHITSYAVVRRGGGGSCIWVSKDGLGTHAFDIARGAWRKASDWVLPFWGPGKYVPELKLWFGILADKEDGVVCAADLTKTPLVAPTMLWRDNPTPPEWEGKSSFLVHLGRSRFCLARFFRIIIPPGCLPCTKFAVFTGIEVERCSGEGEEIRVTKHRSQRYTLHNKLIHWVL >Et_8A_058144.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:21272145:21273671:1 gene:Et_8A_058144 transcript:Et_8A_058144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAASADIPPGLVHTPATNSYSTLLLSSARNLRYASPATAKPVAIVAAAEPAHAQATVLCGRRHGVRVRTRSGGHDYEGLSYASSSSGDDARFAVLDLAALRGIRVDAARAEAWVGSGASLGELYYAVAAAASNGTLAFPAGSCPTVCVGGHLSGGGFGSLARRYGLSADNMLDAVVVDAEGRLLNRTTMGEDLFWAIRGGGGESFGVVLSWKVRLVRVPETVAVFSIRRSPSGNNDSAVVDLITKWQEIAPALPQDLYLRVLIEKQHADFVALFLGRCRRLVGIMRARFPDLGTTHADCQEMSWLNSTVLFAFDSTSFPVERLLDRRDKPEAYLKIKSDHVEQPIPRHVWERVWAAWLRKPEAAMLMLDPYGGRMSRISPAATPFPHREGNLYQLQYYSYWYENETAASEKRMSWVRELYKEMEPYVSRNPRAVYVNYRDLDLGTNELDGNNVTSYAKAKVWGEKYFKGNFERLAAVKAMVDPDDFFRNEQSIPPLPAAKGWGSS >Et_1A_007405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34216193:34221975:1 gene:Et_1A_007405 transcript:Et_1A_007405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLRTCGGAPPASVPALFRTRLATPAFSTAYAPVAFSASSSALQELASKRKGLALPAFRSVPHRLAKVVLKKGKTQIFRDGSPMVYSGAVDRIIGRPPPKSGDIVLVADGAEKPIGWGLYNSVSMFCVRLMQLEEEARRDPTCALNMERLLEARILSAVNLRRSLGLPSANTNAYRLINSEGDRLSGLIVDIFADVAVIASSAAWVEKYRQEIQFLVSKVDGVNHIKWRSSADILKEEGLDIPEPNEPASSSCSTVKVMENGIMYLVSLEGQKTGFYADQRENRHFVSLLSKDQRVLDLCCYSGGFALNAVKGGAANVIGIDSSGSALDLANENIILNELSPEKISFIKGDAIAFMKGAISKNELWDLIILDPPKLAPRKKVLQSASGMYRNLNALAMQVVKRGGLLMTCSCSGAMTQSGMFLKTIQGAASMAGRKVTVLRQAGAACDHPIDPAYPEGQYLSNYLLRVMLQMNTCI >Et_9B_065453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5083011:5089955:-1 gene:Et_9B_065453 transcript:Et_9B_065453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRSGLTQGTETVIQLAQSEQKWNKRIAMTSSDLGYLDEQFNQLEELQDETSPNFVEEVVVLFFKDSSRLLTNIEQTLDKYPQDFYRLDSLVHTLKGRGTSIGAMRMKNECSVLKAHCNNKNLEGCRRSLQKMKREHATLKQKLETYCQLMRQVGPRERAVNSRK >Et_5A_041473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23307178:23307528:1 gene:Et_5A_041473 transcript:Et_5A_041473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIKSFCQNLSTKSTKTRGSPIEFAATGRLPQATSRMNAPNANTSVALVAFPSLAIPATRVVCASAPWSCNLARPKSPSCPFISSSRSTLLALTSRCITTCSHSSCK >Et_2B_019863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14725657:14732924:-1 gene:Et_2B_019863 transcript:Et_2B_019863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GTEKLPVERPKWKLTFQVPPKFPIITGENIRDSNGNLLEVIVVDADTGELSSAPLLDALQIELVPLLGNFPRDCWDADDFKRGVVEDLIGKQPLLAGEHRPRMWDGRATVSELMFTDDSRNYGCMFRIGVRVVPDSYHGPRILEGMTEAFMVRHRHVYETSHQSKNYKWQLAFQSQPRRPIYVGRQIRDVIGNPLEVILVDAETGLLSALPTMELHMELVSLLPWHEGGPGRYFNPQKDDWSADEFERAVCKPGRGVGPYLSGDVSLTMKDDGRVTVNELQYTGCPSTSYVGHIGMCVMPGSYNGRGSIREGMTNIFYIQDNRDEEMKTKRFPPGLADEVWRLQSISWGGVFHRRLTQNNVRNVQDFLTMLAVKPDELRAIAGDSMDDGTWSEIINHARTCVFPRWKLYAYSAAHATIYVNSIFDVTMLAVKPDVLRAIAGDGMDDCTWSDIIRHGRNCGFPPDEMHAYSTAHATIYVNCIFNLLKVELGGVECPLQQLDEAQTRLLVQQAMQEAYEHRHSLQEVKLKLRLTFTSQPRLPIHAGSRIVDATGNPLEIILVDAKTGSPFALPADLTIELVPLLGDFPPYDSKDWSPEEFRTAIVKQRQEHVPLLKWSDSRRHIRDGPVTVEELQFTDDSSWVSCRKFRIGACIRPGGDWDGSSFTILEAMTEAFEVGDLNRKHYPPVLCDPIWRLEMIDKDGLSHRKLTSNNVDTVQEFVRMLHIKPDELCAIVGDAMTDRMWKMTTSHARNCDPGDKVYAYSSANNVIYFDSVFRRLLKIEIDGVECRLHGMIARQLILEAYEHRHNLLEVDAAKELRKAYVCDIHHLMLCTYLNRFSERLEDHPSFIRTKRYVQVNLDKEDDGHAEPLANEDEVPDPQAAILIHEDKATKYLQIRATWNMEGHRVRLPTKILKRDHLRHDGIIEATAKGRGTGMIHIMASSLSHPMPLVLGGSSPSSVALPSSKLYLYTRLFFGPFLHHLPTFSES >Et_3B_031188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20127594:20128705:1 gene:Et_3B_031188 transcript:Et_3B_031188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPPPTSGALERCKSAITAATSVVGAAMLLRRVAADVLPAGTPLIGSLLLLPPPSSRRHALVIEEFDGAYYNRVFLAARAYVSTLLAASPGVPPVIKATLPRGADQITFAMRPGTAVVDVFDGAELTWRLRSGDHGGRRRADQGGEAFRLSFDGRHKAMVLGAYLPFVMARAEAVAREQRQAKLYSNEWRKWRPVRLRNASTFATLAMEDALRRAVVDDLDRFLGRREYYRRTGRAWKRGYLIHGTPGTGKSSLVAAISNHLRFDVYDLDIGNVRSNTELRKLLIRMKNRSILLVEDVDCALATAPRRGPDEGSDENSQASKNREYKKDEKSNTEWEP >Et_5B_043218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18449221:18449910:-1 gene:Et_5B_043218 transcript:Et_5B_043218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAAAVLLLFLVAAAPSSLHAADDTTHLHFFMHDIVSGSNPTSVQIIKGPSGSTAPSLGMTFGDTSVIDDALTETSASTSTPVGRMQGIYMVSSLSAPVLMVTANILLTSGDYNGSTLAVMGRDDTAADVRELAVVGGTGKFRMATGYVLWKTTAMNPPDATVELDVYLGTGNGTIDASAPVSPSSSGAARMTAGWVVAAVVAVVGSWVW >Et_9B_065187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21891089:21893352:-1 gene:Et_9B_065187 transcript:Et_9B_065187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSVSYLPLLLLLLLSASTSTGSGGDLSALFDAWCAEHGRAYATPKERAARLAVFADNAAFVAAHNAQANASRSYTLALNAFADLTHHEFRSARLGRLTVDGRSAAPYWGLEDGVGAVPDAVDWRDKGAVTKVKDQGSCGACWSFSATGAMEGINKIKTGSLISLSEQELIDCDRSYNQGCNGGLMDYAFKFVIKNGGIDTEEDYPFRQTDGTCNKNKLKRRVVTIDGYTDVPSNNEDLLLKAVAQQPVSVGICGSARAFQLYSQGIFDGPCPTSLDHAVLIVGYGSEGGKDYWIVKNSWGERWGMKGYMHMRRNTGNSDGVCGINQMASFPTKTSPNPPPSPGPSPTKCSTLTSCPAGSTCCCSWRVLGFCLSWSCCELDNAVCCKDNRYCCPHDYPICDTARGQCLKANGNFSGIEGTRRKQSFSKAPSWSGLLELMDQ >Et_3A_023560.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3855365:3855496:-1 gene:Et_3A_023560 transcript:Et_3A_023560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALEGQKQTHFQISSPSTVALAQEIIDEAAVWELQASPSRGI >Et_10A_000180.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:15472494:15472730:-1 gene:Et_10A_000180 transcript:Et_10A_000180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRVADEEEARWMDRFAETHQPLGQGQGPLLFRRATAEEARMMDMDHKVDHGNGRGDALEFDEDNPYVSLRVDLRRR >Et_8A_057515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3954568:3957419:1 gene:Et_8A_057515 transcript:Et_8A_057515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTVESESNYYDTMMSTEELAPGLPALLNWKINHTTCHGNATSAACRSNHSFCENITRVELLSTVQDGHLCHCALGYKGNPYIPNGCYDINEYESPDTYPCYGVCSNTEGRYQCKCLPGYYGNASVPNGCKDIDECAHPDLHSCHGICKNVPGSFQCRCQDGTYGDPSKKGGCRSFSALKIGLGVGGGMIFMIFTLGAPFITRKIKLHKANRMKDRFFKQNHGLLLQQMVSQKSDIGGRMIITLQEIEKATNNFNPSHEIGGGGHGVVYKGLLDLQVVSIKKSKIIVQREIDDFINEVAILSQINHRNVVKLLGCCLETEVPLLVYDFISNGTLDHHLHVEGTISLSWGDRLRIALEISKALAYLHPAASTPILHRDIKSSNILLDDNLTAKVSDFGASKYVPIDQTGVTTAVQGTIGYLDPMYYYTGRLTGKSDVFSFGVLLIELLTPKKPFMYRSDNGGGLVSHFASLLAESRLADIIDPQIMEEEGEQVDEVAALAAKCTKLNGDDRPAMREVEMTLENLKITKKHVYHNTTSRREDNRDQTAGHRLSFDGVTVETSRQYSMEEEILLSARYPR >Et_7A_052178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5328344:5353691:1 gene:Et_7A_052178 transcript:Et_7A_052178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPAGNGKIQVKLLVDKEKRKVVFAESGKEFVDVLLSFLTLPLGTIVRLLGKESSLGCFDELYKSVETLDASHFQTKACKHMLLHPRSAAGGLRFECSAYYSSVPDVPCPQCAKLSMDFPWNLNEGGGRAKKGDGIFVRGGISYVITDDLKIKNLLQKMLKGDQPLTCLLFSPSDQMATGTNKAPAMDVKVGSENDSISDSNAIKMSLLVTKTGQSVVHAEVDEEFCNNLFSFLTLPLGHVIKLLGGNSSILCIDNLYKSVEVQLNMHDCVKSAEHRDMLLCPKVPPFFSCSNHFLKIDEPLPLPHNKTGILAVAVNPKVPAMTTERGGGYAKGPGKFLVTSELGVVPFTLINSLRALKEKKHSVSKLETTDFFLNEAEVPNLLKAALVAVLAAGSPPVEVVPPHVEREVDDHPHDGHAGVQGRRQHVVVPLPPHLPVPEHEEVEHRAHEAPRREVERRRRRHVPRGAEEHRQVDEADPPGVCRERLAEEPYEHGADQAAEEEPVERVPSGPKMRRGPTRPQITDASKKTWSPGHVHGLPCGRRSFSQMCGTVVSSHHATIVFTVDATTEHGSRRNLHVVAKFEIIQEGNGLVHAHVAVDLEAHVGDRLTGHDQPQAILRDDREDEGHGAGEDEPPPWQLYLVLEHGAEHQRYCHGHHEQKVEPPAGYVLIPPHQPRVHVRLALVRLSEPLCYMRQCGRDGGEAEAVGYGEEEAEVDLPLLLVGAHVDLELVVDDGGDVVHLAGAGEEVGGEDWERGGVVEVELPVAKRHDDVDEEGEADHDVDDGEEGRHERAREEGDDHGPVEGEGADSQPVHARPQLLHRDRLGERPAHPRNGRQRREEVARDGVPREAADQRDQEELAPRHPALLLLVQRSATICLIYQCSPQERNLHQTRRPPHLRRFDHILPHQPCPSYLSGNDEEQAGEERDVPPVERLDDGDDADGVGAAVAERGAGVGEDAHQHVLLHVERPRVHRPLLSKHRELAVRQHPGHEVAHRQRGHLDGDLADDNGLAPAKNWLKKESSAQETTPMVHIRNVHTGSDGSSVVGTVSRTCSTGDTSSSSSALLSSQLNTTITLTGTMASNAGPTVAVKLFIDKEKQKVLFAESDKEFVDVHFSFLTMPLGTIVRLLGKQSEIGCLDEIYKSVEDISIDHFQTKACRDMLLRPHNAAAIQCDRLTVKVDDVNPRAIYLCGTSCREKTFSSIPDAICKYCNGRQCLKRELPKNDHASINDGVFVKSGPKMIVTDVLQVAPASTSLVFSLLDKFEQNEEVIEEKVLHLNSKMITNLLKRVLTSKQPLTGLCFDAAITPDAVNLDELPANLLPKQESDNAQKLNAIKIKLIQTADDSSAVYAEVGQDFVDLVFGLLSIPLGSIMKTYGQWSPNGCADNLYRSLNGSARECVKEDCRRLLLSPNLAPFFGCSVNVLQVEEASPKKGSYLCFQSLKKGYVDKCVCDPYRHYNETVTEMNPKSPSGENGTTRAKENSEASLVTNDLRILHFSLANTLHLLRAAKIPKEKLVEKELALDKTQTIIIQAKVSTMSKSEESTIEVKLFVDKEKRKVFFAESDKEFVDVLFSFLTMPLGTIVRLLGKQSQIGCLDQIYKSVEDLSSDYFQTKACKTMLLAPLNVASSHCSRLKINIDDTKPRAVYVCKDTNCFAHGDCAFSSVPDAVCKCEVMQYAGKRPEKDGLTTDPEHGVFVKGPLKFITTDDLHVAPASTSLMLSLSEKYGVQDPVDLEQRIVQLDSEKITSLLKRSLISKQPLTGLYFDIPIPTDDTSLCTLHGNLSAKQETAADDKIDDVKIRVLQTKSNGTP >Et_1A_007163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31508156:31512627:1 gene:Et_1A_007163 transcript:Et_1A_007163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPALALGLPPGLSFKPDDDELVELYLLPRARGQAAVISGVVIEDDATSAAVPPWDLLARHRRTTKNNEAYFFERRVVAGDDDPKDGSARQDRRCGSDWTWVSQRRTPDKVLRLPSGEEVSWNRRALNLHGGRGRSGSTGWVMHEYTITSPPCPFPVKLCHVSFSGHGQKRQLVPDGVDASSPSSEASTITFADQEELRQDQQEPFLTTQETCAGQQEPSMVASLLPGQELGVPLAHDPPQQPQDLDIEAYCALLASDDGLQLHQQMSHLLGGLPASAETTGHIQMPPQQQELSTVPPPMDHGSPLPWDDLDAFCSVPQPEDNKDVTAGTPAGDGPTSFWDWDWNGGYFEEQGPTLGNRKNARVQFKRFYSSNGQYIPAFSCSQ >Et_7A_051273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16371240:16378884:-1 gene:Et_7A_051273 transcript:Et_7A_051273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSFDINVLREEARTRWLRPAEVYYILHNHERFPITPEPPKKPPSGSLFLYNRRVNRYFRRDGHAWRRKKDGRTVGEAHERLKVGNVDALSCYYAHGEQNPCFQRRCFWMLEPAYEHIVLVQYRGVAEGRNYSPQPSNVPSESLSSLSYPNAIYGNQYRNSASGISEGSESHQSYSNLSSLTEVTSYSVNKEGNGDGGTLLSIPELGHTFPEQYTEVYRADNGNTANKSGLNVALKKIVEQLSLGDDDEDDYIYSNHAQLSGYAKNVEAAGDNQLKQIRTEGIQNGLDRNIAPSWEDVLHCSSGLPTTSVYQSDFQHQQNLDYQQQGGLDSSDLRIRLSATKRFLLGPEDSIDSPSSNFALRNIGNNGTDTLLAHDGRLAPNSDWKTKTPLAFQCNLQGSELTELFEHGQFEPYPRADTRLSLGQKQLFNIREISPEWAFSYEITKIIITGDFVCDPLNSCWAVMFGDSEVPAEIVQPGVLRCHTPLHSSGKLTLCITSGNREVCSEVKDFEFRAKPTASCFTDPAPSSRSLKSKEELLLLAKFTRMLLRENGSSGASGDDSQSEHCPKLKMNEEHWEQLIHELGLGCKNPVATVDWIMEELLKSKLQQWLSVKLQVSDEVQQCHLSKHDQGIIHLISALGFEWALSSVLSAGVGINFRDSNGWTALHWAAYFGREKMVATLLAAGASATAVTDPTAQDPVGKTAAFLASERGHMGLAGYLSEVSLTSHLASLTIEESDISKGSAVVEAQKAVESISRRSAEWNGGTEDELSMKDSLAAVRNAAQAAARIQNAFRAFSFRKRQQKTARLKDEYGMTQEDIDELAAAQRSYHQTHASSGQFYDKAAVSIQKKYKGWKGRKTFLNMRRNAVKIQAHVRGHQVRKKYKTFVSTVSVLEKYRRMLEEFRQATAESGGSEVTSIFNSELIGIDSFMH >Et_4B_037035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13896187:13900008:1 gene:Et_4B_037035 transcript:Et_4B_037035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTLAWQATSLNRAVRPDHPRKPGGHDPRDILEPAVLVVAKGDVDAIVVVLVLAVGHEQPDKPGRLDGELPVQHVVELEPLQRAGADGEVSDSRGAEQPRQRELLQPRAALRRRDEAAHVELAEGVAGDGQHAQRGERAAAQGERRLQVVVRDVHADGRRPRARRQRELLLDQVERHERRRIRTDLDAELASEPPRREPQQRREAAGGVGGVTPRRRLVVVVVVVVAVSAVRDVDVQAVQIVPAGERGADGVEGRGGEVRPVRAVDEGDLADLRPDAVPAAGENAGAHGRADAAERVRMHRRRSSGRALMRSAPPPLSMTFFVRVSRGEFTVGLYRQRFRPVGPYLARADHKPQNPPSQGIFPFVLLARFLAAEPAAASVHPATAAGRTSITFFPPHYGAAAINRYFGLFSLAEKEP >Et_8B_059487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18423503:18425952:1 gene:Et_8B_059487 transcript:Et_8B_059487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLPLPTVTVLTLTDIRSLPPFAGHAAVQEPLRVAAKNPRRRLGEAKRITRRAAVVQSSGGAKWREPLQRGTVSPCLSVPDHIPRPPYVGTDSLPEVCPDRQMHDSESIVHMRTACELAARVLQYAGTMVKPLVTTDEIDRAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECTCHGIPDSRGLQVGDIINIDVTVYLNGYHGDTSRTYLVGDVDKSAEQLVKVTEECMMRGISACKHGASFKEIGHQISEVAGKYGYGVDPFVGHGVGRIFHCEPIIWHTYDYEPGFMVAGQTFTIEPTLSVGSTQCVLWDDGWTAVAVDGSLSAQFEHTVLVTADGAEILTRCV >Et_5A_041075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17830396:17831026:-1 gene:Et_5A_041075 transcript:Et_5A_041075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRTSLTFSPRRRTDSTKGGYVGGSAADATSSSVVNLGKPPPWWAECEVTEGDEALVTSTRSSWDSPPARAMTSARRGRGEDVLLLRLVEADVVDGEWPGRDQRRGEHGGADRGELCGGERVKRVGMGMGRGGRGRDGDREDGNGEKNHCEK >Et_2B_019846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14574684:14576382:1 gene:Et_2B_019846 transcript:Et_2B_019846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQLMEQVDSDISAAPAENQGEMSMITQKSSRGYVPWNDEMDKVLLDTLVEYFNKGDRCQNGWKPHVYTAAVKNVREKCNVNITKSNIDSRTKTFDKHYHIISGLLSTSCFGWDWDKNKLKMDSDSVWDDYVEVPLNDDIKIDIQT >Et_8A_057021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20220808:20241029:1 gene:Et_8A_057021 transcript:Et_8A_057021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRPSPSVCRVLACVAGLQFFDHAWGIQAVVLYSPLVFRKAGMVSDTCVLRATIVVGVVKTGFILVAAHSSDRLHYLGSSSQLVGGCGPRNQDMADDGTVAPLLPSSTAPLPRRNMFPFLCATLASMTTILMSYNLSLMSGAELFIREDLGLSDTQTEVLVGCSNVYMLVSIVAAGWAGDVLGRRATLVLGNALLMAGALAMALSGSYAALMAARLVTSLGCGFVRVVAPVYNAEISPASSRGVLSTLLDIFINVGILLGYVSNYAFAGMPVHLGWRMMFAVGVFPPVLLAAAVLAVPESPQWLAMRGRHGEAHAVLLRTSYSPAEANIRLEEIKQAAAKAPHTAGDGESAGVWSELIVRPSASVRRVVVCVVGLQFFVHAVGTEAVLMYSPLVFRNAGMATNGAALGATVAVGAVKTCFVLVATLLSDRAGRRPLLLASAGGVAAALVSIALTLRASVPSSSSPAPATEQLACVASVLAFVAAFSVGLGPMVATYTVEILPLRLRAQGSSLGMVVNRVTSALVGMTFISLASWITMAGCFFLYAGAAVAACVFVYLRVPETKGRSLEDMDVLFAKRVWPSPSMREPVPRLRRWAAILPPRVGHRGRRAQLMFRKVAGMASDTAVLGATVAVGVVKTGFILVATLSSHRV >Et_9A_062212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20730758:20738866:-1 gene:Et_9A_062212 transcript:Et_9A_062212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWNYVVTAHKPTSVSHSCVGNFTSPNQLNLIIAKCTCIEIHLLTPQGLQPMLDVPIYGRIATIELFRPHNETQDFLFIATERYKFCVLQWDAEKSELLTRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLNGCVKPTVVVLYQDNKDARHVKTYEVALKDKDFVEGPWSQNNLDNGAGLLIPVPAPLGGVIIIGEETIVYCNANATFKAIPIKQSIIRAYGRVDPDGSRYLLGDNTGTLHLLVLTHERERVTGLKVEYLGETSIASSISYLDNGVVYVGSRFGDSQLVKLNLQADASGSFVEVLERYVNLGPIVDFCVVDLDRQGQGQVVTCSGAFKDGSLRVVRNGIGINEQASVELQGIKGLWSLKSSFNDPYDMYLVVSFISETRFLAMNMEDELEETEIEGFDAQTQTLFCQNAINDLLIQVTANSVRLVSCNSRELVDQWNAPEGFSVNVASANASQVLLATGGGHLVYLEIRDAKLVEVKHAQLEHEISCLDLNPVGENPQYSSLAAVGMWTDISVRIFSLPDLELVRKETLGGEIVPRSVLLCTLEGISYLLCALGDGNLFSFLLNTSTGELTDRKKVSLGTQPISLRTFSSKGTTHVFASSDRPTVIYSSNKKLLYSNVNLKEVNIMCPFNTAAFPDSLAIAKEGELSIGTIDDIQKLHIRTIPLNEQARRICHQEQSRTLAFCSFKYNQTSMEESETHFIRLLDHQTFEFLSTYPLDQYECGCSIISCSFSDDTNVYYCVGTAYVLPEENEPTKGRILVFAVEDGRLQLIVEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLREDGSHELQSECGHHGHVLALYTQTRGDFIVVGDLMKSISLLVYKHEESAIEERARDYNANWMTAVEMLDDEIYIGAENNYNLFTVRKNSDAATDDERARLEVVGEYHLGEFVNRFRHGSLVMRLPDSEMGQIPTVIFGTINGVIGIIASLPHDQYVFLEKLQSTLVKFIKGVGNLSHEQWRSFHNDKKTAEARNFLDGDLIESFLDLSRSKMEEVSKVMNVSVEELSKRVEELTRLH >Et_4A_033946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28211060:28213541:-1 gene:Et_4A_033946 transcript:Et_4A_033946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEEKVKAAACVVNVTDETAAKRSGAVPAKDQEQKKVEAPRVANGNNNDDNVEAEHGGGVGEEDDADDEGEAEFAAAMAQLAPAGVRALHARVEAEWGPVLQSACQTAAARALWARAVRDPAAAVLAGERYLRGLRDKMRRDERAGAREVHGVMIAVRTLWFDARIEAAVHALGGAPQVVLLGAGMDARAYRLSCLKECTVFELDFPELLEMKSDLLHEAMSSENHQKFTMMAKSLIRVPANIQDADWITTLQSWGYVPERNTIWVLEGIIYYLEDAQAMQVLETIAASCTSASTVLLADFMNKNTTSLSPTMYHFYHDSPELLLPSIGFSQVTLSQIGDPQAHFGLLSHPENLFDKLRRLPRSVEINPEDGTPCCRLYFVEASASPGDHTR >Et_8A_056678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14904693:14911283:-1 gene:Et_8A_056678 transcript:Et_8A_056678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPLPLPVVVALVLLAASTASAEPWLYEKFTTDGGVRVDYDASGQQVASLVLDQSSGGGFNSKEKYMYGEFSIQMKLIPGNSAGTVTSFYLSSGDGPGHDEIDMEFMGNASGAPVVLNTNVWANGDGKKEHQFDLWFDPAADFHTYTIIWNDKNVIFKVDDLFVRAFKRYPDLPYPGNQPMSVHATLWDGSYWATEQGKVPIDWSGAPFVVSYRGYTADACVPADGAGKPLSCPAGTDRWMNRQLDDAEWGTVAWAKQNFMHYNYCEDGWRFPQGFPAEVRSTKLTMARVSMALVAVAVVALLQAASVASESSWLDDKFNTHGDVRADYDKSGRLVTSLVLDRHSGSSLISKQKYLFGKFSIEAKLVPGNSAGTVSCFYLLPDLGETELSRTKLLQLTSGPGNGTDHDEIDMEFMGNTTGDPVVLNTNVWASGDGKKEHQFDLWFDPAADFHKYTIIWNPKNIIFQVDDVTVRSFKKYDDLPYPDSRPMEVHATFWDGSFWATRNGAVKIDWTKAPFVTAYRAYSEHACVAGDGDKKDCAGGAWMDRVPDDDDRVTIAWAKRNCMSYNYCADGWRFPKGFPGECKRN >Et_7A_051203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15505299:15506162:-1 gene:Et_7A_051203 transcript:Et_7A_051203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASTSATASAAVLKTPFLGARRALANAASVAGKAAPRRALVVEAKKSWIPAVKSDLEIINPPWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGIPWFEAGADPGAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQAVEWATPWSRTAENFSNFTGDQGYPGGKFFDPLSFAGTIKDGVYIPDQEKLERLKLAEIKHARLAMLAMLIFYFEAGQGKTPLGALGL >Et_3B_030819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7760575:7762960:1 gene:Et_3B_030819 transcript:Et_3B_030819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGAAPWQMALQAQQIAAAAEEEIAREQQQEMMMLQQQQQQAAAMEIDVTLGHGHPHLHQQTVQQLSAAAVDVAGEQDMNLMMMQQAAAYAQHGMPGNMAGATNMAAFLPGGGGSSNAGTFLVQQQQPQQLQPQTANAVGFQTDASLPPPLAALPRQQQDDGGADDQFSSDDLTAYFAIPGPNHPSDGSEKDIVIVIDMAVRLQHTARVPMGGSLPSTEPEDVHLLHNSLHSLQSSRAAHLPQHILREGVDHL >Et_3B_029222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23113801:23117410:1 gene:Et_3B_029222 transcript:Et_3B_029222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTASRILLLIASCAVLGSSSARSAGPVTSSPYGETFFHRPNGRFCDGRIIIDHISDALGLPFLTPYLAGNSSQDYAHGANFAVGGATALSKDYFTARNLDDLFTPYPLQWQMAWFRNVTRMVATDEQGLRDVMASSLFLVGEIGGNDYNRALLRGRSVDEVITYVPDVVAAISASLTELIGLGAKTIVVPGNFPTGCSPGYLAWFQTNDTAQYDSMGCLRWPNHLTELHNRALKAELTRLRRRHPGVTIVYADYYAAAMDLIADPGKHGFGGKPLVSCCGGGGTYNVNPQVQCGMNQSTVCSDPNVAMSWDGFHFTEHAYKVIADGMLRGLYALPPIPSRTGLFRLVSAIQQLDLGRAIFSMTLHFNRFTSIFVPYFCNVQHEEDRLIAVHVWRLHSNQLDNDLMPLEK >Et_5A_040240.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:297283:297919:-1 gene:Et_5A_040240 transcript:Et_5A_040240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTRMPCSPSSHATFFVSPTSACLDAVYACGPMPPITPATLATDTMLPPPPCVTMARAACFMPRAAPSAFTRSTRSNSPASMDAMRFAARVCRPSTPALLQKTSRRPCRATARCTVRSTSASTDTSQCSKLHAIASAAARASPAASAMSAITTRAPCSTNIRTIASPIPCAPPVTMQTFPASLSLHIYICFFERD >Et_1B_014401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7849779:7853560:-1 gene:Et_1B_014401 transcript:Et_1B_014401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVLTTRWPSSWLATVAAAAAKPAGFRRAHAVLLTSGHLSSHSSINSLLRAAGFPSACALLIRLLFLHRLQPDHLSLSFSLHSCTRVPSHPLTSLFHSLAVRLGHSRDVYVVNAAISSYFTASDVASADRLFAEISHDVADVVTWTTMVAGHADDGGVERARWFFEAMPERNVVSWNAMLGAYARAGMLSEARQLFDRMPVRNAATWSSMVTGLVQSGYCQEALKVFGDMVGCGALPNESALVSAVSACAQLRSLEHGAWVHAYAERELQGAMSVVLATAIIDMYGKCGNIRAAIRVFAEMPVRNIYTWNSLIAGLAMNGGERQALSLFWKMQFAGVRPNTVTFIALLGACSHSGLVDEGRWLFDTMVEDFDIQPDPEHYGLMVDLLGRAGRIREAVYFVKSMPVEPHPGLWGALAAACKIHGEVELGEEVAKKLIELEPRHGSRYILLSNLYGTANRWDDMATVRRLLKHRKVPKGTGNAVYLQFRAIFTDKDIETLVTPQGMGSMSLEYIGAEREILKTKTTIEVEEGRKRAKWVGEERRHVDVAHSACCLVNEWAVLFMG >Et_1B_010343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9750265:9751740:-1 gene:Et_1B_010343 transcript:Et_1B_010343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRNLVSWSALISGCDQHGTIKETERVFLSVEEKDAVTWNTYIAAHSRRGDHMEALMLFRNIAVKNAAVRPDNFTFASALAACAELSLIRQGKQVHGHLIRSRVDADVAVGNAIIGMYAKCGQMVFAARVFDGLQCPNLLSWNTLISGFGRQGHAKEAMEAFERMKESGIPPDSVTFTGLLSACNHAGLVSQGVAYFNSMMSGAHGVPAGPEHVACVVDLLGRAGRLEEAERHVQASAFRDDPVVLGGLLSACRLHGDAGGVGERAAARLLALGPATSSPYVLLSQLHAAGGRWDGAAAAWRMMKDGPGKKDAGRSTVGGCR >Et_5A_041475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23331545:23331912:1 gene:Et_5A_041475 transcript:Et_5A_041475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQ >Et_3A_023708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11121250:11122313:-1 gene:Et_3A_023708 transcript:Et_3A_023708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLVDHSRGARRWVGAKDDWLATIDKHCNLELVNPYTGRGINLPPLTTIPEVLIEEGRIVFECGAYSFRRVVMCETPPSDTSADNGCIAVAMVNVLLLAITRAGDKSWTPLIMPRERDLYCDVECTDVLLHKRKVFAVSWSGDIYTWDMGSQPDPIRPPHIIQYACRWNLAESADGRRLLLVAFPYNHRQVMHLVFTSMHVISRRVHGTRDIVVTGQWVHGAVLLYERDVDDIVTGGADGAGWNLVTSLGDHSLFLGANFPFLARIVNDQDDRELLRPNCIYHTEGQLPESILDLDQDYCFHVYDLEDKVYKPYTGFYANHKGSYQTPIWFRPTLKNFLRPS >Et_4A_033240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20276813:20284792:-1 gene:Et_4A_033240 transcript:Et_4A_033240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRAHALPQGQQGVQSQLTALLRPDSSPSSVNQRGMTIDSKEENGHGILICATVGPIVPAIKVQAVAHQAEDPMHADALALLRASRINLIVNPSGVQYQTDCQVLATTRDGNLTRGSGAPRVFNPLGAGMGRDFHPWVCSFYITRFKPDPLPSLATTLRQDRFAVDPGHWRLRPLLYEFKQNTAGGASDVHNISRQRNTAAHNLAQQASRSNRQSNSIFTCQHVAHGDQCPVIAALALVNWGSFSLVNVTCR >Et_1A_008957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21122217:21129051:1 gene:Et_1A_008957 transcript:Et_1A_008957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLTVVIDDAILYEPLSADEHGEHSRRRRPKRGRRDAAPLRAVERPRHADVHHDARHLAQAVDVAVKQRLQLRRHGLLHGGVEPDQVVSVLAVLHAVRDAHEPQPPAPGSRQTGGVHDAAVVELGVDEGDGEAIVEEAVGELHERDYVALRRVREEEGMRRGGGGSDDGSGRHVRTYACSGLGSFRACISVSVAHLGGLQRSHDVVSCS >Et_8A_057410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2821911:2823902:1 gene:Et_8A_057410 transcript:Et_8A_057410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGVSGLAAAHELARSGNARVTVYEKEDYLGGHARTVAVDDDAGGGGGTVHLDLGFMVFNRLRWWVPA >Et_9A_062556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23988338:23994681:1 gene:Et_9A_062556 transcript:Et_9A_062556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDGDSDGIHAANRRAEVIEWLGALLPEFSLASDSSDEELRELLSDGTVLCNIVNTLIPGVLEGSWDSYASSDQRSGNVKKFLSVVADMGLPGFSVKDLDEGSMSSVVECLLVLRDSVDTRLVDNTQLDVAKTASRKQWGVPEMDRPQVPSATQGKRSPGEHKRNGVPDPKGQHKTSVFSGQKFREVFQLRRGSYSDLPAAKISEMMHSSSLDSAPTQSLINVVNGILDESIERKKGEIPHRVVYLLRKVIQEIERRLCTQAEHIRSQNIIVKTREEKYRSKIKALEILVNGTNEENQMAINRLQVVKEEKSKIEERRKLGEQDVIRLMKEKEHSENIIEGLKKEIEVMNRTHGQQIEQIERKAKQMEEQLTAKVNEMEYRLLQSNKKIEEVEAASELNSQLWNKRENIFQSYVDNQILHVKDIRISSRSIRNDMYSLQMKWREEMSDLGSGLKCLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDKKSTTVDYIGENGELLISNPFKQGKDGHRMFKFNKVFSPFASQADVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPSTSKKDWGVNYRALNDLFDISLSRRNAFSYEVGVQMVEIYNEQVHTLGIWTTSQPNGLVVPDASLHSVKSTSDVLDLMEIGQANRAVGSTALNERSSRSHSILTVHVRGLDLKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVASLKDTISRKDMEIDQLQLVKVKGPNLSFDRNGAGLTKNTVNQPSQLLLGERILKASDRVVSDPRSFVEMNGDSDHNSPTDVAHEGLGEAEYEDNASDDGLSAGETENLTSDRTNEMTTERMHRGTSRISRFTLTKNGQPAMNRSKPKDAVLKTPGQTKAPPSHVTGGSSARGSKRWQ >Et_7A_052220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5827846:5830006:1 gene:Et_7A_052220 transcript:Et_7A_052220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFDLNACTLRPQPAHEKAPLTLPYLAAAIYWRRREDDHHFFSFSLLGAAAEMAAEPSSSTGQSTADIRAAQPEDARPTAAMSGPLNVRGDRRPPPMQRAFSRQVSLGSGVTVMGGGRNGGGRAQRALPRSGRSLGVGVLHHSGPLGPDGAAGRRGDFSMFRTKSTLSKQNSLMPSRIRESDVELPTHVEDLSAGSGSGRPAEDPLNKSVPAGRYFAALRGPELDEVRDYEDILLPKDEVWPFLLRFPIGCFGVCLGLGSQAILWGALSVSPAMRFLRVTPLINLALWVLAAAVLAATSLTYALKCVFYFEAIRREYFHPVRVNFFFAPSIAAMFLTIGLPPAFAPDRPHPALWCAFVLPLFALELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILAARVGWAEAGKFLWAIGVAHYIVVFVTLYQRLPTNEALPKELHPVYSMFIATPSAASLAWAAIYGSFDAVARTFFFMAIFLYLSLVVRINFFRGFRFSLAWWSYTFPMTTASLATVKYAEAVPCFTSRAMALFLSLMSTTMVSMLLVSTLLHAFVWRSLFPNDLAIAITKDKQNGAGKPNGRGRKASKRVHDIKRWAKQAPLSLVSSITKSHSADKEEEEKTE >Et_3A_024662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23010771:23023240:-1 gene:Et_3A_024662 transcript:Et_3A_024662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEAPLITEVEGEEARGSASAGWSRSGGGGRSGKRYHGRADALAYGDRYQKAAALVDLAEDGVGIPEDVLNDTRFERAMRFYFVYLRLDWLWSLNLFALILLNFLEKPLWCRNYASHACDQRDLYFLGQLPYLSQTETLIYEGLTFVILVLDIFYPLSYEGLNIFWKSSINKLKVFLLFVLACDILVFIFSPGPFRVAPYIRVAFLVMTIRELRMCAVTLVGMVGTYLNVLALSLLFLLFASWLAYVIFEDTPQGKTIFSSYGATLYQMFVLFTTSNNPDVWIPAYKSSRWYSLFIVIYVLLGVYFLTNLILAVIYDSFKEQLAKQIALMDSIRKSILQKAFDIIDTTGQGYLNKEQCLSLLDELNKYRSLPKTSKEDFELIFSELDQSGDFKVTPEEFDDLCNTIGIKFQKEPPPSYLEKYPSFYHSPQCERLKSFVRSRQFEYIIVFVLLVNFIAVIIETTLDIENSSSQKVWQEVEFVFGWIYVVEMALKIFSLGFGAYWMEGQNKFDFVFGGIVYAGNPKLEETDLFSNDYVLFNFNDYPSGMVTLFNLLVMGNWQIWMESYLHLTGTSWSLIYFVSFYLISVMLLLNLIVAFVLEAFFAEMELEKAGEASMKDLTPEGRNKRRSMR >Et_2A_018668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4623693:4624689:1 gene:Et_2A_018668 transcript:Et_2A_018668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKSLTSIASQDRERRLTGARTGARYGPLAAKALRDAAGDLAPLRGLVEGQQDVFSLPYAPPVTPRVSWPRRRGSLARTRQEATTGRDAVKELDSPPPKKEIASGGQSLRPVPEEEVDRLFRKKAGHSDGRVSCSDQAALVKGQGRSEAKAEADGGGQREGETPKSPPPQKGTGFLPQPFDAKHAAPFERQAPSASKSPPPQQGALVSRLFEETTGRLIPNFDASARFSVSTLAAPTSSSDRARKTDADADGDGFEEFAAVNAIAAAAAVEEDPRHGTLPAPKLSGTGNVDVMELPGAGRQPTALVARDAAAEGQGGDAGDEVRRKG >Et_1A_006719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26693447:26698640:-1 gene:Et_1A_006719 transcript:Et_1A_006719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAASGSLEMERAWYLLTVVLRHGRPSAASDVAAAAAISPRIVEQVCHIPESPLCLSDGGVVTASQTAVLTFLRFVGFDVPPPRVSLRPSDVRRCWGEVTITYVRKRKASEADLAEHKQPKSQQLTAQSKSRVVTGEVHLDITQDLQDRLSTTHKFVGESSEFCTGLTLVPGVGKISMPYLQARIDQSPRSDDGSVPGNMASALVLTELSDSPCGINIRLLDPEKSKDIYTAADDKFTRTGESEDAPCHNCRVEDGDDPKKRSALPPTTIHAVLVGEKNNGVEEDINLVCKLPVSPTNCNIKIEDNMEIGDMISNNADVFAIQYDVPNAQQRENVPMCGQEKNPLGGSGCAELHKNKAPQILLQPPTDTKTESLLPEMKRNVELATLPQEATRIDRVNKRNSNSTAENKENTYLNRGEKTDNEETGNIANNRQDRKIVKQREKSKKNDGLPKDDKDQLAKAQKVHVAPKPLPSFEGYLVEEEEGSGGYGTVYRARRRVDGQIFAIKCPHPNANSRYVHNEQKMLERFGGKNYVIKYDRSLRSGDLECFVLEHVEHDRPEILRKDINILELQWYGYCLFRALAGLHKQGIVHRDVKPGNFLFSRQLMKGYLIDFNLAYPSSNFAPLVRAKEAIADLKQPLPSKRKRSDRSPVDSTPKIDNKNLPRIDHKNRYGSQAADASGVLFKSVHQGYKVDVWSAGVTLLYFIIGKAPFGGDPEQNIKEIAKLRGSEELWELAKLHDCESSYPSELFDVKSLHSMDLREWCAANARKPEILNMIPDSLFDLVDKCLAVNPRCRLTSEDALMHKFFAPLRDSLRKERAHRRSAGSDAASSSRSNSLAVKQS >Et_1A_008282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:673953:676629:1 gene:Et_1A_008282 transcript:Et_1A_008282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHERLNSPSTSAISLEVMGHRLHISQDPNSKHLGTTVWDASMVFVKFLEKNSRKGRFCPSKLKGKRVIELGAGCGLAGFGMALLGCDVVTTDQVEVLPLLMRNVERNKSWISQSSTDSGLGSIKVAELDWGNQDHIRAVEPPFDYIIGTDVVYSEHLLQPLLETITALSGPKTKILLGYEIRSTTVHEKMMEMWKSNFIVKTVSKSKMDAKYHHPSIHLYMMDPKAPLTPEAGVSADGNDEDDEDVSNPGEEEDGGEKTDESCSGLQESKSGSLNDWEIRRCGAMAARLLKDVKI >Et_5A_042542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19358174:19359621:-1 gene:Et_5A_042542 transcript:Et_5A_042542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTNISHVQDLSTMLAATVDSPDAAAGRIFNCVSDRAVMLDDMARLCAAAAGVEIVHYDPAAVGVDAKKEFPFRNMEGV >Et_1A_008959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21281659:21283510:1 gene:Et_1A_008959 transcript:Et_1A_008959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGNVVMDLPLPLLLSTCAVSAVACYVFFFVVTGKAARSRRPLPPGPRGWPVLGNLPQLGGKTHQTLHEMTKTYGPLLRLRFGSSNVVVAGSAAVAEQFLRIHDANFSCRPPNSGGEHMAYNYQDVVFAPYGARWRAMRKVCAVNLFSSRALDDLRAVREREAALMAGSLAAAPAAAVALGKAVNVCTTNALSRAAVGLRVFADGNEGARDFKEIVLEVMQVGGVLNVGDFVPALRWLDPQGVVAKLKKLHRRFDDMMNGIIAERRAGVKPAADGEGKDLLGLLLEMVQKEQPLTGGDEDRITDTDVKALILNLFVAGTDTTSTIVEWSLAELIRHPDILKKAQEELDAVVGRDRLVSESDLPRLTFFHAVIKETFRLHPSTPLSLPRMAGEDCEVNGYRIPKGSELLVNVWGIARDPALWPDPLEFRPPRFLPGGSHEHVDLKGGDFQLIPFGAGRRICAGLSWGLRMVTLTSATLVHAFDWELPAGQTPDKLNMDEAFTLLLQRAVPLMARPVPRLLPSAYEIA >Et_1B_012803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35183113:35188643:-1 gene:Et_1B_012803 transcript:Et_1B_012803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFAAAAPAAGVFAGPGTAARPSVLRRSRRVSRFGAGRLRLLRAPPPRVGGDGGDLPSLDKWDMMELDFGRFLGEDPKLTLAKILVKKSDPDASSLDVEKLIATKKDKLDDILREFMDANKRDQALKTPEIGSSSNTTNPAISRPIGGKKTLNISRPVMGKPKQDGPPLTLLRPTGSKLRQDEPSLNQLQPVGNNGNEDNSSLTLSRPVGSRPKVQDKVVQDWPSKESLASATDSGEAESISGTSNVDVSLRKPTVYQNEDDDLKSKLKMKPNIDLKMRKDMNEDLANISLLQRPDLAKDTANIDLDDASVNSASTSSVEDNSELEPETNGLDKKSVTERVHESSGLDDDSNAGLQPSDHSSIQEINTSAIPADNKSATSNNFSMQAFLQGKPKREGLSVETLSSQVDAEKRNATDDNKSYVDDGGNVLPSKLEDITESDWIRLERYASTGEKVEVELINCSSKGFVVSLDSLIGFLPYRNLATKWKFLAFETWLRRKGCDPSLYKQNLGLEDGFEVHDRIVESESTSVTEASGLDQESVPSKPKIEDLLRMYNLEKSKFLSSFIGQRLRVSVVLADRDSKRIFFSMKPKESEELIQKKKSLMARLSVGDIVECTIKRFVYFGIFVEVEGVPALIQQWEVSWDDTLDPAVSYKIGQVVDAKVIQLDYSNNRIFLSLKDVKPNPSVGALEAVIGEDLSLGGALEPAQADFEWPEVDSLIEEMRRIDEVRDIYKGRFFQSPGLAPTFQVYMAPVVGPKYKLLARYGNNVQEVMVETSLDKEKLKEAVLMCTNRVN >Et_10A_000574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1329254:1330585:-1 gene:Et_10A_000574 transcript:Et_10A_000574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHKRSRGDLVASEPATPGCPLPVDLLLEIVARSDAATLVRCAASCRSLRRDIVRPAFIRRVCHDGPDAAVPRRLVGFLCLGHESIIGRPCRTPACFSSLAHPATAPSSEIHLARLLCRRALADADRLAGYEPLSSRDGLVLLRRATWNGKWRAPRLDVCVYDPMAGRCTFVPWADDLSQDDHDHLRDCKHAMLTAADGIFGGDGSSFLLVAADFLDFSKGASRAVKIQTWSSSNTGTGGAWSAVTLAINARPSRYGVREPRGSAVVGRGGFVHWLMHEGYERDCHIFTYNVFTATAGWIELPAEIPPVRHKIERLHLASSPPTVVDGRLSLLVEDDINISVWLLSGDDGGGASAVGSGARTGTVVLLPYAYWSTLPNASPQGAIVLDLETKEMYPVHREKPAFLYEVDMVSRLSAMKNF >Et_3A_023737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11586246:11608450:1 gene:Et_3A_023737 transcript:Et_3A_023737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEGYRQKQQRRHQCVVSLLRVLFVLLFCCRRSAGSGSEQDGDRVAFLPGQPRRPPVSQFSGYVTVNQRNGRALFYWFFEAQSSPANKPLLLWLNGGPGCSSVGYGAASELGPLRVTRQGAGLEFNKFAWNKEANLLFLESPVGVGFSYTNTSSDLTKLDDTFVAEDAYNFLVNWFKRFPQYKDRAFYISGESYAGHYVPQLAELVYDKNKDRTNTYINLKGFIVGNPLTDDYYDSKGLAEYAWSHAIVSDEIYERIKKVCNFKVSNWTDDCDKVMNIVFEQYHEIDIYNIYAPRCNLAQSAVAPSVDQVLATNDQEHFRRRVRMFSGYDACYSSYAENYFNRADVQRAFHANDRGVLHRKWEVCSGDADGRVPVIGSRYCVEALGVPIKTQWQPWYLNKQVAGRFVEYHGMTMVTIRGAGHLVPLNKPEEGLALIDTFLLEMKVSTNKAIIELV >Et_6A_046799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20764221:20795699:1 gene:Et_6A_046799 transcript:Et_6A_046799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKISEGAGPWLQSGSNFLRRQVWEFDPDAGTPEERAEVERLRQEFTEHRFDKRTSSDLFLRMQYAKRSHPQVGPAIKLVESAQVTEDIILTSVRRAMMQHSALQADDGHWPSDYSGILFIMPIMVFALYVTGSLNTNEDGGWGTQELGPSTMFGSCLNYVTLRLFGEVCTHDALAKGRAWILSRGTAAAIPQWGKIWLSIVGLYDWSGNNSIIPELWLVPHFLPIHPGRFWSFCRLVYMPMAYLYGNKFVGPVTSTILAIREELYDVPYNEIDWTKARGTCAKEDLRYPRSLVQNAVWKCVNKIVEPMLNCWPANKLRDVALRNIMKHIHYEDETTKYINICPINKLWIAEDGMKAQVYDGCQTWETAFIVQAYCSTNLANEFAPTLRKAHEFLKHSQVRENQPDYENYYRHRSKGSWTLSTVDNGWSVSDCTAESLQALFLLSKISPKLVGDHIKGERLYDAVDCLLSFMNKDGTFSTYERKRTTPLLEVLNPSETFLNIIVDYSSVECTSSVLQVLITFSKMYPGYRNEEIRKCIDSASKFIENEQKKDGSWFGTWGICFTYGIFFAVKGLVAAGRTYENSSSIRKACSFLLSKQLNTGGWGETYLSSETGVERDPKPLYRAAKELINMQLESGEFPQQEHVGCFNCSLYFNYGNYQNLYPIWALGELKTSEGSGPWLRSANNFLGRQVWEFDPNAGTPEERAEVERLRQEFTKNRLDKRFSSDLLVRMQYAKQNRLQVDIPAIKLVKAAEVTEEVFALYVTRSLDTNNDGGWGTQEVGPSTMFGSCLNYATLRILGEACTHDALTKGRAWILSHGSAAAIPQWGKIWLSVVGLYDWSGNDSLVPELWMVPYFLPIHPGRFWVFSRMIYMPMAYLYGKKFVGPITPTILAIRDEVYDIPYNEIDWGNARRTCAEVLNMICCWIENPNSDSFKLHLPRIYDYLWIAEDGMKSQVYDGTQTWETAFIVQAYCSTNLVNELAPTLQKAHEFLKKSQVRENHPDYEKYYRHRSKGSWTLSSVDNGWSVSDCTAEALQALFLLSKISPKLVGDPIEGERLYDAVDCLLSFLNKDGTFSAYECKRTTSLLEVLNPSETFVKIVADYPSVECTSSVLQALVTFRELYPGYRNVEIENCIGSTSRFIENEQQKDGSWFGYWGVCFTYGTFFAIKGLVAAGRTYENSSSIRKACSFLLSKQLSTGGWGETYLSSETEVYVEAASPHAVHTALAMLALILAGQVERDPTPLYHAAKELINMQLDSGDFPQQEHVGTYNRNFYFNYSNYRNLYPIWAFAEFRNRLLAQKKLNGAAR >Et_6A_046391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14150495:14152212:-1 gene:Et_6A_046391 transcript:Et_6A_046391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMFNDDEKPIAPAANAGRPNVGFSDAGKASVKADHSKEAPLAATTVSVLLDVSSSSSTVGRAPLDLVVVLDVSGSMHGARLDQLKSAMQFVIKKLSPMDRLSIVTFSTKSDRPCALRAMSDPAKSDLKGIIDGLVAKGGTNIQAGLENGLHVLADRQYVDGRTANVLLMSDGEQNHGDARQVTNPHSVPVYSLAFGADADMNLLRDLAMNGGTFNPVPESGGPTGMLAVFSQLMAGLLTVIVQDLHLILSKPTSPDHDLDKIVKVAPGDFNQEADGGQSGAITVKFGDLFSGEVRKVVVDLLLVDATGSDYEADFLEVAVSYPDSKGVRQKFRGQTLHITRSSTATSVKTTPKLVEENVRRQHAGSITEARSLADGNNLDEARDKLVEAQNALEDVLDQANPMVAMLRTELQQLLDRMESPELYRAEGRPYALAAETSHAKQRFASRGDVEGVRLFATPRMDAYLEQAKKFAENPDAPLPTADDDAEEEVKANPVAAIAGSLAFYIHAAVEALQAIEKIVNAAAAPANV >Et_1A_008169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4932049:4939546:-1 gene:Et_1A_008169 transcript:Et_1A_008169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMLARSFALAGKGWKRPLNLYFQASASPGRRSDMPQQASASPGRHLHHRPAQLENCPEEPNQQQNTCGNMFEGSFEQRFATLKSIGEERVNERELKLLLKKKPAPVCYVWCEPSPWMHISQGIMNTLSVNKMHADEYWPLVMDIARRNSVRRIRKYGGSRDPYPRGQLTAAEIFHPCLQCAAILFQKADIWLLDMDQLEANLLVRQYCRHAKRENKPVAVFHNMLPNLLEHPGLENIRHPAWAIFMEDDKDDLRFKIEKAFCPPGLAEGNPCLEYIKYIILPWFGKFEVVRKKENCGKKTFLSIKELIDDYESGALHPSDLKLALEKSLNKILQEYYIAE >Et_1B_011829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26384969:26389022:1 gene:Et_1B_011829 transcript:Et_1B_011829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAQEVLQHMIDKGVMPSCTTYNSLVHGYCSLGELTEVIRVLNEMSRHGLEPDTIFARKYDVLMLKRFLIPWSRKAENLMILLHGYATEGAVGDMHKILALMTKNGVAPDHHVFSILICAYRKYGMVDEAMLTFAKMRQQGLTPDIEIYGMIIDALCKSGRVDDAMIQFSLMKNEGLTPDIKSFSSLMYGLCFIGRWKKAEELTSQMINRGICPDIVFFNTIMSIVCEEARVKEAQGIFNLMLHSPMKPNVVTYNTLMFGYCLARKINEAMKLLADMVTTDLQPNIVSYTTLIDGYCLDGKMDKAMELFASMVAIGLQPDACTYNTLLNGYCQNGSIDDALTLFREMSIKGVKPDIITYNIILQGLFEIGRAAAAKEFYQGMVDSGLQLDIGTYNIILKGLCKNKLTDEALRIFLGLSSSNFKVEVRTYNIMIYALLKDGRRDEAEDMFSAIFANDLLPDKVSYTLMIESRIKEGLLQEADDLFLSTEKNNCVVGSRSLNSILKINSYNIILGRLCKNRYNDEALRTFQGLSSHNLKVQDGRKDEAKYFFSATLTNDLLPGKVSYSLVIKSHRGRKGEVSRVGAYLTKIDDEKFPLAAYTYSLLVTIISETEYCSFVENMLELPNGLKWKKKATRNISG >Et_9A_061718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15762867:15768614:1 gene:Et_9A_061718 transcript:Et_9A_061718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAVGKRVLDTGWLAARSTEVKLTGVELTTTNPPAAGPGLVAPWMDAAVPGTVLGTLLKNNLIPDPFYGLNNQAIVDIADAGREHYTFWFFTTFQYAPSGNQHVTLNFRGINYSAEVYLNGHKEVLPKGMFRRHTIDITDILHPDGNNLLAVLVHPPDHPGRIPPQGGQGGDHEIGKDVATQYVEGWDWMCPIRDRNTGIWDEVSISITGPVNITDPHLVSTFHDDFKRSYLHCTLQLQNKSSWTADCQLKIQVSTELEGNIYLVEHLQSYAITVPPHSDLEYTIPPLFFYKPNLWWPNGMGQQSLYNVEISVDVKGFGESDSWCHYFGFRKIESTIDSSTGGRIFKVNGVPIFVRGGNWILSDGLLRLTKQRYMTDIKFHADMNFNMLRCWGGGLAERPDFYHFCDVYGLMVWQEFWITGDVDGRGIPVSNPDGPLDHDLFLLCARDTVKLLRNHASLALWVGGNEQVPPVDINRALKNDLKLHPIFASYQISKNQDNLLQESTDPSKYLDGTRVYVQGSLWDGFANGKGGWTDGPYEIQYPESFFKDSFYKYGFNPEVGSVGVPVAATIRATMPPEGWSIPIFKKRIDGYIEEVPNPIWDYHKYIPYSKPAKVHDQIELYGHSKDLDDFCEKAQLVNYVQYRALLEGWTSFMWTKFTGVLIWKTQNPWTGLRGQFYDHLQDQTAGFYGCRCAAEPIHVQLNLASYFIEVVNTTAEELADVAVEISVWDLDGTSPYYKVTEKTLVPPKKVKQIMQMKYHEMKNAKPVYFLLLKLFRLSDNGILSRNFYWLHLPGKDYKLLEQYQQKRIPLKIVSEVSVSGTRHKVRMTVENKSTKSVAESTRIMDLDDGNSSCSSGKESKVERHESGGLWRKMRSGLGIARSEDNLRTVEVNGTDSGVAFFLHFSVHTSEPSTQEKYRDTRILPVHYSDNYFSLIPGEKMVVDISFEAPQGSSPRVVLKGWNHHLNHAVMI >Et_1A_007677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37152514:37157504:1 gene:Et_1A_007677 transcript:Et_1A_007677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHYLVSRALASHHLQLPSPTISSLRPSQRLPIPLLSPPLPSPLHGRTLLPFVVSASRKYSSSMFGRRRRSLSPPMLLRQRRARRPTRKGPSELSVQIGIEEGLPEDPEILSIAETLRTDAGKAVKLAFHNLEVSEYKTRDTSISNVNKYDSMEVSLLLCDDNFIRKLNKEWRDEDHATDVLSMSQHIPGLDIPLLQLGDIVISVDTARRQAEERGHTLLDEIRILMVHGLLHLLGFDHELGQEAEEEMEKEEEHILFTLEWKGKGLIRSAYDASTNAERLRNSVDAYNNIEKVRLQQEHQPKLSHIICDIDGTIVDHDGCLHEEPIESLREAIATGVNVIMVTGKSRASTIRTFKLLKFQGTDELVSETSPGVFLQGSLVYARHGQEIYRANLDVNVCKEVSKHHETKIKVMPSVENLLEYSSIQKLLFFDNTKKDSSVLMHHCSELTEGKARVVQMQPHSIEIVPLNASKGHGLRILLDHLGITEDSDLDAAGDYTRWLNDKRFD >Et_3A_023454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28398085:28398924:1 gene:Et_3A_023454 transcript:Et_3A_023454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANAPEGDVRRRRPLQRKVRVLTAGAPPPAAVLRRAEAASFEVTHGYGKNGEWDRLPASERARLKARQGLRTAGMAEVDVVDGSGGTAGGVPRDGATMGEVVLRGGCTGDVGVIHPDGYLEIRDRSKDVIISGGENISSVETPCAFVSLKEGKAAGAVTADEIIAWCRERMPHYKTPKTVVLLPELPKTSTGKVQKYVLRDVARNMGPTRRAGSKM >Et_5A_040475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24876199:24876624:-1 gene:Et_5A_040475 transcript:Et_5A_040475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQESTDLLESSVLLDETQYQDGFRDGYADGWASGKEEGRKGAFSDRVKKNIEQMDALVSSSPISDPEDEQVQEIMGKIRLKFRVITASLGVKLEYEGRSKPLNQGFEDL >Et_3A_025018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26138854:26141309:1 gene:Et_3A_025018 transcript:Et_3A_025018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQRPSAELNSSHWPAPEMARSSSYTRSSWAKCLPCCGSSNKLTGCNLSGDLLPSLGAAAHQPPEHRKWKYLVSPYDPRYKVWETFLILLVVYSAWICPLEFAFLRYLPRAPFVVDDVVNGFFAVDILLTFFVPYVDNKSYQLVDDPKKIALRYLSSWFVFDVCSTFPFHSISLLFNRHEHGLGFKFLNALRLWRLRRVSSLFARLEKDIRFNYAVVRCTKLISVTLFAVHCAGCINYLIADRYPDPRRTWIGAVMPDFRDAGLWIRYVTSLYWSITTMTTTGYGDLHAENPREMLFGIAYMLFNLWLTAYLIGNMTNLVVHSTSRTRDFRDMVQAASEFVARNQLPQQIEEQMLNHICLRFKTEGLKQQETLDILPKAMRTSISLYLFFPVVQGSYLFKGVSSGFIQKLVTEMQAEYFAPKEDIILQNDNPSDLYLLVSGAVDIVAFLDETEQVYGKAAEGELLGEIGVMYNRPQPFTFRTSKLSQILRISRPRLMEIIQENGEDGQIIRSNIEQKLRMEQTLYFRMHQDGPPSELEQRLNP >Et_1A_005182.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31181777:31181818:-1 gene:Et_1A_005182 transcript:Et_1A_005182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSPSSVQIVAP >Et_4B_038710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4125526:4128086:-1 gene:Et_4B_038710 transcript:Et_4B_038710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEALVRNKPGMSSVKQMPVVQDGPPPGGFAPVRFARRIPTSGPSATAMFLTAFGAFAYGMYQVGQGNKVRRALKEEKIAARSAILPMLQAEEDERGLLKHIEDVI >Et_7A_052112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4791872:4794819:1 gene:Et_7A_052112 transcript:Et_7A_052112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCKALIAAMLLCLSFVRSQGDAGSVAPSTEQEQEIQMLRAKVASLEDDISRKNEETLQLESVARERAAQMAALASELEILQVNVDDESVMKASTHNALLEEQIERLGSDLGDQVRKGESLEVRATEAEKISHELTRKLESVEKTSLEQKKKIEELSRKLQHAHDKLTQLEKEGKTKAEELAKVHGLWLPHWLAVHVVRCQELASAKWQVHGKPMFAKVHINSLRNTAAPYVSVLATKTTAAHRVCRDAIQPYALKAQEIAIHRWQHAQPYIGQIVAACEPHLSRARVTLEPHSMPVTSFWRRLISYHGQVPRRSEFQSLYCFRVLSRYLRISFLPGAVQVQKGVKHFMDDNALLKPFSDDRLTWFTVSSLAQVLDSSTTGISAASSEIHRASSETDPGCIAGVRVAHVAGVRHLQDPLIRFLVSQFGHHLQRPSHLTVLT >Et_7A_052130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:539619:539835:1 gene:Et_7A_052130 transcript:Et_7A_052130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VYCVELATPGFADMQALDFFSRICGWDLCMSTPSDRFRNTGSRGGSGPRRMRIFTGTGKPSLRSSLSVS >Et_2A_018580.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33779097:33781253:1 gene:Et_2A_018580 transcript:Et_2A_018580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPTPRSSDGLRPRSNSGIGAGTGTGTGTSRPPSSPRTSVDASRQTAGRSSASSDKPVPSFLRPTASSSLRSSASSSSLLSPPSSRSPATAAATARRSADKAPAQPLGAPRPITPKPDKAKAAPASSSKWSAVSARQLMQKASNAIKGTTRSRSKTSKEAAASSSSGKETSRPGSSASVREAAKPASSTSVKATASTAASSSSGKQAVRPAASVSVKEDANTAASAPDKEASAASAEAKGETARAETVEEQHQQQPETPAESPPAVQAVAVLEPKAEQIEPPAATSQEASGTDATAAEERDQKEPISAEQPTAESEAEKVVEEEKHILEDTEAIYVETPEPEPQEQRPQSFAVVEAELEAEKNMGNESPAIIVEEAVKDEATPEGGEDEPAIIVEEATKEETPRGEDEPATSTVEAAVIEETKAEERQQEEATMTEEVIETPETSVISEKPKEETRVIPEEAKEETSVITEEPTEETSVITEDPKEETGVISEEPKEETRVITEELKEETSVVTEDPKEEAGVISEEPKEETMVVSERPKEDTSVISDQPKEHPAAKKQEEAAEEAEVAVGSSVSAPTTTPLKDAVEDAESVPKQVSASEPVTPVQEAVSKDKAVIETLLSASTPATQANAVEKARASKQTTIPAEESSKLPFRGSKVKTAMEKRSEEEQPKKKEVARSNDVIEETKSKLLQKRKSKVLALVGAFETVMDSPRSSS >Et_4B_038946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6211131:6225125:1 gene:Et_4B_038946 transcript:Et_4B_038946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRLVANRAAPSDSFFRRTLVRMLDTKLGFPPVAPTSPASDEAAAEPSPKRRRVGEATEPVPEMKEEALERLRGVVRDSVGKHLYASAIFLADKVAAATGDPADIYMLAQALFLGRHFRRALHLLNNSRLLRDLRFRFLAAKCLEELKEWHQCLLMLGDAKVDEHGNLLDQDDGSDIYFDKDAEDHEINIKAAICFLRGKAYEALENRDLARQWYKAAIKADPLCYEALECLVDNYMLTCEEESELLSSLQFGEEDGWLSSFYSCLIRKHEKEYVVEAKFKEFERECCSISSSNSGETLKNNIDILACKAEYYHQNGEYEKCFKLTSSLLERDPFHLKCTLVHLAAAMELGHSNDLYLLSCNLVKDYPQKALSWFAVGCYYYCIKKYDQARRYFGKATGLDGTFPPAWIGTGIAYAAQEEGDQAMAAFRTAARLFPGCHLTTLYMGMQYVRMHNFKLAEQFFMQAKSICPSDPLIYNELGVVAYNMKEYQKAAQWFELTLDHTSSSLNEMWEPTLVNLGHALRKLKEYQKAVTYYEKALTFPTKTLSAFAGLAYTYHLMDNFEAAINYYHKALWLKPDDQFCTDMLTLALESSCQTILITGSETSGGKGAAKT >Et_4A_035326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2004972:2007347:1 gene:Et_4A_035326 transcript:Et_4A_035326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQVLPGWNSKTSVSFLPCLSFHCTRRFDVPLRPFRAMPPDGCRSKALRSPAAASSFETAGAGARDPADGEGGGGFLLLPLNDALLRIRRSIAGWHQLRVARA >Et_8B_059437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1825965:1836703:1 gene:Et_8B_059437 transcript:Et_8B_059437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPQAILPLRALPPDAPLPLPPPFHPQTPTPAAAPAPAPAATPTQTPLQPQNSAAPPGSSSTRPPHPWEIAARAWLESFPDGRPPTEPELDAYIDAHRPELPSLPRSQLQQRLLALRGDQVLDAEQSAFPYRFQRTDLWKPVYQWLETLEMDSLVETKEISDWLTSNPKIMDRLVEKHSKYHLIHYTQRMHLKLLKKRGKLPKTLQLSAARATVKPSAAPVTPEESTVTLRKPTPPAAGRFSGDSAARVHNSTAGRFQGGSTSLRDKKTSLSKKKEALLKYELLTDLQNQLTAVLLKKCRTVAIKETDPSYVEFQNSETNLSVKEGSATASAPAPAETTKVHVNEKSNPAEASDSEFGKNRKRNPIFVTPAWCYCEALTGASRGEEHSLHSDSLRSSDYWKGHDNPLLKNKDIKKNILFCLEGRETGWNSPAVQFEGPAVHVMRKSYLSWSPTSCAYTSSAPAQPHDRQGVRKVLDVKFHPEGLPQLVSSSNEIQAIAFAVKGASVVSCASNLLKVWDCITGSCLYTLGGDDQNSVGHTQKINSMAVNKWQSCLVVTSGAKGDGKLLLWNALRGELASDLNSNLRSQDMVYPSVDTMEFCSENLLACGSDCDYGGSAVVQLWDIESPESYLSFSASDSYITSLKVNPAGNTIITGSGDGTIGLFDIRACSAINHLSVGPGCEVTSVSFSNCGTYFSASSTSNNTLVWDTRLVPNYSKDVSRSKDMRFFRPLHCLSHGKQMPTAKYTSQLPGHVDEGDQGVNATQWLHNEPVLVTVSGDGSVGMWDVTLGQPCVRHINAHTRCANAVAVAPNDEYISTGGSDQKVVSYLFGHLVSYIALK >Et_6A_047061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24722575:24724675:1 gene:Et_6A_047061 transcript:Et_6A_047061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSIVFGNAGEAVKYGMCQSECLSIQPNCDAWCHRIGYHKGGECVPPHYIDCCCWEIPPAEKSNQTSGLLHELHMMAAKWSAAVWIMVVAVTVLAILVPSGEAFKYGTCVLDCDSKKPNCDAWCKTISFPYGGECVGFAPHDFRCCCWEIPPTEKRSHTVGLLHALHM >Et_7B_053774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12068566:12073803:1 gene:Et_7B_053774 transcript:Et_7B_053774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVCVGPSSPTVESRGGKVPEYPAQVEAGKAATSAGLDGSASKARTISSPLLLPSPSARSLAATSRARAPPRRGPQQPRLGPDVPCRVPPRRAEPRGWWGLSLVAPARRLRTDISVDIRFDFNAFDHLSSMRYITTDRPWLKLYGIRVQPVPAFSSLSCKPDLALIHRCLPDELLFEIFTRMSPYTLGRAACVCRKWKYTARNPTLWRVACLKTWQRSGMEANYRMVRSLYDSSWRRMWLQRPRIRNDGLYVSRNTYIHTGVTEWRFKKTVNVVCYYRYLRFFPSGKFLYKISPDKVKDAVKCMHFRASKNDCVFKGDYILSEDGQIELALLYPGHRYTLVRMRLRLRGTTIGANNRLDVLKILTTGVNATELRNWKGSILELVDGWEEDETHDPDVPAISHSRGLAPFVFVPFEEADTSVLNLPVEKMDYFVPGETVREGDTYGDVGAGGDDAHAVSRAWAKAASQSRAARPCPRLLRGTYVCTTSITAGDGRRYSRKASPSGVSNLPASSRHTMLSAAGGEPLAPPSFAIARCCCCWR >Et_6B_049881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16213043:16223331:1 gene:Et_6B_049881 transcript:Et_6B_049881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARFARVRFFRVVPMIDWWRSFRHSLPRMSYFQCCEPAIRKYLGHFFEHLPALTPDENNILINKYIIFVACICCGPIGHPSAMSSAAGKSDASGSGSKKEKGVGSYTFARSRQVAYLLDSYQPTAASCSTDRSMASVSPAGLKKAVFVVICITLVFLSKGPPAMADVLEQCRMECRPICDAYSTRICRFIAKKVCIVEETCKVQLSAQGTNTCRYTISAMHELFMRALASTSPLALVSCLRA >Et_6A_045842.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:19067671:19068148:-1 gene:Et_6A_045842 transcript:Et_6A_045842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFDKRMVMGDGASLFVTLMETQFAQEGGQVNYAFDSLHTEVVACLKGAQTAMEMEMGRIVIETDALLVKQAIESPNYDDFAYGALIAELRSLVRYEFLAAWIEYKPRQCNIVAHSLAALGVVCPVTADPLLDSLPDCINDLVASDLAAPVS >Et_3A_025806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33010229:33015728:-1 gene:Et_3A_025806 transcript:Et_3A_025806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTRNLLHHDGKNSKSCYPTQYCVDITAQLEASARQDHHKPARSPRLSMGQEEPAAAASTSSRFGVGAGDDGDWLQLGLAVAPSSSSASSSGDNNSADPAPTPVELGLFASCGYDKQHARTRPPPLFPLPLRSYQYGRYRPAAASGSMSAPFLPFMPPFRRSGDAVRVISPPRRTEATGLWLTLKAAHNQGSEPILPQIPKSYLRIKDNNIKVEVLMKYLADKLGLTRSHQVELTCRGQVLPPFLLVKYVRDNIWCLSALREDEARPTRRSPVATTDHVMTLCYTTSRNSTRFVIN >Et_8B_059128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14157628:14161357:1 gene:Et_8B_059128 transcript:Et_8B_059128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNPRFRRHPQPFSIDLVRWLPSSATSPSGRLLAAAVHDPSAASSDILILPLADLSSPLASLPLPSRATALRCSPSALAAATSSGSLHLAPSSSFDADAAVAVSGGAGFHVGPVRGLDFGGEEWVTAGEDGRVHVVGGGGDGRVVARRMWDGKGMAGYEAAKWASPAEFATGGAGCGVQWWDRRKGYAAVAQCSGIWGRGLVTGMVHSIDIHPSRKHICVVGGSSGTIFAWDLRWPQQPIPLSGIGLTTAQPVCESEVWEVLFDTCTQSSDILSSGSARLLPVMMCSEDGILAVVEQDERPLELLAEPCAINSFDIDPQNPSDVVCALEWESIGVLSRGRDAMAEE >Et_9A_062409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22599488:22601465:-1 gene:Et_9A_062409 transcript:Et_9A_062409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLSKLPRKSSGSGESGQGSNGSGIQRTSSCGTIPQSRPASAIRRMSSAVFPSSVVAGIEPLVSFKDVPNSEKQNLFVSKLSLCCAVFDFSDPSKSSVEKDIKRQTLLDLIDYVESTNSRFSEPVIAACSRMCAINLFRAFPPNCRSRSSGGGEGDEDEPMFDPAWCHLQLVCELLLKFIGSSSLDSKVGKKHFDHSFIVKLLNLLDSEDPRERDCLKTILHKIYGKFMVHRPFIRKAVSNIFYQFVFETDRHNGIAELLEVFGSVISGFALPLKEEHKMFLWRVLIPLHKPKSVGLYLQQLTYCVTQFLEKDPKLASSVILGLLRYWPITNSQKEVMFLSEIEEILESTSQVEFQKCMVPLFRRIAHCITSSHFQVAERALFVWNNDHVISLIAQNRQAIMPLVVPALEQNTQNHWNQAVLNLTSNVKKMFSEMDEDLFSSCLAKYKEDEEKRAPVEAKRKLTWEKLESAAGFQPVTGHTAVLIGGQPSANLIATLI >Et_3A_024931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25477118:25479724:1 gene:Et_3A_024931 transcript:Et_3A_024931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCAFVRLTVDQLLLKLPSVPRPSSGAGVHPSATPCFFTLHLQDHPSLSRTAPLPLASGGAAAHADPVVLSLDAAAVQRLSARPAELVVSVHAGQTGSNCGMSAARALGRVRVAVDVARAAAGEAVVARDGWVDVGKPASGGSSSASSARAQIHMVVRAEPDPRYVFQFGGEPECGPVVYQVPGGGAGGGQRQPVFTCRFSAGRRITRTRSLTPQSSMTRSPSRRLRSWLSSTLLHGDVGRDAQSRREQRKGWTVTIHDLSGSPVAAASMVTPFVPSPGSGRVSRANPGSWLILRATGAGPSSTSWKPWARLEAWRERGPVDALGYRLELVFDSGPTECTVPIAESSISTKRGGQFVIDPATFNEAAAASAAWPFAGGFVMGSTVEGEGRASRPTVQVGVQHVTCMGDVAVFVALSAAVDLCMDACKLFSQRLRKELCQDQDE >Et_3B_030550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4949728:4950494:1 gene:Et_3B_030550 transcript:Et_3B_030550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKRGPPTTRRKATRSCARSGGCRFAGRSGELLDHLTAHHKCPCTEFRYWTQFDLRVEPGVHVLVDKDDGQVLLVSTRSVDQLQGYATSIVYVPPCMEKTRIGCALSYSGRNHYGNWTVKRLPALWPHSKWPPTDYICVVPKLSDGSEDTGVVLNTNIVCAYAEEESDGDSSYLDSEDSSDDSF >Et_3A_027092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32515117:32520951:-1 gene:Et_3A_027092 transcript:Et_3A_027092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEWINGYLEAILDSGGAAGGGGGGGGAGAGGGGGAGGGGPAGGGDPRSPVAGASPTATASPRGPHMNFNPTHYFVEEVVKGVDESDLHRTWIKVVATRNARERSTRLENMCWRIWHLARKKKQLELEGIQRISARRKEQEQVKYVVELARALAMMPGVYRVDLFTRQVSSPDVDWSYGEPTEMLTSGSNDEGGESAGAYIVRIPCGPRDKYLKKEALWPYLQEFVDGALGHILNMSKALGEQVGNGKPVLPYVIHGHYADAGDVAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRMSKQEIDSTYKIMRRIEGEELALDASELVITSTRQEIDEQWGLYDGFDVKLEKVLRARARRGVSCHGRFMPRMVVIPPGMDFSNVEVQEDIDGDGDGKDDITGLDGASPKSRPPIWAEVMRFLTNPHKPIILALSRPDPKKNITTLVKAFGECRPLRELANLCLIMGNRDDIDDMSAGNASVLTTVLKLIDKYDLYGSVAFPKHHNQADVPEIYRLAAKTKGVFINPALVEPFGLTLIEAAAHGLPIVATKNGGPVDITTALSNGLLVDPHDQNAIADALLKLVADKNLWQECRRNGLRNIHLYSWPEHCRTYLTRVAGCRIRNPRWLKDTPADPGAEEEEFLEDSLMDVQDLSLRLSIDGERGSLNITEPASTDPQEHVQRIMNKIKQSSPLPPSLSTDAADATGGAVNKYPLLRRRRRLFVVAVDCYHDDGRASKKMLQVIQEVFRAVRSDSQMSKISGFALSTAMPLSETLQLLQLGKIPATDFDALICGSGSEVYYPGTAQCVDAEGKLRPDQDYLLHINHRWSHDGPRQTIAKLMAAHDGSGDAVEQDVASSNAHCVSFHVKDPKKVNTIDEMREKLRMRGLRCHIMYCRNSTRLQVVPLLASRSQALRYLFVRWGLSVGNMYLVVGEHGDTDREEMLSGLHKTVIVRDVTEKGSEALLRRSGSYHREDVVPSESPFAAYTTGDLKADEIMRALKQVYKTSSGLYLMSSVFYFLNDYILLRMIDYKEHFT >Et_2A_016931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29662464:29668645:-1 gene:Et_2A_016931 transcript:Et_2A_016931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVLAQGLQKATLLLAYQSFGVVYGDLCISPVYVYKNTFSGKLRLHEEDEEILGVLSLVLWSLTLIPLLKYIILVLGADDNGEGGTFALYSLMCRRSRMGLLNNIHADHVSLSPYNHEGPREEPKSSLAIKGFIEKHYSLRVVLLLFVLMGTSMVIGDGVFTPTMSVLSAVSGLRIKFPELHENYTVLIACVVLVGLFALQHYGTHRVGFLFAPILLAWLGCIGGIGIYNISKWNPRVVRALSPYYIYNFFRKAGKDGWSSLGGIVLCITGAEAMFADLGHFSKLSLRLGFTIVVYPCLVLAYMGEAAYLSKHREDLQSSFYKALPDTVFWPVLTIATLATVVGSQAIISATFSIISQCRAFGCFPRIKVVHTSSHVHGQIYIPEVNWVLMFLCLAVTVGFRDTEMIGNAYGLAVILVMFATTCLMFLVITTVWNRNVVWALLFTVGFGSIELTYLSACLAKVPHGGWLPLLLSLVMLLTMSTWHYGTKKKEEFELQNKVCLDRFLNLSSGIGLVRVPGVGFVYSSAADGVPSMFAHFVTNFPAFHRVLIFVSLQTLAVPKVRPGERFLVGRVGAPENLLFRCVVRYGYKEGRWDHFNFENQLLMKVVERYNQLLSVDNKDAGTMASYSSSSPPEIDAGVLARRVRFVEPYGAGDGDEEEMKSSEVKTLLKERESGVSYMIGHTCVLAHESSSAVKKFAVNIVYGFLRRNSRRPVVELGVPHASLIEVGMTYRV >Et_6A_045892.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:26908525:26910291:1 gene:Et_6A_045892 transcript:Et_6A_045892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALSRLGVGLVVVSALLLLALTAELYYIFVHKRRLRRRAAAISDVASSPSSSSRELLQLFCFKKPPALASSFTVQDPHAVAVAVGVGGDDDDDDDETVEAQLMRLGNLVGPPRLLFTIKEETKEDLESDDGRSRCGRSRSLADLLHCPGTPFLTPASSPAPPSVAAADNSYNPLFESPMASPGPAPPAVSPPPKFQFLKDAEEKLYRRALAEEAMRTRTSPPQATGDEESGYITIMVGKNNRVIPLPSPPGGGGHQ >Et_4B_039140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8145340:8152619:1 gene:Et_4B_039140 transcript:Et_4B_039140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSDKGEGDLEIGLASPGPEEAPSPASSAGASGEPQDLSPPRAAKRPGLVMSFSGKRLDQPSSPAASPSASRPVLVMSHSSNRLDQSPARPVLVMSRSSNRLDQSSPASSPAMSKAPVLVMSGSGKRLDSVPSQSPSPTAAAAAAPVLVLSNSGKRMDQAGRKKYVKQVTGRHNDTELHLAAQRGDLDAVRQIIAEIDAQMTGTGEDFDSEVAEIRAAIVNEANEKEETALLIAAEKGFLDIVVELLKHSDKDSLARKNKTGLDALHVAAKEGHRDIVKVLLDHDPSLGKTFGQSNVTPLITAATRGHTEVVNLLLERVSGLVELSKANGKNALHFAARQGHVEIVKALLDADTQLARKTDKKGQTALHMAVKGTSAAVVRALVNADPAIVMLPDRNGNLALHVATRKKRSEIVNELLNLPDMNVNALTRDRKTAFDIAEGLPLSEESQEIKECLSRAGAVRANDLNQPRDELRKTVTEIKKDVHTQLEQARKTNKNVYGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNTDNGVAVAVHAVSFKIFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVEVINKLMWLASVCTTVAFISSSYIVVGRHFRWAALLVTLIGGVIMAGVLGTMTYYVVKSKRTRSIRKKTKSTRRSGSNSWQHNSDLMEAKNQAGEDTRPAAQQPEQMMDSPRAAQAATRRKKMTKQLTGKRDDTAMHAAARAGQVASVREMLSGKAPEEVSALLSKQNQAGETPLFVAAEYGYVDLVAEMVKYHDAATAGVKARSGYDALHIAAKQGDVDVVRVLLQALPELSMTVDASNTTALNTAATQGHMEVVRLLLEVDGSLALIARSNGKTAAHSAARNGHAEVVRALLRAEPSIALRTDKKGQTALHMAAKGTRLDLVDALLDAEPALLNVTDSKGNTALHIAARKARHQIIKRLLELPDTDVKAINRSRETALDTAEKMGNAEVAGLLAERGVQSARALLGGNGNNNNPARELKQQVSDIKHEVHSQLEQTRQTRVRMQGIAKRINKLHEEGLNNAINSTTVVAVLIATVAFAAIFTVPGEYVDDPGSLAPGQALGEANIAHDKAFIIFFVFDSVSLFISLAVVVVQTSVVVIERKAKKQMMAVINKLMWVACVLISVAFLALSFVVVGRSERWLAVAVTIMGATILVTTIGTMLYWVIAHRMEAKRIRTMKRSSLNRSRSFSCSGVSEGEWIDEEFKRMYAI >Et_6A_047273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3147264:3148973:-1 gene:Et_6A_047273 transcript:Et_6A_047273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKDCGNHGDDEIKDTCRRLLCLLFGLAVIVGIIALIVYLVLRPTHPRFYLQDATLKQLDLANVSGVLSTAVQVTIASRNPNSRVGVYYDRLDVYASYKYQQVTLGASLPPVYQGHGDVDVWSPVLSGPNVPFAPYLAGALGKDVANGYLIMEVKIDGRVRWKVGSWTSGHYHIFVTCPAYFITSGGNGVQGANGLRFQTPTYCHVERTSLKLPPFVVPLPHAAKRILSQYYVRNADVGEGRWLK >Et_8A_057040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20390393:20390804:-1 gene:Et_8A_057040 transcript:Et_8A_057040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIELEVDEPLREDKHITLVENLGEQLVTVVHVRRDEADIQGPLEHGEDLSGTRVGSFITLTKVTFDPTLLVLVSLGLSRLAAMKKSSAFTAMGSLHTNPFTRTAHTKKAH >Et_9B_066137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4661276:4665631:-1 gene:Et_9B_066137 transcript:Et_9B_066137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRYGSLIADIWYAETSWVPTEEVNTTAAMPGWWPPQGSTAVVAVWADWAQYNEAANETRGGRDENSNNNQNNKTDTGSIKWPNCRVHLEAQVWFRYGLIPTMPYTIRASCSPVDFEDGVNSTIYCTSDSLVKEKMGLDDSISEYCNQTLHSFVSNLTSYADQRNESTMVATSVIMFALATLFFNLNLFSHLSDISAILNPSVRFSLSTSLSLFLPVMSYLFSEAKNATSWASTELSLRARTILLWMLLVELLRKKVEAIMMSAGVPGYPGTVERAARIGWLGYLVFYNLRRAGKKGPCRCQAGSEARHA >Et_6B_048666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12370956:12376350:-1 gene:Et_6B_048666 transcript:Et_6B_048666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEDTGSGGVGGVVRGAVLKALVVVGGVLLLRRLRRSTTRWDHARAVADALAGEKFSREQARKDPENYFNLRMLTCPATEMVDGSRVLYFEQAFWRTPEKPFRQRFYMVKPCPKEMKCDVELSSYAIRDAEEYKNFCDRPKDQRPQQEEVIADIAEHLTTIHLSRCGRGKRCLYEGSTPPEGFPNNWSGASYCTSDLSIHKNGEVHIWDKGFDDEGNQVWGTKVGPYEFKPAPKSKYDDMFSPLNFSAPLSLEKKMDTAYVIDDQ >Et_7A_051139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14870664:14872307:-1 gene:Et_7A_051139 transcript:Et_7A_051139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRHHTLRGLRLPRRLCLRRLLHSYSTNAHPPLRPSPPPQLHAAEVWIAKGLAAAAVLRPNRLRPFRGLALSPLAAAAALRFTPCADSALCLFDALHSPPMSVPPSEQSYCHVIALLCRAGRHDDALKLFDQMTDQSGCIPDSGFLSFVVGSCASAGLLDVAAALLSKASHYGCSIEPYTYNRLMNSFISHGRAQDAVSLFEIWIKDGLYTPDVWSFNVVVKGVCRVGDVQKALELVERMEEFGCSPDTVTHNILVDGLCRAKEVNKGREVLRRLQRDGVCIPNVVTYTSVISGYCKAGKMNDAIAVYNDMIDYGTAPNAVTYNVLINGYGKDGDMDSAERMYQQMMLRRCPPDVVTFSSLIDGYCRCGQLESAMRIWKEMAQFHIQPNVYTFSIIIHSLCRQNRSEEALGLLRELNMRVDIAPRAFIYNPVIHILCKGGKLEEANLVLKDMEEKGCLLKAGMPNEVDKIMHMASGRASSFQKVSSHMSQNQDISVAV >Et_3A_025396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29533744:29535981:1 gene:Et_3A_025396 transcript:Et_3A_025396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPQGLRGHAASSACPFLAFAVLLALPGLAAGATHRYTFNVQMMNVTRLCVTKSIPTVNGQFPGPKLVVREGDRLIVKVHNHINYNVSFHWHGVRQLQNGWADGPSYITQCPIQGKQSYVYDFVVTGQRGTLWWHAHFSWLRVHLYGPLVILPKRGEGYPFPAPYKEVPIMFGEWFNADTEAVINQALQTGGGPNVSDAYTFNGLPGPTYNCSLKDTYKLKVEPGRTYMLRLINSALNDELFFGIANHSLTVVEADANYVKPFTVKTVVISPGQTMNVLLTTAPNPVSPAYAMAIAPYTNTQGTFDNTTAAAVLKYVPTRPAATRNLPLPALPRYNDTGAVTNFSRNFRSLASAQYPARVPQSVDRHVLFTVGLGTDPCPSNQTCQGPNGTNIQGAESHPLHLHGYNFFVVAEGFGNFDPVNDPPKYNLVDPVERNTISVPTAGWVALRFFADNPGVWLMHCHFDVHLSWGLSMAWLVNDGPLPNQKMLPPPSDLPKC >Et_4B_038977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6513405:6517653:-1 gene:Et_4B_038977 transcript:Et_4B_038977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICIDNSEWMRNGDYMPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIAFIGSPVKYDKKVLETIGKKLKKNNVALDIVDFGESDDEKPEKLEALIAAVNSSDSSHIVHVPPGENALSDVLLSTPIFTGEEGGSGFAASAAAAAATGATGFDFGVDPNVDPELALALRLSMEEERARQEAIAKKAAEDSSGTENKDHASSSHSDAVMADAEPPSNASADDKKEQPKDDDDLLQQALAMSMEGGASGSAAVADAAMAEASAVDPDLALALQMSVQDANMSGESDMSKVFEDRSFVTSILNSLPGVDPNDPSVKDLLASLHGQGEIPGLRMEQCG >Et_2A_014746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11595026:11595406:-1 gene:Et_2A_014746 transcript:Et_2A_014746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRHKYEAERKAREAERERMRERARRARAAGPDAFRKGKYPLALSRH >Et_8A_056523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11335936:11350571:-1 gene:Et_8A_056523 transcript:Et_8A_056523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLNTKSGDVIQHSRTDTNWFGTRRRPSRLSTMLMSVAIAVVGILLVHLPASVVSTGAIGLPNCNTTCGDVSVPYPFGLSPGCYLQGFDLTCDNSRNPPRLLLGDGDLRVTEISLWNATVRVNGTAIRMVKNGTASSGVSHDKWRGLRDRGRFLMSPIHNDLVATGCNVLAELLLPGSNDTISGCASFCPRFRSGRVTLSIGSRCSGIGCCQMSVNRNLASYDVRLRHLDVDNSSQNYNPVRVFIAEQTWFATVFREVLNFSAPRPTRVEMEVPVVLDWAFGDGGCDGACRSRYSFCYNNTFGEYMCRCKRGYDGNPYITDGCTDINECIRPTNHNCFGDCTNLPGNFSCVCPRGTRGNPREIDGCSKSFRDLSIGLGISSGAIFMFVVLGAILVTRKVKEQRRKKQKQKFYKQNRGQLLEQLVSQRADISERMIITLEELEKATNNFDRWGTPWCSVKRNFIRPKHLATLSQINHRNIVKLFGCCLETEVPLLVYEFISNGTLYDHLHVEGQRSLSWEQRLRIANGTARALAYINSAISVPIIHRDIKSSNILLDDSLTAKVSDFGASRYISVDETGVNTVVQGTIGYLDPLYYYTGRLTEKSDVYSFGAILVELLSRKKPFSYMSRNGDSLVVHFVSLLGQGNLVQILDPQVVEEGDIELIKDVANLAAVCINLRGEDRPTMRQVDMKLEGLIQGSRKPAPESTIDGGSKENAIPINGMITQGKGRQSTSLYYSMEEESLLNLANCSEMKVKYGSPAVVSEILGVAVVDGEEDRDTRPLHTGRLTVWASLNNAAPSTSFTTSAPRQHEHKE >Et_1B_013832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19217832:19219217:1 gene:Et_1B_013832 transcript:Et_1B_013832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVAKGKGPVVVTGASGFIGSWLVMKLLQGGYTVRATNEVIKPTVEGMLSIMRACKDAGTVRRIVFTSSAGAVNIEEQRRPVYDHNNWTDPEFCRRVKMTGWMYFVSKFLAEKAALEYAAEHGLDLISIIPTLVVGPFLSTSMPPSLITALALITGNQPHYSILKQIQFVHIDDLCDAEIYLYEHPDAAGRYVCSSDDTTIHGLAAMLRDRYPEYHIPDKFPGIDDDLPLVHMSSEKLLGLGFRFRYTVEDMFDAAVKTCRDKGLIPLATADGGDETKGAALGKDNPATTASA >Et_10B_002370.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:14462958:14463419:1 gene:Et_10B_002370 transcript:Et_10B_002370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLLVGDDAGAQHDLGSGEYPVRQAGHHAGPRRRRRKRAHPGGVAQQRGHRVHAARHPGLRPRAGAAGAAAHRRPAQRVPAPAPRRRLRALGSGVACAGLVELRRMRVVREMLFIPGAGDTVPMRPLVGRHAAPRDAEPSASKVGQARIAKRHR >Et_4A_035184.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:10944604:10946052:-1 gene:Et_4A_035184 transcript:Et_4A_035184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKGKYPRLNMTPRTKKQLRDFFKDHASDMTELFPGQGSDKSSGGGGGGNSSSSSEPGGGGGGGGRSQAAATNAGKYIFSFDVGTPPQRVSGALDINSQLVWTDCGTTTTSGGGTLFYPSQSNTTVVACTSTACQEFVPQTCATDACVYTYTYGGGSASTTGYLAVDKFSFDDSSDPVELVFGCGVVDAGDFGDGVSGVVGLGRGPFSLVSQLEADRFSYYFAPDDAAEGAQESFIHFGDDAAPKTSHALSAPLLESSAFPDFYYVGLTGVRVDGQDLAIPRGTFDLGTDGSGGVFLSIGIPVTFLDEVAYKLVRKALESKIGLPTVDGSALGLDLCYTSEALAKAKVPPVALVFGDGKKAPAVMELETWNYFYMDAATGLECLAMLPSTAGGGSLLGSLIQAGTHMIYDISGSKLMFESLVQASPSPSDSSNGASKPSKTDGKGSPMRSSSAPPLPALPVVIANVVWVAVHMLSWAAF >Et_6B_049375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4052844:4059739:-1 gene:Et_6B_049375 transcript:Et_6B_049375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASPAMAASGPGGMSSDNAKGLVLAVSSSAFIGASFIVKKMGLRRAADSGVRAGYGGFSYLMEPLWWIGMISMIVGEIANFAAYAFAPAILVTPLGALSIIISAALAHAILQEKLHTFGILGCVLCVVGSITIVLHAPQERPIDSVKEVWDLATEPAFLCYAAIVVAAALVLIYYVVPDHGQTNIMVYIGVCSLLGSLTVMSVRALGIALKLTFSGTNQLFYPQTWAFALIVATCVSTQINYLNKALDTFNTAVVSPIYYVMFTSLTIIASVIMFKDWDNQNPTQIVTEMCGFMTILSGTFLLHKTKDMTDSTGQSLSKRHLKHAGQNGFAIEVVPLKFQDSGDDETLSLSLSKADNGYLKDGNPLRYKDSNIMAGSLEHSNPNLLLFDGATRPPAVGRGGAGGAATTLVAAVAATSARKMMRRGILSVLLGLECSVFLCRADMGRVLQWLAGTREPYRVSRVV >Et_9B_065877.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:16151473:16153545:1 gene:Et_9B_065877 transcript:Et_9B_065877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQLEPPAPPPRRSVSTSCDLHPGETFTSFCAACLRERLSGLESSAAAAAAPGRKSTSAIRSLFSRPFAAAAGAPSSSGAAAAALPDLRRCKSFSCGRGGDALAAADEPQRRSCDVRGRSTLWALFHQDDRERVRDGTAFGAFPASSSAAAAALAAEVLPPHQPPPPPVCVPEEFLEEEIAVAEDSDEITPVVEPVLVAADTSGEMDTEAAAAAARDIRAMKDHIDLESSQSHPKKPPPKDLKEIAGSFWLAASVFSKKWQKWRRKQKLKKQESSSAAGIGSSKAAAAAMPPPEKPSKPSFLRRSRFRVGGEAAGSEFAGGRRSCDTDPRFSLDAGRMSVDDVGLSWDEPRASWDGYLFGAGTGVGGLGLGRAPPPPVSRLPPILSALEDSPAGVVERSDGQIPVEDDSRPEQPDSDANIPGGSAQTRDYYMDSSSRRRRSLDRASSSVRKSFEVATDPKPVPVPVPLTNAVPVPVINGKESPLVGGGSEFYHFHHAEDLLEHHRFSTSSLVEDFSASLEAAFHHAVPAKKPRRWRTKAWSLWSLIHRRAAGRRAGGGGAASDRAFSEPWVPETRGGARGWNGRTMMMQRCNSNASARSSFSSNSGGGGLGSSRRSFVVDAHGHVKRRREECAAAAAMERNRSARYSSPGHAPADNGMLRFYLTPMRSASGRRTPGRQPSFARTMLRLY >Et_9B_064994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20260008:20261063:-1 gene:Et_9B_064994 transcript:Et_9B_064994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKRGPWSPEEDERLRSYVQQHGIGGNWISLPQKAGLNRCGKSCRLRWLNYLRPDIKHGGYTEQEDRIICSLYNSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKAMAAATSPALSPASSSVTSSPSCDGRFATGFPQPQGLIRFDAPATPPCRSRTELAPVPPPAVALDGVWAPSAPASDAVAPLDDDVFLHELVGGDQLPLFSYGDFFAGLLPQDRALQELSACYFPNMAEMWGAADHAHAKPQGLCNTLM >Et_3A_026271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6231578:6235646:-1 gene:Et_3A_026271 transcript:Et_3A_026271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPSLPAPPRLGMALSPAPPHHRTKEAYLCSSVLPFGVRVVRRLLVLVPAGDDEVDEEVEEAEHREQPEEVVEVADVEVVRDPPKLAVPGGDARHDGHQHRAEVAAERHGGERQRRAHAPHGVGRLVVEELQLPDEGEDLRRADDEVLRHLPEDGDGHDVLVAVQPVPRDGAQPDHLQRAGGEHGEDGDDEADAHPLQLREPARAARDGAHGRHDELVTGTQTMTLSVSKMESDAEGMLKCGPMWASSVLPCSTNMVLICPYTVANMIPLAQMGSRRTTLLSSSTCVTVHSRHGSADDAVLSSAVTTAALSRNLNWVVCSSLRLPSMPDSLSGVSCSAEPSAGTATFHLRAEATSTCATRVIGLPPGFWCRYSGVPKKKLTTATAMTTAGMPKPQPQPTCNQLKKELLLFFSAASFSSNWSAPNDDTHGLIPPVPSAIRYSATNTTAFCDSVGWHTPSVHDVGTSPFTDDAIVSNARPYVDDHEGGNGPVSAHVSVREERADERRDIACS >Et_3A_026708.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:1659884:1661407:1 gene:Et_3A_026708 transcript:Et_3A_026708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQVAAPHHHQKPAPNRARSFAKLLRRKPRADAASPEEPEAPTSVPGDGFEEPRQAPPTVPSLSKLKLSGNLAAAYSFDAFFRSAAEKKAAAAAGAGGGGGARPVTEVTPDAAADALLANLFAGVSAVKAAYAQLQLAQFPYDAEAIQAADAAVVAELTRLSDTKRRYLRDPAAAAKGAAAAGHTPLAAHAEEQRHLLKTYQITARKLESELRSKEAEADRIRSSLTAELRAERALEARLHPGRTLASLDELHLSGLNPTHFLTALRHTVKSIRSFSKSMLNSMQSAGWDLAAAAAAVHPGVPLRRSGDTKFVFESYVAMKMFANFHRRDFNFSFLEEREFYDRRRFFEEFTELKAAPASDFMDVRNARWGGFGKFLRAKYLSLVHARMETAFFGRLEQRGIVSAGPGFPESSWFADFAEMARRVWLLHCLFYAFDGGAEGDGASIFQVRTGARFSEVYMESVNDGRADENVAEDRVVGFTVVPGFRVGRTLIQCRVYLSRPARRP >Et_7A_052564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9334769:9336797:-1 gene:Et_7A_052564 transcript:Et_7A_052564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETKVSAAMEEEVKKETVAVDVSLKQLSKKLDDFAKERDWEQYHKPRNLLLAMIGEVGELSELFMWKGEVPKGLPGWGEAEKEHLGEELSDVLLYLIRLSDMCGVDLGDAAIKKIAKNAIKYPAPSKGA >Et_1B_012451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32020396:32029476:-1 gene:Et_1B_012451 transcript:Et_1B_012451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWMPANGGDFPLANTSYLLPRNLLGQSVKDCLLLGSPFSANATWLYHERLKGQKILFDIFHHIFFDMCLKSSLPLAHRLSSHMSTIYISTLPLMEGDQDQGLFPAFHIAKDPPILFPFMINSPMDQHQEQSYGNQHLRHQVLAESNQQFNDHVMMGGSDVFTMPAPFRPTIQSIGSDMIQRSAYDPYDIENNRAGGSTSRWAVAPPAKMKIIRKATSEYSESGAARKPRRRAQTHQDESHQQQQQAMGVIRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMAAAAAAAASNGGEAVAVSVSQQTKQAKKEKRAEIDRSLPFKKRCKMVVADHAATATKASPVAAPTIKDQDGHVAVDSVTVAASPQSKGTHPPEMFPADEITDAAMLLMTLSCGLVRS >Et_5B_044469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2715647:2724220:1 gene:Et_5B_044469 transcript:Et_5B_044469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLTPSSKLGVTIAILLLGLLAGVAHGGGNRRLVSRRDAPCKRMTVFYHDILYNRENNANATSAAATQPTPLSRSVSVNDTNFGELVVFDDLVTAEQALASKPVARAQGFYFYDQKDFFNAWFAFSLVFNSTAYKGTLELMGADIAAEKTRDISVVGGTGDFFLARGVATLSTDSIEGLYYFRLKMDIKLYDLIVAGIFLLGLAGVAHGGRRLVSSYANEPCKRMTPTPLSRSVSTNDTYFGELIVFDDLVTAEQAMSSEPVARAQGFYNKKDAYNAWFAFSLVFNSTAYTGTLELMGADIMAAKTRDISVVGGTGDFLMARGVATLSTDAVEGFYYFRLKMDVKLYECYLIVFDDVVTAEQALASEPVARAQGFYFYDKKAQLNAWFAFSLVFNSTAYKGTLEIMGADLIFDKTRDFSVVGGTGDFFMARGVATVRTDSYEGLYYFRLKMDVKLYECYV >Et_4A_032672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12419375:12424295:-1 gene:Et_4A_032672 transcript:Et_4A_032672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRSPSPSSTTRVPFRHKAPSRLRPTAAGPPGAADRRERSRAARRPPPPRSRAAEEPDVVKALVFEAFVTGGETVPVTVEWAMAELLKNPRAMVRVRSEIDAALGGKHTIDESDAMRLPYLMAVVKEAMRLHQAVEKGDERFLDAEVAGYRGKHFEYLRFGSGRRQCPGLPMAERVMPHLLASLLHAFEWRLPDGMSVEQLDVSERFTTANVLAVPLKAVPIARKAASFEPAWYEALAVEEARTYGTHQKKTKMEREVWLLCATLAVSLLCYYLPSITRRRVGSARLPPGPRPLPIIGNGLELRGGHLHHVLARLARAHGPVMHLQLGPVPAVVVSSRDAAREAFTRHDRRLAARFTPDAVRARGWADRSMIYLPSSDPLWKTQRGILAAHVWAPRRLASRRGVRERKVGELVDHFRARAGSEVDVGKALYGCMLNLVSSAFFSVDVVDLDATESAHGIREHVEGLGELMTKPNISDLFPFLRRLDLQGRRRTMAGHLAAIFGIVDGIIDRRLAQAEASSSNAEHENDFLQVLLNLMSEGQMDRDVVKAIVFEVFITGSDTVTITVEWAMAELLKNPRAMARARAEIDGALGGKKTIDESDAANLPYLMAVVKEALRLHPVVPLLVPHLAVEDGVEIGGYAVPRGTTVFFNVWAIMRDPAAWEDRPDEFVPERFLDNTEVADYRGKDYEYLPFGSGRRQCPGLPMAERVVPHLLASLLHAFEWRLPDGMTAEQMDVGERFTTGNLLAVPLKAVPIAIT >Et_4B_037978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25252955:25257275:1 gene:Et_4B_037978 transcript:Et_4B_037978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREKRRQTAALDSDEKRLRRRQEEAALLLYKIRGLVRWIVEEVAAGRSPSVALHRYQNYCSAAVAADTASPACSYDAPVGTDVLSLLHKDFHTSRLSVLLRVLLVVQQLLQQNKHCSKRDIYYMYPSFFGEQATVDRAINDICILFKCSRHNLNVVPVVKGLVMGWIRFMEGEKKVYCITNVNAVFSIPVNIEAIKDLVSVAEYILVVEKETVFQRLANDKFCEKNRCVVITLHLPVYCLVDSDPYGFDILATYKFGSLKLAYDANLLRVPNIRWLGVFTSDLEEYCLPDCCRLHLSPEDRRKAEGILTRCYLNREAPEWRSELEAMLQKGVKFEIEALSACSISFLSEEYIPRKIKQGRHL >Et_10A_001784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8132721:8135682:1 gene:Et_10A_001784 transcript:Et_10A_001784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKDEDLEAKLLVAAGEPPAKAADTTGNAYALVCALLASLASIIYGYNRGVMSGAQKYVQEDLGVSDGQLEVLIGLTSVYSLVGSLAAGWACDRAGRRRTVALAAALFLAGSAVTAAANGYAALMAGQLLAGVACGFGLVVAPVYIAEIAPAASRGFLSSIPEIAGNSGILLSYIADFALAGLPKTLNWRLMIGIGAVPPLFLAASALLVMPETPRWLVLHGHPDEARRVLARTTGDDTVADRLLEEIVASVKEASSKQQAGSGGGASPAPSTNVWREILLRPTPAVRHVMLAITGLQFFQQACGVAAMVLYAPRVFGRAGVTSERAVLGATVLLGAAKTAAIVVPLFLADRLGRRPMLLASAAGMAASLLVLGVSLRASPAAAATCVAAGAAYMATFSLGFGPVIWMYGSEILPLRLRAQGTGIGTALNRVMSAVVGMTFISMYKAVGMAGSFYIFAAFSAAAYVFVYVCLPETKGKSLEEMEALFDGRAGRSSPQAPPS >Et_9A_062897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6389741:6391876:1 gene:Et_9A_062897 transcript:Et_9A_062897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLTATALGSGILGHFPATTPASFQFDSRNRASPPPAHHSPHKSPAPCHRTTLALSPAAAVAPAAAAMVSAEAGIDRVLWTEAEVSARVREVAAELAADLRARPEPPPVVVGVATGALLFLADLVRRVDAPLAVDLVRVESYGDGTESSGAPRVTADLKVDVAGKHVIVVEDIVDTGNTLSCLIAHLEKKDASSISVCTFLDKPARRKVDIRLVGDGKFYRGFECPDYFVVGYGMDYGELYRNLPYVGVLKPEMYKKDSCN >Et_1A_005048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23580034:23581804:-1 gene:Et_1A_005048 transcript:Et_1A_005048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGRGAFPVVDALIRCCLLEERAAAAAGDGFTHDLVLFVGEALVGNDAVDQLAKFNQRLADLSSSSAAPRPIDGIVLTKFDAVDDKVGAALSMVYTSGAPVMFVGCGQSYADLKKLDVKSIVKTLLV >Et_10A_000239.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:19672481:19672657:1 gene:Et_10A_000239 transcript:Et_10A_000239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKKLSSGAGEKAAKKRSHGSTALFVAVDYGFLLAFAGFLAYLIGSRILPSVASAV >Et_9B_063974.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:873101:873268:1 gene:Et_9B_063974 transcript:Et_9B_063974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRGENVYTEGLATICWAIWKARIERALKEVLIKHPCDIIFHECAFLSYWAGL >Et_1A_008696.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:44192:45934:-1 gene:Et_1A_008696 transcript:Et_1A_008696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFWRRSRLLASSFQNTTHLLRKTANIHLLPVANSLSDSPALGNSAAKVFDPMPLRDHVSWTSIIGACPPAQAVALFRQMLLADVRVDGVVLVVLLRASSALRDVHLGSSLHAMATRRGLLADVFVANSLVHVYSECLRLRSARAVFDSIPHKHKNVVSWNTMLSGLVHADRCAEALHLLRSPHLLLLQHADATTLAVLLQLCKKLARPLLCRSLHAYALRKLLLMASTPLLNALLDAYAKCGLLRHALALFRRTPLRDRNVVTWSTVIAACAGSGRPRDAVACFAAMRAAGQRPNAITMLSLLEACAGLAMWRRCAHGVALRCGLASDQGVGNALVDMYGKCGDLAGARRVFDAMPARDVVSWNSMIGALGMNGRAADALALLREMETTVKPNGVTLLAVLSACAHGGLVQEAVAYCLEESACAAWEKELEEEEHLVSCAVDMLARAGRVEAAAKIADGAAAWSAVLSGCRVRNELIGREAARRVLELEPDNSAGYLLAMGVADDDDAVAAMRRTMRDRGVKVIGGYSMVHVAGQEEQHRFASWDGRHPQRAQVYAMLHLLHRHMMMLPPPPPHDRAE >Et_7B_055596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16111898:16114678:-1 gene:Et_7B_055596 transcript:Et_7B_055596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDPTSPRPWACSPSWCSTPVAGCLSVLGISPPHPTVKDVVIAIEFILWSTFTEKSAFLACCQKEWLPFGSRKYQVNLAGYSWSNVGPGIKYC >Et_3B_030372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32485402:32492213:-1 gene:Et_3B_030372 transcript:Et_3B_030372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGLFGWSPPHVQPLTPVSETSEPPESPSPYAADFAHGADAAAPPPDDDAHPPLDDQDDEPDPPPAAVPFKRLFACADRLDWALMAAGSLAAAAHGVALVVYLHLFGRAINTLHGRHTHDLFNNINQHALYFLYIAIGVFFAAWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFGGLVIGLINCWQIALLTLATGPFIVAAGGISNIFLHRLAENIQDAYGEAASIAEQAILYIRTLYSFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLITHGRANGGEVVVALFAIILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSTVNQDGRTLSSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRENIAYGRSATADQIEEAAKTAHAHTFISSLEKGYETQVGRAGLSLTEEQKIKLSIARAVLSNPSILLLDEVTGALDFEAEKAVQEALDVLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHEELLNLDGLYAELLRCEEAAKLPKRTPIKNYKEPSSFQIERDSSASHSFQESSSPNMSKSPSLQKTHGFLAFRNSDVNHNSHESPNIQSPPSEQMAEVRLPMVASDRAPSIKRQDSFEMKLPDLPKIDIPLHRQSSKNSDPESPISPLLTSDPKNERSHSKTFSRPLDIFDSFHADEGSKKQQTNAPSFWRLAELSFAEYFYALLGSAGAACFGSFNPLLAYTISLIVVAYYRIGARDVHDEVNKYCSFIVGMGIITVLANFLQHFYFGIMGEKMTERVRRMMFSAILRNEVGWFDDEENSADILSMRLANDATFVRAAFSNRLSIFIQDTSAIFVALLLGMLLEWRVALVALATLPVLVISAVAQKMWLSGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLQLGNILTKSFIHGMGIGFAFGLSQFLLFACNALLLWYTAVAVKDGHLSLVTALKEYIVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRVPKIDPDDASGLKPPNVYGSIEFRNVDFCYPTRPEMTVLSNFTLRVNGGQTVAVVGVSGSGKSTIISLIERFYDPTAGQVLLDGRDLKLFNLRWLRSHMGLVPQDPVIFSTTIRENIIYARHNATESEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLIMGNKTTILIAHRAAMMKHVDNIVVLNGGRIVEQGTHDSLVQMNGLYVKLMQPHFTKGFRQHRLI >Et_1A_004814.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:29478:29786:1 gene:Et_1A_004814 transcript:Et_1A_004814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LQLAIQWLTPPLILETDCRNVCFAINSKREDRSILALQLKEVKSLANELAEVETVHCSRDQNWVAHCLANYACKETSTAVWLRRVPDFAAHHVLADRNPSLI >Et_10B_002454.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:7003996:7004253:-1 gene:Et_10B_002454 transcript:Et_10B_002454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GFGSVYRGYLKELALHVVIKRVSKSSHEGRNKYISEMKIISRLRHRNLVQLIGWCHGGGELLLVYELMPNGSLDTRLHSKNDVMP >Et_1A_007582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36006134:36008275:-1 gene:Et_1A_007582 transcript:Et_1A_007582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEAVNNLNISEGAAAAGAGADGHKKNRIQVSNTKKPLFFYVNLAKRYMQLHEEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDVKDDNRNRPIQKAKIEIILGKTDKFDELMAAAAEEREAAAEAEEQS >Et_4B_038759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4705562:4709413:1 gene:Et_4B_038759 transcript:Et_4B_038759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNNIQNHVVDEDRFSKLPDDILVYILEKADIRTVIRASFLSTRCRHLPSLLPRININVSDFMSAPINQSVLDEAMATIIKAAGICLAATGRETNMKTLNLRLCLTSKYLYTIGKLVSEAINNGTVNSVELTLPTEKVSVDCNMADMMQHTENLHAFFYAYPSLIRSLTKLLLHNARFDTQAVHRLLHSSEQLRHLILIHCIIGDQSILKIDAPDSKISHLRLRSCRFEKVEFICLPKLTELYMESWNSLDAPFSLGFVPCLEQLYLVCPVDRDQTGLSLSKLLHGTKEIKSLTLDFQGEKIWMLPEGKELRPFFYKLNKMLIHGIFIKFGLLWTTTLLEAAPSLKTFGIKVCGHVCEEDTEAYRDVFSKRTNPWKKTNRLNSKHLQLTRLEFGGFMAIKKHVGFIRSMMAYAPNLETIILDDKDSREPCDAANKNLAYSSTDSMFPKNKYEQDMILNQLGAEVSSSVQIIFKLKQIKWIVGK >Et_3B_029929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29095220:29106912:-1 gene:Et_3B_029929 transcript:Et_3B_029929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKVERKEVVEVEVDDDGRVRTGTVWTATTHAITAVIGSGVLALPWSVAQMGWVLGPIALVGCAYITYYTAVLLSDCYRTPDPVHGKRNYTYMDVVRSCLGPRNVVVCGVAQYAILWGTMVGYTITTATSIMAVVRTNCHHRYKGHEATCASSGTMYMVLFGVVEIVLSQFPSLDKLTLISIVAAVMSCTYSFVGLFLSAAKLASNHAAHGTLLGVKIAAGVSASIKTWHSLQALGNIAFAYTYSMLLIEIQDTVKAPPSENVTMKRASLYGIGVTTIFYVSLGCIGYAAFGNSAPGNVLTGFAEPFWLVDLANVAVVIHLVGAYQVYAQPIFACYEKWLGARWPESAFFHREYAVRLGGRGRAARFTMCKLVVRTAFVAFTTVVSLMLPFFNAVLGLLGAIAFWPLTVYFPVTMYMAQAKVPRGSRKWVALQALNVGALVVSLLAAVGSVADMVQRLGHVFLMEAPPGASASSCWIEIEPGPGFLVPAGTVWTATAHIVTAVIGSGVLALAWSVAQLGWVAGPLALAGFACVTYYTSTLLANAYRAPHPVTGARNRTYMDAVRSYLSPREVFMCGIAQYVNLWGTMVGYTITATISMSDCFHRNGRDAPCDTSGTVLMLAFSVVQVVLSQFPGLEHITWLSIVAAIMSFAYSFIGLGLSVGHWVSHGAGLGGSIHGAEAVSSAKKLWNVLLALGNIAFAYTFAEVLIEIQDTLRSPPPENKTMKKAAAYGIGATTIFYISVGCAGYAAFGSNAPGNILTAAGLGPFWLVDVANMCLILHLIGAYQVYAQPIFASVERYAASRWPEAKFINSAYTVNIPLIQRGSVTVAPSKIVLRIVIVVATTVVAMMIPFFNAVLGLLGAFSFWPLTVYFPISMHIAQEKINKKGTKWYLLQGLSMVCLMISVAVGIGSVTDIVDSLKGSTPFHI >Et_1B_009921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11092517:11094328:1 gene:Et_1B_009921 transcript:Et_1B_009921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRYVCGRHITVNWSKQQPRFSQGFRRSSRFVESSHGRTSRDGRENDRFRNSLAEKSHPARHDESNEKNHPASHAENHDPASAPEKEIDKLAEGVDDAGENINEEEASPGELKRDEGGTVDASAIEQERWAEAGKGSPGDGDDFDRYEPYHGFHRQKETEDGIKASSGDPHDHRHSSEKWQEHSDKRVDMSHDKRRSSQTCYTCGDSDHIARYCPQEMDGRFNARRNGLNFREKWELRQRRFGSPSRRRPEFRNHPMDQKRQRVQDSRKPFSGIETRVHISSDVHGESRRHDHDSENMPQATKGTRKRSRSERSRGPSLSSGPSKHSHQDNIRSSSDSRHRSPHSRSRFRAHSPSRSAHSSSKSSQPTQREDSRSDIKHPVPFSTSAPPQHKSSPDLENTNSDGPMNSPLEDNSDVRTIPDVKHMNGNKQEGNDSALNNTVSNGKSLVPDKGAHVAGYAGVNLDKNLAGYNDNVASGVQIQNANFDDTSSVKSNQDVAVKIGRSRSLKLTTNEVISALKHYSMETHEVDSLDQPVEKYFGAARLWPWEIIYYRRLKKGPISTENYAKRLEQNKEFGIVDQYVRSSSGWWEYH >Et_5A_042779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4115902:4117503:1 gene:Et_5A_042779 transcript:Et_5A_042779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLHYITDNSTYVGLIDLLTEFGIRFLRRMFDDQDLGFFANFLGIFIFVLVIAYHFVMADPKLQHRHSRQAKRPTGPFDAACMGLDRV >Et_1B_011235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19119548:19122423:1 gene:Et_1B_011235 transcript:Et_1B_011235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AKMAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRKYSGNFLVNLLGIWKESEYSGHSIPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >Et_6B_049827.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:14067592:14068443:-1 gene:Et_6B_049827 transcript:Et_6B_049827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCKSSENITEIVHDHVTEGWKNYITDVPSYHRFNDSRGQWTLQRNHCDGSKNMKSSLRRQFDESVLLWHLATDLCFYHQEPRPSSQASRQSREISNYMVYLLFANPDMLMPGERPSLFTDAYKKLKGMPLDDGEAVPNKKKETPLHKEELAQQILIQKLTKDPEAPEGSGFIHRACALAQELLEIGKQEDGEEDGAEKIWRVIQGVWVEILCFSAARCRGYLHAKSMGRGGEYLTYVWLLLLKMGMEPLAERMQREETQDEGGNGGDAAASPASATDTVED >Et_4B_036790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11499159:11501389:-1 gene:Et_4B_036790 transcript:Et_4B_036790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDGEARRGFGRMGFGCKHYRRRCRIRAPCCNDVFHCRHCHNESTNDGHELDRHAIESVICLVCDTEQPVAQECCHCGVCMGEYFCSSCKFFDDDVDKEHYHCKDCGICRVGGKENFFHCNKCGSCYSTTLREKHCCIENSMKNNCPICYEYMFDSLKETSVLRCGHTMHLQCFYEMLKHDKFSCPICSTSIFDMDKFLRALDAEMEENYYYMGKGWIVCNDCRDTTQVYSGVAGHKCSHCQSYNTCRVAPPVLP >Et_9A_061941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18113288:18113730:-1 gene:Et_9A_061941 transcript:Et_9A_061941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGRGNPNIPGNWSQLGSVRMPTLGCQNHAGTAAAGNGNPITSPALFSTCSTSTLSAMHEISASEAAPSSSISFAADQHAGSSMPPSWNFPRGCTQVPISIVVFHRRLTGRSSRPLSRSSMPAPAFHGVSEGVI >Et_8A_057231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22516684:22517401:-1 gene:Et_8A_057231 transcript:Et_8A_057231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGARVQAAAQDTLAELPQPLNGVAIRRDEQTPVHLRPVVRVKFFHGIGRKRVGVDEDEHCLEDIGLHVLDANNHVFFRLVLVLWPEEELRLEHRRPRGEHRPVRWEDLPSNTERDVRRVPGLQKLAELSGQTRRRHRLEVRQRLVRRNARLVDRGDLALDRDTVVLQVSVENVWNCFGLASGSKDRVRPVARSTSRTPTSVITSEFALRSSSTRTDT >Et_4A_034288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31403334:31408058:-1 gene:Et_4A_034288 transcript:Et_4A_034288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRKRRRTCATPEPVDDATSSPALPVDLLVTIAERTDAATIVRCAAACKPLRREIVSPEFVRGVCQEEPDAIVPSRVLGWLGAETFSVVHPFTAPAFSLAENYLAPFAQLLEEYAFVTSRGGLVVLKRRGAISRSRRSTELCVYDPMAGERAFLPTPPDIGEAPYRGMFCVVTEFSYVLLTAAAAGIGCRLTLLAACMAIRFDGSRTSFPEFQTVSSDAGGEWGPIINSTEHRCPIWCSRAYCDAVVVHWLMHASCGWFVAGKAREYNTSSPTTSPRARRVPSPPPPPPTTAVSPTRAGPNLDRRRRPSSRTGSWWPFGCCYRRQEATSNGRGTMAPRKRRRTCTPSSPSPDASTTQALPANLVAKIVERTDVATIVRCAAACKPLRREILNPEFIRRVCRDTDAVVPSRILGYLDDETMTFSLARPGSSVAAVSFTRRHLAPFSRGPHRALHVRDVARGLVALARREVNRRRKSERRSDLCVYDPMTDNRAFFPAPPDIGHNPWHGLMGSVTVFSYVILTASLDGIGCSFMLLAADMASGFEGRCPRVQTMSSSSDITAAGGGKWSPIVTTDHNCPWWCANMDSYCDAAVVLGGGVVHWLMHAGASFFAQDVREYILTYDINSSTAGSIDLPQERQIAANTRGSGSLLASSSRDGKLRFLATNGFVVSVWALQAPEGGGWARHAQVDMAAIWSLSELPPEARGVELENFGDQRSGAVFLRVGDDHGTLLSFDAEAEDPRHVLSWFETSSGVPYEAMPPRKHRRTCRSSATAASPSPDTPPALPARLLAEIAARTDTATIFRCAATCKLFRREILNPSFTARVFSRAPPDGDGVVPGSTSLLGLLDKTFSLVHPTTPAAASFADNHLAPFVSRSAGGAGFLLERYEAVTSRGGLVLLKRREINRRRRSERRSDMCVYDPMTNARAFFPFPPDINMSHFLGCVYTYVLLTAAADGIGCAFTVGAADMSNLLDCRDRHHARTDAITVFRCAATCKLFRLEILNPSFASRVASCRAPASWTRPAFSLVPPSVTSNAASFGCENSLAAFVSRSAGGGLEEYEAVTSRGGLVLLQRREIIRRLWSERRSDMCVYDPMTNRRAFFPFPPDIDHRSNESRYVVLTAADGIGCCSFMVVAVDIGNHECNPCIRVQTVSSSSDAAAGSGCQWSPVNYATFDGRPWSTPVDGQDAAVVIDGVVHWVMESWERILTYDVRTGTTGLVALPNDGMERRSRLRLSSDGKLTLLFISGFRVLVWELLRRPGGGWARQATIDTEVSVKSLLPDIVEFESENFRFKNFGVQRSGAVLLQYLVDNYHRELLVLDMETEEVRRIVGKANATGVPYEVDLASRLSAMKAF >Et_8A_057316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23574517:23575716:-1 gene:Et_8A_057316 transcript:Et_8A_057316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGKRYYLSYESLWDDLVPSPFPIPKCECNMTAVVTQSSHPLTAMRAYFCCGNKGNKRGCDFREFIHRPRSHYPDPDSLPDDVLYGENLPCWYPPPLLCQFGVPARQGVVPSELGYGHCCGNTVGEDDEWYLTVPPSFIYNTICSKLKLKREGPFWEGPEADVVIPYWRRNCDKYPPKSFW >Et_10B_004247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:20029173:20031728:1 gene:Et_10B_004247 transcript:Et_10B_004247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSQSQSSVGGAASGRPATVGPRGSAAATAGMRRRRTTSTGTGGGGFSGGSGSNMLRFYTDEAPGLRLSPTMVLVMSLCFIGFVTALHVFGKLYRSRTAAADLLQL >Et_9B_064181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12346771:12352352:1 gene:Et_9B_064181 transcript:Et_9B_064181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIQLLSFSWLLLLLLLCLQAVSALKFTRGDFPQNFAFGAGTSAYQYEGAAEEDGRSPSIWDTFAHSGRNFNKATGDIASDGYHKYKEDVKLMSDMGMEAYRFTISWSRLIPGGRGAVNPKGLQFYNNVISELVEAGIQINAVLYHMDLPQIIEDEYGGWVSPRIIDDFTAYADVCFREFGDRIAHWTTILQPNIMAQGCYDTGSLPPNHCSYPYGTNCTVGNSSTEPYLFVHHSLLAHSSAVRLYREKYQATQKGTIGLNIYTMWLYPFTDSAEDIGAAERANSFLYVYGDYPETMRKVAGSRLPSFSNNESELVINAFDFIGLNHYTSVYVSNNADAEGPLDFTADMATLFRGSKNDPPTRLVRPGRMVDPQGLEHILGYFQATYGNLSFYIQENGYRGADGNLNDVERIGYLERYMASTLKAIRNGADVRGYSVWSFMDLYEIWGGYKTYYGLVAVDFNNSGRRRQLRHSARWYSDFLKNNAVIEVDGDLPITISHAQL >Et_1B_012619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33814786:33818799:-1 gene:Et_1B_012619 transcript:Et_1B_012619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPFPTHAIVSPARKKDDRTDAVMKLCAPLSSGERGEGTVAVAVDGDRGSQYALKWAADHILSRTRSFFLLHVRRKHTSLHGGGKQFSISHVQDDVASAFHAQVDLHTKELLLPFQCFCSRRGLQCREIILDGADVSKAIVDFVASKNIDKLVLGSASRNAFTRTIRKLDTPTSVTKSAPNFCSVYVIAKGKLSSFRPATQANVNDTGKEDIKSDLPGNRVPGVKSEPTPKLHNEGDNPSMSYESTEDGSLTSASSYMKTGSSWPSEFSDTDRHSSYFSPEYLEYNRDRLLLPNKGNEQALIFPNGKYLGYDESSFNDSSLSPGYNICDPVSPNASGERTESTSYHLTEDDEAEITLFKIEQRQNNGDMLPSSYEEEHKEMTRSPETYSSKLPPYRNRPEAESCSRSAIDRKHKPVPLDTSSSDSQSRETIIEEFTDHSPQSTVHPILRRLPPKFYSPRNDGRRESAPEENYTLDLKIKALPRPIETKRMLECLPTRLECRTYTPQDIAEATDRFAPELKIGEGGYGPVYKATLDNTLVAIKILHSNVTQGLKQFLQEVDLLNNIRHPNMVHLVGACPEYGCLVYEYMPNGSLEDRLFYQSGTPPLPWKLRFKIAVEIARGLLYLHKMKPEAFVHRDLKPGNILLDADFVSKIADVGLARIIPRVDDAAVTQYRETAAAGTFCYIDPEYQKTGLVCTKSDVYALGVIYLQMITGRDAMGLAYTVSDALEEGTFHELLDSNVTGWPVEEAREFAELALKCCEMRRKDRPDLESIVFPDLIRLHKIVTLSSEGSSPHPSMDQIHQRSASEKDLPLEDDLADILNDRIVKGASFAI >Et_1B_010372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:117855:119464:-1 gene:Et_1B_010372 transcript:Et_1B_010372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWAGRESGASERCDELIDDDHHPCGGAAAVPAVAHLRQVHALLLTSSLLVDRFFPNKLLRSLLPKEPLSILRVFSRLRRILPAFRPNNYTFSFLLTAASQSSLASPESPGLVSSLHALALVLGCCDAHAYVANGLIHAYAARGLLRHARRLFDEGLSSGRTDVCSWTSLLTAYGKAGDLDSARQLFDAMPRRSDVSWSAMLSAYVAAGSFADAVQLFEDMLRAGVRPNRAAVVGVLAACGALGALEQGRWVHARIVARRRGWGMEMMDGVVATALVDMYSKCGSLDAATQVFDDAGVGKDVFTYTAMISGLSDHGRCRDAIRLFEQMLMQAEQVRPNQVTFICVLTACARAGLVDRAKDMFRSMAAVHGMEPGVEHYGCLVDVLGRAGRLAEALDVVRGMPVRPDSYVLGALLNACAAHGDVDLGKQLVAWLADMGLDHSGVHVQLSNMYAGSSNWYHVLKVRTAMDDKDVLKLPGCSMLEVDGVTCEFVAGDRSHPQMREIISTVTGLDAQLRLFAHDN >Et_7B_054652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3110216:3112659:-1 gene:Et_7B_054652 transcript:Et_7B_054652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSPAAARAGAGAGAEGGKDDDLADLVRRLVDVLARYADRLPFELDRQKLRSLTTLAAIAVTLLFAWKMLRTPQEQPRRPRRRTAPSSSNTSSRSRPGPDALASTVACTSSADSRAHEAINQLFQPANLTLEQLVRHKLSEGRRVTCRLLGVILEETTPEELQNHATVRPSVMEVLLEIAKFCDIYLMERILDDESGEKVLSALSEAGLFAGGGLIKDKVLFCSTENGRTSFVRQLEPDWHIDTSPEIVQQLAVYQIPITHFTTTPRKNSIQCFQLYELGTVFWRPGSEMIHR >Et_10A_002291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7301207:7303561:-1 gene:Et_10A_002291 transcript:Et_10A_002291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSHCDLTDVGTPTKNAHGVLEERDPSYDEMLKHMVGRITTKPGGKPEMGEASIVQRYDRPLPKVRTSKSEPGPSGSRQLPDGALNVQRIQEIIQLYQGKSNSHNGPMSVDDIALKFRVEASIVQNIVQFVSLPQDEGVKKEPE >Et_4A_035827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6967356:6968310:1 gene:Et_4A_035827 transcript:Et_4A_035827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIAVQSWEQAELDAAGVVEASVPIMKGMLQWRPARNTEAGSSGGIRGLDSLTNLAQIIAQAYGINMREKLIRVEYVHKEP >Et_1B_012947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4262557:4266822:-1 gene:Et_1B_012947 transcript:Et_1B_012947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHKSNPFSPKVSVAIAAPILILLALAVVSLNDGNVAERYRYIRRAATSFSSSSSTLEFLGWRWRPDGCELPRFDAARFLEAMRGKSMAFVGDSLARNHFKSLLCILSKVERPVEVGTAPEIDVTGRAVRRDYHFVDHGFTASLFWSPFLVKANLSNATLGLGQWDLHLDTPDARWAAHVAEFDHVVLSSTNWFFRPSVYYEGGHAVGRNSGGGSGARDVTELPVTHAVHAAFRTALGAVAASEGFRGKAVVRTLTPAHFENGEWNTGGDCVRTRPFRRGERALGAIEAEFRDAQVEALKDTEAAAQRNGVELLLLDITEAMALRPDGHPSRYGHLPGGTVEGSFVPQKSNPSSPKRSAAIATPIIVLLLLALVSLYDLTFSNSYPSIHSIVSSSSSSLSPASVTRPWTCNITRGEWVPDTEPPYYTNLTCPFIDDHQNCMKFGKPSLEYMRWRWRPEGCDLPRFDAARFLEAMRGKSVAFVGDSLARNHFKSLLCLLSQKAQPVEVGSSAPEIDPTGRAVRRDFRYGNHDFTASLFWSPFLVKANLTNETLGQWDIRLDTADSRWAAHVADFDYVVLSDTNWFLRRAVFREGGRVVGRNAAAGDGALRNLTEIPAPRAVRAAFRTALGAIAAREVFRGKVVVRTVTPAHFENGEWNTGGDCVRTRPFRQDERKLGAVEAEFRAAQLDAVKETEAAVRRNGAELLLLDITAAMDLRPDGHPSRYGHPPGGSVEGTFAVDCLHWCLPGPIDLWNELLFQMLAAGQ >Et_3B_029905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2925154:2927593:1 gene:Et_3B_029905 transcript:Et_3B_029905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALARRAGGSAAAALWGAARGFASVGSDIVSAAPGVSLQKARSWDEGVATKFSTTPLKDIFHGKKVVIFGLPGAYTGVCSQSHVPSYKNNIDKLKAKGIDSVICVAVNDPYVLNGWAEKLQAKDAVSNYPSTSDHVCIKVSPFANGFGILYQIEFYGDFDGSFHKSLDLEIDLSAALLGRRSHRWSAFVDNGKIKAFNVEKAPSEFKVSGAEVILDQI >Et_1A_006872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28417776:28420711:-1 gene:Et_1A_006872 transcript:Et_1A_006872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSGGGASGGGAQIKGTATHGGRYMLYNVYGNLFEVSSKYIPPIRPIGRGAYGIVCAAVNSETGEEIAIKKVGNAFDNHIDAKRTLREIKLLRHMDHENIIAIKDIIRPPTKENFNDVYLVFELMDTDLHQIIRSNQPLTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLFLNANCDLKIADFGLARTTSETDLMTEYVVTRWYRAPELLLNCSQYTAAIDVWSVGCILGEICTRQPLFPGRDYIQQLKLITELIGSPDDASMGFLRSDNARRYMRQLPQYPRQDFRSRFRNMSAGAVDLLERMLVFDPSRRITVDEALHHPYLASLHDINEEPTCPAPFSFDFEQPSFTEAHIKELIWRESLAYNPDPPY >Et_9A_063181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14267318:14268007:1 gene:Et_9A_063181 transcript:Et_9A_063181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSPLHRVIDDGRWDAERPLGRLFIVVHAAFLDAGFVPLPRPSGKRRPIPREAGRTASALSLRYTAPDQLLRRRGAQAAVVLRQQVYGRKIIFYVQRGDTRLVASSWVVVDALAAVALLSGGLDATAREEPHAAYHFFLDEFKWQIVRQV >Et_7B_054055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14754718:14763314:-1 gene:Et_7B_054055 transcript:Et_7B_054055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPAAAAGAALLDTLGDFTSRENWDKFFALRGTGDSFEWYAEWPPLEAPLLDLLRSSGAGEAAEILVPGCGSSVLSERLYDAGFRRITNVDFSRVVVADMLRRHARSRPEMRWRVMDMTNMQFADGSFDVILDKGGLDALMEPEAGTKLGMKYLNEAKRVLRSGGKFVCLTLAESHVLALLLPEFRFGWDMSIQAIPSKKSAFQTFMVVMVKGKMGVAQTIKSSLDQSAEYCNMKQANAVIHAVGNENVIRESYSSGVDVLLSLRDLQLGAIGDLKVIVPGRRRQFILGEQGCSLFCYKAVLLDSKKRTEAFVYHCGVFIVPKARAHEWLFASEEGQWHVVESAKAARLVMVFLDSRHASADMDVIKKDLSPLVKDLEPGNPEEEAPIPFMMAGDGVKQREILQEATSEITGSMVVEDVVYENVDGDQHSMSEKMFRRLIFKRSSGLVQSEALLVQDSPSDEADKKNKSGSATSKKRRNQKKGSKNSLRIDHSFLGSSYHSSIISGLSLVASALSAAAGSGVKVSTTIIGLGAGCFPMFLRGCLPFVDIEVVELDPVVAELAKKYFGFSAEEQLKVHLGDGIKFVEDTAAATHPLSNSGDNNAIKILIIDVDSSDLSSGLSCPPVFEHLYSLQLDEDVNEVLFASPSKRYLETDHLDAAVTKLKDLLKFPVDVESDIKRLQKLQ >Et_1B_013436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8361067:8366574:-1 gene:Et_1B_013436 transcript:Et_1B_013436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVRGSAVTVRPSAETPRRRLWNSGPDLVVPRFHTPSVYFFRREDAEGKSLVGADGSFFDGERMRRALAEALVPFYPMAGRLARDEDGRVEIDCNAEGVLFQEADAPDAAVDDFGDFAPTMELKRLIPAVEYTDDISSFPLLVVQVTHFKCGGVALGVGMQHHVADGFSGLHFINSWADLCRGVPIAVMPFIDRSLLRARDPPAPTYPHIEYQPAPAMLSSDPPQAALTAKPATPPTAVAIFKLSRSDLGRLRSHLPAQREGAPRFSTYAVLAAHVWRCASLARGLPADQPTKLYCATDGRQRLQPALPEGYFGNVIFTATPLADAGTVTAGVAEGAGVIQAALDRMDDGYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSANRDGSLSVAISLQAEHMEKFRKLIFDF >Et_7A_052820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1875630:1879100:1 gene:Et_7A_052820 transcript:Et_7A_052820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKRTRPSPPTPRPNPNPNRRATASGADTASPSKRMLAFHFIRALARIHRSTPVPRRTRTIRRAAYSSMARAANPRRVWSRALLQQMRARRARSRHAVLLRRRVAAAPPPGATSVVSGEESTPTVVLAAPPPPRQAGDEPARADALRRLVPGGDEMEYSNLLEETADYVRCLRAQVGNTWKIEGDWAQVI >Et_3B_027655.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:10890205:10890426:1 gene:Et_3B_027655 transcript:Et_3B_027655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIEYFRTHIKYEKNPTIREAIAAKITEYLRRAEEIRAVLDEGGAGPSANDGDAAVATRLETKGKDILEQTD >Et_2A_015008.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:25952285:25952719:1 gene:Et_2A_015008 transcript:Et_2A_015008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVRKSSSVVVRPSEPVTKSGSIRLSSFDEGVVKLPVTSFFVFEHPIHEPGETIKRALSEALVAYHPISGRIISGAKDDEFYIQCTGQGVEYVAASANCALKDVEIIIDPSPAAGTRTLLDELAVYYPAEGCAPPTRCCLCR >Et_1A_004643.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:25506337:25507812:1 gene:Et_1A_004643 transcript:Et_1A_004643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAPTLTRSLSLSPRPRAARFPSPQFCPFSSSSSAAAAASPRAALPLRRASSDLAAFTRSAVLLRHSPVIPPILEERDEDRRGGAKAAFLDGAGAGRNGPGGRGGGGGNNSNGGNSGSGCDMGEYYRRVLRVDPENPLLLRNYGKYLHEVERDLAGAEGCYARALLACPGDADLLSLYGRVIWEARQEKDRAADYFERAVQAAPDDWYVPPPLFVRRNRLIVSLDSSICRCHRAATCSDRTRASCGTPRRTKTRMRLRQSPRNRGRQALRCRVAPRRWCPRAEPSRPVDAPAGGHHALCLAL >Et_2B_019193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16596201:16596563:-1 gene:Et_2B_019193 transcript:Et_2B_019193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAEEALPALPPIKTAPLPPPPSASSSTGDSGKEEAEEPSTPTSEESRLRPPSVCPPAPRKPPPPRLPAPKRKPALLPSPARVFVTVPRDLSTVFRALPPKKRIRVS >Et_8A_056997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2023568:2026922:1 gene:Et_8A_056997 transcript:Et_8A_056997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMPSLPGAADGRGDAADGELPRVYQVWRGSNEFLFQGRFIFGPDVRSIFLTMSLIIAPVVIFCVFVARPLMDEFPDHWGISVMVVAVVFTIYDLTLLLLTSGRDPGIIPRNTHPPEPEAIDGTNEIGGQTPQQLRLPRTKEVIVNGISVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFAFCWVYVFKIREAEHLSIGKAMLKTPASIALIGYCFLCVWFVGGLSVFHFYLMSTNQTTYENFRYRYDRRANPYNRGILNNFLEIFCTAIPRSKNNFRARVTVEQGQQQSRMQSRGFMSPNMGKPMGDLEMGRKPVAWDEPRTAADIRDLEAGLGGMFDEKEAGRITHASPDLSRDGLPSELVEGRAGMHSRHSSWVNRTGTSDSGDVVAMQMAAMEASLGGHTAMRGAR >Et_8A_056691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15027141:15031390:-1 gene:Et_8A_056691 transcript:Et_8A_056691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASPSPQPQQQPSPFMELVKGSSGLEKVLLRGPRNCSAEICLYGGQVTSWKNDHGEELLFVSGKAIFKPPKAIRGGIPICFPQFGSHGNLEQHGFARNRFWTIDNNPPPLPVNPAIKAFVDLILKPSEEDLKIWPHSFEFRLRVALGPAGDLSLTSRIRNTNTDGRPFSYTFAYHTYFSVSDICEVRVEGLETMDYLDNLKAKERFTEQGDAIVFESEIDKVYLAAPPKIAIIDHEKKRTFVLTKEGLPDAVVWNPWDKKAKAMQDFGDGEYKHMLCVEPAAVEKPITLKPGEEWKGRLAISAVPSSYCSGQLDPLKS >Et_1A_004654.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27311762:27312037:1 gene:Et_1A_004654 transcript:Et_1A_004654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLRLSPSTLALMAVHRHTAASSSTSPPSSEQHFSSTGTPALALIRSSTLAHTPSFSSLGTGRTTRSSSRRYRHHIGRGRSTLRPKRAGG >Et_4B_036806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11679599:11682823:-1 gene:Et_4B_036806 transcript:Et_4B_036806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCTAPHMFAYNATLCACDPGYYLTNGSSSCAPAPAAGWEWQVGAVGAPRNQSLYFLAPVLSSDVVRRLTQSQAVLLEVALAVLLAWLAFCAAARIAGRDPRGEKRLFRARFWVSRLDFIFDNSHWAEDQTVLRKRKTELGGTCSVASLILFVGLLTALLYQSINKRSIEVHRVKPANAPDLLSFVNDLEFNITTISGMSCAQAIAPSTIEMGTPGFMDFRVMPLPTLFTYSCTNTSRGPSISLTCNGCRIPPRDHYVSWHFVDLPGQPAAAVGFQFSMTAKQHGDNEHVSFVSGAMNSDGYADDGKLKTFRGRDSNVLKIQLFPQIYNKLGNLRLLQPLVQDFTEGSAFSDVGSLNASLQNAGDGVVNSTLYISYLSDYIVEISNESVVGPVGILASIGGLYAFSMAICLCIMAQCEAKIKKLRDEDTRMLNILSKRHARRNWDKVRKFVMYTWGPSNLDPTDRSGKQPEGSVMDSLHKTFHKRREPIRRPNSDFNRSTRVPAEVGATDIERAGEMQQF >Et_3B_029344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24244744:24251081:1 gene:Et_3B_029344 transcript:Et_3B_029344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMPTDAGGAIVPFSGEPGEGAPAPPPVRHIRHGVTPPIFRVLVSWSSGNLLQVACIRQPSPEDGGGAEEVAGSVVEVNLGGGGSGSAEVEEEIDEAEMRRIEYGSLPAFALLQSRKNALAEVAAMSRVPTLPEQAEWWQYVLEYSKTIGNLLGNPDSPPAFMIEDPKTILKVGEKPTSLRAAWELLEMFYVDKQLQGWLPERLVDWLADFDSLLSKKENAVYSKLSNFQKRLIKLQIVEDDPDYWNGLSAALSVGWLDIVVNMLRFHGSYQLDQMDNRETENGLVEAVAVLVSTMPRMRPNLPSGKLGQCCKNRPDFIKAWEKWRGQVYKLECSAFWIQCGHQKTRDGLKNLLHIMMGNVKELTAATSHWLELFASHFLYIRPFTVGFEGMHHLAQKCIQLKPSSGTSGLTDLLFGILSENSEVVLAECTNFGPWFVTHAMELLTADNDYADIMLHEERPNFGGISIEELHRIVYAQVLCSHSLTWQIAPTYLSSCLNQGLGLLEILLLKQPVQDNRLVLKTLEICRLYELEDVSTKIMKIAGIYHWKHGRKGAGVYWFQQAHDKVRLDRIAQQLFDHIGKSVTDDSFKQWEGLLELLGSDIGSAGGLEFLHRYRDFKRSLQQAREGQTGEAARQTVEFLIQLMRNPSTPQRFWLPLLHDAVELLNCKPNPLLNVAETMLLLKKLQELSLAKLHPDFSTNQLPSHALNSVRLALASNLARTILEE >Et_1A_009227.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:34357076:34357456:-1 gene:Et_1A_009227 transcript:Et_1A_009227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELELELLSGQLPPIRTTAPAMRPDGDDNAVVVVDGFATPTSAASVLRAPLVCPPAPRKPRPTKRKTMLHHRRRRRGCGSAAPQRAPVRLFIAVPRDLSAVFVARPGSACRPPAGKKIRVHVVG >Et_7A_051252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16104542:16107763:1 gene:Et_7A_051252 transcript:Et_7A_051252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGAHLLYALSGGAALSRVAGHGRFGPHHCAVYAANAFLGPDLGAFAEWLCSFLPSASAAGGLAMAAVHHPFYYPLLLGLPLAWAYAWLSRRMLRAGVLDSPAGAALNRRQCFLLISAGSLSHFFLDHLFEENGHSAMYTWILSTGWWKGRAPINPDAVVVVGLLCICLIGGFVYINRVKDGMSATEKSNQSFVLILVIAILYYMWCASQIYLRQPSQPAIGEEADLGVIIFLAIYLFLPHGLCILSMNQKDYTEDSYARDSTMLMLLYFSLPFKEISRAFSSLDTTCSIDGRCFLWPVHDRASFKLTSKASAE >Et_3B_028692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18009953:18010884:-1 gene:Et_3B_028692 transcript:Et_3B_028692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPKAALLAVLLATAALFAVVAPQSDYDHHVYRKCYRSCMRRCDDDDDDDAVSTSRARKLAITEEDDDDHDDDDHHDDHHDDDDDDDDDDDDDECKDVCRDDCIDYVPGMCYKSCISRYCLFIPPRSYRRAACFKGCGYRCYNHNYHHHHHHHDDEDEDEDEDEDDDDKKPTPPKPGPKPPGPAPVPPQPEPGPKPPVQTPQAPGAPTTPFKPVRPKPKPKQQVPDAPAPAMSPMPAMPKPVRPDPPRPKPPVAHTPAKPSDQKPPRQVQSSPAVSPTKN >Et_2B_020171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17557753:17567275:-1 gene:Et_2B_020171 transcript:Et_2B_020171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVAPSEVGHAAAAIGRGVVGGAPLEVVGKEEEAQSEVVTVAVEAEGPPSAIVGANGGGGPLEVSGKEEEPPAEGKLAVGADGKGAAAPVEVEGAPGAIKAEGEEEQDDDSGDNKVEAKGAAAVEEEEEEEEEKWLKHYSSLYRILTVGDGDFSFSLALATAFGSGANIVATSLDTYGASTAKQSRIKYNKSFHTDSKNKRFDRIVFNFPHAGFKGKEDDLHLIKYHAQGVDVVFFFYNARRLLRRCGEIHVTHKTGGPYDRWDLKRLASECSLALVMKVDFQKEDYPGYNQKRGDSARCDEPFHIATACTFMFQIGDLKKLKKMNRNRAGSISNIGGSNVHHGAWPPQPGQWSTDRGPFYLLPPAGAWPPQPGQWTTEREPFHPLPPAGAWPPQPGQWSTDRGPFHPLPPVEAWPPQHFPPPVNADHMPLHPYIADERQHPCFPLNSDGIKADPYFHEHDIFHPMLSMPGPSLNYLPAPDDIPPPMERIPCPNFLPPLEQPWYLPRTISDPPESDNYSFFAREYQRSLQREYDMRRHLMPGSTSSNYSAFLEHRHTESVKKQEWLRSMIALGGARMPVGMAPPVVGHAVAAIGSGVRAEAPLEVTGKADPPPEEEVSVIGSAETMEEGGENEGEESDDDEEREGHEEGEDDDVEEQETEEEEEEEEGEEGEKRLKHYSSMHSILLVGDGDFSFSLGLATAFRSGANLTATSLNTYEDLKTKYSKAESNIKELKRLGAEILDGVDVKTMRLHIELKSRRFDRVVFNFPHAGFKGKEDQVHLINLHKKPVRSFFDNAFHMLYPYGEIHVTHKMGQPYDRWELESLAAEVSLVMFDKVCFQKEDYPRYDQKRGSGSRCDQPFPLGPCCTFKFQIRDLKKRKKLKQNRTGPFSLIGGQNGRADNLAKHIRPPHLPPLVQAWPFTHFPPVRQQSGFSLNFNGPVKAHYINHQGTIQPMLSMPVPSLKALPPPGGIPPAVGRITRPNLVAPQDQPLYVQRTIAGPPGRDNYSYFDYQLEMQRQHETQRRLEMQRQRRLEMQGEHEMHRQMMMPGATGLIISSAFLEDRRREYVQKKELLRWNMALCGSE >Et_8B_058760.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:2158651:2158803:-1 gene:Et_8B_058760 transcript:Et_8B_058760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRLLIGSRAPTCTYNECRGCRHRCSVQEVPIDASDPINSAYHYKCICHM >Et_4A_032239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25748970:25749310:-1 gene:Et_4A_032239 transcript:Et_4A_032239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEEKGQVPQRGPAEAAQLGAALSMALPLGDGEDRVGRGDGAGEEVDQQLVHQPAEATLESRRRRCSSRSWMVSSRMRRTPAS >Et_1A_009580.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:8586794:8587162:1 gene:Et_1A_009580 transcript:Et_1A_009580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKRLVAAQTGTRADKIRIQKWYNIYKDHITLADYEIHDGMGLELYYN >Et_3B_030954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9373598:9375104:1 gene:Et_3B_030954 transcript:Et_3B_030954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRCPCSILVALLCAIAASAAAAAGSVVSAAGGGSARGPIGTNGRNYTKVCDAARFASLGLDMSSFGYCDASLAYGERVRDLVGRLTLEEKVSNLGDRSKGAPRVGLPSYLWWGEALHGVSDVGPYGTWFGDLVPGATSFPLVVTAAASFNETLWRAVGVAVSTEVRAMYNLGHAELTYWSPNINVVRDPRWGRASETPGEDPFLVGRYAVNFVRGMQDIVDLTGAEDMNSGDPFARRIKVSSCCKHFTAYDVDHWRGADRYTFDARVEARDLTETFDRPFEMCVRDGDASCVMCSYNRVNGVPACADHRLLTETVRERWQLHGYVVSDCDSVRVMVRYANWLNLTGAEATAAAMKAGLDLDCGMYWEGVSDFFTAYGVDAVRKGKLAEADVDNALANNYLTLMRLGFFDGSPEFEGLGAGDVCSDAHMGLAADAARQGMVLLKNDAARLPLDAGKVRSVALVGLLQHINATDVMLGDYRASSVNVV >Et_3B_028736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18619856:18623567:1 gene:Et_3B_028736 transcript:Et_3B_028736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGHQRDRIGIVEDWYHTLTCGQEQSLTTGGPGRDGGEAGVDRISDLPDDILASIVSLLPTKDAGRTQAIAIRWRHIWITAPLNLDHADLPACVISCIIWEHPGPGHRFSLRPDQAWAWPNIFNYWLRSDALDNLQELELWDGLSRPLQALVSGESSIVVVRLLPLPASAFRCSATLRVASFCKCNLIDVPFSGDALCFPQLTQLALDQVKISEASLHDMISGSPELVVENAPCPERLINLQPRMDIRIPVTSAPKLETVGFLSDFSGFVFGGTSIQNGRVVSFSTAVRSVKILAISDQIIDVDTVIDLMKCFPCLEKLYMKNYVSKASNEWKHRDVVKSLDIRLKTVVLEPDEYMDSHVDVASFFILNAKELELMRLRVKCCDYHDEFFAEHRRLLEMDKRASRPARLEFAVEKCPHDYLHIGH >Et_9A_061398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1137094:1138105:1 gene:Et_9A_061398 transcript:Et_9A_061398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPYCVHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKDSNAVHASEALRRAKFKFPGRQKIIESRKWGFTKFSRADYLRYKSEGRIMPDGVNAKLLGNHGRLEKRAPGKAFLEAAA >Et_1B_010599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1234083:1238625:-1 gene:Et_1B_010599 transcript:Et_1B_010599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANQDKQKSSGLGRMAGGATGIRKYVGALKDTTTVSIAKVNSDYKELDIAIVKATNHVENPAKEKYIRDIFYHLSAGRPRADVAYCIRALGRRLSKTRNWAVALKTLIVIHRALREVDPTFRDELITYGRSSSHMLNLSYFKDDSSAEAWDYSAWVRSYALYLEERLESFRVLRYDVEKDPSTTRDLGTVELLDQLPALQQLLFRLLDCQPRGSSSYNIIIQHALSMVALESVRIQTAINDGILNLVDKFFEMQRDDAIRALDMYKRSIRQAEQLSEFYEVCRSIHIGRGERLLKIEQPPASFFAAMEEYVSNAPLASTIPRKQDVLAIEYKRKSDVEEPSMLPPPPPASGPAQGPEPEPEPVKPTDLLGMDESTPDTSEMDQKTALALAIVQQDNAPKAPAPSSSENMATSWELALVTAPSSSGNAVTSSKLAGGLDLLTLDSLYNEAHRRAQQNVSYNPWETIPASGQFPV >Et_3B_028663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17815063:17817673:-1 gene:Et_3B_028663 transcript:Et_3B_028663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAPAPPYRGVLPPLAKPKPVLPVAKSVVQRHGQAQARARAQPLALAKSKRLDDALAAGFVRLLNAGPFQDADSGSGTGHLYDPKPGDFAVGVVVSGTEARLDVAVGADRLATLLAKELLPLDRAGADPVEQSAPPRPGSVGVVAGPAGNEEVARKQNRGSRTLVAPGTVVFAEVLGRTLSGRPLLSARRLFRRLAWHRARQIMQLDEPIEVKIYEWNTGGLLTKIEARKNLAFLSCIVFIFRVTYSSTLFQGLRAFLPKFELMDRISTFADLKTKVGSSIRVCIIRLDEETNDLIISEKKAWEMTYLKEGTLLQGTVRKIFSYGAQVRIVGTNRSGLLHISNISRGQVSSVSDILKIDDEVKVLVVKSNVPDKIALSIADLESAPGLFLSDKAKVFSEAEEMAQKYREQLPVISQNTNLDADLPGETIPFDDEATLYANWKWFKFLQPSKSGDNSDGT >Et_9A_062139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:232632:235709:-1 gene:Et_9A_062139 transcript:Et_9A_062139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGDGVARQAELRRIEGNADWAKVEEDSRRALALDDTLVKGHYLLGYAMLEKEEFPLAIKEFEKGLNLLKSTNSAGSMAEDMWQVLAKAKYLDWEQHSTERVWKMQSLKEACENALQEHHFLSGTLAEDTDGSNNEYSEQLKLLSEVFTKVTLADTPTDVPDYLCCQITFEIFRDPVITPSGVTYERAVLLEHLHKVGSFDPVTREPLKEHQLVPNLAIKEAVQAYLKEHSWAYRLN >Et_10A_000471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10861533:10863207:-1 gene:Et_10A_000471 transcript:Et_10A_000471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRERWLHTSFGFRSPKEAILFSSEWDSIALVSSLPFIDDSNTQYGRGKEIYCYKDELMALGAKVGLEQGAAFVISGIHMPNDVSNVTPEAVLSLLKCIRSWRKNGSALPDDFMSIININWVKTTAGYRLPNRCILFYSVCSSHVQRDDGPFIDEVFYGHELFRMRKHFVGSLVTLMMTGFGYHMELMKENRLTLQVVFFMIRLHVLVKWYSFELLGYFNTIFGMKRHPTVSDYCKLWSMWQGANHTLTEEICSAFWEFFGKNWSTNLANFLAEYIMKVPVYFGDQILLLEKKDVFIPDDLLLEDLFKKQARQPMFVWYPSGGLSCLTSTKLNDIYSSIGVQKISKAVSRDESEDLKIESVTTVHKSTMIKPGLLRIVVAFLADPILDISAEKRREWLRVSPIYQVGLSSGRSIAVTSAGLFRWERENSRLFVTKFDGLSAMDNAKKIEYAAYFAEEISKGLLFEKTDQIPALTDLVRTGFLLDFDVHAIQIFMKLKNLR >Et_6A_045970.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:14471424:14471942:-1 gene:Et_6A_045970 transcript:Et_6A_045970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TVAYNLLSGKAFKATSSTISLTGPEPPPSRKQQRSSAERKQQAPWRSEHKRNKEKGDLGRHPLSPTMPAATKLISLLLVLLVVLLLHGRGVKCIGLGMEATVEMEMDSEAHRRLLWDAASGRRYISYAALRSDAVPCSRPGVPYYNCRITTTANPYTRGCESITRCREAADP >Et_6B_049007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16672657:16677617:1 gene:Et_6B_049007 transcript:Et_6B_049007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVQAVAGKRRCWVARRASVPHKFSYFSGADIICSRAIVLDEMKSTVFSAVVVSIGYTLLGWDFAALLEANLHMKKEFELVNGPSINSTTIAVSAFGAIMITIFSGSLLDWLGRRVVLVHSSVLLLFGGILMLWSPNIYILLLARLIMGSGSGLVFTCVPIYISETSPQNMRGSLGTMPHFMFFIGIIFSYCLIFWFTLMSSPNWRLMIGAIFAPSIVYFALLVFYLPESPRWLASDGKISEARVSLQWLRGKHDVSGEIALIVEGIDIISDTAIGGRGQSFSGTSASQILPHSTFYWHLSDPLVDLLGSIHESMSEAGSRRNSFFPVLNSFTFVEHEQVNEHRDDDSEQQTRDAYSGEVNNGDGLRASLLSQAPSVEVNDTNTSFTSEGSSSYLRRHGTPALAQEFMASLHDYDIEEEEEEIHATTVPHQPAHHYMQNTGRHPFRPQIMRLSETADMKSKWRVLLLPGVRHALCYGMLIQALQQSAGISVLLRYTPEILEQFGVVSLFTDIGLSQHSTSILISTLNALLMLPCITAAMLLMDGSSAVHHSDHNIIIQHYMGSLEHAILFHLTLTICFCSYVSGLGPIPNILCSEMFPTRARATCASICSLAFWFGGLLSTYCFPVMLSTIGLGGACGIYAFVCCIALPFIYFRIPDTMGLPLELIAELFKLSRHEYEKKRNRGGTGELAQTVVPCH >Et_9B_066019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20687455:20688652:1 gene:Et_9B_066019 transcript:Et_9B_066019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPGDLIWQIVRKNNSFLVKQFGNGNAKVQFTKEPNNLYNIHSYKHSGLANKKTVAIQPAAGKETAVVLTTTKTKKQNAPAKFSHKSVMRKDFRKMAKAVKNQVSDNYYRPDLTKPALARLSAVYRSLQVAKSGVKKKNRQPTKL >Et_6A_047005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2470817:2473416:-1 gene:Et_6A_047005 transcript:Et_6A_047005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASTVLTLLGFCVSVVFIVFVCSRLVCALVRRRRRRRARRAPPPPLPQYAVFTTYAFALHAAGRQPAGGTGGGSGGLAPAAVAAFPTRAFAGTRRGSGASDSSDADAQCVVCLAEYEDKDVLRFLPHCGHNFHMACIDLWLEQNSTCPVCRISLRDNLDNNHITPPPPPSIVISPPGSPQASGSYPCRCLFVSTGHSSRASEVPRHEPDQENQVASGTSDDGASNNLPLSEVNPPETNSQTVRKEAERSTQLGHCK >Et_2B_019995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1655672:1661145:-1 gene:Et_2B_019995 transcript:Et_2B_019995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRLLLLLHAFAALATQAAARGGHDPSVTDDGNHGSFSRDLLQDKPRITEEMVRGYMSNHELEKAVHAFGSRCPNISRIYSIGKSVNNFPLWVIEISDKPGQREAEPAFKFIGNVHGDEPVGREVLMHLANWLCDNYLKDPLATLIVENIHLHILPTMNPDGFALRWRGNANNIDLNRDFPDQGALVANYPWDGTRDKSKQYYGCPDDKTFRYMASVYSQSHHNMSLSKEFEGGITNGAFWYPIYGGMQDWNYIHGGCFELTLEISDNKWPKAAELPVIWEHNRMSMLNLVASVVKSGIHGRIFSADTGQPIPGSVMIKGVDSKVNASMTFGDYHRIIAPGEKYEVIASMEGFRPKATRTVLLEGEAVNLDFILDPNGPDGQMKLLRNDCGCRCDDDKLFHVQGPHLGLYLAVSFVLLTLYLLFKRRSASRLLTYRYSPRRPVAV >Et_9B_063742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10573235:10573609:-1 gene:Et_9B_063742 transcript:Et_9B_063742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEKDERRRKYKAERKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_2B_020909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24747117:24749572:-1 gene:Et_2B_020909 transcript:Et_2B_020909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRMAVALLVLGVLASGVAAQSPSTPSCASKLVPCEPYMNGTDTPPDTCCGPLKDAVKNELPCLCALYASPEIFKAFNININDALRLSKRCGVSDTTSACAGNFSFPFTPQIVLLLPFRCSPSGGSSDNGRNAGHRTLSVSVPGLMSLFLALWSVLA >Et_4B_038944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6136822:6141563:-1 gene:Et_4B_038944 transcript:Et_4B_038944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYTCRDMLSCLGVLAVLCLLGAAVVARGQLTDDFYDDCCPQADGIVKARVSAAMQAEPRMGASLLRLHFHDCFVNGCDGSILLDGANSEKLAKPNLNSVRGFEVVDAIKADLEKACPGSGGRGYDVLLGRRDGLVANQPGANSNLPSPFDAISVIVKKFSDVGLNTKDVVVLSGGHTIGRSRCALFSSRLSNFSATSSVDPTLDSSMAPRAAAIARPQVVLLAVAVALVLGAQSGAAQLCEDHYDGTCPDVHRIVRRVLKKAHKDDARIYASLTRLHFHDCFVQRCPAGGDGTALNDLDATTPDGFDNNYYANIEARRGFLQSDQELLLSASGGAPTAAIVGRFAGSQKAFFKSFARSMVNMGNIQPLTGGQGEIRKDCRRVLNGS >Et_5B_044892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:695311:698767:1 gene:Et_5B_044892 transcript:Et_5B_044892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVYGDDDDEFDEYNPHPYSGGYDISATYGSPLPPTPTTCYPVSSPAAVVAPTAPQPSSRPPAPQPSSRPQPPAPAPLPRSPPAQPPAPAPRPPSPPPPAPPAKPYYWPEPYNWGDAPRDQPMYAMPEVFRGWPYFSGPHCHSHPACGRDYWRQWMRGLDYLFGHSDGYGERRIGEDCLGVPVYANRKGGVEDAVVVEVPPPATETLQWHYAGEEPDQSNQLSWCSSAQEETYANPEPAYYSSYDRPYYEQSYSFQGASDEPSWSLNQSYQEAYKEEDSQYQEYLSYDADAYKISSQPIVSYNQHFGEQPLHVHAEPLEAVSSHKLEYYENFSTYANENDVDNWDSSRHSYEIQPYLHTPDDHLEPYRPSWSQNLEYYQACTEGVSHGYDNHTMASGETWDMSSLFASPFYPQETHIYEHSYGDDLSKAEP >Et_8B_059833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3113398:3118021:-1 gene:Et_8B_059833 transcript:Et_8B_059833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRSPGICLRRSASCNMHSFSNQFQGGLTQSMALWKCSHSQSRIYHVKSSESQSLKYFVSLMGQQFRCGVSTKEGSLSVKLDMPSREKLSRIGWNWRGMHQKVGGAAGGLCFGFSVTELASAEIPVSRSNDNAETSSSNTSSSHGKKVYTDYSVTGIPGDGRCLFRSVVHGACIRTGKPIPNEDLQRKLADELRAMVAEEFVKRREETEWFIEGDFDTYVSHIRKPHVWGGEPELFIASHVLQMPITVYMHDEDEGGLIAIAEYGQQYGKDDPIQVLYHGYDRVVVRLPLPLDVSKDQQ >Et_10B_003589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3511859:3516147:-1 gene:Et_10B_003589 transcript:Et_10B_003589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETNHPPPQPPPVAAPPPMAMQPMMGPAVGAGAMHPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASAIVPAMGGGPGDKARVIQSFLFMSGINTLLQTLVGTRLPTIMNASFAFVVPVLSIARDFNQNEFATPHQRFIHTMRTTQGALIVASILNMILGFSTVWGAYAKKFSPVIMTPVVCVVGLGLFEIGFPQVGKCVEIGLPMLILAVVVQQYAPYYFRHIHERTTFLFERYSLLLCIGIVWAFAAILTVAGAYNHVSLKTQQHCRTDKSFLMSSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVSAFESTGAHFATARLAGATPPPGHVLSRSVGLQGIGMFLEGIFGAPAGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCILFGIVAAVGVSFMQFANKNSMRNIYIIGLALFLGISIPQYFNEYTSSAGRGPARTNAGWFNDIINTVFASGPTVALIVASVLDNTLEVRESESDRGLSWFTPFLRRRKGFSDPRNEEFYSYPIRVHDFIPSRFL >Et_9A_063592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8856316:8858901:1 gene:Et_9A_063592 transcript:Et_9A_063592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPYSVELDPWDLQCILSTVVYGFQGGVPAALFVSEITYIMGFESTLPLMHEADKRDSETSQVVSCESTVVEEMEKSLSEEPFLLVRLENKEDTKSFGTVCDSKFNKDDVAEADVLELAISSEAPDDSLSVGCQTPRESIFDPFAPGPEEVACAPMKKVIRSVEVPSRRQLNFESGDYPVKRLSFDSDDDEEEDQYLLVLENMILDIIMPVGFLDRQEKTDTIIYKTPDSKPLLTGIATTCPDAPTRFSFHAVAIPPTCQQSAETSRMRRRTRGRYLEKIIIVITEK >Et_8A_057324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23638121:23640620:-1 gene:Et_8A_057324 transcript:Et_8A_057324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSLHLSVPTLLRLGVSINGPLSPPQSPFSASDGGGAPLTTVNQQPRLKSIGSHAKHMSSQPHSKQGCASKAHKCKENCSDVIDRVLNKHSGIGVKILKLELDGISCHCLDSWLRITVKPGIEVFTLVPRRSKIKYNLPCSLLSDGAFVPSAPQLNSGP >Et_5A_042112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6453912:6458527:1 gene:Et_5A_042112 transcript:Et_5A_042112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRRVKDQSTMRALTSCVFPAPKESDDVSQKDLESLNSRSLSNASAASSLSTGGTKGKNSWKLKFIVTLALTLLTSSQAILIVWSKRAGKYEYSVTTANFLVEALKCLLSLVALYRTWNSQGITEDNRLTTSFDEVNVYPIPAVLYMAKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILKKKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQTPIQGWVMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYVFGMLFNLVAICVQDFDAVINKGFFHGYTFITVLMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAIVSVFLFGFHLSLAFFLGSTVVSVSVYLHSVGKPQQQK >Et_3B_030314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32151143:32153324:-1 gene:Et_3B_030314 transcript:Et_3B_030314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ICVSILLSVLIIHFYLFQIDKLQHSFHRWEQTASNTGEYVHLTKELLTNCESIEWQVDELEKTITVASRDPAYYGLDEVELSRRRNWTVSARNQVGTVRRAVEKGKISKHQDLTGASRTNYYSTQDNDDFISSESDRQQLLMMQQDDELDELSESVQRIGNVGLTIHDELNSQERILNDLSFEMETTSNRLDFVQKKVAMVMKKAGIKGQILLIVFLVVLFIILFVLVFLT >Et_3B_030104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30502098:30506983:-1 gene:Et_3B_030104 transcript:Et_3B_030104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VWAGVRGVGLEKQPLPPGVETWPQAPPNHPPQFHSAPHSHPPPFDTRPDSASGNGSTENIESAVQEAVLHAQDIETQQVIQSQRQAKASSESTEYGEDLLSSRRDPNALKEHLLKMTADHRAEMANKKGKPLHPNNGNVEIGNGYGVPGGGAYYAQMNKPTDEADKAKGTNDLPEFLKQRWILKKARTNLPKNCPLAEAKDPTTGASYFYNQSTGVTQWDRPGGAVNTMQHQVSPPLPDNWEEAIDASTGHKYYYNTKTQATQWEPPTSVNSGVAPLAPGNTVPPTYVNTSVAPHAPTNTVPPTYVNASAAPHASTNTVPSTYVNAGVAPHAPTNTVPSTYVNASVAPHAPTNTAVEPVAPTTDLWNCQMQRCLGCGGWGVGLVQQWGYCNHCTRVQNLPYQQYPGYPHNSMHSGGNIAPKSQGNVAAKDRSSSKPPFGKANKKDHRKRNRPEDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTAAGPLFQQRPYPSPGAVLRKNAEAATHGKKRGGMAPISKRGDGSDGLGEAD >Et_8B_060800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7822929:7824353:-1 gene:Et_8B_060800 transcript:Et_8B_060800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDATTGGNIAIAIVSVITGGAVIIVVSTLIYKCCKLHMLRKYGVLGPQPPALPLVGTTTTAAALASRATGGVSMMTTSGKHKDSAYDVDVVKDRPVRFSSQQLQEFTGDYAVKLGAGGFGTVYKGQIPLPTNHGGSLDVAVKVLHTGMGRRAEEQFMAEIGTIGRTSHVNLVRLYGFCFDAELKALVYEFMPNGSLEGHLFFLLADDDGGERRKRLGFDTLHDVAVGTAKAIRYLHGECERRIIHYDIKPGNVLLDDAFRPKVADFGLARLCDRERTHLTMTGGGRGTPGYAAPELWMGAPATPKCDVYSYGMLLFEILGRRRNYVAEEEDGECSWYPQWVWQRLDRGETEAVVKRALAADTVAGKEEDDGRMRRKVERMCAVALRCVQYRSEDRPSIYDVVRMLEGDEDVAAPAVSPFAHLEPEAFSKTFTETATTFGSEA >Et_3A_023478.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3054186:3054374:-1 gene:Et_3A_023478 transcript:Et_3A_023478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPWRAWTKAASARLCGDPASLRRRGRRGAVGAGGLVPVRWLHRGVAHPPPPRGRQRAEPAG >Et_2A_017713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5379363:5383173:-1 gene:Et_2A_017713 transcript:Et_2A_017713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLAGFGLVLLSWVLFFSCHCSVNGLSKVKGVNLGGWLVIEGWIKPSLFDGIPNGDMLDGTQVQFRSVVLNKYVSAANGGGSNVTVDRDVASTWETFRLWRVSENKFQLRCLGGQFLTSNSDDGLILATASKPLSSETFYIERNDRRVHIKLLNGGYVQATNDHLLISTYQFQPGWDDNLATFELVIVANDLHGDYQLANGYGYEKAKMVLEEHRGSFVTERDFGFLSRHGINTVRIPVGWWIAQDPYPPSPFIGGSLAALDHAFVWAKSYGLKCIIDLHAAPGSQNGMEHSASRDGSVDWPSPEYISQTLEVIDFLATRYGGHPSLLGIELLNEPSAATVPFDVLVSYYMRGYQIVRNHSSTAYVILCQRIGNADPMELVQANIGISNIVVDLHYYNLFDPYFDSLNSTQNIDFIYKARAPQLQALKSSNGPLLFIGEWVNEWDVQNASQIEYQMFGRAQLDVYENATFGWSYWTLKNDRMHWDFEWNIWNKYLLFSGSPLLKPPKIVLLLVLGWGSYVAGLLIMPR >Et_4B_036266.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:14017916:14019010:-1 gene:Et_4B_036266 transcript:Et_4B_036266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRRPIGGDGGVRALLPGAGRAPPHVRPQHERARRPVPGVPPVALLAAVVGGDHFVVTGRTTWTFRREPGGPDGWCGNSFLLQPESRNMTALTVESSVPHPRDLAVPYPSYFHPSSAAEVAAWQDLARRAPRPLLMAFAGAQRAKGKLPIREKVFDLCSEAAANGLEGAISCRTAEKLVNLFASARFCLQPPGDSFTRRSAIDAVVAGCIPVFFPRFSTLRAAVPVVARPPGRSPAAGQVLRAVRPRRRGEREGASGGGAEPLHRRAGGGDAGGGDQNHAEVRVQGPHGGVPGRDGGRVRHRHGRGHGEDEEDQERGEVGVEGLRRAGWTECLATTAADSTNYLVIGTICFLLFLACSLINAS >Et_2A_015885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18761605:18762207:-1 gene:Et_2A_015885 transcript:Et_2A_015885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYQIHHHCRSLIRIYTHLRLTQPAPCASFLNTELQESGTRDETDWFNTDGFNQFSTQENDSIFPESSQGAHALHSSGQGSHAPEQLSEIPCANFGPSNSTPQLADKRRPKRYLRLKKEEIDRFAAIEEKKMEDPYSIKNCITILEGMGDLLQIEDMIKAADVFKDNQANREVFLSFSSDAVRLG >Et_1A_005650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12392913:12398476:1 gene:Et_1A_005650 transcript:Et_1A_005650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTGAASGWLRGKVKAVTSGDCLLIMGSTKAEIPPEKSITLSYLMAPRLARRGGVDEPFAWESREFLRKLCIGKEVTFRVDYTAPNIGREFGTVYLGDKNVAYSVVSAGWARVKEQGPKGGEQSPYLSELLRLEEVAKQQGLGRWSKEPGAAEESIRDLPPSAIGEASGFDAKGFAVANKGKSLEAIVEQVRDGSTVRVYLLPSFQFVQIYVAGVQAPSMGRRSSIPNVVAAETDVTADGANGEESEGTPAQLTTAQRLVASAAATEVPPDRFGREAKHFTETRVLNRDVRIVVEGTDSYSNIIASVYYPDGETAKDLALELVENGLAKYVEWSANMLDVEVKIKLKNAELQAKKDQLRMWTGFKPPVTNSKPILDQKFTGKVVEVVSGDCIIVADDNAPYGSPSAERRVNLSSIRAPKLGNPRRDDNKPQKFAREAKEFLRTRLIGKQVTVEMEYSRRISTMDGQNVTPTTNLADTRVLDYGSVFLGATSKADGDDLSSVPSSASQAGVNVAELLLSRGFAETSKHRDYEERSHYYDALLAAESRAEKAKKGVHSGKESPVMHITDLTTVTAKKAKDFLPFLQRNKRHSAIVEYVFSGHRFKLTIPKETCSIAFSFSGVRCPGKGEPYSDEAIALMRRRVLQRDVEIEVEAVDRTGTFLGSLWESKTNMASVLLDAGLAKLSSFGLDRIPDASILMRAEQSAKQQKLKIWENYVEGEEVSNGSTHESKQKEILKVVVTEILGGGKFYVQTVGDQRVASIQQQLASLKLKDAPVIGAFNPVKGEIVLAQFSLDNSWNRAMIVNGPRGAVESPDDKFEVFYIDYGNQEVVPYNRIRPADPSISAAPALAQLCNLAFIKVPNLEDDFGQEAAEYLSECLLSGSKQYRAMIEERDTSGGKSKGQGTGTVLNVTLVDAETETSINATMLEEGLARLERSKRWDTRERKAALQNLEQFQEKAKKERLRIWQYGDVESDEEEQAPAGRKPGGRR >Et_1A_007555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35898669:35903007:1 gene:Et_1A_007555 transcript:Et_1A_007555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADSEDAVKQLSVLMEQVDAPLKRSFQNVHQGYPKETLVRFLKAREWNVSKAHKMIVDSLNWRIQNEIDSVLERPIVPVDLYRSIRDSQLVGLSGYTMEGLPVFAIGVGQSSYDKASVHYYVQSHIQINEYRDRIILPKLTEKFGRPITGCVKVLDMTGLKLSALNQMKMLTSISTVDDLNYPEKTETYYVVNVPYIFSACWKVVKPLLQERTKKKVKVLSGSGKDELLKIMDYSSLPHFCRREGSGSSKHSSTDADNCFSFDHPFHKELYGHIKEQASHKELIKMGSLHVSIPEPDPDDAKIVEVIQAEFQKFGEENGSSNGHKA >Et_9A_061847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17092936:17093380:1 gene:Et_9A_061847 transcript:Et_9A_061847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASITIATAPLPPADGSAYAGPFEPSVWGDFFINYTPPSQACRSEEWMRERADHLKGQVALKLEAIKKMGTGDIMMLVGTLERLGIDHHFRKDTDLVLSHVHRRIHNLP >Et_10B_004135.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:15195420:15195737:1 gene:Et_10B_004135 transcript:Et_10B_004135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHCTSGTTVISESVLGDCLRHAAVPRDRVVVAAKCGRYVEGFNFSAARVTRSVDESLARLGLDACEFSLPSCSSRPLSNDWEPMKWRSNGSDFRNFNNFVELGI >Et_1A_007234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32380024:32389384:-1 gene:Et_1A_007234 transcript:Et_1A_007234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLLAAKSGEKQHGGDEEISSARSEVTKQVYLAGPLVAGYLLLNVLQVISLMFFGRLGKLEFAGASVATAFSNVTGFSVLAGMVTSLETLCGQAFGAGQHHQVGVYKQRAMLVVALVCVPVAALWAYAGEILAWGCGRQRLGAIINLVSYYIAGIPAAFFFSFVIPVAVVWAYTGEILVLFRQDPEIAAGAGSYARWMIPSLFLFGQLQCYVRFLQAQNIVVPVMLSSGVTVGVHVAVCWLLVHRLGLGVNGAALAVVVSYFFNTSCLALYVRLAPSCKKTWAGFSREAFLGIPAFLKLAVPSALMLCLEGWAFELLVLLSGLLPNPKLETAVLSVCLNTDVLAFMVPMGLGFAVSTRVSNELGAGRPQAARLATRVVMLLAFSVSLVEALVLVLSRKRLGYVYTNVEEVALYSSKIMPILTACFFVDSMQSVLSGVVRGCGRQKIGAFINLASYYLVGIPAASVFAFVCHLRGKGLWFGIFCGAAVQMLLLLSVTLCTNWNKQASKAKDRVFCSTSPADTKTSLASMDAPLLTVTASTAAATEKKKKKKHAGDEESSVWSEVKKQQRLAGPLVNVVDMVAIMFVGHIGKLELAGASIAIAFNSPPSRASACWYPQTFLRWSLFFQVQRHSRCVYRKVL >Et_5B_045271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1645340:1647003:1 gene:Et_5B_045271 transcript:Et_5B_045271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGVTKPRPQARERQHQATSLPLDIVVEIAACTDPVTLVRCAATCWDVRRRVADDPAFRRRHMDRFVSSLLRGIFVGQESSYGLNLKRELYLVDTTAADATKVRKVTGGFPSGPLASRDGLLLVRAAKELRVCDPATGRSQVLPSEPTFPRDDPGQDYDPLKYVLLPGDSEGGGAGAAVGQPFQLLIAKLALSQHRRHLQIQIFSSEHNTWGSYTEIRIPNLYGSRLLQDLGTPLVVGGAVHWLCMTNRGSYVIKLHVKAAQVTITELPESFPQDRCNTRHLLASTSPGGSPLVLVVDEEKILAWSQSKQTMKWKQQPQVVIDDDELCRYTLNMGGVRPVRVTETVQLHWFAERSGLVLIEIRYGGFIWLDLRTMKMQLWKDVLIIHG >Et_3A_025029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26192441:26196585:-1 gene:Et_3A_025029 transcript:Et_3A_025029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHKVSAVIFDVDGTLLDTERATRDILNEFLAAYGKVPDPEKEEKRLGQMYKESTTGIIADYGLPLTVEEYSEAIHPLYLKRWQKAKPLPGVKRLVKHLHKHGVPLALASNSVRRNINHKLQKLEDWVDCFSVVLGGDQVPNGKPAPDIFLEAAKGLGVDPSLCLVIEDSLVGVQGAKASGAKVVAVPSLQSQRQHYSIADTVLYSLLDFYPELWGLPPFEDRVQGALLIEPLFSNAHIGDKILNNLHVVISDDCTYEFIPDQISGIFFGWVKLKVHGFCKVVVGTGWDFSQLAVERVMHIDFLDFSGAIKAEPVKLLVIGYIRKLESMDNILEDLSITDEDRSIARNALELPAFSKYKNDIHFD >Et_3A_024967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25723437:25726161:-1 gene:Et_3A_024967 transcript:Et_3A_024967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEDYSWVRRTRFSHSVVRSNSGREQFGAFVEQFNRGAALRQKGAAAGFKLHGLNMEPGTRHSVSSEPGTRLSASSDPGTSLLSAQQKLKGSSSVATLGQLKRSGDPPLLQAGTAKQDDGKGANGKKGVGSLSVAVHHESPEDEGPGALEFSFHLDEDSLSLQRVCSSPAPFPRRKTPGDLAMARSASLTALSQAPRLNQRARSPLPSRDVPAVFREAKSSSKRFSTPPPRRRSTSPGPRSGSPPPVAHAPERAPGKLKQRKECVANGRAKVAALEVLEQWSVDRSQLLIGHRFASGAHSRLFHGIYKEQPVAVKFIRQPEDEEDAELATQLEKQFNTEVATLSRLHHPNVIKLVGACSSPPVFCVITEFLSGGSLRSFLHKLDHKSLPLDKIISISLDIAHGMAYIHSQGVVHRDVKPENIIFNEEGCAKIVDFGIACEEAYCDPLANDTGTFRWMAPEMMKHKSYGRKVDVYSFGLILWEMFSGSIPYEDLNPFQAAFAVFDKNVRPVIPPGCPAPVRLLMEQCWASHPEKRPEFWQIVQVLEKFKMVLDRDGTLDNMPSSSCQETHDHKNWLAHWVQKLKHSHPDLSGPPPPKLL >Et_3A_023451.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28210720:28210914:1 gene:Et_3A_023451 transcript:Et_3A_023451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RLLWIGVVVAKEDIEDKTPRFLTLSSFWSHGGLEGKKQSAFPNFTPFNWWPWLRRSSTKQRSRE >Et_5B_043034.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:15779274:15779846:-1 gene:Et_5B_043034 transcript:Et_5B_043034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFTSRNTIIPAFVLVLLIFAAAEVSGEPPSVVPPACEEAYAVGNNSFTVDFCLSTLTGHSVGAESYGDLVPFAVNLTTANATATKAKLDELVAGAFGRGPLFYGLRSCQDLYDAVVRVYQPICHAAARDMRYADVRSCLGRMLEAAKVCDLWFRQRKVTSPIAKENDDLAKLANLGIAITKITSRG >Et_2A_018166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1655660:1656198:-1 gene:Et_2A_018166 transcript:Et_2A_018166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNMIVRRVAFGDDGDESIDAGAVLDETLYSLWPTTSPGWGGRLEQNFQELDAFYEGVIDDHIKKGEVPKEKDLVEVLLRLHGDPAQGGTFSSRSQIKGILTDMFIAGTVEGTMTELLRHPAETWSAKPTSRGSRT >Et_2A_016087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2101220:2107059:1 gene:Et_2A_016087 transcript:Et_2A_016087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRSGSRPEAAVKHMRGREYKFIIYELDINMGESMWIEPCNARQGSVDWTWQFSMSTHTYIRLTCHESSNTADVILFQTALQSQMASGDSRAPAMMQVRCLLFLALFLLVATYGGEAQPLVPGVMTFGDSTVDVGNNDYLHTFLKANFPPYGRDFKNHVATGRFCNGKLATDITAETLGFTNYAPAYLSPQASGKNLLIGANFASAGSGYYDHTALMYVSIISDHSKESKSTVLQNLHESVCMCLTWATCVSQHAIPLSQQLQYFKEYQSKLAAVAGSSQARSIITGSLYIISAGASDFVQNYYINPFLYKTQTAAQFSDRLIGIFSNTVSQLYGMGARRIGVTSLPPLGCLPAAITLFGHGSNGCVSRLNSDAQSFNRKMNATVDSLSRRYPDLKIAVFDIYTPLYDLVTSPASQGFTEGRRGCCGTGTVETTVFLCNPKSIGTCPNATTYVFWDAVHPSEAANQVLADSLITQGLILVT >Et_1B_011517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23158179:23159314:1 gene:Et_1B_011517 transcript:Et_1B_011517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPIHTQKIRQHAVFMHEAFYCGRGLAILSNRTHTPKKNEIKRKITGGNLARTATSSPPSLSPVELRSRATTVTTRECRASSVIVDHNGDAASVAAVLLANGGSVEVAAAHGARGVRGEPVVDARHVERVAADGEQADGVAGGELGEADRALGRRRVRRGLELQRGERGQDRGVQPGRLASLLRVRPRVVGRHVGCGVAEAAAAAEPEGEEVEEVAQEEDGEEAEEEHEQDEHREQLRERRPLLRRGRRSRRRHGGVCAAVVVGGVVEKEAARGRGHRVHRRMGRESRSACVAHVARARNPKKVGSVGPTPSVCLAGGAVGFTASSVKATPGRHATPTPHHARVRTAACMQSPAADWFGVSGGGGQCELAAH >Et_7A_052332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:694276:698569:-1 gene:Et_7A_052332 transcript:Et_7A_052332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATANLAFRLPVTLTSRLSCPSRVHPLHNSCPAQKRLISAAASSSFSRTVPSPISSETPEARQIRLETEAALEWSGVFTRLADFATTVAGRAACEEGRVPVGRSREESEMLIKQTAAAVLLSAPLDFGGVEDVSGVVAAAAGGRLLSVREICGVGRSIRAARKVFDQLQRLADEMPDGRYTPLLYILQGCDFLSELVERIEFCFDSTLSLVLDRASKKLETIRKDRRRNIEMLESLLKDTSVKIFQGGGIDSPVVTKRRSRMCVGVKASHKHLLPGGIILSSSGSGATYFMEPRDAVELNNREVKLSGDERAKELAILGLLTSRIAESRIKIRHLMEKVLELDLACARGSYALWTNGVRPSFSDSNSISQSDQSSDYSVYIEGIQHPLLLEQSLGMAQESTTEATKMPVPLDMWVKNNTRIVVISGPNTGGKTATMKTLGLASLMSKAGMFFPAKGRPRLPWFDQVLADIGDHQSLEHSLSTFSGHISRLRKIVEVVSKDSLVLIDEIGSGTDPSEGVSLSTSILKYLAGRVNIAIVTTHYADLSRLQAVDNRFQNAAMEFCLETLQPTYRILWGSIGNSNALSIAKSTGFDQSVLDRAQEWVGKLLPDKQKERQGLLYDSLLNERNLLELQANKVASVLSEVEELYDEIRSEAEDLDSRVATLRARESLKVQQELKYVKSQMDMIIKNFEMQLKNSKLEQFNSLMRKAEAATASVAAAHQPTDITFNDEKNQSSFVPQIGDKVYIQGLGGGTMATVVETLGEDGSCMVQYGKIKLRVTRNKMKLVQRGANEATVSSSVKGKGRTPKQTSAETNQDANISFGPVVQTSKNTVDLRGMRVNEASYQLRIAIDACRPYQVLFVVHGMGTGAVKDCAIEILRNHPRVTKFEDESPLNYGCTVAYIQ >Et_5B_044990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8021737:8022939:1 gene:Et_5B_044990 transcript:Et_5B_044990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASSNHAVVRGSDGPLALQVLAAGDAGQPNFGGGGNSNSSAAAAGGFVALDVGALSSLAGEVGAPEAVASPRRPRTPKVVRSLSRKGERKPADVDGNGGNAGGGERPQLFVHVAAGDLGDANGARLVVHTPVAGTPGGKSRRLGRRPAPWLDPRRVVFLFATL >Et_10A_001535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4790274:4794520:1 gene:Et_10A_001535 transcript:Et_10A_001535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRLSPSMRSLTISTSHGLLDLMRLKTAARHFSYRTVFHTVLILAFLLPFVFILTAVMTLEGFNKCSSLDCLGRRLGPRLLGRGNDGSMRLVRDLYAILDEMNSEEVPIDLKIPESFNEFVWDMKNSDYDLRSFAFKLKAMMESMDKELRSSRLSEQLNKHYAAIAIPKGLYCLSLRLTDEYSSNALARKQLPPPELVPCLSDNSYRHFVLASDNILAASVVVQSTVRSSLKPERIVFHVITDKKTYPAMHSWFALNSLSPAIVEVKGVHQFDWLTKENVPVLEAIETQRTVRDRYHGDHVKRTSASDSPRVFAAKLQAGSPTYTSVLNHIRIYLPELFPSLNKVVFLDDDVVVQHDLSSLWDIDLAGKVNGAVETCRGGDSWVMSKRFRNYFNFSHPLIANNFDPSECAWAYGMNIFDLNAWRKTTIKDKFHHWVKENLKSNFTLWRLGTLPPGLIAFKGHVHPIDPSWHLLGLGYQEKTDISSVRQAAVIHYNGQSKPWLDIGFKHLQPFWTRHVNYSNEFIKNCHIMEPQL >Et_5A_042962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:860435:861048:-1 gene:Et_5A_042962 transcript:Et_5A_042962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADAAELIIFSGGVVKGRGDATVVGACVREDKPLVFTYELRWPSHAESDVQWTRAAQRRQGALVLHPQLHRGGRLPRGDRVVHPAAHRAAQYEELGGGGGGVESGEAAADKLAAAAGWKLVAGDVFWKPSHPVLLCVMVGDGVRIQGMGVVTILFAVEPRGPAASYHACLATRGRDGRDHRTSPSFTHSVEFLTP >Et_1B_014241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4034692:4035499:-1 gene:Et_1B_014241 transcript:Et_1B_014241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAHVTHRSLGATSHSIPLTSASGSRAINTREPGRHGRKQAAAAASTSPAPAPNPKFQRNQQQTMAVLVARQGRELQRYSKNTGGRIVVGCIPYRVRVDDGELEVLVITSQKGHGMMFPKGGWEEDESMDEAARREALEEAGVRGDTEPVLGFWHYQSRRYVDQTYEGFMFPLRVADELHQWPEMASRKRTWATVQQVMDGCSHWWMREALEKLVARHAKLQSAL >Et_7B_055232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8563356:8570207:1 gene:Et_7B_055232 transcript:Et_7B_055232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNLTSQETKSDHACREAICETGGLRIRLEDLPLDVLYKIVSKLPSKEFARTRVLSSRWQWMWPACPRLTFDGVAVCKCDRADLHQNIGKFVHEVNAVLQKHRGIVVETLEVRFDFVDNLLVHHLNNWVHFAVSSRTKNLTLELKPEFCADYKGRYVFPFKLLDNGYISRLQQLHLSCVSLKPPSQFNGFPNLRKLCIQIVHVDRKDLEHVLSHCCKLEWLRIGRCHLNDELVVDGSLSHLRYLHIEHCRLTKIKFHAVNLATFLYDGDFIPIDLSRSSKLQNTYIKLDEAIFQHALTSLINGIPHTQKLSLCIWWLHLEKLWMWDNPLMFPKLRYLQLFMHILPEVVDEVLYSVSFLRSTPFIEKLEVHFTGYHLWLADVGPYRQQLGHSKYCYLKNLHVTGFKGARGQLEFLLHVVENAPALEVVTVNTNQEASKEFWPYEGSCPPFEEAKRIAVTSLTIALPQNINFSLFHDAWGRGEGGSEKKRLQRNPTHSRPPTVLDTTHHR >Et_9A_063036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8802796:8807970:1 gene:Et_9A_063036 transcript:Et_9A_063036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLELDYIGLSPPAAAAAAAGSGDDLKGTELRLGLPGSESPDRRVPVAAAAATTLDLLPAKGAKRGFSDEVPPPSPAAAVGKGKKAAEEEEEDKKVAATPQPAAKAQVVGWPPIRSYRKNTMATNQQKNTKEDAEAKSGQGFLYVKVSMDGAPYLRKIDLKTYKNYKDLSTALEKMFSGFSTGKDGLSENRKDGEYVLTYEDKDGDWMLVGDVPWQMFAESCRRLRIMKGSDAIGLGKFVVLALVSHCLVVFGWVDVVLQGQLISPRTATSCRVSSAEFIRHHCGAHRLKATDRDGMVDQA >Et_7B_053444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19814149:19815207:-1 gene:Et_7B_053444 transcript:Et_7B_053444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILVTKSPPLIVGPCEATKTGTICPSSFDKFMSGIHITSFLVFDYPIHGPAESIKKALSQTLVHYYPIAGRLAATPNDGELTLACTGEGVLFVAASANCTLEDANFFRSPLRTDQLVKDLAVSNTRQDRSQAEPLMLMQVTEFSCGGFVVGAKWNHVIADGKGMAQFLQAIGELACGQSPLSVVPARWFRSLDDFSLSSLDVTVPWSLISSIKSEYKCHFKGHSCTVFEVVTAILWQCRTRVAISESDAPAPLTFAVDTRKLVGAHDGYYGNCQTSQVVVATRDALASIPIVEVVKMIKAAKEKIPDMYKDGMEIRKLSKYNML >Et_10B_003069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16208377:16210893:1 gene:Et_10B_003069 transcript:Et_10B_003069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AKAPSIMSERGRLPRRLIDDRRGYPDVRMDHRCYPDTRVVDDHRAYPVVDRRPYPNVRAINDHRAYPGIHAVDRRGYPDVRDGLQMRGAPRPHPAILEEEFELQEVELRRLLADNRALVEERDILHRDVQAGRDEVGHLNMIIADINAEKEDYISKLVDKRRKLEAELRATEPLRDEVVQLRGEIDKLVGVRKELSAEAASLMQELAREKSGNQQLPMLKAEIDGLRQEIIHLRTQCALEQKGNFELVEQRKAMEKNMNSMAQEIDQMRTELATFEVRPWGTGGTYGIQMTSPEGTFATPYGDSYNIHSGVSEKGSLLPPESSSWSKYDKNHLQYR >Et_4B_038301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2888682:2889529:1 gene:Et_4B_038301 transcript:Et_4B_038301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPIASDLNVLIWWPPCFAAIDGLWNSSWSSEDIASSLPLLPAFSEDECESISAPNSSLYISSIIGFHRRTRASTPNVTGTPRSYTQEMLEEPCAEDGDRRLRESALRAPRVLRLLHHAELLHGALLPQVLVDVTQPGQPAALRRGVLLAQAPPQGLHLRADGVAQRPEVPGDRLQGQGRVRPRRAGAGHRVE >Et_8A_056692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15040137:15044374:-1 gene:Et_8A_056692 transcript:Et_8A_056692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSANSNQKPGDDNVSKRLHFGDGSDYPPYKSTAQAKDDLEVLAARYGDMKIVCSPSRYATTIQKLSDKHKDAIKSAGFQGMLQLKPMFLRRLMLVQLAKRYITETESFLIAGKEIPMTQLDAFHIMDLPIEGKNIDVSAVRETNTELFQSYRSKKPGENHITLKALEHSITISKEPDDDFIRQFVLYTIGILLAPTTKDYVDSKYLAFVEKVKDIPKFNWGLFTWRNLLACMHSFKIDEKVNLQGNLALLQVWYFEHVQSYSHHGVSYSPAPHPLMARWDEKMAKLRADAYNEDGLDGGVVVTTISNRKPGRNTINNEATNGQDEETRCHDQDGHHTHSEQHPITNQQMEIILQAITKNRIQNERTLMEVEHRFHSNILTVQEDLAEYRTQDAIRTRDIKNALNTRATPFKCQNFEGEASLADEETSASEGEGTLPDSKTVENMKMEQHRTQPVEKSTKHIFSTTDYNNDDYILTEDDEEALQFIIHSYKWAGVVDIPDHPLLRVEKLKKLAEGGWVSDVAIDAYASLCEIENKYTTVLTTFQSRMLLGTNGVFNPRNKRWTAELGKRCATHGLVFVPFNAHKCHWTLLVLNYRRKEIQILNPLASSPNLRDEAHEATLEQMNIYRKKICSRLLHSESNQIGRASYRQPITKQEYKANKEKDNEDQGQDSDIEIVTWGDETSKGIKGTLSGRKRGRPKKSGQNTKAATPEASPKTPKTVAQRVQGTHRRSIHKLFSTTSEPDISWNRAINLKQWTTMAKPDMLVI >Et_10A_002217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4588945:4589890:-1 gene:Et_10A_002217 transcript:Et_10A_002217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGVIDSRDGATRLIVISEDNSAKVFARLDGGEWALEKRVLLSEVTRDLSGYQPSFFNRRLGILTHGPGFVILTAQRTAPWFFSIDLETMKSAGLVATIEKSQWSSL >Et_1A_006937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29106588:29115019:-1 gene:Et_1A_006937 transcript:Et_1A_006937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGSVKRPAAAGAAAPAAPPSFTVNPGDYRLLEEVGYGAHAVVHRAVFLPRNEVVAVKCLDLDQLNNNIDEIQREAQIMSLIDHPNVIRALCSFVVEHSLWVVMPFMTEGSCLHLMKIAYPDGFEEPVIGSILKETLKALEYLHNQGQIHRDVKAGNILIDGGGAVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDRRFSKAFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTMKSILTDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSLSEYQRGVSAWNFDIEDLKAQASLIRDDEPPEIKEDDDTARNTEANKNSSFRNHLGKPPSENNYRERASATAVNSDGKGPKAHEGFDFDFSDADCKRRADGYENNRAENDSLPSTSKQDSELNNWTSDVGQRQQSSGANNSTSVERGHGFERDAAVQMTSDKQRSDMRKNNLSGPLSLPTRASANSFSAPIRSSGGYVDSLGDKSKRNVVEIKGRFSVTSENVDLAKVQEGPVSVLSRKSPQGSLLRKSASVGDWLVNTKPMCNSNQLKELCNSSVSSSILIPHLENLVQQTMFQQDLIMNLLGGLQQNEKVDGAQPGISSQTRTMVNDKMAGTANSEKERSLLVKISELQSRMITLTDELITAKLKHVQLQQELNALYCREEIEDIRDDDNEET >Et_3B_029545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25983861:25986506:1 gene:Et_3B_029545 transcript:Et_3B_029545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVRRDADRSAASEFVALDIRGGAESPETNSDLVIESAFAGKAVERERNGDTNSSSTGMAGVYEKQTVAVHVDGSPREQLHPSTPTAGGAKRRRASRRAPGWRDPRKILFAFAALSSVGTLILLYFTLSMGKTTGGEADGQ >Et_1A_007739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37742085:37746926:-1 gene:Et_1A_007739 transcript:Et_1A_007739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIGGRRKAGVEERFTRPQGLYEHRDIDQKKLRKLILEAKLAPCYPGADDASGELEECPICFLYYPSLNRSKCCSKGICTECFLQMKPTHTARPTQCPFCKTSNYAVEYRGVKTKEERSIEQFEEQKVIEAQLRMRQQALKDEEAKLKRKQSRCSSSRTVTPTTEVEYRDICSTSFSAPSFQCTELGTECSSEPSCSTQASMRPFHSRHNRDDNVDMNLEEMMVMEAIWRSIQEQGNLANPVCGSYFPVMEAPSRERQAFLPAPPLEIPHPSGYSCAVAALAEHQPPSMDFSYMAGSSTFPVFDVIRRPCNISSGGMCGMENSSLDSWSGIAPSCSREVIREEGECSTDHWSEGAEAGTSYAGSDIVADPSTMQPLPFAENFAMAPSHFRPESIEEQMMFSMAVSLADHGYQRYPLVPWNPKCVGTVERARLHTIPDSKP >Et_1B_011456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22500719:22503196:-1 gene:Et_1B_011456 transcript:Et_1B_011456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSMAAAAAASSLLLLLLCVVLVPPPLAAAQQQQRMLSFSANDTAWRPTDGKILESQNGDFAAGFVRSPATPGSFRFAVWVAVSNSSSSLDNAVIWYAHGPNGYSAVEAAGTSALAVNASGVLSWTAAGGNGVIWSQAANSSAAALRLNDTGSLVYDSWTSFGEPTDTLMAGQAVPNGVNSTKTTLQSGNGRYALVSSTTLQFGTLMYANLSSALVSLTGDGKLVLGASQLIASDMGASGRRVRRLTLDDDGNLRLYSLYPRAAARWRVVWQMVQELCTIRGTCAEGRICVPVGADGVSCVCPPGYANATLQGPCAPKRNISSFRGDGKFVRMDFVSFSGNQTTSASDPGPLMTKLPPLNLAECETRCRRDSKCVAFGYKFGGDRTCLQLTGLADGWWSPGTEMSTFLRVAASDDDPNPFTGMTDMIQTVCPVRLALPVPPKQARTTVRNVAIITALFAVELLAGVLSFWAFLRKYSQYREMARTLGLEYLPAGGPRRFSHAELKAATKDFSNVVGKGAYGTVYRGELPDRRAVAVKQLHGVGGGEAEFWAEVTIIARMHHLNLVRMWGFCADRDQRMLVYEYVPNGSLDKYLFAANKQPQPLLDLHTRYRIALGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLTSKRDKVTMSRIRGTRGYMAPEWVIHREPITAKADVYSFGMVLLEIVSGRRNYGFRQDSWAYEKVYVERRIDDILDPRIAASYDDAASVATVERMVKTAMWCLQDRPEMRPSMGKVTKMLEGSVEITDPVKPTIFCVQDD >Et_3A_026396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7676182:7679296:-1 gene:Et_3A_026396 transcript:Et_3A_026396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFRLGTAVEGKFQGNGGMMPSPRLLSPNHKEEATANGGGAARPVPLRPKLFPAGKPRKRAPIWQAAVFASVALNVALLLHNYIVVNQPATTAPPHHEHHEACSMHDEAGKSRAATARAPSTGKPAVTPDSVINLDHGDPTMFEAYWRETGDAAEIVIPGWQTMSYFSDVTNVCWFLEPGFDHEVRRLHRLVGNAAVDDGYHVLVGTGSTQLFMAALYALSPPGAGDPMSVVSTAPYYSSYPAVTDFLQSGLFRWAGDANSFTGDTYIELVCSPNNPDGSIREAVLASETGKAVHDLAYYWPQYTPITKRADHDIMLFTVSKSTGHAGTRIGWALVKDRAIAHKMTKFIELNTIGVSKDSQLRAAKVLKAVSDGYEVAEGKPAHRLFDFGRRKMVERWSMLREAAATSGIFSLPEETSGYCNFAGEMAATNPAFAWLRCDREDVEDCASFLRGHKILTRSGSQFGADPRYVRVSMLDRDDAYDIFIKRLASLK >Et_3A_025473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30051082:30063911:1 gene:Et_3A_025473 transcript:Et_3A_025473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFYYAAAATFLLLFLLHHLLMGRKKKQHLPPGPRFAFPVLGHLPLLKKPLQKSLADLTARYGPIVHLCLANRDAVVIGSAELAKECFSGDRDVTLANRVHLPSVREPRSTTPDYGAHWRNMRRVATVHLLSAHRVNIMSDNVIAREVRAMVRRLALASDAARGAAARVELKRRLFDLSHSVLMEIFAQTRNTYADDADADMSKEALEMKDILEEVLPLLGVANLWDYMPLLRWLDVNGVRRKLADAIGRRDALIYKMIDGERQKHLERKNGKADTVAADEKKSMIGILLELQETEPDVYTDTCIAALVANLLGAGTETTSTTIEWAMALLLNNPNILKKAQEEIDEHVGVNRLLDKNDLPHLSYLHCIINETMRLYPALPMLLPHKASADCKIHGYDVPTGSTLLVNAYAIYRDPTIWEKPEEFRPERFEHGKAEGKFMIPFGMGRRKCPGENLAMRTMGLVLGALLQCFEWSRVGDGEVDMTSGNGTIMFKAVPLEALCKPQAKISVVLQKMESFYYAAAATFLLLFLLHHLMMGSKKQHHLPPGPRFAFPVLGHLPLLKKPLQTSLADLAARYGPIVHLRLANRDAVVIGSAELAKECFSGDLDVTLANRVHLPSVREATFDYTVISSSNYGAHWRNMRRVATVHLLSAHRVNIMSDNVIAREVRAMVRRLALTSDAAAARVELKRRLFNLSHSVLMEIFAQTRNTYADDADADMSKEALEMKDILDEILPLVSVANLLDYMPLLRWLDVYGVRRKLSDAVSRRDTLIYKMIDGERQKHLERKNGKADTVAADDKKSLICILLELQETEPDVYTDTCIAALVANLLTAGTETTSTTIEWAMALLLNNPNILKNAQEEIDEHIGVNRLLDKNDLPHLPYLHCIVNETMRLYPALPMLLPHKASTDCKIHGYDVPTGSTLLVNAYAIYRDPTIWEKPEEFMPERFEHGKTEGKFMIPFGMGRRKCPGENLAMRTMGLVLGTLLQCFEWSRVGDGEVDMTSGNGTIMFKAIPLEAVCKPRANISAVLQKPPNLINHHFTSPQPKMESFYYDAAATFLLLFLLHRIMMGRKKQHHLPPGPRFAFPVLGHLPLLKKPLQTSLADLAARYGPVVHLRLASRDAVVIGSAELAKECFSGDRDVTLANRVHLPSLREATFDYTVISMSNYGAHWRNMRRVATVHLLSAHRVNIMSDNVIARELRAMVRRLARASAAATARVELKTRLFDLSHSVLMEIFAQTRNTYADDVDADMSKEALEMKGILEEILPLVSVANLWDYMPLLRWLDVYGVGRKLSDAVNRRDTLIYKMIDGERQKHLERKNGKADTVAADEKKSMIGILLELQETEPDVYTDTCIAALVANLLAAGTETTSTTTEWAMALLLNNPNILKKAQEEIDEHVGVNRLLDKNDLPHLSYLHCIINETMRLYPAAPMLLPHQASTDCKIHGYDVPEGSTLLVNAYAIHRDPAIWEKPEEFMPERFQHGKAEGKFMIPFGMGRRKCPGENLAVRTMGLVLGSLLQCFDWSRVADGEIDMTSGPGTIMLKAVPLEALCKPRVNISAILRKTYTDIYSTATRKKN >Et_4B_036961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1366856:1371136:1 gene:Et_4B_036961 transcript:Et_4B_036961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASADGLDQILKEAIDLENIPVEEVFHRLKCTEEGLSSDEAENRAAIFGLNKLEEKKESKILKFLGFMWNPLSWVMEGAALLAILATNGGGKPPDWQDFVGVVMLLLINSTISFIEENNAGGAAQALMANLAPKTKVLRDGRWSEEDAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGECLPVTKSPGSSVYSGSTCKQGEIEAVVIATGLHTFFGKAAHLVDSTNQVGHFQKVLKNIGNFCIITIAIGLCVEVVVMYAIQRRAYRHGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSLQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDSEQVEIFAPGVDVDDVILFAARASRMENQDAIDTAMVGMLADPKEAREGIEEVHFLPFNPVDKRTALTYIDLSDESWHRVSKGAPEQILDLCNCSENTKNLVQTVMNKYADRGFRSLAIARQEVPEKSKDSPGGPWQLVGLMPLHDPPRHDSAETIKQALHLGVNVKMITGDQLPIAKETGRRLGMGTNMYPSSALLGQHKDESTLSISPDELIEKSDGFAGVFPEHKYEIVKRLQEMKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMILIIAVLNDGTIMTISKDRVKPSPHPDSWKLNEIFATGVVYGTYLAMMTVFFFWAMKSTDFFTRTFHVKSLSGNNDEMMSALYLQVSIISQALIFVTRSRSWSFTERPGYWLCGAFVAAQIVATLMAVYANWGFAHIRGIGWKWAGVIWLYSIITYFPLDLFKFAVRYVLAGKAWDNLLQNKTAFTTKKDFGKEEREAQWATTQRSLHGLPTPQGDDMERGRRSGITYGSDIVMQASLRAELARAQEQQTLRGRMEVRARTKGLDLNEFRPPQYSL >Et_3A_026387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7557661:7561183:1 gene:Et_3A_026387 transcript:Et_3A_026387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGGGGGARRRRAWRWTMRAAASAVVWTAVVQLASIAGLFRPRVLADCGGGGGVSAAAGLAALAGEDSITERLSPPALVPKRIYRSNGYLLVTCNGGLNQMRAGICDMVTIARHLNLTLVVPELDKRSFWADPSDFGDIFDVQHFINSLRDELMIVKELPLKLQLRTKKRLYSMPPVSWSNETYYLKRILPLARKHKVLHFDKSDARLANNGLPIHLQRLRCRVNFEALRFTPQIEALGKKLISTLQRSGQFVVLHLRYEMDMLAFSGCTHGCSSEETDELTRMRYAYPWWKEKEIDSVGKRLQGLCPLTPEEITLVLKALGFTKDTLIYIASGEIYGGGALSWDEFSAAVKDAHQNRMGQPTERKMIPGQPKEEDYFYANPRECLGYNGAVALIMFVEVQFSESLLC >Et_4A_034155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30358440:30360111:1 gene:Et_4A_034155 transcript:Et_4A_034155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKVCRLLCYFFHLLPNCSE >Et_4A_031940.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31198239:31199248:1 gene:Et_4A_031940 transcript:Et_4A_031940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYGTSTYIPCDCEQIQRPMVDCRTAGLTAVVAPSTTRLWPLTKAAWSDARNSAASATSSARSTFPRSTLKGTVMASSSWGPTPMNPQLSGVATPPGDTQLTRTPCGPSSPAAARTRPSSACLDAVYEAGPNPPCSAATLAVHTMAPPRWPAPWARDTMARAACLTHAAAPRKLTAMTRSSSRRSMSITDPVGRSTPALLSITSSRPCAATTAATVASTCASSVTSQRMYRHDDPSAADAEAEAATASPSSSCTSAITTVAPLDANRRAAAAPMPLAPPVTMATLPSSLSPATKPKTMSMQQLERHARRSSN >Et_8A_057893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:914896:918299:1 gene:Et_8A_057893 transcript:Et_8A_057893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVDAEYLRQIDRARRELRALISVKGCAPIMLRLAWHDAGTYDVNTKTGGANGSIRFEEEYTHGSNAGLKIAIDLLEPIKAKNPRITYADLYQLAGVVAVEVTGGPTIEFVPGRRDSSVCPREGRLPDAKKGAPHLRDIFYRMGLTDKDIVALSGGHTLGKAHPERSGFDGAWTKEPLKFDNSYFLEMLKGESEGLLQLPTDKALLTDPDFRRYVELYAKDEDAFFKDYAESHKKLSELGFTPRSSGPAKSELPTGVVLAQSAVGVAVAAAVVIVGYLYEASRKTK >Et_1B_013094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5450509:5454282:-1 gene:Et_1B_013094 transcript:Et_1B_013094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAHPDLQLQISPPPAPKQMDIGFWKRALDSSPVATSSAAAATAITPPSMAIASSSPTGGVGFHPSAAAAAAVHHHHQGAGHLGALPFLHHTQPILPDSSGLREQLASMRPIRGIPVYNTSQPLPFLHSHPHHHHHHQHCYDGGIGPRSPSKAALRLAGVPVTKRGARAPRMRWTTSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKTTDHKPASASSYGQAASKTVIDIPDDNLFDVTNTTSGSESSAQQSNPDGNEHGSSMCALWSNSSTSRGAWFHDKSRDATPGDIKSFEDVQSRSLDDVSDLNSSPFQATGMLGRKKPNLDFTLGPI >Et_7B_055586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15863379:15863651:1 gene:Et_7B_055586 transcript:Et_7B_055586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAFNFTTAYINTTKLNDTRAGRAPLVMDWALHVRGLQKRDRTSISAIRTHALQVASATTR >Et_8A_056209.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:15853502:15853714:1 gene:Et_8A_056209 transcript:Et_8A_056209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAASLWGFWKLRNSICFQNGRWVSMKEMWKRILILIKNWASLCPGKNLEEYNARLLQLGEVTMRPERL >Et_10A_001331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23394169:23395796:-1 gene:Et_10A_001331 transcript:Et_10A_001331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAAVAQVTVARATRKKRKRLQDSAEVSSQTFGHPKVCNFRDKGITNKNIFWLDVTMYDAIMAVLMQVSQSAGICQGYLISGTPFWACSSVLLCSGCKAAATVFTFTIPAAATVFTFTITAAATVNSGF >Et_1A_004756.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:38423014:38424177:-1 gene:Et_1A_004756 transcript:Et_1A_004756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRHPAPTCSKMLRTAQKDYGTGIMLHRQKDLKLMSKGTHWRHPRRKHSQNYHQLVYCRSHHGLRVPHGMRNCKTLT >Et_10B_004344.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4362568:4362912:-1 gene:Et_10B_004344 transcript:Et_10B_004344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAGQTIDFDTAFTFKHMEAGGCLEEFAAAGSTCHDAADDMSAETVKSCVKKTAALRRCMVANEAYFRHYIRTLDEGLDDNERRGYGIKNEAVSVEETPFSNRWRWWYEMRRK >Et_1A_007355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33734726:33735595:1 gene:Et_1A_007355 transcript:Et_1A_007355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRRKTREPKEETVTLGPTVREGEHVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKIRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >Et_5A_041171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1925484:1933580:1 gene:Et_5A_041171 transcript:Et_5A_041171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVGRAVKKAFPGYGVFSGVVESFDTDAGYFRVLYEDGDSEEVEADEMAEILVGGPAMPPVPRTPVSAGRRPKKRRRVDEEESPARAEADGVVLAVPAAGGSSDGEEAEPTTPSASAAAVAVLAEKKRRPSPAPTPAPGSSMPLRRSARQAKAAERAAEMEAAAAVAAAADAAEAEAEAAATPQQSGKKRRRASGSGRYRSVSKLDLEEAAAEEKMPPKPELPPSSQVLDLGGLPVLDLFQVYSFLRSFTKELFLSPFSLETFVAALRCTHVNPLIDWVHFALLRALKSHLEVFAAEGEPSAVHCIRNLNWELLDLATWPIYLAEYLLTRGSDFRYGTKLTDLKLLSTEYYSQPAVVKLELLRSLSDDVLEIEAIRSKLSEADGSDEGVRSNGDLLPEGDWYCPECLIQKSNGFRNMANPMRGAEVLGIDPHGRLYFGTCGYLLVVDSCDVDSSCNYYGQIDLHSLVAVLKPCHSSYSSIVNAISSFCGTLIEVPSANGFGRYQSSKECSTSGVETDLRSALPLKQSSENEHLKVKQGNSFEELDSGKDCFSNSEQLDQKVSRQNITSRSALESGHGSEMIAEETLNQTHQNNGSRAKNNLSASNQKDSTQEKPSECYLHSDLTSYINFYSFGQIAASASEELKHKLSENKEGKKPAQDAVSFHLRSICKKYANIFALADQKLSVELLKEKCGWCDSCQISGGVDCIFRVTDVKCMEGPNPHAFGLRAEANKESHIVLAIRIILSIEERLNGLLTGPWQNPQYSDSWRKTVLMASDVASLKQPLLMLESSLRSIAISAEWQKPADSVEVVGSAAQILVRTSTKSLSHGGSARKPGRKSASNGELKVDSRDVGVYWWRGGTLSRQVFHWKRLPQSLASKAARQAGRRKIPTILYPDGSQFARRFKYIAWRAAVEMAENVAQLILQIKELEWNIKWTEIFSTLPSSLATKEAQKIARLFKKVIIRRKRIVGTNVEYLLDFGKRANVPPVVSKHGTKLEEPSIERNRYWLSEGHVPLNLLKAYEAKSVARLLKKKETDDPPKKTKKLRDSKSKKPKKSGFDYLFEKAKRLSIRRCGQCKKEVIDSEAVNCQYCAALFHKKHFKVPKGAADAVYVCNKCLAEKVLKVKSPQKKAAPKKNSPKKKQKKQTRKIVTRRNQIVLKYRMKTGKKGKRGRPRKNPLNVLKNEPPKVLESATSNVPKNEPVKRLSKRLYNKYMKGNSNTSERANSSRKRRRTPSHYSYWLNGLQWTHNPDDERARSFRKERLVFPSGDGEISELSPVCCLCEKCYSEKDIYVSCEQCEDWFHGDIYSITPESMNNIIGFKCHRCRQRNVPDCPYAQTDAIMKAQSEEEHGISKFVEDRCASFPEEIGAHCSQKELLDCNTEKRLNGHVTEKDPNGCLKELNDHSSLDEVVGHSTDEELYDNRSFKGLDAHNKMEGLDSTGFDNEFDDLEKRDSCACNKMEGLDSTGFDNEFDDLEKRDSYMTEKEPNNHNCVNELDIHNNLNGLEGHNSGEELNGTETHSGDFLAEHFNNISISSKETLVITSETGSGKEPRALQSKDDSEKSVPPEHDVDLQVVVT >Et_10A_001743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7645870:7650681:1 gene:Et_10A_001743 transcript:Et_10A_001743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGGLFRSDVLGNSSNAANGPEEIRPPDNNNNNNVSEKALEPLGSFPELESNDACVNTEKEESGISKCKSVEEIPRTASVKRCKNIDLKKVSSNNINNSSLTGSHSLKRQPRKGDHPAQLSENGISQDAKPPGTWICKNSACKAVLTSDKTFCKRCSCFICHHFDDNKDPSLWLVCSSESGDKDCCESSCHIECALQHRKAGCIDLGESIQLDANYCCAACGKVIGILGCWKRQLVVAKDARRVDVLCSRIYLSHRLLDGTIRFKELHQIVEDAKAKLESEVGPLDGTSSKLARGIVGRLPVAADVQKLCCIAIEKADDWLRLNVSSEAKQMDTLPSACRFRFEDITASSLVLVLKEVVSSQYHAIKGYKLWYWNSRGPPSTGEPAVFPKDQRRILISNLQPCTQYSFRIISFTEDGELGHSESKIFTKSVEIIHKNIEHGAEGCSTSAKRDGKNQSGKSSGFKVRQLSNVFRKAQAEENGYPSVFCKDEIEDSCDQSDSVIPDNQAACGASHKLNLNETSVPDLNAEVVMPTECFRNENGDSSAKNALTKSNGCGDSETCAEGHVGEAPAMESRSQSRKQTSDLEQETCADDSNPAVGPARLFSRRLGQLDDNYEYCVKIIRWLECSGHIEKTFRMKFLTWFSLRSTEQERRVVITFIRTLLDEPSSLAAQLLDSFEEIVASKKPRTVFLCFKIRLKTTQEPFFDFTKVIINFLFAIETGVVPHKIVCMPSTLANLAMHITKLTASVHVLLTAKAFSITMLDVPVAAKAFSITMLDVLVAAKAFSIIMLDVPFAPKAFGITMLNVLFADKAFSIAMLNR >Et_4B_036632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1009844:1012000:1 gene:Et_4B_036632 transcript:Et_4B_036632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVAKPETLPDGTVNLMTWHCTIPGKQGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPTEYKRRVRLQAKQYPALV >Et_3A_024335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19720207:19727006:1 gene:Et_3A_024335 transcript:Et_3A_024335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLILALRISLKDAVVTTPSSTLACSFLMRTPLVRANVFSSETGEWSLLPWVDVPASLDNDHSWLENDGGMQANGFLYWGYEDGRYLISLDTSTMEFSVTELPHYLKQCTFHVGETKDGATCIVYSDKLNVGILMHTKDDDGVERWVLDRVVPLDRELARVLRDGLEDDSEGYAYLVISVTGDDQTPHWFMSLCLETMKLERLFRRTFDTDVHPYIMAWPSSLVGNYGRIQLHGGKIAIIKGHPPLFAIASKTCKEFSEPMGTGNRRPQANPRTFRSWSKSSGHFLKIKCRGRWLRGIHDRRRTALRRQIRRDPPLEHIHDCEHGRPHVPVALDAAERHLHHRLHFRLVHKGQGRIHHFHELALGHFLPHDAGVVVSREVRRLRRRRPRLEVPATADELEEHHAVAEDVGLGGDGVDQHLRRHVPEGSPPARVHDGRHQVPPGHGHGDAEVRHMRPEVAVEQDVSRLDVEVQDLQPALVVEVGQRPGHVHGDAPPRRPRQEATPLLAVEQPPVQRAVLQERVDQVPAYPSGTRQ >Et_3B_029666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2688909:2695572:1 gene:Et_3B_029666 transcript:Et_3B_029666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAQDPLAAISASAPFPTIPAAASPRPRGARTRRHAAPFRSDHPAAVAAASSRRLGCDVSHRPASFAFGQQDGSQSMVSWGVSGDANFVFGSGVVGASETTKSISSGSGDASLSSLLSEVDKLALDGTGRQSDADTLGGDDSVPQMSASGSLGTSGGNSSFHEGSCPDFLDDQTEQLHEGSGCPSQTIRCETVESRPVASPAACSSPCIDENVSTEFAKSGDSMPVLNSVDEGIFPKDGREISAHGGDNQQNFFVFGQHSGDQQFTANANQADVSKVDSYDKEGVTYRSEQLNASSAKDSMHTKFILQGAKHAFGSSHKDAPHSEPREISAAHSHAVPSNLGYEDGSANVSFNNSTLEATKSSHDGTEFMFSAKTEQSGQSGFTFSASTSDQSTLPSQKRQNKKKVGGVSNHANSIQSRPTSTIGLAYSEVSASQRSIGSGAQWTEYREMEPKMVTFSKGVTCTETENFGHHGDCEAWRLRGNQAFAGGQLTKAEECYTHGIGSFAPTDASRKALMLCYSNRAAARMSLGKMREALSDCREAINIDSSFLKAQVRAANCLLALGDVEEAKKAFEMCLKSNTMSNLDYKIVEEASDGLQKVQKVSGFILQSKEYLITKEFDKISSALQMISDALSISIYSDNLMEMKAEALLLLMRYEEVIQFCQETLHLAERSSICFCPGEHSESNNLDSCCSVKLWRYHLIAKSYFFLGKLEEAQQFLKKYEQTKVMECRCGKQSQQSISPFSMAISELLRLKAAGNEAFHAGKYSEAVEHYTAALLSNTESIHFSAICFCNRAAAYQAMGQILDAIADCSLAIALDAGYSKAISRRSSLYELIRDYYQAANDLRRLISLLEKQMQENMSMPSEKTESVCSNLNRANLRLASLEREARKGATLNMYLILGIEPSCSAVDIKKAYRKAALRHHPDKAGKSLVRNKNMSDALWMEVTNEIRRDADYLFKLIGKAYTMLSEPTMVSITEF >Et_9B_064834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1969881:1971069:-1 gene:Et_9B_064834 transcript:Et_9B_064834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHMAVASHAIAAFILLLMSVAPALSGKTMAGPLRYDFYSSLCPKAEEVIRKTTSEIISEDPTMGAAFLKLFYEDCFNTGCDASLLLEPEKSANKVIRGKDAVNKIKAAVEAICPGVVSCADIVALAARDSTAISGGFSFPMPTGRRDALVSYPKDNSVTPSPDLTAYFSMSRFARRGLDVDDLVVLSGAHSFGIAHCGSVTYRLYQLGATDMGMNASDANELEKVCPPIYGAGLGPAVNMSRVTDPNVLSNQFYSNVLAGRVLFTSDQSLMAVNETAAMVAFYAANPLTWKVRFAAALVRLGVLWVLTGTQGDVRKVCNATNY >Et_3A_023546.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33755308:33755577:-1 gene:Et_3A_023546 transcript:Et_3A_023546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ISRHRRQPSRALPLDFNVDDDGQEAAKGATSLDGSETPRASNGGGRRGDAGKGLEGQAGKKPPAATGSRTSSEGIMGNKSHDDAIGGSR >Et_1B_013064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5105209:5108037:-1 gene:Et_1B_013064 transcript:Et_1B_013064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATSGGHISLASRVPSRGLRPQLAFPAQAARPIPAAPCSVARRGFAAAAVSSPVAVSSGKDVKQVPKDFLHINDFDKETIMKILNRAIEVKAMLKSGDRSFQPFKGKSMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPDDIQMGKREETRDVARVLSGYNDIIMARVFGHQIMADALTMIEHIGRIENTKVVYVGDGNNIVHSWLLLAAVLPFHFVCACPKGFEPDSKTVEIARSAGVSKIEITNDVREAVKGADVVYTDVWASMGQKEEAEYRKQKFQGFMVDEALMEIAGPQAYLMHCLPAERGVEVTDGVIEAPNSIVFPQAENRMHAQNAIMLHVLGA >Et_7B_054590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2609316:2609970:-1 gene:Et_7B_054590 transcript:Et_7B_054590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARAIICELAPQVPASPPRRRDAGKIVLQPRLCTLRSYGSGVVTRRMLAAGEEEGSGGADVGGSSPFFASLADYIESSRKSQDFETISGRLAMLAFAAAVAVEVTTGSSLFKKLDTLEIEEAAGVCVAVVACAAAFAWATSARNRIGQMFTIGANAFVDSLIDNIVEALFSEGELQDWSDDL >Et_2A_018798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7911458:7912922:1 gene:Et_2A_018798 transcript:Et_2A_018798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASTDSTTAPTPHVLVVPYPAQGHMIPLLDFAGILASRGARVTVAVTPATAPLLAPLLSAHPDGAVRALILPFPPHPALPAGVESVKNLPPALLRRAHRRLRGAACPARRLGPRPDDRVVAVIADHSCGWAQPMAADLGVKGIVFSPSGACGSAVLHSLFRRMPRREDEDDDESLIVFPDLPGAPAYPWRQLSVQYRAYREGDEISEAVRQNFLWNLQESSAFVCNTFRRLEERYLAAPLADLGYRRVRAVGPVAPDADAAGGRGGETAVSVAELSAWLDRFADGSVVYISFGSMAVLPPPHAAALAAALESTRVAFVWAAGPSAALLPDGFEARVANGGRGLVIRGWAPQLAALRHRAVGWFVTHCGWNSVLEAAAAGVPMLAWPMTADQFVNARLLVDELGAAVPVSWGGLTATPSADEVARVLDAAVGGNGGRQWGDVAARAKELAAEAAEAVREGGDSWREVEELVRDLRELARQQ >Et_3A_025965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34262267:34264973:-1 gene:Et_3A_025965 transcript:Et_3A_025965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTAEKAALEAGSEGSGATVLNLSHRALSDVSCLSSFKNLERLDLGYNCLVTLEGLSSCVNLKWLSVVENKLVSLKGVEGMSKLQVLNAGKNKLTKMDEVTSLTSLGALILNDNNISSICKLDRLQQLNTLVLSKNPVVTIGDALVKAKSMTKLSMSHCQIESIGSSLALCVELKELRLAHNKINKIPSDLIKNVKILNLDLGNNLIERSSDLKVLSELRYLRNLNLQGNPISEKGNLVKKDKKKQSKQQLKDPEEHDAASAPSKSEVLHGKGRKVEKLGMEHANNSKLKRKGDKTSTIDTDRKDKTEAKRKKFVDEDDDEVDNTEMSFADLVFSKVDSSEPKLKDKPQEADGKYEGLVIDHTKKRKKSKGAVTISDFSTLKMLSSVPEVGAGGLGLSGWDD >Et_7A_050863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11738777:11743386:-1 gene:Et_7A_050863 transcript:Et_7A_050863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSHACSVLASLTLLWLGIAAAAQKAPAWKTLSGNAPAVIAKGGFSGLFPDSSQVAYDSVNLTSSPDTILYCDARLTSDGLGFCMPDATMDNCTNIKELYPQGQKSYQVNGVHTAGWFSVDYNSTELARVSLKQSVFSRTPRFDFNFFSILAVEDVQSKFKPPAIWLNIQHDSFYNQFNLSMRNYILSVSKRVVVNYISSPEVSFLTSILGRVSNKTNLVFRFLDETTPEPSTKHTYGSMLKNLTFLKTFASGILVPKHYIWPVSPDNYLQPHTSVVDDAHKAGLEIYAADFANDFVFSYNYSYDPIAEYVSFVDDDAFSVDGVLTDFPVTASAAIGKPLIISHNGASGDYPGCTDLAYQKAVDDGADVIDCPVQVTKDGIPICMSSINLMDDTTVATSQFASLTSVIKDIQSEPGVFTFNLTWNDIVTNLKPKISAPFYSFRLDRNPRYRNVGKFMKLTDFLDFTKDKDLSGIMISVEHAAFLAVELGFDMVGAVLKSLDDASYNNHTAQKVLIQSTNSSALVKFKEQTKYDLVYMVTEEVRDASPSSLADLKKFASAVSVDSSSIFAANHNFTTYQTNIVHTLQTAGLSVYVYPLMNEFASQAYDDFSDATAQIIAYVQGAGVDGLITDFPATAWRYKLNNCMNMGKNKPIFMDPAPPGGLITLIAETARPPAMAPMSLLTGSDVAEPPLPAARSSNGTTPTQSRASKTHAYTTHIPILVTLAMLSAFCPLL >Et_5B_045189.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:11310748:11310993:-1 gene:Et_5B_045189 transcript:Et_5B_045189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAADALLRSGLRPESLLRHVAVVMDGNTRWARARGLPAADGHAAGGRNLERIVGLSRAWGIRALTAFVCSQENLNRPKA >Et_1B_010759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13868471:13870936:-1 gene:Et_1B_010759 transcript:Et_1B_010759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEKPAAVLRAEELVEREMSGRDASHDASHALRVRDLALSLAAEEGLSAPDRLLTVELAALLHDIGDYKYTKDNVEDMSIVDAFLDELGLDEGKKGEIVAIIKGMGFKNEVSNKLITEHSLEFAIVQDADRLDAIGAIGIARCFTFGGIKNSALHDPKILPRDNLSKEKYMSKGEKQTSINHFHEKLFKLKDMMKTEAGKRRAKKRHKFMEDFVAEFYEEWSGRA >Et_2A_014772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13702625:13703052:1 gene:Et_2A_014772 transcript:Et_2A_014772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWADISEVLDKEIGSNFESVASLWLCDKKFGIVNMISSAALEWDVDDLGHDHPNGGKLASSMSSWENGHTAAAYCWVEGDEEQTWQNYDGSATYNIRKLKTRNTSLTEMDQAIMGECFGSVQKEM >Et_1A_009079.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28463398:28463856:-1 gene:Et_1A_009079 transcript:Et_1A_009079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSADVPKARSMVSSGSHDGTSTPWPRLSASYKLSSATPDAIGTPTKNRIRRRMRSNTGCGSSSSSSSSSLPPPPPLPALALGSEARISSCCCRRSVSCTRLRSSSFSFRVFFLDGAAAAESVLMLMLLVPSVAAERTAEARRRLDSGGTAT >Et_9A_062706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3426948:3431143:-1 gene:Et_9A_062706 transcript:Et_9A_062706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTDAARVEPVAEQEEEPNKVEPAAAAAAAAEEKEEEPKKVEVGAEDEEEREEVRLEGKDGAFGSPEAENGQAEVDGGRADDGEVEAAEGDEKGGSLGVVEAEKEDMELAAEIAEVAAAAVAETPATGVESVNGEFGEEEASPAPPDAPTGEEKGELVEEPKPEESAVVAEVKDEDKVADDAESTVPVEPVEEKPEETKGEESGSGDGGGGELGGGKEDKEVAVSAESVEAAEPEDEVAPAAEANGELVGEVEASDDTVAVGGEKASEESLEKKVDVEAEATKPEPANEEIPVVANDASVEVLVPASEDANPEPVTVEIPASEDTKPEPLSEESPLVSETVAAQVLYRLSLAEGIRHGRQTNRAFSLDNARKKALLLEAEGKEDLNFSCNILVLGKTGVGKSATINSIFGEEKSKTDAFSSATTSVREIVGDVDGVKIRIIDTPGLRPNVMDQGSNRKILAAVKKYTKKCPPDIVLYVDRLDSLSRDLNDLPLLKTITAVLGSSIWFNAIVALTHAASAPPEGLNGAPMTYEVLMAQRSHIIQQSIRQAAGDMRLMNPVALVENHPSCRKNREGQKVLPNGQSWRHQMLLLCYSSKILSEANSLLKLQDPNPGKLFGYRFRSPPLPFLLSSLLQSRAHPKLSPDQGGNEGDSDIDLDDYSDMEQDDDEEEYDQLPPFKPLTKAQLARLTNEQKNAYFDEYDYRVKLLQKKQWKDEIRRLKEMKKRGKTDLDDYGYANIAGENDQDPPPENVSVPLPDMVLPPSFDCDNPTYRYRFLEPTSTVLARPVLDAHGWDHDCGYDGVSVEETLAILNRFPASVAVQVTKDKKEFSIHLDSSVSAKHGENASSLAGFDIQTVGRQLAYILRGETKIKNIKKNKTTGGFSVTFLGDIVATGLKVEDQLSLGKRLALVASTGAMRAQGDTAYGANLEARLKDKDYPIGQSLSTLGLSLMKWRRDLALGANLQSQFSIGRGSKMAVRLGLNNKLSGQITVRTSTSEQVQIALLGLVPVAASIYRSFRPSEPSFAY >Et_8A_056567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12515823:12518641:1 gene:Et_8A_056567 transcript:Et_8A_056567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVVARISRQGVASAVAAAARRRPAASSLIAAAEPCASIKVVPMFNNLGRYSTSTFQRFGFSTSAPQHDDKDTNKSAGVNTEASNEADNMPGAEKAHDAGSHDSVSQSNRRRRATKRTAFSDSDSEDLDLSKEDLVKLLLEKDESLKSKDLEIQDMKDKVLRSYAEMENVIARTKRESENSKKYAIQSFSKSLLDVADNLSRASSVVKESFSKIDTSNNSDEAVPLLKTLLDGVEMTEKQLGEVFKKFGVEKFDPLNEKFDPNRHYALFQIPDPSKPSGTVAAVVKVGYMLHDRVLRPAEVGVTEGGPTEEEPAEESSKSE >Et_2B_020628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22084459:22089781:1 gene:Et_2B_020628 transcript:Et_2B_020628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRIPSSSHLPCINIKLHLHQTMKLGGHGRQMGRLNRAFQEKRARFYIFRRCVVMQGSDVQLRRLTMSPYVYKCKTTDIKSLVDVMPAEEHDNAPADDVESGPMLFQGSGHLPLLLALANSPHGYELTTGELRSRVGHFLSDDCGWSSLWCTAWREARFPLVASVRPEAARKDGMSTRIIRAAGISFDRKHQCSSATEYLILCIAEAQLVGSHGPGKEAAQGEAAEGAARAEGQALHHPPMRRHAPLLE >Et_10A_000553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12709974:12715643:1 gene:Et_10A_000553 transcript:Et_10A_000553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVYSSCSGRKHEEWDGYDQDVIDSIFRIPGDGMVAGRKEQCLQLWIRNSTGEWVIKKQFSLMNERMKKLRRDEWMKRVRILAARAGYVYMEFWSIRKSHSYLLVLNLRTMKMMTFHNDSDEPYRGPAFPFFM >Et_4B_037970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25148464:25150861:-1 gene:Et_4B_037970 transcript:Et_4B_037970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLVTMQGVSRQCERLPRASGVLPRAGRTTLQELLQHKDHSCSWFVPLISKCFIHRYMSDTMAVNNLHSIFLYAVFFVVSRKSTFHKFKAGNRRRRAGRAERESTKPRGYRSTRKAPALKVVAAVDAKDESLHRWTTSSGATRTFAALVVAYAQHVVEPFLCPVAARTVLVLASTSPLKFLRAELTGSLTFSNCVCVCVRADRGVGVHAEGAEGELVEDRSARAETSANRNSTN >Et_2B_020907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24734890:24738902:-1 gene:Et_2B_020907 transcript:Et_2B_020907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQGKPSRGSPARSDGPRGLDRLMRDNAYRPVAPGATRLTDPLPVAAGKEPAHARRSGAGAERKVPPGDDDEEAGSAHDQQQLAPTTPPLPPRREDELVDGWPTWLLDNVPREALEGIVPKSADAYDKIEKARTNVYKARERGTGRIVALKKVRFDTTESESVRFMAREMRILQRLDHPNVIRLEGIATSRMHRSIYLVFDFMYSDLTRIIARPEQRLTQPQIKRYMQQLLSGLQHCHERGILHRDIKGSNLLIDRHGVLKIGDFGLANYYGGTRRRPLTSRVVTLWYRAPELLLGATDYGVGIDLWSAGCLLAEMFFGKPLMPGRTEVEQLFKIFSLCGSPPDDYWRKMKLSATFRPPKAYKPTMAERCRDMPPSALSLLTTLLALDPAARGTAAQALQSDFFSIPPLPCDIASLPVVYKEEVVDPSTSHDGRKPKLRQRSHRRKDGKLKTEEEQPADEPKINSGSPDNEVDRVTDTARSGRESESAAVAIASSSVQESQEDTIVNASSSTPPKQFSVSPVQLLPQPQEPSPAPNQDQPPQRASSSHHHPGSDEDHERHEALLSPDYDQADGEPSGSGSVIVLDRSPEIRPASMTDYEAAVAALRGSGELAAKQYVLVDHV >Et_7B_054249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18231279:18247588:1 gene:Et_7B_054249 transcript:Et_7B_054249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVTWWAVLLLAAAGLIGARADRLPSSAPATLDRNRFDGGGRRNGDGGGGGPEEIVWEFPCQNYSATSKSCEELNGSGSFNTTCVISSSSSLDGDLCVYGDGSVEIQPHVKIICPVRGCYITLNVSGNIRIGEHVEVIAGSVSLYAVNVSLQQHSTVNTTALAGDPPPQTSGTPHSLEGAGGGHGGRGASCKVSNDTNWGGDVYAWSTLGWPWSYGSMGGGMSADQFGGYGGGRIMLRASDFLNVDGHVLAKGGVGSLKGGGGSGGSIIIHAFKIYGNGTISASGGNGWGGGGGGRISLDCYSIQQDLEITVHGGQSFGCAQNAGAAGTIYDSSLQTLKVSNGNFTTHTETPLLGFPMTRLWSNVLVESNAKVLVPLLWSRVQVTGQIRLLSKGSICFGLSENPISEFELVAEELLMSDSVIKVYGAFRMYVKVLLMWDSKIQIDGGTEDVVLSSMLEARNLVVLRHGSVISSNADLGVYGQGRLNLSGPGDGIKARRLFLSLFYNIEVGPGSLVQAPLDEAVQSSLDALSRCESKTCPSELITPPDDCHVNSSLSYTLQICRVEDITVSGIVRGSIIHIHRARTVTITTNGTISASELGCREGIGKGEFLKYGAGGGAGHGGRGGTGIYNGMKSLGGQVYGNADLPCELGSGSGNSAAPADNTAGGGLIVIGSMKWPLSRLEVSGSLRSDGESYRPNGNSNSTFKGGVGGGSGGSVLLFLQGFLLQKNSSLSASGGNGGVHGGGGGGGGRIHFHWSNIATGDEYVQIASVNGTVASRGGTGNDDGRFGEDGTITGKKCPVGLYGTFCTECPVGTYKNVVGSNSSLCYPCSLDSLPNRADFIYVRGGVTQPPCPYKCISDKYKMPNCYTPLEELMYTFGGPWSFAIILSFTIILLALILSAIRIKIGESDITYRATNAIHNDGCSSFPFLLSLAEVPGASRAEETQSHVHRMHFMGPNTFREPWHLPYSPPDAIIGIVSIWWLHMSGGKDLYIVYSPFLPILVPGLGSNGAEERRFIVFRSMLNQNTTIRVSAHADHVGSTPDLMVAYIDFFLGGDEKRLDVASTIQKRFPMCLIFGGDGSYMSPYYLHSDTLLSNLLGQYVSTAIWNRLVAGLNAQLRTVRKGSIRSTLGPVVSWINSHGNPQLERHGVRMELGWFQSTASGYYQLGIVVAVNENFYKSLHHHEHVPEFGERSRKKIAALLQNSNEANQDQPCTSYGISKKRLTGGVNGGIINEGTLNSLDYKRDYLFPFSLLLQNFRPIGYAETLQLLICILLLGDFSITLLMLVQYYWISVGAFLAVLLIPPLALLSPFLAGLNALFSRGPKRSSVTRIFALWNITSVVNIMVAIIYGALYFWLSSLVASSVHHVSNAKSFKSREDNEWWVLPAILFLVKSLQAGLINLHVANLEIQDYSLFSLDPDSFWAM >Et_1A_006574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24640255:24643356:-1 gene:Et_1A_006574 transcript:Et_1A_006574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMSLSSPGLAGKAAKKIAPSGVFGEARVTMRKTAAKAKPPAASGSPWYGPDRALYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEKFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHIADPVSNNAWAYATNFVPGKAKMSKQDKRGESRITVDILLGLIRFLLGLSDVRCLGGGIKFAPALKSTVSMSGIGKIVPEYVKW >Et_7B_053331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8908130:8909446:1 gene:Et_7B_053331 transcript:Et_7B_053331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSIQTADVDPDPLAGDAPVHDEHHVVARRRQDRFRRHLHGRLVDARRQGGRRLRVEEEQPLALGERVRGEGRRHQCSREHARVERFLDPEEHRQARPVRDPYGVADGRRRERDLGAADVVGGVEVVRRERLDGDLGGVLHVAELVELVRVAAAHEHAAVAEEQRRRVVHARPRGGARGALLLEPVTEGTVGTVDDGPVGLGLGGVPPADAVLGAVEDGHLAVGQRDHVPHDPRRRHELDLP >Et_3B_029228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23220747:23223811:1 gene:Et_3B_029228 transcript:Et_3B_029228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TLSAKAPAPATVVTQARARFPSSQRASRRRLCGRAASSGAGAAVASVDDAKKDVLIALSQIIDPDFGTDIVSCGFVKDLEISESLEEFETKANEVVAALPWVKKVNVTMSAQPAQPVYAGELPEGLRKISNIIAGGVGKSTVAVNLAYTLAGMGARVGIFDADVFGPSLPTMVSPENRLLVVNPESRAILPTEYLGVKLVSFGFAGQGRAIMRGPMVSGVINQLLTTTDWGELDYLVIDMPPGTGDIHLTLCQVAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKLLRTCVTLMLMESVITHLDKVVQQFGIPNLFDLPIRPTLSASGDTGIPEVVADPQGDVAMTFENLGVCVVQQCAKIRQQVSTAVSYDRSIRAIRVKVPDSDEEFLLHPATVRRNDRSAQSVDEWTGEQKVQYGDIPEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLEMLERLVDAPRAATSAVASS >Et_1B_010953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15871238:15872309:-1 gene:Et_1B_010953 transcript:Et_1B_010953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMVMLLSRHGGDGGRGIPQALSDVLVCPLSKKPLRYCEASGSLVSDAVGVSFPVVDGIPSLVPKDGKFLEDDQGKSGQEQKL >Et_5A_041432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22618034:22619707:1 gene:Et_5A_041432 transcript:Et_5A_041432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIHSTLWCLLLVLMLPAVAVSAGTCYYSDPWVPFCNKWLCKSECWMETKLIFQNVVVKEHKCIKGGLKGNVFWHGN >Et_4B_039564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22150338:22152003:1 gene:Et_4B_039564 transcript:Et_4B_039564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCASSSSQVTDTITSSRPSSARRCLIADTSAPPAISDNLAARSRKDAARDTARTRVSPLTHRSAPPGPHGTREAAAVAAHPLHARVGRGAGFTPRHEDKFSMQEPSASSLELPDRPSLVEVHGGLGVSAPRRCCLLCNGHGAGVLGHVGECVHRRRRRGRAHILAVADTAALVAPDWVVEELPHTELLRALDATHRDWIAAGTPLELRVHSEHQLTLGGNPGLRRCTSPPPYPKALVVATNTRKAALGRVARRRSYSSRMCASSSSQVTDTITSSRPSSARRCLIADTSVPPAISDDSLAARSRKDAERGTTRTRVSPPTYRSTPSGPQGRRCPPWLQVIFILASSTAKDTLPPP >Et_2A_017072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30751645:30754586:1 gene:Et_2A_017072 transcript:Et_2A_017072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGCDWKRLGQMNVHGLDGPYDGIVQQNPRGLLSPILPDDLQLRCAAAPPSAATLKRATRLPFLNSLHAQIGELLQFPRRGAPHLLPERRLPPKGLGRYARPGLLDVFLLLLLDVFLLLLLLPGTHRVPAEDVADIQVAVRRRDAATSTAAATALLLPVGSHNRPGAHHATSSRQLTLVAFISVRSNKAGKRWQIS >Et_3A_024498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21294448:21300348:-1 gene:Et_3A_024498 transcript:Et_3A_024498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTQSLQAEVDELRASLLAAGWRRKAGHAGAKRAPGGEPGAAAARTVCVTGGISFVGFAVVDRLLRHGYTVRLALETQEDMDKLREMEMFGEDGRDGVWTVMANVMDPESLHRAFDGCAGVFHTSGFVDPGGMSGYTKHMASLEAQAAERVIEACVRTESVRKCVFTSSLLACVWRQNYPHDRRFPTIIDENCWSDESFCRDNKLWFALGKTAAEKAAWRAARGRDLKLVTICPALVTGPGFRRRNSTASIAYLKGARTMLADGLLATANVETVAEAHVRAFEAMSDNTAGGRYICYDHVIQRPEEFAELERQLGLPSRAVAAQGTDDGPARFELCKRKLDRLMSSRRRCTYDTYYSVAFD >Et_8B_060492.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:18022030:18023148:1 gene:Et_8B_060492 transcript:Et_8B_060492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVASRREKKEEEELMEYLSPEKVEVLAHLEPWAEANILPLLKPADAAWQPSDLLPDAASLGADGFHAACLDIRARAAGVPDAVLVCLVGNMVTEEALPTYQSVPNRFEGVRDLTGADASAWARWIRGWSAEENRHGDVLSRYLLLSGRVDMRAVDRTVHRLIAAGMDAFGGGGPAARSAYHGFVYVAFQERATAVSHGNTARLVGGEGSGDAALARICGAVAADEKRHEAAYTRVVAKLFEVDPDAAVRAFAYMMRRRITMPAALMTDGDGGEGNGDLFARYAAAAQQAGVYTVSDYRAIVEHLVRQWRVEELAAGLTGEGRRARDYVCGLPRKIRRMEEKAHDRAVQARKRPTEVPFGWIFDRPVSVILT >Et_6A_046259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11566028:11577002:-1 gene:Et_6A_046259 transcript:Et_6A_046259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVAVVLTYCFAELKCQKPSLMERTVNSLAYKGSISDAINQSRQEKKLFVVYISGEDEASGNLENSTLVDEHVVDVIGRCCIFLHLKQGNIDAAQFSAIYPQKSVPSISVIGLNGVMLWNHEGHISSENLKECIEKAWAALHLQETAATLLTASLGSRNAESVNTTSTLPPQQVSSNSENPSASSSQRADIPGASGVAHSTELMSQLPSGAICDEPHGKNEKASSQSVSDPSDRTVEKLDLASSEVKNDSPGSSRSSSTGCSTDPKEMVNTSSPRRKNEVNRSCTPVPLEASPKTITSGLSSQLLVEQDKATTSSAPDEVSNSAKSDDIHLSIRMPSGNRLEIKLTNQDVLREVKNFVDENLGRGVGSYDLSLIYPKRVFTGKDMEATLCELGIQNRHAMMVVPHRQSAQVPRPQSSSRTYDAGENSGGGGYFGYLRTVLSYANPLSYLRGNPTPSNPELQANDGRQQHRTSSAQWSRPGMETASERQPHPGNSSQEATQESPANTLRRRTRPFGANVHTLQSEDQGPSDDRNVFWNGNSTEFGGDDRNTHAHPVLNCAINSTTMKLIC >Et_7B_053638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10590451:10597198:1 gene:Et_7B_053638 transcript:Et_7B_053638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRSVEWRGARRMPTEQRRHMPPFHLPPESESSFFTDELRLRTEVAQLDSPNYRFPPFMHWKQVGVFKQSCFPENVDNSSSVLGNQSIGAKVVDQLDNNQPYKLMDRESSFVEEKLLDQNWHVKLQPSCWRDVQDRTHQQDSFTKSLALPSEGRNGDLNGTQNEFGFFSTSLPDIFDKKIRLTSNNGVTGHLVGEVYLNNGDDEPFELTKEIETQIIGNLLPDDDDLLSGGADGVGYASRTNNQDDIDDDIFYTGGGMELEGDDNSKLSVGNIGANNGQTMINGQLSGEHTHRGPPSRTLFVKNVDSNVDDYELKLIFEQYGDICTLYTDRKHHGIVMISYYDVRSAENALKGLQGKPLGHTKLDICYSNPKEYTLEKDINLGTLALFNLDPSMTNEDLHRIFGCYGEIKEIAGKGHHKFIVFYDVRAAEAARNSLKRRDISGNKIKMERSYSGGTNRVMQQISHELEQKRFGVFRLGSPSNPPSTFLGSVNTASIMSTGPESGTVRILSSRVQAPINQFREGRFLDLPSTAIQNMSSPVGITSARAQRNHFTHSEISRSLGKMNEHTNGHVNHGFQETNAFPHSLPEFQNGLNNDITYNLSVISPAGVKSNPEAGEAMVRRHIYKGISGNLSSNSSGHTDGLSRVGSCPLHSQHLARNYSYLHRQPSSPMLWPRTSPFINKIPSHPLAQPHGISRAPSRMAENILPMNHHVGSAPAVHPPNWDRRRGYPGEMIVAPGFHPGSAGSMVFPGSPRLHEMELNSMFSQTGGTFMESMSPAHMGTQSPQQRGNMFHGRSRMIPHPSSFDSSSERMRSRRNDSSANQSDDKRQYELDIERIARGEETRTTLMIKNIPNNPEQIIPFYKTFNGKRWEKFNSEKVASLAYARIQGKSALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPVGTNIRTRPGRARILSWEENGTPPKRGGEAPGYIARDTEPTAVA >Et_1A_008520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8342204:8345826:-1 gene:Et_1A_008520 transcript:Et_1A_008520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQSLTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKETIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISSNNIEIGVIRADREFKVLSPAEIKDFLEEVE >Et_8B_060306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:934084:938606:-1 gene:Et_8B_060306 transcript:Et_8B_060306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASEEVAAAWEKAEAKEERILVSVRVRPLNSREAGDSSDWEVFGPSCSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGVTEYSVLDIYDYIDKHPEREFILKFSAIEIYNEAVRDLLSHDTTPLRLLDDPDKGTTVERLTEETLRDHNHLRDLLAVCEAQRQIGETALNETSSRSHQILRLTIESSARQYLGRGNSSTLVACVNFVDLAGSERASQTNSAGMRLKEGSHINRSLLTLGKVVRLLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAHAHIEQSRNTLLFATCAKEVVTNAQVNVVMSDKALVKHLQRELARLENELKFPGSASCTSHTEALREKDEQIKKLEKQLKELMEERDTVQSQLNCLLTREGDDHGDERTAQQWDERSRSSESVARNMSEDTLSVADTCGIAHQDQHYASFHESYVSSNDHDDSAFHGETREAPRQKWDQKMISPWHPSSNCSSDGIELYHMEEATSRTASEISEEHCREVQCIEIHEHVRSRSHEFNELLPEDMKLQTPEEEMIGKDSAPQPDEGKELECITKKIEDQIRLYSSKDEQQAENIAKIEDDAVQTHQCESNGITNNVVKLYTSDSNLPFDINKPYPRECLSMKRRIISSKDIALARSNSCRASFMVIPNSWFDDSENNIRTPPDEVFRYVPRRLDKVRRSLYTDNDDCQNNDHLLDCSEVSCQVASDEVVKDMSISDEIAKEMSSCVEVSREMNTCGEVSKEMSTCGEVAKEMSTSGEAAKAMSIYDEGQETIVNDISCVMELEENTKNRHGDQPKEFQEPVLMEAFRDDSAAVKTVKDVGVDSALSPIRSPHATIDFEKKQQHIIELWHECNVSIVHRTYFFLLFKGDPADNIYMEVEHRRLSFIRSSFSAQHTAEGELNSAIATSLKNLRREREMLFQQMLKKLSNDGDKESVYSKWGIDLSTKQRRLQLSRLIWTQTDMEHVRESAALVAKLIDVLEPEQALKEMFGMNFTLAPRADRRSFSLSGSYSMK >Et_5A_041981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4811279:4815136:-1 gene:Et_5A_041981 transcript:Et_5A_041981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAGAHTSLLVKDDASCHDEESQSLLEPHQGLQLKSNKCPDWRAPALVLGLECLESMAFNGIGTNLVVYLRSVLQGGNASSASTVSLWYGTSFFVPILGAAIADTYLGNYKTILISLIMYLLGMVLITVTTFMAYSPDLCNEISCLSSNGVQTTLFFAALYLTAVGCGGLRSALLPFGADQFNTENSLDMQKRRNFFSSFYICVIFGVITSGTIIVWVQENVSWTIGYGIATACIGLALIGFVVGTPVFRQHKPSGSPVKNIFQVIVASFRNMGLEVPADSSLLYEVRSKNTQRRPKLAHSDDFRFLDKAAIISDQSLTNGSCEGSWRLCTVTQVEELKILIRLLPIWVTGILFGAAISQMHTTFIQQGTVMNTKIGSVSIPPASLYSFEVICVTLWVLVVNKVIVPATRTYFANGAELTQLQRIGIGRFLMIFAMALAALLEMKRLESVQAGKPLSIVWQLPQYFVISGAECFAIITQLEFFHGQAPDSMKSMLTAFALLTTALGNYLSSAIITFLASVTRVWQSPGWIPDDLNQGHLDYYYWCLTALSLANFFIYVYFASKYKLKKE >Et_5B_044300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22396556:22402353:1 gene:Et_5B_044300 transcript:Et_5B_044300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLSSVGVLAVMVAAIAFAAPRCAAQTSGCTTSILSLSPCLSFTTGSSSAPSSPCCSALASVVQGAPRCLCAVLGGGATAALGVTVNTTRALELPGKCKIQTPAVSQCNAVGGAPAATPGAGSASPAAPAPTAEAPAAPAPAAHSTTGAGSKATPATGAVSSHAGVTKSAAVSVSFVEGIVSGLRMAARKIGTAAVISLTVVLLASSQAEAQSNGCSSVMMTLSPCLDFISSKSPSPGISCCSVLAGIVQSDPRCLCMILDGSAASLGMSINQTRALELPGVCKVQAPPISQCTGPQGAVTPTPSSGIPATEEEEADAAAEAPSGEVLQTRRPFFSNTKWQTNFYIQLYRMKIWKHNLELHKLKEYSKFNGRNAYACLLEMKPKGTNKRGKPKRRNDKKTGEQEKEMEKEGGEKDPFSRAKALVPSILAAFCRALKLSIGADRFFPSYGVISHGSRG >Et_2B_020423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2061450:2064685:1 gene:Et_2B_020423 transcript:Et_2B_020423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRVGGSRRRPAVEERHTRPQGLYPHPDIDLKKLRRLILEAKLAPCYPGTDDPRADLDECPICFLFYPSLNRSKCCAKGICTECFLQMKSPTLCRPTQCPYCKMLNYAVEYRGVKTKEEKGMEQIEEQRVIEAQIRMRQQELQEDAERMKNKQTAASTDTVAAVQVECCDTGATSTAVASSELGKDTLSSQVQQSELLLRNSEALKQMRGGNFDMDLEEVMLMEAIWLSIRDQEALGNQGCVGVASPTNPSRAFGGSVTTTAEATASGGFACAVAALAEQQHMHGESSSVATCQTTSFDVLSRSDRSSTEDLSIVGSSSSDTRAEEPSSSRTYQTREGSEYSNDRWSEVAEAGTSCAGSDVTESGGANLAGSVGSNVGSGSVPESFEEQMMLAMALSLVDARARVSTQGLTWR >Et_9A_063312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19748747:19749467:-1 gene:Et_9A_063312 transcript:Et_9A_063312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDCLTFVEKGSTARRPDAPCCPELAGLVGSNPVCLCELLSGAADSYGIAVDYARAIALPGICRVSTPPVSTCAAFGYHVHLGPAAAPTSGMPPADEGPQFPGTAPFASPPTTTSHATRRFSSGHLASLAMLPLAAAAISGML >Et_1A_004638.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:24569260:24570385:-1 gene:Et_1A_004638 transcript:Et_1A_004638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAFLLFLIARNKHQYNRSDVAITYLLFIGGFGLEVCAFILMAMSPWSWAFFNVRMCERIARGIWILLSSRIGWPEKRILWSNSMGQYNFLGSCVSHHQVRTSSEVHIKIRKIFSAVEKKLFVRKLRHAKHIKVNKDIMADVVTWVGRVAREEFTRIVDHQHWVHLRPIIKATLYPTATSFGDNIIVLHTYTELHLRKCPKDDAISMDTETKSNTDAIMDTCRQISNYMVYLLVVQPYMLPLSGTAQDTLAKFYEKINKNNERTEQDVLDTAYQLVEDLLEFGYEECLQEQEEPGPWCETLMEIRHMWMRLLIYAAGKCQVELHAQQLGRGGELLTFVWLLMAHYSIGDVGNQVGLIN >Et_6B_049368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:466125:468642:1 gene:Et_6B_049368 transcript:Et_6B_049368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGPKLSVHVAAPSPARTPAATRERRYDVLQHIYSLLPMRDAARSACVSHRFLRFWRCYPNLTLNQETLAANRQSLRWTEDRGKYVFRKTQQVLENHSGTGVKTLRLNLSTCCKEDINTSVLDGWLQAFVKPGLAELTVLLPDSCANDEYNFPYSLLSYDEAGSSATSSIQSLYLASRGFHPIEGPRLLGCSRSLSKVCLRKVGVAGEELGSFLSCCFALERLDLCNCDVITSLKIPRALRKLSMVRVQMCRVLQAIESDAPKLSTFHYEGSQRAPLSRFSLGDSLETKELDIDATSMVDMIQYAGIMLPSIAPNLEKLVLSTFQEKLKAPPVMFDRFQSLKHLVICLGEAGGFCTGYDFASLACFLDACPALETFVLRIADGFAWYKKYVIIRWFPDEDSSQPQQQQEIPELWHGGLSNLRKATITGFCSAKSLLDLTCHILETASSSLQCLTLDASPGYDRKRSAADRCFPMRADARRRQDARRAQGPRRRRAQGPRAL >Et_6B_049757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:121250:126680:-1 gene:Et_6B_049757 transcript:Et_6B_049757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPNHQPPPPSPSSRGGAGGGAPELVIPVSSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGTRGCWHTRCGAAVDLALDTLAAARSFGVEQLALLVQRAIRETGTDNDSVQLQKQLESMVREASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRAKSPSPSSASTPRITSPFMTTHHYLPINNNAPADRDHKIRRMRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQHCNRDVVKALLELGAADVNSRAGPTGKTALHLAAEMVSPDMVSVLLDHHADPNARTLDGVTPLDVLRGLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVTTRDDGAAAAGEAGGSDGGNFPRGVDADDSLVSLTMNSTLMYQGQEMAAAVAGDTRKGSSGRGSPSNFAAFCDCSSIWRIGKRGASSLDSNSEIGKHGHGNVASLAVTDIAPGKPYDQHTYAARSIARQRCATDCG >Et_4A_035266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15671880:15677657:-1 gene:Et_4A_035266 transcript:Et_4A_035266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVTHWKGMKANAINLYFSRNFQDQRSSITLTTAARNEVPPYGCLFTTVLCIITGGCLGGRTVTHDLRLLGAHVDDGLAHGLPVRLQRLQARAEAAHVVVLRGPAAAAAVAAVAAHRRAAAVAGALGHGERRRAPAVDGVDGVDPVAAGAAAVVVVVREEVAAAVVVVAEVEAAAVVGVGARVVVLAREEGGGARGDADDGRGGGRRRRGRGAALEEVDAVGQAVQLVPRRLLLLLLLLRVQRVGLGRRGRVVDAAPQRRVAAAGAGGRRRGGRRPERRMARRDGAGPPLLLPRGGRPRRRRAMVVVPGRLLLLLDDGRGAHQRGVALGERVLERGELGGVVGHLLLGRRNWRASIMASAFSRCSRRIIVMASLAAPAAAPRSASSSACTSVSATWCRVSASRSAAASSPISTRTTAGGASGGPGRRFSGVLARAENTGEVEAVAAWVGWPQSGHSGGGGSPESAMAGDGTRASAAGEGAHVRREGLVVLQYLPSINKLKSVLNQFDPLPSENWILNSPKHLSLLSQRITSQTGYQDPSLPQAQ >Et_7B_054465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21616493:21621588:-1 gene:Et_7B_054465 transcript:Et_7B_054465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWLRYAAHKFEYSITLSWKKYNVGQINSTELTDAIWKNFFQGKLTFTHLTKGCEAMAPIVTAAGGTLLVRKLANLSPTQVFVGDVVLLKDPEKSDDLIVRRLAALEGYEMVSNDEKDEPFVLEKDQCWVLADNQALKPKEARDSRLFGPVPMTDILGRVIYSLRTAVDHGPVDNSTVAMNQDAPVLAVELDVEEMAKNNKM >Et_1A_008122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4492080:4495121:-1 gene:Et_1A_008122 transcript:Et_1A_008122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLTPLLLGDGLSASRYASARTVSTHYPSPSAVPSTARHRRRRRFPLLATAAESPSTPLSHASSAIHSRFSRWVVVMDRPAGAAGGNGVSRAEAVDYYAGTLARVLGSEEEAQMRMYDASWDGRYEFRCEIDEEASRELAKMPGVLSVRPDMDDESEKYSHSSSLKTSNFVGINDGASVPSSSGKNEFWIVRMGKPGVEVVTKAQMVDHYTQILTKVLGNEMDAQVSIYHISWERDYGFCCHIDEDCAKELADIPGVLSVQPDTNFGSDNKDYKGDDRLASSEGTEVADVKTKRLFVTGLSFYTSEKTLRAAFEPFGELVEVKIIMDKISKRSKGYAFIEYTTEEAGGAALKAMNGQIINGWMIVVDVAKTRSRDSQSGGPNQTFRPTYRGR >Et_7A_051772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24428851:24430931:1 gene:Et_7A_051772 transcript:Et_7A_051772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLGTSASRVKEAVQDISVYLVDTSSTTPKAIYFDGWGGLGASAVLRAIAKEPPPFLRNRFNKILHIDCSRWKSRRELQRTIAEQLKLPPHVMAILNRQDEDDDFSGLDEYSRAEVAEITKEIYQAIINLSCLVIFHNGSDGMVELTDFGFPQFNWFVPNKLLWTYRGRFRLNPEIGEKVDSSHLFLRTLGFSLYKADPLVEEAREIVLYTQYKEIISIEIAEKCLTYLLLLNRNDCGIMDYNWETQASNYWVCDEIIEKGHFDNPWEVSAALHQELRLEDWSAKELSNASAQHWQLVIYTSESAEMAKISPEITSYFLSTKNLLYAPVPNDMCQKSERLRVLKLSGCSFSFYSPPFRCCRGLRFLGLDHCEDKPQEEEERKLETQVMECFQSLWVLDINRTDWEVDFSPDAVEKMAKNIRDVHIRKGRIWGKNLAWRQLQNIRKLRVINPTSSWETGNKDEFKDMVNLELLDLSGNITIQAMPTLSDATSLKTLVLDGCVTLEHVDHEDLPPSLESFSFNARSDKLSKISLTGCVKLKSFLLRGSFLFLEELNLSGTLIRKLDLSDEMVQVTRLKKVILLGCKQLHAILWKK >Et_7B_055063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6975903:6978698:-1 gene:Et_7B_055063 transcript:Et_7B_055063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIKGLVKVAIDAVEGAGRDRDGDNDEAPRRRAPNRDAADEEEDRDERARSTWAEVVSGEQEDDRQDHRNSGRDKRHDRREDDGWERADGRRQQEQHHTACKLTRADVTGSFLQYDGEDRRAGGSRRPQQQQQETPAYRRQQQEGERIGDGDWQTVGEKKRHGRPQQSEAWNGYRRPPSEQEYSEDVGQIHHGLNVEPTREELNSLSKACNRLWELDMNRLVPGKHYRIECGDGKKVYQKGDMASESLFSWLGDDVLRKPTYSRFCALLDNYNPHQGYKEVVTEQDKHEEVAFIEEIARTAPIKYLHRYLVTKGVASQDYNDFKRMLTSLWFDLYGRGGSSSSSSAFEHVFVGEIKGRGQGESEVSGFHNWIQASSCNFYLEEAKGNVDYQGYIFPRRRGESPDSETQLLTVQFEWHGVLKSVSSTLIGVSPEFELALYTLCFFVGGEDNRVDIGPYSVNIKCYRMGNNKIGSAFPIAEN >Et_2B_022594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27261083:27262714:1 gene:Et_2B_022594 transcript:Et_2B_022594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVVSLAVSTAAMLGQYVPSCFGDHPSSWGSASSSFPAGEQVERYIRSSVRHAFTKLHETGTTGGKMDSMIVEVDEDPCETLMYVAAQTKDLPRWHPCPTAVAAAALHGCFGALLKRYVSRMACSLCSESVRVLHAASKLDKSLLQMAAEDDDPAAADSVREQMAPYDVDATNFGLVKGWMDERLTIGAECVRRARDSESWNPRSKAEPYAQSAVDLMKPAKVTVDELLEIQVAGQPPACREELLQHLVDGIDQLVHQYALLVASCGSKENYMPPLTRCNQDSKLVQLWKMAAPPPCQVGVFDTDLGKSLTSSSTHQNQQQQRHHRHHHHPRARSVSAFDRARPALDAAILHVSELSAYRLVFLDSGHVFHHVSECRRVL >Et_7A_050456.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16413426:16413638:-1 gene:Et_7A_050456 transcript:Et_7A_050456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWAPVVVGVVLFVVLSPGFLFELPGLHRWIDFGSLRVTGRAATIHTILFFSIFTIIIVVCDVHIYSGA >Et_9A_060915.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16817119:16819804:-1 gene:Et_9A_060915 transcript:Et_9A_060915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LHASSPPCQTRPAPRLSSPTVPRRPGRPPIRFAPMPAPAPWPVSQDLAGLARRAAAFSRTAGADLGDLADALLRIEPAARELERRSLPPGGHEAPALLAWLVQLADAVADAEDLLDELLRRRPALSACVDAAFPNRTTRNLRRLVGRLDRALDDSEPLRAGSGAAAVCGVRSPHRVTGSVLAEPRVVGRQEECDEVIGKLLGDCEEKCSSSAPVVALVGHGGMGKTTVAQYVYNDVRIEAHFDLRAWVCVWDRSDGAELTREILHSIGGADDDLHDDGLASLERLQERLEESVASKRFLLVLDDIWIDEGKKKQENRAVWNKVLAPLRSSASGSKILVTTRMKLVAEVLNSINVVSLDGLRSSDCCLLFKEAALGAETMDFPPYLQEIGKTIAAKLKGSPLAAKALGQMLTNTRSTQKWRALLDKEMYDKIIISSLHLSYQHLPGHLQRCFAYCSMFPKTWRFSRYTLVHVWTALGFIQPPMEECKSLEDLGQEYFDELVSRSFFQTINKGQQTYYVLDDLMYDVARHFSAHDCMKIEEGVPVMIPHMVRHLCVSTDYLPQLKRTYRLGRLRTLVVHRSSSLSFSHFPSKLLAKFKNLRVLDLTQSNVVELPDTISELVHLRYLALCCMAVKLPKWIYKLPHLEVLDMPMFFHDNHLEGIGKFVTLKHLETCYRHKVDEGHDWCLRLCIRVEIHSS >Et_3A_025077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26763226:26770231:1 gene:Et_3A_025077 transcript:Et_3A_025077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPEADSSRDPPAASSSEVATAGAGGPNPCCAKLWKKYQKLERSRTLLREAVSLLQNGNDKLQKENSELSKVCKEERLRGDSAEAARETESDARERLEKEIIELKAQNSTLQQTQNVCRNDTELIRISELEEENRKLKQVLGDERKKITSEKKKADEEKSKALEMQKILRSETQKSEEYRRVADTERKIAGDWRASCERLRSEVNEVRAQLTAQIQKTEEALKSVEAEKLKLSREKKRADSEKSLAEKNKTLIEAERKKVTQEKKRADNLFAKLEEQKKLNEALRTSIQVERKNAVEEKKRADNLFRELEEERKRSECLPRKLNELGAVRDVVSSGKCGRHVDDRASESANVKLLKEKLKLKKEQLKHAKNVAKLDKAKNALIRREFQRIRQDWMQLLSRFNMLDDHLAGGIEGIHALTELKQHPEIHGFEQRLLPNDPIAAPYIGLQAGMVPFGSSIPREYTSYQLPRESCTRPVSGTSSEFGPPLGSALRTKSKSHPRSSFPTSISDEKFMGSQGKDSLLVSSPTDIRGKQNSIPERPLKGRNDKTTQEDRALSLEALKSLSGGTEVADRTLGGARKRKRTKQSLESSACLSSKHDVLHFKSKAHAAALNDVLTYKDDRSALQHGNNTVPCVAEGDMENCRRKYLSVADKSVPPGEGNACAGRRISSLLSFEEKMGGNWLKLLSLDNDADEEKYRLAMERPLSPNLPTIRPQKTKVHAPEEPHNFGGGTINDFPASGSNGIGRNTGTKILEVKGHTIQKLTQNDTQLDTSSKAMEWNNGFKLLSATDKSDPAVNISCNAELVGVPTNASLSHLLMEDNAANTSTSVLPQNSCSDNPNSTLHPWHLCKEVPEKTSSHQICDRSSDPGLQANFGTNKGKGSNPDLDSNSILGHHCESLKAPTHLVGFTGMKRSSIENIFRYWEKLISEAGKVSVEASIDGPSLKKVSNEPLLHIDEKVSLIFSIMLRDIGFTAEPFANGHYSSPALTSSAISYVEVRWDFLQKDQLNVLVSLIEDFLMNKEVMEFKNLGQKVSDSSKYHTLDDRADILLCMKPATMNQFISACILLGSICAKVDRADIILEVSYKVVQMGKSNLSWTLSALHVFGSVCGDKFFIPKSGSFLVTAIRLIVLLLESKDTSVCLVSSYIQTNMPRLPSCAHCPFDVDTVSMDGFISSLLDELDLCSLLWNNRASSDETTAMYSTHLGSCGLEINCGDPCNIFKQGKLAEDSHSCPGRTDLCYFTELISLIELFGIYMSCDWTYNNIVVRLLKILESCTCEEYAAALLVLVGQLGRFFIDDVGYEQRAVSELRNKLSLLMGTSFIKSMSVTVQFSAIGALLVLLPLPFANVVGTQSRPLSGPFVLQARQISDWFLQLSKEHQPLALSFFR >Et_8A_057406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2772520:2783425:-1 gene:Et_8A_057406 transcript:Et_8A_057406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEMSPPPEIRSPDVPGGAEEGPEPEAEEEEEVFDDAFDIPHKNAPHDRLRRWRQAALVLNASRRFRYTLDLKKEEEKEMIRRKIRSHAQVIRAAFLFKEAGQKDINGAEAYSGVNVATASHSFPIEPEKLTTLNRDHSNVLLQEVGGVRGLSDLLKSNLDRGINPNEDELAQRRNIYGSNTYPRKKRKNILLFVFEACQDLTLVILMVAAAISLTLGMTTEGVDEGWYDGGSIFLAVFLVILVTAISDYRQSLQFRHLNEEKQNIQVEVVRGGKRSGASIFDLVVGDVVPLKIGDQVPADGILISGHSLAIDESSMTGESKIVHKDQKAPFLMSGCKVADGYGSMLVTGVGTNTEWGQLMANLSEDNGEETPLQVRLNGVATFIGLVGLSVAGAVLVVLWIRYFTGHTENPDGSPQFVAGTTRVKQGFMGAIRILTIAVTIVVVAVPEGLPLAVTLTLAYSMKKMMRDKALVRRLSSCETMGSATTICSDKTGTLTLNKMTVVEAYFGGTKLDPCHDMSQMSASTSSLIIEGIAQNTTGTVFLPEDGGEAELTGSPTEKAILSWGLKAGMDFHDVRSKSSVLHVFPFNSEKKRGAVAVQAENGVHIHWKGAAEIVLASCKSWLSVEGSVHPMSTAKYDEYKKSIEGMAANSLRCVAFAYCPCKAEMIPEEDIANWKLPEDDLTLLGIIGIKDPCRPGVSDAVRLCTSAGVKVRMVTGDNIETAKAIALECGILDAKGVISEPVVIEGKVFREMSEIARGEVADKILVMGRSSPNDKLLLVQALKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMKRNPVGRRHGATCYKYHVEKLALYQIAVLLVFDFAGTRILRLQNESRSDAEKISNTFIFNTFVFCQIFNEFNARKPEEKNVFKGVTKNHLFIGIIGITTVFQILIIEFLGKFFKTVRLNWRLWLVSVAIGFVSWPLAYLGKYIPVPVRPLQEYFKLNSCLRRSRRDEEEGGQT >Et_1B_013379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7944642:7949291:-1 gene:Et_1B_013379 transcript:Et_1B_013379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSLGKLASRAVSIAGRWQHQQLRRLNIHEYQGADLMSKYGINVPKGTAAGSVQEVQNALKNVFPSEKEIVVKSQILAGGRGLGTFKSGLKGGVHIVKAEEAEGIASKMLGQILVTKQTGPEGKIVSKVYLCEKLSLTNEMYFAITLDRKTAGPLIIACSKGGTSIEDLAEKYPDMIIKVPVDIFKGITDEDAAKVVDGLALKAADRESAMEQIKKLYELFCKSDCTLLEINPLAETDDNKLVAADAKLNFDDNAAFRQKEIFALRDTTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGSASEGQILTSDDRVKAILVNIFGGIMKCDVIASGIVNAAKQVDLKVPVVVRLEGTNVDQGKRILKESGMALITAEDLDDAAEKAVKAYAK >Et_4A_035474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2632757:2638741:1 gene:Et_4A_035474 transcript:Et_4A_035474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQIWAKSCINCRGLFWSVGRPSIRGLAPGLIRLRGLAPGLIRPAVLRSATPPPTSPTPSSPGPEPPKSQQQPLKGKTRNKSQKPRENLRKTGAAVLARSRDDFFRSLHFVFLGFLLLCSPAAPSPIPRPRFAAIFVAMVSGVVDVPDEDGGAPAVQRPPPPVGARTSVGTPTPSGAAHSASTSAGSAGSPSSRSEQHAPDGAGKGAAVAAAGPSASASTPASESTFIRLNNLDINGDDAPSSQAPTSKKKKRGSRAVGPDKGNRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFSDPNNNFESPDPENPNAQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSISDVEELKTELVGLKGRIEKKSAYLQELQDQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSYVLKEMRFGGQEQHDGTQEPSSNGVKFLHISTDSTVYEVPTGRRDATVSNREDADNLPGPDIAVPKVISDFAAKGFNVEEMVALLAGGHTIGSCKCFFIEADAAPIDPEYKKNISVTCDGANRDKGSVPMDLVSPNVFDGDYFALALAKKMPLTVDRLMGLDPGTEPVLKAFAAKPESFIPVFAKAMEKLSVLKVLTGKDGEIRKSCGEFNNPQPTNEGPSVIRISSLQPDGLSGPGAKKAGVRADSRKVNGGEAANAGVGAEVKKEAGVEEKKTAAGAEAAGKRRGGQERGGQRSASKAEVKPAVGTEASNKTAEPIKATGNKILGDEPGSKLPGSVEAAKTLNEEKIEKSPKLRSGQEAATKVPGGEVASKTAPKPIVPNGKIAKISRPAARKLPSRRPAAWRLPKQQPVKRRSRSRNSAALRRRRRCSRSIHLPVRHAAVHAHAAGGR >Et_3A_026256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6038639:6040153:1 gene:Et_3A_026256 transcript:Et_3A_026256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPDLSRVLPRVLIVSRRTVRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVAGVHTLLDSFEPIHGVLLCEGEDIDPSLYDDDDAALSPEQMEAVRRLHPSDAAVDREKDSIELRLARRCLERNIPFLGICRGSQVLNVACGGSLYQDVDHELSSTESAVQHINYDDYDGHRHPVRVLPGTPLHEWFADSLEKHDGGEEARLMVNSYHHQGVRRLAQRFVPMAFAPDGLVEGFYDPDAYSPAEGKFIMGLQFHPERMRRPGSDDEFDYPGCARAYQEFVRAVVAYQHKLAAAAAAPPTKLNPAMQKQRKVIVRSFSLAKDLYVSGGARTRPAEQRDLDAGAEFLESNTALSVQQEKRLKQMGATVRNASGYLNSLKLNETREAAARALMGEMTVDQLSGLASFYHNMGKICSEVLDRKLQSLHLQV >Et_5A_040471.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24663115:24664287:1 gene:Et_5A_040471 transcript:Et_5A_040471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQAPPLMDDLVEEILLRFPPDDPGSLLSAALVSKTWCRLVSGPCFHRRFREFHLRRRSSPPPVLGFFCGICKPSDTFSDPGETGFLPLTPSFRRLPRAFSPSWRPVDARHGRVLFYDKHDVVVVKPKSVRLHLIVWNPIVMAGEEAWRLPLVPMSTPMDRFARREGWSAALLCDDDDDSAASFRVVVVATDEGLTSACVYSSEQRAWGAPVSAQLHPFLRLMRGRNALVGNALYFKCEKSMILEYDMSKQGLSLISQPPESQRMCIALMTAEDGRLGFAVVLRSELHTWSRDGDGRWAQQRVYSLDKLLPSSQLSHHSAIARVVAAGNACSVVFINTCIGIFIIDLKLGRVRKMYEFSHDRDILAVVPYASFCTPAGSCLSPHETKCN >Et_8B_060056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5451796:5454080:-1 gene:Et_8B_060056 transcript:Et_8B_060056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGYLSLPPPGFGTRPWLIQAHGRHKETVTFVDMLDRSAHEAVIPDLQDKICLGCVYGGGWFLMLDEISGDCFLLHLAAYSSKIPLPPLGEPLEFLGRCAVLGSPANPNCTVVITSIPESGQNFLLHCYPGDEDWTKIAVHDSGWIYGYITSCAGKLYAAAENNLLAIDVVDGAIQTEGMHIAGKDASDRSLKNYFVESSGVLFEVRVEYLGRPDDGAVVEIIVHRLDLSVPDPMWKKVESIGDDRAFLLAGDYGSSCPAVKGLTQGNCIYLVWSSGDCERLYRFCLDDRTISFHKVLPCPTQSWCRAFWAIPERRVLFLMEKHAVSSAPWHDLPPELLPLIVSKLSCPVDILRFRAVCKSWNIGPSMEEQDKAWPWLMHCSKQDGTCKMFDPLRGKEYTLSIDAFKSDDRIILRSSKDGWVIVSTGDEIDDIFIINPFTEDIMEPPMFDRCYHFHGVSFSSTPTSPDCVVFGVNTSTSGLFFGIKTWQIGQDEWQEIFLEFQVPFPVAYNNPVYYRGSFYCLGNIGVFDPNERSWTVLDKPEPIHAEPMNVFDEDHEGSEFCYLVVLAGELISVFQRSADEPPRVLKLDEAKMAWVLVEDIGGAALFLDYRSSFAVASPEAGHGNKIYFPRYSEDAKQAAFYDLETKTYSPADYGLKSPTNCVWVVPNLRSD >Et_2A_016522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25478989:25481747:-1 gene:Et_2A_016522 transcript:Et_2A_016522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTATPASVAGGLLRRLENQGGLIPSTLHEGIKWPLLILSAMLSMVSAMQPVDEMVGARTTEIMQALYDAEDLMDDLEVRPISKRTFFLSPCSLLWRITVVNRIKTISRKLDIGSKASLELVPALRASPHAEQHESLVNIHEATLIGRCREQEEIKDLLMQNDAEKTLSIVSIVGSPGVGKTSLARLVFDDKGEGWDFDFRIWISLGKHLDLRNIAACIISKAKRSVEEGSSQVSMNDPSLSLEWMMNKVQEILDNKSCLIVLDNLWSADDTHLIYLKAMLGAKQKCTKVIVTTGSEKVAELTSTVSSYKLGCISEDDNWAIFSEKAFVNGNAVADSQYTEIGKDIVKRCKGFPLLAQSLGSLVRNQGLDTWLAARDEDLWKLEERLEPDAKLFTSFKKIYYSMPLDLKSCFLYLSVFPKGYSIDKYALIQQWAALDLLWSKFGTIPSYLVGERYIQDLLSISFLQNHDKSLLYTYAFGSENMRLVMFPKYHIIFISFLAPKLFVPSTDFTEDCLVQKQMDAPAKLQMHDLVHEFLRYIARDLVVLEYGGNAQDESSAKLQFRYAVLSNSYRLSSVHKDLIVRSKAVHFRNCKETKQIADAFSLLGHSRVINLSGCPIAELPASIGKFKNLRYLDVSDSGIETLPNEVSCLRNLESLDLAKNHIKVIPSFVGSFQKLKYLNLHGCEKIEKLPPTLGSLKKLEPLNLSCCTGIGELPVSLCSLLQLRLLDLSGCTKLQELPDGLGNLRGLYHLNLAGCSRLKKLPNTLTKPLF >Et_6B_049126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18414122:18419578:-1 gene:Et_6B_049126 transcript:Et_6B_049126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEHEAAVAAAVVEDVMRLHGEGGSGAGVGGGETVGAWRNIDIAWRKSEEAAIRRYEAANWLRRIVGVVCAKDLAEEPSEEEFRLGLRNGIILCNALNKVQHGTVPKVVEVLSDSTVPTDGAALCAYQYFENVRNFVMGLQDLGLPTFEASDLEKGGKGVRVVDCVLALKSFSEAKQGGKQSSSFKHGGTVKPLSGKYFVRKNAEPFMKAMIRSHSAELLRDGISQEQIGLDFSLESTETAAESIRMLVQTVLTDKKPEEVPSIVESLLCKVIHEFEQHTIDTNESKSLCRTDSPQTETPACDLEKACFVKVGEEDDNVVHTKEDVNTATLNDDSPEKIVQPKLETNFDQQHKQIQDLKNNISTIKSGMEDFKLLYSEDLTKLGNHLRVILHAASGYHKLVEENRKLYNQIQDLRGNIRVYCRVRPFLPGKVSSSSSVDGTEDRTITVIVPSKYGKDARKSFTFNRVFGPLATQEEVFQDMQPLIRSVLDGFNVCIFAYGQTGSGKTFTMSGPKVLTDEGLGVNYRALNDLFSIQKQRKDTFCYEISVQMIEIYNEQVRDLLQNVPDANIVTVTSTSDVIELMDLGQKNRAVCSTAMNDRSSRSHSCLTVHVQGRDLTSGTVLRGCMHLVDLAGSERVDKSEVVGDRLKEAQHINKSLAALGDVIASLAHKNTHVPYRNSKLTQFLQDSLGGQAKTLMFVHIAPEPDAVSESISTLKFAERVATVELGAAKSNKEGAEVKELKEQIACLRAALARKDGESDHIRSTQSSPDIYKMRMSNASHASRHSAEEDGNVEKEPALGDWADHSHFGSSNSLPELGPDGTQDLPFYQRSSPEQQWSWSGSVATEDSDDFEVATSCSSEQDCVRPASAPKASGIANGGASARRKGQAKSLKSSDVRGANPGKKTSPLQKKLSGPSPTPIKKGAVEGKRTPNGKVTAKK >Et_5B_044442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2490677:2492855:1 gene:Et_5B_044442 transcript:Et_5B_044442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSRDYWLGFFRGAGDNIFDAIDAAIAVAASDHPAALRARRDGIAERLFTAFLVTGATAAGGGAAAVAAGAAGGTPVAGAPTPAQVHPEGASSVPSLCSSDRAEVITDDGAPRRDDSVLAEAERIKALLLNDQEKSEDALLELLQRLQKLDLTMETLEATAIGKAVGNFRKHSSKQIRNLVRSLIEGWKHTVDVYLARCRDAVVDHTPQSMGPSSLEQEDRGAPFTPMDEGALFATPSTSMRLSEENPSSKSSDGMDDGGSIRNDADMHGGQRYSMNHEPLRRPTPAGLRNDPDQSWREQSVKKEQFVAEMLARPSNPDSGRGRPQARSRPQHDASPAHGRPQLAPSDKPAIPHDENSVRAKLELAKNAKLEAAKRKLQEGYQEYDNAKKQRTIQMVDPQNLPKQGNRNFQPSGKPRNNSNINSNRNWSR >Et_8A_056095.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19224313:19225587:1 gene:Et_8A_056095 transcript:Et_8A_056095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAATTTTLLLLLSSFLCCRGSSEDRCHPSDKRALLAIKAAFGNPNQLASWTPDSAASCCDWHHVDCNDDADGDDDSTRRVIGLSVVGDASVAGAIPDEIAGLDRLESLDLRRLPGLSGPIPSTLPRSLSSLTISRTAVSGPVPAFLGNLAALTELDLSYNTLSGSIPASLAALPRLWSEDLRHNRLTGALPPLLFHHEQQHQHDDDQEEEEEAFYYLKNLRLSHNNLSGGVPPEWSTVQFGTVQLSHNALAGDASPLFGRDKPLQLLDLSRNAFSFNLSAVDMPEQIGFLDLSRNDIYGGVPAQVAGLADLRRFNVSYNRLCGELPATGVSGGGNDVIMDASCFQGNTCLCGAPLGACNDKPSRN >Et_3B_030148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30942186:30946344:-1 gene:Et_3B_030148 transcript:Et_3B_030148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCILGKLSTTPGSSLFFPAAGATTNAAGGGGGQEAVQLNAPQPEHIAAVKKDASGWPLWLSDAAGDTLRGWAPRGADAFQKLEKIGSGTYSNVYKAIEVESGRVVALKKVRVDGVGEAESARFMAREITLLRRLGKHPNVVRLDGLVTSRLNTAPSLYLVFEYMDHDLTGLTAAVSASGNRLTLPQVKCYMKQLLSGIEHCHNKGVLHRDIKSSNLLVSNDGILKIADFGLATSYDPDNTRPMTSQVITLWYRPPELLLGATHYGVGVDLWSVGCILAELLLGEPIFPGRTEVEQLHKIFKLCGTPSDDYWEKMKFPQPTFKPYERCIAEKFKDVPPSILSLLETLLSIDPDMRGTATDALNSEFFRTEPFACEPSSLPQYPPCKERDVKLKYEKHKRKSRVNGSVERHTTRKQTSQNPGRRVFTPDVNNKPQPNPKVPRLVTSTSTTKLERFPPPHLDASIGYSLDSLADRNTEEFFTSSVAELKKMPNKIYDHMKTYLNSPKKGLHKAKPGLNMAPSTVLIGAFRPYSLGHPMEVRRKNREQFRGKGRNAVGAVK >Et_7A_051380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17708762:17711095:-1 gene:Et_7A_051380 transcript:Et_7A_051380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRTKEHSCHELRVIVVDENPCHAGATESTLAELNFQARVYTSPIKALDFLKDHEADVDFVLVAVHMKEMHGFQFLDISREMHRNLQVIMMSTETTWPIMQRCVELGARFLVKKPVDTSAMCNIWQYLDLKLLRMEKINKLFKEELEKSVNKCGEGTRKKTAHLMWTPFLQKKFLHAHDLLGDAATPKKIEMIMNVNSINRKQISAHLQKHRKRMEKKQRVLKKCSKGASRSEPLKTCEETVRDNIHTQPGDRNEEEMRSDQTKVITKETQGDKVYEAMRKALQLGTVFDEQLSNDPSAEEAENGEADMMRDGFAQDNNTTAAGEEKVIPETHNANDAKDVINKADSNQARLCKLVTYSDSEDDEMEANL >Et_1B_012118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29177964:29185762:-1 gene:Et_1B_012118 transcript:Et_1B_012118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATTSRLAVLAPRPAPGRRRPAPAPCSAPLRARGVSAAVCSRGRVLCLAAPAPASSTDAGQDRLQKVPISNIRNFSIIAHIDHGKSTLADKLLELTGTVQKREMKEQFLDNMDLERERGITIKLQAARMRYVKDNEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENDLEIIPVLNKIDLPGAEPDRVAKEIEEIIGLDCSNAIRCSAKEGIGITEILDAIVTKVPPPKDTAKDPLRALIFDSYYDPYRGVIVYFRVVDGTIKKGDKIRFMASEKEYVADEIGVLSPTQMQVDELYAGEVGYLSASIRSVADARVGDTITHFSKRADCPLPGYSEATPMVFCGLFPIDADQFEELREALEKLQLNDAALKFEPESSSAMGFGFRCGFLGLLHMEIVQERLEREYNLSLIITAPSVVYHVNCANGETVECSNPSLLPEPGVRKSIEEPYVKIEMLTPKDYIGPIMELGQDRRGEFKEMNFITENRAKIVYELPLAEVYRESPLVKLDIQINGDPVEALSTIVHRDRAYSVGRALTKKLKELIPRQMFKVPIQACIGTKVIASEALSAIRKDVLSKCYGGDITRKKKLLKKQAEGKKRMKAIGRVDVPQEAFMAVLKLEKEVL >Et_4A_035825.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:6875039:6875509:-1 gene:Et_4A_035825 transcript:Et_4A_035825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPRPTLSSGFFAFRAGAPPSPTASPPPSPSPHAPPPLAASFSPSAAATAVVDRAATGSSSSFYDVLGLRPGASAREIKAAYRRLARDVHPDAAAPHSSAEDFIRVHAAYSTLSDPDKRADYDRRLLVSSAGRSLGRSPTFPARSRRTWETDQCW >Et_8B_059257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15943012:15950800:1 gene:Et_8B_059257 transcript:Et_8B_059257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKVDTSKRAPPPPLWKLCKDKGGGYLFVLGNVANREKCREFVAMVLEKHQGTVPPIPNVIPEDPAASTGSEQLSVERRKKLLLEDSELRKLHMRFVPGNILQESEFWERRKNLLDDEANKASKQRPGLKNVMHDVRPLVDGQTNKVIFTTEMIHQKSLLSTGHILMLFQKRAEDLLRTKNTAAAAAEAAEDEVLAVFLKSDDILAKEAKLKVKQIDPTLDLGADAGDDYVHLLDHGILRDGSKVTIDTDIELAWRTLSQDLNRHAAVVLGGRSSESYCPVADESIHERLVKAARLTEIEDLQAPQNLQYAPLCIKNPREYFDSQQIHALRSLRGSNDVNKAQNYSLSTDDVFHHLKGQVSSIELPLCHAVHSNVALKVINELNQVISRARRCNVRNPHGNLLGQLPHQTQDELMDHWTAIQELLHHFWSEYSRTSPVLCNKVQRIKDAMTQIYQNLQDIKESAQPNLRHEISQLVKPMTQALDAAFNYDLEQQQESHTPGTNLYNGF >Et_1B_012108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2982028:2983919:-1 gene:Et_1B_012108 transcript:Et_1B_012108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESEEYRCWEELLPDALGLIFRNLPLQEVLTVLPRVCKSWGRVVAGPYIWQEIDIEDWSQQQSKPEQIGRMVELLVARSGGSCRRISVSGLPCDPLLSFIGDHARALRVLEIPRSEISDSIVEIVAPRLSNVTFLDISSCTKIGARALEAFGKHCKSLVGLRRVMHPIDLADKVCQHDEAHAIACSMPKLRHLEIGYMLIKTDAVAEILGQCRELKFLDLRGCWTVDDKFLRDRHPGLRVLGPRVDDCYENSYWEECSDYSDDDDDSSIYSWEFMDDVDDYYAVGSDDEAIWDDGQGLENLEVRFYGGGFNENFAGIDWPPSP >Et_7B_053389.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:14875991:14876224:-1 gene:Et_7B_053389 transcript:Et_7B_053389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVRAQHTARDARGRGHQRRDRAEAEEHVALLLAAVPRREGAQRDVRERADEVGVADQRQRRRGGRQLCPSLPAGTAT >Et_1A_006507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23423281:23429347:1 gene:Et_1A_006507 transcript:Et_1A_006507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTISSPWRRSRAAPPLPQDDDDYLLSRTLLRRLPQRPRATPAPPPPLDDDDLLSEILLRLPPHPSGLLRASLVCKRWRRLLRDPVFLRLSRAFHRTPPVLGLYRVSLRGVSFAPIGAAPDRVPAERFVLRDPDWMFLGCGHGRVLFRSVPGWLQLLVWDPITGHRHCIRLGRLPSHVRECSTAVLGDPGCLGRRKGSSFRVAFVFTGLGRASACVYSSETGAWGRLITAEAPCDDVRLKTGALVGDALYWWLENGGLLELHLGKESLTAVEPPPGSRSFYQGNAQLMEAEGSVLGFAGVRDYSIHLWERVADQDGTARWVLTTIIDLDGLAPLPGLTTMMVPPIKIVGVDEGGNFVFVRMIFGIIMINLNTDCVKWVSDAKVMEFVRMNNQHFLIHLSDSSKRSAAGTASQSLPICPPPNHHTRNRKKKMPAPVLDVEEQPEDSSEYKLRK >Et_4A_034205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30848451:30850335:1 gene:Et_4A_034205 transcript:Et_4A_034205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSTRHQLLLLIQGVRGRRLRRDGGGVRCQRKQGGELVERLVIRKEEPAIAVQIFRRGLRHRRIAFQCLHDLPHGRALPRVRVRAEQAELEHQLEIVLVALAHPGVPGVRDPPSLPVVEDVVDEPRRVGVVAELHGPPSARHLEQECPEREHVGAGGGLACLAHLRREVSERAHDARGLRLGAVVVEPREAEVAELGVHVGVEEHVAGLDVAVHHHLLPPLVQVQQAGGHAADDPGALVPAQHRAAGGEVEEVAVEAAVGHVVVDEHQLAAAPAVAEELDQVAVAQPAHARDLRHELAQALLRVVGNLLDGHLGARRPREHAAVHAPEAADADHVAEAPRRRVEVPVPDPVRAVLDLPPHGRAVVLDPHLQRLVAADQAEVDEPSRAVEVPRGGRVHGLQPVDVDADVVVVDVAELLVHGRVELDGDDLTRLLAQVLNRPRFLPARAPGTVGDGASAKASPWPCAFGYSGASATTNVVEKERERGGAPVEEEALSLKRTGTG >Et_3A_023492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30717501:30718507:1 gene:Et_3A_023492 transcript:Et_3A_023492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNPATWLVLVAALALLVPPCAAAQIKTTDTRWNYHVPLPSGVTGAESLAFDGKGEGPCAGVSDGRVIKWGGSAVGWTTFAYGANYRNIPLCTASAAASQDTESICGRPLGLQFHAKTGDLYIADAYHGLMARPSGLDVDQATGDVYFTDSSATYPRRFNTEIMMDSDATAYKYWIRGEKAGQYELLADLPGYPDNEKQRLNATPATAPVKHLVGVRLNADGVEVEEVTAAKGVTLSDVAERKGQLWLGSVELDYVGLVA >Et_3B_028931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20494527:20495753:-1 gene:Et_3B_028931 transcript:Et_3B_028931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGGEAQPEPAVKIIETVHVDADLSSFKSVVQRLTGRDAVVGGWSDGSVRMRNEAAAAQRAGYLSQQAIKPFADTSGKIGFKEQTLLTPGTGHTC >Et_7A_052140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5020234:5027901:1 gene:Et_7A_052140 transcript:Et_7A_052140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGSSRQEDAAPPWTPGEATAFRRYVAAPASGRRAEASPSASGNGALARVSSLHGVRRKPFVVRLTADIIQTFELCNPEFNYSEARIPKRFLTNPSTPAHNDGLDNANWDLILYVNLELVNTTSNRRFIVKEMLGQGTFGQVVKCWDTEINDYVAVKVIKNQPAFYHQAIMEVSLLRTLNQTVDPNDQHNIVRMLDYLSFQNHLCIAFEMLGQNLYELLKRNQLRGLKMKYVQAFSKQILDAMVVMREAGIIHCDLKPENILLAPNVTTAAAVKVIDFGSACMEGKTVYSYIQSRYYRSPEVLLGYPGQPPDDLLREAKNTVKFFKHIGSYPGSEAPGGLASAYRLLSEEEVEARESKRPTLGKWYFPHLKLDKLICTYPWNNSELSETEKMDRLALIDFLKGLLDFSPTKRWSPLQALRHPFVTGEPFTTPYEPVPETARIPVARAAAIDHNPGGGHWLHAGLSPQVGSANRCLPLSNAYPPRIPFSYGSSYGSLGSYGSYTGNTGLAGSYGSIGDVNTINNMYYSPLGSSGFSQIGSSPDVRLRLRLPHDRGIRLSPGSLGQMSLGASPSQFTPPNYQMHGSGSPASGGMHGSPLGPAGPHGLRRNMPVLPHEYVSQHGQGRYGDGLSYSHSDTYVRGHTVYSQNAGLSSGHSSWRPQISSRSGFSMETSSSHGPSHAFRSQAPSHSFDSSPNTSAPSALDPANWDPDYSEESLLQEDSSLSADLSSSLNLGDSAGQASRSTRSSTVQGRIFASTNPVPANQRVDQFFNASSQGGSVPINFGGYNPPNYPQQNFRGHHGQPFHHPRYNQLNSSQMRPTVNHHNGQLAWSNHGIGDGVPWGNHLETCFKNVNYGAYFAGGTGAHSFTTSGLPSTLARKDYGSIF >Et_6B_048936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15772502:15773073:-1 gene:Et_6B_048936 transcript:Et_6B_048936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTCAGAAGSKPKKGAAGRMVGGSRKRAVIRSVRAGLVFPVGRIGRYLKQGRYAQRVSAGAPIFLAAALEYLAAEMMELAGNATKENKKTRIVPRHILLAIRKDEELGRVLAGVTIAHGGVVPNIHPELLPKKTVEKPSSVEVEIPRKHRE >Et_2A_018618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35148529:35150971:-1 gene:Et_2A_018618 transcript:Et_2A_018618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAQVEERDGLDSSDLAIDKRLTLEVAKPPLMDDMMQPKAGMDGYPVKVRKPYTITKQREKWTEEEHEKFLEALKLYGRSWRQIQEHIGTKTAVQIRSHAQKFFSKVVREPGASNTIEIPPPRPKRKPLHPYPRKCANSSTVANPATGQPKLAPISSSSGSDQENGSPVSVLSAMQSDAFGSSVSNPSTGCTSPVSSSDDGTNVPALMNGEENMPPQQTEIHQSVKEVKEDNSDGDLSEEDSSSGVQETSLKLFGKTVIIPDPRKVCPSNSGHEDGDTGSQSSCQEKLHTLSVGEVATYTAPNAWLLPYHSFPFHMGESGDARIAPLHLWWPYYGFPLSHPRELGSAVLHGEGTGESESGKSPSVESSSDSMGNVQMTTPMVKESLGAIQTAEAAQSFELKPSVNSAFVPVKPRHSGDEGTRGFVPYKRCKVE >Et_8B_058653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13861231:13861364:1 gene:Et_8B_058653 transcript:Et_8B_058653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLSHLQTFSFW >Et_2A_015746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17522125:17525059:1 gene:Et_2A_015746 transcript:Et_2A_015746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRVDKVFCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTASGMAIHVLQVHKESVTKVPNAKTGRDSTEIEIFGMQGIPADVLAAHYGEEEDPSSKVAKVEIPQVRPVVMPNPLGMPFPPRPAFGVAPPIYNPALNPLMARPPVWPPRPPQAWYPQQPVYPQQPAVSVPPMAAVVQQQQPLFPIQNLPSPMTTAPANVLQTSFAMVPPGVPSPVAPQVSQPLFPVNTSAVNGAASSPFVASVVPGTVQASSPAPVTAAGAYGTYNQGTGGALGSPPAVANTKAPGSQPATNEVYLVWDDEAMSMEERRLSLPKYQVHDETSQVSFVFIVSTII >Et_4B_038486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29407918:29413338:1 gene:Et_4B_038486 transcript:Et_4B_038486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKTARPDAQAQLASPLLDKEKQKEKKHKKDKKDKEKKEGKEKKDKERSKDKHKDKKDRKEKHKDKKKDKGKDRSRESAEGTERHGEALHDQKFGESSGKSEEIKDPKFREDLVRKVQDEKGTAGRPIENFTVSNDQSRGGFSSSPAVENDRTAVNKMHINSSIAPRKNEGLGPQSVNTNHQKNGTSIRRGENFASSAQRTPDGFMPASTAERERVKATRPPSNTESVPRKQGLGQRTSNISILVQKRTESPNKEIAKKEVGTTSPLLPNPANAMHKGNGKVGRPMENTPISTQRFDSPSTSGATGGIDRGIPRSTIPSPSITIRRPNGMVRPPENRSVSDKKLDAGGMSPAIGKEHAGRMQQSQQTVSTDQKLVLSKPPTVEKASDVRSGRVEKVRDGAPDDAKKEDRKSDRHEKKKRKEKDKHKDKKKEKEAKKEKAEHAKKEHDKIRENSIDCPIDSLQVKPSAPPSAPSADDVKAILPDENLKKRKNHEMNGFLQNQHDMRPTKLPRPALPNNLVENGTASHVAAPLSSVKPDAMNIEKAERLHKKEEKINGNQEAQRSSVDSGPRDPVKAYENGTPSRKSPHPDCKYLSQIYNIPEAPQMTEWPEHDGEDWLFDQGSTQSRKPNSEPEADGGLQVWSQALKIDRADVIALPYISID >Et_3B_031088.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:1570930:1571439:1 gene:Et_3B_031088 transcript:Et_3B_031088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQAIEAHRAGAEVYTGAAMCAEKSTELLKEAGLPLGLLPLAEMEEVGYNRATGFVWLRQKKSLTHTFKQIGRQVSYAAEVTAFVEDRRMKKMTGVKTKELLIWIALSDMFVEKDDPTKITFKTPTGLGRTFPVAAFAKEDDGKVKGKEPSAAAANGKEAAAVNGKAK >Et_9B_065083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21005037:21005992:-1 gene:Et_9B_065083 transcript:Et_9B_065083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLPRTARLAALTAAPRAYSSSANAAASASTTRPAPYGGAPPPAPMSKAAEFVVSKVDDLMNWARRGSIWPMTFGLACCAVEMMHTGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWT >Et_1B_011192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18609172:18610369:1 gene:Et_1B_011192 transcript:Et_1B_011192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVPRSVQALSVSGAVPPEFVRLEHDQPGAATFQADSELEAPVIDMAKPDCGARVADAATEWGSFQVVNHGVPAAAVAELQRVGRAFFALPQEEKDPASGSIEGYGVGTRARRNLAGKKMWADFFYHYVAPPAIVNHEIWPSNPTGYREANEEYCRHMQRLTRELFEHLSTGLGLEKGAMGEAFGGDDLVLLQKINSYPPCPQPDVVLGVGSHTDMCTLTILLPNHARLGAPGLQGRPLARRQLHTRGTRRPHRRPDRVQFYLTYWQPRNGRYKAGVHRAVVNKDKTRMSWPVFVEPPKGLVVGPHQLLATDDNPAKYKAKKYKDYQYCKINLLPH >Et_7B_053905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13185227:13192483:1 gene:Et_7B_053905 transcript:Et_7B_053905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGSGDAAEVRGQGRRPRFLCLHGFRTSAEIMRKQVLGKWPADVTARLDLVFADAPFPAEGKSDVEGIFDPPYYEWFQFDKNFTEYRNFDKCLAYIEELMIKDGPFDGLMGFSQGAILSAALPGLQEQGVALTRVPKIKYLIIIGGAKFQSPTVAGKAYANNIACPSLHFIGENDFLKVHGEKLIESCVDPFIICHPKGHTVPRLARGAAGGPLGRLARGLVRASEPAVPSQQPLPFLQRRDDARLPPRPAGEVASGGDGGGLARGQRRRPRFLCLHGFRTSAEIMRTQVLGRWPADVTARLDLVFADAPFPAEGKSDVEGIFDPPYYEWFQFVGEDYLKCRNLDRCLSYVEELMAREGPFDGLMGFSQGAALSAVLAGLQEQGMALTGVAKLRYLISIAGPKLRSPAAASRAFAGKIKCPSLHFIGTATPLLKALFQCARAFIRSLPPFLLTRPRDNDFVKVHSEELLEAFVDPLVIRRPCGHTVPRLDEKGLRVMLSYLDKIERDLSDHEYIDDADIINSEALQMHAN >Et_2B_022220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14218702:14222596:1 gene:Et_2B_022220 transcript:Et_2B_022220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELLIFTRGGLILWSSCRALGGAALKGSPIDALIRSCLLEERSADASFSQDNYALKWTFHNELGLVFVAVYQRILHLLYIDDLLAAVRKDFSQIYDPKRTNYDDFSDIFRQLHLEAEARAEEMRKSKQAIVSRPSPAVSNKTAPNVRGGGNAAGKKGGSGKDDSDGDSGKDQPTLANGTFKGQDNSQPRAVVVKGKENGGPKDNGAFDVNKLQKLRNRNNQKKNVPTENGTKKLTKPDTKKKVKDNRVWDDKPSNKKLDFTDPADERGDEVTDQVLVNQGESMMDKDEYVSSDSEDEEVEDGPKKKGWFSSMFQSIAGNNALEKSDLQPALKALKERLMTKNVAEEIAEKLCESVAASLEGKKLGSFTRISSTVQTAMEEALLRILTPRRSIDILRDVQSAKERGRPYVIVFVGVNGVGKSTNLAKHNLSVTLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEASRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNNPDLVLFVGEALVGNDAVDQLTKFNQKLADLSAVPTTRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >Et_6B_050009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3119735:3120824:-1 gene:Et_6B_050009 transcript:Et_6B_050009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAAAEEGKKWPAALPRGAVVATCALSVLFAWLAARTLRGADDEEAALSFSTVVWRLSPLICPYLFLWTVALREGRTAVFARASFLLLLADAAARRVGPNAGAAVMFAATAYSAAAHPEHEYERGLVYAFTFMAVFLVGTVSLVMSLDSWLGPDQEETMSVPKGEVNLGVLVFFTGPGLLVTRMLLLHGRPFDPAVPEAGWLAIVAGVFGWVVVAVLGGVLFGEEAVTGFAWVVVMGLAGLLGYGQGVRARYEEVMAIKRSQPRTAQDASGLLVGRDREDASYVHISI >Et_2A_016227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22249460:22255418:1 gene:Et_2A_016227 transcript:Et_2A_016227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASRKLSAKPAPSPVAAASASRPKATATPFLSGCDDDDDDFQSPPSLSRARPLKPCNGAAAPRPCKKLKPSYSSSAKENRSVAGCTGPAKMVAAAVLRAAEALAVGSRVNSGPLQRKETMGGEIPGLSRYGSDGSKIGCNVKELSDRYRNCKSNSSPVPNSLEPGILVMGALCDLGGGFYEEAQMVGSRDRSSIPDEGLDTIMVKGSGAHEGAKSKLVEARLLESDTNCEFVVADSYRSEMLGPGIHDSLTDTPNMGKEAGVASEFGFGIHNRNHHSYSLEPKLLMPNVKYDSGGGDRKEAQDPGLEACNFVSEERKVAAGYCVNPENETVENELSGPEACKENDCLNSSESEFLESQIAHGYEGDGYDNFEIGTQLSELINLCMEDHMEGHSNRGSSPIEQDTFDSKRPDSEFQVQCPLCGSDISDLSEERRQLHTNNCLDEPAKEPNLNHESEPPAGDNVRSKRVVEWLRDLGLSKYEEIFIREEIDWETLQWLTEEDLLGMGITSLGPRKKITHALGEIRKKNDHANDMEADLLNSESTKKTKLPLNGNKLITQYFQCSSLDQRQRRVCKVNKPCNLNGQKNSSAKVPPKRSRAVKGKVKDTPLWCCIPGTPFRVDAFRYLRGDCCHWFLTHFHIDHYQGLTRSFCHGKIYCSSITASLVHHKIGIPWDRLHVLPINEKITISGANLTCFDANHCPGSIIILFEPPNGKAVLHTGDFRFSPEMANNPILQSSHIHTLILDTTYCNPRYDFPSQEIVIQFVIEAIQAEAFNPKTLFLIGSYTIGKERLFMEVARLLQKKIYVGAAKLQILKHLELPQEIMHWFTTNEAESHIHVVPMWTLASFKRMKYISNQYAGRFDLIVAFCPTGWAFGKGRKRTPGKRWQQGSIIRYEVPYSEHSSFTELQEFVKFISPEHIIPSVNNDGPESADAMLSQLLNE >Et_7A_052001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3520820:3522116:-1 gene:Et_7A_052001 transcript:Et_7A_052001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAGEPSPPPPELAMVARAVQRLVARNDALLLEPAGGGGDVTGGMAAFEAARGAPAPRIGVAEYLGRVHRFAALEPQCYVVAYAYVDRAAHRRPAAAVASSRNVHRLLLACLLLASKFLDDFHHSNAFFACVGGVSNAEMNKLELELLVLLDFEAAVGHRDYDTYRDHLEKEMLRDGAAGKKARPAAAPPGKHTVAPPLTAAVVPPMLPKPNGVPV >Et_1B_013548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9412393:9416364:1 gene:Et_1B_013548 transcript:Et_1B_013548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPPARIRQRLPTGQHILPDIARREPEHGAPRCQRASSEFRLPSCHGPAVGHHRRGPAGGGAHRGRRQAGGPADAAAVRVAEACAAGLFGGAVVPGGVADAAQRAAEANAKAGEEKAPRVATTLRDVVGDACAAMSANKVATWEDAMAVAAASGSSAGRGGAGMGEVADSMAAAAEMNDGRKLQAQAVLGGDVYPQSAADQEARRERDRVITQGHVKKHDGALHVEETDLPGGKRMVTTSARGQVVAQFTVPVPDKKAAEATDAVTIGEALQAAAQTSAGDRPVGLADASAVQAAEMRATGLAGNLPGGVAAAAQRAAEANMKAAPGSERGKARLKDVVGGAAAALPANKVATREDAEKVAAAAARNEGATKGGGRSGVVEAMQAAADMNKGRMM >Et_10A_002007.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18198383:18198691:1 gene:Et_10A_002007 transcript:Et_10A_002007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYWLRYDARDGRILLMSADSLRGSLAVSPVLSVYDPLTRAYTLLPPIPDNLRASVQYEVQDEQFFFFDAFFGPSGGNEEAIFSEETQFRVGKHNSEWCAGQ >Et_7A_051892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:26008445:26009042:-1 gene:Et_7A_051892 transcript:Et_7A_051892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRRDGLPREIAAVVTQKYTFVVTVNQKSLMQRNLSFQVNSVETPFGRQACVPDMKGFDDGRSDDLCSSDSPVGQDRMSSLRARAVHVVFHVSADFSPPDCSLLSLIGRKHLLRLSLMVPILLMMLRFGYFMSVGLCVVDALLAVSMMVLVVSLLRMLVQGLRAFLVL >Et_4B_039690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26740429:26742437:1 gene:Et_4B_039690 transcript:Et_4B_039690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDHHLLSAAVLLLLLPSASFATAVEYCKKGRDYPVKVTGVEVIPDPVVRGEPATFRISASTDKIITKGKLVVDVTYFFFHVHSETHNFCDGTSCPATGEFVLASEQTLPSFTPPGSYTITMKLLGDRNKELTCISFGFSIGFATPLAIS >Et_5B_045355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19850720:19853057:1 gene:Et_5B_045355 transcript:Et_5B_045355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNPEGVKEWVQYRVIELQKFVPIIDEPGGAGLIGYAEDVDIIFGSTGVGTFALELKSGRVRKIMQDHRTSKMLISNVPLSEWIHCLDCAVAVFAQTDCFRVDCVYFFY >Et_9A_062273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21331344:21334457:-1 gene:Et_9A_062273 transcript:Et_9A_062273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSCPRASVRNKAFDLGQLASCRCRWAGLTAARAAPRRRRTPCVCYVASPTQSVPAQTIPSARTASLPERISVSSLMEVVSDDLLKLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAGLSELTEEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDVTLDDYLLKSYYKTASLVAASTRSAAIFSGVGTPICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQEEPQLREIIDSEFSEPDSLTTAMELVHRSGGIRKAQELAKEKGDLALQNLQCLPRSEFRSTLEKVVQYNLQRIE >Et_5A_040752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1198486:1199078:-1 gene:Et_5A_040752 transcript:Et_5A_040752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVILLAAAMVAAACLLGTAEAKLGKLGKLGRLVVTGVVPCNTGSLIDIATSPAFPNADVELRCMGKLVAGATTNTNGSFTIEADMTNALMAFIGECRLVVDTPLIKCNADLKDVGSLISFLQGPLTRLIGGIFHLFPAGFSFHAH >Et_1B_011997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2789700:2792873:1 gene:Et_1B_011997 transcript:Et_1B_011997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPAVDGARVPPARAGSRLCMRCGERKAALKRPKTLEQICRECFYIVFEDEIHQTIVENTLFKAGERVAIGASGGKDSTVLAYVLSELNCRHNYGLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIVSYKDLYGWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKADKIVTGHNADDIAETVLLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEIASIHQMHIADLLVNLSKI >Et_2B_021679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4419169:4419860:1 gene:Et_2B_021679 transcript:Et_2B_021679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGASFMDKVEAATDDDGSGGVSREVDGVDEEGEMEPMEPLAEPPDDGGPVGWPMPEFCPLTIDGALKESFMETIRKDAAEAVRPPPQEEPEPEMLSPDSRPSSSKRHRAGTASPSSKSPYRNILQVFQQCRRDVVGEAQTRNF >Et_4B_039581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22704862:22708744:-1 gene:Et_4B_039581 transcript:Et_4B_039581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGAVLLAAALVACAGVLGAGAAAGGAKNWLGGLSRASFPKGFVFGTATSAYQVEGAAASGGRGPSIWDAFAHIPGNIAGNQNADVAVDQYHRYKADVDLMKNLNFDAYRFSISWSRIFPDGEGKVNQEGVAYYNNLINYLLQKGITPYVNLYHYDLPLALEKKYGGWLNSKTNELFADYSDFCFKTFGDRVKHWFTFNEPRIVSLLGYDVGSNPPQRCTKCANGGNSATEPYIVAHNFLLAHAAAVARYRTKYQAAQKGKVGIVLDFNWYEALTNSTEDQAAAQRARDFHIGWFVDPLINGHYPQIMQDLVKERLPKFTPEQAKLVKGSADYIGINQYTASYMKGQKLLQQTPTSYSNDWQANSNWLYIVPWGMYGCVNYLKQKYGNPTVYITENGMDQPGNLTRDQYLKDVTRVRFYRSYLGELKKAIDQGANVAGYFAWSLLDNFEWLSGYTSKFGIVYVDFNTLERHPKASAYWFRDMLQH >Et_7A_052509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8688373:8691448:-1 gene:Et_7A_052509 transcript:Et_7A_052509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVNDPKHLYLTGLLGPYHLFSLFLQASHEVSATPELRAPESQQPTSTAISPNRQSATTASMATTSFFHPLITPVAGGGTRLRRCPLTLPVPARTAPRRPAPLLVVRAKRTDSRTPAAASRQPANPSAAPKEEEVEVEEEMPWIQDKALDLVEFTGTVTQAIPGPRVGSSPVPWLLAVPLAYVGVSFVLAVVRTVRRFTSPRTKKKRRVGKNIFLLKSLDDLFQKGREAVDYPALQDLMQKTGFDMDDVVRKYIRYTLNEKPFNPDVVVDLIHLRKASMLDDAEVAEILNEISRRIVREKGPVVMDLSGFTEQGFKRKLAVQALFGKILYLSELPEFCSRDSSLVVKEIFGVTEL >Et_8A_057481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3396378:3398404:-1 gene:Et_8A_057481 transcript:Et_8A_057481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGGRAADRNSRELRMSIEEVAKKMSLWHTATFRPILTHDDLEPILAAAGFVPAPPGDAAAAAWREYVFLGGGGNAAPAPRWLGPRPRLPYPRVDGLHLKTYEAFLGAVEAYLGAHRVSNIFHVRLMPVTNPDRVFDKLFRPMRNFSPEEDGLIVYREGTLDELTVEMCSNHTAIADVGYHVIPGISCRDLGYLRKLDGNCHEEGCCGTRYPAAGYNYFPVLLKDISPN >Et_3B_027617.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:32540488:32541186:1 gene:Et_3B_027617 transcript:Et_3B_027617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVKQLDRDGAQGNHEFVVEVLMLSQLHHPNLVNLVGYCADGQQRLLVYEYMALGSLAGHLQQLSWETRMRVALGAARGLEYLHETANPPVIYRDLKSSNVLLDDALCPKLSDFGLAKLGPVGDRSPRVMGTYGYCAPSTCAPAPSPSRPTSTASASSCSSSSPAAGPSTPPGPPPSSCSSPGQGPCSGTARGTASSPTRSSAGSSRRGTSTRPSPWRPCACRTRPPRARS >Et_9A_063231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16515365:16516558:1 gene:Et_9A_063231 transcript:Et_9A_063231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRPRYLAALLALCACAMAPAASSAASVPITTCRSFCGNITVDYPFALRPGCGHAGLRDLLFCINGALMLHLPSGSYRVLDVDYAYRGLTLHDPAMSDCRALDRSAAGRGNGFVVETWREPYLAPDPDNAFLLLGCRATSPLFQGFPDRHLPCRNVSGIGCDDYYACPAWDDYVGGSGRRPSSGDAYGGAPPPECCAVPWAAIRAVNVSRLECEGYSSAYSLAPVRAPGGAAGWAYGIRASWTLPEANRGFCGACRATGGACGHDMESHADLCLCGGWNSTSNCDSSADAARSGAAPAASSTALRWAVLAAVLLESPIVQSL >Et_5B_044819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6173574:6177917:1 gene:Et_5B_044819 transcript:Et_5B_044819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEYEYVKREFEFDRRLPPSNWIVVRIDGCHFHRFSKIHAFEKPNDKNALRLMNTCATAMLEKFPDIVFAYGVSDEYSFVFREETEFYHRRESKILSLCVSYFASVYVMEWKEIFPNKELKEPPYFDARAVCYPNLKTIRDYLAWKQVDCHINNQYNTCFWMLVEKGTSSKDKNELLAQQFQMNYDDELAMFRKGSSVYREKAETVVKVVYVDIIGSEFWQNHQHILREGKCRHELMKQFDINHMLPPCNWVVVRINACQFNQFTTIHSFDKPNDAVALSLMNESASLMMEQYPDIAFGYGFGSEYSFVFHEKTELYRRQESLILSSCSSYFTSLYMKKWKKFFPHKELMPPPRFEAEALCCQSLNSYVNTCHGDKRNVTLATYTTHAFGLKSGRSEKEAHETLKVHGSDNGVTKERWDVKVDHVDIGAGFWRKHPWILGE >Et_10B_003720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5226643:5228749:1 gene:Et_10B_003720 transcript:Et_10B_003720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPLPLLPLFSPHAGAASPARALLPPARRLASTPATMSEADLARTSVEYPSPVSPPYPPASKDVELRRAMTASARSATFASADVLFEDEWLAVVNKPAGVYCDALLSALPCSAASGEYFFSGPADDPATKPNLHLANRLDRDTSGLMVITKCNKVAGKLVKAFTDHKVKKTYLALCIGYPPTWDKIKICSGHGRSKHGAWRVYAMSDVGRSLPGGSVVRDMSTRFEVLGVNGKGQFREPYSFGTDGVESITVQGKAADHNSNDDVKNSTILVRAYPQSGRTHQIRLHCQYLGFPIRGDVKYGGVIEWNGLECDGHELHAESLEFVHPITGLPVTFRSPLPSWAKDLISTLE >Et_1B_014271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4709686:4710881:-1 gene:Et_1B_014271 transcript:Et_1B_014271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRKRLSSFSGKIQPISSASAEWAFRRSKSAPALAGAFATGHLKRWWEWVGWLLSKKPGFAGDLEMNEEEAAALGRQSRGSWGHILYKVRSSVRRLVTSTHSLPTTQKHSLPSAVPKSVQCKPAAGEEGQQRKVKRHK >Et_5A_040898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14350193:14352957:-1 gene:Et_5A_040898 transcript:Et_5A_040898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDDVIESGAGGGEFAAKDYTDPPPAPLIDAAELGSWSLYRAVIAEFIATLLFLYITVATVIGYKHQTDAAASGPDAACGGVGVLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRAVLYIVAQCLGAMCGVGLVKAFQSAYFVRYGGGANELASGYSRGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNKDKAWDDQWIFWVGPLVGAAIAAFYHQYILRAGAIKALGSFRSNA >Et_3B_028630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17580523:17581674:-1 gene:Et_3B_028630 transcript:Et_3B_028630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding REFPSSPRSKKRSKGGGRNGCSTSSVLQLRLFLSPVPAFRPPAVHGKEALQLQGHSHGAKEEGEPVRRELVEAVVRRGPVRGGQRGRVGGRVQEAGAAQGAERRREGRAAVQGRGAGRDALLARAPRPALQGRGPGPAQPRRDRRRHLALRARIRLPAPPRRRRRRRRPRARRLRRARRRPGRPRRGARRRSSWAVRGLRRARRPAGVGL >Et_6B_049396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4321079:4322259:1 gene:Et_6B_049396 transcript:Et_6B_049396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSTADAAAAARWADLPFDLLHDVSHRLHTAAEYVRLHAVCNPWRDTLLAEQPPALLPWLVTPPDTAGRRTARCVFSSSRARADRRRWLLRAEDCTAASRLTSSTCIVGDPLAIHAGAAPLPPIFSGDDDDEVNWRAEPANHAIGTVSGDGTVVLCHFRLVERTYQQTRFSLSFSLLRPGDAAWTSVRTLKTNSSHDRGRCSMAYHGGKIVLCFGWAAFCIAATPSSSRAEDRHGTWWIPGPHIRSGYILESTHVFEHSGELLCAVVEAKSVIFRPVSELANDLSVSVYALREVEPGKLRWVKRDGLSFADRILFLGLPTSFAVDAERFAISDGCAYFVVRGSPSDWFGIGTVKTCFLFKYCFRNDKSELIELLPDEWDSDACMWLTPRT >Et_8B_060602.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3012068:3012307:-1 gene:Et_8B_060602 transcript:Et_8B_060602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLRQTMMGAVFSNSDPMSASAFGFLGGFTEGAGWSLGRDADMCLETRARVCTAAVCSGEPRGKKTSALAQSSSRSR >Et_2A_017463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34605693:34611518:-1 gene:Et_2A_017463 transcript:Et_2A_017463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAACRRGLLLHHQQWQQPLWAPGPSARAISQLVKTNGRRAFLVDTLALVRKLESQGVPTKQAEAITSAITEVLNDSLESISESFVSKAEMQKSEMLQESNISKFKAQVQSSQENHFSLLQRETEKLRGDIDKMRSELKYEIDKVTAGQRLDLNLERGRIRDELAKQNEETTELTTKLDKEIHSLKAQLEAAKYDVIKYCIGTIVSISAVGLAVLRIVM >Et_9B_064062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10871645:10871961:-1 gene:Et_9B_064062 transcript:Et_9B_064062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDSVVDPLREFAKDSVRLVKRCHKPDRKEFTKVATRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >Et_4A_033109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18640013:18642471:-1 gene:Et_4A_033109 transcript:Et_4A_033109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWALALHGGAGDIPRSLPAELKEARLATLRRCLDIGTAALRAGRPALDVVEIVVRLSSPPLFSCMFPLVRELEDCPHYNAGRGSVLTADGTVEMEACVMEGATLRCGAVAGLSTVVNAVSLARLVMEKTPHIFLAFHGAESFAREQGVETKDPSHFITEHNIERLRQAKEANRVQIDYTQPMKAQAPTDDNSQLGTVGCVAIDAAGNLATATSTGGLVNKMSGRLGDTPLVGAGTYANSLCAVSATGKGESIIRHTVARDVAALMEHRGLALRDAAARVVGGAPRGDVGLVAVSAAGEVCMAHNTTGMFRACATEGGHAEVGIWADADADDAGGESVSFAL >Et_7A_051195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15384572:15389905:-1 gene:Et_7A_051195 transcript:Et_7A_051195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPGMAARPSDTRQPQPGMRFLKPTPKPSSMRPMISMAIFTAHALMIEPARNNMPPISRTTWRPTLLVTRLATSEDNMPAMNNDDVNACLAHADRMASSGTPFVSGRNRATKMVMARSQAAKKRKTAYFMAQSSAMNTCSSTKAMQSVMEMLMACPADLTSTG >Et_7B_054653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3115083:3116394:-1 gene:Et_7B_054653 transcript:Et_7B_054653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAGEPSPPPPPELAMVARAVQRLVARNDALLLGAAGGGEEEGTTTVTMGGGRRRGMAAFEAARGAPAPRIGVAEYLERVHRFAALEPQCYVVAYAYVDRAAHRRPAAAVASSRNVHRLLLACLLLASKFLDDFHHSNAFFACVGGVSNAEMNKLELELLVLLDFEAAVGHRDYDTYRDHLEKEMLRDGGAEKKASPVALPPMLTKPNGVPA >Et_9B_064951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2036958:2046560:1 gene:Et_9B_064951 transcript:Et_9B_064951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMGFPVTRTKRSLVSPSSATPRETLRLSVIDRVAGLRHLVRSLHVFDGARVDGGEGKTPARTVREALGKALVDYYPFAGRFVEEGGETRVACTGEGAWFVEASAACTLEEVKHLDHPMLIPKEDLLPVPAPDVAPLDMPLMMQVTEFACGGFVVGLISVHTIADGLGAGQFINAVADYARGLPKPRVAPVWARDLIPDPPKMPAPPPKLELLDLRYFTVDLGPAHIAKAKARFLEATGQRCSAFDVCLAKTWQARTRALLLDDTFAGDERPVHVCFFANTRHLIRATGGGGFYGNCFYTVTATRPAGEVAWAEVTEVVRAIRDAKARLAPDFARWAAGGFDRDPYELTFTYDSLFVSDWTRLGFLEADYGWGPPAHVVPFSYHPFMAVAVIGAPPKPKAGARVMTMCVEERHLPEFKDQMNADQIADLASMGFPVTRTKRSLVSPSSATPRETLRLSVIDRVAGLRHLVRSLHVFDGARVDGGEGKTPARTVREALGKALVDYYPFAGRFVEEGGETRVACTGEGAWFVEASAACTLEEVKHLDHPMLIPKEDLLPVPAPDVAPLDMPLMMQVTGFACGGFVVGVMSVHTMADGLGAGQFINAVADYARGLPKPRVIPVWARDLIPDPPKMPAPPPAKLERMDLRYFTVDLGPDHIAKAKARFFESTGQRCSEFDVCIAKTWQARTRALLRSDDDDDQRRRPVHVCFFASTRHLLPASSGGGAGFYGNCYFPVTATRPAGEVARADVTEVVRVVREAKARIAADFARWAAGGFEQDPHELTFTYDSLFVSDWTRLGFLEADYGWGPPVHVVPFSYLPFMAVAVIGAPPKPKPGKRIMTMCVEERHLPEFKDQMNAFAAGNQQQQWTTRH >Et_5A_041812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2983129:2999315:-1 gene:Et_5A_041812 transcript:Et_5A_041812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFWQMMTVTMSDCAGSMMSEWEAQLAKGGAVEVDLSTRFEELTADVISHTAFGSSYMEGKQVFLAQRELQFLAFSTVFDVQIPFFRYLPTDKNLKTWKLDKQVRAMLMDIIKTRIANKDTAGYGYDLLGLMLEACAPEHGESPVLSMDEIIDECKTFFFAGHDTTSHLLTWASFLLSTHPEWQDKLREEVRRECGDEVPTGDMLNKLRLVNMFLLETLRLYGPVSQINRKASSDLDIGGFRVPEGAILTIPIATIHRDKEVWGEDAGEFRPERFENGVTRAAKHPNALLSFSSGPRSCIGQNFAMIEAKAVVAMILQRFSLELSPKYVHAPMDSERAVTMSLAWLVTAAVAAMAASWAFNTLVYLVWRPYAITRRLRAQGVGSPGYKFFVGNLAEIKRLRADAAGVTLDVNDHDFIPIVQPHFRKWVALYGRTFVYWTGARPNVCFADVNAVKQVLSDRTGLYPKNLINPHIGRLLGKGLVLTDGDEWKRHRKVVHPAFNMDKLKMMTVTMSDCSQSMLSEWEAQLAKGGAVEVDLSTRFEELTADVISHTAFGSSYREGKQVFLAQRELQFLAFSTIFDVQIPLFRYLPTAKNLKTWKLDKQVRAMLMDIIKTRLANKDAAGYGNDLLGLMLEACAPEHGESPVLSMDEIIDECKTFFFAGHDTTSHLLTWASFLLSTHPEWQDKLREEVHRECGDEVPTGDMLNKLKLVNMFLLETLRLYGPVSQINRKASSDLDIGGFRVPEGAILTIPIATIHRDKEVWGEDAGEFKPERFENGVTRAAKHPNALLSFSSGPRSCIGQNFAMIEAKAVVAMILQRFSLELSPKYVHAPMDASAWTSHDPQDPVKLKCTMGAGGVDGWWWVLSAAAAAVASSWLFNLLVRIVWRPRAVARRLVAQGVRGPPYRFFHGSLGEVRRLRAAGAGVTLDVSDHDFTPIVQPHFREWIPRYGRVFVSWFGPTPDVCVADLGVARQVLADRTGLFPKHRMNANLLRLLGEGLVLANGDDWHRHKKVVHPAFNADKLKMMTATMADCARSMVSGWEAQLAKHGKKGVVIELSGQFEELTADVISHTAFGSSYREGKQVFEALKQLQFITFSTLFDVQIPGSRYLPTKKNRRAWKVDKEVRSTLMRIIRNRLAAKGKTGYGHDLLGLMLEACAPKRDGDPKLLSMDEIVDECKTFFFAGQETTSHLLTWAMFLLSTHPEWQDRLREEVLRECGGGLHQTPTHDMLNKLKLASLGHSQHCYHFLHVILTLTVGCLREARVRRLYSPLSQIRRWTRSAVQLGGVTVPQDALLTIPVAMMHRDKEVWGDDAGEFNPLRFDVGNGGGKHLGALLAFSMGPRACIGQNFAMVEAKAAVVAILQRFRLTLSPEYVHAPTDMITLRPKYGLPMIISSIEESNGMRGPPYRFIHGSLGELRRLRAAGAGVTLDVSDHDFTPIVQPQFREWIPRYGRVLVSWFGPTPDVCVADLAVARQVLADRTGLFPKHRMNANLLRLLGEGLVLANGDDWHRHKKVVHPAFNVDKLKMMTATMAECARSMVSGWEGLLAKQGKKEEVVIELSGQFEELTADVISHTAFGSSYREGKQVFEALKELQFITFSTLFNVQIPGSRYLPTEKNRRVWKLDREVRTTLMQIITNRLAAKDKAGYGNDLLGLMLEACAPERDGEPQLLSMDEIVDECKTFFFAGQETTSHLLTWAMFLLSTHPDWQEKLREEVLRECGSGLDHHWTPTHDMLNKLKLASLGHHFANISLCLLPLLLSVRDDHIHVVNLFLLETLRLYSPVPMVRRWTRSPVQLGGVTVPQDTLLTIPIATIHRDKEVWGDDAGEFNPLRFDIGNGGAKHLGALLAFSMGPRGCIGQNFAMVEAKAAVAAILQRFRLKLSPEYVHAPTDVITLRPKYGLPMIVTRVEE >Et_9B_063857.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17846750:17847691:1 gene:Et_9B_063857 transcript:Et_9B_063857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIANNLPAPEQVISMYKAKNISYVRLFHPDTAVLAALRGSGIGVVLGTLNEDLARLASDASFASSWVASYVQPFAGAVQFRYINAGNEVIPGDLGAHVLPAMRNLESALRSAGVAGVSVTTAVATSVLGASYPPSQGAFSEAAAPVMAPIVSYLASKGAPLLVNVYPYFAYSTSGGKVALGYALLSSDNSGAAASSQVTDGGVAYTNMFDAIVDAAHAAVEKAGVQGLELVVSETGWPSAGGDGATVENAAAYNNNVVRHVGGGTPRRPGKPVETYLFAMFNENQKPEGVEQHFGLFQPDMSEVYHVNFAAAA >Et_3B_029367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24401152:24402068:1 gene:Et_3B_029367 transcript:Et_3B_029367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPATRVNVHSKSSMASSDEKPVPTPASAAAGGASPPGQPTTLASQMLDMGAKMTQSLRPVKQVKQHVCTFALYAHDPKRQVETHHYVARLNQDVLQCAVYDSDASDARLIGVEYVVSRKIFESLPEEEQKLWHSHAHEIKAGLWTNPRVPNMLQKPELDQLAGTFGKFWCTWQVDRGDRLPMGAPALMVSPQADPAATVRPDLVRKRDDKYGFSTAELRTSRADVEAPAEEHPGHADYWLRRRKGFAVDVVPHDMKCHAPFP >Et_1B_013675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11899661:11903258:1 gene:Et_1B_013675 transcript:Et_1B_013675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNNLLTAVLIAAVTLTPAASPAQATSEPINRGTGTDLAALLSFKAELSDPLGVLRHNWSNDASPCSSWVGVSCSKHHPGRVTALVLPDMPLQGKLDASLGNLSFLSVLNLTNASLTGPIPPALGLLRHLRYLNLNQNSLSGPVPDAIGNLTNLQILDLYHNKLSGEIPLALQNLHNLRFIRIDTNYLSGSIPDSMFNNTPLLRGLNLGNNSLSGPIPASVGSLSRLEILSLQNNHLSGPIPATVFNMSMLQVMALAKNNNLTGPIPDNASFNLPMLRIFSLSQNEFSGRIPSGLATCRFLEILSLSYNFFSDVIPPWLPTLSQLTLVSLGGNNLAGPIPVGLSNLTHLNSLDLVSSNLIGGIPEEFGRLRQLSWLNLAVNQLTGSIPASLGNLSEVSQLDLSHNRLDGNVPIAFGNLRVLRNLEFLDISMNSYTGSILSDSLGKLSSIEKLDLSKNSLSGNIPKSLANLSYLSYLNLSFNELEGQIPTGGIFSNITLESLDGNRALCGLQRLGFPPCASSSRSELHIPKYVLPSIAAFVIATIFVSLALKAKYEKQKEGPAPPTLIDGINHMLVSYHEIVRATSNFSEESLIGVGSFGRVFKGHLSGGLVVAIKVLNMELERASKSFDVECQALCMARHRNLVRILSTCSNQDFKALVLRYMPNGSLETLLHSEGRPTLGFLKRIEILLDVSMALEYLHHHHFDVILHCDLKPSNVLLDEELTAYLADFGIAKLLLGDDTSIISASMPGTIGYMAPEYGSMGKASRKSDVFSYGIMLLEVVTGRKPTGPAFVGELSLRQWVNGAFPTRIPDIVDPNMLQEEKLGGGGIGTASRSDSDRLNSCVVSVVELGLLCSNELPDERISMTEVVRRLNKIKADYTRPVV >Et_5A_040185.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20406406:20407296:1 gene:Et_5A_040185 transcript:Et_5A_040185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVASFLMGSRLYRHQKPGGSPLTRMLQVLVAAWRKSGVVALPDDASSLHGPNDSAIQGSRKLEHTDQFRWLNHAAVVVPGDDGEKKHSPWRLCTVTQVEELKGVARLLPVWASGIVMAAVYSQMSTMFVLQGNTLDPRLGPSFKIPAASLSIFDTLAVILWAVAYDRAVVPLARKFTAHPRGFTQLQRMGIGLAVSVLAMLAAGALEVARLRVAADRGMLDSADPLPVSIFWQVPQYFVIGAAEVFTFVGQLEFFYDQSPDAMRSMGAALSLTSTALGSYLSAA >Et_7A_050422.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14469473:14469886:-1 gene:Et_7A_050422 transcript:Et_7A_050422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASFRSRAATSAHRMASHEVPSTVPPPPPEKSRPGGRRSALPSQSTTTISSSVAAGEADHVNGTALMPAARASPSAPATLLDAGKCAKWRGLCQCVMPGRIRSRTSLSAAPNAPGSARDGASAGRLWRRKPGVTLG >Et_9A_063606.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:9202892:9204082:-1 gene:Et_9A_063606 transcript:Et_9A_063606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAGVVVSDALRNTLVDLEATDPVFLYKKTMEASDRVKGQNRLLISCKKKKKKNGEAEQQALLDHIFTEKEKIEVHRRDPGKPDAVKKQGKSDGCGDKDRQGNKRSKKRDDKDKSKKKKRDKDKSEEEDTSEAEGENKEKEKSKAELGLPVRAYDRDGRQYDVRWRYLASNTAYRIIGKGWGVFLRQNGLLNSGGGGGKHRKLSPGEEGGGGGNQSPTSSPDDQEAHDEHEEKDAGVFNIQLWTFRSRKLGLGDKDGHPQGALGLVLLHNREGEDADIGEDNEEMIYAHEVIPTEDGDAGDIVAPNKGTPVVAAAAVGGKPGGAAPRSAARGERLTLIEVVLAQTLPLLKVRDRNNRAADPDASNCVCKQQGPKCTCSDDHFVRAGGEQAHRAEV >Et_10A_001750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7759911:7763117:1 gene:Et_10A_001750 transcript:Et_10A_001750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAATGSVSWVAAAQPSVLGRCGGGVVPCGPAHSGVGGGGRGVRAVGVVRGCARVQEKRPPRGRKTKEERRELVESFINNYRLSNDGKFPSVNLTHKEVGGSYYIVREIVRDIIQENKVLGPGGLNATTLSFEDCPDSSELSLKHELGQDCIEILHTSDDVQAGKVGSSEMSNSEEAYSLQNNVISTQTLLGSSNLLEAGVLNSAVQNGSAGGTGCLETSLEKQDKVPSVGSVEIDVNSSDEQGPQFAHVSDSHKEVELESLGDTDEGTSTSAINEAILSPESSAVYEPNGALLGEHETLPDDSHDGTTDDAVNEGTLLEKTNGVLHTEQTTLQEHDAFIESVPSDSKIAANSMDGFTSKTNSPETEVTTKTIELSNEHKLQENSESPVSHRELDTQGLLEMEGKHSTLQVDENELKKSMSEITSEEVEATSDFMHGEGTSTTTTISRHALCLLTLRCMLTVYNFLHTSQKKTSKAQQKKEENLFWLVLRAFIIAVSKLWTK >Et_9A_061321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:165724:170732:-1 gene:Et_9A_061321 transcript:Et_9A_061321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTQLKFFPTISRTSSPASSAAAASSSAEEGGARRAWRRRAFSWSERVWSASIARMAATSSPPAPGAAGAGAASPEQSGQLQVAASERAGGLDRQGECQGVAQVDLGRGGGAADHADAFAIAGEVRHGGGGLGFDSSKDMEHFVTRLGPGGGQQIPRTEPMPDRRSRFWQADAHPVARVEVLCPQPRRASRPPFQFESINRASPKHNGALPVYRADSASDILDLILSKNDPDVDTDSSSQAPFFCGSPPVRTNNPVVHDPMFGKKAPSFSPLGSSFGRIAGRVEVGSPSCGASSPKVRIEGFACGNKESHCAVA >Et_3B_027551.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27139582:27140651:1 gene:Et_3B_027551 transcript:Et_3B_027551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVPDHHQALPLPPPGSASPRRCVTPQSPLRIKQDGKFYERLLTKEASAAPGNLSFRYYWADQPGSVPFVWETQPGTPKDVAGMAAGAVPAITPPPAYLLRHGNSCKVAASHAYHQQQGRRAPKSRKRCRLRRIRIGFIAGIFRRLSLRKAWAWRRPVEVSSSSRWLFCSAATERGERDHDHDDDVSAARQEKPVLCSSGFRARPTPWLLRFRGAR >Et_8A_057602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:500145:502913:1 gene:Et_8A_057602 transcript:Et_8A_057602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGVSFVAVIPEIQFVRGCCSDLILPFRFGVGLSDSWMAAPRGINQLLRRALQNQSSRSSLLSSLRGNHEESSAGLKALALLGVGASGLLSFATIAHADEAEHGLAAPDYPWPHAGIMSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRAGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVQIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMRWSVLKSRKLVLDVVN >Et_5A_040927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1493555:1496985:-1 gene:Et_5A_040927 transcript:Et_5A_040927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GVNERSIDGAWKYILYNLQRHFSDKKIIYFDGWNGLAAVPLLRSIVQELRSRKAHPPELCFDKIIYLDCSLWESRRMMQKKIAEELKLDHTTMNSVVVPLIYQALKDSKLIVIFINGSDDEIDVATSGVPLAPFVSNKLLWTFNRRLLTIRGSHQQLQSKLRNAHTHLFFYNGISRLSSSEFRALLHEEITSIVARHSCMQAVDPTMVIDCCLYVFFLRYSFHNTTGFDWAAHASNYWKCVGIIEENATSSDIVNALQKEICWKCDGPLLQEIFHKFMGDPKVSFFSDSCYYNIGTQERNRYQTRPYDWVCITSKYLKVPEKDMQIILERPSSLFVIFDKANNPPPGLPNGLFKHCNNLVVLTLSSCAFSFVSPPFLGCRTIKFLGLENCTDNKASKGNHPTGWAQLRSLWVLDLRNTDWDDILSEEKLDIMDNLTELNIEGSKCWQYLSQLKNRLPCLERLRIINPTHQAGAPKDTIDSFMDKKMLQTLDLSGNKGMKYLPTSITKARNLEVLVLDGCDEIENIVLASDLPSSLRTFSFDGYGSTAQWKSILDLPPGSSRPKRPSAANKVDVKTLKVSLQGFKQLENLFLRGLPNLEELDLSGTTIKVLDFNTMVVDVLNLKRLFLLGCEHLRAIKWTSVVVKSLCIDTRPGWSIGCARPSLDKHKNYKLQVHAIIVDARLARSLYAHIQTHDSIDTVYFNIHITKSYMCGGFIREEVTSKETIEPTDQPDLILAIQYDDVPSSMEAFLEPPPPPQQSDRHIEISDGNRIKSEMELRVRNLATMMTQFAKSLNMHDSSDISSLPSGYWSRLRWCRVARCPNLDTIFPPGSSDYRNQLETIWASDLPMARCIWSKRPNNWDSFGNLQHLHLRSCPRLRFALPMWFPSFPNLRTLHVIHCGDLEHIFVLDDGEYEKKVPIHGVSFPKLTTIHLYDLPELRQISERRMLAPALETIEIRGCFALRRLPTLEGRKPGFKRPAVEIEKDVWNALEWDGRAAGHHPDLFEPPVHSRHYRRRQLRGTILRYVLLLAVFLFQT >Et_10A_001777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8065097:8082885:-1 gene:Et_10A_001777 transcript:Et_10A_001777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRGSSQTNMAFEHSLWDERGHNPESQGLADDDSEHDLGAEERATPSRSFVPPRHNVSLVDRHAPSAALPPGWATHAGADIHCKGSLASPLLFATGQGGYTDLIPFLLKAGADPNVPDDLGLLPIVRAALRPCREEFEMLLPLTSPIPDVPNWSIDGVISYANFKNTMPLAVDKRMAIFKSQADEAARRKEYAQASESYSLLKMGDGKGALSDANECRRLRSDRAKACYRQAAAHMLLKEYKQAYEALLDAEKLDPGNEDIMRELRPA >Et_3B_029060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21822443:21824178:1 gene:Et_3B_029060 transcript:Et_3B_029060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGGGFLNDAPILHAENLISNVKSINYSRTFLSIISGVVAGIWGFTGLMGFVFYFLVMMLGSLGLLVKAKFSVHSYFDSWSRILIEGVLGGLMVSLIEDVKTSRNLLYLYYCRSSSKVHSHHGKIMQCHLSWFYILMT >Et_9B_064280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13582974:13587276:1 gene:Et_9B_064280 transcript:Et_9B_064280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPNPDAGPLKPEAVAAGGGEGQQPSFGAAAGEQQQQTPRRALAVRKERACTAKERISRMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQGAYDDEEAAARAYDLAALKYWGAGTQINFPVSDYARDLEEMQMISKEDYLVSLRRKSSAFSRGLPKFRGLPRQLHNSRWDTSLGHLLGSDYMNLGCGKDVTLDGKFGGRFGLERKIDLTNYIRWWLPKKTRQSDTSKEDELSDEIRAIENSMQLTEPYKLPSLGFSSSSKPSSAGVSACSILSQSDAFKNFLEKSTKLSEECTLSKEMVEGKAIASVPATGHDTAAVNLNMNELLVQRTPYTMATDMPTPMKCTWSPADPVDTLFWSNFILPASQPVTMATITTTTTFPKNEVSSGGPFQSQE >Et_7A_051272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16356649:16365868:-1 gene:Et_7A_051272 transcript:Et_7A_051272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILCAQELFDHGQFEPYCGADILDFLGQKGFLCDPLNSCWTVMFDDGEVFSSATRDCTVVERSDSTLPPVIEKFAVKSTFFEFHAKPTASSFTDLAPSSRSLTSSEELLLLAKFTRMLLCDNGSSELQVTIPSLNIVENYRCMKSIRSSLLMNSVATVDWIMEELLKCKLQQWLSSELQVCDEASCYPSKHDQGIIHLISALGFEWALSSVLSAGVVIPEKDDLLPFLMLVRQLQQSPIQQQFSWLLNEESRTHGSCRLSFKSFANKSSCMPHYTRSSGNISQRSAEWNGGTEDELSMKDSLAAVRKAAQAAARIQNAFRAFLFRKGSCKRPSSEKEVRLVSMVSVLEKTEEEGDDDAAEATKLFSWQKVDAALKEAVSRVLSMVDSPEAMMQYHRILEEFRQVDETAAMDARSAATADLYRCLASSSDPPEPGAGPEPPPAGSAASESAE >Et_3A_027168.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3714205:3714522:-1 gene:Et_3A_027168 transcript:Et_3A_027168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMPTMWLRNTFCPCLPGAARAPLRADCFDDDGDCYEELPVSLPPHVPRGLSRELPVSLPPGAGREVEQQPVTPPQSDGGVGAGAWLAMVCVSTYGKVSDQFRT >Et_3B_029817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28157475:28163310:-1 gene:Et_3B_029817 transcript:Et_3B_029817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESKCGAAEKVTPAANPGAEKPADIAGNISYHATYSPHFAPLDFGPEQAFYATAESVRDHLIQRWNETYLHFHKTDPKQTYYLSMEYLQGRALTNAVGNLGITGAYAEAVKKFGYELEALVAEEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQRISKEGQEEYAEDWLDKFSPWEIPRHDVVFPVRFFGHVEILPDGTRKWVGGEVMKALAYDVPIPGYKTKNAISLRLWEAKATAEDFNLFQFNDGQYESSAQLHSRAQQICAVLYPGDATEEGKLLRLKQQFFLCSASLQDMIARFKERKPDRASGKWSEFPTKVAVQMNDTHPTLAIPELMRLLMDEEGLGWDEAWDITYRTVSYTNHTVLPEALEKWSQIVMRKLLPRHMEIIEEIDKRFREMVISSHKEMEGKIDSMKVLDSSNPQKPVVRMANLCVVSSHTVNGVAELHSNILKQELFADYVSIWPNKFQNKTNGITPRRWLKFCNPELSEIVTKWLKTDQWTSNLDLLTGLRKFADDEKLHAEWASAKLASKKRLAKYVLDVTGVTIDPNSLFDIQIKRIHEYKRQLLNILGAVYRYKKLKEMSAEERQKVTPRTVMLGGKAFATYTNAKRIVKLVNDVGAVVNNDPDVNKYLKVVFIPNYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFSLNGCVIIGTLDGANVEIREEVGEENFFLFGAKADQIAGLRKDRENGLFKPDPRFEEAKQLIRSGTFGTYDYEPLLDSLEGNSGFGRGDYFLVGYDFPSYIDAQSRVDEAYKDKKKWIKMSILNTAGSGKFSSDRTIAQYAKEIWDITASPVA >Et_9A_062729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3950154:3950884:1 gene:Et_9A_062729 transcript:Et_9A_062729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVRQGNGEAATSVPALKDLVEFKKKGSHGERGILLAVICIDGAVVYEDAADLTVVDRIYMRPGKIVVSASDPGRQIGVVVEASTTLDLVHLGHGVNGEPVARGVSPAELRRVREFSISDYVVSGPWLGRVVHVTIDVDVLSDDGALCTVVTADDELEAIDGGYVRTVTNTLFDPGGRVMYDSLEGTVVKVKMGAVLGTNQGPRQGVCTAGIPEKTKQPHLVCLL >Et_5B_045211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1199401:1203114:-1 gene:Et_5B_045211 transcript:Et_5B_045211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAESTSPPELIRGSLIPALPDDLAVHCIALLPRAAHPSLALVSHAFHSLLCRHPEPLLAARRRLRLSDSHILLSLRAPSSTSLRFFLLLPHPGWPPLPLPSPPIPVSSSSSVAADGSRLFLVGGSVDGVPAASVQILDPRTRSWSVGPRLSSPREFAAAVVQSGVLFVAGGCVPSSPFWAEALDLSSPTAKWDTIASPTHLREKWMHGWASLAGKVLAVADRGGLAYDPAGRAWTPVSPVLDMGWKGRAAVIGGILYSYDYLGQVKGYDPNTDSWSTVEGLEKELPRFLSGATLANVGGLLYLVWEGKWKGKGKRDGQASRSSMVAIDWAGIEITRVQEGRLRGKVVSRDSVVFPDVPRGSAIAHCTALELEAELYKTLLLAVAQDTLGICLRQDRKHALGLLSQELKDTLSLQSLAAKAKPKPGGPKAALHVLVLLVVAAVASEQRRAVVQQHDDGQEEERDADRRPADAVDGVGRPAEGVEEVGDAAVGGEDVDEDDEADGEQEEADGAEQLRHGLLDGLPAVAEEERRRDHQTQHQRHQQPVRRAVVLAVALLVVVVLLPAPRHHRRRRSDRDRIEWTGGLRCDEFVVVMSVPRTTARLVSHMRERGLGLLVARPCRVVGPW >Et_6A_047727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11814432:11820654:1 gene:Et_6A_047727 transcript:Et_6A_047727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPRTKRPRADGDDGAAAGEEPALFPVGTPVEVRSDDQGFHGSFYEATVEGYPPGGRGYVVAYATLSTREGAGGEPLRERAAAADVRPRPPTMTTERPRRRAFAAHETVEAFHNDGWWAGVVRRVAETAPAEDGGEARRMYTVCFPATREVLDFDEARVRPRLVFQGDRWVPAAEAEKGNPMFREGSQVEVSRSAKTFGEYWSPATILKVFHEGSWWPGVILEILDDKFIKKYVVKINSHETAMDDVEYEDVLTVEHTQLRPRYDWHGRKWVRCLTELQLPAPWRVPMSSVPQTGGFQASLFGAFGQLRPLPQGPALGIQSVNPYFGSIGGSKKAFTVQEKQPTDRGYNLMANPEQNFNAGSSTRTDLPRKRMKECVLSGIQEELGENSENVLKKKRIADKSAEETSHGVASSEDRMLSENNTVTSIDSTPQKDNKGSQEKSVFLRESSVVDEIIPSGVPLGTDELHQGDYIGTVRLGANEVSVFRENSVLSVVTLDKPCEANELPSDYSAHQYRDKATEAQTSEICMEQQTVEELCQKALIVANDVAVDLLPSEKSCETTRNGHQLQEDNMDAMVECPTGCVFPTENVSTMAVPMSSDAVLNMLSSSENCEANKKLDMGSTDLSVGLPSNVPEILYDRADGPFVATSLHELKGDASAKQSSTKKSLTEEQHGVPNKDCSTPMVEFAAGSSQSTDKSALTQLSSADMSNSTEVEIGDRLIEPKDAETTPMSKYVPSRTRDSCCPLLQRSLDVHKSILADRPSESLAVENLPFVKTSPMWAQIEAMEIFNKVPQRPNFHQFQQLVPELREGMALGLMLSFASLAGSINQLNIQDENSLFEEKMKGLCSLEAHGFDVTHLRSHLETQLHMKNSRATELQDAIKKLEEKISQEESVYWHLSTQISTLNSTICQLELHTNLLRGLTQSAVSQKINCAWQISRLKTELKHLFLPENKGFAASR >Et_10A_000960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19655096:19661621:1 gene:Et_10A_000960 transcript:Et_10A_000960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PSPLALLGSRRIASSAPILLSVQSGHRGFIEVQVTCNFEVQALDIYCSETNKWILRESRWRKGEWIIYLGLMTYLNGFLHFTIPFNAVASVDTNGESWRVTHVLSIGDDHRWSLLGASQGHLLYMDTKDPCDELSIYILEDQSSEQWTLKNTLSLFGLRRNWRGRMLYEVSACHPDGDLIFFYDHSHERLISYDVNKGDANETSPVSFLPDHLVIDVLARLSARSLSACTCVSRSWHRLITDPANRRRLAQTLSGIFFKQPRVLAGPRFFFAGLSSPTPPLVTPSFSFLPSSYKDVELLDSCNGLFLFRCSLTPRLDLGARLSPRFYVVCNPTTEEWVSLPQPSYVPGVVSYSDEAVMETETTSAALGFYPAVSSHFHVF >Et_7B_053755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1196386:1205877:1 gene:Et_7B_053755 transcript:Et_7B_053755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEAEQGFRPLDEASLVAYIKATPALASRLGGGLDSITIREVGDGNLNFVYIVLSDAGAIVVKQALPYVRLVGDSWPMSRERAYFEASALREHGRLCPDHVPEVYHFDRAMSLIGMRYIEPPHIILRKGLVAGVEYPLLADHMSDYMARTLFFTSMIYNTTTDHKKGVAQYCANIEMCRLTEQVVFSDPYRVAKFNRWTSPYLDKDAEAIREDDALKLEVAELKSMFIERAQALIHGDLHTSSIMVAPNSTQVIDSEFDDAYKRWILKTIEESWNLFYKKFVELWIKHKDGNGEAYLPDIFNNSELLSLAQKKYMTKLFHDSLGFGAAKMIRRIIGIAHVEDFESIKDDNKRALCERAALNCAKTILKGRRQFENIEQPASSMAAEADQGFRPLDEASLVAYIKATPALASRLGGDLDSITIREVGDGNLNFVYIVLSDAGAIVIKQALPYVRCVGDSWPMTRERAYFEASALREHGRLCPEHVPEVYHFDRAMSLIGMRYIEPPHIILRKGLIAGVEYPRLAEHMSDYMAKTLFFTSMIYNTTTDHKKGVAQYCANVEMCRLTEQVVFSDPYRVSKFNRWTSPHLDKDAEAVREDDELKLEAAELKSMFIERAQALIHGDLHTGSIMVTPDSTQVIDPEFAFYGPMGFDIGAFLGNLILAYFAQNGHADQANDRKAYKKWILKTIEESWNLFYNKFVELWNKHKEGNGEAYLPDIFNKSDLLSLSQKKYMINIFHDSLGFGAAKMIRRIVGIAHVEDLESIKDANKRALCERAALNCAKAILKGRHQYENIEQLGSYFTKQRIFAPTSKKLIKNLHY >Et_2A_016483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25121565:25126651:-1 gene:Et_2A_016483 transcript:Et_2A_016483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPARVCGAEVALPASRGRFPLFSVAFGPVRVLNLQVQFGDRLDVEFFSDRDMGMEVVGSEAAPAQVKVSDGEVSLFQEKESKETAKEREEAAVFGSDTNGASNDLAPPKDAKDEWPEPKQTHAFFFVKFRSYEDPKIKAKLDQADKEFQKKIQARSKLIEAVRAKKAERSSIISELKPLSAENKQYNEVVNEKIKEMEPLRSSLGKFRDENNAMRAQSAGLCSSIEELEHTIKMLNDRIVHESIPLAEEKRLVKEIKELEKSRSKVASNAANRAKLQDTVVEKEAIQDQVKIIGEGIDGIKKERQAVRSKIKVLEDELKVVDAEIASLQEDLDAATARKDKAYEALNAGFHHNRTVLNRARDLSSRNMLTELQELHKTEVDKFMSQWCESKAFREDYEKRILSSLNSRALTRDGRMRNPDEKLIFIESHAPAPPAEQEPVPVKLPAKQAKEAPAKQTDEAPKVEARSKGPAGAADTDEPSESDAKSDEAAEAQAEEETAPASTSVKKEQKNARNRSVVTRTKAPLPKAILKRKKAQSYWSWAGPAAAVAAVLVALLAILGYYQYYRPASASN >Et_3A_023248.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:13764389:13764691:-1 gene:Et_3A_023248 transcript:Et_3A_023248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NSTIDLPQVAVVWSQSNDKSGVLEALVGHDILPRGTNMCTRRPWCSSLCTSCVSLRMPRRTSGASSCSSQGVTSTTFVKSDARSSSHLFYCFGPTKPARL >Et_10A_002342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9645599:9650091:-1 gene:Et_10A_002342 transcript:Et_10A_002342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPMPPSPPPPPPPPRVPPPQGWVILDRVALVSEDSAEDAAAPRGATAALVAPPRVTNLTLPTRFHPNPAEFDRHPYVLAADQEAGILLNVGKSPFVGVDRPPPPPPPPKPTSRLLLVRDFLPAEPGHRDAPTGSCVVTIPSAAPRELTNLKALGLISFPGSGGVDYLLAELRVNPGVNHAKLLSFRSGSAAWTEKSMTRPDMAGRTSPCWGWSSDCVIAHDGRLWWVNLQRGLLSCDPFQDTPQLRLDDLPETISDDIQVRSPRDLEEDRFVSVSRGKMRFVELTRMRTEPLEATMVVMWTLIIDPRDGFSLWKRRYETMFGQIWKNQSYKGTKMGKELPKLALISPTNPDVVFFLLQDKILSVNVPEGRLLNYFEHTCGPDMLGHQPTPQPPMSWRYIVAWELPLSLSTRGQHCRVPSVLRNKTLQLKRAEYALKLLRDDSLTRLEEGLLLRNDKKNSLFSFWLPYMRCRSAVSASETAGLGDTTATVTVERNSVQGMLVWLEQNALFSDWCR >Et_4B_037875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24283435:24286361:-1 gene:Et_4B_037875 transcript:Et_4B_037875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRDPWGGPLEISNADSATDDDRSRDLDRAALMRQLDETQQSWLLAGPGDQAGKKKKKYVDLGCVVVDRKIFMWTVGTILGVGLFIGFVMMIVKLVPHKKPPPPPPDQYTQALHKALMFFNAQRSGPLPKHNGVSWRGNSCMKDGLSDSGVRRSLVGGFYDAGDAIKFNYPMAWSMTMLSWSVIEYRAKYEAIGELDHVKELIKWGTDYLLKTFNSSADTIDRIVAQVGIGDTSKGPSPNDHYCWMRPEDIDYKRPVTECHSCSDLASEMAAALAAASIVFKDSKTYSDKLVHGAKALYKFGRTQRGRYSPNGSDQAIFYNSTSYWDEFVWGGAWMYFATGNNSYLTIATAPGMAKHAGAFWYGSPNYGVFTWDDKLPGAQVLLSRLRLFLSPGYPYEEILRTFHNQTDNVMCSYLPLFNSFNFTKGGLIQLNHGGPQPLQYAVNAAFLASLYSNYLEASDTPGWYCGPNFYTTEVLRKFARSQIDYILGKNPLKMSYVVGFGKKYPKRPHHRGASIPHNGVKYGCKGGFKWRESKKANPNILVGAMVAGPDKHDRFKDIRTNYNYTEPTLAANAGLVAALISLADIDTGRYAIDKNTIFSAIPPMFPTPPPPPSAWKP >Et_3A_024464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2159914:2163324:-1 gene:Et_3A_024464 transcript:Et_3A_024464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLLPLSCARPAPAPPFRCSGLSSRSSDPAEARAWARAGGMARRRAFRETDVHRKTPHRGGGDGGDDPHAAGSAEALLESMRKLLLAGAGAAEEEEEEDEEQEGFPKRWAIVFLCFSAFLLCNMDRVNMSIAILPMSAEYGWNPQTVGLIQSSFFWGYLLTQIAGGIWADTVGGKTVLGFGVIWWSIATALTPVAAKLGLPFLLVVRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLSLVYSGMYLGSVTGLAFSPLLIHKFGWPSVFYSFGSLGTVWFTTWAMKAYSSPLEDPGISAAEKKLITSQSTAGEPVKTIPWRLILSKPPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLMESGLVCVLPWFTMAVSANVGGWIADTLVSKGVSVTTVRKIMQSIGFLGPAFFLTQLSHVNSPAMAVLCMACSQGTDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDNVFELSVVLYLVGTLVWNVFSTGEKILD >Et_8A_058280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4200065:4201984:1 gene:Et_8A_058280 transcript:Et_8A_058280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAKNDAHVVEIPVSVDGGEAVGREEAPATPFLDKAATVAAGGHPLEEIAASAGHLLLLKLWQREEDRLGRRACALEGRMDAARRDAFYLCAAFLAFHGLSLALLFAASVASSASASPSDACRRWWAPSSLSLAASLALAAAVQFRVCAYWRASARLRRERGDARALARVVQELRMKGAAFDLSKEPQYGVTRAKCASVEGTGAWAPLRWCQQNIVTACLLAVAAAALPSGKLILRGQTEAKKTMRPLDSGCDCPGGLMVSRLRLRRMLCVLKHHRLDAAAHEYVPPPFLNIY >Et_1A_007610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36414611:36415405:-1 gene:Et_1A_007610 transcript:Et_1A_007610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGLAVAAGVCRTIVVTLSSAACACTLVAPEVNFSPMIFMKATLVIELFWHAPRLVYDAAQVFFHAPLPPHHMLLSTLVVTEWVMMLLLFASGSSAMAVVVFFQKDTGACRWVHPEACSHWHAASAVLTLWAWFFSLVIAVLMFFLRASPAIGQQY >Et_9B_065534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6287564:6292168:1 gene:Et_9B_065534 transcript:Et_9B_065534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQRDPPTSCSAGPVGEDMFHWQATIMGPSDSPFAGGVFLVSIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKVDRNKYETTARSWTQKYAMG >Et_3B_029928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29170828:29172076:1 gene:Et_3B_029928 transcript:Et_3B_029928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQGIAVRARAPAATAAPPAARRRPCRVSAVAVAAPPAVRSRVTHSMPPEKAEVFRSLEGWASRSLLPLLKPVEGCWQPTDFLPDSSSEMFEHEVRELRARAAGLPDEYFVVLVGDMITEEALPTYQTMINTLDGVRDETGASACPWAMWTRAWTAEENRHGDVLGKYMYLSGRVDMRMVEKTVQYLIGSGMDPGTENNPYLGFVYTSFQERATAVSHGNTARLAKAHGDDVLARTCGTIAADEKRHETAYGRIVEQLLRLDPDGAMLAIADMMRKRITMPAHLMHDGRDADLFDHFAAVAQRLGVYTARDYADIVEFLVKRWNLETLESGLSGEGRRARDFVCGLPKRMRRASERAEDRAKKDEPRKVKFSWIFDREVTV >Et_6A_048075.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:5379958:5381472:1 gene:Et_6A_048075 transcript:Et_6A_048075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQATQPASRSSTAAYPPWVLLEPHADVETTGSYSTADPNTLAVARTSTGHPIGVSLRLKSPPAESRVCFHFPHDAEPGRHTNQVIAAHGDSMLIKVDREGDYHVMPDYLVYNAGTTRAGSPRPPSLSLLPPCPYLTKDETGILRRGKDELVVAQLHMVPLKDDIDETPTKHVAEVHLFRSGELLTGRPRISGLGNNIEEEKFMSWFSSRSVIPVGDNKLCWVSMHRGLIFSNVYDESPGLQYVPLPADASCAKHFYESRNVCVTAGDTVKFVNIFARCCCGGEGGGKCKHSDHAYIMKTWTLRMDSMTWVLDGMMDATELWALDAYKSLPRVQVGFPVVSMDEPHVICFVVGDWLIMVNMRSKMLRSVYSYPNGESEHRYPTKLLLPSKVSCYLNSQNSVSNRQTEVEPQPVAILDTQLKYDASKSKLLPSGRNTSAEPEMPASEIFAALQEISSYGLACDGTRKAISILCQANGRRFRSYLGIPKNLRKDWLLMEINARPG >Et_4A_034485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3721149:3724536:1 gene:Et_4A_034485 transcript:Et_4A_034485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDRETAEALVRFAASLDGAVLGIGTAAVAIASWVKYLAAAKELRLVASATATSIAGLRSILPEDSTEPRLVAVRGFVRTLPGGSFLKGPGSGECCVVTKHTQMCLFTEWRGIFGWTFDLHALIFRSWKEQIITSFRTVKFALADSVHGNRTPTVTVNLDKSDHPLPLMTVYHKLIPVETSPYTLFQTIIGNGYPIALLDEEKILPIGKELTAIGLCRTNDGSLEIISCPEIPFFLSELTKHEMQAELASDSRKLFWASIIFGTLSVCLVGHAIYRGLERIKLRREARQAQQLFEEAEDAIQEDDSSDEDEIGDGQLCVVCLRKRRKAAFIPCGHLVCCCKCALRMEREVEPLCPMCRQDIRYMIRIYDS >Et_2B_022379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19580198:19583154:1 gene:Et_2B_022379 transcript:Et_2B_022379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGDSKESRPRRFPDPLPPPHGHINPEAQRREELLWELHKERIRQDILLRELAETERAMAARFGSAGHWPMQAPPPSQDYWHQRRTPSLPPWDEAAPRPPRAGTDHPPWPYGSPAAGRPPPMYPHVERSPSPVPQPRPADDGEQQQEGEPSAGATERLMLRGDADACQSPSKVTLPEGALVPGAGDSGAVTMLSTTFAKEATPGDRMGVSGELKHDVENGHGVQPLHESGEQSSEQRKTGESTMKDQKDELVARLGQDSPAGQKNGTSDEQKQTGFAEPASHAEETSAEVTRMLTAVTTPVGGKPKDDVEDGHGVQSLYGSGEQGIEQRAEESTVKDWRDELVAQPCQYSPAGQENGTSGEPKQTGFREPTPQAEETFSSAKRKLTSPPSPVAKKQKPVSKWNCELCQRRMTSMVDLVKHWAGKKHQSKLQARNKTAAAATPGSPPMCRNGDKSVAENGRCGVDAARPAEEDREPASSRWTCNICDSKCNSASNLQDHLGGRRHRENTEAILAKFKGCYSRKDAEPGKNTYCCNVCDAPCNSDTMLASHLVGRRHRETLLGR >Et_3B_028348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13972415:13973199:1 gene:Et_3B_028348 transcript:Et_3B_028348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKIDQEEAVCRCRDRKRLMADAVQARNAFAAAHTGYTVRLKSTGGALSDFAQGEAPDPSLVASHSQHAAAAAAAAAASISTPPGPSTAPVLSAASPPPPPPFPNFSHSSLQRPTNAGLCNASATSSRVTDGHLGLLLWPDHHTPTHPGAAS >Et_1B_013361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7754796:7757918:-1 gene:Et_1B_013361 transcript:Et_1B_013361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKDVGEEGEVVPVKSRFRRICVFCGSSHGKKSSYQDAAIELGKELVARNIDLVYGGGSIGLMGLVSQAVYNGGRHVLGVIPKTLMPREISGETVGEVKAVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPEYLPQHEEVVPKLKWELEQLGYSENYEIPVPKEGAMITEPQRENLWM >Et_7B_054101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15361140:15363153:-1 gene:Et_7B_054101 transcript:Et_7B_054101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CGSPSSLRKDEVLIKVQAVSINPADWHIQNGLLRPFVPKFPFIPESGEIVEIGSAVCEFKVGENVLAKAFFMSYSSNEKFLSSSGSTSIRHSYENISSLQKAGGLAKYVATVTCPAGIYSAADAAGLPLAGLTALQSVKAIGTKFDGTGTGANVLITAASGGIGTYAVQLAKLGNHHVTATCGTRNLDLIRSLGADEVLDYTTQEGAAFQNQFGRKYDNIINITKDGSWSLFKRA >Et_2A_018461.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28779881:28780336:-1 gene:Et_2A_018461 transcript:Et_2A_018461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVEAERAAAGRVAMDSILSQLFALTAGKKDTNKLSAQRGTETTEQRETSICEAIYNLGNAASPGTRPMGGFLPGTERFVKVSTLGTGSHGVVAKARDSVTGETVAVKTLHPKPLYYTDRDDDRELFESYKAELQLPHRLLREACFMAAC >Et_4B_037152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15520304:15526388:1 gene:Et_4B_037152 transcript:Et_4B_037152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSAPPPRFHLSLNLQEPGAKPSPPPSRRPRPAPTTETLRRRLLRKGVSPTPKILHTLRKKEAHKALRRARKDTAAAAVAPSDEALDTEDEEARFRAAAAEYRALMGRPWDGGARGVASTRGGGLGEGEGLEGLKDMLAARRGDGFRWLLDDDLEAEAVPGKQRSPGADWKADAGDEERRIEWLVTRLNEDDLTLRDWRLTRMMRKADLLYNEDNLLKILNGLEARGSWRQALSVTEWVYNENNYRHRKSRFVYTKLLSILGKSLRATEALRIFTIMRGDAQIYPDMPAYHSIAVTLGRAGLLNELIKIIECMRQKPSKKVMQTRRKDWDPSLEPDVLIYNSVLNACVISQQWKGVFWVFQQMRINGLSPTGASFGLAMEVMLKAKKYDFVQKFFEKMQKSGVPPRAITYKVIVRAFWEQRKINEAVAAVTDMEKRGVVGAASVYYELACCLCNNGRWKDAMLQVEKLKQVPLTKPLEYTFTGMILASYDGGYIYECISIFESMKDHCTPNVGTVNVMLKVYGRCDMFGKAKDLFETTKACFADSQTFGHEHSLLKADRYTYSSMLEACASAQQWEYFEYVYREMALSHQHLDQSKYAWLLIKASRAGKPYFLEHALASMLERGEIPDVQLFTEAISQYIAQRDYDRTLQLLNVMSDASVNVSELQWCSLLQKNEHLFSMDVLQDLLKYFSIRDTVSSNPSLSFVRALQSQCGATLVKGTSLMAEGAGNEEEQDQLSYKNVCSNELFDVEVSREVPHSDFDVEVCSSGVMRNNISLCAPRLENKHEKCVLGQGGTQVSAIDEVLDSMNSYGNSSYGAIPSASEILETWKQARINDMIALKTGSTNTLGG >Et_9A_062532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23857852:23860468:1 gene:Et_9A_062532 transcript:Et_9A_062532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RLAGNATRQFYLTLNGKLWYGLPITPHYLFTDVIGDIHAHQASDQYLVSLNATANSTMPPIINAIEIYSVISTADMATDAQDVSAITAIKDTYQVNKSWMGDPCAPKSFAWDGLSCSYEMPGPPRITNVNLSSSSLSGDMSFYFAKLQRIEYLDLSDNNLTGSIPDVLSQLPSLKVIYGDNPNLCSNGNSCQITKNKNNAIYIAVPIVAFVVVGTVALLLVLMRKKKVSAKSSVKPQNGNGHNMLRLENRRFTYRELEVITNNFQRVLGKGGFGSVYDGFMEDGTQVAVKLRSQSSNQGVREFLTEAQTLTKIHHKNLVSLIGYCKDGQYLALVYEYMSEGTLEDKLRGRHGSAGSLTWRQRLRIALESAQGLEYLHKACSPPFVHRDVKTPNILLNANLEAKIADFGLLKAFQNDDDTHVSTDRVVGTHGYLAPEYVTALQLTEKSDVYSFGVVLLEVITGQPPILKCPDPINIIQWARQHLAHRDINAVVDPYIQEDYDVNTVWKVADIALKSTAQGPTERPTMTDVVTQLQECLGIEEGRA >Et_4B_038037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25623640:25629059:-1 gene:Et_4B_038037 transcript:Et_4B_038037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSQLRYRLPASIAKPAAAAAVVVAALASSFLALPPRAAPVAAGARFIMSKARVYSDINVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIIKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYALDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKAIDFLDKLLRYDHQDRLTAREAMAEAVTRRTEAQLHSSSCSLVYHKCSR >Et_5A_040356.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:16290553:16290873:-1 gene:Et_5A_040356 transcript:Et_5A_040356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKSPALAAAAVLLAVALLVAAAPPAEAVCNMSNEQFMSCQPAAAKTTDPATKPTDACCKALQGADLNCLCAYKNSPWMSVYNIDPTRAMELPAKCGLATPPNC >Et_4B_037836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2393678:2398736:1 gene:Et_4B_037836 transcript:Et_4B_037836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYLRYEPALTFGVTASPESNVAYDPSGRLLLAGALDRLAAWDLKRGLPSVSFAPSSSSASLAVSCIASSPSAAASSSIASGHADGSIRLWDPETGACEATLHGHRSAASALRFAPSGAVLASGSKDCDVILWDVVAQAGLFRLRGHRDQVTDLVFLDSGKKLVSCSKDKFIRVWDLDTQHCLQIVSGHRSEIWSMDVDPNEKFLVSGSADPELRVFRIRQSAEEGEDWSKWDVLKLFGEIPRQSKERVATIRFNKNGSLVACQVAGKTADIYRVLDEAEATRKAKRRMHRKKEKALAKSVAAEGNGTVIDPLPAQDTQNPAVIVTDVFKLLQVLRASKKICSIAFSPSNPPKGCLATLSLSLNNNILETYSVDSDKVSKMYSIEMHGHRSDIRSVSLNSEDNLLMSTSHNAVKIWNPSTGDCLRTIESGYGLCSAFVPGNRYALVGTKSGTLEIIDIASGSSIEVIEAHSGSIRSIVPIPDEDGTASARGFVTGSADHDVKFWEYQWTQKSDTDSKQLTITNVRTLKMSEDVLAVSIGNSQVGKQYIAVALLDCTVKIYHLDTLKFYLSLYGHKLPVLCMDISSDGTLIVTGSADKNLKIWGMDFGDCHKSIFAHSDSVMDVKYVYKTHYMFSVGKDRTVKYWDADKFELLLTLEGHHAEEEKEKRLEETLEADLDNDIEHRYGQKDDTPDEGSVGVPGRKTKETLTSADAIMDALDTAEEELKRLNQHKVEDLKNGNGAKFQPNVIMQGHSPSDYVLNVVSNIRPNDLEQALLSLPFSDALKLMTYLKEWSLVPSKVELVCRVCLLLLQTHHNQLTTTPAARSLLTELKDILYSRVKECKDTIGFNLAAMDHVKELLAMRSDAPFRDAKAKLMEIMKEQSKRSDRSDGNERRKKKRKKASGES >Et_3B_028742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18683435:18687706:1 gene:Et_3B_028742 transcript:Et_3B_028742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPKESLGSMSSCDRKDSTFSSNDPSSNVKLPVPSEPNITMGLAEPPFCCSWGTDIASPGVCVDGVDGAELGEGPAVFAVPELLALPEDEPDGNHLYAAVASAAAAAAAAAAAAVVVAAAAVAAAAAVVVAAAVADAQLFAIAFVAFVYVVVAAASVEVAVAAVVPGLSRVESGTMQ >Et_4A_035416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23912631:23913795:-1 gene:Et_4A_035416 transcript:Et_4A_035416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLAGALLLLAVAVDVAGAAGFTDDFDESVMQVVAAALGPGGLAPLHWSNGTSPCEAWAGVRCDTNGRVVAVLGAARRVHKLPTLQLLDFRDNNINGPVAVAPFPELRRLHIDSNNFSSVPTGFLFFFPSLEVLTMSNNNQSEPWTLRKAAPNLPNLRVLQASNASITGTLSLFLGNSTSFPNLAQLSLADNKLNGPVPATFVSQTLRYLDLSNNKLTGSIEFVMNFLNIKAVRLDGNAFTGRLPDFSKLPKLRYLSVAQNQFTGYVPASLAEHTGLKAVYLAGGNVFQGPMPQFGPSVQTDVLDAASRGSFCRPEPGPCQESVTQLLSVAAGFKFPAMLVASWKGNDPCSRVAGRPLRHERSGHRRQLVPSRARRDT >Et_6A_046735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:218675:219164:-1 gene:Et_6A_046735 transcript:Et_6A_046735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEKNVGPFRRTSARTRRMATRMASALASSDNRAQAALARLEALESDNAGLSLEVVDLNDDEYGSTDEEDP >Et_6A_046106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24998525:24998899:-1 gene:Et_6A_046106 transcript:Et_6A_046106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCLKLLQRSYNGSKLNFKEGEFMILRLESIVWSFDRMEALSGINHLFYLKKIELNGDCNNLEQIIKVDPSDHRTKPEIKHNPHHKSQVDGTAVAASSSSAP >Et_3B_031714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8694322:8699211:1 gene:Et_3B_031714 transcript:Et_3B_031714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHDPKWWLGEPLWATAAAQGVQAATYFWPGSEVVKGSWNCPDKYCRHYNGSVPFEERVDAILGYFDLPYEEMPQFLTLYFEDPDHQGHQVGPDDPAITDAVKHIDEMIGKLIAGLEARGVFEDVNIILVGDHGMVGTCDRKLLFLEELAPWVELNEDWVLSTTPLLALRPPDGVSPAEVVAKMNEGLGSGKVENGQYLRMYLKEDLPSRLHYSENYRIPPIIGLLEEGYKVEMKRSKRKECGGAHGYDNAFFSMRTIFVAHGPCFQGGRTVPSFENVEIYNVMASILNLKPALNNELPLFRDDCHSGYAPGQLLVKNEQEKQQGPQETNIIDYFLTCSLSELLCESSSTHRKLQLLPTPNVLRKRSQLRHSRTFSRVAGLTEYARHEHHAQAEHASQPAGHRHSSKLNVEPSPSSAPLRPSLHLAEESPILVGHAEKSGILLSPAMLRRRHCSASFPPL >Et_2A_016592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26432991:26437482:1 gene:Et_2A_016592 transcript:Et_2A_016592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGCAGGDGGEGMLARWRRAAAKRIGLSCASFFSHEASPSPPSKTVCPSLSFSVPYPLAAAVLGKTISCSAVNAPADSSDGEQKRLEEPTSTRMADKNLCAICLELLGTSSSDVDNGERPAIFTAQCSHSFHFICIASNIRHGNVSCPICRAQWSELPRDLKVPPLLHNQSDPILRILDDNIATSRVNRRSSIRAARYNDDDPVEPYTLTEHVDPCLRFALIPAPVAAHHHALGHYPCGRLLPLQQHCQYSSSSMLSPPQIASPRGQRRAYLSVSLAPQPAMDLVLVASPNGPHLRLLKQATALVVFSMRAIDRLAIVTNATTATRTFPLRRMTSHGKRVALQVIEHLCCVGGTDPVGALHKGLKILEDRAHQNPSNCILHLSDHPVRNCLGVDMNLSNIPVHQFHVGLGFAVQSGFIMHEFEELLARLLGGVIGDTQLRIGEHGGMVRLGELRGGEERRIPLDLVADCGFILVGYSYLEGGREDQLRTGEIAVGFEEKSGSGHGGSREMGLSIGGGERRSCCVDRRDYHDPFMARRWAKHFNVYRA >Et_7A_050232.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14042129:14044261:1 gene:Et_7A_050232 transcript:Et_7A_050232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAAAMMNLVAIGLVLSTLTAAGIWSPAPPAAQQHPGDHVVREGRSVVIVEYEREADGTVKETRVLSSRPLDDGEDGARVLEETRGAVTGAAEKITGAAEDAKEKLADAKDGATGGGVLGAVKRCKDRLCGAGRSAEEGAKDAASRVEHGAEDAAWRAKETVADAKDSAENKASDAARRGKETLESARGKASEAASQGKETLESAKDKASQGKETVKGKVSEAAGKAKKKASDLEHGAAEAARNAKDRVSEAAKHVKHGAKDTVRGARDTVSDMAERAEEYAHDAAESAADRAAEAEEAVKAKAGEVRKNLTDIARRARDVASDAAAYLLGGPLEAARTATAVMHLLGFATAFGTCVWVTFLSSYVLAAALPRQQLGMLQSKMYPVYFRAMAYGVGLALAAHLLGAERSSLASRAQSVNLLAALGLVLANMLLFEPKATKVIKRVPFYERRCFPSMSAN >Et_1A_007207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32024375:32029983:1 gene:Et_1A_007207 transcript:Et_1A_007207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYFCCNKVKQIGWNVAAAMEPERKRKRHDPPPAAATAASAAAVASVFGSLDLFHEIILCLDVLADLVRAALVCKHWFRLVSDAAFLWRYRRRHPLRPLGFFAVSSVHRSMRFVPMRRPSALARIHNDFKASVGKDTTLSVSDCRNGRLIIAAKRGRDGVRKFFVFSPLYHARGTPALKPLPPAALIERDHCFRHVLLPEQGGDGRSCTAVTVMFDDLHYSRVLVHWRWVSQLQFLLASGKIYILGMARHVLCLSLRYMSLSRVRLPDEVCYLYRTNLMLSRPDAGSGFYLVQLIGFQLRVWLHTFKWNQVDTICLRQAFADSSWNLNTGADAVYLAAVGDNAEFVFLRIRRALFCIRVATRAVEKVYGYEQYQQLETIHPLMTIWPVFPSLKD >Et_2A_018317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22790910:22791516:-1 gene:Et_2A_018317 transcript:Et_2A_018317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAFYAFPSLRGLPLWDARGLAVAALLHVAVTEPLFYAAHRAFHSGHLFACYHSLHHSVKVPQPFTAGLATPLEHMVLGALMAAPLAGACAAGFGSVALAFGYVLGFDFLRAMGHCNVEVFPSKLFTALPVLRYLVYTPTYVRDRSTLLITSSIFIHPDRYIELRFDA >Et_2A_016380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24049248:24049764:1 gene:Et_2A_016380 transcript:Et_2A_016380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FSDSCYIGSGGFDDVYRACVFSGSLVVALKRIHVVEAEARNKRRAFENGVQILSLVSHRNIIKLIGFCTTDEYNYILYNYLDSDNLCSSLLNLGLRSKVTKGLAHAIAYRHRDCDPTIVHGDINLTISLLRVRVPFIQLRRCQHDWKLNKMDSSGYNLRIHGS >Et_5B_044719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5020432:5022984:-1 gene:Et_5B_044719 transcript:Et_5B_044719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLVRQEQAAVADARSVVANEGALPTDLLTEVLLRVRAKALCRLRLVCRSWRSLTSDPCFARAHSSRHQLFVGRYYVGNGEHKIHIFDMFGNTVKRICGLGEHMMHLSTHADLVCVKRRRTLEVEDGDDGRLLSQDLLLNPATGAIHVLPDVSISSTEVTTCFLGRVPSTGEYKVLRIVQYYGGPGAEVMFAYEVITLDASRQSLRVRVMPNPPTDVSPYVRYVVVVCEIAYFFSHPVDTIVMLDLATEEWRPSVAQCPPMNRDTVALYRLNGRLVLQNYNTDDSSMDLWFLMDADKALWTKRYSMHCGPNWKNHPYPLVVLDDGRLVVATGWPPVLRYFDPRTCTWADLVAELDEEYPIVFYEDNLLCPGLNPIPFSCVAAAIGTDHKLELTKEGETENPIALYPWLPLG >Et_9A_063582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8151098:8151527:1 gene:Et_9A_063582 transcript:Et_9A_063582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTGAGAGSKPKKGAAGRKAGGPRKKAVLRSVKPALCFPFVVSAAISRRAAMPSALVPVPPSTLLPLSSTSLAAKVMEIAGNGAKDNKKTRIISHHIILAIRNDE >Et_10A_000754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16529960:16534711:-1 gene:Et_10A_000754 transcript:Et_10A_000754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIRAELESSGFSVGGASPADASQILSTLLTYCINYKMSPADLVSNWEVYYLNRQLDGLKIESSYLDGFLSHLQNEVKDRIIEEEANLHVYSSNDVDMLLAGTHTDEEGFLDTPGTKQEKPNAESSNSELTPLTADRPSSSRMAKTNGDRITPFATRVNKFTQQYILRADNVASEPQRNEVETTEDEVIRRIQPSQRCSLQIQHSQPDPGCRFMYDRMEDRFNYLEDRIRKPASLFSASGFYGEPADATLASEEKMFAVGMVVCDGEGRLNEKSILLQGSVEHSRGQRVRLELKNLDHFSLFPGQVVGIDGHNPSGHCFIASKLNDSIPTSADAQLPSAKKQAVGNESPQNSNTDTPSRVLSTVIAAGPYTTTDNLLFEPLQELLSYACRKQPQLLILMGPFIDSDHPDIKKGTIDQSFNDIFHFEILRKVQDFAQYLGNTVRVILIPSVRDAHHDFVFPQPAFDLNLPEDITHQITSLANPNLFSSNEIQFGCCTVDILKQLSSEEISRKPASGKPGDRIGRLATHIVKQQSYYPLYPPAAGVPLDFSLPKEALEISSTPDVLLLPSDLAPFVKVLSLGEGNEDEKRFICMNPGRLAKGIGGGTFVELYYNEHIDKTNASIVRI >Et_2A_016032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20320355:20323006:-1 gene:Et_2A_016032 transcript:Et_2A_016032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRPTKSGEKQEKPCVHWGRRHRGGSRPAVLLSIPLLLLLATGADDRPAWSVLLGCTNSRDAAHNLRLHRFGVTGSGRILGHSDDLLELFGSVSPGVDGERTAVCTDVAEAALSPCRRRIHIICGHQPAAPGTTCPIEQSVRGPRPAVASESLFFGRRRRRQESVFSATPLLHPRAVQGRLGARERVGVGKFKWRLLLYRLAGDDNDNGSWAEVANLEFPHDRTLENTHILDPCRHRRQRRTNPLPVCPHHGQSGGDDDIVYFVRGTRLWSYKYSPDQDGNKPLAPTVEVDTIWPYDYEEGGGFVVHLGGRTLCAVWSNMRPQPCRCAARHALITTLSVRGAADEETGCFVPSGVEILHSTFRRIDMLRSEPEEYWTCADTFCFLQEFVNRESQHVPGVTHCLKIARWPPNQILLYVPSLSAIRFVSDLYFSRLVACREKAIEAVLCLDRNRHGDLGIMDDPPAWFSMHHGSTLDVIPSYPHCHHYVVYVVNKSYKIHNSKRSKLFFSAVFRAGQHVVARLFDVYILNRNNFQWRRQKISSSSIDLSRKVKISGFVDLLDDAFVISDANTADCFLFDLKKGEWFAVKPTMRVSYTPTQDGCLIAYELIHEGTWYRLRSPIRSSTILKKKKKIFLGRISAEIEGSYHGSICEGKIRDSISLCFVSGRAMAAPFTSRHIGYHHSTQVMLKETAR >Et_2B_021229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27703090:27705851:1 gene:Et_2B_021229 transcript:Et_2B_021229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRRHRGSGMPGGLARALASRLRARSHAARRSRHHVAARPMHRRAHVHRLPPLVARAAAAMLSLVARRFPRLAAAAAATTMAAREMRYPRRADGDQQPRMALRRRRRHRRGGMPGGLEHLLLDSGRVFMLLGALVLTWQLGPCTAVHIFVAFLLWLFGAAAVMLSLVARRFPRLAAAGSAAVTVLRNYFYLLGGL >Et_3B_028087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1079438:1083393:-1 gene:Et_3B_028087 transcript:Et_3B_028087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIASCSCPTLFASSSSTPFRKRKFHPSLRTPPRRRKLPPPGLSALRCLGSGKPAPPVGGDTGLSVRKAAEPVNDRGGFRAAPFDASCGLAFATVAGLLMLQGSQQALAATQFAGLQPADVLGELGDISTGFASAFLLIFFSELGDRTFFIAALLAARSSGGVIFLGTFGALAVMTVISVVLGRAFHYVDGIIPFSFGGTDFPIDDVAAAGLLIYYGVTTLLDAASGDGEKINEEQEEAELAVSKFSGNGAGVMSAASTIASTFVLVFVAEWGDKSFFSTIALAAASSPLGVIAGSLAGHALATVAMFRQRDLRSAHPTSEVGAEDEIGRYILNSMKPFLLKLCTHTSTKDCKGKGQL >Et_9B_064887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19587784:19588812:-1 gene:Et_9B_064887 transcript:Et_9B_064887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLSSFLQFILVLLPVHHTAHLDLDLNLEAPDSEDENRVDWSSIDEWHGAAHELDYDMVWVDEDSVPDGDHDAIDGGAANVDAEAAQGDGKKRRFYTDDQKIGIYADLLARTTPPVWQTMLVAGFGSMHRDLFLDLFGMLVAGIGIMHCDLLGELVDVFL >Et_5B_043122.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:7084992:7085306:1 gene:Et_5B_043122 transcript:Et_5B_043122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGDGTAGLFWKDRWIDDQSVRSLFPNLSLIIPNRIRNRGRLRVSMVATGSDRFKVGARSRFSLSTSFGTASTMSCSGLTPQTLSCGNDRHPGPTRPNRPTKPSS >Et_3A_025248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28280989:28283161:1 gene:Et_3A_025248 transcript:Et_3A_025248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLSPREAASLPREDLEAHVVSLLRQCRGLPALRAAHARLLRLRLPRLTAAFALSKLLASCAAKASTTAAASYARNLFGQIPDPTAFCYNSLIRALSTPACPAAAADPFLVYRRMLRAGSPHPNSFTLAFALKACAAVPALGEGRQLHAQAFLHGLEPSPYVQTGLLNLYAKCEEVTLARTVFDGMTGDRNLVAWSAMIGGYSRVGMVNEALGLFREMQAAGVEPDEVTMVSVISACAKAGALDLGRWVHAYIDRKGITVDLELSTALIDMYAKCGLIERAREVFDAMVERDTKAWSAMIVGLAIHGLVEDALELFSRMLALKVKPNNVTFIGVLSACAHSGLVEDGRRYWSTMQDLGIKPSMENYGCMVDLLCRSGLLDDAYSFVTGMPISPNSIIWRTLLAASKSSNRIDIVEPASKRLLELEPLNPENYVLLSNLYASSSQWEQVSYMRKKMKDNNVTVVAGCSSIELNGYLHKFVVSDDSHPEIKEIRLVLREIADRVRRAGHKPWTAAVLHDVGEEEKEVALCEHSERLAIAYGLLKTEAPHVIRVVKNLRFCPDCHEVAKIISKSYKREIIVRDRVRFHRFTEGSCSCKDFW >Et_5A_041527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2453948:2457873:1 gene:Et_5A_041527 transcript:Et_5A_041527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRASRMRPSPQELVRSIKEYFVALDTKTGAKALEGVEKNVLTLKHTLSGDGEVEPNQEQVVQIALEICKEGVLSLFVQNLPSLGWEGRKDLVHCWCILLRQKVDESYCCVQYIENHVDLLDFLVVCYKNLDVALNCGNMLRECIKYPTLAKYILESSSFELFFQYVELPNFDIASDALNTFKDLLTKHEDAVSEFLSSHYEQFFGLYIRLLSSTNYVTRRQSVKFLSEFLLEAPNAQIMKRYILEVRYLNIMIGLLKVFVANPNKPRDIIQVLVDNHRELLKLLHNLPTGKGEDDQLDEERDLIIKEIEKLVRLSV >Et_4B_037120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1489782:1496105:1 gene:Et_4B_037120 transcript:Et_4B_037120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQMRQYSTKKERNGSGRRKGFVDPSSWRYFDSRAVGINNSAIPKDAWTVLRALKQKGFDAYLVGGCVRDLLLKRVPKDFDVITTASLQQIKKNIFRRCMIIGRRFPICQVRMRGSVFEVSSFRTTGYYANRSEGVDCFEELNGYNDADVLRWKNSMRRDFTINGLFFNPMNYKIYDYVNGVRDMRKNKVCTVIPAHVSFSEDPARILRGLRIAARLGFQFSSETSTAIRDLSPSILNIDKTRLMMEMNYLLSYGAAEPSVRLLRKYGLLDMLLPFQGAYLSDQMKGKSSDRDLMLMKLLANLDKLLSADRPCHCSLWLVLLAFHSALVTCPQDTQVIRAFAALLYFGTWEGAVEFLKEDVGAHVQFSPETLGPSHMKLDILMEQTSHLASLVKSSVHILTHSESLQQSLARFPDTPQFSGLVFVSKNERSRLLRIFEGLDSDLAAYDDRRRGRYEIDYESLKDGDFAEVRFVLGKVIMDTLSDELPCESTKDAAVTNANRADGNHPPLSRLF >Et_2B_022283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1715318:1718355:1 gene:Et_2B_022283 transcript:Et_2B_022283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTGGSTPERRQRRRNEEPPDPAAAAISVAKVFGNRDLLGEILLRVGSPTWLVRAAVASRCCLRVASDPAFLNSFRARHPPRILGLSVIGIRSSPRLLPFPQPPELAAAARFASRALGYLGRDDRCSDYCNGRLLVEMTGNTDWLRYDVCSLYHVGRHRILPPPPPLGSGDEEPGLFYSHRLFLFEDDATSCLYLSMACDKETVRANFSILGDGVWGFQQSAVRKLQQDPYQTVLGHKLLSGGKVYMTTTAGCILALDLATATFSALVLPDGAEQSASLRLSRAQQSGFYLIYTTGLLLRVWHCNGAGQWVLVDTISVYEACANLSVQKWVPDDEYTSPVCIVGVGDNAEFVILELVASGIVCCMQRGNRVVEKVAEGLMQICGPSAGLWLQTPIAKST >Et_6B_048313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11342992:11344119:-1 gene:Et_6B_048313 transcript:Et_6B_048313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGENYRAQVSCWITCQANASDYENYDHQGVHFGNIAFDGVPVAILVVLVVLAEAREIATYICSNWTKVGLICRYAQKWQGSPSMQDWIGRVLRCRCKLMKHWDDKMNQCSVLVLHPRRRDQIVSLISHLLHLPANGKSSVKVPRVVKAAIVNALRSSSNNNNGFLADPTTFLRQSLQDRRNNFLWASDGKGIADIILMWHIATGILGLRIVATHLSRYCAYLVAYMPELLPDYDKWSRGLYMAIKKDSIYTLAAGRTSALPAVPESLEYDKVVSLLQERAENEVLRNGVKLAKELVGWEGEEAAWSLLAGFWSQMILYVAPSENLNGHADAIARGGELITFVWALLMHAGIARRPATGERV >Et_5B_045288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16763900:16765212:1 gene:Et_5B_045288 transcript:Et_5B_045288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWPGLSRLKKPWIAPGDGADHITGLPLELRAHIASFLPFQQVGQLSSLSRPWRRIPFTDEMLDEDEDHPGVLDDDALAGLESALLRRAAEGSSGSCKVDTLRISYTPDDARMVPHADRIVAAADARKVCVRVPNSGRTSRVAWALVVPSSARDLEVIAFIHLAPAIAGPGATALRTLLLHHAVIREWPRLPSLRSLTALCVTVQAPFPPAAWYPHLEYLGVFNSAIDSARVDICLPQLKVLEMDEVHVVPPRGAGKPLADVTVDSPVMEKLDVFCSTGCTPDYGSFTARVPRLRHLTWHHQFAERVNVHFGRPSSVTSGSIVFTWNGGFHCREMKECQALMMRMLAGLLPELSSDGVADAARPYMTLDKYKVEDSDTGEMFPEEKLTCNLKALTASREA >Et_1B_010672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12910973:12914749:-1 gene:Et_1B_010672 transcript:Et_1B_010672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKPSSSAGGGAKPAAAAPPSAAPATVHSALVTYTSMLSLLSLCPPFVILLWYTMVHADGSVVRTYEYLRDHGVVEGLKSIWPMPTVTAWKIIFGFGLFEAALQLLLPGKRFEGPVSPAGNVPVYKGHVAPSSSDSGSSGNVIIDFYWGMELYPRIGKHFDIKVFTNCRFGMMSWAVLAVTYCIKQYEMNGRVADSMLVNTALMLIYVTKFFWWESGYWCTMDIAHDRAGFYICWGCLVWVPSIYTSPGMYLVNHPVNLGPQLALSILLAGMLCIYINYDCDRQRQEFRRTNGKCSIWGKAPSKIVASYTTTKGETKSSLLLTSGWWGLSRHFHYVPEILSAFFWTVPALFNHFLPYFYVIFLTILLFDRAKRDDDRCSSKYGKYWKMYCNKVPYRVIPGIY >Et_9A_063170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13196623:13198483:-1 gene:Et_9A_063170 transcript:Et_9A_063170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHRPYRGDLRSPPSSAPDPAFHHTKGYFSSSTSPHSNAYFSPAAPTIDAFPGAGAGDRRIEIYTTAPPPHLPPPPCHTLALPPPPGWKEGRMGGGGGAVRKGGGGGTSMWCLSDPEMKRRRRVASYKAYTVEGKVKSSLRRGLRWFKGKCSDIFHGWLRFKSA >Et_7A_050766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10878355:10881977:-1 gene:Et_7A_050766 transcript:Et_7A_050766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPKGFSAKALSFLKFLPYFVGLLILGLIKGVLLCPWACLVMAIGLSALILGLWPMHLIWTYYCIIRTKLVGPVVKFLLLIAATAILILWLIVGIPGSVLAGLVHGFLAPIMATFGAVGEGKEKPFVHCFVDGTWSTITGSCTVVRDMKDLLFHSYFSIMDDIRLQKPPDGKPYEIRLLDFPGAIIAAACGLILDGIMFTLIALYKCPVMLFKGWKRLIQDMIGREGPFLETACVPFAGLAILLWPFAVLGSVLASILSSIPLGAYGAVVAYQESSLLMGLAYVASSVSIFDEYSNDVLDMAPGSCFPRFKYRKTKDESSHGAPLSRPASFNKEKQEGRKPPSRVTSFKNSIDEFNPFKLLDHLFAECRRQGEVLVNQGVITMKDIEETKSGKVGSGVLNVGLPAYVILNALLRSAKANSDGIILSDGSEITSDNRPRSTLFDWFFDPLMVIKEQIKAENFTEQEEEYLKMRVLLVGDPNRSKGTLPHVPSLNERKKAEIDAFARRLQGITKSISRYPTAKRRFDDLVKALLSELERTMGSSQSANGSQGQRLRNSVARMLSQKSLGKTSNIRDEDPEAQVTSYSRTP >Et_10B_003505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2247520:2253246:1 gene:Et_10B_003505 transcript:Et_10B_003505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADLDSPVFNFINNLSPIPPPKPSDSAHNVQLFKSSDLAPVSSIFASPHVPPTKEPKLLMRDDSVQFAQESHSPNSVRTRTGTTSSFRLIRCRNINGIGSEATDKNQHADGKTDLNISQECKDLEGIILDQSGPDNTDPSHSGKDVHENQLSEQNEDEVATYDEGYLITQEPIGDMLTLAVPFEAETQSANDTINMDNAYPCKSLPNDNSNGYYIQNSAHEPHHYWAGPVEGVAVDKSTPQMPPDALQLHLMPNDQACKKLNEPSDYMPTKQNALSQHLRGTRRRSLFNEKAGATSKGVNKASDRHFASSTTPKCKINSSDNSKPLRTPPCALPGIGLHLNALAAIPKEKMVPQDTQLIISQCSNLPCHLGFSPPPYEQSIISDDFAQTTNIVSAEDASQGSPKKKRHKFDNGDGTSCKKCSCKKSKCLKLYCECFAAGIYCTEPCSCQGCLNNHDNMDTVLSTRQQIESRNPLAFAPKVIHTSETDQELGDYPNKTPASARHKRGCNCKKSSCTKKYCECFQGGVGCSVSCRCEGCKNTFGQREGVVSSVEESKLALEENACVKEEKCENDTQLVIYQATDSAPADNVLAAPLIVDCRPLAALPPASSKKPRSSTKLTGHSSRLGNTQAPPKSDIMLPSFKNFTEMVLGDNTSDTLKGSSSPQASVKVVSPNKKRISPPRIGTGLSPICKSGRKLILKSIPSFPSLGGDVNNEDPKSKLPAP >Et_10A_001756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7736838:7738708:-1 gene:Et_10A_001756 transcript:Et_10A_001756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASEFCNVDEIRLTARVDVEQLWDEWEIQLLVIVSFALQVFLLLFSGIRKRTTSSLLRGSVWLAYVVADYVAIFVLGHMTLHMNGPRHGLVLFWAPFVLLHLGGQETITAFSMEDNMLWKRHLLSLVTQAGLAAYVVGKQWQGDKRLLAPMVLMFVSGTLKYAGRTSALMHAAKQITPGGGMSLVAKHFRASQPIGQRYITDWTSWDETKSYPYLVCFASYMVSFCLAFLMDKTPLFPRPEYFSFEGFLSDDHRLHISILSLLVTLGSTVASLVLFSQADLLNYSTADILVSYILLCGAIILEILSIFIYISSVGVSRFPNCFGTVGSATMRSAIVYPIVMAVHPESRPQWSQKLAQYNLIGGCIKVKKAGVLRRLMHVAGINDSTWTHTEVSHELKRLVLDKLVDVANRIHENVWDISKFTGQWAKLELRSKKQERSSSTLLRLLAKSIDRPSFVSSILTWHIATDICYFDEGNDDSSSSRTQSWELSNYVMFLCAEHGIMSGNEGQILLEKAREFIHHSLSSYKESLDETAAAVKHIVGMIPHEAQLEDLVAVEPVLILALQLVRELRMIKASDRWGVIINVWMETLCYMSFHCGPDFHIKHLR >Et_1B_012229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3038703:3047977:-1 gene:Et_1B_012229 transcript:Et_1B_012229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSLVPYSRSMPVGEESSGAPPMVHAIAYADFPKPTSEIGILIAVGTEQGIKILKKQGAGLLWNVVFEKESDGGVKHLSWRQNSLELAYGDDGSNQDCFGDGVRSLSWESQVNIYTTSYLPNDHYRRAKLFAAAGIDNKIHIYELRGFTHKDDAENKVVKVCALETGSGDKHKRIRAIGWRSIEESFGRTGRDTGYLVRDTATEVLVVGGSHGFIIFYELRNNSKANVAQADRDYTWEADYYQLKESQSEDEEDEELDEESMEIYYGSSKSSKRITSSRFRKSIKKTEKTEKTPLGRQIFCNRSIDMGKITAIGFDMECTLVNYNFETYGELIYTEAKQVLVDNRDYPEKILEWAYSRKHMMRGLIIDKLKGNILKVDEDNSVQISHHGMSLRTDDENEKTYDKTLLQEPFSEPNYAAIDTIFSEGIALLYAQLVDLKDNNPEALPEGTSYQKIYKDVNHAFKCCRKDGSLKKSVVSDLSRFIKKDVLLAKMLKRLKDNGTYTFFITDSQTFFSDDRIDSTLKMDKLPIDDEQPKQIQRDQQPTQTLTQLPHTVDAGDVYKCLYVLYVTHNIDRVMLCSKRAKGWRTMQVIPELEEEVNTLSESWSTRKELLRLRMKANAIDDEIYHLQDIPMSDKHVDHEKRLSDKLKEKEHLVHDCEELEKLQNQKFNERWGQIMKSGGKNSRFAHE >Et_4B_038393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28710144:28711588:-1 gene:Et_4B_038393 transcript:Et_4B_038393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIVEGTKYECLIFGMSQALTTQLNRYCTYLFSLFQTVQISISAISFADMDDTLYPFSAGINLACRKNIQDYMRHHLKIEESQIAEMCLELYKEYGTTLAGLKALGYKFDNDEFHADVHGTLPYHNLRPDPVLRTLLLSIQQRKIVFTNSDKNHAEEVLYRLGLQGCFDGVICFETLNPSDGLYESENCMMLSNETSPNSIDLNESNGFRPKSPVLCKPSIEAVEAAIRIANVDPKKTIFFDDSTRNIASGKAAGFETVIVGRSTLVPGADHALESIHNIKEALPQIWDGQDWSESDVARPSATVEAAAVVA >Et_2A_018794.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:7709548:7710084:-1 gene:Et_2A_018794 transcript:Et_2A_018794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPDGEVASVVLPYLPPPATAAAFGFGPHYHAPAAADDHFSFEHYCNSNAIAASPYSAAVRCQPQPGHQPPFLLHDPPHVPPCSEEPRRLEFAEERRRRRTASNRESARRSRVRKQRQLGQLRVQAAHLRADTRDLLGRLNLAIRELDRVMRENSQLREEKAGLQRRLQELAVDHRSS >Et_3A_024696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23350835:23358787:1 gene:Et_3A_024696 transcript:Et_3A_024696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYCRPLLRRFYCAAAKSTSAAARGTGKKNLVFLGSPQVAASVLDTLLAASSSPDSAFQVAAVVTQPPAAKNRGRKLMPSAVAQLALDRGFPEDLIFAPERAGEESFLSDLKEVKPDVCITAAYGNILPQRFLDIPSCAPVQRALQDGVTETGVSIAYTVRALDAGPVIASERFSVDECIKAPELLAILFDIGSKLLIHELPYILDRSAQEKAQPQDDSKATLAPKLNSDESWLSFDQEANVLHNKVRAFAGWPGTRAKLQLINQKGEPDPLEIKVITTKVSASCDKTGDENEILFSGSSLLVPCSGSTWLEVLELQLPGKKVTTARDFWNGLRDPKMQMKRLPDPLSVNKLAETSTAVAKDQTTKSTLPPSLPLRPLELSRPPRSSCRRSPCWSRLLSECELLPLRREGERDRDLDRERLRESLRSLLSLSLDLDRRLRSLERDLDLDRRRRERDGDLDRARVGERERRRGLDGRSGAGGGCLAGAGAGAAPARTKPERKEEEPAGLEGKEAESEEEAKPRPCLKRRAPSPLRLSSS >Et_3A_024556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2229203:2234056:1 gene:Et_3A_024556 transcript:Et_3A_024556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYGFEYSDDEPEEQDVDIENQYYNSKGMVETDPEGALAGFDAVVRMEPEKAEWGFKALKQTVKLYYKLGKYKEMMEAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFSLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQREDGSDDQKKGTQLLEVYAIEIQMYTETKNNKKLKELYLRALSIKSAIPHPRIMGIIRECGGKMHMAERQWAEAATDFFEAFKNYDEAGNPRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQKNDIMEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISQELNVPEKDVEQLLVSLILDNRIQGHIDQVNKLLERSDRSKGMRKYNAIDKWNTQLKSIYQTVSNRVGCLTN >Et_10A_000789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17214825:17218652:-1 gene:Et_10A_000789 transcript:Et_10A_000789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDHGIIIIGGKGLLALWWRSLRDGVAIDIHANGWRALEQLGVANELRGTANLITAKELRCLRRKDLIDTLDKNIPAETIRFGCHIASIHSDPGSHATVLKTVNGATMKAKVLIGCDGANSVVAKYLGLSVPKKIPRMILRGFTRYPHAHPFGTEFFRIIGGDFVFGCLPINDNLVGFFVDCVDPSAAVTGVIQNSDPESLHVATKFYYRHLCQVMFGSFQKGHVTVAGDAMHVMGPFIGQGGSGGLEDAIVLARLLRRATPRGLNIDAIREYIQERRLRVALLSLEAFVMGALMRAKTGVSKVCCIIVLAILGHNSLRHTNYDCGRL >Et_5A_042702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26307735:26313387:1 gene:Et_5A_042702 transcript:Et_5A_042702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNLFSGTKRCRAKPQKVDGSTKNSNLENGSQDPTKVHIGVENGDGHVIHKRPRRAVACSDFKEKSIRLSDKTSVVTVKKNRMEEEEIDAVNLTKLALENRPCRKLIDFVLHDVDGNLQPFEMSEIDDFYITALIMPMDDDLEKERERGVRCEGFGRIEDWAISGYDEGTAVVWVSTELADYECVKPASSYKSYFDHFYEKAQVCVEVFKKLARSAGGNPDQGLEELLASVVRSINAMKGNSGAMSKDLVISIGEFVYKQLVGLDESSSNDDEKFATLPVLIALRDQCKSRVKFAELPYNNISNASLKISEADSDDITENDDKKLAELLQQSEEWKMKKMQRGRRGTPSQKNVYIKISEAEIANDYPLPAYYKPSCQEMDEYIFDSDDSIFSEDVPVRILNNWALYNADSRLIPLELIPMKSGAENDIVVFGSGFMREDDGSCCSTAESAKSSSSSSKSDQPDAGVPIYLSPIKEWLIEFGGSMICVTIRTDVAWYKLRQPTKQYAPWCEPVLKTARLAVSIITMLKEQSRASKLSFSDVIKKVAEFDKGNPAFISSNTMLVERYIVVHGQIILQQFADFPDETIRRSAFATGLLLKMEQRRHTKLGMKKKTQVMRGENLNPSAAMGPASRRKVMRATTSRLINRIWSDYYAHHFPEDSKEGDGNETKEIEDEPEENEDEDVEEEDQTETEISKTPLSTCPRKLVPQASKGIKWEGEPSGKTSSGEALYKCAYVRDLTVTIGTAVALEDDSGETVMYFVEYMFQKLDGAKMVHGRMLQQGSQTVLGNAANEREIFLTNDCLEFELDDIKELVTVNIRSMPWGHKYRKENSEADKAERAKAEERKKKGLPMEYFCKSLYWPEKGAFFSLPRDKLGLGTGVCSSCDHRETDCDELKVLSKTSFIYRKVTYNVNDFIYVRPDFFSQDEDRATFKASRNVGLKPYAVCHILSIHEAAGSRKNNPELTKVSARKFYRPDDISSAKAYASDIREVYYSEDIIDVPIDVIEGKCEVRKKTDLSNSDLPVMVEHVFFCERLYDPGTGALKQLPSNVKFMSMLPKTTGASKKNKGKQICDSDQADSSRWLEVPKENRLATLDIFAGCGGLSEGLQQAGVSYTKWAIEYEEPAGEAFSKNHPEAVVFIDNCNVILKAIMDKCGDADDCISTSEAAEQAAKLDGENINNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFVSFNKGQTFRLAVASLLEMGYQVRFGILEAGTFGVAQSRKRAFIWAAAPGENLPDWPEPMHVFASPELKITLPDGQYYAAARSTAGGAPFRSITVRDTIGDLPKVENGASKLTLEYGAEPVSWFQKKIRGNMMALNDHISKEMNELNLIRCQRIPKRPGCDWKDLPDEKVKLSNGQMVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPDQDRIITVRECARSQGFPDSYQFEGNIQSKHRQIGNAVPPPLAFALGRKLKEAVDAKGREDGVTAAA >Et_8B_059986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4765662:4766620:-1 gene:Et_8B_059986 transcript:Et_8B_059986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGAAAAAAVTLWWLAGGAGAVWLELATTTTKCLAEEIQSNVIVIGDYSILYEEQPVRPMVSAKVTSPYGDVLHQSDKVTYGQFAFTAAESGSYLACFKVETLEQGMVINLNLDWKTGIAARDWDAIAKKEKLEGATLELVKLETAVQGIHENLLYLKSKEADMRDLSEWTHTKITWLSLMSLAVCMAVSVLQFRHLKRYFRKKKLI >Et_5A_041938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4459544:4466895:-1 gene:Et_5A_041938 transcript:Et_5A_041938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEQAILLASDSPAAAAASPSVRAEALAFCARVRDESPPSSLLRLCLSGLASSPHAQVHFWCLQFLHDVLLRRRLALPDDLALLRSSLLSLAASSYSASPPFLRNKLAQLLALLIRLDYPHVYPSYFLDLLPPSPPQPGPTDMFARVIISLDDDLLSQDYPRSADEAADAMRVKDSMRAQCVRQIAGHWHAAASNLRTADPVAAAVALDAARRCISWIDVGLVANDVFVPLLFDIAMSPGSAAPLAAAAVGCLSAVAAKRMDLRAKVALLRSLLAAQQGLGSADSGLKMAPLVTTYAAEALECYRRLGSSDADGAAALEMLEEVLPAVFSAAESCDDDEVDSGSVLDFLSGYVSIMKAPSEKQLGHLGRILEVVRVKMSYDPVYRGHLDVLDKIGKEEEDLMAEQRKDLVALFRSICRVAPAAVQLFIRGLLVTSLSSAEASVEDVEVALTLFYRLGEAVGEDEIRAGSGLLAELVPMLLSARFSCHAHRLVALVYLETVTRYMKFMQEQVQYVPHLLGVFLDNRGIHHQNPHVSRRAGYLFMRAVKLLKAKLVPYLDTILQSLQDVLGRFTSMDWTNDNTKFPSSEDGSQIFEAVGLLIGIEEVSPEKQALCLTALLNPLCRQIESLVMDGKAQGLEESSPRALSLQQIIVALNMVSKGFNERLVMGIRPAIGLMFKNILGISVLPCIPVALRQLLLDNEAKDMVEFLVLVNQIICKFNSSASNIMEDVFPTVASRLSMILSQDAFSAGPASNTEEMRELQELQKTLYTFLHAMASHDLSTILLAPSCRQYLETIMQVLLFTSCSHKDIQLRKACVQIFVRLVKDWCTNSEDKLPGFRVFMIEKFATGCCLYSVLDKSFDFRDANTIMYERFGEDFIVNFVAKGLPEAHCPPDLAEQYYQKLQGNDIKAFRAFYQSLIEKIRQQENGSLGSCVMGVYKATISEIGRCILREYFVQVWLPWRSSLINRFTAC >Et_2B_021690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4518185:4521834:1 gene:Et_2B_021690 transcript:Et_2B_021690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLGLPQLQLQLVGVKSVLITSFSGDDCCDDFYKDVHFGKLSSRGMESTSLHTPLIPGVPDEIALICLARVPRRYHNVLRCVSKRWRAVLCSEEWLSCRKRNNLDESWIYLICRETGIKCYVLAPDPSSRSLKVMHITQPPCTERQGISIEVLGKRLFFLGGCSWLKDATDEVYCYDASSNLWGIAAPMPTARCYFVSASLSDKLYVTGGFGLTDKSPNSWDIYDSGTNSWCAHKNPMLTPDIVKFVALDDELVTIHRAAWNRMYFAGIYDPLDRTWRGTENEIACCFSSPTVVVDDTLYMLEQTLGTKLMKWQEDTKEWVMLGRLSDKVTRPPCELVAIGRKIYVIGRGLSVVSIDVDTAARVDGFLVTSSTGPLVEQDLSPERCRVITI >Et_2B_020305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18899561:18902034:1 gene:Et_2B_020305 transcript:Et_2B_020305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VPVVLHRRRRRGRGRRLHAAAAEDHAAGQGQPALLVADDLSLTLSGSNLHAFTYAELRAATGNFSRANYLGCGGFGPVYKGAVDEGLRPGLRAQTVAIKYLDLEGGTQGHKEWLAEVFFLGQLRHKNLVKLIGYCYEAEHRMLVYEFMSYGSLENHLFKSINGALPWMTRMKIAVGAAKGLAFLHDSDPPVIYRDFKASNILLDSDYNTKLSDFGLAKDGPQGDETHVTTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLELLAGRQSVDRARRPREQNLVDWARPYLKRPDRLYRVMDPALECQYSCKGAEVAAIVAYKCLSQNPKSRPTMREVVKALEPVLDMDDFFPVGPFVFTISVEEDKVVDMKVEVEEKHRSHHQSHQERHREKYPNSSIHAGIVLHSRDGVVGGYSAALRQHRRASSYNQERGA >Et_9A_063118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10871315:10880531:1 gene:Et_9A_063118 transcript:Et_9A_063118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMTPPPAADVATPLSDSMEIGTDMMASQAAASDKVEEDQSVVNQVDGLEVQNNNALNQQLEDIDFARECDVVLSESEDDGERPTADELAAIPDASPSHTGSRHSKRRNASIDEVSLERAGKIKSACNEAYTQNKPHYEEASKLAELS >Et_9A_062875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6036798:6037496:-1 gene:Et_9A_062875 transcript:Et_9A_062875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYHKEMRPLCSKLLNVFFRALGLTEEQIAAGETEQKIRESLKATMRLNLYPKCPDPKRAMGLAAHTDTCFITFVMQNLVPGVQLLRRDPDRWVTVPALPRAFVVFVGDLFHVLTNGRFHNVLHRAVVNSEQQRISVPYGVGPPGDMKVAPLASALLPGTKAAYRAVTWPEYMAVMKTMVGTNQSAMDMLKVADEGEPQN >Et_2A_016728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27694865:27696927:-1 gene:Et_2A_016728 transcript:Et_2A_016728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPITYSVRVSSETHKIEAWLVSDEALARQLQEEENTHDSAVTREFAGNVSLEPSSPATEYTPAHKAAQVREDNVDPDNMSYEQLQALGETVGSQSRGLSDDLICYLVPFKHKCNFFSRKKNTEECVICKTAYKSRQKLIRLPCSHCYHADCITRWLKINKACPVCNE >Et_4B_038791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4983506:4984343:1 gene:Et_4B_038791 transcript:Et_4B_038791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRGLVAAPPAAVAPSSRTRCSAAATPPERTRRVFVAIPAAASLSLVLWPSPVNAGILSGFTGLESVPGPDLPRVEFLEKWNAENQKKYAEFDDRFKKSKVLQELLERSKKNKEKNERLIQDKYCLRGAEWGVGDCSTEGMTDQEREDFISELKKRTGAE >Et_8B_059384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17458725:17460203:1 gene:Et_8B_059384 transcript:Et_8B_059384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWNETTEYTTQQEHTAPMAISTDALAVPSCGGKLARWAGPHCPTDGSECVQAYASVRKTAVESALRRLGAVSNDDVRWLWLEWDALEETIRRLIRGVFAGERRLCSLIFLYIPPPPSNTTTTIADYDAPFAQQLPGFVEAVIGIVGRRSPERLFKMIDIHGALTDLLPDISVIFGASEATGSIYTQALKARSSLESAARRRPLRDASNFLVPGGAVHPLTCYIMNYTTRTLVSDHYKASYSELITSGPAHRSRVGDEATTPAFRDPDTRLPFIAAHLASIVVALERNLESKASLYKDEALSHLFLMNNVSYIYRAQDKGFTSAQGHNWGRTSDATHKQVSARSYKGLRVSSGFSTRISKEILRERFEGFSKGFGEAHQIQSEWYVPDARLREELKISILEKLRLAYRPFLGGFRHHIESEKSPKHYIKYSVEDLEEAVADLFEGAAPSTHA >Et_10B_003660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4402407:4406103:-1 gene:Et_10B_003660 transcript:Et_10B_003660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRSMLKMGGALQQYYGWESKIRMHEICIPQDLASNLFALEHINFYNLESISVKCNFHHLFKEELFRREETLVHGHIHNVYNKDLAWRASKLGPKIRLHEIGIPEDLVSNLFHIIFYNSNHKLDMHLSPFFKQELFIWQRGKIMFVWKASQLEVAFFCPSIFTNCIICKTYTNIICRIDQSTICAPFMEDFDRDCLHAHIPEYLQSRTELGKHKLKLQLLPFSKKGHFIQRFVKLMFVWKAYLHPSLIIDCIIHKILPIHSFIYINTSTICASFLGYFGGDVLHGYPIISTIKKRVWRANSKLTPSVIDYARCLKVSHTHDSLADAHFLIGQNDFLKKSKFQQLQILCLSVSPTSKSVIRPLLGENWLIKELSWHWIKLKKHSKHFEARVACLNKPNKVHVLVFNFQQANFPFGLLHNSLGTNENLGCHVIRTSFIKGLNPLECLLHSISGRANSFYKNSNVPRTLARKMMYHWRDLHVAYDAWDC >Et_2A_017680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5014065:5016178:1 gene:Et_2A_017680 transcript:Et_2A_017680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLLLALAAAAATLLLLLPPGAEAVWLDLPPSGTKCVSEEIQPNVVVVADYAIMYESHPTGHPTVAFKVTSPYGNTVHQNGNATTGQFAFTTSEAGNYLACFWIDSEEKGSGLSLNLDWKIGIATKDWDSIAKKEKIEGVELELRKLEAAVESIHHNLLLCMALCLIESYLRREAEMRTVSEKTNSRVAWFSILSLGVCVAVSVLQLWHLQGFFRKKKLI >Et_4A_034085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29949406:29951467:1 gene:Et_4A_034085 transcript:Et_4A_034085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRNGVISRPSSSAAAKALLVVVFVLALTTAVRGDFAADRAECADKLMGLATCLTYVEAKATARAPTPDCCAGLKQVVTASKKCLCVLVKDRDEPALGFQINVTRAMDLPDTCNFPATFSDCPKILNMSPDSPDAQIFKEYAKKHESQNGTAAPAAGTGGAGGKSSSTATTGPTGDAGAGRQPGMVFFFLVSALLAAISILA >Et_4B_036878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12238033:12248217:1 gene:Et_4B_036878 transcript:Et_4B_036878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCYGKSAAAPEPVGVEEGYIANGAGDPARDGATSPEKPRTPKQPKFSFYLPSPLPPSSYKGSPANSSVASTPRRGGFKRPFLPPSPAKHIRALLARRHGSVKPNEASIPEGGEPELGLDKSFGYSKHFSAKYELGREVGRGHFGYTCVAKAKKGELKGEDVAVKVIPKAKMTTAIAIEDVRREVKILSSLTGHSNLVQFYDAFEDEENVYVVMELCTGGELLDRILARGGKYPEEEAKVVVHQILSVTSFCHLQGVVHRDLKPENFLFMSKDENSALKVIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDEAPWPNLSAEAKDFVRRLLNKDYRKRMTAAQALCHPWIRGSQEVKIDLDMIIYRLMRAYISSSSLRKSALRALAKTLTTDQLFYLREQFALLGPNKSGHISLQNLKMALTKNSTSAMNDSRVLDFVNTYRKLDFEEFSASAISVYQMEGLETWEQHAQQAYELFDKEGNRPIVIEELASELGLGPSVPLHVVLKDWIRHSDGKLSFLGFIKLLHGVSSRTIPKKLLRNSPKVTSSNFGMESYQI >Et_2B_019739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13358613:13365789:1 gene:Et_2B_019739 transcript:Et_2B_019739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSREGKDVAEERVRSDEEEEEEEEEEGWDDWCSDGDDAAAAGGGLLCLFCSSRFDTESLLFSHCAAEHRFDFYGTVKELRLDFYGCIKLINFVRSKVAENRCWSCDQSFPCNSELCSHLHPAEKYQIEEKVPWEDDVYLKPFMEDDSLLHSLSIDDDDEEDFGTSIGGQCSTGNGGFAEPCKNKLSAMPEGNGYDINARFEQVCTVGGAEAESSGTLAQEQNATQLKVARASVNAKAIKTVDDNYFGSYSSFGIHREMLGDKIRTEAYRDALLCNPSLMNGATVLDVGCGTGILSLFAAKAGASRVVAVDGSAKMVSVATQVAKNNGLLYDENKEVEQKQSSKVVSVVHTKAEELDHKVRIPPNGFDVLVSEWMGYCLLYESMLSSVLYARDHFLKPGGAILPDTATILGAGFGKGGTSLPFWENVYGFDMSCIGKEVTSNSARFPPVDIVASQDIVTETAVLHSFDLATMKESEMDFTASFELRLSESGAVVPEVTWCYGIVLWFDTGFTDRFCKEKPVVLSTSPFCTPTHWSQTIFTFEEPIAMAKEEYRFGPSASAGTEECPAVMIRSRISIVRASEHRSIDISVETTAISSDGRKRSWPVQIFNFYMVDIVCQAAAASYKTVVRAINFRKKRFFEKGVIQRYVRHKFRYALQNIFAV >Et_9B_065574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7211582:7216462:1 gene:Et_9B_065574 transcript:Et_9B_065574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDARAKRIKLEDELPLRDGVGGEDVGPDLMTRCLNDDMLGCIVTRLPTKDAARTQILSSRWKPLWRSAPLNLEAKVTSYVHLSHIQRILSTHQGHVHRFSLKTLTCPWRQFPSMDSMLESMKLDMLEVLEIYVQAEDEVEAFVGCYFLSHKRMHSYSCFLYISIREEVASCVPPKFSRVHVQCLVYRVFGLWAKPTQAWNLLLPPSMLRFSSTLCVLILGKGFQLPSESTATFNFPRLKELTLMDIKTSESALHEFLAGCPVLESLLLDKNMGCRRLHISSLTLRSIGVSYGEKGKVGKLEELIIENAPRLEKLIPRVPNYGLVIRVIQAPRLRTLGYLHDDIITVELGNMLFEKMVPDNLSNVMRSVKILALITAPNLDVIIGFLKCFPCVQKLYIVSYTRITLKNEQPYTSLECLDRHLKTLHIANYEEERSNINFIKFFVLNAKVLEYIKFVCRDQCDPKWIASQHKKLQVNDRASRDARFDFEANSNCLSSSLVHMKHIHDSAMDPFDGSLCRCNAVAAAYRTG >Et_1A_007645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36840293:36842632:-1 gene:Et_1A_007645 transcript:Et_1A_007645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICASSSKRQAPEQDSDETVVYVMDEQDGGGGGEAAAGRRVASLYSQKGKKGPNQDAVILCQGFGMEDGVFCGVFDGHGRCGQFASKLVRDYLPFKILSHRNALLLAGADDDDDPAFSDASPSSSTDGSGGSSPQPGGGAYQAVQRSRDEPSVQRVWLPDEDCPGLAMARSLGDFRLKRHGVVSEPQVSHRRIVDGDLFLILATDGVWDVLSNEEVVSIVCATPRKQHASKAVAEAAAQRWRSRYPSSRVDDCSAVCLFLRDQDWAAAATSRR >Et_4A_035389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22870933:22877127:-1 gene:Et_4A_035389 transcript:Et_4A_035389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGGGRGGGGRRGGRGDQGGGRGGDAGGGGRGRGRRAAGPEHGSHGGVGVAGRGPAELGIHTEETRGGGAGLGVGVVGAGHGRGRGAAEPVHVGHRDEVRAGSGAGRGGDGRGRGRGGAGRGDHRGGAARGGHVHAPQPAGGRGGYQHHGVAQPQARGQVAAAAPSAAEVAELSRHVERKMAVTEPLQAAPGLGPSSSAPTPAQLAPAAAAAPGQAGMVAPSGAGTLPPVSSKALVFPARPGYGTVGRRCRVRANHFLVQVADRDIYHYDVAITPESISRERNRWILQELVKLHKQYLNGRLPVYDGKKSLFTAGPLPFKSKDFLLKLTNPDRANQGVKEYNVTIKDAAKIDLYSLQQFLAGRQRELPQDTIQALDIALRECPSARYVSISRSFFSQAFGHGGAIGSGVECWRDISATAFYKAQPIIEFALEYLSLRDTTRRLSDLDRIKLKKALKGVKVVATHRRDKSIRYRITGITSAPLNDLRFDQDGRRVCSILEGQRYSSKLNERQVASILKLACERPAQRENSILEVARRNNYDSDYYAKEFGIKVTNQLAMVDARVLPTPRQMWTTPCVDIKQGRQDNLEASIRSVHRQSADMIAQQGPKGLQLELLIIILPDMSGSYGRIKRLCETELGVITQCCAPKNVRKGGKQYLENLALKINVKVGGRNTVLEDALNKRIPLLTDVPTIVFGADVTHPPAGEGSLPSIAAVVASMDWPQVTKYKCLVSAQGQREEIINNLFTEVRDAEKGIVRGGMVRELLLSFFKSTGYKPSRIIFYRAIFRDGVSEGQFSQILLYEMDAIRKACASLEEGYLPKVTFVVVQKRHHTRLFPENHNAREQTDRSGNILPVANEIVTVPPAYYAHLGAFRARYYMEEEISDHDSSVATSRTHDQSVLVKQLPKIKENVQEFMFYC >Et_1B_010255.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:32747126:32747248:1 gene:Et_1B_010255 transcript:Et_1B_010255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERLLNNNGWYNCQPFPAKGELPCYSLTLKSACTFSRY >Et_2B_020460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20462306:20465680:1 gene:Et_2B_020460 transcript:Et_2B_020460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNHAPKSLAVLLRARMHPEPLPSPPPPPPPSPPPPTDPDPAASVRQWLHESASAVSPPAAALERFSDGYRSLDRGGRREVLCSLATDYDVPRARVRDLMRQYMSLASAAAAAGGEQAEPSAEEGREGAAASLYRMERGLRDALRPKYSGFLEAMNAQPGGLKLLAVLRADLLALLGEENAPALRALDSYLKEKLVTWLSPAALTLHQITWDDPASLLEKIVAYEAVHPIRNLIDLKRRLGVGRRCFGYFHPAIPGEPLIFIEVALLQDMASSIQEVLWDVPPTPESEASCALFYSISSTQPGLSGINLGKFLLKRVIDMLRRDMPSVQTFATLSPIPGFMQWLLAKLASQIKLSETESQEGNSLGEASSTFRESVLLPDEEKMIHDAIQWIKSDKISAALKSPLMRLCARYLAREKKRGKALDAVANFHLQNGAMIERINWMADQSEKGIQQSGGIMVNYLYRLENIEKYALSYSSTGLIHSSPSISQYLEVTFILELYFILVFT >Et_1B_012872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3750021:3756325:1 gene:Et_1B_012872 transcript:Et_1B_012872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILRRRLPLLRLIRPLQAASAPFTSSSSTSGPLPPLQKPPVAASSSVELGSRLGFLNARPLASARGNSSSSSSYSAAPYLAIGAAAAVASLPVAYADGNEQRVWDCLSLDRSFQGAGAVDKEVKTDAAEGEDLARKERKRIMELIQNEGMQRGSYPHFDVAVKGQKVVVKFNMPSTCNVSHLIVDLVTHIGLEAEQFGGGSEVLVRAWDSPAARQITLNPPKKTSVGDLNEGGLCVLIFEPLIGSEYSEIEFIKPGSFSSKEIEALISALKIAGEKDVKGSSGKGNKYTQRKGNGQRSKQVPSMEKTISDLEAMGVRVYGFDETSSVPMDGTVIWENLAGYEPQKREIEDTILLALQSPEVYDDIARGTRCKFETNRPRAVLFEGPPGTGKTSSARGVPLLYVPLEVVMSKYYGESERLLGSVFSLANDLPDGGIIFLDEVDSFASARDSEMHEATRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFGLPDLQTRVEIAAQYAKHLTKSELVQFSLATNEMSGRDIRDVCQQAERHWASKLIRGHVPKDDKGELSLPPVDEYLSCADQRRKSLPDRTRHTSSSTALKQDIFNGSYDPMPREKTQQWSAPHQ >Et_1B_013023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4905078:4912916:1 gene:Et_1B_013023 transcript:Et_1B_013023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDSADGGLDKTARVDVIYEKERVTIHPTQYGSGRISGKLRLFLQQGSLFLSWEPNEGVDSLSSSSVSMEIEKYRNLYTIKALPLSDVRFIRRHTPTFGSDYIIIVLSSGLAFPPFYFYNGGVRELFATLKQHVFIIRSDDDPNVFLVNDFQDPLQKSLSSLELPGVATVANAMSRQNSLSFTGSVDEGINRDDSKHGVPASMSQYGSGQKHRSNDPGRDLSIQVLEKFSLVTKFARDTTSSLFRDNSGANSYGRQQEEYFLNNKVSGKNKYQQTTPEKAGEPAELEPDHLPLVWGKQRDRPLSVEEWRGFLDPEGRVMDSKALRKKVFYGGVDHVLRKEVWKFLLGYHEYDSTYAERDYLDAIKRAEYEAIKSQWKSISATQAKRFTKFRERKGLIDKDVVRTDRSIPYYEGDDNRNVVVLRDILLTYSFYNFDLGYCQGMSDFLAPILYVMEDESESFWCFATLMERLGANFNRDQNGMHAQLLALSKLVELLDPPLHNYFRQNDCLNYFFCFRWVLIQFKREFSFDQIMLLWEVLWSHYLSEHFHLYLCVAILKRYRQRIIGEQMDFDTLLKFINELSGKINLDRAIQDAEALCTIAGENGAACIPAGTPPSMPIETDGGLYVQEDEVL >Et_7B_054970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6042014:6049069:1 gene:Et_7B_054970 transcript:Et_7B_054970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGASALVALCLLLQLALPQQGAADPLVPALFVFGDSTVDVGNNNYLKNCTTNCTANYPRYGVDYRDGAPTGRFSNGYNLADQLAQLLGFDESPPPLLSLPEESRVPQMMNTGINFASGGSGLLDGTGKDLCHEWVPMSQQVGNFSSLAKSGNQTVADLVSKSLFFFSVGSNDMFEYVDARYVNAQKGPNRNDTEFLQFLISSYSNSLKELYSAGARKFSVVTPSLGAAGPLVPAVFVFGDSMVDVGNNNYIEECKVECRADYPHFGVDYPDQAPTGRFSNGYNLADQLAQQLGFAESPPPFLSLPNASLIPQMMSTGINFASGGSGLNDSTGNGPVCRQVLSLTEQVGNFTNLVRLWKSENQTAAGRVSESLFFISTGSNDLFEYIDFKAPKNRNDTEFLQLLVAYYSDHLKVSPNISKSRKFRLVYSVPVAVYVWPRMFVALGLRAKRWRQGLYGAGARKFSVLSPSLVGCCPSQRLLALKRKDVDKYGCLGAANNLSSQLYPMIASMLHGLSLELPGMNYSLADSIRMAEFIFNNTHTPAYNFTVLDRACCGSGKFGAGGCDFSAHLCKNRDNYLFWDDYHPSNAATEVAAKEIFGDPGIFVHPINVKQLVEPRPQITII >Et_7A_052824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18417604:18433176:-1 gene:Et_7A_052824 transcript:Et_7A_052824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVPRMKLGSQGLEVSAQGLGCMGMSAFYGPPKPEPDMVALIHHAVASGVTFLDTSDMYGPHTNEVLLGKAMQGGVREKVELATKFGVILGDKPAIRGDPAYVRAACEASLKRLGVDCIDLYYQHRIDTTVPIEVTVSGQAPSQLDFFDRMVRVKEETELFRPAPHLASYENCLQIIGLIGELKKLVQEGKIKFIGLSEASASTIRRAHAVHPITAVQLEWSLWTRDAEEDSMPRFQPENLDKNLKIFEHVNAMATRKGCTPSQLALAWVHHQGNDVCPIPGTTKVDNFNMNMEALSVKLTPDEMVELESYAAAVQDALVFGASTECWESFVINQ >Et_5A_041495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23642591:23646963:-1 gene:Et_5A_041495 transcript:Et_5A_041495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSGADSTSRYESQKRRDWQTFTRYLSAHRPPLLLRRYLQQRPRPRVPPPPRPLRQDARPPAAVPGLRRRKCRRKRPRGVPVLFAPGVGQPQTRSSAAAAGEEEEPATAQPDARTRLRRARLRLYLLDVRDAQSKARGISYLRNKKKKRNKQGSSIRHSRVVVGASASPPKDGGGGVDVAVPHSTRAQGNNDNATIVDTAVATPKPDQPYQPYLYGGVPFECCWDYGGGATGGASSASGPPPGFYLPLLFNTKENQLPFMGMKGLSQEMK >Et_2A_015918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19052639:19057557:-1 gene:Et_2A_015918 transcript:Et_2A_015918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPGPRPYYLRSAYGCCRLGHGAASSMALLELRLGPLTPAPRRRWSRSRCRIAASNAAAPGKAAVVWFKHDLRIDDHPGLVAAAAEPRRPVVPLYVFDHRILAGYSDKKLELLLFALKDLKMVLKSQESDLLIGLGNAEDVILKLVNEVQAGLIFTEEEVEYRVRRVLANVESSLSNGSFSWGNAPEIVSWSAPLYDYKSLTEMSTSYDQFLKAKLPMSKPLAAPNLPSLNVDVDIDSLPTLEELKGFLKDSRMQEDNWIPLKSTPAKSILKSTFNQRKIKSSATSSIGNEGSIEDTTMDSGTSGRKVINSMFASESSLEVRGGTEITLDALAAYLKYLEGTGKASWQELHDKVRLAEIRNGASFDTLFSTAIQLGVISRRRVYHEAIEYEKDRNAGFLSPFGYSTPTVTAAVEAICSMEWYWHLALKSQVCIEGNYPIRIWRWNGYLVQYTFCGHEGPAVLLVHGFAAFLEHFRDNIGSIADSGHRVWAITMVGFGKSEKPNVKYSELFWSELLRDFISDVVREPVHLVGNSMGGYICAITAGLWPSVAKSLVLLNSAGSIVPNYPFIPLNEERQTSWLSRLSAPLLLLFLRSRAEGFLKKYYPKRTKRVDKSLVDQIIRASYDPGAARVIESIFNFNLSIPLNFLFDSFGGRILVIQGMKDPLIKSEAFVTMLREHCRKVCIRELEAGHAPHDEVPDEINSLLCEWMEESEVKPALEMSKAI >Et_7A_051485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19278909:19288583:1 gene:Et_7A_051485 transcript:Et_7A_051485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSSEKVEKWMAFPSSDPDTTGSFSFPVPPREEEEEIVEEPPNSSAPLAPANRQPSFQRGRESGGSGRKSSGDGRASGESLPRVSQELKDALSGLQQTFVVSDATRPDCPIIYASEGFFTMTGYSVKEVIGRNCRFLQGPETDRDEVAKIRDAVKSGRSFCGRLLNYRKDGTPFWNMLTVTPIRDDDGKVIKFIGMQVEVSKYTEGLSDKRMRPNELPVSLIRYDERQKETAMSSITEVVQTVKHRRSRSEGDKEPMEPPPPLTPPRATGEGEPSPPKSPMWDLKKEDARLSRRMSGGRASLMGTKIRRKSSVGSKEAAPEAERRKSWAREDRERDIRQGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDMGTVDKIREAIREQKEITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLTNRLSENSELQSAKLVKATAENVDEAVRELPDPNLRPEDLWAVHSMPVSPKPHKRHNSSWLAIEKIKRTGEKIGLKHFKPVHRVCVEREIYSLLDHPFLPTLYTSFQTPTHVCLITDFCPGGELFALLDRQPMKIFREESARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQENGHIVLTDFDLSFLTPSKPHVIKHSTSRRRKSKEYLAPTFVSEPATPSNSFVGTEEYIAPEVITGAGHTSAIDWWALGILLYEMLYGRTPFRGKNRKRTFYNILHKELTFPSSIPVSLAAKQLIHGLLQRDPSSRFGSTAGANDIKQHPFFQDIYWPLIRCMSPPELDVPLELTGKDAQTILKPEEDSIGTF >Et_3A_025322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28948988:28949308:-1 gene:Et_3A_025322 transcript:Et_3A_025322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWRKTALCSVLLVLLIAATSEVAVVEAAECWKEDNHHTFCFDADCKLTCQDHGNVDGRCTWGRTLWPYCECLASNC >Et_8A_058412.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:6851059:6853686:1 gene:Et_8A_058412 transcript:Et_8A_058412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLPLTSPPSPSPPSTLPPQPSTAATVRSLTAAGQHAAALRALSSLAAASSPSAPLDRFALPPAVKSAAALRDARAARAIHAAALRRALLFSPNPAVANALLTAYARCGDLAPALALFAAMPAPSRDAVTFNSLIAALCLFRRWLPALDALRAMLDEGHPLTSFTLVSVLAACSHLAGDPRLGREAHAFALKKGFLDGDERFAFNALLSMYARLGLVDDARRLFASVAAAADAPGGGVVTWNTMVSLLVQSGRCEEAVEVLHDMVARGVEPDGVTFASALPACSQLEMLALGREMHARVVKDAVLAANSFVASALVDMYAGHERVDAARRVFDAVAGGDRQLGLWNAMICGYAQAGMDEDALALFASMEAEAGVVPSETTMAGVLPACARSEAFAGKSAVHGYVVKRGMADNRFVQNALMDMYARLGDMDAARRIFADIEPRDVVSWNTLITGCVVQGHIGDAFQLVREMQQQQGEFSDAVTEDDDVVKAGEEGVMPNNITLMTLLPGCAMLAAPARGKEIHAYAVRHALDSDVAVGSALVDMYAKCGCLALSRAVFDRLPRRNVITWNVLIMAYGMHGLGDEAIALFDRMVATDEAKPNEVTFIAALAACSHSGMVDRGLELFHGMRDYGVEPTPDLHACAVDVLGRAGRLDEAYSIISSMEPGEQQVSAWSSLLGACRLHRNIELGEIAGERLFELEPDEASHYVLLCNIYSAAGLWEKSAEVRSRMKQRGVSKEPGCSWIELDGVIHRFMAGESAHPDSALVHAHMDTLWERMRSQGYKPDTSCVLHDIDDNEKAAILRYHSEKLAIAFGLLRTLPGATIRVAKNLRVCNDCHESAKFISKMAGREIVLRDVRRFHHFRDGSCSCGDYW >Et_2B_019801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14048690:14061577:-1 gene:Et_2B_019801 transcript:Et_2B_019801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARRSASHLLTSFRPFSLLLQSHLADAPSPAAAAAAASARRAMSSASALRARDEKDTAKWRESMDKMRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWKGYQVNIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEIPRVAFINKLDRMGADPWKVLSQARSKLRHHNAAVQVPIGLEEEFEGLVDLVEMKAYKFEGSSGQNVIASDIPSNMQDLVMEKRRELIEVVSEVDDQLAEAFLNDEPIEANELKAAIRRATVARKFIPVYMGSAFKNKGVQPLLDGVLDYLPCPMEVENYALDQNKSEEKVLLAGTPAEPLVALAFKLEEGRFGQLTYLRIYDGVIRKGDFIHNVNTGKKIKVPRLVRMHSNEMEDIQEAHAGQIVAVFGVDCASGDTFTDGTVKYTMTSMNVPEPVMSLAVSPISKDSGGQFSKALNRFQKEDPTFRVGLDPESGQTIISGMGELHLDIYVERIKREYKVDAKVGKPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVCGYIEPLPSGSDSKFEFENMIIGQAIPSNFIPAIEKGFREACNSGSLIGHPVENIRIVLTDGASHQVDSSELAFKLASIYAFRQCYVSARPVILEPVMKVELKFPTEFQGTVTGDMNKRKGIIVGNEQEGDDTVIVCHGKGEFTMEYLEHNTVSQDVQMQLVNAYKATKGTE >Et_9B_064594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16802947:16808830:1 gene:Et_9B_064594 transcript:Et_9B_064594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWGLRGLSGAASGRLRRGLSTASSRPPWAMIYHVIASRSGPTLRASFKLSEPPCASHILVPDHLVDTRPRPDHPDGDAELQLSGGVSAASGDGLLLLEFMRGRATAPVLGEHGTAQARRLMGFDLDRERTRFVCNPLSGQLFRVPDIDGASKTSAYQALGILTQSRRPNAPPDRYAVAWLGEDHDGEERCFAMRRFLSQTGEWDKLVGLPSPLPLARKMCIDHEAVAFAGRLWWVDVSWGAVSVDPFSDRPDLRFVELPKDSVVEPVEGLRMLGRYRRVGVSEGRLRYAEVSQEEPFMLSSFVLDNDGSCWTLEHRLQLTRLWAHGSDLREEDKPRIGVIDPLNASVMHLTLGDHAFSVDMERQKVLGCCIIDESADTSLQYSSGFLTQCVLPPWLVSSHIPAKMLLPLRRSLCAAASASGRQLRRALTTAASDSRRPPWALIHRISVEGETGGGAHFSLAPPPAPSSIFVPERVYGLDAHPRQEGCTNLLGCGVHAASAEGLLLLLPFTVRYKVRPGCENIPVHLLPKSSPFEVVHQFLYRFVCNPISGELFQLPNFDGLEKNLVDHHLGIITDAGGRNGLPERYAVAQLSDEDGKGPWWGQRRFVFRRFAWAADAHEPRGGGLRRPAVVGGCELGRRLRRPVQGPPGAPLLRAATRKHASSARG >Et_4B_036333.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:18392107:18392259:1 gene:Et_4B_036333 transcript:Et_4B_036333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLQVPNHRAGMQAAEKLHSEKLAAEREGEKEETDPMAAAEAQTVKQSS >Et_4B_038323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28046537:28047372:-1 gene:Et_4B_038323 transcript:Et_4B_038323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPVPADGAPAAVDGGAAEREPASGGGVRSMVERWLSASARARLLLRGVAWLFSLLALVVMASNTHGGSHDFFNYPEYNYCLGVSIVAWLYTMAQLLRDVVRLSSGRDLIAARKAAAVVDFAGDQVVAYFLISGLSAAAPVTDYMRQAANNLFTDSAAAAISMNFFAFVAIGLSALVSGYNLSMEALV >Et_4B_038833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5156002:5160573:1 gene:Et_4B_038833 transcript:Et_4B_038833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFHPTQHAGDGDFQMWQQQMMYKQLQEFQRQQQQLQQPDHGARMQPSFGQFNAPAKPLSEDQFSTMSNQMLNNEATSSAWPPNFVSRDPSLTSNSPMLNNGSTNWDQLVGSSGMGNFMNGSMFTNAQNQLVRPMGLATHQMDQSFYPMHGASSRGSGNQYSQFLGVAADSQSAMARVGPDQSDKTSRSFNSSMNEHSLHLQGTSMQNFGKGGFLNNNSMQSQGDHIKAGSPVTMNHLQLGFQPQDFHGRPNQVDFQVGVQEKPSVQVGPASGRASLDPTEEKILFGNDEDSNWGALLRGDDDHGNSLDNDNLGGAGAYSSLQSGSWSALMQEALQSTTSEKNSPKEQWSGLSFQKNEQVVANNSTMPGRDENKLAASSGTNIENARPSTVSNYGDGTMNNPNLASFQHTMRTPYERRGDQMPHESPSATANSHQSPSEVNNGYFQQSLKQNQSDRRQEQVHLANGLWAHQKSEQLRNNSHSTSSHATPASAHGFWMSQQNSANHSINRETSNNQNDWKTNSALGQDINNTPNVFNSSENSWRSTGGNVNSVQRLQQMKSDISTAQLSNESSDGKNMNMVGSSMPMATQDHYQMITSRSGEQAGMNRNMGHRVPETSESPGNSTDQRSSDCNQEYLNANPNERQAHLFNHGQLTTSDSAARRHSTFAGKDSHNLGQSGQQAMGSYMLQNRAMGSSGMNFSHSPGNSVPNSLFPPQSHQMRNNLQHHFGTNSHVSSNTPSVNEKMLMAQEQLQSRHGLPNSSSAFGGSDAVLPQNRAVQNSQHMLQLLHKVDNSRNSNATADMPNNTLGIVSGQQQPSQPTMQGYGLRLAPPSQRQSSSGHLWPSQTNADGKQSDNSGQEDERTQLPSTTSQSMTPPRPNSQSSQFHTSETDNTGQPLGRFPQLSSGQQYPAAEARSGPASMHQQPQQGSSATVFKNVWTNISAQRLAGMQANKITPNILQSMMFPNNTGASNLWSSQKTDDQGQKAATPPDVTTSSANSQSQDTKQAVDSDSGASHNTAHNGGGMVLHGSPAPSNIQQQNYSLLHQVRAMRQTDIDPGNAVGKTINPEIGSDASSVDWKSGQRFAHVSTNSTKLSTDNMGSPGVPGSFPSDMKMLSFASRSEERNSSIPSQLPSGERQSHVMVTAQNDHQNRAQPIGMDSASNSIERSERPRINPQMAPSWFGHYGNYRNGQNLAMLNAQKTTPLPYNFPKASWNIDNNSAEHRVESGQPVRPGHYPHQQKWMLWFLPM >Et_1A_007907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39344832:39345402:-1 gene:Et_1A_007907 transcript:Et_1A_007907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRATKRVLCGIAARAGPAAPAPLAALLTGNNLTAGTTIRRAVHPGTNVVHAENPDAGFVEHNKAKFDYAIVVVGEPPYAEGFGDNLNLTIPSPGPSVIRNHQVRRRPRLRPAAGGGAVPGLPGTEGQGVSDVLFGDHGFTGKLSRTWFRSVEQLPMNVGDKHYDPLFPFGFGLQTRPSTTA >Et_5B_043232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19180561:19181538:-1 gene:Et_5B_043232 transcript:Et_5B_043232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLVRFLLQAEVPGDKNRSVLPIIGPRKVGKSTLVEHACNDERVREHFSHIVFLNGGNLTSENVEAFGDAAVNTVFHGERVLLIVELDGDRFSRRLNSENIDEGLWLRLYSTYIRQIPRGSKIIVTSRSDKIASFGTTSPLRLQFIDQDAFWYFFKVRLFGSTDVTTMTVQEVLLGTARPQGKFDVLAWRSPIPPHFSYFFGCEVRKKPRRTISRKKRILKTAN >Et_4B_039416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14798539:14802972:-1 gene:Et_4B_039416 transcript:Et_4B_039416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFVRGLGLEASPFESQGFSVVLCDFAKMISGAFVQQYYHILHNQPEQVHKFYQDSSVLGRPEPNGTMVSVTTLADINETIMSTDLRNCLIEIETADAQLSHKDGVLIVVTGSLTPPDGVCRRFTQSFFLAPQESGGYFVLNDVFRFISEKQLAVINQVVTQENESSQNDISTIPASETYSALPEPTAAEKTLNSDHVTVESIVKERQVINPSVNGTSVEYNVTTEPPVQVAKEDPKRAPVAAPPPPAPAQRDVTKKSYASIVKDMKEGSPAVPAARTTSSVPKNKPPPKPVTKDAEGPVIPSAKPAQDNGTTASDGIGAESNSSRNEHGFSIFVRNLPFHSNIELVREEFKKFGAVKPDGVQVVHHRFDGFCFGFVEYESEQSMEAAIKASPVRVGANHVFIEKKRAPTRVTRSSAFPRGDNGEGGRFHSGSGVHRGDNFGGQVGGYGNNANYRGGDNFNHRNGRENYNIRNDGRENYNRRGNAGENYNHRNEGGDENYNRRIGSGENYNRRGDVGENYNRRNDGGENYNRRGTVGENYNRRNDGGENYNRRGDSGENNNRRINIDENYNRRTDGGRGQGPPPGNGYNQNSNGFHPPRPVQNGNGRPARVNGPKQPPGDA >Et_2A_016152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21504508:21512633:-1 gene:Et_2A_016152 transcript:Et_2A_016152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKQQTTRCGLLLVALLCLITSDVHAETQILFQGFNWESWNKQGGWYNMLKGQVDDIACAGVTHVWLPPPSHSVSSQGYMPGRLYDLNASTYGNRAELRSLIAAFHAKGIKCIADVVINHRCADDKDDRGVYCIFKGGGPTGRLDWGPGMICSDDTKYSDGTGQPDTGADFAAAPDIDHLNPRVQRELSDWLNWLRRGVGFDGWRLDFAKGYSPAVTKAYIRNARPAFVVAEIWNSLSYDGDGKPKASQDAERQELVDWVKAVGGPATAFDFTTKGILQAAVQGELWRMKDAEGKAPGVIGWLPEKAVTFVDNHDTGSTQKMWPFPADKVMQGYAYILTHPGIPCIFYDHVFDWKLKQEITALAAVRKRNGINAGSKLRILVAESDVYVAAVDERVITKIGPRFDVGNVIPPGFKVVASGDDYCVWEKSGRAISTKLARRYPLPLWIAILGLIMLVGMYIFSLSLKQNGMLFGVMQTNMTEKERENPVTIPEFQIQRFPMCAILRRILTIECTCNAVRFFAIVSMQRSGSGWFETLLNSHENVSSNGEIFSVKERRSNVTTITETLDKLYNLDWYSSAAKNECTSAVGLKWMLNQGLLKNHQEIVEYFNKRGVSVIFLLRRNLLQRCVSILANAHDRAMKQLHGTHKAHVHSTDEADVLAIYKPTIDKKLLISELKRADKLAADGLANLKNTRHIVLYYEDVVKNRNKLMDVLDFLKLPKMKLSSRHVKIHTKRLRNHIGNWADVNNTLKGTRYESFLNGRSSTAARTREQQMAKHLATMASLLVLVFLCLGSQLAQSQVLFQGFNWESWKKQGGWYNFLKGHVDDIASTGVTHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTHAELKSLIAAFHAKGIQCVADIVINHRCADYKDSRGIYCVFEGGTADSRLDWGPDMICSDDTQYSNGRGHRDTGADFGAAPDIDHLNPRVQQELSDWLNWLKSDVGFDGWRLDFAKGYSAAVAKVYVDSTSPTFVVAEIWSSLRYDGNGEPSSNQDGDRQELVNWAQSVGGPAAAFDFTTKGVLQAAVNGELWRMKDGNGKAPGMLGWLPEKAVTFVDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGTPCIFYDHVFDWNLKQEISALAAVRSRNGIHPGSKLNILAAEGDLYVAEIDDKVIVKLGSRYDVGNLIPSDFHAVAHGSNYCVWEKSGLRVPAGRRH >Et_9A_061721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15770502:15776765:-1 gene:Et_9A_061721 transcript:Et_9A_061721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLPQHHKPSKPSAPSSCASWIRRSPPPSPPHKKAGGGGCGRYACRLVPLLMLTVYSVFTVLRIPSSSLVVTTTDSERVDRRDDLEALKTHLPSNQKGLEAREETRSVASLPCSAFINGEAGHGEDGVLCCDRSHYRSDVCYLRGDARTDPSTSSVFLYGAPRGSAPEKVRPYTRKFEDSIMSTIDEVTVVPVPGAFNASGADGGMRRRCDVRHPRGVPAVVFSTGGYTGNVYHEFSDGLIPLFVTAQRFAGEVVFVVLEYHYWWLGRYGAVLEGLTNYKVVDFRNDRRVHCFDEMIVGLRIHGELVVDPKLMPNGKSIKDFQALLHQGYSRTPVAPLALAPPCPRPDDTTHAATARAAKPKLVIFIRKKNRVLLNLPHVVTACRRAGFAPHVMNLRRTTPLSAIHAALASADAMVAVHGAAVTHFLFMRPGSVLLQIVPVGLDWAADAFYGKPAQQLGLEYLEYKVAPEESSLAAKYGLNSTVVRDPWVISSRGWWEMKKVYMDRQNVTVNVKRFAELLRQARAHIKNNTAAACRGHHHRGGSLSSEVERR >Et_3A_024753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23903259:23904610:-1 gene:Et_3A_024753 transcript:Et_3A_024753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLHCSDNKLPFMDVETILHMKEGLGETSYAQNSSLQKRGMDTLKSLITNCATDVYMSQMPERFTVADLGCSSGPNALCLVEDIVGSIGRVCGRSSQPPPEFSVLLNDLPTNDFNTIFFSLPEFTDRLKAAAKTDEWGRPLVFLSGVPGSFYGRLFPRKSVHFICSCSSLHWLSQVPCGLFDEMNRPINKGKMYISSTSPLAVPLAYLRQFQRDFSLFLKSRAAEVVPGGRMVLAMLGRQTSEGGHVDRRTTFLWELLSESFAALVSQGLVEQEKVDAYNVPFYAPSIPEMEEEVRREGSFRLDYVQTYEINLSSSGDAKEDGRTVSMAIRAIQESMLSHHFGPDIVDALFHRYTELVTESMEREEVKSVQIGVVLTRL >Et_10B_002951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14528327:14528938:-1 gene:Et_10B_002951 transcript:Et_10B_002951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTKVCACEVVIGERRSADLGFGSVEAGAASLSTPSSINLNPYIPFTDGERLLGDGAGPPSAEAGVPLSLSDHPLEEITVQISAPANLTYASTAFKTFRHLITDPTFLRHCRWLHTPLLLGYVAPDKYKVSFLSAKAYR >Et_2B_019490.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:7594048:7594158:1 gene:Et_2B_019490 transcript:Et_2B_019490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGKNSTGIRRLLREQKARLYIIRRCVAMLLSHRE >Et_6A_047613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8270214:8270785:1 gene:Et_6A_047613 transcript:Et_6A_047613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWSSHVFDAFSVEIAWDNLIGMPRLYSLVLVRSDADPNSFRVIVLARDVGSRVWALEFSSTEMKWLVYPWQGIAPLNFNLKHPDLGSIGNNPYCSYLCALDKASLKFSSIELPHELRPAAADEASVDYCFGEAENGKPCVVATRGLIFQEFRCASHPDGVQKWVLEETIGLTDDLKELLPVDI >Et_4A_032372.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:32246027:32246227:1 gene:Et_4A_032372 transcript:Et_4A_032372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEPVAVSAVTPEALGKSEERALILGWAYYLYAFSSYPLRTWLPSVYRRHDNWYTRGASFPVLSY >Et_4B_037747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22991475:22994891:-1 gene:Et_4B_037747 transcript:Et_4B_037747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEAPMAMAGANLTAALCKKSNRVARVLAYALLEWILIALLLANGVFSYLIARFAAFFGLAPPCALCCRLGVDSLFEPSHRRGVGGAEPLRRVLCDAHAAEVSRLGYCRAHRRLADAGDMCEDCAAAAAPGKALLSWMGKSELGERDLACACCGVALESGFYSPPFLLPNMQAPRGLDCSLKEEHIAGVNGDMVFVSEEGPVLELFDEKPLVQDDSIGVMAQDAEIAADVERLVPLESIDSLAVSMAAAPSQSTDGRKESIDHGNAKQDDVVQDNTVDADDEKFVMTPDDDKVDGEVDLQIAATILATPCVEGTFDDDINVGETVDGFADQQDPEEDNELTDNDMKISLGGEVCEKEQVEEAALKQELSAVTTDPSENELIEKLDRRTDLENIEEAELNRKLESMPMKAPVLVSANSFENIEDRLVEHVELNQKLDLVQICPREHADEELEGDITAHAGLEQECDPVLIDFEENVCVTSHAHSDDELAVVKQRSTSVTADVLDYVANTFDDGTNTGKEDIEEDPTEAALPILHKICYGPGYSVMEEERDPDTPTHIKGICDSQEMLDSKAVISDSKSADSSVATVSSDLESTELVSVDQLRTALAAARKSLNTLYAELENERNAAAISADETMAMINRLQEQKAAMQMEAIQYQRLMEEQSEYDQEALQRLNELVVKRDKEKQDLERELELYRHKVHLYEAKMRKMCRHKVDEQNGSSSSSSSAEDSDDLSQSFYEGDESANGLNGSNGSLPIDVDLQETARHLVTLDGSLADFEEERLSILEQLKVLEDRLFDLDDEESELTKMDKHLSEENHLSGASNDFSDDDSCFKLHDNRKSVSYKGKKLLPLFDDATVEAGNLVPKQGDEVDHSTEVTMELAREQDKLAIAGEIDQVHERLHALEADRDFIKQCVRSLKRGGKGFDLLQEILQHLRDLRRIEQRTRNSGELSPHYLHPYTD >Et_3B_029194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22928386:22931512:-1 gene:Et_3B_029194 transcript:Et_3B_029194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHAPRPRLLLATRRLCACAAAPCARRAPVPPHAARPRRVFLGLGAAFIDQVARMASGGASSRSFVAGARPRQGVSPVEQILKDVEWPDEFPFKPEDFSRFDESSDTLFYSVPRFVTHIDDQAIGALTEYYSEVLPPSNTPGVAILDMCSSWVSHYPPGYKQEKIVGMGMNEDELKRNQALTDYVVQDLNVNMKLPFDDNTFDVITNVVSVDYLTKPIDVFKEMRRVLKPAGLAIMSFSNRCFWTKAISIWTSTDDVDHAWIVGAYFHYAGGFDPPQAVDISPNPGRTDPIFGSIWIGLTYGLTSEEAGPQ >Et_3B_027870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23849223:23849816:-1 gene:Et_3B_027870 transcript:Et_3B_027870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSSLSNLGLGYSIVIALGFLVLLASLLLASYFCCSRVGGADYWAGNPSGAVTPASSSAAASSPVGLDLAAIASYPKVPFSSRGTDADAMCSICLSEYRDGEMLRVMPECRHRFHVTCLDAWLRRNGSCPVCRSSPIPTPNATPLATPLSELVPLSQYAADRRRRR >Et_3B_028033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32356929:32357885:1 gene:Et_3B_028033 transcript:Et_3B_028033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFERWLSRHGKAYASLHEKLRRFEVFKDNLKHIDETNRRLKEADNDGRRRFMYEDVVSRLPKAVDWRKKGAVTEVKDQGQCGINQIVTGNLTALSEQELIDCATDGNNGCNGGLMDNAFRYIAANGGLHTEEAYPYLMEEGTCDRHKKKKKKKRDDEGNVANNEQALLKALAHQPVSVAIEASGRDLQFYSGGVFDGPCGTDLDHGVAAVGYGADYIIVKNSWGPHWGEKGYIRIKRGTAKREGLCGINKMASYPTKKH >Et_2A_018805.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:827714:828136:-1 gene:Et_2A_018805 transcript:Et_2A_018805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARYVKASSRFFRAGSAGCCDRWHFLDACFLCKRDITLGRHIFMYKYVQNICSSTCCAGNQIKGGNQLSIVRAYMCNRGDAAFCSDDCRQDQMDMDAALKAAARRHRTSSAPASNPATVMARRRPTISDIAAHAHLVSG >Et_7A_051220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15756760:15757998:-1 gene:Et_7A_051220 transcript:Et_7A_051220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLCRRSSTMAARTPSLNAMITFPFFFLFETRRDTRGVKRRHDPTRPPVKPSPWLNTHARAAPPKATQLHGHLRRAGAAGLLDCCDDVGGSKFTLLLLVSRSCFGRETLYTRMLVRGAGCLTIFCPCVTFGKIARIVSQGIRSLISYYYSVSVFVDLMGWSFSDAHGAAAGVDDVRTDDEFQPAAGTLYALLLSLTGTACFYSCCYRARLRAQFGLTEEPCADCCVHWCCEPCALCQEYREIKNRGFDVSVGWHANMERMGKGAPTTTPPQMYQGMYR >Et_2A_016884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29035317:29037554:-1 gene:Et_2A_016884 transcript:Et_2A_016884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFVDSAAMERERESDKCLDPQLWHACAGGMVQMPPVHSKVYYFPQGHAEHAQGPVELPAGRVPALVLCRVVGVRYMADPDTDEVFAKIRLAPVRPNEPGHAGDADDGIGAAAAAAAQEDKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFMRTENGDLCVGIRRAKKGGIGGPEFLHHHHPTPGGNYGGFSMFLRGDEDGSKMMATRGKVRVRVRPEEVVEAANLAVSGQPFEVVYYPRASTPEFCVKAGAVRAAMRTQWCAGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLAPFSPPPRKKLCVPWELPLDGQFPTPMFHGSPLGRGVGPMCYFPDGTPAGIQGARHAQFGISLSDLHLNKLQSSLSHHGFHQLDHGMQPRIAAGLIIGHPAARDDISCLLTIGTPQSKKPDVKKAAPRQLMLFGKPILTEQQISLGDALATAKKSPSDSNAEKTVSNSDISSPGSNQEATTENLSSGGDNRALDLGLETGHCKVFMQSEDVGRTLDLSIFGSYEELYQKLADMFGIEKSELTSHVFYRDTSGALKHTGDKPFRFESTNIVFHIICPYRSMIALF >Et_3A_026548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9549685:9552540:-1 gene:Et_3A_026548 transcript:Et_3A_026548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNHLVLATCFWVLSCALLIHGSSDGLLRINLNKKRLDKETLTAAKLARQEGSRLLNSGGSRQYLGGSNDDTVPLDNYLDTQYYGEIGIGTPPQNFTVIFDTGSSNLWVPSSKCYFSIACYLHHRYKAAKSKTYKKNGETCTISYGSGQIAGFFSEDNVLVGELVVRNQKFIETTRETSPTFIIGKFDGILGLGFPEISVGDAPPIWQSMKEQQLLEKDVFSFWLNRDPDASAGGELVFGGVDSKHYKGSHTYVPVTRKGYWQFDMGDLVIDGQSTGFCAGGCAAIVDSGTSLLAGPTTIVTQVNHAIGAEGVISTECKEVVREYGEMILQLLIAQTSPQKVCTQIGLCVFDGTHSVSNPIESVVEKQNRGSDLFCTACEMAVVWIQNQLRQNKTKELILDYANQLCERLPSPNGESTVDCNQISKMPNLAFTIANKTFTLTPEQYIVKLEQAGQTMCISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGKNRIGFAKSA >Et_10B_004212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19254070:19254386:1 gene:Et_10B_004212 transcript:Et_10B_004212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDLARSSEIRRDPANKELASSPTGLGQEDEPDEDDGVLMSKEKKKKKKKKKKKKKKKKKKKKKKKKKKN >Et_3A_027137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3393162:3393563:-1 gene:Et_3A_027137 transcript:Et_3A_027137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGHFINQEKPKEISDHICEFFSKFLILEQSVVRKIPASGKQKKMTIGCARHCAEAADFCT >Et_5A_041729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26428239:26434006:-1 gene:Et_5A_041729 transcript:Et_5A_041729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEPLAASAEQFDFRQNPEINDESLYDGINIYINLKHENVIIPVGCCHEIIMVLVHHKGKYIGVHDIQFFLVEKYVPNGSIERFIAGKFLPHFLIRVVHLDLKEENILLDSDMSPRISDCGLATKLVHSEDEITRGGSVFGTLGYMPPEYIGAGIISIKCDVYAFGVILFEAISIMNRSESPGRFDPIGWAKVARDTGRMKELFSPAKVDQHQLMEIKRCIEVAVLCSQIDRHKRPAMADVLLMLSGEKEIIVPRSDDAWWSIV >Et_3B_031291.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24524845:24525177:1 gene:Et_3B_031291 transcript:Et_3B_031291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPSSVTMWPRPGKHRGPAAVVRRAQLVLSRDVGWCGQRAWRKLLRRLAQETKCICSPPSAASSRPITFGYDAVSYAKNFDDGRSPVPPCAVPVVVASATNKSSNN >Et_9B_064306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13852958:13860081:-1 gene:Et_9B_064306 transcript:Et_9B_064306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEQDVDVFGEDYDVQDGGAEAEADGGGDSSGSSSPSSSSSSSAAGSSSSSSGASSRSSSGGAGGGEEADGGEYDSFDVVPTRAAGGYRDEDDDQEEAEEERDLFGSDNEEYVRTPARSNYLVPVLPAIRNTNNHSRGGFGGRGGRGPPLLPRPGGHPGRHNLGYGRFGNGRNVEGFVSDMKLNKSEETLSRKAVAFQEPCEIACLSRVEGGDVYFDDRSLRLFKREICDYVGADLNRGFESFIEKRDLGSEGFGDLLACIRNSSIPLQNHIHFVTYRNNLNKILVTAYLREPWKMGVHKRGGVVYLDVHKLPERPKSEMERRRCYWGYSFENLATENGEDDRGIDANVEFCSVIKTKLGAHRIIMGAEMDCCDATDDGRRFYVELKTSRELEYHTVEKYEKEKLLRFWIQSFLAGVPYVVVGFRNDAGVLVRTERLRTKDITHKVKAKNYWQGGVCLAFADEVLCWLYGTVKENEDYVLQFVHPFNRLELLHAQAPCPEAITMHVQQLSGAAD >Et_5A_040897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14362514:14365418:1 gene:Et_5A_040897 transcript:Et_5A_040897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTVKKVTDVAAKAGNDRLGRLGQDARLRGGRKEFATRRRTFEDVTHQLQTKFSQEPQPIDWEYYKKGIGSKVVNMYKEAYESIEIPKYVDTVTPEYKPKFDALVVELKEAEKASLKESERIEKEIAEMKEMKKKISTMTADEYFAKHPELKEKFDDEIRNDYWGY >Et_2A_018581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33704775:33710125:-1 gene:Et_2A_018581 transcript:Et_2A_018581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGGGGGCAGRRYWRWSKADFFPEPSFRSWRAYGGALASIFPRLRDRVASRSSEAVEAGTLLAQSENPLRRCLSWVDLAFLGFGSVVGSGVFVLTGQEARFDAGPAIPLAYAAAGFSALLSSFCYAELATEIPSAGGSFAYLRVELGDLAAFLAAGNILLEAVVGAAGLGRSWTSYLAALIGRDSDALRIRVPALAEGFNLLDPIAVVVLIATSALAVSGARLTSTLNSCASVVGIAIIALVLAVGFSHFDAANLTAPSFFPFGAAGVFRAAAVVYWSYTGFDMVATMAEETNNPGRDVPLGLISSMSAITVVYCAMSLALVGMQRYSDIDANAAYGVAFAAKGMKWARYIVALGALKGMTSGLLVGALGQARYTTQIARTHMIPPYFALVHPRTGTPIYATVAVTLGAACVALFSSLDVLASVSSISTLFIFALVAVALLVRRYHVAGTTSPSDLRKFLFFLALIVLSSIGLSVYYNSSQAGKWPGYVAFGAVWAAGTAGLALCAKRQREPKVYGVPLMPLLPAMSVATNLFLMGSLGSLAYMRFGICTVVMLVYYMLFGVHATYDMAHPSEEVAAIDSTEQGKIVPMSTPPPCVYSRGAVDVGGDGVGQRDAAVGRQGLRGGEADLRHSHVACLSAAVSAAVTRLDTPAQHSLEGTSSSPCTKPRHGDRSSSISEHTTSALTAASSCWTASIARRRPAKAVELFFMAGYYYAETTDEIEISRQMRFEFQQKGTSFGVNFRRAAIFYLNSTVVMGTSDKLHLSGRSRALVKQ >Et_6B_048914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15415300:15416326:-1 gene:Et_6B_048914 transcript:Et_6B_048914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGEPAARVAPPHDGKRTSSPEEMAVAVPLGDVLRSARLDGSVPDPQRSDREDGDEDEEFSDEDEALYDTDVDSDTDIEEEEDPLEFGDGEAAAGISTDVTVVPVDFLGTKARFASVGSTAGFMLLGAFPAVADQSGRRHHHGGAGGEITVHYRYARFTRDESIGGGGGGGGVKLYSGGGKLHTVRFLCLWRNLVAAAPVRVPPWAARVEVTVSVGPPAGPARRPHAGAHGEHASGASLPAPVTRRVDEDGVRPAKRRRLAAGAEEEEEEEECAICFEVLERGLAAWPRCAHVFHGERVLGAAPRQGGSALPIVQE >Et_10A_001197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22010765:22024226:-1 gene:Et_10A_001197 transcript:Et_10A_001197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDSQGDGPTYNEDYNLSRLVKATILLETCVHGVEVAPNDIVKTIKSECGDIIDCVDIYKQPALKNSLIRDHKIQLKPTNEPPKILEKLKGRNYSFPEQTWRRSGNCPEGTIPIIRKPTGADEIANNSTKDNIANGGKLEIAAAYAVNGPYHGARAAIPIWKVQVEPHEFSKNYLLIASPHDRNFVSIIGKNPPNIKNQIAVGMAVYPSVLGDDNPRLYIYATNDGGEKSHCVNHQCGFIQTNNQYALGTKFRDSDSRVSGKLFFVHVALYRDSGPGVWWLAINDVAIGYFDAGWFPMPFIEGFHNEMGGRVMDTRPGGRHTMTPMGSGQLAEAGPNNAASIAYYMAIDNKGADQVDEPVNYIVTSPNCYDVKNLGPDRERPGTDVVYGGPGGQNLNARSLHLHIFSDAFQYGPFNRSMQRRWKKPVDSARTRLEGRTRDHRLDKLMVQLRNLRLGLALHELISQQRNGYASLQLLSKWRYEMGLNIEIGAFLKKYPHIFEVYMHPVKKNPCCKITQKMADLIAEEDAVNRGNDTDIVQRLKKLLMLSRNGTLNMHALWLIRRELGLPDDYRSSILPNHQRDLSLDSPDTLSLVSWDEELAVAKVEEWREKEYTEKWLAESETKYTFPINFPTGFKIEKGFREKLKNWQRLPYTKPFEKDGLHPIHNIERLEKRVVGILHEFLSLTVEKMIPLERFSHFRRVFTMEVNLRELLLKHPGIFYISTKGSTQTVILRECYSKGCLIESNPVYNVRRKMLDLILSGCRNIDEMENAILLDEECNARSSQEAQNKTSNMGTTNSILELGTENNSDGKNHFSEEYPQKTVP >Et_3B_029210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23070093:23075391:1 gene:Et_3B_029210 transcript:Et_3B_029210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSARARATPPPPPQQQRRPLHPLAGPGRQEDAGEEAVAAGHHGAPRPVRRKGRKQKQLWPKTVLRKWLNIHSTESDFSADEGDTTGDDTDSEVEYEDNNHLGPAPVPFSLHRRRKSETLRAQYIDVKELRICAGTWNVAGRLPPDDLDIQEWLDMDEPADIYVLGFQEIVPLNAGNIFGAEDNRPVAMWERIIRETLNKISPDTPKYKCHSDPPSPSRFKPSDDAFVMEDELISESDSESDGEVHPLTEEDLIACADGIHGNKYEHPMAPPETLQDDNFSRLPSLRTFDRSNNLSFKESNLEEKVSQKILTKTFSHSERLGMIWPEPPLDMLAQCLPDSTKSFPSGKALRTYLSFKSVNGDSGPLTEDNLVHDLNINGAVVKRKRPHFVRIISKQMIGVYLSIWVRKSLRKHIQNLKVSTVGVGAMGYIVSMSIYQTHFCFICCHLTSGEKDGDELKRNADVQEIHRRTIFNPVSRVSMPKTIYDHERIVWLGDLNYRINLPYERAHELISKQDWNGLFGKDQLNVELRKGHLFDGWNEGVVSFPPTYKYKVNSEKYISDDHKSGRRTPAWCDRILSYGKGMRLLSYNTVDIRLSDHRPVTAVYMVDVEVFSSKKLQRALTFTDAEVEDQLSFEEESASGMYSLGLS >Et_10B_002833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12158410:12160104:-1 gene:Et_10B_002833 transcript:Et_10B_002833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SFGNPHTQHPKSSFDSGKFSDKDELDYGSSGVELDYGSSDMSHLSIQDHFQACVKNVLPNPMSEWKQNKDLLKPPILSKEEEEAEALNRKRCKEITEFDPKLRIHVPTRFCRFNIAYFDFDKESEVKSGPQFREISDSEYWSLDGSMNVISIKVAQSDVSYPINIYGTVLARDQNDFRCVYLFKRGRDDPQLITETDDTLTLTGPYHALSGVDSLFFEFHLKIQGDEGFDQDFSKGLLVCEGCCDTRESRTLSLESWLSTVEMLYTPIPLAVQASIQVNVLNGKSNFIGKIAASTGEDKNKIILYDSKVASTELKLGDGGSVSLTRSVVAVPHNEKLVLNVSEADVSKKVKLFFGHFDEEQTCTVGSYQLQVKIIWTGVFRQERPDMWMHFKHF >Et_5B_043231.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:19162483:19162731:-1 gene:Et_5B_043231 transcript:Et_5B_043231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIITQMQIIIGLFLVVLMLTWCMVQLVVSSLVEILQVQVLFSLFTILLTPTNRLVHADPNLCQYHSTLGTATKNLPLCIT >Et_4A_032500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10898826:10908739:1 gene:Et_4A_032500 transcript:Et_4A_032500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELLELQRQLEAAQSARSSVRLSERNVVELVQKLQERGIIDFELLHTVSGKEYITSDHLKHEIKMEIRKRGRASLVDLSDILGVDLYHVERQSQKVVSEDPTLMLINGEIMSQSYWDSVTEEINEKLQERSQIALAEIAAQLHIGSELVINILEPRLGTIVKGRLEGGQLYTPAYVSRITAMVRGAARGITVPTNLPSVWNALQQQLQEMHGASGVSVEGSFFQSIFNGLLKEGAVLGSVRAGGQWTPANSYIGYDVLRKLVIPQPKQYLEARYPDGIALDAVFVHHSVVDMLDAAVGDAIESGHWIDSLSVLPSYISGLDATKVLSLCPSLQRALKSSKAVVFGESCVFSNVFIKVSIFDRLEKEMDSFGIKHNVGQGKAMNINVGSEQKTGSGPNTKDLDDTDVSTTSVSSDRGSKKKRGKGAGSTKGVTLEKDDDNEESIPVKGKKGHKKNRDATSGDAKHGGKKSSEKMKEENTNIFPDELIEQKVLTVAPELEELGGSDELNGPLKLLSSHLRPMLVESWMKKRNTMLSGNAERRRCLLDNIQKQLDEAVLDMQLYEKGLDVFEDDPATSGILHRHLLRSMGVPIVDKVLITLDKDNKMKNGMEVEDTEEELAQLSTADRSSLAKDLPGALSLKAQALVEALEGKRFDSFMDALRDVLEESGLVFKKLDKRLERTMLHSYRKDLTAQVSSENDPVSFLPKVVALLFLQAFNKALQAPGRAVGAVITLLKDKLPASTYKVLADYHSTTVKLLALQAATTDEEDCASYRMLEKKEDLEERLMPELKSLVLGTSKE >Et_1B_009833.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31087855:31088801:-1 gene:Et_1B_009833 transcript:Et_1B_009833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAPGGDRGDDDGAAHQAQEKRVVPVPAAAPPSSSSPRELVLACADRLHRGDVDGSRRHVDALLSDADPRGDAADRLAHHFARALARRLAHDDEDVAPAAPSPSAYLAYNQIAPFLRFAHLTANQAILEAAFGGARRVHIVDLDAAHGVQWPPLLQAIAERADPDAGPPEVRITGAGADRDVLRRTGDRLRDFAGSLNLPFRFHPLHLSCPTQLAAGDLELELHPDETLAVNCVLFLHRLGGDEVADFLRWVKSLSPAVVTIAEREAS >Et_2A_016717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27537545:27542897:1 gene:Et_2A_016717 transcript:Et_2A_016717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPALAAAEPMAVDDSAAKKAKRKQLKAAAAAAEAEAEVTASKKKEKKEKKRKAKEPSPPLASDEERSSTSSEEPAPAAKKAKKEKKKAVEEKSRSSSEEGDGDVTASSDEDAADPNALTNFRISEPLRERLRSKGIKALFPIQATTFDLVLDGSDLVGRARTGQGKTLAFVLPILESLVNGTHKASSKTDYGRLPSVLVLLPTRELANQVHADFEFYGATFGLSACCVYGGAPYRPQEMALRRGVDIVVGTPGRVKDLIVKGHLNLKSLKFRVLDEADEMLNMGFVDDVELILGKVEDVTKVQTLLFSATLPDWVNKLSMRFLKTDRRTVDLVGNEKLKASASVKHLALPCNKAARAQVIPDIIKCYSHGGRTIIFTETKESANELSSLIPGSNALHGDVVQARREVLLAGFRSGKFQVLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGIAVMLYEPRYKYSVTRLERESGVQFQHISAPQPTDVAQSAGNEAADAIASVSDSVLPIFRPQAEQLLNSSTMSAVDLLAKALAKAVGYTDIKKRSLLSCMEGYTTLHLQTGRPMWSPGFGFTILKRFMPEDKLSDVKGATLTADGTGVVFDVPAADAEDYIQGAESASMVTIDEVKQLPPLQEKEQSRGHSGGGRFGRGGGGGRGSFGGRGRGGGGRGFSGRGGGGNRTNAVLCRLNHDRGRDRPIQSGCLLSRRRVATRLCGSDDVDRPRNTNHAFRRAASWSHHIVPASTKGSRWRPTADSSGGPRRAAIQTLTVRPATGRGSRCSRLWPTVVPLATGAPSTYTWCRSTRCPSATCSWILTTLNGLVAGRRSRSSIQYPFRRPLSSVGAQYVSSRPSSTLHAANSRPRSLLALATLPAARSVRKTASTAARNSRRRATSASTTRPVVLGGALRSSTQLRPTEL >Et_6A_047965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26444156:26453533:1 gene:Et_6A_047965 transcript:Et_6A_047965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMGSSMDGSPQSLNCSEEEEAFAAPVSNYSTAASLTSAFALHKVLQPDPLISLLHGWHPLRPRLEFEFITWKRGGVGASLACGRRTFLVPELITRTSVAQVLREAMGELVVGPLVSMVKEKASSYLLDQYRVMEGMEEQRTILERKLPAILDIIQDAEEKGAFRPEVQAWLKDLKKVSYQANDVFDEFKYEALRREAEKKGHYSMKNLRCFPARNPIVFRYRMGKKLQKIVQTIEVLVAEMNTFGFRHMQQVYLQGQIQHLRYLNLSGIWELKQLPEDISIMYNLQTLDISHCSSLRQLPKDMKSMASLRHLYTTECKTLTCMPPGLGQITSLQTLTYFVVGASSGYNTIRELEKLNLGGELELSCLENSTEDHAKAASLENKKKLTHLSLGWNSGGQEEPDQDCQKKSLERWVASEGKEEELMFPVLEKLKIKNCPKLTSLPGAPNLKDIVVDEDKALLSLAVLKSKHVYSLSKLDLSTRDTEATPPQIDENHQSSVSEIRLKNGFYFFFSSNPPQQTLESVWGNEEHPEINTDIQLEYFCEIASTSVSDQSLSPTNRRPCLEVLHVNDCDNLATLPALPPSLKHLSIDGCGMLCSVTGHLDAMESLYIFACNKLQSLDSLGHLPSLEGLTLDGCRCLASLPGVLGSYSNLQELTVKYCPAIDLKPLYKHHQQRLDNLEEKDISHAHSSNPYEGPKLSEPRSWKYAIPGVKDWTIERHNIMPHIHEELYGFLSMTMLHISSYHSIPGDDTPVEDSVENIRARI >Et_4A_034341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31907855:31909378:-1 gene:Et_4A_034341 transcript:Et_4A_034341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGARRGGPDHDQRSRRTGPDQPSPRLRPGRPRTAALSRRWRHVWLYAPLNLDDRLHGHYSDRRRLEVVSQILAGHVAPARRLAFTSLHEPASASRYKHWLPLPIFDGIRELVLHFPLAADHPRILPASALRFASLRELLHGIISNSPGGEELTLDTNSGHRRLRLSSPPRLRCLAVLVRTFSRTHEIELDELIIEDAPSLERLLLHVVEYGPSVAINGPATKLEMLGYLGTGFPVIELGTSIFKGMVPVRMAEQFSTVTILGLHMPEPNLNFFVNIWTTPPEDALICDPSSAPIECLDRSLKTVVLQSYSGLPRHVEFARVLEVMKFFCSCYIVSECEPSWLRSQRRQLNVENSASRRAQFPFVLRCDLPSKFWMDDAFTTDDPFMK >Et_4B_036010.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12760797:12761492:1 gene:Et_4B_036010 transcript:Et_4B_036010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PPQLEEALKCPRCQSSNTKFCYYNNYNVLQPRFFCKACRRYWTQGGSLRNVPVGGGRRKYKRSSLSSSLTPPSSSSVTKVINSQNQYLVPASSTGFPNVLPTFMSTGSFEIPHFSLPIAPSLSLSSPALTPMLAPVAATPTRSSFLDLFGGRLLDNQSSSYYGPMITDGGNEMEVSPLPSSFDFGVMQQHGVIGDHHEGAPGATEGGQWPTTQHGANNGDDGAAGSE >Et_4A_032796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1456157:1456732:-1 gene:Et_4A_032796 transcript:Et_4A_032796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATPQKQPQPQGAVSVQHVAKASSDELLRKFADPDARLSVTPPRRSLALRRKRSSRVASGLSARDSNAAAGTELAAPKRRRSIGGSTDWRAGLLLPTTTASARKGQVRRGGAARLDDAAGIGLLLAALERTWRKTVAGASKMFVEKHRTNHVLLISDMV >Et_1A_009336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39529721:39533790:-1 gene:Et_1A_009336 transcript:Et_1A_009336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRLAPNPAAILHAALLRASSACRLPPRLSFNSLLAAAASSRHPRLRALALPAFALAHRYPDAAGCGPLDSYALCSALRSSASASAAEPLHALAAKSGWLGSVFVSCAFAACYGGSGRFLDARRMFDENSAKNSIFGNAVLAAYIGAGQSVSALVFARRFCELGLQVDGYTMTAVVRACGELANADLGMQAHGHAIRRVGGVERDVFLTSALVDMYAKCGLVSHMELVFGLAQQENACRSDVVLWTAMLNAYGRHGQCKEVIQMYDLMVSSGVHPDELAMLAVLSACQHAGEVVKGLKYFESIRMSYGLEPIPEHYSCVVNMLCRAGEVAKAWEIATRDGCGNKIGVSTWGALLSACRDCGNVGVGKMAALKAIELEPANVGIYTELSNLYARAGLWEEIDQLREVMKEKGLEKDVGFTWVEHGLPGLTSATTAQVYDKHFKDKETGDFKDFHIAYIEFCKYFNTIMPGQDFDTPTLKEIKKFYDEEWSQQKTDDLKKQKFFKYMEENVKEANMGDSFFIMAGLAAPAAAVIGKRASGHIPYVKNMRLDLVPNVIFVPVFTLGAIVAATMAQISRKSTEAQEKSAAAEKDESKTT >Et_3A_023843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13360323:13361443:1 gene:Et_3A_023843 transcript:Et_3A_023843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAKVNLSGVESGTPGWDEARAAVTASLVAHGCVIVAHDALSPELMRSLFDGAMPEIFALPRETRQRNVSTKGKFRGYMGFDNWESVSVDEPTEEGNVHDFVSLFWPQGNPESRDVMLQFGRNLLKLKETVEKMVLESLGFREENIDSHLRSLSHTLRLTHYGALPDDADKSLSMRMHTDFNFSTMVVQHEVEGLEVQTKDGSWLAIQPEPGTITFQAGEIFRVVTNGRVPACVHRVRTPSIRERFAVVFGCWYKEGAEVSAMDELIDGDHPQMYNPCKPDEFVEFLYSEEGRKCDGDPLKAFCGVDVGSAIK >Et_2A_018186.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:17123702:17123926:-1 gene:Et_2A_018186 transcript:Et_2A_018186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRCAAAATGDGRKTTVRSKASGDALEVCRVVNGMWQVSGTSWGRAEPAAAADAMLRYADGGLTTFDMADICM >Et_6B_048592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11125016:11126467:-1 gene:Et_6B_048592 transcript:Et_6B_048592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLQALRFCFCPLDPVLVRVAMSAFVGKYADELIRTAKYIATPGKGILAADESTATGTIGKRLAVGNVENTESNRRAFRELLFTAPGASAYLSGAILYEETFYQAASDGTPFVAALAAAGVVPGIKADTGLVSIAGADGETATEGLDGLAARCARYYAGGARFAKWRAVLRIGGGGAPSELAARQNAEGLARYAAICQENGLVPILEPEILTDGDHDIKACAAVTERVLAAVYKSLNDHKVLIEGTLLKPSMVTPGSGSPKAPEVIAEYTVAALRRTVPPAVPGIMFLSGGGQSDEEATRNLNAMNKLQDVVKPWTLSFSFGRGLQKSAVVKWAGKKENVAAAQATFLARCKANAEATLGVYTGAGAADATVS >Et_8B_060103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:669930:672812:1 gene:Et_8B_060103 transcript:Et_8B_060103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYIVLDLAMEWLKLACSVKEGKIHFTRILSRVLCAYYLIVFRRETLLWISVLNKVSIPLSRSTMSNSNSSNCSSEIVLFWSLLERRDHLLEFGVAIFFNKRYEDDSSMEMATQQLDDPAIFLISCSGLLVDLLELFLRLLGYTDDAFLELPKALLHGAAEVKQDERALKLLLHCFPDEETVVLKLCNCSSTLISFERGLEGTLADLRWKAMAVVEVVWFLFFRRWMRREQKRNCCNSVDSAMSVKKRHSALDQSPEQSVNSALKISNIQLQCLQLLLAHNSFLLECLGYKRPLASTWQHNLTLEEIQCRFKNRHNNLSCF >Et_2A_016783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28116668:28118724:1 gene:Et_2A_016783 transcript:Et_2A_016783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TKPLQIWDKEVVDGHIKRPQDEDIQSNVLEIVGMNVQSTYITCPADPSATLGIKLPFLAIIVKNLKKYFTFEIQVLDDKNVRRRFRASNFQSVTRVKPYICTMPLKLDEGWNNIQLNLADLTKRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSDEELPPEFKLYLPIQVRSRLCLFDTLLNIPLCLPL >Et_2A_016051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20566819:20573319:-1 gene:Et_2A_016051 transcript:Et_2A_016051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCASSAPPLRNRPARRLALALLITGASLLLLLPPPASAAKKSYVVYLGGHSHGREGAALAANRERARRSHYALLGSVLGGEERARDAIFYSYTRYINGFAATLEDDEAAEISKHPRVVSVFPNRGHRLHTTRSWEFLGMEKDGRVRAGSLWAKAKFGQGVVIGNLDTGVWPEAGSFSDDGMGPAPAGWRGICQDQQASDDAQVRCNRKLIGARYFNKGYLSTVGQQSHPASTRDTDGHGTHTLSTAAGRFVPGANLFGYGNGTAKGGAPGAHVAAYKVCWRPVNGSECFDADIIAAFDAAIHDGVHVLSVSLGGSPVDYFSDGVAIGSFHAARHGVTVVCSAGNSGPVAGTVSNTAPWLVTVGASTMDREFPAYLVLLGDNKRIKGQSLSPAKVKEKIVVCIRGKNARVEKGEEVRRAGGVGLVLANDQSSGNEMIADAHVLPATHITYSDGLNLSASAYITVPTTALDTKPAPFMAAFSSQGPNTVTPQILKPDITAPGVSILAAFTGLAGPTGLAFDDRRVLFNSESGTSMSCPHIAGVAGLLKALHPDWSPAAIKSAIMTTARVQDNMRKPMSNSSFLRATPFGYGAGHVRPNRAADPGLVYDANATDYLGFLCALGYNASMIATFMAGAGPRQPRACPARAPPPRPEDLNYPSVAVPHLSPTGAERAVARRVRNVGAGAAAYVARVAAPRGVAVEVRPARLEFAAPGEEREFTVAFRARKGFFLPGEYVFGRLVWSDGAGGHRVRSPLVVRVGDPRRKKKKSGASIA >Et_4B_037944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2507717:2512908:-1 gene:Et_4B_037944 transcript:Et_4B_037944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESITERPMVVDGVAGVGGGGGGIGRTKSDQLMPSQTSLSRTESAETVLSVKSTNDAAATLSRKSSFGRKRRSASVGGGNNHRSHIRKSRSAQLKLDMDELVSSSAALSRASSASLGFSFTFTGFTPPPRDICSADPMPFSDDESPMDLEAGTRRKKLITEPTLPIYLKFAEVKYRVPAKGSSREILSGISGSASPGEVLALMGPSGSGKTTLLSILGGRTGAGAVEGTISYNDEPYCKSLKRRIGFVTQDDVLFAHLTVKETLTYAALLRLPRTMTRQQKEERAMDIIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEILINPSLLFLDEPTSGLDSTTALRIVQLLHDIAEDGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMPYFESIGCTPLIAMNPAEFLLDLANGNTTDVSVPHELDDKVHMEHQNLENNNSKNDCRPSAQDVHEYLVDAYETRVAYKEKKKLLAPLPISDDMKATITSSKREWGTSWWQQYSILFCRGIKERRHDYLSWMRITQVIATSVILGLLWWHSDPSTLKGLEDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAVDMYKLSAYFLARTTSDLPLDLFLPVIFMVIVYFMAGLKATATHFFLSMLTVFLSIIAAQGLGLAIGATLLDIKKATTLASVTVMTFMLAGGFFVKRVPPFISWLRYLSFNYHTYRLLLKVQYDPVPDILTTTAHLDNGATEVAALVAMIIGYRVLAYLSLRKVKASSS >Et_2A_015286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10427776:10434321:1 gene:Et_2A_015286 transcript:Et_2A_015286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSKRLEKLKLSALYSFALCHRGSSDDHSRIGTAGFSRVVYVNEPNRHEEEGFMYPLNGVSTTKYSLVTFVPKSLFEQFRRVANFYFLVSGILALTPLAPYTAVSALVPLCIVIAATMAKEGVEDWRRKQQDHELNNRIVKVHRGNGNFEQTRWKDLKVGDVLKVEKDNFFPADMILLSSNYPDGICYVETMNLDGETNLKIKQALDVTLDLNEDTKFRNVRQTIKCEDPNANLYSFVGTMEWKGEQYPLSPQQLLLRDSKLRNTEFIYGAVIFTGHHTKAMQNATDPPSKRSKIEKKMDRIIYFLMSSLLIIALLGSVLFGIWTKEDLKNGVMKRWYLRPDAATIYYDPKRAALASFFHLLTALMLYSYFIPISLYISIEMVKILQALFINSDIEMYDEESDKPTHARTSNLNEELGMVDTILSDKTGTLTCNMMEFIKCSIAGTAYGQGVTEVERAMAVRKGARIDAEIENGDHKEKKVDESPHVKGFNFKDPRIMDGHWIHESNRDMIRDFFRLLAICHTCIPEVDDETGKVSYEAESPDEAAFVIAARELGFEFYKRSQKNITVRERDPVRNVVVERKYEILNMLEFSSSRKRMSVIVKEPEGRILLLSKGADSVMFKMLGPSGRKFEEETRWHINEYSDSGLRTLVLAYRVLDEKEYKEFNQKFNAAKISVSADRDDKIEEAADSIERDLLLLGATAVEDKLQKGVPECIDKLAQASIKIWVLTGDKMETAINIGFACSLLRQGMTQIIISLEQPDIIAMEKNGDKEAIAKASKQRVMDQIEDGINAIPPPSQFSTESFALIIDGKSLTYALEDDVKLKFLDLAVKCASVICCRSSPKQKALVTRLVKEVTHKVTLAIGDGANDVGMLQEADIGIGISGAEGMQAVMASDVAIAQFRFLERLLLVHGHWCYRRISVMICYFFYKNVTFGVTIFLYEAFASFSGKPAYDDWFLSLYNVFFTSLPVIALGVFDQDVSARLCLQYPELYQEGVQNILFSWRRILGWIFNGVLNAILIFFFCVTAFEDQAFRQNGQVAGLDALGVVMYTCVVWVVNCQMALSVNYFTIIQHIFIWGSIAVWYLFLLVYGTIQLPRLTNTAYMVFIEQLAPALLFWLVTLFVVLATLVPYFSYAAVQIRFFPMFHNKIQWKRYLGKAEDPEVARQLSSRHRTSSQQRMVGISARRDGSKDMQITRETSLELHGELKNQEPHHGSGSGSGGSEGCFASAAGTGDGLSSRRRRRRTSLVQSYNSSGPSACAAQTRPKTQESTVSTSTAASTPKKAPTEPELEPPCRGAAEPAPDTW >Et_10A_000128.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:9173309:9173620:1 gene:Et_10A_000128 transcript:Et_10A_000128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMNSTRWELLSRLAEQAAGSRLRLANGSKPYTYSEGRSEMIYGLAQCTRDLSTRECRRCLVTFLEDMLPPYTYGSVKGYSCYLAYSVGKSINIINTTEIP >Et_5A_040464.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24394254:24394307:1 gene:Et_5A_040464 transcript:Et_5A_040464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMCVSFNTRSYRGHC >Et_1A_006246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19592355:19594362:1 gene:Et_1A_006246 transcript:Et_1A_006246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHSKRTSAPAPREIPSPVPQAVPSPGTHPVPPAAIPSMSGPGAWCPPQSMAPASTPFWFPGLQHPGMAGSSAQGRHWFLFKSSHLFIIPDSNVDVVAIVNSTDCEQPKWDAYLECLAEPFGIPCAFRLRTFLFKHCWDVLRKEPKWDAYLERLAELDPEKRKFNIEDDTGQQFSIDDEKEEQPIGDLEDELQIFVDAQNKANEGHKEMLETQKRVSSENLEAKKLAYLAAKESKESAMLETYRKLLKQDTTVMAEDVRSEHVLALRSFRENLFAPLYEKALKQAEDGVADAFRQQPAKP >Et_4B_036432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23887614:23888882:1 gene:Et_4B_036432 transcript:Et_4B_036432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAFVVRHKRGRPEEFDSARRTRPRPLLPAEMEEEAGRGAKPTLPDDMLLEVFRRLPPPGDVVRCAAVCRRWRRLVAGGEGRCLPAPPRHFGFFRNYGPSPLPPFVPTAGVALDLAFLPVPPSCGAVLVDARGRRLLLRELGSGNPRELKLLVCSPLDKTSVRVPSIFTGERRMAMCALLPGEGGAAFRVVVVLLGDAPNHFVVLVYSSASNAWEAAKGPVARALFVHQGPSVVVGDVVYKLQCQEKYIVAVDATKMKLSAVPLPDAGTLLYNGNNWIGKTNDGRLCFFAIREQLVLVKWVLEAPGRWVEQQSVDLRSLMHPALVGDLALMKLSAKMSDQLQGCKLVSFGAFCESTGVLFFVMADWVVALDPETWRMEKLWRNTDELRPLGDVYPCEILQWPPALKDLGEA >Et_6A_047249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:296076:301216:1 gene:Et_6A_047249 transcript:Et_6A_047249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVLRCLEGEDGHAGGDPYPYYRPTSRPHYQPPQYYSHDQPPAAPPPRPHQQVQGPHGVTTANASVDTVDQYILNFKSTSMTLQSAETAAVGVWIYEYAAVQNIGPLKRSSEVLSDRAAAPRYQCNTEDIDESSAEGLCHAESYAKANPMLIQVPVLGTTRKFWRLSDEAARISRKLALILRRHHSVGKYLAAPLQLSDVWISTAGSVKLRGVRFTGKRFSIQRVRDDYRHLSKVLQSLIRTSGGDITKLPPDYKEFLELLESNTLTMKDEFLIENNSALLPMSNRTEVFLMLYDRIVTYLGRTKAGKAKKKRILSKLPYNNGWLDTASANTQINQWVVNVQKQYKRTQLDQLRLNRNVRSHLHQYNDDNIEEIMYCEWPELLMDMAADRNIGHLQNRSANRVAPPQHQCNTEDIDESSAEGLCDGASYAKANAMLIQVPVLGTTKTFWRLSDEATRISRKLALILRSHHSVGKYLTSPLQVSNVWIGSTGSVKLRGVSFTDKNFKIERVRDDYKHLSRVLLALIRLSGGDIAKLPPDYVGFLELLVRHNLTMEDEFLIVNNAALLPMKNRTEVFLMLYDVIVKYLGRTPAGQAKKTKILSKLPYKNDWLDTAIANAQINQWVVNVHNQYKRTKLYQLRLHRNVRSHLHQYGDDDIEEVLYCEWPELLMDMVKMLHEEGELESTDIQNKFG >Et_9B_065794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1206737:1216233:1 gene:Et_9B_065794 transcript:Et_9B_065794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTKEHCRSKAAVMIYTAWHLWNERNRRIFANTSMEPDGVVKTVKEDMALRPRACGVMQSTPLQGENSHQNIDEDDDWGKNKASCMASFQHRRFRLLGQRRRSGWGKPRPWHCCTLRLSRMIALQLKGLELAIMRNWARRASLSLGALSPRIASWSWSRNWHAPALTSPQWFLVCIAYARSRDDSSTGVAARLNAASPAGWKGGAPARRGRGLSLTPRYHEPSTDAAAAVDSTAVERVGVEVHGDARALRRQETLVPVHAEAMRMVRGAAAAPRSAREQRAHVHAEGATWPACGKEPPCPAAPGSRCCCTPCLRRGRPRKATTPRTEGQNGAGGDAGYLITETGRGRVPPG >Et_4A_033540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24240937:24242284:1 gene:Et_4A_033540 transcript:Et_4A_033540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDLPQAIDFGVKLTVSCNLTDTTTVLDTEIGGHVQSVRAESSWPGRGTTGRQCGEAARRPGRATSLATRQDGVYDTARGARRCYDAAGRCCSVERLLECAARSGGATTGARGTAGDKAGEIGSLFLDDNERNIAAGKGLGLRTALPQLQPAEQCYDHVNSWQEGAEQGYRTNYAMESIGSLRLVIPEIWGTVDVEKKGIRSKLDSSLHPTTTQA >Et_8B_059130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14184261:14187268:1 gene:Et_8B_059130 transcript:Et_8B_059130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFVLIVGAGPAGLATAACLSQHSVPYLIVEREDCSASLWRNRTYDRLKLHLAKEFCALPYMSFPSDAPTYVPREDFVKYLDRYIEHFGIQPSKRWVISARDTVAGAEIHYEARYLVVATGENGVGRIPEIPGLESFPGEAIHSSIYKSGSGYAGKRVLVVGSGNSGMEIAYDLASHGVDTSIVIRSPVHIVTKESMRMGMTLVKHMPVTIVDFLIVMINNSIFGDLSRHGIVRPKMGPLLLKSKAGTSSVIDVGTVELIKKEVIKVFRGISEIVGNEVSFEDGKESSFDAIVFATGYKSTANNWLKDHKCMLNDDGFPNKGYPNHWKGENGLYCAGLSRRGLAGIAMDAKSIAIDIVSVADHCMMVAMLYPAWATLPGPGRCIPPRAEEIGRLGGSCLPGRETLVRPAGEAQ >Et_7B_053876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1341657:1347678:1 gene:Et_7B_053876 transcript:Et_7B_053876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPARCPSLVLALLLLASCGAASAAADEKLTHLHFYFHEVDAGTPNATVVNVVSLHKNASTFGDVNVFDNALREGPDPASRLIGMAQGLAVHASLDDSGGLTAINFVFSDYGEYSGSALATLGHISASGPSERAIVGGTGKLRFARGYMTSRLLSSTDTSIPWPSLLGALPLFSRCCYLPPAAPHAGDEKLTHLQFYFHEVEAGTPNATVVNVASLHKNASTFGDVNVFDNALREGPDPGSRLIGRAEGIGVHASLDESGGLTAINFVFSDYGEYSGSTLATLGHFTVSGPSERPIVGGTGKLRFARGYMTSKLLSATDTSLVVVFDMYFTLAH >Et_1B_011935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27321554:27326535:1 gene:Et_1B_011935 transcript:Et_1B_011935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSSGGRIAGTLALRRGSRPASLIPGLPDDVAAVILCLLTFPDQSRLRATSRAWRLLLSAATLLPLRRSLRLPRRHLLCIFPADPSLASPILLDPAAPTAWWPLPPLPCSPQLYGLASFSALSIGRHLYVLGGSCFDARSYPLGHTSPSAAVYRLDLARSRHAWERLPDMIVPRGGFACAPAPTGGIIVAGGGSRHPTFPSNGSRTSSTEWYDAATRSWHVAAAMPRERAECVGFVAHGSGDGGEDEFWVMGGYDGYTTVGGVVPNDVYCRDAVALGLWSGKWREIGGMWEEGERRRLGPVAALSTDDGRITEVFMLDGHDVFRYDFTSNRWLKEATARRRIPNTGSCGFISVNGELYVLTSAKVPVAVSGSWRQLKKKVALEFQVYNPGTKEWRVLTTHPPVHEPIDFRSAALCTVEL >Et_3B_029850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28465706:28471226:-1 gene:Et_3B_029850 transcript:Et_3B_029850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSLLVLLPFIQPLTLPDLFLVAEPVELMVYGEESSWRMASAHERATLPFNPAIAYGVQAHAATAAPPPCFLDFQPAAAAAAYFGELEEALIRGGVDPGMIKSDVQTKSAGYLAARPPTLEIFPSWPMRHQQQLHSGNSQSVGSTTDSSSAQNTMSQMELVSPASSAQRQEVMMVTTDDYSYKPGLSAAPPPAAPAATPSFQQQPMQLHGGDHDKRKHGSARKDGKLVDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQAEHELQRARSQGLFVGGCSAAGDMSSGAAMFDMEYARWLDDDSKRLAELRGGLQAHLLDGNLGLIVEECMQHYDELFQLKAELARSDVFHLLTGTWATPAERCFFWMGGFRPSELLKILIPHLDPLTEQQLLGICNLQQSSEQAEEALAQGLHQLHQALADTVAAGTLNDGAAAPNYMSLMAVALEKLGSLESFYQQADSLRQQTLHQMRRILTTRQAARCFLSIGEYYRRLRALSNLWASRPRENFNGTDCISPTATELQVMQQQQQQQQNQFSGF >Et_8A_056139.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:363268:363711:-1 gene:Et_8A_056139 transcript:Et_8A_056139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQPADDVNLDLHLIHAAEARGRGRHRQAVAGEPDRTFSCTYCQRKFYSSQALGGHQNAHKLERSLAKRSRELSAISSASAAAVAPAPPSSELGSWFPAAAQAGDQATAAAVVSWITDGGRRYAYRVHAAAPAAGDAEDIDLSLKL >Et_10A_000362.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5439346:5439522:1 gene:Et_10A_000362 transcript:Et_10A_000362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHMKEKQEEEEGNKKEWNCWVALFVLSRAGISLSDNRSHRPVCIGVRAPSNSPTQLP >Et_3A_026435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:826809:828314:-1 gene:Et_3A_026435 transcript:Et_3A_026435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFLPFAAVSLLLVAVVLSAPDAAAAASSSSLGVRRYRYGSMFGFGDSYADTGNNPVAFRRLSLSDPVMRPPYGATFFRRPTGRDSDGRLVIDFIAESLGLPLVPPFLARKPNGSTFRHGANFAVGGATAIDAAFFHRGDPPGGSTFPLNTSLGVQLQWFESLKPSLCATAKGCKDFFRTSLFFVGAFGVNDYLLSLNANNVSQVRPLVPDVIATISMAVERLIVHGASTLVVPGIIPFGCAPPVLVGFADRDPAGYDPKTGCLKGINELVTHHNTLLQQTVHDLQAKHAPAGGVKIVYADFFGPVMEMLTSPTKFGFEDVFTLCCGGPGRYNYNPKIFCGDAAGITCKDPSARVFWDGVHLTEAAYRHVAAGWLSSIQSPGTAGGA >Et_4A_035926.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8649231:8649881:-1 gene:Et_4A_035926 transcript:Et_4A_035926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGSTAVEEREMERKRKRVAPGGESVAKWRTRREHEIYSTKLLDALRLVRAGSSSSSTTSSATAAAAAAAPPRSRAVREAADRALAVAARGRTCWSRAILANRRRRLQAARRARLRNPTSPPSRHAPAAVAATSAQGDGTKTPPLARKAKVLGRLVPGCRKLPFPALLAEASDYIAALEMQVRAMTALAEALSAVSSSSPSSSSGGGSSSPLA >Et_5A_041231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:229098:230576:1 gene:Et_5A_041231 transcript:Et_5A_041231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLQLPDDMVANILGRLPPCSLAASRCVRKRWCAIIDTRRLLRTDLLPLHLDGFFFLEEELGLAFGQTYFFSRPSTGRRICGHLHNLVDEHDDRWILDHCNGLLLLWERVVNPATRQWVALPPFPELSSSLFESYFLAYDLVASSPQHYEVLLFPTHRIVQEANDNTSAECCWPPSPFTMHVFSSRKWRWEERSFVREGTVIADMPRPADYSQQAQQLRHTVYLRETLYVLCQNDSIMRITMQNDMYQMIKSPAAENQVGVAYLGKSQQRVHYASLSDENTCPRFRVWLLNESSSSMEWVLKNDISLDAMVENFPPDNSTARHITPWILNYQKDASQAWTEDDPQWDFEDGIVLDETQDNAITTCYKGIIFLGFHHYKEIAFFLLSFSRVVSYHLNSSKVQELGMLNKAIVKSFPYTSCRWGSYLKTISSSNN >Et_7B_054640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3018913:3023779:-1 gene:Et_7B_054640 transcript:Et_7B_054640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKHAPAFTPEAASASASGGAYDRHNLPALQAKMKRDPEGYEEELIQLHRHFDSSVSLFQKQAALATTSSSGGGGGGEVAKELGDLALFLAHVAPFYPDALHELPNQIGGLLDTNARGLPQGLREHLVQAMILMVNRKIVDLEDTVDLFLELQVIGDRAVKKLAFSHIVHSIRRMNQKSKNESKNRKLQNSLFKFLQAEEESRAKRAFTILCDLHRRRVWFDERTTNAICEACFHPSSRIMIAAISFLLGYENAEHDDDSDASSSEDEASQNPQVLLSKEDVYKANHKGTAASKKKKKAKLQRVIRSMKRQQRKSAEATCPSYYSPLTYLKDPQGFAEKLFSRLQKCNERFEVRMMMLKVIARTIGLHHLVLLNFYPYLQRYVQPHQRDVTTLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGLNVVREICMRMPLMMNEDLLQDLVLYKKSHEKAVSIAARSLVTLFREICPSLLVKKDRGRPVDPKAQPKAFGEVTVASNVPGAELLNENISSEGEGEGSDAESDAFDSDDEGDLPSADANNLDAHEDTEEDDKDKGHNTSDQDSDEDDEGLEDDSDSDLDDDTDISDEDIDHDEEMNESINDSEDEGSDQDEDSDEEDKSKGSGKVSKRKLSDYIGQINAADASLRALKRFAGAKKAEVSSGETDKILSDEDFKRIKELKAERLALVKAGREDRDPYVAKAATKNKKTGGLSNKQKQHKKRMPLAATRAKAARSRQEKKQQRKRSGNQFRGRKAWK >Et_9A_061815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16852501:16857037:1 gene:Et_9A_061815 transcript:Et_9A_061815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPRLPPVLVLVLLTAVVGPCAGAGGAGRVDLWPMPGSVTRGAQTLHVSRDLKLTTAGSNYSDGRGILKDAFARMVAVVVMDHVINGSYQGAPVLAGVNVVVRSPDDKLNFGVDESYKLSVPATGNPLYAQIEAQTVYGAVHALENILHWHIVDEQSFPLEIPSYPKLWNGAYSYTERYTMDDAIDIVQYAEKRGVNVLAEIDVPGHALSWGVGYPSLWPSATCKEPLDVSNDFTFKLIDGILSDFTKVFKFKFVHLGGDEVNTSCWTTTPRIKSWLIQHGMNESDAYRYFVLRAQKIAISHGYDIINWEETFNNFGDKLDRKTVVHNWLGSGVAEKVVAAGLRCIVSNQDKWYLDHLDATWEGFYMNEPLTNIYNPEQQKLVLGGEVCAWGEHIDASDIQQTIWPRAAAAAERLWTPIMKVAKDTRSVTARLARFRCLLNQRGVAAAPLAGYGRSAPTEPDSCLRQ >Et_2B_020034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16254816:16259927:-1 gene:Et_2B_020034 transcript:Et_2B_020034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSKGSGGGGGEKPTADPNPNPNPPPPPAEAGDDGDAAAAAAAAAGRRPFTALTQEEADLALARVLQEQASHDEISRPWGLLAINFWFGSVQERAYMMLQMNGGGGVGSDYGSSEAGSYEYDEEGEDDYEEELEHHLRVHHHEHPVRDGDAQGEDADGAEGSDYEEEFEEDDEEEPEVDVDPAEYEDDEAYARALQDAEEREVAARLMALAGLSDWRAVDVEHEEEHVNDPQELVALGEVVGTESRGLSADTLASLPSVTYKTKDVHDGNTEQCVICRVEFEEGESLVALPCKHSYHPECINQWLQINKAKKRGANHWTPSKGTRNPLLLHLKALKI >Et_4B_038999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6846770:6849261:-1 gene:Et_4B_038999 transcript:Et_4B_038999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVCRLAFGYAYPAYECYKTVELNKPEIEQLIFWCQYWILVALLTVLERFGDFTISWLPFYSEAKLMFFIYLWYPKTKGTSYIYGTFFKPYISQHENDIDRNLLELRARATDMVVVYFQKAASMGQNTFFDVLKYVASQSSSQKSRQRSPQSTEELDPELEVEETPMEETIRVTRAKLRRRTAAEDPAGN >Et_7B_055842.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5666694:5666696:-1 gene:Et_7B_055842 transcript:Et_7B_055842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding L >Et_3B_027981.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:29513552:29513668:1 gene:Et_3B_027981 transcript:Et_3B_027981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYLEGTQQASHRGQAKGQLSGSHHGKGGNRHVHSCT >Et_2B_020665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22520637:22523359:-1 gene:Et_2B_020665 transcript:Et_2B_020665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQERDYIGLLPAAEAATELRLGLPGAEEEEAEGGEAAVRAPLTLELLPKGSAKRGFADAIVGGLAGQRPDVAARGKAVVEDEQEEEEKKKAQAPAAKAQVVGWPPIRNYRKNTMAMSLPAPKIKDDVEAKQAPAQGCLYVKVSMDGAPYLRKVDLKMYKNYRELSLALEKMFSCFTVGHGESNGKSGREGLADCRLMDLKNGTELVLTYEDKDGDWMLVGDVPWGMFTDSCRRLRIMKGSDAVGL >Et_2B_020567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21415651:21416841:1 gene:Et_2B_020567 transcript:Et_2B_020567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFTDPFSDAFDAALLFAKLLLPTPFPDHHDPILLFLTPIDHHLVAASSADDKDLVGAPVPASAADEDVGDGSPGGHSIIHAAPCDSIALVLLFAGVEEREAGEARGDGLCGEGERLGDGLRRERAVLEPRVLQPRRNDGHAPTTSGEELVVGALHLIRAGAEMLHFSR >Et_7B_055408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1060707:1063223:1 gene:Et_7B_055408 transcript:Et_7B_055408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFVRRASACRSMSSSRLSFHTLEPALAAAAETLFCSRSPPRAILRRARTLHALLVVSSLPSAPTPATFLVNQLLALYCRHSAVPDAVALLRSTPHPSVVSYNTVLTALSRAPRHAPHAFQLFRDLHASGLRPTAPSLCALLRAACALHHGRAGAAVHSQAVALGFLASDIVPTALLQMYSACGSPSGANQVFDEMTTRDVVAWNCVMHCDVRYGYLDRALRKFCGMVRIGLAPTESTLSSVLSGCARTGDSCHGRALHGWVVKSEELDADLPLQNALLDMYCCCGDLESALRVFGRIDKPDLVSWNTVIAGFSCVGDGWSAMDAFVKLKAMPYSEQLAPDEYTFAAVVSAAASLPALCSGRPLHAQVIKAGWESSVFVGNTLINMYFTNEEPGSAQILFDTLNAKDVIMWTEMVAGHAALGEGELALKYFTGMLEEGHKVDSFSLSSALNSTADLAGLKQGEMLHAQVVKSGYEGNVCVSGSLVDMYAKNGALGGAYSVFCTIQKPDLKCWNSMIGGYGNHGDSEMAFKLFSDMIRGGLKPDHVTYISLLSACSHCGLVDKGKFYWFCMMTDGIVPGFKHYTSMVSLLGRADLLEEAIDLINKSPSAKRYPELWRILLSSCVTFKDLSIGVHAAEQALEQDPDDISTHVLLSNLYASVGKWDNVAAIRKKIRGLMTEKEPELSWIEIRKMVHVW >Et_6A_046495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15995706:15998476:-1 gene:Et_6A_046495 transcript:Et_6A_046495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRRTLSMGGGATGRRAAAISESPKPGLSRSMTMGGERTVKRLRLSRALTVPEGTTVLEACRRMAARRVDAALLTDSNALLCGILTDKDIATRVIARELKIDETPVWKVMTRQPVFVLSDTVAVEALQKMVQGRSIGHRHLFLLVPSPICAAVCKTLNSSTLISGKFRHLPVVDNGEVVAMLDIAKCLYDAIARMERVSEKGKAAFASAADGDDKYSIVEALKEQMFRPCLSAIANADPTVVIVSPGDSVLAATKKMVDATSSSVVVAVGSKAQGILTSRDILMRVIAKNLHPDSTPVEMVMTPEPECATVDMPILDALRIMQERKFLHLPVMDRDGSIVSILDVIDITHAAISIRCPLLICAVGVGQVESTGDGVGNDDAAISMIQRFWDSAMALGPLDGETDTQSQISEASRSQIMSEAHHETASGSEASFSSSFSFKLQDRRGRMHRFGCDVQSLTPLVTCILQRLGTDINPDRLPQILYEDEDRDKVVLATDDDLAAAGLRLFLDYSGTTGRRKGVMVSGSGTAVGMASRDAWAAAYSGVAAGAALVTGIGVMAYLRRSS >Et_8B_058843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:97833:102631:1 gene:Et_8B_058843 transcript:Et_8B_058843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRCCLLLPASFSSPLAKPKFSFAPRASSSSSSGDGNAKRQLKGNKALREDWRQKSKPIPPGAVYPAKDHCSRCGLCDTYYVAHVKAACAFLGDGMSRVEDLEPLVHGRSRKESMDEMYFGVYDQLLYARKIQPVEGAQWTGIVTTIAVEMLKANMVDAVVLILTIGLLRGLTPDEVIAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFCLPANDLVDVIAPSCYSCFDYTNALADLVVGYMGVPKYSGVSMTQHPQYITVRNDRGREMLSLIDGLLESTPTGARQPFVMETVKADDAAKLGKGPSKSAPRFVGNILAFLLNLVGPKGLEFARYSLDYHTIRNYLHVKRAWGKQRAEQHIPEYAKKIVEAYDGDGRIQSMLQ >Et_1B_013847.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2009778:2012117:-1 gene:Et_1B_013847 transcript:Et_1B_013847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLAGVLCGLLLAAFAGAAAAAETEAQSSYIVHFLNEHAPRPPHPRLLYLAYASFLRENIPESISRPAPRVFYSYERAATGFAARLTASQAAHLASHGSVLAVIPDGLVRAQTTLTPSFLHLSASSALLRESSGATDVVIGVIDSGIYPIDRASFAADPSLPPPPSKFRGRCVSRPSFNASAYCNNKLVGAKFFYKGHEASIGKIDWTQESKSPLDMHGHGTHAASTAAGSAVADASFYNYAKGKAVGMAPGARIAAYKALWGKKAEGATSDILKAFDKAIADRVDVISLSIGGRNATEFHQDPIALGALRAVRKGIVVSASAGNAGPGEFTAVNIAPWFLTVAASTINRRFPASVVLGNGETLTGTSCYAGKPLDATMIPLVYGGDVGSNTCEDGKLNTSLVAGKIVLCDPGQKGGPAEGEAVKLAGGAGAIVQSTQDWGEQALTDAHVLPAAAVSFATAEKIKKYTSTDTFPVATIKFHGTVVGSNPSSPRMASFSSRGPSRHAPQILKPDVTAPGVDILAAWTGENSPSQLDSDTRRVQFNIISGTSMSCPHVSGIAALLRQARPDWSPAAIKSALMTTAYNVDNAGDIIKDMSTGEASTPFVRGAGHVDPNRAVDPGLVYDAGADDYIAFLCALGYTPEQIALFVTKEDPTVVCSTRTDDYASVLNYPAFSMALSSPDDKVTQRRVVRNVGRNVRASYSASVASPAGVRVKVKPRKLRFSATQQEREYQITFAAQGEEGMAGKYSFGSIVWSDGEHNVTSPIAIFWLESQVAAI >Et_2A_015583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15393479:15395713:1 gene:Et_2A_015583 transcript:Et_2A_015583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCESMEDPNKMLICDSCEGAFHLSCCKPRVKKIPQEQWYCQVCSRKKPKRQCGKLGPKHELPKAIERPRRGLGTFQDMLLDAEPYESEVTIGTDFQADVPEWSGPIPSNEDQFVEPSELDTSEMTMMGKSSFGNWIQCREVLDTGTVCGKWRRAPLFVVQSSNWDCSWSVIWDPIHDDCAVAQELETAEVLKQLKYINQ >Et_2B_019975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15781617:15785064:-1 gene:Et_2B_019975 transcript:Et_2B_019975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAGGAAPAQSAAAAAAVVAPAIAGQEPDRAPVRRDPPGPAEGADPANAAARKTAWGVLAPSPAAAAAAAANPAGGIIGGDASWPALVESKRGRSASSDSLNNGVSNGGGGGRGGYRGRRDHDRGGNFSPRNYPRVMPYHQHQQQPGVYQQQPGTFPRPPPPPAAHLMPQHFMPYVPAFPYHGDVQSYPIYLPPVEQFQNMHLVRPPMQPSWVPQEQLNLHENIRTQIEFYFSTNNLCHDTYLRRQMNDQGWVPIDLILGFNRMRAFTGLVDTNYILDVIRGSEILEVQGNNIRRRNDWVDWLLH >Et_6A_047038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24532617:24540815:1 gene:Et_6A_047038 transcript:Et_6A_047038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFFLPEPENPCYETDGRSYDDEEAMHDAGKEEAFGRGRSTSCTTIQVATTQRICAKFLLSNAPVVDEPGPVPTGGDRLSSLCDGVLGRILSFLPSDEAARAALLSRRWRHVFAAVDVISMKETAERPIPEWEDGDWSPSGYDRPDVDPFYVPSQPFVNRVNAAMLGRIRAPRAPIAPLRSLRVAFKEFEGTDARSATAVDGWLSYATIQAGDELHNAAIKRLVSGCPRLADLTLQACNNVTKLSVPRTTRLRRLALRCCHYLEVVAADLSELRAFEYRGGVPPPKFRRSNHSPSRITSCVLDFCGEEVSDSGNLVRLRNLFHLFPSATHLQLKSARLGAGVGHGVFSSAPAFPVLTSLRELELTGIVLDEDTTMIATVTRILERTPSLEILSLFFMPALVEFKNKTYNKEDIVNEHWLKYDRYATLVFPVGKKIRCLRRKTKEINLVHYQGALAQRTLA >Et_1B_011211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18891220:18896169:-1 gene:Et_1B_011211 transcript:Et_1B_011211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGWQLPYHPLQVVAIAVFLALGFAFYVFFVPFVGGDEVQYLVMGLYTPLITSVVMLYVWCAATDPGDPGIFKTRMPPKSESGKQVPANSDHEAYPEGKSLSDGCSAVNNSEKLSNIFEGKHSPSHSAFARVLCLICTPVCCLCKRYFHSDDQCSEQHSSEEGMFFCTLCEAEVLQNSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYKGFFILMASAVLLLIMQWLVGVLVLILCFMKRGEFSGQIVSKLGSSFSTAAFIVVVLTCTLLAMIATIPLSQLLCFHILLINKGISTYDYIIALREQEERPEVAEHQSPQMSIISSATGFSTTSSIGPLHRGSWCTPPRLFLEDQNSTRKTKEAEGNKRKPPGQVKISPWTLARLNAEEVSKVAAEAKKKSKVLQPITRREVPKPEKTTPAKRGLFLHEQSPDTHARTSASGTDSNFSDMVAMETPGSIAPLQQEARSAFQPSAASSIRNLTLSPESSLDSPDLHPFRVSVSGADELRSFMSLVTSESATQKSIALSRSTSGGYEASGGEESDRIPSRIVHRSSNWANVILNSGRRGMASDLSTPTTGRFIH >Et_2A_016167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21698316:21700311:1 gene:Et_2A_016167 transcript:Et_2A_016167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLALLWGIIGPGVAGAVFGAGWWFWVDAVVCSAVQVSFLHYLPGIFASLAALMFNAVSKDEIGYDYYSPYGDDSEWRAKLWLFVAYVVSFVCLAGSVGLLVQDALTDKGPSVWTGVAGVLQCVFVLISGLIYWTCHSEEY >Et_2A_017967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8450115:8455404:1 gene:Et_2A_017967 transcript:Et_2A_017967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVRVVEARGLPGTDAGDGLRDPYARAQLGKQRAKTKVARRTVSPAWDEEFAFRVGDLRDQLLVAVLHEDRYFSDDFLGQVKVPLSAVLDADNLTLGTQWYQLQPKSKKSKIKDCGEIRLNISLAQNYSEEATTLSHWASDDLTSNSDKSTELKKGSSLPNIPVEMSAALTESDEIEIVKEDKSNGGPTFVNKLYQFFSAKPKDAETLDPPPTKQDNSSSNLEETPSTSSELSEKQDCEASTTMTFDELLKAFGSRHEGKEMPENLSGGVLLDQVYAVAPNDLNTILFSPSSDFLQSLAEVQGTTGLEIREWKLENDGEILKRVVSYTKAPTKLVKAVKATEDLTYMKVDGEMFAVLADVSTPDVPFGNTFRVEVLTCIMPGPELPDDEKSSRLVVSWRLNFVQSTMMKSMIENGAKQGLKDNYIQFSELLARYAKPVDAKDTAASNEVLSSVQPEKESDWKLAFRIFGNFTVISSIVAFVYVSAHIILASPSIIQGLEFPGLDLPDSAGEVVVCGVLVLQGQRVLNMIARFIQAKRQRGDHGVKAQGEGWLLTVALIEGTNLAATKTSGYSDPYVVFTCNGKTKTSSIKFHTLEPQWNEIFEFDAMEDPPSVMEINVYDFDGPFDEVASLGHAEVNFLKSNISELADIWIPLKGKLAQACQSKLHLRIFLNNSRGTEIVKNYIDKMEKEVGKKIAVRSPHTNLAFQKIFSLPHEEFLINDFTCHLKRKMLTQGRLFLSPRIIGFYTNLFGHKTKFFFLWEDIEDIIVVPATLSSMGSPSLFIILRKNRGMDAKHGAKQLDNEGRLKFHFQSFVSFNVAHRTIMALWKARSLTPEQKVQLVEDESETKDFQNEESGSFLGIEDVKMSEVFSSTKHIDVSMLMGIFEGGPLERQVMEKVGCMEYSVTAWEPVRADIYQRQVHYKFDKKSTRHGGEAMSTQQKSPLPDKNGWLVEEVMTLEGIPVGEFFNLHIRYHLENIASKQKTCSIQVSLGISWLKSCKNRKKITQDIESSASSRLKKIFSQLEMESITPLPAK >Et_9B_063702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20372098:20373438:-1 gene:Et_9B_063702 transcript:Et_9B_063702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSISSAVSDTENGARLRRRRDDDEEGGGGDAAHDLAAADDGRRRVRSRRFHGFVVATSACGCSCGGGGGGVRPRLKVVRRRGGRLRPRIRRGRHSYLLGAKRRRRRWRGEAAASPTRTTRGSETEREVRGRRRG >Et_4B_038368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28510386:28512849:1 gene:Et_4B_038368 transcript:Et_4B_038368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPRSRQSSARQSFEVSGTGAHKPYDHGHPPLSPDAYSRQASSYSARSSQVSRSGSIRAAAQRVAGVFGSCFVPRIQFKTQEDSDESSVADYHVSTDSAGSGQEENRVLTIGDICRATLNFSEKNIIKQSTSSTMYKGKLRDGSLIAIKCVRKQLNGQYLTDELMRELETLQKLEHLNLVRFLGFFEQESDCLITVEYVGNGSLREHLDESRGNGLELAQRLNIAIDVAHAITYLHEYKEQPIIHGAIRSSGVLLTDALTAKVAGFGLAGMAASGSGSGSEATPAKGAAGYVDPEYLRTYQLTDKSDVYSFGVLLVELVTGRPPVELSRGGEPRLTTKWALQKRRGGEAVVAMDPRMRRSPASVATVERMMALAEQCVAPARKDRPLMRRCTELLWAIRRDYHRREQPRADAIAEERDDEWVIR >Et_8B_059098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13965100:13968333:-1 gene:Et_8B_059098 transcript:Et_8B_059098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGMMGDSFGCSATGERLVSAARDGDIQEARALLELNPRLARYSTFGIRNSPLHYSAAKGHHEIVSLLIESGVNINLRNCRGQTALMQACLYGHWKVVQILVLFKANIHRRDCFSGATAIHFAALKGHTRCIRLLVADYVPSLPEFWSIMREKILRRIVNGKSDGGVTPLHLAALHGHAESVQLLLDLGASVSEVTINDGSTIDLIGSGSTPLHYAACGGSAVCCQLLIAAGANMGAVNSNGLTPLMVARSWHKSSAEGILSKQPEGRIRILPSPYLCLPLMSIVKIARECGWRKTSASSTCQDPCVICLEVECTVAAEGCGHEFCTKCALYLCSTTSSSTSIRGVPGSISCPLCRHTIVSFMKLTSTTPIKELPWTSKSLALCAASANTSSNCASSLHRRSDMRRLRSSSVQLGCSSFRSIGSGKLSSIKLNCMGADEAIPCLVSCLRPDVPRSSSYRERIRRYSEF >Et_2A_018118.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:11610782:11611447:-1 gene:Et_2A_018118 transcript:Et_2A_018118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIIIELAKTAAAELAADAMTQGAGAPANQGYRGVVIRPGGKWGAHIRDPASPGSKLWLGTYEKPEEAACPYAAAAAGCGEKLPRAGGGGGEETRRHARARHPGEEQARQEDGQDSPAQDERRESGHGGFRRGAASGAARPGGQRVEIPDLGASSWGRCAHIRRHAVSGMMLSPAQEGVVLDMDYQPGSMPSLPDPEPIVVPTTEVVADAAVSMASPLTA >Et_2A_015813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17998744:18000779:-1 gene:Et_2A_015813 transcript:Et_2A_015813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADLPPGFRFHPTDEELVNYYLKRKVHGLSIELDIIPEVDLYKCEPWDLAEKSFLPSRDSEWYFFGPRDRKYPNGCRTNRATREGYWKSTGKDRRVNYQNRSIGMKKTLVYYKGRAPQGLRTNWVMHEYRIEESECDNTMGIQDSYALCRVFKKTVAFSEFEKQGECSTSKAKGNQEKLTNFGDAGQSSGSNEQGKDNSWMQFIADDLWCNKTK >Et_7A_050922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12414709:12417475:-1 gene:Et_7A_050922 transcript:Et_7A_050922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEHARGAVAGKPKVVFVLGATATGKSKLAITLAKRFDGEVINADKIQVHAGAPIITNKVTEEERAGVRHHLLGVLPPDAEFTAEDFRREASAAVARVLAAGRLPVVAGGSNRYIEELVEGDGAAFRARHDALFLWADAAPELLDWYVAARVDDMVAHGLVAEARAVFDAAGRGDDDYSRGLRRAIGLPEMHAYLLAERAGGAGESELASLLARAVREIKDNTCRLARAQVGKIRRLSRLDGWDVRRVDVTPVLARMADGAACHHQTWMTRVWEPCEETVRHFLETPAAVARRVHRHADRGHETGRAVEAYPPAPAIPGGAS >Et_1B_013269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6986914:6987602:1 gene:Et_1B_013269 transcript:Et_1B_013269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKLYTFEEVRKHNDRKDCWLIIAGKVYDVTPFMDEHPGGDEVLLASTGKDATADYEDIGHSDSAKEMMLQYCIGEVDVATIPAKITYTAPMEASPKKAEASSGTWATLLQLATPLLLLVLAFALQSYLKAKTE >Et_7A_051655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22023686:22024184:1 gene:Et_7A_051655 transcript:Et_7A_051655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEKERSPGRFDRNNTEITETGPERLHNSPVLPSFCMGTINYVKHIATSEKERSPGRFDRNNTEITETGPEQLHNSPVCLSAAKLTFFRVFVREPSSYIKHIATSEK >Et_1B_010259.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:33195049:33195081:1 gene:Et_1B_010259 transcript:Et_1B_010259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLWPRASL >Et_3B_027799.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:19983765:19983968:1 gene:Et_3B_027799 transcript:Et_3B_027799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEYADAAKYRGQNGWTKEGWNNMVTRLNAKYDGANFTVQQLKDREQRLKKDHSSVKSVVSKGGFG >Et_8A_056234.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17034162:17034428:-1 gene:Et_8A_056234 transcript:Et_8A_056234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTKLSGIQRQVLALYRGFLRTARLKSPEERHRIETVVSAEFRENARNVDRRNFVYIEYLLRRGKRQLEQLKNPDITGLSTLEVNK >Et_1B_010286.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:34878382:34878783:1 gene:Et_1B_010286 transcript:Et_1B_010286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPRAGAGSGTEEEAYEDLLPVMAGRLGTAGLLSELRAGFRLLADPARGAITAESLRRGAAAALGVEGMTEEEAAAMVREGDSDGDGALSEAEFCVLMVRLSPGIMEDAEAWLEEAIADELAAPSTPAQAA >Et_1A_006904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28958488:28960361:1 gene:Et_1A_006904 transcript:Et_1A_006904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGDWSSLAGDLLEQVADRLSSTERDLIHVRQVCAHWRHSVTSRPVAPYRPWIVAGRACPHSRGPRGEYALCLPLGGRQRIHVSGPPGLPYCCGTPRGWLALTDHEWCPTRLVLWEPGSGAEVALPPLRGVDDVQVFLSDDPRAPGSWVAVATQGGKHPNVVCWRPGFAAWSVLLTDIVVHSVAFHGGKMYCMLPRKIMRVYDLDYIPTGAAAAASPPVLKLLSGRGPDTGPCLGPPVEIGERVTDLGSYSLFLAHGDAEPFALSADEFPMIKRNCVYYFESLARQGAVVYHLGTNGQEQLPPPELPDLAYKWAVSWFCPLTPVLLK >Et_5A_042703.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:26315161:26315373:-1 gene:Et_5A_042703 transcript:Et_5A_042703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPELESIKIRGCWSLKCLPTVQKAVQCDCEKEWWDSLQWEDASQKKLYKPIHPRYYKKATLLKGSVLR >Et_9B_065021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20585802:20589142:1 gene:Et_9B_065021 transcript:Et_9B_065021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDSAYYDVLGVSVDASPAEIKKAYYLKAKLVHPDKNPGNPDAAQKFQELGEAYQVLSDPAKKEAYDKHGKEGIPQDNMVDPAAVFGMLFGSDYFEDYVGQLALASIASVEIEENSNSQEARAKVQEKIKDLQKEREQKLIQSLKDRLLPYVDGRKDEFVSWASAEARRLSQAAFGEAMLHTIGYIYVRQAAREIGKSRLYMGVPFIAEWVRDKGHHIKSQVNAASGAISLIQLQEGMKKMEGSENKEEQLMKSFEEKKDAMLNSLWKINVVDIESTLSHVCQAVLKDNTVSKDVLKLRAKALKKLGTIFQGAKSLYRRENSLRVETNTNQEATPSH >Et_5A_041242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2037847:2040628:1 gene:Et_5A_041242 transcript:Et_5A_041242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAQLRSSAAAAATRLQPALAGRRRLGTAAAEVAEAGAVPAGIARWEPMGAREYYDYRRAIYGDITHKAILVDAAGTLLAPTEPMAQVYRTIGQKYGVEYSEDEILDRYRRAYSRPWGGSRLRYVNDGRPFWQYIVSSSTGCSDLQYFEELYHYYTTSKAWHLCDPDAGRVFHALRRAGVKTAVVSNFDTRLRPLLQALKCDHWFDAVAVSAEVAAEKPNPTIFLKACELLGVKPEEAVHIGDDRRNDVWGARDAGCDAWLWGSDVYSFKEVAERIGVDV >Et_5B_044993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8062064:8068185:1 gene:Et_5B_044993 transcript:Et_5B_044993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYDANEYCECEFCGSSANIGDGGKKCVLLKGLSEAKNLMLISDPTMFIFKRDLRWCPTFSKLKTLLLNDYWCVPDDLRALACILEHSPVLEKLTLQLFSEGPQHKVELKGNFAGISDHLRTVEVKCEVVDDRVIKVLKFLSAFNITAAGEVSWPPSGASGLLFPPLVSRSSPTLVSSSHLFLPSSADARGVFDGMPPRKRVREDAEAPSEVIGGDHHRIGTLPDAILDRVLSFLPAEDAVRTSVLARRWRHLWKSASGLRIGCRDEDEPVSVSDLRRVVDYLLLLRGGSPLQECEFRIGDFRLSEDEARVSLWLRHAVVCKVRFLKLYVHRTEYYDPWLPLDDVPLVSQHLKRLVLHSVRCDASFLNFSSCPALEHLEFEYCDISRGRKISSDSSISITNSVFGEDYRVLIDVPNLVLLLLDGTWNITPILGSMPSLEEAFVRIMELCDDQCMKLCDANRDCDCELCESSDNIADDGKSCVLLKGLSEAKNLALISHSIMFIFKRDLRWCPTFSKLKSLLLNDYWCVPDDLRSLACILEHSPVLEKLTLQLFSEGPQHKVEMKGNFGLMERAAGISDHLETVEVKCEVVDERIIKVLKFLCTFNL >Et_5A_040404.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:19850803:19851126:-1 gene:Et_5A_040404 transcript:Et_5A_040404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCYASSFLNKEIGDSFESVASLWLSQKKCGFHNVVTASVLRAIWLTRNDHVFQRHTWKDILVVVKRTWQLAKSWKPMLKGEMIEQMDLWTSTLEGWSKAPLQIQNV >Et_8A_056899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18759193:18761842:1 gene:Et_8A_056899 transcript:Et_8A_056899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRPLLAAVRPLTTAAVAAAVRRGDLAGAEEAFSSTRHKTTATYNCLLAGYAKAPGRIADARQLFDQIPHPDAVSYNTLLSCHFANGDVDGARRLFSAIPVRDVASWNTMVSGLSKNGALEEAKAVFQAMPWFRNAPEKEDTVLWTAMVSGYMDVGNVQKAVEFFKAMPVRNLVSWNAVIAGYVKNSCAGDALRVFKSMVEDDIVQPNASTLSSVLLGCSNLSALGFGRQIHQWCMKLPLGRSVTVGTSLMSMYCKCGSLDDACKLFDEMHTRDIVAWNAMISGYAQHGDGGKAIKLFEKLKDEGVAPNWITFVAVLTACIHTGFCDYGIQCFRTMQEIYKIDPRVDHYSCMVDLLCRAGLLERAVNMIRSMPFEPHPSAYGTLLAACRIYKNLEFAEFAAGKLIEQDPQSAGAYVQLANIYAEAYRWADVSRVRRWMKDNAVVKTPGYSWIEIKGSRHEFRSNDRLHPQLHLIHEKLDKLEELMRAMGYVPDLDFALHDVEESLKVQMLMRHSEKLAIAFGLISSPPGMTLRIFKNLRVCGDCHSAAKLISKIENREIILRDTTRFHHFRDGHCSCGDYCKLWIRSAKFEGALEQHGLECTKSGCDVLLEIIAASDSYGVCLFLEII >Et_5A_040400.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:19751468:19751536:1 gene:Et_5A_040400 transcript:Et_5A_040400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHPCHLGRLETMEGAEQENL >Et_2A_018688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5136073:5138028:1 gene:Et_2A_018688 transcript:Et_2A_018688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGAGGIGITKRPPQQCLDAVEKAAQRAEAKDTVDQDAKPWIARKKTTTLAICLVALPILVTTVSLRDALWTAEPFWPLATRSTRQGSNADELLGGLLVPGFDERTCHSRYGSAFYRKNATRLPSAHLVNRLRRQEALQQRCGPGTEAYRAAASRLRSGHRTDGANDTCKYLVLVPYRGLGNRMLAVASAFLYAMLTDRVLLLDGATSLSDLFCEPFQGTSWLLPRQFPIKNLQNLTGEVRESYRNLVRNDSATSVLSGLRYVFVDLDHTCTYHDKLFYCDDDREFLHRAPWLVMRTDGYFVPALFLNPAYQEELDRMFPRKDAVFYLLAHYLFHPTNKVWGLITRFYNSYLRNADEKLGIQVRVFDGDSPFKHILDQILACTSQERLLPEVVTQEPTMPPPSTAGARSKAVLMTGLSSWYYENIRWKYLQSATATGEVVSVYQPSHEEHEFSGKTTHDMKALAEMYLLGTTDAIVTSGWSTFGYVGHGLGGLTPWIMFKPENLTTPDPPCRRARSMEPCMHGPPFYDCRAKHGADTGKLVPHVRHCEDMSWGLKLVHPE >Et_2B_019549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1053086:1053957:-1 gene:Et_2B_019549 transcript:Et_2B_019549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIRGLSSSPPISSAERQHKDRVLSPRAHGPSDHIAHPESTFQTVTRNRLGPRVSSRPRTPLPRPRLYISTQLPTAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Et_4A_033092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18372811:18377102:-1 gene:Et_4A_033092 transcript:Et_4A_033092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSKKSKSKRVTLKQKHKVLRKVKEHHRKKRKEAKKEARAGGRKKVEKDPGIPNEWPFKEQELKALEARRAQALQELEAKKEARKERARKRKLGLLEDEDIANLASAASAQGTQFAEKDAAKDNAPLAVAKSHDHSERSFYKELVKVIEASDVILEVLDARDPLGTRCIDMEKMVRKADPSKRIVLLLNKIDLVPKEAVEKWLTYLREEMPTVAFKCNTQEQRTKLGWKSSKLDNKTSNIPQSSDCLGAENLIKLLKNYSRSHELKLAITVGIVGLPNVGKSSLINSLKRSRVVNVGSTPGVTRSMQEVHLDKKVKLLDCPGVVMLKSSDSGVSVALRNCKRVEKMDDLISPVKEILSLCPHEKLLSLYKVPSFSSVDDFLQKVATLRGKLKKGGIVDVEAAARIVLHDWNEGKIPYYTLPPKRDAVEESDAVIITEAGKEFNIDEIYKAESSYIGGLKSIEDFHHIEIPPNAPPGIDEEMLEDGKKPSEPVDESREESMSDVNDREGSKATSASTQNDKLYNAEGILDPRKRKAEKKRRKQNKFSVLNDMDEDYDFKVDYQMKDAPSDDEDGSNDISTGDKDGSKTGDAPKDKNPMTGVDDA >Et_3A_025544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30653106:30655612:1 gene:Et_3A_025544 transcript:Et_3A_025544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDGAEADLFAANLKGALLAIASSAFIGVSFIVKKKGLRRAGATGSRAGVGGYGYLLEPLWWVGMVTMLVGEIANFIAYMFAPAVLVTPLGALSIIVSAVLAHFMLNEKLHRVGVLGCGLCILGSTMIILHAPQERTPSSVEQIWNLATQPSFLCYAAIAVAVSLFLMLYCSPRYGQTNIIVYVGICSVIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWIFAVISATCIVIQLVYLNKALDTFNTAVVSPIYYAMFTTLTILASAIMFKDWSGQRASNIASEICGFLTVLAGTIVLHSTREPDQTATADLYTPLPPKIYWHIQGNGDIGKQKEDDSLTCEYITVVRQDYFV >Et_8A_058137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2156949:2162562:-1 gene:Et_8A_058137 transcript:Et_8A_058137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDCRSCGERALVADPDTNVVVCTSCGTINDAGASEFVNQATFTEDGRLDRGASSFIRNQSDSAYRENKLAAASETITSIATRLGLSPTRAAEALQMAKSATDGNLATPGSAFLPALAAACSMLVARTHRLPLSLAEAAAAAFCPAHSLGDLVSRVAAQLDLPSVPSFDYAAALDRAVRNSPSLQRAGDENTEAILAQARFLLRCAAKWSLTTGRYPLPLIAAVVAFSAEVNGVVSVSVEDIAQDISAGQTTSLRRYKELIDALVHVARQLLPWGADVNAKNLLLNAPMLLRLMEMRSQSDPSERFIESFAPNMASIVQAYSSVDDEESKYLQVVSYGAEDSDFENSVQKVKGSDDQKISGKCLSDAYQNVLQRLSQLQKLGQVGKGANKRMKWKGTLELEPWVDSMDDDWGKDITLEELEDIDIGFDAPPPSFAANTELEKKRRARIEAAKRRIDAIRKAPAAIANHPQPVARNEDTCPPQKLPRKKRGRKKMDDRDQVILRDNTAEKPDGPDGSKKRQRRVSLNGLDWEDCIIELLLLHGANETEIEEGQYRRLLELHAFRKSILLRSGTAVARGNPSRQTMMATMIHHGQGSKRRHPIYSSWLAKLESLITREHKHTMTVMEPAIGKRQWHRPAVWNFKSQLDEEMPQTRDLFQLDHGLDERVKEGLLAIGELLHDRSRCRVFVQHLVGGEEPQAIDEVGVVEVVEGDGADGVHVHGDGRADVARARLLELVGVVRVVGWVSRRAVAVAVEPAGEAGAVREADGVRAGERHQVDQGQVVLLEHGGELLDVHVLAGQLALDVAGPGDEAVKTAELDAPVDDVSGGECHDVGAGDHARALLLDGGLDSVNGVEAVAGEGLVVRRVLLGVVVGGRY >Et_1B_010736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13455883:13464752:-1 gene:Et_1B_010736 transcript:Et_1B_010736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRAQVNKPHKTRFASKASRHAHKIDKVRGGKSETSHRAAVKGARAARVQRSKAIRDQKRAALLKEKRSSTGSSSAPRVIVLVGLSSSANVGSLADDLLTFAAGGDGKPTSSTVLQAPYGDLTSCMELAKVADLLAFVLSANSLYSNDSSSPIDEFGSQCLSVFRAMGLPSTAVFIRDLPPDSKSRQELKKAATSFLSAELPEDCKYYMADTKDDLHKFMWLFKEQHLSSPHWRIQRPYVMSEQAWIKPDDNTGLCTLVVSGYLRAHNLSVNQLVHVSGAGDFQLGQIDVLKDPCPVSERKSSDVMETEDNGDQVVNTFIPDSSNQEPLLVENVPDPTAGEQTWPTEEEMKEAAINNKQRKLVKRKLPPGTSEYQAAWIIDTDDEDGDSDNDSQAGSEMVIDEQDHSDQGSDGSDVDAVSHFTAKFDEETVGGTEMADDENLTRQQIEAEIKKIKESNADDEEFPDEVETPLDVPAKRRFAKYRGLKSFRTSSWDPKESLPPEYARIFAFDNFTRTQKHVLAKVSELDRGTEGCALVGSYVRLHVKNIPTDVASKLCHPSRRIPVAVSGLLQHESKMSVLHFSIKKHDSYEAPIKSKEPLIFNVGFRQFTARPLFSSDNINCNKHKMERFLHHGRFSVASVYAPICFPPLPLIVLKNRDGEQPAIAAVGSLKCVDPDQIILKKIVLTGYPQRVSKLKAMVRYMFHNPDDVRWFKPVELWTKHGRRGRIKETVGTHGAMKCIFNSSIQQHDTVCMSLYKRAYPKWPEQLQIKWKLKID >Et_5B_043796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16215425:16220553:1 gene:Et_5B_043796 transcript:Et_5B_043796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGGRAKVTPNLAIDGEGTRTLNLTVLQRLDPAIEDILITAAHVTLYDFDTDVNQWSRKDVEGSLFVVKRNAQPRFQFIVMNRRNTENLVEDLLGDFEYQLQVPYIMYRNAAQEVIGIWFYNTQECEEVANLFSRILNAFSKVPTKPNIHSMKSEFEELEPAPALVEGPLELPSLNIMPTTTQIQEDPLSAFFNAAANSGSTSSVAAIGQQNQPYGATPLSTHAPATSITVSQSPALHHLLPSQASSVSGIPTEVHGGGAGPILRSTSLVNPSHFSPLVSSQTTMVRSNSAVPTAPFQHSRTSQQPQSAPLLQPFPSPAASPSPPYGTPLLQPFPPPNPSPSLASAPIYSPVLSRDGVRDALQKLVENDVFIDLVYREIANRQ >Et_5B_044186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21176984:21183941:-1 gene:Et_5B_044186 transcript:Et_5B_044186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTHWLLILVAFLFLVNVQAQSKPLLINCGSGSITDAGGRRWMGDSHPDASANFTLTLPGAIAPAPEADGEEAAYGDLYKTARVFNASSSYKFSVAAGSYFLRLHFSQLFSLLSAKESVFDVTANGLKLLTKFNVPGEIEWRNSKINSSSDVIVKEYLLNVTSGKLEVEFAPDAGSFAFINAMEVVPVPGNSIFDSVNKVGGVGVKGPFSLGDSGVETMYRVCVGGGKIERKEDPRLWRKWDSDEHYIFSLNAAHTVMNSSNISYVKSDDSTSAPLRLYETARVTTETFVVDKKFNVSWSFNVDPGFEYLVRLHFCELEYEKAEQRKFKIYINGKTAAENYDVLARAGGKNKAFHEDFLDADSSQADTLWVQLGSESSSSGTAAGDALLNGMEIFKVSRNGILGHPTMKIGGISGGTAKPKRSPKWVLIGAASGLVLFISIAGAVYICFYLRRKKNTSAEETKDNPPGFRPLAQHGATAMVKNSRSSPTLRTAGTFGSCRMGRQFSIAEIRAATMNFDESLVIGVGGFGKVYKGEMENGTLVAIKRGHAQSQQGVKEFETEIEMLSRLRHRHLVSLIGYCDEQNEMILVYEHMANGTLRSHLYGSELPALTWKQRLEICIGAARGLHYLHTGLDRGIIHRDVKTTNILLDDNFVAKMADFGISKDGPPLDHTHVSTAVKGSFGYLDPEYFRRQQLTQSSDVYSFGVVLFEVLCARPVINPTLPRDQINLAEWALKWKTQNLLETIIDPRLNGNYTQESIKQFSEIAEKCLADEGRSRPSMGEVLWHLESALQLHQGHLQSLCADDLSRPELELFVASTNIGCIKEVEESAHAKPQGSDGEAVDVKIESCLKKRWHCLNSDLGWSSGCIYTILQDTTRTYIVQAYDLFNGTRLTIPTLGTESFCE >Et_7A_050969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13050304:13051003:1 gene:Et_7A_050969 transcript:Et_7A_050969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QVGCGSWELTSIASKTRLSRNKKTLFVLMLVNGPGGVVAEASLAQCCTAHCKHFEGHGDVLAMIGEQFDPSDEICAAVVSVRGKQERIAQI >Et_4B_036177.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7120573:7121053:1 gene:Et_4B_036177 transcript:Et_4B_036177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPSAPPVGHLVVAITSPEMIGCGVWPQFCVLEAYVGGQLVGSRTLPVERDTRKLEQKDKENWRLALRIPVGPNWPSLHSLDLLVERIDYVPWDGPHTSRGEAVIARARVPLVDALLFGDEDEDEEGWDRR >Et_1B_013943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24996427:25010567:-1 gene:Et_1B_013943 transcript:Et_1B_013943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVGESPSPREQRARVRPGSAPNPNGSGAFVERAAVNRVGFTVRRLVPHAAVEEWIMVVSRAELLLDATTMNRWARTRQRDGQADAFLATTSICYLRREGGRGGDDRGGERDASGAAGGRARARPTLMCFAESFWRLLSSLFKMPVSRPLVHPLEVLAEVPEEEQAAEEPQAQGVLMKDLPGVPGTPVALGLRVTQLLFAGIALAVMISTSDFTTVPAFWSLIDLRKNVSQSIRRKLNY >Et_5A_042713.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:2868989:2871001:-1 gene:Et_5A_042713 transcript:Et_5A_042713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSGTIVFASVGVTSFGFDVFSVAVPAAPEDGGEVTSASKLDERRHTDGVSVNFNGQFADDAGEAVAFVSERTGAASLFLSRPGAAERPEPLPAAEGSLFHDRPTVRGGRAYFVSAHEPQDRPFRSWAAVYAADVEGGGSGKVTRVTPRGVVDMSPAVSASGELVAVASYGDRPWAFDFRVLETEVAVFRSSDPARSRVVVAGRGGWPAWHGDRALLFHRVADDGWWSVFRVDLSPETLEPAGPARRVTPPGLHCFTPAAPALGGRWIAVATRRKGRAQRHVELFDLETERFSPLTELINPEIHHYNPFFSPSGGRLGYHRFRGTGCAAQGDSSVPHLQPVRSPVGSLRMLRVNGTFPSFSPDAAHLAVNGDFFQTPGAMVLRSDGSRRWTLTRQPNLFYTAWSPTEPGVLFTSVGPIFETTKATVRIARLEFDPTRLTGDRDDKEEEVGATLKLLTRPEAGNDAFPSVSPCGRWLVFRSGRTGHKNLYIVDTARGEDAGIRRLTDGEWIDTMPNWSPDGELIAFSSNRHDPANPAVFSIYLVRPDGSGLRRVHVAGPEGSPEADKERINHVCFSPDSRWLLFTANFGGVVAEPVAGPNQFQPYGDLYVCRLDGSGLRRLTCNAYENGTPAWGPDLGLESLSLGPVAGDREALGKFDEPLWLTSEPLSL >Et_5A_040736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11812741:11816119:1 gene:Et_5A_040736 transcript:Et_5A_040736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSVDLPVVDLTSPDIRAAAAAVRQACVEHGFFYVSNHGVDGALLEAVFAESRKFFEQPMEGKMALQRGSNHRGYTAPYDEKLDAASKFEGDLKESFYIGTDLNQWPSEDAEFFQKNGALDRPSAVVRLLHYPGEVNESDSGNYGASAHSDYGVITLLTTDGTPGLQICREKDRHPQLWEDVPHIDGTLIVNIGDLLERWTNCLYRSTLHRVVAVGKERYSVAFFLDPNPDFVVRCFESCCSEANPPRFPPIRSGDYLKERLSATYK >Et_10B_003017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15323674:15330088:-1 gene:Et_10B_003017 transcript:Et_10B_003017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALQASLLLRPLPSPLPPRRRLPVPSASVHFPRAPAHRRAPPCLRALAPDAPQPAPEPPAGSAAELEPEAEPVTTAAAETSPAAADGEKGELEDLVEKGRAWVLAVAAAVVAAARRFVDWIVSGDWMSWWPFWRPDRRLRRLIEEADANPKDAAKQSALLHELNKFSPEEAIKRFEQRSHAVDSRGVAEYLRALILTNGIADYLPDEQSGRSASLPALLQELKQRVSGNEDKPFMNPGISEKQPLHVVMVDPKATGRSTRFAQEIFSTILFTIAVGVMWVMGAAALQKYIGSLGGIGAPGVGSSSSYSPKELNKDIMPEKNVKTFKDVKGCDDAKKELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIVFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIIVMAATNLPDILDPALTRPGRFDRHIVVPSPDVRGRQDILELYLQDKPVANDVDINAIARSTPGFNGADLANLVNIAAIKAAVEGADKLNAAQLEFAKDRIIMGTERKSMFISDESRKLTAYHESGHAIVALNTQGAHPIHKATILPRGSALGMVTQLPSQDETSISKKQLLARLDVCMGGRVAEELIFGEDNVTTGARNDLQTATELAQYMVSNCGMSDAIGPVHVKERPSVEMQSRIDAEVVKLLREAYERVKRLLKKHEQQLHALANALLEHETLTADEIGKVVHPCQEEPQFSFQDEEFAFS >Et_5A_042311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8669124:8682039:1 gene:Et_5A_042311 transcript:Et_5A_042311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARRGGQLRELEALCRQGYCGVSALLVSEREDASSIIGAMAPLQSLCCCLTLLLATSLVCSAAPPSGIRLELTHVDSKGNFTKSQLLRRAAHRSRLRAARLQSAALHGSTGSAMASLAVFLLVAYAGLASCAAGVRVGLTRIHSDPHVTASQFVRDALRRDIHRDTARQQLLAASDGAATATVSARTRKDLPNGGEYLMTLSIGMPPLSYPAIADTGSDLIWTQCAPCGGQCFKQQAPLYNPASSTTFGVLPCNSSLNMCAAALAGAAPPPGCACMYNQTYGTGWTAGVQSTETFTFFGSSSPADQARVPGVTFGCSNASSDDWNGSAGLVGLGRGALSLVSQLGAGRFSYCLTPFQDTNSTSTLLLGPSAALLNSTTGLPVERADELLTLPQPDRHFARRDGAVVHPGQRLRPQRRRHGGAHHRLRHDDHVAGQCGVRAAVLSQVTLPAADGSDATGLDLCFVLPSPTSAPPAMPSMTLHFEGADMVLPADSYMISGSGVWCLAMRNQTDGAMSTLGNYQQQNMHILYDVQKETLSFAPAKMIKPLVASIPLSPMASKRSLLLCLVLLSLSFSVSTISNHRGLRLELTHVDARGGGLTGVDRVRRAVERSHRRVNGLLGAPAPSPATLQSDGASGAAAATALSVHASTATYLVDLAIGAPPLPLTAVLDTGSDLIWTQCNAPCRRCFPQPSALYAPARSATYANVSCGSTLCDDALPGSTRCTVSGCAYSYSYGDGGSTDGVLATESFRFSSGAGATVHGVAFGCGTDNLGGTDNSSGLVGMGRGPLSLASQLGVTRFSYCFTPFNDTTTSSPLLLGSTASLSSAAKSTPFVPSPSVPRRSSYYYLSLEGITVGDAALPIDPAVFRLITASGRGGLIIDSGTTFTALEERAFVVLARAVAAQVALPMASGAHLGLGLCFAAPEGRGPEAVRVPRVVFHFDGADMELPRESLVVEDRDARVACLGMVSTRGMSVLGSMQQQNMHILYDIESGVLSFEPANCAKDAMACKVVSAATLTLAAVVMGILAPATFATSPRGFRAALTLPLCSAVATTLPRHATTRPPALASLSAAGSGASSFQTLVEK >Et_9A_061136.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17984611:17984853:1 gene:Et_9A_061136 transcript:Et_9A_061136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKSANTKTSTGTSGLSGVPCPMAILFMRFPLYAAAFSTVAPTLSSSPLDGHPVSEIRTGMSAWPTAAMAAYTASIWA >Et_4A_034654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5116424:5118022:-1 gene:Et_4A_034654 transcript:Et_4A_034654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLQKLVVNYCDWGGSSRGIRTFMERHLPAFKENNPHLEVVTELVRGQHPNLKGIYKNHNERVVCVRNLPPEDILLQATRLRNSLGRKVVKLRTRHVTKRPSVQGTWTTETKM >Et_1A_007559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35883661:35889832:-1 gene:Et_1A_007559 transcript:Et_1A_007559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAPLQDARPAEPGRRDAPMLLRVHVVEARGLPAIYLNGSSDPYVRLQLGRRRPRATTVVKRSLSPVWDEEFGFLVGDVAEELVISVLNEDRFFSSDFLGRVRVSLAAIMETEDLSLGTAWYQLQPRKGGKFRRKKRRGEILLRIYLSVRATLCDDVYNALPQLIDDMASSSQRSVETNDSSATASSLDMSTFGSMDRASHNHGDRLTQSIMDERVTRSAGPPSCVSTEQSVILEPEGDDGDTASTTSVVEIMSRYFRKSADAAHSVTSDPMSTDQFRDAQTSSESRENGKNNTVPEASLDELLKIIGSKDQVCEMPGNLPGGVLVDQSYIIAPAELNSLLFSANSELWPAVAEVQGTSGFQIEPWRHEANEKVLKRTLTYTKTASKLVKSVKATEEQKYLKAAENSYAVLSTVSTPDVPCGACFKVEILYCIIPGPQLPSEEQTSQLTVSWRLNFVQSTMLKGMIENGTKQGLAEGYSQFSEVLSQKVKVVELDDVNNKDKILASLQTQKESNWRLVARFLGSFAFIFSLSTALYIITHLHLSKLNMMQGGLEYFGVDLPDSIGEVVFCVVLIIQGHNIFKIGRHFLYAWKQRGSDHGVKAHGDGWLLTIALIEGSSVVGAGTPGLPDPYVVFTCNGKRKTSSVKYQTSEPKWNEIFEFDAMDDPPARMDVVVYASGGSLDQTPIGQTEINFVKNNLSDLGDMWLTLDGRFAQGHQPKLHLKIFLDNSRGTEVVLNYLEKMGKEVGKKMHLRSAQTNSAFRKLFSLPPEEFLIDDFTCHLKRKMPLQGRLFLSPRITGFYSNIFGRKTKFFFLWEDVDDIQVVPPKLATVGSPSLMVILRKDRGLEARHGAKALDPQGRLKFHFQTFVSFSDAHRIIMALWKMRSSGLEQKVEMIEKEPELKEQPCEDGSLLANEDVKMSEIYSAVLSVDVSALMEMFSGGALEHKVMEKAGCIDYTATEWALVNRNIHQRRISFRFDKSSSRYGGEATTTQQRYNLPNREGWVIEEVMTLQGILHEDYSSIQLKYHMTSTPLRPNTCSIKVLLGIAWLKGAKHQKKATKNVMANSANRLREIFIEIEKEITSRKGAS >Et_3A_023825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12942333:12944740:-1 gene:Et_3A_023825 transcript:Et_3A_023825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLAFHRSATLLALIGIFISVAAFHVANAQGQNPCPSFSCGGLHNISSPFRRPGDPPECGVKAYELLCSSSKATIRISTGTYFVTSINYTDKSFWVVDANLDVHCPLPRSDQLPYGSYLDLGSHYHAELDPATFDWACFVNCSQAVPNTSSYMPVTCLSTNSSFVYVSMSSGYCQVDSLDLSCGYLATIPLGSFHFSDPQLENASYATTSFRKKSYSEIIELVKKGFSVSFPYDGNLYTNNFVSTPRLMSDLKSCLKDTNKSFFHEHIYGASPLNWSIAFFGSEMYFAQCMHNLSPYEGGEVKLYCFGSEMYFAQCMHNLSPYEVGEVKLYWVVEVIAYAIGVTKLYIGLCRLVLFPLAIFTFLARKYWKTRISIDVVEKFLQMQQMLGPKRYAYTDIIAITSHLKDKLGQGGYGSVYKGVLHPGNVHVAIKMFGSSNCNGDDFISEISTIGRIHHINVVRLVGYCAEEMRRALVYEYMPHGSLDKYIFSSERSFSWDKLNEIALGIASGINYLHQGCDMQILHFDIKPHNILLDSNFVPKQEVGEISSAADMHELERKLCIVGLRCIQMKPDDRPTMGEVIEMLECGVGGLQMPSRLFFCDDEHIAVADSYHFSSELTIISEEDE >Et_1B_011299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:276305:277891:-1 gene:Et_1B_011299 transcript:Et_1B_011299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQEPTKADMVPPAAAGGAGDLDSYSVTCKAWALWFQPTTTTVMADPFSSADGPPVPVENAAASPADRDAVLAKVEMDRKLSMIKAWEESEKSKAENKAHKKMSSILAWENTKKADVEAKLRTREEKLEKKKAEYAEKMRNQIADIHKEAEEKRASVEATRHEEILKYEEMAAKHRSKGTTPAKKFLGCF >Et_7B_055358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9588905:9590455:-1 gene:Et_7B_055358 transcript:Et_7B_055358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFRYSKRLFRRSSSKSSTGSSSSSSDGDGRGEIEWEVRPGGMLVQKRDGRGDVEVITVRVATGFSWHDVSIGATCTFGELKVVLSMVTGLEPREQRLLFRGKEREDTDHLHMVGVRDKDKVLLLEDPAVKDMKIRATLAAQAASVRSPYQPFIKV >Et_1B_010387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10021587:10024093:1 gene:Et_1B_010387 transcript:Et_1B_010387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSPGVAWRSWFPTIGCLLHSSRRRKNSPVAFSPFPPLAIASDSAARSFSPLSLLPAAARRTDSHAHNNQPRRSVHTLLYCYAALYLMAMATSSAPLLPKPALPAPPTRFLATPKLRSSRRQATADHLHAEDGKWRRQPSGPPPSGRGANRRLRGLVQRGEIDEALGLVDSISRGGTCPAVVPCNILIKRLCSGGRIADAERVLAALGPSATIVTYNTMVNGYCRAGRIEDARRLIDAMPFAPDTFTFNPLIRALCVRGRVPEALAVFDDMLHRGCSPSVVTYSILLDTTCKESGCRQGMALLNEMRAKGCEPDIVTYNVLINAMCNEGDVDEACKVLSSLPSHGCKPDAVTYTPLLKSYCTSERWKEAEELLVTMARNQCAPDEVTFNTIVTSLCQKGLVDLAVRVVDQMSEHGCIPDIVTYTSIIDGLCNEKHVDEAVELLNRLRSYGCKPDRVTYTTILKGLCIAARWEDVESLLAEMFRNNCPPNEVTFNTIIASLCQKGLVDRAIKVTEQMSEHGCIPDIVTYNCILDGLCNIKSVDDAMKLFRNLRSHGCRPDIITYNTVLKGLCGVDRWKDAEELMANMIHMSCPPDEVTFNTIITSLCQKGLLLQAIEVLRIMAEKGCMPNSTTYSIVVDALLKGGNTQAALDLLSGTANGCTPDMHTYNTVISNIAKAGKIEEALDMLQVMVSKGLYPDKTTYQSLAYGLSREDGTYGAVGMLCRVRDMGLSPDTISYNAILLGICKNWRTDVAIDCFEHMVSNGCMPDESTYITLLEGLAYEGFLDEAKELLHNLCSRGVLDKSLIEEESDYFK >Et_1A_007756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3814287:3821716:1 gene:Et_1A_007756 transcript:Et_1A_007756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGDALRAVAGDVFFREYSSPAVAAAAVARLLLRAWEQFRSELVVPLLRAAVVVCMVMSVIVLAEKVFLGIVSAAVKLLRRRPEKLYRCDPIVQKQDEEDASAAFPMVLVQIPMYNEKEVYQLSIGAACRLTWPADRLIVQVLDDSTDAIIKELVKSECEKWAKEGINIKYETRKDRAGYKAGNLREGMKHGYVHSCEFVAMFDADFQPAPDFLVKTIPFLVHNPRLALVQMRWKFVNADDCLLTRMQEMTMDYHFKVEQEAGSSLFNFFGYNGTAGVWRTQAIVEPGGWEDRTTAEDMDLAYRAGLLGWEFLYVGSIKVKSELPSTLKAYRSQQHRWSCGPALLLKKMFWEILAAKKVSAFKKFYMIFHFFIARRIALYSYTFFFFSILLPLNIIFPEIQIPTWQLIYIPTAITLLNSVGTPRSIHLIILWFLFENVMALHRFKAVLIGFFEAGRANEWIVTQKLGNIQKQKTIANVCRNRRIKDRFHCLEIFIGLFLLVSGCFDYLCRYDYFYLFVIPQSMMYFAVGFQFIGLNKLFVGMVSLVVKVLRRRPERMYRCDPIVEDEEAGSAAFPMVLVQIPMYNEKEVYQLSIGAACRLTWPADRLIVQVLDDSTDATIKELVKSECEKWAKAGINIKYETRKDRAGYKAGNLREGMKHAYVQDCEFVAIFDADFQPAPDFLVKTVPFLVHNPRLALVQARWKFVNANDCLLTRMQEITMDYHFKVEQEAGSSLCNFFGFNGTARVWRAQAVVESGGWDDRTTAEDMDLALRASLLGWEFVYVGSIKVNSELPSTLKAYRSQQHRWSCGPALLFKKMFWEILAAKKLSVWKKFYIIYIFFISRRIVATFFFVFFFSIPAWHLTYIPIALTLLSSVGTPRSLHLVILWILFETVITLHRFKAMLIGFSEGGRANEWIVTQKLGNVRKPKSTGCVTRNRHFRDRFHCLELLIGAILLTSAFYQYLYTDGYAYVFVLPQSIMYFSIGFELIGVSASG >Et_9B_065767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10634608:10639659:-1 gene:Et_9B_065767 transcript:Et_9B_065767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNHPVTSPVYKAAASLQLSIHHSLIQRQELPYHTLVLRAVADSAELASDKRDAVVVGGDGGGGAGGEEVAASSSKAVVEELYRALERGDADAVRRLLNPDVDWRFHGPRAHQHLVLMRLLTGAGPAAGGGLPFKVRSVDAFGATVLAEGTDATGKLYWVHAWTVGPGGRVTEVREYCNTALVVTRLGGGGEAPASTATTKAVCSQSQQVWQSRLPDRARRNLPGLVLAI >Et_10B_002473.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:10035311:10035499:-1 gene:Et_10B_002473 transcript:Et_10B_002473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNHIFSSHRSPKRCSLRSLLGGTKPSKTHHSSNVRVDVLRFMLGSQFFLKHLPAVITVHS >Et_2B_019008.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:23419153:23421341:1 gene:Et_2B_019008 transcript:Et_2B_019008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVVALGNGDDDVAAFRSTRGGAGDELARAVSLLGPVGGEHGPQHERGADELRGQHAAPHPAEVEARGPERQRQRHGHAHAVERRHVDPRRLLGPRAAAQHPAPGRLRAVSQLGQAQQRQRRGRELEDGGVGGEHARPGAPHGHRERAGHEPEGRAEAQPDTGHEARALGPPRAELVADARGHGAAERVREDVYQRGGLDEHAHGRHGRLGVDEDAAEEHHDLVPPPLEADGHAAVHAQPHQAPPLLLLVARRRVGVGVLLDCAVVMVVVVAVHHPAEVHVREQEQEEVEVGPDPTERHAADAEAEHADEEEVDGHVEQQRGRGAVGERQRHGLRAEVDADRVQEALHGQVGEAPQDVAVRRAGDVQVLPRRHEDPVHGHPEHGDGHGRRQEQRHGAPERGAEEVPAPGAEGLAADRVHPAGEAGEDGVAGDVGEAERQGAAGERELPEPAEEHHGHQGPQVEQDPRAHHWPRQAEDGAHLGEEASAAGRKPRAVMQLRITLRRSERAVDALRRLRAVARFHGSTVCALRAVPGPCFVCSGE >Et_8B_059727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:21043606:21047875:1 gene:Et_8B_059727 transcript:Et_8B_059727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGHATVNDAAVNRRPRRLFGRLSERKNPVNAQFERQVAHIESRQQQQQQQQQRCIVLTVIPINFYRDFQSLSPGENGNIHPSSEPKSPEGSLEPSSSPPILFLHFNVPDESHRRWPDNSSRLLEEKSSTSNSMPNSDFLVNSFTKPSVNARHTARRKSKKKNKKQKQRCRKPTGRSEAKCRESNGTASVIEVGDCEDLTLSPKSVGDILFEENFSPNSSVKEASEGALESENDNEYHCCSCASVSSASYCDEIELSRSTTSCPGLFGQYTTDSEFTGSSQETCYAGYSMNCSHDTNTLLIFRDECLPDSCEVTECCSFSSGIDENWLEKSDYGSGICSQDASSRDNGFQEVHVCSDASSDSGFHLVISRKRARKEKKMSLWKNASSFTHVRNEKYADCSSRQMTRELNTEDWPHRQSHVGGIQTQHVTLKHPTKNFTHKPSNVCTETQNGVPSKDSNLGARLICFTSPKTNSIGNSASSFSRMHNFYSNRKVADAVHSRESNPCDRTSNSSSELSTLMSSNGNGISESGKSTVHTVGALLTQKRVLQDSGRDTDASFKSTPTDSVVRGATISSVEGNHSSQELFDSGMHLVEMMKVVNDAYRAQVAADVHFAAGYPITNLESFIHSATPVISPVPPVRRSNCSWGQNCRDSVCQHDVSSVSLRSIWEWYEEPGCYGLEVRAESDPNSETSCGNSSEFLAYFVPFLSAIQLFGWSRKNTNHSFGVQGREVLKSSNTASSHPVPSKLHKPYAESNTCLSESSFFVEDHGKLIYEYFETEQPFFRPPLFEKIKELVSSANVSDQRVLGDPEKLHNVKLRDLHPASWYCVAWYPVYRVPRGNFRAAFLTYHSLGKLVPQKCSPDMTVEYNRIVSPVVGLQSYNDKGEQWFQLRCSDFKLSPSDDASKTSRAEVLKERLRTLKMGALAMSRAVVPNGIGKSVNHHPDYEFFLSRCT >Et_9B_064578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16671921:16674872:-1 gene:Et_9B_064578 transcript:Et_9B_064578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGPTSPGGGGGSHESGSPRGGGGGGGGVREQDRFLPIANISRIMKKAIPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIEPLKVYLQKYREGDSKLTAKAGDGSVKKDALGHGGASSSGPQGMNQQGVYNQGMGYMQPQTKETAA >Et_5B_043850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16789928:16794237:1 gene:Et_5B_043850 transcript:Et_5B_043850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGDVAAGGGGSGGGGGTSIHITALDGIVNVNSLFTLAAFIGLAWRPSSDGPGLADGADRTGNPCAAGDRAESDLVSFHVLAFACFLFSSLVALCLKQLVRTYPPGHYRRGGASGAAVGRTARINRGALRVGIVASAVGSVAGCGFLMMALVNVVQVKLGRLGCGAGGSAAWAAVVPLVTLVPAAMLIYIGIHHLPFSTWAPGTSNKAPTMIRNPMVGDMATGGVGGAGGNGAWGTSIHITALDGIVNVNSLFTLAAFLGLAWRPSSDGPGFVGADGAGDPCAAGDRALSDLVSFHVLAFACFLFSSLVALCLKQIVRTYTHHRRPSPAAVVARINRAALRVGIVASAVGSVAGCGCLMMALVNVVQVKLGRLGCGDGWPAAWAAVVPLVTLIPAAMLIYITVVFYAFTR >Et_2A_017293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32903448:32914152:-1 gene:Et_2A_017293 transcript:Et_2A_017293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLLVAVCCTVWACVVRPHCAEAKARHFKWEVSNMFWSPDCEEKVLIGINGQFPGPTIRARTGDTIHVELKNALHTEGVVIHWHGIRQIGTPWADGTAAISQCAINPEETFTYRFVVDKPGTYFYHGHYGMQRAAGLYGSLIVDVAEGEEEPFKYDGELNLLLSDWYHESIHTQMVSLSSKPFRWIGEPQCAPVVLPVQPNKTYRLRVASTTSLASLNLAVGNHKLTVVEADGNYVDPFVVDDIDIYSGDSYSVLLTTDQDPSSNYWVSVGVRGRQPKTPPALAVLNYRPNRASKLPALAPPVTPSWNDYAHSKNFTYRIRARAGTPSPPATADRRIELLNTQNRMDGHIKWSINNVSMVLPATPYLGSLKLGLKSTLTAARPAETFSRAYDVTRPPANPNTTVGDNVYVLRHNTTVDVVLQNANALARDVSEVHPWHLHGHDFWVLGYGDGAYRGDAADMARLNLRDPPLRNTAVIFPYGWTVLRFVADNPGVWAFHCHIEPHLHMGMGVIFAEAVDRVGKVPKEAVSCGATANALMAGAHLPASPPAPQTRHFIWNVEYILWAPDCKQRGMIGINGTFPGPPITANAGDRIVVVVNNHLHTEGVVIHWHGIRQIGTPWADGTASISQCPINPGESFTYEFLADKAGTFFYHGHFGMQRAAGLYGLLIVNTLLINGKGQFDCTLGGVGEFQRGIHRDATTCDRKDGAKVEQVCDKDCAKEMTQVKERCIKRRWWCGWLWGKKRKAACREVCETEAQKANAVCVDEKAVSCETIMKSECGPFCRETQCGPLVLDVQPGKTYRLRIASTTSLSALNVQVQGHKMTVVEADGNYITPFVVDSIDLYSGDSYSVLIKTDQRPQAYWISVGVRGRQPKTLPALAILNYTNSGLGSWPRAMPPATPDWDNVTLSKNFTYSIKAAPGTPKPPEREVTGDPIVMLNTQDVLESGQVKWAINHVSLTLPTTPYLGAYYARAERDVFDTAEAPRGFNPNYDIRKPPEAQAPAGKTPTTVSDRVYRFKHGEVVDVVIQNANMRKESTSEVHPWHLHGHDFWVLGYGEGRYNHKVHSEELNKVVNPPLRNTVVVFPHGWTAIRFVADNPGVWAFHCHIEPHLHMGMGVIFAEGVEKLHELKEEMPKEAMMCGVLSKRAALSLPPAPAASPSP >Et_1A_009613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9608860:9609608:1 gene:Et_1A_009613 transcript:Et_1A_009613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMAPSPPPQQLSLAGLKALSVLGQGARGVVFHVVPATGAGNNNPAAMALKAMSRAAARHRGRGPGGAHGHRRIWFERDVLLVLHHPLLPSLRGVSTTSRTASSSSSGCGSSGPAAKSKSFVGTEAYVAPEIVAGSGHDHAVDWWGLGVVLYEMLYGRTPFRGVRRHAFFRGVDWDRVLDVARPPFIPEPDDDGNDSRAEAEAVALDVEKVVGEVFGGETPPSAKAAGSEDVTDDDDFSVFF >Et_1B_011772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25812468:25813607:-1 gene:Et_1B_011772 transcript:Et_1B_011772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSATILLTTVLGLGLALLCSGSGANVGSVVTDAFFNGIKSQAPNSCAGKSFYTRDAFLNAARSYPGFAQGGSEQNPRMNYCDPKSTQWPCVSGKKYFGRGPLQLSWNYNYGPAGKAIGFDGLGNPEKVSQDPVVSFKTALWFWMQNVHRVVPQGFGATIRAINGMECNGGNTGEMQDRVRLYKQYCQQLGVDPGGNLTC >Et_9B_064897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19655215:19662285:-1 gene:Et_9B_064897 transcript:Et_9B_064897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGAGGKGAGAPVPPAHRKLVQSLKEIVNRPDVEIYAVLRECGMDPDEAVSRLLSQDTFQEVKSKRDKKKEVKETPEPRSRSANNSTSRGARGGADRGGRNSSVHSSSIDNVASRSSVSGTGVPSTNSNQKQPIASSSVNKQMVSDGPVVPSQSSSGFQHGWSGTPGQLSMADIVKMGRPQAQAKHSSKPVVTADRGYTGQYPSLPSTVNQNPKHYATTASRTEHDQELPPLEDSVQVKNHSHSSVVNKHAYGNDWTPQDESPHANQSFLPETSVDPYKSLQSSSQVDDVVHLHENSHFDENSTVAMRPVSSERHLEHGEVNSEYNDGLLMNSSSYQPQEYSYTEGEVEGSNADVSQATANFQSLSLQSEEVAAKGITEDNPAVIIPDHLQVTNTECACLSFGSFESGAFSGFLPQKTTDSNVELSVGGESAPADQIDARNQDYYDTGAVPSSANENLEAMIGANMENIDAPSVSQADVMRQDVLDPSGLQYDLPSVSSHTYSNTNTSQPSTMEDPQGNNQAHTLSHLSNLMQANPLSTSLLGSNQSTLHDLEYPLPPYLAPKYNTDPASNPRPAISMQETLKTGVFPNVQSTQNLPSSSIPTGPPPPQQLAAPAHPYSQHTVPLPPFTNMIGYPYLPQNYATYLPSIFQQAYSSNGPFHQSAAAAAVPGSGMKYSMQEYKNTLSAAGLQQQPSSVISGYGGFGSSSNLPGNFTLNQNTGSASSTLGFDDALSRQYKDASQYMSLQQGDNSAMWLHGSGSRTAAALPPNHFFGYNQGQSQQGGFRQAQQPQPSQFGGHGYPAYYSSQGGLAQEQHTQNLAEGSLKGFQPAQSQQSAWQHQHTF >Et_3A_024440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20847701:20848536:-1 gene:Et_3A_024440 transcript:Et_3A_024440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIGRLPATIYVPNGDFLLSHATFAGSCSSKMTSLTVQSSRNAAIDQRPVVVTSETWHVVIYSCEGVTVQNATTVAPGGSPGRHGRPVRAELARGHDHPHHDPEFQTGDDCVSVVPGAAHLRADRAPLLRPGPRHEVSERASWHLALQSSVEHLNCGYIIRAIECSILSLGEESGRKGVENVMAAFAVTFGTPNGVRIKTWATADSYVRGVVLDNLALHYVNSSSTSTTTALTTAAPQPQSGVPRRTLFRTKYMCSA >Et_5A_042803.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4690111:4691109:-1 gene:Et_5A_042803 transcript:Et_5A_042803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANTPGPVPFKDIDDSSVPKHNPQEEFGELVSALPCRHQSKVELRLYQGFWLPAHWVPGTIVFQRRFTPRPDDVILASYPKCGTTWLKALAFAVAARTQYPPAGAGHPLRRLNPHDCVPFIHDIFAGGEEAKLELLPSPRLMNTHLPYTLLPEPVTNGGCRVVYVCRDPKDMVVSLWHFLRRRQPDISFAGMFEPVCDGTVALGPVWDHVLTYWRASVARPDRVLFLRYEELLRDPGSNVRRLAEFMGRPFSAAEEDAGAVADVVGLCSFDKMKKLEVNKSGTSGGFVRDAFFRKGVAGDWANHMTPEMATRLDDIVADKFRGTGLAFP >Et_6A_048021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3649015:3651036:-1 gene:Et_6A_048021 transcript:Et_6A_048021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFSSEVKLWEEWQLRILVLGSLFMQFVLFAGSWARHARALKSCMWVAYISSDALAIYALATLFNRHKKPAGSGSVQLELLWAPVLLRHLGGLHSFTAYSVEDNDLWARHLVTMVSQVTVALYVFCRSWSDGDKRLQQAAVLLFVGGILKFIRKILVLKAASFSSLLASSAVYPLRRDEAGLHWLYKSFLTSASIDDAVSLREEEEHDLPLEVFIQKAKELTLETESDMVCHSRPTQHHMLHSSQNQAHQDDESCQHYVFNGLHQQALAEYTPRFIDMKYVYDEVINLVLEHLKDGWKQFIVDAASYKRFSNLRGLWIVSRHQLSFDHSVLIWHLATDLCLHHPKTTTSSPTSRQRRCSEVISNYMIYLLLIRPEMLMPGSRQGLFTTTSDDIEFMLKYAKEPSLDKRSVAQRILHMAKSPEPYAYLIGPWILKACKLAEDLMELLGDEEKRWEVIQGVWVEMLCYSAGRCRGYLHAKSMGEGVEFLTYVWFLLSNMGMETFADKFQRPDPTQGEEADTASPLASRPQEVPV >Et_1B_014456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9582459:9583393:-1 gene:Et_1B_014456 transcript:Et_1B_014456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGYGRDWSALPVDLLLAAMAPHMEVPDIIHSGAVVLRPPPELLYYARVDPEGAATLYSPSTNSTFRLMTSQHVTLPPRVFCSAHGWGFTADEAANPYLLNPVTGDRAALPPITTLECVRGSFLDDDSGGVVYSIDYNGSVSTGRETKQLARCFMYYRVAISASPAVAGAARGGGCGVVLLIHSPGQQLSFARPGDDRWISLSLSHSHGSSSSREGFKSVVYNDRNGLFYALRRDRDDDPVFAVDLISGPSPEIRLARRLPTPRPPTTRVVPCGHVPRQPHTREDISSGHAS >Et_2B_022442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2218335:2218962:-1 gene:Et_2B_022442 transcript:Et_2B_022442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAESERPTARTASTCTPQTARGTHTFKIAGYSLHQDLAAGKCIRSAAFDVGGYTWCICCYPNGDGSGKFTDRVGVQAYLELMSRGAEVRALFRFRLVDHATGQSDFMHPVATPMATFRNKDCFRLNHGLGSYSLMTKKERRRPTCVDDTLIIECDVTVIVKEPRVEESSRVQVPPSDLSNNLRKLLQEKTGSMLLSWLKVL >Et_2A_015204.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5076338:5076463:1 gene:Et_2A_015204 transcript:Et_2A_015204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRETHWTRIWSLFQKRGGKKFHAKSVPTFGDGNNGHLCQA >Et_4B_039200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8766875:8769915:1 gene:Et_4B_039200 transcript:Et_4B_039200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAASSSSPPPLPPPSPASPAEDRRGPGSRPPVPPYVKAAAGSLGGVMEACCLQPIDVVKTRLQLDRAGAYRGIAHCGTTVARAEGVRALWKGLTPFATHLTLKYALRLGSNAVLQSAFKDPTTGKVSAQGRLASGFGAGVIEALLIVTPFEARLLRISVVKIRLQQQKGLSPDLLRYKGPIHCARTIVREEGIFGLWSGAAPTVMRNGTNQAAMFTAKNTFDIVLWKKHEGDGKVLQPWQSMVSGFLAGTAGPVCTGPFDVVKTRLMAQGKTGDIKYTGMIHAIRTIYAEEGLRALWKGLLPRLMRIPPGQAIMWAVADQVMGFYERTHLHPAHL >Et_3B_027687.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:12517679:12518050:1 gene:Et_3B_027687 transcript:Et_3B_027687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRANQRSSLEPSSSSAAWALLLEASGDSESDDLTAAASIIDDMDDGDAESCSGGDDDDDVAGNSSRLVSWECWMVENAAVVVVGAEGTCPPPSLMSATEEEEARDAEGDRLFWEACIAHGY >Et_3B_030648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5952640:5954782:-1 gene:Et_3B_030648 transcript:Et_3B_030648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSATASSTSLLLSVPYVACGISAGACAVPQPRRPLLAPLRAGKGANSAPVVLESKVKGKKKKGSGAGNLPGALDAEIREAQEYLDSDEQEPAPENFPFEIIDEEGMSVVILKRDYKDEKIEVIVSMPNLEGGPEFDDEDGEGDGENAGKDDEEGEEDDESAGDSSVSLKVVVSKGSGPKLEFTCTAFREEITIDDMLIVEKTEVEGEEKFPFEGPEFTELPVNVQKGLFKFLEQRGVTLSATNYMHDYMVTKQTQEYIRWMNKLKDFVKQ >Et_1A_008524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8378391:8380226:-1 gene:Et_1A_008524 transcript:Et_1A_008524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NWAELDLTEWRPNNPQSSSKLCRTKKTRQRPFPGFHWLGRFASSPPPPPVEKNVLGGGGGEKAHRNARRRSKNLSVARSINLSPERWSSLPAVLPFPSLPGSMPELTRSHFSSLPCHSNLACSSFGSAASVRKRSLARRIVSIGAISLAGGLALSAVNDLAIFHGCSTKAIEKASENPKFVEAIGMPIARGPWYDASLDVGHRRRSVSCTFSVSGPHGSGLLLVKATRNGEDGMLSFLRHHDWDILDLEAHFHVPSDDDEQNTLVKVNLASSCGRPSEEYEQPECDSQG >Et_4A_034193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30662014:30663343:-1 gene:Et_4A_034193 transcript:Et_4A_034193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFRDLKGISCSSPAATAIRPSLERRLSCSTPASTAICPSLERQPMVRSQKAIASASHLSQAPTTPRTHRHDGKKGQQHKVAANAAGGGLVSPANSSRYLLSGPEEEIQEVESAPPADATKEEAADAKSAHAQEQVVVLKVSLHCKACAGKVKKHLSKMEGVKSFDIDFTAKKVTVVGDVTPLGVLNSVSKVKNAQLWAAPPAIAA >Et_3B_030395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3489461:3490287:1 gene:Et_3B_030395 transcript:Et_3B_030395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGNQNQMDVCFYNSSGRAPLAYSIGAFLLLAVAMFAEHGYMLVAVASPESASAGLAVAQDHPRVPATVSSLTWQTCCLFFLTWICFGLAEVLLMIGIGVESGHVSDWRRPRPVCHRVRPGMFAAAGILGLITVVVGFVVYVTAVQARKLQQGHYPGGGHYVGHGVPHHPHMQPPMPHPHPVPHAVPSAPGAPEIRPAACQVEPSRASLITKEITDVSRLRDET >Et_3B_030179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31104325:31106265:-1 gene:Et_3B_030179 transcript:Et_3B_030179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGILAAALGGAIGGLSLLGIVIVTVVLCLWHRRRTLDSSESGSSGPHLPESQGARCLTLEELSSATRNFSSANLIGHGTFGEVYKGLLHDGAMVAVKRRHSPPSQEFIQEVNYLSSIHHRNLVNLLGYCQENGMQMLVYEYIPNGSVSTHLYGKSHSPGAKIEFKQRLSIAHGTAKGLSHLHSLTPPAIHMNFKTANVLVDEDFVPKVADTGIPGLLDRLGGAGQSSRISNDPFLDPRMKESINFSIQSDVYSYGVFLVELISGRSAASDQSIIQWVQNFQESSDISATADNRMKSGFTSESMKELLRLTSWCVNPAIEQRPSMSLVEAEIHRIREQEIRLTSVMTEGTPTVTLGSQLFTTSR >Et_8B_059007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12178764:12184945:1 gene:Et_8B_059007 transcript:Et_8B_059007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYLENMKSLRSYMNDLEEDAAKRSAEEQQQRTAIDAHDAEIVLVRAQVKQAREDAEQLANARAQVCVDAVEKHSRIAALEVECATLKQTLELLHQEIASTSVKLNEKRLFYAKTAESMTAKLQEQQENGVGKKQKELSVQMESTQLKIEDIKSKRSALLSEISKSKQILEHETNIIAGFPAALQQMDMKSLEEEYKALQGDKAVEIEYFQSLDEAIKGMKSISDLVKCPCGLEYKVELGGEAMDIS >Et_4A_034777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6413050:6419460:-1 gene:Et_4A_034777 transcript:Et_4A_034777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDPEASPAPPPPAGPRRSSRKRVKTAAAREAEADEINRQRRAAAKETGDAEAQALELAEDELDARPRRKRQSGAAKPGTRKREAKEKPEMDGSAPAGETIGAADDDLCAEEPDSEEEEEAAAALEAEEAEAKAKGGGEGSADKVGAKKRVARPRTERRADASEDHFVGEPVPDDEARRRWPDSSGEDEEIKARCHYISAKVDDLLYQLNDDVYVKAGPDEENYIGRITEFFEGIDHGYYFSCQWFFRAADTVISPKLLQAHDHRHDNKRVFLSEERNDNMIECIISKIKITHVDPNAVPEVKAQLASDCDLYYDMSYSFAYSTFANLPADNDGASTSAASNISSDDAVDSSKEKLASSFEASPDAHTETLSLLDLYSGCGAMSTGLCLGAALSGLKLETQWAVDMNTHACDSLKHNHPRSKVRNEKAENFLALLQEWDALCKKYVVHKSNTLASDASQSLPDDEDEPLPGDTYEVEKLVDICYGDPNSTKNVGLWDCPERIKEFVERGYRENILPLPGTVDVICGGPPCQGISGFNRFRKRDDPLKDEKNKQMVVFMDIVDYLRPKYILMENVVDILKFANGFLGRYALSRLVAMNYQASLGMMIAGCYGLPQFRMRVFLWGALPSMVLPKFPLPTHDAVKRGVVPNEFEQCIVAYDETEVPCLRKALLLADAISDLPEVENHQPKDALEYTVGPKTEFQRYIRLNRKAMKDYSFDGELVSDEGKLFDHQPLRLNDDDYERVRAIPLRKGANFRDLKGVQVGINNTVEWDPSIPRVLLQSGKPLVPDYAIPFGRLWWDETVPTVVTRAEPHNQIILHPSQARVLTIRENARLQGFPDYYRLLGPIKQKYMQVGNAVAVPVARALGYSLGMAYMGGLDGDRPLFKLPENFTYVDQETVARTSALVSGDEDEESEQISDRLSIVCEH >Et_3B_027528.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25326268:25326936:-1 gene:Et_3B_027528 transcript:Et_3B_027528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPATTAAVTESAELVLPWLPPQGLAAAASACRALRSAASAVTARRAADAARGLEPLPVPFHNAVDSKPYAYFLYTPFSLTGPSVPRAQPWGCSRDGPPAPTWPRPNFNGFPSAVCGCACSAEECGGPECACADEEADAWGSGAEAEMGSLRSAATSAHASPPAEQADAARSHGAAARRAPPAERMGAARRRGYRPRAVRVRVRRYAPISISLSFLTPQNN >Et_8A_057731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6577410:6579067:1 gene:Et_8A_057731 transcript:Et_8A_057731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMTASNDRAPPAPAPLLLAGFFYLQFRSLSLFSPAVPCDTHQPVADLLARLRASVTFLPLHDARSRRAGAPSFISALNDSSEPDGEAKNLVFPTAASSGRVLCVSAPSDSLGADATYALAWRDALPRGTVLRPGLAFVSEAPYDYRNLWHGLSALVPFASWHARSGGSCGARTPARRALFLHGAVRTRVSGWLASLAEAVTAAEMEVETFAAAAAGPVCFEEAVVFRRQMEGLSRERLRAAYDFMRCKSRAHCGVADASTRAGSGGEGTPSFRVTLLFRRGARAFKDEAAVAWVFEKECARVAGSRVRGHRRTLEQLDVL >Et_9B_064084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11025301:11026671:1 gene:Et_9B_064084 transcript:Et_9B_064084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEPPTSRKRVAGTQINKDNPEPDDDGPEPEMGTFKKASEEVMATRKIVKVRRQQQSSAPSNPFAAIRFTPSDSGVQTSAPIPEPKPSDVKADEGSNGSGKDVLSVTNNNAGSAIKTESDVAKASPQPVETSEKAETTKDGSSEDKSVIEETKEGNSVSSEVEYKTKEGDAEEKKGADEAENEDKISKDDTEKKDGGESQIKDGLSDEQKDADKGQSSATPLFSFTNLSSGQNAFTGLAGTGFSSLSFSFGSASKDGSSAGPLFGLKTDGSTFPSFNIGATNNGSSSPALATSAEAPKKLLIPEGPVETGEENEKAVFTADSALYEYLDGGWKERGKGELKLNVPVSGGERARLVMRAKGNYRLVLNASLYDDMSLKDMDKKGVTFACLNSISESQNGLATFALKFKDTATREEFKAAVEAHKTRKAPDVLKTPENSPKAADV >Et_8A_057691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6119062:6126908:1 gene:Et_8A_057691 transcript:Et_8A_057691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIQQSTSRQNATLLTVLCGKFAEKQRTLARFGPEAKRVRLSYPFPELVSSGRMEVHTLINPTVEQFREAQRVMQPNLLYIQGQQLENEKEIGSLVWGDTDLSDPQEFGSLISPPFPTIVYLEVPIGEKLAQSLNSKGIPYVIYWRNSFSSYSASHFRHALMSVIQSSRSHAWDAFQLAHASFRLYCVRNNHVQSVKLGPRLLGDAPKVNIIPPENEMGEEEGFSEAFPAIKVYDEDVNMKFLVCGLACTLDACLLGSLEDGLNALLNIEIRGSKLHNRVSAPPPPLEAETLPHGVVTMRCDIVTCCSSHVSLLVSGSAQTCFDDQLLESHIKNEIIEKRQLVRALSSEDNKPSSPGPLTSMCMACGASTFEVWMTLPKWASQVLKHLALEVSYKSLVALGIACVNGIPVSSFDRQDADRLLFFCSNQCKDKTIENGPYAHLPRWSASLTKDRMKGSLESKQISFRTNGVGEDKKCQTEGSSQPSLKPMLKPATMRPLPHSPKQQLHPFIGFPQSIIHDMSQVKPSLPAPPPVKHSMVSVAPAIQRKSSSRQSRAQPSIPLNPLPLKKHGCNRLPIQICSEEDFLKDVMQFLLQRGHTRLVPQGGIAEFPDAILNSKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMRNHTITNKMTGVGNTLKRHYETYLLEYELVHDDVDGECCLLCQSSSPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPNCSVAKSKKPPPHKFRRARRSAASTSSMWFSGIQHPVLGSLIHDHSSFFVACSFADAGGSIALTATFTGSFTATASAAAAYVLRLQFPATRPASRDERIARAAIGVVVYLGEAICRGEKLCNARDMDARAMLGFMAAVALAVAGDERAVYRRDRGERGGGASE >Et_4A_035344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2132462:2134177:1 gene:Et_4A_035344 transcript:Et_4A_035344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSMGIPIDNLVKAIRSAHKDDAITIIFDEYNYDAITLQFDSPDENITVDYDVKIEYVNSQHYHIPDWQELDSKNQAIVQMPSAEFMRVCKYLSIVGGDVHISVTERGFTFVASGKSGFVRINYKQPEATTVVTMQAPVSVTLDLKYMNSFTKVSALFNQVKICLSETQPLIGECKIEEMGYIRYFLAPKVNPEIKQIKRWKRNDKEGSAEEKEGSKEIKGRGEENEGSKESEDEGIKERGREIEEEKDVIQKESKELQNAQAAAPVPLALPNPKICNEHLL >Et_2A_016486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25147136:25150586:-1 gene:Et_2A_016486 transcript:Et_2A_016486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRWHAVAAAGVAFAAVAAAVAADRGLPLPLAGAAEVPEEEMNMLQKALYLMSMKDGNSYQHVWPEMELGWQIVLGSLIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGASVSTVYYNIKLKHPTLDMPVIDYDLAVLIQPMLMLGISIGVIFNVIFPDWLVTVLLIILFLGTSTKAFLKGVETWKKESIIKREAAKRLEQAGEEQEYAPLPTGPGAAAVAKNPSDEATSIIKNIHWKEVGLLSFVWVAFLVLQVTKNYTSTCSVWYWVLNLLQVPVSVGVTMYEGLGLMSGKRVLSSKGSEQTNLKLHQVFIYGLFGIAAGLVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLDRFPVPYALYFVIVAFIAAIIGQHIVRRLINWLGRASLIIFILAFMIFVSAISLGGVGISNMIHKIERHEYMGFEDLCKYDA >Et_9B_064343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14182091:14183235:-1 gene:Et_9B_064343 transcript:Et_9B_064343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRAPSAAATTATAVLCVVLAFFAAAARAEQCGRQAGGALCPNCLCCSQFGFCGDTAPYCGAGCQSQCGGCGPSPPGPGPVGPGVGSVVPRDLFERLLLHRNDAASCARGFYTYDAFIAAAAAFPDFGTTGGPEQRKREVAAFLGQTSHETTGGWPTAPDGPFSWGYCFKEEQQTDPSRDYTYCTPSQDWPCAPGKKYFGRGPIQLTHNYNYGPAGRAIGRDLLNNPELVAADAVVSFKTALWFWMTPRDNKPSCHAVITGQWTPSDADRNAGRVPGYGVITNIINGGIECGHGSDTRVADRIGFYKRYCDVFGIGYGSNLDCGGQRPFNVGSSSEGMLAAQ >Et_4B_039990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7226276:7233867:-1 gene:Et_4B_039990 transcript:Et_4B_039990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFAQGLYDDGALGSGGGPALIVGATGYIGRFVAEACLDSGRKTCILVRPGNACPARAAAVDALRQKGAVVIEGCVRGVEAALRAHGVEVVVSVMGGANILDQLLLIDAIRTAGTVKRFLPSEFGHDVDRARPVGAGLGFYEEKRRVRRAAEASGVPYTYICCNSIAGWPYFDNTHPSEVPPPLDRFQIYGDGTVRAFFVAGSDIGKFTIKAAYDPRSANKIVHFRPASNLLSTNEMASLWESKIGRTLPRVTLSEDDLLAMAAGSDNTAHLLSLAGRPVQLQSPPVTVMIYVTTAPSLFAENIIPESIVASLTHDIFINGCQTNFHMDVCRDIEISSLYPDVPFRTVDECFDGYAKSLRLELEEAEEKNKKSKAPMVERLEEYARAILDWRSPASAANLCSRNARDRDAGRVIPAPERNASVDCAPGWPPAAAASIWAAAVANAASSPADAAEIIARAPATKSASAVESGSSSDAGGLVGSRRGTQDDGERRGSAVGESSAKSSHSSASAPAESAEGRDGCAAESLRKSSPDRTRTKGRTAAAGRGRETTAATAMATARRRRRRRRTRAIGEGTRSPGGTARNKMGHHYTDRWGSNAVSIGIDVLAMTWQ >Et_3B_030571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:512685:516448:-1 gene:Et_3B_030571 transcript:Et_3B_030571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDQSLKAKEERKASWLQDDELAIVVDHQPIEIHYDDTNDEKKVIPKKHMIFSGHLYMHSHFLTNSSFRGDCGSAMARAKELQAKLPQQHPSFVKEMLKSHVVQGFWLGLPAKFCNKHLPMYDTVIVLEDENGDNHDTNYLGAKQGLSGGWRGFAMKHAIKVGDAVVFELVGSTILPGSEKEPPKFKTYIARANEFTTTDGAVSLLNLDVCKEGSLSSSPEEESVGELKSEEDLKANTNRVHGEVPLIDANGVDSEAIDGIRMLDSDIEFDDVTSFSNFNIVVDRLVIDCKFHKHLRRKYYDLCCSQKAFLHKHLLKQLNLTLVVGVIMETINIAEGIRACKTAGSSREDLLIWKKTLESFELLGMDVAFLLNRVSALLGLSQTPSRGLSKLRLESAHAAEKAKALELQLSGVKDVLRRMAAEMKDMESSVKKSDAALQQLATAPW >Et_2A_016190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21925416:21926738:-1 gene:Et_2A_016190 transcript:Et_2A_016190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKRSSEAVQLTTDDEPAAPGMIMDATRLAAVCDTIDDYFKRGAPMNRRQVAAVCAMIDGRAVSRRDRRMRRRNCVDSTRRYEQQTCPIGSGTYGVVAKASHRGTGRTVAVKTFPAPHAGEEEEPSDVTDVLREACFLAACRGHQNLVGFHGVARDPATGDYSLVMEYVGPSLRSALTDRLEKNNRRAFPEAAVRGVMRQLLAGAEAMHARRVIHCDIKPGNALVGDGGIGDGVVKICDLGLAMSTAETRPPYGSVGTARYMAPEVLTDMPEYDERVDTWSLGCVMVELLSGEALFKADYYIDRMFDVLDAPAVETWETFMPPLMGGEVLRRQAARPRPPCPRGRLRELFPCETLSQDGFDVLRGLLACNPKERLTAAAALRLPWFTTDTGDSTILGDRASPFVRVLNSVWTLVRQIALPLIVSCPGIA >Et_1B_009657.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11915890:11916522:1 gene:Et_1B_009657 transcript:Et_1B_009657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLETIHEDVDLEAGGPRSRPRKKKRGCCGRFACCALLVVAALYLALDPKLPRYTVHALNVTAFGMDDDMTARARFDAAVRFENPNRAIGIRYEAGSTLAVWFRGYRLAGGAFPAFYQGHGDASLVLVDMGEARLRGTGVVEAMRHVNEAGGELPLVFTGDVPVRVKVGPVTTAKVTPRVRCGLVLDRLSTEGSVRVKNMDCKFSIKLWG >Et_9A_062190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20572655:20575972:-1 gene:Et_9A_062190 transcript:Et_9A_062190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALVRTILVTGGAGYIGSHTVLQLLQQGFRVVVIDNLDNASQVALVRVAELAGHNGANLVFHKVDLRDRHALEEIFSSHRFEAVIHFAGLKAVGESVQKPLLYYDNNLIGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLCATNPYGRTKLVIEDICRDVHRSDPEWKIILLRYFNPVGAHPSGYIGEDPCGIPNNLMPYVQQVAVGRRPHLTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDKIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVFAGRRPGDAEIVYAATAKAEKELKWKAKYGVEEMCRDLWNWASKNPYGYGSSEESSN >Et_1A_009010.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:25178911:25180023:1 gene:Et_1A_009010 transcript:Et_1A_009010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRRPMVTVLTARCSRRLGTTTETTPPESVEVLRSLEGWAETNLLPLLKPVDRSWQPHDLLPDSSSPGFRDAVDELRARAREVPDDYYVVLVGNMVTEEALPTYHAAFNSFAGGGGYADAAGEDGRPSSSAWARWSRGWTAEENRHGDLLNRYLYLCGRVDVRRVEQTVHHLIAAGMRLAADGCPYRGFIYTSFQERATAVSHGNTARKAKELGDLSLARICGAIAADEKRHEAAYTRVVDKLFEADPDAAVRALAHMMRERIQMPAVYMYDGADRDLFRHYAAVAQRLGVYTAADYADLVEFFVARWGVAGLGAGLSGEGRRAQEYVCRLPERVRKMDRRQADARRRQEPRGVPFSWVFDRQVDLHL >Et_7B_055021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6574284:6577242:1 gene:Et_7B_055021 transcript:Et_7B_055021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAFGSVGDSFSAASIKAYVAEFIATLLFVFAGVGSAIAYGQLTKGAALDPAGLVAIAIAHAFALFVGVSMAANVSGGHLNPAVTFGLAVGGHITILTGIFYWVAQLLGASVACLLLQFVTHGQAIPTHGVSGISEIEGVVMEIVITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLIGGGLAGLVYGDVFIASYQPCFSAPSLRSYLAEFISTFLFVFTAVGSAISARMLNPDDVTSPDASALVATAVAQALGLYAAVFIAADVSGGHVNPAVTFAFAIGGHIAVPNAIFYWASQMLGSTFACLILNYLSAGQALFCTMQVYNAVPITRIAVLMTGFGASILEGMMTFVLVYAVHVAGDPRRAAPGGGDNGKRLAAATAPVAGVVTGACVLAAGSLTGASLNPARSFGAAVVSGQYQNQAVYWVGPMIGGAVAALLHQCVMFPAVPEPPPPPQQHGAVETVVV >Et_5B_044373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23456716:23457715:1 gene:Et_5B_044373 transcript:Et_5B_044373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPPKSTMAAAGLPDDPLVEILSCLPVKPLHRSKCIERSWRDLIDDGSVFALRLNHTVVQFMSAVAELARGIPAPTVCRASVAPRSPGRADPEYDAEQLVPLPCAADVTAIKKQIIHLLDTTTFEAVAAALWLARTAADYIVATGVRGLGHSAVRAAKTAAAVSAEYVRSTADLLVLRGRPRPALANLLLLSAAPASSASTSGGAGRRTCSLRAPGGGGLAARRSSRGSSKVSKVVSDIFHRGCFQSLGLRYVFYNLDVIMVQPHQEALVKQ >Et_7A_052499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8605674:8608046:-1 gene:Et_7A_052499 transcript:Et_7A_052499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRFFPNDMPAYVPEGGAPSPAADTSSSIHGLLSLPYPALADRFLRAALQLKQKVVHETWEKKKQRVGAAAAAAEDVTLYTGALGTAFLLFRAYLVTGDRTDLATSAEIVVACNAASDGEEIVTFICGRAGVLRPRRGGGEARRRRGHRHAKLPDELLYGKAGYLWACAFLNKYLGENTIPPAIMDMIVREIITDGRKLSTKSCPLMYEWYGEKYWGAAHGLAGIMHVLLDMDLTGNDKDYVKGTLQYMIHNRLPSGNYPSTEGDNYDCLVHWCHGAPGISLTLTKASQIFPEERFLEAAADAANVVWNRGLLKRVGICHGISGNAYTFLSLYRLTMNKEYLYRAKAFACFLLDRANKLIAEGIMHGGDERYSLFEGQAGMAYLFLDMVNPLESRFPAYEL >Et_4A_033116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18690913:18692205:-1 gene:Et_4A_033116 transcript:Et_4A_033116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLLPTLCGGGGRVATPVRRKRVQRASRHSPSNKLPAAVTGGGKAVKSGGGGGGGGGGCYVNGNGALMVELGKKDGGGGRRVMVVAEGRKEAAGALQWALSQAVRSNDIVVLLSVVKPVAPQEAVSDSCVNMLGSKCSQHIEVMKSICESTRPEVKVETCVVEAEERAPAVVDAAARHGASLLVLGQRRRLGVARWLQALWRRRRGGLVEHCIEHAPCAALAVRRRSSGGYLVSSKRHKDFWLLA >Et_9A_062067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19474391:19476901:-1 gene:Et_9A_062067 transcript:Et_9A_062067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRPKIERMSPSPSPSQPPSKRRDAAIQELRRGTQLAARLRQQVELIPELGRREAAVANVSEISKAMASSLSMLQSESEHSSESGSVDVAACAAYSSDGGSRVRNGAVARARKVRHRRGEELQIIKEILTEAPENDGFHWRKYGEKKILNAEYTRLYYKCGYSEGHKCPAKKYVQQQNSKDPPLFMVTFVNEHTCNTMFPDEPTSSTSSDSSSQILDFTKPSLSHPLMEGALGVKQEEAVHDYGYSELSSSLPSMSPKEDQVKF >Et_1A_006950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29352647:29355063:-1 gene:Et_1A_006950 transcript:Et_1A_006950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLDYFVVNMVREFEWKEVPGDEVDLADKREFTTVMTKKPLRAGIVPGAFRLIIPRGTLSL >Et_1A_007485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35006326:35010547:-1 gene:Et_1A_007485 transcript:Et_1A_007485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASCCKRSDGAEPGRRKKKKDTAWRIFSLKELQSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKSWSNKAEKEFAVEVEVLATVRHKSLLSLRGYCAEGQERLIVYDYIPNLSLHSHLHGQHAAECHLGWERRMKIAIDSAEGIAYLHHYATPHIIHRDVKASNVLLDSNFQARVADFGFAKLIPDGATHVTTKVKGTLGYLAPEYAMLGKASESCDVFSFGIMLLELASGKKPVEKLNPTTKVTITEWALPLARDKKFKEIADSKLNDSFVEDELKQMVLVGLICSQNDKERRPIMSEVVALLKGESADKLSNLENDELFKPEQTSSSGPDSSDCITEETSSKEDVIEDAVDSSETVPSARGDAEQQDTLALKTKINTQLPKFDMF >Et_7A_050609.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6178547:6178741:-1 gene:Et_7A_050609 transcript:Et_7A_050609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKRFLRKPTEMLFNILKFMQSWSILLRKSDKEKMEDLVRKLKEWCANFSGEQREEHEEAFM >Et_1A_008147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4723570:4727031:-1 gene:Et_1A_008147 transcript:Et_1A_008147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSVSGGGGRPPLFETEKPARMAAYAYRLFASTVFAGVLLIWLYRATHVPPRSSARRWAWIGLSTAELWFGFYWMLTLSVRWNPVYRRAFPDRLSRRYKEEHLPGVDIFVCTADPTVEPPMLVISTVLSVMAYDYPAEKLNIYLSDDAGSIVTLYALSEASEFAKHWIPFCKKYKVEPRSPAAYFAKAASPPNTCDPDEWYTVKEMHDDLTHRVNSVVKSGKIPEVSEGKLKGFSQRSEKTTSEDHPSIVQILIDGNKLKTTGVDGNALPTLVYMAREKRPQEHHHFKAGSLNALIRVSSVISNSPIIMNVDCDMYSNNSGSIRDALCFFLDEEQGQDIGFVQYPQNFENVVHNDIYGNPINTVNELDHPCLDGWGGMCYYGTGCFHRRETLCGQIYSQDYREDWTRAVRKTEDVDEVQGMANSLATCMYEHNTLWGIEKGVKYGCPLEDVITGLQIQCRGWRSVYYNPARKGFLGMAPTSLGQILVQHKRWTEGFLQISLSKYSPFVLGHKKIRLGLQMGYSVCGFWALNSFPTLYYVTIPSLCFLNGISLFPEISSPWFIPFAYVVTAAYSCSLVESLKCGDTAIEWWNAQRMCLFRRISSYLLAAIDTIRRVLGISESGFALTAKVSDLQTLERYRKGWMEFGSFTAMFVIIATVALLNLTCMVFGAARALLYGGTAGSGALFLQAVLCVLVVAINFPVYEALFLRRDDGRLPSSVGLVSLCIVLPLCILPTNM >Et_1A_009478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6352030:6354904:1 gene:Et_1A_009478 transcript:Et_1A_009478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKTSLITKPMRHGYGMERIKDCKTFCIRDLGGCHNIACNKLCYLGFEFEEASTLLDSMKSAAAFCI >Et_4A_034147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30246238:30248907:-1 gene:Et_4A_034147 transcript:Et_4A_034147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASSPAASASSSGSGGADVKAASAIAYSCPICLDAFKDEAYLDTCFHSFCFKCICQWVKIIASKRAEPLTSIKCPLCKTENLSAIHAFDGDSYERWYINQEPRKRRLSDAHELISQFYKLEEIVRDVSSVQQYWQQHKYLRKNFWVENCLRREIQALTRDENVEAIVYHIHGVLESFMKRHKKDHISKNITAEERREEFKNLLSDAARPFLLGQTERFVREVELFLASNLNMEAYNKLRIQRFRDSSSHLARDQDAIPHDRSLEDHYLYFICTDTDSNGEI >Et_2B_019651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11812536:11822497:1 gene:Et_2B_019651 transcript:Et_2B_019651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGPLPTTAAVFPSPTPRPAPFFTAARTLAPTRAPLMWRRLPARRLASALASSSLPRAGADATRPLHRCLTPAASGFLPPPRLLPFGQQLSPLRFVSSSAAEAVSSEDLDELNHAGLEEAAGADPKQHQPPQLPAETTGQASRSRRWRSNRGRLASETAAEHGMTFHKYSSLRRRQIRIETEAWEQAAKEYRELLADMCEQKLAPNLPYVKSLFLGWFEPLRDQIIAEQELVSVPGSRAAHGPYFNMLPADMMAVITMHKLMGLLMTGNADGSVRVIQAACQIGEAIEHEVRIHKFLEKTKKKSNKEIDNEQETGDSDIAKEQQRLRKKVTDLMKKQKLRYVRTIVKNQDSSRPWGQDNQAKVGSRLIELFIETAHIQPPASQSSEDGPPDIRPAFRHEMRTVPKEQQKHSRRYGVIKCDPLVRQGLDRTAKHMVIPYMPMLIPPISWTGYDKGAHLFLPSYVMRTHGARQQREAVKRVPAEQMQSVFEALNTLGSTKWRVNKKVLSIVDRIWSSGGRLGDLVDRNDVPLPEKPDTEDEAQLKKWRWNMRSVKKENSERHSQRCDIELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGKSGLRWLKIHLANLYAGGVDKLSYDGRIAFTENHLEEIFDSADRPLEGKRWWLKAEDPFQCLAVCMNLAEALRSSSPETTISHIPVHQDGSCNGLQHYAALGRDKLGALAVNLVAGDKPADVYSEIANRVLEIMRRDAQKDPSTDPDAARARRILNQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERGLACEDAELFGSACYAAKVTLTALGEMFQAARSIMNWLGECAKVIATENEPVKWMTPLGLPVVQPYRKLGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKRQGLNFAGVHDSYWTHACDVDTMNKILREKFVELYDTPILENLLESFEKSFPTLKFPPLPERGDFDLKDVLESPYFFN >Et_1B_010493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1175469:1179879:-1 gene:Et_1B_010493 transcript:Et_1B_010493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVMKRDGRQEPVQFDKITARLKKLSYGLNEEHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTASHPDYASLAARIAVSNLHKNTLKSFSETAKVLFTNCDERSGLMAPLIADDVYLIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKVGGNVVERPQHMLMRVSVGIHKDDIESAVRTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLLECAAISKTAGGIGISIHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHPDIFDFLDLRKNHGKEEHRARDLFYALWVPDLFMERVKLNGKWSLFCPNEAPGLADCWGDEFQNLYHKYEREGKAKKVVAAQALWFEILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRFVREKDVPIESHPAKLVGSIGSKNRYFDFDKLAEISSVVTFNLNKIIDINYYPIETAKKSNMRHRPIGIGVQGLADTFILLGMPFDSPEGILQPDMWNVVPSDRWNWSAIRNKISQVGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVIVNKHLLHDLTEMGLWSPVLKNQIIYEDGSVQNIIEIKQKTIVDMAVDRGCYIDQSQSLNIHMDQPNSGKLTSLHFHAWSRGLKTGMYYLRTHAAADATKFTVDTTLLKDNQEPAEDDVETKLAEMICSLNNRDECLACGS >Et_5A_041885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3885163:3924403:1 gene:Et_5A_041885 transcript:Et_5A_041885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPRLRGSRSPRRARRREYLGTDGDGVDRISGLPDDLLLLVLSRFRSARDAARTSVLSHRWRDLWRRLPELHFPNLSPNTLEDTLAKVAVPKLSVLDISFYQGLQGDDVSSAAVASLLYTAARLDPVKLSFTFWVGENDLGETIELPGFARATNIFLAVGLHITLTMPAHGCEFPMLERLSISRCRIDTNALISRCPHLCALWIYRCQSYDTLMVHSKTIEELEVTHFGGCIDIVAPELKKFRLNAFMHKDFSMSLSAPMVQDQSWRCRLHEEHLNLGIDGSWCLSCLELTTQEIGGGVIRLSIRRPKVDSVLHSRNLTEMFQFPNVSILELRVDTSGHVYGAVVLKLLRICNGIRMLKLITNQNVGGSDQACTPNCPCDQIWNWRSQNIFLIGLEEVEIENFKGRGHEIDFLKLMCAPLSKVIVRLESKVSPSSKGCKETYNLFKANPAVECNVYQKRGKESRLRRRGSRPQHGAHRHKDQGADGDGVDRISCLPDNLLLLVLFRFRSAREAARTSVLSRRWSDLWRRLPEFYFRDRISHNAVKAALAKVTVPKLSVLDIVVWGGSVSSGAIASLLRTAARLDPVKLSFSVLVDKNDLDETIELPGFARATNIFLAVGLHLTLPAHGCEFPVLERLSISSCHIDTGALISRCPHLCVLRIDGCQSYDTLMVQSNTIEELDVYHRGGCIDIVAPELKKFRLYASMHKDFSMSMSAPMVQDQRWSCRLEEEHLNLGIDGSWCLSCLELTTQEIGGGVIRLNIRRPKVVRPHFFCIFTIFMNLMLFYYLMKISANANCFAVNISFIDPVLHSRNLPEMFQFPKFSVLELRLDTSGHVYGAMVLRLLRMCNGIRRLKLKTNQNVVENGDACTPNCHCDQLRNWRSQNIFLIGLEDVEIENFKGRGHEVDFLKLMCAPLTKVVIKLESKVARKYTTFLRRIQLWTAMFIKNVAMSCGRAAASARRRRGEQGADGDGVDRISDLPDDLLLLFFGRLFCGHAAARTSVLSRRWRHVWRRLPKLHLCNVSPSALEAAIANGPLTNLSLIYILKFPNHKSPVAAASLLLAVARLSPMDLTFMAPQVNRDCTIELPTFTRAKCIGLLFSSHVTLTARGGECPALEKLSTARCRVDISALISRCPNLRVLKIQDSYDTVKVHSTTLEELHVAQSFYVGGVDVVAPELNKFHFRAYLHKDFCMSLWAPKVQDLSWSCRWMDPVGIDGPWCLSYLNLVSKESGSGVLCLDIHRLRVDILPDYEECTPNCPCDQPQNWRSQNIFLRDLEEVEIENFKGSGHEVDFLKLLFRCALLTKVTVKLESKVSTSSKGCKETCNLFQVNPSVDCNVYQKRGKEEELRPRRRLDSLPQRWRRDPGADGRDGVDHISGLHDDLLLLVLARLRSARDAARTSVLSSRWSDLWRRLPELYFRNTCPGALEAALAKVAVPKLSVLDIAESRCNNRNSFSAADVASLLRNAARLNPVELSVEVRLKVKDRGSAVELPCFAGATSINLDMGVGDLNLTLPAHGGDFPVLERLSIGSCLINTGPLISRCPNLRVLTLNQCLNDGSLTVHSTTIEELTVNNQVTGIRSFDIVAPVLKTFILSAQMQEDVTISFTAPMVENQSWSYGWDGFDVMIDQTWCLFHLKFETDEGGCMVLSLDILRLDHFVSHERKLQQINELPECDDLELYLEESGHSYGEMVLNMLRICNGVRRLKLVSNYWFSDGEACPPNCPCDQPHNWASHDTLLLGLEEVEIVNFEGSGHEVDFFKLLCASLTKVIVRLDSKVSSRSKGCKEIYSLFKTNPSVECSVYQKRGKEPMESRSRRRLGSRPPRGARRRNDQGADGDGVDRISGLHDDLLLLVLARLRCARAAARTSVLSSRWSDLWMRLPELYFRDSMSPSTLEAALAKVAVPKLSVLDMAVSRHADDRRSFSAAAVASLLRNAARLNPVELSVEVRLKVKDRGRAVELPCFAGATSVNLDLLGDLNLTLPAQGGEFPVLERLSIGGCFVNTGALISRCPNLRVLNLNECLNDGPLTVHSTTIEELTVNIYSTGIQSVDIVAPVLKKFIWSGYLHKDFTMSLTAPMVGHNSWICGWPGFDLMIDQTWCLDHLMVETEESGCMVLRLTILRPKHFVSHQRKLQEIFQFPRCSVLELYLEGTPHFYGGIRDVHECPPNCPCDQPQNWRSWNILFPGLEEVEIVDFNGSGHEVDFMKLLFRCAPLTKVIVKLESKVSMRSKGCKEIYNLFKANPTVECNVYQKRAMESRSRRRLGSRPPRGARRRNNQGADGDGVDRISGLHDDLLLLVLSRLRSAPEAARTSVLSKRWRDGNLWRRLPELYFRNVSPSALEAALAKVAAPKLSVLDIDDNCYHDSSFSAPVVASLLRTVARLDPLELRIIVWVEEKEGHNAVELPCFARATSINLQVGYLHLTLPAQVGEFSALERLSIFGCIINIGALISRCPYLRVLKLNQCLGDGSIVVHSTTIEELNILYNHIVRRGVDIVAPVLKKLTLYANMHKDFTMSLLAPMVEYHSWTCRWHGMDGMIDRTRCLGHLTLKTEKSGCIVLNLDIGSPSVRTSLAEIHSSFIISANIHSVSHEPKLQEIIQFPKCHVLELYLQGSGHVYGGMVLNILRNFSVTKLSLLFQNGDVQACPPNCLCDQPQNWRSHSTLLLGLEEVEIVYFKASGHEVDFLKLLFRCAPLTKVTVRLESNVSTRSRGCKEIYNLFKANPSVECNVYLKRGKESRSRRRPGSRPPRVARRRRHQSADGDGVDRISDLPDDLLLLILARLRSTRDAARTSGGAIPPNGGATCGGASPSSTSSIEYRPALKAALAMVAVPKLSVLHIANPDFKVRDIYFPADIASLLHTAACLDPLELRFIISWVDAKDGNNAVELPCFARATSINLNVRGRGGINLTLPAQGGEFPELERLTIEFCRIDTGALISRCPYLRVLELNKCMNDGPLKVHSISIEELVVTDDCYDPRIRSIDIVAPVLKKFRLSTRKHEDFTMSLVAPMLENLTWNCSTEYPSDIKIDEMWFVGHLESKREESCCIVLRLDIQIPKVRLRSSAYGFRYSPSYEQKLQEIFQFPKFSVLELNLETCGHVYEGMVLNLLRIHNGIRRLKLAANQFGTMGKKCTPNCPCDQPQNWRSQKILMDLEEVEIENYKVSSHEIDFMKLLFRCSPLTKVVVKLASYVSIRSKGFKEICNLFKANPSVECHVYNKCGKELRPRRRLDPQPPRVARRRRDQGADGDGVDRISSLQDDLLLLVLTRLRCAHAAARTSLLSRRWRGLWRLLPELYFNTSIVSSSALEAALAQVGVQKMSLHIGPMPSDFCHVLPMFTAAGIASLLPKAARLNPVELRVVIIDSDVKDQDIAVELPCFVHATSIYLDVRCLTLKLPAQGNEFPALERLTIVNYNVFNICALISRCPRLRVLELLACQDGSPIVVHSTTIGEIILGNGGTTEVRSVDIVAPVLKKFRLSTGIHKDFTMSLSAPILENLSWYCRCYCCTVIMIDEIWWLHSLELQKESGGLILRLHIGIHEVCIFFFSSMIFHAFILPLRQHSRSCVMYSSSDRQKLQRMFQFPKFYALELDLKTRGHVYGAMVLNLLSSSCNGIQRLKLTTEQRERMGKACTADCPCDQSQNWRSQNSLTGLEEVEIENFKGSAHEVDFMKPLFRCSPLTKVVLRLDPNASTRTKKFREIYNYFKANPSVDCHIYRKCGKEFVHAVAELEALGRGGY >Et_10A_001688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6867820:6874571:-1 gene:Et_10A_001688 transcript:Et_10A_001688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVVVVRRNNGVVGDDDVAARRAEEAATRRHDAASWLRRIVGVVCARDLPEEPSEEEFQVGLRNGIVLCNALNKVQPGAIPKVVGTPSDTTIPADGPALCAYQYFENLRNFLVVVKYLGLPTFEVSDLEKGGKGVRVVDCVLALKSFSESNKTGRHALTSRNYFILNNSDAFMNKNMRNHSEAIQNGFSEVQNIAADCSLESDDVTTSDPLSRLVRATLLDKKPEEIPLIVESLISKVIQEYEHRIANQNMMNEDEEKQNVLNTNEGVYFVAQNGGNAAQRFQPEAKTKLDLHHKQIQDLRGAVSSVKSGMEQLRLQHSEEFSKLGKQLYSLSNAASGYHKVLEENRKLYNQIQDLKGNIRVYCRVRPFLPGQFSSSSTVAGMEERTITIYTPTKYAKDGTKSFTFNKIFGPTVTQDEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPKVLTEESLGVNYRALNDLFSLQAQRKGTINYDISVQMIEIYNEQKGLAVPDASMIPVTSTADVVELMNQGQKNRAVGSTAINDRSSRSHSCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEVVGDRLKEAQYINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFIHISPEPDSVGETISTLKFAERIASLKAALAKKEGESENILSTQSSPSVYRIRQGNATPVFPKNRQPMEEVGNLEVRSILTTTQKRSKLRLSGILTENNSSASAENCNGLPKEMELGGWVNKMTVCDNHFENSNSFLELEPDIPQLPASFYQRYSPVQQICRAESVPSEGSYDFDHAATTCSDQVVVSAIGLKATGVTNRGASLIKKPEVTPTRSTNPPCKSPLSQKKLQTPIRNRNQLGLSSTGLRRTPNVKVNTANLGLGGAQCGPLLEPLESLPTDASFNAALILTHLRGESGIDATSLLEIWDMKFCMRLSHELKSKLFVLDD >Et_6A_047297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3534785:3555891:1 gene:Et_6A_047297 transcript:Et_6A_047297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDERLRRALAAFGGDVWALVDAALAAAARDRPEELRARRDGIGDADADRADDADELGGGDDGDAGLESKILAIRDFLEDPEQPEDELVSLLQNLADMDVTYKALQETDIGRQVNGLRKHPSGEVRRLVKQLIRKWKEIVDDWVRLHNMGGDGGSSIIADGDSPDKIQGRNYQSPRVSEFKYSPSPQRLNGSSSERTNNGFGSAMDVKRRASPVPTYQNSRQINNNHNHSTSSSSAPAKMARDNKDSLLDLDRLDSARKRLQENYQEAQNAKKQRTIQVMDINEIPKPKNRNTFIRKAGSTAHDNKGADKAGVNLRTPIRPPDPKRLEVLKYLGDAVGCQPEDAHLGEYELRNGLLGEAVAAEGLQGGAHLLLDVEQKGVWVTGDGGPDVGIRAENAAEELDGGDEVLVEAALEDRLI >Et_1A_005963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16012687:16016532:1 gene:Et_1A_005963 transcript:Et_1A_005963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKRRGRFRNSIRRMAMECLCSGEQLNGADETIRSSDSSITKDFSASGYSSRNGELEKYLDNRNIEEAELSLQEGVCLNNEEARALLGRLEYQRGHIEAALRVFDGIDISALVPKMKISIARKADRRKTRSQWDSPPMPLHAVSLLMEAIYLKARALHDLGKLKEAAQECRMILDIVEAAIPEGLPVGFAKGCKLNEIICKAVELLPELWKLGGFSLEAIASYRRSLLNNWNLDGETIAKIQKNFAVFLLYSGCEARPPNLHSQLDGSFVPRSNMEEAILLLMILLRKFNLKRVERDPSVMHHLTFALSMSGQLNPLAKQFEELLPGVLDKREWLYNVALCYLAEEDDLSALNLLKRILKSGEDSDNLKELLLASKVCSEMNAYNEGASYARRAIASMQGGCKQMAGVAELLLGVTLSNQARSAVSDTDRSSCQSEALEVLGNAAKKMHVKDSRLMYSLSLENAEQRKLDVAAFYAKKLVKLEAGSELRSWLLLARILSAQKQFADAETIVDAALDQTGKWSQGDLLRMKAKIQAAQGQLGNSVESYTQLLAIIQLRAKSFSAGIHLEKGNQDDKSLEAETWYDLSLLYLSMGQWRDAEVCVSKIRATSPYSALAWHATGKIYQAKGLTKEALGAFFRALDLDPKNVPSLISTAIVLRKLGDRPLPSVRCFLTDALQLDRTNHVAWFNLGLLYKEQGGRSAAEAAECFQAAALLEETAPIEPFR >Et_2A_015250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:164973:176165:1 gene:Et_2A_015250 transcript:Et_2A_015250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSDQGSSSSSSRSNSLVGDTMMEVEKPWEFKEEVDIMEEEEDNAGALHTRESKKKAADHHGNGQQPPQEEGDDEEQKQSVFLDSTNGLWKCRHCDWTYRFSSPSTDDILNHQGYCQIARNLELLVRNEPFYYSSGKDIVTESSAEQEVTEVVESTDEKENSNDSAEVKELEKQENLDDKKDNQNPSNGELENGSHSNGAHEASSSSETVTIADGEAGLKLIATIGKSATSLMNLSGALDISNSITNRTQVHEIEVEKDENVIKGKVKIEEYDLEKILDEQVTHDLFCPNCKSCITRRVILRKRKRTVKQALRDEPPKKPQPAEPSASANQTAAERHDQEAPEVFRCLSCFTFFIPTGYGFNIFRIFERRDVNQQQVQDPSASHESVNCGSWLLSCFQTVNSPKNSADAGPAKEPPLSGSQSTDHKISSVEDSTGSAHGHATYGKTEQPNKPLPAGSSSVVQPAVTVKTSSTTTSTTVSWQSITGFMPTKIGQMVTGQPDVGPQEQIPLSKPVSNAVTDVIHPDHKEDIRQNTTVVSSDKNSFFNPEFFGSEVKFPVPGGSEIKFPAAGPTKVVPDDFVYTVNDDDMAPAGDSNLTIREPISVIPPPIQSESPPHLTLPILPDEAQPTPGPTSPQRDEWDILKAIVYGGLVESITSLSVVSAAAASGAKTLDIFVLGIANLIGGLPIIFHNIADLRTLGDANENDERVGHYWLQLGRRSKYQLHMVIAILSYILFGLLPPVIYGLSFRKSDNRENKMMVVAAASLACVALLAIGKAHVKRPRTYFTTLLYYLSIGLSGSGLSYVAGVLITRLLAHFGLIDQGGSAPTAAPSPPGLLLSDAMGAGATAWASY >Et_9A_063113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10605136:10614731:1 gene:Et_9A_063113 transcript:Et_9A_063113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWAGTMGGVDIRNHLAQFATGGGGSMQGEPAPTTPNSSGSNNNNHDDSSGAAQEDSPAGAGGGGGGDNSPTPTSGGATGGSSSSGRRPRGRPPGSKNKPKPPIIITRESPNALRSHVLEIAGGADVMEAVAAFARRRQRGVSVLSGSGVVANVTLRQPGPAGPHPGAGAVVSLHGRFEILSLSGAFLPSPCPPGATGLAVYLAGGQGQVVGGTVVGELVASGPVMVVAATFSNATYERLPLADEDPGGEAAAAGPDGMQMPPEGSSPALGGNNGGPAAGMPDPTSMPFYNLPPNLMPNGGGAQMAAHEVFGSFRPPPPANETSATDEKGLKSKS >Et_5A_042781.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4155392:4155949:1 gene:Et_5A_042781 transcript:Et_5A_042781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLAFSQMLSLVLLLLLLASSPAVLAASNDEGLIHIRLFVHETLAGRNATVTPLTASPFGANSSFGSIGVVDDDLRVGPDRSSQLLGRFQGFIFGTSRGGGMVSADFLSSVTLVFTAGEYAGSTLSVEGPLLGSKAINERPVVGGTGKFRLARGYSLTKTAGKTSPKTDAFQFDVFVLMHNGKY >Et_4A_032562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11401163:11402726:1 gene:Et_4A_032562 transcript:Et_4A_032562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSFFVGILGNIISILVFTSPIATFRRIVKNKSTEDFKWLPYATTLLSTSLWTFYGLLKPGGLLVVTVNGAGAALQATYVSLYLAYAPKETKAKMVKLVLAVNIGFLAVVIGVTLGALHGGARLLAVGILCAALTIGMYAAPLGAMRTVVKTRSVEFMPFSLSLFLFLNGGVWSVYSVLVKDFFIGVPNVVGFVLGTAQLVLYMAYRNKKKKKKPSKNGDAEEVDEEDGVARLMGQVEMAQRRARPLHKGMSLPMPSIGSPRNGFGSSVIKSLSATPVELQSVLHQHGRFEPLNKDDDVEANH >Et_1A_007320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33246079:33254043:-1 gene:Et_1A_007320 transcript:Et_1A_007320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRTASWSALLLVLVLVRPYSADASSRLYIVYMGEKQHDDPSVVTASHHNILASVLGRYRQFKLRFLHFHSKNLIILLTFCFFCTSTNMDFQDSQQCLQNPKPRSSQQNTHHETHTTRSWDFLGLDYYQSSPSDLLKKAKYGEDIIVGVIDSGIWPESRSFDDTGYGPIPSRWKGICQTGVAFNTTSCNKKIIGARWYTGGLDSDTLKDEYMSPRDLASHGTHVASTIAGSEVRNASFGGLGAGVARGGAPRARLAIYKVCWGLRALCGGAGILAAIDDAINDGVDVLSLSLTFPDEIPGTLHAVARGVTVVFAAGNDGPAAYTVQNAVPWALTVAASTIDRSFPTVITLGNNEKLVVSNELNLFWQLCVLNTVQLTLGNSFVCPCSCDAETLKSINVTGTVVLCSSQQGLADAATLVAGAGAKGLIFAQLNTNILEDTDICRGVMPCVLVDFEIAQRIVIYAQNVDTPAVRISRSVSVVGNGVLSPRVAAFSARGPNPVFPGIVKPDVAAPGVGILAAVGNAYGFDSGTSMACPHVSAVVALLKSVHPDWSPAMIKSAIPL >Et_1B_014402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7865902:7868437:-1 gene:Et_1B_014402 transcript:Et_1B_014402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSCTTERGRKSARRMILALFVALVLFSARPAVVEAAAAATAMQPRETCQRRCGDIDIPYPFGIGPGCHLETGDWTFVLSCNRTADGRHRLYNYKIEVMDMSVRRGQLRISNNINPWCYNATTGTMNGQKNWWYNMDITNYRVNDAANRFTVVGCNSLAYIQSVNDTRNDGRYMTGCMAMCPGVDRLANGSCAGVGCCQTAIPGGLNAYQVSFEEKFNTTAIENFSRCSYAVLVEAAAFDFRTTYVTTDEFMAGNGGQVPLVLDWAIGNKTCEEAKRNASAYACVSRNSECVDSKYGRGRGYLCNCSAGYDGSPYLLDGCHDINECEDKRFKYPCSVPGTCTNTVGGFYCSCPHKTTGNAYNGTCEKDKSQTGWQIAIGVSSGFVVLIITASCLHMIHAKKRLAKIKREYFKQHGGLLLFEEMRSRQGLSFTLFTEVELEAATGRFDERNVIGKGANGTVYRGTTKDGETVAIKKCRLSNERQKKEFGKEMLILSQINHRNVVKLYGCCLEVEVPMLVYKYIPNGTLYRLIHGVPRTRRDGDEPWPRVPFSLRLRIAHQAAEALAYLHSWASPPIIHGDVKTSNILLDEDYTAMVSDFGASTVAPTDQAQLVTLVQGTCGYLDPEYMRTCKLTDKSDVYSFGVVLLELLTCRKALNLEELEEEKYLSSQFLLVLDEGRLEEILDEQAKAEQSVELLEQVADLAKRCLEMAGDRRPTMRQVAEELDRLSRVSQHPWGRQDSGEILALLGASPSTASEVELTTRNASFTDTAYIGIRSPR >Et_9B_065781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11573113:11576507:1 gene:Et_9B_065781 transcript:Et_9B_065781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGRLLLLVAVAAAAAAAGSTSAKPTAYEALAEFDFPPGILPKGVVAYTLDNSTGAFTATLDASASGTGSSVCEFSIQGSYSLRYQTKITGKIAPDHLSDLTGVSVKVLFFWLNIVDVTRSGDSLEFSVGIASADFGIENFLECPTCGCGFDCNGLPELLREPGARTAKLRLREYNLSNIKKFDKCGIVTRNRAISSQNEASTNKGIVKHSALPDFAEAVGCSWVRRRCRNSQAGRDTAVAAEVEGRQAWASDSIK >Et_7B_055705.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:23035631:23036857:1 gene:Et_7B_055705 transcript:Et_7B_055705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTLSTQKMPRPAQLDPNMRDWSSLPYELVCHVGDCFLAANDLDYYMNFRAVCLSWRSATANPCKDKKNPRFMPNKWVLLERNYDDSIVTLMNIGTGRLLSKSIPQLLMYPFVGTTSGGLFLLGEAKRPYKAFMFNPFTGSVVVRFKVKIPWEGVRMVVMSTSPEMIFITGGQMEGHIMWADQSCREQFHESLVDYLHKPTCLTSFAGNVYLVHREGAILSIVPYDATKAFSAHNIALATTIPSLSHGNPSYYLVESEGEMLRITRPSYSLPGQQLVHRVDTVKKVLEPVSSISSRALFVSHIRCLSLNASKFPTVESDCIYFVDPIPRLGAEPNKFEPSFMTIHHLSDGSQDRIMLDSDTIEGCFRPFTLAHVLANFCKSIYYVDEETEFFFTHESESDDESSESD >Et_2B_022147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9717692:9717970:-1 gene:Et_2B_022147 transcript:Et_2B_022147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWATARACDSSSGRGPPRLGGRGAARWPRPAFDRASINRHRKEEPAARRFVHILAPALLTVTSMTTS >Et_9B_063687.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19266696:19267127:1 gene:Et_9B_063687 transcript:Et_9B_063687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDRITGRPRCFAFVQFTSPSDACRALADPHHVINGRQVGQPSTWCAFFLFFLDKLSLIITFPEQLWLTFVENVIIDGTVNIIFIFMISLYFYITRFISGQRSNMTSIVPNGIYDKTYVLSYGFLLLPRFRNTIVDYSIFEL >Et_9A_063068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9572023:9572266:-1 gene:Et_9A_063068 transcript:Et_9A_063068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIASSDKERSSGRFGRNNTEITETGPEQLQNSPSVAKLTFLNFFAWEPSSYIKHIATSEKERSPGRFGGNNTEIT >Et_2A_018273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20918950:20923129:1 gene:Et_2A_018273 transcript:Et_2A_018273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSRSLGASSVAALRPCPPSRPALVPQWRGPTAARCARGVRWEAGRRKGRGRVVGVRCDAAVAEKPAGEEAAGEKFEYQAEVSRLMDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTDSSVMADGGELEIRIKPDPDAGTITITDTGIGMTKEELKDCLGTIAQSGTSRFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKTDKQYVWEAEADNSSYIIKEETDPEKMLTRGTQITLYLREDDKYEFADPARIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEEEEPKEGEEASEGENKKKKKTITEKYWDWELANETKPIWMRNPKEIEKSEYNEFYKKTFNEFLDPLAYTHFTTEGEVEFRSVLYVPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQEIAEKEDQEDYKKFWESFGKFMKLGCIEDTGNHKRLAPLLRFYSSKNENDLISLDQYVENMPENQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEDKEKESKQELMKAQTLGDTSSLEFMRGRRIFEINPDHPIIRDLSAACKNEPESDDAKRAVELLYETALISSGYTPESPAELGGKIYGMMTMALGGRWGRSDEAATASEANAEAESSEGAATEVVEPSEVRTESDPWKD >Et_3B_030078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30269968:30279574:-1 gene:Et_3B_030078 transcript:Et_3B_030078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAEAATEHGGGGEAMGAADSGAEAAVAADVDMNPLKRPCESFAQEDEADRLKKQKECQDFTPRRYQLDVYEAAMRQNTIAMLDTGAGKTMIAVMLIKHFGKISGANIDQKLIIFLAPTVQLVTQQCEVIKSFTNFEVEQYHGAKGVDQWKAINWQEQLSKYQVMVMTPQVLLHALRQAFLTLDMVNLIIFDECHHATGNRPYSRIMKEFYHRSEHKPNVFGVSSDLDCENQLSELENLLDAKIYSLADRDEIHLCVPSATEVSRYYEPRTVCFQDLSEELGILYSKYDEGIALLQNRPNYQYKDADEIIKESRRRLSKSLAKICYCLEDVGLLCASEATKICIERGQRKSWLKGGGDNTDRQCDANRPDLFAENSMLHMKFFEEMLHVIDKRLQQGIDALLNSESGCVEATKMGYISPKLYELIQIFLSFSDFDKVRCLIFVDQKITARVIEQTMKKIGRLSYFRVSFLTGGSSSVDALTPQMQKETLDSFCAGMVNLLFTTDVAEEGIHIPDCSCVIRFDLPRTTRSYVQSRGRARQKDSQYILMIERGNVKQDDLISAIMRSKNLMVETASNRETEDRHPSFFPADEINEYHISTTGAKITTESSISVLYQYCETLPKDKFYTPRPTFQFTHYGDGFECTVTLPSSAVFQILVGPKARNMHKAKQLVCLDACKKLHQLGALDDRLSPFREEKPLEILSKANNCTSGAGVGTTKRKELHGTTRILAMSGTWSSNRSVTKLQGYKLNFVCDQVGQKYSSFVLLIDANIAKEASTLNVDLYLHDKMVKASVSPCGPIELDARQMEQAKLFQGLLFNGLFGKLFTGSKSSNTPREFILKKDDTLLWNNANMYLILPVHPTLDSHESVCINWSVIDVAATTVGLMRGIYSEDNRNLIEILNPDKNSDLIHLANRSCKAEELRNVVVLAFHTGKIYTALEVVGKSANSIFDGSSDKKETAFRTFAEYFEKKYSIVLRHPSQPLLLLKPCHNPHNLLTSKCRDEGNGVEKKTNETATRANSHVHMPPELLIPLDLPVDILRAFYLFPSLMYRIESLLLASQLRSEIGYMDSEISSFLILEALTTPRCCEDFSMERLELLGDSVLKYTVSCHLFLTLPNKHEGQLSSRRQEIICNATLHRLGTKRNIQGYIRDAAFDPRRWLAPGQLSIRPCPCECPENSEAVIEDKNKSIVIGKPCDKGHRWICSKTISDCVESIIGAYYVGGGLKAAVAVLKWLHIKVEVEDELIMEAMSRASVQTYLPKVDLVELLEAKLSYVFSAKGLLIEALTHPSQQESYCYQRLEFLGDAILDILIINHLFLTHKDTDEGELTDLRSASVNNENFAQVAVKHKLHHFLQHSSGLLLDQITEYMNSTEGSSMDKTTLLSNGPPKGPKVLGDIVESIAGAILIDSKLNLDVVWRVFKPLLSPIVTPESLELPPLRELREWCDKNGYFVGIKCENRGDNVVAIIDLQLKDKLFVRQGIGKRKIDARAHAASLLLKDLEKKGLLIPQNASTTEQIEKKHGGPKQYNNVIDAMGSQTIAPPHQKELAVSMTALDFIDKPWIVKVKMSKGGPRVSLFESCKKLKWSMPTFEFVKVEPSGCPSSGGSSQKVAPQGFAFASTITLHMPSGDIISLTGDGRSDKKSSQDSAALLMLYELQQRGRLLVQEV >Et_6B_048591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11091616:11093945:-1 gene:Et_6B_048591 transcript:Et_6B_048591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGALQELLFVLGGVVGLWFAWRALEWAWLSPRRLERALRAQGLRGTTYRFPDGDLKEEARLVAAERAKPMPLRSHGISTRLQPLVHNVVKEHGKISMVWNGPMPSVILGEPKLVREVLSTQFRHCRKSLLNYKFMKLVGLGLLTHEGEKWEVHRKIIRQAFLLEKLKKMGPAFIACTSELVSRWEDSMGSRKEKEIDVWPELQDLTGDVISRAAFGSSLSEGRRIFRIQSEQVQLATQMSNNLYIPGYTFLPTKLNRRIQANAREVETLLKGIITNKERAMKNGHADDSDMLGLLLQSNAKDSQENGSSKPTMTMDDIIGELKLFYFAGMDTTSVLLTWTMIVLSMHPEWQDRAREEVLRVFGKKQPDHDSTNQLKIVTMILYEVLRLYPPVTMLERETYKEMELGGVKYPVGVKLVLPIVSIHHDPVLWGEDVDDFKPERFADGISKASKDAPAFFPFGWGPRICIGQNFALLEAKIALSMILQRFEFELSPAYTHAPFPVATLQPEHGARIKFRKI >Et_1B_014366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6904795:6906997:1 gene:Et_1B_014366 transcript:Et_1B_014366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRNWTDADRGMDGSGREMKYDPGCGLSNEEFEWRCLQRERLSKHTPQLMRDVKPLAERMRSHFQDNPLALKAWDEHEKQILHGFQHNLPNALASPANLPTAVRYEQLMKRKARSKTFVGMLSDGLDKFRQGTKNKRFIAPASFVGGAGFAVVALAVGPTFPKQWEAKQEGGK >Et_7B_055397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9930516:9933736:-1 gene:Et_7B_055397 transcript:Et_7B_055397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWCDNLAAPPRVLVAPRPCGAEGRGNVLSLRHPRSGDEAGYLFIDGQLHEINWFKERYGSWFLGNYVCEDGGLYYCTLVDPIFIFLPTFEAARMLNGKEPGKFRQLDEILYVEGYPAYQQLMHVAGQHMELVCEVKEVASMKFFRLDDSKVLSWLCCKVQNLKQVFPELGKNYAAQGEKELLKEAVQMIREYLKDEPWLKLDFNEIIDATTKTSEASFSAESCPAPARPSEVANGSAKSSKGRPAKKPKIEVGSKNIKDMFRRVTRSGTGS >Et_3A_023296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18886032:18886466:1 gene:Et_3A_023296 transcript:Et_3A_023296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGSIVSSSTMLIALALALLAVNSCVAMRRARERGDVSSAIFVAASTLLLIALLVTVRAHERRRGQLKAVAWALSAVLTVMFAHRVAALAPTATFAALIWSMAGITVAGGFFFLFVHGRGETDAVLVARHQDA >Et_7B_054935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5798006:5806161:1 gene:Et_7B_054935 transcript:Et_7B_054935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASSLSSLLATLRVDGPWTPPGSWETITPESGATRISDDGGRPRQEPIYELASVTDDALVRLALHALYGVKSSLDEIEELSVLFASNPADRTLNRVANVWSRSSSTTSVGHILKSIRSTGLAVFFLCKFVHFYLIQSREINHTRREEHKYADDKDSEQHGPYTLVNQAFAAAVEKVLEGYFCSLNTLPASVKLRRSVGHSAIPSNGASVTLCEEGLNTDANMEFENFPRGTDLLSYLYVHLRDSDPVHYGLLKYLFIRSCEQYLNFIKSWIYRACIDDPYEEFLIMQDKDKQNHGDSYHTLDDFTLLSLKVIPFDAASDFLHIRTDHLETSVSDAELFYGDSDAALACNMAADDKDNDASSTSQESSDKVDPLESSECSSYSSMDDIEVESATACDNLSSSMFSSYRTGEGKWSLVTRKLLSSQTSPVRHGINPSNPIDEHQKDLSCRDVPMQSQDSKHSMVPDAPELGYHLGNLPFERFLKNSFSSSFEEMSSGEVLYADNEKVKEQVSHDNTVYPYYSESGSQKIENSKDYKESSKINQPWSTSIPYNFNLNPILKNAACRLAESDLHGNSKNRALGSFDFESVKDPCEVYCGRSTSYPDESVSGAATVVQSSSHTSSEPDCSSKLAKVRSKAELASSGETAARENQEKGSGGALWEKSLEYTAKSKEKIVGDRYSQCDMPLDIVIDNFTMKLLEEGFDLRGHLLALRRYHFMELADWADTFIISIYRKKWSFVKSEQKRVEIQGLMDLALQRSSCDSDPYKERLFIHMRQPAVSFHGSACGIDILDDILLGYKADWPVNIVITDDTLKIYAEIFCYLLQVRFAVFSLTEVWRLLKELTQLIGRSSHCRPDILKKLNFVIKVRHQVYHFLSTLQQYLHCHLSDISWRRFQQSLKDQVRDMLDLEYVHLCYVTDALHICFLSNETKPVAAMIKSILQQASELRSCFQSLGGISELSENQLSDLRSLINFSQVDAIRMKFESNIKDLYILHSKSSKYGELGLSRFWSYLNYNEYHSTTVSTSMGSFCF >Et_1B_010312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6233881:6234167:-1 gene:Et_1B_010312 transcript:Et_1B_010312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIANMVSSAALWGDVDDLGYDHSNSDKLASFVPTWENGHSPAAYCWVEGDEEKTCQNSDGSATHILRKHPNLMGMD >Et_5A_041297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20844721:20855450:1 gene:Et_5A_041297 transcript:Et_5A_041297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKFICNFGGVFLPRPSDGELRYVGGERHLVQINRDMSWYELTCKTTKLIRRAHMIKYHLPGEQLNMLISITSDDDLRNMIEECIALERNKERLTMYLFSYDDDQHRVHFVVARASNAEKEAKFIALINGLTSTSKALGVQNLGTTSTNDLDKVLFTSHSISAGPPKTSSGQPEKTLPTPSFLTRMARKEYRTQISKGDNLITSDKKMAGVQFSSSVTSESIYGAKREPPIANDVSRQQGLQRTTTIMIEVGDQDRGAEDKGLPRKEMLMPLDSSSADASSLNSNNNSPTPRTKRSTYEMSASLLRDSQKTIDQQTRSKDKLKPRRHSTQQKDASHSALKTNKMEMQEPSHESGTVQFHDDVYLSTNVHTPEKSVATNNREKQQPALAIMCTHTKNDAHSKPTSNGGEEVLSCPFTPTMEPKPNILVRASSERRLERSNSPRPDEQSSKIIKSRSVGADSNSLQIGSPSPEVEDNAAPLISEIEDHETKNNERGPPKDAVLGRGLTSNVQIIRNEDLEDVREIGSGAFGTVYYGKWKGTDIAIKRIKNSCFMVPSTQADKLITEFWREAAILSTLHHPNILAFYGVVNNGPGGTLATVTEFMVNGSLKKVLLRKDKYLDWRKKIMVAMDAAIGMEYLHSKNIVHFDLKCDNLLVNVKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSGTKVSEKIDVYSFGVVMWEILTGEDPYDGMHYGGVIGGILSNTLRPPVPGSCNPEWRRLMEQCWSTEPERRPSFTEVASRLRAILEGSH >Et_3B_029938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29207173:29210444:1 gene:Et_3B_029938 transcript:Et_3B_029938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDMPAGLPFAVDTWGPSTRRRRHRFLTHAHRDHIVGAGAGADGGGPGTIYATRLTLSLALCHLPQLEKWEFVEMEVGKTVVVDDSAGAFSVTAYDANHCPGAVMFLFEGEFGSILHTGDCRLTPDCVQNLPLNYIAKKGKENMCRLDFVLLDCTFAKCFLKLPSKESAIQQVIACIWKHPHAPFVYLACDLLGHEDILIEVSRTFGSKIYVDRRLDCFKSLMLTAPEIITDDPDSRFQMVAFHQLNDKLEEARASLRPKPLFIRPSTQWYASNTRNQKLSLTEAEQDEFGVWHICFSIHSSRDELEQALQLLQPRWVISTTPPCFAIELGYVKKHCFKTRLTADDSLWKIFRDPLGKSTSSLSSVLAPETQADEDHSNFIDDNEHSAPANDDCTYLDALELKCVSSHPPEEPDITLFGRARFACQAIDIMKEELCNQYLAFEEARASAQTDLFLGSSEDFETYSAPDMVTKQALSCQQEDVGVGDEVRLCQREPSAVQSEVFQVKSLPTVEGDELVVDDQHEKSEASDSKPLSSTEDSSLSMVQSAGKTDCQKEPLCIIGSSNCLNASLKRFYRSRNIPVPRPLPSLVSLFESSKWSLHQKFLKYGNTNANPSVNSIAMRRRR >Et_3A_026019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3487204:3493959:-1 gene:Et_3A_026019 transcript:Et_3A_026019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRSLLAWVCLLFVLLLLAFGGAPAEARPGPGSSAPRRLVPRRMLSTNAPEGVPATGGEGTAAFKKIATHRKQAAAPPKAAPASGSDFNVESYGAAGDGRTDDTKAFLNAWAKACSSPQPAVLLVPAGKKHLVKEIPMAGPCKSQVTFQVDGTLVAPEDKSNWNKNGYPHWVSFTKVDSLIVTGRGTLDGTGKSSWKNSCRTSKKNPCTFAPAALTFTSCNHLKVQNIRLVNSPQVHLLMQYCKDVTLSSLTIQSPGSSPEADGIHISHSEDIGIIKPTWQGGSGYAKDIKFLNMVMDNVKHPISIDQYYCNQFDPTKPKPCAEQKSSVQISNVLFKNIKGTGTTKDVISLHCSKAFPCRDVVLEDIDLKMKKKAGISSCENVMFAKTSNVSPAPCTSGATKHDQVPEDSSD >Et_9B_065224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2590769:2598909:1 gene:Et_9B_065224 transcript:Et_9B_065224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAALLASPALLPFPSTSSAFSCSCRLRSAVVARAPRHQRARRELRRLDEVEGVSKKRRGIGGGGGSGGSQASSSRRDRGLAVDFKEPQVADFDDLEEDKFLNAVVKVYCTHIAPDYGLPWQKQRQHSSSGSAFMIGDGKLLTNAHCVEHDTQVKVKRRGDDKKYIAKVLARGIECDLALLSVENEEFWKGTEPLQFGRLPCLQDSVTVVGYPLGGDTISVTKGVVSRIEVTPYAHGTSDLLGIQIDAAINPGNSGGPAFNDQGECIGVAFQVYRSDEAENIGYVIPTTVVSHFLNDFKKNGKYTGFPCLGVLLQKLENPALRECLKVPSSEGVLVRRVEPTAPASSVLRKGDVIVSFDGVAVGCEATVPFRSTERIAFRYLTSQKYAGDIAQLGIIRNGNSMKVQTVLEPRKHLVPFHVEGGQPSYLIVAGLVFTPLTEPFIEEECEDTLGLKLLAKARYSLATFEGEQIVIVSQVLAHEVNIGYEHMGNQQVMKLNGTVIKNIHHLAHLVDTCTDKFLTFEFEDDFLVVLDREEANAASSDILKEHAIPSVRSSDLSEPYVDKTEEVQKTSEDFGESPVTNFEMGIDCLLWA >Et_2A_015361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11673175:11675184:1 gene:Et_2A_015361 transcript:Et_2A_015361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGSVPGDTTSSSKTLLQSHALYKYYELGRPFLEKTGVAGKVDFRAGRALDRLDELLADNRGRFDFTFVHYEAYHERLLRLVRVGGVNTLWDGTVALPPDAPLSDMDRRISAAIRDVNARLAADERVEVCQFTVVDGVTIYRRIRGKTFPFQPIPSSTRPS >Et_10B_003553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3038414:3044702:-1 gene:Et_10B_003553 transcript:Et_10B_003553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLIEDSTGDGRSSSTEELPSDQQSHSGDSLAEWRSSEQVENGTPSTSPAYSDTDDDDCGPRPSELYGKFTWRIDNFSQINKRELRSNSFDVGGFKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVINRDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKLHDGFVVEDVLTIKAQVQVIREKADRPFRCLDGQYRRELIRVYLSNVEQICRRFIDERRSKLSRLIEDKLRWSSFSAFWLGMDPSVRRHMTREKTDTILKVLVKHFFIEKEVTSTLVIDSLYCGLKALEYQSKNKKGTSKLSEVDARSTPMVLIDRDMFVLADDVIVLLERAALDTLPHQPLPTKDDKSSQNRTKDGSSGDEFNKDSIERDDRRLIELGWKTLELFALAHIFSRIEVAYQEAVALKRQEELIREEEAAGLAEIELKAKRSTAEKEKRAKKKQAKQKKNSRKSSKGKNGKSDLISKEILMDSSASDDRILDKFSRQGDEISSNADNPEDVSDISDSRDDNSDTLHVDIEDRESSPVNWETDASETQATVPGRGEMQNDQAGKRTSCVDDSSSTCSSDSVPSVILNGSHTGGAWTNVRFSSNRGNNRRYKDSDPRAQGSNSVHNGIVGSSSNGSGSSKDIRHESEDDKVMQQKKQHVARHIDVMSPSKLRMAESSFSSVGHVKKQPNISQQPKFSLESTRATEVSGAVTATAAAGGIAPTQTVQLASNKGSLSSPTPQVEKSIPVASRTLQLPASSKSEAQKQTSLASSAATTQVITVSRPLSAPQVPGAKQSAAVPTTVQNAPLLSRSMSAVGRLGNEPSANAPSYIPRSRTYRSAMMEKSSAGGSGITHQPGLSEQGAHWQSFFTSSILASENLSRKEETTLKPGFTFGTVKPESLNQYQCREESSQQASSSSSNNDCTSAGLNIGSEVEKLSLQGRSRSKQLLSEISTRFTPYQPQGLVGDEFPHLDIINDLLDEEQSERRKVLQHGFVRQYSMPNDASTPDYGMFGDSYLFDQSEQYYEEEPPRFYSPLGSAPRGLRDRSYSHFDLPSYSNSGQYDDMMMGQWPYSHTDVSMPNFGSDSSGYSYQSRDYPNLSNGGGRYTSYRPANGH >Et_3A_027068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31534300:31545503:-1 gene:Et_3A_027068 transcript:Et_3A_027068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPIRYFEGGPFEKPSYDSSPDHRYHLVDFVGESFVLAAAGVPAYQFVKGLRGAPSGGRLAAGARAARANTPRVVGSFAAFSTVFCAAEIAAYLARGKEDAWNTVVAGATATGLTYIRRGARHAAGSALLGASAIAACLGLLHSLEVLIPSTPRRRTRIIPGPQEPLAMAQIKTASWRFNSRSPVVDKNVNIEGIIKELGKKLGEEAAEEMWALGKDGVDVAIEKVRKLMGLDRNRVPAQAPPAMEHVRIPPPVLDEDKAPPVLLLRLDLTQFFLSPRGFYQCLSLPLDYTPLDLSGEEARALTASSGGKHRFEKSVSGIAEAAAGEPFFTLDWLIPSALGGELSMQDLRVFRTARIFTGNSLRSRI >Et_1A_007601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36323476:36329079:1 gene:Et_1A_007601 transcript:Et_1A_007601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADHLAQTNALFRKNLVIQEFTRLIDSLTLPNLQRRACKTNCCLILFPLLLCGVIGGLQIALNHASSPEAPVRFDCGCSNVSVDENAFGGMDCPPGCPLPRAPRWPPVLKIPQPEYRAVNDGLFPFTDLPDASCRGAGSCAAAFLVTGGNKAFVQNVTDNMFPAQSASVNLSADVSGLADFVLADATRFMPNTCFLEPEISSFLQNKCIPNLTLSFPIHSGNGIRSRDVGCTEGLMLWRDSTGLINDELYRGYYQGNNMNRTNEIAAAYDFLSSDPGNFNLIISYNSTTEYDYYYGEEDPAPLLNEALSFLPTPNLVQVPRLINMASNAYLNLRGNNLKMSFDFVKEMPRAASHPLEQFDISPLIGQLPFVWTMELLFPVILANLVYEKQKKLRIMMKMHGLGNLPYWIISYCYFLLLSVLYVLSFMLFGSVLGLRFFLQNNYGVQFIFYFAYMNLQISFAFLMATYFSSVRTAAGYLYIFVSGLLGEFLFRRYVEDVFLSRSWITLMELVPAFSLYRIVYEFSQSVLLRNLIPSSGVQWIDLSDPKNGMESVLTIMVLEWFLFLVVAFYLDHFGSFQNGIRKAAVIFRSRLDRDSSQATQEQCVQPPELKAYVEMERPDVIKERGIVEQILQESSSGYSIVCDNLKKVYRGKDGNAEKIAVRGISLSMSRGHCFGVLGPNGAGKTTLINMLTGLSKPTSGTAYIEGMNIRLDMDRIYTGLGVCPQHDLLWENLTGREHLMFYGRLKKLKGAALAEATKQALMSMNLFSGGVADKLVGKYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPQSRKDMWKAVKSAKQDRTIILTTHSMEEAEILCDRIAIIADGSLQCIGTSKELKDRYGGSCVLTVTTATDGEEDEVERLVRSISPAAILVYRISGTQKFEIPKQGMKISEVFQAMEDAKSRLNILAWGLADTTLEDVFVRVAKESDMSSVA >Et_1A_007127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31062344:31066373:-1 gene:Et_1A_007127 transcript:Et_1A_007127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GLDVFFSVYGRAGSRRTKFIVKREGTGRQAPIIRLFLVDLSESAYEWVHDFIGQVMEGMRATSITSFTVSEDAVHEYPQWAKLPLDCCCRILDRLDAFSILNFPLVCEPWAVAYAENRGLQPGAPTILTSPSIGGWDDPDESLRGIFFVQDIILSRETFIAEVDGFKHWRWIGGKDEWLVTTLGSVKLLNPVTGAWTHSLPHPHDMTTSYNRVQLCRTPNEAGAYTTAEGDQWFMLENPNYGSEYVRYSDAILHKGKIVAICSNSDLWSWDLDKQEAHPTQFQPLILKAYDFFLAPSLNDNLLIVSPYGEYISDRDACNRCTSFRNGWNLFTVHGAVLREVDIEAKWIEDVHDIGDRALFLGPNYPFYVPVSAPSGDLQRNHVYVADIAYSDAVAINLGEESIPHKSRELYYNGPVSNPYQVPMWFRPDFPSITARCLDPPMEREAGKPDIWKSEHVKRASAYLVPLYIAHDDSYS >Et_10B_003412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19568227:19568641:-1 gene:Et_10B_003412 transcript:Et_10B_003412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QSLDITSQKKGQHNNSNSGGSKRSRSGSNQPESTSNGKAKQIPEPVKKGKAKKVMSKKTKKKELCQEPQKLLLDSPSMCTRSKLKPASPAMSTRSKRRLSISFHI >Et_9A_062590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24275399:24284785:-1 gene:Et_9A_062590 transcript:Et_9A_062590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWRRSASWLSSASRSSLGAAVGAGEAKVTPEVDPAAEEPDEERWSRLLPELLTEIVRRVDAGAERWPPRRDVVACACVCRRWRDAAVSVVRPPLECGRITFPSSLKQPGPRDAPMHCFIRRNKKTSTFYLYLSLTQALTDKGKFLLAARRFRNGAHTEYVISYDYDDLYPGCSSYVGKLRSDFLGTKFIIYDSQAPYDGAKPSRSRSTRRFTSKQISPQVSGGNFEVGQVTYKFNFLKTRGPRRMQCSMQCPVVQGTSSDPSKEKACAPSFLDLKNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATAGTGGPWGVGDEETVILQFGKIEDDTFTMDYRQPLSAFQAFAICLTSFGTKLAFGTALQSTASGSKQHIDSRIVFPLRLQMVQKHLALPGMTVDDGLGTGMNGGAPGRGSVLRGPGVHVKPQE >Et_2A_018611.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3501697:3502746:1 gene:Et_2A_018611 transcript:Et_2A_018611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSCFARGARGGSDSSPAPPSSSATSVYWTHLGAVTLTWSRAPLGLLLSAELRLAAAADDAPPARFALRPWLPWRRRGTKRFTTASGRVVAFAWDLSRARLAARRPEPVSRYSLRVTVDGELALAAGDLLLRAPASPAGFLLLSRCETAVVAAGGRADAYTTAVAVEGAVREVSLGVEDDAAMWVAVDGEKALQVRRLRWKFRGSERLDLPRGRVRVTWDLHGWLFGDPDAAAVFVLRFETSGDDEAEGNDDVEEEDVGMHVLRQSSFRNQHGGESWCSSDSDRRGWRRGPFRSGSDTSPSVSVASTSATSSAGSVATVSQWAAGEEAVALKDGGGFSLVVHLWKKRK >Et_7A_051621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21487032:21487849:1 gene:Et_7A_051621 transcript:Et_7A_051621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDLGYKHCLDTPVWTTLLSFLPEFRSCTQVHLGSALLSHSLRPNVFVADAWVDHGWSFYLTSRLSAAATMELALMQQALTSSSWNLLQGLTLTMKPFSSKAFYRWNMSHLLEDPFAPIIWGNLATPRCKHFLRLLHQEPLPTAAMLHHRCIIDNALCSYCVDIEDQRHLLLRCPRARGVWRLLGCKLLHTCDCSRTYGPYRNFHALEHLEGEECHGLLWEVSFSSRHATGCSCRPAAVAILSP >Et_7B_054905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5467033:5469392:1 gene:Et_7B_054905 transcript:Et_7B_054905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLEARPGVLLVGAPGVGKRTILSRLLAAEIPEAHDLSSGVLCQGWTIDTKYYSADLSIWTANLGEGFSLGSLPHQDQLAALVMESSLLTLKSWVASVDIQRRRMQRLGESSSDPHPEYLDFGINETEGCGLLSGEEQCIEIRDSTLKWCIEQNMSLSVDGDSQGLERLFGALSAHMWPGMILKSGNRITAPTLIENKESSDDESNYEFDYEVLSHGSGEQWEFVGESSTSERFEGSDEAKDTQDRTQQIMNTDANSSASNLLPNGTSTETAEEQTVTQDHGTDNGHLNDTQADSTENHAPEVTKLFEGEHYGLDDLERLMSEIGNMRSNLRLMPDFQRREMAATLAMKMAAMFGDDDEGGLQDI >Et_3B_027635.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:7360352:7360996:1 gene:Et_3B_027635 transcript:Et_3B_027635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAAGAELHDEAGVVRRLEVGVQCRQERVVERAQDLALHLRAPQLLAEGQCVLVHHLHGVEAPRRPRLAQLAEVDVAEVAAAQAPHQAEVLQPDAAGGGADAPDGLPLGLVRLVWLVAARRGWRVPPAAAGEGAHRDRAGAANVTDPAPAGARRRERGPAAVVVRLLFDGSHRGSKDRKCKACNEKPKRRRFPLPPSSPLLLYNQLWPMVTTS >Et_5A_041953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4652997:4655205:1 gene:Et_5A_041953 transcript:Et_5A_041953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILVWSRSSSNDSLPRPINQKRKLDDLMGPENNTICEIASNISTLTPICKRRRESELEKLPEDVLGTILSKLPQNEVVKTSILSTKWRCLWAVCPKLRLDGVTMCGNMLRGQQRTQKFIANVNAVLLQYQGKVVEELAIKFDFDSMLVDHLNGWVSFAITSRTKFLSFDLIPASYKKCDDRYVFPFELLGSESLSRLQHIQLSFVCLRPPNQFGGFPNLKKLDLHAVRADGKGFQALLSNCCSLECLSLVRCILDDGLKVDHPLPCLLYLSVANCGVHKIELDSVKLATFIYEGAMVPIDLSKASRLDKVDMPCFIENPCKFSRLRHLCLLSLFDHDVDTILTLLLSFLYINNDPLRRFPGSLYNHLKDVTITGFEGSKGQLEFLVHLLESAPALELVTVYAVGFYCGKGLHEKTATYMSLIHIVVRRHVEQIPPKCSLRII >Et_3B_030243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31712579:31716620:1 gene:Et_3B_030243 transcript:Et_3B_030243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGLLASPAINLGLALVALSLFSLLKKQPGNAPVYQPRRMAARDPSGDLLPLGHGRVTPSFRWIGPAFQLSEDDVLRRHGLDALVVIRLFKFGIKCFSVCSVVGVLILAPTNYTSEGRADIRRSNSMELFTVTNVTRGSNRLWVHFSCLCFISFYVVYLLHKEYKEIIRRRIEHLKYHKKRPDQYTILVRGIPKCPDHGTYGCYVDHFFSKHYQTYQAYQIVHDIGNIEALQKLASSIEKRIKRKRETRKCNFWHWIWFKFTTEAINIHRQEEKLKDIQQSIRLLQCENMLKHKEVPVAFVSFKSRLDAAQAAEMQQLTNPLSLVTTYAPEPTETIWKNLSVPFWRMSMYRLGVFVAAFFLTVFFTIPVTAVQGIVQFEKIKRWFPPARAAQLIPGLNSVVTGYLPSMILNVFIYLVPFAMLGMASFEGCISNSQKEIKACNMVFYFLLGNVFFLSILSGSLLDQIGESFTHPKNIPSRLASAVSAQSDFFITYILTNGMSGFSLEVLQFGLLTWQFIKAHSIGHGNEPYLYGFPYYRVVPIVSLAILIGMVYAVVAPLLLPILLIYFLLGYAVYINQMEDVYEITYDTCGQYWPNIHHYIFLSVTLMQITMIGLFGLKSKPGASFASIPLLVLNILYNQYCKVRFLPTFIHRPVQVAKENDELDAEGMTEGDLDNAVSAYKPPWMRPTNLETSSVEPLNI >Et_1A_006736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2707677:2713082:1 gene:Et_1A_006736 transcript:Et_1A_006736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGEKRHFFPLTSLQIGDLQSYLAELTIFLCPDTKKFLILLDNRPWLVDQDTKPAHLWQLMVTKSRLSPFANTKSRRKRNETDGDVVLSERVSAPHMLNKSSKWYSVIDEAMREKKIQVNKLKDSRILNRELHQTLYGFIIFEVDWANVRGINYLNELQTDTSMAVESKTMKRWEFESVNQASELISCWFSGNNSERELLQDFLNCISSNGDVFYDAPNYLLPSEWDNENLMSDDDDAQCITESSYTQPPYAGPYKKRKIIKSDSGSSVSEESYSEVVTSPRYSSSHCSSCGSDNECVNQLLEPCTYKDVLICFRFNDHDLPFRLKEVILSDVRLLTLLEYGLPSWVIFLQSYPVFCKIYRPWMCPLARALYVLMSLITVLIGFYDLYKNVPMLKATASRLFGPFFDWIETWEMITRLKYLGTMLFLHNFQQAFTWSLKIVNAAKSALSVLTKPILGPLLEVFEFTLPIWNLFAETVGYLSSIVMVSLETSWSAVIGTTQMIIWPFWFVFSTVLNIVNSVIYPVVWLLVEILAAPFHLVVWLVNFVAELFVDAVSFLKQTSSALSALYQVGSVPRQSGLSSESSIWGSLWKDLLYQIFRAIRSILYGFVAFFSTCNRHRLSIYNHIQVFLGRLSHVSTRARHPTSREGARKYTSQNQPHGTGIVGPVHPPILLCLCCPSSPFCVHIPGIARLHMDETQLACMPPHITHGHVGAPVILSGFEIELLVPCLC >Et_7B_054840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4866317:4867697:1 gene:Et_7B_054840 transcript:Et_7B_054840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAAGTPPFLTKTYAMVEDPSTDDTISWNDTGTAFVVWRPAEFARDLLPKHFKHSNFSSFVRQLNTYVRARPPIPLLLFYPTIRSIERDHEWVCVFLVQGFKKVMADRWEFANDCFRRGEKHLLGGIQRRKGTGAAATPGIPTAIPISSPPTSSGGEPAVSSSPPRGALAAAGAASAAGVSGAVAELEEENARLRRENARLARELARARRLCDGVRRLVSQRELNLRIVRKSKFNDGRSLRKKSTGQNCSSDK >Et_4B_039705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27174727:27177744:-1 gene:Et_4B_039705 transcript:Et_4B_039705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGVDTDINMSTDCSRAGVKKFHQNGFCELHRVDHQFEGIGEYTASGPMVPSATFNDLGHQYYSGRESEGPGIDHASSVDQRVGFYLPLLTPKSEISLQMGSGLGSYSACEMNNRFVPRKKALSLKKTNVVKGQWTTEEDRKLVKLVEQFGLRKWSCIAQILPGRVGKQCRERWHNHLRPNIKKDIWSDEEDKVLIQAHKEVGNKWAEIAKRLPGRTENSIKNHWNATKRRQFARRRSRASSKAPKSSTLLQNYIRSLGFGPSKTAAPLAQPTPLPSSPAKPAVFNDEIAEHNQSDMLGVQGLLGIYENSCVETQSCEELLAPICDDFSADMCDGLFDTEEEAAIQVYSADDEVDVNYVFNHLDYAMIKVDDEIDMDMTWVDDDALGCVEPGSAQSHGTRHVKEEMDLVEMVAATQNCTRQENN >Et_6B_048500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1001459:1004236:1 gene:Et_6B_048500 transcript:Et_6B_048500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQGGAVAAEYRRSSYPQARIPLFLLNFYLADPASSYSYTSFKSIDEPSKLGLWQTLARKAKEIIDEDGLAHKFEDLRKESPRNNSGSSSADQVPQSRWSFENHWRPGDAASRMRPEAISASVNQLGGRIRNAFEEGLTIVDHKTSSIIQGTKKIQIRRKPNTSSSDMPNSAVDTLSTPNLSLDQTGTAAQGIQLKSSRDVANAMAAKAKLLLRELKSVKADLAFAKQRCAQLEEENKLLRETKQKGNKTEEDDDLIRVQLETLLAEKSRLAQENSTYARENRFLREIVDFHQFTTHDVVSLDDGDMEDDDPQEDSSLIYAENILTVVEENSAHEDDLSPAPSRPDSRTLNPGEQSSPKSSSAHSVADSTMDETSNPDPSDTA >Et_7A_053183.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:9347655:9348269:1 gene:Et_7A_053183 transcript:Et_7A_053183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDLEAGSPKSKQAPAAASCGKFETIMACVVAVALVSTAVFFFAIYPSDTLLPTFAVDVAAVEGLDGVPTTPAGTINATFELTLHGETRRRRIGPTREICQERGTVAVSYAGAVLAWGRVPKFCAPAQGQARVRVVALGADVRLSDELRGRLASERAARAAELDVDMTLDRSRLLSCRVKLDEPSPQAAAPCKVFTVHFVPSS >Et_8A_056425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:140648:141889:1 gene:Et_8A_056425 transcript:Et_8A_056425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPHRKVKKRRLSHKTARRGKFLLKADDAVYDELVKLADEGKDAQGKDLPVDEDLPGMGQFYCLHCDRYFANETVKDDHYRSKRHKKRVKLMSGPAPHTQLDADLAAGMGMPDNGLKLMSM >Et_10A_002340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9556595:9558977:1 gene:Et_10A_002340 transcript:Et_10A_002340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKRSPHIHSIAAARPEPSRSGRIHPHVLNRPCWFAVPDKDPLFPPAGPRRENIHVPYLGWPLHQAGVITRINNTVVLVIIRQLPDAEAQSLLLRLGRLLRHDGDQDHLVVRRDGRIEREVRAGVEAAPFLGVPGKQRPPPRHRIAHAEVLLPSDLAEEQTVAVVQDGPIVAREVVGQEAEQAVDHRRRRRRRPGEEVRAVVVDVVDEDAAHIAGGQQRVCLRVDDGVPCLADEHGGGEAMKGQAAEDAGEDVVRQRRELRRHGVAPADAHLVDPANGGGAEEPSGRPDGKKKEKQKLRQRSTKEAVDYLMAKKKEADDEKELKKDERCDKAFALQEERLKLEREKFDFQRDMEEERILNLDLSTMSYKTQQYYEILARRSNI >Et_4B_038107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26298095:26298428:1 gene:Et_4B_038107 transcript:Et_4B_038107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSGEAHQRRRQRARPPQADGRLSLLVAEFIRQLLRWSRQVVIEREGPRYSARFVGFREWSGIVVLVQINLGSGDALVLCHEYKEIGSSARFRLCLHDRNCPL >Et_3A_023749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11863475:11872727:1 gene:Et_3A_023749 transcript:Et_3A_023749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVINLVLLPELVPPSLSLEILPELVQGVRSGDSIMQLEATREFRKLLSIEKNPPIQEVINSGVVPWFVQFLSREDCYQLQFEAAWALTNIASGTSENTKVVFDQGAVPIFVKLLSSPDEDVVWALGNVAGDSRIGRDIVLAHGALLPLLQLLTGNSRLSVLRNATWALSNFVRGKPGPDFEYVKQALLVLRQLIHSDDEEVLSDACWALSYLSTSFDSENKLQTMLEAGICPRLVELLIHPSPSVILPSLRVVGNIVSGNDEQTQFIVDHQALPCLLSILISNKNKGIKKEACWTISNITAGTKSRFRFIIFQSKQHIYILHVDIAVINGNIIGPLVHLMGAAEFYCMLTRALCKTVFSPSEMLKACLDGLENILKVGEAEKSSGACDVNMYAQMIEDAGGLDKIEELQNHENIEIYELVVRLLETYWLEDEDDAMLLRCPPRLVSTVVISKSLLQFEASSLGEAVESLSLGKNDV >Et_10A_001632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6194449:6197382:-1 gene:Et_10A_001632 transcript:Et_10A_001632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPQHQRGGGSGNGDRYGVLLYYKYAEVPDAAALAAFYEAHCSELALVGRVRVGPDGVNATLGGRMSALDKHIAEMSSNSLFEGTDFKLASCEDPVDERVARECGFTSLSVRVVKELVTLCCNPTAAPPKISRAGRHLSAAEFHSVLQNVAQSNCEDESPAEKNEVVVLDARNVYETRIGKFNVPNVETLDPEIRQYSDLPSWIDEHTEKLRGKSILMYCTGGIRCEMASAYIRSKGEGFENVFQLYGGIQRYLEQYPDGGYFDGKNFVFDHRISVGSRKENMLGTCLICNSSYDDYSFRCRCSHCRMLVLVCPTCQDSTKEYTCEICQKNGKHSCQMSPSQDSEKNIGLTESSGFEKISIKNHFATSELPRSNGNEQLKKLKILCLHGFRQNASNFKGRTSALAKKLKHIAELVFIDAPHELSFVYQPIAVQGSDAPSPPSVTAKRKFAWLIAPNSSCNTKQDWKAVDVPFDPLQYQQQTEGFEESYTYLENAISQMGSFDGILGFSQGAAMAALFCRQQQNTYGTPKFRFGVFCSGYPAPVGDFDREPIKLPSLHCFGNGEGHDRQIANRASIELADLFAQDCCSIVEHDMGHIIPTRSPYIDLIKNFLSNFQ >Et_9A_063578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:804549:811398:-1 gene:Et_9A_063578 transcript:Et_9A_063578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSEPPHNKETLPLGDGLITPMCLCGTPCKLVKSLVLGNDYGKRLWMCNNYQYELPLQRLHFSDGRPKSPPSLCEFIEYIDTEQTPENIAYVYRVAERARRHWFDMEAEERREEERRKMRQKEEERRREYEAEPTVCVWGPVAQRSGNKGSNNPLKRRQGTRGSGWWAQSPNGDKGSNNPLSERLGTRRSGWWAQSPNGRATGADAYKRPKFGLRSIAIDPSTFPTERERRREETKRREEKRKEGKRGVVVVACCPDVSQLRYTRKGQAAGTVWWSRMEPWVIQWAQALQDVVQETRPFTSEAYEEYLHWYIPRTQTRVTHTPTVPQSHLPTLRDTYPTHRDQNSSLATDLAQRIAVESGSVLQRMR >Et_9B_064292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13655867:13656796:-1 gene:Et_9B_064292 transcript:Et_9B_064292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLNEMARADAERLPSAWLRALLDATFFEECPEHPGASCTKPSGGCKPATSSAPTAPADPSAPPASPVATPATSSPVKVKDMQAVLEVGEVQPYLVNSAFVVFLNQRPMEGKGKSGEYSLLTSARSLGCKMMQKNQNGESPRPTKRLRDIGSSSRGGNCDEEDGAGTSTTPRATGGHSGTTRRGDRPATIFHSWSWKVRKP >Et_5A_042578.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20793553:20793915:1 gene:Et_5A_042578 transcript:Et_5A_042578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLHFYMHDGYTGPSPTAVLIVNGTGAPLMPGGVRFGDTVVTDDVLTEGPTRSSRAVGRAQGVYVTASQKPGAPAMMLTMNVVLAGYPGYESGSSVTVVGRNEVTAAVRELAVVGGTGS >Et_9A_061701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15465418:15476050:-1 gene:Et_9A_061701 transcript:Et_9A_061701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGRVWLVRMASLLALGLVLSSVDASLGDVDPQYRTCVEECQTTGVIGENIISHCKSQENQNDTSGGGSWYTQEQWKQLQCKADCRYFCMMQREGERESLGLNPVKYHGKWPFLRVSVFQEPLSAALSAINLLMHFTGWLSFFLLVKNKLPLRPQTRRTYYEYTSLWHIYALLLMNAWFWSTIFHTRDINLTEKLDYSAAVALLGYSLILSLLRTFNLDYGWNMKVCVVMAVVQLLTWAIWAGALAMLLELYDFPPYMGYADAHSLWHASTVPVTYLWWSFIKDDAEFRTSTLIKKANNTNTNPDQSTTQQDGIRVNRTERQKGGDDTVARSCWSLYLGSRFILKCASADSTNLALDICFMSVTAVGTSYSFLLSRSFCISRNRLDRVCTPSKKPAQQSSSTIRGKRKGAIKRIATDVGGGWILAYAVALERECGLAGARLGGGRERGAAAAVPVAVVVVAAALVDHHHVLLVEHGALRVGDGGRGGAAEREEQPRVGAQRRHGGREEHGVVRRSRRRARRGRGREEGGGGGDRGSGHSHGGDGEDGGREGRGRGGADKRECWRDRKRSRSGSAGAGYS >Et_4A_032958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16034144:16038542:-1 gene:Et_4A_032958 transcript:Et_4A_032958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IEHGAQFSLPLTAKTEISGNNFVSLHLGDPCIEGPHRVPEAKESVLLALVHGVEFFLVDVEDGVHEPPGGDVVQPCLHELVLPDDLSPHRLLPGHGLLEHEALVLPEVPEPVHPEVAGQRRPELLPLEGVPVGAVERLVLPGGLQGRPERVLRDELGVGGVAEPLPRDARPGPAQRRALLAADGGVDAEAGDDVPAAPRGEAEDGGGAVDGPGDVAAAVDPVEEDVLEVGVLEAREVLGVGAQRGGDAAQGHERVDEVLAMWASAGQLGNGDDGGEDVAEHGAVGLARLVRRGARGPSDVEDVGDVGERREGLGHGVGVGEVEVEDVEEGRADDASRADDEGHVLERRRRHHRALDLLPLDRALHAGPPPLHHGRRRRSASRRRGSAEKRLEAAWIGGEEEQVRKRRRLGVWVFGRAGVEKPLSKGPLDAALLRLGYPDEKFRLMLPKKLPKIVTMEDALDEINISQFNALERPQPYSKARNWPWSPIDPGEGDPSINFYGRNEKPYGGGAGGEPHILSKDFMRRWPYNHLVASDRPDNRIINVQETAPLVKEAMEKRQQERAERVQQFINRRKKATKEFNLTVLTGVAVSHPEQLSFTSFILVDSNF >Et_2B_021947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7230419:7232231:1 gene:Et_2B_021947 transcript:Et_2B_021947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRLVGFVAPTPDPDCASSSNPGGAIESTEKGEGEAKRPEPPRREVTDLGGGSEVVHVPRFASRETAWDWFDCLDKTIPWTRPVIRVFGRSAAQPRDSCYVADKGLTDLKYSGHQPHAHSWDEFPVLKDILKKVHEALPGSHFNSLLLNRYKGGSDYVSWHADDEPLYGLTPEIASVSLGCEREFLLRKKPTKSQVASGSREGAKKRLKATQQQHSFLLKHGSLLVMKGYTQRDWQHSVPKRAKASSTRINLTFRRVLT >Et_6A_046367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13740672:13743357:-1 gene:Et_6A_046367 transcript:Et_6A_046367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMSVQVQERRAHRAVTSQKQDRLSIVTFYAPSYDVKLGPLPEFVTDDAPPKYRRYNHGEYSRHYITSKLEGKKTLDFAKKISYEGPATGSSRDLIGQSTSLLRPKHRHSSVVGSGLFFPNCGGSSTASLLIKKELAELQVSPHARLKHKVSNNLQRYAII >Et_2B_019000.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22568191:22568741:-1 gene:Et_2B_019000 transcript:Et_2B_019000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESARSAMEPRRQEVEGGGAAATVPKRRRQSQGKQRIDICFIENKERRQVTFSKRRSGIFKMASELCLLCGAHIAVVVFSPARRPKVFGIGDPSVDDVLRAYAPRPGEEGAGPAWLQEDAAAYRADVEAMLRQAEETEKRVAVEQTRMDAIGAKVMKAAGRRFWWE >Et_3A_025919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3449701:3463282:-1 gene:Et_3A_025919 transcript:Et_3A_025919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGVVRFSMASSRKVRNANKRYAKINEDWQDKDDTNVHKSKVRKKKISDMLGSQWSKDELERFYGAYRKYGKDWRKVAGAIRDRTSEMVEALYNMNKAYLSLPEGTATAAGLIAMMTDHYNILDGSNSDRESNDSPKASRKPQKRGRAKFQSVSKTSDTCYPDLLQSQPASSSYGCLSLLKKKRSGGNRPRAVGKRTPRVPVSSMYYRDDRGVADRRAKADANNGDDEGAHVAALALAEVCQRGGSPQVSETHGRSGDRMFLSPVKSSDRKNADSEMGSSKLHGFHLDADYPEGSLGSREAETGDYTKGASFFMTNEGSASGKPQKKVKKSQKRRKKAARKTGDQFEDDREACSGTEEGNSARKAKEESDLEALGWPSTSNKRSRQLFFGDESSALDALHTLADLSVNILQPSSVVESESSAQIKDENKDDDSDEKPSMPAAVSVYEQKIGSKSTARKAKRQSETANTEVVTRKKAKLVKDPRHDGSSTDVKQQACTCGVKAEKKKRKSSTAKVSKDERNILKDVEKTEVSTEEGKVSSNKETTTQGETTPQADLSSKGKSRRKLGIQQALTEECKPTKGTDDTGSDKFSYSVNNVVDLKDKLSHCLSSRLLRRWCMFEWFYSAIEYPWFAKSEFVEYLNHVKLGHVPRLTRVEWGVIRSSLGKPRRLSKQFLHEEREKLSQYRDSVRQHYAELRSGVREGLPTDLARPLAVGQRVIACHPRTKELHDGNVLTVDNNCCRVQFDRPELGVEFVMDIDCMPLHPMENFPESLRQQNIDNKYLSEVKLEDQMKELGSGGAARFTSNVNVNGADATFHIPSGHPIDTLMKQAKGDTINSIAQAKATVNEVAVAAQQAMYNQPCSHSQIQEREADIKALAELSQLRHMNEEVSGKQKDGETIRDLKHFRTQYAMVLVQLRDSNDQVASALLSLRQRNTYHGNPSQAYPTSMENGGSFTAPDPYNRFGYINPESGSQVIEVIETSRCRAKMMVDVAVQAMFKVSEGENAFAKIGEALDNLSSRGTGSGSSILGIRRIPPDSGQANTSYQDNGTPAPAALNSSSPRLPNGCDSEAQFPTELISSCVATILMIQNCTEKQYHPAEVAHILDSALSRLQPCSSQNMPIFREIEMCMGIIKNQMLALIPTPSG >Et_1B_014286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4991964:4992729:1 gene:Et_1B_014286 transcript:Et_1B_014286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLVVMSFVAVAGLVVVLPRCRLPRRELDLEAVVDVVDHGAGARHGLHRRVLDVEALLQVAANVVGAVVVLVVDGLLLGEAAAAQPPDDAGGDGEEADDREDGEDRAERALGRSGGRLRVHDGAGWVGARRPFSLSLCLSALANYEAAATLLPRYEMRSLNASDREKGGCFGIPLEQFNASLE >Et_2A_016435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24773511:24782900:-1 gene:Et_2A_016435 transcript:Et_2A_016435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDHAVALRSAMRDIWALPSEDDLIDFGPDWLLNVICMYDMNVGVQLLMVLWRAWFVRNEVVHGKSMIPIQTEEAGIGIIIRDHSGKPLLSSWRVLFNMKSAEEVEAAACREGLALTSEWTPGRTVLESDCSVVWLMSSPNLLNVCAIAVGEPGFIDR >Et_7B_053725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11583546:11587907:1 gene:Et_7B_053725 transcript:Et_7B_053725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEEARHHAPAERQQGAAREHVDGQDSAAAVGMSELFGSFMNDLACRVVMGKEFRNKGLDMQLPELIGDTSSLNSGFHVEYFPFLALLGVLSKVVRQVRESERAGRLVSDVGCALANLVHHFDWELPPCQERRKIDMSEVFGIVMTAPGAWLLLLVPLFFFLLVRYSVATTLLSSKRTRVQQSHQQPGHHLPPSPPALPILGHLHLVGSLPHVSLRSLAQKHGADLMLLRLGATPALVVTSPRVAEAVLRTHDKVFASRPYALATEIILYGPSDIGFTPYEEYWRQAKKLVTTHLLSANKVRSFSLAREEEVSMILAKIGEAAAAGTAVDMSGLFGSFTNDLACRAVMGKHFRNKGLDIQLQELIGDTSSLIGGFNVEEFFPFLARFGVLSKVVRSKSERVRRRWDKLLDGLIQEHEATASDPKDRDDFIHVLLSVRHEYGLTREQMKAILLDVFFGGIETSASTLDFTFAELMRKPDLMRKLQAEVRSRVLEGQVSEADIANMTYLRAVIKETLRLHPVAPLLAPHFSMSSCSIDGFEVPENVRVLINAWAICRDARSWKDAEEFVPERFLDDGDAAGVDFKGNDFRFLPFGAGRRMCPGMNFGIAAVEVMLANLVHRFDWELPLGQERRDIDMSEVFGLVVHRKEKLLLVPKLCV >Et_7B_053496.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:23190116:23190505:-1 gene:Et_7B_053496 transcript:Et_7B_053496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAPPPSSSSSTGSVTLTVDPSPSSSSAPPPTAAPAPSEAVVLRLKRRAKKKVTWKEGTVDNECLGRKSSKKCCIFHKEVPFDEDCSDDEGPSGGGGRRCPQGDAGEGTSGGGGEGCSSHDHNHHHH >Et_6A_046156.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:5083935:5084108:1 gene:Et_6A_046156 transcript:Et_6A_046156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNVQELDLVMETDSKALVDQWTSKINDRSAISSILSDIQELRNNFSLFSIVFVKL >Et_8A_056983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19885563:19888655:1 gene:Et_8A_056983 transcript:Et_8A_056983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSELQARARFVQSSAANAGVHFDEDRWLSRVRQTLEREAADALGAAAKVFDVPRVLKATRPEAYLPQHFALGPYHHHRAELRDMERYKLAAAKRVTKLFAGGHKFDHLVQRLLEAQDKIRAPYHRFLELSDQTLAWMMAIDTCFLLDFLESYHRDEATDMVSSATNWINATVRDAMMLENQLPLFLFAQALALRHATEQAAAEALNAVFTRFIREVCPIKTTAELAVADIARHAHMLELLYHFLVPAAAVFEEDGREPPPMVPEEFTVDMFDDPTQQLPDYDKVKQACAHVSGLNMAPVRFIKKNLIARPMSLASSLPGRIIRKVPVLSAVVPLVSKFMASTDVETRLKDVNLGSILNSPLAQEIMIPSVAQLERWGVRFTPAPEGIAGIAFDAATATLSLPIITLDANTEVVLRNLVAYEAVAVRGPLVLARYTELMNGIIDTPKDIKILRQSGVVVNHLKSDKEAADMWNAMCRATRLSKVPRLDAVIREVNAHRSRRAAARAQKLLKKYVFRSWRILTLLAAVVLLLMTALQTFCSVYPCKSWFGSVFQLPQLAGDQGR >Et_8A_057194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22129076:22134232:-1 gene:Et_8A_057194 transcript:Et_8A_057194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSPGVPLRALLVLVLGLPLLSLLVLHHRPAPLPPPLLTHAQTQPAAAASHRAEEVKAKVSSPSPFSSSTKSPPPVIAPHEDEEEEEPTTLRHVVFGVASSRRTLPLRLPLLRLWLRAPARAFLFLDALPAPNAAAAAAAGDLPPGLRLRVSADASRFPYSHPRGLPSAVRVARIAKELVAGLAKEDEDPPPPTPRWLVLADDDTAFVLPNLLHTLRRYDWREPWYLGARSESAAQNAWHGFAMAYGGGGIAVSWPLARRLARSLDSCIVRYPHLYGSDARIRACLAELGVELTHEQGFHQIDLHGDISGLLRGHPLSPLVSLHHLDNVYPLYPGMDRTRAMQHFYRAANADPARILQQTVCYDRSRSLTVSVAWGYSVQVFKGNVLLPDLLSVQKTFVPWKRGRNVTDVYMFDTKHYPRDECKRAALFFLKTISSRDGKTETTYNRQPSRKCSPDLIHMRNIRVIKVTSEQLHLVPGKALRRQCCDIVPSSSDNKMDVNIRKCEDDELIAMHSYGTQQLAIFIYKQKLAISSQHKRSDKSTDPTLFSNPLPSSTLPAFIWKGAKAPKHSSHQVLASPTIESSTL >Et_2B_021649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:392022:394843:-1 gene:Et_2B_021649 transcript:Et_2B_021649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAEVPVAAPVRSVKVTNVSLTATEQDIKEFFSFSGEIEHVEMQSGDEWSQVAYVTFKDAQGAETALLLSGATIVDLSVIIASAPEYQPPPSASAPPMSGTRVPVGGDNVVHKAEDVVSTMLAKGFVLGKDAIGKAKAFDEKHGFTSTAGAKVASIDKKIGLSEKITTGTSLVSEKVKEMDQKFQVSDKTKSAFAAAEQKVSSAGSAIMKNRYVFTGASWVTNAFSKVTKAATDVGTMTKEKMAAEEQHKGSGASTGGHSYTPIQ >Et_3B_027391.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11068268:11068687:-1 gene:Et_3B_027391 transcript:Et_3B_027391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARVVAGYMEQLVADDDKEGRPLVPRYIVMGERKRHVVEKPQGYRVKRDVLNNHFSDLITLDRVWQLAEHGDGIFADRPELRDLCLSFSLFKILRRRLSGYPLADAGSGQALEFVLRGMDTVGTPNSDRVFRVLVDEL >Et_3B_027948.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27854037:27854279:1 gene:Et_3B_027948 transcript:Et_3B_027948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSIEAAAAVGSGYGCGGWETPKREECRIPATLPCPAAPRKAVPDFGKRRSPPKNGYFQPPDLEALFALAPRRQASSCA >Et_7A_050641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9315733:9316284:1 gene:Et_7A_050641 transcript:Et_7A_050641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSGGGASPSVAGSMTTPQSPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHAAACPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGRPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQQLQPPPPPPAAAC >Et_3A_025862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33478962:33481286:-1 gene:Et_3A_025862 transcript:Et_3A_025862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KQQRGEQVGIEEPKVPLGCTPHLLVLVERGEQVRLQWPGPLGRALPDMVDERLVVRPGVVHARGEPAGRRPAALGHDDPDVGRPGLVQRGVDGVHHGVEQVRVGEAVAVVPDRGARPERGVVEADVARVVPVREVRVHVGQQRRAGARQVPRDAAHVWLGERSHGRRVRVGDRVQAHGRRHLDDVAEAGRGQGVFQVLHRREDVLGIPVFDLVADGDVQDLHVGVKSPKFLSVSSPGFWFSLNMANTYVSNGPGLLGVPLSTWLIKFWTYVCAFCTLAAVPPADGHPLSDTTTLTLAAPAFSSAAYTESTMASNRLVYTIPLPASLVVVPGRKSA >Et_5B_044903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7154565:7158448:1 gene:Et_5B_044903 transcript:Et_5B_044903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRRPLAAALLPLLFLFASAADTISPNSSLSDGQTLVSAGGVFELGFFSPATSSTARFLGIWYKGISPATVVWVANRESPIPGTTTTGSLSVNGTRGLVLADGSGRVFWTSPNVTGPRAASAAQLLDTGNLVVRGGAGGDVLWQSFDYPSDTLLPGMKLGWDLTAGLDRKLTTWRGAGDPSPGDYTFGIDVRGVPEGFIRGGGPAGAPVYRNGPWNGLQFSGEPEMEPNNSNFRFEFVANATDVYYTFVLADSDSGDNVVSRFVLNGSIVQRYVWPPGAQTWSLYWSLPRDLCDDYAQCGAYGVCDAGGGGAPSCGCPAGFAPASPRDWALRDSSGGCARRTPLNCTGGGDGFLPLRGVKLPDTTNATADAAITVDQCRRRCLANCSCLAYAASSIKGAESGCIMWTSLLIDIRRFSYGGQDLYIRLAASDLPSDGDGPRKKNMVVAVIVSLSALLLVGIGGFFVWTKFFKNRGTTFQNTQRFNSFDSSVPLTPVQERSVEDESNRSKDLNVTLFDISTIALSTNNFATSAKLGEGGFGTVYKGELEGGQTVAVKRLSKYSTQGLDEFKNEVMLIAKLQHVNLVRLLGCCIHGEERILVYEYMENKSLDTFIFDKSRSSQLNWSKRFDIILGIARGLLYLHQDSRYKVIHRDLKAGNILLDKDMNPKISDFGVARIFGDDTNSHTRKVVGTYGYMSPEYAMDGVFSVKSDVFSFGVLVLEIVSGRKNRGMYSSGEQTSLLSHAWKLWREGNAVSLLDEAVAGAGAFRGSEVLRCVQVGLLCVQDRPEDRPYMAAVFLALGNPGAVLPQPRHPGYCTDRGSASTDGEWSSTCTVNDLTVTVVEGR >Et_9B_066178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5806305:5815099:-1 gene:Et_9B_066178 transcript:Et_9B_066178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRTPTKTPSPRPLAGNVTPTKPSPSPVARRHPPLRRRSAAKRRGSPLKSLASAPAAVAATFDRSLRSCRRRLLKLFARLAVLGSPRKRRAVAAGFQRLRSPSPPPPPQQKAPVRAQSAALPPPATPGRRTLFLDLDETLIHSQTDPPPAGYDFAVRPVIAGQAVTFYVAKRPGVDAFLRAAAERFEVVVFTAGLQEYASLVLDALDPDGEVFAHRLYRGACRDAGDGRLVKDLAATGRALDSVLIVDDNPNAYALQPENAVPVAPFVDDDNDQELQRVMAFLDVTAGFHDTREAIKYYKELIGGLLTGEDCRIRRDGVAENACQNTERLLRQIQMADHENALQESTGALEEGRGRGGQRGRENLEQDGTSNHSMFSVQFVQKILAEFIGTYFLIFAGCAVVVVNQTMGGVVTLPGIAVTWGFTVMVMIYTVGHVSGAHFNPAVTVAFAAVSRLPWKQVPAYVMVQVVASLAASITLQLLFGSAQEHFFGTVPTGSALQSLVLEFIISFYLMFVICGVSTDKRAIGEISGLAIGATVLLNIHFAGSRPLQKLFLFLTPAIAPEKVAQSPWRRGGVAGAVQPGAEPLTTRHQRQRCRRASMNYRATFSDADNGGQN >Et_1B_011460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22562605:22566211:-1 gene:Et_1B_011460 transcript:Et_1B_011460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSASATASLSIAAAGALRIRQPNARAPAWAATSQRQQRPLLKLKPCASLSAAAPPMWRAESDGGGGGAGTGDVMGLLLRERIVFLGNEIEDFLADAIVSQLLLLDAIDPESDIRLFVNSPGGSLSATMAIYDVMQLVRADVSTIGMGIAGSTASIILGGGAKGKRFAMPNTRIMIHQPVGGASGQALDVEVQAKEILANKRNVIRLVSGFTGRTLEQVEKDIDRDRYMGPLEAVDYGLIDGVIDGDTIIPLEPVPERVKPKYNYEELYKDPQKFLTPDVPDDEIY >Et_1B_011944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27406113:27413498:-1 gene:Et_1B_011944 transcript:Et_1B_011944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQSGGDLLEAGLLGPAAGGGKQDEEAAASVTTTSGRPAARQEASPRAWRRAAAQLREVLLGTRLFPLFSAVPLAVAAEHLHLGRAWVFVFSLIGLAPLAERVSFLSEQIADTAGPTAGGLLNATCGNVPELIIALFALHKEKLEILKWSLLGSILSNMLLVLGSSLLLGGLANAGKERPLDRRQADVSIGLLMLGVLCHILPVLSKYTISTGDGAASSVSNLELSRLSAIVMLTAYFGGLVFQLKTHRQIFEQEEDSSESSSTSSDDDAADNTVIGFASAVIWLIGMAAVIAMLSNYVVTTIEDITLGIALGSATQISLLVVPVILIVSWVNGIPMDLDLNLLETGSLVMTVFTTAFTLQDDKWHYLKGFNLTLCYIVIAVCFFTIKALPRRIHIPVSRKNIWYRRYKNV >Et_4A_032089.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:16642543:16642782:-1 gene:Et_4A_032089 transcript:Et_4A_032089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTASSAAIFVLTVAILCGGLVAAVDAARQLPDARVESQEMTAAPVAYTTLHKRAWSTTATAWTQQLPSGPSSRGPGH >Et_10A_001655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6465458:6467929:-1 gene:Et_10A_001655 transcript:Et_10A_001655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding REGPNQERASADPEELVTTEGDGKSTCTRLRFLDCRMKSPIYHGKEIKSDINEPIKIGIFDGNGMIKSGPLSEAKVEIVALEGSFPYDASDSWNAKEFDKHRASGRYGQRDVLEGEGTRVKLMKGECNLRNIRFREGSCRARKGKFIVGARVCDDQAIDNGKSHPPNLDDGVYRLEKIAINGEYRKRLEKENIFTVEHFLKALHKDEDNLARILNIKKKCKDWDKMVKHAQECCLEGKRELKSYRCAERNVVLFFNCVHHIVGAKFGSCYIACDMFSPRQQVLVDQLKKHAYVQLDGLNEDHVMTDNSPMPIDVDADAGAGTSCMPGATQQIVLGPRVAVHQGTPTIEVLSPHAPTEPLCANANNAPGPSSSISDPHSQHNYQAYSSQNFLPAEGLSRGLNEPPCAIANNGSGPSFCAPVDLRTQNYEGQGTRLSGQEQDFSVDIQSILWQGNGTFEVSTSTHNNMASAHINMASTSQQLTNLGICFSSPHFQSQYNV >Et_4A_035813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6665031:6667931:-1 gene:Et_4A_035813 transcript:Et_4A_035813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSLCFVVAVFLAASTAGEPLPPAALSFGDGYTQLFGDSNLALHGDGKRVHISLDERTGAGFASRDAYLHGLFSARIKLPADHTAGVVVAFYMSNGDVYERTHDELDFEFLGNVRGKEWRVQTNVYGNGSTAVGREERYGLWFDPTEDFHQYAILWSRDRIVFYIDETPIREVVRTESMGAQFPSKPMSLYATIWDGSSWATSGGRYKVDYKYAPYVAEFTDLAFHGCAAGRTASACARAPDGGAMSPAQRSAMEAFRARYMTYGYCYDRLRYPAPLPECTVGGEAAAFLPSGDARASSRRHGGRRHRPRGGADSAL >Et_7B_055043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6755083:6756132:-1 gene:Et_7B_055043 transcript:Et_7B_055043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTGDADDFALDFIREHLLGGGVPVAGQVASDDASFPVQPIPAAELAFQPMPFLAQQQHQGYIDMTQEYMSTTAPSASGEAAFRAQEPAQPVMIKFGSEPSSPATHPLAVSVPPGSYAWAAATAATAAPAAPVDDFRKYRGVRQRPWGKYAAEIRDPKRRGSRVWLGTYDTPVEAARAYDRAAFRMRGAKAILNFPNEVGTRGADLWSPPPPPATQGAAANKRKRQQQPKEEEDPDVEVVAVVSSSTTTSASSVSSTRETTATASSAVTTSTGTTTAEAGGGAAGGGEGFPVTPSSWSWEQYWEALLGGLPPLSPLSPHPSLGFPQLTVS >Et_2B_021863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6205492:6206078:-1 gene:Et_2B_021863 transcript:Et_2B_021863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIKILAIGIYNLSIDLVINLLSCFPCLEKLYIQSRVSGDKNLWRRKHRILLRTLDIRLKTVVLKNYRGIKSQVNFATFFVLNAKRLEVMRFEGGINDDDEIYIAEQRRLLQLEKRASGGARFYFTTDHGCQHSYNLTHVRHVRDLSTTDPFECTC >Et_3B_027549.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27041568:27042890:1 gene:Et_3B_027549 transcript:Et_3B_027549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGRGRALRRGVEHGATAGGAGVVRVEPGVDAADVEAVGAAREDAHLLAVGELAEADGADVGGDDGVGAVHLDGDAPERLLLEPPYGAASAVLQLTPRRRAAARPADEDPRERVEPEGEEEREQQRGEDDDHVGVEAGVADAPRAAAQRVLRLRRAPRRRRAHVPAHRPHVHAHGGTDKCAAPLPPRCLTLFLLSLDTPGAITRRRYRFPRKRRGLCSHSFGCCWLLPPDYQLFGCCHQTTSCSLALGCLANSVGLQKWCGSLLSARSRLVIERSRARSRRVGFWYGRWWRSGASPARTAGGGRFYSWTRGGGLLGGGSIRCHFTVGPPKARASHEKYLSRSARLGSAPPVAHALGGANQEATRVCSADACMLQRARAFESRSRQLGAPPSELQLVGRSEDLSSSSALSRLSRMFCFQFPALFLFSCGDLGAHKAEAVV >Et_10B_004430.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:715957:716505:1 gene:Et_10B_004430 transcript:Et_10B_004430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAASSVLLLVLAAFAGGASAATFNIRNNCPYPVWPAATPVGGGRQLNSGETWNLNIPAGTSSGRVWGRTGCSFNGNSGRCQTGDCGGALSCTLSGQPPLTLAEFTIGNGQDFYDISVIDGFNVPLSFSCSNGPNLVCKADKCPDAYNFPSDNTKNHACNGNNNSYQVTFCP >Et_7A_052821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1824456:1828589:-1 gene:Et_7A_052821 transcript:Et_7A_052821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPGKAVILLQGRFAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFIKLVNFTHLMPTRYTLDVDLKDVAAGPDALATKDKKVTACKAAKARLEERFKTGKNRISHNYSRATKLLRLNQELSYKLEQRNNPIINS >Et_6A_046033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19437209:19439647:1 gene:Et_6A_046033 transcript:Et_6A_046033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGTTVARTTAPALLSAAGCTKGTPCWSSSEASPRTLWVSLPRGSSPAANNGTAAGGEASCAATVLANDEEASLVGEISPSLLSLKHLKSQNNLQGPSSRLPEFLGSLKSLRYLNLSDIRFHGRVPPQLGNLTNISWLTRLPSLRVLNMGNVDLSMVLDWTHVVNMIPSLTVLDLSHCSLKLANESIPHLNLTKLERLVLFGNSFSHPVASCWFWNLTGLRYLEVGGTYLNGKLPSDIGTLNNLIKLDVSNNLLIGIVPSDIGKLGNLTGLNLGGNNLTGVITQQHFASLKSLTAIDLSSNSLKIVVDPEGLPPFRLQSAHFSSCEMGPQFPTWLQSQVDIFDLDLSSTSIFDNLPDWFCTTFSNVWKLNISNNGINGVLPINMEVMTSLEKLHLNSNQLTGEIPKMCQLQGLSVLELDDNLFEGEFPPCFQPSFETIIASNNRFSGKFPPFLQRCRNLYILDLAWNNFSGELPMWIGDLVNLEIVRLNNNNFSGNIPATITKLTKLLHLNLAANSISGILPFHLSKDPDMNLTVGIKGNERYYEEHQMWNMVSIDLLSNSLTGEIPEEVTSLSGVINLNLSWNHLCGKIPLNTGAMQLLESLDLSENKLNGDCLKVCQI >Et_4B_037121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1498507:1501115:1 gene:Et_4B_037121 transcript:Et_4B_037121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAVVLRDWFDRVDTGRTGNITAPQLQSALAVGNLDFPISVVQQMIRMYDFDRNGTMSFEEFLALNKFLQKVQGVFSTLESGRGFLSLEEVYEALIRLGFSLDSPAFYTVCESFDKSKKGMVHLDEFISLCIFVQSARNLFNSFDTSKQGRVTLDFNQFVYCSEFPFPISMSRLLAHTLSLKLYVSAIMVQRRTAGYSYFWALGHC >Et_8B_060086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5820917:5825489:-1 gene:Et_8B_060086 transcript:Et_8B_060086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSKKSSSSAAAATAGNAPSSDPSPSSPIFLLLDRFSRAGGFGFQIARLDGGLLTLGRSNLDAALRGAALVGSDYWGTEQVNEKQNRKRKGVSTDLTSRKAQRGPTKAVSKETERIDQLFYTYADRASGMIDPEGIETFCSHLEVPHTDVRILMLAWKMGSEKQGYFTLDEWRTALKALRADSISKLKKTFPELVQEYQSEYKVINMDQWMGFYRFCNEISFPSLDNYDSDLAWPLILDNFVEWLQENKTVKMSSKCNCLEALEDADSAWVRLLRWGLAPEIINCLLGLSGFGALIRRP >Et_5A_041867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3666320:3668453:1 gene:Et_5A_041867 transcript:Et_5A_041867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGGGGGGIDDDDRVAHAQIPTSFGPELRACLRCRLVKTYDQFRENGCENCPFLEMERENDNVVNCTTPNFTGIISLMDPSRSWAARWLRIGRFIPGCYTLAVSEELPEEYQ >Et_5B_043726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14799367:14805984:-1 gene:Et_5B_043726 transcript:Et_5B_043726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWIRPEVYPLFATTGVAVGICAMQLVRNITTNPEVRVTKENRAAELRRGAALLAARGAQVLALQAPRLHAGLGQRPHRPNNTQQVEQSIMHGQDHHEGREREIGSMASAGAWSKRWIRPEVYPLFAATGVAVGICAMQLIRNITTNPEVRVTKENRAAGILENFDEGKRYSRHGFRQFIDGKRPEIMPGLNSFMADPKQWIRPEVYPIFATTAVAVSICAMQLIRNITTNPEVSMELAKYLTYYGFPYRVTKENRAAGILENFDEGKRYSRHWFRRFIDGKRPEIMPGLNSFMADPKDD >Et_2A_014568.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23616325:23617018:1 gene:Et_2A_014568 transcript:Et_2A_014568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEARDRRANRAMLRSVLSAVVPNCAALAHVCLQTGTKHYTGPPEAIAARRIVGGVIDGILVGGGAGGSSSINKPPYTEDMPRLAWRSLYYDQEDVLFDAVSQAPRGRSISWSVHRPNLILGSSPRSAMNIVCSLCVYAAICRKEGVPLRWPGSRGAWEGFSAASDADLVAEQQIWAAVDPAARNQAFNCSNGDIFRWEQLWPILAGRFGLEWVGYQG >Et_1A_007564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35947180:35951233:-1 gene:Et_1A_007564 transcript:Et_1A_007564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAVQAVEPRSAAAAAAAAATTKPQEALAVARGGGGGCGGQARAAATMEHVLLALRETEAEREARIRGVFGFFDAAGRGHLDHAQIEAGLAALRGVPEGSSTGAEDYAHALLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDIEHNGCILPEELWHALVKAGIEIADEELARFVEHVDKDNDGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAAIPEGISKHVSASKYLIAGGIAGIASRTATAPLDRLKVAMQVEKSHTTIAQAVNSIWRDGRFLGFFRGNGLNVVKVAPESAIRFYTYEMLKEYITKSKGENKNDIGTSGRLMAGGLAGAIAQTAIYPIDLVKTRLQTYKGSRIPSIGALSRDIWMREGTQAFYRGLVPSLLGMVPYAGIDLTIYETLKEMSKTYVLKDSDPGPLVQLGCGTISGALGATCVYPLQVIRTRMQAAPKTAYSGMTDCFWKTLQEEGKSAFYKGLVPNLLKVVPAAIALHKRALLEYVVRLYNRYIAVINQDSYNLVTDMRNVFERW >Et_9B_065729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9805567:9808510:1 gene:Et_9B_065729 transcript:Et_9B_065729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLCRFIVLYYVDKETRCQPSVRLFLTRGHSQIGELNTAGAAWYLTTAGSHHVTGNLDLLTHITPVSDRWVRGILGIGPPFQVLALGSVNSNGIVLQDVWYVPGCDMNVISGLQLGLTLYFKAGGCSLLHPNDNSGWRSSYWGLWTVGGGLHQSHGAAWYIASNVSQHMTGSLEPLTNFNPIRPSYTIQTHTGARLQRISEDWAIQHSKRIIYVPGLGENIISICQLNDTGFVTFGADGFPFFFCEGADGFPDGFTVKKRHDGKVVGKGSYGGNQLFHLDSLMIPIGK >Et_1B_013960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25447320:25449789:-1 gene:Et_1B_013960 transcript:Et_1B_013960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWVSVLLGVDGAAPASAGPAAVGLGDLPELCAAEVLLRLDPPEICRLARLNHAFRGAAGADFVWEAKLPENYRYLMEFVGTGEEGRRRRRLAGKKEIYARLARPVPFGDGTKEFWLDKSKGQICMALSSKALVITGIDDRRYWQHMPTSESRFQSVAYLQQIWWFEVVGEVDFCFPVGTYSLYFRVHLGKFYKRFGRRLCSSEHVHGWDKKPVRFQLSTSDGQHTLSQCYLEEPGSWVLYHAGDFVASKPDQPMKLKFSMAQIDCTHTKGGLCVDSVLIYPKGSLQQERVVSRSCSLPNRLVDKANGMNSIVGYFAPVRAQRRWL >Et_3A_024699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23338332:23340416:-1 gene:Et_3A_024699 transcript:Et_3A_024699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFALMTGRLLTEATLRSAIHDAVGDPSTTAGYDQPDPSAAPEDVHLGKPKSGVMYAHRVCVQRWCNEKGDTICEICLQQFTPNYTAPLKLFRHGRNAISVRGPGERPENRSSSYDQTTDPADGVPSFDTQNFNPKCIIYCRVAAIALMALLVLRDAISLFLSDTQVYSMELITLLMFRTAGIVIPVYIILITATALLHRCNQQQVVHRSPVPEPGGGRGLQPPPPEEQHVINIR >Et_1A_005962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1616016:1616346:-1 gene:Et_1A_005962 transcript:Et_1A_005962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSLLVEVSNVSLTWLLPSHKTFMIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >Et_8A_056370.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:509683:509997:-1 gene:Et_8A_056370 transcript:Et_8A_056370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLRRRNYQVNPNYSCVCCNNNVEKTRYHLIFGSCFSTRCRNKFRIQRQPFLTFIDEILLTRQNLQGSLFMQTVITAAWCSRECLIFCSVHTMISTSSGIILRMS >Et_4B_039769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28998450:29001837:1 gene:Et_4B_039769 transcript:Et_4B_039769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLPASAVTQEEIKKRYRSLFLVLHPDKNRSAAADGAFKLIRRAWEELSGDGPRPDPGFWPGTSLSRFRQQQPASIAGSAPVVGTVRSGLLGSARVVETRTRQQPARLLVVVVVPFEIVAAEIRKQARAHLLWALLLAVRGGGATAATASEGRSAPPPEPIFMDEDDDQEEAQPTPPPPRAAPPRRRNGTYFPCPGQCRRCGARFSSTVSVGTWHVSCTLCHLPAKLHVRRIIFGSLEHEECGGFCDSLM >Et_4A_034653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5111840:5115410:-1 gene:Et_4A_034653 transcript:Et_4A_034653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFDRWEKDPFFLAAEEVQESADRMESLYRVWMQERSGGDPEAAASGGVLAAGELRRELHTALGTAKWQLDELERAIRSNDAVILAGKDTRARHNDFVAAIAYRILEVENSLKESNVAEGRGPLSWVHLNEDERDDLAAFLSASPLQQRDKVVSIPSAGDIEVGSNTKRVRMDMSAESSKDSSGSTELGLGRVKEDMRPGHRRAASANADIGSWSISIPDECEGVAESDGPQNVPLLKIVKSSALTSTLQSKPRMKCKNGAVRWAGVDQQDVEEAAPLRSSQLSQDLDGCFERNKHCISTCDEGAYNKKLYGWLGVLHRQLQRSHYQIRYGHPVQLMVLILVALIICKFIPLIRSFFDFVSSCVPIEDNLVNSSLRHEQLTTTTTTTTDPGTVNHRLWSPRRHTARRLCQPLSSVSPSWALAVASPWTTDSQMPVQLQRAPRGELVLADGGLVLVVFSDHRRAMAHGVPQVALYYMLKDLARGAGSYKPGMGSCHAPSSRPISQR >Et_2A_015993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2015292:2017639:1 gene:Et_2A_015993 transcript:Et_2A_015993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLFLSLPAPSPPLLPVHLPKAAQTLPLASLVPSSRKASLPACSVASSRHSDYFDPRAPPPPPSRDGYSRPPPNGAQDGRVFTSYSIYKGKAALSFDPRPPQFVPLDSGAYKVAKEGCVLLQFAPAVATRQYDWSRKQVFSLSVWEIGTLLTLGPTDSCEFFHDPFKGRSEEGKVRKVLKVEPTPDGNGRFFNLSVQNRLLNIDESIYIPISKGEFAVIVSTLNFIIPHLMGWSTFTSSIKPEDSRSYSRPQSGPEFEWQR >Et_10B_004004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9083662:9084206:-1 gene:Et_10B_004004 transcript:Et_10B_004004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLKFPTVILLLVMVVHGNCQRCASSSIEIQQTNAGKKVGALDTLFVVTVTNRCGCAVKNVYLQSNGFSSSTPVDPKLFRRAGNGYLLADGQQIPSTKSVSFQYAWDHYFKITPESIQAEC >Et_1A_009252.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35449533:35450231:-1 gene:Et_1A_009252 transcript:Et_1A_009252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASLSALSTAAAAGKRFVLSSPSLSFSSGHRRPTTPAGLHLRAARPTTTRRRRAASASRVVATIAVGDKLPNATLSYFDPADGELKTVTVAELTAGKKAVLFAVPGAFTPTCSQKHLPGFVEKAPELRAKGVDTVACVSVNDAFVMRAWKESLGIGDEVMMLSDGNMELTRALGVEMDLSDKPMGLGVRSRRYALLADDGVVKVLHLEEGGAFTTSSAEVMLQTFSVTV >Et_6A_047488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6368845:6371812:-1 gene:Et_6A_047488 transcript:Et_6A_047488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLGPRRKRIRFCQADDSRADHKAAATASRWTELPAGLLSDVSRCLHTAIDYVCFHAVCRSWTKSLPREPWRPAFLPWLLTPPDAGGHWTACCVTGRVQCWRVVRADSSLLTDSGGAVGNTRNDGAGNHRLRWTPVPVDTDLQYVSRYVLESRGELLCVVVQIKSRFALDFPDVVLDCAVGSRLATGLSVSVHALREGESETGEQPLWVEKDGRSFADRIMFLGKPNSFVVDAARFGVSDGCAYFVTKRRVLFRHSFRDGKSEFIEQLPGEGDAGPFSWLTPQPAFSCIDRR >Et_1A_006991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29942002:29948823:-1 gene:Et_1A_006991 transcript:Et_1A_006991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAVYALPLDVKELERKLKKDQKAKEKEEKKLKAKQKEAARLQAQAASDGDRAKKSDKKQNKKGLTDENPEESIDPDTPAGQKKLLAPQMAKQYCPAAVEKSWYAWWESSRYFEADTASSKPPFVIVLPPPNVTGVLHIGHAITVAIQDAMIRWRRMSGYNALWVPGMDHAGIATQVVVERKLMRERNLSRHDLGREKFLSEVLKWKDQHGSTILKQLRTLGASLDWSHECFTMDEQRSKAVTEAFLRLSKEGLIYRAHRLVNWDCTLKTAISDIEVDHMDITEETLIEVPGYKSPIQFGVLISFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPQDERYMHLHGKYAIHPFNGRKLKIICDSPLVDPNFGTGAVKITPAHDPEDFKVGQRHNLEFINIFTDDGNINEMGGPQFEGMPRFTARTAIIDALKEKDLIRDIKKNVMKLSLCSRSKDVVEPMLKPQWFVRCDTMAKQALEAVKSKKIEIIPSQYEQDWYRWLENIRDWCISRQLWWGHRIPAWYVTLEDDEERDMGSYSDHWIIARNETDAILEAKQRYPGKRYQLDQDPDVLDTWFSSGLFPLSVLGWPDHTCDLSTFYPTSVLETGSDILFFWVARMVMMGMLLHGDVPFQKVYLHPIIRDAHGRKMAKALGNVIDPVDVINSISLDDLQKKLEYGNLDPKELETAKEGQMKDFPNGIPECGTDALRFALISYTSQSDKINLDIKRVHGYRQWCNKLWNAVRFAMSKLGEQYMPPAAIEVTSLPPICKWILSMLNKAVGKIVLSLEGYRFSEATSSIYSWWQYQLCDVFIEAIKPYFNESEGFESARGASRDTLWVCLDTGLRLLHPFTPFITEELWQRLPQPKGACTKDSIMISEYPAVVQEWTNDRIENEMEIVLDIVNKLRSLRPTTYIHERRPAFVLCRCPATAATVHCYQAQITTLASVSHLKILAEHDPIPPGCATHILNKDLSVYLQLPGALNAKAEREKLWKKREEIQRQHDALSQKMNTSGYREKAPLSKQDEDAKKHAALLEELKVIDEAESNLDASN >Et_3B_028744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18655682:18664514:-1 gene:Et_3B_028744 transcript:Et_3B_028744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEAKASGDGGDIYNVQAAEILANEARLLPINEAAPIYEKLLATFPTAAKYWKQYVEAYITANNDEATKQIFSRCLLNCLHINLWRCYINFIRRVNDKRGSEGLDETKKAFDFMLNYVGNDAASGPVWMEYIAFLKSMPAMTPQEESHRMTTVRKVYQKAILVPTNHVELLWKDYENFENTVSRTLAKGVLSEYQPKFNSAKAVYRERKKYIDDIDWNVLAIPPTGSYKEEQQCMAWKRLLAFEKGNPQRIDAATANRRVTFTYEQCLMYLYHHPDIWYDYAMWHANNGSVDSAAKIFQRALKAIPDSEVLKYAFAEMEEARGAMQALKQLVSTFWMLGSRQAALIMSTLLMLQWHFASTRMQRYADFLCHLNDDRNVRALFERALSLLPPEKSIEVWKRFVQFEQTYGDLSSMLKVEQRRKEALSRTSEDNTLSALENTLYDVVSRYSYMDLWPCSSKELDYLARQEWLAKNNVKSVDKLSMRSGSSMLGSVGLGASATFLPQSAKVVRPETSQMVIYDPRQMKGPDFSASAPSGYTKEVEEILKMLPPSTMSFIKNLPAIEGPSPDIDVVLSVLLQSTLPAVQNAGKAGATSDLSGVGKSGLNQNGSIHRPPRDGQSSRRKDIERQGGKEEEDAAAVQSRAALPRDIFRLRQIQRSRGGLGAAAASQSAGSSAFSFSGGGSAFSGDQSASTE >Et_9A_061485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12557283:12561563:1 gene:Et_9A_061485 transcript:Et_9A_061485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRGYKNIAAKFEQQRGLRHSKLQLKDRWEGLKRFYAFWLWCNKQTGLGRTPNGGIMAGDAFWKLHTKGHSEWRKLKNGPHDNIAELQIMFENTAVDGSTSCIPGEHMDDVGDVGEEGEEGYADGSPMSTGSMKRGATSNTTMTSPRKKTKSPIVKLIKGIKDSVQVTNAVAQKAMQGEYVVQSVKDLMRSDCWWHEQFACQMTSSFSDDSIENSSASDGEGDSLDSLSRSDDERDSLDSLSGSDDEDETIEIAKETLKRNATIACIFANWYMKDLFSFAALPQIKIK >Et_1B_010178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28155545:28156683:1 gene:Et_1B_010178 transcript:Et_1B_010178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDNDDLLGEILLRLVFPKNLVRAAAVCRRWLHLASDPAFLRRFLNLYPPRLLGLYVQSGRSPKFVSTPHPPAELAAAIRRAGSILDDASLGVTAVLDSQNGRLLVKLNDNAPAGDVAVLPPPLFATRSNIWTRHMRGMTADGVVYAAVRKWDLLRQKLIHGFHELRDGTWHNLSSPSLMLPSYLLPSLLTSRPFDGKLYLMVCASAIATLPSTLSRLPYLSIISLPDGVECRPLGNMKPWVDDSGLYLIHIKELQLHVWLHDMDSGQWLLQNTVCLCEVYGQLGVADLVPGDGLSTATDIKNRDVGHSAEFVFLQVGAVLHIHMKSRNAEKVYTVKPEESGIRLVPFMMIWPPNFPVIKQDSTQNK >Et_6A_047958.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2649901:2650755:-1 gene:Et_6A_047958 transcript:Et_6A_047958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGLRRLFEKPLPENPTLLEALSAWNHRSIHPKNPVDPASFTEIFGELHFQEKPDHHHRAVLPPPSSPAPAAARQLPPPPRSATTSWADAADQADKSKDDSSLDALLRPLKPSSTVMKRSASFCMKSLLLCTEGLGSESTVDADDMFKDGDAEAEAAALGGGGKDSPETDGGGAEVSEGEAAKATAEEKRPPPTFPPPIRSIGRVGKPSVCFRSFRTDGRFVLMEVVIPGKELLQAYREGGRLRLQFADGAAAATGVVVHKEMHGQDQGEGKELCMNEAQC >Et_3B_030376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3299263:3302584:1 gene:Et_3B_030376 transcript:Et_3B_030376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVENAAASEASGGANGVVRLVENAAASEASGGAVRKRKALVHTPSGQVVRSYAQLESELRALGWERYYEDPSLYQFHKRGVLDLISLPADFNRFSSLHMYDIVVKNRGSFRVVDI >Et_7B_055129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7554448:7555819:-1 gene:Et_7B_055129 transcript:Et_7B_055129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGRNPPLRADTAGTGPFLPAATRAAVAEFIATAIFVFAAEGSVYGLWKLYTDTGTPGGLVAVAIAHALALAAAVAVSIGASGGHVNPAVTFGVLVTRRISFARAVIYWAAQLLGAVFAALLLRLVSGGMRPTGFGLGRGVHERHALLLEAAMTFGLMYTVYATAVDRSRGIGAVAPLAIGFVLGANILAGGPFDGAAMNPARAFGPALVGWTWRHHWVYWVGPLIGAGLAGAVYEYVMVEQQHEAPAAAAPRMPLAAEDY >Et_4B_036477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26008237:26008812:1 gene:Et_4B_036477 transcript:Et_4B_036477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQEALGLDFAAPPSCGGARDQLDIITSGFTPWGPDSCPTLEQPAALTPEGDADDDEEEERRRQRRKMSNRLSAQRSRARKQQRLEELRATAARLRAEKEGLAARLRDLARHDLAVRGQNARLRAEAAALARRLLALQRLAHFLPPPQPPQPMMMMTPQHAGGAAPAAPVGLLASLMT >Et_9B_064252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13349067:13352110:1 gene:Et_9B_064252 transcript:Et_9B_064252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRARRRRVRRKGVGVRRVAGRQRVGHGVDDGGWGAEDGDGGASCFDLEAGAQRKCSNHGAADAPGLRVPVPRHVFLLRRLRRHGAPQAKPKTLTTTAHCPYPNPFLGNTVEFVRNRRRFFDRYADLLRAAPSSTIEAWGPFGAGHAVTTASPADVDHLLRGNFGNYAKGALFRDATAELIGDGLFAADGRLWSLQRKVASYAFSSRSLRRFADGVLAAHLHRRLLPFLDAAAAVEGGEAVDLQDALRRLGFDAICHVAFGVDGSSLVWGADDGKQHKALFAAFDAALEISFRRALTPATWVRRLTKLLDVGNSRRLREAVAVIDAYAMSVVESKEEARQRNGLDEDGDPDLLSRFMSAMDDAEGGNELATMFPTPAAKRRFLRDVVVSFVLAGKDTTSSALTWFFWLLAANPRCERRVHEEVSSSGAGGDVRGMRYLHAALNEAMRLYPPVPFNGRVAAADDLLPGGAAVRAGWHANYSAYAMGRMVKLWGEDCMEFVPERWLGAGGEAVPVDAARYPVFHAGPRVCLGKEMAYVQMKTVAAAVLRKFRVDVVAPAASMMMPPAYEMTATMKMKGGLWVQLARREESAE >Et_1B_013596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9845394:9851399:-1 gene:Et_1B_013596 transcript:Et_1B_013596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSHTAGRHPVLHRQIAAAEPSRRPHLHATGSWRTGCTMRLADCKAPDAVTWEPFDREYKIIHGKDIPRDVPEMIDSIRAALKSIGDGEINVSAYDTAWVSLVKNVDGGDCPQFPSSIDWIVRNQLADGSWGDKKYFLVHDRIINTLACIVALKSWNIHHDKFRKGVSFIHENLWRLKEADDDWMLVGFEITFPALLEMAKNLGLNMPFDEPVLQAIYAKRDRKLAKIPRDLLHTTTTTLLLSTEGMRNLDWERLLTLQCQDGSFMSSPAPTAYALMQTGDKKCFQFLDRLVYKFSGGVPFTYPVDIFERLWVVDRLERLGISRYFTTELTNFLDYAYRQWTQEGLPATRDCPLNDIDDTAMGFRLLRLHGYCVSAGVFKNFERGGEFVCYPGQSNQSVTATHNLYRAAQVAFPGEDELRRANTYSRAFLNERRASGKLKDKWVIAKDLSGEVAYALDFPWRASLPRIETRMYLEQYGGSADVWIGKVLYRWNSLRAQYIQVLASTTHSASTLESELSEFCRMPFISNDLYLEAAKADFSSFQRRCRLEWHGLREWYDKNNLGAFGVSSESALRAYFLAAANIFEPSRAAERFAWARTAMIAQAVSWHLQCNGCSDSKRERVIHKLENHGRDELARSGSAVCTPHRILVQELVYVTKYKGTGCRGQKDPTEKALLSALFGVINLAADGNASYDLREAWKRWVRSWTAKANHESCEGSTALLLVHTLEICSGRHRLTEKNWNVSEYSHLEQLTSSICSKLASIIVARNGVNTEKSGSEVDLEMQELTQCVLQSCSSTNKVTRLTFLHVAKSCYYVAHCTPQTIKNHISKVIFEDVI >Et_9A_062845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5767848:5768282:-1 gene:Et_9A_062845 transcript:Et_9A_062845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQQGIGFIWKLRKGERRNAGR >Et_7B_054077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14987061:14995305:1 gene:Et_7B_054077 transcript:Et_7B_054077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRSFQSSENGAVASCVPAPLEAAILGMAAAPAVVNRGDGAPRRPSGEVVGLCGLGLVVIAGVPYGLSWCGAPYELGAFPLSINRFVQWQIMAINLWSDLPSDLLGRILQLLELPEALSVSAVCTSLAWCGASHGWLVAVDELSNLLLCNPFTFATIPLAPIRDIDCVEAVHDSEGSIVGYLSGKNKNRKVIGAKSIGTWFYQKAVLSCAPSKGGDYTTMLIHYDLNQISFAAAEGRWRLASTIPQESKDRYADCVYHRGRFFAVTTRGILEMWDLDDGSCEPAKSVFFPSGDGKYRSVFLRFLVSTPWGDLLQLRFLRLSHGTKRIKVQVRLVDVKNNRLVKLRSTSVFQEHAVFVGQNHSACLPAKNFPELKPNCVYFTRYWLMNRENWGFGSQGVGGVGIYNLQNQVLEEAFPSYDHEYSDYLLPSEVWITPNL >Et_5B_043256.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20446225:20446887:-1 gene:Et_5B_043256 transcript:Et_5B_043256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSIGFGNAYFDTIPIYLVVAVLVLAEIRDIVLYMSSNWTKVRSSDLQLCQSSFVAEISNCAETYWLCVQAFKMQAVEQLGTENEPVLNTGAPTRQQPWNIAVLVMRLLHMPNQKKIMISSVVKKAIFEALQGLASKQEGLVPEVYATYSTSLKKSMFASSPYVLFLILHISACNVFAAHWATKASDFWLHCRHRSRPPTSTRRPTYRSHHSRVAHHHKHF >Et_9B_064311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13976736:13981758:1 gene:Et_9B_064311 transcript:Et_9B_064311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFTDIGVSAGINILSALGFLLAFAVLRIQPINDRVYFPKWYLKGTRSSPRTLGAFSKFVNADLSTYIRFLNWMPAALKMPEPELIEHAGLDSTVYVRIYLLGLKIFVPIAVLAFAVLVPVNWTSGTLETEKSVTYDEIDKLSISNLGKGSKRFWAHIGMSYVFTFWTFYVLYHEYKVVTTMRLRFLANQNRRPDQYTVLVQNVPPDPDESVSEHVEHFFAVNHRDHYLTHQIVYNANHLSGLVEKKKGLQNWLIYYENQHAKHPAKRPTMKTGLWGLWGRRVDAIEYYQKEIEELCKQEDEERQKVITDPNSIMPAAFVSFKTRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWANLAIPFVELSIRRLLMAVLLFFLTFFFMVPIAFVQSLANLDDIERRLPFLKPLIERNSLKSIIQGFLPGIVLKIFLILLPTILMTMSKIEGHISLSGLDRRTASKYYLFLFVNVFLGSVITGTAFQQLDNFIHQSANKIPEIVGESIPMKATFFMSYIMVDGWAGVAAEVLRLKPLVMFHIKNTFLVRTEHDREQAMDPGSLDFYNSEPRLQLYFLLGLVYAVITPILLPFIIVFFSLAYLVFRHQIINVYNQQYESGAQFWPDVHRRLLIALMSSQILLLGLLSTQEAEKSTVALLPLPVLSLWFHWVCKGRFEPAFVKFPLQDAMVKDTLERAHDPTFNLREYLKGAYVHPVFQKNDIYELVAIDEEEKNPLVVTKRQSRMNTPVGSKFTSSSGTNEGEFSRLPT >Et_5B_043371.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:9513001:9513180:1 gene:Et_5B_043371 transcript:Et_5B_043371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCTKRYCPGTFLATAVRTRSRSFYVTQQLTSADPSAPRATHLFATVTCNGKCYLIKL >Et_1A_008409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7442890:7448628:1 gene:Et_1A_008409 transcript:Et_1A_008409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAGASSCDSLRNRFQGIKARSRMRERSVLGADTKSEQQLVPNATTAYTAETLVAMDYLEAHPAPAVHNNQIVRRNARMEMQRNSPYHDDALTELGDRVRVEEAAALLEGAIVVVGRDAPEGEIAHAALDQDGREARLSGPRVGLAVRDGECSETLLLGGELEVGYPAEYAELPRLEIGRRGAHYRQWRRRLVGGESRCPSVLRIGTAVVRRMYRAERAAMMTCMAAHDGRTETKSSESPAGW >Et_1B_010097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23905659:23906594:-1 gene:Et_1B_010097 transcript:Et_1B_010097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCKHLLLLLPKQGLLEAQLRIDLLDVGERGPHGREAPEPVPDVEEQVRQVEQLPLVLHDAGRRRRRGLRSSSSRRWRRHRRGDAITGLFLDMARRCRCGGLRRLRGRRRERGGQCGGGLDVLGRGGRGAREHGGEAREVEVRGAALDGERGDVVGTRGHLGGGEGAEPDARLLARLADLRHPLAPRAHTHAAVRRVLPVAAPLPLLLRRRLPRARFFFFLLLIFLPAGVGLGLRRLPLPWRGRGISLRRRRRRGRRVGDGGRAGGRGAGGGGRGGGRFLELLSHGCLASRAELCAGSAGG >Et_6A_046979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23563294:23563668:1 gene:Et_6A_046979 transcript:Et_6A_046979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINPHTAEPSLYDVLYDAKHSSSAGQSTRIRVGSCTRIPVVPCSYVTLGSPSQFCSEGWYTYYMPTEMLPWKREYDAMAEMWSLGFVMAELLTGKPFVDGNEDHGQILKVFRVLGVPGPRT >Et_1A_006149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18555905:18557089:1 gene:Et_1A_006149 transcript:Et_1A_006149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIINFLVFFNDSYLKKALLAKDEIIDRLTSEKQTMHMELHNMETALRKFQDIFSSIGHEGMKSFSTVSEGHDVENVNEKQLESNGRVRSRSRPPASPRRLAYHQPLAGAHSGSGDHHHGLALPGRCSLSLTGVVFLFPIDPRS >Et_4A_033399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22273281:22275335:-1 gene:Et_4A_033399 transcript:Et_4A_033399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEDDAAWERAIAAPSKTASSASAAPKTLTLDGAVKSTTGRLPSPAVLERVAGSLEELSVAGARLSSLAGLPRLPALRRLSLPDNRLSGAAALSAVAEACGATLRHLDLGNNRFAECPVTKVKGYREKVFALIPSLKYLDGVDAEGNERLETDDEEDDEEDDEEEGEEGEEEGEGGDEEEGEEDDEEEEEGDEEDAEDGEGDEEEGDEEQNDVYVPVFILPIESSRRTSRLASPGWSYLAGHPRPSSSPRPPPIMMLLRRHRRLAGPSRNP >Et_5A_041689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2640034:2651917:1 gene:Et_5A_041689 transcript:Et_5A_041689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKGSKKAGSRRRRREGGHEEEKYDECEKNKEESEWITMGPFGEIVNPWQTASEFRCQWDRLWSDDSGSFEDTTVIPPMRFTEKAPQFGAIPNDTLQLFSVKLCGTRRNLKLPVDVFGMVAIRDYIDRNRNIVFNRTRDQCQTLTREDPYLVLTGPTRAVMMRVSDSAIIEVELTVKGATKSEDKYFSFLVAPVTFGNRGESALLNYSFTSKLSTLEFKIGHIVSSVEATIFVTIIDGSWPSDMRGVFAAFATVSPGKEVVRKQVDLKPSTDGKSDDIIDMDFCTIQVTAAWSLISYYPAPRNSVATRLASIAVNGTDIGPASVVHSRTPVTEIAPPPPPMRFTEKAPQFGATPKDTFQLFSVKLCGTRRNLKLPVDVFGMVSIRDYIDRNRNIIFNRTRDQCQTLTREDPYLVLTGPTRAVMMRVSDSAIIEVELTVKGATKSEDKYLSFLVAPVTFCNKSESCLLNYSFTSKLSTLEFKIGHIVSSVEATIFVTVIDGSWPSGMRGVFAAFATGSCREPANSVDHEKIVLFDSGGTKLFVNDHGKIQLSRHVVSVQLKGKLVVSVEAVAPGKEVVRKQVDFKASTDGKSDEIMDMGLLYNPSHCCMVAHFVFSCPSEFYCSVSSRKL >Et_2A_015273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10155801:10157048:-1 gene:Et_2A_015273 transcript:Et_2A_015273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIQRVKQPTTASVTAMLVVTLVVVFLIADDDRQASFLDTVSSFTLPSSSSSSSSSSGSARRGPRAGRSRAALRVPEGCDIFRGEWVPDDSNSGAPYYTNRSCPHIQEHQNCLKYGRPDLGFLRWRWRPAGCELPRFDSAAFLDAFRGRSLAFVGDSLARNHMQSLMCLLSKVEYPKDISKTPDPEFKTLRYESYNFTMAIFWSPFLVKANKSGELWHLRLDEPDDAWLAGIPGFDYVILSAANWYTRPAMFYEGAGGRLVGCHLCFVPGVEDLTLRYSQRMALRTALRAAVVAAGEGKTAATVIVRTLSPTSHFEGG >Et_9B_065146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21577649:21578201:-1 gene:Et_9B_065146 transcript:Et_9B_065146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDGVEFEIAAYKRRHLKSDGRSHRARSSRRLPPVRTTPPPFGWFKLNFDGSVYNDGTSIGGSAGRVVLSFAEKTEHSTVGIVEARALIRGLHLARELCLGLAMVVAEGDDLVLVQLLRGEDTQTRIPVAVQEEIVALLRSFPRAARVAHTLCRQAYLYPGVWAGALVPSLVWAKA >Et_8B_060833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8715766:8717323:-1 gene:Et_8B_060833 transcript:Et_8B_060833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAEPLHILAYFCGQERPLISPVSAHGSAADKAPHDATLFANRSLCWLRMGEGEHALSEAQKCKMMRPGWSKAWYREGAALSFLKRYKGAIIAFMQAHDLDPTSDEIYKALREAMVHGGYEEQLEQLNS >Et_3A_024403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20484012:20486596:1 gene:Et_3A_024403 transcript:Et_3A_024403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGFVAGSATAHDYGGGVTFTVVMTCLMAASCGLIFGYDTGVSGGVTQMDSFLSKFFPEVLSGKKNAKRDAYCKYDNQALTAFTSSLFIAGALSSVVASRVTKRVGRQAIMLIGGALFLGGAIINAAAVNISMLIIGRMLLGIGVGFTLQAAPVYLAETAPAKWRGAFTSAYNAFAVFGILAATVTNYFTNRIPGWGWRVSLGLAGVPGIIIVVGALFVPDTPSSLLLRGHPDRARAALRRIRGPDCDIDAEFKDIVLAVDEARKNDDGAFRRLLSKPYRQCLVIGLGIPVFFELTGIIIIAVLTPVLFRTVGFNSEKAMLGTVINSMTNLTATLLSSTVMDRTGRRFLFIVGGTGMMLCEVAITWIMGAHLGKHHSLTMPQNYAIGVLVLICLCTFCSGLSWAPLRWVLPSEIYPLEVRSAGQAVSISVALCLSFLQLQVFITMLCAMKFAVFLFFVGWLFVMVIFVVLFLPETKGVPLETMRSVWAQHWYWKRFSKHGDNQSNVF >Et_7A_051103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14537906:14542959:1 gene:Et_7A_051103 transcript:Et_7A_051103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASHGTSGMPNDALYRELWHACAGPLVTVPRQGERVYYFPQGHMEQLEASTHQQLDQYLPMFNLPSKILCRVVNVELRAEADSDEVYAQIMLQPEADQSELTSLDPEPQELEKCTVHSFCKTLTASDTSTHGGFSVLRRHAEDCLPPLDMSQNPPCQELVAKDLHGTEWHFRHIFRAKKQKISVGMRFKMRFEGDEAPERRFSGTIINVGSLPAMSKSLWADSDWRSLKVQWDEPSSILRPDRISPWEAEPLDSSNLQAPQPPLRNKRARPPASPSMVTELPSAFGPWKSPSEATRTLSFSDPQRARELFPSLAASTFSSSSNIGFNTMNEPSMLNNQFYWSVRDSRADSCAASTNKVTVEKKRESGSAGCRLFGIEICSAEEEALPTVTAPGLDYDQTAASVDLTSDKLSHPSDVNNSDGPATSSERSLLESQSRQVRSCTKVIMQGMAVGRAIDLTKLSGYSELCQKLEEMFDIHGELDSALKKWQVIYTDDEDDMMLVGDDPWNEFCGMVKRICIYTYEEAKQLAPKSKIPVHSDSSKLSTVNSPSE >Et_2B_019227.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18756889:18757134:-1 gene:Et_2B_019227 transcript:Et_2B_019227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPELQAALMKVGVFVLVQALVYLILAQSSTVFSRTKSLGLRPARSLSARRMVALLSEMPLGGEPSPVARSAPLLTHQKEE >Et_7A_052018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3819960:3827087:1 gene:Et_7A_052018 transcript:Et_7A_052018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMATRPQSPLCSRSRPELVVRPTTAAAATGLAQSVIRCSRFTRGGLVRCMVSSSDYPKKNQRRTSTPKPKGTASRGYVSRPTAESSMKKIEKSNTGESDLSSSNGKLHIEATEPTGTAEEPSKVGLIGNISSSATKEGLDTDDEAEIKEEAGQNQSSAFSSTSTDDESIDKKLDEYRGKISALVNSKPEPSSVASVPGQAQSAVDVNGQEKSVISSQEHDRPRGRPFAEAIGGYAAKNSVKVSRQSTKDQEKYEADIQAPVEDDVDPKVVMRRLEELADENYSTGNKLFVFPQVVKSDSMIDLYFNRSMSALADEPDVLIKGAFNGWRWKSFTEKLHKSDLKGDWWCCKLYIPKQAYRIDFVFFNGDSVYENNDYNDYYIMIESNMDEHSFEDFLVEEEQRELERLAAEEAERKRQAEEERRREEERAAMEADRTQAKSEVETKKKKLHQTLSLASRYADNLWYIEPNTYRGGDRVRLHYNRSSRPLMHNTEIWMHGGYNNWIDGLSIAERLVKSHEKDGDWWYANVTLPERALVLDWVFADGPPGSARNYDNNGRQDFHAVVPNHISEDLFWVEEEHKIFKRLQDERREREEADRKKAEISAKLKAEMKEKTMRAFLLSQKHIVYTEPLEVRAGATVDVLYNPSNTVLNGKPEVWFRCSFNRWTHPSGPLPPQKMVNSENGSHLRATVRVPLDAYMMDFVFSESEEGGIYDNRNGMDYHIPVSDSVAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHNVEVILPKYDCLNLSNVKDLHYRESFAWGGTEIKVWFGKVEDLPVYFLEPQNGMFWVGCVYGKNDESRFGFFGHSALEFLRQSGSSPDIIHCHDWSSAPVAWLYKEHYAFNGLPNGRVVFTIHNLEFGAHHISKAMAHCDKATTVSETYSREVAGHGAIAPHSFKFHGIRNGIDPDIWDPYTDKFIPVHYTSENVIEGKSAAKQALQQMLGLQQTDTPVVGIITRLTVQKGIHLIKHAIYRTLERNGQVVLLGSAPDPRIQGDFTNLASILHNEYHGRVKFCLTYDEPLSHLIYAGADFILVPSLFEPCGLTQLIAMRYGSIPVVRKTGGLYDTVFDVDNDKDRAQAQGLEPNGFSFEGADSNAITTFYDARDWFNSLCKRVMEQDWSWNRPALDYMELYHSARKN >Et_7A_050734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10524192:10526850:-1 gene:Et_7A_050734 transcript:Et_7A_050734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSFRRSCENLEQMPEFTSEEAVGGVATSPRSTLKTPRYLFLYPRARLLSNSGVCGFKVPMGIDQEDRLSKLPDDILMCILDRLDVLRDVVRTSILSRRWRHVVGLLTEITLSAADFEPPEEHSNSAFDVVVQSNMDMVIAVTSILAHKSQYTIELLSLKFYLRDESIDIFRSVDNAMENREVVTASFAIFPEMRDMECTDADMVVYGRRFLSFFNNYPRVFGGLKSLRMEGLRLGKSDIANILSTSTKLEYLCLNNCDCGIRSFLQMEHPQLKELKIICCAFEKVELNRLPKLQLLTCEAWMPSLNQYPLSFGYVPQLWGLCLINLGSTLHKTFKLSDFLYNAIIRQLDLDFQGQRVWIQPEAPRQLGLLFQNLQVWDHACSLYEEDEFKEYDHLWQQILQKEQPAKWETPDGFKHYNLSALVIKGFQAEERFTRYIRHVMKAAVNLERISLYDNASCPRCHFSPSKRYPRTEEERHTIIKQISEWRSYPINVKIGMHMI >Et_1B_010826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14481630:14497320:1 gene:Et_1B_010826 transcript:Et_1B_010826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSEEREKTAAPAAGGGRRKGHPLLRGWKRDRYTHGMHPAQMEALRAMCGALIPSLPADDVVEGHGRGDPPGGKDLERFYLASAADSIIPDEVMTRSSQKATFERCLTCCFLKVAELMVTRCIREAVALAWVVLWVLSTRVGTLLLCGRLCVTGEFPFVRKFADMPAAHREAALQRWNRVRWLFPLRIAFAIVKILCHYVFYAMVNENSENPYWKAIGYSVEEPREGQTEAAPAPPRSRPLDVGVVETRLLNDSDLLRSLVGRGVTVKPSASDAYHTVQCDAVIVGSGCGGGVAAAVLASAGYKVVVVEKGDYFTAEDYSSIEGPSMERLFERGGIFCTSNVTTMIFTGATVGGGSAVNWSASIRTPEAVTREWAREHGLPVFGSPGYAEAMDAVCARLGVTDACREEGFQNKVVRRGCEALGLKADAVPRNSSEGHFCGSCNFGCPTGDKRGTDTTWLVDAVARGAVILTGCKAERFLFEANTSAGVRGRGRGKKCAGLLASCTTNGITKKLRIEAKVSIAACGALMTPPLLRSSGLKNRHIGRNLHLHPVSMAWGYFPENKQDPPLTGRCYEGGIITSMHRVTERTIVETPALGPGAFAAMVPWESGRDMKERMRRYSRTAHAFALVRDRGDGHVDGEGRVRFTPSREDVDELRHGLRQVLRILVAAGAAEVGTHRSDGLRLRCKGLRDEDLEAFLDEVTIEKGPMHSMTDKWALYCSAHQMGSCRMGASPRDGAVDGSGESWEAEGLYVCDGSLLPTAVGVNPMITIQSIAYCLSKGIAERGHPLLRGCKRDRYTHGLHPAQMEALRAMCGAVIPSLPVEGLHSGADDEGGRGDYQLAGGNKDDFEWFYVASAADGTIPDEVAELVTRCVWEAVVLVNVVLWIMSTKVGTLALCGRLCVTGKFPYACKFADMPVERREEALKRWNKARWLFPLKIAFVVIKILSHYAFYTMVNENSHNPSWKAIGYSVPELDEPREVDGTEARRPSARPLDAGVVETRWLTDTTLLRSLADKGLAVKQNDDASSAHPHTVRCDVVIVGSGCGGGVAAAVLAAAGHKVVVVEKGDYFTAADYSAVEGPSMERLYEKGGIFCTSDVTAILFTGATVGGGSAVNWSASIRTPEMVTREWARDHGLPVFAGPAYAQAMDAVCARLAVTEGCREEGFQNKVLRRGCEALGLRADAVPRNSSEGHFCGSCHLGCPTGEKHGTDTTWLADAVARGAVILTGCKAERFVFEANNSTGGRGRSKKCVGLLASCTSNGITKKLRIEANVSISACGALMTPPLLRNSGLKNRHIGRNLHLHPVSMAWGYFPENKQDPPLTGKCHEGGIITTLHRVTDRTIIETPALGPGCFASLVPWESGRDMKDRMRRYARTAHAFALVRDRGAGRVDAEGRVRYSPSTDDLDELRDGLRRALRVLVGAGAAEVGTHRSDGLQLRCRGLRDDDLEAFLEEVTVEKGPMHPGRENWNILCSAHQMGSCRMGASPRDGAVDGSGESWEAEGLYVCDGSVLPTAVGVNPMITIQSVAYCLSKGIAESLAQARHTNQLVPRDA >Et_9A_062798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5023952:5027742:1 gene:Et_9A_062798 transcript:Et_9A_062798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATPSKRAKTAAFAAATPEKPRKAVLTDQVLTPEKPEQRPTARGRNVVFSVKGIRRPVKGPADKVDDLVSLEKELDVGAGAVRSPVKNKAPSQVTGEMLCEFFNCLESSTRLLRMKGSKSTFPNICASIQHLSERRFTYSHLAQLKYLMPESIVINKILLRDETTCCMKPDLQVNLLVGAVESVAKQKGETAYSALRRIFRHRLVDFFKDHPGGDDIPEHELPHPFNATRLRLPQAASRIVPESISAIETSELTGQQPAVMSHMSQSFKRRFSRSSSISSTTSSMISSVAKVESIVLSPFSRNTLYHSNASGSLSIDVISNARKDQVPEEDGKDVVSKSGVSEGTPAGSVSTPVRLMASTPDLKTPKRPISATGYDTPPLKLVKRSARAKLFSTPTKGASSMDCAKLSMSAADSDDESLSFLPQSLLQSVRAFEEKETGFADQVKRQKLIASLPSTFDVIFLIYQSRQRSVMTKQELIHKIIASSEVEEQLTLLEEFVPDWISVKTARTGDVLCCVDAMLSQADLRQRLYSAKNNVLQNLINHKNPSPSSSDFIFTIARPSLNPNSKRD >Et_6B_049386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4120899:4124093:-1 gene:Et_6B_049386 transcript:Et_6B_049386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSRAWISLLLALAFALSARAEEAAAAEGEAVLTLDAESFDDAVAKHPFMVVEFYAPWCGHCKALAPEYEKAAQQLSKHDPPIVLAKVDANEDKNRPLATKYEIQGFPTLKIFRNGGKNIQEYKGPREAEGIVEYLKKQVGPASKEIKSPEDAATLIDDKKIFIVGVFTEFSGTEFTNFMEVAEKLRSDYDFGHTLHANHLPRGDAAVERPLVRLLKPFDELVVDSKDFDVAALEKFIDASSTPRVVTFDKNPDNHPHLLKYFQSSAPKAMLFLNFSTGPFESFKSAYYAAVDEFNGKEVKFLIGDIEASQGAFQYFGLKEDQAPLILIQDGDSKKFLKEQIEPDQIVSWLKDYFDGKLTPFRKSEPIPETNNEPVKVVVAESFDDMVFKSGKHVLIEFYAPWCGHCKKLAPILEEAATTLQSDEDVIIAKMDATANDVPSQFDVQGYPTMYFVTPSGKMTSYDSGRTADEIVDYIGKNKETSGQMTTEKVAEKVAEKVESATEPPKDEL >Et_1A_004999.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21952881:21953012:-1 gene:Et_1A_004999 transcript:Et_1A_004999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFRKPNAHLRRKELLISLVSSHSITEGNPPEPPTCSTGGTP >Et_1A_009620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9720580:9723478:1 gene:Et_1A_009620 transcript:Et_1A_009620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGGGDVPKDANEHCPGTQSEDAGKAEACAGCPNQQICATAPKGPDPDLVAIVERMATVKHKILVLSGKGGVGKSTFSAQLSFALAEMDLQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVDSNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQATGIDGAIIVTTPQQVSLIDVRKEISFCKKVGVPVLGVVENMSGLRQPLSDLRFVKPGEAGETDATEWALNYIREKAPELLSLVACSEVFDSSKGGAEKMCDEMKVPFLGKVPMDPQLCKAAEEGRSCFDDQKCSASAPALKSIVKKLIKTD >Et_1B_014083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3080073:3081035:-1 gene:Et_1B_014083 transcript:Et_1B_014083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METPERVQQFRTPMEPLASLASSFFSAFSPPPWSAQQGSTFLLLPIPVAAARALSVLRRLLLLATQAFIYLFFVLLSALAPSPPPPALAPTLPPRAVEPGSPEAQQTSAGRALAHVLAVASRLPVASRKHALVRGLAERLLDGNARSGGDNARVGAVSRAALAGAFARALRQLEDAAAGGGGEWPGMELAVRAVRTGIHWLRPTTAAASSSLDDGFGGPKAEKLAAELLWIGQKMAECGAAREAVARFGAAGRLGSRALVAEPTLQISLLRLADTSALKFARIGTNLTEDCIERNAIKFRELRDG >Et_3B_027641.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:9199827:9202238:-1 gene:Et_3B_027641 transcript:Et_3B_027641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGFLLDVVVRQGTAILQLLAGKDKTLLVRGNALLVLDLRLHVVNGVRRLHLQGDGLTSQRLDEDLHTTTKAEHKVKGRLLLDVVVGKGAAVLQLLASEDETLLVRGNALFVLDLRLHVVDGVGRLDLKRDGLASEGLDEDLHATPEPEHKVEGGLLLDVVVSQGSPILQLLASEDETLLVRRDALLVLNLSLHIVDRVRGLHLQGDGLAGEGLDEDLHATPEPEHKVEGGLLLDVVVGECATVLQLLAGKDETLLVRRDALLVLNLGLHVVDRVRGLNLEGDGLASEGLDKDLHASPQPEHEVEGGLLLDVVVSEGAAVLKLLPSKDETLLVRGNALLVLDLGLHVVDRVRGLDLEGDGLAGEGLHEDLHLQTFRHQKIG >Et_1B_011174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18314979:18323736:-1 gene:Et_1B_011174 transcript:Et_1B_011174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKLAALGFIVLLGIGVANAARVARYSSAQGTGTGGGEGGGYESGAGSGSGSGTGAGWSNPGGAHASAGGGGGGGGSSNYGGSGYGSGSGSGSGSSQYSEGPYNGYGGYSSAGGNGGGGGGGQANGYSGSTGHGAVAKLAALGFIVLLGIGIANATRVARYSSAQGTGTGGGGGGGYESGAGSGSGSDTGDGWSGAGGAHASAGGGGGGGGNSYYGGAGHGGGSGSGSGSSQYSEGGGGYSSAGGNGGGGGGGQANGYYGSSGHGA >Et_10A_001885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9837026:9837609:-1 gene:Et_10A_001885 transcript:Et_10A_001885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFLVLWDEWRIQILVLISLALQVLLLFGRIRQLNASFTFKILVWLPNGYIEPDHISAEHLVAFWAPFLFLHLAFRATYLHQYIAGGEDLIPAHTDVCCWHSHVWEEDMGTELCHLEQHAGVSRQDG >Et_2B_020935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24961745:24962289:-1 gene:Et_2B_020935 transcript:Et_2B_020935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQIFSLKLAQIPIGNNSVELYRYIAAQDSQDSLLNYIVNRSHDDPIIVIQSINGDGGPTLRMRIKKGEQEEGDLQLIDGASDFSQLAPCP >Et_5A_042971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8326814:8329475:-1 gene:Et_5A_042971 transcript:Et_5A_042971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRGLFVLLLGAALPLLFFSLAAEASEVGVCYGTVAGNLPDPAKVSQLLKDNGITMVRIYDANATVLTSLANTGIKVMVMLPNQNIAAAAADTSYALQWVQNNVKAFYPATLIDGVAVGNEVFDSNPELNPQLVPAMRNVHDALDSLGLADAIKVTTPIAFDALEESFPPSAGRFKDELASVMTSMLDFLQQTGSYLTMNIYPFFTYANQQPGGTITLDYALGNSNPGVQDGQTGLMYYSLLDAQRDAAYYAVEALGFQNAGMSTVEALSQSSGGVRHRHGEHGWASQGTIHHAAGRRLLDTGDGSGAASIANAQASNNNLINRVLSGNTGTPHLPNADMDVYIFALFNEDNKGSPDDVESNFGLFYPNMQKVYEFDFQHPGPSPGPPPASWCVANAAVGDARLQAALDYACGNGADCSAIQPGGSCFDPDTKLAHASYAVNSYYQNKGRAPSACDFNGAASILMMLWGSLPKYTDTCGVKPMTWCVANAAVGDARLQTALDYACANGADCSAIQPGASCFQPNTVFAHASYAFNSYYQNKGRADGSCDFNGAASVVNQQPASTCGTSAATWCVANSAVGDARLQTALDYACGNGADCSAIQAGGACFQPNTKVAHASYAFNSYYQRKARAAGTCDFSGAGSIVNQQPVFGNCVLPSDG >Et_8A_056407.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8801048:8801233:1 gene:Et_8A_056407 transcript:Et_8A_056407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSVTRKGRHQHPSTPGVLQLIFTDLDSEDIDCEPKLGYLHRGSKSPKIELLYTILPYVN >Et_1A_005922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15568420:15572387:-1 gene:Et_1A_005922 transcript:Et_1A_005922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHSHFHSKKPASHCSSSARWSPALASRNSDASRFKRICVFCGSSQGKKRSYHDAAIELGNELVARGIDLVYGGGSIGLMGLVSQSVYDGGRHVIGVIPKTLMTPEISGETVGEVRPVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHHKPVGLLNVDGYYNSLLTFIDKAVEEGFINPCARRIIVLAPTAQELMDKLEEYVPYHDRVASTLNWEIGHLGY >Et_3B_031461.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:30418897:30419214:1 gene:Et_3B_031461 transcript:Et_3B_031461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKASRAAVAAVLVSLLIVAAATAAGALSICGVDQSAVEACRSYCQADSTDYAPKPLCCKKLKNAQFDCLCSYKSMLPSDINPDRVMQIPAKCGIPAPPNLCN >Et_3B_028168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11199090:11202286:-1 gene:Et_3B_028168 transcript:Et_3B_028168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNGGGGSEAAAMSPATGGSGGKRGRGLEEDVYVDNLNSHKRYLSEVMASSMNGLSVGDSVADNTMGSPVRLENASCFRYEMVMQYSPMSEDSEDYRPCESQVNNSGNQTEMMSSPSSSTISSPNRSQKLHTWFCSGNPYPLPSCSLSAEAASNVRRGTEQEGRIPSSPNDMCHGGDLRRTALLRSVQMRVQGPRPSDLLFSTELDGEQERAHIHADEHEHSKQKNLEGVELEQRSCPSSIQDARFGQHPERDADFVEECRPDCGATSAILNHKDISGKVCVDVDERR >Et_7A_051470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19058239:19060948:-1 gene:Et_7A_051470 transcript:Et_7A_051470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTDLSVTFSRSIPMISGIDVYNTSWKPAGRSFVGFSDSTLNFTGCDFDTYQLDDANSRDFICTVTCPSEGITETVARQQCNGTGCCSHNFQASAGIISSLNLQFVRHNRSFEGEALPPIGSSVREDRIYVTAVDMSLYWVAGEEANCTAALKNKTSYPCISEHSTCNDGFFPVPSYQCLCENGYFGSPYVPGGCLRDRGYNPTPQKVNCSSSCGNISVPFPFGLEEGCSARTEFLLNCDIGSSTLQFFSQEVSYINVNEGIMGINDTSNSSYKGSYYQLFNTVTQIQELQWAVANLSCQEAQQNITTYACVSENSTCFGYNATYYTGDAYIGYRCKCKLGFHGNPYILNGCQDVDECETTPGICKGVCKNTIGSYYCTECPHNTQYDTTLMRCMQEKRQRSLLLGIMIGLGFGIGILLLGLCAVFLLHRWRRDAQKKLRRKYFLENHGLLLEQLISSDQNVNDNTRIFSLDELEKATNNFDETRILGRGGHGMVYKGILSDQRVVAIKMSNAIEQSEIDQFINEVAILSQISHRNIVKLFGCCLETKVPLLVYDFVTNGSLYEILHQSVKTVSLSWNDCLRIAAEAAGALYYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHVDTLVQGTFGYLDPQYYHTGKLNDKSDVYSFGVVLVELLTGRKPIFTCELGLKQNLSSYFLSELNSRPIEEIVAAEIRQEATKDEIKSVASLAEMCLKLRGEERPTMKQVEIELHTLRTKRLKHGKIASEKDLETQSFLYSMAESNTDPSLGTDVGCMSDLQSHGYNSLEQRFVTTADLPR >Et_10A_001064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20819626:20822579:1 gene:Et_10A_001064 transcript:Et_10A_001064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQERTPTATKRAMLSPPPRPQAAAAAAGAGDRTPPATKMARPSPPPRAQATAAAGPGHRAPPVTKRAGPSPPPRLQVAAAAAGAQATAASGVGDRAPPATNRAGPSPPPPPQAAAAAGAGGRAPPATNWVGPSPSPPPQAAATAGAGDRTPPATKTARPSPPPRAHAAPPAGASARDRLSALDDATLHAILARLPLRDAAATATLSRRWPRVFATLPRLLLRPASFNRRGFPDGGDEDCCEDVVRWLRSLRCILDHRAAPVTAFDIEFRFTRQHGDWSRSVFRDPSLIMGLLELSIANTNFAECYTLPSPVYTCQTLTSLDLYNCRLQIPTKITGLRAVRSLRLRNVVIEDAGLRRIISRCAAMERLVIHDVHKARSILIRAPCLEKLEIYSYRPLCISFSKAVRLDTVRLGFSYGYPEYSWSINDTLDTDEDQPFSEIKELPDYKRMADREHKQTDEIKNMTKFLSGLGCAKQLRLYLSTEYSEVLSMDKVSMVKLLSQRSCLLELTTLALTLDYNHEVLATLVSCLLNSSPNLKSFVIKEVLCPCPQRFGRSRSKETIS >Et_6B_049360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3850012:3850498:-1 gene:Et_6B_049360 transcript:Et_6B_049360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKQEDGQGRPGGSGCLWLVTVLLFVSLLGGGACLVAYILLPPGQSPAWLPAVGLVLVALPWGFWIVTCAYRCAKAHVADRRMATVAPVTGSMRQDSPAPGSVRIIEYSTGAK >Et_7A_052099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4587308:4591987:-1 gene:Et_7A_052099 transcript:Et_7A_052099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RKRKRNATKTNNGQGPTCKLQPLPVTSPLHCQVFQLLPTREAHDGRTSSLASLPESRRPRRSPCVGSPPAMPHRRRTLNPVPLLPVPAAAAAFHLPADDSRLPLLADFSLLQPDDAPAAPASAEWSGGSGFTASSDAATTTSSSTATAPGSTLQLAADGGRDTWVRRAREGYYLQLSLAIRLTSQAFLAGAPAPPELLLRCGAGDADAAGDVAADPEAVSYRLWVNGCLSWGDKIAHGFYNIMGIDPHLWAMCNAADEGRRLPTLAALRAVDASESSLEVVLVDKRADSVLADLERRALELYRALGLTLDLVRRLAVLVSDHMGGALRSEDGDLYMRWKAVSKQLRKRQKCVVVPIGGLSIGFCRHRAILFKELAGLIGLPCRIAQGCKYCSAPHRSSCLVKIDSERRYIREYVVDLVVEPGSITSPDSSINGQLLSSVSSPFKTFCTATPEHNASPVAAWNQVMVDDRCNNTVLSTSQYSAARCRVVEEKDSAHAASKEGLQPKCSQITQNGHGNGVSALEVSTAQLKAMNIGTENGNKENVLGSTLPKRLSIEPSLAADLLQISWEELDLKERVGAGSFGTVYRADWHGSDVAVKVLTDQDVGEAQLKEFLREISIMKRVRHPNVVLFMGAVIKCPHLSIVTEYLPRGSLFRLISKASTGEMLDLKRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVVVGAVAFQNRRLPIPKDTSPELAALVESCWDDDPRHRPSFSSIVDTLKKLLKAMLGGS >Et_5A_041776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2744756:2745570:-1 gene:Et_5A_041776 transcript:Et_5A_041776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPSLNRVLVEKLVQPKKTPGGILLPETSKQLNSGKVVAVGPGERDKEGKLIPVALKEGDTVLLPEYGGLEVKLAPEKE >Et_2A_014463.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:10112898:10117113:1 gene:Et_2A_014463 transcript:Et_2A_014463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGLTSRTAYSLQYIDGRNVSDAVRKLLDLILEQRDKKVIYFHGWNGIGVTPVLRSILQNLPAMKWRPELCHHRLLYIDCSEWESRRSLQRKIAEELNFDRTTMDMFDKQDEEDDFNGVDQASRDLIRNVSIMIEKILRERSFIIIFLNGSDKELDLTSFGVPFFSELVDNIMIWSFKKRFLTMQYPLSYYESRLKDQLRYTHLFVSDNQWYLDDWEASLFNDLLREEALCIVARHPCIQGIDITTVTDCFLYEMVLHCGFHRRTEFAWAAHASNYWICDGIITGDRIEEISNALQSEIRWDRHAFLTGSFLNSFIRLINNREAPLLVISEYIFYKNKPCRWVSVTCQYFWKERDQDLKTIMGKTSSLFVAFERTQYRLDNTLIGLPASLFKHCSNLSVLVLFRCAFNFATPPFHQCRGLRFLGLDHCLNDLTREEEGYCTDWTFLYSLWVLDLRYTDWNEILTEGKICLMANLTELNIEGLKCWQYISQLETRLLYLQRLRIIKPMQQAKSSVDSSNSFVDKTKLEVLDLSGNNDMKELPTSLSNASRLQALILDGCDVLENVDVPNGLPSSLQSFSFDGYGPALRRTSTIELPPESSRPERPSDQDKKDVKTSKISLRGCRQLNSLFLRGLSNLIELDLSGSTLKVLDFSCMMLDLLRLKRLFLLGCEHLRAIKWGSTQLELLCIDTRPGTWTQPSPVHHESCRLQVYAVLEDVRLARSLWTLIDGKIQEKLDVGFDIHVPSSSAVVAIDGGEGIQPRIEATSTEMTERTELRQPRHNRACRYGDVLTMIGDAPTPMEAFPEPPARRLEKHVEITSDGGHILESELHQHRRGVHHCGLAGLITRHAESLHVHDTSISMSMPTGWWDINLRWCRVERCPSLEATLFPLDEVGFFGHLEVIWASELAMARILWSRGCYFNRLQHLHLRSCTRIQHGLPLRLSFLENLNTIHVVHCGDLRLVFALDEDPYH >Et_2A_017066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30677056:30682248:-1 gene:Et_2A_017066 transcript:Et_2A_017066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTQSIQLMNQRNLGISSNDMGLVGCGGNLSQIVVPGSQHGMSNFTSTGNSNTNTCFLSGPSGSSFASISNSITFNTSKGFPSGTSSNSSANIRNGSPILAASMEATYYPYRSYASLCVSDPNPSERNKYRINQLSRLVASSGPNSEFKNQMAALTRTTTTVAGFTEQVAPINIENNTNSVVMLNYNSTPGGASSTYRDAMQSQMLNGGDGSGNLLDHQIVADQLNYNNESLTGTSSGQNGLSDDLDDLFTDCLNQIWKTITTYYKSDV >Et_4A_033600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24916557:24921187:1 gene:Et_4A_033600 transcript:Et_4A_033600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGESPQRVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGIDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFCLSGDTVFYVKDRFLRYFEYSTQKEVQVTPIRRPGSVSLNQSPRTLSYSPTENAVLICSDIDGGSYELYIVPKDSAGRSDYLQEAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIVTDAIYYAGTGNLLCKAEDRVTIFDLQQRLVLGELQAPAVKYVVWSSDMESIALLSKHAVVIANKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHMKYCLPNGDSGIIKTLDVPIYITRVIGNNIFCLDRDGKNKLITVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYLDKVGFMCKIAGQNNNLMGQFHNALYLGDARKRVEILENAGQLPLAYVTAATHGLTDTAVRLAAELGENVPSLPEGKSHSLLMPPAPLMACGDWPLLRVMRGIFEGGLDATGRAELEEDDAAAGADWGDEDLDIVDASEVVENGGDGFDAEEVEANEDDGEGGWDLEDLELPPETETPKAAGNVRSAVFVTPTAGMPVSQIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKPLFLDLHMGSHTYLRAHAAAPVISIAVEKGWSESASPNVRGPPALVFSFTQMEDRLKAAYKATTEGRFPEALRQFLNILHTIPVIVVDSRREVDEVKELIEIVREYVLGLKMELKRKELRDDVTRQQELAAYFTNCKLERVHMRLVLASAMGLCFKQKNYATAAHFARMLLENSPNEAQAKKARQVLQACGDKDDSHQLNYDFRNPFVVCGSTYVPIYRGQKDVSCPYCGSRFVPSIEGQLCTICELATVGADASGLLCSPTQLR >Et_3A_023986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15701669:15713532:1 gene:Et_3A_023986 transcript:Et_3A_023986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDDGEKETKRRGRRHGRSSKRSRDASPSTASSDSDSSASPSNDSSPSRSPEPRSRSRSSGGKRRKSFSSHRHRRSHKSSGRSRSSRDEDRRSRRRRRRDADSSSGSESEEPGRAEEAREIVRDILREFPAVASELRQLMAFGNMQLLQMIDSGEGIDISGISDKPLVKRLKKLFRSLRLKESASGAYLLPQKNVPTLDIVGPVLLGSSKLEDNKKENSVSPNRDELPSSNIDVRNKDDITSEGPKVVNVEEEPPKRRVIGPAMPSRELLAAAAEMTEALRSRDAELEADDGFLIGPPPPAVVAEAASANEAERFEEVTRIMGADTNSPYDVLGVNWKMSTENMKKRYWKLSLLVHPDKCPHPSAQEAFVKLNNAFKDLQDPDKRGAIDDKIKKKEEMEQFEIELKAMREAAEWRRLQGVSLEGDEELLAGPKQPPKRDEWMTTLPPERKECQCIRLSHLVCTVKKDVVTQVPGLIVLLIEHKKLNKEAYNKTKAIAEGEDMKSKNPDASIVDKYNTSKRSVSLVQKHRESKKEKKKQKKGDKEEWEGNHPWKPWDREKDLTAGRQNVNLDPENMSQGLSSRFSSGA >Et_3B_028876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1992732:1996883:1 gene:Et_3B_028876 transcript:Et_3B_028876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSPRTRRGGYPQFRSFCDSVSRRNFCCSFYVQIEVPAPVHILNEALQQALQGGVTTELGSGTGRVWSPYGGGTGRRTSTVTGVCVPTGPFLVRALNALLISLGSSIRFCFFGCGRRQREERWPVATVLQAGCLVLAFSIDFVLKGRPPKLESDHLSQCFLASLVVIMEDQNSWLRRTKFSHTVYTRVDPRWVPIAPLSKDVERKLQKFASMGKSMSMPVDRDDEDTGNALKHSASLPLVRSSPQLDKDKANKPTRANLEIPLSPPMNPVNSNGQRARSLVKSTSSMMLVSYLNKAESPLSSPLSSENSKSSRARSLVKSPSSMLLLSYLNKAPSIQGSSPHKSDGSQHRPRSKSPLPTVVPSEVFREAKSSSQRFASPPPQRRGSEKSIYGKSFARQVSEGQIPDCSSTPVVSGKHKSTKKYNGGRRVSAVDTTYDRRLQRVRMNQAVQSMVDWTLDPSKLLVGHRFANGAYSRLYRGFYDEKPVAIKFIRQPDGDDNGKLAAKLEKQYNSEINSLSHLYHKNVIKLVAAYKCPPVFYIITEFLSGGSLRSYLNSTEHHPIPLEKIISIALDVARGLEYIHSQGIVHRDIKPENILFDENFCVKIADFGIACEETLCDVLVEDEGTYRWMAPEMIKQKAYNRKVDVYSFGLLLWEMVSGRIPYENLTPYQVAYAVANRNLRPTIPPECPSALRPLIEQCCALQPDKRPDFWQIVKILEQFHSVLSQGGCLDTLKTGTCQDHKKRLLHWLQKLKPSHST >Et_7A_052004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3594339:3598972:-1 gene:Et_7A_052004 transcript:Et_7A_052004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEVAGGDGSYDFHLRSLSAASRDSAAAADPASDPNLLQSLRRVCEMCKDAKEASDEMVARAFPVMSKLFQRCAAAPTQSVASTGVLLLTILQFFLDFGEAVLHDADGSLKTFFRSCLSREFADPVVAERTLEFIIANKTKILISFPTLIPQFYPLLLKLIASNGERLEQKFSEVLPLMMSPGSFLPLFLSLMDLPMLVVALEKVERSSGTLIGSSIATIQKSAAPEMLLALMDEAYTGSAIEDPSGNSGSDDSGPLDLADPMLLDLLKDENDGIAAKHWISPTISSTLQAAVNSPQSDRLKQSLKMAPSFLTLYFATALRDVNDSLLCALIPVVMSRYAAMFPDKVFSFEVRKRLSDFMLAAFQRSPDIIAVLKTELALHLCWAIGEHGAGGINRKDIARELFENLELLLYENLATSRLGLSQEPGFDSMGASSRKSSQARLLCFVVTAIAKLATCHSELVPRARVSLSKVARSRTSDRRVWQRACDYLGLMNEPAICLSVLGPSTAQGNGPGIVNWSEGGTKMVAHIPFYLLAEQKGPPFHDFSFADLLPTQVDSPRNDEKISLTDMGTRNDFSSTALTRI >Et_1B_010711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13294201:13300182:1 gene:Et_1B_010711 transcript:Et_1B_010711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAACRALITFLVVLAISFSGVWSKSFIINNNCEYTVWPGILSGAGSAGLDSTGFELAPGHACEAFGSAQYCCSGEYGNPDTCRPSQYSQFFKNACPRAYSYAYDDATSTFTCAGGDTSYVITFCPNTNSVKSSSPGLPLINNTMTYFGGSQISAAGAARPQQPLLAVLLGFLPIESALSHAMRCEWFVCLLVPNLKAFAGAVSKSFTIANNCEYTVWPGILSSAGSACEAFGSAQYCCNGEYGNPGTCKPSAYSQFFKNACPRAYSYAYDDATSTFTCAGGDTSYAITFCPSTTRYSYYSTCVALATYLPPNC >Et_2A_015195.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:35221252:35221383:-1 gene:Et_2A_015195 transcript:Et_2A_015195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLAASASLLQEHIRLWIVRAPRTICTQAMEDWGTLHVDVIR >Et_2B_022435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21727448:21728591:1 gene:Et_2B_022435 transcript:Et_2B_022435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATERRRHRRRGSDKPKGKKTSVRPATVHDVPDHLLQLILLHLDSSVWLFYVRRPRAGGGAGSSRRPAASGPSTAPCVAGNYHAVDPDWVEYGSPPVTGNPVFVPSSTSSVITVDRRRFSLDFLPDSDSGWEIADSRGSLLLLLFKRRTSSWAARATRRSRFCFPDLVVCEPLTRRCQGILRPGLAAVACCLGVFLLDGDHGTGGLIGMSNFRVVSVLHECDISEPGRGTPEAPNSDVSVPGAIESISFVGRANGSLYWATGEDGAMLAFDEATTKFSQFLFPAGLIRGSFVDRSSLRVIGGGEDGALRGLPGREGRFSHLEALIVAANEKYVLMTPQGKTWLFSVELETLDARGTRAREEQLRRGRVPM >Et_9A_061086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14939411:14941452:-1 gene:Et_9A_061086 transcript:Et_9A_061086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELPENELTNFIQSSEKAKWRPISNHNVSKFTEDEIKRITSNYGTPIGKGGFGHVYKGALDDGTPVAVKMLKNSICQNSKLHVKLSDFGLSRLLSMDRTHQYTMNIAGSRDYVDPVFQMKGLLTPKTDVYSFGTVLLELITRKQARYSENRSLPVEYVKVCMKGKRGEAMFDEEIAVKGNIFILEEMGKLAVKCLKEDLDERPEMTEVVERLQELKRDWKHGEGSCRTFDAEEIASGF >Et_1A_009333.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:39273060:39273557:1 gene:Et_1A_009333 transcript:Et_1A_009333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSGTSSGSLSAATAALAAAAGTEEELRALMEQRRAKRMLSNRESARRSRMRKQRHLDDLSAQAAQLRRENAHVATALGLTTQGLLAVDAENAVLRTQAAELAARLHSLNDIIACMNTNAAAAGAVAAVSLASAADPFLAFDGATAFDDLLRSCPEMFPLC >Et_5B_044361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23316310:23320393:1 gene:Et_5B_044361 transcript:Et_5B_044361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVVDMSTDEESDCVVICPPNGNADHEEVVSGSHDEDSPERLETPHAVDSNMDSNVQEGMSVSQDSPKLIPQQESSLPNSPAKPVIAGQRGSSHTVPEPCSGATERRASGAGDSTPVAHPTSSGEKLSDKSSTSTRSMAKKSPSVTPRKPLQSDNTSHSQDDDSYSVTSSTVTSARAGKTKKTTVPVAPTFVCVNRAEKRGEFYTKLEEKRKALEEERLQAEARKKEEEEEALRQLRKNLVVRAKPMPSFYQEGPPPKVELKKVPPTRAKSPKLTRRKSCSDTPHTPEGGNGSAVCCRLHRQSIGNLKDVNSKAQCSPKSSPKTGSATKSRTTKTREDLKAVMKNVGKPSAANVTVQT >Et_9A_062862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:647259:655183:1 gene:Et_9A_062862 transcript:Et_9A_062862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPKMELVLGLSESRRWPGWPTSVALRIDMGSPWMNRAVHGFLNVGAVAACKVAAEETSEFISTGVASEHKLERSLKKMCKEGAYWGAVAGVYEAMEYAVERIRGRNGWTNAMIGGAITGALISAATVAGAGAGTDNYRGKVIKGAITGGAVAAAAELINQRSRVALAPFTFGETNGSAKSRESQHDDIDHGTWVVDRGLELPSSEIDHIPGWPGSFGFSGSLSSPKIDVVIDMGNPFLNRTVDGFLKIGAVGACKVVAEETFECLHRGDVSKHKLEHALKKMCKEGAYWGTIAGVYVGMEYGVERVRGRSDWKNAMIGGALTGALISAASNHHRDKIVKDAITGGAVATAVEFINYLT >Et_2B_021488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30061852:30063342:1 gene:Et_2B_021488 transcript:Et_2B_021488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELLFLLCLTLLLQGAEPATFTITNSCDYTVWPGILSNAGASPPSTTGFALPPGQSLSVTFASVWSGRIWGRTLCATDSSGSFTCATGDCGSGAVECSGRGAAPPATLAEFTLAGGTGGDDFYDVSLVDGFNVPMLVAPQAPSPAVGNGSCQATGCPADLNKACPADLRVASAGVAVACRSACEAFGAAQYCCSGAYGSPATCAPTLYSRFFKDACPRAYSYAYDDATSTFTCAVAGGGYDVVFCPSTSSLKSGGNPEAAGLPPSNPTMVFSGDVDSLMTSRNAFLAILTVVISAMSMQCG >Et_3A_024738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23787766:23793070:1 gene:Et_3A_024738 transcript:Et_3A_024738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEIVGRHALLFDDDATAEVVNSGGSLVPWAAVGAADLLLDRHDVRHLLDRVPPRPRRSYSAALASALSPDGVSEAELDRERFLDLSADDGGDDDEGSRDAPPSGDGEGIGQSSYSAVPFSYGSTVESNDPNSSSSYYRPSFYVPESLLNKLPPSEKVHQIIARTALFVSQHGGQSEIVLRVKQGSNPTFGFLMPDHHLHSYFRYLVDHPQLLRGDADNDDTDKGYRTVNRETEHTSSGGALSLLGNAYDSGDEKEGTLLASLKGRDLENRTSPIVQGHGKPASISPDNEESRKDQTVRAEAGGKPILTKKNPVLTGNSLLAAQREKAKDANVKSQNTKTGLHETKEMILEPPSFLKRTMEKIVEFILRNGKEFEEKLIDQDRTSGRFPFLLSSNPYHSYYLKMLEETQESKTRGRSPEDRRSSERKDRRSSSERKDISHGKEGSRRKERLTTGLDARASDRSSAEPSEKQIHDQQGKGKFEIAVGGVKKEPPRNVTADEAAAIVMAATRGLGPANGSRNNLKDTRGSVHTLGSTDRSSSFGSLSSLQDRDGPSKHTSNSEADTSLTSGGQLKKEGMGIVDDDWIANTIAKAAAVASSKEADSSEATMTKEQKLKAERLRRAKMFAAIIKSGGSKVNDLATEADTSNEPAKAPADDDMNVSTPDLPPAAKEREGSSVPFESEGSIAAKEKDTDDEQNRARKYRKKHYPESDEEKNDSEESYKPSRKKHRSERSRGHSKDVHKHKHKSHSKDGESRHRRHRHSSSEDEHEHRSSKSRHRHRDHDHHNDDDDDHRRSRKHRRDHRSSSKRNYEDDTDRIEKTLGHSEASPSTSGPKIVSENPPMDTTRSSEGATKVPNELRAKIRAMLLENL >Et_4B_039322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:94099:95798:-1 gene:Et_4B_039322 transcript:Et_4B_039322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAIRIHELGGPEVMKWQEVQVGEPKQGEIRIRTTAIGVNFIDVYFRKGVYSAPAFPFTPGMEAVGVVTAVGPGLTGRKVGDVVAYAGNPMGAYAEEQILPASVAIPVPPSVDHKVAASVMLKGMTAHILVRRVFKVERGHTILVHAAAGGVGSLLCQWANALGATVIGTVSNEEKAAQATQDGCHHVIIYTKEDVVTRVKEITSGKGVNAVYDSVGKDTYKASVECLASRGFLVSFGQSSGRPDPIPLSDLASKSLFLTRPSLMHYTSTREELLESAGEVFANVANGVLRARVNHTYPLSEAGRAHADLEGRKTSGSIVLIPDS >Et_2A_016385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24028859:24034352:-1 gene:Et_2A_016385 transcript:Et_2A_016385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLAALVALPATAAPRTPGPRLGPSCRARPAVVRAVALRALPRRVELWPPRLAPVESTPPPSSAPPTPEDQSAGSGLDAGAGEDGSGGGGGADLGWLRVFPHVLTASMANFLFGYHIGVMNGPIEDIARELGFQGNPFLQGLVVSIFIVGAFFGSLGSSVLVDNLGCKKTLQIDSIPLILGALLSAQADSLDEMLLGRFLVGIGIGVNTVLVPLYISEVAPTKYRGFLGTFCQIGTCLGIIAALSLGIPSESDPHWWRIMLYAACVPGVLIVIGMQFAVESPRWLAKVGRFDDAKKVVETLWGPSEVDKSMEEIKAVVVNDDSQASWSEVLVEPHNRVAFIGGALFFLQQFAGINGVLYFSSLTFRDVGITSGALASLFVGITNLGGAIVASNLMDKEGRKKLLIGSYLGMALAMSLVVYGISFPLDEELAHGLSVIGTLLYIFTFAIGAGPVTGIIIPELSSARTRSKVMGFSFTVHWICNFLVGLYFLEFVKKFGVGAVYAGFAGVSLLTAIFAYNFIVETQGRSLEEIEMSLSSTTSGKQKITSLLVTALIHLSPSW >Et_2A_018483.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29563331:29563630:1 gene:Et_2A_018483 transcript:Et_2A_018483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKIAVRAPATGGRGKEPAPPPPPPHGQEANGGHRFGLRRRRGRRARRVVLAIAIMIAAAANLIFAEPLAAPAASGPWSFVAFVLWIIGLSMLICFMD >Et_5B_045411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21504142:21504639:1 gene:Et_5B_045411 transcript:Et_5B_045411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLMTFMEVARPRWYERALVLAVQGVFFNAYFLGYVVSPKLAHRVVGYLEEEAIHSYTEYLRDLEIANVPAPAIAIDYWRLPANATLKDVVTVVRADEAHHRDVNHFASDIHYQGMQLKESPAPIGYH >Et_8A_057485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3548010:3551180:1 gene:Et_8A_057485 transcript:Et_8A_057485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASSGGGSGGGGGDDQLHGLKFGKKIYFEDAGGSGSGSGSAPPPPPASPKAAAGGGGRKGKGGASSSSAPPARCQVEGCNVDLSGVKPYYCRHKVCSMHSKAPRVVVAGLEQRFCQQCSRFHLLPEFDQGKRSCRRRLAGHNERRRRPPPGPLASRYGRLASSFGEEPGRMRSFLLDFSYPRVASSMRDGWPAVRPGERAPGSIQWQANLDPHHHHSAVAAYGAHSYAIQGSSSSGPPVFPGPELPPGGCLTGVPADSSCALSLLSTQPWDTTHSASHNRAATLSTTAGFDGNPVAPSLMVSSYITPSPWTGPQGHEGGRSVPPHLPPEVPLDEVHSGSSHHGQFSGELELALQGNRPAPPRRLDQGSSSTFNQAGNTTDWSL >Et_1A_008057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40418694:40419897:-1 gene:Et_1A_008057 transcript:Et_1A_008057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVVTQSSHPLTATHAYFCCGNKNLMNACDFFQWIDGPEKYDHRILLVPWTVKKAPYEKFNRWLPPPPNPPRMTKEECVAKARERVANPPLCHCGYRSEIERPPPGLKYTPFFRCPITLSFIHRPRSHYPDPDSLPDDVLYGENLPCWYPPPLLCQCGVPARQGVVPSELEYGHYCRNTVGEDDEWCQKCCVEDTRRCDWETFEGKDEFLMRSKKKGPKYYKKALSTRSNIRHKYLTVPPSFIYNTICSELKLKREGPFWEDLEADVVIPYWRRNRDKYPPKSFGNC >Et_3B_029311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23976510:23979222:1 gene:Et_3B_029311 transcript:Et_3B_029311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCAFVRLTVDQLLLKLPSVPRPSSGAGVHPSATPCFFTLHLQDHPSLSRTAPLPLASGAGAAAAAHADPVVLSLDAAAVQRLSARPAELVVSVHAGQTGSNCGMSAARALGRVRVAVDVARAAAGEAVVARDGWVDVGKPASGSSSSSARAQIHMVVRAEPDPRYVFQFGGEPECGPVVYQVPGGGAGGGQRQPVFTCRFSAGRRITRTRSLTPQSSMTRSPSRRLRSWLSSTLLHGNGRDAQARREQRKGWTVTIHDLSGSPVAAASMVTPFVPSPGSGRVSRANPGSWLILQATGSGPSSTSWKPWAWLEAWRERGPVDALGYRLELVFDSGPTECTVPIAESSISTKRGGQFVIDPATFNEAAASGAAWPFAGGFVMGSTVEGEGRASRPTVQVGVQHVTCMGNVAVFVALAAAVDLCMDACKLFSQRLRKELCQDQDE >Et_6A_046805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20897045:20901805:1 gene:Et_6A_046805 transcript:Et_6A_046805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITTSVVLHHQVHGSLRASTTSAKQSYYAGRKRLFTVRAIMARLQEAVMAPPPAQKRIAAPPPPWRETTTTATEIATTMVYHDNWFDKLAIGYLSRNLQEASGMKSRKEGFEGLIEAAITINAIFRVDKQWEIVANALERAFPSYILTMANKGDDASFEIFPRVLCRLYHHILPLARWTVRASEVDGRKEKNVVYVPKCRFLESTNCVGMCTNLCKIPSQKFIKDSFGMPVYMSPNFEDMSCEMIFGQEPPEDDPALKQPCFATKCIAKQNHHVNCSI >Et_3B_027831.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21321470:21321565:-1 gene:Et_3B_027831 transcript:Et_3B_027831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFFVCANPRSATGGGTDLAMPPAGCHNVV >Et_2A_015859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18455746:18464639:1 gene:Et_2A_015859 transcript:Et_2A_015859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTATASASATPLPLLLQYATVPCARAATHLPLLLFLTSLIVVSRVLLFGRRRRRHHRHEPAAGAGGQCHGRPPPSPRGLPLVGNLHQLGRLPHRSLRALAASHGPVMLLRLGRVPAVVVSSSDAAREVMQAQDRAFATRPTLAVPSRLLYGCTDIAFAPHGPYWRGARKMAARHLLGPARVRAYRAVREQEVDALVRRVEVHDACRGVVRLSELLSGFAKDVAGRIVLGVRAGGDGGGWRDKIDALLDESNVLLATFHVGDYIPWLSWVSAVDGTDARVREAFEKIDRILDEILEDAGRRIGTGSEVNDDAAFVHVLLSLQKESAGTELPLSMDNVKALLEDLFGAGTEATIIVLEWAMAELLRNKSAMHKLQNEVRQATRGKHCGSLVTEQDLQGMEYLRAVIKETLRLHTPGPLLLPRESMQATRIGGGQYDVPCNTMLIVNAWAIGRDPKEWESPEEFRPERFVIPFGAGHWMCPGIGLSMSVVELTLANLVARFDWALPEGEREVDMEEAPGCTSRKRAPLRTVATSHRGDQIITS >Et_10A_001724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7295854:7300076:-1 gene:Et_10A_001724 transcript:Et_10A_001724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AVKGPMPVGSAGPNGIASEESKAVVAENGKMVDVQDKEVSMEGLCSISAYDQWVPLSVSGLLPRPRYKHGAAVIQQKMYVFGGNHNGRYLGDIQVLDVKSLSWSRLEAKSRSGHSESAETDSVAACAGHSLISLGNKILCLAGHSRERTESLSVKEFDPQTCTWSTLRTYGKPPSSRGGQSVTRVGDTLVVFGGEGDGRSLLNDLHILDLETMTWDEFETTGSPPSPRSDHAAACYAERYLLIFGGGSHSTCFSDLHLLDMHTMEWSRPQQQGIAPDPRAGHAGVTVGEYWFITGGGNNKKGVSDTLVLNMSTYVWSVVTTLEGRGPPTSEGSSLVCHTMNGENFLLSFGGYSGRYSNEVYVLKPSVRRTAPSQQIKEPETNGIAPISMAASASRKVIFEIEELQDEQRSNGTDISKTLLQAVKGEREKVEDMLNQERLQRVHLKQELVDVEGKNAELELDLVRDQLSVEGARVSKLENEISELRQRLQKMNALEYEFELLRREMDNTSEDAALSSNKSARGGGFWRWNG >Et_2B_020042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16373782:16377994:1 gene:Et_2B_020042 transcript:Et_2B_020042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAEEEMKVVDVEDWEGEEDAGAEGSAKETRMLPKMPVRVLLAEGDDSTRHVISALLRKCGYRVASASDGMKAWDILKEKSFNIDLVLTEVELPSMSGFLLLSTIMEHDASKNIPVIMMSSHDSVSMVFKCMLKGAADFLVKPIRKNELRNLWQHVWRKQLANSGPDVHNIQQEDNLTERAEERTAVAKVEQLIESVARKNKEYSEQESDAQSSCTRSEPEAESKQANNFLEHKQPTERNFSVPNHKNAEKNGQTKIQSSKDIPTREDGPSPKKRICSNDNSSEKASRDMDLVHIIDNQPKHSIQRDVDNMRTSQETDEKCAIPAHLELSLRRSDYSKIENQDTNDRRTLNHSTSSAFSLYNCRTASSLGNAGDAQICSTSGTQADPENKNGDLAASSQDKTESNHPPIRVVPFPVPVGGLTFDGQPFWNGTPVASLFYPQSTPPPWNSKTSMWQESTPQATSLQQKSLQNDPKEMDPNQVHHAEEQTAVTPPNVHGKQLRIEIPKDEQRNVSPMTGESGTTTLLDSARNTLSSSVCDSTSNRINAPTESPNAFQDVPENPNTGGPRNLSQREVALNKFRLKRKDRCFEKKVRYQSRKLLAEQRPRVKGQFVRQDHSIQGS >Et_3A_024121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17532880:17536789:-1 gene:Et_3A_024121 transcript:Et_3A_024121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALIGNYLTAASPPPLLLPAAGGGGAPGPSARFRGYLRAGPRLVALRRAGAGEAVSAAAAEEEDDGEAEVLAEGFPSWEGGNGDEEDYDHDPEIGDIMGDYFDDPKKAQTRMEERIRKKRNKIVQAKTGSPNPMKVVFNKFDFSNSYIWFEFYNALLPKDATLLSDALRSWHIVGRLGGCNSMNMQLSQLPLDCKRLSYDALEGANVTPTSFYNIGDLEIQDNLARVWVDIGIHEPLLLDILLNALTTISSDHVGIKQLQFGGLEFENWDENLKTEEAGYSVHKI >Et_5A_040959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15779810:15780885:1 gene:Et_5A_040959 transcript:Et_5A_040959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLLAASCGLIFGYSIAVSGGVTQMESFLSKFFPEVLSGMKSTKRDSYWKYNNQLLTAFTSSLFVAGMFSSLVASHVTRRMGRQAILLMGGVRDNVFRIYVGAKVIKSTSIYWRFTDKTNSGVGGR >Et_5B_045378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20131687:20134308:-1 gene:Et_5B_045378 transcript:Et_5B_045378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFKIGRLDGQPPRIRNVPIAVTPEGFWCCPSQAALQKTTKNPNHQGRPRGGASPAASKASSVQRAPTIASEKGAHSTPTRSRTNSNEQVCPPADDAAPDPPKPTPAPEKRPKQHKISVGFGQLGTSDLKVVLYSKEGVAVKMIVHKNILAENSTFFADRISRQSPVSCIEVPDCEDVEIYVETVGLMYCKNVKQRLIKQNVPRVLRILKVAELLGFRACIMSCLDYLEAVPWVGEEEENVVSSIRQLNDENHRVNPLLKRVTSDLGNPPNDTLAHIIELVLKSNEDRGRREMKSLVLKLLKENNICTNSSTDSCVMTLYSSCRECLESLLILFREASHQEFADQSSDNKESIFRKITLEADNLLWLTEILSDRNAADELTVIWASQSELAELHGKIPIMHRHLVSCVTARLFVAIGKGEALPSKETRQLLLDVWLQPLMDDYRWLQHGCRSFDRKAVEEGIGQTILTLPLEDQQAVLLAWLGRFLKVGDSCPNLQRAFEVWWRRTFVRPYAEQQASSSSRSGRH >Et_2A_015143.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32485356:32485823:1 gene:Et_2A_015143 transcript:Et_2A_015143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGRLSGNITQDWEPVVVRRTKPKAADLKSTKAVNQALRSGAPVETVRKAAAGTNRHATAAPAPARKLDEITEPAAVERVAVEVRAAIQKARVAKGWSQAELAKRINERAQVVQEYESGKAAPVQAVLAKMERALEVKLRGKGVGAPLAAGVGK >Et_1A_007232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32372875:32374901:1 gene:Et_1A_007232 transcript:Et_1A_007232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIRVVFAPEAMGARLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVDPKKFGLLASWQRDYTMENILTQLKKEMAASHNRKLVQPPEGTFF >Et_9A_063120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10922097:10928592:1 gene:Et_9A_063120 transcript:Et_9A_063120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAEADRMRKRATEVREKVPQARMDLFEKCSGEAIYQLQPSPAPSDPKSTEIIFITIDVLCHLPYLKDVVLSNASNNPILTHVPGEIIDLACMASMNKQQLRRAVFSILRTYLAGCCPESLDVGVAVFVLARVGLGVIEGKLDLREHQVVGLVVGGVRAEHHLLDGVVLAGWIDAVGEPLHGEGGPLEGMRDDEIVEEGHVLLPDLVLFADEGLLHPNVELLFVRRATAADLRHLVGRSARVWKGEIGTQG >Et_10A_001581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5460786:5463249:1 gene:Et_10A_001581 transcript:Et_10A_001581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWAVEDRVLFALSQAVLSLLRALGLPVMSFLFFLLNSWTKWFTILLSKSSPPRWVSPAVALTSKIPSSMGHIKGTTTKVEDEDILLTNAGSLLVKTVGNSSSCGLVDDTQHIETSNHSSILGGLPLRVVEHKIPLTTTQDQIGSWKAAKE >Et_2A_015954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19692548:19698794:1 gene:Et_2A_015954 transcript:Et_2A_015954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKFNASIHIVWKKEADACHKDDDNCKDGSITVRIARVKKQITIRNAYPEYPCPSFKVTNKMTLKKIDEFMFQRSISIAKSIANDIIIPNPAPQWVSDALNDMYPKLASILEKDSARCFLRLFVKNAGKGMTWDLTITAQTLTYMVSYDALRCAKVVLEGKAAELCGRHANPNCINSYGFFPLHEAAERFSVEMIKLLLRHGASANVRTVGDAVIADLLPLHVAVENTCLHKYLEDNLFPEQDHLDYIFRLIHLLCLPEMKVFLDTTRLLAENTNNLVDEIWNYMQHGKFVQSAVLLLAAQGQIRRGSSSKRNGSTKKDGFDIISNRIIRLSVAVRWEKSSNGMAQNLLDERRMLIDCMGLLIDVISRAGEALAAYIQAHSEVSHGEVLKHVSSILMEYGFCPSGEVIDTINLRPYDCKMSDEESPCKGLKDLAVTKRVKLHAAEEKAVRKNQSRGWDATYARRSFFPYWRSVLRSRDPLKGIWNCCDLCVQAIEDAKEGMNR >Et_2B_022400.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20218509:20218760:-1 gene:Et_2B_022400 transcript:Et_2B_022400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPFVPMEERAPEQGAAKKEQEERKVLLVHSQVRRIKQEDEEIRERLLKLQLLEIRPLVTRRAMRSLSPLRRAGNAIPVGE >Et_6A_047681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9648472:9652686:1 gene:Et_6A_047681 transcript:Et_6A_047681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIDSRYEVPRTAAFLRDRAYTRVVLQFPDEMLKEAAAVARALRRELGGGGVRVFVMADTVYNSCCVDEVGASYIDAQCVVHYGHACMSPTSTLPAFFVFGKAPLDVNSCACSLLECSRKSNKRVLVLYGLEYAYALSDIKRAIQESSKSCPCNAEVQFADVLCSVMSPSSSSTEEKECPQSSGNSCTGGLSIDSDAATYLNSCSSMEQSGSTLKYSLGGVTWYISAEDSMDDYLIFWIGQDNSAFANIVLTFNKCEIVRYDAIANHLSSDVSHLMKILRRRYFLVEKAKDANIIGILVGTLGVAGYLHIIEQMKELIKAAGKKSYTLVMGRPNSAKLANFPECEVFVYVSCAQTALLDSKEFLAPVITPFEAVLAFSRGRKWTGEYLLDFKDLITSEKLEIVSTMEEARFSFIKGGYVEDSCPQENEEQSETSLSLAEVTEKALSIQNPNKDAVLYQGGAMTSIDYLKARSYRGLTGEYGDTAPDSVLAGRTGRAAGYSHEKTQGPQ >Et_4B_035984.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:10147970:10148569:-1 gene:Et_4B_035984 transcript:Et_4B_035984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVPSVPAVRIASRLHLASTFFPCSSTTTSTGGGPRRRRGSSSPGPPAALTVDVRVVIRRHFPAVVGGAARTVEKVAEDIALRRRPSRKLRAPESVARALVEDVLPFVRHKFDRGAVVTASKEICAYVSTACADARLAYGGAGVLVLVDTFVCPGVVFRAALAPRPPCKPVMTVTSRLSKTGSAYAWHLSRALRLTL >Et_6B_049848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1561748:1565900:1 gene:Et_6B_049848 transcript:Et_6B_049848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRHIPPVLLLLLFSLSLSFRRCLLLSRNPSQSAGDHQLLRRLAAVDDGGDQLLYEAAALFAKASISSFPSLGNHHRLLYLRLPNYYNPTKPGPPKARAVSRLRVPFDGIPTDASLLAAFRASLRRFLLSRHRRRGSAAGVMTELPGLLGRRRRFPTCAVVGNSGILLGSGRGEQIDAHDFVIRLNNARVAGFAADVGAKTSLSFVNSNILHQCATRSAISVAGCGCHPYGRAVPMAMYVCQPAHLLDALICNATATPDAPFPLVVTDARLDALCARIAKYYSLRRFVADTAEPASNWTRRHDERYFHYSSGLQAVVMALGVCDEVSLFGFGKAAGAKHHYHTNQKKELDLHDYEAEYDFYRDLQERPEAVPFLDEAPGFKVPPRAAKHKLER >Et_3B_028705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18227498:18231039:1 gene:Et_3B_028705 transcript:Et_3B_028705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLVAKSTRQTLQVRTDQEEQEDSNSGGQDILIFSGAAGGSRLHIWIWSEPPADLPRLGATGRLFLFPGPGPLKFCSRFTIHSISTLEPLDVIYMGCLPTKHAHRSPHSADAREAIALAAETSFTVNEVEALYDLFRKLSNSIVKDGLIHKEEFLLALFRNKKQNLFVDRVFDLFDQKRNGVIEFGEFVRSLSVFHPDAPEEQKIAFAFRLYDLRQTGFIERHEEMVLALLDESDLNITSDAVELIVDRTFDQADLKGDGRIDQEEWKEFAKSNPYVLRNMSFPYLKDITMVFPSFVMHSEINEADMAV >Et_2A_015690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1701532:1703254:1 gene:Et_2A_015690 transcript:Et_2A_015690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGGPLLLLVALLTVAAAASPVEPAAQVEDTAPAQHASVEAAAVSAQQQQHILPRPLVIELPSSAAPPDEAFEELPLDVRCASWRLAVEANNLAPWRAVPAECAPHVRDYVTGVAYRADLDLVARESAAYARAAPRGDDGRDAWVFDIDETLLSNLPYYAEHGYGLELFDHHAFDEWVERAEAPAIPSSLKLYKEVRELGFKTFLLTGRSEAHQGVTVENLKRQGFHDWDKLILRQAVDRNKTAMTYKSEKRKEMEAEGYRILGNSGDQWSDLLGSSVAVRSFKLPNPMYYIP >Et_1A_006765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27369757:27373783:1 gene:Et_1A_006765 transcript:Et_1A_006765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLPETASDGKALTDAWDYKGRPASRATTGGWGCAAMILGAELFERMTTLGIAVNLVPYMTGTMHLGNAEAANTVTNFIGTSFMLCLLGGFIADTYLGRYLTIAIFTAVQATGVMILTISTAAPGLRPPPCADAKGASADCVRADGKQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDDGHDGERKKMLRFFNWFYFFVSIGALLAVTVLVYVQDNVGRRFGYGICAVGILCGLAVFLSGTRKYRFKKLVGSPLTQVAAVTAAAWSKRALPLPSDPDMLYDVDDAAASGTDVKGKQRLPHSKECRFLDHAAILDREETAAGTSSKWTLCTRTDVEEVKQVVRMLPIWATTIMFWTIHAQMTTFSVAQAEVMDRGLGGSGFQIPAGSLTVFLIGSILLTVPVYDRLVAPVARRATGNPHGLSPLQRVFVGLFFSVVGMAAAALIERHRQATSEHGVVLTVFLLMPQFVLVGAGEAFTYMGQLDFFLRECPKGMKTMSTGLFLSTCALGFFFSTLLVTIVHKVTGHSGRGGWLADNLNDGRLDYFYWLLAVISAVNLGLFTVAAKGYVYKEKRLADAGIELADEETIAVGH >Et_2A_015731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17351632:17357797:1 gene:Et_2A_015731 transcript:Et_2A_015731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKLHKQHSGSLIETLKMERVRTILTHRYPYPHEHSRHFIIAVFVCWLFFISSDNMQTLIMKLDKNFKWWSMYACLIGFFYFFSSPFIRKTIKPNYSNFSRWYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFLMVFHVIFLGLWYLGLVSRMAEKKPEMLTILQNCAVISIACCVFYSHCGNRTVSRDKSIDRRTASWVAFSLWTKHDDNTLISRLLRMHKFKEQICSSWFAPVGSASDYPLLSKWAIYGELSSNGSGSSNDISPVYSLWATFIGLYIANYVVERSTGWALTHPLTISEYEKLKKQLKPDFEDMVPWYSGTSADLFKTVFDLMISVTLFVGRFDMRMMQAAMNKTPDEANNRDLLYDHLDEKDELWFDFIADTGDGGNSTYAVARLLAQPSLVIKSDDTRLSFPRGKLLLIGGDLAYPNPSSFSYERRFFCPFEYALQPPAWYKPEHIALEKPELPLGVSELRQYRGPQCFMIPGNHDWFDGLNTFMRYICHKSWLGGWFLPQKKSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCQQKVGETDSVIVITHEPNWLLDWYWSDSSGTNVAYLIREYLKGRCKLRMAGDLHHYMRHSCIESKGSVHVQHLLVNGCGGAFLHPTHVFKNFKEFYGNKYETKAAYPSYDDSSRIALGNIGKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILHEDSWAGRVNSFFVAVWNAVFEILEHSYVSLAGVVTLLMVSFFFVPTKLSRRRRALLGFLHAAAHLTSAVLLMLLMELAIEICIRNHLLATSGYHTLYEWYRKVESEHFPDPTGLRARLEHWTFGLYPACIKYLMSAFDIPEVMAVTRSTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSLVFGSYLYICINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTLAVDKVPKEWMLDPDWDMEPKQPFQMSYTRKFPSKWRSASGLDPINSVRIVDQFVIPRTPPSPTTPNC >Et_10A_001364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23691727:23693311:-1 gene:Et_10A_001364 transcript:Et_10A_001364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKVYANSPATYEGYGSRLGVEKGAILDWSDYYLLNLLPSDAQNLEKWPKLPCNLREATEKYSCELVNLCEVLLKSISSSLGLEEDCLHRAFGGSDGISTCMRVNYYPKCPQPEVTLGLSSHSDPGGITLLLVDDHVTGTQVRKENTWLTVQPIPESFVVNVGDQIQIMSNGAYKSVEHRALANSGADRLTIAFFCNPRGAACGPGVAGGPGISFNEYRKYVRTKGASGRAQVQALSIKLAAANN >Et_10B_002714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:107861:109509:1 gene:Et_10B_002714 transcript:Et_10B_002714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLLFLVSALLLSLLAIAVLELLKKPSSSPRLPPGPWNLPVIGSAHRLVNTLAHRALRDMANEHGPLMHLRVGQVPVVVVTSKDLARDVLKTHDANFATRPKLVAGGIVAYDWTDILFSPSDDYWRKLRRLCIQEILSTKRILSFQHIREDEVLNLVREIRTAGPLTPVDLSSRLHRITNSIVSRAAFGKKRSNAADFLAAIKQSVVMSSGFYVPDLFPRFTGILSVLTGMNRKLQGIRETIDGILEEIISEREEILKQSRTNHLQEDNLVDVLLGLQDNSGFDMFAGGTGTSASAMEWAMSELMMNPKVMRKLQAEIREAFHGKQIITETDLRASDLKYLKYVMKETLRLHPPAPLLVPRESIEACEINGYMIPAKARVIVNSWAISRDPKYWEDADEFKSDTFEDASIDYFGSSYEYTPFGSGRRMCPGYNYGLASMELTLAQLLHSFDWRLPDGINEVDMSEAPGLGVRRKTPLLLCATPYIFAS >Et_6A_046400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14424875:14435229:1 gene:Et_6A_046400 transcript:Et_6A_046400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCRVPGDLGATTLMPHSSRNSSLSARTSAPSAVVLNSFLTAYYAPSSGPTKHGTTRSSSSAPTPASFVLSTLCCHSTSSSTRKMCLTKTAIEDSNDKLRLPLTKTACSCKLLYVYLLLAHAAAASGAVVNVGVYWGQNGSDGGTLEETCRTGLIQERPGTGPKLDPSACRSCSGQGRDIAICQTKGMKVLLGIGSGTRSQPFGDAVLNGIDLDIASGYRYYDDLATNRKSLFGGDKVAGQKYLRTMAPQCLFQDVSLATALDTGLFNRVSVQFYSNPSCKYAIGDMRSLKKAWEQWTGAFPLVSMFLPLPASPHAAPMGGFIDADALASQVLPVVKGDANYYGGGIALSSRYYDRATGYSDDTLAAQALAGVCVILFTIFLFYKMRWQRGSNNAARIESFLQKQGRSTSHPKRYTYSEVRRMTKSFAHKLGQCCYGAVYRGNLSDGREDTEGDGEEFMNEVASISRTSYVNVVTLIGFILQGSKRALLYKYMPNGSLERYAFASNSAEGDNTLTWDKLFDMLHTGCNTSIVHFDIKHQNILLDQGFFQRSQTLEQSCANKRRAKTIGYIAPEVFSRNYGAVSSKSDVYSYGMVVLEMVGARKQIDVSTNSSSKYFPQRLYDKLDQFCGATCEISSEITELVRKMTIVSLWCIQFMPADRPSMSNVLEMLQSSIADLHAAAASGAALNIGVYWGQTNKDWEGTLAETCATGRYTLVIIGFLSEFGSGRAPVLNLAGCDASAGGCAGLGGDIATCQSSGVKVLLSIGGGGQNYSLSSASDAQSTARYLWDTFLGGNRARSRPLGSAVLDGIDLDIASGYRYYDDLATNLTSLYKDATGGRKYLLTAAPQCPFPDASLDAALRTGLFDHVWVQFYNNAPCQYVSGDVSNLKISWEQWTRAFPSASVFLGLPASPGAAPGGGFIDADAMASQVLPVVKGAANYGGMHSPSSMFTDIIAGASCLAGVCIILFTFSMWYKKYYGKMPWQGGSRNAPRIESFLQKQGTLHPQRYTYSEVRRMTKSFAHKLGQGGYGAVYRGNLPYGREIAVKMLKDTEGDGEEFMNEVGSISRTSHVNVVTLLGFCLQGANRALIYEYMPNGSLEKYSFGNNSVERGNSLTWEKLFDIVIGIARGLEYLHTGCNTRIVHFDIKPQNILLDQDFCPKISDFGLAKLCRQKESKISIAGARGTIGYIAPEVFSRNYGAVSSKSDVYSYGMVVLEMVGARKQIEVSTDSISKYFPQWLYDNLDQFCGAICEISNETTELVRKMTIVGLWCIQLTPADRPSMSKVLEMLESSTVDLQLPPKAF >Et_1A_005270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36883383:36886064:-1 gene:Et_1A_005270 transcript:Et_1A_005270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAISLPNQFLAKPIPPSLCFLKNLTHLDLSYNNFSTAFPIALYNCSNLNYLDISNNFFVGQLPDDINTLPAQLKHLNLSTNRFTGKIPPSIGWLPRLKSLLLDTNRFDGPLPSELGKHSALLNIEVPNNNLSGELPEGLCFNKKLYDIVVFNNSFSDQLSTVMIQNNNFSGTFPTQLPWNFTRLDISNNRFSGPIPTLAGFMPVLTILDLSSNALSGEIPKDFNKLKLNILNLSKNQLIGEIPTVLQIDAYDLRFNPGLCVSSNSSIHSFSMCRASTHFSNDLSRRLIVLFYVLACIIFLVSAVGGFLLLVRRQKHKQDPLQWKLTPFHALHFTEQDILSGLCEQNLIGSGRSGKVYRVYVTNGRGAGRIVAVKKIWKMQNLNEDLEKDFLAEIQILGEIRHTNIVKLLCCISSSEAKLLVYEYMENGSLDRWLHQRERIGVPAPLDWALRLQIAINSARGLCYMHHGCSPAIVHRDVKSANILLDPEFRAKIADFGLARILLKAGDPESVSAIGGTFGYMPPEYGYWRKVNEKVDVYSFGVVLLELTTGQVANGGGAEYSLAQRAWREYQENGLSVDLIDEEIQDPVHNEDILAVFTLAIICTGEQPSMRPSMKDVLHALLRFDHRPQGGSLQHTVSEEVALLES >Et_10A_000894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18812310:18816444:-1 gene:Et_10A_000894 transcript:Et_10A_000894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAFGCDAMMANGRLQKQALLPPRSPFPAASAPAPAPHAELGPIARPREAHHRHGHQRTSSESFLVDEQPSWLDDLLDEPETPARAHGRPGHRRSSSDSFALFDGGASSGMYDNVLDGRRGGGQVASWTGAPEFFPDPSSFGRQQGRPWDSRQMFRQGGGMPMPAREKIGGRHGPSSAFGDHEHGPVPNGVDRKGHGDAALDQRKDGVNLRHSQSEADTKRAKQQYAQRSRVRKLQYIAELERRVQSLQTEGIEVSAEMDFLGQQNIMLDLENKALKQRLESLSQEHLIKRYQQEMFEREISRLRSLFQQQQQQPQQAPTHSRSNSRDLDSQFANLSLKHSDPNSGRDAVSGLRI >Et_7A_051586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20714723:20719377:-1 gene:Et_7A_051586 transcript:Et_7A_051586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTPTTPASTARTAAVFGRGILRLRTHATRARLAAGLVRPGGRAATALVRAAQPAAPELVEQSVNTICFLAVDAVEKAQSGHPGLPMGCAPLGHILFDEFLRFNPKNPAWFDRDRFVLSAGHGCMLQYALLHLAGYDGVTMDDLKAFRQWGSTTPGHPENFETPGVEVTTGPLGQGFANAVGLALAEKHLAARFNKTDLKIVDHYTYVILGDGCQMEGISNEAASLAGHWGLGKLIAFYDDNHISIDGSTDIAFTEDVLARYEALGWHTIWVKNGNTGYDDIRAAIKEAKAVKDKPTLIKVTTTIGFGSPNKANTYSVHGSALGSKEVEATRRNLGWDHEPFHVPDEVKRHWSHHVDEGAAFEAEWNRKFADYEKKYHEEATELKTIITRELPSGWDNALPTYTPESSPDATRNLSQKCLNALAKVIPGFLGGSADLATSNMTLLKMSGDFQRDTPEERNIRFGVREHAMGAISNGIAVHSPGLIPYCATFFVFTDYMRASIRLSALSESGVIFVMTHDSIGLGEDGPTHQPVEQLLSLRAMPNILLLRPADGNETSGAYKIAVLNRKRPSILALSRQKLPQLKGTSVDAVAKGGYIISDNSSDNKPDLILIGTGSELEIAEKAAEELRKHRRAVRVVSLVCWELFEEQSEEYKESVLPSEVTSRLSIEAGVTFGWEKYIGHRGKAIGVDRFGASAPAGEIYKELGLTVENVIAMASTL >Et_6B_049184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19109513:19110171:-1 gene:Et_6B_049184 transcript:Et_6B_049184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDMGILCHGGDDDDGEYFTVADLGHRYWEEVELCVLHHAPRCSRHNNSMEEWRVKKLPIPSGHNKNLRSHLCWVDYYQGLLLIDVLTDTPQLRYIPLPAEALQSRRPYIVDALAPDPFRCVSVTEIGTIKLVCINAVDKGCPSTTFTIKTWTCNISQGEWTDCGTAMDAHEFFGLYGPAQSNLPLVKPRFLVVSLVDPHVVCFLLEEEDHHVI >Et_7A_050453.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16264729:16264764:-1 gene:Et_7A_050453 transcript:Et_7A_050453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGLQLVPSG >Et_2B_022500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23904024:23906097:-1 gene:Et_2B_022500 transcript:Et_2B_022500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASSLTAAPIAVFVALMLLMFGSTEAKFTSNNITVVGSVYCDACSNNTFSKHSFFLKELAFCGRGMPNPTLWEYGILLCKPNVGDTNGGGTLHAGARVLIQCNFKVNSTTSEQLSLEAERTTDQNGVYKLDVPPVDGFACREGHELRSACRATLVRSSSAACNVPGLRGSTQHIALRSRATNACFLNLNTLNFRPAKRDGALCHGGNGDAFGSSLFFWPFLPLFWPPFRLPFPAPGGGGAAGGTVSFPWPFPVPDWLVPFLRPPFLPFPLYEPAPSPPPPFFRFPPAQEAASRP >Et_3B_027886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24968881:24969729:-1 gene:Et_3B_027886 transcript:Et_3B_027886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGGDNQRQRAADEAEQAARNHHHAGAVAASRQQQWSAQSTESRIVRVSRVFGGKDRHSKVKTVKGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAARHEIDKLPPLQFPPQADLMAMPLMHDDKFGHHIAALAAAGEGANNKADADDHLGRFHRFMGLNNSLGMANAAAMAYSNYAAEGAWNNSSNSLAPGPHQLVFYSSEADQQQFQVGNNNLGSHSLSLSSARAFHDQTGGS >Et_4A_034210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30925410:30926960:1 gene:Et_4A_034210 transcript:Et_4A_034210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPRPPEVVPRQRGSSFHDKNLFLQFSSGRTLNTAQIPLVASHPEVYEPCDDSFALVDALLSDKAQLLTLQPRLCMEIGCGSGYVITSLAIMLRQLGSGTQYIATDINQYAAETTQATLEAHGVHADVIATDIVSGLEKRLAGMVDVVVVNPPYVPTPEEEIGCKGIASSWAGGLNGRQVIDKILPAVREVLSERGWLYMIALEDNDPSDICHLMSEMGYASRVVLKRCTEEESLYVLKFWRDAQAGANASPRSSGHDSWFSQLPFRSLWHK >Et_2A_017831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6919893:6937583:-1 gene:Et_2A_017831 transcript:Et_2A_017831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKTIVLKNYRGTKSQVSFASFFVLNAKLLELMVFEGEVYKDDQISIVEQHKLLQLEKRASIGARFSFTASCGCRHNNLPHIKHSPPKKKKIHERALEPPPGKGMEAAPAAMKSRTMGEASEEQDKDLTGRKSPHKSDGTGGIDRISGLPDEILGEIISLLPTKDGVRTQTLASRWRHLWLSAPLNVDQNGLPSKELVQADIITRILATHRGPARRLSLRMSHFIRCPETVIAWLQSPALHNLQELELAQFFSCLRTSLPASAFWFSATLRAVTIDSCHLPDSVVETLHFPELKQLELGFVEISNGALHRLIAGCPVLEYLLLNGAYREAIRINSPSIISIGMGSGKLIIEDAPSLVRLILLDQYITLEVISAPKLETLGSLSDRGFDPKFVFGTLVGTKLQSLPSVGLNYCILLVLNLYYLWHGFGLIMWSMFVKNFCAVSFTTSVCSVRTLAISTLDLSVHMVINLLISFRCVEKLYIESEVSKDRNFWRRKHRNLIRCLDMSLKTIVLKNYRGTKSQVSFASFFVLNAKLLELMVFEGEVYKDDQISIAKQHRLLQLEKRASIGARFSFTAGRGCYLNSLPHIKHYLSPPASTFRLWATLRVATFSQCDILVQPVETLLFPQLRQLAFDSLRILVVSLHSMIARSTLLALESIITSYGELVIEDMLLLERLLQLEPHNRIRITDLRPGSITTVVCSIKILPTGNNLTLDSNLWHHNSHELTLQRFMLNATRLELKGFEGGINNDDEIYIVESVGCTKILGEIISLLPTKDGVRTQTLASLWRHLWLSAPLNLDHSDLPSNGDVQAGIMTRILATHRGPARRVSVRMSQLVQCPETVPALLQSPALHNLQELEIHVFHPVLPRALLPASAFPFSATHRLANIIGCHLPDSMVETLHFPQLKHLGLGYVKISNGALHGLIAGSPVLEYLLINGGYFEDVRISSPSIKSIGLSSGEFIIEDAPSLVRLILLDPDMRRLDVISAPKLETLGGLSDCDYSSNLLFGTLKIQIQPNFLLCWLKNLCAVNFTTGVCSVKMFAINMDDLNLHIVINLMRCFPCLEKLYVKLHDSNPIVDVTVAHFVSFIFQSRITINRNYWRRKHQNLIRSLDIRLKTVVLKQYRGTRSQVNFASFFVLNAKLLEVMRLEGEVYNDDQISITKQHRLLQLEKRASAGARFSFTAGCRYVHNLTHIEHAAVPQAKKIRTEAAERQQESKEILGEASPPAGGVPHSEPTPRGQEPPAVAGGEEGDGVDRISSLPDAVLGEIISLLPTKDGARTQSLASRWRHLWLSAPLNLDHSGLPDDEEVQVRIISRVLAAHPGPGRRLSVSKHHLQYCTPTVDAWLLSPALDNLEELEFDNGKLSFSYHCGPLVSLPASAFRFSATLRVATISKCNLLDQPIETLLFPQLRQLALDSVIISDHDRRCPVLECLLFEGSIAFDCLRINSPSLRSLALRSNMNIGDLVNVVIEDAPLLERLLQLEPHSRLHVSIISAPKLETLGCFSDLGFSSKFVFATTVIQVASYTRLLTLFPCLQRNFMLPPFIENT >Et_2B_020330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19082438:19085556:1 gene:Et_2B_020330 transcript:Et_2B_020330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHLSGPRVVAPNPALGGLRVAPSLAAPRARRARGLVVRAATVLSPKYTSVKPLGDRVLVKVNSSEAKTEGGILLPVSVQSRPTGGEVVAVGEGRSIGSSNIEISVPVGAQIVYSKYAGTELKFNDADHLILKEDDIIGILDSDDVKDLKPLNDRILIKVAEAEEQTAGGLLLTQANKEKPSIGTVIAVGPGPLNEDGSRKALSITPGSDVMYSKYAGSEFKGEDGEYIVLRASDVMAVLP >Et_7B_055540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13850272:13852281:1 gene:Et_7B_055540 transcript:Et_7B_055540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSKRSVSGAAFLKPLAGASPGCVPGSSAVYFLVGIALGVVAVFQASEFEVGGGEWASAARWAVASLAGSVAAHHLLVAISLLFLAASVWRLGRRCDAVEGLVCNADSAVQALRVGGVVCAVCGSKIQALKKGRVGTTARSSSSKGGADKPVSRSLAAEFEQEADKEEEDNAGEVSDSEESNVQWLRRRLKEEKMLKEVALEELEKERRAAASAADEAMAKIACLRSEKALVEREARQFREMAQQKQLYDRQVIESLQFVITRSGLQCWGEAEASSDRAVSETSEDDRDRKFQPSENFTEISVSHQVISDLDRCVLFASRYRYMTWLISL >Et_3B_027510.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23343567:23343860:1 gene:Et_3B_027510 transcript:Et_3B_027510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQHGWVFTVDRSLVDPEGKSRRRAVIVEGAATANGGFVRAPRKPTNHSKPAVGRACGGKACAASGKGTNKFKHDEVKMYYLELEGAFDAAFDA >Et_2B_022947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:955241:960819:1 gene:Et_2B_022947 transcript:Et_2B_022947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKGVRRREKNYRAAHGGNSRLPPPPKQRELEAIPSKLRRLIAIQNKQNANASGSAGGGGAPGKQDDKAGKNKPAKDKETKKRNLETPADSKAAEIKDNDGPAANETVNAEENKEKRKRKRKAMDLRFKELEENVSVSKKQKRKKHLDEKKKKRKGNKAETLPDFPGREKVKFGDVVQAPPKLSFPKVKNALDASREMLRKEAIENYRNIKGWTSRPGLQIPALAENTSLPEFFRVLTPEDLHETYRMSYISDVIGISKVGLEDMLNDGRVRGEELTVPGI >Et_7B_054357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20317896:20318663:-1 gene:Et_7B_054357 transcript:Et_7B_054357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRSIGHPHRWAAKSVNGCIVAPKFDLHEGAENFTAVQMVLEPATHPSCCIRFHWASVGMLPSPSATRLRHASLIPSMCLSIDEDLVSKFMKQIVSYG >Et_10A_000111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5137663:5137911:1 gene:Et_10A_000111 transcript:Et_10A_000111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METIDSLFKPQGTEDDGLIRECLIDFYTSSGGKKLRKSSSSSWI >Et_3A_025196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27829155:27839955:1 gene:Et_3A_025196 transcript:Et_3A_025196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGSGTALLAFVLLGLCAAVARSTAANNEMMHESYAGKSEFRTVNRKELESCLNPSPYLAINVSTGGAPLPDEAFVKVSVAGVLKPDDSDWVAMITPSNSSVSGCPLSGVNYIETGDLANLPLLCHYPVKAQYLTSDPGYMGCKNAGCGKRDASGACQARTCAATLTFHVVNFRTDVEFVLFSGGFKSPCLLKRSGAVRFSNPASPLYGHLSSTDSKATSMRLTWVSGDGKPQQVKYGGGKSATSNVATFTQKDMCDIPVLPSPAKDFGWHDPGYIHSAVMTGLQPSQSYIYRYGRDYADSGSVYETPDSGGECGVPYESYFPMPSVSKDKPWYSIEQGSVHFIVMSTEHGWSEKSEQYNWMDEDLSSVNRSRTPWVIFIGWIWFSLVMYTTMRGPVQYTRAIAKACQRRTQKELTPMITAIIPLRFMPLLEQEAAMGVSGIAWLAILALCSAVARSAPPTLPPPEMFHESFAGKSEFRTVNRKPLDSCLNPSPYLAIKVSTGGSSPPLIPDEAFLQVTVAGVLRPDRNDWVAMVTPSNSSVSGCPLNGVNYVETGDLANLPLLCHYPVKAQHLTSDPGYLGCKNAACQKRDASGACKVRTCAATLTFHVVNFRTDVEFVLFSGGFRTPCVLKRSGALRFANPAKPLYGHLSSIDSHATSMRLTWVSGDGRPQQVQYAGGMSATSQVATFTQKDMCSVPVLPSPAKDFGWYDPGYIHSAVMTGLQPSQSYTYRYGRDYADSGSIYVTPDSGGECGVPYESYFPMPAANKDKPWYSIEQGSVHFIVMSTEHKWSEKSEQGERWSLSRVSEFGYARVHATRTDVLVQFVNAGTMEVRDQFRIVK >Et_4A_033231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20113881:20118339:-1 gene:Et_4A_033231 transcript:Et_4A_033231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATASQAWGPVSATEPHFPGPLAVSEEGAHGIARINSRGLSTSASGVWELQNIAMAVLAVASAPKTLNPIFDPSTGRRRRPSSLVRIPLPLQRGRRLLCSAQYGEAAAPPTTARPAEIPWSKELSNSVRLIGTVGTDVELRHLPSGAAVARGRIAVWKSATETTWVTLAFWDDLAIVASEHVKKGDRIFVSGRLVSDTVDEGPEKRQVYYKVVVQELNFIESMQPVRLYEPEASQGASGGRRGDYFNSTSSSAEEKSRDTISSSSRSSEELWQAFFANPLDWWDNRTNKKNPRSPDFKHKSTGEALWIDGRNNPNWVMSQLAVLDSRMGSLQDNGRKSVSYMYADEFMTLE >Et_5A_042037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5504210:5523325:-1 gene:Et_5A_042037 transcript:Et_5A_042037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTLVRHEQAETLADPHAVVTNDGVLPTDLLTEILLRVPAKALCRLRLVCRSWRSLTSDPRFARTHSSRHPLFAGRGPSKDKEAEYEIHIFDMFGNIVKRMCGLGDLDMHLSTQADLVCVKRYPTSEEEGDRDLLLNPATGAVHVLPGVSVRCIYATCFLGHVPSTGEYKVLHVCLQHSDGVEVQHSYEVVTLKLDGGSNQSWRVHVPPCPPPTSILPYTGYMVIIREIAYIMSRRHHLDIVLFDLVTEQWRPSVVRGPRIINLQESESIGLFELNRCLAIGHHNNKNQDCSMDLWFLVDVDKGLWTKRYSIVCEPNWRYHTPDDPPCPLVILDDGRLVIGYDWARILRAFDPRTCSWADLAKLPDPEHPIGLYQGNLLSAMAPPASSDEPARHKKAKKTKYDKEEKKKHKKKGEERPATEDASPSCAAERKKRKHEEGREEKRDGNNKYRKEGKEADAEAVDGRNAKMKRAMEDERFAAARTDPRFRPMRKKEAKVELDSRFNSMMTDPRFASASAPVDKRGRRRKKAARDNPMLHYYLNQEEEEEDGKEKEKEEKEKVIEEKGEEEEEDDDEEQEEEESSSSDDDEEDVYVDDDADSIGSDIAHYLMARHDDTPMIDKETHRLAVVNMDWDHIKVYYYAVVVCDSSATANHLYMTLDGTEFLKTANVFDLQFIPNSMEFKHPARDVATEAPPNYKEPDFETRALQHSRVKLSWDDDEPERKKVLRRKFTDDQLDELDMYLASDDSASDDDDVGNSGDESLPNGASNRKLTKEERLAILLQGDKSDEEQSDDQDMEITFNTELEDFSKRTLERKNNEEKTVWEKHREKMKEKRKARKRGLKESGDDYSSEDEQDDNDNFFAEEQSDEETKPSKSKKQKTKIKDKAKGKGKDKSTEEQLEQEATKEELELLVAADQDTTNGAKGYNLKRRKGKKGKKGTEESVEVKLPDIDLSKDERFSEMFSSNLYAVDPTNPQYKRNAVFMRKQAVKEGARAGKADMEPPVERRSDLGGTLPLDDAATKNDDQKPDGASAEKLQILSAVKSLKRNLSSFKHTRTLADPLAVVANDGVLPTDLLTEVLLRVPAKPLCRLRLVCRSWRSLTSDPCFARAHTSRHPLFAGRYYVEDEEKYEHEIHIFNMFGDTVKRMCGLGDDLAVHLSAQADLLCVKRQTKRQDLLLNPATGAVHVLPGVSSTRRCVGAKCFLGHVPSTGEYKVLRVLTYFGGGDDDDHQHAYEVITLLLDGGPGSQSWRAHVTPCPPPPTGILPYTRRLLLVESSGQHVMFDLATEEWRPSVVQGPPIHVQRTETDGLFGLNGCLVLVHHKNQDCSMDLWFLVDVDKGLWTKRYSMHCGPHWENHIDYPPCPLVVLDDGRLVVGSDWACVLRAFDPRTRTWADLAERLDKENPIGLYEGNLLVASLVGPLDYMEDTTSIHRNFFTWVDGKVMHFAGVFDGHGGTHRSALCQRQMHLVLADELAAESARFLLRRRHHQRLQAPWVEQDEEEVAWSAALMRAFARVDALSDLACACGEATLPRCACPLSDVAAPCVGSTAVVAVFVGDRIVVANCGDSRALLCRGAGGSTPLPLSDDHKPDRPDERARIMSVGGQVLYVNRTPRVRGLLAMSRALVICEPEITVTQRTMADHFLIIASDGVWDVLSNKSACAVTRRCLENGNNHPTAATAGAVGPDEERRCKVAATFLAELALDMDSRDNVSTVIVDLQNRAMKAPPPVRHKRASLADPHAVVANDGVLPTDLLTEVLLRVPAKALCRFRLVCRSWRSLTSDPRFARAHSSRHPLFAGFSDAADREEYDLLVLDMFGGVVKRTRGLGVPDVHLSSQADLVCVNRTLEVEDCDDGLLSQDLLLNPATGAIHALPDVSISSREVTRSYLGRVPSTGEYKVLRVVQYCGPVSEVQFAYEVVALDDGSCRQGWRVRVAPDPPTGVSPYIGNIVVICEVAYFFSRREDSIVMFDLAAIEEWRPSIVQGPLIVDCCQRNGTVALFRLNGLLVLQHHKAHDCSMDLWFLMDVDKGLWTKRCSTHCDCGPHWSDHTIYSLCPLVVLDDGRLVVGSDMARVLRYYDPRTCTWVDLVAKPEEEDHIVSIVLYEGNLLCP >Et_9B_065910.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17316042:17316314:1 gene:Et_9B_065910 transcript:Et_9B_065910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVMDPAATATVPGTARGNISSAAAVVCYSPMMVTAYGIWQGGNPLEFSLPLFILQTAIIVGTTRILVLLLKPLRQPRVIAEILVRID >Et_2A_018534.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3232761:3233237:1 gene:Et_2A_018534 transcript:Et_2A_018534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTYAMLQSLRAEKAAVKPSAEEVPFSRFSTWITPMHVAAGTYVLISSLMVRHHAMQAANYASFSLDHARRLKDDLEKAKAELAEVKKASVVDVERAKAVAVLEFVGSEEYERRLTEEALKGYERGMEDMKRVALRLRPEIDAARLIVPPGGFIFQ >Et_2B_021603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3484359:3491105:1 gene:Et_2B_021603 transcript:Et_2B_021603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALCAALTELGFDGEDPLDADALEWPFQYEEARPLLAWICSCLRPSNVLSPSHLAQYEQLVEEGRLLEGEDLDSAFDSISAFSSKKDNQEAVFGSEETILDIREAKLAYRAEVFELQKQLARQQAQFELLAGQASTLIQGRRARLSAMSTVSGQLVSLDEILSSRNLEMNAVLGRIAATTQELAHYHSGDEDSIYLAYSDFHPYVIGDLACTKELNRWFAKQFEKGPFRLVAEEGKSKCSWVSLDDITNCLIRGDSEKSHHHQRVAELQRLRSIFATSERQWIEAQVENAKQQAILSMLKSQVSSDEAHIHRDIHSLRRKSSELAGELSTLSQKVQAFVSETIPCLCSELAQLQGTYILQGDYDLKVMRQEYYINRQKTFINHLVNQLARHQFLKIACQLERKYIASAYSLLRVIESELQSYLSAVNTRLGHYNSLIQAASEVREQGAIDDRDTFLHAVRDLLCIHSNVQATVPTYMSAHALVQQISALQSDLLSLQSELENTLPADRKRCINELCTLIQTVEQLLFASSTTAEPILTPWPLMRALDDMENANAQVEVSVEEVTKARTQKIKIFENRAHEVGRERQIFVDFFCNPERLKNQVRELTSRVKALQE >Et_3B_029308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23941065:23948749:1 gene:Et_3B_029308 transcript:Et_3B_029308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVSRALPFASRSSLHLPIPPPLRGAALVRSASAPPLPSAPTAAPTPSLLSWRGLTATPEPSPWASPLFSGFFAGIRGFRRARRGQAASKRAQPQEDAAPPPPPPPPPKESEIELYARIGVEEDMPDDSEVLNIVEILKLNVPMAMKIALDGLLDSNYSTRDTSISDVGKYDKVEVSVLLCNDNFIQNLNKEWRGEDSAADMLSMSQFIPDLDVPTLMLGDIAISVETAARQAEEKGVTLLDEVRVLAVRGILHLLGFNHEASDEAAMEMEKEEHLILKSLRWKGKGLAKSAQDSSKLHTDSLDGQVTNSEKRSVNLRFCRPKFKYIFLDMDGTLLNSKSQVTERNAEALREARSRGVNIVIATGKARPAVIDALSMADLSGRTGIVSESSPGVFLQGLLVYGLEGRQIYKRNLDQEVCREALLYSLEHKIPLVAFSQDHCYSMFDDPLVDSLHNVYHEPKAKIVPSIDQLLETAEIQKVLFLETPETITSALRPHWAKVIEGRAHVVQAQADMLELVPPATSKGNGVKILLNHLGISPDEVMAIGDGENDIEMLQLASLGVALANGSEKTKAVANVIGATNDEDGVAQAIYDYAF >Et_3A_025164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27439490:27444011:-1 gene:Et_3A_025164 transcript:Et_3A_025164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLCLLLYLLGHLAACHARDAVTPGRPLAANETLVSGGDGDFALGFFTPPGANATYVGVWYNKVSVRTVVWVANRESPIAGAVEDNPGATLSVSAGGTLAIAAGNSTVVWSVVPAPKLASPAARILDNGNLVLADGGGAGAVAWEGFDYPTDTLLPEMKLGIDYVKRRNRTLTAWKSPSDPSPGPIVMAMDTSGDPQVFIWDGPVKVWRSGPWDGVQFTGVPDTVTYSGFTFSFINNAREVTYSFQVHNVSIVSRLGLNSTGNYGLLQRSTWVEAAGAWNLYWYAPKDQCDAVSPCGPNGVCDTNTLPVCSCLRGFSPKTPAAWALRDGRDGCVRSTPLDCRRSNGTSTSTDGFVVVRHAKVPDTERSVVDMGLATLEQCRQACLRNCSCTAFASANVSGGGGHGIKGAGTGCVMWTSGLADLRVYPDFGQDLFVRLAAADLDLISKSRKPHIIIAVGASISALAFLLALVACLLIWARRENIRKTAATDGFSVNNKLGEGGFGPVYKGKLEDGQEIAVKTLSKTSVQGLEEFKNEVMLIAKLQHRNLVRLLGFSISGEERILVYEYMENKSLDYFLFEKSNVILDWQVRYRIIEGVTRGLLYLHQDSRYRIIHRDLKAANVLLDKEMTPKISDFGMARMFGSEETEINTRKVVGTYGYMSPEYAMDGVYSVKSDIFSYGVLLMEIVSGRRNRGVYSYSNHLNLLGHAWSLWNEGRGLELADETMNGSFNSDEVLKCIRVGLLCVQENPDDRPLMSQVLLMLATTDVASLPTPKQPGFAFRRVLTESDTSSSKPDCSIFDSATITMLEGR >Et_1B_012853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35564847:35572589:-1 gene:Et_1B_012853 transcript:Et_1B_012853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPSTIRRNPPRRARPPPNPLASAKPKPSSLSRLLDDEAAAPPPPPSSSQEERLKVFLRIRPLPDQERDRRKDLSRPMAPKDHRRKPKPAAAGGVCLVATGPNSVALTVPQSKLDSKRGRTEVFDGFAAVFSPDSSQLDIFNQVMNPLVDDFLGGKSGLLVAMGPTGSGKTHTVFGSPRNPGIVPLALRQIFNAANESKGGKQPARSFSLSMFEILSEGKGERILDLLSDAAECVLQQSTIKGLQEVAVTDFVDAESLVSRGMMKRSTAATNANSNRSQCIITIRAVYKSIDVESEHLPSSAVLAIADLAGAERERKTGNQGSRLLESNFINNTSMVFSHCLRSLLEHQKNQKKPLEKHFKNSMLTRYLRDYLEGRKKMTLVLNVKPGDDDYLDTTFLLRQASPYMKIKYTSLEDSSDLVSQKRSNGSLICQENKKKRKVHKPEVIVVERNENVDMDDITKVSERDKAQLKFLNSELQRVSRSEKIMTTFAMVLWTVLKQYKHKLLDAENDAENMKESIRDKDIQIMELKKELEVLNSCCSCKNFPIVGDTPRALDDAMSSGEAVQSLVSQSNKPDSSYAAAENVHPEKSCSPGASGPMIYPESGNAKAGLQVVHKELLSSGNFVVETSSHSIIKPACSNSDNLSDKGLTGHLTIPCLRTERVSQSPQFSSCNKESTTKQSKEESKELSTTAVDDIAEVMHPDSVSSSPQVKSDTEDVSSSQSLQGMVASQKKAEEPDTEFAICEPAPAVIESLVNHGKASACCLCMVIL >Et_1A_009446.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5613610:5615553:1 gene:Et_1A_009446 transcript:Et_1A_009446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTLITSNFAMTDLHPAGAAHAARSCRRVHYISPAASAAGQSSSSRKKKNAASPPPSAAATATVLTSPPAPTDNVQYTRPKQREPKEEEEEEAVAKTNNTSRVATARSRTSQAPARPRRRPAASAASLPMALCNALEEAINTFVDPPAQRPAVDPRNVLTTNFAPVDELPPTPCPVVRGAIPRCLAGGAYIRNGPNPQHLPRGPHHLFDGDGMLHSLLLPTSSSTDPPVLCSRYVQTYKYLVERDAGAPVMPNVFSGFHGVAGMARGAVVAARVLTGQMDPAQGVGLANTSLAFFGGRLFALGESDLPYAVRVDAATGEVTTRGRCDFGGRLFMGMTAHPKKDPVTGEVFAFRYGPVPPFVTYFRFDAAGNKGPDVPIFSVQQPSFMHDFAVTDRYAIFPELQIVMKPMDMVVGGGSPVGSDAGKVPRLGVLPRYAADESEMRWFEVPGFNMMHSLNAWEEAGGDELVLVAPNVLSVEHALERMELVHSCVEKVRINLRTGAVSRTPLSAGNLDFGVIHPGYLGRRNRYGYLGVGDPMPKIAGVAKLDFDRAGAGDCTVARRDFGPGCFAGEPFFVPDDVEGNGEEDDGYLVCYVHNERTDENRFVVMDARSPQLDIVAEVQLPARVPYGFHGLFVTQAELQSQHQ >Et_7B_055536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13576836:13579835:-1 gene:Et_7B_055536 transcript:Et_7B_055536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRLADGTAVVYDSRETAPLAASKDMYGGNETLKARGALSMAVPGEIAGLYEAWKRHGKLPWRRLVAPAAKLALAFKVSPYLRMQMEATRDGILGNKGIRAVYAPNGDILKAGETCRNPKLAKTLMAVAEHGPGAFYGGWVGAQLVKDVREVGGIVTVEDLKRYRVKVRRPLSESFMGLQVVTMPPPSAGGAGMMLILNILSQYGLPAGFAGSLGIHRLIESLKHYFAVKMNLGDPDFVNISEVVSDMMSPKFAAELKKTIYDNMTFDPKHYGGKWNILEDHGTSHISIVDSERNAVSMTTTVNAYFGSLILSPSTGILLNNEMDDFSMPANTSAGSPPPAPANFVSPLKRPLSSMTPTIVVKDGKLKAAIGASGGSFIPAGTIEVFLNHFVKSMDPLSSVMAPRVYHQSTDVHSCDLVSPAFHVREQLIPNVVQYENWTTVLGDLFLLDAGTRADLQKRGHVLRPLSGGTISQFVVHNLEHGGHGDLTAVSDPRKGGVPAGY >Et_2B_021795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5497941:5502996:-1 gene:Et_2B_021795 transcript:Et_2B_021795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLRSSASATTAGPSSALLATFGRGRLLPRVSPPRRALFQINPEGKVPIVKLEDKWIADSDVITQAIEEKYPEPSLATPPEKTSIGSKIFSTFIGFLKSKDLSDGTEQALLDELTSFDSYLKDNGPFINGETISAADLSLGPKLYHMEIALGHYKNWSVPDSLAHVKSYMKSVFSMDSFVKTRALTEDVIAGWRPKVMGETWLSEDLDAQ >Et_7A_052903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24819648:24821672:-1 gene:Et_7A_052903 transcript:Et_7A_052903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQETLVPRLVLSLSIKNSTARRNLGYLTAGTGNPIDDCWRWDPDWHNHRQRLADCGIGFGRNAIGGRDGKIYVVTDPSDDDAVNPRKGTLRWAVIQEEPLWIIFKRDMVITLKQELIMNSFKTIDGRGANVHIANGACITIQFVTNVIIHGLHIHDCKPTGNAMVRSSPSHYGWRTIADGDAVSIFGSSHIWVDHCSLSNCADGLIDAIMGSTAITVSNNYFTHHNEVMLLGHSDSYLKDKAMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPTNPFAKEVTKRVETASSVWKSWNWRSEGDLLLNGAYFTPSGAGASASYSRASSLGAKSSSMVASITSDAGALSCRGGSSC >Et_3A_025336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2969643:2973666:-1 gene:Et_3A_025336 transcript:Et_3A_025336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGATQCPEIPRRCHHCAGPLSKDMETSSWTVSPLVRDSFSMIGSAVGGTAGAFYGFNHVMPVVRRYIKGPMWIHFLVGAPPVIVFSSACAGLAGGTIPAAAQLVKSSYHAAISSPSFGRSASPATMPSPSFGHSAPQTRSISEGAVFQTQRVELRSGVDHALEILERGSAPLQPQLLKPWEHHGGAGHELAAFDDVQVAKRLRRQGGDVKPVHGVVVTFRGSAGAAAGVRRRDAELLDAARRRVGDTTAATRSCRPQSVRNSEAVKGLPAGLHVDGEPRDCGVVAGERRGGRGRAWGG >Et_2A_016144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21389613:21399693:-1 gene:Et_2A_016144 transcript:Et_2A_016144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELGADEWAASARDGWIVGHGDVGRLFLAGDSAGANIVHDMLMRASGNGNAGPPMSIEGAILCHPWFGGNEPVEGEPAERSAVSAGLWMYACPGGVGGADEPRFNPLVPGAPALERLGCARMLVCAGKKDSLFARARAYYDAVGASAWRGTAAWLESEGEEHVFFLRKPECDNAKQLMDRVVAFPTKDKPTPPTMATDPIADEVTIELPMHFRHPVPAAYDDSWAALRWAASAQDEWVAEHGDAARLFVAGDSAGGNIVHDVLMRASSNNGPSIEGAILFHPWFGGSEPIDGEAEGGIPYNAIWAFACPGAVGGADDPRFNPLAPGAPALEKLGCERMLVCAAKEDELYARGRAYYAAVAASAWRGSAAWVESEGEDHVFFLKKPECDNAKQLMDRVVANKIERRILRTPWFPAIRPGSVVNRTGFASQKNLSRLQKPASFVAKAEAEPTPSSTAMDAAAEDEDEWVAEHGDVGRLFLAGDSAGANIVHDMLLRAAAGDGSARPSIEGAILCHPWFGGNQPIDGEPEGGPAASAGLWMYACPGAVGGADDPRFNPLAPGAPALEKLGCARMLVCAGKEDGLYARDRAYYDAVAASAWPGTVAWLESHGESHVFFLGKPECENAKLLMDRVHPVPAAYDDCWAALRWAVSAQDEWVAEHGDTARVFVAGDSAGGNIVHNVLVRASSDSDEEGAPKIEGAILFHPFFAGNAAIDGETESSVEISAKVWSFACHDAANGTDDPRINPTAPGAQGLERLGCERMLVCAAEKDWLAARDRAYYDAVAASAWRGSAAWLETEGEEHVFFLLRPGCDKAKALMDRVVAFITAA >Et_3B_027395.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11238890:11239217:-1 gene:Et_3B_027395 transcript:Et_3B_027395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSYKEMPDIPQWKKTTSQEEYLENYISRLRNQVTRSEQENKDHEAQILFHEAVAGRCPGLVGVTSNELTRLSKLVDDKKKKVLEHMR >Et_8A_056633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1468247:1470549:-1 gene:Et_8A_056633 transcript:Et_8A_056633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASAPAWFLDQFGVLHDGKKPYPGAVLALEKLASKGAKMVIISNSSRRSSVTMDKLKSLGFDTSCFLATITSGELTHQHLQKREDPWFAALGTKCIHLTWGTRGAISLEGLGLQVVNNVDDAEFILAHGTEALGLPSGDPLPKSLEELEQVLMLGLEKRLPMVVANPDYVTVEARDLRVMPGTLAAKYESLGGEVNWMGKPDKVIYTSAMSLAGVDAHECIMVGDSLHHDKGANASGIASALITGGIHAAELGLSEFGEAAGVDAVSALCSKHGSYPSYVLPSFTW >Et_2B_022745.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:4157508:4158617:1 gene:Et_2B_022745 transcript:Et_2B_022745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRLQPSLRSSGNTSGVLAKKTWQSCKNSSRATTGRDAVKELDSPPPKKEIASGVQSLRPVPEEEVDRLFRKKAGHSDGRVSCSDQAAVFKGQGRSEAKADADGGGDREGEAPKSPPPQKGTGFLPQPFDAKHAALFERQAPSASKSPPPQQGALVSGGASLQLFEETTGRLIPKFDASARSSGSTLATPFESQGRATSSSDQARKTDADADGDGFEEFAAVNAIAAAAAAVEEDPRHGTLPAPKLSGTGKVDVMELPGAVRQPTALVARDAAAEGQAGGAGDEVPPEGVTAEQFKKNQEAAGAIMAEAEAWLSASLAPSPTPASRAEQPAAIVIHHVVNGLCEDYQEIMISPTLPLGMAEPVLLQI >Et_7A_052072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4370244:4375160:-1 gene:Et_7A_052072 transcript:Et_7A_052072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAARRLLRSNASAQASNLLKYVTGTGCFQGPADSLPSASVRHFSSAPSSQTDSTEENGFKGHGMLAPFTAGWQSNDLHPLIIERSEGSYVYDVDGNKYLDSLAGLWCTSLGGSEPRLVKAATEQLNKLPFYHSFWNRTTKPSLELAEEILSMFTARKMGKVFFTNSGSEANDSQVKLVWYYNNALGRPNKKKFIARSKAYHGSTLISASLTGLPALHQKFDLPAPFVLHTDCPHYWRFHLPGETEEEFATRLANNLENLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFDKVQAVVKKYDILFIADEVITAFGRLGTMFGSDMFNIKPDLVSLAKALSNAYVPIGATLVSPEIADVIHSQSNKLGHVRKIAPKFQDGIRAFADSPIIGEIRGLGMILGTEFTNNKSPNDPFPVEWGVGTIFGQECQKRGMLVRVAGDAIMMSPTLIMTPGDVDELVGIYGEALKATEERVAELKSKQK >Et_4B_037401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19420726:19422232:-1 gene:Et_4B_037401 transcript:Et_4B_037401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLWGEERDADSEGIIVLDVETKEMRKVDSQSMLPFPYEVDMEARLSAMKIFYLRIEMLGLRITSTSIELQNIVVNVDGIPSLEGDDIFEFVRDGFGI >Et_3A_026072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3985706:3994995:1 gene:Et_3A_026072 transcript:Et_3A_026072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALRFLAPPPHNLRPLKAAAACLPLLPTTTRFAVPRRLVHGPTNHGSSGGGHLLRASATDSPQLSSVRCVVLDIEGTTTPISFVTDVLFPYARDNVRKHLDATYGTDETRDDIALLRAQVEQDLAECVAGAVPIPPDEAGKDEVIDALVANVQAMIKADRKITSLKQLQGHVWRTGFEGQEIKGVVFVDVPPALEKWHASGIKTYIYSSGSREAQRLIFGNTTYGDLRKYFTKREARSYYEIWQSVGTDRPSQILFLTDVYQEATAAKAAGLEVLISIRPGNAQLPENHGFQTIASFAEILT >Et_3B_029796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2817493:2826283:-1 gene:Et_3B_029796 transcript:Et_3B_029796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLRPPPPPPHLRGLLRRLLFTAAAPSLMLPVRSPCPAAVASPRFLFGPRVVVGATPRPNVVPVRAFMATTAASEAMQGKRVDGEYTAANVQVLEALDGVRTRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGYATKINVVLHDDNSVSVTDNGRGIPTDIHPQTKKSCVETVLTLMHAGGKFGGSKSGYSVSGGLHGVGLSVVNALSEALEVTVWRDGKEHRQDYSRGKPLTSLSTVTLPGESSSRQGTRIRFWPDKDIFTTTINFDFNTISSRIRELAFLNPELTITLTKEEGNTEVQHNEYCYAGGLVEYVKWLNTDKKPLHDPIAFRKEIDGITVDVSLQWCSDSYSDTVLGYANSIRTIDGGTHIDGLKASLTRTINNLAKKSKTLKEKDISLSGEHVREGMTCVISVKVPDPEFEGQTKTRLGNPEVRRLVEQSVQENLTEYLELHPDVLDSILSKALNALKAALAAKRARELVRTKSVLKSSSLPGKLADCASTNPEESEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERRDEAALYKNEEIQNLILGLGLGVKGEDFKKEALRYHKIVILTDADVDGAHIRTLLLTFFFRYQRALFDEGCIYVGVPPLYKVERGKQAHYCYDDSDLKEVVNTFPPNASYHIQRFKGLGEMMPAQLWETTMDPERRLLKQLRVEDAAEANVVFSSLMGSRVDYRKELIQNASSMINIDQLDI >Et_7A_052646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10326274:10327859:1 gene:Et_7A_052646 transcript:Et_7A_052646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVERSSVVFDFRICINQSRVVASKSVTAHCSEETLAQAEVNSGGDAGGVDGDDPPDYSGCGACHHANPDDPGRGGGGADERRLRRASEHGLPEKLGGELPELGPRELRLAVEARSSERGVDADAGRRRGHPAPDYRDGRPELRVKIRGGVGELVEADAGEGALGVGEAAVEGGVAGGGERRRAPERGVHTLGGAQAHLDATGGAGLWSDT >Et_9B_065860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15564305:15566990:-1 gene:Et_9B_065860 transcript:Et_9B_065860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPSPPPPLLRDELSRKTAVLGLRLWVLVGLAVGFAFLLLLALVSLHLAAARRRRPRKGVPHAAAAPLSPAATIPPVSKEIQEVAVHVGSLRHYLEMGAAAFLKDDGGDGESTAHGSQRVHIEAGKDRRMVACADAGEAGGDAAAMAVGPQVSHLGWGHWYTLRELEEATAAFAPEHVVGEGGYGIVYRGVFADGYHVAVKNLMNNRRAISRRQNITFFNVLGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVDNGNLEQWIHGDVGPVSPLSWDIRMNIVLGTAKGITYLHEGLEPKVVHRDIKSSNILLDRRWNPKVSDFGLAKLLGSDSNFVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRSPVDYARPPGEVNLVEWLKNKVTNRDYEAILDPKLPEKPSSKALKKALLVALRCVDPDSQKRPKMGHVIHMLEVDDFPYREDRRTLRPGNGSPLEKARTPGKPVAGSCDSSCYEGNTTTASTPSRLVQDM >Et_3B_030810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7627031:7630978:-1 gene:Et_3B_030810 transcript:Et_3B_030810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASPCWGRSPETCDRGRRELRVTPGVVVSGGILYPHLLRGFLGSLLFFGWCPWISDTNLVPSISPVLGFSSRRAAAARRRRGGGMGSFAKLAKRAVETDAPVMVKIQELLRGAKDVMSLGQGVVYWQPPESAMNKVKEIILEPSISKYGSDDGLPELREALLEKLRRENKLTKSSIMVTAGANQAFVNLVLTLCDAGDSVVMYAPYYFNAYMSFQMTGVTNILVGGSDPKTLHPDVDWLEKVLKENNPIPKLVTVVNPGNPSGAFIPRPMLERISELCKNAGSWLVVDNTYEYFMYDGMEHYCLEDNHIVNLFSFSKAYGMMGWRVGYIAYPNETDGFHDQLLKVQDNIPICASIIGQHLALYSLEAGPEWIRERVKDLGKNREVLKKAMSPLGEDAVKGGEGAIYLWAKLPDNCSNDFEVVRWLANKHGVAVIPGSASGGPGYIRVSFGGLKEEDTRLAAERLRRGLEELVTKGMVQ >Et_2B_020922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24890112:24895537:1 gene:Et_2B_020922 transcript:Et_2B_020922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQAQDYAAAAMAYAQAQQAPPPPQYGYHPQAQYPAPHQHAAPPYGAPPHPQYAHAPYARAMPPAYPHLAPHQQPPPSYAAHPPHVMSTPSPPPHHNYMHPPYDSAPPPPMAPPPADPELQKRIDKLVEYIAKNGPEFEAVIRDKQHDNPDYAFVFGGEGHAYYRYKLWVSPRPPVAPYPPGSMHMVPPMGPMMRGPPMHQPAYPTFYDPHQQYVAPGHGDYETAARFKGLSGPLPTEVAAELHEVLNNLNGTKESIKGAKTWFMQRSPFAPAMAEALRDTVFALEDSERQLHIIFLCINRSDDFVFILMRECVLECLNFHAAEIWCTKLFPAYLGNINFEMIVLWQIRPFEKNGNQYPIFLQKRTSIRDLDTEALAFKPLLGPMLARVYNNPQRQDDSQVRLEKILQFWSSKEVYDQETMSNLEREMKCGAPHPMAPRHASPDPSAFSGSGPVPSKWSSEPPEKDNTYPVSVPPQSVPPVQFPVNHLPGGVFPPVGQTTFPGSLPVQPSLPPSVLPQSAAPATTNDSNPPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTVIPPSTVPESEILDRVSKFFREIGEVNPSEGPMKQSEPDDYDNYERDLPTRKGGACIPPPPNLLVNPESGMRADGTVDSKPGSSGRLGLGASADPNEVSQYDDVYSSYRKQRSTTYHSSISVRSSTSKKMLHLGSPLLQPAAT >Et_4B_037274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17550497:17572972:-1 gene:Et_4B_037274 transcript:Et_4B_037274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAQDKIGKGWKEDEETADKEEDVEEEEELETMDKIVNKTNDEEEREEGELEEAYEEHYTDMNEDEEVARTASAVQGDREEACTDTEEQGAETANKVCSITPVQEEEVNREPPVANKDLVAACASMNTKKLFKFMCSSVAVQWEEYPLAMRHAPDAAALVLGVVKLFICTKNPRTKKLFLNCAALIECVQLDPAPAADQPSSGIIEQAERVAKDWKEMIDKPGRYGDLSAVASWGLLWFLVSYNIASEFDTMDIIRLFAAVPRKKKKIKTVELCKGLGLAHRITDLIDYLIGNGQYLDAIHLAHVFNLMDKNPPHSLLKGYLKKAKQTVVEICSRNMACKSQNWVIAKEMDGLRVTGHVVKEHITDSSQCSIILTEKKLITDLAKASATSTFNWKQQQKQRNKKRKHEEELKDALREAFDRLASCSPSPLSFSWDDLDSYISSLHYSFSLRFRQLCILKDGRPITTVSPPRSTLVDGNTKNPDEGEEKEGKVAALEEEDRGWELMHEEADEELNNKCNWEEDVVMEVEDADGETQDCGYEMGKNKKEVEEEPEDYEAKENANEEDQDANMEDVVVEKLSASQNKDEAVCAVEEQEAHGANEEEQDAKAAMKVYQMQDKGELAGGMNVSQEQGVSALPGSSSSGCARMNNRWLVKSVCDCITLGQELPIGIRCHPDAAEITLRVVKLFLNNKMPKTNLAWGKCVTLFRYVSEAAAKPSADVMEQAKKMAKDWKEMIENPVSSLVLGNLASWGFLYFLICYNIVSEFDADEIIRLFSTVPRKKQKKNRIKLLKDLGLANRITDLIDYLIGNGQLMDGLNLAHPFKLLDKYPPLSILKGYVEKAKQTAVEILGKNMTHKSQDAVIEKEVNNLRGAHDVVKKYITDSSQCSTITADIANLLQEYGKKKRSSANSSIASTTHLQPQQKQSNVKRKKEDLEQERCEGQKEEQGRVSKPGEKLQKQNGPQQKQGTDNQKKKVQETQQGRTKGPRQHAPKRSKHAAHWVPYMEPAIMGIQDMLQCMDFTVIPPDHVGLKFMPGPERPSPADLEAAIAALPAKKEALREAFDRLATCSPYPLPFTWEDIDVHLSGIQSSISRRFRQVQVLEAARQERTVPASGENRSGEDRRRKRRWRKSKWKRTTMRMRMVITKTERGDEEEDEEEYGEEEEEADEEEVEEEVEEEEVEEEVEDAEDAAMDEREQDADEEMQLTNVDEQNAKEEPEASGEKRNAEEDALTKKIKVAREETSKEVPDEGEVEPDAEEKEETPYVEPGTKSANKASLKNVMTQNQIEQAPACGSKDLMAVCASMDANKLARFICGRDTSLGGYLPTAMRCAPDAAALVLQVVKLFLSDKRFKATKVWNRCVGLICCVSATASKPSADTIEQAKQVAKDWKEMTDKLECPGDHHMLGAWGLLHFITSYNIVLEFEFSEIIRLFGMVPRHKQKKNTIKLCKGLGISDRITDLIDYLIGKGQHLDVFHLANVFNLSDKYPLLSLLKGYIETAKQTSMEIQSKSLISAIPKEITNLWVAYHLADQKITDSSQRSTIMAEIKVLLNGYAKKKNGAKACTSNSQPQQKQDNKKRKKVEQELHKGQENVQQREQSKAREQDRKQTNQQQKQHKEARQMRESEHQQRKSRPQEMQQHLKRPRPCTVKLPTPAVPMVLNVGQMGHLGRPPCSGMPGGSSYATQPGWPGAGGVPPFAPPFGAAQFIRPFNPFYPHPQFYP >Et_5B_044848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6499798:6501352:1 gene:Et_5B_044848 transcript:Et_5B_044848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SEAIPRALHASVRHPHADAPSENHHPTAPHVSSTSPDPLVINSSRGVPHLSLLAHFSPFRFSPPALRTTRTQTQHGRSQNAPNKGTQRSKNTTTTRRSWGKAWTRRGKMGYERTVLAATAAAAAAAAGVVFVGPAAAGLVADGLPRARETAAAWLTPPYLYLVINAIIISIAASSRFQPSGGSDRPSTSGSVAEMESEPHVAAVEPAAPLMQVPAPAVAAAEPVVAVRAPEFVAAEEPVVVKGNKPAPAMEKEVEDEEFTISRSTWTPRRRGAEPVVQKQEVEDDEVAPFADLTNSREKPLVSARFSRKAAKPSPEGSRALRVARRRKEETLESTWKAITEGRAPPLARHLRKSDTWDTRPGRRPSGGMGSGEVDPAPAPAAAMRKAETFNDGRSKAAAPAPSQAQAQAAPVRREPSLGQDELNRRVEAFINKFNMEMRLQRQESLKHYNEMMLSRGGHY >Et_10B_004397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6148859:6153446:1 gene:Et_10B_004397 transcript:Et_10B_004397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRCHGPLDHELTPISWSNGRASKTEFNKLINVAITNLCSNLKEELHLVVPPMLLQKRRSSSKSHAFLHINLSLELPRPSTPYSCNAMPSSTETFRLATKEEAEAETNPELYQHYESLVTSLPSSNGLSYLPLSRHEHGWYGSQVSVVGAMVADACFTARSSEIIVATPPKAGTTWMKALLYAIVHRRKHLVDAADHPFNSLSPHECIKFLELQLYTHNRIPGLDKVPGPRLFATHVPFMSLPRTVMSSGSKIVYVWRDPKDTLVSMWHFVNKINVYKGQEPVGVETAVDLFCRGLSLFGPYWDHVLGYRHAHLTHPHQVLLFKYEEMQRDPAAHVRMLAEFVGCSFSAEEEGHGVVDGIVKLCDFERMSGLEATTGGKFELGPHIAEKSFFFRRGEIGDWVNHLSPEAARRIDEITEAKFQGL >Et_3B_030577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5040460:5041357:1 gene:Et_3B_030577 transcript:Et_3B_030577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRVVSILLLLSVEALVVVAGLSAAASSGDTDIIRLPSESEVVPGARKKSDGERQWKCCNRPVIGPSTSKIPVWYCLDKFEHCECEHCFKVDSGHGYHCLDGYKGSNPGPSCTNQDSNVVHVVVPGRKNESDGERPWKCCNRPVIGPSTSKIPVWYCLDKFEQCECEHCSKVHSGHGYHCLDGYKGSNPGPSCTHDG >Et_3A_025947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34144273:34145850:-1 gene:Et_3A_025947 transcript:Et_3A_025947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GSLSPQRATSRHQTPHPAPPSSPSCGACLHHGEPRISKAERLLCIHPYPHILTQCLCFSPSQIISKKNRREICKYLFHEGVLYAKKDYNLAKHPKLDVPNLEVIKLMQSFKSKEYVRETFSWQHYYWYLTNDGIEHLRTFLNLPSEVVPNTLKKSNKPPSRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFAGEKGGAPAEFQPSFRVRAIAVSLF >Et_3A_026514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9016075:9019425:-1 gene:Et_3A_026514 transcript:Et_3A_026514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARKSPAGARRRGVRVGPARLEGLPAAWPGAAAVKVKWPAPGGALSHMLTGKWARGVTAVEPVAADGTVRWEAARDGNRFRLDVEPAGARGRPERGVFFAILYGFQEQGRGKDPVKLEEIGTAMISLEECCWEMQLQRQQQHLVVVPIRVRKDGWASDAMLYVNVELVNANAPSDIERTVSYREKPRANLPPTSRSHRKSMEEVPYNDDDDPAGCWETREFTSRDAETKLKTPVFFASIDQRDDSAGGESACTALVAVLAASLHATHPTMPTRPELDALIRDGSSEWRKLCDDELHMAQFPNRHFDLETVLAAGTRPIAVQHDKAFVGFFQPESFASLSGAMSFDDIWREISGGNRDAGRADVYIVSWNDHFFVLKVESDCYYVIDTLGERLFEGCDKAYMLRFDATSEMATADKDEAIVTGKECCREFIKRFLAAIPLREELEIEKNGTGGMGGGAPHQRLQIEFHFTVLKEDQER >Et_3B_030425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3728746:3734317:1 gene:Et_3B_030425 transcript:Et_3B_030425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAAHMFAYNANLCACDPGYYLLAGGNGSNCVSLPGARGGFGDWQVGSVGGPRNQSLYFLAPVFSLDAIRRLTQNQAVLLWTTLAALIAWFVFCAAARFAGREPARHKSIFRARFWISRLDCIFDNNHWAEDQQILRKRKTELGGMFSVATLILLIGLVTVLLYQAINKRNVEVHRIKPANAPDLLSFVNDVEFHITTISSMSCAQAVAPSSISMGTPGFLDFRVLPLSTFLTYSCQNTSKGPSISLKCNGCRIPPKDHYVSWQFVDLPRQPAAAVGFQFNLTAKQHGNDKYMSFVSGTISSENYADDKPKTFRGRDSNVLKIQLFPQIYNNHHDLRLLQPLVQDFTQGSTFSDIRSLNTSLQDPADGVINTTLYISYLSDYIVEISKENTLGPVSVLASIGGLYAVSVAIFLCLMSQCEGRIKKLRDEDTRMLRILSKQRAQRNWDKVRKFVMYTWGPSNLDPRDRSGKWPESSMMGSLRESFHKRRKMIRRVTSHGEIDIERVGEMRESICKDDVKIYL >Et_1A_005197.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31932895:31933239:-1 gene:Et_1A_005197 transcript:Et_1A_005197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPVRHAADPAFLRRFRERHPPRLLGFYIHEYLLADLRFLPVSDDPELATAVDCLGFVECQNGRLLVRCLASGRSCGPSTVKFTWSRRRAASSLSGSILSPCGFFPSSRCRTR >Et_8B_058988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1254889:1257203:1 gene:Et_8B_058988 transcript:Et_8B_058988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSPIQEGDGGGVDAGGRGSGGGGNVLSLQYSPGATLPSPTPHTECDMSRMPDSPLRRNPGHRRALSDMIGLPDDLDLGGAPGDGPSLSDENEEELFSMFLNVDKLNSPGGASVESESSCVVAAGGGGEATRVSAAAGAGAKPRHHHSHSMDASSSISTDNLFGTPAMEGMSSAEAKKAMSAAKLAELALIDPKKAKRIINNRQSAARSKERKMRYISELERKVQFMQREATTLSTQLALLQRDTAGLSAENSELKIRLQNTEHQVHLQDALNDALKSELQRLKVATGQMGNSGGIMNFGGPPHPFGGNQPVFHANQAMQPFLAMQPHPNMPLHPLQAQQLQQAAVGLNMRGPAAAPSQLSWGEAWSESSSG >Et_4B_038634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3500695:3508356:-1 gene:Et_4B_038634 transcript:Et_4B_038634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTTAAVKQQPQPGSASTVMALLSLSLLLLRLLLRLRLAAFRDAALSLNLLARVRLRPVLLRLPAGPGPDGGGATVLRVWCPSSPSSKPPLLLLHGFGGDAKWTWARNLPRLARRFHVYAPDLLFFGAHSRSASPLRSVAFQARCAADAMRLLGVPRYHVAGISYGGFVAYRMAALEARDRVRRVVVMTSGVAATPGEMRDMADKEERTVEDALLPKTAEGLRFLVRRSMHRPPPWMPDFVLDDFIQLMCVNQRKERTELLQELLRNGAGMDPLPVLTQDTLIIWGDKDRVFPVDLGHRLHRHLGERSRLEIVKDAGHALQLEGAAQVNRFIESFLLDEQNGPGCELAQNLSLVPVLDYFSRREFLAAGLQRGTVTIPYPDGGAGSTCALHYWAPPGEPRLPPLLLVHGFGPRATWQWRCQVAPLSRHFHVIVPDLLGFGGSECPADAPPPSEATQAAALAALLDALPGVAGRRVAVAGTSYGGFVAYWLARAAGPDRVGPVVIASSNLLKTAADDRAFVKRAGEPWSSVDEVLLPAEPAAMRKLMEMASYRSPPALMTPDFLLRDFIQKLFTDNREKRVHLLKGITVGTDKFQVTPLAQEVLIIWGDHDQLFPVEKAFEVQSVLTLMDCLARREFVGAGLRSHTITLPSDAGDGAGGQGPRTMHYWAPPGEPRLPPLLLIHGFGPMATWQWRRQVGPFSRHFHVIVPDLLCFGGSSPCGPSSPPPSESAQAAALAALLDAVLPGLAGSRVAVAGTSYGGFVAYALARAAGPSRVGPVVISNSDLLKTDADDAALLARAGGGFARTADLLMPLDARGARRLMELSFYRRQAFTLLPDFLIRGAVQQLFTDKREEKIQLMKAITIGTEEFQLTPLPQEVLLIWGQHDQIFPLEKAFAVKRCLGESVRLEIFEETGHVPQMEDPDRFNRVVLDFLLASQKPPAASNDQ >Et_7A_052983.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:444279:444899:1 gene:Et_7A_052983 transcript:Et_7A_052983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASRAVSCLCCPCKCLACGLFSCLCSILVSLAVTLGVLALILYLIFKPHMIAATVDSASLAQFDLSTNSALAYNLSVDITVRNPNKRVGLYFDDVESLALFQDQRFGYVQLDAFYQPTEASTKVSPQFHGQQPIQGDVTAANFRSQQTAGKFDVDVKLNANLRVKVWAFKVRGPKAKITCPLSLPAPGSNAAAPFQATDCRVGF >Et_3B_028660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17859072:17863769:1 gene:Et_3B_028660 transcript:Et_3B_028660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPSIPSIKVKVGSVSPPPRVCRSFAVIRSSKAEGPIRRPAAPPLSPPPKTPALSTPPTLSPPPKPAAPTSSSPPPSSPPEPKPVEAASPAAAVQRSVGGAVTLEYQRKVAKELQDYFKQKKLEESNQGPFFGFLPKNEISNGRYFLPARCIKFHGYFQNIGGVINSMLLAINGSRWAMFGFAVGMLTEYATGSDFVQQMKILLSNFGITDIKAFQVIKSLTGVTSNTRCAKIGGPTEQPPYIEIKALHTVVFAPYAVFDTNPWTCVATASPSGPMSWHARSTVPKVNDVGLHPGPSSLSMARKTRKASSASPESAYPETRQVQDTAFRSGISSNARSAAARRAPLA >Et_2A_014493.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:16391696:16392106:-1 gene:Et_2A_014493 transcript:Et_2A_014493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRRNNTSLFESSTIITANLIMASSSYLLARFSTRTPAAQPEPSTTVAATASAVWPSAAQTSSMEPMAGCRTVFMEPDEGGCGKVDKDAAMFIKRFRERTYSEAARQEAATVRPPPLPAATTKWAGATVRRYQR >Et_7A_053068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:664707:665796:-1 gene:Et_7A_053068 transcript:Et_7A_053068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKEALKKCRQDIKKRKEILASYRASQNTVSEKRKLLLAAVVVLDVAPLTLGMETAGGVMTSLIPKNTVVPTKKKQILNTWSRGRE >Et_8A_056501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11053526:11055488:1 gene:Et_8A_056501 transcript:Et_8A_056501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYLAPLRAAPKTFFFLASNCKHNCVSHSSATARRSKIACVKTAEKCGPKPSAWTSIRQERWEGDLAVEGHIPAWLNGTYLRNGPGLWEVGDHAFHHIFDGYATLVRVSFQGGRAHRQIESEAYKAAREHGRPLMREFSQLCPSDPDVSFFDRVCNIIGLGTGTLLSDNANISVHPLGDGRVMCLTETTKSTVLIDPTTLDTIGKFHYKDMLWGLLQSTHPVVTKTELLTLLPDFPRRGHLVVRMAAGSNERKVVGRVHCRGGMAPGWGHSFAVTENYIVVPEMPLRYSVGSVLKSELTPFYIFDWVPASGSYMHVLCRSTGKTAASVEVPTFMALHFINAYEEKSEDGTRTDRVVADCCEYYGDPAVIQALALHRLRSNTDDKDAFPDARVARFRIPLDGSAYGELVTVLDPEEHGFGVEMSTVNPAYNGKEYRYVYACGACRPCNFFNSLTKIDLEEKRTKNWHEVGAVPSEPFFVARPGATDEDDGVVISIVSTVNVDCYALLLDAATFTEIARLRFPYGIPYGFHGCWIPEKI >Et_5B_044217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21639195:21643987:1 gene:Et_5B_044217 transcript:Et_5B_044217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQFTSEHVCYVNCNYCNTILVVNVPNNCSYNMVTVKCGHCTMVLSMDLSPFHQARLVQDSQVQNRGFQCNNFGSYEPASRNLRAMSMYPMSNNQQQVTPIRPPEKRQRVPSAYNRFIKEEIQRIKTSNPEISHREAFSAAAKNRRRRRRWQQLIIRSIVAHERRDHACSLLRTYVLRDSRTREPPRIDSSTSNLSYIFLRCSTGF >Et_10B_003495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:20098586:20100481:-1 gene:Et_10B_003495 transcript:Et_10B_003495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FYAAEVVFLPEDTDKKKRRKNRSCPIFFAEPMRASNSFVGTEEYIAPDIQVLFDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDIRFPASIPVSLAGRQLIYRLLHRDPANRLGSYEGANEIKQHPFFHGINWALVRATVNTIYLKLASLMIIS >Et_6B_050042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4572369:4574081:1 gene:Et_6B_050042 transcript:Et_6B_050042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVKNFRADDYHVFSLDIHPSEPYVLSACYETVKMWHWEMDWKRVRTFDVKARQVKFNPKDADYFACATRNGVKVLNIASSGSDDLTFTFGSSNVYHIDYLSRGDELYLITGNFDGSVEDGKVCVWNSSTFELEGKLNCGLGVVNAVACLKGSNRIAIGGFKGLAITEI >Et_9A_062585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24215591:24217397:-1 gene:Et_9A_062585 transcript:Et_9A_062585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGDQAAAAGNEMSLSNMVLGFYEEAERERWPEADAGDGSDDEGSSSTAESRAFWQEQRSQLHEALAKLSSAESRIRADTEEAIRQMRATAGGVCSCSGRCRGCALRFVAERLRDAGYNSAICRSKWSRTMDIPSGEHTYVDVVVPTKSGKAVRVVIELNFRAEFEMARSGAEYKALVAALPEVFISRTEKLRAVIKVMCAAAKQCARENNMHMAPWRKHLYMEAKWLSTPERVLPGTAAAADVPVGSLEKQPKFRASMLTLDFGGRTAVEV >Et_9B_063934.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:2983479:2983544:-1 gene:Et_9B_063934 transcript:Et_9B_063934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLVFPGGNMTLDQFFPFF >Et_8A_058352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5607793:5609021:-1 gene:Et_8A_058352 transcript:Et_8A_058352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEAAVVPSIESRPINKDLKLAVRVGSFWSLPDGAPMTYLRGRKLALIDVAMNYELIQLDELAVLTANLVLYVPHLDYPDTIDLIFQTDEPRHAPNR >Et_5B_044527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3103893:3110543:-1 gene:Et_5B_044527 transcript:Et_5B_044527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSSSHRRSAFSPGLAVLLSGDEAKISPQKSHLVSYHDEIGHQAVERTLEHIFDLPHKSLVRPPGPIDAGFVRSILRNQARKFDLDWEKCNHGYQGSILIVDRGAGQSKVVLDDLSICGKFKSIREPLLVESSAPFSSARANACVWKGKWMYEVTLETSGVQQLGWATICSPFTDQKGVGDADDSYSFDGRRVTKWNNDAKPYGQPWAVGDVIGCCINLDAGEISFYRNGISLGTAFDGIRNIELKKGYYAAISLSEGERCHLNFGSHPFRYPVDGFDPIEAPPRCRVFTSYLLRCLFRLLEVQNLEKSESAYFEKLRRVKKFAPLQELFHPISEGICAEFFSAVKGSQECLEYIAWGSLTTFLLDVFRAREPHDFSCLDQVLDLFLQFQGCTPLLQELIVALSCMCKAAPLVLTECPYSGSYPFLALACRLLRHKDVMHLWWNSEDFAFSFEGFLTRKIPNKQDLQCLIPSVWWPGSSEDEVSMTLTMTTLSDATKKIEEMHHELCSLVICFTPPVSPPQPPGSIFRSFVQSLVLKARGGDHRMVVNGTFNNTVLVSLYTVILHLLSEGYSMDSSGSASSSKVNCGTGVGFLHKGGKRKFPTQLLFRNDAYYSVVPRIGGSPNILMYYQADAVEDEVQWDEGCMDDGETRVTHTTIQKPCCCSVTDVTEGLRYKDNAKYIPSTSKGPCKPMPERSSHVAAECSGRSLGDDIEEKPSTSTQSEIDYGYQTLHNLESIPMTVQSSSEALKEEEMLDAFYFMSQQSQSISLLEDTDRQIREKSCAEQVRRLKEARNSYHEDLVDCIRHCVWYRATLFSPWKQRGMYATCMWVVELLLVLSKSKIMFQYVPEFYVESLVDCFHALRRSDPPFVSPAVFLKQGLASFVTLVVKHFDDTRIANPDLKDLLLQSISVLVQYKEFMHVFENNQEAINGLPRSLLSAFDNRSWIPVTNILFRLCKGSGFASSKNAESSSSATFQVLLRETCIHEQELFFSFLNRLFNTLSWTMTEFSMSIREMQDKYQVADLQQRKCSVIFDISCNLARILEFCTREIPCAFLMGPDMNLRRLTELIVFILNHIISAADAEFFDMTLRRPGQQLEKTNRTMILAPLVGIILNLMECSSTSEHRELNDVMAVFASMDCPATIHFGLQYLLSYNWSNVLRGDASLAKLAQLEEFSNYFRRITVAVDGNEEHTTNSGDEDEDDTCCICYNSDSDAIFQPCHHKSCFGCINRHLLNSQRCFFCNAVVTSVTRIADS >Et_8A_056074.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:16668905:16669472:-1 gene:Et_8A_056074 transcript:Et_8A_056074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPFSAGFAPPRNVQQKEIQTIWLDIPFRNIKYCQNDFANFLGQMTQVTTLSLDLIPMPMEMINMLANMTHLVDIYINKFDSLNKLPDSQFFPQTLRQLRLYAVVIMKDPMPILEKLPCLVLLELFGYVGKTMCCT >Et_2A_017090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30891755:30899017:1 gene:Et_2A_017090 transcript:Et_2A_017090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TQESCNKPAPSPPSDPKINGTRSRENPTPEAPGKGNNQPISIFPVPFRFLFPVAAHLSIVATTTTTTSTTRPPRPIRLDSAGDRGHFSPARYFVEEVITGYDETDLHKTWLRANAMRSPQEKNTRLENMTWRIWNLARKKKEFEKEEANRLSKRRLETEKPRNDATADMSEDLFEGVKGEDAGDPSVAYGDSTGNTPRISSVDKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELAKALSSSPGVYRVDLLTRQIVAPNFDRGYGEPVEVLASTNVKNFKYEKGENSGAYIIRIPFGPKDKYLAKEQIWPFIQDFVDGALGHIVQMSKTIGEEIGSGCPVWPAVVHGHYASAGVAATLLSGALNVPMVFTGHFLGKDKLEGLLKQGRQTREQINITYKIMRRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVMLARKLRALVKRGANCFGRYMPRMVIIPPGVEFGHMIHDFDMDGDEDSPTPASEDPSIWSEIMRFFTNPRKPMILALARPYAEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMHKVSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLAARTKGAFVNVAYFEQFGVTLIEAAMHGLPIIATKNGAPVEIHQVLDNGLLVDPHDQHAIADALCKMLSEKQFWSRCRENGLKNIHQFSWPEHCKNYLSRILTLGPRHPAFARKEDQKAPMRRRKYIFVIAVDSVSKEDLIQIIKNSIEATRTGTLSGLTGFVLSTSLTIAEIQSVLVCAGMLPTDFDAFICNSGSDIYYPSQSSDMQSNSRVTFALDHNFRSHIGYRWGGEGLRKYLVKWASSVVERRGRIEKQIIFEDSEHSSTYCLSFKVVNPNHLPPLKELQKLMRIQSLRCHALYNHDATRLSVIPIHASRSQALRYLSIRWDIELPHAVVIVGETGDSDYEELFGGLHKTVILKGGFNTPANRIHTVRRYPLQDVVALDSSNIIGIEGCSTSDMRAALQQLGIPTQ >Et_9A_062127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19960078:19961152:-1 gene:Et_9A_062127 transcript:Et_9A_062127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DHATTTKLIELCKEERSLRNFNRIGPTHLGWTHIHQGLQAAFLNHFDHKQVSNKIGSLKRSYHKWLKLQRQSELGHNRSTSGVSADNSFWDEHDTLLTFFDHEAHEPTLPQDRGTPPPFLEDLEFLFGRTPQDRGNLVCAGGVHQRSPSSPLPTPTPRRGHAVGPSSVPDASPVATTRRGHDGRPSRVPDPSPAGTAVGVPKDVPPGSPVGAAADKSASKRTSVDGSINNPKKKKSRSSLEESVHVMADTLVQCNIMKAQQHDDDLLRSVSKIMRADRFMDGSEMFLKAAVLCQHRPTRVQFLDLKDRDARIEFINFVWSNRDARIEFINFIWSNRDARI >Et_7B_054251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18360226:18364471:1 gene:Et_7B_054251 transcript:Et_7B_054251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHNRITMLSSSETCHLGSSSNNPALDPNNATVDEQILLPNTLEDEGFPRYLPNSHEMGMPSAQQNTSLSLWESAGSSSMGRLADHDSFFQSKREHFAPPLSIGGSLSIDRRRHEGTSSLPSHNLNIDLNINQTDQFGAEDVDVVHSNVLSTTNTVSVNRGSTAERIPRHEISFDAIGSSSRAGDPFDGAVGQEFCSLDTHRVTFKRKNIDGSHAESSANGSTRNRHQISNALLPASTACGSSSLTMPAAANYTASYPLVEQLNQSTNIGMSPALSDHYSMYNDPHEHRFVRNTRMRLSSNDYDQSLPGLLPEDSTRCSAYQPTQQLSSFIPVQPRQISSYASAHGRPHVPAVTQFSHNLHRASSNSNFGSRIGASSSSADTTIHVSSSQDPIRSLTRNDLPEPLLLGSLYNADSTNFLSAPGSRGNQQNSVFSSSSTARAVVNVGAQQVPGNISSESSTTVRGSADMARRSLISSGVRSSSMGLQQRGPASASHEIRSHQPGSSSRAPQQHYIRGGPPSADRQNYLDLQSFMQTIAASRDGSRTVSELRNVVDQIRQGRNARLEDLLLIDRSLIVRRANLIDRHRDMRLDVDNMSYEELLALGERIGYVNTGLTEEKIMTSLMQRKYVCIELEEPSTVVEPCCICQEDYVEGEDMGRLDCGHDFHTACIKQWLVIKNLCPVCKKTALDT >Et_1B_011158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18114544:18117966:1 gene:Et_1B_011158 transcript:Et_1B_011158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding APITVFKNRSMEAKIAFSQDSPPISIICAAKVADVALTTDPSLPVGSAPTLRFGSGDLLHGVNPILHYIAHAASFPSFSGQSAIEFGHVAEWLEYAPTFFSGSEFENACSFVDEYLATRTFLVGHGLTIADLAVWSNLAGIGQRWDSLRKSKKYQNLVRWFNSIDAEYGNKLNTIVAAYVGKRGIGKSPAPSLKEKVHDSKDPSAPEVDLPGAKVGQVCVRFAPEPSGYLHIGHAKAALLNKYFAERYQGRLIVRFDDTNPSKESNEFVENLLKDIETLGIKYDAVTYTSDYFPKLMEMAESLIKQGKAYVDDTPKEQMRSERMDGVESRCRNNTVEENLSLWKEMVNGTNRGMQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGMRRVEIYEFSRLNMVYTLLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKVEALIQFILQQGASKNLNLMEWDKLWTINKKLIDPVCARHTAVLKDQRVIFTLTNGPEKPFVRILPRHKKYEGAGKKATTFTNRIWLDFADASAISKGEEVTLMDWGNAIIKEVKMENGVITELIGELHLEGSVKTTKLKITWLPDMEDLVPLSLVEFDYLISKKKLEEDEDFLDNLNPCTRRETPALGDSNMRNLKRGEVIQLERKGYYRCDAPFIRSSKPVVLFSIPDGRQQASLN >Et_4A_031859.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24237658:24238398:-1 gene:Et_4A_031859 transcript:Et_4A_031859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLKRELQRKRQLLDSDFGGRKLIRRAEIEAREIQRIREAERQLLLQKQLRSLGSHQASSPSDSSSPSSAASDASRAENGQNDQAEALPREEVIRRLRLLRQPATLFGEDDAARLRRLHDVLEDPAALADVDAAEIGEGQTNDFLRDIQALWAKVAAAASKPKAGAEAQRREGDSEQREVAFEELCDEDKIAAFFRRLMGEWSQEMDEMPEAERRTAKGKAAVATCKQCARYLEPLFKQCKKKVW >Et_3A_025641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31482240:31483872:-1 gene:Et_3A_025641 transcript:Et_3A_025641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATFPKLRFGQRCPNYFQFAAKSKSAMVAAVRYITKCEFTFSTLEFPVRWTWLLHRLLVSGGRSLRITFLPVSAVGSGRGSSITADENKRDLSLENVKISIVSRDHERINVRVQLPGKATQKVFDEALVILARDAPPVPGFRKSKGGKTSNENLKVNPEIMTTQSEAEMESAFTPGSAFGFNVMLQLEKSDSDEDSEEQSETSE >Et_3B_029226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23210170:23215221:1 gene:Et_3B_029226 transcript:Et_3B_029226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEKAGEEAEEEYESDLDDGPLPAARRRAAASDDEEEDEGGGGWRGSSPPLTVSDSDSNGQGAAELYDDGEEEEVYGTEEDEECEVVYEELGTGGIGEGGGEAEMCDVEEEATAAVEGEKEQTVGGEEEDEEAAVVGEAEAKKGSEPYAVPTIGAFYMHDNRFQDKENCSRGQFFGGKKFWYPKETVWVHDRFHEINFHDSQKDNASNRHSFDHRYLERIKSYNGTKNFDNVLIQSRSYDGNAKGYNNRKDEAMWLHDRYCEINCHDAQHDNVSCIHSVGHCYFDGSGTCDDIKNFDNVQSRSYDGNSKGYNNASNLYCEKVSRTYQSHWTTLQFSSAVNNRPKSIEVSSNATMGKHISQTSSPTHFNSSRSSHQGPPFGQREKGRVVKFSKLFSSAVHMAHNSLASQSCPALRKKAFVPSGEHGNTVDTRCMVPMVPIEVMTFSALHSVSTTDRLHYFMLFSGQHPTGSGFPSVAMALPRFVDQQVGESSEMGLMNWDRSTTKDPISLPSQEIPGHELVRRQNRPRSITKYARYQIRLDFLPEK >Et_1B_012952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4307411:4309230:1 gene:Et_1B_012952 transcript:Et_1B_012952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSPSIFSQILFCSIELTLFGCPCCSEMSEYQNVVGGRLKLKGKALDVKEGGVKKKKKKKQNREESSQIGHDELHEGGSSELPTDPNNELIEADKTAEEEREQEERERHPDYDHLTPAERRYMEQKQKIDMQKLAKVANKSHRDRIQDFNQYLANLSEHYDIPKVGPG >Et_2A_015890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18858578:18861575:1 gene:Et_2A_015890 transcript:Et_2A_015890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAALDTMAATRWGRWLGLVTAVWVQCISGNNYTFSNYSDSIKTLMGLTQLQLNGLSVAKDVGKAFGLLAGLASDRVPTWLLLAIGSLEGLLGYGAQWLVVSRTVAPLPYWQMCVCLCLGGNSTTWMNTAVLVTCIRNFRRSRGPVSGLLKGYVGLSTAIFTDVCSALFADDPASFLVMLAVVPAAVCAVAMVFLREGPAASAAAAADQEEDGRCFAAINTLAVAIALYLLAADLTGVGGGGGVVSGVFVAVLLVLLASPAAVPAHVAWKSWLKARKAANADLEEADSAAAAAPLLLAAPKAATVTNEAEEARAPGERPRLGEEHTIAQALTSLDFWLMFASFLMGVGTGLAVMNNLGQMGVAMGYSDVSLFVSMTSIWGFFGRIASGTISEHFIKTKALPRPFWNAASQIVMAVGYVVMALAMPGSLFIGSVVVGACYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGLLYDAQATPVPGGGNTCVGANCYRLVFVIMAIACIIGFGLDVLLCVRTKRVYAKIHESKKPNRSSAVVQSVS >Et_6B_049974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2340820:2344616:1 gene:Et_6B_049974 transcript:Et_6B_049974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIPVPIPPLKSSSFSATTAREEKLARNLSLGPIKLNEQIKEAASHGEKAEGGGGDSNGAGEAVGEDAALPPAEASEPDLATLSAEIDAFLAEREADAEAPVVSEVTLDRFANAVEMEIAQSEGADDKWAAAVDGEAAPLLAAIRRIAALAAALTANHAEGATYTIGVHRVTGVLHRTMTFVEDEFHAMLEDPRVAKAAALGGDTGSATASGRSMKRPPSFGGGGHVSEPDRCVLVSSDAGGNDGSAAAPPFPPETVARLRAMAEAMFAAGYETECTQTFLVARRNALDAALQSLGYEKASIDDVVKMPWEALECDLATWIKAFRHTVDAGLPGERDLCARVFAGRVALGRAIFADLARCAVLQMLNFTEAVAMTKRAAEKLFKVLDMYEAIRDAAPVVDAFLLPFGGDAVPEQDAGDSAAASALADLKSELISVRSRLGESAAAIFCDLESSIRADAGKQPVPGGAVHPLTRYLMNYLKYACEYKTTLSQVFREHHPPDSSDSGDPNSTAAAADPFAAQLMEVMELLHGNLEAKSRLYKDPALGSIFMMNNGRYMLQKIRGSPEINAVVGEAWSRKRSTDLRQYHKNYQRETWGRVLNLLRDDGVITVKGHVQKNVLKERFKQFNAAMDEIQRTQGAWVVSDEQLQSELRVSIAAVIVPAYRSFLGRFAQQFSPGRQAEKYIKLSGEDLEAIIEELFDGNAVSMPRRRTHVSFP >Et_1A_004907.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:15424170:15424598:-1 gene:Et_1A_004907 transcript:Et_1A_004907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKTSRQVIEKYYSRMTLDFHTNKKVLEEVSILPSKRLRNKVAGFSTHLMRRIQRGPVRGISLKLQEEERERRMDFVPEKSALEVDEIRVDKETMEMLAALGMADLPGVERAPEASSGPTYGRPQYGGPRRDRV >Et_9A_063549.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:7038045:7038455:-1 gene:Et_9A_063549 transcript:Et_9A_063549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVVAERLLDAEDDEESVAELMRWLELEIKLAAGAVVLALPSLPAAGSGRCVAARGNKESCGPALSGPASTVMASVDGRSAAPAPPPAVPWPWPAPKAAAATGGAQQEEDGDDEWVLQLLTDGPSPEMLCGSQ >Et_3A_024647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2313802:2314644:1 gene:Et_3A_024647 transcript:Et_3A_024647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDLHSMFSGISRHSDCRICLIKFPKNVLFIKQPSVQQSKVAKLDEEKRLSMDVSEYKKILKVASAGKAVDSENEGCVSYLVKNALGVAEKSKFKRKSKCYDLLVVTVSSKKKKC >Et_1A_004793.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5822080:5822601:-1 gene:Et_1A_004793 transcript:Et_1A_004793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVIRNAIDGARVQPLPGGYSPAVSFQVPSSHRWARYDVVVSALCSLTSRNLFDRAARVAARATLDGLFEHPAPFDAGMRFPEGALYLSLDHGKFGGSMNRIKKELQRLEATTGDGESSSTGNDTVAACVSFMESLRCLKSRSKRPFHMQRAATSRITQRKTDDRNRDRKRQ >Et_2B_022668.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29899343:29901007:-1 gene:Et_2B_022668 transcript:Et_2B_022668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISQNALQKRRLEKAGAGDSSDEGSPVAIDAEVEKEAKSKNHSKERKKKKRTKMLEEQQNKEEAEMRQLENSLFGSLYAPLEFGTEVGAAVVAPDQDAPLFFTDRSAGDGVDDVPIYEEDLACEDEEDEVGNKGRKPVWVDEEEERTEVDITKVARLRKLRKEEDDHLISGKDYEARLRGQHAKLNPFTGWADMDRKAPLASDSESDDEGGADDILRNNDELVVKDTAKLLPGMLDFSRLVDANIQEPSNGPINSVQFHRNGQLMLAAGLDKHLRFFQIDGKRNPKIQSIFIEDCPVHKASFLPDGSEVILSGRRKFFYSFDLVNAAVSKIGPLTGREEKSLESFEISPDSKTIAFVGNEGYILLVSSKTKQLIGTLKMNGNVRSLAFADGGNQLLSSGGDGHVYHWDLGTRKCIHKAVDDGSLSGISLCTSQDSSLFATGSTSGIVNVYKRDDFLGGKRKPLKTIENLTTDVGEMKFNHDTQILAISSRKERNGMRLVHHPSFSVFQNWPGPRFSLHYPRCLDFSPGSGFLSVGHAGGKVLLYKLHHYQNA >Et_3A_027129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33880987:33884770:-1 gene:Et_3A_027129 transcript:Et_3A_027129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFSCSSIFQISGVFVQQYYHILHESPDQVHKFYQDSSILGRQDSNGTMVSVTTLHEINEKIMSMDFRNCLTEIETADAQLSHKDGVLIVVTGSLTSSEGICRKFTQTFFLAPQESGGYFVLNDVFRFIAERPPPEINHVVIQENEDSQNTKSATETEPTPVDESRNSDHVAMENSVTEGQVIDPTVNDTAFENNVSVKPLAQVAKEDANKAVVAPPAPPAAPTQTDVTKKSYASIVKVMKEAPPTPPVAKPSASAAKTKSAPKPVTKIVEGQEKSSPKPTQVTAAEAAPSDKNVTENNSLNEQGYSVFVKNLPFNAPVQMVEEEFKKFGAIKPGGIQVRNNRYIYYPLTMSATLLQTDGFCFGFIEYESQQSMQAAIEASPVHMGEKEVYVEEKRTTTRVVNGVIVTRGDNGGTGRFQSGRGVYRGDNFRGRGGGYANNAGYRGSDNFNSRNDESFNGRNDGGNFNRRNDSGRNEGENFNRRNDGENFNRRNDDESFNRRNFRNRNEFSGRGRGPPHGNGYHQNGNGFHSSRPFQKGNARFTRVNGPKQSPVAA >Et_3B_030270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31975064:31976483:1 gene:Et_3B_030270 transcript:Et_3B_030270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLGQVRAVVISSPEAAREVMKTHDAVFANRPMYVTMEIFTYGGRNITFAPYGSKHWKEVRRLCATELLGPKRVLSYRPVREEEAARLVAAVSSSSPAPVNLTERIKVVMNDILMRCAIGDTCPMRDEYIQELDRGLQLMAGFNLIDLFPTSRLARMLGAEALRAAQEVHDSIHGMMQAIIQDHASKAAKKDDGHQDDILDVLLRLQREGGLETALTTEVVSGVLFDIFAAGSETTATTTIWAMSELARKPRAMERAQSEVRLLLQGKPSVAEADIHGRLPYLQMVIKETLRLHPPVPLLVPRHCDEPTNILGYDVPHGATVFVNVWAIGRDEKSWPNAEEFRPERFEDDTIDFSASDFRFLPGGAGRRMCPGITFGLANIETVLSSLLYHFNWKLPGDASPHELDMAESYGITARRKTDLLLEATLFVG >Et_10A_000751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16413385:16417302:-1 gene:Et_10A_000751 transcript:Et_10A_000751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLGSAVVQGMVSGILSNITYEGRSDPKEHLERLEMAHIRLESALETSERWNITSVPLLRWRNKLKRAVNDETLRKCKQRVHGEEEMEEGVRNSSFPNRISHNVRSFVSSFFNSNHGTSELSTATVRRFEWFADGVSEFLRFVEFGGTPRQFMFFDPLVRHLFAGKMIRHKLVSGSQHHLIMVQPISTPLGMEGRLLYVVIDGDASEKSFFFTIFLRLSESTNIVGIAVTCLKLSLQQKRAVATVMYLRLISSPRQILSQQRLLSFQCMISPGCSMLILAKNNGTGKNFTPSSLNGFAQIHTHDQYTQAYGADNNISSSSLPQDINLEPVIKVYLQGHVPVPAGYKRNNSFPYVKLRLLLSPHASSEGMMPTVEGSATEMVHGQEPHDNGMYANISFEQLDEIMLPKAVDCLRQNAGATVYQMIWRSRHGGAYIQAEKFTPRRTLRKDRRGHLLQRQDEKVETWSLVVTDFLGRWAAHAPDQLQASIANWIQKEKMQLAPTQV >Et_9B_066245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8551075:8551536:1 gene:Et_9B_066245 transcript:Et_9B_066245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLVMLALVLAIAGVALQGAAAAGECGRASADRMALRLAPCISAADDPQSTPSSGCCSAVHTIGQSPSCLCAVMLSGTAKAAGIKPEVAITIPKRCNMADRPVGYKCGDYTLP >Et_1B_011773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25815588:25817105:-1 gene:Et_1B_011773 transcript:Et_1B_011773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEASIKQGREASWWPVASRASEQLIISAAQHNNHVVAAMPTQVSAVNDDGTGRVQVISRRVVRADPPPPPSPAPETIHLTPWDLRQITIDYIQKGVLLPKPTRETCHHHPLRLVVDERAGDDGTVTTVSLRCTGEGAEFVHAAAPGVTAADITGALYIPRGLVASLFPLNGLLSADAASYDDGSRRAAPLLAAQVTELADAWFVAASLNHAVGDGTTFWHFVDTWSDLSRSGGGKQASERPPAPVLERWFLDTCPVPVPLPFAKLEHVVRRCDHAPPQEECFFHFSAESVKKLKARANAEVVENVAATDTTTISSLQSVLAHLWRSVCRARRVEPSQETTFVLLIGCRGRVKGIPTSGYVGNAVVPCKVKSTAGEVVGRGLGWTALQLNRAVASFDEAAMVRDSLERWLHRRPAQRLLGARHRRLAAIRRDFGWGMKPVAVRSGPANKLDGKTTVYQGHGGGGAIGLEVCLAPDALARLVNDKEFMDAVTKP >Et_4B_036828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11816321:11826613:-1 gene:Et_4B_036828 transcript:Et_4B_036828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSPPPRRLALLPFLLLLALLPSPSAAAEAAGGRAALEALRVAAPGRRASPAEQEEAAAGVLRRLLPNHARSFRFQIDSKGSVCGESSCFKISNVAENSGKGGAEILIQGTTGVELASGLHWYLKYWCGAHISWDKTGGAQLASVPSPGSLPHVNGAGVKIVRPIPWNYYQNVVTSSYSFVWWDWKRWEKEIDWMALQGINLPLAFTGQEAIWQKVFKSFNVTNRDLDNFFGGPAFLAWARMGNLHGWGGPLSQNWLDQQLALQKKILSRMIELGMVPVLPSFSGNVPAVFKNLFPSANITRLGDWNTVDGDPRWCCTYLLDPSDALFVDVGQAFIRQQIKEYGDVTNIYNCDTFNENTPPTNEPAYISSLGSAIYEAMSRGNKDAVWLMQGWLFYSDASFWKEPQMKALLHSVPTGKMIVLDLFADVKPIWKMSSQFYGVPYIWCMLHNFGGNIEMYGILDSVSSGPIDARTSNNSTMIGVGMCMEGIEHNPVVYELMSEMAFRSKEVEVEDWLKSYSYRRYGHTNAEIDKAWNLLYHTIYNCTDGIADHNKDYIVEFPDISPSAVSSKRRGMSIASIHRGFLLSEVSASLPHPHLWYSRKEAIKALDMFINAGNDFSESLTYRYDLVDLTRQCLSKLANQVYLDAMSSYKKKDSNSLNFHTKKFLELIVDIDTLLAADDNFLLGPWLESAKSLAMTEDERKQYEWNARTQVTMWYDNTRTEQSKLHDYANKFWSGLLNSYYLPRASKYFAYLTRSLQENRSFQLEEWRKDWISYSNQWQSGKELYAVTATGDALAISRSLYQKYLS >Et_9B_065819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13708356:13710235:1 gene:Et_9B_065819 transcript:Et_9B_065819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRGRVGLAVSCSYDEFGRSKNEVWVLEGGREEEQTWVKRYTILMHGVDPRQEMASPHVVHGEHVLTTYSLLRQFSNWRSLNAHQPQEERKMRPCLMLRLGAARPETATGVFEIRWSESLQTFAYVETREPVLVYGDGIVYGDGRFHGGEAPAGTLVPTS >Et_1B_014143.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:32563234:32564136:1 gene:Et_1B_014143 transcript:Et_1B_014143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKPSVGGGTFDAVVAAFEAGLPSFLNHFFPLAGRIVVVANSNSPSSGGGVPEIHCNNAGAELVVGEARVALASLDYYHGASTLLQRVQVPYGEDVALSVQAVSFACGGFTVAWRTNHVIVDGCAMSALVGARSGKLVARPNFERSVLFRPRSPPSRHHAPSSTQLDKMFTPMDARRHVNVLTHDASSVLRLYHVDASDMARLRGAASRGSGERPATRVEAVSAYLWKTLAGATAAETRCRMGWWVNGRRRHLTAPQLLHENYFGNVIAFTGREARVYDVLRMPLPDVAAMVRDAVAAPD >Et_2A_017693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5151603:5151873:-1 gene:Et_2A_017693 transcript:Et_2A_017693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKRYRGLPHGEVTFDADRDGRISREELRSLNVWFAWWKARGRVRAADGNGDGTVRGDDEVGRLFAYAQRHLHVKITQLGYY >Et_5B_043497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11148423:11153346:-1 gene:Et_5B_043497 transcript:Et_5B_043497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGTSTGGLVHLRTPHLSPPPRSASPCFGTSRTLRRLWPSQPLPRRRLQRAPLPAAASVAAEEASYTEPEAALLEALLGVQGRGRGEVESAVQALESLGGVPDPTSSGLIEGSWQLIFTTRPGTASPIQRTFVAVDSFRIFQEVYLRTDDPRVNNVVRFSESVGDLKVEAEATIENGKRILFRFDRAAFTFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSPSGNIRISRGNKGTTFVLQKSADPRQILLSAISAGTGVKMVIDDFISSKRGAKVDLNILAGEWQLLWASQTEGQSWSSIASAGLKGFQIIKKDGQLQNSVRSVPGVSLNASGNILKKNSSSFSVSMKGGAVQVGVVQFPLDTQGEFVMEILYVDNKIRISRLNQHMLPSEDAGASVIL >Et_2B_022459.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22759769:22760254:1 gene:Et_2B_022459 transcript:Et_2B_022459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLLFFIFASMLVVIAIVFCPRHDAASASAAHWWPPRPPPDMPTRPPSLPAAPSAARRRPPPLHPQPFTPTAWPPAFAYMTTSGRGKVTAGGAGEEAAAATCSVCLAAFELGEMVRLLPVCLHLFHVECIDMWLAANSTCPVCRSGTDPMMAVDHVPPV >Et_4A_032803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14045040:14050920:1 gene:Et_4A_032803 transcript:Et_4A_032803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVEESPAICKLGRLFRLTEVHLWDDSYAAGANEDQRNWRLEESGSALALSHFIRRRLVLPLKQFEKFQLCRDLMQIKHAIKHWNILTETFVEDLELVNLMGSLGLPVSFSTSKVKKKTVNKGKKKGIQAPFEEANTQINDGVRICVNTEDRESDVPSIDVLELSNSCCSSETALGYSELCNDDTENMLSEGSLCVEKQKDSGCSTICSDEKATGCENENKHEHGTLLENTGNPVKPESPSQGNQASDSASQCSVEMLDHGESRRSCVGIHQEENLAMREDQIYVEPLSVPHEDGETDCQASPSSAEPFSVDGHTLSSDINFYYDYGDWRVVWDPFYSRYYFYNIQTQESTWCPPEGLEYFASHCSTDTTKELAELGSQSKSISMEENCQVDDGMHLDGQGQDHRNNLDDLSDIPDEESINQSMITTIDEAQHAGKKQNGSMAQVLEMAQEVASTKKKRRVRRSQSHHSYQDMEAYISNDIIKYWTHRYSLFSLFDSGIKMDEEGWFSVTPEVIAKHHASRVGVGVMIDCFTGVGGNAIQFATKCKHVIAVDIDPQKIDCAQHNAFIYGVKDHIDFIVGDVIRIAPHLKGDTAFMSPPWGGPDYAKVDIYDMKSMLKPCDGYSLFKLGTMIASRIVMFLPRNIDLNQLADMSLSVDPPWAVEVEKNFLNGRLKAITAYFEKQDGLGAHDHW >Et_6B_048484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:29028:30597:-1 gene:Et_6B_048484 transcript:Et_6B_048484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTESPLLRDVGAALLTAVAAAAVLRFWEVVANRELLDQKLCRKLVHITVGFAYFLMWPLFSSDDVYAPFLAPLIIELNIVKVTLIGLGIVKDEGVVNSMTRHGDRRELLKGPLYYACAATLTTIIFWRTSPISIPVLCNLFAGDGVADIVGRRLGHAKLPHNNDKSYAGSIAMFLAGFIASALFMCYFHHFGFVEQSWRMVLAFGVISFVAAIVESLPISTRLDDNLTVPLASTLVGALVFHLIGVRNLCCMSSDESNISAIVPMVFAGSSK >Et_4B_038757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4659356:4661844:-1 gene:Et_4B_038757 transcript:Et_4B_038757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTEQREASRQEERDSQGRRHHSSSRSRRDDRSPRRRRDDRRHESDRAHYKSRDEESKRAADRDQKRNKDVAQDDEPLNAEAKSLSHAKDDPSAKHDRSPRGTKRFSESRESRRPQSFVQHDERGSAGHGGRRYDRQTNDYGRQRGQKEHVIHRHKEKANGDNSQIRVDQTQQDKDGDPTWNHDGFFQLEEEAPLVKRRPPTQEMKMSLEQESAPSVTELDSGSQLPEQPGTTATMREERRNYYSRGFGNRRPFVRPDDRSFRRGFPDQRSDGRRHGYDSRGRFPGRGGMDRDRFHNPNDWRSNAYNAAGDQGEKWKHDLYDQTNRSPTPKTEEEQIAKVEALLAL >Et_9A_061632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14673802:14678640:-1 gene:Et_9A_061632 transcript:Et_9A_061632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCRLNLKDPWRPPQPLRRISGWRLWSNKKSSTCCEGSPLSNSLSRHLCLHANQTLILVGKTGRQDTKNPLVRKKTIIGTLKKPIGAETKIKKLEIIMAALVTLAPVGSFFSTLLMTPTATVCLMSRTAKRPRGGYWEKVSTTMGIGHESSAHRLRFSSTELRREASFLLGSSLGHLGTSGVLLLHALDDSNSNSLPHVTDSKTTKRRVLGEGLHNHGLGGNHLHHTSITVLQELGLLLQLLAGSSVNLGLQLSKLHGDVGGVTVQHWGVAVTDLSRVVHDDDLGGEAGSLLGRVILGV >Et_6A_047056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24647472:24650871:-1 gene:Et_6A_047056 transcript:Et_6A_047056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVTEAVGSLVPKLLTLLGYEYKLQKGVKKSVESLSKELKHIHAFLSKVSDVPWDQLDEQVQEWASEIRDASYDMEDIIDTFLMRVEGHEPAGRSRLKRAMNKMANVFSKGKERHDVGNAIQDIMKKLQEVADRRARYKIDDLVVKSSATTSNVDPRLKAMYKEVASLVGIEEPSKNLISMLSARGEDVAGRKKIVTIVGTGGLGKTTLAKAVYDKLKADFDCGAFVPVGRNPSLRKVLMDILYELDKNKYADIHTKNRDGRQLIDGIREFLVNKRYFIVIDDIWENESWEETIECALLENSGSRIIITTRNITVAEKIGDEVYKIQPLSDDNSEKLFYARIFGDEKKCINNQSDEISNKILKKCGGIPLAIITIASLLVGKPREKWSDVFTSIGFSKKMNEQVANTMKNTMKILSFSYYDMTSYLRTCLLYLSVFPEDITIDKDSLIWKWIAEGFIQEPQGISLFELGERYFNDLVNRSMIQAIESESNGMVVGCRVHDMVLDLIRSLSSKENFVNVIDSDGGSVSTLPSSTRRLAQHNTTMEHTSDASMVGMQQLRSFISYRCDVDKLAPLSTFKHLHVLDMEDCNNLRSSHLVHLGSLLHLRYLGMENTKVDDLPTEIGALKLLQTLNLNKTRIRQLTPSISRLTQLVCLRGNLWGTVAPHWIGKLASLEELQVCVDSSDANARGFLKALGSLTKLRVMRIYIGKVMDDDMERDLVLSIGKLHKLRHVDFRSNGTEVARTAMWEEAGVVLPQHLQSLYLTLFPFSWLPSCITAAYLPHITRMSLITYAMDHKGLEFLARLHELCYLPEAERLCDALIQCKEEDSNVSFHIWNGIDDIAFGSTKNDCRVPLPSTVMPNLERLESQIFVRPLKDDNGDYGNIGLQYLPSLQKVVVFINCEGASPAEVDEAEAALRKATEVHPNRPTLKMWRIYEDKMISAVQIEKVQEDDNVPTEEELQK >Et_3B_028415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1564331:1567276:1 gene:Et_3B_028415 transcript:Et_3B_028415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FTAKHNSYLLVINKTTYSKKDIESGIAYFYLNSPMEDASSVFYNDLLPSLGARANQSIKLRKFIISHDSRYRIWETFLLVLVVYSAWICPFELAFLRHLSWELFLVENIVNSFFAIDIILTFFLAYLDQKSYLLLDDPKKIAVRYISSWLFLTFVQRFRINHLDPYLKSMQMALRIGYLTCFGYGVSDVSALYLPGCFNYLIADRYPYPARTWIGAAIPNYNSESLWVPYVTAIYWSITTLTTTGYGDLHAENPREMLFSTCYMLFNLGLTAYLIGNMTNLVVQGSCRTRNFRDTIHAASQFAARNQLPTHIRDEMLSHICLRYKTEGLKQKETLNSLPQGIRSSIAYHLFFPVIEKVYLFRGVSFTCMLQLVTAMEAEYFPPRELVILQNEAPTDVYIIVSGAVEERTAVDGVDQVHRMMSSGDIFGEVGVLCNVPQPFTFCTTKVSQLLRVSTTVLKNIIEENKEDKQITTGKSLGVLNGNFIKYNSCSPFNQVSHENESEERETAPCGGEKCSEHDESHRHGTIHKTEIQDVPDMINDWRNKGKSVDNNHTPTKWMYMNKGKVDVHK >Et_4B_039808.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3146165:3146536:-1 gene:Et_4B_039808 transcript:Et_4B_039808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCCVFLRWPSSASSHSRLGYRSLDADEEAAGPSPATTTVTVVVGKERRVFAVDQLVLDSYPFRVLLETVARKEERRGRAIFVDVDAILFEHILWLACDGRSLSQLLQLDLKEIIDFYAQDA >Et_10A_001517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4438233:4445460:-1 gene:Et_10A_001517 transcript:Et_10A_001517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALATSVGINLALTVLLAGAYTLLRRRPAYVEVYSPRRPYAPPEAWLPAAWRHSEEDIHAAAGLDGVVFVRIFVFSIRVFTAAVVLGVGVLMPLNFLGDQLREIDFSDLSNTSVDLFSISNVKDGSNTLWIHFSAVYILTGIACYLLYHEYKYISGKRLDYFMTSKPLPQHFTVLVRAIPVSEGVSVSDSVDKFFKEYHPSTYLSHTVVHQTGKLRRLINDAESICTKVTNLKYVPRSSGDASRKFLGLFGRNDLRGKYQQRLEDLEENVRMEQSDATRRQEIPAAFVSFKTRYGAANAIYIRQSDNPTEWQTEHAPDPHDVYWPFFSTSFMERWISKFVVFAASILLILVFLLVVAFVQGLTNLEQLEKWFPFLKNILKIAVVSQLVTGYLPSAILLLLNSYVPRVMKLFSTMQGFVSVSGIERSACNKMLRFTIWTVFFANVLTGSALRQYEIIFDPKEIPSRLAVVVPAQASFFIAYVVTSWTSITSELTQTAALFFHVWGKCAKCCKSDDSKAPSMPYHSEIPRILLFGLLGLTYFVVAPLILPFVLVYFCLGYFIFRNQLFNVYLPKYDTGGKFWPIVHSTTIFSLVLLHIIAIGVFGLKKLPLASSLLVPLPVLTLLFNEFCRNRFLPIFEAYSSESLIKKDREEQSKPEMTEFFRNLVKDYCDPALKPIQRSSNSDERTAPLLIKRPSSKALGENRRRKGALGIPSSP >Et_8B_060324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9500122:9509816:-1 gene:Et_8B_060324 transcript:Et_8B_060324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLPWLLALVTALACHHEQAAGVPLSTASRWIADEHGTRVKLACVNWASHLEPVLAEGLGKRPMGAIAADVAAMGFNCVRLLHRHAVLSEAQPHRVARRRSDAQPQHPRPQAHRRVQGKSVIIIFFINRGHDLDQEAHRRFCCITFHLNQAVVRSLGESNVMVILDNHVSKPGWCCSNGDGNGFFGDTYFDPVVWVEGLSEMASMFAGVPNVVGMSLRNELRGPRQNVDDWYTYMQLGAEAVHAANPRVLVILSGLSFDTDLSFLRDRQVTLSFAGKLAFEVHWYSMSATSAWRAGNANEVCARSAAGVARRALYLLGQGWPVLLTEFGFCPVMELQAKSERGQSM >Et_2A_016070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20705719:20714053:-1 gene:Et_2A_016070 transcript:Et_2A_016070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEHTVVQPVEDPATVITSDVIEEILLRLPISSLLRFRVVCKQWCIMISDHHFIREHALRAPKHLLLFLPKFDISVGRSKFFVPNRAMIINENWSPSAWAASQMDPDDHLFASCNGLLCFYKTYMLKIANPATGQRLHISKPDGILLYDFHYLYSFGFHPMTGEYEVLHFLRDPQHYKSGRPFHFDTIQVYTLGEDKWRGIRNPRKCCMVNLGVVNMDGAMYWLTEDEGTGCGMSVVSFDLRDETFTSIQLPPLAEVKETVSCATPTFSYYIFEIDNMVCTVAIPYHSHVPRWRCFNAELSDRMNIWALESQVEHKWFLKYSVQSPRVPRYVPQPCFIHREKIVLLDRDDNAWHHDLPGKNVQIEQRDEVKLLHLGASRFYETRSYLHKETLVPFECACRGSHCSCTASSSWLTFNVTRPVHFQRFGINCARHIQLRKMIMMPDTEILVLILEQLATVESKAKKLQKEECIISCLPSDLIERIFLRLPVTTLLKCTGVCKQWYKLIRDPHFIAAHLEQAPRCALLFFSQESVSGKRYPSDAIIFDEFWSQSTLAVPAIGPDDLLCGTCNGLLCLYTKTSKIKIANFATGECLHLDKPIKNLKGDHFSFYRFGFHPVTKEYKVIHFLAENRNYSQGTFNVIQVYTLGSEKWRDIRTTEALSISCVKNYGVVSVDGAMFWLTEDSAARWKHAVISFDLREEILTRIQLPVAALENSNSRRYWITEIDGKLCIATAEVHRHRPRVLSDKLQIWILDSKVEQRWSQMYNLSDGRKYLPGPHFVHRDKILMQGTACKLYSYKLFGMNCEINSSDRLLLDFSPRKPDNMQSYICVKSLVQLDAYKKVSIVHGPKQREGWELKKWEGLKREIQVVEDAWRNVYELEQNSLAFPRHLGMVAKEVLQHLPDEVIRQRITAEIDKILQQLPDCPDQHPRSLRRLNWVEQKWDNEKLSARTDGVKDITKVWLDWIGMASKKAKPEMQGKEDCIINFLPRDIIESIFLLAASSENFAEVHWCLQAIVSLHPRSPVCRIAPPLCNTMHAPILS >Et_1B_014416.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:8012572:8013273:-1 gene:Et_1B_014416 transcript:Et_1B_014416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHAPGTERLLGSGDNDGWDVQGFLWRILPGVLGALLALAIMLPLLYYPYLWSADNGKYPEYSVAVAGFSGLDPDADLLPPSRATLDPTFDLTLRIKEPRKYSAACVPGGTATVSYRGAQLARGPVQGFCGRSEDTTERGSVMAWGNAVVVPRFARDRLADELRRGEAVLDVALTTPARYCQYCYQRVIECRPRLGSGEASPPCWVRTQYPTLPDDARTPVRRMLRFFGSKQ >Et_2B_021074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26265962:26271218:1 gene:Et_2B_021074 transcript:Et_2B_021074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAADAKAACEVVATAADIICSLRAADLAGWTPPWRKLSPSPPTHAADPSACDDDNGGDARELAWPAVARGKRSRSRSHRGSPSASAGSGSVLAAAKKKKPRRARGSPASPLDYSGGSGASTSGGEDGAFCSPPPPVMSAGGSGVLPVEPANKMVGLAGRRSIRPVPPPRPAGQRPRKKMRLLEIQQMVRSLSLENDALRQEMKALQKACMTLSKENGKLEYPCGFQATMHMLPRRGPSALINNIEKKDIRLDHSSKRDGIISEDKKGKPQLVQRAAEMEAKNGFALPDLNLPAQDG >Et_7A_051952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3101592:3112166:-1 gene:Et_7A_051952 transcript:Et_7A_051952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDIKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELQTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSQYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKLKDMFFAIVGRVTGYDHHGAGAQEPTKLPSADAPTCLHSLVSTMGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDIKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELQTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSQYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Et_2A_014988.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24887734:24887889:1 gene:Et_2A_014988 transcript:Et_2A_014988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRLCRVKRGVEKGVPGCLEPVWIVRSTCGTTPMSYLLNQKSAKFVPLTF >Et_9A_061447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12119036:12121278:1 gene:Et_9A_061447 transcript:Et_9A_061447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLEKALLGLFAAAAVAIAVAKLTGKRLRLPPGPPGAPIVGNWLQVGDDLNHRNLMAMAKKFGDIFLLRMGVRNLVMVTTPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVAQNRVGWEEEARLVVDDLRRDPAAAAAGVVLRRRLQLMMYNDIFRVMFDRRFESEQDPLFEKLRALNGERSRLAQSFEYNYGDFIPFLRPFLRGYLDRCRDIKTRRMKVYDDYFVQERKKVMAQTGEIRCAIDPILEAERKGEINHDNVLYIVENINVAALETTLWVIEWGVAELVNHPAIQSKLRDELTTVLGLGVPVTEPDLERLPYLQAVVKETLRLRMAIPLLVPHMNLNDGKLNGYDIPAESKILVNAWFLANDPKKWVKPEEYRPERFLEEEKAVEAHGNDFRFVPFGVGRRSCPGIVLALPIIGITFGTLVQNFQLLPPPGQDKVDTTEKPGQFSNQIAKHATIVCKPLEVA >Et_5B_044081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19814272:19842887:1 gene:Et_5B_044081 transcript:Et_5B_044081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVVMSTNVGVFAIKLKLGHVRKLGVKGSYYSIVPYASFCVPAMNDAGCRRSMTRPPCRRRSPPVLMEDLVEEILLRLPPDEPARRVHAALVCKSRLRILCDGGFLRGYRKFHRNLYLLGFIHNGPGLRSPGSVSTSIAFPFSPPALAPGRSWWALDCRHHRVLILHIYKLLELIVWDPITHEQHHLPLPSHPHSYSNGAVLCAKDGCDHLDCHGGPYLVVFVGTRNHVVGGGECLASIYSSETGTWSAVASATIENGSNVEMMKPSLLIGDTLYFSLSRSDMLKFDMGRRTLSVIDTPERKYGAIPMMAEDGGLEFVAVLDDSIYTWSWQVGTHGNTARWLQQWVMELAPLLPNCRRSTKCEVIGLVEGTHIVFIYSPHVGIFTLDLKSRQPTSRSPTKRCISVMATPPELMDDVVHEIFLRISPDSAEDIVCASLACKSWRRVLSDPAFLRRYRALHRPRPWLTFLSYGQEFFGLVSTRRVAVLEGDQLYVWSWIPAYHDWVQRMVIDLKALLPSHALSFSPFTFELQDRTDTIVMSTDVGMFMVGLSSGQVRKIGERGDFCYTIVPAPYMSVVTQEDSVNSPAGAQNNSSPEPDRGGRTKPTCSQPPPSTRPHSFLSPLLHSTDSPSSSSPNPQPNLSLTTMATAPPRAPPELIDDAVAQILLRLPPDDPACLVRAALVCKPWYRILSDPGFPRRYRAFHRALPLLGFISNISVSKNDAIFVPSFTAVPFSRPGPKFYRDGSWEALDCRHGRVLLHCYRKTNLVVWDPVTGDERHLEAPLHPRAWVNFTAALLCAADGCEHLNCHGGPFLVVFVSTDYVHGFIWASILLRADFQLHEDAVNQPINMVLLLLDSQGAGDSCILFQIGGFNSYKKRQKNIHYGQLPLAFQ >Et_6A_047893.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2340590:2341063:-1 gene:Et_6A_047893 transcript:Et_6A_047893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTFGATCVPGGLDDFSRVICASGERYEDAVRRLEKRKELQRLAILEQEARERRYAAEDLQADVESIAAAFKAQRFLDVPACSVAILALPMPTVGETAERGCALCGELFKDGDLLRMMPCSHSFHQSCIFRSLRVNCVCPRPCCRFIMPAAEDEEY >Et_1A_007059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30492824:30496161:1 gene:Et_1A_007059 transcript:Et_1A_007059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWSFLPATAAMAVVLMVSVSAVTDLPAAPAIVEHTFVVSQVNMTHMCKEMSVTVVNGQLPGPAIEVTEGDSVVVHVVNKSPYNATIHWHGVKQRLNCWADGVPMVTQRPILPNQNFTYRFNVAGQEGTLWWHSHVPGLRATLHGAFIIRPRNGTGSYPFPKPHKEVPIIVGDWWEMDLEQLANNLADGYFADDPTAATINGKLGDLYNCSGVAEDGYVLEVEHGKTYLLRLLNAMLFNEIFIKIAGHKFTVVGSDANYVNPYTTDVIAMAPGETVDALVVADARPGSYYLVTQPIQPPRPSDQAPLRVARATVQYRNNNHSTDDGDLPVAPEMPDQHDLPISFDFHGNLTSLRGHPLIPPVPERVDERMFVTLGLGSVCRRGGQLTCKRSGSNESIIVGTMNNVSFQLPPQATTTPLLQAHYYHHMEDAELEEFPDQPPRWFDFTDMALITPGPKEARLEPTARAAVARRFRHGAAVEVVFQNTAVQQCDSNPMHLHGHDMFVLTHGFGNYDAARDVARYNLVNPPLKNTVQVPNLGWAAVRFVADNPGVWYMHCHYEFHLSMGMVALFIVEDGPTSDTSLLPPPDLLTCDDDDIVNMNLTSTLGELKCHTKASDV >Et_6A_047175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26353587:26355605:-1 gene:Et_6A_047175 transcript:Et_6A_047175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLLSAATTTTAAASGSKGEEAAPASLPPWAKQSTFRHLLVDGGSWPPAADAVVEQGGGSGFNTITAALAVAPEGKRRYVIRIKQGVYNESLNITRKNVVLLGDGIGKTIITGDKSNATGTRTDMTATVNALGHGFVAQDLTIRNTAGPEGNQALAFRSNSNKSVIYRCSLEGFQDTLYAENNLAALPGMRRVRHG >Et_10B_002894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13104438:13108084:1 gene:Et_10B_002894 transcript:Et_10B_002894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLAAMLLLHEFFLLTFFFLEYFASSVSPASVSTHGTSTGNTTDHLALMSFKSLIRSDPSQALASWGNQSMPMCQWRGVACGLSGSRRGRVVALDLDELNLLGTITPALGNLTYLRRIYLPSNRFHGILPRELGNLPDLETLQLNFNSIEGQIPPSLSNCSQLVNISLYSNNLQGAIPSEFSLLHNLELLNLGQNRLTGRIPSSIGGLVNLKKLNLQFNNMTGEVPTEIGSLVNLIILGLGSNQFSGTIPGSLGNLSALTFLSIATNNLEGTIPPLQGLLSIEHLILAQNNLEGTIPSWLGNLTSLQVIDLQYNGLAGPIPESLGNLELLTTLSLSENKLSGSMPHALGNLHALTGLYLINNEIEGFLPPSIFNLSSLEVLNIQQNNLTGVFPYNTGSKLSKLTEFLVSDNQFHGVLPSSLCNASMLQMVQTVTNFLSGTIPQCLGTHQKDMSVVMLLGNQFEATNDADWGFLTSLTNCSNMRVLDVGINKLQGVLPNSIGNLSTRLEYLVIADNNITGTITEGIGNLINLNYLDMGDNLLTGAIPSSLGQLKKLDRLSLLNNALSGPIPEILGNLTKLTRLSLANNMISGAIPSSLSNCPFDATLDLSYNNLSGPIPKELFFISTLSGIMSLSHNSLSGTLPAEVGNLKSVGHLDFSNNRLSGEIPITIGECQSLEYLNTSVNKLQGIIPLSLGNLRGLLLLDVSHNNLSGTIPAILGRLPGLSSLNLSFNKLQGEVPTDGVFLNATGIMITGNNGLCGGMPQLKLPTCSSQSSKKPSTKLAIIVAICSTFLFVTLVFALSVFYHKNKKAKAKLQRSVLSEQHMRVSYAELANATNGFASENLIGAGSFGAVYKGELRGNGQNVIVAVKVINLTQRGASQSFIAECETLRCARHRNLMKILTVCSSIDFRGLDFKALVYEFLPNGNLDQWLHQNIMEDGEHKALDLTTRLCIAIDVASSLDYLHQHKPMAIIHCDLKPSNVLLDSDMVAHVGDFGLARFLHQDMEKSSGWASMRGSIGYAAPEYGLGNEVSSHGDVYSYGILLLEMFTGKRPTDNEFGETIGLRKYVQMALPDRVDIIVDQKLKGTEDGETSTSNSNSTRSMITACVASILRVGISCSEETPMDRMPIGEALKELQATRDKLQRHAY >Et_4A_035855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7384065:7386128:1 gene:Et_4A_035855 transcript:Et_4A_035855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAPARAEVLSLFRSFLRTAKQFSDYNIREYTRRRAADAFRENRALADAPAAAAAFAEGKKQLEVAKRQAIVYSLYAPKSKSIMEIKLQSMFVLFLDNFHTNCKDNAM >Et_1A_004729.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:34996319:34999991:-1 gene:Et_1A_004729 transcript:Et_1A_004729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEVLKTEFEAALSVLRKKERDLRDAERKVSVDRSRLNQTKQDLDQREEDIIKAYARQREMEKALMKASRDLTLQVRQINNLKRLVEEQDRKIVDSQAALSQKVIEVDKLKEDMRKKNEEAAEMRSEVKSKEKQLHAANQALARQEATIAELQREIKRNEAEIARSNELRKANEEKLKIAEEELTKQNLGWMAAQQELKELAQMASKDKDNIRNTIDDFKRVRSLLDVVRSELIASKKAFTFSRQQIEDQATQLNKQMQELTDQRVLLISYTQNLEAAQVEIQGKVKELNDVRLSCGELESQLHKEKERVESLEAVLKKERESLEQKTKEVNLLQEELAQKEDGYRNSQNLVQVKESELLEARHQVEDMRVKVDSIQLAVQEKDSELLKTQQRLAEVNSEVVELKQLINSKDDQLVQIRTELQDKEHHIHTMQDELDKMTLGRSKAESMVRKIVELTGDLIGSAEGGEYDIYSLLDDEISSAGTALEFNLHKQKQLEADIDMLKESLQQKDMDLGAAYKALNAKDQELKAVLRRLDVRDKELDKLEDLSIDPNDIRRLSSLADEATEGSIKAEPVEVEALAAATTLNKLAALTKEILRRQKPESGTNITASQNVKNSEGASKMEAMKDMNVILEAQKDIVGLFSLTKELVDDAGLSDAEEP >Et_4B_037300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17800817:17801182:1 gene:Et_4B_037300 transcript:Et_4B_037300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAPSPTPKYFKPANKDQLNNNAAPAKQPAKAAMNPEKEDGTRQSLPKCQKGSSQKPLCPRHQAIDFFSIQTHKLHRPQPLSVLASLQASASTKKLS >Et_4B_039438.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:15733335:15734054:1 gene:Et_4B_039438 transcript:Et_4B_039438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDPKSAPSAAHQFTVVIDGVETVIYEGVLRCSNGGTVTVLRPGMLEASRLAHVVVRGSGGAGDVRFTRCGHAAAEGCGAASFDRCGAVRVDGAREVAVARCRSADVERVAGAVAIRRCRGDARVRGAGELRVGRCREADVGGCADAAVARCRAARADWCGALALGRCASADVTRCGAVRVDRCRGASVSGCGTVAVRRGRVNVVQAPPKGCQEEAMMYQLIMAEPVLAAPVEIMSK >Et_2A_015035.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:2770864:2771004:1 gene:Et_2A_015035 transcript:Et_2A_015035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSKTRLQQQTNGGEHLQRKSGCNYIDCPLPVKTQSLSGFKQSL >Et_1B_010934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15624310:15624912:-1 gene:Et_1B_010934 transcript:Et_1B_010934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELVQGVSKQGLEKWSQLKRYYFSTLIRTAMHLKDKWRNLARACRRNSSKKKIVDIHFCNNAPPLSAEQQRPNSQKVLYTDV >Et_10B_003280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18384548:18391719:-1 gene:Et_10B_003280 transcript:Et_10B_003280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HVGRGGVKSALGALPVRKTQNPHSSSKFPTQPASSPLAGVAAEFVDPPLPAPHYRNGRRRRVRVPFFAAPARSAAPLRPLPSAADTPASAAQTPTPSLPDTPASADPDTPFSDAALVDASDAGTPALADASDAGTPALADASDAGTPVLAPPDGDDDDGINPSGGGPRKHMTLAPAAPPSKKSKKKGGNSVWTRPASRKGKKKAKQPGQGQTLLGGTANGSRPKPSAAGEEEFLLVPAPRLAAERSDDAADLPVLLSRVFKSERVELSDDRLTAGSTKGYRMVRATRGVAAGAWYFEIKVVHLGATGHTRLGWATNRADLQTPVGYDAYGFGYRDIDGAKVHKAWREKYADEGYGEGDVLGKICYFKNGVCQGIAFQDIPGGRYYPAASMYTLPNEPNCVVKFNFGPDFEHFPQDFGDLTIPQPMSEVPHQVYELKDEQPIENGNLFQNIVGGEKHCTVTVVNQLGL >Et_4A_033248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20390466:20396650:-1 gene:Et_4A_033248 transcript:Et_4A_033248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSDFSVRLPADMGLLELPLTSAFEMRFKTDNEDEWPPEAALLGAACSGNVRRLKEIATRLAADGKGIASTVRRTSFDGLNALHAVAGGKGKLPMCRYLVEEARMDVNKRDTSKGKKLTPLQHAVSAGNLPAVRYLLDKGADLHLASYEKGQEGVTALHTAAEKGRSEIAEYLLSRGAHVDGESCRLTPLHIAVTEGHDSTVKVLLDHHANAGAEVNGIRNPLARAARDGLTEAVKCLLEAGANPNIPNLYGELPMEVAAYYGTREDVEILFPFTTPIPSVTNWTVDGIISHIKLERKQLKDADFVEMKKSELRRQGDDAFKNQDYINSSAFYTQAVRIDPYDATLFSNRSVCWHRMGDGKRALQDAIKCKLLCPVWAKAYYRQGAALMLLKDYAKACEILSEGLELDPESDEIDKLYWEAMELKDASTAAA >Et_1A_004547.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:15266084:15268961:1 gene:Et_1A_004547 transcript:Et_1A_004547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATLLAHIAASRFTRVEAVTGASTAAAAHRVLGLLLRTAPLPPLPNLVSLARWSRDRFRAPLPLPLHALLLVRLASHGGHSLLRSELHALAAARLHSPAAILRALPSSRSAPLIADMLVHAFAKASQPLVAYEAFVLAGAHHPRHRPSTFSVNQLLAALVRADRVDLAEKAFRAALRRRVSPDLATFNTVISGLCKSGQLRKAGDVAKDIRAWGLAPTVITYNTLIDGYCKKGQAGKMYHVDSLLKEMVEAGISPDVITFNVLINGYCKESNITAAIRVFEEMKQQGIAATVVTYTSLVSGLCGEGKLEEGLKLVKEMEEAGVACSVSTLNSVLNGFCKKGMMEDAEGWVDGMAGKNVKADAITYTTLVDGYRRLGKMEEAVAVKEAMAEKGISPNVKTYNCLITGFCTSGDWRSVSSLLDEMKEKGVRADVVTYTVLITQLCSKGELQKALKLLDEMVEVGLEPQHRTYNPIIDALCAKGDKSFYEIRSRMEKRKKRANVVTYNILLKYFCRMGKMGAGNDLLNEMLERGVIPNGVTYGIINVGMVEKGFIPDIRGYACSDTSKT >Et_9A_061921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17868920:17871735:-1 gene:Et_9A_061921 transcript:Et_9A_061921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPTYIVLPVPGVTLPERHRVRLERQALKKNTSHPVPMYSGSSSPPPPPPAQVDQAPPPLGDKLAVFWHEGMLAHDAGRGVFDSGRDPGFLDVLDQHPENADRVRNMVSILRRGPIAPFVSWHSGSPAHASELQSFHSAGYIEELVQANAAGAKKLCEGTILNPGSWGAALLAAGTTLSAMKHILDGHGNIAYALVRPPGHHAQPDRADGYCFLNNAGLAVQMAVDSGRTKVAVVDIDVHYGNGTAEGFYRRDDVLTISLHMRHGSWGQSHPQSGSVDEIGEGKGLGYNLNIPLPNGSGDAGYEYAMNELVVPVIDKFQPQLLVFVVGQDSSAFDPNGRQCLTMDGYRKIGQMMRTMANQHCNGQILIVQEGGYHISYSAYCLHATLEGVLDLQGPLLDDPIAYYPEDEQYTMKVVDMIKNCWKESVPFLKDI >Et_4B_036838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11952927:11954987:1 gene:Et_4B_036838 transcript:Et_4B_036838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKVSGSSPISAPLLDSLAVWVHDLARLFYLLIGRRRRMCFSNVYCTTAAPLWIRQLLAENFAATCKADRFCVPCAAAFCDHCCAGHHRGQGHEVVVRAAAAADSEGTQHGAGAGRSAATRNSFCLDCAAGFSAALCAHHAGHETVRVVVCQGRHCVRFTGSEPWFPYFDRIEVRTLSSSPISAVLLLGCCVVVDDPLIELTYEDEQGHKLIPLQPRCRGARLASCQGHRNSKWSGF >Et_3A_025612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31220021:31224016:1 gene:Et_3A_025612 transcript:Et_3A_025612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVGIKRGGAATQTITLPPPVRDVMRSTIPSQPAEAPAAPERAVAPAAAMEGFLCLEEIDGRRWSYVVDGGAEKGKGRGRAAVSTGTSVRAVPMQSPLPPAEEIMAFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTRTLLSSVGVSQSKATPGAIAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSSDLLLEIGAGIELTTAAFPQFFLPMACMANVVKNVAAVTSTSTRTPIYKAYARGENIGDVTAKGESVANIADLLGTGLSIFITKRNPSLVTSFAFLSCGYLLSSYREVRSVVLNTLNRARFTVAVDSFIKTGYVPSLKDGNSQETVFNPPWRHEPVAIGSRFGEAFQEPASFVAIRPLFEDERYMVTYNPTKDKVYALLKDQAKSDDILKAAFHAHVLLHFINASHARKLKQKQKQANSSRSDNYENLYSRNIDFLAHIAESCKIVSSSYGTFKKKAREQGWIMSESLLNPGKARLCQTRPQ >Et_6A_046197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9486866:9488515:-1 gene:Et_6A_046197 transcript:Et_6A_046197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTQRIYIDNNNAVAAAPNGGVAVLQTTGTTTTTPAAAAAPPHLLDAEEFRRHGHQVIDFIADYYGRMEEYPVHPDVTPGFLRRQLPNKPAAGGAFAAALRDVRDLMLPGMTHWQSPRHFAHFPASSSVAGALGEALAAGINVVPFTWAASPAATELEMVVVDWLGKALHLPERFMFCGGGGGTLLGTSCEAILCTLVAARDRKLAELGDSRRIGDLVVYCSDQTHFAFSKAARIAGIPRENVREVATCRDDAFALCPARLRDEMRADADAGLAPLFVCATVGTTQTGAVDPVRDLCAVASAHGAWVHVDAAYAGSALVCPEFGHAVAGVEAADSFSMNAHKWLLANNDCCALWVKKPSLLVAALGTDPEYILKHNHPQGIEEHDDDDVVVDYKDWSVALTRRFRALKLWLVLRVHGVEGLRDHVRAHVRMATLFEAMVRRDPRFEVVVPRQFALVCFRLRPPPPNEKKKANDLNRRLLQRVNAAGPAAPYMSAANVGGIYMLRCAVGSTLTEERHVRDAWKVVQEQAAFLLDLVK >Et_2A_015866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18603034:18605236:1 gene:Et_2A_015866 transcript:Et_2A_015866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVAEVAVPRAPSAKRKSTTLRFLFELEKPDGLLPGTAKLPPPSPEPEADSLIDKIASCARVFTFSDAESVEERDARAERLGEVLAAVKSASSSSGGKQQQPQPLDHRVMVALVKMVGANLFRAMPPPPACAMPLPPPDYYDEEAPAVALAPAWPHLQVVYDILLAAVATADARTLRHHVDRAFLSNLLALFASEDPRERDRLKTVYHQLYAKLTCERAFMRRSMAAAFLRFVHETSPAERHHCCGVAELLEICGSIINGFAVPLKEEHRAFMARVLLPLHRTRWVHAYHRQLAYCVLQFVHKEPGLAEGVVRDVLRHWPVTNCQKEVLLIEELEEILEVLEPERFERLAVPICARIARCVSSSSSQVAERALYVWNNERFLELASASPGLMEKILPAFVASIESNLEQHWSKCVQQVTASVKSLLEQVAPDLYARCVDDLPARRSEAEAAAAVREARWRKLEMAAAAAASSRN >Et_10A_001522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4550106:4550998:-1 gene:Et_10A_001522 transcript:Et_10A_001522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFESERSAEIILMANHDVVTNLTQIVMYVGNNGYRISAAEILEHLYIHYTKDDDHRNKLKNAMTDVMKEVLREIIVCGPREAEMQTRRSEENGVFSAPETDLEGQDCDVSVDDDSSSREPKEDRKLLAALLSLCTTVCQDQDLGRMYDAIDPGDASISLAGKLREIVERNTLATADCLRIMKLISKMVILMLKTGGIQVDHDLERLIESLSSTLKIMLDIDGLTLLSTCNSVTTNTMRTLSSLVNEAEKLLKEKTTHPVTGNLSV >Et_1B_011891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2703319:2706056:1 gene:Et_1B_011891 transcript:Et_1B_011891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFPSPTAQAMAVAAPAAACPRLLVRAHFAATFSLALRGRRHGRAVAAVRAREQGAAPPDPAAILRRPEVATTAPVEEEEEETESDAEPSYHGSAEDEAPSEEGIPGRRKEPEREWVDWEDLILEDTVPLVGFVRMILHSGKYESGGRLSPEHEKAILERLLPYHPQYDKKIGCGIDYITVGLHPEFENSRCLFIVRKDGEQVDFSFWKCIKGLIRKKYPLYADSFILRHFRRRQDY >Et_2A_015407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12052163:12056148:1 gene:Et_2A_015407 transcript:Et_2A_015407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISQTEVDLLRLLDSAPRQKNQTKLIHYVTTSHELLEKLAAENPSEAISSVSKAKLNDYSDKIKELAARLASEMLEDGKTISENKRAVGAPIRLDEAAQAYNAKHRKLQEDLTDEMVVLARQLNESSLLMNQSVQETEMILDSTDRAVEHSLAGTGRANAHAVEVYSVASRTTCFRWLLLFVMTCMFLMVVLLIRVT >Et_8B_059894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3836056:3838969:-1 gene:Et_8B_059894 transcript:Et_8B_059894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQQGELSRAERRNARPDKEEETNSAEEVDDCPIEEVRLTVPITDDPALPALTFRTWFLGLVSCALLAFSNQFFGYRQNPLYISSLSVQIVVLPLGKLMAAFLPRKTVGLKGTKWLFSLNPGPFNLKEHVLITIFANCGSNSVYAVSIITIVKAFYHREMHPLAAMLLAQTTQLMGYGWAGLFRKFLVDSPYMWWPANLVQVSLFRALHEKEKRPKGGTTRLQFFLTVLITSFAYYIVPNYLFPTISTISLVCLVWKNSVTAQQIGSGVYGLGIGSFGLDWATVAGFLGTPLSTPAFAIVNIMAGFFLIVYVILPIAYWTDAYGAKRFPIISSHVFMANGSRYDVNQVLDPSTFQFSQAGYDGAGQINLSIFFAFTYGLSFATLAATLSHVALFHGRSIWEQTKATVRAQTGDVHTRLMKRNYAAVPQWWFQVMLVLALGLSVFTCEGFGRQLQLPYWGVLLAAGLAFFFTLPIGIITATTNQQPGLNVVTELIIGYLYPGRPLANVAFKTYGYISMSQAIMFLGDFKLGHYMKIPPRSMFIVQLVGTVVASSVYFGTSWWLLETVPNICDPAKLPEGSPWTCPGDDVFFNASIIWGVVGPLRMFGRLGLYSKMNYFFLAGALAPVPCWALSRAFPGTARWVRLVNMPVLLGATGMMPPARSVNYLMWGAVGLLFNYVVYRRYKGWWARHNYVLSAGLDAGVAFMGIVSYVVLQSRGVNGVNWWGLEVDDHCALARCPTAPGVSSPGCPVH >Et_9B_065288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3162760:3166173:1 gene:Et_9B_065288 transcript:Et_9B_065288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQQHARPKMGEEKLIIRSEKVRFTDILSMLLLRRPITSYRFVDAGDQTAADFGSTPGDWFVALTEVIQKALHAAYYPAIYIGAFVEFLLNFIALNNGILGIILNVFRCKLVIPLNREAPNFRSMIGLIDGRTELKPLPANGGADDRRLQVVGVPSGKSVNKEYFVDVESAECGSATVPLVQQQYVNGRLIRLRTYSVLEITTMAAKIAYENAAYIENVVNNIWKFHFVGFYSCWNKFVGDHTTQAFVMTDRATDASVIVLSFRGTEPFNMRDWSTDVNLSWLGMGAMGHVHVGFLKALGLQEEDGKDAARAFPKQSPNSAAADKPFAYYKLRDVLREQLKRHPNANVVVTGHSLGGALAAIFPALLAFHGERDVLDRLLAVNTYGQPRVGDKQFAAFLHANVPVEMIRVVYRYDVVPRVPFDAPPTAEFVHGGSCVYFDGWYACRAIAAGGDAPNPNYFDPRFLLSMYGNAFGDLFKGAFLWIKEGKDYREGAVSLLYRTAGLLVPGLASHSPRDYVNAVRLGRMVAKEA >Et_8B_060149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6473100:6476927:-1 gene:Et_8B_060149 transcript:Et_8B_060149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTPAAAGEGAQLCVFDLRRGQQEGQELDKILFFHPAECPILLQLSVIGLCEGIVTFARIFSPEEDCEVIESEKHSHVFYQAEPDIWMVLVVEKTKGNEPTWRCDALQGILKEAHSLFAMFHGPIRTLLDRQPSAELARGHLRTFLTDYLSDFTVGKKLQLPTFRESLRERGTVQMLTASREVALEVQSLTTVLGSCLGNVTCQSLVLFEDLLVSTTLPPDDTLNLYTYAVLRLTPRALSSNASSWSYLRKGTSVSAGPTASSSNGTTARERYPSRSRDTSPGGQNQMRHNFRPLLREKLSKGKDGFVTADFAATEVRGGIPLTPILWFQQAEEHLHLCIYQHKSLTILLLIPASSLVNGEEGIAHVKKHLLENASQKIVTVEQKLARGWGGENAYHVGGYRYLLVDPDRRVSRASPPGKVTTLSRDTLLSLNRLRQEVDLEKSRHKRSDPSHDKDFEASIRTKNSAWVIAKITRGKELYMALEKGGETLLYASTAVEKFSNRYCEGAFSTD >Et_4B_036047.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:17159329:17159607:-1 gene:Et_4B_036047 transcript:Et_4B_036047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGCSFARAFWDAIGVELPAQPTSARAWELVWPPAAPSKHRDCLALLCYWQLRKHRNEVVFRQETPSLPVSSLHAEKKQNCGVVVCRAMMMR >Et_8B_059021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12416881:12421527:1 gene:Et_8B_059021 transcript:Et_8B_059021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATLLLLSADCPAAAGGRRRLLPALPVGQRMASTNPCSRFRASVRASAAAAAPAVRGLPHHASVAGQSSGIYTVGDFMTKREELHVVKPTTSVDEALEMLVEHRITGFPVIDDDWNLVGVVSDYDLLALDSISGTGLAEADIFPEVDSTWKTFREIQRLLSKTNGKVIGDVMTSAPLVVRETTNLEDAARLLLETKYRRLPVVDSAGKLVGIITRGNVVRAALKIKKKVEVKLTAINQFATSELSCISFSTPIKVPGSPDFNAGLRLGAIVIARENCLNTINRNTRAVNGNPTNCDADQLTGGMVARSATQSPSARGVAKCDTQLRRRRFAPQPCVAAVPRLYGHLTLALSLLGCSGPSPHP >Et_3A_023299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19106325:19106699:-1 gene:Et_3A_023299 transcript:Et_3A_023299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSPLFLCEFIEYIDTEQTPENIAHVYRVAERARRHWFDMEAEERREEERRKMRQKEEERRHEYEAERKAREAERERMRERARRAKVAGPDAFRKGKYPRCTQ >Et_7B_055602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16548969:16567659:-1 gene:Et_7B_055602 transcript:Et_7B_055602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHGNHKAPRPQFLKVLLSDFMTKMPIPTKFVQRHLAHEHGRRATLTSTRGKFWHVDVVRGGNSGGGVGACFAGEWAEFVKANALAAEDFLVFRYEGNMVFTVKVFDASGCIKEQGDATVALVAGAGAGDAVSVEQTAPRTDPDGTLRQSQPTTKNQDDIRSTHKTKKTYKRKLSTDDIIKKQSKSDRILIVENDEGHDDTQEHHNTEAPSNIATEANESDYKDTLPIYMKANIGKKFCSTNGLLSNRLMVLKDQEGRSWPVKLTLTADQARMKAGWNHFSSHHGIKNVGNLSNRHPNYAIMKKSTTHSVHPPYLPTH >Et_3A_025346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29021703:29024290:-1 gene:Et_3A_025346 transcript:Et_3A_025346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGAHISPLLRSRPHAPLLRSGPLAPSPAAAAAASCSSASRSVCFRQRHRFADDRSRRPTMAAVISPGGSDGLVHDLGSAAVTAGIALALLRFFEELARRGVCEQKLNRKLVHITVGMVFLLFWPLFSSGRYAPLLAAVAPGVNIIRMLLLGLGIMENEAMVKSMSRSGDRRELLKGPLYYAATITFATSVLWRTSPVAIALICNLCAGDGIADVVGRRLGKEKLPYNPNKSYAGSIAMALSGFLASIGYMHYFHTFGFMEETWRMALGFLVVSVAATLVESHPISTELDDNLTVPLTSFVVGTLVF >Et_4A_035422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24102215:24104315:-1 gene:Et_4A_035422 transcript:Et_4A_035422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDGDIRSFFRKTAAKKDSSSSPSIPSTKKRQIAAISGLTDDLLVDILSRVPIKTLCCCKCVSPSWRDLISNPAHRNKLPQTLLGFFYFDEFEACQFVSLAAPNKGQSRSPSLVDFSFLPGDGQVLDCCNGLVLLKCWVGSGSTRRGTYVVCNPATKKWVDVPEPTETGKKCFGIRLCFDPAVPSHFPVVRLLNEELDAEEDPRWDSWDEPEDLFAGFDIYSSDTGHWVFRPGKGGSPLCRSGTYFNGVLHFITSHKNAVVAVDIKMQTERTLYLPQVEESGFTGHSQGRLFYVNKDDRNAYRILVYALEDYGSGRWTLKHSINASDIYASARQHLQSALQLEIAAIHPHCNLIYLVDCSYGTLISYNMDNRSIGDIRPITGISYCLNPPSYLHRDNHQRAAAIRTAALKREKRR >Et_8B_058963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11573148:11583999:-1 gene:Et_8B_058963 transcript:Et_8B_058963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACEGPCFRSFHATIEADEYDGCPTLGFTTAQVKGPCLRSFHATVEADQHHGCPILGFATAQVDVMPHFFCTNCTNRQHQCARCGELGSSDVFRCSHVTCGLFYHPACIDAQLHPGDPVRAARCQEQVAAGVLFQCLGLHHLPHDYLFQWGHDLNLGLRFTVLGYLESIFFLELC >Et_4A_035048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8998475:9003100:1 gene:Et_4A_035048 transcript:Et_4A_035048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNREKGSPRSSPPHTPPPGTEDEGDGTTGTAAVAAAAEMGTYRCCIFFTRRFALEDASTPDDVRALFTRFAAGAPYMGVDDLRRYLAASGARGGGEDAEQIVDRVLQDRSRTPRFGRPALTVDDFRHLLFSEDLNPPICYSQVHQDMNAPLSHYFIYTGHNSYLTGNQLSSDCSDLPIIKALQLGVRVIELDIWPNSSKDDIDVLHGRTLTAPVSLIKCLRSIKEYAFVASPYPVIITLEDHLTPDLQAKVAKMVLEVFGDILYYPESKHLQEFPSPEALKGRIILSTKPPKEYLESKGGTMKERGVEPQFKKGESEEAAWGVEVPDIQDEMQIAERSDDDLLFRERGVEDDDEQKTCKPMAPEYKHLITIKAGKPKGALVDALKSDPDKVRRLSLSEQELAKVAARHGPNIVRFTHRNMLRIYPKGTRFDSSNYNPFLGWVHGAQMVAFNMQGYGRALWLMQGFYKANGGCGYVKKPDFLMQTCPDGKVFDPKADLPVKATLKVKVYMGDGWQKDFDQTHFDTYSPPDFYTKVGIAGVPSDSVMRKTKVVEDSWVPVWDEEFVFPLTVPEIAVLRVEVHEQDVSDDDFGGQTALPVMELRPGIRAVPLFDHKGLKFKNAVAMAMGVAPAASTCFAASPSLPRCRSRVRAQATSSSSWAGNAEALVRSGTVRPVRPRDAKDVLGAEGFRLLDVRPEWERARAGVRGAAHAPLFVGDDDMSVVTLLKKWVHFGYIGLWTGQSFTKMNDRFLDDVAAAVAGEGKDAKLLVACGEGLRSLIAVRMLHDDGYKNLAWLAGGFSKCVDGDFADVEGESKLQYATIGGVSYIFLQLLLLLGVVK >Et_2B_020928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24945324:24945897:1 gene:Et_2B_020928 transcript:Et_2B_020928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTLCEHPGSGVTLRWGRGSSRCGLLEEGEPSVVHDAASAVHTVESYSARAHAFFLPVSVSRVAGEVIDYWVPQLRLVAAKHPYRNGNRGAGHFFVSSCHDWVPTARTLARGTV >Et_5B_043669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13944850:13946921:1 gene:Et_5B_043669 transcript:Et_5B_043669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALLDALAFLPTLLLPALPIICVLALAFWRPSKKNNLHHTPSPRGLPVIGNLHQVGALPHRSLHAAHGPLLRLGRVRAMVVSTPELAQEVMRTRDADCCSRPGSPGPRRLSYGLKPQERGLRSLRRLLSGDEQAFRRGDAARQAGAVRLARQEDSVAAASGCGLAELVELGAALLAEAGGAAVVPAGEAWDEDLVPEEGEEEDGDAGEARGGDLEAVGGGEEEMGAAPEEEERHDEPRQHGRHATRPPLQGAQDTHHPPPASLAAAACSIASAVTAPRTLSF >Et_10A_001746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7588882:7592983:-1 gene:Et_10A_001746 transcript:Et_10A_001746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLMTDSFELSKREQGTGNADIELGLQGEITSAAQPGFEGFFEQVKEVESLLDTLTKLLKNLQNSNEESKVVTKAAAMKEVKKRMEKDVTEVTKVARLAKSKVEQLNKDNIANREKPGFGKGSVVDRSRTTTTVALTKRLRERILEFQALREEIQKEYRDVVERRVFTVTGERADEETIDRLVETGDGEQIFQRAIQEQGRGKVLDTLQEIQERHDTVKEIEKKLLDLQQVFLDLAVLVEAQGEMLDNIETQVTNANEHIQSGTNQLRKARWLQKNTRKWACYGIILLLVIVLIVILILKPWNWNKLMSCALYSNLRIGLQTPLKEADDLLQHRNQTKRQSG >Et_8A_056868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18013037:18014547:-1 gene:Et_8A_056868 transcript:Et_8A_056868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKGINVLAAAMLLAMAVTAAAQGIVLTDKDVESEESLRSLYERWREVHTVSLDLEEKESKFEAFKDNARYIHDFNKKKDVPYKLGLNQFSDLTLEEFTAMYTGAKLDPDAADSRIAAHAKQPPPVAAGNAPSAWDWRTHGAVTRVKNQGQCGSCWAFSMVGAVEGINAIATGKLLTLSEQQVLDCSGHGDCNGGYTYDSFYYAQNTGLTTDGSGNPPYYPVYTAQKQTCRFDPNKPPVVKIDAVYKGSYAYEADLKEEVFKQPVSVLIDASSRAFQSYKEGVFTGPCGIAQNHAVLIVGYGATADGTKYWIVKNSWDTWWGEKGYIRMKRDTIDTRGLCGIAMYPMYPIKKGAGTDAAVAAY >Et_1A_006493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23262243:23264151:1 gene:Et_1A_006493 transcript:Et_1A_006493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTSHILLSSPARSSAVPSLGRLKPAVVALPCASAGKRRPRSIICCSVDPKSTDNPYGISPVALVHPHMPPTSTPRWEIKEDDKNVRLTFFNMPEAATTGDLQVAVEDDVLIIRTKPKPPAERKGEPDADGGVSFDVRLLVPKGYDRENVSAELQLRALVVTVPKAHPAFTKQITWKKYLGITLFHATKMGLPCSLVDSYVGIIENRNKL >Et_7B_053873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1300087:1301624:1 gene:Et_7B_053873 transcript:Et_7B_053873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCLLPANMASQAQVPRVSPPSSYALPVINLGRLTKDPATRALVIQDIARACREWGCFQVVNHGVSKPIMKGALEAASEFFELSTEHKEVFASTDIRRPVRYDTSSRDGISKARSFLKHYANPLEDWVHFWPTHPPTYRKKIGAYAVEIQRVSMQLMDAILQGLGLRPLYLQEKLEKGVQFLALNNYPQFSHQDEKIGLAPHSDYGFLTILLQSSPGLEVMPHDYNTWTAVPAIPGALHVHIGDHLEALSNGQLKSLVHRAVLNPNEARISIASIHGLSMDEKVHCAEELVDEHHPKLYRGSSFHDFLNFLPSNIEYKRFIESLKINRA >Et_7B_054483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21917208:21919791:-1 gene:Et_7B_054483 transcript:Et_7B_054483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HSKSPTYRKQVKRGSLPKKQRERKARNRKISSSHVPAMSPRLLLLFLAAALRPCAALVRLHSSAFSFTFLDAPARFGPRVGGDGICGSLRAAEPAEACVPIKNRAGSRGTGRKAFVLIARGNCSFEDKVREAQRAGFDAAIVYDDEEKASLYSMVGDPEGIHVPAIFVSKMAGETLKKFARGEDDECCINSSMDETAGTVLEACAICLEDYSNGDMLRHLPCKHEFHKICIDAWLTKWGTFCPICKLEVSGE >Et_4A_033455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2379294:2379635:1 gene:Et_4A_033455 transcript:Et_4A_033455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPFSATPGQAPKLGRDGAARRAPTPHARHVPPAAPPLSATRAGIPPSAPSFLSVTQSPDAPSLSCFPFGFRPPILSLPLACFIPSLSATSRTNPPPPARPAFPGC >Et_5B_044771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5596543:5600509:-1 gene:Et_5B_044771 transcript:Et_5B_044771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARRASHLLGSTASRLLHARGFAAAAAAAPSPAVFVDKSTRVICQGITGKNGTFHTEQAIEYGTNMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVKVKAALNRQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFVDDPQTEGIVLIGEIGGTAEEDAAAFIQESKTQKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKALKEAGVTVVESPAKIGSKMFEIFKQRGMVE >Et_7B_055323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9391375:9395730:1 gene:Et_7B_055323 transcript:Et_7B_055323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFKARSFVISNDCVRFTIFNLQHDAMERIEVDGDFIAADNWKLHGALCKQLHTVVVEVLDAIPALETTIPRSSSGLLVLSSLSTSVEKAKNLLQHCSECSKLYLAATAECVLTKFEKARQVLLESLHQVEETIPEVINSKITEIAQELDKAVFALDESEKQIGCEVNQLIQNESKSNGFLGDNELEFFRQTAFRVGIASSAAALTERRALRRLLERAHAEEDAKKESIAAYLLHLMRKYSNIFRNEITDSTKSQCSSPPCSFISVSSSIDLPGDGQALERQMPRVGSFNLKQIKGLSGSMPLPPEELRCPISLQLMYDPVVIASGQTYERACIEKWFDSGNTTCPKTRKQLSQLSMTPNYCIKGLIASWCEQNGILVPSAPPESPKLKYLKISSLKSSTCLVTNGVSTVLFEAMGSKDDIKSDSKAALENFSRQNSGEAASKLCMDKITPDKYSRQNSRESTSEICEVEDSPEKSSHENSRKDVQERCEQWLHVLNKNDAETTDEQYKVVEQIRLRLKNDDELRDHVGANGITEPLVYFLKMAICRNDLNSQEVGTMALFNLAVCNDRNKRQLLSAGVIPLIELMIQKPETCEAAIAMFLNLSCLPEAQEIIGSSDTIPLLIRGLREDGSRSNTCRLDTLLTLYNLSLHAPNIPYLLSSGLIESLHTVLTPSSEWTDKALTVLLNLALTRGGKKEIAANAAMVGAIVLILDNGEPGEKEKAVSCLYVICSGDEGSSQTVLQEGVIPALVSLTANGTGRAKDKAQRLLRLTELLPPLTTNGCAVRLICSDT >Et_10B_003102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16540792:16542354:-1 gene:Et_10B_003102 transcript:Et_10B_003102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEELRAGFSELAVGSQTQTDSQAGSSGDPSEGAVQVTCFTEDLHDATLHFQIIRFSKQIYAWVGCNTAKFGHLYAAATTRPDNRVSVTSVLGGTSDNTGSSMARRLVLKTGLNIVLACNIPKDSPMLEAAAERKLVEKLRGLGYIKPKAGEASASSAH >Et_9B_064384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14604706:14607132:-1 gene:Et_9B_064384 transcript:Et_9B_064384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGGKRAYSIIVPTYNERLNVALIVYLIFKHLPDVDFEIIIVDDGSPDGTQDVVSQLQKVYGEDRVLLRARPSKLGLGTAYLHGLRHASGEYVVIMDADLSHHPKYLPSFIRKQKETGADIVTGTRYVKNGGVHGWNLMRKLTSRGANVLAQTLLQPGASDLTGSFRLYKRDVLEDLISSCVSKGYVFQMEMIVRATRKRYHIEEVPITFVDRVFGTSKLGGSEIVGYLKGLVYLLLTT >Et_6B_048716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1357575:1361500:1 gene:Et_6B_048716 transcript:Et_6B_048716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGGSRAEEVQLGDFVEYMERMRNFERSGVPRGAGIDSDDGFDLGRMRRLLRRLGDPHTHFPVVHIAGTKGKGSTAAFLSNIMRGQGYNVGCYSSPHLLTIRERISVGNNGGPVSVGLLRDLFDEAKGLIDESIVSENGALTHFEVFTALSFLLFSRENIYIAIVKLTGLGGARDATNVIQSTELEASIITTVGREHLTLWVVIGGPFSADIQQIIRDRAFFTQSPVISACDPGVKSITKCVDWDDGRPYQSCDISIKISNDMPLFIKLRHVKFMLLGDHQRQNAVTVSCTALCLRNLGNNAIKLICGQVSLKSGWDISKASIQAGLEETQLPGTGRSQFLTQDEASVLGLDGSCTVLIDGVHTEASAKALSDVIETVEPEGPLALVVGMANDKEHLVSAEQLLSGSRPDIVLNQGEHRRRNRPCHASFLPERAMDCCCSGPGHRLHGHRHGQRHGNPEMHQQSGSFIIEFR >Et_1A_007193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31972976:31973928:1 gene:Et_1A_007193 transcript:Et_1A_007193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVTNLFFDLSYIVILTELSCAIFNQSAQFFSVLIYMLMSATKLENLHITFNLTDTYEEVGVDFEFLDVMKVVWWTHWAVNETQLLWFLISKAKLLQKVEVSVHDESTLDVEVPSSEIS >Et_1B_012642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3408061:3413178:-1 gene:Et_1B_012642 transcript:Et_1B_012642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKLRRKGDASAAPPPKATAPGSAKPVKFKRRLVKADPEKLKAAAVESAAIGEASASASATKSVEASAPAIATTPNGKATKSNRGDSSKDEKMKGREEEKAKGEDSKTSRKEMMKGREEPRRNWKEKSSRTAGKEKAEEEDDEKSSTGFIFMCNARTKPECYRNGVFGLPKGKMDVVEKIRPGAKLFLYDFDLKLMYGVYKATTRGGLDLVRHAFDGKFPAQVKFSVDIDCLPIPESSFKHAIKENYSSKGRFTPELSSKQVHRLLALFKPISVSQQTPQHIEEIHRPHIVKDRRVYDERRPSYHAEERGAPINVHASLLEDHYTITPSLRPPLPNEPRHSLVLDPHQRPIGLELRHAPVALASHHVPLTRELQEVPPMYYHQVAPNSSYHQTHLDTVRERTAAEATISDPLLSRDYGALSGDLAARTERLDELYRSYKLSTRTMDIRQDPSSMTTSYGSPRSVYSESVQRPVSTRVSGPSVPVSTPYRTGAAVDDEVLDGRTAGQTRGRH >Et_1A_005980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16292539:16293724:-1 gene:Et_1A_005980 transcript:Et_1A_005980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWLRAHRGVENVFVAQPADHLVVVVPVHHPLRRAGTGQGPGAVCVRRLDGQHGQQQLHLHGDQERAGREQRQRLADRPVLQRLPRRRFHLRGFRAAALVSPYLDPNVSMSSLATGACFASAGAGYDNATSDLFSVLSLWNELDYSNEFVARLRSFLGEDKAWEMLSEALYIVSMGTNDFLENYYAMPQGHATQYATASEYGTYQLGVVELFERALHALVARNVDLNGLLPMGCILMERRTSSGTCTEPYNSMVHNFNARVRDLVARLGGGEGGARDVYGDVYSGVADMLTDPAAYGFEDVGVRCCGTTGWFEMGYMCNQAGFLTCDDAGKYAFWDAVHLTEHLHRVLTEKKMNTTLYVFL >Et_1A_006900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28843590:28845148:-1 gene:Et_1A_006900 transcript:Et_1A_006900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLAPATAAQAALLTLRTALATDPYAFFDWDVSYVTAAPLGSGSDITCRQVIGINGKFPGPVVNVTTNWNIIVNVLNDLDESLLITWCVPMPRSTLCFSLLAEFGMGFSTGRRTAGRTGTNCPIASGWNWTYEFQVEDQIGSFFYFPSTGLQRAAGGYGGVVVNNRDVIAVPFGRPDGDITILIGDWYNKNHTDLRKMLDSGKDLGMPDGVLINGKGPYRYNDSLVPAGIEYETFNVHPEFTLLSGIRSTVKRFNVSESLSRVATFLHFNLKNSNVPSAPFRT >Et_5B_045657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6352186:6353938:1 gene:Et_5B_045657 transcript:Et_5B_045657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTDSSSGSHQKPPGPAPHLPPGFRFHPTDEELVVHYLKKKAASLPLPVTIIAEVDLYKFDPWELPEKASFGEHEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPIMASSGGREKVGVKKALVFYRGKPPKGLKTNWIMHEYRLTDAASSANTNTSRPPPGAGAGGGSSKVASLRLDDWVLCRIYKKVNKLGSGGVDQQQRSIECEGSVEDAVVAAYPSSHHHHAAAAAGMAALAGGNGNYTSLLQDNNFLDGLLTAEDGGGLSAAGAGSLSHLAAVARASPAAVTKQLLAPASATPFNWLDASAIAILPPAKRFHGFGRDTTNNTDGSTSLSSPAAERNLQLAAPGAGGAMDGGAGSGTAAAAIPTFLNPLGVQGATSYHHHHAILGASLPPETAAAASCAFQHPYQFSGVNWNP >Et_10A_000014.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:13511823:13515173:1 gene:Et_10A_000014 transcript:Et_10A_000014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASSGGGAARCRDPTADPSSPYFVDAEHPYAAAAASALTSHRAKSKWSHLSSVPVPSPLPASAAAAVLLLLRRRPHTALRFHAFALRHLLPARSPPPLLFSASAAHIAAASRLRGAALAVLASATRHHSPAEIFNALAATYRRFASAPFVFDLLLLAYLRSRRDALAAASVARRILAAGARPLPSTAAALLRSLPSAAAALDMYHQIYTHPNPKTNRLLLPTVHTFNSLLLALYREGSCHEFDTVLKEMDKYSCKHNVCTYNIRMAGFCDRGEVDKARELWDEMIQEGIQPDVTAYNTMIGGYCRAGEVGMAEEMFKDMEIGGIDPSATTFEWLVRGHCKAGEVDTALILHADMRRRGFGTAAEVVEEFLDGLCQKRRVENALCIMREEMRREEFEPTRRIYEVLISGFCEEGEMEVAIRLQAEMAGKGFKAGRQVYHAFIRAYEKSEDYEMVDRLKKEMAVLSIGDSGMKHVSYLFSVLSLYSWNFLFLGVKRCFRM >Et_1B_013429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8341708:8346111:1 gene:Et_1B_013429 transcript:Et_1B_013429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFKVRIHPNPNQASGFPRRHAHAACVPFRLQDPNKLSAYRDRRFPGTQEEYEAALQASTTVYIGNMSFYTTEEQAYELFSRAGEIKKIIMGLDKNSKTPCGFCFVLYYSREDAEDAVKYISGTMLDDRPIRVDFDWGFEEGRQWGRGRSGGQKELEAQRELVDYGGSFQPNAPPPYERSERKRGHGDSYRNDRGGDYQRKRYRNDDRSAQEGSRRAPDPDSAEKNPRFREKGDSDEEDDDYDKRRRR >Et_3B_028886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20040080:20041143:1 gene:Et_3B_028886 transcript:Et_3B_028886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWMARRCGGEPAVRKGPWTLEEDLILVSYISEHGEGSWDNLARAAGLNRNGKSCRLRWLNYLRPGVRRGSITAAEDAAIRELHAVLGNKWSKIAKHLPGRTDNEIKNYWRTRIQKKLLVATNLQEPPRQPATTTVSDGASSGSASQDSSAAADGSWYMQPKMTEQQGYCQGAVAVATDTVKSEGASSSASQDGSGAGDCWFMPLKAEQPAYCQGAMAVAIKTEGASSASASKKDGSSAAGAWYTQAIYPCHTELSSAAACHLGVAGVDASTTQFFSSEFTDSFWNAVNNFWETVPGTGAF >Et_9B_063921.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21622395:21622649:-1 gene:Et_9B_063921 transcript:Et_9B_063921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTGRELLCAVLLLTAVLVQSSCSSRPLQQDQAAAEAAIGLLHPAVVHVDAGVPAFGNGGDAVVAPDEDKRMSPGGPDPQHH >Et_7B_053709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11300023:11301473:1 gene:Et_7B_053709 transcript:Et_7B_053709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTELLTKFLTLLFGYAMPALECFKSIEQRPGRADQLRFWCEYWIILVILVMFDEIAGALILKIPMYYELKLAFLVYLWYPKTRGTDIVYETFLQPLVMQYQPSIEARLQYLRANAGDILVFYLKNFTERGYDLFIRALDYVRSQASRGSRTRSFFSFRGDRTERPSFVEDYGVGGDRRDAARHRRPRGGY >Et_7B_053835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12663339:12666205:1 gene:Et_7B_053835 transcript:Et_7B_053835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAHPAPHSGFRPPPPRRAPSRGRVLLPSRAHVRARTLARARPLNAGAAETETASTSGGGASSVLSFLCPLLKLLGGGDPSKERNDVVEVATSSLSSLARLPWGSRVATNSGENIDLVTSGPTLQLYEFEACPFCRRVREAMTELDLSAEIYPCPKGSLRHREVVRKIGGKEQFPLLVDVSTGVTMYESGDIVKYLFSQYGQGMSPSFGILESTIFTGWVPTLLRAGRGMTLWNKAGVVPAEKLELFSYENNSYARIVREALCELELPYVLQNLGEGSSKKDLLVKKSGSKQVPYLIDPNTGFQSSDYKKILSYLFQQYSIST >Et_8B_060613.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3370075:3370995:1 gene:Et_8B_060613 transcript:Et_8B_060613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYLALKRATGSDQPIFFSVSKNKAIDMDDERRASELTNNNCWATPQGWILVRDGSSSTTYLMDPHNRNRIPLPHLPEENLSTYCPCLLSEYPDHPQSTSNCLVLLVETDSPVIWYCRLDDNEWVRHEYDIGTLPLPDLGEGCSEKIVISPITACQGKFYFNGSFKELGVLEFGPGPVFRFIKIHDAITRPKGLRKVFMVESEQEVYMVCLMSVHDVMVHKMDFCKQEWHQVNDIGDRAILLSSWYFGASRSAKECGLERNCVYMVYPWNKSLMIFNVGDGTSKVQNVEQAPASEQALWMISTHP >Et_4A_032105.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:18045966:18046166:-1 gene:Et_4A_032105 transcript:Et_4A_032105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNCANVVTSIKGRGMGTYSPIILEFQARKKNFTMVEVVHERRQSNVDTHSLARSSLFNSLGRHV >Et_5A_041409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22232546:22235656:1 gene:Et_5A_041409 transcript:Et_5A_041409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSGGGDVAAKRSKAAVAAACEDRLSALPDDVLVLILLHLGTTDAARTSVLSRRWRRVWTLLPDLRFGFARESQRLWDVLYASDVLLRYLLVAVPDASPQSLAEWLRAAAGRVSGELLLFNLFHENNAEESEEEEEATERGAFELPCFEKSTSISLDLGFLGISVPPNGVFARLTELSLSGVQFHGPGDLGDAVSSPRCPCLQKLTVCNTRGLDRINIDSESLQVLELKNPRGLRQLTVVAPALRDLSVTQCFLNEQNQTVVNISAPQLKLLKWVDTYDPSFVHLGNMDHLQMFGTFFFVYGSHGFTHNRACLMLLPRFRAIEILTLSLVYMLEEDNLQYMMEDMTVLPDIKSLHLIVMAWGHAFGAGPFHVLRICSGIQRLILVLSTRFELKEETACSSGCICDQPTVWKTEELSLNHLQELEIQEFRASEHEIAFVKRLLNWATVLKMMTVTFHHSITDSKAKELFQMFRSLSKPGVCMEFYIYQESRM >Et_6A_048072.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:5010850:5011476:-1 gene:Et_6A_048072 transcript:Et_6A_048072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDDSCRRPGSIPFKWEICPGTPKHVRSSSATIVPSSPSPYSSKVAVAPKLTPPPSMSPSPYHSPRASSYSARSASMSPSRRRPHRPTAFLDVAPRAAPASAAAYGAGAEDDEAAPMAAYRCFPLPVFRRRDGGKKGGGGSSGRQSGTSSSSSSGSSFRSDGAPAHGSLRRSASSSSSSCLSLSSGSSSKFAQPREVEAATGWFF >Et_2B_022350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18880014:18882361:-1 gene:Et_2B_022350 transcript:Et_2B_022350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNAWAVLLILTCLWICPPRTSGFSWNIFPSSSSSSSAMTGGERAPPMMELDGAVADFTIDGANDPRGVKLLENARNRLAGPKNCWQEAYRKLFASCGEIMADKEKQSRLAWHLSSCFQEDSGRTPFPSCAKGSKMVHCRKRLGQTEDQVFLEFFLETNTLCHQLQAEAFKHNTERLVNDLTRTSKSAEEKLEVIEERSGEIIKETKNVHDTLSLIGMQADHLVEASMNVGVQINDVLEHSNAIFERSKEIATTQAELREGQTEMREKMEAGMERIQESYESLGNGMDKLKEEAVSIQEEIRTVGDSMSTKMQDLQSTASDIGSVANKSLENQRQLLDGQSLAMEGLNDLYSFQAKALEESRETVQKLAQFGQRQQEELLARQEQIRAAHDHLIQNSHSILEAQEEFRAKQANIFAALDKLYILHNAILAESRFIKAFFFYCGVVFLLYMLTSAKQTFSIRGRLYFGLCITLALEMGLIKIGADDFDKQFWVMSKVFLVRMVFLGLATVQILHSIFTYRDYEVLNHRLLQTLVEKVRALEENADGRRALSYGSEESERSLMDYSWVFDELAEEVDSKMDPSYALPPETPRGRYTDPVLKEGVGENSITTSVSRKYNLRPRK >Et_5B_044280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22247877:22249629:1 gene:Et_5B_044280 transcript:Et_5B_044280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAQQVAANQPPLLSSPRTSNAKLLLLVQPAPFLGGRSVRLHGGGARRAAAAVVRASSSAQAEPKSEGGDKAKAEAEEERPFEEYEVTIEKPYGLKFSKGRDGGTYVEAIAQGANADKTGQFTVGDKVLATSAVFGEEIWPAKGYGQTMYSIRQRVGPLYLKMERRFGRTEDDGELTEKEIIRAERNSGVVSGRVREIQLQNYQRKMAQKMQREEDLRTGLRLSKEGKYEEALEKFESVLGSKPELSEASIASYNVACCYSKLNRVQAGLSALEDALKAGYEDFKRIRTDPDLANLRKSEEFETLLKNYDESFINESAINAIKSLFGFGKK >Et_4B_036924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12699160:12700256:1 gene:Et_4B_036924 transcript:Et_4B_036924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGGGGAADGKKRKASVAAAGVEAPAKREPRRGMGVAELERIRVELEMAETCYAIVPTPLSSAAAAALRHLPPPAPAPCFVGHLPGVAMAHHHQYVRARAIMHAQLLIDIDEWSSSSAASAYQLQDHRRIQPPQLGQTRKVAFVDLVDSDDDDGGAVEELDLELKL >Et_9B_065613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:875098:881719:1 gene:Et_9B_065613 transcript:Et_9B_065613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARGTWMTCPVSFALNLKMYITCSLTVCVAKVMWTHVSEVINRNLGIDFESIGCFGSLFWSIWKSRNEMWFQGRKQASDCRSHYQKLRPGKCGLLVVSFHGNTHDGHLLFLGTRMECIRLHGNNSAAAAGTEDLSDGGHLSMLGPAGTPAARQTEQAVIYIDWNIWKERCRLVFDNKTMTAAQLVHIIKQDIQACQTAHTNWELFYCTLEVSESKKSSVFLEWILERNEHNLEQNFAYAENGHCWVRESSWTCTTIKVWRLLGQRRSVNSLPVLMKNVPSTLLKKFGVSGRLRQKTRPVKALEPAEDE >Et_1B_010643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12446758:12454973:1 gene:Et_1B_010643 transcript:Et_1B_010643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLASRVESWVRDQTARLLVLPAPRWPWPPPRAPAWPWPGRQRDRMFREEFQRRRRQLRELCRAVRVDTLAELQELLCAMVLAECVYKRPVSEMMRYINKFKSDFGGTIVSLERVQPSLDHVPHRYLLAEAGDTLFATFIGTKDHKDIIADVNILQGNIFHEDTAQGLSTAADSGQNGAQNGEENLGKSYQEASKVLRKPKPAAHRGFMARAKGIPALELYNLAQRRNRKLVLCGHSLGGAVAALATLAILRVLASSPSTEHNRLPVKCITFSQPPVGNAALRDYVHRRGWQEYFKSYCIPEDLVPRILSPAYFHHYNAQTPEASFVNKTDAKPEENVGITTERPKESSGEQLVLGVGPVQKSLWRLSKLVPLEGVRKSLSVLQKQANVVGKASSQLDNYLQSKVDESDEEPRSLEIQEGSEGIALTPLSDKDEGHTEDNNRTEKINASEARGSKRWSRVPSLPSYVPFGELYLLGDSSVNTLSDSEYSKMTSVQSVISELRERLQSHSMKSYRARFQKIYDSCMSANAPIFTGIEQLPQFLHLQELLGLTATDSVELGHIVEPPVIRTATSILPLGWNGLPGGKNADPLKVDIIGHGLHLCTLFQAQINGNWYSTVIETLPSSTSNSLNQEEQPALQKMRILVGHPLKQPPNYISEDFPVLIGADSTSDFGFDSLFEDKGCCKGLNGFLIYGTSDFVTISKEVYVRTRRVRLLGLEGAGKTSLLKAMLGQVKERNNAVLECIHVDLHGKGISNGLCYLDSTTVNLQELPLEVRRFKEELQLGLHDLSRRTDLVIVVHNLAHRIPQYYQSNNSQPRPALSLLLDEAKALGIPWILAITNKFSVSAHEQNTLISSAMDAYQASPEMTKIVNSSPFLMPSAKNTLQPIDSTSGHLGAKEPSSRSAFYPVNFSLLPFQRKDIVMHVEGVTALRQLVHQVVHNDEEPAFEDLARERLSLELAGEKAASLQAKQKPPKRDGSVTAAAVGASLGAGLGIVMAVIMGAASALRKP >Et_2B_020937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24985915:24989339:-1 gene:Et_2B_020937 transcript:Et_2B_020937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRGGGSDAGVARRWVLLLCVGSFCLGLLFTGRMWTLPEASELPIPNAKRQTEAEAAAGDCTLAKVQGKHDYSEILQMSDTHHDAQTLDKTIANLETELSAARTLQESFLNGSPVSEEYKSSEPTGRRKYLMVIGINTAFSSRKRRDSIRNTWMPQGEKRKKLEEEKGIIIRFVIGHSAISGGIVDRAIEAEDRKHGDFMRLDHVEGYLELSGKTKTYFATAVALWDANFYVKVDDDVHVNIATLGNILSKHILKPRIYIGCMKSGPVLSDKDVRYYEPEHWKFGDAGNKYFRHATGQLYAISKDLATYISINKHVLHKYINEDVSLGAWLIGLDVEHIDDRRLCCGTPPDCEWKAQAGNTCAASFDWKCSGICNSVQNIWGVHNKCSEGEKALLTAAF >Et_10A_000359.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5302019:5302489:1 gene:Et_10A_000359 transcript:Et_10A_000359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ISLSFRRNSGRSESLEWDGLGNILDLAQLTSEEVLVVWAFERSGKFKASCLYKHTTFPGVIDGRMQDTWNAKVPLKGISLTFLHLHEGSVKATLYLHISSCCVLKDYSACRSLPALSFYHVEFELVCIHRGSHIYFLLMIVYSLLNQVQGGSTNCG >Et_2B_020376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19561690:19570915:1 gene:Et_2B_020376 transcript:Et_2B_020376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEVVETTVVAPSEATPRRTLWLSNLDLAVPKTHTPLVYYYPKPDPSSGDDAEAGSPPFFEPARLRDALARALVPFYPLAGRLATGPGGRIEIDCTGEGALFVVARADFTGDEVFRDFEPSPEARRLLVPFAESGEPPCVLAMVQVTFLKCGGVAVGTGMHHVTMDGAGAFQFIRTWTALARGESPDASPFHDRTLLHARSPPHVPFEHPVYSPSYLNGAPRPFVTRVYTVPPKLLAEIRSRCAEGASTYCAVTAHLWRAMCVARGLPADSDTRLRVPANIRQRLRPPLPASYFGNAIVRDLVTVKVGDVLSQPLGFVAERIKRAVSRVDDAFVRSVVDYLELESEKGSQAARGQFMPESDLWVVSWLGMPIYDADFGWGRPGFVAPAQMFGSGTAYVTQGPDKEDPISVLFALEPEYLQCFEKAFYGEARKNRNQTTASATALHVVTSELVAPSAPTPRRPLWLSNLDLAARNGYTPTVYFFRRPEDDRLAPPAGAEFFSADVLRDALARALVPFYPFAGRLGTGPDGRAEIECNAEGALFVVARSAAALDEYDEGFAPSSAMRDMFVPKGESADAGAPLLKLQMGQGQTESNDRDEFLYNTYRCVSRSIGMQVTFFRCGGVALGTAMHHFVIDGRSAFHFIRTWAGIVRGAADGDALVPPSLDRTPLRARASPAVVFDHTHEYGGGRRTATAAAASVVGNTTTTTKQEYASAILRVTGAQVAALRARAAPRGAVSAFRALTAHVWRCACAARALPRDAETRLYTMIDMRGRLSPPLPDTYFGNAVARTSASARVGDLLSGSLEVAARRVRAATGHGDAYARSVVDYLQTADVIGTMPRGGIPGTDLRVISWLGMPSYDADFGWGEPALLAPALMYYTGFVYLLSCPGKGGGVAVAVALEPDRMGRFKELFFEELAALE >Et_10B_003374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19194876:19198072:1 gene:Et_10B_003374 transcript:Et_10B_003374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWALLAVMLLAAQAASAAPVMAPAFLWAPKNYGFSSDGAKEVVHYQTISPKSLAKSVLQEGGWSSLVCSREDAHKDVDVAIVFIGSKLQSSDISKDKQVDPALADTLKLSFASSEFSMAFPYVATTDDEKLENSLLSGFSENCNSGFEGKHITYADTCAVSGEDLKKHHNMDSISDLVMARMGNNPSGQTDVIVFCSGGFEGLDQSEGELLSELVAMLKKSAAKYTILYASQPSGLLESPSNIPLGRFLAEKTNTTKAGLGKCEGECLVKSTLLEGTFVGIVLLIILISGLMCMMGIDTPSRFEAPQES >Et_7A_051731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23782725:23784244:1 gene:Et_7A_051731 transcript:Et_7A_051731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELNDESGKSICIRRVMRGFSTSCSLTKLKSCFLLCIHTNCWGGLTEVWFPIIFSRPQGLYINLKEKGKIIEVLKNWPERSIQVIVVTYGERIGVLEILGARIVFLTGHSYATQEYVYCEEKYGEKVLIQNCINLSEIMTRLCISKIATNDHALNVTPHRTGHTKQSWSAIPKALEDVTVLFYDEDMNEIYTGNKHGPVH >Et_10A_002179.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:2991365:2991895:1 gene:Et_10A_002179 transcript:Et_10A_002179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPPAASSVLFLVLSIFAAGAGAATFNIKNNCPYTVWPAATPVGGGRQLNSGQTWTLNVPAGTNSGRVWGRTGCSFNGAGRGRCRTGDCGGALACTLSGQPPLTLAEFTIGHGQDFYDISVIDGYNVPMSFSCSNGPRLVCKADKCPDAYLFPADNKKNHACNGNNNNYQVVFCP >Et_1A_008664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9674782:9677779:-1 gene:Et_1A_008664 transcript:Et_1A_008664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPSYFPLRWESTGDQWWYASPIDWAAADGHYDIVRQLLHLDPNLLIKLTSLRRIRRLEALWDDDARFADAARHRASIARSLLMECECRNHHPGGGGENTLLRAGYGGWVLYTAASAGDMAFVQELLDRDPLLVFGEGEFGVTDMFYAAARGGSAEVFRLLLDHAMSPRCSTNCRDGEGVSGTGCGRGSVFRLEIMSRAVHAAARGGSVEMLRELIERRSDVSEYLDVRGSTVMHAAAGRGQLEVVKYLMDSFDIIGSTDNHGNTALHVAAYRGHQPVVEALVAASPSTMTAVNNAGDTFLHSAVAGFRTPGFRRLDRQMELMRYLIRERTADIQKIINLKNDAGLTVLHMALIGCAHPDLVELLMTTPSIDLNVEDANGMTPLALLKQQLRSSTSERLIKQIVSAGGVLNSSILRTRSAIASQIKMQGGIASSPGTTFKVSDAEIFLFSGIGGAESRRPSSCSSNDKDDANHAVANGAAGENHGSSEKRLSSASRAKDRLKMMLRWPRHKEKMPKAPKKSEDSSPLDTIKKLNEQAVETPAPLRQKFTKTTALNGKRTLAVKSFTPSSSATKKKLNTKLIHGIMEAMPQLASSVRPRSPTGTLPRSSMSSTPTPLAKLKDICLDDEISMVTPTSGKLKDIVLDSDTTEDPSCSNSSMEEIGMGAAENSSRKLGCGNGRLINICFGAQGLTVEDSVSGQQTSKMFKQQCLRVS >Et_9B_066045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21333672:21334314:-1 gene:Et_9B_066045 transcript:Et_9B_066045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRISGDDLASLRVERGEELFGTSAAVSDGESDAGDEDHFPDSAGDQQGQHRMFVPQPLRRMNSDSIYDMSSMTAQLPPNVKRFLARRLTAQRPELCRKKGLSRYYEGRSQSFACMSEVRSLKDLQKKDNPYKQKLKSCKSYAALGGGVPKANKASSNSYANLNIVATNGFMAHGIHVNENGYHQ >Et_4A_035070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9257593:9258897:1 gene:Et_4A_035070 transcript:Et_4A_035070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVGEEERREQGEAMAHAVIGDGHVEAGRGGSGGGEPGEEGGFLSAMASKIGAAMAGGNESSGEDGGAVNATAASNGEEKEREDGHGGGGMFQRFMSSSQAPSPDSGASGTEEVKGEERAQDAGGEQGGILSAMASKIGMAMSGANGNGDHGTADDAKTSNGDAGDQRKGEEKEKSGEEANGGGIVSAMASKIGTAMSGANGNGEHSTVGDAKMSNGDAVGHINDEEKEKGTEANGGGILSAMASRIGMAMSGANGNAEHSTVGDAVDHIKGEEKEKGDESNAAGILSTMASKIGTAMSGANGNGEHDIKDNDKTSNGNAADGSKVEEKEKGDDASGGGLVDQIMSNLPSDDQAPEADEASLLIAIIED >Et_2A_017344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33433915:33437190:1 gene:Et_2A_017344 transcript:Et_2A_017344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCQLHKQKDPTSRDLAPPDQNPPPAALHAPHRPPRPLPLESKSTIGVEFATRSLQVDGKVVKAQIWDTAGQERYVLPRLLALPLCCRGAVYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLKELRDHTDPNIVVMLVGNKSDLRHLVAVQTDEGKAFAERESLYFMETSALESTNVENAFAEVLTQIYRIVSKRAVEAGEDAASGPGKGEKINIKDDVSAVKK >Et_5B_044922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7323042:7329488:-1 gene:Et_5B_044922 transcript:Et_5B_044922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRDKTRLQTNNATPYQELYCYKKACAATELDREEVEVRNYGDPYHTVELVKLLCECSTMFQKRVAITISKCVRRSNMREKIHSIIHPRNSKVEINV >Et_3A_023955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15073518:15078260:-1 gene:Et_3A_023955 transcript:Et_3A_023955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALRVTMFMLLAFSCAVTQSVTESKVEKFDVGVVLDLGTTVGKVALTSITMAIEDLYTVHPNYKTRLVIHIRDSMSDDVQAARAVLDLMGNCNVQAIIGPQKYSQAVFVSALGNKNRVPVMSFTATSKALSSRSLPYFVRATANESAQVNSITSIIKTYGWKEVVPIYIDNDYSGDFVPQLVDVLEEIDVHSATSEEITKELYKLMTMQTRVFVVHLPPSMASLFFINAKEIGMMSEGYVWIVTDRVANLIDSLNPSVVEAMNGALGIEFCVPESTQLDNFTIRWYMRSRKDHPNDPTLKLSIFGLWSYDTIWAIAQAAEKVKVTKTINRRPPASKNCTRMEMVDISRIGPAVLNEILQNKFEGLSGYFDLSGGQLQASKFQIINIVGKGRRVIGDRISQRINQRRSNITTNLKVVIWPGESTNVPRGWEIPTNGKKLKVGIVINVGYQSFIDANVDSVTGLIKAGGLAIDVFEEAVKILPYALPYEYIVFNTTENVSSNYDDFVYQVFLKKYDIAVGDITIRYNRRIAMVVPIKESMNKDAWIFLKPMTPGLLFGTIVLFIYTGIIIWLLELLGDNKNVHKPIPQQIVTMTYFVLKESETAYVWIVLVIWLFFLLVLKSSYTASLTSMLTVQQLQPTIKNIEELLKNGEYVGYGRGSYVKGLLEELGFDISKIKPHGTPADVHDALSRGSKNGGIAAFVDEIPYIKLFLAEHCNGYTMVGPIYKAAGNPQRNRGKTIIQIEKKWIGDQNNCQHVGIISGSGRLTFDSFAGPIIGTGVASTSSFVVALIIYFCKKKQFKAENCDAEQVSPLEDIIDHRDEK >Et_6B_049076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17501825:17507278:-1 gene:Et_6B_049076 transcript:Et_6B_049076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVAGAVGSLVPKLFTLLGDEYKLQKSVKKNVESLSQELKCIHTFPSKVSDVPWDQLDEQVQEWASEIRDASYDMEDIIDTFLVRVGGSEPAGRSRLKHTLNKMANVFSNGKARHDVGSAIQDIMKKLQEVADRRARYKIDDLVVKSSATTSTFDPRLKAMYKEVASLVGIEERSKKLISMLSARGVDVVDRKKIVSIVGTGGLGKTTLAKAVYFIVIDDIWENESWEETVECALLENSGSRIIITTRNITVAEKIGGTVKVLFSYYDMPSYLRTCLLYLSVFPEDTTIDKNSLIWKWIAEGFIKEPQGISLFELGERYFNDLVNRSMIQAIEPESNGMVVGCRVHDMILDLIRSLSFKENFVTVIDSDGGSVSTLLSSTRRLAQHNTYWHASLVGMPQLRSFFGYMCAVDILAPLSSFKHLRVLDIANCKNVRSSHLVHLQSLLHLRYLGLENTKVKDLPNEIGALKHLQTLKLDGTRITQLTPSISQLTQLVCIRGDLLSTAAPHWIGMLASLEELQVCVYSSDGNARAFLKALCSLTRLRVLCINIDIVMDEDMERDFVLSLKELHKLQNFFFYHIYAAARTNMWGEADFVLSNISEVCFSWLPSCISAAYLPHLTHLTLTVDTMDQKGLEFLARLPELCFLFLKTKSTATVSNISGGNGHFQKLRFCMMPESMILFQCKEEDSSISFHIWNGIDDIPFGSGKNDCSAPSSTLMPNLEVLRSQIFVQALKDGHGDCPNIGLQYLSSLQEVKMGLDCWGASDAKVEEAEAALRKAIQVHPNCPTLDIWRVNDYKLISSVQIEKV >Et_2A_016880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29011074:29012720:-1 gene:Et_2A_016880 transcript:Et_2A_016880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRSRSLLHLPLLFGRRRRRRGSSSSNGMGCAQGKPSRGSPARSDGPRGLDRLMRDNAYRPVAAGATGRLTDPLPVAAAERPRPGKEPAHARRSGAGAERKAAPPPGDHDDDEEAGSAHRQQVAPTSTPPLPPRREDELVDGWPTWLLDNVPREALEGIVPKSADAYDKIEKARTRPLLALQVGQGTYSNVYKARERGTGRIVALKKVRFDTSESESVRFMAREMRILQRLGHPNVIRLEGIATSRMHRSIYLVFDFMYSDLTRVIARPEQRLTQPQIKRYMQQLLSGLQHCHERGILHRDIKGSNLLIDRHGVLKIGDFGLANYYGGNRRRPLTSRVVTLWYRAPELLLGATDYGVGIDLWSAGCLLAEMFFGKPLMPGRTEVSQTFRLEIA >Et_10A_000168.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:14079820:14080065:-1 gene:Et_10A_000168 transcript:Et_10A_000168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGSRVGASVVALSTRIMTIWLGSFMGSTNFIVSCTFQMLLSFKWMATPSYYHPTSSHELINLVQGQGVHGQWQGQEQND >Et_5B_043995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18706558:18721108:-1 gene:Et_5B_043995 transcript:Et_5B_043995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDPAAGGGGGPGGRGFERACRLPNTVHSEIAPALPLPTLPPTFGFGDLLDDEPLVEPDRPDMIMQAADIARILAETDVSHLGFTEADSVDVDPSQCSWLWREVLKHNPNAFKCKKAPAPQPPLPQGPLEHPGYQNQEREKNFEPLAPNLTKTRKEPVFPLDDINSHREHLRNELTPDSVASKKPKVRKKEIDNSASSSGHRIPNSQELIANFCDTVEKFCGSAEIHDDADGGDWLSIPLNDVKSLVNEITSIRSKNILHEVPVDTITRLLQVIDHQIRCSQGLSIDAKENPDATDAEPLVFSALESIHAALAIMTHHDMPKQLYQEELIERILDFSRHQITDCMAASNPTFRALYKPSENVANDGDDDDEDMENGPVSKKRRTASNPSSRKSSSNGVSASVYYAVQKLCLILGFLKDLLTTLRLSDSCILPLAKTCFTTFLVDNMQLLQLKAIGVICTVFSSYTQHKTYLIDETLHLLRKLQFSRNAVRTYHLADEEQKQIQMITALLVHLVQFSAIVPDSLKGTVDWSTIVDASVDASYPNKCHEAATEASCLFWTHVLQRVTAAKSQDMSEAKGIIDNLVQDLLTILNLPEFPAAATILEVLCVLLLQNHGLKSKDNSARSFAIDLLGGIASRLKRDSVISSMEKLWVLQELTDAGSDGTKFLKNKCCVCLGGRSINLACDVCGRCFHSDCMGAGNQDNLQRDSVCPLCFCKQQLSVLQSYCQLQLKENGKKTGSARKNSVTPDEVPAVEIVQQILLSYFQEAGPQDDGNMFYLCMWYKDDPRSKEKITYYLARLKSKEILRDSSNGLIISRDWAKKICLTLGQKNSFSRGFDKILALLLASLRENSPVIRAKALRAVSSIVEADPEVLGDERVQSAVEGRFCDTAISVREAALELVGRHIASHPDVGLKYIEKVAERIKDTGVSVRKRAIKIIRDLCASNPNTDTTHAFVEIISRVNDEETSVQDLVCKTFYELWFEEPTGSHKHLVADGSSVPMEIAKKTEQIVDILRKMPNHQPLITVIKRTLTLDFLPQSTKAAGINSSILSSLRKRCELICKRLLERILQVEEGATSEMEVHALPYIVALQAFCIVDPNLCIPVTDPSQFVVTLQPYLKIQVDNKSAAQMLENIIFVIDAVLPLLRKPPQTVVVELEQDLKQMIVRHSFLTVVHACRGPGLLEQLVNFFYKHLSGTNSDSQLLGRSLFCLGLLLRYGYQLMLTSENQLDFPKIIDLLKRRYLLSNDFGLKVRALQTLGYILIAKPEFMLQKEILNLIEASLSSGVDYRLKIQGLQNLYEYLRDAESQLTAESAGKPPVQYTTNGGSEVPVAAGAGDTNICGGIIQLYWNSILERCLDINDHVRQSALKIVEVVLRQGLVHPITCVPHLIALEMDPVEGNSKLAHHLLMNMNEKYPSFFESRLGDGLQMSFRFFETTFGNHQTAAPMKSNPTAFVKTGISRIYRLIRANRNSRNKFVHSIVRKFEPDGRSRCTISFLVYCAEVLASLPFTCPDEPLYLIYDINRLIHLRAGAVEANLKRWTSMDQHQAKVGVPSLSNESHVVMHEPGGYSEHNLGDVSERTHNNPCSTSDVDVAKLQEDCHDAIALQLLLKLKRHLKLVYSLTDARCQAFSVKEPPKSGETLSKQNAPFNIANNNINLPTCLQDVASVYQDFKMLLREDTTMDFGMYSTTVQRKRPTPRSSSRVRRTAPATVTRVRGGGGGNNDDTDDEDWTGGARVLDFSSAQASNGGRLTRQR >Et_8B_059499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18507480:18508514:1 gene:Et_8B_059499 transcript:Et_8B_059499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DALYITTVHFWAPTFKWGISIANIADFAKPPEKISYPQQIAVTCTGVIWSRYSMVITPKNWNLFSVNVAMGCTGLYQLSRKIRQDYFSDEKEEATPQLEG >Et_6B_049617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7320892:7323193:1 gene:Et_6B_049617 transcript:Et_6B_049617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGHVVGDIVDPFITTASLRVFYNNKEMTNGSELKPSHVLNEPRVQIGGRDMRTLYTLVMVDPDAPSPSNPTEREYLHWLVTDIPETTGASFGHEVVAYESPRPTAGIHRFVFILFRQTMRQATYAPGWRSNFNTRDFAAIYNLGPPVAAMYFNCQRENGCGGRRN >Et_10A_000182.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:16037954:16038112:-1 gene:Et_10A_000182 transcript:Et_10A_000182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPWELAEYIMALTWWSLAGWVAACVVLADGVACVLRRRDTAIFRRQSMMN >Et_8B_058823.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:9441756:9442172:1 gene:Et_8B_058823 transcript:Et_8B_058823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILTALLVALVTALAIHHEPEQTAGLPLSTSSRWIVDERGRRVKLACVNWASHLEPVLAEGLDKRPMGAIAGDVVAMGFNCVRLTWPTFLVTNASYSSLTVTQSLKRLNLTVSLAGVRVHNPRILDLKLIDAFKASL >Et_1B_011057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17031933:17036065:1 gene:Et_1B_011057 transcript:Et_1B_011057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCCVAARPHGTSTASRDWSSIGRSDPLWRTNAGFSPPLSRRLEYRINSEGLSYGSHVDSGVAANYGSSLSSNSKEASRSWERSELPHDHRYSTSEVSVAEPIGALLLSEGMSGQQNSGGSTSSHSDGSEYDIVPKSYSSTPRNFPSRRSFLSKPIHPLIFPEHALEAQENPSLVATASSNNPLHSDFKGTGEFRTSCFMDYSCGSHGESANWSAASSMDFTDFSDRPEAERAVAMRPNNVMEKTRCDLCERLLTRRSPWGSRRIVRSGDLPVAGVLPCCHVYHAECLERTTPKGQKHDPPCPVCDKLAGKDTEQWSICRLKNGFPRLRSLGEGPSRVWSSTQAGDCVAGAVQRPSSIALVRNSHKRRASLKGETSKDWHETSKSDCM >Et_1A_006551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24306375:24310697:1 gene:Et_1A_006551 transcript:Et_1A_006551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYGHGGQVRGPSMDSRPKGGQRPNVQQLKLMGQIHPTGLTPNLLKLFEPRPPLEFKPPLEKRKLPAYTGMAQFVTQFAEPGDPEYAPPVPKCETRVEKKDRIRQNKLEQGAAKVAEELQKYDPQSDPNATGDPYKTLFVARLNYETSEHRLKREFETYGPIKRVRIVTDKDKNKPRGYAFIEYTHTRDMKTAYKQADGRKVDNKRVLVDVERGRTVPNWRPRRLGGGLGSSRIGGGDADKKDSTREQQGGTAGRPRSEEPRRDDRRADRDREKSRERVRDHRDERTRERSHDRTRDRDAREEKHHHRDRDRTRDRERGKDRERDHGRDRDRDRRDRDRDRDRGRDHDREKDRGRSHDRHRERGRDRDRDYERPSHDRDRGHLHERDADYTNGGPKHDKSMSSYGQDYGYGQYEQHKGHEPYGYGQDGRGRETEHSKRHEHEYYRSDSYGKMEANYQAQPHNAEPDGPEEGEAFEEGDYQYHQAAERMNEA >Et_9A_063243.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17203553:17204632:1 gene:Et_9A_063243 transcript:Et_9A_063243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPIEIIHPNRAHVFPFRCRAIKRANCFHKEGHGDPCNISSVPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSTIGLGLGVVQVVANKGVKGSLTGISVGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRSPPPSESRVMRRATVVSVAVTTLFYMLCGCAGYAAFGDEAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAQQRWPESRYITGEVNVPLPLSGGRSCYKLSLFRLTWRSAFVVATTVVSMLLPFFNDVVGLLGALGFWPLTVYFPVEMYIVQKKVPAWSTRWVCLQLLSLGCLIITIASAAGSVAGIIADLKVYKPFATTS >Et_1A_007446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34588068:34590102:-1 gene:Et_1A_007446 transcript:Et_1A_007446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATPTPAKREPLRPRSTNAVAGSGAAPTPSRRGAASAEKENQGPKNLGHAKEAETEKKAAATEPPKPAKPATPPPLKPSSLQLRMMDESLSSSSSETAVFVGPRGRELLPPPQPPVSSSYEAWDLSDSESAPASSWATLPNRALLCRPLPLDVGRCTCVIVRETATGARGVALYSLYTNEGQGRQDRKLAVARHRRRRGRSEFIVAQNQDGIFCTSDKNFLGTVAANLLGSKYQIWGQGNRVDEVKSQSKRLLGVVAFAPTITTLTGSFRSIRAWIPKNNMQPKTNSAQIQHVSGLPNDWQVKKTRADQLCSRAPFYNHITKRYELDFRERTGRMGYKVQTSVKNFQMTLEENGRQTVLQLGRVGKSKYIMDFRYPLTGYQAFCVCLASIDSKLCCTL >Et_4B_037839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2435592:2440409:1 gene:Et_4B_037839 transcript:Et_4B_037839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAGTAAAVSLHSLLSRPGCVLRCGRRLTPLLLRAASSSTASAPGFNISFAEPTQTKKASSRSSSAEPPVPWIVRGKDGKPGLQSSAPPEVLQAIALAEAEAKKAAKKESLRSKKGDVAAASASVKVKERKTAPAAPPKFSKAARRFYNENIRESEPQRLAKVLAAAGVASRRTSEELIFQGKVTVNGTVCTAPQTRVDISKDSIYVNGNRISKKLPPKLYFAVNKPKGYICSCGEESKSVVSLFNDYLKGWNKTQPGLPKPRLFTVGRLDVATSGLIIVTNDGDFAQKLAHPSSNVTKEYVVTINGAVHKKHLIAISEGTKIDGVKCVPDLVELLAAQSDTRKTRLRIVVHEGRNHEVRELVKNAGLEVYALKRVRIGRFRLPADLGIGKFVELKQTDIKALEGNN >Et_1B_011236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19150545:19156450:1 gene:Et_1B_011236 transcript:Et_1B_011236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAIMGKAADGAEPTEPLLPVAEACDGHRSGDTGAASVSGAVFNVSTSIVGAGIMSIPAAMRVLGVVPAVLLIAAVAALANSSVEFLLRYSKSSGGRGDGGSYAGVMHDAFGRAGAVLLNVCVAFTTMGTLVVYLIIIGDVMCGSAAGADAHVGVLQEFFGQRWWTTRVVVLLVIALVVLLPLVLRRRVDSLRYTSAVSILLAIIFIVISLGISVYAVIKGTVKMPRMFPDFSRLSSPFELCTAVPVIVVAFTFHFNVHPIRAELKTTSDMKEAVRISLVLCAAIYAAVGFFGFLLFGESTMADVLINYDRSSGAGVPQLLNDLARLSYALHLVLVFPLLNFSLRINVDELLFGGRKHSPLFIDTRRFIFLTAALMALLYALAIAIPSIWTLIQYGGSVFPVSLSLIFPGAIVLRDTQRVAKNKDKALAVTMILLALISSSIAITTNIMNSKNKTLKIQKLAEFLRSKKRKLLLVAMQINSTPLRWQPAQFVPKNKRRLDIIESGWKSRSIYAVIE >Et_10A_000680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15418237:15423774:1 gene:Et_10A_000680 transcript:Et_10A_000680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SCPQQGLVAAEPVASPYDTAWVAMVPEPGSPGAPRFPQCVEWILQNQHGDGSWGFGHLHPTLAMDTLSSTLACVLALKKWGVGENHVRKGLRFIGDNMSCLTDGSRHAPVGFNIIFPGMLRLGMDMGLELPLKQEDIDRLFRLRNMELTRGADGTDSGRKAFMAYVAEGLGDLQDWNQVLPYQRKNGSLFNSPSTTAALAIHQYNHRAIGYLDFIVSKFGSSVPAAYPMNVYSRLCTVDCLEEMGISQSFAYEIKSILDMVYRLWLQNDDEIVLDVATSAKAFRLLRMHGYDVSTDLLAQFTEESSFQNTIQGYLNDSRTLMELYKASQVQILEEEAILEKMGSWSSKILNQQLHSNNVSRWVDPDEVKHALKFPIHSTLDRLEHRRNIEHFKTDSCIHMLNTTFKRTNLIIQVSKHRIRSFSANEEIAELAIDCFRSSQSLYQEELLHMESWVKETRQDELKFARLMPATTLFTAAATMFSPELSEARLAWAKSCVLATVMDDLFDVEGSIEELENLVTLLEKWDAHAEVGFCSEVVEIIFLAIYNTSKQLGEKAAALQKRSIEHHIAELWLDLARTMMTESKWSRSGYVPSMEEYMRVAEVTIALGPIVLIPLYFIGPELPEKVVRGPEYNELLRHVNICGRLLNDLQTQKKESSQGKINSVTLLSLRNGGSVSDIEGAEAEARKTIEASRRELLRIVVTETGSVVPTPCKQLFWNKYQVLHFCYWDQDGYFSPEQMMSAVKAVLYDPL >Et_1B_010228.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:3156109:3156306:1 gene:Et_1B_010228 transcript:Et_1B_010228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQNKFTRDPYHILRKIFSFMQKWFILLRTQDKENVEGKMKRLKSWFLQRQSSGSRSLSEDDFL >Et_1A_008791.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:1312826:1313524:1 gene:Et_1A_008791 transcript:Et_1A_008791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNIAEGQGMVHGVADTVDGEIDRISELPDDILVDILERLVTAGDVRTVTRTSILSRRWRFLQWPQITSVALDLGDFFFESDDKWLRVRRLRASSASAAFWDQHHATAGFVDALARFLAAPPSERVIEKLSLNSVFGLQHEMIRNHPILHPNLMPAFGLRREDAPRSSRRGVAIRRARFLEKSPDDSIAPLPVLAIDAPQSRLETLVCRVCYFSAVELVQEPVLVDFDYS >Et_1A_004747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3775130:3776269:1 gene:Et_1A_004747 transcript:Et_1A_004747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEIHASSSQFLHLWPLCPCKRQETSSSLCTCSHRKSRLACRFQWLSRSLLQLPCLALCCSGISYPLDSRNTIPSLQYLLALYLGDPMDHTCRYPAA >Et_5A_041876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3781707:3791878:1 gene:Et_5A_041876 transcript:Et_5A_041876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LEEGLDKKQLNGSDRGTPVMYATVDLKRACVARTRVVDINGGNLQWNESFHIYCAHFSSDIVFSIKISFKIADAFLIGSASLPVRDILGGHEIDRWLDILGEEKKPFPHGSKLHVRLCFTDVTRLRHGWGGGVGDAQYPGVPRTFFKQRPGCKVTLYQDAHSLDTFKQKISLAGGLPYKPGRCWEDLFDAISNARHIVYITGWSVYTEITLVRDGTRHPGAGITIGELLKQKACEGVRVLMLLWDDPTSLLNLGIIEGSLGTHDVKTASYFHGSGVHCILCPRNPDASNSFLQAQKTSWLMSHHQKSVIVDSDMKLDDGRRHIVSFIGGLDLCDGRYDTQDHSLFRTLDTVHSKDFYQGNIDGASIKMGGPREPWHDIHSRIEGPAAWDVLHNFEQRWRKQGGKDVLIDLKDLKVMEDVIVPLSQVVVSSDDPETWNVQVFRSIDSSACAGYPETPEKAAQFGLVSGKGHIIDRSIQDAYIHAIRRAKHFIYIENQYFFGSSYGWRPEEGMKPEDIKCLHLIPRELSLKIVSKIEAGEPFAVYIVIPMWPEGQPATNRMQAMLYWQRKTIEMMYYDISIALEAKKINANPRDYLSFFCLGNREAKLAGEYEPASHPWNGTDYARAQQARRSMIYVHSKMMIVDDEYIIVGSANLNQRSMDGARDSEIAMGAYQPFHLNTRDQFAKGQVHGFRMSLWCEHLGMLKDEFQNPGSLKCIQTVNKMANQFWELYASGNGTIERDLPGHLLSYPIAVAKDGTLTELSGMKYFPDTKAPVLGTFHDDLLAPAILTT >Et_4A_035841.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7152475:7153743:1 gene:Et_4A_035841 transcript:Et_4A_035841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAKMKETAEAYLGTTIKNVVVTVPVYFSNSQRQATIDAGTIAGLNVMRIINEPTAAAIAYGLEKIPVRGKERTVLIFDLGGGTFDVSLLAIYPGINMEKSRFEVKAIAGDTHLGGADFDKMMVDYCLLEFSRKHNEMEIKNNQKALRRLKTACERAKRMLSSTAQTAIEVDSLHDGIDFSTTITRSRFEELNKGLFVKCMDAVEKCLQDAKMDKSSVEDVVLVGGSTRIPKVQSMLQEFFNGKELRRTINPDEAVAYGAAIQASVLSGGNNDDGKKLAMVVQDVTPLSLGIEVSLEHIFAVVIPRNTTIPMKMSRNFSTMYDKQVSTRISVYESQSESVKDNNLLGEFILSGIPPAPKGVPVIVVTFDINANGVLNVSAKETATGQTNNITISYKSGRLSKEEIERMVKRGKRQRTAPYP >Et_4B_037858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24082563:24089534:1 gene:Et_4B_037858 transcript:Et_4B_037858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARVASMEDVKEARQVAAAAAAAQGQGRMFPTGMLKVFLGFLLLGVGLSAVGMYMARHTVAAVAPALFRPCLGLGAAEEEPDEGLERWTRPPARVRHAMTDEELLWRASFAPRVRGYPFPRVPKVAFMFLTRGPLPLAPLWERFFRGHEGRYSIYVHALPSYHANFTSDSVFYQRQIPSKVAEWGRMTMCDAERRLLANALLDISNEWFVLVSESCIPIFDFNTTYQYFQNSSQSFLMAFDDPGPYGRGRYNWNMTPEVELTQWRKGSQWFEVDRELAIEIVKDTVYYPKFKEFCKPHCYVDEHYFPTMLTIEAPHSLANRSITWVDWSRGGAHPATFGRGDITEEFLRRVREGRTCLYNNLNTTMCFLFARKFSPSALEPLLELAPTDAKDAAAAAQGQRGRAFPTGLLRVFLGFLLLGVGLSAGGMYLARRAVATPTLFRPCLWTAAAEAEAEAEEPLDEGLERWTRPPARASHAMTDEELLWRASFAPRASGYPFRRVPKVAFMFLAHGPLPLAPLWERFFRGNEGRYSIYLHTMPAYRANFSSDSVFYQRQIPSKVVEWGQMSMCDAERRLLANALLDISNEWFVLASESCIPLFDFNSTYQYFQNSSQSFVMSIDDPGRDGRGRYNPNMSPEVELEQWRKGWQWFEVDRELAIAIIKDTIYYPKFKEFCRPGCYPDEHYFHTMLTIQAPHKLANRTSTWVDWSRAGPNSAHPAMFGKGDITKEFLKEVREGETCLYNNQNTTMCYLFARKFAPSALEPLLELAPTVLGFG >Et_7B_055145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7786337:7788503:1 gene:Et_7B_055145 transcript:Et_7B_055145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFPEGAAEPPLAESYLALLRRGGDRDDDGGIAPPPCSGAALELDERELPVIDLQCLTSGAGGGEARAACADAMARAAAEWGFFQVTGHGVSPALLEEMRREQARLFRLPFETKANGGLLNGSYRWGTPTAASLRHLSWSEAFHVQLASISGKDCDYGDLTSLRGVMQEVADAMSRVARTVALALAERLVGHDDEAASFPAGCDETTCFLRLNRYPACPFAADTFGLVPHTDSDFLTVLCQDQVGGLQLMKGNRWVAVKPRPDALIVNIGDLFQAWSNNRYKSVEHKVVANAKAERFSVAYFLCPSYDSPVGTCGEPSPYRAFTFGEYRNKVQDDVKRTGRKIGLPNFLKHPPIGGGPE >Et_1A_006204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19127584:19130775:1 gene:Et_1A_006204 transcript:Et_1A_006204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQAPKLARGGTGRRSRSPDVTLQQPISTHWDRIVKFLDSLMDRLHKNFVPSFFIRKLVTQVFSFINVQLFNSMLLRRECCTLSNGEYVKSGLCVLDKWIIDTKEEHAGAAWDELKYIRQAVDFLIIPQKSKRTLEQIKKNICPALSVRQIYRLCTMYWDDKYGTHSVSADVVAKMRDMVSYDTQNPVSNSFLLDDDLSIPFTTEEIAEQVPAVDMSNIEMPSSLRHVHSAQFLMQHFQPYSSR >Et_9A_062272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21325432:21329488:-1 gene:Et_9A_062272 transcript:Et_9A_062272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEQRKKSSAEAEFFTEYGDANRYKIQEVIGKGSYGVVCSAIDLHTRQRVAIKKIHNIFEHVSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRKDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTASVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSVDTISRVRNEKARRYLSSMRKKDPVPFSQKFPNADPLALKLLEKLLAFDPKDRPTAEEALRDPYFKGLSRAEREPSCQPIRKVEFDFEHRRMSKEEIREMIFREILEYHPQLLNSYMNGTERTTFLYPSAVDQFKKQFSHLEENGGSGPLDPMERKHASLPRSTVVHANPIPAKEQPLAASVRGRPVSDDSNKSTWEKECFPGNIPRASQVPLGLQAVGSGRVDGSVMSSGYPHHQQQIPQAYGHRQTAARSDSANPSQATGGYTLHSQAYACANSKATPNVAANMRAPQFHVPAGPKNNPLDRLGSATDIYTRSLNGIVAAATASVGTGTGTHTHRNVGVVPSGMSRMY >Et_4B_039106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7915250:7919808:1 gene:Et_4B_039106 transcript:Et_4B_039106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTAQTTIEVDSLHDGIDFYTNITRSRFEELNKGLFNKCIDALEKCLQDAKMNRSLIEDVVLVGGSTRIPKVQGMLREFFNGKELCRTINPDEAVAYGAAIQASVLSGGNGDGMKLAMVLRDVTPLSLGVRIRNNDTMSVVIPRNTSIPVKKTKNFTTFCDNQVSVNFPVYEGESANTKDNNLLGKFVLTGVPPAPKGVASIKVTFDIDTNGVLNVSAKDMTTGSTNSISISYKSGRLSEEEIERMRQATMDAGAIAGLNVMRIINEPTAAAIAYGLDKMPVANEGEMF >Et_3B_027423.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:15439363:15439944:-1 gene:Et_3B_027423 transcript:Et_3B_027423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYFILPDGGPKTYSRRKLLPQIVVDANCYGLMEVVNHIAEHFMWGSKQYISLFCASDDENACLPIKSDEHLHEWFKLNIDKRVVHIDAWVNDFVGPLQCSPTTRALHPKVREKLLETPSTPSLELDPCVGPTQLTQDTFTSRKEPATSTKKERAISTKKERVICTKMLFVSLAAGEEEWTKAHLWFSEQVR >Et_9B_063628.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:13249827:13250830:1 gene:Et_9B_063628 transcript:Et_9B_063628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFANLICKIYTQKNTRPPHLCVAVSPTYVQLIRKNESNGGRRKTEQSRAEQGGGGRHICTLLRRGVAAEDAAAAGGHHDLELLEADLAVAIAVDAADHAAALRDGGGLPKPAQHAGELGGGDDAVAVGVEDAERVAEVLLDGGRVGGGRAHERRELGEADVAVAVGVRLLHHARHLVVGGRVAHPREQRRQLGARDATVAVGVELAEHALQLVLRRRRAAPPRGGGAGGEAGRPGERARRGGAGAGAAAAAGEEGADFAHVVCLRLWWREGDGGACGSSLVVV >Et_10B_003598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3773303:3775172:1 gene:Et_10B_003598 transcript:Et_10B_003598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKTSWSTVRPIAYVPVQARLETTAVTQRSMAVTEKRNTGLRILSNDLQAAAWPQHQGSKLVTT >Et_4A_033964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28537333:28539560:1 gene:Et_4A_033964 transcript:Et_4A_033964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHCPTVLELAACRTQGRSLCSSSRVAGVKFLASAYVVVFHSFPGGKNRDDMDGGNARSAANQKKPIIADTDLVELMWHNGSVVAQPQAHQRAAPPPSDRPSCSGLTGEETAAWFPDTLDDALEKDLYTQLWYSSIADAAPHHGDDALPSPSSPPPHPAIGNGVESSWAGDICSTFCGSNQVFSGLPVEDRAEDTALPSGAPCMRDGAGTGTSSSGGSGSNFGGSRLRSDGGHVHKKKGRCRDDSDSRSEDAEFEATEETKSSRRYGSKRRTRAAEVHNLSERRRRDRINEKLRALQELIPHCNKTDKASILDETIEYLKSLQMQLQIMWMTSGMAPMMFPGAHQFMPPMALGMNSACIPSAQSLGQMSRVPYMNHPLPNHFPLNSSPAMNSMNPLNVANQMQNIHLRGEISNHFLHPDGDQTAAPQDPMLMDLKQYMSRNPVRYQNYQLVQLCRLLQRDRRLLMTEFR >Et_3A_024621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22670470:22678779:1 gene:Et_3A_024621 transcript:Et_3A_024621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSKPKRRRGGASSRGRKKHKRLDAIHDVARAPPPPPPGCDGGGGGDSDSDAEARRRSTRVRRAPVMLDTSPLPSPRRKRPRRGGGGFGSSGGSRRGSKGRSRDEADGRVMEEEDEEDDGGNVAWRSRLRDRVKGKAKLESRAKSLLFGEDDYEYGEEVVEEEEEEEEEEEEEKEDEARMVLVDVGDGAEDEVVGDEINLTIDLNVESQEAVEGVNLVREEEGGNEARAEEKVAVVKERGPTSSMRNDLEQDKEEEMVVESCLQREEKTEELELPVQVGGNNGDELARDAGNEEVGASNSGGIKQLGVHSKQTAEESNIPVEQQMELSRPGPAGQEEDVQHDEQMDHVPDIVLAEDGPKEKIWKSPVSDEKLGVKVVKEGRRCGLCGGGTDGKPPKIALYEAVDSDNEAYEGALPSEEPNYSLWDGFGDDPGWLGRLLGPIHDRFGIARVWVHQNCAVWSPEVYFAGLGCLRNVRAALCRGRLLKCSRCGRPGATIGCRVDRCPKTYHLPCSRAEACIFDHRKFLIACNDHRHLFQPQGDKYTELLRKMKIKKMKADIRKLSHDAWRKDRDAEEKWLENCGEDEEFLKREGKRLNRDLLRIAPVYIGGSSENEKSYQGWESVAGLSDVIQSMKEVVILPLLYPEFFSSLGLSPPRGVLLHGHPGTGKTLVVRALIGACSQGNRRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPCRSRRQDQTHNSVVATLLSLLDGLKSRGSVIVIGATNRPDAIDPALRRPGRFDREIYFPLPTFEDRSAILSLHTKSWPSPISGSFLSLIASQTVGYAGADLQAICTQAAINALKRTCPLHEILRSAEKGVEHGRVLLPSVLVEERDWLAALAVAPPPCSQREAGIAANDLVSSPLDSCLVPSLLKPLVHLLISFYLDERIWLPSSLLKASGSIKEVVFSSMERNSVPHMFWSSYLHSLVRQKDIANRIGTILSSCGLVKLGNHCSMLASHDETHENFGRSKTNSRGSHVKAGLPNKLSGFRVLVAGAPRSGQQHLIRCLLHGFTGQTVIHKLDLATMAQEGNGDILSGLTQILLKCLNLGRCIIYMPRIDLWAVDKVHIQIQDHMLNTGASNLASSPSNDVQKCSEVWNALVEQMDSLLASVSISVLSTSDMKFQDLPGGVRGFFSAHVVDQCLASSEHTIPRFSVNIDSGLSWDEMIDSCALRLSHDLIQHHVQFLHDRSHNTNLEQKEVFSSMEISAPVESKSSKNEQSSHGVASRENPTQLAPCSSQQESAPNVKDKEENVQKTGFEDTIHRNPSNRVIKGNESLAILAFGIQILQHPQFSKLCWVTSKLREGPCTDINGPWKGWPFNSCLLHSSTSSDNKSLNEGINVVKGKEKRLCVRGLVAVGLLAYRGVYTSVMEVCAEVRKVLELLVGQIRTKLLEKSNRFRYFHILSQVAYLDDTVNSWAYTFQSMKIPSQGMSCTNQCQSTKNAKETSAQVAPSGNPTEVQDIAAQNASDHKVVPACGPNEMQDNPVQHTPDQLGIHTTVHVLDDDHLTSIPSRDAAVYNLVHSASPDVSRGNCTHTDTITNDGEPIGVNNDVKIFRSTDDEENCRSDIQTNENSIESIEHLNGLQRAGNSVASSASADNAEISRNIVSSETRCDDSELKMNNPMEGLDSSHVIDGQQQDNLENLSFPKSPCLYKCCSACFRAVYKMVHGSLSNSLRPNLHRLTVDDIHDILSSWSLNLLATVRKYYSSQDMVSCEENFGKMRSQDTRLEHCACQADDATLSRECICHREGSEDDETTNTDCHSLFGQRLTFYYKNGVWMPSDHNAEATLHCNLKRLCTCSILGAISTSSQISY >Et_3A_026951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27775032:27789599:1 gene:Et_3A_026951 transcript:Et_3A_026951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKVDSEKGESSFAKMVAEDSSEDSEELGPDHTFEAQPSVKRDYASKLSKLSKVEFELGSIVFSVEATISLRVRPGSGSWPDDFRARISARTASIGKAEFILLDSGDGSRVHVSRNGSIELSRRVVSVEINGELEVCVEAGRGEEIAVDKKKSFKPMKHSTKKKGCTGGCDIQTRGINYRVAFSTGRGHHPPLKVWSRADDDHQQQLPQQVHPAGDPGRPPVPKFPAAGRVSGYVTQRERDVLTCCSRC >Et_7B_053262.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:17702941:17704044:-1 gene:Et_7B_053262 transcript:Et_7B_053262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYILRCNRSLHISAGPRGLHKFHETGIRGASFCGSLSYDPLPLPRVAAHLRGVLPVAHDLLDAPPELRRAHQARAVHDGADADAPLALDEARVGALVGEVRHPDDRHPGRDALHDGVPAAVRDEASHGGVGQHAHLVAPLHHDAVLEEVQDPVVVRVSGLLVVLADDQEVGPPGVLEAPDQLGDLLPRHQHEAPDGHVHHGPRRLGVEPLHVLGPVHLEQPGLVVRREHGADGDQAVLVVRRSHGGERAGLELPARVDEHGGGLLLLPAEDVEVVAERGEDAVEDVRRVGGLGDEGRQVAEPLRREARHAHHEGRVVAVRVHDAGVAAEEVVVVRREHGGRLRPVERRRDAARARHARRP >Et_4A_033680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25804425:25807427:1 gene:Et_4A_033680 transcript:Et_4A_033680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPQEDKSDHPGAGGGGGGSSSAWKEQDRRPSKAWGIIIFGLIGATTATFAITQVRRSVDWFYTQTTSSWRKTNNSSSRGSFSEEARKRYYQRMQQEYEEEQERVQRIRHMQSVFNRERNKFRRSYESWRENGPPGGYNYIPRDDWYWQTDTSHSEHRNSRTYTPAGHRVYPMSHHYAVLGLDRSRATPYTDAEVKTAFRAKAMEVHPDQNQDDREAAEERFKEVVKSYEAIKLERKNG >Et_9A_062061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19293535:19297157:-1 gene:Et_9A_062061 transcript:Et_9A_062061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLASSRRLLQAALAPPAVAAPENGYSPKMPHFDYTPPPYEGPRAEEIFRKRAEFLSPSLFHFYDRPLNIVDGKMQYLFDEDGRRYLDAFGGIATVCCGHCHPDIVEAMVGQAKRIQHSTVLYLNHAIADFAEALASKMPGDLKVVFFTNSGTEANELALMIARLYTGCHDIISLRNGYHGNAAGTMGATAQSNWKFNVVQTGVHHALNPDPYRGAFGSDGEKYARDVQEIIDFGTTGRVGGFISEAIQGVGGIVELAPGYLRAAYDMVRKAGGLCIADEVQAGVARTGSHFWGFEAQGVIPDIVTMAKGIGNGIPIGAVVTTPEIAQVLTRRSYFNTFGGNPVSTAGGHAVLKVLEKEKLQENAFVVGSYLKERLNMLKEKHDIIGDVRGRGFLLGVELVTDHQAKTPAKAEISHVMNHMKEMGVLVGKGGFYGNVFRITPPLCFTKEDSDFFIEVMDIALSKL >Et_8A_057667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5918261:5923051:1 gene:Et_8A_057667 transcript:Et_8A_057667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNDDDGEGAEAAVHERAVLLGELVEGAVRQRADEVEVADDRPWPWPGREVVNPVGLVLESLRRMAAAITVRSTSSVGSLGWTTTSRGNLRMVDSPKTSSMLRSSFAIPFGRLHPGHIRRPDPNGSSSKFCPFMSILLPMNLSGVNSSGASHSSGSLPMVHTLMSTRVPLAINDCVLEGDARGEERHNGVHAKCLLDDGVEGRLGMSFSVTQRSRPMKRSSSSAAVARTEGFLRSSEMAHSMVADVLSVPPTMRSWVKAFTPTRPILTSESGSSAIWISRSNMSLATRPSPCWRSRSPRMCSMYALNIFPILFIRRTYPCRSSQSSHGIQSPTLSTPLSSMSSSIIHLNSASDDTGPSSTASASAPPSPKSRLPSTIRVITFMLRLDRWSLLRRTGTARDDDDDAAAKRPSTSARTSSARMCSNDASRAGLKSWVAQTLRAWRQYAP >Et_5B_044051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19471577:19473170:1 gene:Et_5B_044051 transcript:Et_5B_044051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVGEIGMGADLSLDLRYFASKAVRQTKDSPASEMDACIRRLEEEQGKIEVFRRELPLCARLLADVIDVMKEEAEKKKNDRKAEEEEEAAAGDKSKWMSTAQLWTGAETEKQDKGSRSSSEAKTYGGAFAPFRAVGSGAPAFARPGVRNDDRAADGGVLDLSLLAPPPAIIKSAAAGAGDDSRRQVVGFAQAAARAAAVAPSGPALSLQPQPQQATQQQQQQQARKSRRCWSPELHRQFVAALNQLGGPQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHNRRVPGSSVVNQPIVLVGGLWIPQEQSSSQSGSPQGPLHFSTSGMALSSAATASTEEEDGRSESYGWK >Et_8A_057580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4730128:4733467:-1 gene:Et_8A_057580 transcript:Et_8A_057580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPPLHPTPPAPLRASSCRGAHRLPFPSSTSHLPPLPRAPQHRWPPPLRAHASGEPVRGSGAIDALLSAAELLCLAPPAICSVVCAVRLVFTSGSASAGQPLAGGRMLIVQYVLLVGAVAIGSLIRRRQWERLRGAGGATEKVGVGLAVRVEKIEESVRGVVAAVGVLSRTVEKLGVRFRVLRRTLRDPISETATLAQKNSEATRILAAQENLLEKEIGVIQKVLYAMQEQQQKQLELILAIGEASKILDDEQDMLDDTTRSSSTTPAPETENKQVKKKKSSSENRQ >Et_4B_039816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3193754:3199664:-1 gene:Et_4B_039816 transcript:Et_4B_039816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTLSKMSNRRSRSRQSGSSRITEEQISDLVSKLQDLLPEARLQSNARVPSARVLQETCNYIRSLHREVDDLSERLSELLATSDMSSAQEAIIRSLLM >Et_2A_016134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21380219:21383527:1 gene:Et_2A_016134 transcript:Et_2A_016134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHTLKRDRPREAVVPTRKKGNPITPEEEQRRKDTHAKLLAAWEKAMEEAKNKPPTDRYALQAAEFREFWNDLWSEHFGRFEDATKIPSKRFTDHPAPPFTAFVYDTIQTFSVRVAGVTGDLQWPLHVFGTVALRDAVDRNRNIIFNRERSNCQTLTQENPNLQLTGPTRAVVMLYPVTFEVELTVKGARESEDKDLSYLAVPLIRPDLRESSLLIRAYTSKLSTLEFAHGHIFSSVEATISVQVIGGSWHGYRSKFFAYTASVNEEVLLLDSGDEEVPVTYGGKIKLSRTVASVERKGQLKVFAKALHGDNFLLMKEKVFTPKEAGRSHGRLDVGFCKMKITVDWSLITVDYNPKKNWKQGSYCPSRNGIL >Et_3A_027112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33271586:33274720:1 gene:Et_3A_027112 transcript:Et_3A_027112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFVCFGSAQDGEAKKPGADAKDARKGAPPDRVVSRVGSDKSRSQGGSDSKKDIVIHRDGSSQNIAAQTYTFRELAAATKNFRQDCLLGEGGFGRVYKGRLESGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHTNLVNLMGYCADGDQRLLVYEFMPLGSLEDHLHDLPPEKEPLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPQGEQNLVAWARPLFKDRRKFPKMADPMLQGRFPMRGLYQALAVAAMCLQEQAATRPHIGDVVTALSYLASQTYDPNAPVQHSRSNSSTPRARNPAGWNDDPRSVRSPNHPSPDLRRREAARSSKYGAEVSRTSSASVSGRRSGFDDMDMTGSQVGSPALGRRREAPRTADRQRAIAEARMWGENSRERSNGHGSFDSTNE >Et_5A_041864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3606018:3608160:1 gene:Et_5A_041864 transcript:Et_5A_041864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLWPCGGHSRSESKHLLIIVHPTMETVVDAPAVVPQKEEVAENILGGKKVTVVFVLGGPGSGKGTQCANIVEHFGFTHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPAEVTIKLLQAAMIKSENDKFLIDGFPRNEENRAAFEDVTKVSPTFVLFFDCSEEEMERRLLGRNQGRVDDNIETIRKRFRVFVESSLAVIEYYNAKGKVKKIDAAKPISEVFEDVKAIFAPYAPKSSLKLLNVKTLYWVFCTSNKQNFHHLPIKRPPLLYKRLEGTIEMIYDVNLI >Et_6A_047400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5126838:5140583:1 gene:Et_6A_047400 transcript:Et_6A_047400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAERRAQVAGGLLLLIVLTLALTPSHAATPARSSSSTAAFQLQGDVYPTGHYYVTMNIGNPAKPYFLDVDTGSDLTWLQCDAPCQSCNKNKLVPCADSLCTALHSGQGSNQTCPSPQQCDYRIKYTDSASSTGVLITENFTLPLRNSSNVRPSLTFGCGYDQQVGKNGVLQAATDGLLGLGRGSISLLSQLKKQGIAKNVLGHCLSTSGGGFLFFGDDLVPTSRVTWVSMARSTSGNYYSPGSATLYFDRRSLGVKPMEVVFDSGSTYTYFASQPYQAVVSALKGGLSKSLKQVSDPSLPLCWKGQKAFKSVFDVKKEFKSLFLSFANGKNAVMEIPPENYLIVNKNGNVCLGILDGTAAKLSFNDQMVIYDNEKTQLGWVRGSCSRSAKSMWASVLGLLLLLPVLPSASSSSTVFTLDGNVYPDGHFYVTVNIGEKEKKPYFLDTDTGSNLSWLECDAGKGTCETCNKVPHPLYQMISRKRVPCAHQLCDAMHQDLGLTQNCIDSPRQCDYEIRYFDGASSLGVLVTDTFSFPMGHGVPSKPLDIAFGCGYDQAKKGQQKKVSVDGMLGLGRGSVDFVSQLKRQGLITKNLIHHCLSATGGGYLVLGEHEMPPAQMTWVPMAPKISGKPNHYSPGGATLQLDIKSVDKKPVQVTVVFDSGSTYTYLPPNLYTQLVAKVVPSFSKSLKLVRDDPAHPFCWKRPGGFKSLDDLKKEFKSVMSLKFDTGATMMIPPENYLVITERGNACLGILTITDLNMIIVGDITFQDQLVTYDNENGMLGWIPQSCSSCLAFQCPCSHFYVTMNIGDPAKPYFLSVDTGSGLAWLTCAASTGACDTCEKGPHKPYQPAPPSYTLVPCVAPLCYALHRDLDTIKHCTGMDQCD >Et_6B_049062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17311724:17313677:-1 gene:Et_6B_049062 transcript:Et_6B_049062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTQTAEQIPSSRRSTASTMEARKSAPRKLRAKRMGRVPQAYIDLLLVTPRMPRRPLSDELIDNITDLELREETRAVFGGAFARLLAAQAKDDDILEQYRLKGYAEEEITDDEEEEGEGEEGDAGDELQGSVDANKEADNAGEGHLTKISWLKDPNKT >Et_10A_000974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19848991:19860652:1 gene:Et_10A_000974 transcript:Et_10A_000974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVLLPVVLLVMLPPVAPSPPWQHCGSSGNYTAGSQYEANLQLLATTLPSNASSSPDLFAKAAAGEAPDQVFALALCRGDATASSCLDCETRAFRDARSLCPYSKEAAVYGDLCVAFFSGDDFLSSTANLGQIRLYNVSGARNSSSSSPTSAAGDEFVTLVRALLSYSVQWAVYNSEAVKWYTTVRMSVVTPALYSMMQCTPDMSGAECWQCLQDLVGNSTFNASMAGVRNVGARCGYRYEIYQFYSGKTMLNIGSLSQIDSPPPPLAPSSEERSGKKATSKLLIVAILLPLIAAASVVISDKSKLQKQGTATREEVLKLWRIEESNSEFMLFDFTQIKEATSNFSERNKLGEGGFGSVYKGQLSNGLEIAVKRLATHSRQGLVEFKNEIQLIAKLQHTNLVNLRGCCIEGDENILIYEYMKNKSLDSFIFDEKRTALLSWNRRFKIIEGITQGLLYLHKHSRLRVIHRDLKASNILLDDGMNPKISDFGLAKICSTDIPGNTKRVFLTSPYIHFCFSGYMAPEYASEGCFSVKSDVFSYGVLILEAVEKEMLAFTSAGTFLISSAWQLWKDENWLELVAPSIASEGAMIEIKKCIKIALLCVQENAADRPTMSDVVTMLSSELQALPEPKQPAFFNVRTTYGELSTTARSSVNDLTITLTTRKPEQQGRLIGASPQPISITVDAIDKYVTTVRTDVE >Et_8B_060110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:653512:658548:-1 gene:Et_8B_060110 transcript:Et_8B_060110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRRRGGNHRCPCPRRAALPAAVALLLFLLAAVTLLYVSPPPLSDHPALASSRRRRRPTHALLNVSSMEDSERHDISHVPKNGPTVVDDLWGSKLASKFFGCSNSSSKFLDSNITTQPDRYLMIVTSGGLNQQRTGIIDAVVAARILNATLVVPKLDQTSFWKDASNFSDIFDVEWFISSLSKDVKIVKELPVTGGKLLTPRRMRVPRKCTERCYMNRVLPALLKKHVIRLTKFDYRLANRLQTDLQKLRCRVNYNALRFTAPIQEMGEKLIKRMRERNKHFIALHLRFEPDMLAFSGCYYGGGDKERRELGAIRKRWKTLHASNPEKGRRQGRCPLTPEEVGMMLKALGYGKDVHIYVASGEIYGGARTLAPLKAMFPNLHTKETISSKEELAPFLKYSSRMAALDFIVCDESDAFVANNNGNMAKILAGRRRYFGHKRTIRPNAKRLYPLFLNRHNMSREAFSSKVHMFQKGFMGEPKELKPGRGEFHENPSTCICERINDKIVAKLKPRNDQTLSNAAERVKSIGEPAVPIYAVAGEDLGESDEDEDAPAEKESVDTEVDEGVLVRPEDPELEEESAMLIDARVLLRGAN >Et_3A_023997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15970232:15978105:1 gene:Et_3A_023997 transcript:Et_3A_023997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRFAQLDDDDDDDGAAEAPPKAKSSGGGVGSSGSNAKKQQPPQQRRRIEEDEDDEEDDVELEEEEEDEKDLEAMRRDEEEERREEETQTRRRRGRPRKHPAPESDEEEAEPEEEQEEEEEPREEENTEAVPIGDVVRVTGKGKKQKKHYASFEYEGNTFELEDPVLLTPEDRSQKPYVAIIKDITETEGNLNVTGQWFYRPEEADKKGGGNWIAKDTRELFYSFHIDDVPAESVMHKCVVHFIPLRKQIPSRKQHPGFIVQKVYDAVEKKLWNLTDKDYEDGKQQEIDLLVKKTVDRIGELPDIELEDIKLQKRTEDAPSDNNDHISSKRSLRKKAVNPIDVTKETPADKSEHFAKAETPGTDKPKNYAILVRYKALTGDQYRDKWLDKLLENIPLVASKETDGVSRADPAAAPKSSTNGSSAKDAGSDDNEKSYVLDDVVPIMVALERSAYEALGTDYVKYNQKLRQLWFNIKNSSKLRRRLMDKELDPPVLLTMSPDELKEGLTSAEKTSEPEESRKLQMTDARCQRCQEKKVGISDIIHGGHGDRYQLECTSCGATWFSSYDAISSLTVDAPSSAANVGTAPWATAKFDVLEKQLASPRDQPVKPVADALQKSTTAYMPTLEKQKSFSKPKPDEPSPAPAT >Et_5A_040582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1007217:1007570:1 gene:Et_5A_040582 transcript:Et_5A_040582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFSLRLLSAQFEKAFPPLPKKPVPQVPASVHVAQLLQLCYTTRFSQENLMPEEAIKLLDQAEFPPLKAGCR >Et_9A_061947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18194957:18197732:1 gene:Et_9A_061947 transcript:Et_9A_061947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAPEPQRLSRAMSFGGGGGGWVPEEALHLVMGYVDDPRDREAASLVCRLWHRIDALTRKHVTVPFCYAVSPERLLARFPRLESLAVKGKPRAAMYGLIPEDWGAYARPWLAELAAPLECLKSLQLRRMVVTDEDLAELVRARGHMLQELKLDKCSGFTTDGLRLVARCCRSLRTLLLEECQIEDRGSEWIRDLAVSNPNPVLATLNFHMTDLQVTPADLELLAKNCKSLISLKIGGECDLSNLINFFRAASALEEFAGGTFDEQGELTKYHNVKFPSRLCSLGLTFMGTNDMPILFPFSAVLKKLDLQYTFLTTEDHCQLIAKCPNLIVLAVRNVIGDRGLGVVADTCKKLQRLRIERGDDDPGVQEEQGGVSQVGLTAIAVGCQELEYIAAYVSDITNGALESIGTFCKKLYDFRLVLLDREERITELPLDNGVRALLRGCPKLRRFALYLRPGGLSDVGLGYIGQCSGNIQYMLLGNVGESDDGLISFALGCVNLRKLELRSCCFSERALAFAMLRMPSLRYAWVQGYKASQTGRDLMLMARPFWNIEFTPPNPDNADRLMEDGQPGVDSQAQVLAYYSLAGKRLDCPQSVVPLYPA >Et_6A_046624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18394121:18402435:1 gene:Et_6A_046624 transcript:Et_6A_046624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAATAAAPDSSCSPFPTSRRSAPPSSLLLARRATGSSGLSLRCHGLPCHCHCPCWSNEPERRARRSRGRHCRAVASAPDHMDELPGKGRYHPFEEIAETLQLDDGEPAHLTDAESARTIVEVNNKATVMISTLVDDGVHERIILPEFPYLTDENGDIYFEVDNDDALLENIMGEDKIATATKSNIDWLDRPPASLVVEGQLRPAFAEESTMVSKHLSSDEPKKDKKESGATFFKVEPKVKIEEYRKAKPDIIAHSAPNIISRLRSGGDKITQALKSLCWRCKAIQVEEAAVIGVDCLGFDLRVCSGTQLQTLRFAFPTKASSEFGAEKQISELLFPRNTHQEARQKELKNTAKLNRTSRRSAHSEPNIISRLIAGGDRVTQALKSLCWRCKPIQVEEAAVIGVDCLGFDLRVCSGTQLQTLRVAFATKATSEFGAEKQIHELLISRNVHQEGLATTISTER >Et_2A_014604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27416007:27416611:-1 gene:Et_2A_014604 transcript:Et_2A_014604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QEPEPEPSVTTTAAAAAQQQRPAGKRRGRKPGPRPDGPTVSHVEAERQRRDKLNRRFCELRAAVPTVSRMDKASLLADAAAYIAELRARVARIEAESRRTSATRRRRGRRRRGGGGAHGGARRRRGARHELGAARGGAADGRAPGAGPARPARLRQPRARRDGAGRVRGRARRAAGRRLPPHLAGTDAAGRRQL >Et_4A_032201.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:23024563:23025372:-1 gene:Et_4A_032201 transcript:Et_4A_032201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADTSKPFFPATPIHRAPPLPRRRLPRLPRGVLLALLPLLRARLRQRVPRHQPHLRPRVSQRRPEARRGLVHGPAPLLRGPPRVTPQDQRRGRPRLLPLLPLRRRSPSRRRQEGGRPRLPRRQARGGPTPHAPRTRRRNPRGPVPGRRQRQAPPPHRRRRRASLVLGCALGDASLHCPRRSPGLHPRWCGLPCRPQPLRHWILRSHRQAVGCSYRKQWPIFILHARGIGGECAVPAIWWAAGHRRRECGQDLGCHWRRQAFALSGGPC >Et_10A_001539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4860837:4867385:1 gene:Et_10A_001539 transcript:Et_10A_001539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKNSLLFLAFLPGLNVAIVSGESGMGTKVEDKACIPGYFDMADSSVNSNGNVLRYYEESKLSLCISDKFTIISANGSVRYDKEMLKRTMLAHEATFQKQVYELHRLYKIQKDLMAQFQGEEVNGNPRYADTLLSRSYAPQAPLGDVKGVWQTRTTVSGHDLKNSSIDFMNETSSQYSVNRASLRPNNVRSIKKMLDLQLPADVYDNDGNDVEILDEKPLKSLPGTNVPVHGGNVNINIGNSESKHVEKSWTTEIQPHNSTVHILSKPVEGSSNMYQKQHYLSRGLNLNLLAEQGNSREKYANKVYGSYFFGSSEEVRHINSFGWRKEDPNTSIEWLQQKQNGSNSSVGHYLYSDSSPNHLIHAPPLFNDTLNSPWQSNNTSYLTKGHYGISVGSTSNTPYHHPLKIHGEPQFRKPPPSQNYIKDLNLNDMPADTTATWEQGSENSMVDISRFREKPVNLMKSQVPLSCANDLSQTFSSTLHSEDRTLTRIPSFPISAAAAAEKDARCSPTLQCDINVATLVKHDVDKEVQPQSNADSSIKSLFDLNEALPIMDDPEMQGESEGDIAPHEPEGPSRDSLAITAAENLMAICNDGVQLGSPQLDTLHWFAELATLKENMMCECDNDSDDDFEALTLKLEEIKGYEYHSTSRNQEGDSNDGHRSAASLLVTGPRSSKARGRPPKKKNFQKDILPGLASLPEKEVSEDLCALGRSKPVTSAKRGGRKGQQQRGMRRAKSVAALVKEAEVSLSPTPPPLVPADLDTDEPRITKWGRTTRRCRRPRCPPVNNASLHARKEYRKAMDQAQNVGDLAR >Et_6B_050129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6903026:6911769:-1 gene:Et_6B_050129 transcript:Et_6B_050129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLIEHEALGGERKPKELHGLKICTGHAAAHRRAEHEVSDIRCFPAPVLDSLPCSFCTELGQYRGVGELLPEIGIALGHCNPDQNHASSNVD >Et_1A_005964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16019246:16028216:1 gene:Et_1A_005964 transcript:Et_1A_005964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHSSACRGSNLLSSCTSSGRFALALAGASSKTLGAPRSLTAMSYRGGRGGGGPNSHRGRGRGGGGGGRGGRGGGGGRGEQRWWDPQWRAERLRQMHADVEKVDENEWWNKISQLREGLQQELVVKRNFGRDGQNILADMAQRQGLHFNAYNKGKTLVFSKVPLPDYRADLDERHGSTQKEIKMSNQTERLVEDLLSRSKSNTNNSASTSTVSIRHSLPSTVSSVVEPASVIDKEKLSSQLRDLQNSKKMTASARSMQSFREKLPAFNMREGFLKAVAANQVLVISGETGCGKTTQLPQFVLEEEINSLRGADCSIICTQPRRISAISVAARVASERGEELGETVGYQIRLESKRSTQTRLLFCTTGVLLRRLVQEPDLVGVSHLLIDEIHERGMNEDFLIIILRDLLPRRPDLRLVLMSATINAELFSKYFGDAPIMHILGFTFPVAELFLEDILEKTRYKIKSERDQFPGSSRRKKFSSVKNDPLSEVFEATDINKEYRNYSITTRQSLEAWSAAELDLSLVESTIEYICRFEEDGAILVFLTGWDEISKLLDKIKGNSFLGSSNKFLVLPLHGSMPTVNQRDIFDRPPANMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGFCYRLYPKVIHDAMPPFQLPEILRTPLQELCLTIKSLQLGAVSSFLAKALQPPDPLSVKNAIELLKTIGALDDMEDLTSLGRHLCTLPLDPNIGKMLLMGSVFQCLDPVLTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALLKAFVAWKEAKQSGRERSFCWENFLSPMTLKMMDDMRNQFFDLLSDIGFVSKTRGVKAYNHCGSDLEMVCAVLCAGLYPNVVQCKRRGKRTAFYTKDVGKVDIHPSSVNAFVNQFPLPYLVYSEKVKTASIYVRDSTNISEYALLLFGGSLTPSKTGEGIEMLGGYLHFSAPKRIIELIQRLKGELDKLLHRKIEDPALDIFSEGKGVVAAAIELLHSHNVYN >Et_5A_040746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11960957:11962564:-1 gene:Et_5A_040746 transcript:Et_5A_040746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLISALPDDLIFEFLVRLRCARAAVRTSLLSRRWRRLCNRLPELRFRDIDPDHLTAALAGVATAGHELSLLEIDVSDHPPLQSHRISSLFHAAARLAPAVLSVSIFGYERPWSAMADAIELPCFPRATSLNLEVPGVNFTLPPAGEFPALDSISFMSCHIDLADLLPRCPRLRKLRISSLKLLSVTVHSPSFEELDVVTEGLLRRVDISAPSLKKLRLDALGGLDNEVSLSFSAPLLEELWWMCCCSSSPDVWFGQIWRLSMLNLKIPKLDQLANGSESGSAGLQPPRRLWLYLEPSDILTDALQGVEQELSQFLVTSISHLELRIRKAGHVYGPLVLRLLGANTFIQGLKVKLCGVREEACSVSCPCDHSHSNWRSHRISLTNLKEMEIQGFGGESHELDLLKVMFKSATMLQTMSLYLSRKVSPIANGCLEEVCQLSKAYPSVLLNIYRCPDEHLSMYQ >Et_1A_008976.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2299366:2301695:-1 gene:Et_1A_008976 transcript:Et_1A_008976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARETLTGTSFYAGKPLNATMIPLVYGGDVGSNTCEDGKLKTSLVAGKMVLCDPGQKGGPAEGEAVKLAGGAGAIVQSTQDWGEQALTVAHVLPAAAVSFATAEKIKKYTSTDTFPVATIKFHGTVVGSNPSSPRMASFSSRGPSRHAPEILKPDVTAPGVDILAAWTGENSPSQLDSDMRRVQFNIVSGTSMSCPHVSGIAALLRQARPDWSPAAIKSALMTTAYNVDSAGDIIKDMSTGEASTPFVRGAGHVDPNRAVDPGLVYDAGPDDYIAFLCALGYTPEQIAPFVTKQDPTVVCSTRTEDYASVVNYPAFSMALSSPDDKVTQRRVVRNVGRNVRASYSASVASPAGVRVKVKPRKLRFSATQQEREYQITFAAQGEEGMAGKYSFGSIVWSDGEHNVTSPIAIFWLESQVAAI >Et_4B_039020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:719223:722196:-1 gene:Et_4B_039020 transcript:Et_4B_039020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYAVFGALAAVVAGLELGKGGKDRVATTSAFNAFKNNYVLVYSLMMSGDWLQGPYVYYLYHQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCITYILSCMTKHSSQYKILMLGRVLGGIATSLLFSAFESWLVAEHNKRGFDPQWLSITFSKAIFLGNGLIAIVSGLFANLLAENLGFGPVAPFDAAACFLAIGMAIIMSSWSENYGDPSESKDLMAQFKVAAKAIASGMLKLNPSHQNPEESNMSGTDICILTLSSEPSDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNEEDIPHGFIFATFMLSSMLGSSIASRLLARKLKVEGYMQIVFSISAATLVMPVVTNFLVPPSSVKGGSISFGGCLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCSIFLFMAAILQRRLMVVSDLHKSSTKAQEMVGEDEPLNP >Et_2A_014797.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:15717906:15717995:-1 gene:Et_2A_014797 transcript:Et_2A_014797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRWPHPTQTRPVAMPIQWGGGRVIFFS >Et_4B_037014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13543995:13548128:-1 gene:Et_4B_037014 transcript:Et_4B_037014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSKLSKVAPLLVLMILLSSNQMICIQGKPITMMHRRFNLLSHSDEATKGTMIQGTVISPNDASGALGNVEDARPTAPGHSPGAGHAAINNGIGRKLLDITSIQVYRTMQTVYHPPLSPLGTFKGQPNSLKAQAIKKIEGLIPPQGSIDRRGSSGLQDLCQTKI >Et_5B_045363.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:264538:265152:1 gene:Et_5B_045363 transcript:Et_5B_045363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGWVCEHACINRACFSRGPPDRDDLQWRWSWARACPQLLLLLLPNDDDPSFQYTATFSLDVQQPQSAEWTRHGDWLLPFRGQGIYDSSLDAWVGLHSPGHLCTCQVVSTTISGEEWELIKAKHLFLTKPEDDPLRFCLLEPLTTLGFDVTPESAPSTCSTSPTFASSAVAVAACDYRPAVVSSRSYKLRKYDDLFSPQAFWI >Et_6A_047544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7129114:7132524:1 gene:Et_6A_047544 transcript:Et_6A_047544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSHTTAASKVRSVHRYKQIDRLKIYRLPKMPKPPTRIAMIAEIRALLREASERYARYQLDGGATDRFAFSGQHQVPVLYQAAAAAAATDLIGIDDSRKELAGWLTNQEEKHLKVLLIVGSAGVGKTTLVKELYRELGGQFECRAFIRVSRKPDMRRLLGEILSQVQGHKRTFDGSIFQNSNDSIREHLQDKRYFIVIDDLWESAPWDILKNVFPKGNNCSRIIITAEFQDVVAECRGNHSVNLFEMKPLGSHDSEKLFFQKVFGSEHHCPDQLKEVSRRIRTCCGLPLALITVAGLLANELDNTDLWHHLLQCFSSSLANITSAEMLKGIVDLCYNRLPQYLKTCLLYLSMYPEGCTIWKADLLRQWIAEGFVCTTEERVVESCFNELIKRGMIQPWKIGHSNELVSCTVHYIVHDLITYKSSEDNFITAIDYSQTITGLSMNARRLSFRFSCAQYATKPEGIRLSQVRSLAFFGLPRYLKVCSHILVELPSQIQGLRLLETLEIDARVLAAPNDIALLPGLLHLSLRDGTWLPDSIGHITSLQTLQYFDLGNNSEDNIRSFGQLMNLRDLHLTCSTYTALSYEGKRQVALSSSLDRLANLRSITLAPAGTEDLTVFVDESHCMLSTAIFLQRLECGARCLVFKEEALPNLERLKLGFNVHRGHQYANMISGIEYLLNLKELSVEIGAAAGTEDSDRRAAAEFAFKDAIHEHPRFHCYLINIKRVGWIEEEKMLRFRIPGPGGGRNIAATKRTLRTRAISIPLRCRRRVHPGRGILVRRRRRARTGRRGGRRRGRWIGWSSARGRTLTITSPRSPSSWARRASARRRHC >Et_4B_037283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17620114:17622946:-1 gene:Et_4B_037283 transcript:Et_4B_037283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSANRCGCKNFLSCNLLRRACCCKNFLSCNLLRRACCCSWILCICGRKKATQETSTSETKRKKKRKWFRSVCGGTVREAEEPLTSESKKKRKNPTSPEPEKCNWIKKRIWKKKKKKGKNEQSGLANLVKEISLSNSPKHRAAAGEILRIGNNNIPSRVFTFRQLADATNSFSQENLLGEGGFGRVYKGYIPDTTEVIAVKQLDKDGLQGNREFLVEVLMLSLLHHPNLVTLLGYSTECEQRILVYEYMPLGSLQDHLLDLTPNSQPLSWHTRMKIACGAARGIEYLHEIANPPVIYRDLKASNILLNGSFNAKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGKLTKMSDIYSFGIVLLELITGRRAIDTTKPTREQILIHWAAPFFRDKRKFVKMADPMLDGKFPLKGLYQALAISSMCLQEEASSRPLISDVVTALTFLADPNYDPPEDIKDPLPITIPNLDRDTSQKETEGGQGQLQEKIEEEMANKKLHNMAS >Et_9B_063803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15123741:15125430:1 gene:Et_9B_063803 transcript:Et_9B_063803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSVELGHTAASKCYDDDGRLKRTGTMWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPTVMLLFSFVTYYTSALLADCYRSGDEMTGKRNYTYMDAVNANLSGIKVQICGFLQYANIVGVAIGYTIAASISMLAIKRANCFHKEGHGDPCNISSVPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSTIGLGLGVVQVVANKGAKGSLTGISVGAVTPMDKDTIRAPPPSESRVMRRATVVSVAVTTLFYMLCGCAGYAAFGDAAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAQQRWPESRYVTGGRSCYKLNLFRLTWRSAFVVATTVVSMLLPFFNDVVGLLGALGFWPLTVYFPVEMYIVQKKVPAWSTRWVCLQLLSLGCLIITIASAAGSVAGIIADLKVYKPFATTS >Et_2B_019068.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29150861:29151259:1 gene:Et_2B_019068 transcript:Et_2B_019068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHREKISLSGVLNFVDGLWSSCVGERLVVFTTNHVDRLDPALLRPGRMDRKIELGYCTAPALRVLAGNYLGDDDHHELAHDYKGLMREAETLLEEVHVTPADVAEVFMGCDGDGAHAALQKLVDELNRKKNG >Et_3A_024671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23128570:23130337:-1 gene:Et_3A_024671 transcript:Et_3A_024671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAYAVALLAVSAALLVSAATAQTCNDELPPQLAGNYTGLACSPVWNNFVLRYAQDKDNVLRVVLSTMYSTGWVGMGFSKDGLMVGSSAMVGWMGKTGVAHIKQFSLNGKTPNQVVADKGFLVSNDHDHTVLVQQAKIYLAFQLRFTQPLKSQNVLLAIGSASPVNDRLSEHQDKTSIKFDFTTGSSSSASSFPERLKRTHGALNLFAWGVLLPIGAIIARYCKRWDPLWFYLHAGIQFVGFILGLAGVVAGVSLYNRIQANVPEHRGLGIFVLVLGILQILAFFLRPNKDSKYRKFWNWYHHWVGRLTLFFAAINIVLGIKIGGAGNSWKIGYGFNLGILLISIITLEVLLWTRWKNNNSSSAY >Et_1A_008673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9782986:9784495:-1 gene:Et_1A_008673 transcript:Et_1A_008673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRYTKKLFKRSSSSKQAASSCGDDAASAGVGGGAGEIEWEVRPGGMLVQKRMGRGGEEVIVVRVSTGFSWHDVSIIATSTLGELKVILSMVTGLEPREQRLLFRGKEREDTDHLHMVGVRDKDKVLLLEDPALKDMKLRALAAQVVPSPCQPFIQV >Et_3B_027776.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18771487:18771537:-1 gene:Et_3B_027776 transcript:Et_3B_027776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFCLANASGENLDG >Et_4A_032626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1196285:1210103:-1 gene:Et_4A_032626 transcript:Et_4A_032626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTPLTSFQLRGHHLHHPRGRLHANPQPRRFAPLLPRRLRPTLRLYCAPDGGEVSAPPAPPAAEAQHQEQQQEEEEFTLLAMNRSDFNDVIMVIDSPVARYLVLDQSRNVHSVLPKTSVWTNSYWDEFVSLPAVVPLGPVALLGLGAGTAAHLMLKFWPWLQLVGWEIDPMIIELSRDYFGMSELEKPTESGGSLSVRIGDALSLSATVEGGFAGIVVDLFGDGKIIPQLEEVETWLQIAKKLMPGGRIMVNCGGGDAAVSLADDNSPSSWVQNPTVKALCSAFPGQLNWKRLSEKESVNYVALTGPLPDLDEWCASVPSGMAHSPSAGGGQPLVVSLNCLDDPSLEQEGLAGVAAVEHVPLSAVASGRVEAAAAVLLPSLAFLPRAAQRRLRPWQLLLCLGSADRAADAAVAADLGLRLVHVDANRAEEVADTVMALILGLLRRTHLLSRQASSGPAAVAAGWLGSVQPMCRGMRRCRGLVLGIIGRSAAARCLATRSLAFRMSVLYFDPRYAANGTTKRPSIVFPSAARRMDTLNDLLAASDLVSLHCALTNDTIHILNADCLQHIKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIRDKAITMLQSFFFDGVVPSSAISDEDEEISEAGNEDDLLDTRAKDSQSQGFDVEQQTDESQLTLEYEKKRAISHHKDPQASGRSVNVGSRAEGRRSRSGKKGKKRPAHRRSQQKPDDLSAVESDSNFSSRRDDDTAMSSRDQVLSSSSRFASPEDSKYKPKSPAESPMEITSEKKLPVLLSRNYPDKLKEGFVIALRARDNSGYHVARQRVAGGGGWILDIVSNATNRDPAAQFLVTFKNKINRKTEFVLASHSFEVWESWMLEGSLLEGCKLINCRNPSAVLDVCIEILAAAREEDGVTRWLD >Et_1A_007796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38310695:38318216:-1 gene:Et_1A_007796 transcript:Et_1A_007796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTQILALGLLALCWALAVAAVDVATNSSAPAPAPSLNSTAWLKAHATFYGGADASGTMGGACGYGNLYSAGYGTNTAALSTALFNDGLSVPCVRRGGVRFTMRGCDYFNLVLVSNVAGAGSVKSMDVKGPNSADWLPMAHNWGAHWHALAYLSGQMLSFRVTITDGQTLVFTNVIPTGWTFGLTVASNLQFNNSAHSMLSHMANINIMVSHLAKVAAPEVSAYVVRWVHEGDLQSTAEYLVIRFTPLLILHFLLLVPSSNRLVYLKRSPTRYKTITPEPPGTQIRSKDGCAGYAGERDEEAPLVLPRELP >Et_1A_007176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31629376:31640992:-1 gene:Et_1A_007176 transcript:Et_1A_007176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGGDEVAWRFGAKNPAMASARVQGVRALQGRVNACVDKSDPRPLVPLGTGDPSPFACFRTAAAAEEAVIAAITAAKHNGYPASAGVPEACSAVAAYLSRYLPYELSSDDIVITAGCNHGIEIMMAVLASPGANVLVPRPGYPLYEARAALSGVELRHYNLLPEKGWDVDIEGVEALADENTVAMVIVNPNNPCGNVYSKEHLAKIAETARKLGTMVISDEIYDHFTFGSTPFVPMGVFGDIAPVVTLGGISKRWLVPGWRLGWLAVTNPKGVLRKKMIFESIIAYRGVSVNPAAIVQGAIPQIIANTDEAFFTNAMNIMREAAEICYQKLKGIDCITCPHKPEGSMFVMVKLETAYLEGIDDDIDFCTKLAKEESVVLCPGSALEMRNWLRVTFAVDPPLLEDGLDRLKSFCLRHAKAKTHTKQATPYEVGGGEVTWRFGAKNPALAAANSQSIRALINRIYGCLDKSDPRPLAPLGHGDPSYFACFRTAAAAEDAVVAAVTSGKRNSYTSPAGIPEACSAVAAYLSRYLPYELSPGDIVLTAGCNHAIEIMMAVLATPGANVLVPRPGFPLYEARAALGGLEVRHYNLLPEKGWDIDIEGVEALADENTVAMVIVNPNNPCGNVYLKEHLGKITEAARKLGIMIISDEIYDHYTFGSKPFVPMGVFGDIAPVVTLGGISKRWMVPGWRLGWIALTDPKGVLRKKKVFESIIAYRGVSVDPAAIVQVKLDTTYFEGIEDDIDFCTRLAKEESVVLCPGSGLGMRNWLRVTFAVDPPVLENGLDRLKSFCLRHAKPNKKQADMEESGGGGVARWRISRISADTPLAAAGALSIRPVLNRIFSFIDASDPRPLLALGGGDPTASACFRTAPEAEEAIVEALLTREYNGYSPTVGVLPARRAVAEYLSRDLPYKLSADDIYLTSGCCQAIEVMISVLAQPGCNILLPKPGFPLYEGRTTFSSLEARHFNLIPERGWEVDLEAVEALADENTVAMVVVNPGNPCGSVYSYDHLTKIAETARKLGIILIADEVYDHLVFGNTPFIPMGIFGEMVPVITLGSISKRWLVPGWRLGWIATCDPKGVLKEAKFNKSLEDYVNITNDPATFIQGAIPQIIANTKEDYFNKIIDLLRNCADICYNKIKETRGITCPHKPEGSMFVMVKLDLSCFDGIHDDFDFCCRLTKEESVIVLPGSALGMKGWIRIFFAIDPTSLEDAHERIKSFCERHRKLET >Et_1B_012400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31620842:31626010:1 gene:Et_1B_012400 transcript:Et_1B_012400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADHLAQTNALFRKNLAIQRRACKTNCCLILFPLLLCGVIGGLQIAINHASSPEAPVRFDCGCSNVSVDENAFGGMDCPSGCPLPRAPRWPPALQIPQPGYRAVNDGLFPFTDLPDASCRGDGSCAATFLVTGGNKTFVENVTNNMFSAQSASVNLSADASGLADFVLNKCIPNLTLSFPIHSGNGTKSRDVGCTEGLMLWRDSTWLINDELYRGYYQGNNMNRTNQIAAAYDFLSSDPGNFNLVISYNSTNKYDYYYDEEDPAPILNEAVSLFTTPNMVQVPRLTNMASNAYLNLRGNNLKMSFDFVKEMPRAARQLSERFDMSPLTGQLPFVWTMELLFPVILTNLVYEKQKKLRIMMKMHGLGDLPYWTISYCYFLLLSLLYVLSFMLFGSALGLTFFLQNNYGVQFVFYFAYMNLQISFAFLTATYFSSVRTAAGYLYIFVSGLLGDFLFRPYVEDVFLSRSWITLMELVPAFSLYRIVYEFSQSVLLRNFISSSGMQWIDLSDPKNGMTSVLTIMVLEWFLFLLVAFYLDRFGSFQNGIRKAAGIFRSRLDGSSSQAAQNQKIQLPEFKASVEMERTDVIKEREIVEQILQESSSGYSVICDNLKKVYRGKDGNAEKIAVRGISLSMSRGHCFGVLGPNGAGKTTLINMLTGFSKPTSGTAYIEGMNIRLDMDRIYTGLGVCPQHDLLWENLTGREHLLFYGRLKKLKGAALAEATENALISMNLFSGGVADKLVGKYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPKSRKDMWKSVKSVKQDRTIILTTHSMEEAEFLCDRIAIIADGSLQCIGTSKELKDRYGGSCVLTVTTAADAEEDEVERLVRSISPAANLVYRISGTQKFEIPKQGMKISEVFQAMEDAKSRLSILAWGLADTTLEDVFVRVAKESDISCVS >Et_10A_002257.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5809343:5809606:-1 gene:Et_10A_002257 transcript:Et_10A_002257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLVEALVGERGQWDPAVRHAAAWAAALALAVSVVSFRAGGRLRVVAGRRRLRREFPSTAARGEHVCVPARMAGRSCDQTTEDIYI >Et_3B_028614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17314743:17315336:1 gene:Et_3B_028614 transcript:Et_3B_028614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVASLATFLIPWISSTFGSCARSGMRTCRAMPAGASTLGSRRRARRTTTPATVNSSKSRPRSLPKEGAVDWTALPVDALCCIAVLISDPMDFINLRVHEGDATPTGASSPGSWRRARRMTTPTMSCSTSLPSVTNT >Et_4B_040005.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7652555:7653391:1 gene:Et_4B_040005 transcript:Et_4B_040005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDGHSLQGHHNHHHHGHGYGSHVGAAGSGPASSNNNDEQDDASPPPAAGGAGAQRRPRGRPPGSKNKPKPPVVVTRESPNAMRSHVLEIASGADIVDAIAGFSRRRQRGVSVLSGSGAVTGVTLRQPSGAGGGGAAAVALRGRFEILSMSGAFLPAPAPPGATGLAVYLAGGQGQVVGGSVMGELIASGPVMVIAATFGNATYERLPLEQQDAEEGAVLSGSSEGTAAQMEQQQQSSGGAVVPPSMYAVLQTPPPHDMFGQWGHAAVTRPPPTSF >Et_1A_007150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31362281:31375582:-1 gene:Et_1A_007150 transcript:Et_1A_007150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRIPDFLGTMKGLAQLNLSYNSFDGDVPVSGIFLNASAFSVEGNTALCGGIAHLKLPPCSNHGTTTGKRLRKVIMTISLATGILSISLLLALFIFCHQRRKLGKEEHTLPNVSDEHVRVSYINLANAPNGFSSQNLVGVGSFGSVYKGTMMIKNQEVVVAVKVLNLQRRGASQSFIEECETLRCARHRNLVKILTVCSSIESGGLDFKDLVFDFVPNGNLDQWLHICEQGTHRGLDLSQRINIVIDVASALEYLHHYRPTPIVHCDLKPCNILLDNDMVAHVGDFGLARFVHQDQASLSDISSGWATRRGTIGYAAPGQILTSALHAFNIDLMVRHKHVMESQSMATYTAFGVLLLEIFTGKRPTDSCFVDDLSLHSYVQVALQDQQVASVVDQRLLPAQDQESEGRTSSSSSTTEMILSCIISVLQIGILCSKEVPTDRLLICDALRELHGVKYNAGVDTDHRALMQFRSLITDDPHGALASWSGDGSNASTPCGWRGVTCGALGRRRGRVTALDLRGLGLAGSIAPSSLAGVTYLRRLDLAENLLTGGVPSSLPLSLELVNLSRNALQGPLPPELGLLRRLQTLSLDRNNLTGTIPAFLGNLTSLTVLSLSSNNLTGTIPDALGNLQGLTDLYLNFNMLHGSIPPAVFNLSSLKNLVLQDNNLTGTLPPGAISRLPSLQMLIVDTNQFHGAIPMSLCNASKLEMIEMSENSFSGVIPDCLGALKNLMALELHMNQLEANVDADWAFMDSLTNCSNLKEIGMAYNKLEGMLPGSIANLSTSLELLDMFANMISGQIPQEIGNLVNLTRFRMNENNFTGTIPASFGRLDKLSILYLQGNRLSGQIPPEIGNITVLSELVLANNTLTGPIPSSLGNCPLGALSLDNNLLTGPIPKVLMSTLFCASFRGNLLTGSLALEVGHMINLLVLDVSGNRLTGEIPVSFGDCQVLEYCYLDGNIFQGKIPGSIGQLRGLVELNMSQNKLSGRIPDFLGTIKGLQQLDLSYNNFDGEVPNIGIFLNSSAFSVEGNTDLCGGIAQLKLPPCSNHGSTTGKRLHKVIMIIILATGILSIFLLLALFVFCHQRRKLRKEERTLPNINNQHVRVSYINLANATNGFASESLIGVGSFGSVYKGTMMINDQEVVVAVKVLNLQQRGASQSFIAECETLRCARHRNLPSNILLDNDMVAHVGDFGLARFVHQDQTSLSDISGGWATRRGTTGYAAPGQILTSKLHAFKIYLMERHKHVRCIAQYGLGNGVSIHGDIYSFGVLLLEIFTGKRPTASNFVDDLSLHSYVQLALQDQQVASVVDQRLLPVQDQETKGRNSSFSSTTELILSCITSVLHIGIQCSKEVPTDRLLIAPWLHPPPFLRSTRGLPPPFIVVLGLGRYGKHRRRH >Et_4A_032914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15517308:15519480:-1 gene:Et_4A_032914 transcript:Et_4A_032914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVMVAAVLLVSSSLVASDFCVCRSDQPTTVLQKAIDFSCGDGADCTAILQGGGCYNPNTVAAHCSWAANSYYQNNKAKGATCDFDGAATVSTTDPTVDHSSFTDTSKLTARSTILSKKYYIKLMPSPCNAAGITSTTPAGGSTTGTLTPGFSTGTNGTMGGSSLGPTGTGNLDAAAPGLLPCAQLAAFLAVAILSFLAL >Et_8B_059731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20991532:20992980:-1 gene:Et_8B_059731 transcript:Et_8B_059731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKRAAERDAASSKLVAIPFTCSGGGDGDGNSSSSASSATSSSSSSSSTCGRRRPSVTTGASSPAASPKSSSSEVPVVCPDYFRYIHSDLDPWRRRGGITREAVESARSRAAFRLVVVGGRAYVETYHRVFQTRDSFTQWGIAQLLARYPGRVPDLDLMFNCEDMPEVRASDYRLADQTPPLFRYCKDDASLDIVFPDWSFWGWPEVNIRPWAPLLEEMEAQAPPWTDREPYAFWKGNPCEDSSSAAIRPPPQGCSCRTGAPPSGTPTWPSSAATATRSSCRADRGPQKYILACDSPVLLVRTPYKDFFSRGLVAGKHYWPIDPKPLCPAIDFAVRWGNAHPQAAQRMAPGRKLLRPGGPLHGLRLRLHAAPAHPVRQTAPLQAHRPGKLNAVELCPETLACPPPPADATREFSFMMESRERYALADYEPCTLPPPFTADELTKMAQNDKRVRDKVNNMMMTTTAQSKQQQHR >Et_5B_044427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2406176:2408623:-1 gene:Et_5B_044427 transcript:Et_5B_044427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSLLAPVAQDPFADLPFPDFQAPPVDDTFAFQDFDLDDLDLDVDFDLDLFAPDGQLSPPPPPLVTSSSSAASPERGSSSSGAGADGGLRNEESSESSSRSATGQDSRCKEKGDGPEDEAKRRARLVRNRESAHLSRQRKKQYVEELEGKVKAMQATIADLSARISCVTAENAALKQQLGGAAGGAPPPMPMYPAVYPLPMPWVHPAYAMRGSQVPLVPIPRLKPQQPAPAAAEPPAKKARKTKKVASVSLLGLLCLLMVSGCLIPAVNRMYGAVDTGEGAAFGPSHHGRVLAVEGPRSSVGEGIDPKPPQNASESLPALLYLPRNGKHVKINGNLVIKSIVASEKASSRVPSYDGKILGSQGNEETSLAIPGYVAPLKAGEVMESTTETKNKLMALVPGDGKIYRDDDGLLPQWFSEAMSGPMLSSGMCTEVFQFDVSSTHSNGIVPVYSNAMSNSSQNFTENLPAGRPQKVNRRILYNEAIPLQGSTPNDTEHLKAHPKNESYARSKPVSSVVVSVLADPREAGDGNNEGRISSKSLSRIFVVVLIDSVKYVTYSCVLPFKSHSPHL >Et_2B_022012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:807825:810570:-1 gene:Et_2B_022012 transcript:Et_2B_022012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGDPDQSNRSSARSLSVFSSSSSSTIGIETENITLLNLCATMMHSLLQDVRCTILSKLSLEDAVRTSTLSRNWKCSWSVCPKLKFNGVKMCGTNICGKQKYVQNFINHVNAVLGPWHSVMEEWFKSLQSKLILTPCWWSISITGLWFPKPKKLDLYLVSVSGKDLEELLSNCCNLEWLSIVRCHLHDELKVNGPMRHLLYLNVVYCNVTKVALHAVKLASLVYNGRQVPIDLNKSSKLDLIRTSINRSSTISCLAFNVSYFSCSILQFSVCAPLYLGDEASRRLPQRPYNYLKDLLVTGFEGSSGQLEFLLYIVENAPALETLTVDRSYKMVKQQQGKQNKWLQFIKQP >Et_10B_003805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6330650:6338635:1 gene:Et_10B_003805 transcript:Et_10B_003805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVGRGPKKSRVAFVLVDGIGDVTIPSLGGRTPLEAAAAPRLDAVAAAGVVGLMDPVEPGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMAPGDIAFKSNFATLDESTGVIVSRRADRHFEEEGPILCAALDGMKLPSFPEYEVRVRYATEHRCGVVVKGPRLSGNISGTDPLKDNRLHLKAEPLDDSEEAKNTAAVVNELSKEITRILVSHPINAKRAAEGKNIANVVLLRGCGIRIEVPAFETKHGLAPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKAKAIAKALSAPMDTPPRVFVPGEDEYKSGKENGYDFGFLHIKVRGLEAVDRAIGQLSRLLWEAEKAGHYNYFLCVTGDHSTPVEYGDHSFEPVPFAICRLSDFVGALGEDNVMNIPLDDFPLPSVKSGEDLADNLELAKGEPLKAFSGDSVCVFSEIAAARGCLGRFPGSEMMGIIKKFIKVKND >Et_4B_039979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7145297:7147475:1 gene:Et_4B_039979 transcript:Et_4B_039979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFDIAGAATASHRPRPASILLKQEGRYSDSRNETTATAKSMGGYTMAVSFWVANPPDLSFFSVRCSKPPNSFKSPDFKVFPHVVGMEGPFVLLRARFYGFTKDEYFMYTAVRDSKQPSLDWIAPPSDDNRDSLSRVREFGIVPCEYGCNYLLVALCDAPSDYKLRLYSSERKSWNTMTLFNPCPEVKRIVPEKVIRTGEGSLGWVDFSYGLLVCDLRQKLPWLQFIPLPEPLPENRGRLKACHPGVSARWFRDLICVNGVLKFIEMEHRFKGTEQLIDPSNRDVLFDSDLIMSLRHRDMDEKHKSRDGWRAFTWTRTVWCDYWSNRCTFDVADILVKESFYPSLLSGLRATSVGKFTFNDMYSAFPTLSPDGSILYLKSMEEPNDKNGWVVTTIDLGNKTMKALGVYSFENPHPSSHAFHLSNLADHLNMSPGIEVSACVKTAKGESSANDPDDKSAANRREIEAYTK >Et_1B_011112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17784337:17787926:1 gene:Et_1B_011112 transcript:Et_1B_011112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSFNPLLITDEPLPLPLPASIAKEEDQVLGLMSAGWTNEKHSTYISSMEASFVDQLYGLQNHGPDANKSHVGNDGFKVIQEGLCKTIRFEGTNARPHNGSQPENTWVRRFRPRNAVVNRRGDGREASIDDYGSGTDSVRKKVQTYGREAKTCAEENIIGKSKEVSDQNFSEEDVEAGDEPCKKRRPTSPKYAMRMSLSQSSLQNGFNQNHITDEVHSYNVKDYAPKTAMS >Et_3B_027621.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:3706273:3707535:-1 gene:Et_3B_027621 transcript:Et_3B_027621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VFAGDADELLSDVASVEESDERGGRALEPLGDVLDVGDGAVPDARHHPLLILRVQVLVVWLDEPLYPQPLDDDRVEAAHCVLVRLRLVVLRDEAADRDSPVHAHVPQRRVQHRAADVLEVDVDAVGEPPRQRGGEVGRFVRVLVVERVVVAEPRLEEGHLLVGPRAADDVAAGELRELADQLAHGAGRRGDEDLLARLRRADLVEPDVRGEARHAEHAGVVGERDSPLAVMADLGEELGDRGLDDAVVLPAGDSPDEIPRGEARVGGVDHTGHAVAGDDGARDEGGRVGLTHRPRHLGAHVRVAREVEVLHQHGARGVVGAQAEGDLDAGEQLHVLLPRVTLHIILEDHAPVRRRRRHLSALLSSCSGALLCSADLWRGLELATVVLALLAMLCSASSDLPCRGGDLDSPPYLKKKIDDA >Et_9B_064397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14818184:14822008:1 gene:Et_9B_064397 transcript:Et_9B_064397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAASSLRRLSSSSSVRSLRRALPHHPPPPPSAPPPLPPLRTLTRAFLPHLAAGPRFSAASFSTSAPSPLRQCGVTVPPSIPEATEGEEEAEALVRHDTDAYAAVELALDSVVKVFTVSSSPNYFLPWQNKAQRESMGSGFVISGRRIVTNAHVVADHTFVLVRKHGSPTKYKAEVQAVGHECDLALLTVDSEEFWNGMNSLELGDIPFLQEGVAVVGYPQENRMWNKIYDSKFLIASGGDNISVTKGVVSRVEPTQYAHGASQLMAIQIDAAINPGNSGGPAIMGDKVAGVAFQNLSGAENIGYIIPVPVIKRFISGVEESGKYSGFCTLGISCQATENIQLRECFGMRPEMTGVLVSRINPLSDAYRILKKDDILLEFDGVPIANDGTVPFRNRERITFDHLVSMKRPGETAILKVLRDGKEQELSVTLRPLQPLVPVHQFDKLPSYYIFAGFVFIPLTQPYLHEFGEDWYNNSPRQLCERALRELPKKPGEQLVILSQVLMDDINVGYERLAELQVKKVNGVEVENLKHLCSIVENCTEENLRFDLDDERVIVLKYQNARLATPRVLKRHRIPSAMSSDLVEEQAANGEMEASCTS >Et_2B_021125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26772472:26774649:1 gene:Et_2B_021125 transcript:Et_2B_021125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFVHRPPRPKYAAALLSPSCLEELRRGVAAVRAFDEAGCLAHFCTPLAGVAVKRRPRFIRMLHPEDLEKMKVPDKFFQEHLTESGPSCQKATIFSRLGKFWHVELDPDRPGVLQGDGWEQFLKAHDLSEGNVLLFRYEGNMLFRVEVFLQSGLLEECEAAAANRTDDIAGPREPQEGSNQPGVSDVKMKRKNTNENAYVDGSEKKPKGSLGPTNKVASKKKLVPQRSFTKRITGYDLKSFLAVKLTICSSVGLLGACEITLKTSVDKIKSWRVSFSTANTFGYLWGPGWKRFCQENKIKEGSCCTFNVVKTRVWHVTIASS >Et_1A_006784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27552792:27556630:-1 gene:Et_1A_006784 transcript:Et_1A_006784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRGHRRSASQDEDNVGCVWGLMRMLYFRRDPKFLLDTKLSARHAYREINEREHSMKRSREFDEIEEDGNTEDHSLQKPTVKNLIADELGKVKLLKKIPNDSQRRLSEMRNDVPLDGRSKQTSKQAEKSHDHRDMGVPLSQSVDEVSNDAEAYDLESVLTNMLGEIYSCHNECPHGDCKNKNELCPSLKSLIHKKVNDLNNRPRNIDRGLSQESNDGKLLNQKSLSNTMAAQSKQLKDALEILSSNKELFLKLLQKPNPNTVDNIQKQQKVKDGFEANKIHGQTSFVEERRGSSEHQWATKEQAKESKYIFFWRKDKSNRREMPETTDGAQAVSKIVILKPNPDRGIDPKANTSTRGLHQEPSKLHAPESSRKETSKFSIKEVKKRFRIVTGDSKRERNVVPAENPQRDPHAVKDSVIAIKKDFIHRPEVSLEDKSASKFKNDTRPLISGKEKQQIDCISEINGHTVGPKDESFFYEEAKRHLLEMLKDKDGSMKRPTVQFPKSLKGILSLPHRNGSTPEDSLRGRDHHLEFSPKEKGVCHACNAEREECPQERSLSPDGLGHIACSTSSTLVDKVTVQEGCCINEAQEGPTHITDEAEGTSTEEIDKFDCCARTDNTQCIPAEQRDDAEQGILEETEQGKEHVKMSPDSPENMVEQLEEQEPETPEPRASTKIISISHGSPEQSSESKEKPSPVSVLESFFDDFGSPDCIDKKECELNEDLHRTLYFGADVSDVIWEDKNARLDYLKLVLELSELCAEQNLEVWYLEDELISPCLFEELPNQGYQTDDLKLLFDCICEALTEIQERYFRLSSWLSFLKHDTRTPPVGENLIVEVERYVSRYIQCSCPSTNEQIIKKDLEIQTWMDIRSKTEGIVMEIWEFVLDELIDEAVFDLWI >Et_2A_016897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29223786:29226424:1 gene:Et_2A_016897 transcript:Et_2A_016897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNIGVKGVKDRATNVGCSRLKIFLFPYGNGFFCTFHISVGEHFAIKEGMDRTDARRTTKDVIISDPVDYVTPMDIIDKTTHRDGSIFKKNLGFLELFHITNRDETRLEPMMLSEPNTDCVLDEKRCIWHGPSRMMQIFSLKLAQIPIGNTSIKLYGYIAGSLIEMTGPKRGITMCATVLLEFDMRIKGEKEEDDLQLIDGESEFSDFAPYCMITGRINGECGAVDITLAFVDNAVEATIEVEISKVQNALSLSPSSFVFIYGLHEEIQLFHGNIGEPCSRRRFVIAVEMNTWMHMKFKIGQKGSKKYLERYFSFEASNHGCNFQQVMCDLASISAKVTWSTLRF >Et_3A_026730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17492593:17502079:1 gene:Et_3A_026730 transcript:Et_3A_026730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEASKADKKVHFRDSSSREVMVTYKRRRRQQAQPQPLAVAEERAQPEPQQQLQPPLQAQAQAQPEPQPRPEPEHNARDVPEQQNKVTFWKSKDMGWKYGIMIDENRQHWKCMYCGLIRYGGGVSRLKRHLAGDLDVKMCPKVPADVAEEIREHLRKKRERRRKRAAQNGGNNMKIKSSSDDGVIKKDLLPVDLDTNVLEEVTNQTNVVHQDPTCPRIPLLRTKDIGWEHAVDLDGNKRRWQCKFCSLCRSGGVTTLKAHLTDDCPNVPEEISKKVKNFIQEKKATRLLFSNFAFTVDEDQVSDTQIQEEGTIQCENDQQPSRNATHKFPINEVGTRTNQCAAENKGQPVEHCDQPEEQCAVDDGMMHQISNKQQTSNKSTKNSKNTKPIKEERPQEIILFSDTDKSEKQRSYYWTYVLDGLMHLPDVQEGAGIWTCIRDALLYGCAQFGTVPDTVEQADGTVNVNTANCQNVWEDLKIAGQHIIGLANSLSSLTETSYENLVGRESESGDNELKGAMVTCSGPTNLAESNALVPSSSQGVDQLQHSDPVDLDQSDQLDVFGKQMDSTCNQCGKEARGRRVLTCTKCMGSCHISCIEPPVPSISPESWFCRTCSMEKPIEGDMILADYQPNCLHENCVACDRLEGCSSPKYEDPPNDDSKAVVIYRMCSVEDLELPEIDTVGSCKICGDPEEEDKRFLICGHTHCPYKYYHIRCLKSKKIASDVQRDKPCWYCPSCLCRVCLSDKDDDLTILCDGCDEAYHLYCITPRRTSIPKGKWYCSSCNMERAKGRMRQYERRMLKLHRKVDTGLQSRNHDGINLLLSAAEQLSADEQLVGCTN >Et_3A_025986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34379646:34383481:-1 gene:Et_3A_025986 transcript:Et_3A_025986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPTLRRLLAVGRHRLFSATASSPSHPAASLTPESIIYNLSSLSKDPSRALAFFRSSVAAGHPVGSAAYNLMLRTLASHPSSAQSHFWAFLRGMQDAGHSVDEGTYLAALASFKKANLAADYASLTAHHTKARDEAKAATPISAAAEAVRENDGSELDKKLEAIDLPLSETAVAKVLSKLKDYPIKALAFFRWAGRHKGYTHGSVAYNAMARVLGREESVQEFWELIQEMKAGGMHVDIDSYVKLSRNFQKRHMLKEAVELYELMMDGPFKPSQKDGPLIIRRISLGPSPDLELVNRVVSKFETVWESMTKEVFDGIHRALTSNGRFDEAAEIVQRMRAEGHQPDNITYSQLVFGLCRVNKLEDARKVFDEMEAEGCTPDLKTWTMLIQGHCEAGDVDKALQFFTEMIEKDLEADANLLDVMVKGLCSQDKIDAAYALFVEMVDKAHLKPWRATYKHVIDELLRFKKLEEALALLRSMKARKFPPFVDPFPSHIAKYGTFEDGREFLKALAMNNSPSHTAYLHVFKSFFEEGRYSEAQDLLYRCPINIRRHRDITKLFESIKAKKFLAWCVFFDNGE >Et_2B_020797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23705288:23708844:-1 gene:Et_2B_020797 transcript:Et_2B_020797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCHTTPQCGRAPPRGARGETRGAAVVRCARGGPARASGIEASASAPARAASAEAKAAEGPSLAERLRLGSLLEDGLSYKESFIVRCYEVGINKTATVETIANLLQEVGCNHAQSVGFSTDGFATTTTMRKLGLIWVTNRMHIEIYKYPAWGDVVEIETWCQEDGRIGTRRDWILKDLANGEVIGRATSKWVMMNQNTRRLQRVSEEVRDEVFVHCPKTPRLAFPEENNGSLKKIPVLSDPAQYSRLGLVPRRADLDMNQHVNNVTYIGWVLESIPQDIIDTHELQTITLDYRRECQHDDIVDSLTYIEEREEKSMNGSAFAALHEEEQQQQFLHCLRFAGSGDEINRGRTVWRKLAR >Et_3A_027341.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:8262222:8263637:1 gene:Et_3A_027341 transcript:Et_3A_027341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTLPVLLLLFALLLAGQRGCCAGGFSVEFIHRDSARSPFHDPALSRHERMLAAARRSIGGGAGEEAAPPPAAVDAGGAMSEIVTRSFEYLMSVRLGTPPRQVLAIADTGSDLVWVGCRNDSRSRGGVGVSGDSSSSSSPTTMPRAVFDPSQSSSYGVVSCTSDACQALSEATCDAASNCQYQYGYGDGSHTVGVLSTETFSFGGNGDVPRRVDGVEFGCSTYSAGTFRANGLVGLGGGASSLITQLGAKTRLGRKFSYCLVPLGSPTNASSALNFGASAVVSGPGAATTPLVDGDLPAYYTVELESVAVGGATVTASNNNNLTTSSSSSSSRIIVDSGTTLTFLHPALLDPLVAELRRRIALPPARSPQQLLQVCYDVSGRQDDEDSWGVPDVTLRFGGGAEVTLRPENTFTLVQEGTLCLAIVPVSKGQPVSILGNVAQQNFHVGYDLDARTVTFAPADCARATASS >Et_2A_015085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29129886:29130185:1 gene:Et_2A_015085 transcript:Et_2A_015085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASMSKKASSFVVTASMSAVEALKDQAGLCRWDYTIRSLYQRAKSSSQAAGSSSAAAASAGGRARARRPEEEKLQKAYHLVCWGPN >Et_8A_057569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4635746:4639927:1 gene:Et_8A_057569 transcript:Et_8A_057569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSIHIRLAADEIHQTLDASSAAASYSSDDAFVPVFRPDPSNTSASAAAAVADRVRSLFRSVEIDLLCDALFAPRSEVLGLTEEFADDGDASIHWDCLEFEDAEPDLPLVASAAGDEFEWEEVASASVSGAGGEPAEPEWEVLGDVPPPAASTTDDDEGFVYTSERESYEVLVSGGEGLFLKNKPPAARSAVEALPSAVVAAGEEGEGEECAVCRDGVASGQRVKRLPCSHLYHDECIVPWLQVRNSCPLCRFELPTDDPEYETWKAGRAVDSRLGIVGYISPSKNHASVAQRKLLFASCSAIPSFPLLR >Et_3A_025474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30066718:30068156:1 gene:Et_3A_025474 transcript:Et_3A_025474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLTQAHGSVTAAHVSGTLSPVAAFHRRVGAAAGRSAKRRRELLNQKGRGEESGTPQGSENGKWDRRRGTVSSSSRTDLAPESQHREDIVMENSSKKIRAKRREGKDEMQNNGLDECPAGEDNNSSLSQTKKRAVLEEQLYWARLEKQKCLALSAEADETIWNLAALARRTMQERDEARMILANFQARNAQTTMLQTFSGAAARAGVFAATGNSQALAPTTTLFRPSMVMQRHDARTVTGYCMPSSSNFGHMNFAYSVDPPPLHGFTSLLQEPFDPDMFLVDAVDSPQDAVLATAGSSGLEKNSRA >Et_5A_042615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22103845:22107484:1 gene:Et_5A_042615 transcript:Et_5A_042615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGALELPCLENATSISLDLGFLVLALPPAGTFARLTELVLRRVRFHGPSVLGDFVSSPQCPWLQKLDVRDVSGLVNLTVQSEYLLNVELRRLGELRQLIIDAPGLKELKLLNNYFYRNHHTADLSAPQLVSLELSDPYVPSSIQLGNMELLQRLTTSFFLVYGREGFPSNKTILELLTRFRDIQKLTFALAFPQVFGKKASELNRRFRLWCSWAS >Et_4B_037412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19606086:19607434:1 gene:Et_4B_037412 transcript:Et_4B_037412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFVDWIHFAGDAALASPVLKEVNAGCNTIAGYLGISSELTIAIKFESPAAMMYDDRKKMKTEDLKSVSPWRFQRNDVDDDDILIDKAT >Et_2A_017230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32204226:32206476:1 gene:Et_2A_017230 transcript:Et_2A_017230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMVAMTAKSKNSVLAVEKKQGWSIALPELRFPWDSHEDKSFSLSLQGSAHAHGGLFASVGLKVSTGTPAVATSPGEKDVKIPFADHCVKYVSEAVGYQAVATEAEPVEEEEVDGKTRKKAKKRGMKLKIKIGNPHLRRLVSGAVAGAVSRTCVAPLETIRTHLMVGSNGNSMTEVFQSIMNTEGWTGLFRGNLVNVIRVAPSKAIELFAFDTAKKFLTPKADESPKTFLPPSLVAGALAGVSSTLCMYPLELIKTRLTIEKDVYNNFLHAFVKILREEGPSELYRGLTPSLIGVVPYAATNYYAYDTLKKLYRKTFKQEEISNIATLLIGSTAGAISSTATFPLEVARKQMQVGAVGGRQVYKNVFHALYCIMEKEGIGGLYKGLGPSCIKLMPAAGISFMCYEACKKILVEDDEESD >Et_7A_052484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8581541:8584662:1 gene:Et_7A_052484 transcript:Et_7A_052484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDQNGSASARDDRVDEEEEATARSRSRSKSIDADGDGREKRSGDRNHRGKSKRREEEDSESSGEDSSERRKRRRKEKERRRRRRSRSESSDSSSESESESSYSGSSAESESERKEKERRKRKEKEREKKRKEKKKKKEKEKDLGKKGAVTNSWGKYGIIREVDMWNKRPEFTAWLSEVKQVNLEALSNWEEKQMFKEFMEDHNTATFPSKKYYNLDAYHRKMMEKEQKKGIKTMITERTVFNDEEQRRQEMVKERERQKAEEVEAVKRSLMQSGMAQAMKEQARLREEMAYQYRLGNHQAAAAIQKRLDPDAPLQ >Et_6A_047968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26407451:26412913:-1 gene:Et_6A_047968 transcript:Et_6A_047968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQWMLYKECLRDAQVKIAEVIVDVVKNDSTNNDEIAANLLETEMLEDEPIDVLTQEVPECPNVSPVRGHVNAVVSPADVTDNCMPVVTNDFPDNTFEEEDREREEENNVEQLLASDSDSENEEMDEHAAREADGNENGEEEIGGGTHVDGEDLRQGEVSGEGGGGAHVDGEDVRQEEVGGPADVDGSYVGMERLRRERERVERTPNAEINWSSIYSEKDRRALEAMKVLVVVRRQMVPHPRFPLLDTTYDEKHRAHLMAWHFEDEGSLPTVGSDRRKERGAIDWAEKHQPYLVLWQQRGNNTVWPTAGPRRKASAFKEYLEWFHQVSRVHLRPALSAAHIADLPDSDTDDNLIDDYDAATRVGTQPERAPLPNYTATQMGRLANEAGYALRVPQGSAEELGALRGFAQRVRRSYRHLALKLNCIQHHDVVVGAALEVAPSRTRSGSRTRTPSTSTARNVADLSRSRDSSSDSEDGGSDNDEDYDASYVAPDEIGFSQLHDAPGSSQASARRAPPRRRKEVESGYVLPTAPGRKRKKKKPYTPGA >Et_7A_050516.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2130944:2131045:1 gene:Et_7A_050516 transcript:Et_7A_050516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVFSRSPSRASPKSATFGVKSAARRMLAGLMS >Et_8B_060749.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:595266:595595:1 gene:Et_8B_060749 transcript:Et_8B_060749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKEVLLVEAWGEVAEGRRVLGNACAQGQSVVRRGGAGARRRWELFEYQHGETDAVLDRLQQCAQEAADAAEGLDKCLGQQINGDSALRRQLCQGGGGPARDAGEGGQ >Et_2A_015382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11816711:11819893:-1 gene:Et_2A_015382 transcript:Et_2A_015382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGLFANDGSFMEKFKQMQQEKEKAAAAPKPVNPKQPVVVAANKRPLEVKKAGPIGSGGKLAFSLKKAKVAVAPVFAPDDEDEDAAEVEKEEPAKRLKSVQAANPAAAAPTGAVAPPPPNDMTVRQVADKLASFVAKNGRQFENITRQKNPGDTPFKFLFDKSCPDYKYYEFRLAEEEKALSQSKEAEASKNANTGSAASSRAPSGPPRSSFEHKSNYQIPASALYGAYEGSSSQGSSSSHGDSNMSAPSDPVALMEFYMKKAAQEERKRPPRQSKDEMPPPPSLQGPPKKGHHMGDFIPQEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSEKRGRADPIMAGDVKKDHLGVGAVQPGEVSSEDDIYEQYKKRMMLGYRYRPNPLNNPRKQYY >Et_2A_015564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15043883:15047426:1 gene:Et_2A_015564 transcript:Et_2A_015564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLPHLGKLRREVKEEVVDADGASAAAEASPFHKRSRLAHQQHPLQQLSRGGSRVSHEQSSQHEFLDEPSPLGLRLKKSPSLVDLIQMKLAQASKATDARQGSNTAASEKLKASNFPASVLRIGTWEWVSRYEGDLVAKCYFAKHKLVWEVLDGGLKSKIEIQWSDICGIKMFCPENETGTLEIALSRQPLFFRETNPQPRKHTLWQATSDFTGGQASLHRVHFLQCPPGLMNKHVEKLVHCDPRLYTLSQQNDITLENPYFESKCSIFEDPEDVKCQTFEHKDGNQLGTRRPNASSSPLSAAASIDAEARQQVSVSDVLPGHFPSSVAGTDMIKPDAAVAQCEPQPSGLNWNGIRVPGIKRTMSRSEIVNHIGHHMFRQMYPANLPSDDASVKPTFDEFTRYLLSDSQMTENGDSTNCGLSFDELTRQLLNESQITDGTDEKMLMSRVNSLCCLIQRDSGLNPAFATPGISGTNEVYERKPENNGPLVREEGGKGSLPPRQESFGDLLSNLPRISSFPHFL >Et_5A_040557.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:9567888:9568610:-1 gene:Et_5A_040557 transcript:Et_5A_040557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEPNPIRRRWHHSPVHDDDLYEILVRLPPRPSSLPHASLVCKQWRLLASTPQFLRGFRTFHRAASPLLGIFHNSYLGGPHRRFVSAVDPPDRVPAALFRLPCGLDHQNWFILDCRHGRTLLLGSHDRRPREALVWDPMTSAQHRAPLPPGAGDVRHDAVLCACGNEDECRSSPFDVVLVWWKQEAQCSRAVAAVYSSESRVWSEIVSQPFPSTMLSAGSVRKRGTWGLTIFRSPKVK >Et_5B_045438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22305888:22309884:-1 gene:Et_5B_045438 transcript:Et_5B_045438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVHRPRGDVIASEEVPAGTHDSTTRRRLPLASASATSSSSATSSKEAVAESAQWSSSWQPLPRPVPRRRRVGKTTAPSSTDAAAVSASARTRVTWPPARTPRRGLVGSNDASALSLSSPKPRCPTREDSALGWMGSLRSGPHPRVPPPQRRGAGTDAPPSSPTSAARASSPRRSVGFDASAARAATRSSASPASPESQPPASATASSGTPLPPTKPAAASRSAPLPPRTKPAPVPRRAPRADQTKLPTGSTGALPPPPPPTKPNPGASPLQINTSALTSGALPLPPHLAVFGQRIIEVLFNLNYGHLGYAGVVLLGVYAGLKELLSGWNAFVKVSYILLLILGAASLGAGLMATTSIAPTGYTHQVSSVCSRLCTCLATFVFIVALACHMGSDGYIAGIILGVVAICYIVSVWLMGDPAAYREFIRIRTGIKYLWQRYKWTVYSNMKYSLN >Et_3A_025084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26795578:26801258:1 gene:Et_3A_025084 transcript:Et_3A_025084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRGEEQRGAAAFLYAMAVLAAVLGGGAGVCAFEDGTAVYIVTMKQAPVFHRRLDLERFGSSRIANTGGSSGDTPSSSVLRKPRNSSPKPMNYGSYLVRLQNSLLKRTLRGEHYIKLYSYRYLINGFAIVITPQQADKLSRRKEVANVMLDYSVRTATTHTPEFLGLPQGAWVQEGGPQFAGQGVVVGLIDTGIDPTHPSFADDLSTDGYPVPSHYSGICEVTNDFPSGSCNRKLVGARHFAASAITRGVFNASQDLASPSDSDGHGTHTASIAAGNHGVPVVVAGHNFGNASGMAPRAHIAVYKSLYKSFGGFAADVVAAIDQAAEDNVDIISLSITPNRRPPGLATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSYSPWIFTVGASAHDRVYSNYVVLGNNLTIPGVGLAPGTDGDGMYTLIAAPHALKNNATSPTEMSLGECQDSSRLDQDLIRGKILVCSYSIRFVLGLSSVKQALDTAKNVSAAGLIFYLDPFVLGFQLNPTPMPMPGLIIPSSDDSKVFLTYYNDSLVRDETSDRIVSFGGVAKILGGLKPNYGNSAPKVMFYSARGPDPEDNSLTNADILKPNLIAPGSSIWGAWSSRGLDSAEFAGESFAMLSGTSMAAPHVAGLAALIKQKFPSFSPAAIGSALSTTTTLSDRQGNPIMAQRTYSNPDSTQTPATPFDMGNGFANATAALDPGLIFDTSYDDFFSFLCGINGSAPVVTNYTGNNCLASTMTGADLNLPSITIAMLNQSRIVTRTVTNVAADESYTVSYSAPYGVAVSVAPTQFFIASGQKQIVTFVMNATMNSSAASFGNVGFYGDKGHQAIIPFSVISKIVYSS >Et_1A_005136.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28739206:28739271:-1 gene:Et_1A_005136 transcript:Et_1A_005136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGARRSAGRGYWRRGRARI >Et_2A_018525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31718436:31720548:1 gene:Et_2A_018525 transcript:Et_2A_018525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATRELFTPAAVPVLVLAASLAAVLYTLRRLRAGGPRLPPSPFALPFLGHLHLLAPLPHQALHRLAARHGPLLYLRLGSVPAVAACSPDAAREVLKTREAAFLDRPKPSAVHRLTYGGQDFSFSPYGPYWRFMKRACVHELLAGRTLDRLRHVRREEVARLVASLSSHAELGARVDVDAALMGLTGDIVSRMVMSRRWTGDDNDTEEMRSVVAQTAELTGTFNLQDYMGLFKHWDVQGLGKRVDEVHRKFDVMMERILTARDAERRQRRKEEGAGGGEKGDKDVLDMLFDMHEDEAAEMRLTRDNIKAFMMWALSELINNPSVLRKAQAELDAVVGTSRLADESDIPRLPYLQAITKETLRLHPTGPLVVRRSLEPCVVGGYDVPAGATVFANVWAIGRDPASWAPDPLAFRPERFMEEEDGGGENAGTDVRGQHFHLLPFGSGRRICPGASLALLVVQAALAVMVQCFEWSPVGGAPVDMEEGPGLTLPRKTPLVCTVKPRLHPLPVAAVGDVVTVTSALVF >Et_7B_054461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21582366:21584640:-1 gene:Et_7B_054461 transcript:Et_7B_054461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCESVLNDEQMADDCSWLQCRCGVCCRGVKSSGSSAVFTAECSHSFHFPCIAAHARSSSSSGVLSCPVCASPWRQAPFLASLRLHLHDDARKPAPSKLYDDDEPLVAPKAAAGNGGGFNPIPEDDGEEEDDFKGFFPGGPNPKSRGRRSSSGLAVSVAPEAALVSSGRRHGKYVVAVKVKAPGIVSSKEQQQRRRAAIDLVTVLDVRQGMTGEKLQTLKRGMRLVVASLGPADRLSIVAFSGAAKRLLPLRRMTRQGQRSARQIVDRLVVCASAASSAGSSAASQQEQQGGCVGDALRKATKVLEDRRDRNPVATVMLLSDTTTQQQPQQYQERRKPAPVVRRPAVAPATRFTHVEIPIMDAPPPRPSPHEKEEEHAFAKCLGGLVSVVMQEVHLELLFPTGEITAVYSSGPGQQAVSVAGGNGGAAAVTVRLGEMYAEEERELLVELRAPLQGGHQHSLSVRCSYRDPASQDTVRAADHPPLPLPPLQASSRRLHDLFVATRAVAEARRLAELHDLATAVHLLSSARALLLQSPPSQQRQDLVASLDTELSDMRWRRTQQTTPVGTPRAGGSSGPPPPAAAGEPLTPTSAWRAAEQLAKVAIMRKSMNRENLIIVGSSINGDRVTGHHTTSVVLASVYAKPTRRHAR >Et_10B_003576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3327860:3340604:1 gene:Et_10B_003576 transcript:Et_10B_003576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDLAAHRRTPSRASSSEAGNDENAPAGDAATVIAGAAATGPDAATRPPLLAIQAPASGVKRKPESPAPTPSKLPFRTPEKAAARSRVNKPAYGAGTSGSRPPMSSGARGAGLGLGLSAAGRGAPLSLVPATVNSAEVPHFELREDPSFWMENNVQVVIRVRPLNNNEKNTNNYNRCLKQESAQSITWIGQPETRFTFDHVACETVDQEVLFRVAGLPMVENCMAGYNSCVFAYGQTGSGKTYTMLGEISDLEVRPSPDRGMTPRIFEFLFARIRAEEESRRDENLKYSCKCSFLEIYNEQITDLLDPSSSNLPLREDIRKGVYVENLTEFEVGCVGDIIKLLMQGSANRRVAATNMNRESSRSHSVFTCIIESRWEKDSTSNLRFARLNLVDLAGSERQRTSGAEGERLKEAVNINKSLSTLGLVIMSLVDLTHGKQRHIPYRDSRLTFLLQDSLGGNSKTMIIANVSPSVCSANETLSTLKFAQRARLIQNNAVVNEDASGDVLALQHQIRLLKEELAVLKRQHVTRSLSFTTDIFERSGGDVDDGGENLSVNAKNDEDVHYRCSLQLKSLEEALAGALRRESIAENTTKQLEIEIEQLNRLVSQREDDARSAKMMVKFRDETIRSNEALIRGKLSAESYLLEENKSLLKEIELLRTRVDKNPEVTRFALENIRLSNQLKRSQHFENEGERELLLNEISELRNQVSQTLQGRTDSQTEQQNNFSANFEDSQLHCSTLATDAEILPMEMKDCSDEGLMRIEDLLNLLVELDILKTVLAEERTSRVEVEERSASLCDKLQAANLHILQAREQSEAMERELTLAKSEIESLESHRIMAMEVSRLNNELDIYRRKEYLVFEDSKVQLLKCSSNEDSPLQRKLKRMQASLEKARDLNTRYQRDQAYHNSAEQEMEEVRMQVELETAEVIMCLQEEQLSLQQQLEASNKSELLAKQSLEELQLEGKRLNDKLVEVLKENENFSSVIKAKEREIELLTNDWDRLADDIGSYLVNGNAALAEASDQVASISKSFSQRKWVEDQVQKMCRGISERDRLLEDLQNKLKEADGIRCDMELKLKSLRGAMQAINEVHQQEKGYQERELCLLRSQVTEQASVNNQQVEQIHKIELLLDESLETLIQKVVLEQSYVSLQRGMKEEICQLETQLDQSKSHLAQLLSQTQDKDHAIEKLKNEECTVLLRLMSDVLKAKGIIQNLGVGFNELQSINASPEETVCQNSDLTFEDRDDPKTFEDFQPSEQINSEVLCRLNKEMDYVVQQLQMMQSQMATFLQEKENVKEFYCKSQRSMKDLSDEVLKLNSDIIEKERYYKSRLEELEMKVQEKDDASAASVISWNNEKEALENEISEAKILAAQKSCEALTLFVKFEEAQATIIDADSTVKALVEANENAKLEAEKYKVKEALFITEKDDMLSEINSLKVQLDMKEKKYELIVKKFQSSMFEAKELALELHDDIRHLQTLLSEKLDFVSSDVQWMKTELQQFAELTRTWLEENWLAIIGKDCAISVLHLCHMGILFERITGLNAENGFLQCGLCESNSMITKLREHNDKAKNELEMCSALKGKLLLDINHSFSRIAKKEQEATELNTRLDSFGKKILHLQAQEEALVARSNSMDSELCILIKDIDAMNRSVSAAESKEKEELQHQLNEAWLLSEMLKEKMLDEFHLLQVSESKSSNDIDGCSEFEYCSWHADYRTDLMITTILAKDIESTVLALELRQHKQKLQKQGVVFTDALEGLKAEATLSKVDHDLKMIELCSLNEENCKIKNDLEILKLKNVEAMENLHAVNKENTKLKYEIASLESSIISFQSDLDIKDKAFTELEFSHETMCREIIARENDLSHENEMLKQKMLDSLCTEHQMLELMAKIDTNRLFLTINESFQPVIDHVQNYISEQINMVIKLSNELDIIQRSAQELSTQNSLLQSELIRKDELAKGLSFDLSLLQESASVAKDQADELTVAKKSLEQALASKSLELDAAVSDRQLLEGQIRKGNEKVTALEDELNIVSMQNDELKSKLEHIEGISRTMEEELADKVEAIGRLEEELIELRSLIEERNSNFQSLQDDLSKILDDKQCCEAQVLILQEKLEMAQARAEESEAIAIESRQIAEERKVYAEEKDEEVKLLERSIEELESTVCALENKVNQEISSIFAMNIDYLFSTMTLVISPKVEKIEEEAKRHRMLQEELEVELEKVRQQMLAVPPSGKSWRSLEDGVVDLAGSSRHHRDVHNELLASQESIKILQKEVFEKESEISQCKAHIAELNIHAEAAAQEYKQKQMNSEKDEELSAAKQRIMELEGLAASRQKERSIVLPTELCSFIFMLNAKLATTESMTHDVIRDMLTVKMSMTTCAALVDNQQKMETKELFVSQAHESKEPSNELMKLRKQLDEFIEERQSWLDEMNQKQSELGSARITVEKLRQREHFMVAEIELLKAENSNYKTIILNLEDEVKKLTRQQNLQLRINHHVKTKEENALLKRQNEELSTKLKQLGANLARTKEELARYRVSNGKNPYEQIEEEELLRKKLDESEQDRSRLAGNLSGLCASILKVAGVQNPESDSSLLKASECLNELQYRIGSLQSEVEDLKLKCKLFHEKARLSELRSDSSSWSSGTKEHSASPSLCRSPSISSFP >Et_1B_013395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8013768:8020630:1 gene:Et_1B_013395 transcript:Et_1B_013395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASPGEINQPILPRHVGDSSRRSYAQSCRWCGVAAVVVAAVVTFIWYLVQVNMPLEYTVAITGVSGLDPVADLQQGRGLLNPVFNLTVGIASPSAVSGGCIRPGTAIQVSYSHLHIPVAGGRAPDMCVGPWKSSVPRRAFAGGHGVALPGFLVDKLAEDISRGDAMFKVKLTGLYDAESWTTVTCWVRLGDATGAALKMPCKETWRRIDIVPTEDGGYVPQPIPQGASSRLEGRLEVCINTSVVMLIVVSLFGAAMYFLVWRILQTSTFPDYTVAIDAVSGLNPATDMQQGRGLLNPVFNLTIGIAAHTVVKENCIKPGTGVLLLSPPPARRRPRAGDVRWVAPPSSEQRAAVARGHDVAIPRFLVDTLAEALRRGEAIFEVKLTSLARDDEYGGAWDVVTCLVTAGNSSTSKPSCRLSSESSDSIPVPQQGDSSYVPRPTVPADAPYSIAIEAVSGLNPATDTQQGRGALSPAFNLTIGLASHSALKGGCIKPGTAIRVSYSSLRLPLAAGRAPEMCVGRRPSSERRAAVARGRDVAIPGFLADTLVEELQRGEAVFEVKLMSMDDEDGECDVLSCLVRAGAAATSKTCHRSSEPFDSVPVTEQGDSGYVPHQVPADPPVQH >Et_4A_034418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32393950:32400179:1 gene:Et_4A_034418 transcript:Et_4A_034418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKEALILLLDVGPSMHGVLQEVKNICSTLVHKKLVYNRSDEVGIVLFGTKETCNELAKELGGYKHVTVAHDIKVVDEETAHALQNLQCGTVSVLDAIVVSLDMLIRKFGDTKGKRCLCLITSAQHPLRDPPEGTKEDQVDTIADQMKKHDIKMECIVFRERGVHHSTVMEENDRLLYQFRDRSVAKVVQVDSPTSILGALRTRNVLPVTVFRGDLEVSSNLKIKVWVYKKTSEEKFPTLKKYSDKAPPSDKFATHEVKIDYEYKSVVEPDKVVPPDQRIKGYLYGPQVVPISSAEWEAVKFKPEKGVKLLGFTDRSGISRHHFMKDVCSFIPEPGNTKAALAISSIARAMQQMNKVAIVRCVWRQGQGNVAFGVLTPNISSVNNVVDSFYFNVLPFSEDIREFNFRSFGSLPSSSQPTEEQQEAADNLVKMLDLAPPGREVLKPDFTPNPVLERFYSYLSLKAKQPDANVPPLDGCLRRITEPEPDMIDHQAPFIQNLGKSFELKDNPKKKKARTQDRLAYTGVDDQAKSVQEPSVEKNGILAVLYPQTENVGEIGDLNPVKDFEAMLAKRSSSAWVQKAMEEMQKYTTALLQNSCEGDNYQKALECIVALRKACIIEQEPNEFNQFLTKIYERLKKGDVVDFFQLLSSKNISLISKEEAPDSDVTEETAKTFYLKREAASQ >Et_5A_042748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3510875:3513494:-1 gene:Et_5A_042748 transcript:Et_5A_042748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPPPPPGVSVSTIAATVTATTGCHMLKFEGYKLIKRMHYTGNGVVSCAFEAAGHTWRIQCYPNGISHYNSDYISLFLVLDAADAAAGGVHAEVKFSLLRHRGRPRSKSFVNTFWKGEPRGYERFINRGRLESRRSGFLEDDCLAVLCDITVLEKSAVKAPTVQPRDLDQMMGVVCDCTDDLCKRHHVRTIPAEPRKPFLKVLPSSEKKDSLKIRCCFNSLRARGVKKVNGDINLRTESSSFLFSQRCNIDPISFGKFSNKVNFGTTTILGFMF >Et_10B_002640.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19879379:19880029:-1 gene:Et_10B_002640 transcript:Et_10B_002640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPILPTSVPTASPAPAAVSSDDAAASTPAAAFAFVGRLIESAKRSLSGARPWPELVDRSALSRPESLSEATSRLRKNLAYFRVNYAAVVALSLAAALLAHPFSLAALLALLAAWCLLYLLRPADAQPLAACGRTFSDKEVLGGLIASSAFVVFLTSVGSLIFSALALGAAVVCAHGAFRVPEDLFLDEPDQAAGAANPLLSFIAGATAGRV >Et_5B_043557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11881924:11885428:1 gene:Et_5B_043557 transcript:Et_5B_043557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLMKAVLLFAFVFTIFTTHQSWGEEDCYHQKVLVKEKCMATITIRGDYVPPSAPCVQAVNESNMNCICRIITGQDQLEISISKILRLARECHKPVPGGSKCGTTKPMFFALMIAPVKTAPL >Et_8A_056072.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:16446997:16448079:1 gene:Et_8A_056072 transcript:Et_8A_056072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRPGYSDAFPSHLLAFPLRAARGFPHPKSQPYMSNRGELPIITPAASKYRSVYTTGRATHAAARARMFASVSAATARPTAAAARPWPPGVASSSSAARAASVAMPEMLLPKYSAGSGGRRRPRLLALARHTVSWSGARRRARVMLRHMREASAWNRVTAAAPAASPAARSSRRARSLSAARDSSEKCSIRSRSGGGHSRSTSADGSAHGSTAGGGAAPPSGDQRCNKGGRVDIDVVVTSGGNRRARASSAHALTKFQNAVPSTSAWLHANPRKTPPSASSVTWAANRGSSWPSQQTASLSGKKDRTTPGTSASVGTTSAAATPSATARRISAPWPPQSTETEQPSRCGTVRKRPASG >Et_7A_051278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16454608:16459790:1 gene:Et_7A_051278 transcript:Et_7A_051278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSPYFATEESARGVRVGESPAAALRRILATPGAHQAPCCFDALGARLVERAGFPVGFMGGFCVSATRLGLPDVGLISYGEMVDQGRLINESVSIPVIGDGDNGYGNSMNIKRTIKGYINAGFAGIMLEDQVAPKACGHTEGRKVISREEAIMHIKAAIDARKESGSDIVIVARTDSRQAVSLDEALWRVKAFADAGADVLFIDALASVEEMKAFCAIAPGVPKMANMLEGGGKTPILTPAELEEIGFSLVVYPLSLIGVSMRAMQDALVAIKDGGVPPPGALPSFQEIKDTLGFNRYYKEEKQYQVEQA >Et_9A_062770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4796556:4801344:1 gene:Et_9A_062770 transcript:Et_9A_062770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGLRLRALRSLITRKFPSTRSTAVVSRSTAAFAAGLAGFLYFKKDTSATSDQSGTSRNFLENSVTVLAGREVTTTATNATETRKEEAPVVSEAIKDDAAVRAMFMGKDGKMRWLDYVDSLTFGKTFPTVTKQNDEEQDEDEADEQDDDAEYEAAMKARFEEWMKEYSKTYRDEEETALRYKLFKRSAKIADKYQASKGVSLSGLADITDEEWKLMTPDPPTDQDWEEYGKGYCPRDQKGCSIEEGLAKFSLHENRGQLGARRLEGYILKKNLGRKLTNELSWNNLTLKWLANTPISRTTDAEKLPRSQIKLEDALVK >Et_6A_045839.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:18705163:18706143:1 gene:Et_6A_045839 transcript:Et_6A_045839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPVICRTINSGLQVIVKVNPYFALPDGEPKTYCGRKTLPPLSIDKHNFGILQLVGYIGEKCIRGSKQYVSMYRIAPDPMACEIKTDEQLVEWCDLNLEKGVVHIKAEINDFSGPLQFSPTKRRCHPNVRKRLLETPSTPPLNVDPSIEPSQSTQVMAKSTNECHK >Et_4A_031817.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:1849118:1850660:-1 gene:Et_4A_031817 transcript:Et_4A_031817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRRLTLLGGGGGGLAEEHHVHGSEDAGLAAFGEVLRGLEEHLVHLGDALGSYPEQGEHADDEGVAPVCEAAHLEARPPGQRGGQRQVLLLDHLEADLLGDARDLVLAAQPRERVAALDAVDALDVVGVLGAVQRGGDPLVGDVEAAGLEHAEDLAVDVLQPGRVAGGLDGVCAVEGCVGEGHGHEVAADDLAAGVEAGLAVVRAGAVDLVLVDGDADDVGAGVCGDGAHGPADAAADVEEAVARLGAEEVGDALLVDARGVAVGAAGEGGGEVEGLAPAPLVDVGDEVVEGVDEGGHLLGGGGGRLRGAAEEGPVLRVLVLHLVVGDGSHLEIHRPLALHLRRAHHPHEPVHQARPDHRRRPRHRSRLHPSRLDSRRPAGERRRETGDWVEEGRK >Et_2B_020166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17528759:17534739:1 gene:Et_2B_020166 transcript:Et_2B_020166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVVCIERATVSPWTAAVRAFARRNRACDGGSFLRRGRRAMRRIARVHGSQQFDQACRCDFKWMEIVVMTKC >Et_6A_045851.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:19696998:19697435:1 gene:Et_6A_045851 transcript:Et_6A_045851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNTAGPAMVITTTTTIISKKNLLQQLPAGSVLAFQTLSASFTNQGKCYRSNLALTIGLVTFLGATCIFFTFTDSVRDRNGMIRKGVALPRRLHIFNMPRKDQDSDVASAQEAAPQDRGLGSCLLHHRRQQRRAAEQLFPKSHR >Et_2A_016017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20201731:20205506:1 gene:Et_2A_016017 transcript:Et_2A_016017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGEAKRVEALLREITGEGGFAFVASAEKAAASGDLRAAEAAREMAWEQLHSGPWSEVGAAWRDAYALACLHVARLRARGGDRPAALRALDMGLIMGSSLLRADLEGAVERISAEPCGGGELSVAVDEEAERWREGLDMNRDIADALKILPAKSLSCKKVDRRQCISLEEFICNYFLQGSPVIISGSIDHWPARTKWKDIKYLKKIAGDRTVPVEVGKNYVCSEWKQELITFSQFLERMGSTGCPSNLTYLAQHPLFEQVLGRKYIRLYPASISEDLYPHTETMLSNTSQVDLDNINLKEFPKVENLDFMDCILEEGDLLYIPPKWWHYVRSLSTSFSVSFWWRATILPSGVS >Et_1B_010584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11934154:11936804:-1 gene:Et_1B_010584 transcript:Et_1B_010584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHLELPSSHSCYLQVELLVSSSVARWKRVKGATNLSHHLHRPFRFFSVTATVPSASSLSLPVANPNRDEWGPLKPVHPGSVENAIKTLCDKTGKGGGGKIHPMPVQEDPIQAAFHHLPITILVLVVALGPRHVSSWPTSSPVPSTAGSPRTTPPPLPPKPTTSRSHLCRPLRFSIDAANPLAPGVASKKQKQSSEGTACTSISRSPRSRHPRTPRPTTFTIHSASSLSLPAANPNCDEWGRGALKPFHPGGMESSMKTLCGKTSKGGGGKIHPMAVQEDPI >Et_6B_048927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15644270:15649471:1 gene:Et_6B_048927 transcript:Et_6B_048927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQRSQACCLLLAVLLSMQLTSGAARRVSRKSSGPAAGAGDVTVYWGRNKDEGSLREACDTGLYKTVVISFLSAFGHGQYKLDLSGHPEPPYYIGNDIKYCKSKGIVVLLAIGGQGGEYWLPSSKAATDVADYLWDAYLGGSRWGLRRPFGDAVGAREHYDELARLLRRRGVRILTASPRCGYPDHRLEAALDTWLFDRIHVRLYGEDRKCFQTPVESWKKWAAAYPRSKVFVGVEASKEAYPDTYIPPWDLYYRVLVSAKKAPNYGGVMTFRHSNSSINTPAPRLQTSNHPSTDLTKMAIQRNEACCLLLALLLCLQLTGGLAGGAGDVTVYWGRNKYEGSLRETCDTGLYKTVIISFLSAFGHGYYKLDLSDHPPFDIGNDINYCKSKGIVVLLAIGGQGGEYSLPSPQAATDLADYLWNAFLGGSRRGVVRPFGNVAVDGIDFFIDQGGKEHYDELARRLFNYTKYYRLGRIVLTVTPRCGYPDHRLEAALDTWLFDRIHVRLYGEDRNCFRTPVDSWKKWAEAYPGSKVFIGVEAGREAYPDTYIPPWDLYYRVLLSAKKAPNYAGVMIWNRFFHWFSNH >Et_4B_036975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13003904:13009847:1 gene:Et_4B_036975 transcript:Et_4B_036975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWRVGELEGKFKDEFTRNNNDERESGIGDDGITSSKKLKHAVGSEKSTQGGISPANNSDSPKCNSEHIQSANGVMSQEINSITDCIGDCKDGSNAFPLREENAIAETRCGTDNWNTAQFALSNGSPILNNPNAQQGGLSYGDNDLNYIDWPGIDNFEDVDTLFRTCDSTYGQQQLENTNELTWIPSSDAIYSSDVALQQGFDSSYSDYGILDDLSAFTCPEDKPLSSVDASAALCDKQFGDNYPFSEQKNMNSYGEQARLPGGCDGVIGNQYSSENPIQGIEDQKFSIPSASQLSSSQTLLKQRHQLDSSSPSNITSESYPERNCQFSPSGASFAQGKVSKKSVNVHSRQPVTDNVVNGHPQALTRRASYPCERNYGTEKKGLGKRTLGDPQGTLGTSMAVDGSLVSSVSSDNSVEESSFRQLQDAVSQLDIQTKLCIRDGLYRLARSAQHRQVFPNVVNSSGDSQDVKDVQNAEASRKFVDPRSIETQTNPIDRSIALLLFHQATDQVTGAVDDASSLKSHKKQHQGPAKNEGMPSAPSIYSPRGHETECITPVLYKDIDERAKVTPYFMIMRIVGTSHVINEADRNE >Et_3A_026996.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2947257:2948600:-1 gene:Et_3A_026996 transcript:Et_3A_026996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMGAATCHSPARFAVSCSSTSSAAAGRPLRVAVVGGGPAGASAAEALASAGAQAFLVERSPAGAKPCGGAIPLCMLDEFAIPRELVDRRVTRMRVLSPSNLAADFSRALPPGAHIPMLRREVLDSFLRRRAADAGATLVPGLVTSLSLPAGPADPYRVHYISSSERGVLEVDAVVGADGANSRVAREVGAGDYTTAIAFQERIRLPDAAMGYYDDLAEMYVGGDVSPDFYGWVFPKCDHVAVGTGTVCAKPEIKQLQTAIRARAGPKIAGGQVIKVEAHPIPEHPRPRRVVGRVALVGDAAGYVTRCSGEGIYFAAKSGRLCGQAMAEEWARTGAVTEAGLKRGYLRRWDDEYLLMFRFLDLLQQVFYGGNAGREALVEMCSDEYVQRRTFESYLYKRMVPGEPLGELRLLWRTVSSMMRCGVLGREVERLRRVERQARELQLRV >Et_2B_019394.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27012379:27012879:1 gene:Et_2B_019394 transcript:Et_2B_019394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQHRDSRTTPTTRPKIKIIHIIAPEIIKTDVSNFRDLVQRLTGKPTAGASSVDTSPPVEEEKETVIKKRPPPAEAPAKDDFLVQQEPSKKRRIKCEVKEEEGGFGDYGLDCNDLWMDLNPEGFLSFLEEELDVFQGLAADHDFLQQQQPLGPPRMDLVGEMYAS >Et_3A_024870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2563592:2567081:1 gene:Et_3A_024870 transcript:Et_3A_024870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFNGRIYEKYNALKVPPVRFVPPPRPSAREMMDWVKDLQKQNEELCQKLLEEQDEHKKSQTDFLDQIREKDQEIFRLNLLLAEKTDKCNSTTTGSPNRTPEIILENPTPAPPAKKTPQLNSRAKRARVSEEAIVPNSSSPEEEAREVWIGTNESSSAHMFRMLLELLESLVCMKISLNKETEEFSVSVSHEASGYNFTLTWLEQHNEWSYKVSSLGNLEKVAWDWMNQDIRLPMFICSMFFQRISDVIPKH >Et_2A_017939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8031170:8032390:-1 gene:Et_2A_017939 transcript:Et_2A_017939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METIPHKTLTGDSPQIPFLYMLECEKAFACNGGKAHKMLTGNGVHLELTRLLIKSTVPKMSQEINEAQGGGVIIDSPVVVASNNDDAVTIKVDGKWGIQLRTVPLQGQSDWPANGGATQDMHMALSGTLHIKEDESPREQRKKYFKEIRFAWLMVLATFTASVSFQASLNPPGGFWQADDNEGHHAGDPVLRDKHHFRYLTFYYLNVMMLATSLVIMVLVISERFYHSEAKVVALIATTVVDGTCLIGAYVAGTTRDSSWIFIIVIIVVTFLSVVYIAELMIDICAFVIMVFPCLETLTRKRWCPIPKSVNEKARIMMTRLRWGHAERRRNTGPCCSCCAPSSVAA >Et_10A_001264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22687582:22705132:-1 gene:Et_10A_001264 transcript:Et_10A_001264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSQIDSSNHVLLNTEPDVQCLVCTRSFTLDPEVNGSFEALAICRECKMTVLNENNRDGTASIRRERRQRRPRSRATSLESVEAAFSQRLSHLINLAGQGHEADVDSPPVSRQQASFTSTPNRSQRGLASDDESDGLNYVDSVFGETESNFSFGDYGGESDASLDQHARLGREIAIQLDDESYLNTDTDIDPMNAGMDRWDSDDQEDEQSEDSDFDDVVIGIMQQRGQLLHEIAPSEFSEHESEDVWTSRRLGIRRVERVDMMANMDGPAIRRHFTGNPGDYVDSSQFEMLLERFAEDNNTRRGAPPAAKSIVENLPSVVISTSHETNGGVTCPVCKDHMPIRRTDGRAGTTAEANTNEHDVPARQQPNGARDRHRWLFIVAAPVVSLISEHHYGTCRYKKKLVVYVLNIQCIYLLIPNCYVMMYDCTKCWYVERFKFDFKNTFFLLPSLFLCLMEEVLVPVIALLLCLALSYLFHQLCLRPENIRKKLRRQGVRGPEPTLLYANDYISTLFPHFLVWRKTYGLVFLYSTGALEILHVSDPEMVKDIVNGDEWAYQRKIIAPEFFMEKIKGMIQLIEDATVPVLGAWDSMFDDAEGSREIVVDDYLRNLSADVSPGLVLAAVSQEPKTYFASLGSFKSYCLSKMDLLDSLYCEIRKLDEEVRLLILDVIKEHKNGMNNDFLHAIINGASDRGSHDNIAESEKFIIGSCKTMYFAGHETVAVTLIWCLMLLATHPEWQERARAEALEACRGRATMDVDILRRFSSLRWLTLLPPVLLCAALFSYLYTTLWLKPERLRQKLRSQGVKGPNPSFLFGNIPEMRRIKQELAKSDQEQEAGTTDTFSSNYLATILPYLLHWSRVYGSIYLYSTGSIQVLNVTDPDMVKELANCKSLDLGRPLYLQKELGALFGRGILTSNGDLWVHQRKVIAPEFFMGKVKGMVNLMTDAANAMLTSWVSEVAREGGSAEMMVDEFLRKFSADVISRASFGSSFAEGKEIFNKIRQLQIALARENMRIGVPGSRYLPTKRNREIWNLDTGIHKLILNVAKRYEHDSSGIKDLLRSIVEGAKTGPLSSCTPEDFIVDNCKNIYFAGHETTSTTAAWCLILLASHPKWQSSVRAEVLDVCQGNPVHADMLPKLKTLTMVIQETLRVYPPAVFVTREALNDINLGGMNIPKGTNVRVPIAIVHRDPSVWGSNPDKFDPGRFANGIAGACKPSHMYMPFGLGARTCAGQNLAMVELKVVLSLLLSKFEFALSPKYVHCPAFRMTVEPGQGVPLILKKL >Et_3A_023085.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:25841624:25842348:1 gene:Et_3A_023085 transcript:Et_3A_023085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGLRGSRQQPREAQQHPRRDQEPQESKGKSRSAFASFSPLAWFSRLTAKNSGAASAKSDRQTTPASAKSTAQPAASSPPHFHKPTSTSPSSASQSSPAASSSSRASAAVDGTVPRRDGAEAVASDIAPRRRSVGNDDTAESDAVAAAARHLCRRRHYSVGGDRDLLPPLGHNLVSFSRSAYSPKPPPTPAPVRTLTPTLPPLLSDTDDDKRPR >Et_7B_055568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14714022:14715090:-1 gene:Et_7B_055568 transcript:Et_7B_055568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRQAREQSHVVHKFENVALVDHPRLLSRCIIMFKWFTMGTMRYRERWLLLRHLAITKLL >Et_4B_038916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:618491:621042:-1 gene:Et_4B_038916 transcript:Et_4B_038916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEGGHGLDFLDWVGLDTSACIFRLLDDPADLVRATAVSRSWRQFVIDNEFCKGLCLQICPEVANFTRAVEVTRSPAPPASESSQDAGLRSREKEHRIYSCLSGALVSTTPPSADCILHCLGASSTDNFPDETMENTLEPHDRVNHRPSYWSSGGADDPDVPESLTYRLNSDLCIIDEIRIQPFKAYFQIGHPIYSSKAVRVRMGHSKLAPGSESFVTDDDENLTVIADENYVWTYTSPVFPMLQENVLQSFKLPRPVLCIGGVVKIELLGRVQKQATDDSVCHAEVLGRSLSPDFMVDISDPAGYSILKYLPGTRNLRAEDIMPYDAADSMEWHSLVARYRQMRHLAMMNVLLGPVQFMDEDDGVHDGTHDELYYM >Et_9A_063058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9259720:9259951:1 gene:Et_9A_063058 transcript:Et_9A_063058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKPRKYSLTWIINIVSLSRRTDLLVLMQPFSKSAHICIVVGVLLTAISPVGGMRQIILDAKTFKFYA >Et_9A_061693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15371114:15376462:-1 gene:Et_9A_061693 transcript:Et_9A_061693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKDEQKSRKERRKEARQEKQKLRFLSWVQHQGCKKKKPAMPVVEPSPVEEKKPKKEVSAVKKRKREQEGKRKPKSNFQEFLEMEMGRAVSREEDLEMERRLAKKLKVRKGKLGGDKKKSKKKKSKKKNKKAKKDTLELEEMDNGSVDMDKGNDGVVFESDDVDGQSIDMGQEDDEEVSESEDGEPNVLEVPTKPKGKYVPPSLRAAPSSESEEITQMRRRVRGLLNRLSESNVESITQEIAALFRSVPRSVGSQIIGDEVLASCSRGMACLVGIDFSAKIIASLARSFEDEYSKEDGLSLRNLTLLFCYLCIFGVISSDLVYDLLSVLSKRLTELDVSTVLTILQCCGMKLRGDDPGAMKDFILSIQNSVNQLKLHSASRQDGKADIHSKRMEFMLETICDIKNNKKRPKEDPSHHTRIKKWLQKLKAEDILLRGLTWSKLLEPDKKGQWWLSGDVPSTAGNIEDVAAVISKDVAETQKLLQLAAAQRMNTEIRRAIFCIIMSAEDYDREIIRVIVDCCLHEKMFNKYYTVLASKLCSHEKNHKFSLQYCIWDHFKELDNMELSRSMNLAKLVAEILANFTLSLATLKVVNLANPVEMTPQRITHFQTLFETLLAKNDALVWNIFTRIAGLPELEILREGIVLFIKQHVVANDSSNDLASKFKIAKKALDNTAGVLM >Et_9A_062321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21828975:21831827:-1 gene:Et_9A_062321 transcript:Et_9A_062321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADDAAASMRAAVKRLSFGVTAEERREAAGEVARLARSDERRKRLLPELGVVPPLVSMLADERTDSGARLAAPPPLHELARGTHRNKVHIVKAGLLKKLPRLMEDKDLLRSQQLALLLLSISSLVNTDFPLSSSELLPFLVAALSADDVPAETKLSYLAALRNLSTKLEHVRAVVSSGAVRALVALVSLDKEKATTSKAALSVLGDLASTSAAARKAMAEDDAAPRALVEAVTWHERARCQENATYLVMVLAHDGGAAMRREMRRLGAVQALLEVSLLGTPLAQRRAAKVLLWFKDDDGQSRIRAHSGPRMEVGAAASCRGGGGGDDGGEAQDCRNAVDKIVKQSLDRNMKSILRRATASVDLTNVKLLATSSSSKSLPC >Et_7B_054805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4554590:4557119:-1 gene:Et_7B_054805 transcript:Et_7B_054805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGSEGTAGVTAPSPSLAAAQEENLARQYTALCMKGVEAEEKEDEAILARVAKVRDREERLAAIVADLRKMEAQRRAAGVHPPTLSWRPTSSSARAPTPPSKGSPPASGQCILWTLVMRRYDMTTQLIHLLTSHHGKRIAFGHVDLESSLVSSHSSTAEDIVMMILPLMLQLHCLGKSCENSSEGLAKPGPVIETLCSDDLAILVDQQLNICRSGWNSFTIVGTFAERKRNGLVVNLAKAPNPAAAAKLQDRA >Et_4A_031912.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28827053:28827730:-1 gene:Et_4A_031912 transcript:Et_4A_031912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTMDCVGGSIKFVYIYRPVNSPDHAMVRVWTLNLKHQKWIEEKGSPLLWKELRLQVDFMGEAVRDVEPQYPVLMPNGGLCLLLRNMRHKKSRGLEEADYICCFDMGSKCPRWFGHVRKYDTSGSVILPYQLFAKCFPHPILETRSELWARKRDMSTIFGQTLEPRARKRELPSILGQTSEPRARKRDLPSISWQAPNRLPVYAQVATLLHMKSILFVTLAYEF >Et_3B_029374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24467449:24468642:-1 gene:Et_3B_029374 transcript:Et_3B_029374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEDGYCSADSPRADSADEPMAAAADAEESPRAGAGQNKRERDIPSPSSPLPAAKRSRRSVEKRVVSVPIGECGDRAKGASGEGPPPADSWAWRKYGQKPIKGSPYPRGYYRCSSNKGCPARKQVERSRADPTVLLVTYTFDHNHASPQPKSSGGSHQGKASPRPAAPKPEPVVEEAEIGPEHVAAEPEAPKPEPEQEEKVAVTLPEQTPVTTVAPAAEEEEESFDFGWFDQYPTWHKTALYASAPAIDAAPLLPPEEWERELQGEDALFAGLGELPECAVVFGRRRELSLAAATTAPCS >Et_9B_064926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19931288:19939290:1 gene:Et_9B_064926 transcript:Et_9B_064926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWSGKVSLGGLQESLGGLQDIAGAVNKISESVKNIEKNFDSALGLEEKRNDEEASGSRTSNSDRIGFFNPVMAFMGQNSEEDGTEVSEKPQTTQHLSAAEENHGTPIKQPTSEVDASEVSETAESPEQPSKLEGTHRISSESAVSKVDASEQPRTPVTPQALAHSSETDEKGNDSTESRNPKEDASGVAEPSQSPTHPSTTEENHSGSDEAISSIRKENQDHQDSEHSVPNDEALPAQLGESGADTSDGKASSSPAKVDQSSDMEMSESIHTGMEDTGDGDISQSQLGDSMPGNSDDVNEVEGKIVPESDVQTEINVTQDSSDTVDKVTQLEIKIHDNDINTAGNEEESNQMAEEVASVVGQEDSTREGVEDLRSKSINVEHDSNPQTELVATPEDMPDKPVEVDPPKNNSRKEEKKQESIRSTNSLTPESVDSVVELEKLRREMKMMEAALQGAARQSQAKADEIARLMNENEQLKSTIDDLKSKSSEAEMDALKDEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIISQIRELDEEKQRLNSKIQVEETKVESIKRDKAATEKLLQETIERNQTELAAQKEFYTNALNAAKEAEALAEARVNTEAKVELESRLREADEKENMLIKTIEELRHALTRQEQEAAFREERLKRDYDDLQKRYQSSELRYNELVTQVPESTRPLLRQIEAMQETAARRAEAWAGVERTLNSRLQEAEAKAAAAEEKERSVNERLSQSLSRITVLETQITILRTEQTQLSRSLEKEGQRASESRQDYLATKEELAMQEGRAKQLEEEIKELRARHKKELQEAAEHRELLEKDLEREKAARAELEKTSSREAPKVPLPDQTRNAPLRKLSSAGSINSLEESHFLQASLDLSDYASLERRMSSESNMSYYLRTMTPSAFESALRQKDGELASYMSRLASLESIRNSLAEELVKLTEQCEKLRTEAAALPGLKAELEALKQRHFQALELMGERDEEVLLPWNSLLVIPCKHKLIIYLSILDFVSHFIITYVNHLCTKSVTILCLLLYQNKNEGIFHEEYRLASSTGIIYIFSWENWVLLV >Et_4B_037114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14890013:14890615:1 gene:Et_4B_037114 transcript:Et_4B_037114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGKSNSNTSDLRSTLATCFFFPKKPPNQPAGPGSGTGISSTTIGAGNWARSSGRGSSDSEKRLSMIPAPSMSARRTPPARADLPVAPRPWRRDSSPPVAAPETTEFQGSSFWRT >Et_9A_063556.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:7255814:7256503:-1 gene:Et_9A_063556 transcript:Et_9A_063556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGMARRLWHVVLAVCHMLRRGLSRKRIMMDVHLLLGRGKLAGRALRGLLAHPPGHRLAASSYGGAAAASSSSSSSLASFYGHPREVEFSCTTTPSYPPYYGLSPFATNKGRGGRRGEYGGLDAAAVARAFEMLSAEVDAGGATPAMAAAAASTATTPSPMVAWILGRSPAGVRPLRVTDSPFPAVPEDGCGGERVDAEADDFIRKFYEQLRMQPYAATPDAHLRRRG >Et_2B_022732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:443575:447745:1 gene:Et_2B_022732 transcript:Et_2B_022732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGGGGGGLRHSNSSRLSRMSYSGDDARAQGPGANADRPMVTFARRTHSGRYVSYSRDDLDSELGNSGDFSPDHQEFHSYHVHIPATPDNQPMDPAISARVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEASHPQMAGAKGSSCAVNGCDGKVMSDERGEDILPCECDFKICAECFGDAVKNGGGVCPGCKEPYKNTELDELTGGARPTLSLPPPPGSGGAPASRMERRLSIMRSQKAMTRSQTGDWDHNRWLFETKGTYGYGNAIWPKENELESGGGGGLGGADGQPAEFTSKPWRPLTRKLAIPAGILSPYRLLILIRMAVLGLFLAWRIRNKNEDAMWLWGMSVVCELWFGFSWLLDQLPKLCPVNRATDLAVLKDKFETPTPSNPNGRSDLPGLDLFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANMWVPFCRKHNIEPRNPESYFNLKKDPYKNKVRSDFVKDRRRIKREYDEFKVRINGLPDSIRRRSDAYHAREEIKAMKRQREVALDEAVEPVKIPKATWMADGTHWPGTWIQPSAEHSRGDHAGIIQVMLKPPSDDPLYGSTGEEGRPLDFTEVDIRLPMLVYMSREKRPGYDHNKKAGAMNALVRASAVMSNGPFILNLDCDHYVYNSQAFREGMCFMMDRGGDRIGYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRVALYGFDPPRSKEHGGCCSCCFPQRRKIKTSAAQPEETRALRMADFDEDEMNMSSFPKKFGNSNFLINSIPIAEFQGRPLADHPGVKNGRPPGALTVPRDLLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASRRMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQRLSVTFLTYLLVITLTLSMLAVLEIKWSGISLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDVDDEFADLYIVKWTSLMIPPIVIMMVNLIAIAVGFSRTIYSEIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLLAITISLLWVAINPPSQNSQIGGSFTFP >Et_8B_060220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7640725:7646637:-1 gene:Et_8B_060220 transcript:Et_8B_060220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLREMVGRLTLEGLGVRKQSIAAHLGSLTHGLRLSRYGAPPDAETKTSMQAHRDDGMMAAIVQHEVESLELHAGQRRDLARRPSRAGHRHLRRRLDAVTNGRVPACVHRVRTPTNRERFSVLFGSGTSAAVRVLDELVEPGRPPLVYNPSRPNEYSAFRLFAEGRDPLKEICRVKNHDESMAIAKVDLRGVKPGGPGWEAARAAVTASMVAHGCVVVAHDALGPETRRELFGRAMPELFALPLETKQRNVPSAAGKKYKGYLGNIPGMAFESIRVAEPSDADRVREFAGLLWPQGNPEFCEMIASFAKNMLKLEETVETLTLEGLGVGGESIRAHFGQLSHGLRLSHYDPPLDKETGIMVTAIVQHEVEGLEVLVADGHWVAVPPEPGTITFVAGEQFTVVTNGRVQACDHRVRTPSNRERYSVLFGRRRHEGVAVTVLDDLVDAEHPRMYNPLKHEEYSLFRYSEEASKFNDPLKAYCGVQEDGIMEIAMVDLRDLEHGGPRWEEARAAVTASMAAHGCVFVAHDGVGPDLRRALFGRAVPEFFALPLDAKQGSDSAWGPFKAYIEQVPGTAMESIRIAEAADAGRVRDFADRLWSQGNKEFCDTIVSFAKNMLALEETVEKMTLEGLGVREKSVASHLESLTHSVRLSRYGVAPDAETLKPHCDDAMVTAIVQHEVEGLEVQAKDGNWIAAPLEPGTVTFVAGEQFRVRIKSTTLLPPALTILG >Et_1B_012745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34836378:34836809:-1 gene:Et_1B_012745 transcript:Et_1B_012745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVKTNARSGHGKHYKYCTDRTELAHHKPKVFELAQDRWVEAKTSWPELVGQHFVVAMAVIKAEREDVGIKLLGASDDPPGDFDDHRVCLFVDDSAHVAKTPVVG >Et_9B_065296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3334003:3334486:-1 gene:Et_9B_065296 transcript:Et_9B_065296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LADFGLARLVDDGQQSDTTAVAGTMGYMDPECVLAGRAIVESHVYNFDVVLLKIAPYGRQCLVQRVWESYICRSILYAADVPGWTASSTHGRWLHDARVGLWCVQPDRLTVASGQPFGSPSTCFGSRRSRSGSQPKGRRQAVLALQNRRQMRHQVTAIP >Et_9B_065721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9731084:9737322:1 gene:Et_9B_065721 transcript:Et_9B_065721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASPFAAISRDQPVPELSSPTAVWVRVVATSINFATFLQVQGKYQERPPLPFVPGSVLGTASAPSPDSGLSWSSGPSRMPDGCDLVAAGALPVAFGASHVALVHRAQLKAGQVLPVLGAAGGVGASAVQIGKVCGAIVIAVARGSDKLQFLKSMGADHVIDSSKHSLIESAESFLKSRGLKGVDVLYDPVGGKLTQDSLKLLNWGAHILVIGFTSADVPVIRANVALVKNWTIHGLYWTSYLVHQPALLIDSLNELLSWLSKGLITVQISHTYRLNEAHLAFSALRDRKVVGKVMIVMDSSVKSRL >Et_3A_026444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8065416:8072435:1 gene:Et_3A_026444 transcript:Et_3A_026444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNVWEADKMLDSYIYDYLLKRNLQNTAKAFEAEANVSSAPVSIDAPGGFLFEWWSVFWDIFIARTNDKHSDAAASYLEIYEERMKVPAQREALDEAANKQRFTESSGQLLDSNLASMLKSASVAAQASGQMVHGPVSGISGSLQQAQARNQRLQGSMQDAKAETNVALNLRTMGDGSLIGVGVPGSNQAGNNLTLKGWPLTGVDHLRSGFLQHKSFMQSPQQLQHLQFLSPQQQQLLLQTQQCMTASHGDMDNRRVRMLYGSRNLVPGRDSQSNSFAEIIPTSGPSLQNIGSPMRCLESDMLMKKIAALQQHQRTSNQQQLLHHPLLTPLAQNSTDHTGEQEKLVAGTVTVAAHGNLSNSFHRNEQAFKNRSGRKRKQRNTPSGPADSSGTANTTGPSPSSSPSTPSAHSPEDTVSTPSLHHNATFSKALVVYGADAPGSIGCPTSQLVHMVDDDCLKDNMFLPHDEVDAIDAGGHCINSDKGSILREISSVRASTSSVLCCHFSSDGKLLATGGHDKKAVLWDTETLKQKSTLEEHSALISDVRFSPCIPRIATSSFDKTIRVWDADKPDYSVRTFTGHFASVMSLDFHPIKDDLICSCDGNNEMRFWSINDGRVVRIVEGGSTQLRFQPRFGGYLATASDNMVSILDVETQACVRRFEGHAKHVDALCWDPSGECVVSVSEDAVNIWSLKMGNDERPYLSLWDLSENRTMTISAHDGLITSLASSSGMVASTGHDKYVKLWGSPLPIAACSNR >Et_3A_024480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21154168:21158620:-1 gene:Et_3A_024480 transcript:Et_3A_024480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIELEPEPEEVTRPASPAPVEQAATDAGSEEARPSAPAPEEQAGGGEAARSSPPVPEEKAAGGEARMAEDEEDAFEDALTDEQLREKARSQANDAKAEGNKLFAAGQYDEALSQYEMALQIAAELESAEDICSACHSNRAVCFLKLGKCDETIKECTKALELNPSYLKALLRRAEAHEKLENYDEAIADMKKILELDPSNQQAKRSLFRLEPLAAEKREKMKEEMIGKLKELGNSVLGRFGMSVDNFKAVKDPNTGSYSISFQK >Et_3B_027707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13391361:13391921:-1 gene:Et_3B_027707 transcript:Et_3B_027707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVDKTTLSSASDLLKLLPSGTVLAFQALAPSFSNHGACYAANRLVGRDGRLYHGLATFGGLYPFNFAAVVFLAVALADASIQGCLFPDAGPDVRELLVNLPLGAGFLASVVFMIFPTTRKSIGYTDTTPHAQQ >Et_7A_052967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3543910:3548532:-1 gene:Et_7A_052967 transcript:Et_7A_052967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNRVPHWSVVPWVPASNGGGCDGSILLNSTPGSPSEKESIPNLTLRGFGTIDRVKAKLEQACPGVVSCADILALVARDVVVLTKGPHWDVPTGRRDGRRSVEQDALDNLPPPFFDAARNLNQFFIPKGLDAKDQVVLLGGHTLGTSHCSSFSERLYNFTGTMMPDPSLDKFYLPRLKSKCRNPGDTTTLVEMDPGSFRTFDASYYRHVARGRSLFTSDQTLMADPFARAYVLRQAAVADAGAYPAEFFADFAASMVKMGNVQVLTGAQGEVRRHCAFVN >Et_8A_057506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3722174:3724495:-1 gene:Et_8A_057506 transcript:Et_8A_057506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPYMSLFKNPYYYYTSSFPAAPPAAHHLPPSLPPYTSLYPATVAASAPHYSYQAAFFHPPPTTLPPLDDSPPSPPLRQALPLLSSSPTRRCCASRRNVDAADSDSDDDADVDFLREAVGGGSAATPAARAPLFADLNCMPTCCDDGDPMDVEAGGASTDDAAVALHIGLPMTAAAGNGGTEADLLSGLSDRAIGVDGEEEDEEECKVDTGDEVVPLGFASTPIGRLNKGQYWIPTPAQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGVQPTAMLRLPCYCCAPGCRNNIDHPRSRPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHGHGAFGCNVDGGDGLEDDDEGAVSEIEQDCAAGSCRSARSAR >Et_6B_049334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3422861:3426805:1 gene:Et_6B_049334 transcript:Et_6B_049334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVVRELRASFTAGRTRPVEWRAAQLKALIRMIEEKESDISGALQADLAKPNMESYLHEISLAKESCKFALKGMKSWTKPEKVTSAITTFPSTATIVPEPLGVVLIISAWNYPFLLSIDPVIGAIAAGNAVVLKPSEIAPATSSLFAKLLPEYVDNSCIKVVEGGVDETTDLLEQKWDKIFYTGNGTIGRIVMAAAAKHLTPVALELGGKCPVIVDSDVDLHVAVKRIAVGKWGCNNGQACIAPDYIITTKSFAPELVDSLKRVIQRFYGEDPLQSADMSRIVNSKHFTRLTSLVEDKKVADKIVYGGQTDEKQLKIAPTLLLDVPLDTALMTGEIFGPYLPIVTVEKIEDSIDFINSKTKPLAAYLFTRNKKLQDNFVTNVPAGGMLVNDIALHLTNPHLPFGGVGESGMGSYHGKFSFDCFTHKKAVLIRGFGGEANARYPPYTQEKQKILRGLINGSFIALILALLGFPREKR >Et_4A_035560.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29331969:29332697:1 gene:Et_4A_035560 transcript:Et_4A_035560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYDEDARLVEHAWQTDAVFSFDGFVGWADYHHGIILCDVFSPDPQLRFVRFPGVETRHGYYERGLPTMYRTVFSCRDGHQLRFVDVHNGRGNRCIIRNDGSSRCSSITTWTLKMPELEWELNAALLLNEDLWSSRNYRDSHLPRTVPTFPVVDLQEADVVHFVVKDDEPGYYVKAWVVTVDMEKKSLERHELYWNPIKGSGIDITNVFLNHPLVAAALSTNLSLDASEENSSAPGATKQYI >Et_2B_021928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:711362:715947:-1 gene:Et_2B_021928 transcript:Et_2B_021928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPREEQGREEEEEERRRRHDDDDGQITSPLLPSTSRGSSSPGYEEEEEEENSPIEQVALTVPVGDDPETPALTFRMWVLGTASCALLSFLNQFFWYRKEPLTITAISAQIAVVPLGRLMAAALPERAFLRGTRWEFSLNPGPFNVKEHVLITIFANSGAGTVYAIHVITAVRVFYGKHISFFVSLLVVLTTQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKEQRVKGGLTRNQFFLVAFMCSFAYYVFPGYLFQMLTSLSWICWVFPHSVFAQQLGSGLSGLGIGAIGLDWATVSSYLGSPLASPWFATANVAAGFFIIMYIITPIAYWFNFYKAQNFPIFSDGLFTSTGQKYNISSIVDSNFHFDTKAYEKNGPLYLSTFFAVTYGVGFASLTATIVHVLLFHGSEILQLSKSAFQDKKMDIHTKLMRRYKQVPEWWFICILAANIAVTIFACEYYIDQLQLPWWGVLLACALAFFFTLPIGIITATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYISMSQALTFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYIGTAWWLMETVPNICITELLPADSPWTCPGDHVFYDASVIWGLISPRRIFGDLGTYSAVNWFFLGGAIAPLLVWLAHKAFPGQNWILLINMPVLIGATGQMPPATAVNYTTWILVGFLSGYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLIYLCLGLENISLNWWGNDLDGCPLASCPTAKGVIVEGCPHWVETFAPRFTCIYHAPDKDFPTFTIQQIPTT >Et_4B_038004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25449230:25454326:1 gene:Et_4B_038004 transcript:Et_4B_038004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAFLRSLPKRLLERACDGIRGNPSAVRDEESGGGSGRSAAGPAGECYACTQPGVPAFHSTTCDQVHSPGWDADAGSSLVPVQPQAQPTSSSASAAAAHHAGVAARWLFGPVLDPRSKRVQRWNRWILLGRAAALAADPLFFYALSVGRAGRPCMYMDAGLAAAVTALRTFADVGHLGHVLLQFRLAYVSRESLVVGCGKLVWDARAIAAHYARSLKGLWFDLFVILPIPQVIFWLVIPKLIREEQVKVIMTILLLIFLFQFLPKVYHSIHIMRKMQKVTGYIFGTIWWGFGLNLFAYFIASHIAGGCWYVLAIQRIASCLQEECKRNNSCDLISLACSKEMCFHLPWSSNKNGLACNMTSFSPQNVSTCLSGNGSFAYGIYKGALPVISSNSLAVKILYPIFWGLMTLSTFGNDLEPTSNGLEVIFSIINVLSGLMLFTLLIGNIQVFLHAVLARKRKMQLRFRDMEWWMRRRQLPSRLRQRVRKYERERWAAVTGDEEMEMIKDLPEGLRRDIKRYLCLELVKQVPLFHGMDDLILDNICDRLRPLVFSSGEKVIREGDPVQRMVFILQGKLRSTQPLTKGVVATCMLGAGNFLGDELLSWCLRRPFVDRLPASSATFECVEAAQAFCLDAPDLRFITEHFRYKFANDKLKRTARYYSSNWRTWAAVNIQLAWRRCRARRTADATAAAAAVPLVGGLGDDDGDRRLRHYAAMFMSLRPHDHLE >Et_9B_066241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8205633:8207864:1 gene:Et_9B_066241 transcript:Et_9B_066241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWGDEPKSMLPIYRELTAARLRIWVFSGDTDAIIPLAATRYSINALGLPTTTNWYPWYDNRQGFDVAPNKRELA >Et_7A_050335.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:476486:478299:1 gene:Et_7A_050335 transcript:Et_7A_050335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNQSETIKTCERAPARLGICGWIPGQARTSFGAEFWSLTVAKSRGLGQRRRSSVPFPYENSETNETRICAFKLWSRVCLPVKERTSQSPLLLCDGDDIIPDEEATKKLTNQRTNFCYYPRRNSSAWEGATLVSLHREGRSSKCSVHRSLRRSNCSVHTGRLRSSRLVHPRTTVPEPFGLNIRLGLLGVELLLEAEDDSGEAVEDAFLVLGEVDLLDPAEGVPGEHAVGAGLVRPPRGALDAERRRDAVQAAVEAGAVAHHVLDVVDLLAEEDADVAEEVGLRPGKLAAHEQLDEVRQVVGGAEGDPGDEALLVVVVAADEARGHEERREGGGGDAAGPVGREVEAAVGEQADGVGGVAVHARVELAEVDLEGQRRRRGGRRREGQAHLDQVERVHVRLQEGVALAGGERRVVLAGDVDHAGELGVHGHVGEVLDGRADQLELALHVVRPNLPNLQVELRARHPPSSIAAWFLIKEIELFF >Et_5A_041328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21282906:21286421:1 gene:Et_5A_041328 transcript:Et_5A_041328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPGFLTVRVLRGVNLVSRDATGSDPYVVLHLDGQKVKTNVIKKTVNPVWDEDLTLAVRSASTPIKLEVFDKDTFSKDDKMGDAEFDIEALMQMVQMDLEDIHSGTVVRTVRPGKHCCLADESPIIWENGHLVQDVMLKLRNVESGVLHLQLKWVNIPVLDSYVDSFLVCFISKSWAVESELDEHHYQNTFVKQKMCQQQEYLAGKAFAGQWDSFISRDTGHAGGADEDARRLTPPRANRR >Et_9B_064573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16602538:16603213:-1 gene:Et_9B_064573 transcript:Et_9B_064573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSSSASYIRMVHHLIEKCICFNLNKEECMKALEKHANINPVITSTVWKELEKENKEFFETYNKDRVERNIETETMERIQKMLSEAAASKTSDDDEG >Et_6A_046444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15100497:15111620:1 gene:Et_6A_046444 transcript:Et_6A_046444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQQPRQPPPFAQAQNPAAAQGPGPASGPPGAGGLPASFANLQISRGPAPAPGQAPPPGAPRGLTPQTVPPAFAARPGPPAAAGAARPSFPGSPPAPAFVRAPTASVAASQQPAPFGGPPGAASQAPPPFGGPPGVASQAPPPFGGPPGVASRAPPPFGGPPAAASQAPPPFGGPSAAAPQAAPFGGPPGAVSQPPPFGGPAASMSQPAPPQFGGPRPAFPGQSAAMAGAVSQPLPPTFGAPQQSAPPFSGPPQFGASRPGGQPPFAAQSAVASQQPPFMGRPGATAPAFGAPSWQSLGPGSNAMQPPMRMPGIPGSMPPNTLGQGMPPPGTSTIPYSPHAGTQVSTPSKIDPNQIPRPMSESSVIIYETRQGGQANIPPPASTEFIVKDTGNCSPRLMRSTVNQIPCTGDLLTMSGMPLALMVQPFALPHPSEEPIQLVDFGEVGPIRCSRCKAYINPFMRFVDQGRHFICNLCGFSNDTPRDYMCNLGPDGRRRDADDRPELCRGTVEFVATKEFLVRDPMPAVYFFLIDVSMNAIQTGATAAACSAISQAISDLPEGPRTMVGIATFDSAIHFYSLKRAQQQPLMLIVPDVQDVYTPLQTDLILPVSECRENLEQLLESIPHMFENNRVADSAFGAAMKAGFLAMKATGGKLLVFQSVLPSLGLGSLSGREAEGRANITTGDKEAHKLLQPVDNTLKTMALEFAEYQVCVDVFLTTQSYVDIASISVVPQTTGGRVYYYYPFSALSDPAKLFNDLRWNISRPQGFEAVMRVRCSQGLQVQDYFGNFCKRVPTDIDLPAIDSEKTIMVTFKHDDKLQENMECGFQCALLYTTVYGQRRIRVINLSLSCTSMLSNLFRYADQETQFACFLKQAANGIQTSPLPRIREEATNMCINILQSYRKHCASVSSSGQLILPEALKLLPLYTLALIKSIGLRNDCRLDDRSYWVSLVSSISVVLAVPLVFPRLIPIHDLTTRDDDDSLVPSPLMLNSENVHEDGMYLLENGEDGLIYVGNMVNPATLEQIFGVSSFVLEQFDNELSRKVNEVVNEIRRQRCSYLRLRLCRRGEPSGDFFRSFLIEDKTPAVFSYVEFLVHVHRQIQSKMTTSKPADESNFSGTMSRSSLCADASTPRSIFDTSSLIPNR >Et_1B_011390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21426733:21441210:-1 gene:Et_1B_011390 transcript:Et_1B_011390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSPPPLPNDCLRKIFGRLPPEPAHLAAVSAVRSSWRSVVHDDDGFLGPFRASHGGAPPLLGLFGYSFHSDSPVFIRTTSTAMNIPAPPAVLRGGRVCVCDSRHGRVLMKKIDEGELLLWDPLTGGEHSIPTPPGYPHNYDTRFGAALICDAGDHGGHADCHSAPCRVAFAYSERQYSEASDLPEHRISAWVYSSQTGTWGNLTSLSVNFFHTFARKPSVVMDGNVVYWILLRDTAILQFNMEEQRLTMINPYTNAFDLIVPTPSGKLGIVYLKGTRIVLSERGVSSGLVIWNCCDLAHLEHFVPPDTLFSELWSGGASRLQDLENFWAGYELNCIEDILIVDPRITGFSEEENAVFVQSKVGVFMIELVSKRHSKVPSLQRPSPSSTMSDTQHGSPASDLPQDLLLLVFLRLPPDPQNLLAASLVCKSWRRVVRNPAFLRRFRALHRPPPVLGFFQNLWCGDASCPDLLRHRRLVLRRSTPDPDMTRWALDCRHGRVLLHGSGDQELHVWDPLAGEDRSVSMPPGSQGSLTFAAALICAAGRDDHDHTDCRSTPFQIVFLDTRVRGEDQRFLSGFVYSAATDTWSDATDEFPFPWPAAVDFLKRGALVGDSLYWPPFLSSLVSSCIIEFSLSSHRLKWIWLPDDDVFETYMYKIHVMPAEDGGICFAGVVDGRSDLHSWSRRVDPHGAAQWQIIRTVNLSELNGLPAGDSLLSSCVVGFAVDNDELFIYSRSGLFMLNIKSLRLRKVLQIRLHSTIYPYTSLYTRGLSPEFSHVHYVIAKWSKEGLR >Et_1A_005424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10220163:10220802:-1 gene:Et_1A_005424 transcript:Et_1A_005424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEERPSAGRVLERARNRRAQEARPRERFLGLGPALKGPRRRTDLRRGRGESGMLGDGAFEGCRRRRGAAENHITGRDPTLLPSADGGACAVFSASVYPVGSAESNLAHESPNMISTRRSHCGDLLPTTGRRRRAPLTASERGLEALAAACRVDLSR >Et_10B_003791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6229446:6231996:1 gene:Et_10B_003791 transcript:Et_10B_003791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAAAAAARSVAPRFPRRGFAGATAAWPRPPLSYPAAGSPLRRLLRRGLATATEDNPPGCEPMPWSDPEAPVPGFDYDEKDLASEEAMWAMYERWCAYHEVQRSRDDMLRRFGLFKERARRIHEFNQSGASFTKGLNIFADQTAEERAKKLRGGRCRSLKDETSFQAKALKEAALAALLVMLKSNLSGEGFHIVVA >Et_1A_006069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17367074:17369098:-1 gene:Et_1A_006069 transcript:Et_1A_006069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEQSASYTVDDALLSSGFGRFQILILAYAGIGLIAEAMEMMLLSFVGPSVQLEWKLTAHQESMITSVVFVGMLIGAYSWGPDILSFGFYRRGFLFTAIVTSGAGFLSSFAPNYISLISLRFLVGIGLGGGPVLGSWFLEFVPAPTRGTWMVIFSAFWTVGTIFEASIAWIVMPKFGWRWLLALSAIPSFLLLLFYVITPESPRFLCMKGRTTEAVEILEKMARLNNVPLPSGRLVSDKNIELDEVSRSSESTTLLSGTEEEIDNVNKDEVSDFGGIKSVGKLLAPKLIRATLLLWMAFFGNAFAYYGIVLLTSELNNGNRICAKEEVESVHSNKSSLYKNVFISSFAGWSLPYISLLIIIF >Et_2A_018382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25658008:25660342:1 gene:Et_2A_018382 transcript:Et_2A_018382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTSWEIADRRGFLYLLLYEATPLRFPYLVICDPFTGKHRVIPHLEGFDGCRCMGAFLLDGEEETHGRVTLSGFRVLCTLYQYDVARACVFSSVTGRWTVARCTADYGMEFLTGPHDMFFAGQCSRFVHWITADDKLLVLNKYTAEFSSLSLPANTGWTDRQHIRVIRREHSPARIVCLTTDKLKVFRQEVDTGGWVMEAEVQLSEALSGLPGFRKCYFTRPGKIVSETEGRVIFFKPWRIGWLISLDLETMEFKLAGDGDELVHPYELPWPPSGSSCKNTGGELPRMSNFRVLCVLYGGSRYHAFVFTSGSGWREIIINVQMMELMAIFGLTAASLPHMLAGEIGSQRMDSMGLTAASLYWYTGRRTVITLDRATAAVTSFVLPEIEDWDRLSLSGSQMRITDDGHAGKLRVIVSEAGGNLKVFAKLHGGNGDWALEKSTSVQLPADKPSSPSHSKPWEFLTACAPLIVVSPYQARTWRLSLDGENATVEPVPGVPYPCDLPWPPAFRACTDHDD >Et_3B_030482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4091603:4099453:1 gene:Et_3B_030482 transcript:Et_3B_030482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYHRMRAQLLRRAPTRRSQRVELEATGAGTHDDGGAPPALRAGLLLLAFPAWRQRELERMTPEELLQLSVPDYYCWCLDG >Et_4A_033280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2170229:2172835:1 gene:Et_4A_033280 transcript:Et_4A_033280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWSWLCCGRSVAGGGEVRLPEPFQLPAPLPEWPQGRDFATGKICIGELEVVNITRFQSIWSCSEATFYEPKDTPDGFHCLGHYAQQNDRSLQGFLLVAREVAGHQLSNSKPALEKPLDYSLIWTNADSTEDDNAGCGCIWLPSPPNGYKALGYVVTKGPKKPSLDAVRCVRRDLTDACENLSSVVNLDNRCQVWKTRPCHRGVTGQGIPVGTFSCETDLGDSEESNIPCLKNFDSNLSAMPNLEQIHALIKHYGPTVFFHPQEIYLPSSVSWFFENGATLYKKDAKMGDAILASGSNLPAGGTNDGEYWIDLPDDDRNQYVKVGNLKSAELYAHVKLAYGGTFTDIAMWVFCPFNGPATIKVGFASFALQKVGRHVGDWEHFTLRVSNFSGELSSIYFSQHSGGEWVDACDLEFISGNKAIVYSSKNGHASYPHPGCYLMGSETLGVGVRNDVARSDLSVDSSSQYKIISAQHLGAAVAEPCWLQYMREWGPTVTYNSRSEIDTVLSFLPFFLRFTVEAIFNSLPVELYEEEGPTGPKEKNNWEGDERS >Et_3A_024963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25728470:25731088:1 gene:Et_3A_024963 transcript:Et_3A_024963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVVNPTTTTLSAHAHPKHYSVSFLRASPPCRVRFRNSHRPALRETAMAAQPQQLTITRPDDWHLHLRDGGVLEAVLPHSARHFARAIIMPNLKPPVTTTARAVEYREEILKALPPGSSFEPLMTLYLTDNTSPEEIKLARKSGVVFAVKLYPAGATTNSQHGVTDIFGKCLPVLEEMARQDMPLLVHGEVTDPHVDTFDREKVFIDTILAPLVQKLPQLKIVMEHITTMDAVNFVESCEEGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPILKRETHRQAIVSAVTSGSRRYFLGTDSAPHDKRNKECSCGCAGIYSAPVALSLYTKVFEEAGALDKLEAFTSFNGPDFYGLPRNMSKIVLRKSAWKVPVTYNHSSGEIVPMFTGSTLEWLPSDQDEE >Et_2B_019372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25808576:25810945:-1 gene:Et_2B_019372 transcript:Et_2B_019372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGRGLYPNKPTLPAGPRKRTATPLLPAAPPPPSPSSLPLDSLLLHLTAAPAPAPVPRRAHPTPTPAQSFLSASAQALVLEISSHPLPTLPTFLALRRDELLRSDIPSLLKALELSGHWEWALALIRWAGAEGAADAASLEMVVRALGREGQHDAVCDLLDEMPLPPGSRLDVRAYTTVLHALSRAGRYERAGVAPTLVTYNVVLDVYGRMGRSWPRIVELLEEMRAAGVEPDDFTASTVIAACSRDGLVDEAVAFFEDLKARGHTPCVVTYNALLQVFGKVGNYTEVLRVLNEMEQNGCKPDAVTYNELAGTYARAGFYEEAAKCLDTMTSKGLLPNAFTYNTVMTAYGNIGKVDEALALFDRMKKSGCVPNVNTYNLILAMLGKKSKFTVMLEMLEEMSRSGCTPNRVTWNTMLAVCGKRGLEEYVTRVLEGMKSCGVELCRDTYNTLISAYGRCGSRTNAFKMYDEMTSAGFAPCLTTYNALLNVLSRQGDWSTAQSIISKMRTKWFKPNEQSYSLLLQCYAKGGHIAGIEAIEKEVYGGTVFPSWVILRTLVIANFKCRRLEGMEKAFQEVKARGYKPDLVIYNSMLSMYAKNGMYSKATEMFDSIKQSGLNPDLITYNSLMDMYAKSSESWEAEKILNQLKSSPEVKPDVVSYNTVINGFCKQGLIKEAQRILSEMIADGMAPCVITYHTLVGGYASLEMFSEAREVISYMIQHNLRPMELTYRRVIDSYCKAKRYDDARDFLSEVSETDLNFDKKVMHTLAARVEDAQFGR >Et_1A_007636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36665593:36667530:-1 gene:Et_1A_007636 transcript:Et_1A_007636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKKTASTHMNSARHRIPALYRYRFTKKIVRKMDAMKHSAQENRFTSVVTKLVVPLPEAPWRGQPGLIGERRTQDPKHQIAIPLLILAVILTEFVRRHEEGHVEHHVDYDGTSEGNDMDRGLHIPAAACGVCTYETDQDKEK >Et_2A_016164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21657988:21660100:-1 gene:Et_2A_016164 transcript:Et_2A_016164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLLLRLPSFPWLPPPPPPGSFPGHGGGGGGDGGDWRPNVIAAVAGAHLGRTLRRRFVGLLCSPEVRNLEALPRIGDILSGGSQAFAAHALLGALGNVFSVSYVCSFALFDGNGSNGTYIGNGKVLSRRPRGINSKKRLWTNVLLAVNVLAYLAQVATQGKLLMWGAKINSLIDRGQFWRLATSSLLHANLTHLAFNCFSLNSIGPTVEMLTGPRRFLTVYFTSALAGSLLSYRCCEYPAVGASGAIFGLVGAYAVYMWRHRRFFGNGRQSLEHIGRVVVLNMGMGLLSRGIDNWAHLGGLLGGAAMAWLLGPAWQYQYVAKDGRVVFKDKAPILLLKSGRRSR >Et_7B_053278.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:20700411:20704598:-1 gene:Et_7B_053278 transcript:Et_7B_053278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLLPSPSPMRRRHLLLPFLFLYLLFAAACSSASNSEVAFLTSWLNTTASRPPDWSPAAASPCNWSHVSCDAGGGGVTSVSFRSVHLAPATVPSGLCAALPGLVSFVVSDANLTGAVPDDLWRCRRLAVLDLSGNALTGPVPASLGNASALETLALNSNQLSGPIPAELGKSLKNLLLFDNHLSGELPASLGDLRLLESLRAGGNRDLSGPIPESLSKLSNLAVLGLADTKISGPLPASLGQLQSLETLSVYTTSLSGPIPPELGNCSNLTYIYLYENSLSGPLPPSLGGLPRLQKLLLWQNALTGPIPESFGNLTSLVSLDLSINSISGAIPASLGRLPALQDLMLSDNNITGTMPTALANATSLVQLQVDTNGISGTIPPELGTRLSNLQVLFAWQNQLEGAIPSTLASLASLQALDLSHNHLTGPIPAGLFALRNLTKLLLLANDLSGAIPAEVGKAGSLVRLRLGGNRLAGTVPASVAGMRSVTFLDLASNRLAGPVPPELGNCSQLQMLDLSNNSLTGTLPETLADVHGLQEIDASHNRLTGPVPGALGRLESLSRLVLAGNSLSGPIPSALGQCRNLELLDLSDNELTGTIPDELCGLDALNIALNLSRNGLAGPIPAKISALSKLSVLDLSYNSLTGGLAPLAAGLDNLVTLNVSNNNFSGYLPDTKLFRQMPASSLAGNAGLCTKGGDVCFDASGRPVSSGEEDASRVRRLKLAIALLVTAAVAMVLGMVGILRARRTGIGKGGDSESRGGNSDLAWPWQFTPFQKLSFSVDQVVRSLVDANIIGKGCSGVVYRVSIDTGEVIAVKKLWPHKDDVTSGVRDSFSTEVRTLGCIRHKNIVRFLGCCWNKTTRLLMYDYMPNGSLGAVLHERRGGGGLEWDVRYRIVLGAAQGLAYLHHDCVPPIVHRDIKANNILIGLDFEAYIADFGLAKLVEDGDFGRSSNTVAGSYGYIAPGTSSEFLDIFKLNAENKLTN >Et_7B_054918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5515977:5517688:-1 gene:Et_7B_054918 transcript:Et_7B_054918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGIQWKAEAIGRFALPTRLVCSLRSAVTTTPMLTSTAASLPPPLRRFPRGATLSASSHGGAGGKNPLRPMPRRRTLVCRADLQQDAPFAAAIGACVLASLALPPPRPSGEAEDEEGGVFGATDTRMAVMGIISFLPYFNWLSWIFAWLDSGKRRYLVYAAVYLAPYLRTNLSLSPDESWLPIASIFICILHIQLEAAVRSGDNESFKFVERAWNLFFPSAAKEKDAYHGNKRDSIGTGRRPNKRIPSAHESRERLRNSDIFKRRLDDPIDEKRKKSDWD >Et_4B_039940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6353624:6357149:-1 gene:Et_4B_039940 transcript:Et_4B_039940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAARRSSAFIALDKAVVIRDLTRTPGRNWASIPCASKKAYGCGRSGQKLIEGVTLYVRRLNHLDLSSSLRIGLSDNALRSIEAELGAPKGYVEVEGTVQIAHHHLLILLVVFHRRLHGKLIYYLVFDSTDSSIYMIPYIPPDLDAPYTLAPVPVRLGAGQNHALALMARDHVPLHFHQDRLCLFSPADPSFDNTYPWKIQEHRLPNLPGTFSADAVFSLGGKMFWADLSDGLLYSDLRPLGSVGEAHGIKLPVGVYKGPESAATKRTIGCVEGSITFVCISSIGEIGDEIGISRMGRIGDEIVRTWTLDLECLLWREKKDLCCPWKVLSKNIGFMNLAQRDVVPQFPVLMLDGALCLLLRNMNQPRAVDEEENYICSFDMGTKTPLWYGRVKGYSITGPVILPYKHFSNFFPSPPSKRVLPASKRELPVCERSCQSSRAKVRAYLASFARLALLAMLRGISASSIQQEKGSDARGDGTRHNVFKRASSEDHASLKAFLASWCDDGFS >Et_10B_003461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:194669:196504:1 gene:Et_10B_003461 transcript:Et_10B_003461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATNKQQPPAQEVDGKKKLSDDDDEKGGEAEEKYHGWKAMPYVIGNETFEKLGTIGTTANLLVYLTTVYGMKSANAATLLNLFSGTTNLAPLLGAFLSDTYLGRYATLAFASVASFLGMLLLTLTAAVPSLHPNHREEEEGASTGQMAVLLASFALIAVGAGGIRPCNLAFGADQFDPRTASGRRGIASFFNWYYFTFTVAMMVSATVIIYLQSNVSWPLGLAVPAALMGLSCALFFMGTRLYVRVRPEGSPFTSFARVLAAAYRNRRLPRPRAEEMFDPPHTSSLVTKIAYTDQFRCLDKAAAAAAECCTLQEVEEVKCLARILPVWSSGIVYYIVLTNLGNYAVLQAMQTDRRLGRTGSFQIPAGSFVVFNMLALTLWIPLYDRVLVPAMQRVTGREGGITQLQRIGTGLLLSVLTMLLAAAVERRRRGAGDAASCFLLVPQQLLAGLSEAFALIGQVDFYYRQFPENMRSVAGALLFLGFAVASYASGLMVTVVHRTTGGPGGRPDWLAQDLNQGRVDLYYLLIAAMAAVNLVYFLACARWFRFKKPVHDAAKAAAMVVEMDDSIKKANAAGPPV >Et_4A_035318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19716450:19724361:1 gene:Et_4A_035318 transcript:Et_4A_035318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNPEMYEERGGFYFWGDIDDQDKHFFKIMAGDFRERLVLIFDPSGCEKPPSVVIEKVTHISKRNEPVGMASPYNDLSMKASSNERKRSWAQWDSSKQGNNIIHIGSSSSSPDPEGATLSEDDQSEHCVPKYIVPFGTCLGSMMKKKLKQKLQAIHSEIPIHVCAIKKSNIYGTARCMSMQNSGKNCSVCMEWQEHCYWSHMADEEKHFFKVMAGDFAQSISIPTRFANNFNGHIAEVVTLKSAGHKIWNISVGGDADEIVFRSGWKDFVGAHSLEEGDYLVFKYAGVSSFDVLIFDSSGCEKTSPHFANNHVYERIEGPASIEGGRHGCHRFNKGKTCTPQSLPSDDDDGNAPLEAAFHKNTSRNIPKGSKRNLSDVIEQAQCEVKDGEDDTELDEDAAPAKTGYYFCKNGPVMEYHLTLEEKEEISSIRVPVQPRNPVFVQVMHPGHVRGKKRGLVAVSSEFATKYMGTLRREIILQRASSRGTWHVSYNCNKFSRGLCGRGWCSFVEDNGLLEHDVCLFEVMQGARRPTMTVHVMRKEKGDSTRKSFQKMQPLKNCILALKGDDNANQICSTCFGKL >Et_5B_043906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17554226:17570039:1 gene:Et_5B_043906 transcript:Et_5B_043906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPTSTSSWFSGLARASSSSMAGGVASTPASASLPDAPAAAAARAVVAAAGGKRKQLQGTLFKYGPKSAQVAFKTGDFNHQVIFIGGLTDGLLATDYLINKENSEGVILLGHSTGCQDIVHYMKTNFACSKAVSGVILQAPVSDREYRATLPETAEMIDLAAKMISEGRGMELMPREANPDAPITAYRFHSLCSYMGDDDMFSSDLSEDQLRQRLGHMSTTQCQVIFSMGDEYVPEYVDKAALVDRLCRALGGAEKVEIEWGNHALSNRVQEAVRAILDFVKREGPKGWDDPMCRCVSVSSGNADTTKSN >Et_7B_054226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17946470:17948576:-1 gene:Et_7B_054226 transcript:Et_7B_054226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKNNVKKGPWSPEEDAKLKEFIEKHGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFTEHEDRVICNMYASIGSRWSIIASQLPGRTDNDIKNYWNTKLKKKLLGTSAITAPPHRAPRQHHRPLNLMLQHSSPSLSLQPTYSSFFSNAGSLHDPIIPALTLPPPQDFLLSSSLAMPNASSLLQAHGVTQQQLHHHVVKEESGSMIVFGGDQQSCSSSDGGAQSRQQFSHGKELSFDGYYGCNNGNMEHDHRLLQLQEHHQQAQVPVDYNYEEIKQLLLSSTAGNLHGGQGHEGGMEGFGSQGKVTMM >Et_9B_065629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8291376:8295106:1 gene:Et_9B_065629 transcript:Et_9B_065629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQTLPDSLAPVAVAARPGSTDGFGGGSSPGVIPLSAARRRMPGRWRRIPRAASATQAPSQPSLFELVNPGLAAALEEARNRMEMKEISKRKMLAQAEKLEDRADDEPCFSEEAQAGWREFKVKFAGYMRWLADDSTGTFRATPAPSDTQEEHLTAENMEESLCGRSHFATLALNHYNSRKMHKFAIGTVLLSKFFSELNGDTFAHVNFTAAPVDQSASLASKRLFFAGLMLIPELQAYEDEEPMPVLHVCTIDDSCYGGCHLIKRDLKKPLRNNMDYERCHACSDRIKHPKGDQFIAGHNATRMPYYSALPWIGKK >Et_9B_064969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20083555:20088891:1 gene:Et_9B_064969 transcript:Et_9B_064969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAGRRMEALRRHLRPPAAPPPPLLRPNPSSSPAVLEPSPVIIGGMVLDIHAKPSVPPQPGTTVPGMVKYISGGVARNIAECMSKLGTRPFMISVVGNDMAGDFLLKRWRSFGLCTEGILQVNDVATPVVSNVFDGNGELIAGVASVAAVEKFLTPSWIYRFRHQISNAPLLMLDANLPSESLEAACLMAYESGVPVVFEPVSVVKGQRIRPIAEYITCTTPNEIELVSMANALSRSVKYNFHKTERCKDKTGDIEYMFEMLSPAMFFLLEKGIKVLIVTLGSNGVFICCKQHTNFMKDQRKCKQTPFSMQILEKLDGCFSSNIPVNLDREGSSRTCVFHLPAIAASVISLVGAGDCLVGGVLSALCGGLDIMPSVAVGVAVAKASVESEANIPDNISAANVADDARRILLSAKRIW >Et_1B_012867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3669566:3672374:-1 gene:Et_1B_012867 transcript:Et_1B_012867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYQDLLTGDELLSNSFPCNEIENGVLWEVEGKWVVDPSAPPSAEGVKVVDIVNTFRLQEQPALDKQQFGTFIKRYIKNLTAKLEPDQAEAFNKGIESATKFLLSKLKDLQFFVGESMQKDGTLVFASYKEGATNPTFSYFAHGLKEINLHPSAARRHRELDLAARGDLGGGPRYALGRRRLAPKSEKSGHFLEQSFISGIAVVTHGLGGVWSVGFRGISEVVISFMQSKVAFVFHGRVAMLRKSCGPY >Et_7B_053931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13587292:13587926:1 gene:Et_7B_053931 transcript:Et_7B_053931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLCSCARALVLAAALVVSSCSYVADGVRTTPSASGSADAGRLDVDRAEPPTANRGTSNGYNYKLRHATTSSHGSEPAEDDELLLARQRAASGSRLPDCAHACGACSPCRRVMVSFRCAESASESCPIAYRCMCRGRFFHVPSL >Et_8A_056045.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:11854287:11854645:1 gene:Et_8A_056045 transcript:Et_8A_056045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRIFHRDLKPSNVLIDSNGSNAVGKICDFGLATYYDQAVTTLCRIPRGTYGYMAPEVHKARSSCTFESDMWSLGAIMYEVITGSPLIKGCDPANMTTCMRSLFGI >Et_1B_009798.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27545795:27546234:1 gene:Et_1B_009798 transcript:Et_1B_009798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSPTLWSSPRASHTVGEASTMLLAHGTSKLWSSSQPCTESHSSTTLAYTEFPFVVILMRWPQRAMLLNQPVESATTFSWSLWYALSHSDGPPPSLPFSKLLSVSTAFVLEVTGGEQWY >Et_9B_065447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5099889:5104296:1 gene:Et_9B_065447 transcript:Et_9B_065447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLPTQFTCSLSPYHFIRGQMNCKTNILGKKTVKPLVRMLNLNVSSASRNPQDAVEGSNDEDSDGEISMKKKSAPRRGRRKATTETPDDGTEENQASAEETKKVKRRGRKKATTTASLEEEKDKAKEPKKRGRRRVKSIDESSDDEGEEQNKDLVPSNERDDETEKQVLANALESKIESALFEDIGEVDSLTPLVCCFGPAKYSFIPSGRPANRLIDHEIHDRMKDMFWSPDKFVRAPGGPSSNVALALAAIGGRVEFMGKVGDDEYGQSLLYHLNMNSVQTRAVSIDPSAPTAVSIMKVTGQGSLKTNCVQPCAEDCFLQSDINPSVLKEAKMFYYNSSALLEPNTQSSLLKAIDISKKFGGQMFFDLNLPLPLWSSSKETKSFIKDAWEAADIIEVTKQELEFLCDIKPSEKFDTSDNDKSKFRHYSPVIITKLWHDNLKVLFVTNGTSKIHYYTENHNGWVRGTEDAPITPFTSDMSQSGDAIVAALMRMLAANPHLVTDKVYLHKATKYAIKCGVIDQWVLARERGFLPKEREDTTTEQYEVRSITEREYRTLPGAMQSENSSTDELLYVE >Et_8B_059270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1624966:1629543:-1 gene:Et_8B_059270 transcript:Et_8B_059270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPLDVCRATWAVVVLGLFCREKPFITMRRYSPPYHSPPRRGYGGRGRSPPPRRGYGGRKEQGSCSLLVRNIPLSVRGYSGHDGRRSSYYGRSRSRSRSPRYRGRPRSRSYSPAPRRRDDYSASPRRKESHRASPPRHQPKELDEDKKRRSYSPASGDEAHENPTHIKSTENGYLPTGGHPHLTAMDPLHTGGLPGTQDLLRDPAPGFTIENKRRTRKFLPTMEIRRVLFF >Et_4B_037598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21676397:21680797:1 gene:Et_4B_037598 transcript:Et_4B_037598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIQMPADQIDGAPRRPGMKRAYSGDGERRRTGRIASVLVENAPQSPATERLAEERGMEWTTTMERRCSIRYSLPSRFRTAPVRSSSAARAARLTPLACAVSHGKAIAARYFLDKGADLNKQDRIGFAPLHYAAKKGYDGIARLLISRGAIVDVSSSEGTPLHVSASCGESGVLQILLEHHADPDMVSPDQSTPLAAILGVTPDKVIESVCLKCMKLLIKVVPLTLFTYQVTNHWRVPHCGHAGADLNSSSPDTPLVIATSKGLTECVKYLLEVGADANIPIEHTPIEIAAKSRRRNLVELLFPFASPIQSVPEWSVEGIIAHVNSRPSKAKDEASAEDKKAQLKLLSGEAIEGMDYAGASKFYTELQSSN >Et_10B_003542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2981836:2988092:-1 gene:Et_10B_003542 transcript:Et_10B_003542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQVENPVEDTMTGVPTNLDNEEQPNPGVEEQLQEEQEKPYDEESKGLNHDELGNPEQSDVNVENEVDLNKEEQAIPSQEEAANAYSEEAEAKQQDTTAPEPEEKKWPGWPGESVFRILVPAQKVGAVIGRKGDFIKKMCEESKARIKVLEGPQGATERAVMISAKDEPDAELPPAVDGLLRVHKRITDGLDSEPDQPQRGAATVGPTRLLVPASQAGSLIGKQGATIKSIQDASKCVLRILENVPPVALNDDRVVEIQGEPLAAHKAVELIASHLRKFLVDRSMKAHNVPREQPMPPPQPWGPPPPWGHPPNMPPPGPGYGGNPQYMPPRPQDNYYPPPEMPPVEKQPHYGISSYGRDAPPSAPSGNQTHGSSQVTHSMQVPLSYADAVIGAAGASISYIRRHSGATISIQEGVPGEMTVEITGTASQVQTAQQLIKNFMAEASPQGPPPAPAPPAQDAGYNSYPPYGGASYGSTPGGTGPQGNYGSAPYPPSYGY >Et_2B_020835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24084722:24087093:1 gene:Et_2B_020835 transcript:Et_2B_020835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPQLFGNGMPVPFYGEMFVLARDGVEFHVDKIPSAPSGHVKTKGTIYLSNIRMVFVASKPVGNFFAFDMPLLFVHGEKFNQPIFHCNNISGFVEPVVPDNQNRALYSTHTFKILFKEGGCGTFVPLFLNLVASVRRYNQFEAQSAAAANVAPRVDPLQAAQTPVDDMMRHAYVDPNDPTKIFLQQPAPESQLRRRNYHGPADNAY >Et_2A_018835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8656472:8658566:-1 gene:Et_2A_018835 transcript:Et_2A_018835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPIWFILLACLGALYVAAVFSRLLAYLALCLRRPKDLRRSYGEWAIVTGPTSGLGRSMAMELARRGLNLVLLDLNATNLRETSDTIKSSHSVETKTVVFDLSLTGTAQGEEAMRRLREAIEGLDVGLLVNNAAVATPGAVFLHEADIERFVRMIRVNLWALTEVTAAVLPGMLERGRGAIVNVGSGSTVAVPSFPLYTVYSSTKRYVAKFSRSLYVEYKSKGIDVQHQVPFYVHTGMLSSAVKAKLRPWFVATPDEYTRTAARWIGRGPLCVPGAAQKLQWWLTGFVPDNIHDWYRIRLHLQHRAILRGAHKVDINRNSEGKVN >Et_7B_053761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1221791:1224705:-1 gene:Et_7B_053761 transcript:Et_7B_053761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTRSAVFLDENSQIHLGKKADAPRAKPLKPSARLQERKALKDLSNISERKALKDLSNISERKPLKDLSNISERKLLQNITNAKFTASKDRHPLKEKSIRKERPALPKTVIFADEDTKKCHEWAKDGVEGAQFTGNESQKFDKDVQDKRVKNEVQKVISAVPGWSDVVFAPVMFPTEEVGNFFEEVNRLELEPEILPDINRYLSNSGNIAKLTEDPLTEDEFGQYPFLDNRPVEFQLRDEPAIPRREYRLDFWEHGKQLWVVVVAVLSEAERGSEGTAVAGRRFPERGQILIAYNLDKFEKLRSKMVQGVDDMLA >Et_1B_012038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28365472:28367442:1 gene:Et_1B_012038 transcript:Et_1B_012038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVDTLSPLLDQLALVPQCLLRSGPPDSILIFLAMPGMPPVPMRLQGSESIASIKLRIQRLKFKGFVTTKQRLVFGGHELSHGRSCVRDYGLDDGNVLHLVVRLADIRAITIETTDGKRFRFQVESGCKVGYLKNKLAAQTGEQLTTLKDQKLVLDEEELEDEQLVTDIDNKGDAVIHLFIRHPAKVATQQLERETLVTVTSPKQKENLQIDASGGSALVEPIIVNRKVKISPAVMDMIGMTVAGLENGFLPDMSTEGSGGVYFMKDHSGRSNVAVFKPIDEEPMAENNPRGLPLSVDGEGLKRGTRVGEGALREVAAYVLDHPIDGCKSDGTTGFSGVPPTALVRCFHMGKEFKIGSLQMFVSNFGSCEDMGPQAFPVNDVQKIAVLDIRLANADRHAGNMLVCQDGDHLKLVPIDHGYCLPEKFEDCTFEWLYWPQAREPFSAETVAYIRSLDAEKDIALLKFHGWELSHQSARVLRVSTMLLKKGAERGLTPYDIGNIMCRETVKKESEIEAMIEEAEDAVLPGTSEKTFLETISEIMDRHLDSMLSSS >Et_7B_053555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:114895:116506:1 gene:Et_7B_053555 transcript:Et_7B_053555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKEQHVQVDITQQDDAVKSPSSDDHHKPRVSDCSTDKDSSLPLCRVCHCVEPDFRGKSALGFLGIVPPSREPCGPRTDNDDDAKTSTGKDDITGSKDAPRFVEFVSPQGEIFVCPTDTDVETGPLHQQDHLMDLGCSCKNELALAHYACALKWFISHGSTVCEICGNVAANVRPSDFNKVLASLKDYEALRERTYTGELPYLQYEADTAVDPDAVAAIRRQRLSEISSWFNPHNSHLGVSQGHVQHPALSPSNNSVVEHSVVAATALHTTRSLERSGVFVAMGLAVIVLAWFVAPHVGKKAAIIGLHMLLGGLCALTIIISLRFVFPRIQYGSMQYWAIAFVSWFLVFG >Et_8B_060263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8055213:8056298:-1 gene:Et_8B_060263 transcript:Et_8B_060263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGGTIPRETITGHPAHDKRHVFSLVSNNVQPFTCYGCGLSGMAGSFRYRCGDQHCDFKLLHRCCAEKPKSVDDHQQFPGETFSFHLEHPAGSNGRTCAVCGKPVPGFVYHCPENGADLHPCCALKRECKCRCSNPNAAGGSSSYGKHKKPVRGAWNQS >Et_3B_031698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8037399:8042987:1 gene:Et_3B_031698 transcript:Et_3B_031698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDKKRTSAAPPIAERPELPDTATTAVRAPGFSPSAMSAPPARTLADLNGDVLAHCAGYLGARDVASLAMTCRPPPLTATPSGSSSQKTECWLAITSSGGDPQVSWCKDHLYEGNSRCYKGHSGPVTALADKLLVDGEFKVLASGGEDCTIRLWHKSSLLVSSSKDSKVRVWDTMVPSSGSSSCVGSTQLSSTGPPVAMKCHQSLCYIATGSEVTAIDLRTMKKASVLALHNHKILSCDMLPSEWLICTGTKDKALLWDIRKSQELSNRVAELHSDGPVKLLHLDSYKVVTGVPSDEEVHVWETRTGDLLNTLSCGGPAQSVGRSTVSAMAVNGCRIAMAGTSAEGSFVHYQDFLNSSVPVSLPGKEVSKFWRPQQSDNTDDDSGDEDY >Et_1A_005626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12176494:12181602:1 gene:Et_1A_005626 transcript:Et_1A_005626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVPPPPPLPSDRSSTALSVRHPTASTRTPSHTRLTEPEKASHHHAVASAWEPAAGDVSRRQAGMGTPGAPPRRHPSSPPASTSTCPHLALASAPRSYIWSPTGTGRGIDASCCRRLLLVRQPWSVLVHETRARAHAAAQEEGKAASKKLKAENKDQEKGQHVPSKNKKSAEGDEDVMFYGPLEECPVCGGQVEFQGWKYKCTGVYSEWARCTFSTNSASRKSGPIKIPNGIKDDYVHKWVKQHEGKEFPKREIDEEGHIFSGMMIALSGRMSRSHGYFKEQIMKHGGKVNNSVLGVTCVVASPGERDQGGSGGFAEALERGTPVVSENWILDSIEKKKAQPLPAYDIASDVVPEGRGLPLGKLDPSEEAIETIAAEVKLAGKRSVHKDSKLDKDGGSIFEKDGIIYNCAFAVRVNDAIKEFVRLFEEVTGNEFEPWEREKKFEKKSMKMYPLDMDVGVDVRHGGAALRQMGAAALHSKLDPNVCFLVKQLCGQEIYRYALMEMAQDLPDLPIGMLTDLHLKRAEEMLLKWRQDAESVPESGPAADAFWTETSNKWFSLFPTTRPYKMMGFEQIADNVASGLETVRDINDASHLIGDVFESTLDDPVSECYKKLGCSISPVPEDSQDYKMILKYLEDTYEPVKVADVVYGVSVDRIYAVESKAMPPYEKIKNLPNKVLLWCGTRSSNLLRHLHKGFLPAVCQLPVPGYMFGKAIVCSDAAAEAARYGFTAVDRPEGYLVLAVASLGEEITEVTGIPGAEEVEDFEKKMGVKGVGRKMTDESEHITWRDGVKVPCGKLVPSRNKKGPLEYNEYAVYDPKQVSICFLVGVKYEEQNMEVVDE >Et_8A_058127.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:2076894:2078003:1 gene:Et_8A_058127 transcript:Et_8A_058127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQPPWAELPADALCEIVGHLHQTADFVRFHAVCKPWREAFCAPPSFLPYLVREGATWLDDPGAQLHSPFSTETRYLPRFQEALGGRTIDCSDDIAGGRVLAIGGCDRTAVLIDPLTGDFTSLPPLPETFFFFDGRWICTSGVVSENGVIVLCSGADRRFPPVLLRPGEEAGCEEVNMTSFVDDAWVLDGPTLRAVMFCASAGVLPGDKPRAMAELPRAAPRTFQCRHVVQFQGELLCLDDVDLGIHQQAKAPTFMSTAVRALEVGEDGRPRWVKREHGRGLEHVCFFLNWGDNSGFAIDAREFAGGEVTGGCAYFLENHPTGVAVYRYSFKDGTTTVVDELPDPFDRTSVWFMPRPRISAVRSRLER >Et_1B_010103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24185952:24186884:1 gene:Et_1B_010103 transcript:Et_1B_010103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAYSPASPPLSLASTSSTELDDDKPTKQEPVSWLLRDSSKKCFVKAGTAEFSGGAAACGESSVVQDGESDSESSPRGGARFAVSRRRSKRARRQRAPPPATAAPDPEPASSVSDATPEEDVAMSLVMLSRDSWARSGSDHEQQHWAPASSEPEQNNDGDDDDHDIATAQQRPRGRFQCGACKKVFRSYQALGGHRASIKKGKGGCVPPTPPKACRADSGVVHECPFCFRVFASGQALGGHKRSHMLLSAGASPSPAKCGEEASSGSIDLNVPAAMDDHFELSAVYDAEFGGSTRH >Et_10B_003914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7409493:7410622:-1 gene:Et_10B_003914 transcript:Et_10B_003914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLIVCDIESFMISAPKLAELDWHSQVVVYDPRRHVFADVGLDDRLLRLLKVNSGSIVASLLQRCILAKNCKLDDITLGSLEEVEISYFTASLEELEFVEQLSRCNAAVLKKTVIYYTWRPEHTPLTKEPCDKVRSKCCSNLIVEFYVVSSMMRCVSKKKATARNITIEPLAELKGVTFV >Et_6A_046600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1802912:1805068:-1 gene:Et_6A_046600 transcript:Et_6A_046600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSSPSAYRRATTDDHNTNKYSNSCNGNSQMIFHGNSHLWQTQCRNASSSCDAGVELRDLPRKVLRDLPRFVKIVEVGPRDGLQNEKNNVPTSVKIQLIHKLVAAGLSVVEATSFVSPKWVPQLADAKDVLSGIPQVPNVRYVSCVIACPVEGAIHPSKVAYVAKELYNMGCSEISLGDTIGVGTPGNVVPMLEAVMSFVPVDKIAVHFHDTYGQALANILVSLQMGISVVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMEAGDYISDHLGRPLGSKTATALRKLTC >Et_1B_010739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13532163:13533087:1 gene:Et_1B_010739 transcript:Et_1B_010739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPWWNSTWEDRSGPEYRIHVGNLPFSTNDSSLKEAFSSYGAVSSEVAYDNETGRSKGFGFVQFEDKSSMDNAIQGMNGQQVGGRNISVNQANHRSRRWRA >Et_5B_043033.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:15796570:15797271:1 gene:Et_5B_043033 transcript:Et_5B_043033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGIFLAVSLNHAVADGTTFWHFISTWSEIHRNSGRSSVLSTPTPVLERWFSDTCQVPVTLSFSKLEDAIRRAESPPVQECFFHFSAESVKSLKAKANDEMAGTATSTISSLQAVLAHIWRAVCRARGLSPQRETTCLQPVGCRRRMKGVPEEYMGSAVALGVAKSTVGHVLDKGLSWTAWQLNQAVASFDEARTREMLTVLAPEAQHPVPGSLQGTCRYHYCWLTAVRRLR >Et_7A_050991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13383228:13383782:1 gene:Et_7A_050991 transcript:Et_7A_050991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRQSSAVIKAQGWFSPAQGPKLCTHKCKYCGLKEGHNAPSCSHDPKNAERVDRAKNPGICTTIPCTLFHLVSSSQQVSLTQSFVSCTVKRKRGRPPGSANKKPKIQEPSICRNLDSDDNEDIAENCESIISDDE >Et_2A_016259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22670339:22679235:-1 gene:Et_2A_016259 transcript:Et_2A_016259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLIRPPRFLSPSPAAAPGEPRLRRTLDTSRIFSSPRPPGHRPRPASASTDLRLLTARIVDLTRRRQLAQIMEEVEAARRRARWRGGGALNIIVMNAVLEACVCCGDVDRALRLFEDMRGPRGCGVDEVSYGILLKGLGIARRIDEAFELLESIEKATIGSPRLSPHLICGFLNALIEAGDMRRANALVARFRQVLYEDHSVLLYNLLMKGYIRSNFPLGALTVKDEILRQGLKPDRLTYNTIISACVKSADIDKATQFLEDMKEEAKRDNNPALLPDAGLGSSQDLYSVLKIVVEMKSSVLSLDRTAYTAMIDALLACGCIDGALCIFGEIMKQAGDNKDLRPKPHLYLSIMRAFASRGDFEMVNRLNKRMWPDTVGSISRAAKEEAHELLMEAAVNSNQLDLARELLRRIVNEKEFFSWTSRVGLVAVKVESLSGFTNSLLRPHIILNDPVEKYMIPFQESRPLPSDLILRKAVMRFLEDRAVPLVDDWGGCVGIVHRDDCNKLDAPLLSMARGLPLCVPTSTSVEHVMDLLLREKTEMVVVVKSCNMYEGSYVSSSRPLGVFSLSILWKFIDDSSSDINDVGLSRVTLKQDAEAYNCG >Et_6A_048088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:628779:630482:-1 gene:Et_6A_048088 transcript:Et_6A_048088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFQGKLYAIDTNTEDLLAIDIVDEHDNDKPRVSRIVRIVEGAPVPDRQYIHQMPYLLESHGELLMIRRKITYTTSLGGNEFQVLKADFGCLFWAEMRSLGTNLALFLGRGCSRTVSVSPYDLSQDCIFFVDDYIGWHWKKITTSCCVFDMKDGKVYSPLPMVSWKSENVPATWLFSQVRGKICKLRATEEHSKELVEPDMQDNPDALCSDPDIRVLWKDNQTSAHSFLLNRTAKNNCKDRFLHLDGKITWIHLNQAFDEIRHGVKTK >Et_7A_052288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6540145:6541418:-1 gene:Et_7A_052288 transcript:Et_7A_052288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDESPLRVNTRGGAMGGGGCDGAENQRWPPWLKPLLSTSFFGQCKLHADAHKSECNMYCLDCMNGALCSQCLAYHRDHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDTFRFCSLGCKIVGTSGEYRIRKKHAAIKKKKRAHKGSAALSDSEDSSTSTSGRSDKSSVVQSFTPSTPPATGFRAGKRRKGVPHRSPFGSLMVEF >Et_9A_062038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19100800:19111125:1 gene:Et_9A_062038 transcript:Et_9A_062038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAQRPPPPPPHLRPRLHASPLFSPRAPICRGGRSRLLSALPSPSPSPPSRSQLASSTARLEREPGPAPSSEQQLQQQQQPRRDPALAAEIARLSAIRAQLRSARTLNDKLRALDAEPRVAAFFGEESSRGVLGALEAREVLLLKCLVAAGQEHVLGDELDWSGFSHYEHHRNGVNGGSALREALYSLASLVGKWSSEGVVGGETGSGEMELLRSLLKFLGDMEEFYDCIGGIIGYQIMALELLSASKDRMHRPSKDKFVDFHVPDGLNLLEDTEYASQAALWGIEGLPELGEIYPIGGAGDRLGLADSETGESLPAALLPYCGRSLLEGLIRDLQAREFLHFKIFGNQCITPVAIMTSSVKNNHEHIVGICERLDWFGRGRENFRLFEQPLVPVVNAEDGKWLISKSLFPVGKPGGHGAIWKLACDRGIFKWFHRCGRKGATVRQVSNVVAATDLSLMALAGIGLRCNKKLGFASCERRPGATEGVNVLIEKQNQDGLWSYGISCIEYTEFEKYGIPEPTVSYPANTNILYVDLQAVEEIGSRKNASCLPGMVLNLKKAVSYVDHLGFECSTAGGRLECTMQNIADNFVNKYNYRCSKGIESELDTFIVYNERKRVTSSAKRKLKSEDRSLHQTPEGSLLDIMRNARDLLSSCSIEVPMVKDNSEYLRSGPPFLIFLHPALGPFWDIIRQKFIGGSISEGSELQIEMAEFLWKDVELDGSLIIQADNIMGSTKRNENGEQILHYGARCGRCRLQRVKIMNEGINWISPNNVYWKHDVERSESVKIILHGNAEFEAKDVVLKGNHIFEVPDGHRMRIIQDKAGHVGRS >Et_4B_036654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10122919:10123967:1 gene:Et_4B_036654 transcript:Et_4B_036654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPSSHQTHMNSRKEKRMRKVDTFAPHNDGHQWRKYGEKKINNTNFPRYYYRCTYKDNMNCPATKQVQQKDHSDPPLYAVTYYNEHTCNSAFLPLSPSEFQLQTSSGKAVSICFDSSAPQEPSQAANAGGSPSSSATAAARRGTPPEAKRPQPAPVLQRSETYPWGAGVVEQKPASCSTECHDAFAGAAGAVPEEVVDAGRFGAIRFFHFL >Et_8A_057903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9023038:9026352:-1 gene:Et_8A_057903 transcript:Et_8A_057903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCWWPLSAWISPGAALFVFFNVLVGAVAFMSREHQPGGGVPPSRRRLRRSASSMILDRLRSFSMFPIHPAAECYYSTATEAEKRQDGVSTAHEPALQVQATTAPPSAPVLTVAADVVPPATPESEKDEAEAEDKSAASVDQADVRGQVQHAPESPLAQAAAADKATAAVERPGKKKQRRRRARTRDQEAEEVVDGKAELNARAELFIRQFREDLKLQGLNSIINYTRALRHHCGGAAAAASVMESWWALPAWLSSGTAMFLFFNVLVGAVAVMSRGQQGGHGRRLCRSASSMVIGRLRSFSSVLSFSDHPGAGWYYHTSTEAEELVADVSAPEPAAAEPACTHVRTAAANVAAPAAVAEARKDEAVEEEIMRASSDEPNVQGRQSHAPEPSPPAADVSAAAVAEQPPESVSNRRPRGCRRREAEEAAEGKAELNARADLFIQQFREDLKLQRLNSIINYTRVLRQRAGAALSTQHY >Et_1B_013239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6681491:6682350:1 gene:Et_1B_013239 transcript:Et_1B_013239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAFGSVGDSFSATSIKAYVAEFIATLLFVFAGVGSAIAYGKLTNDGALDAAGLVAIAIAHALALFVGVSIAANISGGHLNPAVTFGLAVGGHITILTGLFYWVAQLLGASVACLLLKFVTHGKAIPTHGVAGISELEGVVFEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWIGPLIGGGLAGLIYGDVFIGSYQPVADQDYA >Et_9B_065373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4346007:4351432:1 gene:Et_9B_065373 transcript:Et_9B_065373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFLTDDFAVLCRDEEGSGKRSKDSIPLPTEPGHCSRDGSLKNKLTNRIFTKEMANNAFQLHVDLIATVTMRCMRKHHEKNRNIDILQQKLPMPYASRNKMKQVKQPRLQWKFYFRCKSPASSIIFYGISNAAVVNQNSSFTDGNLKRKVDLDLRACKISYAFAVIYFICKMAASSILTESTVAFHEVTQLPGLMPPVWL >Et_9B_063976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8276218:8276756:-1 gene:Et_9B_063976 transcript:Et_9B_063976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYKLKKKYFDNVLVGQLSTTSPVSSIDYNQWAQLLKLWSSPQRKEMCLANHRNHEKVRMHQRTGSRRYVAQAHGLVRN >Et_3B_027550.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27046997:27047848:-1 gene:Et_3B_027550 transcript:Et_3B_027550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVATLALAVLLAATVVAPPASVRAAMSCATVYSNLMPCLSYVQSGGNMPTPSCCGGIRSLLNQANNTPDRRVVCSCLKNVANGASGSSNYITRAAGLPSKCGVTLPYKISANVNCNTYVLSGPAAASVTLAVFLLYFAASVLSVFSVV >Et_1A_009223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33994946:33997473:1 gene:Et_1A_009223 transcript:Et_1A_009223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGWKYGSGFVDGVFPVLSPMAEDILQFVQKETDVAKIWESLDNIPPAHNLWDDILNVAVQLRLNRQWDPIISVCEWIVNRSSFRPDILCYNLLIEAYGRKRQLNKAESIYMTLLEAQCVPTEDTFALLLRAYCNAGSLHRAEGVISEMQENGIPPSATVYNAYLDGLLKARCTEKAVEVYQRMKREKCRTNTETYTLMINVYGRSNQPMSAMKVFNEMKSIGCKANICTYTALVNAFAREGLCEKAEELFEEMQQAGHEPDVHAYNALMEAYSRAGFPEGASEIFSLMQHMGCEPDRASYNILVDAYGRAGLHQEAEAAFQELKQQGMRPTMKSHMLLLSAHAKSGNVSRCEEVMAQLHKSGLRPDTFALNAMLNAYGRAGRLDDMERLLAAMEKRRGGGGRPDIGTYNVLVNVYGRAGYLDRMEAAFAAAAGRGLAADVVTWTSRIGAYARKKEYVRCLEIFEEMVDAGCYPDAGTAKVLLSACSDEQQVEQVTAIVRSMHKDAKTLFTL >Et_8A_058406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6739913:6741183:1 gene:Et_8A_058406 transcript:Et_8A_058406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSRLSLALLLVLGSAVGYCAAQDYDFFYLVLQWPGSYCDTKQSCCYPKSGKPAADFGIHGLWPNRNDGSYPQNCDPSDEFDPSKVTVFLLLFPVAKVSDLLSSMRTHWPTLACPSNDGTTFWSHEWEKHGTCATNVFDEHSYFQAALRLRDQLGVLAALKAAGVNPDGGYYTLGQIKDAIQQGTGFEPYVECNRDESGNSQLYQLYFCVNAAGDSLEECPVFPHGRGCGNRIEFPAF >Et_1B_010776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1427844:1428824:1 gene:Et_1B_010776 transcript:Et_1B_010776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSKKRKIHAFENWQLYLKCSTNTQVFRTASAENEAVLPKAPSRLLNKTITKDVRRAGNYKYRIEELSDPPVRRPGIRSLLPLAGGDLLTGGTDLKIRYWDQARPEQSFCIAGPSEKDAILARGSERDEFYSYDIRSSFGVQVVQEICKQPTTASKSHKTQLAMAAADSAGCHRDAILALATFNLSSQRLISASRDGAVKVWK >Et_6A_046043.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20342956:20343057:-1 gene:Et_6A_046043 transcript:Et_6A_046043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHHNIKKYDALCLTLLVIVPCCCGRCSFARV >Et_8B_059255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15922019:15936390:1 gene:Et_8B_059255 transcript:Et_8B_059255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIYYEDHSFSSSQADDIDERRSTISVSPVASADEKPFSFFGLLCYANKVDWFLMALGTIGSVIHGIAFPIGYLLLGKALDAFGTNINDQEGMVHALYKVVPYVWYMAIATLPAGMVEISCWIYSSERQLACMRIEFLRSVLNQEVGAFDTDLTTATIMAGVTNHMSIIQDAIGEKLGHFVASFSTFFAGIIIAFISCWEVAMLSLLVIPLILIIGATYTKKINSISLSRNAIVSEAISVVEQTLSHIKTVFSFVGENWAMKSFVQCMESQFKLSKKEAMIKGIGLGLFQAVTFCSWALMVWIGAVAVTRKTATGGGTIAAIMSILFGAISITYAAPDLQTFNQAKAAGKEVFRVIKKKPSISYGKQGAVLEKVHGEITLRRVHFAYPSRQDKPILQGFSLSIPAGKIVALVGSSGCGKSTVISLLQRFYDPTSGDIFIDGHNIKKLDLKYLRTNIASVSQEPSLFSGTIKDNLRIGKMDASDEEITEAARTANVHSFISKLPNEYLTEVGERGVQLSGGQKQRIAIARAMLKDPPILLLDEATSALDSESEKLVQDALERVMRGRTVILIAHRMSTIVNADTIVVVENGRVAQTGTHLELLEKSTFYSNVCNMQNIETEAEKPVGSASDSVIEQIDEACDRQSSTKQEPENKLEEVNSKQLKQDTDIHPFFRLWYGLRKDDIMKILLGSSAAAISGISKPLFGYYIMTIGVAYYDPDAKRKVSKYSLIFFTAGMITMASNILQHYIYGVVGEKAMKNLREAIFSVVLRNELGWFEKPKNGVGFLTSRIVSDTFTVKTIISDRMAVIVQCIASILIATIVSIKVNWRMGLVSWAVMPCHFIGGLIQAKSAKGFYGDSAIAHQKLVSLASEAASNIRTVASFVYEDEIIKKAELSLQEPMKITKIESMKYGVIQGISLCLWNIAHAVALWYTTVLVQRKQATFENSIRSYQIFSLTVPSITELWTLIPMVMSAIAILNPALEMLDRETQIVPDKPDNPSEGWLVGRTEFQDVTFNYPSRPEVTILDGFSLVIEPGQRVALVGPSGAGKSSVLSLILRFYDPYRGRVLIDNKDIRDYNLRWLRKQIGLVQQEPILFNTSIRENISYGSESTSETEIIQAAVEANIHEFISGLPKGYDTIVGEKGGQLSGGQKQRIAIARTLLKRPVILLLDEATSALDGESEKVVMSSLGANEWKNKDERPSKITSITVAHRLSTVINADMIVVMEKGKVVEFGNHQTLIAADDGKNDDSKSSKPNIANGKTSTSPELPAPETEAGDKPFPFFSLLCYASALDWLLMVLGTMGSFIHGMAPSMSYYILGKTVDVVGDNLGNKEAIVHELSKLIPCMWSLAIVTLPGGMIEIACWMYTSQRQMAHMRLAYLRSVLSQDVGAFDTDLNTANIIAGATNHMSVIQDAIGEKMGHFVSNFSTFLVAIIVAFACCWEIGVLSLLVVPMLLMVGATYAKMMVDMSMTRITFVSEATTVVEQTLSNIKTVFSFVGENSAIKSFIKCMDKQYKLSKKEAMIKGLGLGMLQIATFCSYSLIIWVGAAAVTGRKAKAGETIAAVINVLSGAIYISNAAPDLQAFSQAKAAGKEIFKVIKRNPAISYESKGKFLEKVTGDIEIQEVHFTYPSRDDKPVLQGFSLDIPAGKIVALVGSSGCGKSTVISLVQRFYDPISGTILIDGQNIKELDLKSLRRNIGSVSQEPSLFSGTIMDNLRVGKMDAKDEEIIEAAKIANVHSFIAKLPNQYSTEVGERGLQLSGGQKQRIAIARAILKDPPILLLDEATSALDTESEKIVQEALDRAMKNRTVILIAHRMSTIITADKIVVVENGRVAQSGKHEELLEKSAFYSSVCSMQNLEKDSNKSMTRLTGQVKEEKEEEQEEAEDGAYYKTPFTSIQQENKLELNLEQPNQVMRKKLSAFYRIFLGTFKLLPGKVLLGSTAAAISGITRPIFAFYIMTVGIAYLEPDAKRIVSKYSIILFLIGLLTFFTNIFQHYIYGLVGERAMNNLREGLFSGWFEQPKNSVGFLTSRIVGDTSMIKTIISDRMSIIVQCISSILIATGLSISVNWRMGLVAWALMPCHFIAGLVQVKSAKGFATDFSKSHRKLISLTSEAVSNIRTVASFVQEEEILKKADISLQEPMKRSRIESIKYGVVQGIALCLWHTTHAVALSFTIFLLDKSLATFENSVRSYQAIAMTISSITELWSLIPMVLSAISVLNPALDILDRETQIVPDEPKVCSEDRVSGNIEFQDVKFSYPSRPEVMILDGFNLAIEAGQRVALVGSSGSGKSTVLALLLRFYDPCKGQVLVDGKDIRDYNLRHLRKQIGLVQQEPILFNLSIRENISYGNDSASESEIIEAAMEANIHEFISGLSNGYDTVVGDKGSQLSGGQKQRIAIARTILKRPAIMLLDEATSALDGESERVVMSSLGAKEWENKGEQPSKVTSITIAHRLSTVTCADVIVVMVKGKVVEMGSHETLVSASDGVYSRLYHMQSKGVKD >Et_2B_020817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23979712:23986116:-1 gene:Et_2B_020817 transcript:Et_2B_020817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATGALPAVVPRPRPLILRTSPPRRGQPPCRAPPPTRCRASAAPGAPAPRELAASTLDATAIRRGGIREYVEAAREMARRKDGGPARWFTPLECGGAGGRVHGAPTMLYLPGIDGVGLGLIRHHERLAKMFEFWCLHIPVEDRTPFEGLVEYVERTVKSETSRAPDRPIYLVGESIGACIALAVAARNPDIDLVLILVNPGTAFHNSQLQSLSGFLDLVPEPFHLITPQLLNFLTGNFMKMPSTFVGRGLSLGEAGQTLSEITPNLLPSLMLLVDILPKESIAWKLKTLRTASSYVNSRLHAVKAQTLVLASGNDELLPSREEAERLRSTLEKCRTRHFRDSGHKILMEPELDLATTIKGAGYYRRSWKTDFVSDYLPPNRDELAKVIDRDWILHFVTNPVMLSTLPDGKIVRGLAGLPKQGPAVLVGYHMLLGFELGPLVTGVLNRSGIHIRGLAHPFMFDKNTEQLMPDSAHFDAYRIMGAVPVTGANFYKLLAEKQFVLLYPGGAREALHRKGEDYKLFWPEQSEFVRMASRFGATIIPFGVVGEDDICDLLLDYDDLMKLPFYDILDKMINKDGPKLRTDSTGELKNQEMHPIVAAPKLPGRFYFIFGKSIETRGREKELRDKEKAQSLYLHVKSEVESCINYLKEKREEDPYRNILPRLLYQATHDLRLGGSDDRSELQCCLDLDDFLEKGLRKR >Et_1A_009414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:532636:533580:-1 gene:Et_1A_009414 transcript:Et_1A_009414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIARCKGVKLANWWTGHLGLPEQPSGSSGGPKTEPPAVKEPEPSPAAAGGEHGDENTESGGGGGGEPREGAVVAAPNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVADAIAQFSRRRQRGVCVLSGAGTVANVALRQPSAPGAVVALHGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGSLIAAGPVMVIASTFANATYERLPLDEEEEAGPGAQPMPGGGGDPLMGHHGIADPSAMPMFNLPPNNGQLGGGGDGFPWAHQRGPY >Et_9A_062041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19173474:19177101:1 gene:Et_9A_062041 transcript:Et_9A_062041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLPAPSAFRHDTVRSCIGRLLDAGSRPLRHLFPPTQVPEQLPGSRFRRPAMRRIPQPGAHVRVPAPQLPCLSNDDPRCRCCGDAEHGNIFCSPRMVNSRALVSRRIPGHRLTTLNVLLSCAYAVALRAWGRQALSLTTLLLLQDQQVQGSVLGGPLRRRALQIGVPLLAARLPVPAPGAGMLAQEKARAEPGFAPEQFAQSRREVKYSCIITPVGVIYPSFCRNKRFVC >Et_1B_011574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23732028:23733382:-1 gene:Et_1B_011574 transcript:Et_1B_011574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPDEEEERRKAAPMIFRLFGKDLHVPGEEMDEEDDGEHPKELKKSTSMPNLITSVNKSSALEEAGKKYASDDLDLATPQQKRRRRKAQERKKGIPWTEEEHRKFLDGLRHLGKGDWRGISKSFVTTRTATQVASHAQKYFLRQTNPGKKKRRASLFDVGMADYNKNDQVPSPQSIATKPAPTEEIIHTDRGDVPVNELTYFLKKPKAHGETSFGSMPYGLETASSISSLELSMAVNSLDLSIAPPRGAAGAIKVL >Et_3B_029202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2379121:2383969:1 gene:Et_3B_029202 transcript:Et_3B_029202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYGFEYSDDEPEEQDVDIENQYYNSKGMVETDPEGALAGFDAVVRMEPEKAEWGFKALKQTVKLYYKLGKYKEMMEAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFSLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQREDGSDDQKKGTQLLEVYAIEIQMYTETKNNKKLKELYLRALSIKSAIPHPRIMGIIRECGGKMHMAERQWAEAATDFFEAFKNYDEAGNPRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQKNDIMEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISQELNVPEKDVEQLLVSLILDNRIQGHIDQVNKLLERSDRSKGMRKYNAIDKWNTQLKSIYQTVSNRVGCLTN >Et_1A_006417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22017572:22018055:1 gene:Et_1A_006417 transcript:Et_1A_006417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTVEDSSRPRPKRTGAGSLLKPLNSEYGKVAPGWGTTPFMGVAMALFAIFLSIILEIYNSSKRPVLSIKMILNSSDIILFILVSGTRNT >Et_10A_001993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17518597:17522067:-1 gene:Et_10A_001993 transcript:Et_10A_001993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPTLRKKNEAKISKRDNIRPTCQRERLQGRAPPRRRPGLPQRRPPPPPYNNATKHATKLPITMPSPALFHDVLAARDGLLLVLTTIGGEEDELLHVFCPATGRRMQIVPDPPFDGQYILLVGGDDNNGGGDGAFGRFRVVKVKSTSWNGKRHDIQFQTFSSEHGGWGQSVKVRIPYKHGALLRAEPLVSNGALHWLCRSDKLYYILKLHVDSAQVTTMKLPASFHHEYGRVGAARKQLLLATTTSEGGIPRLCVFAAEMTKISVWAQSERDSSMWTRQPQMEIEHNDTWVGTEGLISQERIRLEWFSDRSGFVLFNAPGHGFFMMDVRSKKLSHGLRVTVIGIA >Et_2B_020501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20853360:20856920:-1 gene:Et_2B_020501 transcript:Et_2B_020501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGQEVEPEHGEQGGVQEEEDAQHEQLVGMMASLGLGVGEAESAAAAVARFRSEEEAAASMRPFWKEGAALAMRLWGEDTAAVDPFVPAAPGHRVVDPYAYAPPPPPLLPAGTSRGIGPAGMPRLGVQPYEDHIHRGLVVRPRNECSGGVVVHGGGHAHMAPPPPLMHGGAPPFVNRRMDAQLRPSRQEQAVLSALSQEPNESIVSYACKLLESRHGHRLFRLVLQHCNQELREWDKKSFWRICTQRSDEVVFMIESCRTQRSKLLLREAMMSWMAPNVMHSLESNRLRVVEAFIKESPRDITRFIFDAVARNCTRLAGLPNGLNLLQKCLYFVNRNEKDDIFIQISYKSLQLSQNSSGNYIVQEVLKNGDPLHLATIASCLRTNYVELSRQKYSSNVVEQCLRVFDEGERFVIVNELVSYPHFRDLVTDEFANFVISTALQNCNLQNILASTILAQNVNHRNQHCLKIFGLMSKLGDLYHKEKPRLYFGAE >Et_3B_028604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17254017:17256610:1 gene:Et_3B_028604 transcript:Et_3B_028604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKTENKRVSCGLSGIHGWELFAAKNTQEGQMVIEYRGEQVRRSVADLREARYHREKKDCYLFKISEDVVIDATEKGNIARLINHSCMPNCYARIMSVGDDKSQIILIAKRDVSAGEELTYDYLFDPDESEDCGVPCLCKAPNCRGYMN >Et_7A_051083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14201329:14203611:-1 gene:Et_7A_051083 transcript:Et_7A_051083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAAATTAAASNYEVDLGNLMAYDPSHHLAAAPSNRVEMREECLQKATELAQAVADVLFALPPNEARDGPLVHLPPPNTRLPREKHLPRPKPPTKWEQFAKMKGITKRKKNKREWDEQTQSWKRTYGYDRVNDDRDIPIIEAKATDEPGVDPFAQRKEEKKKWVEKQEKNRLENLKKAAKVGALPSHIQLAAKALPITGTKADLPKKSKKEDLENVAGMASTATASGGKFDKKLPGEKPLKHAGKHRKFLPVAEGKGIGNLEKQQYDKVLNSILAKNSEDQLDVGRAITMYKVKKEKQRSKDKDRSSKLNKPQKKGFKKSSKKNA >Et_1A_006525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23831548:23845270:1 gene:Et_1A_006525 transcript:Et_1A_006525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIGEEASRHPLLRSPNGFLGRQIWEFDPDAGTPEEHAEVERLRQDYTSNRFKQRECSDLLMRMQECHYLNKKSLIHYAKQKNHQYENLPAMKIEEGSQVTEETTLSALRRALTQYSSSQTEDGHWPGDYSGVLFIMPLLIFALHVTESLGDTLSTEHVLDGKNEALTRGREWILSHGSVTAAPQWAKIFLSIIGVYDWSGNHAIIPELWMLPEFFPVHPARFWCFCRMVYMPMAYIYGKKFVGPITTTVTALRDEIYNMPYCDIDWALARNSCAKEDIVCRPSWLQSTAMVCLNNFVEPLSNYWPMYKLREKALINLMQHIHYEDETSYYVGLCPVNKVYAGCQSWETALIIQAICSTSLAEEFGATLRKAHDYIKHAQVMHNMPNYKNHYRERTKGSGALGNGENGWTGADCTAEAIKAVLLLSNIPSNVVGDPIRQEWLYDAVDSLLSFVNKDGTLSSVESKRTAAWVEFINPSESFRNIIVDYPYVECTSSLIQALVLFRGIYPEYRREEMEKVIIKAAEFIEKMQQKDGSWYGSSAVCFTYATFFAIKGLVAAGRTYQNSVSIRKASNFLLLKQIKSGGWGENYISCHSEEYIDSGSPHAVNTTWAMLGLIYAGQMERDTKPLHSAAKELINMQLETGELPQQDAVGNFNSSIFFNYTNYRNLFPIWALGEFHRQLHGKTG >Et_1B_009852.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:33080798:33081109:1 gene:Et_1B_009852 transcript:Et_1B_009852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGAGCSSDKENIPPSAARGIAVRKQSVMKRPCGSKAALRRRPPLRDISGLFLAAALSVSSAAVDPAALPSQGVPEAARHGAPAAVALKQERRCSLRKGFR >Et_6A_046861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21691006:21691437:1 gene:Et_6A_046861 transcript:Et_6A_046861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCGSSCNCGSSCKCGKMYPDLEEKQSSGAQATVVLGVAPEHKAQYEAAAESGETAHACGCGNGCKCNPCNC >Et_2B_020867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24464332:24465653:1 gene:Et_2B_020867 transcript:Et_2B_020867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRFFLLAIAALSPPTMATAAAAAQAQLRPDYYASVCPDLERIVRESVRQSMAHSPVAGPATLRLFFHDCAVRGCDASIMIINPDGDDEWRSPDDQTLKLEGFHTVMSAKAAVDSDPRCRNRVSCADIMALAARDSVALSGGPDYGVELGRYDGRVSTRGSVVLPHSNFNLDQLTRFFSSLGLSQTDMIALSGAHTIGAASCSFFQYRVASGAGDPAMDPALASQLRGSCPGPAAPGFAFLDAATPTRFDNAYYRNLRRGWGLLGSDQALYADARSRAAVDRYAADERAFFDDFAAAMTRLGRVGVRTAADGEIRCDCRFPN >Et_10B_004068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1006070:1006950:1 gene:Et_10B_004068 transcript:Et_10B_004068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRSVGGGARLFDEFDPAVEWKQADADHDVVEIHLPGFRKDQVRVQVDNHGVLRATGERPVRGGRWARFMKDLKLPESCDADAVRARFEGERLIITLPIAAAAEAETPSSAAATPSPSPPPRWPPPPAYSSEQQPAKPQRPSPPAPPPPPPPSRRRPSSPPPAPPPPRSYSKPPSRRRPPSPPPPPSVTPASTIAATTSSNSLQAFVTPAAITAAASAYLLKASVTPASITAAAGTTSAIAATSTSTSTSNLFKASNASTSISTACSCLSKAAASAAITAAVSS >Et_7B_054305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19692458:19694625:-1 gene:Et_7B_054305 transcript:Et_7B_054305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRNTLLSSGDIPCFLQLSTSFDCSFPPFSPFLLTKEVQSSPFLVPTLLCLLCFHKTTNLSRRRAVEVTMSSAGQVVDAEYMAEIEKTRRDLRALISSKNCAPIMLRLAWHDAGTYDKNTKTGGPNGSIRFPEEYSHSSNAGIKIAIDLLEPIKQKHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRKDSSVCPEEGRLPDAKQGASHLRDVFYRMGLSDKDIVALSGGHTLGRARPERSGFDGAWTKDPLKFDNSYFAELLKGDSEGLLKLPTDKALMEDPVFRRYVELYAKDEDAFFRDYAESHKKLSELGFTPPRSTFSCKAVDKPKSLLMQAAAGVAVAAAVAAWAYLCESKKTFG >Et_3B_030334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32251750:32254581:-1 gene:Et_3B_030334 transcript:Et_3B_030334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTAEKAALEAGSEGSGATVLYLSHRALSDVSCLSSFKNLERLDLGYNCLVTLEGVSSCVNLKWLSVVENKLVSLKGVDGMSKLQVLNAGKNKLTKMDEVTSLTSLGALILNDNNISSICKLDRLQQLNTLVLSKNPVVTIGDALVKAKSMTKLSMSHCQIESIGSSLASCVELKELRLAHNKINKIPSDLTKNVKILNLDLGNNLIERSSDLKVLSELRYLRNLNLQGNPISEKGNLVKKIKKFVPNLRILNAKPIEASSKNANSPIKADVPNKDESVHGHGAVEIGTKKKDKKKQSKQQLKDPEEHGAASAPRKSEVLHGKGRKVERLGMEHANNSKLKRKDDKTSTIDTGRKDKAEAKGKKFVDEDADEVDNTEMSFADLVFSKVDSSEPKLKDKPKEVDGKYEGLVIDHTKKRKKSKGAVTITDFSTLKMLSSVPEVGAGGLGLSGWDD >Et_5B_044389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23688919:23696053:1 gene:Et_5B_044389 transcript:Et_5B_044389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIAGKGNAGSGEGQDLLSGLPDDVLLRILCHLPSTTAAAQTSAISHRWGHLWEDLPKIHFPHPADLVQISAALAAHTAPAIHLLHVVVSDADAGNSAVVLRLTAPRLTGRLSFVLEEAGTMTGGAIDLPCFNKGDNIDLRLGYHGLALPPAGVFAKLTVLSLEKIHFHGTENLGNVLSSARCPSLQWIRVLGARGFSNLTLRSKTLLSIALHHLEGFQQLTIVSPELKNNIFTKRKPLADITAPVLEVIRWFDDYDPSSVQLGELAQVRELRSFGILGHGLPPRPYNRDPLRLLRRLRKIPIDLYLLITYPDETANFKYFTEVITSLPDIGNLHLSLKIRKHAFGPCVFHLLKAFSGINKLDLKILKKPMGKAACSPSCQCHRLQDLETEELSMNFLQEVEILQCRGGDYEHAFLKRLMRWAPALRKVTMTFDPSVAVITEELCQELLRLPGPEICMRIYLYRDGEKKFRSALCVSGEGSDGEEDRLSALPDDVLLLVLLRLPSSAAAARTSILSRRWRHLWTHLQGVWFPRPADHTAARTSVLWRRLLAHLPGPRTPRRTGPLGRARAALAAQAAPALRYLNVFSSDADPGDAAAVLLLAAPRLAGWLLFDNKALKKAGAAPSGAIELPCFEKATGIHLRLGYLGLVLPPSGVFAKLAVLDFENVRFHGPCNLGDAVSSARCPSLQYLHVTDAEGVSHLDIHSESLLTLELNALEGLQQLTVVAPRLRFLCVSNCFMGRQPVADLSVPVLDTLKWVDEYDPSSVQWGNLPQLRELRTLGDLRTEFPDYPLNRDLVMLLQRFRKIPKVYLFIYYPPANTQYLAEVLTFLPAIDNLHLGISTLGHGVGPYIFHFLSISSGIRKLQLRIWGNIQGKSACSPGCDCHQPQDSETEGLIIDSLQEVYIYQCRGAGNEIIFLKQLLRCVSALKTITVDFDPLVTVRGELCQELLGLSKPETCMKIYLYRDGTKIRTRISIPETAIEWTAVFRENSIKFQPQ >Et_10B_002886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13073566:13083102:1 gene:Et_10B_002886 transcript:Et_10B_002886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSELCYIRWELPFHFDENSYMQDNLPYHPNKLQDLDRSFEEEITRLPFSQFSILVLELETEEHAFGPLVLHLLQIRPVRMLEIYRNRAGEVLCPLDCPCDQHTNWRNESISLTKLKVVRIYGLKGEDDETDFLQMINCPQAYDSESVSQRIQQTAMATPGSSAQQASASSPGGPRLRLETADCDGPTVEEDHISRLTEDLLLDVLARLSSAREAARTSVLARRWRGLWTRLPELTFDDLDIPFHTLEGLVAQITSPALNLLHISIRDWEDDVTPEQVSSLLRAAVRLAPKTFNLQLHAEGLAVELPCFDRTTSLCLQLPYMDLAPPPGGQFSALERLVLHSSNLEPGSLLPMCPSLRSLHFEPYRSFDAVTVHSATLEELVIISQYDEGSCDIDCIDIMTPQLRKVSFRISWGDDFSMSFSAPLVEDIEVDCLFTHGKVGLQYMRLSRLSYLLKHGVHELHLDICCRDSLPYVTHGMWDLERSFEEEITRLPFSQFPILVLNLETEEHGFGPLVLHLLQIRPVRMLKVYLEHRASKVSCPLDCPCDQHTNWRNESISLTELELVTIYGLKGEDDEADFLQVLFRCATALKSMTVEVSPTGYNKVCGICEQYPQL >Et_9B_065802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13026705:13028739:-1 gene:Et_9B_065802 transcript:Et_9B_065802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPRTWKVQAMEAREKEKILSSIQKKLEDIYMAITKDSSGTSELEREKKKAKLKEERAGLMRELSRVASEIKTPDCSGMSEAERAEEAERLRQEAMEEARRLELEGDHDGSLKKQNRARIMDLDPKQGGVYFNRMSFSVSPTFDIDEESPLGPMRFTYKVYENGETPEVCEAVNILSVKIACSDVGFPIQVYGSVIARDSIDHKCVYLFRRDRDHCQLITSKLQLPSFPAVNSGLHHLYFGDEALILTGPKRGLALLDDSYVETDLKIKDHQGQDRELSKGVLTIRGIAGRSLEKCEVERKSIATRLSTVDVMYAVVKNAVEGTIAIRVLQGDFYGTITAHTTSIQKRIVLYDSKVAGAETPDDHGVIQLMRPVVSVYVRDMLIIDTKTSAGKSARLEFAPKGNSGERVVVTLGATKVRVRIAWSIMDP >Et_3A_026535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9390556:9398799:1 gene:Et_3A_026535 transcript:Et_3A_026535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGEVEGWEAAVRAEVGNGWWDDLDSADLRAKFKAFTGQRRDWPQPKLLFWKDLILRVARRLRLCSVPAHLVTSVWFARPGGLTPLCLPQVLEEMRADGDILLKSDLTDPTSRSLYQLVRRMSQLAVVSRRPIAQEDILVFKLLVEERAADIVRQLSDSHWTSTCIVTISKFNSFFVDREDARAALCYLVQSGKVRYLVARKQDPVQGIKFALSAAQVPALSKLDHDTLHLVWTEEKLQQQLDVLDRQWELSRRRALASFKSGDKQAAYRHVRQSKLFSQSRTRCTHLLERVEEVISLIASAESTKKENNVSIEEVNVHLKEVDELVAAQREVDAALESAPLQSLDSEGDIEEEFRTLEAELQDEIPHMQVLGPESHVNEEPEAPNDAVESLTDNLGYTEMLVLNLEQLLKDIRQTGYELILSSVG >Et_1B_012495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32489567:32492364:1 gene:Et_1B_012495 transcript:Et_1B_012495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAETKKSKGGCSALRNWMGKAKGSPAATGSALASTNNGSQIRSAAAPVIGWPPVRTFRRNLAASSKVLVEQDNGKKSAKPEETKRAPFVKINMDGIPIGRKIDLSALDSYEKLSLAVDKLFRGLLAAQQDPLDARSKECPQEEVAISGLLDGTGEYTLVYEDYEGDRVLVGDVPWAMFVSSVKRLRVLKTSDLSSSVSTSSSVQTCGYDNAFRTLTSDSPCS >Et_6B_049962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2007070:2011607:-1 gene:Et_6B_049962 transcript:Et_6B_049962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSSSSSQPPDHPESKSPPPPAPAMGYPANPSPTPNGAASYYAAAPPPANGNGAASAAAFGVAYPYPAPPPHHPPPPHPYHHPYPPPHHHYPAHPPPPTCLRRLLALVVGGFLLLGAATFIVWLLLRPRTPAFSLTSLNLSRVAYSPTNSSLTASFDAALLAANPNSKLSVTYFSPLASVSITPSSPLAVASLPPFSQGPGNTTTLAFRVAVEDAYVGSDDASALKSGSGGTLEVEVRLAAVALFDRGGWRTRRRVMRVMCDRVPVVFRGKNSTEASFSGPARRCDVILSYEAKSWKQGKSSVIRINVSHKFANQRDAIIFSIMEQVIELKSIAKGTEEDVGTFEGSLTLAYRVLVCWIVTFVKE >Et_5A_042950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7550015:7553180:-1 gene:Et_5A_042950 transcript:Et_5A_042950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMEFIVYNIGSSTGFAVLTKMSIPGSVELPSGRSYCWLRAARLGMLFMGLSLGSWLSSHEKPNQTSPATDIAQSHWTSLTLFCTRQMKKRMIASIRAKIKNLLRQFNIITVTVALVQAAMKTEMTADFLAETIADVALDPLVPEQQGPAAATTLHVRRLHRLRLVDVHPVLILGAFILLRRRPPVATAIGNSVNAAAAAIHEQRLGGALLTSAAAGGRRRRGHGSVVGLALCLASIL >Et_4A_033646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25333821:25336650:-1 gene:Et_4A_033646 transcript:Et_4A_033646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMQAAEAAGRLSALLSLLALRRLLAVLQPLALLLLLPFRWRARPGAVAVSAASAVAGVGDADAASTRKKGKSSSSAAAAVVLRVPAGSPMVAARRQASARREVAIRRAREAGREYELIPTPRGETLFTQCWWPHPPSSAIKPRALLVVMHGLNEHSGRYDHLARRLNEIGIKVYGMDWTGHGGSDGLHGYVQSLDHAVSDLKMYLKKVLAENPGLPCFCFGHSTGGGIILKAALDPEVETCISGIVLTSPAVRVQPAHPVIRVMAPVFALIAPRYQFTGSHRNGPPVSRDPEALKSKYTDQLVFTGSIRVRTGYEILRLTSYLQQHLHRIAVPMLVLHGADDLVTDPDGSRALYEQSSSTDKSIKLYDGLLHDLLIEPEKDKIMDDIVAWLSPRV >Et_6A_047043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24510335:24517641:-1 gene:Et_6A_047043 transcript:Et_6A_047043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSFVICRKRLSSSNASPLLGSSLSKSSEARLLYGGDSIQRRPNAGLLLEDIKQEAADYSNMDGLDGSRLFGSARRRESVDDGSASDAAFSSGRQAVKQALKSVKLEDDMDVSREGETNSTMFASLLDSAIQGLVPFPEVILQFERTCRNASESIRSAATGKLRLVDDKLMQQKAQLLLDEAASWSLLWYLYGKGNEELPGDLFVSPTTSHQEACRFVATDLTAQLCKRIVLWLEGLASEALDLEKKVRGSHVGSYLPSSGVWHRTQRYLKRKNNDSTIVKHVDFDAPSREGAQLHPDDKKQDELLLEDIWTLLKAGRLEEASELCRTAGQAWRAATLCPFGGIDLFPSLEALLKNGKSRMLQAIELESGVGRQWRLWKWASYCASEKIAEQDGGRYEMAVYALQCSNLKRILPICTDWESACWAMAKSWLDVQVDLELSQYQTSRPDEKQLDEDMNGNQPMLSSVGPESWPYHVLDQQPRDITALLQKLHSSDLVHEAVSRACREQHRQIEMNLMSGNIAHLLDLLWSWLSPSEEEQNILRPLDDPEMIRFGAHIVLVLRYIFGDEMEDELEEKLVAVGDLIITTYVRYLFSEHQEELVGVYASQLQRDLCVDLFVEMMELRLHSSLHTMYKLFLSAVEYLPFSSLDSSKASFEEIIERVLSRSRQTKPKKYDEDFSDIAEQHHLQSLQKAMVIQWLCFTPPSSIPGFEIITGKLLIRALIHSNTLFREFSLISMRRAPELPAGPHKLLAILAEPLKQKDNIFSLEDPDVSDNLQEFEDWHEYYSLDATYRNWLKVEMVNAAVAPEMLSVEEKDQAVAAARETLELAFLLLHKEERPWLNAVDSSPFDSSEPIFIELHATAILCLPSGECMLPDATLCTALTSALYSTVSEEDVLHRQLKVDAQVSSKDPCCIEVALRCKAVEGDGYGLHEANDGGLLAAIMAAGFKGELNRFQPGVSMEISRIDAWYSDGNGSVESTAAYIIRGLCRRCCLPETILRSMQASISLSEAGDSLDHCDKLIELVASSEYGMMHLFSQQQLQEFLLFERECLICKMELEEEQLPSDG >Et_2A_015187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34773855:34774229:-1 gene:Et_2A_015187 transcript:Et_2A_015187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSSPSLCEFIEYIDTEQTPENIAHVYRVAERARRHWFDMEAEEKREEERRKMRQKEEERRREYEAECKAREAERERMRERARRARAAGPDAFRKGKCPRCTQ >Et_7A_051286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16615435:16615944:-1 gene:Et_7A_051286 transcript:Et_7A_051286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSEDGRAGKPPPSSSIQVKESPAEVKSGKKKIVRMPQEQIASYFSCVVPKPRPLPFEGCPPGKFIDSMDKEFLATLPQDIEIEDVRRQYLEKGYVEYEVTDDEAEEARSPAAPAPPAPGRRRYRPGVARKSGSTKRIN >Et_9A_061046.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:12406476:12406760:1 gene:Et_9A_061046 transcript:Et_9A_061046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSRNRKSFDNLSLDIDAILTMIMEHAALWTCRAPRRLDTSPLIDWCNILFDVTLSLEFFPPPPPPLPLLNCLAFMKICEGFWTNCFHNSSSG >Et_3B_027726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16186685:16188153:1 gene:Et_3B_027726 transcript:Et_3B_027726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSMLLLLLLCCYHSPIGHAGDDRHYKVLSIGSLKTAANCSETKVSASPPSNGTTVPLHHRHGPCSPVATNNTPTFEEVLHRDQLRVGYIQRKVSGAGKKAAVGTQKQAAATVPTMLGTSLDSLQYVLTVEIGSPPVTRTVLMDTGSDVSWVPCVPCSQCHPRADPLFDPSSSSTYSSFSCSSVACAQLGSGGGCGSSSQQCLYSVTYMDGSATAGTYGSDTLVLSPSVVVGNFMFGCSHAESGFQDQIDGLVGLGGGAPSLVSQTAGTFGSAFSYCLPPTPSTSGFLTLGAAANSGFVTTPMTISPQIPTFYFVLLEGIMVNEKLLDVPTSVFSAGSVMDSGTVVTRLPLTAYTALSLAFRAEMQQYPAAPPVQILDTCFDFTGQSSVAVPAVSLVFSGGVVLNLDVNGIILHGCLAFAANSDDSSVGFIGNVQQRTFEVLYDVGQGVVGFKGAAC >Et_6B_049833.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:14437878:14438228:1 gene:Et_6B_049833 transcript:Et_6B_049833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRTGQRAAGGPCSKKRGTGRTRQAAVETPAVSAHWRDRMQYTFRTKAQRRRGSSSSATILGGPASAAAGIGGVLLPLRRCGVGGRAGADLEVLVLGLGLGLRLRHCGRALKRGR >Et_7A_050255.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15740479:15740778:-1 gene:Et_7A_050255 transcript:Et_7A_050255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPTSASQRMASSLAFLKIPFRRLENVTCRLVELSIRRITILPRPILKLWPAGLARAHTGYRKRAGDPAGVLEGEGSQLFSQIGELLGGVERKKTGEG >Et_1A_005876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1543489:1544755:-1 gene:Et_1A_005876 transcript:Et_1A_005876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVECARRRRVRQGGAAEAPCGTRRTSFCLYAGGHDHAHLGSSGSKERSSACKVMAPARAWALDSNAREAKERLDQKLRSQRESVVKRRQSTGTTSTPQAEAMISGGDGTGNRLATTMGAEEAQTTMMATGVLQREVLAANGGGGRRRFSWSRLGRRPPEKTAEECAVCLEELRAGNVLVQLPCAHRFHWACAVPWVQAASRCPVCRAQVHILTSSA >Et_9A_062721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3715889:3717074:1 gene:Et_9A_062721 transcript:Et_9A_062721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDTQSPQNNRDEQFVWPWMGVLVNMPDEYIGRSANRLKVHFLSFHPTKVHHVYSKGCPTGNAIIEFGKDWSGFRNARAYESHFEMKGYSKGHWKEKKCGGQEPVGWIARADDYNSLGAIGEHLRKNGDLKTFSDIVNEETNKTGKLVAHLAYHVKEKETHLMELECEYKKSAASLEKLMEDRDKQMQSYSEEILKMRQLAQQNTHKVVEENRKLRLDLQGMMDELDARNKQFEELAAQTEEDKRNLELEKEKVRNFLVPFC >Et_8B_060055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5445890:5449110:-1 gene:Et_8B_060055 transcript:Et_8B_060055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSAKAPKLLLLLSLLLLVLTNAHPLTPPPARKAGVSGAISPGLVSTLRETLDAIRDVASVISAFPIGGILGSGDLRLSSAVADCLDLLDLSSDELSWSMSATGTSSSNPASTGGAVRLGTGDARSDLRSWLSGALGNQDTCKEGLDDTGSPLASLVATGLQAVTSLLSDGLGQVAAAGDTSSSRPDRRGLSEGPTAPRWLRARDRRLLQMPVGPGGLHVDAVVAKDGSGNFTTVSAAVEAAPAGSAARYVIYVKKGLYRETVDVKKKKWNIMLVGDGAGNTVITGHRNYVDGYTTYRSATVAVSGKGFIARDMTFANTAGPSKHQAVALRCDSDLSVFYRCAFEGYQDTLYAHSLRQFYRDCAVSGTVDFVFGNAAAVFQNCTLLARLPLPEQKNSVTAQGRLDANMTTGFAFQFCNVSADADLLQAQAAGNRTTTTQTYLGRPWKEYSRVVFMQSYIGDVVRPEGWLAWDGDFALDTLYYGEYMNTGPGAGVAGRVGWPGFHVMTGPAEAGNFTVAQFIEGNMWLPTTGVKFTAGLSS >Et_9A_060982.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:2462948:2464301:1 gene:Et_9A_060982 transcript:Et_9A_060982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPFPTPVLLAFFVLAATSFVSNAGHGRRSKVASIVTEKVYQSLFLHKDDDACPAQGFYDYAAFLRAADCFPEFGGAGNRTTRRREVAAFLAQISHETTGGWATAPDGPFAWGLCFKEEIKPPINYCDATSTQWPCVAGKSYHGRGPIQLSWYVPSSSIKFHLRRARCVATATLHGRTMWSLHHLLGRNFNYGPAGKALGFDGLGQPELVANDAELAFKTALWWGGTSRARRTSRRTGRPGSGSPPTSSTAGSSAARATSRRWTTGSATSAGTARSSASSTSGQTWTVLNRSPTALPS >Et_1A_006985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29914829:29915383:1 gene:Et_1A_006985 transcript:Et_1A_006985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCDLLDVVPPVLQFQFVRGKQASCSLCLVNRTRDAVAFKVKTTNPKNYSVRPNMGVIPPRSSCMVEGNVLLLLDHPELEMIKYLLLLALMENPK >Et_7A_052145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5060587:5063275:1 gene:Et_7A_052145 transcript:Et_7A_052145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKPLHLLIAQPQPLTGLLPNHLARHLPTSRLRLRLYGHQPKRLAAVPSRNSPGSHCWEWAPNAAAALVLQLAVCSVLFLFPSRVRANGLPPPATDAAAAAAAAVEEVAEEGDEEWEAALGKTYALSVPLRVVALRGSYPPAWVKDFFQAQGKRLKFSPEFRNSYDGLFSEMSQCLDNGKIQPKSAMAADVVSIGDSWLGYAIRKGLLEPVKNAEEQDWFRSLSGRWKVHLCRNQNGEADPNGSVWGAPYRWGTIVIAYKKNKFKRHNLKPIQDWEDLWRHELAGKISMVDSPREVIGAVLKHLGSSYNTTDMDAEVSGGKEAVLKSFTQFQKQVQLFDSMNYLKLFSVGDAWVAVGWSSDVIPAAKRMSNVAVVVPKSGSSLWADLWVIPHATKFQTDRIGGRIRGPSPLIHQWLDFCLQSARSLPFRQEVIPGASPLFLENPVPEVPQDKNKRKPKLETNLVRGVPPPEILEKCEFLEPLSDKALEDYRWLISRTQRPRLGLFGDALHKMSTILDSLKSRF >Et_4A_035077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9209433:9215451:-1 gene:Et_4A_035077 transcript:Et_4A_035077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSVRPDCHSRGFGGWRRGGHASDEESGGSKARWGRCCEMGAGEEVGGGSSRGNGVAEIDEGLHSRQLAVYGRETMRRLTASNVLVSGLNGLGAEIAKNLALAGVQSVTLHDEGNVEMWDLSGNFFLTEDDIGKNRAVSCVTKLQELNNSVLISALTDELTIEHLSKFQVVVFTDISLDKALEFDDYCRRHKPPISFIKTEVRGLFGSVFCDFGPEFTVLDVDDHPPHTGIIASISNDNPALVTCIDDERLVFDDGDLVVFSEVQGMTELNDGKPRKIIRARPFSLCIREDTGNFGIYTKGGIVTQVKQPKILHFMSLRDSIREPGDFPLSDCSKFRRPPLLHFAFLALDKFRKEFGRFPGIGCDQDAQRFMEFTASINEAATDYKIDDELDEKLLRLFASGSRAVLNPMAAIFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPSYQLDPKDLKPLNSRYDAQISVFGSKLQKKLRDANVFIVGSGALGCEFLKNLALMGVSCSRKGKLTITDDDVIEKSNLSCQFLFRDRNIGQSKSTVAATAASGINSCLHIVALQNRACPDTEHVFHDTFWEGLDVAINALDNLDSRIYMDMRCLYFQKPLLESGTLGTQFNTQMVIPHLTENYGASPDPPEKQVPMCTIHSFPHNIDHCLTWARSEFEGLLEKIPTEVNSFLSNPTQYAAAMRKAGDAQAREMIERVHECLEKERCERFEDCITWARLKFEDYFSNRVKQLTFTFPEDAATSTGTPFWSAPKRFPHPLKFSAADSSHIHFIMAASILRAHSFGISIPDWAKNTNNLVDIVNKVAVPEFKPKGGVKIETDEKANSISVASVDDIASIEDFLTKLEACAEKLPPGFQMKSIQFEKDDDTNFHMDLIAGLANMRARNYGIQEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGRPTEDYRNTFGNLSLPFLTISEPFRPTVIKHQNMRWTVWNRWSIKGNITVAELLKWLSDRGLSAYSVSCGNALLYNTMFPRHKDRLSRKIVDVAKEVAKVDVPEYRKHVDVVVACEDDKGNDIDIPLISIYFR >Et_8A_057248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22825595:22828229:1 gene:Et_8A_057248 transcript:Et_8A_057248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCCTWTGHGASSPCCGSTYRNHTPPRSPAEDGPASPPPPPLWEEAQVKKEDGASGGQQQQVEPFALPLHHEASTLFAAVPAPSLSDILGASSSAKDESPSPSASPDASGAGFPGGGGAEEEDLARRALRGRERWVYCCSSPSTTTATTSSAAGSPCSSAASTGASARSLLLKLDYEEILAAWADRGPLYIGGGLAAPKTEPEMELDNVLVEEEETPQQPAAAPACVWSSPESVGSGRAESVRRYREKRRNRLYAKRIRYEVRRVNAVRRPRFKGRFIKEHEEDEI >Et_8B_059340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16892552:16895012:1 gene:Et_8B_059340 transcript:Et_8B_059340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTPSWYARPRSPATAVWFLPAAALLLVVILLRWPHMDSSPRSAASVPARRTELYAKMARGLNERGAAFLKRGETSQSLTLSDLFDTADGAVVPRLKAADPPVRANVLYLDPEFAAIEAEVAAVKRVTETVCPLKIVLDRVVLTSTGVLLGLWQVESGTDPAEIRSRLREALPRAPQKQLYDPVLLHTSFARILGHPKLPQEQSASSFDHAKFFHELVAQVNGKIRGFQATVSELWYVEEYDVLALALNGKMKVRRLRLGCKGGQHNVRP >Et_1B_011741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25500709:25506075:-1 gene:Et_1B_011741 transcript:Et_1B_011741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAARRSLATRLSHHLTRRVHPGVPHALSSRSDDEPACPSPPPQPPLQAPLLHSCRAAQTADTTSLLADAADAAASVPAPLQGEVSAAAADSFLPVAALQHLIDAVHSFTGLNWWASIALTTLFIRSATIPLLVNQLKATTKLNAIKPEMEAIKDQTDSMDLKSAKEGQKKMQELFKKHGVSPFTPLKGLLIQAPIFMSFFFAIKNMVEKCPSLKEGGTLWFTDLTTPDSLYIFPVLTGLTFLATVELNLQEGMEGNPMAGKMKMFSRGMAFMSVPFTMSFSKGIFCYWITSNFFSLAYGIVIRRPAIRKLFNLPELVDQSSAATKPTLSLFGGSKAIPAAPSPAALMPGQPDAAALGYRVKNLEKKVKSRGKSRKRRDNFNKKYQYVSITQALGRTELNFPRLASWSFPFGKRVISSTSKEMTLWRTGPLGVWWSMLTGLQMQNLPLPRYAMLTISLDGSWPAPMLSQGNASLQKPSQSGTPISPTNLYAVRNWVPAARPTTASSSGTNSARPSNGSTTPNSICHLPRSVCKEKVPLPATQIHTRVPTTKYVVRKNFWNGNRLVPTGGLGRGTASQPWPPGWSRSASKRKLSPWITVSILTIVASPTGSMTVGPVSVQSAKTARLSHGGLCDAQDCAGRDGEGPVVKRLHDGAREEAQLQRLLTLDAEVVDEVALFRVVHHQKRPRVGAGGHLRAQGGGS >Et_9A_063522.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:646453:646857:-1 gene:Et_9A_063522 transcript:Et_9A_063522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAATTVAAGDEEEDQARLAAIAEGLQSRDAYALSSLPCPSPGPLPSFLAGVVVSDANVRLLSSRRVRLYNALSQRCFSDCVVTFYRKTLGKREAECVRACVRKYQLLTTASAARFAQLADPNSSEAAASDN >Et_1A_006774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27537263:27540947:1 gene:Et_1A_006774 transcript:Et_1A_006774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRRRAWPRPAAAVVVTAAAVVVASAIAAELVEERLTVGMTLLQDAASTGAVCLDGSPPAYHLHRGSGAGARSWLLQFEGGGWCNDVPSCAERAGTRRGSTRLMTKLEVFSGILSNSPAMNPDFYNWNRLKLRYCDGGSFSGDSVYRNGSSVLYFRGQRIWDAIITDLLSKGLANAEKVLLSGCSAGGLATFFHCDNLKERLGGAVTVKCLSDAGFFLDLTDISGNNTIRQFFSSLVSLQAVQKNLNKDCLNSTDYPYLCFFPQYALPNIRTPYFILNSAYDVYQFHHIFVPPSSDTRGRWSRCKSDPGACSTSQITTLQGMRSSMLTALEQFEAEPKVGMFINSCFAHCQSELQDTWFAPNSPMIRNKKIAEVVGDWYFERGAAIAIDCAYPCDSTCHNIIPSDQDGVSGVQNGLRFCTFCVYRQMIVYLYVLLIVSQFI >Et_4B_036121.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2631853:2632329:-1 gene:Et_4B_036121 transcript:Et_4B_036121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHPAAAAPAGTVTGKKDLRRLPHVYSKVLELPLPADTDVAVFEGPDAFHFVAAGGVRGAGVVRVHTVRIHPGVVKVVVQAGEELGDAGDMDMDRWRSRLPEASCPAMAVAGYVDGQLVVSVPKGSDGSQGGDTGEGEVNWRCCNGGKISGRLVVVQ >Et_9B_066192.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:6321507:6321968:1 gene:Et_9B_066192 transcript:Et_9B_066192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRPIRVNFFFAPWITCLFLTIGVPPSVPTELPRWLLYAFMAPMLVVELKIYGQWRSGGQRRLSKVANSSNHLSVVGNFVGALLGASMGLREGPVFLFAVGLAHYAVLFVTLYQWLPTKETLPKELHLATPNVASMAWGEDHGEFGLGLRVA >Et_1A_004777.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:40212817:40216637:1 gene:Et_1A_004777 transcript:Et_1A_004777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAPVLVLAVVTLSLILSTGVGAAGDERAALLALKAGFTDPLGALGDWKDGSKASPHCSWTGVRCNAGGRVDSLDLSGKNLSGKVTDDLFRLASLTVLNLSSNAFDTVLPKSLAPLSGLQVLDVSQNSFEGAFPAGLGSCSDLATVNASGNNFVGPLPGDLSNATSLEVVDLRGSFFEGAIPASYRSLTKLKFLGLSGNNITGKIPSELGELESLESLIIGYNVLEGGIPPELGNLASLQYLDLAVGNLDGPIPPELGRLPALTSLYLYKNNLEGKIPPELGNISTLVFLDLSDNLLSGPIPEEVAQLSHLRLLNLMCNHLDGSVPASIGDMASLEVLELWNNSLTGPLPASLGRSSPLQWVDVSSNSFTGPVPAGICDGKALAKLIMFNNGFTGGIPAGLASCASLVRVRMQSNRLNGTVPLGFGKLPLLQRLELAGNDLSGEIPGDLASSTSLSFIDLSRNHLQYSLPSNLFTIPSLQSFLASDNIISGELPDQFQDCPALGALDLSNNRLAGTIPSSLASCQRLVKLNLRRNRLTGEIPKALAMMPALAILDLSGNTLTGGIPENFGSSPALETLNLAYNNLTGPVPGNGVLRTINPDELAGNPGLCGGVLPPCFGSRDTGVVARPRGSARLKRIAVGWLVGMLAVVAALAAGRYAYRRWYVDGAGCCDDENLGGESGAWPWRLTAFQRLGFTSGDVLACVKEANVVGMGATGVVYRAELPRARTVIAVKKLWRPAAPVDGGEANELTAEVLKEVSLLGRLRHRNIVRLLGYVHNDTDAMMLYEFMPNGSLWEALHGPEERRALLDWVSRYDVAAGVAQGLAYLHHDCHPPVIHRDIKSNNILLDANMEARIADFGLARVLARNESVSVVAGSYGYIAPGIHLASLSNPFSEFHVLTTRSMVLINYERRVRVHAEGGSEERHLQLRRGADGAHHGAPGGGGGVRGRPGHRGVGPGQDPEQHGGGVPGPQRRRPVRARPGGDAAGPAHRRPLHRQGAAGPPLHARRHHHARRGQAAPQERHCQRQGRRRRRRCHRRGQGQAGLHHHAGLRLRLARRLLAESILLLIVSLLSSMIPSCMYILHAAGF >Et_8B_058650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13133306:13133851:1 gene:Et_8B_058650 transcript:Et_8B_058650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIVKISTGKLISLSEQELVDCDVHGEDQGCEGSLMDDAFKFIIKNGGLTTESSYPYAAADDKCKAALMKAVANQPVSVAVDGGDMTFQFYKGGVMTGSCGTDLDHGIAAVGYGVAGDGTKYWLMKNHVGREWLLENGEGHISDKKGMCGLAMEPSYPTE >Et_9A_062250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21035943:21039942:-1 gene:Et_9A_062250 transcript:Et_9A_062250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTACAFLPSPLPHSFLRFPARRAAFCVHPLSQPPRAGRPRLQAPPSPPPPVEDVAEEQDATPPLRLLEPPQEEDPFPPEMEPADPDFYRIGYARMMRVYGVEFLEGPDGMGVYASRDVEPLRRARVIMEIPLELMLTITQKKPWMFFPDIIPLGHPIFDIIESTDPETDSDLRLACLLLYAFDVEDNFWQLYCDFLPSSDECTSLLLAPKEDLMELEDQDLASQMLEHQQRAIDFWQKHWDKAITLKLKRLAPDHERFLWALSIVQSRSVNLNMRMGAFIQDANVLAPYADMLNHSPDANCFLHWRFKDRMLEVMIKAGHAVKKGDEMTLDYMSGVNSKFMERYGFSSPTNPWELINFSSDAKIHMDSFLSVFNIAAALPSVATDFVDGAVVAAARALPTWSDGDVPAIPSVERKSAQVLQEECRQMLDSFSTTVQQDQEILDSDVHISKTREIAVKYRLHRKLLLQKIIDSLDIYQDRILF >Et_2B_020588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21660668:21662555:1 gene:Et_2B_020588 transcript:Et_2B_020588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RFIYLLMTVLHRHVKACDGYPASCAGWTLVPRPHVCREIQQLNKPGPRRKLTASQRGLLFSDSWGSLLYAPRGLGLRLSSSSFSSRGSPEKRCGPHFLPILFLLPPTPGTAAREGRERKGAAAMAYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSVEDAKGFAERESTFFMETSALEAMNVENAFTEVLTQIYRVVSKKALDIGDDPAAPPRGQTINVGGKDDVSAVKKS >Et_4B_039368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11986605:11991729:1 gene:Et_4B_039368 transcript:Et_4B_039368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDICVRSSGGAEKLLLPYTAAPPAGYPSYSSAPPPAQPAYGGAPPPPQSQPAYDAPPHDSVPAYGAAAAVPTYGSAPPPVAAAPPSQAAAYGAPEPNKKNSSKMGIGTGLAVGAAAGVVGGLALAGGASYLGNKFDEKVEEKVEEALAKRKAEEEAYSYGGRGEGYRGGYDDCADRAGVVVVHPSGVPQRRPSPGRRGPDAAGERVSELGVARSEHGGQRHPDPARGALLVARRDARGERVGEVLGELEELVGVPSHVAQEVLRLGPHVPLEAVAEERREAARHGARGAGRQAERLEELDPREQLLLDGEGEEVGAAVQVRHAERAEEVERGTEPRGDGGDEEARAAAEHAREVLLGHPGLLRQEPERGGDGLRGNGEELRAHPRGAAEVGGRDAGGEEHLRGVGGHGRRRQQHGIRRRPRRGRRREPRDREAGRRPGPQRDGDHAEPPARRRQPREAAVAGVAQQELLHLSGGGSAWVRECSGSLNRQACSAARDESEVDGRLVAWRG >Et_5B_043059.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:18494956:18495222:1 gene:Et_5B_043059 transcript:Et_5B_043059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWGVFMAMVAVVRQYMSLFMPHAPYAVQEAVFKVGLLWIAFPALIFIVIPVVCFGEVWMLIITVCLFCILIAGVFAFWEWLVRAYPK >Et_5A_041274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20568782:20569291:1 gene:Et_5A_041274 transcript:Et_5A_041274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNKLASTTYRSACCGLGRRKPFDLIVVLAVWEIWLERNGRVFRNSTNSAISVAEKIYNEAQQWCWAGIVDRSALLGE >Et_8A_058291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4369390:4372430:1 gene:Et_8A_058291 transcript:Et_8A_058291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIGTHARSLFTEPGALELEKAFRTAKYMRNMKTTSSLTMAVIVTDNTDDKADCEVELEELTKKNQDNTRLWGTIICHSETPRYAPFSLRCACEFLVQMFAVHVRKELDSEKHRHEKRTLKVLSALSGVLLREASSPSSIITSTPNIMDLVKCDGAAIFHGDKVWRLHVAPTEDEIHTIVNWLLDIHRDSGVMSTDSLFDAGCPRALAIDHHAVICGMAAAIVTSNYIVLWFRSNNTMPRAIWGATYAPSDEVEKPRSPFKPLLFIEVEKLMKSPPWKDYEMEGIHALRLALKET >Et_2A_018311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22592034:22592458:-1 gene:Et_2A_018311 transcript:Et_2A_018311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELEDISKLEDEDAKQGVVDAENAKLDKKEEERSSAGEELDSNVEEEQLKEGSTIAGTPVVPPGKMYDHNAQVVLEMKMQFDLAFMSADEVCKALEVGKMPYS >Et_5A_042215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7637295:7638059:1 gene:Et_5A_042215 transcript:Et_5A_042215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPASPSVDLPPVKAPTPRPPTPASLQPESPGVFFSAAAAAAPSGSHHRRLAIADGIAEGGESGGMQAAVGSEEVLHPVPEEEAEYHDATEEHK >Et_7A_052972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3718955:3720282:-1 gene:Et_7A_052972 transcript:Et_7A_052972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEQGIPVSVFLHFLRGKPGARVKLRLSSVDKDGAQLWPLQDPSLLSQLQDSSMLSKTFNSVGHECGLTNFIPRIKLKQVLSRTGGCFIVKCVLTVVKESRSENDAVKNIVVPKSNLCQDFAHMLKKGEETDVTFSVQGKLFPAHRSVLAARARFFQAEFFGPMKKKPTQGIQIDNVEPTIFEGLLHFIYTDSLPNSCNINDNVIAQHLLVAADQYGLEKLKDHCLWMPGVVRGLSLLATRSRVESTDNTRAT >Et_1A_009154.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31113713:31114816:1 gene:Et_1A_009154 transcript:Et_1A_009154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTLQAPPLCPPRRAGGARTPPVRPLRITLNPACVSRPPAAADAPSTSPAAADRTPPARALRVSLNPACVSRPSAAASAAFKKPKRPVSDRDEEGPPTKGSTAAGDGKENKIAPTATAPKVRIVLRPSSRVGEAPTLPTGNTTARPRGTTGASVAPVPAAKPKATPADSEPAVLRPCSRRDAAPTLPIGNATTRPRGTAADDHAGASAATAPAAKRKAAPLDSELAVLRPCRRVDAASRSPLGSTARLHIGAADGHAAPAPAAKRTATPPGSEQAKRRASPATATASAASASLSPGTPETGGTVRALLQTARTATDAIRRREIERLRAQARRELDRVVRTVESTTRSSVHRTCSGDRPSCSRRIRL >Et_7B_053844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12681368:12693618:-1 gene:Et_7B_053844 transcript:Et_7B_053844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLMLAAPIRHVCMKCRFDSVEIHGIHGFLLEQFMKDSINAHSNKYGGSLNNRCRFALEVVEAVVAELGPAELGSDSLLMPTTMAAMTRTLMIWVLTWFRNSTNTASCAAVWWNLKWSLWMARCRFHRLYEMRKAFKGMFMVDGGYFREEGNRVVADGYEDMLIYRKPRGKQAPMAGVRGAGLRLLGLNVSPFALRARVALNIKGVSYEYIEQDLSNKGQLLLSSNPVHKKVPVLIHNGKPICESLVVVQYVDEIWGGAGTPILPADPYERAISRFWAAYIDDKLHPAWVAVMKAPTEEVRAEKLKETHAVIQHLEEAFFEHSNGKAFFGGDSVGYVDLALGCFLPWFGALRKLFGVEIIDAAKSPHLTAWAERFKEAEVVKEVLPEPDEAVEYHKKLQREREKGERERKMSNNHGGSPLLTPYKMGRFDLSHRVVLAPLTRQRSYGNVPQPHATLYYQQRTTKGGLLIAEATGVSDTAQGYKDTPGIWSKEQVEAWKPIVYGVHAKGGIFFCQIWHAPISSTDKPLKPQLRANGIDMTTFSPPRRLETDEIPLVINDFRFLKDQVNDRTDRYGGSLENRCRFALEIVQAVVEEIGADKVGIRLSPFASYSEASDSNPEALGLYMAEALNKFGILYCHVVEPRMVKLGEKFETPHSLRPMRDAFKGSFIVAGGYNREDGNNAISSGYADLVAYGRLFLSNPDLPRRFEVDGPLNKYNRETFYISDPVIGYTDYPFLPSDV >Et_10A_000983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19870813:19873391:-1 gene:Et_10A_000983 transcript:Et_10A_000983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPKRINYIAPMLASAAILLLLLSGYVELPSIASLSTPAPLLAGPGSRFPTALDSVGSRDATAFTSLLAAFQDWDAAVGCPRIRAKLAADPGAGTNATAAEAVTGGSAWSGVRCEDMGAARHVGVLVKGWTWIPDALDGVYTCRCGVSCVWSKSAAVVDRPDALLFEGATPPQQRMKGLPLRVYLDLEAARKPTGFEDIFIGYHAQDDVQATYAGNSFHTSRSYHVSTEKRNDALIYWSSSRCLPHRDKLAKDFLSLVPHHSFGRCLNNVGGSDMALSMYPVCSTNDNGTPHWWDHLHCAMSHYKFVLAIENTKTESYVTEKLFYALEAGSVPIYFGASNVWDFVPPNSIIDASKFSSLKQLASYVKALANDPVAYAEYHAWRRCGIFGNFGRAREMSLDSLPCRLCELVSKRGGRSADAL >Et_1A_007373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33916720:33926706:-1 gene:Et_1A_007373 transcript:Et_1A_007373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALFSKRSPRLPSQSTPSLLMFATMANLNITNILEKMTGKDKDYRYMATSDLLSELNKEAFKADQDLEPKLTSTVLQQLEDASGDVSGLAVGEERVVEMTNKLCDKLLNGKDQHRDTASIALKTIIAEVTTTALAEKILMSLAPQLINGVNTGKNAEIKCECLDILGDLLHRFGNLSKDHEYMLTALLSQLGSNQASVRKKSISCIASLAPSLSDDLLAKATSQVVLLLKNKSSKSEITRTNIQMIGSLSRSVGYRFGPHLAETVPLLISYCTNASENDEELRSREFYAQYVSYDPNFTDCMEEDTDEEGQDEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEACPKLIERFREREENVKMDIFNTFIELLRQTGNVTKGQSDIDESSPRWLLKQEVPKVVKSINKQLREKSVKTKVGAFSVLKELVVVLPDCLADHFGSLVPGIEKALNDKSSTSNLKIEALVFTRLVMASHSPSVFHPYVKALSGPILLAIGDRYYKVTAEALRVCGELVRVLRPNLDASSVDFRPYIGPIYKAILGRLANQDQDQEVKECAISCMSLVVSTFGDSLQRELPACLPILVDRMGNEITRLTAVKAFSVIANSPLRIDLSCVLDHVVSELTAFLRKANRALRQATLGTLNSLVVTYGGQIASSSYETIIAELSSLISDMDLHMTALALELCCTIMVDRKSIQNVGLAVRNKVLPQALVLIRSALLQGQALQAVQKFFASLVQSANTSFDALLDSLICAAKPSQSGGLAKQALSSIAKCVAVLCLAAGDQKCASTIEMLKGILKDDSATNSAKQHMALLCLGEIGRRKDLSNHVQIENIVIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILNQIDNQQKKQYLLLHSLKEVIVRQSVDHTGQSELKDSYIEKILALLFNHCESEEEGVRNVVAECLGKIALIEPEKLIPALKERTSSPAANTRATVAIAIKYSIVERPEKIDEIMYSEISTFLMLIRDSDRHVRRAAVLALSTAAHNKPNLIKALLPELLPLLYDQTVVKQELIRTVDLGPFKHVVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPFLLSGLGDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVEPIEKTITHKPKGDAVKQEVDRNEDMIRSALRAIASLSRISGSDYSIRFKNLMNKISSTPTLAEKYSSVRSE >Et_10B_004256.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:2121241:2122116:-1 gene:Et_10B_004256 transcript:Et_10B_004256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALVAALSAWHDDDGDDDTLLPFAPTCSTTNNYTDGCAYEANLASLLAAMPAAAAANGWFYNGTAGSGNDTVYGLIMCYADRNATQCADCLARAPAGITDVCPGSRTVSAAYDACLLRYSDTPFFGVADPSEAFFVFELGGEEKVDAAALDAARSGLMNGLAKTAADAPPLLLANGSAPYVGKDNKTEEVYGLAQCTRDLTAGQCAWCLTTYVGRMREVFGNHTGGATKGYSCYVRYRIGAFEVTLPPAPTVAPPPSSLPETDASYGQRRHRTHSSPRPNPCSGPRVPR >Et_1B_009887.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:8322963:8324300:-1 gene:Et_1B_009887 transcript:Et_1B_009887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DDADGPAVLERDGVDRVFLVERRRRAGVGPRPDDALAVVVPEVEQGEGRGVGAREHGGRHERVGGVQPLELVGVAERASGGLVEEAGLGARGAVPDADAVLVEAALAAGEGAVGAAVELQRAGGEGPGLPAVRDAEAVPELARERGERGHAAVGDAPVAAGRFPGRDEERGGVEVGGVVDRRVVEVHGAALLEAPVVHAHGAAVRDAAPAVGGRADGRAVPRRAARDGGREAEAVAVELPRPRGADAVEEAVVERLPAERRLGQARRQVERARAEQVQKHREAGRVAVDEVLSAGGLPRRVVVPAAGVPGGVQHGAQHGVAPRAGERVRRRLEHPPADVETHAAAARLRCGAHGLVCGSVLRCNVYKSRDDRAIRRRAGGTRPFCWVMGRGRAGWRRKGGAGQVQVGTRPEPDDLVVAHGTSPYPTWGCFVDASAGSGVRSGAGA >Et_1A_008197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5078535:5079883:-1 gene:Et_1A_008197 transcript:Et_1A_008197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKLPMLFWFLALVACSASHHDPSVVGYLQEDLALPNRLLDLFTSWSVKHSKIYASPKEKVKRYEIFKQNLMHIAETNRKNGSYWLGLNQFADMDHEEFKANRLGLKPELSKMAARPHTPTMFRYENAANLPWAVDWRYKGAVTPVKNQGKCGSCWAFSTVAAVEGINKIVTGKLVSLSEQELMDCDTTFDHGCGGGIMDFAFAYIMGNQGIHTEEDYPYLMEEGYCKEQQPHSRVVTIDGYEDVPEKSETSLLKALAHQPVSVGIAAGSRDFQFYKGGVFDGACGVALDHALTAIGYGSSYGQNYITMKNSWGKSWGEQGYMRIKTGTGKPEGICGIYTMASYPVKNVTRWGV >Et_4A_033331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21508512:21517340:-1 gene:Et_4A_033331 transcript:Et_4A_033331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKMSVLDVAAVVGGGDVITETTPAMIEKEKNFAAEAEEAEDGSGNDNMQLSPAPPEPTPLQQLVDACRVVFTDTTNPPTDEAIAFIRGAMDKVKTLDIWIMDEVGFFYNRSTAGHQDPPMLTWKIIYECATFKVAVFYLPAGVAMPLHDHPDVTVNSKLLVGSSHIEAYDWVSPCVNAAGSGSAMLAKKVIDQHVTVPSGASVKIRDYIHRFMAGQDGPCAFLNVFVPLNSPAEKHCSAFYQDSPYEFHPTGTWLSKIDEPKDVKVFRLPYRAIPIVYRIFSFSCSGFNWIAMDDERTKENA >Et_8A_057221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22483974:22487407:1 gene:Et_8A_057221 transcript:Et_8A_057221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEIMFDNQTKPCRSRVDSKSNPNSLKPKFGSSWGSQIVKGFTTDKKTKKTAAIASKKPPLASVENVNQTNQQIPYHSRVKRSLIGDFPCSPAGAQVHPQVFDCKSIRSPASHDLFLELDHLREQLRDSKERESALQSELRRCRENSRVSELEKELDVRKNEIDRLSRLNTSLEAEKASLSEQLSAVSEENVRSDGHGNREPSVDGDNAPSSGNLEFEVVELRRLNKELQFQKRNLAIKLSSAESKLSGLEKNEERDIVAKVQAEASLLRHTNANLSKQVEGLQMSRLTEVEELAYLRWINSCLRHELCNSDQADRATIDVDSNGGMAFDDDDGGEGDATNTEDCSAMKFSIAERIKQWSENEKSCLASKKEALLDRAWIEAAEARSPTRRHSLGGPKGFTQEFNIVKRRQSDTFFSLPDAADESVSCKDVTSREKRDLLVDKYDFGRSESSRFDLGKSEVSKSLSLDVEKRVLRIPNPPPRPSVSMSNSSPSNGSTVKPPRPPPPPPPPKFATRSTGIMKRAPQVAELYHSLMRRDSKKDTSSGGICEAANSANVRSSMIGEIENRSSHLQAIKADVETQGEFVKSLITEVTNAAYKDIEDVVAFVKWLDDELGFLVDERAVLKHFDWPERKADTLREAAFGYQDLKKLESDVSNYKDDPRLPCDIALKKMVTVSEKTERGVYNLLRTRDALMRQCKEYKIPTDWMLDNNLVGKIKFASVKLAKMYMKRVAMELQYMGPLSKDPALEYMLLQAVRFAFRMHQFAGGFDPETMDAFEELRNLVHVRNSTQ >Et_7B_055654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:214841:215617:-1 gene:Et_7B_055654 transcript:Et_7B_055654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHGGGGGQAGDSQAYCSFHPREVVVGVCAHCLKDRLLLLLLAKDAKLGDDVFSRGPALIRRRTKSSSISLPKVFALGSSFLQRIDSRHHHHQSSYSDDDTSVASLDDSFISIKFEDNGKATWDKAADNGKSSCTAGSTNNNKAAAAAPARSSAVVVVAAADHAKRGGVTRWRKQVVGRLLQLARWKRSSAMDGNKKKTKERGRGWIRSLTRRRAHGDRAWS >Et_6A_046687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19494461:19495654:1 gene:Et_6A_046687 transcript:Et_6A_046687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIKRYCLPYENARVPSPYPIPKCACNMTAVVTQSSHPLTAARAYFCCGNKDLMNTCDFFQWIDGPEKYDHWILLVPWTVKKAPYEKFKRWVPSPQNPPKMSKQESVAKARERAANPPLCHCGYQSELQMLPPGLKYTPFFRCSIALSGNKRGCEFQELVHRPKSHYLDPDSLPDDVLHGEELLCWYPPPLLCQCGMPAREGVVPSEGHYCRNTVGEDDEWAKKRGPEYFKKALATRRSNMRHKYLIMPPSFIYNTICSELKIKRECPFWEGAEVDVVIHHWRRSRDKYPP >Et_10A_002001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1806027:1807600:1 gene:Et_10A_002001 transcript:Et_10A_002001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPPASTAAAGNGDAINLSLTLGPISPPPFSSPGSAAASAAGGGGSGAGAGRGGVRLFPCLFCNKKFLKSQALGGHQNAHKKERSVGWNAQLYLPAGAGADMAMTAGNQAPPLTLVSVSHLCRSDEHRALIVDDVGYNSGSFGWRRSDGSGGDEKQRQEEQNRSRDTDTDR >Et_9A_062846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5829636:5830473:1 gene:Et_9A_062846 transcript:Et_9A_062846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVSVVANAAVAILSLTISFSAPLLGAQAVLPSSLYPEPLQAFRRWYAAEFDDYLLGPNPPGFFRGVIWLEFVFLWPIAVATFYGVLTRRPWVATTSLIAGVNTLTSTFAILGDILGSGRATPKLLLSYVPFGVLAVIAILRGLCSCSQRPAAGSSPASSARKKRV >Et_4B_038118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26369138:26370657:-1 gene:Et_4B_038118 transcript:Et_4B_038118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPSSAWGPSPALVTALVALLGLGLAAYIVGPPLYWHAAEALAAAGACPTCDCDCDSRPLLDLPEDCAKQFKGVRSRATGEETEKSFTELLIEELRQREEEATQAQQQADVKLLEAKKLASSYQKEADKCSSGMDTCEEAREKSAEALLQQKKLTALWEQRARELGWKPGNMKSQRR >Et_4A_034180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30576548:30582611:1 gene:Et_4A_034180 transcript:Et_4A_034180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEVPHLPLDIMYKISKHISDPVSLARAASSCKLWRDVIKDSTFLDGLRKQHLDHGFTSSLLLGFFYQCFAEAPDHLWKHHKDKSLCLAPRFIPISQLLQFTGHKEEYTAARPLSLGTFMPGIVSNLNFYEPIASQDSFLVLCHRSQDNEGNPRPDVVRVCNPLTGVVFGVPDLQYVPPDHYALLVTNDVSLDGRRSQSFQLVAVWIKGKKFIYFYYCSKTSAWWRPATTPELLPGLYLVSSPAAASHVCIHWFCGSWKSWALSHVVTLHVEGEVLSYLELPSEAKCSKEPLLAKSADGGLLLIHMKGLQLSLWKHNGEPGSSTGSWVISEMIDLTRFLPSRVLKMRAKVRLEIFRGKSGVVVFWIEGEGLFCLGLSDRLIRKIDNVHITKKYCFCPYEVDWLSCLTVTNLVVDGSLSHDVESEKVQGRWRALLWRLKIGARGDDSLSETIYLANWLPNVQSSAMMSLEIFLGTSGAVVLRFEGEEYRLCPYEIDWLSGLATTNLIVDDSSLLDERIMENDMMLQLPMDIIYKIPSRLSDPASLARLASSCKFWRNLIKDPEFLDWLKKQRHTHGFTPSLLLGQHRASFTFQQHHKDKLSSLAPRFMPMSELSQFIGSKADKNAMEPLSIGTFIRGLGEKLNFYEPIASQDGFLALRRRIIQQCELSVCNPLTGEFFCISPPFESPDRYVLLVNENVSHDGRTTQSFQLVAIWIKNRKRFVTRAYCSKARDWIWYGRAPELMSGLYVMPCPAAVSRGAIHFLCGCWENWTLSHVTTLHLERQELSYLPLPPDASRNKAPLLACSADGGLMLLYSKGHQLSLWKHDNAVRLWVLAKTIDVANSLPPRAVQMQARARVIRLESFHGKSGAVVLSVEREGRYLFSFSDGSMRKIDNDNGRKNGALCPYEIDWLSSLAIMNLVVDGSLSRDVGRKMIRGRWRTLMARHMNQNQRHGNGKA >Et_3A_023858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13499482:13502700:-1 gene:Et_3A_023858 transcript:Et_3A_023858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYYDIDDILMEEEPISVVFQVTANGVGLLDPGAESNCVEKGAKVDLPFWLAHGLLSLDQAVSINMPPCFTQKTRKEIQADAACVDLRVRCPYFYGLGCKIVPLVSDKSIGLFLRYAFTSRYKDILSKSHSSSLMTVPKFVPRLTKEETKVFESARESMTAFKKWRVGGVRMQKASVLGRKRKTKLPDGPSTS >Et_4A_035582.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30100784:30101581:1 gene:Et_4A_035582 transcript:Et_4A_035582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATQASAATRHLLAAAWSPANKPRSSQLSIPSSSSRGPAPLRAAAAEEPAVEEAPKGFVPPQLDPNTPSPIFGGSTGGLLRKAQVEEFYVITWTSPKEQVFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKINYQFYRVFPNGEVQYLHPKDGVYPEKVNAGRQGVGQNYRSIGKNVSPIEVKFTGKNVFDI >Et_5B_043417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10147152:10147796:-1 gene:Et_5B_043417 transcript:Et_5B_043417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANSASGLAVHDACKVKFRELKAKRSFRFIVFKIDEKSMEIQVERLGEPTQGYGDFTDSLPADECRYAIYDLDFTTVENCQKSKIFFFSWSPDTARTRSKMLYASSKDRFRRELDGIQCEIQATDPSEMSLDIVKSRTN >Et_7B_053545.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:9802758:9803168:1 gene:Et_7B_053545 transcript:Et_7B_053545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PGSACSWPGNACQWPVRLEKPARERSWVSTARDAATPGSLPRRLTMERAEPSPSPRCDCDADAATDGWWLAPRETTRMRRSAAGGGGGGTRTLHVRGWVGGQAPAQRSSPRKLLRAHELAEAEILLLPGCCGVHNA >Et_8B_060541.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20388120:20389091:-1 gene:Et_8B_060541 transcript:Et_8B_060541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARNIVRLLIAVQVAAAVLSGPGVVAGLSMDYYGMSCPFTEWIVRGVVSDALMKDPTLAAGLLRLHFHDCFVQGCDASVLIDSTDDNTAEKDALANKSLRGYEVIDKIKEILEAQCPGVVSCADILALAARDAVFMAGGPYYGVPSGRRDGTRSSAADTLTALPAPVLNATTLIALFASHGFTVQDMVALSGGHTLGVAHCAAFKNRLQTEAATLDASLASKLGATCAGANGDSATAPFDRTSNAFDAVYFKELQARRGLLTSDQTLFESRETKAIVNKFASSPDFFFYAFTQGMLKMGQLDLKVGDQGEIRKTCRVVNSW >Et_10A_001057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20742722:20742988:-1 gene:Et_10A_001057 transcript:Et_10A_001057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVKAEKRSFLNTKELLEHYNERLALPFCLIGVLEVWNIKTFIPYSRYINRLHHLKDGSCSWWDF >Et_2B_022444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22025507:22027168:-1 gene:Et_2B_022444 transcript:Et_2B_022444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSYRAMHPYHHHAASVPSKQHGDDNDDDDQSLLRLSLWPPGNNHHHGSMAAAPPCSSGPSVISTTTTTTSMPAASSYPCWLNQQVHYNLVGSSSFLFHEHPEPDVSISLSIAPPRGNNAAACGGGGFAAPPVALSSTVTPGGGGMSQQQQVPSQYWIPSAAEILVGSTQFSCAVCNKTFNRFNNMQMHMWGHGSQYRKGSESLRGAITAAAPPASLMRLPCYCCAEGCRNNIDHPRARPLKDFRTLQTHYRRKHGARPYACRRCGKRFAVRGDWRTHEKNCGKLWFCVCGSDFKHKRSLKDHHVKSEKELERG >Et_3A_024749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23935184:23942402:1 gene:Et_3A_024749 transcript:Et_3A_024749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRYQAATLIASPCYPDAIAWSSENLVAVASGHLVTILNPDALEGPREVVVLHRNDPFPIGVVNREDLFEPCLLPTCLARDSEPCARSISWSQQGFAPNSGCLLSVCTVDGRVKLYRSPICEFCDEWVEVGIVKTTLNFELTSICWLVSENTNVADISQLLFNYYKNINFGEDDIAYLTSQKSVNTEHTTHELQEPLSRRGPGRRTKKPSRVDGYIYDDNEDGLDVVKDADFSLKPHAKVVKHREGHVNGQGRSQNTKAPLSSNEASKSLPRITAKQYARRDALLSSLVVAWSPDLSSSDRVSYLSRDWCILAVGSKSGNVSFWKVCKPEYYTIDIGMVSREPVLIGVLQAHKSWVSAINWEVSSASSSKSSLLLATGCSDGSVKIWSSNIEGLRQCTGAKEVPFVCVAEITTDLPAPVSSISLSAPARLQPEVNLAIGRLSGSLETWIWNTCSNKIENTSACHAHDQVVTGLSWGLDGHCLYSCSQDNSVRCWVSHGNNLEQIPMHTNFPELKESTDLSEVSDRCFGLTLAPGEQMIAVVCLRLLTQKALVEFIWIGNRFLHTPIEQSIHICGQESPSLSVTSLWGSDILWSLKNFETGERALVLWDVIAALQGLNKSAPAYLGTLMHKWVSDLFSDASHCVSVEAPSYSRRDMVSKVSLRKLHLLNIICRKVMLRDHDKEPGAENSNATTDFWNDLLVTSERELRERLVSFTFRVVLKRMSYFLEGASVENNWFPVGVAQMDSWVSMNDGEVHNQLRSLRRRIQDLGSRIGSVCEYSAEEACTYCSAPVPFESPDVAACSGNDPAERHMLLRCRSSMRFCSVLQPVWHCVCCGGMVDKLLPESFFTMPASPLDADCDDSLDLSASAVPLCPFCGILLQRSKPEFLMSVSPV >Et_2A_015263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:998532:1001023:-1 gene:Et_2A_015263 transcript:Et_2A_015263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKDKGVEPSEFEDSVAQAFFDLENGNAELKSDLKDLYINGAVQMDVTGNRKAVVIHVPYRLRKAFKKIHVRLIRELEKKFSGKDVVVIATRRIVRPPKKGSAVQRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYRLDGAKIIKIFLDPKERNNTEYKLETFSAVYRKLCGKDVKPLSHL >Et_2B_021359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2886829:2890439:-1 gene:Et_2B_021359 transcript:Et_2B_021359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVGPMVNGEWCPSDIAVMKSLIVKHNNMNNTIIDGMNSKHQDIVDVLQAQFPTKDKHQVIDLYVDIVVEMMQCQEKSGTGPMMGGIDLVNDNFGMPVEDPSMNNMDLMLGARTTEGKRARKTAGKPPRWPLAAQPERHNTRFWSTEEHKLFLRGLRVYGRGDWKNISRHFVKTRTSVQRYSINDVGLYDAEPWMARNSSGWEPLAHAGGGYNSNGYVTRGQASTQPAMNNLAQVWSPVLYNGSQASTNQAAWIGNQQMGYAEAATPTMEGTSGSGNSVSGDQQEASTTPQQLMNNIWEPVHRDCAAAPVTARIGICLDAGKEQHSVMLFSTMKGMTGTKRKRRRTEDVAAALYSPVKGTAGAAGRDKLLDAVVHR >Et_2A_018143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13811546:13816721:1 gene:Et_2A_018143 transcript:Et_2A_018143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQATQDLNYIIFQVPGRAVGSSDPLLTNWTKYEGNLVLYPPPAIGPKDFRDPTTAWYDPSDKTWRIIIGSKENNDHAGFAFEYNTEHKQGRIRIASERQQNQNGLGRRKFRVTRPWPATWSSDPKGKVAVDSLGYSKTKGRSRGK >Et_4B_038399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28781744:28782662:-1 gene:Et_4B_038399 transcript:Et_4B_038399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPSWVATCMPLQLHIDMVSGLIAGDRLDGKVAIVTGGASGIGAAAARLFASSGATVVEATVAAVVAAHGRLDVMLSNAGVLLPTGSVMDMDLRELDRVMAVNFRGAAACVKHAARAMVREGGGGGGAIGGFGPASYTASKHALLGLVRAAAGELGPRGVRVNCVSPGGVATPLSCGFMGVGPEELEAMTVPFNVLQGKVLRAEDVAEAALFLASDQAAFVSGHNLVVDGATTAVNPAVLQSTIGR >Et_1A_004708.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:32670257:32671060:1 gene:Et_1A_004708 transcript:Et_1A_004708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITCHPTDRASCLRGLTRRRLAHVCADVHWHHPTRLHRPVPWTRLKQLLSHIMLLHGPRKRILLQIIYPGRYTTFAAVVVHTAVPAIK >Et_1B_010483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1111469:1113149:1 gene:Et_1B_010483 transcript:Et_1B_010483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRGGGAGRRQERQALMVAFGMALLMGTVVYFRIWARQSSDPSFTADDREELRRQFERANLEAMDESAEWRMKYDTEVGENRRLQDELSKIKASWADSTRRLELLQKEYAILIRQTESLRQQCYVLH >Et_1B_010242.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31595480:31595518:-1 gene:Et_1B_010242 transcript:Et_1B_010242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALVATYALH >Et_3B_027387.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:10027497:10027757:1 gene:Et_3B_027387 transcript:Et_3B_027387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDHCDFGVQEFRGGLNHLTMANFLKLLNEHVEAYLRTSFQYPLSKNKVVTFFRFFHRLVISRSRNFHFLCSSDWMFEKWGTWTKH >Et_1B_012990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4642028:4643305:1 gene:Et_1B_012990 transcript:Et_1B_012990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKRPAGSRKDEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRIDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPPEGLKGLGTKVVEDED >Et_2A_016197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2217150:2224491:1 gene:Et_2A_016197 transcript:Et_2A_016197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPAAAMLRSPSSLVRLSRRLQFHLPRPGNPRLPSPPLSARPRFLSSSPPSSSPPLDVAPAETEGWGVYDPVAGRIVMRGTPPPPTPASTDSDSNDEEVDEEKGRPMLRKAPGSWSAMAVPRRTAGKAGKQRASFVCSNCREGQSQWWGICPNCRAVGTLDEYAPGAEPSRAEVGTTEGSHSHHAAQSWIPQKSKGMLPQSLEDVNKGFDQAEWRIPLTGMFGMEISRVLGGGIVPGSLILVGGDPGVGKSSLMLQVASNVLEGFKAEESSPVVYVSGEESIEQIGNRASRMGITSKNLYLYSGTDIEDILDKIQTLSPRALIIDSIQTVYVASFAGSAGNLTQVKECTSALLRFAKLTNIPVFLIGHVTKTGDIAGPRLLEHIVDVVLYMEGERCSSHRLLRSVKNRFGSTDELGVFEMSEFGLQAVLNPSEMFLTDHDSDSEILAGLAVAVILDGSRAFAIEVQALCISGTFGIGQAVGIPQKRADVLISVLMKQAGLKLQNN >Et_5A_040708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11413604:11414906:-1 gene:Et_5A_040708 transcript:Et_5A_040708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADWSSLPSDMINRVTDRLLASNDLEDLRAVCGTRRSATVAPRPARSTPASARADGAGGDEDTRLFLNVVTGRFLRKSLPLLCRGRYHFITTTPGGSVVLADREPPHAARVLNPFTSALSLCAAVVGSSADSFTLVLVSDSARKVSCAGLRSEAFLRMVAADASGEEDDGEVFIFILEKSTHRIGLIKTKKIREASGE >Et_4A_034922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7928658:7932247:1 gene:Et_4A_034922 transcript:Et_4A_034922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKWKAAEGGGCAVAGGAGDHQRRRCVAASLSMLIAATLALLAYVAFFPGDGAGGLYRLWRCQDCAGELGEFPGDDDAAAAADGPTAAGVVARRRPPTTLSHVVFGIGASARTWDQRRGYAELWWRPDQMRGHVWLDEAPVSPWPNATCPPYRVSADASRFGDRADASRMARIVVDSFLAVAAENDTAREEEEEVRWFVMGDDDTVFFPDNLVSVLRRYDHEELYYVGAPSESVEQDVMHSYGMAFGGGGFAVSYPAAAALAKAIDGCLDRYRFFYGSDQRVQACLSELGVPLTREPGFHQVDVRGDAYGMLAAHPVAPLVSLHHLDHIEPISPVAKGKKTAALDAVRPLVEASRHDPARTLQQSFCYQRGPGGYTWSVSVAWGYTVQVYPWAVAPHELEVPLQTFRTWRSWADGPFVFNARPLRPPSDACARPAMFFLSRVRNETAGRATVTEYARHDVVPAPKECDRASFRAASTVHTVKVFAPRMSENDWARAPRRHCCKTKRTRWGSVLEVRIRRCGRGELTTP >Et_3A_026060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:397016:399128:-1 gene:Et_3A_026060 transcript:Et_3A_026060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRLPWPRGGSCFHGEKNPLDHAELVGVHTTKRIVEEFYNEAARRLPLEEMPELGGCIHAGGLCLGLADPVANIILNARREQLPADEGRRQFGLTAFMTAYFRYPGPALPPRGRLRRVPRHQPRPPRPFRVGAAAAASFAPRRRQAPGRPRGRRGAGRAPRALYLLASPCEHILSLKLCLLDTIHALYLKALARVPTGAWTARLCRAMFVAGHCYGAMDPVSNIILSAVWYDVAFGADSEHEALNHIGASGRDLLSTTSLLNLMGATATAAASDDDSWSTHETLLAAAAKAAKHPQADAFVTFLTSLPVNKLTGLLGPPGSRILDADWELLNNLVREESARHGLPPVMPAAQKEAPRRLFTFAPLEETFNGALLTNQPIGSPNTLSPLLASGDKLTFVRAQLEKLLRDYCRHHPWARTLEPSYQVDIVCGAEAHAKACYGPRCYHVNFLASTNNDARTLPRERTLFFAELWDSPSSYHQHVPAQPSICCPVSDYFTSTGRCSFCEQGASMIVHPPSGGHSGTIQGMADALYRSAVHKMQTRCSEELVGSDKMYFDPATYVEAPKITTTTDSFMPWTPRVRPSFPRKRERREPSDTRWLYDLPDEPFF >Et_3A_023442.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27789660:27789692:1 gene:Et_3A_023442 transcript:Et_3A_023442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTRSWTS >Et_2B_019279.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20953013:20953672:1 gene:Et_2B_019279 transcript:Et_2B_019279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVNAPPGSTNVTPYKSRDDRFAGKRAAERWQWDPQLFNALSKTWKDLTGQCCSAAHFAGLCRRFVSRSQLSLSLIFSPLLIGLRRRYYSLRRLRSLPVHRWIPTASGRRKRKSQSSPTAAQSVTTIASLSDDNLADILLRLPSSASLGRAALACPRWLRLATSHDFLRRFRDLRPRPPLLGCFTSCYEGLPVFRRVRDFSDRDNAAAVRGGDFSLAS >Et_5A_041325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21182071:21185736:1 gene:Et_5A_041325 transcript:Et_5A_041325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGTGIFAGCQILTQWRSAAAACCSFGGSGGSSSCWSVTAVRCPCDAWRRRHIWSSRSWGRRYVFEVPGESIARLQAGADDDDVLGHHILLEGVVLVPLKLTCSGCKPSPIIGTDDSGIFNVVTSMEAASWSLLYPMFRHHHCASRHLFQLLHCIPQTKLTIMSLSKIYNNRKATAEGINDWDSDNDNVIQMDETKGNCNLSQFICIFGFHPYKDVAFFCIYPIMTELLPTMRFRLFKLPIAFGHASQSDGEVSGSKGKLVYTLADEFGRGSSTKSMVRVAG >Et_8B_059229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15665160:15669649:1 gene:Et_8B_059229 transcript:Et_8B_059229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDSRPAGMRLFGVTIAPALEADPPDRDPSPNPPMAAREDVMRKCKSMGNLAAAAASVDGGGAGDGYLSDGGLMQSAGKRRRAQERKKAVPWTEEEHRTFLAGLEKLGKGDWRGIAKNFVTTRTPTQVASHAQKYFLRQTNPNKKKRRSSLFDMMPSDASQVPSYPILPPPMAKVHDVVAMTKQLQNSKLEAVSSSNSANVSSQVGRGSLDLPPIPSFKPPKIDSNFSKMSPMERWRTPFPFRPIPRAPEGTSSIAATANIAARASQTNLTACTTTFLSPKSDPSSPPLPKADPAPTEEKKDLELTVGPPSQQNMTNISSSNAVGVIQVI >Et_9A_060955.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21202110:21203690:1 gene:Et_9A_060955 transcript:Et_9A_060955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLRLLAQRRRPRRAVSTITTAAAVRPAHYSDVPDHNSEADAEEEDEGPFFDLDFSSSSVRASSSSSSGSDESDDACSELDFIISLHRSRSASPSYDALLFGRPTPPQLQFCASEPPSTSSRKAGLLLLPSSRYGDHSNKNKRGGAGGMRTLSFGAKKAAFYGGRPSFAGSASSLRLFMESPADDVEEEDVHASEHKRAPSGEVIRRYLTKISRRLRSVRPRAAASAFASGGEERGLRRLRKSRSASAAVSLAPSASSSRRDDSLVEKQDGIASAIAHCKESFRRGILYARRLCFQQETLLLVAVLQSFVLNQ >Et_7A_051855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25622417:25623320:1 gene:Et_7A_051855 transcript:Et_7A_051855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIRIKAGQLRRNVSQENIYGEVINAYVKLSDVENDTTSFISTHKMGRTKNYMKRIADQCKGKHLVFVPMNINGNHWELLVLNFIKHEILESLSCRDKELEMTVVESIQRCVEFSIVEGLVNFEDQFNVREWEIVPYVDIPRQHNRFTCAAFVIQYILAWDGEKMAHEFTSEC >Et_6B_048346.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:14680775:14680900:-1 gene:Et_6B_048346 transcript:Et_6B_048346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVMEASKRHRLRLSALLNAPCDQSVQRRSPAMALQDEG >Et_6B_048642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1214108:1224362:-1 gene:Et_6B_048642 transcript:Et_6B_048642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RGAKHHIFVKKEIMKLEATPKAAAGSKMTVLQTPVGLRSILTFLVAFFIVVSSVSLLFERGQEALVQLAVEHRNQEMEVKMAAKQHDQQLKGQEAQSHIAVKQRNEETENQEMDVNKQHDQQLLRGQETELQWRVEVQKDSGRDSSVAEECSWSRGRWVYDNVSRPLYSGLKCSFIFPEVACDKYGRKDVKYQHWRWQPHGCNLPRFNATKLLEKLRNKRMVFVGDSVNRNQWVSLVCMVEASIPDDKLKMLIYNGSLYSFKAMEYNATIDFYWSPLLLESNSDNPIIHRVEYRIIRADRIEKHASVWRDADIIVFNSYLWWRKQRDDMKMKVMYGSFEDGDARLDEVEMIDGFEIALKKLTEWLGENIDENKTRIFFAGSSPTHSWASNWGGEDSNKCLNETEPIYKIGYKAATTDYSLMDMAKSNFRTLEAKGIHVQILNITELSDYRKDGHPTVFRKQYAPLTKEHIANPASYADCTHWCLPGVPDVWNEFLLFSYRQSREVHARRGEGCQAPQFSEGRMKPEATPKVPAGTKMMALQFPVGLRSVVSYLVVFFIIASSITFLFNRGQEAQVRMVVEHGHQEAQVKAAAAEHQQLQWGADLQESSDECNWSTGRKDIMYQHWKWQPHGCDLPRFNATKLLEKLRNKRLVFVGDSVNRNQWVSLVCMVEASIPDARLKARIFNDSLISFKAFEYNATIDFYWSPLLVESNSDNPIIHRVAYRIIRADRIEKHASVWRDADIIVFNSYVWWRKQKNETMKVMYGSFEDGDERLDEVEMIDGFEIALKKLTEWLGENIDKNKTRIFFAGSSPTHVWASNWGGEDRNKCLNETEPIYKVGYKAPTTDYSMMAKAKSHFATLEKKGIHVQILNITELSDYRKDGHPTVYRRQFVPLSKEQIANPASYADCTHWCLPGVPDVWNEFLYGYLMYR >Et_4B_037127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1570801:1575897:1 gene:Et_4B_037127 transcript:Et_4B_037127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILTSLHSGVIQMWDYRVGTLIDRFDEHEGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHECPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKSVSPADDLLRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMSNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWVLAAHPEMNLLAAGHDSGMIVFKLERERPAFCVSGDTVFYVKDRFLRFFEYSTQKEVQVSPIRRPGSVSLNQSPRTLSYSPTENAVLVCSDVDGGSYELYIVPKDSAGRSDYLQEAKKGAGGSAVFVARNRFAVLEKSSNQVLVRNLKNEIVKKSPLPIAIDAIFYAGTGSLLCKAEDRVSIFDLQQRLVLGELQTPAVKYVVWSSDMESVALLSKHAVVIASKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHMKYCLPNGDSGIIKTLDVPIYLTRVVGNNIFYLDRDGKNKLFTVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLAIESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYLDKVGFMCKIAGQNGNLMGQFHNALYLGDARKRVDILENAGQLPLAYVTAATHGLTEVAERLAAELGENVPSLPEGKVHSLLMPPAPLMACDDWPLLRVMRGIFEGGLDATGRAELEEDDEAADADWGDEDLDMVDASEVVVNGGDGFDIEQGEPNEEDGEDGGWDLEDLELPPETETPKAAANARSAAFVAPTPGMPVSQIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKPLFLDLHLGSHTYLRALAAAPIISVAVEKGWSESASPNVRGPPALVFSFSQMEDRLKAAYKATTEGKFPEALRQFLNILHTIPVIVVDSRKEVDEMKELIEIVREYVLGLKMELKRKEVRDDVTRQQELAAYFTNCKLQRVHMRLVLSSAMVLCFKQKNYATAAHFARMLLENSPTDGQASKARQVVQACKDKDDTHQLNYDFRNPFVVCGATYVPIYRGQKAVSCPYCGSRFVPSIEGQLCTICELATVGADASGLLCSPTQLR >Et_8A_056902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18705056:18717927:-1 gene:Et_8A_056902 transcript:Et_8A_056902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSGSGGARPWRTSLLTLRDESVASPSPPALLWLLRRVLLSPSSPTLAASAAALSPHEVGSDVAFLVETAAAVASCSDADDALRGVCHLVHDIICKTRMELDSSGRLAMLKFLDALVKCSIEGACVKGLSVRTAALNTASECLQILRFLSRNFGSNSLAENSHALGVLISIVSCLQAELNLSEKPSGTGISSHVSGSANNKNSNIWDMQISAFSMVEDVLCTIASNMSDDLWLSAIEVFRKVMDFVTARNLIIENSVMSRFYTSFLRCLHLVLSDPKGSLSGHVTGFVANLQAFFVYGLRSSSPPVITQVEIKTDSKPRAMNRGRYRPPHLRNKDERGDDSLNGRSSESESSQYDLSSSDSDLSDSDGYAKNGDRFRSSKARLAAILCIQDICRADPKLLTSLWPLLLPENDVLQQRKHQATLMTCLIFDPITKVRVEAASTIAVMLEGQALVLTQVAEYKESYKRGSFTTLSCSLGQILMQLHTGALYLVQRETQATLLVALFKILVILISATPYTRMPKELLPTVITTMCGRLLNTQSNKTEHYALLVNVLNCLEVAFSKVPPALDVFEVLIQDCCSGPSYNQQESSVIAVLLDFIEERIHFSVLRTVVHNYPSCANMIWDKIRVNVLDLLQLQSFEDKKCDATIGPPGPKEEPSLRARCLVAGIKVMDECLRVSSGFKGADDLKECRLVDIQQISDCTIKKSIKSAPHFETEVAGSSQNCTLDITLGTSRWIEVLENHLSLGLSHTSAMVRTASLTCFAGMTSEVLYSLPENKRDFVTSSSVHAALSDAAPTVRSAACRAIGIVACFPQILSRHSLTGQFIDAIEFNTHNSSTPGRITASWALANLCSCIRFRALEGHTDPTAGVLNKSTISKLVEITLRLTKDGEKVKSNAVRALGYLSRFIRFNHQANTMNNSRDSVFYADPAWLERMVQALMSCVTTGNVKVQWNVCHALSNLFMNDTLRLQDMPWASSVYSILLLLIRDSNNYKIRMHAAVALAVPVSRNGLVHALESLSSNNASLPSNFKQKDNLEKQLTFTALHLLGFVSPNDDPSLKDFLIKKASFLEDWLKSLCSTFNNVEHQPLPTVTINDEDGFSPNVTQKVMLCSAVRSLLDVFTSKHQQTIAKGFEQLAISIA >Et_6B_049087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17663348:17666114:-1 gene:Et_6B_049087 transcript:Et_6B_049087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFDAICYPNAYAMKMFLHLLYVNPNRTTDELRKYGSAIFECKRLNKSIAKQVLTFCYELPSKYRSCLLYLTIFPKGRVIRASSLARRWIAEGLITTTVTTRSDEKEILMNEACHYLDVLFTQEINAAGEIKSFTVHHEVCEIIAKIARDVNFVDANLPTDLAHHLSIHNRIGLQASHSNDDSKDILSFLPILAASPQWQLLKLLDLEGCKGLKKHHLKSICKILLLKYLSLRNTDITELPEQIKELRCLESLDIRQTKVRMLAKKVVILPLLKYFLAGHKVPSSNGARISEELFPMVRIPICIQRMKNLEILSHVQVLNGEELSGISELPKLRKVGVALHGENAKLSNLLRQIEKLQRCLRSLSIRIDRLTGPDNQNHDAGIPDASLPILLHFIESLNISGITAGFPHLIHEHPQLAKVTLSETNLKKDDLSKIGNLRGLRCLKLPHKSYTESELGFNKDEFQGLNFLLVEGSDVTNVSFVSGACPKLERFRMENLEILSHVQVSNNDRELSGISELVKLKKLGVALHGKNAKLSDLFRQIEKLHRCLHSLLQHGSYNQSALAFKEDEFQSLNFLLIESNEITNITFVSGTAPKLERIIWSFATMDAISGIIHLHKLKKLELNGNCNLDPVRAEILHHSNKPVLKHIPHPQQQEEGTAEIASTPLAP >Et_1A_007066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30550359:30555093:1 gene:Et_1A_007066 transcript:Et_1A_007066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAASNVMLAIHEKKTTATDLYRPLRLYIASAYSEREAASADDDLCVVRDLRAAVEQPSLPDPSSLEQRRDTLLAYARALALVEPRFPISSDRAHVHSLTFTWHDSFKVKQKCALASVHLEKAAVLFNLGAVYSQIALAADRATEVGIKTACGAFQAAAGAFAWLRESGVAAKAVAAGATTVDITPECAGMLEKLMLAQAQECFFEKVVAGGKPPALCSKVARQVGIYYEEAYAALSAPPLSQHFDKSWVAHVQLKAAQFYADACYRYSLDLHEKEEIAEEIARLKIGMGALADAKKAARGVAAQLLDSVNKLESNMKTNLERAMKENDRVYLMRVPAAGSLGALPAAPLVKPTSLAEALDASKERLFSSLVPDGSMKALSKYTEMVDNIIRTQAEKLQQASEITRVRLKEMDLPDSILSLEGNITLPFDLKEDVEAVQISGGPAGLEAELQQLRDLRRVNQELLVQTEELLQKEANEDAQFRTQFGSQSALPSISRPIMSLDGNEDAIVGALKQSLRQLESLGAQRAGLEDMLKEMKRKDDILPKLMAGVGSHDDLFKKEIAKYDPICAEIADNIVAQEQLLLQIQAQNEQFAAVFNLEDYKAARERCYKQIAAAVAKYQEIKKNINEGLNFYVTLQEAIGKIKQQCSDFIMTRNIQCREMIEDVQRKLAGFNFSSSSQTPLQRNASVPPDQSSTSPPPHVQPPYAAPSGGDSRPGYSQPEPRPPYSQPYPPSYGAPPQQPPYGVPHPGQYQQPPHQPPPGHDYGQPAYPGWRGPYYNAHQPQPQQPGPYPQPPYNTQGSYPPHQNNYYGPR >Et_2A_015410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12164064:12168592:1 gene:Et_2A_015410 transcript:Et_2A_015410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWGLGGDFSSLGATGDDSYLIELITLIMGAKVEGESFMPGYYATMDLNVEANGRWSPYYEEKMPNGQMYNGFTTKSANGFSNFNKEMLKHTMLEHDAVFRQQPHKPLTVQVYELHRVYKLQRDMMKHSQSKEVYAYPMLTDTSQTNSPSQVQQNGATMVWQMPVLPASTTCRKAPVEHNDTNQASIKFLREGSVQSSPNGFPSSDAAPKRRQHTFDLQLPADHYVDDDNASENKPIDFLGLTSDSKPQNDADLTLVSAECLGRLSDNSSTSGLRTTNNLGSRHISDLNEPNTGIDMGRANGSASRGLSHTLDNPWHQSIPRSSTTNFSFNKEHNKDKHADEGTSSNFFDTSAKIRQDEKPSINKGKQVNGINFLAPRYSEIDPQKHFKVADGRPANCNQFVYHGQSSSVGWFAHSPLEPDAVNNFARFDRSHQSSMGTLAAPISIPPIDHPSVATTIGSCTVDPRSSVIFNPAFIPKFNGSSAVNSYTSLSAATQSIGSSTPELKYANSIDRRYPGFTLDSFSASHSRHQVAISNDLEQKSTKMFEHSAQQSHGKGMKSFNLNETLSDVQEDGLVEQDRRCAGRLQHNKDDAVFGLSWLKNKATYADPTVLDKPGKLFGHSFGPAAELKNTKARNEGTLTIRNSSDSASTSLECRIKKDGASEDFTARNQLIFNKTHERVPLSCLKHMTKDGEAAEGVIKKNSASFRNFIDLNDDVPNEDNSEESVVLHECQVASLRNNQSKRGFVIDLEVPACEEGVAWTFHQECTRPVTHDAYQEGGDKSVTSAVAAAESIIALSMDVGATAEAPDDMLLWFADLAISNIDDLAEQVEACINDSGDEKSDSFESLTLKLEEAKIDEYCSRPWAPTITTDEQAVSTAHLLTKPRRGQQRRRRQKRDFQKDILPGLSSLSRPEIVEDVQLLEGLVQASGGSWESSLSRRGRYGGRTRGRKPRKNLTIAVEEEVQVTTPPKLPGSGDLEADDKGMFGWGRTTRRCRRTRCPSGNIIAAAS >Et_10B_003878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7063851:7075060:-1 gene:Et_10B_003878 transcript:Et_10B_003878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNSIQDTQRINPPRIMCRICTNIQHHGQAQLFFQNCRGYEQHDVVQMLLVAYIYACCKVNMARAKVRVTSAMNPPLLLVDYSRELRLNGKALVRVEASSSVKICTPIDVVILLNVSHSMSWAAASPTQTPSRLDLLKKEMKFIIRQLDDDDRLAIIAFNDQVIKEYSTSLLEISTSGRMAIEKKLDGLIANGTTAFKPGLDQSVKLLDERADKSRAGFIILISDGLDNNKLQWVDESITPSDPIRILLRKYPIHTIGLCKAHDTKALHFIAKESYGTYSSITDNLETKIMEAFAVCLAGFKTVVATNTCVNITSGSLNITRIDSGGYTLRESSGGIFIGTLSAGEVKDFLVSFDYTTGSWARGYSKVLNAISATVKYKNVSGGQSISTETCSVSLPVHTTDTGRAPENPCRPFPAVLQQMVRFQVQDMLKSIIKELFVLKEEAGGAFQREEDDDPVFQAMAANLLQKKWKDFKQSDQAWKEAPKAFLDMGGIDKDIKAMVGVLRQGLGIGCIYSWLSSYQMQRPAVTGLPVHNDATGFRTPVMEAMLQESFKQMALEAPSKGQYQEVCIGASELLDMISERFELWSKLDSNVPPPFQPSSEMEGSVSCNLAAVLRKDISQARKKDIYLMAPEKVRVTVAMNPPILLVDDRHKLRLNGTAVVRLEAPLSIKSSAPIDLIALLNVNHSMNEAVALPETLSRLDMVKKAMKFIIRQLDDDDRLAIVAFNNQVIKECTTGLLEISGGNRMALEQKVNGLMAKGDTSFKPSLDHAVKLLDDRADKKRLGFIILISDGQDNIQFKWREESVAPTDPIRNILNKYPVHTFGLCKAHDPKALQFIAKESYGTYYSITDVNLYRKIMEAFAVCLAGMKTVIAIETCINITSSSLNITRIDAGSYQAQGGSRGILIGSLYAGEVKDFVVYFYYSTGEWSPGYYTILSGISASVTYKDVRRQSSTTDNCSVSLPIHTAESGSVPPNPCPPFPVVLQQIIRFKVVDLLTSTLKEFLVLKEEASGVIDGDEGSDLVLQVMAANLLQRKWREFKQTDESWKEAPRAFLDIGGIDKDVTAMVSNLKSGLGVGCIYSWLSSYQMQRAAVTGLQAHNDATGFRTPAMEAMVHEAHKELALEASSQGQDMEVCKRAIELLAMINRRFELWSKLDGDVPLAFQSPSEKEDDEFRNLSTVLREDISRAKQHDIYLQDINESGFFILIQLFWTQAAENAVKHWRSSFIPEEKMH >Et_2A_016426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24645336:24655145:-1 gene:Et_2A_016426 transcript:Et_2A_016426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVRERHRSMFVIVGDKSRDQIINLNYMLSKSRVKSRPSVLWCYRDKLEISSHKKKRAKQIKKLMQRGLMDPEKADPFSLFLETSDITYCLYKDSERVLGNTFGMCILQDFEALTPNLLARTIETVEGGGLIILMLRSLSSLTSLYTMVMDVHERFRTESHSQAAARFNERFLLSIASCKACVVMDDELNILPISSHMKFIQPVTNNEDSEGLSERERELKDLKDQFREDFPVGPLIGKCCTMDQGKAVVNFLDSILDKSLRSTVALLAARGRGKSAALGLAIAGAIAAGYSNIFVTAPSPENLKTLFDFVCKGINALEYKEHLHYDVVKSADPELRKATIQINVFKQHRQTIQYLKPHDHGKLSQVELLVIDEAAAIPLPIVKSMLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLECQSQPSVQSNASNSSRLFKKIELNESIRYASGDPIETWLNDLLCLDLANSIPNISRLPHPKECDLYYVNRDTLFSYHKESEIFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVIQVCLEGQISRKSAMKSLSEGRSPSGDQIPWKFCEQFQDNVFPSLSGARIVRIAVHPSALRLGYGSAAVDLLTRYYEGHMTLFADDEEETEEPEVKITEAAEKASLLEENVKPRANLPPLLVHLRERRPERLHYLGVSFGLTQELFRFWRKHNFYPFYVGQIQSAVTGEHTCMVLRPLNSPDIEVNESSKCGFLDPFYQDFRQRFRRLLGTSFRHLNFKLAMSVLASKIDFSDHETSQNDKNCTSKLLGDMLSPHDMKRLEAYSNNLVDYHLILDLVPILAHQYFSEKLPVSLHGAQAAVLFCMGLQDKDIGTVKEELGIEREQVLSNFIKTMKKLYGFLHRVAGKEIEATLPRLKEIEMAPLSKSMDEDLAEAAKEVEEQRRAANEAAVDPKLLQKYAIDDDDNEIVKALQNGKVSASGVISVKSNKTRSDKKEKHKEMGKSKRKGTDGGRSESKKKRS >Et_7A_050780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1114100:1117898:1 gene:Et_7A_050780 transcript:Et_7A_050780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATGSSAAAGAVVPASHDGLHKFITQGQNQAFLTSRTKIAPNIPRQIPQYPTRQIQTPMRMAMLAPRPLSALQIVVPPQQVAQPSRHPIHSQAHLVVGQRHQASLHHHQQSESYQPQDYSIGNTTSSPSPLGFDWRMYNDAIMSSAQTTTPFGGGGGINSCNINVNSNGYHQNSYPYSTNIWTNYMPRKEKDLGFATSFGVTTPAVSVSPFELMSRRSTNYSSAQMFQEAKNLDGISRAFVSREIEGSDASSESDLAPELDSDGDTDDVDFILNCKDYNTILCKDLTNSDCGSMGRIVLPKRAAEANLPALVEKDGMILEMHDFELSDVVWKFKYRYWPNNKSRMYILEAAGGFVKRHELHAGDLLIIYNHKRTGRHVCQNSMLHFLLPATLYSVHLIILFLLYYILRETESILNLHLFRLDFFPHGPWYPYAPVLFCAIFKVARAVKAIRLNSTMNMMECQCIKAGNSVEECGFTVRTKQKYDI >Et_10A_001959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14553493:14556316:-1 gene:Et_10A_001959 transcript:Et_10A_001959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGGADGVDRISALPDELLAVVLTHLSCSQAAARTSVLSRRWRRIWTHVREIYLSDRLRPRVPIAAPSILGVVDAALDAYAAPELDRLDIEVRNEWYVSVARIARWLRFSSERLAGELRLSIGDEKRHYSSLGQREQQLQVQLPVCEKATAIHVRFLELDLQPSIPATGKFEALRELSIACFDFTKLDMERIVSLQCPRLQKLALVGLGNSEGVQDLSISSASLEWLKLHVRICGKLTVVAPKLVRFETFRSFDDYRVYDGSSSRKTTCIVAPKLVEVIWRSCYDQCFDHIMQAGCHLRVLEVNLFDDHPQNAVSVSDLLQRFDTVDVLTLRVKIPKVTMKLPGCKTLRIILLSQHHEFASIVLHLLRICDGIRRLDIHLLGFEHKVSFSDCACGLSENKSSEGIIMDSLEESLGQVPRRWMTTMDPTLRCLQEWSSDH >Et_6A_048117.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:762676:763371:-1 gene:Et_6A_048117 transcript:Et_6A_048117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVHPNSGAVPSEPAAAEAKEEEAQALVLTVWRKSLLFNCEGFTVFDAKGDLAFRVDCYDASSSHTRRAEVVLMDVAGKPLLTLRRKRLRLVEQWVIYDGDAAAAKDTKPLLSVRRHVSLHRSSSKTAAVAHVTPLDSSTSESSYVVEGSYGRRACAVRDGNGDAVAEVRRKESVGDDVFRLVADPRLGAPLAMGLVIALDEMFQPKTGKGGRGVSGSARSLLRRTWSA >Et_6A_047238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:27094018:27104530:-1 gene:Et_6A_047238 transcript:Et_6A_047238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEAWRMKNTLRKNCCMWSRSFRISKISILNSNTEGQIKTLLDRQEELYERQLQLKALLEVSKVSRNTTINASSVAMEDWSGSFPWDSEAENIRFNIFGITSYRSNQREIINAVMSGRDVLVIMAAGGGKSLCYQLPAALRDGIALVVSPLLSLIQDQVMGLTALGIPAYMLTSTTNKEVEKFIYKALDKGEGELKILYLTPEKISKSKRFMSKLEKCHHAGRLSLVAIDEAHCCSQWGHDFRPDYKNLGILKIQFPSVPMIALTATATSKVQTDLIEMLHIPRCVKFVSTVNRPNLFYKVTEKSPVGKVVIDEISNFISESYPNNESGIVYCFSRKECEQVAKELCDRGISADYYHADMDIISREKIHMRWSNGKSQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQTKRSCRHGSFFRHFGEVPQDCNGMCDNCASSVELKDIDATYHTKIIVSLLHDMQLNDQRATLLQLVDKFKAKWKGLGCSNEAADLKKEEIEQLIVHLILDHVLKEEFQHTPYSTNAYVTLGPLWKPALQGNRVVKLEIAVASQDGGVRSKGTKRGRMSDLEAKLDELRRELSSTSNGVIFPHAVLSAQQISLLSCQKPTTLAGLEKVIGKVKTAKYGGRIVEVMRSHTNSGSNRGKEASDEHGSKKMKMKDKDVEGRKEGRKEAADRQTDRNCLFGAGGEALDLGVGEVDADHGLPESGADVREDLRVGVVRDGLHDGARPARGVAALEDAGTDEDAVASELHHERGVGRGGDSPSGELDDGEAAQLLGLHDEVVWRGDALGVGEDLVVVHVAERADVAHDGSDVADGLDDVARAGLALGADHGGALADAAQGLAEVAAAAHEGDAEVVLVDVVGVVGGGEHLALVHSTPTASRIWASTKCPMRALAITGMVTARLMSRMRPGSDMRATPPWARMSAGTRSSAITAHAPASSARRACSGVTTSMMTPPRSIWARPTFTEKVAAGGLEPPDASTTFTLLLPPYRATTPDPSSEAIVLRFAWLFFFGLAAEEGERG >Et_3A_023927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14788971:14797500:-1 gene:Et_3A_023927 transcript:Et_3A_023927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPQQGKEQPRLQKVMVAVDESECSRYALEWALRNLAPTMAAPLLLLTVQPLVPVGYVSAASFGAPLGTVPVAPELIKSMQEQQRQLTQALLDKAKGICADHGVAVETIVEVGDAKEVVCEVAEKKKVDLLVLGSHSRGPIERLFLGSVSNYCVHHCKCPGHERPLTFLRYNRDGDLLFSCAKDHTPTVWYADNGDRLGTYRGHNGAVWSCDVSRDSARLITGSADQTAKLWDVSTGRELFSFRFDAPARSVEFAIGDALAVITTDNFMDHTPTVQVKRIAEDVEDQTEESALVITGIKGRINRATGKLLKESDKESGHQKTISSLSKSPDWSHFITGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPTHDTVVLGGGQDAMNVTMTDRRAGKFEAKFYHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDSDYFNIKI >Et_7A_053049.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:5756698:5757069:1 gene:Et_7A_053049 transcript:Et_7A_053049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPEEAGLPRRSELYAAALSAADAASWWCAVALVSLVLLGALRAETADVDGYGGVAQFRGPRLGGPASRPCEEVYVVGEGETLHTISDKCGDAFIVERNPHIHDPDDVFPGLVIALRPTKNT >Et_2B_019856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14696780:14701649:1 gene:Et_2B_019856 transcript:Et_2B_019856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLEEPAAAIAALNGKEIRERLQAAASNNSAPRSITLRAQEPPRQFGPYFKIYVGNLPWKVDSPRLRQIFSEHGQVADARVVHDRETGHSRGFGFLTMATLEEPAAAVAALDGQILDGRALRVNFAEERPRQELYMPPLFVPQHPIYWCWWL >Et_1A_008924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19412950:19416322:1 gene:Et_1A_008924 transcript:Et_1A_008924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSFNPLLINDEALPLPGTIAKGDQVLGLVSAGWTNEKHSTYISSMEASFVDQHYGLQNHGPDANKSNFGNNGFQVIQEGLCKNIRFEGTNARPHDGSQPENTWVRRFKPRNASVNRRGDGREALVDDYGSGTDSVRKKVQTYGREANTCAKENIIGKSKEVSDQNFSEEDVEADDEPCKRRRPTSPSAEPNDQTTFSHMSETGL >Et_6B_048960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15970819:15973078:-1 gene:Et_6B_048960 transcript:Et_6B_048960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRAMHGGWKDMMSYPFGSSFAPIGRNTFEVFKADFATVRWTRVTTVGDDQVLFLSGRQCRSFSVSQFGMPGDRIVFLENDDEEFIHWYDQGGLCSCSVYNMRDGRVSYPLPGVSWKRGPISAVVLLFSTGTAHHGRTSLWTSSAWCSAACQPTLTASASPPCAASGAPPHGRSLRRHRCRCSYTGTAPRSSCSPRMKQLRFPAAAGFTGACGNRLLYSGNGACFLWDPLTNDAAVKLAPLSGFDCQLRSGESVRSWYGMNMLTVIRLLLCSTTPRLVAALVRFGLHESLIAVCRPGSDPRWSVCLDERVPQFVDVAFHQGKLFAVHSNSYELSAVDISVDYVTGDPWVS >Et_10B_003580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3295034:3296514:-1 gene:Et_10B_003580 transcript:Et_10B_003580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVAESCVDGVVMEMVAAYCGRFYAAKPELAARRIEAIGFQLGHQLSERYTMERPRFTDHLEAIKFICKDFWAELFKKQIDNLKTNHRGTFVLQDNHFRWLTHVSVDPSAESMDSTDNDSAPLGDTAAQTTSMLLYFPCGIIRGALTNLGLTCSVSADMSNLPACKLSHTSSFHDLFINQWSQTSTELWCILSRACWTVDCSLSSI >Et_4B_039226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8973285:8978453:1 gene:Et_4B_039226 transcript:Et_4B_039226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGDRKPGDGGDKKERKFEPPAAPSRVGRKQRKQKGSEAAARLPPVAPLSKCRLRLLKLDRVKDYLLMEEEFVVSQERLRPSEEKTEEDRSKVDDLRGTPMSVGSLEEIIDENHAIVSSSIGLSFVDKDQLEPGCAILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGETGTGKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFALPDIKTRRHIFQIHTSKMTLAEDVNLEEFIMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMYKKKEGVPEGLYISTK >Et_7A_051339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17071559:17075011:-1 gene:Et_7A_051339 transcript:Et_7A_051339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGEGTHEATAPFSDDWKERIIIPTAAAGVVGAAFGLLSRHRARLGAARAAATYAANLAIATGCYGGARELARDAQASTPDDPMNSVVGGLASGAVLGRIQGGHFGAVKYAVTFAAAGAALDYAALKLAPEWHAFKEQFSGSKDWFTLPEWSPIQVLDEEALAKKRAREEKLFAQRALGKLDKEEP >Et_1B_010401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10133178:10136195:1 gene:Et_1B_010401 transcript:Et_1B_010401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAASEISDWEVLSAASACGSDDCDDVVVVSGGGGDVLPDHFALDPDSPDPGVLGDGSWSEPEAFRGMEYQRAASALLEGFDCISQESLDLVAGLSSVQLPEGGVDVNRESSVLEAAATCGASRSAEVTQAEALGVSAEQDTDSARSCGELGSVLQPTQHDEKGNHMDSNATTTTDATPESEVSDDSSVQLADRGVGGDTETSCLEDVATGDGIRGEQGEQEQPDDAFSASGCDESDGEGKDGSLPLVQNPGNGEAEKQVVVWWRLPFKLLHFCAWKVKPVWSFSIAAALLGLVVLGRRMYRMKHKARGMPQIKIAFDDKRASQFANRAARLNEAFLIARRVPMLRTSSGAVLPWSMVQDR >Et_8B_059224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15581633:15585211:1 gene:Et_8B_059224 transcript:Et_8B_059224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEFKKTASRHTTEPEAGMHAFEIVGYTLQKGVGVGKFVRSGTFSVGGSDWSIRFYPDGFSENNSECATIFLELMSKTTVRASYQLSLINLTTWLPENLHSLTTATGTLIRRCKLESKSAGYIVDNYLRIECSVTVIKESRIEVPPSDLSEHFGKLLLDKKTADVTFSVGGENFPAHKIVLTTRSPVFRAEFYGPMRERSAQLITVEDMQPDVFKALLQCIYTDGLPDWDDLDVGEYCAIVRHLLVAADRHAMDRLKLLCASLLVEHLDTENVASTLALADQHSCDRLKDVCIEFMASSGEMAAVVATQGYANLKRTSPSILLDVLEKTSRESASYILKCPLRHIEALRKVFSGREVPQAYDGACRTVHCVQSIVVWTDDIEENALRGHG >Et_7A_050813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11224504:11227599:1 gene:Et_7A_050813 transcript:Et_7A_050813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRSAPLLRRLVSSSAPSPPLPGHGGGARRTVTYMPRPGDGTPRAITLIPGDGIGPLVTGAVRQVMEAMHAPVYFETYDVHGDMPAVPPAVVESIRRNKVCIKGGLATPVGGGVSSLNMQLRKELDLYASLVHCSNMPGLPTRHQDVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVASKYPGIQYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVANTAAGIVGGTGVMPGGNVGQDHAVFEQGASAGNVGNENIVEQQKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGQFRTKDLGGTSTTQEVTDAVIANLD >Et_8A_056235.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17176646:17176885:-1 gene:Et_8A_056235 transcript:Et_8A_056235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVALLKNLDGSHGPQFPSSVDWITQNQLPDGSWGDVTFFGDRIISTLACVLALTSWNIHTDNCNRGIWIICSYINHQ >Et_3B_028940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20636758:20639861:1 gene:Et_3B_028940 transcript:Et_3B_028940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VAAFEFVNMVSKADGVAAPAPIPTVSQPDIKERVVLSIANFLSLALAMSPFFICWFYKSWYITRQIVPAKGVVCNLVASGSWTIYMMLTMYAKGDYGGNEALFLNSATIAFFLAVLLNYFFEGSEHMKVKKTVYICYAILMACGGIVWLIWHPNIIISNHTRGILNKAAHYSGVAGIALFFVVNAIPFADLWTITRGDENDHALLVPIFINTFISCAADFFWAGYAWIFAPKQPNYFMIANLLAGSMTLIQVVWILTLLILHRRVQGQIRDVEILRSLLLSVSRSLSRVGATPDNAPFLTSSYLLNLQKGFEMGWQSMSLMEITFTRLASELVDKKDALVAERQDLETAYVAQANLVNKMEAYDVLSAEKGVKSAITMCGFLLEAIKANIVAFDAVNRAIEDRLSGVQGGQEQGVQASIQVASTAMDKLAKHLRRWMQTINASVGIPHTVASAFQLSYPKISFIKKSADFGLLKRRLRVWWGKITAVPPPAA >Et_5A_040920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14982530:14982978:-1 gene:Et_5A_040920 transcript:Et_5A_040920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPCAAAAVFLLLALLATTAAAAAGREKRAGVYIVMVRPPAAGVNSEWYQMHILATALGSEARARKALLYSYTTVLSGFAAKLTPAQLDALRSKFVIAAD >Et_9B_064395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14768560:14770566:-1 gene:Et_9B_064395 transcript:Et_9B_064395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRIASLRVLIILLLSASCLGSDQDVQCLRDVQSSVNDPNGILKSTWTFSNSSSKGFICQFTGVECWHPDENRVLALRLGNLGLRGPFPRGLQNCTGMTALDLSSNNFTGSIPLDISRQMPFLTSLDLSYNGFSGEIPVNISNMTYLSTLNLQHNQLSGPIPGQFSLISRLTAFNVADNRLSGPIPTFLGSKFPPSNFAGNQGLCGNPLDSCQASSKSKSNAAIIGAVVGVVTVVIIGVVIVFFCLRKLPAKKKAKDEDENKWAKSIKGTKTIKVSMFENPISKMKLSDLMKATTQFSKENIIGTGRTGTMYKAVLPDGSFLAVKRLQDSQQSESQFTSEMKTLGQVRHRNLVPLLGFCIAKKEKLLVYKHMPKGSLCDQLNQEGKDNKMDWPLRLRIGIGAAKGLAYLHHTCNPRILHRNISSKCILLDEDYEPRISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLMATPKGDVYSFGVVLLELITGEKPTQVSAAPDNFRGSLVEWINYLSNNALLQDAIDKSLIGKGYDGELMQFLKVACSCTLSTPKERPTMFEVYQLLRAIGERYHFTADDDLVLPPMSTDGETLDELIVAQ >Et_2A_015208.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:7081124:7081384:-1 gene:Et_2A_015208 transcript:Et_2A_015208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDHTRDEFMQCQSGKEVMQKIWKSEPSLQLRIVTLLWRWWAARNKANEGERVWTLDEVCRSVENHLMEFAKLEKSGHKKNTEQG >Et_10A_000108.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:443287:443865:1 gene:Et_10A_000108 transcript:Et_10A_000108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGSMGVGSMMRTMVGSRPPICAGRCWWCGARRCEAVQVPVTPQELQRINNNGRRHGSISSASAGGRGASHWHSSLHPSSYDDHSNYK >Et_4A_035345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2137431:2139107:1 gene:Et_4A_035345 transcript:Et_4A_035345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAVRVVAVANVALVKVDLAGGRATALDLDVLHGLRDALAAVSRGEVALALRHSVHGLVAGGDEPAGAGSDAALELREGGVPAHLEVAPAAAARAAGLEEHLDAGDLGEPGDLVEREAAGELDPRGDGGAADGGPGGNGAGDAGGAVGAGEVPAALEAAEDGGGGAARRRGGGLVELGGGGLGGERGGEGGDGRRQRAAGKGLVGAALVDEREDVGARHEQRRVEEEDGGGDQGKKPARSANGHLSAESAGSLGVGRFTPHPPSPAPTRALLLAAAVVWSVLDCLLACLPAWACLRSALSPHRQMIRGVWVFGGKHSKFGITRETVFP >Et_4B_038569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:334925:337075:1 gene:Et_4B_038569 transcript:Et_4B_038569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASMMRLRDFESRLPPGFRFHPTDEELVFHYLRGKQIAAAQSSQLMMSTYSSPAVAMVEVDLHVREPWELPEEAKLSEKLIDLLWYFFSFRDRKYATGSRTNRATKHGYWKATGKDKVILEHGGMMMKVGMRKTLVFYLGRAPNGRKSDWVMHEFRLVTSVDVPPMKRSSEDWVLCRVFNKGKGEDDHGGSSHKVFGAGGCFLSSPPQPPEDNLNGQLFPITVSSSSPITNGGMPDPAMLQQAAPPDASFDISAESTRVVRDK >Et_10A_002133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23470656:23473775:1 gene:Et_10A_002133 transcript:Et_10A_002133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGAAPPGAATNPSPVVPSVVAGRGGIRVENCYVFKSRLQEYAQKAGLPTPEYHTIKEGPSHEPIFKSTVVANNTKYESLPGFFSRKAAEQSAAEVALMEIARSVPATEGIRAVQETGLCKNLLQEYAQKMNYAIPSYISTKQASGVAPFICTVEIGGIQYIGAAARTKKEAEIKAARTALLAIQGQSEGGTNGATQYIVVPGQRQVKEAEKRPTETPKPLKTKRDGYKKKWNKRKFMRKTDQTVDAEVDGVRMAGDCHDSDAPMQAIIPEEPPTNITMARPDDEVRRVEHDLLRDTTMMQQSGEATIAKQEPPSGLAALLHDDDLRRTQLETFRDTAMAHDNEEARILKQELPCDTAVLQPGEEARLVEPEPPRDISLVQPNDPSILQSCNLVCSAELLNLDKEGRSIGQESLSFSATMQPNGEATNVKEGPPGNPATMHPEDETRTIELAGPSNAECTFDRDTYALSLFGAILRICSAASTPSALFPWIRQPFISDLQTAGHG >Et_8A_056817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17278994:17284722:-1 gene:Et_8A_056817 transcript:Et_8A_056817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYARREKRSSEPNYKSLALPTASSIGPYGSASKDWENINRRKVELLNFLSSLQHPTERSVISDKMRNGEMTNRSLEQKDVIEISDDEDEDSAGCTKLAPEINKQLTTSETGNLLKLLASNGRDQAYESMLVVLQKKPEEARMQDLVAANIAEKKAEAQVLLSLPTDKGRRRSDPSLHVHIAEDSVTVPRKRKRKCDPDPAAADCSFDLQKVDISSEADMFLEEEEKPKSESDGLEDYWKDFALAVESTKLDAVDETATEKEADNDRVEGNDCNHDIRIHEDLGHVCRICGMIVRRAESIIDYQWKKAARKRTNYYEGNSKEADEIDYGPVKLSEDFMVSDIAIHPRHAKKMRSHQLEGFNFLVKNLVVDKPGGCILAHAPGSGKTFMIISFIQSFLARYPSARPLIVLPKGIIGHWKDEFQQWQVQDIPLYDFYSVKAEKRVEQLEILKSWESNMSILFLGYTQFSRIVSGDGGGNVAAACRDRLLKNHVREVFTILDLVRPKFLKMESARPIVRRIMSQAEISGRPKGLADSAFTSAVEDTLLHDDNFKRKAHVIRGLRELTKDVLHYYKGDILEELPGLVDFSVFLKLTPKQKDIVHKLRAYEKFKRNVVGASLYIHPCLSEISEVNAEDRNNSLTDKSIDSMIDSINVRDGVKARFFMNILSLAESAGEKLLAFSQYILPMKFLERLLVKMKGWHVGKEIFMISGDTSSEDRDLAKDQFNNSADAKVLFGSITACGEGISLVGASRVIILDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAAESPEEKSHETTLKKEVIPKLWFEWSEHCTTEDFKLDPIEVDDCGDELLDNKAMRQDIKALYKSRRGDAAEIDVIKAPKGMDHGEKNDPSMGLDEATRGTCWLISGGNLAGDVK >Et_4B_036830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11842246:11845559:-1 gene:Et_4B_036830 transcript:Et_4B_036830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQLMSSASSLAAVVLATALLLATVLRLLRKRHLHKYKLPPGPRPWPVIGNLNLIGPLPHHSIHDLSRRYGPLVSLRFGSFPVVVGSSVDAARFFLKTRDQAFIDRPRTAAGRYTTYNYSGMLWAPHGAYWRQGRKLWQAELMNARRLASLEHVRAEEVQLMLKDLHGAVGQVVALREQLYMLNLNVISRMVLGRKYVAEGDGASSSAAAATPEEFRWMIDEHFFLNGALNAADMVPWVGWLDPQGYVGRMKRLGNMFDRFLEHDLIAGGTDTSAVTVEWAMSELLRNPEVLAKATEELDRVIGRDRLVTEGDIPSLPYLEAVVKETMRLHPVAPLLPPRLSREATSFSGHDIPAGTRVLVNVWAIGRDPAVWDSPREFRPERFVGSGVNVKGHDFELLPFGSGRRMCPGLGLGLRMVQVTLANLVHAFAWRLPDGVAPEELSMEEKFGLTVPRLVPLEAVAEPKLPAHLYNTHLSVTVIGNTR >Et_9A_062892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6313392:6317971:1 gene:Et_9A_062892 transcript:Et_9A_062892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ETVKTQRQLTQQQLVTERVQQKQGKKKKALTRFIEAPSAFHSSTSTMDSPCSGVATVPACAVTNKHSTEDQASNSHSSPLVLRCAACLSAASLALATYSFAVAAWRARRDPGDVAFVVGAYAALAALFLCLRRAEKLTPDSPKAERRRLHFAVWALSTALSSAFAYRVSLIMPAALVVIIWCMTSFVVLMGFYLLVLCNCKGQRDQSLDDDVGCEAVGKSEPFITKTRPIDEMTCGSLAAVAPTAAVGNGKDPQSQEQESHISGAASLALATCSFAVATWRARRDPGDLAFAAGAYAALAALFLCLRRAENLTLESPAAERRRLHFVVWALSTALSCAFAYRVSLLMPAALVVVIWCMTSLVMLMGLYMLVLCNCKGQRDQGLDGDVGGDAVGKHKPFIKKIGPAAEIV >Et_2A_016150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21559993:21572610:1 gene:Et_2A_016150 transcript:Et_2A_016150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGTEIVFQCGDFRVYKDGHVERADGAEAIVSAGFDAATGVTSRDVVIDAATGVAARLYLPPPIETAPSSESGGNNNNNNASTASKLPVLVFFHGGYFVVNSPGHPLYHRYINELVAGARVVAVSVRYRLAPEHPLPAAYDDAWAALKWAVSGADPWLSKHGDLGRVFIAGVSAGANIAHNVAVAAGLRAPRSTPVRVEGVILLHPSFAAERKLEAEEDEEFVRANRVRWVFIFPGATDGLDDPRINPMAAGAPSLAKLAGERLLVCTASEDPRAPRGRAYCDAVRGSGWRGEVEWFESNGEGHGFFVPNHGSPEAVKLMDRVHLLPAAYDDAWAALNWAASGADPWLSEHGDLGRVFIAGVSAGANIAHNMAMAAGLNGLRAAGPRCMIEGVILLHPSFSGKQRMEAEEDVEFWHANNKRWAAIFPGAKDGLDDPRINPMAAGAPSLAKLAGERLLVCTASEDPRAPRGRAFRDAVRASGWRGEAEWFESPGEGHGFFILDPNGGEAVRLMDRHLLPAAYDDAWAALNWAASGVDPWLSGHGDRDRVFVAGVSAGANISHNMAIAAGVRGLEHAVTPAPARIEGVILLHPSFAAAQKMEAEADEFWQGNKNRWAAIFPGAKDGLDDPRINPMAPDAPSLAKLVGERLLVCTASEDPRAPRVRAYHDAVLASGWRGEWFESDGEGHGFFVSNPGSHEAFKLMER >Et_3A_025321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28940493:28942120:-1 gene:Et_3A_025321 transcript:Et_3A_025321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSFHSTNLPTSHLHTKKEMATGTPEAAPSTTAAPEPEPEHLLEVAEDEVVIDFKPNAKCRADLRLRSLHPSLPVAFKVQTSSPLKFLVSPPRGAVPPLSTATLRVVLRPQAQAPPSFPRSRADRFLVLSSLSAAHLDDSGDAGGGVGSARRLRVFFGGPYLLRLAADAGDAAAVRLILRRQPHLLPFLDQLPEAAAVPDAERQWAPVHAAASRGDYGELRRLGSEALAARDREGRTVLHAAAAAGEAEAAAVLVDMGADTMAVDARGRTPLDVAREKGYQEVVDALERWELVMTAARRGDLQSLEPLLGKRAGVRGRDQYGLTALHLAAIKGHCDVIAMLTGSGCMDVECEDVEGHRPLHLAVEGGHAEAVELLLDVGADVNAKTRRGATPLQTAEVMGHDAIAQLLCSRGAEAAATLACVASSSSSSISCA >Et_1B_013637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10347729:10349709:1 gene:Et_1B_013637 transcript:Et_1B_013637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSFMGLLHSPSIQLADDQHLTQSDVPQASWMSHPDAPNSGYASSLQIEWSQITKAVEAVGRAEDGMTRVALLNFDDEEVEEWRARLPHTDASTVHLDHVGGDVTWERLYPEWIDEEELYGKPSCPDLPEPKAATEAEAEPYDVVAVKLPCGRAASWSKDVARLHLQLAAARLAARHGGNAAHVLVVSRCFPAPNLFRCRDEVAREGDVWLYRPDAAELRRKLELPVGSCELAMPFSALGETHVSPAPEREAYATILHSEQLYACGALTAAQSIRMAGSDRDMVALVDETISARHRRALEDAGWRVRTIRRIRNPRASRGAYNEWNYSKFWLWTLTGYDRVVFLDADLLVQRPMEPLFGMPEVSATGNNGTYFNSGVMVVEPCNRTFALLARHVGDIESYNGGDQGYLNEVFSWWHRLPSHANYMKHFWEGDTAERAAAKRRVLAADPPVALAVHFVGLKPWFCFRDYDCNWNVPALRQFASDEAHARWWRAHDAMPRRLQEFCLLGEKQKALLRWDVARAREANFSDGHWAVRIADPRRSICAGEDCREREIAGRTVEGNRIATSYAKLIDNF >Et_5B_044632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4171908:4188436:-1 gene:Et_5B_044632 transcript:Et_5B_044632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSHRWYFADQHMLMRTQYSGTATHVCDMCWSKLAGLVGYRCNTCDFDVHEACADYFKKTLSFFAHPWHALELSRIPTGRIGWSWQCDLCGEECPAGSLAYRCAQCGFDAHPLCTMLPQVVRSHLHREHELRMVPGTGGCSACREALPVWHYRCGFCAFKLHVACVAGAPGAGAGAGNQGVVARPSRGNRVAKFLLKQSFKIAIDVATGGMASAVIGHKKHFVDPRHLLFKIQYGDASTRTCDICLLGLAGLAGYCCDDCGIRIHEACADHFDKSIDFFADRHALKLIRTPGGGDRGTHVCDLCREECPPASFVYRCDECDFDLHPLCSLLPETVESPVHPGHVLRMVTSPSVSCAACHGSLPLWHYVCSCDHNFKLHIACALDDELAAGADDHQGGHGGVDQRGFGPADHNGGYGGVDQRGFNSAGQGGGYYGNPPVTMQGFSPFFQGFMPAMAGGYGPFIPGYGPAPAAFPGYGHFIPNIYGGNPIQGYGPPFIPGGYAPAGAPAKAKPSRCSAIAKFLLKQSFDVAVGVATGGVLGSPLVDLLSAALNSHQTRDMAASRVHFADPHPLVRSQYSRTAGHSCDLCGSKLAGHIGYRCSSCNFDAHEACADYFKQTISFFAHPWHAITLSRIPRSRAGALSLMTRTPSWACDVCREDCAPGSFVYCCSQCGFFVHPVCTMLPQVIHSTLHKEHYLHMVPGAGTCSACREGLPVWHYRCGLCAFNLHIACVGGAKSSRGDRIAKYLLKQSFRVAVDAATGGMGSAVIGVLGTESMASERSHFADPHKLLRTNYNSTSGHICDICRSKLAGLVGYRCNACDFDVHEACADYFQETVSFFAHPWHKLTLSRMPSSCVGWVCDVCKEPCPPGNFVYRCIGCLFDVHPLCTMLPQTIRSPLHPAHDLTMIPGSGLQHDCRACHTVVPVWHYVCGGSCRFRLHIECVNGAPEGGEQGSAGGGQHQNNNGSSGQGTTAGQSNTSGSRDAQQGSAVGQATSNSQSIVVRRSRSTRVAKFLMKRAFIAAINISTGGLASPVLEILAEAMN >Et_10B_004056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:93861:94389:-1 gene:Et_10B_004056 transcript:Et_10B_004056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVARRPAAATSMMARVDRLDLVLGYLEEMQQQQHGGHHHRISSPSTPTSISTDDQSSSSPRSASWRRACRPAKEVLEEAHAKGSLVDRIAFLEDRVLKMEEDMEITPDQRKEKVHPNKKKGLKSLVKSCVRGKLKTKD >Et_2A_015469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13451682:13456280:1 gene:Et_2A_015469 transcript:Et_2A_015469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGDWITARYLLSSILGRNPLVVDYVDEESFPVVEPPPAGRAAQAAPPPPPAVRAPEGVSGTVCAVCTEEIAVADAVARLPCAHWYHHGCIAPWLGIRSTCPLCRAELPPREDDAGEEGLRDEKPRAQPSAPGPSAARRDASYEYLAGGMDWIAARFLLSRILGVHPLVVLDDAARDDERFPVDGCRRVAVAVPAAVEAPEGVAGAAVCAVCTEDVAAGQAVVRLPCAPWYHAACIAPWLRIRTTCPTCRAEMPSQEPDPETPGGRLRREASYTMLAGTLPG >Et_1B_010869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14938518:14939990:-1 gene:Et_1B_010869 transcript:Et_1B_010869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWSSSSSRSLLSRCFLATVLAAGGLLAKPSECARAFFVFGDSLVDNGNNNYLMTTARADAPPYGIDYPTHRPTGRFSNGLNIPDIISEHLGAEPLLPYLSPQLRGQKLLVGANFASAGVGILNDTGIQFVDIIRISRQLSYFGEYQRKLRALVGPARARQVVRRSLVLITLGGNDFVNNYFLVPFSLRSRQFSLPDYVRYLVSEYKKILIRLYAMGCRRVLVTGTGPLGCAPAILAQRSRNGECATELMRAASLFNPQLARALDALNARFGAGTFIAANAFRVHFDFVSNPAAYGFATAKEACCGQGPHNGLGLCTPASNLCRDRSRYVFWDAYHPTERANRVIVSQFMTGSLDYVSPMNISTVLEMDARLHRDDLD >Et_7B_053532.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7973288:7973452:-1 gene:Et_7B_053532 transcript:Et_7B_053532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFACIQGRGSRRYLGPLLHIALRRMGQVWRLQSLTLWPCSCRREGCWQTLRRHG >Et_8B_059565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19324554:19331757:1 gene:Et_8B_059565 transcript:Et_8B_059565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLKLGSRADVFRKQGQEWYCTSGLPSDITVVVGDQSFHLHKFPLLSKSGLLERIIREKIDKGEDSSLVDLSDIPGGAKVFELAAKFCYGVKFEMTSSNVVRLRCAADYLEMTEEISEGNLIAQTENFLTQTVVRSWKDSIKALQTCDDVLDIAERLQIVKRCVDSLATKSCSDPDLFGWPVVQYGGPMQSPGGSLLWNGISTGARPRNSSPDWWYDDVSCLSLPLYKRLISAMEYRGISQDIIVGSLNHYAKRRLPGLNRRKSISDVSNCLSVTTLTSIPSEEEQKYLIEEIDRLLPFQRGVTSCKLLFGLLRTAIILKANPSCLSNLERRISMQLDKATLEDLLIPNISDSVETLYDVDCVQRIVDHFLAMDQEAGGASPGLGEDGQILASPSFMPITMVAKLIDSYLAEVAPDANLKLPKFHSLAAAIPDYARPIDDGLYRAIDIYLKAHPHLSESEKEELCRVMDCQKLSLEACTHAAQNERLPLRVIVQVLFFEQLQLRSSIAECLMVSEPLEGGSRQLGLPISGEHHRAGWPLPTRENQTLREGMDTMKQRVAELEKECSAMREDIERLGRSRSAGKSRFPFSLVVKPQICSTKEAAPTAKASEDKLAVVKSGTSGGGTPQRKLGRHKKNISNAVMLLAAT >Et_7B_055995.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8945563:8946078:-1 gene:Et_7B_055995 transcript:Et_7B_055995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVAAAEDDETRTLVVGSAQEEAEVRKAARMEKKRAQARIEAGDEAYRTALLLGATAAEEARRFKELMYPPGCVIHEPGDGYDTGLAEEKHLLSSRKPAPPRRRPDGRATCWDWYILPLPKTFPRPHPDEPIPVGEPLDMLQTKGSARSAAAAPPRPRATSSSWPSTASS >Et_7B_054385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20725496:20732033:-1 gene:Et_7B_054385 transcript:Et_7B_054385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGATARMDPEAATELVRKGATLLFLDVPQRTLFGIDTQVLSVGPKFKGIKMVPPGPHFVYYCSPSRRSNEFAPTVGFFVTTQPSEVLVRKWDAQEERLIRLPEEEEIRYTEAVRCFEFDDHLGPYNLDSFGDWKQLSSYLSKSVIERLEPIGGEISIVWESSWMDKSPQSDMERRLMEQLREGKFAKDAPVQSERRGCYYTNIPASVKHKNISRDELTALNLDKTSLLESVLAKSYQGQEELLLGELQFAFIAFMMGQSLEAFMQWKSLVSLLLSCSEAPLHTRTNMFVKFIRTVYFQIKHGFQRTQDSRSSRDMGNSLFLDEAWFSRDMFLYRLSKDFLTVVLEAHVVDGDLLSWSRKLKALLETTFGWDLENNAVNLIDEDDEFAPVVVEMNGS >Et_9B_064942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:272179:272799:1 gene:Et_9B_064942 transcript:Et_9B_064942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAACSRWTSAVAFAPVHRSWRTRRPAMASKRGARIMVVSADCKTCKGKGAVECPGCKGTGKNKKNGNIFERWKCFDCQGFGLKSCPTCGKGGLTPEQRGER >Et_10B_003025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15504162:15517181:-1 gene:Et_10B_003025 transcript:Et_10B_003025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTPGPNELAAGNQRGRLVLPELALCDPVTREFTLLPPVPAGLLATTLVEVKDDNMKYFYPFFDLSGCCEDEAQFRVICWTLSEQMGAAVFVYSSACGSWTHGVSASWAALGLDVQPGEVSCISCWPSYAYGCFYWHAGVSDDLIKLDINSMELSPVSLPSDHEDNQNIILVEAGEGKIGMFSRIRSHPEHLRYSIRQNESGNNANERSSVRTVFIPLPRGYEDYSMNDGAAQGYLFLRGDPSDLLQRSAYFSLEIKTLKLERLGLSIYTPDRIIPYFETTNTRSISPAAAGTPAMEPILPAPEARAPATLPDHLLEDILVRVRAPADLARATAACKTFRRLITDPTFLRRYRSLHPPLLLGFVSSSAPFSFLSDTWPQPNARFAHAFIEPCVFLPVEAPLPNAAAAHAVAAAADFSFDYLPRRGRYGWAHGDARDGRSLLMSYEPERGLVLSELAVCDPVTRGYTLLPPVPDDLVASTLVQVEEENIQFFYAFFDPFGGYEEAQFRVMCWTRSLEMGAVFVYSSASGSWTHGLNVEPENLSEIGWWPSYAYGCLYWGADVSNKLIKLDVNSMEFTIVNLPGDYKGRDIKVVEAGEGRIGIFSLILDHDVEPQEYPEPLSYSIVMQIERENANEHLVETTVLLPSEYECFNIDGAAQGYPYIIAGQKDVARRSTIFSLDIKTLKVERVCLTNGIPEHVIPYFGFPPFISISPAAGGSPAMEPILPAPEARALPSLTDHLLEEILVRIRDPGDLARATAACKTFHRLINHSTFLRHYRSLHQPLLLGFVGPGSAHSFLRSEAPHPNAPAAGAFAGAADFSFNHLPERKSGWPRCNARDGRFLVMSTHYGPRVDLPELAVCDPMTQQYTLLPPIPEDLLASTLVQVQAENIDFFDAFFDPSAGYQEARYRVMCWTRSSEMAAVFVYSSVSGSWTHGTSFSWNALGLNVQLDDMPCIGCWPSYAYECFYWQARVTNKLIKLDMNTMKFTIVSLPADHEDWEIVVVEAGEGRIGMFSMDHENPQSLR >Et_5A_040877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13935476:13936094:-1 gene:Et_5A_040877 transcript:Et_5A_040877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRLYQRSVRPYRVFGGAEPGDLLHDLLSVVNNEGGEGSKLDRTDDLFIAGIDTTSKSIEWAMAELVKNPNEMRISFMAKVQAEVRQVAAALGGVLEDHLWTMTRL >Et_2A_014671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33694247:33696367:-1 gene:Et_2A_014671 transcript:Et_2A_014671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRAALRRLLPSRLHNPAARRTPRSPPPPPPRPDEGPLPDPYSLLVHDPIDLLSSLWRRAFAHPQPSPFPNLSGYASRLDLWLLSYQRACAHATGTFPPRQAVPLPTLHSILRLRAAALRRHPAFPWGASTHLLLRSPADTPSTVPISRRKLEARFADAPPPFQDRIVQELLLLLLEPVFEPRFSTKSHAFRPGRGPHTAIRSVRSSFAAYLWFVSADLTTVVDGLSPDTILSCVQKTISDRKVLSLLKSALNAPKDLDGLAKKRLKRKVLRKSRKKKVLNENEPKPDPYWLRLFFGFAPEQVHHVPNYGHCGIISPLLANVCLNELDWWMEERINEYFCPSKHDSIWKEAGDDGCHNPAWPEFVPSSGREKTRKMDYLRYGSHVLIGIRGPREDAVEIRRQLMEFCERTFGLRPENSMVEIEHITRGIEFMDHVISRRVIYPTLRYTASGGNIVSEKGIGTLLSVTASLQRCIRHFRKLELLKGDRDPEPLPCSPMLYSGQAHTNSQMNKFLETMADWYRYADNRKKIVGFCAYVIRSSLAKLYAARYRLKSRAKVYKIASRDLSRPLRESTRNDAPEYSDLLRMGLVDFIEGVQFARMSSIPSCDYTPFPRNWVPHHELILREYIKLQDPKFFCELHKTIKRQEISSPQDDVSKMVWHYKVYGVYDDKRSITNVKELRNDEVVSEDNQLLLDT >Et_9B_065271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:303372:304491:-1 gene:Et_9B_065271 transcript:Et_9B_065271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWSVWTATATAAVAVVCMAAALAAAQPPVPGGVVVITDKSPGVIHGKRNSKFTCADSKKKRPGCMATCPNRCPTKCLVLCPTCKTFCLCDFYPGVSCGDPRFTGGDGNNFYFHGKKDADFCILSDANLHINAHFIGNHNPELKRDFTWIQALGILFAASGDHHALHLGATKAAKWDPAADHLSITFDSNERVVLPPVDGARWSPASAPALSITRTAQANGVVVELKGVFRIMANAVPITPEESRIHNYGVTGDDCLVHLDLGFKFQMLTDNVHGVLGQTYRADYVNKLNITSNMPIMGGADNFASSGLFATDCAVARFGRQHSGIAMVTDTN >Et_5B_045496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3000005:3000939:-1 gene:Et_5B_045496 transcript:Et_5B_045496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQYYCSLAAVLLLPLVVACADTDFDFFYHVQQWPGSYCDTKEGCCFPGNQKPAADFGIHGLWPNYAVCRTVDEDGRPLNQSKCWPDFCNASDPLDPSLLSDLEPDLLRNWGTLSCKNKESTAFWSHEWTRHGTCSGMDQHAYFRAALEFKARFNLTRILLDAGIVPSDERTYCVSRIRDAVAEATGSAPNLECNRNERNETQLYQVYQCVGIDGRSPVRCPVAMDGGCTGMVKFPAFCDTETQVMR >Et_4A_035465.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25756522:25757544:-1 gene:Et_4A_035465 transcript:Et_4A_035465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRFDRDLKWRTGAEIVPVHCTSADGFRVTRAALDDAYRRAQKRRLRVKGVLITNPSNPLGTASPRADLEALLDFVADKGIHLVSDEIYSGTAFADQPGGGFVSVLEVLASRTTTDADTTLSDRVHVVYSLSKDLGLPGFRVGAIYSANAAVVSAATKMSSFGLVSSQTQHLLASLLGDKDFTRRYLAENKRRIKQRRDQLVSGLRALGIGCLESDAGLFCWVDMGRLMRARTFDAEMELWRQVVFTVGLNVSPGASCHCAEPGWFRVCFANMSAETLDVALQRLAAFVKESAAAAAGNKMCARRVAAMVPAARSMSCPLAMKWALRLTPSSADRRAER >Et_8A_056266.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19015613:19015705:-1 gene:Et_8A_056266 transcript:Et_8A_056266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQILFDGSWKNMVISLHPHFTGTGCILV >Et_2B_020348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19198500:19200912:-1 gene:Et_2B_020348 transcript:Et_2B_020348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILSGFIRPSGAAAAKNKKQQQPRRVTADVLWPGLGKKAAEDDFEADFREFERGLSEDDADGVGDDEDDVVEEVPLPPAPALFVFGGAAKAAPDVALPAVDLDADDELTPKPAQNDGPVATSAKRSRKNRYRGIRQRPWGKWAAEIRDPSKGVRVWLGTYNTAEEAARAYDAEARKIRGKKAKVNFPDEVSNDQKSILKPSAADPTKLTQPLKNCANEAFGHPNNGDNDLFAMFAFSDNKVPLKPAETAGFLPPVKPLVPTEGFGTNMLSDQSSNSYGSSDFGWDDETMTSDYTSVFAPNNAVPAYVEPACLQGGPSKRLRNNYGVAVPQGNGAPNLTQDMSGFVPEMKYLPLPYVESSSEVSMDSLLQNDVTQDGASNGDLWGLDELLLAAGAY >Et_10A_000046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19091202:19091757:-1 gene:Et_10A_000046 transcript:Et_10A_000046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLLGLKHMHEKGIIHRDIKPSNILIDSDSDCVVGKICDFGLATYYDEAVTTLCGIPHGTYGYMAPEVYELKSSCTFKSDIWSLGAVMYEFITECPLISGRDSTGTITRMRSLFGSPVDQIRQTKKKIWCKLPLIVQTKTPKHTSIENEKFNMQFFIVGSLSNASNLLPGC >Et_2B_019404.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27577712:27577930:1 gene:Et_2B_019404 transcript:Et_2B_019404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLLLTILAKPIYKTTINYCSVSRCILKPSISTAVSSAWFVKTPNWSLIVEELLSFTSKFKTIYLQQAPKI >Et_5B_043212.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1883466:1883774:-1 gene:Et_5B_043212 transcript:Et_5B_043212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding STTSFSAASLLGASGPCLGLTSTHQSLALRSGRSKPPTLISLPSSTPSSSFAATSCGDIAMRGPSVPWHRVTSVCWSSAGKTPGCGAAACMSVLATLPLVCSP >Et_1A_007171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31553225:31557512:-1 gene:Et_1A_007171 transcript:Et_1A_007171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCQTVLSAAAAAAASRTPSWLHRLHAKEGLSFPSHLQIDDLLYGGRRPQPLPPQPLPPPPSSNHNPNPAVVKEPPPPKAAKPKQPQQQHQQRPPRNPSRPNPSSRNSPQPQPQLNLNAVISDVFAIPSSDLPDTPALKAIRKQSRPRPRTDEQPVPASPPAPRKDKKDKAAKAKKRRRAERAGDADGERSSRTDVTVIDTSTEGWKKAKLLIRRGDVWKIRDKKPSATSEHEDAIAKGKRRAGLVSKLQRDREKEKQKETEATSSVSTLHPMLVLGSSRHQFNTAAKPPLPHSLGGNIDAGSDAVVKESEDPIQTPN >Et_3A_024715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23572666:23574098:1 gene:Et_3A_024715 transcript:Et_3A_024715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTVPLAPAMEILLSFCWHSFANPKSEIFGRNELTGGTIVEPSDGAIFEPIGGAPTEPPGTGELVVWAAAIC >Et_6A_046084.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:22405928:22406209:1 gene:Et_6A_046084 transcript:Et_6A_046084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCAEPKEEGRVEGEARTECVSACLSSNVRFSLFSSAWLDWSCRSRVCFAGCRFSRGCSSATTLLLAWATVPGDCGHQLHQFLHPEQGLPLN >Et_10B_003085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16387165:16388927:1 gene:Et_10B_003085 transcript:Et_10B_003085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHSFDFTSSPATKISMEIFIVGAGPSGLALAACLTQVSIPYAIVDREDCSASLWRKRTYDRLKLHLPKELCELPHMPYPSDTPTYIPKDLFVRYVDAYVNHFKICPNCWIIMAHDQVTGLVTEYTTRILVVATGENSEGKIPNIPGIHDFTGEVIHSSSYRSWNNWRRKRVLVVGSGNSGMEIAYDLASHGVDTSIVIRSPFHVMTKGLIRLGMTLSKWNLPVKFVDFILVTLAYMWFGNLSKHGITRPNMGPLVLKAKTGRTPVVDIGTVELIKKNIIKVLGPVCCIKENAVEFEDGKCVCFDSLVFATGYKSTANIWLKNGESMLNDKSMPNRDFPNHWKGENGLYCVGLAMRGLAGISSDAKMVAEDIKSIIDSIQ >Et_6A_045888.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2496693:2498087:1 gene:Et_6A_045888 transcript:Et_6A_045888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GDPAHLGGLERAVLESVEPEHLVVGEVDGALHAAEAPVQRVVLVPAAGLAADEVGDEGPSVVAEARMVLLHHLLVLVHQPRPEAVQVELRLDVHLVHPLLLLGQQHVDERRLVVGVERRPAGEVGGEHLAGLDADGALGPHLHPGVEQVERPLAVAEEEDAGVERDPGPLREQVRVPVDDEVLAVVARQGKLEGHVGEDGVAVHPPDPLHVRVGQHEAPRQRDLGPVPGEVRVQVRRVVHDLHAVQPAVVDLVLDRLEKVVVAGRVVAGPRRRARDEQDLGLALTVAGRELRVPGHPLLPRRVPVGDRRAQLVPLPRRRWRQRGVVVGGRRVGGRRHDGDGVVLELGVGADLPEHALDVLGDLGVGGGLADGDAAADEEVEADGAAEDEHGDETAEEGQLDVVQRLLPLLVHRGTRQPPGRPPTAGQPHHEQIFFLQVLRLHFLSVSIDALRGARVASDVCAME >Et_7A_050409.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:13095634:13096074:1 gene:Et_7A_050409 transcript:Et_7A_050409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASLFWGDRAVVLFPGWPGERGAGAYVLCLLFVCALAALAEALAAVSRCVARRGAAAAGRGDVPASSAALLTAAHAARMGTAYLVMLAVMSFNVGVLLAAVAGHALGFFLARSRVHPAAAAAAARGRCASAARDGPSPAADGSKV >Et_4B_037881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24351873:24353387:-1 gene:Et_4B_037881 transcript:Et_4B_037881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSEEREQRSCGGAPNSAMAGAEAGGGGGRLIRATTKALKKTATFTHRRLFPFLWEANKSYKTHRISRSAEKKAALITLGAASITRERRKQGIFLQKEVENVDLLLPLAYEITRRLIPRKFGPTWVALNRQCWPKMAETMIHRGCAVVVKSFFMRFQTMSKTEDQGEIMRMLIDALDMFLIGTAPLTFAIGLHTMFFAPQSIQKPGQHINTSHLGTFNLKKLKDGAGIRSITQAKTRIGQSRIPVVPSGEGTPSTVHQADVWLFPPS >Et_2B_019343.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24733958:24734227:1 gene:Et_2B_019343 transcript:Et_2B_019343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCRSVPHEHSSAYYGCGGGYDYEDVSGGAGKSYSFNGPSARDDPEVKRRRRVASYNVFATQARLKSSVRSSVKWLKSKFSDVRYGGL >Et_4B_038669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3791493:3799473:-1 gene:Et_4B_038669 transcript:Et_4B_038669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKTTSTMLKPVYPTPHPLAGEKVRLTVFDRAAFDAFIPTVLAYPALAPSNDELKEGLLRAVALYPHLAGRLAVDDLGRRLLHVNNEGVLVVEAAVSGDLVDVLAGGTVANVDELYPPLPEENVGAALLQIKLNRYKCGGLVIGIICHHHVADGHSMSTFFSTWASAVRTGKDFTVSAPTPFLDRAATAVPRGTPTPGFDHRSIEFNDDGLGSKSDTVVPMNKIKNLTVHFTAEFVAELKARVGVRCSTFQCLLAHVWKKITAARGLSPDAFTQVRVAVNCRGRASPPMPMDFFGNMVLWAFPKLQVKDLLNSSYGRVVGAIRNAVARVDGEYVQSFVDFGAVADASGEDLAATAAAAGTMLCPDVEVDSWLGFQFHQMDLGTGPPCAFLPPDLPIEGLMIFVPATAAKGGVDLFVAVAEDHYTTRSPILLPPISASFRSLAATSAQSMASHEVPSSVPPPPPRNKKRSGRWSALPSQSTTTISSSVAAGEAAHVKGTTLMPAVRASPRAPTTLLDAGKCAKWRGLCQCVMPGRMRSRTSCSAAENALGSGRNGASTGSWRRRKPGVTLGCTGYSSMWRLMEVITSSTMLQPLYPTPHPLAGEKVPLTVFDRAVSDGFVPIVLAYTAPAPSNEALKEGLLRAVAPYPHLAGRLAVDHHGRRLIHINNEGVLVVEATVSADLDGVLAAGMTADELYPPMPEEGVGAAVLQVKLNRYRCGGLVVGIIFHHQVADGHSMSTFFTMWSRAMGAGKGDFTVPASPPFLDRAATVVPRATPTPAFEHRSIVFEGGNDDDQDSESYAVVPLDRIKNLVVHFTAEFVDELKARVGFRCTTFQCLLAHVWKKITAARALNPEDFTQVRIAVNCRRRTSPPVPLDFFGNMVLWAFPKLQVKDLSGSSYGRVVDAIREAVARVDGEYIQSFVDFGAVADTRGEELVAAGAEVGTLMCPDVEVDSWLGFQFHEMDLGTGAPCAFLTPDLPIEGLKAISAFESKLEVMTNVSFSLFFEIVREGIVSRDARGLFRLFFLLLYFEPIMVKSSLYRLFHCPGSHLHTCASRDPADGSHGTNTARRKCSPES >Et_4B_039186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8507126:8509142:-1 gene:Et_4B_039186 transcript:Et_4B_039186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGAPRRRGRLSIRLLVLTLAVLLYLSVRSGAEVITLTEETFDKIKEKDTIWVVQFCVPWCKHCKNLGTLWEDLGKVMEGEDEIEIGQVDCGASKPVCSKVDIHSYPTFKVFYEGEEVAKYKGPRDVESLKNFVKNEAEKAVEAKLIESEL >Et_9A_062891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6299448:6304021:1 gene:Et_9A_062891 transcript:Et_9A_062891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAATSTMNPKNSISQIKRLLGRKFSDPELQSDMASFPFRVTEGPDGFPLVHVRYLGEERMFTPTQLLAMVLSNLKGIAEGNLNAAVVDCCIGIPVYFTDLQRRAVLDAAIIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVSIVGYKKGQLKMLSHAYDRSLGGRDFDEALFKHFAAKFKEEYKIDVYQNARACLRLRVACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKRDEFEQISASVLDRVKIPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNEGFPFSVALSWKPDAQNNTPHETVVFPKGNPIPSLKALTFFRSNTFDVDVLYVDTGDSQVPQKISTYTIGPFQPSKGEKAKLKVKVRLNIHGIVTVDSATMLEDEDVEVPVSSGNEVPKDATKMETDEAPSDPVAGTDVNMQEPKGTETAEGAPAAENGAQDAEEKSVPMDTDAKVEQSKKKVKKTNVPVHELVYGALESAELQKAVEKEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLYEKYSDFVASEDKESLITKLQEVEDWLYEDGEDETKGVYIAKLEELKKVGDPIEARFKEWEIRDSAVNQLVYCINSFREAALSNDQKFEHIEISEKQKVITECSEAETWLIEKKQQQDSLPKHANPVLLASDLKKKAETLDRFCKPIMTKPKPAPKPQTPPPAEATPEPQTPEQQPDAANPAAEAAAASEGAAQEPVPEQMDTDKPEGAADPSA >Et_1A_005789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1425654:1427254:-1 gene:Et_1A_005789 transcript:Et_1A_005789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARRALFVGVLLFASRRNPKPLSCSSASAPPVTSRDRGDDRLSRRLLRLRPPRGGGGAAAAVERWARERGHVSQPELRHAIAQLRRARRYEHALEVFSWMDSCNSLDLSTWDHAARLDLIAKAHGTSQAEEYYKKLQSTAAKRVASFPLLHCYVMERNVQKAETFMAELQRCGLPVDPHSFNEMLKLYVATRQFEKVLSVIGLMKRKNIPRNVLSYNIWMNASAQVSGVASMFQEMVNDDKVEVGWSTYCTFANIFRMHGMNTEAQAYLRKAETKLSSTGRLGYSFIMTCYAALNDSEGIIRMWEASKNVPGRIPAANYMSVILCLIKIGDISRAEWVFGSWEAECRKHDVRVSNVLLGAYVRNGWIEKAERLHLRMLEKGVRLTRHGRY >Et_1B_010155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26777684:26778610:-1 gene:Et_1B_010155 transcript:Et_1B_010155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAEGNGAAVSFSEEQEALVLKSWAIMKKDSANLGLRLFLKIFEIAPSAKQMFSFLRDSDVPLEKNPKLKTHAMSVFVMTCEAAAQLRKAGKVTVRDTTLKRLGATHVKYGVADGHFEVVRFALLETIKEAVPAEMWSPEMNDAWTEAYNQLVAAIKLEMKPAA >Et_4A_033904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27947967:27950411:1 gene:Et_4A_033904 transcript:Et_4A_033904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIKVGNLDARATKIRNVPIAVTPEGFWCCPSQAVLQKSVKNQNQQAKPKGVASPPVSKASSIQRAPTILSERRTHSTPSRSKINSEEQRCISVENAPSNPPKAANEKPQKQHKISVGFGQLEMSDLKVVLYGKDGVAVKMSVHKNILAENSTFFADKLARQSPISSIEVPDCEDVETFVETVGLMYCSDVKQRLIKQSVSRVLRILKAAESLGFRSCIMACLDYLEAVPWVGEEENVVLSVRNLQTENYGVSPVLKRVASDLTISPNDTFAQIIELVLKSNEDRGRREMKSMVLRLLKENSASCTSNSSDLCAETLYRSCRNCLESLSAMFRQASDNDFAEQSPATKEPVFRQIALEADNLLWLTEMLADRNAADEFASMWASQRELAELHAKLPVKSRHLVSCVTARLLVAIGKGEMLPSKDTRQLLLDVWLQPLMDDYNWLQHGCRSFDRKVVEEGIGRTILTLPLEDQQTILLSWLGSFLKVGDSCPNLQKAFEVWWRRTFIRPYAAEKQGNQSQSGRS >Et_1B_013084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5378045:5378609:-1 gene:Et_1B_013084 transcript:Et_1B_013084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVKYTDSLVADDLCNFLPLQGHGPKIQEEDGLQVPLLKDKKRSRSKAPLVVLGFECLESTAFNGIATNLVVYLETVLHGSNLASASGVTTWIGTSYLTPIFGAIIADTFWGNYNTILASLVVYLLVRRRSITHVPCCLV >Et_7A_051218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15766844:15767495:1 gene:Et_7A_051218 transcript:Et_7A_051218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAVLCVAVLLLACLGGVQPVPQAVTSSCTADLVRLLPCLAFINGAAATPSDMCCTSLGSMVHDEPQCLCQALSQPGSSPVSVNMSRVLGMPRLCRLDIPSAAEACTGETRASSPISSSSATCVTCMQFRFGFVAYSPGTGTAAADGDCSTPKRELHCSIDIVPGDTEDATRDTVAVGERPDAWIQRGVQGDR >Et_1A_006754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27094156:27113857:1 gene:Et_1A_006754 transcript:Et_1A_006754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARTTGSLPVGNVQELAKTSNISDEQVLERYIRVEAGTDEVIGRYDSTLEIPVIDLSKLCNPHSSYEERARLGSACQQWGFFQLINHGVPEEVICNLRKDISDFFKLPFEAKKAYSQLPNSLEGYGQVFVVSQEQKLDWADMFYLVLRPNESRDMRFWPAHPPSFRASIDRYSSETAKVVRCLLESMAIDMGVEPESLLEMFQGQPQGFRMNYYPPCRQANKVLGMSPHTDACGLTLLLQVNDVPGLQIRKDGKWLSLEALDGAFIVNVGDVLEILSNGKYRSMEHRAVVHPSRERISAAVFHRPCQDALVGPLPELVKNDGGKARYISVGYMDFMKRYYSAKLDGRNHLESLRNELLLQTPSVTTARCLKGMSGMRLRLILSSSMPKLVNHGVGEGLLQKIKADITEFFNLPLEEKLTVAIQPNGVQGFGHHFVFSKEQKLDWVDLLFLATRPVEERSLGFWPAKPSTFRDTLDKYSLELANVSTQLLKFMANNLGVDQDALLGAFKGQPQSVRINYYPPCHQADKVLGLSPHTDGVGMTFLLHVNDVQGLQIQKDGKWFSVESLPGALVVNIGDVLEDIFRGHPQSLRMTYYPPCRQADKVVGLSPHTDGTGLTLLLQVNDVQGLQIRKDGRWIAVKALVGAFIVNCGDILEIISNGRYKSIEHRAVVHPTKKRMSAAIFHQPCQDAMVGPLPELVKKDGGARYSSIGYMDFIKRFFAAKLDGRYHLESLKSHHRSQKVAGSRVIQRRLINHGVPDKVIRYFKNDISEFFKQPLEAKKAYSMVPGNLEGYGQHFVVSDNQKLDWADLFYLILRPRDSRDMRFWPSNPPSFRSSLDSYSSETAKVISCLLRFLAMDMGIEPESLQDIFKGQPQSMRMTYYPPCRQADKVMGLSPHTDGTGLTLLLQVNEVQGLQVRKDGKWVAVNAVDDAFIINCGDILEALAQTYNTSEEEVPERYIRDEEGAEEIFDGPDTSSAIPIIDLNKLMDPRSSKDECAKLGSACEQWGFFQLVNHGLPEEVIHNFRNDMIEFFKKPLEAKKMYSMVPGNLQGYGQHFVVSEDQKLDWADMFSLVLRPSDSRDMRFWPSTPASFRDSIDRYSSEAAKVASCLLRFLAMDMGVQPEPLLDIFRGQPQSLRMTYYPPCKQADKVIGLSPHTDGTGLTLLLQVNDVHGLQIRKDGKWVAVNALDGAFIVNCGDILEILSNGKYRSIEHRAVVHPTRERMSGAVFHHPRHDATIGPLSELVKDGRLRYSSMAYMDFRKRFFSAKLDGRGLIESLRS >Et_2A_014840.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18012840:18012923:1 gene:Et_2A_014840 transcript:Et_2A_014840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKASLKRGMLRTEHLRSYKKYPSDGD >Et_4B_037582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21429981:21432948:1 gene:Et_4B_037582 transcript:Et_4B_037582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGDVAGRVDVGDGAGDDNIILNPEFDDGLDNWAGSGCKIELHDSLDDGKVVPVSGKYFVAATGRTDTWNGVQQDVTARMQRKLLYEATAVVRLRNANAATGNVQPCEVRATLAVQTPDGRQQYLGVGKIRREHGRLLLIVSRARALHVDRCRAQVSDKEWVQLQGKILLSSTVAKASIYIEGPPAGVDLLLDSLVVKHAQKAPPSPAPDFEKLEYGANIIQNSNLDDGLNGWFPLGPCTLSIHDGAPRVIPPMAQESLSLDDEPLNGKHIHVTNRTQTWMGPAQIITDKLTLYATYQVSAWVRVGSLPNGAAPQNINVAVAVDSQWLNGGQVLARDERWYEVGGAFRVESSSKPAGRVMVYVQGPDAGVDLMVAGLQVFPVDRKARVKRLRRLTDKARKRDVVLKLTTGGDGSPAAKAVEDGDGVEVKVRQVANSFPLGACIMRTNMDNEDFVDFFTKNFNWAVFGNELKWYWTEPQRGQLNYGDADDLLRLCADEHGMCVRGHCIFWEVEAMVQQWVKTLPADDLSAAVKARLEGLLTRYKGRFKHYDVNNEMLHGSFYQDKLGKDVRAAMFKTAAQLDPDALLFVNDYNVESMCDVHATPEAYIQQIIGLQEQGAPVGGVGLQGHVSNPVGPVVRSVLDRLAVLGLPLWFTELDVSSANEHVRADDLEVMLREAYAHPAVEGVVLWGFWETFMSRDDAHLVDAEGQVNEAGRRLLQLKREWLTHAHGKADENGEFRFRGYHGTYHVEVTTSTGSKISQTFNLDKDDAPMVLDIKV >Et_7B_055508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12749513:12750716:-1 gene:Et_7B_055508 transcript:Et_7B_055508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQSGVQNRLAPLVEAAIADLCRAAVPGSFRVADLGCSSGPNALALVSVAVNALELERQASAEGRCREISVYLNDLPENDFNTVFKELPSSLPHEQGDGPLVMVFGAPGSFYGRLFPAETLHLICSSFSLHWLSKASKHIGSPSLPIYRQFSLPQELVDGQLINKGNASAGATSLPAVTAAYERQFEHDFNLFLASRAKEMIPGGWMVLSLAGRPGRDLSSQNRRSEFIAEILQDMASRGVVGAEEVDAFNMPFYAPCCEELRDGVELEGSFEILSLECHDSVMSGPKGDAAQAASMARSMRVLMDWNLVQHFGVDNIGDEFARAAEERFMGAAAQEDVKITVLVISLRKRQP >Et_3A_024007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1599996:1601429:-1 gene:Et_3A_024007 transcript:Et_3A_024007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQANTS >Et_1A_008475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:872838:876325:-1 gene:Et_1A_008475 transcript:Et_1A_008475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VAVVSIWEPRPPLRAVTCFSAQMKHCSRRSTVYLASGPSVSRLMRTVNRMLSRYSSLMAVLRCNLGRCYKAVNSMRQDGASKNFMSVGLGRVVLGMVLVMSISAATYTAPSSSALTEENLLFLEAWRAVDRAYYDKSFNGQSWFRYRENALRTEPMNTRVETYAAIKKMLSTLDDPFTRFLEPEKLKSLRSGTQGALTGVGLSIGYPMALNGSPTGVVVITANPGGPAEKAGILPGDVILAIDNRSTEDMDIYDAAELLQGPEGSSVDLSIRSGIDTRHLVLKRQTVTLNPVRSRMCEIPGAKDSSKVGYIKLTTFNQNASESVKEAIKTLRENNVKSFVLDLRNNSGGLFPEGIEIAKIWMDKGVIVYICDSKGVRDIYEADGANTIAASEPLVVLVNKGTASASEILAGALKDNKRAVVYGEPTYGKGKIQSVFALSDGSGMAVTVARYETPAHTDIDKVGVIPDRPLPASFPSDEDGFCSCLRDPTAPCNLNAAQLFARS >Et_7A_052306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6843928:6848540:1 gene:Et_7A_052306 transcript:Et_7A_052306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVLIIKRSTLWSILQGAGGVICTGIILVFSLACCAAVAGGEPVFDVVGYGAIGDGMTDDTKAFEAAWAAACGAEAPFASMVVPAWKTFLVGPVVFQGPCAPQNITVQVMGTIVAPPASAWSGERVDYWLMFNRGCIESAPTALKLLACNNLELSYFTSQNSPQMHIVIIESRSVHVEHLNITAPANSRNTDGIHIGKCNDVRITDSNIGTGDDCVSIGSGSRFVTVHGINCGPGHGVSIGSLGKDGEEAAVEYIDVSNVQFMNTKYGARIKTWEAFAAAWAAACAAHAPSASIHVPARRTFLVGPVAFQGPCASPSITVKVMGKITAPPASAWSGGTKVDYWLMFYQVDGLTVTGKGMLDGNGESWWVRRCSDLALKLVKCNKLELSHFRSENSPQMHIVVIASRSVRMQDLTIVAPWDSPNTDGIHIGQSEDVRITGSIIATGDDCVSIGSGSRFVTVHDVTCGPGHGVSVGSLGKHGAKADVEHVDVRNVHFINTMNGARIKTWEGGQGYAQSISFTNIEFTNVDNPVVINQFYEDRDFRAKGAVAIRNITYTNLRGTSSRSTAVAFECSRSGSCTEIHVRSMKITGPGGRKAVARCLNAKGDTAGYIYPKISCLK >Et_2B_022614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27893984:27896705:-1 gene:Et_2B_022614 transcript:Et_2B_022614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGMPSITSMPESTSAPTGQGSASNIFTLEMPFALSIFTTDDGSSGCDSCEPQFTPTSTTASPAMAGDAATSSSTAAPARSRRSPAMPRCRLILLASRGPLAGDFNSTDCSNQQQQQQRRLAMAAATRSTTTTAVNSAGDGAPKAGRDHVVIFPFMAKGNTLPLLHFATALSVHHSSLRVTLLTTPGNAAFARSRVPASVDIVVLPFPSHPPLPAGVESTDALPCPSLYPAFFHATALLREPFSEFLASLPSPPLVLVSDFFLGFTHRVATDAGVRRVVFHGMSCFSMAICKAIITSPPPAGVAPGALFHVPGMPEHVEITTEEIPDVVAKFADPEDPMARFIIDNVGDSEARSWGTLVNSFNSLDEEYVAPLESFYRPGARAWLVGPLFLAAGDMSELLDDEEDTEGCLSWLDERASQPASVVYVSFGTQAHVSDAQLDEIAHGLNKSGHPFLWVVRSDTWSPPVDVGPNGRIVRGWVPQRSVLSHQAVGGFVSHCGWNSVMESLAAGKPLLAWPMIAEQHLNAKHIADIVGAGIRVHKKAVDIVGREEVEEKVKTLMDADSEVGKRMRAKVTWAQQAAKSAVSEGGASRVTLQELVDKLQRSYDDDTIV >Et_3A_026758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19147833:19150737:1 gene:Et_3A_026758 transcript:Et_3A_026758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYDMHGGSASAGSEEYHLTAQESPLPSSISGKKRARQKIFAHRGSAFKKEEDCVLCSAFLNVSKDPIKGVNKNKGGYYKRIYKYYHDHKPEGSVRSQISLQKRWATIEKAVTKFCSFKSAVDRKNESGKNEYDRIEDAVRMYEKTEPFHFMHCWEMLHNEAKWNDKLLKVRSTLIVAEGATAAASNPEHGNDSAGMHRGSASAGSEDGQTGEGEVPQHIFLDASIEEIDNSTAHQYNMHGGSASAGSEDYHLTAQESLLPSSMSGKKRAREKRVAHRGSAFKKEEDRILCSAFLNVSKKDPITGVNQNTDGYYKRIYDYYQDHKPEGSVEDALEMYEETEPFHFMHCWKMLHNEAKWNDKLLKVRSTPILAKGATAAASNPEHGNDSAGMHGGSASAGSEDGQTGEGEVPQHFFLDASIEEIDNNTAHQYDMHGGSASAGSEDYHLTAQESLLPSSISGKKRARQKIFARRGSAFKKEEDCVLCSAFLNVKDAVKMYEETEPFHFMHCWKILRNEAKWKDKLLEVRSTPILAKGATAAASNPEHGNDCAPVERPEGRDSTKIRRAREDTDSSSDEVEVLQEIHDRDENAEGKQDQQLQEILNMKADISQLSQKMFDLQKQDMEFRSKLKEEQLSINKQQLSLTKQDIEVRAKQSEAQLLTAEVGIMGADLEKLSPAVRSYYIMMQRQILVRRGVITPDNNDGA >Et_10A_001893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:139936:141939:-1 gene:Et_10A_001893 transcript:Et_10A_001893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPARKREVVEDLEMFRDGKDYYASVGKAWKRGYLLHGPPGTGKSTMVAAVANFLDYDVYDLELTAVATNTELRRLFIETTPKSITKSPMREDDEEHSSSKVTLSGLLNFIDGLWSACGGERIIVFTTNHLDKLDPALIRRGRMDMRIEMSYCTFEAFNDHELFGDFRRLLDETDMTPADVAENLMPRSSKRDVQASLAKLVAALSKANKEAALAKARGSAGGSDKEQEGTDDEEEDGSGNNNGQRELVVLLSMLLRLRLFVQDGGGTTPQLRLARLGTLLKVKFDKSIHLNTVDVVVSDH >Et_4A_033186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19697268:19711706:1 gene:Et_4A_033186 transcript:Et_4A_033186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRISMARTSRTRHVVLFPFPGHGHLSGFLALARLLRQNLLLDATSPPCARPAPRPRAPPRFHALPFVPSDHGLPAGCESSRSLPVPAFMDLSCHVLLCESHERRSSSTSPTNGLHIYHSWKNEINRRHFVLFPFPGHGHLAGFLAIARLLRQELPDATVTLVSTPRNVATLRSSIAAAETTTATVSFHALPFVPTDHGLPAGCESTNSLSVPAFMDLFEAFETLEPAFDAYISGLVARDGAAAADVCVIADAFVAWTVDAARRHGCAHAVFASCGAFGTAVYHALWKNMPALPFGVDDMLRLPDLPELALHRSQMSPSFFFGAGGGMDRWTAFYHRLIRHGHRTDAVLVNTVGEFEPKGLAMMRRTLGKIPVWPMGPLVRGQDTKMDSSSEADDNGVLRWLDSQPTASVLYISFGSQNTIQPKQMMELAAALESTGRPFVWAIRPPIGFDIAGEFRDEWLPEGFEERARAGNKGVLVRGWAPQLRILAHAATGAFLSHCGWNSVLESLSRGVPIIGWPLAGEQFYNVKMLALEWGVCVEAARGNLEDSVVDRSKLAEVLETVMGETALAAAMRQRVKVVQEMMRSAWAEDGGSSRTALHEFLGAMHHTHRFTGARSEAQAIASMATTSRSRHVVLFPFPGHGHLAGFLALARLLRQNLLPDAALTLVSTPRNIATLRASCAAAESTSTLSFHALPFVPSDHGLPADCESTDSLSTKGGIIHLFLGFEALEAVFDDYISGLVRESEAADVCVVADVFVAWTVGVARRRGCAHALFVTCGAFGMAEHAGADTLRLPEHPEVTLDRSQLAPAFLHPNDLGTAFHHRLIRHGYRTDAVLVNTVAEFEPTGLAMMRRALLGKVPVWPIGPLVRGQDTKMASSPEEADGGGVLSWLDSQPPASVLYISFGSLNTTQPKQMMELAAALESSGRPFVWAIRPPVGFDIDGEFRDEWLPEGFEERARAGNRGVLVRGWAPQLRILAHAATGAFLSHCGWNSVLESLSRGVPMIGWPLAADQFYNVKMLAEEWGVCVEVARGNVEGTTVERSKLAEVLETVMGDTAESAQMRRRVMEVQEVMKSAWAEQGGSSRTALHRFFRAVSTNQPPELYQPLEAIASMATTSRTPHFVLFPFPGHGHVAGFFAIVRLLLQELPDATVTLVSTPRNVAAMRASCATAEVTTSTLDFHALPFVPTDHGLPAGCESTSSLPEPEFLSLFEAFETLEPAFDAYISGLVARDGAAKDVCVIADAFLAWTVDAAHRHGCAHAVFASCGAVGTAEFHALWNNLPVSADDGDRWTAFYHRLIPCGHRTDAVLVNTVAEFEPTGLAMMRRALLGKVPVWPIGPLVRGQDTKMASSSESNDDGVLRWLDSQPPASVLYISFGSQNTIQQKQMFELAAALESTGWPFVWAIRPPVGFDVAAEFRDEWLPEGFEERARAGNRGVLVRGWAPQLRILAHAATGAFLSHCGWNSVLESLSRGVPIVGWPLVGDQFSNVKMLTEEWGVCVEAARQNLESSTVERSKLAKVLEAVMGDSAAAAAMRRRVEVVQEMMRSAWAEDGGSSRTALHEFFRAVHLQ >Et_9B_064835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18997440:19000804:1 gene:Et_9B_064835 transcript:Et_9B_064835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSTVFPLEGKACLNPVRRSSEGSGSERVRIGDTSARASRRMCFGARGTANSAQCVLTSDASPDTLVVRTSFRRNYADPNEVAAVILGGGTGTQLFPLTSTRATPAVPIGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHRTYLGGGINFTDGSVEVLAATQMPGEAAGWFQGTADAVRKFIWVLEDYYKHKAIEHILILSGDQLYRMDYMELVQKHVDDNADITLCCAPVGESRASDYGLVKFDSSGRVIQFSEKPKGADLEAMKVDTSFLNFAIDDTTKYPYIASMGVYVFKRDVLLNLLKSRYSELHDFGSEILPRALHDHNVQAYVFTDYWEDIGTIRSFFDANMALCEQPPKFQFYDPKTPFFTSPRYLPPTKSDKCKIKDSIISHGCFLRECTIEHSIIGVRSRLNSGCDLKNTMMMGADMYETEDEIARLMSDGKVPIGVGEKTKIINCIIDMNARVGRNVVITNSEGVQEADRPEEGYYIRSGIVVILKNATIKDGTVI >Et_7B_054544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2379255:2379687:-1 gene:Et_7B_054544 transcript:Et_7B_054544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRSRPRRTGSSLSSSSSRTISEDEISELLSKLQALLPESQTRNGTQRGSAARVLQDTCSYIRSLHQEVDNLSETLAELLSSADVTSDQAAVIRSLLM >Et_3A_024138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17734860:17735567:-1 gene:Et_3A_024138 transcript:Et_3A_024138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASMICLFLIHNWIGHLQFDLSLMFSAQVNINKGLQPVVLLAPCNGPVGFEVQEPQDVLW >Et_2B_021481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3061697:3064769:-1 gene:Et_2B_021481 transcript:Et_2B_021481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAEELQDVERFGIGETGVEEAAELPLHQMESVCENTTAADFKENKLCNFVPMIRSGDWSDIGGRRNMEDAHLFPSMGSLLVANAGDCRAVLSRCGTAIEMSMDHRPCSLSEKLRVESLGGYVDDGYLNGLLGVTRALGDWHLEGMKEVGSRTGPTGGPLSAEPELKMITLTKDDEFLIIGSDGIWDVFSNQNSVDFARKRLQEHNDVKLCCKEIVEEAIRRGASDNLTAVLVSFHLEAPPQIKVNRPGRVARSISAEGLNSLRILLGRQ >Et_2A_014528.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:19973054:19973692:-1 gene:Et_2A_014528 transcript:Et_2A_014528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASRSYSASTTTTAGFAPAVTAKVVDFDGSLAQFAAPVAAHEALLSAAASSSSSRFLCCSDELSFDFPVRAMAAHEALQAGQLYFALPLPMLGRPLSGKDMAALAVKATSALGAAPVVDVSSRDTTIDTAGKQRQTGRLVPLVVVSGDGSGHADGERKSWHVYDARTAAVYGGQTVGKTRNGAGYIGGTRRLPAGQRLSMIVEEASQIE >Et_2A_016402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24273478:24280943:-1 gene:Et_2A_016402 transcript:Et_2A_016402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMEAFEAYFHRADLNQDGRISGQEAVAFFQGANLPQQVLAQVWMHADQNKTGFLGKPEFFNALRLVTVAQSGRQLTPDIVRSALYGPAAARIPAPKIATGPAPPQMGATGAPGPQGTAAMTPAPGQVGAGQMNLAAAPRPHGSGMMPTSTEVSAPQVNPGAAPRPQGINSMMPAASQGGALQATQFAGPRGMQMQPPSMGFNQQQQPSSSAGFMRPPQVGAPATSLQAQSPGVNQSPLGGGSMGGSVGWQGGNVGSVGGAPQATPGGPAPSQTARSGFGHGLPSTMGMAPGQLAQAMSSSPLPPQSNSVVSPQDSKALVLSGNGPASSTTSSTDIFSALTQPKTGVSSPVLPTSSVPSSSSFLSAPAGSQNLSNLGQLGSLQGSSQPQQIQSTKPSVPEPAAPVVSAGVSKSASQWPKVTQSDIQKYMKVFGDVDRDRDGKITGAEARTLFLSWRLPREVLKQVWDLSDQDNDGMLSLREFCIALYLMERHRAGTPLPPVLPDSLKYDQTLLQATGLPSAAYNGPSWQQNPGLPQRGPGGPGLPAGGVRPPLPPHLHSQTDVASRPGQPRPHMPGMDNHVATQGNKDDRTGANPVLQEVTDAPKKEVEKQVLDSREKLEFYRSKMQDLVLYKSRCDNRLNEITERASSDKREVESLAKKYEEKYKQVAELASKLAVEEHAFRDVQERKVELHDALVKMVQGGSVDGLLQVRADRIQQQLEEMEKALSERCKHFGLHFKPAASVELPFGWEPGPQEGSIEWEEDWDKFEDEGFGIVKDNGTIHENPVPAENIKVPSFWDDGDDMSPVASSNGHTKDERRYSGGDQVAESEIGYDFGDESVRSPGSAGRSASGSPFKPSRFGMHDTSPNKRDSYSDHGGSESVFGDKFGDETSWNFDDQDTESVWGSSALNTDADHRVPQNSFFGSEVGSPSGASVFGKRSSFFDDSVPSTPAYTSGFSPKFSESRDDSSSYNFGRFDSFRSQDSGFPQESRFSRFDSISSSKGENMTGFDTVNSPRNFGRFDSFDDADPFGSSGPFKASGSRLAASCSVPEPFPAVLSSMPAAVLHRCKEHGVGEQEGAALFAAVSL >Et_7B_053872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1294164:1297395:1 gene:Et_7B_053872 transcript:Et_7B_053872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSASASAPGSAGERWPPLESSPDVFNQFMWSLGVPEDEAEFYDVYGLDPDALEMVPQPVLAVILCFPDPPQDAVHPSEKILSTEDKEAWDQVYFMQQIESLGNACGAIGLLHAVGNAASEISLVENSSLDIFFKTTATMDPHEICDAVEEHFICFVAVNGTLYELDGMKHGPVKHGFSSSKSLLQDAVDVIKAIMHNIPNSINFNVMVLSRKAK >Et_6A_047494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6484308:6489399:-1 gene:Et_6A_047494 transcript:Et_6A_047494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTPAACEVARLPEEILLVVISRTSPRAACRAAAVSQAFRVAADSDAVWAGFLPRDLPPLDFFPGPPPPSGKEGSVHAPLRQPRLHRRITVLLFLFVKKKLTVSVDSTDRWWCCAEDVVGQGERRQVLHVASEGVAHLGGREAAVLAPDRLSWRSCTLFHNFSFRNEFSLLLLSFNNILISARFNQFTVLQNMCLLEICGKIQSKMLSQNSTYTAYMVFRIATESRRLKYPAQEASVSIGEIKSTCQVCVGGMEKTHWHTVPASVRRPKRRADNWLELEMGRFYNEDGDDDEVSISLTETRGGNRKDGLILQGIEIRAEKPSRIGQSGLHLTVTNYGNYSFVRETFCSICILVKVYILYKLWYLYYLINSESDVLSTVIPTFPNSKSTTGQVTSQQQSNAPYQNLYNIARSEPEVREYFSKNSKWVIRNRFKDLHEIFVTVI >Et_1A_005317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40084349:40086259:1 gene:Et_1A_005317 transcript:Et_1A_005317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLTSGIVPSLNMSAGLLAFFLMKTWTSCLERCGVFPKPFTRQENTVVQTCVISCSSIAFSGGFGTYMLGMSKKIAEGFDEAKTSINVEEPSLGRLIAFLFLVSFVGLFSIVPLRKIMIISYKLTYPSGSATAHLINSFHTPMEAYRRRFYFDFSATYVGVGMICPYIINFSLLLGSVVSWGIMWPFIESKRGMWYDSRLPRSSLHGLNGYQIFISIAMIIGDGLFNFLTILVRTSYDMYLKRRRRPGSNERQAPSFDDRRRTQIFLKDQIPTSIAAGAYVLLAAISVVAIPHIFRQLKPVHVVWAYAVAPVFAFCNAYGTGLTDWSLSSSYGKLAIFIFGASIGAENGGVVAGLAACGLMMGIVSTASDLIQDFKTGYLTLTSPRSMFVSQVMGTGLGCIISPVVFWIFYKAYDVGLEEGYPAPYAKIYRGIALLGVNGWNQLPKYCLRFCLAFFLLAMAICALKEVAKQRGWWMQDYIPSALGMAVPFFLGSFFTIDMCVGSIVLYLWTKADPMRAHMFAPAVASGLICGDGIWSLPSSILSLLNINPPMCMRVFSAETNYHVEEFLWTLRNPDAT >Et_7A_052853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2011483:2011912:-1 gene:Et_7A_052853 transcript:Et_7A_052853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGYARIGMLKEAKELFDRMRETLGNLDEAIWLFERTPHRNVVSGTIMPTDLANNGFADRAIEFFDWMPEKDTAAWNAMITALANSGSLYEAQRLFDSMPVKDMVSWNAIIEAHAYN >Et_10B_004298.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:3095899:3097167:1 gene:Et_10B_004298 transcript:Et_10B_004298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALRPSTRPPAPAPAPSPADAFVAGVISSPPSPYDHDAVSPGPAPEPSAAAATAGARRGGASLSPPLIAMLAVVGAALLVVLYARLVSRVFRAARRRWRRRRLRRLLMFPGSPSSSSGIGGDSFASFTTYDNYYHTFSPSYFGLDDAAIKSLPSAQYLGPSSSASARGSGAARECAVCLLEFADGDELRALPLCAHAFHADCIDVWLRAHASCPLCRAAVALPPPVASQLRAARRVGAAARPSLDDLLFFHPVPPQPPHDGGLALPEIAPASPDQPLNPRDFLLKRSYSFGFERSLAASTASPPWRYRAASRGGGSFWSKRWPSPFGGGGGGGGGGGSAAARVFSYRSAAGKSSPFSRRRGGAAAANGGGGGSGFFFMSLASEPPSILAAARRARAAAAASSRLRCGDPEALLSPDRLSSR >Et_3B_028306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13098885:13101300:-1 gene:Et_3B_028306 transcript:Et_3B_028306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRPRRWSIISSLLTTPAPLVRARPLVHLISQCRGGTALADAEARSLVQRVVGVTGDDHERFLLRIKDRFARVGMELPTIEVRAEGLAVEAEVNARTQAAPNVLNYMTNAVLDVANTIRVLPGRTTKSTILHKTDAIIRPSRYFLSLAELAGISITFHYEI >Et_4A_033834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27238716:27242474:1 gene:Et_4A_033834 transcript:Et_4A_033834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAVQEAKLLRQVNALIVAHLRGQNLGQAASVVAAATMTPLSAADSAPADHLIRLVAKGLAAERGGGAASAFDSAAGSYGGMMPALGSGAVDFSVQDVKGSSKNFPKHEARHVSDHKNVARCAKFSPDGKYFATGSADTSIKFFEVAKVKQTIVGDSKDGTARPVIRTFYDHTQPINDLDFHPESPILISAAKDNTIKFFDFSKTNARKAFRVIQDTHNVRSVCFHPCGDFLLAGTDHSVAHLYDINTFSCYLSANPQDSSSPINQVRYSCTGSLYVTASKDGSLRVWDGVSAECVRPIIGAHGSLWEVGTGRLVKQYAGAVHRQFRSQAVFNETEEFVLSVDEQNNEVAVWDALTAEKVAKLPSGNTGAPRWLDHSPVEPVFVTCGNDRSIRFWRQTV >Et_3A_026912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2591073:2593719:1 gene:Et_3A_026912 transcript:Et_3A_026912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPADAKAEAAKMDLLEDDDEFEEFEIDQVPRDWFQEALGGDWEKEWDDKEDGNEAAQQWEDDWDDDDVNDDFSLQLRKELEENSAQKS >Et_2B_020912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24797769:24813435:1 gene:Et_2B_020912 transcript:Et_2B_020912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQPEGGGGGGGAVLNLPLPGEGGEEEIPPAAEVDCISLRPREERWIILRSLSLKSLLRMAMASKGWFDFVRIPGPFDAKHSIRSVDDVRRALDKLNRGGRGDRIIRFALDIEGVEVSPNDFAFFLDYAADGNAECIRVVVKAPPGSPAFTLSLQRTSQRLVRMWIEGVRVAETRHPTLEVIRIQSTNLDDDGLREMIRWCPRLRCLDLRDCNGITRVDVTNASVHLTRLTVVECPLVTDINASAAPRLCSFRYSGCNLTSLALSAASSFWDLYICFTRCRMPVDFGNWLNALPNLSNLTVLTISNTALRMVSILHKNGENAAVAKLSYLQSLRELQLLMFGMKTDSLSGVYEFFRISRCSQLRKLFVQLPKGGHDSYEDAVKVLNDGPPEDGFENLEMVKITNFKWHCNEIDLVHFLFSNASFLRKLVLVTSHGEVGEADLSLLGSPPKKAVISVLAVGMALGSDGDGRSREKRRRVEKQGYRFQETAAAIPAVRFSALPIDLRQRILRLLPIKDAIRTAALDQGWRDIWKSRWHPTSSRDIHLLPGDVPNEVLDSLERGPRLRLDRFSLVVENEKLCPTHLNHFLVYAADCRVEDLHVERRRGKQLGSTILFHFPLTSPCLVHLSLRSIGISNMYKADRPFSALEVIHLHSVRSCHLTSRNLMAMCPRLRTLDLRRCNCKRMFLGAQALAPPAGENLRSITVVECEGEVRLDVVAMPNLRSFRYSGNYGESPFFLPKDAAPVDLYICCGDPIFRPFTLFPNYFDEGLPHDLSRLTVLTVCSNALKVASTWLNNGATAHWTSLCNLHSLRELQLLMFGMDVHNLADIYVFLKASYCPSLERVFIQRRRVDEQAVHSNEGVEAVPVVRISALPNDLRRRILTHLPLKDAIRTAALAKGWRDLWKSRWAHPSSCLDIHLLPDDVPKTALGSLESGPRRRLDRFSIVVENQKLRPLQLKRFLAYAAECRVEDLRVELRHCKVDSNLTFHFPLSSPRLVHVSLRGINIGNSCYKGAQPFYTLEVIRLYSVRIGQMTFRKLMALCPRLHTLHLLRCDCNQLLSGAKAFIPPTGANLRSITVMECDGQARLESVLLPSLHSFRYSGKFLRSSFLLQEHAALTKLYICVGEPMPNIFYGYFNRALPFDLSRLTVLTICSDALKVASSLLSDGGIAQRANLSNLRSLRELQLLMFGMDTNNLADIFVFLKASRCHKLERLFVQRRRVDEQESRCDGEVGEAIPVDRISVLPDELRQRFLTHLPLKEAIRTGALALGWRDLWKSRWAHRSSAEIHLSSRDALRRELDALAREPRPRRRLDRFSLIVDICKLKSTDLRLFLDYAAESLVEDLHVETRKITAAGKLNFHLPRSSPLLARLSLRCISISHLHYKGAQPLHALEVIRLHSVNISHAAVRKMMALCPSLLSLDLRDCHSDYFFHWDQDKRLVYPPKLRSVTLVECEGTGGLDIVNLPSLRSFRCSGSDRSFSLPKDAALADLYICFDDSIDISWFNKSLPNDLSSLTTLTICSSVLTVHDGQSAQKPKLSNLQSLRELQLLMLKMRADNLADIYKFLKTCKCSNLERLFVQLPTSNSMKASLDEVVEEPPEDGMDNLKMVKVMNFNWRRIEVQLVSFLLRKASSLHKLLLVSSNVAPLDVPGVQEADLLLLKEALANGKIMLSESDDAAIRPYHSEVFIESSISIPTK >Et_9A_061628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14672254:14675023:1 gene:Et_9A_061628 transcript:Et_9A_061628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDIKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELQTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSQYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKLNLSRCAELSWPMPMVVETFSQYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVALVLGVLVPISSKPPKK >Et_2A_016950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29812664:29815234:-1 gene:Et_2A_016950 transcript:Et_2A_016950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAAATLTAATSSLLRRSALLRPHGLRIPRRFPPQRFVRHIASSTNEEAAAKAAAATADTGGPTIFDKIIAKEIPSSIVYEDEKVLAFRDINPQAPVHVLVIPKVRDGLTGLDKAEPRHAEILGQLLYAAKVVAEKEGLADGYRVVINNGAEGCQSVYHLHLHVLGGRQMKWPPAEILACPSGRGVHRWRQS >Et_3A_024104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17389758:17400917:1 gene:Et_3A_024104 transcript:Et_3A_024104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAAAPEVIELSSDDEDEAELPVPGAGALALACRARSSPPDVKPPLLADVDVKPLLLPPLLHPPPGYGAIVPVKTEDPFPTPVPVATEAPRPKALPPPRLCRQFWKSGDYVLAHRNPDANAPGGRNRLRINPKFLHSNATSHKWAFGAIAELLDNAIDEMNNGATYVRVNKFTSPRDGNASLLVQDNGGGMDPEALRRCMSFGFSDKQSDAFIGQYGNGFKTSTMRLGADVIVFTQNKKAWVPTRSIGLLSYTFLMETGCDDVLVPTVDYQYNTTTASFTQMLRHDQKLFSSNLAILLKWSPFSSEAELLEQFDDMGEHGTKIIVFNLWLNDDGDMELDFDSDEKDIIITGAQKKVKTNKLEKIATQNYIANRLRYSLRAYASILYLRVPDNFRIILRGHDVEPHNIVTDLMYRECPSVITTIGFVKGAPDIDVQGFNPFWKVASNSYGKGRGVVGILETNFIKPTHDKQDFEKSVLYQRLEIRLKEMTYEYWDLHCHRVGYDNKKLPKATRALNRANNLNTGGSPKSAPPRLLAADIPTSSGDIPRLSASAAREKINTLESLSKSRMGLKRKFDSLGAMTDNADHEGLEPMEKVGVLQRKRFNEYKTLTLENEKLQNECLQYEESEKQLVLKEEKLRSQIAEAKKKYQELLEELRSLDVKQEK >Et_1A_005653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12417906:12420901:-1 gene:Et_1A_005653 transcript:Et_1A_005653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTSEEESLNNFQQQAKLEACATGSSKADTVMPVVKKRRGHPGNLDPDVEVVALSPKTLLATNRYICEVCHKGFQRDQNLQLHRRGHNLPWKLKQRSSTEAKKKVYVCPEATCPHHEASRALGDLTGIKKHYSRKHGEKKWKCDRCSKKYAVQSDWKAHTKICGTKEYRCDCGTIFSRKDSFITHRAFCDALAEDNSRVNHTLATMVGSLHGQQQDIFSHGVPSFTASPTDVISNLSTNDHNSDSHLRTLSPYALITRNTALFSNQISQKDSGFPLDGSASSFTYMSMNSPYMSATALLQKAAEMGAKTSHDPISPLLLKSFPSNVTTPRDHMDISSGSQGDSLGNSAANSVGIKTADDDGSYMSGRGNILINSPWASSCMRPTTVPLIGLMNNPFVMRAEKESPSIFSESQTQHNRQGNISGVGDAGLTQDFLGLGGNGNLDISSETYNADVTALSYSDEQQKSQEHIYSYHQSSLDSAALEKPIWES >Et_2B_019962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15631249:15634838:-1 gene:Et_2B_019962 transcript:Et_2B_019962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHQQLRSTSLPLRPHALVQELEDELQRLRSGAAASSSSSPASLASRLGDAYGRVEELVHLPGGRDALSSARWRAAVEAELDASVALLDLCQRARDAASSAKQHVRAAQRALRRGDAAAAKSAVRGYVRCLAKATKECNLPKKALASKHTSAASSETPAAVKVLSEAVAVTVAVLQRVTASLSARVVDHTTRKSKWGVVSKLLRSDWSLSSLCDDLDGDDDGVLRAQEMLQELDDTVESVESRLEHLFRSIVRSRVALLNVLTFLPTRPHSLVLKVEQDLHRLRSCATSPSPSPQTVRAWLGELGDLYEYVEEAVRLPSHWDALRLPRHRRLVEAELEASVTLLDLCGAARDGLAAAKDHVRGVRALLRRRRAASLDEAPAPADFSRVVDAAVGGKVDAYVGSLKKVGRAIRRDGAKCAAASDTCRNDDSSSSSSSSTAPKPIGMLAEVRELTVCLLQSAVEVLSRQVTVKPPSSTSSRWSLVSKALLYGKSSAVSGVEEDQQEDADADDSCCVIKGITTCKGLVKAQRQLQALEACIEGLEDELENLFRNLIRSRVCLLNCISL >Et_9B_065970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18949371:18952470:-1 gene:Et_9B_065970 transcript:Et_9B_065970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGQVNYEDPNFNVGLPVLTIHGNHDDPAGVGLTSVVLYGLGNIRDERPNRMFQVQHKGKRKSEEGCSECEWVLEAEPRGAGAHVAMANLFSSKGQWCEAAQERHVMKQKGVMKGEGWSSVEVGGEDRVIGVFIAGDRTHPQDYAVYRMLDRRICLMKQVRPMAMDDQSKLLSKHYGCY >Et_4B_040077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9031006:9033232:-1 gene:Et_4B_040077 transcript:Et_4B_040077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAERVLGERRMRQIQRVARNAKLTVVCLLLTVVVLRGTVGAGRFGTPQQDLIELRQHFVSHPHRALAEHHDARSRSSVADATTTTASSSTGSSAGAGRRDDEPDPQPRSLRDPPYTLGPKISDWDEQRAAWHRRHPETPPFLNDVKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRVHGIEIFYNMALLDAEMAGFWAKLPLIRALLLAHPEVEFLWWMDSDAMFTDMAFELPWERYNSYNLIMHGWDEMVYDDKNWIGLNTGSFLLRNCQWSLDMLDTWAPMGPKGPVRIEAGKVLTKFLKDRPVFEADDQSAMVYILATQREKWGDKVYLENGYYLHGYWGILVDRYEEMIENYKPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQMYGFTHKSLASRRVKRIRNETSNPLEMKDELGLLHPAFKAINLDLNL >Et_10B_003000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15122886:15127462:-1 gene:Et_10B_003000 transcript:Et_10B_003000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATPTVTKSPPSLVPPAGPTPGGSLPLSSIDKTAAVRVSVDFIQVFAAAGKDGSAVSTMREGFAKALVPYYPIAGRIAEPVQGEPEIECTGEGVWFVEAEASCTLEEARNLERPLCIPKEELIPRPPSEVRVEDTVLLAQVTKFTCGGLAVGICFSHLVFDGQGAAQFLKAVGEMARGMPEPSIKPIWARDAIPNPPKPPLGPPPSFTAFNFEKSVVEISLDSIKRVKDQVASETNQKCSTFDVVTAIIFKCRALAIDFASDAEVRLGFAASTRHLLNNALPSVEGYYGNCVYPGGLTKTSQEVKEASLVEIVTAIRDAKDALSTRFLDWLSGGAKENHYNVSLDYGTLVVTDWSHVGFNEVDYGFGEPSYVFTLNDDVNIVPSVVYLKPPKPKQGIRLVLQCVEPQHSASPPALIPPAGPTPGGSLPLSSIDKTAAVRVSVDFIQVFPRATDSGAVDQDAAVAAMRDGFAKALVPYYPVAGRIAEPTPGDPVVDCTGEGVWFVEAAASCALADVNYLERPLLIPKEELLASPPPEVKLEDLILTVQVTKFTCGGFAAGICFSHLVFDGQGAAQFLKAAGEMARGQPAPSVAPVWDREAIPDPPKLPRGPPPSFTAFSFVTQVAEISPESIARIKDEFKDATGQTCSTFDAVTAVVFKCRALAAGLPDDAEVRLGFAASTRHLLQGVLPSVDGYYGNCVYPVGITRTSKVMREASLPEVVAVMREAKEALTVLFNDWMRGGAGDDHYNVPLDYGTVTVSDWSRVGFNEVDYGFGEPGYVFTLNDHVNIVASVIYLKPPAPKRGIRLMLRCVEEPHAAAFADELAKFA >Et_1A_007622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36565080:36567934:1 gene:Et_1A_007622 transcript:Et_1A_007622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIASARIAAPSKTGNQYLKNLIACHNAALSAACKDDQRTLVFLSQSSCQTSPIMASSTLLWNRAGQRERERMAARDAMAEAVLVPLVRRCGGCDSREDGSVEAMLQWQKVSDMLIAASLLSIPLELFYFATRDALAPLRRALLQLGTFVVLCGVTHLLNVLAYDRPPGSRRVLVALTAVKVLGALASSAAAASLPVFFPRMLRVKAREDLLRAKARRLDRDLAAVRRRQATAWRVVRALADQARDSADACAVRRAAVLQLAAALGLHNCAVWMPGCGARDGGVLHLVHQLLPVPDADADQVFDRSTRAVSVRDPDVADVMASKEAKVLRPGSVLAVASGGGQPPAGAAAAIRLPILRVANAFDTSELTSHAILVLVLPTNANDHHRSPAGWSNQDLEIVESVADQVAVALSHAAALEDSELIRHKLAEQQGALLQARRELASATEARDASHGAMRDAVRRPTHPVVGLLSVMQQEAAAMRTEQRLAVDALARTSALSSALMDDAMERLLWTTTDSARDPPPLSTSPRLVARRPFELRALVRNVAGVAGCLAGCRGIGFSHQTEANNALPEWVVGDDRRVFHLLLHMVDALLSKCQRHVAGRVFSFSVCGCNDIVGDDQDWIAVPARYNFSGGNHVFVKFQVALRRAHESGLAESLPASHRRPPRSPGPARSDVQLSMALCNKIVQMMNGNMWSTTDSEGNEETMTLILRFQLQQSLNPLAPGSGTYRIGASSSTIIPQHNFNGLRILLADSDAVSLEVTRKLLERLGCQVVPVSSGLACLSVLGSAVEPSFQLVVLDLDVHGVGAGTAVAMNGVEVAVRIRELSNTCWLLLVLVVALAAGGADDGGVRDVCRRAGVNGVIQKPITLPALGAELQRVLQN >Et_4B_036865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12094777:12105402:-1 gene:Et_4B_036865 transcript:Et_4B_036865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DNISHATLAIEDMGVFLPRTYLSSTKVPWCFRLNKLGANGTHQKKPRMEREGWLLCETLAVSLLYYYLSATRRRRVGSARLPPGPRALPSIGNGLELRGGHLHHVLARLARAHGPVMQLQLGPVVPAVVISSRDAAREAFTRHDRHLAARYTPDADRPDEFVPERFLDTTAEVDYRGKHFEYLPFGSGRRQCPGLPMAERVVPHLLASLLHAFKWRLPDGMTAEQLDLSEKFTTGNVLANNGGARRVVVAVDESEESMHALSWCLSNVVSAAKAAKAPPPAVVLVHARPPRPLCYYPAIVDGAEYVLTQEVRDSMDRYMASAADTVVAKAKNICTAFPNVRVETCVEKGDPRDVICGATEKAGADMLVMGSRGNGFLQRALLGSVSNHCVHNCKCPVVVDNIYPPRLWVMLTWGSSYWSSTKVIGRIDPREQDTYGTKKNTKWSAKCGCCVPRSPSPSSTTTFPASGAVKAPGPRPLPIVGNGLELRGGHLHHVLARLARAHGPVMRLQLGPVVPAVVISSRDAAREAFTRHDRRLAARYTPDAVRARGWADRSMIYLPSTDPLWRTQRGILATHVLAPRSLASRRGVRERMVRELVDHLRARAAGNEVVDVGKALYGAMINLVSSAFFSVDVVDLDADTESAHGIREHVEGLGDLMTKPNVSDLFPFLRRLDLQGRRRAMGRHVAAIFGIVDGIINRRLAEAAAGVSNAEHHDDFLQVLLSLMSQGQIDRDVVKAVVFEIFITGAETVTVTVEWAMAELLKNPRAMARARAEIDAALGGRQTIDESDAMSLPYLMAVVKEAMRLHPVAPVLVPHLAVEDGVEIGGYAVPKGSTVIFNVWAIMRDPAAWEDRPDEFVPERFFNNTEVDYRGKNFEYLPFGSGRRQCPGLPMAERVVPHLLASLLHAFDWSLPNGMSVEQLDVSEKFTTANVLAVPLKAVPI >Et_2A_014554.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:22375349:22375606:1 gene:Et_2A_014554 transcript:Et_2A_014554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRCRPRRLFRGLPLTSRVVRRRLGLGPSPRSRRPRATSRARRPRSASRHTTAARGTTTEWWMASGIPRIWRMTTATTTSWRWF >Et_10B_003823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6443208:6445481:-1 gene:Et_10B_003823 transcript:Et_10B_003823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGLPRAAAAACGPHFAGAAHAVLLAAVLSAAAAAFLPLAVSSCPRDNSLVKDISQMHQSNYGREGFSHITVAGALAHGMKEVEVWLQTFGPGKRTPIHRHSCEEVFVVLKGKGTLLLGSTALKYPGEPQEIPIFQNSTFSVPVNDPHQIWNSDEHEDLQVLVTISRPPVKIFVYDDWSMPHTAAKLKFPYYWDEDCLPAPKDEL >Et_9A_061702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15584507:15585150:-1 gene:Et_9A_061702 transcript:Et_9A_061702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKTIEAWTAELRAFGSDHVPANYVNLKFGAVCAGDDCTVLPCGARRDDPVVRVYAKKLPEIQAALRHGRLSISGKHLGRHITAELTGGEIDAIIDYYGETGGMAVPVFDRAGRRYEFKMAYAENTYFYRLVGAAEYERFMVDNHVVHDVHELGKELFMEVWAFRSPALRCKGNMSNDDHPDGALGMVILFLDLDATAWATRFSMMTASL >Et_3B_028583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17050347:17056061:1 gene:Et_3B_028583 transcript:Et_3B_028583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSLSSPHQLRPSPSHARHRRPLVSQPSLSVRRALPRRALAARGASASDGAAAQDDAVTVRRFPAAPTKGGRLAGVKKIMILGAGPIVIGQACEFDYSGTQACKALAEEGYEVVLINSNPATIMTDPDLAHRTYVGPMTPPLVERIIAAERPDALLPTMGGQTALNLAVSLADSGALDRLGVRLIGASLPAIRAAEDRQLFKQAMDRIGLKTPPSGIGTTLEECLAIAEDIGEFPLIVRPAFTLGGTGGGIAYNRAEFEDICRAGLAASHTQQVLVEKSLLGWKEYELEVMRDMADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDASVAIIREIGVECGGSNVQFAVNPADGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSEPILTTQMKSVGEAMALGRTFQESFQKAVRSLETGFAGWGCGPIKELDWDWEKIKYSLRVPNPERIHAVYAAFKKGMKVEDVHEISFIDKWFLTELKELVDVEQFLISRTLDQLSKDDFYQVKRKGFSDKQIAFATSSSESDVRSRRLALGVAPTYKRVDTCAAEFEANTPYMYSSYEYECESAPTNKKKVLILGGGPNRIGQGIEFDYCCCHASFALREAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVSNVLDLERPDGIIVQFGGQTPLKLALPIQRYLEERKMVSASGTGLVKIWGTSPDSIDAAEDRKRFNAILEELGIEQPKGGIARSESDALAIASEIGYPVVVRPSYVLGGRAMEIVYNDEKLIKYLATAVQVDPERPVLVDKYLIDAVEIDVDALADSAGNVVIGGIMEHIEQAGIHSGDSACSLPTRTVSTQCLDIIRSWTTKLAKRLNVCGLMNCQYAISTAGEVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGVTLPELGFTKEVIPKHVSVKEAVLPFEKFQGCDILLGPEMRSTGEVMGIDYEFSGAFAKAQIAAGQKLPVGGTVFLSLNDLTKRHLAEIGGGFRELGFNIIATSGTAKVLQLEGIPVEPVLKIHEGRPNARDMLKNGQIQVMVITSSGDALDSKDGLQLRRLALAYKVPIITTVDGARATMDAIKSMKNKSIEILALQDYFKTVDASPNLQAAQTAS >Et_9A_062980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7835106:7839222:-1 gene:Et_9A_062980 transcript:Et_9A_062980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHAIQYREDLIHQETTRLGWAVTHVGFWHLAARSLQSITMAISVRVIVGIVGCAFCMLLYTAPILTFKRVIKEASVGEFSCVPYILTLFTCLTYTWYGFPVVSCGWENVTVFVISAIGVLFETSFISIYLWFVPREKKKVIVLMVSLFLTIFGVTIFVSTFTIHTHHMRKLFVGSIAVVGAMSMYSSPLVAVKLVVRTKSVEFMPLYLSLFSFLTSLISLAYGILGRDPYIMIPNAVGCLTGILQLVVYYIYSRCKEAPKVLVDTEPANEIEVATGRVDRNGHKISLKQYAPFFQKCYCRTPVILGHKIHLPIYLLSTVSSCVIVRKSSQQGQEAGMYHGHTNKRNVNMVHHKSRSYIQL >Et_9A_061427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11723999:11725615:-1 gene:Et_9A_061427 transcript:Et_9A_061427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDSSFGSIFVTIQSKVHDFLQIEAHEASFVVVPSLSLQNYTDKYAILANPLPKLRSIVSQFEQEPNKEVQGKISKRNKAYISYSPHQRAIYKDRKYIKSHIKELFHGFEFLHVINLQGIEIGERLTNAIGNVVHLQYLGITSCSLKTIPSSIERLSCLQTLDVRETNVREFPRTFWMIKTLRHVFGFVLKLPKQIGNLKQLQTLDSINLEVSEQPLDETLGEMIHLEYLSVWHITHGNMEALYCAITNLESLETPVLQGDIIPSSVFTTFSLRRLKYMFLNGDLPCSYNLDEDSLYLPDLIMLSLVRTNVTQEFITKLAEKIKIDVRELERVEVEHSKNWKSNLTTLAIIMKSKMGYAKHIKKTRIMVDLKKENAVIEEINYAIAG >Et_2B_020107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16897655:16900953:-1 gene:Et_2B_020107 transcript:Et_2B_020107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWREKIRSSTPLHVVGLAEILAICGLVASLIYLLSFFGIAFVQSVVSNSDDEEDFLIDSRAPRAQGKPPKPAPAPAPAPCALLGNPAAAPEKMPEEDEEIVAAVVAGKIPSYVLETKLGDCRRAAGIRRESLRRITGREMDGLPLDGFDYASILGQCCELPVGYVQLPVGVAGPLLLDGRRIYVPMATTEGCLVASTNRGFKAIAESGGASSVVLRDGMTRAPVVRFPSARRAAELKAFLEDPANFDTLAVVFNRSSRFGRLQGVKCAIAGRNLYMRFTCSTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVISISGNFCSDKKSAAVNWIEGRGKSVVCEAIIKEEVVKKVLKTNVQALVELNVVKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSQCITMLEAVNYGKDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRESPGSNARLLATVVAGAVLAAELSLISAQAAGHLVQSHMKYNRSSKDMSKAAS >Et_3A_024864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24974932:24977514:-1 gene:Et_3A_024864 transcript:Et_3A_024864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQISLTAVEASRQLFLDSSSPDTFRYCNEITGFEFYWKKKGAPASFRGEANGVIWPSSSFLVWSCVRKKTVLPLGEQHGVVEELQDLRRGLEQRRHDGGLAQVDDLLDAPDDLEGGGAVEAGGDLIHEQHLGRADQHLR >Et_10A_000071.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21638389:21638715:1 gene:Et_10A_000071 transcript:Et_10A_000071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAWRQATAPASRGTPGRWRSPAATALRSPRGNSDRTPARAGARRRPQTRRGRWRTGRRRRGHQSRRARTSGTARRWQRRRCPARRRRLRAGPHRRQSGAWWRARRRPW >Et_2A_015929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19258176:19258795:1 gene:Et_2A_015929 transcript:Et_2A_015929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGTWTSWYVENEHPPIPSRDSPLGYEPAVFCNCIPVRKAALWISWSDKDPGRRYVHCAKASEGGCRFIGWYEGPHNPFVQTLLIDLRDDVRTLRSQKAVLRQAVNEFIEDVDQKENELAEVKAEVARLDPIEGEKEYLKGKVKDLQLEKMVMRAVGGFLASAVAYLLFR >Et_4B_040074.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9010149:9011036:-1 gene:Et_4B_040074 transcript:Et_4B_040074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRSDSNGERSKTTGTEIHSALENHRGLTANLTAAPPVPALFKPPRASPNHTTSPPPSRRRLSSAVCHSHHSALDSRARAFSLQRSSLSLFASPPSPAVSPSAISQLELANHHFQGAAAAAAAEPAFPGGGETEEQRNRFLVLRLYEALNAGDAWRAQELLAPDLEWWFHGPPARQHMMRLLTGAEAGGGGGFAFFPRSVDAFGSTVIAEGADDARQLYWVHAWTVGDGGVITQLREYFNTDLTVTLLSATAAATTTANAIATATSSSSGPVSLWQSRLAERAHKSLPGLVLAI >Et_7B_053840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12644091:12646020:-1 gene:Et_7B_053840 transcript:Et_7B_053840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVNPIRMQQARSVRYKSARGDALYRARSRTMPLPSSSSQALVLLAVVSVLSRSSSHVAAGGHHDYGDALAKAILFFQGQRSGRLPPDQAVTWRSHSGLSDGSAANVDLTGGYYDGGDNVKFGFPMAFTTTLLSWSVLEHGGRMKARVRDARAAARWGADYLLKAATQTPGKIYVGVGDADADHRCWERPEDMDTPRAVYAVSASAPGSDVAGETAAALAAASLAFRAADPPYAARLLAAARGVMELAVAHQGKYSDSVGGDVGAYYASYSGYKDELLWGAAWLLWATRNNSYLDYIDSLGPNDGADMFSWDNKPRSARAPGTGTYISHTNRLIKRTYVLRTLVHGDKRFDPFRQQAEDFSCRILPDSPSSTTQYTPGGLMHNKICFAVIVFFFFLPVDYILGDNPLGMSYMVNYGARWPQRIHHRASSMPSVASHPAHIGCQEGFQSYYYSSSANPNVHTGAVVGGPDEHDAFPDDRTDYSRSEPTTYTNAPLVGCLAYLAGKYK >Et_7B_053293.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:22437438:22437905:1 gene:Et_7B_053293 transcript:Et_7B_053293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGEDPGARLYGCVPEPLRLELGAGEPDPRCAAPRLAARRREVLQRQDAGRGVGRVRRGGAREHGDPRRGEVRGGAGRGGRHGGHGRVRGRPKARDGGAGGVAESVGGGRRVVQGGAARVLQSHASSLMKQSISKLYAKDERRNEKQQLSKSS >Et_4A_033484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23576443:23578453:-1 gene:Et_4A_033484 transcript:Et_4A_033484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEARVKLMCSHGGRLVPCGPGGSLRYVGGETRVLAVPRAGSFRDLAARLSSEIAGGAVVRAVRHRLADDEGVFVSVTSDEEVAHMIDEYDRLRATRPSAAFRVFVSTDPGNAGAGAGVQHQRRGAAIPHRCTPTTAIRRVQSEQALSARAHLHRFPAPVRRVQSAPELAPASRLRQPSFHHRCRRCRCACHQGNLCAAAPPPSCPVYVAPYMSKIGNGGRSRGQEPAPAVSSAAKAKPSSRDAKAAVDVEKGRAIWELDLMAAEASFAPDARMNLTCSHGGHLLPCGPDGALRYVGGETRVLAVPHSASFRDLAARLSEMAGGAEVSAIRHRLADEGLEDVIVTVTCDEELAHMRDEYDRLHTTRPGARFRLFVTTTGEERR >Et_3B_028485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1641539:1645736:-1 gene:Et_3B_028485 transcript:Et_3B_028485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSVRQRRATAASPLSDDGYTKHAKDRKRTTGGGEDEGIKWFLPFLALGLLRHMSASSNLIHDCDEVFNYWEPLHFLLYRSGFQTWEYSSDFALRSYLYLFIHALVAGPASLFFGEHKVRVFYSVRLFLGLISTITETILVVALSRKYGKRLACYVLALLCLTSGCFFASTSFLPSSFSMYAVTLSSALFLLEKYAAAVSVAAAGVILGWPFSVLVVLPITVYSLIRGPFKRVFLSGLLTSGCLLVLSFLADYYCYGRTTFSVFNLLKYNVLGGGESHLYGTEGPLFYFRNGFNNFNFAFILALLFVGVVPFARKKYAPDLLIVVSPFYIWLAFMSLQAHKEERFLYPIYPLICVAAAAVIDSFPDFFHDKYSSQQSIFEKIAKGLRPLVLGFILCASHSRTFSMLNGYGAPLQIYQHLEYHEDTGPGSILCVGSEWHRYPSSFFIPSYISEVRWIDDGFRGLLPLPFNETLGGTTAAPPYFNNKNKASEEQYLKDIGTCSLLVELDLRRPYPSRGSDLSTWETLAALPFLDRELSPALYRSFFIPYRWQQNNVFGLYKLLRRLRTDQL >Et_3B_028573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1734350:1737956:1 gene:Et_3B_028573 transcript:Et_3B_028573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPGSDDPMVLDPPAPVAAAAAEAAPAGNEKAMQTIKDEKSEKTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQIMRAMEHPNVICLKHCFFSTTTRDELFLNLVMEYVPETLYRVLKHYSNANQRMPLIYVKLYMYQLFRGLAYIHTVPGITHRDVKPQNVLVDPLTHQVKICDFGSAKVLVPGEPNISYICSRYYRAPELIFGATEYTSSIDIWSAGCVLAELLLGQVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPSLRCSALDACAHPFFDELRAPNARLPNGRPFPPLFNFKHELANASPELINRLVPEHIRRQNGHNFGHAGS >Et_4B_038426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28922170:28928200:-1 gene:Et_4B_038426 transcript:Et_4B_038426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATVGPCNVPKPRAWNPVEQSKWTSWHGLGSMPSAEAMRLFVKILEEEDPGWYSRVPGFNPEPVVDIPMHKPKEEPQIALASTNVTSIPEPKTISENGTSVETEDKDVILEGLSAISSHDEWTPLSVSGHRPKPRYEHGATILQDKMYIFGGNHNGRYLSDLQVLDLKSLTWSKIDAKLQGESSDSAKTAQNAPCAGHSLISWGNKFFSIAGHTKEPSEGITVKEFDPHTCTWSIVRTYGKPPVSRGGQTVTLVGTTLVLFGGEDAKRCLLNDLHILDLETMTWDDVDAIGTPPSPRSDHAAACHADRYLLIFGGGSHATCFNDLHVLDLQTMEWSRPKQQGLTPSPRAGHAGATVGENWYIVGGGNNKSGVSETLVLNMSTLTWSVVSTVEGRVPLASEGMTLVHSNYNGNDYLITFGGYNGRYSNEVYTLSLKSNSQSTVKEETVAENTSRVLEPEVEISQDGKIREIAMDSADAELIQQNRNDEASEKLLAALKAEKEELEATLNTEQLQTELQAVRGQLAAEQSRCFKLEVDVAELRQKLQNMDALEKEVELLRRQRAVSEQAALEAKQRQSSGGMWGWLVGTPPDKSES >Et_5A_040728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11659708:11662295:1 gene:Et_5A_040728 transcript:Et_5A_040728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLICCFNFDFGEENKDFGDKGFGRQVSQIPITKFQVLELSIETHGHAYGAMVLYLLQPCTFIQKLTLKLLKDIIPSVRKECPVNCPCDEPNNWRNQSISLDDLREVEIHGFKGQGHEVDLLKAISRGATMLERVAVYLSKMISPSNNRCMDIYNISKAYPSKFYQSDIHVVGKCPVNCPCDKPDNWRGQSISLVRLKEVRMHGFKGQGHEVDLLKAIFRGATMLKRMAVYLSNTISPSNNGAQVHKGILAMAAGLEEMRQILRDR >Et_3A_024632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22799393:22806553:-1 gene:Et_3A_024632 transcript:Et_3A_024632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRVGVVPVSVVCALLLLAVVSIWAPRADAARPLESRRDQAAVANGSLQMQMAGLVVPAGNETGEAAGSVVASERRNLFFVKETLDWCVLARGNTHLFSLVNYTRVGVVPVSVVCALLLLVVVSSWHPRADAARRLESRRDQVAVAVAPLVANVRLQMQMAGLVVPGNETGEAGSVAAASERVSPGGPDPLASLIPASTGSFHVRIS >Et_1B_011285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:243485:249164:1 gene:Et_1B_011285 transcript:Et_1B_011285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRILKSARESGSLNLSNRSLRDIPNEVYNNLDTGSQDEKWWEGVDLQKLILAHNNLEVLREDLRNLSSLVVLNISHNNISSLPAAIGDLPLLKSLDASFNQLNTLPEEIGLATSLVKIDLSNNHLTELPASLAKCVALSELKASNNNITRIPDALADCSKLTKFDLEGNKLVTLSENIFVSWTMLTELNVAKNLLTTIPDSIGALSKLIRLDLHQNKITSIPPSIRGCSSLAEFYMGNNLLSSIPADIGMLSKLGILDLHSNQLKEYPVRACNLKLSFLDLSNNSLSGLPAELGKMTTLRKLLLTGNPMRTLRSSLVSGPTTTLLKYLRSRLSSDEEASGSSSTPTKDDQIAAARRLSLSSKELDLSGLGVTSVPPAAWETSDVVKLDLSKNSLEVLPDELSLCSSLQSLVLSNNKIKRWPRAVISSLPNLSSLKLDNNPLAEVSSNDLVSLSRLEVLDLSGNVSALPEPSAVSALTLLKELYLRRMKLHEFPNALLGIKQLRILDLSMNSLTTVPEGIKEFTALIELDLSDNNITALPAELGLLEPNLQVLKLDGNPLRSIRRTLLERGTKAILKYLKEKLPAE >Et_3A_025613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31225378:31227612:1 gene:Et_3A_025613 transcript:Et_3A_025613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRYDGAAIGRCHARRQWTSVIRAAKAEGAQVRYLSFPEEDAASCEQCERARVLLAGTRLLLMCAFCFVVAVKERSVSVILLSGGQGKRMGASMPKQYLPLLGLPIAVHSLKIFCQLKEVKEVVVVCDPDYKDVFEGSIENLQIPLKFARPGKERQDSVFNGLQEIDGNSELVCVHDSARPIVSSKDVKKVLEDAAVHGAAVLGVPVKATIKEANSDSFVVKTLDRKTLWEMQTPQVMKPNLLRDGFELVKRHGLEVTDDVSIVEYLKHPVYITEGSYTNIKVTTPDDLLLAERLMNE >Et_1A_008442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7694686:7696739:-1 gene:Et_1A_008442 transcript:Et_1A_008442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKVFSSRRPPSLSNSGDLAAGMDRWTGVVHVPLSRGGPLFRVAASLILSPTKRLAVPRANAILFTGDRVRGTGDPTIERLSDAAHLAGILAGKLSGDTNAWVIDAARFSGPFAVYRELVPSVDAAGDPKGYEPTGFPAAAGIASILTHGISQIQNKILGCSSNDSTVNQHPIESLPSSCPPTTIILGFSKGGVVVNQLVTELSCWASESMQNSVDISGSNPSLLTHNLIFPASSTDVLSSISEYHYVDAGLNCAGAYVTDHEVIKEIANYALRTNNNLRFVLHGTPRQWSDPNRLWIRKEKDIMLQLLRDEAQRCGGRLVPSEKMYFDGRPRSLRMHFEILEQIDIS >Et_7A_052922.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2663414:2663959:1 gene:Et_7A_052922 transcript:Et_7A_052922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSPKPKVVREAAAGCADATTTFVQADPATFRALVQKLTGAPPDEKQQQQQQAVTVAPAPPMMRRPKLQERRRAAPPRLELARQPQSFYYYHHHGHHHLSSMHSPVSPMDAYVLASPSPSSSPSPRGVVMISKEEEEREEKAIASKAFYLHSSPRDNGERPKLLPLFPVHSPRSSFFAS >Et_3A_024241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18846088:18853620:-1 gene:Et_3A_024241 transcript:Et_3A_024241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDAARTACVCRELSQSWRYYPELKFSAKTLALGDQQTSIEGQMASDIIKRIDDALQNRAGVLVKRLKFELLFFRKVPARHVNHWLDGAAPGIEELTLKLPHGDKMKYSFPCELFSNEKGCSIQSLCLSICAFHPVQGSCSFTSLKRVHFSWVHITTEESLLFLSNSFALEHLELWYCHEIACLRIPCTLQLLNFLRVGRCSMLQRIESDAPNLSTFHYEGPIIQFSFGDGLQLKDVNISIYPWFNLLDYARKKLPTVAPNLETLFLMSADEVGDFYPLIIFPHEKFNHLKYLELAIVGPRTEVGYHFQYYSLVSFINASPVLETFILHVEESAVEKTHLVIDPSKLIELPRHCHLNIKHVTITGFCPIMELVELIFYILENAVLLQCLTLDYRICGFEKGLVARIAQDTGSRDYQEWWNNYGDNEDFLQHMRRVDQTPYWDAYLSHIAVRKYIVGRVPASVELKILGTPPYEVISSLSSSERWWTKQIYPPAGCLSLKDLFGWEGLLTFDMDGRTTRNLAKVVAAKIEIGLRLMGPNLVEVGIFGNADDDNWRLTSTTHGESENVFGWMDGCLKYRPQVRTPILQGNFIRQALLMWKNSCMVGQSGYNKIDGSFVS >Et_3A_026322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6846786:6848071:1 gene:Et_3A_026322 transcript:Et_3A_026322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCTLVPLMIAACACFVVPPSNCSCLLRCLSFLAGTTDVVGKDAQFPVSSVVDVWQDHVTEVRGSVEQQWLEGIDADLTGEGEFGRGVEEFRLPSMAIPGCRGERRGTRRTAWRGASCGAAHHPRRGTLRAAETARRAGCSAWGCGTSRGAATARRAGCGGAWGETSRSGAWRAASRRRGRRVAGLLLPRARRRARARARASVARGGRASERVRGAWGARASGALADGCVEEQRLALLLRSLMEKSSFGCVKLFLCACQINGFDVCHRALGVSLRRVRHCCLLKIITCCSSMNHWFSSSCPMFKGLILLSISWMLCTIFIITANHQSFDVKPSNILLAEDTSARIGDFGISRILPQSAHNRLSNPSSTIGIRGSIGGHVHPQSIS >Et_7A_050810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11158954:11162742:-1 gene:Et_7A_050810 transcript:Et_7A_050810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METQTSRRPRLHVASLFLLGLLIVHASVAAAECPADQASALLRLKRSFHHPFLPSWRAGTDCCRWEGVSCDAASGRVAALDLGGRGLRSRGGGLDGSLFHHLVTLRRLSLAGNDFGGARLPASGFERLVELTHLNLSDAGFAGQVPAGVGSLSRLVSLDLSSSSSSAQPVTTPLEFKEPSFRAVMANLSSLTELRLDGVDMSAATAWCDVLSEAAPRLRVLTLQSCQLSGPICASLSRLRSLAVVDLSNNNNQGVALSGPIPEFFAAFRHLTVLQLSNNGFNGSLPRGVFRLERLRVLDVSSNSGLSGSLPEFPAGSSLEVLNLKETNISGPIPSSIGNLRHLKTLDISDSTSRCFSGGLPASIGDLAVLSFMDLSSSGLKIGELPAAVGRLQSLSTLRLSDCGISGAIPSSFVNLTRLTELDLSQNNLSGPITLFSEGAFLTLKNLQLCCNSLSGQIPSFIFSLPRLEFVSLMKNNLAGPLPEFSNPSPSLTSVYLGYNQLNGSIPKSFFKLMSLSTLDVTRNSLSGTVQLSFFWGLANLTNLGLTTNQLNVIVDDEHIGSSSAPVPQINYLGLGCCNMTKIPSILRYVVVNDLDLSCNQIGGSIPKWILAGQTENVDVFKFNLSRNQFTDIDLPIANANIYYFDLSFNKLQGPIPIPNSPQFLDYSNNLFSSIPPSLMARLSSAFFLNLANNSLYGGIPTMLCNASNLQLLDMSYNYFSGHIPPCLVDGHLTILKLRQNQLEGTLPDDVKGGCVSQTIDLNGNKIEGKMPTSTSECNDLEVLDIGNNNFEGSFPSWTMGEVPDDGDKNTTHLSSLQIIDLASNNFSGSLDSRWFQNLKAMMVASRSDSPLALENNLSVIVETKFKKLLE >Et_10B_003301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18621413:18626251:-1 gene:Et_10B_003301 transcript:Et_10B_003301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVERYLQEHFDLPPKNPSEEAQRRWRSAVGTVVKNRRRRFRMVPDLERRQQDEQTRRSIQEKIRIALYVQKAAIIFSDGASKKEYQLTEDIMKAGFSINPDELASITSKHDMKALKMHGGVDGISKKIRSEFDRGISVSDLDKRQSIYGINRYAEKPARSFWSFVWDALQDMTLIILMVCAVLSAVVGLASEGWPKGMYDGLGIILSILLVVMVTAISDYRQSLQFKELDNEKKKIFIHVTRDGSRQKISIYDLVVGDIVHLSIGDQAPADGLFIHGYSLLLDESSLSGESDPVYITKDKPFILAGTKVQDGSAKMLVTAVGMRTEWGRLMSTLSEGGEDETPLQVKLNGVATIIGKIGLVFATLTFLVLLVRFLIEKCLTVGLFKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKQLMKEKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWISEISKSLADNNSLDGLNSDISSTTLTLLLQGIFENNSAEVVKEKDGTQTVIGTPTERAIVEFGLTLEGHDGEDRTCTKVKVEPFNSDKKKMAVLVSLPNGAYRWFSKGASEIVLEMCDMMVDGDGNSIPISEAQRKNILDTINSFACDALRTLCLAYKEVDEDFDDNAESNAESPTSGFTLICIFGIKDPVRPGVKDAVKACMSAGIVVRMVTGDNINTAKAIAKECGILTDDGIAIEGPEFRNKSPEEMRDLIPKIQVMARSLPLDKHTLVTNLRGMFREVVAVTGDGTNDAPALHESDIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDDMMKRPPVGRGESFITKVMWRNIIGQSLYQLVVLGALMFGGERLLNIKGADSKSVINTLIFNSFVFCQVFNEINSREMQKINVFRGMISNWIFLGIIAVTVAFQVVIIEFLGTFASTVPLDWQLWLVSIGLGSISLIVGAILKCIPVESGGTSASPNGYVPLPSGPDNI >Et_1B_009774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26165601:26166313:-1 gene:Et_1B_009774 transcript:Et_1B_009774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTITAAANPARITSAPASDRSCLPLPPPGCSAAPGPAATPGDGGSAMPSSGLAATAPSPWRTMPRTNARRSSRGRDDNLQLGIVVDCVKDARSVVGVYIEVLCCVELKE >Et_4A_034719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5795060:5821553:1 gene:Et_4A_034719 transcript:Et_4A_034719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQFSLALLLTKRRFLAACTVVCQFATPGEPLKAERAWVRPANAATHRSLPFDAPLFPSLADQLQGSTEYRIRASCESRALGLPRFLPPLVLQTKQVVRMASSSPADPSLETEAPHAPVTRERRLNPNLQEKVPKPYLARALEAVDPSHPQGTKGRDPRGLSVLQQHVAFFDRNGDGIIYPWETFQDLARALVAVDPSHPKGTEGRDPRGMSVLQQHAAFFDRNGDGIIYPWETYKGLRAIGCSVPVSLFFMFFINLALSYPTQPGWLPSPLFSIHIKNIHKAKHGSDSETYDTEGRFDPSKFDAVFSKYGRTHPNALTKDELDLMLKANRNMYDFIGRLAASAEWKLLYSVAKDKDGLLQREAVRGVFDGSLFERASTECDHDSAASFEHSRTATPSRLPSPSKVLQTPH >Et_9A_061740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1617683:1622792:1 gene:Et_9A_061740 transcript:Et_9A_061740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGAVAAVAVEQRTKQRRGGHLWKKALLHFSLCFVMGFFTGFAPSSSSSWKAGTQQPPHRAGDPLAASRVAVDTRVNMVPPAAGFASGGGGGAMVDMGDDDEEAGPRRLLIVVTTTRSGAGERRRRRPELLRLAHTLRLVRPPVVWVVVEPAADAPATAEVLRGTGVMYRHIAFKPEENFTTAGAEAHAQRNAALAHVEKHRLAGVVHFADAAGVYDAHFFDEIRQIEAFGTWPVATMSAGEKKVVVEGPLCSASKVVGWFSRDFNDGTTRAVTYNTEVDLNPAGAAGTRAHTIDVSGFAFNSSILWDPERWGRPTSLPDTSQDSIKFVQEVVLEDRTKLKGIPSDCAQIMVWQYSVPNYIPRQTSAPKTHNRR >Et_3A_025813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33125554:33130148:1 gene:Et_3A_025813 transcript:Et_3A_025813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPQAPPAAAAAAEGSAPAAPLPAPPAPRPYEVAVAAAELRPVDCNLAALCDHVQTEGFGAGAFSDVVVEAMGATYRLHRLILSRSSYFRNMLHGPWREAGAPTVVLHIDDANVDSEAIAIALAYLYGQPPKLNDNNAFRVLAAASFLDLQVYLARFNYFSYFMTLRASFCCIVWLTYVQLQDLCTICTDFIISELWTSNFLQYQLFAESQDYGSHGERVRNACWGYLCQSATLELREVLPKLSSQTLHALLTSDELWVPNEEKRFELALFTLLAKVTICEIQVSGTETISPNADRCMRKGKTPMNDPSEEQLTESELQNLKLHDNSSGEASMTENDRSTGGPSGESTSYQFNENIWLSCDQTAKQYFSRTSSNGHVPTEWLRPNALLWGGRVVGRRQVRCIEGKSCLSTDECNAFMNIFEKGSLLYCNMSFDSLLSVRKQLEEFGFPCKAVNDGLWLQMLLCHRVQAIVADTCRNCCLTSNSCACKQAYVSSQSHYRQEHDLADAQGDGNGGLGPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQSFANDESEPRIDRNGDVSVDGLTALVNLSQENSAAHKHAESLFETGMQTRYCSAASVSTPGGSSVQMQESKEHELSPDWEATEDATISLDMKTPLSHFPPFRFGVQFEDVHRLADGQVKHSPEVFYAGSLWKVSVQAFNDEDPHGRRTLGLFLHRRKAELLDPLRKAHMYIDPREKVTARYQLICPSKREVMIFGSLKQAGTLLPKAPKGWGWRTAILFDELADLLQGGALRIAAVVQLV >Et_4A_034749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6000516:6009633:1 gene:Et_4A_034749 transcript:Et_4A_034749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEDSSAPRSVPGSYGLPRMALTKSVVLESLRLDPPVKFQYGRAKTDLQIESHDAVFQVKKGELLFGYQPCATKDARVFGPTAREFVGDRFVGEEGTKLLKYVYWSNGRETEDPSPDNKQCAGKDFVVLVGRLLLVELFLRYDTFTAEVSKELLGVKVVFTGGQDKYFESRVDRHGSTVVRINVPPGPFMARDPRVVALLDAKSFPVLFDVTKVEKKNVFTGTYMPSTSLTGGYRVCSYLDPSEPTHAKIAQDGKADFGKLNDVTAFDFVGEAYFGVRPSATDLGNGGPTKAALWLFWQLHPLITLGLPMIIEEPLLHTFHLPPFLLRGAYKALYKYFSTAASQTLDAAESLGLSREEACHNLLFATTFNSYGGIKIMFPSLLANVAKAGKTLHEKLAAEIRAAVAEAGGGEVTFAALEKMELTKSVVWEALRLDPPVKFQYGHAKTDLQIESHDAVFQVKKGEMLFGYQPCATKDAVVFGPTAGEFVGDRFVGEEGGKLLQYVYWSNGRETENPSLDNKQCPGKNFVVLVGRLFLVELFLRYDTFTADVGTGVVLTGVTKATSGPAKE >Et_1A_004924.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:17072226:17072372:1 gene:Et_1A_004924 transcript:Et_1A_004924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGKNKVGSRNRYHHGVRRAPWGRYYAEIRHPRLGSRHWLGSLDTAC >Et_2B_021365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29013622:29014267:1 gene:Et_2B_021365 transcript:Et_2B_021365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NCTNPSRSSRRKRREPSSFTRFQEQFLDSDPSCSKQKSRENGAVLSRAPGAAACEELGFGGGGRHVVVTRRRARRRHEPPGQHRRERPRAGASAAASARASPPFLDRPPRHATRNSCRARPAATTGVDAGPSARLRSMAAWTTECEESAARRRRTSRRRTRGRRAGEEAIRDVEWNRRRRRTRAHGRERRLGWRWRDDE >Et_4A_033314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21334145:21336573:-1 gene:Et_4A_033314 transcript:Et_4A_033314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDAMKYEYSLTCPICLDTLFNPYALSCGHLFCKACACSAASVYIFQGVKSAPPEAKCPVCRAVGVFGRAVHMTELDLLLKTTDKDYWRQRLREERTEMVKQSKEYWDSQAMLSMGI >Et_9A_063123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10922296:10928538:-1 gene:Et_9A_063123 transcript:Et_9A_063123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVGGCGAADEEEFYIGMEEPLISEEYEIWKKNMPLLYNLIITHALEWPSLTVQWLPDRVDPPGKDHSIQKMVLGTHTSDNEPNYLMLAQIQLSLDDAEADARQYEDGHANIEGFGAASGKVQIVQQINHEGEVNRARYMPPNQFIIATKSVREEVYVFDYSKHPSKPSLDATKPVQSVMAHQGKVNCLAFNLLNEWVVATGSADKTVKLFDLRKIDTSLHTFDCHKEEVFQVGWSPKNETILASCCLGTRLTVWDLSRIDQEQTPENAEDGPPELLFIHGGHTSQINDFSWNMSEDWRVGFQALARQPEVA >Et_9B_064111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11308178:11311223:1 gene:Et_9B_064111 transcript:Et_9B_064111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPASPPPEIPGAAAVAACAAMAVSYVAVLYAPTALLRMPPPTSLRSFLHRRFACAAVASVASAFATAALLRVWSLGEVSDMLAVFGIRKDHLLEAVVIPLLLTSLVYAGSFVAKLWLLSSSCGGDGDQVGICFWQRNMHRIEAAVGDVMVWRNFVVAPVTEELVFRACMIPLLLCGGFKMYNIIFLSPVFFSLGVQLGYTVIFGWYAAFLFLRTGNLLSPIIAHIFCNMMGLPVFSSLRTRGLASVAFLVGSISFFWLLFTATSPGLYNSTLDRCGCWHGYCRWR >Et_6B_050148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7263563:7265051:-1 gene:Et_6B_050148 transcript:Et_6B_050148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSLLMFRKAGMASDAAVLGATKCIVLFAALLLDRQRGRRRRQAGLQALAFGPAPWQRASPPCSCSSLHPRCGSVPGTMLSHI >Et_1A_007527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35603542:35608022:1 gene:Et_1A_007527 transcript:Et_1A_007527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKPWQQPHEQASYPHARMIQASSSSIHGSTIRKDPGGYDMAEFDQALFLYLNSQDQTSVIQEQPQTLNIFPSEPMHVVETAPTKQGSMVTNNNRASDTRAGPSKRPPPSSPPSKPPPAAVKREGNDCSSGSKGSGTPSTSDQQEGPKTRDAKTLRRLAQNREAARKSRLRKKAYIQNLETSRIRLSQMEQELHRSRTQGAVFGGGALSGGGIGGLSPALAFLTRCMRSAEAAWFDAEHARWVEEHGKIMRHLRAALEEPHDAQLRQLVDAAAAHHGVLAELKAAVARADAFHIVSGTWAPAAERCFLWVGGFRPSDLVKVAARHAEPLTEAQAIGVYGLQQWAMEAEAALDQELQAMHSSVSEAVSSDAASLLSPYPDVPGYMATMSLAIAKLASLETFVRQADALRLQTLHRLPQILTPRQSARCFLAIADYSHRLRALSELWLTRPRQEPVTAPATGSSHRPNYLSRDTLL >Et_8B_060169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6714434:6729014:-1 gene:Et_8B_060169 transcript:Et_8B_060169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLIRPQQVLRLDGFAVHQAAGRHQRLTPESVLLRLNSVDVAHVLTDDPLALAVYDSARTKAAASAIGSGKNARDDAATSSLTDRLLPVYARYATARVLWEAVFEFDDGAPLMEQLALVESLGLGQPLLTDDFVAYEVTHKLPGDMRMHAVAALAGSERVGAKRRGHILAALWDACATARVLWDALRPRRRRGHRLVPAVFNRFTFDDGGDPLLQQLAHVHAVATACLEPGRVLAPTRHGSSERGSRRMCGSRFFEDRKDGNISMDMVWEQARTREKIRMARVLVGSMALRRVTPLDGADGYPRWKESMLLVLNNAGVAHVLTDDPPPPATAAAKQWAREDAVCRGHILGALSNRLFPDYARHAVWLAVARTYDVTASAVASQRFIEEGKPLPEQVARAEALGVAGHGTLTDGVVAETLLQKLPQDVYEELFDPFDDHDVTMEAIWGVVRVKEEVRQRMEAGAVAMADDMPLHEDQELEPLDTVVVLLRLNTVGVAHFLTDDPPSRRNNEAAKEWARDDAMCRGHILATLGSNAPRHRQGAVGGRGAHLPRGDGRHPVFAEFVFDEDAPLLEQIAHAEALAAAADCNSDKCMAYTLSEKLLRDVGVYVAFEPSEGGVCMDRVWYVARKQVVHSMHGFRTDGEGALQEITLFPTVFYEWPKVVVGSMALRRVKPLRGARGYPRWKESMLLALNNDGVAHVLTEDPPPPPPPAIGDGGARATAAAAVRQWARDDAVCRGLILRALSRRLFPDYVRHATAAALWLAVARTYDVTASAVAWRRFLDLEIDEGEPLPEQIAHAEALGVAGHGTLADGVVADMLLQKLPQDVYEELWEPFDDIVVTMEAIWGVVRLKEEARQRMEAGVVAMPDMQE >Et_5B_043056.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:18176860:18178325:1 gene:Et_5B_043056 transcript:Et_5B_043056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTTAMEEMTKYYWGMLGARAAAAAGTTTATSDLRSSWPPPAHGGGGGEPSWEELAFARDAAGHLGGCVWPPRSYTCSFCRREFRSAQALGGHMNVHRRDRARLRLCASPDHDVVEVQDTQQIQPIVTPPVDHHHRHQDKQEARVFRAKEVLLSTNPNSTWDHQSYHSKEEGVISTSPSSLSTNIKEDKKKVVISIPAAAARRKEALHSYEEEDTMAAMRKRRRVDQPPEVVLPFFLRLPAASCERVHEHDAKVPKVITGPSSSRSHLADRQELDLELRLGSPEVM >Et_2A_014655.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32295075:32295344:-1 gene:Et_2A_014655 transcript:Et_2A_014655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRRLLCTSAYNAHLPCKFGTRSAIGQTTSFRCLWKCKTSMAGGINKWQSKQNKSKEQRLQFSCTPHGTSGMNATEESSPESRRSRLT >Et_10B_003740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5388643:5390080:-1 gene:Et_10B_003740 transcript:Et_10B_003740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETVFSAVVEETLKQALSGLIGGSGGQLDEDAVRDVERLEMARIRLEASRRWRIRDAPQAPARGGGVRRRAAAAEEQESFPRRLEFFVRPIRLDDDGRGVEAKLILVYEDDAAPEEKNLCLGAILRLSESTDLVGTLVRCLELLATPRVRGRTSCGRRRRHASIPAPAATTTTSTGTPCTSACMSQWFRPDPRCCNCKHEHADPTPTSSVLEPVIGRHIPLADQDNAHPSKPATDGDVTTCLKKTTNVQPHLRLGLHFSPHGGSSDDDMAPAAAVEMFALPKAIDCLLHRRRRPEAAAASYQVFWKQARHGTAYLEVEKTTGPPTRRVGGSSWRAAIRRRRDPKLGMWTQVVVDFFNLWAAHAPCRLQASIVGWVRKANEMQQRRRPLNSGLTS >Et_4B_040035.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:8056264:8056722:1 gene:Et_4B_040035 transcript:Et_4B_040035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPSAEKAEKAPAGKKPKAEKRLPASKSAGKEGGDKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSN >Et_1A_009168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31517449:31521795:1 gene:Et_1A_009168 transcript:Et_1A_009168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDAAILAIVVAFLLPLRLVSLALRLASSRGPSAGGLRRSCATLALTAVLLAAIFALPRDRSRECAAPAGVADGGPEDVGFREELRAEVEQLKFKLARLESLRDNNLKASDDKGDALDEKDGRVMRALGLDIQSLMDAQENIKLWSLRQESLCSSYDNSIKAMENEVQILKDESRKMNSDIYNVESLAKDATERVEALRSDIKKVQVITDEWGKMNSKVNKMWSFAKDTEKRVEGLYSDIKKLSLATELGQKLLEESKSHQ >Et_6B_048332.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:12805915:12805983:-1 gene:Et_6B_048332 transcript:Et_6B_048332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHTKGFGSITTSYMPREIIYS >Et_2A_016191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21966885:21970209:-1 gene:Et_2A_016191 transcript:Et_2A_016191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKRQQTNQELAMSLNRVSQATFRDLEAVLVFIPDAEPAAVASLHQRHITQLLRVKLDQPENTTTNSTVPVRYQLNCRFTSDLARSRASSVWHRTTSRPFSTLRKQPLETRDGVHSMTPMTTNQTPCNTRLADRTRLDTMLRGEPKRGDERDGDDELKQAVELPLHAHLVGGVEVEDEQLLRARRGGDQLRHRVPQGRPDPVHLDGDRGEDEPRQRYGRVLEVVQVHGGDDGAVVVVVERLRLRLDDGELRQDGDHRADDYHYELEVADARHREPDPSRVGARPQQKQADVAEQLPQADEEEERARRDVPDERAHDRRAVPLRLEREGSKSKC >Et_3A_027265.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:6349366:6350682:1 gene:Et_3A_027265 transcript:Et_3A_027265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTLRAALLLSALLVLLAGQRGRAVGFSVELIHRDSPRSPFHDPALSPHERALAAARRSLASSNSSDGPGGAVSRIVAGSFEYLMSVTVGTPPTHMMAVVDTGSDLVWVNCRNPNISTSRVELDPSRSKSYADLGCSSDACKAFRQATCGSSNSNSSCPYVYGYGDGSTTVGLLSTETFWFGTNGSDAVLFRANNVRFGCSDFSFGSLYRADGVVGLGDSALSLVAQLGAKTDFGGTIFSYCLVPTHASNASSVLKFGASAVVSEPGAATTPLLRAQAPMFYIVALESVAVAGQTVAARKFPVILDSGTTLTYLEPALHQPLVAELQRRITLPPAQSPDPLLHLCYDVRGRRAGDWGVPDVTLTLGGGAAVTLRPENTFAMLQEGTLCLAVVPMSEKQPISILGNVAQQNFHIAYDLDARTVTFAPADCTRSSPSS >Et_8A_058106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20203184:20205178:1 gene:Et_8A_058106 transcript:Et_8A_058106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTQGSRLTAAGFAVMLLAVSVGVGSGEYGALLGLVGVLVGANFIAVGVWMAEEPTAPIVHSVFELTAFRRRNLTVLGLAMASSAVTAVAGEASPAFCFSMFALLLLGIWLVAAGVLSDPEQLHRLLSKNSSDEQGHPEQNRAKELKQSRALGRQHLLKLP >Et_1B_013316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7275685:7279737:-1 gene:Et_1B_013316 transcript:Et_1B_013316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRPLTSSSDDETRALNALLDAFSCAFSLDDIADAFVRAKGDVNKAGDFLTELQLSTPHINDVERSVETDLSQSDKAVEENYSENSSKPRTLSQFEKAVDQKHTKNSDQTRMPEKSQKSSASFGSVSSMLGKESARATASVNRVSQKEKPLKVALPEFMRDDCNVKSDESNSAPKRETLNNRDVEEFLFCMLGEGFKLSMEVIREVLGSCGYDIKKCIVSLHFIIGQLTKLCYCNQSMEELISFSEKDVDKQDESKHNVIQVRRLLTSSSVTPEMRSDMAVKGQSSRGSCLDSQSIFSARSSQEKRSSRAQISPGELLEAIFTVPGRLEEEPKVKRYELGANRSRVPDQKPVLKPLEDISSYSTDHLVKIVLGSKAVDEEDYQNYRRAAKQHWDIMKQYYEKAVDAFREGNQKEVDYLIQEGKNCYRMSRLADEKSAREIIKSNLGLLIHEIMVSAHCRKPESKNELSLDLRSQDAANVPNLLRLHLKQLANIPSFEYLKVIIGVDDGTFKMGQRRRKVMKYLEKNSIQWTEDPPNSGNILVRINQVQKRQG >Et_4B_038172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26922331:26931396:-1 gene:Et_4B_038172 transcript:Et_4B_038172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPAADQAADLLQKLSLDTKSGGGKGVEAKEKVTGGLNGVAASPKPSVNSEPWATTGPQDYKDAMYYGAYPGAYYGGGWGDYSVYVSQDGADALSSGAYGDMYWYPQYGIADGQIYGSQQYQYPSTHYQSENTASKPAYKVKTAGKSTPQDVSTGTAVDQQPVADAAKTTANSTDGLKGLKKAPMPLKPNGRLGNYQNQGSKASYPWNGGRSSSEKNSKLSGVSPTSTVSNRNAKFNLLCGMYNTNAYGPGLWYGSHIYGPGLYGGTLSNGKYKPRGRGYGYYAFGNENLDVLNELKRGPRSGLFKSQQGSGADVDAKGPELPISDGSNVALQDQYNRADFVETYSDAKFFIIKSYSEDDVHKSIKYNVWASTPSGNKKLDAAYLEAKEKSSSCPVFLLFSVNTSGQFVGLAEMVGRVDFDKTVEHWQQDKWTGCFPVKWHIVKDIPNSLLKHVILEYNENKPVTNSRDTQEVRLEQGLQVLKIFKDHVCKTSILDDFGFYDNREKIMQEKKSRRQEPLEKIMNGKLLTTNSADSEGTDGKQGQQEPQVVGPKKAVVDIGAVAVVVNGWGGDYSIYVSQDGTDALSSGAYGDMYCYPQYGITNDGQIYGSQSYQYPSSYYQPQTTASKPEYKAKTGKLAPSLQKDVSTVTAADQKPVLVDSSKTTLNRIDGVKGQKKATLPVKPNGRLGSYQNQGGKAAYPWSGGRTSSEKHVKLSGGSPNFTNFNRNNKVNTSGQFVGLAEMVGRVDFDKTVEHWQQDKWTGCFPVKWHIVKDIPNSLLKHIILEYNENKPVTNSRDTQEVKLEQGLQVLKIFKDHVCKTSILDDFGFYNNREKIMQEKKSRRQQPLEKATLMTVTSQEDDVVDMLCRRRQNTRQAFPLLSALSCWRRWSKTSVADSSAYVALKWRWSRRLP >Et_9B_065968.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18961858:18962142:1 gene:Et_9B_065968 transcript:Et_9B_065968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLLEENPVDEHGCVYDVEDDVHAYDIEGDTENVLSSSVRSALWGDRLTAIQLISNYAVDIHPLYARWLRKYYDSSYFHIVGEDLTDGGNTQS >Et_4A_035127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9829068:9833671:1 gene:Et_4A_035127 transcript:Et_4A_035127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSQSLLFRAPASLSCARLAPSANRVALQGRGAAFPAIAAASTSMASSESEDKKEAKLWGGRFEEGVTDAVERFTESISYDWQLYKYDIMGSKAHATMLAAQGLITAGDRDIIVEGLDQIERLIQEGKFEWRKDREDVHMNIEAALIERVGEPAKKLHTARSRNDQIVTDLRLWCRDAIDKILIRIKQLQVALVMLASKYVDLIVPGYTHLQRAQPVLLPHLLLSYVEQLERDAGRLVNCRERVNFCPLGACALAGTGLPIDRFKTAKDLKFTAPMKNSIDAVSDRDFVLEFLSANSIAAVHLSRIGEEWVLWASEEFGFLTPSDSVSTGSSIMPQKKNPDPMELVRGKSARVLGDLMTVLVLCKGLPQAYNRDLQEDKEPLFDSVKAILGMLEVCTEFAQNITFNSKRIQSSLPAGYLDATTLADYLVKKGVPFRTSHEIVGRSVALCTTKNCQLGELELDDLKAVHPVFENDVYEYLGVENAVNKFISYGSTGSEQVKEQLEDWRIQLGISS >Et_10B_002996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15181677:15182105:-1 gene:Et_10B_002996 transcript:Et_10B_002996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRWGNHASEATTYEDVKNEIYRGLGVLDTTMIKGRGWQMVFEKTRASQAGHLVELYVHCKPKVRLSQVSGGSYIPTSISQHVVEYNQSA >Et_5A_042372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9237081:9243816:-1 gene:Et_5A_042372 transcript:Et_5A_042372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPLTAPAAAAPLLLSSSSSQGGGGGKVVDAYKKALATAASAAAYAVLARSMARELLPDELRAAVRWAAAFVRARLLGRGERERHTLVIRRQYDTGYNENHLFESARAYLATRIDPRAMRRLCLARSRRYVPFVLATAEDMMRRDRALRIFMNEGRSWHGIVHHHPATFDTLAMDPELKRSVVADLDRFRKRREYYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFNLYDLDLSEVRLNSALQRLLIGMPNKSILVIEDIDCCFDAAASREDGNKALGAADGSDPDFTSDSDDDCPAPGAALPRGVTLSGLLNFIDGLWSTCGEERIIIFTTNYKDRLDPALLRPGRMDMHVYMGYCGWEAFKTLARNYFLVSDHPLFPEIKELLLAVEVTPAEVSERLLRSEEADVTLRILVEFLQEKKQRSRKEAQRSSTETEDKKKDIDCCFDASAPREDGKASADGLEPDYTSDSSDDCPSLGGSPTRGSTCGKERIIIFTTNYNYKDCLDPALLRSGRMDMHVYMGYCGWEAFRTLAWNYFLIDDHPLLDIRNVLSAVEVTPAEVSEMLLQSEDVDVVLRVLMEFLQEKRRMTMKETEDKEGEVKETKDKNAGKSIGCHNLQNASIVALENLAIASAWRAVPGNQTIP >Et_7B_054990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6159915:6161489:-1 gene:Et_7B_054990 transcript:Et_7B_054990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANKYSERQPIGTAAQGSDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFIATFLFLYITILTVMGVSKSNSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYIVMQCLGAICGAGVVKGFQQGLYMGNGGGANVVAPGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNREAAWNDHWIFWVGPFIGAALAAIYHQVVIRAIPFKSRS >Et_4B_037119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1483575:1488930:1 gene:Et_4B_037119 transcript:Et_4B_037119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILRRLADTSRSPSPALLPRLRSVASSLLKHQYSTDNGCEGNGLLNGGSGSSSTPRPVFVDPPSRRCFDSRAVGIRQNDIPLHAWTILRRLQEKGFDAYLVGGCVRDLLLKRVPKDFDVITTASLKEINKMLKHCIIVGRRFPICQVKMGAFTVEVSNFSTNGTQVNESEEVDCLESSNSCIDTDILRGENSMKRDFTINSLFFNPMTCRIYDYVNGVRDLRQNLVCTVIPAHESFKEDPARILRGLRIAARLGFKISSETSRAIQDLCSSIITLPKARLMMEMNCMLSYGSAESSVRLLRNYGLLDILLPLQAAYMSDQMKGGTGDRDLMLMLALVLLALLAFHSALITSPQDAKVVRAFAGLLQFGSWESTMNFLKQDVQARPSFVPETLGSSQTKLDNLMEQTSHLVSLINSSVETLTCVGTLQQSVARYSETSQFSGVVFASKTDRGRLLRIFEGLDSDLTFDDRRRGVSGPHRIDYKSLKDGDPDEVRFVLGKVIIDTMNEALPCASTEDAAISRTPVTDLADRNDSPLSMLFQSY >Et_3A_025214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27976106:27977031:-1 gene:Et_3A_025214 transcript:Et_3A_025214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALAAAPVASVSPGSAGRSAAFVHGGGGLSGTRIRLPRRHSAQVLLPPNELRGPVTQRSCSVLVRSSTSDSQATTKQEKAPFGYTRKDVLLIGVGVTLFGVGLKSGLELLGVDPLQAGNVVQLLVVLGMTVGWISTYMFRVANKDMTYAHQLRDYEKQVMEKRLESLSEAELQALLEQVEEEKQRMPAIPEEPNAVTFKKN >Et_3B_028511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16371026:16372144:-1 gene:Et_3B_028511 transcript:Et_3B_028511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPEKLAAAVFVAALLPDCTNLRSHPIEQLPISDWMDTVMDDKHVPPSVFLGPEFRRQQLYNTSPPEDYTLSQSLIRVSSYYVADLQSQPPFNEARYGSVRKVYVVCKKDVAVPEEYQCRMVAGST >Et_5B_045751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8693665:8697462:1 gene:Et_5B_045751 transcript:Et_5B_045751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMTVPSAAMRRLKMAAAAARCAATSDPTLWRSAGDGDVDVRGRGRAHRPAARQQVSSSSSGKRGATTTRTGGAAAEVNAAAVRFGARVLVRTAVTAPSGRVLVFQLSAVVVSAAAGDDGCVEVVYDGRFPPDDPSAAVRVAKERIVVDTGAAPGQHRRRRRLSVQRGNRCEGLSSQEEEGFSAPSGSMIYKTTSLKKII >Et_2B_021659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4143029:4146884:1 gene:Et_2B_021659 transcript:Et_2B_021659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMICKSVQNFEPLLVYGIAGHFSVMKPGQLICSNLDHDRGYMHKLPPEFTMSHDLGLNLISHVGTIVGTSVRHHKQIRSSGNLMVQGAFSRLNKFSRAFFFWLSRPPDPKIFHWLSAIAGSSSRFCQSHVKQVSSHMQNLTKLQFGSLVREEHAVQLLLAGLANATIGRFEHQNACNLLTLAGAAAIIPPLENISPKMLAESIALRNFDISKPADRPYGNGKCLNCSSVSVPNTIFKDDAIEPKTGIKFPAFLEDKTSSSATVLVGIGFKGMRIMRVKNLNLYAFDMQPNSVCEKLGPKYASVPTTKLMDSPDFYNDLLRENLHMRVMLVVNYNGLSIGAVRDVFEQSLGLRLQKMNPNTDYQCLKTFGSYFTKDIPIPAGTKIDFCQTSDGQLITEKAFFDMYIGDSPVSLEAKKNVAQNIAGLMGRC >Et_9A_061476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12441417:12447661:1 gene:Et_9A_061476 transcript:Et_9A_061476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRTQLLQQPSVDTLRVQYRLRNTEYLIASTRPGRFRRARSGSRLCHTCCDCDCEHTAFAVVADAGASAPPPPAPPSLVTTSAVLQYSLTSVTRPPGPTVQACTQYSLPVASVPSASTVAPKASTDRTLNGSPPPAPEPPAPVRRRMRTRCWCARGPWKRQSTSGFSSRRTASASPRSSARYSSSTTALLPLAAATSSPPPTTTASRLSLASSAVSATARRTRECDREALGWRWISAGMSIYSHFFI >Et_1B_012879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3813463:3823577:1 gene:Et_1B_012879 transcript:Et_1B_012879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAMEAIEELVELSESMRQAASLLADDDPSDETATRRPSTFLNAVVLGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQREPGLSSKSIVLQIDSKSQQVRFGIRCKTGLARGHPVDPGEAGAMRFTLSSGQVQISEYAGHNDAILIIVIPAMQAADVASSRALRLAKDIDPDGTRTIGVLSKIDQASADAKTVSCVQAILSNKGAPRAAADIEWVALIGQSVAIASAQSGSVGSDNSLETAWRAEAETLKSILTGAPQSKLGRIALVDTIAKQIRKRMKVRLPNMLTGLQGKSQIVQDELAKLGEQMVQSAEGTRAVALELCREFEDKFLAHIASGEGAGWKIVASFEGKFPDRIKQLPLDRHFDMNNVKRIVLEADGYQPYLISPEKGLRSLIKGVLEMAKEPSRLCVEEVHRVLLDIVNAAANATPGLGRYPPFKREVIAIASNALDAFKSEAKNMVVALVDMERAFVPPQHFIRLLQRRMERQRREDEVKNRSSKKGQDAEKSMMNRASSPQTGSDDAGGNLKSMKDKSNQQDKDSKEGPSLQVAGPAGEITAGYLLKKSAKNNEWSRRWFVLNEKSGKECNLEEIEEEELSKSSKDSKKANGPEKGPSLVFKITNRVAYKTVLKAHSAVILKAENMADKIEWMKKIRGVILSKGGSVKGPNAPEGGNMRQSHSDGSLDTMARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIVLCQVEKSKEDMLNQLYSSISAQSNAKIEELLQEDHNAKRKREKYQKQSSLLSKLTRQLSVHDNRAASYSNDTSGAESSPRSPGHSGEDWKSAFDSAANGSVDRSSSQHETRSRSADSRGRRYENGDANQGSRRTPNRLPPAPPQSGIHPWLPPEASKEFTIGIKSAYLAFFCH >Et_7B_054499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22037253:22039550:1 gene:Et_7B_054499 transcript:Et_7B_054499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIVELILSPGRVGLFLRHIAEFNAHSHAGGSAVEAGKQAATMEILRDSLPMVSLVVSAAALYSRAASSRLRPGFPRLAALLPVVVLFAVVPLAFTSSRNVRGTAGFFFGWLGVFKVLLLAAGRGPLNPTLPVLPFVFTALLPVKLRRRESAADDQASKSVSLLSSAVKLAIIAALVKVYQFKHQLHLYMRLALYGIHIYCFLDLLLPCIAAAGRARGMEMEPQFDRPYLASSLRDFWGRRWNLMVSAILRPSVYDGKAAAALATFLVSGLMHEVMVYYSSLEPPTGEMLAFFVLHGASCVVEELCARRWAANGWPTPPRPVATLLVAVYVSATAFWLFFPPLCRDGRDDKILEEWAAAAAFLMDAGRKLLGSVTWFSFTSALRAAPSLLHLGFPRLAALLLVVVFATAPCPPHHRPTSEALQASSSAGSACSRSSSWLPDEAPLDPTIPVLPFIFTALLLVPASSLPHRP >Et_9A_061140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18456656:18457951:1 gene:Et_9A_061140 transcript:Et_9A_061140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVPNPCKRPFRAAATEEDWRDWASLVPDLTQLIAERVLDSDVVDYMSLRAACARWHASTPSPLDPTLRDLRLRPRGRVALCDGDGARPADACEVAFLNTDTGRCVRASLPELRRHRVVGFTDGLLVLLNTDITRVRVLHPFTRVAVDFPPMAHLFRSIANRFYLVESAARLMVAVRRLYDGRSGRWGFELFDVDIEGRRALPVSSLGDRALFIGDHRCISVWSNNLPSISSDSIYSSVQGKDPVTLYSVASGEYERTSTLSVIHDFRERIRPSVRPFTLADHLLTYCFHRHWSRGLMYHEYHQVPVTWKALWNRFKAQDREVSFTRDVLYG >Et_7B_054041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14598499:14601953:-1 gene:Et_7B_054041 transcript:Et_7B_054041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMASPQPLRGRPPPAKTQVPPDTTIDDLKDDLILEILIRLPSLSSLVRAALSCRAFLAAVRSSPAFRRRFRALHPLPLLGFFFESIGTDVPSFSPIRRRSDPDLAAAVRGADVFLTRLPYHDEASTGWDIADCSGGYLLLFNEATEQIAVYNPLTRALDPISFPPDKICDGHRGKFHYQGYFLLCSDEAPGSFRVVCLCHDKSRVCAAIFSSATTEWQILPWSTPAPGQPSRKKNWLLAGTQATGNLYFAHAKQAYMVVLDTLTLKFSFIDLPEHLKGRYPFYAIGETKSGKLCMVSVVGFTLHIWFRRPDSDGVEKWVADNAIPLEHEVLRVTETSLDDHGDLQVSAILDGVVFLTTLKFGDHSPPSWFLSFCLETRKLEKLFYRTYDNCYYPYIMAWPPALVSIILPSRATSKNALDPLGIPSNTRACQLDAINYADHAIEDTARCYQAYKRHVRRQWILLRELKWLGTCMLWLVA >Et_3B_030138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30854289:30857788:-1 gene:Et_3B_030138 transcript:Et_3B_030138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAGPGGHGSGRRSIASSAGSRRRASYAENGHDGAASRRSSASISRGLSSSLTGGERTVKRLRLSKALTIPDHTTVHEACRRMASRRVDAVLLTDSNALLCGILTDKDITTRVIARELKLEETPVSKVMTRNPTFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGAAVSGPTNFIETLRERMFRPSLSTIISENSKVVTVAPTDTVLTASKKMLELKVSSAVVAIENKPGGILTSKDVLMRVIAQNLPPESTTVEKVMTQSPECATVDTPILDALHTMHEGKFLHLPVLDRDGNVVTVVDVLHITHAAIATVGSSGGSGTEATSAMMQRFWDSAMSIGPIDDDDDSRSEGSTKVASETTDIGRSAFYPASGLSSTFGFKIQDKQGRMHRFNCETTSLTDLITSILQRVGDDIDRKNLPQILYEDEDHDKVILSSDSDLIAAVDHARQIGWKSLRLHLDYAGVGRRKRVGGGSSDFEYARKDAWASAYSAVAAGAALVAGLGVMAYLKRA >Et_6A_045921.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7813599:7814033:1 gene:Et_6A_045921 transcript:Et_6A_045921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSGQCPFWLENEDIKHLFFRCNRATSIWNALGLPSPLMKSSIEDLWNDMAELNSTKLKIRSTVLTTFLWNIWKCRNPKAFQHADESKLTVAARCCDNLSLWPHRCHQPADRDLLNFWSSRLSLRPWFLVLGIFFYAFSLVTS >Et_4A_032324.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29991127:29991231:-1 gene:Et_4A_032324 transcript:Et_4A_032324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMLTMISRCSYARSWRKTVPRRAELLLLVMLL >Et_6A_047187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26516518:26518885:-1 gene:Et_6A_047187 transcript:Et_6A_047187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLQPRGGAAAGASSTTACRPGRASPPPPPRKMMTMKREAEEDDAAAAEGELRRGPWTVDEDLTLINYIAQHGDGRWNALARAAGLKRTGKSCRLRWLNYLRPDVKRGDFTADEQLLILDLHSRWGNRWSKIAAQLPGRTDNEIKNYWRTRVQKHARQLGCDVNSRRFKDAMAYLWMPRLAERAAASQAQQQAAATSSPKHLSATTADCLAKNSSNYYEHSPGASGVVPSSSSSDSSLTSTESAHEEKSVQLQNSNNDGDCWATTMPGAEDHDEFWSAAAPALQQLVINNDAGGHHHQFQEDLTGWVQGFCDGIVSDAAADEQSLWSLDDIWRMQ >Et_1B_012828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35404096:35406432:-1 gene:Et_1B_012828 transcript:Et_1B_012828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METRDAPLPYSSYKPLPAAEVTRNINGRCGKRPLCVAALLLAVAALAGVRLAGPPMMEAGMTAEEAMMMSRGPDAGVSEKTSGASWARLGDSGNAFPWSNAMLNWQRTGFHFQPEKNWMNDPNGPVYYKGWYHLFYQYNPDGAVWGNKIAWGHAVSRDLVRWRHLPLAMVPDQWYDINGVWTGSATVLPDGRLAMLYTGSTNASVQVQCLAVPSDPSDPLLTNWTKYEGNPVLYPPPAIGPKDFRDPTTAWYDPSDKTWRIVIGSKENSDHAGIAVTYKTKDFITYELMPGVLHRVPGTGMWECIDFYPVGTRGSNGIDMSDAISKNGVVGDVVHVMKASMDDDRHDYYALGRYDAKANTWTPVDPEKDVGIGLRYDWGKFYASKTFYDPAKRRRVLWGWVAETDSERADVAKGWASLQSLPRTVVLDTKTRSNLLQWPVEEVETLRKNATDLSGITIDYGSVFPLNLHRATQLDILAEFEIDRHAVMAINEADVGYNCSTSGGAANRGALGPFGLLVLADKLHLREQTAVYFYVAKGLDGRLTTHFCQDESRSSSANDIVKRVVGSVVPVLRGETLSVRVLVDHSIVESFAQGGRTTATSRVYPTEAIYANAGVYLFNNATAARVTAKTLVVHEMDSSYNQVYMADH >Et_7A_050249.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15482017:15482020:-1 gene:Et_7A_050249 transcript:Et_7A_050249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding L >Et_8A_057182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22047559:22048994:1 gene:Et_8A_057182 transcript:Et_8A_057182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLPTTAAGARLAATQAFAFPTPKPSASATTLTLAAPAAFPSLALAAAPLGRRSRAVQPRPPAAGPGADQRETILLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCTYPTYQPKERRTSKYESRRYERRRDGPPANRRPKQEASQTESASS >Et_1A_008005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40076422:40078619:1 gene:Et_1A_008005 transcript:Et_1A_008005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGAGGVGDPVDDFLLADDGDLAIFCDTVPTRAADAGLGIEDDSGDAYGLEQSNLGKRGRDESSSGPKSKACREKLRRDKLNDRFLELSSVINPGKQAKLDKANILSDAARMLGQLRDEAEKLKESNEKLRETIKDLKAEKNELRDEKVSLKAEKERLEQQVKVMSVAPAGFLPHLPHPTAFHPAAFTPFAHPHQAQANKSAPVPTAFPGMAMWQWMPPAVVDTTQDPKLWPPNA >Et_8A_057784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7143482:7144329:1 gene:Et_8A_057784 transcript:Et_8A_057784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FPIIMSREENVYMAKLAEQAERYEEMVEYMEKVAKTVDVEELTVVAYKNVIGARRASWRIVSSIEQKESRKNEEHANLIKEYQSKVFYLKMKGDYHRYLAEFKTGAERKESAESTMVAYKAAQDIALAELGPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQVGIAQLPFNFLHTFCLVVN >Et_1A_008151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4761287:4763678:-1 gene:Et_1A_008151 transcript:Et_1A_008151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALCDFCGKQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCSSQPAAVRCLHDNISLCQNCDWNAHDAASGAAGHKRQSINCYSGCPSSAELSRIWSFVMDIPTVAAEPNCEDVISMMNIDDSDVSNHCDAMEDKRLLEIASTTLMSDPPPGEKPKPLIGSCSGDGINIPPLAADQPAGQVSVTPKVAEATENDKFNDGNIYEDFCVDDADLTFENYEELFGTSHIQTEQLFDDAGIDSYFEMKEMLPADSNEEHKYMQLECSNMVSADSARADSSLCIPVRQVRSSLSHSFSGLTGESSAGDHQDCGVSPMVNMGEPPWHPPGPDGSCAGGSRDNALTRYKEKKKRRKFDKKIRYASRKARADTRRRVKGRFIKAGEAYDYDPLSPRSY >Et_8B_059827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3045869:3047378:-1 gene:Et_8B_059827 transcript:Et_8B_059827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGAEDDYMGDLSHFLPPSPSSSPSRGLGRRKQPAGQAQQPAPAPRAKRGKKGVPWQERRRQERERKQGEEDARTMAGLAKAIPESNVGFRMLKQMGYDPGTRGAAEPVGIEIRRSRAGLGAEPPVAPAPAAVPLERSREEVERERRRQEEMVVELRARKSTQWRGRRVVWDYRKAEAALAQLENREVEPPAPEGEDKEKGAEEEEEDVITDEDLQNILAKLRDHHHYCLYCGCKYESAESLANECPGPNEDDH >Et_2A_015704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17010498:17014618:-1 gene:Et_2A_015704 transcript:Et_2A_015704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVEVMKNQSTEVLEAAVPSVFQPGKLAVEVIQVDHNASPIPPLPILVAAPKDAGTYPVAMLLHGFCLQNHFYESPQTHCLFWLHHGCSPGDTEDIAGAAQVTDWLPEGLPSVLPKGVEANLSKIALAGHSRGGHTAFSLALGHGKTKLKFSGLIGLDPVAGKSKSSQLPPKILTYNPSSFDMAMPVLVIGTGLGEEKNIFFPACAPKGVNHRDFYSECKPPCYYFVTKDYGHLDMLDDDAPKFMTCLCKDGNNCKDIMRRTVAGIMVAFLKNVLNEEDGDLRVILKGPGLAPTTLDPVPEAAAPAGTAVFQPGKLAVDVLAADHNSNALPTPPIPVLIAAPKDAGTYPVVMLLHGFFLQNHFYEQLLKHVASFGFIMVAPQFHTSLVSNGDTGDIDAAAAVTDWLAEGLPSMLPKGIDADLSKLALAGHSRGGHTAFALALGHAKTSSNLTFSALIGIDPVAGTGRSSQLPPAILTYAPASFELSMPVLVVGTGLGEEKRNALFPACAPSDVNHREFYRECRAPAYYAVAKDYGHLDMLDDDAPELVTCLCKEGNGCKDVMRRTVAGVMVAFLKAALGEEDGDLKVVLKSTGLVAPTTLDPVEQRLA >Et_4B_039212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8838852:8844604:-1 gene:Et_4B_039212 transcript:Et_4B_039212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTKRAEGAKDGGDAAAKKGMVAGEEVGGGGSRGNGVAEIDEGLHSRQLAVYGRETMRRLSASNVLVSGLNGLGAEIAKNLALAGVQSVTLHDEGNVEMWDLSGNFFLTEDDIGKNRAVACVARLQELNNSVLISALTDELTIEHLSKFQVVVFTDISLDKALEFDDYCRSHKPPIPFIKTEVRGLFGSVFCDFGPEFTVLDVDDHPPHTGIIASISNDNPALVTCIDDERLVFDDGDLVVFSEVQGMTELNDGKPRMIIRARPFSLCIQEDTSNFGIYTKGGIVTQVKQPKILHFKSLRDSIREPGDFPLSDCSKFRRPPLLHFAFLALDKFRKEFGRFPGVGCGQDAQRFMEFTASINEAATDYKIDDELDEKLLRLFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPSYQLDPKDLKPLNSRYDAQISVFGSKLQKKLRDANVFVVGSGALGCEFLKNLALMGVSCSRKGKLTITDDDVIEKSNLSCQFLFRDRNIGQSKSTVAATAASGINSCLHIVALQNRACPDTEHVFHDAFWEGLDVAINALDNLDSRMYMDMRCLYFQKPLLESGTLGTQFNTQMVIPHLTENYGASPDPPEKQAPMCTIHSFPHNIDHCLTWARSEFEGLLEKIPTEVNSFLSNPTQYAAAMRKAGDAQARELIERVHECLEERCERFEDCITWARLKFEDYFSNRVKQLTFTFPEDAATSTGTPFWSAPKRFPHPLKFSAVDSSHIHFIMAASILRAHSFGISIPDWAKNTNNLVDIVDKVAVPEFKPKGGVKIETDEKANSISVASVDDIATIEDFLTKLEACAEKLPPGFQMKSIQFDKDDDTNFHMDLIAGLANMRARNYGIQEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHPTEDYRNTFGNLALPFLTISEPCRPTVIKHQNMGWTVWNRWSIKGNITVAELLKWLSDKGLNAYSVSCGNALLYNTMFPRHKDRLSRKIVDVAKEVAKVDVPGYRKHVDVVVACEDDKGNDIDIPLISIYFR >Et_9B_064410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14913211:14917555:-1 gene:Et_9B_064410 transcript:Et_9B_064410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMGLKRSAAAAAGAQTVTLPAPAVRDAVRVAVREATEAAAPAPARVSAGAAPAVARDGVLCLEEVDGRRFSYVLDGPGTSVKAKGSASFGPSFKAVPLQSPLPPVEEIMSFIRSYVVPEGFPDSVTPSYVPYMSWRALKHFFGGAMGVFTTRTLLNSVGVAQSRATSGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSGDLLMELGAGIELATAAFPQLFLPMACIANVVKNVAAVTSTSTRTPIYKAYAKGENIGDVTAKGESVGNIADLLGTGMSILISKSNPSLVTSFAFLSCGYLLSSYHEVRSVVLNTLNRARFTVAVDSFIKTGHVPSLKEGNSQETVFNPPWRHEPVAIGSRFGEAFQEPASFVAIRPLFEDERYIVTYNPTKDKVYALLKDQAKPDDILKAAFHAHVLLHFINASHANLNARKRKKSNQSYQYNPLNMDFLPHIEESCKIVMSSYGIFKKKARDQGWIMSESLLNPGRARLCGIVFNNATFQESIHMNVT >Et_9A_061659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1487997:1493320:-1 gene:Et_9A_061659 transcript:Et_9A_061659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNCPPSPSPRSTEKSGRDLRSGEANGGTNSNSNTFTKGEKEKGVNVQVILRCRPLSDEETKSNTPVVISCNERRREVAATQIIANKQIDRTFAFDKVFGPSSKQKDLFEQSIAPIVNEVLDGYNCTIFAYGQTGTGKTYTMEGGGTRKTKNGELPTDAGVIPRAVRQIFDILEAQCAEYSMKVTFLELYNEEITDLLAPEEPKFPVPEDKTKKPIALMEDGKGLVFVRGLEEEIVYSAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKELTHEGEEMIKIGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVYCLEETLSTLDYAHRAKNIKNKPEVNQRMMKSAMIKDLYYEIDRLKQEVFAAREKNGIYIPRERYLQEEAEKKAMTEKIERLGVDLEARDKQLVELKELYDAEQLLSAELGEKLGKTQKDLEDTKNALHDLEGKYNEAKSTIKEKEYVIFNLLKSEKSLVDCAYNLRGELENAAADVSGLFSKIERKDKIEDGNRSLVHKFRSQLTDQLDALHKTVSSSVMQQEGHLKQMEDDMQSFAAQGLRESVQKLKVLHGSGITALDDLAGEIDMNSRTTFEKLNSQVQSHTSAIEKCFGGIASEADNLLNELQCSLSKQEERLAHFAKKQREGHLRAVEASRSISKITAGFFHSLDVHASKLASILEDTQSVQDQQLLDLEKKFEECAANEEKQLLEKVAEMLASSNARKKQLVQTAVGSLRESAVNRTSHLQKEISTAQDFTSSVQEEWGFYMEETEKNYIEDTTAVDNGRSCLAEVLVECKAKTSMGAQQWKNAEDSLFSLGKGNVESVDSIVRTGTETNQLLRSKLSSAVSSTLESIDVANKALLSSVDSSLKLDHDACTNIGSILTPCHGEMRELKGEHHSKVVEITENAGKCLEEEYLVDEPSCSTPRRRQIDLPSVQSIEELRTPDYDELLKSFRESRGSWKQANGDMRHFSEAQDPTSPSVKDSRVPLIARN >Et_4B_039932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6247355:6250051:-1 gene:Et_4B_039932 transcript:Et_4B_039932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGSLGIRNWGFYETVKGNLGLQLMSSVTSDRDTKPLLPNGGTFLQHHGHHNAPHQHHPQHSHHPRDCGGASGGMPNEPSSVHMDFVRNEAWLLPSQHQHQHPRQQKVLHHLPVGPVGHVGHPGHGGHVVHHHPAGYGMMTDTHGVHTLQMLQPQAQAQAQAQAQPQPQAQDPPPSKEESMQPPLIEDHSVVKNEPPVKKRQQNRQPKTPKPKKPKKVVAPREDGEPNRPASRGRGPRKAVGMVINGIDLDLSRIPTPVCSCTGTPQQCYRWGAGGWQSACCTTSISTYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAGEGYNLNNPIDLKTFWAKHGTNKFVTISKLGLIMAAVAQHCSCEFEAVGSAGPSVEFVPLRF >Et_4A_031776.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:13435612:13436011:-1 gene:Et_4A_031776 transcript:Et_4A_031776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCWTMLRFEPKWHEKMNQLAANKASQKKQKASEDPIHDLTGNGIDDILNASLDGDAPKRPMGRKKAKQLQRQGGGDACIIAFDNMWEKKEVADANKEERKDARFNKSLEIEKER >Et_3A_023603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:52925:54754:-1 gene:Et_3A_023603 transcript:Et_3A_023603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKARLGSGGGGLLALDASPRALGFLNLLSPFRTTMDDRRSVEVDFFSDEKKKTSREEPADHAIKKEDLTINFARSPADRSYIDRCRCIYICMQLLPGENQKSKKMKNTEEDLASMQAELGRMNEENQRLRGMLTQVTTSYQALQMHLVALMQQQKHSPEAVPARQFLDQLPAPSDSSTEQLEEDHQSPPPQAQQQQQQQEEAAMRKARVSVRARSEAPIIADGCQWRKYGQKMAKGNPCPRAYYRCTMANACPVRKQVQRCAEDRSILITTYEGTHNHPLPPAAMAMASTTAAAASMLLSGSMPSADGASSNFLQARTVLPCSGSSMATISASAPFPTVTLDLTSGAAAEEERHQASSSTRQPATTTLSRPAATTQQATTPTVRPSDRSRTYIINNSFGFDS >Et_3B_028917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20370582:20372694:-1 gene:Et_3B_028917 transcript:Et_3B_028917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGFDAWGSQPSSNGPPHNPVPDAGLDLNAQAPAAEGFPDLDLYGDGGDNELLFGRARGSGVPPYRAPRAGSDDGRAPPYARQLNFGGLSSAAAGRGGRNRGVFPGGSAAASGDSVRLHPNSAIPPSRAPAAHRSAGRGGGSRDGGSRGGGSAQRLSRSRAPRAPSSAGRGHASGGGVRLHPNSAVPPSRAPAAHRSAGRGGASRGGGSRGGGSAQRVSRSRAPRAPSSAGRGHASGADIPFDNADEELEDEVEELASSGGPPVSQSNRAHRNDINNACLLKLCIEQRSAGTYNGAQMTSEGYQAIVDGLLARKGLVYSRQQVKNQIIVLKNTHSFWRYMQAHTGLGRNLDGSIDADSEFWITNTLVYCSLERPLIYNYYLNELLIYFSDLLMLQKKPYLKKLQWGPPANEELLDQLFRGFTVDGSTSFVPEDDYGDNLEEGDEEEYQGTPTSSSTQRNKTNKRPSSSATISTCTSPIKKSKSPMVKIVKDIATTYKESVVVNTKQLQQHVTEKAAFSVKRYQELAFECDVEKTVESVYAMSKLFESEYQQEFFCGQLTPNLRLEDHGPMFEEEENNVDRTSEDEIMFLCRNNLVQSENMLLQLIPIL >Et_1A_007296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33120270:33121992:1 gene:Et_1A_007296 transcript:Et_1A_007296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTLPPGCRFQHCRMDAAREHEHDATRDHCTKRTAIDDVADDAGSDEDYPDTWSFMWKHVLEDATRIPAMRFTDEPPDRRLHSAYPRETLQVFSVEVAKLRRRKKNKTGGRPNYLHGWPIHVYGMVAVRDCVDRRRNVLFRRDRDECQVLTREAPRLELTGPSRAVVFLDPAFLEVDLRVKGGGTEDDEDDERLSSLEARIWAYQPFHSTLEFASELSTVRVTLAYLHESVEATVAVRVDASGGGTWPPENGRRVRIVAATAGMPGEEVVLLDSGGDGRGHHVPAAADGGVMLSRRVASVEISGELKVSIKAWKLGDDDDRVAQQDEEVFKPKKAGRSYGTLDVGFCQMDVTVAWSLISSSI >Et_5B_044397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23816038:23829944:1 gene:Et_5B_044397 transcript:Et_5B_044397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLPTPALRDELVEEILLRIPPEDPARLLRAALVCKGWCRLVAGPGFRRRFRQRHRTPPLLGILRCFRDEDRASVVRFVPTCSFRPPQADRRGWQAIDSRHGRVLLYSAPWKFKNSDAFSVWDPVTDEHRQLPPLPQFPDRYTFKAVVLCAASGSCDHLDCSNGPFLVLFTASYGQKMFAYRYSSEADAWSEPTSASHPGWFSVWRPSAHVRNSLYFVLEEGTIGGMTLFLILGYDLVGGGLGCATVVRSNVCMWSGEARPDDDMRWKPKRVIDFDTLIPVGRTMITLDVVGFEDGGGVVYVGTDRGSFATDLKSGRFREVEGVSGFCSVVPFMSFYTPGRTRSIPDDPKQPLIPDARSPGVPALVPPRLQLRLPPQIPRAPPEQLGAVHSSVRDDAVRFVPTCSIPHRAPRLVGPGLPPWPCRPHPKLPTSTSAGPSPSGTNPVADKLRELPVLSAPPQLTQWSSLNATVVCACAATGSSDYCNHLDCPFLVVFFGSDHREGKLLAYLYSSIADAWSEPTTHAPAPYSGRIHEQKLVPHIGNSIYFLLMFSATILEYNLVTRELTVINPPTTRHDSVVLSAAEGVRLGCIMMAGRSRWSQRRYEMVIQIKPTNPLSARTPKIVGYTDGSDLVYNGSECGFFATGLKAGHTTLLKTDPHSRQHCSIHDLLYSRFAPTSIMAARKPTPAPALPDELVEEILLRMAPDEPAALVRAAVACKGWCRLVSSSGFRRRFRERHRRRKISPMLGALCNLTGDDGARIVRFVSATSSSLMMRARLAGVCAVAGDCDHLDCPFLVVFLGSDYRERKLFAYLYSSILADAWSTDEPTTYAPCHGCLWPQGCVLHLSNALYFVVTHPVVILRYDLVKRELTAIEPPPVLRGYISLATMEDGALGCTVESGFRLYLWSRREGEIMGWVQTRAIEVRNLVPAYQSISLCLRASGDIYIEIDGRVFTIDVELGQVRLVQGLLHYSFDFAADSIVPYKSFYTPGLSLLRVPADDPALLVPRVPAMVPSSGCRRRFRARHRNSPMLGALCNFTSADDGASIVRFVPGGRSSSLAPRERRGWRAIEILATAASSSRAGEAALAVWNPLTDHLRELPLLLNATVVCAANAGDCDHLDCTSFLVVLLGLDRKGAFAYLYSSMADSWSEPTYVPWQWRDSHHYWRQRRVQRVGNDLYFLVMDPLTILNYDLVTHELTVINPPPPS >Et_4A_032619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11979413:11981463:-1 gene:Et_4A_032619 transcript:Et_4A_032619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIVCLGLGDERGVTVRGLDAVRRCARVYMEFYTSLVALGADDPPSRLASLEKLYGKEITVADREMMAGDGADQVLRQAADADVAFLVVGHPFGKTTHTDLVIRAKNMGIEVKVIDSASVVNAVGVCGLELHRYGEAITMPFFSECCKPDNFYQAIVNNRWLGLHTLCLLELFITTILYTLIFTSRGKEVCEPPRFMTVNTALSQLLEVLENRGEPAYDADSLCIGVARLGSDDQKIVAGPLEKLVNVDFGSPPHCLIIVGEMNPVEEEMLQFYMIK >Et_3A_024091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17189962:17199837:-1 gene:Et_3A_024091 transcript:Et_3A_024091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPRAPRLPPSAVGAGAAMCCVRGARCNATAAGGVATAGPPSSELEAIRWGSAKLQGKREEMEDEVVLRPGTLLDGFSFAAVLDGHAGFSAVQEELYKECAAALDGGAVLSAKNVEAITAAIKRAFAAVDAKLCTWLDQMDKEDDSGATATAMFLRNDVLVVSHIGDSCLMISRGGRPEALTNFHRPYGNNKTSLEEIKRIRAAGGWIVDGRICGDISVARSFGDIRFKTRKNEMLVKGVKEGRWSHKFASRIQFKGDLVISSPDVSLVELGPDVEFVLLATDGLWDYMKSSEAVAFVRDQLRQHGDVQLACDALGQKVLDQRSQDNISIVIADLGRTNWKELPVERPNLFLELSQAVVTVGAVSIAIWISSFLTLQDHGTIYVAAIMEVGKDQGGGEKRRYGEVNGAYHENSMPTYARRS >Et_8B_060063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5664851:5670170:1 gene:Et_8B_060063 transcript:Et_8B_060063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSFVPVFLFLPLLSSAPFLAFSSEPLNPEVEALIAIRQGLVDPHGVLSNWDQDSVDPCSWAMITCSAQNLVIGLGAPSQGLSGTLSGRIANLTHLEQVLLQNNNITGRLPPELGALPRLQTLDLSNNRFSGRVPDTLGRLTALRRLNNNSLSGAFPASLAKIPQLAFLDLSYNNLTGPVPVFPTRTFNIVGNPMICGGSNGSAGECAAALAPVTVPFPLDSTPGSKRGGRDLEDGGGGVVVARLGNLRQFGLRELQSATDGFSPKNILGKGGFGNVYRGRLPDGTTVAVKRLKDPASASGEAQFRTEVEMISLAVHRHLLRLVGFCAASGERILVYPYMPNGSVAARLRAKPALDWSTRKRIAVGAARGLLYLHEQCDPKIIHRDVKAANVLLDEHHEAVVGDFGLAKLLDHGDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQRALEVGKGSGVMQSQKGVMLDWVRKVHQEKMLDLLVDQDLGPHYDRIEVAEMVQVALLCTQFQPSHRPKMSEVVRMLEGDGLAEKWEATNRPAAAASVHDALGYDHRNDSNGSVFFNDFYHENDSSLSSDEARSIDMVEEMELSGPR >Et_1B_012972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4458873:4462765:-1 gene:Et_1B_012972 transcript:Et_1B_012972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRYMAYSPSPSTTPHSPRISGLRAPSAAVADQEKYLAELLAERHKLSPFIPVIPHSIRLLNQEILRVSSLLENASLLNQSGLEHGSPLTTGGLYSNGAATDMNGWTSAFQSESSPAYSWLGGSQGSSTGLIVKKTMKVEIPVDKYPTYNFVGRILGPRGNSLKRVEATTDCRVLIRGRGSIKDPAREEMMRGKPGYEHLNEPLHILVDAELPVEIIDARLMQAREILEDLLKPVDESQDFFKKQQLRELAMLNGTLREEGMQRSGSASPFHNSLGMKRAKTRG >Et_2A_014927.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:22020660:22020926:1 gene:Et_2A_014927 transcript:Et_2A_014927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKGREAIHPPTSIGELPNFHRVVTATRCEFLNILKLTRRSSGDQSTRGHCWRPRNRCHPYWMRLINPCGVPNSISTSCEHRDIPIR >Et_1B_010883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1586056:1588957:-1 gene:Et_1B_010883 transcript:Et_1B_010883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPPGSRKRRAEPAAAVRMPPPAAAVKEELEEGFIDGNGPLLKRVKAVPQQPLDMTCDVLDEPSPLGLRLKKSSSFLDLVQMVLSQDKSAAGLYVVDNSISETFKKKEVKSAAITSGERLKASNFPANVLKIGTWEYISHYEGDLVAKCYFAKHKLVWEVLDDGLKSKIEIQWSDITALKIICPENEQGTLDLVLARPPLFFRETDPQPRKHTLWQAASDFTNGQASLNRRHTLQCPSTLLSKNLEKLIQCNRRLYELSQQPEIILETPYFEPRRPIFENPNEYEDCHGFNNLKYEREKSLPKFSNPVSPCAFSSPSNEVGQTEFPVHLVNIGSCAADFQASCVSQEPKNPNWQNELKKLRLRASMYVDDFADCMAEQRTSSNPALANNEEQSNEVLEDLVQCLFSDTQGLPASNAKFLSRVNSFYSLLEDNSVSSTMTKPESKTSNNIDVVELDSGSADEELKSAAHVRKLTEVTEPPAISRKDSFGDLLLNLPRIASTPQFLFDIPEYFDK >Et_5A_042547.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:260415:261866:-1 gene:Et_5A_042547 transcript:Et_5A_042547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPRRCSLLKRALLLAVAALALRLLYGAFLSVAGAGADWPLHRASAAIGLTARAYVQADVGAPEAWRSRDWRKAVDYHAAVLAAHLADGVLAPASRAVCLGGAQEALALRELGVSGAVVVAGDERRLPFQNNSVDFVFAGRALDSAKRPYDLAAETARILKPEAHLVVLTSSAGDAYSLRSIQALLPSLRLLRSREIDGPDGSTHRELLFQKLFHDDTPTSSSFGGNSVTNNCTIGDHKLQLLMRAEPLIQEEPLKPWIALKRNIKNIKYLPALADISFKRQYVYVDVGARSYGSSIGSWFRKQYPKQNHTFQVFAIEADPTFHPEYAAKKGVTLLPYAAWVKNETLKFEINGDPGKEEEAKANGRGMGRIRPTAGKKMSGGEVRSVPAFDFAEWLKQTVSQQDYVVMKMDVEGTEFDLIPRLFDTGAICLIDELFLECHYNRWQKCCPGERSPKYENTYEECLELFSSLRESGVLVHQWW >Et_2A_016194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2172863:2173455:1 gene:Et_2A_016194 transcript:Et_2A_016194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARPLSVRAVAKALSVLGLTAAALALFAMTLLDPTDTRFLVICAPTDEEVADLSAASGLLLLSAATQAVAATLATLVPKRALTVLACAVAARTCCHVNVVVHRIVDCHGHIHGELAVYYWLFLAVEYAALAAGFFIALFGQEY >Et_2B_021460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29979568:29982343:1 gene:Et_2B_021460 transcript:Et_2B_021460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSEQSSRFVQELVLYAASAALSCLVLFAGLRHLDPNRAASQKAQQQKKEIAKRLGRPLVSTTPYEDVIACDVINPDHIDVEFDSIGGLDHVKQALYELVILPLRRPELFTFGKLLSPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVSAVFSLAHKLQPAIIFIDEVDSFLGQRRTTDHEAMTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQIFEIGVPVQSERSKILQVVLKGENVEPDIDYDHIARLCEGFTGSDILELCKQAAFYPIRELLDSEKNGRRPEKPRPLRQSDLERALSTSRKSKKAASSGFQSPLWVRPSDSEDDQVQSAIFEISKLMSRIVQNSQSEPQEPSSP >Et_8B_058786.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:4949819:4950208:1 gene:Et_8B_058786 transcript:Et_8B_058786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGASASFWFDLWIASDTLAASFPALFSHSTHVHASVQHVVSSPYLQLHPRPRLTRAEPQELISLRQPIQDVSLDPSQPDSRSLRSNPPKPFSTARVYALHFADHSDAHFATSLLLFFFPFGSPTTTS >Et_1A_009500.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6778967:6780682:-1 gene:Et_1A_009500 transcript:Et_1A_009500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSGATVTCGDGLRAGEEVLTASLLPKAEVVVPVWEAGEEEEELPPVLTSKQSSRLARAVKEAWSVTFPMMPSISAGAAGAEARSIMGLALPMILTGLLLYLRSMISMLFLGRLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAVGAGHYELLGVTMQRAVLLLVAAAVPIGGLWVHIRPLLLLCGQDAGIAAVAETYILASLPDLLLQAFLHPVRIYLRTQSINLPLTLCAGLAIALHLPINYVLVSVLGLGVRGVALASVLANLNLVLFLFVYILFKGVHRRTGGFFALTGASFRGWGELVSLALPSCVSVCLEWWWYEIMILLCGLLANPQATVASMGILIQTTSLIYIFPSSLSFGVSTRVSNELGANRPDRAGRAATVGLVLGFAFGGVASAFACLVRGAWATMFTADPAIVALTASVLPILGLCELGNCPQTTGCGVLRGSARPKDAASINLRSFYLVGTPVALVLAFWYHYDFQGLWLGLLAAQATCMVRMMLVIGRTDWATEAKRAQQLTGAGTVQAEESSGKSSQITKATAAIGDEKPDAVIDVVVEHPRDQC >Et_3B_029340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24126048:24127710:1 gene:Et_3B_029340 transcript:Et_3B_029340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RTCSCPCKNIAQTHLKLQAWPKSPNVSKYISSSTNNYGKQSIQPLIATASFATHLQRPRDLPVLEFRAPPPSPVTAVLTGSSSGSSGHGESQDDDEIGRFLRCSARVPVLRLPDGPVPRRKKKKAAWAPPVVDMGLLDSPVAGGEPEVETLKSAAVAFGCFQVVGHGVDGDLVSAALRAAPTREGSPTLEEMQMMRSFGGRRAKEKTIWRETRSCQMAPTNSGMNFACYGTQMIHLDATKDKIAQTIDMSCKIANSQCILRLTFLNRAHDLFTQLEQISTKLMHALQQGSTADADTTEPLAEADTNGSLLCICKHHREQRNDSGVSGPLSQDDVLRMLVRESRYSRALALHLCPGASAFQVFSRRGWSRFRPLDGAAVVTVGNQLQSVSGKPAYSTDDLQGGGNDDVISAEFFLSCSSASTVEAMNADAGKVIPLNLQIMVAAGLLLVYHCILSFLYATR >Et_4B_036615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:43213:44750:-1 gene:Et_4B_036615 transcript:Et_4B_036615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPASWSSSSASTSSAKEPSSNHTTRASSSSSEVYLNIYDISPLNHYLYWVGLGIFHSGIEVHGMEYGFGAHEYPTSGVFEVEPKSCPGFIFRRSVCVGSTDMSRSQVRTAIEDLAEDYHGDTYHLIIKNCNHFTADVCKRLTGKPVPGWVNRLARLGTYFNCVLPESIKVSAVRDATGHADFSDDGLGSNASIVDGSDEDDLDHLLTTPNSDVIPSRDRTLTPARDSF >Et_3A_023574.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:5664082:5664393:-1 gene:Et_3A_023574 transcript:Et_3A_023574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HGPEHRRGLVPAVETQAHRSASPGEGVVEEDGDDGDEEDDDQIGEGARRHIRELARVVWSRQLLLLRRRRRRRRLRPPSHSLKPQPKAARGAKGVGQASSSDI >Et_1A_004965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19597685:19599799:1 gene:Et_1A_004965 transcript:Et_1A_004965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKLDLLENKLRGFIQHTEKGKWRCNNNHNIRYFTEDEIKGITNYYDTKLGNGAFGEVYRGFLEDGRSVAVKRYIHVNSEEEFAKEVIVHSQINHKNVVRLIGCCIEKNAEMMVFEHIINGSLNNHLHSENTLIFLKTRLDIAIECAEAIGCMHSMYNPVLHGDIKPSNILLDENFHAKISDFGTSRLLSIDKDECTVNVSGSIGYMELFTKTRPSDNEKKIVERFTRFSSKQKAVRELFDADIANVGNMKVLLGIRKIAKECLNENVDKRPEMNDIAGRLRVLRTIAEQGKGKNFSMLLGKGRYSEVYLGTLNDSTRVAVKRSIKTNECFREMAVNELTIHTQMHHRNILRILGCCPEMDVPILVYEYAPKGSLNKYLSVDAENIDDSSPDWLDSRKVLDLNTRYRIALGVARTMVYLHEERREWVLHCDIKPENILLDAHFCPKVSDFGLSKMTNREEMMTFNSVHGSCGYMAPEWFIHRAPITAKADIYSFGMVLLEIISRRRNYEFLQETVASDEWYFPKWVYEKFYVERRMEDILDEQIIQEEAYGDAKSVAMVGRMVATAIWCLQDCADMRPSMSKVIKMLEGTVDITEPAKPIIFCIEEI >Et_1A_004710.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:32959053:32960204:-1 gene:Et_1A_004710 transcript:Et_1A_004710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRSGLGDETNLPPPLHYVPPYSSLDEARAEAELVIFSAVDDLLAKTGVVEPAGTIGVLVTNCSVFAPVPSLADMIVSRYKLRSDVRSVNLSGMGCSAGPISVGIAASLLQATAPHGGTTHALVVSTETITPNFYMGKNHAMQLSNVLFRVGGAAVLLSTSSSKARFRLKHVVRTTTAGARDGSSSSYRCIFQEEDGEGNLGVNLSKDLLAIAGDALRSNITAVAPLVLPLSEQLWFASSYVAGKLLNRSGRFMIRFSPYVPNFRAAFEHFCVHSCGRAVIDEIQRSLGLSDEHVEPSRMTLHRFGNTSSSSVWYELAYIEAKGRMRAGDRVWMIGFGAGFSCNSAVWECIRPASEPDKAWAGCIHRYPVNISKATENICVA >Et_1A_007081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30709999:30711955:1 gene:Et_1A_007081 transcript:Et_1A_007081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWNYYCVVSLVLCLALAHAVGAARGNVGSMQTESLGGGNPSSDINTDNSGDATVGNNSLGTEDIALSPRARSIVGAVLGLGDMPTKRKTKLAAKRIQKFQQEEKRVFARQGIRDCFLQGSFKEEEKRLQQKKLAVTSYLFSQLRPCPGCSQSTSARRSAPTRGTAPDHLRSAHTRRMSRTKKEETPHACTDWRERFCDTMVLPSVKAMPYVRVR >Et_2A_018366.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24617917:24618417:1 gene:Et_2A_018366 transcript:Et_2A_018366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTCTIPVARCGGRITTASAVGRSRSHVKVMAAVADRASSFCSAKSSDYYKVLSLEHSAAVGAEEIKRAYRRLALQCHPDVCPPSRRAESTEFFLELRRAYETLSDPAQRLRYDAELGTGGVAVARRPRDEFPRDVWEAQLCVLRARSERRQRSSRGGRRHDMAHA >Et_1B_014355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6551377:6553793:-1 gene:Et_1B_014355 transcript:Et_1B_014355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFRMALKQFGVLNQFEVHKVRTDKKRTRKPQEKKKPKRPKTAPADTISPGSLTRRIVNLVHGDVEEGTSTLSISAKRLLVLSQDKGSEDKGSENKESLE >Et_8A_056931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19071916:19073913:-1 gene:Et_8A_056931 transcript:Et_8A_056931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAADMAAVADEEACMYALQLASSSILPMTLKNAIELGLLDVLESARKSAGAAAPALAPEEVVARLPVAPRNPDAAAMVDRMLRLLASYEIVKCEMEEGKDGKYSRRYAALPVCKWLTPNEDGVSMAALALMNQDKVLMESWYYLKDAVLDGGIPFNKAYGMTAFEYHGTDPRFNRVFNEGMKNHSVIITKKLLEFYTGFEGLGTLVDVGGGVGATLHAITSHYPQIKGVNFDLPHVISEAPPFPGVEHVGGDMFAAVPSGDAILMKWILHDWSDAHCATLLKNCYDALPAHGKVIVVECILPVDPEATPKAQGVFHVDMIMLAHNPGGKERYEKEFEELARGAGFAGFKATYIYANAWAIEFTK >Et_9B_064148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11831589:11834386:1 gene:Et_9B_064148 transcript:Et_9B_064148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFQDAAVPQQRVVEGITFPAVLVPSAPAAVEGGGGGLDEFLAAVRAEKESRLEPLVRAAGALLLRGFPARTAADFDRAVEAFGYEELPYVGGAAPRTNVVGRVFTANESPPDQKIPFHHEMAQVPTFPSKLFFFCEVEPKIGGETPIVLSHYVYKRMKEKFPEFVEKLEKGWINIYKGEGDDPSSPIGRGWQSTFLTKDKAIAEERAAKLGMKLEWTEDGVKTIMGPIPAIKWDENRGRKIWFNSMVAAYTGWKDARNDPVKAVTFGDGSPLPADVISECGKILEEECVAIPWQQGDILLIDNWAVLHSRRSFEPPRRVLASLCK >Et_7B_053627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10472981:10473663:1 gene:Et_7B_053627 transcript:Et_7B_053627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFITLACLLALQVVYPSTIIASAARQCTFDIVVKTGDRENAGTDSRISLQLSGASGPKLAIPSLKAWGGQMGAGHDYFERGNLDRGSGARGPAFPVGRAKWCSPPPAPATISPGDSPLMVTQVGDGSGKSRTRVWRVEQWLAVTAPPHELSAVRNDCS >Et_4A_031805.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:16924102:16924465:-1 gene:Et_4A_031805 transcript:Et_4A_031805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFWTFLLIASLIPILVFWISGLLAPASEGSEKLSSYESDIEPMGGAWLQFRIRYYMFALVFVVFDVETVFFYPWAMSFDVLGVSVFIEALIFVLILIVGLVYAWRKRALEYS >Et_1A_006228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19396089:19400606:1 gene:Et_1A_006228 transcript:Et_1A_006228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKTYYGNGKAACDPNRDQSKVNRATKHGQSSSSGSCPQAAKKKTWKCYLTFLSKFQNKMKRKIKPDAKEPAGFKQRSQKHYSPVLEECSNMVRVVRRTAASCFAVAMASGADDEELPSYAQLDQVSYGVKREAFGPIYLVTMEAARLSRHQHAAASHGSILTTMEL >Et_1B_011637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24480291:24482103:1 gene:Et_1B_011637 transcript:Et_1B_011637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PAHGAPIATLPSKSAVGQMWWFYRRGPSGFSGASTAEEVTAGVDGRGLVAIITGASSGIGLETARVLALRGVHVVMAVRNVPAGHAAKEVILAKIPGAKVDVLELDLSSMASVRTFASKFESLNLPLNILINNAGVMTRNCARSCDGLELHFATNHIGHFLLTSLLLDNMKSACRDSGVEGRVVNLTSSGHFMTYPEGICFDKIHDPSGLNDFIAYGQSKLANILHSKELSRILKEGVNISANAVHLGVIATNLFRNRTIVNALLNSIGRILCRTVEQGSATTCYVAMHPRIQGISGKYFANCNLANPSSQASDAELAKKLWQFSLQTVSS >Et_1A_005887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15162507:15164284:1 gene:Et_1A_005887 transcript:Et_1A_005887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITEIFIPRWRVTPSPVSSMPTPFQSTMPQVIKIEDEGNSAPFSQETSEQELMDMFRKGVQQLPPPPPPPSPSPPPAPTWAAKRLPTGPPPGLAGVRQPPQKQHQRKPPWQRAPQPPQQQQNAHRIPGPPPGPPPPVNMQAAQRTPQPPPPPPNAHCSGGLSGPPPVINPLSVLPPASSGALPPIPVSSSAAKPPHPASKKKPTVPCGVCGVLCMTTWHLKDHERGRKHRNKLACLAGQMSVQCQVCNVHLSGALNVEQHYAGKQHRWRLSGGT >Et_8B_059903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3958735:3960771:-1 gene:Et_8B_059903 transcript:Et_8B_059903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTAHSRQHHAFEKSPSHMKNLDRKLQEAMSHAAGSKYMQRIYPLGIQRSSSNLTLSSLSLSQNSNDSSLSSSNSSWEPKVPLLYGGTFSPWGDVLVSLERRREDDKVSDHDVEGGEEEFDFSEPGSLHRCSWITKNSDEAYVQFHDECWGVPVYNDNRLFELLALSGMLIDHNWTEILKRREMYRKVFADFDPSVVAKMDENDIVEISGDKELKLAECRVAKEFGSFSGYMWGHVNHRPVVGKYKHHKYIPFRTPKSEAVSKDLVRRGFRLVGPVIVYSFMQAAGMVIDHLVDCFRFPECVHLAERSWGITNVAA >Et_8B_060465.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:17152660:17154198:1 gene:Et_8B_060465 transcript:Et_8B_060465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVVNAAGGKAYPGRMTAFVFFTCLVASSGGLIFGYDIGISGGVTSMDSFLMEFFPSVYAKAQANKDANQYCKFDSQLLTLFTSSLYLAALVTSFVAAWVTRVSGRKWSMFCGGVTFLAGSVLNGAATSVVMLILGRILLGIGVGFANQSVPLYLSEMAPAKLRGMLNIGFQLMTTIGILAANLINYATVGIKGGWGWRIGLGLAGVPAAVITLGALALPDTPNSLIARGYTDDAKAVLVKIRGTDDVDDEYDDMVAASEEARAVDVDPWRAILERKYRPQLTVAVLVPFFQQLTGINVIMFYAPVLFLTIGFAGDASLMSAVITGLVNMFATLVSIVSVDRLGRRALFLQGGTQMFISQIVVGTLIGLQFGTSGVGEMSRSYASLLVLFICLYVAGFAWSWGPLGWLVPSEVFSLEIRSAGQSIAVCVNMTLTFIIGQSFLTMLCHLKFGLFYFFAAWMLVMTTFIALFLPETKGVAIEEMHHVWSKHWFWGKYVAGSEPHHNRRGSV >Et_7A_052634.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:72793:73761:-1 gene:Et_7A_052634 transcript:Et_7A_052634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKASSVTVALVVGMVVVAWAGVVSGELSMDYYYMQGCPMAEMIVRDVMGDAIRKDPSLAGSILRLHFHDCWIQGCDASLLLDSESPEKATEKDAGPNRTLRGFEVIDAIKEALEAQCPSVVSCADVLALAARDAVVMAGGPYYDVPTGRRDGFRSVAADADALPAATLNASALVDLFVSRGFTVPELVALSGGHTLGLAHCANFKNRLVSNEVDPSLEPSLAASLASTCGSGGDGATATFDTTSEAFDTDYFKGLQQSRGLLTSDQTLAESPDTRMLVNMFAWSPRRFFYTFRQGMFKMGHLDLKDETQGDVRTSCRRLN >Et_4A_033066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1810968:1813536:-1 gene:Et_4A_033066 transcript:Et_4A_033066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPLPPPDPIDPPPPAMDAALPAAVIATILSHLDVRSLFLAAAACRGLRTCAAQALAFLPSFHLLEVALTHDMLRPLLPPNPSLWSLRLDCGKLDDAAIGCLARPSLHELLLLNCENISGRLLCELGTTCRDLRLLSLNSLGERRGLVVSYSDLQQLLNGCSQLESLRLALDFSTFDDPNFGHVWSSASERLSSLEIGYIPMTMLLELLTSVVESKLSMDHVKPPVFFPSLQKLCLTVDFITDHLIGSISAALPSLTHLDLQDAPIIEPTSTSDLTNAGLQQINPHGKLKHISLMRSQEFLMTSFRRVNDLGILLMADKCSNLESVCLGGFSRVTDTGFRAIIHSCSGLHKLRVSHGSHLTDLVFHDIIATSLCLTHVSLRWCKLLTDVGIQRLSFNKDLNVLDLRDCRSLGDDAVRALSRLPKLETLSLDGTDISDQALKYLGLGACPLTSLSLRGCRKLTNDCIPLLLAGSVKQSLQVLDLSRIPSVTDDGIMLLARTRTPLVELRMRENPKIGDSSVMALASMQVDGGTYGSSLQLLDLYDCGGITPLATRWFKKPYFPRLRWLGMTGSLNRVMVEALSRSRPFLHMASRGEELGTTMLWDTSSDWYRHNDDDLDELEQWLLDGEPVSDDDAIMEE >Et_2A_015383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11847701:11856240:-1 gene:Et_2A_015383 transcript:Et_2A_015383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFDQSAIFLYLDGHDQASIQEQRQTLNIFPSQPMHVAEPIPAKVLYCMQKLNIYIPISAKVAAQHMDPEDVQICVQGGSMGMATALLANGNHSSPKRPEQGGQRSLVPSPPGLSVPAPKENKSAVTIAKKEATSSGGKGAGSSDQERVKDSKTLRRLAQNREAARKSRLRKKAYIQQLETSRIRLSQLEQQVQTARVQGVFSGTGGQLAGFPSAPSPAVAIFDIEYARWVEEHSKLMFQLRAALNEPLADDQLQGFVNAAMAQHEELLNLKGAIARADVFHLLSGVWATPAERCFLWLGGFRPSEVIKVMLKHVEPLSEGQILGIYNLQQSLQQREEALSHGIDAIQHNISDIVAAPDVAPASFMGHMSLAMNKVASMEGLVRQADGLRQQTLHKLHEILTTQQAARCLVGIADYFHRLRALSTLWVARPRQEDGAEGTFTIKVKPFTV >Et_10A_001970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15792729:15795146:1 gene:Et_10A_001970 transcript:Et_10A_001970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGTYVLSNAWANGRRFTIQIWYLSTVKRIKEYIQQYEGIPIETQKLFFMGKELQDDRDTEFYSIAQGSHVLLVLHDGSPTAAQTHDSHVHVVVYAPSVGRSITLNLKASDTIARLKELLQERTDGMLQANHMNVFFDEVEMDDGKALGDYGPSRDVMEICVIVTKLAQHISTWVKDNMMTVKVKQGSQMVILEVSNLDVVEELRAKLGRVAPHFLRAVDGGGCSFIYKQNVMEEDCTLHWHEVKDGDTIEIFNSVVPREA >Et_3A_026160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:576343:580355:1 gene:Et_3A_026160 transcript:Et_3A_026160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FDTNIPQNPNSRTTRILPALHTDESEYYVSLVGISLGEQKLDKIRPDMFARHMHGQGGCVVDLGTPLTMMVEEAYHIIEDAIWSDLQRHQVERVNRHGFSLCVRATEVIMSHLQPLSLHFSEEEAVLVLSPKQLFLMTDEKDGQIMCLAMTPGQRTIIGAFQQMDTRFIYDFKDSKLSFAPESCVHDTIEALSQSCYHHYTTYTPPLSLGQFHRNHTTMPHTSSIGFSLELFPNHEGPDHTIYHDSDGFLHFQRKLSSSVTNVTTLHPETFHPIHLPQLYETSVIVGTGRGIHHYVLKIDAMSSLTWLQCKPCAPNAKQLYPIFDPTESPTFRNVASTHPICKQPYQPTGNQCAFHLFGPRQMSVHGFIAMDRFIKDDREVHIKSSSLVAPTRLKISRVREGMLVFLAWVGWKVPS >Et_5A_040180.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20112212:20115622:1 gene:Et_5A_040180 transcript:Et_5A_040180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSCLFLALLLACLLALGADGAGGDGDAAAMQALRREFAPPGWGPGADHCAWRGVTCAGGAVTAIDLPRRGLRGDFSAAGALPALARLDLSANSLPGGVPPALGALRRLEFLDLSMNALTGAVPPSLAGATALRFLNLSNNALSGAIPDELRGLKELQELQISGNNLTGALPGWLAGLPGLRVLSAYENSLSGPIPPGLGLSSELQVLNLHSNALEGSIPGSLFELGNLQVLILTLNRFNGTIPDTIGRCRGLSNVRIGDNRLSGAIPASIGDATSLTYFEANGNDLSGAIPTQFARCANLTLLNLAYNRLAGEVPDVLGELRNLQELIVSGNGLGGEFPKSTLRCRNLSKLDLSYNSFHGSLPEDICNGSRMQFLVLDHNEFSGVIPGGIGGCTRLLALQLGNNNLSGEIPAEIGKVKSLQIALNLSFNHFVGPLPRELGRLDKLVTLDLSSNEISGQIPGDMRGMLSLIEVNLSNNRLSGAIPAFGPFQKSAASSFSGNAKLCGDPLDVDCGSIYGSSYEVDHRKISYRVALAVVGSCVLIFSLVSLVVALFMWRERQEKEAEVKKAEAGEVVVAAPQVVASTIFIESLQQAIDFQSCVKATFKDANILSNGTFSITYKAVMPSGMVVCVKKLKSVDRAVVHHQTKMIRELERLAHINHPNLVRPIGYVIYDDVALLLHHHMPNGTLLQLLHNDGNTEGENQKPDWPRLLSIAIDVAQGLAFLHQVATIHLDISSGNVFLDSHYNALLGEVEISKVLDPSKGTASISAVAGSFGYIPPGLNYETLIQIW >Et_1B_010267.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:33411725:33414163:1 gene:Et_1B_010267 transcript:Et_1B_010267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHIKALIEEMHTLCDPIIKLLQVCPSRNPQKVICATLKRPPSSSLTTQDKLYGRDAIFCQTIDYMTSEMHNGTLSVLPIVGPGGIGKTTFTQHLFNHEEIKQRFTVRVWVCVSTNFDVVKLTQEILSCIPATENEYSNQANNTSNLNLLHESIAKRLKSKSFLIVFDDIWECKNKSDWNSLLAPFKKGETKGNMVLVTTRFPKIANMVKKDEATNTINLQGLEPYGFWKFFQDCVFGEFKDENPKNDLIGIAEAISDKLKCSPLAAKTVGSLLRNNHSREHWIGILNKKEWENLKRSDDDIMPALKISYDYLPFHLKKCFSCCALFPEDHRFHTTELTCFWDAIGIVDTSGQTDRVQDLVDELVNNGFLIKQVEDDESESYVLHDLLHELSRIVSSQECINISFPGFIANNIQPSIRHVSVFMQGRCFENFQEEMIKLKTMIDIENLRSLMIFESYTSDKLVSTILKDTFNKIKNLRILFIFMNSPKNLPHNFSNLIHLRYLKIRTPVSLPSAISRFYHLNFLYLEACDDFSDEVPKGFNRLVNLRHFYSSNEFYSTIPGVGKMECLEMLGKFHVKKESIGFELAELGKLTKLRGHLGIYNLQKVETKEEAEEANLKNKCRLRGLTLYYDRGQPTTGYDVIDGLQPHPSLKKLAIYNHSGLTGPSWLSRDVRVTSLECLSLSGISWETLPPFGRLPLLKSLCLHDIGGLLQLRLDSGGLTDGSFKHLKEVELSNMPDLVEWIGGHNSHLFSRLEIIRCFSCPNLTMLPFYDCNGPSAEVTDITWFPNLCELCITKCPKLSRLPPYRTLLH >Et_6A_048077.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:5450141:5450335:1 gene:Et_6A_048077 transcript:Et_6A_048077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATELWALDAYKSLLPRVKVEYPVVSMDEAHIICFMVSNVQAWLIMVDMRSKMLLSTATQRQN >Et_5B_043160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13674071:13675042:-1 gene:Et_5B_043160 transcript:Et_5B_043160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVPAVFTYRWRMKMPETARYTALVAGDADKEARDMSKVLKGVDLVGGGGKPDKAAPRSIAGRFARRHGAHLVGAAASWFVLGVVFYSQNILQEEIFSDVKWVPRARAMSALEEAYRVSRAHAIIALCGTLLGYWLAVTLVDVVGRKPIQFLGFAMMTAFMLVIAAFYDGLTRPGRRVCLVAMYAFTFFANFGPNTTTFVVPAEIFPAHLRTTCHGISAAAGKVGAIVGTFGFMLAAQKGIGVRASLFVLGAANVLGILFTCLLPEPKGRSLEEVSGDAAESMNRDDGDVCDSKVLPL >Et_1A_005138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28871021:28871350:1 gene:Et_1A_005138 transcript:Et_1A_005138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAREVVEFILAQGEDIQLLSLVAMWSWWSERNRVRDGEKPTPPAIIARNTAIYVDEIKKIFKKEGGARQAKEARWKKPECEVLKINCEIISVTSFLS >Et_1B_011550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23506411:23508108:-1 gene:Et_1B_011550 transcript:Et_1B_011550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVRKVQQRLRRVRGEMDRWEDLNSCLLSHFSNAANVISRLQDLGEDKNYRVLRGVPGIREDLVGKQMDILERILVFMREILEELNGISKALNKALRDTNQMVRSGSALTRKQMQLQVGILPSIAQCLDGLQTLCEMHQAEPSDIVALRQLLADEPNIPKDEVRSIFDIVFADEIC >Et_3B_031036.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11005550:11005897:-1 gene:Et_3B_031036 transcript:Et_3B_031036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSCCDGAAARSRRRVKLTRRPSSSSSLQKARKQRNAAAGSKASSRKRVAIRRKMESLRRLVVPAPVCGSAGVDGAGERLDELLLHVAGYIMRLQMQVRVMQVMVHALNNPED >Et_4A_035703.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3977909:3979192:-1 gene:Et_4A_035703 transcript:Et_4A_035703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRAANRPTLDKAPPRQCLRRCSSAKKSASRAAAKRKDHSPPRPCCFLLASWGAKRARDGGSRRQRDWANLADGPAGLISERVLAGDVADYVRFRAACLPWRRCSADPREHGILDRRFHPRLWIMMVRETLSTPRSRRLLNLSTGQCVQVDLPELRDHHVIRSTSEGLLILLHETTHVVRLLNPLTRQAADLPPVTSLGDEFKRNSPDNAGLVDGGTTVLLYFSRITTLAFAKPGDDHWAPLKIDDFLMPTMSFAGCFYGFTDHAIVAVETRENLPPRLVVAAELAKPFCRMADTVHLVDNGGELVLVHRKLRRIHDAACSDSFDRKYKAYHVDLDAGKMTRVHGLGGRAVFIGQFRALSVSPRVFPYISADTVYPGINGQLRTDYKKIRAYHLTDGSVEPSNYDMTRGLPRPWSIADFLALYISD >Et_7A_052347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7057287:7058183:-1 gene:Et_7A_052347 transcript:Et_7A_052347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLHVILVMISPMPPQYGRISIRICQRFGWQRKFMLEMVRLLLFGLIFGGVLSPLLRLFLLYFLTQKGLIYLYNKPSLNLLYSLLYNPVSLVDESELQELQALMESVNLQENVHDHCTVEDLWQNLGVLNSTKPKIRNAVLTTILWNIWKCRNAKIFRHEDESNYSVVARCIEDLTLWSNRCNQAIDRALMIA >Et_3A_023982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15600780:15601581:1 gene:Et_3A_023982 transcript:Et_3A_023982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKRLHFSEGRPKSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAELTEILFF >Et_8A_057014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20131988:20138253:1 gene:Et_8A_057014 transcript:Et_8A_057014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVIDLSSDSDADIDLEEPIDGVDQQPGPLTARQNGEGHPVGFQDEDWLTSTPSSSSSRPAENSNDQYRNLPPSFTNGRFAKSPRDAFGLGERMHPHSSSYMGEQHKNFTTDADENNKRVLPLYLSKNGNTAKSVHPNVASETRKLPPLFAHRNSESLGEKRMTTDITNGNLHPSSSRMASQNLYVNNTQKEGDDDDVMIYEGSSSHRVLPSSFGANNTNSEGVNGIDAQGRLNPENRFLDSDERAVYQEALLNISREKGEDNVAEGVLTVPLLRHQRMALAWMVSKENSSHCAGGILADDQGLGKTVSTIALIQKQRIEQSKFMSVDSNHLKSEALNLDEDDEGERTVNDEPKKDLEASSSATASGTCSVEPRLGQPNNVPDNMAGSKVDRKKKAKACTSSASNTRSMTRPAAGTLVVCPASVMKQWANELADKVSESAKLSVLVYHGGSRTKDPSELAKYDVVVTTYTIVTNEVPKQNADDDADQKNGEETSAGNKRKPPSNAQSKGKKKKKKLKASDIDLDSGPIARVRWFRVVLDEAQTIKNFRTQVAKACCGLRAKKRWCLSGTPIQNAIDDLYSYFRFLKYDPYSTYSSFCTMIKHPIARNAIHGYKKLQTVLKIVLLRRTKETLINGEPIINLPPKTINLEKVDFSQEERSFYLTLEERSRQQFKVYAAAGTLKQNYANILLMLLRLRQACDHPLLVKGNESEHGGDGSIEIAKQLPKEMVIDLLAKLELGSAICTVCTDPPEDAVVTICGHVFCYQCVHERITTDENMCPAPNCNKTLSLESLFSSGALRICISDKSSSAKASCSTADDESSSISQSSFISSKIQAAIDILNKIINTDALTDSDIMESNRSRVAPVKAIVFSQWTGMLDLLELSLNTNLIQYRRLDGTMSLNLRDKAVKDFNTDPEVRVMIMSLKAGNLGLNMVAACHVILLDLWWNPYAEDQAVDRAHRIGQTRAVTVSRLTVKDTVEDRILALQEEKRAMVNSAFGEDESGGHATRLTMEDLRYLFRI >Et_2B_021218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27514023:27515876:-1 gene:Et_2B_021218 transcript:Et_2B_021218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSCRSLASWVRRLVACMGGCFGCCTKPTPITAVDEPSKRLRIQGRSIRKGGLSEEFWSSSAHEMENSAIQSQRSMSSISTAAQSNDQHAAGSSSNPNDFVNQGLMLWNQTRQQWVGNKKRHSRPQQTREPKLSWNATYESLLGSNKPFSQPIPLGEMIDLLVDAWEQEGLYD >Et_2B_020153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17346628:17361018:-1 gene:Et_2B_020153 transcript:Et_2B_020153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAEREVMEGVQQGGAAAAASRGARRCLGSRGGRPEVRAAAAHPRRQPRLPPDAPGEGKAAKVEVAFERLTVKADVRVGRRAVPTLLNRAVNAAHELATSSHMCATRKRPIRIINGVSGIIRPSRMTLLLGAPGSGKTTFLKALAGKLDSSLKLKGKVMYNGEAMNYSTPQYLRAYVSQYDLHHPEMTVRETIDFSSKMLGTSNEFEILGEAIRRKKGVVNKVDQDLDSFTKATTFGEGSNLTTNYIIKILGLCECADTLVGDELRRGISGGQKKRATIGEMLVGLARCFFMDDISTGLDSSTTFEIMKFLQQMTHLMDLTMVISLLQPPPETLELFDDIILLCEGQIVYHGSRENATDFFETMGFKCPSRKNIADFLQEVTSKMDQKQYWASDENKYRYHSIEKFAESFRTSYLPQLVKDKLCCPSHIGKNKKVKVNVSRRISRWNIFKACFSREVLLLKRNSPMHIFKIIQITVMALVISTLFLRTNMNHHSVLDANKYMGALFVAVVIVNFNGRTELAMTIKRLPTFYKQRELLALPGWALISSVFLISIPISLVETSLWTGLTYYVIGFAPSLIRFIQHYAVLFAMHQMSMGLYRFLAAIGRTQVMANMLGTTALIAIYILGGFVISKDALQPWLRWGYWTSPFTYAQNAIALNEFLDSRWATEFHYDNANTIGEAILKIKGQLTEWHWYWICVSILFGYSVVFNVLTIFALEFMNSPHKHQVNIKDPKMNLEYHCHIFGNGEVSSDKAILPFRSLSLVFDHINYFVDMPKEMVKNGVMEKKLQLLQDVSGAFTPGLLTALMGITGAGKTTLLDVLAGRKTGGYIEGTIKIAGYPKKQETFSRISGYCEQSGIHSPNLTVYELLKFSAWLRLPSNVKPHQRDMFIKEVMSLVELTDLRNAMVGIPGATGLSAEKRKILTIAMELVASPSIIFMDEPTTGLDARAAAIVIRTVRKTVDTGRTVVCTIHQPSIEIFESFDELLLMKRGGQLIYSGSLGPLSSNMIKYFEAIPGVPRIKKGQNPAAWMLDISSHTTEYETGVDYAEVHRNSSLYRDSRLLIDELEKPEPDTEDLHFPHGYWQNFTTQCTACLWKQSCAYWKNSEHNVARFVNTFAVSIMFGVVFWKVGATIKDEQDVLNVLGIAYGSSLFLGFMNCSFLQPVVAMERVVLYREKVAGMYSTMPYAIAQVSIELPYMFVQVLMFSAIVYPMIGFQLTAAKFMWFVLYMVLTFMYNTLLGMMTVALTPNIEIAMGLSFLIFIFWNVFSGFIIAREMIPVWWRWVYWADPAAWTVYGLMFSQLGDRTELIRVPGLGEQTVREFLEAYLGVQDRYFELVTCLHLVVIALFAFLFLLAIKHLKFQRR >Et_6B_048585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11096821:11101463:1 gene:Et_6B_048585 transcript:Et_6B_048585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAVVTLLVPAFLLLLAQGARSETCSPSSVKVAQTNTGARAGTPNDPVFEVTVRNRCRCAVHGVFLRSAGFASSVPVDKKLFRRDGVGYLVGDGRRIESNGEVRFRYAWDRAFHMFPIILHLFLLPAFVLLLLVQVQGARLAAKCAASSVEVETVNTGEKAGYDAVFEVTVRNRCGCAVRGVSLRSEGFASSVAVDPRLFRREGRDYLVGDGSRIESKDAVRFRYAWDRAFRMATAAVHDDCS >Et_3B_031113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16375165:16376626:-1 gene:Et_3B_031113 transcript:Et_3B_031113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRDPNYWENPEEFIPERFMEGGSATALDYKGNDYVYLPFGSGRRKCPGINFAIPTIELMLANLMYHFNWELTPEIAKNGIDMTESFGSTVHRIKSLFLAPVK >Et_10B_003003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15251805:15257097:1 gene:Et_10B_003003 transcript:Et_10B_003003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGKDEHCILFQWVISQCPINGMSTDLSISQCTIQKGSKELVDQAKMAMKEHGGTRTVYQDDGAKAAAAANCKNNQLGRRRPAGERKQHRFNMKVDARQDSFLFYVVALKLSSSISVNCGCKPLPTVDRSELDTISDPDKYFEAEARLDEAERAIKLLNGEVQPEKTLNFEPFMERQRRNPSQPRKSYFFISAHDANAPNIPASQSGNMTESQTSQDATHTSVSDGNEQSVPSRAIECAISDNLAKEDSFAGKDKSDQLTDIMASFQNFKGPNKLDFLRKAIGIGEIRIEKIYHRDSLVGGKPLRSASRTNTPEVRSQERLLPESHQAQVSELAKHLFGDGTMDKHSDRSKESPLPVSHQDRISELAEHAFRDAVTDKEDDRSEGSPDIAMGEQSLAHDSSEREKDRETPSPCVKSAEHILDPEPNMPACATIVDDPCSRHDIFTEEDDVPVDYSAIDKSNNEAVISSHHLEDASTEVLANTPGRNVAPDDIARVSHAAEDNTQHQASEIVEEDDVAQDKSVRSLEIPLEDICPQNQSQVPDGAITKLAADLSNALSSTKLTKQKAQKAAQRAKNKQQPKRGKKVSDESSRSLEIPQAHFDSENQPPTHDINTEQQTAMRNAVSPNKPMGQKETQRRNKPGKLNKRKSLADAGLEWQSGVRRSTRIRHRPLEHWRGERFVYGRIHDTMVTVIGVKSYSPAQDGKRALKVKSFVHEQYSDVVAQGAKY >Et_9B_064631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17250009:17256898:1 gene:Et_9B_064631 transcript:Et_9B_064631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFGSVLCAAILVVLLSCLDVTLGQDTDPSEVTALRAIKGSLVDPMNNLKNWNRGDPCRSNWTGVFCHKVNNDAFLHVTEIQLFKRNLSGTLAPEVSLLSQLKTLDFMWNTLTGSIPKEIGNVTTLKLLLLNGNQLSGILPDEIGNLQNLNRLQVDQNQISGPIPKSFVNLKSVKHLHMNNNSLSGQIPPELSRLPLLFHLLVDNNNLSGPLPPEFAAAPALKIFQADNNNFSGSSIPATYSNISTLLKLSLRNCSLQGAIPDLSGIRQLGYLDLSWNQLTGSIPTNKISSNITTIDLSHNMLNGTIPLNFSGLPNLQILDFQNNLLKEIPDAFNPPPNVTVMLYGNPICETTNGVLITNLCQPQSVNQQTSKQGQSSNLNCAPCPTDKDYEYNPSSPIPCFCAVPLGVGLRLKSPGITDFRPYEDAFDINLTSLLQLSRYQINIEHYVWEVGPRLNMHMKLFPSNTSLFNISEVVRLRHVLAGWEITLSDVFGPYELLNFTLGSYADEIPNAASVGLSKVALASILAGTIAAAIALSVVATTLVLRKRSRYKTVSKRFSVKVDGVRCFTFEEMSAATNNFDLKAQVGQGGYGKVYKGILADGEIVAIKRAHEDSLQGSREFCTEIELLSRLHHRNLVSLAGYCDEEDEQMLVYEFMPNGTLRDHLSAKSKRPLSFGLRLQIALGAAKGILYLHTEANPPIFHRDVKASNILLDSKFIAKVADFGLSRLAPVPDIEGTLPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGIVFLEMLTGLKPIEHGKNIVREVNSACQSGNVSEIIDSRLGLCPPECVRRFLSLATKCCKDETDARPSMWEIVRELETILRMMPEEDLVLLETPDTYSTDMSKSVSASASGTLFISSQTSGSVDASGGTLSGRLTPR >Et_10A_001047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20589347:20593319:1 gene:Et_10A_001047 transcript:Et_10A_001047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGAAEARAMLQRHQPFAPSPGEYHHFGAAGTGTEDMVEAVIFRTPLKRKHNRDENGAAEQNDWMISPGYANAAGSPIPTPPSGKGLKTSVKPKTIKGQKSCPQTPLSFGSPGNPPTPVGGCRYDSSLGLLTKKFLNLLKGTPGGMIDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGIDDSRPGEVSDDMSILQADIEALTMQEHNLDERINEMRDKLRELTEDENNQRWLYVTEDDIKSLPCFQNQTLIAIKAPHGTTLEVPDPDEVNDYPQRRYRIVLRSTMGPIDVYLVSQFEEMSGMETPPRPTQTINMDSLENPRTPLAAEPNKAATVESNIQEGFTLPPDAPSSSQDVGGMMKIVPSELDTDADYWLLSDTGVSITDMWKTAPEVEWDGIDKFNAEDFLEVSTPRQQDKPADVVDPPSCIS >Et_6A_046697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19612476:19617788:1 gene:Et_6A_046697 transcript:Et_6A_046697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDREPPAATAAAAAAENGVEDYVVVKAGGDQGDLAADGDAAVEAASGSEDAASSAAAEAKEPEPAKAKAPNKKGGSGDAAGARKAKPQQNGKAPAAASSAKAKKPGVLSQSASFPARGPSGARKAAAAAVAVTTPKQAKQVPNGSGPAGRVVKKKANSARTPVARRSMPVKSGSVDATATGASPAVQETQETTTKQMNQIQPVKMEDDVRSTTSSTNTPRSAARKSAAAGFSFRLEQRAEKRKEFFQNLEEKIKAKELEQTNLQEKSKESQEAEIKLLRKSLTFKATPMPSFYKEQPPKVELKKIPPTRARSPKLGRRKPASSATAASVDGSVSCESPRSTLNSGKVNEVLENKPRVAARKPVQRSVSKTASQVSTTAKAETKPVVTKPKTLNSKSKVSRAKAEQVLENPVDIAPSEPHAPEVLVVEHDVEEARGPDLAAPLVASNEVPIIRK >Et_6A_046078.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:22175637:22175822:-1 gene:Et_6A_046078 transcript:Et_6A_046078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSHAFHERCIFQWVRKNRDCPLCRRTLPTPEQQEYDYHDDDRLQMTMPVPREMLEIEVL >Et_1A_007883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39106807:39111417:-1 gene:Et_1A_007883 transcript:Et_1A_007883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGEDVRKVSRQDIQLVQNLIERCLQLYMNQKEVVETLSFQAKIEPSFTELVWQKLEEENREFFKAYYVRLMLKNQILAFNKLLEDQYQIMTKEHSSGVPSAPPPAPNGSNSTTLNQNVCFLPDTTPSTAMQDSLLGNGSSSGIVNGASSSDQFIYAGKVVHGLPSGMDASASLLAAHNSTVGQFNGHNGTTIKTESGYSSNSDFGFGNENVFLEQSVGDVSGGSFSSPELNGQPLSEPVLDMDSSSFGFLSQIPRNFSFSDLTEDFSQSAEILENYGRSPFIPSETNNFQEPIGGEHTEVGNRRLDTISEGVNFDDFGRSAQYSAEKKNLVLGNVLANDLGRRDAEEVPGLPRHSSLDHNNPEVVVNLDNLELPDFGLGSTHPPGHLLSLVHTPWRRPRADGTQLPVTLGTVGHQPTLEVVPLDTTCAMSKRTNQQHLYMSMTAQALARVALQFS >Et_3A_024938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25525718:25528932:1 gene:Et_3A_024938 transcript:Et_3A_024938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPRRACARAYALHLLLLVLLPCCGLLRRAAAQPQQPAADEATLLLQIKRAWGDPPVLAAWIASAAGALCGWPYVRCDEATGRVASLSLAGTNVTGPFPGDAVGNLTGLTHLDVSNNNINDTFPTSLYRCGSLQYLNLSQNYFGGALPADIGGGLAASLTTLDLNGNGFNGTIPASLSRLRNLQFLSLAMNPFDAGNTLPASFRNLTKLRTLVASVTNLVGDFPSVVQEMPELEFLYLSNNSLTGSIPAGVWRLKKLQIFFAFSNSLSGNLAVDVFAAASLRELDLSDNKLSGTIPEAFGGLQNLTLLYLFGNNFSGEIPASIALSPSLGVLRLSDNWRLNGTLPPDLGKHSPNLAYFEADNNELTGAIPEGLCNGGQLVSFTASGNRLSGSIPAGLANCATLKRLLLENNQLSGQVPEALWTSTQLVKVLLGNNQLSGSLPPTLVSTLGLISIENNQFGGSVPAAAAALQVFTAGNNQFSGEIPASLGDGMPLLQTLNLRQRLAERDDWKITPFQALDFGEAAILRGLTEDNLVGRGGSGRVYRVAYTNRRNGSAGVVAVKKIRSAGALDDKLEREFEAEASILGNVRHNNIVRLLCCLSGAEAKLLVYEYTDNGSLDKWLHGDVLGGGHAMARVRSARRAQLDWPTRIRVAVGAAQGLCYMHHECTPPIVHRDVKTSNILLDAEFRAKVADFGLARMLLRAGAPETMSAVAGSIGYMAPECAYSKKVNEKVDVYSFGVVLLELTTGKEANDGGVHGCLADWARHHYQSGGSITDATDKSIGYAGYSDEIEVVFRLGVMCTSSSPSSRPTMKDVLQILIKCSEQTEQRCKTGHSTTPEYEAAPFLVPQRGSRRKQLSNGSAIGMDEKSDFDSIV >Et_7B_053784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12132188:12133553:-1 gene:Et_7B_053784 transcript:Et_7B_053784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALNRGLRSGIRLLATGAEASKPGKHSFPSRGFHATGVRRMGGHGHDEPYYVHAKHMYNLHRMKHQGLKVTLSVLGAVSIGVGVPVYAVVFQQKKTASG >Et_7A_050802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11178534:11180177:1 gene:Et_7A_050802 transcript:Et_7A_050802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHSRLRLHRGEDDAGGSWRTARGGRSAGVGLGLRVGAGRGCSWGEGGCEEKILSTIKALFLKTWFIVNCVRAYALLMQELDWPDARIADYFDVIARTSTGALITATLAAPDENKRPLFAAKDITQFYLENGPKIFPQRKLGFLTPVAKLVGLLMGPKYDGSFLHDKIKTLTRDVTVADTVTNVVVPAFDVRRMQPVIFTTFEAKAEPLKNAHLSDICISTAAAPTYFPAHHFETRGPGGGGASRREFHLVDGGVAANNPTMVAMSMLTKEVINKNLDFHTDNATEYTRYLIVSVGTGSAKQSEKYTAPQCAKWGLLRWLYDGDFNPLIDILSHASSDMVDIHASVLFKALRCEKNYIRIQDDSLTGNTSLVDVATKENMEALIEIGKELLTKPVARVNIDTGVQARRWRGHQRGGARALRRDAIQRTQATPEHPQLLLS >Et_3B_029523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25780229:25780520:1 gene:Et_3B_029523 transcript:Et_3B_029523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKQSSALVLKVHVWRLNGRGGPGRGLYSDLGFTAKELSQLPQEVKFIHSVTKLHELAHLAKRTMHIAVRMGQTSDCVFDLMNSNCARVPS >Et_1A_008979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23181311:23187241:-1 gene:Et_1A_008979 transcript:Et_1A_008979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVVVELNNGRMTATITTWGATITSLLVPDAHGPHLSRFSVSGNLADVVLGFDTPEPYMKGISPYFGCIVGRVANRIKDGKFNVNGVDYSLAINNGPNSLHGGLKGFDKVVWDIVDSKLGQCPSITFQYHSKDGEEGYPGDVTVRATYSLPEATTLRLDMEAIPHNKATPISLAQHTYWNLAGHDSGDILNHSIQIWGEHITPVDENTIPTGEIVPVKGTPFDFTTEHKIGERINDVPAGFDHNYVLDCGDEKNGLKHAAKLRDPSGSRTLDLWTDAPGMQFYTANYVDGITGKGGAVYEQHAGVCLETQGFPNAINQPNFPSVLVQPGEKYKHTMYHHHFGDRK >Et_2A_016286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2291566:2293554:-1 gene:Et_2A_016286 transcript:Et_2A_016286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTLFPVEAGKDEEGAALVPQENGKMGTPCDKDCADPVAQVGLWSSSLLMKIIRTRGVRSVMVGLIILALLIGARRKIDVDAVSKTACIFIFIRPCMTVRHKYTDDTCSQLPCMAPPQSSFLLGNMRSTAGQRPPHHSNTTAPLAPIPFTCGNPTCPAPPAPAPPPRSPPSGDPAPPTCPDYFRYIHDDLRPWRGAGITRETLERARPHAFFRLVVVSGRAYVETYRRAFQTRDVFTQWGVLQMLRRYAGRVPDVDVMFACDDPGQVRAADFAAAPADAPPVFRYSKDNSTLDIVFPDWSFWGWPEVNIGPWTKMLEEVGRENERVRWPERQPYAFWKGAPSRYPIRHQLMTCNVSDDHEWNARLFGQDWPSVRRNGFKDSSIPKQCLYRYKIYMEGNAWSVSEKYILACDSPVLFVTTIFQDILSRALVPGKHYLPIDRDHICESIKAAVDWGNAHPAQAQLVGEQGSRFVREEMSMDYVYDYMLHLLTEYAKLLRYKPTVPENAVEICTESMACPAKGLHRDCMTESMERHVADFQPCTLPPPFTAEEAKEITAREAEVLRNMEKMMSS >Et_5A_040411.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20365849:20368995:-1 gene:Et_5A_040411 transcript:Et_5A_040411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSVVKSELYPVWAVSLLALFGCIDPVTSFNGLEYKSPLSKMLFQLFLYFGYVLLMSISTISSDVGNLAICMLSAITLLKGFHRSMAHVLPRRMQDKLKLLDDDAEKYAFADFRAHFKQAAGPVKEQNLRNLIVDFPPVIKFSGYLTYQDDCVTMEDVKLCIKLMGELQPFHDVCFALSLSHTLQKHLLGMELKYGFSTERRGRVDWAANYRDIIDYKWAFTVIETELSFLYDLFFTGNAFLHYHQAKTASFWALASFMGICFLVAGVAIPGTMNNRSTTRDHFVGIIIVDTTTADRIITLVILVSVALLQLVQLIRCWTSNWARVAVACEYTRKHRNWRRETWTKIIIFDEKKKFSPTRRWLRCMRFKAFVVTTRSRINWFDKYLWQKKLGQYSFIPEGTSRRWCKSLSCIKTIRDVSIRWKRRNMNVCDYYKKCTELVGMQYISQVLWDFFGSDVNREADVRLDDDVKGSITDFLRQTKGDTTGNDWASFLVENGADRRFLPYTPVERGARMPDAGRYALCVVHWQAATWYCELAEQEQEKRTRCSGTAAAVAAEATSCFERAAACFPKQKAAGSGGEREKNRRVAIALSKYCAYLMVSAAELLPGPSLATKFECREVAKTIKRVLYTLKLENEDALEQGKDLFESFKRYLEKQNDDDCFDYTEVYFLEGLRLGQRLHGTGDPWKVLAKFWVQALIYAAPYGEVEAHKQHLSQGGELITHVWAMLYHIGIREWQPGEPKYWDEYSSEDGGHTKVEEEEEISEVIPRRKRVHHVEGRPAPRPSMMGGGQAQEDDGAVMGSQIRRCWRDDNSGASPSAGTWPQPTCNPPSAGEAGLGTQHLFPTAQQQQAFAAGHVGGAPRLLPPPGFAPSSYMPQYRGAQASQVGGAPPPRLPPPGFAPDFYTAHHRGAWANVGCAPPPGFAPGLCTPQYEGARAGHISGVPPRLPPSPMTDGGRHTPHPPMTNGGQNKGQVNSEDGRQTEDGGATYNEFTGPVEDITLTLKQPGSSSHPVQTVNQRIPDLNELPPDNKLYLHDHDLTKDWIKISL >Et_3B_030551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4964962:4966947:1 gene:Et_3B_030551 transcript:Et_3B_030551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDEEFKLVKIQNHVLRVNIHCDGCKHKVKKLLQKIEGVYSVAIDVDNHKVSVTGDGLKAFKSQHNNKLQFSELSSEDDDLYDDEEDEFDDDYEEELRYLGDKMSQLGFHSNSHQNKNGNNATVNNNHNNANGKKANVGGGGANHHQNQKNANVINMATANAKMGSGVQNQKNANVMNMAAANAKMDNGAQRNTGALNSMLGQSHGLGAGNAAAGFQGYTGFNHPSYAAAGYGGLQHHHLPQQQNSLMASMQGYHHHPAATAAMMNNLRGLNSNMMMMHQPQQSQMMYHSPPQISPYTGYYNPYNYYYHPGSGGYPPANNGDVETMFSDENTKGCVVM >Et_1A_007692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37332958:37335867:1 gene:Et_1A_007692 transcript:Et_1A_007692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVETKKSKGGGCSALRNWMGEPSDQDEEEKTLELSLGLPGGGGQTGWRKDKGKHSAADNSMLSLGYSTAVFSPHSQGKAKGSPAATGSALASTNNGSQTRSATTPVIGWPPVRTFRRNLAASSKVSVEQQNGKKSAKAEETKRAPFVKINMDGIPIGRKIDLNALDSYEKLSLAVDKLFRGLLAAQKDPLDARTKECPQEEVAISGLLDGTGEYTLVYEDYEGDRVLVGDVPWGMFVSSVKRLRVLKTSDLSSSVSISLSNANFWFSLQLTASGRKRAVAEC >Et_5A_041216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19646456:19648364:1 gene:Et_5A_041216 transcript:Et_5A_041216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERADENLLPAVYKEVSAAFSAGPTDLGYLTFLMNFVKSIASPLAGILALHYDRPTVLAIGTVFWALSTGAVGVSQHFRQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGAVGGIGGSILATIMAGKDYWGFPGWRVAFMMVALVSLLIGILVYLYATDPRRIPDNHLLDEDDYERLHLSSKDVLPPPSIWRDSWVATRSVMKVKTFQIIVLQGIIGSLPWTAIVFFTMWFELIGFDNSSSAALNSLFAIGCASGAFLGGVIADRLAFMGIPFSWILLTVVPQSVDYWYAFAVTLFFMGITISWCATSANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGLITEKIYGYDAKTVNLANGSAEGAYALSRGLLTMMTVPFGVCVLFYSPLYLVFKRDRDNAKLASFKEQELI >Et_3A_026033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3666533:3672569:-1 gene:Et_3A_026033 transcript:Et_3A_026033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAELVRLLCLRAPLRPSSPQPRSRPPPRPRFFLGAHQRRAALSLRCRALDASRPAAVEEDGLEDEEESYFSVTSSGLSEVDYLGESTRGDLNVRRKHLEALVRHSPRGIFCTRTLNLRSISVIGYDMDYTLIHYNVKAWEGRAYDYGMENLKSMGFPVDDLEFDPDLVIRGLVMDKEKGNLVKADRFGYIKRAMHGTQMLSTRAVSEIYGRELVDLRNESRWEFLNTLFSVSEAVMFMQMVDKLDQGLVPTKLGPLDYKGLYKAVYRALFRAHVEGQLKSEIMAEPERFVEPDPELPLALLDQKEAGKKLLLITNSDYHYTNKMMNHAFNRFLPNDMGWRDLFDMVIVSARKPEFFQLSHPLYEIVTNDGLMCPCFKATSGGLYSGGSAQMVEKSLDIHGDEILYVGDHIFTDFDALVKSHAQKEELVTLIQQKEIVGDLFNQLRLALQRRTNSRPAQTLAATCMDDQELAESMQKLLIVMQRLDEKIGPMLESDGELFNKRWGWLSRAGLWDKSHLTRQIEKYADIYTSRVSNFLHYTPFMYFRSQEQVSTQIVCQFPQ >Et_1A_006821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27956481:27967539:1 gene:Et_1A_006821 transcript:Et_1A_006821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNTQLREMFQNPEFLRQLTSPETLQQLLSFQQTLLGQLGQHQPSHGGEGAGSGDGGESPPAAAASQATLHIRCANGSKFTVQADLGATVGAFKEVVAGSSDVPAPQQRLIYKGRILKDEQTLESYGVETDHTIHLVRGVAQPAASATPAAASPASTTPSSGPAGMGSLFPGLGAPRSGRSSGIFGPGFPELEQAEQHLSQNPNLMREIMNMPAMQNLMNNPDLIRNMIMNNPQLREIMDRNPDLAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGAESNTASNPFAALLGNQGSNQPSQPATNAPTPGSESTTEVPAPNTNPLPNPWSTNAAGNAQGATRSGPAGNARTGATTGLGGLGSPDLSSLLGGLAGNAGTGAAAGGLGGLGSPDLGSLLGGSPDASLLSQMLQNPAMMQMMQSIMSDPQTMNQLLNFNPNTRNLMESNTQLREMFQNPEFLRQLTSPETLQQLLSFQQTLLGQLGQQQPSQGGNQGGNATGLQGNPSLDTLMSMLSGLGSGGGIGVPNTSNVPPEELYATQLTQLQEMGFFDTAENIRALVATAGNFGLMYIHIVSEYYRIEYSL >Et_10A_001221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22258910:22261563:-1 gene:Et_10A_001221 transcript:Et_10A_001221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIKRRPFSADTDKTSEKTMETTISDSTTEPLLESTPHEDKPKIYEAASRSDSWDWTRRECLRWLHLLSNFIAQSTRKIVNVITDFGSLLARLFGRSSATQSSQNGQTVAINLSPIQEERLKLLRQRLDVPYDCDCFKHKDALKELWRAGGFMSLENLIYFARNYPDSFHRLLHKADGKRAEWEYPFAAAGVNISYMLVQMLDLKSGNMSTKAALHFVRLLDDDDAAFDNLFCIAFQMLDAQWLERRASYMEFNEVLKSTRAQLEQELTIEGMSSVQNMPSFKMLKR >Et_1B_013391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:849278:850077:-1 gene:Et_1B_013391 transcript:Et_1B_013391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSASPALLADSLMSMWLDRETGAKCYMLPARALHIAWGDAPDIWGWIYLAKTKSRPICLSASAMLDTNDFCFVTSRVVLTIAVCDSSVEAAVLRNVNWLETRGNIQSKMLSQNSTYAAYMVFKIAPGKSYGLNSPAQEASVTIGEAKSTRQVCVGGRRITGSDPQVPEPLASHVQLPNKRADGWMELEMGQFCSEGGDDGEVSICLMEVRGGRLKKGLIVQGIEIRAKN >Et_4A_033145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19002598:19003920:1 gene:Et_4A_033145 transcript:Et_4A_033145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPDCAVGPDAAAKPDSLPMVSAPGGAAHAKDASSSGYSADFPRKFREDDADGEAPESLRHGAHEDGHAQARRDLPAAGTFKPDDTLNHHQFALGTLPNFAQRIGKKVHELHRLYRVQKQLMSGLTTGPSCRRQMRRKHTRRALDLHLPVDEYIVVGADGAAPPSREDDLELTLAVGGDGGRRKRRDGNATATPLASSDCSGGAGSPTSSSSTDTTGSPYQQAMAFRLQEGTVMRQPQQQAPWLVQCLSLRMA >Et_2A_017295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32974369:32975939:-1 gene:Et_2A_017295 transcript:Et_2A_017295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSTASCGAAGKDDECRDEPAALRLKMVAVASILVAGAAGVAIPLVGRWRRGAASSSSSSSSSGGAMVLAKAFAAGVILATGFVHMLHDAGEALTDPCLPASPWRHFPFPGFIAMLAALLTLVADVVATSQFEHKDQADAGASGSDREETAGLLENGAHAGFTSTRSIDGEAMYASGIVGMRAHSQLQDHASCHETGEAYDVHGHGHGHGHGSDEEPSGARHTAVAQILEFGIVSHSVIIGLSLGVSQSPCTIKPLVAALSFHQFFEGFALGGCISQARFRSFSALMMAFFFAITTPAGITIGACIASFYNPNSPRALVVEGILDSISAGILIYMALVDLIAADFLNKRMSYNPRLQVGSYVALFLGAMAMSSLAIWA >Et_3A_023635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10187432:10188190:1 gene:Et_3A_023635 transcript:Et_3A_023635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPAPAPAAAGRRIAVAVDEGEESMHALSWCLANVVAPDAGDTVVLLHARRPRPVYAAMDSAGFMLTSDVMASVERHANAVSAAAVDKAKHICAEYPHVKVETLVESGDPRDVICEATEKIGADLLVMGSHGYGFIQRAFLGSVSNHCAQNCKCPVLIVKRPKQ >Et_10A_001056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20743095:20745438:-1 gene:Et_10A_001056 transcript:Et_10A_001056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQGSIQSGRTPGIYLAARSFHDKLNHRIAIKDARLVRFDRNLIDVVALHEILQQCAKRRSLLVGKGCHSLAIHLGLVTDTITSNILINFYTKCGRNDYARHVFDAMPVRSIVSWNTMISGYTRNGDDVQALKLFSMMHQEGARMSEFTLSSTLCACAAKYAITECTQLHTIAVKLALDSNSFVGTAVLDVYAKCNMIKDARMVFEKMLEKTAVTWSSLFAGLVQNGLPDEALRLLQSSQREGMELTEFTLSALLSTCASSTLMVEGKQLHAIIIKCGFHGNYFVASSLVDVYSKCGQIEKAYAVFSDMEHKNVVLWNAMIASFTRHGHSWEVMILFEKMHQVGIFPNELTYLSMLSVCSHAGFVEEARRYFSLLMSDQTVEPNVLHYSCMVDVLGRSGNIDEAWELIQQMPFEPTASMWGSLLGSCRNYRHTGLARLAAEQLFKLEPENGGNHVLLSDVYAGSESWENAALTRKYLKDSGAKTDMGTSWV >Et_2A_016957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29876208:29881267:-1 gene:Et_2A_016957 transcript:Et_2A_016957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHFAPQNFMMLKSSRDDDLTRSSKRNERTDDLLRISMKNKRTKSERCNEGETSELLISGLLLLCLLDIFLTVLSEYSELCYIVTCIVTRIKGSGANSMKKLKQSCRKMLSHLFYMMKMLSACSGITVERVGCVTRFLTTAKLVKALNGTNEDHDDLKVGATHYSSVFFLFVSDNCDHCTIMSCFIIVEVRHEDNVFRGFLGEHDLDHNVAVVNVKNIPDLHAVLFKNMWKKLLPHSKVVSLGRDISGKLMVTSGTQIGDNSIGSEYDDHSSRSECDDDHSSRSESNEKLSTCKISEDYEGGPLFDDDGDFVGMNLSVCTEGTLFLPAIRVLERLTYCRSLREIEFPTQNGLSLDLYGDLESLSYPERQKSMSNGESMNVNIVTFHELFCCTTYNYYTLYLQPDGMILVNNFEDHFGDMWGTGVWSELSETVTNNIKENTVALASFIGDFTALWCITIKVLLHNGQIVEGTLEHCSLHYNVALVSVKDSCVPQPAKVEHRWCDSCELLAVGCNFTSRRLMGTRGRQFPMVTAHDCKFLEYSTCRITTAGIGGPLVDFQGNFVGMNFYDEEVGGTPFLSWSEILDVLGYFKTKGTVAEVADPSRLLDRTIEGDCSDIGGGGDQAWNHGGEGRDAGGSGRGKMRRWRCWARPRGGPMLRGTATWAWRLGAVDFSLCVAVRIRSSRGLVAVPISAEQQGTKLKGKWRI >Et_1A_004702.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:32232567:32233061:-1 gene:Et_1A_004702 transcript:Et_1A_004702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLLQIQKLVKISVQHPLRLLQHPVLLSIPIQFQSSGKKISCKVAIVETDVRRSNRLKKKNEGFKTNSCSRWDCVACKNEPPVLTPSLIKDLGTSFAQVAPEGINEQALQKKRKSKTAIGRHDNEASTSSKPEDQYERKSKAVAGKKNNPSDVNGSKKSKK >Et_2A_015404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1218113:1218935:-1 gene:Et_2A_015404 transcript:Et_2A_015404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTEEAAPAVAVEAPAPEKVVEVEEAQVEEAPKPEEGEAKVEEAPPKPEEGEEKKKADEGEQGKKAEKKPRKRKPKSAGPHHPPYFEMIKEAILAQDGKSGSSPYAIAKHMEEKHRDVLPANYRKVLAVQLRNFAAKGRLVKVKASFKLAAAEEKKAAAAGAKKKAKAAAATATAPAKRKRTARPPAKKPVVAAASAAPKEAKKARAKRARKAAPAPAQPKPKEAKPVRAAVTKKANKASA >Et_4B_036405.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2285810:2286229:-1 gene:Et_4B_036405 transcript:Et_4B_036405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSSSSNNGKKSKPYHECPICKNGFTSPQALGGHMNLHNRGRDRSGRRSGRDTPAAGTASPAYPPAQATGGASFGTYYAAPSDAMNQRELNLFGAAATQDHDLRLGLGVPGEWAPPAGEAERSLDLELRLGRHPGWQ >Et_9A_063064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9569509:9570437:-1 gene:Et_9A_063064 transcript:Et_9A_063064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIASSDKERSSGRFGRNNTEITETGPEQLQNSPFLRGNHLVTSNTSQQVKSSDPRVVLAETTQKYLKRVPNSPRTAPFDESAAKLTFLRFFAREPSSYIKHIATSDKKRSPGRFGRNNTEITETGPEQL >Et_9A_061790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16459750:16466883:-1 gene:Et_9A_061790 transcript:Et_9A_061790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRTRSFLPLIRGGLHRRSLTTIPIPPDSIPSTSFLWPPNSQPPAADPAVRWRVTWSPTAPPLSFSTATHLRAAVSSLATSLLALLGPDPDPEPTLRAHSFPTLLAVSPLASLELLSLLRPRPRLGLAVFCFRRGLSPAPTLDEFSLAITLASRAREPDAAAALFTDATSVHSPDQALYNALMAAYMHSGFMDSCLDTFRALERDPRCGPPNVDSYNILIALFGRSLLVDQMETMLQSLDASGHPRTVGTYNAVIAGYLTAWMWDKMEEAFCKMESGPIAPDATTHLLMLRGYAHAGMIYKMESAYERACKHAGKVDTVHMRAMICAYCKSEHVDRIQKIEELLQRLGPGDYRPWLNVLLIRVYAQEGLVDGMEQRIAEALDRNIIVTTAKVMRSIISSYFKCDAVDKLAHFVRQAEESGWKLCRSLYHCKMVMYGKHHRLEEMHGVLDEMEYFRFDRTKKTFWIMHNAYVSCGRKDEANTILAMMWKHGFGLPRGVYVVDGPALGNVALPAVAELQ >Et_1B_012510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32631680:32634540:-1 gene:Et_1B_012510 transcript:Et_1B_012510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVGGGGAAGAGAGGNGGGGGSGPVRVPSWKERENNRNRERRRRAIAAKIFGGLRAFGNYRLPKHCDNNEVLKALCKEAGWTVEPDGTTYRKVSKTASHPLFFFLGDALFVSFGFSCRSFGLQPPPFAGDHTGIFRSAMASACPSYPLTPNPGSSSSHITLGGLTTSNNYYFPGAAGGSGAGGSRFIPWLKSMTASGSGGEPSFPGYGANNFSAPVTPPDSSSSPPPSPRLKMPRWGDYPAGAGAGSNAALPPWVTGASSSRYAAALNQTSPPSPRGEFRAPADPATWLPGVHISSAGGKSPADPATWLPGSPAEPATWLPGLRLSSSAGGRSPPFSFTPPPPPPFGAYGRAGASRKRSLGQSSRPSSPLGAHGGGVALSRLANAAKADEPAPAESSPVSAWEGEVIKECPEEELELTLGSAKTRADRA >Et_9A_061891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17487387:17490210:-1 gene:Et_9A_061891 transcript:Et_9A_061891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPPNAGGDLFAANLTGALLALASSAFIGVSFIVKKKGLRRAGAAGSRAGVGGYGYLLEPLWWVGMITMLIGEIANFVAYMFAPAVLVTPLGALSIIVSAVLAHFTLNEKLQRMGVLGCVLCIVGSTVIILHAPEEEAPSSVTQIWHLATQPAFLCYAASALAVSLILMLHCAPRYGQTNIVVYVGICSVIGSLTVMSIKAVGIAIKLTIEGLNQAGYFQTWLFATVSVTCIIIQLVYLNKALDTFNTAVVSPIYYAMFTSLTILASAIMFKDWSGQSVSSIVSEICGFLTVLTGTLVLHSTREHDPNLASDLYTPLPPIYWHIQGNGETIGKQKEDDLLSGDFITVVRQDYFV >Et_8B_060467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17123656:17125698:-1 gene:Et_8B_060467 transcript:Et_8B_060467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVMEAVTSMLDPARRKSVITKLCILLFLSSSCSVDIGNLVDEAIVRGMVKNIELTSGVERLPDGTTDEEMVKHAEPLLKAASSLEMFEVEVYEHRCYDEEEKKEIYGERTNAQWEVEVPGPAHYEHSLRELKLCGFNAKSICYFNNINIKEQ >Et_2B_022484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23436108:23437463:-1 gene:Et_2B_022484 transcript:Et_2B_022484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAKARGTFPAAMKETSPPPSVAAAKEAVKEDVWEVRPGGMLVQKRSPDSDAPAAPVPNIRVKVKFNGVYHEIFVSAQASFGNIMHLAAFPALSCSLSLSERILSTERGLLLLMDAGELKKLLSAKTGLHPEDQKIVYKDKERDSKAFLDMAGVKDRSKMVMVEDPAAQAKRLLEQRRTDKAERAAKSISRISLDVDKLATKVSALETIVGKGGKVVDADVVTLTEALMNELVKLDSIAAEGEVKVQRRMQEKRVQKYVETLDAIRAKNAAAPKANGNGNANANGHAKARAQHLPPRPPPVSQRRNFQQQQPAPPAAAAAPKPTASWEFDLLSSVPSTSSATVTTTMAAATTTSPAAPIPRFDWELF >Et_8B_059092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13850637:13854958:-1 gene:Et_8B_059092 transcript:Et_8B_059092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGIHPYHQQWPPAPAPPPAPGVVPPPPPVPGAPDAAAARPGAADEVRTIFITGLPVDVKERELHNLLRWLPGFEASQINFKGDQPMGFALFSSAHHAVAAKTALQDLVFDAETKAALHTEMAKKNLFVKRGVGTDANAVDQSKRLRTGGDYTHSPYAPPPYHPPPPAVSMWGTASYITAPPPYNPYAAYPVPPVAMASPSPVPGPTAYAPVQNTKDNPPCNTLFIGNLGETVVEEELRSLFSVQPGYKQMKVLRQERNTVCFIEFEDVGTATAVHHNLQGAVIPSSGRDGEIPKCCCSSFGKGGLEMVA >Et_3B_029924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29118504:29120695:1 gene:Et_3B_029924 transcript:Et_3B_029924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSAKSLLFCLLTCFLHQLQFELPHVAATTSLMKTEGGRGKTLNFTLYQQETINKTGYIVVDGVAGAGVSQTTTPFGTVYVFRDNLTVHADTGSRVAGVAEGTSITTSLDGLQSMSLAKITVDHRGHRGSVSVLGGTYNTKPSDYPIVGGTGDFAYALGYLRSSPVNLLGRTVTYKMELHLYWPPYAHEAPVVAIQSTAMATKFVLLCLITCFAYQLLQSQIAPVSAATTLADKSEIFTVYRHDHLNETGYVVVTGDEGAPFSDTTRPFGTIYVFRDPLTVRNSSSSAQAGVIEGTSSTTSFDGLRNLQAAKITLRHRGYRGSLSVLGGTHNTRPSVYPIVGGTGDFLYAQGWVRQTPLDADGPEVLYRLEIHVYWPPYVKFAPVPEDEW >Et_7B_053405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15879627:15880075:-1 gene:Et_7B_053405 transcript:Et_7B_053405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GKFFAERNTGFAERRRANHGFPVVNECLLSKCIFKLESGDTDICWKLLRNKHLGDEGLHQVKDIFYMGLSYRVGNGKKKQDLARCWEGQLSFQHQIWEYIQDLSTTIGHCGPGARGGRNPINFQKTVWTIEWEE >Et_2B_020992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25504616:25507661:-1 gene:Et_2B_020992 transcript:Et_2B_020992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRPGWVGGLVEESFFVACEAHQNCKKNEKNIFCLSCCASICPHCAPAHRHHPLIQVRRYVYHDVVRLDDLERLIDCSFVQPYTINGAKVIFLKQRPQSRPFKGSGNVCLTCDRILQEPFHFCCLSCKVDHVMMQGGDLSNILYAPDLGCGFPRFENLRVDGFDDDSAAAGQVTPNSILEAPLHHGNASGGSSTGGSRNARRGGDDVPRKKKSGGGGGFFPQLVLSLGNRRKGAPHRAPLA >Et_4B_036011.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13324602:13324931:-1 gene:Et_4B_036011 transcript:Et_4B_036011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNKNTNTCTSSLLLLGFVLFSLVLASQGRPLPYSSDTTTMHGRILLPHVDGSSKPRGMVEGAVSPSSEIHDDKGNMVNIGESRPTPTGHSPGIGHAFVNKNGPGRKL >Et_10A_000878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18550225:18554256:-1 gene:Et_10A_000878 transcript:Et_10A_000878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKEGAGRGRGPRRNRSGRGATGAPIRDHSGGRSWEGRHPVCGVYRIIPPNAGLPKPPLPPQFSLPFRISSCLLDLATRALAGTHVQDGRVRGLQGGRPDELGAPGLDLAHDLRARLLRHQDDACRRVSLRLRPLRCHVSPSPRQSDCMIVAGTLTNKDGAHPQQVGKIFFLVLSAQTDLRFRFGWARKRCCQDCLDNDDDDIVSAARRPASLEHMTVAELRGVDPWDSENFRCFSSIA >Et_5B_045568.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:4644503:4644829:1 gene:Et_5B_045568 transcript:Et_5B_045568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKNSKILFLAALLLACVATSSAARHLEEAAPEEEEHPAVPEFPVPEHELPPLPKVELPPKPELPPFPEVHLPPKPELPPFPKVELPPKPEIPAIPEFHFPEPEAKP >Et_10B_002713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:60803:64783:-1 gene:Et_10B_002713 transcript:Et_10B_002713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTYGDGRGPPLKHQPPPPPQRPSPRLKPAIEVEDFINLLHGSDPVRVELTRLENELQYKEKELGDAQAEIKALRLSDRAREKAVQDNLEAKKINDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKSKEAALLEAERTVQIALAKASLVDDLQNKNQELMKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEEMKTLDRELARAKVSANRVAVVVANEWKDGNDKVMPVKQWLEERRILQGEMQQLRDKLAIAERAAKSEAQLKDKFQLRLKVLEEGLRMSTSRTNVSAARRQSVGGVDSLSKTNGFLSKRPSFQMRSSASTTTTLVNHAKGASKSFDGGCRSLERYKGLVNGNSMNISTDSSDDKESNNSDEKPSEFPATELEDTVSGALYDTLQKEVISLRKACHEKDQSLKDKDDAIEMLAKKVDTLTKAMESDAKKTRREIAAMEKELAAVRLEKEQDNRAKRFGSSSGSANSSQLPPGRTAEGKCEPTSRGDQQLVCNEASKWKGVLLLDEEVFMIMVEPETALC >Et_10B_002356.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:10966747:10967442:-1 gene:Et_10B_002356 transcript:Et_10B_002356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWPPTGMAGDAGGGSDEARYPLNAESYRLLCKIGNGASAVVYKAVCLPLNSAVVAIKAIDLERSRANLDEVWREAKAMALLSHSNVLRAHCSFTVGSHLWVVMPFMGAGSLHSILSHGFPDGLPEPCIAVVLKDTLLALCYLHEQGRIHRDIKAGNILVDSDGTVKLADFGVSASIYETTQSTAASFSGPIHAPSSALVSCYFNDLAGTPYWMAPEVIHSHVGYGMKAD >Et_8B_060036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5223590:5225461:-1 gene:Et_8B_060036 transcript:Et_8B_060036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEMTATEVAELLDLKPHPEGGYYAETFRDGSVSLTTSQLPPQYKVDRAVSTAIYFLLPAGSVSRLHRIPCAETWHFYKGEPLTVFELHDDGHIDLTVIGPHLEAGQRPQYTVPPNVWFGSFPTLDLESFASDGSFLVKSRKRDPELHYSLVGCTCAPGFQYEDFEMATFEDVRSIAPKAEPFLKFLIPSTE >Et_5B_045319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18148677:18156153:1 gene:Et_5B_045319 transcript:Et_5B_045319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFACPPAALAYNAIMDALVDAAYHDQAHKVYVRMLAAGVAPDARTHTIRLKSFCLTGRPHVALRLLRTLPERGCDAKATAYATVVRGLYACGYGHDARHLFDEMLDGHLFPDVVTFNKVLHALCQKGNIMESGALLAKVLKRGMSANSFTYNIWIRGLCECGRLAEAVALVEQMGAYIVPDVVTYNTLIRGLCKESKVQDAAQYLRRMMNKGCMPDDFTYNTIIDGYCKMGMVLEATDLLKDAVFKGFVPDRVTYCSLINGLCAEGDVERALELFNEAQAKELKPDLVVYNSLIKGLCRQGLILHALQVMNEMAEDGCHPDIWTYNIVINGLCKMGNISDATVVMNDAIVKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWTYGITPDAITYNSVLNGLCKAGKAKEVNETFEEMILRGCQPNAITYNILIENFCKVNKLEEAAGVLVRMSQEGLVPDAVSFNTLIHGFCRNGDLDEAYLLFQKLDEKGYSATADTFNILIAACSSKLKMQMAEKIFDEMVSKGYTPDSYTYRVLIDGSCKAANVDRVYMHLVEMIDKGFVPSTTTFGRVLNSLAANHHISEAVGIIHIMVRIGVVPEVVDTIFSADKKEIAAPKLLVEDLMKKGHISYSTYEVLHEGNYPPIFEMIVKASCEEPGVNKRQPEFHNDLEVMSSEEHELLMCPLKQQDTSHNLLQIKRERALRLDEMSVPDLPDGQELSPTSMYESIMSSSTTVASPLEMDKNVTDEGIGHTVQDTLGVTSAVIKRRCRNIELHRSRPRDRQLIQDRIKELRELLPNASKIHNTLDGEETHDEIKKQLENFPLRAEELDRPGHLLIEMLCEDYELFLDMAHVLKGLEVSILKGVLENRSDKLWARFVIEVRP >Et_9B_064387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14677127:14684695:-1 gene:Et_9B_064387 transcript:Et_9B_064387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAATASGLRFSPFSIPRPYFLRASPSHILLAATASFPRPRRCGCGAVVRCAKRTGKRRYPSEKKLLDRRHKELLRQAAPEEGSAERESGYWRLSKLAVPVSEDPGKDFTGVSPPLLQAIAKAIKFPVASMLPEEAFSVIRKSFDARKVLKEPQFVYTVDMDVKKLLDMEPRTWDFIARLEPKLGVVEYMPDEKLATDLVSILSANKKGSDDELGIKRIINNGSIHSEPKKPRVAVIGSGPSGLFASLVLGELGAEVTLLERGQPVEQRGRDIGALAVRRILQSESNFCFGEGGAGTWSDGKLVTRIGKNTDGVQAVMKTLVQFGAPPNILVDGKPHLGTDKLVPLLRNFRHHLSELGVTIRFNARVDDLIVEDSRVKGIVVSNSELPPGSVNQKLSFDAVVLAVGHSARDTYSMLCQHSVDLSPKSFAVGLRIEHPQELINSIQYSELAAEVQKGRGRIPVADYKIAKSVGEGDAENAVDVTEQSRSCYSFCMCPGGQVVLTSTNPSELCINGMSFSRRSSKWANSALVVTVSSHDFEPFQSHGTLAGVEFQREFERRAAVMGGGNFVVPAQCVTDFISNKLSVKTLPPSSYRLGVRPSKLHELFPPYITEALQQSIIMIDKEMPGFVSKEALLHGVETRTSSPVQISRDGETYESTSLRGLYPIGEGAGYAGGILSAAVDGMYCGFALAKQLSLLDGDIESFLGKAQKQTAFVKY >Et_3B_028772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1947319:1953729:-1 gene:Et_3B_028772 transcript:Et_3B_028772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVMGSGLFKSCVHSDLRDAFSKMSFGDKIGFLFIHAFDKRNLWHKMPVLIGLLYLNTRRTLLEKYNLLVVGSSHGALFDPKEFPYRTRDGKYNDPHNAEAGSQYTFFGRNMKPIDQEDELMRPDPFVVATKLLARKEYKDTGKQFNILAAAWIQFMVHDWIDHMEDTKQIEITAPKEVANECPLKSFKFLATKELPTNSDGIKTGHYNAKKLRTYVDGKLVIGDDGLLLHEKNGVTLSGDVRNNWVGVSILQALFVKEHNAVCDAIKEEHPNLSDEELYRYGLLGKKIKDTFGHIGGPALGGLVGLKKPNNHGVPYSLTEEFTSVYRMHPLIPSTLKLRDPTGQPDANNSPPYLEDIDIGELVGLKGEEQLSKIGFEKQTLSMGYQACGALELWNYPSFFRDLIPQNLDGTNRSDRIDLAALEVYRDRERSVPRYNEFRRRLFLIPIKSWEELTSDQDAIEAIRGIYGDDVEKLDLLVGLMAEKKIKGFAISETAFNIFIIMASRRLQADRFFTSNFNEKTYTKKGMQWVKTTEGLRDVINRHYPEMTAKWMKSSSAFSVWDADY >Et_9A_062336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2275079:2276351:1 gene:Et_9A_062336 transcript:Et_9A_062336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPKKPLSHDKSKELFSKRTSSMNSDNQLVDKIIDKCDGIPLAIIAIASLLVDRPLEEWQSVYDSIISGFEGDNTRTILLYSYYDLPSNLKPCLLYLSMYPEDILIEKYTLISRWIAEGFVQHQTEEHTSLFDVGERFFNELLNRSMIQPAENERAGIIDGCRVYDIVLDLIRDLSAKENFTKKKVGWHGLERKVRRLFIQCSTSEQSIPEGTIGMAEVMRSLHIINCSIDVLPLSSFQACRVVVATKGNIGDVQHLGKLLHLRYLELRNAYDYQKLPKEIGNLKSLQTLVIRYVTYRTEELTSTVSGLTQLMCLDLYHPFMKVPIDRLGNLVCLEELRLEVRLENDDFVVVLGKLIRLRVLRIMFRGSLNETSYKALIQSLNNLQKIRELRLELYDSPPNSPVSM >Et_1A_006748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27019361:27020507:1 gene:Et_1A_006748 transcript:Et_1A_006748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNPAQYAKLGKEEGEQSDAKDIRPGDLNQPVRVPEVFFSSLSPGVAEVRHVLPPDFEPPLDEAWTTGIFGCAEDPESCWTGLLCPCVLFGRNAEALRGVPWMRACTCHAICVEGGIALAILTAVFHVLSHLIGYHTLVKV >Et_8B_059371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17175857:17179489:-1 gene:Et_8B_059371 transcript:Et_8B_059371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETEIAVGSCSKPCGPLEEYFIPDYILKPDAEQVFDLSEEAPDKVLHRLYANLERLKIEGDILAVQIWRTLRLIVAGGDGTASWLLGVVSDLKLPHPPPIATVPLGTGNNLPFSFGWGKKNPCTDPEAVKSFLGLVKHAREMKIDSWHILLRMRAPKEGPCDPIAPLELPHSLHAFHRVSSSDSLNMEGYHTFRGGFWNYFSMGMDAEVSYGFHSERKRNPEKFKNQMTNQGTYAKVGLKQGWFCASLSHPSSRNIAHLAKVKIMKRAGGNWEELHIHHSIRSIVCLNLPSFSGGLNPWGTPGTWRTADRVFTPPYVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHGIRFEFHKGAADHTFMRVDGEPWKQPLPTDDDTVIVEISHLGQVTMLANEPCRSKSVNDPSSPSRAHGSHGDEEIKIEDEDEWEDGKRKFGAADTFKIPDEIDIAHLS >Et_7B_055174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7990592:7995608:1 gene:Et_7B_055174 transcript:Et_7B_055174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGGVGSQNLSGTPYLFSDARNKFTVIGCYTLGYIFDSDRTGYQSGCVSTCRNLSDVRDGSCSGIGCCQTAIPRGMDYFDAGFDIGFNTSQIWRFSRCSYAMLIEAASFNFSTAYISTTKLNDTSGGRVPAVFDWALRNGTVSCEVARRNESGNYACVSRNSECMDSRKGPGYVCNCSQGYEGNPYLPDGCKDINECNHSPCPSGGICHNTEGEYRCSCRTGRKFSKQSNTCDPDTGLIIGVTIGFVALVIFSFAGSMIFQKRKLNRVKHDYFSQHGGLLLFEKMKSERGISFTVFTEAELIKATDNYDKSRVIGKGGNGTVYKGIVKNNVPIAIKRCSLIGERQKKEFGQEMLILSYINHKNIVKLVGCCLEVEVPMLVYEFIPNEQYVTMVQGTCGYLDPEYMQTCQLTDKSDVYSFGVILLEVLTGQVPLKLDGPETQRSLSSNFLSAMKENNLDVLLASHIKGQESNELIRELAELAKQCLDMCGANRPSMKEVADELSRLRKLSLHPWVQVTDAESESLLGSASTTTGFEIDVTTTGYPIQEGEIMPMNPGSSYYA >Et_5A_041376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2190096:2192079:1 gene:Et_5A_041376 transcript:Et_5A_041376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPSDGDPGARLQAEFSRVELELELPPRAAAAAPFDLAAAVCSHGLFMMAPNRWDPAARVLVRPLRLASDCSASVLARVAAHPARPGSALLVSVQGAAALSPLDQDAILEQVRRMLRLSEEDERAVGEFQAMHAAAQEAGFGRIFRSPTLFEDMVKCILLCNCQLSFALLSLSTPLIWSRSLSMVNALCELQLELKRPSSTEAFHSRTPPIKERKRKRSKKQSVRVQLETRFVEDKSEDPRPAVDTSNDITYENVYGIPSVPSETGSTCDSLDPSELLEDCIGGFPTPEELANLDECFLAKRCNLGYRAKRILMLASSIVEGKVCLQKLEEIRKMYVPAAEEVSIVQCTYDRLNKELSAISGFGPFTRANVLMCMGFFHKIPADTETIRHLKQIHKRASTISSVHEELDKLYGKYAPFQFLAYWFELWGFYDKQFGKISDMDPFNYSLFTASNLKKANMG >Et_3B_029354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24329387:24332029:1 gene:Et_3B_029354 transcript:Et_3B_029354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QPNNPDPTSRSAAPPRAPAVPASPTPRSPPSTGGQANRIKPKRKPMQVVVNPTTTTLSAHAHPKHYSVSFLRSLPPCRVRFRSSHRPALRATAMAAQPQQLTITRPDDWHLHVRDGGVLEAVLPHSARHFGRAIIMPNLKPPVTTTARAVEYREEILKALPPGSSFEPLMTLYLTDNTSPEEIKLARKSGVVFAVKLYPAGATTNSQHGVTDIFGKCLPVLEEMARQEMPLLVHGEVTDPHVDTFDREKVFIDTILAPLVQKLPQLKIVMEHITTMDAVNFVESCEEGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPILKRETHRQAIVSAVTSGSRRYFLGTDSAPHDRRNKECSCGCAGIYSAPVALSLYTKVFEEAGALDKLEAFTSFNGPDFYGLPRNMSKIVLRKNAWKVPATYNHSSGAIVPMFAGNTLEWLPSDQDEE >Et_8A_056814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17148961:17152485:-1 gene:Et_8A_056814 transcript:Et_8A_056814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALVSRGGSFLRLLVPLLLDGAALLTDAARVALADVAASSPPAPTPAADAPFLPDVAAAPLAAIRIHTAQANRYQKQVLIGVILALVAVIMLMFSAIYAWSFLRKSHKALDSKDTRTSSEFLKEIPDFNATNRSMLLPISDKLNSLKMSKKEVIAMMDFSVLELATGKFNEKNILGKGGFGCVYRACLDRSSVAAVKKLNCCREEVGKEFENELDFLGKIRHPNVISVLGYCIHEDTRLLVYELMQNGSLETQLHGPSHGSALSWHIRLKIALDAARGLEHLHEHCCPMIIHRDIKSSNILLDSDFNAKSDVYAFGVVLLELLLGRKPVELVGQTHCQSLVSWAMPQITDRTKLPTIIDPVIRNTMDLRHLYQVAAVAVLCIQPEPSYRPLIADVLHSLIPLVPVELGGTLRVVDKSHLGG >Et_5B_044429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2468207:2471599:-1 gene:Et_5B_044429 transcript:Et_5B_044429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASTVDFGRKKPREVNWSGPLRPANIVRNKFPTYKNGSNGIVIKLADGPEMPSLKEVVAKETADLLDRRQRLSVRELTMKFEKGFNTATLLSNEVKSRHAALLERDILLKNLKNVLESLRGRVGGKNKDEIDESLSMVDILAVQLSKREDELLQQKAEVTKIAASLKLASEDAKRIVDEERANARSEIEGAKAAAQRVEVALKEHENISQRIGKQDMEELKETVQEARRVKMLHCPSKAMDIESEIQILRDQLYEKSANSLQLLKELKVHQRFEEHHMLPLYELGGLESLGSVLRIVAQNNEFVDFSNSSIQWFRIQPDKGSKKEIISGATKPVYAPEPHDVGRYLQAEIEYCGQISIARSAGSVDPGLADYVEALVRNPETEYNVVILQVNGIPQPADSLHVLCIGRLRMRLAKGKAVIAKEFYSLAMQLCGVRGGGDAAPQAIFWQPRKDLSCVLAFETTRERNSALMLARRFAADCNIILAGPGDKTTW >Et_5B_044090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19922429:19929854:1 gene:Et_5B_044090 transcript:Et_5B_044090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTSVINPPSSVAYDAWVALMEMEDGFLGLANVFGWNLNLWSRKVNSEAAEWVLSRVINLEKIIPIAGPNNQVFVAGFAEGVNVIFITIGVGLFSIDLKSGRLKKVDKPGIYYSVLPYMSFYTPGIALALAYLSCIFKASISPPSPASSQAQAAPRSPPQLVDDAVAEILLRLPPDDPACLARASAVCRTWRRILTDPAFPGRYRAFHRTPPVLGLLSSTCDDQDRLFVPTTSFRPSAADHRGFSVLDCRHGRVLLLNLDSDGLVVWDPITGDKRVLPDAPVADSVSICNAAVLCAAGAGCDHRDCPSAPFLVAFLGTETGDPAWSAPTSVQLDYIELDSRISYGITSERAPAALIGDAIYFIGEFGNEIMCYDLAAQSLSIIDPPGNYFHYGIMVTPAKDGRLGFLVMTYDSLCLWEMDPDEGCEWVERWAIDPEDLLLDDNWDPPPVLSGFDVGTNSILVNTDDGGVFTIELRSLRVKKVCQTANSCEFLYTCFLTPGTTLAFLWLTMPPPPLMDELIGEILLRLLADEPEHLLRASVVCKPWLRIVSDPTFLRRYRTINRAPPLLAFLHVHRIPDGKPHPRFVPTTRVPAFPHPGAGRLPCTLDCRHGRILIHMLGDEEYLVWDPVTGHRHGLPNSGIKWFASAAAVFCATPGCDHLDCHGGPFHVAFVGSDYSNNTVLSTVYSSETEEWSAPVRLDYRDHLYVEARRGALVGDQVFFKIQHDGIIIRHDWGRNCTSVIKPPPSLAYDARVALMEMEDGSLGLANVVGWSLNLWSWKANSGQAAEWVLCRVVDLEKIVPIAGPDDQIFVAGFAEGVNVIFVTSGVGLFTIELNSGRVKKVDEPGVYHSVLPYMSFYTPGIALALACLSLVLQLQYVCFAGWKSNIVLDCHH >Et_7A_052172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5270423:5280358:-1 gene:Et_7A_052172 transcript:Et_7A_052172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASGSDRSLLPLLGAHEVGKGSSGSKASTIILGLVFLEVTAFYGVYLNLVVYLQDVLHGDSASNAAAVNFWAAASYLMPVVGVAIADSYWGKYKTVLVGLSTALVGMIVMTTSATVPSLRPPPCEQSTDDCAPATLGQRLVFFSGGYLCAVGIGAAKAAIASFGAEQFDDEEREQKASYFSWYYGVGSLGALAAGTLLIWIQDRVSWGLGFGVCASFLAAAVVGLAATAPVYRVVPPAGTPLRGVCQVLVAFAHKVNVSVPDDAAELYYEEERVKTPLLEPAARGERLDHTDEFRWLDKAAVVTAADRECGDGDNSWRLCTVTQVEEVKTLLRLIPIWLTSAVYFVANSQSETTFVQQATMTDPRILGGAVTVPAASLASVQTVFVIASVALYNRAAAPAARRCLGPRAEAFSPLQLMGLGHAAGAVAVAVAACAEARRLGAAGTAGAPPMSIAWLLPQFVAMAVSDASLSVGQLEFFYDQAPETMRGASTAFYFLSVSLGNLLSSQLVKLVARDDGHLDYYYLLIVAITAVNFAAFVCFAKNYTPKRAMDAGDAMERAQRSPRLPESWSPKFQDESLAVPLIQDKKSGSKAPAVVLGFECLESTAFNGIGTNLVMYLETVLHGSNLASASNVTTWIGTSYLTPVFGAFLADTYWGNYNTILVSLVVYLLGMMLVTFSAFLPVTELCGVGSSCHPVLGAQNLAFLGLYLVAIGSGGVRAALLPFGAEQFDDDNAVDRERKMSFFSWFYICVDFGMIISGVFIVWVQTNVSWGLGFGLATSCIALAFGGFVLATPMYKRTMPAGSPLRSLAQVAVAACRKLRLRVPADAALLYEASDKLDEPRIAHTDEFAFLDKAAVVAGSDLEEVMTNNAGLAAGSWRLCTVTQVEELKILLRLLPIWATSIVLSAAYAQLNTTFVQQGGAMDMRVLSLDIPAASLVSFELQRMGAGRLLMAFAMAAAALVEMKRLDAAGRGESVSIAWQMPQYFVLAGAEVFCYIAQLEFFYNEAPDTMKSICTSFALLTVALGSYMSSLIYAVVDALTAVGGRPGWISDNLNEGHLDYFFWTMAALCTLNFVVYSAFARNYKVKTVVS >Et_3A_023194.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:9186056:9188063:1 gene:Et_3A_023194 transcript:Et_3A_023194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPFSAQRHPPADSPSPTEALLSGSTSRAAPAFQASAASRVLLLLTAALAATTTFLLIRPPLSVASAVSSTARPLANLPKPVVLLISSDGFRFGYQFKAANPHIRRLIANGTSAAEGLIPVFPTLTFPNHYSIVTGLYPSSHGIINNYFPDPITGDHFSMSNHDPKWWLGEPLWATAAAQGVQAATYFWPGSEVVKGSWNCPDKYCRHYNESVPFEERVDAILGYFDLPYEEMPQFLTLYFEDPDHQGHQVGPDDPAITDAVKQIDEMIGKLIAGLEARGVFEDVNIILVGDHGMVGTCDGKLLFLEELAPWVELKEDWVLSTTPLLAIRPPDGVSPAEVVAKMNEGLGSGKVENGQYLRMYLKEDLPSRLHYSENYRIPPIIGLLEEGYKVELKRSKRKECGGAHGYDNAFFSMRTIFVAHGPRFQGGRTVPSFENVEIYNVMASILNLKPALNNGSASFPDTILLPSK >Et_3B_030644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5898411:5901026:-1 gene:Et_3B_030644 transcript:Et_3B_030644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVDGGGGGGRATVDRRHIGTAAHLAAGGFAGAVSKTCTAPLARLTILFQVAGMHSDIAALRKYSIWHEASRIVREEGFGAFWKGNLVTIVHRLPYSAISFYSYERYKNLLQMIPGLDRDSNYVGVVRLLGGGLAGVTAASVTYPLDVVRTRLATQKTTRYYKGIFHSVSTICRDEGIKGLYKGLGATLLGVGPGIAISFSVYESLRSHWQMESEIFQKEGLRGFYRGIVPEYLKVVPSVGIAFMTYETLKSLLSSMDTNDEC >Et_3B_031181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2037560:2039004:1 gene:Et_3B_031181 transcript:Et_3B_031181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEASWDTSSSYASTSSAPSDAAVSKEGSRKRPRAAHDDDAEKGEACVVPSYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPCPEMAARAHDVAALSIKGARAVLNFPDLAHALPRPASLAPRDVQAAAARAAALLMHPLEHHHQQSSPGAAPDASAAASSDDDGQQRRQPGDDSSSGGEPEGQPSREERQQPAPQVAELVFDELAPLWVEDVVEFTPSDHQWTPYDGLDTVAFQPLLWEYYL >Et_5A_042111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6448639:6453185:1 gene:Et_5A_042111 transcript:Et_5A_042111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFLLTPASAPAAPSTLSRLLRPLHLQSRNNLRRVHAASSPHPPNNRTASSLHLRNRCGRFFATSSSSSQMAAPADAPGGSADAFEVIRAHQAKAARLPPVEEIRTILDRSVRGVLATHSQEHAGYPSGSMVDFACDQDGSPILAVSSLAIHSKNLSGNPKCSLLVAKDPEDRTDTVITVYGDAVPVSDEEKDSVRSAYLRRHPEAFWVDFGDFSFLHIKPKAVRYVSGVATAILGSGEFSAAEYKEAKVDPISQFASPVTSHMNKDHADDTKLIVQHSTNVDFAYMLDLDSLGFNVKAGYDGTTLKLRIPFPRQAQDRKDVKTLIVEMLQAAKASSSHAE >Et_5B_044541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3298766:3301656:1 gene:Et_5B_044541 transcript:Et_5B_044541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGGGGGGIDDDDRVAHAQIPTSFGPELRACLRCRLVKTYDQFRENGCENCPFLEMERENDNVVNCTTPNFTGCGSGEYTMKVGRFIPGCYTLAVSEELPEEYQQAVATELAECSPLAGVIRLRKLRLPFSQRDQITAYDQ >Et_5A_040702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11320480:11328331:1 gene:Et_5A_040702 transcript:Et_5A_040702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLRSGRRVVSPPPAPPRGDLRRGRPRRIVPGGNDDDGEDRISGLPDELLLDVLRRLGCARQAARTGVLSRRWRGLWTELRELSFQCVGANALETALARVDPKLNHLGFSVSSNDGSFTSARISSLLRAADRFAPAALAVNLGELTVDDSSPFELPCFGSATTSIDLLVSRRGFTLAPAAGDFARLEQLALTFERCRVVVDLSVLLPRCPRLRRLSMCSVCPLDSSSTNTIAVESASLEELMFYMINGPADVVIVAPELKKFNVAFEIDDQFTVSHSAPKLEEFHLKYTCAYSCAGFGDKWRLRRLRMETQWSVDGNGNHALVRVHVLSLTIFPTRTFAQEVARLPVNHFSVLELTITPLGHVFAPLLLHLLLIRTSIQRLKLVLITNDFPECSENCDCDQDGNWRNEQISLPDLQDVEIQGFNTADHEVDFLHLLFRSAPNLKRMKVKLSEEDSQGDGGCLKLCSIFEANTSVKCNVYDESAMHLRSDLVLPPPAPQGGGVGSILGGGNGEGEDWISGLPEGLLLDVLGHLGSTREAARTSLISRRWRGLWAELRELTFTFRGISTDALEIALAQVRPGLNRLDISNTEDDDDDEEWDVVSTNERLSSLLRAADRLTPAELVVKIDTMFHWLKFEYCKLPCIGRATLIDLYLPGESNVVLPPAGEFACLEQLKMTLGFVNLSELIRRCPRLRKLQVNFRCWTRNTVCIESNSLEEIDLYCLPTNGVVIVAPELTKFVFQFGYSGESTISLSSPKLEDFFLMYYDEYLDVGYGNNWFLERLQMETSWSDRHKQLSLSIFYGQREGTVYKRTFAQEVARLPVNQFSVLMIWIATEGHVFAPLLLQLFFIRTSIQRLRLVLKDISKCSENCDCDQDGSWRNERISLPDLEDVEIEGFSAADQEVDFLELVLRSAPMLKRINVKFSADVSQSDVGCQKLRTPVRPSPGRHGRPRKQVRCACIVANAVHGMARTMMAKTGSAASQELQLDVLHRLKCARQGVLSRRWTELRVLKFDGGNGMARA >Et_5B_043026.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:15116437:15116826:-1 gene:Et_5B_043026 transcript:Et_5B_043026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWGCLGQAANIAQLAGVDVFGIITLIVKGALTVRRNLEECRQLSGHASMMEDLLQRLVASEVIEHPETWKPILGLRDTLVRAYLLVRSCQRSSYAYNFCLGCEIADELQIGADRHGRLHWVSVGPFK >Et_10B_002878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1313747:1323673:1 gene:Et_10B_002878 transcript:Et_10B_002878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLVRSPGVPSSSRTAGDGMECRTTAAGRGAPPIPASLFWLGLRRCRGPSTAILAFDVASEAFSTAPAPPSFTDDNNNTHLRLVELCFVHTCPATETMTVWSSSSAAASAGNNDWGQRWTREYTREHVIELQQWPEFSPRSMDQVVVPMAVDPGGGRPVDDSEISMHISVMHASIGI >Et_1A_007919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39405466:39406088:-1 gene:Et_1A_007919 transcript:Et_1A_007919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRSLLRPATILLFVVGAAKYGERVWALWRADSTPLGNKYMSFESTNRVSFGADTDISRSEASTYIAHLLLDIPRDLVNGPLPQLPHIYFWIFHDEVYRTAERQLSLMHDVLYTKAEVQHGWCGLCIRVISSLAAIGAFVLFHTLDDHQEESYNKANVTVTYILWGPCPGDHVTFEGHFLKLDMLPADEMEIRQTGDRWRYNM >Et_5A_042283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8301552:8303212:1 gene:Et_5A_042283 transcript:Et_5A_042283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHWSNVPPKNPVDRPTMSEITLMLDDDATSSLPPVARPTFFWDGNSGYSGSTAFRKDLCKQISASLCVTTCPVGSIHDHDKAMSLILTATMCACPSHDLSSSIKIDVASLQPPAALIRSSNQVLAANFALAIVKDLILSCFLQSCIDPCLGRHFASAACTLAMAGTS >Et_4A_033112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18710294:18717132:1 gene:Et_4A_033112 transcript:Et_4A_033112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDDVLCNLRSVGVPTKSAIYIWGYNQSGQTARKGKECHLRIPKSLPPKLFKLGNGRNLRWTDIACGREHTAAVASDGSLFTWGANEFGQLGDGTEESAKEPKKVSALETEFVKSVSCGAHCTAAVAEPRENDGTISKSRLWVWGQNQGSDYPRLFWGAFTPNTVIQQVSCGAVHVVALSEDGLLQAWGYNEYGQLGRGCTSQGLQGARVLNAYARFLDDAPELVKIVRVSCGEYHTAAISESGEVFTWGQGSMGQLGHCSLQSGDKELIPRRVVSLDRIVVRDVSCGGVHSCAVTEGGALYAWGGGHVGQLGVGPQGGSFSCSLDGSEMLLRNIPVMVIPSGVRLATCGHSHTLVSMKDGRIYGWGYNSYGQAANEKSTYAWFPSPVDWCVGEVRRLAAGGGHSAVLTDACSLKELCEFKLAETVNVSNAQLIEDVASRTGADALARLCEKLREYPDAQGECEFLEKQVAEEVEAKAKDPWRSPLLGLAVLSSRGSSRRVQLIPGLDPGDHGVGKLDKVGVDLAKLLLDLVCKVDVALLNGVVLLGDGYILEEGDDVLLPENALVFLLQVDEGVAGLAVPEVWEARLDTKAQVVTDDLKFTKLFIN >Et_8B_058965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11678781:11680511:1 gene:Et_8B_058965 transcript:Et_8B_058965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGAAAPLLLLRAAHFAPWAAPAPRRRLLSGPPTAGEPPPQVRPPASKLADPPGVGAPEPPLPWRAAEAEILRDIDPVLQLIKDILHTDRYADGECLSPEDENVVVEKLLTYHPRAQDKIGCGLDAIMVDRHPEFRKSRCLFVVRTDGVWIDFSYQKCLRAYIRENYPSHAERFIREHFKRT >Et_5B_043337.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5084861:5085526:-1 gene:Et_5B_043337 transcript:Et_5B_043337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGRPEIRRSTEKLQATLLQTVTAVLLGQREDGLHRCRVNEPAQLVRANQFQPKQAVPIRGNEQLLVHVDVVLLFLLHLRQRVRVRQAQQRPEHRRLHALHAHRGGAPGQELRADDGRTRGQDAPVGAERLAAGLEHHVGAPPALKKIAEMFVQLRWRHGDRLGLGRRRLRAELLEHHDATPDRQVAVPVRHQFAHCLPVREFWPPPGPPAAEGRHASL >Et_3B_028406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14769458:14800337:-1 gene:Et_3B_028406 transcript:Et_3B_028406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VQSKLTKGLCVKVSKVLDQIDARLTNSVGAPFREWLNKRMTLLLGYPGSGKTTLLKALAGKLDSGLQIDEEQVSGRVTYNGHGMDKFVPERTAAYIGQDDLHSGEMTVRETLAFSASCQGTGDLDDILTELIRRERESNITPEDDIDMFMKVKKLNQYTLVGNDLARGISGGQRKRVTIGEMLVGPARALFMDEISNGLDSTTAFQMVNYLKQSIHILGGTAVISLLQPTPETYDLFDDIILLSEGHVVYQGSKELVLEFFESLGFNFPYRKAVADFLLEVTSRKDQQQYWSRDCESYQYFTVEQFSDAFHAFHTGQSIRNVLKVPFDKTLSSMAALTTSKYGKIQLFKSVFAREVLLMRRNPTRYIVNFAHITLISFTALTIFWRGNMRRDSVSDGGIYLGMLFFSVSEIMFSTLGDLGGTVMKLPLFFKQRDVFYPAWAYTLPTWILKIPITFVDVTIWVAMTYYTVGFDPSIGRFFKQYFLLLAISQMSSSLFRTIAGVTRNMFTANIFGTFIMLLLLLLGGFILSSDNLNKFWMLAYWISPLMYAQNAISTNEFTAGFEKWIDSSFSIIFVGAKWYWIGLGALVGYTFLFNGLYTAAFTYFKASGRTFPLPTKALDKKSDKLSNDALSKRFDCKRVSNESWSSINIRRVTLPFVPLSVTFENVRYSVDMPKEKKARGETSDRLEILKGVSGAFRPGVLTALMGISGAGKTTLMDVLAGRKMGGYTEGTINISGYPKKHETFCRVFGYCKQSNIHSPHLTVLESLVFSAWLRLPSEIDSMKRKMFVDDVMELLELTSLQATHVGHAGGNGLSNEQRKRLTIAVELLANPSIIFMDEPTSGLDARAASIVMRTVRNLADTGKTVVCTIHQPSIDIFETFDELLLSNRGGEEIYVGPLGDSSSQLIKYFEEIEGVKRIKDGYNPATWMLEVTSTIQEQEPGIDLPAIYKDSALYQRNQNLIRGISMPPPYSTDLHFPNKYAQSFFKQCVICFWKQNLSYWRNTRYTGGRYFITIVIALLFGTVFWNLGMKRSKQQDLFNAMGSMYSAVLMLGIQNASGIQPVVAMERIMFYKERTAGMYSVLPYTSAQVTIELPYIFIQTLIYGALVYTMIGFEWMATKFFWYLFFMYFTLLYFTFFGMMSVGLAPDGTIAAIFSSFFYGFWNLFSGFLIPVYRIPVWSRWCYWICPVAWTLYGLGASQFGDVDEKLETGETVAEFLRSYYGFRHELLGVVAAVIMAFAVAFAFLFAFSVKYISFQRK >Et_3A_025651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31547580:31551796:-1 gene:Et_3A_025651 transcript:Et_3A_025651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAMLLPVCSAAPSCSPLCPVTASRVARRVDVSAALRSHADPLVAQVVPDRPLLADSSILSPYPAAPDDIARGFVGAAELPGADAGAALCCTGTDPSLAAVADLAAPDQFSAAAAASDATERALSDAPFPTTFPADASEVEDSVARFIDKLGKQVFQAEDALTEAYDKLRLSAYDALGAWRKTVRGAIGGLKASVDASKQQATGGVTDASGAFQEKVAGAGALAVDVIRNAIAAAEDSLGSAATFVVSSYGTAKSSLPPDVRDLLSSSEEKASLVLRPVGNALQQVYVVVEGVEKNVGLDPSDPIVQLAVLLGGSATVGISFWLFAYGGYSGDLTPELTLELLKNDDKAVLVDDLREKDGVPDLRRGARSKYASVVSPEVKDSIKKMLKGGRDVEDALFAVVIRNLKLVKGDSKVIIMDANGSRSKSIARLLKKLGRPYLVKGGFQAWAKNLRVKELKPETALTVINEDAEEILEQIKPTPTFVLGSLLGLSAASYALLEWETTLQYIGVLGVLLTIYVRFSTYEGSEDFEQDLKLLLSPVKVGAQAVSWAAKRIEPNKVGLPTSPSTSAVKDRVLQAAAKHESQPSDGEESSAQAAEA >Et_3A_024576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22228824:22232970:1 gene:Et_3A_024576 transcript:Et_3A_024576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRPPPSALVDNYVVPGDVVLDLSEMTNQTIKIGAGLRQECDTIQATSAGMLRLSKPNKYWVESSQKRYTPSVEDTVLGIVVDTKPDNFLVDIKGPNLAFLPVLAFEGGTRRNIPKYEIGTLIYARVVKANSIMNPELSCMDATGKTAEFGELKGGYMFDTSTGLARMLLSSPTCPVLEALGKKLSFEIAVGLNGRVYVNAPSPSNVILVSNAIIKSESLSGIKQRAMMAYSCSINGFWRLLGYRIMSCSRNNAALSSRQLITNAG >Et_5A_042602.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:21493500:21493724:1 gene:Et_5A_042602 transcript:Et_5A_042602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAMVDTAAAAPPLKQLTSGRPRSNINANAGAPKGKANTVASRYLSPFAKSSSTSSKPARRAGGLLRRASTDA >Et_9A_061713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15668856:15670710:1 gene:Et_9A_061713 transcript:Et_9A_061713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMGRLRIFVAKEPVVAASCLIAGFGLFLPAVVRPILDSFDTAKQVSQPALSDVCDFVLYSNSLYVAPHFENEELWLLASLARIKGALFGG >Et_4A_032123.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:19186889:19187140:-1 gene:Et_4A_032123 transcript:Et_4A_032123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISSRSLAATCLLALVLAGFLAATVPSADARRLLATAMPPAGAESLSMAPAPESGADDLPGRMLFEGGLRLAGRLLSGLGL >Et_3B_031525.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:3652831:3653484:-1 gene:Et_3B_031525 transcript:Et_3B_031525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMTAGRRAATACRALLRALQSRALNLRNHRCFRGSGGEASSSTASLINVLAAAFLSAAAAALLFPRAVAFFLPLVASTSAFCATAYLSAAPTDPERGAAKEVVLVAGEGAPAEAGLLEVYADANATSYRVRHGDAVQVGCFLRTATKRGVDEDGEEVVFAGRLLAPAPDAAAAGALEAELAALQVDRLAEGVWNSYFGRWSTWNHYAVDGCDDDW >Et_4A_033259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20593077:20593436:-1 gene:Et_4A_033259 transcript:Et_4A_033259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRYYYEKYGGVDGNGHATDVHKLSYEIFSLLESEFLFGAGGDYLSGPGTPAKEFLGGGRVRVLSIDGCGAAA >Et_9A_062034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19007590:19010033:1 gene:Et_9A_062034 transcript:Et_9A_062034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVCTGAWGVSEGRLRYVELSQKEPFVLSSFALDDHSSTWRLEHQMALDPLLWKYGSYLSHKEPPRVGVRPSECKRHLHHHWHMDKGEVTGCSPVVEGVGPAWHITTCLKPCLLPEWLGSSRIPAAGTFLSNKGDLKGKTLSKILVRGGLRRIVPGEAKRICGMEVQSPKRRLDFSIISCEFGDEGDAAVGDVDAAGARNAVPQALQQVVCHTAPKYVIGLASEIDPI >Et_9A_061770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16230990:16233731:-1 gene:Et_9A_061770 transcript:Et_9A_061770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSEMQPLAPAGYRRAPEMKEKVEASMVDLEAGNGETLYPGISRGESALRWGFVRKVYGILAAQLILTTAVSALTVLHPTLNATLSSSPGLALVLAVLPFLLMIPLYHYQHKHPHNFVFLGLFTLCLSFSIGVACANTQGRIVLEALILTAGVVASLTAYAFWAAKKGKEFGYLGPFLFSGLTILVLTSFIQIFIPLGPASVALFGGLGAVVFSGFILYDTESLIKRHTYDEYIWASVGLYLDILNLFLTILNILRSMQSDN >Et_3A_026507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:945208:949852:1 gene:Et_3A_026507 transcript:Et_3A_026507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKKEADFDGEQVIAEFQELTCDAAGVQRETLRRILAENADVEYLQARGLAGRTDPDSFRGCVPLVTHADIEPYIARIADGDTSPVLTANPITSISLSSGTTQGKRKYLPFNQELVKSTMQIYKTSYAFRNRAFPVDSGKALQFIYGSRQFTTKGGLTATTATTNVYRSEEFMPTMRAIQSQVCSPSAVVFGPDFAQSLYCHLLCGLLFTGEVRIVSATFAHSVVLAFQTFERVWEDLCADIRRGALSPTRVTAPALRNAVSALMASPNPALADEVAARCAGLSNWYGVIPALFPNARYVHGIMTGSMEHYVKKLRHYAGGLPLVAAEYGASEGWIGANVDPEAPPESVTSYLSKPATAPEQAPTRLYRYRLGDVVKVAGFYNSTPKLKFVCRRNLMLTINIDKNSEQDLQMAVDSAAKILAAEKLEVVDYSSHADVSRDPGHYVIFWELNADANNDVLQSCCDELDRAFTDPGYVGSRKARAIDPLELRVLQRGTFQKVLRHYLAQGAAVSQFKSPRCVTPSNSGVLQILSGNVVKAFFSAAYD >Et_1B_010872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14956124:14960291:-1 gene:Et_1B_010872 transcript:Et_1B_010872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRRKRAAEEWERRKRARKRRKGREGNEGKRSSRSDPVEVLGEGVMGRVMELLDARSVARCTAVSRAWRGVAADDRLWAPKCAELMAGKAHIPRSTLIRTGSKLSTYSMAMMDSKRNRITKEDLCDHAWEYRFTIAAPEYWRNLDPSWKHTGPPMRRYFHPDGYHSADPHDAVWGGHECTYTVMTSYVGDGQIREHYVRINRWPPLKVSRKDDWSWELSNHLYRYNSIPDAKKKGCTGPLFPVASLVYMFNLKGVNV >Et_4B_036141.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28048087:28048901:-1 gene:Et_4B_036141 transcript:Et_4B_036141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METIRLPAPSVRFHPNPTPASVDLKWKVHCFGLSESKIICTDNSGLSFLYDAGLRAFVTMPSLHAPKRVPISFAVPDGPEQDNGGSLYVMEQVPYPHYEEKGLFEAFVYATSNMLSSRCKSWQRHSLPPPPFVLDPGFEGAQIHAHAVLGGGSHLCISGEDIGTYCFDTASREWSRAGDWTLPFYDKAEYVPELGLWFGLSEKDLHPCVSDLSAVLRGRKPTLRNVWRSQYPREWNPMGIIKLASLGSGRFCILEYFETFLEDADLYGEPV >Et_9A_061110.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16360498:16360833:1 gene:Et_9A_061110 transcript:Et_9A_061110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVLRPHDCLARTSGRRPLRPAARRGHHGHGHGQCARGDRRGSAAPRQVRTKAAASVVAADAYAGPAFGSMSPSPRALPLPRFSSRAASDAAGVDDSATRELRRLLGLH >Et_1A_004878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13608817:13609300:-1 gene:Et_1A_004878 transcript:Et_1A_004878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIPQDQHTECNLWELYLLCQSVEFGGQTLNQKVALFSWIAMHQKILTSDNLEKKKGWGSNAMCSLCDLQQGAVFFVWKERNRRIFDNHNSSPLQVAMLAKGGN >Et_6B_049159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18883265:18886905:-1 gene:Et_6B_049159 transcript:Et_6B_049159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEPISASVLLLRFAGSIRTEIRRVVEENQSLNSKVKNALDALQTEVKVSAPIVAAKEESCPEAMLEARILLMQEVLLDIEDFLLGLWAPGKYGIAYLFVGLDKRSEQVDRINHFRDVLKGLQDSKPEPGAYAAPTACPDPRIVYQPESELVGIADRKSELLRLMSPKAGEVRNKVIALVGHRGVGKTALARVLHDHCQASRSYGLVGWIVASGCSNADVLMDKIAQAVRAAAEENDRYDPKFEMYMLVLDDVEDAHLWLSVKEMLPENGLCSSIIVTTNRKSTASSCRGHIYTVHGLSSADSQKLFWQKVQVDPSPALKNAAKGIFLKCNGSPLALISVANHLLEQGKVNLTVRDCEREGLDLGKNLAGSKAAASFQEIRRLLAKCYSDLPDHVHRLCLLSVIMSPKGHRIKTKNLIREMVAGGLIGGGGPNLRKEKYFHFCLEELINRCILDEVIVSLDYEVKRCEMDGIMLEFVIHKSISRNFATLINEDDFVPNSDSPGNVRRLSIHGGTEYSRKLIEKVELSHVRWLRISSTTIFMGLKACKLLRVLVLEGCQGVDEMVMESIYGLQFLKYLSLRDTDVNMVSKKIKKLKYLETLDTRGTGVRKLPIEVFKLPYLACLFGQFQLPYSSKEASATFDYLHTLSGAMVGTDWQGFDQIMRRTGKQLKKLKIWCDIPQPFASPLFQDRLQERINETGSCNLDCLTIVSSDLCNQFVNFLEPPCGVRTIKLRGTLDRLPFPAILRQLTSVLEVHLVSSGLTCSVLSILQTLPCLIYLKLSEPDGFVGGSSFVAQSDGFPSLERLRFEAPVLPMVVIEPGAMKRLSCLDLLCKEYSAKTPPSPSLAESIEYWGGIHGFSYLGCLNEVIVHTNIGESLLQKWMNVATPHKCRPNVKINDS >Et_3B_030090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30397157:30399262:1 gene:Et_3B_030090 transcript:Et_3B_030090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTPVRWPRVLTPAHLAGAIRRQKDPLEAAHLYSEAPRRYQPSSYRHNDAVHSSLLAAAAGSPILPSLLRRILPLSPSADSLLASSIPNLSPVAANYIFRSSLPTSPSPSWSLSFSALLRRLLSQSLLPEAARLFADFAGRTEVSIDSGDLTLLIAALCRAGRPELALQVLDEMPNLCPTPERDAYRAIVPALCDAGMLDEAVHVLYSMLWRVSQRGCDADIVVYRTLLVALCAAGRGEQAELVLDKVLRKGLRSPGSRRSLRVPMLAVLSLEDAQEAIDQALAVRGGRTVVSFESIVLDLYDEGRFAQADKLFEDMVKKGFKPTICMYEAKISALCREGNTDDAVKVLEEELPKDDLVPTVTTYNLLIKGLCDNMQSTRALGYLKRMDMQLGCVSQKDTFSILVNGLCSESKFVEASGIMERMVKGHHRPDKSAFSSVIEGLCLAGRAYDALLWLEEMMDHGETPDARIWSSLVSAVCTSHFGASAAGETTMVLANFEIVGGSLTYSGGVRRR >Et_9B_064180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12329527:12330799:1 gene:Et_9B_064180 transcript:Et_9B_064180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGMLAALAMAQQDQEDVLLGGVFQPRDPCPQVERIVQQAVAEEFKNNKNIAGGLLRVFFHDCFSWGCDASIFLQNEWILLPLQDDVRAFVNKIRGKVNKECGDQSVSCADILALATRDVVAEAGGRRVPISRGRFDSLRHGDVKQIPRPDNSVSQMLTTFAGFGLTEAADLVALSGAHTVGKTKKACQFVENPGFQARCRSRDGQDLDVISPVTFDNQYFVGLTKNPSNGVFSTDRDLFSDKNPSTKKLVQTYARDQNEFFRQWDISFRKLSNVNWTSFSKGEIRRDCTRTNSGRLSSIIDGAADDM >Et_7B_055904.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7179997:7180740:1 gene:Et_7B_055904 transcript:Et_7B_055904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHLSSHVHAAKEAAAANGGKATGGEAALPVAVVVVGVESTAGADPAGGGGVDYMARAQWLRAAVLGANDGLVSVASLMIGVGAVSATRKAMLVSGMAGLVAGACSMAIGEWVSVYAQYDIEVSQIERRGGSGSGADSDAAVNDKEESLPSPTQAALASALAFAVGALLPLLAGVFIPSWAARVAAVCSASSVGLAAFGAAGAYLGGSSMVRSGLRVLLGGWFAMLVTYGVLRLFGTVFHIQVSSA >Et_4A_034005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29005308:29008694:1 gene:Et_4A_034005 transcript:Et_4A_034005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSCFLLQLRYLGDKRGAPLAPPSRTSATSAVIRPQLSVRAIPLNTKAIKDAIMDFYTHIVPVMIRLYWCKLQWLNQLLTPRLLKHIIHCYLRLTDNARACSLLQKHIPISLTNGTLNKYIQGNNNVSFSNKKWMGATLAWETKATRPRHANKVLCMSVQEANKGKVAVAPHHLENAKEPPLNTYKPKEPYTATIVSLG >Et_2A_017510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35002512:35003896:1 gene:Et_2A_017510 transcript:Et_2A_017510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLMLLFLVTGMFLCPASCSSNYKYHCDWCPRHATASLVPPAAADIEGKHKHITSMPLICTGHPRAIDASSLMTNRSGCQPGTCGYGGAVDMELPNGGHPAAATADLFRAGAGCGACYQLRCRDRRLCGAGGVKVVVTDAAKEETNRTGFVLTREAFMGMAMARHGMSDQLATMDNVQVEFRRVPCEYRKNLAVRVEEGSRTRQQQLAIRFLYQGGQTDIAAVEIAQVIDSCHTQWIVIIQFAKQIREQQTDSDWHWQGGSAPSSSWRPMARQSKRGVWRTARAPDGPLHLRLVITAGSGGKWLRTALPADWRPGGVYDTGLRLTDVAVRTCSRAGDDDDEDELR >Et_7B_055878.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6684522:6685823:1 gene:Et_7B_055878 transcript:Et_7B_055878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGTAAPAQSKLAAITAGDVSARLQQVLALLFPSNLAAKAALFAALVALLPLLPSSSSQQAPRIWELPHLLLLGIIISYGVFGQKNADACEVAAAADKTGGHEPPPSVEAYVSEMTQGSFGFAQNGCDDDEEGDGGATDGVQAWSSQYVPDDPLVVVADSAGNDGKGDAGEKPLHLPVRKLKPAAAEEFSMTTKGEDHISDGIEKEEEEEEEVEFREFLAPKAGYDDGVVRERAIPSPSSVLDADLTLSPSSPPLLPPPPPPPPFLVGGGRRLQKAKARSFNEFGARDRSLLQSAGNKQFRSKSAVQATTHNFAAGYDPEVPVGYEPADDGELDEMVAGDDDTSDSSFSSDVLSDNDREENSYGEEEEEEDEDDDGSSCDEELFELATRQSQEADDVGTVEDEVDRKAEEFIAKFRDQIRMQRVIEPARR >Et_8B_060736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5843731:5848136:-1 gene:Et_8B_060736 transcript:Et_8B_060736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVAAETGLQKREPPKKKVSVTKAKKRKKGRAHDDDEPVGVDEEGQYSDTESLKALSDSSYDSDLAASSDSNVDCSDADYEPDAEILDDEEEDYVPPFAYDVENPSIDVGVIFPDVDQCKSAVTHHSVLNDYGFEIVKKSQDRFRAKCKRADKGCKASESASEHVRGQPPSGSRGRGRGRGGGRGRGREKENCPPTPPSVEHPIPTSKCKENYWHKQDLGTRFKKNLRSMACICLSSCMGASSGRGRRSSVSGEGELEPLSVFTMARDLVWSLSTGGGRQRGGEDYRGSQTKRFVRHFDDLGKAGWLPAYVVSV >Et_7A_050784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1149753:1153621:1 gene:Et_7A_050784 transcript:Et_7A_050784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALEFLPAPLRSFRLKTKQQELLLRVSTLALIYVLAFIVRLFSVLRYESMIHEFDPYFNYRTTLFLTENGYSEFWNWFDFESWYPLGRVVGGTLFPGLMVTAALLYRFLRALSFAVHIREVCVLTAPFFAANTTLVAYAFGREIWDSGAGIVAAALIAICPGYISRSVAGSYDNEGVAIFALLLTFYLFVRAVNTGSLAWSLASAFGYFYMVSAWGGYVFIINLLPLYVLVLLVTGRYSMRLYVAYNCMYVLGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLLQVFFFLDWVKYMLNDARLFKSFLRITLTCAITVGTLALVVGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFQILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVAAPAVCLISAIAASATIKNLTTLIRTKSKSPQAASGKTSGSKAAAKGAVDQSLPFQHNAAIALLLGAFYLLSRYAIHCTWVTSEAYSSPSIVLAARGHNGGRVIFDDYREAYYWLRQNTPPDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMQSLDVNYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLCYYRFGELTTEYGKPPGYDRVRGVEIGNKDIKLEYLEEAFTTSNWIVRIYKVLREEASGYVTLDKYITVEIKEEAIGAGA >Et_3A_023873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13866758:13868188:-1 gene:Et_3A_023873 transcript:Et_3A_023873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDKNKRRRQQQPQSGRPVLLTFYVARPARDAGAAATASAHGSVRSHHLHGGGSQACRGSKPAKAGAAPDAGNQPAAENRVTLLAGQRHERAKSQRQIKQRCFGGGGGGWSWKRVLVLVFPFHSVVGRSKSKRKRKRHDHDDRESNRNTNQNGWPATLLVGNGKLTVSRANRDHNGLGKKLMSIFRQR >Et_1A_006770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27453470:27456298:1 gene:Et_1A_006770 transcript:Et_1A_006770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPVMWETEFCVLLMDLKTSLGSPVIADPLPTLVLPSAVMTFTTPTSFPSPGLYLSTSSKKKPLPGKIEEVRATGWLDLMMASSPTRKRQIKDVVNDVQADDHDLQYCNWTVDYPSALTSFEAITVFAGSKRLALFLDYDGTLSPIVDKPENAIMSDEMRAAVRHVASLFPTAIISGRSRDKVFDFVKLNELYYAGSHGMDIMGPVGKTTESNGVECIRSTDTQGKEVNLFQPASEFLPMIDEVYKRLGESIMDIDGAMMEDNKFCVSVHYRNVAEDDYQKVFQRVTAVMEDYPCLKLTHGRKVLEVRPVIDWNKGKAVEFLLESLGLNESEDVLPIYVGDDRTDEDAFKVLKASNRGFGILVSSIPKESDAFYSLRDPAEVMEFLKKLAAWKEQST >Et_3B_030112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30618458:30620993:1 gene:Et_3B_030112 transcript:Et_3B_030112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRKKRNGVFIAAPQSQTLVAPRGPDDARLFPGELRGFKMKFGVPSGSRLLGIRELGKGVERLSCYALIWWKCLVGFPEAIIFFSSDTMDFPGSARRIPKKRRTSTTKSIVQLPDLNSPPVEANGVGIPSSSMAVLHSQASTSVPPAADVPQIGIRSFPIDVEAIEDDVMIYPSRSFPQTRQRSTRAERVTVIIDDEPETNPEPTGDVLDEHVNTLLSLGINRRHVPPRASNNCPVITLLDTPEVRTVRATVIQATPAPVMEVPREPRFTCPVCLNELTEPSSTPCGHIFCKMCIKSAIQAQKKCPTCRRKLGNTSFHRVYLPTATE >Et_8A_057474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3386817:3389559:1 gene:Et_8A_057474 transcript:Et_8A_057474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSEQGLMAGRDLFGMPKSPPAAAPGPPSSPAMQSVRMAYTADGTPVFAPVSSSAAPPAYQVHDTSMSAAAIAGGNGAAALPGMGEPLAKKKRGRPRKYGPDGSMSLALMPASASTGEEEGPAQGVYQQTANGCWSSGVGFTPHVITVQAGEDVSAKILSFSQYGTRAVCVLSANGAISNVTLRQTATSGGTVTYEGRFEILSLSGSFLLTDNGAQRSRTGGLSVSLAGPDGRVLGGGVAGLLIAASPVQIVVGSFSSDGKKEPKQQGPTEPTSAPLKVTPTSGMGPNSPPSRGTLSESSGGAGSPPPPLHQGLSASNNNQPTILSSMPWK >Et_3A_024026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16288541:16291290:-1 gene:Et_3A_024026 transcript:Et_3A_024026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRHGGEGPSTAGRAANQAVSLREESSGRTHVDEASLLRVKHLQRLAAWAGAEAGVGPVGALLGRRLAASAEAAGVPLGVATFLCQRCETILKPGFNCTVRIRTKRNRTQRRKKSNCCQNSVAYACHFCGDQNLILGSGKGVVKNLLSSREQATMDSTCRSFRGNKSNTRILKMKEGPQFSQAAILQVDPSSRLIQSTSETVVETGSLKLNLPTYCKMEEGAILSSVQPSHSAVSTCEEGSMHKLVLENANDEHIHETEPDFSRNSSKKIEICEPSATLESEFMVGSKFVTPQKSKLISLAQPFNTRSTGDKKGEGSSLVPGKSVRSSSKSVPDDSRKNGNPVTSDAAQVSSSRKRARKGWTTLKQIAEKDELERKEKMGNFVIPFFM >Et_8A_057370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:24045230:24046626:1 gene:Et_8A_057370 transcript:Et_8A_057370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKAAVDARTVVVHVLGVSAVVLVLVWCVHFRGGLALISSNNKQLVFNAHPVLMVAGLIVLAGEAILCYRSLPVSRDARKKAHLALHAAGLAAGVLGVYAVFKFHVDSGIPNLYSLHSWIGMATVSLYALQWLAAFLAFFFPGASPATRRAAVPWHALLGLLVFALAVGTAQLGFLEKLTFLQGPPLRLPKYGPEALLVNFTAVVVLLLGVAVVLATVTIDATRNKRK >Et_2A_016086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20960613:20963885:-1 gene:Et_2A_016086 transcript:Et_2A_016086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVTLHCKQMFFLLALVAREVESCLLKGFNVLRLWNKEQNKKFLCGVGLLRCLKEAQEFVFLQFSHIFALPCFLPCAVFGLSFCISLQSPVMESSQITGDDGEECNSNESGWTMYLATPGSSDDDKAIDRGASNVEDGSAYSSGTSKEDYGNYGDGDYDSLASDASTGPAQVKVLNGKEKKNHGKNDGSRDKKSKDEKEETHTKLSTSGNKKDGRTKKGEEKTARRCYNKKRSSSQKSFYKRSQEGQFHPETWSHSHHKKDEHGNDAAAGLEWWMNAAEQSTEATTAKRPSATASDIPATARSSATCAPCNASPNAIALSVSLLPSRFRVRWGWALRPPRSSPGSISSRRGTRERGEGRTRSGGGGHAARRRGGATTTWTLARREERAVSGVASLASRDDVRDRGGERRIRVRQLRLLAVAELASIPRPITNLTAGSGTFRNDKSSALSLRYANRFKHDTRKQKSLVLEILMFGPKSTRPVCEDEESNLI >Et_6B_048558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10799906:10801549:1 gene:Et_6B_048558 transcript:Et_6B_048558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCRIPQYGNSLKTRNGYEQVKCFAKGSSLRDPIPSVKPSRLLPTEDLTIFPNSVPEEIFSTIRLDSCDAFYVLELSTSREFSSSLVDKNSAILVCFIDVVGDSLLQRIPAIYSDQSGRVKAEQSTPFQSGSLDVVIFKGSKLQRIKEIWIGLESGSWRLDGLSLKVIHGPLDAPKEELKEDGLKEYADLKQSLLLYDAAIVITGFSAFTLASKDNAAYSFLVGGIGGFLYLLLLQRSVDGLPVISSPSEVGSAQPSVTGFSGVRRPWLILSLVLVAGAVALKYGACGDSFELTPTELFAGTAGFLANKVAVLLAAFKPMQSNSKSEDGSGGQT >Et_5B_044665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4487299:4487785:1 gene:Et_5B_044665 transcript:Et_5B_044665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSSSEEDEEEANKLAPTAESAAVEVVDGEEQAGVVHRNISLEELDEFVLPKAIDCLHRKPDQGGGVPDARQGTAFLQVEKTGLVKTPPRRVGSRREMIRQRRDPKLERWIQVVIDFLNLWVARAPRRLQGFFMEWVQKANEMQQGRTSNTNNDENLK >Et_3A_026036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3748072:3748937:1 gene:Et_3A_026036 transcript:Et_3A_026036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTVLAHLALCAFFVLLGGSPAAAQWTPAFATFYGGSDASGTMGGACGYGNLYNTGYGTRTAALSTALFNNGAIRNWGASWQSNAYLNGQSLSFRLRSDDGREITANNVAPAGWFFGGTYTSRAQFY >Et_6A_047485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6364052:6364506:-1 gene:Et_6A_047485 transcript:Et_6A_047485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTTTSLEKGRCSVAYHDGKVVLCHGSASRITLMHTMYICSYVLEPQGELPVHALREAEAEPEFDKRNGRRFADGIMFLGCQPSLLHSFRDGKSEFILQLPGEWDSAACSWLTPRPAFTSPTKRGD >Et_5B_045039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8822020:8833005:1 gene:Et_5B_045039 transcript:Et_5B_045039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGCFLGENIETVGLRWLWPLDDRYMSSSHDKLWSAVESHSGLVRRPAARPHRSAFLGIGYSSRHDVDGVQTRRYCLAQFSDLTFHMPTLSRGIPVCSRATISLHPYCTQTSPNLDMNSKRTQTHSEHFHFWTVGKKLSGNRAHTRRPRRRIRRCATTAPRSRRSGTRSRCNPGAAGSRVVRDGAHREHAGDLVVVCELQRRVRVDVGHAVHRVPLLPLDDRRRVCQPDGPLHRHQPVVVRALRVRPRPAGRQVPSVDLGGGATGQGPGRLAGGVGVVQDGGGGDDLGGEARGDDDGVVEAGLVGGDEHGVGLAEVHVQGGVGALHGVRAFHLHQLHGVALEPDVERGGQAHVGDPETVYPFQLRPLISRPSGKPSALPLSSTYFKSFSLQIKLLSDEQCRQGCNLSKVTTTYGVVGLVPVADEDGVVGGGRREWDGDHGAAEDADAAEAAGGLVEGERGEVVVCADLVLGLQDVGEVAAGRDRAQHLLLDAERVDVAVGDGPGEEPVRVVAGHEQQRAEEDQETSGGTGIAARHGAESD >Et_9B_064170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12145457:12148386:1 gene:Et_9B_064170 transcript:Et_9B_064170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHFLLLLPWLLILASRSVTALRFTVDDFPDGFAFGAGTAAFQYEGAVAEDGKSQSIWDTFAHSARNPNERTGDIASDGYHKYKEDVKLIKDMGLKAYRFSISWTRLIPNGRGELNPKGLQFYNSMINELVKEGIQIQAVIYHLDLPQILEDEYGGWLSPRIVDDFAAYADVCFREFGDRVTHWTTILEPNIIAQGSYDIGIMAPSRCSSPFGHNCTAGNSSVEPYLFVHHNLLAHSSAVRLYREKYQAAQKGTVGINLFSLAIYPLTGSPEDIKATERANDFFILHPLLFGDYPESMKKAAGARLPSFSNCESKLVTDAFDFIGLNHYSSAYASNNPDMSKMAVRDQAADVGALFRGSNAGANSAYTRNGPTSVQYPAGRMIDPQGLEHVLRYLRGKYGNISIYIQENGCGQTDDSLMDEERIDFLKKYIASTLKSIRDGANVKGYSVWSFMDLYELFGGYKAHFGLIRVDFGDQRRQRQPRLSAYWYSDFLKNGAVIEVDDRAAATATHAQL >Et_2A_014496.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:16617155:16618899:1 gene:Et_2A_014496 transcript:Et_2A_014496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSIGEWTRGPAIGRGSSATVSLAADRRTGAVFAVKSVDAAREGELRRERGILLGLAASPHVVRCLGGGSDTDLFLEYAPGGSLADEIKRRFAAGVGGCEEERLVRRRTRDVLRGLAHVHAAGVAHCDVKARNVLVAADGRAVIADFGCARRVVAEDGIGEKSRLVSGGTPMFMAPEAARGEAQGTAADVWALGCTVLEMATGAAPWGARFGDPMAALRHVALTGEAPECPPWMSEDARDFLARCFRRDPRERWTAEQLLRHPFVAAAADDDDSNFDVPRLAEKVPFVSPKSVLDQEAFWDDDSTIYTAPAERVRELAAGAPAVPDWTWDANWITVHSGDDEEDRPMSPQPELEADDTSIRHPLAQSAAAASVSGTRPAAAEEEAVNSTTGSNSYGGDAITIRSGESPSDSCCGGNHVAADCNLAITSNGFFHFIGDVPLLLLLLLLLVLVPAG >Et_4A_032647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12164013:12165134:-1 gene:Et_4A_032647 transcript:Et_4A_032647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAREHRVPERYLIQNSSSEELIYVRHDSNSAIPIIDLGKLLDPRSSSEECAKVGILIELAQSTAPDLSAGAGAYVAWVLSCVLLLWHTMVDVARFVAMALQIVRDPRTVFTAVSSDASASSAGASSWKMSSTSKPLSDAYLSATGDGDAAKNRTCSIRSVLFVNIRPTSGIQYSWISVRVEQKLAKMMESGKHNELKWGNRLGYIMLPFQIAMHDDPLDYDRNAKMTVDRKKHSLEAIVTHAVTEMVTKPFGIE >Et_3A_026379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7390895:7400287:-1 gene:Et_3A_026379 transcript:Et_3A_026379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAARSKLLKGDGVAGATVDSGKGGLFSTGSGRLVAVSEGGLKRARALVGKEGEETTNNRSGVHRDNLSPMFQTGSGNAVSLGEGSIQKAVALLEGNNENDAGPRQPMFRTGMGRSVPVSRGSIEKARAVLEGQTVENEGDIGRVDGMEQVPLFQTGSGRAVPVNMASIKKAKAVLEGNAINEGNVKGFGGPDQSLMFQNCSKRPALIRERSVERSKAMIMDENAEINGQWDIGCHLPIFQTGLGNPVAIKQSSIQKAKTVLEEDLKRSGNGDTSVCALSFQTETPKSVLMSSSMIMNDRTVTPKGNTALQVEENDNVDDHLPLFQTGSGRLVAVSKSSIRRASAFLEPRNIAKELEDEANLNDGCATSIFKTGSGRSILASEKSREKAHSVLEAEEGAKIVYAQLNMCNILDRLVDNPIAESGVQRLILESGSSSHKASIHLEEGNFANKDCGIPFPMFQTGSGKSVLVSERSVRKARSVLEKEGDADRDNHKLVNVEKKFAMFASPLKTSSVKTVNISSAGVSRAATLLGLEENTLSTQLFGHVGEKLGTKITVERENPECRLDVAHENAISVDPHKVFCQSENKVFMDAHEQMRFSKSTTSDSGEHSIRFSTAGGQSMAISSDALQRAKSLLGESVDPLNDSVGCSLASASKEKLPNSTIYPKDEPDLSHISRANGYVSPDTPATKRNATRLHVGREYHPVNEIPKIPKPPSRSLTEGDNASDVKYNTRRHHMPAGALVDITNCMAPHFGSTDQFANGKRILGGRNTVSPFKRPRASRWVVNHYKWIVWKLASLERCYPTRASGKFFTVANVFEELKYRYDREVNHGHRSAIKKILEGNASPSLMMVLCISAIYTTPDPNNKKLEVDEVDANEDSNQNNNLSAANKSAKIELTDGWYSLDASLDVALSEQLEKRKLFLGQKLRIWGASLCGWAGPVSFSEASGTVKLMVHINGTYRARWNESLGFCKHIGLPLAFKCIKVGGGRVPRTLVGLTRIYPVLYRERLSDGRFVVRSEKMERKAEQLYQQRVSKIAEDIMFEQQENCGSVDDDDEGAKICKMLERAAEPEAIMAGMTSEQLMHFSSYKERQKVVMQNKVVKKVEKALEVADLSSRDVTPFLKVRVVGLVSKGSASKESNKEGLITIWNPTEMQKADLLEGQIYSVTGLVPSNYCTSILHLNARGSSTMWKPFASSQTTYFEPFFTPRKAIELSKFGEVPLSSEFDIAGVILYMDLNLSLDITLKIKIVGFSNLVKRQKDQMRQIWVAEATETCIYTLSQELPRKSHLKEAATSAERWASTSYPKIQELKERVLCIVGDSGG >Et_6B_048617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11647146:11656889:-1 gene:Et_6B_048617 transcript:Et_6B_048617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPEADILYTQRMAWTDTKNVLESWDPTKDNNPCSWFHVTCDSHNYTTRMEWRYIRLSDTPTGRAKESSVPISPTALKMADFSTGLLIIYCRELYENDLNGSIPATLGNLSNLVSLELQRNLLTGTIPASLGDIRTLQYLRLNRNKLSGSIPASLGKIKRLHISCDILYMQRTVWEQPITTLDSWGPTLVNPCFWEYVTCDNESHECKYVKPSDSRAWGSLEESIKIEKYFGSNNQTLKINYKFELLTYQCLELSGNGLNGSIPTTLGKLSNLLNLDLQDNLLSGTIPTSLGCMEQQTGSIPLIFGKSKKPCELGTSEEFAEWTNSCLPSIKTLWSLYVILP >Et_5A_040312.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:12979614:12979916:1 gene:Et_5A_040312 transcript:Et_5A_040312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPQRETGAGGDRGCCGALCLRCVAIRAARPRNSPELLPTSAPRLVGGRLLPCGAGNRSSSLSFLSFFFSQYQWNPFSQVEHMYHYHEQNRNSTSAQIYPS >Et_3A_023788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12269940:12273923:-1 gene:Et_3A_023788 transcript:Et_3A_023788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVAGVVVGPLLCLVKQKASNYLLDKYNVMEGMEEQHKILKRKLPAILDIIADAEKQSTHREGPKAWLEELKTVAYEANDVFDEFNYEALRRRAKENGHIRKLGMHAVKLLPTHNRVAFRHRMGNKLRRIVEAIEVLIAEMNTFGFKFQDQALASKQWRQTDSIIVDQDTIVSRSRDKERKEIVQILTDKTKTEALTVVPIVGMGGLGKTTLAQLIYNDPEVKKHFEQLIWVCVSDEFDVCDLANKICNSSGEKNLEKALQNLQKQLSGKRYLLVLDDVWNKDSDKWLKLKACLQQGGMGSFVLTTTRGNGIAELMGISEHLNIGGSLMLRQLENVNEQDAKAANLVNKKELTELSLSWTNRWHDEQHDSNKVLEGLKSPDGLQALKIYSYKGTTFPTWMGMLQNMVELYLYDCDNSEKFPPFYHLTALEVLCLKGLEKLQILCSGDTPFSFPKLKLLTLHGLNQFEKWCETNWGHKENIIFPRVEKMNISGCGMLTTLPVAPMLQESNGGGDGIARSGFPALKVLQLQNLESFCGWEAVNIAQGRQIIFPDLDERSIRQCRKLRSLPLLKGSWTTDNIGRGQQIIFPQLEELSISGCPELTALPEVTLIGASLGHDNRTAPTAFPELKILKLDYLKSFNSWGVTGRAFDEQQTFPKLEILYISICPELTTLPKAPKLSDLDIYQSNPQIYPEIHRCINSLSKLSMSCEYSKIRELTKRNMFELVDGNCDSPLKEMSFSCCNFLFCSTGLALWTCFAQLKVLTIRNCDALVNLPEQEFQSLVSLRSLEIFNCDCLERYAQAAEQPTSERRRVLRQLESLRIEGCKILVQVEVPASLKKLVIQECP >Et_2A_015702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17062826:17064344:1 gene:Et_2A_015702 transcript:Et_2A_015702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEATKESEPQPQAAQAQDKTAPAPASAPMTEAEVEELPKAIVRRLVKDKLAQIAGGDGAEVIVNKDAMAAFAESARIFIHYLSATANDMCKESKRQTINADDVLKALDEMEFSEFVEPLGTSLQEFRNKNADKRSEANKKQKEKRRKLNEEATPHQENDAADDAENGN >Et_3B_028340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13721491:13723845:1 gene:Et_3B_028340 transcript:Et_3B_028340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGTGAHDPLLPRRPSGCSSRVRSEEEDGWRWDLAAAEANRLVRLAGPLVVSCVLQAAITMVSLMFVGHLGKLPLAGAALATSIANVTGYSVLMGMANALGTLCGQAFGARQPHLLGVYKQSGMVVLGLAALPIALVWACAGELLVLMGQDAAIAAEAGAYVRWLIPSLAAYVPLQCHVQFLQAQGVVLSVTASSGATAACHAAVCWALVHGAGMGSKGAALSIAVSYAVNVAILATYVRTSGACRDTWNGFSMEGFKELGRFAALAVPSAMMVCLEWWSFESLVLMSGLLPNPQLETSVLSVCLNSAELLFTVPMALGIAISTRVSNELGAGQPHAAKLAMRVVMLMALSEGLFIALAMTLLRNIWGYTYSSDQEVVSYIARMMPVLGLSFIIDGLHTSLSGIIIIFIESIYYSSIYVKQQESVLTGCGLQKIGAAVNLGAFYLAGIPVSVLLAFVLRLNGMINISNVLLRFQKGLWLGIVCGSIMKLVLLGFVACFIDWDTEVSKTHTVHALQTKNTLILKKNAVKANGRVVSSSSLPIA >Et_3A_023971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15397588:15410689:-1 gene:Et_3A_023971 transcript:Et_3A_023971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIGKLVCACLLLANVAAASGAVNIGVYWGQNGNEGSLAETCGTGLYSFVIISFLSSFGGGQTPVMNLAGHCDPQPGGCVKIGSDITGCQSSGIKVLLSIGGGSANYSLSSVSDAQAVAAYIWDTFLGGSESRPFGNAVLDGVNFDIETGSVSRYYDDLAKDLVSLYKATKDGRKYMLTAAPNCQYPDASLAAALGTGLFDQVWVMFFDNPACQYAPGDVSNLKPAWELWTRAFPSASVFLGLPASADAASSGGYIPPKDLASLVLPVVSASANYGGIMLWSRYFDGLNGYSTNLQSIIANNTGTYNLTQGYELSGTTQTHPPIGSPVPGSPRNALLAGSPRKMRIRTYIIAGTSSGIFGVCIVLLALLLWYKRHYGIMPWQRGSRNAPRIESFLQKQGTSHYPKRYTYSEVRKMTKSFAHKLGQGGYGSVYRGNLPDGREVAVKMLKDTEDDGEEFMNEVASISRTSHINVVTLLGFCLQGSKRALLYEYMPNGSLERYTFGNNSAEGENTLTWDKLFDIVVGIARGLEYLHSGCNTRIVHFDIKPQNILLDQDFCPKISDFGLAKLCRQKESKISIAGARGTIGYIAPEVFSRNYGAVSSKSDVYSYGMVVLEMLGARKQIEVISTDNSIKYFPQWLYDNLDQFCGATITCEISSDITELVRKMTIVGLWCIQFTPADRPSMSRWRAAVSFSACTCSWPTPWQHPAPSSTSASTGVRTSTWAPWRRRSPWPDDRYTFVIIGFVSEFGSGRATVLKLAGCDPSAGRCVGLGAAWWRRARRHRPRHCFRLQVYYDDLATNLTWLHRDATGGRKYLLRAAPRCLFLDASLAAALGTGLFDHVWVQFYNDATRQYAAR >Et_7A_051284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16664145:16668950:1 gene:Et_7A_051284 transcript:Et_7A_051284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLKNHSVLLGPIMSPLLLLALLGRQLALASGVLRSQEGNGPLPSAATLAGCPTSCGNLTFNYPFGIGASHCFRWPDFELICNESVHPPRLFLHVAYNIVTTDTGSPYGGNDYIDIDLTYTIPMRYGVDVYNMSWVSPAKSFALDYDWMNVTGCNFEVYFSDGGSNTSSRLCTLTCPEGELTDMAALQNCNGTGCCSIVLEAVTIPSFELKFLRLNNTNADLHPNRSSLWNYINITTEGAELSWRIMDQPTCAIAKDNKSNFACVGKDSRCIDVTESLDFGYLCKCNPGYVGNPYLINGCSRDRGYDPKADKASCSHSCGNISVHFPFGIEEGCSAREQFYLNCTNTTTSTLLLENFYLVTDIDVDQGQIKYKDLDRYEGSVTSMSDAGPSIFILSDRSVSMKWVVANLSCLEAQANHSGYAYVSTNSKCVEVNSTDLYVGYRCRCLDGFWGNPYVRINGCHDIDECSQQNRCNGTCHNTIGGFNCTACPQKMVYDPIKMQCSSAKQQTALVGTIIGLSSGCSVLVISVSAIYLTRRWRRNIQKKLRRKYFRKNKGLLLEQLILSDENASDKTRIFSLEELEKATNNFDSTRVLGRGGHGMVYKGILSDQRVVAIKKSKIIEEDEINQFINEVAILSQINHRNIVNLFGCCLETEVPLLVYDFIPNGSLYGILHADSGNELLLSWDSCLRIAAEAAGALCYLRSSASVSVFHRDVKPSNILLDSNYTAKVSDFGASRLVPIDQTHIVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFAVVLLELFTRKEPILTSESGAKQNLANYFLLEMKARPITDIVAPVVLEEASEQEINCFASLVEMCLRLQGHRRPTMKQVESALQFLRAKRREANEATIGKDEEMQTLLTTHSQKFCSLEEEFMSLQQLPVIVFCM >Et_2B_020764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23356630:23359484:-1 gene:Et_2B_020764 transcript:Et_2B_020764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFSKKLTTDQIPGWEEYYFNYNLLRRRVKVYTEQTKEGNHDRRRVLKDFSKLLDDEIEKIVLFMIEQQGLIAARLEDLGKDKARLQDLPLLQEIAELRQAYREVGLDLVKLLKFVDLNANAVRKILKKFDERLGYKFTDYYVKSRSNHPYSQLQQVFKHVGIGAVVGALSRNLSDLEEREGSYLNIYDQNPLAIPKDPIIDLIKTTADKLTNSTNFLRFLGQHALIAEEDTTTSQVQHIEEDKYHFISLVLNLVNTFLYMVNTYIIVPTADDYATSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNRSYFRPLLFSSVVLLLGNVLYALAYDLNSLPILLIGRLLCGLGSARAVNRRYISDCVPPRIRMQASAAFVSASALGMACGPALAGLLQTKTKFYIFTINQDTLPGWVMAVGWLAYLIWLWISFKEPALDPDVEFYREGSSTSSRFVEEEEVEKGLAEQLLLPNDDDDQGETGSPPASALRPASSVASAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVVTSYYFGWNTSTIAVFLAILGLTVLPVNAIVGTYISNMFEDRQILLASEFVLLAGILLSFRLTGTTYTVAQYVCSALLTFVSAEVLEGVNLSLLSQVMSSRLSRGTYNGGLLSTEAGTLARVVADATITLAGFLGQGALLNATLLPSLLICVASIAATMSTYNSLFY >Et_4B_039431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15208337:15211255:-1 gene:Et_4B_039431 transcript:Et_4B_039431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEQCLHPSLRPPVHGNQPAIPESCTWILLDLYAYVADRENATSAWGWMSDNTQIRVTLCAAQPPLVSYLCVWCPGRQPTEVAVRNTVLAAESDLLLICVSLGCWERGRGDYFVYMAAGGGNHGPSLTLLPDPSSFLTRRHNVTLLAHNDVDGGRAHLCLRQHGAKARFYMVVLNPNSRAGDGHFDLCVFSSEEEKWTTRDIFIDFPCYHKTSKVVTLGEDGLLGFVDLWRGIIVCDVVGRKPPRYLPLPTSLIRPDNIYEDSLRSRDIVFIGGCLTIVELRHINDPDSCTLSWEVSTWSRMVTRLWEEDWCNQHTIQSPSIVVDSNTSNVDLLPKLKDSEGTLRPTLQGLYPDHPTLSLSDSHIVYIMTKVSHSDKKALVLFIDMTTPRVQGLAVFDAERMLGYTYMQSRIPEYFHMASGVKENSKRPGKFRLRYPRKLHNRGGVTGTSDEDELDIALYRFVVNHHLYIHGDYSSKAMPRLRFVVLQWHLSKYAPKILVADPFCWFKYVYHGIHHAYQRKRAAIL >Et_3A_024637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22842663:22846016:-1 gene:Et_3A_024637 transcript:Et_3A_024637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKLPPPNPNLPYREDCWSEGETAALVDAWGSRYLELNRGSLRQPQWREVAEACKNRVDTLKKKYKAERARGGASPWAFYGQLDLLVGPTLSANVAGGGGGAGKRPSPPRALPMLRRRQSPSRSPKMSVSGGASGFGELARAIETFAEMYERMEASKQRHAEEMERQRIKFLKDLELKRMQAFVDMQLQLARAKHARKGDSSSEMLMSLAALPLLGANLAG >Et_9B_064571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16592347:16601109:1 gene:Et_9B_064571 transcript:Et_9B_064571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARARGGGEGEELLLRAVEDGDGGSLCVEERSWRLNFDGFRPQGPGDVVAEYYQQQLEMLEGFNGMDTLTDRGFLPGVSKEERERVARSETLAIRLSNVANMVLFSAKVYASASSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYRYPIGKRRMQPLGILVFASVMATLGLQIILESTRSLVSDGGEFRLTKEQEKWVIDIMLSVTLDHLFDVITNIIGLVAALLANYVQGWIDPFGAIILAIYTIRTWSTTVLENVHSLVGHSAPPEFLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVRPCDMPLREAHDIGEALQEKLERLPEIERAFVHLDYEFTHQPEHARSHDT >Et_2B_019397.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27268117:27268539:-1 gene:Et_2B_019397 transcript:Et_2B_019397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKKSVLARAVERCRRLPSLGKKQRSRAGCFTVLVGPEKERFAVPARCAGHPLFRALLDEAEAEYGGFPACRGPLELPCAVDAFMDAMWEMEQQQADDRPAASPGCGRLFNARSCSGRDYGSYQMMSPARFLVAGVRL >Et_4A_034904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7709195:7713492:-1 gene:Et_4A_034904 transcript:Et_4A_034904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQGADAAYAMLLFAVAFFFARLLLDRLVYKVQPPLPAPARFAPSSSRSLSCSVSPRPMLFVPGPARGCTRRCQVLLGNRSVDPAYLTANHPCARDETPLAGYLFNRLINDEARQAKIVKFSESIWKLTYYASVQAWVLSIIKQEPWSLDTMQYFDGWPNQPMTFSLMLFYMCQCGFYIYSIFALVAWETRRKDFAVMMSHHVITSTLIGVSYLTGFFRIGTIILALHDASDVFLETAKLCKYTEKELGASVFFGLFAISWLLLRLIYFPFWIIRTSSYQSITFLRKQFEFPTTLYYIFNTMLLTLYVFHVYWGKLIFLMIKKQLNNRGQVGDDVRSVKKQCLESLSFSFVEVTASEDSKVR >Et_4B_039605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23710089:23719241:1 gene:Et_4B_039605 transcript:Et_4B_039605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLKGFTDGTMIVGEFNGRKVQEAKPLIKNKLLEEGTAVLYSEPEKKVMSRSGDECVVALTDQWYITYGEAEWKQKAERCLESMNTFSAETRNGFEHTLGWLNQWACSRSFGLGSRIPWDEQFLVGSLSDSTLYMAYYTIAHHLQNGNMYGNEITSIRPEQMTDDVWDYVFCNGPAPKSDIPPALLAKMKQEFEYWYPFDIRVSGKDLIQNHLTFCIYNHTSLLPEHHWPCGFRCNGHLMLNSEKMSKSTGNFRTLRQAIEEFSSDATRFALADPGDGMDDANFVFETANAAILRLTKEIAWMEEMVAAESSLRAGPPSTYADRVFANEMNIAVKETEKSYNAFMFRDALKSGFYDLQLARDEYRLSCGAAGMNRDLLWRFMEVQTKLITPICPHYAEHVWQKIVKKEGFAIKAGWPISDTPDPTLRIANKYLQDSIVLMRKLLHKQESGSKKPKKGVAPPPSEGTKMNIGLIYVNEHYYGWKEQCLKVLQSKFDSQARSFAPDQEIMEALKNCSIGQEANFNQVQKLCMPFIRVKKDEAREVGPHALDLRLPFGEIDVLEENMELIRRQLGLEHVEVLSASNDVARAKAEKKLLIEGFYLVTWQCSKCKVILGSSSPARREILADMGHEFTVMSADIDEKAIRRDKPEELVKALAEAKAQAIKQKFHVGCVPNRDRPILLITSDQVMVSKGVIRERPRSAEEAKEFIRGYSGDRAFAVNYVLVTNLNTGATKGGWDIPEIKFQHIPDEFINKVVKDGYMTCVAGGLRLTDPSVLPFIKELVGTADSVRGLPRELTEKLIRELLEAE >Et_9B_064488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15627869:15628708:-1 gene:Et_9B_064488 transcript:Et_9B_064488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFQEQEVGEAVMVVGEAAAGADQLDLEAAEQLIQLSGGDGGSETRSADSCSSKAGQAGRKDKDKEVAVESRRRRRGSGGWLPAGKKRGGDGGVPAGEPDGKSAVSVVRSSTSRAVGTEEKINGREAVVEIRRRSAERFPAGKFGAAAGVVDDGEERKRPRFRWLADLYRETRQVYAATPERRAVGGEDPPEGERKRKREADADEETLAMVSKVSKERTMVA >Et_1A_007050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30406035:30407492:1 gene:Et_1A_007050 transcript:Et_1A_007050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARADAKKVAPHMQTYSVIIGCCCQAGRLDLGFAVFGKILKTGWQVEAVTFTHLLRALCAVRRTSDAMDIVLRRMPELGCNPNVFSYNILLKGLCDENKNEEALVLLRMMAKDKGSCRPDVVSYTTVIDGFFKQGQVDKAYSLFCEMLDHGVSPDVVTCSSMIDGLCKVQELDKAEGIFHRMLDRGIRPNCITYTSLLHGYCSLGQWKQVIRMLDEMNRVGHRPNVVTYTVIIDYLCKNGRCTEARQIFDSMVAEGPKPNVATFGSLLHTYAVKEDFIEMNHLVDLMGQNEIPPNHHVFNILINAYGKHGLVDEVMLVFNKMRRQGLIPNVVNYATVIDRLCKAGRLDDAMFQFNQMIDEGEPPNIYQAEVLFYEMLDRGIRPTVPTFNAMMHDLCKERRVMEAQNIFDLMVRAGAKPNVVSYNTLIHRRCLVGNMDEVIKLLDDMDSIGLKPDDVTYRTVLNGMLSIA >Et_8A_057735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6519828:6523819:-1 gene:Et_8A_057735 transcript:Et_8A_057735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSKKSSSSAAAATAGNTLSSDPSPSSPIFLLLDRVRRADEFGFQIARLDGGLLTLGRSNLDAALRGAALVGSDYWCAEQVNEKQNRKRKGVSTDLTSRKAQRGPTKSVSKETERIDQLFYTYADRASGMIDPEGIETFCSHLEVPHTDVRILMLAWKMGSEKQGYFTLDEWRSALKALRADSISKLKKAFPELVQEYQSEYKVINMDQWMGFYRFCNEINFPSLDNYDSDLAWPLILDNFVEWLRENKS >Et_5B_044140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20665751:20668741:1 gene:Et_5B_044140 transcript:Et_5B_044140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLAACRPPLQVIIRRRSSPSLVAARCHRRRPPSPPRTSSCGRRVKGGRRLKKASILLRRGHASADLQRGRGEAAWVCGRDGPREAAWTRGCDGLGEAAKVRGRGGPGETGRARGPAGAGEVAADKMPDQNDGSSARAPLGKAGTRLRARKIYSLMAGRDSASGAQDPFTDGGHGGDCELDDAGGSDSPWSQRRRFFFSRMSRRPRRSGIKFIENEQDRHLTYFKRRAGLTKSSADLSTLTGGKSAIVLESESGKKYAFGTPSANPIIDSFLSEHNLHIDEGETAKITHLQDELFRLEKGKPVHYTSERDQIISGEVDDLGANEVSALFQWIGNVEEDINSRVNPGKQVEVRGQSGPLFPIYSSSWHSQIKMPPRRLPWVPLEAPSQGPRSPRSQSYFLDPTTLPLAQEPHSQVLHHQENMIPPLPIDAHQHNYYFPQPQPLGLPLQDELSFNPMASANTNHAYTQNSYFNPMAQPSQEHAHSHSFNFNPMAQPGQEHAQAHSFIVNPVVPPEDPVNSHNFVAGQPPIGGPPVTPFNDIDFDSSLDALRNNYFGLADDYYEGQAAGGGHDDELDPSDLHAQADEDWLMNLFDSPFHGESFGDAA >Et_5B_045330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18486632:18490364:1 gene:Et_5B_045330 transcript:Et_5B_045330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKAAAASKATIDEDEDESKKIRSSRSKHRHARRSSTESESPPRKRSKKSSKRTADKKSKRSKVSNSGSSIRRRRRSPSPSPSLSSSSPSSVSRSYSHRSCSTCSSASERSVSPPPRSRSRDVKKRKDRGRDRERDRKRRKARRSTSCSSSSDSSRSRSKSRKRGTKDGTTKDRAEKDHDNGHASRSEKNLSGDVCRDENALVISKEGDNEIEGYEKNVSFDRIENPPSKDANETPNVVPDDGGSPVPVAEDLELILRQKALENFRKFRAAAKSRKTDNGATGKETLTGSLQNGGTKAAEARSAAVASFQRQSSSLGVGHPTGSPRSENCGNDTSRSWKQESSAGISRRVRSPGILEDGDTGGPTQQEGSTIEATHSTFQPMSPQDGRNTRSVMQRLVTTPGSSSSVNQRLGSSAGVSHVNGAPRIRSVVSIPGREGPDSSSFTTPQRHCGSSAAGEINSEIEHNPTNIIRTDIGHTNGDDRKTSEASASNGSILSPAEGKSQATEARVEDKVGSQFQKKSFSRMHDGETVEDFWEERKHKHFRDLNL >Et_2B_021300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28406786:28411426:1 gene:Et_2B_021300 transcript:Et_2B_021300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRAASPLPSGAGAGSDHRPTLTPRVAMACVLASEVATVLAVMRRNVRWAGVRYGGDDGADDEHLDHPLIAGLKSLRCRAASWGPSWRDDVEPLLYLRPFLDVVRSDETGAPITGAALSSLHKILTLDLVAPSAPGAAEAMGAVVDAVTGCRFEVTDPASEEAVLARVLQVLLACVRGRAAPALANRHVCAIVSTCFRVVQQAGTKGELLQRVSRQTMQEVIRCVFARLPDLDIDVLADQQGAGSKNQCVDAEEIENGKSDYVCLNSSGDEVGDGFSVAQDKAMMEPLGVPCMIEILQFMCSLLNIAEDIEVNPRMNPIDFDEDVPLFALGLINSAIELAASSIHRHPKLLAFVQDELFRNLMHFGLSMSPLILSTVCSIVFTLFYHLRHELKLQIEAFFSCVILRLAQSRYGASYQQQEVALEALVDFCRQKEFMAEMYANMDCDLQCSNIFEELANLLSKSAFPVNSPLSALNVLALDGLVAVIQAMAERTDNAPQHHKQTVPEISEYFPFWQLKCDSNNDPDQWVKFVHQQKNIKRKLMMNLDAALRLFLETFRLPGESQKIQRILEAFSERYYEQSPQMFVNRDAALVLSYSVIMLNTDQHNVRVKKKMTEEDFIRNNRRINGGNDLPREFLSELYYSICRNEIRTIPEQGAGCSEMSFSRWVDLMWKSKRTSKYIACDSYPFLDSDMFSSMSGSTVAAISVVFDNVEHEEVLTVCIDGFLSVAKLAAFYHLDNVLNDLVVALCKFTTLLNASYIDDPVTSFGEDTKARMATEAVFTIATTYGDHIRSGWRNIVDCILRLHKIGLLPARLTGDTADDQDSSDSLPSKLASSAVAPQVLPINTPKKSYGLMGRFSQLLYLDAEEPRSQPTEEQLAAQRNAAETVKKCQIGTIFTESKFLQADSLSDLASALIQAAGRPQKITSSLNDEGTAVFCLELLITVTLNNRDRIVLLWQGVYEHIAHIVQSTVMPCNLVEKAVFGLLLICQRLLPYKENLVDDLLRSLQLILKLDARVADAYCENITLEVTRLVKANATHIKTQMGWRTIISLLCITARHPDASDAGFEALVFIMSEGAHLSPANFVLSVEASRQFAESRLGSAERSIHALNLMEDSVNCLTRWSREVKEAGGEADRILEGIAEMWLRLVQALRKVCTDQREEVRNHALLSLQRCLVVDGIAVSSSAWLMSFDIIFQLLDELLEIAQNYSPKDFRNMEVSLLHAVKLLCKVFLQSLKDLSAQSSFGKLWLEVLDMIEKFMKVKVRGRRTEKLQEAIPELVKNILMVMKASGVLSKSSTSENSLWEATWLQVDKIAPSLQSEVFPDNEGDSTTQGEQKKLDTPSQSDPNAEQ >Et_5B_044877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6816884:6825214:-1 gene:Et_5B_044877 transcript:Et_5B_044877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVSSAIVQETVSQIVSGLVNNYEEKDNSNANKDLEKLEMAHIKLESALETSEKWHITDASLLRWRKKLKRAAQECDDTLHKYKQRIIEKEQMEKEVRESSFPKRIAHATKSFVFSIFNHDNKSTKSIVRTKLGGTPHRGMPFDSLIKHLFTGKKLEHTIIRENKCLLFLLWMPYITNHGTEANLIFVRKDSNVPEGDFFLSIMIQLSESTNIVGIAIKCLQLYSPLFKSTIETVRKELIQLPTRDFSWVPYPDSPLKEYWDNLHSFGTQWFRPNPLCCKKHDQHELLYSTMVYNTESQDVSLEPVIEVNMQCQVSLSEYNRQDHPQLKAGLLFMPHGSSEDLLPANTSFATQVINGEEQQCLHTSITLQQLEEIILPKAIDYFCKNTEASVYQILWKSKHGTAYVQIKNTSMKHHNFLDLWLAHAAARLQGSIMDWFQSLTNLTDEILEDIFLRLPTPTDLALASTACPFFRRIITDRSFLRRFRALRPPPLLGLVTDGFHAAEAPHPSAPLARALAREADFSYSFVPTGRWLAPWHPVDVRQGRVLLECVRKVALVDTLEGNVFLRDLELAVCDPLPQRSARGPHGFPETSFRVICTASNDTTLLAIVFTSITRQWHIAASPSWSSLGTPPPDYCLGFEYLYFARGCFYWSQPLDDKLLVLDAFKMEFSINNLPDDDRIRDSSKARVVVGEDGTPLMFFLSDHNEVDSGLLHKLNNSEPSKQWQLENTIPLPRQHKYSTVGVAEGFLFLHGIPKEHHHSLHSMEECLFREYFSFDIKTCELKKICGMKHYFPDVNPYFGFPPDLCGSLQYQTTWQPSMTTSLIDFKFLFAFSGDDDQQTSFRMICTL >Et_9A_061860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17202855:17203491:1 gene:Et_9A_061860 transcript:Et_9A_061860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGGKHCYSAAAAMEVSSVEFGHTAAFKCYDDDGRLKRTERAESSYRCLACTNLTNCRKTEGTMWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPTVMLLFSFVTYYTSALLADCYRSGDEITGKRNYTYMDAVNANLSGIKVQICGFLQYANIVGVAIGYTIAASISML >Et_3B_027626.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6061578:6062100:1 gene:Et_3B_027626 transcript:Et_3B_027626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKVVLQIVLFALVFTMLMTQQAWGETDCHGEKVLVKEKCMKSITLEGDYVLPNEACVQAVKHSDMTCICGIIEIDDELEISVSKFLRLARECHKPVPARTKCGSKCLEVRFFFLKKKIDRFN >Et_6B_049268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2531177:2551220:1 gene:Et_6B_049268 transcript:Et_6B_049268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDALSSYVQNMLTEMAKEEVHMLLGVPNEINNMGVKLNYLKKFLADADRRNITDETVQWWVKELRGAMYDATDILDLCQLKAMKRGGGRDMGCLNPLLFCLRNPLHAHEIGSGIKKLNRKLDDIENSGKAFKFIELTSYEDRGRNIESSYLANRETTADLDKSGVVGEKIEEDTRKLVELLTSKEKKIHEDNKVMVFAIVGIGGIGKTTLAQKIIDNEIIKQKFVKKIWLSVNKTYDATELLRRTIEAGGGKQAIGNTREALVQTLKEVMEGCKTLLVMDDVWSHKAWDDVLKSPLTNALARGSRVLITTRDDRVARGVMAQEPYHHIDKLEPKDAWSLLKKQVVRNVNDESQVDMLKDIGMGIIEKCDGLPLAVKVIGGLLRQKNIRRNDWENILKDSTWSVSQMPEELNYAIYLSYQDLDPLLKPCFLYFSLLPKSILVFASEIIGMWISEGLVHGNLHDLEKIGREYYDQLILRNLIEPNVRHVDQEVCSMHDVVRSFAQYVARDEALVAGHSSKTDITAKLDSQMFIRLSLESKESDELDWMPLQAQVSIRTLISVGNINISPSDSLVTFSRLRTLHVQGADFNKLVNSLVQLKHLRYLSIPFTNTSALPGNIHKMKFLQYISILGCKSLVKLPGSFGKLQDLRYLNLQLTSINSVPRGFGGLTSLRKLFGFPAHMDGEWCSLEELGPLSKLTNLGLHDLENVSSSIIARKTNLRGMVHLSYLELHCITRRLGDNDRLLIEDNISGEGQKQIEDVFDELCPPTYSLETLIIFGYFGRRLPRWMTSTAVGPLGSMRFLKFEDLPCCTEIPDVLCQLPCLEYMQIRLAPAIKRVGPKFLQPHHDHEHLSTLDSLGPAFRCDVWDCSALEIISNMPKLQDLRIIGCPKLKVLEDVHALQSLSLEDYGMQTLPGYLQDVNPKQLLIQCGISMLTCLAIGKSSPEWDKFRHIPQVKAYADDVDNNIPRKWYVLYTRNPFSFKTNISRSAINQVHSRRFNLSSAARAQRVFLPYLRTCPIEEERSVGQHVFADKRPPLCQRFSMMMKMATDEVRMLLGVPNEMKKMGVKLGDLKKFLADADRRNITDELVQGWVKELKGVMYDATDILDLCHLKAMKWGPSRDKGCLNPRLRKLNEKLDDIIKRSMTSNFNLTSYEDHGTKMESSHRLPCRETTGELELVLIGEKIKEDTRDLVEMPTRKEEAIHEDNKVMVFAIVGVGGIGKTTLAKNIFNNEIIEQEFQRKIWVSVNQDYSDIGLLRRTIEAGGGNQAAGNTIEVLQRTLKETLKGCKTLLVMDDVWNHRAWEDVLKTPLINVLAQGSRVLVTTRNHRIARGMMAEEPYHNIEKLKPEDAWSLLKKQLDKLEWMSLHAQIFIRTLISVGNNIKIKPDFDQLAESLVKLKHLRYLAIANTNLSILPENIYKMKLLQYLDISGCKSLKKLPGSIGKLQNLRVLGWTSINSVPRGFGGLTSLRTLGGFPVHKDGDWCSLEELGSLSKLIHLNISGLENVSSSTIAKKTNLGGKVHLRKLALYCTSKHGHNGQLFKEEDNISDERQQRIMEVFDELFPPPSLETLVLNGYFGMRLPRWMTSTAVVPHRSLRILRLEDLPCCVELPDRVGPEFMQPHHHENLTTLESLGSAFALYVSECSNLQSIYNMPKLQKLVILRCPKLKILEGLPALHKLVLQDYDMQMLPGYLQDVNPKQVLIKCGISLLTCLAAGKSSPKWDKLRHIPQDKAYAHDVENNIHRKWYVLYTRNPFSFKTNITRSAINQGYENTCPIEEEWPVGRRADSDKHQPLCQRFRDPTKVAHMAIDLASAHMM >Et_1B_013136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5811960:5814086:-1 gene:Et_1B_013136 transcript:Et_1B_013136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VKYSTEPINPTKSAKAMGRDLRVHFKNTRETAFALRKLPLTKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKNRQPNGQGRWPAKSSRFILDLLKNAESNAEVKGLDVDTLYISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEAESQIATRKA >Et_2A_017421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34095589:34104420:-1 gene:Et_2A_017421 transcript:Et_2A_017421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRMGVISRTVVPACGSLCYFCPALRTRSRQPVKRYKKILAEIFPRTQDEEPNERRIGKLCEYASKNPLRVPKITVYLEQRIYKELRTEQYGFAKVVMLIYRRLLVSCKEQMPLFASSLLSIVHTLLDQKRQDDMRIIGCETLFDFAVNQVDGTYQFNLEGLVPRLCEIAQEVGEDERANGLRAAALQALSAMIWFMGELSHISSEFDNVVQVVLENYKPQKRQNDDKATNDSENQSTQETENHASPFFLSEAPSWETIVNAKGGVNLPVEDAKDPKFWSRVCVHNMAALSREATTFRRILESLFRYFANNSSWSSENGLALCVLLDMQLLVESSGQNMHLLLLLLIKHIEHKAMLKQPDMQLNVVEVAATLAEESNAPASASTIGAISDLVRHLKRTFHITLGSKDMELVKWNEKFRKAIDDCLVQLSKKVSDAGPVLDMMAVMLENIASTPVVARSTAAAVYRTAQIIASVPNLQYQNKVFPEALFHQLLLTMIHPDHEARVAAHRIFAIVLVPSSVSPSIQASPSGQAKKHDMQRTLSRAVSVFSSSAAIFDKLRKDKYSDSSQGESKDNSLQSIDEVTGNPKRQNLPPSQSRRRSMKIPNFSMKRGPSMAMRAPSVSIRAPSMSLIAPSMSLRAPSISVKEHQSSTSKSDEETESVLVKLSSRQITLLLTSIWAQAVSPDNTPANYEAIAHTYSLLLLFSGSKALTFEALTQSFQVAFALRSYSLTEADSLPPSRRRSLFTLSTAMIIFSSRAYNVAPLIPICKQMLNDRAADPFLHLVDESKLQAVKDSSDDPSRIYGSPEDNANALKSLSEMELSESQSRECIVSTIMNSIASLLDAELNNVRSQLLSDFSPDDMCPMSTQFFESPVENSSSGSHETSHHQEAMLIDLGNDHDHFGEASEGTEACASSVPVSDLLSIDQLLETVVTDPAPQAGVVPVATDLPFKDMTSHCEALTIGKQQKMSTFMSFQQNVQAAAPPSYQPNQMELVLFQDPQLPQAGVQSTNPFADDNMQGYPQYMNVPNSSDNTQSDHDFQQQFLKLPASSPYDNFLRAAGC >Et_3B_029956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29358478:29362843:-1 gene:Et_3B_029956 transcript:Et_3B_029956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLLFPVVSSRYEALNFVEFVTGSPQRCRGDVELCHACPVCQWSSPNYDELGTCYMMATEVNQTYFAWSQGKTTELDDSQGVSVSQTLDHGSISFGRFEVESLSWEKWSVFTNDRRNEEFGKFNGLVAQKKAYFEEYYKRIRELKASQQQNQQTELTLEYSGDGSESSQTAEDEQAADLETPTGSGTVVDDYMEGAVQETTSEHGLQCYDDQRNENVITEFFSSNISSSTGALQQTDQDIRETVSGDNSARKIGVKKQNSLSGHDDTRRAYEAARTPRRLVEKNSKLRNTPKIIPKSVQSLSKSALDYTFPRERPGLMKPSTSMNQKTKLGNVHPLQRTNAATQKMAGTAEKSKAAGLRRPSSAGAQRPSTRERKSIARESSKKPDDVPTLRRPSTAERRPVTRDRAQQQSIVSTPRRPSTSERRPAKRENASKNADVSTIRRPSTGERRTITRESVLRTDVKTPGKPRAAVAHSKSDTTTVVCWSALSYHSRNFLCCEIIITITEAPHGNLKMAVTPKAASQLETKSNTNRLMAPSALDSSSTRSRRMDLPVSGKQKSSSVNLPPRKVFSSNVGELAVVAKTQKKEGIQATMKSRASTSKKTTPLQTGNVKARAPKPPPPPPPPRRPSRMMSKPNASNSLPIGGRKPNHIAHPMQGFSTTMALTQWRPEGQ >Et_1B_010828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14537082:14544161:1 gene:Et_1B_010828 transcript:Et_1B_010828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGNIFHCRKHSWPAEDFVGRTALQLLDFDGGVPPEQAWRRRLNSHANLLKEFSVTFMEAMRMMSLGLRLWSYVREEASHGRKAPIDPFTKERCKPSASQGVPLGGMGSGSISRGFRGEFKNWHIIPGLCENSPVMENQFSIFVSRDGGNKKYSSVLAPGHHEGLKKNSDPGISSWDWNLSGQHSTYHALFPRAWTVYDGEPDPDLKISCRQISPFIPHDYKDSSLPTSVFVYTLVNTGRDRAKVSLLMTWANSIGGFSHNSGGHYNEPFITAKDNPPVTFAVAACETQNVNVTVLPVFGLSGENHVSAKEMWNIMKQDGHFDQENFSAGSSMPSSPGQKLCAAVSASTWVEPHGRCTVVFGLSWSSPKVKFQKGCSYNRRYTQFYGTSERSAVNLVHDALTKYKLWEEEIEKWQNPILKDERLPEWYKFTLFNELYFLVAGGTVWTDGQAPAIDEKATPGSNQQKSSKKGAKDTKPQSVHENHAKLTAEQGPDEGYMSNSEDRSVSKFAAVHGSQMQEQTTELKSEEPIPYLISKDGPEHVGKFLYLEGVEYIMWNTYDVHFYASFALLDLFPKIELSIQRDFANAVLYEDRRKVKFLADGTSGIRKVKGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVLQIYRDFAATGDMSFGRDVWPAVCAAVDYMDQFDRDGDGLIENDGFPDQTYDAWTVHGISAYCGCLWLAALQAAATMAHRLGDRHFAEKYKLKFIKAKAVYEAKLWNGSYFNYDSGTSSNSRSIQADQLAGQWYAASSGLPPLFDEQKIRSALQKIFEFNVMKVKGGRMGAVNGMTPKGKVDDTCMQSREIWTGVTYAVAANMLLHGMEHQGFTTAEGIFIAGWSEEGYGYWFQTPEGWTTDGHYRSLIYMRPLAIWAIQYALSPPKAILEAPKVNLMDRIHISPQMVRAINEISIRKIAPDNRCFPSSAFNCEC >Et_3B_028685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18018406:18023225:1 gene:Et_3B_028685 transcript:Et_3B_028685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPPTDAQPEQPPPAADAAVAEPVEKPPAAPLTPEEPDAAEEEAAVGDGAGEADEEEEEEEYVSDPDDAPLPTMRRRDAASDDEGSEDGRPRARIGPDHDDDGQGAPEAYDDEEDDEYYDEEEEVGEGFAEEYEGRAPQAPAPAKEDGGAGSGQGARVEDGEKAGEEGMAEEGGEGEEKEQEPFSVPTSGAFYMHDDRFQEENRGRRRRMFGGRKLWDAKDDQAWVHDRFEEMSVQDERYEDKRMSRGRFRGRGGGGKPRGGGRGFVRGGKHRNYHEDGNTQNPPPKVVRGRGPRRYEAVARNSRDVGSQRKQAARFRESAPNAAPVRESSQVSYAQPEAAPPKRNVINSSLNYASPPFYPSGASNQEFSVGAQRRDIQAGGSNKTIPSSVKMDEKRLQSGPMGRGRTAVDYSGRDRFHADGPVRSSPGRATTTASGFAGSSVNSGQSSVVRPPGSSSSIGNQPSSSLRQTSRISTQPQSNTSVMQQKSGQIANTSATRVPSQQLSNRASNPSPAAQHLSVKSTESGENGAYPSPNNSKAPSAVSIVNNQESGRGSFVYGGAQVIGAAGAVGLSQGDQNFPGTPALLPVMQFGGQHPGGPGVPTIGMALPGYVAQQQMGMGNNEMTWLPLLAGAAGAFGGSYPPYITLDPSFYSRPSGQTSSSVPSREPSGNRGAISPTQNDIGNEELDQRQNKPR >Et_6A_046048.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20764115:20764429:-1 gene:Et_6A_046048 transcript:Et_6A_046048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTCIRKKRSLDVLLSKRCSVNSCLSLSTSARSSGVPASGSNSHTCLRRKLLPDCSHGPAPSLIFNLHMIELAGSEFCGKAKAPEISLLNRWPVWRMDAGTFL >Et_2A_015033.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:26870306:26870335:1 gene:Et_2A_015033 transcript:Et_2A_015033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTNTGL >Et_2B_022067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8677620:8691732:1 gene:Et_2B_022067 transcript:Et_2B_022067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKMMYYSDETAREMELAAAERQMGCSCSPFGRMISRAVKGSNGRERRARKESFDYAMAYPPVQTHYVRPNARTITVATNHHHHPSNAHAHTSLPEPTRAYATVPGAPFPATAAPPRSGAGKPRKKKKKKRVTFNPEVGTMPGKTPPPHAGHAPQYAAVTAMNGAAAGGSVYNHGAAEPYSPAPPTAHGNGHAYGYGYGRYAPSPLPRWEVVGTPRRHEYFSGEYRWYYPTPVRESIYRFATDANHRLTNFFSEENPNACTIVVSIPVLLFEKEKNYIVQHESIAYHGDMRI >Et_1B_012459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32092054:32096950:-1 gene:Et_1B_012459 transcript:Et_1B_012459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPEDNQHVLNGYGEEEVDEEEGHHGRRGGRDGGAGYGDAGGEDGRGPGGDSSGKIFVGGVAWETTEESFSKHFEKYGAIVDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDEHVIDGRTVEVKRTVPREEMSTKDGPKTRKIFVGGLPPSLTEDDLKDHFSPYGKIVEHQIMLDHSTGRSRGFGFVTFESEDSVERVISEGRMRDLGGKQVLMVHMGRMVVVRMEGVPTALVHMGVVAWAVEPMVVPQVDMVQVDMVPMGEQAVLVAGAQGVVLKRELQKGKA >Et_1A_005009.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22005613:22005792:-1 gene:Et_1A_005009 transcript:Et_1A_005009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFFCSGIGGKNGKDNFTIFLTGNRAYHKNIFFIGTITLKREISYLFFQLRRNTVGRR >Et_7A_052281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6433187:6434464:-1 gene:Et_7A_052281 transcript:Et_7A_052281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHKVHLQSFSSLLDGLQGSAFLGTSRRLLIRSPLVWHIWVLISVGSVDSSQPSVIVDIEWAWSADYMARLPCHCRRSVAGNIDCSMLDQLAVLKRLSPTTSRISAISRRGQNNRNGKTLNGGRHDDGMPQTAGTPSAKGTSEICRHPIPFRSRHRRLIKRTPEIADATSPGSASRDFLLLRVDPMAQGGHGGRLVRVAILYRRSLSESGLPPPPGVKEPA >Et_4B_039594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23312338:23315274:-1 gene:Et_4B_039594 transcript:Et_4B_039594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTRALRRLDTRRPPPPRPIQPPPPAAAAAVKKEEEGSPWSQSSSSSSSSSAPAKHSAAPAAAARAGSEALVYPLRDFPGRDAAALGGAFRDNVWWLLKQWAPAASGSVSAWRALLSDERTGAFVPIFAVEELVAASPQPLCDLCRCAGWSHHWVSKRKFHFIIPKTVDWDHPSGANTLLRRSDHLLHGLIHSNGFGHLVTIRGRDGGSNFLSGCQIMDIWDRLCAALRVRAVSVVDLTRKHAVDLRLLHGVAHGKTWFTRWGYGLAKGCFSVSTSTYAAALEALAALPVGYLRSRHVRRVVTIYRRLSNKPLITVREFLCCLLDWKHREPPLSPLPVNTCPRLPFSLPESCVTKRLREPYQRFEDVIDLLDCRWSKKRLLNTAEVVVGKLLEHGDGTKMTRQEVRDAARGAIGDTGLLDFVIKSLSNTIVGSCIVHRVADPENRLLHFSLEEYVEPEQEPVEVEAERTPPVIRWPSTAEVERDLRAVYRAMVEARGESAQAVLDCKHWVKWWGLRDESDDQLRFLIDWRPQPWEAAELTWSMPPGDIVVVPLHASIGELLVEAEHALRDTYCFFEEFHAEALDGIAGEKWDPVMLGGAESGDTIGVHGHGVNMESGLRCQGGVDAWVVRCVCGAQDDDGERMVACDVCNVWHHTRCVGIADDQPVPPLFLCMVCGGALMAAGPIVNEVLTVHVK >Et_4B_039503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19492800:19501850:-1 gene:Et_4B_039503 transcript:Et_4B_039503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSVQEASSAAAARRSAPAAWAEMETDCLVQVFRRLDLEDLAASAPLVCRGWRRAAADPSLWRALDLRRDYVARLMPWCALAAAFKRRYGVSRFAFAGLLRLCVSRAAGSASDVALPPLLSSPAAELDHISIECPRLRRLALPNLTAGDEARLPELIPRWPLLEHLELESKPPSSVFTAVAARLATHCPNFSSLKTSGAFKPEDAEALARCLPRLRSLCLDRSYLPRQELVNILAGCRELRDFSARGCVGFDENDEEVKRWGSRIERFEVAGSRAVDDLEDEFAGGGDLFCDSSYVEVMWASLFLDELSNVVDGDGFAFSTQRVALPGLGVNLLTASPNQIACLVSSQYIGVWKFFDDIEGLCCREIEEADQSSELWQRQSWT >Et_5B_045617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5585708:5589423:1 gene:Et_5B_045617 transcript:Et_5B_045617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKARIAMYRACDISGCSNADIVAAVEAAVKDGVDIISMSLGGLPRPFYEDAIAISSFGAERRGIFVAMAGGNKGPGASTVSNTAPWMITVGASTIDRLFPANLTLGNGVVLAGQSLYNMKAKGTAMIQLVSSICLAEWRSWTPDTVMGKIMVCMGGGTDKEGVALQNAGGAGMVVVNPSEWSRDGAVAFPFTLPGLTLGVDSHEKLLAYMASEPNPVASFSFGCETIIEENRAPVVAGFSSRGPNPWAPELLKPDVVAPGVNILAAWAANVPLSGGRRTEYNIISGTSMETPHVAGVAALIKKKHPDWTPAMIRSALMTTARTVDNMDHDILDNGVTSDRRESVRSATPFAAGAGHVRPIHALDPGLVYDAGERDYVDFLCALNYSAEQIRLFAPDFVNCTTTLPGGVAGLNYPSFVVVFDNGTDVRTLTRTVTAVSEKAETYNVTVAAPERVKVTVTPATLEFTKHNKKKSYTVEFGSLAGGNATAGPGWSFGYISWENEDHRVRSPKTAISYKFIN >Et_5A_040919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14973294:14978458:-1 gene:Et_5A_040919 transcript:Et_5A_040919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWKEKVADRLARLLADSPSSPAAAAGPIEAPPAIPFPTEHFTSPKKASLSSYVLSLLPTSNLGHERSSPCSETLRPLPPESLPKRWRGNDFTWKNPPLELSEESGSESERDEKNENLSNNQVLQSFRSVDNSNGNEETSTSDHAGNLNFLTEKSMLISPKLFSFFQSSLPGTLKGCHWVLLYSTWKHGISLRTLLRRSENIQGPCLLVVGDMQGAVFGGLLNSPLRPTEKRKYQGTNQTFVFTTIYGEPRLFRPTGANRYYYLCLNDALAFGGGGNFALCVDEDLLHGSSGSCETFGNSCLAHSPEFELRNVEM >Et_4A_035726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4443424:4444841:1 gene:Et_4A_035726 transcript:Et_4A_035726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSHASNSRPPTLEKVRLLLLSPMKPAFSLNMHHLLQKGGDDVRLSVLAGVKPAVHWIMGHEKGRSVFVALVHACKGRFDELRVIVQAVIADNDSGFLMKQDHRLSALTELAMEVSPYPQLCMPLILWLLRERLLEHHNDLPLIIILCFASTAYDDYLIMIRFAIINFDTMLSSAFGSKFLAECFAHARDDEYCAFKKIILSRTSEIAKGQYRSSAATLLRRHYSFLARQCELVLKWGTVPLKDAVVKRVAKDVVSLSTDQFGGYVVEACILETSSLGIAPLQRVLAAFLALRDDQLAELVQGGHSNYVVRKLLVTGEKHFPQQTRTLARRMEKLPEAVQRAMQRAAL >Et_5B_044714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:496811:504677:-1 gene:Et_5B_044714 transcript:Et_5B_044714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLTPATHSSSLQSIAGNVLSMEKPAPVAAAAHRLDSVEHGRAEAVPERLAVVTGGNKGVGLEVCRQLALQGVTVILTARDEKRGQDAVESLRRECDLSNIIFHQLDVRDDDSVTSLARSIEGRYGKLDILVNNAAVLGVAADEEGLKAHNIDAETWVSGRAANLLKEVFQNTVDEAMNCFNTNYYGCKRVTEALLPLLKLSTSGARIVNASSLASELKRMPNEKLRNDLSNIEMWDEERIDSVVNTFLEDLKNGQLKEAGWPAWLPAYSVSKMVINLYTRVMARRHPDMRINCVRPGFVKTDLVWNMGLLTPEQGARGLVMLALVPVDGPTGCYFDQTEMMNVWLAVVTGANKGIGLEVCRQLALQGVTVILTAMDADEGEEAAGSIRHESNLSNIIFRQLDIRDTEGANSLAKFIQSTCGKLDILVKIEMVSANSYINVSLIISVRYIDASGPSKITRAIIMQVNNAAVTGLVADEEGLRALNIDGDTWISGRAASVIQDVLLQTYEEAVNCINTNYYGYKQVTEALLPLLKRSTSGARIVNVTSIASELTRLPNEKLRNDLRDAESWDEHRIDAMLDAFLEDLKNGRLEQAGWSMMLPAYSISKMAVNQYTRILARRHPEMLVNCVHPGFVRTEMNWNMGTLSPAEGARGPVMLALFPDDGGVTGCYFDETTLAEAWLAVVTGGNRGIGLEVCRQLALQGVTVILTARNENRGKAAVESLCHGSNISNIIFHQLDILDKDSLSALAQNIESRYGKLDILVNNAGVGGVVVDQDGLRALNIDPNTWLSGKAANLLEHVVVQTYDEAVKCLDTNYYGSKWGTEALLPLLKRSTSGARIVNTTSLRSELKRMPNEKLREELRDASGWDERRIEAMLDAFLDALKEGRLEEEGWPTMLPAYTMSKMAVNQYTRVVARRHPEMRVNCVHPGFVKTEINWNTGVIPPAEGAMGAVKLALLPDDGPTGCYFDQTELGVAW >Et_1B_013554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9392144:9393730:-1 gene:Et_1B_013554 transcript:Et_1B_013554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTVMLIGNKCDLSHRRAVSYEEGEQFAKEHGLVFMEASAKTAQNVEECEPSPKCL >Et_2B_021986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7801141:7805026:1 gene:Et_2B_021986 transcript:Et_2B_021986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAATENAAAPVGVAAPEASVDADQRVEVATVEDPVTPTVAPKAEIGDVDQVIEDAAPEDGTHRDTEVHVDVTPEEMRSIIEVIADTGKFWHDWSFLKRLLSLQLKQALAEYPEAQMISKEGGGQPRSLSGETYSELVNRLNDALLRFEEGPPFTLQRLCEILLDPKGTYTKLPKLALALEKNLLVTSTLTKCTDPYPAAQGGPLSLEDAQITENVGAMDAVDAEPESVPEPAGAVPNGTDHAGGDGDEEMADAEAEEVSCSRDVEMQEEKPDQVSSVNPDGNADAVSGY >Et_5B_045730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8440928:8441605:1 gene:Et_5B_045730 transcript:Et_5B_045730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSPEAEEASVSAWEGEEIFQMSSAATKSPSFTFAPLLLGPFGAYGNAGMASSSRKRFLGQSSRLSFPGGVALSRLGKAKAQRVQMECSPEADEASVSAWEGEVIKECPEDELELTLGSARTRVDRA >Et_2B_022874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7027364:7030443:-1 gene:Et_2B_022874 transcript:Et_2B_022874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPIERGEQIGGFAGRFLHGYAVVDGGTTILLSLQQPVLFVAFDCTTGTWAHVVTAAETSWEDRSYYYLPIRERGVYVEEDDAIYFLCGGTLFAYRLCRDEGRGEYRMAPPIEVACLCPLAQEEGYGFVTHLGGRLMCSVWIGVTLRCSSCDAKHVLITTFRVVDEGGGSHGQFFPKGVEIIHSTCRRLDISPRKPSESHCEFCFLQEYAETVLENSMLLEGMEIATRSNGFESSNNMLPCCRSTALAVSTATHNGGRSPLAVSAHGGDGRINGERKTPEMSERIGQWH >Et_4A_033144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1915989:1917402:1 gene:Et_4A_033144 transcript:Et_4A_033144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAISAATMASSALLRPRASLRRAPPPAPSRLALPSPRFQVGTRQEEPALSARSEGERREPAVTRLYSLAPYPLLLAALLPGAEPVTAVFAPFVELVKTLDLPGWLVHWGHPGNMAVVLFAMGGYGSYLGFRIKLSDDPEEKAKAKDLHPKLLAGMFFFFALGATGGVTALLTSDKPIFESPHAVTGVIGLALLTIQSILPKLFEGNPGLRTTHGLLGSGIMTLFLIHAALGLQLGLSF >Et_1B_013781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16129679:16131646:-1 gene:Et_1B_013781 transcript:Et_1B_013781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGSRKRSRSASAVVADTASGYHVFRINGYSRIKGTPTGENLRSLPFTVGGHRWFISYYPNGNTSESADYISIFVCLADSVPKPVNAQFKFRFIDHVAEHSLILGQVHSFGNQYSWGYQKFMKKEDLEKSAHIQDDSFAIRCDVVVIDELRVEEQALEEDMTPAHVSVPPSDLHHHLGDLLSSEKGADVVFSVGGQTFAAHRCVLAARSPVFSAELFGIMKEGDTGCVVQIDDMEAGEALECF >Et_2A_016305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22998305:22999127:-1 gene:Et_2A_016305 transcript:Et_2A_016305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGDGTKVKFWEGNCLDSTSLAIQFWEVYIIVNEHDMTIFESILQGVIPVYIPAVRKLHPPLPKRTCFYGCFNIILNRDNLTKTNHLDGLSCLFCAKPEILKALARSFCLSEKKHIVFNMFSSAAPYRCWKNGSWRRMNTIWSRIIPMLKEWGKSLDYFNPSMVVLEGVTRRLERIG >Et_7B_055667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20386162:20388919:1 gene:Et_7B_055667 transcript:Et_7B_055667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRRRRQPHRRKRREKTNSAGVNLVERLPPDILAEIHRRLTFLDRIALALVVRRESGGHVMTSSSTDSTRPWLFLPWSESDETAIVDHKKTVAVPTCDPAMRGGHVVVGSIANPVTGAQAELPSIATIPLFTIKSGLWYILDGEAFARLRFDGDATLPRTLTMTADQLRTCFYRKVVLSASPRSDGFAAMLVTAPIFGAPAFATSQDPNWRFAPSRDGVEDAIHHDGRFYSLTYSGLIEAWDRDTGGSFTSKVVAPRLTVACHDKFRRKYLAVAPDERFMVVFKESSDVGTRNWMCAFKVHVLDAARRAWKEEPNVIADMDIFVGANSSICVSTTEHPELRAGCVYFTEDDIGEASLRQEDYMSYRVADDDELWNVAAYSFKKDRVDKVIVKPQEYPRWPLPACGQIEKKKKKKKKKKKKKKKKKKRLSTLTRAGRMPFRTGIWHLLDGEAFARIRFGGPPPYDGVDAT >Et_4B_038338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28198183:28218812:-1 gene:Et_4B_038338 transcript:Et_4B_038338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMEDVDAVKQQRKPTSTTADDDHGADLISGLVDDVLLRILGLVGDAREVVRTGTLSRRWRGLWTRVPVLSFSFLTTSLIKSASDAQRYIAFISDVLAQRAQSSDAIERLKITLELDLDCRERDQLLVPSPVGAAETWVRYAAQHGVKSFKIVWFQSVAEDTDDEEQEDEGKERPGTVMALDGIPISANLERLCLDFGGARVRLPATVVFPSLVNLKLESMELAGDSVHLFSGLLSSPRLQKLRMYDVTNPGLQELLIEVRTLLELSLENMHEMRSLELRTPNLHVLRVRGCHDMETLTVSAPKLEELTYRHNSFLTDIDGDLSSVQSLELDLYYSQDGIWNVTSTYLLRHCGSVRCLDVSLHGDLTVRMFRVYFFTWPSLHHTVLPERKHIYLFFLWSCRLFISKTHSAGLQQLPLITSLKVCIWSSEWHSGDRVASFLTAFSNLRHLSLNFNGWYDSDVSCNHPEHQKSHDISLVHLQEVEFKELAETDCGFQFLRFVLSRTTQLQKVLVNFSKIRQKDSINDLLHMLPNVDEAKQQQPKLSAKHEHAAGTEDLISGLCDDVLLRILGLAAKDGDWDAARTGVLSRRCRGLWTRVPALRFSSTPWSRHGWSAERFIALVDGTLARRAQAGDCVEHLDILFVFDDMHDPEQVEQPSIAAAEKWIWYAMQHGVKSFVFELDLPLPKAFKTDPDDEQEDNGDEVPVISLDDLPSSATLETMSLDLEGIRMRLPATAVFASLVDLKFEFIQVDDSSGHLLSRIVSSACCPCLRKLRLSSIEGAKELVVEASALTDLSLEFMHETRSLEIMTPNLRVLCIQLCGDLEVLTVSAPKLEHITSGRDCWRSLLIDIHPDLSFVSSLKLSLWSHASLNDAHKNLMNISLLQHYQSARCLDKIDIIKDKIPRLCQVTYLKVHISPGWTWHSFGDCLANFLSKFTNLRYLHLNFGDNHFSSPGTYKRRDKPFVTCWKSDSVCNHWKFYEFSSLHLEEVEFKGVMGTECELRFLQFMLAGAIQLRKAVLNFSPDNKLEGQKNDDFHHKLLGHGIWTDYCGECHVSGGPSTKEYDMDEAKRQTKASPPPASNNGDDRISGLGDDVLLHILGLVADDDARDVVRMGALSRRWRGLRTRVPALTFSTGPRFIDSASDAERYIAFISDALALRAQSSDVIERLEISLQMTNNCGQLLVPPSIRAAERWVQYAARQGVKCFELVLDLPEEHQKKRKHGGKKKLVTMAPDGLPRSTNLETMYLDLSKARVQLPATVVFPSLVDLTLDSMEIAGDIGHLFSSSPRLQKLLMCNIKLLGRRDLLLEAASLTELSLEEMSEMRSLELRTPNLRVLRIEDCCMIKVLTVLAPKLEEVVSLKNPCLIRLRGKFSCVSSLNLDLWSHGHMDGNGKNKKSIRLLQRCSSARVLTVSLKVTRDKRHLIDIIKDRVPQLPHVTSLAIEVDFQKEAFRFNNLRYLEIQLLQCYRPKKNDVMWLDVDAGTSSFLSDHKYGWNADKISLGHLQEAKFGGLIGTEDTQKRAGEMNFDVCYLVAERGLPAVSAMESTKEYVDETKQHTTPSPSASNDGDDRISGLGDDLLVHILGLLADARYVVRTGVLSRRWRWLWTSVPALSFSLWPESIDSASDVERYVAFISDVLARAPGDLARDDRELRPTPCSAVHKSSREVDSVRGDSGHLIKVTATMVYRIKALTVLAPKLEEVVSLHNTSLIHLAGELSCVSSLNLDLSSHGHIDGRDGGNNSSIRLLKRCSSVRVLTVSLNIPGKKRCPIDIIKIRVQQLPHVTSLAVEVNFENGCHSVGDCLSSFLAGFNNLRYLEIQLCHFFYPVNNDVGRLDVDAGTSAFLSDHTYGWSANKLSLGHLKEAKLTGLVGTEDSELTFLKYVLASATNLEKVVVSFDKFRPQGRRDEFRHMLLGGGTWTPCHDSYESYEWRPQVHQQATTATTVSAASATTSSCTSSGWRATRGTCWRTGALSRQWRGLWRRVPALTFSPWPQQLISSASDAQRRYIAFVSDVLSLRAQSSDAIERLAISLVIYNSCGKHLVPSSIGAAERWIQYAVRQGVKSFDLKLLPDEEDRGPKKKTHRAGRERFEMALDELLLPSSAKLETLCFNLSNTKVRFPGTVVLPSLVDLTLESVEVIGDGSSNNSFAGLLSSPRLQKLRLNDITLTGFQETLLIEAPSLVELSLENMYEMESLELRTPDLRVLRIEDCQDMEALTVSAPRLEELELSSNPSLVHVEGELCCSRLINLGLSSHGCTVNDNNRSIRLLEQCSSVRDLTLSLTLKRNKWRCIDIIKDRVPQLPHVTSLAIEVCLPREQHSLLGDSLASLLTGFNNLRYLDIQLYHSYNPYQNVVRFDVDAGTSASISDRTNGWNANKISLVHLEEAKFRGLVESEECDLPFLQSVLAGAKNLQKVVVSFDAEYSLESGRDEFRDKLLGGGTWTPCLDACEFIDLGRRLLIEANNLSELSISILRLQLRTPGLRFLRVKECHALHTLTVVAPRLSSFTSVRNPSLTYIDGDLSRVSAIKVDLSSHVDIEYGEDDDAEYVNDGSALVLQHFSLAKCLKVDLEIPISHLSQFIRKHDDAGIIEDLSQLGHVTSLKVSISGPCEQHSLGDGVASLLTFFKNLTYLSLGFKKVSSKLPKPQGLVSTFLLVALNHDNDRMIRPGSFKCGHLDHWKSQEISLVNVHEAKFKRLTGTDCELRFLRFMLSSATQLQKVIVSFNPEYELEERRRNDFQHMLLGHGTWIDCNDGDQSYDLATAEAKRPKLSSAAAHGAISCGDDLISALGDNVLLQILGLVRDTRAVVHTGALSRRWRGLWTHAAALRFTTRQWLKYGRSAERFVPFVDDALALHAQSGVAVDRLAISFTWTVLATMNCLCRKPSSQRWIGYALQHGVKSFRFALTLKPWAKTWPTMDLAELPSSSKLQTFHLMVDGTRVRLPTTVVFPSFTDLSDDWDFIE >Et_1A_005596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11966278:11968687:1 gene:Et_1A_005596 transcript:Et_1A_005596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDRRHFSVDFGAMDQAKTVKEISPSAYSLDRFSSLPIEMKSYIISFLNVEEAVKTSVLSKTWRNMWTTMPHIQFDSSFGSSKAQFVTLVDLALSLHEGSLVSFSIKGRGSYHDELYRWMYMLSRKKPSDITIKLKSEQYYMIPSCLFNIRDLEGLNLRFCIVTLPQMFEGFKRLQVLKPRRFSSTDAGINSLISSCPLLDILVLKYFKGISCLNIQAQVLRLLEAFGRP >Et_9B_065052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20836666:20852878:-1 gene:Et_9B_065052 transcript:Et_9B_065052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVPDKVIKGLVLEDLMHRSQLAGVSELLRALDDRSTLHAATLKIVIGSHIWLEDKNLAWIDGEVFQIEGQNAHVRTTNGKTVIASISDIHPKDTEVPPEGIDDMTRLSYLHEPGVLNNLAIRYSKNIIYTYTGNILIAINPFQRLPHLAEPCTMDKYKGANLGELDPHVFAIADVSYRQMMNEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSKTEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDTSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCSAPSDDIKRYKLADPSSFHYLNQSTCIKLDGISDAEEYLATRSAMNTVGITEEEQEATFRVVAAVLHLGNISFVKGREVDSSVIKDEKARFHLNAAADLLMCDRGELENTLIKRKINTPEGVITTAVDPNSATVSRDGLAKQIYSQLFDWLVNRLNASIGQDASSDRLIGVLDIYGFESFKNNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKCTHESFSQKLYEKFRNSKRFSKPKLSRTAFTIQHYAGEVTYQSDHFLDKNRDYVVLEHQELLNASKCSFVSGLFPLVLEENTKASKSSIATRFKSQLQELMETLSSTEPHYIRCIKPNNILKPAAFENINVLQQLQCSGVLEAIRISCAGYPTRKIFHDFLCRFRLLAPEVFRERNNEKVMCQKILDKMGLYGYQIGRTKVFLRAGQMAELDARRTEVRNKAARAVQSRFRTHVARERFLQLRNTSISLQSFVRVILACKLRIFLRKHAAALQIQKSYRSYFASKSYSRLRSSAITLQTGLRTFGAYNEYIARKQKKASILIQCAWRRRVARGELRKLKMAARDTETLKIEKEKLEERVEEVTYRLGLETKLRIDIEKNKAVEISKLQAALREMKQRVVESTEMKEKESAKKAVEDALALERGKITLLNTEVEELKMLLLREQEESSATKSTLLVAQERNDDLNKKIEVADESMEKLRDTVKRFEESVTELESSLMIEKQQNKAIRRELGDAQQRVEELTRQVADANRESTELQTAVQRLEESLIEKDATLLKERQENEATKKAITESHGRIEELLNKIEVTENDIGKFQDNIRRLEETAAALETSLLAEKHQSTVAMSHLAETQQAMELLQKKFADANRSNDMLQDSLKRFEENATARDALYVAERQEHDQTKQSLSRAQERNWELLRKLDDAEKSINKLLENVQRLEKHATARESLLLKTKQNLDCTTKALAEAQGRNQDLIANFEDSAKKINMLEDSVRRLDEGTAEKESLLAKQAHETTKKILTETQRRNEESMKKSQDSDKNILQLQLTVERLQEKASATEALLFREREQSNATMKAHAESQERNLQLLKKFEDVDKKICLLQGTVQRLGDNTAKDTLLLSERCEKDALKKALTDSEHVKEELLIRIEEANKKVENLQNTIISLKENISATTASLEAERQENETIRRSLVEAQERNDVLYKKVRDSEYRAHQLQDTVQKLQIDAISRLSSFMMDKQESDGVQKSCTDSHGRNDDLIRRNEDLEKRNEDLVRKIEDSGKIVTKLHENLERLEGKAANLEAENQMLRQQAIPTPPSTAKSQAAYSKISMIHRSQENGHILNGNVAYAEMKSSVCPTETRPLMVVRPSIWLHHQEPQNDQRLLLKYITQYLGFSGSKPIAASLIYYCLLHWRSFEETKTGVFDSIIQAINSVTEVQHDTRSLAYWLSNLSTLSVLLQRSFKITRATVSAPLRRRFSCERIFQANQTSNSGLAYFSAQSVDGASGLHQIEARYPALLFKQQLVDLIEKVYGMISDKLKELNPLLELCIQDPRTSFSNQAKASLSPATGSGPQDQLMHWLSIVKILNNYLHLLRANYVPSILVHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGQYIRAGLTQLKHWCDDVTREFADSAWVALRHIRQAVDFLVISLKPIRTWEEIRNDVCPALTLQQLERIFISSMKATLHEESNSVSSFSVLLDDDSSIPFSLEDIARSMPNIEDSADNDLLPFIRENQSFAFLLQ >Et_4B_036161.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29736718:29737360:1 gene:Et_4B_036161 transcript:Et_4B_036161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAEWSNSENERFERALATHGKDWERIAAAVGGGKTVDDIKRHYDLLVEHVGDIEAGRFGYPNASTSTSNGNRNNTNGNRYVRQACMYICTSVCVYIYFRSSCTYLLIAEFCNCMRTCCRANRPQT >Et_6B_048414.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:19288026:19288577:-1 gene:Et_6B_048414 transcript:Et_6B_048414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKTAAAEALRVSDEDGTRVDALNRAAVAMRQSQKEGDVVPSFFEGFALQGIRVDAISPGRILCSFTVPARLTTADGNHLAPGAVVALVDEIGSAVSVADGKQLKVSVDMSVSLVDLAAAAAGDALRITARALGHKGYYSGTHVLIANAATGKVVAEGRHSLFGKLKAAPPPPSSTLIKSNI >Et_9B_065918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17520169:17524099:-1 gene:Et_9B_065918 transcript:Et_9B_065918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWRKQTPSSCWIQCACEYKLRDELLSVACCMSFRTVKMYRASAFIPDPNQELLDAKPLRSLAPMFPAPMGVNVNQSSTPPLVCVTPVGQFPAGFGAGNLPAFGSINTFSTTADGVSYAGTSANGPIDATPISAYKTRSNIISFDGDDGPHSGNQTLGSERKARRGRPPGSSADRSNGVKIKRPKPTNFVAGKELAFLPPSSTDPREIVEAVHMTFEAVRRRHLQLDEIEETSKRPDLKTGAIMMASNIRANSGKHIGTVPGVEVGDIFYFRMELCIIGLHAPSMGGIDYMNAKFGNDEDSVAICIVSAGGYENEDDDTDVLVYSGQGGNSKNTEERHDQKLERGNLALERSLHRKNEIRVIRGFKDPACATGKVYVYDGLYKIHESWKERTKSGINCFKYKLLREPGQRDGAAVWKVTQGWIANPATRGRVILADLSSGAEMLPVCLVNEVNHEKGPGHFTYTNQLKTTNRGWGLRSWDPIRAGAFLCEYTGEVIDELKVNLDDTEDDYIFQTVCPGEKTLKWNFGTELIGEDSTYVSADEFEPLPIKISAKNMGNVSRFMNHSCSPNVFWQPVLYDHGDDKHPHIMFFALKHIPPMTELTYDYGVAGAEASGAVDSANIHFMSHGDLSPRIEGGRLVLVEL >Et_2A_017645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4620407:4623122:1 gene:Et_2A_017645 transcript:Et_2A_017645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAFETGRSGGGGARGLSHAPAAAAAAGSASSGRGSDSRAAVMQSSEGDDVDGEVESSFRGPLDTMDALQGALPSNRRGVSKFYNGKPSSVADVVSSPQPANPSPKKRKGLLPFNFSWGKSRSRESSSRGNVGNGPTSGMKALSPAGTSSAAGNTRSDNEHGFYQDLPRRSLQIGSSAALRSQLISVQMKSVSVGLEDVVRGLVWLLEVTQGRSSSGSYQRSHLLVVLEPDSSLTVQIAELRRWTTGQSIAPDLGNVAYPLT >Et_6B_048542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10611423:10613232:1 gene:Et_6B_048542 transcript:Et_6B_048542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGKMEHIHMEHKGLLGGEFKDAICVAIPKPPPSNSRPNSMVVKKVCPREFIPPHIVAEAISTMHGLDLRWSGPITPTERQYVEQYVQAMYPQYSNGLIEDGSCDKDDLYSTYYSGSSTATSPEAGGERRKSSPVGSPSSGRPEMVDMVRLEPSRLLDILTKKSSFPGSFISIPEIQARNRVLRHCGLTDDEYLVLFAPTPRDAMMLVGESYPFFRSSYYMSILEEDVDCIRAFAAYKEAKVIAAPESWLDLRIKGSQLSQYFRRKSKHSPKGLFAYPAVSPSSSSSSSSAARYSLHWVSEAHRNAWHVLLDATALAVGEDRLPLSLHRPDFVLCTLGDATRGAQQPAAAARVTCLLVRKRSFDTSLPQKSQQ >Et_3A_025763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32677116:32678539:-1 gene:Et_3A_025763 transcript:Et_3A_025763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAELRIRQQGEPSLVPPAEETPNGLYYLSNLDQNIAVIVQTVYCFRAAAADGGACDVLRESLAKVLVHYYPLAGRLTIGGDGKLVVDCTGDGAVFVEAEADCAMADIGDVTEPGDPSVLGKLVYSVPGAKNILEMPLLAAQVTKFTCGGFVLGLAINHCMFDGVGAMQFVNSWGETARGLPLSLPPVLDRAVLRARDPPQVAFPHHEFAQITDDKEDDDSSVADEPPLLHRSFRFTPASIARIKALVAAPEDGGRACTTFEALAGFVWSARTRALGMVAPARRSKLLFAVDGRPRFSSPPLPAGYFGNAIVLTSAACAAGELEASPARAVRLVRDAVEAVTDAYMRSAVDYFEATRARPSLASTLLITAWSRLPFRAADFGWGPPAAYGPAALPEKEVALFLSCGEGGGVRVLLGLPAHAMAEFARLVQEVTAP >Et_5A_042356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9011652:9021089:-1 gene:Et_5A_042356 transcript:Et_5A_042356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPDPHAGLMVGGNDAIRSLRLRHPQWKLHILSLPLANTSVSGGGLVELRDNLDPLFNYVVHIKKQKIIITSSILAWTMWIRDLLDQEGRDKRNTLIDGASLRGTGCTTNHPFRIQIPGNCGVIDVTLLVLLYYVVEATVEVLILKVRSSFDLSLGCFTSGLDEESKNSMSRTPRPPSFGSLTIPRNSTRHFDGAIVELITRLKEVGGCCNDEFFDRFNVDALSSNSNQHCCSFKVRAILVDFALISVKFPHRARSTATIRRVQGNESGQPYRRMSTSSFSQGEEEEHSAVTQGISSNNSMTYSSRKMRNIDTRGDISTNDRTAEVVLTDEAQAVLNKVYPESSHRDGSIYNRGMDIWWKKEYRIADRDETQLEPEACILHNGTCLNHDPCWLLQFFSIELAKVPVDGGGSVELYGYLAARDNLDPRLNYIVNISRDDPVVVQQGSRIKMDGPKRGIINMDFTLLEFDMRIKTGEQEKDDLSLIDGASTICYADGGLCPRPFEYQITGDHGVVDLNVGVLFNAVEATVEVLISEVRSGFHLSLGCFTSGLDEEIRFFDGAIAEPRGLKRHVVAVVLDALMDLKFRVAAPSSSSSDQHCCSFKARVHGHDTQEIRTDFALLSVKVTWSTL >Et_10A_000554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12704829:12709738:1 gene:Et_10A_000554 transcript:Et_10A_000554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPEGAASGGGAKKPKTSPPPPVAALGDDLLREILLRLPDMASLACAARACKRWHGVASDPAVFRRFDALRRPPLLGFILTDRGDRHFPRRCSNLYFVNATRGYPDLNSVVAEADIFFEDLPDVDSDDEEEYYSDEWRLRGCAGGRLLLSYGSDGLVLAIYDPIARTAIFLHPHKVFRASTHMVRYAIVVDEATGSFLIIGVVDCWAAMFSSSSGKWVKFDRDAFLKKVERDKHEDELFYLHEDEDYYEGEVFDSFFLPGDGMAAGRFAYWRSETKKKNCKYFEAVERILVLDTTTMEWSVITAPFPTGESYCVADMPENGGLCLISSKEQCLQLWIRSSTGKWVIKEEFSLMTERMKKLRRDERMKRVRILAVRAGYVYIEFWSIRKSHSYLLVFNLRTRKMRMFRNNSDEPYRGPAFPFFMRMDPCERTGGGATKKPKTPPALIAARGDDLLRLPDMASLANAACVDKRWYGVASDPAVFRHFGSLRRPPLLGFILTDRGDQRFPLRCSNLYFVTASCGYPDLNSAAADADIFFEPPPPQRRFG >Et_4A_033935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28182398:28185184:-1 gene:Et_4A_033935 transcript:Et_4A_033935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDASSPSHRIARVAAHLDPPRPQMEEGASALRPAPCRAKGGAPGFKVAILGAAGGIGQPLSMLMKMNPLVSVLHLYDVVNTPGVTADISHMDTSAVVRGFLGAQQLDAALTGMDLVIIPAGLPRKPGMTRDDLFNKNAGIVRVLCEGVARCCPDAIVNLISNPVNSTVPIAAEVFKKAGTYNPKRLLGVTTLDVVRANTFVAEVLGVDPRDVSVPVVGGHAGVTILPLLSQVTPPCSFTQDEIKYLTNRIQNGGTEVVEAKAGAGSATLSMAFAAAKFGDACLRAMRGEAGIVECSYVASQVTELPFFATKVRLGRGGAEEILPVGPLNDFERMGLEMAKKELNESIQKGITFMNK >Et_5B_043399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1003405:1007208:-1 gene:Et_5B_043399 transcript:Et_5B_043399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGADSVAPARGGTPGRWRRILPRATSRWPCNGLLVVERGSREWAEEMERSNIRMLQLADELEQQEDDEGCDTEEAKLAFRAFKLRTCRFYRMMANTKPEDVVALHKPPASDTRGSKELAEPEARWNRELLAQADELEQESEDSCETEEDKAAFRAFKVKFAGVYRNLASTDSRPLVFRAGPTDTQEEHLTAEDMEDRLSGRKLCPQAQHFATLALKHYNLFKKTQKFEMATVLLSKCFSETDGRTFAHVNFTATTQDQSATHPAKRLFFAELMLIPALQAYQGVEPMCVIHVCTIDDSCFGGCHEFKREIKKPLEDNLDYERCHACSDRIKHTRGHQFVGGHNSTRMPYYSTFI >Et_6A_046038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20140029:20141280:1 gene:Et_6A_046038 transcript:Et_6A_046038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSPELPQDILRQIFATLEIADLVRVGAACTSWRAAYISLCSNGLYRVHQTPCLLYTSESAGASAAGLYSLAEKKAYKLTLRGPPIRSRYIIGSTYGWIITADERSELHLVNPITSEQIALPSVTTIEQVKPIFDVTDAVCNYEYSWYTGEEVIADSSSVFVLNELREFLFDKLSFARAGDDKWTFTPKILLDKAKDIPFEGIYIVQTPCGDLLQIWRSHAAPRGGEEDTLESQVSNTSALKIHKVDPKTKKLVEVRSLDENVLFLGHNRSLCLRAKEYPQLKSNHVYFTDDDYLYITEFKNNRRDICVFDLDKKSNEEIVSPQLWSNWPAPVWVIPNPRKMLGIP >Et_4B_039358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11246846:11249302:-1 gene:Et_4B_039358 transcript:Et_4B_039358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISEAEDDIDKELQLVYDLEDEEVEEETEVRAREDDAPSREEEAPPRNRIRQEVKKLQQASTSTVHQRRVCAREEKTEPKKSTKSNVEGLFERYLEMRTKQVCRSLVYLSSETTRRRDPPRRPSPPATYSGYHLLVVRGYSSTKQVTPNGECLVSRPFKVGGYRWRIEYFPNGHKPEHAGDIVSFYLTLDQDDIVDHVEAEYGFSLVDGDQKLDSTLLVCASETLEFSSEYYCSLSCDMEAEIFEESKYLVNDSFTIRCDVTITKDVAVGDATPVKVLPPLSDIQPAPRQPPPVQRRHRRDVPSRGRDLRCSPVRARGPVRRLQG >Et_9A_063236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16824894:16826831:-1 gene:Et_9A_063236 transcript:Et_9A_063236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHFAPLRAIIILFLSATCLGSDQDVQCLRDIRDSVTDTNGILKSTWTFTNSSKGFICQYTGVECWHPDENRVLALRLSNLGLQGPFPRGLQNCTSMTALDLSSNNFTGSIPLDISRQVPFLTSLDLSDNGFSGEIPVNISNMTYLNTLNLQHNQLSGPIPGQFNLISRLSTFNVADNRLSGPIPTLLGNKFPASDFAGNQGLCGQPLDGCQASSKSKSNAAIIGAVVGVVTVVVIGVIVVFFCLRKLPAKKKAKDEDENKWAKSIKGTKTIKVSMFENPVSKMKLSDLMKATEQFSKENIIGTGRTGTMYKAVLPDGSFLAVKRLQDSQQSESQFTSEMKTLGQVRHRNLVPLLGFCIAKKEKLLVYKHMPKGSLYDKLNQEEGKNDKMDWPLRLRIGIGAAKGLAYLHHTCNPRILHRNISSKCILLDEDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLMATPKGDVYSFGVVLLELITGEKPTQVSTAPDNFRGSLVEWINYLSNDAILQDAIDKSLIGKGYDGELMQFLKVACSCTLSTPKERPTMFEVYQLLRAIGERYHFTTDDDLVLPPMSTDGETLDELIVAQ >Et_1A_007932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39535045:39541505:-1 gene:Et_1A_007932 transcript:Et_1A_007932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FDRLTNGIAQVIVTSVCPPLPRCGCPSPSSSPSFLLLSAPPRQPTESRCLPIYLACRAPHTRTPICVAPSPRTPATMGACFSASAAAGQSKEEKRKRRGAASPEGQGKAVRVEFGYGRDFEARYEVGRLLGHGQFGYTFAATDRGSGDRVAVKRIDKAKMDRPVAVEDVKREVKILKALQGHENIVNFYNAFEDDSYVYIVMELCEGGELLDRILAKKNSRYSEKDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRKRPWPSISPGAKDFVKRLLVKNPRARLTAAQALSHPWVREGGEASEIPVDISVLSNMRQFVKYSRFKQFALRALASTLNEEELADLKDQFDAIDVDKSGSISIEEMRHALAKDLPWRLKGPRVLEIIQAIDSNTDGLVDFREFVAATLHIHQMAELDSERWGIRCQAAFRKFDLDGDGYITPEELRMHTGLKGSIEPLLEEADIDKDGKISLSEFRKLLRTASMSNIPSPRGVPNPQYYVVLYAVAEDEKKLENAIFQLIEIEGLFQSTSEAV >Et_1B_013538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9291626:9304490:-1 gene:Et_1B_013538 transcript:Et_1B_013538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVQKYKMPGVRSATRPALADLSGGGFFIRRVASPGAVMVKGGVKPLARRAQTPSSNKENVPPAGAVMAAPKRRSPLPDWYPRTPLRDITSIVKALERRRLRDAAASRQQIQWTEDSSQSLEPTTQNPSSTQDVATPATSSVRDKLTMSFSPCDCSLQTPSRPVDPALSDLWEKELSSSIEQIEKMVRRNLKQTPKAAQPSKRIFKMPEVRVATRSVLADHSGGGFFIRRVASPGAVVVKGGVKPLARRALTPSSNKENVPPAGAVRAAPKKRSPLPDWYPRTPLRDITSIVKALEKRRSRLEDAAALQQIQWTEDPTTPVQVEQNVPQSTPQTHETLVVVASGPGSTQVVANPTTLSAEGKLNASSPSDCSLQTAPSKPNDPALGDLEKKLSGSIEQIEKIVSHNLKKAPKAAQPSKMTAQRRTLMNSDLKIHREILTTKQEEGSA >Et_9A_062352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22102783:22104756:1 gene:Et_9A_062352 transcript:Et_9A_062352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPHAAVARRAKGVRAASRAMWGCASCRCPDSNRAYRRARAGNQDEMDATGSDWVASLCACTDRSERHFGVSTDGAIWTAFRFRYIEFKIHAGHVSMCDVLFWNGRRVRYVFKRGFVRCLLTHLRMIMLKSHFQRRSRFARLEMMF >Et_10B_003147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17034989:17039366:1 gene:Et_10B_003147 transcript:Et_10B_003147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWLPVEVIEQFDHKGETGCVLPSNGLLHLMGYVGPPSSMRISEFEGPKYLEALFGRPPCPCLKTGLPSLMKPAGLGLAVGYRLIMRQKPIKPCGKNKTKNIRQVTFRFLLIWTPAHPDTSPPRRLRRTLSRSSAAASLSNRPHDPASEEAKGNAVASRAFSSAPAGLRRKVRAAASLSRRPPPQEPMTSSRAAAPGAAFDPFDVDADPPPRPELTPEQVELCRDALAHFEARRKQKGALSDEFASLWGMEELRPNISVAHYHANRWKNRYINILPFDDTRVRLNPSTTSGTSNNDYINASFVKATQDNRVAMFIATQGPLIQTFEDFWQMVCENQCPAIIMVTQFDGLKCDEYLPLRNGQGVYGKYSVKIRNTRQDNHELWLRDVEVQCTESGKVHSVLHIEYPDWPDHGVPTNTVAVRQIWKRVHHIPREHPIVTHCSAGIGRTGTYITIHTTIERILLGDKSSYNLVETAKNFRSQRTGMVQTEVQSAISCLANII >Et_9B_064017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10018505:10025412:1 gene:Et_9B_064017 transcript:Et_9B_064017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQRNEAVRGEVSKIHFVVQGFAVAAIILLLTNGSQAHVDPAHTTGGLTPLLVSKFHRQNTATSKVVDDEQWVMVKTKGNQFIVGDRLFYFNGFNSYALMVLAADSSTRGHVTQVFQQAAVVGLTVCRTWGFRDGVSMALQKSPSVYDENVFKALDFVISEARNYKIRVILPLVDNWSDGYGGKAQYVKWARDAGINVTSNKDGDDFFSDQTIKGYYKNHIKNMLTRVNTYTNVMYKDDPTIFAWELINEPQCRSDPTGNTVQAWIEEMALHVKSIDPDHLLEVGTEGYYGPSSPTRLQDNANIYSGQFGADFIRNHRVHGIDFASVHMYPELWLPNGTSLEVQLQFVQSWMQAHIADGEGVLGMPVPHSAFNSTSRDQHLQVVYGEPLGSAQCSGAGAGNLLFEVIPEGLLNTNDCYGVVLTRDAATAEIMSAARRTPRSCRSSTTGLESL >Et_8A_056104.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:20374801:20375801:1 gene:Et_8A_056104 transcript:Et_8A_056104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASQHSDKIVMASPTKTPPSLSGPPDDAELRSTWLHRAWTLAGTAAILSSVITCARLVAASGSVTTELLAVAMAAFAAYSLADLTTGVYHWLVDNYGDEAMPVFGAQVANFLDHHRRPSAIARLDPCNNLHVLAGVVAVALPAAGAALSGAAAHAFAGAFAACVALSVQFHAWAHERPSRLPPGVAALQAAGVLVSRSEHARHHRPPHNSNYCTVSGMWNGLLDRNRVFEALEKVIYLGTGVKPRSWV >Et_8A_058408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6729392:6733725:-1 gene:Et_8A_058408 transcript:Et_8A_058408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAEERSAAAAEEEEAEVGLGRARATRDADAMARGTGASDEQTDFPNSVKRPKELKSFNGIGNPTNLVQDETNIKALLPLTLNSITWGLWKLKNNLCFQNGSWKDMKTIWGQVLVLLKNWKIFCPGKFMEEYITKCSQVEVSLATPERLGRRTDRAGGGVVIDP >Et_1A_008987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23383364:23384905:1 gene:Et_1A_008987 transcript:Et_1A_008987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKVSRSIVLSTVDNVKEKIQETEHMAPKNQRLIFGGRQLEDGHKISEYKIMQESTLFLTGRLGCSRCYKDFDVQTLSGKTITFKVTPSIRIEDVRAKVHNQQRLLFAGQPLEDERTFAYYPIAHEATLQLDFGMQFSVTNAHRQDQENPRGMIKDQQRIIDGKQLNNQVKLADYNIPTQPSQHLDNCRHGGIPIFVMALPGKTIRVKESGHSDTVGDVKAKLQNQQRLFFNGKQLKDGQTLADYDIQKGSTLHLDYAKNIYVKTPSGQTISLEVEPSDTIENVKEKIQQKHMLTFDGKQLQEGRTL >Et_4A_032424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:181868:188491:-1 gene:Et_4A_032424 transcript:Et_4A_032424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPPPQQEEPQAAAGSSSHPDPDAASSAATLPSRNATSKYDFVKVKVWLGENADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQTDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVILVCGTACTGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFNSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEEKGVDNSRMEKKVADLENPGISVEMKTEHQPKNGLAENKISAMEENESSFKSPEFLPKEGSINESLPYANSHGTNYCSSAHSKEKSPKDEGADRHKDLEQQKNNAAKKDKPAAEPIVVPIVLRMSDFDHKALLEEWIATRASRDNSLPQDHRKLINNLKLIQDYLCSFESQGLTIVDISANSFPQTLDWLHSYLLQCIKRGLLVACSESCKQGGG >Et_1B_010676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12947716:12951710:-1 gene:Et_1B_010676 transcript:Et_1B_010676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRSATAGARCWCAPTCGGRRAAGVRTTMVDGAAGFLVGPGWAECSTRRGSWGVGGGRVVVDIVGRDASPADPGAFVLEPKSVLMNEQEQKLNDSESAIASMQTSKEYLEKQMGEVENNIRELLQQDPGLARQILSMTVQ >Et_2A_017765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:677313:681279:-1 gene:Et_2A_017765 transcript:Et_2A_017765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ANMASTFGATSTRRSNFRVKAAKELYFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVQITRGIEKTAKALVEELRKMSKEVEDSELADVAAVSAGNNYEVGNMIAEAMSKVGRKGVVTLEEGRSSENNLYVVEGMQFDRGYISPYFVTDSEKMTAEYENCKLLLVDKKITNARDLINVLEEAIRGSYPILIIAEDIEQEALATLVVNKLRGSLKIAAIKAPGFGERKTQYLDDIAILTGATVIRDEVGLSLDKADKSVLGTAAKVVLTKETTTIVGDGSTQEEVTKRVAQIKNLIEAAEQEYEKEKLNERIAKLAGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAAKVDAIKDALENDEQKVGAEIVRRALSYPLKLIAKNAGVNGSVVTEKVLSNDDFKFGYNAATGQYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLTSDVVVVEIKEPEAAPVPNPMDNSGKFHGSREMHYMVTVVASPRILLTICYFSLLQATDTDEGRAIHGPIVKSACHFSFFFFFR >Et_6B_049196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19252101:19256762:-1 gene:Et_6B_049196 transcript:Et_6B_049196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSSTTVAAPKSKEKWKHIAGALLLFITKAAGCDALFCGPLQASTPSSFLGKKLRKQQQVISSSAVNYHGSRPSNLNRFRVMATAKDLDESKQTDKDRWMGLAYDISDDQQDITRGKGLVDSLFQAPTGDGTHEAVLSSYEYLSQGLRQYNFDNTMGGYYIAPAFMDKLVVHIAKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKLGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCVLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIVVTGNDFSTLYAPLIRDGRMEKFYWAPTRADRIGVCKGIFRTDNVSDDAVVKLVDTFPGQSIDFFGALRARVYDDEVRKWIAEVGVENIGKKLVNSKEGPPTFRQPQMTLEKLMEYGHMLVQEQENVKRVQLADKYLSEAALGDANDDAMKTGAFYGKAAQQVGVPVPEGCTDPKAANYDPTARSDDASCMVPRVQNPILSVWLPATMAATFSSTVGAPVRRSIIKLQRLREDGFFTLLIGVSVCMQASTPTSFLGKKLKKQVTAAVNYHGKSSNANRFKVMAKELDEGKQTDQDRWRGLAFDISDDQQDIIRGKGAVDSLFQAPMGDGTHEAVLSSYEYLSQGMRTYNMDNTLDGLYIAPAFMDKLVVHLAKNFMSLPNIKVPLILGLWGGKGQGKSFQCELVFAKMGITPIMMSAGELESGNAGEPAKLIRQRYREAADMIRKGKMCALFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRSDNVTDEAIVQLVDTFPGQSIDFFGALRARVYDDEVRKWVAEVGVENIGRRLVNSREGPPTFEQPKMTIEKLLEYGHMLVKEQENVKRVQLADKYLSEAALGEANEDAIKSGTFYGTSE >Et_7A_050997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13467897:13469791:1 gene:Et_7A_050997 transcript:Et_7A_050997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATVVLFAPSPCHAAATASGRRGGRAALSHGSVHALQRRRQQGSRRSCGGRGVAAAAAVPPEQSSSVSSLAGTAGYESVGDVKAALYRALEGENRAIFGMTSAKRSEVHALVELLESRNPTPEPTATLQEKVDGCWKLIYSTVSILGKKRTKLGLRDFISLGDFLQIIDVKEEKAVNVIKFSARALKILSGKLTIEASYSVTSKTRVDIKLESSTITPDQLMNIFQKNYDLLLAIFNPEGWLEITYVDDSLRIGRDDKENIFVLERADPSEV >Et_2B_021821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5858203:5865060:1 gene:Et_2B_021821 transcript:Et_2B_021821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAALSTSHPLPLLSTAPARRLRLLPSRSVSGRRIRPSPRPRGFVCVRDGRGEMHFARKNGFSIARSSSASIEPATQEVRAEGSGEWSGDAIRRRFLDFFAARGHKILPSSSLVPDDPTVFLTIAGMLQFKPIFLGKEPRRVPCATTSQKCIRTNDIENVGRTARHQTFFEMLGNFSFGDYFKKEAAAWAWELATKEYGLPAERLWISVFEDDDEAFSIWHNEVGVPKERIKRMGADDNFWTSGPTGPCGPCSEMYYDFYPERGSSDADLGDDSRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGMGLERMARILQKVPNNYETDLIFPIIEKAASMAMMSYDKADEATKTNLKIIGDHMRAVVYLISDGVVPSNIGRGYVARRLIRRVVRTGRLIGIRGDGHGNSEGAFLPSLAEVVINLSTEIDPDVKSRQKTILGELQREELRFVQTLERGEKLLDELLDEALLNAGKGNQPSLSGKDVFLLYDTYGFPKEITAEIASERGVTVDMKGFDTEMENQRKQSQAAHNVVKLSVGTENEIVRSIPDTEFLGYDSLSTTAVVKGLLVDGNPVNDVSEGSEVEILLDRTPFYAESGGQIGDNGFLYVNGGEDGKRKAVIEINDVQKSMGNIFVHKGTIKLGSIEVGKEIDASVDAQLRQGAKAHHTATHLLQSALKSVVGSETSQAGSLVAFDRLRFDFNFHRPLSEGELIKIESLVNQWIGTATQLETKVMALQDAKNAGAIAMFGEKYGEEVRVVEVPGISMELCGGTHVSNTAEIRGFKIISEQGIASGVRRIEAVAGDAFVEYVCARDNYMRRLCSSLKVKAEDVNGRVETVLEELRATRNEVSSLRSKIAVLKAASLASNATTVEPHNVRIVVENMGDVDADALKSAAEYLVDTLKDPAAVILGSSPGDGKVSLVAAFTPGVIKMGVQAGKFVGSIAKLCGGGGGGKPNFAQAGGRKPENLPDALEKARADIVAVVSSDSS >Et_3B_030711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6660908:6661961:-1 gene:Et_3B_030711 transcript:Et_3B_030711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETDPWEKHIGEGVAELGACCRRVRDLLLREAPSLLYDPRMIHTSDAKHGAEELARAEEKLGDASISLAEAAAGMDVAEMLALRSGGSSPASPLSSIDGLLLADRDNTPLWRALGNLQKARARSEDALGAMERCRGHLGAAALLLDHPAVPAVDDCIEAERASAESELDTMEKLVEEIETLVGAACQFLGLHLKIKDMFTYSRLRTLDQN >Et_4B_037680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22412928:22417010:-1 gene:Et_4B_037680 transcript:Et_4B_037680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRRTSSSFFGGLRARELSGAGSSSRAAPRLPYLADLSSDPGGRGAGVIAVEHSGDPAIPFAISFGKTAQTSNLLAVADEDGYVGLYDTRRRLPSSSSSLEKSAETSVSDWVAHNNAIFDVCWIKEGSQILTASGDQTVKIWSVGNRKCIGVLSGHTGSVKSLSCHSSNPELIVSGSRDGSFALWDLRCDPKSPNSHGEAFLMSSAVVREAHSPVQKARTRSRAKAASTSITSVLYLKDEVSIATSGAADNVVKIWDTRNLKVPVSNKNSQAGAQPLEGVKHGISCLSQDSYGAYIAASCMDNRIYLYSVLHVNKGPIKVYTGSKIESFFVKSAISPDGTHILGGSSDGNVYLWQVDQPESAPIVLEGHEGEATSVDWCASEVGKIATSSDDSTVRVWSTKKMDCTNISSPTVIRKRITAPNTEYRRSATHERATTSGDVVACGSAHGESPSGSHSLQPRVLNFGTPQSAKKRVFALFQEEALDDLKKSPEADMKSPASVLSPPPSLKRRTIRDYFASRAMAA >Et_3B_029702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27259753:27271498:1 gene:Et_3B_029702 transcript:Et_3B_029702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGGLVCVTGGSGFVGSWLVRLLLGRGYTVHATVKNLQDEGETKHLRAMDGADTRLRLFQMDLLDAATVRPAVEGARGVFHVASPNELLEPALKGTLNVLRAAKDCGAGRVVMVSSQTAMVPNPDWPADKVVDDDCWADVELLKKLQKELLEPAVKGMLSVLRAAKDSGVSRVVLMSSQAAMVPNPNWPADKVVDEDSWSDVELFKKLEFWYGVSKTMAETAAWEFAAKEGLQLVVLNPGMVLGPMLTPAVNVSLHLLLQVLGGKRIDLDEVYMGCVDVRDVAQSLIVLYENASARGRHLCMESVDRLIDFTNKVADLYPELPVQRIQEDKQGWVVRAKDPSKKLISLGVRFTPIDKSIRDTAAGNSAYCDAHGGLVCVTGGSGFIGSWLVRRLLDRGYTVHATVKNLPEDESETRHLQALDGACTRLRLFQMDLLDPASIRPAVKGKELLEPALKSTLNVLRAAKDCGANRVVLMSSKAAMDDCWADVEQLQKLQLWYGVSKTLAEKAAWEFAGEEGLQLVVLNPAMVLGPFFTPAVNASLNILLQLLKGQRLDMDLYTGCVDVRDVAQSLITLYENPSAQGRYLCQESLELWVDFTNKLANLYPELPVHRIMEDKQGWSVRAKGPSVKLMDMGVRFTPVDTTIRETVDCFRSKGLI >Et_9A_061957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18369858:18372865:1 gene:Et_9A_061957 transcript:Et_9A_061957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGGAAKEEDEREVSEEALTADSADDELGRRGSSSSASSEAASTVSSFSPPQEWQQQQQVAVIKSCVSADVIAVPAGKEEKPPRGGDVAATHKHRASEMEMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATIFGQLWRLEPLLPEKKAMWRREMDWLLCVSDHIVELVPTWQTFPDGTRLEIMTSRPRSDLFINLPALRKLDNMLLEILESFRDTEFWYVDQGICAPDCDGSASFRRTFHRRDDKWWLPVPRVPPGGLRDATRRQVEHRRDCANQILKAAMAINSNALAEMDVPDSYLDSLPKNGRATLGDVIYRYITSDQFSPDCLLDCLDLSSEYQALEIANRVEASVYVWRRRGAAKPSTKSSWGMVKDMIMDTEKRDLLAERAEGLLISLKQRFPGLTQTSLDMSKIQCNKDVGKSILESYSRVLESLASNIIARIDDLLNVDELSKQSDHLPAGGADAKITSGKNNTNSKTIAPSPYQVVPASGTPYATAYATPSFSPAQLSSPSKIGRALLVDRRPHHHGRGASAKRTNTSTADRGAAVEVVKGVVVSGAMFDLPAAAIAAEL >Et_5B_043325.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:3082638:3083045:-1 gene:Et_5B_043325 transcript:Et_5B_043325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVAVVVLLLAASALLFLLPPHARAPASGRDDKGPREPVELAIGVAGHEGWLDAIRAWAKLACFRLRLAGEPGSPASVTAAAKKSLEMGMEAVEHTAESAARATEEAVERATETVKRKVSRSPSARRRDGDL >Et_2A_014789.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:15049933:15050304:1 gene:Et_2A_014789 transcript:Et_2A_014789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGTTEEWRRNADTHKMSPEEVRAAGVEASMRPPGRGGSGAGEVLHQRGRLPFGPGKMALVGFGIFGALGYLVLYQKARPGTPATEVAKVAVNQGDPAAGRDDADNKRPRPPAEGARDATK >Et_3B_028006.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:31144747:31145409:-1 gene:Et_3B_028006 transcript:Et_3B_028006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHTAPAAAKRMWSYLRAVFFMMRKGVLSNKRKLFISMHLLMKRRNKAVARTVANLLSHHHHHGHGNSNALRRRDYEFSCSNSPDPASFSASRRRLAYFPCLGAVAEEDDYFPTTADVASPAPLARIEYYAAAASPAPSSPGLLMRELAPGEDYLASPAPPGAFSVRVSNYSSEDEAGGPGGSDAVDDEAEEFIRRFYEQLRRQNQIAMLPYYLQEAAA >Et_9A_061128.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17666792:17667115:-1 gene:Et_9A_061128 transcript:Et_9A_061128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFVGGVEQGAGRVLKEAAGRCLRCGGAADLVETEKVLKLFFVPVWRWPGKDPAYLCRECGLLAPGSLGAEPGPPPLLPRDGRCGACSRAVDPQFRFCPFCGSAL >Et_1B_013899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2323394:2325271:1 gene:Et_1B_013899 transcript:Et_1B_013899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRPQGQSLSSLLPSDPPAPQPALNASPVDRHPELPAAGVDKKEELHPNAATTADLRDDELDSSAARRAALLPLSPQDNVTECSRGLGAQRGPAADGHRSLENGHHHIPEPVIKARERLTNGVVVRAVPLTAVPAARVKKEEIVKDDSGGGGGNGGGGIKKRRDPAVLVEGSRCSRVNGRGWRCSQPTAVGYSLCDHHLSKGRARAATAAAGRAAVAGKLGRTEHRPRNALADADTLAPKADVTPPSVPHC >Et_8A_057347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23977442:23978520:1 gene:Et_8A_057347 transcript:Et_8A_057347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQQQQQAPCVPPGFRFHPTEEELVGYYLARKVASQKIDLDIIREVDLYRIEPWDLQERCSYYGGSGSGGTGSQEEEPTEYYFFSYKDRKYPSGTRTNRATAAGFWKATGRDKPVLSSSSSRPAGVIGMRKTLVFYRGRAPNGRKTDWIIHEYRLQSNEHAPAQEEGWVVCRAFQKPMPNHQQRHNCYAAAGYPTGGYGYFYDGPDAQHARLMMNTTPATNSNDHSSRSSLLLAGAESKLQVHQLIADMMPPLQSPAAQSFDQDQSSVDWNLLSSLLPSPQLNFHQPPASPNSCSKNNNI >Et_2B_022341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18534410:18537986:-1 gene:Et_2B_022341 transcript:Et_2B_022341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAVAKRLEKFKTTIFTQMSMLAIKHGAINLGQGFPNFDGPDFVKEAAIKAINAGKNQYARGYGVPELNSAIAERFLKDSGLQVDPEKEVTVTSGCTEAIAATILGLINPGDEVILFAPFYDSYEATLSMAGANVKALTLHAPDFAVPLEELKAAVSKKTRAIMINTPHNPTGKMFTREELEFIATLCKENDVLLFADEVYDKLAFEADHISMASIPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGLRQAHSFLTFATCTPMQAAAAAALRAPDSYYEELRRDYGAKKAILLEGLQAAGFIVYPSSGTYFIMVDHTPFGFDNDVEFCEYLIREVGVVAIPPSVFYLNPEEGKNLVRFTFCKDEDTLRAAVKRMKTKLRKK >Et_9A_062404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22545669:22549533:-1 gene:Et_9A_062404 transcript:Et_9A_062404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPATADPAGVSTPTHSKLRNRKQRLAPIDTSRGGREGSSGVPAPGSERASKARQEEEEEQGAMAPAPAAVDEEAAGGRDLEAGEPMSPAGRLFRERHFNCYIVAVIGLGAPVDVAAARAGLEATLVRHPRFCSVQVKDDVKKNAKLRWVRTTVNLDDHIIFPSLDPAATSANPDQAVEDYLSSLSTAPMDHSIPLWELHVLDFPTSEATATVAVRMHHSLGDGVSLLSLLIACTRSAADPARLPELPPAPRRAGPVYARARPPLAAGLAALLLWAWSYVALAWHTLVDVACFVATAWFLRDPRTPFMGAEGVEFRPKRFVHRTLSLDDVKFVKDAMKCTVNDVLVGVTSAGLSRYYFRKTSDANGESKKSQKDICVRSALLVNIRKTPGLHAVAEMMDASKNNGAKWGNLIGYIILPFHIARHDDPLEYIRQGKNTAERKKTSLEAIFTYWSGNLIVKLFGMKAAAALCYGMFTNTTLSFSSMVGPVEKVEFYGHPIVYIAPTVYGHPHALTVHYQSYSNIVKLVLSVDDAQFPDSHQLLDDFAESLKLIRQAASRR >Et_10B_004357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4762995:4764956:-1 gene:Et_10B_004357 transcript:Et_10B_004357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSTSSPSYIASPDDVTYTVVVDALGKKGKLKEATELAEKMLNRGLLPTPVIYRTVIPRYCEKGKAEELPKLLDKMLAKQEFSSAYNQCHLIFTQHHFQQPLQPVT >Et_1B_013046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4986697:4991619:1 gene:Et_1B_013046 transcript:Et_1B_013046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAPTRVMMAVNESSLKGYPHPSISCRAAFDWTLSKLIRSNAEGFHLLFLHVQVPDEDGFDDMDSIYASPEDFHQMKQRDKIRGLHLLEYFVNQCHQLGIKCEAWIRHGDPKEVICSEVKRVHPDLLVVGSRGLGPFQRVFVGTVSEFCVKHAECPVITIKRKANEAPQDPVDD >Et_6B_048852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14557470:14559211:-1 gene:Et_6B_048852 transcript:Et_6B_048852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFGLPYYYYYYYYYYYVVILCFALVVHVISTKLDGRKKKARPRMPPGPWQLPVIGSVHHLLRGLPHHTMRDLSLRHGPLMQLRICEHVVVVVSSAAAAREIFRGHDTAFEQRPSSPGIDEVYSGHSRLGVLFAPYGDHWRLLRRILVNELLSARRVHMFHRIRHEEAARLVSSLWSTPPDQLVNVDELLAGFIADSSVRAIFGDRLPERDAFLKMMKRGTDISSLFDPRDLFPSSRLVRMLPRSHKKERHRKEVSRLIDDILRNHEERRAAGDVDRDGEQEQDMIDVLLMIQKEGSTRLSLTPGVINALAMEVFGAAVDTSTSALQWSMSELIANPRVMDKAQHEIRRVLAGQESVEEAALRNMHYLKAVVRETLRLHPPGPFIPRVCLDDQKIQGYDVPKGTVVIINAWAVSRDPQYWEDSESFMPERFEGEHALDFRGSDFEFTPFGAGRRICPGITLAQANIELALASLLYHFDWELPSEVKSQGIDMTETFGATVKRKTELLLHPVPRMPLV >Et_6B_049089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17719806:17723726:1 gene:Et_6B_049089 transcript:Et_6B_049089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFHAGLFRAPPRAPAAAVLAARGRPLPSLPLFHGRRRRKPSSSVVCMAEPYLIAKLDSAEKAWKEMSVRLADPDIVSDPSEYQKLAQSVAELDQVVTTYRQFKNCEKQLEETKVLQKENGDDPDMAEMIASELESLSNQLSELEGKLKLQLIPSDPLDARNILLEVRAGTGGDEAGIWAGDLVRMYQRYCERNNWKYKPVSSSEADMGGYKTYVMEIKGKQVYSKLKFESGVHRVQRVPQTETMGRVHTSTATVAIMPEADEVDVVIDPKDIELKAARSGGAGGQNVNKVETAIDLIHKPTGIRIFCTEERSQLQNKERAFQLLRAKLYEIKLREQQESIRNQRKMQDNRVTDHRLKMNFELTSFLLGDIESAIQSCATMEQQELLEEMALSAGAAKV >Et_7A_052421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7851970:7858355:-1 gene:Et_7A_052421 transcript:Et_7A_052421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSRRGGAAAARKPPATRGRLAKAQSSAEEAQAAEEVKEALSEEVKVAEVPKVEEPKRQPSPPPAVEEKDPSDVAANGINHGEEERAVKDTYEEEDKGERLEFEDEPEYEEEAAVDYDEKYSEQYEEQYEDGDEEVEYTEHVVEVDEELDEGGDDGEGEGQGYENADEEHHVDADDEEHHEMVKEHRKRKEFEVFVGGLDKDATESDLRKVFGEVGEITEVRLMMNPVTKKNKGFAFLRYATVEQARRAVSELKNPSVRGKQCGVAPSHDNDTLFVGNICKTWTKEHLKEKLKTYGVENFDDLLLVEDSNNPGMNRGYALLEFSTRPEAMDAFRRLQKRDVVFGVDRSAKVSFADSYPQVDDEIMAQVRTVFIDGLSPSWDEDRVKKYLKKYGAIEKVELARNMPAAKRKDFGFVTFDTHDNAVACAEGINNSDIGEGDHKAKVRARLSRPMQRPPRPKHGLRGNFRVGHGAPRGGRLPYARPPPPRRPPPRLVRPAVSRLPPVRSHPLKRPVDIRDRRPVMSIPDRARRLPPPDRAYDRRPPAPVYPKRSPRREYGRRDELPPPRSRAAADYGSRAPVDRRPPFRDDYSPRGSGYSDLGPRSAPRISDRRAYAEDSYGGKFDRPLPAYREGRGRDYDAISGSKRSYADMDDVPRYQDISVRQSKARLDYDVGGSSARYGDGYSERPGRSHAGYSGSRSISGHDSAYGSSRHGMSYGGSSSSGDAGGMYSSNYSGSYLSRGSDVGGSSYSSLYSGRNLGSSSGSYYGGSGSGYKARGRVLRHFKAGRFV >Et_3B_029480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25287650:25289338:-1 gene:Et_3B_029480 transcript:Et_3B_029480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVCPAGACRCSASSRLSSSPALFSFPTRRHHDGASSASVASPLTSPSPPRALAAAAYGGGLLSPVDTQTIIIAAAVVSAISLSLILGLKGDPVPCDRRHEVCVLQRWQNEGRKWTSRLPSMQRSRANTLQEVCWFWVLEAVVAGTQLTDVLRKIYSCNWALYIHMRCHRIV >Et_3A_025550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30669922:30676578:-1 gene:Et_3A_025550 transcript:Et_3A_025550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKGSAVDKSPSDTTLGPGRVLDHHEHGLVKEEKKTQVGDEAVAKRMQEPHQPPQQEQPISVSQTAMPGNAINASAAPWDGVPPLARLPSQKSGMGVTAGLGKAVDVLDTLGSSMTNLNTSGFGSGTTTKGNKISILSFEVANTVVKGCNLMRALSKDSIKHLKEVVLHSEGVQNLISKDMDELLKIAAADKREELKVFSTEVVRFANRCKDPQWHNLDRYFDKLATEKTPQHHLKEEAESVMQQLVTGVQFTAELYHEMHALDRFEQDYQRKQQEEDGSSVVQRGENLHILKQEVKSQRKHVKSLQKKSLWSKNLEEVMEKLVDVVHFLHLEIHNAFGRSDNEESQEPTKRRNRLGPAGLALHYANIISQIDTLVSRSSSVPPTTRDALYQSLPPTIKSSLRSKLHSFGVKEELTISQIKAEMEKTLRWLVPIANNTTKAHHGFGWVGEWANTGSEVNCKPTGQMDLTRIETLYHADKEKTEAYILELVVWLHHLISQSKTANGERSPIKSPVRSPTQRGSKITLSPNKASSNSSPLLTQEDQDMLRDVKYRKFIPGISKSQEFDTASRHNKQSRLIKSNSHSPSSGNRKELLSIRRLLPVIDFEIDRTKALDVIDRVHNLNGQS >Et_3A_027296.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7123344:7124411:1 gene:Et_3A_027296 transcript:Et_3A_027296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFKKPATGLASLLLLLLLASGAIAARPIRQLTADDSDDDGAAAAPVVAPAVAPVAADAIPAAVGGAAGAVAPGVAAAGAGAYGGAAAGGAAGAGSFGGAAAAPIGASTIPEAAGVNPAAGDHPMVFFMHDILGGTNPSARIVAGIVDNAAVTGQLPFARPNGAVLPLNGGVNVNSGAAGAVDNNNIPFLTGLGGATNAIKSSSNNNNNGNNGGNIPVFAGGSLPEGTTLQKLLFGTMTVVDDELTEAPALGSAAVGRAQGFYIASSEEGVSQTVAVTAMFREGGFADTISFFGVHRTADSESHLAVVGGTGKFVGAKGFAKVAVVRPAGVVATGAVLETDGVETVLQFTVFLA >Et_3A_024481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21182537:21183022:-1 gene:Et_3A_024481 transcript:Et_3A_024481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLSTSFLPAPAAARTTARTLRSVVPSQGMRCSMRKKGLHPQIYEDAKVYCNGELVLVTGGTKPEYSVDVWSGNHPYYVGDTSALVVMDSQIEKFRKKYGHIKEYWPEDQWREMHPDGDPEFAPED >Et_7A_052090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4510387:4512209:-1 gene:Et_7A_052090 transcript:Et_7A_052090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMESSSEQRQGKQALALAAHDASGRVTPTRISRRDTGDDDVAIQILYCGICHSDLHTIKNDWRNAMYPVVPGHEIAGLVTEVGKNVSKFSVGDRVGVGCMIVFTYNSRDGDGAATYGGYSDAVVVNERFVVSFPDGMLLDAGAPLLCAGISVYAPMKHHGLNAPGKSVGVVGRGGLGHVAVKFAKAFGMRVTVISTSPEKREEAVEKLGADAFVVSSDADQMKYWFMGTGDSSNQTK >Et_10B_004208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1947976:1953624:-1 gene:Et_10B_004208 transcript:Et_10B_004208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDAEGMDRFDMDGDYEGGVFGRDGEFYYRSRRERAPQTRDDALYGVFAEGDSDYDSDDDEGSRRRGRRKRKRDGGEPDLSKPVQFVSTGNFMPTQEPEPEERPGLGRAAVAAKEEAAEEEVDEDMDTEMMPSMFGKIKEGAMAKQEAKERERIAAERRRQAAGLGAGKQAAAPGSLHANSAVAKMMAKMGYKEGMGLGKDSQGITAPLESKLRPKNAGLGSVEGFKEPKPMAAKENLPAPQPPPASAKKEKRWSKKASVKKAPVMTKNELLAMRAEQEQDEQPAVVQKVIDMRGPQARVLTDLKGLNVEQEMEANDVPMPELQYNVRLLVDEAKADVVRLDGQLRREQEKVASLVREKEKVSKQEALQRRQLQVMEKIAETLEQVRVDDTAGMLTLDGLFHTFQTLKVQYEEEFKMCSIAWIACRFAHPLLIRVFQGWQPLQDPKFGLDVMKKWKALLQGDQSFDFADGAASMGPYVQLVSEVILPAVRISGTNSWEAREPEPMLHFLELWERQQLLPPIVLQSILEHVIMPKLSAAVDSWDPRRESVPIHVWVHPWLPMLRERIDTLCHSIRYKLSSVLHVWQPHDSSAYAVLSPWKGVFDSASWEDLIVRYIIPKLRMALQEFQINPANQKLDQFNWVMLWASAIPVHHMVHMLEIDFFSKWQQVLYHWLCSPNPDFNEIMNWYKGWKGLFPPELLANERIRILLTAGLDMMNQAAEGLEVVQPGARENVGYLRAAEKRHFDAAQQAYHAAPGASMADLTFKESIQAFAMEQGLLFMPRVGKFYNGMPVYEFGVVHDVCDQARPHDLVANCTLILQHLGDRRHGGPDLCSILGAQEAYFQKPMRFLGIEIALQGPVNDALKITRLVQHPDLKEQCSCNPGADMRHVAREEFGQPKISYLGVQVPVKENVASLDITVDDRWPDFLMKVSEPFGNADADFHPCPPVQSDATVGIA >Et_9B_064984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20143552:20153939:-1 gene:Et_9B_064984 transcript:Et_9B_064984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HSVCCTQILTAHQHGVFPPSHKAREMSAAQGLALKLLAVPPASSRRAPRGRPTVAAAYGGALQHRVRGAVSLDCGRFLGATPLPGAQEHGAPCAAQRRDAVVPSAAAEVRSMSKVPESSIGLYNPSFERDSCGVGFIAELSAEPSRKTVDDAIEMLERMSHRGACGCEKNTGDGAGILVTKDSGFQLPQPGEYAVGMFFMPTDEGRREKSRLVFHEIAKRLGHVVLGWRRVPTDNSDLGRSALETEPVIEQVFVSKSSRSSADFEQQMYILRRLSIKSIRGALGLQQGGPKDFYMCSLSSRTIVYKGQLKPTQLKGYFFADLGDESFTSYMALVHSRFSTNTFPSWDRAQPMRAVGHNGEINTLRGNKNWMKAREGLLKCKELGLSRDEMMKLLPIVDATSSDSGAFDNVLELLFQSGRSVPEAVMMMIPEAWQNDVNMDPERKALYEYFSALMEPWDGPALVSFTDGRYLGATLDRNGLRPGRFYVTYSGRVIMASEVGVVDVPNEDVMRKGRLNPGMMLLVDFENHCVVDDDALKNQYSKARPYREWLDRQKIQLADIIGSVPETKRVAPCISGALPQKTEEAVGIHGILAPLKAFGYTTEALEMLLLPMAKNGVEALGSMGNDTPLAVMSNRERLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLSETTEQQCHRLELKGPLLDINEMEAIKNMNFRGWRSKVLDITYPKKYGRKGLEHTLDKICAQARDAIREGYTILVLSDRGFSPDHVPVSSLLAVGAVHQHLVANLERTRIGLLIDSAEPREVHHFCALIGFGADAICPYLAIEAIWRLQIDAKIPPKDDGQPYSQEELVKKYFNASNYGIMKVLAKMGISTLASYKGAQIFEAIGLASEVISKCFEGTPSRVEGAKFEMLAQDALRLHQLAFPSRTLPLGSADANALPNPGDYHWRKNGEVHLNDPVAIAKLQEAARTNSREAYKEYSRTIQELNKACTLRGMLKFREIPNKVSLDEVEPASEIVKRFCTGAMSYGSISLEAHTSLAEATNIIGAKSNTGEGGEQPSRMKPLPDGSKNPRISAIKQVASGRFGVSIYYLTNAVELQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLAQLIYDLKNSNPGARISVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAVLQTDGQLKIGRDVVIACLLGAEEFGFSTAPLIALGCIMMRKCHTNTCPVGIATQDPVLREKFAGKPEHVINFFFMLAEEVREIMSQLGFRTIDEMVGRSDMLEVDPDVLTGNEKLENIDLSLILKPAAEISPGASQYCVEEQDHGLDTALDNKLIASSRAALEKGFRVFTETPVHNTNRAVGTMLSHEVTKRYHMLGLPSDTIHVKLNGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRNSRFVPQDNIVIGNVALYGSTKGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTVVILGKTGKNFAAGMSGGIAYVYDVDGKFNTRCNHELVDLYSVVEEDDIITLRMMIEQHRLNTESNLAKDILSKFDDLLPKFIKVFPRDYKRVLDNLKVQKAAKETEEKERNVGVDKKAIQKKKGERISSRPTQVANARKDRGFVTYEREGISYRDPNERIKDSNEVAIESVPGPLLNTQSARCMGCGTPFCHQESSGAGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCESSCILGIIENPVSIKSIECAIIDKGFREGWMVPRPPLHRTGKNVAIVGSGPAGLAAADQLNKLGHFVTVFERDDRIGGLMMYGVPNMKADKARVVQRRVDLMAAEGISFVVNAHVGTDPLYSIERLRSENDAVILACGATKPRDLPIPGRELSGIHFAMEFLRANTKRLLDTNLEDSTYISASRKKVVVIGGGDTGTDCIGTSIRHGCSNLVNLELLPEPPRGRAPDNPWPQWARIFRIDYGHQEAVSKFGKDPRTYQILTKRFVGDGNGKVKALEVVRVEWEKVNGRFQFKEVEGSQETIEADLVLLAMGFLGPEAVIADKLGLERDKRSNFKAQFGNFATNVEGVFVAGDCRRGQSLVVWAISEGREAAAAVDKYLSRDKINNTTEDIAAPSPCDVLVQAVAA >Et_4A_033257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20666382:20667832:1 gene:Et_4A_033257 transcript:Et_4A_033257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRPNRSNLHMARSSNGGLRLLVAEKLKISVWMLSSSVGWTLRAVIDTEATRKTDMLVILGCGVKRGVVLLWPFHWYLDLDILNTEAIIVLDVETKEMHRVKRQSILDFPYEVDVAMINRDQVLGEEWRSRAAASHLLCGRREEFEQFV >Et_2A_016755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27953186:27955277:1 gene:Et_2A_016755 transcript:Et_2A_016755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARALPPPRFMVALVAALMMVSAAAAVDASPENIQPLSTLKMQAAQVAMDSAAVIHASPDVLGKNVSKQNTRTHAHHGEDSAWVTVNFTAPAPSAGHWVALFSPADFGLSVGGSASGNAAGAAGEESPAAGLPTAPIKYMFANASPSFMSSGSGNMSFLLINQRSDYAFGLFSGGKDNVGILIRLGMSYLMAPWDSLQRVVVFGDMGLGQCDGSNELAGFQPGAQVTTDRLIKDLPNYDAVFHIGDLSYANGFLAQWDQFTAQIEPIASKVPYMVASGNHERTFQDSGGFYNGNDSHGECGVPAETYFYVPAENRGKFWYASDYGMFRFCVGDTEHDWRPGSEQYAFLERCFASADRKHQPWLVFLAHRPLGYSSNDFYAQEGSFSEPMGRTLQALWQKHRVDVAVYGHVHNYERTCPVYENTCTDGKKDNGSYSGTATGTVHIVAGTGGAKLRPYAAGPWPQWSVARDESFGYVKLTATDHSTLRVEFVHSDDGAAHDAFTISRDYKDVLACTVDSCAPHTLAN >Et_10A_000391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7853958:7854506:1 gene:Et_10A_000391 transcript:Et_10A_000391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSFGIARLAVPVAGAGAFHALGDLTLSRAHIEPGGADERNLSDMLSAAGCPLLRRLRLEYITGLTALRLDPAAAALEEVNLHYIGELSMVDDDATARISAPNLELLACDTMCIRNASSPSMAPAASDAWRRSPCGRTSTLHIATPEPSGSCRSAPRLRAST >Et_4A_031885.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:26479608:26479883:-1 gene:Et_4A_031885 transcript:Et_4A_031885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPKPDSVPWKKRRHNISSEDQALISSEVASINKFSNDGSSMVKINILESKNANVSSFKFQLQLLMRSRITFSKVSIFVLGANIHRAVFL >Et_10A_001772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8012191:8018844:1 gene:Et_10A_001772 transcript:Et_10A_001772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGASRRSLCAAARRRAAAGAASVVPGDAASAPHRPVSNGASGAPQSQKRLLSALAGSKVTGAGNVASVKLMDGALIGRRYESSAAAVDSSDTPPAEKYEYQAEVNRLMDLIVHSLYSNKEVFLRELVSNASDALDKLRYLSVTDPDLLKDGPELAIRIQTDKDNGIITITDTGIGMTRQELVESLGTIASSGTAKFLKALKESQEAGMDSNLIGQFGVGFYSAFLVADKVAVSTKSPKSDKQYVWEGEADSNSYTVREETDPEKLIPRGTRLTLYLKRDDKGFAHPERIQKLVKNYSQFVSFPIYTWQEKGFTKEVEVDEEPAEANKEGDAETKAEAKKKTKTVVEKYWDWELTNETQPIWLRNPKEVSTEEYNEFYKKTFNEYLDPLASSHFTTEGEVEFRSILFVPATKKEDITDRKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISCSENRDDYERFWENYGKFLKLGCMEDKENHKRIAPLLRFFSSQSNEELISLDEYVENMKPEQKDIYFIAADSLSSAKNAPFLERLTEKEYEVLLLVDPMDEVAIQNLNSYKDKKFVDISKEDLDLGDKNEEREKEIKQEYSQTCDWIKKRLGDKVARVDISNRLSSSPCVLVAAKFGWSANMERLMRAQSMGDTSSLDFMRSRKVFEINPEHEIIKGLNVACRSNPDDPEALKAVDILFETSMISSGFSPDNPTELSSKIYEMMSSAIGNKWSSQAQPANQSSQPATPVSSEPLEAEIVEDPVESGQQK >Et_1B_011635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24448824:24452571:1 gene:Et_1B_011635 transcript:Et_1B_011635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLKKLVLAASSADAGIAAWDLRTGAEDIRLRPCASRPRALVSVADRFLAAAQAPPPGGNSGIVHFYHWDKPQVAIKSFPAEPIQVLIADPEGSYLIGGGGKGNIFLWQVASGELLHTWHAHYRAVRCLALYDYLLVSGSEDGSIRVWDLITLLDEQSRLEANTSYLYNFSQHALPVTDIACFLGGIAVSSSEDRTCKIWSLSEGRMLRSVSFPSIIDSIALDPRSHIFYAAGRDGKIYVTAMGVDVSSHGSDDSSILGALDDHSKAVTSLAASSDGLLLVSGSEDGNVRVWDTRSQQVTRKFKHSQGPVTNVLIVTPKRINLPPLQPLRKVRSSNGEAEARAVILPRPENNIPIAGNSSSIFLERCLDALQKHDGSSRLFEPGVSSLYGAPNQQGSEWRSRYLELQDLFVHEVLDQLPSSGGT >Et_1A_005715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13051924:13062645:1 gene:Et_1A_005715 transcript:Et_1A_005715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLTGGPQEPTRRRLLQAAGDGDLGLFKRVASALDAGKGRLREAVEAVRNRGAGALHLAAGRGRMKVCAYLVEELHVDVNAADDSDGTPLAYAVRGGCVETVRYLLVHDANPDKPDNKRSTPLHLAAALGNCEVVKALISKGANVDSFSDAGTPLHVAAFHKQDGTVKILLDHHADCNKVLHTVYTPLITALNVGSLKCVELLVKAGADVKGIGTVTPLIIAANNGFTEFYKCLLEAGADPDVPDDFGHLPIEIAAYNNRRKDVEILLPVTSRIPHVRDWSVDGVISYVKSMPSVEDDPIYKMKPADIKLEGSKAYNRKDYANAVKLYSMAANHCPDDATLYSNRCLCWLKMGEGDRALMDAQICMIKRPDWAKACYLHGSALMLLKDYGKACDAFLDALKLDPANSEIQNALREAVNCLKTSCGAKQATMIPLLEQKTGIMTGKEARDDLIPVPAAKMSTVCAALVGVILSKGNPFPMTAPSSTTSPSRSPHSRSAVVPGTDLTRNSNRGPAPGGDATAMNAGLSSPSAEGTRTWRYCPGATYESASAAASERSTATWKTDPSAVAAPTTDTGAGVQTAASCWTAWAVVRGRTRRRRKGSARIGRWEEVEAGEGEGWGWRRVGRGGAEMAAAAAIATGGTDGRWGAGGAATAGKAGIEEQQWLR >Et_2B_021731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4939700:4940439:-1 gene:Et_2B_021731 transcript:Et_2B_021731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRSPSKCATVGVQGIAWAFGAAIFALVYCTAGVSGGHINPAVTFGLFLARKLSLPRALYYAAMQCPGAVCGAGVVKAFGAAAFEAAGGGANAVAAGYTKGDGLGAETVGTFVLVYVVFSATDAKRSARDSHVPVLAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYDRPHGWHGHWIFWVGPLTGAALAALYHQVIIRAIPFKAAASDSTHY >Et_3B_029936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29191760:29192385:1 gene:Et_3B_029936 transcript:Et_3B_029936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGRHQPVPVTLPGGSNIQSLVLEFIITFYLMFVVMAVATDDRAVGQMAGLAAGATIMVNVLFAGRIVMCIHGTQRRHLCCVKFFFLLLTHVSARSTTDRPVSGASMNPARSIGPALVGSRYRSLWVYIFGPFAGAAAGAWAYNLVRHTGKFLGDITKSISGAS >Et_1A_008217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5316502:5319245:1 gene:Et_1A_008217 transcript:Et_1A_008217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSARLRIRTPSPAASSRFAGETRCPASRVSVRPMASAASVEEPAAAAAETKRPVTGDSFIRHHLRSLAPYQPILPFEVLSARLGRKPEDIIKLDANENPYGPPPEVATALGNLKFPYVYPDPESRHLRAALAEDSGVEAEHILAGCGADELIDLIMRCVLEPGDKIVDCPPTFTMYEFDASVNGALTIKVPRLPDFSLDVARIVEVVEQEKPKCIFLTSPNNPDGSVINDDDLLRILDLPILVVLDEAYIEFSSLQSKMSWVKKHDNLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVSACAALQNPAYLENVKNLLLQERERLFDLLKGIPFLKPFPSHSNFILCEVTSGKDAKKIKEDLAKMGVMIRHYDKKELKGYIRISVGKPEHTDALMKGLKSLQL >Et_2A_015384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11864609:11868864:-1 gene:Et_2A_015384 transcript:Et_2A_015384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGAGLPRIAVIGAGIFARTQYIPRLREIAHLVVLKAIWSRTEESAKVAAALSRDFAPEIECKWGDAGLEEIMSDSSIMGVAVVLAGQVQVELSLKMLKAGKHVIQATTEAETALSIYNSFPNQFPHKPIWALAENYRFEPAFVESRKLMNDIGDMMNIQVIIEGSMNSSNPYFNSSWRRNFVGGFILDMGVHFIAGLRMLVGSEIATVSSISRHVDMALPPPDNICSLFQLENGCAGVFVFAVNSRSPKILWRVDGRKGTVQVERGIDSGKHGYQVLFSSENGQCQKTFYPFCGVDEELKAFVRDISEASKNGDHMAEPRSSYVEGARDVAVLEAMLESSEKQGAPVQVKRF >Et_2B_020093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16869006:16870551:1 gene:Et_2B_020093 transcript:Et_2B_020093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRLVQGSLLKKVLEAIRDLVTDANFDCSSTGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNLSMGMNLNNMAKMLRCAGNDDIITIKADDGSDTVTFMFESPKQDKIADFEMKLMDIDSEHLGIPDSEYQAIVRMPSSEFMRICKDLSSIGDTVVISVTKEGVKFSTSGEIGSANIVCRQNQTVDKPEEATIIEMQEPVSLTFALRYMNSFTKASSLSDQVTISLSSELPVVVEYKIAEMGYVRFYLAPKIEEDEEMKS >Et_1B_009785.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26798380:26798646:1 gene:Et_1B_009785 transcript:Et_1B_009785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTTIALDNTIYIDETYIELKFCIRFICGRRFCYCCQNQKPESLCYRRLKDCQDACPLCDPFCPPQPSPQMTMDDRLSHRITNGTI >Et_6A_046261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11661130:11664620:-1 gene:Et_6A_046261 transcript:Et_6A_046261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLPTLRLKREVDAAIHDTLDKVLVLRFGRAADAACLQLDDILAKSSWDISKFATIALVDMESEEIQVYIDYFDITLVPATIFFFNAHHMKMDSGTPDHTKWIGSFSSKQDFIDVAIFRGVMKGKLIVSCPLPPERIPRFQLLFKDV >Et_2A_018260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20574932:20579815:1 gene:Et_2A_018260 transcript:Et_2A_018260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIGMKDCNGEVVETWIRQVRRVAYDMEDIVDQFMYIVGEHQQKDSWYCVKKFFKKQPSLFSIDEIATKADIINKELMELSKRIFRWTQPISGLNYVPATIYDCDPLYQPGLDHSINDDELCWTAGGAILVLLAIRPKFRKLQTQDSSADIGPTEPVWFHFREN >Et_6A_046379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1477360:1480550:1 gene:Et_6A_046379 transcript:Et_6A_046379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEEEAAPAPAPVPTAGESPPRSPARFPIRWLTLGEAEASERSSFFSLLYSCLRRRGISETSSLLSGSSDNEITVEEASFVHTEPPQDGTAPPVVTSDTEVLHDKVKKQVIKEGHGKKPLKFATCFVHYRAWVQRSLHKFEDTWQEQHPIELVLGKEKKAMAGLGIGVGNMRSGERALLYVGWELGYGKEGSFSFPNVPPMADLVYEVELIGFDDVKEGKARSDMTVEERIAAADRRKVEGNECFKEKKLEDAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLKRFDEAIAQCSIVLSEDESNVKALFRRGKAKSELGQTESAREDFLKAKKYSPEDKDIHRELRLLAEHDKVLYQKQKELYKGLFGPRPEAKPKKANYIVCFWLWFMSLISYIVKLFKRKNE >Et_4B_039155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8328586:8331242:1 gene:Et_4B_039155 transcript:Et_4B_039155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQAVDAAVPSSATATSGEALPSAASSTRAQNPTAAAAAAAGSTDLEKKMRRAERFGTQVVMSEEEKRSSRAERFGTGSSNIKEEEKKKSRAERFGIAAASSTDEEAKKKARLERFGQGTNVDKAEEEKRKARAQRFAEPPSGSPKGNGKDNSKPDAATVTGTA >Et_1A_004944.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:18375445:18375525:1 gene:Et_1A_004944 transcript:Et_1A_004944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILINAVAACLPDLARAFPSLVSPV >Et_1A_008458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7838740:7844579:-1 gene:Et_1A_008458 transcript:Et_1A_008458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPASKRSRKKRKVLTDPESDGGGGGGSGGAGPAEGKGAAVAGGDGGPMRVKQEPAADQGVNAEVSGRGRRALAVVPLRSQNPPSPPPQTTQSRRRRDPPPPVDADRLSQHEVIEISSDEDDGQENSRFRTVSPPQEAPLITGGGHGEVLVKKDPSDGSSSRSRSAAEMRDSAPGTSTSKRRRKGGFPSRFRPAEDGGRREVSAGPGPSGSHGAVPSNSGASGSGRRTTVAEEEVRSPKEKKNRKNKEENRGGKVNRQGRGAKKAPESSDESWGAPATARRGASTPANQIGSTVGSRVRSRAARQQVPVQNASDDTEEDGDEEEEEEEQEQEEEEEEGAVKNASDGTEEDGEGEQDQQKRVHSASLSARKVSDDEEKDGEEKDQQSPVHSALPSAREVSDQVEEDEEEDQQKRVHSASYSAGEVSDDTSDDGEEGGGGGEDEGQQGLVQRASHSDRVVSDDIVDGDEEDQPQGRVHHASHSARVESDDSDGDGEKEEDEQESDEMGEEDAMEVDGEGSGDSGAAQESEQEDYVVGENRDDGNGDSHDGEDQAAAIGAAPDDADEKQGRIGDKEEEEQEDDSEATHSIYEGDEEDGEEDSEGDEVESDGTGEAQTRTPSNAMFPAGSAMSRGGSRLRTPSNAMLPAGSAKSGGCSRLRTRSNAMVPAGSTMSGGGSRLRTRSNAMIPAGSAMSGGGSRLRTRSNAMLPVGSAMAAGSAMSAGGSRTFKKRVFEGLVILAKPSQTVGEGVTGRTRSKRKCTDTRLLKRGVFSKPVLIDVSDYSSESEEEPPPPPPQPTWQGVLSSSEDYSNEARRKRRRRRKNKGGKKKGNSSSDEYQAKIKGEGFRRLRRGMSKRQGGKEGGKNYGSNPGRGRYNGQNGARTGNISSALDDLSFKKHANLTQLRKHQASRAKAAYDELLSSLFDGWENHVNGSVPADANGNTLPLRFSFGDEDEVDKSDNEKFLEEVLGDFDAALESINTDSQGNEEDNQQKVPPGEAFSCKHGRHEFIIDDQIGVRCKHCNVVELEIRYVLPSMGKYATERPSANPEVDSFFKDMLNHFEKNDLLFSDGNEGEFRPCARRTGSVWDLIPGVKEDMFLHQQDAFEFVWRKLAGSTDVEEIQALRRAASTDIGGGCVISHAPGTGKTRLAITFVQSYLELFPRCCPVIIAPRAMLATWEREFLKWNVKLPFHVLNSTEINCGDDKTIQAKIDKDGAFARRLWSNKVDQNYRRLVKLTSWVNGTSIIGVSYSLFRKLANDEGMDGDNNIDKIREKLEPVVHYHKGNFLEKSLPGLKETVVILNPLPRQKEIIASMEKTAAMGTLDAEYKISLALVHPSLITSAKLSEREACLVDKALLKSLRLDPSEGVKTRFVVEVIHQCQELNERVLVFSQYLEPLSLIMGQLKKRFNWTEDKEILQMSGNVRVKQREAMMAAFNNMKSEAKVMLASTKACCEGITLVGASRVVLLDVVWNPSVGRQAIGRAYRFGQKKVVYTYNLIADGTKEMDKYSRQAKKEHMSKMLFSNEEQAEGCNISPESTFSDKVLEAMTEREDLKDLFVEIRVENQTDGSIRDVEEMEKKNTQKDAEEMEKINTPGAEDAEEMENTDP >Et_8B_059582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19537299:19537719:1 gene:Et_8B_059582 transcript:Et_8B_059582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAVEAFLHFVYTGTLPVVAGMSGDGYKDPSGTAICERVLGKHLDVESAAATLAMADRHGFGDLREACVEFVSDPCNYVLFRNSEGYPQLPVPRGPSPGARGVHQVHQQLRGPYRHIVYDEESNLAAEIS >Et_3A_027045.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30822323:30823066:1 gene:Et_3A_027045 transcript:Et_3A_027045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAESAGMQEIGGGDVPVAEDGADDVFFCVAATSRGSKNKISYFHTNARGGDAESVRALAALCLDHAPEHHRWHHHTVAGARTFAFLSAGDGRTYFAAADPTPGGAATVRFLERVRDACDAAPRRRLRDAAVAPIARRFARALRAVAAGGGSSSTGTADAALPAASPRARVPSTPLAPVCEKDEEPQGMGAWLRAVQPEESARAGRPSWWRTWRRHAVVAIGVDVVVCLVLFAVWMGVCKGFRCLTR >Et_6A_047306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3608614:3611643:-1 gene:Et_6A_047306 transcript:Et_6A_047306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSLLCYLMLVCLVVVCSWSFPCATATGSTGGGANLTAGFTRIHLPESQFVVQRPWNVPLDQRYRFAGGVRRMWVFATDKPISATHPGGARTETKINVIYRSGVWQFEGDMYVPSGTSGASVMQIFGAAKQATTLMLHVYDGRLTYYHQLSRVLADDVYERWIRLNVIHDVAAGNVTVFVDGKRRLNVRGHGGTSHYFKFGVYKQSHHHPSRRMESRSLYYLLLVCLLVVCSLPSPCTAATSSAGIGGNLTAGFTRVNLRESQFVVQKPWDVPLNQRYEFAGGVRRMWVFATDKPGSPFHPGGARTEIKINEIYTSGVWQFEGDMYVPSGTSGASVMQIFGAAKQATTLMLHVYNGSLTYYHELSRVLADDVYDRWHRLNVVHDVAAGNVTVFVNGAPRLSVPGHGGTSHYFKFGVYKQSHHQPSHRMESWWKNVAVYTKA >Et_8A_056508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11166662:11170764:1 gene:Et_8A_056508 transcript:Et_8A_056508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLPLFSASPKPPPPPPWLHGPASQSHSPPSPGEAAPPKPSRQSPKHPPPKNAGPPSKAKPLTAGVPGGRTRRAVLGIIRRVRSLELSDPPSPVPKRSADAPAVAFHLPLEAPPWGQEQEDEGEDEERAKPRAVPWAAARNEDIKAALRREKKPREPTRAETELAGDELERLRRAARGMGRWVRAKKAGVTDEVVEEVRGEWARGQELAAVRLVEPLCRCMDRAREILEIKTGGLVVWTKGDTHFVYRGSNYLQNTKNRHSFVTDVQKVSQGKHNVSTSHRSYMSTAEPLTNGNYKANDASEESDQSICAQMEEEPVKGTLYEREVNRLLDSLGPRFVDWWWNTPLPVDADLLPEYIPGFKTPFRQCPPGVRPTLADEELTYLRKIARPLPTHFALVQRLTGGTVILRNKDFIILYRGKDFLPGGVAQTVIQREAQVHDKHVKEEEARLKVVESLQMTSGYSSEESSIGTFREYQDFHADLVHEKTENDKTIIELEAEKHRLEKELKDQEWKLFTLHKKIERSNQMLAKLHSSWSPSGQSADKELLTEEEKMIFRRIGLKMDERVLLGRRGVFAGVIEEIHQHWKHKEVVKVITKQNQARQIMYAAKLLEVETGGILITVEKLATSNAIILYRGKNYHRPTKSSPSNLLTKREALQRSLEIQRRGSMKYFARERQKSILELKRRLTYVTRKIMYLTPRP >Et_8B_060848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8983020:9002656:1 gene:Et_8B_060848 transcript:Et_8B_060848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVVLQIDQKEEKTYRREISKWKPLEIGMLKLNTEGAFDGTTFKGGAGAVLRNLNGGLIEPCRDGTSAQLPACVVEFVFSGWDVVEGRSTRDPKCRTSQLLAMTRLFNHARNETRHPKALVLHRCVPCHSDPLALAQYDHVI >Et_3A_023420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26586166:26587434:1 gene:Et_3A_023420 transcript:Et_3A_023420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRAAVEADTKKKARRRLPHQQQEPEVVDLRTLLLQCARAVADGRAGARELLEQIKRHASADGDATQRLARCFAEALEARLAGSGSTTLSLRTTSSSRSSAEFLGAYRLFTATCCFKKVAFAFANRTICRAAAGRSRLHVVDYGLRRLAARDGGPPSVTLTGVDLPEPGFRPSAHVEETGRRLGACARALGVPFQFRAVAAEDHLMSTRTTDDPDDVALVVNSLFGLESLLDDSIVVDGPSPSPRDAVLGRVRGMRPAAFTLGVVNGCYGTSFMMRFREALFNYSAVFDALGATMPRGIACEGPDRTDRFDTYRQWQLRMRRAGLRQLPLDRAVVGAVREMVKRRQYHKDFVVDEDRRWLLQGWRGRILYAHSAWVADDHA >Et_3A_023607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:122724:126084:1 gene:Et_3A_023607 transcript:Et_3A_023607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDEPLDFEKEDEDPLLAAPRPAKRKKVIGLDDLLLDYFGTGKDERKRNKAENSKYASRGNNSDSLDELFRDNSEKEIQISKIVESLEEQAKKIDAREDVPLWGQKIFGCQKPPSILNDTGVENCQLLKSFSADEHLGFDLDVDKGEGFLEGMLMDGWLLKLVHLSGSVEDSVASWTLDKLLYSSNKKLQVSATDFWESVLSLDEGDKMRVKLGYLPNFSVLKHAILSYGYLFDAPGMKASTIASSAEDSLDDGPPENIIAWVRVVSACCKFRKVCSIFSPSEAEQLLVIVISLFLDRGLEGLLLVLGDCLNSLILYFNASEWENSCVKTAESVAQRVSMDLNCLRIVDCITGTNDRSKFLRGQLALQLLKISFGLKVANVERILKLVTSINVKDKECDLFKLYVYLVLMDKLLFSTDAFRYKTMVVDSWRNYLRNCSTQIGCSDWRFYAPKVRNKASYLLQGVILKRLADSDNISAR >Et_2A_016655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2784273:2788293:1 gene:Et_2A_016655 transcript:Et_2A_016655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPRRLVAFALAAALLAMALVVSAARLDLDDDDDSGVLDELLAVDEEAERGGLEDDGGGAEAVRRAQSMVLVLDNDNARRAVEDHAELLLLGYAPWCERSAALMPRFAEAAAALRAMGSAVAFAKLDGERYPKAAAAVGVKGFPTVLLFVNGTEHPYTGLHTKDALVTWVRKKTGAPVIRLQSRDSAEEFLKKDQTFVIGFFKNFEGAEYEEFVKAAVTDNEVQFVETNDRSVAKILFPGISSEEQFLGLVKSEPEKFEKFDGTFEEKAILQFVDLHKFPLITVFTELNSAKVYSSPIKMQVFTFSEAYDFEDLESMIEEVARAFKTKIMFIYVDTAEENLAKPFLTLYGLESDKRPVTAFDTTNGAKYLMEGDINAKNIQEFCSGLLDGTLRSYQKSEPIPEEKGIVEKVVGRTFEYSVLESPQNVFLEVHTPWCVDCEAISKNVEKLAKHFSGLDNLKFARIDASVNEHPKLQVNDYPTLLLYPAEDKSNPIKLSKKASVKNLAKLIKEKLQISDVETVAAAAPAPAADSVKDEL >Et_7B_054605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2733706:2735539:-1 gene:Et_7B_054605 transcript:Et_7B_054605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRSIMASRKGKGLGVSFVQAVVLGLVLASAAPVAQSWSKEGHMLTCQIAQDLLEPDAAHAVKNLLPEDVNGDLSALCVWPDQVRHWYKYRWSSPLHFIDTPDKACTFVYSRDCHGPDGAKDMCVAGAIANFTSQLMHYKHGSADRRYNLTEALLFLSHFMGDVHQPMHVGFTSDQGGNSIDLRWFRHKSNLHHVWDREIILTAIDKLYGKDMDIFRKQLEHNFTKGTWSDDVSSWADCEDLQSCPTKYATESIGLACKWAYNSVQEGETLSDDYFDSRLPIVSRRIAQGGVRLAMFLNRIFGKHNRDVTPPT >Et_4B_038616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3469536:3472324:1 gene:Et_4B_038616 transcript:Et_4B_038616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAMTPPQPPLAAARRGLRGRGVLHRRLAASPMKDESVVSTNGGNEEMIADTLNVARGGSHPGLSSSLCSQPSVVPTPLLPAEPSDLRFNRLRPSIDESDCKYKRFFGCYVAREAIIDEEYWIAAWLRAEDHFEDQSGDRYVESFKRKFASQEFHALKKRCSKQQGEKYICFVAVKNDDFRRTVLNSVVGTLDVCVRHPLHGEKFPAEPGKSSLHHRIYQPDRPKFGYVTNVCVAKYARRQGIASNMLLLAIDAAKLNGAENIYIHVHKDNLPAWRLYDQIGFKVVDLDGPPHSSDLCLLSFSS >Et_6B_049732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9758295:9759476:-1 gene:Et_6B_049732 transcript:Et_6B_049732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAGRGANEAEAKLGSGGGGALARRAAGGGGKDGDVLMGERSAAGVEGSKGLVTSKVLTVKRGVNVASLRGAVRDVSPVMSEAKSKADGAGAFLGHDIGRSSSGHETGSIPVGKDLGPSRELLMAEENSKTGVNVSCRKRKLLSSGIVSEVRGAPNTRQRVDTCEKPSQQPVSQQSGSGLDTSKKTEALRNSPDFTKETPSDPCPAVKAVELPPSSGDIDVPDESISHLFAVYNFLRTFSVKLFLSPFGLHDFVAAINCTEQNNLLDAVHVSLLRALCRHLETKSAEGSRLASNCLNYLDWTLLDALTWPAFLLEYLWVMGCIKNLGGQSFGRKLLAVEYYKLSIVMKLRVIHL >Et_3A_023785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12221682:12223521:-1 gene:Et_3A_023785 transcript:Et_3A_023785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTRDLLRLLLLLIATTLLLLPSSTSALTADEAAAIAHRQRLALEQPPKDVVVDVGIDIKISNPILLSAHKALQALKDALYSDPNNFTANWVGPDVCAYNGVFCVPSLANASASVVATLDMNGADVAGYLPREIGLMRDLAVLHLNSNRFCGVIPKEVRNMTELYEFDVSNNRFVGPFPAVVLGIPKLSYLDIRFNDFEGPIPPELFLRPYDAIFLNSNRFTSGIPETVGKSRATVIVLANNDLGGCIPRSIGEAAATLDQFIFVNNSLTGCLPVEAGMLAGATVFDVSGNNLVGSIPPTLAGLAKVEQLDLSCNRFTGVVPRDVCELPALANLSVSRNFFTGEAVECTALERNVAKSFSDEVNCMRQPRPMQRSAVCAGCEQPGGLHQAQNMWVAITTAGSIAATATCGVPSTSCSITTTATTLSTSTKSIATTTTLSTSTQSIAAATTTLSTSTQSIAAAATTALSTSTQSIAAATTALSTSTKPIAAATTPSPPPPSPSPPPPPPSPPPPSPSPPPPPPSPPPPGPSPPPPTPSPPPPRPFLSTTAITATASYLASCTRNEIPVTTATIF >Et_5A_042676.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:25243431:25243679:1 gene:Et_5A_042676 transcript:Et_5A_042676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIATNGLLKSVEHRAVPDATRPRTSVATFIMPTENCLVAPAEELVAAGEGPRYRAVTFREFMEVYKAVGARRVSVEKAFKI >Et_7B_054426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21242871:21246513:1 gene:Et_7B_054426 transcript:Et_7B_054426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVEVKPGKPYTHRHEASHGRLRICQATLGNCDATARTVLQCNVGNKVPIKLCSLNPKLAEMCHLEVEFEEVDDVVFSVIGQSSIHLSGHYVGASSKGGAAADESESYGEDVGQSDTDEELASGEDSYESDFIDDRDVVPEESHGSDSMEEGENILTREVGRRHRLKKCQVDSADDKDDDDSPYKCPAKRRARSIFDSCSEDDDEDNMPISVALGKKDSSKVVEEIKSSNVQAKDDTRKNTDAQKRKSCSISEDPASPMDITDDNAPSPSKQDAGSKKKSKKKMRNQVDADLEKQSNARTLDDGLIVEDLSTGNKDAKIASTGSKVYIKYVGMLKDGKVVESNVNEKPYKFKLGAGKVIRGWDVGISGMRVGDKRRLTVPPSMCYGDKSVGDVPENSSVVYEVELVKVK >Et_8A_056630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1475415:1479751:1 gene:Et_8A_056630 transcript:Et_8A_056630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMKASHVRGYKSNPSRQSRPAAACSGDVEKRSTSAGKEATKEMESLHVKKNEETGNLSKEGEVADSNGAVSASQSSPPEDDDEAQADGPDGAPEAAKKKKKKSKAKKKKDPLKQTDPPSIPVDELFPSGEFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNSVRRAAEVHRQVRKYMRSIIKPGMLMIDLCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNAGDKTVLQYDDVMKLDFGTHINGCIVDCAFTVAFNPMYDPLLQATRDATNTGIKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGFVREDLECSHYMKNFDVGHVPLRVAKAKQLLGTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDVGIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >Et_6B_050113.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6496305:6499121:1 gene:Et_6B_050113 transcript:Et_6B_050113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAFVAITKIVSVLGMKVLEELKTMNEKAAALPDNLDNIKKDLGMMSGVIQDLDSTELDKKAVQEWIGELRTVSFRVEDVMDKCSYHSVELQEESSVTKFFKKAYYIKVFSDVADEVEKVKKEIEKVKELRKAWLSIDQASPKSTFVFDRRGSQGFFPVLVQDEDLVGIQENRSNLIKWLDCIDKPDSTVSGQTEPDNTVPVQNKPDSTVPVQTKPDRTVITVSGMGGLGKSTLVANVYDRVKNQFGVNAWISVSQTYTLDALLRELLWKIGYTENPLSASMDQMDTFVLKQELRSRLQGNKYLIVLDDVWDKDVYEKLQDVFNSLPSSRVIITTRRDDVASVASLGHHLQLQPLNEDDALRLFCARAFSNTVDRKCPAELEQVVDHIVKRCKGLPLAIVSMGSLMSSKPQSEHAWNQVYKQFRSELSKTDDVQAILKLSYNNLPGNLRNCFLYCSLFPEDYTILRESLVRQWVAEGFAVAHEENTAEDVAELNLMELITRNMLQVVDYDELGRVSTCKMHDIVRDLALSTAKKEKFGAANHRAAMLLMEKDVRRLSSYAWRDSDSKTEGFPCLRTLMSFQSVTSTTQMLDSIFTTSCYLTVLELQDSAITEVPSSIQNLFNLRYIGLQRTRVKSLPECIEKLSNLQTLDIKQTKIEKLPRGIVKVKKLRHLLADRVVDERKSSFQYFIGVPAPKDLSNLEELQTLETVQASEHLADQLEKMSRLQSLWIGNVNAAHSAKLFASLSKMPLLSSLLLNASDEEQALCLEALNPVESRKLHRLIIRGRWAVGTLECPIFQRHGKNLKYLALSWSGIIQDPLPLLAQHVPNLVYLSLNKASNVETLVVSEGSFPRLRTLVLKNLLNVNQITIQKGALQSIQGLYVVSLHRLDKIPQNIETLASLKKLWLLYLHEDFNAQWYMNGMHTKMAYVQEVKVQK >Et_4B_039005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6905544:6908552:-1 gene:Et_4B_039005 transcript:Et_4B_039005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGASASLALKAACEGCGAASELYGTACRHATLCRTCGAAMARARSKCAVCAAPVTTLIREYAVRVDTAAEKAYSIGRFNTGLPPLSKKKNAGKRWSLRKEGLQGRQLTGNMREKYYSRKPWILEDETGEYQYQGQTEVSQSATATYYLLMMQGKEFNAIPVGSWYNFSKVAQYKQLTLEEAEEKMNRRRSSASGYERWMMKVAANGAAAFSSDVKKLDNVNEGTTGGVHSQKGDRNEDGDQSDKGEEGEEGGTARKNRHGLTTKGMEDDDEEGGKDRDFDLDDEIEKGDDWEHEEIFTDDDEALDIDTEERLDLADPEGAPPEIKQDDNENELGDSGSNLSKSGQELKKLLRRAAGLESDEDDKDTDEDDPPSPVLGPKQIVQPKSEPEDNKPAKPTPQHSQNITPAFKTTQKRKPGGGDISNSAASKKIKIEPETKTSVVKVETQSSLEPASGTSLTARATNAAPITEEEIRTVLLAVAPITTQDLVSKFKSRLRTQEEKKEFSAILTKLSYMVKTKGCNYIVLRKEYK >Et_9B_064365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14411002:14416779:1 gene:Et_9B_064365 transcript:Et_9B_064365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AHESNSEEREEKRGGKKGKGRSQGVVGFGSGRSRRGTKQGRVATSGGGGRWPRAESADHGGRGGSGDRRERGALGWYWAWPAPEAAIADGRRRGPGPEVVGAAAAGSSERALLVSVRRGEGERREERGGSMRRGKGGGRNGLLPSSLRIISSCLKTVSSNAGSVASTVRSAGASVAASIASQAEDEKDQVLWAGFDKLELKPSSFKHVLLVGYSNGFQVLDVEDAANVCELVSKRDGPVTFLQMQPTAVSSEDNEGTEGFRASHPMLLVVAGDETNGMGAVQGGRLSALIRDTNSEPQTGNCISTPTVVRFYSMRSHTYVHVLRFRSAVYLVRCSPRIVAVALAAQIYCFDAVTLENKLSVLTYPLQGAPGVNIGYGPMAVGPRWLAYATNTPLLSNTGRLSPQNLTPSPGVSPSTSPSSGSLVARYAMESSKQLAAGIINLGDMGYKTLSKYCQDFMPDGSNSPLSSSPGRRSGKIPSSVHPLEVDNAGMVVIKDFTSKVVISQFRAHTSPISALCFDPSGTLLVTASVHGHNINVFRIMPICIANGLAAKRYDWAASHVHLYKLYRGMTAAVIQDISFSHFSQWISIVSSRGTSHIFTLSPFGGDASLQPQNSHSDGPPLAPCQSRPWWSKPSFLMDQQLHQVPSTVTNSVVCRIKNSTSGWLNTVSNVAATASGKLSVPSGAITAVFHNSIYKGSLPVPSKANALEHLLVYSPAGHVIQHELLPSSGSESSGSSPSVGSGPNSQLQDDELHVTAEPIQWWDVCRRTNWPERDENIANIAFYSQRNSMMVMDTSDCEDSEQSDSTPSNDGMSGKEIMRVRERSSWYLSNAEVQINSWRIPIWQKSKICFYVMDHPAEESGETIGDNGGEIEIEKLPLHEVEIRRRELLPVFKQFHYSERSSSDRILANGGFQSNSSNVDDAQFSSVKGNGEYESKAVHPISGFYTNMRKISEMNGVVRQSLSGPGSAVNLQQVATNGSPKAADLTSCYKVENESNVLSTANGVSCNHEITNDSLPCDGASTDIPNGYLTGIDSGDQGPSDSHNSVEFTQFFQEGYCKISELDDCRELTEAVTDADSSSSHCEREKPEEDGDNDDMLGGVFAFSEEGKDPSRQFTNPRIQIYGSSVLSRSCHCLPQHCPFLFICKYTVRPICFVPYFWDIG >Et_8B_059832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3110124:3112189:-1 gene:Et_8B_059832 transcript:Et_8B_059832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVAVVLVLAALAAPAAALNTDGLALLALKFAVSDDPGGALGSWRDADADPCGWSGVSCADGGGGRVAAVELGNASLAGYLPSELSLLSEIQTLSLPYNRLSGQIPVAVAALQKLTTLDLAHNMLSGPVPAGIGRLLSLSRLDLSSNQLNGTLPPAIAGLPRLSGVLNLSYNSFTGGIPPEFGGIPVAVSLDLRGNDLEGEIPQVGSLVNQGPTAFDGNPRLCGFPLKVECAGEKDEPRMPESNNPGLSDPGAAAEVGRRPPPKRRSSSPTVPILAVIVVVAIVAGVVLQWQCRRRCAATARDEEKEASSAKEKSGAVTLAGSEDRRSGGEEGEVFVAVDDGFGMELEELLRASAYVVGKSRGGIVYRVVPMRGAAVAVRRLSEPDDGADGSDSGWRRRRAFEAEAAAIGRARHPNVARLRAYYYAPDEKLLIYDYLSNGSLHSALHGGPTASPTPLPWSVRLSIVQGAARGLAYLHECSPRRYVHGCIKSSKILLDEELRAHVSGFGLARLVAGAHKTAAGGGGSKKLGSAACALPRGGAVSYVAPELRAPGGAAAAATQKGDVFAFGVVLLEAVTGREPTEGEGGMDLEAWVRRAFKEERPLSEVVDPTLLGEVHAKKQVLAVFHVALGCTEADPEMRPRMRAVAESLDRISG >Et_10B_003972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8700007:8701129:1 gene:Et_10B_003972 transcript:Et_10B_003972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMIGLLIALTALVSPCAAAGWSSAGATWYGDRHGAGTDGGACGYGSAVRQAPFSSMVTAGGPSMFRNGKGCGACFQVKCTGHPSCSGSPVTVTVTDQCPGGPCLEDPAHFDMSGTAFGAMAKPGQADQLLNAGRLQVQYTRVQCHWRGGVAFVVDGGSNANYLAVAVEFEAGDGDLRAVELKQSGGGSAWQPMQQSWGAVWKYNSGGGPLRAPISIRLTSGSSGRTLVANNVIPPGWRPGATYRSVVNY >Et_8B_060361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1071896:1075186:-1 gene:Et_8B_060361 transcript:Et_8B_060361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVLLFIVVALVSYVVVAPAIAIPAPPLVPAGAFSPIQNVNDPHIVDLGRWAVAQHNKQTNSGLTFNSVVGGEQQVVAGMRYHLFIDASNPNGRHRLVARRGCGSTPGPESRQGSDEMDRRRLEEIGHLQFGNPEKAEPYIKPLPPIVLYHHHLAKPPHQTQTKSSQAAMRTVLLFIVVALVSYVVVAPATAVRAGGFFPIQNVNDPHIVDLGRWAVALHNAQTSSLLTFNSVVGGEQQVVAGMRYHLFIDASNPNGRYRADLAEDLSDNRILFSFTG >Et_10B_004020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9364972:9369018:1 gene:Et_10B_004020 transcript:Et_10B_004020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEREPLLNGAAAKVSSSSVGALARAVLKFLMRALFLTWAAGIFLYPTKPAQAVFRNLVVGLTTRDSLFGITGGIFLAFSAPILIIAALAYVYISFFPRDHVENKNLRSLRFRLWTFPVLVDGPFGVVSAAEFIGILLFITYVAYSMTYYAVESVGLVSEFHLPKSFESELMLEVIGLRFGTVGLFCMIFLFLPVARGSVLLRLINIPFEHATRYHVWLGHLTMALFTLHGLFYVISWSMQGLLLEQMVEWKQIGVANLPGVISMAAGLLMWVTSLHPVKNRFFELFFYTHQLYVVFVVFLAIHVGDFIFSISAGAIFLFMLDRFLRFWQSRAKVDIIAAACRPCGTVELVFSKPASLSYNALSLIFIQMRELSFLQWHMFSVSSSPMDGRHHILVLIKVFGTWTNKLRSIITDVQEQNKSDSGSQSGRLTACVEGPYGHESPYHLMYENLILVAGGIGMSPFIALLSDIIHRVEEGKPCMPKNVLVLWSVKKSKELSLLSAVDAQTIRSSVSDKLRLDIQAYVTQESVPPREDGIVARDDEKVPPGMFVKNGTTMSALVGTRDNFWAAVYLSASTLGFVLAYAAVQVYHVKPHNVVAWWYLGLLFVLCMVAGVALPGGLVVLLWHLSEKRRRLQDDRWDDAVSTSRAVDDDDAPSATVAGLRTTRYGCRPNFQGKDIYFHICCTEIDDPGVSDRSEFAAFAERAGDAADVGVLVCGSQGLQTSVARECRARSLRQRGLGVAKSASRAILKSGPLNDNLTDGNNVSLRTRVRAVLKTNSCCTDEHRP >Et_6A_047198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26737179:26737818:1 gene:Et_6A_047198 transcript:Et_6A_047198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEYLHHEHYEVVLHCDLKPSNVLFDKDMTAHVADFGIAKLLLGDDNSLITASMPGTLGYMAPEYGSLGKASRKSDVFSYGIMLLEVFTGKRPTDPMFIADLSLRQWVHRSFPSELNHIMDDQLVQDSSSSCNMNKFILPIFELGLICSRDLPDQMMSMSDVVVRLKKMKEEYAKST >Et_4B_039457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1738827:1739163:1 gene:Et_4B_039457 transcript:Et_4B_039457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKGKVSGEVAVMEVPGGALSAEGEAEETGEYLELRSRRLEKPPQAPLKEAAHATRRVAGRKAAAAAAVDEDDVEVSFGENVLDFEAMER >Et_3A_027155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3503737:3506817:-1 gene:Et_3A_027155 transcript:Et_3A_027155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGNFLKRKQREDDDFYVLDALYNGDSSSSKPARIHTSILTGKKYVSEVLEGHELRCKRDWRMENFVMYKPMHIYWRLHLHLTQIVPTRNSKIQA >Et_3A_026438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:882256:887567:-1 gene:Et_3A_026438 transcript:Et_3A_026438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSHITLLLAIRMFSINMVYVSQVDYSINAIMKGSRMNGKKGSIRIDIFLPSNNVVTEGAKKPITEGSPSPSKWVYDVWEFARQDRNRVTFALKVGLACALVSLLILFRAPYDIFGTNIIWSILTVAIMFEYTVQLSTADFNRALGSVLAGVFAIVVIEVAMFSSPSAEPYIIGFSIFLVGAVTSFMKLWPSLVPYEYGFRVILFTHCLIIVSGYRMGNPIRTAMERLYSIAIGGLVTVLVNVFICPIWAGEQLHNELANSFNCLADSLEECVKKYLSNDGSEHPDFSKTLMDNFPDEPAFRKCQATLNSSAILDSLANSAKWEPPHGRFNHFFYPWAEYVKVGNVLRHCAYEVMALHGCLHSEIQAPYTLRGAFQSEILDVTNQAAELLHSIAKNVNNMKWNLQTSQLKHVHVSTERLQHSIDLHSYLFMAIHENNSAKAQLKTNRVASFNIKDFTKQSNITGNTTTPSEMLMQAESYHEVMRRQQRRLHSWPSSMEVDDCEDDGNVVSNMIPRMRALESTSALSLATFTSLLIEFVARLDHLVEAVEKLSKMARFKQQITS >Et_9A_061515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12979083:12988583:1 gene:Et_9A_061515 transcript:Et_9A_061515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGYKLQLAFAALLGACGAAAAGYYLHCRVVAQVGGDLARSAASSRRRRTRAPAGAAGGKTPPPRRAGAGSASLPDLSAFYDGGRVRPAAGGYLLEEEEDDAQGVVGLHANGALDAADLLQIPQGLPRLHVGPDGSKKMVRSGSNRRVRPKSPVASTSADGSDEDDATQNGDKLENGYMNTSGKLEGEHKGSAAVENGASTPLAAANMLRSHSISNDLHAVQPDPVAANILRKEPQQESFIRLLTAPKEIPSADEIEVFRILQRCLELRECYLFQEEVAPWEKEVINDPGTPKPNPNPFTYVPEPKSEHVFRMVDGVVHVYADKDYTERVYPVADATTFFTDLHYILRVIAAGNTRTVSHNRLNLLEHKFKFHVMLNAESEFLAQKTAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFSDLSASKYQMAEYRISIYGRKQSEWDNLASWIVNNELYSDNVVWLVQIPRLYNVYKEMGIVTSFQNLLDNIFLPLFEVTIDPASHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMTTIKFRPHAGEAGDIDHLAATFLLCHNISHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPVFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSIATSLWKLSSCDLCEIARNSVYQSGFSHALKAHWIGRNYFKRGLAGNDIHKTNVPHIRVEFRDMIWRNEMNLVYLNKVTLPDELDP >Et_6A_047233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:27094082:27095047:1 gene:Et_6A_047233 transcript:Et_6A_047233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDGSGVVALYGGNNKVKVVDASGGSKPPAATFSVKVGLAQMLRGGVIMDVVTPEQARLAEEAGACAVMALERVPADIRAQGGVARMSDPGLIRDIKRAVTIPVMAKARIGHFVEAQILEAVGVDYVDESEVLTPADDAHHINKHNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMGDVFAYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPEILADVSSGLGEAMVGINLSDPKVERFAARSE >Et_5A_040317.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:13317337:13317438:-1 gene:Et_5A_040317 transcript:Et_5A_040317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVNRVCSWTAPFPEWLMGEAAKDCNPVSDA >Et_4A_034314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31685956:31687775:-1 gene:Et_4A_034314 transcript:Et_4A_034314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKDASARPKSNSISVDAYHVLKIDGYSRAMDTKDDMLCIVSCVFPAGGHTWQILCYPMGAHGSENMDSMALFLVRCDTVDEAVTAHATFSLLDQDGKPLTRDDCISVRVDVRVFKEAKPMMMVPLSDMHRDLGDLLSKGTGADVEFRVSGAKFVAHRLVLGSRSPVLKAQLLSAVKDDCTNNVVIEIDDMEPQVFKSLLEFIYTDACQEIDEFAMTQSLLVAADKYSIQRLKHLCEDKLLCGYINTASVSTLLALAEKHNCPGLKAACFDFLGSKTVLFAVIETKGYEQLARSCPAITTELIYNVLNREKANSVGWSKEVVVSVINMFKADLYGLTLLWSCKD >Et_2B_020473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20556079:20557555:1 gene:Et_2B_020473 transcript:Et_2B_020473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAWAAAAALNLHPLRAVPSSSSLQLRIPSRGTAPRGHCLSSRRQHLAKFVCRRAKNAGFDDYKFPDPIPEFAEQETSKFREHMAWRLEQKKDEYFGEHVEEIVDICTEACNHYSVQNIIMGTFLEHDYCGPGTLLVHPFLDMKGEIKERGLPGAPQAARAAIAWAEKNIDKDWKAWTGEY >Et_4A_035581.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30090528:30090845:1 gene:Et_4A_035581 transcript:Et_4A_035581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTAHDAGLAEDLREDVRHCDVAFLAALCQHGDRGQHMSDGAALVVRSAQVPDPGDIGRGRFDVLVVRHLRPDDGGINSDIVRRSLDGQHALGGAVPVPCKTER >Et_4A_034619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4968267:4973476:1 gene:Et_4A_034619 transcript:Et_4A_034619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNENFGGVKAKHSSDEALGRWRKVVGVVKNPKRRFRFTANLSKRSEAAQMKRSNQEKLRVAVLVSKAALQFIHGLAPQSEYTVPDDVKAKGFGICAEELSSIVEGHDLKKLKSHGGVEGLVSKLSTSEADGLATSRKKLATREEVFGVNKFVEAESRGFLVFVWEALQDMTLMILAACAFVSLIVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITVQVTRSGFRQKLSIYELLAGDVVHLSIGDQVPADGLFLSGFSLLINESSLTGESEPVAVNAENPFLLSGTKVQDGSCKMLITTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLIFAVVTFAVLTESLFRRKINDGSYLSWTGDDALELLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKACVCGKIKELDSASETKTLFSELPDSVMTMLMQSIFNNTGGDVVINQDGKREILGTPTETAILEFGLSLGGDFSAVRKASAVIKVEPFNSAKKRMGVVIQLPGGGLRAHCKGASEIILASCNKYLNEQGSVVPLDDATISHLNATIESFANEALRTLCLAYVEVQDGFSPNDQIPVDGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTEDGIAIEGPEFRTKTPEEMTELIPKIQVMARSSPLDKHTLVKHLRNELDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALVVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNNELMKRTPVGRRGNFISNIMWRNILGQALYQFIVIWYLQTEGKQLFGLKGDNSDLQLNTLIFNCFVFCQVFNEVSSREMEKINVFEGILNNNVFVAVLSSTVIFQFIIIQFLGDFANTTPLSFKQWIACIFIGFIGMPIAAIVKLIPVGSR >Et_9B_065032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20660194:20664660:-1 gene:Et_9B_065032 transcript:Et_9B_065032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSVKISEEVWLTCLSHALTTEKEEVMGLLLGDIESSSRGGMTALIWGASPQMRCERKKDRVETTRVIGWYHSHPHITVLPSHVDVRTQAMFQMLDSGFVGLIFSCFNDDAQKAGKIQVIAFQSQGRQQHNVTPLAIAPVIDLESSWSSSDHASHSIEGIEQDTGDSRFSKSNKGWGRSSDMEFYSYPDTNNSMKHQPGENAIIPYNPDNTHEASIDPYDSDMTPSIQEALHRSNMDVSGAEYVRKEVPLHVLPTRNMLKLDTALTSYCDMQRVLFEEEKSAYNQAMQQNICLSPAITALQDRLKENELRLSVLQEEAKQLEAETQSIQNDSPHRMMNHGASGSSSPMSRNRHPFSNHGSPRSPSSGSRKRGC >Et_1A_008228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5475256:5481242:1 gene:Et_1A_008228 transcript:Et_1A_008228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AQGKRFIRFLRASVTTSSSTLRFWTSWSDSFYQRAHGDHHARYFLTRMLAAFLSIQGSGDQDWQVSLWMDNRNNGGMGLSERKSCPKVHNSMCAELTMMLDKISSILPSIEAARPGCKAGIQELCNLYNVVEKGKLIVLHCIECSKLYLAITGEAIVARCERIRDSLRRSLFLIQNMVPPALANQIADVHNDLRDVKFVLDPKEEEAGKAILQMLRQSDATEELELDTYLQAASKLNMTSPKAILIERRAIKKLLDKISGTEPKKEGVLKFFLYLIKKYGKSIRADSAEQNENMQAESQSLSSNTTSGDGITPVKRHMPAGFQRYEDHNSVSGEATPPAEFCCPLSAKLMYDPVIIASGQTYERECIERWFNEGYDTCPRTQVKLDNFSMIPNTCMRDLIWNWCKDHGFTIPDVMAPGKSAYSYFPEQHGYSMSSLHDVSVPLIAGKARDFVIDHSDSSVSLSDASYISDAPHTRDMSETKCTISVFSWSTDYQKCMSFHDFSQDMFVKFFHELSMLPLELQVNSIKDLKNILDDDNEVSWAMVANGFVEAFLEFLGHDSGIHSVEARKAGFQFFLAFLSNSRTKVPSVNEEAFCLFTTFLDSELKNEALLILHEFVQHSSRLKSHSVASVVTPPLFKILSSEDNEGLELSLKIICELSSDTHMKSYLISMGIISKLVPILSEGSFVECCLKILRNLCDMEEAAVLITRTDRCLGSIAEYLDTGSPTEREHAVVILLAICSRSVEDCLLVMKEGVIPALVDLSVNGIDEAKNCSIKLLHLLRDMRRSDQFSNSCSQEVSGSDVVADAPDSTIRKQPISKSSRFFQRKLNIFSKPRLPLSAASPCKARGTDLPLKPIPVTLTWVLHLRPNLQLSVRSSVPPPADDASSLRPGLAYGTRLLRFFSAKAPS >Et_1A_005247.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35220406:35220504:-1 gene:Et_1A_005247 transcript:Et_1A_005247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSDFDGMGLEDLKSFHDQLDEVQNMFKDRT >Et_2B_022402.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20313225:20313626:1 gene:Et_2B_022402 transcript:Et_2B_022402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASDVVHSGGCHCRRVRWRAEAPASVVATTCNCSDCSMRGNANFGVPADKFRLDPGADEFLTTYSFGTHTARHTFCKVCGITSFYIPRSNPGGVAVTVACVDPGTLAHVEYRHVDGKNWEEWFARQQQHRTA >Et_8A_056337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23433867:23434180:-1 gene:Et_8A_056337 transcript:Et_8A_056337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACREGLQLARQWSQKPAMLETDCTNCATARDRNSIAHELAKFARREYSSAVWLAGVRPALEQLVLAEAPP >Et_4B_037535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20937753:20940041:-1 gene:Et_4B_037535 transcript:Et_4B_037535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGDGAAGMAGDFALPDEVLAALPRDPYEQLDLARRITAMAVAGRVSGLEREAARLRAEAADRDRENGELRERVALLDTALQETNARLRDALEDNIKLSKERDSLAQTSKKLARDLQKLESFKRHLMQSLRDDSSSPQETIDITTCDQPVPSKVSSCGDGGSVSRPTTNILSESTDVGSTNREGRTPRIDGKEFFRQARSRLSYEQFGAFLANIKELNAHKQSREETLKKAEEIFGPDNKDLYLSFQGLLNRSMPS >Et_1B_009731.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:21504536:21505411:1 gene:Et_1B_009731 transcript:Et_1B_009731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSANATDGKTPLYPVSFSAVSSCAPDTLLASLPAGAAGVAGLSRSELALPAQVATTQKVAKTFLLCLSGGEGVAIISGGPLHLLGDMAWGLGNLAPEPTIPLQRNLGISQGYFIKAKGFSVNEEKVPLSVKEFVIELSTTTPYTTFRPDLYRSFIHAYDQATADYARITPLVPPIEICYDKRKMYSTRVGYSMPEFKLALVGGSNWTMFSTNLLVDLDAQTACLAFVEMKQQATGGPALGMVIGGYQMEGNPLVFDLEKGMLGWGGQLMWLRTSCGNFNFERIQWKARP >Et_4B_037585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21390965:21396872:-1 gene:Et_4B_037585 transcript:Et_4B_037585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAARSPSPGPARPCCGLRRSADSSPFRSIASTPDSPQRSASVGKTGGRSRASWGEKENDSNNPRDAARTPKGAARHSSGGGGGGAKSFMAPTFSAASKAVAPSPSPRKKILGERNNDPPHQQHPVPSSPGEMAHGKPSGPPPNPPEEPLGAPRRLRLSLDGAPPAPPVAAPVAAHGAWRSLGAEAEEEEVVVENPVCKIHNHHHDESGGAAAPYDPKTNYLSPRPRFLRYRPNPRVEQYRQGSGGGGGRRLEDGFPSSESSEEADTATTTEEDEGLSEGEQEQVPSSPEESSALAPVSDARADPATPAAAGVLQPDPSPVSPLPRVQTPEPEEPVAASPRARSPTPELEQAATPARACAKKKKRSSLRFLLVAPLALVLFMVASLVCVPPPPGSPVVLNASLSKVSGYFQSVQELHPVELTAWLKQWSSSSLDFVTAYLNALASPQEQEFFGPHTAANLSAAAADDVDHGAGFFYSAAETRPVPTEQEPISASFCEQELEIQEVVSARDSVVIAEPEIEEVTAFGDATTEDEPTDHAEMVQESVSATSDAIEEELKELNAEMAEEVSGSSGKEMASFDQDLGIPSQSEPEPEHVVDDMDVPSLQQDVQTDGSEGDQDAHVKEDQEAHHGQKLVSDMWSGYLDELSKPAVAGSVLAVIIGSAALAFHYMRQNRAHVSLNSSEPAEHEPAEPVEQDENRSSSGSSEGHALAKGSQHEYPAVKETERTGGSGGSQYSSSLSSGLGRRKKEKVEESLQSLEPTSRRDSIAHSTSSYGSFTTYEKIPAKKKNKEEEAMTPVRRSSRLRNQLGESVLETHSQLPAKRALCSGHDGSTVQLYAYEENDMEARQE >Et_5A_042871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:665185:668994:1 gene:Et_5A_042871 transcript:Et_5A_042871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSAASFFLLLIATSFFFLITHARRQARPAASCTLKERDALLELKHGLNDTDNLLASWHRGQDCCRWLGVTCSHRTGNVLRLDISEATLGAGVQGQISPSLLSLEHLEYLDLSAINDWLGPNTTNPEFLGSMKNLRHLDLSGGLGFYGSIPQLGNLSKLEYLDLSWATFYVGRVPAELGNLSNLRHLGLGYIKGKHLIEEIDWFGWESDPEYALGVNLSVVMKWREFNYGHRISEVAGIDLSLNHLTGGIPDEITSLKSVLVLNLSWNHLSGKIPENIGAMRSLESFDLSWNKLSGEIPQSLTNLTYLDCLDLAYNSLTGRIPSGGQLDTLYAGDPSMYDGNNGLCGPPLDRNCSGSKLPEQGNQKASEEESAEPMFFYLGLGSGFTNNNAKKNNLWISKD >Et_2A_017104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3144686:3149080:1 gene:Et_2A_017104 transcript:Et_2A_017104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGEEGVAVKFEEAAKLLVEHLVEPVLKRGGIRREESPTPENQEAVARQVHAAVLLYNYYHRKLFPQLPFADSKHFIVSATLAAGEAMLAYLKQGGGDAEASVTERSFEDACAISEALDAEADSPPTLMWPISKVAVLLVDPTGKKCSIDHGAVTQGVWSILEKEITVASEKSRSIDLSAPRSSQEVAFNSEPYMLQQTAYSLVESKAGMKHASLRILEEHLVYSLSKKETTAKLFVLQYEQTVNSNLKEMPIADLISRMSGPIFRNEAFPETTSVVECYHILPYKEVLLNLLNRERTLDSSQSIPKEQPLRNGKSSSHSEIDESFKEQEANSKSNIKNTTTNASDPKKNKGMKEVGSSGTNNCSTNKNRKNSNLICRRKSEVLKASSKKENGSPSNSDAETLKLVSNAANAEATRAESGGLVVKWARTNQVEDLTTYKPMYASLQSLQKMRDDTVREHCMLGDRSAQFDMDIQTILTEGEMTPRAISILTKYEKNSSNMMKASSSTSSGEGSQTMKMKRKRLTEAELDEICHENNWILPRYTVLPSLLDGLYQATVYLVCLDLELNADGGMKTTPREARDSAATAMLHQLHSKAKEKLAELDSTPDALLLDKIPEK >Et_3A_025372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29257059:29261102:-1 gene:Et_3A_025372 transcript:Et_3A_025372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTEELLRRDDDLKGRDERGTKKYKEADESICGTSSGLAIQVCIYGSYAHSVHGSTCSFLTCGNLASSDVVLAVYNLLNLTNRLIEESAGNQEVTKLVDGQLRSRRHSVKQLFGALKNLFFMKLPNLEEVGTQAIGDLQLCQVMSFGRTRYTVDVINGLDSGSCGFSESECPDQFRKRNRPETELVIAPTMTDWPRRYPQSCGLVAAPAEQALHVVLYL >Et_4A_035790.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:621925:623487:-1 gene:Et_4A_035790 transcript:Et_4A_035790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALTTSCGVLAYAAVALVALRLLLSYKSAVYALRRLWRWADEWAQAYQYHEVPRFGCDGAENPLFRKAAAYVSALPSLEDADAASVVSSASKTNGGFSLQLGPGHTARDAFLGARLAWTNPIQIQLGEGDDRLVLRVRRHDRTRVLRPYLQHVESVADEMEQRRRELRLFANTQQQGTGPWASAAFTHPATLDTVAMDPELKARVRADLEAFLKGRAYYHRLGRVWRRSYLLYGPPGTGKSTFAAAMARFLGYDVYDVDLSLSSKGDVDLRALLLRTTPRSLILVEDLDRYLLHEGHGDGEARAARVLSFMDGVASCCGEERVMVFTMRGGKDAVDAAVLRPGRLDVHIQFTLCDFEAFKALASNYLGLKDHKLYPQVEEGFHAAGARLSPAELGEIMLANRGSPSRALRNVITKLHHVSGRPNPPSSHRRNTSCSGATGQHLNWEDHAAHRVSADGTTEADDDAAAAASAAQAFKNAPMREFKKLYGLIKIRSRREGAGFVPLDGPTTPVGNHDKER >Et_4A_034181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30584542:30586560:1 gene:Et_4A_034181 transcript:Et_4A_034181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVESSGCPGWGVEQLHPQPPPGSIGQAISSRVPAAVTAEPEATSVLSSFGQERIIENDNMLHLPTDIIQKILFNVTDPASLACLTLTCKFLRNIIMDPSFLDCLRLRRHDHGFAPSLLLGFFNQEWTESPLYSLQRETGKWCCLAPRFMPTSSFSFIVSKGCCGDLTPVTLDPFLRRLGGNLNFCKSIASQDGFLALSYHSKGSQAEPDRLYVCNPLTGDIFYIPKVQGEQPDQYALLVTEEVSPKGWTSQSFKLVAIWVTGKSICGFRSYSSKICGFQSYSLKDKRWAPSGPLPALLPGLDVVLSPVAASHRSINFLCGNSINWTLTHVVTLHVDTGSLSYLELPLAPNCSKGHLLGNSADGGLLLLLLEDLQLSLWKHDGKLGSDSNSWVLSETIDLASSLPLQVVQMRHRSRIMLDLFLGKSGAVVLRVEGEGLFLFSLGDRSTQKICDENHAKKYSLCPYEIDYLSCLDVMNLVIDIKDSS >Et_5A_042860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5704638:5705057:-1 gene:Et_5A_042860 transcript:Et_5A_042860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQPPVGVPPQQGYPGKDGYPPQGYPPQGYPPAQGYPPQGYPQQGYPPPYAQPPPQQQQSSGPSFMEGWYVPPHLLCSYLCSAAS >Et_6A_045949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13059472:13060261:1 gene:Et_6A_045949 transcript:Et_6A_045949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCHHVHVPGKPTETGTALLETATGTIQGFAPINQIHQHLCAFHFYADDMSRQVEAHHFCSHLTEDVRQCLVFDGPGADARLIGVEYLVTEKVFLTLPDEEKPLWHSHEFEVKGGVLFMPGVPGVVERRDLEEVCKTYGKTIHFWQVDRGDALPLGLPQIMMALTREGQLRQDMADCVEKKFGVSFQKERENRAYMSGPEHGIHPLANAAGKGLKTEIREVDIPASATAGRVFT >Et_8B_059622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:252979:256369:-1 gene:Et_8B_059622 transcript:Et_8B_059622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSARAPAGSRLLATPLGAHAACRRSVQRLLRPRRHTVRCSVDATRQAVAAEAEAEAAAARKRAFGVFCTTYDLKADEKTKSWKKMVNIAVSGAAGMISNHLLFQIAAGEVFGLDQPIALRLLGSERSFQALEGVAMELEDSLYPLLREVSIGIDPYEVFEDADWALLIGAKPRGPGMERAALLDINGQIFAEQGKALNAVASRNVKVIVVGNPCNTNALICIKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNAKIDTRPVKEVIKDTKWLEEEFTLTVQKRGGVLIEKWGRSSAASTAVSIVDAMKSLVTPTPEGDWFSSGVYTTGNPYGIAEDIVFSMPCRSKGDGDYELATDVEIDDFLWERIKKTEAELLAEKKCVAHLTGDGIAFCDLVNEDTMLPGEM >Et_4B_038875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5492416:5494236:-1 gene:Et_4B_038875 transcript:Et_4B_038875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QTTGLYFAIVLTVSQIWPGLPRGVEFNPSDSDLVWHLAAEIGNYLAHRHPFISEFIVPANDDGGFSCTHPQDIPGVRRDGRASYFFHKRFDVLCNNKNSKNISWQKVGTPKSIILDETLQGCKEEFVFYACNVSDNSREQTDWRLHQYHISGSVKDDGELVVSKIFHQSQNNLCELVEKLPAEPELPCKDIFNHAETDDLDHMSLKERHIILLADKSSCPATTSAEKSAAAPKRAYVKFTAAMLSA >Et_7B_055168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:833070:836705:1 gene:Et_7B_055168 transcript:Et_7B_055168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIDMAAILADLERGDTDARLPKTKLVCTLGPASRSVPMIEKLLRAGMNVARFNFSHGTHEYHQETLDNLRQAMHNTGILCAVMLDTKVPSAPSTPALSTSISELALLANRVDGPEIRTGFLKDGKPIKLTKGQEITVTTDYDIKGDENMIAMSYKKLPVDVKPGNVILCADGTISLTVLSCDPDAGTVRCRCENTAMLGERKNCNLPGIVVDLPTLTEKDKEDILEWGVPNDIDMIALSFVRKGSDLVNVRQVLGQHAKRIKLMSKVENQEGVINFDDILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNIAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPELAVKIMARICIEAESSLDYDSVFKAMIRSAPLPMSPLESLASSAVRTANKAKATLIVVLTRGGTTAKLVAKYRPRVPILSVVVPVLTTDSFDWTISSEGPARHSLIYRGLVPILAEGSAKATDSESTEVILEAALKQAVQKKLCKPGDAVVALHRIGVASVIKICIVK >Et_6B_049217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:231249:232338:-1 gene:Et_6B_049217 transcript:Et_6B_049217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKESFPLDNKYTVLNLNGSPTELKNRWRKCVLDYRLWCYNTSDRRYDFGGMHQRCCTLPPVGHSLAYQHYNGKWLAAVIDGPSGYLDGYVTRSVGKKKDLRVFEHSEKKGTMRLKFIESARELPWDGRYRTADEAICGNLVPSFLIMYRHIEGELRKPTSEEVIQEGETFILHFAESGRNDTLYMGAIHRYFRAQYAVGSKLIDDARVWKTSCSVIYNAIGVTKEDFIAGPKGRYAKAKRTTTTAESARDAKKFLKRIRLLHRPVHSHGFFLLKDMPVHLPKEGPMDAEWAEKELQRSCNPDFANPNWGFLMEPFPAFPEN >Et_4B_038617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3473376:3476543:1 gene:Et_4B_038617 transcript:Et_4B_038617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDRETAEALVRFAASLDGAVLGIGTAAVAIASWVKYLAAAKELRLVASATATSIAGLRSVLPEDSSEPRLVAVRGFVRTLPGGSFLKGPGSGECCVVTKHTQMCLFTEWRGIFGWTFDLHALIFRSWKEQIITSFRTVKFALADSMHGNRTPTVTVNLDKSDHPLPLTTVYHKLIPVETSPYTLFQTIIGNGYPIALLDEEKILPIGKELTAIGLCRTNDGSLEIISCPEIPFFLSELTKHEMQAELASDSRKLFWASIVFGTLSVCLVGHAIYRGLERIKMRREARQAQQLFEEAEDAIQEDDSSDEDEIGDGQLCVVCLRKRRKAAFIPCGHLVCCCKCALRMEREVEPLCPMCRQDIRYMIRIYDS >Et_2B_022792.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5259030:5260211:1 gene:Et_2B_022792 transcript:Et_2B_022792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTGDTGGVRRPNFPLQLLEKKEEPQPCSTSPSAGAANGSAAAAHGDHHQLQQARKAQAPPKRSSTKDRHTKVDGRGRRIRMPAICAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSFSIPAHLRAAAGLHPSATAGGGSRFGARGTVDAWDRVVGLGFGGEGPASASSSASSPLLLSFHSGSVGLDVSPSSSSPAANTDLSSRKRRWEQEMQQQQYQQQMAGYTQSQMPGTVWMMPSSNAQAAGAAAAGGGGTESIWTFPQAGSGGGAAAATVYRGVPSGLHFMNFPAPMALLPAGGQQLGLGPVGGGGTDNGSGGGEGGHMGILAALNAYRAQAAAAAAGQNGAEGSNQQHGGERQESMSASES >Et_4B_037781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23283530:23289601:1 gene:Et_4B_037781 transcript:Et_4B_037781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSSGRQLSYELLAGDLSADEADDFSPRSLPDTTSNGQRRRRKRSKRKRGFRSPPIEEAPAEGEPREGGGDASAFRITDLRSATETVCVSSDAERSAASCVTYVGVELRQRSVAGNGRVLAASAEDGTSSCGSTRESTAAAAAVADVAAAAWQPEANGGVKKKLEKEESLDWEKFMKENGNILGEVERLDNSPFRYFLGELYGGNSLRSTIAVGNEKKRQRVYNTMFHVPWRCERLIVAGFFVCLDSFLSLLTIMPARIVVTVWRVLKTRQFLRPNAADLSDYGCFVVLALGVASLQMIDISLIYHVIRGQGTIKLYVVYNVLEIFDKLCQSFGEDVLQVLFNSAEGLSTCSADNVTFELMRFLLDEAIAVAITLSTCIIAHNNALLALLVSNNFAEIKSNVFKRVSKENLHNLVYYDIIERFHITAFLLFVLAQNILEAEGPWFDSFLVNALLVFLCEVLIDAIKHSFLAKFNEIKPVAYSEFLEDLCKQILNDKPDDRQKDLTFIPLAPACVILVGLILRCLANWYVNLRLKRKQHVD >Et_5A_040913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14688571:14690215:1 gene:Et_5A_040913 transcript:Et_5A_040913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGAIVGAGAGGWMNDRFGRRPSIIIADLLFLAGALVMATAPSPAVIIAGRVLVGLGVGMASMTSPLYISEASPARIRGALVSTNGLLITAGQFLSYLINLAFTRVRGTWLWMLGVAGLPALLQFLLMLALPESPRWLYRKGRKREAEEVMRRVYPPEEADAEIEDLRLSVEADAAREADGGATSTSLLRALAGSAVVRRGLAAGVLCQVAQQLVGINTVMYYSPTIVQLAGFASNSTALALSLVTSGLNAVGSVVSMFFVDKAGRRKLMLLSLAGIVACLAMLAGIFYAVDSHAPDVSADETALFGANATCPEFGRASATFEAEKWTCTHCLKAPSECGFCANTQKLLPGACLAMSETARRTCRQAAGHRREWYTRGCPSSFGWVALLALGAYIVSYSPGMGSVPWLINSEVYPLRFRGVCGGVAAVANWSSNLLVTQTFLTLTQALGTAGTFLLFCGVSAASFLLLFLVVPETKGLQFQEVEQMLGSKDYKPWKKFNPNKAQS >Et_4B_039830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3480362:3492611:1 gene:Et_4B_039830 transcript:Et_4B_039830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATGGNNSNLRSCCSLPNLLVWLLNLSLLALAAAALGPVLLLRPHPTPFGWALVAVHATTALSALAAFYAQLTHLYVAAHTGLALAALSGHALASLAFFLRHDHSLRLLGSARDRREQFVLAFLEEVLLLGMFLTQAVALAATCVVSRRWAREYQEVETEKAAVARKRGRKMARVQAESAAAAEAGVKAVDEKVTRSSSGKKVHWANNDGFEERKKTKAHQKEKVAAANVITVYTNGPAIESASLCTGASLPANTGSPISLATGKDSPVSIDSSTKLDPPMTFPCKNECQLVRSPWPTWMPMEWRRWDACEPQQSATSVHANATRPRLRARSSSFRAAISRHLRALSPAPAAAPPRRAVVGNPHSVSLAASSSPAPGSGARSRTAADSARRLTAAVSTRASAAKMARTRAAQPPHIMPVTSSSTVEAPAPSASAAASTVLEADGSAVARGVPASAPRVGSWGRWRCREWEEAGAERRQWIGRSRWRKRGLPRGIGGRTRVREKVVAMETTRIPVPEASFSGELRGDELAA >Et_4A_035022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8893774:8897369:1 gene:Et_4A_035022 transcript:Et_4A_035022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVEAPVRRSSSCFFEDIVMRMIRYGELKGHDGCVNTVSFNPSGDLLVSGSDDMDIILWDWLAKTKRLVYPSGHQENVFHARVMPFTDDSTIVTVAADGQVRVGQLKEGAEVTTKQIGEHDDRVHKLALEPGSPYIFYTCGEDGLVQHFDLRSDSATKLFTCYSFSNSRRCVRLNTIAIDPRNPNYFSIGGSDEYVRLYDMRKFLSDDSRNMNQPVDTFCPKHLIKGGKVHITGIAYSYAREILVSYNDEHVYLFRNDTGLGPNPELAQPELLDELEQPQVYSGHRNFRTVKGVSFFGPNDEYVVSGSDCGNVFVWRKKGGELMRMMRGDEDVVNCIEPHPHFPFLATSGIDPTVKIWTPTATKVMPLPKKAKKIIASNEKGREVDASRAELTLSSDVIMHLFRLNRRRSELNREHEPSAGNFTSDNDEAFYIGFGNGEGNEGENSDPRECTVS >Et_3B_030136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30792544:30793979:-1 gene:Et_3B_030136 transcript:Et_3B_030136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAFTLAGAFQGLSLSSSSRPSFLRSDRAALSVGGAAIGVQVPTRRLTIQMAHKKGAGSTKNGRDSKGQRLGVKIYGDQVAKPGAIIIRQRGTRVYPGNNVGMGKDHTLFSLIDGLVKFEKYGPDKKKVSVYPYEKQPENPNSYRARKREYFRMQRERKKARTEGAIEPQLVLAAVDETSEINADC >Et_3B_028071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9404100:9404930:1 gene:Et_3B_028071 transcript:Et_3B_028071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEDPSSELRRGPWTAGEDEALSRYVAAHGEGRWNELALAAGLRRTGKSCRLRWLNYLRPGVRRGGFTPREQLLILDLHARWGNRWSRIAAHLPGRTDNEVKNYWRTRVQKIQADAVAAEAAVGDVTKTTAVSVPSLPPPACQQSNCASPDASCVTNGPSSSSESSNVAPFQTNSPIMAVSSTEECQYGSSTATSGGDMFDGSWSDLLARATSQDDADSTCLQDFGLGETVDNFWSLDDVWRQQLC >Et_9B_065252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2872474:2880776:1 gene:Et_9B_065252 transcript:Et_9B_065252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCAWLDNLAARGTGRGEEGKNVASRSAGDQKEKSSPGPAPAGVMVVEDTDALDCGVCFLPLKPPIYQCDVGHVVCLPCCDKLKATGKCYVCGAANGAYRRCHAMERLVDSIRGPCQNAVHGCTARLTYHNQPEHFKECRHAPFCCPGQDCGFVGSMEVLLYHFTLTHGWPCITKIRFGEKCSVRLYDGFNFLLTDHIADCQGGRQYLFLMNVARMPLGRAISVLSISQKPLKRLKCVLSYSQRHYDRHERHKSLGSHLLQSDTIVECTDLSNGVPILNDCFQFIVPDYFLGDGDKGNAITVKDGWDSWDPSPDELPVASAWPGGGAWPESPARARTDSAAEGGVTVRETSVIDCGICWLPLKPPIFQCEVGHVVCAACCVKLRETGKCHVCNIPIVEYKRCFAMEHVVESIDVRCPNAIYGCSDKAAYYDQRNHRQMCAHAPCYCPGVTCDFIGSKAALWDHFSGVHGWPRSKGRVSKGFDIVIQDGLAAMCECMNLITLQDGFNFLLADTASDGRCATTTANSQYLFLLNVARQPLGRAISILCIDPHATTGAANGQGPSTKEVKCDLSYTGHGDRHPRGCDQVIEHYQKSRFRVACTDLSNGLSSLDGHFQFLVPHSVAADIDRDTIQVTARIVTIALKIVPSAAAMTSGALEQSLAETDAMRLTVTSH >Et_3B_028325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13438879:13440371:1 gene:Et_3B_028325 transcript:Et_3B_028325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPATVIQMPPQPNGTAPTTPKLQVANGSTPLESPMTKDKVMSGAANLAQLLPTATTLGVLHKMRLRPVDYVHAIFSAVVFLTVAFSDVGLQKCFFPDASDNTNELLKNLPLGMAFLSSWWMWCRKYRKYFNKNGP >Et_5A_040118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11379292:11379847:-1 gene:Et_5A_040118 transcript:Et_5A_040118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLLGLKHMNKKGIIHGDIKPSNVLIDSDSDCVVGKICDFGLATYYDEAITTWCGIPHGTYDYMAPEVYELKSSCTFESDMWSLGAVMYEFITGCPLISGRDSTGTITRMRSLFGSPVNQIRQTKKKIRCKLPSIVQTKKPKHTLIENEKFDMQFFIVGSLSKAPDLLPDC >Et_8A_056807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17082839:17088854:1 gene:Et_8A_056807 transcript:Et_8A_056807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAAANTGGRRRVSVQSARSSSGASSAGGSVWEARMRMDEVKGGVKVFNAAADEQAAADDEEGVRVYRRLRRNQSEGGGGGGGGVGAMTAAAAAKKRRSWKASEPVTAIGDQLRKSRSDVAAASAVTSSTTTVVARRNVARVTTPEKKVAAVAAAAGEVKEVVVVEVAEAASVQSRAVKNQRTRRMNWRRMDDDDDDQDLEPPTKGIKPTLLGDERAANPEPVKPPLEKKQTSAIDLRAINPEPMTPPPVEKKPASIIVHRMTNFEPAKPSPEKKSSPATGRRIPKQEPVSTPPVEEEYEEIQGRPSAPSRSHARMQNIVDLVMWRDVSKSALVFGLGTFLLISSSYAKDLNFNTITAASYGGLIYLGLRFLSKSILNRSENMDCDDERNGERCYLVGEEEAIWLLRLVLPYVNEVLLNLRSLFSGEPATTMKLALLLFAMARCGNFVTLWTLAKLVFFGVFIIPKVCSSYSTQLARYGRFWLERFRDAWESCSHKKAVVAAVFTLVWNVSSTIARVWAVFMLVVAMKCYQQRMVEFGWSSTVEDGANDEGDGVHREEPPAKPAPSRPQDEAQGFGAAPAPRQRRTPVSGEFARERLRVRGGIQPR >Et_4B_039788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29692598:29695876:1 gene:Et_4B_039788 transcript:Et_4B_039788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKHKDPATGADAIRSLFSADNPFRRKPSDEEPPAPAPSAPAPAAGTPLRKHPNPDAGAAEPSSKKKKRKDAEEEGQPRRKRKREEVAAGRERPAHGAVAEETPAVGAKRKAPDDVAVAAAGAGEEDDDAFDDESKLLRTVFVGNLPLRTKRKTLTKEFAAFGEVESVRIRSVPISDSKIPRKGAIMKGKVNESVDNVHAYIVFKEEQSAGAALSHNMALFGGNHIRVDMACPPRKKLKGEGPLYDRKRTVFVGNLPFDVKDEELYQLFCGSSGAEGNVEAIRVIRDPNSSLGKGIAYVLFKTREAANSVARKRDMKVRDRVLRLSHAKSVDTTPKKTADAGKLKRGPKLKEVSTPGSKSSGSNDKAKRKAQDLSYQGLRSSKSGVVKKTKVNQRPVSEGKQGKNNDAGPSARKVIRNPNAQADEHPYLDGQVLVPSWPTKAAQLEFSEL >Et_1B_011661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24615632:24617507:-1 gene:Et_1B_011661 transcript:Et_1B_011661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMFPSTATSSSSPASSAPEQQRRQCSGLGSAACGLAGRVLCGLVTCVFAAVGSVVGAVTGSMIGLATESGMLRGAGIGAISGAVFTIEVAESSRDLWHSGDSSVWSIIYMVDIISSLLSGRLVREKVGPAVQSAVQSQISAISSPFAETSDLFETGGVKGLAAEALSQLPEIEITKNSAVDAAGEALCCSVCLQDFRVGEPARQLPGCRHVFHVPCIDCWLVRHGSCPLCRRDI >Et_1B_011664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24775171:24784122:1 gene:Et_1B_011664 transcript:Et_1B_011664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRFRSPKRIADKLTPFCRDASKDKPLLARSLLARAASEPPSSSTAQGLNPSLSRPAAASSAADTMDTLKRKATDGPAADHASPLKAPRAEPSPTTALAATLAAAEPVACVHDVSYPEGYDSSASASRVLAGGAEGSEPAKRFPFQLDPFQAEAIRCLDNGESVMVSAHTSAGKTVVALYAIAMSLRNQQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEVMREVAWIIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYVFPSGGDGLYLVVDEKGKFREDSFQKALNALVPASDNDKKKQNGKWQKVIMAGKPSEESDIFKMVKMIIQRQYDPVILFSFSKRECESLAMQMAKMDLNEDDEKANIETIFWSAMDLLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDRFRWLSSGEYIQMSGRAGRRGIDQRGICILMVDEKMEPSTAKMMLKGSADSLNSAFHLSYNMLLNQMRSEDGDPEKLLRHSFYQFQADRALPDLEKQIKELESERNSMVIEEEDSLKDYYDLLQQYKSLKNDVHDIVLSPKHVLPFLQPGRLVRIQYSTNESTTFTIDENVTWGVLINFEKVKSHGEDRRPEDSDYTVDVLTRCSVSKDSSGKKTMKIIPLKERGEPVVISLPLSQIDGLSSIRMYIPKDLLPAEARENTLRKVEEVLSRFAKDGVPLLDPEEDMKVQSKSFRKATRRIEALESLFEKHDIRKSPHIQQKLKILHTKQELSAKIKSIKKTMRTSTALAFKDELKARKRVLRRLGYVTSDDVVEVKGKVACEISTADELTLTELMFSGALKDATVEQMVALLSCFVWQEKLQDAPKPREELDLLFYQLQETARRVANLQLECKIQIDVESFVNSFRPDIMEAVYSWARGSKFYQIMEMTQVFEGSLIRAIRRLEEVLQQLILASKSIGETELEAKLEEAVSKIKRDIVFAASLITDVLKSPFVSASGDTVSTSLNKISAF >Et_5A_042606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2207745:2208413:1 gene:Et_5A_042606 transcript:Et_5A_042606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSALPLRDSEAPPKSPRRYPSESEPHVSDRTSSRHPGAVAIRNNVRRTLFRIATAPGLLYFLRQSATAAFPLPIATIWFPKRTKDRGLAVAAWAPQVRVLRHPATAAIVSHCGWNATLDSVAGGVLMRMNAAVLSESVGMPLRPDDGTVPREEVAAAVRELMDGTEKGRAVRRQAGDLQQAAAPEGSSRRALAEVAAKLKVAALGKA >Et_8B_060601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3037726:3040944:1 gene:Et_8B_060601 transcript:Et_8B_060601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASSGGGSGSGGGDDQLHGLKFGKKIYFEDSGGSGSGSGSAPPPPPASPKAAGAGGGRKGKGGASSSAAPPARCQVEGCNVDLSGVKPYYCRHKVCSMHSKAPRVVVAGLEQRFCQQCSRFHLLPEFDQGKRSCRRRLAGHNERRRRPPPGPLASRYGRIASSFGEEPGRMRSFLLDFSYPRVASSMRDGWPAVRPGERVPGSIQWQANLDPHHHHSAVAAYGAHSYAIQGSSSSGPPVFPGPEFPPGGCLTGVPADSSCALSLLSTQPWDTTHSASHNRAATLSTTAGFDGNPVAPSLMASNYIAPSPWTGPRGHEGGRSVPPQLPPEVPLDEVHSGSSHHGQFSGELELALQGNRPAPPRRLDQGSSSTFSQAGNTTDWSL >Et_8B_058980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11918864:11919583:1 gene:Et_8B_058980 transcript:Et_8B_058980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TNPPPATFATWPASRRASSGGFGSTRGFLADKYDNETPNLGMFGGKPVVLVSHSQGGTTSRRSWRRSGSGGAEVAQLGIHFLTGHSVFHKFLGIHMKAMNHSQLRQCGRVAASRGANAVRDEGTADGHELQCAVDLRQRRRRVHDRKNLFWDGDFGKEPEIVYGDGDGLITVESLLALRLANKDPRQGYYKSVRLVNTSHVGVISDRTVSIGACDQ >Et_5B_043322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:306409:307240:-1 gene:Et_5B_043322 transcript:Et_5B_043322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRCALVYDTETAVMAVGPHAPARMRCGFGITVVSGEKLYVLSYRFLDKEHSFEEMSWGPTAPLSRRHRQHSPLVSVLPRMLCTIFMTTANRDTPGRRLGTYSFNTRNSEWRWHGEWALPFLDRGYFDSELDAWVGLHELDWQTTKEKLFYKDWDTHTRASLTYLGRSKFCLLESVVREGSDFDGDHALGDHHGCVLHVTVFRLSYNHKGELQTTNHYSKRSYLVSRHNSYFSPVAFWI >Et_5A_042655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24343592:24346461:1 gene:Et_5A_042655 transcript:Et_5A_042655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITNITEYQAIAKQKLPKMAYDYYASGAEDEWTLKENREAFSRILFRPRILIDVSKIDITTTVLGFKISMPIMIAPTAFQKMAHPDGEYATARAASAADTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRKVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPNLTLKNFEGLDLGKMDQAADSGLASYVAGQIDRTLSWKDVKWLQSITTMPILVKGVITAEDSRLAVENGAAGIIVSNHGARQLDYVPATISALEEVVKAARGQIPVFLDGGVRRGTDVFKALALGAAGVFIGRPVVMALAVEGEAGVRNLLRMVREEFELTMALSGCTSLADITRNHVVTEADKLAVMPSRL >Et_1B_009767.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25634542:25635031:1 gene:Et_1B_009767 transcript:Et_1B_009767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKALGFPGGVMPLRGLEECGLVRETGFVWMRQKAPYEHYFRGTGTRVRYDAEVTAYVEEGRMKRMTGVRSKQVMLWVPIVEMSLDGAAKDRIYFKSNVGIGRSFPAAAFADEDDKEEKGGKKADVEEGAKAKAAAGASASNE >Et_2B_022779.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:567284:567505:1 gene:Et_2B_022779 transcript:Et_2B_022779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAPAAEGPGANAGDHMLMSNMYASTGRHGRAVRVRKQMRKKKIDKDPGCSLIEIDGVVHEFRAVPANSIR >Et_3B_027866.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23642030:23642338:-1 gene:Et_3B_027866 transcript:Et_3B_027866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKARSAALLALLLICLAFSEPASAAGAGNAKGGSGRAKAPPCRDLATRGQCVASGGGSRCRWCRSEDLDDMCFGAAEAWRLPRQVFTCDPPAGAAHARK >Et_1A_006533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2470309:2478149:1 gene:Et_1A_006533 transcript:Et_1A_006533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSYVKYMQAFGMVDKNDGSENLKFNTSHLIQTTEEVARAFIAAASAATAQSARPSVMNSSNNESGSPMQKLQQQFSKILKGFSTSPDVSGPYNPEVLTTQKRQWSRFQLKSLGNKCIREPTHLFESIVIVGLPPQADIHELENIALGKNDEDGKRSRNIFSSTHHQVHAISNLEPQVLFAYPPEKPLPLKYKDTLSFCLPEGVQVRAVERTPSFSELNEILLGQEQLKESNQSFVFRLQVADDSTLYGCCVLVEEIVQRPSKLVSMLMNEKPAFPRRSRYVITTPRCYCILSRLPFFELHFGVLQSILMEERLEWLTEGVSMLASLSLEDTCEDIFERTELTAEKQYFDGNTTDVDRSSQSSTGISSKDMSDTDSSSGCRENQLDFVSKEVHHLENSCGVEEQSDLVKAPVIHCDSLEESDYCVPEDTSLDKSGVKNHGVDSAPDIQNDSGVRNCDDSPQGDVYDEQLDLFISDTILPLMRSRICEDCESSSPSSQDSPSEGRNFRSEAHEVDSEEPSSIGHGDLVRHNRILQWAKAKKYGSLQVVCQYYQLQCPARGSSLTFHPLEHLHPLSFHRPGETVLHIAGSTIELRSRDTSLEVAEMRNALFAEEESTALSTWAVASICGCLRLEHVMTFFAAALLEKQIVIVSSNLGMLSASVLSIVPLIRPYQWQSLLMPVGVQNKTSDVLLNRLANAVVIDANRNQIKSASVPQLPQQKELLSALRPYHSRLVGESYLARKRPVYECTDAQVEAAKGFLAVLRDYLDTLCSNLRSHTITNVQSNNDKVSLLLRESFIGSFPSRDRPFMKLFVDTQLFSVHTDLVLSFYQKD >Et_8A_058494.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:9371880:9372995:-1 gene:Et_8A_058494 transcript:Et_8A_058494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEKPMRSASAIVAGTVSGQHLLKIVGYSRTKDDIPTGSHIKSRSFRVAGHSWHVRYYPNGLTSTWSDYISVYLLLDHSVSRGVRAQYTFSLLDRGGEPVPQHTASGGEVKIFRDSGWGVHAFVKRDELEKSEHLRDDCFTIRCDFTVMQEIQTTDVDVAGTPQPPPAVEVPPPDLHRHLGGLLASGEGADVAFEVDGKTFPAHRVVLAARSPELHALVKAITVTDGAAAVVRIEDMEAQDFEAFLHYVYTDTLPETTTAMLPDLVAAANRYKMERLRLLCENKMCEFMSARTVAVMLAFAGEHHCHGLKETCLQFLEDPGNLREVVKVDGLKHLSETCPSVLEELVAKLVAGLGSTVSKHYNQWTTTM >Et_7B_055626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1867909:1878766:-1 gene:Et_7B_055626 transcript:Et_7B_055626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFGARRRRSPDDDGEDDRSGSGRVKRRRLSPEEDAASPAGSSPGWLSSFVSGAKRVFSSVLLFSSPEEVASGEDEDDSEDGNGLSSDENEDVCDTRGAIVPYSESKVAIEQMVMKENYSRDEFDKMVELLKSRVTDSTLPDACEYGTPKETPSRNVGIGHDFTGAWRSLSRDRNFPESVPFSSMRPGSFCPGSPLQTSPELCTAAVMEAKKWLEEKRKGLGFKPEDNGPCTLNTDMFSSGFDSDMGSPVDLAKSYMRSIPPWQSPFLGGQRFNTSPSGGVRIYDDEEKSKHSISSSKVTMKEDYLSNFWANLEESRRARMGSFSGSADASKSRHYGSTSRLFENDTSIFSLGVDEKVGGPAEANHGSDKVTATEHVTQTEDGNNPLNPAKDNGNAPQEYHDAPEVHPDKIAEVDNMSHTSVAKDATGHSEGVKDPVAEPQKGESHINSGSALRPTDTSNSNQMGMNGSTNKTSANGLLDRSNVNSGLESSGHDNPSCANSNSAVPPTSEDLTESAADATDVVSVENGTGMNPEEPSKGSKQPYVRRGRKRAARGTRGRGK >Et_3B_029530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25796092:25796850:1 gene:Et_3B_029530 transcript:Et_3B_029530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRLPASPVAARTDNPLARVRNGKREREAGLTGCVIGSSWRATRTSIISKGHGAGAPGVWRAKLERTYLRDLVSAADYEAECLKLISQFNSLSSPLAGVVTIPRFIQANRLDCPAALNRLPQSGVLATVELRAAASATAIARCVQSFITAMDAVKVNMLANDQVRPLLQELSTSMARLTEQQARQLN >Et_3B_029675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27067368:27076480:1 gene:Et_3B_029675 transcript:Et_3B_029675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IVVSEGATSLVVGNPEVNPKDIQIENNVGVQKSFRCIGHLLSAKSVMICYLKNDDVQVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVIIVPPNKTAADGMVGVSELVGKHYPANKKITMARGCFDDTKGSVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESEKGLFIANHSLSVTFNGSFDHMNIDSTRARLLRANLLQPLKDIQTINARLDCLDELVSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKVTDEVLRPANGRKSQMLISDIIVLKTALDAIPFFSKVLKGAKSFLLHNIYQTVCENPKYERMRKRIGDVIDEDVVHSRAPFVSCTQQCFAVKAGIDGLLDVARRSFCDTSEAIHSLANKYREEYNMPNLKIPYNNRQGFYFIIPQKDITDRLPNKFIQVVRHGKNVHCSSFELASLNVRNKSAAAECFLRTELCLEGLIDEIREDIGILTLLAEVLCLLDMIVNSFAHTISTKPVDRYSRPEFTDEGPMAINAGRHPILESLHTDFVPNNIFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASIRVVDRIFTRIGNGDNVENNSSTFMTEMKETAFIMQNVSSKSLIVVDELGRATSSSDGLAIAWSCCEYLLSIKAYTVFATHMEGLSELSAMYPNVKDLRNDRLDFKFRLKDGVRRVPHYGLRLARVAGLPASVIDTATSITSQITEQEMARMDANSVEFQSLQTAYQVAQRLICLKYSNQGDDYVREALQNLRESIAAGRLS >Et_5A_040787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12440880:12442701:-1 gene:Et_5A_040787 transcript:Et_5A_040787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSTESGMFRGAGVGAVSGAVFSIEAVESCIEIWRSSHSGKYSILFVLDIISSLFSGRIVWEKVSPALQRAVQSQMSLLSTPFIDNNDLFETGAQHLVRVLPQCQHIFHVRCIDNWLLRHASCPLCRAGVHIDHIHM >Et_6B_048607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11298950:11304804:-1 gene:Et_6B_048607 transcript:Et_6B_048607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPARWRRGRSLLRILRRALLVAAVCVAALTLLHLRHGPKPLESSASHAQLPFSDSPPAPRDLVETDAARMGVEAAGLGSPEQASLRVRELIRRHFELHGAARVRTLPAYEFCKQGFVLGKMHLKRGDHILSRSTFLIPIIHLLCKKSNICGGSIAVTEHMNVHPGMKAVASALFGLPDSIHARPNTFGELMRAIISPSRAVQEAVHWALKGHDPDIVLHMRMMMNRPVRARKAAVNCIKRALHNSHVKGTPRVALVSDTPSFAKELKSDISEFAEVIYFDYKLFLKSTDLEMIGNEKPLDFRSRDWGSAPRWAAFVDFFLASRAKYAVVTGAHRRVGTTYAQLIAALAAANKHGRDPSGSNFTFLSSIHSNLLVNGLSTQVGWGHIWNRYAGTLSCPHQLHQCALTPLLPPGWWDRPWQSPILRDVRRLLEYGVRLSNTGEVDERRLVSYCRSRKDHVKRYHLLQPYKSST >Et_8A_058143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21195844:21197939:-1 gene:Et_8A_058143 transcript:Et_8A_058143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGFGEMKALEELSTVSVDSRRALRFLDDLGRLRRLRVLGVKWCFGDDSSSLLQAVDFMASLNRNLLFAITSLSMDFHATADFLDFFADCWKPPIVFDKLELKSSTSYLPRIPLNVGSCDSRCLDVAVRSDNWDDLMVMSKSRYLTTLKLSVEGRAIAIDQGFYVLEVFWFQRREGGLGLEFKGGAMPSLEKLRLHFKLLPQENDSSGFGLHHLRCLERVHVIIDCDGAMANDVVSMEAAIRKEINEHRRSPLLKLSRENEENMIQDGGQQSNQASSRGNPDHLTPSTGYRQTPETINRSAFQSEKI >Et_4A_035868.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7576424:7577521:-1 gene:Et_4A_035868 transcript:Et_4A_035868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALHVGEPRISSFQPQVGVAKSNNNNGHGPVVEEIHGLIRVYKDGHVERLPAIPDVPPTWGGTAPGAPGGVAARDAVIDRATGVWARLYAPTSGGARSLPVVVYFHGGGFCVGSAAWSCYHEFLAQLAARAGCAVVSVDYRLAPEHRLPAAFDDGLAAVRWLRRQATAQAASDELAWWRARCCFDRVFLMGDSAGANIAFHVAARLGQGHLGALSPLAVKGAVLVQPFFGGEARTSSEKNMQQPPRSALTLPVSDCYWRLALPDSGAGAAGRDHPWCNPLSTRGGAPRLESLPLPPVLVCVSETDILRDRNLELCRAMRKAGKSVEQAVYGGVGHAFQVLHNSHLSQPRTQEMLAHIKAFVSAR >Et_3A_023071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24061787:24062251:1 gene:Et_3A_023071 transcript:Et_3A_023071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FFCPNTGQPILVENDNAVTANSIKGHSRKVLIEIQDYDYGGANSRHDPRRRPGRNG >Et_5B_043533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11564474:11568848:-1 gene:Et_5B_043533 transcript:Et_5B_043533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTGALGSLVAKLLQLLEDEYKLQKGVKARVKRAHEHHLGKVAEVPRDRLDPQIKLWASKSARRGTTWRTSWTPSSYASMAAISLPTRTRAKSDASWRRWARTMVPFVFPKSRGNLMKFSFPSEKRYKVDDYVANSGAATSIDPRILTLHTKVTDLVGIDEPMDELIKMLSLGDDETSDKKMKIVSVVGSGGLGKTTLAKLVYEKLTVDNERQLIEGIQDFLKEKRYFIVIDNVWEIPLWIAIRVALEDKNLGSKIIITTRRSDVVELVHCSYQMKPLSLDSTKILFYGRIFGSQDNSAEMWGLLANKSDDITEWQEVCNSIGSGLSSRHDMYNNMRKILLLSYYDLPPHMKTCFIYLSMYPEDHVIQRDRLIWRWICEGLIQTQKTCDDKPGTALMDSVIGIYACRVHDMILDLACSLSKEEKFITTSDDIEQVISSESKKIRRLSLRNSTWPEMGVSQVRSIAIFQPSIDSVPSLSCFDVLHLLDLEGCSLEDCRDSKLCVGNLIHLWYLGLEGTCLKEVPKGIEKLQFLEVLKMDKFRRIKLPLSIFGVTRLMCLEDSKLRTTHDWSRSLGNLIKWEGWTPPSHLRMFVIKDEIWLRTLPKWICPASLPHLPYLNLKVVNTIRPEDIQMLGRLPNLIHLRLPAPKVCGQH >Et_9B_064709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17944148:17950805:-1 gene:Et_9B_064709 transcript:Et_9B_064709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRTRVKSSAGWGRKVVVITAHNTPRNARGPARACGSRFIIRAREDDIAGVAKARARCEKTVARGRETAATAVRFWPQSPSSPGLLRGSAPSSAPPPPKPFLLVATADTDTVSRMASAGGRVPAMDGIREVEMEIVERPPEEPARVGSKRDRDPLMHASSRHAYSTGFYGVTTFEKKAGIANHVHMNSDGTSAMGGRNLYGSYSERMLPDYNTLRSGISSPCENLINKTKRLTKICTLYTQGLCNKGNSCTFLHEMEGPGSDHQWNKVEKAGLLSSDAYGNSRGSEEGSQVFKDSDGSKHRYQSLDLSIPSNPLQGHEKLSAYCGTAGNRPNTHSKEHLSSHASYSSYSFPGFKNPGYATSDHSFSSPTLRATSHLGRLPPHLSIPVIENVGLHKYLDAGTGPTGTSRPALLASSSPQPSIMSPGSLSPIKDEVWVTSVPFVPSIDIPDIKSPSKRLYDPFVDYVDSPKDDNKNNLKSSSISSQHTNLHVATPKSLNHADKLAKNMSAKGSNELACLIAYDRGHSSSLDDNNRVNVHDRKPDAASTKEKTREFRFRLAEHVKELIKPIWEEGFLSKDAHKLVVKKSIEKVLSSIEPHQVPTSEMVISNYIALNKSNIEKLVKVNSFAGQWRMLIGIVQHEIQSSRYGITSSPRWGLEP >Et_1A_009083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28662531:28668295:1 gene:Et_1A_009083 transcript:Et_1A_009083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYPALSPLKKGFPLEHSLNAPSSFAATAKGCFVPSAFSSSVLPLNTIIHELNSCSRTGYMQHKYACNENYRKMQKMISSRVNSAIYFLVNVAGPKESNGTFVGIRREEGGANACKRLVDILNNDHGLTDGFSLVDQHGDLLVHWVGLEEELALVVVDVLLLEIRQSVGRILSVLFSPRRVLSRSFVHDAAASSHEGSTDNVAKYLHMNDLISDASCLVHT >Et_5B_044379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23437622:23441264:-1 gene:Et_5B_044379 transcript:Et_5B_044379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALNPSPLRVRPACRASLRRRSAGGPRRAIPQQPPVRRPSGDRLSAPWRGANRAPAPAQAPDVSVDGGAAPAGLRGELEAFLEVVPARMRRGLARHPEVRELVEVVMDLGRRPIARFPSGDWVISNEPVTADDLRQAVSKVGDFSEDNRSGINHSLHRISAIRNRKAQIIGLTCRVGRAISGSAEMIRDLVVSGGSILVIGPPGVGKTTLIREIARILADEGKKRVIIVDTSNEIGGDGDVPHSGIGRARRMQVPKVTMQHNVMIEAVENHMPEVIVIDEIGTELEAMAASTIAQRGVQLVGTAHGVTIESIIKNPCLQMLVGGIESVTLGDEEAKKRKVQKTILERKGPPTFSCAVELISKTECRVHHKLETTVDAILAGKPPKFEARKMHNKSTESERPLMVSDREYQIEPLPLHQELMLSKTMSSEGNSSDDFGPTRQTKSKTLPLHDNFDDDFVYTRKTKGKKTVPGRSPVRVYTYQISEADILQVATVMGFDDELDVTDDIGAADVILASSSEMKQNPWIHNVAKYHKLPIFVVKTNTMAQIVKAVRMIVGRDTAQSHKQPMVMEGEIEIEDDAPKRKPSLEEIDALEEARLAIEYIVIPGGEPVELLPRCSEIVARQLELVESYQLLAETFGTDSNSRLQILPVKITKKSSKKDNRGSKPAKQTGSDLIVSENGGGSSFSRLPLLPN >Et_1B_009633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1005690:1006268:1 gene:Et_1B_009633 transcript:Et_1B_009633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GVGASAAAAGRPRRRAPVGRRPRGRGCRRGGRLLALLLLVADPPGPGLRLAHLAEAADEGVEGSPGLAQRAGAWRWRVGVAVEGADGRVHLGLAELVHVLEELQHVRAAAPRQRQRRTVVAQVLPERVPVAPLLGLVPAQRRRRAAAASCTRGRTEGHGARGRRAGAR >Et_1A_007524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35487547:35495681:-1 gene:Et_1A_007524 transcript:Et_1A_007524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTAPLGGASPSGRVLGPALDRIIKNAAWRKHSALVAAAKAALDLLSSSSYSAPDPTSPHPSPLLGLPPSAADAALNALLLALESASPKVADPALDCVAKLLYHRLLLGDLGGSGDDASSPASRLLAAVLACGALSDDAMELATLRVLVAAARCPTVAIRGEGLGQVLKTCYNIYLSSSSGANQLCAKLALAQVLVIVFARVEVDSMDVRVRTVSITDMMDVSDRSLNDSNIVQVAQGFINEAMEGSDVPEPGTPVAPTEVDGTDDVGMSKIREDGLTLFRNLCRLSMKFSTPDNPEDQMLLRGKMLSLELLKMVVDNAGPFWRTNEKYLGAIKQYLCLSLLKNSALSAMSIFQLLCSIFVGLLSRFRSGLKEEIGIFFPMLVLRVLENVHQPSFLQKMTVLNLLEKICKESQILIDMFVNYDCDVDAPNIFERIVNGLLKTALGVPPGSTTTLTAAQDQTFRIESVKCLATIIKSMGSWMDQQLRIGEFSPKVSEASLNSIDNPNILTGEDGGGIDYELQSDSGSPDISGAPSLEQRRAYKIELQKGISLFNRKPSKGIDFLIKSKKIGHSPEDVASFLRNTTGLNASMIGDYLGERDEFPIKVMHAYVDALNFEGMDFGEAIRYYLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNTMVKDKMSKSDFIRNNRGIDDGKDLPEAYLSTLYDQIVKNEIKMSADSSVPQNKQPSSVMKLLGLDNIINFVNWKQAEDMALGANDLLIKNIQEKFKAKSGKSESVFSVITDTTILRFMMEVCWAPMMAAFSVTLDQSDDKAATSQCLQGFRSAVHVTSVMCMQTQRDAFVTSVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGDYLQEAWEHVLTCLSRFEHLHLLGEGAPTDASFLTVPLVESEEKTHKLTNSTPSKRTSALQNPAVMAAVRGGSYDSTTAKNNASPLVTTEQINNFISNINLLDQIGIFELNHIFAHSQRLNSDAIVAFVKALCKVSMTELQSPTDPRIFCLTKIVEIAHYNMNRIRLVWTRIWKVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLQPFAVVMQKSNAPEVRELIVRCVSQMVLSRVNNIKSGWKSVFTVFTAAAADDRKSIVLLAFETMEKIVRDYFPYITETETTTFTDCVKCLITFTSSKFSSDASLNAIAFLRFCAVKLAEEGFGCQDKDTDQQQINVDPSDGSTAVHNDDHVYFWVPLLAGLARLTADSRPTIRKGAVEVLFDILKDHGHLFSQPFWANIFESVIYPLFGSESFIPNGHNSSLNSTEDDSWNFETKMVALKCLADLYITFFEVMRPELSRVTSVVTNFIRSPYKQSASTGLSVFQLLTEGLASKLSKDEWEKILLCFKESAAHTFVVFDKIVRMMQNIEIPDRNESYSEAEQYSDYDIYNDDEDEANMETASYAIVRMRNHISLQLLIVQGVIKLYEAHRSSLCAEHIGIMLEMLSAIASHACQVNSESNLLKNLHKACSLLDVSEPAVIHFENESYQNYLKLLQALLHDNPSLSENMNIESQIMLVCENILRMYLTCAGHEPSTDASVRDPALHRMPLGTAKKEELEARTSLALHVMQLLGGLEKNCFRRNLALFFPLLANLIRCEHSSREVQLALYDYY >Et_10B_003296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18640199:18654481:1 gene:Et_10B_003296 transcript:Et_10B_003296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DSFSLPLCSPCRGMYVLNRALLEVDLWMKKEGDGSTDEPLLSVYVEIDEGSCMDEKLIGRIHGNRCMLDMRYMFLEQSVEATIQVSALVDNPHNVRFTAFSTCFDDEIILFKGNGIKKGWSCWSMQLYSIMNQFHVRVFFDPKNPAPVRSRYLDWQRRCNDDDDDDCLPKKVTYDLGADSDEEPVDMDTTCPGQWFTNEEEEKIRRKWLKGYINLSAQYANLRNKLLRNEGTEDENSSLPLRPLKVLPEATRLCIKRGYCYHREYMTNETSETSKTLGYCEPHDMLRVSSLRLSHTESCPINVYGIFAIRDDLEPLRNYVFNRTRDDSVMIDKDSFALPLCSPCRGMYVLDRALLEVDLWMKKEGDRSTDEQLLSVYLEIGIGPCMDEKLIGRIHGERCKLDMRYMFLTGSVEATIQVSALVDNPCHVGLIAFSSCFDDEIVLFNGEGIKKGELVQHIVAVKPEEKLGVRLQLGNSRFEWTFPDEAEVSSSPDDLIMNQFDVRVFFAPKKSAPTKSKYCDWKRSKLLEHSKEWMLRKDSNLVCGKNTLGVERNNDGMATFMSEFKFLLVFTSITAWEVNPMPSLM >Et_5B_045101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9586179:9588265:-1 gene:Et_5B_045101 transcript:Et_5B_045101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVGNIIASLGRVRLVDLAAQEGLPSDNYKICVSTLSQSLAQYSAAIIQLPPSDGALLRCGLDSARLFFHQRGYSSSEAVHSDGTHEWCKTSGYYVDPQMWLELYDYRPGITVTEPNGAVELPPSGLPDIFSVLGKVSRDILEAISFSLNLRSCAFTEILDNIPLRSQEVSSSVLSACCHSRPSFEGAHQRSIACQDDGELLIFSDQDQQMDKTLLTLVKSDRSGLYVKDLHGRWILVDGDLGPHDIVVYPGLALYQETAGHVNPAVHKTEVGNFQGCMFGRCSLLFKLMPRSVASLSGSEMRAAGHGIDAQFQVPIPVNDFMQTDHSADQLFPKNSEPSSHAEQDSASFNSLMKKKKGSARTKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNLKECESHIRSLDSPCENIRLEIGWPQGVPFVHPHDLPNKAKIGFLEAYEPGWTASQQEMEL >Et_9B_064549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16395172:16401021:1 gene:Et_9B_064549 transcript:Et_9B_064549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPGYLTLPIFSVLAAIGYVYYTTVFVAVARWLGLATAAGVANAAAFTALAAACVATYTVAVCRDPGRVPPDFVPDVEDAESTVHEIKRKGGDLRYCQKCCHYKPPRAHHCRVCKRCVLKMDHHCIWINNCVGHENYKIFLVFVLYAVIASFYSMILIIGSIVHSVPKDEQAGGNSSRTSLIICGVILCPLALALAVLLGWHIYLIVQNKTTIEYHEGVRAMWLAGKGGDLYHHPYDLGVYENLISVLGPNIFCWLCPVLNSIGNGLRFRTSYDIPVSKPPILMYDTLPRLEPFLGLQASNSTSKMRVELQRIHNAKE >Et_1B_012562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33252357:33255505:1 gene:Et_1B_012562 transcript:Et_1B_012562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFAVALALAVMAVLHGGMVARPCSAAAVATCAGLAPAKQRPETVSITEFGGVGDGRTVNTWAFRKAVYRIQHQRRRGGTTLHVPAGTWLTGSFNLTSHMTLFLARGAVLKATQDTRSWPLVAPLPSYGRGREMPGARYASFIHGNGLRDVVITGDKGVIDGQGEVWWNMWRRRTLQHTRPNLVEFMHSSGIHISNIILKNSPFWNIHPVYCDNVVVTNMMILAPHDSPNTDGVDPDSSSNVCIEDSYISTGDDLVAIKSGWDEYGIAYGRPSSGITIRRVRGSSPFSGIAIGSEASGGVRDVLVEDCAFFDAGYGIHIKTNVGRGGYIRNVTVSRVRLRNVRAGVRIAGDVGDHPDARFSQSAVPRVDDVTIRDVWGVGVQQPGSLEGIRSAPFTRICLSNVKLYGGSAAWKCRDVRGAALGVRPSPCAELATNLASGLPADRSGNGQSMSRIVSILIRIREPFSESYVGGDDRYPTDQRVSGTSSSSSLLPLDSAYSIQAATGKHGKE >Et_4A_033882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27684885:27686709:1 gene:Et_4A_033882 transcript:Et_4A_033882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAAAMATAVVVREYDVGRDRAGVEEVERACEVGSNGTGKMCLFTDLLGDPLCRIRNSPAFLMLVSTLPKCSFALSAAFFLDRVGRSSTYVVAMRRPSSGRPTQVAEETAPGGSAAANGGTEIVGLVRGCVKSVISGATPAKDPIYTKVGYVLGLRVSPRHRRKGVGKKLVDRLEEWFRQMGAEYSYMATEQDNEASVRLFTGRCGYSKFRTPSVLVHPVFNHTLEPSRSASIVRLDPRDAELLYRWHFANVEFFPADIDAVLSNALSLGTFLAVPAGTTWAGVEAFMDAPPASWAALSVWNCMDAFRLEVRGAPRLMRAAAGATRLVDRAAPWLKIPSIPNLFAPFGLYFLYGLGGAGPDAARLARALCRHAHNMARRGGCGVVATEVGACDPVRDGVPRWERLGAEDLWCIKRLQEGYGEGPLGDWTKAPARQSIFIDPREF >Et_1A_005880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15059030:15061820:1 gene:Et_1A_005880 transcript:Et_1A_005880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPVRIELDKLSVEQLKGIKEQTDLEVNLLQDSLTKIRTASSRLENASAALHDLSLRPQGTYPILVPLTASLYVPGSLDDAEKVLVDVGTGYFIEKTMAQGKDYCERKINLLKSNFDELFEVFTKKKSLADEMDTLLRAKLRQASPGPSS >Et_4B_037940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2581542:2585128:1 gene:Et_4B_037940 transcript:Et_4B_037940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGSKTEPEKKSWADVEEEEEAKAKAAEAAAAAAESSSSSNAAPAVEAQAKQIEALSLAAPEEDGGEQGPPLLDDSDDSQIQTVTSGGTVYESASTFEDLKLSPELLKGLYDEMGFSRPSKIQAITLPMILTPPYKDLVAQAHNGSGKTTCFVLGMLSRVDPNKKFPQAICICPTRELAQQNKAVLMRMGKFTGITCACAIPTSQKDFVPVNKMPPITDQVVIGTSGTLIKWITYKKLPTREIKILVFDEADHMLAEDGFRSDSERIMRDIQRSAGGCQVLLFSATFNERVKDFVTKVIKDGNQIFVKKEELTLEKVKQYKVQVPDEMAKIEVIRDKIFEFGQKVGQVIIFVKTKIGTKNVHNALTREDYVCSAIQGALDQAEREQIIQEFKDGLTKVLISTDVLARGFDQAQVNLVINYDMPIKYNTRDEPDYEVYLHRIGRAGRFGRKGAVFNLLCGETDNVVMKKIEDYFQHQVPEVRNWKSEEEFETALKDAGLLE >Et_3B_029946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29292490:29294762:1 gene:Et_3B_029946 transcript:Et_3B_029946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFVVARSPPLFSCYKYPTGPDRSPAHSHLAHLMVSQARQEPRSSGISKLAMDAIPAPPLLLRSPAPGIDLPKDKIPAPFVWPPDDSRPTSAAALDVPVVDVGVLRNGGDPAGLRRAAAQVASACATHGFFQVRGHGVDAALARAALDGASDFFRLPLAEKQRARRLPGTVSGYTSAHADRFACKLPWKETLSFGFHDGAAASPAVVVDYFTSTLGPDFEPMGRVYQRYCEEMKDLSLTIMELLELSLGVERGYYRDFFEDSRSIMRCNYYPPCPEPERTLGTGPHCDPTALTILLQDDVGGLEVLVDGEWRPVRPVPGAMVINIGDTFMALSNGRYKSCLHRAVVNRRQERRSLAFFLCPREDRVVRPPTTVAAPRRYPDFTWADFMRFTQSHYRADTRTLDAFTTWLARGPPAQQA >Et_2A_015747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17559974:17564044:1 gene:Et_2A_015747 transcript:Et_2A_015747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAPSGRAAKPSKKRKRPVDVPPDSASSEMEKGKEAKVEEKQKRRKGRGAGILTDKLFSDLPISELTARAIREMNYTHLTEIQAKSIPHLMSGSDVMGAAKTGSGKTLAFLIPAVELLYRLGFSQSNGTGVIVICPTRELAIQTHDVAKKLIKYHSQTLGCVTGGTTMKHDVEQLAKGISLLVATPGRLLDHLQNTKYFKRTSLKCLIIDEADRMLEKNFEEVMKQIFKLLPRDRQTVLFSATQTQEVKEFAKLGRVQDRKLIYVEVDDTMATVEGLEQGYCVVSSEKRILMLHRFLTWSKEKKKKIMVFFSSCNSVKFHTELLNALKIECYEIHGQIKQQKRNSTLSSFCSADKGILLCTNVAARGLDIPGVDYVVQYDPPDEPKDYIHTARGDKGEGRALLFILPEELKLLIYLKAANISMKEYELSKKEEHELQPYLENIVHGNYFLKQSATEAYKSYILAYNSHSLKDIFDIHQLDLKKVAASFGFREPPKVYLGLDSSARWQDAWHRPFKPLRQEWWQPAVFEILARMGGFGTLLPVIYILRSSITTPSWSGVVLCCAHLSYMTADDPFSP >Et_4B_036304.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:15783512:15784030:-1 gene:Et_4B_036304 transcript:Et_4B_036304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGLHPRRPPQHVARGPPERAGAADHRELPHGGGDVVPANHGDGAAVVRPAGHRHGHGHRQHGLAERRQRVRPLRAPDEPRRRAGPFCQRVVDHRGVAEVGAGHHRVRRAGALHDLHGRLPGPAGDVVHVEVQVDHAAPRFAAAAAMAKDMIREARKKPRGIDNNAINVGR >Et_9A_063581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8133705:8134091:1 gene:Et_9A_063581 transcript:Et_9A_063581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSTGAGSKLKKGAAWRKASSPRKKVVPRNVKAALVFPIGRIGRYLTKGRCAQRISAGAPIYLAAALKYLAAEVAHQGMARRRTRTPV >Et_1A_004554.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:16822286:16825019:-1 gene:Et_1A_004554 transcript:Et_1A_004554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRGVALSAPRWRGGALDLRAALRSGGNLLFALFVAAVLAFTLLAAVHSPDDPLLHPSSHQLTDFLTSSTSNSTFLADDSVLRTGEDFNSSSPTIDASVGGGQVVAEAAIKLSDVASEVEKPEGETERAVTVDTDSATGDAAATATEDNPIVEAVSCDTEAPVDCTGDRDLFNLLMRTAIERFSDLHFYRFGRPVAVPGSPMECDLAWRFRPSADANGRATYYKDYRRFTLTRDVNTCSLVVDSIGEYHSGVGAKKSKSGRRKGKKGKKGKRDAPVTNTDFVPAKTQMRIDENAAANEGAAAAAEPVLVVGEAVNDTLPVVASESDFSRGRYLIYMGGGERCKSMNHFIWGFLCALGEAQFLNRTLVMDLNVCLNARYTASGKDEDRDFRLYFDFEHLKQSASVIDQSQFWQDWNQWHKKDRLKNFYTEDIKVTPMKLRDVKDTLIMRKFGNVEPHNYWSRVCEGETEGVIKRPWHFLWKSRRLMEIVSAISSRMNWDFDSVHIVRGEKAQNKQLWPNLDRDTSPDSLLMTLNDKVGAGRHLYIATDEPNRSFFDPLKEKYNTHFLDDFKDLWDENSEWYAETKELSNGKLVDFDGYMRIAVDTEVFLRGKRHLETFNDLTRDCKDGVNTCPASS >Et_10B_003400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19404291:19413810:-1 gene:Et_10B_003400 transcript:Et_10B_003400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHWDSDDQEDEQSEDSDFDDVIGIMQQRRQHLHDIAPAGFSDNESEDVWTSRRLGIRRVERVDMMANMDRPAVRSHFTGNPGDYVDARQFEMLLERFAEDNITRRGAPPAAKSIVENLPSVVISTSHETNGGVTCPVCKDHMPIRSVAKRLPCTHLYHSSCIVPWLSYRNTCPICRYELPTDDQEYERLKHATTNERDIHGVEHTHLQETFQEISDEPEVEGTSDRHAGTTSEANTNEHAVPARQQPNRARGRHRWLFIAAAPVVSLFCASLTHLAVQEGNCGIDLRAPLQHMSIQKEAGGLCFKYPNTFFLPLSLFLCLMEEVLVPLISLLRLALSYLFHQLCLRPENIRKKLRRQGVRGPEPTLLYGNTREMRRIQQELKLVQTQDTNDYISTLFPHFLVWRKTYGPVFLYSTGALEILHVSDPEMVKDIGHWTPSELGKPNYLKKSRKALFGGGLFTVNGDEWAYQRKIIVPEFFMEKIKGMIQLMEDAIVPVLGAWDSMFDDAEGSREIVVDDYLRNLSADVIARACFGSSFTRAENIFCKLRQLQKFLSQQDGLAGLPLLWKYLPTKTNREIRKLDEEVRLLILDVIKEHKNGMNHDFLHAIINGARDQGSHDNIAESEKFIIGSCKTMYFAGHETVAVTLIWCLMLLATHPEWQERARAEALEACRGRATMDVDILRRFSSLRWLTLLLPALLSVALFSYLYTTLWLKPERLRQKLRSQGVKGPNPSFLFGNIPEMRRIKQELARSDQELEAGTTDTFSSNYLATILPYLLHWSRVYGSIYLYSTGSIQVLNVTDPDMVKELANCKSLDLGRPRYLQKELGALFGRGILTSNGDLWVHQRKVIAPEFFMGKVKGMVNLMTDAANAMLTSWESEVAREGGSAEIMVDEFLRKFSADVISRASFGSSFAEGKEIFNKIRQLQIALARENMLIGVPGSRYLPTKRNREIWSLGTGIHKLILDIAKRYEHDSSGIKDLLHSIVEGAKTGPLSSCTPEDFIVDNCKNIYFAGHETTSTTAAWCLILLASNPKWQSSVRGEVLDVCQGNPVHAEMLPKLKMLTMVIQETLRVYPPAVFVTREALNDINLGGINIPKGTNMRIPIAIVHRDPSVWGTNPDRFDPGRFANGIAGACKPSHMYMPFGLGARTCAGQNLAMVELKVVLSLLLSKFEFALSPKYVHCPVFRMTVEPGQGVPLILKKL >Et_8A_057179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22013050:22022906:1 gene:Et_8A_057179 transcript:Et_8A_057179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSCTCKLVVAFVSKLPMTQRRAGLTRSPESRIDPAVPLRPPGAGAGSRGSGAASCPRGCGAATGTLLELDDKPPDGLAHLLKADGADGLDAGGGGRGGGGSGGLVDEALGADALAEGLDELLGVVAGDAEELPPLLEGLQRRVRGRRLLRAAGLGAGLHHGRRCRHELVVRQAQLVHRRVRITIQSLSPRKRRPTPTCISLRSVTVPDSLASFLRYSGFVSRESVSRCVSRT >Et_7A_051290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16706322:16710096:1 gene:Et_7A_051290 transcript:Et_7A_051290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRSPEAEIGHRVEDLWEVAEPQLSPSEKLNSCFEDIPVASFPSTHPSQVIEIPSDASLAETVEILSKNKILSAPIRNVEAPEEASWMDKYIGIVEFAGIAMWILSQSDVAANGKAGSPAGSPVANLAARLGSFTFRRTSSGRVETTDAESDEAASVGGSFFETLTSSELYKNTKVGDISGSFRWAPFLALQTSDTFLTMLLLLSKYRMKSLPVVEVGGDKIENIITQSSVVHMLAECVGLPWFESWGTKKLSELGLPIMKPSKLVKVNEDQPVLKAFQLMREKGVGGLPVMDTSGAKAIGNISIRDVQYLLTAPKIYKEHRSITTKDFLIAVRNLLQEQHEPSPLLHDVITCEKDDTIKDIILKLDSAKISRIYVVDDKGSTEGVITLRDIISKLVHEPRHYFGDFFDGVVPLPQNSTV >Et_7B_055770.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:452037:453368:-1 gene:Et_7B_055770 transcript:Et_7B_055770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTNLHAAVGFVLPPSVFPCPIKAGDPEPSTIYLTSNSKHSAPRSSSSDWSEINRPEEEEEEEEEEEEEEEEEEEEEEEFNFLDKKSTNSRGRMPCPEVDLTGGRQRQQPGGLQIREVWADNVEREFKLIRAAIEHFPFVSMDTEFPGVIHVPSKHHASLTAGERYALLKANVDALHLIQVGLTFAASPTSPPALAFEINLREFDPRVHRHAPESVRLLADNGVDFAAHRARGVPATAFSALMMSSGLVCCNQVTWVTFSSAYDLAYLIKLLMGRKLPRSQADFLRYVRVFFGEQLYDVKHMMRYCAGLYGGLDRVAAALGVERAAGRSHQAGSDSVLTWDTFRRIKQVYFPEDKEGVLNSFAGVINGLAAAEEPKPAETRKLNVAASAPPPPRTNKLRHSGPRTMKKTSAPPPPHVLYRAVAPPPQAPVYRAVATPAFPV >Et_4B_036831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11847894:11859678:-1 gene:Et_4B_036831 transcript:Et_4B_036831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HSSSILTLVCVAQKIPIVGSRITVTMSVQSTRPVAPVPPTLAPLSMDTCWRVGSSVEADEPLSPTARMFHDFYIVAVIGVATPIDLNTTRAGLEATLVRHPRFCSIRVMDRPEPRWVQTTVNLDDHLVIPNLDPTAISANPDKVLEEYVASLSTLPMNLSRPLWELHVLDFPTSEATSAVVFRIHHALGDGTSLISLLLACTRSAADPKALPAIPSPSARRDGPVYGARPLPPKSSGARAYVAWVLSCVLLLWHTMVDVVHFVAMALQIVRDPRTVFTAVEDVEFRRKRFTINDVLVGVTSAALSRYYFRKLACGASYRERVPIDPSCMKRLRYRYLSATGDGDAAKNSTCSIRSVLFVNIRPTSGIQVSKREHNIVLCSIQKLAKMMESGKHNELKWGNRLGYIMLPFQIAMHDDPLDYVRNAKKTVDRKKHSLEAIVTHAVAELVTKLFGIEVSTAFFHRMVSSTTVQFSNMIGPAEPIEFYGQRIVYIAPSVFGHPSQPPLSVDTHQRVGASMEELGEPLSPTARLFQDLYIVAIIGLGTPIDFKSSCAGLEATLLQHPRFCSIRVMDDGTEPRWVRTTVNMTDHIITPDLDPAAISTDPDKVVEDYVASLSTLPMDQSRPLWEVHALDFPTSEAASTVAFRFHHALGDGTSLVSLLLACTRSAADPTAVPAMPAPPRRRGPIYALPRPTRSAGAKAFAAWALSYILLAWHTVVDVARFFTSVLLVSDPRTVFSGVKGAEFRGKRFVSRGLSLEDVKHVRNALGCTINDVLVGVTSAALSRYYFRKQDHATKGVCLRSILTVNTRTTPGLHKLAKMMENGKHNDVKWGNQVGYIVLPFYISMHDDPLEYIRKAKKVVDRKKNSLEAIFTHVAAETVTRLFGVKALNVAWLSYGKNIRITLTVDDAQIPDSHQLLDDFAESLGTIREATSTA >Et_10A_001442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3328614:3333314:1 gene:Et_10A_001442 transcript:Et_10A_001442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGSASPEKSPAVEPEAEAKGKAAAEAGEERVKEEMEAVKEEEEEGEEKAEEVRGRRGRRRGTAADGAVVMVKRELLARCMTCPLCHRLLRDATTISECLHTFCRKCIYKKLNDEEQDHCPVCKIDLGCAPLEKLRADHNLQDVRAKVFPFKRKKVNAEEAESPIMLPVKRKERSISSLVVNTPRITPVGSTGRRTRAVTRKAAALRGLGPIIVDPLKKDNDKLNKQADNSSLLGSLSKAPQTRRQILSNGDTSSHPSGKDKADDDDLDKAELWRPLNCLVELASKTKPSRTTAHSPAVKGDKPSESPSSEHASRTKAREPLQKSKVQDDNIDDPEPIVLLRKKPGRKRKHPLPSANAASTATGSQNEKTVNPVWFSLIASFDQKGDPPLPQIPAHYLRIKDGNIPASSIQKYIMQKLSLLSESEVEISCCGQSVNPAQPVRNLLERWLRVGPARPLQTVIGSSGGDYVMVISYGRPK >Et_3A_023948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15018584:15021213:1 gene:Et_3A_023948 transcript:Et_3A_023948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLHHAAVLLLVLLAAAADALTPDGQALLAFKAAVLRDPDGALSNWNAADADPCAWNGVACSSSSSSGGPDPRRVVSLSLPRKRLVASLPAAAALPSSLRHLNLRSNRLFGPVPGALLSGAPALQSLVLYGNSLTGPLPDALGDLAYLQILDLSSNALNGSLPRSILKCRRLRTLALAHNNLAGPLPDGFGRELAALERLDLSHNRFSGGVPEDIGNLTRLQGTVDLSHNEFSGPIPPSLGRLPEKVYIDLTYNNLSGPIPQTGALENRGPTAFVGNPGLCGPPLKNPCSPDAMPPVPRDGDSSSAAPEAGNGKKKNKGLGKVAIVAIVLSDVVGILIIALVFFYCYWRAVSPKDKGLGAAAAGSKGSKYGKDCSCFSRDESETPSELAEQQHDLVLLDQQVRFDLDELLKASAFVLGKSGIGIVYKVVLEDGLTMAVRRLGEGGLQRFKEFQTEVEAIGKVRHPNIVTLRAYYWSFDEKLLIYDYIPNGSLSAAIHGKPGTMTFTPLPWEARLNIMKGVAKGMFFLHEFSPKKYVHGDLSPNNVLLGTNMEPYISDFGLGRLANIAGGSPYVQSDRVGLEKGQSHQSDASVSPLVSKGSCYQAPEALKTLKPSQKWDVYSYGVVLLEMITGRSPAVLLETMQMDLVQWVQFCIEEKKPSADVLDPFLARDSEREDEMIAVLKVALACVQANPERRPSMRHVAETLERLNGSS >Et_7B_053845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12693638:12702844:-1 gene:Et_7B_053845 transcript:Et_7B_053845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSSNGVPPGFRFHPTDEELLLYYLKKKIGFEKFDLEVIKEVDLNKIEPWELQERCRIGSAPQNEWYFFSHKDRKYPTGSRTNRATTAGFWKATGRDKCIRTSYRKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLEEADDAQGGTSEDGWVVCRVFKKKCFFKIGGGEGSTSQGADGAGVHMAVSPPLHQGAGMASHYMHPAHQYYHAASSYYTQMQQAPAPHAAYSHHVQVQDLLTNHRPAAADAGYDFTGLPVEHPGGGGGLDVGSSDGVAADGAQLGEGGRDQQASGAAGEQQWQAMDGFSNGGSAAVQQMTGALGSGQRGGEMDLWGYGRQAAHKNASPANLAQASHIIEEFQKCHVDHPVKKFFGECTDLKIKLDQSRTRGKAVMLMESVPLLSPYKTGRFRFSHRIVLAPLTRSRSYGNPPQSHTLLYYSHRATEGGLSVAEATAVSFHKGMSLVPGIWTKEQIEARRPIVDAVHAKGGIFFCQIWHIGRASDMEPVPSADEPVAKNEDYYMDFSIPRRLTVEEIPDVVN >Et_8B_058693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16758910:16759262:1 gene:Et_8B_058693 transcript:Et_8B_058693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAVCCRRRGACGRTDRALGRPAIGHPGARGQHACLCLTSQVPAPFPLGLLNVDQLSSLSHFQ >Et_6B_048361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16016212:16017171:-1 gene:Et_6B_048361 transcript:Et_6B_048361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPAPCRAGDEDYDSDDSDVSETNFTIIKLVVCSPHLVAALFSMLWNRTFGITDMAFYQGKLYVVDDDESLLAVHIVVDNSTGNPRVARFVQVINNSPYLILNRMVYLVKSRGSLLMVCRRNHVPHRESEIHTYAGLYEPELVAFEADFGRSQWDEVTTLLDDQALFLGPCSRDVCMPKCVMAGNRVWFLDDYKNYQGWGYCYDDMKDSSTARDCSMKKSKLSTPLPMISWKGCRGAGAAWLFPSE >Et_6A_046956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23039731:23044322:-1 gene:Et_6A_046956 transcript:Et_6A_046956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLQYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADAQPQPTQNPVSRPPMMQPGMVPGAGHYMSQVPMFPPRTPLTPQQMQEQQLQQQQAQALSFPGQMVMRPASVNGMQPMQAADLQQSVPVPADGRGKQDAAAGVSVEPSGTEGHKNATGADNEAGGDVAEKSQETSKPEQKTYRLLVFLGAIHAECTYLLAGDGDDLEGAGGLVEDAEGVTAKLRHGGLAGVALADEVGTSRGASDNEVDPVGVLHVAEEPRAGGLAAGSERVVAGGEVGDHGGCGGGASACKGGVDGDIDGLDGGGGGGGGGLVYGLALLGVLLLFLRGGGSGRRRGG >Et_7B_054920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5528106:5528827:-1 gene:Et_7B_054920 transcript:Et_7B_054920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTNFCSARTRLSGSACVGRRLFLSPALRASPPSPHDAKNGARRGRYLYGPQSRRGFPQGRQARSLTPGAHAHVSIGGIAPSSSACLATGGSGMKGSGAMKPSSSMFYVHEADVVQIHHFLEECSLCAKSLSGDIFMYRGDTPFCSEECRQQQIEVDRAKHRRKKRAAAHALAARKEHRHQQPQTRPAGMDNHPWVDAPFARAPALRV >Et_8A_057213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22380947:22390192:1 gene:Et_8A_057213 transcript:Et_8A_057213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSDAPPIYMNGNDTADSELSSNDNMSFLDEFDSVMEEVDAFLHISRSVTDVIVKGILSDVHQEATRQIASKDSEIALLNQKLQQLGNGSISLLEGRDKRYDEFYHLRQQLDSISKSLLNSEWGLSGSQHNSEGSEDVSKQRGKEQSSRDGLTKENGSRASQEEVFSDPVRLKHMDKDAVIDYFNKAVNEMKRQHDSDMHKNTEEIFKLKREILRKEGSNHSHLRNNKELEQMRKKIEEAISKLDVLLLENKRALVRVKSDAFPSKQDKSNVVGSDTQHLQGDDTDNDREHRSIPTKASYFASIGEHYERHVTRLESDIEDARITATIREQVEKIVTKEFVSEINLGLHGHEMAVDMKQEVCSIIQNEAIAQAVSNIDSLLLTYNKKKGCAKEESLLKEKIEKLKIIVDSFTEIAKEKEDFVSQVGLGTIEARVSSLCHEFDLLKDKVRKQDIYISEKSKEFDTIVGRLEQAVQHVQHNDVSLSELNHRFRTISDSVKQLEKQNQVLHTVIEEKEKTLTSVLSKDKEFKEFKKHVVESMSKFEEFITDRQTIVAKKVQHTESRFCFLKEQCKHLAKESNLLRKKALRYKEISEARGSNLQKAELEVDLLGDEVEVLTDLLAKIYIALDHYSPVLQHYTGVMETLNMIKKHISMAKPNRMNTWEDLGNTIYDLATDVPFLITFQDSTNQNKWKLDVPWERLLPPTELRLEQPATARESTGTETGDCGGGIAAGRQTQTVLVVPPSTPRITPPSPAAAAGESPFS >Et_8B_060450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16688014:16691823:1 gene:Et_8B_060450 transcript:Et_8B_060450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLSSVAAPSLSKSSPILRAQQQAPPSVLSSSTRSAFHGLSLVDTRWATAAGHRRGGGRRKLLQVNARTASKNIEVEVDKPLGLALGQKPGGGVVITSVESGGNAARAGLKAGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVERATHICLDCGYIYFLPKPFEEQPDDYGCPQCNASKKRFAKYDPETGKAIGGTLPPIAVIIRCLLKAEEVIMSYWGRPGPSPAWAASHGPSPVVPLLIVMALGWVICNGPLTGWYEEVTEVQETVTGNSVLLVLGAGLLLLATAVAGNRSEVLLVTVALVVVMFLIQNIVLTALLLLLAAYFAGIYYYPPDRGYGVAASGCDWGYGGGGGGGGTGLGFYMLLVLCLVLCALFSEDGVNWWIPGVLLVGCLLCLHVFSGGKVWGYEYF >Et_9B_063693.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19506170:19509578:-1 gene:Et_9B_063693 transcript:Et_9B_063693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNRGLSLQQPMRRGRASGRANPSAEMDAKLLLPFPSAPAAFPAAAPKSLFLGTSLPLHPRAPPPRPFPLRLRPRPAVVVAQAAVKRRKEVPFDNVIQRDKKLKLVLKLRNILVSQPDRVMSLRDLGRFRRDLGLTRKRRLIALLKRFPGVFEVVEEGVYSLKFRLTPAAERLYLDELQLKNESEGLAVTKLRKLLMMSQEKRILLEKIAHLKHDLGLPPEFRDTICLRYPQYFRVVRMDRGPGLELTHWDPELAVSAAEIAEEENRAREAEERNLIIDRPLKFNRVKLPKGLKLTRGEARRIAQFKEIPYISPYSDFSHLRPGSAEKEKHACAVVHEILSLTLEKRTLVDHLTHFREEFRFSQSLRGMIIRHPDMFYVSFKGDRDSVFLREAYKDSQLIEKNKLVLLKEKMRALVAVPRFPRRGPGSTSEEDLGANGAVHLSDEGSDIEDDEDEGLSDMEDLISEISGGKSDADYQWGDGWFGENDDSPPDFGDDDSSPQEVKVTMKNADGSTNGRTPVPVFPDGRPRERW >Et_5A_041550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24208689:24224156:-1 gene:Et_5A_041550 transcript:Et_5A_041550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGQTAQLWKEWGVQVLVLFSFALQITLLVLAEFRRCVDSGVLRFFTWSAYQLADATAIYVLGHMSVTGTEHELVAFWAPFLLMHLGGQDNITAYAIEDNRLWLRHLQTLAMQAVGAAYVIYASSILSSQPLLQVATVLMFVVGAVKYGERVWALRCASSSDSAKNYWGFDIILAISCRKVHYIHPSADRSATEDIILLGHKMLDVPKDFIEGPIPYTFAFELDQKEGEQAVTVNQSRKFRLYGEEAYRVAELQVSLMHDIYFTKAESQRGWIRIVSSLATAVALSLLFPLLSSNPSDRSHIAGYNKVDVVITYVVSVGVAVLEATSLVRYILSSFNWFRYETRRRRRGFIGRVITSLRRLVHAADWRVRHSWSRSMGQHNMLHASGVRSKQDQLEQQGGKKDGSEGLVEHAGFLVAHHHLTLVVNQILESRGVPKTSPDHILNSRGRAVLKQKGQQFYDDLHSSVYPDNLSMEESILVWHIATDLYLQWYKDQPKGGASGSSRKDDDDLLVEAVEALSNYMLFLVAARPHMMPPPANRNAYVEMCYSLTAFKYSSVEDLADILRGYGDGLNRFQWPYPASCTIQGKPFFLQANKTLERGSLLAAKLIGGDSPPAYMLELIGKVWAELLCYVGNRCSAYSHTKQLSDGSELITVAAFLVEYLKRDLLKANSDATIRARSASVERVGCPSVTLLILAEFRRRVDSRVLRFFTWSAYQLADATAIYVLGHMSVISRSPEHELVVFWAPFLLTHLGGLDNITAYAIEDNRLWLRHLQTLAMQAVAAAYIVYASSILSSQPLLQAVTILMFVVGVVKYGERVWALRCASGSESGHNYLGLETPDHYDQHLIYHPTSRSATEDIIFLGHELLGVPKDFLKGPLPFELRFKIVEDMGIRDFHMHEEQAYKVAELQVSLMYDVFYTKAESQCGCIRIVSSLGTTIALSLLLLLLSGHTSDRHNVRGYNRVDVVITYILSIGAAVLEAISLLRYILSSFNWYRCESKILHQGTSLSRFDQFRHQMREIERRVITSLRRLIHAADRRRRHSWSRSMGQHNLLQVCAHSKASWTSKVARMMGVEDWWNTLASSWSVPVSPLIEQLVMKQVLEGCDHIHSPQSQATFKWKSQQVYDDLHLSIEHDELSLEKSILLWHIATDLYIRWYKNHPKSASSSNSRQHDHLAEAVEALSNYMLFLVAARPHMLPAPANRNAYIDMCYTLTLLKPSSVEDLAGTLRRYGNALNTGSMFKFPIPAGERRWQISGFLETNPTLVGGCKLAAKLVYRESPSPMLELIGQVWVEMLCFVGNRCSAYSHAKQLSEGGELITVGAFLVEYNRRRLLRAKQVADLWKEWGVQALVLFSFSLQVTLLILAEFRHRVDSGVLRFFTWSAYQLADATAIYVLGHMSVTSRSPEHELVAFWAPFLLMHLGGQDNITAYAVEDNQLWLRHLQMLAVQVAAAAYVIYVSYILSSGQPLMQLQAATIIMFVVGVVKYGERVWALLCASGSESGHNYRQLERAAESDIHFIYHPTNRSASEDIVFLGHELLGVPKDFLKGPVPYLLDFRLWDRLAGYFRSRKLHLHEDQAYKVAELQVSLMYDVFYTKFESQWGCIRIVSSLGTAVALSLLLPLLSRGSNHIHSHGSYNKVDVVITYVLSVGAAALEAVSLLRYSRCNWFQYPMRKIGRRVITSLRRLIHAADRRRRHSWSRSMGQHNLLQVCARSKASWSSKVARMMGVEDWWNTLASSWSVPVSPLIEQLVMKQVLEGCDHIHNLQSRAAFQILADLPKTKWKSQQVYDDLHLSIDHDKLSFEKSILLWHIATDLYLRWYKDQPKGDLTSSKKDDHLAEAVEALSNYMLFLVAARPHMLPAPTNRKAYVEMCYILTGLRHRSAEDLAGTLLSYGNALNTGSEIEFPFSAGEWRLNVRGYLETNPALVGGCKLAVKLIIGESPSDMLELIGKVLVEMLCFVGNRCSAYSHVKQLSEGGELITIAAFLVEYNRR >Et_6A_046724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19953173:19954965:-1 gene:Et_6A_046724 transcript:Et_6A_046724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRGTWIPDQRCMLHKVMNIQNGFACKVVIGELAVQTSETISEFVQVLAMNKTNSPAPSVDKDTTTSDGPVIANECPDISVGTQSAAIHKSSKEHIQEKKEIIEIGDQRNIGEEAIDFVDEKKVTLFQDSEIFDFEKFRDANPFAVGQIWALYDNLDHNETTKDIVHDKFMFSHIVSCMKGKKRNSYAIYPLKGEEKCGLFTRDGSCDGAKRQKIVNSLNMRINGFVSLFTSAKDKYSFAILPSELLRFSHMILFTGQTETKRQLSQEDCLNLTLHVTPQTWMLHFHLLINLDSCMSLDEQISNIVSHSSTESTSRSMDPENHEGTNMGFVQFVPNKSRRNKLKVGVISCGTGTSKVRNWRAMYDSVDPFSHVVLARKPSTKW >Et_2A_014765.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:13484286:13484498:-1 gene:Et_2A_014765 transcript:Et_2A_014765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSGFPTSRGTGDGHLEAHHDLQATPARPGKVTRLGVRGSIVPEASRTSYSSFYKERVRDLFSATEKNLLR >Et_5B_045635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5967963:5969678:1 gene:Et_5B_045635 transcript:Et_5B_045635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSAPRSRSHKGRDWANVDDGPAGLIAERVLSNDVVDLVRFRAVCRPWRACSAHMLAQGVLDRRFHPRRWIMLPDTFNDVHHRRCFMNVFTGELIYPSTLPKHGSCHVLGNTSEGLVVLIRKDSDVVQLLNPMTGQVTDLPPATKLLSDEYRTFVHDNDLRGAGVTKDSTVVLCFESFSLAVAKPGDKCWTRVHSRDRIASMVSFAGRIYCATKTHVSVVRTMENKRPQLVAVADHKLDTGDPSTLELEDELYRGISQILLVDHDGELILCYRRHGEHVDHGSCKLYRVNLDTRNTVPLDTFNGHALFISRRRTALVASRVSPSINADTVYACWPNLVAFDLLGGCSEPKFKEDDLVGNGE >Et_9B_064072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10965149:10972913:-1 gene:Et_9B_064072 transcript:Et_9B_064072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGAAAGIGGISGEIRGGELGLALWIGLSVLGFGGGNFGVLLSFACREEILDCSLARGVVSWFENYHNWAMASGTKSDLMSGSPDGHGYFNPQRGPYAAASLERSGSFREGGDGYAMFPASSSSRSAAVDSATLLQSLAVDLRPATVDHKNSRFDVKKSISSILGTSPEESTSTPSLGRNIPTSVEEIRRVKSNLNDISNKARERARAFGGAVLKIDRLCPNIVRKRSRGDGSSNERSSALLSGGTIPKNVPQGHLNVDDMENGSQRSEERTKNAGQNRRMRTSTVEMDARTTGPSRGPGPIDRISDPGKATNGGSAVPEEKIRGLATGIDGWEKPKMKKKRSAIKGDVSLTGASRIADTDRELKQVQHKFGNDGRARMASSPSFRSGTIACASGTSKAELLSAQNGLVGRSLSRSDQDSGFHPTNKRDRQVILDKEMTSPRIVNKTNEDDTGANIPSLPKANGSARGPRSNSGSLLKSSPNIHRLQATSDDWEHPSSTNKLVSGSGSGNPKRTKSTHSLSPPTQWGGQRPQKISRSARKSNLVPIITSTDGALVPGSLDSPVNEDSVGLPRRASVNGLQQTKRGDHGLPTGSEGDEPGIAEKKLRDKTKRAGELDDGHGSGFQKIAMLGHPSKRNKLSTDEDIGDAARRQGRVGRGFTPTRPGTPGSFDKLENAPTTKQRSVRTVSERNESKSGRPMMKKISERKGNTRPRNIGSSVQLDSPVQSEDDHEELLTAANAALKSACASPFWRQVEPFFGFLTTEDIDYLSQQIHSLDDSASFRSMEGDESQKHKGSLEYISQPSTPASSKDDHTALPNGYGLNQLDNGIGVAWETSCIEPILDQLVQGIGVKGGASVGQRLIQALIDEDKVESITNNTYKSDGYPFDTHEIHFDEGGWKSSSQGYGLEPLMNFEDSIRGPNSLMLDSDWKYNEDLSHKSGNGMQKAKVWPEFQYSEMCFSDRIIIELSEVGVSVEAVPDLAQSEDEDINTEICKLEGQLRKEVVDKKNLLLKLDGVVRTAKETQQREFSQRAMERLLLIAYEKYMAFCGPNGSSSKNVNRAGRHAALSFVKRTLARCRNYEEVGASCFDEPTFKDIFGAATSHRSGPDTALQDNTTVKSVHRASGSDASRASSHLSDLSFAKEDPWTNNVKQRELLLDEVVGSITGGTLKTSGLGTNLVSNTKGKRSERDREGKGHNRDGGRSGRPSSSNAKGERKNKTKPKQKTANISAPASITPRDPQLPAKITPSSNGKDNMSTAAARRDDAANASNDAEIPDLSNLELPGMDVDFGGWLNIEDDDGLQDLDLMGLEIPMDDINEINLMI >Et_4B_036449.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24692139:24692324:1 gene:Et_4B_036449 transcript:Et_4B_036449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWCSGRRRLASHGCSTTAEKKLASDGAVYDGTKRLLVHHGVLCLIKCSTVLFLFSVLTSL >Et_7B_055940.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7843302:7843853:1 gene:Et_7B_055940 transcript:Et_7B_055940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSATSAAATHAYHHRMFAPSRAGAAARCPGSPGRAADEFDESDVWGAFGCGGGVDCYSVHELQSRAAARPIPASRASAGRKTTKPKDAAAAAGSLPMNIPDWQKILGVEYRDHHAGEWELDGDDDDDDYGGRAAMVPPHELAWRSRAASLSVHEGIGRTLKGRDLSRVRDAVWKKTGFED >Et_3B_028951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20845756:20855830:-1 gene:Et_3B_028951 transcript:Et_3B_028951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTTYTTDVVMVDGTTIHTTVTTSGAAVERFLRENQRQLLVGFDTEWRDAVTPEGYHCQTTAVLQLCVGRRCLVFQICRADYVPEAALRDFFACPDHSFAGFSVHHDVERMAEDYGMTVANPVELSKLAKEVLQRQDVGCFGLKDLTYDVMGVRIKKPRKVTMSKWDAPGLSPEQVDYACIDAYVSYEIGRILLSGPEVPPAMAAATYDTNVVMGDGAVIRTTVTSSYADVHRFLMEFRAYNNLIVGLDAEWRPNFQPGGGENQIAVLQLCIGHRCLVYQIIHDVGVSSILKSFLAHPGHSFVGVGVTKDAQKLLNEYGLRVANPVDPRFAAAQRLSRPDLMQAGLKAVARAVMGANIDKPQQVTLSAWDAQVLTAAQVKYATMDAYVSYEIGRRLAPPPAMEPPVSYTTDVIMVDGTTIQTTVTSSGAAVENFLHEIRSNQRHLLVGIDTEWRVVVDPDGHRYYRTAVLQLCVGRRCLVFQICHADHVPAAALRDFFACPDHRFAGVSVDGDAKRLAEDYGVAIASTLELKNLAAEVLQRPELKKAGLKTLTREVMGVHIDKPKQVTMSQWDEPRLSPEQVDYACIDAFTSYEVGRLLLSGQFAGDDAPAATIPSPSVPSGSAVVHAY >Et_2B_022073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8731951:8734881:-1 gene:Et_2B_022073 transcript:Et_2B_022073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLLPTPAAVAARLPFFRFLACPAPPPGLLPLLSSPFLSQRRGLSISSVSTGRRGRPQGLGPVISEGRDEEDAAVGRPVCPGCGVFMQDADPNLPGFFKNPSRRSQDEMGEDGAFLAADSDGFLEGEEDELISESELDAELEGLDSDIDEFLEEEEEDGGDNESRVKDGFESDIEGFASDWDSDWEEQLEEDEDEKWRKELDGFAPPGVGYGNITEETLERMKKEKLSKSERKRRAKEAKRVEAEQGSAVVCARCHSLRNYGLVKNDKAENLIPDFDFDRFISSRLMKRSAGTPVIVMVVDCSDFDGSFPKRAAKSLFTALEGRGNSKLSETPRLVLVGTKVDLLPWEQMGVRLDRWVRGRAKAFGAPKLDAVFLVSVHKDLAVRNLLSYIKESAGPRSNVWVIGAQNAGKSTLINGFAKKQGVKITRLTEAAVPGTTLGILRITGVLPAKAKMYDTPGLLHPYIMAMRLTNEERKMIEIRKELKPRTFRVKAGQSVHIGGLTRLDVLKSSVQTIYITVWASSNIPLHLGKTENSEELRAKHFGIRLQPPIGPERVAELGPWTERLIEVSGASWDVNSVDIAVSGLGWYSLGLKGTATVALWTLEGIHVTERDAMILHRAQFLERPGFWLPIAIANAIGEETRKKNEKRKAEQRRREDILLEEDLILEESKY >Et_2A_017696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5274943:5275930:1 gene:Et_2A_017696 transcript:Et_2A_017696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSANDRDDKYGGSLENRCRFAVEVIDAVVYEVGAHRVGVRLSPFIDFLDYVDSDPMALGDYMVWQLNLHDGLLYCHMVEPRMAKVDGRWQIPHRLLPFRNAFNGTFIAAGGYDRDEGNKVVADGYTDLVAYEMLFLANPDLPKRFELGTPLNKYDRSTFCTQDPVVGYTDYPFLGDIHDDESAAQLQDGLDFPASFNFELGGRRRG >Et_2B_022457.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22665818:22667179:-1 gene:Et_2B_022457 transcript:Et_2B_022457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAHFLKTYQLQQDDKFLLPKKQLVTYALYALIGVGLLCLFIDPAPASRRPAVAAPLVLEQLPPPPSSYQGDEVVATSRTAPPQQGETPTSASAAAPPPCDYSDGEWVPDPSPPLYNGTTCEAIKEGRSCTGNGQTDTGYVHWRWQPRRCELPAFSPDAFLRWLRNRHMAFVGDSLARNQAESLVCLLSSRSPAELVARHRNGDDARFRRWAFPEHNATVSIFWSPFLVRGVEKSERDGVRHNELFLDAFDERWMSELGGIDALVLSAGHWFKIPSVYHDRGGVVGCHGCANRTETSFLAVFRDAVRRTLAEVARRHEQQHGGADAREKVVAMATFSPSHFEGDWDKGAPCPKKQPYKSAEKELGYTEAELRKIVVEAVADAGAASSSSLRFAALDVTTLANLRPDGHPGPYMRKNPFAAAGNGRPVQNDCLHWCMPGPVDTFNQILLQTVVP >Et_6A_046794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20660070:20661884:-1 gene:Et_6A_046794 transcript:Et_6A_046794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKYGEKYGLRVATVLPGLVVGPLLQTVVLSASIKVFQYIIKGGPKTMNNKFGPIVHTSLSFTPGIPEGGFIWAIHLRTLERMDIKDMVSLMKKMYPNYDYVEMEKTVDINYSTTASSEKLRNLGWVPRSLDETLIDGIEHLEKAILLKQPCRITTFYRMATKELSG >Et_2A_018657.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4313527:4313862:-1 gene:Et_2A_018657 transcript:Et_2A_018657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRMPRRRAAPPSTAGGGRRKRMAVARLGGDGSCGGGRRKRFFVALRLRLRVRWLAAVYRRAVRRLRACYAQALRDLVEGTALMGALHAPVGVDYAAHAASFGPIATAGF >Et_8B_059848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3344303:3360977:1 gene:Et_8B_059848 transcript:Et_8B_059848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVEMTLETCELRRSTLQVINQGDQMEMKLQHSWHMATAHHSWGAIAPQLCRSSCQAVAAGAEATAPGAAAGAGLGSNCTRSCGDISIPYPFGIEPGCYHASGFNLICRKNSRRHGLLELFLGDGTVQVLEISVPNGTVRIRSPRVEVMNHADGRTAIGPGGARVVTDDRVARTANGTWGAGLPRGGPFFLSEETNNIAVVGCNVQSVLALPCAPGEDGFISGFVNGACTGIGCCQSPIIIGYSFYNVQIHMFNGSSGDEYYIYLADGVFNDTDILFGRVHRAFGAKLDWIINNSTCPKSTSAPECRSAHSYCQNFFASGHGGYLCQCSDGYQGNPYVTGGRKDIDECKYPDTYHCYGDCKNTQGSFTCDCHTGFVGNASILNGCIEVTTVIQGTMGYLDPMYHYTGRLTDKSDVFSFGVLLIELLTRKKPCAYRSNDGDGLVSRFASLLMGGKLVDIIDPQILEEEIGEMQEVAALAAIRIDDSKQPFTYRSSQYCDNLISHFVKLFATGNLVAIIDQQVIEDENGEVQEDRPTMRGVVEMTLENLLIKKKQSQGSRNDEDEILVHYMSTEQITTKQAGNTLWRRRYCCRQTTPRIESRAIVVFLALATTFVLPLGASAGTGRHSRCTRRCGSIAIPYPFGVEPGCYHAASFNLTCSHVHHPPKLFLGDGTVQVLEISVPDGTVRINSTTPVQEDEIWGVEPFFPGSVINGTWGDGLPKDGPFFLSESTNILQVIGCNIQVDLWQRVGECLGNLVGSCTALCPVLSPKDSTVFPYPFMPNGSCGGLGCCEADIIMGYSSYSIQVRQRSNSDSYSSFSLSNSGIYIVDMDFTYDEITLVDKNFTYHEKTLVDRNFTVDEKTPRLDAAATLNWLISNSKCPRNTSAPECRSANSFCRDYVSHSGTRGYLCLCSHGYQGNPYILDGCQDIDECKSPDVYLCYGNCTNTPGTYTCQCPTGFTGNASLPNGCKDIDECEHQEAYSCYGICLNFPGNFHCQCPNGEYGNPFTKGACISIKRSFTGLSIGLGVGGGATLLLLALGGPFIMHKIQMRKIERVKRKFFNQNHGLLLQQLISRKADIGERMIISLRDLDKATNNFDRARVVGGGGHGVVFKGILDLNVVAIKKAKMAVQKEIDEFVNEVAVLSQVNHKNVVKLLGCCLETEVPMLVYEFISNGTLYHHLHVEGPLSLPWSERMRIAMEVARAVSYLHSAASLPIFHRDIKSSNILLDDTLTAKVSDFGASRYIPIDRTGVTTTVQGTIGYLDPMYYYTGRLTDKSDVFSFGVLLVELLTRKKPFVYRSSDGDGLVSHFVSELAEGKLIDIIDPQVMEEEDGEVQEVATLAARCTKLKGEDRPTMREVDITLQNLLVKKKQVPCIATRINDEDGTLVQHNISMKQIVKDSSRQYTMEEEIKVESRGGIALAAVVATLLLLPPPHGAAVLAAAAATTQENNCTRSCGDIRIPYPFGVEPGCYHSSGFNLTCRKHSRGHGPPKLFLGDDTVQVLEISVPDGTVRISSHQRVVTHDAVDGRTAIGTWGAGLPRGGPFFLSEETNNLAAVGCDIQVDLRGGANDDLISSCTTVCPTITGGGNLGVAGLANDVCTGIGCCQSPIILGYSFYNVRIHKFNGSLKFTEYSVYIADGVFKNTYNSLPAPEAVAAKLDWIINNSTCPRNTSAPECRSDHSYCQDFPSQSRPPPDGGYRCQCSDGYRGNPYVTGGCKKEIIECENQEGHSCCGICVYIHGKFQCQCPVGTYGNPFTKGGCTTIKNSSAGLSIGLGVSGGAFILLIALVGPLVTRKIRQHKVKKMKEKYFTQNHGLLLQQLISHNADIGERMIINLKELEKATNAFDSGRIVGGGGHGVVFKGILDLHVVAIKKAKIVVQKEIDEFINEVAVLSQINHRNVVKLLGCCLETEVPLLVYEFVSNGTLYHHLHVEGPLSLPWDERMRIAVEVARALSYLHSAASLPIFHRDIKSSNILLDDTLTAKVSDFGASRYIPIDKTGVTTAVQGTMGYLDPMYYYTGRLTDKSDVFSFGVLLIELLTRKKPFGYRSAEGDGLVSFFVSLLTQGKLNDIIDPQVKEEEEGEVQGVAILAARCTKLKGEDRPTMREVEMTLQNLQVEKQQVPPCISRRNDGIPTQHMSLEPVINAASRQYTMEEEILLSATYPR >Et_4A_035527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2878566:2881310:1 gene:Et_4A_035527 transcript:Et_4A_035527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLVISEQRRHHHHHSTGRRKKASSASSHFSSPQPMRSFQAGHCRAFHSGITIGILPSPPVSRAYSPEPKTPKQQPHQGKKRSRAISISPSTSPPTRPELWAGPGFSNSPPPSSLPIPKFSLHQKRSVSLEFPPADRSEDDDASVHAKSAPSSPTAGSGLHMPNTFKGANLNKEYKIAENAFELIIDTERLERRVFNCSSTV >Et_6A_046926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22374411:22389447:-1 gene:Et_6A_046926 transcript:Et_6A_046926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVGASNGAVKSLVSKLGSLLAQEYTLIGGVRDDIQYINDELASMQAFLNRLKRDPVSGRNEQRKDWMKQVREVAYDIEDCVDDVGHRLSGEPRGSGKVVYLKKAWYVLTTLYARHCIATEIGNLKTRAQHVSKRRMRYGVKDPKDEEDDPAGDNNALRDRPAPTPRLIGTMVPVGIEGAMEELKKWFTEDKQSADNPRILAIVGFGGLGKTTLAMELYREFGDKFSFKASVLASQKFHLPTVLRSLIKHLHEQQSGASIKSLDDIEEWGEKELKNRLAIQLKDKRYFIFIDDIWSVSAWESIRDSLPKNMGSTILVTTRFKSVSEACRRQKGYAYEHDKLDYGNSYKLFNQIIPGAPSDDNDNVKAVLKKCGGLPLAIIVVAGLVASKLKSDTATKVDCYLADVGKDLSEELENNFTTEGVARIVKHCYDHLPADLKTCLLYMSMFPKGCCISRKRLIRRWIAEGFITEIHGKTVEEVAEDCFNELIRRNLIRAVNNSSNGKVKSCQIHDMVLEFIVSKSNDENFITVVGGHWQTPFPSYKVRRLSVQKNNGKEKEMVERMKLSHVRSLTALGSLRTLHSTLHKFQIVQVLDLEGCKDMSFKQLKKICKMHQLKYLSLRQTDVKKIPQKISRLEYLVVLDIRETDIIKLPESVEKLQRMEHILAGNKNKRHALILTVGITKMTALQTLSGVEISVDLEKEILRGLQNLTNLKKFTIYKVGKLNNQELLLSAIEHLSSCSLKHLAIDDDFTGFLDNSLNASHAPPEHLHALSLCGKLPQLPKWIDRLHNLEKLTLSLTSLTRDTMMVLAELPELFSLTFSLNATNRDPSVLKMLRDNTHKSGGKIFVLAGGFRNLKLLRFVTPVLPLLSFVDGAMPHLERLELKIRMMEGVYGLENLVSLKQVLLNVSSQAPEDAKEKASQIKRLARSMEKAPNVVYNESPEDLVEGTRIRLRSHLLSGVSSGDLQHLRSEPSNIRAEIDICCETSDNTDDQTRDWVAEARELAYDMDDWFGLFDLRIHYGDGGGNPTSFAFVEWLRGRRDIADKLHDLVARLNALKERRPCSTIDARAASIVLPTVNCSRSTTHLIDTERLVGLAVQMDEVTKMVDIDFDYYFDPCISIVGMRGSGKTTLAAMVYQRLGERHRFQRRAFVSVGQKFDVLRILNDMLSQLGNSGNRESMDSDKLRASLVELLDKGRYLIVVDDLWSIEQWEIITHNFPHNELGSRIIITTRNRAVAEKCRISPGACCIYDISMLSDADSKELFRREVSATGHACPEYSEDIWDQIITGCGGLPLALVSLAARYQPSWDEREMLGFNLPSGSLMDGVKQMLNLRYNNLPLHLKACLLYLSIFSENNKVEVKRLLRQWIAEGFIISVKYGGNEKIAEYYLNELISTNMVQLLHLKNHGGPSRYCSLHPLMHDFIVSKSMEENFVTIVDSQHQNGPIHHRTIRRLSLWSSEEQDEAQAQNVTRDLSRARSVTVFGHASATPRLTDLLFVCVLDLEGCSGPVCLDDLLNLRLLRYLSLRGTEVSELPAKMGVLRLLQTLDIGYTKVKELPRSIGALKSLMYLSIRGSDVRELPETIGKLRELHTLDVRSKKVTRVPGSIDSTETRIPEAIRRCYGLKKLVTVDLSGHLPGFIESLGDLGNMRVIAITWSFHQCTDEAYRAALLSSIERWTTLTSLTIHCGLGCSMEFLGSLSHAPRHLQKFKVTAGRFARVPEWISKLKDLLFVQITICKQGTGDLSVLGGLPKLQCLILGLDFITEKAIVIESGWFPELQRFSVECPMPWFSFSTGSMQKLTYLQLKLCPCPVSKTSGLFGFGNLQSIAEVDLCYNPWEMLSPNISITLGAMTKAVLEHTNQIKLFINGVQNYDVQVAEEEVQGATGTRGGTEAGNNDDVRKIDEAPVNTTKRVQSTTEIEEVESDE >Et_4B_038667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3873082:3877896:1 gene:Et_4B_038667 transcript:Et_4B_038667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGKVSPVAAAGASGSPQSPGSVCCMCGDRGLLPELFRCSACSVRSQHTYCTDRYPKAESYGTCNWCLRADGGGTSTSNSPRSAGKATAGRPAAHGDTNGGGGRSPKVAARGDFASSNLSKPIKKQLQQRLVLRRSASDLGSRVRADHNAPPSPGVARGRPRVRRYKLLEESSPVSGLINQDACTSCDTMCPNNPGIDQLPRQIMAAPAVDLGRAMR >Et_4B_036195.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:115892:116137:1 gene:Et_4B_036195 transcript:Et_4B_036195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RERERERRSTYVCLEKEEEGPAAEEAAEDGERLLRKIMYASGSTTPAIMIAAPTRYLAGDGASSSIGDIAFFFFWDLGAAG >Et_4B_036081.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21965979:21966354:1 gene:Et_4B_036081 transcript:Et_4B_036081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVMEFAENLILRLMEDPDKRDQAQREHVYRMKERCERTKAAWSLPLRPYGFWTFDRFNSQLSWDPQISQAAGRRDPYDDVITRHSTPPPSSS >Et_1B_014359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6757571:6759779:1 gene:Et_1B_014359 transcript:Et_1B_014359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAEAATPGTEMRKGRSNTILLPIVGILFAFLLYRFLRPRLPALRRLLDRLPFRAPACLRRRAPSNVLPYFAPIADRLGALPYLGPIAERLGVGPQGFHHAEALVKFPGGEALSVAAILEAPGEVVAKSAHSTLYRAAVRSGEAAVLLRFVRPACAVGGEEASAVARRIGAVSHPNLVPLRAVYVGPRGEKLLVHPFYAAGSLQRFLQEGIAESQRWSIICKLSLGIVKGLDHLHTGLEKPIIHGNLKTSNVLLDANYESKISDYSLYLFLNASASQEMLEASAAQGYKAPELIKMRDASRESDIYSLGVVLLEMLAQKESTEDNRPKARDIHLPGSFKNLVLERKISDAFSSDLAKHCKKSGKEKNLNAFFELATACCSPSPSLRPNTKYILKKLEEITR >Et_3A_025634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31432681:31435287:1 gene:Et_3A_025634 transcript:Et_3A_025634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGMKIALHRQVSGGSMKHAAELRRQASLESPRTGRATSRFLFGRQSSMDPNRRRGRSQSPVRPAAAAAEEHLTVPDNLDATMQLLFFACHSDAAGVEGLLCGGVDVNSINLDGRTALHIAACEGHKDVVRVLLKWKANIDARDRWGSTAVADAKCYGQTEVYEILKSHGAKIPKNRRTPMMVSAPGDVPEYELNPAELQFRRGQEVPKGVYVIAKWNGTKVSVKILDRESCSDHEAISSFRNELTILEKVRHPNVVQFVGAVTQNIPMMIVSELHEEIDLSSCIQKKGRLHGQKVLRYALDIARGMTYLHQCKPDPIIHCDLKPKNIFLDNGGQLKIAGFGVTRVSKVGPEKVKLVDHEALVDSFSYHTAPELYRNEAFDSSVDAFSFGFILYEMVEGLIQAKSSEDSGHIIRFEGMRPPPKNKLKGYPPDFKALIEECWSPQAVGRPTFSEIIIRLDKIYAHCAKHGTWKDSLKIWSVSLRRFKQFKHSRKSRIYTEP >Et_4B_037761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23156976:23160826:1 gene:Et_4B_037761 transcript:Et_4B_037761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEGSSAGVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPPNVEPNLFPMLGNWKREHTMEDILTSLKKEMSSPQNRRLHQPHEGNEDQRVDQKGHGDFFRNRGDVI >Et_5A_042055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5864439:5872257:1 gene:Et_5A_042055 transcript:Et_5A_042055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPNPSSGDIPAGGGGSPEKPALTDRRVAALAGAGARYKAMSPARLPISREPCLTIPAGFSPSALLESPVLLTNFKVEPSPTTGTLSMAAIINKSTHPDILPSPRDKSVGNGHEDGGSRDFEFKPHLNSSAQSVAPGINDPKHHDTSMQNHSLSHVSSSCNLLTDTKPLCSRESTHTVNGSSGPNQPVAMVGPSVNMSPEVGTSELHQMNSSENAVQEPQPENVPEKSAEDGYNWRKYGQKHVKGSENPRSYYKCTHPNCEVKKLLERSIDGQITEVVYKGRHNHPKPQPNRRLAAGAVPSSQGEERYDGVANAEDKSSNGISNLCNSVNSASMIEPVPGPASDDDIDAGGGRPYPGDDANEDDDLDSKRRKMESAGIDAALMGKPNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHTGCPVRKHVERASHDPKSVITTYEGKHNHEVPASRNASHEMSAAPMKTDVHPINSNMSGLGGMMRACEARTFANQYSQAAESDTISLDLGVGISPNHIDATNQMQSSVADPMQYQMQSMAPVYNSMGLPGMPVQTAHGNAANSLYGSREEKGSEGFTFKAAPLDRSSNLCYSSAVGRVALQQRLDGRVHQHAERGRPEPGHHQPRLPLPEDLVPLHPLLAFAGDQVHNLPRQAVLLHVDPLLVVPGQSQVDGLEDGRVVVERFREPRHKGGGVGVRLLLHEGEHLGRQALHQPQDPVAVVRRAHGLIRDVVQLQCEVHSDGLTRDNAKVLHFNIIAGDSNSMEFKGHLAHCCNL >Et_5A_041821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3158283:3162340:1 gene:Et_5A_041821 transcript:Et_5A_041821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPPPPQPPPTPRMSGVAGGRLFASLPPPPPLQSRREAHIWYLLPDELNDASQLKMYMELLSPYERKNALSMNGEKLQKGAVLSRALVRTTLSRYTEWKIDPRSFEFKKNKFGKPEILWQSDDVRMEWPLHFNISHTSSLIACGITMGTPIGIDIEEKKRKTVKSILSLARRYFTPPEVDYLAKIPDPEAQQKEFIKLWTLKEAYVKALGRGFSGAPFNKFSIMLAAKNGIQISVVPKLFQDSNSSCDSLSENWHFALAELNNFHYMAACIEDDSRTSGSGSGQVPPGLKVWKTIPFVEDTLVSGTKAVKLIC >Et_6A_046247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1164431:1169056:-1 gene:Et_6A_046247 transcript:Et_6A_046247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRPTSQPSPPPVCSPSSPSSSNSSSISVEIPPPCKRAKRTHKSAKQQGTKAKRPRKDHTKEEPPSAADPSPNAAAAAAGKRSSIYRGVTRRSCVLPKVQQHAVRPFLEFPTVTLLPAILAPPHISIIQQKVPFYEFSWIVNQCCCCSPTYAWRCMRVNRHRWTGRFEAHLWDKHCLTSLQNKKKGRQGAYDSEEAAARAYDLAALKYWGPETVLNFPVEDYASERSEMEGVSREEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTFEPDSSEAKTPEDIAETLTTVVDGIEESLWSPCTNYELDTMSRSTFGSSINLSD >Et_7A_051032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13863858:13867727:-1 gene:Et_7A_051032 transcript:Et_7A_051032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASCCSSTALQASAPGRRRFVGYRSAARRWPCRRWAHRPDAATTLIRRPPPRRATAAARVSCAYSSGAETVTACSWNEYVLSSDVPVLVEFWASWCGPCRMVTRVVDEIAQEYAGRMKCYRLDTDDYPQTATSYSIDRIPTVLIFKDGEKVQSLTGTLPKSVYSSELLFTWLTCTVTVNDCLLPLPPKDSVSGATVTFIPAGAVTDTLYFAGEPATLVSTLLNVRVAELALLRMTMKDG >Et_5A_040429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21523691:21525162:-1 gene:Et_5A_040429 transcript:Et_5A_040429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDFFFTKYAVLYYGSKASTFWSLAVASLVLVTAYTVPKPKFSNPDMDVVMNRSITHSAIVMTVLILASIVLLELLQLLFYWTTIWGRVSFHNMHQYARWVRNRRPGKPIKVPTEVKQALVHSLERTDVATKLSKYCAYLVVSAPKLLPGHHYDTTCMFDALIAEAAQLLAGDKYEAMRSLHESAEMTIFPMGVKLGKQLQEMEEGTCWKLLADFWAELLLYVAPSDNVKEHVECLAKGGEFLTHLWALLSHAGILDRGQRNVVDIETARADQSCPGEESHGDSLRFRRASSHPMTCAEKRAATATCAPNQPAMSRNHVECKYLCFSLLRKR >Et_2B_019504.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:9665112:9665312:1 gene:Et_2B_019504 transcript:Et_2B_019504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl33 MGKGKDVRIRVILECISCVRKGTNKESTGISRYSTQKNRHNTPGQLELRKFCRYCRKHTTHNEIKK >Et_3A_025461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3061015:3065314:1 gene:Et_3A_025461 transcript:Et_3A_025461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISSNQAPEARHSSLTSVQLWLLVAASEGQLEVLESLLSSCPRRAGDVEEGHNVAAPSASAASLLQDATTMEGDTALHLMAANGANEDGEQLMSCAVLVLEKDRRLLYKKNNKGDTPLHCAARAGKSQLVSCLIDLAKEDGKVQDLLRMVNNNKETVLHEAVRGGDNQMARDLLRANPELAILPINGTSPLYLAIHLIDDATIPIWLHMLGDDPLKCLRGNVLGTNEAESMIKTLHDESRDGFLSYLGPNGQNALHAAVLRGPVLASKLLGWNEDLAIRRDENGSTPLHFAARLPGRVCSELLQANTHALYQLDNDGLSPIHVAASVGAFHTINLFLNICPGGAGLRDTQGRTFLHVAVESKQVWTLYRACRDRSLAWIMNMRDHDGNTALHLAVQAGSLLMFSALFGNRYVHISISNAEGETPLDKAHYKVPPSGLFYNQNSEVRIRRALEMAGARRGASRPDHFQKKHQDIHGVKSEYESKELEKMKDLTQTQSIAAVLIATVAFGATFALPGGYRADDHANGGTPTLVGRYAFDAFMMANALAFIFSSAAIIGLVRGGSPTFNLHSRKIYASIAFYFMEISVTCLIAAFSLGVFVVLSPVAPKTAISICVMSCLLLVLSNSGEFWPRWFLLLPSFLVRMGPLWTLHKYIRLVIVNTIIQFWPLLLIFIWAAYGRTHASSKVEPPAQSPSV >Et_4A_035717.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:4272216:4272416:1 gene:Et_4A_035717 transcript:Et_4A_035717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWISSFLLRHKNARGEDGVAVTMYLPARVMDKLVEEMGKMLHVPAEDAALGQGRVCPVMKRSAL >Et_2A_016888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29081677:29085512:1 gene:Et_2A_016888 transcript:Et_2A_016888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASKGWFDFVGIPGPFDVKHSIRSVGDVPRALDELNHRVRGDRIIRFALDIEGVEVSPNDFAFFLDYAADGNAEYLRVVVAKAPPGSPAFSISFQRTSQRMVRLWLEGVRVAETRHPTLEVIRIQSTNLDDDGLREMIRWCPRLRCLDLRGCNGITRVDVTNASVHLMMLTVVECPLVTDISASTAPRLCSFRYSGCNLKSLALSAASSFWDLYICFTSCREPVDFVNWLNALPNLSNLTVLTISNTALRMVSFLHNDGENAVVAKLSHLQSLRELQLLMFRIETVCLSGVYEFFRISRCSQLRKLFVQLPKGGHDSNKDTLKKLNDGPPEDGFENLEMVKITNFKWHCNEIELVRFLFSNASFLRKLVLVTSQGVVGEADLKLLGNPPVNAVISVLGRSDGDNPRAFHRNIFSECNNNRVGRAAFPRHVSSGWAKPQDLRNA >Et_4B_037769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23202006:23206350:1 gene:Et_4B_037769 transcript:Et_4B_037769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAAASFPAAPLRRDGRRTSRVTAAAAATTAAEATSLAAVPPPPPAPTVRVAPESLQRESGCLVPGARERGSEEDGFADGAGPGAMEYLTRVLSSKVYDVAIESPLQLATKLSDRLGVNLWIKREDLQPVFSFKLRGAYNMMAKLSPEQLERGVICSSAGNHAQGVALSAQRLGCDAVIVMPVTTPEIKWQSVKRLGATVVLKGDSYDEAQSYAKLRCEQEGRTFIPPFDHPDVIAGQGTVGMEIVRQLQGPLHAIFVPVGGGGLIAGIAAYVKRVRPEVKIIGVEPSDANAMALSLCHGKRVTLEHVGGFADGVAVKAVGEETFRLCRELVDGIVMVSRDAICASIKNMFEEKRNILEPAGALALAGAEAYCKYYGLKGETVVAITSGANMNFDRLRLVTELADVGRKREALLATFLPEEQGSFKKFAELVGRMNITEFKYRYDSNTIGALVSYSVGIYTDNELEAMVERMESANLKTFNLTDNDLVKDHLRYFIGGRSDVKDELIYRFIFPERPGALMKFLDAFSPRWNISLFHYRAQGEAGANVLVGIQVPPEDLDEFRNRADNLGYEYMSEMNNEMYRLLMRNPKF >Et_5B_045651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6227557:6227923:1 gene:Et_5B_045651 transcript:Et_5B_045651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERLKESVLNDGALEGLVSVSFLSNYWEEICDIKHQQPNDKRKQTVGISVTPHEAQSSNAKWEPPEENWVKVNINGSFMEQTGEVEMGIITREHSSKPLLSSWE >Et_3A_024307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19301587:19304859:-1 gene:Et_3A_024307 transcript:Et_3A_024307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRAVALVAVVALLAGGAAADDDCFKSCYKNCVANDKSMADYCNYADHMTGMAAGAAMAAAVMAVALLAGGADADCFDYCFKNCIGNDKSMADYCNYACGKTCEPGQRPLAAAFQGLLLPFGCQTDCVRRNCHGFRKDRQATVDCYHSCFDGCETKTVPRPLRAGAGRTGDAVGPASEPNHPFHEKQVAVRPASDHPFHEKQDAVQPASVPDHP >Et_1A_004825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10532652:10532990:-1 gene:Et_1A_004825 transcript:Et_1A_004825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPNVANLQGAQVEQDDPDAVHLEDHGATPLREPCEFDQKNRFPLDHHAPNPRPPRLRVRGHCPHGHRDRPFPDEQAELPVGRGHQEQLAGHLRLPV >Et_7B_055226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8390655:8395722:-1 gene:Et_7B_055226 transcript:Et_7B_055226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLLLPRGLQTTAAMACASGSAAAGRTLLPLNPARGRLASPLARLPFLAGPVFRGVSLRCYAAAAEEHRIKVQNPIVEMDGDEMTRVIWKMIKDKLILPYLDVDLKYYDLGILNRDATDDRVTVESAEATLKYNVAVKCATVTPDETRVKEFNLKSMWRSPNGTIRNILNGTVFREPILCKNIPRILSGWKHPICIGRHAFGDQYRATDMIVGGPGKLKMVFVPDGAEPVELDVYDFKGPGVALAMYNVDESIRAFAESSMAMAFSKKWPLYLSTKNTILKTYDGRFKDIFQEVYEEKWKGKFEENSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLLSSDGKTLESEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRGKLDKNERLLDFTRKLESACVETVESGKMTKDLALLIHGPKVTREFYLNTEEFIDAVAKQLRGKIEMPAAV >Et_1B_012916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4043491:4047141:-1 gene:Et_1B_012916 transcript:Et_1B_012916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGATPATARKTLFTTTSNLLASSLARGCRRLSCAAATAAAPRVAPQPPDLVRWVQREGGFVHPALRVANHQEHGLGVSATAADGDIPPGELLIALPGRLPLRLRRPTGAADDVLVQLAKQVPDELWAMKLGLRLLQERANPDSFWWPYIANLPETFTVPIFFPGEDIKNLQYAPLLHQVNKRCRFLLEFGKMVQHKLGTVPLQDHPFCGQEINSSSLGWAMSAASSRAFRLHGEIPMLLPLIDMCNHSFNPNARIVQEGSVVAETKIEKNTAITLNYGCHPNDFFLLDYGFVITPNPYDQVELSYDGTLLDAASMAAGVSSPNFSAPSKWQQDILSKLNLHGEGAVLKVSLGGQEIVDGRLLAALRVLLAADPEAVHKHDLKTLMSLDAEAPLGPTVEASALRTVLALCAIALQHFHTKIMEDEAILKGEPPLTTELAVQFRLQKKVMIVDVMQNLSRRIKKLSPQKSTA >Et_2B_022070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8762356:8769763:1 gene:Et_2B_022070 transcript:Et_2B_022070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKRHAWGSTPVPSLVAMTWANPSLPAMAAHVDPLVVGRVIGDVVDLFVPRVAVSVRFGTKDLTNGCEIKPSVAAAAPAVHIAGRANELFTLVMTDPDAPSPSEPTMRECLHWLVVNIPGGSDPSQGEEVVPYMRPRPPVGIHRYVTVVYQQQARIAPPAPPAGVDAARVRFSTRAFADRHDLGLPVAAIYFNAQKEPANRRRHY >Et_8A_056222.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:16473936:16474103:1 gene:Et_8A_056222 transcript:Et_8A_056222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMWIIWKSRNRMVFDGLNLGTDAMLTVGAIKHHYYLLLLAVGESLARQGTEQRK >Et_4B_037197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16300291:16302602:-1 gene:Et_4B_037197 transcript:Et_4B_037197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDSSESSSGKALAISSTPFRFNVHAPEFVPMSPLASPMDSPLAVAGYYSPFVPLSNDGGGIGLGADWSFFAEPDPAIFLPDFRQSSIASAAGGSGHPKGASPADIAHKIIKQVEYQFNDTNLIANDFLMKIMNKDPEGYVPMSVISSWKKIKAMGVTNQLLVNALRTSEKLIVSEDGKKVRRAQLFTDRHKEELQSRMVIAENLPDDSTRNSLEKIFGIMGTVKSIRICHPQEPSSARSSKSDANALVSNKLHALIEYETTQQADRAVDKLNDEGNWRKGLRVRAVLRRSPKSVIRLKRPDSDQHDEEQSGSLTAGHYPEHNEDPHVGGNKPWGRGRGRSSHAAATKLHSVNAGAAGHLESLVALPRHAPRTPDGTRGFSMGRGKPSPAMAAVAVLRNAP >Et_4A_032757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13375336:13392529:-1 gene:Et_4A_032757 transcript:Et_4A_032757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAPSSVLDRPEAAQELSRCLKRCRNVSLHTAAGRGHRSLASESSGSLLSFAATAGTHLVSVSQQALLLSLTAISCGSSLVLASAEQSVEKKCELCCLRLYYLHQMNRPDCRVMKLIQRTHRSKLTVHNNYSIKCFTKEEIEQITKNYRTIIGKGSFGDVYEGVLEDQSVVAVKRFIHNVKEDLAVELTVHREINHKNVVKLVGYCVEENALTVVMEYVSNGNLSDILHNGNTPIPLEIRLRIATECAEALAYMHSQMYTQVIHGDIKPANILLDGRYNAKVSDFGISRLVNREKTIHTQHVIGSIGYMDPLFARDGRLTVKSDVYSFGVVLLELFTRKKATTEVEGVNTVDLFTKALSTGVRGVREMLDVEIVSQNNMKILEEVAKLAGECMRMERDGRPEMIDVAEHLRMLRKASQQGQERIHLFSWVRKIMPSHPAVGNIPTKILPSDLCYHFSFPEIKAATNNFDWSFHIGEGTFGTVYHGKIKIDGRATEVAIKRHQQWSMDSAHEFHSEIETMSKLRHNHLVPLIGYCNERDEMILVYEYMAHRGLREHLYRTEKPPLTWKQRLEICIDAARGLHYLHKLEIVYRNLKTTDILLDKGWIAKITDLCLSKTGPPTDVNTLSGALSVTLILNTSTPVDLQRNQMCIRLVLCARPALDRFLPKEQFDLVKWALRCKKKGNLEQVVDPYLKGKINRQCLYKFAETAEKCVADRGIDRPSMGDMLSDLECALELQEREDLPETKMMEHIQGADRSKWMVRSNNNIKCFTEGDIERITANYSTVLGRGAFGEVYRGVLEDKSEVAVKRFVRNLRENFDQELTVHREINHKNVVKLIGYCVDENALMMVTEYIVNGNLCDILHHDNVPIPLDIRLKIAIECAEALAYMHSYMYTQVIHGDIKPANILLDGSLNAKLSDFGISRLVNTDKTLFTENVMGSIGYMDPLFAWDGRLTAKSDVYSFGVVLVELITRKKVTTLGEEVNNISVFTKALARSSRRVREMFDSEIVSKNNMKILEGVAKLAGECMQMERDKRPNMTDVAERLRMLRKASHQDQGQQSVDLFSWARKKRPAPPAVVTFRLKTLPSDLCHHFSYTEMKAATNNFDQSLLVGEGKFGRVYRGNINGVKVAIRQCEDLSLRRRQDFHNQIEMLSKVRHDHLVPLTGYCDEKDEMILVYDYMPRGSLREHLYWTREQLYWTQEPKLTWKKRIEICVGVARGLRYLHELKIVHGALKTTNILLDEEWVAKISDLDPAKMSSMGETTFFGSGVGFAPEYILSGRYTEKSDVYSFGVMLLEAICARHVLNRRVPKEQTNLIDWALRCKEEGNLDQIVDPYLKGSINPQCLNKFAEAAKKCLADRDTNRPSMGDMDSGSSTPDSYLIRWTCRQGISFELFPEDVDHNIKCFTKQDLERITSNYSTIIKQCSFGQVYEGVLEDMTTVAVVSFIDSVKENFTEGLTVHSRINHRNVVRLIGSCVEENALALITEYMPNGNLSDILHHDNTPISLDERLRIAEKCAKALAYMHHMDTPVIHGDIKPSNILLLDGRFNVKILLFGISRLVNTDETLHAGNVIGSTGYMDPLFSRDGLLTMKSDVYSFGVVLLELITRKKVTMEDGEGGIIDLFTDALARGVRGVRTIVDAEIASRKNMKILEEVAKLAGECLRMERDGRPEMNDVAIRLRMLRRTSHQGQRRVDLFSWARKSKSALAAVVSIPIDGMHDVIRAMAIHTRTFLSDTWRQFIFSRTKHRYFSLSEMKEATNNFDVSLLIGESALGGVYRGEVDGGRTKVAVKRFKSWCMHYAHEFNAEIQMLSKFRHRHLVSLIGYCDEKDEMILVYDYIAHGSLHERMYNTQDPPLTWKERLNICIGVARGLDYLHTGTKHAIIHGKLKLTNILLDENLVAKITDAGLSKPGVPIDIRIFWDSHVLQDPEYARNRPLTVKSDVYSFGVVLFEVLSGRPVEGNIRIDSDKRTWMMFGRKWCDKDRIIDPYLKESVGYMCLDKFESIAAKWYSSSVHGRCAFGTRGSSSADESSIKQGDRFDPRR >Et_8A_056847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17860276:17873603:1 gene:Et_8A_056847 transcript:Et_8A_056847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEAREEDGVEERPRDGPIDGYGLHKDLVTAGRYIESATFAVGGLDWCVLFYPKGDGGDYSEDGGDCACVYLEMISKTTKAHRVQFDFRLVNPVTGLSSFMFHEDGEFNSDYPCWGTRNLMEISMLESEYVQNDCLVIECDVTVVTGTAVSKSETIFDIHVPPSYVLDRLGNLLEWEEGADVKIKVKEEVFHAHKVVLAMRSPVFKAELYRPMGVKKTKTMTIEDMQPAVFKALLHFIYKDSLPAMDDLDEVEKKEMVKHLLVAADWYAMERMKVICESFLAKKLDAESVATILALADQHHCSQLRDACIGFINTSNRVDAIVSSQGYEQLKRACPSVIQPRPAARTALRCVTARGTHSFEVTGYSLHRDMGAGHYVQSAAFDVGGYRWSLRFYPYQTGDGSEGRLESIAVYLCLLTQGAEVKAHYDFRLVDHTTGSSRSIFSKTAPRAFSTMDATKSIEGWGPIKCIKRSELEASNYLRDDCIVIECDVTVIKKSQVLLEASDDDEGPPPELSVPPSDILEDFSKLARMTVGTDVTFTVDGEVFPAHKIVLAARSPVFMAQLYGPLAEGNNRESITVEDVQPTVFKSDLKEACLQYIVTSKRKHNVSARQCYPEPKRSRVASNE >Et_2A_016843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28814912:28819134:1 gene:Et_2A_016843 transcript:Et_2A_016843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVPDLATCRLMAVNEKIALVRGLSKFHLSAPEELQEWSRREIIEVLCAELGKEQKERTFSSMPKHKLLDFLFKVVSGESSYPRKHKETKSMIPDPKSVNEKRELVRGLSNYHCSAPEQLQEWSRHEILELGKERKERTFSSMSKHKLLDFLFKVVNGESFHPLKHKKRKSVPKPNAVNTLPTSKRQRKNDSAALLPVRAATGLSAPRNNAHLCHNSACTATLNPEDKFCKCCSCCICFKYDDYKDPTLWLFCNSDQSLQEDSCGFSCHLECALEDERSGILQSGQSKKLDGDYYCIQCGKQNDLLGCWRKQLWVAKDARRLDVLCHRIFLCHRILISTKRYLVLHEIVEKAMKKLEDELGPITGTLDVGHGLVCRLAVGAEVQKLCIFAIESFESLFSSSLTADLRMEIQKTATLANTGNMLVAPPEYSDSLLHIRGRGTIKLKGVAEKNFEEATSGDHVSQNGCLKTEMDQESLSCKPSLGGFDDREDKDGSLRRDTTAPTSLPRESPDLIHNNSGALHKLNADASRIKNAPGVLAEIGNRITLGNEIGATSFKSENDYQIPQPSKPETEPCKHDEIGREDGCSEASYEYCVKMIRRLECEGYICADFRLNFLTWFCLRATRHDRKAVSVFMDTLIDDPASLAGQLRDTFSDAIRRKGQPRGPFVFGVELRP >Et_8A_058047.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:16060934:16061515:1 gene:Et_8A_058047 transcript:Et_8A_058047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEWRSCVRDRASEAAGLCSLAHGLLAVAAGRLAQPMRAADAPFDLLRTRVTEDLLVDASSNLAVAASLMEAAKLVALRGVAANPEEPLLRIQQISTQDEPDLRLALGRLRGATTRAGNACLAMERGRGHLLTACQLLGFELLPGVDGFLDAERAAAHHVLDAARALAGECATLTRAACNLLP >Et_3A_024824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24588071:24593490:1 gene:Et_3A_024824 transcript:Et_3A_024824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSARARATPPPPQRRPLHHLAGSGCQEDGGEEEAVVAAHHGAPRPVRRKGRKQKQLWPKTVLRKWLNIHSTESDFSADEGDTTGDDTDSEVESEDNNKLGTAPVPFSLHRRRKSETLRAQYIDVKELRICAGTWNVAGRLPPDDLDIQEWLDMDEPADIYVLGFQEIVPLNAGNIFGAEDNRPVAMWERIIRETLNKISPDTPKYKCHSDPPSPSRFKPSDDAFVMEDELVSESDSESDGEVHPLTEEDLIACADGIHGNKYEHPTTPPETLQDDNFSRLPSMRTFDRSNNLSFKESNLEEKISQKILTKTFSHSERLGMIWPEPPLDMLAQCLPDSTKSFPSGKALRTYLSFKSVNGDSGPLTEDNLVHDLNINGAVVKRKRPHFVRIISKQMVGVYLSIWVRKSLRKHIQNLKVSTVGVGAMGYIVSMSIYQTHFCFICCHLTSGEKDGDELKRNADVQEIHRRTIFNPVSRVSMPKTIYDHERIVWLGDLNYRINLPYERAHELISKQDWNGLFGKDQLNVELRKGHLFDGWNEGVISFPPTYKYKVNSEKYISDDHKSGRRTPAWCDRILSYGKGMRLLSYNTVDIRLSDHRPVTAVYMVDVEVFSSKKLQRALTFTDAEVEDQLSFEEESPSGVYSLGLS >Et_3B_031054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12693768:12694589:-1 gene:Et_3B_031054 transcript:Et_3B_031054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDAGKQAVRHHRLCNSFANITWPFVAIDNKYAVIVKHVEAMQSELLQLRKNKASSIASEAHQGGTAGARKKRGKKASNPQQPQKPQRKPRKTQSRSQVQKNDAYQGLGIDDSSRVLINAAGGGAHILNAQGRMAFKLKTLCQL >Et_6A_047637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8930590:8939296:-1 gene:Et_6A_047637 transcript:Et_6A_047637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGRDTEIAMGAYQPSHLTPTARSPEARSTTSGCRCATSTSPSSGTRMKFFPGTTASVLGSLSLALMSRGMARWRSSKG >Et_2B_019777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13959926:13964654:1 gene:Et_2B_019777 transcript:Et_2B_019777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGVTGVVAKLGELAAAEATALLRVDTEIRALRRKLAYLQALVRGADRQRRGRASELLLLWLRETREVAFEVEDAVDEFHLRVEDVHLRAKRRRRRWWGWHLAAVDLVQGLAAQIVVRHGLSNQILKINERINELNENKETYEIESFPSEIWSSSSVETDPEWYEDGYVVGSRQSEFAILKDQIINKEENAFHRAVIAILGESGIGKTTLAKRLYHHPDIMRHFEVHAWVSSQVLEAPKKVVPFLSDDGETTNKVHILWQHLRNRRYLVVLDGLANISDWNSLFDVLPDDKNGSRILLTSRLNVKEINHIDPQIAPLELACLDITHGEELFCQRVFGAKEPPPCYRSKAYYEKVHKISIGLPLAIIVLAGVLRSKLIPIEWDDVFEQLECNGQPKPVKSIWSLAFDDLPHYLKSCFLYFASISENVIVYPDRLVRLWIAEGFVVPKKAETLEDVGFDYLKDLVSRGLIQVMEKDGGGCIKLVAIHNLLHAFVECEAQDSCFLEIHHHANVINPNAVRRLAVHNYVDAHVQIPNSFPKLRSLLCDFAEDQRSSSVVGELRPQTLWGSFAELCLRACSISEGTDSKTLHGLHFLEGSRFLRVIDLNGLQIQRLPDEIGSIIHLRYLGIRNSNLEELPSSISNLDNLQTLDVRRTNIGTVADEFWEIEALRHVLAEKMLLPRCSFPLNNLMTLNGVVPSDSWDEEVCPLDYMICLRSLSLSGISELHCRAVSASLKKLEFLVYLNLSGMILPSNMFADSSMRRLQVLILHGKLEGLNDLLSDRYILPNLHTIRLNKSEVSQLFIDKLARLPCLAEMELLDGSYNGTALVFPERGFQSLKNLKLRNLYSLQALVIEQGAMPMLSILALYGCDNLRILNGLTALELLQELVLYNMDEIVDTIKLVDKKLFDKVKCLTTPTIVTNRGVAGSWVRKAVPKQFVDVASESRGGGLECTGSVIEKATDDTEIHYGSGAWIRSFEE >Et_9B_065977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19001818:19003156:1 gene:Et_9B_065977 transcript:Et_9B_065977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLDRPRDVKVEVLHMARCNEFTECDPKDEDDSYRFCTRFDSFNIAFFDLDEESKVGLGPPLSVLSPSGRDSLDTSINVISLKITESDLDNPINVFGSVIARDEVDYKCVYLFRRDRDDPQIITSPDDELTLTGPYRGLAVSGVVYFEINLKLRCDDAVDRALSKGVLQRNVGRHSKETINLRLSCWLSTVELKLHINIRDALEATLAVTVLKGPPDFSGKLTAWTTGNEDDHIVLFDSEASGTWRAVSDDGSVALSRRVVSVAWNEELVLGISVGDEHNVQAMGHSEEKEVLQDRSL >Et_7A_050553.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:24536354:24536407:-1 gene:Et_7A_050553 transcript:Et_7A_050553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKSLADRLILFVFSL >Et_6A_046682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19396841:19399053:1 gene:Et_6A_046682 transcript:Et_6A_046682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSAASLLLIATCCFFLIAHARWHARPAAASCIPKERDALLDFKRGINDPGHLLSSWQRRHNCCSWDGVSCSHRTGSVVRLDLSEVNPDYALEGQISPLLYLEHLEYLDLSWFNQTGPNNNNSLEFLGSMKNLRQLHLTGLLFSGSIPPQLANLTKLEYLDLSWTSFSGKVRPELGNISTLQHLDLGNMQYSYSLDISWLTNLHKLTYLNMSSVNLSMVAVDWPHVLNTLQSLEELCTSQYKPNTQTSKPYNVHLDLSFNYLDHPLEPCWFWHVTTIESIELSQTYLFGRLTSLQWLGFSDNANAATMLVELKDLCPLKYLYLGGSLSQGNIKDLVEKLPHATIAFKINGTVNCSSLYRINISNNYLTGDIPSGVANISPILSGLDLSGNNLTGAIPQEMANSTLGYLDLHSNRLSGQIHVLPRNMYVLDISSNFLSGPLPSNFRAPNLQALILSTNHITGRVPKSICELQNLVFLDLANNFLEGTFPQCFGMSDMVFLQLSNNSLSDIFPSFLQNYTELAFLDLSWNEFYGKLPEWIGEMVKMQYLLLSHNLFYGHIPVNITNLRQLRHLKLASNNISGAIPRSLSNLIVMSNGHRNEEGIYLSYFYRRWAHKESLLVVIKRQVLKYGASFFDLHDFSQNHFTGGIPDQITSLNGLLNLNLSFNHLTGSIPKKIGDMKSLESLHLSRNNLYGQTPRSLSDLTYLCDMDLSYNNLTG >Et_4A_033940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28229998:28232440:1 gene:Et_4A_033940 transcript:Et_4A_033940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGMINANPVVHERPERAAHPHAADALDPLDIFDTVRDIKDPEHPYSLEQLSVLSEESISVDEKLGRIQITFTPTVQHCSMATVIGLCLRLKLMQNFPPHFKIDIKVAPGSLANEESVNKQLNDKERVAAALENPNLRQLVDECLCSSDH >Et_1B_013031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4967516:4969583:-1 gene:Et_1B_013031 transcript:Et_1B_013031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGVAVAPAPVSSSSCAGGGCKKGKRFEIKKWNAVSLWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >Et_1A_007431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34454795:34457205:-1 gene:Et_1A_007431 transcript:Et_1A_007431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPVNPKPFLNNLTGKPVVVKLKWGMEYKGFLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVLYLRGIPEDTEIEDAE >Et_9B_064422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15007299:15009835:1 gene:Et_9B_064422 transcript:Et_9B_064422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASITIDNAPLPPAIGGAYADSFEPSRSEEWMRERADHLKGQVALKLEAIKKMGTGDMLMLVDTLERLGIDHHFRKDIDLALSHVHREEPAEIVSHDLHIVALYFRLLRQHGLWVSTDMFDKFRDGTGKFSESLSNDVRGLLSLYNAAHMATPFEEILDQAIVFTRQHLEAVKGKLRPPMSGQVTRALDIPLPRFMPRLEAVYYISEYEQEEGHDTEILELARLDYALLNSLHLKELRDLTLWWRDLYKEINLPYTRDRIVEMYFWAFGVSHAEEHSRARMIHTKIVALTSLMDDTYDVHASFEECMKVNEAMQRWDASAVSLLPEYLHALYIRTLSQFKEFEDSLEPHEKHGVHYTIKAYKLLSTFYLKEATWCHGNHVPSFREQLHLSGMSAGLPMFSVAAWMGSGRVATKEVFEWGVGIPEMLRACGEVGRLLNDIASYKKGKNKKDVASTVECYKKEHGCTGEEAMAECAAMSEHAWRKINRGCMEIKPILLPAAHLAAVNLSRTSEVFYIGGVDAYTFGANLKDIVTSIFLRGPA >Et_2B_021117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26684438:26686852:1 gene:Et_2B_021117 transcript:Et_2B_021117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSAVASAEPLLPAALKRGGRAAAALERCVSRPDDELQWFRSCLRWVGMDHSGPGGAALSWLLFLALAVVVPAAAHFLLVFRDTRRPFSVVVQLSLSAASAAGFLCLSASFRRVGLRRLLYLDKLRTKSDRVRLNYTARLAFSFRLLASLVAPCFAAEAAYKVWWYATSAADVPFFANDVLSDVLACSLEMASWMYRSAIYLLTCVLFRLICHLQGLRLEDFAGTLLTEVEEGRTGIEDVLREHLDIRRQLKVISHRFRKFIVAALLITTASQFSAVLLTTRRDSVDDLLNTGELALCSVVLMSGLIIILSSAAKITHQAQALTGHTTKWHACCTIEPVQDEEAEPGSNHNSMIEQDPGCDGDTESSEETGDEDEDLLENTKFHLPQAHVLDFQKRQALVTYLENNRAGITVFGFTMDRSYLHTIFMLEWTLFLWLLGKTIGFS >Et_9A_063226.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16257292:16258608:1 gene:Et_9A_063226 transcript:Et_9A_063226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMSPLAVSTTVLLFLLCLPSASRAVSQPKPRAVKLPVRKDAATGQYLTTFRQRTPLVTVTAVLDLANPTTWVDCEKGYVSSTYRGVHCASKQCRLTGNASCDRKCSGKPGPSCLNSTCIGLPENSVTREGTAADLLTDVLVLPTTSGPGGSPAGPFATAPEFLFSCSPAFLTEGLAAGATGMASLSRNRFALPTQLAATFGFSRRFALCLPSSSAAPSVVVIGDAPHTFQRGADLSNSLAYTPLLVNPYKFSPFYAEKSDEYFIGVTGIKVNGRAVPLNATRLAIDGKGSGGTKLNPSQRYTVMETSTYKAVTAAFAAATAGIPRAPPVAPFKLCYDGSKVGRTRVGPAVPNIELVLGNKQGTSWVVFGANSMVAVKGGALCLGVVDGGEFQMAAIELGGNMLEDNLLEFDLEKSRLGFSSSLLFRQTTCSNFHLG >Et_5A_041587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24752296:24753195:1 gene:Et_5A_041587 transcript:Et_5A_041587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSPPFLYPPLASPLVAALSALSVFMGVSIGVGEVRGENMAYSKFRHRGGDRVLPSRVGMLAAYAPALVAALASFAVPGAVDGPRARLLATAIAVHFLKRVLEVLFVHRYSGSMPLASAATVSIGYLLNSVMMIYAQHLSRGLPDPAVDLLYPGALVFAVGIAGNMYHHYLLSRLRASGAGGDGNKGYKVPRGGLFELVACPHYLFEIVVFLGFAMIAQTVFALAVAVSTSAYLTGRSCATRRWYAAKFEEFPERVKALVPYVL >Et_4B_038383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28611307:28613976:-1 gene:Et_4B_038383 transcript:Et_4B_038383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKGIFSSPLLAPTVPTTGVLLFFFFFFAAGGLGPGNSNSTTAEGAASMSLEFRSECDDIEEAKSPSNTSVAAVAVKNGDASVYKIIHGYLKQKNNSIIKVAANVARKAASNKLSRKTSDVFDTLLQKQQSKWGTKTGPLLSGICYCIASCSMILLNKVVLSSYNFNAGISLMLYQNLISVVILVILELFRLISTEKLTWKLIKVWIPVNLIFIGMLVTGMYSLKYINVAMVTILKNMTNILTAIGEIYMFRKGQNKKVWAALFLMIVSAVCGGITDLSFHLIGYTWQILNCFLTAGYSLTLRRLMDTAKQSTKSGSLNEVSMVLLNNALSIPFALILIVIFNEWEYVYQTEVIRDPMFWVFATASGLLGLAISFSSVWFLHQTGPTTYSLVGSLNKIPISVAGILLFNVPVSVENLCSIGFGLFAGIFFAKAKMS >Et_5B_044061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19639381:19645673:-1 gene:Et_5B_044061 transcript:Et_5B_044061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRHGRLLVLNRPSVSPTSGSELIVWDPSTDEQQRVPMPPFEYTSWSAILLCSATGCDHIDGHRGSFLVVFVCSHGLEDQIVSASVYSSEQNAWSHPISVHLHCCFEVGSRNVQVHNAVYFTCENRIRILEYHLGKQEISMISPPPYLRYVPHAVLMKVEDGGLGFALVQFTTLSIWSREDDRDGYATWAPRRVVELNELLPLCDLSASPYFFAVAIADDVDVIFFWAHDQLFTIDLRSSRVKKVDNVVSCFGIVPYVSFYTPGIFSPTKPLAPRRRQPKPSQASNMPPELMEDLIEEFLLRIPPDDPAGLLRAALACKRWCQLISGPRFCCRSVFCYFSHAKDSFRYREFHRAPPMLGVIYYRGSASRFMPTSSFCPPFAADRRLCSPVDARHGHVLFFFLSASCPNGSFIITNPITGEEQRVPMPHLQHKYLWSGCNVALLCAAAGCDHLDCTWGPFFVVFVATDETKGFTSANVYSSEAGTWTETSSVVHPASHVARDRSTFVGNALYFTFEGGKRILEYDLGKQELAVISLPSVCEEKSVTLMTAEDSRLGFACLQEFKLHIWSRGVGLDGWTKRRVIDLTSLLSVYALTTSPNVISFADGAAMILMYSHNSGHFAINLKSGRMTKLNVTATSSLVLPYMSFYT >Et_7A_051465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1958946:1960666:-1 gene:Et_7A_051465 transcript:Et_7A_051465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANTNTVFIVFIIILVIVIVFLLGICWKFLRPEIMRKLLRPRSPGSEVPEYFSGNMSGNLRTITYFDYATLKRATRDFHQKNQLGRGGFGPVYLGKLDDGRKVAVKQLSVGKSGQGESEFFVEVNMITSIQHRNLVRLVGCCSEGTQRLLVYEFMRNKSLDKILFGGEGSPFLNWKTRHQIIIGVARGLQYLHEESNLRIVHRDIKASNILLDDKFQPKISDFGLARFFPEDQTYLSTAFAGTLGYTAPEYAIRGELTVKADTYSFGVLVLEIISSRKNTDLSLPNEMQYLPEHAWRLYEQSKILELVDPKVQADGFDEKEVQQVCQIALLCVQPHPDLRPAMSEVVLMLTMKNDQSIPAPTKPAFLDRKSLKDKNVTSDTAAEMRSGSYWLYTPSPMVDKPYDMSFGI >Et_4A_033219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20009758:20015680:1 gene:Et_4A_033219 transcript:Et_4A_033219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRVVALCQGSKRKPAPAMAVMPMLELADSGGSEPLEKFSHYVARQMGFQDINECPQLCVLANNYLKNTQNCIDDIYMVFENIQNAEVLYVQFIEELDKCILGYFAFHWDHATELISQALTVDSSKKKWRKMALEATRDLKVTRVFSTLVEEMKAIGVTGAAGDCDEASKHTDVMAPVAHSDRSPVLLLMGGGMGAGKSTVLKEILQEPFWSNAEANAVVVEADAFKETDVIYRAISSMGHHNDMLQTAELVHKSSTDAASSLLVTALNEGRDVILDGTLSWEPFVEQTITMARAVHRQRYRMGVGYKVDADDGTVTENYWEPDGTQPPSPKERKPYRIEVVGVVCDAYLAVARGIRRAIVTRRAVRVKSQLQSHKRFAAAFQRYSQLVDGARLYSTNSLGSAQLIAWKDRNSSLLVEPREFSCLEKVSSLNENATSVHDLYPDGTTTCGSKSIWEDMVVSPSRKAIQRELREAIQSLEVANVVPVTTTYRICSCVSVTIRLSSSHAL >Et_1B_011132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17942093:17943476:-1 gene:Et_1B_011132 transcript:Et_1B_011132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVYSQPQGHFSYGRRDSSYALPWSSWCDTGAFGVAEPCADDEWDPLLLASLVQDAEGSGEELVASDGASPAASADALAPVMQQTTTTRRKRRRTKIVKNEEEIESQRMTHIAVERNRRRQMNDYLAVLRSLMPPSYAQRGDQASIVEGAINYVRELEHLLQSLEVQKSFKDRGCNADDGGQSPFAGFFSFSQYSTSSASHGSNGHRIQAESTATADIEASMVEGHASLKVQVQRRSRQLIRLTAGLQQLGLTTLHLNVSTAGATGMYSFSLKLEDECKLTSVEEIAEAVHEILAKIQEEQAFVDTRTGL >Et_5A_041761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26878411:26881469:1 gene:Et_5A_041761 transcript:Et_5A_041761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRANTTASSSSSVVSATPCSSLLSCLRGHGRDGKAAAYAVAACLAIVTLLVVAALDPGTQAAWFLSSSSSPPSSSSSSLRHSGGVAGELLLATSSSYSAGGRNITGKDVHEEVPRFGDGFASLPTVNSSSDHGAPELSIAAPAPGPGEAKENTDDEIQIIPQVQRRRDVKLERLELDLAKARSAIREAIQNRGNQPPLAEKDYVPVGPIYRNAYAFHRSYLEMEKLFKVYVYEEGEPPVFHDGPCRSIYSTEGRFIYNMEMDTRMRTRNPDLAHVFFLPFSVVKMVKMIYEPNSHDMSPIKRTISDYIGVLSGKYPYWNRSLGADHFMLSCHDWGPYVSSANGHLFSNSIRVLCNANKSEGFNPSKDVSLPEINLRTDAVDRQVGGPSASHRPILAFFAGGNHGPVRPVLFEHWKGRTEEHPDVQVSEYLPRGVSYTEQMRRSRFCLCPGGYEVASPRLAEAIYLECVPVVVDDGDYALPFADVLNWPAFSILLRVADVPRLKEVLAAVSPRQYIRMQRRVRAVRRHFMVHGGPPRRYDAFHMILHSIWLRRLNVRIAPAQQ >Et_8B_060287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8611513:8623657:-1 gene:Et_8B_060287 transcript:Et_8B_060287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSRWNKVLSWSFPPRWCSSLVLPTTAWRRCWPVFCRLGKAVTSVAFWFKDGERGAGFVEGRRLDLPRVSIGDCGCRRRVKVWDLENLGRGPSRWATADGFISSFVTGVYFDSSQSLCAMGFFQIEGVPDFFIGGGRRKQEEFLSSRPSSMGSEKPMRSASAIVAGTVSGQHLLKIVGYSRTKDDIPTGSHIKSRSFRVAGHSWHVRYYPNGLTSTWSDYISVYLLLDHNVSRGVRAQYTFSLLDRGGKPVPQHTTSGGEVKVFRDSGWGVHAFIKRDELEKSEHLRDDCFTIRCDFTVMQEVQTKDVDVAGTPQPPPAVVVVPPPDLHRHLGALLETGEGADVAFEVDGKTFPAHRVVLAARSPALHAQVKAINLTDGAAAVVRIEDMESQDFEAFLHYVYTDTLPETTTTAAMLPDLVAAANRYKMERLRLLCEEKMCEFLNDVAVMLAFAGEHHCHGLKEACLQFLEDTGNLREVVKVNGLEHLTESCPSVLKDIIAKLAAGPSYHYYLHMPTSTADSGKPLRSASAIVAGTESGQHLLKIVGYSRTKDDLPTGSDISSRSFRAGGHSWHISYCPNGLNSACSDLHLHLS >Et_3A_025757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32592227:32619746:1 gene:Et_3A_025757 transcript:Et_3A_025757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQQNVLNQLVSFILGASAAAVLLFFLTSAGSGARSTGLSSWANGTTEFVDPVQEATSPGREAAVHAEQKGATEPEQDELERLLRTVADEDRTVIMTSVNEAWAAQDSLLDLFLESFRMGERIAHFAKHLLIVALDDGALRRCRAVHPHCYLLPAAAGRNLSDEKVFMSKDYIDLVWSKVRLQQRILELGYNFLFTDVDILWFRNPFERMSVAAHMVTSSDFYFGDPYSPFNLPNTGFLYAKSSRRTVGAFEAWRGARAAFPGKHEQQVMNEIKHELVAKRGLRIQFLDTEHNAGFCNNTRDFNTLYTMHANCCVSLGAKLHDLTNLLQEWRAYKQMDDEERRKGPVRWKDMASQGKQNGGLNHFGSFLLGALLPTLLLLFLASDTDKFTGLAELLPQVATEDRTVIITSVNEAWARPDSLLDLFRESFRNGEGIAHLLNHTLIVAVDAAAFHHCRAVHPYCYLLEVRTANVSGANRFLTKGYLELVWTKLSLQQRVLQLGYNYLFTDVDVMWLRDPFRHINLYADVTMSTDRFVGDPESLDNWPNTGFFYVKSTSRTVEMLRYWRAARSRFPPHHDQKIFDNIKRELAGKLGVRIQFLDTALFRSFCEFHGGDMGPRMCTVHANCCIGLENKVHDLKNVVADWKNYTSLLSPAEKRSGKKGKLKWTFPTKWKEGSHVVSFLLGAALPTALLFFLASDRLGEGLSSISVSWRNGTLLPPADGAPAQKATNLTGQEAASTTDQEVGFAGLAELLPKVAMDDRTVIITSVNEAWARPNSLLDLYLESFKNGEDIAQLLNHVLVVALDPAGFEHCKAKHPHCYLLTNVTSANLGSAKRYMSRDYLELVWTKLTFQQRVLELGYNFLFTDADMILFRNPLRHIPVYADMSCSSDDFKPTRKPLDNPLNTGLYYMKSTNRTIAMVRHWRAARARFPGRHDQQVFVAIKWELIRELHVTIEPLETVYFGGFCEYHDDPERICTMHADCCIGLDTKVHDLKDIAADWKNYTSLTPQERKKGNFTWTVPVSCRRTTRWHDMGCGGKEGSHLVSFLLGAALPTAFLFFLASDRLGEGLSKIAISWGNGTTPPAGPAPVAPTQDHDPIGFDGLAELLPKVAMEDRTVILTMVNEAWAKPNSLLDIFRDSFKNGEDTAHLLDHVLVIAVDAGAFKGCKAVHPHCYLLELKSANLSTPERFMSKEFLELVWLKVTFQQRILELGYNFLFSDTDIIWFRDPFRHITLYADMTISTDYFRPPTSYPLDKTLNTGFYYMKSTNRSIEMIKYWHTARERFPGNNEQGVFNNIKNELVSKLQGRIVALDTADFGGFCEYSNDLSRICTIHANCCIGLENKVPDLKDKAAEWKNYTSLTPEERKNGGFKWTTPDRCWKTIGWPKEGSRSHLVSFLLGAVLPTALLFFLTSDRLREGLSGISWSWSNGTISPPVARPATETSTALTSDAEFAGLAELLPKVATEDKTVIFTSVNEVWTQPNSLLDIFLDGFRNGEGTAHLLNHVLIVAVDTGGFVGCKAVHPHCYLLEIKSMNMSMAKWFGSKEYMELRVLELGYNFLYTDADILWLRNPFRHISVYADMSCSLDNSKTAPVLLDNEINCGFYYMKSTSRSIEMMKRWVAGRARFPGENEQVVLSKIKGELIGEVGVRMEALETEYASGFCDFQKRLDKVCTVHANCCMGLENKVFDLKNVAADWKNYTSLAPDESKKESFCAISLGLHMAGPKSNSSLSPAVTFLLGAASATALMLFFLTAVERPVSPSLQATTRTRGEVPGAATAPSRVNRTAGARAPTSQLLFNPPNRTTACPSFLSFGCPVDFAVKNRTEQSIFLYESQGKCMVGLGQVGTGHDEPEFEQMLERAAMEDRTVIMTSVNEAWAAPGSLLDSFLESFRVGENISHFVQHIVVVAMDGGAFQRCRAVHPHCHLLLPEKQGLDLSGAKSYMTKDYLELVWSKLKLQQRILELGYNLLFTARTLTLKHY >Et_1A_007542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35688316:35694648:-1 gene:Et_1A_007542 transcript:Et_1A_007542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGRGVVGERWSQRILWMCAIGSAVSLYFVAVERQAQNRARAVAEGLKALDSAGGPGEDVDETYKQGDTLAIHRVLKDHRATLLRLGEHEANKMSKIMLYGTGQKLTFEALEVVVPSREGIIEDGNRSRWQRRLKLRSEAKKSEKQHGAVAPFTQS >Et_1A_004546.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:15227794:15229440:1 gene:Et_1A_004546 transcript:Et_1A_004546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILLRRRHAPHPRAAAAASARLVRAFSALPDVDPSSVSGSVSNPAAPTSSTSSRSSVLDLQLAIRGETDPARIHSLVASALSNHDLPRLHTSRSLFSLAVSRLERLRRPDLAASLLDLLLASAPPSPGLLARALSLFPGPDEALRAFSNSASSARSDVSLSALLSALLRAGRLDDLKSTFASAESSLGVVPGRASHNVFLHALVKNSELAAARKLLDEMANKKLKHRPAPDIISYNTVLAGYSAQDDKEGFEKVLKEISSSKLEPNVVTYNCRIQWFTKKGESFKGEELLDLMESKEVAPNYLTYNALVQGYCKEGNVGAAMRVFKKMKVMKRREGRSDLGVSAHSQTYVVLFRSLVEKEKLDDALWICKSCFAMKAAPPFEAVKGLVEGLVKGERSAEARDVVAKMELLVKGDAKPAWKKIVDELSLEEGAPSSNP >Et_7B_055450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1110025:1114652:1 gene:Et_7B_055450 transcript:Et_7B_055450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGAAAAGAEAGAKPLTPEEEALRRNTDCVYFLVSPLTCKKGNECDFRHSDGARMNPRDCWYWMNGNCMNPKCPFRHPPIDGLFGAPTPGVPSVSSHYGAYNSGKQMVPCYYFQKGNCIKGDRCPFYHGPQAVGNNPPEQVARVSSFPLEQPQAQKREASTEPNNLMQQGAPIIGDRSKLAVDRSMVHAAKNGVAALPSELASNSVKSRLKSEKVPNTSAVKKSFMTAEEDHSACYQNQLAPDGGPMQDWNQDFTMPPTDDLPQNSRDADDFLGESSPGFDVLVDNDADGAAYLHDEEDFGRDMYPVEDYEYAPADFDMQAHHERERFNGMDEHNQIGRLYDGYERKRRRSSPERSMDRPFHSDRRFAHREINRADMDRSDLRHQIRRRRINASSAAISPERNIEQHRRGEHYRERAHGHHTHRDRHQGSRGSTLSSRLKGRIKLPGRSPDRFDTRFENERDRKRLRDRLSPVRHDVHGGHREAGQHHERSQRRPSELASSVRHADGRYSRRDAVDSVHLSARKNLGEPRKANGIMDSEPSLDFEGPKPLSVILQRKREAAVSNNSSSSCEKSAEAAARQPGSLVEAEKKDFENNISSEYCKSGSGDEEYKEDEDQVPVEGQGQSSSHGDKFDVEDITEKTKNGKKLLVILKTWKNKEKVLETNKSQVFLLLFSRMTLQWHIKVCSTARLLEYKLSDTN >Et_3B_029214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23019738:23021721:-1 gene:Et_3B_029214 transcript:Et_3B_029214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELRRVLDEIQEVDDPDPEEEHDKYGGRAGDEEEEDHGGGDKEGEDHGSGVDEDEEEYSGGGDDEEYGDTQEEDDTEDEWDEDEVYDENDGRWMPYKYNLLRTFCNAGANYTIEDAERILELTHDRNFKHIGEWCEMSNNNVTPLPTSPLVVLPEITKSCVTGYECYHRRYWTDIISPSKRLHQLIRISDLLGCSLLPFCGPSRGIYVLQYILLDIALWIKEEGDGSADKPMFHGCIQLNASLTGFDRELEGRIHGNCHNLDMYFSFVSDRIESFLEIFAESEKTSKVKINASISGCEHEIALYDGTFSGTGTMVRQLLAVKLLGQLHIVLKLDGSPYSWSFQAGVGVVDAPKHSVPDFVRFVVRVSFRTKGKASSTWEWSSIGNDVQSVEIRV >Et_6A_046913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22197816:22208992:-1 gene:Et_6A_046913 transcript:Et_6A_046913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEATPKRARARGGSSTVAGEPDRLSALPDCLLHTIMSFMKARQVVQTCVLSTRWKHLWHSAPCLDVDMDEFKTVGQQLDDDSEDEDDDYNYGVDDKGWQVFEDFIFNLMLRRNIALLDSFRLCVSDSAPEDGNKNAGAWVRRAIKYCASYPGIQREDLSSNSWRLRRLQLLDVFLDSVFAEHISSACHSLEDLELECCLCEFAGITSHSLKNLVLKNCRFRGLSNITSPTLKSLVIGGGSNKCLLVITAPAVAYLCINGQTYGFVAGIATNEMPSLDKASISLPGCGVFKVLCGVSNMTHLELSYFGKMVLSEEPPAFKEFSNLRNLLLDNCDLGDDLQTLALFLENSPRLEKLTLRYCKFSNDSKKKKETPKPKKRCILDVQCVNLKLTEIVYEDDDDVRQLVELLLPISGKLQKNHLKLTKSMEDQVTRERDRGGCSGDAGEPDRLSALPDCLLHSIMSMIKARQAVQTCVLSTRWRHLWRSVPCLDIDHYEFKQWEDLEDFAVNLMQRCNIAKLDSFRLCVSNCRPNDYKSWNAGGLVRRAIKYCAPVPCIIQREGLMSSSSSWRLKRLHLCCVFLDNRFAKHFGMSLFGRFGADRLHLYLSDITAPAMKTLVIDGPVMTDDCLIVITAPALSYLYLEVEYLDVSPISINEMPYLDNASIHLWDHRRRGNELGHKEFKLLCSVCNVTRLALSYLGPMCNNVALTYNLHQVLSEESSAFKEFKNLRNLLLDRCYLSDDFQILVLFLQNSPNLEKLTLRHCTVHSSLIFNFCMLLLLFCFERLLHCKQFSNDFKKKKGSHKPKEASSSQYQSLDVLCANLKFTEIIYKDEDVRQLVELLLRISDKLPNNHLKLTKFSNCSKKNKVKPKPKKASSSQSQSLDVQCAKLKLTEIIFKNDDVRQLVELLLRISGKLQEHELKVTKDQSSGSCNGDGVGLAGEPDRLSALPDCLLHSIMSLMKARQVVQTCVLSTRWRHLWCTVPCLDIDEDEFREWDDFEDFAVNLMQRSTCDYESLYAGGLVRRAIKYCTPVPCSNTWRLKRLHLCFVLLDNRFAKHSLVIDGSERTCDCDDLLVITAPAIAYLYLQVETSVIIFQ >Et_2A_017102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30980599:30983997:-1 gene:Et_2A_017102 transcript:Et_2A_017102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDARVIAAAFDLFFRGLVHVARTDSSTSLGKRGKSRGGKEEATGMAGLSSDSEPTDAFEYMLLEKDPDLYRTVFSGPSQISPWIDPSVLNLKHRIGRGPFGDVWIATHHQRTEEYERYHEVAVKMLHPIKEDQLQLFSVKFDEIFSKCQGLGNVCFLHGISTQNGRICIAMKFYEGSIGDKMARLKDEHDRAVLGDFGIPSLLFGLSLPSPDLIQRLGTPNYMAPEQWQPNIRGPVSYETDSWGFACSILEMLSGIQPWRGKSPEEIYQLVVLKKEKPIFPCSLPPEIENVLSGCFEYDFRDRPLMKDILHAFESAKDADHDNTGWDNSENVRVDRPILASRTNWLLFKDKLQVGDKVRSRKLNNSSSPETMEIPDGTIVGIDDDGERGSYILVRVHGLHDPLKVHSSTVERVTYGFAAGDWVRLREEDKKRSQVGILHSIDRDGSVTVGLIGMDTLWRGNYSDLQMAEAYCVGQFVRLKTNIGSPRFEWQRKKGGGLATGRISQILPNGCLVVKFPGKFSLGEVYSCLADPSEVEVVSFDKCDGIVKKYEHLEDFHWAVRPLFIAIGFFTALKLGMFAGKVIVKPRSRKVASISSDQRGDHQQLQQETHNSANVAWLPPPVANMFFGDGVTPSG >Et_1A_007329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33352682:33355393:-1 gene:Et_1A_007329 transcript:Et_1A_007329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLIAAPSNFTVQRQPAVLVAPAASTPRELKRFSDIDDQDGFRFQVPTIYFYRRSVLMDGCDPARIIRDAVARALVHYYPLAGRLRELEGRKLVVDCTGEGVLFIEADADVRLEHFDELLFDVPGSSAALNCPLLLLQVTRLACWRFILAMRMQHVMADGQGMVQFLGAVAELARGARAPTVPPVWARELLAARDPPRPSFAHRKYDEAPPGTFAAPFDAATDGLVCRRCFFFGPGEVAAVRALLLPNHRRATTFEALAGFLWKCRTAALAPDAGEETRLVSARGKNNGDINTKIHNTVGWVILRMAVKIVHAGAVAREAIEQDVTTPTNVAFVHALLNADGVEITFIVVLTGSDVDHIGDFCPFNFNRKELSGINYMD >Et_2A_017965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8422178:8426076:1 gene:Et_2A_017965 transcript:Et_2A_017965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEEQHSNGHGSGYSPGEQQEAEQSHDDQNPGADEAELLWKLRKYLMLLAILAAAITYQAGLAPPGGFWQDNQNGHIAGDIVLRVSYPKRYHVFFYCNTTAFGASLIILILLLIRKLSRNAVWLRSLQFAMLLGLLGLMGAYAAGSCRELRTSVYIWVLLVGIFAYVTFHVVFFKHVAPQWLKQMFQDIRKYWKGIFRKTESTTNEPETPTAHNESEELERNRSFLLVLATLAATVTYVAGLSPPGGFWPDNSKPNHLAGDPVLRDHYPRRFKAFLICNATSFAGSLVIIIMLLTDTAVDHVVKSNALRFCVLVSLFGLMGAYAAGSCREVRTSIFVFSLVGAVLLYLVLQLIFPIVKKPKCVDNSIEWIRKKKTEVLQKLSSFIMKGNGSSRIDRHTTPSGPSDDMNDLQKLRTYLLLLGILAASITYQAGLNPPGGFWADSLDGHIAGDPILEAMDPRRYKAFFYCNATAFVASLVIITLLQSQLITVSAMKRHILQTAMILDLFGLMGAYAAGSSRKFSTSIYVFVLVLIVFTYVVLHVLLSVATLETRLKRTIDSMSQIFHERTHHFRVNETTGNDEEADLKKRRKFLMLLAILAASVTYQAGISPPGGFWNDNNDGHQAGDPVFRDEFPRRYKVFFYLNATAFVSSLVVIMLLVSKRLCDKGLKSYALRACVLVDLISLMGAFAAGSCRKVSTSVYVILVVVAVSLYVMIQVLVLTFAKGKVNNFLERVFSIMPFESQHPSMNHRGSIQIKKRTEHKWRKDLMLIGTLAVTVTYQAGLLPPGGLWPDDQVSHFAGDPILHDTHPTRYKVFFYCNATAFMASMVMVILLLNNTISKYKRSLLAMKTAMVLNLFGLLGAYAAGSCRKFKTSAYIFALVIAVFIYIVIHVLLSFDEVALLVRKKGEKWMPWLSKLWALIETEPSKPEPSAVQLGERSDIKRH >Et_6B_050091.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:589752:590366:-1 gene:Et_6B_050091 transcript:Et_6B_050091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAATHMMDSSSAAASLPPPWLPAFADHHQLLHDFTASAPSPPPPAPSSQHHLMLPRAAPANHRRVASSKRRPRRLPTTYINADPGSFRRMVHQVTGATHDLLLSPLPRPQQPPHPEVLSRPAPGRCHDALNNTLLLPTLDTSAFLIGAARTPSHGSASAARSPVPTVAAEEDSNSAGSSSSSSSSGLLLFPTLESWDHATLF >Et_10A_002216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4633797:4635118:-1 gene:Et_10A_002216 transcript:Et_10A_002216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGEILLLAFAFIVVSYVTWLVAQHMDNKEATAKVAPPNIFIWLIGAERLDPHASSPVPPAFHLAIDVDKVSQCYRACGGGGNSLLRISYHDMILAWGRMPPFCIDGRWSRDGVATIEAKADGATLTEEVSGLVQSELHVVGKAEFFLEGEVTGLGYLRCNVFLFGGKATDESKGLCQVLSMLIRLFIILVSSINIDTN >Et_1B_013938.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:24926116:24928164:1 gene:Et_1B_013938 transcript:Et_1B_013938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPAILADPRRLRRVLSSTGATLAKLARIHALLVVSASDHLLPSLATAYARAGALDAAEFTLADPAASATPSSISAWNALLTAHSRRGAPADALRVFRALPPAVRPDSTTFTLVLSACARLGDLDAAEAVRDRAFSAGYGSDVFVCSALLHLYSRCGAMDEAIRVFEGMPKRDRVAWSTMVAGFVSSGRPVEALDTYRRMREGSVENDEVVMVGVLQACAAIRDARLGASVHGYLLRHGMRMNILASTSLLDMYTKNEQFDVARQLFGRMANRNTVSWSALISGFAQNGRADEALDLFREMQATGLQPDPATLVSALLACADLGHLKLGKSIHGFILRRLELDCISVTAVIDMYSKCGSLVSAQILFNRVGSRDLVLWNAMIACCGTHGRGGDALSLFQGMNEAGIRPDHATFASLLSGLSHCGLVEEGKFWFDRMINEFSIQPAEKHYVCIVDLLARSGRVEEANDLLTSMQTEPTIAIWVALLSGCLNNKKLELGESIGQKILQLQPDDVGVLALVSNLYAAAKKWDKVREVRKLMKDSSTKKVPGYSLVEVRGIRHVFVMEDQSHPQHQEILKMVAKLDYEMRKMGYVPRTEFVYHDLDERVKEQLLSYHSERLAIAFGLLNTRPGTRLVIIKNLRVCGNCHEAIKYISKIADREIVVRDAKRFHHFKDGACSCGDYW >Et_10A_002311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8420688:8421197:-1 gene:Et_10A_002311 transcript:Et_10A_002311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMEPECLLSGSTGATLDVFSFNVVILEVACSRRPIIVVQDYEEYAIMHLVQWVWEYDLKHFGHVVSSSFDPEYPLGRCHVVEHGLRIEETCFSTSGCELSVKPAGQLYIRAHRQNNN >Et_1A_005949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15969987:15972840:1 gene:Et_1A_005949 transcript:Et_1A_005949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTKPYFSRNGLEKSLGGYWSMQSFQTPASAAGFSTVQTQLLHLNPAPNVSMSTRSPLRSRPFASMYPSTYHTLLAEVFPNRCSVMRDGSRSCSVSVSPLPTPSMTAAPPVCTQKWSTPAFIRMVRAAGGLLAGQAGLECGEGEAEELREREHAGRQDAEVVDECVHGGPWQLLPEVESGPARVVLHLERAVVGLVGGARVGAHHVAEAELGVRPEPRVVGQEAHPPERNRQLPSMKERLLPAYQLGAIDSDVITSATFPACVERDERGAAPHSGEVVHLDVLAEAVPPHDARRQRRRRREHGDVDDEEVDVGGADADAARRGGAGEELVEDGVEGLVHLLHALPEAVGPGLAALDDVARAVRLLADAGVDDDLEQELVLLQAQQLVPLDDLAAQVRRQLAVVRRLVAHVVQQVAAPTPGARHQQAREHRRRAGQQRQRQHRHQLHLARRPEAPSNNQTLLALALIWSSSWQGRRRSR >Et_3A_026731.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:17601108:17603729:-1 gene:Et_3A_026731 transcript:Et_3A_026731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIAVSYGEDGPVFCGLSSEGSHLVTCFGADASVLYGVPSNMPFLGVTAGDGFVCGLLLDTRQPYCWGSNSYVKSGVPQPIIEGAKYSELSAGDNHLCALQASAGGIPGSNGSTSLIDCWGYNMTATHVFSEAVSTISAGSVFNCGLFARNRTVFCWGDETVSGIVGLAPRNVRFQSIGAGGYHVCGVLENAQVFCWGRSLEMQQLAPSSAIGDGDVNVVPMDAMISVVGGRFHACGIRSLDHQVACWGFTLHNSTSPPKGLKMYALVAGDYFTCGLPAETSLMPRCWGNSGGLALPMAVPPGICVPTACSHGYYEYVNHDEVSSSKVCKPANSRLCVPCSASCSEESYESSPCNATADRICQYDCTRCVSDECMSSCLSEKQTNRKLMAFQMRIFVAEIVFAIILVLSVTGIACLYVRHKLRHCHCSNNELRLAKSTAYSFRKDNVKIQPDVDDLKIRRAQEFTYEELEQATGGFSEDSQVGKGSFSCVFKGILRDGTVVAVKRAIKATDVKKSSKEFHNELDLLSRLNHAHLLNLLGYCEDGCERLLVYEFMAHGSLYQHLHGKDPNLKKRLNWARRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEDHNARVADFGLSILGPADSGTPLSELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVVLLEILSGRKAIDMQFEEGNIVEWAVPLIKAGDIFAILDPVLSPPSDVEALKKIASVACKCVRMRGKDRPSMDRVTTALEHALALLMGSPYAEQPILPTEVVLGSSRMHKVSQMSSNHSCSENELADGEDQRIEYRAPSWITFPSVTSSQRRKSSASEADIAGRTTTDGRNAGSSIGDGLRSLEEEISPASPQENLYLQHNF >Et_9B_064435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15162909:15165687:1 gene:Et_9B_064435 transcript:Et_9B_064435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRPGNGELDSWFKSLMLSTTSERGQAENGGGMPTLSGWKDLPMELLMRIMSIVGDERMMIVASGVCTGWRDALGWGVTNLSLSWCKQNMNSLMISLAPKFTKLQVLTLRQNKAQLEDSAVEAVANYCHDLRELDLSRSFGLSDRSLYALAHGCPRLTKLNISGCSNFSDTALIYLTCRCKNLKCLNLCGCVKAATDRALQAIAQNCGQLQSLNLGWCEDVTDKGVTSLASGCPDLRALDLCGCVFITDESVVALANGCPHLRSLGLYFCQNITDRAMYSLANSRVKSKRGRWDKVKAKEEDGLANLNISQCTALTPPAVQAVCDSFPALHTCPERHSLIISGCLSLTSVHCACALHPHRTGRALMPSHAY >Et_5B_043328.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:3631908:3631970:1 gene:Et_5B_043328 transcript:Et_5B_043328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIALGESVGLPSSSCRTS >Et_2B_019288.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:21612702:21613319:-1 gene:Et_2B_019288 transcript:Et_2B_019288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNRMPMPDIADKTPPRAFLPRDIAAKIPFQKEAVSSLFGAAPGTAMAQAVSSTVAECARPPSRGETKRCATSAEDMLDFAVEMLGGGGNIAVRATESTAGGGGDVRLGRVAGGAVTRSVSCHQSLFPYLVYYCHSVPRVRLYEADILDVDTNRKINHGVAICHLDTSDWSPNHGAFIALGGKPGDIEVCHWIFQGDMTWTLAD >Et_7B_055087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7167276:7171146:1 gene:Et_7B_055087 transcript:Et_7B_055087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGMSDSAGGRAGAELMVERFHLKVLHAVLAVRAPRPLAATAAGAGSSSFRRRDKLFLLPLHDPPPPREAAERLDALAPGEPLVVDIHLAPAGAGGGGAVVERWTVACEPWPDTEGEELAVNRAYRHCFTLLRSVYAVLRLLPAYRVFRLLSANQSYNYEMVHRVSSFAVPFTRDEETAMRTHRFVPVETQLGRLVVSVQYLPCLAAFNLEITSLSPSMLITNYVGSPAAEPRRFFPASLTEAAGSAFPPSYQQQRPHSWAPPAFWPHAAAAPQAKFSPPVIHASPTPSPHNFHGGHQQRLTRGESAPVCIPQVGERRSPVHRQNTLDPSRGFMLPPPSPRRVGDLGAAGAQESPSESGRLIGRIEGLRMVDPYASSSPRHKPDIVWADMQGKDSKEESGRFSALSSCDSPRQDDLDDADYPFAVDDVDTPVSQPGSNDGKEVGDQAGSSSHKSQDAQVGSLVHLLKTARPLRDPGYSSQMSRAESSEVASTSSVMSRRTSDALEELQSFREIRERLLSRSSAKHQDPPEKP >Et_6A_047644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:947580:951788:-1 gene:Et_6A_047644 transcript:Et_6A_047644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHHQQHSSPLLPPKRRCSGLAAAVPALVVCSILLPLVFLLGLHRPGYGSEEHSAVVISTELDFGARKHLDGGTMKHKLLKDVSKKKTYGSNGISAEKYSKLKFKNLAVKSKAKLKGAFSFTDLKNDTSRNNGPRTLKRYQLKDLSQGSKDATVNGNENHDQETVPEEKPKSCELEYGSYCLWSVEHREVIKDAVVKRLKDQLFMARAHYPSIAKLKNQERFTRELKQNIQEHERMLSDTIADADLPLLKKLEKMEHTIERAKSCEVGCSSVERKLRQLIDITEDEAYFHTRQSAFLYHLGAQTMPKTHHCLNMRLTVEYFKSTSIQMDRINKLKLESPTFHHYVIFSRNVLAASTTINSTVMNSKDSGSIVFHLFTDAQNFYAMKHWFNRNSYLEATVHVTNIEDHHKISKNVDSLEMQQLWPAEEFRVTIHNHSEHSQRQMKTEYISVFGHSHFLLPNLLPKLNRVVILDDDLIVQKDLSSLWNLNMGDKVIGAVQFCGVRLGELRAYMAEHKFNINSCMWMSGLNVVELERWRDLRITSLYDQLLQKLQKDSLTSQQLKSLPASLIAFEDLIYPLEDSWVQSGLGHDYGINSIDIEQAATLHYNGVMKPWLDLGIHDYKSYWRNYMTTRERFMTECNIH >Et_7A_052926.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2718784:2719158:1 gene:Et_7A_052926 transcript:Et_7A_052926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRQAAAAATLALLLLAAATLSSAAPVASSRKLLHAVSPFCPWDAVKFAACVGVLGAAGLQAGAELESACCKIAYGLAAAEAAACFCTTIKETVLGIPTEWTVGVGVLASACKTELPDGFKCV >Et_4A_034512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:404878:405823:-1 gene:Et_4A_034512 transcript:Et_4A_034512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARETNKRMRVAMLNPGRRALQSTEEDTMSQGSAGGGVAASSGVAVTAAATEAEEEQLGSAETEEHIQRILLAIDNFTRQVSEMLETGRALFKDLAADFEERLCSIHKERVERWEEMIRELRARDATNEQARLILHNAQLHLLHTVRD >Et_2A_018223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18936185:18939318:1 gene:Et_2A_018223 transcript:Et_2A_018223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPSSLASSQLSRLGARDLRGAAAVAPAAPAPQQLRLGCSRRRAQRVVAMAGSGKFFVGGNWKCVSARSASSALHALGVSSLPMTNDVITNGTKDFVSKLVSELNAATLEPDVDVVVAPPFIYIDQVTNSLTDRIEVSAQNVWVGKGGAYTGEISAEQLVDIGCKWVILGHSERRNIIGETDEFIAKKAAYALSQNVKVIACIGELLEEREAGKTFEVCFKQMKAYADSITNWADVVIAYEPVWAIGTGKVASPEQAQEVHAAVRDWLKTNVSPEVASSVRIIYGGSVNAGNCADLAKKEDIDGFLVGGASLKGPDFTTIINSVTSKKVAA >Et_4A_034555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4244964:4245159:-1 gene:Et_4A_034555 transcript:Et_4A_034555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSIWSSWNDRRHGKSTIPVRKVVNWAMETTLHLIHAHHLAKCRDGQAEFAGRNPVGGNCCRR >Et_9A_061029.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:11370474:11370791:1 gene:Et_9A_061029 transcript:Et_9A_061029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECTVAQLFWEQAKQMTGVKLSRLRPDIWACDLLRDSVCSRQDRDVIICEMWSLWTLRNRRRHGENEMPVRQAVTWVCDTAHDLAALAYMEGKSAACHPEMAKAK >Et_1A_009093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2897503:2900902:1 gene:Et_1A_009093 transcript:Et_1A_009093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDRSRESLLPSFLYSSAARSFTGAARLPTSAPAPAAAGGAGGAPISIQAPKEKIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGPRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGALGLYKGLVPLWGRQIPYTMMKFASFETIVEMIYKHAVPTPKSECSKNLQLGISFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKMGLVGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGAAPPPAAPAEVELKASA >Et_5B_045421.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:21944188:21945198:-1 gene:Et_5B_045421 transcript:Et_5B_045421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAAPDAAAKPHVVDECRGVLFVYSDGSVVRRPGPGFATPVRDDGSVEWKDATFDEAHGLGVRLYRPRERGGNGKKKLPVFFYYHGGGFCIGSRTWPNCQNYCLRLAADLGAVVVAPDYRLAPEHRLPAALDDGAAAVLWLAAQAKEGGDPWLAEAADFTRIFISGDSAGGTIAHHLAVRFGSPAGRAELSPTVTIRGYVQLMPFFGGTARTRSEAECPDDAFLNRPLNDRYWRLSLPEGATPDHPVANPIGPGAPALDAVEFAPTLVVVGGRDILRDRAVDYAERLRAAGKPVEVREFEGQQHGFFTIDPWSDASAELMRVVKRFVDSDGRFD >Et_4A_034725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5881380:5881604:-1 gene:Et_4A_034725 transcript:Et_4A_034725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDIFAGCIVTYLLGLWLVSLIFSKEVAWDFVKEWQLFVGESSFPLSSHSYFFVAALLLIWYL >Et_7A_052089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4509915:4510343:-1 gene:Et_7A_052089 transcript:Et_7A_052089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHPYLGLLKPQGKMILLGLPAKPVEISAFALVSGGKTLAGSCMGSIKDTQEMIDFAAEHGVTADIELIGAEDVDRSMERLAKGEVRYRFVIDVGNTLVAA >Et_2B_022392.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19996142:19996684:1 gene:Et_2B_022392 transcript:Et_2B_022392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASSSAPSTSYPNLSFAQAVVMLSINAAAVGISAVIKSATSSSSGRRRDQASSPAPSSTAAPPSPPAAPEKTPAAVVDMDVVLGLMGAAGPASVGFEEAAALFDEEEATVEEARAAFAVFDRDGDGFIDAGELGSVLRSLGFAGAGAAECRRMIDAYDEDKDGRVGFHEFVKLMEGSH >Et_9A_061410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11108342:11114515:-1 gene:Et_9A_061410 transcript:Et_9A_061410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSVQAGAGGGGWWRSRKTMVGLGLGQLVSLLVTATGFASSELSRRGINVPTSQSLLNYLLLAIVYGTILLRRRKPLQMKWYYYLVLGLVDVEANYLVVKSYQYTSLTSVMLLDCWAIPAVIFLTWMFLKTKYRLRKYSGVAICVAGLVLVVFSDVHAGDRAGGTSPVKGDILVIAGATLYAISNVSEEFLVKASDQIELMGMLGLFGALISVCQIGIFERSEIKSIHWNVGAVMPFLGFATAMFLFYSLVPILLKISGATMLNLSLLTSDMWAILIRVFAYHEKVDWMYYVAFAAVAVGLVIYSGDSNNDDRSGQVAEAGDVEGDLNHGACSDEQSINRKFEDGKAPTLKLSENKQ >Et_1A_007800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38401558:38405262:1 gene:Et_1A_007800 transcript:Et_1A_007800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVSARRAQQIPVLRTNGLPRFSLVKMSSLGINQTSHQSNTIVASSPSLGDIKRVDPVVKMCGITSARDAELAVEAGAKLIGMILWPNSKRSVSLSEAKEISRVAQSYGAESVGVFVDDDEETILRVSDSCGLNFVQLHGDESRALVHTLSKNNRIVYVLNADDDGNLINSPPDTEHELDWFLVDSAKGGSGKGFNWQKFQMPYVKSKNGWLLAGGLHADNVCGALSVLKPNGVDVSSGICAPDGIQKDPNRISCFIRSFPQQHSNSRDIQKRSQLEDTSSAFQEQPFMSLFIGFQTKCLRNRISGCPQMVVCRKMKVVVGQSEELEENQKSGLQAAVDLFDTWLEELRCQEWERLGLQMSEVVVLEALGEVVRLVAAWNQVVRLAVAWSQVVRLAAEWKQTARMKESNTELKEQQ >Et_1A_008732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1142951:1144536:-1 gene:Et_1A_008732 transcript:Et_1A_008732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSLICNASPNNHRPRNSDTSRQQKGGSSRGKNKPYQDKDESDNIDEFDSDIMFSKNGPPISLASNSRPQSTSAPGEREKEIVELFKRVQAQLRARGKSREDKKPEPAKVQGERGSVDSLLNLLRKHSVDQRRKGSDDKEQSFDLTRRSNDPVNRQSSTMFGSKNESQEEQKKSPPATFRRPASNFRRRSPVPGVKFQPSINVDKEPDAKNIADNVTDVIQEAEATLDERAATDEPDTVSPYEPDSEIPPANMSMDDFDVISDDESDTDEPNEEYLETSLDSSDTADSDGSHDNSAAESSDLSSLKVAELRELAKSRGIKGYSKMKKEKGWQILHAWFLSLTELCLVVRENV >Et_9A_061070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14106860:14107963:1 gene:Et_9A_061070 transcript:Et_9A_061070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEGSARRGKRVAVAAEAASPLSALADDVLLQILGRLEGDPRDWARASCASPRLAALLGAACLPPRLTRALPAELLPAPPPDGAPAAWAALQKLSVCCPGLRRAGVLLEPSDDFGLEIDIGPDVPFPPALDDARPTRDAAPAAADVAAPDAVWSLYDDLYLDAAYDAEEEEEAATSAAATDASVARRGVVAGSRRRARRWVGPVGAHLASGSWTLSREQGNKLLASRFRGDRLYLCDWPGCVHDEERRKYMVFHGVFHDFAGSRVRAALRATRRPTIAVECAFCGCKETWDLYSAFCLRSFYGYHDDGEPVVRAYVCENGHVAGAWTERPLYS >Et_4B_039524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20108520:20112282:-1 gene:Et_4B_039524 transcript:Et_4B_039524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAIINIVAEKAQVMLLKEMRKSFARRPPPPVIMQRTVVKRQPYDCWKRLGHVVLGWCCVPTGNSDLGRLALENEPVIEQVFVSKSSCSGADFEKQMPLLRLKLIFDSGAKGFLFVKSTNT >Et_1A_006588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2493524:2496741:1 gene:Et_1A_006588 transcript:Et_1A_006588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLTPNGVGATLAGDLNLKPVLQVTDLKSISVNGPPGSAPRFRIVVSDGTATTPTLLASQLCDLALSGLVRRGTIVQLTEYLVNDVQGRKVVVILNMEVLVPECDIIGQPVMVPEPGVSNQNSTRINQINGAPQHGSMTGGGAMNTGTRHTDNAPAIQRPMSGNSSNMATKPSDSAPVFQPTVQPSYRPAPNYKNRGTIMKNEAPARIIPISQLNPYQGRFAIKARVTAKGEIRRYHNAKGDGKVFSFDLLDSDGGEIRVTCFNALVDRFYEAVEVGKVYVISRGNLKAAKKDYNHLNNEWEIFLENQSTVELCPDENCSIPAQRFCFTTIDRIENLDNNAILDVIGVVTSVNPTTTIQRKNGMDAQKRTITLKDMSGRSVEVTMWGDFCNREGSQLQQMVECGVFPVLAVKAGKVNDFSGKSVGTISSSQLLINPDLAEAHSLRQWFDCGGRDASTQSISRDFTPAASRNEIRKTVAQIKDDGLGTGDKPDWVTVKATVTFLKTDSFCYTACPNMIGDRQCNKKVTKSDTGNWLCDKCNQEFPECDYRYLLQIQIQDHTGTTWATAFQESGQELLGCSARELYMFKEHGDARYESTLMQCLHCDYLLRLKVKEETYGDDRRIKNTVAKVERVNPVAESKFMLDGISRLIASY >Et_10B_004485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:949409:953608:-1 gene:Et_10B_004485 transcript:Et_10B_004485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTGSQIGAAQQLLPDEILEDIFIRLDSGPDLARASATCRSFRRVASGHGFLRRFTSLHPPPLLGIFRFTGNEGFHGFHPFEPPHRSAPAARAVAQAADFTFSFLPDPSHWTVRDVRDGRFLLSRANFFNTAFLELAVCDPVFRRYVLIPPIPGDLVPSMWLKDPFLAPPEDDEEKGSGKDSHFLVICNWKSDHKFVSCVFSGVTGKWRGATSYSFSPSNLILKPQGLVRYCACSRIYWVHLSERYMLVLDPREMKFSLDELLLSVRRNNEDGRLGLVTLGPRKLGLGIYSRTCQNNGDRAEAWRHDKFIPLPKPPFCYSFAGAAEGYLLLSGNPFYFDQAKRSWTRFSFDQEERKTPYFAMDLKTSLVEKLYMPSTKLVQAMLHLCIVTY >Et_1A_006489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23128715:23129516:1 gene:Et_1A_006489 transcript:Et_1A_006489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYCALIVAVHKGSVLLQLEWRKATFYSHRGGLSSRKLMLRRLNALACKDGLMLVSGQRCRRKAIPESTHVRRKQNGGANKLAKRTKHSAVWR >Et_3B_028471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15825471:15867148:-1 gene:Et_3B_028471 transcript:Et_3B_028471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METGASSSSSAAAAAAAVSRRRDALARTLASRRLPAGVAAEPGEPVPGAVAPEVMPFIRAAAEVEPCNQRVAFLCRKYAFKKVQRMDPSSIQRGVRQFKTYMSIKLDQDDTQVWGDDAKVIQQFYMEYHASMNQVSERKNFEEMARYYEVASALYEVLRDVTGNEVDPQVIRCAEMVEQKGRHFKNYKYNIIPFNFPGSSEAIVELPEVRGAIDAVHSIDGLPMPHMSSMHWERDEPLPDLLDWLSLAFGFQKPNVENQRENMVLLLANISTRTFGEEGHPLVHTVDELWQKIFGNYHSWCHYLRVNSSIMHDDTEQNKEQRKLLHIGLYLLIWGEASNVRFMPECLCYIFHHMAKQLHEMIDANYFQPPPGFEQEGSFLKNVIEPIFKVLQKEAQKSRGGTTGHSSWRNYDDLNEIFWSEKCFAKLKWPWDLASDFFYQGRGTARKPKTNFVEVRTFLHIYRSFNRMWMFFILAFQAMLIVSWSSSGSLSGITDGTVFRNVLSVFITAALLNFFKVILDILLTVQAWGSMEWTRIIRYLLKFVMAVAWTIILPLTYSSSIRYPSGAGKQLNIFIGNWYYQSVYNTAIVIYIIPDIFAALFFLLPRLQNDMECSNSRAVALLMWWIQPRLYVGRGMHEDILSIFKYVFFWVVLLMSKLAFSFYVEISPLIDPTKFILSQGVGNYEWHQIFPFLPQNLGVIITIWAPIVMVYFMDIQIWYAIFSTAFGGVSGALGHVGEIRNLGMLRARFKSMPDAFSKCNATIQREQVFKRRSFFCVWNSFIKSLREEDFISDRERDILMAPSSSRNLSFPQWPHFLLASKVPAALHMAMNSKEGDEHELIEKLKLDGDRYNAVIECYESLIIILDSLLLDSNDRKIVRDINTKVSLSMRNKTFLEDFQMAEIGKVCATLAKLLQLLKSEPINGSADRKIVNALQDFMEIMTRDFMKNEQSILKDENERKQSFTNLNMDMTKEDYWREQFARLHLLLTMKDSAMDVPRNLDARRRITFFANSLFMKMPKAPNVQAMISFSVLTPYYNEEVLYPAHELNKKNEDGISNLFYLQKIYPDEWNNFLERIGVKPDDVEATNGYMDDIRLWASYRGQTLARTVRGMMYYRRALEQQCYEDLRNDQDIKFSYVVSCQLYGMHKSSKDSREKGLYENILSLMLTYPALRIAYIDEKEVPLPNGKIEKQYYSVLVKGHDEEIYRIRLPGKPTEVGEGKPNNQNHAIIFTRGEALQAIDMNQDNYLEEAFKMRNLLEEFLTKQGESKPKILGAQTSFVTIGQRVLANPLKVRFHYGHPDVFDRLFHITRGGISKASKVINLSEDIFAGFNSTLRGGNITHHEYIQFGKGRDVGMNQISNFEAKVANGNGEQTLCRDIYRLGHRFDFYRMLSLYVTTVGSYFNRMMVVLTVYVFLHGRLYLVLSGLEKSIHQDPGIQNIKPFENALATQSVFQLGMLLVLLVMIEVGLEKGFGRALAEFVFSTFHLGTKTHYYGKTILHGGAKYRATGRGFVVLHAKFAENYRMYSRSHFVKALELLILLVVYLAYGSSYRSSSLYLYVTISIWFLVFCWLFAPFVFNPSCFEWHKTVDDWNDWWKWMGNRGGIGLAPEQSWEAWWVSEYDHLKNATIRSLALEFILSLRFLIYQYGIVYHLHIVHENKSFMIFTSDIFPQIYVLSWLVIVTALVSLKSECASFQIVSLGREKFVPRFHLVFRAVRGIVFLALIRLLVLLFVIFDLSISDVGASILAFIPTGWFILQIAQLCGPLFRRLIIEPLGLLCCPCGTGGGCRGPCCAKFRQRTGAVLRKMGPWDSIQEMARMYEYTMGLLIFLPIGTGAASSSSAAAAAAVSRRRDALARTLASRRLPAGVAAEPGEPVPGAVAPEVMPFIRAADEVEPRNQRVAFLCRKYAFKKVQRMDPSSIQRGVRQFKTYMSIKLDQDDTQVWGNDAKVIQQFYREYCASMNQVSERKNFEEMARYYEVASALYEVLRDVTGNEVDPQVKRIAEMVEQKGRHFKNYKYNIIPLNFPGSSEAIVELPEIRGAIDAISNIDGLPMPHMSSMHWERDKPVRDLLDWLSLAFGFQKSNVENQRENMVLLLANISTRTFGEEGHPLVHTVDELWRKIFGNYQSWCRYLRVNSNIMQDDTEQNKQQRKLLHIGLYLLIWGEASNVRFMPECLCYIFHHMAKQMHEMIDANFFQPPPVFEQEGSFLKNVIEPIFKVLQKESQKSRGGTAGHSSWRNYDDLNEIFWSEKCFAKLKWPWDLTSNFFYHGSGTAMKPKTNFVEVRTFLHIYRSFNRMWMFFILAFQAMLIVSWSSSGSLSGITDGTVFRNVLSIFITAALLNFIKVILDILLTIQAWGSMEWTRIIRYLLKFVMAIAWTIILPLAYSSSLRYPSGAGKLLNSFIGNWHNQSAYNVAIVIYMIPDIFAALFFLLPQLQNVMERSNSRVVAFLMWWIQPRLYVGRGMHEDILSIFKYVFFWVILLISKLAFSFYVEISPLIDPTKFILSQGVGNYEWHQIFPFLPRNLGVVITIWAPIVMVYFMDIQIWYAIFSTAFGGVSGALGHVGEIRTLGMLRARFKSMPDAFSKCNAAIQREQVLKRRSFFCVWNSFIKSLREEDFISNRERDILMAPSSSSNLSVPQWPPFLLASKVPAALHMAMNSKEGDEHELIEKLKLDGDRYNAVIECYESLMIILDSLLLDSNDRKIVHDIDKKVSLSMRNKTFLEDFQMAEIGKVCGTLAKLLHLLKSEPINGSADRKVVNALQDFMEITTRDFMKDGQSILKDENERKQSFTNLNINMIKEEFWREQFARLHLLLTMKDSAMDVPRNLDARRRITFFANSLFMKMPKAPNVHDMISFSVLTPYYNEEVLYSAHELNKKNEDGISILFYLQKIYPDEWNNFLERIGVETDDGEVIKGYMDDIRLWASYRGQTLARTVRGMMYYRRALEQQCYEDLRNDQANLGGEESARSKAIADIKFTYVVSCQLYGVHKASKDSREKGLYENILNLMLTYPALRIAYIDEKEVPLPNGKIEKQYYSVLVKGHDEEIYRIRLPGKPTEVGEGKPNNQNHAIIFTRGEALQAIDMNQDNYLEEAFKMRNLLEEFLIKHGNVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRLFHITRGGISKASKVINLSEDIFAGFNSTLRGGNITHHEYIQLGKGRDVGMNQISNFEAKVANGNGEQTLCRDIYRLGHRFDFYRMLSLYFTTVGFYFNSMVAVLTVYVFLYGRLYLVLSGLEKSILRDPAIQNIKPFENALATQSVFQLGMLLVLPMMIEVGLEKGFGRALAEFVIMQLQLAPVFFTFHLGTKTHYYGRTILHGGAKYRATGRGFVVLHAKFAENYRMYSRSHFVKALELLILLVVYLAYGSSYRSSSLYLYVTISIWFLVFCWLFAPFVFNPSCFEWHKTVDDWNDWWKWMGNRGGIGLAPEQSWEAWWVSEYDHLKNATIRSLALEFILSLRFLIYQYGIVYHLHIVHENKSFMIYALSWLVIVTALVSLKIVSLGREKFVTRFQLVFRVLKGIVFLVLIGLLVLLFVGFDLAISDVGASILAFIPTGWFILQIAQLCGPLFRRLIIEPLGILCCPCGTGGGCRGPCCAKFRQRTGAVLRKMGPWDSIQEMARMYEYTMGLLIFLPIGVLSWFPFISEFQTRLLFNQAFSRGLQISRILAGQNGSSSKRD >Et_5B_045710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:845517:847705:1 gene:Et_5B_045710 transcript:Et_5B_045710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSDTRGVRHVKCPRCHSVLQEPGVPVYQCGGCGATLRAKNRSGESPSRSSLPPQGRHLGSGDVASTSSRSSTPTPDVRSSEQQATGTRSRVESGDLVSERRHGSGDVASTSSTPDATRSSRQHATAGTTSRRESGDLLSARKPGSGGVASTSSTPEGDSGRRQAGTDTAIQRERSDLSSARNQVPLIERKEEHDRNTNRGVDHSSEFPSQRYAVDAERRASSPSISVSHNRGSKDATSEWQADAEKRSKSQTESPDAARKKHSGDQAAVQPVQVRVPEDPAPKSAQVSEPQPSRDAAPAVAGGKAPSPPRHDLQAEDLAPLRQKILKTVDELRGDLSELFRKSPDLNPTKTTRARPPRLPNKQEGHASRGAAASTLPARGARRHADHRGGSAARPIKPGQVAAAAPPPRGLPSRRYRRCRADTCGDGAQPMSCHHGCCRHSIKPECSSCRGHCCRPVRAAQEPPAGANKRRPPPRHHCRPVLKGAPFIICSSCFKLVQVPADFAVATNRLRKLRCGACSAVLSYSYRDPARKKKAQEDSVDEFSADQVHEGAKGYDVDDPFAPFDVDAFGLSSYSTTEDEQTPPLHVSRNTSFDTVDGAKRAGRLHRLMGYGSASELLRHSPDLYESFSERTTTPDYDRKGKSVCVDDAEDDRDLDDDSDDEDDSGVLKRSAARGAVWPLPGQGAIRIKS >Et_1A_009028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2617320:2618959:1 gene:Et_1A_009028 transcript:Et_1A_009028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMLLPSRAVTGRPLLPATRLRRAGVVARPSAASSGNVEVADATAASSASTSGDGKQEWRVGAQGLELDLSGEMRRGMMWRMVAAPAAAVAADAAFLRLLDRAAPADVPGWAAAAGSAALFAVGLIGAHYGFLSSRWDPVEEGSLVGWDLAVRHWKVMSVAKDSKNSKGYAGKPNCFGQETKRMKPVYGKKMCVPELDNGDVNQTCQALSISQEWKLRKGSK >Et_6B_048400.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18607743:18608108:1 gene:Et_6B_048400 transcript:Et_6B_048400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KSCRSGGQYRGEAGGGCEEGDKEGEGEAGVHQQASGSVTRYMKPLVPFPEELMDPDRVAPQTRRLPRCDGSSSSPPQGVPRQGGGHPRAVPRQGARGTPRSRPRTMRRTWWPSGRGGIGRL >Et_1A_007170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31544609:31548122:-1 gene:Et_1A_007170 transcript:Et_1A_007170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDESAAAFEAALGLNPRHFIDGVLDMVDDIGRDAFQFTRQLRPLHISALANPGLAEAATPGVLGPATADEKAAELERGLNAFRHAVFDILDKRMTSWEKYCLRNIFSLPKGFVLPEDDNSCAKEWQEDGTSDSDLLDQELDLLRKNLESANKESEYLRREMSSLERETTYKRELDSSIAEIQKLFEEKSVQQNFEELAKAIPVLQHKITSLNQKRTVSGSFVDQEVLNMNGIRTSKRLALDKDFTARTEDVQEIVNILQNK >Et_2B_021236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27764787:27765671:-1 gene:Et_2B_021236 transcript:Et_2B_021236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTNSAASPAVSGLDYDDTALTLALPGSSADPAADRKRSHADHDKPPSPKARAVGWPPVRAYRRNALREEGAGCKLVKVAVDGAPYLRKVDLAAHDGYAALLRALHTMFASCLAVRGAGADGVGKLVDSATGAEYVPTYEDKDGDWMLVGDVPFKMFVDSCKRIRLMKSSEAVSLSPRSSSQ >Et_1B_013495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:899101:900513:-1 gene:Et_1B_013495 transcript:Et_1B_013495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMSKANTNGEPQLVCVTGAGGFIGSWVVRELLVRGYRVRGTARDPGDSKNAHLLALEGAEERLSLCRADVLDYDSLRAAFRGCHGVFHVASPVSNDPELVPVAVEGTRNVINAAADEGARRVVFTSSYGAVHMDPNRSPDAVLDETCWSDYEFCKKTDNLYCCAKMMAEITATEEAAKRGLQLSVVVPCMTMGPMLQQTLNFSSNHVARYLMGTKKSFPNAVAAYVDVRDVARAHVLAYERPAARGRYLCIGTVLHRAQFIGLLRELFPQYPVTAKCEDDGMPMAKPYKFSSQRIKDLGLEFTPLKKSLYEAVICMQQKGHLPVIAQQQRAYL >Et_9B_063638.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14647472:14649193:1 gene:Et_9B_063638 transcript:Et_9B_063638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKVVSQVGHHDEGDEPVVVVDPAPVDVVLEPVAAAPRGALHDRDEHRAQVAPEGAAEPEQRDGRAAHALGCLVVQELHLPHGREGVGDAVDRVLRYQPEHAHRDDALRGVQEPVLDGGASPPGFDERRRGHAEDGDGEADAHALEVGDPGRQARDAARQGHEHAVVADDADERGTRREDGQRRRRDLHGPGDVALHGARLHDGEGAVVRAGGGKDDAGRPDGQHAGDGLELLHSVHRRHAPQVRLAGVRYVPRLDDRRPVQAPYYKSNFFGSELDRVVFDFSGVAECEACHVHGLALLDVIGVQEPAFGGDGRVELLVLVQHAGVLRRGGVALPDRGDKDLRDPRERAAPGLGADVERVRDHEHHDGDEHGDGRDPEPPPPPHVLLDVHHQRQRQQRRRPHGEEVDVEVAPLPVGPGLGAGLLVVELVGAERHDAGPDPAGAEAHAEHGDVQHGELPRGRALAVGGRRRAARRRPERRDDGGHDEAQHADLVEEGAGDDAPEAADEGVCDERAEDHREAGRAAEVGQRVGRVHQRHVQLLRQVRDQVGVEAGRGELVADLVCCNGRTSRS >Et_5B_043937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1847352:1851508:-1 gene:Et_5B_043937 transcript:Et_5B_043937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPQEKGKKWGLRREDFPCCRCSRPTHLPGRRRGGIGGSEMVKRRRSAAPAGEELVSLDDGCLMHIFSFLSPIPDRYNTALVCHRWRFLACHPQLWLRVERPIRDVMEPGVYPSLDAAVAAARPGDTIFIASGGTHIARNIQIKKPICIIGGGELPDDTVLTCSRGSDNALELLSTCKIANLTIRAELGCCLLHRSGKLTIEECLLQCEQNPLDYLSFPIISTAIEYDSLPSLKERGHGVTVVHTRIEGGAKAVRTNGTLALQHVRAIYSRSSVFFWFEVGEKLRMCPLPKLALVAKAELPLSVSPLEDIRYTFL >Et_10A_001486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:405051:405907:1 gene:Et_10A_001486 transcript:Et_10A_001486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSCHARKLSELLEEQQEPFLVHRGGAPQCRSVAKVCRRRLRGLCDRGSVSERGRRGVDVSTGGKAVRMALRWDLASCFSCGEIGSDCDVGTEFGDSETRQLSPVSVLQLQSDELSNWDEEDDSKPSTSAGSPPCSHDPCGATSPRFTFYSNSGGKVRAMEGEGNIKFQTTSGVSMEKTEQTVVFAWERIAADISRIPRLVELDLSASAREWRRRIGEEEARLVGESLEAMIFEEVRWEAMRDMLCLRVCVHSESRA >Et_2A_017406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3459716:3460618:-1 gene:Et_2A_017406 transcript:Et_2A_017406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVECARRRRLRQGAAAGDAGGGTRRPSFCLYAAGHGGGHPAGAGNPAKQRSGVMEMIHGWTLDSNAREAKERLDQKLRSKREAVIKRHHSTGSIKLSRAPGSGCSGDGEGSSTSSSAAVSGVQREVYQKKGVMRRLMRWGRPRWDAAGQAECAVCLDDFRAGDVLAHLPCGHRFHWACALPWLQGTSRCPFCRAAVDGATGNHHHAAAAAS >Et_4A_033560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24404895:24408252:-1 gene:Et_4A_033560 transcript:Et_4A_033560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGAVLLLAAALVACAGVLGAGAAAGGAKNWLGGLSRASFPKGFVFGTATSAYQVEGAAATNGRGPSIWDALAHTPGNIAGNQNADVTVDQYHRYKADVDLMKSLNFDAYRFSISWSRIFPDGEGKVNQEGVAYYNNLINYLLQKGITPYVNLYHYDLPLALEKKYGGWLSSKTNELFADYSDFCFKTFGDRVKHWFTFNEPRIVSLLGYDVGSNPPQRCTKCANGGNSATEPYIVAHNFLLAHAAAVARYRTKYQAAQKGKVGIVLDFNWYEALTNSTEDQAAAQRARDFHIGWFVDPLINGHYPQIMQDLVKERLPKFTPEQAKLVKGSADYIGINQYTASYMKGQKLLQQTPTSYSNDWQVQYVFAKNGKPIGPQANSNWLYIVPWGMYGCVNYLKQKYGNPTVYITENGMDQPGNLTRDQYLKDATRVRFYRSYLGELKKAIDQGANVSGYFAWSLLDNFEWLSGYTSKFGIVYVDFNTLERHPKASAYWFRDMLQH >Et_9B_065902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17025366:17030025:1 gene:Et_9B_065902 transcript:Et_9B_065902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVATGPPPARLPAASRVDKATSHLLQGPDWAVNLEICDTLNADRWQTKDVVKAVKKRLQNKDPKVQFFTLTLLETMMKNCGEYVHFEVVEQHVLQEMVKLVQKRHDMQVRDKALLLLDSWQEAFGGPGGKYPQYYWAYIELKRSGVMFPRRPADAPPIFTPPATQHSQAYGSPRYPSGSLNERMTSDVQTLSLEGLNNIRNATELLCDMVNALNPADRMAVKDEIITDLVSQCRSNQQKLMQLVSSTGDEELLKQGLEINDRLQSILAKHDAIASGSPLPVETTSQEELHREDPVPQPSTPPIAKDKVVDEDEDDEFAQIARRKNKSVISSDEASSSVGDQALVPLDPALSEVSSSVASNAIVPLDSSSSSGTRTKEQDMIDLLSLTLYSPPEASQDSSTQNGSQQSPVSNGTEVLPNHQPAAVDGPNYASNNQAYPTNQGYAPYNNYVAPWAQTGVTGQGTQPGAYPTQPSQYASSFLAPPWATPATANSTNPFQPATYQMPNPPAASVAPPATYSAPPQSYATPSLQHVSSPTSRAMQIYNPYASQTNNGPSISSDARMNGNQRPKETPAVAPKPYYMPDNLFGDLVDVKSFCTGNKMNRSTSMPSPKGGGQPMIGGKK >Et_4A_034198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30763659:30768027:1 gene:Et_4A_034198 transcript:Et_4A_034198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGARIKDGSPHPGASGMFSKSGGKDGSRLSGCSSRASSASMPPTAKTECEILQSANVKVFSFSNLKAATRNFRPDSVLGEGGFGSVYKGWIDENTLSACRPGTGIAVAVKRLNQEGLQGHREWLAEVNYLGQFCHPNLVKLIGYCVEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRMKVALGAAKGLAYLHSAEAKVIYRDFKTSNILLDTDYSAKLSDFGLAKDGPVGEKSHVSTRVMGTYGYAAPEYLSTGHLTAKSDIYSFGVVLLEMLSGRRAIDKNRPQGEHNLVEWARPYLTHKRKIFRVLDTRLEGQYSLRGAQTIATLALECLSYDAKMRPSMDAVVTILEELQDSSEAEKNQESKGSTKQAPAISASKSSRKPRRKSLGGTKETGPGPKSRPVVHSR >Et_4B_039854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4181930:4186951:1 gene:Et_4B_039854 transcript:Et_4B_039854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTSNSRAQGFEAQYCTLDSSSADCVYPANSSTSSQSISGSPLSQQESHSDHAYGSSPSASCVTQVPDFDATLRDLENMMFGPDCDIVSSDSTLLPGMALHQNNWRELLGIKSGDLKQFGYMSANGAIAEAVKGENFIHIIDFQIAQGSQWTTMIQALAARPGMRPHLRITGIDDSDSIHARGGGLDIVGRRLQSVAQSCGLSFEFNAVPAASHEVMFEHLAVRPGEAIAVNFAYQLHHTPDESVGIENHRDRILRMVKSLSPRVVTLVEQEANTNTAPFFLRYMETLDYYTAMFEAIDVARPRDDKKRMNAEQHCVARDIVNLIACEGAERVERHEPFGKWRARLAMAGFRQYPLSPVVNNTIKTLLDSYHTYYRLEERDGVLYLGWKNRKLVRQGTKCRACGNI >Et_3A_025797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3369453:3380308:1 gene:Et_3A_025797 transcript:Et_3A_025797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALPAAALGVWRRSRGGGSSAPRRLVPRRVSTATGGDGTVAFKKTHRKLAAAPPKAATASGSDFNVESYGAVGDGRTDDTKAFLNAWAKACASPQPAVLRVPAGKKYLVREIPMSGPCKSQVTFQVDGTLVAPQDKSNWNKNGYPHWVSFTKPDRNRGLAGDLIGRLVSFLVGKYQEHGATNDAVRLQRALIRARVVVEEAEGRQIANRAMLLQLGQLRRELCRAAYALDAFRCRAVDPRRRSHDTVRSSDDAGALSVVVGSLEVTLRDMREFVVLLGSCPRVTRQPYSAYLFVENCMFGRQMEKEDIIGFLLSQPSREDHLDVLPIVGPHGVGKRTLVEHVCHDERVRERFAKVHRLGSDELDLHHRSLVDITTTRSLMVVEIIDGDVDAEESWRRFLSAARRRAHGGSKVIVISRADTHAGGLGTVPPLRLLAPRREELWYLFRALAFGAADPDHRPDLARVAMEICAGVCDFALFAAAAIVAASLRADLSARSWRRVLKVYAEATVVQLGDADEGIDGDAAGYYYLCRPVKDAPGEPCLFYNRRKLSGGVDRSELPKVSMLELLAGGSLPPAGEARFDVLMWQSRIPPYGNYVATCDVERALRLVASEKQAPGRKRRRDQHDGPQRAPMNALLSAVGSDLVSRLVSFLVGRYQEPGATNDAAATRSAPSPRRRGGGRGPADRQPGDAAAAQLRRELCRAAYALDAFRCRAVDPRRRSHATASRSRSHTRLTGADVLSVTVESLEAAPSRGDGLDVLPVIGPRGVGKRTLVEHVCLDERVRGRFAKIHRLSSDELTDLRLVDVDVTVRSLTVVYIADDAADAAEESWRSFQSAVARLRHAHSIGSKVIVISRTEAPSSSLRMTGTASPLRLRAPPREELWYFFRALAFGAASPDDRPDLARVAMALCAHVCDRASLALFAAASIVSASLRADMSGRAWRRVLKVYADAKVVQLGGDAAAGYYYPCGPCLFYNRRELSGGVARSELLPKVTMTEPLTGGSLHPDGEARFDVLMWQSRIPPYDVERTRQVVAAGEKQAPAARKRRRDRHDGHQRGARAPVDARPAPGSTHRRLVPRRMLSTNAPESVLATDGDATAAFKKTGPSMHRKLAAARKGTPESGGSGDLNVESFGAAGDGKTDDTKAFTSAWAKACSSAQRAVILVPAGKKYLIKETPLSGPCMSQVTFQIDGTLVAPEDKSNWNKNGYPHWVSFTNVDSLTVVGKGTLDGTGKSSWKNSCRTNHKRPCTFAPAALTFTACNHLKVQNITLVNSPQIHLLIQNSKDVTLSYLTITSPGNSPEADGIHISHTEDIKIIKPVIKAGDDCISIAAGTKNLYAYKVECGPGHGISIGSLGNIKSEAQVSNITIDTAHLTGTMYGARIKTWQGGSGYAKDIKFLNMVMDNVKNPIYIDQFYCTQPNPSKPKPCEEQKSAVQISNILFKNIKGTSATKDVINLHCSKTFPCRDVVLEDIDLKMKSGGKKNAAMSSCENVMLSKSSSVSPTPCTSVATKHDQTPEEYTD >Et_1B_012344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31067052:31071734:1 gene:Et_1B_012344 transcript:Et_1B_012344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNGESHLKEPLLPPSVGGSGASPAKASSRKERKTRKAVFTVRGMSCASCAVSIETVVAALKGVESIQVSVLQGQAVVQYRPEETDARTIKEAIEDLNYEVDELQEQEIAVCRLRIKGMACTSCSESIERALQMVPGVKKAVVGLALEEAKVHFDPNVTSRDLIVEAVEDAGFGADLISSGEDVNRVHLKLQGVNSPEDTKLIQSVLEATDGVTNVEWDTAEQTVKVAYDPDVTGPRLLIQRIQDAAQPPKCFNASLYSPPKQREAERNHEIKNYRNQFLWSCLFSIPVFLFSMVLPMVSPFEDWLSYKICNNLTIGMLLRWLLCSPVQFIIGWRFYIGAYHALKRGYSNMDVLVALGTNAAYFYSVYIILKALTSKSFEGNDFFETSAMLISFILLGKYLEVVAKGKTSDALSKLTQLAPETACLLNLDKDGNAISETEISTQLLQRNDVIKIVPGEKVPVDGVVIKGQSHVNESMITGEARPIAKKPGDRVIGGTVNDNGCIIVKVTHVGSETALSQIVQLVEAAQLARAPVQKLADKISRFFVPTVVVAAFLTWLGWFIPGQLHLYPRQWIPVGMDSFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNALEKAHKIKSIIFDKTGTLTVGKPSVVQTKIFSKTPLLELCDLAASAEANSEHPLSKAIVEHTKKLREQYAVNSDHMMDSKDFEVHPGAGVSANVEGKLVLVGNKRLMQEFEVPLSTEVETYMSEMEELARTCVLVAIDRVICGALAVSDPLKPEAGRVISHLRSMGISSILVTGDNWATAKSIAKEVGISSVFAETDPVGKAEKIKDLQMQGLTVAMVGDGINDSPALAAADVGMAIGAGTDVAIEAADIVLMKSSLEDVITAIDLSRKTLSRIRLNYVWALGYNILGMPVAAGVLFPFTGIRLPPWLAGACMAASSVSVVCSSLLLQLYKKPLHIEAVPVTGDGSDLSV >Et_6B_049858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15465335:15465765:1 gene:Et_6B_049858 transcript:Et_6B_049858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCGSSCKCGSSCKCGKMYPDLEEKQSSGAQATVVLGVAPEHKAQFEAAAESGETAHACGCGNGCKCNPCNC >Et_2A_017985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8763604:8765203:1 gene:Et_2A_017985 transcript:Et_2A_017985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTWSWSPARLRPSSCPSIPDRAGPILSDELFHFGPVSLKAHGLSHALGLRRPAFQSCAVGSSPPVAMAALAPRLLRRRFFIPAASVTCVSVSRDLLHGCESSSPSVARSLGDPERETSGRRCWWILQPVRCGSTSTAVTLNTDGGFARFAVGDTGSKQKAGQRQQLPAKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEIPGTPEPVHDPEILTEEEKFYLKRTGEKKKNYVPVGRRGVFGGVILNMHLHWKKHETVKIVCKPCRPGQVYDYAEELTRLSKGTVINIKPDNTIIFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDFQKHVALFKNRDAAASKKISYEDTAVNDHATTSDSE >Et_8A_057296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23286587:23291777:1 gene:Et_8A_057296 transcript:Et_8A_057296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSPIRPILHSSLSLVRHQGRKAEPETNDRSQAKRSPHHPLAVESNRIEGTRAAAAAMGIGEHFEGVKQHWARNFAFLDYFKKVYGRAEPLPKWSDADVEEFIASDPVYGPQLKALRESRKFALAGALVGAAHLGGVAFKYSKAPHGIVLATGFGALTGAVVGSEVAEHWYQLYKMDKQGANLRFIYWWEDKVAGLSVFRFHLLLSAPHILICHDPVSTVSTPLLLIHKDNTRSKTQQMRERWVPSGGEVIELAVRGEDDDADVGVAKHGELPSLLQQPRPALAEGHLTVHRVLDAAQLDLASRHGCH >Et_1B_009951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13861771:13862430:1 gene:Et_1B_009951 transcript:Et_1B_009951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKEATNRTTSGARRRPPAAAEAAPAQATVAEGAATSASGAAHSATATATAAERSLLRRPVQPAADHQRPLRRPAGKLRLAPPRRSVLLRGVEEEAHRAVRRLRRAVHDDVAPQGPRAGEEAPEQAGVPRRADERAVPGVQRAPLRRAQRRAALRRQAAPMAAQRWSLKWSVFNLVPTHALWSHSHFCYVMRGVL >Et_9B_063971.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:7659892:7660272:1 gene:Et_9B_063971 transcript:Et_9B_063971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRISQAPHTLLILTRARATELQTKVNLFLSTLNYEINENNLLPNGCTLLVLNYEGLTSLEDEEQDSGANLCLTVHVHVDGIMREEREAGSRCQDADLPRGETYLSCSRRTQGDRVTGLQDMDVTS >Et_4A_035317.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:19713925:19714149:1 gene:Et_4A_035317 transcript:Et_4A_035317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRRSSKSSRTADGATGARVPEPLRDHRVAAKFYNVKMLAKEYWGVSVEAARGNPGSSAMHGSVQAGLRLCPS >Et_9B_065893.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:16886444:16887718:1 gene:Et_9B_065893 transcript:Et_9B_065893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVANGGFNFNDRRRRKLSNGHVVAKLLESPLPTPRRSCCGPAADAPAAPRRASAADASSSPQRRLVPFSWETSPGVPKNAAVGGKVHQVLPPKPPPGRFGAGGAPYSRGACHENTTTDASSNDDKDGEDTFSDALDRTSSSDRLAALSACLSAIDGAAGSRRSPSFIMDRFLPAANAMATTSADKHPRRRSSPRRGVRRSRSSKEDDEEAAARARARSDLAREHAKPSPPCQSKDLAVAQAPRVNEEEDQGDETASSARACGLMFFFPWRAKPVLLGFPLPAARSSSRTTSNASVASVTAAPSPSPPHRSITLGDVLEKERKLRDEERSDKDKDGPSSLRWGTALLGTSKRYCADAARKALGRLARSATDGGGDGPRPRRERSGGGKQAALRSMSGKMPPLSPPSESWLSRARRSNAASNKR >Et_1B_009940.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:12980871:12981200:-1 gene:Et_1B_009940 transcript:Et_1B_009940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDAAAISRSARLQGTGSDELGQSLRIYLPVTGKVPFEWEDEPGKPKSSSARLDDIPPLCPSPAMQSARLTRGRRRKAGASKHSAETGGFEGCIPLRFHWGRAMKRWM >Et_4B_038648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3745306:3749206:1 gene:Et_4B_038648 transcript:Et_4B_038648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSTAGEAVKSPTSAASGLRAGFIVKLNAACLAFFLLAYTALLLHPKYSYLLDRSAASLVRCTFRDAACPPSSSSQLSRKLGGVPANKMVAATERVVNAGRAPAMFDDLRGRLRMGLVNIGRDELLPLGVEGDAVRVELERVSDVFRWSDLFPEWIDEEEDDEGPSCPELPMPDLGGDGDLDVVVAALPCNRTAPDGWNRDVFRLQVHLAAAHVAARKGRRGSGDGRVRVVLRSECEPMMDVFPCDEMVGKEANWWMYRVDVHRLEEKLRLPVGSCNLALPLWGSGGIHEVFDVSEVASSSSSGRPRREAYATVLHSSDTYLCGAIVLAQSIRRAGSTRDLILLHDHTVSKPALRALTAAGWTPRKIKRIRNPRAARGTYNEYNYSKFRLWQLTEYDRVVFVDADILVLRNLDALFAFPQLSAVGNDGSLFNSGVMVIEPSACTFDALIRNRRAIRSYNGGDQGFLNEVFVWWHRLPRRVNYLKNFWANTTGERALKERLFRADPPEVWSVHYLGMKPWTCYRDYDCNWNVADQRVYASDEAHARWWQVYDQMGEAMRGPCRLSERRKVEIAWDRHVAEEIGFADQHWKINITDPRKWD >Et_8A_056340.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23687791:23688027:-1 gene:Et_8A_056340 transcript:Et_8A_056340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RNNKIFENKTPSSKLGRKASTITVNYKLIELKIVIEQPSSLGLTLLYSLYIVFCSEEYAYCGKTDADVPSISFRLFAF >Et_4A_034590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4660910:4664291:1 gene:Et_4A_034590 transcript:Et_4A_034590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLLRADKGGNPDLVRESQRKRFASVELVDEAIAADEAWRKRQFELDKIRQELNATSKKIGKLKASKQEEEAKKLMESTDEIKKRLAAKEVEVQEAKGTLDAKLMTIGNIVPESVPVSDDEANNLIVRTSGEKRLEENLKNHVDLCRMLDIVALEKGADVAGGRGFYLKDEGVLLNLALINFGLAFLRKRGFKPMQTPFFMRKETMGKCAQLAQFDEELYKVTGDGEDKYLIATSEQPLCAYHLEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNGNDSWEMHEEMIKNSEDFYKELGLPYQVVSIVSGALNDAAAKKYDLEAWFPASKTFRELVSCSNCTDYQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENYQKEDGVEVPKALQPYMGGIEFLPFKQPLDSKQAADTKSKSKSKVFLCLCSLVSRENILCRVLPFWVLC >Et_7B_055700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22762121:22780529:1 gene:Et_7B_055700 transcript:Et_7B_055700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEVSVKMMVSYGGEIRRCPESGKARYAGGENRLVRIGMSERLRDLRARLASLAGYSDVRIRYAIAADGLDTLRDVVSEHDLWRLVTLLYCCSAGVVAGGSRVRAFLFPVAADDSPVALFPPVPEQESVSTPSMPRAKPKGSLILSVEHAAAASLPTAQSTGSSLYAAGSSSSAAPSREGTGSLIQDDASGFEALLAEQTRSSKKMTCPSSSSADSGFEALAAIAAEQSSSESSSSGASTAGGQAAGNGLEALAAVAQERKVLACPMILVPVVALRLGRILPGRPGYRISRAASQQTDMRHRLPHATRTPVRRRPRSPQPDRCPAPNRCPRLVHLAVMQLSTNRRLAQHRRAAAPVRPLPATPLEILATKRCRHPMARRAVISHCSNHGRSNTSLQLFITRLNRQDQDTTPMPQSHHKINPEEQVKALREEEKAGNTAAGNTNRGWTARNQLYVKPMILLKLKLYRHQCQLQPQESYVI >Et_2A_016590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26377607:26389013:-1 gene:Et_2A_016590 transcript:Et_2A_016590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FGHHQTRESFTIHERREEGNLLNHCQWKAAEGKQEQARKEGEREKAGGLFFVGLDPSLLRGNKEQEEKMFPPGLIHHRQDGPAPGGGPSLVLTADPKPRLRWTADLHERFVDAVAQLGGPEKATPKTILRTMGVKGLTLFHLKSHLQKYRLGKQSGKEDSEQTKDGKTKYYIHCAKVELSVIITSCRSQEVKEALRAQMEVQRRLHEQVEVQKRVQIRMDALQKYIDTILESACKLVTEQFASSGFSISEPDLPDLSPGGIMCGPNDTLSSSVFNQLSVSSIDSHSPGGKPSPSGIEGPPLLLQKSPELKRSFGQTHTDSAANQQQMMLAGNNNNGANNNANNAARQRLRWTNELHERFVEAVTQLGGPDRATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYIPDSSTDGNKADNKDPGDLLAGLEGSSGLQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRLTGVKSETPVAGASRTEPSTPAPTSESPTQGPSNGDNGGRNEAPKSPCHDDSLSHHEPLTPDSSHQPGSPTASPNHERAAKRQRGSGTEFSEADFSLPRHIFESSSGPEFQQYSIKKAAAAWESRLSRCGTGSKGKSSNMTRFCGRITPPMKVDFKVLWEDHTTDERYVCSGPEVKDRC >Et_2A_017254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32510813:32512483:1 gene:Et_2A_017254 transcript:Et_2A_017254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQEKASEWSGVAAADAFAIDDGNIFEALGGTPQPFVDLSTNFYTRVYEDEEEWFREIFAGSKKEDAIQNQYEFLVQRMGGPPLFSQRRGHPALIGRHRPFPVTHRAAERWLHHIQQALDTTASINADSKTKMMNFFRHTAYFLVAGNEMTRQQGQGVTCKHATSKPAE >Et_1B_011730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25426189:25430909:-1 gene:Et_1B_011730 transcript:Et_1B_011730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNDGLGYRYGGSRSIPARLFHVSDSDQAQYSIPPTRPGKSVADASTHNCKTPGPHTPVRSVAGAARRPRFSFRRRRKREGGREMAGSVDLPVVDLASPDVRAAAASVRQACVEHGFFYVSNHGVDAALLEALFAESRKFFEQPMEGKMALQRGSNHRGYTPPYAEKLDAASKFEGDLKESFYIGRDLNQWPSEERFPSWKDTMKQYWSSALAVGERILSLIALSLDLDAEFFQKNGALDCPTAFVRLLHYPGEVNEFDSGNYGASAHSDYGVITLLTTDGTPGLQICREKDRHPQLWEDVPHIDGSTLHRVVAVGKERYSVAFFLDPNPDFVVRCFESCCSEANPPRFPPIRSADYLKERLSATYK >Et_10A_000601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13421212:13424444:-1 gene:Et_10A_000601 transcript:Et_10A_000601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASLKSSLLLPSPISDFSGAAVSVSTQKRRRSWQPRGARMQVSAAADSKNILVMGGTRFIGVFLSRLLVQEGHQVTLFTRGKAPITQQLPGESDAAYAEFSSKVLHLKGDRQDFDFVKTSLAAQGFDVVYDINGREAVEVEPILDALPKLEQYIYCSSAGTDAVDPKSRHKGKLETESLLTSRGVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPGAGNQITQLGHVKDLATAFIKVLSNPKASQEIFNISGAKYVTFDGLARACAKAGGFPEPELVHYNPKDFDFGKKKAFPFRDQHFFASIEKATSVVGWTPEFDLVEGLTDSYNLDFGRGTFRKAADFTTDDMILGKKLATV >Et_8B_060030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5180971:5181589:-1 gene:Et_8B_060030 transcript:Et_8B_060030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGVSLCHGDDSQLTNDQQPNIPCSITLQPSHHPVHSSSACAYHLRPGCQPMALFINNILWPGPPRAQPVGDLHAAQSALFLGFINGIVSPAYIRHCLCGDGGGLYYQLANFAVAMLGVALLLVDRAFSEAAFSSPLLPPVVQCMVWLAKVLIGGTLQFGLNVLHLCLKMLCGRLILVFT >Et_4A_031858.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24179565:24180254:-1 gene:Et_4A_031858 transcript:Et_4A_031858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASCRCSLLVYVGNIPFHAAEKELRDACELIGPVLSLRLATDPATGKRRGYAFVEYADDETARSACRNLDGHFLRGRNLRVGLAAREKGPRGLVIRGDHEPVGMEDAVHAACLVSGTPLEPVTRYLAARSARELRQMVDALEDLDADTDALLKEHVPGLATLTEQAKHLLDMAAADAAAKETRDKKRAASACQPTAEASNDD >Et_2A_017028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30264703:30268909:-1 gene:Et_2A_017028 transcript:Et_2A_017028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDPRPSASGWASPPPPAPPPPPPTGWLAGLVSGAGRILASVLGPESSGCGSVSTDASDGDSQSVSCSPVSSRSRGEDHNDGADHDDCSLFPLKNNQLHQCEREAVLKDYAGSLAIVSEVEPKDAIMQLLMQETYSRSECSKFIKIIQERVLDSDSGEVDAGRLAPPTAQKAGRQAVDGNLHSIQMNSHLQFQVIIAAGTIPKLTHANQGPFAHNADTIQPALKRNFSVREGACEEVRRVRPRINGNPFNIPKIKQVDIIRNHQGRAANSCEELKTKNPDASRDENMLSADFSGANNLPYPNIISKVESADEALDVPNKPSMVTQAFDSSSLQGGGDQINYGTTMFNQCSSKDLKKGFPLKVEPLNVCIPFEEQMDLSLQKQEHDVSDDSGSLSKLMLKEDIEAASSLPMGVQLQNGSKNRRRRQSHPQKATPTPSRSAAKGSCRKNNDIVVKSEMDLLEQSKLALTGQEPESGDIPVKRPVGRPRKAKVTPIVSG >Et_1B_011025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16742471:16744394:-1 gene:Et_1B_011025 transcript:Et_1B_011025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEKAVSAYGEYTYAELEREPYWPTEKLRISITGAGGFIGSHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTNGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLDTNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKAQTSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEDRKLPIHHIPGPEGDGLRFTYFWIKEQIEKEKTQGIDIAAYGSSKVVSTQAPVQLGSLRAADGNEGL >Et_7B_055838.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5684218:5684562:1 gene:Et_7B_055838 transcript:Et_7B_055838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAKVKMQDMASSAKAKVEEGKAKMQGKTGEATARTHGDKEMAKEDARAKKAQADANKHQEKAEHRANAATGHHGTTTRVPLTGHHATGATGTGTYPTVGTGTGTYPTSEKYI >Et_4B_039286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9492094:9495586:-1 gene:Et_4B_039286 transcript:Et_4B_039286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAASSLTAPLLHPNTNPNLAPKSLPILRNRWCARAVKVSAGGLGTYGAAQRRGIWSIRDDLVVPRSPYFPVEYASGQERGPSPMVMERFQSVVSQLFQHRIIRCGGPVEDDMANIIVAQLLYLDAIDPNKDIIMYVNSPGGSVTAGMAIFDTMKHIRPDVSTVCIGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGQETDLEIQANEMLHHKANLNGYLAYHTGQPLDKINVDTDRDYFMSAKEAKEYGLIDGVIMNPLKALQPLPASS >Et_7B_053388.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:14780952:14780999:1 gene:Et_7B_053388 transcript:Et_7B_053388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTKTTHFCFHRSQQ >Et_3A_023548.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33852218:33853198:-1 gene:Et_3A_023548 transcript:Et_3A_023548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLLTARTLVGRLRGAAATADAVAEVRRVSKDDPEIRVPLADAGAVPFLAAQLTATSSGAAVDAAAALLNISISAREQLMSTPGLLDALTAALRADGAAAAHHAAATVHSLLCVEAHRAAIGARRPLLAALVGLVRAAPNTRATKDALKALFGVALHPPNRATLVGLGVVQPLFALVMTDGRAGMIEDVTAVIAQVAGCAESLDAFRWISGVRILLDLVEPGGAGTPRARENAAAALLNLVVAGGERAVDEVAAVGDAVDAVRELAEDAAASPRGKAKAAALLQALESAAPARRPRDHRLADFLNGLVQSDPYISSPASASTHG >Et_1B_014233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:458375:460292:1 gene:Et_1B_014233 transcript:Et_1B_014233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSGERKDEGGREGTPSSGDQLAQDKESRDDDDDEEEEEEEEEEEEEQGTRQPYKCTFCRRGFPTAQALGGHMNVHRKHRTRSGAAPTAAMQQERSYEHQYSSSLVTFAQTSHPAASSASETTPSMATGEDGSMSFHAAGERVAEPKELRLFVGRDSAAGRGKGAGEVQEDHSKHDDGDHGQGEELDLELRLGGGAVEQETAFALTGEGGRGRLTADLNSVVAVVLLSFVS >Et_1B_010878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1583309:1585343:1 gene:Et_1B_010878 transcript:Et_1B_010878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSPVDPPRIFWKSKRRSANGRSLKQEPEKEGTEEATEQTQGESMKIDDTTATIAIADDAQPDPKANLSEKRKALFEPLEPINGKRSSAEMLLPPPDFEPASYPKGWLVGKKRKLVNVDVVESMRRIAIQEMNRKDREITGLNEQLEEDSRVLELLQKQLADERKKRAEIEKENSMLHEQVSMLMNMLDENEGFDDEGEAPPPDSFD >Et_3A_023140.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:31294548:31301929:-1 gene:Et_3A_023140 transcript:Et_3A_023140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGPDDAKSRARRNLLLKNESEVQQLWEESKIFEACPGNEPPGPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFAAAYHRLRGSNVLLPFAFHCTGMPIKASADKLAREIQQYGNPPVFPAAKEDSGSAMTDDSQSDQSADLIPDKFKGKKSKATAKAGAQKYQWEIMKSFGLKDEEIVKFQDPYHWLTYFPPLAKDVLKKFGLGCDWRRSFITTDMNPIYDAFVKWQMRKLKKLGKVVKDMRYTIYSPLDGQPCADHDRATGEGVQPQEYVLIKMEVISPFPPKLKVLEGRKVYLAAATLRPETMYGQTNCWVLPDGVYGAFEINETDVFIVTARAALNLAYQHLSRVPEKPTCLCELSGNDLIGLPLKSPLAFNGTIYALPMLTILTDKGTGIVTSVPSDSPDDFMALQDLIAKPALRDKHGVKDEWVPKDVVPIINIPEFGLKSAEKVCHDLKIKSQNDRDKLAEAKRMTYLKGFTDGTMIVGEFSGRKVQEAKPLIKHKLLKEGTAVLYSEPEKKVMSRSGDECVVALTDQWYITYGEAEWKQQAARCLDGMNTFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTIAHLLQKGDMYGKAMSTFRAEEMTDEVWDYVFCDGPAPKSDIPPALLNQMKQEFEYWYPFDIRVSGKDLIQNHLTFCIYNHVALLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFLTLQEAITEYSSDATRFALADAGDGMDDANFVTETANSAIMRLTKEISWMEDVIHNESSLRAGPGTTYADRVFANEINIAIKETEKSYNAFMFRDALKSGFYDLQLARDEYRLSCGSAGMNRDLLWRFMDIQTRLITPICPHYAEHVWQNILRKEGFAIKAGWPTADTPDPTLRMANKYLQDSIVLMRKLLQKQESGSKKVKKGAAPPPSMENKMSVGLIYVNENYCGWKEQCLRVLQSKFDNHQRSFAPDQEIIEALKNCSISQEMNFKQVQKLCMPFIRFKKDEVREVGLQALDLKLPFGEMDVLRENLELIRKQLGLAHVEVLSARDEATHAKAGENVKLLNQNPPSPGDPVAIFLTRQQFEGQN >Et_7A_052585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9593081:9595466:1 gene:Et_7A_052585 transcript:Et_7A_052585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVTVADKAEFKECLRLTWTQPYILQLVLSAGIGGLLFGYDTGVISGALLYIRDDFAAVEKSTVLRETIVSMAVAGAIVGAALGGWMNDKFGRKPSIIIADILFLAGALIMAFSPTPQIIIVGRVFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFMAYLINLAFTKVPGTWRWMLGIAGVPALLQFILMLMLPESPRWLYRKGRKEEASAILRKIYPASEVEQEIDAMRQSVEDEVQLEGSIGEQSLLGKLSKALGSKVVRRGLMAGVIAQVAQQFVGINTVMYYSPTIVQLAGFASNNTAMALSLITSGLNAIGSVVSMFFVDRAGRRRLMLISLVGIVVWLAVLGGTFMGSAHHAPPVGDTETRVFANQTCPEFSPSVPWSCVNCLKAASTCGFCAHQGDKASRHTTTLTAGACLALNNASRQTCHADQREFYTEGCPNNFGWLALIGLGAYIVSYSPGMGTVPWIVNSEIYPLRFRGVCGGIAAVANWVSNLIVTQTFLSLTKALGTSATFFLFCGVSFLALVAVFFTVPETKGLQFEEVERMLERKDYKPWKRYHGGGDGEPAKNREIGLAAP >Et_5B_043853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16814250:16815111:1 gene:Et_5B_043853 transcript:Et_5B_043853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPATQATLLLALDVVVILLRPGIAADQVQRVLIARQKPGGFVSYRTMSRDRIPERGNLNNRPGAIANKYTRGCEAIERCRGSTMPSGPAAEKIRKGVFSIASFDSQYPGWRDLHGGTSLAFTNIDIREGGSSEMLAAAVRTSTTW >Et_10A_000665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1482479:1494134:-1 gene:Et_10A_000665 transcript:Et_10A_000665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPDPPPLPGGDPDPAPSVPSASDAEDDRVFLVPRRWWREAQDGAAIESTGVPYAASPAGSTSYGMKVLSMFMSDQAFTLRRADELLKQPTDASNGRSHALLPADLFTKAREWHIDSDKSAGRTSPHAENGSVNIYPVMLRVSVSRDNALTVKIGKKDNSAENFKRANKILTPDSELVHIWDFSGRTTFILMNEWNRMPQDPKSSDQEMPLEVHIYDLSDPMANGAESALTVGSSSFSNGSIMGMDLDSSSGSSKQVGSGLTGLDNLGNTCFMNSAVQCLAHTSKLVDYFLGDYYKEINSRNPLGMKGELAYAFGDLLRKLWAIDRTPVAPRQFKIKLGRFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKCKPYSEVKDSDGRPDEEVADEYWGNHLARNDSIIVDICQVYNSSIIRYLDEPSEVISLIRDGDRLVAYRLPKDSEDGPVVVFRNQRMESSLSSFGRKSWKTFGTPLVSSLPDTVTGNTICNLFLKVMTPFRVLKDDVAEDNQTTGECSLDNENASIDMSSDASEPASKNNYSLDDETGTEDAIQFFLINEKFPDQRLKIEMDEHVTLKGSQKRLHVVVCWQDSGLEQYNFGSLDSLPEIYKAVLFSRRPQDTCSLYACLEAFIKEEPLGPDDMWYCPGCKEHRQASKKLDLWRLPEILIIHLKRFSYSRYTKNKLETFVDFPIHDLDLSKYIGSRSQQIPHNYRLFAISNHYGSMGGGHYTAYVYHEGKKGWYDFDDRHVGPITEDSIKTSAAYVLFYRRIQDDSVDTEIETDSDCPR >Et_2B_022464.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22905583:22906721:-1 gene:Et_2B_022464 transcript:Et_2B_022464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEMLRLAQEQMRRMSPDDLATMQRQLMSNPDLMRFASESMRNMSAEDVRRAAEQLNQATPADMRAMTQKIASSTPEELAAMKAQADAQMSSHAVAPGR >Et_10A_000910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1936084:1944760:-1 gene:Et_10A_000910 transcript:Et_10A_000910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRQVDTIHILTEKEIKKATDNYSEDRVLGCGGHGMVYRGTLDDNKEVAIKKSKVKDDDSREEFVNEIIILSQINHRNIVRLLGCCLEVDVPMLVYEFISNGTLFELLHNTDQRSPVPLDLRLKIAIQSAEALAYIHSSTSRTILHGDVKSLNILLDNEYNAKVSDFGASALKSMDKNDFIMLIQGTLGYLDPESFVSHHLTDKSDVYSFGVVLLELMTRKKAIYIDTSNEKKALSHTFIMMFHQNKILNILDSEIIDDAVMVVLEKLAELVMQCLSPKGDERPTMKEVAEKLQMLRRLQMQLVTKSNPIQAHYSYGGPSMPIPLDEMQSVSMASDEMRYQSTETVKLCGNVSIPYPFGVGARCAATSLNRYFAVFCNNSFQPPRPMIGDPSLAVEVIDISLERGEIRLQLLHVEHHTLSDNGTAGFSMERTPFLPSTTRNRFTVIGCSTLGIIGGSISSNLYVAGCYSYCKGINSTTDGAPCAGTGCCETNISPNITDLAALLINQSSVWKFNPCFYAMLVEVGWYSFRQQDLVERLGSIKKRAARGVPVVVDWAIRNGSCPKDGAKVSEDYACVSSNSYCVSASNGPGYICNCSKGYEGNPYLSNGCQDIDECKLRKEDPKYKALYPCENGICRNTAGDYICKCRMGTRQDGEHSGCRPVLSRPEQVVIGKDHAVEFKSKNNHGAGLSISAVVLMSLTCLLLMKLQKKKHKKEKEEYFTQNGGLRLYDEMRSRQVDTIRILTEKEIKKATDNYSEDRVLGCGGHGMVYRGTLDDSKEVAIKKSKVKDDDSREEFVNEIIILSQINHRNIVRLLGCCLEVDVPMLVYEFISNGTLFELLHNTDQRSLVPLDLRLKIAIESAEALAYIHSSTSRTILHGDVKSLNILLDNEYNAKVSDFGASALKSMDKNDFIMLIQGTLGYLDPESFVSHHLTDKSDVYSFGVVLLELMTRKKAIYIDTSNEKKALSHTFILMFHQNKLFNLLDSEITDDEVMVVLEKLAELVMQCLSAKRDERPTMKEVAERLQILRRLQVQLVPKTTPMQAHYTYGGPSVPIPSDETGFQSPETAKLVLDINLTR >Et_2A_018434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27997544:27997780:1 gene:Et_2A_018434 transcript:Et_2A_018434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMSAQETTPGHFFSTSALARTTVSKPSPARDSLSGASFSASLSWDAMMTDASQPCDYARE >Et_1A_009061.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27804798:27805199:1 gene:Et_1A_009061 transcript:Et_1A_009061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLTVSCIALTRPSAAAPAPEDVKLSVFRAIIAALGTPNITAPNTRATSSNDVLAEQAVTDICKWQWLLFTAAWGFFFFFFFFFFFFFFFFFFFFFFSILRSCLSWPQDETRLSTRWMVHTAAAYQPEHKLR >Et_2A_015950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19480761:19488023:-1 gene:Et_2A_015950 transcript:Et_2A_015950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRKGSTDVHEFDGTFQGSHAFYKVTSVIGHVLSVDFPPAYQNWEATDPMDLFEAPVLRSECNPKAHIRRHLAQEARGCTYLILWLDCDREGENICYEVIECTGIPENEVGRRIFRAKFSSVTEKDILSAMGNLVLPNKDEALSVEARQEIDLKVGVAFTRFQTRYFQGKYGNLDSRVISYGPCQTPTLGFCVQRYQQITTFKPEKFWSLKTYIVKDGTEIQLEWDRKKLFDFDVTVMFQKMVLNDGNLKVVDISVKEECKTRPSGLNTVNMLKVASSALGIGPQTAMHLAERLYTQGFISYPRTESTAYPASFDFTSVLAVLVHNPLWSNNVRTLLDAGFLKPRQGHDAGDHPPITPMRSATEEALGTDAWRLYQYICQNFIGTISPDCRYTRTAIELTSGGETFHCVGSRVTSKGFTSIMPWLAVSENNIPAFRKGDIVSIHKVDIYEGSTTPPDYLSESELISLMEKNGIGTDASIPVHINNICERNYVQVNSGRRLVPTPLGTTLIRGYQCIDADLCLPDIRSFIEQQITLIAKGKADHRQVIQHALQQFMKKYTYFVKKIENMDALFEAQFSPLADSGRLLSKCGKCGRYMKYISTQPMRLYCVTCEDVYYLPQKGSIKLYKEIICPLDGFELLLFSMVGPDAKSFPLCPFCYNSPPFEGIDKLFGALKLDETGKVGKGAGMPCFLCPHPTCKQSMITQGVCACPECNGTLILDPVSAPKWRLYCNMCNCIVLLPHAAHRITTTNKKCPTCESTIIEVDFNKKTTPLEDGSTLHEGCILCDDLLHSLIEMKHGKSFFMRRGRGRGRGRGRGRGRGRRGSSKHDDPKMSFRDF >Et_1A_006066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17332431:17334044:-1 gene:Et_1A_006066 transcript:Et_1A_006066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKTILAVALAVLLVVLSKLKSLFAAKPKLNLPRGPWRLPVIGHIHHLGTCPLIYRTLSRLAQKHGPLMLFHLGEIPFLVASSPEAAQAVMKTHDRSFADRFATPTLHTFTYGKTDLVFGEYGERWRQLRKMCVLEMLSAARVQSFRGIREEEVARLVGSVAASAAAGAAVDWSKGVAKLVNDTFARQCVGSRCKYRDEYLHEFHEAVELTSGLTLSDLFPSSRLMRMLGSAPRKALACRGRITRILEHIIQEKAEALERGDKEPGHESLLGVLLRLQKEGNTPVPLTNDTLVALMFDLFGAGSDTSSITMNWCMTEMIRSPAVMAKAQAEVREAFKGKNLLTEDDIAGADLSYLRLAVKETLRLHPPVPLLLPRQTRETCQPMGYDVPKGTAVFVNVWAIARDPKYWDDPEEFKPERFENSSTDYKGANFEFLPFGAGRRMCPGINLGLANLELALASLLYHFDWKLPNGIEPKDVDLWESIGLIANKRNNLILHPTTRIAPANA >Et_2B_021062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26133014:26135510:1 gene:Et_2B_021062 transcript:Et_2B_021062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNALEWKHALLGSFCAVVFGAIQPMFAYALSTMLSVYFLTDYNEIKEKTRIYSFMFVFLAVLMFFLNVGKHYSFGVMGEYLTARIREKIFAKIITFEIGWFDRDENSTGAICSRLAKDANQAVRSLVGDRMCMLIETVSSMFISCPMGLIIAWRLALVIIIVQPLVIACFYARRVLLKSMSKKSTQAQSKCSKLAVEAVSNLRTITAFSSQDHIRSQFGQSQDHIRKECIRQTWFAGLGLRTSVSFSLLMGPCLLVWRKAHGTGRAIADAGSMATDLAKGDDAITSMFAIFDRESKIDPDPEGYKPKTLRGEVEIREVDFAYPSRPDVVVYRGLSLNLQPGESIALVGHSGSGKSTIISLIERFYDPLSGVVKIDGRDIKTYNLRALRRHIGLVSQEPILFADQREHYTASQAEIENAARTANAHYFISNLKTISNGRRYANLKLNPTILLLDEATSALDSQSEKVVQEEMKEQCSCSLVRKKSGARGTR >Et_10A_001786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8177704:8184258:1 gene:Et_10A_001786 transcript:Et_10A_001786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTPLSVGKSVLDGALGYAQSAIADEVTLQLGVQKDHAFIRDELDMMRSFLMVAHEEREYEHKVLTTWVKQVRDVAYDAEDCLQDCSIHLQRPSCWRLLRTLLERRRIGKKMKELRARVEDVSQRNLRYGLIKGSGSKLTTTAAVYSSMASATMSGIEESRRQQEKAKADLVQLMDNKGEDLGVVAVCGASALLGDTSIVKRAYNDLKGNERFKCYAWVRIMHPFKPEEFLQDIIRQFYVDSLELGTKAQKKSGPTQDLLMIMGRMKGDSLVDGFINYLHDKSYLIVLVDLSTIQEWDQIKAFFLNNKKGSQLVVCTEHVEVASLCIVQENVLPKHKQLSTDETLYVFYDKGSQDGTGMTESGSSTWTADSNISMDGKGDSRMDILVATFQESQPIGRKNETTEINKMISKQSQEFEVISIYGMGGLGKTTLAKHIYQIQALTATFDKRACVTIKRPFNPEELVKTIAMQLKDKKRPNDDRTKQEEKTSMYSLLEGKKFLIVLDDLSSTTEWDALIPHFPKMVTASRIIVTTRDKNIAKHCSKKEENIYQLNTMEDDDARNLFTEKVFNKTIDLKKHAELLEEAKFILKKCGGLPLAIVTIGGFLAKQPKTPEVWRKLNEHISAELEMHPELGMIRNILIKSYDGLPYHLKSCFLYLSIFPEDNSIRRRRLVRRWIAEGYSREVRGKSLEDIADMYFMELIDRSMILPFQESIASRKEIDSCYVHDLMREISISKSTEENLVFRMGESCNLNNAQCKVRHLVVSSNWAGNRSEFESAVDLSRIRSLTLFGKWRPFFISHKMRMLRVLDLEGTEGLVNHHLEHIGKLLHLKYLSLRGCDGIFHLPDSLGNLKQLQTLDVSDTRIIKLPKTIVKLRKLQFIRGGDSTGCPYGPWEVLKEAVPALCLGCWEPQLMGDELQIEGHMSRRDVCTACCCILFPILGKQVDPSGILLPRGVRKLKALHSLGAVNIAPGKASILKDIRELSQLRKLEVIGIDQKNCRQLCPVLVHLSRLESLSLQTSSMVDTDLRGLDDMCSPPKSLKSLKLVGKLVELPQWIGELQNLVKLKLESTYLVRPGAVIRVLGKLHKLSILCLSWGTFQYGVDLRLNFNRDSWPSLMVLQLLWIDHNIRSMEFGKATPKLELLRFCGFREEISAGFISGLAYLPRLRAFELDNRTYEEEFLEDIKAQLDKNTNGPVLKRCNL >Et_3B_031447.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:3017714:3019270:-1 gene:Et_3B_031447 transcript:Et_3B_031447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYRVAPARPVCLSTGAGHLRPAPPCGASGRRSGGAEIGIFTAERYFSAADVVRRDAVLRPALPDHHQHEPAQRVSVPAADTASLSGRTAASSEASWNSRSGLLSGGHSHAGSAARQKGSWGGVSSSGDRNGAGGGRKQPTSSGQRWTLFGRDCPCAGRKAVVTVAAASSKPRSPATDARFSAQSAVDECLESAIFVKAKQHPSPPPRTQVVVTEEEEPAKAAVKVTVTPGSRAFRLAANRGAAAVPPAVEAFAAAIGRRVVNGAGGSFTFPAATFASSGGALVDEPPRASLEVFRPIDEDSVMLADPPPAMPSAALRGRDPVLLRAPPAVADEEAMSDASSDLFDLESFAASSSYPTTTYRGRGSRRNSAEDDLPPYYSAVAAEPALSECMYAPSEASVVWSVATAEGGGAFDATSVANFSSAASACGGGVVEEFRFVVPDQSPAEFTAAMSRSAGRKKGGGGGGFLDSCSCEKAVSVGPTPVRVVKPPAYPAAAKMSSGGAARRQPGRVQVPVRT >Et_3B_031691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:807849:808436:1 gene:Et_3B_031691 transcript:Et_3B_031691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTQQSIVVAVLAAAALAGLAEGFTVTGEVYCDPCRAGFKTNVSTPLAGAAVKLECRPFLNGPESLKADATTDAFGWYKLEVDQDFQEDICEVMLIKSPDPACSEIDKFRDRARVPLTKNNGIKQNGVRYGNPIAFLRKEPLKECGLILQQYDLKDAPETP >Et_3B_028693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18012814:18013534:-1 gene:Et_3B_028693 transcript:Et_3B_028693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKVLAGLAVLLLLAAAAAALPDATATAAAAGDEHMYHWKCFKSCNTRCHDDDKAAGGLNASVSVGGADHRCKKGCLNECFEDLPAICYQQCVVSTCLCKPPYSKEKMECMKMCCDKCFHHVVPAPPLPPPKPPTPYKPPTPTKPPAPKPPSPTPKPPKPPTPKPPPSPKKPPRPPCPPGSKKALNDIDN >Et_9B_064589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16747186:16750135:-1 gene:Et_9B_064589 transcript:Et_9B_064589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALDSYSSVKDVAYSCGYCGYALNLSSSARNTANIGSKYGKQIRKGVVSFFAIDESRFTQTDEVSCMPYFHSSSSWGLFRKRTRLLCRKCGGCIGNAYEDEYSTLYDGSEDSGLSTKGSSKSTQKKYVIKINALQPSSDESGDDVGAAEEGSEAEGASAAEAVEGAALALERVDDVHGGDGLAAGVLGVGDGVADDVLEEDLEHAAGLLVDEPGDALHAAPPRQPADRRLGDALDVVAQHLPVTLGAALAEPLASLAAARHDCLLLIPRRWCGIRR >Et_4B_037873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24243445:24247851:-1 gene:Et_4B_037873 transcript:Et_4B_037873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRVAAAPAGVRVLGGGVALVPPRPWALRGRRRLSVRMSVATTEAASAAAAVGDSEEEMLEARNSKTVVAVILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSQSLNRHLSRAYDFSNGVAFGDGFVEVLAATQTPGSEGKRWFQGTADAVRQFDWLFDDARAKDIEDVLILSGDHLYRMDYMDFLQSHRQRDAGISICCLPIDDSRASDFGLMKIDDTGRVVSFSEKPKGDDLKAMQVDTTVLGLSPEEAKKKPYIASMGVYIFKKEILLNLLRWRFPTANDFGSEIIPASAKEINVKAYLFNDYWEDIGTIKSFFEANLALADQPARFSFYDADKPMFTSRRNLPPSIVNDSKITDSIISHGCFLNNSRIEHSVVGVRSRIGSNVHLKDTVMLGADYYETDVERGELLAEGKVPIGIGENTKIEKCIIDKNARIGKNVTISNSEGVEEADRTSEGFYIRSGITIVLKNSIIADGTVI >Et_1B_010045.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:20574312:20574485:1 gene:Et_1B_010045 transcript:Et_1B_010045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENDRLRNRVTTDLKTVILENEEKEIAEEEKMDKFILNHLCSEIMDEVMDSWVVSI >Et_4A_033149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19136130:19138004:1 gene:Et_4A_033149 transcript:Et_4A_033149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKENSVAPQRLTRAAAKRAAAVTAVAVAAKRKRVALSQIPTIHNAALGPHHDDDDYYDDVKPAAKRLQHRAAEPTKKAAPKPKPKPKPAPPAAAAAVAENGEEDGDPQLCKPYASDIYSYLRSMEAQAKRRPATDYIAAVQVDVTANMRSILVDWLVEVAEEYKLVSDTLYLTVSYIDRFLSSKSLSRQKLQLLGVAAMLVASKYEEISPPNVEDFCYITDNTYTKQEVVKMESDVLNVLKFELGNPTIKTFLRMFIRSGKEDNNKKYPSLSLEFLGSYLAELSLLDYGLLRFLPSLVAASVVFVAKLTLDPHTHPWSMKLQTVTGYKPSELKDCVTTIHELQLNRKGSSYMAIRDKYKQNRFKGVSALLPPVEIPASYFKNLKE >Et_7A_052982.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:440363:441110:1 gene:Et_7A_052982 transcript:Et_7A_052982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGCGGHKGCECERERLYRKCCAGLLALLLLVLFVVLVVWLVLRPHKPKFYLQDLSVLCLNVTPPASTYLFTTMQATVASRNVNDKVGVYYDKVDVYAQYKGVAITVPTQLPVQYQGHADQSVWSPYLQSMDHVQLPPDLAVALAQDETAGYVLIDIRVDGQVRWKVGTWISGHYHLRVNCPALLTVNEGKGSYGLNAGGGNGYFRFQQAAACAVDV >Et_9B_066212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7189391:7191342:-1 gene:Et_9B_066212 transcript:Et_9B_066212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFVWDPVTGGKQQLPPPPYPYEDLNAAVLCASDGCDHLDCHGRPFTVVFMGTSEGATAAQVSVYTSETGAWSEPASIQLAGDSMVLATPRTLARGALYFNLGNCILKYDPQHGQALSVIEPPSCFPDMGYTEDAILIVGEDGELGVASVQGHSIHLWSRQIVASSGNVEWVLRRVIGPDRLITIVTNDSSTELSVVGFAEGTSTIFISTNAGTFAFAVKSGQSMLKPNCNCEWGTRGRSDPIHHLELAPMGILDDWDNLLIEDDFCLEDVQ >Et_5B_044521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3162145:3163045:1 gene:Et_5B_044521 transcript:Et_5B_044521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVPQATGSQSGDHFVDIEFQPVEEESHPTGHGADCHTMNLNQQVKAAGAKENKPECHVVDIAGAAAGNKGENCCVVCTGPLEWVAIGCCGHRVVCPKCMMRSRFFYRDNCCCICRTYCSRVVVAKTNAEAAIMSTLPLFAFWEGRVGKYWYHRYTRAYFEDKKVYEATTEVCKGIESPFYKPVFIFIMWFFGAIVIGAFIGVGFANQTNNRSTQVRAYALSVTIAILIAAIWWSLIQNCPVDRLDEESYLQDRRRN >Et_1B_013665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11340987:11345549:-1 gene:Et_1B_013665 transcript:Et_1B_013665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRWLRAPATARRREWWDATPRAPSFAAEQRATLVNVKLKWVKDGALDAAVSRERHLRAAHHLLDLVSSRPGHRVTRTDLVADRSVQKLFGSADAAFEFLGRFHTLFALHRAGVSLTDAALDLRLREVECLRATEPDLVARLRRLLMLTLPRRLPLHTVDLLRWDLGLPGDYRSSILRRYPDHFVLEQPEGDERVWLRLLSWDDSLAVSELEKSADGGDTTCLPFPVSFTRGFGLRSKCMSWLREWQELPYTSPYADASSLDRRTDVSEKRNVGVFHELLHLTVAKRTERQNVSNMRKLLGMPQKFTKVFERHPGIFYISRVLGTHTVVLREAYGGGSKLLEKHAHPLAAIREEYSTLMKAALPPRTRGRKFRDAFSKSVEGCSMSALWPYVISEYPNREFTWNVDQATEPNHQQHYMVISYKIDHAARLTPTSARHFREANKKCIAQTVNTRPVYIASLLTTQTASLFAYGMRCIFGYAVRGRIGDAQYGICIFGFASC >Et_8B_059463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18099427:18103309:-1 gene:Et_8B_059463 transcript:Et_8B_059463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDKTLRKLSSQCPSLEELHLKDCLIAGHEISSASLKILTMFKCQISVNLSVDAPNLVLLRCILPITQAPSFKNTASLVTGTIILDDRSFNDDFEDFSKDELDETTDDDDDDWNDSNKKHKTRYGFGAPFEELLSKKDRYGYGSDIDSDDNTYEYSEIANDCDEFGLSGDGQGSSNYGNRNVGHNSGFSDNKILGGHNVLQILSSATSLELIADAGEVILNRELKRCPTFSNLKTLSLGEWCMDADFDALVFLLQHSPILETLFLNSNCFNATKPLESGVRPKGRSFSCKHLQMVKIKCSKDDVRVHKVAHLFRANGVPVEKIFVHRTGSTHLRNEMMTRELARQELEFWEDDEFWGITAEKL >Et_7B_055295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9031290:9031564:-1 gene:Et_7B_055295 transcript:Et_7B_055295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCALTQGKPQLYSIEQAHRPHERDASKGRTPTAKSIKRAAAFRPAKVSPPGLTFPRAAHSLHSHAPANLVAAPAKFVEGERH >Et_2A_018444.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28421821:28422249:1 gene:Et_2A_018444 transcript:Et_2A_018444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQQLLVIAVVASVLLTAVDVSASTAYDVLVKNNLPQGLFPKGVQSYVLLSDGRIDVTLPSDCNVFVTAGGQQTKLQFPRKFGGVVRPGSFSGVYGISLNVEYASLGISQFQRAGDLITITAENSRLSIPVSSFAQSPSCS >Et_7B_053415.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:17416864:17417187:1 gene:Et_7B_053415 transcript:Et_7B_053415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVVEPLEEREEVREAVVHGGVAQRRDVLRGVGVGRREPVRHGGEPEARHAVERVGAARVKQAAVVVLGVDEGDVEAPRVEELGQLQHRRHVPLRRVRHAHRVRPLRR >Et_4A_033550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24344539:24345742:-1 gene:Et_4A_033550 transcript:Et_4A_033550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPRNENDGTFDREGVSSAVRAVMIEEERRKVFVANAKKLQEIVGDNELHERCIYGFIQELISYKSGNFVIS >Et_6A_048033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4071590:4077016:-1 gene:Et_6A_048033 transcript:Et_6A_048033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDPSGNANAKAKLPQPVTMAPAPSSGRPASVLPYKTANVRDHYRIGKKLGQGQFGTTYHCVGKADGAEYACKSIPKRKLLCREDYEDVYREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYSERAAAKLIKTIVGVVEGCHSLGVMHRDLKPENFLFASTSEDAPLKATDFGLSMFYKPETEAGIFRQILRGKLDFESEPWPSISDSAKDLVRHPWIIDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITFDELKDGLKRVGSELTENEIQALMEAADIDNSGTIDYGEFIAATLHMNKLEREENLVSAFSFFDKDGSGFITIDELSQACREFGLDDVHLEDMIKDVDQNNDGQIDYSEFTAMMRKGNAGAAVREERDVIQVPRYLNRTSPSPMQPPPPPRLLAGGDHHPSPTSASSPEHPFLSAHLLLPSPSPSPADLSSPHLPLALAFSFLTHPSPLPRSLLVTLHAAGARFPAFYPSFASALLSLPFPLLLRHSRTRILLAVAELARAAAPGFAPLVASLLRRVPFQGDACLLEILAEHASFLADEEPQLLASAVFAFLRLLAKNRLALFPSNVGCKDCEECNNAKNLVECREKLVSFCVAVLRDHFEVCALIGRDLVRSLHDLMLVPEFQALWKDSMRDRAAEICRVGTPGWCTALAISLEMETQLLFMMNSVKWGDQKRYQLWFARKHLIVPGGEERIPDIVRFICCGYHPTNEIMQSGVIARWAVIGWLLTSCNKAYVQANAKLALFYDWLFFEEGRDSVMNIEPAMLLMVNSVSQYTEITNMLLEFLFLLIENYDVLRKEAIAQCVRRAFGVLVKKGVVPSLELLIGCEKLSPLLRLKLVSFLSNTCPGTAEEACEKTIDQVSKETELKRGVCSN >Et_4B_038515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29553437:29554954:-1 gene:Et_4B_038515 transcript:Et_4B_038515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPYPSSCGKPRPGDFPTHAGKKPASCLSAVASTPTKKIARPASLPNLAALHAAARPRPPSSAARVFAPSEKMAPHLRGLMTPPSAAPAFDAQQERRRTPGGRQEAAGFMAPRDAKTVHPARRLAPGTAVCVRTRFMMIADKCCLVIWLPARVVSASDAYHCTVKYSADLSPAFAGKMARKPVDHIRVLAPQRAAVKAEPRNMAPVAVRAGAEQGEANHQSWMFQPVDGCGSPRPTTATKGILTLWRLEDQVTVPWYTFPSTGLLPVLLTVIW >Et_9A_061614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14552430:14554314:1 gene:Et_9A_061614 transcript:Et_9A_061614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKGKKTHINVVFIGHLGSGKSTTAGHLIHKLGGVDKRVLAKFENDAAEKNKSSSSSCKYAWVLNKLDAERERGVTMDVTRFNFSTAKHRCTVIDTPGHPDFIDAAVAGVSKPKPADCAVLVVDATAGAFEAGFAGRSGTTRDLAIVAFATEVKQMICVVNKMDATTDDPYSKARYDEIVKKLSSFLNNLGYDADEVPFVPVSGLDGDNLVERSASLPWYEGPTLLEALDRVKKPRKPPGTIRFKSRDGGSRVFRLDTKVAMAVAPNSEVFQGVIRDSCAGGRVPVIDVASYVLEKVVEYSVRHAKFEEYSFKHGKDGTYPYPEELQIWDEEFFDVGTNMLYHILMAARYLNIRGLLARTIKAVADIMKDKTADEIRKTFNIKNDFTPEEEEQMQREDLLMGDLQEYTWVDKRVR >Et_1B_011165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18165094:18166640:-1 gene:Et_1B_011165 transcript:Et_1B_011165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAMASFLVVLVVTVIFMFIYSLRPIADTTRRRPLPPGPRTLPIIGSFHAVAWSRPHRSLARLAERHGPLMSIWVGRFPTVVVSTPDAAREVLRNADLAGRTVLDAWRAEGHADNSVIFFPPRDKWRALRRLATTELLAKARLDAPRRQQLLRREKAREMVRHVSERAARGEAVDVGHAVFATAMGLMTCTFFSVDLATHELRDMVKAASRLAAKPTVSDIFPAVAAADLQGARRKMGALIRHGCRIIDQQFMRRRRERNAGEGSRNDMMDTKEQEWKETGSPLNYEAVRGLFLDFFVAGTESASCTVEWAMAELLRHPESMRKVKEELKTVIGTKNELEESDISKLPYLQAVVKETLRLHPAVSLSFYRAMDTTQVQGYTIPKGSNIVLNIWAIHRKADVWTDPNEFVPERFIGKDISFWGRRICLGLPLAHRMVHLMLGSLLYHFDWTLPAEVKENGIDITEKFGIV >Et_2B_020643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22341936:22344103:1 gene:Et_2B_020643 transcript:Et_2B_020643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFGLGGALGLDIGGDLPPGFRFHPTDEELVSYYLFRKAVDGTFCGRAIAEIDLNKCEPWELPDKAKMGEKEWYFFSLRDRKYPTGLRTNRATVAGYWKATGKDREIRSARTGALVGMKKTLVFYRGRAPKGNKTSWVMHEYRLEGTYAYHYHPGSTRDEWVIARVFQKPGEVPPARKTTRHGGLIASADASCFSDSTSASVGGGASASSAPRPLLTDASLFASAAGDGESSNYGYGGNANAGNNNNLVTGRELVPCFSTTAAMDATLGIGQYNPPAPLAFEPPPPAFFAGLRSLQDAVHLPLFLSGAPALGGGGAHHWGSDQVKAEGGCAAPQTMAVGPSQLDGAFAWGF >Et_8B_060265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8175358:8177419:1 gene:Et_8B_060265 transcript:Et_8B_060265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRVRSGRILHHLNPFWLHLFYFVSISLFGSFLLKVLPMKDITTPSPSGLDLIFTSVSATTVSSMVVVEMEHFSNSQLLLLTLLMLLGGEVFTSLLGLQLSYTTSKKREEAAELDGQPPPHSLELTPMEAPAPAVTADQMELGFKNQRSCRTFIAKMLILLVMGYLVVVHVAGYTLILLYLSVVADARAVLTGKKISPQTFSIFIVVSTFANCGFVPTNEGMVSFKSFPGILLLVMPHVLLGNTLFPVFLRLAIAALEKATRRRELGELLRRGDDSAAVAYDHLLPRRRTWFLALTVAAFLAAQQLLFCAMEWRSEGLQGLTAFQKLVAAMFMSVNSRHSGEMVVDLATVSSAVVVVYVVMMYLPPYTTFLPLDKDHQHQSGPDQLGEKSSSNSVGSRIWQKLLMSPLSCLAIFVIVICITERRQMADDPVNFSVLNVVVEVISAYGNVGFSTGYSCSRQLRPDGNCWEAWVGFSGKWSWEGKVALMAVMFYGRLKKFSMHGGQAWKLD >Et_2A_017969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8456589:8459409:-1 gene:Et_2A_017969 transcript:Et_2A_017969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQCDFCGDQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCTSQPAMVRCLIENVSLCQNCDWNGHSAGSEAAEHKRQTINCYSGCPSSAELSRLWSFVSDIPNVAPEPNCEQGISMMSISDSGVSNQDNAAGDNSLLDIASATLISDLDTCNRVQSLEGSSSGAGVNLLPNATDETAGPVDSTTPKVPYTADKDMFCKDTIYEDFCVDDVDLAFENYEELFGTSHIQTEQLFDDAGIDSYFEMKEAPGGNADEQQKLMQPANSNAVSADSGMSNPGAKGDSSVCIPVRQARFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLCETRSY >Et_2A_016606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26532454:26533287:-1 gene:Et_2A_016606 transcript:Et_2A_016606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVRKNSYGAEFLLYLLIMGCQIPAFGMWNYCHDLSITQYFDSAMQAKLMKRWNRRDGDGDKNGVAGSEQLALFRSYSFQRKPAQIKVIRREVDKNCSGDDLPQDAGVQQPEVGACAVKRKVVSKRKVVSKAVDEDLYKVPQPLLYQKPKKMRKVVWSMWIGCLGLDCIA >Et_1A_006729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26967239:26969334:1 gene:Et_1A_006729 transcript:Et_1A_006729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVSKQQMLLSFHKLESDFFHRLVHDLAQDPTNTRWVMAFWLWLESDGHHDFIRRAYALPGPVVLRFVEEAVVCLRCLAGEVADSAADGDARVRTLPCTNALLAAPIDDVGYFVERRGEVLKGVKDMYRNVCLVVCLGGAATYLPGKSGGSAPPVTSPPARVAPPTKASPARAAPPAIRSPAARAAPPVTSSPACAAAPTTMFSPARAAQMMIGSTDRAAAPMRSAPGLAAQMMMSLPGHAGPLMMSSPSFDAQMMLMMSSPAGYDAQMMMMSAPAGYVAPLVMSTPALAPLPMPMPSSTLNPMATPWVPMSMEDTPPEEYRSLFITFSKGYPIAEEDILEFFNLMFGPCVETVTLQKVQPGVQPIHGRMVLRSHLMIPVLLAGQETAKFVIKGKHLWARVYFPNE >Et_1A_006021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16745041:16748641:-1 gene:Et_1A_006021 transcript:Et_1A_006021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRIAPGVGANLLGQHSAERNQDATTYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKILNMIKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPDVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFVSYDSFESSDQAIEAMNNQHLCNRPITVSYAYKKDTKGERHGTPAERLLAANNPGSQKNRPHTMFASGPPTQGLPNGAPPVPRPFGNGSVPGQIPQIRPPPVPVGQFPPPMQMHGQPAWPGHPQTAPPPMQQLQYRLPVRPPQPNMMPPPVGMVRPPPPPAMQGQHMWMPPPPPQQGGVPPPPMSIPPPPPPSG >Et_8A_058072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17585415:17585869:1 gene:Et_8A_058072 transcript:Et_8A_058072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIRQSSTVRPKLHVEDSLKRCLHGGHGTVYATVGQRFHSEIIDMMGFGYHNDAFKKDYDAVTPPSSTMADAKRELSPGHVRGRDRDDFVDCRVGYVKAHEEPRGDGQSTG >Et_7A_051641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21944701:21945162:-1 gene:Et_7A_051641 transcript:Et_7A_051641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTALFGPSAAKLTFLRVFMREQSIYIKHIATSEKERSPGRFARNNTKIIKTGPERLQNIPVWPQNSHFLESSLGNHPVTSNISQQVKRSDPRVVLTETTHK >Et_4B_039945.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:6504356:6506734:-1 gene:Et_4B_039945 transcript:Et_4B_039945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRCTLLVLLLALSVPWLSRADDGGGGGGGKEEPRKTYIFRVDHLAKPSAFATHAHWYASAAFASASGGAPLEPLHVYGTVFHGFSASVPASRAAELRRHPAVLAAFEDRVRPLHTTRSPQFMGLRARLGLWSLADYGSDVIVGVLDTGVWPERRSLSDRNLPPVPARWRGGCDAGQGFPASSCNRKLVGARFFSQGHDAHYGASNAVSSSNGSVEFMSPRDADGHGTHTATTAAGSVAYAASMAGYASGVAKGVAPKARVAAYKVCWKGAGCLDSDILAGFDRAVADGVDVVSVSIGGGSGVTAPFYLDPIAIGAYGAVARGVFVAVSAGNEGPTAMSVTNLAPWLATVGAGTIDRNFPAEIVLGDGTRLSGVSLYSGKPLAANSSLPLYYPGRTGGLSASLCMENSIDPSLVKGKIVICDRGSSPRVAKGMVVKEAGGAAMVLTNGEANGEGLVGDAHVLPALAVGEKEGDALKAYAGNASNPTATISFGGTVVGVKPAPVVASFSARGPNGLVPEILKPDFIAPGVNILAAWTGATGPTGLDGDARRTEFNILSGTSMACPHASGAAALLRSAHPGWSPAAIRSALMTTAVVVDNRGGAVGDEAEPGRAATPFDYGAGHISLGRALDPGLVYDAGEDDYVAFMCSIGYEANAIQVITHKPVSCPAPATGSSNEKKPSGSDLNYPSISVVLYGGNQSRTVVRTATNVGADASATYRARVQMASPGVAVAVKPEKLVFSPAAKKQSFAVTVTAASSSPSAAPVYGYLVWSDGAGHDVRSPVVVTWLQPM >Et_2A_014519.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18815234:18815596:1 gene:Et_2A_014519 transcript:Et_2A_014519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKILSLLPIFSFTNGLESQLAGCLPCLAFSSLPGSSSRTGARTAATRASSKALWSGSSGHLSSPESNFLLPLFSSVIFSFSTATVPALSMAVPDLVLFRLPKGSCTGAGAGFCCCCC >Et_5B_043776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1597386:1599621:1 gene:Et_5B_043776 transcript:Et_5B_043776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIELAAACDREFHGRGDRHLRLARDIDCSTRCRTRRPTPRRLVRDMFKSSKYHMEAEATAQQKNGSRVGAQYGELRASYTRCDVTGEAHVAAAVELHGQVDALYSNAGVSGSMEPAPLRWASSTWRSSTA >Et_8B_060546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20659632:20671591:-1 gene:Et_8B_060546 transcript:Et_8B_060546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEEKRARAAESDNSSRKAPRLEPQDSACGDAAETDKTPSPELKGSGCPGTPLLARSGSMEVAVGSDTSSAPCHHFLATEEETELLPACECCVLESANVGQKSPPMLCTQCDQCLCSDVLSSEDAPWGHARRHARENEHWFALWFSDPETGYVTTRCTSLRLVEGITMTIHIIMIAMNCLAFLRGWLNEEQLNRAPNRQDGASGAAITTVDSIFEGELSDTRTTKCCQSKTVDYRSFYDLQLPLASKKHPNKSIASPQRTHRSQRKSPAKQLFPAVEECNSEKVQTIADDGDSDITHTELDYVAMEKTPEPLEVDSLFSDKVEEMLEPMADSNSPEDRVPPPLVSPVRRKNVIVASGNDLEQKGKENTSMEQMIREVHREAQERSCIDKKAEDSNFHASIMDCLELFFKGEVFEARCEKCSKGPQQPSTIGSKDGGQTVASNDGNTSVDRDKNEKEYMSKYKDQEFTISKLPPVLTVQLVRFENNSVDQDKIKGCKNFEENLDVRQFMDPSSEDNNSSCRLLKMIGHVKFEENLDVGPYVDSRSEDKNNSSYRLVGIIEHIEDSLNSGHYVAYVRGSRIGSDQQLRSGSSSWFHMTNAVLRYEDCCMNEALPIPAMDWASDLLIEPLRSNLSKGSCAGASASISIWAAIGSSGAGLLSSVLSGHPSPLTKTKLRRRSAREDGRVFALPSLLLLSLAAASLVRAFVAAVAASTARSLRCLSLACHRAEGGAAQHLHHRPFDTAPCLR >Et_3B_031252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22840335:22842384:1 gene:Et_3B_031252 transcript:Et_3B_031252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLLAFSILAIAAVGASSSSSSPSPDAAALLAFKSVCSDRAAALRSWTESSDPCAGTWRGVTCMRSPPRRVVLEGLRLGGHAAALEPLAGLPLLSFLSLKNNTFAGSLHGVDFSRLAPHLKLLHLSGNAFSGRFPESVLRLRHLRRLDLSGNRLTGAIPPEIGHRLRALLTLNLARNSFVGPVPTSLEAVTQLAELDVSGNHLEGRIPKRLAAAFPASSFAGNPELCGAPLPRRCNGQQQQTVYSNGTSGPSDDGSWTARRKKRDRWMVVLIMSAVGAAVASLILAALCAALWLRNRKPTRQPRATSSPTRSRSVVAREEETVRFDGRCVELDVATLMRGAAEMLGKGAAATTYRVVMGGDNDDDEEAKGEVVVVKRMRRREGASRGDERRRRELVRQMGTWRHPNVVGLRAFFASAEELLLVLDYVPNGSLHSLLHGDIFFVSSLLMGVGTPENRGPARVPLDWQTRLKLAQDAAHGLAYLHGVSGGTLAHRHLTSSNILVDGDGNARVSDFALLQLLAPAPAPPPGAALQQKQDVHGFGVILLELLTGRADADQLPRWARAAEWTRDDAFDVELPRSKAAADEMVALLQVALLCVADDPRERPRMAVVARMIEDIRDRGSRRSNRCSASPSQAARSYESSSPCVSTEDTTRSTPASSS >Et_2A_018074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9970903:9974676:1 gene:Et_2A_018074 transcript:Et_2A_018074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSRSLLVLLVLFAVIAHSSVACNPGGGGAPVPGGCQPVQCCVCCATGHGGCPPSHGGGGHNPPYNNTPPQHQPYPPPHYQPYPPPQHQPYPPPPPYQQPYPPNAPAPRPCPIDALKLDVCVDALGALAHLGKVGQLVARAKCCPLLRGVDDLDAALCLCTTIQARLINGNLYLPLAMNLLVDCGKQPPPRGFQCPPLHAATTTTANKVGPRHLQLGAVTGSRSALEMSGAMATSHSVLFLLVLSAFVARGSVARNCSPPPGHGGGGHGGGGGGHGGGSPGHGGGEHGGGSPGHGGGSPGHGGGGHGGGSPGHGGGYNPPPSNCPPCNKPPPYQPSPPPYQPSPPYQPPYQPPYQPSPPYQPPYQPPYQPSPPYQPPPYTPSPPPYTPPSPPPYVPAPRTCPIDALKLNACVDVLGGLIHLVIGQEARAKCCPLLQGIADLDAALCLCTTIRARLLNLNIYLPVALTLLITCGKHAPQGFQCPPLHAAPATTMAGHQLQLQG >Et_7B_054859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:578812:582434:-1 gene:Et_7B_054859 transcript:Et_7B_054859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGEGAMAAADCGDGAGEGNLNPSRRFFVALHVGAGFHSPANEKAYRRAMKRACLAAAVILREDSGTSVDAVAAAIQVLEDDPVTNAGRGSNLTESGHVECDASIMDGSSGSFGAVGAIRGVKNPIQVALQLAKGQMEGSSVLGRIPPMFLVGEGAHQWAKSKGMGTFGSTSEASNWLVTENARAQWVKYTSLLANSKGLSKHGTCGSEHDSIHLETETPGSKAENSADILKKIFRESFREDSDDCVMDTVGVVCVDSNGNVASGASSGGIALKVDGRVGLAAMYGSGCWASSKGPFGTPFIVSCCATGAGEHLIRGFAARECCISSSLSQSGPASACTKVLRSAVQSSSEMSHDTGAGLLLVQADVLKEGNLSVLEAVEMVAAYSSPSFGVAYFGSNMNHPKASMLRMPNDASNTINHFATRIKFDTNSFEK >Et_2A_016849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28880847:28884852:1 gene:Et_2A_016849 transcript:Et_2A_016849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMERGRHALCVLLLLALAITSSTSTFLELEEDVVLGTVGDATAKASKGANASTRRGGGGVGVFGAGRYLVGWKDEIAAMAARPELAAWLRGVRRRIHERPELAYEEVETSRLVRDELDAMGVGFRHPLARTGVVATLGTGRPPVVALRADMDALPIQVRVFKVQTLATTTIEAHGPCSLFKHRTAEAVEWEHKSRNPGKMHACGHDAHVAMLLGAARILKSREHHLKGTVKLLFQPAEESGCGAKRMIEDGALEGVEAIFAVHVSHQHPTSVIGSRTGPLLAGCGFFKAVIRAESQEQHQHGASRPRRRPGDPVLAAASTIISLQSIVSREADPLDSQARAAASSRSLGVVSVAVVNGSDDSVHAGGAELVLGGTFRAFSNASFYHLRRRIEEVIAAQPRVHGCAASVDFFEDQSFYPPTVNDARMYAHVKRTAAELLGAGGYREVAPMMGAEDFSFYSQAVPAGFYYIGVRNETLGSVHTGHSPYFMIDEDVLPTGAAFHAAVAERFLADHATLMADASAPSAEMIEQEL >Et_6A_047046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24503616:24507793:1 gene:Et_6A_047046 transcript:Et_6A_047046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDRLSALPDDVLRGVLYFAPPRPCSRGGGARCGAPRAPSTSRPVYTTTTDSDASAISGAFFHDSEAALAAAHAGGPVRRFTLHMEGHSSFCIRQVLTRERIHAMLSEPAAKHVEELRIGAAVIQSPDTYWRHTRPYGYDTAEMYKLSFGNLPSEALRVLHIVNCRHLEPPPSGVTFPRLAHLRLQGCIISIPDLQQVMDSAPQLASLHLEYFSFPCYYHLGGSDIDGLSSSCYHLLCPTINTLVLEDCNWAELKGVLELEAPKLQYFVYRGLAPHCQRLSLKPLTSTNLVQVDLHLTVESQEPICIPFWQFIRNFNTTKVLKLKLDFKIDWIAVVDEQGQDELLGNNLFFRLEQLELEGQYYETGCETAAVALANILHCCPVAQNLHLKLKKVYQTLSYLCPTKLAQSDFDKSIDRFRLRKRSMISMSGDYYGYEKCDVSDVPILNKHSFSCLQGHLRRLVKFFAENAMVLEEMSIDDGSQKMCHHVNRKIGRWTPNSSNTKTLQTYWKIVIGNIGKDDEYTGEQRDDTAGDSKLAPLIVQVVRDLQLNLRKILLLKRKFQSDFDKSVDQFRCRRRRPMVSLGGDDDHENRITLRRVRLKFWMGCFGIQLTNFFIENVLKCVLVRKLIYGSLEEMYGLIYGLLTHPNKEANHLGTRLEQIQDALFVHIEEKEDMKL >Et_6B_048992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16473368:16474631:-1 gene:Et_6B_048992 transcript:Et_6B_048992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLQYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADAQPQPTQNPASRPPMMQPGMVPGAGHYMSQVPMFPPRTPLTPQQMQEQQLQQQQAQALSFPGQMVMRPASVNGMQPMQAADLQQSVPVPADGRGGKQDAAAGVSVEPSGTEGHKNATGADNEAGGDVGEKSQVQ >Et_7A_051885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2595877:2601601:-1 gene:Et_7A_051885 transcript:Et_7A_051885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAVHASHALICLLPGRESRSMDIKSSLTRVHALMVPDSWGLDDGCCSWERVVCNNSTKRISRLDLAALYWPSSISTADVVWYLNFTVFSAFHELEFLCLSDNSPSLISLEGSVGLTKLRYLDLSYNGLEGSFLSFISKLVSLEVLALNHNKITGGLPPSAFEYLTNLRELNLSMNELTGSLPASLFALPQSIALEVLNLSFNRISGSLACITALKNAEALYLRGNEFSGSLPTSLFSLPNLKILDLSYNSFQGRISVSSSSEPVPLEVLNLRKNLMSVLPNKEVFENLQSLQELYLSSNQFSGNLPSALFSLPHIELLDLSENLLEGPIPVNPSSNLSLSLKTLCFSRNNLSGTLSFTWLRKLRKLQEIDFSANANLVVDINSPGWIPPFQLKKLILSGCDIDKSIIAEPHFLRTQHNLEVLHLSNSNLLGRMPNWLFTKEATLIDLDLANNSLTGSLGPIWYHQNSLQSINISMNYIAGQLPGNISSVFPGLYVLDISNNDISGQTPMSLCQMSAMGYLDISNNKFSGEIPSCIFTSNPMLTILKVSNNELGGLLFSGMNNLSAVLSQLYLDGNKFEGTLHGNLSGGLEVLDLHDNKLSGKLDTSFWNLSSLRVLNLAGNHLSGKIPPQICRLTGMLLLDVSNNNLKGSIPDCNGTGMLQFLNLSGNSLSGALDIRYNQFMGNINWVQYLDNIRLLSLGANMFEGLITPELCKLQYLRIIDFSHNKLSGSLPACIGDMAFKGDTDGQIFGPVFETMSDNFNSSYDSRGFTFATKWNVYTYSHNFFRFMSGIDLSVNMLDGEIPSELGHMSHIKSLNLSYNLFTGPIPAAFAGMNEIESLDLAHNNLNGPIPWQLTQLWSLVFNSFGTESYLGNINLHNISQGSKCAPNSGPVEQKDLGDTSDDPVLYTISAASFVLAFWATVAFLLCHPFSQHFMFYMTCGCVDEEKIALIRIRSSLVDANSKVPASWVQNNNCCSWERIRCNDNSKRVSDINLFGIYEPQAAYTTEGECSWNLNLMTFSSFRELQLLDLSWNFACLQNFDGIQGLTKLRYLNLTGNIFIGHNNLGSFSTLVSLEVINLANNNISASLQDIGTALNNF >Et_3B_031176.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:234369:234761:1 gene:Et_3B_031176 transcript:Et_3B_031176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAALLTICFLMALIALAAATPPPVAFRRSRFLASKLPPPTSYYDCKKKPPSICLEPGSPGATCCKGTCLDTDYNNQHCGNCNKACKYGDTCCAGKCVNLLTDSKNCGACGVACKSHDDCTFGFCNYAG >Et_2A_017157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31486846:31488418:-1 gene:Et_2A_017157 transcript:Et_2A_017157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADLFADFDFDALLASFSGEPAAVSDLITPSPPPPPPTARDAEAGSPDSVTSRPSPPAEALSEIERFLMQEGDAEVGGAVDGVSVEEFFDALYDGGEGEEKGKESEAGGISDGSSGREEEVLTPEAEKVEVDGDDPVSKKKRRQMRNRDSAMKSRERKKTYIKELETKSKYLEAECRRLSYALQCYAAENMALRQSVLKDRPVGAPTAMQESAVLTETLPLVSLLWLVSIVCLFLMPGLPNRSPAAPRSSGRDLGTVAGKTSSEHLETPDLILHGRRCKGTRAKIKLSTLPFHTVAAC >Et_3B_029493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25398466:25401500:-1 gene:Et_3B_029493 transcript:Et_3B_029493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSPSAMVDVESNHSAAAEEEMARFPVATKPASDKVVAKLDSEEEDSGFSMMEAEDSSSEEEEVRPDRFVDSHIRDAWNARYACYFGRFEDTTKIPNMRFTYKKPKLHESSVPTATLQIFSLKVAKIWGGLQWPLNVFGIVAVRDVVDHNRNMIFDRPRESCQILTQEDPYLKLTGPTRAVVLVDPVTFEVDLHVKGVTKDEDKRLSFLAVTYNDFTSIDSRLVKMDYASKLSMVKFELGSIVLSVEATISLRVKAGSGSWPDGFRAQISARTASIGTAEVILLDSGDGTRVHVSRDGSIKLLRDVVSVEIIGKLEVYVKAVRGEEIVMDKKSFKAKKKNVSNGTLRLGFCTLDVTVAWSLVSTSLMGH >Et_9A_062711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3546108:3554236:-1 gene:Et_9A_062711 transcript:Et_9A_062711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EEVEKAGTQLRPYICGVRHTELGNQLRGGVSGAHGDGVFCSSASPGVQKEKGLSSSGAAAYVAEEDTDALDCSVCFLPLKPPIFQCEVGHLVCSPCRDKLAATGKCHVCGIAIAGGYRRCHGMERLVESVPALFDHFSGVHGWPCPTKVLERSKKRTRYMSWILNDHVNVRLNDGFNFLLFDRHVADDQGAVTSTQYLFLLNVVRQPIGRAISVFWIHPNAGASCGYGQGSSKKLKFELSYSWFAEVTADQLIAKREQSSRFVVACTDLSDGLPGPDGCVQFIDPDSAIATGMLSRLELVLSSKSGRTAEAETASHGSKKMISMECKNQTQLCGSGDTCPTTTSASASATASTSSSSTTDEESAIAAAGRVVSVLWIHPEASDGARQLGPWSSSKEMECSLRYTGSWYVDCPSTNTQRINCEMSAKFKVACTDLPSGLHGIDGCYQFVVPNYPVADSDKDSSH >Et_5A_041122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18566487:18568999:1 gene:Et_5A_041122 transcript:Et_5A_041122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRPVVLLLATVLLCSHLVAAPSAASATGLHSDLLAAARAPDFAAWMSGLRRRIHQHPELSFQEHRTSELVRAELDAMGIRYVWPVARTGLVATIGGGDGPVVALRADMDALPLQEMVDWEFKSKEKGKMHACGHDAHITMLLGATKLLQSQKDDLKGTIKLVFQPAEEGYAGAYFVLKEGVLDDVSAIFGLHVIPELPVGVVASRPGPFISASARFTATITGKGGHAGIPHDTVDPVIAASSAILSLQQLVSRETDPLEAAVVSVTILKGGEAYNVIPESVTIGGTFRSMTDEGLSYLMKRVKEVIEVQSTVNRCTATVDFLEEDLRPYPTTVNDERMYAHAKEVAEDMLGEASVRIASQNMGGEDFAFYAQRAAGAMFLIGVRNETTMERVRPVHSPYFVLDEGALPIGAAFHAAVAIEYLNKNQCA >Et_1B_013872.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:21898677:21902072:1 gene:Et_1B_013872 transcript:Et_1B_013872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVINLLLLLSTVCVSSSFSTSWVVAAAVGSDADALLRFKASIKKDPRGVLSSWQPSQSGNFCGWYGVSCNGEGRVTRLDLAGCGLEGRASLAALSTVYGLCHLNLSGNGARLYADAAELVRLPRALQTLDFSYGGLAGALPGDLVATFPNLTDVGLARNNLTGAFPATLLSAGGNGVIRSLDVSGNNLSGTSAFAAGWSTLALLDLSGNRLTGTIPASLSACAGLRTLNLSFNGFTGEIPESVAGIAALEALDVSSNHLTGRFPETIGNACAKALRVLRISTNNITGPIPESLSSCRALRVLDAANNRLSGAIPAALLGNLTSLETLLLSNNFISGALPATIASCGRLRVADLSSNKISGALPAELCSSSSGEGSALEELRLPDNLLAGEIPPGLANCSKLRVIDLSINYLRGAIPPELGRLGDLEQLVMWFNGLEGRIPPELGQCRRLRTLILNNNFIGGDIPGELFNCTGLEWVSLTSNRLTGGIRPEFGRLARLAVLQLANNSLEGAIPTELGNCSSLMWLDLNSNRLTGPIPRRLGRRVGSTPTSGILSGNTLAFVRNVGSSCKGVGGLVEFAGIRPDRLLEVPTLKSCDFTRLYSGAAVSGWTRYDRTLEYLDLSYNALEGGIPREFGEMAALQVLDLARNRLTGEIPAELGRLRDLGVFDVSHNRLSGGIPYSFSNLSFLVQIDVSDNNLTGEIPQLGQLSTLPATQYAGNPGLCGMPLLPCGLPPRATTTAASVVAEPDGGSTNRRAVLLGVLVAAGLACACAAAACLVVARGRQRQAREAMMLSRLQDGTRTATTWKLGPGKAAEKEALSINVATFQRQLRRLTFTQLIEATNGFSAGSLVGSGGFGEVFKATLRDGSCVAVKKLMTSLSYQGDREFTAEMETLGKIKHRNLVPLLGYCKVGEERLLVYEYMAHGSLEDALHGTESLHLPWRRRKRIARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDAHMEARVADFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSLGVVLLELLTGRRPTDKEDFGDTNLVGWVKMKVREGAGKEVVDPELVDGEEAEMARFLDLALQCVDDFPSKRPNMLQVVATLREIDDAQAPEPPVATPAA >Et_8A_057717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6429236:6431835:1 gene:Et_8A_057717 transcript:Et_8A_057717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSGRAPETTRADDDYRLPDHSPRHAQMTVDALRRELLKESIRQELIVAELAGQRELGAEILHELRLENACPLGVRADLQLTTLPHDDTDTSPVQQDVQLHNAPRSPELGLDKALLTRRGALTLRRRPAKERIEEWYQPPWRRPRDENDASLILTRQSKKTFSGVKRKRATKSSAPNKKRSYEQWVCAICHVNTSSELCFKDHCAGQRHLSNVAELEPTKGMAGLMKIATAESHHDMQHHPLNCSICQVKCSGELDLKNHLNGRRHLENIEALCRENKESEGNRGLQEAKSYENKVPQLVDKNQRLASRWNCSICKANCTSEFDLDCHLRGRRHQQNLLGGKK >Et_2B_018924.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17021637:17022032:-1 gene:Et_2B_018924 transcript:Et_2B_018924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHEVPSSSPPPPPQKSKLSGRWSALPSQSTTTISSSVAAGEAAHVKGTTLMPAVRASPRAPTVLPDAGKCAKWRGLCQCVMPGRMRSRTSRSAAANAPGSGRNGASSGSWRRRNPGVTLGCTGYSSMRP >Et_9B_064449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15206649:15212505:-1 gene:Et_9B_064449 transcript:Et_9B_064449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFVSKFGSDETPKRRASKSKSFKDVENFEVLVLEKNCGCKFKSLRILIIAIISATVVTLLTPTLYEHQLQSASRYVDVGWMWDKITSDPRYVSSVNVQWEDVYRALGNLNGENQKLRIGLLNFNRTEYGSWTQLFSDSRVSIVRLEPAKDSITWQTLYPEWIDEEEESEIPSCPSLPEPNNLVRHEGNAWLYSPDLKALKEKLRLPVGSCELAVPLNAKSRLFSVDRPREAYATILHSASEYVCGAITAAQSIRQAGSTRDLVRIIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILKNVDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMDHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDDEEMKAKKTQLFGADPPILYVLHYLGLKPWLCFRDYDCNWNNPSMREFASDVAHARWWKVHDKMPQKLQSYCLLRSRQKARLEWDRRHAQKANFIDGHWRQNITDTRLKACHEKFCFWESMLWHWGENNGTKRAPATATPSVIPGYSASIAQTILIRKTNYSLNVDLTLFGACLGAGCSCTRNTDRKNSTVRPCEPNLTAR >Et_7B_053671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1114698:1117679:1 gene:Et_7B_053671 transcript:Et_7B_053671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKVLLLCGDYMEDYEVMVPFQALQAYGVAVDAVCPGKKSGDVCRTAVHQGTGHQTYFESRGHNFALNASFDEISVNGYDGLLIPGGRAPEYLAMDEKVLDLVRKFSDAKKPIASVCHGQLILAAAGVVQNRSCTAYPAVKPVLLAAGGKWEEPDTMAKCYADGNLITAATYDSHPEFISLFMKALGGSVAGSNKKILFLCGDYMEDYEVMVPFQSLQALGCHVDAVCPDKGAGDKCPTAIHDFEGDQTYSEKPGHDFTLTASFESVDASSYDALVIPGGRAPEYLALNDKVLSLVKGFMDKGKPIASICHGQQILSAAGVLKGKKCTAYPAVKLNVVLGGGTWLEPNPIDRCFTDGNLVTGAAWPGHPEFVSQLMALLGIKVSF >Et_3B_029646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26787979:26791598:1 gene:Et_3B_029646 transcript:Et_3B_029646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAWTLPDHPKLPKGKKVAVVVLDGWGEANPDEYNCIHVAQTPVMDSLKNGAPERWRLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDSALASGKIYDGEGFKYIKESFDNGTLHLIGLLSDGGVHSRLDQVQLLLKGASERGAKRIRVHILTDGRDVLDGSSVGFVETLENDLLQLREKGVDARIASGGGRMYVTMDRYENDWGVVKRGWDAQVLGEAPHKFKSAVEAVKTLRAEPGANDQYLPPFVIVDESGSAVGPIVDGDAVVTFNFRADRMVMLAKALEYADFDKFDRVRVPKIRYAGMLQYDGELLLPKRYLVSPPEIDRTSGEYLFGHVTFFWNGNRSGYFDESKEEYVEVPSDSGITFNVKPKMKAIEIAEKARDALLSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAVEQVGGIYLVTADHGNAEDMVKRNKSGKPLLDKSGAIQILTSHTLQPVPVAIGGPGLHPGVKFRSDIETPGLANVAATVMNLHGFEAPTDYETTLIEVADN >Et_1A_005577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11695058:11697836:1 gene:Et_1A_005577 transcript:Et_1A_005577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKAKAKRPVLPQPRAGGRRRSFAVGGLGLAAAAYVGVDYLRHLSPAWHGRLQPALWAALALATAARAPFYRRWDAELRAAPRFLAALVFMLAAFLCEAISVRFVSTVLGLQWHRSTAPLPDTGQWVLLALNEKLPQTVVDLLRAHVINLHHYLMLFIMLGFSALFGCIKGPGLGIASRYMFTMAVGRLLRTITFLSTILPSARPWCAEARYQIPDHPHPWAQKYYAPYASGADVIRRVVKEDMPYAAVQDYPAEYRPEWGQMSFLVDILRPTSGEGPSWYHLLKRASGGCSDLMYSGHMLVAVLTAMAWAEAYGGWVSVAIWLLVVHSAQREIRERHHYSVDCIVAIYVGILLWRRTGFLWSLLKEVELAGEEKKSFSQRVILSFAAAMIVFTLLCVLLAFTLTSDG >Et_3A_024763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2472769:2473301:1 gene:Et_3A_024763 transcript:Et_3A_024763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTERGREEDCTAALLLRSDVSKEKHLGRRVFEESKKLWVIVGPAIFTRITNYSMNVIMQAFAGHLGDLELASVSFACTVLVGFNYGIMLGMASALESLCGQAFGAKKFHMMGVYMQRSWIILSACA >Et_4A_035030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8969079:8971643:1 gene:Et_4A_035030 transcript:Et_4A_035030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SEKLRYAKHRFQYNYYGPTFTQANEEIPALKAQLTPGPLRNVPAPLLSWEPSGSKDFKPTDPNGNFSSPPPPPLSSQLPTPHLVFRRCYINPFASQSSSIHPGSLEAMDPHPTPFAGKRRSVAAPAAPSAKAAAPKPKSIAAARAARMAKRSPTGNANAAPQPRPPRRAFGTVRNSNAPAEKPPTTLQKPSKVSPPPPQKPAKVSPPPVQKHSKMSPPAMQKPSKLSPPNPVRGTKPSRPMAKPLKKAAPAVDAEAKAKKRSQRVSFLVAAAEATAPGSGEKAKASADDAAGRTPMVAMRTAEKPAKIVAAETPFFSAQNCSSCTLDQLESASYWLAQIRLAESVGKHWVAAAFFRLAFQCQAQPNHRIQSELRNYTVRHESAGTLAPLFGDLLTAHGMLVNQPKFDTEGLEKVDTPLATNLLEQKLDSATVKVDEGMECDCHDDPVDVDAVIVDKHCEDSVDHTKFERKLDESFKVDDCEAVIVDGLEEANFDRQDNAEMKVPFSSEIVQSECRTSIEKLTPRKSTVDMDSSSEWLSFEKPLNKLSPIMMLSSAKRLSSGGSPFNTKSPLSSKRLTSSCPSYKKSVSVRDRSSKQMTSDIYSDRENNTIAATAERQTNENQNMGSKHPSLGKLTCSGFFFSFGVAITMSHDLIESALQMTNWKLKNLRRMLPIMRYALLLHSKATVDQLLAQDNLEFWDN >Et_3A_026216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5582580:5589307:-1 gene:Et_3A_026216 transcript:Et_3A_026216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEGTEAEEMAGPAASWRLNVSDFQMPERPKEPPFVTRVFLRGHELIEYLRAHHRALAGQGREGEAMGSHGRRSGGGSGSGDEGSAEAGSWRLRMGSGFSVPERFHRQAPFYARIFGGGSHGKQRKIAKYYKKQENLLKDFSEMETMNEFGCLDQGAPSEEEVRQLAKAERLAINVSNIVNLVLFVTKVVASIESVSMAVIASTLDSLLDLLSGFILWFTAHAMKKPNKYSYPIGKRRMQPVGIIVFASVMGTLGFQVLIESGRQLITQDHENFNHKQEIWMVTSMSSVAVVKFFLMLYCRSFKNEIVRAYAQDHFFDVITNSIGLASALLAVRYKWWMDPVGAILLFGNLRVQATSPAIGLTTCTRK >Et_6A_047520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6927083:6929981:1 gene:Et_6A_047520 transcript:Et_6A_047520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPNGNNVMAEIRKRLLCFEFLPNKSLDKYISEESSGLDWNERYEIIKGICSGLHFLNRECHIVHLDLKPENILMDATMRPKIADFGLSKILGAQKSQTIIHGSIAGSLVLPRRSLPQADIFSFGVVIIEIITGQKHDYPSSTALSLQHLHVNANNGGTSTEMSVQQYKDNVLVKWRNVLQEEQKYTSPQTYTEQVKEFITIALECVHPDSARRPDICDVFERLTGESGKTSTTLLVLVRPSMGTHLHAYFRASNNN >Et_7B_053779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12080133:12082378:-1 gene:Et_7B_053779 transcript:Et_7B_053779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLVQFGRKAWFIVRVMSGYEERRIRSYRLQLQKRLEMATRKEELRKQPEQMILSEVRQVVQQMQALNQHLEEAETAIDEYFKPIDKNAKIIMDMQMDKEEKKMKEMAKVMQEQAKMQREIAMRRAEATTVECKNDTKMTEKVAEIPPKQEAAK >Et_1B_012839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35494028:35494544:1 gene:Et_1B_012839 transcript:Et_1B_012839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPKPVLAILAFGALFAIMRALNKKAPSQSTGYVLMTWCIEKMRAGLHRRLHASHPDHSCSHRPVFDER >Et_4B_038287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27899193:27900513:1 gene:Et_4B_038287 transcript:Et_4B_038287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAEVSALPLAGAARQGEGGGVVPPFFMGSIWPASGSAGGSASASEEDEAAAAAAAAAAHDRALAASRNHREAEKRRRERIKSHLDRLRNIDKASLLAKAVERVRDLKQRVAVAGEAAPAHLFPTEHDEIVVLASGGAVFEASVCCDDRSDLLPELIETLRALRLRTLRAEMATLGGRVRNVLVLARDADVVHGGGGGVTGDDGYGSRADSSAGSIDGNAGGDFLKEALRALVERPGGGGDRPKRRRVSDMNMQAAA >Et_9A_063605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9234091:9237078:1 gene:Et_9A_063605 transcript:Et_9A_063605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPDLTYGKISGQPKEQYLQGSSSDSATFSNAKHRISPSSCLHVGHRLCTLALHVEHKLTDTCPTLIYGEVRFSF >Et_7B_053439.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:19452152:19452373:-1 gene:Et_7B_053439 transcript:Et_7B_053439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVLQHVRNTEDHLVFDKVIRARQQIADEGLYYDLIINAPNKYCKAVVFIHDYVDKTEIHLISFDVTTNPLN >Et_7A_051014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13577979:13584178:-1 gene:Et_7A_051014 transcript:Et_7A_051014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHETTVELSIYLCFLSLTTFQLEMADEYDRSSYKTSGGIHDEGGYNKTSTDDYGRGTGGFNKSSTDDYGSGAGYKKSSTDEYSSGSIYNKSSTDDYGNGGAYNKSNTDDYSSGGANKSNTDDYGSGGANKSSTDDYGSSGAYKSSSTDDYGSGGANKSSTNDYGSGGGYKKSNTDEYGSGGAYNKSSTDDYGSGGAYNKSNTDEYSSGGANKSSTDEYGSSGYNKSSTDDYGSGGDYKKSSTDEYGSGSTYNKSSTDKYGSGNTYNKSSTGEYGSGGAYNKSSTDDYGSGGASKKFNTDEYGSGNAYNKSSTDNSGSGGAYTKSSTDDYGSGGAYKSSTDNYGIGGTYNKSGTGNLSSDSYKSSTDDYSGTGGYKKSSTDDYSGTGGYKKSSTDDYSGTDGYNKSSTGDYNTGSKDSNTSEYGRSDEYKKTGSDNYGGEYKSSGNEGTYGGSGYNKSSTDDYNSGKNTSNTDDYGSGGYKKSNTDKQDSGYKKSGTDDYSGGYNKSGSDEYTTSLLHLSNRSWLVGLRSLPCYKYVTLVCVLQASFTLSSADQLLPPPSIEMADEYGARSGYGRSGAGDDYDSGYNKSTGDDYGRGEGGYNKSGTDEYGRGGGDGYNKSGADEYGRGGGDGYNNSGGDEYGRNKSGTDDYDSGYNKSGTDDYDRGTGARYNKSSGDDAYGAGGDQYNKSGTGADDEYGSSRDDPEKYRKEAKEHKHKEHLGEAGAIAAGAFAMYERHEAKKDPEHAQRHKIEEGVAAVAALGSGGFAFHEHHDKKEAKDAAEDAEEEAGGEGKKKHHFFG >Et_7A_050684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10059680:10062607:-1 gene:Et_7A_050684 transcript:Et_7A_050684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDLKDRLLFPTSRAAAANGPHHRRVTPAAAGVGGSVGVAIDVNGLKRRGGGRRSWVRVDAATGAAEAVEVAKPALMRRLDLPARDLRLLDPLFVYPSAILGRERAVVCNLERVRCIITADEALVLRDPDAAGGAAADEAVRRYVDELQRRLVDRADDLPFEFIALEVALEAACSFLDAQAVELEAEAYPLLDELTTKISTLNLERARRLKSKLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMEATLLDDDLQGVGNNGFGSSLSAPVSPVTSPSLSRRLEKELSFARSRQDSIKSFKSSASSQYNIEELEMLLEAYFVVIDYTLSKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVSGVFGMNFEVPLFKVPHAFEWTLAIAGICGTIIFCCFLWYFKKRRFFPL >Et_2B_021221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27589962:27591569:1 gene:Et_2B_021221 transcript:Et_2B_021221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANRYVAIRHHVDGAPTEADFEVREATARWTPDSGEVLVRNLYLSIDPYQLNRMKRSSASHHAVDGILPGERIASYAAGEVVASACDEYKAGDLVAGVLGWEDYTLFRPSPGVLMSKIDAGFPLLVGQFAKLAGCYVVGCAGTQAKVDLLKNKLGFDDAFNYKEEPDLKSALKRYFPDGIDIYFENVGGEMLEAALANMNAYGRVAVCGVISEYTDAGRRAVPDLLEVIYKRLTLRGFFAWDFLAKFDEFNAVIGDWIRQGKVQVLEDVSDGLESVPAAFAALFRGENIGKKLVKLA >Et_2B_020681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22732654:22735326:1 gene:Et_2B_020681 transcript:Et_2B_020681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASCLAPPPPRLPCPRVRLPPPSPSCAAGRPSLGFGPRRAAPAKGRRLVHASCFRQDQDGLTTSDDGSGFKAIAQSESSWSMEANKEESENTNTNDVCDGNANEEGWFVRVQKIKDDVQDKILRFQSERWMVPWTGETIAQVMILWIATFWLVGSWIVPFLAHAAGFSKETLTHRGQALYSLLTDITEGLAGIAILHQCLGRFRPLPPGWFEFNLKGRWYLDVALGCLLFPLVNLLSHINISLVPMSPSPVVGVSSVEQSIVARDPVAMALYAVVVTVCAPIWEEIVFRGFLLPSLTRYMPVPWSILVSAAAFALAHFNAQRVMPLIFLGVVMGGVFSRSRNLLASMVLHSLWNGFVFLDLMK >Et_5A_041136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18685614:18685854:1 gene:Et_5A_041136 transcript:Et_5A_041136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSRPPRACSAPASCIIGLMWPWNGSGNIKTRRRRHGVPLELAGVVVAAAITSVAQRDRRWVMDHGAV >Et_7B_055777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4334130:4336329:1 gene:Et_7B_055777 transcript:Et_7B_055777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMAEKRRHHGHVHGVGFGVGGGHAEHEEKKHKEQKLDMSGMSMDTIPHLTMPLGHITTLDLSNNNLESIPESIIARLLNVVVLDVHSNQLTSLPNSIGCLSKLKVLNVSGNLLHSLPSTIEECRALEELNANFNQLTKLPDTLGFELHGLRRLSVNSNKLTALPFSTSHMTSLRALDARLNCLRALPEGLENLGNLETLNVSQNFQYLRELPYGIGLLVSLRELDVSYNSISALPDSMGCLTKLARFSATGNPLVCPPMDVVDQSLDAMRAYLSARINSSESKKKRRSWMPKKMVKYSTFTARMMTPGRAKVHGNNEGGLLMSDYRSLDGGRIASPGFLSMLSPRRLFSPRRNSPKH >Et_3B_030175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31121827:31122683:1 gene:Et_3B_030175 transcript:Et_3B_030175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPAAAATCSSRRSSDFPAWILLDGRAHIGRHDVNETTAQTVTSAGRPITASLVAVDPPGVSRCAVHYADLSTATPNPTQSSTSSIGPTPALHLVPCPHPCRRPHDDHVGVLPCGGDDGDHCLVVVPEELPLEASRDVHVFSTETQSWSTKAAARVVGDVGCGLSVHYHHSKVLAVGGGVLAWVDLRHGILLCDVLAEEPEMRLIPLPPLMSANDVGCFGVGFDGASPSLRPIRDVAFCANGSIKLVEMEYPCNGSGERTRDFRWTAYHQAYMRMMQRCL >Et_10A_000054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20276515:20277810:-1 gene:Et_10A_000054 transcript:Et_10A_000054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSSRKMAAAVSQRRDRTAASSDLSSVRADEELLLLVGREEERVDDGRRVADGLVHVEHLEELLGGALGHGHGLREAEHVQHHAAGQVGEDGAARGGVARPREDARAEVVLRRQAHVGGVPERLDPGHRLRLQRLPRHEPHLVDAAVLVAQAPKRRLERVATRHQEHLRALRRVPGVDHRVRHEAEPVHRLRRVRR >Et_6A_048137.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7432943:7433260:-1 gene:Et_6A_048137 transcript:Et_6A_048137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRLRSPPLDVASRVLKAVAATANAEHFQELVDWLEEHKADRYVEATTLGLGSPTLLLATFRLDTDFGFVGTFMWPTLAAGALELDSRRVLKPVITAKYLGLI >Et_9B_065408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4725227:4728318:-1 gene:Et_9B_065408 transcript:Et_9B_065408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELHREMRPLSSKLFDVLLMALGLTDEQIAAGETERKIRETLTPRIRLNLYPKCPDSEGATGMAPHTDSVFLTVIMQNLVPGLQLLRRGPDRWVTVPAMPGAFAILIDDLFHVLTNGRFHTVVHRAVVNSEQQRISAIYGLSPPDDMKVAPLPSAVLPGTKAVFRASGPAERDHPTVESAGRDAVPVVDMRDPDAARAVARAAEEWGGFLLVGHGVPAETVARAEEQLLRLFELPAPERTRGWRRPGEANAMGPYASHLAKLAWSEGYTFPATAVRSVFRRVWPDGGNDYDRFWYVRHAFTYFPLVRVSRVSSRSNGCVLHMHLCSEVMEE >Et_1A_006727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26922924:26925297:1 gene:Et_1A_006727 transcript:Et_1A_006727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCILMENCHSRQKRLSQMFSADELWRLMTDAHKYDGRLMKGACLTIYDEMVFAHETMSTTKKLLASLVKEAKKGKKRMKMNKQNVDLMKMTTRLILWHSSGYLEMQIMVPNFRACHAKLFLLGL >Et_9A_061491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12642084:12651486:-1 gene:Et_9A_061491 transcript:Et_9A_061491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFGLAGGIPERRVRPIWDAVDSRQYKAALKLCTALLAKHPTSPYALALKALILERMGKPDEALSVSLDAKERLYSDNIFHFDDLTLSTLQIVFQRLERLDLATSCYEYACTKYPSNLELMMGLFNCYVREYSYVKQQQTAMKMYKTVGEERFLLWAICSIQLQVHFSSGGAKLLPLAEALLKKHISSHSLHEPEALALYISILEQQAKYDAALEVLSGDMGSLLGREEDKLRLQGRLLAHASSYLAASEIYQKVLDPDDWESFLHYIGCLLEHDVNLPKPCTGEHASPSCSVDSAMTKKSFLSEELVESRLANALSFVQRLQESNTSDCVRGPHLASIEIERQRCLNGIPNDRKLIEALVKYFSRFGHLSCSASDVEIYLHMLSRDEITELLAEISGSFDASSVSVNTLGLTITLIKVQELLGMLFTKSTAELQGIAKRLVDTFYTNLPLSNDLDPQESMYGEELLSLASSILVQLFWRTRNLGYLLEAILVLEFGLTVRKYMTLEVKNILLESVSHHILPQLLNSPFLQHAADLVKDYLKFMDDHLKESADLTCLAYRHRTYSKVIEFVQFKDRLQRSMQYLSAKSDSVVLQLKQKAESLEEVESILENASHGTRLVEISNEDNMKQLTVNEDLEARPWWTPTSSVNFLSEQFDEGSAPSSYRNKMCKHKSKEKDGPNLKDAERKSLVPRLVYLSTHGCAYFLREGERNNGSCNVIASGEMKTLLEKYARSIGYSIDDALSIVLGMSSGKKAVKDYTPDIVSWMSFAVFINAWNLCSSGSVIPASDCSSPNSWDTVDALLKICIEEQLTDGNRMLTSPGNDIPLLVRMVTEPISWHLLIIQSCMRGMAPQGKKKKKGGPSERPNTPQLLAIQCSVNRMVDTLRRVQTWLSDQMKPEEQALDVLLSYLQGTSTEGPGQISRTLDESAVTAGSEIGVGIAQSLESWSSAGVIRRIAGAEKETIAEFRKICESKLKLLMSESASLSSMLH >Et_4B_037511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20717664:20725857:-1 gene:Et_4B_037511 transcript:Et_4B_037511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVAAHLPLQAPARVGPAPFSPPAAGGARFPRRPELRRLAASGGRALARVRAEAGSGSVGGGARRREPMVPPYNVLITGSTKGIGYALAKKFLEAGDNVIICSRSAQKVESVVGDLKKEYGEQHVWGTVCDVREGKDVKALVEFARDKFKYIDIWINNAGSNAYTYKPLVETSDEALMEVITTNTLGLMICCREAINMMRNQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMNEVNNVMVHNLSPGMVTTDLLMSGATTKQAKFFINILAEPPDVVADYLVPNIRTIPTNQSMKPTYFRFLTGFKAYSRIFSRLAFGARRNKYPSQYNVASYMSRILDPCMIRARQPTRKRMLWTDDLHKIFVKAVAYHGGPHAKPSAVMQTMEAMGVTSLMIHNIKSHLQKYRHMEKCGLGAGAPDNVPGTTSPSKAALNLMSEMMVDTDAVMAEIETMEEELMTEIQLMELNSQIPQSAVDEYMDGLANHA >Et_8A_057043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20423195:20430061:-1 gene:Et_8A_057043 transcript:Et_8A_057043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAASARLLLRSLSPSSASACCKPRLVATSAARLALSRPCGAWAGRGLARAAGGLSGSSRFAAGARPQIGAAAVPAVERFQRRMATQAAGHPFKSILTNLPKPGGGEYGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVNTNDVEKIIDWENTAPKLAEIPFKPARVLLQDFTGVPAVVDLAAMRDAMAKLGSDANKINPLVPVDLVIDHSVQVDVARSPNAVQSNMELEFSRNKERFGFLKWGSTAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGMLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLRSGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLDYLKLTGRSDETVSMIEAYLRANKMFVDYNENEFTRLILSWTLLRLSLAYLALKEIKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLEVKPWVKTSLAPGSGVVTKYLLQSGLQEYLNKQGFHLVGYGCTTCIGNSGDLDESVSAAIAENDVVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGVGKDGKEVYFRDIWPSTEEIAQVVQSSVLPDMFKSTYEAITKGNPMWNQLTVPEASLYSWDPKSTYIHDPPYFKDMTMSPPGPHSVKNAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLLERGVDRKDFNSYGSRRGNDEIMARGTFANIRIVNKFLNGEVGPKTIHVPTGEKLYVFDAAMRYKSEGHDTIVLAGAEYGSGSSLKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERFNIELPTNLSEIRPGQDVTVTTDNGKSFTCILRFDTEVELAYFNHGGILPYVIRNLAGAQN >Et_6A_047060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24730268:24730689:1 gene:Et_6A_047060 transcript:Et_6A_047060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKCSSAVSIMFVAVAVVAIFVPYGEAVKYGVCQSACLSIQPNCSAWCHRIGYKKGGECVPPRYIICCCWEIPPSEKRNDTTGLFRALHM >Et_4B_037883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24388323:24400282:1 gene:Et_4B_037883 transcript:Et_4B_037883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGAAPPGMDEKARRTRDLLASFYNTDPSASAAAPASPASLARLSPTATAASPLDSINSTSFDPEIYMNVLVQQSSLENLLQRHVKMAAEIKNLDTDLQMLVYENYNKFISATDTIKRENIEKLHRTRNLLRKVQFIYDLPTRLNKCIKTEAYADAVRFFTGAKPIFEAYGDTSFQECKKASEEAIDLVIQHLQEKLYSDSEPIEARAEAVVLLKQLNYPVDNLKSNLLEKLEDCLLNLQNEPTQASIGDISKTFRAYLIIFPDSERRLIELAQALFSNRYETVRENLKKRVPSTDLLAMLRSLWEDANSIDEVIPEAALPAFSLETTRDIIRQHIATAFRHLQSEISDALIKTHSTSNEKLEESQLQITMETSKTKVSQGCIDLLQEFHHLIDGNTELLVKLRDLIIDWVQEGCQDFFQKLDGHFHLLSGRSKSFSQESSTLDPVHIEKVPAVLVLMLAQLCVYIEQTTIPKVTEELASSFSGGGARSYEYGPPFVPGEICRLYHSSGEKLLHHYINMKTQKISKLLNKRFTTPVWIKHKEPREVNMFVDLLLLEFNVVVSEVKQILPCGLIRRHRHSDSTGSTTSSRSNPMREDMLNRSNTHRARSQFLENHLAKLFEQKMEIFTKVEYTQESVISTVLKLCLKSLQEFVRLQTFNRSGFQQIQLDMEFLKSSLKEFVDDEAAVSFLLKEVNNAAHERCLDPIPLEPPILDKLINAKLAKIKEQNPNMQSDDPATTGVRNDYAQRHSEQAPSALAPSPHASSFFLCCFSAPRAPARRRRMLSHPRLFSEPRLMNAKLNLTNHTTVGK >Et_4B_036619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:108841:110726:1 gene:Et_4B_036619 transcript:Et_4B_036619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEATSHPSRYVKLTKEQDAPAEDINPGELNQPVHVPQLEGRRCTECGQVLPESYEPPADEPWSTGIFGCTDDPESCRTGLFCPCVLFGRNVEALREDIPWTTPCVCHAVFVEGGITLAILTAIFHGVDPRTSFLIGEGLVFSWWLCATYTGIFRQELQRKYHLKNSPCDPCMVHCCLHWCANCQEHRERRGRLVDSSVVPMTVVNPPPVQEMSMAETRSPATAAKSEHDDVEVIPL >Et_7A_052849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:217620:218402:-1 gene:Et_7A_052849 transcript:Et_7A_052849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHGGGQAGDRQACCSFHPREVVVGVCAHCLKDRLLLLLANAKDDAVFIKPALIRRRTSSISLPKVFALGSSFLQRIDSRHRHADGACSYSDDDDDDTTSVASLDDSFISIKFEDNGKATWDKAAAAAAPARVLVVAAADHVKRGGVTRWRKQVVGRLLQLARWKRSSTAKAMDAGNKLKTKAAVDKATRGRGWIRSITRRRAHGDRAWS >Et_5A_041159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18944764:18949702:1 gene:Et_5A_041159 transcript:Et_5A_041159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYGAPSASLAPVAVVSPQFCAPYVVPLTVTKKALSLSDGDFTVTDANGGVVLRVKGAVFSVRHRRVLLDAAGQPILTMTEKVFSLHNRWEVYRGDSTNAGDLLFTAKKASIIQLKTEVDVFLAGNTAEQVCDFKIRGSYFERSCNFYLGNSNTTVAQMAAPYDVAPTHAPAPVAVVSPQFCAPYAVPLTVTKKAMSISDGDFTVTDANGAVVMQVKGAVFSFRNRRVILDAARQPVLTMQEKVLSMHNRWDVFRGDSTDASDLLFTAKRSSIMQLKTEMDVFLAGNTAQQVCDFKMKGSYFERSCAFYLGSSDIMIARMDRKYTVSNVMLGKDTFCVTVFPHVDYMFIAALVVILDEVHRRDQNY >Et_4A_035563.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29359994:29360458:-1 gene:Et_4A_035563 transcript:Et_4A_035563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAWPSSAFVLVKNRLDVRALTPARWSVPACRAPEVEWATINCATKKAYGCGKYGQSLLEGITLSASLADYPDLTSALSITLSAEAVRSVKAEVGSPNGKLEVKGSILTVTRHLLVLIVGFHGLHNGELDYFLVYDSADASLYMVPYLRGTR >Et_5B_043692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14035960:14040947:-1 gene:Et_5B_043692 transcript:Et_5B_043692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVALPNWVMLERFVFRRDDDKSFPVDKRTLATGTTFAGTPFRVSFILAEPTTPSRLYICWPQADPLLQCTPLLRLLPDCTEYNSYFERPVQRIFEPRGAGLLCCGEVFAVAYLTICRSAPSGRLDAELSVLCSSIRGDSTDAGEEWKTKLLPIQSKNNELDDLYYWVTHDVVPFKKSLCWVDYSRGSLMCEDVCGDSPKVSYIRFHPVNGSHHRPGGSRLASICVVRQDGQTFGPMNPGTGFTITTQILTTTENGGAEWNEDLVVDGSQLWAKNTPACLPRQTIVLPLLSMDQSNVAHLVLRAWSTNIARHIGKISLVTIDLRSQTVLHKAVTYIDGEDRATDDADLVMTKPRFFMHFIPAEFSRFLNQA >Et_2B_022456.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22606340:22607788:-1 gene:Et_2B_022456 transcript:Et_2B_022456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARFDYGVTIFILTYTLVAVSGYRVDEVVALAQNRLTTIAIGAAICFAVCALVLPVWAGQELHAQVARNMGKLADAVEACVHGYFSSESDELSARASGYKAVLNAKASEDSLANLATWEPAHGDFGFRHPYHLYQKVGAAMRCCAYSVDALAASVAAEIQAPAHVKKRLAAACAALSRSCAAVLREASGSLDSMTRSGRLALLVDDMNAAAADLREELTRLAVALEDDDDEPASDEQSTAAPLIEVLPLFTATSLLLEICARAEGVVGAVDALATAAKFKKADHEVFIDVSTTATALAAADAPQETHAKVAAVDKEKAATDQAPRDQVGELLKLLMRRRSTKKWAPKVCPQPPSDFAAHVVSSPKSWSMELTTSHAQVVPSPKSWSMDLTAHPPVAPSPRHRSVDVVAGHPPIAPSPRHRAVAELVAGHPPIAPSPRHRAAVDVAGHGPVVLTSPRNRSMDFANHGPVAPSPRHRSILATA >Et_8A_058338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5301214:5303000:1 gene:Et_8A_058338 transcript:Et_8A_058338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRMAGLTPAAHAAGLRRLSTRAAAGPSSASASPRHGLHSFASLAAAVLAHLRACGVAVLPGLTESELARAEAELGFAFPPDLRAVLAAGLPSGPGFPDWRGRAGLRAAFDLPIAAASLQIARGALWPRCWGPRPADPDRALRLARAAIRRAPLLVPLFDRCFLPCRPCLAGNPVFFVTDDRVLCCGLDLLHFFTRDSCFQPLDARAPVVPPPPSSHGDSSSASTSAAATPYMRRSLDAACGGKAPRWIEFWSDAASDRRRRDSSSSEASTLSTASSSSGCASPPPAARRSRTPHWVDSYLDRLGSVLRQGGWRDTEVTEMVEVDASGLFDGGEEAAAPATVDSDAVLDALVLKADRCSDSLRRAGWSSEDVSDALGLDLRRCKERPRPAVRIPPEIAVKVERLAKSIYYYYYYRVISKRSDSM >Et_5B_045285.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:16549634:16549897:-1 gene:Et_5B_045285 transcript:Et_5B_045285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPLLVRDLFYSSIINHETMQKLLPQATEAMKKSAGLLINTFDALEKVELDRICGQLDIPIVLAVDPLHKLFSKTTGSSLLGPRP >Et_10A_001044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20485022:20491508:-1 gene:Et_10A_001044 transcript:Et_10A_001044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDAETVQAAPALAAFLQNSPTRMPTIQSFYHFCPPFSLMYYYSVSHTPLTHYCVTIAHHKKPQVSKYYYKKKAIQGRNGKDGADQDSRIEPRSPLSRQRVTAYGILTYQTGAFYEIDHEKLPPKSPIHLKSIRVVKVSQCTNLDVTVTFPSLLALRSFFSAYQTSGSGPELDERFVMSSNHAARILRRRVAEEEIECEVHLDSFWFVKPCLYNFGASQQAPPSAASPSPSPSPVLPAAKPATATGSCLLTTLKCDGTGWGVRRRVRYIGHHRDAPKESSVDGYDTEASVREEEQHPVTQEIRRSPRNCKRKREAEGRSPGKSRDEHNKKKKIQGRSKKSPKKEKKRTVEAKDGDPRRGKDRWSAERYAAAEKSLLNIMLSNGARFGAPVMRAVLREEARKHIGDTGLLDHLLKHMAGRVPEGSTHRFRRRHNADGAMEYWLEPAELADIRQEAGVSDPYWVPPPGWKPGDDVASVAGDLLVKRQVEELVEEVDGVKRHMEQLLGKDGKFDAERCYSSLKEKYQSVVRANERLEKQVLSLKGKYKNVVQMNGELKNEVLSFKDKYEHIVDKSDKLEEQITYLSSSFLSFKDQLPLLLKMEATHQVELSPSAGDQEALPRTTLYTASGDQMTQPADGTVVQGGPDSSARKSSFRICKPQATFLLPSMSSGITTSCPRPGLPRSTSRPSSTGLPASLRAPFEVMVTPPGPDEHVMLGTLTTPPSASSTTTAAKLQLSLPSPRSPLQPQQLFATTASAGFSSSPAMHSFSGLTLGHVDSSSSLPTPCSVSLLEGKRAMFDADAGRISLGMKSKDFSCLGSDWAVPSCLSFDKSAQPMFEL >Et_10B_003351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19049444:19053431:1 gene:Et_10B_003351 transcript:Et_10B_003351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTLPTISLYEFAAIRRGDKKEMNDFQKTKEYNTGQFQFLALLYSSSNGFCPTEKLNISYFYTSSCHSLTQRIIVKIQHWQLGSFQFYVKHGFLSDVVLGYIAEFSKCIHYVLLGNVGQSDLGLLRFSQGCLSLQKLKLRGCYFTERALAFAALHLKSLTYLCKDTGASTTRVDLFMKIICPFCYVEFIASLLLLQEGGQIALHYNFIGNGIAMQATLKPWSHCEGQTESIQQATKPRSAAMPRYVSSTKSNPQAGSA >Et_7B_055463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11107991:11109453:-1 gene:Et_7B_055463 transcript:Et_7B_055463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSWVTDDKHVLSVVEYGKVSGNYTASATGDHTSYSYFLYSSGKIHHVTIGPLEPGTAYYYRCGRAGHEFRLRTPPAALPIELAVAGWMEWKPYLPNLICCVQPRADSLIGMELAGDLGQTEWTASTLAHVSKTGYDMLLVPGDLSYADTQQPLWDTFGRFLQRHSSRRPWMVTQGNHEVEAVAPHAPGSPRPFSAYEARWRMPHEESGSPSNLYYSFDAAGGAVHVAMLGSYAAFNASSDQYRWLARDLARVDRRATPWLVVLLHAPWYNTNAAHQGEGEAMRKAMERLLYEARVDVVFAGHVHAYERFTRVYNNQANPCGPVYITIGDGGNREGLALNFEKNHKLAPLSMMREASFGHGRLSVVNATAARWAWHRNDDADSVVRDELWLESLAANAECGQHGDPGTADSTNDEL >Et_8B_060041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5296697:5308004:-1 gene:Et_8B_060041 transcript:Et_8B_060041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPSRVSSGSGRRTGHRRIADYLADDWSTDAASTGNESFITACSDEFFASTSGAVGGGAAAAAGMLPAFLADQSDLLEVMLELDEESMVVRSVTPTAAAAALYAPTTCGAGAHTPDDGAARSLSRCSSTASRIRRKFAWLRSPSPSTSLRRHAAPAPPPDNPPPLREAALAARERRRLQARQLNRSRSGARRALKGLRFISRTTAAGGGSGSDENPGELWRRVEERFNALARDGLLARDDFGSCIGMAAESKDFAVGVFDALARRRRQSLERITKEELYDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLSKLKEQAEEYASLIMEELDPENLGYIELWQLEALLLQRDAYMTYSRPLSSGSATQWSQSLAGVGGATGGQQQQGGGRGGAAPQRRWRWRWSPRRAAARARVAASEGWRRAWVVALWVAAMAALFAWKFAEYRRSPAFAVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDSIAFHKIIAVAIALGICLHAGNHLACDFPRLIASGPDEYRLVAGFFGADKPTYRSLLAGKEGVTGIVMVTLMAVSFTLATRPFRQWEDRQQRTPSCFAPLLRRLAGFNAFWYSHHLLIVVYLLLLAHGWFLFLVHRWYQRTTWMYISVPLVLYVGERTLRAFRSKAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYISVHIQTRGDWTQELKRIFVENYFTPCVPRRTAFGELGMAEQKSPPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELMDLAMETSRSEDSANSFSVSTVSSTKRRAYRTSSAHFYWVTREPGSLEWFKGVMNEVAEMDKKGVIEQHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGRPTVAKELKKLSHDMSHKTGTRFHFHKDFLDNDHQCPWSPDWNNRYPTQAILIKGWNTCGHAKPRRATAADCAR >Et_7B_055843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5696254:5698517:1 gene:Et_7B_055843 transcript:Et_7B_055843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTDTKAKVEAKTVVYKVHVHCGQCARDIERHFTEFHGVEEVKLNAGAGKVTVKGFGFDVEKLRVKVEKGCRKKVELIPPAKDIVTEVKTKEQELKVITVKVPLHCPECAVRVKEILLEHKSKPSLKIFSSLGPARHVSLHPIDKFIRMLNTAGVYEAKTDLGKNTCIVEGVVDEKKLVEYIYQRTRKLGKVEKVEKKVIVKEEKVEVKKEEKKEEKKEKKEEKKEEKKVEKKVEAVKEKVTDVVAPYFIPCTHPHFVDYSHPCHRRGGDCCSPLGYGGGCGYPYGVGYTHSELKGYQGTAFLHCSHPNEFISEENPTYSSDSKKHRVVRRLFKEPTRSDRNASATPTRNVLATNDIVP >Et_1B_012324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30918332:30921550:-1 gene:Et_1B_012324 transcript:Et_1B_012324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTLSIKGLATHRETERHPMRILVAIVPDTLLPYLYSYHQNLSVLLGSQTFAIVLYLSFLTPPTTTNMCEFLMAFVTVFLSLGFIQCVMSIHPKGLYSRMCIARRLGFKLLEIYMGSLRGMARANALRAPPAWLKLLLANVFFEVCPVHPDASRATRSGGCNLFCTTCTERPLCSGCIAGKHDGHTVIQVRKSSNHNCVKLRDIESLLGAGEVQPYLHNGELVVFLNKRTEGRKRKGGEYRCIGKNCDWALLHKDYRFCSLGCKLECMKADLDISFAVTKDDSESSKDGTKSAVICVIPPHAKRRRGWRKMEDGAAGTSATPSEPPAGTG >Et_2B_019112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10548225:10549848:1 gene:Et_2B_019112 transcript:Et_2B_019112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNSSSAFVSLDISGQIMINVWSQAEQSWQSVYANPLDSCTPYATCGPFTVCTGSSHPFCDCMEGFSQNSSGCSVWHRELLSVNMNDGIEVNSEDVLYIRLAAKDLPSSREQQMLLVVLMIWRGKNKWYGASLEDTQGSAGIIAFRHSDLCHATKHFAEQLGAGGFGSVFKGVLSGLTNIAVKRLDGARQGEKQFRAEKLIGFCCEGDKRLLVYEHMFNGSLDAHLFERSANVVSWDIRYQIAIGVAGTVGYLAPEWLSGVAVTPKVDVYSFGMVLLEIVSGRRNSPEVKTGSDYDVEYFPVQAIRKLHEGDVQTLIDPGLHGDFNLEQVVQILEGLQEVGMPPMPRLLASILE >Et_5A_042348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:975691:977089:1 gene:Et_5A_042348 transcript:Et_5A_042348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPASSSGLFRFLSPRSRPQSTDIVAAATWGVFAGSAAIYLVQVRVNRISYLLLSRCCSLRDMGDRKSFALPADSFVASSVLGFGCCRIRDLRDDQIVYCRLEGTPRCLLRRQTRFLDLNHLTGLRKLSLRNPNKRHESPSKERLQLLIHGFFRDDSE >Et_5B_044656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4359181:4359784:-1 gene:Et_5B_044656 transcript:Et_5B_044656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTETERETLSNKLDRVRARIYFLWPVAAPAVAMMKAPGAGGVLISRAVFLAKKDLYFKLLRKAGAAAAVAAMV >Et_1B_010413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10266878:10271905:-1 gene:Et_1B_010413 transcript:Et_1B_010413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAAETAGGSGDAGPTSVPATRREKRKQRKKERRRRARREAAARARAAAEAEASAVDPEEESRLLELEVAQAAAESERARQAFEEAERRWLEAAAARAAEKAAAAAAAAAAEEARAAEASSRQKDGDGNKSEDDDEWEYVEDGPAEIIWQGNEIIVKKKKVKVPKGVKEKPPSQEDKAHCPFHLKTGACRFGVRCSRVHFYPDKSCTLLMKNMYNGPGLALEQDEGLEFTDEEIEQSYEEFYEDVHTEFLKFGEIVNFKVSCEFVALTKWKAAICGDYMRSRYKTCSHGAACNFIHCFRNPGGDYEWSDWDNPPPKYWIRKMAALFGPSSDTKEVNTPDFERSQGSDRKRLKSSGDRYVSRSKDEDVHERHSSRDHSHSKEERGSHSMKYGQSRHRRDPHATAKHRNREVEENTGKHSENEREAHKYMHEERYRSDHGSGGKGYGDKIRSRKHRSDQRGSLESGSSDWPSDYIDADLSKSPSGSRSTSRYDNHKGGMRQSSEDHKPEKHYSSVHRLVGKEHSTKRRSSRHTEDDYYDEKNDGRGKSGRGNHVDSDDRWVATNSDADSDFEIYQRSSSKRSKLRRKGEDHSDAETRYQRSGIRTKTEAKDHKSRRKRHSKHIQHSDTEEDTSYSDATESSSDAWSRRSRSSDENVAKHSSRHSSK >Et_1B_012747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34855833:34859139:-1 gene:Et_1B_012747 transcript:Et_1B_012747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKLWVRKNRELVRSLESLANGLTWILPERFANSEITPEAVYALLGIVSSVNQHIIDAPAEGHALASKEQSVPWGLVVSILKDVEAVVEVAAQHFVGDDRKWSFLAVTEAVKAGVRLAAFRESGYKMLLQGGEVANEEEVTILEGNFGVNGNEVPPNYPMNGHSQNGQKVALNGLDGKDGFISKSLEGRAVAALNKFGQNAKMVSDPMWMRRLQPAPAPQALLVEKPKPSLASVWSAKGVSGRLFILGEVVHIFRPLVYVLLIRKFGIKSWTPWLVSLAVEVTSLGIHSRATDINHKEGKLKRRKVMWALYVMRDPFFASYTKRHLQKAEKVLNPVPIIGFLTGKLLELLEGVQTRYTYTSGS >Et_9A_062752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4169538:4174183:1 gene:Et_9A_062752 transcript:Et_9A_062752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVVAIAGDGDDSERRPLLSPTNEIHPYPESPSLEHPPPDAAAAQPEQERKSQRVASLDVFRGLTVAMMILVDDAGGAWPGINHAPWFGVTVADFVMPAFLFIIGVSVALVFKKMPNKKPATKKAAARAIKLFVLGVMLQGGYVHGRHKLTYGVDLDQIRWLGVLQRIAIGYFLAAVSEIWLVNNNLVDSPVSFVRKYFMEWIMAILITILYVGLVFGIYVSNWEFKVQTTNSTFSVPSNDVEIKMIHCGVRGSLGPPCNAVGFVDRVLLGENHLYKNPVYKRTKECSINSPDYGPLPPNAPDWCLAPFDPEGLLSTLMAAVSCFVGLHFGHVLIHCKDHSLRIVLWLLASTVLTVSGMPFSKPLYTVSYMLLTGGVSGFFLLLLYYIVDVVNIKKPFVLFQWMGMNALVVYILAACELFPALIQGFYWRSPENNLVDMTEYLLQMIFHSKRWGTLTFVLLEIIFWCLAASFLHMKGIYLKL >Et_4B_039864.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:4423072:4423950:-1 gene:Et_4B_039864 transcript:Et_4B_039864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLFHSCRSPSDAAAVKPTTTLLASDQPHVVPAPAGAACCRPRRVPRRRSQPPATCGTAGSAVDYGADDLPPARGTPAYRWLKSSRWHVIEANAYATAEGGADDAPRLKIDARRRVRRSRRRRRALVHRRAAAAAPAASWSSDDSGWFSTDDDDEGRAYAESSTLVTSTTTTTTESSSTVASGNSVGAGVVDGAREEAAGVAVAGGFAVVKRSEDPRADFRRSMAEMVVGRGIYDADGLERLLRCFLALNDQRHRRDIVAAFGDVWEAVFAHPPCDSHASSGKDATVSHP >Et_4B_039221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8918990:8924864:1 gene:Et_4B_039221 transcript:Et_4B_039221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKDKGLEPSEFEDSVAQAFFDLENSNNELKSDLKDLYINGAIQMDVAGSRKAVVIHVPYRLRKAFRKIHVRLIRELEKKFSGKDVVVIATRRIVRPPKKGSAVQRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYRLDGAKIIKIFLDPKERNNTEYKLETFTAVYRKLCGKDVVFERRRTGKRVARGHRTKTEFSPDRVILESSKVYLKAPANARAVYSPKLRPHVTSTELITSWPPSRARNTSTAARLVTYIAGCKVWQLLEHSELLRPSQQFGHPEMKGIQLLMEWEISQSAKSVSAPAATSDPSDTLADENLDLDVGATLAADGAVARARPASGAGAEGLRMASEEAIVYNGGRRRRRGGRRGSGNREEAEGSMRVRALWVGMASEAEAGGGGGAHEVDGRQEDGGLLGLSGRLKKNTMAAGAE >Et_1A_009487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6460550:6462349:-1 gene:Et_1A_009487 transcript:Et_1A_009487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVHMDCDGCQKRVRKAMSRLQGTQTQLTPPTISFRHNEMQVRLFKYDMYVQADVNPLLLFAGVSTVEIDMDTQKVTVTGYVDRREVLRAARRTGRAAEFWPWPYDGEYYPFAIQYLEDDTYMATDRYYRHGYNDPMVGTYPCHAFTHVLDDDALAVFNDDNVQACAVMREHHPRGI >Et_1B_010108.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:24342530:24342757:-1 gene:Et_1B_010108 transcript:Et_1B_010108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIKTYLSVAPVLSTLWFGALASLLIEINRLFPDALSFPFVLILVIPVRDITNEISLKDTFFSKFHNLIKTLAI >Et_10B_003681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4816455:4838188:-1 gene:Et_10B_003681 transcript:Et_10B_003681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTLLILLLLCFASSELAIGVHGQLDTLGFISIDCGIDENASYADQSTRGLRYVSDAGFTDAGLNAGVNPPYNIKGLADRYLTARYFPGAAGERSCYTLRPVTPGSRYLVRATFYYGNYDALNRLPAFDLHLGVNRWVTVNVTNAGRSYIFEAVVLSPADFLQVCLVDRGLGTPFISGLDMRPLRAGMYPEATVNQSLALINLPRPTATYNFNRYHFWRPASTYNIFRYPFDPYDRLWQSYGDVEAWTNITTPTTIDVSDVGSFDTSPVVLQSAATPVNGTRMDFTWSPDSFINNDSTSYLLLLYFAELRRLPGSALRQFDILVDNATWNGSQRYAPKYLSAQLVKRTVQGSRKHTFSLVATTDATLPPILNAFEIYSLLPMTEVATNAADAKAMMTVRTKYALKKNWMGDPCAPKAFSWDGLNCSYPPSGPAQITALDLSNNSLSGPIPDFLAQMPALTFLDLSSNKLSGPVPAILLQKQQNGSLDLRTGNNANICDNGASVCERGSKKSNRVLVIAIVVPVAVATLLFVVALLIIRRMKNQQVTWTANNTNLQGPPEKSNVYENRQFTYKELKLMTSNFKEEIGRGGFGAVFLGYLEDGSPVAVKMCSKTSQGDQEFSTEAQHLSRVHHRNLVSMIGYCKDKKHLALVYEYMHGGNLDDRLRCKAPASTPLTWHQRLKIALDSAHGQFGTSPTVRRPVATGGRYLVRAAFHYGNYDRLKRTPVFDLHLGVNHWATVNFTATDYKYVVLDAVAVSPADFLQVCLVNTGLGTPFISGLDLRPLSATMYREATVNQSLLLLSLSRPSAQFGFNRYQFGDREFRLPSDPYDRIWQKYGKVVAWTNITTTATIDVSNNSYFDKPTDILQTAATPMNGTRMDVTWGPDSFINNGNTTYLLILYFSELDGLPSNARRQFDILVDNTTWNGSQGFTPKYLSAEVVKRMVQGSGQHTFSLVATPDSTLPPILNALEIYSVKPMTEIATNDADAKAMMRIRTYAQKKNWMGDPCAPKAFAWDGINCSYPSSGPALVTVLLLSPSGLSGVINASFSDLKSLQHLDLSNNSLSGPIPDFLAQMPSLRFLDLSSNKLSGPVPAVLLEKSKNGSLVLRIDNNTNLCDNGASTCKSENKKSNKTLLIATVVPIVAITLFVAGFLILFRMRTSLDKWVANTSRLNSPRDTSNIFDNRQFTYKELKLITANFRNEIGRGGFGGVFLGYLENESPVAVKMRSQTSSQGNKEFLAEAQHLSRVHHRNLVSLIGYCKDKKHMALVYEYMHGGNLEDRLRGEAAAATPLTWHQRLKIALDSARGLEYLHKSCQPPLIHRDKLSEGDITSIADPTMRGVYDMNSVWKVAELALKCKEEPSRKRPTMTDVVMELKECLDLEVSHAMSYYSSVPSSANNLSGACMELCTETQASDYHRQQEEAGLELEQVGVSSSTRVDFISLHCGIGANQNYTSDFSPGLRYVSDAGFTDAGLTARVRPPYEHYDDPNFGVRFRTVRYFPGATVGERSCYTLWSVTPGGKYLVRASFYYGNYDGLNRPPAFDLYLGVNRWATVNITATDYSACTGFGTPFISGLDIRPLSAKMYPEATVNQSLLLLSLSRSSATYGFNRYQFWPTGNKLFRLPIHLFAYDVNRYCAHCSLAQNLHFNQHSSVQCLTQIRFPEITKQRYGNVAAWTNINTSATVSISNINSFFDQPTVILSRAATPVNGNRIDITWNSDSFVNNDNATLPFVALLCGRLPSNASRQFDIFVDNAIWSGSQGFTPKYLSAEVVKRTVQGSGQHTFSLVASPDATHQPILNAFEIYTVQPMTEIATNDAQAKAMMKIRTTYTQKKNWMGDPCAPKGFAWTGLNCSYSSSGPPRITTLNLSSSRLTGTVDSSFGDLKSLQYLDLSNNSLSGTVPDFLAQMPSLMPSLMSQYTKHILGLAVICQATTLMDRIGNNKNLCDTSVSTCEPNKKKKGNRTLIIATVVPIAAATLLFVAGLLIQHRMRNKFR >Et_4B_036983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13018150:13020909:-1 gene:Et_4B_036983 transcript:Et_4B_036983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRLGRRVIHFANLPLKLMLPPAPLSSVQEFAVRTVPSASKVDIRRCLESVYGFDIAEVRTLNMEGKKLRRGPFLAAKPDFKKAYVTLRAPLTVSPDLFPIGAVLGERERKASAAAAKRKTVEGAEVGGGDAKGKHWMEDEKEEFSRAGRGKVVYGNPGRLVRKTRGGGKKEKPAEEGAKFPWSGVKLATEKPRRVRHSPPRKKGGIVLKKKSQKVSLQRRSKKKLKA >Et_2B_020691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22815585:22821866:1 gene:Et_2B_020691 transcript:Et_2B_020691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATPRRGGLEAASGPSLGVPTEARLPRVTRVKNKSPAEVQITAEQIIREARELREPEHPRGPARKIADAQEIAEYRLVERKKFEEAVRRASACGSASAWVRYAEWEERQGDLHRARSVYERVLSSSAAAQRDHALWVRYAEFEMRSRCVGHARNVWDRAVALLPRVDQLWLKYAHMEETLGAVANARQVFDRWMAWRPGAAGSYVKFELRYGEVDRARAVYERFVAEHPRPEAFLRYAKFEAKRGEVERARRVYERAADVLADDDEGAEALFMAFAEFEQGCVAERARAIYKYALDRVPKGRAEELYGKFLAFEKQFGDREGIEDAIVAKRRVQYEDEVRKNPLSYDCWFDLIRLEESVGNKQIVREVYERAVANVPPAEEKRHWRRYIYLWISYALYEEIDALDMERAREVYRTVLKLIHHKIFSFAKVWMLSAQFEIRQKNLNAARRILGNAIGLAPKGKLFKKYIEMEIYLGNFDRVRTLYEKFIECFPASTYAWRRYAELEKSLGEFDRARSVYELAVTQPNLDTPEIIWKVCFSCCYPELTPMFYALQTIFERAFDYFRTSAPESKEERAMLLEEWLNKEVSFGDLGDLSFVQSKAPKKVKRRRPISLEDGSGIEYEEFVDYIFPEEIALAPNLKIIEAAYHWKRQKTDDNE >Et_7B_055069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:776403:781561:1 gene:Et_7B_055069 transcript:Et_7B_055069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDMNPDSQDTCDSGGNPIEIEVGGLDIRDDNRSDLEEPRRNPGKWQCSICAHKNDTSHLSCDLCGVLQDLSLYFKNTSEAEPRGKRRNKCSGVSVLARSLFAPSSTRSKSIILSDGFQENRDATGNKQATMGALHKTYMTCKERHINIVPFKFDTPSPDDMVTTGLKSSKFFRKVDTEAFFKDSIDVTGKKMMDDDVLSTENDSSAKLDELGGTSSNVPSSGQNNTLVLDHELQHLSLERKPKNSKPKIKKPVPVSQYKPDPWMLQSEDQETRRQLNLAIVGHVDSGKSTLCGRLLHALGLISKKQMHKYEKEAKEKGKGSFAYAWAMDEGTDERERGITMTVAVAYFDTEKYHVALLDSPGHKNFVPNMISGATQADAAVLVVDASIGSFEAGMDVNGIGQTKEHSQLIRSFGVENLIVVVNKMDLVEYSKERFHFVKSQLGVFLRSCGYKDSSITWVPLSAMANENLVTAASDSRLLSWYSGNCLLKTIDSLPPPHRDVSKPLRLPVCDVIASHTLGQLAVCGKIVAGGIQTGSKVLVVPSGDLATVKTIERDSSSCNLARAGDSISVGLQGIDTGHVVSGGVICHPDFPVSLASSLELKILVLEITMPILVGLQVHIHHARVSACLVKIVSLLDQKTGKASKKSPRLLTARQTAIVEVKLDKEVCVEEFSTLKALGRVFLRSRGNTIAVGVVTRILEQAPLS >Et_3A_026703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15568829:15569613:1 gene:Et_3A_026703 transcript:Et_3A_026703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAGFLLAAFAVLLALPAPSVANDPDMLQDICVADYKSLKGRKKNACMHDNLVIKKRHDESAALRLNGFPCKRPENVTANDFSSNVLARPGNTGNAVGSAVTAANVEKLPGLNTLGVSMSRIDFAPWGVNPPHTHPRATEMILVVEGSLDVGFVTTANKLVARTIRKGEAFVFPRGLVHYQRNNAHSPALVISAFNSQLPGTQSVAETLFGASPAVPSDVLARAFQIDGGLVKGIKSKFPHK >Et_3B_029464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25258323:25261402:-1 gene:Et_3B_029464 transcript:Et_3B_029464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLAGGAYALRCCPSPAARSTLDAPRCPRRGVLASASPPPAAPAIEGRDVGLSVTTRRGRVLPVLKGCSLSVPPGQVWMLLGPNGCGKSTLLKVVMPTVESDVAFGLGKLNLSLDEVRSRVSKSLDAVGMLSYSQRPIQTLSGGQKQRVAIAGALAEASKVLLLDELTTFLDEYDQMGVIKAVRNSVVADGEVAALWVTHRLEELKYADGAIYMEDGQIIVQGDVSSISRFIKKKQARYFGHFDL >Et_8B_060404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13593004:13598673:1 gene:Et_8B_060404 transcript:Et_8B_060404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEQQQQPEPVLSAAAEEASPEIIFRSKLPDIAITNTLPLHRYCFERLPEVAARPCLIDGATGAVLTYAEVDRLSRRLAAALRRAPLGLGRGAVVMTLLLNSAEFVLAFFAASRVGAAVTTTNPMSTPHEIANQIAASGATVVVTESLAADKLPPAGDKLKIVLIDERRDGCLHFWDDVMASVPDNDDAAIAGDDSDAGEQFDPDDVVALPYSSGTTGLPKGVMLTHRSLSTSVAQQVDGDNPNISFVSGDVILCSLPMFHIYSLSTIMMCGLRAGAAIVVMRRFDLAKMMELVERHRITIAPLVPPIVVAVAKSDQAASHDLSSVRMVMSGAAPMGKDIEDAFLAKLPGAVLGQGYGMTEAGPVLSMCLAFAKEPFKVKSGACGTVVRNAELKILDPDTGKSLGRNEPGEICIRGQQIMKGTEYRQADLLLVPMVDCSFIGQIPRSNLTWNHKLKEIIKYRGFQVAPAELEALLITHPSIADAAVVGKQVEPDIGEIPVAFIAKAEGCELSEDDVKQFVAKEVIYYKKIREVIFVDKIPKAPSGKILRKELRKQLQQQQA >Et_7A_052886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23318486:23320568:-1 gene:Et_7A_052886 transcript:Et_7A_052886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVISSSTAEAGGAHVLLLPYPGAQGHTNPMLQFGRRLAYHGLRPTLVTTRYVLSTTPPPGEPFRVAAISDGFDGGGAASCPDVSKYVRSLEAVGAETLAALLCSEAREGRPVRVLVYDPHLPWARRVARDAGVATAAFLSQPCSVDLIYGEVWAGRLPLPVTDGRDLFKRGLLGVDLGPDDVPPFSARPDWCPVFLQAVIRQFEGLELADEVLVNSFREIEPKEADYMEVTWRAKTIGPLLPSFYLDDGRLPDNKTYGFNLFSSTVSCMDWLDKQNPRSVVLVSYGTVSDYDAAQLEELGRGLCNSAKPFLWVVRSNEEHKLSEELREKCKEHGLIVSWCHQLEVLAHKATVG >Et_5B_043423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10225802:10231172:1 gene:Et_5B_043423 transcript:Et_5B_043423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCKAAAAALDGCIYEKSNEINMGPCKAVAAALDGCIYEKDRQGLGLHDPAKHFGFGQRTDELMSLDMILIDEKGDVIHATIGKNLIDTDRPQIRESAIYSFSNFRVQDSLRYRPVSNELRIAFIYNTKVKEVKELSKKFQENYVEFASNDTLKHREKTKTINAELRTGSSTPIPVNEFSVLELSITTVGHVFGPLLLHLLQIRTSLQRLKLVMKKRIVIHKVLRKIETAMKMAIGEMNKSPCLISRMWKFKGSMQMIMRWLSFSLSAPILKRMDVHLSDQISPSDGGCQKLRSIFEANASVKCNPQPQPAEQALCICMAV >Et_5B_045415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21657565:21658965:-1 gene:Et_5B_045415 transcript:Et_5B_045415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGALLLLLLSLVAAVVAVEVEQRPALPSQSTGGATGVSSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGRGNVTIFAPRNEALERDLDPEFRRFLLEPRNLKSLQTLLLFHVLPSRLHAAALLDSQSHHRATLAGERLDLADAAVTRPDAVVRPDGVIHGVDRLLVPRSVQEAFNRRRSLAAISAVLPTGAPEVDPRTHRLKKPAPPVPLGAPPVLPVWDAMAPGPSIAPAPAPGPGSGKHHFDGHSQVKDFIQTLLLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPENILYYHMVPEYQTEESMYNAVRRFGKVRYDTLRLPHKVTAREADGSVKFGHGEGSAYLFDPDIYTDGRISVQGIDAVLFPPKEDGSGGASPAAAPVRKAPAVTGKTAKPKLRRGLLQRDNR >Et_2B_021425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29590853:29591866:1 gene:Et_2B_021425 transcript:Et_2B_021425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIVTEAWALAGCGAASKTAAQEAPVQQHPPAAAKAKKAASFKGISTIRCQDRREAVIVGRRSGLASCVLAAVAASFSPLAADRTARAAVLEADDDIELLERVKEDRKKRLQKQGIISSSGTETGYLQDLIYKMSKVGQAIEKDDLPAAGSVLGPSSDAQWVQNVNVAFSKFSSSPEEKDMVDSFNSSLASLITSVNKSDAESSKSAFVSSATTLEKWIALAGLSGQLKGY >Et_5B_045430.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22097155:22097613:1 gene:Et_5B_045430 transcript:Et_5B_045430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCRELLDARAEPTEAIVDFPHPELIHGHTDLHLRPRRLRRSRSASCTPQLRDAASIRRGASLWIARTAALEDEDARLVVSGAWTCPWATTVYGDACRVHLSGDAVELVRQVKKAEASSAKYARSADLVRRVERLSDGAGCCTQSWGEAARS >Et_1A_006133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18289594:18293214:1 gene:Et_1A_006133 transcript:Et_1A_006133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKARTVRHRLAGYLPHFLAAAALALVAPQKILENGLLSELNRLGVSTPAVGVALWAAAVAAWAYAVSRPRPVYLVDLSGHRAGPALEASRAKTIAHFGRCGRFSDESMAFQKRMLERSGLGERTHFPASLLAVPVDMCLRTAREESHAVIFGVVDDVLARAGVAPRDVGVLIFNSSLLSPTPSFTSLIVGRYGMRDDVVSHNLSGMGCSAGIIAIDLAKRLLQVHRDTYALVVSTENITLNAYMGNNRPMLVTNTLFRVGGAAILLSNRRADRARAKYQLIHTVRTHRGAHDQSYSCVTQEEDDAGRVGVSLSKELMVVAGEALRTNITTLGPLALPMSEQLRFLATVVLTRVFRARVRAYLPDFKLAFEHFCIHAGGRGVLDELERSLKLSAWHMEPSRMTLYRFGNTSSSSLWYELAYCEAKGRIAQGDRVWQIAFGSGFKCNSAVWKALRTVDGGEEGNPWTPELDELPVDVPKVSPIDESTYKFPDQET >Et_4A_033281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2179482:2181572:1 gene:Et_4A_033281 transcript:Et_4A_033281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGKRLVVDELLSYADDLVALLDGSKDGEDIAQAAAGARMLRSACRSELDNLELQLKEYQEKNRSCKEKIEKAKAETIPDEELKPLQVRDELDNLDGQLASIEERKDAVKRKERDMRKAQNTLSMCVSVTKIMPKLEDNDKISGYIVDKNKKKIDTFEYEKTASPFEICNELWKKS >Et_2A_017422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34183021:34184861:-1 gene:Et_2A_017422 transcript:Et_2A_017422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPPPAASAADVKGGPHIVFVYGSLMAEEVVRAILKRVPPAAPATLPNFHRFSIKERIYPAILPVENKKVAGKVYLFSDKIQSAAFNLNHSCSLTIIAPQIIMGVTDDELQVLDAFEDVEYTRTRVEISLTDSSETMLADTYVWSDAEDPNLYGEWDFEGWKKLHMKDFLAMALGFMHGLEQPESKTRVETYQSFMQQQEPPASEAKVDG >Et_5A_040818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1325548:1333045:-1 gene:Et_5A_040818 transcript:Et_5A_040818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDLFPNVSFSDVSAGAAPAADGATAAFGVDATSGGPRLSLVRTGKPEVEPTIEIDLSDAQIFKLGPKEWLCVSDESEAKAGVDEKSFSRAIKIVLGTEAESKAFSLAFQRWKQRVISGKAGELLENGSIIASKSKFDTKIEASSAKMYFHYYGQLLHQQNMLQDFVRTGTYYAAVMENRSDFEGRVVVDVGAGSGILSLFAAQAGARHVYAVEASEMAEHAQRLISGNPSLGQRITVIRGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLAPNGKMFPTTGRIHMAPFSDEYLYVEMANKALFWQQHNFFGVDLTPLHGSAFQGYFSQPVVDAFDPRLLISQPTYHTLDFTSMKEEELYEIDIPLSFVASVGTRVHGLACWFDVLFNGSTVPRWLTTAPGSPTTHWYQLRCVLSQPLYVMAGQEITGRLHLVAHSAQSYTIYLTMSAKMWGVGAEQGGILQTSTGKLELKEPYYRLSQPQSYVSQDQQQQPSLQPQGSEQQMQEGLSPGITIDQVDQDCGLH >Et_3B_027676.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11823809:11823859:-1 gene:Et_3B_027676 transcript:Et_3B_027676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSMRNQRGRLGICY >Et_3A_024851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24860070:24863466:-1 gene:Et_3A_024851 transcript:Et_3A_024851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKKPVKELTLAVPAQETPVDKFLTSSGTFKDGELRLNQRGLRLISEENGDDHEETNLKVEDVQLSMDDLEMVQVIGKGSGGVVQLVRHKWVGTLYALKGIQMNILESVRKQIVQELKINQATQSPHIVLCHQSFYHNGVIYLVLEYMDRGSLADIVKQVKTIQESYLAVLCKQILEGLLYLHHERHVIHRDIKPSNLLVNRKGEVKISDFGVSAVLASSMGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCSFISSCIQKDPAERMSASELLNHPFMKKFEDEDLDLRTLVESLEPPMNIPE >Et_7A_052307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6883022:6885101:1 gene:Et_7A_052307 transcript:Et_7A_052307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKETISEVESAPPTPRPPPPVSTPPSQMHSPAPGRSPLRAMASPLASPIKKAVATVRDCLEEVGNITRLADPRDAWLPITESRSGNAYYAAFHNLSSGIGFQALVLPVAFSFLGWSWAIFLLTLAFVWQLYTLWLLVKLHEPVAGGTRHSRYMHLATAVFGEKWAKILALLPVMYLNAGSCTALVIVGGGSMKILFNVACGKACLAHPLTTVEWYLVFICAAVLLSQLPNLNSIAGVSLVGATAAVGYCTVIWVVSVAKGRVAGVSYEPVKAASGVDSALGILNGLGIIAFAFRGHNLVLEIQGTMPSTLKHPSHVPMWKGVKVAYVIVAFCLYPLAIGGFWAYGNQIPPNGMLTALYKFHIRDVSQVVLGIATLLVIINCLTTYQIYAMPVYDNMEAGYVHKKNRPCPWWLRSGFRVLFGAINFLIAVALPFLSELAGLLGGISLPVTLAYPCFMWVVIKKPGKGSAMWNINWALGIFGVVLSVVLIVGNLWGLVEKGLKVHFFKPADFQ >Et_9A_063613.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:9871259:9871588:1 gene:Et_9A_063613 transcript:Et_9A_063613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCSACEAAEASALCCTDDAALCARCDREVHAAKRIAGKHQRLPLLAPGYGAAAPPFARRPGPAAARHVLYWSSASSSRSKSSLRPLSAASRGRAGPTPHSGTPLLR >Et_10B_002550.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:15614927:15615202:-1 gene:Et_10B_002550 transcript:Et_10B_002550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSGAERWKVMAEFWAEMTLFVAQSGSADAHIERLATGGEFVTQLWAFLSNGWNRTFIRRDADDDGMDLPAFEDIGRDILKKAAEYCQNT >Et_4B_039954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6698581:6700860:-1 gene:Et_4B_039954 transcript:Et_4B_039954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQASTGAFLATLSSSSSHHTPPSSLSFAASPVLPASLRAAGTAGPGLVSRYRGRRVAAVVAQLPTTHPEVAAGDKKIQWSSRAVRSFAMAELEARKMRYPTTGTEGLLMGILVEDPLLSGTSGAAKILRANGITLLKVREEAANVLGKSEMFYFSPMHPPLTEAAQRALDWAVNEKMKSGIRK >Et_4B_038397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28748829:28753168:-1 gene:Et_4B_038397 transcript:Et_4B_038397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEANLASLADSFLADLDELSDNEAYPEEENAEAAGMEEDGDDVMPDLESLNYDDLDSVSKLQKSQRYNDIMQKVEDALQKGTDFSNQGFILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDITLVDLEGLLPSAIIMVVSVTASTTTGKPLSEENLEKTIEACDRALTLDAAKKKVLDFVESRMGYIAPNLSAIVGSAVASKLMGTAGGLGALAKMPACNVQLLGAKRKTLAGFSTATSQFRVGYLEQTEVFQSTPPALRTRACRLIAAKSTLAARIDSIRGDPTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSAAQSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNPQAQGTVLGSGTQSTYFSETGTFSKIRRTQ >Et_2B_019573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10286449:10287393:-1 gene:Et_2B_019573 transcript:Et_2B_019573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRWAILGSNDDNEKRLPLWLRGRQCCGCSGGNLAVAAERGKVPPPLAAAPNGLHPGGPRIAHACGLWHDARHKLKPAYRDAAAASCKGVALAPWTSSERGGAGEDQQLGGGGDEQPHRLYPGPRISPCLVLTSAVYFKGAWETPFNKAITKKDKFHRLDGSTVDAHFMRSCEDHFVATYDGFKVLKMPYKQMPNHSPPIEGFWAAAATVVLLLWKRMTTTGKMPTPPHCRCTGNWGPHFPPSGYPRPGEAMGRRQRPRPS >Et_1B_010589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1189146:1194795:1 gene:Et_1B_010589 transcript:Et_1B_010589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALSTLPFAASNPSPSSRTPAAFPPRKVHFAAAGSSGVLRVACAAPRHRGWAPRRRRGGGLVVRASADYYATLGVPRSASNKDIKAAYRKLARQYHPDVNKEPGATEKFKEISAAYEVLSDENKRAMYDQYGEAGVKSTVGGSGGAYTTNPFDLFETFFGSSMGGFSGMDQSAFRTRRRSTTVQGEDIRYDVILGFTEAIFGTEKDIILSHLETCDTCGGSGSKAGSKMRICSTCGGRGQVMRTEQTPFGLFSQVSVCPTCSGEGEIISEYCRKCSGEGRIRVRKEIKVKIPPGVSKGSTLRVRGEGDAGPKGGPPGDLYVCLDIEEPSDIKRDGINLYSNVSISYVEAILGTVKKVRTVDGNSTLRIPPGTQPGDVIVLAKQGVPSLNKPSIRGDHLFTVKVTIPKRISARERELLEELASLSDGGFARTAPKPKPTKPTTMHQEKDRGSSLENNDKTDEGEGDWLKKLTDFAGSIASGAAKWLKDNL >Et_5B_045161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10365349:10367409:1 gene:Et_5B_045161 transcript:Et_5B_045161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATPRSGQPPSWAEIPRDLAGKVLSLLPAHADRVRFAAVCPQWRAAARQLRLPRPMPIVALPDGTVYSFPDGKPLHFPGLDLAGFKTACGSWLVFLRDDDCFLVDPFAGATVTLPALSRVRLIPPDAVQTYVQFGTMIMFHPFATWLHIIQPNKTPVMNKLILCSPNLVAALASSTISSAGQNSQIILCKPGAASWSVLANEKCQVFEDMAFYRGKLYVIAQDENLLVVNISEDPSTGDPQVFQVEQVIKGDPFHTDIHSAVKKKLYLVESCGTLLMVRRKVCCREVGSELMAGQSEFEVFKADLEQSKWMSVTTLGDDQMLFLGHDRAPEPDVIMVVTSLMESVQIILTEIRFFNDSD >Et_7A_052877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22664217:22666537:-1 gene:Et_7A_052877 transcript:Et_7A_052877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGHLRVLHALDVARTQLYHFTAIVIAGMGFFTDAYDFFSVSLVTDLLGHIYYGGHLPPGVVAAINGIALCGAVPGQLVFGWFGDKMGRTRLRRCARPHGLSFSKRKGKNVVTVLCFFRFWLGVSIGGDYPLSATIMSEYANKRTRGAFVAAVFAMQGFGNLAAGIVGMVVSAAFASSSPSNDDFVWRIVLMFGAIPAALTYYWRMKMPETARYTALIKKNAKKAASDMSSVLHMDIVPEEEAVNELAQQDQYGLLSVEFFRRHGIHLLGTTVCWLVLDITFYWLMFMKDIFTLVKLIPDPSTGNALKRMTKLNAVHTAIALCGTLPSTATATQISHAIVTHRLMWQHFIGYFFTVAFVDRIGRIRIQLLGFSMMSVFVLGLAVPYNYWIDQGNKFVFCFLYAMIFFFANFGPNTTTFIIPAEIFPARLRSTCHGISGAFGKIGAIIGVFGFLHREKHIRCLFFVLVGCNLVGLMFTLLLPESKGMSLEDITGETVLQEPEDDPAAVAATEYIHTFDWSWR >Et_10A_001624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6179313:6180939:1 gene:Et_10A_001624 transcript:Et_10A_001624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSSRSSGSPDEEAGAAREPELRRGPWTVDEDLALVNYITDHGEGRWNSLAQAAGLKRTGKSCRLRWLNYLRPDVKRGNFTADEQLLILDLHSRYGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLNCDADSRRFKDAMRYLWMPHLVDVDAAHHRSLHFAGDQDPYTSAAAAIDVHAMSGMALTSSSSTDSFATTTSEYSYDAVPMMKKWEPTTTAYDCEGLYANVRAGEMLVNGGDWAQETNQAAGMWCDQKAQVNGAPIEDPELSGWVQSFSEGATENFWTLEDIWKMQ >Et_1B_011714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25284772:25287052:1 gene:Et_1B_011714 transcript:Et_1B_011714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFRSSLPAMLSSLYASRSTDMVIKTVMKFDKQFSHQQEAQHLLLSNLSSILVASVLMDPSFSHCGNIIPLAWCSAAHPSSLLLIQAQHSYGSPRRARFILLYSSSLCTSGITSLTPSSAPNRSAHAASSGVLAWSMVLTPHITSDARSHPRKPPRTTSTSPTPSPPKNALPSEPSLSACSYFSRTSMTCLAASALSSVVLPLYTGIHVLNSCINATVS >Et_1A_008344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6750391:6761112:-1 gene:Et_1A_008344 transcript:Et_1A_008344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEQQPPPPASSPPDTQPLQLQAGLASQPSASGPASPHSPSPSPSPLQQRDTTTGSATAPAAATSSGEPSPRSSGKHAFYRGIRCRSGKWVSEIREPRKARRIWLGTYPTAEMAAAAYDVAARALRGADAVLNFPGAIASRQAPASASPADIRAAAAAAAAAQLEHPHGGGEAPDAAAAASGAAAPDQQQQLPQGASGCATAADAASCTPQQEFGNDVFMDEEAIFEMPQLLRNMAAGMMMSPPRISPYTSDESPDPSETGDSLWSYHDPPASSSWFRPTQLTPRARTLRPRHRTDPSSRATWLAPPVPHRGTRPRRAPRANYITRHLSLRFSNRMRRPLLSTSLLEGGSKRAMNMDVGAFSSDYSSGTPSPVGCGDDGSYMTVSSAPPKRRAGRTKFKETRHPVFKGVRRRNPGRWVCEVREPHGKQRIWLGTFETAEMAARAHDVAALALRGRGACLNFADSPRRLRVPPAGAGHDEIRRAAAEAAEQFRPAPADDQGNAAVEEAITAFPDALSSSAAQQSAEDHPYCVMDDRFDFGMQGYLDMAQGMLIDPPPMDGASGNGGDDDDGGEVSLWSY >Et_1B_012068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28668372:28672619:-1 gene:Et_1B_012068 transcript:Et_1B_012068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADDVWCRETVPRVMELVSPRLPQRDACGLLVVSPWCYRALVANPELWEVLALREMRNAGDRLISALLLERYRHLKVLNLEFAQDIEDRHFIHLKDTSAISLDNLELLNLNACQKITDKGIEAATSICPNLQGFSIYWIVGLTDSSIMHITKNCKQILDLNLSGCKNISDKGLRLIANNYQGLKKLNITRCVKLTDDGLQEVLQKCSSLESLNLYALSSFTDKVYKEIGSLTNLTFLDLCGAQNLTDDALACISRCGGLTYLNLTWCVRVTDAGVIAIAQGCRSLELLSLFGIVGVTDACLEALSKSCSRSLTTLDVNGCIGIKRRSRDDLLKLFPFLSCFKVHS >Et_10A_000223.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18510334:18510510:-1 gene:Et_10A_000223 transcript:Et_10A_000223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTSLTCCVQNNVRKFRRIHYSGPLMPPGGNIEDMLKEHERHIQEAVRKARVGKGSR >Et_3B_031433.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:29353080:29354270:-1 gene:Et_3B_031433 transcript:Et_3B_031433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTRVAADAFGVVTIILFALFAALGLFCIFQSVYFRCRIRRGASFLPLGYFNGPWVTRIVLILITIWWGVGEIVRLSFLKKKLFSSLVWQRNICDVYILSNLGFAEPGIFFAFAFLLHGSLQKREQGTLNQKWNWKTIAYMLLFCIPVFAVQALLVFVGPRFVRDENSEPGRRKIAKYFIRTSMAVGDASICTYPLFGTIFLGLVDAILMSYVSYVGSRVLSLVINKALRRRVSYLMLSVLCFLPFRVLLLGFSVLPKPGGVAFEGIIFLSFLMMLSCTTVGILLLVYYPVADSLALRHIGHREIAEMMPYDDYYYEGSSLVANQSFREIERNSDTSTKRGSISFRTMIREDQLQHDGADEIGFSSRSGIHIGSPSGSSPSAAMPMLPLKEVPRY >Et_10A_002015.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18869438:18869677:1 gene:Et_10A_002015 transcript:Et_10A_002015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRELLESTAGWEALAQFWSEMLLYVAPSENMEGHADAMSRGGELITLLWALLAHAGIVDRVVGADAATATTSDASAA >Et_5B_045193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11422892:11425506:-1 gene:Et_5B_045193 transcript:Et_5B_045193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYHDVDLGMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >Et_10B_002889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13008186:13015105:-1 gene:Et_10B_002889 transcript:Et_10B_002889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSTAAMKTEKEQAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYSMSELGWYVHTFNFRCDLHDLSSFHIPEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEGAMASDAMKTEKEKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGVAAMLLSWVITLYTLWQMVEMHECVPGKRFDRYHELGQHAFGDKLGLWIVVPQQLIVEVGVCIVYMVTGGKSLKKFHAVAFPDAEPLRTSYWIMIFGSAHVLLSQLPNFNSITLVSLAAAVMSLSYSTIAWVASVHKGRHADVDYGKTASTAAGQTFNFLSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWRGVLVAYAVVAICYLPVAFVGYYVFGNAVDDNILITLEKPRWLIAAANLFVVVHVVGSYQIYAMPVFDMLETFLVKKLRFTPGLPLRLIARTLYVVFTALVGIAVPFFGGLLGFFGGFAFAPTTYYLPCILWLKIMKPKTFSLSWFTNWI >Et_5B_045541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:415786:416951:1 gene:Et_5B_045541 transcript:Et_5B_045541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFRYTVLGHSRVVRLTAASEDGRDEIVSCARALQLLAIRANYWIRAINAYDWNQCRCIYREFEGKEQEDGMVDLVLTGPYRILEAYGSLGLKVFSFFDEESSTDDEESSTDDEGSSTDDEGPLFQSWDVTEPDEVEEYTQTIYGGFGRKLEITYLVIPEAVETHVEVRLNLKDLGSRNRAVYGSIKASAVDYGSKSVHLFSCERGRSLSQPCGSTSILPLMPYMIALPYPRHFKLHT >Et_9B_065532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6237816:6251097:-1 gene:Et_9B_065532 transcript:Et_9B_065532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFQEQQTPPSRTARRPRVLLLCSPCMGHLIPFVELARRLVADHGLAATLLFAAATSPPPEEYLALAASVPDGVDLVALPPPAPLPPFTHVRERVQHAVAASVPRVREAARAAAPLAALVVDMVGAPARGVAAELGVPFYMFFTSPWMTLSLLLRLPELDAATLEEFRDATEPIRLPGCVPITARELPPSMLADRSSDNYAGFLSMAKEITGVDGILVNTNRELEPAVGNAMKGRLKLPVHPVGPLIWTRPVGMDRDHKCLRWLDQQPPRSVVYVSFGSGGTLTWQQTTELALGLELSKCRFIWAIKRPNESSTTGTFFGCQQGEGTAPEFLPEGFIERTRGLGMVTSTWAPQTAILEHASVGCFVTHCGWNSILESIINCVPMVAWPLYAEQDMNAAMLEVQMRVAARVKVGADRFVSKEEVAAAIQRVMEGDEAAGMRKRLNGEYRDKTEPVRLPGCVPIMAADLPTHAVVARRTLTETALGLEMSQHRFIWVARGPDENLLGCGSFLGTQRGIEEAFDFLPKGFVVERTRRIGLVVLSWAPQREQKINAAMFERQLGVATRVKFSDGGLVCKEEVAGAIECAMENGDGDTLSAARSELGGFFRSCTSSNFKLGEIQ >Et_5B_044947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7581825:7584020:1 gene:Et_5B_044947 transcript:Et_5B_044947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATGTFGSLTKMYIFGVHFTDDGHGISDVVTKSCPCLQDLELHTMEGLKMMFLISQSILSLRLIRILDLERLVVMASKLKEMQVAKCFALTTEPTSMVLSLLVLERLHWQDSSPGEINYLSLPSQLLKLSIIELPPAYLVLCEGHRSHCTRILRIFERVGTLHLEMPVAPAYAEQRVLIANINRHFFSELDLTLHKVADHWFSPTIINLLSRCYWVTMLSLQIVENTGPSCDANCDCRTLQTWGDRRITLDYLRFFAMKNFSGTPDETYFTCHIVENAKALRKVALGFSVGAKPTEVFLYDLHRLAPSGCTVEYSGIPI >Et_6A_046842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21344383:21345423:1 gene:Et_6A_046842 transcript:Et_6A_046842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDKDPREKFINVPIRWYDEMEFIFQDKHATGEFTVLQTPFDHPSIQDETLIGEKNANHSDVDPGLQYDSDCFPEKDDGNSGSSSSKRPTGGKGDKGKRVKLAMVRFMK >Et_6A_046873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21876304:21877678:-1 gene:Et_6A_046873 transcript:Et_6A_046873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRARTHHTHTHIHTHRNPYTVLIGSSSSDDPQRTSSGAHYYTSSRWSEITGMGNPIMVPSYRVGLFQLDFPFITVCGVQSNYQYAWESPYALSILTMECSSLVQNENSIIQCKPSCTALLHHQPVRSQLLDIVFRPHIRSSDSNTSSTFITSGRECHLIIPLLFAVHRENVFLNRPNKPECQFYMKAGDCKFGVVCKFHHPKDRTFHVLNCVLSSIELPLRPGEPICTFYSRYEIMVQNENSIIQCKSSYTTLLHHQPVRSQLLDVCWHMYHRIRIVFHPHIRSSESNPKRTLITSCRECHLIIPLLFAVQKENVFLNRPHKPVRQFYMKTGDCKFGVVCKFHHPKDGTVPVPNCALTSIELPLLPGEPMCTFYSRYRMFKFGPNLNSII >Et_3A_025416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29685251:29686647:-1 gene:Et_3A_025416 transcript:Et_3A_025416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGQDQRTSPLNFPVPQLLVRLVDALWIHSEIALEQPNLKSIPKISICIFTCLISENFANKSSGIDQSKTSRFCFILSSFTLFGRTLWPI >Et_3B_028440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15433733:15435424:-1 gene:Et_3B_028440 transcript:Et_3B_028440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGNPNPNPTPSQPRPPHMQQQQPGGSPATPLTHLRPGGLAGSPFQGLFHTPPSHNPAFQIHMGASASPQTPLMAAAAASAKRPPQKPPARPPAPTSSMAAGAASAAAAYKAAAAAAAVANSGGVDLTPAARRNKKRKLPEKQLPDRVAALLPESALYTQLLEFESRVDAALARKKVDIQEALKTPPSLQRTLRIYVFNTFANQGPCAIPPPKNADPPTWSLKIIGRVLEDGAELDPASIVPKHNPVYPKFSQFFKRVTIALDPSLYPENPLIIWENARSAAPQEGFEVKRKGDKEFVANIRLEMNYTPEKFKLSQPLMEVLGVEVDTRARVIAALWQYIKAKKLQNPSDPSYFMCDPQLKKVFGEDKLKFAMLSQKISQHLGAPPPINLEHKIKLSGNGAHGSACYDVLVDVPFPLQKEMMTFLANTEKHKDIEACDEVISASIKKIHEHRRRRAFFLGFSQSPVEFINAMIASQSKDLKLVAGEANRNVEKERRADFYNQPWVEDAVIRYLNRKPASEGPGGGAGC >Et_2B_020480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20660567:20662042:1 gene:Et_2B_020480 transcript:Et_2B_020480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRLMVGSAAPFGAAAGGGDGQVLLFGGGGGFCGGGQMPVENGRRKRRPFLTTHELDLAGVDMDDVDQLCGYEYELHGAPERSSAKRRLTAEQVRALELSFEEEKLRLEPERKSELARRLGIAPRQVAVWFQNRRARWRAKQLEQDFDRLRAAHDELLAGRDALLADNDRLRSQVTTLTEKLQGKEPPALQPEEQQTTPSAATATTASMQAAVLFVQLDQDQLRETTCCAVPAQLNGVSFAGRANSDSPESYFTGAPSPPSSSEGDCSEEGSALLFPDAMLLAAVEHGRGHAEEDSWEWFWN >Et_7B_053690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11101815:11104412:1 gene:Et_7B_053690 transcript:Et_7B_053690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETILVGDDLMRGPPSPVIPKEIASHVLEGVELCDGLQINDIEPFCQDEIVLYRQCAEKRDKEIRQRMQDSEYKLGFSMPLEAAKERASQLQSELTLLERRMILASGLEGMEGFRQRWSLHGQLGDTRKRLEALNHGMAKRENQSSKEEVTEPPARKKWFFCS >Et_4B_037514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20770933:20771928:-1 gene:Et_4B_037514 transcript:Et_4B_037514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVETTSDGAGAGAVAVKRRRREGARGRSGSGATEHSSSGGAPSRRRLQRSGRRSGGYYVHLSAEDKFLNADTDGSSRQSIPSSRRPPCTTAGTSRYIVAFILPQGA >Et_10B_003559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3098562:3099868:1 gene:Et_10B_003559 transcript:Et_10B_003559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAGVLAAPPPCFCHASPNLCAIPEGNKPAHCSSSIAYRRRVADGRRLAALPRAVRRREEELLSQHRCECFDLHRQIVPIADACAWQRSVVAKRRRGLKNMYEDRSDTLIALQHSPVFSLGTATRVEEDLLFDVEDAPFERYRVDRGGKVTYHGPGQLVVYPILNIRSHKMDHQRYLRSLEEMIIRALKSAFSIKASREEGLTGVWVGDKKVAAIGIRVVSGIAYHGLALNVHDGLDPFRYDCSLWHQGPWRFIKEIVQKASDGREIDDTSLMDMAYDSIMEEFTGLFDLFLEFRPQP >Et_1A_008332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6667245:6670917:1 gene:Et_1A_008332 transcript:Et_1A_008332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGTPPAQPPQHDAAASGEDASKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKIEPNYALKTESSSIHRNSGMNATVSSWAHNSVRPIVGSSMVKGAGTLGPNNFCSSSTEGPPRAWQPGETNDQINQVPSLHLMPDFTQVYSFLGSIFDPSTSGHLQKLKEMNPIDVETALLLMRNLSINLTSPDFEDQRKLLSLYSTSDGLELGSSRSSALAMNAPFM >Et_10A_001775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8043280:8050886:-1 gene:Et_10A_001775 transcript:Et_10A_001775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGLITFIVGNCKVTGFLLSKGISVDIDCGSGTPLDHAAANEHDKTLKILLDHSANVYILILQRP >Et_1A_007846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38798470:38806519:-1 gene:Et_1A_007846 transcript:Et_1A_007846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPENPSNAGPTAPSEPAQPPPPAAKSKGKKKDEKKDDDLSEEDLALKEQLELYVLRAQDTDPGVQKLALESMRNLAGEIAQEFQKRQDDDLPIDALMELVQQIISFHMKHNAEPEAVDLLMEVEDLDLLVEHVDSTNYKRACLYLTSSSKYLPAPDDMLALDIAYTIYMKFGDLASALRIALLLDKSMQYVKQVYTTTDDLLLKKQFSYIIARHGLALEIDDEIAADDNDKEILQEIVNNTKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGASSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSSGSSGNWLFKNKEHGKSSAAASLGMILLWDTDSGLAQLDKYLHSNDSHVVAGALLGIGIVTCGVKNDCDPALAILMEYISKDDSNIRIGAILGLGIAYAGTQKEELKMHLSAVLGDSQSPLEVLVFAAIALGLVFVGSCNEEIAQSIIFALMERSEAELAEPITRLLPVALGLLYLGKQESVEATAEVSKTFDEKIGKYCDVTLMSLAYAGTGNVLKVQKLLGICSQHLDKGETHQGPAVLGIALIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGILCISNPKVNVMDTLSRLSHDADADVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAAHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPMALGGLVTVLHACLDMKSTILGKYHYILYIIVLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYIPLTPVLEGFVILKKNPEYHEE >Et_7A_050751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10747264:10754590:-1 gene:Et_7A_050751 transcript:Et_7A_050751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAVTAAVANYPLVAGLLAFAIAQSIKFFTTWYKENRWDARQFIASGGMPSSHSATVTALAVAVGIQEGFRSATFATALIFALVVMHDAFGVRLHAGKQAEVLNQIVYELPLDHPLAETKPLREILGHTVPQQLPKN >Et_2B_020000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1684707:1686914:-1 gene:Et_2B_020000 transcript:Et_2B_020000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METYSLVKDELNVGGGGQGVLYCSAAPRGGGSDGTVKSVKRRKREPPAAVTVSKEEAGGDKSGSGNAIKRSSRFRGVSRHRWTGRFEAHLWDKGTWNPTQKKKGKQVYLGAYNEEEAAARAYDLAALKYWGPSTYTNFPVVDYEKELKVMQNVSKEEYLASIRRKSNGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLKPTAGAGADDGSGTPTSSGVKPTATAMPSSLCLQGFPQAGGLLQHPHGMLQLDVDHLYRAAGHLAVAQQQGGSLAAAGLDDVGSVYGGGGPSPTALCGRPSPSALSLLLRSSMFQELMARNAAGAAEDELTATAEDVDVDTKPALAEPLGRPPAEAEVREAALYNAAPEEEGFACSMYELDDSFARIEQSLWSCLQQ >Et_10B_003936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7985204:7986336:-1 gene:Et_10B_003936 transcript:Et_10B_003936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARHLLTLDEKNPRRIFEGEALLRRMNRYGLLAEGQNKLDYVLALTAENFLARRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQIVNVPSFMVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDGGDEDEE >Et_2A_016143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21454155:21458168:1 gene:Et_2A_016143 transcript:Et_2A_016143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAAATVPLGVLLRREVTKERMERPDVLCGEASRVRKGEDFTLLRADAGQRVAGDPSTSFSVFALFDGHNGSGAAIYAKQNLLNNVLRAIPTCLCREEWLAVLPRALVAAFVKTDKDFQAVAETSGTTVTFVIIDEWVVTVASVGDSRCILESADGSVYYLSADHRFDSNPEEAERVTACGSKVGRMDVVGGPQVGPLRCWPGGLCLSRSIGDLDVGEFIIAVPHVKQVKLSNAGGRIIIASDGVWDDLTCEMALDCSRGFPSDIAANRIVNEAIRPRGIRDDTTCIVIDILPPEKLAPSPPKRQGKIAFNNMFRRKSPDVPFKADREYAEPDVVEEIFEDGSAMLSKRLTTGYALQKIFAPSSCAICLIQLKSGQGFSVHANPLQYEKLQGWQGPFLCQSCHEKKEAMEGKRQRRQRGSAANVFGHMC >Et_8B_059968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4532511:4535595:-1 gene:Et_8B_059968 transcript:Et_8B_059968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVHYALAKLVSWTYSLPSGPALPQMTWPLIPTTSLLPPLTALPAASRVPLAALLPSPSSAFATASVRPGPVAFPKIRLSGAPKARLAMSSASSAESDVAGEFTEVVIVRHGETSWNASRIIQGHLDVELNEIGRQQAVAVAHRLSKEVKASAIYSSDLKRAAETAQTIARVCNLPNVVFDSALRERNIGDLQGLKIEVAATERPEAYKAFMSHKRNGQIPGGGESLDQLSERCVSCLYDIVEKHKGERVIVVSHGGTIRELYRHASPMKALHGKIHNTSVSIILVSGSTGRCIVKMVGDISHLQETGVLENAFGGDKNSA >Et_1B_012722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34723789:34726029:1 gene:Et_1B_012722 transcript:Et_1B_012722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEINVKKNTRKPLGRILLKGDNITLMMNACFGFVLLGGTFLLCLCAFPADLCHGGRDDGPESEHGHEDDVGDQIQPHVLDVRDVPAGPLADDSSCGRSQAGHYEEAVSH >Et_10B_002841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12262240:12264008:-1 gene:Et_10B_002841 transcript:Et_10B_002841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GLISSQAKRKISPCQQHENSQLGRRIKRCAGPNLPESYTFPNAKCEMLPRVACVAHSFLRSWRSHTDLHFSEETLGLRNITRGKEKKANDFTSKVGCVLKKHSGIGIKTFKLKVASAYNLKECRQLDHVDSWLQIAIKPGIEEISCSLSHANETFNFPCSLLSDRIGDTLRYLHLASCNFHPTAGLGCLKSLQLSMVHIRGPELECLLSGSFALERLELKCCSDIICLKIPCLLRLSYLEVFSGRRLKEVQSKAPNLSSFRFQGEPYVQLSLGETFRIKKLEWFCSGAAFYARTELPHTMPNLETLTIFSRTGKFLHLKLLNIVQRTSIGCASVFDDPSGLRRMLEQRHDKLKRVDIIKFSSTKSLVELTCHIVEFATSLERLTLDTTEGSPRCSVNKSSKCFLLDGDALEEANRAILAAQTYIKPKAPLAVEFNVLEPCSRCHATD >Et_6B_048957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16027980:16031736:1 gene:Et_6B_048957 transcript:Et_6B_048957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKATREDALVLCKDRMRHIRQAIDSRDALSAAHLSYTQSLRTVGTALRRYAESEISPESSLSISEVDKSPSHSSMASPSPSRAVDNLSSPMHRGSQLTPPSTRIHCMKAAGTTPLTITIDPSAAEFVGHESPVSAFVPPPPPLPPELCTSWDFFDPIDAAGSASSNNVDGLTLNFSRLKGLREARVAEIIPLKEEEEEELGATMSSRRHTKVPDDIVSPKKEREPKQSGMSELVKESQIADASTKATFSEGAASKVETEMEKELCAETEDPSEFITHRAKDFVSSMKDIEARFIRAAEAGNEVSRMLETKKIRLDICAKIPGSPGKPPAARFVSALRRSVSSLSSSSKSALMTAVIKDDVDDSNSDFVEEFAMVSGSHSSTLDRLHAWERKLYDEIKASENVRKAYDEKCNLLRRQFARGLNAQLIDKTRAVVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKAMLECHHKQFITITLAYHVKRSTSGQLGEHHRQATMHLWNEMDCFSSSFKLWITTHKSYVEALNAWLQKCVLQPRQDRRRRKRKASFPPRQAVSPPIFILCSDWLAMMESLPTDELCKSIKDVMQLIRDSSEHHDDQNKPKSESQECGMLENNEEASGSIAAAEGLQSKLTTVLDRLTKFSEASLKQYEELKHKYEVARDDYKTFGPNAQIA >Et_1B_013085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5386750:5389748:-1 gene:Et_1B_013085 transcript:Et_1B_013085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDYFAQRMEGDHNHHQAGDLTDIIRAGGAMQVGLAELPSTATGEWHLAEPGTLFPPPQPSSSEGAGPSGDGGGGFGDALAGLSDSFGCEYRASTGGAADFFDFEAPVGGRGGAGALADSGGVVSRVMQMPVLSPREIRPYPGIMGGDTVKLGMPTTMMPGGLGVGPPCAFDAVAGLQMPSPRGGGIKRRKNQARKVVCIPAPAALAGGRTTGEVVPSDLWAWRKYGQKPIKGSPYPRVPLLLLLILQEAHLSKTREVY >Et_7B_055621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17087328:17092084:-1 gene:Et_7B_055621 transcript:Et_7B_055621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADPSPPATALMEELVEEVLLRFPPADPACLVGAALVCRRWCALVAAPGFRRRFRELHRAPPLLGIFCDAGPGARFLPASAFRPRGLPAGGLLRGWRALDARHGRVLLRWDPASHGDAPSPALVVWDPVADRRTDLPPIPWASYPYSWNAAVLCAAAGCDHLDCCGGHFRVVVVGTNSKEMFAYVYSSEAGAWGEPASAGHPGDSVDFAPSTLVGNALYFAFQMGTAVLRYDLGTREMAVIRLPPSRFDWQRIVLAAREDGHLGFATADTSTIYLWTMEVLHPGGDAHWAQTRVVELGTLLPAGAISTFSDVVGFVDSIGVIFHWECTSEVRGQVRVPDVQISQSTGNWHVVMILLEHGLIPNAQHYLPDEREPQMDIFLSAVMGEPSALDVEDRLLMVLLWARVFIEEAMGRQITNQAILEQLDMLIDAMYRGYYFLDTFRYQSHKEEVKDQIWKGIRNLEEMLEVFDNLRSMILDANELVLFLASYTRMYRQPYSMHLLMGNCMFGRQMEAQHFTYFLLHTPPPGVEGLEVLLIVDPFRVELSTLVAHAYWYYTRTLAFGSVDPETYPRLAHLAMEIARTNERTCIGANTTACVLRDNFDIHFWCKVAALLRGIVQKNVFRFGEHPNDRLNQSRPTPLGRLATPSEDLMVYSQLTLLVEHGYYSRRESDEKIRGNPKMCNECKGTGDTRKKTDADSQSPSVNSPIGDYASPAARAFNIPSRAC >Et_1A_005419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10258493:10260983:1 gene:Et_1A_005419 transcript:Et_1A_005419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAPLLVALAAVLLAVAVVFRHFLPLLRHPGAPKGSFGWPLVGETLGFFRPHASNTTGAFLHDHIARYGSVFKSHLFGAPTVVSCDAELNHLVLQNEERLFQCSYPGPIRGILGDSSLLVVTGDHHRRIRGVALAFVASTGLRPSYLADVEGAARAVVASWRERRRRGGFAVFPFCDEARKFAFNVIVEQVLGLSPDEPVTGRFLQDYSTFMKGLVSLPLKIPGMPYARAVAARRKISVTLEGIMEERRRGGSLKKAAFIDVLLLNNELTHDDKVTFVLDSLLAGYETTSVLVSMSVYFLGKSPKYLKQLKAEHESIRSSKGQDEFLTAEDYKNMAYTQRVINEALRCGNVVKFVHRKALKDVKYKDYLIPAGWKVLPIMGAVHLDPALHVNPEQFLPCRWEGANQQTTGKKFQPFGGGLRLCPGSELGKVEVAFFLHHLVLSYRWRLDGEDVPMAHPYIEFKRGLPIEIEPIDDP >Et_1A_007612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36442446:36444049:-1 gene:Et_1A_007612 transcript:Et_1A_007612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKGALILVSLLLACLAISAKCEGDGAESPAGGLRRQPDGEQTISSSMITVVLCFIRTCEHNSFKSCYCCGMLPNTPCYPDRQQCWNICPRQRQILPPALPARETSSSGSQQAPKGVVFHRLISQLQTWRHRKQKNQLSPCQDKD >Et_1A_009243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3576338:3579112:-1 gene:Et_1A_009243 transcript:Et_1A_009243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVRAASSLHHLLLFSTTAATPSSFVAADFLVTRCGLTPAQAFKASKHIEHLKSPDKPEAVLAFLADIGLAKADVAAAIAREPLLLCSKVDKTLTPRIAQLRDLGLSLPQISSLITIAPRILRYPYTTHLQFYLSILGSCDKVCTVLGRNWGGRLLNQDVELVLKPNIAFLQQCGLTDCEIAKLLMLAPIVALKPEHAREIVECADKLRVPRHSSMFKCALHAIYLISPARIDAKLDLLKKVIGCSEAELSSAVCKLPHILTLTEVNLSPTVEFLKMEVGLEAEYIVRRPQLLCYSTRRRLVPRYYVLKALKAKGLVKKDIDFYSVVSLSDKRFAEKFLDSYKETVPGLAKAYSAVREAGSSWNPTARGGQNELVAAEF >Et_7B_053904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13105854:13109393:-1 gene:Et_7B_053904 transcript:Et_7B_053904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAASGRRRPGSAGRAKKGEDLALLKPACERRPGAPSTSFSAFALFDGHNGSAAAVYAKEHLLGNVLSCVPPDLTRNEWLAALPRALVAGFVKTDKDFQTKAHSSGTTVTLVIIDGFVVTVASVGDSRCVLEAEGSIYYLSADHRFDASEEEVGRVTECGGEVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGEFIIPVPYVKQMKLSSAGGRLIISSDGVWDALTAETAFNCARGLPPEAAAEQIVKEAVESKGLRDDTTCIVIDIVPPEKPKCTIESPKTPGKGLGLLKSLFIRKITSDTVSLPDKEIHREPDLVEEVFEDGCPSLSRRLNSEYPVRNMFKLFVCAICQTELQSGQGISVHEGLSKPGKLRPWDGPFLCHSCQEKKEAMEGKRQSRDSSSRNSGSSE >Et_5B_043654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13527755:13534762:-1 gene:Et_5B_043654 transcript:Et_5B_043654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCTSSTASAGHADDGAGKKEREGAAAAGGGRGIVACGKRTDFGYDKDFEARYTLGKLLGHGQFGYTFAAVDRASGERVAVKRIDKNKMVLPVAVEDVKREVKILKALRGHENVVHFYNAFEDENYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVIVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDLIRPGKQFRDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLKNKPDFRRKPWPNITTSAKDFVHKLLVKDPRARLTAAQALSHDWVREGGKASEIPLDISVLNNMRQFVKYSRFKQFALRALASTLNAEELSDLRDQFNAIDALAKDVPWRLKGPRVMEIIEAIDSNTDGLVDFEEFVAATLHVHQLVEHDTEKWRSLSQAAFDKFDVDGDGYITSDELRMHTGLKGSIDPLLEEADIDKDGKISLDEFRRLLKTASMSARNVQTPRGVRMS >Et_3B_027898.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25412588:25412800:-1 gene:Et_3B_027898 transcript:Et_3B_027898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKKAKIQVRKRWEKAQGDGCAENKLRRCFHSESGSERWGFIVRDADGYVAMAGKGRIDHVMDSFEGEY >Et_3B_028807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19303567:19304239:-1 gene:Et_3B_028807 transcript:Et_3B_028807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTGSEVAGFVVGALLLGATVAAPRVDGFIASSQRRSLGMCKRCGNLRIVACPQCKGVGSVRKGGMLNLGMLDDLYESLGAEAKTDNLVPCTKCRTRGRLLCPECSKTP >Et_5A_042984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8784265:8789765:-1 gene:Et_5A_042984 transcript:Et_5A_042984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLPLYDRQSPGIRCINFLCAVLLTLVLVAGIIFFVMWLSLRPHRPKFDLEDFSVPYANRQSGLANLPVRFTVKEHNPNQKIGIHYEVVYGSVYYGDKVIASGPVGNGPFFEQPKGTTLLQGSLAATGPTPSDPVWPRFAGEVSAGNVAMRLVLSSTVRFQVKVWDTRVHHMRVECDFSLGGDGSLQQRSIIEIESRHHSEQE >Et_3A_026842.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2347963:2349009:-1 gene:Et_3A_026842 transcript:Et_3A_026842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRDNVPMVSLSVSAAALYTRAASARLPPGLPRFAALLPVIAFFAAAPLAFSSSILRFVAGFFLGWLGTFKVALLAAGRGPLDPELPAVPFLFIAAFPVKLKGRNGGGGGRRPATASEGPLSRAVEVALLAAILRLYPFIHTLHRYVRYCAYGLHIYCLLNLLLPCTAAACRVLGGMEVERQFDRPYLATSLREFWGRRWNLMVSAILRSAVYDPVRARAGGNAAAGAMATFLVSGLMHEAMACYLLLRPPTGEMVVFFLIHGACCLAEDWCARRWKAKGWPPLPRPVIFLLLLSFMAGTMFWLFFPPICRDGGEEMLLEEWAAVPAFFLGTAQKLLRYFMLAIHR >Et_6A_046065.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21446584:21446724:-1 gene:Et_6A_046065 transcript:Et_6A_046065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMMHNYFRIGGVAADLPYGWMDKCLDFCDYFLRGLLLATEEFSPP >Et_8B_059952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4335280:4338487:1 gene:Et_8B_059952 transcript:Et_8B_059952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTRRKRKGAPPACSATRSLQDLASRKRACRKSEPQPPRASRGGPAVVMTAPAASGASASVVPGRGLKRKVGCIDSATRLGRRKRLESEYELGDEIGHGKFGSVRACRARAGGEEFACKALPKNGGETAHREVEIMQHLSGHPGVVTLRAVFEDADSFYLVMELCRGGRLLDEVARDGRLSERRAANVIRELMAVLKYCHEMGVVHRDIKPENVLLTKDGRLKLADFGLAVRVTNGQKLTGVAGSPAYVAPEILLGNYSQKVDIWAAGVLLHVLLMGTLPFEGNSAEAVFDAIRTVELDFHGGQWASVSLLARDLISQMLNRDVSSRFDADKVLRHPWVLFYTECQLRAEFSHLWTTNKAATPRIHWDRRSSLCESSSSESSTDNSEEQDECDIVDSLTTAITQVRISEPKRSRLCSPANPKSSSSESSIDNSEEQDKCGIVDALTTAITQVRISEPKRSRLCSPANPVLPPSRNAVLT >Et_9B_063789.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14214100:14214147:1 gene:Et_9B_063789 transcript:Et_9B_063789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILVFVTARRGVK >Et_4B_038983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6660659:6665518:1 gene:Et_4B_038983 transcript:Et_4B_038983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYAACHLDVKILGPVSVMDRRSWPWKKKSSDKSSNIDVSQNPIPAEQEDKAPKFVQISPETYAQLTESEENVKVLDEKLKVLNEKLAAAESEITTKDALVKQHAKVAEEAVSGWEKAEAEASALKVQLETVTLSKLAAEERAAHLDGALKECMKQVRMVKEEGEQKLQDVVFAKTKQWEKIKSELEAKILEFEQELIRAGAENDALTRSLQERADLLMKIDEEKAQAEAEIEVLKSTIQSGEREINTLKYELHVACKELEIRNEEKNMSVRSADVATKQHQEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESMGREYGDTRVRRSPAKNSSFHRPMSPMSPVPDYAIENLQHMQRENEFLTARLLTMEEETKMLKEALTKRNSELQTSRSMYAKTAGKLRSLEVQMLTGNQHKSPSTPNIDMQFDGALSQNGSNPPSMTSMSEDGVDDEGSCTESWANALVSELSHFKKEKTTKSTVTEGSNRLELMDDFLEMERLACLTSGANGNDSTIDKMKIDEVGAALSGTTEKDGAKDLQSPSPMSGTPSSKHQLSDKSPLSKLQSRISSLLDSESQENNVGKMLDSIRNILKDIEDEADSMNANGTHHSDTVEVSDTQSLGKPNGGLSSASNYVMDQELMSAILKIQDFVKLLDHEVSKFHGQSSEYNGLYDKIQKFSHLVEKSLSNENGINEIVMALSLVLYETGQIKFTILSDNNNEAESNNLDCIDKVTLLENKVQPEPLKDNISGLCPLLPRSSSDPEFEGPADAGYDLKTTMKICSPEEYEQLKSEKTHLEAELVKCNEIIEETKVKLSDMEKSLEDLTSKLADSENSNSLSETQLRCMAESYKSLESRKVELEKEIEVLHSRIDTLTAELTDEKQSHQEDLAKYKDLEEKMERYETERILMDEDSDTKAKEKDIAAAAEKLAECQETILILGRQLQAMRPPVESLGSSPNRQRMEDFLQDAVGTTAQEYPQKLSGQPDTDQEMHGTGNKSPVNGYNTHMNPSDVDGSPFLSPNGSKRPKHRSRSSSSSSFANQMPEKQSRGFSRFFTKGKE >Et_2A_015392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11913676:11920264:-1 gene:Et_2A_015392 transcript:Et_2A_015392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASTELLSAFLTRSSCQSSPPYLSLTHRNQNVDVPAPALDGLELTPRVVLAPGWTMGMLRLHIFQEKHLLKCEVLFQKKRMDEEFRKRDELERRLQDQSSEPRELPLEYLRTITNNFSDKRILGQGGFGKVYKGILQNGEMVAVKKLDQLKPGVQERQFENEVCHLMKLKHSNIVRFLGYCYETQNELVEYNGKFVFAEKQQRLLCLEYLPKGSLDKHISDAASGLDWCTRYKIIKGICCGLHYLHKECQHESNASIIHMDLKPANILLDDNMVPKVADFGLSKLFDDKKTQARATTFLGSFGYMAPEYMYGRIISTKADIYSLGVIIIEIITGGGINFDITASCRDFVETVLKNWRNRLEAAPSASDCQVIESCLEIGLSCIKFDRYERPTSREIIEKLNRLENRDRSANNEEKLTADQIISDPKKLVEINPLQLRFSLELDERTRCLVKLTNKTDECVAFYFGVARATNNYYIEPTIGLLLPESTLNVVVTVEEQYELPLGSQCNDEFLVQSAIVGMHMLMYMLMSKAITVDMFNNMSNNTVNKVKLTVAYVPPPQLRVLSEESSSPQLQ >Et_8A_057606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:559817:572910:1 gene:Et_8A_057606 transcript:Et_8A_057606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESMDAARIIGYFQGKSILITGSTGFLGKILVEKILRVQPDVNKIYLLVRGIDAPSAKQRVQQEVTGTELFSLLREKHVKGFQQFVEEKVVALAGDIIYGNLGLEAPMLEELGKDVDVIVNIAATTNFYERYDVSLDVNVMGVKHLCQFAKQCAKLKMFMHVSTAYVSGDREGLIMEKPIGPGESLREGTYLDIDAELRLVREVKKELAGSDDAQKTERKAMKELGLQRARHFGWSNTYVFTKAMGEMLLGQLRGDMPVVVMRPSIITSLLADPLPGWMQGTRTIDSIIIGYAKQNLSCFLADLGTVMDVIPGDMVVNAMMAAIVAHSEEKGAQAIYHATSSLRNPATYNDLYQGGRRHFYEHPRLGKNGEVIPTKEMYFFTTIARFHLYMILTFKIPLEILHLVNLLLCGLFSRLYNDLNRKYKFVMHLVDVYGPFAMFKGCMDAARIIGYFKGKSILITGSTGFLGKILVEKILRVQPDVNKMYLLVRGTDALSGKQRVDSEAMGEMLLGQLRGDDMPVVIVRPSIITSVQADPLPGWMQGTRTIDTLIIGYAEQKLSCFLGDLSVVVDVIPGDMVVNAMMAAMVAHSEEKGAEAIYHATSSLGNPATYSMLYEAGRRHFYQNPRVGKNGEVIPTKEMYFFTTIARFRIFMFLAYKLPLEILHLVNLLLFGLFSNLYNDMNRKYKYVMHLVDVYGPFAFFRACYDDMNLERLRSKMTMKTPEDQMFNFDPRTVNWEEYFYRIHIPGVLNSDVAFLSPCTRSRHAGRRHFHQNPRVGKNGEILHLVNLLVCGLFSRLYNEINRKHVMHLVNVYGPIAFFEGWSKMTMKKAEDQMFNFDQGPLNWEEYLNKIPFSSNICASEGGFLFQHRGLYTN >Et_10A_001751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7766206:7773483:1 gene:Et_10A_001751 transcript:Et_10A_001751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVACPITWRVCDCELGFGAASAAAARKAGAPAAAVWADAAAALEGFLADPWLLRPGGDAGGGAVVVEVPPLEPTPEEGEDEARRAAAQRGAAAAEDLARRLEGGGFGSPEAEGDEEDWDGEDQGNAAVKVMCRLCFSGENEGSTKAAKMLPCKLCNKRYHRNCLKNWGEHRDLFHLSSWVCPSCRSCEVCRRPGDPNKLMFCKRCDGAYHCYCQQPSHKNVTNGPYLCPKHTRCHSCGSGVPGSGHSTRWFLGYTCCDACGRLFVKGNYCPICMKVYRDSEVIPMVCCDVCEKWVHIECDGISEEKYQQFQADTNLQYTCAACRGECSQIRDTEDAIRELWKRRDTVDRDLMVSLRAAAALPSLEDVSPSRPNSDDERLGAYVLKNEGRNTLKFSLKSNSSKPPSETPEQEKIVLKSPVSSKKHSKKKGSQNNKTADGQDEIFLERRHDSRYSNSHLGDQDVDRDLSSFNTDSNAYVSSSTRISEKNLKSSSVKAIVDKTDMIPKVKIKGSKFSSLHFKDAGEENSPKSDTGKGTKLVIHLASRHKSRSGSPKSELSNSQREQEQGSTHGGKVDVTSQPKGSRSEIKERSVMKLVRETGIQQRSSLLGDLGTSKKHLTGKRSIAMVSGTENENESSTRSKAGQKESLVSQLNENQGTPSSFSSESPDKLKPSLLKLKFKRPHFEQPNTQTPQPEGLTMWASQQEEQLNIAKGQRSKRKRPSMDKLDATDGKSAKRHQQGTDDEVMDANWILRKLGKGAIGKRIEVHLASDGKWHQGVVSNVISGMLYVQLDDGRSENVELGKQAIRLIAQRSKSGKR >Et_2B_020906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24708669:24710155:-1 gene:Et_2B_020906 transcript:Et_2B_020906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNAIIQAKLVLLGDLGAGKTSIVVRFAKGLYYECQARISESTIGAAFFSQVLAVDAATVKLDIWDTAGQERYHSLAPMYYRGAAAAIVVFDISREDSYIRAKRWIDELQRQGNPHLVMALVGNKVDLEEKRQVGTQEAMEYAEANGLFFLETSAKTAQNVSELFYELGKGFVSTSLLLHVFVVSTPVCALPAERLVKLKPNRPTGIVLHDGRRGGDRWRFCCSG >Et_4A_035722.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:4368191:4369111:-1 gene:Et_4A_035722 transcript:Et_4A_035722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTVDWYGRNGGGALPPALYAVAPDSKELVRAALAPPTISFAAYPCAGGGVEQSAHAALVGAANNGGLSLTPAQVLQVQSQLQFLRRPAGGGGAQLAAVAAQPMKRHGVAPLPTAAPTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAALAYDAAAFRLRGDSARLNFPELRRGGAHLGPPLHAAVDAKLHAICHGATDATPSPPQTQSQSNATAATATTTTPSTVSSASPKVVKSEPVCSGSETSSTSADGDESSTDSSSDVVPEMQLLDFSEAPWDEFHLRKYPSLEIDWDAILS >Et_3B_030987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9729308:9730383:-1 gene:Et_3B_030987 transcript:Et_3B_030987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFLKQAKQYAATRPAYPAELFDFIASKTPRRELAWDVGTGSGQAAASLATRYAAVVGTDTSAQQLSYAPALPNVRYVHTAPDLPLAELHAAVGAPPGSVDLVAVAQAFHWLDLPRFYAQARSLLRPAHGVLAAWCYTEPRVEGAPAVDDAFWRLYRLSQPYWAPNRRLVDDEYRGVEFPFDPVDGEAHTGPFEFATERRMDLDDYLMYITSWSAYQTAKDKGVELLDEATVRGFQEAWGGPREEVKTVRYPIFLRIGKVRPEVQNESNA >Et_3A_023590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8655625:8655999:1 gene:Et_3A_023590 transcript:Et_3A_023590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTKQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_7B_055243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8627711:8630583:-1 gene:Et_7B_055243 transcript:Et_7B_055243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATTAGMFTVCFESLCPCFGSKRKDGSEDPVLAKHSNYLSSSELRSISDRIPGSPLRVPASPSRFSLSSPPSRNDPLNLSLEHVVKLTHNFSPNLMIGEGYFGKVYRAELRNGHVVAIKRAKKEHFVSLRAEFSNEIALLKNIEHRNLVKLLGYIDKGNERILITEYVSNGTLREHLDGQHGLVLGFNQRLEIAIDVAHGLTYLHLYAEKPIIHRDVKSSNILLNEGFRAKVADFGFARTGPTEPDQSQIETDVRGTAGYVDPEYLRTNHLTIKSDVFSYGILLLEILSGRRPIEVRRDARERITAFSKYNRGKVKDILDPMLTEAVNEDILNKIFDVAFQCVAPTRNDRPHMKEVAERLWKNMC >Et_7B_055600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16528407:16529873:1 gene:Et_7B_055600 transcript:Et_7B_055600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPVTVPRMKLGSQGLEVSAQGLGCMGMSDYYGPPKPEPDMIALIHHAVAAGVTLLDTSDSYGPHTNEVLLGKALKGEVREKVQLATKFGIRLDADGTREIRGDPAYVRAACKASLKRLGSGLHRPLLPAPRRHQSAHRSHGKTTFSSIYVGELKKLVEEGKIKYIGLSEASASTIRSPVTAVQLEWSLWSRDVEADIIPRELGIGIVAYSPLGRGFLSSGPKLVDTLSDQDLRKNLPRFQPENIEKNGRIFEQVNTMAARKGCTPSQLALAWVHHQGNDVCPIPGTTKVENFSNNVAALSVKLTPDDMAELESYASADVQGDRFYDFRYTWMNSETPPLSSWKAR >Et_2A_016612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26682555:26689066:1 gene:Et_2A_016612 transcript:Et_2A_016612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRNKRGYHYDQDSPPPRSKPRFDRRNQNPNSNYHRRGPPGGGGGGSDRRGGFIPADAAPPPPPPPPPPPSVAAAGPGGASAASTTTSFRILCPESKAYGFAPGFVAKVRDDTGAFVNVHPPFAGDHVRVIETVDGARREADGRPPTYSPAQEALIMVHRRILETDPDDGDEDGEYGPRGKDPRDRGKTTTRLIVPRLHVGCLLGKGGKIIEQMRMETKTHIRILPRDQNTPRCVSVSEEVVQVVGDGNCVKKAVVIISDRLKESLHRDRGHFRGRMNSPERRISPEDGYLGGVQQMPVYEEPYGRPEQIRNNTGMELPGYEYDSNGSQVIEHPEFQSDDIVFRILCPNDKANSLIGTRDGIIEMLQTDVGVDVRLTDIIAGSDERVVIITSREGPDHVLFPAQEALLHIQTHIVDLGPDKDNVITTRLLVPSSEIACFEGRDGSLSDIQRQTSANVQILPREDRPSCALESDELIQIVGEIRAARNALMQVTTKLRGYLYREISGPIQVSNINVHGAISPANGSPRGPYQGNDLPMAAYHQPPQMAASWHSKDSGGSASGSFEQGSNINDDIRQSTTKRFAVPLVTRSTLEVVIPRSAAASLTMRSGSKLAQISEMSGASVTLADDRPDVVEKVVRISGTPEQADKAQSLLQGFILSRRAH >Et_4A_035508.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27028950:27029405:1 gene:Et_4A_035508 transcript:Et_4A_035508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMISAKRIAQMTRKWQRMAALARKRLMPSTPEINEADGSPCSTSSVTGKGHFVVYSSDGRRYEIPLAYLGTAVFGELLAMSQEEFGFAGEDGRITLPCDATVMDYVMCLLRRDASEEVERAFLSSIVRPCHAACDVAPSIGLNQQLAVCM >Et_1B_011774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25818495:25821141:-1 gene:Et_1B_011774 transcript:Et_1B_011774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMIAEELLRTGGRGSERLQNIYKATALCVSIKLSLMCLICGICMAVRGSSTDCIVFLQWGLTAIGLVLMATFITVVKLLRRHKEAAIRLHLLVMFFALLALLSLMIAAFVHINIKLVDDGPRITNDDYEALVKKPQPVREYSLGDYGGRLRRHVAHPRYWARISSCLHHGNACSGMSPLFRDPNTGVFLANRTSNKYPGDPGLSPIESGCCKPPLSCGFTYVNQTTWTAPGVPTTNNTDDDCSRWSNDQQNLCFQCDSCKAGVLADVQRAWANTVIFITFFTLLHIILYPFQVKMIFEKVIANGCNTVTLKCNTGYIHVSR >Et_2A_015072.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28577423:28577653:-1 gene:Et_2A_015072 transcript:Et_2A_015072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLVLCFCICVVLVIASSPVPISDRRPPLGRRWLQDDAVVIDGDPAANTTSGSTPEAWYDGSKRISPGGPNPQHH >Et_9A_061056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12823858:12824838:1 gene:Et_9A_061056 transcript:Et_9A_061056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVVAGSGGSRTSGSGGRVKGSWTAEEDELLRAAVARHGPRNWSVIGGEVPGRSGKSCRLRWCNQLSPGVERRAFTPEEDALIVAAHARYGNRWATIARLLQGRTDNSVKNHWNSTLRRQRRAAAAAANSGAADENDDVDYEEEEEEDGSSEDSVLAPPPKKRPCVGVGGNHHHHVPLPLLAATPTPPPSSPAPPGAEPLVTSLSLSLPGGGAGVPAAPAAAVAPTEDGPAKARARMEEDPWFLPVMRRMIVEEVHRVMGLMQTPPGVAFSFMAAPPPPRSNNAGGTTDDRAAANGRHQD >Et_10A_000664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1510101:1513977:1 gene:Et_10A_000664 transcript:Et_10A_000664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGEQQQAAADEVVSVEMPAPEGWTKKFTPQRGGRFEIVFVSPTGEEIKNKRQLSQYLKSHPGGPASSEFDWGTGDTPRRSARISEKVKVFDSPEGEKIPKRSRNSTGRKGKQEKKEAPETEEAKDAETGKDAKEASTEDVAKSTDEEMKPADEVEAPAEDTEKSADKADAPAPAPAPAEDKKETEKPAESDVAPPAPSEEKIEVEEKKDEDKPVESESAPPASNPTENSVPTATESVAVASAASETKPDAAAPAPETKSGAAQVENTADKGASQDSQANAVNNGQLPPGSPAVKCT >Et_10B_002520.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:12976750:12977010:-1 gene:Et_10B_002520 transcript:Et_10B_002520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRPPPAAQDAGQWLRQDGAAAAARSGWRRHHQHQQQAQELVLRRLLPCNKGKACRFKRSSFSEEDDAASSAMLLLACVVCAPSL >Et_10B_003716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5172801:5173120:-1 gene:Et_10B_003716 transcript:Et_10B_003716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQDLRHRGYIPVKGTYFYQASELDAVMDWIPGLPPMRLGDFSGFLRRVDDPDSFGLRFNESEANIHLQIDVGHDTSLLYGIWGGVTLT >Et_10A_000922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19173197:19178566:-1 gene:Et_10A_000922 transcript:Et_10A_000922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSSSPAAAAHRRRSRRKADPPRQPWCCSFGLDPLAAASRSPLPAPPRAKPQHAPPLSRRIRSPGRVSPIDDLSAGGFARKSVSARLSSVSECPPPAPTRPPPPPAVERATLRLRLVEKGVVLEVDEVERVRRESKAVRQALRGGGEVAVEGRVDVDAFREAVEMMLEDEDEAASMRQLARGGVARAINVLGVSFSLMFDRGVNSCLKYLEAVPWDEPEEERIKSLLSQHSSYEAASQNLLARLQPQQPTSSAELVTELIDSIRKGTNNNARKELRGLVNSILSNTSIYIKGDKELDKESIYCICHSCLKCLVGLFEESLDLVHADQTIISVGKGPLERIYKQVEDLNWLLQILIDRQIGEEFVDLWANEKTLSNMHERMSPMIRYELSRISSTIFIAMGSGKLRCTGDKRFNIFQAWFSPMLVDFSWLKRYAKGLNITTLEEGIGQALLTLTLKQQQVLFMEWFEAFSEQGRECPNLMRAFQVWWRRSFVRSLESTKKAQAEQ >Et_2A_014855.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18743440:18743982:1 gene:Et_2A_014855 transcript:Et_2A_014855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKKAARREEELVEAALAAAAAALLVSGVKKLVAPAAALVAPWWWSPLSVPSPALFLLLNVVIASIVVASVQPRRAEASPGGVARRGTAAGDGAKKVKRRRSSKKREPAAGCMALVVADRPAQTAALAVAVAAKPVEAEEEEAADNAEEVNKRAEEFISAFRHHLRVDSFSSRDRRGS >Et_2A_017663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4816695:4822443:1 gene:Et_2A_017663 transcript:Et_2A_017663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSRAVDLSGAEIRGDLEDRNPPIFLPRQRATVPLVALDIGGTLIKLVYTASCGDGEGGGAELRFAKFERRRLDECFHFVRAEGLLRCNDDAQATGGGAYKFSDDFREKLGVCLDKLDEMDSVVSGANFLLQNIPGAAFTHMNGKRNPVNVSPNNLFPYLLVNIGSGVSILKVTGNRTFERVTGTHIGGGTMFGLGKLLTGCKSYDEFLQLSQKGDNFVLDLIVKDICGELVCQKQGLSTSTLAASFGKVITSKKKLTDYKSEDLAATLLSAFTYNIAQIAFLVASLLGLRRVFFGGSYIRGHKSTMENISFAIDFWSQSQMQAVFLRHEGYLGALGALMSYGDLSGENITLEESKKKEPIQESASPVDGTSEDEQNDSNIFPYLLVNIGSGVSMIEVIGKGKFERIIGSHLGGGTILGLARLLTGCSSYEEFLELSQKGNNLSVDLTVGDIYGEEGYPKIGLPASTTAASFGKVNSNKLSEYKVEDLAAALLNSFTYNIGQIAYFVANLSGLKRIFFRGAYVCGHEKTMDKISRSLKYWSKGEVQTTFLCHEGFLGTLGAFWSYENMGIDSLAAHEVIREVLLGAPYTGQFPSLPVTEELENGENKTLGEEVESLRQDNFVLKAELERLQRENAELKSKLARSGESATL >Et_6B_048488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:54986:57672:-1 gene:Et_6B_048488 transcript:Et_6B_048488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NTARKSLGGQALSRKLSHTPAPSKISSVRALPRHARSTRPAALTQSEPSTWHQSVRGTRLYLFPSSTLTAQSPISSIVRRAQHNHPLKPRKSPSASSAMMLRVSPSPAAAAAANQLAGAASATPASVRVAAPRASPAAGTACRAAAKGKEVLSGVVFQPFEELKGELSLVPQSPNQSLARHKFVDECEAALNEQINVEYNASYAYHSLFAYFDRDNNKRGGRVRLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHSVATKCNDPQLTDFIESEFLQEQVDAIKKISEYVAQLRRVGKGHGVWHFDQMLLEEA >Et_1A_007742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37788561:37804584:1 gene:Et_1A_007742 transcript:Et_1A_007742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLALAHWCWPPPPPPLPPRTSAAPPPPPAPRRAEEGWSSRSSRWAHRAGRCVTAGVVAGDAAPHAAAAAQQFDSEKIPQTLVSEVRPFLRVANKVEAESPRVAYLCRFHAFEKAHMMDPRSTGRGVRQFKTALLQRLEQDENGTKSKRTQKSDAREIKSFYEKKKQANDQELMPVLSEVLQALLIGTGLERFVTTEDYADKSGLFIYNILPLHPRSSQKPIMLLPEIRVAVSAVFNVRGLPSANNNRKDEKTITDILRWLQSWFGFQKGNVANQREHLILLLANMHARLNSKSSSAPMLDDRAVDELLAKTFENYLTWCKFLGRKSNIWLPSVKQEIQQHKLLYIALYLLIWGEASNLRLMPECLCYIFHHMSYELYGVLSGAVSLITGEKVRPAYGGDAESFLNNVIGWPMRLNNDFFFTSSAKKNSQILCGSRLPRSLPDSATTVPLVSGDTSQQVEQPFPQMTQESSLTEILQTTTHSSQQRWLGKTNFVEVRSFWHLFRSFDRMWTLLMLGLQVLIIMAWHGLENPLQLLDPIIFEDVLSIFITNAVLRVIQVILDIAFSWRTKRTMRFNRMLRFIVKLSLAVSWAIILPIFYVSSKKYKTCSAGRSKNFLGMFCLSQYMVVVALYLASNVIGMALFFVPAVSSYIETSTWRVCNILSWWCQPQLYVGRGMQEGLVPLLKYTSFWMVLLSSKFLFSYYFEIKPLVEPTKEIMRINVNKYEWHEFFPQVKSNAGAILAVWAPIILVYFMDTQIWYSVFCTIFGGMCGIIHHLGEIRTMGMVRSRFCTLPEAFNTSLVPRSMPKEKKGILPSFLENKIFKKLGKDERHDPTKFALVWNQIINSFRSEDLISNRELDLMTMPMSLEHSSGSIRWPLFLLAKKFSEAVDMAANFKGKGADLFWKIKKDSYMFCAINDFYELTKSIFKFLIIGEPEKRVIAAIFEKIEMSIQNSSLLIDFRMDHLPSLLNKFDRLAELLYTNKQELRYEVTILLQDIVDILIQDMIVDAQSILDVINSSERRFSDSDGAFEYYEPELFASVSSMSNIRIPFPDSGPLREQVKRLYLLLNTKEKVVEIPSNLEARRRISFFATSLFMDMPAAPKHNNSILHGRNEWKNFLERLGPKLTNEEIRYWASFRGQTLSRTVRGMMYYRKALRLQAFLDRTNDQELYKGPIVTERGQNKRSIHPSLSSELDALADMKFSYVISCQKFGEQKIKGDPHAQDIIDLMTRFPALRVAYIEEKEVIVNNRTHKVYSSVLIKGENNFDQEIYRIKLPGPPIIGEGKPENQNHAIIFTRGEALQAIDMNQDNYLEEAYKMRNVLQEFVRHPKDQAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRIFHLTRGGVSKASKTINLSEDVFGGYNSILRHGHITYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIYRLGRRFDFFRMLSCYFTTVGFYFNSLLSIVGVYVFLYGQLYLVLSGLQRALLNDARTQNIKSLETALASQSFLQLGLLTGLPMVMELGLEKGFRAALSDFILMQLQLASVFFTFSLGTKAHYYGRTILHGGAKYRPTGRKFVVFHASFTENYQLYSRSHFVKGFELIFLLIVYHIFRRSYVSTVVHVMITYSTWFMAVTWLFAPFLFNPAGFAWQKIVDDWFGWNQWMRNQGGIGVQPEKSWESRRLSSKHQLVFRLIKLLIFLAVVTSLILLSCLCRLSIMDLIICCLAFIPTGWGILLIVQVLRPKIEYYAIWEPIQVIAYAYDYGMGTLLFSPIAILAWMPVISAIQTRVLFNRAFSRQLQIQPFI >Et_3B_031736.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:9231481:9231870:-1 gene:Et_3B_031736 transcript:Et_3B_031736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKKVTMLCALATILSLAAAVQGCAPYCPTPTPPVVPPPTRSCPIDALKLRVCANVLNLLKLNIPGVIGSDDCCPLLEPLVDLDAAVCLCTAIRANILGINLNVPVDLTLLLNHCGKVCPADFTCPK >Et_10A_001133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21473113:21474278:-1 gene:Et_10A_001133 transcript:Et_10A_001133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNMPCRCKYSRALYIAMESSGATSRTDNDSSTFGATQLQKQLESMVKEASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPLDVVAKIEEIRAKSPPSAASAAATRTSPFMTTHHYLPINAADRDHKIRRMRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQHCNRDVVKALLELGAADVNSRAGPTGKTPLHLAAEMVSPDMVSVLLDHHADPNARTLDGVTPLDVLRGLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVTTRDDGAAAAAGGEAGGSDGANNFQRGDADDSLVSLTMNSTFMYQGQEMAAAIAGDTRKENSGRGSPSNLYFPNGFP >Et_5A_040413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20445616:20446008:-1 gene:Et_5A_040413 transcript:Et_5A_040413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFILSFSGGEGEKARGNGSSGSYAATGVTSESPYFGLSSVHYGSRQDHLSHSQITPPPQKNNHDSKQQPDGSEATRGDWWKGTAAITIIILLGTQICSHVCVNNLFLSRLIHAGSLYY >Et_4B_037166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15725657:15731603:-1 gene:Et_4B_037166 transcript:Et_4B_037166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTSNEEMARKAQKLAEESFRRGNVFGAQQWMLSAVKLAPDLPGNAHAVAAYDVHVAARRPAPDCWRHRKLCLMVHPDKNASPAADGAFKLVQAAWDALSARHPPDPLVSTTAAAAAAVPTWPRAPPPRPPDPAPPKPQQPTTRRPQVVQMTRKPTTSSYYSSQPAPPTPMVPNSTSTSQKPQEQRSRSSRGRAVLPPPARPPSPPIAGMCPNCGASTSSYGKTNFRCMSCQWSPMDGRHDEDYDDDDDYY >Et_2A_018746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6507917:6510802:-1 gene:Et_2A_018746 transcript:Et_2A_018746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFYGGGARSGALLPTTSKPKAHHHLRSKSSLSSPASSRRRGGHHSASSPYSRRVLALAAVAFVALFLLAFLRLGFPSSRPVARPSPARPRARLTRRPAFRRDSAAAEAAAAAIAARIGREAPVDITTRDLYDRIQFLDVDGGPWKQGWEVTYKGDEWNTEKLKVFVAPHSHNDPGWIRTVEEYYERQSRHILDTIIESLSKVAAAFYDSRRKFIWEEMSYLERWWRDAPRKKQEAFAKLVRDGQLEIVSGGWVMNDEANSHYFAIIEQANDGGEYVAQ >Et_4A_034059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29554013:29556359:-1 gene:Et_4A_034059 transcript:Et_4A_034059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALKASPSTSSSSLAVQSQLPRRAAAVATMPARRRAAAVRVMAIAAAPPAAPAPARPAGGRCLPVSQTFAKLKAKGKTAFIPYITAGDPDLATTAEALRVLDACGADVIELGVPFSDPYADGPVIQASSARALASGTTPDAVLEMLREVTPELSCPVLLFSYFKPILCRGISDFTAAAKEAGIHGLLVPDLPCVAECTLKSEAMKNNLELVLLTTPATPEERMKEITKASEGFIYLVSVNGVTGPRANLNSRVQSLIQEVKQVTDKPVAVGFGISKPEHVKQIAEWGADGVIIGSAMVKQLERLALSRHRGPTSRHARTRMLYSKFGVRRNLFITCTQVSVNGVTGPRANVNSRVQSLIQEVKQVTDKPVAVGFGISKPEHVKQ >Et_4A_033157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19302822:19303052:1 gene:Et_4A_033157 transcript:Et_4A_033157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSKGFSKKRSLGFLAVGAFSYLGKNMISLLSSQQILFFPQGVVMSFYGIAGLFISSYLWCTI >Et_3A_024333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19687738:19690849:1 gene:Et_3A_024333 transcript:Et_3A_024333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPSCLTGNNGWFTLKDATHSPTHPPPAERRNELSTTTIHSLEEDLLLSIFLCLPSLATLIRAALTCRLWRRAVASSPAFRRRFRALKLSPLLGLFFQKDSAERSIRNNCAQRSLNIHDFPVLVPIRRRDRDLTAAVRGGDFFLTSLQDLFEENLSWYIDCCHGCVLLMNGDLASLVVFNPLTRRCEDAFDIWPEDTVARSTLAWSSLVRTLHRSVWVRAMVFSSDAREWSVLPWVDVPATSSDYNNRWIKTEGGKQANGFLYWAYQDWRHLISLDMATMEFSITELPHHCLRCCMFDVGETKDGATCMVYPDGFNIGVIVHLRGDDGVERWVLDRIVPLQTEVEGVLEGDFIDSSELCVLAVRDGYAYLAVFYHNTHIPSWYLSLCLETMKLERLFRRTFCSDVTTGGLPMKMLPEMHYKAL >Et_2A_018427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27965006:27968435:1 gene:Et_2A_018427 transcript:Et_2A_018427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRANPPAGAAAAAEFEFCELCRRNHDQGRRHRYFPAHRAALAAALTRFRSKLSDLRRAVLRGASSSSSSQPPRPRIWCPFCATDLVDLDNRSACTNAIYHLASSEHLKGVKDFLRKHGGGMDQVDSLRISEDELAKWEKGCESSSIGAKKGTEGLIGPPLGPMKDIQNESTSDNLDSFAQTNLQSFSNTASYVVMPLQSPTNGAYHPISTACYGASDSGSASYSAAHGTVGLPITPWGSINTQEKQGVLTTNWFPEAKGSKANVHTGAPPPWLEASECDPKSSSLSSCGLPSSGKGKSRKLNPKRVGAAWAERRRAEMELEKRGEIVPETSDSSWLPNFGSVWQSGTRKESRKEFEKKHKLQDSKHNNEPSLEIKPYISKRMRVGSDNVEQPDKPVEQ >Et_3A_025409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29635160:29638893:-1 gene:Et_3A_025409 transcript:Et_3A_025409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPPGASPQPDQLAGSVSTPQNPNPNPSLLSPQIPPSPTVSDLSAHISSQQQLDQAAASAGGSMDFPPRPPQMQAPSPSQAAAGAGGFGQIHRSGSASRLSTASQLPQYAAMARMYGGQMSFSGGGGQVTQQQQQQQLAARAAMLGQNQLGLLQGQGNAHFGLQSQMMGQPRQKGMVQGINTANAAQALQGMQSMGVMGSLAMNQMRPNGTIPYAAQQRFAQMRPQVSQQAALSPQVAGQGLSRTASIAALNSQIPGSSQNGQMVAMSMPQQQQSQQWMKPLQSSMGSPVSPQQQYQHQQRLLLMQQLQQKAGLSQQQIAQAQQNPHLTANQLMQQQQLLQQFQQQQSQQSPRISASGSQKSTNLTGSQPGTPMSGGTMTGGSTSQGGAEGTSQLLGKRKIQDLVTQVDPLGKVDPDVEDLLLEIADDFIDSVTAFACTLAKHRKSSVVEAKDVLLHLERNWHLSVPGFSREDKNPQRNSVKPLVDPQQLESDAAGIRGTSNKLIADNLAGNHQIRPQVADPHPMPVVGPQSKMPRQSTLHMPVCSNLRIASKWKHNTPTSIYGLRADARALTKAV >Et_4A_033575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24664872:24668641:1 gene:Et_4A_033575 transcript:Et_4A_033575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLASASWALPLQPGGAAAASLSCRALLVVAAPRLSVSACQARFLAAPRCAGIEGPGASVKEEEKAGKAKVEEEGKKTLRKKPASGRPVWRRILFASKKTRSIIILNALTVIYASDIPVLKEVESLTDPAVFNMVRFVIAAIPFIPFTVRAFGDRRVRTAGVELGVWLSLAYLAQAIGLVSSDAGRASFIAAFTVIVVPLIDGILGASIPKLTWFGAIVSLAGIGLLECGGTPPCVGDVLNLFSAVCFGIHMLRTEQISRSTDKKKFLALLSFEVLVVAFSSILWFMFKDGYVDSSESSFEAWTFGMLWDTAASFPWIPALYTGVLSTVLCMWAEMVAMGDVSATETAIVYGLEPVWGAAFAWFLLGERWDDAAWIGAALLFGGSAPEKSKKVKPRSGNDLEISARQQDYLSLSPIPVDSRKIIGGQLQSGYAPTDAKDSLIQQV >Et_9B_065227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2637206:2638039:1 gene:Et_9B_065227 transcript:Et_9B_065227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DQRNVTSGYPVLLHDGGEIIRSYRRDSNNASSIEAGNKINVIFCLQYTCEGTHDYQCYCCMNRDMGRYCYTTRSGCQAECPLCNPKIFQYRRQQIGINIVVQDIARYIWIAIRFGFKRLFVVLSLARVLRYFVAPAPRRPWSSAWRGWSRSARSTPKGRIALETLRAGLRLPPRAHRHGTYRKPLIPLHAETYACALSNVIKRFFKYLVNAIAYMRCVGFV >Et_3A_026443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8031807:8033669:1 gene:Et_3A_026443 transcript:Et_3A_026443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSDLTLDYKPNGSAGAYAAIPKQQQQAPLVDGHHLLTSTEQATQKLREFLARLEEERLKIDAFKRELPLCMQLLNHAMEAYKQQLEAYQMGSQLSQGAVARPPLVLEEFIPLKSIGIDVASDKPGNASSEKASWMESAQLWNGSAAAAADSTAAKGPQTPKESSEHPPPIDTLGGGGAFLPFAKDKAASVDAAAAALPELALAPAEKDASAAAGEVDRKPYLVDAGSNGGVLGARRENGVKPASEGQAAPAPPQQTHRKARRCWSPELHRRFVSALQILGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPPAPPTPATAAPQLVVLGGIWVPPEYAQQAGGPAIYGAHPATQPHYTAAVAAQEYYHHQSPAAVHHLQHNPAAAMVHRAPPPPHAYKAAMVGSPPESEGLGSAGGGSLGVGSGGRDRSESIEEEGEGEDREEDDDDEDEMGAAKADGDVGAGKY >Et_10A_000679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15411314:15412921:1 gene:Et_10A_000679 transcript:Et_10A_000679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSVATVVFLSLLSLPILVTLLRPKSTPSSKKRRPPGPWNLPLVGSLLHIFRSRSQPPVALRDLANKYGPVMFLRMGQHDTVVISSAAAAQEVLQEKDVIFSSRPSLVSSEIFCYDNVNIAFAPYGPYWRTLRKLCTVELLSAKMVRLLAPVRNYETLSLVRKIHAASQCGAPVNVATLTVSCTNAITAKAAFGLVCSGELRDQFLSSIDVALKISGGFSFGDLFPSLWFVDVLTGVRRKMWRARRQLDAVFEKIIAQCEAQRGDDLVSVLLRIRDEGELEFPIGTDNIKAIILDMFTGGTETTSSSAEWLMSELMRNPEVMAKAQAEVRRVFDNKKEQDHESLLDELPYMKMVIKESMRLNPVVPMLIPHLCRETCDVGGYQVVAGTRIMINAWALARNPDYWHDAEKFIPERFEDGKIDYKGSRLEYLPFGAGRRRCPGDTFGLAVLELIVARLLYYFDWSLPDGMRPEDVNMDMFVGATTRRKNQLHLVASPYKVVPKEN >Et_3A_027087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32467355:32470308:-1 gene:Et_3A_027087 transcript:Et_3A_027087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNGAIGDQGEMWGWQNQEYDLQKELVADPSSSLWGEENNIVGDDWSMFEDQTPIKHCADYEFQFCDIGDIIVKDFDDAKETLQAKRRRMLQFCPENVEMDCPMTEDGLSESLQVNLDFSSEKCLLNTDGTDELPEEWLVDCSQDSEPRCPPEELNSPATATVAAAAMESTEISVVQTSFSCEQAIVVHNGATQGRPTPLKAGRSIIRAKKQRTAVAFPFELIKPCSFHGAVTLNDINQKIHAPPPYKIRHKNDVGPNSHEASAISGKPVVHKTKIHTEGGKGSITITRTLG >Et_2A_016983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:319187:320761:-1 gene:Et_2A_016983 transcript:Et_2A_016983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTMLNASARLHLLLCVVLVAASSTGQGVYLAPSCRAHTASLTDFGGVGDGTTSNTAAFRSAVEHLSQYSGDGGGMLYVPAGKWLTGPFNLTSHLTLFLHSDAVILGTQNVNEWPIIDPLPSYGRGRDKAGGRYASLIGGSNLTDVVITGLNGTIDGQGASWWSKFHRNQLKYTRGYLIEVMHSDGVFISNVTLVNSPAWNIHPVYSRNVVVQGVTILAPTRSPNTDGVNPDSCSHVRIEDCYIVSGDDCVAIKSGWDEYGIAYAMPSQHIVVRRLTCVSPTSAVIALGSEMSGGIQDVRAEDIVAVDSESAVRIKTAVGRGAFVKDIFVRGMRLDTMKRVFWMTGNYKSHPDGRYDPNAIPVVENISYQDVVATGVYKEAARLEGIQGAPFKGICIANVTAELSKPGRKQPWACADVEGVSTADVTPAPCEPLKGTHDGACPFPTDTLPIDQVTVQQCAYDLPTSS >Et_6A_047667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9346606:9360028:1 gene:Et_6A_047667 transcript:Et_6A_047667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGLRGLSFGPEESPREITPAGGDAGPPVGSSGWLVRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLPGLEAYLFQVCYMLVHKPSPSLDRFVIDTCAKSLRIALKVHWLLAAELELEDADDLDGIDKVQEQCQEAATAKGEWPPLVRPAPPSPVASPRGKPMLSRIRSSKQRLLSLASSPSLGLSPTAGGGNVASSEDAVGSRGNQPVTPSSEDNKLLRRLSIGPKMRDALLFRRSGEKDDEQDRDGFFKRLLRDSRDKEEEDGDREGFFKRLLKDSRDKENEEEGEKDGFFRRLLRDSKEEDMELTPSSEGLLKRLFRDKEDRPGEEDEKEGFFRRVFKDKNEDRRDSSTHARPGDEERVGKSLEDDDKEGFFRKIFKDKNDERKDGGHHKHDEREKTAANIEDDKRDGFFRQLFKEKNDEKKEGSTPSKKEDEEKGHKNPDDDNFFRRLFKDKNEEKKGAAHDKNEDDKCEEGDKENFFRKLFKDKHEEKRNEGFDRNDDDGKGTSGIEEEDNSEFLSFRRLFRVHPEDAKGGHIESSQPNNLSEGSPGSESFFRRLFRDREDSELFGTKLMKDKHPGCTGTNEKQNGKPPLPNNAIAELRKGSYFASLELVQSLCDTSYGLVDIFPVEDRKIALRESLTEINSQIALAEKNGGVCFPMGKGIYRVVHIPEDESVLLNSREKAPFLICLEVLKAEAPSHSKGSSDVHKLSKGGIPLANGDVQLPKPPPWAYPLWSRHEAQNYETDRMLSSTSQVIDQAMAQLWEAKVKFVNVSFSIEKLGRSRSLAISDTGRRLRHATTDSHDPQEDSLAIGDQPIEWVKVTLSAVPGVSMDDVDDNEPTRKKDHRRVPSTIAIEEVKAAALKGEAPPGLPLKGVGQSTQKLDPKGTDNGDPKPTDALAGELWADKKERIRRSSVHGKSPGWDLRSIIVKSGDDCRQEHLAVQLVAHFYDIYQEAGLPLWLRPYEVIVTSAYTALIETIPDTASIHSIKSRFPNIFSLRDYYVAKYEENSQNFKLAQRNFVESMAGYSILCYLLQVKDRHNGNLLIDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGTPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGLRTIQNLRKRFHLSLTEEQCVSLVLSLISSSMDAWRTRQYDYYQRTEQCTYRATNNDGCICAMACKVICNFHPRVATADH >Et_6A_046074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21917290:21919644:1 gene:Et_6A_046074 transcript:Et_6A_046074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLFAFLGLVSSQHFFPCSAATDTLSHGHVLAGNDRLVSTNGKFALGFFQIEIKSRNNTYLGIWFNKVPELTPVWTANRDKPISSQASPELMISGDGHLIILAHNTIIWSTQANITTNDTVVTLLNNGNLVLRSSSNSSQTFWESFDYPTDTALSGAKIGWNKITGLNRRLVSKKNSIDQGSGLYSSGMDADGIGLQLWNSSVVYWSSGKWNGRFFSSEPEMAAGSSVCNYTYFKNDQEAYFIYNILDENMIMVNVLGVSGQRMMRIWNGQNWMALGTLPKEKCDIYATCGPFSVCSDNGDSLCKCMKGFSIKSPEDWELEDTSGGCIRNTPLNCGDNNNGQILFLRLAAKEVQSWKTKRGSILLILTLKSRKGKLPSHKVENGQGGVGIISYQYIDLQRATKNFSEKLGGGSFGSVFKGYLTDSTTIAVKRLDGARQGDKEFRAEKLIGFCCKGDRRLLVYEYMPNQSLDAHLFQSNNTYLGWEIRCQIALGVRGTIGYLAPEWISGTAITSKVDVYSYGMVLLEIISGKRNCDRNASSDDDHAVIFPVQVAHKLIHGDIASLVDDNELDRPTMNEVVQFLEGRFEPDMPPVPKLLHAIAGQS >Et_8B_059538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1924239:1926983:1 gene:Et_8B_059538 transcript:Et_8B_059538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWRVPALAILLISVSVPASAAADQVADGTAENGTGAGRLDRRTKMFLHTARTSRAGGDAAAVEGSELGLFDAFFASLSMIVVSEIGDETFIIAALMAMRHPKSTVLSGALSALVVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKASQKKEIEEVEEKLEAGQGKSTFRRIFSRFCTPIFLESFVLTFLAEWGDRSQIATIALATHKNAIGVATGATLGHTICTSFAVVGGSMLASKISQGTVATIGGLLFLGFSLSSYFYPPL >Et_1A_005054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23720371:23722153:-1 gene:Et_1A_005054 transcript:Et_1A_005054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLVTTADPEKEPPLATVNTVLSILAADYPAEKLACYVSDDAGAVLMREVLAEAARFARIWVPFCRKHGVEPRNPEAYFMSPVVEKARIDARRRRRWSSREADDDDGSRCCWRHGTADDHAGVVEVIIDPPSSHAPQFGSDELLDLSSVDARLPALVYVCREKRRGRAHHGKAGAMNALLRASAALSNAPYVLNLDCDHYVNNSRAFRAGACVLLEDEGVAFAQFPHAPPRVALGLLRHGPRRVPRHGAHQPHGQAAPGPSLGRGIPRDLLLPQQCGGRESRCASGGGTSSSGWSPPPARTSPPWRRWRSSSPRENKYRSSSRRSRHQSPAAKTAQGTRSCTPCGGRCSWFRRRWCWR >Et_1B_014027.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27911662:27912492:-1 gene:Et_1B_014027 transcript:Et_1B_014027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPKVLDDGNLFVEILGVDSPNTLFRARLLGFYVSGHCVPPPAFVPLPHTDDKEPATPAPSSTHTSWTAGPAASLSGKYSETGRARLEVQAARPAAAPCSQALRRATAWTSTSWAGAPPAVQVSVLRPSGVAFQHCGGLVLARAARRFTSSTYVKGLQLKVWLHTSDDGGHGGGEWVLNDRHHLRGRDVRPLISWGRAWNARAVRLPTSSRWSASGTTPPEFAFLDLGPSNGVVVYMHRSERRRYQMACAFFDGLVWSPVFPALGADEGEELHQE >Et_5B_043552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11747639:11752339:-1 gene:Et_5B_043552 transcript:Et_5B_043552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEPLPWSEAWSLFCSVAFGKVPGRTCPSHLVEPATNMLKRCHGLPLAIVSVGKVLAGKGQSEYAWELVEKSFVWDKNSKDLGIGEAVSILNLSIDDLPHNLKKCFLSCSIYSEDFLIKRKILIRNWVAQGFVDDKSVQCGAEDIADDYLDQLVQRSLMKVVEKNEFGRPKRCLIHDLIRELITHKSREQDGLFQFTKCKVQIDRDTRIRHLAVDRCEMDTQSILKPALLRSFHAFGSELDALFLSRFRLLTVLNLWFIDMNKLPDSVTNLYNLRYLGIRSTLVEELPKDLGKLQNLQTLDTKLSMVERLPSSIAKLKSLRHLILLRREAGGFGVAFPGMAVEIPEGLENLTSLQTLKYVQADNKIVGSLARMELMRSLDVSGLNASLIADLSSSISRMSYLRRLGLEMEPGEDAILDLDPAPVKLQRLALTGSLVSGKLPSWILSLTNLVWLRLCDCKIAQDSLVHLGELPRLVNLRLISAYHDRIMTFARGSFPTLQKLTLKDLPNLSQIEFQEGCVINLQDLVLGHCKSLTEIPQGLDNLMQLPNLELFGMPIKFVEKLKQQKEAIAISLSAKLALALSRGAASSLSPLFSIRSNIAATTQDLDLLRAFLRFADSRQGTDALAAAWVRQVRDAAFELEDVADECCYLSQDGPGWVNVRAWFALSRKLRMARERLRQLSAAKEMYGIRPAADGPALPVATTSSRIIADNAHFVKKEEIIGFDWQAKQLLEWVAKDTEPRRTLVAVCGMGGVGKTTLVTRVYKEVVNSHFECAAWVAVSQGFTVDDLLRKILKELRRESRAYGESDTDKDYRWLMSAVQGHLATRRYLIVLDDVWDAHLWGKLLHLAFPDDATGSRVVITTRSRVVAKAAMLGRTMMLEPLQWPEAWTLFCNVTFRDVHGRTCPSHLEELATDMLKRCHGLPLAIQSVGNLLALKERTEFVWRKARDSLVWDKNSSDLGIGEAASILNLSIDDLPHHLRKCFLSCSVYPEDMWIKRKMLIRKWVAQGFVEDSPGHCTAEDVADEYIEQLVQRNLMHPVARNEFGRAKRCLIHDLMRELIIHRSREEEGFYQFAKCKATIDSNVRIRHLAVDRCRELDCQHVPGMPALRSFNAFGSELHASLLSHFRLLTVLNLWFIDMKKLPDSVTNLHNLRYLGVRSTLIEELPKELGKLQKLQILDAKLSKVRRLPSSIVKLKNLRHLILLTCETTDFLKPYPGMAVAVTEGLENLTSLRTLKYVQAHKKIVTSLAKLI >Et_8B_059595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19762009:19762686:-1 gene:Et_8B_059595 transcript:Et_8B_059595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSIDFVLFEDKVNWRQNKVVAMDNLGRALMCYPEAEHPAAVGALPSMASSKFAPFAVTVSGSLYVMDQYPERPNASGIGKCPYSFEVLAGDVDHRLFKSCEEWRWHPLAPPPSVYGPRDASSSVESHAVVAGAHIVVSNRAAAPRRARTASTRGSGARPPAPATGRALPFTRAAAYVPEHRLWFGISSRDDGHRFCASDLVVSPRLDETMRPPVVRGLRKE >Et_8A_056504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11075451:11078134:-1 gene:Et_8A_056504 transcript:Et_8A_056504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGPIRQDWEPVVVRKKAPTAAAKKDEKAVNAARRSGAEIETMKKYNAGTNKAASSGTSFNTKRLDDDTESLAHERVSSDLKKNLMQARLDKKMTQAQLAQMINEKPQVIQEYESGKAIPNQQIIGKLERALGTKLRNAKK >Et_2B_021349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28886396:28887363:-1 gene:Et_2B_021349 transcript:Et_2B_021349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGRQWQAASGRQVQLQKQQPFEPDLRRRPGRRPQVSHLDHRCHQVRVELPRVGAVLPDQRVLRPAVEELLVRVQQPLPRHQVLVVGVVEAVGGLHVERREVGVAAGARARPLPQPCERGVNVALGVDAVAEELALGTPDGVCAGQSRHVARGQALVAEHPDERREAGPRAREVRVRRVLTRRA >Et_10A_001563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5092896:5097274:1 gene:Et_10A_001563 transcript:Et_10A_001563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIALVLSGDRLLVVPSAAADGSSEIRNSGEGKGVSVDELKEALRRRGPDSLGCEKLELLADGTILGCEGCNGKGEHGGSASDGAVAELLFIGATLHLRGTEPVVQPLVSTSGNVLVYNGEIYGGIHVADDENDTQSLFASLESCCSCDCHAQDKDAACPCCGSMDSNIIWFGRDAFGRRSLLVHWPTADDPRFILSSVSPPSSFARNHSDLDMSDNTTASYWEELPCGIYSIHVKGFIKSGKEDCIVEVRKHEWANSSLNKLIKWERKQTVHTVENSSVDKGNHRFSQSPMNPREAEENTNSRVVNRDIPSDFSLSAANCLTRSAHRVLVALRESVMLRTKMNALFQGGLNKLSDEELAPIAVLFSGGLDSMILAALLDKCIDARWTIDLLNVSFDGQLAPDRISAIAGQRELQRISPLRRWRLVEIDTALTDLKRESEHIMSLIHPSNTYMDLNIGIALWLAAGGDGWVDGSACHLQDGACYKYKSTSRVLIVGSGADEQCAGYGRHRTKYRLGGWNALDNEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDENVIQTLLDIPLWEIAKLDEPVGKGDKKILREVARLLGLEEAALQPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVQIHQHTP >Et_4B_038497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29473294:29478748:-1 gene:Et_4B_038497 transcript:Et_4B_038497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAVAPPSHPPLATDLFGEPIEAHPPWFKPDSFLRPDFHPDAYVAELRSYVPLESLAAELRSHLAALRAELVGLINRDYADFVGLSARLKGVDAAAARMRAPLAELRDKVAGFRAGAAAGLAALRAGLEQRAAATAARELLELLLDTSHVVSKVEKLIKELPTAPSDSSNVEFSSADKTYPSNDSPNVEAGTGVRETQSILLERIASEMNRLKFYISHAQNLPFIVNMEKRVQGATKLLDGSLERCFVDGLEHRDAKVIYNCLRAYAAIDNTSSAEELFRTTVVSPLIQKIVPQNYAKAVAGVSSDELEDDYQQIKQCVEKDCKFILEISSSANSGLHVFDFLANSILKEVLSAIQKGKPGAFSPGKPKEFLKNYKASLGFLDFLEGYCVSKSAVTKFRSEAAYTDFIRQWNIGVYFSLRFQEIAGGLDSTLTATISPVGMHENQGKPKTLLLKQSIKLLESLQSCWCDDVLIFSHCDKFLRLSLQLISRYTTWLSYGLSARKASDRSSNSTPDAEWALSVPVEDFIFIIHDVHAVIAELSESGNFIGHVNQLLASCPAEVLNLVKQSVLQAVEPLKEILPAIMNVMIGVIVKKSNEDLKHLKGITATYRMTNKLPVRHSPYVSGILHPLKVFLEGERIHYLSEDDKIKLCRGSTDKITASYYEMVSEVVNVARKTESSLQRLRQGAQRRVGASTDASDNVISDTDKICMQLFLDIQEYARNLRAIGIDAREINSYKAIWQCVAPKDKQENIQF >Et_9A_062439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22974741:22989145:-1 gene:Et_9A_062439 transcript:Et_9A_062439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARHEWHSLNKPHESGGRFTFLPLPLREPSESALRRPLPPSIKCELPLLPRPANGAEESVMAARGSDEEEKGVLGLHRLFRFADGADALLMAAGAAGAVANGVAQPLMTLVFGEVVDAFGSGARHDVLRRVSEVCLKFFYLAIGSWIACFLQVACWMITGERQAARIRGLYLEAVLRQDIAFFDKEMTTGQLVERMSGDTILIQDAIGEKVGKFIQLTATFVGGFVIAFSKGWLLASVMLSSIPPIVIAGATMSWIISKLSTQGQAKYNEAGNVVEQTIGAIRTVASFNGESRAIGLYNKYIRNAYISAVQEGTATGLGFGFVMFILFCTYGLTAWYGAKLIINKGYDGGQVVSVWMAFMTGAMSLGEATPCVTAFASGRAAGYRMMQIIQRKPAIDPNRTDGIVLTNIKGDIELRNVYFSYPSRPDQLVFDGFSLHILCGKIMAIVGESGSGKSTVINLVERFYDPQAGEVLIDGVNIKSLRLGWLRENIGLVSQEPLLFSTSIRENIIYGKEDATADEIMVATKLANAANFIDKLPNGLDTVVGEHGAQLSGGQKQRIAITRAVLKNPKILLLDEATSALDTESERVVQEALNRIMQDKTTVVVAHRLSTIKDADIISVVHHGRVVEQGTHTELLKDPTGAYSHLIQLQDITGRPDVYDVNYKKTMLTVQRANLHIITTAGMLVPENTHTERQSNVSDNDEVHRKVPLARLISLNKPEIPVLLLGTGAAVIAGVLFPMLGVLISSSINSFYQPPHQLEKDSRFWTLMYVASGVVSLISLSMEYFLFGVAGGKLVERIRSLSFERIVHQEISWFDKPTNASGTIGARLSVDASNIRRLVGDSLALLVRSTVTVLAGFIIAMVANWRLALVATVVLPLGGLQGFLQRKFLEGFSADAKAMYEEATQVAHDAVSSIRTVASFCAEHKVMTTYYGKCKAPVRQGIRQGIVSGLGFGVSFFVLYSTYALCFYVGAKFMLDGNATFTEVFRVFFALLMATIGVSQTSALGSDSAKAKESASSIFALIDRKSKIDPSRDDGITLVDVAGELEFRDICFSYPSRPDTQVFRGLNLRIASGKTVALVGESGCGKSTIIALLERFYDPDSGVIMFDGVDIKNLNIRWLRRQMGLVALKFVYLGVGAGIVSFLRHHIELVKDPDGAYSQLIRLQKANQEDGYQLDAGISLSRSTRSLSLQRSTSDPAGSESTNHNGTNSERKNENNDGKITKKAPIGRLLTLSKPETSVLVFGSIAAAIHGALIPMIGFLLATAAKILYEPLEKRGKDSIFWSLVCVGLGIISMLSRFANCFLFGIAGGKLIERIRALAFQSIVHQEIPWFDDSVNSSGALGGRLCIDALNLRRLVGDNLAITIQCTASLLSGIVIAMISDWKLSLVIMFVIPLIGLQGYAQVKFLKGFSQDAKMTYEEASQVAADAVVSIKTIASFCAQNRVVTVYNNKCQASRIQGIRTGIVGGLGFGFSNLMVYSSSALCYFIGAQFMSHGQSTFSSVLKAYLALVLAMIGLSEASALATNTKKAKDSAMSIFSIIDKKSKIDSSSGDGLTLDLVKGDIDFNHISFKYPCRPDVQIFGDFTLNIPSGKNIALVGQSGTGKSTVVALLERFYDPDSGTISLDGMDIKNLRISWLRDQMGLVSQEPVLFNNTIRANIAYAKPEVTEEEIIKVARAANAHDFISSMPQGYDTLVGERGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERVVQDALNKVMVGRTTVTVAHRLSTIQGADMIAVLKDGMIVEKGAHKLLIGIKGGAYASLVELCSGTA >Et_5B_044449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2519706:2526084:-1 gene:Et_5B_044449 transcript:Et_5B_044449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSLLLLPLLAVASLVAAASAHPPSTPATPLPANHNRCYSHLFAFGDSLIDTGNFIHYSTAPGPVARLPYGETFFHRATGRWSDGRLIVDFIGTCRVCALYVCLSTSVQRTYTCAKTCDVCAVERLGFPYWTPYLAGKTKEDFRYGANLAVASGTALNQLLFRKKHLNVNGITPYSLGVQIGWFKKVLATVASTDHERKEIMATSLFLVGEIGANDYNHPLFQNKTLDWVKPLVPRVIRSIGLSLEALIQLGAKTLYVPGIFPLGCVPRYLFLYRNSPPGDYDALGCHRRLNGLTVHHNTLLKAKLDELRDAHPGVSISYVDYYNEVLGIVASPARFGLGERTALDACCAGGGLHNANFTIHCTEPGAVQCPDPSRYVSWDGLHMTEAVYRIMARGVLDGPFATPPIMSKCKNSLLLLLPLLASLLAAASAHAPVSPANRSSCYGRLLAFGDSIIDTGNFIHYSTSPGPVGRLPYGETFFHRPTGRWSDGRLSVDFIVERLGFPYWTPFLAGKTEEDFRYGANFAVASGTALDQLFFKRKHLDVNQITPYSLGVQIGCPSVHAERREIMATSLFLVGQIGSNDYNHPFFQNKTLDWVKPLVPYVIQSIALSIETLIQLGAKTLYVPGIFPLRCVPRYLFLFRNSAPDDYDAVGCLRWLNDLVVLHNDLLKAKLAELRDAHPGVAITYVDYYDLVHDVVASPARNGFGEGTALDACCCGGGLHNANFTVHCSEPGAVTCPDPARYVSWDGLHMTEAVYRIMARGVLDGPFTMPAIMSKCKEANGEE >Et_8A_057019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20177621:20200116:1 gene:Et_8A_057019 transcript:Et_8A_057019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSHFSCSATCRADETDRRPHPYLLAAIKLWPDARYKVRPASCTARSSTPSASHHTYINLSPVRGQPIELLLPDPEPAAARGGRQKGTSSSSVAGGAIVVLRIQVGLVADDMTSNPGSRMTASGFAVMLLAVSAGVGSDEYGEILGLLGVVVGASFIAVGLRRMAHNHKKPTTPVVPAAFAVAGLAMASCAVTAIAGEACGPVLCSSIFALFLLGMSLITFGLLILKNDCRPRSRMTATGFIVLLFAVGAGEYGGALLGLVASHYGTNLLVIGVAGEGALIRSFLRRSLAVAGLLLASSAVTAVAGEASPVLCFTYWALFLLGVSVMATAVQNIPAPPTMTRTQGSRLTAAGFAVMLLAVSAGVGSGEYGVLLGLVGVLVGANFIAFGHSVFAGVGELTAFRRRNLAAAGVVLASSAVTVVAGEASSGLCFSIMSAAGFAVMLLAVSAGVGRSEYGAVLGLVGVLAGTNLVVRGVRRMAEGEEPTAKPISHASVGALIRSCLRRNLAVTGLILASSGSAVTAVTTIEASPVLCFGLFALFLIGIYPGSRLANAGFVVMLLAVSAGVVSGEYGLLLGLRGVLVGANLFALASG >Et_1A_009527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7245630:7247566:-1 gene:Et_1A_009527 transcript:Et_1A_009527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLPRFGPFGPLPPIDEFGIKETRPRLAGRRAGGYDLVERMEYLYVRVVKARDLKWSGDFDPFAEIRLGGHSGATRHIEKTASPEWNDVFAFSRERIQASFLEVVVRGKGFAKDDFVGRARFDLGDAPLRVPPDSALAPQWFHVFDKNGERAGEVMMAVWFGTQADECFPLAVHADAAFAVDAKLAAHIRCKQYTVPRLWYVRVNVIEARDVVFADKARVGEVFVRSRISSQVHKTRTCVSRLPSYGWNEDHMFVAAEPFEDHLIISVEDRVKVDKEEVIGHVHVPFTDFERRWDARPIRPRWYNLVRPEGAAKIEKFSAKICVRLCLEGGYRVLSEPVHYLSDVRPAARELWQNRPPIGLVELGIHNVFGLSAVRTRNGRGSCDAYCVAKYGVKWFRTQTVIDSLAPRFHQQCLWDVHDHCTVLTVAVFHNCQIGEKGGLVSGDPVKDVLLGKVRIRLSTLETGRIYTHAYPLITLHGGGIRKTGELQLAVRFSTTSTLGLLQTYAKPHLPPMHYHHPLSIVHQETLRREAVAIIAHRMGRMDPPLRRECIEHLCEVHSHRWSMRRSKAHFFRLMAALAPLFAALRWFVDVCHWKNP >Et_7A_051123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14754810:14755801:1 gene:Et_7A_051123 transcript:Et_7A_051123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLFNLPHLPGFFTLFFIFLITALLLGRRRRSGGFTTATLRKHVTTALQGAWGAKQGARRGLRRTAPWFSTARRPGLRVLRDLVRALGSGAAPAGESLHFAVYRVLGEMCFGEGVVAELGETRLRAMHKFQRDVLLALPSFGVFVRWPRIGRFLYPSRWRELLALRRRQEEYSFLPLVAEVSRRKREAGPGRRDSSTTFFTTYVESLLDLRVHEDGHSPIDGELVSLISESTAAALEWTMDELVKHPEVQRKLRHEVDSSISSSGERFVQEADLSRMPYLKAAGGADGDEVDLAEFRGMFITVMNRPLRARLVPQGAATAEE >Et_5A_042983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8704347:8707999:-1 gene:Et_5A_042983 transcript:Et_5A_042983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALAIVLLSLPTPSAGDRSFCSDAGGTYKRNSTYMSNLRSLAGALIGDAARLHSATGDAGEGPDRVYGAVLCRGDSRELDCANRLREAFGAIADDATDGSAACAHHRDVAIYSELYQLRFSHQDFLSGFSNAPEWINITNPGTVPHAATAQFNKLVTKLLSALADTAARRPDRSAAGEAPWSWSQEKERTVYGMAQCTRDMPLERCRSCLHGILAERRQMIGGGKMGGAVFGARCNLRYEMDLQFFNTTGNSEMLSLRKINSREQLENFEEVLRLWRIEDAGLEFSLYDISQIANATDNFSPNNILGEGGFGPVYKRGVIKGEWERHRVVVPVVLAKEGINLLPSIYFSVAL >Et_4A_035715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4130788:4135744:-1 gene:Et_4A_035715 transcript:Et_4A_035715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRERIAIRRIDNLAARQVTFSKRRRGLFKKAEELSILCDAEVGLVVFSATGKLFHFASSSMKQIIDRYDSHSKTLQRSQEPSQPAEDDAACARLREELAEASLKLRQMRGDELHRLNVQQLQELEKSIESGLSSVLKTKSQKIIDEINGLERKASTRMQLIEENARLKEQVSRMARLEMQAGADSEVVYEEGQSSESVTNTSYPRAPVDNDDSSDTSLRLG >Et_8B_059619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:248208:252852:1 gene:Et_8B_059619 transcript:Et_8B_059619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVPSSSNLALPPPDAAAAEDATSLAPGFRFHPTDEELVSYYLKRKVLGRPLKVDAIAEVDLYKVEPWDLPARSRLRSRDSQWYFFSRLDRKHSNRARTNRATAGGYWKTTGKDREVRHGPRIVGMKKTLVFHSGRAPKGERTNWVMHEYRLEGEEAAGIPQDSFVVCRIFQKAGPGPQNGAQYGAPFVEEEWEEEDENVGLLPLGGDAAADAIAEHKVPGAMEKGYLQMSDLIQGLGDQNGNGTIGLPVSDTSNSSNHSEDVDGSSGDILNDPNLGSNFLQYLDPGEQNSLMFNGNMLSNASSGTFFHTSSPSDGFLELKDFADAASLEYPLGDDSIVWPSDGWAWKTPDSFEAVNGANNEIPPLPDNQTFQSEELEQLLQSIQEDSHLGSSIIDPPHSSMTNSVMAEDDSLMFYDAPFDSTVCDEGFRQFNGIHDSPTTNVSGIDMVDDGIPYYDAMDDNLFNDILGSIQQPAGSNSHAFNGPILTQEVNNTIYTYSPAQKVLEPNFVVGAQSARLPEAGSQLNCVVLPDGQAKSSTSGKIFVKLLDSISAPPAFASDEFPSDFSKTFAPISGARPNTFHVSAEVISIGSLAVASRPDKWDVQKDQGMELLFTGFEPETRMHCGCNTITAVLRGGFCLFFFSAIMLLVSYEHSQITVA >Et_2A_016595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26404381:26406804:-1 gene:Et_2A_016595 transcript:Et_2A_016595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYINDTSSSKYNLSIACETTNIIFRNLTRSYTQKLNDAFALSASYIMFVLAALFFGLNLLSGLSDVSAILDPKVRVGLTSALSLFLPVMSYLFSEAKNSGAVTDSVTELPLRARFILLWMVLVELLRKKVEEIHMYGYSGTIERAGRVLWLGSLVFSSLQGTGRKATMGIFWILCVVKLLKLAGRGGDVVATVGKIWELGETDRVLSCLDRDQRLRRLCLSFSLFKLLRRRFEHLPAMTAAETRSYRRTIFGALQDSDKVDTATSTSSTTTFTPEYYHAVVPVALASPFFLLANYFLLPLVVLALCFVVVVLCGKGDVPFVLDSIKSDNYFTFFGATQMTHCMPKIFKNPLVFFCTIDFSITSILFLMFIYEEVWEFFVFLLSDWFLVSLISKYAAKPMWRSSSRTFSWSVRCILFARGLMHRPGVSFTQFCVLNFWGVTMPAQVPVVKLPILLPSVPVPDQVKRSVTKHFMTMLLNGRSTLAGSAGYADLVPFCESDSVAEVILTWHIATSLLEVEHPPPQSSSTNSVVATSLSKYCAYLVAFHPELLPDNQDSAERVFKAMQAELYGLLGFWGYYLSSCRGTRCRKIIAARKPEEPVAAVARTATTVVKGATLGRILASKAAAHSAEGVWNILADLWVELLVYIAPSNDEECVEGHKNALAKGGEFVTVLWAMATHAGISRPADAPRVAVPVEVIEGTMQGVSV >Et_4B_039543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21061094:21062565:-1 gene:Et_4B_039543 transcript:Et_4B_039543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSESAIVVTSDTSTTTSPWPSLPNDLVRRVASLLLSGDFLDYVRFRAVCTDWRSINPSPRGRGVLPPAPLDDVSRGRRPLPGPPNLDGHVRFFNLDTGAFVRVHVPLFDDHCALDSVDGLFVLQRDRDTAVRLLHPFTGDVLDLPPLDTLLPQMKQVFQGLRGRKKLRGVRSVSTAATFSVDGVVTVMLAFRHAYRVAVATSQDRQWTMSPWLYEICDSPIASQGKIYVVDVYTEEHDGISTIYQMDTPLPGEVLQPPNLIVTCPKHKLCSPLYLVESDSEVLVIGHSDSSYSKPLVYKLADLVAGRYDVPVTSLGDKAIFIGKRTLSASSKALPTVEDDTIVYHHRREPYLAQYHIGSGTWSPAMDECSLSGLAPGPCALTHHILSCVNRAFWNKGLLIHRTNCNGLFLRWPVKGELRHGA >Et_10A_000178.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:14873396:14873599:1 gene:Et_10A_000178 transcript:Et_10A_000178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLALSVLPESEVRRIDRGPCNAAPELYCHTAPHDRRISCHATPLCMSSTPLRSPSPSSYSIATAM >Et_4A_032493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10689205:10691011:-1 gene:Et_4A_032493 transcript:Et_4A_032493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQAVAKGSVVSPCGNLGRRRSAAAARMAPSSVRIGGSSRKTAFLGGRLAVGPRRSRPASRSLMASPVQMNLAIGKGMRWWEKGLQPNMREIESAQDLVDSLTNAGDKLVIVDFFSPGCGGCRALHPKICQIAEQNPDVLFLQVNYEEHKSMCYSLHVHVLPFFRFYRGAQGKLCSFSCTNATIKKFRDALAKHKPDRCSIGPTRGLEESELLALAENKDLDFIYTKKPELVPSGDAAAEVIASEPPKLPAAAKSLVRQGSQEKSLVSSGR >Et_6B_049908.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:17440504:17441037:1 gene:Et_6B_049908 transcript:Et_6B_049908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYYGGGGGWPGLAACTESLGSETGDVGGDGEIDQQLGLQVDDGAAEEEEEEARVEVERLAVASPRPQRRLPPPMPEGGVMRAERRGGRLILTEVRDEQRRREVFRASRDGGRLRLQFAGAAAASADQDEAASRSADAGVAADGGVVGGCCTAPGSPAAAAMWPPAPAGGASSLVR >Et_7B_056021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9413664:9415570:1 gene:Et_7B_056021 transcript:Et_7B_056021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAAPVPATPASYDAPEGVDIRGRYDPEFAGVLTRDALAFVAGLHREFRGAVRHAMERRREAQRRYDAGELPRFDPATRFVREGGWACAPPPPAVADRTVEITGPAEPRKMVINALNSGAKVFMADFEDALSPTWENLMRGQVNLRDAVAGTITFHDAARGRTYRLNERDTATLFVRPRGWHLPEAHVLIDGEPALGCLVDFGLYFFHNHAAFRAGQGAGFGPFFYLPKMEHSREARIWNGVFERAEQAAGIERGSIRATVLVETLPAAFQMDEILHELRHHSAGLNCGRWDYIFSYVKTFRAHPERLLPDRALVGMAQHFMRSYSHLLIRTCHRRGVHAMGGMAAQIPIKDDAAANEAALELVRKDKLREARAGHDGTWAAHPGLIPAIREVFEGHLAGRPNQINHDNAATCDITAEDLLQPPVGARTVDGLRLNTRVGVQYLAACLAGSGSVPLYNLMEDAATAEISRVQNWQWLRHGAALDHAGGVAVRATPELLARVLEEEMARVEAEVGADRFRNGRYAEAAVIFGKQCTAPELDDFLTLDAYNLIVEHHPGASSSCKL >Et_5A_041969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4695551:4697082:-1 gene:Et_5A_041969 transcript:Et_5A_041969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTGKRENVTASGRWADLAKRATENQVAFYKKIMEPDYGNLAFTEEMIACYPSGPEAARAMNAFIREQVRDFKEHAAARIKEYEEKGYIEGYPETLIDPDFLNRLITGKWRVFFKVNIAKEWVTTDDYLTSGMSLRARVEP >Et_3A_026929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26896575:26902518:1 gene:Et_3A_026929 transcript:Et_3A_026929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERLFMAVVVTVSLVLTFAPMAIYFLTHREPSRSIHLAGYDGLDPGRAARVVSPSFDVTLLLNHTCVDSVDVAVMYSGVALGWARVEPRDCAEGRWGRDVEVVARGRGVGLSPRLRGRMASDWQDLGALELDVIVKKYREGDDDNIPRVNRDKVKIMDGNNNPLLADILSQQEDLAELIFPNAREEDEGFQRRVRGSRGGRGVPSKPFRWVGEEEPWRGVPCQR >Et_4B_037897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24561427:24563285:1 gene:Et_4B_037897 transcript:Et_4B_037897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEEANRAAVQSCHRVLNLLSNPRGQLVPNKDLVAATGEAVSKFGSLTSKLANGNGRQGHARVRKVKKPLPIIDSNIFLESSAVAAAAAAAKTPSPITSLQLFPRYQQGEGSSKEPVRIPPQFPKRLLLENPVVDLEGPSQTPIQLVQPVSVAPPAGTPHPALPAAHLHFIQQHQSYQRFQFMQQMKIQSEMMKRSNLGDQGQDGTNSAGGKGVNLKFDSSNCTASSSRSFLSSLSMEGSLASLDGSRASRPFQLLSGSQTSSTPELGGQRRRCTGKEDGSGRCATRCHCAKKRKLRIRRSIKVPAISNKVADIPADEFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPSMLIVTYEGDHNHNRVLAQPA >Et_10B_002892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13064770:13070256:-1 gene:Et_10B_002892 transcript:Et_10B_002892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIIGLLVGKLQELAISEARSLVAVNDDIWSLRDKLMWMQAFLREADQRRRVALDEASRLWRQQTRDAAFDAEDAIDRYFVLVDLSRYPCWASAIKRFFTGFATQISVRHELSSKIKAINSRLENIIQNKDKYKHNEESTDKMIVPWRASTTISVAPTKLDNLLQPPPVSREEKHKELYSALRDSTARPKVISVIGQSGLGKSTLVRDVYESLAIKNHFDKHAWATFPPYSSASDILKLILRDLTEDDFTLYKTEVYRKLDQELKEKKYLVVIDGEVSTTQWKHIFAALPFEEGSRIVRMSRERPLEPPRYIDHLVIQLDHLDKNGTIELFQKRVCREESSPKYNETVQDSVRKDYRQDIFDTTDGLPLAIALLSGLLRTKEFPVEWKDVFDHLKSKQSKRLDSLLSLCYDDIPNDLKSFFLYFAALPTNMLIEAQDLVCMWMAEGFLEPKEGMTMEKVGYRYLKELIARHLINIKPMNENSPKEELVYIQSQVHAFVQTEAQEVNFVEIHSSDDIPPLSNVRRLSLQNRMDKYAALTNHMPKLRSILSNFEKEEINKDNESSDDEEIEMQQTTSSTCLKCAQFGTKRKEDFKSYIRLLLQQSKFLRVINLQGLEVGDKLPHEIGDAVHLQYLAVTSCSLREIPPSIGELTGLQTLDVRDTAVEKLPESFWKIRSLKHVFGHRLILPKRVGDLQNLQTLGTIKTDNYGWHINTLAKLINLRSLFIWVLSKGHVKALAIALRKLKRLVTLTIQGDGIPSSVFTSSSLGRLEIMELDGMLDMPSEIGDIMSCLPNLLLLSLEKTKVSQNFINKLAQLPFLAGLTLNGGSYKDEQIVFSSSGFHSLKRLTINLVELKKLEIQESALPRLVDLDIHEYPDDLEIEIQGNFNIVKLLGEDENLYKKIKRASHQTW >Et_3A_025988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34424609:34425431:1 gene:Et_3A_025988 transcript:Et_3A_025988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETTQNPNIHPSAFEEEGWNGPARELVPDHDDGHDCDAEEHGEELEEWAVGAGGLLRAVPFGHEVVDGDVDEDPRGEAHGDGVDPGSGFRRGADDADADGDADGAGHGEGQRVGHGREQGALGDEAQQRDAHGHRREDLVQANGPQVPPRLALARRHADRDALEHRVEAQRDDQKHRVGERRTTSPPNEHDRRWLLLMVLVFFLVLVLGDDAVGEHGEQEPHARQHANGYCFLLSARKLSAESARKCIIDVPRKTPAANCVPSTRKASFHR >Et_2A_015963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19745681:19747182:-1 gene:Et_2A_015963 transcript:Et_2A_015963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLARFGCRNRPFYRVMAADSRSPRDGKHLEVLGYYNPLPGQDGGKRMGLKFDRVKYWLSVGAQPSDPVQRILFRAGLLPPPPLLAMGRKGGPRDRRPIHPMTGRPLDLEGVTIVDDSNAPDGDAEEPTEEVAS >Et_5A_042574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20757245:20761427:-1 gene:Et_5A_042574 transcript:Et_5A_042574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAMVNAAGGKDYPGNLTVFVFFTCVVAATGGLIFGYDIGISGGVTSMDPFLKKFFPEVFRKKQMADKNNQYCKYDNQLLQTFTSSLYLAALVASFFAATVTRVLGRKWSMFGGGLTFLVGAALNGAAKNVAMLIIGRILLGVGVGFANQSVPVYLSEMAPAKLRGMLNIGFQLMITIGILAAELINYGTNKIKAGYGWRISLALAAVPAGIITLGALFLPDTPNSLLERGHPEEARRMLSRIRGTDDIGDEYADIAAASEEAKQVRHPWRNILQRRYRAQLTMAIAIPFFQQLTGINVIMFYAPVLFDTLGFKSDASLMSAVITGLVNVFATLVSVFTVDRLGRRKLFLQGGAQMLVCQVVVGTLIAIKFGTSGIGDIPKGYAAVVVVFICAYVAGFAWSWGPLGWLVPSEIFPLEIRPAGQSINVSVNMLFTFVIAQAFLTMLCHLKFGLFYFFAGWVVIMTVFISLFLPETKGVAIEDMVKVWKDHWFWGKFIGDEDARHVQMASNKTADA >Et_4A_035204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12038003:12038491:1 gene:Et_4A_035204 transcript:Et_4A_035204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASARDTTTRVSAALACLSAPSLNAPWITSLKYLAGESRHLTASCRHGSPAKEGYLFECLAVQLLVDLEGGPELGLAPGGEHPHHLLVLHELRRRRRFDGGGQRLGLLLGELLLHERALVTVRGHQPQQQLQPKY >Et_1B_010627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12220057:12224811:1 gene:Et_1B_010627 transcript:Et_1B_010627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTAVVEWPFCIFSSSHSLGTNTAASAAVVFIVIVFLVGMRRLLLLDRLPPLAVNKMDMIFRVTPLFLAIEATSVKCVKLLVEAGADVKADCILAALNDDTNIVSSDCLSILMEAGANRDVPDNDEGMNKMNVADLKSLGSKAVERKDYLSASGFYSKAMVLDPEDATLFSNRSLCWLLMGDGQKAWMDALECRKLRPDWTKACYRQGAALLLLKGGYGILEDISKR >Et_6A_046145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4419352:4419924:1 gene:Et_6A_046145 transcript:Et_6A_046145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPDDLLVDVFRRLTPRSLAVSPCVCKDWSRVVDNHCLFRTDLLPLSLGGIFNYQFLYYFSQFLSRPTTGTIVSGRLNYTLPGKKFTDMHLLYVCDHCNGLLLLRHCVVNPATRQWALLPQSPPLRQLLPQYLGSDPTLSLNYAVLTVPNVPFNLSGCEESEWPPSTWILPVFSSKTGS >Et_3A_024448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20941301:20943287:1 gene:Et_3A_024448 transcript:Et_3A_024448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGGGGGGDHHGDYHQHGHSNLARADGADHYVFNHNDMESFFFNQPAAASVRGGSRTGADELMPPYSNLTDYLQGFLDPSGLARHLDAPLSAEDPVVKNELSVDVSHDSQGTSGVAGEGAALLTPNSSVSLSSSDREGEGQHSRSKKGRAKDEEEVADEKDQEEGENSMKVNKPKKKAEKRQRQPRVAFLTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTPKCGVKKRVERSYQDPSTVITTYEGQHTHHSPASLRAGSGHLFMSNAHLMPSGFRSDLMSMMHPLAMGMNPNMYLPSMPPPAMPTSPAPPPQQGHFTDYALLQDLFPSNMPNNP >Et_6A_047611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8031480:8035154:-1 gene:Et_6A_047611 transcript:Et_6A_047611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALAAVAVAVVASMAAGAASPSPPIGLANCATRCGNVSMPYPVGFGSPHCYWPGLNLTCDTSQPSRPRLVLGDGTLRVSEISLRDTTVRVIRTGSVMDGVDLTADRNVSFGGGFTDHGYRLSSGNELVLSGCNLQATLREDLGPGRSGIISGCASFCSFRDKKVGIGQAAGKYCSGMACCQAPINYLSSPTGVHLRWLDTAGNHTEELTLIPTYVFVAAEGWFDHRPLADELLSVKRRPSRAAFEVPLVLQWAVRQGMPPPPPDLRVNGTKGCSGEVQRMLCKSENSVCTGGNLGYTCQCQDGFDGNPYLVGGCQVHLCFHVERLDKQNYMSQMSTSVSSHKTMVALANVSTQLEGTNVSAREEPTVTTPSKVAVSSPRLQVITIQLVFSGVSIIIGIVSAVGFLLLVIAGIFAARRFKHRREKMLKQKFFEQNQGQLLQQLVSQRADIAEKMIISIDELAKATNNFDKARELGGGGHGTVYKGILSDLHVVAIKKSKITVKREIDEFINEVAILSQINHRNVVKLLGCCLETEVPLLVYEFISNGTLYEHLHVEGPISLPWVIRLRVAAEIAAALAYLHSSVVIPIIHRDIKSTNILLDDTMTSKVSDFGASRYCPMDKTGLTTMVQGTVGYLDPTYFYTGRLTEKSDVYSFGVILVELLTRKKPCTCFFDDGSGLVAYFVDLLAEQKLVDILDPQVIEEGGEQVKEVSLLAASCLKLNGEERPTMREVEHTLGGILSKNCIKNRMFVEVPSYSSSKTEESMLE >Et_8A_056136.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:24019995:24020246:-1 gene:Et_8A_056136 transcript:Et_8A_056136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIKSTMSGNGGIESAMESSPASSCVSSDAEEVVVSPMVVAGCPQCLMYVMLSMEEEKQLKCPKCKSPVLLHFHKCDGINKG >Et_3A_025622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31371148:31371536:1 gene:Et_3A_025622 transcript:Et_3A_025622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPKIAIVSAPGQQQWWVTVNDTPIGNFPHILFPTFFPECFVNQMVGVVFNLRPKGVLHTEHPRGSPAAVKPYSAVDANGVDRKERTAPKCYSAAILGENVDVLGFDITYGGPGWNGCDQ >Et_9B_064743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18255260:18255624:-1 gene:Et_9B_064743 transcript:Et_9B_064743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPDIYPLTGLQIGDMQSYVSRAFLYLAPLSKKVFILVDNQPWLTSNQSGSARLWQFMVTKVLLGHPSIMFLVMQHVILQMKGNNQSS >Et_2A_017433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34271675:34272580:-1 gene:Et_2A_017433 transcript:Et_2A_017433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGQEANLSEMSGQHVQMNLSGGRHQQQQVALGNQQLQDPQFKKMRIIMHGRIVDYLREKKNAAQQRLEQLASRLENEMYRLHPTKDDYYVMLKEPTEQLVRAVIKVLSTNGQQRQKMLNQAQTSSIYGTMAPTPGMAQSTDTKSRNHFMGNMRAQGQLLTYVPCLIAMEINPQTADKILPLVVLVYHQQLVL >Et_2A_018681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:534718:538982:-1 gene:Et_2A_018681 transcript:Et_2A_018681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCAVATASLPSPPATHVAADHHARLKEAAARSDLPDALAAFAAMSSSPSAAARPVLRTFTALLKLCAGRGDLATGRAVHAQLAARGLASEPLAATALANMYAKCRRPDDARRVFDRMPARDRVAWNALVAGYARNGLAQAAIEMVVRMQEEDGERPDSVTLVSVLPACGAVRALHACQEVHAFAVRAGFDELVNVSTAILDAYCKCGAIEAARAIFDLMPVRNSVSWNAMIDGYAQNGNPTEALALFNTMIKEGVDATDVSVLAALQACGELGYLDEARRVHELLVGVGLKSNVSVQNALITAYSKCKRMDLADQVFTDLSNKTRISWNAMILGFAQNGCSEDAVRLFSRMQLENVKPDSFTLVSVIPAVADISDPLQARWVHGYSIRQHLDHDVYVLTALIDMYAKCGRVSIARSLFDSARERHVITWNAMIHGYGSHGFGKVAVELFEEMKSAGGLPNETTFLSVLSACSHAGLVDEGQKYFASMKEDYGLQPGMEHYGTMVDLLGRAGKLDEAWSFIQSMPLEPGISVYGAMLGACKLHKNVVLAEASAQKIFELGPEEGVYHVLLANIYANASMWKDVVRVRTAMEKKGLQKTPGWSIIQLKNEVHTFYSGSTNHRQAKEIYARLSKLIEEIKAVGYVPDTDSIHDVEDDVKSQLLNTHSERLAIAYGLIRTAPGTTIQIKKNLRRYVLMWGKFLIPVEGVPSMSRRLCKQQAWGH >Et_3B_029505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25547580:25551552:-1 gene:Et_3B_029505 transcript:Et_3B_029505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCLVFMLPFLISSLISTVGLPVDPPAELLQLGDVGGGRLSVDPSDVHEASRDFGGVARGEPMAVFHPRGAGDVAGLLRAAHGSARGLRVSARGHGHSISGQAQAAGGVVVDMSHGPGAAARARARALPVYSPALGGHYVDVWGGELGQAFHQGPQISNVYELDVVTGKGEVVTCSETKNPDLFFGALGGLGQFGIITRARIALERAPKRVRWIRALYSNFSEFTADQERLISLPRGGRRFDYVEGFVVAAEGLINNWRSSFFSPQNPVKLSALKHHTGVLYCLEVTKNYDDDTAGSVDQEVDALLGELNFMPGTVFTTDLPYVDFLDRVHKAELKLRAKGIWEVPHPWLNLFVPASRIADFDAGVFRGLLGGQTASAGGPILIYPMNKHKWDPRSSVVTPDEDVFYLVAFLRSALPGAADPAQSLDALALQNQRILDFCAAAGIGAKQYLPNHKAPHEWAEHFGAARWERFARLKAKYDPRAILATGQGIFRPSDDSPALVAES >Et_10B_004374.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:5123261:5123947:-1 gene:Et_10B_004374 transcript:Et_10B_004374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTIPDDVRAKAEIYVGDAAGQEKTRFLLQETGLPSGLLPLRDIIECGYVEETGFVWLKQRRKVDHYFAKAGRHVTYGAEVSAIAEPGRLRKITGVKAKEMLIWVSLNEICLDNPPTGKLVCKALGGISRSFPVEAFEADGPPPATVVPADTKPAGEEGKDAAVAGEEAAADEKEEKKPEEEGEAKKESAGEEGKDAAAAAAIDGIEEKLKEMSTETVHAEAVAAKN >Et_1A_008703.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:168368:169912:-1 gene:Et_1A_008703 transcript:Et_1A_008703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAVQLLCRASLSGAHLRQVHAQLLTSSLLVDRFFPNKLLRSLLPAQDVLRVFCRLRRILPAFRPNNYTFSFLLTAASAEPSSAGSTAHLVSSLHALALVLGCCDAHAYVANGLIHAYAARGLLRSARWLFDEGLSSGRTDVCSWTSLLTAYAKAGDLHSARALFDGMPRRNDVSWSAMLSAYVAAGSFADAVQLFEDMLRSGVRPNRAAVVGVLAACGALGALEQGRWVHAYVVARRGLQMDMDAVVATALVDMYAKCGSLDAAAQVFHDAGTVGKDVFTYTAMISGLSDHGRCRDAIRLFEHMMQQAAEGGVRPNEVTFICVLTACARAGLVHRAKDIFRSMAAVHGMEPGVEHYGCLVDVLGRAGRLAEALDVVRGMPMRPDSYVLGALLNACTAHGDVHLGNQLLAWLADLGLDHSGVHVQLSNMYAGSSNWDRVLKVRTAMEDKDVLKLPGCSMVEVDGVACEFVAGDRSHPQMREIMSTVTGLHAHLRLFFSASHNNTTWLGST >Et_9B_064228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12919394:12929256:1 gene:Et_9B_064228 transcript:Et_9B_064228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRINIFYLCKRRTEAMIATSSADRIINPDPTAKTVNSAFYGIYPRLLPILRKDDERQFLRFFKKAYLAMTWDFITPQTLLHMILEDSVRCANVVLEGQAPELNGHRAYPNCATQYGFFPLHQAAEIFSVDMIKVLLQHGASANVRTCGDRVVDGLLPLHVAVENTCMHKYLDDNLFAYELYPNYCKADTKDIYKLIHLLCLPEMHYLCVYKYSKARFFVSSIVKIFLDTTRLLAGHTNDLLGEICNYIRHGKLVQTAVLLMAAQEHIQGGCSGQKKGDKQPDGFSYIIKYIAENISAIKLEVGRDEKEQQQLKMNYISSILWLVEAISVAGASLHAYIQGHSKVPHEEVLERVSSILKFHGFCLTGEDISIGNLNLSPYKLPLPEGVIPNEHGYALASKIATDAGRRKVMGKKPALPRGFDLKYRRKSFFPFWRSALSIGFPVKIYPSYALEDKHHSDLKTICENNVNKEPSPTPDHNSSLLNSVLQLKSTYQLKRTFATATFPRNYQSSRIFGTAALPSSYQSERLFGNAALMLLKMEITHPHSKTGSKCNKSLQQRVVEKKDIRHEVALLYTSNLVPDL >Et_9A_063430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24068892:24069325:-1 gene:Et_9A_063430 transcript:Et_9A_063430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRYASGRREHDDAFDEHSEAAVKRFQSRLSLPVTVQLDSAASATAMDMESTSKFAFLDGEPRWTRPDKLALTYAISPTATVTYLPPEAVCAAFRRAFERWPRVIPVDFDDYYYGVADIKVGFYDGEHGDGSPFD >Et_3B_027509.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23305273:23305814:1 gene:Et_3B_027509 transcript:Et_3B_027509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQHGWVFAVDRSLVDPEGKSRRRAVPVEGAAAANGGFVRAPRKPTNHSKPAVGRAYKDLIGSGKAGASSGKGMHKFKHDEVKTYYLELEGAFDAAVDAYDMDA >Et_1B_013194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6292723:6295325:1 gene:Et_1B_013194 transcript:Et_1B_013194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCFGSDVSEVEAVKVMAHAHHAHPQVAMAKRVMAASNAHAVMSPGMPGKSPSSAPTATSSGGGRRPESSGASNGGSLANTEGRILEAANIRVFTFAELRAATRNFKPDTVLGEGGFGRVHKGWVDERTMNPARSGAGVPVAVKKLNPESLQGVQEWQSEVNFLGRLSHPNLVRLLGYCWEDKELLLVYEYMAKGSLENHLFRTEPRKGGAAAQPLSWSIRLRIAIGAARGLAFLHSSEKHVIYRDFKASNILLDTHFNAKLSDFGLAKDGPAGGSSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEILTGLRALDTDRPAAQHNLVDWAKPYLADRRKLARLIDPRLEGQYSSRGAQRAAQLTLRCLAAEHKNRPSMNEVVAVLEEIDSMSRAAARLDGSASPRPAPRSGGHGSQRPGSGSVSDWAGPAHSHPSPRVR >Et_3A_026143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4795103:4797389:-1 gene:Et_3A_026143 transcript:Et_3A_026143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIISSQTGCYFSGAIMANSGAFLSSSWRSFTIFSLVAVLTQTAAAVDQEKLDCPFFSCGHLQDIRYPFRLQGDPPGCGVQAYELVCSDNKTIIFINRGRYFVTNISYTESVFWVVDANLDNSSCPIPEPNQHPYIYGLQSEDTIQLYPDATTWAAFVNCSRPVGIGSNVLSNPTTCFNVTYKSVGCQHTKNSFVYVWTSSYYLFVGNMEPSCRYLSMVPLGSSLVTAPDNASYEDVVKFMRNGFAVQFPFRREEWTYSWIINTCLNDSISYFYTQISSSSVLNQISAIAGIDLHFLRCVNDYSYRTKLFWAAVAIISAVDTVKFMIVLAILSRFMFAPLAVLVFLTYKYWKTKISVDAVERFLKIQRALSPKRYAYTDITAITGHFREKLGQGGYGSVYKGVLPGNAHVAVKMLTNLSCNGDEFINEVSTIGSIHHVNVVRLVGFCSEETRRALVYEYMPHGSLDKYIFSSDRSFSWDKLNEIALGIARGINYLHGGCDMQILHFDIKPHNILLDSNFTPKVADFGLAKLYPRDNSFIPVSAARGTIGYIAPEMISRSFGAVSCKSDVYSFGMLLLEMAGGRRNLEQNAERRSQAYYPLWVYNQLTQQEVAEISESVDIHEVERKLCIIGLQCIQIMSHDRPTMGEVIEMLEAGVDSLQIPPKPFFCGDEQNSVEDPSHFSSRVECNLRD >Et_1A_009410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:499733:501599:-1 gene:Et_1A_009410 transcript:Et_1A_009410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEQSGTEQNSPRGNDWEVVQLTASTYAAAPAPRRPELSEEAEAKKFGTKGDDDSAAALLMSGHFSVAQNEVESLLIGKDSKEYQKELCSLDAVSNEADDEKYQETCEHKLKDDLHRIPSFDKGKNLSFADMEFDSKALQGMSLVGEEPVGLSAPSYDAIDAEKDLFRSATESRNEKKTEESILHKVNPGTGSTEAVASGDQSKPDGSGLPRDVWWKKQLISLYKNAKESSKFWPIVAAAAALVGIAYFGRRWQKGKLQLQPVKLQPSSSKEKISHAVGPLSRMKDILVAGNHQSTVHFRALDNSWHFLK >Et_1B_010831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14547525:14550264:-1 gene:Et_1B_010831 transcript:Et_1B_010831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTMLTLTLLAYSVAMLARLLVARARRRRCYLLDYVCYKATDDRKLPTELCGEIIQRNKLLGLEEYKFLLKVIVNSGIGEETYGPRNMIEGGEARPDRLREGMEEMDETFHAVLDELFARAAAPGGVGVRPADVDLLVVNVSMFSPAPSLSARVVRRYGLREDVKVYNLTGMGCSATLIALDLVNNFFRTQAGKVALVMTSESIAPNWYAGNKRSFMLGNCLFRSGGCAYFLTNDPRLRPHAKLRLRHVVRTHTGASDEAYNCALQMEDDAGRPGFHLGKELPRAAVHAFIHNLRVLAPRVLPLPELLRLAFATFKARLARKKPTRGSGNHLTIRMKAGVDHFCVHTGGAAVIDGVGKGLTLTEHDLEPSRMTLHRFGNTSASSVWYVLGYMEAKGRLRKGDRVLMLTFGAGFKCNSCVWT >Et_8B_060808.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:819636:820367:1 gene:Et_8B_060808 transcript:Et_8B_060808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDERLVAYIKAHGEGCWRSLPRAAGLLRCGKSCRLRWINYLRPDLKRGNFTPDDDALIVKLHAVLGNKWSLIAARLPGRTDNEIKNYWNTHLRRKLVNMGIDPVTHRPIINVEADKAAGADGDVVAFQPQPKMQRPRCPDLNLDLCISPPSPFLSVEEEEDVKPVVKPQGAGRLFCFGCSPLGLNNNNNNNKGIASDCTNCSSNNHFLGLRLGMLDFRGLETK >Et_4A_035947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9153597:9154428:1 gene:Et_4A_035947 transcript:Et_4A_035947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSLSSSPPRATARLGGVPRSSVSYTQLAFRSRHPFQKLPAPELLLLSSPARNKHARTTRPATENNQAAPAAAQETAAATSEGEAVTPGNGQPQQPGAGANANGSEPPKRAPLTARERLRAARVLGKYAEPSSAKGSAASSASKGSPSAAASRPAKAKPEFGSGVLDALREADAKKGGGGRRRSRLPEAPGNLFDDSKRGMPKDGWTFELPFGVDVFLVLVSFTLITTIMFGTAYLVWKLGAIHFNEF >Et_2A_018460.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28704783:28705094:1 gene:Et_2A_018460 transcript:Et_2A_018460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVNDLFDVLGVELEPSLGVHDLLDLEDVGDLVAEARLDAHLECHGGAGAGAAGALELEHDDEAVDLVQRHVAAVRHQARPHLVQHLLHVLLRQGKHAHRPP >Et_9A_061206.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21896419:21896619:-1 gene:Et_9A_061206 transcript:Et_9A_061206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGQQLGRNVSSSSRPITRSGSTQRCGVLAGWTCASRWGTAPQSHSELTTTTPSTTMSTIQRLRS >Et_2A_018671.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4804104:4804562:1 gene:Et_2A_018671 transcript:Et_2A_018671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGSYYYSPYYQPAPYHYNNYNYSAQQQRGWLSSVPVVLFLATVTLLAATTLISWCESAVESLVYQLRGFLILSPVLVVVAVQLWVASGGGGGLVSLFSELVTGDQDQMGQYHYPYYQYHGGAGSTSPWGVALALALVLFLVSYQSSIW >Et_3B_030638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5786838:5788772:1 gene:Et_3B_030638 transcript:Et_3B_030638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAEEMYTQDGTVDMKGNPAVKKGTGNWRACPYILANECCERLAYYGMSTNLVNYMKTRLGQESAIAANNVTNWSGTCYITPLIGAFFADAYMGRYWTIATFMIIYICGLALLTMASSVKGLVPTSCTDGVCHPTESQNAVVFVALYLIALGTGGIKPCVSSFGADQFDENDAAEKKSKSSFFNWFYFSINIGALVASSVLVYVQTHVGWGWGFGIPAVVMAVAVVSFFFGTPLYRHQKPGGSPITRVAQVLVASVRKWNVAVPADGKALHETPDSESGIEGSRKLEHTTQFRFLDKAAVVTAEDKTASSANPWRLCTVTQVEELKSVVRLLPIWASGIMFATVYGQMSTMFVLQGNTLDAHMGPHFSIPSASLSIFDTLSVIVWVPVYDQLIVPAVRSVTGRPRGFTQLQRMGIGLVISIFSMLAAGALEVVRLRTIARHGLYGDKDIVPISIFWQVPQYFIIGAAEIFTFVGQLEFFYDQAPDAMRSMCSALSLTTVALGSYLSTVLVTVVTRITTRHGKLGWIPDNLNVGHLDYFFWLLAVLSLLNFLVYLVIASWYKYKKTADHPDAKGEH >Et_4B_040078.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9066128:9068755:-1 gene:Et_4B_040078 transcript:Et_4B_040078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCHTCPVPPWITPLHTKTYTLASVAAGEEEGRKERMAATAVSDPLEELWRHVMSSDRSHLLCFYPSKITMSGIWTGDNPLDFSLPLLLFQILLVTATTRAVSLLLSPLRLPRYIAEILAGFLLGPSVLGRLPHFSDVAFPTRSLFVLDSMSLLGLIYYVFTVGVEIELASVLRSGRRGFWFAAASAIPPFLVGATTGYLALSTDDTRKTKSESEIINDLSFPIFLGATFCSTAFSVLARNIAELKLAGTDVGQLSISASLINDTFAWSGLTVATALAHVRYGLLPCVWTLVSGALIFSGGYLIVRPALVRLARRAAEGQAVVTEAQECAVLVGVMVAALVADAGGTHAIFGAFVFGLAVPNGPVGVALVEKVEDLVVGMLLPLFFAMSGLRTDTARVTSAHAAVLLTVAAFAAASLKVVAAVGVAAASGMPLHDGASVGLLLNTKGVIELVILNLGRTKRIMSEQSFTVLVFMSALVTALVTPALDMVVKPARRLVFYKRRTVAWPQQPDAELRVLACVHAPRDVPGLLALLDAVSSSGNNNHYHSPVGVHALHLIEFAGRASALLLISASAPADSEAGARSQVETQYKHIAHAFMAYQEANRSAVSSARTFAAVSPYASMHDDVAAAAENQNSALILLPFHKHRLVDGGMEPFHPAVQSLNASVQRFAPCTVAVLVDRGLVASSSGCCCRVAALFFGGRDDREVVALAARMAHNPGVDLTVLRFVQKGGSFTASEFDSLKERKADDACLRDFLDRTKYSSGGAAVEYRERGVFNASEMVAQIRELEAEGNDLILVGKVPGLPALTAGMAEWCECPELGPIGDLLASRDFQTTASVLVVQSYQRAGAGTTMSAELGLGAGDVLPAAARPPRRAR >Et_7B_054848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4893244:4898569:-1 gene:Et_7B_054848 transcript:Et_7B_054848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGGLFDGGGGAAAAGVQYPCAAGAGPGVFASSPALSLALADGGRGRDGSVGRAMGSAVHGGGGGKVEASEVENDSRSASDHPDVFSAGGDEDDEDGEEAGNPRKRKKRYHRHTPHQIQQLEALFKESPHPDDKQRADLGRRLGLEPRQVKFWFQNRRTQMKTQLERHENALLKQENDKLRAENLSIREAMRNPVCGSCGGPATMFGDLSLEEHHLRVENARLRDELTRVCALTAKFIGKPVSLMAPPLPQHMQAELHVLSPLVPIREVTFLRFCKQLAQGAWAVVDVSIDGLVKDQCLPASMSCRRQPSGCIVQDTPNGLCKVTWVEHTEYDEASVHQLYRPLLQSGLAFGAGRWLATLQRQCECLAILMSSVAVPEHDSAAVTLEGKRSLLKLARRMMENFCAGVSASSAREWSKLDGLTGSIGADVHVMVRKSVDEPGVPPGVVLSAATSVWIPVMAERLFNFLRNEELRAEWDILSNGGPMQQVVRIAKGQLDGNSVTLLRAAATSTNQNSMLILQETCTDESGAMVVYAPVDIPAMQLVMGGGDSTYVALLPSGFAILPGGPSISGCGHKASGSLLTVAFQILVNSQPTAKLTTESVDTVNNLIACTIKKIMAALHCDV >Et_7A_053004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4430328:4432849:-1 gene:Et_7A_053004 transcript:Et_7A_053004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVSNASEDERHSILVAAVDGVIVPHTASGLSNDSDAVLARLLNSVIPCCKIDSPLLGGV >Et_3A_024678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23199656:23205101:1 gene:Et_3A_024678 transcript:Et_3A_024678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLEATRAAHEDLERLERLAVRELQRDPTNARDRLYQSHRVRHMLDLVISTSDKLVEIYEDKDSARKDEISTHLTAPTQSGIFSKYYERLKEIRDYHRRNPSARFEAFGRYLDLHELYNEFINSKFGTRMEYSAYVGTFTQTEKISRNHKTTRQYREYLEHILEYLTSFIYRTEPLQDTEKIFAKLASEFEERWANGELPGWERESVSEGSDIDLDDYSTVEELVELGPQKLKEALATRGLKSGGTVQQRAERLFLLKNTPLEQLDRKHFAKVPRTPAVSSESSNGNSFKDDLKKEIALLEVKMKRLCELLDEVIVRTKENAEKKLTLTYEEMEAEREEEEVQPDSESDDEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNHSYWGRRAYERHFKEWRHQHGMRCLGIPNTKNFNEITSIEEAKALWEKIQARQGVVKWRPELEEEYEDQEGNIYNKKTYTDLQRQGLI >Et_3B_029699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27232969:27234482:1 gene:Et_3B_029699 transcript:Et_3B_029699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRGSQHLFSLQDATLHPPALASDDEGAYIPWVLLDDHAYVAKVDNATTAESTTWDSKRIRVTLCLARPPRVSYICVFCPGLDHTEFPLAPERILSSFASSSRQNILQDMDYYIYQAADRAVGGAPSLKRLERPPSSYDFNSYSVGILRCGRNPRHHEQRRLILHPHSASTDDSYVVAALCSPPNPVPGQFVLCHYNSKVSNSWSADIVSLNEEQRLQFNCHINSKVIVIGGDAGTMGFVDLWQGIVFCDVLKVIEGSKPIPLLRYVALPPPIIPGRLQCGDPRLSRDIAVVKDKEGHMIKYVALRIRRKPGQGPYAKDGWVFRTWKRPVSACLEGAWVVVCTSESSHIHVDRNPHFEVLPKVLNREGKPMPPFKGINICQPTLSLSDDDCTVYFMIKKNQNDKKAWVIAVDARDNTLQGVAEFAAERTVFVSFAYVHNRISEYLTSAPGNYVLVMHPLLCGHYIYKTFGCPTLNGDIVRLLAGIDVNIIFCCPQCHIDVT >Et_7A_050663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:180572:182458:-1 gene:Et_7A_050663 transcript:Et_7A_050663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALLLPTTESAVVGGVSDYRGRAVYRGSSGGWRSALFVVAVEIAGSFAYFGVSANLITYLTGPLGQSNAAAAAAVNAWSGTASLTPLLGAFLADAYLGRYRSIILAGALYVLVCLSVPLAASLSNCYRCTSTSTGVLICAVIDLPTVNAQGYGLLTVSATLVRSSLGLLYVSLYLIAVAVGADKPCGLAFAADQFDAEHPQERASRGSLFNWWFFCMAVGISVAVAVVGYIQDNVGWGAGFGVPCAIVLCAFAVFLLGTPTYRLFPATGAGGAHGHGHGQPSPFLRLIFPLPEEDDDDDQAKAEAKRQARCVVRLLPIWASSLAYGVVYAQIMTLFNKQGRTLDRRIALEVELPPAALQALGPLSILLFVPLYDRALVPALRRATGHPSGLTMLQRVGAGMATSLAAVSVAALVERRRLATAREHGLVDDPGATVPMTWAWLVPQYAMMGLADVLAVVGLQELFYDQMPHGLRSLGLALYLSVMGIGGFISSLLISLIDALTSATGAGDSWFADNLNRAHLDYFYWLLAALSAAELLLFLAFARSYVYTNKNISSPLKNTS >Et_1A_008183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:506721:508635:-1 gene:Et_1A_008183 transcript:Et_1A_008183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTESLLFTNRHHSSPSTPKTPTTMVAVVPDDDRDAPAARSLRGAWDVFLEESVRLWAIGAPIAFNILCLYGTNSTTQIFAGHIGNRELSAVAIGLSVVSNFSFGFLLGMGSALETLCGQAFGAGQVAMLGVYMQRSWIILTATAALLSPLYVYAAPILRLLGQEEDIASAAGDFTLRIIPQMFALAINFPTQKFLQAQSKVAVLAWIGFAALIAHVGLLALFVSVLGWGVAGAAAAYDVSSWFISLAQVAYVVGWCRDGWTGLSMAAFKELWAFVKLSLASAVMLCLEIWYMMVLVVLTGHLDDAEIAVDSISICMNINGWEGMLFIGLSAAISVRVSNELGSGRPRATMYAVIVVLAQSLAFGLVAMVLILATREQFPVIFTGDTHLQKAVASIAYLLAVTMVLNSIQPVISGVAVGGGWQAVVAYINLGCYYAFGLPLGFIFGYLFRLGVQGIWAGMLCGTALQTAILMCVVWKTDWNAEASQALERVRLWGGQHEKLPTSDQDAVI >Et_1A_006886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28718639:28721449:1 gene:Et_1A_006886 transcript:Et_1A_006886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRLYKEPAARKVQTHTSQTRQARPGATMETENFSCSWTSSTALAVAGLALLAICSFHLLLRRGRRSGKPRQYAPVVGTVLHQLYHVRRLHDYHTDLFRERKTFQLLTPAGRRQIYTCDPEVVEYILKKNFANYGKGTFNYDNTRDLFGDGIFAVDGDKWKQQRKIASYDFSTRALRDTSGTVFKRNAVKLAGIVSSCAASKKSMDFQGLVLKATMDSIFTIAFGLDLDTLGGSAEGSRFAAAFDDASEFTLLRYVNPFWKLMKLLHVGAEAALKERIQIVDEFMYKRIRSRTEELSNAKAQDPDMKQDILSRFIETTADESGAVDYKCLRDIILNIAIAGKDTTAGALAWFLYMACKHPEVQEKIFHEVTEATNAGEADEFAQSLTDQALNKMHYLHAVITETLMLYPSVPLDNKQCFSDDVLPDGSSVSKGDIVFYVPYAMGRMEYLWGKDAEVFRPERWLNENGEFQPENPFKFTAFQAGPRICLGKEFAYRQMKIFAAVLFRFFVFRLRDGETASVNYRIMLTLPIDQGLHLTATAR >Et_4A_034773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6479510:6482913:1 gene:Et_4A_034773 transcript:Et_4A_034773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TRASHGLPPFSPVRTLDLDSSTSLSKPSQSTSPPRGETLPGEPLASPSVAAGVWRRRDRLSGAPTLALSPHLMFLSRIVLRDLDSIDSPSSMASSKKVVTRDEWERKLRDVKIRKEDMNRLVMNFLVTEGYVDAADKFRVESGTQPDIDLATITDRMEVKRAVQSGNVQEAIEKINDLNPTILDTNPQLYFHLQQQKLIELIRAGKINEALEFAQEELAPRGEENQAFLEEIEKTVALLVFEDVKNCPYGELLDVSQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWTQNQLDEKAAYPRINNFSTAALEDPSI >Et_6B_049875.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1681036:1681344:-1 gene:Et_6B_049875 transcript:Et_6B_049875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSTPRRSPSIWSDLLPDVGGLILRRLPSHADRLSFRAVCRQWRLAAQQERPSLPPALPSERSHLPKPPRRRAAPVQGPPRTLGFRNGNFLTLLQYSNT >Et_8A_056190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13696642:13697091:1 gene:Et_8A_056190 transcript:Et_8A_056190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLHALLLAGAAATAALDNATSDGSNSGPSVVLCNGAGCEPPAQPLPIYGYPPPAPPSAPGTQTPCPPVAVVCCGGGGAGGQYTPQQPNNNAPPSGGYVPYYNSASPPALLAPVTAGYYFITVLVAACLMLQWIVV >Et_2A_018622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35328968:35330236:1 gene:Et_2A_018622 transcript:Et_2A_018622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKASECAGHHLSGEVRAVGACDLAAGDGQACELLRLLLRPVSGGRVQDVREGHGRQQRRLHLLEQPQVGRAPGEQAEEEDAQGVDVGAGPQLPGRQELGVHVRQRGGAPRQHGAGVALVQHAAEAVVGELGGHAAAVVEEQEDVARLEVAVDHAVLVEVDEAGAYVGGDARAVGPGEVREALVEAAGAGKELALALVHGAVSSALLVLGKLERLVQVVGGLPQVVVGQLREASSQLQLPDLVAQRRGLPDGVVPLPAEVFLLALQGEEHAVRLRQLVLQVVDDAPGAHQLQLQLLHPLMVSSSSCCLVVLSPHHRRHHRDVPLSPQLLRLLEYALHVLLLLVVHHHLTVCRCWRKLGVAWP >Et_5A_041706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26053083:26053582:-1 gene:Et_5A_041706 transcript:Et_5A_041706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEGDKRWVPPDAGTLKINVDGAFNPSSGAAALGVVIRDHAGQPMLTAWRKRFIVGMLSLDAEDAKAAACLDGVRLIGRWPHSRVVLNQGEDAGQLQNFVIVKCHREQNKVAHELAHLACKRRESHVSFSFISDCIQDLVSSERVSIEPYNNST >Et_8A_057631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5427065:5432479:-1 gene:Et_8A_057631 transcript:Et_8A_057631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTQGVVYIRWSGNLRLARNIRDQLSAAVATYQSKRKKMAGLVLLLFLLALTMSLPVAHCNDNVGFKLQLTHVDAGKSYTKLQLVSRAVARSKARVAALQAAVSAAAAAPVDDPITAARVLVRASQAEYLIDLAVGTPPLYFTAMMDTGSDLIWTQCAPCLLCVDQPTPYFQPARSATYRVLPCRSARCGQLPYPACFQKVCVYQYYYGDYASTAGVLANETFTFGGAGNTTTKSWCPTSRSGAATSTPARWPTAPAWWASAAARCRWFSYCLTSYLSPTPSRLYFGAFASLNSTNTSSGSPVQSTPFVINPVWPNMYYLSLEGISLGATRLPVDPLVFAINDDGTGGVVIDSGTSITYLHQDAYEALRDELVATIPLPATNDTAFGLDTCFPWPPPPAAPVTVPELVFHFDGANMTLPPENYMLVSSATGYLCLAMAPADGGGGTIIGNYQQQNLHVLYDVANSILSFVPAPISFSPKPEAKTQVKWLPRARSLSQSPQASMARHIRLIRLLCLGLVAAVVTNVHGSLDFRADLNHPYAGSSLSSHEAVRRAAIASRARAARITARLARALGNNKGAGGRNNISAADVPLAPLSDQGHSLTVGIGTPPQPHTLVVDTGSDLVWTQCALFRRRTARQREPLYDPAKSASFSFLPCSAKLCQEGQFGFKNCTSENRCVYDDVYGSAEAAGVLASETFTFGVRSKVALLLGFGCGALTGGSLVGASGIMGLSPDTLSLVSQLSVPRFSYCLTPFAERNKTSPLLFGAMADLGKYKAAATGPVQTTSLLSNPAVETAYYYVPLVGISLGAKRLRVPASSLAINADDGTGGTIVDSGSTIAYLVEPAFGAVKEAVMEAATLPVTNATVEDYEMCFALPRGAAMGAVKTPPLVLHFDGGADMVLPRDNYFQEPRPGLMCLAVGKPPEGLGVSIIGNVLQQNMHVLFDVRNQKFSFAPTQCDKM >Et_8B_060651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3990216:3994530:1 gene:Et_8B_060651 transcript:Et_8B_060651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTLGASSVAALRPCAGRRAPPAASSVAPLGSGTARCAKGVRWGAGKSSGRVVKVRCDTAVAEKPAGEEAAEEKFEYQAEVSRLMDLIVHSLYSHKEVFLRELVSNASDALDKLRFLGVTDSSLLADGGELEIRIKPDPDAGTITITDTGVGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKIVVSTKSPKSDKQYVWEAVADSSSYVIKEETDPEKMLTRGTQITLFLRPDDKFEFADPSRIQGLVKNYSQFVSFPIFTWQEKSRTVEVEEDEEPKEGEEATEGEKKKQKKTITEKYWDWELANETKPIWMRSPKEIEKSEYNEFYKKTFNEFLDPLAHTHFTTEGEVEFRSVLYVPGMAPLSNDEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLGFVKGIVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIEEIAEKDDKEDYKKFWESFGKFIKLGCIEDTGNHKRLAPLLRFHSSKNETDIISLDQYVENMPENQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGEELHKFALIDPIGDEDDDNKETKQEYTLLCDWVKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIVKDLNAACKNEPESTEAKRAVELLYEAALISSGYTPESPAELGGKIYEMMTIALGGRWGRSDTDEAEASTGEARAEGDSSEGTVTEVIEPSEVRPESDPWRD >Et_4A_033352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21838212:21840469:-1 gene:Et_4A_033352 transcript:Et_4A_033352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHYLAVVSLILMAAASLLRLVLKCGGKARPCDARLPPGSRGLPILGETLEFFTAAPTLELLPFLKKRLDRYYLHYWFWTLVMPFSCTRSGTHYVDSLEIVDMVVSLDPAFSARVLRQEERAFQIWYPASFMRVFGADNIITKLGPLHRHIRALVLRLFGPESLRRALLRDVQRASRDELRSWLHHRRRHDGVGVEVRAATSRVRTVGCEPTIDDLQMIFGVTAKKLISHDDAAAGGSLWRCFDACTRGLLSFPLCVPGTAFYRCMQGRRQVMRTLKRQLHERRNIPAAEREAVDFFDLVIAEMDTRPDPVLNESVALDLLFLLLFASHETTSMGLTAILKFLSDNPEALQELTEEHEGILKRKAEPESDVTWEEYKSMKFTSCYPRSAQDGKHRSGRVQKSETGCARYTIPEGSKIMICPSAAHLNTKVYEDPAVFNPWRWKGVPEPVGGSKDFMAFGGGLRLCVGADFAKLQMATFLHCLVTKYRWKAISGGRMVFYPGLRFPEGFHIQLLPKD >Et_10A_000555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12721968:12726130:1 gene:Et_10A_000555 transcript:Et_10A_000555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDSVDMMGRKGRRHAWYNVSNLVRSICPVGLLLFDDYQGYAQLVVGNGATILYNEKNSACGERPPDSIDIKQSSTVARSRTVIGLWGKTA >Et_3B_029011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21362132:21364697:1 gene:Et_3B_029011 transcript:Et_3B_029011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQHWISRLAAAKRYYAAQLGHVDDMPGMGMEEAEMEMEMDDGDVEMEMALELGEPAWPEVACPYCYEEHDVASLCVHLEEDHPYEPHAAPCPICSQKITRDMLNHITMQHGYLFKNGHRSRRYLIPESHAISLLSRDLRGTHLQALLGGRHSHRSSNTATTNISSDPLLSSFGLSFATSDAPEPSKPPSSVPDGASVRKETPPHPWESSIDSSLTSEEREQKRQQATDRATFVQGLVLSTLFGD >Et_7A_050876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1181736:1183632:1 gene:Et_7A_050876 transcript:Et_7A_050876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGANPANSSPLTPLGFLERAATVFGDCPSVVYNDTVFTWSQTHRRCLRLASALVSLGISRREIVSVLLPNVPAMYEMHFGVPMSGAVLNTINTRLDARTVAVLLRHSGSKLVFVDPASLQLIRDALQLLPSEHPAPRVIPVEDPHEKEFPAAPAETLTYERLLEKGDPEFSWVRPTSEWDPMILNYTSGTTSAPKGVVHCHRGIFLVTIDSLENWAVPPQPTYLWTLPMFHANGWSFPWGMAVVGGTNVCLRRVDAAEVYATIARCKVDHLCGAPVVLNMLANAPEGARRPLPGKVRIFTAGAPPPAVVLDRTEAIGFEVSHGYGLTETAGLVVSCSWKGQWNRLPASERARLKARQGVRTAGMAEVDVIDGETGRGVPRDGSTMGEIVLRGGCIMLGYLNDDKATKAAIRDNGWFYTGDVGVIHPDGYIEIRDRSKDVIISGGENISSVEVESVLYGHPAVNEAAVVARPDEFWGETPCAFVSLKEGATGTVTPADVISWSRERMPRYMVPKTVVFRAELPKTSTGKIQKYVLRNLAKEMGPTRKGASTSSKM >Et_4B_039413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14582261:14587320:1 gene:Et_4B_039413 transcript:Et_4B_039413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVQEQFEIKFRLPDGTDIGPKRYPAASTVATLKESIIAQWPKDKEKGPRTVNDLKLINAGKILENNKTLSECKSPICDFSGMTTMHVVVRAPTSGKPSAL >Et_10A_002250.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5617324:5617803:1 gene:Et_10A_002250 transcript:Et_10A_002250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVSVALLVVALAAAAAMPAALADGGCGRKVTIQNLSGRDLLLHLESLANSPLFFPVSGYLLRHGTHAEFPVCIWTGRLHAPDAPTAEFHIGPEGGAWYMAPVDQHTPVRVSVTPHGHLSGHCPAVGCRNAGVCFKDAVPGGNCHHVDELKFIYYNPQ >Et_7B_053865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12935679:12939802:1 gene:Et_7B_053865 transcript:Et_7B_053865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILNFCHAYAAYTSPTTPNKQRETRKQQAMASPTGRSSICHLKIPEFLVGSIGQSVPAVGLGTAFHPFVAEDVKTAVVSALELGYRHIDTAALYASERVVGEAMAESVQHGIVASREELFVTSKVWCTQCHPELVLPSLRESLLNLQLEHVDLYLVHWPMAVKPSKPHFPMKREDIVPFDLSGVWQAMEECHRLGLAKMIGVSNFTTKKLQELLALAKIPPAVNQVELNPTWQQKKLIEFCKSKGIHVAAYSPLGGQRISEMNPVRHSDVLEEIAMARGKSVAQISLRWIYEQGVSMMVKSLRKERLKENMELFDWELSDKDRFKISQIAQRKLVTVKNLLCPEGVSSVDISDVDVLEI >Et_5B_043610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12574617:12575166:-1 gene:Et_5B_043610 transcript:Et_5B_043610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TAVSGVEEALNFLDVENDVNLILADYFTPNVTSYGLLTKVKESSKLKHIPVVITSTSDDPDLIKKCMEGGAKGYFLTPLKFEDVPTLLSFI >Et_1A_007543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35695395:35698110:-1 gene:Et_1A_007543 transcript:Et_1A_007543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLCRRAHPAASRLLSTLTGGSNGGGTPRAGVVYGFGDNSHGAVGQPAPAAASYVPTPVPSLPPSVSGVAGGHYHSLAVSSDGEVWAWGRNDEGQLGRGLNSPRNSWSNPEQVRGLENVQVRAVSASGVVSAAIGHDGSLWVWGRSKRGQLGLGKDVIEALVPSRVEALASYDVVKVVSFGWGHALALTKDGKLFGWGYSENGRLGEIGQSTRAPSAEEYIGKTQDKYSRSMLEAVEKMVEEKIRSEDNMPIIWEPSLVHEVSHLQMSDVSCGLDHSLVLCSNGVILSGGDNTYGQLGERPVSASAGRVHSIVLTSKGEVWVWGSGRTGRLGLGSSIDEPEPCLVDTLEGVEVLQVAAGMDHNLVVVSE >Et_4B_038769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4842422:4845279:1 gene:Et_4B_038769 transcript:Et_4B_038769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EQSSSRCAGAARACPPPPPPLHSPPNPTAGRLGHAAAVRDQPRLIFAGIALAVMTSTDDFPTVTAFCVMLAIVDIYALMVKRCLRNRWAVHVFAVGDMITAGITLSAACAAAAITVLIDNDLDICDENHCPPFQSAVAMTFMCWFTIVPTCLLNLFSMARLRPNE >Et_7B_054656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3177556:3182191:-1 gene:Et_7B_054656 transcript:Et_7B_054656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEVAGGDGSYDFHLRSLSAASRDSVAAADPASDPNLLQSVRRVCEMCKDAKEASDEMVARAFPVMSKLFQRCAAAPTQSVASTGVLLLTILQFFLDFGEAVLHDADGSLKTFFRSCLSREFADPVVAERTLEFIIGNKTKILFSFPTLIPQFYPLLLKLIASNGERLEQKFSEVLPLMMSPGSFLPLFLSLMDLPMLVVALEKVERSSGTLIGSSIATIQKSAAPEMLLALMDEAYTGSAIEDPSGNSGSDDSGPLDLADPMLLDLLKDENDGIAAKHWISPTISSTLQAAVNSPQSDRLKQSLKMAPSFLTLYFATALRDVNDSLLCALIPVVMSRYAAMFPDKVFSFEVRKRLSDFILAAFQRSPDIIAVLKEPGFDSMGASSRKSSQARLLCFLVTAIAKLATCHRELVPRARVSLSKVARSRTSDRRVWQRACDYLGLMNEPAICLSVLGPSTAQGNGPGIVNWSEGGTKMVAHIPLYLLAEQKADMGTRNDFSSIALTRI >Et_5A_040202.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:22349119:22349610:-1 gene:Et_5A_040202 transcript:Et_5A_040202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFNFIRANIVACLLRENFDIQFWYNVMVFLREFMQKNASRYGEHPFDLMDQNRPPYFGRMSTWSRDFVIYHQYNQLSSEEDIPMIRIQDVIYGNVKPRGVFEVLWRSRIPPYHSYVTFLNLVIFRLRRTRKKKRRQGVNRVSYNIVDLYNINVICETITTE >Et_4B_038851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5280958:5283860:-1 gene:Et_4B_038851 transcript:Et_4B_038851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAKQLLRDAHPLLDEMLVPDRATCAIVMKISVGSVYLHACPLGPFMMSNELSLNLVRLSLNGVRRLDLTICFLSHCKFLSQLNLPPEGRRYVIRGREEKLQRQKVLGIGKPASLFEELVCICVSELFCSVIHNFTYLNLQWMQKDDEADAHRNKYPGSQTERSSKLTADEEANKVAKGSKVEYLRNEQKKQAIASKMKMADVSIAKLDIRVELIRKAEKHPDADSLYIEEVDVGEDSGGTKNSD >Et_10B_002868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12861220:12863732:-1 gene:Et_10B_002868 transcript:Et_10B_002868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVAVQLHSLLFKLLLRRRLSSLAASAPAATAFGISSRPADHRASPPSNPSFSPASPDGVATKDLHPDPLSSLHIRLFLPNPAAAGTLSRRASASFSGVSTAADQARAHYGGYLPTPAARPARRRLPVIVQFHGGAFVTGAADSAANDAFCRRVARLCDAIVVAVGYRLAPESRYPAAFEDGVAVLRWIAKQANLAACVRSRTMAKGAGADTFGAAMVEPWLAAHADPSRCHCLFFHPLSYFFNFELRKVNVDAPVLEYKDAVHEFATLEVMLKTPQAQACAEDIAIWVKKYISLRGHELSY >Et_3B_028450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15510653:15514665:-1 gene:Et_3B_028450 transcript:Et_3B_028450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGGATAASAARALRSRILPDPVHIPASSLAPAASARSSSSVPSAAAANTVAEAAAAAAAVSQQAGSVSDTLRHYGRCYFELSKARLSALVVATSGAGYVLGSGNIVDIAGLCFTCTGTMMVAASANTLNQVFEIKNDAKMKRTMRRPLPSGRISPAHAAMWATSVGAAGTALLAWKANGLAAGLAASNLVLYAFVYTPLKQIHHVNTWVGAVVGAIPPLLGWAAASTELSLNAMILPAALYYWQIPHFMALAYLCRSDYLAGGYRMLSFADPTGGLTSDWFGLEASLLTLGLTIGALSFVLDPSPKTARRMFYGSLLYLPAFMAGLLLHRLPNEQKEHNLAQNSELAGVLYGANQQDEERATRKREDRKPSNVQSRPPVAYASVAPFPFLPVPIYVPPQAHDL >Et_6B_048917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15512540:15516728:1 gene:Et_6B_048917 transcript:Et_6B_048917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAAPASLGLGLGAIGRALLLPAEDDASAPPTPPLPGLMRLRCAVQHYDWGRRGADSLVARLAAAGEDAPCDESRPCAELWMGTHPSAPSSLAPDVSLRDWVARNPAALGRAVAARWGGDLPFLFKVLSVAKALSIQAHPDRDLARALHALRPAAYRDANHKPEMAVAVTEFTALCGFAGTQVHASPHSLAFACARAFVRATCELKEVLRTVPEVLELVGKEEAAKLMSVKEQNEGIGVRPYLQSAFTNLMIANEEAVSEAISKLKGRLDGESKVRALTNKEKLVMSLEKQYPGDVGVLAAFFFNYVKLNPGEALYIGANEPHAYLSGECIECMATSDNVVRAGLTPKYRDVHTLCSMLTYNQSFPEILKGVPVQPYVTRYIPQTDEFEVDHYLLPAGKSVTMSPMPGPSIFLVMTGEGEIKAGSVPDEAKLKEGNIFFVPAYTGVNLQASGPGCMQLYRAGVLSRRRVLEAGHCRRRRCPTPNARNRGG >Et_3B_029324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2471648:2472387:-1 gene:Et_3B_029324 transcript:Et_3B_029324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSREERAQSAAEQAADELAAARQQQQQQGQHDGILGGVQETARSAMDAVRGTLSSGGAAPSTAATTGTKGAASDRASWGVMDAARSAAERAREFAAEGARQALAGDAVARKGETDESAWQQGQDVRRRAAEKAQEEQRSAREHPSEAEKGRSATENIYGKARGAMGAFGEKMVMPTDVVEQKRAEAAGGDGNAAPKGSGRGEQAGGGA >Et_7A_051445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18693093:18694841:1 gene:Et_7A_051445 transcript:Et_7A_051445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSRVASADRLSNLPEELLYAILSLLPSCWQAVQTCVLSKRWRNVWRAMSCLHLDERLHLEERRHGRVLPVFEDFASNLLLLPLLDAPTALDAFRLVVTSPSDHSVQRWIRYAVARRPAVLEIQNSHPWLEIHQLALPIMDYSRYFGRLRRMRLCGVRIDARLFAEKLGSGGGCFCPGLRLCDMRLDGRFSASLGSSCPVLEDLELCHVEFSKITLPAVTHLTIYFCVNDLLVVAAPALTCLSCHASTVLLHATAASLLVKAYISLPAGSAKTDIQRKLLASLFNVTSLYQSDFDTMAMFVDEPAYGFPVFRNLKTLSIERCFSDWSDWTGKFTALGSFVQNAPNLTKLSLCNCEFSEWEENKIYETSTAAAQNQELSLFHCDNLEAVEIKYYYGGDLRMPDVMEG >Et_4B_038509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29576601:29580091:1 gene:Et_4B_038509 transcript:Et_4B_038509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPSAVAGASYAAMLTSVHSLASYSDNLADFLDQWSSVLLDVASITATFAAHVPGPESDHKPAPEPNPSPDPRLEAAPEPERSPAPEPELEAVPEPERIPVPEPGLELAPTPAPNPEREGKDGDPAAAAELGRICQKMSARDLRRFVTARLPDREWLRLVGPDALRRAPDPAALVLRAVGRYYIAAESRDAEAACVLLLELYVRAGCPRRPGPGQREREAQLLEEAREAALTWRSRLVRVSGRVGAAGTREARGLALFMAAFGVPIEFPTQELYELLAAGDSLSCTKVLRCSKLFVKTMRDAVVEMLNKDMYLQAIRIILAFEFQNAFPLAPTLTHIMEKVEHSRKKESDESASKEHDEEELALLNLISKCVEDHKLCPSEFSSVGITKRITLLEERVGQLKQDFTGTKRKRTTEEDNTDSRFIRSLCVIHHPWFRLATQADVIHGIPFNIYVSSLCSCKTRIKLEDQIDVQS >Et_10A_000577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13075342:13076867:1 gene:Et_10A_000577 transcript:Et_10A_000577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAAGPSRRWRSAGVVLLCSSLLLNILLLAHHFLLPPSSRRLGGRHDHQLSWALQAAMEAEPAAATDCSGHGRVYLDGVPGEDGRPACECNRCFRPTPNCTADAESADQMFMEPYWMRHAADSAVVVSGWHRMSYFASTDDGGGYQSVELEKHIRMLHKAVGNAVVDDKHIVFGTGSMRLINALVHALSSHDGTDTMTPASVTTAAAAAPPRPCSARRRRRGGPRARDAPPPLLSSSAVPPSDLDGGGTRRSSGVVAGEDEEDHNGVGKDDNGVHVDEDGADCRIRPGEEVKAVTLGAGWSMRFEGEEEAC >Et_10B_002968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14881715:14884894:1 gene:Et_10B_002968 transcript:Et_10B_002968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITLRRLQAAAVAIMLSRLVPASAQQPALAPALALPGCPDKCGNITVPYPFGIGAGCYRDDGYHSFELLCDNSSSPPGLSINFNKYQLTSLSLDAGEVWTDLYASRMCYDKNTGERMDESVANMSLGSSSYLFSANKNRLVALGCDNLGYFTDDNGSYVTGCMSACWQSNSPNQVQCTGDGCCQIQIPPGINLFEPRQRNKFTWTKPDEPGPQPDESVRVCYYVALAEAEWFRNNYHQYINSTADFTMPVVLDWAVRNAGNCSEAGSNKADYACRSINSRCVDSTNGPGYRCSCSDGYQGNPYIDGGCRDFDECNNSSDQPPCYGICTNTPGSYICECPPGTKGNASIPYSCQPKDKFPMALKVVTGVSVVVFLSIFMCFWLYLGLQQRKLIRAKQRFFEENGGVLLQQQMRSYAGAGAGGFKLFSEEELKKATDNFAAARVLGRGGHGIVYRGVLEDKSTVVAIKKSKVMEAAQTKEFAKEMFILSQINHRNIVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGNKNLDTEITFDTRLRIAAESAEALAYMHSSASPPIIHGDVKTANILLDNKLTAKVSDFGASKLAPTDEVEIATNEMRVEVLDEIAHLILQCVSMTGDERPTMKAVAERLEMLRRYQQHPWGQGDDDDMERQGLLGSEQ >Et_8A_056233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17002543:17003376:-1 gene:Et_8A_056233 transcript:Et_8A_056233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAGEEVRAQEVERSPSPARELDLLAALGGGSEPEVNAAGKGKEPVAEEEAEKPLLKSAGAGGGGHKIKRAFKCNYCQRKFHTSQALGGHQNAHKRERSIVKRGAAAAAAAAAAAAGRGLPPPPPPHHLRFPPSWSYAAGAHHRASFLGLGRGGGPAVPPYYAMHPGWAAHAGGSQPPPPVPAGLGGDNGVRPVYAPNGYSFATAAPRAPAPTVLDSAVAGLRFAGVAHEVKQQEEGEEAESKLDLDLKL >Et_6B_049006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16604549:16612712:1 gene:Et_6B_049006 transcript:Et_6B_049006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSSSSASGDLDDSASTIVADAVTKEHDIRIKGYSLTKGLGVGKSIISSSFRVAGHTWCIQYYPDGSKTEAADWISLYVDFIPPDPTDDKTVVRARLKFSLLDQEGNPVIAHVRYTENAKRFSASSSWGFPMFMKRNDLEGSNYLKDDSFRIRCEVTVLTEGLAIAKRSREKSMRNKKFVTVPPPKLNQELRGIFWAGAATDVTFDVGGELFMAHRNVLAARSPVFKAQLFGSMMENTASRVQINDMEPNLQHVDGRDRDGHRVAHPPDQQLRRHKGPRRRQEHQLRHVHGRRAQLLYLFLDCPADKHDVVKARFALTLLDRRGSKISGKKTGAGLNFSLVDGTPRWGYRKFVRRVDLERFSHLDCFQISVDIRMGAASSSSAASDSSTITPTIISETITGAHILNIDRYSDTNGIGVGVSVNSEVFTVGGHRWYIQCFPEGSLLERAGWISVFVHLDHPAANMDDEVRAKFEFYLLDKYGEPVLNYLKVSPVSTFSLVAGFPPARWGCRKFIRRKTFEWWYLTQDVLRIHCNVTVVKEASVGTSTVHPEPCAVPPSLVAAHPDLQQHLGDLLASRLGADVTFMVSGELFPAHRIVLAVRSAVFKAELFGHMKEKDMAYIQIDDMDPNVFRAMLRFIYTDALPEIDDRDKVAMAQHLIVAADRYDLEKLKIICTDILLSRADATNVATTLVLAEQHGFTGLKEACCRFIECIGNRKVALMASDGFQHLRISCPALFEEVLAKLPNSPVEVQRNSWCESAVTDAGDGGSTSTIVAEAVTGSHVLKVQGFSETQGLLGVGNSVCSGAFTVGGHKWRVLYYPDGIDDERSDWISMFIRFEDGNNCKAKARARFSLLNQVGKPVSSHSKTTIISEFSATCPTWGFLDYISREELESSPYLYDDSFKIKCEVTVYGDMHSKTTRHVPVVPPPELLRISGTS >Et_4B_038891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5719920:5721340:1 gene:Et_4B_038891 transcript:Et_4B_038891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGVPRSVPGSYGLPVIGAVRDRLDFYYFQGQEKYFESRVDRHGSTVVRINVPPGPFMARDPRVVALLDAKSFPVLFDVTKVEKKNVFTGTYMPSTSLTGGYRPTHAKVKQLLFSLLLSRKDAVLHPLITLGLPMIIEEPLLHTFHLPPFLLRGAYKALYKYFSTAASQTLDAAESLGLSREEACHNLLFATTFNSYGGVKIMFPSLLANVAKAGKTLHEKLAAEIRAAVAEAGGGEVTFTALEKMELTKSVVWEALRLDPPVKFQYGHAKTDLQIESHDAVFQVKKGEMLFGYQPCATKDARVFGPTAGEFVGDRFVGEESGKLLQYVYWSNGRETENPSLDNKQCPGKNFVVLVGRLFLVELFLRYDTFTAHVGTGVVFTGVTKATSGPAKE >Et_1A_008543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8595077:8597643:-1 gene:Et_1A_008543 transcript:Et_1A_008543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SNPRSSTRNGAYNPGALSPSPTRRTGSYKPERVEFTTARYRENGTSLERAHPLAFASSTSHSHHSTTAAFSTVTRPGSGALPMITFADLTTPAARAGAGAERCVDRQLWLACAGGMCTVPPVGASVYYFPQGHAEHAAGLAGTVDLSASRVPALVPCRVAAVRYMADPDTDEVFARIRLVPLRGGDADEDDAGAGGDEQDEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYAADPPVQTVVARDVHGSAWKFRHIYRGTPRRHLLTTGWSTFVNQKRLVAGDSIVFLRGDGGDLHVGIRRAKRGGFCGAGGGGEDAPPAVAGWDPYAGGLMRGGNVTPCGAAKARAKVRAEDVAEAARLAGAGQPFEAVYYPRASTPEFCVRAAAVRAAMRVQWSPGMRFKMAFETEDSSRISWFMGTVAGVQVADPVRWPHSPWRLLQVNWDEPDLLQNVKRVSPWLVELVSSIPAIHLASFSPPRKKPRPAYPEFPFEPYRHLPQHHNPYFPTHHPSFLPFPDGSPLADTSIQGPRQTPRFLPSPPHIFLPNLQPSLPYPGILRPDHAGPTTPIPSRIINTDIFLGRSPPSGDDVSPALPSKNAAKPAGLKLFGQDILTEQQMSLSIISGGETSPAATTRTSSLNWNAEKGANVSEGSGSGVIQNSPTNKASSERQQLQWFREGSSHVSDLGLDLGLELGQCKVFMESDTVGRNLDLSALSSFDELYNRLSEMFCVESAELRSRVLYRGVTGEVKHAGDESFSDFVKSARRLTILTDAGSDNLGS >Et_6B_049009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16647567:16655364:-1 gene:Et_6B_049009 transcript:Et_6B_049009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLCAANVSLATSNLTSPPTLSALPVRSTPRCRCRSSRRDCHTFFPHGRNSLTMMIIATMTTTETVVGSYVLRIDNFSGIKDLGVGEKIESRSFRVGGYSWRITCCPSGADVQSAGWVSLFLLIHCPRATDKVEAKCIFTVLEEEEEEEEEEEEASAPCIRMRPSKQINLCTYTSTNVSSSCCDLIERNNIEHSYLKGDCLQVRCDIYLVKEFPTIPPPERHRHLGDLLASQVGGVVKFKVGDELFTAHKYVLAARSSVFRAELFGPPGKTSKVVDRVVQVDDMEPKVFKALLRFIYTESLLDVESVGDKTTMVQHLLVAAKRYDIELLAGTCEDILYNGIDASTAATTLLLAEKHGYNKLKKACVTFLENLLSSGTNLVARYLNASQQILEESHARRLQPVTTTLRGQQQHNSSRARVDVLAQNVLTDNLHPLHVIPIRCHHQPLNHLELIAIVVASYLRQQVGVHEVEQSLEHLGFHVSDDYVTRHGIFLLLIRAEELGPENRGSGSEHAPVRRDHFAGDLERDVLPDLAGQELAEMPVQLRRRQRHG >Et_7A_050290.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:20073052:20073465:-1 gene:Et_7A_050290 transcript:Et_7A_050290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPSSLEEDLIEEILIRFPPDDPASLLDAALVCKPWCRLVCSPSFRHRFTEFHRRRTPVLGFFCRVYKPRGPSGEEIRFVPTSPSFRRHAPGHRAQLAGTPSMRSMAASSSTTWSVSVLLICASSCGSGNPSRGK >Et_8A_056897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18743109:18750522:1 gene:Et_8A_056897 transcript:Et_8A_056897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGDKEAGIRSMAVGAIYKTTTKDPGTPGVLRMVSAVLLHSPSPPPPYAWPSGRARVLCAGKGFDRNEDKFSFTPMDPRSSVKLKVDFRSIKTQMLAKVDTSKRAPPPPVWKLCKDKGGGYLFVLGNIANREKCREFVAMVLEKHQGTVPPIPNVIPEDPAASTGSEQLSVERRKKLLLEDSDLRKLHMRFVRGNILQESEFWDRRKHLLDDEANKASKQRSGLKNVMHDVRALADGQTNKVTFTTEIIHQIFAEKPAVYRAYFDVVPKKMSEEVFWKKYFRAENLLRTKNTAAAEAEAAEDEELAVFLKSDDILAKEAKLKVKQVDPTLDLGADAGDDYIHLLDHGILHDGSKDTIDTDVELAWRTLSQDLNRHAAVVLKSRSSESFCSVADDSIHERLVKVARLTEIEDLQAPQNLQYAPLCIKDPREYFDSQQAHALRSLQGSSDVNKAQNYSLSTDDVFHHLMDQVSSTELHKLNCHAVHSNVALKVINELNQLISRARRCNVRNPHGNLLGRLPHRTQDELMDHWTAIQELLHHFWSEYSITSPVLCNKVQRIKAAMTQIYQNLQDIKESAQPDLRHEISQLVKPMTQALDAAFSYDLEQQQETHMPGTNPTGFEPRRKSSGLACR >Et_2B_019393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26899839:26900648:-1 gene:Et_2B_019393 transcript:Et_2B_019393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNKWWQASLDFPPPQHHQHQQQQPEMSVAPTSPEGKQEQLQQHGQQQHAGAIVPLRRPRGRPLGSKNKPKPPVIITRDSPDALHSHVLEVSPGADVAACVAEYARRRGRGVCVLGASGAVGDVAVRGAAAPLRGRFELLSVTGTVLPPPAPPEASGLAVVVSAGQGQVLGGCVVGPLVAAGPVTIFAATFANAVYERLPLPDAVDVKPDLSTATSAGQDVQPQLQMPPSHQQPPAMAYGDHHRSPPPYPWGGGGGV >Et_2A_016378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24025222:24027545:1 gene:Et_2A_016378 transcript:Et_2A_016378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLRHAAFRQGGDLLVACTATWERIERLVTSKLLHRQQLHGHGSISEVSSVVDETLGKRPLTGPNDTLIYRLFASTWNIGGIAPSDDLDLESWLDSRDNSYDIYVLGFQEIVPLNARNVLGPKKSCISTKWNSLIAAALNKSRIVEGENSHEATTNNCAMESSTQGEYFSCIRSKQMVGIFTSVWVRNSLKPFIHHLDVSCIGSGIMGCLGNKGSVSIRFVLQETSFCFVCCHLASGGKEGDVLMRNLDAADILARTRFPGGATQKLPEKILDHDQVVLLGDLNYRISLEEAETRSLVKTKNWAILLENDQLLFEFSRGRHFEGWQEGSITFSPTYKYHPNSDQYYWCFDGARGEKKRAPAWCDRILWRGKGLKQVQYETCNYRLSDHRPVRAVFHAECDVSEGTQK >Et_5B_043516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11399908:11401853:1 gene:Et_5B_043516 transcript:Et_5B_043516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFIRPKDGKTMEKVGNNYLRELISRHLVVRLVNNMGSFIDYDDELVAVHHKVHSLLQVEALESNFVDIHNGDDNHYLSTARRLSLQNYKDKYAALANSLPKLRSIFSNFQEEAEIDKEDEKVQEEAEIFEESKQGDNDEQFQKEVPTDEEDNGEHQEEVPNDEEDTGENHIEKEEILKCDNGGEEIEQKIGQQRKGDGAFTEEREEQIVEGKINLGGENNKEGEGEQSVEGEGEQNGDGGKWKVKILRIREMQETSKRDRMRKKRTFSSLLGTRGQTTRICEVVRKRNVESIKVPPSNQSTRSGGWQEIATKIGNMAHLQYLGITACSLEIIPPTIGRLKNLQTFDVRDTYVEELTDAFWNIKTLRHRVGNLKHLQTLEGIKPDKSGWDSKTFERMVHIRSLRFFDLSGNNSNAKALSEVIGKPDSLEYLVTLYIPIDVIPLSVFTSSSQRRLRTLNVWGKLDYIAPVSKFYLPNLTFLELIETEVPQDFINKLGKLPLLATLILDINSYNDDQGQLVFRAGGFESLTKLVLILENLKKLAIGKSALPELTDLVIIGYPTDIEIQVHGERGFVKKIQDEDEDLYACISVASTSQKKIVQDQASSDM >Et_1B_010549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11534708:11537435:-1 gene:Et_1B_010549 transcript:Et_1B_010549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATVGWRPTAVLLALVSLSCLLSSPVAFAAEAAEVAGQAAHRNTERISGPCMLLLSQEHPPTGSAGDVLEDNPVGRLKVFVYDLPSKYNKRIVTKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPEEADWFYAPVYSTCDLTPAGLPLPFKSPRMMRSAIQYIANRWPFWNITDGADHFFVVPHDFGACFHYQVRTYLSCLTIFYLPSLVTGKIWPSFRACSMTMEMTLRVGIMRGKGARASLWENFKNNPLFDISTEHPATYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVDEEEVPKLDTILTSIPIEDILRKQRLLANPSMKKAMLFPQPAQPRDAFHQILNGLARKLPHSKSVYLQPGEKHLNWTAGPVGDLKPW >Et_7B_054469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21719499:21730200:1 gene:Et_7B_054469 transcript:Et_7B_054469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENTPPPPPQPELAGEKRKREETSPDSSAKEAPAASTGCEDAATNGGSRHPMWKTSLCSFFRRRGAGAEGCSHGETCRYAHTEEELRPRPDGTWDPTSDRAKKLRKVAEEAQEEAEEEVTVDEKSLDKCLGISYATAKKKKGMTVGFVTFESTEQLKNAIQVLKENPSGEKEIKIADANRRSHRPVSYNNGTSTENSSNPATGAGETTAPEAAGSDKKSARDAVTPLAHMSYNEQLEHKKHSMAQILKRLTRNARKACPPAVPLPDWVFKSKEIGGLPCKLEGILESPVVNGYRNKCEFSVGYSLEGKKTVGFMLGNFREGVTAVEEPVNCPNVSEISCKYALMFQDFLQSSSLPLWSKIDNSGFWRQLTVREGRSPAQSVSQNAESQISEVMLIVQVCSTGVDEALMKEEFDKLSAALLQGAATCSPPLPLTAMVVQDHKGISNAAPADCPLIPLLMPKEGDHLESGAEDKTRIHDHISNLKFSISPTAFFQVNTLAAERLYTLAGDWANLNSDTLLFDVCCGTGTIGLTLAHRVGMVVGIEMNESAVLDARRNALINGIKNCRFVCSKAEDVMGSLLTEYLGSPQQEIVASENNSVINDTSKTGDILDCSESNGESVDGSTQKSDNGETQQSRDMPVDHPTCASDGEIKGNSVGKFDKEVDGSHSEYDDADGEQKCGEASSVNDESVKETLTESLEHSKTCRDDFTTPKSDILDSTAYQFKNIVAIVDPPRVGLHPTVIKALRTHPRIRRLVYVSCNPDSLVANAIELCTPTAEKQEKNKGNRGWRNMSSAGLARQRTKSMPNSEPFVPKRAMAVDLFPHTSHCEMAFAAEVEGNPCHTFIHAKGVKGLGVSASELILLQ >Et_1A_005288.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:38222986:38223243:-1 gene:Et_1A_005288 transcript:Et_1A_005288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLMVKLCFRARTSLRRKLRPLFRSAGKLAICLASQVNGSFSVSRRRRRRRRRRRRRTLHEGRLPFIQIVAYSCRQENTEMVW >Et_3B_031567.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:4806868:4807218:-1 gene:Et_3B_031567 transcript:Et_3B_031567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLDSLFVPRAFRQYGCAEMVPSVEKKDHVASCANAPCHCTIAGCAGGYGGPSLRDYVALDHAALRCSHVTPGCLVALKLRADQTLFLADQVICPDSYRPNPAADWVPCCEAQP >Et_2B_019618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11004303:11012322:-1 gene:Et_2B_019618 transcript:Et_2B_019618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKVHELEADVPASDLWAIYGTLRAAELMPELLPHILAKVELISGDGGVGTILELTFPPGIPGLQRYKEKFTKVDNENYVKETETVEGDLLKLGFLAYRIRFEVTAKGPSSSMVRTTIEYEIDDARPELEAMVSTAALAAAAEKFADHKEKFIKVDNENYVKEANVIEGGFLNFGFLKFTVRFEIIGKEDETSIIRLSIEYEVEEEHASNASLVSTSALAEIAEAITRYIKGRKSLGQAPE >Et_1B_013770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15894611:15897299:-1 gene:Et_1B_013770 transcript:Et_1B_013770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYVLESIETVPGDGLVDEQQHKNSGDLENNLVPDDEELADQACINKYDVDGWSNFDDSQCISLPPDQDPFLCLVNGPPYVTDDTEQEAKNPSSTSCNSDKAATQDGGPACIGVDREAGTGEGIHMSVDDDDDDLDFDQEIASKGEDLSEEEANSYLWQLTSARSPAHYWIGRNRYQYLKADPDELDKINENLDWIFHPEHIILRGLDDYQRLVPKLQEAAEFMMWKEYRLIFSDYASDKEYVEYCKEISKKLKWIKSYVHMPEGSLKEYFWRLRADHAFHDSLHAVCFEVWKLVAKEKKQFRDAFKEVHSGYMFPVSSDRLNRELSRDTDDDDSIEHQFNTYVAGIPETTPENEVHEKIVEAIRNSALRIDGYDRTIETSYIKNLPGPGGVEVLEKDQKKVQKQEGN >Et_2A_016938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29770702:29780099:1 gene:Et_2A_016938 transcript:Et_2A_016938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASQRTTRELLDALTAHLSLYHAANPSPGSSSSPSTSPRAAILRWLGSLSPGARAAAATSLLSPAAASALLSMLRRLRLRGHSSFFVLQPSSSREDEPTVLSRLSRGLLARAAAGCRTRALVFSNILLFPSSPASSRCPDAITVAEALFADLDGFVAAMDEISGGKFLCCGEGDVDLTALSCEEFPELPWLNAKGYYVIEEFVVNRVEIALRMSWAAAGAGGGGGRKAARVGKGVKEKAGLAANAFWREKGYVDWWMKLEPRVRARIMGAFFGKGAMTLANEIAEESDIASRDKFFFCLGEAGSFVADTSCEHTWQSFFRKNHPGSIDVANFVSCKKPIFAKQLRRLQLVQEITCLKGNITDCSGDAIFFTPLTSAATVADDIFMKLRGLLMVVSTESINLELIGDGASKVPRKKDVEKTSGGSRKGKKKSSNSKKVTASSKTTKDDGCSISESQNCRILPNQCISSVGGTTVGPASEETPCKETAPTIKVEQTVGFDDHKNHCNKKKNKRKGKTKLSNLMRPDNPESSKLKQAASHAAAEASHQPIEEFEGSPHPASCVSPFGSDIPKGGSCLDSPILLNRTKVKACRNNTKKEDTLFSPGVCSSVTTKQYQSVHESDAFNMNGHARSNVSNNVSVVQSSSCLPSRGDNVSSNKLCRNSIDSLERSAQDKNGFDEKHVDHKAAGATGKILPSVIPESASMPLSNNGAVMKNNGGKYYVYNRGLPGGTSYEWPSVTPSHFVSPEMQNRPAAADRLHLDVGYKWPTQFNQPFLPPNHQVRNPPVEAGCNQMLPSLAVPLSFDWPPAFRGYGKLSHDAALSYDPLYTPQMQSSAWSGIPAQLMQRGGICSDKDRKYFGDSDVGEDAESYWFSEEESDARAHSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLCSDDSAWAWHEADVIRVVDDLANGIPSTLNGVSSSPPSTPSCSQNESLDPVAQSVTGTDINNEALPSVSPVQDSPEDKTISVAKSPPRGSEVIKGDTLPYAMLRPIVVPSMSRRSSRSDFKGSRDHRSPCVASTRRDIPLLRRPPSPVVLSVPRVPRPPPPSPAGESKRRGFPIVRSGSSSPRHWGMRSLFAEDKIFHRAQFCLDGPEVVWPSWGNKGTSAGTLVQSIEDTVLQDHLVKISQMSRDQHPDMALPVQPPDMLSSSSHKASLSLMHNVLHEEIDQFCKQVAAGNLVRRPYINWAVKRVTRCLQDLWPRSRTNLFGSNATGLALPTSDVDLVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLTNLDWVRSDSLKTIENTAIPVIMLVADVPCDTNMSSEYSSVLDSSQEYSVNVLGAQGSPPRSDTSSSEGSNMVCSKMSKDDCDTVQSIRLDISFKSPSHTGLQTTELVRELTQQFPAVVPLALILKKFLADRSLDHPYSGGLSSYCLVLLIVRFLQHEHHLGRPINQNLGSLLMDFLYFFGNIFDPRLMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFAVLENELLLFNAEYSTPASSFNILKKIIPSIDSDEL >Et_4A_032454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10223428:10232914:1 gene:Et_4A_032454 transcript:Et_4A_032454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMQKLFVLLAAMLALSYSAAAAPVRMHLTHTDAGRGLTRRELLQRMALRSKARASRFYNSGSSASAQVAPGKGDPDTEYRVKLAVGTPPQPVELTLDTGSDLTWTQCQPCLSCYQQALPYFDASRSSTFSLLPCGSSACQEAPLYSCGTAQKSSWGNQTCVYTAWYGDKSISVGTLGVDKFTFAAGGHAAVPGVTFGCGLLNNGLFTSNVTGTGLAGFGRGPLSLPSQLKVDNFSYCFTSIAGSKPSPVLLGLPANLYSSSSRGAVVKTTPLIHYPPNPTYYYLLLKGITVGSTRLPVPESTFALTKNGTGGSVIDSGTSVTTLPPHVYRLLRDAFVSQLKLQTTTDGGELLCFAVPKGAKPPRVPKLMFHFEDATLDLPRENYVVEIDDADEKGHREMCIVIAEGGDEMTLIGNYQQQNMHILYDLAGNKLSFPALLRALLAALLVISCSAAAAPVRMHLTHTDAGSGLTQRELLQRMALRSKARAARFHHSGSSANAQVVPGKGDPDTEYRVKLAIGTPPQPVELTLDTGSDLIWTQCQPCFGCYDQALPYFRSSRSSTFSRLPCGASACQDLPLSSCGTRNSFWGNQTCVYTVMYGDKSVSNGILGVDKFRFSGGGHATVPGVTFGCGVINHGTFMYNASGTGLVGFGRGPFSRSTTSPTASPPLTGSKPSPVLLGLPANLYKSSARGAVVKTTPFIHNRDNPTYYYLSLKGITVGTTRLPVPESTFALTKNGTGGAFIDSGTSITTLPTHVYRLLRDAFVSQLKLQTTTDGGELLCFAVPPRAKPPRVPKLMFHFEGATLDLPRENYVLDHEDKGRKEMCIVVNDGGELIQNMTIIGNYQQQNMHILYDLAEMHKAALLLALLVALLAISSSDAAEPVRMHLTHTDAGRGLTRRQLLQRMALRSKARAARFHRSGSSASAQVVPGTSEYRVKLAIGTPLQPVELTLDTGSDLTWTQCQPCLTCYDQALPYFDSSRSSTFSRLPCGSSACQGLEMSSCGTRNSFWGNQTCAYTVTYGDKSVSTGTLGVDKFRFAAGHATVPSVTFGCGVFNYGRFKYNATGTGLAGFGRGPLSLPSQLKVDNFSYCFTSFSGSTPSTVLLGLPAKLYRSSARGAVVKTTPFIHNRDNPTYYYLSLKGITVGSTRLPVPESTFSLTKNGTGGVFIDSGTTITTLPTHVYRLLRDAFVSQVKLQTTTDGGDMLCFAVPPRAKPPRVPKLMFHFEGATLDLPRENYVLDYEKGRRGICVVIADGGEMVQNMTVIGNYQQQNMHILYDLAGNKLSFVPAHCDRV >Et_5B_043936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1791330:1795392:-1 gene:Et_5B_043936 transcript:Et_5B_043936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTPRSVQPINQPYIIARAFARPILQDSSETDETSRHRAQGRSKEAAAMDTEGKVATEEKMRGWCVALPKVELHAHLNGSVRNSTLLELAKQLGDKGVIVFEDVKDVIMKNSRSLPECFKLFDLFHILTTDHDTVTRIAKEVVEDFAAENVVYLEIRTTPKNNVAKGMTKMSYINAVIKGLKAVEAVDVVLFDSNLRTNETLSCTPMIELDDDTKKKKIYVGLLLSIDRRETTSAALETVNLAMEMKDQGVIGIDLSGNPVVGEWETYLPALQHAKELGIPITIHCGEVPNRKEIQAVLDFCPQRVGHVCCLDDEEWKQLKSLTIPVEICLTSNVMTGGAPSLELHHFADLYNAKHPLSLCTDDSGLFSTSLSNEYYLVAATFGLSKSELFQLAQDAAQFVFADDEVKKSLRRIFKVAEKRLMLPAEFAAPN >Et_3B_031226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2196864:2199957:-1 gene:Et_3B_031226 transcript:Et_3B_031226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAGAMTPLLDKLGKLLVSELTLETRVRQDVASLQREMAEMHAALRSVAAVPPERVDEVTAAWARDVRELSYDMEDAVDAFAVRVDDEDHHAVAGGDLIRSRLRGFLDRTARLFRKGKALHQVAGAVRDAKGLAKQLGELRQRYGNLQLQDGVVGASTIIDPRLTAMYGEATDLVGVDGARDELMKTLSLSDRSEVGVKTVSIVGFGGLGKTTLAKAVYDKIKLQFDCNAFVSVSRNPDIARVFKMILYELDKERYANINEAVRDEKQLIDELRESLLNKRMKPLSDDDSLRLFCYRIFPRGDGCPSELEQVSRDILKKCGGVPLAIISIASLLANSHRQVKSKDQWRIVLNSIGRGLTDGGNVKDMQRILSLGYYDLPSHLKTCLLYLSIFPEDFNIERDRLIWRWIAEGFIVQRENSGGNLFELGESYFTELLNRSMIQPVAIDLEGRAQACRVHDIMLDLIRSLSRKENFVTIWDDNEQSTPSSHSLTARRLSFQSSTRTTSQATTSMSKVRSFTIFNSAIDSMPPLSQFQVLRVLDLEGCDLSKCGSHFKLKQVGNLSHLRYLGLRRTCIRELPVEVGKLQFLQTLDVRGSSGIQELPATITGLRNLMRLRLDWETKLPRNGLRNLTSLEELTGLRVGHDSAAVVAELGHLTGLRLLTVRLEEAELGEALVNSLGNLCKMQSLDVYVDGGRGELLRDWAPPPGLRRFLCRGPTSAMSTLPAWMRTGASLPCVTYLDVRVGRVGPDDLQSLGALPALRGVRLRAAGHIDGDDDELHHPAAVVAAGAFPCARTCAFLHFATAPSTFPRGAMPRVQRLEFSFRSWDVVGGGSGFGLDDLLRMENLPSLEEVHVELWYRKEDGAGGAAAETVAAALRRAAEDHPNRLALRITKRPGACMCSAMAGRSSALLFGFSASVLNLFLVLSAGMRRNRDLRRKKSPRV >Et_10A_001345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23601214:23602795:1 gene:Et_10A_001345 transcript:Et_10A_001345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTESPLLRDVGAALLTAVAAAAVLRFWEKLCRKLVHITVGFAYFLMWPLFSSDDVYAPFLAPLIIELNIIKVTLIGLGIVKDEGVVNSMTRHGAELLKGPLYYACATTLITIIFWRTSPISIAVLCNLFAGDGVSDIVGRRLGHAKLPHNNDKSYAGSIAMFLAGFIASALFMCYFHHFGFVEQSWRMVLAFGVISFVAAVVESLPISTRLDDNLTVPLASALPLDRGQKPVLHEQ >Et_1A_005698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12952787:12957920:-1 gene:Et_1A_005698 transcript:Et_1A_005698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLQCGDCGVLLRSVEEAQAHAEATSHSNFAESTEAVLNLVCSACGKPCRSQTEVDLHTKRTGHADFADKTMEVAKPIDLEAPTKPASEAMDVDASASGEQQEMVVPEVNKEMLVDLEAMGFTTARATRALHFSGNSTIEGAINWLSEHQEDPDIDEMPLVPANTKSEANKPSLTPEEVKLKAQELRERARKKKEEEERRMEREREKERIRIGKELLEAKKMEEINERKRMIELRRLEKEEEKRAREKIRQKLEEDKAERRRKLGLPPEDPAAAKPSAPPPVEEKKSALPVRPATKAERMRDCLRNLKQQNKDDDAKVKRAFQTLLAYVGNVAKKPDEEKFRKIRLNNPTFQERIGNLTGGIEFLQLCGFEKLEDNEFLFLARDKVDMAVLNTAGAELNSAITNPFFGVL >Et_1B_013142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5899321:5901965:1 gene:Et_1B_013142 transcript:Et_1B_013142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPKPPSAAASAAPDTPNPHAFTCELPHSIYALAFSPVAPVLASGSFLEDLHNRVSLLAFDPVRPTPASFRALPALSFDHPYPPTKLQFNPRPAAPPLLASSSDTLRLWHAPLDDLSPAAAPPELRSVLDNRKAAASEFCAPLTSFDWNEVEPRRIGTASIDTTCTVWDIDRGVVETQLIAHDKAVHDIAWGEAGVFASVSADGSVRVFDLRDKEHSTIVYESPRPDTPLLRLAWNRADLRYMAALLMDSSAVVVLDIRAPGVPVAELHRHRACANAVAWAPQATRHLCSAGDDGQALIWELPETAAAVPADGIDPVLVYDAGAEINQLQWSAAHPDWMGIAFENKVQLLRLNLPIEM >Et_9B_064275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13568078:13568531:1 gene:Et_9B_064275 transcript:Et_9B_064275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGLLFHEVARVWEYDGEGHANAALLEGVADLVRLRGGYRPADWVQPGQGGRWDQKGNGVTARFLEHLEKQRPGFVAAVNAGLEKQVPNGGVDLFKGITGRSVTELWTEYKSE >Et_6B_049250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2324398:2326921:1 gene:Et_6B_049250 transcript:Et_6B_049250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDERLRRALAAFGGDVWALADAALAAAARDRPEELRARRDGIVERLYAAGGGCSNCDGPEGDADPDRADDPDELGDGDAGLESKILAIRDFLEDPEQPEDELVSLLQNLADMDVTYKALQETDIGRHVNGLRKHPSGEVRRLVKQLIRKWKEIVDDWVRLHNMGGDGSSSIIADGDSPDKIQGRNHQSPRVSEFKYSPSPQRHNGSSSERTNNGFGSTMDVKRRASPAPTYQNSRQISNNHNHSTSSSSAPAKMARDNKDSLLDLDRLDSARKRLQENYQEAQNAKKQRTIQVMDINEIPKPKNRNTFIRKAGSGGLPARHR >Et_4A_032247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26243926:26245540:-1 gene:Et_4A_032247 transcript:Et_4A_032247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPFPTVDKCSSTDRGGDTVVADLDGTLLCGRSSFPYFAHMAFETGGVLRLLLLIALAPLAAGIQVLIFASMAGARVADIEAVARAVLPKFYCADLHPESWRVFSSCGRRCVLTANPRVMVEAFLKEYVGADAVLGTELVTWRGRATGLEGYVVPAAPRLKPVPREELPKPVVFHDGRLVQKPSPALALLTVLWIPIGFVLACLRIAAGALLPMRMVYHAFRALGVRVTIKGTPPPPASRETGQTGVLFICSHRTLLDPIFLSTALGRPITAVTYSVSRLSEILSPIRTVRLTRDRAADAAMIRRLLTEGDLVICPEGTTCREPFLLRFSALFAELTDEIVPVAMENQMSMFHGTTARGWKGLDPFYFFMNPSPGYVVTFLNKLPAELTCSGGKSSHEVANYIQRLIASTLSYECTSFTRKDKYKALAGNDGTVVSKPNIDKNKVMGC >Et_3B_031142.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:1804704:1805048:1 gene:Et_3B_031142 transcript:Et_3B_031142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEKGRAAAGKDDATPPSSSPPAPRRRMKDLTPAEQQAALVFFDAAMDDLEVYMAMTEDDVEEEYRRAGKLHKYDKEMELNKRYARIAKKYPPPHGLVPEMEQYLKLIEDEED >Et_6B_048231.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:14353375:14354087:1 gene:Et_6B_048231 transcript:Et_6B_048231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSMSPKPKLPVVLLLLAAALALASPAAAQQQPQEYCRNSLAGLMDCQGFMHGAAAASPSCCAAYEAAFDADPFCLCYVADGTFARATGTVVDVARALQIPISCGQAAPPVELCNSKPRSLISRVLFCTHVCT >Et_1A_007998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4086009:4087368:1 gene:Et_1A_007998 transcript:Et_1A_007998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVNIANFKPLIMIPVVLLVCAGLLASPAAAGSSGQEVHLVPAVYVFGDSTVDVGNNQYLPGNSALQLPYGIDFPHSRPTGRFSNGYNVADFVAKLLGFKRSPPAYLSLTPRTSRQLMSGFRGANYASGGSGILDTTGNTITLTKQIEYFAATKSKMMATSGGNGSSSIDDLLSKSLFLISDGGNDLFAFLSQNRTSSEVPSFYADLLSNYTRHVHALYSLGARRLGIVDVPPLGCVPAVRVKSPDGAARCVDAANALAAGFNDALRALLANLTAELPGLRYSVGSSYSVVSFFTAHPKAAGIREVASACCGGGRLHAETGCTPNATYCADRNEYLFWDGVHGTQATSRKGAAAIFSAPLQMGFASPINFKQLVSS >Et_3A_024463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2149392:2152775:-1 gene:Et_3A_024463 transcript:Et_3A_024463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASPRTPDTSTDDTDENHRLESGQGALAAASDSSDRSKDKPGDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIFISSSVDQTHSKTGNGALAFDMEYARWLEEHNRQISELRAGVSAHAGDADLRSVVDKIMSHYDEIFKLKGNAAKADVFHVLSGMWKTPAERCFLWLGGFRPSEVLKLLSTQLEPLTEQQLSGIGNLQQSSQQAEDALSQGMEALQQSLAETLAGSLSSSSSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQLQRILTTRQSARAFLVISDYSSRLRALSSLWLARPKE >Et_3A_025637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31472224:31475903:1 gene:Et_3A_025637 transcript:Et_3A_025637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCLYVAAVVAVALTCCSVLGSGERLGARECEELGFTGLALCSDCNALAEFVKDQELVEDCHKCCTEDSDDSISKLTFSGAIIEACMRKLVFYPEVVGFLEEDKDDFPYIEARYSYGSPPKLIMLDDKGEEKETIRQTV >Et_5A_040116.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:11188276:11192841:-1 gene:Et_5A_040116 transcript:Et_5A_040116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNTVVRGSTARPQYQQPRRPAPTSASGTPVNLHSLHQQQQQQASNSAGPQETFWTMCPSCGMRYQYYHSILKKPLRCQNCLKPFIAHDLKEQAVPSGANQRSAGVWKNAGAPQSAPGSQANVTGQRAWNSATPGVHVNAGSHQANVNRKREADGKAGGQNKMKPARATGNPVKASSTAGQKRSRRAVIESSISSDSETTSDDEEEVVEQQRPAANGAGPSEQTRRSSRQKQEVKYNEESDDDDIEADSNKDDDDEDETIVSPPCLKRLRKTGRFHDDHRNETTKLNEETAGHNGPTNGVNGCSNAEDKETGGAPCGEKKFSGTEQLNEETMQAGENSDDEEKAFHSVNNNGLNPNDGDGSVDDKFVFQDPEFFYFDQLRDESEFKPNQIWAVYDDDACMPRFYARITKVKTTPNFMVHYVWLEFDPKNQREAAWHNRGLPVACGRFKHGKSETAKETGMFSRTISFEKSKTRSSYEIYPRKGEVWALFKEWDISWNSDAKNNRNYQYEVVQVLSDCTTSTSIIGMPLVSIKGYVSLFVQSKEAAPYVIPQGETLRFSHCVPHHSMSGTEREGIPEGSLELDPAGLPNKLEESFPSVTPECSSAKSQECDARHAGSFSRKGPQKGSMSAGERQHTTCTNADTAAKTPKEETSKHKTNTAGFTDEDEDNICQTEDIYDEAEFHDFSENRLLQKFSVGQAWALYSDVDKFPNYYALIHKIDLKNGKVQVRWLDACPQGEEEKRLLQEGWAIACGSFEVSNIHEIMTYRTTDAFSHPVEARSIAKKGKYEIFPRLGEIWAIFKNWKTGWSAQDYEKCEYELVEIFGHTDSSTQVKLLRKVDGYNTVFMPYQGEGSVKTIRKDEYPKFSHQIPCFHLTYEKGGKFRGCLELDFLSLPEEFLITKSS >Et_8A_056786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16872332:16875295:1 gene:Et_8A_056786 transcript:Et_8A_056786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIFGFTFCGSDSLSLGSLQPSHKTFQIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGVNACEWDASRNPATLRGSNGAWMPCREGELSPPPAIPCFYLGSQFVDIE >Et_10A_002002.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:1819148:1820065:1 gene:Et_10A_002002 transcript:Et_10A_002002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLNMEEAPPPPQAQSSKHQQMMRTVSISILVMSLPVLYVSFLHVPPSTLFRDTTFWFLMSNSIIIVIAADSGMLFFRPSSSSSVDDVDSENGGLPFVSGGEPMAVVKNVSVADHEVVPVEVVKNQVMVVSPEQEGDATAMVAENDDYSYALILRDDRGELLPKEPESRDIMLVRPCSAPAAGEAMPVVRNMPAGLTASRSLAREERLLRRRHSHWPSPSHSRALVPVQDKSVVVATATKEEKQLRRAATGGRPLPSPEEEESEYSRLSDEELNRRVEEFIAKFNREMRLQLEREQQLALAAA >Et_1A_005890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15142949:15149773:-1 gene:Et_1A_005890 transcript:Et_1A_005890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLASVSLLQLGEASCVRFDFARKAMQYLASQNSEGREGFEQGTKTAMAVLLKHGEIHPEMDEVLTSVAETIKNFAVIYLVDITEVRDFNTMYELSCTIHRRSHILPDLDQRSFQITLSHLHDFLLSGLRVLFQCGILPGSSLGPALLLPEVQ >Et_4B_038294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27929447:27940053:-1 gene:Et_4B_038294 transcript:Et_4B_038294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAALRVPLPRLLVLGPSASILGAAARRRASAPAAALRFLSASSPSSSASSRSVRPLRARRRDRDDDGRAAAAGGEGGGDGGDGGVSVKERIVPVELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILYAMHEMGLSSRRPFRKCARVVGEVLGKFHPHGDSAVYDTLVRMAQDFSMRYPLVQGHGNFGSIDADPPAAMRYTECRLDPLAEAMFLMDLELNTVDFAPNFDNSQKEPSLLPARVPSLLLNGSSGIAVGMATNIPPHNLGELVDALSVIIENPEATLQEILECMPGPDFPTGGIILGNQGILEAYKSGRGRVVVRGKTDIETIDEKSKRTAIIIKEIPYQTNKATLVERIAELVEEKVLEGISDIRDESDRSGMRVVIELKRSADPAIVLNNLYRHTALQSSFSCNMVAILDGQPKLMGLKEILQAFLDFRCSVIERRARFKLSQALERKHIVEGVVIGLDNLDAVIQIIRETSNHAAATEALVKEFNLSEKQAEALLDITLRKLTSLERKKFVDEAKALSEEISKLNELLSSKKLIFQLIQQEAADLKNKFATPRRSLLEDSLSTEVDDIDIIPNEEMLLILSEKGYVKRMNPNTFNLQNRGTIGKSVGKMRMNDSTSDFIVCQAHDHVLYFSDKGIVYSARAYKIPECTRTAAGTPLIQLLSLSEGERITSIIPVSEFGEDQYLVMLTANGYIKKTPLSAFSAIRSPGIISIQLVPGDELRWVRCCGDDDLVALASQKGMVIVNSCDKLRALGRKTRGVVAMKLREGDKMAAMDIIPGTMHKMPERCSNRGDLSPPWLLFIAENGIGKRVSLNAFRQSNFRSVGLKGVKLPPDCRLAAAFVAGFSLSDDGESDEQVVLVSHSGTVNRIKVRDISIKSRQASGVILMRLEHAGKIQSASLISAAAAEQVAED >Et_2B_020238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18238682:18241116:-1 gene:Et_2B_020238 transcript:Et_2B_020238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LFILVSYTNCASVTILGGIQFVEPTLEESWNMEIERHIQPPTYGNLVTILSIDGGGIRGIIPAVVLAFLESELQKLDGEDARLADYFDVMAGTSTGGLVTAMLATPNKKNRPLFAAKDIEAFYINHAPEIFPQQRGAFGRMMRIFRSLSGPRYDGKYLHEVVRKKLGITRLHQTLTNIVIPTFDIKRLQPIIFSSYEVKNNKNSTMDALLSDVCISTSAAPTYLPSHYFKTEDCHGNIKEFHLIDGGVAANNPALVGIGEVTKQIFKENPDFFPIKPMDYGRFLVISLGTGSSKFEANFSAQKAKSWGVLGWLLGNGSTPLVEVFTQASADMVDIHIAAVFKALHSEKNYLRIQDDTLQGTLSSVDVATKENLEKLSDIGKMLLKKPVSQANLETGHMVPACDTTNMTNEEALKRFAKLLSDERRIRKARSPK >Et_3B_029341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24083929:24089964:-1 gene:Et_3B_029341 transcript:Et_3B_029341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALLSHVLHRPPHLALRSGAGARGGPFASCIRPLRRLSCSAAEAATGSNEEAPAPLARKKRVVSGVQPTGMVHLGNYLGAIKNWVSLQDSYETFFFIVDLHAITLPYEAPQLSEATRSTAAIYLACGVDSSKASIFVQSHVRAHVELMWLLSSSTPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGEDQTQHLELTREIAERVNNLYGGRKWKKMGGRGGSLFKVPEALIPPAGARVMSLTDGRSKMSKSAPSDQSRINLLDPKDVIANKIKRCKTDSFPGLEFDNPERPECSNLLSVYQIITGKTKEEVVRECQDMNWGTFKTTITDALIDHLQPIQARYEEIMSEPGYLDNVLLEGAGKASEIADTTLNSVYQAMGELS >Et_3A_027023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29902580:29904268:-1 gene:Et_3A_027023 transcript:Et_3A_027023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQPMRVFGASRRHPSLPSGSFNDDEPVDPPLEGWEVVPEAAPAHNGVLVLNTHCEHPAVARDAAHDNFAVLVHAKAPVAAAGEEAAAARAPLDLVTVLDVSSSMQGSKIALLKQAMGFVVDKLGPGDRLSVVTFSCNARCIIRLTRMSEEGKALAKSAVESLRASGSTNIGDGLRVAAQVLDDRRHRNAVASIILLSDGQDNKTLMSFGNSSSYVDLVPHTLRRGAGNRCPPVHTFGFGTDHDAAAMHAVAEVTGGTFSFVENEAVVQDSFANCIGGLLSTAVQDARVAVECLHPGVRVRAVKSGCYESRVDEDGRAASVDIGELYAEEERRFLMLVDVPVAASDGAQGGDVTRLIKAVDVTGEDAVVQRPVVVADTEPSVEVARERFRVEATEDIAAARILNRRQEASPAAGLAGDARCAALVAELRELSACVANRREYEETGRACLLAGISSHAQQRASTVQLKKAAHAAFPRVGFGGYGAAPGACPPNMPGAPPPVPGAPMADLMATYGASKPAMAPPSFGSTYATPAMRGMVESSRKRREHRQ >Et_5A_042096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6269767:6272461:-1 gene:Et_5A_042096 transcript:Et_5A_042096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKRAQGVVMLLMLTVFNVSGAFVGINIGTDMSKLPSATDIVSILKEKKIQHVRLLDADNQMLTALANTGIEVMIGVPNDQLLRVGQSRSTAADWVNKNVAAYIPATNITYIAVGNEVLTTIPNAALVLIPALQFLQSALLAANLNTQVKISSPHSMDMIVKAFPPSTATFNSTWSSIMFQYLQFLKNTGSSFMLNAQPYYGYVKGQGVFPLEYALFRSLNPNSQIADPNTNLFYANMFDAMIDATYNSIQSMNFTGIPILVTASGWPWFGGPNEKAANVDNALAYNSNLIHHVLNNTGTPSQPNNQSSTYIFELFNEDHRSGPESEKNWGIMFPNGSAVYSISFEDVATTIPESPALRGMFCVANSTAPHSALKHSLDWACGPGSANCSAIQPGQPCYKSDDIVAVASYAFNDYYHRTQSSGGTCNFNGTAMITSTDPSHGSCIFAGSTGSNGSDGGAASGPASPDSFATKFQLCWLTHLLGALLPVLVL >Et_2B_020848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24131099:24135579:-1 gene:Et_2B_020848 transcript:Et_2B_020848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAIADGNNLRAPTTQLNASSVCIGLSTKIRHAGIFMVDLTTSRTRKVCHREGCIYSVVPHLGFLRSRH >Et_1B_011764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25788783:25790694:1 gene:Et_1B_011764 transcript:Et_1B_011764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEGCGAGLITWKGKDVGLQEVCPRVPTHTLEDDELQVLHAADVILRHLCLVIIFSDDGFDFVVELLLNGRVFYQLGDDPLQRSGRRVRSSVEELGAEVEQLIVRERAAVLVIREPDVQQRVHMRVLERGFRRRPVSCTPAAR >Et_9B_065998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19915713:19917703:1 gene:Et_9B_065998 transcript:Et_9B_065998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYASNSFVLVALTLYAVVSVSTSTDLPAQPSHRKMIQDSNLAAHASTVHFPVTAHVPPPGSGSFPASSHGKNDPAQIAPAPAARAPHTLSKSHDVTRSLKWLYMIVLPAVGLLLLTGIACFFLPCRKTAVATIGPWKTGLSGQLQKVFVTGVPKLQRSELERACEDFSNIIASYPHYTVYKGTLSSGVEIAVVSTMITSSKEWSEHSETCFRKKIDSLSRINHKNFINLLGFCEDEEPFTRMMVLEYAPNGTLYENLHAEDFEHIDWRGRMRIIMGLAYCIQHLHELSPPVVHPDLHSSSILLTEDGAAKIVDMSVWREVISEGKQPKNVDSHEQVSAGLAENVYNFGVLLLEIISGKVPCSEHELSLANSALECVNSDGRISSSLLDPRLNAHKDGDLDTICEVIQSCIQSDPRNRPSMREITARLREAIAISPVAATPRISPLWWAELEVISAVEAG >Et_1A_006379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21619502:21619784:1 gene:Et_1A_006379 transcript:Et_1A_006379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYIKHVATSEKERSPGRFDRNNTKYETGPEQLQNNPFDQEFSHGNYRLHQTRRTSEKERSAGRFDRKNTEISETGPEQLQNNPV >Et_5A_042273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8258338:8263445:1 gene:Et_5A_042273 transcript:Et_5A_042273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRGLFLALLAAALVLPFAVAQPWPLCDSRSGNYSSGSTYEDNLFRLIYDLRDNASSSPSLFAAGSVGTGADAVYGVLLCRGDLTSSDCMDCGTFVGNDVQRACNRTRDAALVYNQCYVRVSGADFLASANNSGEVRLISGKSISSGVDVAAYDHAVTALLNATARYAVETSTTRMFATGQLVGLDPKVPNIWSMAQCAADLSPAQCRRCLDGLVAGWFGVFERNGTGARIAGARCNLRSEKGRTQFYTAADEWPGDCPTGAGAFDGKHISAGKLLGIIAPVVFVAAVASITLCTWIVRKKRRYQRAKLPHRTDTTEDIESIKSTLLPLSSLQVATDNFNESNKLGEGGFGAVYKGNLSGLEVAVKRLSKDSNQGLEELRNELVLVAKLHHKNLVRLEEPVDTRHLDWRKRFNIIEGVARGLQYLHEESQKKIVHRDMKASNVLLDADMNPKIGDFGLARLFGEDQTRDVTSRIVGTFGYMSPEYVMRGQYSTKSDVYSFGILVIEIISGRRNNGHYFNEKNEDMISIVSTRKQLYMATYFISDTDGIHVWRHWSDGTIMEIIDDSLGRNYSETEVLKCINIGLLCLQQNPIDRPTMSDIMVMLNGDTTSSLRPAARPTFFLDGSSGYSDNAVSHPPAQG >Et_3A_024926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25409598:25413106:1 gene:Et_3A_024926 transcript:Et_3A_024926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGHGYVDPFLPPQQAAASANVPRQAAASLYVAPQGDYGQPQAQPAPRPPGCPYSSSASAPPASYHSLPPATSAPPVSSPPPASPPPVPLTPPPLPPSPPPPALSPPPPDAPPPSLPPSLPPSPPPVDVSAPPPPLATDQPRVQPRVYPSPPPPSLPSPPPPTVSPPAPAPSLTPSPSPAPAAPAPAPARTAAHSPPPPRIASPPPPRYHVKPHYVMPHSPGKSHNSSHAASNSGKNIEISREAATTIVALAGLAMLSFIGATIWFVKKKRRRIEPPASLPTQQPAPPPPPNYIPSSAGSSLASDGFYLRSPGYPFMRTSTGSHGFPYSPADSGIGYSRMLFTPENLAAITNDFADETLLGEGGFGCVYKGILPDGRPVAVKKLKIGNGQGEREFKAEVDTISRVHHRHLVSLVGYCISEGQRMLVYDFVPNNTLYYHLHVSQEALDWRTRVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAQVSDFGLARLAADSNTHVTTRVMGTFGYLAPEYALSGKLTAKSDVYSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLIRAMEHRDFGDLPDTRMDNKFDENEMFHMIGAAAACIRHSAAMRPRMGQVVRALDSLADSNLNNGLQPGRSEVFLEPQSEEIRLFQLREFGSRDCSDELSQASWRSRRDL >Et_1A_007152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31403450:31406276:1 gene:Et_1A_007152 transcript:Et_1A_007152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPLRLLRPAAAAASSVRGPATSRGGWIVPPAPHPAAPTAAEADLARWLPRRGYSKFASGFTPLQRKPLESILDVERAKGLSPEHLVAAWDDYHLGRGHIGASMKAKLYHLLEQRSDTCRHFVIPLWRGSGYITMFMQVQMPYIIFTGLEDYKARGTQASPYYTITHYTEFAETKDTVLIRGDVVFTSKLTDEEAKVLLETAHSFYLNDMRYRLVERFNKETHEFEFKDVLQALDMPAM >Et_4A_034523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4025531:4029512:1 gene:Et_4A_034523 transcript:Et_4A_034523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGTAGEAVKSPTAAAGLRAGFIVKLNAACLAFFLFAYMALLLHPKYSYLLDRSAASLVRCTFRDAACPSSSSQLSRKLGGVPANKAGVAAAAERVVNAGRAPAMFDDLRGRLRMGLVNIGRDELLPLGVEGDAVRVELDRVSDVFRWSDLFPEWIDEEEDDEGPSCPELPMPDLSPYGDLDVVVAALPCNRTAPDGWNRDVFRLQVHLAAAQVAARKGRLQDGRVRVVLRSDCEPMMDLFPCDDMVGREGHWWMYRVDVQRLEEKLRLPVGSCNLALPLWGSGGIHEVFNVSEVAASSSGRPRREAYATVLHSSDTYLCGAIVLAQSIRRAGSTRDLILLHDHTVSKPALRALTAAGWTPRKIKRIRNPRAARGTYNEYNYSKFRLWQLTEYDRVVFVDADILVLRNLDALFAFPQLAAVGNDGSLFNSGVMVIEPSACTFDALIRNRRAIRSYNGGDQGFLNEVFVWWHRLPRRVNYLKNFWANTTGERALKERLFRADPAEVWSIHYLGMKPWTCYRDYDCNWNVADQRVYASDEAHARWWQVYDQMGELMRGPCRLSERRKVEIAWDRHVAEEIGFADQHWKINITDPRKWD >Et_8A_057381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:24092412:24099219:-1 gene:Et_8A_057381 transcript:Et_8A_057381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKVSVALTLSLVGGLSTSLGALLAILNRAPSNKTLGMLQGFATGLMLSMSFFDLAYDAVNAIGFLKGNLWFFAGAFLFSTIADVFPEPDCSLIDETDKQKTGNNIAGKELMMRHRRRVIFSVVVTAIVAGVSLQNFPVGTAAFLGTTKGVRVGLNLALAIALHYIPEGISVALPAYFATCSKWQAFKLATLSGFAEPLGVIIVAYVFPSNLNPEILEGLLGLVGGVMAFLTLYEMLPLAFEYAGRKDAVKAVFVGMAFMSMSLYFLDISLPKEMSA >Et_8B_060791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7665887:7666290:1 gene:Et_8B_060791 transcript:Et_8B_060791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLPGGGACSTERAGATDDGGGRVADNVGYACSRADCTPLGYGCSCALDARGNASYAFNAYYQAQGQVESACDFQGLAVVVDKDASQGACNFSVQVVGSPASPPLVAAAAEAARAVVLALLLLVLV >Et_2B_021324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28645158:28661641:-1 gene:Et_2B_021324 transcript:Et_2B_021324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDVEMAARHAGGGAYPLRHDGAGVELDDDGKKKRTGTVWTASAHIITAVIGSGVLSLAWSTAQLGWIVGPVTLILFSVITYYTSSLLADCYRTGDQVTGKRNYTYMDAVASYLGGWQVWSCGVFQYVNLVGTAVGYTITASISAAAVHKSNCFHKNGHAADCGVYDTMYMVVFGIVQIFFSQLPNFHDLSWLSVLAAVMSFSYSSIAVGLSLARTISGPRGRTTLTGTEVGVDVDSAQKIWLACQALGNIAFAYSYSMILIEIQDTVKSPPAENKTMKKATLLGVTTTTAFYMLSGLLGYSAFGNAAPGNILTGFGFYEPYWLIDFANVCIVVHLVGAYQVFTQPIFAAVESWAAARWPGAKFLTGEHPVVAGKFSFNAFRLTWRTAFVVVSTVLAILMPFFNDILGFLGAVGFWPLTVYYPVEMYIRQRRIQKYTTRWVALQVLSFLCFLVSLAAAVASIEGVTESLKHYERGEAMDIDTQQPPLGGHGDVDDDGKEKRTGTVWTATAHIITAVIGSGVLSLAWAMAQLGWVAGPLILLLFAAITYYMCSLLADCYRVGDPVTGKRNYTYSEAVEAYLGGSYVWFCCLCQYVNMFGTGIGYSITASVSAAAILKSNCFHWHGHDANCTQNTSAYIIAFGVVQMIFSQLPNFHELWWLSVVAAVMSFSYATIAVGLALVQTISGPTGKTTLTGTVIGVDVDAAQKIWLTFQALGNIAFAYSYTIILIEIQDTLKAPPAENKTMRQATVIGVATTTSFYMLCGCLGYSALGNSAPGNILTGFFESYWLVDFANVCIVLHLVGGFQVFLQPLFAAVEAAVAARYPSTRDNDIGGINVFLGGGVFRLVWRTLFVAVITLAAILMPFFNSILGILGSIAFWPLTVFFPVEMYIRQRQVPRFSTKWAALQSLSFLCFLVTAASCAASVQGVLDSLKTYVPFKTRTVDGLERAGRPLEHDFTNQKN >Et_6A_046382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1467739:1470802:-1 gene:Et_6A_046382 transcript:Et_6A_046382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAEESTCGAFSSALAHPPALLDYAAIHSCLLRGDARLSLPLLALLLALHFRLLAAAAGARFTPAVSRLAARLRLSPSMAAVTLLALGNGAPDAFASAAALAGPGGMPRVGLAAILSAGAFVSAFVVGAVALIAAPFAVPPASFARDVFCYLVAASGLFYVYLSAEIFLWQAVGLVLFYAFFVGLVFYMDLGAEGKAVSAAELEMASGIGRVAMDLPVTVEDHKQQDPTLCAVLSKVTKVWEWPIEFVLKLTIPSTLPSEWSKVYICANICLCPLLLLYSFSSFIPLDTRIVFLLPQTRFPLWSVVLFAGFCLAISHFLFEKEAPQTENIASTLISFIMSVIWISTMAGELLNCLAAIGTIMDFPPAILGMTVLAWGNSVGDLVADVALAKAGQPTIAIAGCFAGPMFNMLVGLGTALVVQTARAYPKAYVLEFHVGIVVAFVFLLLSLMGTLLVVTWARFRVPRFWGYCLIGLYILFTICISWKHRLTKLPE >Et_1A_007341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33577845:33578086:1 gene:Et_1A_007341 transcript:Et_1A_007341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGPRREPDDQRTNKRDRENRERREGSEHKRQRQRRGGRDTSTRLCPFPFLRPSLLTGPSLRRNPPPMR >Et_9A_061889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17572089:17573477:1 gene:Et_9A_061889 transcript:Et_9A_061889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKIEMDKTAIIVTSVVGSLGVLSAILGFSAEGTKLTPYTILVLYDECIYPSNPALALAVCAIVFLLLAQVTVSAVGGCCGCSRSRAIPSETKRIVGVVCAVVSWIAAVVACVLLGVGASWNANVVRVGPAPFCPYLKDGVLAGGGVLTLVATALGITSFLLMRTQQQAAAPASTPNIAMGHRPQFAPAASATKPPTHEQQPQPMGQLPPLYPPKPQVYPAAEASPHHPASQGYGSHAPNQQVYPPPPQQQQQYPPQVVYAQQQQPQPPSYSLQVDMQGPAPPAPPSQQQELGGEEGGDGGQTTGMDLFRAGAKLFMRVAEHTLSSADNNNNNNEAAMSDSTYYDGGQNTSYA >Et_9B_066278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9724675:9727941:1 gene:Et_9B_066278 transcript:Et_9B_066278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTITTMNDLRPECLAMMKEIELSMDLGKFVYITEKLYFNPASVKMLSNLKVLVIAVLLKMIMRRRFSTIQWEALALLLIGISVNQLKSLPEGSRALGLPVAAGAYYLSNQIKDEVPSTKIEMAGAHDNRRLKEPVCVNVTDSAVSEAKQRHGSDERQPLLPMVMIEGVLGVEDRVPRLLHPHLGDLVGDGTASGVSLPEEGLQPHELVPEPLGCRRSPPPAPGPRQWRSPAASPPVAR >Et_1B_014460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9854108:9855005:1 gene:Et_1B_014460 transcript:Et_1B_014460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALWSALVADTTDRDVFLRELDRTIELASAWPSSRVAGWVSGNVRRAEACRDTVFGLLDGVIREHLERLDDGRSGGEAQDLLGVLLKLQKSGDLPLTLDMDVIKAVVFSMDLRPYNTGPRKLATRAGHLRRRHRDNDDDAGVGHGGADQEPDGGAEGDVRPRCGGPSRRTTRNLSELRYLRLVVRETLRLHPKAPLLASPARVAGAVRAASSGTTVLVNACALGRDERCWPCAPEEFRPERFEELKGANFELLPFGAGPRMCPGMAFGLATVEYALASLLFHFV >Et_7B_055929.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7541002:7541424:-1 gene:Et_7B_055929 transcript:Et_7B_055929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSVALLLLLAVATASRLSLAAAAGGRADDSSSSSSSSIPAMVSRELKGLLAGADNIFRSSAGWHTAGAADGSVAESKNMRAVAAARRRSRRSSCVTAAMCRKKRVICGKRCYGASRASVNHIPSRCVVKCKKCVPTC >Et_5A_042902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6591508:6594197:-1 gene:Et_5A_042902 transcript:Et_5A_042902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCAYLACLRGKPCRVTVTWSKVPMGQALTISVDDYSNKCLCKTEVKPWLFSKRKGSKVVEVHGGGNLEITWDLSNAKFGAGPEPVEGFFVALVCDLEAVLVLGDMKNREDRVASPDALHSDAVMIARKEHIYAKKVYSAEARFLDNGQLHHISIECDTAGVRDPSLEIRIGKKKVLVKKLVWKFRGNQTIYVDGLPVEKHTRRLLADGRTDHSLKQRISHLSREQGRATA >Et_9B_065740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:161097:162197:-1 gene:Et_9B_065740 transcript:Et_9B_065740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYTIQISTRLVDQLARDDEKVKRKTRKPKPKKKIIHEEPREDIPTEPKTSDPAPAPAPVWPLQPPPMFLPVTPTPLPPPAAVPEVEAIRSILKESEMVLEKLEKQEAGARQELSKRAKELHDKEFKLPYQSPMPCTQEREGCLECYKSNVKDPLKCAEAVKKFEACALQALKSGSAKADRGFRYRSKAQTRNLF >Et_1B_013350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7583242:7594152:1 gene:Et_1B_013350 transcript:Et_1B_013350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGETLRSAGVVLLVGAMYLALGCLAVASPASVDASSAGDGGLLHIPSNASLAHCPSSCGDVDISYPFGIGPGCFRQGFELHCDHTAQPPKLFLGNSTTQITDLYSNSAIVPLFINITMEPGMNTSKSWEWEAPTKGVTIDDEEYALYAIGCNFDANLFDNVGNHVGSCMSRCYGKKVMPNGGPCNGIGCCFISLTNGMIGFRATFARADGVADQSEPLHPGIMAFMSSDEEYQSNTTDLFSGWTNTSNVYGASLNIAIMDQSSCESAQRNNASYACATDSQCANASYGGYYCYCNTQTLTDNPYLSDGCQDYNPEHKEHCPTSCGSMDIPFPFGLKEGCYANKRFQLNCTADNITVFSSEIAQYRVSGVSVEDGTLTVGSLLNNASSGKEVVLAIDEDGAIDGGLLVENWLDFSMDYDIVIRWAVINSSCLEALQKNSITKYACRSSDSYCLNVTHGKIFMGYRCKCTSGFSGNPYIEDGCKDVDECLLPGKCNGTCQNLPGSYSCTNCLHGKEFDPIKRCVTPTKQRNILLGIAIGNGCGLGSVIIALGIILLTKKYRKDIQKRIRRAYFRKNQGLLLEQLISDENATNKTKIFSLDELEEATNNFDPTRILGRGGHGTVYKGILSDQRVVAIKKSKIVEQIEIDQFINEVVILSQIIHRNVVKLFGCCLESEVPLLVYEFVSNGTLYDLLHLDVSSKCLLTWDDRIRIAVEAAGALAYLHSAAATPIFHRDVKSSNILLDDSFTAKVSDFGASRSLSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLTRKKPIFINDSGAKQNLSHYFVEGIQEGALMEILDTQVVEEADEEDIDDIASLAEVCLKVKGRERPVMKEVDMRLQLLKTKRLRKAQKFPEYSGEIEPLLCPDARSHGQINSVKAAHLTPQGTSGCYSLEQEFSQDDRRDNELDRSNNTGLGHLSRFGVLGSRAAGEGVGGLLHIPSNASLAHCPSSCGDVDISDPFGIGPGCFRQGFELTCDHTAQPPKLFLGNSTTQITDLYPNGATVLMFINITMEPGMNTYNKSWEWEVPAKGIIIDEQNTLYVLGCDVDANLFDNVGNPVGSCISRCPGEKVMPNGGPCNGIGCCFISLTNGMIGFRATFARADGVAAQSEPLHPGIMAFMSGDEEYQSNTTDLFSGWTNTSNVAGAYLDIAIMDQPSCESALRNTASYACATGR >Et_3A_024751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23973834:23977847:1 gene:Et_3A_024751 transcript:Et_3A_024751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVAVANGSGGDTKAAFTAIYNKLKQEMLEDPAFEFTDESLQWIDRMVDYNVLGGKCNRGLSVIDSYKILKGVDVLSKEETFLACTLGWCIEWLQAYFLVLDDIMDNSQTRRGQPCWFRVPQVGLIAVNDGIILRNHISRILQRHFKGKPYYVDLIDLFNEVEFKTASGQLLDLITTHEGEKDLSKYNLTVHRRIVEYKTSYYSFYLPVACALLLSGENLDNFGDVKNILVEMGTYFQVQDDYLDCYGDPEFIGKIGTDIEDYKCSWLVVQALERADESQKSILFENYGKSDPKSVANVKDLYKELNLEAVFHEYERESYNKLIADIEAQPKKEVQTVLKSFLHKIYKRDK >Et_9B_064097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11170916:11172432:-1 gene:Et_9B_064097 transcript:Et_9B_064097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPLHSASSSSSGAAAFYGSMAKKTRCSPLVLALALFLVVFSILYGVDLRELVGRQQAQEASSHLIINNADDKEQQAAAAAEVAPPREEEKKKRKWKGKLAFALNDEDEEEEECDVFSGIWVRDDTGHPLYREEDCPYIPPQLTCQARGRPDTAYQHWRWQPHDCTLPSFDATRVLETLRNKRMLFVGDSLNRGQFTSMVCLLQSAIPNDAASKSYDMSPDQQHTVFTAKAYNATVEFYWAPFLLRSNSDDAVKHRIADRAVARGSIGYHGARWRGADVVVFSTYLWWCTGLRFRVLENGGDPDAADAMEEHAAWVSTEEAYGMMFREMLQWVRDNMDLHATRVFFTSMSPTHGKSQDWGGAPGGNCYNETEMIGDPGYWGSDSRRSVMRVIREILDGDGADVPLTFLNITQLSLYRKDAHTSIYKRQWSPPTPEQLADPKTYADCVHWCLPGLQDTWNELLYTKLFYP >Et_9A_061126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17277055:17279040:1 gene:Et_9A_061126 transcript:Et_9A_061126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLRQMVGAVKDQTSIGLAKVASGGSAASELDVAIVKATLHCESVPADERHVREVLALARRCHGAGACVSALSRRLGRTRSWAVALKALVIVHRLLAAGDAADAEAFERELFHATRRGTRVLNMSDFCDCSRRDAWDFSAFVRTYAAYLDDRLEYRMQAAHGGAGGAALRHDDDDDDAADADANYRAVVLVTRDDTPTGEMTLEQLLTKANQLQHLLGRFIACRPVGAAKANRVVTVSLFPLVKESVQLYCELTEVMGALIERFPETEAAEDCERVHHLFVGLAKDMDDLDAFYAWCKVACVCRQSDVPEVEVVTHKKLELMGEFVRDRRTAAESNPRLPSPEPEPAHDMNATKALPAAPEEPPAAPPQEDDNAGEPAQAEPEPLLIAAEPGNGEADFLNLKADAMSGEEHGQQLALALFDGTAPTAAAFDPSSADWETALVQSGGLNMMVLDGMYCHATVASTQAFSGSASSVAVRPPGAPMLALPAPPGASGTAIGADPFAASSVVPPPTYVQMSDLQTKQQLLTAEQMAWRQYGKNGMQGPRAFAALEQRPHQQLLLPNGGYNNAVYHS >Et_5B_044882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6882275:6882640:-1 gene:Et_5B_044882 transcript:Et_5B_044882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNSLAGDLCPPAQQIQFLLLIYPDGTMCTDAFVERYPGGTGAGRHGMLTLCAHLQCGMYYLWYTILQKFVCTPRR >Et_9B_065509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:620999:623497:1 gene:Et_9B_065509 transcript:Et_9B_065509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDLSRGAAAAISAFEGRGARGGPAAGAAADLRLICPPGHCEPQFMMALSDGVQSVQGILPASLIPLVRDGALCRGTVLRLLEYACKTFQNSRIFMFTQFEVLQTDCSLIEYGEGIELSSTASRPAKRSANNLTYAEYCDESHFACQGIKADPVRKTVAQINTENLVFSEQEFVIRPLQSSQANRLIVKATPTFINKENVCYAACPLVVNGKQCRMEVSSSGDGWSHCHSCNQTFVTCDYRYRILFQLQDSTGTTYAPASQQAGEDIFGRTAKELYLMKCEQQDCAQFDNIVGGVLFRDFLFKLKLKTGAIQDKKFPKCTIVKAEKVNPSTESRRLLREINKLTESSVSTKVRRTPAGCRIGFLIYGISTEEAEVQS >Et_3A_024611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22572435:22574276:-1 gene:Et_3A_024611 transcript:Et_3A_024611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPYCVHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVAIGQVLLSVRCKDSNAVHASEALRRAKFKFPGRQKIIESRKWGFTKFSRADYLRYKSEGRIVPDGVNAKLLGNHGKLNKRAPGNAFLEAAA >Et_2A_016810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28391693:28398508:1 gene:Et_2A_016810 transcript:Et_2A_016810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLATTTAFFLLCLTAQVPGDAAITSGTADGSERWGYVQVRPRTHMFWLWYKSPQRVSSPAKPWPTILWLAGGPGVSGVGSSNFEEIGPLDVNLKPRNTTWLQKADLLFVDAPVGVGFSYVDDPSALAKTDLQVAMDVTELIKALVNELPTLQSSPLHLLSYPLFLHSMSRLDDGALGTAITLGIKVKQQVRAQQYLEAYNTQPIEPSPNNISGLINGALKKKFEIIPMNLTWQSVSLQVDELLMYDVSVTVYNGQLDVICPTIGAESWMKKLKWDGLHDFLSLPRDPLYYFYPYNVPKVFERSFKNLHFYWVLGAGHKVPEDQPCTAVHMIGDI >Et_3B_028702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18181487:18182808:1 gene:Et_3B_028702 transcript:Et_3B_028702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQSTRVGATAPLSEPLLLPAKTVDDDAESDLEAQLPSYLRAGGTSVSRTCLNLTNAVSGIGVLSMPYAVAQGGWLSLALFAVVGAVCYYTGTLIARCMRADPAAIAGYPDIGHRAFGDAGRRAVALFMYVELYLVAVSFLVLEGDNLDKLFPGAGVDLAAGGYRLEGKQLLIAVAAAVVLPTTWLKNLGVLAYAGFRTRPSSNLLNLGGLPTSLGLYFVCFTGHAIYPTIYSSMKNSRHFSRVLLVSSVLCSVNYGLTAVLGYMIYGDDVQSQVTLNLPAGKLYSQVAIVMTLVNPLAKYALLAAPITAAIEERLSIPAGSNGPTRVAISTAVVVSTALVAATVPFFGYLMSFIGSFLSVMATVIFPCLCFLKIYKAEGIRRTEIALIVGIMMLGVFVAVTGTYTSLLQIIGTF >Et_1A_007577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36048727:36055211:1 gene:Et_1A_007577 transcript:Et_1A_007577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRKPNLDEFQQKKNEAMKNRILSGTKEGLIVFVFRSLLDKLHEARANKLKSTLDYPYAKAVSITNGTKNRGRNSKQELRFGKILLTSVTFVALVAPINPTEAVQRNVELWIDGGGSLEGVPGFGGEALPLVALGQQGVGAGVLGTEAHGLREIGGGLVEPAAAVERERDLGALGERVPSLPRLERACELRREGGVEGAQRGEAVALEVEGEGLEDERRGVARIEGDGAVGLGDGRAGELAGGLGRRGARAGQELRALREVPARLGRGGAAGAAEAVLGDPAGEGVERDEHARGEEEEEALDDELRPGAGGAQREGGHGSVSKEKIGTHYKNLQTESLPVLDASAAAARQCASSSRTALYKRLAKGSFATNTSGLAALSHRLTKQLSAANGGGNLVFSPLSIHSGLSLVALGSRGGTLSEILDALGQKTREGLAENVHAMVERAFPEKPQPGGPRVAYASALWHEATRTLKTEYRDAADASCRAAVRAVDFMSKPKEAAKEINSWVATVTNKLVDSIISPDSVDKDTRLVVTNAVYFKGRWETPFHKSNTKEHKFHRVDGSAVDAMFMSSSRKQFIAIHDGFKVLKMPYKTRDDISTMWLRDAAMAAPPSGPMPMPPTQYSMCVFLPDARDGLPSLEDRMASSPGFVRDHVPEGRQFRVPKFRLEFSSSVMQALRNLGVTAVFTRRAELQDLLEDGGGRGEPLFVSDVLHKAVIEVNEEGTEAAACTGYIMAGSCWEPTPRPVPVDFVADHPFAFFVLEEGSGAILFVGHQHQPS >Et_8B_058759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20908743:20909717:1 gene:Et_8B_058759 transcript:Et_8B_058759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQQQQQAPCVPPGFRFHPTEEELVGYYLARKVASQKIDLDIIREVDLYRIEPWDLQGSGSGAGAQEEEPTEYYFFSYKDRKYPSGTRTNRATAAGFWKATGRDKPVLSSSSSSVIGMRKTLVFYRGRAPNGRKTDWIIHEYRLQSNEHAPAQEEGWVVCRAFQKPMPSHHQVHRPYSYCHPTGPGGSSFFYDGLMMNTTATPSLAGAESKLQVHQLIADMMPPLLQSPAAQSFDQAAAAADQSTTVDWNLLSSLLPSSQLNFHHPPASPNSCSKNNTI >Et_7B_055734.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:371714:372238:-1 gene:Et_7B_055734 transcript:Et_7B_055734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHHELQGPRPAQLVVTNKESHTIRSKKRRPVIIYVESPKVVHAHPSEFRSVVQRLTGAAPSSSGGVPAALPQFPFQLYGLANEACRASQFTVSTTAAAAPAHTSYLTGDAGRSLSFLSSDQLISPSCLFDQNMAPAVAAPTSSSFQADYNLLLSCAPFLPPPVGGANRFIDQ >Et_5B_043457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10714946:10718113:1 gene:Et_5B_043457 transcript:Et_5B_043457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IKYAVRWIFEVQKTRYSSIGKGRRCQLGPEAMENNASISFSLEGNISSISNSIVNDSKMSIENGIDTSFINHAAEHWSEMRRQWVRHQAEVPKKAPREPVMSWCTTYDDLLSTSECFPQPIPLSLK >Et_5B_043011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11762695:11763461:1 gene:Et_5B_043011 transcript:Et_5B_043011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGPTANTSRMCGAMCASADRSKDADVLSISARALPLCTVSLASSCSTGSLTRRHMLGRSRRRRKVSMPGTPRTGSSRSPPAGNGSSPRSKSLGTDRYAKKLHALAVRKASAGTPSSSAMSLAQGSNPSATTHVTGGNPPSEI >Et_3A_024631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22787490:22796459:-1 gene:Et_3A_024631 transcript:Et_3A_024631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARPAAASVSGSFALPPDARCSFDQPRRREGLQDDRMVRTLVYGQPPESYPRDAVMAAVEECMRRQADALLHSLDGIGGRLSQLELYCYKLERSIGELRSDVMDYHSEATVNSRCVEKNLRLVQKAVQLLQDRQDLADAPKELAKLQIPHEISAQRSECTASSMHGAQENDHGAQVAKHEVTLFPLHQVNGMQSPAVQVQGSNGYVLQHLVPVSLGTQHDQQQVNQAPVYYMQSQDHARSTESKRVESVVQAAHNDQQQVNQAPVYYMQSQDHAKSAERVESAVQVVQHDQQQLNQAPVYYVQSQDHAKCPETKPVESVVQVVQPLVQNPEARVAVELPQKSSQPTELYPQAQNHRLQMPSQQVDSHTWHSQQSMMQQQQYIIQQVSRQMAQQQSSSPQSQSAPQGTPLYPAYSSQKPANPNTEPASRNVPLQPSYSSPQQKHHEVAHSFYGQANTILLPVADHNIQQQQPQSLQPHSQGPYPPQQSKPNHCSVASYAVQGNGQTYSSYKNTSNCPAAVVAVVPQPPATPVAFHHLGPQVMHNHPFGNMVETASVVGYPRDRVETLPVVSAAQPIDSSVMVDKLNAGSNTRLSKLLLSKLSSVTSIRPWTGPKHCTNAKRAAEIHCTEQPMKFSPLCSCSMGLLNRLPPLNHARSDPCAGCSSTAREPEGVAATAESGAGVCGEPGSASTEAETSSEKKSAAGMSLMGSPAAVISLRKTA >Et_1A_007374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33958766:33960289:-1 gene:Et_1A_007374 transcript:Et_1A_007374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAAIAATAGAVPPPTKATVAVDTTTPPTLTRRHLFLAATAASTLPTAAVSAATPRFADIPGSGGVKALDLREGSGDVPVEGDQVAIHYYGRLAAKQGWRFDSTYDHKDETGDPMPFVFTIGSGKVISGIEAAVKSMRVGGLRRVIIPPSQGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLIFDIELISIRQHS >Et_4A_035803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6307396:6310851:1 gene:Et_4A_035803 transcript:Et_4A_035803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMAAASTLLLLLLLLATATHRTAADSSSSSSSPEAAALLNLSAALTDPSGYLSKHWTLDTPVCSWPRLSCDAADKRVVSLDLSGLNLSGPIPAAALASLPHLQTLNLSNNILNSTFPDALIASLRSLRVLDLYNNNLTGPLPAALPNLTDLVHLHLGGNFFSGAIPRTYGRWSRIRYLALSGNELTGEVPPELGNLTTLRELYLGYFNSFTGGIPPELGRLRALVRLDMANCGVSGEIPPEVANLTSLDTLFLQINALSGRLPTEIGAMGALKSLDLSNNLFVGEVPASFAALKNLTLLNLFRNRLAGEIPEFVGALPSLEVLQLWENNFTGGIPASLGVAATRLKIVDVSTNRLTGVLPTELCAGEQLETFIALGNSLFGGIPDGLAGCASLTRIRLGENYLNGTIPAKLFTLPNLTQIELHDNLLSGELRLDADKVSPSIGELSLYNNRLSGHVPAGIGGLVGLQKLLLAGNRLAGELPPEIGKLQQLSKADVSGNLITGEVPAAISGCKLLTFLDLSGNKLSGRIPPELAELRILNYLNVSHNALEGEIPPAIAGMQSLTAVDFSYNRLSGEVPATGQFAYFNSTSFAGNAGLCGAFLGPCRGGGVAATSSGFGSLSSSSKLLLVLGLLALSIVFAGGAVLKARSMKRSAEARAWRLTAFQRLDFTVDDVLDCLKDENVIGKGGSGIVYKGSAGAAAVAVKRLPAIGRRPGAAHDDHGFSAEIQTLGRIRHRHIVRLLGFAANRETNLLVYEYMPNGSLGEVLHGKKGGHLQWATRYKIAVEAAKGLCYLHHDCSPPILHRDVKSNNILLDADFEAHVADFGLAKFLRGNAGGSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRKPVGEFGDGVDIVQWVRMVTGSSKEGVMKIADPRLSTLPLYELTQVFYVAMLCVAEQSVERPTMREVVQILTDMPGSTSTSMDVPVVTEPKEGDGSPEKAQQDGSQESPPQKDLLSI >Et_9B_065410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4750025:4752402:-1 gene:Et_9B_065410 transcript:Et_9B_065410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLGKISRKSPKSGGGGGSPLAKSPPLRGGNGAEGELNPSPPPPASPAPAGSGAETREDVFLRKLNICCVVFDFAAERGRDSPEVERKRQVLVSLVDCVSAAEEPLTEAMISGCVRMFAINLFRVFPPKVRSVASDEDEPFFDPSWYHLQVVYELLLRFVMSPVVDVKVARKYMDNSFISRLLDLFDSDDPRERECLKTVLHRVYGKFMGNRPFIRKAVSNIFYRFVFETDRHNGIAELLEVFGSVISGFARPLKEEHKLFLWKALIPLHKPKTVGLYLPQLTYCIIQFIEKEPKLTGTVIRGLLKYWPVTNSQKEMMFLGELEEVLELTEMADFQKCAVPLFRRIAHCLNSSHFQVAERALFLWNNEHLFDLISQNRQVILPIIYPALERNARWHWNQSVLNVTMNVRKMFLDMDERLLLSCQSNFQEEEEKRAASEERRRLVWERLEKNAAFRPVTGDISFAVPPTSAPLVAPTMT >Et_7A_051099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14425689:14439717:-1 gene:Et_7A_051099 transcript:Et_7A_051099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQRKLLGSLFSVTNLYLSDFETLAMLIDEPTDHGLPVFHNLKTLSLEECFLDWSDWSEKKTAMAAADAATKRHCAICGSFPDRLSTLPEELRRQILSFLPAQEVVRTTVLSKRWKDLWRSVPGINLDLSDFQREGVAEDENETWARFEDVGTNVLLLHNAPCLDALRLTMRIDGQNGRHRVVDRWVRRALDGNPLVLQVLVLGFQNSVYRYGSLNSLLPSPCRRLKQLELSSVYLDESFAERLHALVPCLEDLILRRCYSWFSAIHSDKLKNLVVDNCESQPADVFVVRAPGLSSLCLDLSLNTYRKGISLDVGNSLISASVAMRYGQTSPRSQAKLIGTLSSVTSLELIHFQPTAMLDEEFDKIQIFNNLRTLSLISCLIRNDEHYVHTFKPLHRFLQKCPNLEKFTSQQFPSVQAIESYELHTLENLRTLFLGRCGLQDDFALLRHCLQRSPNLEKLTLDLCEEGKAEPKNYSPSRNLATFQCPKLKSTEIIYGIGHDDIPELVRVLLDFAISTEACDVRSRSRLPRFVALKNTAMATVAKRACSAGDRLSALPVELRRHILSFLPAQEVVRTTVLSKRWIDLWRAVPGINLDIDDFRREGEETWERFEDFTTNLLMLHKASYLDAFRLHMDSKVQDRQRVVERWIRCGIKGNPLVLSIKVYCLPGLNDMLSIHLGPCRRLKRLDLFGVSLDHSFGEQFNSWFKDLEGLTLVNCRIGFSGIQSDKLKNLAVDFCQSQTLDEFVIRAPLLASLWLDITSQTFGNISRDAGNSLVKASIAVATAKLSPRTEAALLGSLFSVMSLKLYRFQSMTIINDEEFDRVPEFNNLRILSLSRCFNLGERDARMQSFKALGRLLKKSPNLEKLTLKDIDETAMTAAAKRARSAGDRLSALLDELRRHILSFLPAQEVVRTTVLSKMWIDLWRAVPGINLNIDDFRKGEIESGDKIWERFEYFGTNLLVLHNAPCLDVFRLKANFFSSRFGSKCGCMDSSCHQGQPLSLWDPYKLPNLPASPCRRLKRLDLNGLSLDHSFAEQLHSWFPHLEDLILRQCYHWFSFIQSDKLKNLEIEGCECESEGVFVIRAPRLSSLSLDLFGDSYGYGISSDAENSIIKASVDLPGEWSPRSELELLGSLFSVTNLELIGMPFFVRYIYIVSLVHSFLVHAYMFAVLRRLCPIRYSKTDHYLSSVMLDEEFDKLPIFNNLRTLCLGTHINTFYGYGDLEYYKAHGRFLQKSPNLEKLTLQKWPAAPIELPMLENLRSLILHRCNLQDDFKLLRHCLQCSPNLEKLESELCKRSRVNYDAGDRDRLSANNLVGGVSLNEMASLAKATILLWDSKIAQSKIRDDQLKILYAIKFRDDGMYILSMFPLHTVNSCFNVSAEESRTFPEFKNMRTLDLNYCDTSQDYQISQQILRNAPNLENLTLRGGKVFEIQFITLPTLHKFSLKNTSQIISIKPGILYLTFKINSVYYFTSFTPFKNDYTKKQKGMLKWQKTVSHLLLH >Et_3B_029405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24749403:24753030:-1 gene:Et_3B_029405 transcript:Et_3B_029405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHQVSAVVFDVDGTLLDTERATRDILNEFLAAYGKVPDPEKEEKRLGQMYKESTTGIIADYGLPLTVEEYSEAIHPLYLKRWQKAKPLPGVKRLVKHLHKHGVPLALASNSVRRNINHKLEKLEDWGDCFSVVLGGDQVPNGKPAPDIFLEAAKGLGVNPSSCLVIEDSLVGVQGAKASGAKVVAVPSLQSQRQHYSIADTVLYSLLDFYPELWGLPPFEDRMQGALLIEPLFSNAQIGDTILNNLHMVISDDCTYEFIPDQISGIFFGWVKFKVHGFCKVVVATGWDFSQTTVERVMHIDFIDFSGTIKAEPVKLLVIGYIRKLESTDNILEDLSITDEDRSIARNALELPAFSKYKNDLHFA >Et_2A_016822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28663707:28675106:1 gene:Et_2A_016822 transcript:Et_2A_016822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDEPSTPPDKVIIGAVIGVAVTLVVVSLLCCICQRLRLSQPNPAVAAADQPTRASPPAAARPDDDECRERRGSSMAGLPSFTYSQSVKKNLTTVSDEEAATCAVCLGEFRNGETVRLLPVCLHLYHVECIDPWLDAHSSCPICRSGTDPEMEPDRLPPSSGDSSSSGISGSAIAGISIAVGVILLALAFMCSLCQGCRNGDNAAAERATAAAFTARPRAPPTAPAAQYWDNDEEAARRRSSRRSRRGSGTAGLPSFTYNLSVKRNVTTGGSGEDVLRVPRRVPDWGDGAAAAGVLASVPRRLHRPVAGSALDVSYLPLRHRPDHGRQPSTPCFVFPPVLPQPPAPAPWRPPNYPTNNHSKSPGGVIAGVSIAVVVFLFLISCICSLARGQRPNNGNTAARQHAARPSAEPDPWGHDDDDQRPRSAHHDDGRRRRGSRTADLPCFTYSGSVKHNVTGEPAATCSVCLGAFQVGETVRLLPVCLHLYHVECIDPWLDVHSTCPICRSGTDPAMDGSLMPPNTGGIIGGCFGFLAVAIVLALFCRVRRNAAPPAAPPAPGQSNSDEQQVRRASPTAGLPSFTYSQSMKHNVTRGSGDDDEAATCSVCLAAFQAGETVRLLPVCLHLYHVDCIDPWLDAHATCPVCRSGTDPAMDGSLLPPV >Et_8B_060498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18398615:18401049:1 gene:Et_8B_060498 transcript:Et_8B_060498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGKHNEMSLKDKRQLVYEVSRFPQSAIEILQCWTRRELLEIICAELGKERKYTNVPKSKMIAYLLKLVSRKNGQLKDDSANAILSGQSNKDDTQTKGNGEQPQHSVKLVNSDSSTRREARAGISRVCRNVACQATLNAGDAYCKRCSCCICHKYDENKDPSLWLVCTSDVPYSGSSCGISCHLKCALKNKKAGILKNGCTNKLDCSFYCVYCGKVNWLMRSLRKQLAIAREARRVDVLCERLSLSNKMIKGSEHYMELVNIISSAVKTLEKEVGSALDQVSAIMGRGIVNRLSCGADVQKLCSCALGIVDSVVDNTMEFESNTNPKPDGPQPQILFEEITPSSVIIVLKYQDNINKAHIDGCKVWHRSAKVNQYPLEPTCHILRPSIRSCVSGLSPSTEYFFKVLPFGSTQGFVESEAKCATRSLDRGSSQCSTQNSESMCLREDSMPHQQKDLNPPSHQRDIQYDSPKGSTNSSENNLSSERCSKRAKVARLDGASDKDESQLPPTSEVLPFASSNSSPLEAPSKPELLSSTPDSASKNYLEQQYEYCVKVIRWLESEGHMDSDFRVAFLTWFSLKATAQDRRIVSAFVDALISDPASLVDQLTDAFMDVIYAKEKPAQPRRKRAFCKLWH >Et_2A_018339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23855078:23860776:-1 gene:Et_2A_018339 transcript:Et_2A_018339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAAAAASGDAQSSYIVHVAASGHAPRSRSRLRLVTDAYPSFLRDSLPARIRQPEPRRLTRRQATPLASLPSVLAVVPDGERRLQTTRTPEFLGLSWSSGLLPASRGSSRVVIGVIDSGVYPEGRASFAAMSPPAPAAGLPFRGRCVSTPTFNASRYCNNKLVGAKFFYKGYEAQIRRSLDDAEKSLLDPTGHGTHTASTAAGSPVEGGFLLRLRPRHGRGRRPPRAHSRLQSVLGTVSDILAAFDEAIADGVHRAKGRFTATPCPRPVSNGIVVSAAAGNSGPGESTAMNIAPWFVTVGASTIDRRFVVDVVLGNGTTLTGTSLYAGEPLGDSLLPLLYQPEAARRAGADGAILANAREDGTQSIPGAHIIPATTISFADHKKLLVPYAIADPAPTARIVFRGTVVSQHPSSPRVASFSSRGPNIQAPEILKPDVIAPGVSILAAWTGDKSPTDMEEDTRKPKFNILDGTSMACPHVSGVAAMLRQAQPRWSPAAIKSAMMTTAIDAGAIREATTGGLSTPLAHGAGLVNPNRALDPDLVYDATSNDYVAFLCSLGYTAKQLALFTSEAVNCTQLLGTPRFTQANYPALSVVFTHAKDKVTQRRRVTTVGKKVTPTYTATVFSPPGVRVTVNPPRLHFRRGAGAKGYEVTFEPLEGEQVTDKYTFGSLVWSDDDGHRVTSPIAVAWPKRAAAI >Et_6B_049720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9448278:9449095:1 gene:Et_6B_049720 transcript:Et_6B_049720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIWPLPDELQFQYGGPDWLLWLLEQSTEIQRELILLMFWRIWYDRNQQGHEFVRPSIEGSRRFLDAYHISVSSVHDPAPAHDPTGKQLKLDRKSRLNTVFEIKIGLLIAYLVMLVPSDYVLSGEDISLPLYRINMMPIVTLF >Et_5B_044534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3213816:3215310:1 gene:Et_5B_044534 transcript:Et_5B_044534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSDGARIKQSNQRPWTAPCSDDAQLVDDRRRRTSPVSRSIELAAPILWKLASMATTKKMARLAVLIVLLASLGPVACQGASICFNGWLKVLNPATCPRGSRNNIFTRQRRPAPSGPGLSYGYYNNRGSFCPRAEGIVRNAVKTAVDQNPGIGAGLIRLFFHDCFVRGCDGSVLLTTTASGNTDTEREGPPNKNSLRGFEVIDTAKAAIEAACPGVVSCADIVAFAARDASAILSNGNVRIRMPAGRYDCRESFANETDQLPGPFSNLTQLQDTFSAKGLTSDEMVTLSGAHTIGRARCLFFKSRFADMDPALAAKLTAQCNGNDDTNVDQDDVTPNLLDSQYYRNVINKKVLFNSDAVLNSTETIAQVTQNANGRGVWERKFEKAMENMGKIGIKARTDSGAEIRKVCWKVNSA >Et_7B_054240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17993051:17998499:-1 gene:Et_7B_054240 transcript:Et_7B_054240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDGGGGDSDWPESPSQAVLFADEIAAVRAVLGASLPEARVIAALSRCGGNTERAINALLDDSAPADNDSPKKGRGSVAVLKAVKAEREVAGLAPAPIPVKVKAEVPDEPEGSQESVGSSAKDLKVKSKRVDSYPKLPALPQHPVKEEKRCAGVAPAKGAAVAGGGVSLVPRPKKRPLEDEADSMDLTATHPMPYLNPRPIRALPPPVVADVEMRDKRPPRAVAPAPVRDLRMVVAPPEAEFGDFPVERDWFLVAKSYVTGLSTTRGRRMMDAGEIVHFAFPSYGRSYGGLKVSAKKAAALAEIVRFSTKRAGEIGKLSPNWANVLVPLVNTSKVKIQGKIVFPTMELNLMQEVLLYVSFYIHSSVFTEGEVSSWNTSGPVTVDFSANPLHQLFNLLKLKPSNKDDFSLDELSTRKLKRILREAEPPSTLVSILKPYQKQALFWMSKLEKGKDANEATKTIDPCWSAYNIIDKRAPTLYVNLFTGQASTQFPTVTETARGGILADAMGLGKTVMTIALILSNPRGEHSNYIERDARGQRDRATRAKTSRSSVRGGTLIVCPMTLLGQWKDELEAHSTQGALSVFVHYGGDKTDNLMLMAQHDVVLTTYGVLSASYKTESNSIFHRLDWYRIVLDEAHTIKSPRTKVAQAAFGLTSQCRWCLTGTPLQNDLEDLYSLLCFLQVEPWCNAIWWQKLIQKPYEIGDDRGLKLVRAILRPLMLRRTKETKDKFGNPILVLPPAHVEVVECEQSEDERDFYEALFRRSKVQFDKFVAQGSVLNNYANILELLLRLRQCCNHPFLVISRADTQKYADIDKLAQRFLEGVRSDSHAYVEEVVEEIRQGATTECPICLESASDDPVITPCAHRMCRECLISSWKTPAGGPCPLCRSHISSSDLIILPTQCRFRVDPQNNWKDSCKVSKLIMILENLQKKREKSIVFSQFTSFFDLLEIPFSHKGIKFLRFDGKLTQKHRENVLKEFSESQDKLVLLMSLKAGGVGLNLTAASNVFLMDTVEERMLQVQFRKQRMISGALTDEEVRGARIEHLKMLFT >Et_1A_006959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29505102:29508824:1 gene:Et_1A_006959 transcript:Et_1A_006959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVEIAMEWLQEPLSWVLLGSLAFVLLQLRRRGKAPLPPGPKPLPIVGNMNMMDQLTHRGLAALAERYGGLLHLRLGRLHAFAVSTPEYAREVLQAQDGAFSNRPATIAIAYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRRRAETWLAVRDESAALVRAVAEGGAGGEKAVNLGEQIFTLTKNVIFRAAFGTRDGEGQDEFIAILQEFSKLFGAFNIGDFIPWLGWVDPQGINRRLRAARDALDRFIDKIIDEHMRRGKNPDDADADMAPKPAAAAAGGDAEADDLQKTLRLTRDNIKAIIMDVMFGGTETVASAIEWAMAEMMHSPDDLRRLQQELADVVGFDRNVNESDLDKLPFLKCVVKETLRLHPPIPLLLHETAEDCVVGGYSVPKGSRVMINVWAIGRDRASWKEPDAFRPARFAPDGEAAGLDFKGGCFEFLPFGSGRRSCPGTALGLYALELAVAQLAHGFNWALPDGMKPSELDMSDIFGLTAPRATRLYAVPTPRLNCPLY >Et_3B_027874.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24371386:24371802:-1 gene:Et_3B_027874 transcript:Et_3B_027874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSFAPSEPLAVSRCTPAFGLGRGFEGSSSLFLPGAHQSSSAAGSDIDEEEWQEADVLWPDTADDARRCGGGSVWPFRGGFGRSGRHVKPAAPGASSSPIDIPANVAARRRDGPSSFVAMDRRVVLVVWIDAAGTEP >Et_5A_042964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:788775:792071:-1 gene:Et_5A_042964 transcript:Et_5A_042964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSALNGAGRQDTLAERVHRYRGVLLVVLAPLALVSLVLLAMPRAPTAGAGARKVGPLGGAAGDKKYAVIFDAGSSGSRVHVFCFDGNLDLVHIGSEIELFVQKKPGLSAYANDPQEAAKSLVSLIDEAKRVVPAELRGQTPVKVGVGGTTPVATAGLRNLGTEKSEQILQAVKDLLREKTSFKTQPDWTTVLDGSQEGAYEWVTINYLLGKLGKTYEDTVGVVDLGGGSVQMAYAIAEKDAEKAPKPSEGEDSYVKKLYLKGTMYYLYVHSYLHYGLLAARAEVLKAGNANGYSNCMLEGFQGQYKYGDDTFEASAAPSGASYSKCKDDAAKALKVDEACTHMKCSFGGIWNGGGGAGQKNLFVASFFFDRAAEAGFVNPKAAVAKVKPSDFEEAAQRACKLSVKDAEAAYPGVQKDNIPYICLDLVYQYTLLVVGFGVDPNHEMTLVKKVLYSDAYVEAAWPLGSAIEAVSSS >Et_6B_048324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12496393:12497201:1 gene:Et_6B_048324 transcript:Et_6B_048324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEECARTHRDPRPAQRDHTHVSWPSLPTSSAWTRSMPRAMPSSSTSRSSTSLSPASSGTSLSLSSSLAACPSPALTSCRRCRTSPTTATGGCCTTPSAAAKRMRSSSTPSMPSSPRLPRLSANRSPATHQCIQYWPAHTDQQQQQHRRLRQACGMLGVAGPAASKVSHICLLRLRWCVAIGADAGARAWAGAQRTALPVGGAKPQRRGRGERQLLRRREQEGSFPVPSRGVRRPDQRGWLPGALMGAAD >Et_1A_009570.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:8316148:8317863:1 gene:Et_1A_009570 transcript:Et_1A_009570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGQIHRNVLALASSRSCFVLGDNLPFRMLSLPRAARFHQTAWCSSQIVEDRGGPLTLASLEVQSKVEYGNKEKMARTGGPRSSSRMSSVNLKPKVSSLNVRPTKSALPRSPGIKKTLKVDEALFSATSFEELGLPPLLVDRLNKEGLTAPTEVQSAAIPIIAQKHDVVIQSYTGSGKTLAYLLPILSEIGPLKQAMEQGNSEKRSGVEAVIVAPSRELGMQIVREVEKILGPNDKRLVQQLVGGANRSRQEEALKKNKPIIVVGTPGRISEISAAGKLHTHSCRFLVLDEVDQLLSFNYREDMHRILEHVGRKSGGSSRDILGPLARRSERQTILVSATIPFSVIRAARSWGHDPVLVRAKSVVPLDSVTVPRPVLSQNDANSSSPSNPVNQAAVGSLPPSLEHYYCTSKAQHKVDTLRRCIHALEAQTVIAFMNYSKPLKDVVFKLEARGIKATELHGDIGKLARSTVLKKFKDGEFRVLVTNELAARGLDVPECDLVVNLDLPTDSTHYAHRAGRTGRLGRKGIVVTICEETEGFVVRKMRKQLAVPIKPCEFTEGELVVHKEEDVE >Et_4A_034378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32086190:32087655:-1 gene:Et_4A_034378 transcript:Et_4A_034378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAVLLLPRHLVSLRLHSGLAPNRRTPVLHSLAPLGRRGARLWAVGDRPGAGLADQTTVYNGVYGPWTVEDSDVREVLLYRSGLVTAAASFVAAASAAFLLEGNAAGDAIRQGIDLFYAAGAAGLGLSLVLIHIYVTPIKRFLQALWAVGVLGSVGTYLAAAQPLDESLTRYVLEHPAALWFVGPTFAALTGLVFKEGLCYGKLEAGILTFVIPGLLLGHLDDIGDKSVFMFNALPEEEKNALIQKLEKQAEQKFE >Et_1A_008117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4523068:4527083:1 gene:Et_1A_008117 transcript:Et_1A_008117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLPTDDILQASLADSFLADLDDLSDNEDYSEVECAEAANMEVDDNSSIPEVKSLSCDVLDSVSELHKTQRYNDIMQKVEDALERGISDSSQGSIPEDTEYQLIADCNTLSVDIDNEITIIHNFIRDKYKQKHPVLDSRVHHPIDYARVVQKIGNEMDLTLIDFKGILPSADIMWITMAESTTSGEPLSEENLAKTIAACDRALNLDATKRKILGFLERRMIHIAPNLAAIVGSAVASKLLGTAGGLEALAKMPACNVLLLGAKKKNLSGFSTATAQFRVGFLQQTEAFQSTPPSLRPQASRLIAAKSTLAARIDSIRGDPTGKAGQNLLKEIGKKIERWQQLPPARLPKPLPVPDSAPKKKRGGRRLRKMKERYAQTNMMKLVNRMQFGVPEESSLGDGLGKGCGLLGHAGSGRLRVSTVESKPISKISKRLKEKNCGSGRSIAGLTSSLAFTPVQGMELSSPFTNGNILGSGTESTYFSDTGVFSKISKI >Et_4B_039703.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27129525:27131087:-1 gene:Et_4B_039703 transcript:Et_4B_039703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGWNSKHNPIGPSDPQVRNQPKVVCSGRVVLKFLTLFRSSPPPIEVHRLIMDPDASAAGEPIPPPAHRVKRARSLRDPPHPDWVMLDCFPRHARGAGVRAHLNRRLHRRRLQRGDAPRDLPHPLRLVPQTDQEKRTEIATVHTTRATAFVAGQVPALVLHRDAILLRFRFPTRHGSNELFVYRAAGPAASLTRLGACGHGFANYGDENDTGILCRDGDGEFAVAHVSVAPTTEADAVLFPPGADCPTVTAELCCMRSSSHEAGAWRTTRLPVRHGEGEDLRWWETDAVVPFGDRICWVDYLRGILICDVFSQDPELHYVPLPVNPYDGRWHPDYPEFGRTRGNLSLYRTVCVAGNGDGAIKFVDAAHGDLWFYGVDAHHHSASPPSSLITSWTLSSDLRTWTVDGAIEVEKFFDLTTRLSLGCIRPELPVVDVNNTKTIYFVVKERVCVGAATFVVAADMLSRTLHTPVAYLLRSTMLSCGGGDSYTTSCNLEYNEPFLPCQFSKYLGQDVPAPDTR >Et_3B_028129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10588497:10594145:-1 gene:Et_3B_028129 transcript:Et_3B_028129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NLTQSNSWHTSLLKITPHRQFSTSWLVPSNLLQRPIRAGHHAELLNPGAAAVDDPAVEPDLPLRAREHLDVAHLDHGLGELALPLLLAPDEGVDGGLERGVGEQRLVRGEEAQVVGEAHVVEVVELRGRHEVHGRRHVGREELAAVGRADGPEQGRVGGADGGVHVAGVAELVEPVGEGGAVGAADGVGPGENHHVLGGEALGREVADELRRVGRRLGEVAERVGVLGHAAVAPPRGHLVRLLPVSATASRAAKARMSAQETVRGQALSTAFLAASITSNPRRLGLFGIESFSAVLFAVESISTDPSQPCARDVNLNITRGTNLDKAIVEVQPDEAGGDAAVVLEGGSHGLLHQGLNRRAALVVEPDLEAGSDGGDGKKSKDEEEALHGRMEHLKMPASLSFTCPSITTSSKSRAILGPGTRHDHVPTCRGAVLGPHLALVEASPAAASAADPGSTISITVDDDEEDGALTMLQLSRTSPCAPVLTSMFPILIMAATNFSFQSVSLAAKAATLGASVALRTSWSSEKWRPRSSGSSLYSAGVLTSISVVTGVNWLVLAGHSASSSAWYAGLMVPLRSRCRFRNRKLSQWDRPIVWDPDSTTISSAVSVLFLNPSTSSAAVLFGPGRNASASALVDTLPSRRPEGTW >Et_2A_017378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33731669:33736229:-1 gene:Et_2A_017378 transcript:Et_2A_017378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRESGTKVHQANIQAAKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILREIDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAQAFIDKNYHPTVICRAYTKALDDAIAVLDKIAMPVDVNDRAAMLGLVKSSIGTKFTGQFGDFIADLAIDATTTAGVDLGQGLREVDIKKYIKVEKVPGGQIEDSKVLKGVMFNKDVVAPGKMRRKIVNPRIILLDCPVEYKKGENQTNAELMKEEDWQVLLEMEEEYIKGLCAQILKFKPDLVITEKGFSDLAMHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTGAGLFEVKKIGDEFFAFIVDCKDPKACTVLLRGASKDVLNEVERNLQDAMSVSRNILKNPKLLPGGGATELTVSATLKQKSSSVEGVEKWPYEAAALAFEAIPRTLAQNCGLNVIRTMTQLQGKHANGENAWVGLDGRSGDVVDMKERKIWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASAPKQPQIETEGDADNEQMIPE >Et_3A_024875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2531448:2533598:-1 gene:Et_3A_024875 transcript:Et_3A_024875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAATRLAASPAPRLLLLLLLASASLAIGAAMAGHVLGGARENPAAANSAEADGLARFAVDEHNKKQNALLEFVRVVEAKEQVVAGTLHHLTLEAIEAGTKKLYEAKVWVKPWLDYKELQDFQHKGEATTFTNSDLGAKKGGHEPGWRDVPVQDPVVKDAADHAVKSIQGRSNSLFPYELLEIIRAKAEVVEDFAKFDILMKLKRGSKEEKVKAEVHKNLEGAFVLNQHQVEHDESSSQ >Et_7A_051923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2832222:2834751:-1 gene:Et_7A_051923 transcript:Et_7A_051923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRPCPSGLVVGASRLSIGSSSRVRINPQLGSRHSISPLKMSAGRTTASPLRHRHTIRAHNGDIDDLSAFPVPDGDRPMTDEEFNRFRKALKKHCELDKDMPFREDILKIDEYWKEMTSWNTSIFHMRATGLSLHLCMVVKHGVELASRIMESAAIRLDRHDEISFHTTKQTITVYVFIFVKLAEDTYHKKFNVESVFSLLGAFGGLAAISHILLEDAMASVNYADDNSSKYSLVHEIENSRREYEQKMRNLEHNFRAVSNSTKAYELLRPTMEEAWILAMFFILKMVARRETVLGHVRGARPRRAVASDDQEPGTTEADSDST >Et_1A_009085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28742551:28743879:-1 gene:Et_1A_009085 transcript:Et_1A_009085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRREAKEEGSSSSWSEADDKEQLSPVAVMDFPCFDDGECSPSLDESLLSRLQTGRKTHQIRRFGSLDELAPVDLEARLDASSDPDDVPAQQLRCHANETTSPSPSCSHRVTDVHHEPDENELLMLIVDTVSAGMDVVSERLLLDFFVEMRVERRSQDAGLPAPPRKAERWGDGEILAAARGWLSDGAGTIRWGLTDVLRGGETVVAEMERGRRWMQVAEEEREVGAMVARMLSDQLVDEVVRDLSV >Et_5A_042920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6916854:6920925:-1 gene:Et_5A_042920 transcript:Et_5A_042920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPAAAAAADHESFAATMPADLLAAADCGGVHGHSLFFDALVQLIPPRFYLQTDDEDRPWYQGLSKAAKAAMKAQSRANLKAARRARLDPSAPPSSTLDLLKKSVADQAAEDEEEEDEKKSEGESEKSGDEASSNDEEDDEIEEDEEGEDEEGMQVVPSAAVSEDRSVTYEELRERLHRRIAELRGNRCTRPEFLNKPKKEKGKKPKGKNAKNGKDEGKKRKRADGAEDDGKGGKKSKEAEEKPDIMYANVFVDPKDARRRKKRRIKNKKKELEQAKRMQEAKKDPEKANKIAWDTARRRAAGEKVHDDPKLIKESLKKDQKRQQKHAAQWKDRQKTVDKQRQEKQKTRTENIRERAHQKKMRKIEKREKKLMRPGFEGRKDGYAKAYIREYKIEEIMEPGIKRTLFGGHESA >Et_3A_024363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2065476:2067854:1 gene:Et_3A_024363 transcript:Et_3A_024363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEEALHDE >Et_7B_053503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3140924:3141385:-1 gene:Et_7B_053503 transcript:Et_7B_053503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGHTLADYNIHLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGL >Et_8B_060023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5163952:5166385:1 gene:Et_8B_060023 transcript:Et_8B_060023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPNHLSPLSHSISPSISKAQAHSDLTSTAFIRLHPRRHHLISSRSSWSQPAQQAVKRRSMASQRWKSLLCCVGGSGALAGDDGPQPRRRSRRDRERLLPSSTASRVSLSSISSSGTLTPEDLSVTLSGSNLHAFTYAELRAVTAGFSRANYLGCGGFGPVYKGRVEAGLRPGLDAQAVAVKYLDLDCGTQGHREWLAEVFFLGQLRHDNLVKLVGYCYEDEHRMLVYEFMSNQSLEKHLFKSLDGPMPWMRRMKIAVGAAKGLAFLHDADTPVIYRDFKASNILLDSDFNTKLSDFGLAKDGPQGDATHVTTRVMGTNGYAAPEYITTGHLTAKSDVYSFGVVLLELLSGRRSIDLSRRQREQSLVDWGRPYLKKSDKLYKVMDLAMEGQYSTQGAEQAAMVAYKCLSQNPKSRPNMREVVQALEPVLDMHDYLEVGPFVFTLIVEDNNENNGSKGKMMDGEKVDVRIETTVKEKHQTHQDRHRRKYPNSAIHADMALHRDDGFGTHTNALRRHRRTGSYLKERGA >Et_4A_034677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5374687:5376554:1 gene:Et_4A_034677 transcript:Et_4A_034677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQENSEAFVRHHSEQLACGSMRGADNGRSSFLPEKCQIAVCQRDEKVGPFVEEFVHGVHLSNAAAHPGVVESGASQAPMIDHNKRPSVDMLDIVECKRLKQEDQVIAKKDNYTPDANEHIHEYPTYMTCERSFDSPTYESEESEDEGVDSPVHFPRAHTYIEDDIWHASFHQSLERPPRKLVPIGPNYQAELPECRPFGSESSSIVDKFAVSASCHISDGRTEEDESEKWIRNCVVPMPGVDALSSTLKPVHKKAGCDCADEDSIDCVRKHVREAREKLKVSIGADAFRELGFCNMGEEVAARWTEEEEHLFQEVVLSNPASMRRNFWDELPLAFPSKSSKELVSYYFNVFMLRKRAEQNRFDPTNIDSDDDEWQVAGDGDFAIAERADEYLPTESLTDQDDGACNQVPPEGNFYEDSDEEDELDAIDDQHDGVQGGGMLSEGLPTMSFVDHNQQAFKLDADAQDDSCTSFEAHQVGPENRTPTDIAEDHHYRNDGFCGVADHGFFSDHCDSKVWEIGFTSGWEKDDFLSTNNVIEEVFGKGSCENESDAARGQDII >Et_5B_045289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16784201:16786638:-1 gene:Et_5B_045289 transcript:Et_5B_045289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATTTSPASSNNGTQRRRRVLMFPLPFQGHLNPMMQLAGALHARGGLDVTVFHAAFNAPDPARRPAAGYRFVSVGEGMPADDLCPSGSDGDFMGALLRINERLAGPFRDLLRRELAGDAAEEGGEPPAACLVVDSNLRGMQLVAQELGVPTLVLRTGGAACLVAYMAFPALCDKGLLPPTQDQSQWDMALNELPPLRLRDLVLSTTSSHANICKCLGCLVECSRCSSGIIVNTFLDLEEPELEKITNGLGVPIYTIGPLHKISSIADSSLIVQDQACLEWLDKQEAESVLYVSFGSLASMEEKELLEIAWGLANSQIPFLWVIRHNLVQSSQQVSLPDGFEEATHGRGIIVSWAPQQKVLGHRAVGGFWTHNGWNSTLESICEGVPMICRPQFADQMINMRYVQEVWKVGFELQGELERQMIKRAVQRLLSEEEGREMRERAMNLKKKVVKCMEEGGSSKAAIDLLHKKIICVELDHFWVEASLLPLGFSCRVGLSGQHYGLPAVRSRSQRWVPSEQHYGLSGQHYGLHVVRSRRVLFFPLPISPASFTYGPQEEVLAHPAVGGF >Et_4B_036321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17542357:17543144:1 gene:Et_4B_036321 transcript:Et_4B_036321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVRQQEPSRICSWSALVPAQPSRGFSSGCTLCSLRKLFFTMVIIAKQWLPSNQTTYSFVRRPRISPGEVKKGVYYALLDDEYRLRVWTLAESCGKMEWDLNHDSVRGLFLPSLNCFQHVSGPWILNDITCRKGKDGNDEALVEQKSEWYSDDENILRHQDSEEDSQDEYLEIMAFHPYKEIVFLHRSMSRGIADHLNSSKLEDLGNLSPHNTNIDTGTSFPYTPCRLGVP >Et_6A_046995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23910127:23911287:1 gene:Et_6A_046995 transcript:Et_6A_046995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTGNGKLVTSTVGKSTNILWHDCPIGQHERQKLLNQKGCVVWITGLSGSGKSTLACALSRELHSRGQLTYVLDGDNLRHGLNRDLSFKAEDRAENIRRVGEVAKLFADAGLICIACLISPFRSDRNACRSLLPNSTFIEVFLNIPLEVCEARDPKGLYKLARAGKIRGFTGIDDPYEPPSDCEIVIQCKAGNCPSPKSMADQVISYLEANGFLRE >Et_1A_008854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16088589:16091955:-1 gene:Et_1A_008854 transcript:Et_1A_008854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHQRSRSASASSSATRSDIADLDFAAAVLDCPFGSVDALGPVELRETAYEIFFMSCRSSGGAGAGGRGGVVEGEVSSPVAGAGPRGGSGGSVIMGSRVKKALGLRPRRLSSGTQPMMGRTLSQTSGPASPGRARRPMTSAEIMRQQARVTDQSDARLRRTLMRAVVGQVGRRAETIILPLELLRQLKPAEFADAEEYHQWQFRQIKLLEAGLILHPSLPLDRLHSAVLRFREVMRATEIRAIDTGKNSDAMRNLTNAVHALAWRPSSSGGAADACHWADGYPLNALLYVSLLQTVFDLRESTVVLDEVDELLELIKKTWPTLGVNRVVHNVCFAWTLFQQYVVTGQIEPDLAAAALAVLVDVAADAKQLAGSRDPVYARVLVSALGAMQGWSEKRLLDYHGCHEKGFSGNATEGMEVLLSLALAPGKIVAGWEGAAVEGNFAGDRVDCYIRCSMKSAFTNILENGMDDVDSVISDRDHDPGVMLMQLARDTEQLAIFERRNFSPVLRRWHPAPVAVAAVTLHGCFGAVLRQYLAKVNILTEELVRVLHAASRLEKALAQMTAEDAADCDDGRAKARGGRHGALRGGGRRDGPAQDVDGRQAHAWQGLHPQS >Et_6A_046596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1828736:1837518:1 gene:Et_6A_046596 transcript:Et_6A_046596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVITGSPLIKGRDPAGMITCMRSLFGTLSNEASTSLEAVDGPQADPKWATHGALIRRQFSPQCLEVLHGLLKLDPSERLSAADALEMDCRIWHPCRTTRFQFPNEGPVVPGIANWDIRDFLIVCDIGSRSFGSVVKGIHRLTGVCVALKSFHDTSVSRFWHEAIIAFQCLGSSGIVQFFGVAHDRNRNTLYMVMELGGTSLEDAIRTCHAHGKAPMEYDVCVIMKSLLLGLKHMHEKGIIHREIKPFNILINFDSDCVVGKIRDFGLATYYDEAITTWCGIPHGTYGYMTPEVYELKSSCTFESDMWSLGAMMYDFITGCPLISGRDSTGTITLMRSLFGSPGKGAVWRQLHMMN >Et_7A_052175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5306391:5311615:1 gene:Et_7A_052175 transcript:Et_7A_052175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVVSGPDYDDEYDDFDDYDEDYDEYDETGYGNTQHPAKEVKEPLKNSSNTVPVHWTCSMCTFSNHESMMYCEMCGVFRESFVKSAKDGSIKDTVSEVSNELRTSATSKNGSAKTSVKTLAQDFDGCSERKRAGTSRDKADSTQLASSGSTSVTAKKKTPVLSEEVPVERTNFQLKADESSGASSTSMNDDVNQKLSSDISQLCLEKNNVNVTKPCSPEEYKPEAWMLADQEPEMLSQLNLAIVGHVDSGKSTLSGRLLHLLGKISRKDMHKNEKESKEKGKGSFAFAWAMDESTEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDASTGSFEAGMDGEGGRSVGQTKEHAQLIRSFGVEQLLVAVNKMDAVGYSKERFEFIKLQLGNFLRSCNFKDSAITWIPLSAVENQNLIKPPSDARLTSWYQGLCLLDAVDSLKLPSRDVSKPLILPICDVIKSQSTGQLAAFGKLETGAIRNGSKVLVLPCGQEATVKSIERDSNPCIIARAGDNVAVNLQGIDGSQLIPGGVLCHPGFPVAVANQMELKILVLDITIPILVGSQVEFHIHHVKEPARIAKIVTLLDKTGKPTKSAPRFLKSKQNAVVQVALDGEVCVQEFSKSRALGRAYLRSSGRTIAVGVVSRIIGQDQN >Et_2A_018812.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8004346:8005191:1 gene:Et_2A_018812 transcript:Et_2A_018812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGFLAPSAAVLVLLLLCAPPPCHGAWSHNITGVLAAYPEFSAFSAALSATGVAAEVDNRTTITVLAVDDAAMAAAQAQGLQPEDLKRAVSLHVLLDYFDDAKLGALQGGFAQSTSLFQASGKALGSAGIVNITTANHKGGRVRVAFAAEGGAPAPAVFVYEKTVHASPYDIAVLKVSALISSSPAPAPASAPATPGPAAPATAPATAAPAPVVAPATAPIPRRRPRDTEPEIRSPAPSPDEEDTTPADQQNNGARGTASWTLGAAVAAAVPAMIVLLL >Et_3B_029816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28147989:28154939:-1 gene:Et_3B_029816 transcript:Et_3B_029816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKTRVLCEACGADVGLWQAAFTSECDHKFHLRCVSGHAACPECKVPWRDTPGVAPAPAPASPFSFASAPALFTQQSTGTAEVSGFPSSPAGQTSLSGSSPPTTSSSSLFGSSNSSLFDSSSPSLFGSSSPSLFSSAAQTTSSSFSFPVPSSTPSFDSSPFGTSSLFGSSGRTIPSTTPSFGTSLFGPTAAQSPSCSVCHSTMGRGQATVTSECNHTFHLRCFSGSVCPVCSVRWRDEVTVTRSSPFSFTSSPPQPSLFQRPPPIGSYIPPDRSHSPPRPSLFHQPPSFTFAPPNPSPTPPLSSPPLQPFTSLFNFNDDEPVDPPLDEGWDTVPEVAPNGELVLKTHCEHPAVARDAAQENFAVLVHAKAPAVAAEVSERAPLDLVTVLDVSGSMAGSKLALLKQAMGFVIDKLGPGDRLSVVTFSCNACRIIRLTRMSEAGKASAKGAVESLRAGGTTNIGDGLRIAAQVLDDRRHRNAVSSVILLSDGQDNHTLGRPGQGGFGPYGGTKSFVDLVPLSLRRGDGDMCTPVHTFGFGTDHDARAMHAIAEVTGGTFSFIENQAVVQDSFAQCIGGLLSVAAQEARIAVECLHPGVRVRTVKSGRYKSRVDADGRAASVDVGELYAEEERRFLLLVDVPIAAGDGGDVTRLIKASCTYKDAATGRSVDVAAGEDAVVTRPVVVAAADTEPSMEVARERFRVETAEDIASARAAAERGEHAEAARILDRRREASAAAAGLSGDARCAALAEELSARVASRREYETTGRAFMLAGMSSHAQQRASTVQLFGSAAPPPQPYGASVFPGFRWVFKWVGRASGWGIPFKSVLDSFIESVRRCFRFFLYGAGIWGSTERVVFKCVPPAIRSWGILFNSVRCCVLACKKPLRFWDGASVEPPPDRSNRVNRKDETTMATNTSSRCDSCHGDMGGHATFTSEWCAHTFHLRCVFGCAACPVCAVRWNNTPATAGSGHLPQPAANPYLGFPTPPPPMRVFGASRRQPQPHPSQPSGSFNDDEPVDPPLEGWEVVPEAAAAHNGVLVLNTHCEHPAVARDAAHDNFAVLVHAKAPAMAAAGEEAAARAPLDLVTVLDVSGSMARSKIALLKQAMCFVVDKLGPGDRLSVVTFSCKARRIIRLTRMSEEGKAVAKSAVESLRASGSTNIGDGLRVAAQVLDDRRHRNAVASIILLSDGQDNKTLSFGNSSSYVDLVPPTLRRGAGGNGRCPPVHTFGFGTDHDAAAMHAVAEVTGGTFSFVENEAVVQDSFANCIGGLLSTAVQEARVAVECLHPGVRVRAVRSGCYESRVDEDGRAASVGVGELYAEEERRFLLLVDVPVSAASDSAEGADVTRLIKVSCTYKDAATGQLVDVTGEDAVVQRPVVVADTEPSVEVARERFRVEATEDIAAARAAAERGEYAEAARILNRRQEASPAAGLAGDARCAALVAELRELSARVANRREYEETRRACLLAGISSHAQQRASTVQLKKAAHTGKSSVPILNIGGPSKLASSGFVVLYSNSYEPSCERKKKSLNGAEHLVDVGDERLARRRVLGAELLQVPEAVVPGPRPQIGAGVEEEGPCRRRPRQHGEAEPLDGLAEIVGACDQIEPAAPRDLVPAPGLLEPEELLVRGDVHPHPPREEGEPGDAARHGERGDGAVGVERDGAGLHVGVEEVEAEGAGGDEERHGRRGAAQAEEAERVDERAVDVVARVERGRERRGERAGEEEQPRRRREEDEGREPERGALHEEPRERRRQRGAPARAGELAVGRRDEAQRQHRERADQVQRQQAPREHRVACRRRRRRRRLVRHLSLLLLWFE >Et_8A_057487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3488849:3491229:-1 gene:Et_8A_057487 transcript:Et_8A_057487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ELSLLFSGSIRFHREEWWAARTPPWGRNAAGGGEGGPTRDEEWRKELAAAWGQSNAERGALRAQYAAVRGVIRDAKDDPDLGVFNPAMSKMEKLHEQVQRPMEQLADGEALLDLASALASATKSENREGPTPFQFVAALLRKFGVTASPLVDTDELFSWSDLATEASPLFMAATGCQTMHGPMDLAIKERRRHAIRRQSWRFDTSRPAVIDELAPEPDERNETDENMAVMFGLLRRNKCVKLENIILNRQSFAQTVENIFSLSFLVKDGRVAINVDDNGNHYVIPKNAPAAGLIASGKVLSSQFVFRFDSKDWKIMKGIVEPGNELMPHRNFNHGDQQKDARSSPAADGSRLGSDSIPRKQSKAFAREVMEEDLTNGHYGHDVPKKRKRSNAARKLFSDED >Et_5B_043677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1390342:1394180:1 gene:Et_5B_043677 transcript:Et_5B_043677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKLLLLLVALCLSAAIAAEQTCPADLDSKCDGGASDDWEGEFFPGIPNIKYEGPTSKNPLAYKWYNAEEVILGKKMKDWMRFSVAFWHTFRGTGGDPFGAPTKVWPWEDGTNSLAMAKRRMRAHFEFMEKLGVDKWCFHDRDIAPDGKTLEETNANLDEIVELAKQLQTETNIKPLWGTAQLFMHPRYMHGAATSPEVKVYAYAAAQVKKALEVTHYLGGENYVFWGGREGYQTLLNTDMKRELDHMANFLQAAVDYKKKIGFNGTLLIEPKPQEPTKHQYDWDVATTFSFLQKYGLTGEFKINVECNHATLSGHSCHHELETARINGLLGNIDANTGDPQVGWDTDQFLTDIAEATLVMSSVVKNGGLAPGGFNFDAKLRRESTDVEDMFLAHISGMDTLARGLRNVEKLIEDGSLDELVRKRYQSFDSEIGALIEAGKGDFETLEKKVLEWGEPTVPSGKQELAEILFQSAL >Et_6A_047898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23269192:23269772:1 gene:Et_6A_047898 transcript:Et_6A_047898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHWLSMSHASAQVGHATAHNGLALSRIPEWFRLLPRLAYVCIDVQEVKNDSNEDMQLLSDLGRIEIIALFDLQSVRVDLTFEPQAMQNIEEFLLSVHVPHEAAYGFSISIMQFMCLKKIEIRIDGKAAVVSQSFGAAHIY >Et_1B_012721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34717904:34720920:1 gene:Et_1B_012721 transcript:Et_1B_012721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPAPAPAASATHLHHPFRLRPPLPRPYPPLRLRLLLPCPPPLRLRRRFPLLAAAAISAGSGGVGEEAAKKVDKARQLQKRVLVGVTIGVGAGGVVVAGGWVFASAVAAAVLAGAREYFELVRGTAGSGGTPPPRYVSRVCSVICASMPILALYYGRLDVTVTFSAFVIAISLLLQRGNPRFAQLTSSVFGLFYCGYLPSFWVKLRSGLAAPALNTKIAYSWPVLLGGQAHWTVGLVATLISISSIIAADTSAFLCGRAFGRTPLTNISPKKTLEGALAGLAGCVLTTVLLSSFLRWPRSKLSATAYGILIFLGSLFGDLVESLIKRDAGVKDSGSLIPGHGGILDRVDSYVFTGALCYSFVRVALPLYGV >Et_7A_052071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4357085:4363901:-1 gene:Et_7A_052071 transcript:Et_7A_052071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSQVHGDEKQNLLNGDSNLHVKDARNDEDDGAGSDGFELIDVKENFDQAKVEEETTVPRSTADDVSSVTKESPVEEEKTTAVEELALASDSRHPESSMLNQETKQLEELTRRIEELESEKDRLTKDLTEAENKQSLQYSSLQEAERSLTMKDKELADATQSLRELGSELESSKKRIEEIEAELDSSADKLRKLEELKDERSLHAAQEAKRASELDKMLEMAQMNMNEMEKHISSLQEEIKGHQDKVTDHQQVEESLKSTISELKAVQEALELSKSQVADLEQKLASQDADISKLTDELNLHCSSEESLKEQTLKLQNELTTVHEELQAKLLSLHDMETKLNEQTQERQTSEATLEKQNEQILDLQAGLDQLRDENEKLQGTVADLNSKFTEKDSMLSQAEDELAKAQILLSEALSHKEDLVANLKSLNEQHEESKLSSENANQKILELEAQIQVMHAAEEAIKSQMTEAEASVEAAEKKSTDLEQQLSDIESKLVASSEEIELLKERVQQETALSTEKGTQLEEAVASIDEYKEKINELQSSLDASISKSQLLEQEVKDLTDKCSEHEEQAHSVRQRSIELEDLLHTSKSDAQGAHSRTQELEEELNKTYEKLKGVEEELDQYRNKVSQLSDDLEACQTKAASLDAVVEAANGKEKELMESLSQTADEKQKAEELIAEYEAKLQEHLKEKQSLEESLQSHESKVLDVQEELVKLREEKENHESTIANLNLQLSEKTDMHNQLESQLSQASDDHSKTRSLLAEAQSNKEELEQNLKSLNDLHIASKTVAESATQRVTELETQIQELSASEQSLKLQLNEFESKLASAEKKCTDLEQELKDATAECSDFHVKIDELSGELEAYKKKSTDLETSLAEARQTEVELSEKLAQVNEEKENFEELSKKTTIKHLEAEKLVETLQGELESARGKLEEVENELQSLGIRESSVLEKLKSAEEQLEHKGRALEHATSKKIVLEALYQSLLEDTETKLQQAADSLTQKESECQQLSEKLKLVEEQATSYQSKATVATEEVESMKVELEAFEMEISSHEATIEELKGKVTHADSKAEQALADLVAMSETNQALKEELDAKLAMLHEVQEQLNSVQAEKEEVAAKLAEHERTVEHLTEVHSRGLELQSAAESRNAEIEAQMREALETVGQKESEVKDLNEKLITLESEIESLTHVNEALKQDISAKLVMVDELKEKCSSLSSEKEEVAEKLAVHERATAHLTEEHSRGLELRSAVESRNAEIESQLREVLQKMAQKETEVTNLTEKLALLESENEKLAGMNEALQREVDAKLAMFHELKERFDSTHAEKEEAAEKISVHERTISHLTEVHTRSLELHSAAESKNEEIEAQLREATEKIAQKEAEVKDLSEKLDALEIELGYYEEQATEAATNEENNKVKYDEAVLKIKSLEEQLEETQRKVESFLTEKENLSLANSSLNVELEEHQNKLNELQLTLAAVVAEKEGASEEIHSLRKTLDGMIQRKEELEIQVSSTSEEHEELKRNYQNTLEEKEMLNDKYETAKKELEEAIAKLEEQINVDKSEKELHISKLERQITLSEIKYMEEIQSMQVETTEKDEVLSTKMQEHANLLHEKDELEKQLMEIRKELDDAYHTIANQEEQASVREIKWDAYKKYSEDQLETEQQRAAELELQVSALKQQLQKAEIHYKQKEEHVALREVQWEANQNHSLDELQAQRQYATDLEKQIQALTEKLHLAETDHKQKVTEEKEKLAKVTTELNKLTQKLSKGDEMEKKTKDAVESRSREFSLDSSTLSNKQHDRSKAPDTASPNPNQLEVREPSGIMAFKFILGVALLS >Et_5A_041484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23496290:23497539:1 gene:Et_5A_041484 transcript:Et_5A_041484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAAVEAIDASLMVATRRGDCQKMKDLIIEESKEKSSPASMHPMLKAAACKGSLEELKFLLNRGPLPRQELRDQLEAYPGNSSSRSLAVQRTSDIYIEEGMSAASILEGVTVEGNTVLHLLAANGRGDNFMNCTNLIYGENNALLCNQNYNGLEIPKGENRVEDLLQKENSSKETALHEAVRIGDNHIVKELLRADSQLARFPEEGSSALYLAVLLEEESIAQTLYDESEHNILSYTGPDGQNALHAAVLWRTACTNTKSPYAGMLKMLLEFKKKKPHFRSGLQREYTPSLFV >Et_7B_056030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9777273:9778342:-1 gene:Et_7B_056030 transcript:Et_7B_056030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSLTELRLDGVDAATAWCDVLSESAPRLRVLTLQSCQLSGPICASLSRLRSLAVVDLSNNNQGLALSGPIPEFFAEFRHLTVLQLSNNGFNGSLPRGVFQLERLRVLDMSSNSGLSGSLPEFPAGSSLEVLNLKETNLSGPIPSSIGNLRHLKTLDISDSTSRCFSGGLPASIGHLAVLSFLDLSSSGLKIGELPAAVGTLQSLSTLRLSDCGISGAIPSSFVNLTHLTELDLSLTEQSLRASYIVQRRGISELEESTTMLQLPIRANSKLHILSPPTGIRIAYEK >Et_4A_035014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8882514:8885904:1 gene:Et_4A_035014 transcript:Et_4A_035014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKGGASPSPGGAGGAAGTGRLFTVGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMSACALLSYAAIAWLRVVPMQLVRSRLQLAKIATLSLVFCGSVVSGNISLRYLPVSFNQAVGATTPFFTAVFAYLMTVKRESWVTYLTLVPVVTGVIIASGGEPSFHLFGFLMCIGATAARALKTVVQGILLSSEGEKLNSMNLLLYMAPIAVLLLLPATIFMEDNVVGITIELAKKDFTIVWLLLFNSCLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSIMIFKNPVSVTGMLGYTLTVIGRIQKTQQQTIDILEDSKYVHPFCVQLVPRTNNMPTEALILVYLMRMFCKNLKVHVVSGTTERENDWAV >Et_3A_025580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3103575:3106742:1 gene:Et_3A_025580 transcript:Et_3A_025580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IHCNMEALLNMNLPPGFGFHPSDAELISHYLKRKIHGQRIDYDIIPEVDIYKHEPWDLPSKCNLPIEDNKWHFFSCRDRKYPTGAKSNRTTRAGYWKSTGKDRAIKLNKRTLGTKKTLVFHEGRPPTGKRTEWIMHEYYIDENECQANPDMKDAFVLCRVTKREDWEVGNRNPEPQPLNDADTSVVIVEKQEDAAASDICVREPNNVATPARAELSNDVATPASDELSNDVAMTGFIPLSPKTESELQTFLDNLVADISSGPYVDTGSADLSLIEQNAESLNPGSMAPKIEPDQGSPIEDDIDIEDYLSPDLSFRIVDFSDAFAATQEHHIMGMDPDTLPNNFEGTNEELQLNQGDFNGNLLNENIDNGITVRRRNAAASSASSSSSNRRIRMQVGVNRMVTSNSETINQTIEYTGKSGRVRSFKQSEETESSENLSNQRGIKISFRSSAGFNILFACVCMIGFIAAILRGYYHSSISFVVQRSVLSCLIRCRILDGTKIDALIAGTISLGKRTRQIK >Et_9B_063647.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15850080:15850823:-1 gene:Et_9B_063647 transcript:Et_9B_063647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASWMSLGMMVTRLAWMAQRLVSSKSPTRYASAASCSAATAELWKRRSVLKSCAISRTSRWNGSLRISSSVLFWYLRISRSATVPGRKRWGFFTPPVAGADLRAAFVASCFLGALPPVDLRAVCFVRAIGKGWLARVCCGELGSGAREVGRGFGKWGIGVRR >Et_1A_005868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1522600:1525857:1 gene:Et_1A_005868 transcript:Et_1A_005868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGISSAQFLERLELVDCKRITDAGITFITRAPCLTSLTLHKCKNVSNEGMAELARSQKLESLTIKGCRGISKEAVQGAAKSVYYSAEVEIPYVIKGMHMVLLPDNCKREV >Et_1A_006835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2839341:2852728:-1 gene:Et_1A_006835 transcript:Et_1A_006835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDMARSEVSPEGAPPGFGVDLFEQATKALALRTPFEGDEVPLRNPTLPARLVSWSAQEDYRKKHKKAPPPPDADAAERPPEASTSRPAKVGLWEQFEAYFRPVTLEDVEMLKPKSPFSCSKVDSCMVIPFLGSSKELMDEAQTFDVAVAETSSYLGVGGEEVISNRERSELSAHLVGQKERKDQCTDQDIHDVVVQQMVSDKELCRQSREQGIHEVSVQLGERPFGVYEAGRSSGLVPAECAEEEGTSGTSLNWLLGAKGRFVLTSERPNKKRKLLGMDAGLEQLVLLPRLGAEASPSCDVCCLGKSSMESNRIVNCSNCKVSVHPKCYGLRAVPDGQWLCAWCTYSESTLCSLNKDAGGTQSMPCVLCPKEKGALKPAKVEPTQNESVGHTKFVHLFCSLWTPEVFVENMESMEPVTNLENVQENRMKLTCSICKVKHGACVRCSHGTCRTPFHPICARESKHQMEIWGKPGHPNVELRAFCLKHSAAGFVNSVENSNNASEQSPLESSSNNAHLITGKIPKLRFTRKNKDNIMNCETSTSSSGNLIRVETIKQGALPHMVRSATAQSIRTWETDTSHPSVGADHMRSSSDIAAVLRKLIDSGNVKGSALKGSSVVLQDEKRDESDATQAIGGKSSMVPDCDNGALVDVPDSAVIEPPLTRSKSNNKVLKDKSATCASDVTILQNGNKNNVKEGPDLECSPAEESEFSLIGGMDVSKEEHGKLILNTSGNKEFGTSTDIPNENQGALLGAKSDDTFLAELGSELEERVSSPGRCFSQGDNARNGLNSVENGISAPCNYDSICYHGKPSFNFDDSCSYIHPFIKNKMAHHWNTTFKQDSEAPYHHEQSSYPSHGKTCVESLLKLEDTTELNAADQVLKARPLKILEHSPDDEVEGEIVYLQARLLDNAVVLKHRYEKLIAKVVQNLSSELHAFSKRKWDLILVNQFLRDVREAKKRGRKEKRHKEAQAVLAAAAVASSSRNSSMRKDVKKDGSPKLAAGSSRVAPRISSLPWAKDSSKSSSSKLLPENNFGSFHMPVSSKENPLYCDVCMRTETVLNRIFVCSRCKAAAHIDCYKNLDNSVGPWTCEFCEDISSEFATISNQSDCNGRKLPVARCGMCHGTSGAFRKTTDGQWVHAFCAEWLLGDKYVRGQDNPVDGMESLEDGKDACCVCLHSVGVCLRCNSDGCHIPFHPTCARNSGFYMNTKGFGTTLQHKAYCGKHSVEQKEVDAQQYGPEELKSMKRMRVELEKLRLLCERIIKREKVKRETVLCDHDILAKTKDTVVFSYLASGASSESATTSVNNKSYSGTMQRSDDVTVDSTISGKKTIRFSLNNRDADINTADSSRTLISFKRKLGERGSLAGKQLPQRPAIASQKLEDGGRKTKDQHITMQQKGTFQKELVMTSDQAFTQNQRLPKGYVYVPRDSLSKDKPWNRNTEMSLLKLKAIVTSKFLEYCMAKYAYEGKQS >Et_10B_003378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19192856:19194148:-1 gene:Et_10B_003378 transcript:Et_10B_003378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMATTSSLLLCSNPTRSPHGFRCSLVLPRLPCNSYSTFSEKQQHLICLVAPRLYRKKSSFRSSIPRCSSRFTDGPSTVGASVRWFLDPAGDGDWRHIGYKVPRPGAFEIASDSVTVGRVADKADIVLPVATVSGTHARLEKKDGSLLVTDLDSTNGTYINERRLVPGFPVPAEPGSLLIFGDIHLAMFRVSNMIIEAPSDAEGAEQESKAEVVSAAVEETTS >Et_8B_059324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16713567:16717391:1 gene:Et_8B_059324 transcript:Et_8B_059324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NQKTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLTEFCTPETCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEGQLDDESIFPQKLGTPFPPNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTNEFGLIDKKELAPLQELIESIIVKAEQIGCCRSTQDAATGMASGGASDATFPEAGLVVGAERDEGRRRGESERGGAAPALGPSEAEAGPVPHVHVELPRRPLPLLHLLPPPRVAVGAVICDDDRQIE >Et_2A_017749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5874585:5883431:-1 gene:Et_2A_017749 transcript:Et_2A_017749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAKPLPLSDRIVHTPQEVCFRRPTLPAFSSRGQRLVSIRSLFDDQKWDGIIQSRTIPVDETALIWYTGKVEKQLKLDQVSRIIPGQRTFHDPLIQSSFQPFWLVTLSRLLNSSSVQEIQSDTCGLYGSCARHPRPDKEFQSFSLIYGQRSLDLLPSVCTLWQICKDKDEAEAWFVGLKALISQLNCEKCTSEVKNYKVSDNLTKHILGESPSASSFYSCDVENKNLQSVDARSFIGFGNIFSDAVLCTGPEKSRVSAGSIGTSNSLSSGGADTSSGGASGTDNNVRISYSSAVSSSSYGSVDDFDSLGDVLVWGKGVGDSMLAHASHISQNLHDSRSDVISPKASESTCLLDIRTIACGGKHLVLVTKQGEIYSWGEESGGRLGHGVDADVCQPKLISTLSGITIESASCSEFHTCAVSFCGDLYTWGDGTHYSGVLGHGNNTAHWIPKKVCGPLEGLHVSSVSCGPWLTAVVTSLGQLFTFGDGVFGALGHGDRLSTSIPREVNSLKGLRTLRAACGAWHTAAIIEVVDFLDPAAAAKLFTWGDGDKGQLGHADREPRFIPACVASLLEPSFCLVACGHDSTIALSTCGQLYTMGSNAFGQLGSPKTDGKLPTLVGGIISSSYIEEIACGSHHVAVLTSKAEVYTWGKGANGRLGHGDSANRNIPTLVEALKDKQVKSIVCGSDFTAAVCLHKLASGLDQSVCSGCRLQFGFKRKRHNCYNCGLIFCKACSGKKSIKASLAPNSFKPYRNSRLHDGNPHQLPNEVTHTNKNLRSRLSKLLTLESFKPDGQQSRGNNQLPLPHARNFIGHSKELISSCTPSSPLFSGPSSPNHTGRGNQLNENLTEEVVRLKAQYYDVLLFLKMSLYPSSLLNIYVPLNELTHKSELLEEELGKTNNQLREVRSTADLERLKCKAAKEVINSLTAQIKVITPKTTEGCASGNTWTDRVSKFFGNHYRENHLRDSFVPPDSTGQQAHQFFCDRNSIVTDAEWIEQVEPGVYITVFLSPAGQKYLRPRDASLSNKQSNGGLNTDQHCNSNMSLGTSCLCLYLL >Et_9B_066016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20578269:20582669:-1 gene:Et_9B_066016 transcript:Et_9B_066016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCEYERELLDSILNGRHNDELSREVYRWKIETTVCEGFEGFIHNDERKESKSDADNSEGDKKTKIGSFKKKAISAGNKFRHSLRRRSKKKSENRPVSIEDIRDIKELQDVETFRQCLVDEDLLPQQHDDYHMMLRFLKARKFDVEKAKSMWSDMLRWRKEFGTDKIEDFDYSELDEVTKYYPQFYHGVDKEGRPVYIELIGKVDANKLVQVTSIDRYVRYHVKEFETCFKMRFPACSIAAKRHIDSCTTILDVQGVGFKNFSKSARELITRLQKIDSDNYPETLCRMYIINAGQGFKMLWSTIKSFLDPKTASKIHVLGNKYQHKLLEIIDECELPEFFGGKCKCDEHGGCQRSDKGPWKDPNIIKRVLNGEANYGRQIVTISSTDGISKIIGYARPQYPTKKGSDASAESGSEVEDVTSPTASRNLITNPNLTPSKLSAQASTSVSHAAVEENIPVIDKVVDDGGGSPRASLTASSSGSLSLRNMPATFEGLRAQIITWLTVLIMSLFAMLCYIPSRMAKRISNQCVKHDDYHVEYPQEHEYKEEFRPPSPAPSYTEKDVLSSMLRRLGELEDKVQVMETKPSEMPFEKEELLNAAVRRVDALEAELISTKKALYDALMRQDELLAYIDNQELIKFRKKKFCF >Et_2B_022848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6688500:6698918:1 gene:Et_2B_022848 transcript:Et_2B_022848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSGLRLDTLLKRLCKSLLKKRLGDLILGDLDLDQFDLQLTKGTLQLNDLALNVEFINRKLSGSAIMLKEGSIKSLLVSFPLPVKSCEIVVEELELVLAPSVVGEVVPVDTECSVSGSTSDIQTSVRTQRNESDSNQCSTSTSRDVDEGVKKIANAVKWFLTSFNIKLKNVYVVFDPQTSLDNRILESNRSLVFRIKEAEFGTDLSTDGLVKLNNFVTFNQAVIEFLKMDDVDALLQNDLDRSTADIFVDRSTTAVLTGPIGGFSGKLNLSIPWSNGRLNLEKIDADVSVDSLELRLQISSIRWIMNVWESLQSKPVDEQNCACSAADMSANSFRSTFSSSALSSLKPDSDCMIASKEHLAHSTCFQRKHEKFQDSFLTRAHVITDWMEPVAHEDLGYPDSDCDESIDQFFECFEELRNSQSSLGNSGIWDWTCSVFNAISCATTLASGSDQVPKEQPIEKTLRASIAEVSVLLLFSDCMDVDNSSVPVSTLDDMRNSEMFSSCLSSSHFGKSAVYPSTVPSLCMHHLEAKFQNIHLDLQTYPKNLRFKASVAHMKLDEYYTTGNNVSDHSRLGSLFLNNNLRQDIQDALPQCLFAAGEHWVENSELCGNNSSELAKVELLQTFGECTFHYDASIRDQDENSVSSTSLSVCLAPVIFWVHFHTIYMLWTFISKIESDLSQGDRKIHTHDNDKGSRLTASANMFSSGSLKVQIALSPTRIILCFPSDVSCDLSHPSIVDKFLVLDHTSCMNMGEIPALPQTETLNEVHLGKQYTSIHLATGNFNIYFVKPANNVLDGRICSSSRQTFSTVKIFSVTGAKYDDCCIAVIRRKYPVTSPEMVNKAWSLPKLHDQKNIKTQNSKWAGVSSSTTSQDLEELGSSIRQELLKSTELLLHVQLPCVSVQLSKNDCGLLNELLDHVLHGISNGELSNSKNAKGNSLPVNDIFLQTSVVFECSKLEICTELDETVEVGPLLQAELEGSWKSFKLSVSKFSLFSFSNVGGVNNASFLWVNHGEGELWGSIYGEDDKICDESKEFLLVACKDSACRRGDGEGTNVLSTGTAGCSVTHIKNPKLQENYTSVDVRSGIVVAPGGRMDWISAVCLLFSSGSDGTQQSDDSKTVNSSQEGETYSSSFFLELADVAVSYEPHLKKSVLSAETVDCKFFSCILAASSFKLHNKSTPDSSATDFDVQLRDLGLLIRGSSGSNHVTCGYGVDYLRQAGYTKVAQNTFVEANLRIDSNFWKLEISDSQFDIGTCRDTTYGLVRLGSQLQQLYGPDMRDALVHLQSRWNSVQQANEQNIATDASEKSESSLENLADSGECQSDGLLDDIIENAFYTEDYMNNEFWASNFHHSFSSSETDDKSELNTLEAHTTQIPLQQNFCPDHIIDSYYMPEHPSSSSALCGEEYQYISGGAPREDGGWYNNGSLTIVENHVLKKNNEQGDQVLRREGKPVVSSLNPNESCGLKGKVLIHDIDVKWRMYDGHDWLVPQKDGSCCQGTKGRDRSYSLEFIMTGLDVQLDLYPDGDISVSKLSMSAQDLNLCDQSMHAPWKMVLGRYNSKEYPRESCSCAFRLELESVRPEPQAPLEDYRFYLEILPLQLHLDQGQLNFLISFFQNDPYDNESHLHCESEIGDAESTSYESNSIVDEALLPFFQKFDVKPLVLHINYIPRQFDPIALGKGNYAELLNILPWKGIDLKLKHVSAMGVYGWNSICETVAAEWLEDISKNQVHKLLKGIAPIRSLVALSSGTKKLLSLPIKSYKKDRKLLKGIQRGAVAFIRSVSIEAVGLGVHLAAGAHDMLVKTERALTAVPPACEAKRTKDNIRANQPESAQQGMKQAYDSLTDGLGRTASLLIGNPVKVYNRGASAGSVLATAICGAPAAAVAPVSASARAVHYAFLGIRNSLDPEHKKESLYKYQGPSQS >Et_2A_018594.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34301188:34302603:1 gene:Et_2A_018594 transcript:Et_2A_018594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSKFQVAGGRPGWADLPCDLLESVLGRLPVPDRLRFPAVCTAWQSAAATDTAARLPNQTVPSPWLMLPFNPTAHRRTKSSEDDAKLSEARFLSLTEDGRTYAIRQPAPAVSDRLCVGSSDGWLITADDASELQLLNPVTGAQVQLPSVTTLPYVDPSRDADGRVVSYSIRCCFADDGDEVMMMIPPETFAADRLRFELYEKAILVSPPRWSGSWGGYAVLLICQPLFRLAVARAGDAEWTLLDTPARCWVDAVRAASADEGTQRRVVYTMDTDGRVDAWDMDAARPAPSSWAIAPPCCCACTGRSCSMSAACRRYIVELSPGRLLQVHRLRDAAHARYKWEPRPERVEYTTPKVELFEWTAGEGGRGQWAPVDSGKISNGVLAGRALFLGKSASLCVPVDDGGCCPALKGNCVYFTDDGPWTHERCHEVAPDVGVLDLADGTYRPPRGAVRDLLWKWPPPVWVFPSLAN >Et_5B_043336.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:4907687:4907869:-1 gene:Et_5B_043336 transcript:Et_5B_043336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVPLVATQKKTFLIFSLAATIRKKYGTFWGCTTHICSMMLQKPSPARITSSGAIKKIG >Et_6A_046236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:10300344:10302146:-1 gene:Et_6A_046236 transcript:Et_6A_046236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYNGLYHLFYEYNPHGALWDLGIPLSWGHSVSGDLVNWATLENSLEPTAPFDIKGCWSGSTAILPDGTPVIIYTGTDANESMAQNVAFPKNPADPLLRDWVKPDYNPVIPLPADVPGGKFCDPSTPWLGRDGLWRVLVAAEVDGVGSTLVYRTNQTSFRGWVRNPAPLHSSKAAGMVENPDLFPVNARGEDDGLDTSANGPGVKHVFKVGVMDTLEDYYMVGEYDEGTDAFRPEEPERGDDVRGWRRVDYGHLYSTRTFFDAPNNRRVLWAWVNESDSQADDFARGWSGVQVFPRKVWLDKENGKQLLQWPIEAIKSLRKTPVCVKKTVLNAGAVKEIVGVGGSQVDVEVSFRIQSLESAEVFKDANELMDTQRLCLEKGAAVAGGVGPFGLIVAASADLREHTAVFFRVFKYNATYKLLMCTDLTKSSTRAGVYKGAYGGFLDIQEEEHKKIELRTLVDHTMLESFGAGGRTVITARVYPENTATNSSHLFVFNNGSDAVRVSKLQAWELAPASVNVVDNGLLRASQASQ >Et_9A_060919.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17407601:17409627:-1 gene:Et_9A_060919 transcript:Et_9A_060919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASIALSLATASLSLRNLPSSVSMSSLTFFSSFSALTNSSYPANSTPIFISSTMNTLLSPCSAYKGQQIIGSPAMMASSVEFHPQCVTNAPTASCCTTSACGTQSLATMPLPLVLSWNPAGSSRSKSGSAGSSSGGLRTAHRKRWPELSNPRAISCSCGAEKAPLLPKQRNTTLCFGCASSHARHSCAEKAPLLPKQRNTTLCFGCRRRPSGRSVDSRRRASGGHADAVGERSQGPGLQPVEAVHQHAGALRDPLELVQPGLGRLAVPVLNRARHVQRADRRRAGAAHELAPHLPERRWQGRVEVRQVQGHGEVARRGGKEEVGRDAELRRDVERVDAEHVEQERVDGGGQRGEELAERRVGEPQHVERALHRVRGGGGVGAGRRGQEAERDCRVVRRQARHRGGGDGVGVGRVHDGDDHAEAVTEEALRQLHHRDQVAHGQRRVQHERLLIRHGRRASLLSPTRLGFALHNGKWIAGI >Et_1A_009331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39241649:39244559:1 gene:Et_1A_009331 transcript:Et_1A_009331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPTSSSSAAAPELDAGGGEPRSTMTTPRATSLRHRAMSASSKLLRSSLSRKSMGRRSSKVMSVAIEDVRDAEEMKQVDAFRQTLVLEELLPARHDDYHMMLRFLRARKFDIEKSKQMWSDMLQWRKEFGSDTIVDDFEFEEMDQVLEHYPQGHHGVDKEGRPVYMEKLGLIDTTKLLQVTSMDRYVKYHVREFERAFAVKFPACSIAAKRHIDQSTTILDVSGVVRLPLSPSTGFVAGYKNFNKAARDLIGRLQKIDGDNYPETLCRMFIINAGQGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDPSELPEFLGGTCNCEGGCMRSDKGPWKDPEILKMVQCGMGRCGMNSSDLREADEKMITENDIVPVAKKQESLRRDSTGSPKVVPREKIEHPQMSPLREMKTAESEAPPGKDGGTGAYNNLFPMVDKDFNWNGEVSAEKLAIAQDMYASLPDAYKQGDTGDRQVVTGFMALVMGVVAMFRVGKIAPKRAMDAAMGIATMEAMAKTRRLQQGQGQLPRPDTVSISAAQYQALLKRLDDLEEKVTALQARPPEMPAEKEEMLKSAVSRVEALETELESTKKLLETNVGQQEEVLAYIEKKKKKSKMNPFRW >Et_7B_053228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13257495:13260997:-1 gene:Et_7B_053228 transcript:Et_7B_053228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDATALAHATSSRFILLSSPMSTGTSTAAAAPGAPPSVPGRSLAAPMSRAGRDAAGRDLASRA >Et_4B_038266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27723616:27725733:1 gene:Et_4B_038266 transcript:Et_4B_038266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQEEQNHLTEPTPASGDLVKTARDGDEEYEEIVRFINHTDKSKASIGVEDEINEKIEHYREQLNPGLPDDSDDDEFGDYNDEIQCAELDQRLALYRIRALKEEARRLDDADLRVMYPPASLEDKGYLKWNMRGFAYYFDPNYRKNAHLEDYQRLMLRDNVCNATWLVHQETISSVVQYFNFDLYGEYEDLEYYRETCNTLEGDQQFIQFWEKLSTETKMDFKEALRQVYEEGIHNLCRSQLKAELDDLDEDEMSRPGPVQQRYETYVAGIDGGVTEDKSRELIFDAILKRKIYHDYARKKLDIAEKVSLITSTPFKDPWFRSGLPLCNSTYVVNA >Et_3B_027444.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:17863487:17864140:-1 gene:Et_3B_027444 transcript:Et_3B_027444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRLPPLPHLTLRSSSSSAAASTPASSPPSRRLPPPVPLHDLLAHRLSPPPDPPPRSPSPSSHPHADLLLLLRRRGDASPESLHVELVKRGLTHDIFFANHLVNSYAKGARLAAALRAFDEMPERNAVSWTCLVSGYALSGLADEAFRVFRAMLREEGPGCRPTSFTFGTVLRACQDMGPDGLAVATQVHGLVSKTAYG >Et_3A_023146.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32077312:32077644:-1 gene:Et_3A_023146 transcript:Et_3A_023146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDLYFGGVMIGTQAVWPPILARPGETELRDVHLVVSEVRLSPENAEAWRNATAKGGLVEVKLAARFHVQLDFGRWFPFRYWVRPSCALWLDPPPGGALRRARCHKSTI >Et_5B_043360.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:7853200:7853439:1 gene:Et_5B_043360 transcript:Et_5B_043360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDRFKNVEHRVLAMAAGPRVSVACFFRTNYGDGRVFGPIAIIEDERPRYRSTTIKEFLGYYKDKGLDGRSALDHFRL >Et_9A_063407.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:23312266:23312466:1 gene:Et_9A_063407 transcript:Et_9A_063407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSTVFSHLWNCRSAYHLQNRLDIPGPSSSTAPATARRGVTTAGSGVGETTCGGFEMGPHGWSLG >Et_2A_015291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10556225:10556588:-1 gene:Et_2A_015291 transcript:Et_2A_015291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AIFHGNKNAGEQQKSAAARAGLIQRYYPDFSQEFQLHFQPRAPLKKWELPAEDYLKINVDESFRGGQADGALSSETNMALVQARLLIAVMPCMQRLWLFFRPNLCFGDAWDIEG >Et_1B_010424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10430049:10433586:1 gene:Et_1B_010424 transcript:Et_1B_010424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSLLPTAFLAALLLLSPTRAEEEAVLTLDTDNFSEVVGKHQFIVVEFYAPWCGHCKQLAPEYEKAASILSKHDPPVVLAKVDASDKKNKDLGEKYNVQGFPTIKILRNQGDNVQDYDGPRDADGIVEYLKKQVGPASVEVKSVADATSLIADKGVVIVGVFPSFGGSEFENFIAVAEKMRAGYDFLHTSDAGILPRGDRIVKGPLVRLFKPFDELFVDSQDFDKDALEKFVEVSGFPTLVTFDTDPANQKYLIKYFENAGAKAMLFLSFSDDRIEAFKSQFYQAAKQYGANNISFLIGDITDAQGAFQYFGLKESDVPLLFIHASDEKFIKPTVEPDQIKPWLKDYTDGILAPYVKSDPIPEVNDQPVKVVVADSLHDVVFNSGKNVLLEFYAPWCGHCQKLAPILDEVAVSLQNDEDVIIAKMDATTNDVPPDFTVEGYPTLYFYSSGGNLLSCEGGRTADAIIDFIKKNKGSKPGEAAVEDDAAETDVIGEEESEPEFVKDEL >Et_1A_009262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35925297:35926063:-1 gene:Et_1A_009262 transcript:Et_1A_009262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARLCLNKTLAGQARALARPPYAAAPAAAGLNLHSLFSSAAADTATGVAPSGGDANRREVAVKEQSGAPRRGGRWAWRDLRDFTPFRLVDGLGSALSQVAETLSRPLERLAPSRLLSGKVREDDARYRLRFEVPGLGKDDVRVAVEDGVLVIEGEKREHEAEEDGAEWWAASGYHASLLLPDDARAEGITAEVKDGVLYVTVPRTGERKRNVTEVKVQ >Et_1A_007247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32587324:32593558:1 gene:Et_1A_007247 transcript:Et_1A_007247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCILDDLPPGIQFTPPDEQIVELYLLRRVRGHPDLFPGLIVDDDAAANTQPWKLFKRHGRPDAPQAFFFVHTNGAARPDRRCKSGGTWKSQKREKEKPCHEMVVDGERVKWSKHNLNLHMEKLESGSCGWVMHEYTVATHPSLKLCSISFTGNGQKRKRIPDGYDDDDDEPVTQRPRVDAVDASGSGTTTATFDQGFFSTAHASSDEEIALAAEMVAEMACAEPTWEFRAEQVQQTMNASSAPQEFQPPRPWTTTTTTCSQEPGLAQEDGAAYTSEGFQHLGDAAEDIAAMIDEMTNDADLYLDQVPPVMDQKQSSCGVPNIGDTDAVHWEGIDFTFSYDENSITMSAAAEILYDTSPGFHFKPFDDELIELYLLPRVRGQPDLFPGLIVDDDAAANTQPWELFDRHGRSYAVPAFFFVRTNGAARPDRRCQGGGTWKSMKCEREDASHEMVVDGEKIKWSRHNLNLHMVPGKKSGGSNGWVMHEYSITTHPSIKICRISFSGFGQKRKRVPDGYQDDEPVTQRPRVACASATSGSGMMTFDQGFSAAPHDSGDRELLHDSSDAAMLAEMTNWEFQAEQVQAMNTSAPDQEPPPSFSTTTTPSQESGLTQDGAGLEPLELLSDDDITEVLGLVAEPPAMDQSSCGVPSIGDTDVAAEEGGSATSGSGTTTMLSQESGLPQEEEGLEPLELLSEDGIAEVLDMIAPPPAMDQSSYGVPNIGDTDIAHWEGIDFDLSFNTMSSSAEMLYDISPDMHFAPADELLVELYLLRRVRGQPDLFPGIIVDDDAAANTQPWELFDRHGRSHAVPAFFFVHTNGGARPDRRCQGGGTWKSQKHERDDLSHQMVIDGETVKWSRHNLNLHMVTGKNGGGSSGWVMHEYSITDHPSIKICRISLSGFGQKRKRVPDGDSLPAGEPVTQRPRVAADDSGSATSGSGTMTFDQGFSTAHAFGDRALLHDSSDAAMLAEMANWEFQAEQVQTMITSAPQEPPPSSCSTTTTPSQESGLTQDGAGLEPLDLLSDDDITEVLGLVAEPPAMDQSSCGVPNIGDTDIVRWEGIDFDLSFI >Et_7B_053797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12275496:12279389:-1 gene:Et_7B_053797 transcript:Et_7B_053797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKAVLQTLEPTTSAAEITGSTPPRLHFYDPFVLSGVSLEDAEHGRLLCSFVVTPRIASPAGYLRSGVTATLTDQLGSAVFFCSGLASSGVSLEINVSYVDVAAIGEEIEVEGKLLRAGKSVGVVSVDFRKKKTGKLIAQGRHTKYLAASSKFSWMVTARRSGRKEAIAEARGCEHVTATGRACVASPRRDGLVDDEEPPEDFHKQHPVSGAAVCTAPPALAAGRRTGKHRCTRRPAAGSYSPSDVDSDGSPPAGREHERRIASCSSSLKSSHSMSILSSSAISPAAAAPPVSGAGSASAMASASG >Et_4A_035350.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2155765:2156670:-1 gene:Et_4A_035350 transcript:Et_4A_035350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRAYSLACLFIVFFLSSAPRSHGGSIAIYWGQNGNEGTLAETCGTGNYAFVNIAFLCSFGSGATPQLNLAGHCDPYSNACTNLTADINFCQSKGVKVLLSIGGGAGGYSLDSKQDAFTLAQYIWNNFLGGQSEKRPLGDAVLDGVDFDIEGGNPDYYGALASFLKSFGAKGGKKQVLLSAAPQCPFPDQWVGKALETGLFDYVWVQFYNNPPCQYTPGNMDNLVKSWNQWTTGIHAKYIFLGLPAAPDAAGSGFIPAASLDSQVLPALKSSTKYGGVMLWSKYYDDQDGYSKAIKNSV >Et_2A_014672.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33725146:33730941:-1 gene:Et_2A_014672 transcript:Et_2A_014672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESADPVPSQPPPGPSAAAARPDPDMPPRKPTGGKLRKPVTDKQRAAAEQRLERLRARLSVRSLDNPTARDLPPPHEAALRALGLLDFARLDLASDAPRPDLVAPLVACYDPACKRSFVRGVRVAVSRSDLARALYLPSKAASAAAAAPPDVDPAVVAPVVLRLMQDYVLHPFQGDDMCILPPEVAAAEQAVREGSAHRVDWAGLIWGLIEKEMLELPNRDDGVCYYGLHLQRLIGSQKPNLFELAEEGDRREIAPEASIDLDMDEEDEDADVKSKSLEGLDLGNDNVDAGVRSQGLEEPELGNADARSNGLDVLELGDADTRDNVMEEFEPSDVDVRSRNMEKLESVNVESLIKGSGEPEAMDEDERKNSLDESEAGEEQPRGMSFDELDHRDEDAKDGNLDELSLGLVAAQAMSSMHDMMPADNEDAAEVGPERGDDVAVPVEEEGEGPSAGTEVATQMEAVPVPEEVVDDEEDGEEDKDATGLSLGISSANGYDSVDLEEDVNAENLDEGDSGNEEADSSEDDAFEECGDGDGMNWRIGDGKEDGEMAHCLQRCNSGAFEGMQFENLNKGDELRFDDFPGRGSLERMSSSNLLQAMNSIPASYNVTENVHDLSSGEFLAMGAEAHKNGMDMGPGSSYLFGNNGKRHISDIDGYNGNMQVQEQFPQCNQQKRMRHSNSSSIPPGPADFNTNIVVPIQNLMVEASRFYEQKDQEIQSLQMEKRYFADMLQEKDALIQSLNSARFEQQNRWQAQLRRFEHDLNVMSQLATGYKKALERTRASYDEYRKKFPCSKPHYADVPNGGGLVLSVRELERRQLDAANEMIEKFEQEWFSKLNVWTSSVHSLSSRMEELYKEIRHLKETRKARLEAPASEE >Et_2B_020704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22929516:22934950:1 gene:Et_2B_020704 transcript:Et_2B_020704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAWEERLACLGITYKWTLSLMSFSVVAADLMGHEESQKHLLSLSYHELQCSCKRYNLPANKSHTQLASSLASLLEEPLAASPAPSVVKEASTSSQINQKRGPYTGRDDDIPLMHAKHHKGPQTAVDEASKMGIDASMSIPPVPINDGRSDCHGRSSSEPGNAHIVQSQSIADKTTNPEVACEHHISPPNNIGQTTIPNIQKHPGFDNGLGAAPSKNIKDMTDKDCGPSDMRSANASPVQFFVISDEGINLVVDLNATPLDLAEKFKEEVSIPPSEPGNFSGFLSSLVSKDDDSTVSPSGNIVVDIQGKGSGRNTPSTNSSLGSDVGDNSRSEPYPADTTTVNTVSSGSTLLGTSVEISGYQEAAPVVSSSCLTAEVPNNKVSGMMAGALDKEALPQKSVDVLLQSERITASLVDASVQPTCNKGMKSPGRTKVSGNISSTQNISVADTDNLSAFSLREDVVRSGSNEHSFSKTVRKKTLDVPGGAQLAGTHVVVMEPVVTVAVERDAGFGDRLSVSSQLARQTVTKLPVTDAQSDASSADHCIAGNFDLINPTSSSAASQLAAYLQSAQSHSADKRSECDPVEIEELESKTPPAYGEPPRNILLSLRSASAKKTKPTRRSARLVPK >Et_1A_006559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24492028:24494400:1 gene:Et_1A_006559 transcript:Et_1A_006559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GSMEAAADEERPLLTQQLPSQEEGSQYTSDGTVDINNQPALKRSTGNSRACFLIVGVEFAECVAFFAISKNLVTYLTGVLHESNVDAAKNVSAWIGCSFFTPLIGAFLADTYWGRYWTIVTFISIYAVGMLTLTVSAFLPLLMNSSYNIGIYRVVAYLGLYLAALGNGGIKPCTPALGADQFDSADPVERVTKGSFFNWYYFSINIGSLLSGTVLVWVQDNIGWDVGFAIPTVVMVSGLAVFVAGRSLYRYKKVEGSPLTRVSQVFVSAVMNYNVVVPEDSSALHEVPASTEPNCKIEHTDQFKFFDKAAVIVAPGEKGGTTAATSPWRLCTVSQVEELKMLLRMLPIWASMILFFSATAQMSSTFIEQGAAMDTRVGHFTLPPASLATFDVISVMICIPVYDRVLVPLARRATGKDRGLSQLQRLGAGLALSVAGMAYAALVEARRLALASEAKKMSILWQAPAYAVLGAGEVFAAIGILEFFYDQSPAGMKSLGTALAQLTVAAGNYVNSAVLGAVAAVTARGGRPGWIPDDLNEGHLDYFFWLMAALGVMNLLHFLHCSVRYRGNNASGS >Et_4A_034636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4993864:4995156:1 gene:Et_4A_034636 transcript:Et_4A_034636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHLLNSCEQLQHLELNKCDTGDLSTLEINMPNSKISHLRLTYCRFEKVEFLCLPKLAVLYCEYWISSNAPISFGHVPCLEELGLVCAASRYHSGLSLSELLHGSIEIKALTLDFHGETIWMLTEGRKLCTSFNKLTKMFIHGIHVKFGLLWTITLLETAPSLKTFGIEVCNHVCDVDSEETRRAFPKRPNPWRSSKKFSGSRHLQLRRLEFVSFMAIKKHVQFIRCVMDYAPNLDTIVLQDKDPCGSCDAVNSNVTSMFPKNKNEQDMLLNQLRNGASHSIEILFK >Et_2A_017798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6309860:6313694:-1 gene:Et_2A_017798 transcript:Et_2A_017798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKPARAAAVAERDPDGIFRGVSAFFIPHSVQSRRLEVWKQRLVQMGGRVQEKIDKGSPAVNHVLAADAKALLRELGAAWLHRFRGSVVSFEWLEECLKAGERLPEHKFVINYEEEFKPKKPSDTRDSGALQPAKRSKMSSENSGDQQRTSGEDKKELAGASANANEGSGVDKKPNQFAGSRSSSGDTAGSHGTFDIEVDIYIQVLIHELYDQEASSGGPSIYAPADLNRNITQIFGRLIDIYRALGDDRRSFSYYKAIPVIEKLTFKIESADQVKDLPTIGKSLKDHINEIVTTGKLSKLEHFENDEKVRTISLFGEVWGIGPATALKLYEKGHRTLDDLQKDESLTHAQRIGLKFFDDIKQRIPRHEVSDMEKLLQDIGKDILPGVTIVCGGSYRRGKASCGDMDIVITHPDGESHVGFLSKFVQRLKEINFLREDLIFSIHSVDGTDCGVDTYFGLCTYPGRELRHRIDLKVYPRNRYACGLLAWTGNDVLNRRLRLLAESKGYLLDDTGLYLATQGTGGKRAGRSDAIVNCHTEKDVFDTLGYPWLEPHERNL >Et_5B_043179.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:15366374:15366559:1 gene:Et_5B_043179 transcript:Et_5B_043179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAADWIQEPVVLESDCANVIAALQNKKDSRCSLSFILADVRGSCNRLPVVKFLAVRRAE >Et_8A_056203.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:14873694:14874002:1 gene:Et_8A_056203 transcript:Et_8A_056203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METIMTRAVLLVLAALLLSSGLAATATTTAEVKPASRHNPNCGRVLMEPTESCYAESCKARCWSQYRGHGMCVLPYEVGCQCDYCPPGVLPTSVRRMAMHGI >Et_4B_038007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25480628:25483841:1 gene:Et_4B_038007 transcript:Et_4B_038007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSLTGDATVGAVSRVRFAPSSNNLLVSSWDSGLRLYDADASTLRLTVESKAALLDCCFEDESTALACGSDGSVRRYDFRSGSQDTVALHEDVIACIEFSQMSGQVVTGSLDKKLQFWDTKTGRTNSTGTVTLESHVSSLSICGMYLLAAVARNVYFFDIRNLAGPVKAKDCPVVRHVQCLQASAEWNGYVAGSVDGIVALKYFSRGTNGDMGYAFRCHPNSRDGKLDRVSVNCVAIHPCKKTFVTGDDKGYTISWDAESKKKLLEFPSYSGSVASMAYNHNGQLLSVGASYYHEEDKMVEKHQIFIETVEDYKGKTRLG >Et_1B_011836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26393573:26396474:-1 gene:Et_1B_011836 transcript:Et_1B_011836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLRRAVAQRCTSSSPAYGLRRFMQEQPSFRPAVPPDRFMPLADRIRDLGLGFPRINLDGLVPPAAPAAKAPREAAAPPASLTVEEARKVLRATQMEAARERLRASGAGAVSYAEYLRLCCDAAGPDAGPSVARALDESGSVIVLGKTVFLRPDMVVKAIEKAIPVRQALPVDENSPAREELKAMEAQKADIDRAAVAQVRRELWCGLAYLVVQTAGFMRLTFWELSWDVMEPICFYVTSMYFMAGYAFFLRTKKEPSFEGFFESRFAAKQKRLMQAREFDVRRYDELRRACGLAPALQARSPCAAATTPAMQESGHCHSYCHCH >Et_4A_032787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13872043:13875484:-1 gene:Et_4A_032787 transcript:Et_4A_032787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGSSLPNRLRRFGKNDSRKKAIPRVPAVSCPLGRSLMGEVPKPDPHKTSWPELVGWQDLAAAVRIAIDRKDVFIDFFSVGETPPLHNAHHHRKRVIVFVTDHIVVLPAPVIG >Et_1A_007676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37118032:37119874:1 gene:Et_1A_007676 transcript:Et_1A_007676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNPSTFSHNGAEAMNNGYFTAGGFGGGGGMFGADVTPFHPSMLLEHFGFGLGGCAPAATDMGAQFAASNVTLASFAGQLFAAAPTVPPQRSHGASTPPEKEMDGGYVVAGAGDSCSAASMQCPGHPGAMAVWSSSSSKAPYGSWNSADRSRTVTVREPYLAGIPDGAGFHYPLAACVGGGVPVAPARSELSLTLCSKSSSDSALNAADHQCSSAASRSALTELPYATPARFDEVVARSRYAALAQEVLNDIVCRLLDGVVDSGSGIDGGGATPLSWSVDASSVVSSEDAGARWGETRPVRSDLVKMLQMLDQKYNQCLDEVQSTTAKFNALMQLQGSAGMGNASVCAPFAHRAVSAMYRGLRRRIEVMAAAAASRPSCWGESSSSVTAGGQQRMSLEAALIQKHWAAQQLRRGEQQCWRPQRGLPERSVAVLKAWMFEHFLKPYPDDHAKDMLAARSGLTRSQVSNWFINARVRIWKPMIEEMYKDLKRSSAGERGMEMEPQQSNMLSA >Et_1A_009082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28587118:28588299:-1 gene:Et_1A_009082 transcript:Et_1A_009082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSKALWQLSFPADYGQYAPDLTLDTIIKCLQHCCIFARLQLRAAGGQQASYPTVIMESCVPPGFRFHPTDEELVGYYLRKKIGYEEQSDWYFFSYKDRKYPTGTRTNRATLTGFWKATGRDKAVRDKHGRGLIGMRKTLVFYTGRAPNGRKTDWIIHEYRLETDENATPQACAVCLSHPPCMNPSPSLSCSFHSIHVHDAFLFVGLPRSGSARVRSSM >Et_2B_019756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13675172:13680958:1 gene:Et_2B_019756 transcript:Et_2B_019756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTDPLARSIHGTNPQNLIEKIVRSKIYQSIYWKEQCFGLTAETLVDKAMELDHTGGTYGGNRKPTPFLCLALKMLQIQPDKDIVVEFIKNEDYKYVRVLGAFYLRLTGTVADVYQYLEPLYNDYRKIRHKLSDGKFTCTHVDEFIDELLTKDYSCDTALPRIQKRWVLESSGTLEPRRSALEDDFEEEEEDKEEEQPMEIDEPNVREKDNYRGRSPAKERDRDRDRDRKHDRHHRDRDYDRDRDYDRDYGRGRDRDRDRDRERDRNRDRDRDRHRMRDDDYSRDRDRERGRDGRERERRDRDRGRHRSRSRSRDRRDRDREGEYRRRRDRGSASPRGRGEDGSQREEPKRKKEKKEKKPEGKNVPDPDDPEIIEMNKLRASLGLKPLNYLNSGEKKISAICDTKGKRQPKNSIAHSFLNDTQPRRMLSLSRHRCELRAERTAPLAQSCPGAMVPLVRAQDGEREGAQEGHARGSRRCRACGGRLWRRR >Et_3A_024132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17746738:17751763:1 gene:Et_3A_024132 transcript:Et_3A_024132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGRRRVHRVLEEIRDLFACAAVSRAWRAGCAAVRRLGLWPDQGPYLVYSSADRDPGTATLHNISTGRSFHAALPDPPFRSRYIVGSSRGWFVTADERSHLHLLNPVTGAQIALPPPGTMFGVGPSFNSHGKFRAYCIHKLDAKGRCLNHRVDPQVRGPSKLRHYLYHKSCLCTGLNYEHLSFARVGDTRWTWLDAMENCMCYRDFVYNDKDGLFYAVRGNGEIHTVDLRGPSPEVKVVYKVESRIMSYTRYLVQAPWGDLLQVRRQYGDPTSNTLDSDYGYESSHALFIGFNSGFLVQTRDYPILVSNCVYVTDDYTDDIFCHPHGEQQLARVSLEDGSFTDLPVADSLLNWPPPVWFRPSSFVQI >Et_2B_020016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16112535:16115208:1 gene:Et_2B_020016 transcript:Et_2B_020016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSSLLAAASSSCAAISPRLSRAAPAAASVPSPSRPSCPTLRASSGNSHRSRFVASAAPTMQPPTESRVSTVVDVDLGDRSYPIYIGSGLLDEPDLLQRHVHGKRVLVVTNTTVAPLYLEKVTWALTHNNPNVSVDSVILPDGEKYKDMDTLMKVFDKAIETRLDRRCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTLMAQVDSSVGGKTGINHPLGKNMIGAFYQPQCVLVDTDTLNTLPDRELASGIAEVVKYGLIRDAPFFEWQEKNMSALLARDPSALAYAIKRSCENKAEVVAQDEKESGLRATLNLGHTFGHAIETGLGYGAWLHGEAIAAGTVMAADMSHRLGWIDDSLYKRVVEILEKAKLPIAPPENMTVETFKNIMAVDKKVADGLLRLILLKGPLGSCVFTGDYDGKVLDETLHAFCDS >Et_7B_055917.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7415180:7415455:1 gene:Et_7B_055917 transcript:Et_7B_055917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHATSARLPAMLLAMLLLLASELATLSCGHRIHRADVAAWRHGRAASTPTMTTVARAAPPAPAADAEAAAAVFGDSKRLVPQGSNPLHN >Et_8B_058554.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:17368973:17369230:1 gene:Et_8B_058554 transcript:Et_8B_058554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFIANLSECFNACIRAVHRAHACFTQLTCSCCCLMSRRCSKVSSTHSGNTTLIWSHNEGPRSFRPPIPVPLSIQRWAAACDPK >Et_8A_057326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23684848:23687223:-1 gene:Et_8A_057326 transcript:Et_8A_057326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSRALLRRIGGVLLRRSFSASAGPESGSAAGYHVAGGPSYMRGAVFWEPGRPLTLEEFQMPRPKAGEVLIKTKACGVCHSDLHVLKGELPFSSPCVVGHEITGEVVDHGAHTPAEITNRFPVGSHVVGAFIMPCGNCFYCVKGQEDLCESFFAYNRAKGTLYDGQTRLFLRGNGKPVYMYSMGGLAEYCVVPANALAILPNTLPYTESAILGCAVFTAYGALRHAAEMRAGDSVAVIGVGGVGSSCLQIAKAFGASEVIAVDVLDEKLQSARTLGATHTVNAAKEDAVERIKEITDGRGVDVAVEALGKALTFAQCTKSVRDGGKAVMIGLAATNVVGEVDITRLVRRQVKIIGSYGARARQDLPQIVKLAESGAFNLQNTISRKCKFEEANSAYDDLNHGKIIGRAVVEIM >Et_4B_037424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19768937:19769847:1 gene:Et_4B_037424 transcript:Et_4B_037424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFEVVYARRRQPEEDREGLVVLDIETKEVRRAKREKHVTAFPSEIHLSAMTLKVIRTRSAVGHDFQLFVPRLPDPLHPVIRRACHETNEVVRPVTPAAPLSVALLISCPRKGW >Et_8A_057905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9060134:9061145:-1 gene:Et_8A_057905 transcript:Et_8A_057905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETKAARKGSGRGYKKKDAGADVAVAAGPQPIVLKVDLHCLGCDRKVRKAIKRAPGARGVCGDGHCGGQGGRDGFGGRGGAQGAHRGQDQEACAHRRRRRWAAKKEKKAEKEKGGSGDKKKAADKPNKEVSLQVQVHCDTCIGRIKRRSSKIKVDMVIDADKDTVKVTGTMDAAALPAYLRNKLRQPAEAVAPGKKDGDGGEDKKDKSAGDEAGKKKDRGGEEKKDKPTAAAAAAASVFPTPMGDAGMYQLMPPQYG >Et_5A_042891.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6354383:6356449:1 gene:Et_5A_042891 transcript:Et_5A_042891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKSAFVVALLLIHAAYAVAAAAADGQFQFVHQGFAAGDLKLDGLAVVTPNGLLALTNATDQAKAHAFHPAPMHFLISKPASSSNSTATTAAAARSFSTCFVFAIVSPYDALSSHGLAFVVAPTTDLSAANAGQYMGLLNATNGTASAHGGRVLAVELDTITDAEFRDINSNHVGIDVNSMISEQARAAGYYGDDADNSFHELQLNSRKPMQAWVDYDGQARQLDVTVAPIQVPKPKKPLLSMTLDLATVVADPMYVGFSSGTGVLSTHHYILGWSFSLDVPAPPLDFSKLPVLPRFGPKPRSKVLDVTLPLATALLVSAALAAVVTVVWRRRRYAELREDWEDEFGPHRFAYKDLFHATDGFKDANLLGVGGFGRVYKGTLPASDNLEVAVKKVSHDSRQGVREFIAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMANGSLDKYLYDRSKPSLSWQQRYRIVKGVASSLLYLHEDWEQVVIHRDIKASNVLLDRDMNGRLGDFGLARLYDHSADPQTTHVVGTMGYLAPELVRDGKATPATDVFAFGAFLLEVACGRRPIERNARDDSVVLVDVVIECHRGGSILDAVDPRLEGKCDAEEAALVLNLGMMCLHPLPSVRPSMRKVVQYLDSSLPVPDLSPSFTSYSMMALMQIQGFDSCAMSCDMSVTSGGASSSTVFSEGR >Et_5B_044700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4878955:4882213:-1 gene:Et_5B_044700 transcript:Et_5B_044700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDEWPDLRSGRVALLQRLLDHVSVVIPTRNQPLRLYIMTTRRSVQYSPVPTEDRDGGNVTEANDVDLRFSYTPKLQRKFPWKSIMLALFLLVIGVSLLSLSYFIFSHHMEGDDSQAYGLLFLGVLAFLPGYFETRVAYYSWRGTPGYSFASIPDY >Et_3A_026527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9244641:9246909:-1 gene:Et_3A_026527 transcript:Et_3A_026527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCDQCDAEIHTIDLKGPSPMVKVILKASTAFGCPIHYILRAPWGDVFLISRIYAVVPPDSDDEEYLAYHQALEDMYLGPHEPETDDEEECPPEGRLTVRRVELAQQKFTEITNLQGHVLFVGFNDTFMIHAREFPSLSPNHVFMSDDFTDYIICSPFTGRRLTCLNLEDATLTDLSFSDSLLDWPPPYVVLVGNVLDDLDLWVIQNFVPCIGIPAVLFLFPPSIVIPPACFSYGSFYLLADEKVYNVNQFYFIGRYSLERLCFAMVNLFNLYTESND >Et_7A_051487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19295998:19297196:1 gene:Et_7A_051487 transcript:Et_7A_051487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRERRTVFVTVGTTCFDALVKAVDSEKVKEALLLKGYTHLVIQMGRGTYVPSKVSGNSTLEVDYFTFSPSIADYIREASLVISHAGSGSIFETLRLGKPLIVVVNEDLMDNHQSELADELAERKHLFCARPQTLRETVDVMELNTLLPYMPGDAKQVVTRINKFLGF >Et_3B_030689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6428234:6434001:1 gene:Et_3B_030689 transcript:Et_3B_030689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLIDRKAQRVLFAEASKEVVDFLFSLLALPVATAVKLVGKDAMVGCVGNLYASVDKLDDTYVQPGAAKDALLQPAVVSPAASSNSSLLGLPAPTPSPKTFYRCTATSYSNCRTYITDVYGKACPQCGNQMLTAAQYLSSAGSGGSGQVVQSAGKGFVQGIVTYTVLDNLTVSPMSAISSITLLNTFAVKDIGDLQEKTVQLGYKELLIDVKAQRVLFAEASKDVVDFLFSFLALHVGSVVKLLAGRKPCVGNLYASVEKLDGAYVQPGVGKDALLTPTVTSPAVSTKSSLFRLPAPAPPQAPAPARPKKFFRCTNGDGCYQYEDYEYSYSAYRNKATSCRKYMTDTCGTACPSCGGQMNIEEVEIVSPAEPAPAPVDKAAATRATNGFVRGVMTYTVMDDLTVAPMSAISGISLLNTFAVKDIGDLQEKTVQLGYNQGLAILKASLQSRTVLTDVFLAKWQQAPPR >Et_4B_038442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2982267:2986523:-1 gene:Et_4B_038442 transcript:Et_4B_038442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVGPRSANGPTWQVTSCPVLFPIFSFLPRSQSHSPLSPLCLAGGDALELELVPHRREARGGMEPMSVDSGGCSGLDAQIEQLMQCRPLAEQEVKSLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSVENLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCNSHNFIQFEPAPRRGEPDVTRRTPDYFL >Et_1A_007732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37664017:37666548:-1 gene:Et_1A_007732 transcript:Et_1A_007732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RGKQPKSKTSLWKHKKATRETRASRGGHARSRTARQTEMPRHHLAACRLVLLLLLVAAAVTSTATAATEAAGSSNCTLLQDDDVGIVRAAFLRVANFPFPPPRRRACRPVRRLRFPSRNLTGAVDWAALGNLTSLLTVDLSGNALRGVIDAAFWRAPSLRAVNVSGNRLAGALRFDGQLYPSARLASLDASGNRFTSVEGVAALAGSLGDLDVSRNVIRAAPEGLRKLTRLRRLDLSGNSMSGRFPDDLPPLEGLVFLNISNNNFSGVVRADAVRKFGRSAFLNAGNAASLVIEDIAPSPAPAPATTPSSGGKKKHRRAVLISVIAVGAAVTALALVLLAWCAARGLKRRRKRRAKKRRKKDGNAAVWEDEEVAVGAVKVATATPVVLLERPLTELTLADLAAATSGFGRESQLAETGGRSGAAYRAVLPGDLHVVVRVVEGAVAGVKEGDDDAATAAGLRELARLRHPNILPLIGYCIAGNQKLLLFEYMEKGDLHRWLHELPAGSIDTEDINIDMLDPTAEDSRKSPGDWPTRYRIILGIARGLAFLHQGWAGSSGRPIVHGHLVPTNILLGDDMEPRISDFIHPGNDDETPASDVYRFGILVFELVTGQAKWDDASTSWARGVVRNRKGLNIVDARLRDDAAATEKEMLECLQVGYLCTASSPEKRPAMQQVVGLLKDIRPAATVSQSLAAMMIN >Et_1A_005581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11717389:11719196:1 gene:Et_1A_005581 transcript:Et_1A_005581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FDMKALILVGGYGSRLLPLTYSIPKPLVDFANKPILQHQIEALKHVGVTEIVLAINYRPEDMYKFLKDSEDNLGVKLTCSQETDPLGTAGPLALARDKLVDESGEPFFVLNCDIISEYPFAELIRFHKTHGGEATIMVTKVEEPSKYGVVIMEEATGRVERFVEKPKTFVGDKINAGIYLLNPSVLDRIQLKPTSLEKEVFPRIVADAKLYAMVLPGFWMDIGQPKDYITGVRLYLDSLSKNAPDRLAAGAQVIGNVLLHETASVGDGCLIGPDVVVGPGCVVEDGVRLSRCTLLRGARVKKHACICNSIVGWHSSVGQWARIENVTVLGEDVRVGDEVYSNGGRVEPHSEVRSNIPNPEAVK >Et_3A_023619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:183518:185834:-1 gene:Et_3A_023619 transcript:Et_3A_023619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENDHEDEEPSVEAAFAGQPPPPWWRQITARSVVVSVVVAPLFALISMRLGLTTGIVPSFAMSASLVSFFAIGSWARLLGRCGVATRPFTRQENIVVQTCISACTTLSVYGGFTSFLPAMSETVAKSIGETGTENDALHPWKVMTFLFLTSFSSLFCNLPLTKIMIVDYKLVYPTGSAIAGIINSFHTPKGAATAKLQVRALVQTLIGSFTWASFQWFYTGGDGCGFQDFPLFGLYAYRQRFYFDFSPSLVGVGMICPYLINFSLLFGAVISSGIMWPLLQKKQGEWFADTSRSSFRGINGYKVPMGVSLVLGDSLFQLCSVSIKAARHLWKQNQNRQQGTLSNGDGTTSQAEQSSGSYDERRRNQIFESDHIPMHFALAGYAILGTISTIFVPRVFPQIRYHHVALCYALAPLLAFCNAYAAGLTDWSLGTIYGKLAIFIFGAWVGKAAGGEIAGLAACGVVVVVIGNSAELMQDFRTGYLTLTSPISMFASQVIGTTLGCLVNPFIFAGFQKVVGKEHLGEAGTPYAAPMAVAFRGIASLSVEGIKTLPKHSMQLCVVCFFVAICVDCVTAVANARKWRVRGYIPNVMAMTIPFFLGPTFAIDMSVGSLILILWRRADKQAATMLSVVVASGLICGDGLWALPSAFLSTFKVQPPICMKFLSSYQTEQMQQHFISDSATRR >Et_1B_014097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30631539:30635493:-1 gene:Et_1B_014097 transcript:Et_1B_014097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSFALALGCGTRLRGQSKWVSRMPSACEARQIATQDGHREAASVKGRPPLVIAHGGFSGLFPDSSQFAYQFALSTSLPDVALFCDLQFSSDGMGFCKSELTLDNSTIIKEVFPKMEKTYKVNGEDVRGWFSLDFTTDQLVQNYNSFFLDHKISTEDYLKALPKEFSFSFISSPEIGFLKSAGGLLKESRTKLIFRFLDEKAVEPSTKKTYGELVKDLKSIKEFAVGILVPKTYIWPLNKDQYLAPSTSLVKDAHALGLEVYASGFANDVSISYNYSYDPSAEYLQFIENLDFSVDGFLTDFPPTASGAVGKNYSMVELKEQKLLSMKKTLSRLAWLILRAILYLLPKITLGPRPLIISHNGASGVFPGSTDLAYQQAMKDGADIIDCTVQMSKDGIAFCMPSADLGSCTTAGSAFISKGSTVHQIQNKSGIFSFDLSWSEIQTLKPDLVGPFAQSGLKRNPAAKNAGKFMTLPGFLDMAKASNVSGILIDIEHAAYLATRGLGVVDAVTGALTKAGYDKETKQRLLIQSDDSTVLSALKKSFPASKRVLSIESDISDVAKPSVDGIKGFADGVKIHRNSVAQSTGFFLTHFTNVVSTLHAANLTVFIGVLKNEFLNLGFDYFADPLVEIATYSDAVMADGLVTEFPATAAAYFRSPCSDMSLNLSYSILPAQPGALVNIAVPGALPPAGAPAPMLEPADVIDPPLPPVLAVSTAAAPAPTGAADNSTSAASTNAGSSLLAAGIVALLSLSFLQ >Et_7A_050842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11489959:11491757:-1 gene:Et_7A_050842 transcript:Et_7A_050842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSMVNVVPSVADEWDMPSLISSLYATPLLRRGLDPINLPGFGDVSNEQQGIFVSNGFVFPPSEHENLPIDPEADELNTNDDGKEGGCAESTEEWCYITPKDVNYISDENPSAHQTACPDSKITEIHAEQEKDHTTCNSDIACEGWWKRKSTYIFHHIKGVTTSMSRVIGLFSRLKDGLPGSQQLKSLLQTRVLPPQQLSA >Et_3B_030651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5969492:5983491:-1 gene:Et_3B_030651 transcript:Et_3B_030651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGWFGLWQNRSEVTEHDYLPCFVEQMSLVYDPDANECRNLPGVETRVPNFGSTTGFQRNPEHPDWCLAVLKNELERLGYRDGETLFGAAYDLRHAPPIPGQPSRVYDHYFRQLTALVEDASRKRSNQKVILFSHSMGGMVALEFVRAARAGVYIKHLVLVALLPASGFMESVKYFVSGSEMLTIPAATALSLRPMWRTFESVLATFPSPAVFGASKPLVITPRRSYAAGDMADLLADVGAADAVEPFRRLELPRAAKARYLEPPMVPVTCVNGVGNDTPERLVYRDGDFDAEPEVVCGDGDGTINLVSMLAFDEEMSREPGQRKQYRSVKLHGAQHSNIVTQEWSLKRVVQEILEASRNCSDLPAHHYVDCFMEQMSLVYDPEANEFRNVPGVETRVPNFGSTVFQRNPEHTDWCLEVLKKELQRLGYRDGDTLFGAAYDLRHAPPIPGQPSRVFSRYFRQLTALVEDASRKRGNKKVILFGHSFGGTVALEFARAAPPAWRDTYIKRLVLVAPLPVSGFTDPVKYFASGSGVLYVPTTTALSLRPMWRSFESSIVNFPSPAVFGDAKPLLITERRNYTARDMEDLLADVGAADAIEPFRRRAVPRMNCFEAPMVPVTCINGVGANTTEQLVYWDGDFDKEPEVLFGDGDETINLVSMLAFDEEMRRQPGQRKQYKSIKLHGAKHGNLLTQEWDWCLEVLKNELKRIGYRDGDTLFGAAYDLRHAPPIPGQPYRVFSRYFRQLTALVEDASRKRGNKKVILFGHSFGGMVALEFMRTKPPAWREKYIKHLVLVAPLPASGFMETVQYYISGSELLYVPTATPLSLRPMWRTFESAMATFPSPAAFGDTRPLVLTRRRNYTARDMADLLADVGAAGAVEPFRRRELPRARYFEPPMVPVTCVNGVGVDTPERLAYWDGDFDAEPEVVYGDGDDAINLVSMLALDEQMRGRPGQRKQYKSIKLRGATHGNILTQDWSLKRVIQEILEANRV >Et_4A_034713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5699704:5702305:-1 gene:Et_4A_034713 transcript:Et_4A_034713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAGGLKGVALIGGGANSTVAGALHFFQDPSSGCTEVRGKVTGLAPGLHGFHIHAFGDTTNGCNSTGPHFNPHNKPHGAPFDDERHVGDLGNIIANKDGVAEVFIKDLQISLSGPHSILGRAVVVHADPDDLGRGIIGLQSAV >Et_10A_000136.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:10318395:10318469:1 gene:Et_10A_000136 transcript:Et_10A_000136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVSKDKSSVKPLLDEWLCNIA >Et_1B_010439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10524428:10538741:-1 gene:Et_1B_010439 transcript:Et_1B_010439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRAEAYCWGRTAERQASRMRERYLRAVLRQDVEFFDLNAGSTSEVVTSVSNDSLVVQDALSEKLPNFVKHVSMFVGSYAVGIALLWRLTLVALPSALLLVVPGCLYGRVLTSLARKIREQYTRPSAVAEQAVSSARTVYSFVAEKRILARYSAALEESARLGIKQGLAKGVVLGTNGITYAIYAFNIWYGSRLVMYHGYRGGTVSIVTTLIVVGGRSLGSALSNVKYFSDATAAAERIQEMIQRVPKIDWSSVAGEELANVAGEVEFKNVRFSYPSRPGNPIFVGFSLRVPAGSTVALVGSSGSGKSTVIALLERFYDPSAGLVTLDGVDIRRVQLKWLRAQMGLVSQEPVLFATSIWENILFGKEDASAEDVIAAAKSTNAHDFISQLPQGYDTQSTTMELELLIPYVEHKLVSSSLVLTMLESIQVGEQGLQMSGGQKQRIAIARAILKSPKILLLDEATSALDTESEHVVQEALDHASMGRTTLVIAHRLSTIRNADMIVVMQSSEIKEMGSHDELIAKENGLYSSLVGLQQTRDSSETIAVVDGTNNAPTTVLQSSSRNISRKFPTASTSSLARSMSHAEHGGNTKKQKHHVPSIKRLFMLNAPEWKEALMGSFSAAMFGGIQPVYSYSMGSMFSIYFLKDHAEIKDNTRMYAFIFVTLAVLSFFLNIGQHYNFAIMGEYLTKRIRKQMLTKILTFEIGWFDHDNNSSGAICSQLTTDANAVRSLVGDRMALVIQTISVVVISWTMGLIISWRLALVMIAVQPLIIVGFYARRVLLKKMSKKSRLAQSESSKLAAEAVSNIRTITAFSSQERILSLFDKSQEGPHNESIRQSWFAGLVLSTSMCLMTCTWALNFWYGGKLMAEHHITAKALMQTFLILVSTGRVIADAGSMTTDLAKGIDAVASVFDVLNRETEIDPDSPDGYNPKRLKGEVEIKCVDFAYPSRPDAIILKGFSLNIQAGKSTALVGQSGSGKSTTIGLIERFYDPLKGVVKIDGRGIQTYNLRELRRHIGLVSQEPTLFAGTIRDNIMYGVDMASEAEIKNAARSANAHDFISSLKDGYDTWCGDRGLQLSGGQKQRIAIARAILKNPAILLLDEATSALDGPSEKAVQEALDRLMVGRTSVVVAHRLSTIQNCDVITVLEKGNVVEKGTHASLMAKGPSGTYFGGLGKSTVIALLERFYDPSAGEVMLDGVDIRRLRLKRLRVQIRLVSQEQASKESQKQQQTRASGRARRRSRTRRAMGGDARGKKDARTQMPWSSFMSLFVHADAADVVLMALGLLGAVGDGMSTPLRLLITGRIANDLGSGPDLLQHFSSRINANAMNIFILACASWIMAFLEAYCWGRTAERQASRMRVRYLRAVLRQDVEFFDLNAGSTSEVVTSVSNDSLVVQDALSEKVPNFVRHVSMFVGSYAVGIALLWRLTLVALPSALLLVVPGLLYGRVLTGLARRIRAQYTRPADIAEQAVSSTRTVYSFVAEKRTLARYSTALEESARLGIKQGLAKGVVLGTNGITYAIYAFNVWYGSRLVMYHGYRGGTVFVVTALIVVGGLSLGSALSNMKYFSEATAAAERIQQMIRRVPKIDSGSDAGEELANAAGEVEFKNVKFCYPSRPSNPIFLNFNLRVPAGRRVALVGSSGSGKSTVIALLERFYDPSAGEVTLDGVDIRRLRLKWLRAQMGLVSQEPALFATTIRENILFGKEDASSEDVIAAAKMANAHNFISQLPQGYDTQVGERGVQISGGQKQRIAIARAILKSPKILLLDEATSALDTESEHVVQEALDLASMGRTTIVIAHRLSTIRDADMIAVMESGEVKELGVHDELIAKENGLYSSLACIQQTRDSSEVSVAGGPDNVSSTILQSNNRNMSKKFSTASRSSLARSMGAAGDGDNTKKPKHHMPSVTRLFMLNAPEWKQALMGSISAAMFGGIQPVYSYSMGSMFWIYFQKDHAEIKDNTRIYAFVFVTLAVLSFLLNIGQHYNFATMGEYLTKRIREKMLTKILTFEIGWFDRDNNSSGAICSQLTNDANAVRSLVGDRMALMIQTVSAVIISWTMGLIISWRLALVMIAVQPVIIIGFYARRVSLKKLSKKSRQAQSESSKLAAEALMAEHRITAKALMQTFMIVVTTGRMIGEAGSMTADLAKGADAMASVFAVLDRETEINPGSPHGYKPTKLKGEVEIQSVDFAYPSRPAVIVLRGFSLSIQAGKSTALVGQSGSGKSTIIGLIERFYDPLRGVVNIDGRGIQTYNLRALRQHIGLVSQEPTLFTGTIRENIMYGVEMASESEIENAARSANAHDFISSLKDGYDTWCGERGLQLSGGQKQRIAIARAILKNPAILLLDEATSALDSPSEKVVQEALDRLMLGRTSVVVAHRLNTIQNCDVITVLEKGNIVEKGTHASLMAKGPSGTYFGLVSLQQGSSPTHS >Et_2A_015210.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:7653998:7654126:1 gene:Et_2A_015210 transcript:Et_2A_015210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRIDELEQSINDLKAEMGSEGMTPPSKVKDEESKPADSSA >Et_7B_054773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4298464:4299444:-1 gene:Et_7B_054773 transcript:Et_7B_054773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNGSSGRSLFEITTTPRASYTAPPRPHGDDCRSATALRPYLASLSYLHLHVSVPVVVWDPSSGTEIALPALRSVLQVFLSEDPLAAPRQHWMAFAIQRRDDAWILIRQHRPSGSTASPSTYDGKVFFTDYNHVLVIDDCDRRHQRGASDLFSGAEPPTRGESALTVPQLPHRRRDADHPCFAEIYRPADWTAKRLELGRRVTDLAGHALFLGRGDAFALRAEDFPWIRRNCVYFLPHGQLSRLYRLLTFDLESGVLKRYPCPQKHKEEGRKWWSYSWFCLKRPFFFFFFKE >Et_1A_009282.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:3719076:3720275:-1 gene:Et_1A_009282 transcript:Et_1A_009282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAGDGGGGRRPNFPLQLLEKEEEQPCSSSAAVGASSAGGNGNGSGAAGGPGGELQQARKAAPKRSSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSFSAPAHLRAALPSPSAAARFGRADAWDRVVGLGFPSEGPASSSSSPSPLLLNFHSGSVGVDVQPSPSAAADLSRKRRWEQEIQQQQQQQQQQQQQQQQYQQQMAGYTTQSQMPGTVWMVPSSNTTPSSGGGNGAGGGSGGESIWTFPQMGSAAAAAAMYRGSGLHFMNFPAPMALQLPGQQLGLGPVGGSGGGGGGEGHMGILAALNAYRTQAATDSAAAQGGGGDGSGSGQQQQHAGSGRGERHESMSTSDS >Et_5A_041104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18362088:18362897:-1 gene:Et_5A_041104 transcript:Et_5A_041104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTICTLQRLLVSWKDNVKRDTATNECHIKKYMHLPDLVAMLSSKSNCAKKVVHGLQCTWDQEAFIPVKIVCHGDYKTCIFFARREKERELKGCWICFELLTIKERSIFRVFLIQYMLLETYAQHNYGISRDIVAFDTMYRVNKYNLPIVPFIGTYGDESKPDHRRGCYNGKQKITLLCRVLTINCVVVI >Et_4A_033624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25086225:25086838:-1 gene:Et_4A_033624 transcript:Et_4A_033624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPCGTPTTTAPPARPCLLLIGHHGGALPCPAAAPAPAFLRQPRQPRADRAVCRVRRRVRYEAEDEEDGEEWGHNEELASLERYSEDARDQALLVKARVDDEVEVVLVFRGFSSSLSGGTAADLSMSVLPERAIIQSVDVVRGPFDPNNIEYLEKGVEWNDFKSRLP >Et_2B_020199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17973962:17980221:1 gene:Et_2B_020199 transcript:Et_2B_020199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGQKRKGRERTVSALPAGKRRADAAPPAMHICDWMSLPPDLTILIADRLLAVDVTDYICFRAVCSNWRASTASPRDPTLRDVRFRPRGWVALYDGDGVLPADAGEVAVLHTSTGRRLRVCLPELHDHRVVGFTDDLLVLLNKRITVVRVLHPFARVFLHLAPIAPVFRDLVKNRWSWNGMEAAVCWSFKSSIAVVAWFRNEPVVVYTEQGHARWFTFGSGLPWPFHGRLFGIRNETGQIVQVYPRHLQYPVVAQIPNNLGRPQMCSCYLVDIEGQMLLTVQHCIIDRHVVGWQPCAFAFFMVDVHRRELAPLNVRQIHLFNSKHRCLCVSSKDLPSISSNSVYFSLTDTDPVVLHSLSGRTFERTSTNSLIHDLKKRIRPSVRPFTLADHLLTYCHHAQWSKGFMFHEYYRIPASWKKVLRKMNEQENEIQVPCLSGENNGGNLAIGSTSS >Et_8A_058344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5441648:5446094:-1 gene:Et_8A_058344 transcript:Et_8A_058344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVEPRQFGRLEPGSAQVRVAGSNGAKAYPPPPSHIPRRSDSPVRGCGFPPLVSPPGRKPDDCSDEEDDEPEDWRDLYGSQLQLEVEPAVHDQRDEGTADAWIERNPSLIRLTGKHPLNCEPPLARLMHHGFITPAPLHYVRNHGAVPRGDWSTWAVEVTGLVKRPARITMDELARDFPAVELPVTLACAGNRRKEQNMVQQTVGFNWGPAGVSTSVWRGARLRDVLRRCGIMPRKGGALNVCFEGAEDLPGGGGSKYGTSVTREWALDPSRDIMLAYMQNGEPLLPDHGFPVRVIIPGCIGGRMVKWLKRIIVTPAESDNYYHYKDNRVLPSHVDAELANAEAWWYKPEYIINELNINSVITTPGHDEILPINAITTQRGYTMKGYAYSGGGKKVTRVEVTLDGGETWLVCALDHPEKPNKYGKYWCWCFWSVEVEVLDLLGAKEIAVRAWDQSLNTQPEKLIWNLMGMMNNCWFKVKVNVCRPHKGEIGLVFEHPTQPGNQAGGWMARQKHLETAEAAAPGLKRSTSTPFLNTSDGSKQFTMSEVRKHASQESAWIVVHGHVYDCTKFLKDHPGGADSILINAGTDCTEEFDAIHSDKAKALLDTSSPRHLESLPLGSYIDVKGPLGHVEYTGRGNFVINGKQRHARRLAMIAGGSGITPMYQVIQAVLRDQPEDQTEMHLVYANRTEDDILLRDELDRWAADYPDRLKVWYVIDQVKRPEDGWKYSVGFVTEAILRDNVPEGGDDTLALACGPPPMIQFAIKPNLEKLKYDIENSFIAF >Et_5B_043233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19286056:19286688:-1 gene:Et_5B_043233 transcript:Et_5B_043233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLQKYVDADKWEAEDVVGRLGMIVHAAFLFAGFVPYGAQPPSGGCYLLKHHPRGTKAASRCLSRWYTSPQLAHRADADAAVLMLFARAGQPRRPRHLPHVANGPLARHGRHGAVGVPDLPGPRQRRVLALPGRAVPPERPAADGIHLPARRRQGACPQVAPGRRGRRQGGVHQQAAPAPRGGPRP >Et_7A_051724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23559026:23561957:-1 gene:Et_7A_051724 transcript:Et_7A_051724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFVLGLTKTAVEGTLSRVQAAIEEEAQLKEKVQQDLVFITGEFQMMQSFLNVASRERARNQVVRTWVRQLRDLAFDVEDCVEFVVHLDRNNKSGWWWRVVPSCVSPPRHLDEVAAEIKLLKDRVEDVSQRNTRYDLIISDEDAGSKNAMPSTTANTLSGSHILHKVWEDTGKLRDIGIQELITREEGSDELQVISLWEDGDHLEMPYVINKAYHDPETCERFQRRAWVKMTRPFNPEEFINTLLNQLFFTGSSPQATSKDLTATAELLQQVAQRQSYLLVLEEVTDVAAWNAVRKFLPDWNNGSRIVVTTMHIGIALLCPGPPYLVSELRRFPSLCAFYRKVPGCRRDMGELLWKMRHGGVIAVECYDEGMKSVVLKRLYCNIRAGWVGVDGVIFKKHRWVCMPFPFNLAEFSRRVLVDVRDEFYDGDVADFVVDITEKLVAGEIRELLRMEDADVINSCRRLMQEGDCLIFIDGVLMNDDWDLIKDNFLSEPTNACFVAISKDSRVALHCVNNDQDRMVRVSRFDDYMERERLQDQGPIFSCRREEARAWISRSFDPTVGQSWKNIETVLRNGPVASVWGISGVGKSAHVRYTYYRDMLKGMFRYDAHCWVDVPHPFSLTELSRRLLLDFHSDDPQNREAVAVGIMEGKDPVQMFRELLRRRRLLRLKDSCLLVFDGLRSTDDWDSLKAAFGWSDTGFHKNQIIVITNEHNVARHCVDNEDRRVVNVKGLDADTATCLFNQK >Et_3A_023083.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:25533730:25534218:1 gene:Et_3A_023083 transcript:Et_3A_023083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSGAWGSARRPRSISATMASAMPRSSAVGVANRCSTSSITAAAFLFLSSSTSRRRRRLRDTTTATPTAAAAAAHTTTAVPTTAPFPIAPARLLLTSRRTLPASRSSRAHVRTPDQLGLPDRELRHSGLVPRELSRSSPHFSRLLFLRLRRRGSGSRATS >Et_5A_041826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3120477:3121811:-1 gene:Et_5A_041826 transcript:Et_5A_041826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEDVKQRQIIEERARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIKAGKNDKEIYQKLRDDFGETVLYAPKFDLQTAGIWLSPVIVGGIAAGVWAYQKHRQRTNVHIMALNLVGGVPLTPREKETMLDVLTPPPPPRKWWWPGK >Et_3B_030264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31863987:31864572:-1 gene:Et_3B_030264 transcript:Et_3B_030264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRRLSVLLVVLPIFWTAASAARSSTLHLARSRSSVVSLDSGAPITIWAATLAAQSAADAARTLLVAIDPSNDAAWAPSFSPTQSSTYRPVRCGSPQCSQVPRPVTYAAGSTFQGLLGQGYLALDNDAVVASYTFGCLHVTFAFAGAVAVTLPEENVMIHSSSGGVNAALNVPASMQQQNHRVLFDVAN >Et_8B_058936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11138307:11141705:-1 gene:Et_8B_058936 transcript:Et_8B_058936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTLFDVFPLTHLIFMCFTSNGSRISGIGGGARPCAKSGDRKKNRIDIKVCVDHQETFVEQLLSREQRLKLKWLCWSPQQNAEGYDLLKDATNNFSSRNRIGFGGWSAVYKAHIGGLEVAIKRYPTNATSHASQFDNEFQILKKLQHKNIIKLLGRCAGQGEGILVYEYMPNGSLDKFIFDARSGASIDWMSRFHIMEGIAQGLLYLHVHEQCIVHKDLKPSNILLDSDMNAKISDFGIATVLRSEFYHDTCISGTCGYMAPEYLREGILSPKVDVFAYGLILLEVISAKKSSVPWFQGDKYVTLTGHAWHLWATMRFSELLDPLLCNGQPITDITRCIQIALLCVQEYPADRPSMSEVLLMLYNRMTIPSPKLPDDYQSIFVYDPEISP >Et_1A_009040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26700064:26702431:-1 gene:Et_1A_009040 transcript:Et_1A_009040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRERRLPPPSFRMENPFSMKVLQVFTGFGVGCGVGIGVGRPIYLGMIPGLQQVMSATSGATNAFSGITRHLRKAGLNNIEAGIGCGVGIGHGFGIGIALKPRVLHEIQSSVGELMSKVTSRLKDTPETSSESNPVTGSLSSNKKTHNGMSMDLDAKTAERNFDHTASNETSRLQLTHVPHGQNGAPPEALTGSRTEKSPLFQNDLKAESRDASGNLHGMDNVLQLVIKHQRVIEELQEENENLRQILVEELKISPTKLQTDRKHGVNAYYPCSDCFECRRRSRKTTR >Et_7B_053619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10380431:10386704:1 gene:Et_7B_053619 transcript:Et_7B_053619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVILEVEADQDIGCNLDAKLSAGLVILKMLRESSSPALDADRVEVPSPKEENNSTNSEAGTDTEDFEISDDDDDDRNHKHRRRESRPQSDENTEEQHPGTPVKKRSRVSGAHSRAPRMNQSFRSDSSASAGTRPSMTRGRGRNAAPWTQHDPRFNTLDMIDFASQGPPGHPGLFMGAALPSGGNAQNGSWGPYGFIPGMPHGILDPIHPLGLQGPMQPAVSPMIDLGMPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVDDMQSLSQFNLPVSGPNVQAPGMQNEGGASSVNLSSLGSSKGVPAKDVKSSVASDALKPNGNTALIVADADVYDPDQPLWNNEHSEAPFAGFTHADTGVWNAESSGYEIEREQAFAADGLQSSKSSVWGRIASKRKSGPGGNTAKTTSTGITGNQRSNFDEVATSSAQVKSATAKDTNGLSYSRISGDMGRQSNRSSHKASRTLYVHGIPPESNRWESLLSHFQRFGQVIDIYIPSNSEKAFVQFAKREEAEAALKAPDAVMGNRFIKLWWANRDRIPDEGESRNAAKSSQLSTALANSSSQPSYSNRVKESFQSTTPRASSGSSAEVSGSGTGPKNPPATSIKPVPPAPKRQESLELLEELRKKQEILAQKRDEFRRQLEKLAKQKAPGNSSKHEAAGKEAASGDFLKETDARSMNSRAEGSQETAGTLEKRSSGELASCSQKSGSTSTQKPAVAMKQTSLLVSPQNRFKLDNRATSFRILPPLPPDIANESTLADHFSSFGELSSVVLEDTEGHNQDETLKPSLSCSACVTYTTRQSAEKAFLGGKSCKGHALRFMWLTASPGSNNSSIPPKTHPQSISSDSLNPVGKTSSGTAANPHNKSVSTAENAKTSVGISEASDSTSSQSSNVECPPEHGTTWNGVSDSDLPQ >Et_8B_058544.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:15123574:15124068:1 gene:Et_8B_058544 transcript:Et_8B_058544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNAGIGGALSSSIRESTKEDFERVLAVNLVGPFLGTKHAARVMIPARRGCIISTSSVASAVGGTTSHAYTSSKCGLVGFTKNAAAELGRHGIRVNCVAPAGVATPATRVALGLDDDAMEAAMESVANLKGVGLKADD >Et_3B_031234.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:22154471:22155583:1 gene:Et_3B_031234 transcript:Et_3B_031234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHFTLLMIMASLLLATKPAASVSNPTAISADLSALLAFKVQLSDPHGVLRGNWTADTSYCGWIGVSCGRHHWTRVTALELPDLPLAGALAPELGNLSFLCSLNLSNTALTGPIPGDLGNLPRLQSLDLSSNYLSGTVPSGLGNLTALQVLDLDSNNLTGEIPHELHNLESIVFLILSRNDLSGPVPQGLFNGTSPQLSFLSLAYNSLTGNIPADIGFSPNLQVLELSRNQFSGQIPPSLFNMSNLQWLYLSRNNLSGPVPNNQSFNLPMLQRVNFYKNQLTGLVPPSFGACRYLQILNLAYNQFTGGIPMWLSAMPDLIVVSLGGNDLSGEIPSSLSNLTSLTTLDFTTSKLHGKIPPELGQLTQLK >Et_10B_004045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9745283:9747831:1 gene:Et_10B_004045 transcript:Et_10B_004045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHREPKSHRPSLTLLFRKALSTVWPLDDSPARLLPSRLIHPWRQVLPQVLDRPRTASGRYTLYNYLDMLYALYGPYWRQARKLWQTKLFSTSRLKLMHPLCDEEMRATLRDLSAASSAGSVLSTNVISHMVLGKKYIVQGVAGSPITPEEFTGMIEEAVYLNGALNIGDLIPRLNWPYAQGYIKRIKQLHAKFDKFLDHFLGEHDERRRREGEAFVATDMIDLMLQLIDDPLSLEVPIKPRWCEGFYYDIPNLPYLEAVVKEAMRLHAVGPLLTPRLSREDTTLDGYVTPAGTRVFVNVWDIGRDTSVWGGHSRRVLAGIFFSNLLLEIVGEV >Et_2A_016099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2160675:2165336:-1 gene:Et_2A_016099 transcript:Et_2A_016099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLGAMPGYGIQSMLKEGHRHLSGLDEAVLKNIDACRELSAITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAARAQQEEIGDGANLTISFAGELLEKAEELIRMGLHPSEIIIGYNKAINMAIQVLEDLIEKGSENMDVRSMEEVVLRMRSAVASKQFGQEDILCPLVADACIQVCPKNPANFNVDNVRVAKLVGGGLHNSTVVRGMVLKNDAVGSIKRVEKAKIAVFAGGVDTSATETKGTVLIHSAEQLENYSKTEEAKVEELIKAVADSGAKVIVSGAAVGDMALHFCERYKLMVLKISSKFELRRFCRTTGAIAILKLSRPNADELGYADSVSVEEIGGTRVTVVKNEAGGNSVATVVLRGSTDSILDDLERAVDDGVNTYKSMCRDSRIIPGAAATEIELARRLKEFSLKETGLDQYAIAKFAESFEMVPRTLAENAGLSAMEIISSLYAEHASGNTKVGIDLEEGACKDVSILKIWDLYMTKFFALKYAADAVCTVLRVDQIIMAKPAGGPRPQAGPGMDED >Et_2A_014938.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:22430959:22431072:-1 gene:Et_2A_014938 transcript:Et_2A_014938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKVSVSHGMASAPSCRNPNSSSAFSSSSRNTGWPR >Et_5A_040288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10975567:10977966:-1 gene:Et_5A_040288 transcript:Et_5A_040288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGGNFTLSSPGFAVSLAGKTSADEIFGPVYSSARFFNTTSWYTISVLPGSYCIRLHFFPATFMFGNFSANSSLFDVTANDFKLVSKFNVSEEILWRISSSNSTISAVVKEYFLVVGARGLLIEFDPSPDSFAFVNAIEVMLTPDNLFNDTVNRVGGAGAQLPLGLGDRGVETMYRLNIGGPELKSSSDQYLHRPWYTDEAFMFSANAAQTVSNASSIGYVSSNDSLIAPIDVYETARIMGNNMVVDKRFNVSWRFFVHPNFDYLVRLHFCELVYDKPSQRIFKIYINNKTAAENYDVYTRAGGINKAYHEDYLDNLPQQADSLWLQLGPDSMTSASGTDALLNGLEIFKLSRNGNLNYVLGHIDMPNEKNSSKKKKKIDLWEEVGIGSASFVAFTSVVLFSWCYIRKKRKAVKEDPPAMKSTTDARSASKSSLTRNASSIGHRMGRRFSIAEIRAATKNFDESLVIGTGGFGKVYKGEIDEGTTVAIKRANPLCGQGLKEFETEIEMLSKLRHRHLVAMIGYCEEQKEMILVYEYMAKGTLRSHLYGSDLPPLTWKQRIDACIGAARGLHYLHTGADRGIIHRDVKTTNILLDDSFVAKIADFGLSKTGPTLDQTHVSTAVKGSFGYLDPEYFRRQQLTQKSDVYSFGVVLFEVACARPVIDPTLPKDQINLAEWAMRWQRQRSLEEIMDPRLDGDFSSESLKKFGEIAEKCLADDGRSRPSMGEVLWHLEYALQLHEAYKRNVENESFGSGELGFADISFSLPHITEGEEEHHSKPSSIKEEADTR >Et_7A_050696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10204095:10208909:1 gene:Et_7A_050696 transcript:Et_7A_050696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPLDEAKSKTVLRQVEFYFSDSNLPRDGFLRRTVEESDDGLVSLALICSFSRMRKHLGLEGDVKPETVPEETVLAVADALRNSSVLRVSEDGKKVGRATELLKPDEVIEQVDSRTVAVSPLPHNVKLEDVESFFSKYGKVNSVRLPRHVSDKRHFCGSALVEFSEEDEAKEFDAEREAKREAHEKSKNSPEGYPKGQVLSFNLKKISGDGDMVQNGADKVDDTNSADKGASNTTVNSSVEHEEKISENNKDMSEVKSDSVEELKGVAAGETAQSIDKDDKSPADNDQDIILREDIKEEFTKFGTVAYVDFRRGEESGYIRFEDSMAAEKARAFAALADEGGFILKGHIVTLEPVTGQAEKDYWSAIRGRHRKYKDSQNNKGRDGQSSRGGKQFGGKRGRHFDSHERTSNKAQKV >Et_6A_046102.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:24386336:24386728:-1 gene:Et_6A_046102 transcript:Et_6A_046102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPEAKKKKKRTEVLRVNQEYINFLLEQRPRQPFVVWSDETIDGLYADQPERGEQVRRHQAETAALLKRLQDEEADVLRQYRAKEYAEVEVEVRDDGDDGQEDNSSHGSDGVEDTKTSDVAGHGVTRL >Et_10A_001451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3490302:3493087:-1 gene:Et_10A_001451 transcript:Et_10A_001451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQPSSRVPASPSAQVVVSETLPDPPLEDEVEAWLYPLVLCDEPVTGQDELGGDGNVTGMPVHDQQVKPAKFMEEPPPTEGKSSERRRRKINERLKTLQQLVPGCDKCNQASILEQTIQYMKTLEQQIQLHASNVQEMSIGCNMQPASAAVAPGVLVKGQVRPGVVFGLPPATIPTVPLVPMLPLVHHPAVMMNAAALMMQSAPAAAVPNVVTAPPSLARTEDPLQADSCIFLLNDIHVKWKLQNSWGQKFQEPLPKGSGFDRVSYSGNYHESGSSTSIGSMPPRFQKKLMGGIADQLKSLAEHGMDIDGQQDALKTGRAAGDEDEETAPKRSKKDPSIKRGSPNALIWRGTD >Et_5B_043284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22019941:22020366:-1 gene:Et_5B_043284 transcript:Et_5B_043284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQESTDLLESSVLLDETQYQDGFRDGYADGWASGKEEGDQGAFSDRVKKNIELMDALVSSYPISDPEDEQVQEIMGKIRLKFRVITASLGVKLEHEGRSKPLNQGFEDL >Et_1A_008165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4892531:4907159:-1 gene:Et_1A_008165 transcript:Et_1A_008165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQGIKTRLLDWSSVSNYPLFRSYVRSNYGSLVGVYDTMLVFDGAKLKWSKVSYTPAVFGIVEDFILKAVLTEGVDTLKVDFSTDRYLTVGHNGRCMDFEVNGRIVEGKSMPQLIFGGSNGNMNMADWEEKFKSYRANPAEPSLEQIIYMLSGELEIELKDAAKRAVYKQVLRSKTHQDMKPEIGSYDGSHWSRVRFKLFGTSTLGLEELIKTRLVEINATIANKVSIEYNSQTLDVKNFSQLVDVYPSMILEKRPDANKRSSSWLYECYYSFINGRRTKDDATYINLVIKRMLEHARKKDRNVNEDELKSNMTLFISCLDAPSDAEFNNRLSGIDNISNIARKKCEKMKDLDDAIEAGGKNSMKCTLILAEGGSTKSFIMSGMGVLCREHYGVLSLMGKVENVRDSDVKDSMSQIIQNIMNALGLDFEARHKTAYKLRYFRVMLMMNQDADGIRIKGLILNSFGKYWPSLLKIDRFLSDFTIPLVKAKAKDGGAEEIFYTTESFNRSDVKRDIDSWSIIRIKEFSRFLIGDLLRSVPSMMDGLKTSQRKGGKDHSTAYYLYVELNDITKFIFRDDDKDLLEYLEEDGKYLPVVPMSLVNGTTGIGSDWGSSIPNHHMNEVINALFKLLRGPTIAEDSNSISLKPGYRGFKGRVEKSTDNEHGIKCTIYGCATEISDTRFQELHEDENNLRFRVSLDKGNMMFARKRGLLEAFNLVRKMAVETLNLLDEMQELRQFDNSEETMDKGEVKQMNRKKLIAKFTEKGYKAIPNDGDSGFEVEGTSASSASDRRTRFGP >Et_2A_017903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7739001:7742246:1 gene:Et_2A_017903 transcript:Et_2A_017903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDLWRALAVLLLAAVRTSLAQPRVYTPFMPALCSTNNNITAGSRYQINVDQLVHRLRDGAASNEGFFYTSHGDQPDMAFGLVMCYADYSWDQCLFCLDAAAACVGAGCPYSRTLSVNYDMCLLRYSDANFYGDLDLTLTVYWVRSLTNVTDVASMNKTRWNLIGQLTSEAIGLPRRFAYGNQSYVDSQGKLQMMYALTQCRRDLESGECNRCLNNAREELGKCVPTDTAGYLLEYSCYIRYNLTGPMNIIQPPPPAKWKLIKVIMVVACGSITGTVALLLCLHVARYYYLQWRRGRTKSAGSLTFFRGEAVEIVELEQGTGSKRFSYDELAAASDNFSDNQKLGEGGFGLELNLPVAVKRVSKSSRQGWKEFMSEVKIISQLRHRNLVLLIGWCYDVGDDLLLVYELMHNGSVDNHLYHPDPKKQLAWPTRYKIVLGLGSALVYLHHDTEQCIVHRDIKPSNVMLDASFGAKLGDFGLARVIDDGRRSRTTALAGTTGYMDPECMATGRTTVESDVYSFGVVVLEIACGRCPVVTLQNGSTVHLVQRVWELYGAGRLLDAADARLAGDYDVQEMERVMTVGLWCAHPDRSLRPTIRHAVNALRFDAPLPSLPASMPPIAAYVTPPAGPLLGAVTESHSMH >Et_4B_037662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22336712:22337284:1 gene:Et_4B_037662 transcript:Et_4B_037662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARARACLLAAAAVSLLFAAASAQSGSGSSDCTSALVSLSPCMDYISGNGTAKPSASCCSQLRTVVQSKPQCLCAALGSDASSSLGGVTIDRERALGLPAACNVQTPPVSQCGSSGERPGPWSFRGIGSSGGGSKTTPSLPSGGASLGAPAGLVIGLAVAAVYAVSLAA >Et_4B_036683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10340316:10342129:-1 gene:Et_4B_036683 transcript:Et_4B_036683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPATLPPGFRFHPTDEELILHYLSKRAGSSPCPVDIIADVDIYKFNPWDLPCEQTTPPSPARSNDPCKIPITSSQAVLIISNCSNSRVFCVCAAKAAYGDKEWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPISSATTGESVGVKKALVFYKGRPPKGTKTNWIMHEYRLAADAHAYRPMKFRNASMRLDDWVLCRIYKKTSHASPLAVPALSDHEQQDEPCGFDVDQAPYATPTAGMILQGTATAAAAAFPMQAAGGGAQRMPRIPSISELLSDNYSLAQFFDDGGVPDMAWLDQQQHHQTALLGHPVSSQLLINNINGGMSGGQFLMVDSSASTSSAAGDGVAGGKRRRSEESGASALTSHQLAAPAKKPNGSCIGATFQIGNGLQGSLGQLGHHQMLLHPNMGMNR >Et_4B_036374.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:20652097:20652258:1 gene:Et_4B_036374 transcript:Et_4B_036374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELTDEDKAIASEVFEDDKNREMFMKHKNHNVRLIWLRRKIRYVYYFIPSYI >Et_3B_028353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1401063:1401920:-1 gene:Et_3B_028353 transcript:Et_3B_028353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALAMLLAACLLHGVADGHKPFNAIFSFGNSYADTGNFVRLAAPFIPSIPFNNLPYGETFFGRPNGRASDGRVILDFIAEAFGLPLVPPSLDKTQNFSKGANFAVVGATALSLSYFMQNNITSVPPFNSSLSVQLEWFEQLKPTLCNATQGKETYLVLGCEDYLGKSLFVLGAFGGNDYVFILAANKTLEETRAYVPTVVKAIADGVEVMSNISAAACFCHLKFHSFFFC >Et_5B_043733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14972881:14977736:1 gene:Et_5B_043733 transcript:Et_5B_043733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGVRNGMLQKIETVRAWWRFHRTEREETTEKRELRQLSCSLFSRHVFQSVSSRRAQTPNTQAVVPIGDSSVAKSNLLSRIARNRFTHHSRPTIGVDIATRNVQSVCRREQGDQDRDVRRSVAKPLPEASVAMEERLQWSVWMTRAER >Et_3A_027362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:981696:982202:1 gene:Et_3A_027362 transcript:Et_3A_027362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQQEVYFVFMNFDPVYERLRADRSKDGSATLDAYLSHKHDKLLAKLLQPDSYLKRSSLAIVDGFAVEITDAQASILRSAKEVRVVEKNQELA >Et_7A_052596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9666946:9671084:-1 gene:Et_7A_052596 transcript:Et_7A_052596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVAALIFLVVCVQLGTSSSTGIGSYFFGLWSRTHHHRFSALAPAPSPQPQRPIIGHSGHRHHRKRTHASPPPSSSSSERQDCSGTTCSAPLTSTPIGSPCGCVYPMQIQLDLGVAPYQMFPRIDELEIEIAAGTFLKQSQVRIMGAGSSIQDPEKTTVTIDLVPLGQKFDRTSALLISNRFLQKKVPIKPSIFGDYDVTYVHYPGLPSLVPIPGSLGPVSSNEYPFGANIHNGSHHKINSKMVPIIALSAVVLVLICFAIAIFWRYKGRERSHTTGHISNSSFTRKGGTRSSFSSMSSSTASFDSTIETYPPTVKTFSLSELEKATENFSFSKIIGEGGYGRVYRGMIDGDVEVAVKLLTRKHQNRDREFVAEVEMLSRLHHRNLVKLIGICIERSTRCLIFELVPNGSVESHLHGSDKIYGPLDFDTRMKIALGAARGLAYLHEDANPHVIHRDFKASNVLLENDFTPKVADFGLAKEASDGIEHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMTQLPGSENLVTWARPLLTSREGLQRLVDPSMPSGYDFEKLAKAAAIASMCVHVEASNRPFMGEVVQALKLIHTDSGGDETRSGSFRGGDGGGGTEEESSPWGDGSRSTWNEDAAPATPAFPRRDYYSGPAAGAAAETPPRPRSASSAVLDKIESLAMYDWSGPLRAKGRHNSFYRLRGSMSEHGRPSDDGSVEGYWM >Et_2A_018242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1989007:1991122:-1 gene:Et_2A_018242 transcript:Et_2A_018242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIVKESVALLGTPEDGAVQVSVALDQERMDDFEASFLSQLHGSDIILMYESGLKPGFPDVAHGNSGFGHVNIFDNIFMIGGIDWEVGTIGQIKGENI >Et_7A_052923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2638035:2640070:-1 gene:Et_7A_052923 transcript:Et_7A_052923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVSSMEKELDYYWSLGEILENAAGSCMIYKVQQHIREADRFSYEPFVVSIGPYHHGSSAVQAMEKEKLYYLDYILKLNREKTLLDYLTAIEAEGLAKQARHCYSETIKMNYDEFLQMLLLDGSLLLVSVGATKEIERQWWKVYNERSNVPTDETDRVVGTTDTASSQEGDQSNNGGQWFIRFFNHDILLLENQIPFSIIKKIYNLVSGEESDGSQCANDIAEYIESALRCYPKAIRQSDRPKSFHHLVHLCHMYFRPSQEVEDGHHHKIGRRSLIKFFSFGLKYFKLSHESDADERHTEPEHDLDRQQAETQLNRRRRAAQYLEAGVKFKKREYSELDPHSLLDIKFIHGIMEIPCLIIDELTAFLFRNLIAFEQTCPQFGDDFTAYIVFLSQLISMPEDVTLLTQREIIVHHLESDEKVSDLFTLLSKDEGDSDYMLHTIETPFSNGH >Et_4A_035390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22897764:22909373:-1 gene:Et_4A_035390 transcript:Et_4A_035390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSEHVLADRHDCFHSGKENSSLYRLVDRNDVPETSEDWMHHQQTPLSNITADLNGVATPLERFLSNQEREDQQTPLSNITADLNGVATPPERVISIQEREELRKAKNRVRMQEYRKRKREEREQATKGTNSEMTASPVTSERCADRTNIKRNGCMRMIVEHTQGSGVTEQDRGPALEERLTVNGMPGATNHTDAKTYSSQLDGNNGDVDDDSWLHRNDDWRPDSSPVIGNYDGVTHEAAECVTPEDLKRSKKALYMKEYRKRLREEAATRAAAAREAASNVSRRAERGGKTGLANLLLNKLKGDDEFGHHGTWEPDRTDPTYFDEEDLDAADPGIDDDLPVEEQDEEARLYGLRDEDEELADIIDPEQGKGEWTLSQFYKHPDFRDNVEVHQLASTLNHWPIREYKISDVEECLVPGSNVNLKDWDLQIPFGKTRHERVSCGLFLLNFAEYWTGDVLSDDFNQTDMINFRLKLAAILLGSELNERKGIDLTLDDSDDSDDGDMDGVEILENSPDVFHGSNQKRTDFVYSSRYSLSIAGVPKSDVELMCELWWYIYGIDAADALKVPLFNTLGAK >Et_5A_041682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25879374:25883700:-1 gene:Et_5A_041682 transcript:Et_5A_041682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTVMTSIAFVAGRSPSATLTPRRRAIVAKEAKPSTGLWDALAFSGPAPERINGCFAMVGFVSAIAVEASTRGDGLLAQAASGAGQAWFAYMVAALSMASLVPLVQGESVEARSAGFMTANAELWNGRLATLGLVALTVTEYLTGAPFINANEKAATRVHFALARSRSLLQFSTFPPNILPAMATMAAVASFSPLAASTFAARPPMAALAPRRRALVVRAQNKDNTGRLVDAFAFSGPAPERINGRLAMVGFVSALAVEASRGDGILSQAGSGSGLAWFAATAAVLSVASLVPLLQGESAEDRSGGFWSADAEIWNGRFAMVGLVALAATEYLTGTPFINA >Et_10B_003570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3201860:3202589:-1 gene:Et_10B_003570 transcript:Et_10B_003570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTYYNMQKLKEGAQDHVAGAVAHDLGVAAGPAIQQKGLLSLPPAQPRHELAEIAARRPSSTNTSSGDDTVSSSDWLHQNLLRSNGDGAISRMMMRPPLPSLEMSLGRQGWQMEPCGGGGEFESSPSANKEHIVGAARTRTPSATNYNVHSPTWGDGRPTHPPSALWTIDLGHGPS >Et_8A_057492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3575292:3577151:-1 gene:Et_8A_057492 transcript:Et_8A_057492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLTLLAGELGDATDFEVDGVNNLSENDVSDEEIDAEELARRMWKDKIKLKRIKERQQKLALQRLELENSKSKKMSDQALRKKMARAHDGILKYMLKLVEVCNARGFVYGIIPDKGKPVSGASENIREWWKEKVKFDKNGPAAIAKYEVENLALRDRKEDIYSSSDEYDVDRSEEPPRSTSSKDEEGGPQLVLPIQVEQTSTRGNRRRRHNKHSDQVLGNEVTNKLRKRKSPPGISQVAEHDVKVIQRNDNNPPEILSDTIHDMNRFDLVEMVSAPNLLIGFNHISTTGALQQQGSSQMNFLSTGAAINNYNCSQAANATQSSIYLGDQPLACESSNIANSWSANSFQQDQPLPLAVIGPAGFNSPTIVHQTSSMQQSLPVSMNHQVPTMETGVLGESTSYSHDMAGSVSSSAVAGHAHQFMDDALYSEPAVGRPFEGLPLDFFPTTNQLLDIDNLLDDDDLMQYLGT >Et_6B_049967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2107504:2118458:-1 gene:Et_6B_049967 transcript:Et_6B_049967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRMESVDDLIEEVKTRTVWWALCIFAISYFLTHSSKSMWTNVPMSILILAFLRYLSFKVEFRWREQPVHKQPYLSQVSKRQLSANDHRLSTVPPVSRWRRKVGSPSVEAAFESFIENILRDFVVDLWYSDITPDKEAPELIRGLILHALGELSVRVKEMNLVDLITRDMVDLIGNHLDIFRKNQSLIGVDVMGTLSSEERDERLKQHLIVSQELHPALLSSEHEYKVLQGIAGGVMALVLRPQDAQSPLVRCISRELMTCLVLQPVMNFASPIYMNELILFLLNNKDTSNGGGDTNVANSGAVTLANDRSPCKRGSQGFQMESKNLTVEPSGFVPANDSGMRSLVAYEGGKSKVSENDHGSATQPRQPDWAVVLDAATKRRSQVLAPENLENMWAIGRNYQKKMVKAEHSSKLKGSGALANSPNAAGVGKELSSNFNEKITSVDDKYMVNLMQNTNRNAQSTFVTGSHPLALHGSNELKLKEGSQVNHNSKEKPVEATNSTKAQLKRSSSTPDIEKKYFAKSNQSMATSESLNARKNPDAKGAGPASHGEVTLHLPKIRCRVVGAYFEKLGSKSFAVYSIAVTDADNKTWFVKRRHEEIPNYSLHLPPKSFLSSSVDDYLVHQRCILLDKYLQDLLSIANIAEQHEVWDFLSATSKNYSAGKSTSVMKTLAVNVDDAMDDIVRQFKGVSDGLKRAVGTSPSAATSQLLDNRMSLSWNQDETYNNNLLHRNLESTHSLSDGDSNYEDLTSSVNSGCHSDNEVNDRGTSNDTKNIEAHSGLDTQIREQMEKPARAFSDSSNMSSLNTFEDPTGIPPEWMPTNVSVPLLNLVDKVFQLKRRGWIRRQVLWISKQILQLVMEDAIDEWIIRQINWLRRDDVIVQAIRWIQDTLWPNGIFFTKLDGYKGNADASQFDKQPSGSADGNRKNVASSFELQLEASRNASEVKKLLLGGTPSTLVSIIGYKQYRRSARDVYYFLQSNVCVKQLAYAMLEQALVTIFPELRQLIDDIHEKDRKEQASFTYQL >Et_9A_061511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12838383:12841725:-1 gene:Et_9A_061511 transcript:Et_9A_061511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEPVVEHPEATAEEENDASAAPAGEEEDTGAHVAPIVRLEEVAVTTGEEDEDVLLDMKAKLYRFDKDGNQWKERGTGTVKLLKHKENGKVRLVMRQAKTLKICANHLVVSTTKMQEHAGSDKSCVWHAVDFADGEVKEEMFAIRFGSVENCKKFKDLVEEISESLTKKEDQESEDGSATAGLLEKLTVSETKPEEDANAASTGSGKETETKAEATP >Et_1B_009773.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26004178:26004477:-1 gene:Et_1B_009773 transcript:Et_1B_009773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSTWTNRSTRQGMAMAPWCRKPYSRSASSSSAPKSGCLRWPSGTAKRRCSSPSRPTRTVTHPLGTICCCLCPCMVARKSLPGFLVGCSRREVKRERS >Et_3A_023361.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:23087616:23087723:-1 gene:Et_3A_023361 transcript:Et_3A_023361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHFTGKKPFHLSCCQKFIFLLKSTGPTISATPQN >Et_9A_062168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20239245:20244092:-1 gene:Et_9A_062168 transcript:Et_9A_062168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSPKHVLGGVHDAPAGRENDLEAIELARFAVAEHNSKTNGMLEFERLVKARQQVVAGTMHHFTVEVKEGGDKKLYEAKVWEKAWENFKQLHSFEPVAAAPPTGFRSYIYIKKSETMGRFSSESYGREAGVQLLPHDV >Et_2A_016766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2792373:2800641:1 gene:Et_2A_016766 transcript:Et_2A_016766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKRLAYAAVLPLLLLSLRILPSDSPNGVGGGGGGRAKTLDPTASRHVVRFVEYRHAEEHREYLEAALRGAASPAESWRWIERRNPAAAFPTDFAVLEIRDAHREVVVAAFRALGRVRDVHADATYSRGVLSADRPPPWRGKQFSAMSFEGGEEEEVVCSPRGNSSSATLRRKLLGQRSQVTSLFGAERLWRRGFTGRNVKMAIFDTGIRANHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGQDAECPGFASDTEIYAFRVFTDAQISYTSWFLDAFNYAIAIGMDVLNLSIGGPDYLDLPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNNHIASFSSRGMTTWELPHGYGRVKPDVVAYSRDILGSKISTGCKTLSGTSVASPVVAGVVCLLVSVIPEDQRKSILNPAAMKQALVEGASKLSGPNMYEQGAGRLDLWQSYEILKNYQPRASIFPTMLDFTDCPYFWPFCRQPLYAGAMPVIFNATILNGMGVIGYVKDQPVWQPSEDVGNLLSVHFTYSDVIWPWTGYLALHLQVKDEGSQFSGIISGNVTLTVSSPAAHGESSPRVTTCVLYLKIKVVPTPVRSRRILWDQYHNIKYPSGYVPRDSLNVHNDILDWHGDHLHTNFHILFNMLTDAGYYIEVLGSPLTCFDASNYGTLLMVDLEDEYFDEEIQKLRNDVINKGLGVAVFAEWYHVDTMVKMTFFDENTRSWWTPITGGANVPALNKLLAPFGIALGDKILTGDFSINGEQTHYASGTDIVQFPAGGFLHSFELQENSKTGQDHIGTLDTQQAQEKSKLSSILGMMEAGKGRIAVYGDSNCLDSSHMVTNCYWLLRKIVDYTGNRVKDPILFSESAKLKFPVFENIHQASRRTDVNFSIYSRVIGKELICHQDSRFEVWGTKGYGVQVQPTGISRKLDEGSITPNLTIKDFDDRQEEIGFQRNLSTRSDAKLDDKRDYFGFIGHEEVDIGMLMASQWMVPCFVATACLMLYLSCRVQQKRRRRRKGSVANRLTSMSQSAQLNLPLDSTQKDIV >Et_2A_015951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19489940:19492536:-1 gene:Et_2A_015951 transcript:Et_2A_015951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPTPEPAYQSGDQLYSDLWHACAGPLATVPRPGDLVFYFPQGHIEQVAVATNQVAQNQTCLYNLPSKMLCRVLNVELKAEPDTDEVYAQIMLTAEPEWRQPRRRVRSGSIATLPRPAVRSFCKTLTPSDTSTHGGFSVLRRHANECLPPLDMTQSPPSQELVAKDLHGMEWRFRHIFRGGPRKHLLTTSGWSAFVSSKRLVAGDAFVFLRGENGELRVGVRRAMKQLSNVPSSVISSQSLHLEVLATAWHAINKGHMFTVYYKPRFTGTIVGCENLDPLWPESSWRYLKVRWDEPSTIPRPDRVSPWDIEPDFSSLIDAMARHNCLIKDYCTSQINV >Et_5A_042515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1805089:1806054:-1 gene:Et_5A_042515 transcript:Et_5A_042515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFGAMQRVLPGKSRALSSFVRHSSAASDPQRLAGKVAVITGGASGLGKVTAAEFVRNGARVILADVQDDLGHAVAAELGRDAACYVRCDVTDESQVAAAVDLAVARHGRLDVVFNNAGVSGALTPTPISALDLADFDRVMAVNTRAVAAGVKHAAGVMVPRRGGSIICTASTAGVLGGVATMVPYTVSKHAVVGLVRAVAGELARSGVRVNAISPHYVPTPMAMGAVATWFPAAGADELRRIVEGMNEMEGQVLEPEDVARAALYLASDESKYVNGHNLVVDGGFTVTKPPNTTPAR >Et_8B_058627.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:11255672:11255914:-1 gene:Et_8B_058627 transcript:Et_8B_058627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGEDCSFTKHMRIKSRLIIRRNLSRYQSPCPSFFENQKDPFRVYISSFGIWAKDLTIDLGHIRVPNRISLERRSFVSW >Et_1B_010548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11532960:11533941:-1 gene:Et_1B_010548 transcript:Et_1B_010548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTLLESQLIMQSGRSGPLPMHPNAQPNTCVKQPIMHCIMPFRSPGSAPASGDVVVDEDTSAAVWSAAPASATSRRTRMLLARLGGRAMVVVVGGTCIELSSDEVV >Et_1B_010064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21543437:21544039:-1 gene:Et_1B_010064 transcript:Et_1B_010064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YKAVVRCTKAVALRQELGQSWPLPNEKQFKYSRTDWLLVLLSTVDKEIGACILLCLSRAWHLRNDMVHGKGTGSVIGSALFLIRSKGSAATVRKWESPPDEWVKINFHAGFQETTGSASAGIVIRGADGNVILISWRLLRRCASGVEAEAEACLEGVRLAVEWV >Et_5A_042441.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1159700:1160179:1 gene:Et_5A_042441 transcript:Et_5A_042441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIGPAPGTAPGGGMTTPAPSWRFSSISTSGLTAAAIVLLLLYITGRFLWQYNKIAAAEAQAASAASSSRAALPTSLPVSRGGEAVSLSLLPVLVHVGAAEKKAAAECAVCLAEFGVGQAGRALPRCGHGFHEDCIAAWLRLNTTCPLCRAPVVAPPK >Et_9A_061531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13287176:13287650:-1 gene:Et_9A_061531 transcript:Et_9A_061531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWPPQEECSCCVAARAKACCSACIAVGGSDMVCKNTCCFPCFLTDSVAAKMEEMGILAKMLEIQA >Et_4A_031759.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:11075088:11078508:1 gene:Et_4A_031759 transcript:Et_4A_031759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGIADAPSTEHDSNDTSSNENDDVQPPEKSAVVVEDTENKDHQNFEGQNASSSQESPADLANSTANGAQLDYGNVESLSVEQCVETSEACKESENDDSECRLNASESNILSAERNKVTVGIQDSEQKEAEETEHTVNKKSYLVRVQSRSCDLRASANSLDFHSARISLQSQSFRASEPLQSKIMNSVDELKDDLSELFSKPSDCKPRAHPPRPKQDGYKPRPAITSSAPLVAYHPANRHSGNATRLSRSGQVAPRGLPLPRYRRRLEHRAYSGYPIEQMEMRPCRHDCCHSCRPPCYRSCKQETAMHMPPVNEIKRRPPPKHHCRPVLRGAPFVICSNCLKLVQLPTDFGVPSKGTRKLQCGSCSEVLSYSYRGPNRKKLQSPFGGDEYSTDDYEIQQAADDLKAGYNQADPVSYSEEYGLSFGVSYSTSTEDGQPLYVSRNSSFNTIDGRMANDGKLHRLMGYSSASELLRHSPDLFESFDGRTPDSRALDRKGKGVCNDSGEQDVGAVKRSKVKSGGLVLPGILKKGIRLESLKLR >Et_1B_011188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18586357:18587407:1 gene:Et_1B_011188 transcript:Et_1B_011188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSPANPVTRPAAYPTMADIIAASRAQGLRVRLRTVGPFFRVRATRGEGEDAVELGRAEGVVRPWPGGAVLHLDSMRMTRATLGVSDRPLFGLGIFLGAVAVRHGYDTGCARAELLAINDTPLYHDKLVRFYTRMGFKAVHEVDGSSIADLAHMLVWGGRGTRMDANIDDLLIKWGKRFRSQE >Et_2B_021447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29774739:29775530:-1 gene:Et_2B_021447 transcript:Et_2B_021447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRETIEKELGKPMNDHKFTAQLYMVDGRDVIVKIQDGGVKEIILKDLKNAKSLIEWIAWAEPQYDFNSMIDEWRKEAPKQSTPGLSPGILVAKLTLWQRFQCLYGLDLLK >Et_8B_059972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4580740:4589463:1 gene:Et_8B_059972 transcript:Et_8B_059972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HPLPAAYDDSRAALRWVLDNVARRGGGVDPWLSKHGDASRLFLAGDSAGGNIAHNLAMRVGQQQLPHDLDVNGGATVIKGVALLDPYFLGKYVNPSAARAWGFICAGRYGMDHPYVNPTALPAPAWRRLATTRVLMTVSERDGLGPWQRAYVDALRGSGWGGTSRLYVTPGEGHCFFLNNLESPKAAMHMATLAACCAGSAPEPEPWLAEHGDAARIFVAGDSAGANIAHNVTVRAGRSGLPGGARVEGMVLLHPYFRGRELLPSEAAAAGPTNLLARAERSWAFVSAGRYDIDHPFMNPLAMPAAEWAALGCRRAIVTVAELDSMRDRGRRYVEALRGSAWPGEEAVLYETPGEGHVYFLDKSAAGGDKAAKEMAAVVSAASRRRTTALRWVVRNARTSSTGPSDPWLSRHADLSRLFLVGDSAGGNIAHNMAMRAGSEEFDLDGDAGDHHPGRGAAGPLLLGEARRAGGDEGRGEAAVARADVGVRVRGAVRRRRPGHQPRGHGARGVAPARVLVTVAGLDMLSARGRALGASGWRGDVELYETPGENHVYFLNKPDSKKAAKEMEVLVGFIHGDHQVRVSTPSTMDA >Et_5B_044488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2876862:2878182:-1 gene:Et_5B_044488 transcript:Et_5B_044488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEDVKQRQIIEERARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIKAGKNDKEIYQKLRDDFGETVLYAPKFDLQTAGIWLSPVIVGGIAAGVWAYQKHRQRTNVHIMALNLVRGVPLTPREKETMLDVLTPPPPPRKWGWPGK >Et_3A_023845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13395560:13396050:1 gene:Et_3A_023845 transcript:Et_3A_023845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSMYLLVSNSNLASDHLELPDCCNGLVLSNHCPLRSVQSGHNPSGSIYQNWHSIYPGPHRSVFNFHLKIQHGWDLKHSLNISAAFGRHAFVHDIEGFLCCELFSYVMSTGMLTKIQDVFNPYMSYVPWYEMFRMESI >Et_1B_010063.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:21580710:21580859:1 gene:Et_1B_010063 transcript:Et_1B_010063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLDSMQFKNLGKRDFLTSSAYHPYESFYSCKGSLPFLCQIMFATWML >Et_5B_044764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5577407:5582151:-1 gene:Et_5B_044764 transcript:Et_5B_044764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIGICSASEGSSASNLENTVKRVSHGSRHGAPQPQHYLTVQYHYNSETLHHISTLQLSSLSKENCKPAIRSILLFVACAIAIYLITTPTTARPVDINDPEVQELGSWAVTQHVRQADDGIKFRKVVRGDETEKGRGRIYYDLIIDALNKDGKDGNPLEHHHSGSILDVVDPRIMGKYETEEVYLVLKLGLMCAHPLPNARPSMRRVMQYLVFDQPVPDLSPSYMNYGMMMLMENQGFDSYIISSSVTVLMSRSMLSTVLAVVISADRIMAGVQSGCFFLISAATPATCGVAMDVPDMMLYSDLLPLDRGTLAAQAARMFDPGATTSGFRSSWAAGLGPRDEKPATTGARFSPTIVSQPKLKDATGFGTEAIFSWASTLSVRPGRAKGKASAPSRENSDVSTLIIPAPPAFCKATPSSSVALSMHTMIFPMTWSGVQLPASSSQLSEDTS >Et_1B_011459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22548886:22550928:-1 gene:Et_1B_011459 transcript:Et_1B_011459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSKEDKPERAAGGGSRAVPVALVVIVLCAFSFYLGGIYSTGRSLLDAIQPAPALLTLAAGSSGVQQDTAVAITKKAVVVFPECPAELQDYTPCTDPSRWRKYGNYRLSFMERHCPPAPERRECLVPPPKGYKPPIRWPKSKDQCWYRNVPYDWINSQKSNQHWLVKDGDRFRFPGGGTMFPNGVGAYVDAMAALVPAMRGGAVRTALDTGCGVASWGGDLLSRGILALSLAPRDNHEAQVQFALERGIPAVLGIISTQRLPFPSASFDMAHCSRCLIPWTEFGGLYLLEIARVLRPGGFWVLSGPPVNYENRWHGWNTTAQAQKADLDRLKKNLAAMCFKLYNMKGDIAVWQKSADPACYDKLTPVTTPAKCDDSVDPDAAWYVPMRACLTPPSAKYKKLALNAAPKWPQRLSVAPERVNLVPGGSAASFRQDDARWKARTKHYRTLLPALGSDKIRNVMDMNTVYGGFAASLIKDPVWVMNVVSSYGPNSLGVVYDRGLIGLNHDWCEAFSTYPRTYDLLHLDGLFTAESHRCEMKYVLLEMDRILRPTGYAIIRDSTYFLDSVATIAKGMRWTCEKRDPENKTDKDKILICQKKLWAAKQ >Et_7A_051706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23305707:23308784:-1 gene:Et_7A_051706 transcript:Et_7A_051706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VWPNSFGDNITWFHIYWVMQKKLCKHIIFKHYCILLKDLLTPLGTIFLLQQVDGTKMHCFDHHCHGFVQVSGDLGGRWQPVSVYNGKQYAIMVTISKEPRTKNWWLSYSDKKTPIGYWPHTIFRYMNDRANVRGPRTVQVLLDFFKVLAITSWVELSTIPDQFR >Et_2A_017931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:879458:880503:-1 gene:Et_2A_017931 transcript:Et_2A_017931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLVGSERRVLISGGYGLPPESLLCRLDQIDLRVRQLEEQRRPAAAAHADDCDVAVRRAQHHHTKSLPSALQHVQRRGTLMDRLNLLESRIRQLSCELDLDPGTKAAMGLIGPGTSSSSVAPPAEDRAWSESAPVLEPCRDMVPPMMRAPDKSSATAADGSWSAVEIMQRGARQFHRNKPNPSNKVKSLKEAKCACQKEKKKAERKRTGRRWFGVGC >Et_3B_029589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26241258:26250833:1 gene:Et_3B_029589 transcript:Et_3B_029589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGSGTALLAFVLLGLCAAVARSTATPPQRAAGAMMHESYAGKSEFRTVNRKELESCLNPSPYLAINVSTGGAPLPDEAFVKVTVAGVLKPDDSDWVAMITPSNSSVSGCPLSGVNYIETGDLANLPLLCHYPVKAQYLTSDPGYMGCKNAGCGKRDASGACQARTCAATLTFHVVNFRTDVEFVLFSGGFKSPCLLRRSGAVPFANPASPLYGHLSSIDSKATSMRLTWVSGDWKPQQVKYGGGKSATSNVTTFTQKDMCNIPVLPSPAKDFGWHDPGYIHLAVMTGLQPSQSYTYRYGRDYADSGSVYGTPDSGGECGVPYESYFPMPSVSKDKPWYSIEQGSVHFIVMSTEHEWSEKSEQVDLVFFGHVHNYERTCAVYQSKCKGMPMKDANGIDTYDNSNYTAPVHAIVGAGGFSLDNFPNNGENWSLSRISEFGYARVHATKTDMLVQAQHLTSDPGYLGCKNAACQKRDASGACKVRTCAATLTFHVVNFRTDVEFVLFSGGFRTPCVLKRSGALRFANPAKPLYGHLSSIDSHATSMRLTWVSGDGRPQQVQYAGGVSATSQVATFTQKDMCSVPVLPSPAKDFGWNDSGYIHSAIMTGLQPSQSYTYRYGRDYADSGSVYVTPDSGGECGVPYESYFPMPAANKDKPWYSIEQGSVHFIVMLTEHKWSEKSEQGERWSLSRVSEFGYARVHATRTDVLVQFVNAGTMEVRDQFRIVKRGPATDTTHDSIVAVPHLQRNQPLKVAY >Et_9B_066206.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:724808:725641:-1 gene:Et_9B_066206 transcript:Et_9B_066206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPPPPALVVLLLVVVAAAASHPRLCSAVDPIGTYCAKNFTGAQAQKQSSINQVLSALTPRTQTTSFYTTATAGHGDAAVWGLAQCRGDIPASDCALCLAAAVKQLAAACRGQADARVWYDYCFARYDDADFLGLPDTGYALILINTMNASSDPVAFDRAQRKLMARVAADAADAGAGGLARETAKFDATTTIYGLGWCTRDITAADCGLCVAQAVAELPNYCRFRRGCRVLYSSCMARYETYPFFFPVDGKDGAAPSSSSDAGEYEKVILNPE >Et_4A_034388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32090983:32093875:-1 gene:Et_4A_034388 transcript:Et_4A_034388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEQLNHQRNGGDDGDAAEWKQVAELRAVAEAQDPACKEEDDHMLRRFLRARDHNVGKACAMLVKYLNWKRTAKPNGFISDEEVAGELGKDKLYLQGYDKQGRPMIYGFGAKHYPSKRDLDEFKRYVIYVLDKTVSRLPSDGKEEKFAAVADLKGWGYSNCDIRAYLAALEIMQNYYPERLGRVFLIHVPYVFMAAWKIVYPFIDDNTKKKFVFVSDKDLDKTLREAIDESQLPDVYGGKLNLVSSSAAAK >Et_1B_012251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30181795:30186328:1 gene:Et_1B_012251 transcript:Et_1B_012251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAATSVFLGVDVGTGSARAGIFDDKGKLLGSASSPIQIWKEKDCIEQSSTDIWHAVCAAVKSACSLANVAPEAVVGLGFAATCSLVAVDADGSPVSVSWSGDTRRNIIVWMDHRAVNQAERINASNSPVLQYCGGGVSPEMQAPKLLWVKENLQESWSMVCRWMDLSDWLAYRATGDDTRSLCTTVCKWTYLGHAHMEQWKESDSRDMEACGWDNVFWEEIGLGDLVEGNSAKIGLLPGTPVGTSLIDAHAGGVGVMESVPDAESKADLSDDGEAIYHRMVLVCGTSTCHMAVSKNKLFIPGVWGPFWSAMVPEFWLTEGGQSATGALLDYIIENHVAAPLLSNRAASQSISIYELLNKMLLSMSHEQNSPFLSALTQDTHVLPDFHGNRSPMADPKAKGVICGLTLDTSEKHLALLYLATIQGIAYGTRHIVEHCNSHGHKIDTLLACGGLAKNSVENESVLLGAAVLGAVAGKKFSGIRDAMKTLNAAGKVVNPSSDPRVKKYHDAKYQIFRSLYEQQLSHRSTMAQALQ >Et_9A_061840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1778221:1781411:-1 gene:Et_9A_061840 transcript:Et_9A_061840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEGAVGGWPEPVVRVQALSESGAATIPARYVKPEADRPTNKEDEEEEEEGNIPVVDLSPAAGDPAATARAVSAACREWGFFQAVNHGVPGDLLRRARVAWRGFFAQPMETKQRYGNSPATYEGYGSRLGVEKGAVLDWGDYFFLHVRPPHLRNPDKWPHMPPDLRETTEEYSRAVSSLCGRLLAAMSAGLGVAESRLQEAFGGRDQAGVCVRVNYYPRCPQPELTLGLSSHSDPGGMTVLLADDRVRGLQVRRRGAWVTVQPVADALIVNVGDQIQVLTNATYRSVEHRVMVNAEEDRLSVALFYNPKSDLPLAPMPELVSPPERPPLYKPMTFDEYRLYIRQKGPRGKSQVDSLKAAAAI >Et_7A_052897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24288743:24312096:1 gene:Et_7A_052897 transcript:Et_7A_052897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYSVTSFLVYELPIHKPAESIKMALSQALVQYYPIAGRLALEADGKELTIACTNEGVSFVAARAYCSLAEAKLVDSPLTSDLIKDLAISYGAGRCSHTDPLLSMQVTEFSCGGFVLGTTSNHVIADGQGMAQFMQAISEFTRGLSQLSIAPIRCANSLTDVSPLLALSNKWLMSLSPVDMAYLDVVFPSSLISRIKAEFDEQSNVQPCTMFEATVALLWQCRTRAIISDPDTPMPLLFASNMRKHVGAKDGFYGNCFIMQAVFASSGEVAYSHINDVVKLIKGAKEKISEICRTGSVISQMGGAVAYNVFIVSSWRNFGLDSVDFGSGRPARVMCPGNQRSVPTSVVCPPIKDKDGMAKKRYGFPVGTSAIHSLDEASTEDNDAVGGDSGISDHINNLELGDISSSGSGVVLCADLPDFAGTRTSVAGSVAASWSICTAAASCCSGAACGEGRVRVHERRHGVVVGGSGSWSSARRRTARRTASSAAANARRASSSLANGAGRSSSMG >Et_1B_012464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32216694:32223812:1 gene:Et_1B_012464 transcript:Et_1B_012464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMQASFPRYGDVRPVNGGDDGMKTMDAIYGDKDANRTVDSSDFKQDTRVVTFVHQDVRAQSVSEVSDDEEYSKWPAEDHVCYHRDGVPHVCTIPLSSHRDGAIYRGNFHWRKEYHIADRNETRLEAMMLSDPSRYCVLNGDTCLTHETSFMWQILSLKLSKIHLDCGSVELYGYNAARDHLDPLLNYIINISRDDPVIVQQGSLLEMTGPKRGIDLSRAILIEYDMRIKKGDREEDDLQLIDGASIINDIIISSEPLTKCIHGEYGSVDMNQMRVDDAVEATMEVIISEVKRSFDLCISCFTSGLHDDIRFFDGVIGESCGLRRRVVAVQMGTSVDLKFKIGSASDCSAEHCQSFKAAIHGCSSQTINMELASVSVTVYWSTLNKIRYANYMTRSRGLKSEELDRRSLFPSAISRIRAFANDTRISLGKHFPITKEIDNEDDTTKEINNEDDMGLTIQELFPTMGDVHPSNNGEDDRRKTMDVIHGDKDANRTTAEFSDFERNTKEARNGQEDVRGQSTSEVSDDEEYSSWPEEDPICYHRDGVPHVCPIPLTSHRDGSINKGTYYWKKEFHIADRNETRLEAMMLSEPNRHCVLHRGICYIHKPRPMWQVFSIKLSKIHLDCGSVEAYGYIAARDNLDPLLNYIVNISRDDPVIGSLIKMTGPKRGINLSRAILIEYDMRIKSGDQEEDDLQLIDGAATINEMIVTSEPFTKRIHGNYVEATMEVAISEVQCSFDLCISCFTSGLHDEIRLFDGVIGESRGLRRHVIAVQMDAYMDLKFKIGSGSHCSTEHCRSFKATNHGCSSQTINIELASILVKNSLLLYY >Et_3A_023973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15427832:15430671:-1 gene:Et_3A_023973 transcript:Et_3A_023973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFACPHTGVALAALFKLRDQRIIGPNDRTVVVSTAHGLKFTQSKIDYHDKKIKDMLCQYANPPISVKADFGSVMDVLQKNLNAMSGARFQVRRLCFPRGTVAPEVFSGEKDYAFKFHGQWRSHTREPGWAAKRKFDGSWTWWASAHPSTQLAPPMFMASKNAEQVNAHLLGFLEENTQTET >Et_4B_037928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24963030:24970720:1 gene:Et_4B_037928 transcript:Et_4B_037928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAKSASGLRMGHSGSKATPMPGVEDGTAKDLKKDAASLEVNKPSVGGDEDLGGGPVLTGKKRKDLMRGSVDENGTVTKRVLRSGSIKLQADAESPCPMAMEVSKSDCLERKHCEALVGADGSGVFMADTCNGEEDKEFVNVNASAVSEEPARCAENNVEISGVAAIEFDPASCLGTQGTAAELDDKSAEPNQKISAVTHTGSLTVDESQDNKVGHGGEVIDPSSITNDDVLSKSSCNPTSESEPVELEDAVVCAEGVVLHCGDQNGTCTVDNHIDLTDLMNHEGRGVHVNEPKDASTPDIVFTRRRSITRKAGDEKQVKCEEESRVEKRVTRSATVKQREASESSCKTTKNEATIGSKKGDVIAHYTRKLSSTVSPKAHHAELVERITSAKKQTVKRSVVTENDDRVPTTVNKVSKDEMKINSKSETLTRNVSIVKKITEAAVPPVDQNISSSAITEKNDTEHTDSEGVKSENKTPVQKSLFSVGAKIVASKKRILESGLDKISGRSPVTMPSRKKTRNTPSDTELEQPNKSSGEEHTGKSRGLENRRILRERQHRSQPAKSCRSSNRSNRNACKLSHDESDDDEAGSDSSHRITRRRRRQGADPRVIKKEEHSSDSEEVITVRKTRRNGKDSEHKQRTGSQLKYSSGPSKTGRLGRPARTKSESSYLPQHTENEKVKTHEGSRREEKQKISDQIKEILLNAGWTIDMRPRNGRNYMDSVYIPPVGKGSYWSVTKAYSAFLEGVGSEQKDMSKSQSSSKSSRRTKVEIQKLRKKKHVTLKKFKKSKGKLKEKKNKIERKKRGGCALLVRGSNEESGSSTDGFVPYEWKRSIFSWLIDLDILSVNSKLKCMDDSRSKVLLEGAVTRDGINCTCCAKVFAVHEFLDHAGGEVKKSYRNIIVDQLDIDLLQCLVHAWDKQSDSERKAFFSISTEGDDPNDDTCGICGDGGNLICCDGCPSTFHMSCLGLEALPSDNWCCTNCSCKFCHEHSSNDVEDIAAVDSSLLTCSQCEEKYHQACSHESDSPTSDSDRSGNLFCQPSCRLLSEGLQNLLAVKKDVEPEYSCRIIQRIREDVPETVLALDTRVECNSKIAVALSLMDECFLPIIDQRTGINLIRNVVYGCGSNFVRLDFSGFYIFVLERGDEIIAAASVRIHGTKLAEMPFIGTRNMYRRQGMCRRLLDGIEMILSSLNVEKLIIPAITELVDTWTSKFGFSPLEASHLQEVKSISMLVFPGTGLLQKPLLKKALPEEHPCSQGGQSIFLSNLSYL >Et_7A_051906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:26265737:26270257:1 gene:Et_7A_051906 transcript:Et_7A_051906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNRAWFGGIFNSSGKRRQVSAEKLFELTPLQEQRLQKLKERLNVPYDETRPDHQESLRALWNASFPDTELTSLVSEQWKDMGWQGVNPTTDFRGCGYVSLENLLFFARTYPASFKSLLLKQQGIRVTWEYPFAVAGINISYMLIQLLELNSARPKSLPGINFIKVLTEHEDAFDVLYCIAFEMMDAQWLAMRASYMQFKDVLEATKQQLERELSLEDLHGIQDLPAYNLLYK >Et_2A_014756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12094077:12095156:1 gene:Et_2A_014756 transcript:Et_2A_014756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATTAATTPLRLLSKPLLRPRPHRLTFSSSISFERLSARSAASPTPSTAPSSSSPGSVDPAQLPPALGEIAARLPPMDPALKTEANRVRGCVSQVWVHAEPEDGGARVRFHADSDAQLTKGLAALLVLGLSGAPAKDVARVPVEFIELLGIRQSLSPSRNSGLLNMLSLMKRKALEIAGSGGDAGASQESVQEIAKLTGMENKEPEFAAFDVQEEVKSEAETGDEAEQLEEVPADVVEGNGASLVGGRKERIRERLERALSPLELEIEDISHLHKGHAGVAGSNGETHFNVRVVSKEFEGKSMLKRHRAIYDLLQDELKTGLHALSIDAKTPSEV >Et_8A_058422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7180353:7181437:1 gene:Et_8A_058422 transcript:Et_8A_058422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQGVHHPGNWRQSTTANLQGMQHGFPFGASGNSSSHGSESSRRCSAGQQEKEAITVDESSDSDEEEARMMYKKENNEKPFTSTLEHMWRDLRNEPKWRRVLIQEEKKIKRTKNSESGACTLSSNQDTETESVRKEKRPEGQKAAKARLKGKGKTVAPFPFGEKATQDMVLFHEAVTSKAKAQQALVKTRRLEAYLKLEENDTSDYSEARLKAHKALLEKLAIELTQE >Et_8B_059802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2898742:2900712:-1 gene:Et_8B_059802 transcript:Et_8B_059802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGGRAAERNSRELRMSIEEVAKKMSLWHTATFRPILTHDDLEPILAAAGFVPAPPGDAAAAAWREYVFLGGGGNAATAAPRWLGPRPRLPYPRVDGLHLKTYEAFLGAVEAYLGAHRVSNLFHVRLMPVTNPDRVFDKLFRPMRNFSPEEDGLIVYREGTLDELTVEMCSHHTAIADVGYHVIPGISCRDLGYLRKLDGNCHEEGCCGTRYPAAGYNYFPVLLKDICPN >Et_5A_040966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15819087:15821206:-1 gene:Et_5A_040966 transcript:Et_5A_040966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGWLRASLLGALGAAELLVSAVVHLGYGFYIFGAAVAADVSASLVEGLTSGVAKGGVIVDGEDEAAAVLDGAVPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDDRVLVPDLGSLTSVHDRARELFYYLKGGQVDYGEEHSRACGHSRFGRVYERGHYPIWDDEHPVHFVGHSAGAQVIRLLQQMLHDKAFEGHDDTCENWVLSVTSLSGALNGSTRAYIDGMRPEDGRSLRPVCLIQICRVGSILYHWLDLPWLKRYYDFGFDHFRMSRRAVGLRGLADLLLMSRPGNVSVGDPFATGDWILPDLTIQGAARTNAAARTFPGTFYFSYASRRTTRVRGVTVPSGVLGVHPLLFLRVLQMARWRFPAGVDPPYKGYRDEDWEDNDGALNTFSMTHPRIPEEHPSLLVDNDADCHPLRPGIWFVLCTAVTWRAFRARFYPWQFGYRWLMFHLLGWSRKKCRYYKIVEADHMTFVINRQRGGVQFDLIYDSIFRNCRKHVFRTAPPPTLPNQS >Et_1B_011116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17748582:17752941:-1 gene:Et_1B_011116 transcript:Et_1B_011116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METYTTDDALTAMGFGKFQALVLVYAGTGWLADAMELMLLSFLGPLVRQQWDVSPQHESLLSSVVFAGMLIGACFWGFLSDKYGRRTALLFSILLTTGAGFLSALSPNYISLLAFRFLVGMGVGGAHVFTSWFLEFVPAKNRGSWMIVFSCFWTIGTISEASLAWVVIEKLNWRWLLGFTALPCFVLLLFFVITPESPRYLCVQNRMSKATHVLERMANVNKVTLPPGVLTYHREIQADHNECTIDSDKGSKSGGIAALRMLLSPKLLRSTLLIWFVWFANSFAYYGLVLLTSQLSDANRRCGSGQKSEVHKTDPNLYKDIFITSLAELPGLVVSAVIVDWFGRKATMWILMFACCAFLGPLAVHQNESLTTALLFGARACGMGSSTVLCLYAPEVYPTTARSTGVGIATAIGKIGGIVCPLIAVGMLRSCHQMEAIVVFELVLGFAAIACILFPVETKGREMK >Et_8B_058656.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1485334:1485750:-1 gene:Et_8B_058656 transcript:Et_8B_058656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLLSGRTFRHCMVGCLKKFRQYSVTQPNRLLVFALYSPKASTHTLCLAAQRMLVNGSSFCICSTVSLSPSPDKRLSPFIDADKFQTAPLYIQAVNPEDKVLMAISPNKRHYRQARPGAYVVALKFRDIRISNALD >Et_8A_056964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19540771:19545843:-1 gene:Et_8A_056964 transcript:Et_8A_056964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRSVTLGIPFTLPVRSRMPMHSIPIYSILLFLSSSLSSVHHLCASNNCIFPGEHLLPGNTLVSDDGTFALGFFSPSNTTRKQYYIGIWYNNIPQRTVVWVANRAAPITQLSSAALAMTSSSNLVLYDGNGQAMLDNTGNLILRSVDNSSTVILWQSFDYPADTLLPGMNLRISHKMHPLQHIISWKGPQDPSPGAFSYGADPNNFLQRFTWNGTRQHRRSPVWSSYILLGGYMDKIHSSIYMEMHRGSDDELYMSFGIPADDYSSSSLIRMQLKYSGEVSMLSWDRNMSVWTALYTEPAHECNKYAYCGPYGYCDSTETTPTCKCLDGFEMEDDEDWRVGHRRFWLGCQRKKALRCRSGDGFLTLPGMKVPDQFLYIQNKSFDECMVECRSNCSCVAYAYANMSTRAIDGDGTRCLIWIGTLIDMEKSIQGGENLYIRINKFGGIMSKANTLKIVLPVMASLLVMMFIGIISICWFRGKQLSMIWNRLMLRDINATSELADLKLDLTFFSFRHISIATNNFSDFNILGKGGYGTVYKGTLGEEEIAVKRLCADSGLGAMEFKSKVSLIAKLQHRNLVKILGYCVDGDEKLLVYEYLPNKSLGAFLFDASRKSLLDWRTRFEIIKGIARGLLYLHQDSRLTIIHRDLKADNILLDAEKQPKIADFDTARIFDPNEKQSNTIRVVGTFGYMPSEYVLEGIISVKTDVYSFGILILEIVSGLKICATGPGSRNLIAYAWSLWKDGNMQDLVDTSIVESYPFDEVLRCIHIGLLMVQDNPNDRPLMSLVVSSLENEAVELPWPKEPALIGRMNYETDEAENVM >Et_2B_021593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3410067:3422539:1 gene:Et_2B_021593 transcript:Et_2B_021593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSAINGLASFVVGQVDNGRRGLLKKLFVSGVPGFAACFSLFWLIMLIMEKFDGKNVENYELFFKFSQFITWVSVSLACANGPWFEILCNPIMCFSWILKILLEIPHLQYKLTLPKAMASVMENVSFSTASAFGLFVIVAAVLGRSHNERNVNSIEAPLVPDNEKAESETMDLVDKDHNLWELLTFKIVNPMMNIGITRQLDFTDLLELPAELRAASCYEKLVSSWEAEHQNHHDNSSLLKAMFGAYGWTYLRLGLLKVINDSISFISPLLLNKFIKFLQQDSDGMDGYLLAICLGLTSLVKSFLDTQYSFRLAKLKLMLRSSIMGLVYRKCLCLSLAERSRFSEGEIQTFMSVDADRVINLCNSLHDAWSLPLQIGVALYLLYMQVNYAFLSGLAITIILIPVNKWISTKIAGATEKMMKQKDGRISCAGELLAHIRTVKMYSWEKLFTERLVQIRELEVKHLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHPLDAATVFTCVALFNTLISPLNSFPWVINGMIDAVISSRRLSIYLSTPEHCSSEPASSGDLTKCDFETHTESVHDPRTLVLQNVSCSWSSCSVVKPSVILRDISLQLQKGLFVAVVGEVGSGKSSLLNSIIGETRVVSGSISSCGSVSYVPQVPWILSGSLRDNILLGKEFDPMRYEEVIQACALDVDISAMGRGDMSQIGEKGSNLSGGQRARLALARALYHNSDVYLFDDVLSAVDSQVALWILEKAIMGPAMKSKTRIISTHNLQAISAADVIVVMANGVVNWFGTLDSYMATPYSRISKTDSSSATTSAASEEDKTANGLCGFKIDISLDDDSMVAFEEQKDQVEAEARKEGRVELSVYKNYATFAGWFIVFIICLSAFLMQASRNGNDLWLTHWVDTSTGTDNTRFYLIVLAMFGIINSLFTLGRAFSFAFGGLRAAIHIHASLLENIISAPVCFFDQNPSGRILNRLSSDLYTIDDSLPFILNIFVANFFSLLGTLVVLSYSQVSFLLIVLPLWLIYRKVQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKQDFFLERFIQHVMLYQKTSYSELIAGLWLSLRLQLLAGFIILFIAVMATVGFQSNSLANYRTPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVVEYVGIPQEELQGLESPPRSWPTQGTIEFEHVTLRYKPELPSALSDVSFVIASGTQVGIIGRTGAGKSSILNALFRLAPICNGRILVDGFDVSRVAVRELRGHFAVVPQSPFMFDGSLRENLDPLNTTPDIRIWEVLEQCHMKGEIESIGGLNMHVKGSGASFSVGQRQLLCLARAILKSSKVLCLDECTANIDNQTAFLLQNTISTECKGMTVLTIAHRISTVMKMDNILVLDQGKLVEEGNPEVLMNDKFSRFAQFAKASN >Et_2B_019967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15732967:15738135:1 gene:Et_2B_019967 transcript:Et_2B_019967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVRAAAVLAAAAALALLLPGWAAAEWSLTKKGTVVTYDDRSLLIDGKRDLFFSGAIHYPRSPPEMWPKLMKQAKEGGLNTIETYVFWNAHEPEPGKFNFEGGLDLIKFIKLIQEHDMYAVVRIGPFIQAEWNHGGLPYWLREIDRIIFRANNDPFKKEMEKFVRFIVQKLKDAELFASQGGPIILSQIENEYGNIKKDHVVEGDKYLEWAAQMALSTQTGVPWVMCKQSSAPGEVIPTCNGRHCGDTWTLRDTNKPRLWTENWTAQFRAYGDQVAMRSAEDIAYSVLRFFAKGGSLVNYYMYHGGTNFGRTGASYVLTGYYDEAPIDEYGMYKEPKFGHLRDLHNVIRSYQKAFLWGKQSFEKLGHGYEAHNFELPEEKLCLCFLSNNNTGEDGTIIFRGEKHYIPSRSVSILAGCKNVVYNTKRVFVQHSERSFHTAEVTSKNNLWEMYRETVLKYRDTKVRTQEPLEQYNQTKDASDYLWYTTSFRLESDDLPFRGDIRPVLQVKSTAHSMIGFANDAFVGSGRGSKQVKGFMFEKPIDLKVGVNHLTMLSSTMGMKDSGGELAEVKGGIQECRIQGLNTGTLDLQVNGWGHKAALKGEDKEIYTEKGVGKVQWKPAQGDRGATWYKRYFDEPDGNDPVVVDMSSMGKGMIFVNGEAVGRYWTSYRTLAGNPSQAQYHIPRPFLKSKDNLLVVFEEELGKPEGILIQTVTRDDICVLLSEHNPAQIKTWLTEGDQIKLIAEDHSTKGTLTCPPKKIIQEVIFASFGNPEGPCGNFTVGTCHTPNAKQVVEKECLGKPACVLPVVHTLYGADINCPTTTATLAVQVRCNKPEKKSGSK >Et_8A_057724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6404669:6408590:-1 gene:Et_8A_057724 transcript:Et_8A_057724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSSMLTQYDIEEVQDHCNHAFSQQEIVSLYHRFCQLDRNGGGFVSSDEFMSVPEFAVNPLSQRLLRMLDGLNFKEFVAFLSAFSPHTSLQQKIEFIFKVYDTDCNGKVAFDDILSILRDMTGSFMTEQQRQRVLTHVLEEAGYTKDSHFTVPDFMKAKRIDDSLREENIGIEIQIPV >Et_8B_058897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10544659:10544961:1 gene:Et_8B_058897 transcript:Et_8B_058897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRCYDDNDVVAHTSHNFPALISLKVTCNVTSLPKIFQFEKGSMHKLETLEMDFTEVEMIIVPTSKRLRALSNRPSVNSALQQLKDENMNRPTIY >Et_3B_028303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13055229:13056520:-1 gene:Et_3B_028303 transcript:Et_3B_028303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRIAIRALLVLSAVWAAATEEFDITKLGATGDGKTDSTKAVMEAWTSACAATGGSTLLVPKGDYLVGPLGFTGPCKGPVTVQVDGTLLGSNDLSKYNASWIEVTRVDNIVITGAGTLDGQGKAVYTKDCKAMPNTLVLYYVNTATVSGIKLLNAKFFHINIDKCKDVTVKDVTITAPGDVENTDGVHVGDSSNVTVTNATIGTGDDCVSVGSGSAGVTVTGVTCGPGQGISVGCLGRYKDEKDVSDVTVRDCVLKGTTNGVRIKSYEDAESVITASRLTFENIRMEDVAKPIIIDQYYCPEKVCPGKKSSSSHVVVKDVVFRNITGTSSTPEAVSLLCSENQPCSGVELIDVRVEYAGKNNKTMAVCTNAKGTAKGSTEALACLA >Et_3B_027915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26311190:26312083:1 gene:Et_3B_027915 transcript:Et_3B_027915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGTRKFRGAAGSGGIGSSSFRGCIGVARTSGDVNTGLLDEHVLTLVFRSINFDPKAVCTVARVSRRLRAVAERVLWRELCISRAPRMVSSLSAAAAPPGRIVGGWPALAKLLFFCCGAAPASVPGHFTTVSRFSKTSGRSFLSRRCRGDVLYVSDPCEHAVPGADDDVAGAYRGVFRGFMRSRTRACLVGRQAALDTRVRCPYCGARVWSMVAAGMVPRSAWRRLGAYEGRLQYYVCVSGHLHGNCWLARLTDSEGEQEGAESDSGDDDGHASTGGGSEDGRVAL >Et_5A_042652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24165792:24169474:-1 gene:Et_5A_042652 transcript:Et_5A_042652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVGKTRVGRYELGRTLGEGTFAKVKFARNVETGENVAIKILDKEKVLRHKMIAQIKREISTMKLIRHPNVIRMHEVMASKTKIYIVMELVTGGELFDKIASRGRLKEDDARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDASGTLKVSDFGLSALSQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLMSLYKKIFKADFSCPSWFSTSAKKLIKKILDPNPSTRITIAELINNEWFKKGYQPPRFETADVTLDDVNSIFNESGDPAQLVVERREERPSVMNAFELISTSQGLNLGTLFEKQTGSVKRETRFASRLPANEILSKIEAAAGPMGFNVQKRNYKLKLQGENPGRKGQLAIATEVFEVTPSLYMVELRKSNGDTLEFHKFYHNISNGLKDVMWKPESGIVEGDETQHRRSP >Et_7A_050539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23321486:23321989:1 gene:Et_7A_050539 transcript:Et_7A_050539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNNYQYELPLQRLHFSNERPKVSTCTLLIFCFYHRYLLTNTFITLQSPPFLCAFIEYIDTEQTPENIAHVYRVAERARRHWFDMEAEERRQEERRKMRQKEEERRRGYEAECKACEAEKERMRERARRDRTAGPDAFRKEKYLRCTQ >Et_5B_043475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1113337:1113964:-1 gene:Et_5B_043475 transcript:Et_5B_043475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKCLHFLVPVTLCFVLVVAAQGREHNVTVTIQGQAKCKNNPSAVVNHTALHLMINGKTVPGGSGTTTSTGQVAMRVKLTTTQQVASMISNRSQVLVTAPPHACGAPSIPNGTVVGAKVHIKALILRNNGDDAARLQPTIAASDGVLRSIGGIVATIDQLLCAVYAGPIHL >Et_8B_060332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9698681:9707183:-1 gene:Et_8B_060332 transcript:Et_8B_060332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTASADERPLVELASAAPSTPTSATSAAAAPFPPPAAPGFSRQVRCNNAAPSTSSFPDDGGGAYPGNAISTTKYTPASFVPKSLFEQFRRAANFFFLVVACVSFSPLAPYRAVSVLLPLVVVIGAAMAKEAVEDWRRKQQDIEVNNRKVEVYDGSQSFHVTEWKKLRVGDIVKVKKDEFFPADLLLLSSSYEDGICYVETMNLDGETNLKRKQALEVTKGLNDEHFLHSFKAFIQCEDPNEKLYSFLGTLYYSEQQYPLSPQQILLRDSKLRNTNCIYGAVIFTGHDTKVMQNAMEPPSKRSSVERRMDKIIYLLFAILLAIACFGSVVFGIKTKGELGAGNYAWYLRPDKSNIFFDPNRASLAAFCHFLTSLMLYMCLVPISLYISIEIVKVLQSTFINQDRDMYCEESDKPARARTSNLNEELGQVHTILSDKTGTLTCNSMEFLKCSIAGVAYGNSATEGEMSYEGIEEISGHNGHKDAAKSVKGFNFSDDRLMNGKWSKECSRDAIEMFFRVLSVCHTAIPVADRSSVGMPYEAESPDEAALVTAAREIGFEFYRRTQTTISVYERDPVSGRKVDRTYKLLNILEFSSARKRMSVIVRTEEGRIFLFCKGADSVILERLSKNNGKDCLARTKCHIDEYSEAGLRTLALAYRELTEEEYVAWNNEYSSAKNSVRTDHEAAVEKASENIEKDLILLGATAVEDRLQKGVPECIHKLAQAGIKIWILTGDKLETAVNIGYACNLLRKEMEEIFIILDNPGTNASEGCDGEGNRMAPYDEIDRKLQDARRKISLKGNSAPVALIIDGNALTHALTGNLKNSFFDLAVDCASVLCCRVSPKQKALVTRLVKLTTRKITLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRITAMICYIFFKNLTFGLTLFWFEAHAMFSAQPAYNDWFMSFYNVAFTSLPVIALGVFDKDVSSRVCLKVPSLHLDGVNNTLFSWSRILSWMLNGMCCSIIIYFGAINSILIQAVGQDGRVAGLDFLGVTMYTCVVWTVNCQLALYISYFTWIQHFVIWASILLWYIFLVVYGMFPATISTSAYHVFLETCAPSPIYWLSTLVIVVTALLPFSLYKIIQSLFYPQCHEQTSTVALKSSYSLPLGRTAYARTHSLGRAMPRSLVHLFSHGNGVISTGHAREMQDMGRQEADLHSRPSSSTTPRGTGTGMALHVCRRKKKATVHLPHCHVT >Et_10A_000097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23140411:23142375:-1 gene:Et_10A_000097 transcript:Et_10A_000097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRYCPCTSHGSLQLVVSFVLFLFRDASAAAAADASSSPQLNPAQQTIMMNLLSVVGNIRSNVSQHPCLWNGVTCHPYDSGSFWFVKNITWPNHAISNSSIFAYICGLDTLHTLDLSRNSLTDLTSLFTSSSCSMKEGLRVLNLSSNQLSHPISDFLGFKQLEILDLSSNRLTSKNLSEDLGAFPKMRSLHLSSNQLNGYVPTSMGASLMELVLSGNNLSGPVPKGLFKYENLTSLDLSQNNLAGIVPNEFTSLAKLETLLLSENKLNGEIPRSMSNVTRLSRFAANHNRFTGSIPIGITNHVRMLDLSYNYLSGAIPSDFLSSAQLQTVDLSSNMLEGPIPSKLSRSLFRLRLGGNRFGGNIPHSICDGTNLSYLELDNNTLMGDIPSELSKCESLSLLNLASNKLHGIVPYVFSSFNKLVVLKLQMNNLSGPILSTFSDSAILSTLNLSHNSFTGVIPSTIFKLQKLSTLDLQGNNISGAIPTSISSSKALIELNLGHNYLSGPIPSNIDSLSDLEIIDLSYNNLSGEVPPSLASLQSLTQVVLSCNNLSGSVPRFGQFVEVNVTGNPYLGNGTVDNHDAPTRKRKTNHVLVIIFAIAGALAVLCLLAVTVMISVSKKIYRVEDESLSA >Et_4A_035252.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:14836627:14837304:-1 gene:Et_4A_035252 transcript:Et_4A_035252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPIANLSALVTGAMDAAKAVATEMLPAAVTRDAVVEATRASAAWVMHHLWTWLAVARTVAADNLPAGAAAAARSAAGSAVEASGPWVQTAAKLVQGLYGWLVTAAVEKLPGVAAEKLLGDAAAWFMRGHGVAAYVTLAAALLAVAFLGGGVCALTCRTMKAPGLGGSERVPRAVFEASPKRYFYTTRKARKAARRGACRTGCSLLAKGLVVAFVAYLAAKVLY >Et_2B_020426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2004526:2008024:-1 gene:Et_2B_020426 transcript:Et_2B_020426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSRAGAPLRCLASRALPRRAMGSSGAGRRDPAENPAVGRLRELFTGDAADGWEKSWEVGVTPWDLGKPTPVIEHLVRSGTLPKGRVLVPGCGMGYDVVALASPERFVVGLDISDIAVKKAKEWSSSLPNADHFTFIVEDFFKWTPSEKFDLIFDYTFFCALEPSLRTAWAETVNRLLKPDGELITLIYLINDQDGGPPYNNTVADYQKVLEPLGFKAVLMEDNKLAIKPRKGCEKLGRWKRCEHQSSL >Et_4B_037672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22376938:22383126:-1 gene:Et_4B_037672 transcript:Et_4B_037672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLAAKGTLSLGIAAGAAAIASSEDPAATLKVCAHLPPRLLRDSATAATIAADYTWSLWGLEQGTPAWLAAKHEAHLRSANRLQELCFRNGGIYIKLGQHIAQLEYVVPEEYVQTMRESMLKRCPVSSYEQVKGVFAKDLGEPPETVFAEFDPVPLASASLAQVHAAKTHDGQKVAVKVQHDHLTDTGVVDIATVDLLVNVLHYIFPTFDYRWLVDEVRESAPKELDFLNEARNSEKCLANFRMMSPDIAGSIYAPKVYWNLSTSRILTMEFMDAKEVTDVRGIKETGIHPADVSNLVSKAFAEMIFKHGFVHCDPHAANMMVRPMPQDSRKRFGWKRPQLVLLDHGLYKELDNATRISYASLWKALVFADAKAIKENSIKLGAGDDLHALFAGVLTMRPWQRVIDPSPDHLVLDGKNTDTSELQMYASLYFSEISELLRRLPRVILLMLKTNDCLRAVNHALVGGTSMESFMIIGRVSSEAVLDAKRMSRRSILDKLMIWLEEVLLEARFFSLKLILCQAVPNLRPRAPISLGGVRRLLPMPPHVSAQRPSGGALRATDLALVLRLARVAIAIADCSNLAGCSNGGLLILDVAIIVTSCANGRLLVAVVEVAARRFLPLAFVFIADALMARCKHGRAHAGVAIAMGAHVVLCNDRWFLLLLCFLLVYTSVDILMISCIVNLVNFLLLGTVAIATGGIRRCNCNRCFLLCMIADDAVGILLIPFHYGNLLFAVTTAETRCGGDGDLGLLLVAEDMPTERLVAVEAPVA >Et_6B_048447.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:5300628:5300831:1 gene:Et_6B_048447 transcript:Et_6B_048447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVSKKERRDVAAVIIYTVWNLWKERNRRVFQQQSMQPKQVLKLIKDEMKMRAVAYGQQGEDLQEL >Et_3A_024165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1831296:1835463:-1 gene:Et_3A_024165 transcript:Et_3A_024165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRPDHRRHHPPFLRDLSSPISSSLRLPPASLRRETQTSTPPPPPPLLSLDDLSHLSPSPQPATPPQAAMSPSPPPPRGGLFASPLRSNGSPAPSAWWSPSREDKPREGSPMDGVMQHQQQQSPTTPSVQQSQQQKVALITLPPPREVARPEMPRNSVPAAGRVDEEEWVTVFGFSLGDTNMVLREFEKCGIVLRHVPGPRDANWMHILYQSRHDAQKALAKHGQQLNSVLIIGVKPVDSWQRQYLNEDASENYQGSATVPFPSQPVAPSGFAIRNALAPLPSNSIPNGSCNESSRGASGAVASPAKSVLSKEAGK >Et_1A_005538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11223050:11228363:1 gene:Et_1A_005538 transcript:Et_1A_005538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWWWPGRRSRSRTKRKDNEPAPAAAFSPRHSVDAHPTASAPAWASPSVQRERPRASSLESPPAWRGADIAGRGTRCCGGSEGAVVGQGFPLPRPKSGPLPSAPSQVEAAARGGGASPCPCVSSGSSSESDDAADHRYADPVVYLGARTIPPTHKCVADETPLVSCSEHHKFPELTIANETEVNLQSFGASTSGPSSRGRMLHEDTRGPRTRSLSPRPKGNGFASSYANLGDFGFGQWSTVKKMDDLKSRSQPLPLPPAPTAWFPVSSSTNSSRQFQSQWKKGKLLGSGTFGQVYLGFNRESGQLCAIKEAQVILDDSNSKERLRQLNQEIDMLRQLSHRNIVQYYGSELITSFVEIRSFRGSPYWMAPEVILNKKGYSLEVDIWSLGCTIIEMGTGRHPWHQYGDVPAMFKIAYSKDIPDFPESFSNEGKDFLSLCLKRDPAQRPSATQLLGHPFVQNYSAIRATKCNITQLRNGLSFPAEACQKKSCREPVSKRSIAPLWDIKGESARDFTGVPMFKPITAVQAIQLELSSLPSHPVLSSGSAAYNRVSYKQAPMTQSTAVHDDPWHDMIQRSESPYGSPKRS >Et_2B_018936.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17497670:17498290:-1 gene:Et_2B_018936 transcript:Et_2B_018936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PLGQAQQVPVRAVQVPRLRLLVLLQLVRLPDPRHLHRVPGDPELLRPPAAPAGAGPGGQGGQRHLLRPLRVLHPRTASTTAAASAACTCTRSALRCPCPPSRRCTPSTSSRSSLRLAVYMIASSARTVALGRKGGTTCAAHAASVSTRGACSATPTRTWTPPASLRCAFGARSCCSVLYNVLVVVCRRRLGTSVCARARALVGGHV >Et_3A_026672.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:1369364:1370899:1 gene:Et_3A_026672 transcript:Et_3A_026672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSLSGFLDLRAAAPFLVAAVAFYFLVEQLSYHRKKGPLSGPALVFPFLGSVAHMIRDPTGFWDGQAKRAKESGVGLAADYLVGRYIVFIRDSELSQRVFANVRPDAFHLIGHPFGKKLFGEHNLIYMFGEDHKDLRRRIAPNFTPRALSTYTAIQQRVILAHLRRWLDSDRSAAGEKAFPIRVPCRDMNLETSQTVFAGPYLTGEARRRFERDYNLFNVGLMAMPVDLPGFAFRRARQGVARLVRTLGECARESKARMRAGGEPECLVDYWMQDTLREIDEAAANGRPAPAHTDDEEIGGFLFDFLFAAQDASTSSLCWAVSALDAHPDVLARVRDEVAAVWSPDSGEPITAEQVLALRYTQAVAREVVRHRPPATMVPHIAGEPFQLTDWYTVPKGAIVFPSVYESSFQGFPDADAFDPDRFFSEARREDVAFKRNFLAFGAGPHQCVGQRYALNHLVLFMALFVSVMDFRRDKTDGRDDIVYMPTIVPKDGCAVYLKQRCAKLPSF >Et_1A_005460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10632347:10636197:1 gene:Et_1A_005460 transcript:Et_1A_005460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPTAAGAVSRPFKYSPRASRRSINQATADPESFFATAPPLRDADAVAARLHEFIARNSHASPASGGERRRIVCVTSGGTTVPLEQRCVRYIDNFSSGHRGAASTEYFLKSGYAVIFLHRRGSCQPYSRFLPDDSFLKFFDVNTESKVQVVESHATVVKKAVGEYSKAIEGGSLLKIPFTTIFEYLQLLKMVATSMGSVGLYGMFYLAAAVSDFYVPWDSMAKHKIQSAGGPLDMRLNQVPKMLSVLRNEWAPMAFCISFKLETDQDILVQKANMALNKYKMNIVVANLLATYKEEVIIVTNTERNPIKRRNKDEDLEEHIIKLLEKSHSNYIYSTPNGCNTNGYEKLIPFDIKGMA >Et_9B_065011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20440520:20442929:-1 gene:Et_9B_065011 transcript:Et_9B_065011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGGGQPAAEPRRVALRALLAGGEASSSSALPPVPEEAVRPATSSKGLLRGLRCTSAAAAQAFEPESAARALRPTADWRGLGCKSSAAAAQVHAPVAEVDAEWRARRRRRGRERRKARGGGGGGVPSGAGMGGGDVWCTPGIPFAAEASSVDCVVAPHPSAVGTRRRAQAERPHRERPGAPAARRRVTMREHMSSSPMHSPPHHDMPFMDADSVPTGRNRHLGGRRHSRVRVEEEIVMFRTRLLLGRMGIYDQYQDWRLDVDNMTYEELLDLEDRMGYVSTGLCEDEIIQSLRMVKYTAFNSKHFSTEMDRRCSICQEEFEVNEETGKLSCGHNYHVHCIKQWLSRKNSCPVCKKTISKT >Et_5B_044594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3963857:3967915:-1 gene:Et_5B_044594 transcript:Et_5B_044594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGLLAAAVLAAVAALAAHVAFNCPIEPGPLPPAPASHYPPNNLLQMLEKLGEGVLDAPEDVHVDAAAGGALYTATRDGWLQRMRPGNGSSWERWRFVGGTGLLGITPSADGAMLVCDADKGLLRVGEDGEVTLLASEVEGTAIRFADAAVEASDGTVYFSDASTRFGFDRWILDFVESRPTGRLLKYDPRTGGTSVVLDRLGFANGVALPRDEAFVVVCESRRFRCTKVWLKGEKSGQAETFVDNLPGGPDNIRLGSDGSFWIALLPVRSPWLDLVYRWTVTRRVVASFPALLEWSKATAKGAMVAQVSEDGEILRLLDDSEGKVINFVTSVTEYNGDLFLGSLATNFVGKLSLAQVTQEQGALGHQAISDKD >Et_9A_061998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18714849:18715410:-1 gene:Et_9A_061998 transcript:Et_9A_061998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGPKVPKKAGAGRRGGPKKKPVSRSVKAGLQFPVGRIGRYLKQGRYSQRIGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTASAAAKEGKESKEKKSPKKASTKSPKKAAAA >Et_3A_024947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25615550:25616983:1 gene:Et_3A_024947 transcript:Et_3A_024947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNLRRQAASMKKSLFDQGYLDEQFCQVEDLQDEASPNFAEEVVTLFFKDSARLISNIEQALEKYPKDFNRWDTYMQQLKGSCSSIGASRMKSECMSFREYCGQGSVDGCMKSFQKVKREHTALRQKLEAYFQLLRQAGPAGAATRPAK >Et_8B_060118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6021325:6022758:-1 gene:Et_8B_060118 transcript:Et_8B_060118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GAGRIAGGIYLSSGVQGNQPASASQLPIRARERLAASPGRLLSLLLSLLADDLSSSSSTPAAAASHAGHAADAATPRDVVLGTLEMSSSGAHAQQVSGGRDAVWPRLRWTRQLHERFVRAVSELGGADSESSRAQATPKSVLRAMAVPGLTLHHLKSHLQKHRLAVSRGLDASPADNGDRSSSSETESQPDEYVEDTVTGLYLTFAGDDGDSAPKEALCDSSRSVAWMQREVQRNLQEQIEVTTKEPADHNFETNLQGSGCQFMGADQAGLGSTWQVQRHLQLRIEAQGRYLQSVLRRAQEVLADHSLGSPEAAMAELSELASAAASTSSSLSLSPPRHRSADSCVTSSSSSKAESKAGAKRLCTSCADTRECTVEQPVSQSKRAVLQSHGQQQGGAEEEADAENGSSEIDLNR >Et_8A_056187.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:13547459:13547704:1 gene:Et_8A_056187 transcript:Et_8A_056187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRYLHHSEFRERVFRLPSMQTMRSSSSRRSLQISTSWQLSCKSSTKRQDWRQILTKAISIPFNVQRSMSQRYSPYFPAK >Et_3A_025497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30248476:30253918:-1 gene:Et_3A_025497 transcript:Et_3A_025497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGEESSWRMASAHERATLPFNPALAYGVQAHAATPLPSLQFFIFYSVRYCLGRDFQPAAAAAAYFGELEEALIHGGVDPGMIKSDVQTKSAGYLAARPPTLEIFPSWPMRHQQQLHSGNSQSVGSTTDSSSAQNTMSQMELVSPASSAQRQEVMMVTTDDYSYKPGLSAAPPPAAPAAAPSFQQQPMQLHGGGDHDKRKHGSARKDGKLADAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQVEHELQRARSQVCSSPVHCKPFLFFSVSFVVQSLMCHSVAVVQGLFVGGCSAAGDMSSGAAMFDMEYARWLDDDSKRLAELRGGLQAQLLDGNLGLIVEECMQHYDELFQLKAELARSDVFHLLTGNWATPAERCFFWMGGFRPSELLKILTPQLDPLTEQQLLGICNLQQSSEQAEEALAQGLHQLHQALADTVAAGTLNDGAAAPNYMSLMAVALEKLGSLESRQSKATDAASDAPDPDHSTGGAVFPLHWGVLPASPSSQQPVGFPSSRVRFRPLQRRNLHTLLCDFQPCLQIYQRGEMNMSDNELQWDRLYKPHGNRTASNAAAAAESVLRILRPHLEGVKLRRQ >Et_6B_048831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14230528:14235875:-1 gene:Et_6B_048831 transcript:Et_6B_048831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAANPKAKPGTMAPPAVPAAQNPQASSRASSSSSSAADPSTKGTHPTVTAAGGGGGPDASAPPAPSPSLNGEPSRSPLLPAPHQHPQPHLGAPAPHVPPPAPLPPLRPLLTVAAVEAVMAAIPPPPRYGLEDLDRRTVALSDGTVRTYFALPLEPPPQLCQPPPPVPAHLLAPPPPPPLPPLRRLAPDRWALPPPVLLPQVRAPGAALLPTPVPKRKWEDQANGGVPGASGRQPPPLPKPAKQVKVEVPEPGLDPKVLKSAFLKMVKVINENEADKKNFRANGKLFQLKCSVCKRDSIDLHALLNHAYHAKNPEHRADHLGLHKALCVLMGWNYSVSPVHKTAYQSLSTADAEANQGDLILWPPTVIVENTYKSKNGGQKDGMSNNEMESKLREMGFAGVDVQPLLGKEGQKSMQVKFPATLDGLNKASQLVEFFENAGHGRAAWARIRSIASTVEGANNPMLVKVDGNGERTWVLYGYLATAWDLDILDAESKQSTTVKSRKELDLD >Et_7A_051090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14308570:14321384:1 gene:Et_7A_051090 transcript:Et_7A_051090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRAASRKRTRADDDVDRLSELPDCILEDVLSRVTSRQAVVLSRRWRNLWRTVPCIDIDHSEFSDDDGRTDEDGSSKLEEFAVVLMALRRRDVSTPLDTFRLRVAHEDFDAAQRWVRRGLARHSASAATALIATGHGRQSSPCTPVPAPSRAASAGCIYPGLGVNFEKALEHELPVVEDLKLRKCHYSLRYLATTRRPLLQPHGPRAVPHHGSPRLAKARGGSDSVHGHGLFSRSIAMEERAASRKRARADDDVDRLSALPDCVLEDVLSRVTSRQAVQTSVLSRRWQHLWLTVPCIDIDQSEFLDDEEDGKREDQWSKLEEFADALTVLRRDTSTPLDAFRLRVAHDDFGAAQRWVRRGLARRPATLQLSCGRPRFHGSRPPKFSLHSRAGAFTCRLRRLHLSRVRLDVNFAEALENELPVLEDLKLGRCHYSFRYLASRSLENLDLDDCWGRKVFELHLTAPRLASLRIDGADNPLVIGSMPSVVTASLTYGSCDSMVYVLSFLRDVRNLDLSWTSFLELDPSWQLPLFCNLRTLVFNDCYLGAECQVLRLFLQNTPSLETLRLCDCDFRGGSSRSGKRKKTAPSDGHATRAYECRNLRSIDIEFYDLDDISELSDMLEDIPKQVRKRARAADDVDRLSELPDCILEDILSRVTSRQAARTSVLSRRWRHLWRAVPAWCVDIDESEFYKPAVSWRRARLFGLSLLSKRQHDEEEEKKQDVRWRFADFGEQVTTLYASSPLDTFRLRVHHCDIAERNSSYEPLEFSFASYFSSRSRAGASVCRLRTLHLSRLVLNEDLRGFRRERAPHLQLEGCEHGFGRIASGSLKNLTIDSWSPCFSAHVLVLSVPRVATLRLDGSLLPVTSECEMASVVAASLTHQAGHLLRYLRGARSLDLSKLSATALLDDEEAVAVFPNLRTLLLYDCDDVAVLRHFLQNAPNLERLTMRYGRFSGGPTRSTKRKSRAKHKSSSHRRGRTAYECKNLNSVELEFYEEHDVFELDEALGDISREYVLPIERFTRHGKCKHEQPRGPRLHELRDASPHLAAHPWEIEAPPVTVDGEIPSLVVSSLRHPVGDLGVLRSLRDATTLNLVRFSTTAFLDDDGYFSVFRNLRTLHLDECDVGAAARPPERPELSWRGSRCATASFWAARGATRGKYGSEETSSSDRRGELIVHLWKKGGIQALVLLSFMLQVVLLVLADFRKRSRALTIRPFLWAAYVLADSIAIYILGHLSCTGTSREHELMAFWAPILLLHLGGQDNITAYSLDDCRLWLRHTQTLAVQVAGAVYILYQSSIFVGGSSRSFLLPATILVSVAGLVKYVERVWALWFAGFGASAMTEPGTGRSPIASSFGDSTNATGMLESAGHPSSFGDSTSSDHDAQGLLREAHRLLDVPKCFFMLLREKDVQVRLEDVSRLNAQDMLMVAQMQLELIYDIFYTKAELISRWYGFCVRVFTTLAIAMALVLFHLLILGDHHKLDGHRYNRVDVSLTYVLLVGAVILDAVSLLRAALSSWMCAPHITASSQGVGMGHSIFYLLGHVIMSLHPPAYLRSIGQSGLSYLGAGRRFSAFSKLVRWIGLEDPWNTAVLSSSRPISGQIIKQLLAEVVLKSGTTVSETSPDHILNSRGRAALNFPE >Et_1B_012798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35108261:35112014:-1 gene:Et_1B_012798 transcript:Et_1B_012798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVFPLLLVLTLFLFPDGLCKSLAARPSIVNIGSIIRFNSTIGGVSAVAIQAALEDINSDPKILNGTTLKVEMRDTNCEDGFLGMVQALQFMETDVIAIIGPQCSTIAHIISFVANELRVPLMSFASDATLSSIQFPFFVRTVPSDLYQMAAVAAVVDYYQWKLVTAIYLDDDYGRNGIAALDDALTARRCKISYKVGFPANARRSELLNLLVTVSNMESRVIIVHTRAEPGFQLFSLANRLNMMGNGYVWIATDWLSSYLDANSSVPADIIYGMQGVLTLRAHIPNSKMKSNLISKWSSLSKKYNHSDLRINAYGFYVYDSVWTVARALDAFFDDGGTISFSNDSRLHDETGGTLHLEAMSIFDMGNKMLHKIRNVNFTGESGQVQFDPQGELIHPAYDIINVIGNGMRTIGFWSNYTRLLSTVRPEDQYSKPPNTSLANQRLYDVIWPGQTAQKPRGWVFPSNAKELKIGVPNRFSFKEFVTKDNVTGSMKGYCVDVFTQALALLPYPVTYKFVPFGSGTENPHYDKLVQMVVDNEFDGAIGDIAITMNRTLAVDFTQPFIETGLVILAPVKKHITNSWAFLQPFTLEMWCVTGLFFLIVGVVIWILEHRINDEFRGSPRQQIITIFWFSFSTLFFAHRENTMSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLDTSIRGLEDLKSSDYPIGFQVGSFAEEYMAKELNISRSRLKALGSPEEYAENLKLGPKKGGVMAIVDERPYVELFLSTYCKIAVAGSDFTSRGWGFAFPRDSPLQVDLSTAILALSENGELQRIHDKWLKTGECTADNTEMLDSNQLRLESFWGLFLICGVACVIALLIYFGIMLRRFLRHEPPDSAVSEPGSSKSRRSLKKFISFVDDREPPKKKRSLSLSRSSMPTTPMSNLHALDIERPVRPVRNGSVTDIEN >Et_3B_030156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3176675:3181362:1 gene:Et_3B_030156 transcript:Et_3B_030156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAYIIPNIDGQPGETMQSLPDTLSSLMGFNKYLTPSWIESVSHIIKELSPTRPKMEVMVQKAQHDGPDDIETEAKVAMIQDELVSLNAQLKKITLQRRQSLNNYLDLKGNIRVFCRVRPFHHEESYQSRSLFSLDESNVSLKVAETKIKQYTFDKVFNQSSTQGDVFAEVEPVIKSALDGYNVCIFAYGQTGSGKTYTMEGKPTDLGVIPRGIQALFNRASESNIRFMFTFSMLEIYMGNVRDLLVPGSKTHGFKKVPSLSIKTDPDGGIEIENLVAVSVKSFQEVKRLYEVGSRLRSTASTMANSTSSRSHCLIRISLTSVNAPERKKERNKLWMIDLGGSERLVKTKATGKRLKEGKAINLSLSALGDVIDALQTKKAHVPYRNSKLTQILRDSLGCESKTLMLVHIRPNEDDLCETICTLGFATRVRSVRLESEEPPEVKARKEHLLMEFEQDISSLEQECETITQRIKKLEEAMEHLKGPQPFVSSDIAISHSSIEELKTDMSRNTRNSNNYRDVSSKLPSFMKPTASSQLRTGLNKHIPASKRTKPPVPPKRRPSSVYAESIRLAVNTATWQSECSSECSISMTSDTNWIPSMPDETECSQNTSECETKQVIFSENENVSQGKVISVTECQHAEPRMMQNKTEEMGIIDIESWIHQQIIENTGTCRSAEVLDTPEDEDNGMNLHFSAHDVEDIKQTKDVSQFRKTELCTPPSEEFCSNTEIKEHKNQRLAYHGSSRRSLKEILDSNLTKFFGAFRTAWIGALLGMGTMSLGLEGDFFQSLML >Et_5A_041084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1876507:1876980:-1 gene:Et_5A_041084 transcript:Et_5A_041084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRESKATPLPLPAAEEIDAEDYRGCLRRLALGLPRPCARACRSHTVRPPRDSSLTASADHRRVHGRWRHRASVPGPWCCRGPPPILTALEVLDNNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVSPPLSLLRSYGKLE >Et_6A_045915.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:6429151:6429423:1 gene:Et_6A_045915 transcript:Et_6A_045915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSKMSLAFMVVPLLMALLASPSASFPLQAGSKDHCVKVKACEEKLCGGMCAVAGINGAGRCKTVGGVPYCCCNP >Et_7B_055235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8585986:8589705:-1 gene:Et_7B_055235 transcript:Et_7B_055235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACYGALLHQPLSSLAPSSSSPRRAGAVRAPRLQPRVTLHPSFSYGRARARISPRCSYSSAGAAPGESPAAALRRVLEAPGAHQAPACYDALSARLVERAGFRACFTSGFSISAARLGLPDVGLISYGEMIDQGRLITEAVSIPVIGDADNGYGNCINVKRTVKGFIKAGFAGLILEDQVSPKACGHTQGRKVVSREEAVMRIKAAVDARNESGSDIVIVARTDSRQALSLDEALWRVRAFADAGADVLFIDALASREEMKAFCAVAPGVPKMANMLEGGGKTPILSPVELEEMGYKIIAYPLSLIGVSMRAMEDALVALKGGRLPPPGSLPSFEEIKNTLGFNRYYEEEKKYVVAPSQSSYRSSYEYTSESSSAEDFKSRTEKPQEPPIVDILPELYDADSAGGRGPSTGMWSRTLRLKITGRDGVLKFDARIPAGFLEGMTKIIPGLAGANIMERLRNAPIDVDNPQNGQLLLDFEDAIGDRIQVFLG >Et_3B_030162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3167663:3171205:-1 gene:Et_3B_030162 transcript:Et_3B_030162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGPGGGMGGGMGGGGDVELVSKTLQFEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPIDGVAWFLDLFDYYIRTEERDAFSKELRLQTKVFYFDIGENKRGRFLKVSEASVNRNRSTIIVPAGSSGEEGWEAFRNVLLEINSEASRLYVLPNHPNQQHMEPPERLPGLSDDVGAGFIAGHGSQSASGPEVDVERLVDLPPQEEISGMGMSKVIRADQKRFFFDLGSNNRGHYLRISEVAGADRSSIILPLSGLKQFHEMVGHFVDIMKDRLEGMTSANLITG >Et_5B_044741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5223487:5224930:-1 gene:Et_5B_044741 transcript:Et_5B_044741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRSSHRSVVLSVLSLFLALVPAARAQLSPTFYTSSCPAALLTIRTAVRAAVALDKRTGASLLRLHFHDCFGCDASVLLDDTANFTGEKGAGPNAGSLRGFGVVDAIKLLLEALCPRTVSCADILAVAARDSVVALGGPSWTVQLGRRDSTTASLSTANTDLPSPASSLSTLLAAFAKKGLSSTDMVALSPHGGAGAVPELPGQDLQRDQHRRRGLLHSDQQLFGGGGGGGSTDGLVRSYAASPAQFQSDFAAAMVKMGGIGVLTGSSGQVRRNCRRMT >Et_5B_044893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:744907:745749:1 gene:Et_5B_044893 transcript:Et_5B_044893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKRLHFSEGRPKSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEKERRRQYEAEPNRPSKGQLI >Et_7A_051987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3408657:3410167:-1 gene:Et_7A_051987 transcript:Et_7A_051987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKAGAAAWLVVLIAAVAARLVAGVAGAAKFDDVVQPSWANDHMVYDGDLLTLRLDSNSGGGFVSKNKFLYGRASADLKLVPGDSAGVVTAFYLSSAGDTHNEFDFEFLGNVTGEPYLVQTNLYIEGVGNREQRIDLWFDPTADFHTYAVLWNPSQVVFMVDDTPIRVYENKNGIKGHHRHAANGTNSTIPPFPSPQPMAVYSSIWNADDWATQGGRVKTDWSHAPFEATFREVRVDGCVWAPNATDSDAGEVKRCTESSYGKEGRYWWKEKEMEELTVHQSHQLVWARAHHLVYDYCVDTDRFPVQPPECAGR >Et_5A_041385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2242310:2247300:-1 gene:Et_5A_041385 transcript:Et_5A_041385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTTTMDSFDPEENRRRVARLVAKCGGTQGYKRQVVLGPAIVEARPPGTRIVEVPPSFPPVGAPAAAEGWCTAREPANEAARVLLGKIHRHHLAALAALGKEFVGRHARGMLFAGCTYGLLPHPVFNILANALWYDFNFPPSSRRWTEVKMLSGKAVFRMACRSLEALVAFMKYFAPTLSTADIYSLLDRVDGSLTLAMRHLRDSNEHGRTEGSFRAAGLAAGLPNEAVREAHVSFVTNYYSVRTLIEDHRHVFSPSTLSLIYQILGSDVFPKPYMCEGLPSTTSDLSPAAYRASRLKIHSFKMEEELSLAIAHKALQKLALQSGEPYELHMICGKNVVVMPPETYYHINFFASPVSDSNVPKLFFAEVRGELKDVNDVTLCCHVTETNGRCYVCEYYGVKLLHPTDEEFKGREDSKMDCSMTSRTLEFRAPLNEDYILWDLYF >Et_4A_032304.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29109570:29109752:-1 gene:Et_4A_032304 transcript:Et_4A_032304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDMVMRVVGVDFGPCGIFANGDCVGRCFKPGKCDECCKNKGFHHGKCQDVACFCCTE >Et_8B_058541.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:14972868:14973371:1 gene:Et_8B_058541 transcript:Et_8B_058541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSSLRTSTALLVMLLLINAVGHSPASAFYLPGSYPQRYRPGDALAAKVNSLTSPTSKLPYPYYSLPFCAPADGVRRAAESLGELLLGDRIETSPYRFSMLNGTAAPLFLCRTDPLGAGAAALLRSRIDDAYQVNLLLDTLP >Et_5A_042457.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1255737:1256075:1 gene:Et_5A_042457 transcript:Et_5A_042457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLSAVAAPLSVAGLRKPASSAFQPLQIRARPAARMSVRASASMKEKATAGITAAAMAAALVLPDVAEAATLTPSLKNFLLSIVSGGVVLVAIVGAVVAVSNFDPVKRA >Et_3B_028219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1272889:1278079:1 gene:Et_3B_028219 transcript:Et_3B_028219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLSSTPTWCFLSTSPPQPPPLTLPSPRPSRRLASSSGSLARLRLPLPQSVASAMRRRRPAPPPPAAAAGGEAAGADADEKRDGTDLKTLATRFWKVAAPYWWSEDKTQARLRLAAVFTLTLATTGISVGFNFLGRDFYNALADYARETLSLRWRSWMTSYYMKRYFENKTFYKIQSQSMIDNPDQRINDDLSAFTGTALGFSLTLFNAAVDLISFSNILYGIYPPLFIVLVVYSLGGTAISVFLGKNLVNLNFMQEKKEADFRYGLVRVRENAESIAFYGGEENELQLLLDRFRRAFDNLSELLIASRNLEFFTSGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILSDFSLIVFQFQSISAFSAVIDRLGEFDDLLDGNGSPQSSQRDIIDGINIIFKTSGPSVLSSNGSLTQSDPCLVLEIRNLTLLTPRSGNILLTDLTMELKDKDHLLVMGPSGSGKTSLLRALAGLWTSGTGDIIYHVRGSEYSSSSDEPSKKKSEGEELLQSSRQRRDNGIFFVPQRPYMVLGTLRQQLLYPTWTENVHNLPNDDPQSTDPLPFLSEASTSDAVGVKPEMPSTSELIRVLEVVKLGYILPRFNGLDSMHDWASVLSLGEQQRLAFARLLLAKPVLVLLDESTSALDEPNEAHLYSQIEAAGITYISIGHRKTLQKFHNKALFISKSDSPDINLPNWELKSIGQISAEESSPFPS >Et_10A_000366.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5780253:5780378:-1 gene:Et_10A_000366 transcript:Et_10A_000366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCARNHGCCSGTSRTRPTCGAWRELRSFSTSCHASVIFEW >Et_9A_062646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2738350:2745518:-1 gene:Et_9A_062646 transcript:Et_9A_062646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVRWKRLELAALVLYALGFYFVVIQRSLRLSRDFSGRLYGLRAGSFAGRLNDLSDAQWRNFRGNLPILSVVMGAFLIVVNSLRYCYGLKGRGAALLWLILSLCYLFVVFILLISWINYLIVKLFAQYKYCTGLIWSFNLAILILNRVYEGYSFSLFGQNLAFLDSYRGTFRWHICFNFVVLRMISFGCDYCWTLHSSHFDHKKHMQRCHICYSGKTCYLALQERGLNVDKYTFLTYLCYLTYAPLYIAGPVVGYNAFAAQLDTPQKNYSIAQISWYGLRWILSFLLMEAMTHFFHYNAFVVSRLWQKLSPFEIFIISYGVLNFMWLKFFLIWRYFRFWSLVGGIETPENMPRCINNCHDLESFWKSWHASFNRWLVRYLYIPLGGSRRKLLSIWVVFTFVAVWHDLEWKLISWAWLTCLFFVPEILVKSLSNKFQARSSLGMFIHRELGAVAGAVTISCLMIANLVGYVVGPSGIKILISKMLGKDALPVLAFIFTSFYVGVKLMFHVRDARKNHE >Et_9B_066039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21172552:21179026:1 gene:Et_9B_066039 transcript:Et_9B_066039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGASPSTAAALPARRAEHPASRSRSPAMRRTSSHRLLQFSQELKAEAMARAKQFSQDLTKRFTRTHSRAHLVGDPPASSSAAAGPSSGIESALAARDERRKRAQLDRTKSGAQRAIRGLRFISGSSKPSNAWIEVQANFDRLARDGYLSRDDFPKCIGMTESQEFAMELFDTLSRRRRMQVDKINKEELREIWQQITDNSFDSRLQIFFDMVDKNADGHITEAEVKEIIMLSASANKLARLKEQAEEYAALIMEELDPEGLGYIELWQLETLLLQKDTYVNYSQALSYTSQALSQNLAGLRKRSPIRKISSTLSYYLEDNWKRLWVLALWIGIMAGLFIWKFIQYRNRYVFHVMGYCVTTAKGAAETLKLNMALILLPVCRNTITWLRNTKAARALPFDDNINFHKTIAAAIVVGVILHAGNHLICDFPRLIDSPEDKYAPLGIYFGQHKPTYLELVKGVEGITGVIMVVCMLIAFTLATRWFRRSLVKLPRPFDKLTGFNAFWYSHHLFIIVYIALIIHGQRLYLIHKWYKKTVAIYPGNVLTLQMSKPPAFRYKSGQHPFSITSAPGDDYLSIHVRQLGDWTRELNRVFSAACEPPVAGKSGLLRADENTKKALPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKMEEEEEASSDLYPPISRNKAHVDLDTLMRITSKPKRVLKTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNFKRVLSKVAGKHPYAKIGVFYCGAPVLAQELSKLCHDFNGKRTTKFEFHKEHF >Et_10A_000533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12363828:12364199:1 gene:Et_10A_000533 transcript:Et_10A_000533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNVDGDYNEKIGAAVLGGQPLLTATRVLFHCRDDEEAKVAACLDDGRNDCAAVVSKIVDGGVEHLLTGPLINDIRLEGAQLQEQAVRKVRRSQNKIAL >Et_7A_052463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8328231:8332457:1 gene:Et_7A_052463 transcript:Et_7A_052463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKKVEVEATKEPEPAAAEAPAPAPAEPAPEAPKEDVAEEKAVIPVTEPPAAEEKAPADDSKALAIVEKVADEPAAEKPAAEKQVSSNDRDIALARVETEKRNSLIKAWEENEKTKAENKASKKLSAILSWENTKKANIEAELKKIEEQLEKKKAEYAEKMKNKVAIIHKEAEEKRAMVEAKRGEEVLKAEEMAAKYRATGHAPKKMIGCFGA >Et_1B_009733.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:21706245:21707477:1 gene:Et_1B_009733 transcript:Et_1B_009733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAISAIVGELISRVISFLVKKYSDDTSIDQKLEKLQQLLLRIHAVVEEADWRYVTNPKMVMQLKILADDMYRGYHVLDKSRYKSIMSSIYEEGTKSSIPLKRSRSTIPSTLTSFVEDSDLHSVLERLECATTNMTEFVLLLMGCDRICRRPYDTYLYTDTLMFGRHAEKQQIIRILLNDPGSYGGPTVLPVIGGCRVGKKTLISHVCKNDRIQSYFSSIFFIKGDSIWRMENVKFGNERTLTVVEFFVDVDDYDWAKFYTTVARMTAEGSKVIIISRIPNFARFGTVKAVCLNSLSHEQYTYLFKRLAFGSTDENEHPYLASIANEVAVVLGGSLITANVIADLLRRKLDIQFWLRILHRFKGMVDNNLSKYGEHPKDILENERPIDISAFYSSGSATWRLMPPL >Et_9A_063434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24199446:24202239:1 gene:Et_9A_063434 transcript:Et_9A_063434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDYSWVRRTRFSQSIVRSSSSREQFGAFSEQFSRSTALKKNGFDSVRRIPRPSPQPITKGAVVSNSARLPIPRAKSSVSLSEKKLKHTSSDGQLNQLSQERRTGGDRSQQEASAKQDWKGNGLSLDIPQRHVVRPPMDESPGSLEFSFHSEEQSLHLQRVCSSPAPFYSQDAVTPVEESRARSASFRTMAEVSKPTPKTKRRSKTPIPTHVISDVFKEAKAASKRFSSPQRQRKPSSPRSPDDSPPFGFASLRTPSKLKITRRTSSWPTRNPDNGLSKVAALEILERWTVDRSQLLIGHRFASGAYSRLFHGIYKEEPVAVKFIRQPDDGEDDELSARLEKQFTSEVTILARLQHRNVIKLVGACNCPPVFCVITEFLSGGSLRAFLRKLERKTPPLEKVISIALDIARGLEYIHLQGIVHRDVKPENILFDGEFCAKNLRPVIPLSYPAPLRLLIEQCWSSQPEKRPEFRQIVQILENLKTVLDRDGTLDKIPSSIRQAQECNDQNKKKLANWIQKLSLSQPDFYSGPPPPKLL >Et_2B_021600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3423241:3423566:-1 gene:Et_2B_021600 transcript:Et_2B_021600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSCCCRCLELLCSVLLPPLGVCLRHGCCTMEFWISVLLTILGYLPGVLYAVYVILSVDPHRNRDPDEDYVYVA >Et_9A_060879.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:94162:95364:1 gene:Et_9A_060879 transcript:Et_9A_060879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCKLKPFSLHVERNAFSMEKFVDGIGEKVEWGSKQYLTLWPALKDGCKEIRSDADLLEWMDMHNNTGVVHINAYVNDFFGSIEQVTPTKRRCHPNVRTCSSDPPCTMTFKHQWTKVDTGYTIKKPKLRRKPARPRKQRIKGSEEAGTLAGRKRSAQRVIN >Et_7A_052461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8314223:8314805:1 gene:Et_7A_052461 transcript:Et_7A_052461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQQKVVLKISSMSDEKVKQKAMETVADIYGIDSIAADHKDQKMTVIGDMDTVAIAKKLKKFGRVDVLSVGPAKEEKKDEKGAKK >Et_7B_054002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14066672:14073615:-1 gene:Et_7B_054002 transcript:Et_7B_054002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAASSSSSSSSKKQKAKPKQRGGVDFKKYKHKVGRKLPPPKNATNTEIKSKAIVLPEQSMASERSGMAVNKRGLTLRELLQQTGHYNANVRRAALNGIKDIIVKHPTELKLHKVAIIEKLQERISDSDKVVRESLYSLLQSPIIPSLKEDNAISTRSTLFLLMANILNGMTHLSLDIQLMAFRFLELVVINFPSSFPSYAEQAFDNFIAVLSNDRIQLHDRNKLNSVLSGLGHCLSLVAKATNNNDTSNRQVRNLSARELWKSNTDEGTFAMSNLLAKLQNLVQILVKSIEVSASDLCAKSSIDAQSSEALLSALHCLDLICRTFIHEVKKPHLNISISKTQFDPDWLRSSLLVHLKNLWGVKRLFHEKGDDKIFIFNIKIAEIILFTGAWINSSVFSAEEFCQFVSSLLTKAKTLRNKDLMEMYMSPLITCIPDLISNAPDDSKGYLLEAFTDAFRDCKVDSKLILPYLDAVGEMLLHEYLEYYDAWIHELPGILLQSIDKAPSVTKVVLELLLRVGQHFPTMDCENLRALIKLFGVNSSSGTVELGPFVNLTRDCQELAISCLYYFPSLLPDIIGSLASCCLSDELEPFILFRVVEILQSIYKAGHLQITEQLSFLSLLMARFKVHPDSCIYYVKPGNLFTPEDPSKVANWDTFKLLNRLILTSLSEMGDGSLVLELMWNNLSNALFSKPLFHVGSKRAKKSFSCGLKTTTELMSFSLLQAHKPSLHNLNGLFRIIVTLDAGTSKLLNEDVIKLIAGYLIDASLDLSKTIEFGFQPDKTRLFQYFIKPCIIMFEKNDKVLCSTLEMLKSFVTGDDHLFSSPSNLNYPRELSSRVCVVTSILIFLCNDRKLHRNLSLSKLVIKGILEYVWQQLVTSVPDVTFEEKQRLKSAFEQLKTKALQLNCWARSELEGLSSAT >Et_2A_016798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28233018:28233715:1 gene:Et_2A_016798 transcript:Et_2A_016798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIFKIMAMLLAVLAVWVTLLETSTVPRNYTWLLPIYLVLALGCYGLFMVGFGLMFFPTCPHEAKQLQQDIVVALEFLAKNGVDVAS >Et_4B_038498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29485953:29495085:1 gene:Et_4B_038498 transcript:Et_4B_038498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGKMKGGEMSSISPLVSFMLGAAMATVCVLFFMSASPGRRLVDLSAFTSGNATADQLLFPVQRDGAADGGNDTADAAEATQAPAPAPVEGPSEWGDLEEVLRRAATKDRTVIMTQINAAWTKPGSLLDLFFESFKLGEGGVAKLLDHLVIVTMDPNAYEGCQAVHRHCYFLRTTGVDYRSEKMFMSKDYLEMMWGRNRFQQTILELGYNFLFTDVDVMWFRDPFRHISMAADIAISSDVFIGDPYSLGNFPNGGFLFVRSSATTIDFYRAWQQGRWRFFGKHEQDVFNLIKHEMDLVRDLGLRVRYLDTAFMGGFCNYGKDLRKICTMHANCCVGLRAKLKDLRSVLDDWKRYTAMPHWARHAANWTVPGACIH >Et_3B_031033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10801461:10803843:1 gene:Et_3B_031033 transcript:Et_3B_031033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSSLCLPTAADARTWHYHRKQHRHRVANNDGHIALPPDVDGYPPAEPPDDEDVPPPPQSPGARDGKPCPPSRPPSKAPAPAKPPASSLPKPPSPAPANQTPSSSLSKSPSPAPAKAQPSRLAPAKPPMPSPARSPRLSPASLPAKPPIAPLPPQPQLSPSKAQPPVSPTAKPPQLAPATPPTQPPRRLTPVNRPAVPPVSATPPALPPASPPPPAARAATNGSSACGGGGVFDVRAFGASGNNSSNDTRAFRAAWKAACASDSATLLVPADGVFTITSTVFAGPCKPGLTFLIDGVLMPPDGPASWPTSDSRRQWIVFHKADGMTLAGRGTVEGNGEEWWDLPCKPHRGPNGSTLPGPCDSPALIRFLASSDVTVRGLRIENSPQFHLKFDACQRVRVDGLFVSSPAFSPNTDGIHVENTTDVQIVNSRIYNGDDCVSIGAGCSGIHIENVTCGHGHGISMPDAATSWWHPGSIGSLGVRNTRACVSNVTVRNARILDSDNGVRIKTWQGGAGAVSRVEFAGVQMRNVRNCIVIDQYYCLGTRCANQTSAVRVAGVAYRDIRGTYNPRGGGAPIRLACSDAVACTDITMSGVELVPAGGAGGEARLPDPFCWNAYGLMETQTLPPLNCLQEGRPESLQDQLTSC >Et_3B_028584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17072956:17079065:1 gene:Et_3B_028584 transcript:Et_3B_028584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQESTFSSASTSTQVNTRTEGFQSLGIVQSLRKLWQCEGIRGFYKGNGASVLRIVPYAALHFMTYEQYRHWILDNFAPSVGTGPVVDLLAGSAAGGTAVLCTYPLDLVRTKLAYQVSNAGQSGNALGNSGQQQAYSGIKDVFKTVYKEGGARSLYRGVGPTLIGILPYAGLKFYIYEDLKSRVPEDYKKSVILKLSCGALAGLFGQTLTYPLDVLRRQMQVQSKQPKNASDGVCIRGTLQGLLLIIRCQGWRQLFAGLSLNYVKVVPSVAIGFTTYDVMKSLLRVPPRRRPNSSGEQNLSNSMAKNPKKPIRLDGFVCLSRSFGSRHLGRSLSFVSGCLLLLLHPCDNILDFFYSMLDSTKAFSRVDRCTPVDVLGCHSIVGLLFSLWLLIFGTCSRHSKFQSWDSSHSLKLYGITVNKILA >Et_3B_031302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25182182:25185317:1 gene:Et_3B_031302 transcript:Et_3B_031302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSITFASSSSYLSNGSSPSVGLPPAGPPQAAAAGEGWGGGAAGGGGGSLEAMSLNRLSQNLERLLLDEDFDCSDADVDVPDGGPPVPVHRCILAARSAFFYDLFAKRGRGGAAGGDAAAGTGAAGEGAGSGRPRYKMEELVPGGRVGREAFLAFLGYIYTGKLPPAPPDVLSCADPVCPHDSCPPVIRFAVELMYAAWTFKIQELISLLQRRLVNFVDKILVEDVIPILQVASHSDLPQVLDKCIRRIARSDLDDISLDKELSPDVIDQIKKMRKKCQTADGDASMSDPVHEKRVRRIHRALDSDDVELVKLLLNESEITLDDANALHYAASYCDSKVVSELLDLGLANLNLKNNRGYTPLHLAAMRREPAIIMCLLNKGAIVSQLTADDRSAIGICRRLTRAKDYNTKMEQGQESNKDRLCIDILEREMMRNPMAVEDAVTSPLLADDLHMKLLYLENRVAFARLFFPAEAKVAMQIAQADTTEEFGGIVAPSTSGKLREVDLNETPVTQNKRLRSRVDALMKTVELGRRYFPNCSQVLDKFLEDDLPDGLDTFVLQKGTPDEQQVKRMRFCELKEDVRKAFSKDKADNSMFSGLSTASFSPPQMVAKK >Et_6A_047362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4444159:4448608:1 gene:Et_6A_047362 transcript:Et_6A_047362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSLNLIAVGNIPYDATEEQLVQICEEVGPVVSFRLVIDKETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENGRNTDRNREKGRGGPGMSSNVDGQKQLTGPSVTGDASLHQPVGLTPAIHAASVMAGVLGGSQTANVQNGLPVQYGLGNDPLTHYLARMSRHQLHEIMSELKSLTTQNKELSKKFLEGIPQLPKALFQAQIMLGMVTPQMMQMAKSQQPLSSLSQSSSHISEPFPQPDPMIPVVSRPSTLPVSVPPNLNVLQEPTATLQNFPQYQHPSQSPVKMFSHGHQPGVATHPQMLTQHLGGSSNVGPKPLVTSVGLMPQTQSSQFTPQHPRPPVMPTSVPAAPETLHNEIRGSDHASHLAEFAHPSKLRKLEDGSSVPGMVNKNLPVYSAPLLAVAPNGPSGGYNTGSASIQQPENELAPDVESALLQQVLQLTPEQLSSLPLEQQQQVIQLQKMLSAGK >Et_3A_025855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33363251:33365006:-1 gene:Et_3A_025855 transcript:Et_3A_025855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAYDASSDEEDAGEPPAAAAPSPPPSASSIGPEPRPSSPSTSVAAAPQPTPPPPAPSRNVMPTSSSNVSLPTPSLDLPDVADLFAPPSIQSLQSRTTDHSSRENASRKRESNGSVFQGSQSKFPRTQSQPSGARSVAGNSLIPPQLRGRSNVVTEDMSKLFVAKRKE >Et_4B_036478.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26098378:26099808:1 gene:Et_4B_036478 transcript:Et_4B_036478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSPEQVGLQVGELLPQPVGEEPARVHAEIDCRGEGDERGGVLRAPDVRDSHFHRRLAAAALALHRGGHDEAAVVAEWPVGEVAAAPRAAPGRAAPAERLRGGEVDGELAALGRRDGRLGERDGVVDARDEEVEVVAQAVGRAGAAALAPALEARPWLPRAVAGGAHDEPGARVGAAHAVRARGRHERGHHGRQRHGGARQVVHRHRPPLALLPRRRRRAAVHPRPPQVAAAAAPELAGEHRLLHAAPHLQHLRAALRLAVELEHEPRVGLRADGEAQLRGRGGALAPALPDRDPAAVQHRGLRLGALEVERDSRLPQQPASAHAASTPFPPGVDRHLQTRLPAGGEERRLRVEPLQVSLGQMERGGEGARRRRLLEAVGEDERGRDGLGRHLAQPDLAVARGSGGVDARAGAGGRRRHRHSEREVADQHPHERARVHGAASLLLLPSLHSRNPRGNFSSDPLGLAATEDAVRWR >Et_8B_059812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:363252:367690:1 gene:Et_8B_059812 transcript:Et_8B_059812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLVVPRSDRIVRRTVMVGAVTAAYFLLTADYGPNYPNPMYYKHAPKTCRNFVELARRGYYDNVIFHRIIKDFIVQGGDPTGTGRGGESIYGAKFEDEIKPELKHTGAGILSMANAGPNTNGSQFFITLAPCQSLDGKHTIFGRVCRGMEIVKRLGSVQTDKKDRPIHEVKILRAIVKD >Et_4A_034869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7361772:7366300:-1 gene:Et_4A_034869 transcript:Et_4A_034869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPQGAMATGAAVHAVPCSAGRSKRREQRRGSASLSVRASTDANTVTLLDYGAGNVRSVRNAIRHLGFGIRDVRSPEDILAADRLVFPGVGAFGSAMDVLNSTGMAEALREYIRRDRPFLGICLGLQLLFDSSEENGPVSGLGVIPGVVRRFDSSQGLIVPHIGWNALELTKDTQLLQGADGHHVYFVHSYHALPSDANRDWISSICNYGESFISSISMGNIQAVQFHPEKSGATGLSILKNFLGPNSSGAKVPARRKASKLAKRVIACLDVRSNDNGDLVVTKGDQYDVRDNTSSKEVRNLGKPVDLASQYYIDGADEVSFLNITGFRDFPLGDLPMLEVLRCASEKVFVPLTVGGGIRDFTDGNGRYYSSLEVASEYFRSGADKISIGSDAVFAAEAFLQTGVKTGKSSLEQISKVYGNQAVVVSIDPRRVYVKSPDDVPFKTVKVSSKGPSGEEYAWYQCTVSGGRDSRPIGAYELAKAVEELGAGEILLNCIDCDGQGCGFDIDLVKMVSDAVTIPVIASSGAGTVEHFSEVFEKTNASAALAAGIFHRKEVPILAVKEHLIDAGVE >Et_4A_035254.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:14951394:14952101:1 gene:Et_4A_035254 transcript:Et_4A_035254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHSSGELDVFRATRYFAGHAHCATAVTEPPAERLNQGKVVLHDQRMAESEGVHVEQLRVHDLRHDQELLPAKPAGKSLLASFFGFMVSPSPGASFRKNLPPPTSRSTAAGEGPPKVSSSTTSGESTDNLIASAASVRLQGFGSGLDLGAATGDRRFQGVRVVRGSQGHDEKWVVKCTSSWYQDERQHDIFNATSSDHSYQVEEEGEDDDNNPGDRESDSSSDLFDLDIDYIDL >Et_6B_048855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14617832:14625413:1 gene:Et_6B_048855 transcript:Et_6B_048855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKTSEGSGPWLRSANNFLGRQVREFDPNAGTPEERAEIERLTQEFTKNRLDKRFSSDLLVRMQIFRPSSLSRLLKSQKRSYKREGHWPSDFSGLLFIIPIINDDGGWGTQEVGPSTMFGSCLNYATLRILGEACTHDALTKGRAWILSHGSAAAIPQWGKIWLSVVGSLFLYQQNHYKKVLLIIRMIYMPMAYLYGKKFVGPITPTILAIRDELYDIPYNEIDWGNARRTCAEEDLRYPRTLVQNVIWTCVNKIVEPMLNFWPANRLRDVALRNIMKTCSLRRRINYINICPISKVLNMICCWIENPNSESFKLHLPRIYDYLWIAEDGMKSQVYDGTQTWETAFIVQAHCSTNLVNELAPTLQKAHEFLKNH >Et_7B_055050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6835472:6837285:-1 gene:Et_7B_055050 transcript:Et_7B_055050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQARRLLMRWASLSWRPVPRTLPMWSRLSWPWLHPSRPDQKTSCCSS >Et_8A_057986.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:11125433:11126032:1 gene:Et_8A_057986 transcript:Et_8A_057986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLSLCFHLALVVTLATSVPGRAHGRVIDPKPEPKPKPKEDPKPTPQPDPKPTPKPTPQPDPKPEPSPEPKPEPEPSKPDPKPQPDPSKPEPKPEPKPQPKPEPEPSKPDPKAQPDPSKPEPKPEPEPSPKPTPELKPPQPDPSKPEPKPEPEPKPSPKPVPEPKPQPEPSKPTPEPKPQPEPSKPEPPPQEGNLEG >Et_7B_054271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1883180:1885674:1 gene:Et_7B_054271 transcript:Et_7B_054271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRDPLVPRDSLDESSPEAAVLPPLLELVGERVDRARDLLVAFQHPDHAAHGRPRLRLVLYAPQRHLAHPLCLLPVLALNPGRGHHVVEPALVELVPHPFRVPLLADGRVLELEVAPPAEDLEHEHAEAVDVALVRHRQRQPQLRRRVPGRAPRAGHRQVGVVPVAEPREPEVGHLRGVVGGEQHVARLDVVVDDPVLALLVQVPHPARQAVEAHEVDVVGAPRRAHLRHELLLGAPVQGAVQDLDGHLHAVRERAAVHHAEAAGPEPLRVVPGEVAQVLRREPRGLLTQDVVQEPLG >Et_3A_024898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25165559:25168160:1 gene:Et_3A_024898 transcript:Et_3A_024898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSPPLLADSVTKFHSASTPIPCSGSAQRCAITGLAGTGRGDRYRRRKAGGRRGLRVAAVAAESRSSEGGVAEDYYAVLGVMPDATPQQIKKAYYNCMKSCHPDLSGNDPDVTNFCMFINEVYTVLTDPIQRAVYDEIHGYALTATNPFLDDSAPRDHVFVDEFSCIGCKNCANVCSKVFQIEEDFGRARVYDQVGDPKLIEEAIESCPVDCIHWTSAAQLSLLEDEMRRVERVNVGLMLAGMGSSVDVFRMASSRWEKRQAKVLEKVRRRMSQDDSGKGPKGGSWSDIWGAPTRYEKNEEEAKERAKRAAAAARRWREYSRKGADRPPTFKLPEAVSNKE >Et_4B_036001.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:11810026:11810443:-1 gene:Et_4B_036001 transcript:Et_4B_036001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TEPRSGGGRGRRGRRAR >Et_3B_031661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7131101:7136286:1 gene:Et_3B_031661 transcript:Et_3B_031661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDEDGCGWDAQIGLIYKKLQEKMVFTVDTSQESIEKTNNWHGKLSSSNFSTGITTPGLAAAAAAAASAPIKSLANGASPFLTTANITNGLRNPITPSTPSATILAACRVSSARAPSAPDASAWTKGWSCCGKRPPERRTQDASAATALTRHEARRDEGEAARGARRSGRARSGGRRSGREAARRAMEAAAWAATAGWGEARRAEAASWAAAGRGAGRERRWRKKAATAWRCRAGSGTRVRSTRREKVPAAAAPAEEEAMVMRWPETEGAGVGRGE >Et_1A_007972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39801583:39804284:-1 gene:Et_1A_007972 transcript:Et_1A_007972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFITGALTLVLGYAYPAYDCYKTVELNRPEVEQLRFWCQYWILLAVLTVLERVGDNFISWLPMYSEAKLAFIVYLWYPKTRGTAYVYESFFKPYIAKHETEIDRNLLELRTRAGDMAVFYFQRFANYAQTRSYEILQYIASQSQTQRPRPQAQQQQQRPPPPRTRQANPAPPPVPAPTAPPMPPQPAQVPPTPRPPIPVAPPGAVPPNQLQPPPAPEAVAAANGPQNMEAMHVDPPRASTSSAPPPLPPEETLIEEAIRLTRGRLRRRMAGGSGPPAS >Et_7A_050543.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:23614768:23615199:-1 gene:Et_7A_050543 transcript:Et_7A_050543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVRTEGATIGTEATVPAGGNGLRVQFVLGDIAKAGWTDPHEFRPERFLAGGEGEGVGLVPSGAKEIKMMPFGAGHRACPGAPLGVLYIESFLAALVRDFEWSLVPDGGGVDMTELYGFITVMKSPLKARIAPVRAPVRNEF >Et_9A_063417.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:23717442:23717713:-1 gene:Et_9A_063417 transcript:Et_9A_063417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASMRLVLAVVLALCLLLSRCSPCEGRKLAPAAEANGGKVKHYEGGLVLRVSPPPPSSGEEAAAVSRGFTARTERLMRSVPSPGVGH >Et_10A_001501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4123593:4124870:1 gene:Et_10A_001501 transcript:Et_10A_001501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGLIAEVGWTEFDFSFQGEESEIMAQLFGGFLPHGEAQGGHQELPWSDQASSAYCNSTGNGLAVPSDYEGYYLSNSNEPLEISSCPASDELGLVHPQGAAEFLNMFSNHPLAFYGNGGLNQEDPDDSCMTMLDSVTNKRKHSAEELDGQTRGQKRARKGKTKGLKKAKQSGDEDDDIPIASGSPTSCCTSDSDSNASQESADADERPKAKARAARGAATEPQSIYARKRRERINERLKILQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDTWMYAPLAYNGMNIGIDLNMQR >Et_10B_003456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19918764:19922109:-1 gene:Et_10B_003456 transcript:Et_10B_003456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEEEPDPLSLFASRLSRRRFGDDDLRLLEAALSAGPDVPALLHTRSSARRLLRACVAEAFLQAPGGVQDHGRSLSVADFFARAFALVDDVESCLAMRYEALVLRDAKYSDNPQLQVSREEWSTFAKDSLDNGFYTIASKAFANALAHIPRGHPGLLESTNSVVEKDKINDITGLQNLAKSLSSQHSVHTQSAEYMKRRASDVHTKYNLQPGKPKLSASSMFRLGIKTRNIKKLLHSRERNLGMGRTSVPSWKGVIKQKCTIEYLSQH >Et_8A_056587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1364419:1364915:1 gene:Et_8A_056587 transcript:Et_8A_056587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLLVCPTSFGNNPSSPASLFSVTGGRGAPAPEFVSCEIPDQWLGGDDDDLAALWDSGAAADNNDMLLCGEAAGRKPGSRAGGDGPVLSHVEAERQRRDKLNRRFCELRSAVPRVTRMDKASLLADATSYIGDLRGRVAKLEADAKHHQHHAAAAT >Et_4B_038036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25680761:25684078:1 gene:Et_4B_038036 transcript:Et_4B_038036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGALRSRVLPLPLAAAEPHSLLLRYLLSTAAPRHGYHHRLRRRLAPTVYAAASAAAAAEAPLPMTPRFSRATRHPGGAASVARVYADANAQRPKEYWDYESLDIQWGEQDGYEVLRKVGRGKYSEVFEGFRPGSEEKCVIKILKPVKKKKIKREIKILQNLYGGPNIVKLLDVVRDDESKTPSLIFEYVNNTDFKALDYCHSRGIMHRDVKPHNIMIDHEKRQLRLIDWGLAEFYHPKMEYNARVASRCYKGPELLVDLLDYDYSLDLWSLGCTFAAMIFRVDPFFSGQDNYDQLVKITEVLGTEDFYNYLDKYGLELDPQLERLVGRSHNRKPWSKFVSSRNRHLASPEAIDLVDRLLRYDHQERPTAKEAMAHPYFDPVRSSESSRSNSQ >Et_2A_017973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8554905:8558470:1 gene:Et_2A_017973 transcript:Et_2A_017973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding THRHLSLQPISGLLIHHPQQQRRQEIVMVEEHADGELIPPLIEELEEEEEEAMPQWLQVLLRTTFWKRCSRGHKDENRAEDCIFCLQCYCPHCTHDEPGHRLLKIYRYVYRSVVLVKDMNELNIDVSRIQTYNTNGQKGVLLRPMRRSPHFRPQGKVDVSQEDFSGPEAERRYKKVQTNMAHLPQDDDDIDLPVEAPPMDILLEPIEAPLVVTRPDPVGVPLVVIPPDPVEAQLMAIPPEPVEAPPMVILPGVNYSKRNRPRKQRKPKRAPFF >Et_2A_016025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20283156:20284461:-1 gene:Et_2A_016025 transcript:Et_2A_016025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSYTQEHVYRHPWHRVTAAAWRKFTDPAARRAPLSHILDVHTLSRGVDAGSGRLRAVRAIAGRAPPLPFLLRRLASAASSGAGDVVVLCVERTDVDGAARDMRVVSRNATLRGLVDVEERCSYAPHPERPDEWTLFRQETSIRCAPLAAVAAKVAEMVERRCAERFAHNAASGRDVVERICEDLAELDSLRQRGDATCK >Et_2B_022231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14674837:14678481:1 gene:Et_2B_022231 transcript:Et_2B_022231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDDAVLSDVDEDPLPPPSSSSRPSSSHKTLSQPPPQPDAQQQQRLLDLTAELEEERRLRQKAEASVAESDARLARLKAFAQDVLRKRDDLTAEAAASARSLAAAQAEAAASARSLAAAQAEAAASALALAALQAEASTASSMLSSGFERISAKASPSSAPAPLPTSQKYSAGLPAIAYGVLKRANDIVDDLLAQIDAAGRDRDRAREQMEHRNYQIAIEVSELEASVASRAAECESLSKSLAQRDAEISELRDQIASLEGKLDAQRTLLAEQIGCTSKLYDEMREVVKLVDADAASALSDSVFVWKETDVEETIKVSLEGTKLAYELATMALKKVAAWVDDKESKVRGLEDRVDELMNEKEHIGVLLRSTLQATTSEVLKVAEDGLREAGIEIGLDERKEHRPGSAEKDEVYTLAGALENTMKESQVKIIELQHLVEALRAESGLLRTRLEGQEKEIGQLRKQIKHLEDKERVANESVEGLMMDVTAAEEEIKRWKMAAEEEAEAGRSIEQEFETQLDEAKQAMLELENKLKFKEETAAAAMAARDAAEKSLKLADMRSSRLRERLEELNRQLEESDNRTDSASRTGHRYMCWPWQWLGLNYVRLLPAETDQTSNEMELSEPLII >Et_1A_008273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5963823:5965543:1 gene:Et_1A_008273 transcript:Et_1A_008273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TSMVGTVKVHNVSLKASEQDIQEFFSFSGDIVHVEMKSCDDRSQFAYITFRENQGAERAMLLTGATIAEMAVIITPATDYQVPAAVLADLESQNAGGMESAIRKAEDVVGSMLAKGFVLGMDALEKAKTFDEKHQLTSTATAKVSNLDKSMGLSQKFSTGTLVVNEKMKEMDEKYQVAEKTKLALAAAEQTVSTAGSAIMSNRYVLTGAAWVTGAYSKVATTATDVGTKAQETMMSDQEGEHLDGELAKTHLHENSEAAEQEGKHQEGAQKRGG >Et_7B_054680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3483433:3486989:1 gene:Et_7B_054680 transcript:Et_7B_054680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDAVLHLAATLPGWLWICLRIKGSRVGIRGEQGTCVLICLGRGKIHLKVGFHNFMAFDCNKTGGVSSPDNYSSICTEGALIKVHPPTQHGKDRICEVPSKLDNHKSSDESVKGICDRKKDDGTSDMASSSICGIRCFTDLPAALVCEILARLDAKELGIVSCVSTLLYTLATDHQGWKKFYFERWGVPNLPVTLNGPLVPGGLPDGKTWKTFFVERQFRTVDGNNNLFHISGSGADDNSEFRLWGHEGPVSCLALDPLRIYSGSWDMSVRVWDRSQMECVRKLMHADWVWAVAPHGNTVASTAGRDAYMWDIRNGELTNIVSNAHVGNAYSLARTHLSDVLFTGGEDGAIRLFDVSEVSDDDDIKPTATWVPHSGPVHSLAFEYPWLVSASSDGRIALIDLRKLLTPKKSSKHPFSIKNIDANQCSMAMKKNQLKGDKSATWHNKRAINEKKARHGMSPAEDRRARQAGDGGSATVPERGGVPEQAVYRWEARLELKSVTEKLHRLWT >Et_3A_023421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26665452:26665967:-1 gene:Et_3A_023421 transcript:Et_3A_023421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALSRACNSAFDLYVRGMSGCARHVPSAAKKQSRRAEAAGDARQSRRVAPAEDADAAGKKGAAALDTKVHERKGGAAAAAAMETIAEDAPCEFGADGACALPPPSRRRRAAAGGLPARAGGFGAVKAGSEVLAR >Et_8B_060821.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:8325479:8326699:-1 gene:Et_8B_060821 transcript:Et_8B_060821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALPKIDDDHITLDNHLILELVFLRVRSATCLVRAASTCKLWRRVIADGGFLKLYCSLHTPPVPGYYFNSISPRQPGLACEVFTPTFVSSPSSIDLTIDTSYFSVDFLPDGPKYWKIWDSRSSLLLVNNLSRDHPCVFPRMLAVCEPLTRGYMRIPAPPNFDECSSQVYKCYLVDGNDDEAGRCVSMSNFRVLCEVLRGGITHAAVFTVAGGVGSWSEKAFDKITPELHHKRCFGRAGSSWYYVVADRTVVTFDGHTGEYSPFPLPRGEDWPCDVWNSSVDITDGRDGRPRIFSTEGNILKVFARLESCGGCDWVLVKKVSLQEATRGLPGYKSSFFRYPLVLCCSGPGFVTLTPIGRESWLFSVDLETMEVRPASAQDMQGVRFRYELPWPPVLHAALDPTDS >Et_4A_034680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5297408:5299145:-1 gene:Et_4A_034680 transcript:Et_4A_034680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANHAAAASAPKPAPYRQGVAEATVDDDSCCALHPLTMKNLSISDGDVVLIKSKRRREKRCVAVSDEACPKHKLKISKAVRSDLRVGLSKSDVVFVYPCRDAAEQGGRRPVGVTAGGLLKEHGIISFCQVLCYSPSAKAVASKLSERPVPVLKVTFSGEVSGTYTSTTLSQSISSLVLTPVIFLNLPFSTNAVTSAA >Et_4B_037498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20501598:20510145:1 gene:Et_4B_037498 transcript:Et_4B_037498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSKSKRVTLKQKHKVLRKVKEHHRKKRKEAKKEARAGGRKKVEKDPGIPNEWPFKEQELKALETRRAQALQELEAKKEAREDEDIANLASAASAQGTQFAEKDAAKENAPLAVAKSHDHSERSFYKELVKVIEASDVILEVLDARDPLGTRCIDMEKMVRKADPSKRIVLLLNKIEQRTKLGWKSSKLDKTSNIPQRSDCLGAENLIKLLKNYSRSHELKLAITVGIVGLPNVGKSSLINSLKRSRVVNVGSTPGVTRSMQEVHLDKKVKLLDCPGVVMLKSSDSGVSVALCNCKRVEKMDDLISPVKEILSLCPHEKLLSLYKLPSFSSVDDFLQKVATLRGKLKKGGIVDVKAAARIVLHDWNEGKIPYYTLPPKRDAVEESDAIPPNASPGIDEEMIEDGKKPSEPVKESREESMSDVNDHDKLYTAEGILDPRKRKAEKKRRKANKFSVLNDMDEDYDFKVDYQMKDAPANEDENRVGDAPEDNDPMTEV >Et_3A_026084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4127490:4132505:1 gene:Et_3A_026084 transcript:Et_3A_026084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIPAASAMDWSIELDRGLRSRNQATRVHALDAAGPRLLQLFASPAIPAPVAAAFRILPGEVRLFAETMLLRLAIEFRTGDGALRARIVRCLLAAGECGVLAGARVAEPDQLLRKVKAVYDTGSARDRSLALRMFGCLAGISKDSVHVRSLIFSSLGASPALEVKTALFAAGCICHLSEDFSYIVLEVLSGLICSGRSEPQVIVAAIKAFSKLDCTLAVIHRVHEVGKHMVLGTLESSKLNCSLHSQHLHPSQSFCLVQLLLRFMDRDSSFSMKSMALKNTCHFTVVRTVFGRLLALVNDVDFSLQYKSDMLRILQKILCRKAPSIHDDNASELSKLFLAAESSLHSSSLEMQNTAIEILVEILCFLKQAKSDLTITVLKSSTFAYTECQGITKNMSLTYEENSKNIHLHKIIAAIMNHSISLISQVINKEGKNDTSRSICISSDLKKKYRSIFSLMLKLVMCYPSAAAIALDKLRWLVKELAQINDGGYCDVVTYAESFQTGAAFEEMNASIDNVELLAATIETSPFETDICQAKLDSTELKGKKIEQVTRDLILCMLKCANACHDRLCNISDRCDLHAFIKDLIGCVHQHASQFWSTYETFHMIMCACVARKTCKSRESNQEEVDPKQEPNIFLTPSVWIAQELCALRMTKMLIKKQKYWEAYRSSMYCCRTGLWFTSSFVFRKLADAFESSSFSFWYKSLLLLSAQEIELRLLLFPSATVKLVGELKIEGDLSEDLYCADETDADNILTGSQKLHGYRAKITGICGRTFLANDALASNASSDCDFFFQRWFISLRSSFLEVLTDVLGILSEHSSAYEAREGELTVSGELLKDQVFALAHCSLRLSDLAKSYDLLATSHMDMDHHSFSSVARLAFMCSFLAFCTAYSVDLSEMCSLVEACKFPMRFSHASILQDLQGRVDGSDRQIVSQLRQFMPTSDDELDCLLSCTRMNCSGNLEKDSYSLCRFAVASLLRIRGDAKAKEITNGEGSLSTFHGGLQFLSRILQKFMELPFVVPKYFFRVRPCLGVELYMFGSDPVNRSRMSAEHGFQLSLTLCMEWKRVLDRTTVRLRKLYCILGASSESCVDASGTRIKHLEPHKTAEMVELNTKLLHYIKNDLGKGGEDNNFRSCTDMVTAFACFEPTDSGQGFSSCLLDVSSFPEGSYQIKVACVLHGREWLLL >Et_6A_047456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6048057:6049644:-1 gene:Et_6A_047456 transcript:Et_6A_047456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGRHHGSPASASEIPHPFYKYLEEEPNRPVSLKGPSGNTWQVMLTSVEEGLGFTQGWKEFVGDHSIQPGYILMFTYDGHSEFSVVVFNISGVDDTSALDAQPSEERVVQAEVEEGAQDTDAASASEQEA >Et_10B_002997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15143903:15151994:1 gene:Et_10B_002997 transcript:Et_10B_002997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNMKTLTQALAKASAVIEKTVTTTVQEVTGPRPLQDFELLDQVGSGGPGLAWRIYTARPRDGAPSAPYPVVSVWVLDKRALAEARARAGLSKAAEDAFLDLVRADAARLVRLRHPGVLHVVQALDETKAAMAMATEPVFASVANTLGCFDNVGKVPKELKGMEMGLLEIKHGLLQVAETLDFLHNNAHLAHRAISPETVFITSSGSWKLGGFGFALSVDQATVGLTSTQQFHYSDYDVEDTALPLQPSLNYTAPELVRSGDSKIGSACDMFSFGCLAYHLIARRPVLDCHNNVKMYMNTLTYLTSEAFSNIPADLVADLQRMLSVDAVSRPSAMAFTGSSFFRDDTRLRALRFLDHLLERDNMQKTEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNMVMQPMILPMVLTIAESQDKDDFELSTLPALVPVFTSASGETLLLLVKHADLIINKAAQEHLISHVLPMLVRAYDDTDPRLQEEVLRRTVPLSRQLDIKLLKQAVLPRVHALALKTTVAAVRVNALRCFGDLVPSLDKEGILQVLETIRRCTTVDHSAPTLMCTLGVANAIYKQNGVEFAAEYVIPLIFPLLIAHQLNVQQFAKYMLFVKEVTSKIEEKRGVTVTENGNTEVKASPSVANGIHSAPTIVQIKQPSADPFDFSTQTTQSTTHPFDFSTQSKQPSVVSQVTAAIIPPVQPLPSLKSLAPTSGSQTSGSCVPVDFEWPPRSGSSSDFNAPPVNKESDSGMLSDGLDDVDPFANWPPKPSSSAIQLPPSTNQSFPGSKTGNMGLGSSNSLGQMINNQMSWSAKPNTSNLMGLNSTGSFLNQGNSALGFGNPIGGLSTGFSNPSSSSAGQSMMQPKSDFGSLSLSSNSAAHGPPKLAPPPSAAVGRGRGRNQGQSALSRASRPPHSNSSSGQQPILDLL >Et_7B_055965.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8144737:8145207:1 gene:Et_7B_055965 transcript:Et_7B_055965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHAARAMLPANGGGGGGGGSIVSVASVAGVMGGMGPHAYTSSKHALVGLTKNVACELGGRGNCVSPFGVATPMLVNAWRQGAECHDAVVAAPTEEEVEKTEEMVRGLATLKGTTLRASDIAEAALFLASDESRYVSGHNLVVDGGVTTSRNLIGL >Et_4A_034678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5378501:5379928:1 gene:Et_4A_034678 transcript:Et_4A_034678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ISGHCSSVHRQIEMSMSAYKKQNSLGTPPVHRTNPFDSDSEVPSRTSRVQSVPVQRSDQSVQDLEHYAVVKAKETSSKVNDCVRAAEAIREDAAQTLMALHRQGEQIMHSHQIAADIERDLSVSEKLLGSLGGIFSKTWRPRRNQQIKGPASENNSFARTADNMESRRRLGIAPTSQECPSPVHPSPATPATAMQKVQAEKAKQDDALSDLSNMLGQLKGMALDMGTEIERHNKALDTFSDDVDELNFRVKGANQRGRRLLGK >Et_1B_011052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1742206:1748327:-1 gene:Et_1B_011052 transcript:Et_1B_011052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSSPSSRFAFSFLLRLALVALVCCHAGMLATAQQNAPGRVRVGVILNKALPAWPRRQVGIQMALDDYYAARPRSAARVDLRFRDSGGDAFGAASAALDLIKNDQVQAIIGPPTSAEAEFVAYIGNRTHVPVLSYSATSPELSPAQTPFFVRTAVNDSVQAAPIAAVLAEFRWHAAALVYEDSPYGTGIVPALADALQGVGARILDRVAVPVDASDERLDAALYRFMAMPTRVFVVHMNPFLAARFFLRARRAGMMSSKDYAWIATDGVGSFADGMRRDDVDAMEGVVSLRPYVETTEQVSNFSARFRARLRREHPDADDVSHHDSTVMMLWAYDTAWAIAAAVDAAGISGAPAFQTPPRSAAPTDLARLGVSATGEALLNALRGTTFRGLAGNFTLVDGQLQLPAYEIVNIVGKGARTVGFWTPESAGLSQALDANGAAQGLKQILWPGDSSTSPKGWVVSPNGEQLRVYVPVKNGFKQFVDASNDSSTGSTNNVTGYCIEVFEAVMRRMPYPVPYQYVPYNGSSESYENIVSQVVNKKADIVVGDVTITASRMAEVDFTMPFTESGWSMLVAVKTDTSTSMWIFLQPLTASLWLASLAFFCFTGFVVWVIEHRINPEFRGTPCQQFGLIFYFAFSTLVFSHKEKLESNLSRFVVIIWVFVVLILTSSYTASLTSMLTVQKLQPTVTDIRELQRRGVAIGYQDGSFIKGTLQKQWGFHESKMRNYSTAEQYAEALSKGPANGGVAAVFDEIPYLKLFLSQYCDGGYAMVGPVYKTDGFGFVFPRGSPMTPDVSRAVLTLAEGEQMAQIEKKWFGEPGVCPSQGGAAAVGSSNLSFQSFGGLFLITGVVSGLMLLIYLATFFYRERDELRAAEAAVGSGSGSGSPSLRRLRAWLRHYDQKDMRSPTFRPWNEESVRTGHHTQRWMDDTIRGGRGANGAVQEVREEDAIGMSPFSISTGSEMNASSSPASELGTSFEQRMEEAPPPVPVENGGLILNERLFPWFSIHLNVCTIPLATPHFKPDSRSL >Et_2A_016216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22119704:22121346:1 gene:Et_2A_016216 transcript:Et_2A_016216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPWAACLAAVLISVLFLKTALSYGRRAYNLPPGPKPWPIIGNLNLMGELPHQSIHALSKRYGPLMQLWFGSVPVVIGSSVEMAKFFLKTHDAAFTDRPRFSVGKYTAYDCTDILWSPYGAYLRQVRRICVAELFSPKRLESFEYIRDEEVRTMLRDLRAASGRAVRLRNYLQMLTLGVISRIVLGKKYVEEEEGAAPAMRPSEFREIVDEFFELNGAFNIGDFVPWLDWLDLKGYVRRMKRNGKMFDRFLERILDEHNDRRCHKGETFVATNMLDVLLMLADDPNLEVPLSRDNVKAITQDLIIGATDTSTMTIEWAVSELLKNPKLLTKAKEELDSVIGRDRLVTERDLPHLPYIEAILKETMRVHPVAPMLAPHKAREDASVDGYDIPAGTIVFVNVWSIGRDPTLWDAPDEFRPERFVGNKVDVKGQDFELLPFGTGRRMCPGYTLALKEMLLSLANLLHAFVWRLPDGVTHEELCMDENFLLAMPRKVPLEAVVEPCLPPRLYTGP >Et_6B_049424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4743351:4747308:1 gene:Et_6B_049424 transcript:Et_6B_049424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSVTTSSSGAAPMDNILLQAATSGDATVLKNLALHDPGVLLGTTPQGNTCLHISSIYGHLDFCTDVVALNRSLLSSVNADGETPLLTALTNGHANLASYLLRCCREMKLNEEILKQDMQGCNALHHAICSGHKELALELIAAEPALSQALNNQNKSPMFIAVMRNYADIFEKLLDIPDSAHAGVYGFNALHAAVRNDNSAIAIRIMEARPGLAREEDNSHNTPVMQAMLFNKIEVLKVLLEHDRSLGYQVSALGNPLLILAASRGHVGVARELLKHCPDAPYCIASGWTMLHQAVWAGHDDFLEFVLDESQYRLRKLINMHCGLGKTALHYAVEKCNPRMVYALLRHKDIDVAALDNLGNDAVSTASNNTMTSIGNEVYMLLLKAAATASSSGAAPMDLSLLEAATCGDATLMKHLALHDPGVLLGTTPQGNNCLHISSMYGHLDFCMDVVVLNRSLLSSVNRDGETPLLAATTRGHATLASFLLGHCHDLKLSKVILKQDKRGYNALHHAIRSGHRELALELIAAEPALSQDLNKNNESPMFMAVKRNYADIFEKLLEIPDSSSAGTNGNNALHAAARNGNSAIAKRIMEARPGMAREGDNNPAQHAVNWNKVDVLRVLLEHDRSVGYAPTDPLLVTAAMRGYVDAAREVLKHCPDAPYCDATGRTCLHHAVMWDQEHFVEFVLDESQHQLRQLINMQSSDGKTALHYAVEKCNPRMVRALLYHKDIDVTGLENIAFPLTSVKTLNWNEVTMLMLKADPAYATYIYNFQKIVKDNVTEKSRMNIKSLTETYTSNTSLVAILIATITFAAAFTLPGGYSSGTGNEGLPIMGRKLAFQAFLISDTLAMCSSLAVAFVCIIARWEDLEFLLYYRSFTKKLMWFAYMATTTAFATGLYTVLAPRLLWLAIAICILSVSLPIVTKLLGEWPVLKLKYRLGRTFKSELLDM >Et_1A_009044.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:26872925:26874370:-1 gene:Et_1A_009044 transcript:Et_1A_009044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAQKQQAASVLQSFPFRAAVLALCVLLLPLLPPAQQQAPEAAAGGGGAGQAFVAKAWELLHLLVVGIAVSYGLFSRKNSAADDGRAHDEKADARYVSRMFRDSLAPFDDDHDVDTLPGTPGGREDGGGGGKARSWSAMHRPDEPVVVVTNGGGGRASETLSLPVRTLKPQPASAGRDETVLPSPIPWRSRSGRFDAARPASPRSPKRLSPASSSARETLAKASEEYYTTKRRSPHRSSSSSSTISSTPPAPPPPPPPFLVHGYHPAAERRTAKSFKEELQDHSIRGRERDEQHYSPNASSFDVSAYSTTTNSSPLAKARSSVDTGSSSSSASIGKSVRTFRAREAPVFPGQTQESPEEDAGDGREELGVHDAEEPYGYRAYQSIPRFQYERSVSDPILGRVAVSSDETESSDDDDDDDDDGGGGADSTRESTPEVDENEVDKKAEEFIARFREQIRRQRIESIKRSAGPRGVKHGK >Et_1A_009231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34592618:34597717:1 gene:Et_1A_009231 transcript:Et_1A_009231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSGVEAAAARRRRPRPRLVGYDELPEYLKDNEYIRGYYRVEWPLRDAFLSAFAWHNETLNVWTHLGGFLLFFALAVAGGAREAADEVVPGIMRFVVRSANASWDSDHSGLPSHDASTALSGVPRWPRMVFLVGAMSCLAISATAHLLACHSRRASVVFWQLDYAGISVMIVASFVPPVYYAFLCHPPARVAYLSAISVLGALVVGALLSPSSSSPRFRRLRAALFLAMGLSGVVPALHALWLNWGHAACYLALGLEVAMGLVYAAGAWFYVSRVPEKWRPGVFDVVGHSHQIFHVFVLIGAITHYVAVAVLIDWREKVAVACS >Et_3A_023612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:91604:97605:-1 gene:Et_3A_023612 transcript:Et_3A_023612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPRTSFRGGRLASRKAEEAAWRRHQAAAWLETMVGSFGLPPCPSEQQFVAALRNGIVLCKAINKVQPGAVSKVVTNAPCDSQPLTAFQYFENIRNFLVAVQDLKLPSFEASDLEKDNLDVGSVGKIVDSVISLKTYYEWKQGGGPNGTLKYMKSPLAMRSSLLHPEHAASGPSPSHKHLDLAEADADRQPLEKKQWRRSRKIDRKHMQLHKKTMDVVQFKHFQLISPEGHTMKESTKQIERCYSQIVDRRRLLEEHETELVELRKMLQDVKVDLRSLQTQFQTDIAELGDKAQELSKAALGYNQAVKENRNLYNMLQELRGNIRVFCRIRPLLNLESISSIEHIGNDGSVTVCDPFKPQSTRKIFQFNQVFGPTASQDEVYKETQPLIRSVMDGYNVCIFAYGQTGSGKTHTMCGPSGGLPKDFGINYLALNDLFDISTSREDVKYDIRVQMVEIYNEQVHIRTSSNNGLLNLPDAKICPVQSPSDVINLMQLGEKHRACGSTAMNHRSSRSHSILTVHVKGKDISGNVSRSSLHLVDLAGSERVDRSEATGDRLKEAQHINKSLSCLGDVINALAQKNSHIPYRNSKLTQLLQTSLGGNAKTLMFAHISPEAESYSETLGTLKFAQRASTVELGTVCANKESKEIRELKEQVDILKKALASKELENASLKLKENAITSERSKQLPDRTPPRSRRLSLENGSSGKARMPDRKMPKSPRLTMSFTVDQRIIRDKERGTEIASFNNELHVKHQESVIQENEKIISTVDTVEFHQLPVDAYTSLYKQSGLNTSERTPCRSRNMSIEGSRDDPCTTAKLEKVMTSNTVKKGSHLRKSIQSSIGRLIHGSERRNVQHSVQGTPAKTENTSTGIASPVTSELRLRRRQSLTGLPPPPSTMSRRSSLGGKSDISIRNRQTTACAASKYKPLPFSWIPWPEQGSNYKSGPKTPPPVNSTAKVKRWL >Et_7A_051052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1415650:1418085:1 gene:Et_7A_051052 transcript:Et_7A_051052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISRAIRACAAVSRRCLASSASSTEAAAAGLRAAAAASTGRKGGDREDGRRVQWVFLGCPGVGKGTYASRLSQLLDVPHIATGDLVRDALASPGPVSKQLAEIVNHGKLVSDEIIINLLSKRLEEGEEKGELGFILDGFPRTIRQAEILEGVTDIDLVINLKLREEALLAKCLGRRMCSQCGGNFNVADIDIEGENGGPRMYMPPLLPPPQCESKLVTRADDTEEVVKERLRVYHDLSEPVEEFYRARGKLLEFNLPGGIPESWPKLLQALNLDHPDNERSAAA >Et_1A_009062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27836195:27837958:-1 gene:Et_1A_009062 transcript:Et_1A_009062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLGPNPANSCPLTPLGFLERAATVFSDSPSVVYHDTVFTWSQTYRRCLRLAAALVSLGISRRDVVSVVLPNVPAMYEMHFGVPMSGAVLNTINTRLDARTVAVLLKHSGSKLVFVDPASLPLVRDALRLLPPGHPAPRVVPVEDPHAPEEFPAAPAETLTHERLLEKHGGKGDPDGFAWVRPASEWDPMVLNYTSGTTSAPKGVVHCHRALFLDTLDTLVEWAVPPRPTYLWTLPMFHTNGWNLPWGMAVVGGVNVCLRRVDAGEVHAAIARRPLRRARPPRRLKGRRSGGRCACSPPAAGFDVTHGYGLTETAGVVVCCTWNKGGEWDRLPASERARLKARQGVRTAGMAELDVVESGTGRGVPHDGATTGEVVLRGACVMLGYLGDDAATRAAVRDDGWLHTGDIRDRSKDVIISGGENISSVELESVLYAHPAVGEAAAVARPDEFWGDTPCAFVSLKEGKAGAVTADEIIAWCRGRMPHYMAPKTVVFLPELPKTSTGKVKKYVLRDAAKGMGPTGTNTSKM >Et_5B_044548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3310944:3313006:-1 gene:Et_5B_044548 transcript:Et_5B_044548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVFDVSNRQSFLNTSKWIDEVRTERGGDVVIMLVGNKTDLTDKRQVSTEEGESKAKELNVMFIETSAKAGFNIKQLFRKIAGALPGMETLSSAKQEDMVDVNLKPTSSQSNSEQQSGGCAC >Et_8A_056701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15431110:15453908:-1 gene:Et_8A_056701 transcript:Et_8A_056701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ELYNYRNVTNKAAFEKTYYALMARLSARAVNGSGSAASPAPMFATGEAVFDSDAPNGTMYVLVQCARDRTAAECGQCLQESVPRLPSCCYGHQGGVVLSYNCYLRVEVYTYYDLALDVEPAPPPLVPSPSSFFGPFPGEEGQASLISVGRLTFGENAIIPKYIDCPAPPPSPSPSAQPSNTTNKFHDNVVRLLQSLPSSTATTGFASLSRGDGGDRAFVRSLCRGDVPVSECKACVTNAAAELNTNCSSRGGRRQAGIWHEDCFLSYSDTNASAYERTYRQELYNRFNFSAAAGAWAYYQLIGSLAARAVNGSSSPPMFATGHLLYDPNADNGTLYGLVQCMRDLTAAECGQCLQDSVTQLPQCCWQHQGGVVLGYNCYLRVEIYTYYDLALDGPPAQPPLLPSPSSSGISPRLRLFAVLAIILISGVRPTLGGNAIIPKYIDCPSPPPSPSPSPPASSNTTTKFHDNVIRLLAALPSSTATTGFASLSRGDGGDRAFVRSLCRGDVPVSECKACVTDAAAELNRNCSSRSSGRQAGIWYEDCFLSYSDTNASAGYEQTYRQELYNRFNASDTDAFMRTYYALMSRISARAVAGKTQSSPAMAKTAPMFATGEAVYDSNAPNGTMYGLVQCARDRTAAECRQCLQDSVAQLPHCCYGHQGGVVLGYNCYLRVEIYTYYDIALDVEPAPPPLAPSSFVPFTEEGRVALPVGTALFIVGILVAMFFYKRKVTKPDDNSNEEDIGLIDLEQINLRLLKEATENFSQENKLGMGGFGEVFKGTLQTGEQIAVKRLSKHSSQGFHELKNELVLAAKLKHKNLAPLKGVCLRQEKLLVYEYMPNGSLDNFLFDPVRRQQLDWGKRLMIICGIARGLRYLHEESRLKVIHRDLKPSNVLLDADMNPKISDFGLARAFVGDQSRDVTRRPAGTLGYMSPEYAYCGHVSTKSDMFSFGVIVLEMVTGRKSNSTYECPDTTSLLSYVWRKWRTGLAADVVDASLIGQYPESEVLNCLEVGLLCVQENPVDRPDASAVVLLLGSPNSMPDEARREPSRPAFFFGAGESGSGDAAEGGNSKDAPIGDGQPPSAPSSENNKVPGTGTGHRPAFTASKAPTTRLMKPGRSPLGRPSSLALVEVGHGTHPQVVGATRTAPMPPPMSCCLRLFVLVTLASLSWGGPLIFERPDQPVTWLDCQSLYNNTEINSVFPEDIVVLLGTLPSSAAPTGFAPLSGGTAQTVLSCVVSAVATRWTTATPVATRIYDNSNSSRRASVCYDDNTNESSGYEDAFRQILYNAGQVSDKVPFEKMYYALMGRLAAPVVNSSVLAFATGEAVYDATAPNGTMDWTAAEFDGPVLEDLGAAAAELLLWAPGRSGARFYTYYDPTLDAPAPSPLTLPQWSRLWGCCRGWEPKRCPDRRWTATLSTVLRERDDHFRFSAENISVSHVLQENNINLSFKRTFGAIK >Et_8A_058308.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4725510:4726478:1 gene:Et_8A_058308 transcript:Et_8A_058308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHLVLFILLAAALAGAGAVTPRQLFLVSPAPVTLTNHHGQLLTGNHSVNLLWYGRFTPAQRAVVADFLLSLSSASAPASSAPSVAQWWATTSRYHPGAARLTLGRQVLDASLSLGRRLSESCLASLASRLAPHRGSVAVVVTAPDVLVDGFCLSRCGLHASAPSAPSAPAPTARVGSAHANTRGRGRFAYAWVGNAAEQCPGECAWPFHQPAYGPQAPPLVAPNADVGMDGVVINLATLLAGAVTNPYGGGFFQGPAEAPLEAVSACTGVFGSGAYPGYPGQLPVDAATGASYNAVGVAGRRFLLPAMWDPKTSQCSTLV >Et_10A_002209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4525382:4527453:1 gene:Et_10A_002209 transcript:Et_10A_002209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQGFFSALREEVARGLSPARARRRLASNAAEVAAALRGGGEALAPLMEGPDPDGGGGGGEYGARREGWGRWVRGQLQLARAPVDAAAASAAGAARRNDLRLLLGVMGAPLAPVHVCAAEPLPHLSIKDTPIYSSMQLCNNSEALVCALQETSSAQYILQQYLAASGGQKLLSSVRNAYAMGKVRMVATEFETGGRVVRNRMAAQRAEPGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPLTAASMFAGARCIGERKVNGEDCFILKLCADPETLRARSEGLAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQSTTGGDAVYWETTINSFIEDYRPVEGIMIAHSGRSAVTLFRFGETAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPTDIKSGSVSETVELPHGEKSKSGLLPCHRAKVAALEKADDNVAWSGALQLGCK >Et_4A_034427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32445722:32446089:-1 gene:Et_4A_034427 transcript:Et_4A_034427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSGAAAMSRAAAEKLCRRCKGSYDPSGNTPQSCRFHPSFFVCRRHDDQKRYYELRDDDPPYAAKFYDCCGAEDPDAPGCTTDFHRSYDDADD >Et_1A_006376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21616689:21617336:1 gene:Et_1A_006376 transcript:Et_1A_006376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RVFTRELSIYIKHVATSEKERSPGRFDRNNTEISETGPEQIQNNPRVFTRELLIYIKHVATSEKERSPGRFDRNNTKIFETGPEQLQNNPRVFTRELSVYIKHVAPSEKERSAGRFDRKNTEISETGPEQLQNNPRVFTRELSIYIKHVATSEKERSPGRFDRNNTEISETGPEQLRNNPV >Et_7A_052710.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:13861867:13864188:1 gene:Et_7A_052710 transcript:Et_7A_052710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVVLLGVISCLLLTAAAAVGAGGDRKPYIVRMDASAMPAPFVEHEGWYRSVLSSLSSAAGEAAPEHLYTYTHVMHGFSAVLTARQLEQLKATAGHVAAFPDSYARLHTTRTPEFLGLSAASGAWPASKYGADVIVGIVDTGVWPESESFSDAGIPTPAPARWKGACEAGVNFTASMCNRKLIGARSFSKGLKQAGLVISDDDYDSPRDYYGHGSHTSSTAAGAAVSGASYRGYANGTATGVAPMARVAMYKAVFSGDTLESASTDVLAAMDRAIADGVDVMSLSLGFPETSFDTNVIAIGAFAAMQKGIFVACSAGNDGSDGYTVMNGAPWITTVGASSIDRDFTATVTLGTGGKSIEGKSVYPIGGAVAGAGLYYGHGNASKQRCEYSSLSRKEVSGKFVFCMPGEGVRQQMDEVQSNGGRGAIIASDMKEFLQPTDYTMPLVLVTQPDGAAIVKYATTAAAKSPAAAPPKVSVRFVGTGVGVKPAPTVAYFSARGPSQISPGVLKPDVVAPGVDVLAAWVPNKEVMEVGKQKVFTNYMLVSGTSMSSPHVAGVAALLKSVHPDWTPAAVRSAMMTTAYVRDNANNVIVSEPSGAPGNPLDFGSGHVSPDQAVDPGLVYDASPDDYVSFLCGLRYSAKQIATVTGRRKPSCGGAGANADLNYPSFMVILNKANSATRTFKRVLTNVAGSPAKYSVSVTAPAGMKVTVAPETLSFGGKGSKQSFTVTVQVSQVKRSSDDYNYIGNHGFLTWNEVGGGKHVVRSPIVSAFAQ >Et_8B_058955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11422735:11427053:-1 gene:Et_8B_058955 transcript:Et_8B_058955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKASLLLQLPTTAPPTIPLPRQRRRPPVTVAMASSSSTSGSAKPVVLGCGGISTDYLATVASFPNPDDKIRSLELKVQGGGNVGNALTAAARLGLAPRIISKVANDALGRNILKELQADGVDTTYMVVSEDGNSSFTYIIVDNQTKTRTCIHTPGYPPMVPEELTKENLFAALDGVNVVYFDVRLPETALVVAEEASQRKIPILIDAERKREGLDELLNFTSYVAWTGASSVPVALVSMLSKLPNIKFVIVTLGEKGCIMLERSMIDASEAEEIDVEILVESLEKKVDRSSGPKCIASKSNLRISADGVGSMSGRLILGTAEAIPPEELIDTTGAGDAFVGAVLYGLCTGMPPEKMLPFAAQVGLEVASPVAQTRTWLAIDSTIIIGCEIAPQLGCPSLPPQADGSHA >Et_7B_053868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12983620:12986304:1 gene:Et_7B_053868 transcript:Et_7B_053868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQGAMCLRSEEEGDGGRKQSSTEQGLAGGAGCATPPLAAAAVAGSGGGGRGSSDFGGALVAGRVALLRLPGETTGGPKEAVALLYKAKNRLTGGVTSIVKSHNKERLKRNLEIFDWELTEEDRLKISIPQKKIIHADSLFVLTGSARPPMMKQT >Et_4B_039192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8650992:8658592:1 gene:Et_4B_039192 transcript:Et_4B_039192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPAKWRREPSPGAARGERKLIPGEHVEVSAIGTVITDEAYCIAVFSCDPGLCGSWHQAVVTDILENARTVKYFEFVDDHGLPLVESVQVSDAIDGKSNISGEFIRGNIRPMCPHQPLLVSDAVYGLCVDALLEGSYWEGVIVDHDEGSMQRKVFFPDEGDECIVAVDQLRRTQDWNEITAEWKPRGIWLFLQMLLSHEEKDGLPVSVRQIWFDIRSKASFRTDAKMWMCGTEAFWERSLAVLIAELWSLCGKPTLDGFEVEAFSRLAEGSNSGTFQKDNDETVVLGKLDPIPAVLSQTLSDFISCYRNSNRKSARAKQQLAKHHLRSLGWKIVDDRPKNKYYVSPDGKRFPSFVGACEACLGEKVSYDRQDDHTHNLFLDSENVVNKNAHYKPTVMDLALRENSSRNKCITASSTSWESVQLDAQFSPQIVSFLANCQDGTTVLRRHINRTQSLKLKKHLLALGWGIKFKIDEIMRENGEYKNIMRYRYVIHSLIIGGIKQVNGNRIEDVHATATADLARLGKRKRRNETDALEKYIDHMEFEKQNSRIRKRLRSNAKKFLTSAGWKFWLKQKSGNKLELRYSAPHGKSYNSLLAACKGYLEKSYEENSDASFEITNHGYAGGSMHPSKSIALSGREDILVSVVERCNDIRPLSTPPGKSKKRKSSSSPVNRARVLCSRHGRVFPCQHRAKTVLSLLLEKNILLPRDKITYKQTSDGPGIKEGSINKDGIKCLCCNEIFTLENFEIHAGISTPLPSAHMFLKDGRSLSQCLVEFMGENRPKDSLHVRLKGRYSDLESDSICSVCNDGGEILLCDNCPSSYHHDCVGLEKEAGTAHPADAITLVALEIGIISLSADQKGVGFVVGDAQRENGSDVVDFDDAIMGEHYGKLRIALDVLHECFVTIIEPRTQSDLSEDIIFNREVCGLKFAELPLIGTRVPYRRQGMCRLLMNELEKLLSDLGVERLLLPAVPQLLETWTGSFGFTVMTKSDRLELVENSILSFQGTIMCQKVLNVACSSSQDHSSPSIYNSERVCLAENNASFDKTTIRAKVVNNTSDDSEVLNVMFNSDKDLAENSMRCSPETNICQKVSIGMHSRSEGLNGFENQLEDTSIPGVAMESDGQESTSVAMEDMEQLKPDLLEIKKNSGDEGAMEGTEQLEPELLEIQNDGSDKGAMEDTEQLEPELLGIQNDSSEERVMVDTEELESKLLKIQKNSSEEGAVEDTEKLDPETLEIQNKISEEGVMKDMEQLESELLKIRKSSGEEGAMEFIEQLKPEPLGIQNSSNGEGMVEDTDQPEPGLLLEIQNNGIEESIYSVDAPTSTPGPQANFTGDMHEQPYGSADADQCSENCTSNVVEPATLIIPLHVLQQNSASGLKYKFSGKCYERVKNGAGRRNVWLRVSTK >Et_1B_012509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32613492:32615747:-1 gene:Et_1B_012509 transcript:Et_1B_012509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGENGAHEQRAMEEGRKEEYADQGCALVSVPFAQKILAEIFGTYFLIFAGCGAVTINAARNGQITFPGVAIVWGLVVMVMVYAVGHISGAHFNPAVTFAFATCGRFPWRQVPAYALAQMLGATLASGTLRLMFGGRHEHFPGTLPSGSDVQSLVIEIIITFYLMFVISGVATDNRAIGELAGLAVGATIMLNVFIAGPVSGASMNPARSIGPALISGEYRGIWIYIVGPLVGAVAGAWAYNLIRFTNKPLREITKSGSFLKSMNRMNSST >Et_1B_012237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30013003:30016770:1 gene:Et_1B_012237 transcript:Et_1B_012237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWRRDVSQGNDGEAGGTRESKILNMIYGHYKEALNKLPLEHMPALAQRLLDAGVCFGFADPVTSIIANTVCFLPDEVGEPELNGAKKRKRTTKASREARATEKVLSKILSGDAPEARTIRGALPRRPYAMHYLFLARADILVAVHLIQQDRCCRLKDEFDIGSEAVKTALKCAASAARINNADTFINVSLALVSHMDSITQAVIERRCGLSVQHIRWLSGLLQKPLKLKKSDNPMHFAVGRCHPCDSDASVAKVPSGLTQSLRGVLLDRIHCLYLKAVSRIPMEDLRICYHRSLLKGGYCYGPFSPVSNIIVNTVWYDTAFPAVEELEVDMIRTMAHIESRSLDGLIKLMLLCLPDMSEHDSMMYLLKNNLKPLKAIRIAREQGRVTSGWDNSAYKAAADASCHPQSEAFVEFVSQSLSTARSAVKTLLKASQSLSPSEVLSLSRLLSPSKNNFAKLQEPAVELNKDAMEMISSYKEAFITQQSFVRRKILAALQKYDQTKGHQYELQIVCGLNENVGKKRWIRDSKRQYSHVNFWANSKDGMTPTLFFADFSNDEDIENHQSFCYPLSDLSTDGARILHPNKSHCGDSMDFEKIAHGEHKLTHADIISHGKLMASTVGTIFEEDYIFLDPAQDTKLARDVNLDAWELNLNWEDQIRMISKITKRSTTSTLSTGFGYQRKSQSHERMLPTGAALQALAALWELKVENSANVQYTCTQEKRVM >Et_1A_005861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14795665:14807727:-1 gene:Et_1A_005861 transcript:Et_1A_005861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTTVASFSNSPLESRPGDSAGSCVGPGDGDLADVGVEPEGLPHGLGRVDDVVFASAMGVALVNHSGLHGSPVLHARDADLLVAPVPVCVPPRGQRHQALAVAVERAVALRRPALLTFLEPVHRHHRRRAAAAVAAAARHSQQVEAASGVRLHTAADESTMLPSQPRNESHSSTTLASTDQPPGAVTRIIRLHRRVPSIEVEPRSSRRCCGSARRRTTRRRPPRHAGTRSVPSSRPGRVAAAGARRQLQRRRQSEDGAQCRGELLGVRHCLLAAFLQCARSMPRTCKSRL >Et_10A_001794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8224093:8226285:1 gene:Et_10A_001794 transcript:Et_10A_001794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWRRLTAAIAAAAIFFLCAPASPCAAAVVENIFVVSLVNMSRLCKETLVTVVNGQLPGPTIEVTEGDSVAVHVVNKSPYNIRIHCGSTAALVTLIMAGELWEMDLDVLDKNMMNGFFDDNPSAGTINGKLGDAYNCSGMYTVTRWRWTLARPSYLLRIINAALFSEYYLRTSSRWRPARPWVASAPPAGRYYYYMVALSIQSPVFVSRAVVEYKTNISHGIDVPVVSPEMPDSFYFHGNLTSSQLRRRAPVRDSRLGLGLPRRSSGSHESIIVGTMNNVSFQLPASTTTTAPLLEAHYHNDITSLQELPVAPARPFNYTDPSLIPWGPKSRAAVVEVVFQGTAVIQPDAPARARHGVGNYDPARDVAKYNLLDPPVRNTVLVPRIVGWVAEYGTCICHYEFHLSMGMTALFIVEDGPTMDTSLPPPPANFPSCRRDDDLFQDELHFQRKKSTLSTA >Et_2B_022505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24128551:24129470:-1 gene:Et_2B_022505 transcript:Et_2B_022505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEQLGAVADANGHNLSLWGYNGLGGWERLRIINLDITECRVLGFAEGADAIFVSADAGIFAVELKSTRTSKVCEREGRHFCSVVPYMSFYVPDTEMLWRKRIEDTLATARRGLKSALTSHDPAVASPDAR >Et_1A_006830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2874000:2876260:1 gene:Et_1A_006830 transcript:Et_1A_006830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLTLALVAAVLTVAPLRAGAVWLEVPQSGTKCVSEEIQSNVVVLADYAIMFESHPHSHPTIAVKVTSPYGNTLHHSENATIGQFAFTTAEGGNYLACFWIDSTEKGSGVSVNLDWKIGIAAKDWDAIAKKEKLEAKLELQKLETAVQAIHQNLIYLKARQRLSSVADDCSICLLCREAEMRTVSEKTNARVAWFSILSLGVCIATSVLQLWHLQGYFRKKKLI >Et_2B_021826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5873461:5875057:-1 gene:Et_2B_021826 transcript:Et_2B_021826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding APSDHTPINALHPLHSLALLRRRLFAFLSSSVVALDSRCEQPPTPMASKWVRPEVSFDASLSLSLSLSLSLSLSGFAYGAFRFAVQVYPLFAAMGVAVGICGFQLFRNITGNPEVRVNKAGRAAGVLENHEEGRRYAMHSLRSFVHDKTPEIMPSINKFFTEPK >Et_4A_032396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7892136:7892520:1 gene:Et_4A_032396 transcript:Et_4A_032396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIVYADTSSSVQVTSSQHYNNFLHLCFWQLWNHRNEVVFQSQEPSLRRLMNTCKDEAFLSRCRLPPFDNYVSDTWCHIFCVNV >Et_4A_034626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:489438:493295:1 gene:Et_4A_034626 transcript:Et_4A_034626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DGISDSDDGFDWDSDDDVEVTGSLDGAGTSEAASRNLDTPGPSTLAGPSSSLVERFVGMGFEEKMVLKAIKENGDGGAESLLELLLTYKVIGNDDNCSNSGCVPRAVDNEEDDEDILQNWDDEDAGGSGTNHRVANCDDSDDEDFLQELSEKDAKIESLVKMGFPEDEANMAISRCGKDASISVLVDSIYASETAGAGYYDNLSDHEDNSYGGRKKQRFMAGNIKKRKRFGGEAQGSRGTFDGCHDEPMLLPNPMVGFSLPNERLRSVDRWLPTQAIGPPFFYYENVALAPKGVWATISRFLYDIQPEFVDSKYFCAAARKRGYIHNLPLENRSPLLPLPPKTVCEAFPRTKKWWPSWDRRTQLNCLQTCTSSAKNVERIRLELMKYEDPPPQRVQKYVLDECRKWNLVWVGRNKVAPLEPHEMEYLLGFPADHTRGINRTERYKALGNSFQVDTVAYHLSALKDMFPHGMNVLSLFSGIGGAEVALHRLGIRMKTVVSVEKSDKNRTVMQSWWEQTQSGTLIEISDVQSLTAEKIESYIRRFGGFDLVIGGSPCNNLAGSNRHHRDGLEGEHSALFYHYFRILDSVKSIMGRM >Et_3B_031242.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:22541013:22542560:1 gene:Et_3B_031242 transcript:Et_3B_031242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQLKPPAMEVEGTESASSKPRFRMPVDSDNKATEFWLFSFARPHMLSFQMAWFSFFCCFVSTFAAPPLLPLIRDTLGLTATDIGNAGVVSVAGAVFARLAMGTACDLVGPRLASASIILVTTPAVYCSAIMNSAAAFMLCRFCTGIALASFVSTQFWMSSMFSSNKVGLANGYAGGWGNLGGGAVQLLMPLVYEAILRAGATPFAAWRVAFFIPGLMQSLSAMAVLAFGQDMPGGNYRKLHRSGEMHRASAATVLRYGLANYRGWILALTYGYCFGVELTVNNIVAQYFFDRFGVSLRTAGVVAASFGLVNLFSRPIGGFISDGLSVRYGMRGRLWGLWAVQTFEGALCIVLGLVDGSFGASVGVMILFSLFVQAAEGLVFGVVPFVSRRSLGVVNGMTGAGGSACAVLTQYIFFSGSKYPTEKGILYMGIMIIACTLPVALIYFPQWGGMFMGPRPGATAEEYYSQEWTAEERDKGLNNASVRFADNCGQEAGRRAVARHTVPVESSPIHA >Et_8B_060733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5772437:5779006:-1 gene:Et_8B_060733 transcript:Et_8B_060733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFPGVVVKPVGSFRNTNEQNYAILAGNVNVLKLIQLGLVLSDASGAFPPCGTGGRACIWQFNFRGFDPRSDISNADSIDLLRRSGIDFDRFAAEGVDTTRFAELMMSSGVLLNAEVQWITFHSGHDFGYLLRVLTGQNMPETLEGFLELTKTFFPVLYDVKHIMRYCANGSLYGGLSKLGEKLDVKRIGTGHQAGSDALLTLECFNKLKELYLQESVKKYAGTTHRMLKQKTMDSAENAQAEDNGQCRDNEVDAHPIAVRVVSFREPGDGLLTAAGAVRHVMRVQPVLRLLVHQLHHAAVRHLRTNPQIQITHNPMPKGARGEKQSWKRTSEPSTSTVAAALLSTPAPAPTRSATASASRDAAIATANARRDPRLEEEEEGEASAWPFLLAAGLGAGGAVAAAVSARRARGVAGSLGWSRACVAVRISRAGGDLGTRDLPAVASVEAGGE >Et_3B_028512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16376774:16378603:-1 gene:Et_3B_028512 transcript:Et_3B_028512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWLAQGLHGLQQQYQEHSEAVLFSALLVIPLLVLLLVRRITTAGARAREQMLDKLPSPPKLPVIGHLHLVGSLPHISVRELAAKHGRDGLMLLRLGSVPTVVVSSAAAAEAVLKTHDHVFASRSRSTVTEILFYGSSDVAFCPHGEHWRQVKKISTTHLLSNKKVRSYRGAREQEVRLVMSQIREVALAGTTFDMSTMFYSFLNNIICHAVSGRFFKEGGRNKIFQELVEQNALLLGGFNIEDYFPSLVKLNIVKRLVCAKVHKVHKMWDDLLNKLIEEHESKPASQVDTEESDFIDVLLSIQQEYQLTKDHIKAQLVVMFQGGIDTSSGVLDYAMVKLMQNPHLMTKLQNEVRTVVPPGKEMVTEDD >Et_1A_008390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7250085:7252313:1 gene:Et_1A_008390 transcript:Et_1A_008390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPAPFLSRIRILSSTAAVSAALRPPPSPVGSSPLRARFPRSCSAAVSLPLLRPITAGFALFSMAVASSAASVHDFTVKDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELSQLFEKYKDQGFEILAFPCNQFGGQEPGTNEEIVQFACTRFKAEYPIFDKVDVNGDNTAPIYKFLKSSKGGLFGENIKWNFSKFLLDKEGRVVERYAPTTSPLSMEKDIKKLLGSS >Et_4B_038927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6082860:6084573:1 gene:Et_4B_038927 transcript:Et_4B_038927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTSLSFPFSSLLRTTATTRPRLQPRPPNPNLPKPLRLSLNPAAAPRLAAVPDGVAIADVVEKDWSFLDAAGASLPRALAAGALTPASRVLAVTPTAPFVGALLASSPCELLVAAHDSLYVLAGVKEEHDEVRCFHLEGGGGGRGGGVVEAVPERFNDFDAVFVCYFPGMGISAAALFKSLAKRCSKGARVVIFLDQGRQTLEQHRREHPDVVTSDLPSRSTLEKAAAGNKYEVAELIDEPSLYLAVLQFLG >Et_8A_056845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17799811:17804083:1 gene:Et_8A_056845 transcript:Et_8A_056845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACSRAGTMSSLWGLSVHPKDLLSLVFRFLPKLLGIPPTLLKKFQKDEYAQTQAVELERVMSTLLELPNDVLMFIFATLDIPDLIRAGSVCSTWHTAYTSLRDPRMYKHSETPCLFYTSESAGGNVGCIYSVVDQSEYRITLPEPPIRSRSELHLVNPFTGEQVALPSVITIEQVKPIFDESGTIHKYQLSYYTRGKVYKEIHALNDLREYFYYKAFVFPDPLSGSYIVVLIHNPYGQLSFARSGDDKWTWLPPNAGYKDCTYMDGLLYAVTSLGKIDAFDLSGTTVIRKVILDKVKNGSYENIYIILAPWGDLLQVWRTVDHPSHGHENVDLPGMVNAPEQEDEDADARENGPAARVRYITNNIVVYKVNMEVKELVKINSLPDHMLFLGHHQSLCLGAAKHQLLKANHAYFTDDYEGLIQGFKNDTRDIGVINLENCRRTEIGPQIWPNWPCPTWISCLHGRPRPSTAVRAAASRSSIGIVFFPKEQQDKRRKFYLHAAGCSVG >Et_1B_010812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14355020:14358904:1 gene:Et_1B_010812 transcript:Et_1B_010812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLRSTMVRLSATPARRHGGVTAAASAAGSSQFSAGENENPYEILGISPFDGFDQVKMAYKRKQKEAENNQEAEFFMKLERAYNKVMMEQLHNRKKGVAYGSVQVSKDIKYADNQPIVPWGPRYSRSAKDDLHINVGISTAFIMCTATMAHSWKPLQFLCFAYFYRILEKLKARESAIAPIYNEYGEVQGRGIHMAKRVLRSLGLVLGSILATSLGYTGLANLSQFFGHYIPSIVYNFQELIVTTASSVLLCILASYYR >Et_8A_058436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7715474:7727817:1 gene:Et_8A_058436 transcript:Et_8A_058436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEQRSSPPGPATATAAVAAAAAAAPTLALATHKLARDRYTRSAFAAARSVRAYAGPSGGGGGEAGASTATSPMGAVVEASRTRSGKAGRWRVLSKPTDRGEIDDLINSFRTKMADGKSAFLLL >Et_3A_026706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15958280:15962220:-1 gene:Et_3A_026706 transcript:Et_3A_026706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLPGVVVALVALIPVGLLTSAANPPLPLVLRLERAPHLKGADLTQHATRGMLGSAEYATGFVQFPILQSVDHMGSPFLTRLWLGSPEKVFSVLVDTGSSTLWVSCTPCIGCPSPNQTRKSYDPQNSWSPSVVTGAEGWCRDNQNSHCGYQIVYGGGATTSGYYVSGLIHLDATSGHNDGLSTPIIFGDLQSGVLTDANIGFDGILGLGPGEESMTTQLNSIGLAPNVFSICLNSAESGDGILVLGEAVEPGIVYTPLIPSKSYYSVNLESIAIDGEKLPIDSSVFTSHGRITIVDSGTSLAYLVDEAYDPFVNAVLFLYIKEYKVRIQQMLH >Et_2A_016042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20471133:20471998:1 gene:Et_2A_016042 transcript:Et_2A_016042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVLIYKPADDAFAQYLAQVFAAFQGKEVISSTDRCLRHARFGCLFPADELTAQYAGPLVTEPSNTTTSIVAGGHAVPLERARLVHHALPVYEVGPIARQRERRGAACVRGVAVRGVRHPSPVSTLCLMGQVQLFGLLVEMLGAKNAVEVGVFTGYSLLATALALPDDGKVSASPFCEPV >Et_3A_025094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26857129:26861934:-1 gene:Et_3A_025094 transcript:Et_3A_025094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVVRFRLLEKAEEDDFSMELSRRSTYDDVVEKVAHQLAVDDPSKIRLTPHNPISHQPKPHYIKYRGLDYLSDILRHHNQMCDILYYEILDIPLPELEALKTLRVAFHSATNNEVSLHSIRSPKSSTLFELIEDLKSKVELPRNDVEFRFFEVYFHRICKVYQPTERIESVSDQNGPLRIEEHVQYFEEPFLFLIHDGETLSDIKVRIQKRLQVPDEQFVKVVFQSFCCMIDLSVWKFAYVTFSRTEYLQDSDIVLSRFQKKKPVYGDWEHHLGLEHTATPPKRPHFASQVLLRNVALT >Et_9A_061068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13962633:13963559:1 gene:Et_9A_061068 transcript:Et_9A_061068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAESARVFTIVNKCKETIWPAAIPGDGFGGGGFELRPGKSATFTAPAQWTSGRIWARTGCSGPGNATSTCATGSCGPTLRCGVAGATPASLAEFTLAGAGGEDYYDVSLVDGFNLPVLVEPVHGQGNCSAAGCFGRDLRDSCPPELAVKAGGRTVACRSACDVFDTDRYCCRGLYGGPATCKPTVYSAKFKDACPTAYSYAYDDPSSLFTCTNADYIITFCSDGYRRKCSRHDHGHCSGSSRTWPTSVSSLLMPVLILSVLSLRIPV >Et_4A_034225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3105777:3107961:-1 gene:Et_4A_034225 transcript:Et_4A_034225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMARAVVVAVLLMQCCNVILAARPLLDAAGDGDRWLGQGSGAPLIMQVLQKGSPPATCPNPTDSHGGVPGCPF >Et_1A_007218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32143480:32156344:-1 gene:Et_1A_007218 transcript:Et_1A_007218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFRFTVAVFRPRTRQWHDLTSPPVKLLFPSTPFLTTRPVNGKIYLMCCTSAIASLSSALSAVSNLTVVITLPDGVEDKFDTDTVKSWVDDSSLYLVHVKELQLRIWNYMIDKRKWLLKDAICLREVCAKSGLANLVSQDGLSNATTVKVHEIRHDANFALLQVGADVLCINLKSRITEKVYSLAPEDRRSWIKLIPVVMIWPPIFPVRPRPSPISPSAAAAAISKVLENDDLLGEVLLCLVFLTSLIRAAAVCRHWLRVASNTAFFRRFCDLHPPRLFGLYINTDCHGSPMFVPTPHPLTELAAVTRRAGSVLGAVPVPQVSLRDGVVAARSRKKEFVLDCQNGRLLAKRNNGETVLISLLHAARDAVTLPPFTEYNCSSTNHLNTDGVAYAVVWEVSPLFRPLHEQRAVRYVHVLQDGAWHQQHNHNITSPEFHLGFADLSILVSRSVNGKLFLLLNATDVATLPSPLTLTIIALPDGVEGKPRGNVKTWADDSCLYLIHVKELRLHVWTYMMDSGRWLLQDTICLREVCAKSGMADLVSGDGLTAATATKVHQAGHNAEFVLLQVGLNVLYIHTKSRTVEKVYTATEEDGCRINIVPFTMTWPLIFPTNTTSPSTMAANLQRPSLPASATRPKVLENDDLLGEVLLRLVFPTSLVRAAAVCRRWLRVASDPAFLRRFRDLHPPRLLGLYVTSKRLKSTSRFVPTPHPPMELAAAVRRAGMIPELASSGVATRVSDCQNGRILMELNNRATVRIISPLHPAAGEDAAAVVLTPPPPFPRPCFHCVVMRLKALNADSVAYIVMSGMVLRPLRVIVHAHELRDGTWHSITSPALELPSTSLGSIMTSHPLNGKIYLMCCASDIATLPSALSTLSYLSIIALPDGVECKPREKVKPWVDDSGIYLIHLKELQLHVWIYMTDHCKWSLRDIICLREVCGSSSHGLSGAATMVKVHEVVGHSAEFALLQVGIDVLYVNIKSRIVEKFCTIAPEDGSSVELTPFMMIWPPIFPRT >Et_3B_029564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2675659:2677636:-1 gene:Et_3B_029564 transcript:Et_3B_029564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKQSLFKGQSKKKTIPPNRHGKAPHVRKGKRVVKPNKFTKDMDADKELTKFINHCNEIKAANIASKEGGDLSILKPDGDQSQSKK >Et_4A_032466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10420520:10423146:1 gene:Et_4A_032466 transcript:Et_4A_032466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQGIADAVQAYTGLSPAAAATILALMLATYLLVSSLFVAPAPAPAPPKPPQQEKKDEEKEEKEKEQEEEEPAMPFVYPDPVEVGEITLEQLRAYDGKDPAKQILIAIRGQVYDVSRGRIFYGPQGSYSLFAGRDATRALALMSFDPNDLTGDLEGLSPDDLEVLQDWEEKFKERYPRVGHLAPDSNQKAAQLEHEGESS >Et_8A_057801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7417955:7428438:-1 gene:Et_8A_057801 transcript:Et_8A_057801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEELAELADATLQGAALLADDVDGPEAGGPRRGSTFLTVAAIGNIGAGKSAVLNGLIGHPVLPTGENGATRAPICVELQRDASISSNSIVLHIDSKTQQVSASSLRRSLQDRLSKAAGSSGKGRPDEINVKLGTNTALPLKLIDLPGIDQRTMDESMIGNYAARSDAILLVIIPALQATDVASSRALRIARELDPEGTRTIGVLSKVDQAAGEQKALGAVHALLVNQGPRTAADIQWVATIGHSVAVASAQSEVGSETSPETYWRAEHDSLISILGGAPQGTLGRLALVDSLAKQIRTRIQVRLPNLLNSLQGKSQIVREELAKLGEQMVQSSEGTRAIALGLCREFEDRFLQHIAGGEGAGWKVVASFEGKFPTRIKQLPLDKHFEMKNVKRIVLEADGYQPYLISPEKGLRSLIRGLLELAKEPSTLLVDEVHRVLLDIVSATANATPGLGRYPPFKHEVIAIASAALDGFKHEARKMVIALVDMERAFVPPQHFIRLVQRRMERQRQEDELKNRSTRKGQDADQKRDTSPQKSSHQSQEKQSNIQVLGAAGEITAGFLFKKRAKANDWSKRWFVLNVKSGKLGYTKKQEERHFRGVINLEDCILEEILDDEDPPRSSEDSKRVNVTDIGKDATLMFKITHKVAYKNVLKDHKAVVLKAETMIDKTEWVTKIKSIVETKGPATKRPNASEGGAPMRQSHSDASLETAMLRKPVDPEEELKWISQEVRGYVEAVLSSLAANAVVLCQVEKAKEDMLNQLYTSISTQSIARIEELIQEDHNVKRKREKVKKQSSLLSKVTRLLSIHDSRPATASYSNDSAVSESSPTANVKSGEEWKTAFDAASSNLSAGTTSGNRRMPSRGPPLPPQN >Et_2B_022221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14233745:14237663:1 gene:Et_2B_022221 transcript:Et_2B_022221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRPLLGRGAFLSSSVHAAAGVLVVAALLLLTLLRLPLSISSSQHLQQQQPLPQSCDPTSPLDCADPQLFHLMMRSAIDAFPAVHFSRFGRPVPGDPPSTSCDMAWRARSDSDSSTKATTKDYRRFAVARDPRTCAYSVLSIGEYHSGPNARKPRRGGANATIAPPPPPALSRDQFARGAYLAYLGGGDRCKPMPHYLRSLLCALAEARYLNRTLVLDLTLCLAASYADAGTGYMPEEGKRLAFYVDVDHLHSQVPIIEDRQFWAGWDRWGVQGQLGARLVEDTRIAPIKLSKARDTLIVRKFGDVEPGNYWYNVCEGEAKRVLSQLRQAIRWAPSLMRIVDDIISRMQGDFDSVHIGGGEEDLTRRIEEGVDGGRQVYVAGVGSNTVLVQALKAKINVHYLDEYEDLWVTDSKWFLEMKRLNGGVPVEFDKYMREVVDREIFLKGKKKVEVLRISAIIVKEMIIDN >Et_9A_062858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5954434:5967918:-1 gene:Et_9A_062858 transcript:Et_9A_062858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPCAASSVLFPASPAAATAVRPHAGRSVRARAVAANGAGAGGKWWAPLLGWSGRADYVEAPAPVPARDDPVAAGSRRQFMRGLTEEKARELRARMWRAGGKKWWAPLLGLSRRADYVEAPAPAPARDDPAAAASRRQFMGGLTEEKARDLSARMWVTDCFHDAIKQTHQTQIRKQRMAMAPAACGVSFSARPAAATASVRPRSGAGASSVAVRAGGAAEGAGGKWWAPLLGWSGKADYIEAPAPAQDDAAARRQFVGGLTEEKARELRARMAATECFHDAMYHSAIASRLARSA >Et_10B_003869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:758406:760205:1 gene:Et_10B_003869 transcript:Et_10B_003869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGCRLRQTTSQGSFLCGGMGKEVVVEKRGAVTESFDDFMGSLPETDCRYAIYDFDFVTEENCQKSKIFFIAWSPSVSRIRSKMLYATSKQKFRRELDGVHYEIQATDPSELDIEVLRDRAH >Et_6B_048540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10529120:10529598:-1 gene:Et_6B_048540 transcript:Et_6B_048540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINKLARRHAPVIVFSSCFMAKVACVCLCVHRCRRFQELLPHPTVLSRRHRHTSSSGNLHASYFLGMLRFYCLGGAAPGFRALCCCPTRWPAATWWHGSTAAAATSLTRTRMPPSRAPGARRWLRVGV >Et_2A_018827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8351650:8354343:-1 gene:Et_2A_018827 transcript:Et_2A_018827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGIISARTASGATTEYINGQARQGDEVRRPVPRRLVGGEDDGLQQPDIVGRRQAARKGKIRSMHAWRDEKSGCFNSPIEKEGQMKRASDTHEINLKSIYCGHRSKRLRKLVGWRYYSTVLTCLGVGTDATSRRGEDHEHEAGQDSHTQRHILEQLLGIWAGIGEEAFLEPDVAARHGEEDDRREDGVHPVQPLQSPLLELRSKAFEHLSLLLLGQVEDVEAPWRGHAVVDLALVDDAVREGEEDAGGGEQAYE >Et_6B_049211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:243275:248104:1 gene:Et_6B_049211 transcript:Et_6B_049211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLIRHWKILRGDNVMIIRGKDKGETGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVVDPVTGKACKVGYKYLEDGTKVRFARGMYASGAVIPRPEILKERRKPRPTSPGPKDTPIELVQEKTYDEKAGLGATFWSRGRGYVQKGLRAEGITRSNRRASTRTSWCEGRSQSRSTRWRGRNDCVSWPFEERRLVHRRRWPLGRGNGILRHRWREGGGGGGSIFLACDRVRTCPGGDVDLAKHAQPVADDGVLGAAGELVHEPVGELAALLVTELLDLADLDARAVLDVGGVSLRVPRSRAGGAHCPAGLAGLLLRRLPLAEGVEEAVACPLRGLELGSQA >Et_8B_058546.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:15349406:15349712:1 gene:Et_8B_058546 transcript:Et_8B_058546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISLFFVAERRREKTPRKKKFLHFTCRSVGCSSSSFCSRVCCWVFARVRRVFACAAFYTKRHIGLELRF >Et_2A_016068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20701223:20704730:-1 gene:Et_2A_016068 transcript:Et_2A_016068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHELDDTWNTTHQLEEIPAKLHHLVVSLKELLQHMTDEKIRQHVMMEMNKILQNLPDSPLKAQVLELLSLLQSSHVHILDQRKKKATTHQEDVLWATRSHDKALHSESFPKKSCKTPLTGSSRNKWWAARVTEMCYVYKGFLDGGDGVEVAVNR >Et_2A_018255.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20261644:20263029:-1 gene:Et_2A_018255 transcript:Et_2A_018255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPPAVEIDLDAARAVRVLGRGAMGTVFLVAGGACGGGEEEEDGEERAPCCYALKVFDKRATTSRSRPAGDDDAARRARWEVSVLSRLAHPHLPSLLGSAETGDLLAWALPYCPGGDLNELRHAQPDRVFSPAAIRFYVAEVVSALAELHAAGIAYRDLKPENVLLRADGHVTLTDFDLSRLLPPRSPSASTSSSSSGSAASSPPQQPQSHGRSQYGRHLKRIFKRSESSAAATTTTTTSSSGQEIEEQHNLAWYLNRSVDGGIDQVKKAKSARVSPMDRNKKRTSFCSGGERSFSFVGTEEYVAPEVVRGDGHGFAVDWWALGVLVYEMACGRTPFRGRTRRETFRNVLLRDPDFSADARRRWPDLTDLVARLLEKDPARRLGFAGGADEVRAHPFFAGVAWDLLGEVSRPPYIPPPADDVVADCEGFSVVEYFDKMHQQLAPPSPADRSPEAELLPEF >Et_4B_038644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3700856:3711222:1 gene:Et_4B_038644 transcript:Et_4B_038644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRGRGGGGMADAAWLMLLLACCGCIWSPGQIFVAADTDPNDLTVLNTLFTSLNSPGQLQGWQASGGDPCGQKWQGVTCSGSGVTAIKLSNLGLSGNLAFNMNNLGSLVELDMSQNSLGGGSQIQYNLPTMKLERLNLAGNQFGGNIPFSISSMSNLKYLNLNHNQLQGNMPDVFSSLYSLTTLDLSSNSLTGDLPQSFTGLSSLKQLNVANNRFTGWIPSQLKKINSLQTDGNSWSTGPAPPPPPYTAPPPPNRRPGQNNDGSSGSGGKSGIGGGGVAGIIISLLVVGAVVAFFVVKRRKRKAAVEEHFEQQQPFTSFPSNEVKDVPYMKPIEDATTIDIESLASPASVSLKPPPKIESHKSFDDDDFSNNHVTKKSNTTPIKATVYSVADLQMATDSFGFENLVGEGTFGRVYRAQFSDGKVLAIKKLDSTVMPFQSSDDFAKLVSDISKLHHPNLNELVGYCMEHGQHLLVYYFHRNGSLHDLLHLSDEYSKPLSWNSRVKIALGSARALEYLHEICSPSVVHKNFKSSNILLDSEFNPHLSDAGLASYIPDAEFQAAEQSAGYTAPEVDMTGQYTLKSDVYSFGVVMLELLTGRMPFDSSRPRSEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVLALCVQPEPEFRPPMSEVVQALVRLVQRANMTKRMLDGDTSRADDQDQDFI >Et_6B_048685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12648226:12652479:1 gene:Et_6B_048685 transcript:Et_6B_048685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLAIATSYEESVGSCGPPTPTTPPPQASAGPRSASVRRQLDFTGGGDLEDDDDELLCRLVDDIERVYNEAKQRAPPCVCGRGVCAVERDEQRGRLKYVCPSWPKCKHVAFCEEVDLNPQTQPTSRSHPKQSNPYAFNDPSNHMAVPRTPVNVCPQVTGATTPVNVHPQVAGATTLFIDSPQVNISRQGEGTATPNDCSYGAGAATQTKFIPQGAGTITPGKVSPQGAGATTGVKVSFQGAKLNGEGPLCQCTAGKCTKSRVGNEDCYVCPIPKGKGACSYKVLVRDVVKDTHSAEATPCVHQESPNMAGHWLKRPRLENAIAIRD >Et_3B_029037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21567839:21581397:-1 gene:Et_3B_029037 transcript:Et_3B_029037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEAPLITEVEGEEARGSASAGWSRSGVGGRSAKRYHRRADALAYGDRYQKAAALVDLAEDGVGIPEDVLNDTRFERAMRFYFVYLRLDWLWSLNLFALILLNFLEKPLWCRNYASHACDQRDLYFLGQLPYLSQTESLIYEGLTFVILVLDIFYPLSYEGLNIFWKSSINKLKVFLLFVLACDILVFVFSPGPFRVAPYIRVAFLVMTIRDLRMCAVTLVGMVGTYLNVLALSLLFLLFASWLAYVIFEDTPQGKTIFSSYGATLYQMFVLFTTSNNPDVWIPAYKSSRWYSLFIVIYVLLGVYFLTNLILAVIYDSFKEQLAKQIALMDSIRKSILQKAFDLIDTNGQGYLNKEQCVSLLDELNKYRSLPKTSKEDFELIFSELDQSGDFKVTPEEFDDLCNTIGIKFQKEPPPSYLEKYPSFYHSPQCERLKSFVRSRQFEYIIIFVLLLDIENSSSQKVWQEVEFVFGWIYVVEMALKIFSFGFGAYWMEGQNKFDFVITWIIFIGETLTFAFPSKLSFLSNGEWIRYLLLGRMLRLTRILLQVQRFRAFVATFFTLMSSLLPYLGIVFGGIVYAGNPKLEGTGLFTNDYLLFNFNDYPSGMVTLFNLLVMGNWQIWMESYLQLTGTSWSLIYFVSFYLISVLLLLNLIVAFVLEAFFAEMELEKAGEASMQDLIPEGRNKRRSMRVKTKGTMVDILLHHMLSNELDGSQLDDSCYERKVETIS >Et_4A_032007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11778082:11779776:1 gene:Et_4A_032007 transcript:Et_4A_032007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLMQGAAAPARWRCVGESSLAQAVAGGVVRFGVARRAAGAAVRARLGPARAHVSEERIKENAADGQVLEDDEAGAVRLFVGLPEDVVSADGRAVCRPRAVAAALRALKLLGVDGVELPVSWAVVQPGSGDWFEWAGYLAVARMVRDAGLALRVSLDTDGAALPGWAADAAAADPDVLFADRSGNRREGCLSFAVDELPVLVGKSPLEAYEAFFRSFGDAFADFMGSTITDVTISLGPNGELRYPSYPPGRGGGDDYAGVGEFQCYDKYALAALKRHAESAGQPMWGLSGPHDGPRYDESPESCAFFREHGGSWQTAYGEFFLCWYAGELLAHGDRVLAAASRAFDGKPVQLSAKVPPLLNASRAAEATAGLRGGYGPVVEMFARHACTVIASGVDVRRGAVDELLARIKDACAEHGTRLAAESAPLAVARDGGAWDALLSGERTRPCQLTYQRMGAEFFGPEHWPLFVQFVHALEFPEEAHEDDLPAASDDGEQLTVPSAASAAQADAREVQTV >Et_4B_037929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24986688:24988235:1 gene:Et_4B_037929 transcript:Et_4B_037929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EREEEDRQREDEDRLSALPDHILVDIMHRLDLKTMIRASTLSTRWVHLPSLLTNLYIRVNEFKPRAGTLLRSQLNKLMDLYTETTKRLLVPMDERAIKVLRLRFFLTDPHLRSIGSVLKGVLERGNTRYLELIVLTELNDVQCNEEDNVLFGQRFMSFFNSYPCAFRWLKSLSIQNMRFSESDVPSLLNACEQLQRFCIESCDSGRQSILKIDVPHSQLMELEFEFCGYDRIELVQVPKLVRVVYDTWMGGSPPVVFALLSDWLSGTNLKTVSLDFHDWMIWIKPEDPKQISPTFNNLVCLYLSNIFAECDLEWTLYLLEAAPSLKKFFLTISRHICGRNDYVENAEKTNVLWETVCSFKHYNLSLLDIRGFELDHKLLKYIRLVMECAKVLRVVRLHNKEPCDVCDAMDLQDASDSSFPIDEGDKNLIREQLTNGLSSATEIII >Et_1A_009220.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:3469220:3470077:-1 gene:Et_1A_009220 transcript:Et_1A_009220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDSYMSTPSYTFSTPPLPTTATSSSSFSQLPPLYSSPYAASAASSMTGPMGLTHLGPAQIQQIQAQFLVQQQQQQRGLHAAFLGPRAQPMKQSGSPPRGALAAAAAAQSKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEDAALAYDKAAFRLRGDMARLNFPSLRRGGAHLAGPLHASVDAKLTAICQSLSGASSSKNASSPASDSAASPPDSPKCSASSSEEEESVSAGSPPSPTLAPPVPEMAKLDFTETPWDETETFHLSKYPSWEIDWDSILS >Et_6B_048983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16348340:16348857:-1 gene:Et_6B_048983 transcript:Et_6B_048983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASADDDYLQANKIYIVDSKVSCWYFWPNRHRKSCSCWVYDMCSKVVHPVSLGQRMTGQSKAACWAHRDRYLLLEIGT >Et_3B_030537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4825620:4859955:1 gene:Et_3B_030537 transcript:Et_3B_030537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTAAAEVGVVEAKIGGAGGVGSMELSKVKVKGGSYAGSEEEITVRVDLAVLHCPLCSLPLKPPVFQCEIGHLACGTCRARLSTNRCRACVRGDGAYARCPGLDLFFRAVKVPCPYDAYGCGRRVAYFDAADHARGCPCAPCACPEPGCAFLGSPAALLGHVDAAHARPATSVRYGAARALSLSLPPLPAASSRRWHALVAEEDRAVFLLSLSELGGAAGAVVSLVCVRASSGAAAAASQYACRIAVDCPSDDGDGMVRAAVMEAMVTSSALSGGGTAAPDHGVFVNQRMLSGDALAVSVRISRVPPAGAAAAGTTGKSSPSGGGGDGRRRRSRGGREQHLHRADQLVVSQGQVPLLLLHPPIEASNLQGDPTEFLILFPSSVSNFSSASRCGSASGLLYCGACHDHGHDIHSAVLDEFIGSATTSCVYEEYGCNSYLAYYKVDDHERSCPCAPEGLPLHGPAEDADRPLRQQAYQDCSIVTFLYCQELYLTLTAAQRWHALVGLDWSLFLVSRRESGTDTAVSVCCVRANDAGDAASQYKCRLAVGFTHGSSDNGEVVIMESKVRSSRCPGVWPTPAFMGLLEQLDDTVNISIRIDQLQPAGNVPGNKCRENECRDDEWDGDECFEFEDEWDGEEWIERERDYGWCVCGLHRLKRLDTVLEDFILSAKTRCPYDIYGCRSYVKYYKVDDHRHSCPCAPCGCPEKCSPFMGPPEMLIDHMANEHSQDCSIVALRYGQELKLTLTAVLRWHALVALDRSLFPVSRRESGTDTAVSVCCVRANDACDAASQYKCRLALLPQGGSDDGELVVMESKVRSSRMPGVWTTPAFMGLLEQLDDTITLSIGIDQVQQRCSNKKARIELTGVQVKKEAEAGQQATSGAAAAMAAVEAEAPRAVAVRMDKAKLDCPLCNRPLKPPIFQRRSRTGTSIESAILNFLSANLRVQCDGGHLACSCCRGHAQIANNKCYACSLGGSYGRNPALEGFLLSAMILCPNDVYGCLSYAAYCLADGTGASAPARHATTRRVAAPSLARRRCSATTSPPSTTAPYGRELKLGLPAARRWLALVGREDGRLFLVSRRALGAVTVVSLLVCVRANAGAGVGAAPEYRCRLGVEVSRGEVGVMESKVPSSGLPGEGPAPGEPAFLGLYQPLDDMVILSVRIDQLQRAGAAAAGTTNTGIKRCSQLQNGEHGHSGKKARARGMTSNGVVKQEWQESEEGEKEEEEREEGELRRGSDARSVVAAAAEPMGEPQISLRLGLTLFHCRACLHPLKPPTFKMAEQLKRSLALGNGDHGHGGKRPRAPAVQDGDVGKEEEEEEASQVWKAERAVVPVVAMEEPQISLTFGVSIFHCQACLLPLKPPIFKCEAGHVVCGACCGKHGEVCGRAATYAPCRELDAVVLDAKLPCQYAEFGCKSVVAYYLAADHHVACPSAPCYCPAPGCEFVSSAARLVDHLRDAHSLPVTAFSYGRPRKLAVPAPEDLHVLVGEGDGAVFLASASALGAATAVSLVRVAANGAAAASAAAAGQFWCKLSVELPSVKNKMVMITSTVGSSDLSRGFPEPDEDMFLVVPPVLQHDASGEAPELMVLIDKPKAVTPKSATPPSRSPRMLQ >Et_3A_025529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30558002:30560490:1 gene:Et_3A_025529 transcript:Et_3A_025529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PNGLIACGPSGSGRGRRAEPSAAGVLRFSVRHEPTTANPMPPRRAGTAAGGDTSAFFAATLVLWAVWVGFEIGVRGRRELAAVAAGFAFFQAANTAVRAAVSRDPLFVNTAVSLLHSSITSVSVIFILINQWRNKGLGNLFEHDELFGGSWVGAYSALCFSSGYFAYDQLDMLRYRLYSGWIPGILMHHLILLICFTLALYRNVTINYLILTLVCELHSIFLHTRKVRRMAGFRDFNRKMVKMEWVLNWTTFVTARVICHILITYKLITDAHKFGKGIELPLALFGMVGMNLLNIFLGLDLFKAFVRERNQQRHQD >Et_9B_065986.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19256255:19256743:1 gene:Et_9B_065986 transcript:Et_9B_065986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAFPIKFTRGVRAYWRRRKYHRLEAADGKSRTQQLGRRGGWGVGARRLRVRVRAFMTAPRRALARARDAYVGGMLALARKASAIALPGGPESMWAKRRKQLPAAPGQPTEFEQRLIFEIYKSIVASKELTTMLHSSTAHRQTATPGGVGQPAAVHLLDM >Et_1A_006401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21953104:21955735:1 gene:Et_1A_006401 transcript:Et_1A_006401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGALSHYHLPPISSSSAAALKDNMNIIPCSIKTLKGLYDISGVEVGQHFYWQIGGFQIHAQVLITSWVVITILLGSVIIAVRNPQTIPTDGQNFFEYVLESRLEQNAFPLVLFIVLSIPKPSRSDPQAFLGNESFSGLTTETRASSSKSRSRHEMVACGVLPIDRMTQHREAVRVVANRVGRSELERVYNIRHIGEEMLSIYGYVAYVQ >Et_2B_021867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6282640:6283342:1 gene:Et_2B_021867 transcript:Et_2B_021867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRTRTTTETISWQWPCGAACKMVRQVTTCCPHGCRCWHGHGYAGQHPPHNHHQHHQEEGTRRALAAEESNAGRSITLYPPAPVVAEFWVPDPYLMVQQLREFESLNDEAIALRVQLEEYTEEIEKSKEDNDGRNWFLALPDNIRNILVMARDAINSYIAISTPPAK >Et_4B_039901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5521077:5523112:-1 gene:Et_4B_039901 transcript:Et_4B_039901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRPDVVVPPPELQAPLKCPAEDAIQLQSPTLSSEEFLEFKRKATTIVEEYFSTDDVAATANELRELRVPCYHYYFVKKLVSVAMDRHDREKEMAAVLLSSLYGDVIDRPQLYKGFCKLTESCDDLSVDTPDAVDILAVFVARAVVDDMLPPAFLAKQCACLPDGCKGAEVLRRAEKSYLSVPHHGEIVLQRWGGSKRITVAEAKAKISDILEEYLAAGDKHEALRCVRDLKIPFFHHDVVKRALVLAVERGGAAEGLILDLLKSASEEGVINETQVTKGFNRLIDSVDDLALDVPNARCLLKSLILKASSEGWLCASCLKPFPPEPKKAGSEVDDDAAVREFKSKAVSIIKEYFLSGDMIEVVGSLEAENYSCSSSFNAIFVKKLINAAMDRKSREKEMASVLLSSLCMPAEDVVAGFHLLIEAAEDAALDNPAIVEDLTMFFARSVVDEVIAPSDLEAMEEEEVVSCGGEPEGSTGLLALRNARAMLAAKLSAERILRCWGGGGSGKAGWELHEVKDKIGRLLQEYDCGGDVREACRCIKDLCMPFFHHEVVKKALVAIIEKRGKDDERLWGLLAECYGRGLITPNQMTKGFERVADCIDDLALDVPDARRQLGCCVEQAKKSGWLDASFSISRPGQQVSNGVCA >Et_6A_045792.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:160432:161193:-1 gene:Et_6A_045792 transcript:Et_6A_045792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LVWLVEEEVLGHTERAVRADDGGSEGERGEDEGPDGGEEDDEGGGGDEAPEHLLVGEGAAAGGERRRVGGAEEVEEAPGGEQGEERGEREWVGEERGGEGERDDGGVVDAEVGEVLAEAGGGLGEGLRPRERRAVDELRPGPRAGERTLGGLDEAAEEGEGGGRGGGGGVVGGDGGGSGGGGRGDGDGGGQDGRGRRGHGDLSLSLSLSARFVDLISECETGGKRRKEKRGDLQDSKSVGGWSTVNERERVGG >Et_3A_023913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14534696:14546513:-1 gene:Et_3A_023913 transcript:Et_3A_023913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAENQLPSHEAGASAYAGPPSAEPQEEGGEVAAATAGRTPLDRAADAAEELYRLRDTFFPRDPAEKAAALRARADAALAHLDELPLEQRKSPQQRGVYEFLRGKILDVFPDYHKEAEDHLSKAVKLNPSLVDAWLCLGNCIWKKGDLAAAKNCFSLALSKGTDRKILCQLSMLERSMAQGSEDQTLLIEESIKHAKEAVMLDITDGNSWYNLGNAYLISFFVGGSWDHTKLHHSVKAYQNAEKDKSMILNPDLYYNFATADRYLENYERALHGFEAAALKDPGLGADTEVQKIISLLDKIENAMKGQLRSKRLASLVSSLSNVKVKTSHKKATVSILSEGLNKGVAVLGKIVLLIKHDNVAPLYYLTCDLDQSYFILSVYGLRNDTRYQFKSIRVDFPEQILINEKEPAPQYVVRASIHAQNKP >Et_10A_001469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3784470:3787356:1 gene:Et_10A_001469 transcript:Et_10A_001469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGRPYNIRIAVTSHRRDSLYGPVIISMILFPGPIEGSIIQELTVLTICSSERHRPLVSGRYGLIASKTPEALRMPNRTHTTKKTSMTNRQHYDAVLVKLRLAGN >Et_3B_028004.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:3164649:3164905:-1 gene:Et_3B_028004 transcript:Et_3B_028004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRAAPSLSSEEKRRMERVARCVTGTGT >Et_2B_022446.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22173810:22174454:-1 gene:Et_2B_022446 transcript:Et_2B_022446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGSQEVASGAEWRPWHYVLAGAGVILAISAVTIVTSVILRPTHVSFSVAGFDADDHNYRTDDPLQLEVEEGRRAFAFNLTAHNPSRRVGVVYRYVLVSLQMASSAGGVRKTWVPARVVMADGRELSPDHQEIQQRRGPHDTNMTVQAVLDDDFFGFYSSSASATVRVFAQAQFKVGLARSRAYNLRLTCSRIDLASVNKTHPGVASCSYLP >Et_7B_054603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2756316:2758454:1 gene:Et_7B_054603 transcript:Et_7B_054603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERETLRLLPRQTKGVILKYALAKRKRKLVWTYVKPTYVSPERADWAKFNRAADITFPTQNPTCGGWLDLAICVSQPAVGEYGRRIWLPSETEMAGRKETALDLAKFVDKGVQVKLTGGRHVTGTLKGYDQLLNLVLDEAIEFEREQDDPLKLSGKTRQLGLIVCRGTAVMLVSPTDGTDEIANPFLADGA >Et_4B_038202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27085237:27087595:1 gene:Et_4B_038202 transcript:Et_4B_038202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRMVNPVKIESQPPLGIANGQPTPMDGLHDGGPPPFLTKTYDMVDDPTTDAVVSWSATNNSFIVWDPHIFGTVLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANESFLRGHRNLLKNIRRRKPPHSSSNQQSLGSYLEVGHFGYDEEIDQLKRDKQLLMAEVVKLRQEQQNTKSALQAMEEKLQGTEQKQQQMMAFMARVMQNPEFMHQLISQREMRKGLEGVISKKRRRRIDQGPEADSMGTSSSLEGSQAVFEPQEPVESLVNGVASDLESSSVETKGCEVQQGVSSNGSERLRSRPSGELNDAFWEDLLHEGGLGEDAGNPVGQNGM >Et_2A_018807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:834847:839063:-1 gene:Et_2A_018807 transcript:Et_2A_018807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRNWSLLSSTVVIWGSVATAGLAGIFLFGGKHKQVSVHGGQETGNHSKPKRQPEVVNQRSHIAVQSVAVLPVESRVAGEAGAGERGELAVVRDRAAEPVEGDVEAGEEGEPRERRRYLPGELVVGEVERLQRAQRRERSRDLAGQRVPAEVEQVESPEVGELRRDGAGEPVPAEVQRRRDVAIVPELAALDVPVVQPHASPESDSCTREAASSPAASDLRRARDGGIPPVSALPERSIRKSSSKPPSHGGAAPDSWFHDRSSTLSRALARSHGGTAPVSAQLATPRRRMLGNPRMPSSAPGNSSPRKLVVRMSTARARHSWSARSARLMPVTLLSPMSKKDSACRRRKEAGTWPEKLFRRRFTTLRRRQPDRLAGRRPLSRFQSRWRAPSLVSREKLSESSVPWRELFAMLTYSSSEQDDSETGTVPASRLDSAEKRRSDGRPRNTLACRLDRLLNETSRVLIVPLRPEASSSPPSSPVKPLWLRSSTPRRFMRRSSDGKAPWSEFQERLSTRSSSRRARLLLDRIAPVRPRPARSRPTTRT >Et_4B_038060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25925843:25928309:1 gene:Et_4B_038060 transcript:Et_4B_038060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRKKNAREMAREQQQQQPASSKGQAWFCTTGLPSDVVIEVGDMTFHLHKFPLMSKSKKLHDLITNRESREASRRERGEQDEGEGGEIREQEEIVVEEDEEADALRIRLPEFPGGAEAFELAAKFCYGVKLDLTPSTAAPLRCAAERLGMRDDHADDNLASRADRFISQTVARNPRDAIRALKSCEGPLLFPLADELGLVSRCVDALAAKASASTPTALFGWPIPDETRAGGDRHRRKNNGAGASWFDDLAGLSLATFTRVIAAMKERGVGPEVVEGALIAYAKRSIPGLSRSGRHVGGGGGGGAATAAPASSDGEQKALLETVIANLPEETIASTAHTGTALGATTARVLFGLLRTSSILHASESSGDALERRVAARLPDAAVDDLLIPSYSYLAETLYDVDCVERLVRYFLEGRDPVNVDCNDDECSEPAEAETPGREASRRAMLAVGRLVDAYLGEIATDANLKPDKFCDLAWALPDSARVYDDGLYRAVDIYLKSLQCNADECLFVCCVLTVQAHPGLTEEEKEKVSGVVDGRKLTLEACTHATQNERLPLRTVVQVLFFEQLQLRRAIARTIMANEDGGGAAGSGEEGGDGGSSWRVAARGNQMLRLDMDSMRSRVQELERECTSMRKAIEKMDRRGGGAAAAAVDRGGTPSSAAEGRWGSMVTRRFGCKFPAQVCQSQQRTVVARPRRPRIEQSP >Et_1A_005738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13247870:13249842:-1 gene:Et_1A_005738 transcript:Et_1A_005738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCYDHEPMCVGRVEKLEACACGCGGVEVGIRWYYRPGENTADERKPYHGAKELLLSDHFYSRNAHDIKGKCVYIGDTGSVTPQRRQKLCGAHKPLIIDLFFFLFVNALYCNCQRPYNPDVATAWTNRACRFHPECIDMTIEQARELVHFICPDCVKENASETLRCGTH >Et_5A_042681.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:25760454:25761584:-1 gene:Et_5A_042681 transcript:Et_5A_042681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKASSSLILAALLLSSALALAAGQQPTPGPKQTAANNPRLQKAYVALQALKRAITDDPKNLTRSWCGPDVCAYFGVFCAPAPDDPHALTVAGLDLNHGDLAGTFPEELGLLTDLALLHLNSNRFAGGFPESLPKLHLLHELDVSNNRLSGGFPQHILCLPNIKYVDLRFNNLCGPVPAALFDKQLDAIFLNDNHFDFELPENFGNSPASVVVLANIRLRGCIPPSVGRMAGTLNELVVLNAGLRSCLPQELGWLRELTVLDLSFNQLQGQLPESMAGLHKLEQLDVAHNELSGHIPEGICALPSLRNFTYSYNYFCSEPQRCLDIRRVDDRQNCIAGRPDQRPTEQCMAFLHRPPVHCDQQGCFAPPPPPPKHY >Et_8A_056592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13012589:13019189:1 gene:Et_8A_056592 transcript:Et_8A_056592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALVSPSATPAAHRLPLRTLTSPPFARTSATASAVLALFSPPLGSLTLQRAGAASGTDGAGSSATDGDGWLDAGLLSRVSGAADADQALDIVAESGAGAALDTPECNAIMAAAFDRGNVDLALSIFEAMRSGFAPVESSRWATPDAQTYALLVQRLASALRVSDAIQIIDYLSRAGVSSTEEVPFGMIVRCPTCMIAVAVAQPQDGTQVVSCSKCRCQYELFSGDITSIASEEVTLEKALRFINIMKDGLPAAVHSIVIRTPSGTARTHRFATQTVELPAQEGERVTISLAAPSNVYREMGPLKISARSKGFRPGEPMCLTNHINGQVSKLLRAPSKTEGSFSLSPYLLVGGLALLASGDAASAFIDPTLPRLITATAIASAAVGTTLNQLPQKAVDIVAVRQQLLSQYDMLQTRLKDLKQLAKNEVWMLARLCQLDNKILAVGEPSYRARRGRVKKVRESLESTLLARIELMESYAKLCSMIEIEVEMDSNVIAAEAASSAQWRIQAEANDEAERLLSSDSSETLPAGLLHSVSLLMTVDALPQGLHK >Et_8A_057437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:349583:355081:1 gene:Et_8A_057437 transcript:Et_8A_057437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTSRPPTPKATAASSSRIDSPSLKAALAMALIHYNRLPGKATAAAAAATSAGTSSPPLNHWKRKAKDRKREILRLREELKLLQDGARGEEMEPPVASCRCHFFEGCGDLPPQPCGSGGEHWVDEVLRRRFLRLVRWKEKRRRVDRSLPRSSLIDYNSGDEMQQLSMSTDFLVELSDSIFTKREVCSSFATFSHQAVDFILASLKSILSSERDKDLIEEIINGLVTRLMKRMCNVPENEHLASHIPNSLLGNEEFIGQRIILAVSQKISNVSERLLLADPFDDAFPDMHDNIFIMIQLIEFLISDCMKDWLSSEHFDKRLFEECARSILKARMDLQVLENMNELYVVYIERVVGRLAREVAPAAHQGKLDQED >Et_8B_060692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:542113:545650:-1 gene:Et_8B_060692 transcript:Et_8B_060692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTASDGRLTDRGCGQDQVSAVACWFFGCRMGYSVFVLQFKCGSIIVNSMQGQVTGYNNSVAALQSKMGAPQRLVKMTMPLPSSSLVSCFWGSFTPGL >Et_9B_063942.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:4039469:4039678:-1 gene:Et_9B_063942 transcript:Et_9B_063942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPTAQVCEWVYLRPSLRLGGGHGELCSGAPGVWSQQCIKVVTSNTIEQAWGCHSHHLLRGPSTNSTF >Et_8B_059958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4425130:4425910:-1 gene:Et_8B_059958 transcript:Et_8B_059958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGECSAPAVRKGPAIRSHSEAERKRRQRINAHLATLRTIVPSAARMDKAALLGEVVRHVRELRAKASHATEGLAGAGFIIPGECDEVGVVEEDDHDRHCYRHGAAVADGVDRPRQRRVRAWVCCADRPGLLSDLGRAVRAASARPVRAEVATIGGRTRSVLELDVCEDNNGGGRAVAPLSTLRAVLRNVLLDRREAERVVAVMAEEGYKRPRFSSAQVDDVQ >Et_4A_032432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10000583:10000884:1 gene:Et_4A_032432 transcript:Et_4A_032432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKILAADRPRLTLEDYVLFFTTRTGQGLTLDQINQIIYMHAFIKLHRTTKVPVRRPSRSTLHSFLPLRLRF >Et_1B_011815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26279021:26282358:1 gene:Et_1B_011815 transcript:Et_1B_011815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICLVAFFAYLLTGLFLCFSSQDWKAQLNIPAPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDPEKNAIQVVILVPTRELALQTSQVCKELGKYLNIQVMVSTGGTSLKHDIMRLYQPVHLLVGTPGRILDLTRKGICMLNECSILIMDEADKLLAPEFQPSVEQLIHFLPASRQLLMFSATFPVTVKEFKEKYLPRPYVINLMDELTLKGITQHYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKTSETYLHRVGRSGRYGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDVANTLAHGFNAFLYIVNRLGVLS >Et_4B_039054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7403409:7406133:1 gene:Et_4B_039054 transcript:Et_4B_039054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIERGVVKDKRTIWRLSIISDFFRAVVNFIRMRKTLTSTRKDMVLVRNGMVDLVVEALAVVVGVHMEEEEAAAEVPVALAHYLTSDLMTTVLSLPADPAAAKHTTSL >Et_4A_035164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10218384:10221074:1 gene:Et_4A_035164 transcript:Et_4A_035164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPPVRFLPSSAAPAVADANARIGRLARAGNMEGARAVFEAMPLRTTASYNALLAGYFHNHLPDAALRVFNRMPSRDLTSYNALISGLSLRRQTLPDAAAALASIPYPPSVVSFTSLLRGYVRHGLLADAIRLNVVSWTAMVSGYAQNGQVHLARKLFEVMPERNDVSWTAMLFGYIQAGRVEDAEELFKAMPEHPPAACNAMIVGFGQRGMVDAAKAVFDKMCERDDGTWSAIIKAYEQNEFLMEALSTFREMLRNGIRPNNPSVISILTVCAALAVLDYGREMHGVMLRCSFDMDIYAVSALITMYIKCGNLDKAKRVFNMFEPKDVVMWNSMITGYAQHGLGEEALHIFNDMRLAGMIPDGITYIGALTACSYTGKVEEGKAIFNSMCTNSSIRPGAEHYSCMVDLLGRSGLLDEALDLIKTMPVEPDAVIWGALMGACRMHKNAEIAEVAAKKLLELEPGNAGPYVLLSHIYTCAGRWEDASEMRKFISSRQLNKSPGCSWIEYDKKVHLFTSGDVNAHPEHDIIINMLDKLDGLLMESGYSADGSFVLHDVDEEQKSHSLRYHSERQAVAYGLLKVPEGMPIRVMKNLRTTS >Et_3A_025734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32270270:32271159:-1 gene:Et_3A_025734 transcript:Et_3A_025734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALDDCKWRQIPAFGDWNMWEDMPVTQYFQSGAFFFAAQADKEEEDLFKVPQFAAKPYSYKKCVVRVKGAKASDVPVKKGGRRQYVKEQQKWKPKGAVDEDLYKISPQLICKKKYLRNLLGGCLGLNCIA >Et_1A_009101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29065079:29065830:-1 gene:Et_1A_009101 transcript:Et_1A_009101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSAMPVDLLLVIFALLASEADRVRFRAVCTEWAAAAAERRPRPWLVGSRTDRSGRGAGAISSFWLSSSKRLAPFAAAVAAGLEYLSSSHGYLALADTETSPKAIVLVNPVTARRVRLPAIGFFAKWLDVAIVVLSGDPGGAAGEWAAVAVGFPTSWVEWVGDGVVRLVRVFDIGRNALFLGRNRAFALSFCVYLVNRQGHSDGLVRVIKIDGNWTSREENIIPDDDRRGSPGAGWARRGWIFPHF >Et_1A_008804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1406561:1409143:-1 gene:Et_1A_008804 transcript:Et_1A_008804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRINPRRKPFALVPRAFSSSSNPPFPPPPPPQNNDHHDATRSPSPPPPNPGTRPNLSSSLFQDLRERLQSSPAPAPPRRFPMNPPRPNSGGGGNPAQNLDEVRRMLQNFRGTQTGGGAPSTPAPGATPSFQDLLKYSAALRPDAGGFEAIRNSLKINPQKRPPRSPTPFLSPTQHNIFNKELGERTGRAEGSDKDSAIMLTRVYSYEELGKRLGEMRPVSVAKDGKDGFSLEELQERIAKLRKAEEQEDKNRDKDMPISEIRKSLMSIKESAGRVAPPLNMSILANIGGQNMLDYMRQPPQEELLERYFHPDHMSSEEKMKLELQKVRDEFKMSENDCGSARVQIAQLTVKIKHLSSVLHKKDKHSRKGLQDMVQRRKKYLKYLRRTDWDSYCLVLSKLGLRDVPEYKAPDYKSMSTSKAKSKKSKSKSKSKRKMKA >Et_1A_006772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27393349:27399198:-1 gene:Et_1A_006772 transcript:Et_1A_006772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARDLHPLCCLAGEWQPCAGLGAGDRRAPPEELEAEAEAPAVAGVLYKWTNIGKGWRPRWFAIRGGVLAYSKIRRRVAAEPPPEAPPAAAVRLIGVARGGAGGGGAGERPIGFVHLKISSFSESKSDDKRFYIITPTKTLQLRTGCAKDRAAWIEALVTARSEYSFNGDLSGDQNDASFSTEKLRSRLHAEGVGEAIIKDCEQIVHSEFSQYHTQMKQRCEDYLSFIGSLPREVEVVDSVDTSIAEKPQSELFKTDCSSSGKCSEYSNTESSDDVGKQETCELSDGDEFHFYDTRQSFSDCAASPDLRMRCLNNGNEDDKFGKTVAVDKNDEYLITSLKRRTKLPEPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSHLLDRAYECGLRGDSVMRILYVAAFAVSGYASTDSRPCKPFNPLLGETYEADFPEKGIRFFSEKVSHHPMVVACHCEGKGWKFWGDSNLKSKFWGQSIQLDPTGVLTLEFDDGETYQWSKVTTSINNLIIGRVYCHHHGIMNISGNRQYSCKLMFKEQSFLDRNPRQVQGVVKDADGTKVATLMGKWDESLHCIISDNASKVNSNGSHHSAGATLLWKKNEPAANPTRYNLSSFAITLNELTPGLKEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLETRQRMARKMQESGWKPRWFQKDREDGTFRYMGGYWEAKEQRKWIGCNDIFGNSLSNIPKLQSSSIHASSSI >Et_3A_024161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1844403:1848578:1 gene:Et_3A_024161 transcript:Et_3A_024161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAKEAAAMDASKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRDCPVLANVDPKQIKVWFQNRRCREKQRKESSRLQALNRKLTAMNKLLMEENDRLQKQVSQLVYENGYYRQQTQSAGLATTDTSCESVVTSGQQNVAAAAAVAPQAQPRDARPAGLMSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGGAGVAARACGLVGMEPAKVAEILKDRPLWLRDCRSMEVVNVLPAGNNGTVELLYMQLYAPTTLAPARDFWLLRYTSILEDGSLVVCERSLSSKQGGPCMPLVQPFIRGEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSAMVAQKISMAALRYLRQVAHEDTQSVITGWGRQPAALRALSQKLTRGYNEALNGLADDGWSVIESDGLDDVCISVNSSPSKVINCNATFNNGLPIVNSSVLCAKASMLLQFLEVIKLGNASNYQDTLMHCDLFLLQMYNGVDENTAGTCSELIFAPIDASFSDDSPLLPSGFRIIPIDSPLDSSSPNCTLDLASTLEVGTPRSRMTANGSGNVPCAGSKAVMTIAFQFAFESHLQDSVATMARQYMRSIIQSVQRIALALSSSRSVPQCGINPTPASPEATTLSRWICQSYRLHFGAELVKSADSSGCEPGLKALWHHSSSILCCSLKAMPVFTFANQSGLDMLETTLVALQDITLEKVFDDQGRKNLCTELPSIMEQGFACIPGGLCVSSLGRPVSYEKALAWKVLDDVNSAHCICFMFVNWSFV >Et_3B_029866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28670577:28671965:-1 gene:Et_3B_029866 transcript:Et_3B_029866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDADGHAGGEEPKRPAPRLNERILSSISRRSVAAHPWHDLEIGPDAPAVFNVVVEISKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCTDDPEYRHYNDLGELSPHRVQEIRRFFEDYKKNENKEVAVNEVQPGSVCAIHYAEFATVVFLPDISNSSYEYAWLEQHGVQIDFARERKFVLAARH >Et_9B_065957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18697338:18698582:-1 gene:Et_9B_065957 transcript:Et_9B_065957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESESIRKRSIGSKGSKQKWSSPTSLDFKRKVTVAACGSGDFKTITEALAKVPPNGIEMYVVHIKAGTYKEYFSVRRPLTNVAFIGDGMKQELQDEPDQKGHGHHGGHPDHGFFACAWRTRQAPTTTSDQAVFYQCYFDGYQDTLYTNAQRQFFRDCSVTGTIHRLHLQQLPGHPAELPDPAEQVHGQPGEHHHGQGRPEKRSVGGTMLHNCTIEPH >Et_1A_008617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9243514:9251133:1 gene:Et_1A_008617 transcript:Et_1A_008617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLCLFIYDLLEASLGKRSRLKPDKRARLRHARTTPPRQRPSYPPLLRQTPPLAASPNACAIKPHTRARLLLPPPLLADRERSPSFRKAPIPYALLAGATRPGYRSISIMTTDQPVISLRPGGGVGLRGPRLFPTAFAAATGAGEFLRPHGGVSSGFAAKLGDSRFEPLERVRYTRDQILEMREIVDIAKEIIKLKQDIDVELHVEDQNWARSDSDVQAQSYNRHTETDNRDWRSRPQNSVAVGEEKSWDNIRESKETQTSSWKQEQLTSQFPAKSQPGPAPALVKAEIPWSIQRGNLSDKDRALKTVKGILNKLTPEKFDLLKGQLIDAGITTAGILKDVITLIFEKAVFEPTFCHMYARLCFDLNENLPSFPPEESGGKEITFKRVLLNNCQEAFEGAGNLRAEVERLTGPDQEMERRDKERLVKLRTLGNIRLIGELLKQKMVPEKIVHHIVQDLLGSDNNSCPAEENVEAICQFFNTIGKQLDENPKSRRFNDVYFNRVKELAMNAQLASRLRFMARDVLDLRSNNWVPRREEIKAKTISEIHSEAEKKLGLRPGSTANIRNGRTGAGGPLSPGAFPMNGPGTGGMMPGMPGVRKMPGMPGLDNDNWEVPRSKSRSDPTRSHTQLVNKPQPVNSKLLPQGSGALTSGKTSALVGSGGPISRPSSFSTTVTPAPTVRESLVPEPSVATAVEKPTPAPKSNSAGLQKKTISLLEEYFHIRILDEAQQCIEELKSPDYYPEVVKEAINLALDKGANSIDPLVRLLEYLHNKNIFKAADLGTGCLLYGSLLDELAIDLPKAPAHFGEVIGHLILSHCMGFGVLEDTLRKMEDTFFRSAVFKAATKTIEENPSGQAILSSHAAEIDACNNLLS >Et_6A_047865.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21966662:21967519:1 gene:Et_6A_047865 transcript:Et_6A_047865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQQRSAFFLLLALLLTLQLASAGPGDIAVYWGRNKTEGTLREACDTGAYNTVIISFLVAFGHGTYRLDLSGHPLAGVGDDIKYCKSKGLLVLLSIGGQGGEYSLPSSQAAAELSEYLWNAFIHGRRAGVDRPFGDAVVDGIDLFIDQGATEHYDELARSLYAYNKYYKGGGLTLSATPRCRYPDQRLSAALATGLINRIHVRLYGDDLECVWSPRESWETWAGAYPRSKIFVGVVASPVADDAAYMSQKDLYYGVLQFAQKLPNYGGIMLWNRYYDKENHYLS >Et_2A_016295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22968850:22975087:1 gene:Et_2A_016295 transcript:Et_2A_016295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTFFRCGGVALGTAMHHFVIDGRSAFHFIRTWAGIARGASEGDALVPPSLDRTPLRARASPSTTPTRAAASVVGTNTTTTAAAAKPEVRAATGHGDAYARSLVDYLEKADIGAMPRGGIPGTDLRVISWLGMPSYDADFGWGEPALLAPALMYYTGFVYLLSCPGKGGGVAVAVALEPDRMDRFKELFFEELAALEDMILRQLGETERAVVASVGHPGHWPLQVLPVPPSQEDYWLHRRPPSLPPSPWDEVPPAVTEYPPWFRGSSAAVRPPPVYPHVERSPSPPPAGNGDQQQECRSPGPAARPMLSGDLEGCRSPSNRTLPEAAALAPWLSASNANVGAGMMLSTSFTKDVTPGDRQAIVGGEPKHDVDEGHGVQPFYGSGNQSGEQRETVESTVKNQSDELVVRPCQYGPASEKNRASGALVAAAANAGARLMMSALVAKEVTPRDLGLAGREEPKHGVDDGHGVQPLHGNGNQCTEQMKIVESTMKYQSDELLARPCQYRPASEENGASIAQKRTAFREVISWLRMPLYDADFGWGEPAFMYCTAFVYPLSCPGPGNGKDVALEPERMELFKELFFEEMAALERDDEHWARSYEL >Et_8A_058478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8972912:8975947:-1 gene:Et_8A_058478 transcript:Et_8A_058478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRHSQANVYHPNNKAERMLKIRCCRRYLVDEPIIDDGATNLLVEVEERLHLVHRDLVPVNSKKTAVRSNYIADSWLAPQDGEKDKDLIFTAK >Et_7A_052331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:681878:691266:-1 gene:Et_7A_052331 transcript:Et_7A_052331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGGGDAVVTGDGEMRSLALTPTWSVATVLTLLVAGSLIIERSIHRLSNWLKKTHRNPLYKAMEKMKEEMMLLGFISLMLAATSRIISGICIDSKYYNSKFSPCTKAEVEESLNSEHALAREGKRLMEVILQHSLRRNLKARYHHREGCREGYESFVSHEGLEQLHRFIFVMAVTHVTYSCLTMLLAILKIHKWRKWEDEAFRDNHESFSQIAYVSATRRQPALAKSYSFRSWSQNDGVKWLFCFIAQFGQSVVRADYLILRKGFIMTHNLSPTYDFHNYMIRSMEEEFEKIVGVSGVLWGFVVAFMLFNIDGSNLYFWIAILPVTLVLLVGAKLQYVIATLTAEGARMTAYGPRIKPRDDLFWFKKPEFLLWLIHFILFQNAFELASFFWFWWQFGYDSCFIKNHMLVYGRLILGFAGQFLCSYSTLPVYALVTQPHGPRPDTPCFHHVAIPGGSSAHGHGSGSSHAMLMRQASASAPSSPSSRGGNVTRSASMPGIASLRTGTSTPTRMNEDSA >Et_9B_063678.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18617302:18617685:-1 gene:Et_9B_063678 transcript:Et_9B_063678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHLLGRVSGLVAACTRRVSRATRRLLRHGGRKRTKQPARVAALSARVDADDSSEGGGAALWSRRILMGERCQPLDFAGAIHYDSFGRRLARPPTPRSASSLSCRSSDSIAVSEAISYLENSDVV >Et_1A_005648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12346935:12359189:-1 gene:Et_1A_005648 transcript:Et_1A_005648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLFAPQLTQAYAIPRPTPPRNAILALCARAAPCSPLVHELNSALMAVQARYPAHAFPHDSRAIRPELDDAPEFIGGHRPIATAAQQGVGSNVAFSDLTCNFNDGNGGCSVPRKRARVGDAAGAAGLIMEGHAGALLPPLPVPQVFAPAVDVQSRVLCSGTASTSGRPASAAPASHGLLSLLYRHGVEIDALLRIENERLRSGLEEARRRHVQALVSAVERDAARRLRHAEADLERARARNAELEEKLRQASAEGQAWQGVARSHEAVAVGLRATLDQLLRSPPCAGEGDAEDAQSCCYEQEDGRVADRDRTRACKSCGAADACVLLLPCRHLCLCGGCEAAADACPVCAAAKNASLHAQYLDAHAFRHDPRAIRPALEDDAKRASSAFLADPAAGGGHLLAQLLQARGNATVFSDPRSELTCNNINNNDDNNGSGCFVPRKRARVGDAGAPCGLIMDGHGGALVPTVPMQQAFAAAACDVQSRAHGSGAASTSGRPLASAAPVVSQGVLSQLHRHGVEIDAIVRIENERLRVGLEDARRRHVRAVVSALERAAARRLRAAEADLERALARSAELEEKLRQMVAEGQAWQGIASSHEAAAAGLRATLDQLLQPPPCAGDEGAGEAEDAQSCCFEEDRGADDRARTSSRACKACGEAAACVLLLPCRHLCLCAGCEAAADACPVCAAAKNASLHVLLS >Et_2A_015157.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33441144:33441317:1 gene:Et_2A_015157 transcript:Et_2A_015157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGKRCSNGYADASSGTTNSISATATHINTRLFEPSMQQANHQVSQISRVNKNIAL >Et_1B_010257.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:33061600:33062139:1 gene:Et_1B_010257 transcript:Et_1B_010257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPGVSDTPPPPASGRGGCCSSGGTLELVGGFTAVCLVLYGVILYLNYLYVRWSGRGDGVHRTDTGLGGGGGGARKRPGGGGIDKAALAAMPVLRFKAGRDAAAEECAVCLSAMQDGDAVRALPWCGHAFHAACVDAWLCARATCPVCRARPALPPPQRAPKAGAKPAAASDLESQV >Et_10B_004404.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:6265199:6265516:-1 gene:Et_10B_004404 transcript:Et_10B_004404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVMKIASERAVVVFTLSSCCMCHTVTKLMADLGVNALVHELDRDAKGKDMERALLKMLGGRGPAVPAVFIGGRLVGGTNKIMSLHLAGELKPMLQNAGALWL >Et_4B_037478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20144741:20150990:-1 gene:Et_4B_037478 transcript:Et_4B_037478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLPSRAIRLFPLLRSGEAPVSTPSDAKNCAALEGVATGDARPWRKGRRIMGIASKWIKSLVGIKKQEKGQNVEKQENEQNAESSKHMGSANQSLHKRKHSLYPEGAPAVEEIAVQSESSTDDKNMQTVSNSVCPDSTLLEAKRALRALKGIVLFQALIRGHAVRKQAGETLQCMQALVKAQARVRARQVRVALENQGMLKKVPEQDDEDNYVREVEEGWCGSIGSAEEMQAKVLKRKEAAAKRERAMAYALTHQRQAGSRQHKSTSLQGSELDDSLWGSNWLDRWMAVRPWENRLLDNNAKESVPMLEDKQDEEMKSQVTPKGKVTISGTPCGQSRTKGARHKKSYSDFSCTSFAQPTSVLPSTSLGSSKQKAKTPGEVFEEVSSRPTELASKAVSTPKDRLAQLNTPAKKRLSLPSNVGREAGKGSARKKSMNRSASARSDPKAR >Et_4B_037738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2303073:2306335:-1 gene:Et_4B_037738 transcript:Et_4B_037738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSFVGRVLFVSVFLLSAYQEYVLLTLRLPLRTTSLRSCLLGSSIRVRFRFSEFGTDGGPAAKALEPKFNVFVKNISSHLGVAVPHVELKHVIAATIGLKGLGSLLFILSSPLGAYFLLLNLALVTPIVYDFYNYDMEKAEFAQLFAKFTQELALFGALLFFLGMKNSIPKRQAKKKAPKAKTN >Et_1B_014325.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:604306:606324:-1 gene:Et_1B_014325 transcript:Et_1B_014325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRRPGRALAAAPGGFLHLSLLASLLRRPSLQAHAQLLLLGLPLPAAAASRLLRPHLRSGHPLASLRLFLRILRDHDPSPKSTASQEPVPNSYSLSAALAACSRHASPTPGFSIHAFLLKSGFASDLFAANSLLHFYGSFGLHSLARKLFDEMPTRDFVSFNTLICSYARSGCIEDALGVFINMAEAGFRMDGWTVTALLGACAGLGDLRVAKAAHGVARRMLKQKLFDSGEVAIALVDMYVKCGAVQLARCVFDTSGEKARNVRVWTAMMSGHARAGEIDMAQRLFDELPNKDLIAWTVMIGGFVQAGRYSEALGLFEEMEASGLEADEVTIIAVLSACAQHDRIDLGRRLHQLVDRNGMIRRNARLATSFVHMYAKHACLQTAMDVFRGVGDEFKTVDLYNAMISGFARHGFGEKAISLFDEMRSLGVHPDEITLVGVLCACSHSGLVRQGFQIFNSMEDKYGIKPEIQHYACMADLLGRVGRIDDAYRFIQNMPFKANFVVWSSLLRACRFHGNDKIGKIAEKQLCEIDNTYKPEKLSLSDLFSHEKRKEPAARMGKVIKHKSEHTHTQLSDKELREFEITCKPDRLSLSDLFSDEKRKRPAANDKFEHGCTQLAEKVGFDVSCKPENFTLYHLFSDKKEKYAKGRKAIKHKSTHLAEKQLLELLQA >Et_2A_018686.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5064653:5066176:-1 gene:Et_2A_018686 transcript:Et_2A_018686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIQAATAVLEADDGSTKAAHFVFVPLMMKGHLIPAVDTALLLARHGALCTVVGTPATAVRARPTIESAQQSGLPVRLVEFPLDYAASGLPEGADSDDMVPAMYFWNYLQALALLRAPIESYLRAHAPYPTCVVSDFSHPWTTELAANLGVPRLTFFSMCAFGLLCQHNIERFNAYDGVADDNEPIVVPGLEKRIEVTRAQAPGFFRGIPIPCWEEFADYVERARAEADGVIMNTFLEMEPEYVAGYAAARKMKVWTVGPVSLYHQGVATLAARGNDTAIDADECLRWLDGKEPNSVVYVSFGSICMAQAEPKQVVELGLGLEASGHPFIWVVRDSVEHDETLRDFLRELEARVAGRGLLIRTWAPQVLILSHAAVGGFVTHCGWNSTLEAVTAGLPVVTWPHFTDQFLNEKMAVEELGIGVSVGVKEPLTYQAVKKEIVVGRDVVEKAVRSVMDGGEEGEQRRRRARALADKARAAVEVGGSSHANLLDLVKRFGTGHAHGSAE >Et_1B_010186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28809980:28811491:-1 gene:Et_1B_010186 transcript:Et_1B_010186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHAVVVPYPHGVYVTFVNTEHNHRRVQDTEGAGAVRGSEGFRFEAIPDGLTDADRGKQDYGQSLLKSTNTRCAAPLRDLIVRLNSEHGVPPVTCVLPTGLMTFALGVARELGIPSMVFWCAGTASLMSQMMMRQLRERGYVPLKDESYLTNGYLDTTIIDWIPGVPPISLGDISSFVRTTDPNDFSFQFCETELENCTKADALILNTFEDLDADVLAALRDSIGLSLWKQDAGCLTWLDTQAHQSVVYVNFGSHTVVSPEQVAEFAWGLAASGRSFLWSIRDDAVRETLPPSFVALTAGRCHVTTWCPQEQVLRHPAVGCFLTHNGWNSTCESIAFGVPMVCWPGFADQYTNCKYACEVWGVGVRLDAVVKREQVAMHVREAMGSKKIRASVATWKKAAEAAAGPGGSSYESLLSMVRALGSSSHSYEA >Et_10A_000811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17628297:17629436:-1 gene:Et_10A_000811 transcript:Et_10A_000811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTTIHRAGRRRRACQLPLDLLLEITGRTDPATVIRCAATCKELRRHIADPAFHGCLRLRHNERFIRALLVDNATRGATNLLHNRECTTVTASRGLVLLRVHDGLCVCRLSTGQRQALPPGPPFRRDFTYVLVVGDGAGSTVVGRPFQVLRINPSFIPQRGQCCLRIQTFSSEKGTWGPVTDVLTPLRHGSSGLRRQPDYLVIGDTVHWLCYTDHSYCILRLHTGGQARVTIMDLPPSFQRASHFIRARKSQILLATDTFEGSPIVLVANNDVISSWVPSKLTTKLKEQPQVSGFTQESLGRVQLGWFGQMSGVVLISAQPCGFFWLDLGSREILGWSKSCRNGYPTAPYEIELSSWVPSLCGTF >Et_10A_001151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21597687:21599711:1 gene:Et_10A_001151 transcript:Et_10A_001151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHLSINVQFEPAITDDIELKHQRFVAFIDNLIIKFGFGEVILGIPGNLHRSMSQSTDITSSISPLSRAWVAGEILCTWTWAGGSALKTFLPSLVEFMKEELCHEVSIMPLLLDTLLEGTIMHESGPWALFNDWHLSGNEIEKIQDRFLCALVALLFTLNTNGCTWRERDTLVFFEKLRSHLFVDSSVNRKCLKALPFVMSTIVKTLSEKWILDKDSSYAGLMEKSILSWLDATISCLSSSQMEVPEQDVEDWMQVALSCFPLSITGGGQKLLSERSVTWKKSLLLALFQKYQIFYNTAVLSLSASETTLSSTIELLGVKLMAVMVRYCWTNLQENYWSFLFRMVFKWIELSVSLVEEMTDGINDVIINQKSNEDALDKLILVISASHELRISLAQSALVTLCQLNHLCKTQEAENSQSWQLIRSGEYAESNEKMVESVLHLFLASGVSEAIAKSHSHHKF >Et_1B_013776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16102103:16104729:-1 gene:Et_1B_013776 transcript:Et_1B_013776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEEETKLRVISFLPRAGFTLAAGLGSYLGWFGLGIGPSFSLYLPLYIEINWLGFHLLMDYKGLAFLLRSFGDLTEDPFACILGSSGGGMESNSPPGKTGTVLKRSELRARRKSHRHHNHRHGDKFAAL >Et_2B_021794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5573009:5575250:1 gene:Et_2B_021794 transcript:Et_2B_021794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYVPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVMKKWLPELQHYAPGVPIVLAGTKLDLREDKHYLLDHPGAVPVTTAQGEELRKHIGATCYIECSSKTQQNVKAVFDAAIKVVIKPPTKTKQRERKKKKAKRGCSRIMHTKRLGCFK >Et_2A_015052.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27689036:27689077:-1 gene:Et_2A_015052 transcript:Et_2A_015052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFRPLLLPSLS >Et_6A_047782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1658221:1659211:1 gene:Et_6A_047782 transcript:Et_6A_047782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDALTSRFAHAGHDGNWYFLCAARWKGGKAGTRMNRCVGGSGGTWHGSSKRKPVGGQEDGFVTNLKEATDGDGVRVICKVHRSPRAAPPDINDEEASNNKVGSSKKQLHGENDVGQCYYAAATTSEADGQAAGKETSNKRPKQLDEENDVKCYYATTFTEAGQAADEESYELAGIRRPRLHLRGEQQHGLAACTVTVAPPPAEVRYYCSYAGGKSQGAAAFTTATTMQQQSVMEQSMGFYFPNSVNGRVVIGDGEPEQSPVETAGMEGKGLSQMDYSFFMSAEGMNVNEWLVNEILRPDKPTDGVEHGDDPGAYAAETSAGV >Et_4B_039098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7797554:7800918:1 gene:Et_4B_039098 transcript:Et_4B_039098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQKRSSSEMESCGGSQVAEMPHVPKSARGKRSVRKKEAQSQGQMMCAFDLLATVAGKLLDEGEDSLGNMSAGAAGCAKGVRVKQEQCDEEVKHFKNEVTDQDSCNESAILPHIAVQWAVSHARNEDPKAKSDAQDKESSMISCTKAELGCNFGAIADRWSPESVESGAFTGDAVASTMPPAAAGIHKIASDMYNLLDPMDVDVKPPPLVSSDSTGDMPLYGDKIRRSISFPRGPKGATGFAVDRDDDDDKSSGCTHPSTATNTGFRPNCTADHSRIKKLLACKYRKVAPSRMHRGDHSYSDVDRKPSFRNKKMHYTRQRTQRSTFKRRKMFDRHSVMASEEFGRANGKGNTKENKGANSMPFQKSCESNDSHVKLRIKSFKVPELLIEIPETATVGSLKKTVLEAVNAILGGSLRVGVLHHGKKVRDDSKTLMQAGIGHDDMLDSLGFSLEPNCSQNPSQVPAHEDASFLETIDTTEPLARIAPADSSSKHGEVDASQELALTPFTATYQGSDHDSVHSPVGISSPDKVSTSSRAIVPVPPADSNAGAVVPANKSKRSPEQGQRRIRRPFSVAEVEALVLAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLAAQAYWSQQQAKLQPKTPPLAEARLLT >Et_9A_062192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20593684:20597217:1 gene:Et_9A_062192 transcript:Et_9A_062192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTEPLRDIMCEFRAGKMSLQGTRVVPDTRKGLVRIGRGEEGLAHFQWLDRGQNIVEDDQIIFPDEAVFEKVSESSGRVYILKFRHDDRKFFFWMQEPNADGDSQICIQVNAYINRPLDGEADLPVPIEAEMSEDTADDDISSRAGNLVDQSMTADLAGEVTSAAGPVRLEDLQRILSNIQPSGAAADPDAGLGLGDILKPELVLPLIETLPIEQLTSHLPEGSWTPADILELLQSPPLRQQVDAFTHVLRTGQIDLAQFGVDPNKFTVASFLEALEDSVAKDSAPGDKDAQSQRGGGNDPMDQS >Et_6B_048442.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:520990:521055:-1 gene:Et_6B_048442 transcript:Et_6B_048442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTIMHPTRLLVVKGERVGR >Et_2A_018649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4149335:4150178:-1 gene:Et_2A_018649 transcript:Et_2A_018649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEEGTGDGERQPESGLLRVVWIRQMLHRGQSSVIDTAAGTPLSTSASDAERNCAQTNQQGNNNNSNADTAAGADDDDDDDDDDSRKNKPPLPANRVPRVIVVEERRGPPEPDDDNPALPSWSPMTPDPPPDVPRGCCAVYVGTERRRFVVPTAYLGDPVFARLLEKAEEEFGFDYGAGAGITIPCDTEAFKYILVVMDAHSRGLLDDEGNPKEPAREEGEASEITR >Et_1A_008433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7630017:7633454:-1 gene:Et_1A_008433 transcript:Et_1A_008433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKETYRAELRSAARQLGERCLYSAAKWAAELLVGVEPDAAPAPSAAMGSPSSSSAASGGRLLHLHRSGGSSFRHRPRPGGGSSSEVGTPLGGVSYVNTPIPDDDAFDTGGDKYLLAKTYFDCREYRRAAHVLQNQGGRKAVFLRCYALYMAGEKRKEEEMIELEGSLGKSNAINQELVALERELSTYKRNGSIDSFGLYLYGIVLRDKGCEGLARTVLVESVNSYPWNWSAWSELQSLCTSSDILNNINLKNHWMKDFFLANVYLELKMHEEALKRYERLMGVFRCSGYIQAQIATVQYNMRDLDEAEMIFEELLRTDPFRVDSMDIYSNLLYAKESMTALSFLAHRVFLTDKYRAESCCIMANYYSLKGQHEKSVLYFRRALKLNRKYLSAWTLMGHEYVELKNTPAAIDAYRRAVDINPRDYRAWYGLGQIYEMMGMPFYALYYFQKSSYLQPNDARLWIAMAQCYESDPLQMIEEAIKCYERAANSNDTEGIALHRLAKLHAMLGQSEEAAFYYKKDLERMEVEERQGQNFVEALLFLAKHYKSIGRFEEAEHYCTRLLDYTGPEKETAKSILQGLKRARSGFPSMDIDQFAL >Et_4A_032364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31910085:31910936:-1 gene:Et_4A_032364 transcript:Et_4A_032364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMKKKEKKKKKPMVPAARLSSFSAEALGPATKESVVRVVLKSGVVEVYPGVVLACTVIRKHPPGLCLAHPDVFRNPHGAVVRPLEPLFPGQKFLLLPETTVVRLKQKIPESSIGAFADEEDEDGEEVMASEEESDQDSSSGAGASSSSGEWDDAAAGDAECMAREFYVANEQWSQCHFKKLVRRGLAVEQSKEEPERKDKAKKKGKKKKKRKGKKKTRKDRRVAVPAGLRMFATPRRTWEPSLPAVEEEDAAIVSPLCTPLSEARTEDHEQ >Et_3B_028244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12280785:12286196:1 gene:Et_3B_028244 transcript:Et_3B_028244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTMRAVKVPPNSASLEEARHRVFDFFKQACRSIPTIMEIYNLDDVVTPAQLRSSIAKQIRQNQSITNPKVIDMLLFKGMEELNNIVEHAKQRHHVIGQYVIGEQGLLQETGSKDQGSSEFLKKFYTSNYF >Et_2A_014545.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21485537:21485947:1 gene:Et_2A_014545 transcript:Et_2A_014545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSSMSTKPFLFRSISFTIFWQSSRLQLSPSLCSTAITSSALILPSSSLSNTKNALRMSPSCTPCLCTSMNSSRSM >Et_8A_057761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6901371:6906445:1 gene:Et_8A_057761 transcript:Et_8A_057761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGGGLHGSHEALLLQAAGSGAGDVHGHAPVAWFGPAAAPSYSYTTPHAPPSLPFGVAADAAAGPFGFGGYGGEGGGGAGAMGQFGLFGLEPPPLTASQGHHGMAAPAMHGHGHGSSSRVVSGLLGTLQAELGRMTAKEIMDAKALAASRSHSEAERRRRQRINGHLAKLRSLLPNTTKTDKASLLAEVIEHVKELKRQTLAVLDSADREGEAPATQHLLLLPTEADELAVDAAEDGEGRLVVRASLCCEDRAGLIPDIARALAALRLRARRAEIATLGGRVRNVLLIAADDVDDDEEEEGQAGDEGGDGEEGGDGVCAAAVSHRRHELVASIQEALRGVMDRKGGASSGGGDTSSSSGGGGGSGCGSLKRQRMMSAAHDQDPYPDHEPAIQTPMQYGKACKHTQIDVHARRLNSCSKLSWMHACIFI >Et_1A_005116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27979636:27980048:-1 gene:Et_1A_005116 transcript:Et_1A_005116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDDKCGCAVPCPGGTDCRHAAQVHVEERRCDGGRSAGAHDVPVRRALRVRPLHVRAGHAAVRPGGKEGQLLLRPGLRMRLLRRHSLIDDDNAYTCRDPPN >Et_5A_041595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24797186:24799247:1 gene:Et_5A_041595 transcript:Et_5A_041595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYTPKLQRQDTIDGQAISSVRAPADEVVSLPHPRVASGADVRRLPARDSSAAGAAAVAMESESLASGSRSPSGTGHNSTMFSRKMVIVVLLLYVIACPHLVASNCTEEQKVRILKKCHNFLRIHNPPTVDRDPETDSRCCKAVTQVPGWDMHCISLLLNHQERSQFYLLKLLNLHDICAIRTPPPPPAMNQHYMRQVLRSITRHLCNVASAPTRLRPRTQGAGVGAGPFPQARHGLRHRRRRSSLAT >Et_8A_058293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4333757:4337199:-1 gene:Et_8A_058293 transcript:Et_8A_058293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLRGATSSSSRARRLVALLVCSALLLLTPPCHCVNEQGQALLRWKSSMDAAGAALGSWTASDASPCRWLGVTCDARGDVASLIIKSVDLGGALPSSSADILRPLSASLKTLVLSGTNLTGAIPAELGDLAELTTLDLSKNQLSGAIPAELCRLGKLQSLALNTNSLRGAIPNDIGNLTSLTSLTLYDNELSGAIPGSIGNLKKLQVLRAGGNKALKGPLPAEIGGCADLTMLGLAETGMSGRLPDTIGQLKKIQTIAIYTAMLTGSIPESIGNCTELTSLYLYQNSLSGPIPPQLGRLRKLQTVLLWQNQLVGAIPPEIGDCKELVLIDLSLNSLTGPIPSSFGTLPNLQQLQLSTNKLTGAIPRELSNCTSLTDVEVDNNELTGSIDLDFPRLRNLTLFYAWQNRLTGPVPASLAQCEGLQSLDLSYNNLTGPVPRELFALQNLTKLLLLDNQLSGFIPPEIGNCTNLYRLRLNDNRLSGTIPAEIGNLKNLNFLDLGSNRLVGALPSALSGCDNLEFMDLHSNALSGTLPAELPRSLQFVDISDNKLTGLLGHGIGSLPELTKLNLNKNRISGAIPPELGSCEKLQLLDLGSNALSGGIPPELGKIPSLEIALNLSCNRLSGEIPPQFGELDKLGSLDLSYNQLSGSLAPLARLENLVTLNISFNAFSGELPDTPFFQKLPLSDIAGNHLLVVGAGADDEASRRASVSALRLAMTVLAVVSALLLLAATYVLARSRRGGGGGGVHGAGAGDGAWEVTLYQKLDFSVDEVVRSLTSANVIGTGSSGVVYRVALPSGDSLAVKKMWSSDEAGAFRNEISALGSIRHRNIVRLLGWAANRSTKLLFYAYLPNGSLSGFLHRGGVKGAADWAPRYDVALGVAHAVAYLHHDCLPAILHGDVKAMNVLLGPRNEPYLADFGLARVLSGAVESGSAKIDASKPRIAGSYGYIAPEYASMQRITEKSDVYSFGVVVLEILTGRHPLDPTLPGGTHLVQWVREHLQAKRATAELLDPRLRGKPEAQVQEMLQVFSVAMLCIAHRADDRPAMKDVVALLKEVRRPAEGGDDVGKEQSACNAAATAPPAVQQGQRSPARSSLPKGGSSSCSFGMSDYSS >Et_7A_050736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10616057:10618658:1 gene:Et_7A_050736 transcript:Et_7A_050736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLRRGGALAAHSIRAAAVSSASITVHRLTAVGSLAGAGQLAPAQRFLLETRRGFAKGKKSKDDGRGDTVQSAPDIGPTVKSAATAQMDAAVVALSRELSKLRTGRATPGMLDHIMVETADVKVGLNRIAVVSVLDAHTLSVMPYDPSSMKSIENAIISSPLGINPRPDGNRIIAAIPPLTKENIQALCKVVTKSGEEFKQSIRRARQKALDTIKKSSSSMPKDDVKRLEKEVEELTKKFIKSADDMCKAKEKEISGS >Et_2B_021234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27741516:27747445:-1 gene:Et_2B_021234 transcript:Et_2B_021234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVLDMAPPPPPPPSRHPKGGHGHAGRKQPLQSSVAQPKAETAAAAFVPPEGGKRCGGGGGGGGRRRGGRGRARPAVEPRPAPGPPLRTVIGPPVPSKGLTFCRRPGFGTVGTRCVVKANHFLAELPDKDLTQYDVKITPEVSSRSVNRAIIAELVRLYRESDLGMRLPAYDGRKNLYTAGTLPFDAREFVVRLTDDDDGTGVPPRCAPAFALRQPSRTCLLMAMSYRPALFRCCREREFRVAIKFAARADLHHLREFIAGRQPDAPQEALQVLDIVLRELGNQRYVAIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQILGKDVMSRPLSDSNRVKIKKALRGVKVEVTHRGNVRRKYRISGVTAQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQHPHLPCLMVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCQRPREQEMDILQTVHQNGYEQDPYAKEFGINISEKLTSVEARVLPAPWLKYHDTGKEKECLPQVGQWNMVNKKVINGCKVNHWACINFSRSVPEATARGFCQELAQMCQISGMEFNSEPMMPIYSARPDQVMKALKHVYNIALNKLKGKELELLLAILPDNNGPLYGDIKRICETDLGLITQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPELSENHTSKSSNGTNGTSVKPLPAVKEKVKRVMFYC >Et_5A_040583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1003342:1007099:1 gene:Et_5A_040583 transcript:Et_5A_040583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFGGGGRGSWFAGPGGACSFPWGRGGGGETLAQVMAPEPWTIRRDAAEAAAREVALRVHPTQEAERRRQDVIGYLRSLFGCTFGFEVFAFGSVPLKTYLPDGDADVTVLVDTWLNNSLIDDVRQVLELEKTNRDAKFEVKGVNFINADLLKCVIENIVVDVSFNQIGGVSTFCFLELIDREIGKDHLFKRSIMLIKAWCYHESRILGAHHGLLSTYALETLVLHIFNLFHKSLHGPLEALYRFLEYYSKFDWDKYGISLSGPVLLSSLPDITLEPTVALDEMLLGQKFLEGSLGRVVISGLNGRDTNFRVKFVNIIDPLKGSNNLGRSVNKASFYRIRSAFAFGAQKLGQILVLPSEIIPDEIFGFFANTLQRHGKGERPDLGDFSAFESFLGIENAPNEDVLCLKMSCITEAENKDTLDVSSSCSVSESDWTTLHAGKNSLPPFSESDMLDLSGDLDLYLGCLRKVNYHMEYLYDDLKKAIQKAWLAGEINEDFLKLLISMIETKTRPQRLTIVSSTGTEDVAQQSYVEDQAGVRKQNVPLSTNGLPFASSPLFNSDNYPVSWLSSPKSHGTGTYIPRVSHKMYRERMEGFAPERGFVPERETRQRPRLADRQLDQCSGLRNEQTAFQSTSQVPVKEKGSLQDLHSSKSVGPKGDFLTVWEQTVATGCGTKQRATNLPKVQISQDVPASPAIVNSSKDPIEKEKQIRPQSSGI >Et_4A_032297.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28829157:28829177:1 gene:Et_4A_032297 transcript:Et_4A_032297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLLP >Et_10A_001560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5071235:5075813:1 gene:Et_10A_001560 transcript:Et_10A_001560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEGGGLMASPLPERGKKRPPSPPTPGDEEDLSKSTTDDDNSEWLVSDSEEDEDRHYKGTYQPYTVDDIPRVSYDPEEQSKILYATPIANLRGPSPIRLLPAFKSGNHVFAKAYNLSNTSPIKVRNVGDCPEECRCYPMHLLQFIDIQIAGYRHNYPGRAKIHGFVAARETAEPFRNYVYKRDIENSEAVSVKRKTGMARLSLSSPARAISMPTCALIEFELHAQNEDKVDGEDGLIIEGCTELDHRFKLKSSIEHHRLYGERCALDIKYAVLTNAMEAQVNIEVLRAPTHGVDLKLCAKTSGFSDVIRLFRGVASEVGLKKSFAVGVKMYSYLDLYIEGSVHDPVLGQKLGPGSWWQCSFSSSYHGTVELVAELGDFAAVLVKVTWKCYTRRK >Et_4B_038815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5003527:5005174:1 gene:Et_4B_038815 transcript:Et_4B_038815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHSAAAAVTETLGYIFADIMWSFASNAIAGSLKKKVQPSRCSTSNADCSDDEVSSCTSREEGLDCPICWESFNLVENVPYVLWCGHTMCKNCILGLHWAVVKFPTLPIQLPLFISCPWCNLLSFRLVYKGNLKFPRKNYFLLWMVESMNGERAKFHSTNHDASWPSSGGPSSSHHQRRNAVAQPESSSVGDRNVIRNIFRTDNISASLQKVMVCFFQLTAKFPLVIIFLLIVLYAVPASAAVLVLYVLVTFLFALPSFLILYFAYPSLDWLVREIFA >Et_4B_038453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29023025:29025890:-1 gene:Et_4B_038453 transcript:Et_4B_038453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVKAKAKAGGGKRGGAAKDPADALLSDKRRRGMDDSDNEIDSDMKEIVTLLRQIKDKAHKDGQKKTEQAISSVAAEIQTIIQDTKTKCEKERQNFLKAMSKTSKECEGLLKNEYTKFQAMHDKFCKDKAAHIQNFKDLFSKFEDDKEKLLMQYELQRKKEKATLSELEKTFSEKIANAEESLKKMKQDDKSIHILRKSIGSFLDDGPDDQFGQDDD >Et_2A_014814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16743101:16744449:1 gene:Et_2A_014814 transcript:Et_2A_014814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPFLVLVLVLLLLLLGPRAASSQQPATLHERDAAALRDLRASLRDLPGSSFFDSWDDVARSPCAYAGVVCAADPVSVLTLGTGLADSPGLAGTLPASLASLAALTDLVLYPGRVEGAIPQDIGSGLRSLRLLSLSGNRLTGRVPDSLAGLPDLHTLDLGNNRLDGAVPAGLLLPSSPSLKVLILANNGGLSALPRRPQPQRHRRRAPAAAAHAPLLLRRGERHDRHPRRRLCLLDLPGRPGIPRPVHEQLHGPRPGGRVRAAQRLVAAPVPERLRRAPRRAGGSPRVGGLGRGRRQPQRHLRRAPGGAGGGGEPLRQQQPHVRRGAARRGAQRVRRAHDHLLRAAQLPDGIPGAADAAAGLRRALPLLQLHGPAVRRRRRRLPHHRRAAGGQAGRSVPERRRQQRRGRLTDRWGARAGGGW >Et_5B_043991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18613173:18614938:-1 gene:Et_5B_043991 transcript:Et_5B_043991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFASVLIMAASGGANVFGVYSKSIRTSLGYDQKTLNTLSTFKNAGAALGILPGLLNEVAPPWVVLASGAGMNLLGYLMTYLAVSKKLAPPPSVPVMCLYVAAGAASQSFATTSAVVGTVTNFPDADRGGVLGLLTGFGGLSGAVFTQLHRCFFGAGNDNGAALVLLIACVPCAVSLLAAPVVRRIPRKTAGSSSTRRAVDRFLYVSIVLGVYLLAASVVELKVPKFPKLAYYATAIVLVVLLVSLPLAIVLHQDATPPPTAPISITTTDDEETVSATGGISREDHTVLQALFTLDMQLIFLTTICGISGLLTALDNIGQIGESLGHSPATISMLVSLANISNYAGRVVAGFGSEHVVKRHRIPRPLALTAVLLLACAGHALVAAGSRHGLYAASMVAGFCLGAQWPVLFAVVSEVFGLRHFSTLYNLVPLATPLGSYVLNVQLAGRLYDDGAARRQTGSAVGTCVGARCFRASFAVIAGVTVVGVASSLVLAWRTRKFYRGDIYRRFVGCGHRAAADTTAGNDDAAVDSSPSSSSSSFFSAVDGMV >Et_3B_029731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27442207:27445088:-1 gene:Et_3B_029731 transcript:Et_3B_029731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAHGVAALVLLLLLASVAAPAVALVDQESDRIRELPGQPPNVGFSQYAGYVTVNAARGRALFYWLVEAEPAAGPIAPLVLWLNGGPGCSSVGYGASEEVGPFRIRPDGQTLYLNPNSWNKAANLLFLESPAGVGFSYSNSSLDLYTAGDAKTALDAYAFLVNWLERFPQYKYREFYIAGESYAGHYVPQLAQVIFEKNKGIQNPAINFKGFMVGNAVTDDYHDYLGTFEYWWTHGLISDKTYHNLKATCMLESSTHPSSDCIKNLNLASAEEGNIDPYSLFTKPCNVTASLKRGLGGRYPWLSKAYDPCTERYANIYYNRPEVQKALHANTTGIHYSWATCSDIVGSYWADSPKSMLPIYQELIAAGIRIWVFSGDTDAVVPVTATRYSIKALKLPTLANWYPWYDHGKVGGWSQVYKGLTLVTIAGAGHEVPLHRPRQALIMFRHFLKDTPMPTQ >Et_5B_043839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16690763:16693612:1 gene:Et_5B_043839 transcript:Et_5B_043839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRHVVLLLATVLLYSHLAAANSAASATGLHGDLLAAARAPDFAAWMSGLRRRIHQHPELSFQEHRTSELVRAELDAMGIPYIWPVARTGVVATIAGGGGDGPVVALRADMDALPLQEMVDWEFKSKENGKMHACGHDAHITMLLGAAKLLQSQKDDLKGTIKLVFQPGEEGYAGAYLVLNEGVLDDVSAIFGLHVIPELPVGVVASRPGPFISASARFTATITGKGGHAGIPHDSVDPVIAASSAILSLQQLVSRETDPLEAAVVTVTLLKGGEAYNVIPESVKIGGTFRSMTDEGLSYLMKRVKEVIEVQSTVNRCTAAVDFLEEELRPYPTLVNDERMYAHAKEVAEGMLGEANVRIAAQNMGGEDFAFYAQRAAGAMFLIGIGNETTMGRVRPVHSPYFVLDEEALPIGAAFHAAVAMEYLNKNQCA >Et_5A_042892.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6380632:6381579:1 gene:Et_5A_042892 transcript:Et_5A_042892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHFQVQPTVPFQVVEDYSYYYYYQQEAAAAQASKQPGKPRGRKKGSNNHSKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEAAAKAYDEAARLLRGADTRTNFAPRISPDCPLAVRIRGLLHHKKVKKAKAKSAAAASASASKHKAPSSAAAPAASNSNSNSDSNSNSSNSACGGASSSSSSCDDAVKHVGVDMDAGEVYRPDFAPMAADEFDSWMFDSAAFGQFPALDAFPAAVDSGAVPAAAPAPEEPRGPAATAAPVGEMAEFERIKVERRISASLYAMNGLQEYFDRVFDASACDSLWDLSPLCH >Et_9B_064504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15946422:15948509:-1 gene:Et_9B_064504 transcript:Et_9B_064504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPELGIFVFSMASCFQLCLLMLPLLSATADAATAAPVRFGINYGQIANNLPHPTQVSGLLQSLNVNRVKLYDADPAVLTAFAGTGVEFIVGNEDLHNLSDARSARAWVTQHVQPFLPSTRITCVTVGNEVLSGDDASAKQALLPAMQAVRQALVDLGLDLRVNVSTAHSANVLAASYPPSSGAFREDVAQYMIPLLNFLAQTGAPFLLNAYPFFAYKASPSTVSLPYVLFEPNPGVRDPGNNLTYDNMLYAQIDAVYAAMAAAGHADDIPVRISETGWPSKGDEDEVGATVENAAAYNGNLMKRVAMGQGTPLKPDVPVDVFVFALFNEDMKPGPSSERNYGLFYPNGTPVYNLGFNGASFGRSPASTVSSSSKQPTITFLVAFVALLATFFL >Et_9A_063011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8042417:8046487:-1 gene:Et_9A_063011 transcript:Et_9A_063011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTKRKKHNTKDRNISSSLFKLPCSKDNTFSKYSCRINELNRMMKKLEDETAAKCGSIGQINIKQRMEMYKQEKEELLDTIEKGLQRGEKCNSGHDHNSQIDGSVPEAISDDSWIDEMLAEGPRFVPPPASPHPEEELAMAVENHQEMATMRRHPIRSSTQRQALIYSAQPAMTWKSTTTRRSPTQCRCRTPVRTI >Et_3A_024562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21998563:21998900:1 gene:Et_3A_024562 transcript:Et_3A_024562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISRAFVLVMTAVAMLATAANAQAPAPAPTSDGTSFDQGIAYALMFVALVLTYLIHPLDASSTYKLF >Et_1A_007549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35837008:35837822:1 gene:Et_1A_007549 transcript:Et_1A_007549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKRLHFLKGDQKRRKSVDVSTKRNVSKERRRNEDANKKKIASLMRHARPKEKECARELVVLGKQVLMHSERGNIPVAPNRDLVVFMKFVFVRRVWSIIVKVDYLIFMHGCNINTIFLIFWS >Et_7A_050578.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:25907013:25907114:1 gene:Et_7A_050578 transcript:Et_7A_050578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHHNIKKYDALCLTLLVIVPCCCGRCSFARV >Et_3B_030981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9657230:9661826:-1 gene:Et_3B_030981 transcript:Et_3B_030981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHPDIRAAMSVPGVASPAADRFYCPPPRRHLLNKQQQQQKQQQPQPVPSAEAVPEQPAKPTPELQRDPSPSPPPAATNLESFIASTAVRVPARRLPGTSPCGTETGADYYELADLWEAFGEWSAYGAGVPLLLNGTDGVVQYYVPFLSAIQLYGAPPSSKRRLGEDSDGDNAPDTSSDVSSESDNERSFGRTTQSSADNICTNEEGFSSDDSESSNQLSPVFQYMEHDAPYGRQPLADMMSVFATKFPELKTYRSCDLLPSSWISVAWYPIYRIPTGPTLQDLDACFLTFHSLSTSFDGMLSGLPETKNFHNNKISDDPGKITLPLIGLASYKLNGSMWMSNQHHEQQLTTSLLKAADDWLCQRQVDHPDYRFFLTH >Et_4B_039770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29031022:29033429:1 gene:Et_4B_039770 transcript:Et_4B_039770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLQVLNSRWCHPWQLILVPFLFLLLARRRKGRLHLPPGPPRLPVLGNLHQMGALPHRSLRDLARRHGPVMLLRLGSVPTVVVSSAAAAREVMKAHDADCCSRPDTPGARRLSYGHKDVAFTPYSEYWREMRKLFVVELLSMRRVQASWYAREAEVDKLIGRLSSAGEKPVYLEDHIFGLMDGVIGTVALGNIYGTEQFTHKKHFHDVLDEAVRAKASFAAEDYFPNAVGRLVDVLTGLASRREKVFRDLDAFFDVVIDQHLDPARATPENGPDLIDVMVGLMKEQCQRGSVRFTRDHIKGLLSNVFTASIDTSSVTMVWAMAELMRKPSLLYKVQQEIRTAVGNKERVQAEDMPKLKYLKMVVKETLRLHPAAPLLLPRETLRDVKICGYDVPAKTRLFVNAWAIGRDPASWDDPDEFDPDRFDGKDVDFNGTHFELLPFGAGRRMCPGMAMGVATTEFTLANLLYCFDWELPEGVRSEDISMEEAGGLTVHKKGPLVLVPTRYKWEWQH >Et_1B_012009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2836461:2844811:-1 gene:Et_1B_012009 transcript:Et_1B_012009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERREIKRIESAAARQVTFSKRRRGLFKKAEELSVLCDADVALIVFSSTGKLSQFASSSMNEIIDKYNTHSKNLGKAEEPSLDLNLEHSKYANLNDQLAEASLRLRQMRGEELDGLSVEQLQQLEKNLESGLHKVLQTKDQQFLEQINDLQRKSSQLAEENMQLRNQVSQIPPAAKQAVADTENVAAEDGQSSESVMTALHSGSSQDNDDGSDVALCCMEVTPLKRLGGSEWSCGLCG >Et_2B_021193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27358353:27363040:1 gene:Et_2B_021193 transcript:Et_2B_021193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLYDEFGNYIGPELADSDADDSDAGASPSPSPSRSASPARSPSGSPSRPGALMDVDDDGDADPSQQAVVLAEDKKYYPTAEEVYGPGVEALVMDEDEQPLEQPIVAPPRVVRFEVGTRAEATSTYATTDFLLGLAGNPALVRNVALVGHLQHGKTVFMDMLVEQTHEVDTFNSEGERHLRFTDTRVDEQERQVSIKAVPMSLVLEGGNGKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLVVDAAEGVMVNTERAIRHAIQERLPIVVVINKVDRLITELKLPPNDAYFKLRHTLEAINDLISSCSTTVGGTQLVDPAAGNVCFASGSAGWSFTLQSFAHLYLKVHGVQFDHEKFASRLWGDLYFHPDSRTFKKKPPKEGANRSFVEFILEPLYKIYSLVVGEQKQNVEPKLAELGVTLSNAAYKLNVRPLLRLACRSIFGTATGFTDMLVKHIPSVKDAAARKVDHIYTGPQDSSIVDAMKKCDPLGPLMVNVTKLYPKSDCSVFDAFGRIYSGTIQTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQARYRVPISKAPAGSWVLIEGVDASIMKTATICPLNMDEDVYIFRPLRFNTLPVVKIAAEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVTFCETVVDTSSMKCFAETPNKRNKITMVAEPLEKGLAEDIENGLVSLDSRQKEITDFFRQRYQWDVLAARSIWAFGPDKQGPNILLDDTLSVEVDKNLLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKILNANIAPEPLHRGGGQIIPTARRVVYSAFLMANPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPKPGTPIYIVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMMNELAQQAADLHLQMM >Et_8B_059797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2893914:2894341:1 gene:Et_8B_059797 transcript:Et_8B_059797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERR >Et_4A_035141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9931783:9934200:-1 gene:Et_4A_035141 transcript:Et_4A_035141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNPSSGSAPAGVVSDPAIGKDEVVHAGADASSVIGAHAAADLPSSMAMMSIAGSDASSTAGAAMNLPHIMPARSLDERFSVLRSIAEECIEEDELLRLLKSSPNPICYDGFEPSGRMHIAQGVVKAININKMVSCGCKVKILVADWFAMLNKKLGGDLDKIRNVGRYMIEVWKALGVNVDAVEFLWSSEEIFKRPNEYWPLVMDIAQKRSLNRIVRCCQIMGRSEKDDLTAAQFLYPLMQCADVFFLKAQVNLKIKKAFCPIKVIEGNPCLEHIILPWFGRFEVARKEDNGGNKIYEGMEELLHDYGEGVLHPADVKPALAKSINKILQPVRNHFKSSTEAKVLLKTVKVILIILIMWSSKICFDDSNETFRRCSPSNFNCLSCFLLFVDMVRAEDICFYTGSYKLFVLKHGRRWSHMLLSSHNNTRFQALENILEMQTCRHSKYENK >Et_7B_053645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10639350:10651464:-1 gene:Et_7B_053645 transcript:Et_7B_053645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGPTSPAASAAAGDFPELLAFCARAEALIAELLLLSDRAPPQFADRRFDPVRFDFRYFDSPGDFESRIEGNIEMEALEDQLRESCGPYMQRFFALLDGAVTYHEELCSYLNDLQEGLYAHCTLDGVLENNGACQLLVESMSLFGCLILLMEHKTGGLLRERLLVAHLRYERSFSHPNVERICELCRRHVPTPGSPAGSGSLPCFSEVISVQKPEDLLGRFPFPEPVVDAVITCLRNGDVYNHTRFYPDPQHRTTALSLQGGHLYVLLFYSRDLLHRGLVMREIVDRFFKDNWVVPIFLHFSVDLLVSWDAYKEAKMSLVSCLSPASIRDISLHHYSKVHHLLADLGVHMQAIKKKYVLDNSPSLLSIIRECNFTLRWLLLHRLTSDKKARDLVISIGSSQQVDEGNLLELLIKASKLEFEVKQLHVELLKTRESTWDKKRHDALECVKDLSQNYLGVWAASSKFKNKTLKDWLEHLFSEVISLKYTAIGSSGRTIHRVLSTLKDIEMLNQIKENVQIKEGFAKIQKNLHDMIKVLNLNQEATSILRVITDAKYAWVYLTLFEALLKKNISQNPAESLFLHTLFLKFQSWLRAPVQRIKQCESPDFHCVSTYYSSKYAANIFAVLDVIPVTLLKNATAVRYVNEEQSTHLVNRINKEALEKIMQLDQQLCEARQAAKLCTISEGLQNMSKHFDDLINLNLGGWLKEMIKRELAIQLEGKLKCLSKPTNGDMENDLNSLSTYMLSQVQRVQFLQDILHIDCCSIWQETLATVLEQCAKKELLELMARMEMSANVVKQFNSVSSPSTFFGNVLDLSLLEKHVCCRQLAATYSSVDEPITNNVYRGHGWLVGLACLDSLIHILIKQSMENTVKDLHNLVNTKSQDELSKLDDLLGPPMSIPLMGWSSYKQMVKMFDSSWGPLVEKLATIGQLQLVRNMISFKLRSACKINANTITSSVEVLVSSIHMQKQTCESGSMDDTIRLFHHNIKEQQRFCGLLSPLKAIYISDDPPMFLTRLLSVFSISQLSRYVLDVHLGSLTSPLKRSTADFSAVIVGLCTLLRQFDSFCAIQYIQFMVQYTRMAEAAFDAMIDAHKGTTHSSESPKAVFWLMSFCKYMDISRDLLGSGLPPSALAILQS >Et_4B_037016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13604788:13616281:1 gene:Et_4B_037016 transcript:Et_4B_037016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAIFILSSMVALLQPEKPMKIGLLDALTMQGVVKIGPWGGEGGSDWDIPTPATPQRLEAITVCSSLAVVDAISFYYRDENDEQHDVGPWGGNGGDPYVFWLEPGEFITEVSGAFGFIGTQPGDVVTTLTFVTNRRIHGPFGDAEPDGTPFRVPVHDGGSIVALFGRAGDYLEAVGVYANALHAPPRAPFCTWGAVRYDLATWSRSVFSASSSSRSDILIAWLILSDWLHGLIVCRVQGSVVKLGTWGGDGGVVHDITVAPQRLESITIRWGTVVDSIAFSYRDKDKQLHTAGPWGGDGGRYERTITLDASEYVTEVAGAVGPYGDVAPRVISSLKIVTNRATYGPFGKGSGTPFNIPVLNNGSVVGFFARAKDYLDAGSVVKLGTWGGDGGVAHDITVAPQRLESISIRWGKVLDSIAFTYRDKDKQLHTAGPWGGAGGEKDEPDTITLGPSEYITEVAWSVGPFKLKEIENCITSLKIVTNHATYGPFGYGVDSTHYSLPVLNNGSVVGMFGRAGDYLHAIGFYVLAF >Et_5A_041127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18591708:18593370:1 gene:Et_5A_041127 transcript:Et_5A_041127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PSNSTPRLFKTGPCLASHRGKENVEIQTSSSVSSFLVTFPAKEVFLMEILLSSILSELTTRSISFMIDKTLRRSTVPTVEEARSSLQRLLLRAEVIITEAEGRLVTNQAMLQLMNRLREEMCRGHHTLDTYRCRALEDQARDHHQAVSPSFRPSIFNPAKRFRFRSGSSSSFSEQEWINKALGRLEISIRDATELVVFLSGCPPLYCQPYNMYLLLDKCMFGRQMEMERIINFLLQEEAPGAEYPCVLPIIGPGKVGKTTIIEHACNDDRVRNRFCKIVSFRQDSLNGEKRIATLSDCDVIKHHNQAVQEERVLVVIELTGEIDESTWEGVILRLQTPSWKWKSDMIERFGTTQALRVQYFNEEMYWYFFKVRTFGSRNTEDHPKMTSIAMDIAREFNGCLCGAHVFSWLLTSNFSAHFWSMALAYIKDYKRMNPFLFGSHDVNPWQVDVPVYMRRVNKYSSEYLVVLNYHQTCSSRSEGPTLSVEDFIFGRIRARGKFKVLLWRSRLPPHYSYVLTCEVRRPQQAGMVSRKKRIREIASRSSCTCT >Et_6B_049752.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:62189:64078:-1 gene:Et_6B_049752 transcript:Et_6B_049752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDVDSDSGERDPAAAVPPPSTADEAEFLWELRKYVLLLATLAASVTYSAGLSPPGGFWQDNAGARLAGDPVLRVSYARRYTVFFYCNATAFVASIVIVNLLLMHSLSRRRWWLRALQAAMIFVQFGLMGAYAAGSCRELAMSAYVLALVGLVSSYVCAHVLLFALLAMRRTRDAGEGVAPAPEAPESVERTRKHLLIFATLSATVTYQAGLSTPGGFLSDSVVGDHLAGDPTLRGHHPSRFMAFFYLNTTAFVASLVVVMLLMSRTVTRHGFRSSALWMCTAAALIGLTGAFSVGCSRSIKTSVYVLALVVAILFFIGIQILVFLCKPVEDFLHVVQEKMGKFLRLDNLDPQNHHHHGEASSQQGSSDAHQLLQKSRMYLLLLGILAAGVTYQAGLNPPGGFWQSNGSAGPHHYLAGDPVLHITYPRRYLVFFYCNATAFVASLVILILLLSNIFSTQGIKYCALQIAMILVLFGMIGAYAAGSCRQVFKSVYISVLVVPVFLYVSIHVLVFMLEVSPACAAWREMVMEMLDRRVPKWLKDLLQLQTVEEDENMEWKLEKSRKLLLLLAILAASLTYQAGMSPPGGFWQESTSGHVGDPVLNDNYQRRYMAFFYCNATAFVASLAII >Et_6B_048289.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:10033724:10033789:-1 gene:Et_6B_048289 transcript:Et_6B_048289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLERLRLFIVKGIRIGLLIA >Et_9A_060903.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:15289943:15290384:-1 gene:Et_9A_060903 transcript:Et_9A_060903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGPASSSLDGGATHQSQLLFELCAPVILSAVEGRCGGEAAGAAAPRDVRGRGVHVAGRVRGAGALRVGHLHPGDPMTLLPAAGLLGVSTASWLLWLGWIRCVRGKKASGDFVWGRVSAPCFWSFLLRFRGGCGVVL >Et_2A_017990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8750799:8763448:-1 gene:Et_2A_017990 transcript:Et_2A_017990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGNPFSFALTNLTNGNGGSYGSYYSLPALGDERIDKLPYTVLVLLESAIGNCDGFQITKEDVEKIMDWGKTSTDQVEIPFKPAQVILQDFTGVPVLVDFASMRDAMSQLGGNPNKINPMIPADLVIYHSVTADVVRSESAVQANMELEFRRNRERYACLKWGSSAFQNMLIIPPGSGIVNLEYLGIVVFNKNGLLYPDTVLGTDSHTTMINGLGIVGWGVGGIDAEAAMLGQPMSMVLPGVVGFKLYGTLRSGVTATDLVLTVTQMLRKHGVVGKFVEFYGRGMSELALADRANMAPEYGATVGFFPVDHVTLEYLKMTGRKDETVSIMVLMIEAYLRANRMFVDYDEGYGVPKDLHEKVVKFDFHGITAELKHGSVVIAAITSCTNTSNPTVMIASGLVAKKACELGLEVKPWIKTSLAPGSGVVTKYLLRSGLLKYLSALGFDLVGYGCTTCIGNSGDLDPNVAEAITANDLIVAAVLSGNRNFEGRIHPLTRANYLASPPLVVAYALAGTVDINFEEEPIATGRGNRPIFLKDIWPSSEEVAEVVQSNVLVDMFRSTYEAITRGNPMWNKLKVPTSAVYSWDPKSRYIREPPFFKDMSNEPSGPPSIKDAYCLMMFGDSVTTDHISPAGSIHKDSPAAKYLIEHGVNPKDFNSYGSRRGNYEVMMRGTFGNIRIVNKLLGNEVGPKTIHIPTGLYGIEGMLLVLVSTGVKAVIAKSFERIHRSNLVGMGMVPLCFKSGEDMETLCLTGHEQYTIHLPTSVREMRPGQDISVTTSTGKSFTCTLRFDTEVELASIMGEYCIIGLRLSPPAAAGITTGGVSSFLLTKCCLMCPPDVWPDSHVLLGAMDSCSTSILLLNSDRPDRPSRQDSPRSPLACFPLIWLWPQVP >Et_3B_030995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9858703:9865019:1 gene:Et_3B_030995 transcript:Et_3B_030995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPKKSKPLIVLLVVTFIVGAVASGETVPFYPSAEAAAAAHCDGTLYPDLCLSTLADIPDLHKKPLPDVICATVNKTETVVTATASNCSSYLRYKSLTARDRVAISDCMELLSTTMDELQATVADLESPPASGASQSQAAKRMTVDHVMTVLSAAMTNQYTCLDGFAYQNGGEVRNNSLAMAKKLPGASPPSSSSSSQTESETTAQQRQPFMGYGQMVKGFPRWVRPGDRRLLQAPASGVTADAVVAKDGSGNFTTVSAAVAAAPTGSKKRYVIYIKAGAYMENVEVGKKHTDLMFVGDGIGKTVIKASRNVVDGSTTFRSATVAVVGNNFLARDLTIENGAGPSKHQAVALRVGADLSAFYRCSFVGYQDTLYVHSLRQFFRDCDVYGTIDFVFGNAAVVLQGCNLYARKPLPNQNNIFTAQGREDPNQNTGISVQRCKVAAASDLAAGAGKTRTYLGRPWKQYSRTVFMQSELDSLIDPAGWLEWDGNFALDTLYYGEYMNTGAGAGTSGRVKWKGYRVITSAAEASTFTVGSFIDGDVWLAGTSIPFTTGL >Et_8B_059509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18660133:18661072:-1 gene:Et_8B_059509 transcript:Et_8B_059509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVAAVNMKRKDAEVTSHGFSIFLDPKRVKLQDAEIPVMMEEEEWIADADAPPASVQPTMFMPSPVLPTQEQEVLNDSTMDIASGSKCSEPTFGADQAAPMDVEVDLQQCQALEQPQVGQHAPFFSG >Et_7A_052878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22746920:22748168:1 gene:Et_7A_052878 transcript:Et_7A_052878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCFPKVTMKLGVFIVCFLILVKSCTCLPSDKEKGGSYSNTVTRREFRNLIAGTDGRNGPPSNDHQCPLGTYPNCQGMSQSSKEAQGIGGK >Et_2A_018305.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:22020952:22021239:-1 gene:Et_2A_018305 transcript:Et_2A_018305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFGKRIATASSDNTIKIIGVSGTTHQQLATLSGHQGPVWQVAWAHPKFGSMLASCSYDGRVIIWKEGSKPEEWVQAHTFADNKSSVNSIPWAP >Et_2A_015720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17236567:17240064:1 gene:Et_2A_015720 transcript:Et_2A_015720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDDAVLSDVDEDPLPPPSSSSRPSSSHKTVSQPQTQPQPDAQQQQRLLDLTAELEEERRLRQKAEASVAESDARLARLKAFAQDAEASTASSMLSSGFERISAKASPSSAPAPLPTSQKYSAGLPAIAYGVLKRANDIVDDLLAQIDAAGRDRDRAREQMEHRNYQIAIEVSELEASVASRAAECESLSKSLAQRDAEISDLRDQIASLEGKLDAQRPLLAEQIGCASKLYDEMREVVKLVDADAASALSDSVFVWKETDVEETIKVSLEGTKLAYELATMALKKVGAWVDDKESKVSGLEDRVDELMREKEHIGVLLRSALQATTSEVLKVAEDGLREAGIEIGLDERKEHRPGSAEKDEVYTLAGALENTMKESKVKIIELQHLVEALRAESGLLRTRLEGQEKEIGQLRKQIKHLEEKERVANESVDGLMMDVTAAEEEIKRWKMAAEEEAEAGRSIEQEFQTQILSLRKELDEAKQAMLELENKLKFKEETAAAAMAARDAAEKSLKLADMRCSRLRERLEELNRQLEESDNRTDPASRTGHRYMCWPWQWLGLNYVRLPPAETDQTSNEMELSEPLII >Et_2B_019872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14811748:14813143:1 gene:Et_2B_019872 transcript:Et_2B_019872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSVSNRFLPCVLLFLVVVACSSATAHRRAGDDMDMGNNRSDGRRMMERFQRWKAEYNKSYATAEEERRRFAVYARNVRYIEATNGEAIGLTYELGETAFTDLTTDEFMAMYTAPPSPATMEDDDDDDDVAVMITTRAGPVDATGGRAAASKLPVYMNLSAGAPASVDWRESGAVTPVKDQGRCGSCWAFSTVAVVEGIYQIRTGRLVSLSEQELVDCDTLDSGCDGGISYRALQWITTNGGITTEADYPYTGVTGDCVGAKLANNAVTISGLRRVATRSEASLANAVAAQPVAVSIEAGGPNWQHYKKGVYNGPCGTKLNHGVTVVGYGQEPGDGGDRYWIVKNSWGKAWGDGGYIKMRKDVAGVPEGLCGIAIRPSYPVM >Et_4A_034219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30984305:30985977:-1 gene:Et_4A_034219 transcript:Et_4A_034219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIGKDGQDVLRQILARAVAAVKASSGLILNTFDALERQELATIRRDLAIPVFDIGPLHTFSPAADSSLLRQDRSCLEWLDTKPPDSVIYVSFGSLASMSARELEETAWGVADSGVPFLWVVRPGLVADDHQLLPEGFDAATRGRGMVVAWAPQEEVLRHRAVGGFWTHNGWNSTVESVCAGVPMLCRPYFGDQMGNARYVEHVWRVGFEVGGELDRSKVKAAILQLMTEKDGAKMSERAGELKKAAAECTGKAGSSCKAIDKLVTHIMSLSKENGKAEHLPFPVKEREREREREREREREREDGPVSFPSR >Et_4B_037718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22800722:22802763:1 gene:Et_4B_037718 transcript:Et_4B_037718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSMVSVPIATSSLPLSTRGRSSSLSFSTPKKGGIGHGGLRIECIRIGGVEIPNHKRVEYSLQYIHGIGRTRSRQILLDLNFDNKVTKDLSEEEVITLRKEVSKYMIEGDLKRFNRVAIERLKEIRCYRGIRHKLGLPVRGQRTKNNCRTLKGKRASVAKKKSSSSQEE >Et_2A_016358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23841966:23850884:-1 gene:Et_2A_016358 transcript:Et_2A_016358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAHISNAQIMKRHGRYEPTAPSIYFAVHSRRNGKQNALFDIAAGGIHGCELNKPVKWACLSTETVYLTKITSSAASHPEVNGKAEWQVTTGVSMEQGGKTVLGWAARDASGHLSPYSFSRREQRDGDVTIKVLFCGICHTDLHIIKNEWGNAMYPIVPGHEVVGVVEDVGTGVTKFKAGDTVGVGYFVDSCRACECCSTGHENYCPDVVITSNGVDRDGVTTQGGFCDVVVVDQDYVVRVPESLPLDGAAPLLCAGVTVYSPMVQYGLNAPGKRLGVVGLGGLGHMAVKFGKAFGMTVTVISSSPGKREEAVERLGADAFLVSRDPEQMKAALGWAARDPSGHLSPYSFSRRAPKDDDVTIKVLFCGICHTDLHTIRNEFGNAMYPVVPGHEVVGTVTDVGASVSKFKVGDTVGVGYFVDSCRACESCTKGQESYCPDLVLASNGQGGKTALGWAARDASGHLSPYSFSRRPPGGDDVTIKVLFCGICHTDLHTIKNEWGNAMYPVVPGHEVVGVVTGVGSGVTKFKAGDTVGVGYFVDSCRSCECCSKGHENYCPQVVHASNGVDHSGATTQGGFSDVVVIRQEYVVRVPESLPLDGAAPLLCAGVTVYAPLMHYGLNAPGKHLGVVGLGGLGHMAVKFGKAFGMKVTVISSSPGKREEAVERLGADAFLLSRDPEQMKAAMGTMDGIIDTVSAGHAIMPLLDLLKPMGQMVVVGAPSKPFELPAYAIIPGGKRVAGNVVGSIADCQAMLDFAAEHGITADIEVVGMDYVNTAIERLEKNDVRYRFVIDVAGSFGAAA >Et_5B_043758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15563955:15567339:1 gene:Et_5B_043758 transcript:Et_5B_043758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSVYNPMFNTYVQVEMEDLDVVAMYTGNLLYPAAPEMEMASPEPCSAPTRSRFPRGPPTAASDGRELPFDLMLDVLLRVPAKDLCRLRAVCRAWRAATADPGFVRAHAARHPDPLFLAKLRAHIQVVDLSGAVVKKVAIPEGNELLSTRLDLACVATHRNNCRVLNPATGSARALPESPTPEHAGHENLRNLYIDYAAFGRVPSTGEYKVLRIFNRPEFADIDKEQLFEVFTVNGGSAGRARWRAKQPRGVFVEAKSWLMIDSVYHTMLVAGVNPGINQDSIFSFDLATEWRGELQGPIGDNFGIENMDDFDDFRCLWIQLTLADLKGALALVHYRDHRSLMDLWLLEDFERVVWVKKYSIQTESKIPSCDYPIKSLFVLDDGRFLIHFEQTGSLLIYDPRFNTSVQVDMKHLDAVAVYTGNLLSLQAGHDM >Et_8A_058170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22725777:22728416:1 gene:Et_8A_058170 transcript:Et_8A_058170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPKKPAKSGEKDLAGAGSSLPSPTSDARTTTDLTMSSRIVNPNNYTAATTNSGQNYSAKNAGVGTGASNGYTASIGYEALPSFRDVPASEKPGLFLRKVAMCCVVFDFTDPTKDVKEKEIKRQMLLELVDYITSATGKFPEHVIQEVIKMVSANLFRAPSPAPRENKVLESFDMEEEEPVMDPAWPHLQIVYELFLRFIQSPETDAKLAKRYIDHGFIIRLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSMYHQQLSYCVTQFVEKDCKLADTVIRGLLKYWPITNSSKEVAERALFLWNNDHIEGLIKQNSKVILPIILPALERNTKGHWNQAVQSLSLNVRKIFMDHDPTLFEECRKKFEEDEAQEASMRSKREARWKRLEEIVVSKSTQ >Et_4B_038786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4898100:4898581:1 gene:Et_4B_038786 transcript:Et_4B_038786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTTPHRRTTRSRITRWCSAARAATAAMAATAWVGAGPRCRCDFDLHGLFATSPPTAQFFFHGNYPLEAFGASVSPPSRPAYAADVAWGHIHGVARERSPWRPIKGAPAPRMRELEFIRDGEMDITGAVRGREGEQREIRERAGGGGTSL >Et_7B_055298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9107713:9109625:1 gene:Et_7B_055298 transcript:Et_7B_055298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GLIKYKNMSYFQATTHRPHGMLIAHRPVAGLGKARELLHRDSLCPHSTRSCRLQEQVYPRLVLVSACCKRLGPLYAMSGKENPDPFSMESLNKAMAEAKRPRPINDLLMEQIAKLRGQGSGGNGGNKNCYGGSGGGSDGPDDGSFKESFYELIQILLATVAFILLYIHIIRGEELYRLARDYTRYLVTGKRTARLKRAMMNWRDFSENITKKDSVQEDFYGRPVASESTWWQQPQTFVHRLEELCRGYLRPQAQES >Et_2B_020391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19747534:19750393:1 gene:Et_2B_020391 transcript:Et_2B_020391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTAREMQAMAAAGQISIDDLRAAASAGGVHDDFLDQMLGGLPPSAWPDLSGAAGGKAAEGGEGMQQQQQQYGGLYDESALLASRLRQHQISGGGAEAAAAKQMVMQQLADLRQQGHHMMLQGMGRSAAGGGGGGDGGNMLLPLSLGNNGAGAGGHVQALLKAAANSAGGEAGGVFGGSFAGSLQQQQQHFQPHTQQTAPMASQGFGGGGGGGASTGGGASQQQTAGGAPAPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVLSMSRLGGAAAVAPLVADMSSEGRGGGAASAAPAGSDGMAVTEQQVAKLMEEDMGSAMQYLQGKGLCLMPVSLASAISTATCHMRPPAGPIQPGIGATAAHHMAAAMRMSGMNGGGDAVPASPSMSVLTAQSAMANGAAAADGEASQQQQQKDAASVSKP >Et_4B_037631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2189344:2191625:1 gene:Et_4B_037631 transcript:Et_4B_037631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IPGIPLRPVLSPTGRDDQQPLTPAATEAATRSPHTRMAASLRSPPPVPAAFRRSRTVVRASSSSSSSSSAVSSSSSPKARFVARRSESISVKQLARPLAEYMSLPASQYSVLDAERIERVDESTFRCYVYRFRFFALEVCPVLLVRVDEEPNGCCIRLLSCKLEGSQLVEAQNDKFSASMENRVYCHSSLQDSTLQQLTSDTTIEVTIDIPFPFRAIPVEAIESSGRQVLEQLLGVMLPRFLQQLDKDYQAWASGDSSRKPLGTGEI >Et_9A_061305.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:9608734:9608853:1 gene:Et_9A_061305 transcript:Et_9A_061305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FSYIKHIAASEKERSPGRFGRKNTEITETGPEQPQNSPV >Et_1A_005761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13720285:13725370:1 gene:Et_1A_005761 transcript:Et_1A_005761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSVFRRINVKDLTSKVSVYTNSTELSGGLNLIFRRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNIIVRQRGTRFHPGNYVGMGKDHTLFCLKEGHVRFERNKLTGRKWVHVDPVAGHVLHPLYADGSATTADTMPLRKRQTTAQSSIFEVGNRLRQARSLSMTWNAFKNELLNTGKLSGYSPFCSASEEMVVSPLSMCSGEATSFALLLMLLVDVSLPSSVAKSALNFTGSRSLTLLATRCPYGP >Et_3B_030708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6591896:6602412:-1 gene:Et_3B_030708 transcript:Et_3B_030708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGERGNQLPVLVYFHLPRRWLLRRRIRPADVPLLLPAFRLRAPRRCSLRSRPRAPAPGGHRGRRDVLSWLSDLAALGAGADSWLAESAGFARTFVSGVSAGANLANHVHVVARQVAAGRIALGTVRVVGYVLFSAFFGSVERTASETDPPAGVSWKVELWRMALPVGATRDHPLANSFGPDSASLKPTALLPMLIVAPAIDVLYGHVLGWRRWGRPYVELTEFKGEKHAFSVTQWGEANVELIRILKRFAQQVKAHRFRHDQDHRIRTSYYPRSLPAGALRDHPLANPFGQDRPSLEPSALPPVLVVATEPDVLLGHVLRYVKRLKEMGKIANHTAPPHIVEDFLGVVRLFSDGSVVRGDESVLMPVGPFPDVPGVQWKDIVYDTTRGLKLRVYWPPVAAGGQGKLPVLVYYVRVSAGANLAHHVMVQVASGQIVLDPVRVIGYLLFSAFFGSVERTASETDPPAGVSWTVEVFDQVWRMALPVGATRDHPLANPFGPNSPSLKPMELPPVLVVAPEIDVLFGHVLRYAKRLEGWGRPWSSSSSRGRNTLSPLHSGPQVVEDFFGVVRLLSDGSVVRGDESILRPPGPFPNIPGVQWKDVVYDATRGLKVRLYRPPLAASEQGKKLPVLVYFHGGGYCIGAYDQPLFHAACQRFAAELDAVVLSVQYRLAPEHRLPAAIEDGATFLSWLSEQAAFGGAGADPWLADSADFSRTFVSGVSAGANLAHHVVVRVASGQIALGAVRVVGYVLFSAFFVSVERTATETDPPEGVSLTVEMSDQLWRMALPVGATRDHPLANPFGPGSPDLEPMALPPVLVVAPERDVLHGHVLRYAKRLQEMGKAVELAKFKGHTHGFSLTERGEAHMELIRILKRLSHVVDRLSTMSGDTAPRQVVEDFFGVVRLLSDGSVVRGDESVIMPAGPFPDVPGVQWKDVVYDATRGHKVRVYRPPVAAGEQSKLPVLVYFHGGGYCVGAYDNPMFHSCCQRFAAELPAVVLSVQYRLAPEHRLPAAIDDGATFLSGWLRTQAELGPRAEPWLAESADFARTFVSGVSAGANLAHHVVVRLASGQIALGPAVRLAGYVLFSAFFGGVERTATEAADDASAVTAAIDQLWRMALPVGATRDHPLANPFGADSPGLEALPLPPALLVAPGRDVLCGRVLRYAARLKEMGKAVELAEFEEEEHGFSVRGWSEANQELVRILKRFVPQGIGRTTTV >Et_6B_050161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:854830:858220:1 gene:Et_6B_050161 transcript:Et_6B_050161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVWGGRSSGAGASEGDGILAAISRSQVAAHGREAAAMAKKLLKSTGKAAWIAGTTFLVLVVPLIIEMDREQQLNDLELQQHTLLGGPPSVLVIALLEGSSHCGESGIAKVQFLAAHILYIFFQTKVLVEALV >Et_10A_000824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17921479:17921991:1 gene:Et_10A_000824 transcript:Et_10A_000824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANLQSKGARIVAIFALKVGEIELDSAVLRAAQIYSCFVTASNKLLHLFYLPNPSQTIAKGPD >Et_5B_045124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9796620:9805135:1 gene:Et_5B_045124 transcript:Et_5B_045124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGASGRDPLVASEIHGFLTCADLNFEKLMAEAASRWFRPNEIYAVLANHAKFQVVPQPIDKPVSGTVVLYDRKVVRNFRKDGHNWKKKKDGKTVQEAHEKLKIGNEEKVHVYYARGEDDPNFFRRCYWLLDKELERIVLVHYRQTSEENTIPPPNTEPGVAEMPPINLIHYSSPLTSTYSASARTEHSCAAAAAPEEINSHRGGAISCESDDPESSLELFWADLLESSMKNDTSVCGGSLTSNQHAKYGTGDSGNNNFVSTNATNNVIFSSTVDVHSEAYATNPSLNQVLVVGNFHEQYRHLNTNVHCVIGDKIVAAETVQSGVYRFMATPHTPGRVNLFLTLDGKTPISEVLSFDYRTMPGSSSDSELSSVEDEPNKSKLQMQMRLARLLFSANKKKLAPKFLVEGSKVSNLLSASAEKEWMDLLKFITDSKGPYVAATEGLLELMLRNRLQEWLIEKIVEGHKSTGRDDLGQGPIHLCSFLGYTWAIRLFSLSGFSLDFRDSSGWTALHWAAYYGREKMVAALLSAGANPSLVTDPTPGAPGGYTAADLAARQGYDGLAAYLAEKGLTAHFEAMSLSKDTGRSTSRTKSMKQQTKEFETLSEQELCLKESLAAYRNAADAASNIQAALRERTLKLQTKAIQLANPETEAAAIVAAMRIQHAFRNYNRKKMMRAAACIQTHFRTWKLRRDFMNMRRQAIKIQAAYRGHQVRRQYRKVIWSVGVVEKAILRWRKKRKGLRGIATGMAVAMTTDAEPSSTAEEDYYQIGRQQAEDRFNRSVVRVQALFRSYRAQQEYRRMKVAHEEAKVEFCGK >Et_8A_056582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1302258:1307834:1 gene:Et_8A_056582 transcript:Et_8A_056582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TTVRPVSTVFRTVLMTMAAARASKPVVGSSINIMEGLATSSTAIVSLFRCSADRPVAPGMPTMAFLKSFFFQPIHLAMLSFQHQLSPLELLAPPVYWWQEMTIPDKGRCKSDHILIIKYLLKEIRYVLPAWAFESHSFKEVCSILSRTMTGKEQATVQGIGQTRIEEGIVVDTA >Et_1A_004614.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23171702:23172043:-1 gene:Et_1A_004614 transcript:Et_1A_004614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHDAADDVVVLRCFDGEEFRVPSSLARRSAAVAAGIDAGEHEATGAVPVPGGVTGRMLAAVIAYWVGRDAADHGRYDEEFVAGLSHDVRVDVINAAFHLSERTLFELFVAPP >Et_2B_021760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5148678:5159336:-1 gene:Et_2B_021760 transcript:Et_2B_021760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAWRFNGTNGNSHGGADLERHVDKVQESEPPTPRSVMKMGKSRVNVEDEETLSSVAQCIEQLRQSSSSTQEKENSLKHLLDLVQTRDTAFGAVGSHSQAVPILVSLLRSGSSGVKMLAATVLGSLCKEEELRVKVLLGGCIPPLLALLRSKSAESQTAAAKTIYAVSQGGIRDHVGSKIFSTENVVPVLWEQLKISLKNESLVDGLLTGALKNLSKNTEGFWSATVQCGGVDILIKLVGSGLTNTLANACYLLGSLMMEDSSVCSKVLSGETTKQLLKLLGPGNETSIRAEAAGALKSLSAQSKEARRQIANSNGIPALINATIAPSKEFMQGESAQALQENAMCALANISGGLSYVISSLGESLESCSSPAQIADTLGALASALMIYDTNAESISASDPLVIEKTLLKQFKPKVPFLVQERIIEALASLYSNPVLCKTLADSDAKRLLVGLITMAGTEVQDDLMKSLFALCKKDCDLWQALQGREGVQLLISLLGLSSEQQQECAVALLALLSKENDESKWAITAAGGIPPLVQILETGSPKAKEDSAIILGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASKTLNHLIQKSDTGTISQLSALLTSEQPESKVYVLDALKSLLSVAPLNDILHEGSAANDAVETMIKILSSPKEETQATSASALAGLFHCRKDLRETHIAVKTLWSVMKLLDTQSYKILMEASCCLAAIFLSIKQNKEIAVIGRDALAPLVSLANSTVIEVAEQATRALANLFLDQELSMQVSFEEILARVTRVLKEGTIDGRTHAAAAIARLLQCRAITQLLSDTINRSGAVLALAGLLEAANGEAAATSEVLDALVILSRSKASSGHTKAPWAVLAENPHTILPLVACIADAAPSLQDKAIEVVSRLCSEQHDVVGGLVSETPGCIVSVTRRVIGSNILKVKVGGCALLVCAAKEHCQKQIEILSDSSLYIQLIHSLIGMIHMTNMTAENGSGESITDIRISRHSKENDSEGESVCRTAVISGNMIPLWLLAVFSRHDSKTRAEILEAGAVEMLTEKISQNAFQYVGEEDSTSWVCALLLAVLFQEREIIRSNSALHSIPVLSNLLRSDEPAYRYFAAQALSSLVCNGSRGTLLAVANSGAAIGLISLLGCADVDIADLLELSEEFMLVPNPDQIALERLFRVDDIRVGATSRKSIPLLVDLLKPIPERPGAPFLALGLLTQLAVDCTPNMLLMAEAGILEALTKYLSLSPQDATEEATTELLGVLFSCEEIRHHESSLGAVNQLVAVLRLGGRNSRYSAAKALESLFYADHVRNSESARHAIQPLVEILSTGMEREQHAAISALVRLLCDNPSRALAVADVEMNAVDVLCRILSSDCSAELKGDAAELCCVLFANTRIRSTMAAARCVEPLVGLLVSEANAAQLSVVRALDRVLDDEQLAELVAAHGAADMGPEGQYSTLQVLVNILEHPECRADYNLTPRQTIEPVITLLNSSPPAVQQLAAELLSHLLLEEHLQKDTITEQAITPLIQVLSSGLPNLQQRAIKALANLAIAWPNTIAKEGGVFELSKVLLQSDPPLPHVVWESAASVLSSILQYSTEFFLEVPVAVLVQLLRSGTESTVVGALNALLVLESDDSTSAEAMAESGAVEALLDLLRSHQCEETAARLIEALLNNVRIREAKAAKNAIAPLSMYLLDPQTQSQQGRLLAALALGDLFQNEALARCTDAVAACRALVNLLEDQPTEEMKVVAICALQNLVMYSRANKRAVAESGGVQVLLDLISSSNPDTSVQAAMFVKLLFNNHTIQEYATSETVRVITASIEKDIWASGSANEEYLKALNALLSNFPRLRVTEPATLCIPHLVTSLKTGSEATQEAALDSLYLLRQAWTACPAEVFKAQSVAAAEAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLTVTIKRGNNLRQSVGNASAFCKLTLGNNPARLTKIVSTGATPEWDEAFAWAFDSPPKGQKLHISCKNNSKFGKKSFGKVTIQIDRVVMLGSVAGEYTLLPESKSGPNRNLEIEFQWSNK >Et_7B_053372.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:13911251:13911364:-1 gene:Et_7B_053372 transcript:Et_7B_053372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQAPRALGRHDGHTPPLKSRLHLLPRRRGWRSDSP >Et_6A_047588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7699484:7705809:-1 gene:Et_6A_047588 transcript:Et_6A_047588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPRDCDSAASAARILPDSEEGRRILQRICPVRNEWYSRNGRNCPVLAGMTGIGRYWSRYWMEALLYCSSHWYGMYRPVPERPHAFANLTMAATKLVALSLFVLLSIGLANAVRVARYSRSQGTGSGGGHGGGYVHGAGSGSGDAYGHGESYSDGVFASAGGGGGGGGKSEKNGSGFGGGSGTGSGSSHFSQGAPYGDGGSTSAGGNGSGGGGGQASGSEDSGAYGTGGGMGSGSSEAAGGMSPPYANADASANGGGTGGGRNGGSGGGDGAGSGYEHERVVCHEVHPRRTNQCAPGSCVAIGDGGGLGAFLDHRLVPDSRDEERQVGVAPGHIGQVDSVRVEDGVDPQAVPEDAREAAGEGQLELGQPELTDRDPLFRHVVAEEPWGGRDPVDVVRKPVAGVGQDDAHEVTLAVGARCVVLRIRRRLVPEREHLEPVSFRDVVALPGAHEGRTAVEAVELVFPGARRGEGLFPLALEVDGVGEDEPRVARHRCRVEDGADEIINNSISCLHKIRKV >Et_2B_019544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1059284:1064472:1 gene:Et_2B_019544 transcript:Et_2B_019544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTNPERPRRRPPPDPVAVLRGHRAAVNDACFHPSLPLLFSGAADGELRAWDTTSHRTVSSVWAHGGSAGVYSVAASAGLGNKIISQGRDGTCKCWVIEEAGLSRRPIFTVKTSTYHFCKMSPVKPRSLSHAPQSSSSCSTSDVEPQRAIIEENTEAHDVNSTEILKGHEQGIISNGQNLMAIAGQESSEIELWDITNARKMLCLPQTCNANMTDHPTKQKGLCMAVQAFIPCESAGYINILSSYEDGSTLWWDVRKPGLPLSSVKYHSESALSIAIDGLCNGGISGGADNKIVMFTLNHSKGTFTVRKEIELERPGVAGTAIRLDNKIAATAGWDHRVRVYNYNKGNALAVLKYHSDSCNAVTFASDCNLMASCSADTTVALWELYPPKAPSKADITARDELSC >Et_8A_057476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3421837:3424039:1 gene:Et_8A_057476 transcript:Et_8A_057476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIKAVVDKFVRELKEALDADIQDRIMKEREMQSYIAEREREVAEREAAWKAELSRREAEIAWQEARLRLERENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFSKAKK >Et_1A_007235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32392208:32392915:1 gene:Et_1A_007235 transcript:Et_1A_007235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGALPADEEPVVPYNDGPEVVEYDFFGFGQMDLHDAQNAEQQWGLWPQNDAPDAALAANVGNFNLNENPGQVEQEETMQEDVAPAQVVQQVADMEIGEPNVDNNMQEVEGPGEAFIEMNDFVAALVDEEQQDSGVISGNAPGSMESQNQHGGNLNEEVNQPGNILENAEPGGEEVILALEAALNEPAMFLLAEIQLHELMGAQGDIQQLDLNIIPEEHEAALL >Et_9A_062375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22252506:22253682:-1 gene:Et_9A_062375 transcript:Et_9A_062375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNGFNDVRAAVDNGLSPAGVVAAAGKKAAASLAVLVKMCPSCGHRAQYEQEPTTIQDLPGLPAGVKFDPTDQELLEHLEGKARPESRKLHPLVDEFIPTIEGENGICYTHPERLPGVSKDGLVRHFFHRPSKAYTTGTRKRRKVHSEDEDGGGETRWHKTGKTRAVMCNGRPRGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEERDGELVVSKVFFQTQPRQCGSNATAMAKVPAGDANNNPVVVDGHLQGGTTVVLREANNVAAEFYNTAMLGYGQGVPNNRADGHFKPNFAVHAGRASFGP >Et_7B_055159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7924355:7926251:1 gene:Et_7B_055159 transcript:Et_7B_055159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWIGRKIHLYNVTIGLYMLDWWERYLFSILPQCFSDSPPDFSIDLAPGLFSLPSLVLLATNLRILCEYPPELWNLGSFRLHNLKTLFQGGDYLMQGNHWYPYSVSSAKLKQ >Et_9B_065231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2601863:2604297:-1 gene:Et_9B_065231 transcript:Et_9B_065231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAPITVLLGDDLLREVFVRLPSPDDLIRAAAACKPFLRAARCPPFLRLFRRLHPSCCPHLLGCLSVRPGLRHIAPRLSTSSASSSTAGAVHDGDFALSFLPAGGWPGFASWELLDCRNGRVLLKNRLSGELAVADPVSRGCITLPAPPTERAVGYGLVADDGDSSAFLVACISRDTASHELRALILSSGQLSWANVAGVSCQPKFAGVRPMQANRSLYWKLEGAERMVAFSTATMEFALLDLPPSLHELSFDVIEKGEEDCNVLHLLTMTGFCIEVWAGTGDGDGGMAWRRVDKSVSFHKLVMEVFKPSRQSRQQTLKVVGVAAGVVFVRQWINLFSIDLETMKLKVLSKKDCQSTLSYPYTIAWPPSFLNPAGQGPGSGMLCSLLIGMPPDLASVHLY >Et_6B_048807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1433667:1444015:1 gene:Et_6B_048807 transcript:Et_6B_048807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADMEGALDIAAGDARFWLVPVDPLPHQQPAFHGDVLHDEEEEDDDDDLDGLLDERILDDPTLLAMTILRVLDRASSWEEAAVLAMLIMPGFEGEGDDAYRNGGFGAVPASAAAVAELKKGTFRAAGGDGDGEAAAVGCAICLEEFEDGEEVTVMPCDHGHEFHPDCITEWLGCSNMCPLCRYPLPTGCEDDNCPRTGTADSEPSRRPPPRWLAWRSAGGGDDDLGGCAICLDEEFEDGQELSVMPCSHAFHTQCVTVWLGQSNMCPLCRHALPRCVYNSHGQPLQFDNLAWQPPEAQDDASEYHGDILVDFQLHNDNDDIAEDRFLLGPLGRSLLVPHIEDTPTMFNEAYSNNGFGGVPASTAAIASLQKQKYDGSGSDDGCVICMKDYKKEDRLVVMPCTYMHRYHGKCLRKWLSQSHLCPLCRHPLPTEEQNEHKSVNIIFWSDVWKNSRRRRGSTVQEEATPAAPTVCATCLKKFAVGADLTVMPCAHGFHEACLAK >Et_1A_007287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32992453:32995063:-1 gene:Et_1A_007287 transcript:Et_1A_007287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLNLTADHLLDGLLTPELNYGSCRSRYQFGSYHKKSSRKPSPYLIAKLRKQEALQKRCGPGTASHKEALRRLEAREGADAANDNDSECRYLVNISFRGLGNRMLAIASAFLYAVLTDRVLLVDGGNKDLFCEPFPGTTWLLPRPTWWHRRSSPFRNLNIYDEESKESLGKMLQSGAAAAAGDGNLSWSSAPRTPPFVYLHLAGGYGYHDKLFFCGAHQRLLRAVPWLLMKTDSYLVPGLFLTPPFRAELEAMFPEKDAVFHHLGRYLFHPTNAVWHNVTSYYNANLAGAGRRVGVQIRVFHEEQPREGVLDQLLSCVRDEKLLPEKKTAAAAAANATSYAVLVTSLSSWYSERIRDEYGSVVAGGVHQPSHEGQQRWFDAAHDMRALSEMYLLSTCDVLVTSGLSTFGYVAQGLAGLRPWVMPRVPSWESDWRKGLDPRDPPCRRTASVEPCFHSPSAYDCAAGKDIDLDKVHQALRRHTECAQSSDYKPDQQHKYFTKPMSMVLTIWEGRRGDSTGGARGDDERHSAGLVAGDVAAREALLSGYTRAHGRGRRSTGTARNEATRCPFLLAFYRRRSSEQGSKGHSSQIHSVIELHLDRKINRA >Et_2B_021079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26256885:26259594:-1 gene:Et_2B_021079 transcript:Et_2B_021079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAPPPLPFLCSLVLVLLLLASCSSPAHGRAAPSSSGTSPVAKNIRAADAGGANGSGSLSPAVSAPPPVGSRISRAVSTTRTSDCSPSLIPPELPVVSAVIIVERRRHFHHELIIASVLASIAIVAIILSTFYAWILWRRSRHLHNGKGTRSSGTARGIALVPILSKFNSLKASRKGLVAMIEYPSLEAATGKFSESNVLGVGGFGCVYKAVFDGGVTAAVKRLEGGGPEGEKEFENELDLLGRIRHPNIVSLLGFCVHEGNHYIVYELMEKGSLETQLHGPLHGAALSWHIRMKIALDMARGLEYLHEHCSPPVIHRDLKSSNILLDSDFNAKISDFGLAVTSGSLDKGSMELSGTLGYVAPEYLLDGKLTEKSDVYAFGIVLLEMLIGRKPVEKLSQSQCQSIVTWAMPQLTDRSKLPNIIDPVIRDTMDPKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPVELGGTLRVAEPPSPNQNHSPR >Et_5A_042005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5006156:5006521:-1 gene:Et_5A_042005 transcript:Et_5A_042005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQQGIGFIWKLRKGERRNAGR >Et_5A_042838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5351753:5355842:1 gene:Et_5A_042838 transcript:Et_5A_042838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTMCPAALLLCACLLAGTAAASGVTGDELLLMTERFRAWQAAHNRTYATDAERRRRFEVYRRNVERIEATNRRGGLSYELGENQFADLTAEEFLAAYTMQPRHVLAARKAMRRLVGANGSVGDGSHADGDFFGAAPNSVDWRSKGAVTPAKSQMGCGSCWAFATVASIESLYKLRTGRLVPLSEQELVDCDVAGDHGCGGGSPGVAMVWIARNGGLTAASDYPYESKQGKCRRDRARSLVRIRGGEPVARNDEAALELAVARQPVTVLINAAGFQFYEAGVLSGACDARPNHAVTVVGYGADPAGNGGRKYWIVKNSWGEGWGEKGYVRMERRVKAKEGQCGIAMFPHYPVIRPTTGTMNNRNRPTECSGAAKGDKWVTEERVIVAYKVFCCWNTLSRWAMALARSGFSRRSTNSSYFLTFSCRSLAAAMARSVSPERLADRGPIEMLLLTAPAVAAYALFLKSGDPIRRRRAGERRACASRRRAAGRGGEQAAEAEHSGTGRRRGEGFTPPRPDWHLGLGFVPVMGCTEVDK >Et_8B_060383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11993987:11997031:-1 gene:Et_8B_060383 transcript:Et_8B_060383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLLLFAGALLFVAAEWRLAQAYKKSYIVYLGAHAYGRDASPVEHARATESHHELLGSVLGSKEMAQKSIFYSYTKNINGFAAHIEEEVANEIAKHPDVVTVLESKMLKLHTTRSWDFMDLERDGQILPDSIWKRARFGQDVIVANLDSGVWPESSSFTDEGMGDVPARWKGSCPDTAKYAVPCNKKLIGAKFFNQDMLLSNPGAVDGNWTRDTDGHGTHTLSTAAGRFVPRANLFGYANGTAKGGAPRARAAAYKVCWKGECAAADVLAGFEAAIHDGADVVSVSFGQDAPLAADAATLLQEPVTLGSLHAAVEGVAVVCSAGNSGPYDDTVVNAAPWVTTVAASTVDRDFPNDVTLGNSARIKGMSLESATLNANQLYPVVDARHAAAKGVSPYDAASCGSGTLDRAKTEGKIVVCARGGEGGVDDVAGRVAKGVSVLASGGAGMILANGRADGDDVEADPHVLPASMITYTEAESLYAYISSTTNPVANISPAKTETGVKHSPSMAAFSSRGPSGTLPYVLKPDVAAPGVDILAAFTEYVGPGEVPADARRTDYAILSGTSMACPHVSGVVALLKASHPDWTPAAMRSAVMTTARTQDNTGNPIRDHDGVEANAFAYGAGNVHPNRAVDPGLVYDAGADDYFTFLCAMGLSTKDVQKLSAGKFAACPDKPPAMEDLNYPSIVVPALRGNQTVTRRLKNVGARPAQYRASWRAPFGIDMDVQPKVLEFAKPGEEKEFRVTFTSHKDRLGMGYVFGRLVWSDGTHYVRSPVVVNALA >Et_10B_004422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6830286:6834543:1 gene:Et_10B_004422 transcript:Et_10B_004422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVACSKGLGSSPIRSKDFSFLSAQFAVDYVLRFVAPWSIKLPSLTGSSQSEGSVLDMERNGCNHNSCPSPLQPIASAGQHSESSAAYFSWPTSTLMHGSAEGRANYFGNLQKGVLPGHLGRLPKGQQATTLLDLMIIRAFHSKILCRFSLGTAIGFRIRKGTLTDIPAILVFVARKVHRKWLSTTQCLPAALEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYDELVDGLRGSDPNIGSGSQVASLETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDISSVSTLVKGVGVIGDVKAIDLQSPIGSLIGRQVVKVGRSSGMTTGTVMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILTGKDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTNEGLQEALEEQRITLAAAAAVANSTAAESSPVAAPQENDKVDKIYEPLGINIQQLPRDSSATSTDEPFGPSENVEERQFIPNLIGMSPMRDGQESNGEVNNLTDLENSPDDICVSLHLGEREPKRLRSDSTLDIDLQK >Et_1A_008068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40506844:40507992:-1 gene:Et_1A_008068 transcript:Et_1A_008068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPQAGSAVLLLLLCLFFILGSSAAAGAAAHHGRHHSSGNATAQKAKNLKKEPLAPALVVFGDSIVDPGNNNGINTIIKADFPPYGDDFVGHRPTGRFCNGRIPTDFIASRLGLKELLPAYLSSTPLERQDLLTGVSFASGGTGFDPLTPRLASVISLPDQLAMFHEYLAKAEAILSRGVFAVCAGSDDVANTYFTMRARREYDHASYARLLVTHAASFVEDLVRAGARRVAVIGIPPIGCVPSQRTMSGGLDRGCSQGHNEIAVAYNAGLERELAALRGKYPGTMLVFMDIYGFLYDMMMHPQSYGFTQATRGCCGTGLLEVSVLCNGITSAVCADVGDYLFWDSYHPTEKAYKVLADFVFDNYVKLII >Et_5A_041629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25191186:25206065:-1 gene:Et_5A_041629 transcript:Et_5A_041629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPAALHLLLPAPLPHRHHIAFALPHPAPPLHASLPSASAAFSRRRVRRRAPRHVGARASATVAAEADASWSGPAKFSVRIPVGDREILVETGNIGRQASASVMVTDGETILYSSVCLSDTPNDPSDFFPLSVHYQERLSAAGRTSGGFFKREGKTKDHEVLVCRLIDRPLRPTMPKGFYYETQILSWVFSYDGIHSPDCLAITAAGIAVALSEIPNKQTIAGVRIGLINDQFVVNPTTEQMEKSELDLMMAGTDSAILMIEGYCDFLTEEKLLEAVEAGQVAIREICRAIDVLVQKCGKKKMVDAINLPPPELYRHVEDISGDELVKALQIKEKIPRRKALSALEDKVITILSEQGYVAKDGSSGVTESLADFVEDEDEDEIILDGEVDEGDVHIKPVSRKPHRQIFSEVDVKLVFKEVSSKFLRRRIVEGGKRSDGRSPWELRPINSQCGLLPRAHGSALFTRGETQSLAVVTLGGYQMAQRIDTLVDTEESKSFYLQYSFPPSCVGEVGRIGAPSRREIGHGMLAERALEPILPPEEAFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPIKFPVAGIAMGLVLDTQEFGGDGSPLILSDITGAEDASGDMDLKIAGNESGITAFQMDIKVVGITLPVMEQALFQARDGRQHILNEMSKCSPPPSKALSPHAPLIHVMKVKPNKVNLIIGSGGKTIKSIIEETGVDAIDTGDDGTVKITARDLSSLEKSKTIIANLTMVPKVGEVYRNCEIKSIAPYGAFVEIAPGREGLCHISELSSSWLAKAEDAFKVGDRIDVKLIEINDKGQLRLSCKALLPDASPDSNSKQQTSGSTKEKVPQKDDVVKMTTRRPRRKKQSESEPAGAEKATTKTLEKSTAASATSQGSEP >Et_4A_032498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10877568:10880314:1 gene:Et_4A_032498 transcript:Et_4A_032498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGLLLIQDHGEKEMIRLAFGPESLLHAVMAKARKDLGLLLPASPTSVAAAGHVPFLQLPRQQQGSGRAGAGAPSPLSVSSPSSWAQAPVFSRSNGAGDEVAAAGEGGDELPSPINGGAAPFFPQSREALLEDVQLQEQLAFLNDGGMNTAHQHPAFDGGECRSPGPGDGGGMFSYGPGWPNGGHPAHRRSASVNELCLGGGGGGDGFGWRPCLYYARGFCKNGSSCRFVHAGLPDDAAALAGAKMDAAATADQQQQQQCQEFLLRSKSQRLGPAAFPYSPTGSLPGSPSAASKCLSLLLQQQHHDSRRRLYNFQTPNFESFAPKLQPFPTCRAAAAAALMLGGGDEAHKFMGRPRFDRADFASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKYRHALQTTNAKLLLSATRHARIADQPQGDFSGCTTPTGLDSCRDPFDLHQLACFDRARLMMIPVCFSSGQRMLQHSNSANELLFRRKLEEQQAAELQQAIELQSRRLMGLQLLDLKSRAAAAAASPTSALPTPVPNSFASSQPACTNMVESPPSDSGEQLKLSGNLAPEGKVNGSDKEESAGETSPNAADSDQSGEHNLPDSPFASPTKSAAMVHDGFAAAGTENAAQRDCGSNAEGGGLRPSPLDIPSPKPYFFPMHRCVTPIVSSAAIMSMNIL >Et_5B_045167.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:10601911:10602300:-1 gene:Et_5B_045167 transcript:Et_5B_045167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSCEKGNFRTWLTDCFQQRRKQSLRERDKWPPSPCPGTASVAGGWVPQLRVLAHAAVGAFMTHAGLSSLVESFLFGQPIVMLPLFADQGITARLMTERRVGLEPWRCRGASATAPWAGITSRPRCDG >Et_10A_001571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5229818:5231083:-1 gene:Et_10A_001571 transcript:Et_10A_001571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSDERVEVAALERHLLAGLSSNDYDRNCDDEVLYDASFGELEDNFMKYQVAHWILLSVLLILAWGVGILMLLYLPIRIYNCRREFRSRKLYLTPHAIVYKVNKPVAFPCFGVLKNENYVILPSISDVVVEQGYLQSFFGVYSIRIENIGVRRSPSDDLKIPGAVVDQNLNSRNLNFRRKLSLSDDQHNTKLNPVAGAW >Et_5B_044358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23236406:23239896:-1 gene:Et_5B_044358 transcript:Et_5B_044358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDDLANWCGLLRRRVTYAIGLQAETGEMCERKLEGLPEDVPRLLPFVGFWYSLAAMNEMPFTASPPRAAIYKLPLPHAPSSKPYPPPPPLRFLAPSATAAAAPLHLRPASTMADVDVETEVAAGAAPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSLVGVYNGKTFNQVEIKPEMIGHYLAEFSLSYKPVKHESYLRYSPYGITAPQNRRVVI >Et_7A_052758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15616336:15618571:1 gene:Et_7A_052758 transcript:Et_7A_052758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVYRLKRDDFSVKVTNFGGRRGFSKVIWTVKEYVGGNNCPYITLYYRSFDGEEGTHKRTPSKQSFRVKMERVGSTLFMPLRTFRGKKRVRVNPPLCSASNL >Et_6B_048330.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:12702292:12702603:1 gene:Et_6B_048330 transcript:Et_6B_048330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLAAVPMSRWRRMRTRASSGSALRQSSPPECERLVATSPTLNSMEGEEGNGLGTSPSLKCRPHPRPSPSPTPLRRAPSPPLLFLSPWLREGGMATRCETLQ >Et_4B_036061.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:18745014:18746141:1 gene:Et_4B_036061 transcript:Et_4B_036061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSPCAACKLLRRKCTQGCVFAPYFPPDNPSKFANVHRVFGASNVSKLLNELPHAQREDAVNSLAYEADARLRDPVYGCVSYISVLQLRIKQVRDELAAARKELAAYVGPAAYAPFVAPPPPQYHHHHHAAAAAAAQYAVAPPNAHHQQIMAQHQQHLHHQQLAEAQQLAAAVEVAREQQDLMMRQATAYAHAATVPGSSAGGATVAVVPPDAAAPYHGGFLFQQQHQQPPSKAQTAVALTYQTE >Et_8A_058216.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:2593076:2593624:1 gene:Et_8A_058216 transcript:Et_8A_058216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNGQASSSYEERISQIYPPDGDRNQDWGWPRFVKRSDLGSSVYARNGVVTIMCGVIVERESLGDPLCLPPSDIGSHFGKLQDSTEGYVSFVVGGDTFPAHRAVLTARSPVFKARLFGSMADAKMPSITLHDIPSATFKAMLRFAYTDSLPEDDELDLGDSPPPMEVFQDLLAVADRYWID >Et_3A_026677.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:13481624:13483786:-1 gene:Et_3A_026677 transcript:Et_3A_026677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGCARGLGAAAAEAQFVARLTASMAAGDLLSGAALHARYAKAHVPPTTFLANHLLLFYSRLALPALARRLFDEMPHPNVFSHNALLAAHARDPRHAAQIFARVPDPDVVSYNTLLAAFASAGLAANALRLFLSMRREGLPVDGFTVSSAVSAVTSIAVVSQLHAFGLVSGLDAYVSVKNSLMSGYGKGGLLEEAERVFVGMGDNVRNHVSWNCMIAVYGQHGHGRKAMELFQDMARQGLVADACTLASVLSAFAASRDVDSGMELHGKLIKSKFTHDPHVASGLVDLYAKCGSIQDACKAFCEVEKPDLVLWNTLISGYSLHEEFSEEALLCFRAMQRAGYCPDDCSFVSVISACSNMSSPSQGQQLHALVVKSDIQSNYISVQNAMITLYSRCGKVLEARKLFDRMVERSTVSYNSIIAGLAQHGHAIEALRLFEDMLNSAYTPTDITFISVLSACAHTGKVDEGWEYFNSMKQKYGVDHCEEHYSCMIDLLSRAKKFEDAEKMITEMPFSLSSVGWTSLLGACRTHGNMDLAAKAAKEILLLSPFNASAPVVLSNMYASAGKWEEAAKVRKLMRDQGIRKKPGCSWIELGRIVHVFVANDVSHPRIKEVYQFLESMAQKMRLAGYVPDMRWALAKDQAAEGETRLRHHSEKLAVAFGLINTKEGEPILVMKNLRICGDCHNAIKIISALTRREITVRDTHRFHCFTDGSCSCGDYW >Et_4B_037835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23961746:23967506:-1 gene:Et_4B_037835 transcript:Et_4B_037835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AWDALGGVSVLASSTGDAVPLRDLWDPTEGVAVVALLRHFGCFCCWELASVLKESMEKFDLAGAKLIAIGVGTPDKARILADRLPFPSEYLYADPDRKAYNVLGLYHGENIFEAQLDQEGDEELHTGRRSGRPDGSPAAGWNVRVQRERAGVRMERRRHRRPCTAGRRSQRLRQTARVVLPPSLRPTAVSPCGLSLPGRRNNHLSLRRSPAGPSPVGTSAASSSSVPFSSPDAGSSIGDALGGVEIYSAATGEPVLFRDLWDQNEGMAVVALLRHFGCPCCWELASVLRDTKEKFDSAGVKLIAVGVGSPAKARILGERLPFPLEYLYADPERKAYDLLGLYFGIGRTFFNPASVKVFSRFDSLKEAVKNYTIEATPDDRAGVLQQGGMFVFKGKELLYARKDEGTGDHAPLDDVLNICCKVPVS >Et_8B_059809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:286741:290026:1 gene:Et_8B_059809 transcript:Et_8B_059809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVACAAARRLITPARCARAFWSASWSAEPAAAASAPSSPSPDNKKKAPAGQHRLAAVIDAVNERKLPPELRGRGNAVRSETDIANVVEQRIWHSMEEGHFENLPGKGKPLNLISNPHADPAEDTLYRILSRNGCAPEWVELNKEIRGMIAGWRSALRKAWANRSEDDGSHWNGDCMVLQEQIRHINDKVFRYNLIVPFGRQMFGLNWEKELDKLKLNDSKVI >Et_4A_035551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28856021:28863178:1 gene:Et_4A_035551 transcript:Et_4A_035551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSPYAGSGAGGKIRRRPPSRAAATPYERPPAAAAAHRLAAAAAAASSGPSGEGSGAGGGSSGWVSRLVDPASRLLAGGAARLFSSVFRNRSGPAPAQEPQSSSPSGRNTEPKQDVPESAYVNSTPVIEDGLGKGKNPAGTSDDKALSEVEHLLMRKTFTNSFKAVHDDFFRCRVEFDRLTDLLRERTIESDLPASMVSHEGKNEGGARINELGGSGMAADYSPAVKVHSQGVASPEELAKQYMSSRYSRKPQSSSLQSRLILENKREASSIAYDRRSGQPVVQAPIEFGNENPGLPVNGYATPGLRGRSAIYRMSRSPYFKGPSSSSDVNMSSLSQRAQSLHAGGRQVLKRRGADLENEHGSIGPIRRIRQKSNMMSSFRDARANSRENLLASRTSGSDFADDNSPSQESPSSKRLLLGIGQSVRLHELHKNGRDFSDTIPPQSNKMAEKIFEQLNIIAPSPKEKQSAGNNSRPSTSKEPVLQDNGLSSMGDPSSSLKFHDLDGANGPMDQELNGSKKGKLKLINDGSSKVASPDKPSIFGNSVSAATSRKPGFKMAVLEDLLELDDDMEAPIPPKNSSSKIEFVETEQKFDSNRKEQKVEQNMLGQKAGPSLLKENAVGSPVSDKPIASVSKNVSSTGLLSSNDPGKTDSARAAAENNTGFTFSHVPSGTRPESTTPAQPVASVKDIKQSGASTSIFGLKQSSTSDLETSTDANVLNKAGFSQSASKPTLDSTSSEKGDKRERAEDLSQSSDKVLPSAASATLNAPFHFASATSTSPGLSNVFSYSSPQKLTPTDKPALSSAPSTSIFALPSTSAAISSSTPPAAFSFGSGASVGSSMAASVKSETAEAKPATTLTFGIGGITAEAKSTASDSASKPASTFLASPISSNVATFSSSPTSSASIFSSVSTFSSSPAAASSVAAVATTTTAPSSTSSTAPGVQSSSTVPSTFSSSGGIFGFSSTAQSTALNTSVAPGTSEPPTASALFGSKLQSEGTISQPSQSSIAQFGSPFTSTSGSGASSSGSGTVSFGVGASSSAPGTVAFGTASSSSGPGIFSFGAGASSSGSGTVSFGLGAGAASSGPGTVSFGAGAASSGPGSVSFGAGAATSGPGTVSFGVGAASSGPGTVSFGVGAASSGPGTVSFGTGAASSGPGTVSFGAGASSSGPATVSFGATTSASGSVFGNSPFGGGATFANPFSSSSGTRFTFSSPSSAAVSSTVASTSVFSSSSGASSTSSFSNLFGSSSSSPSTFTFGQSASSGGGFAFGAQSAPAFSSQPPVFSFTSASTSMNSSTAQPAFGTANTNTGFGMGSTGNDQMNDDSMADDTNQAAPTPAPIFGSPFGQQGNSPATPVFGAPPVQAGGVFQFGGQQPGSVPQNPAFPPAGSLEFQSGGFSLGSGGAGGDKSNRRVIKVKRGPKKR >Et_4B_037302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17901358:17902675:1 gene:Et_4B_037302 transcript:Et_4B_037302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSISVSSAMRSAELSSLHRLLNTTSDTMMRCRCLSSCCSSSSSSFLGSPPPSGNLDDGTRSTKMSRRSRPLPWAAADASSSSQPPKTMRALAAYLSPSVASPASTRARQSGQLALDSSHWSTQSRWNAWRHRGRRRSRSASSKRARHTAHSMPCRAPRSVANRKKGSESITGFGTPSFAESADGGAGEEEEWQNLAYMRRRKVREKIMVKMPTTMATLGRNDTLMPTPGGLSGAASAVAGWATAAHTVADTSTATRATRAMTALCRDGLLIIRVGVDAGLEEMMPWTDGRRVGA >Et_6B_049932.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18662205:18663401:-1 gene:Et_6B_049932 transcript:Et_6B_049932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSKKMKLAEAAAVVGLLVLIVVIWLPSSSEAAAQELSMYEWVTQQPPATGGGCAEKKDSALSAAERIHVNNIIDPSGSVGSSYKTIGESVANIPDGSTKRYVLTLKPGVVYREKVLIGKSKPFVTIRSEDPYNPAVIVWNDTAATLGKDGKPLGVDGSSTVTVESDYFVAYGVVFRNDYAPPPSSSKAPAWTKNGEAPALRLVGTKATIYNCTVEGGQGALYDQKGLHYFKSSTIKGTVDFIFGLAKSLYHDCNIVSAAPADLGLLQVAPQPKGSALMIKVAPGSETGFAFKTCIFQGQRIYLGRGDVPSVYSYSKMDKMIVPIILSAHLDNAHVPDRGYFYALFKCHQPEIDDPSLNLGEDIMTYAQAKPFLGTQFVSGDSWIPSLPPPEEADR >Et_5B_043693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14145792:14147002:1 gene:Et_5B_043693 transcript:Et_5B_043693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHQEDENSGQVSTNPSVTPVETENQTSAEKLVKKKERSSSSSTSSEDIDEDDFFQIEGPILGSTISFAENASLKDIRQQDGSSPNPESNSPLGPNQSPPVQAMSRASDEFPDPKRIPSSVFERSKSSSPADWSVTSNESLFSINVGNASFSKDHFFLYGKSGELGNPNDPMAPLPPLPRLSTTSSPTRSEAVKTTGLANAKVKPTAAKDGDHDADESTDYIHSMSHRSDASTTSFAFPILAGDTKSSESLKDDQPELARQSTAHLTEQAVPDHIEQEAPKVQVDAPKDELAPTPAPAPALAQETASASTQQPPASTK >Et_7A_051493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19531767:19535828:1 gene:Et_7A_051493 transcript:Et_7A_051493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAYTIHQSLTAEAAAVLKLALGLARRRGHAQVTPLHVAFALLTTGPAAPPSLGAFSSSPGYGLLKRACLRSHPSASHPLQCRALELCFNVALNRLPTSSPHSSHSSSPPPSFASSLIQASPTLSGMWPAAQFLAAAPGTTGMSCCNQDDDVRAVLDVMVRKKQGRTSSSNNPVVVVGDSASMAEAVASDLLRRLERGGGDVPDDLAGAHLLKLHLSYVHVRLMSRADVDARAAELRRAVDASNLHHHHRAGGGLVVYVGDLRWAIDEETNGAGGDSSSYSPVEHMVAELGRLLDDLRASRGRRAWLLATASYQTYMRWQQRRRRRSHRQSPATEDAWALQAVLVPTAGGTGLALNNLHPPPSASGAAMQQAAMLTMPQPGQSLLTMAEGDGHEEKLVLCTECSKNYEREASLVKEEADAEGPRAGLPAWLVPDRQPADQAHHHKDKYLMELKRKWSRLCRKLHLCSTPPCSAPCPWWSGPACLPPSRPSIAGCFLGLEALMAHGKTRTANEWSPPQPRRGLAVSPREPSCHQDVGTALALGNQLPLSDTATSDGRAPGSELEQRLSRNVPWQPAAVAAEIAEAVVAAGDKGVWLYVKGSDHAAVRRVAAVVADARCGSAGRVVVVGSAADAMSELGRAEEFCADVGGGDGGKGKVVVVVGDVERAPEDVVDRLVAASRSGSLTGRAGQELDLSGCVVILTTAKFTGAATGDVISLRLWSEEDEELSGDLKRTPTKIKRPRLNAGRGLDLNIDLSADDEEAEAIPSDITHEGSDDETVEHHGHSLLESVASRVVTLNDDAGCPRGHLRARLLRALGQPPETARVEDEAVEAVAAASGQFLDETLERWAAEVLAPAAAAVRNGGKGKAVVLGVGRGGVGARPAGFMGSVLPSRVHVD >Et_9A_063491.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:4777032:4778285:-1 gene:Et_9A_063491 transcript:Et_9A_063491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGTAAVEAVIPVAALIGIAFAVAQWYVVSRVPVRSRDDDDAAARGKQGMLVGGRGGGGSSEVEEVEEDDDEEDGMDGRQAEARCAEIQHAISIGATSFLLTEYRYLAAFTAAFAAVIFVFLGSVRGFSPRGEPCAYDPTRECRPALANAAFSAVAFLLGALTSVLSGYLGMRVATFANARAALEARRGVGPAFAVAFRSGAAMGFLLASSALLVLYAAVNLFGLYYGDDWGGLYESVTGYGLGGSSVALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAEASCAALFVASISSFGAEHDFAAMMYPLLVSAAGILVCVATTVVATDVAVVGDDDVAAVGPALKRQILVSTVLMTGAVAGVTFLALPKQFTLFDFGHVKVVKNW >Et_1A_005992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16461502:16461936:-1 gene:Et_1A_005992 transcript:Et_1A_005992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASSSGSEAPGVNIDVLAGVGAITVERSPAADRLSELGVRSWPKWGGPRGRYALSYDARQTCYIVRGKVTATVEGSPGRAVEFGSGDLVIFARGTRCTWHIAAAVDMHYAFDPS >Et_7B_053241.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:13904232:13905320:1 gene:Et_7B_053241 transcript:Et_7B_053241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYARLAAGRLPPGGPRLAALLPALPFAFSSILLRTVAAFFLVWLCGFKLLLLAAGAHGPLHPSLPLVRFVACAALPVRVVVRGEQRQRPSPRSPSELFQLSSFAAKAALFAALVSLRRHRARLSAYAVPAFDGAHVYLMLELFLASAAALARTLLGAELEPQFDRPYLAASLRDFWGRRWNLMVPALLRPCVYRPVRARLGSAAAGVPRVGPHARGRVLLHHAGGRHRRGHRVLRAPRRVRGGRGVVVLAETGGWPPAAAVSAPRGDGAHAGVRHGDRVVALLRARDTERPGQGHRRRVRGGGGVFRQGWAESGRCDDALGVARYTVAVECTPTSPAVLTLFRHKYNHSPAPSPQKNAFAL >Et_5B_045198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11728290:11729344:1 gene:Et_5B_045198 transcript:Et_5B_045198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVIGTAASSASSAVANTILKPFKDLFSRTCEDECGAWDGVCYMEHACGGSLLRLGSSMLLLSLVLLFVYLLLWKTGLIKCLVKCGCKLSWKFCRGSCRALGGVCGFLWHRLASTRRVYHSRRRNADVEMGDLSWSGSVRAARRRSLPSSSSSVGERRMERVHQSLHARRQISKVEHAYAARRSHGERSLHHHHHHRAPGSTTRTEVYSSVRVRHGSPRHERAIVLYDR >Et_7A_051683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22925473:22927774:-1 gene:Et_7A_051683 transcript:Et_7A_051683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKACFFIDTGHNIVEYIEIISKLLKDGGVWINLCPLLYHFADSYGPDDDMSIEISLEDVKKVAYHYGFVME >Et_8B_058803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6983638:6984012:1 gene:Et_8B_058803 transcript:Et_8B_058803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAERKACEAERERMSERARRAREAGPDAFRKEKYLRCTQ >Et_2A_017205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3182753:3185660:1 gene:Et_2A_017205 transcript:Et_2A_017205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEEWRRRKDWEVAERTRMELEDAGRWEEGFERAGAEPEEEEEELAGGVGGDRYKWEEKGDAIDREGWRHRFQRHPVAAGHRGAWRGRGGRGGRGGRGGFQFRCSWDLQHHISDISNKPGVYGGAIIICNRLTKRDFFQHKLFALPGYAATFIKKIRAGMLLFLFEFEERKLYGVFEAASDGALDILPDAFASLWKFRPAQVLFRRVWLCKPLTVAEFSGAIEGDYLRPHMSFFGISYQQVLNLLDLFSLKMIQLQTYQKPKSRVISDYKVSLARTGQDFSLKPHSNACPSRHPSMFHNNRTSLPHSPFMYPKHHGKRATRENESSLHYRPKPMIDDLDDYKSESDADQSTLLGTVRLHSTLKSDSKYEDPVTKQLNGKHSEHDSYHSHNLDKRIVSECEAGQKHVTAHTVKESKSSLQNKGCKRKAVVRVDKLSDVVSPRRVCTAAKKVSFSIGGNEVSVTYDRKKSSDKSLCKPAFAENRDRVVEKGKEEVCFSPRDIQSKEKHVSAKRSKPRSLSFAEQFRNQRAQSCSRNG >Et_10A_001991.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:17452408:17452977:1 gene:Et_10A_001991 transcript:Et_10A_001991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVREMLFIPGAGDTVPMRPLVGRRAAPRDAEPSASKVGQARIAKRHRGRWPPSCSVGSSSSLSRTISGGRALMSHAHKLVSLKPKSRPPSSGTCTARWWRAVPFLAPHAACHVQGEGPLAHERLPAARAGREVACHLQRRRVVGGFERRRIGNLAAAAIGGAPAAALEAAPARGARRVRATAAGSGQ >Et_3B_030294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31994309:31996780:-1 gene:Et_3B_030294 transcript:Et_3B_030294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSHVLPFLSPAGTASVRLSPGRRAGLLRCSAAAGQAGFFTRLGRLIKEKAKSDVEKLFSGFSKTRENLSVVDELLTYWNLEDTDRVLDELEEALLVSDFGPKISFRIVDTLREQIRDGKLKSGSEIKAALKRCILELLTSKGGNSELKLGFRKPAVIMIVGVNGGGKTTSLGKLAYRFKNEGVKVLMAAGDTFRAAARDQLEVWAERTGSEIVIDNDKKAQPPAVLSQAVKRGKREGFDVVLCDTSGRLHTNYGLMEELVSCKKVLAKALPGAPNEILLVLDGTTGLNMLQQAREFNDVVGVTGFILTKLDGTARGGCVVSVVDELGIPVKFVGVGEGMEDLQPFDAEAFVEAIFP >Et_5B_045093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9516890:9518272:1 gene:Et_5B_045093 transcript:Et_5B_045093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHCCSKQKVKRGLWSPEEDEKLVRYITAHGHSCWSSVPKHAGLQRCGKSCRLRWINYLRPDLKRGTFSDQEERIIIDVHRILGNKWAQIAKHLPGRTDNEVKNFWNSCIKKKLIAQGLDPKTHNLLPASKSLLRGANPSSAQSFHHSNGGGATATPPFTISSPTKSAFDALAVTPPLPEIAPPLYDVVVPNNNPGMMLMRQQHDQAAPTIPAPGYQPYPDNNGHGVLMSFRDQNAGGLQASMDFVNGSSSSSSMDHAVVGGMHNGNGNGFGQGMHAAAFMDDTAAMWAAAVDPGMGAGMEVVQQQQQQPPPPPVAHGLVQGQVMNGGGGAVVADKGLDMMDVSSVYSGVGANAAFDLELMESCGFFGGGGNAMDQLQWDC >Et_6A_047096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25208881:25219189:1 gene:Et_6A_047096 transcript:Et_6A_047096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDNENGSSTARNVNQALQQHTASGDGVQMPRPQREEALKCPRCDSSNTKFCYYNNYNMSQPRYFCKACRRYWTQGGTLRNVPVGGARRKNKRSSSSSSASPSSSNTIARAINNKYNNNNNNMPTLSALTSFPNVLPTFMSTGFEFSLPLAPPLSLSGSVAPAPALAFGGSMVTTSSFLDLLRGGVLDHEGSGGNGIERSLPPSFGFGVMQHGVMGEHHGVASIVGGSATATTQLGGQHQWVGAQHEHNKDDGNATGLDRLQQVGVYQQRNGVLTYKDNKNGSSKSGDNH >Et_5A_041251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20025240:20026638:1 gene:Et_5A_041251 transcript:Et_5A_041251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANKSAIAHCQRLSKSVISPNPLDSYYVLRHYRRMIIYGTDGLRLDEKVLAFHNLTELEDLTIQRGTSLAAEHFQQLTSLKRLSLCNLDEVLLPSSNGAGDLKWQLPVKQLAVSMCSVSGKNLTRLLCHFPMLSSLQISGCTTITRIAVMVTEHEHTTMTMATQSASVAKLEGAQLQQNQLHQIEEEEEIEVAEELQKEEGLLELPPHICGSLQDLKIENCRDLVLVQRRGGLQGLCSLVSLDIRGCPRFLSSYASSTCPFSSSLRKFDFTGILGMKAMGSGGEGLWPLLSQVLCLRDVEIVTFTQDQENAFQLLTSLQLLQFSWCRMLKALPRCLYRFSKLEKIEICNCPSLRWSVQRLPSSLQVLDVSSCFNDSIKQECRRLKGTIPDVQIG >Et_2A_015490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13708575:13709340:1 gene:Et_2A_015490 transcript:Et_2A_015490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVVGSPGTRSGLALRVAQCLCAAASLATMLFADGSEHYTAFGRAWVDISSLRNNIDLHNFDHVCIVLIFDWTCYEVIARD >Et_7B_055217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8344237:8348252:-1 gene:Et_7B_055217 transcript:Et_7B_055217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVAALIFLVVCVQLGTSSSTGIGSYFFGLWSRTHHNRFSTLAPAPSPQPQRPIIGHPGHRHHRKRTHASPPPSSSSSERQDCSGTTCSAPLTSTPIGSPCGCVYPMQIQLDLGVAPYQLFPRIDELEIEIAAGTFLKQSQVRIMGAGSSLQDPEKTTVTIDLVPLGQKFDRTSALLISNRFLQKKVPIKQSIFGDYDVTYVHYPGIPSLVPIPGSLGPVSSNEYPFGTRSSFSSVSSSTASFDSTMETYPPTVKTFSLSELEKATENFSFSKIIGEGGYGRVYRGVIDGDVEVAVKLLTRKHQSRDREFVAEVEMLSRLHHRNLVKLIGICIERSTRCLVFELVPNGSVESHLHGSDKIFGPLDFDTRMKIALGAARGLAYLHEDANPHVIHRDFKASNVLLENDFTPKVADFGLAKEASDGIEHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMTQPPGSENLVTWARPLLTSREGLHRLVDPSMPAGYDFEKLAKAAAIASMCVHVEASNRPFMGEVVQALKLIHTDTGGDETRSGSFRGGGGTTEEESPWGDGSRSTWNEDAPATPAFPRRDYYSGPAGAAAETPPRPRSASSAVLDKIESLAMYDWSGPLRAKGRHSFYRLRGSMSEHGRPSDDGSVEGYWM >Et_3A_023980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15534019:15536481:1 gene:Et_3A_023980 transcript:Et_3A_023980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSCVQLLPDYEHICTLLRAIISTSFPPSTSSHQHRQPQANNSIHGPSIPRGLVHYQRNNAHSPALVISAFNSQLPGTQSVAETLFGASPAVPSDVLARAFQIDGGLVKGIKSKFPHK >Et_1A_009074.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28287557:28288426:1 gene:Et_1A_009074 transcript:Et_1A_009074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRPITAAHGGGGDDSERARGGGGVAWVEKELMFEKVVTPSDVGKLNRLVIPKQHAERYLPALGSAAAVAATAAGEGKGLVLSFEDRSGKAWRFRYSYWNSSQSYVMTKGWSRFVKEKRLGAGDTVTFARGAGGEAARGRFFIDFRRRRQDVAFLQQPPPPAAHHHRLPALPSVPISFPWQDYGYGAYASAAAPAAPVSSRHVLFLRPQMPAAVVLASVPVRVAAASAVEATRSKRVRLFGVNLDTPPVLEDARAARTASTLLQLPSPSSSTSSSTAGKDVCSLDLGL >Et_3A_026157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:540298:542732:1 gene:Et_3A_026157 transcript:Et_3A_026157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLERDYLMAPSLSKHFAEDLLRGAMDLQESLAMLERFQAASQSMRQSNKKIRPETSQKSTEIDTIIREVLLRPSNANKVQPRTVSNGLHEQLSNSTDELKNLIKVSLHKKNILSLSSNNEQASLSQSTRYSSKDYLVSKTTKLKKVAPRSVPSSVQTDKSTPSLVAKLMGLDGLPSQNDNSIMKDEKVKPASSPRVLFDIEMPKSKRLPPQFSGEDTRFDTGMHVSEKLPPERYIAGMNYTRSQKVIGPSYDTPGIDEIRSMKSIHREKNVEQVQGKSSKEIKIVSHTSRKQQTKETTKINRRTRQKQKSHLTERSGEGRKDVKEKAVPARNAKIIKSPDKKLAAPSNGNSMKPMLQKASRNSRQKTVSRRNVKSSTIDELVAYQIQKEIGHVLCESDGPSTEHSATPSDESVQSGDWDAESSVDDFRNDISASSESVLSINHGERISSAYEDATRPSTAIIPTKEAEIKDEISLLLLSYPSFLSRAAELTGVGSYDHLTNQYKGTTKAEMKNRELYLDTAAEQLERKHGQQNSLFYTGFQGEKRRATTYFSLEALLRDISNGIRKLNSFADADACGTTDSLHMKLERDLWCTDTSINGVWDLGWQDWICMEETECFVRDVGDGILSLLIEEAALDVLT >Et_2A_014941.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:22490095:22490247:1 gene:Et_2A_014941 transcript:Et_2A_014941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGAKESSRKEEGIQHIASNASVAGDMETQIAAFFMENHHNRELSSPT >Et_4B_036914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12599265:12599760:1 gene:Et_4B_036914 transcript:Et_4B_036914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNAKLTIKLILMNKIPRKANDDNGVAISTTRGWTTPSTGTPSRRGSRTPVTRALLSVVAVVTIPTMFRQVRWYYVIPAYALAPVLGFSNSSATGLTDINMGYNYGEQGGALHLRGVGRARRGRSGRARRLQPGQATGAHLCRLHARLQDGPPDGR >Et_1B_009771.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25944574:25945497:1 gene:Et_1B_009771 transcript:Et_1B_009771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EEVRADSRRHVRQAEIVSTVVPEEEDKQADDAAEDDDDNDDEETAREERRKRIREKQLASVGQEDLLPLEDDAATEEESEEEETDSESEDEQTAVIPNKAAIFIPKSQRDTIVERHQMLKEEERLEKLAKERLDDRKAETKRIVVEVIRREELQADNATLNEPDCISTDDESNEAEEYESWKRRELARIKRGREEQETNVASNLIEEEHLGDPNDPLAPRNKRRRFMQKYYHKGCFFLENPDDARQTTATSSSDIFSRDFSAPTGEDKMDKTVLPKVMQVRNFGRRGRTKWTHLLNEDTTRNVPPVF >Et_1A_005972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16222675:16227610:1 gene:Et_1A_005972 transcript:Et_1A_005972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAQASRAPLTCLCLCFLLLSSAQMARSMDLDDDDYDDMSFADDDSYSDEPARRHLYDGERRFNVLDNGNSSKSNSSAGAAFCRLLSLQILDLSNNQLTGELPDCWWEMQALQYMDLSNNSFSGEIPAAPSSHNCSLQSLHLSGNGFTGVFPSVVEGCSALETLDIGNNMFFGGIPPWIGYGVPSLKILRLSSNKFMGEIPSELSRLSNLQLLDLANNNFTGPFPREFGNLVSMKNPKIVSSPGSLDGSTYQDRIDIIWKGQQLIFQRILELMTGIDLSNNSLSECIPEELTNLQGLRFLNLSRNNLSCGIPETIGSLNNLESLDLSFNELSGPIPPGMNLRYLTTLNLSNNHLSGKIPTGDQIQTLTDPSIYGNNPGLCGKPLDVNCTNDSLEVDDKRNGGESRDQWLFYCVIAGIVFGFWSWFGIFFSVASWRSAVFSFVDEMQCKIIMRKKQHNDLLLSEGNAGARRLILVLTVLLLVGTILATSDPAASSQSDALLAWKASLGDPAALSTWTNATVVCAAWRGVSCDASGRVASLRLQSLGLTGGLDALDVAALPALAELDLSNNNLTGPIPAGLSRLRALATLDLGSNAFNGSIPAQLGDLSGLVHLSLYNNNLAGDIPHQLSRLPRIVHFDLGSNFLTNPDYAKFSAMPTVTFLSLGLNYLNGSFPAFVLGCGNVTYLDLAQNAFDGPVPDALPARLPNLRFLNLSANAFSGRIPASFATLTGLQELHLGANYNLTGGVPEFLGSMTQLRVLELGGCQLGGPLPPVLGRLQKLQRLDVSNAGLASTLPPELGRLGSLSFMDLSLNQLSGSFPASFAGMRKMREFGISSNNLTGEIPGPCSRAGRSLYRKIPAEVGKATNLTFLYLYTNNLTGSIPPEVGELVNLQQLDLSQNSLTGPIPSSFGNLKQLTRLALFFNKLSGALPPEIGNMTALQSLDVNTNHLEGELPATISLLKDLQTLSLFDNNFSGTVPPDLGEGQALADVSFTNNSFSGELPQHICDGFALQNFTVNHNNFSGKLPPCLKNCTKLIRVRLEGNHFTGDISEAFGVHPSLNYLDVSGNKLTGQLSNDLRKCTGITLLNMDYLEGTTTDFPENS >Et_4A_032256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26754167:26755273:-1 gene:Et_4A_032256 transcript:Et_4A_032256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLGEAGPPPFLTKTYDVVNDPNTDTVVSWGFAGNSFVVWDANAFANVILPRYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGKRDLIKTIRRRRPPTSPSSSAVGQFGREGEVHRLQRDKGILLAEVVKLRQRITAAEQKQQQMTVFLARAMKNPGFLKMLVDRQGGRRKELEDELSKKRRRPPIEYFPARDGETSAAGHASSAGYVAGGQLPVGVSEEDERRWRQESVAGGGEDTESFWVDLLNLGLEEKHREGDGAGGGEGSSADVDDDVDVLVQSIYHLNPNPGSSPR >Et_6B_049601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7007358:7010075:-1 gene:Et_6B_049601 transcript:Et_6B_049601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYKRPKSSLAAHRRRFEPLDMNKSSSSLNMSTSSLRSIGEKTRKDGAAAQGSMRTTTVKFAPPQKSSSLAPSTKTTSMLVSSQQAMATPGTASGPRPGSSAGPRCGTSAGRLSEAGRKATRRSWRLAGAMDAPKEERRNDSTALKTQQVRSSSAPRRILPPEEKEKPAPKRSNKVMSTSRTKNTESLPIRDMAGSRSPPNIVTKTGDQKASNIVSPNNAVKVTPASRVTVSMIGASWESLPSDVQNLGLEVMRHRDDAEAAAVEALQEACALEILLRCLSAFADLTFAASEQSPQQTVDEFLALHAAVTSSAAAVPDDDDKQQDRRLAGDWLRAAVATDLAPFFLYSASARNSGQSPPLTRRPAAGGEETWLEAARRGLGEEMRAWFLRHVERLLDGDVAGTLGQLKRVSDWLDHGVGLMLGSESEAVDRVRKKIYGFLLDHVESAVVALNGGGAAPGRGRRQ >Et_10A_002166.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:2854325:2855368:-1 gene:Et_10A_002166 transcript:Et_10A_002166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRNLLLVVVLTSVAVDSATAMAASSSRSAGRRPLFREYIGAEGLNVTLADVPVHPGVDFDFILAFAIDYAVADAGNSSANSTAAPPPCPTDGRFNVFWDEAHLTPAAVAAAKANHRNCTSTGNNRVRVALSLGGDSVGAGYNATFRASSVECWVDNAASSLTEIIRRYGLDGVDVDYEHFGDRETPAVFAECVGRLVQRLKAQRVISFASIAPFANPEVQAHYGELWRRYGSEFDAVNFQFYAYAANTTVDQFLGYYDEQSVRYATGAGEEGGGKVLVGFGTDPASGGLRPGKGFFRACRALRRQGRLHGVFVWAADNSAAGGFRYERRAQRFLAGDAPGFTA >Et_8B_060878.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:9977389:9978342:1 gene:Et_8B_060878 transcript:Et_8B_060878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSKENSDEEILSQDYPYFYFGYKGKSLTPPASEDILSQDYPYFYFGYKGEPQTPPASDDSDDILSQDYPYFYFDYRGSPSRNKVTKQHKGAALATMGTVVFFHEESVRFPPARRSPLGLLPRDVADSIPFSSAVLPAALARLGVAAGSAAAAEMERTLGMCETPSPAAGEAKFCATSLEAMVEGAMAGLGTNEITPVTSTLPRSGAPLQPYTVRAVCRIDGSRFVACHAGRYPYTVFMCRDTGAVRAYMVDMEGARGGGRVTVAIVCHTDTSLWDPEHMSFRLLGTRPGGEPVCHFMPYGHIMFAKNNATTRSSA >Et_10B_002380.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:15693849:15694280:-1 gene:Et_10B_002380 transcript:Et_10B_002380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHAGTLAPPLLLPLPPRPLLHTRHPNPTTLSSLRLRGPRLAVARAASGGNGNGDGSGPLVEGEDTEQQSRPSFPALSDIRLGDLLSPEPSNAVAVVLTGALAWAGGSLLLQLTLIFFSIFTAAIKYSFIAAILLLILLALL >Et_2B_022019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8072419:8078438:1 gene:Et_2B_022019 transcript:Et_2B_022019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTSELSNCDRLWTMATLLSMASPLLFLMLCLCSRYSMAHGVNEPSFVVVPTSSFEPEAECSISITQASYVYIKLTFSSYHDASENLDPSNATVTMPLVHRHEQKPSFTEILRRNRARVEYPKSKATRSTLTSSQDDDEKVSVPTHLGSYVDSFEYVVTVGIGMPAVPQVVIVDTGSDLSWVQCAPCNPGQCSPQKDPLFNPTRSSSYSSIPCSSGECKQLAADDYGGGCSNGDKCGFGIKYADGTSTTGVYSQETLTLARGVVVNDFHFGCGHDNRAVPGKYDGLLGLGRLPESLAAKYGGAFSYCLPAVNSRPGFLSLGAGTNPSGFQFTPMGTVPTDPTFYTVTLTGIAVGGKRLNIQPQAFRGGMIVDSGSVITGLQSTAYQALRSAFRSAMAQYPLLPHGRLDTCYNFAGQKNVVVPKVSLIFSGGATIDLNVPNGVMLDGCLAFAMSGPDDTPGSLGNVNQRTFEVLFDTSRRRRQRNMASQTNKIFAIVALLALSAIAASAATCPQSFPSMMGMGMMSPCMQSCMMQHLPVIGMGMMSPCMQSCMMQQPFIMGGSVSPMMGTIPMDSCMQSCMMTQTFTMGSSPLSAMMMGITSPQCQYDDATTNHENAYDDAIHVQHAANLLLAALSRLCLQEQPFQFQCTPIMGSVSTDMTFYIVTLTGIAVGGKRQNIQPQAFRGSMIMDSGYVITGLQSTVYHALCSASRSAMTQYQLLPHGRLDTCYNFTGQKNIAVPMVSLKFSGGAVIDLNVPNGSMLDGCLAFVMSGPDDAAGFLGNLNHHTFLVLFDTSGPKPLFHGSWKQLGSVGALRCVRKKKGASSLCSPMREPLAVWC >Et_4B_038001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25401261:25409192:1 gene:Et_4B_038001 transcript:Et_4B_038001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTHVLVLPMPCQGHVTPLMELSHRLVDHGGFEVTFVNTEVDHALVVAALQASTGGAAALGGGIHLASIPDGLADDEDRKDLNKLIDAYSRHMPGHLDRLVAGMEAAGRPRVRWLVGDVNMVWAFEVAKRHGIRVASFWPASLACLAIMLKIPELIEDGIIDDKGLPPRHETFQLAPGMPPLHTSQLSWNNAGAPEGQHIIFDLVTRNNRLNGLAEMVVCNTFHEAEAGASKLFPSILPIGPLFADPAFAKPVGHFLPEDGRCVKWLDAQAEGSVVYVAFGSMAIFDPRQFEELALGLELTGRPFLWVVRPDFTPGLSKAWLAEFQHRVAGRGMIVSWCSQQQVLAHRAVACFVSHCGWNSTMEGVRNGVPFLAWPYFCDQYLNRSYITNVWRTGLAVSPDADGIVTKEELSGKTTGSKRECVCSRTRHAGVSPKVGPRMRTSKDLIDLPVKNAPLMASLGKSPESRRILIQSVIKTIPKMALAEVIVCNTFEEIESEALALLPKPALAVGPLEMPASTSAACHFWPEDRTCLTWLDAQAPGSVVYVAFGSLTVFDATRLQELADGLVLTGRPFLWVVRPNFADGVGERWLDEFERRVGGAGLVVSWAPQQRVLSHPSVACFVTHCGWNSTMEGARHGVPFLCWPYFADQFCNQSYICDVWGTGLRICANERGVVTKEEIRDKVARLLQDEGIKARVQSLKKAACVSVANGGPSRRDLLKLRLFVSIPSGREPLQHKHAS >Et_6B_048625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11834482:11839384:1 gene:Et_6B_048625 transcript:Et_6B_048625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVALDLAGAPSKLFGSGEGGSCGGQLTGRQLAATLTLSAAPSPIPSSGAVAPPHTCRCWGCHDGMEIRRIQSEMGEERSNNYLGCDNLLDKLKPEFKSKYGIYDSAHPYLRTMSRMLRRKRPPVYAQSRTKWLSQLLYRLITLKPYVKLSDSWESVMERLQENCETRFDPVQCDPASAIDIFFDSLLFYWEPQFHPLIRESHKDILKTIACELASAEELVQLEVCYSFCFSVVRTSRLIAPVISFQPLEPHPDDFLEHLQPYARMYIKRKKEDSGLWKHRSDCVFNCTQPSILWCPAGASSLYALAYICLYSVLNLKRFLQYFVVANQVRLHKKFDDHLASGSLDDCVNEFSCSKDEFVHLVVKENSSFATLDHDLIKMSFERRAKIVEWEHQMFSYSQCLASLLESDEMKNELGDLMNVLNAEGFFRVDDNSMDWDKKLFSDSVEKFKDVVFSEQRIEKCLVIRGIMDYQEILQMKGVKWQDAFRMVDLRAMNVVSNTIYSWKENLHEFWVTTRHYKHQYYEILAQPLQK >Et_4A_032890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15070979:15074122:-1 gene:Et_4A_032890 transcript:Et_4A_032890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLLQQLLRLGITMVLFVGFSSLAPSAGAYDPLDPTGNITIKWDIMQWTPDGYVAVVSIYNYQKYRHIQAPGWHLGWVWAKKEIIWTMVGGQATEQGDCSQFKGNTPHCCKRDPTIVDLVPGTPYNMQIANCCKGGVLSSWIQDPVNAVASFQVSVGRSGTTNYTVKAPLNFTLRAPGSGYTCGVAQKVKPPTRFISLDGRRTTQAHVTWNVTCTYSQFVAQRAPTCCVSLSSFYNETIVNCPKCSCGCDNNITSPGSCVEGNSPYLASVVNGPGKSSLAPLVQCTPHMCPIRVHWHVKLNYREYWRVKITVTNWNYRMNYSQWNLVVQHPNFDKITTIFSFNYKALNTYGEINDTGMLWGIKYYNDLMVAGPDGNVQSELLFRKDPSTFTFEKGWAFPRRIYFNGDNCVMPPPDAYPWLPNSSRMLKASSFLQLNAMWITLLFFCLHMK >Et_1B_011641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24465678:24471116:-1 gene:Et_1B_011641 transcript:Et_1B_011641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSTKRRRPCWAWPSRAPCSRSAPPSSARAPGSSPPPAPARPCSPSSASSSASASPRARTAVAAAFLVHLLFLSPSAAQPGFISLDCGGAEDHTDAIGIQWTSDVNFVSGGQTAQLLIQNNLHKQQFATVRYFPADNRKYCYTMNVRNRTRYLVRASFLYGNFDNSNVYPKFDLSLGASPWSTIVIDDATTPVIEEAIILAAAPTLSVCLSNATTGQPFISTLELRQFNGSLYYTTDETRFFLTLSARINFGAESNESIRYPDDPFDRIWESDSVRRANYLVDVAPGTERISTTKPVFVGTNEEPPEKVMQTAVVGQDGSLNYRLDLEGFPGNAWGVSYFAEIEDLAPNETRKFKLVVPGMPAFSKPTVDVEENAQGKYRLYEPGYTNVTLPFVFSFGFKKTNDSSKGPILNAMEIYKYSQITMGSQDANTMASLVSQYSQAGWAQEGGDPCLPASWSWVQCTSEAAPRVSSITLSGKNITGSIPVELTKLSGLVELRLDGNSFTGQIPDFSECRNLQYIHLENNLLTGELPPSLGDLPNLKELYLQNNKLSGQVPKALFKKSIILNFSGNSGLHIANNSIGHTILVICVVIGAILLLGAAIVCFLCICRRKKKPSDDTVVIAAPAKKLGSYFSEVATESAHRFALSEIEDATEKFGRRIGSGGFGIVYYGKLTDGREIAVKLLTNDSYQGIREFLNEVTLLSRIHHRHLVTFLGYSQQDGKNILVYEFMHNGTLKEHLRGAPEEKITSWLKRLEIAEDAAKGCSPTIIHRDLKSSNILLDKNMRAKVADFGLSKPAVDGSHVSSIVRGTVGYLDPEYYISQQLTEKSDIYSFGVILLELISGHEPISNDNFGLNCRNIVAWARSHIESGNIHAIVDQSLDSGYDLQSMWKIAEVAIMCVKPKGAQRPPISEVLKEIQDAMAIERGPQMQQQLFMSSNRSVGGPNNSGDGVGDLEQNAASFDELLMRPGLR >Et_7A_051080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14198242:14201782:1 gene:Et_7A_051080 transcript:Et_7A_051080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGHRGHHHALPRRGRLLPLAAAAAVLLLLALLVLLPTAPPGGPASLLRAAIAAHPSSASYGRPCGDHLALSLRRLRAAVSSMEFGDVPAALHLASASLQCQYDCSHLLSLPAFRSQALTSGFLDSLVPRTLTPAPKPSSSAAAFPARIRPDATVCKPSEAAKPCGYSTVQAAVDAAPNYTAGHFVITVAAGIYNENVVIPYEKTNILLVGEGMGATVITASRSVGIDGLGTYDTATMAVIGDGFRARDITFENSAGAGAHQAVAFRSDSDRSVLENVEFRGHQDTLYARTMRQFYRHCHIFGTVDFIFGNAAAMFEECVIKTVPRAEGARKTARNVVAANGRIDRGQTTGFVFRNCTIDGNKEFVELFQTKPQSYKLYLGRPWKEYARTLYVSCFLGSVLRPEGWLPWRGDFALRTLYYGEFDSRGPGANPIARVEWSNQTPEKHSSACTVCNAASIKHDFSSAALQNLNARSPHRVLEVSRQ >Et_9B_064850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19130425:19137061:-1 gene:Et_9B_064850 transcript:Et_9B_064850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTQSMEAEVEEMRAALLLTGAWRAGAGAAAAKRAARAQEGAAEARTVCVTGGTSFVGFAVVDRLLCHGYNVRLALETQEDLDKLREMEMFGENGRDGVWTVMANVMDPESLHEAFDGCVGVFHTSSLVDPGGISGYTKHMARLEAKAAEQVVEACVRTESVRKCVFTSSLLACVWRQNYPHDRRFPTTLWLALGKTMAEKAAWRAARGTDLKLVTICPALVTGPGFRRRNPTSSIAYLKGAHAMLGDGLLATADVERVAEAHVRVYEAMSDTAGGRYVCYDHVVRRAEEFAELQRQLGLRAPAGGRVPAYDNRPARFELCNRKLAALMSARRRCTYDAYFFAPYE >Et_1B_010513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11159702:11163663:-1 gene:Et_1B_010513 transcript:Et_1B_010513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLQRVLPPWALLLLALQLAGASHVIHRSLEAEAAPPSVPASIVSPLLRTGYHFQPPKNWINAPMYYKGWYHLFYQYNPKGAVWGNIVWAHSVSRDLINWIPLKPAIEPSIPSDKYGCWSGSATILHDGTPAITYTGITRSDINYQVQNLAFPKNKSDPLLREWVKPAAYNPIAVPEAGINATQFRDPTTAWYADGHWRMLVGGVRDDGGLAFVYRSRNFTHWVRAKHPLHSALTGMWECPDFFPVAGTGQQNGLDTSESGAKYVLKNSLDRTRYDYYTVGKYDKNKDRYVPDDPNGDMHRLRYDYGNFYASKTFYDPVKQRRILWGWANESDSVADDRAKGWAGIQAIPRKIWLDPSGKQLLQWPIEEVEKLRGKPVSVGGKIVKPGQHFEVTGLGSYQADVEVNFEVSSLAKAEPFDPAYANDAQKLCGVKGADVKGGVGPFGLWVLASADQQEKTAVFFRVFKDGYGKPKVLMCTDPTKSSLSPDLYKPTFAGFVDTDISSGKISLRSLIDRSVVESFGDGGKTCILSRVYPSIAIGKDAHLYVFNNGETDITVSHLTAWEMKKPLMNGA >Et_4B_036966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1314175:1314533:-1 gene:Et_4B_036966 transcript:Et_4B_036966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNAQGKFAELSPDEPSTLSRDADGVVTLPKQLWSVFKPHGDGEYLCQVGWVLGGGSAVTSRCVVSKDGDEIATAYESRVSEGT >Et_6B_049336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3450489:3460798:1 gene:Et_6B_049336 transcript:Et_6B_049336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRHSPRVLVQRRGQCPGTGPSGSVSCDHKARDRPQKEPALCEPSDNHNAAWNITLGAGQCAARGRQRSWPERVRKTSSKDRVQSEQVWFLGVINDR >Et_1A_007671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37071894:37073087:1 gene:Et_1A_007671 transcript:Et_1A_007671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCPPCSSVFTAAASAPPTTAAPAAHDPASPEPMKADASAAVPASAGPATARPRLRRSASLPVDTYSFSSLALSTRATPRSSASSSGVQPLLPPRRAPLTVARDDDDGFFLSCCCCRRGHHRAGSTALGNFSSIPGSSGSGYAASSASTLSMASRTSASVTGVVDSSLARRSRSSCLQSRVALRCSSASCDGWILLVAAMVTAVVARRTPAEALSATKLGAAGASAAMTEAASARELPEWSSSWFQLSLCLPMMSCMSARSAAAAATARSFLTWTAAAPSKPATSAAARPLLTTTWSSAAPTIASLLVEADRQLPDAPQAGPGAQPATPAAWPLGREKSAAALRLKKNALHDCLYVSVCLSKVSLQTPAVQSNFSPLI >Et_5A_041212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19493753:19501768:-1 gene:Et_5A_041212 transcript:Et_5A_041212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLSIFFPEVLSKTKNASSDIYCKYDNQWLTTFTSSLYITAALSSLVASRVTRRVGRQGIMLLGSALFLAGSIINAGAVNVAMLIIGRMLLGFGIGFTFQSAPVYLSETAPAKWRGAFASSYNAFSVTGLLCATVTNYITNRVPGWGWRVSLGLAAIPGAVLVAGAFFVSDTPSSLVLRGQPDKAQAALQRFRGPGADVDGELKDIVRAVDEANRNEDGAFRRLFSKEYRHCLVIGVAIPVFYELTGMSVISIFSPVLFRTVGFGSQKAILGSVINSAVNFVATLLGSSVMDLTGRRILYIVGGLGMVLCEVAISWMMAAHLGTHEAATAVMPRNYATAVLVLILLCTFFLGVSWAPLRWVVQGEIYPVEVRSAGQAMSMSVWLCLLFLELQVFIKMLCAMKYGVFLFHAGCLLVGTVFLALFLPETKGVPLEVMRSVWTDHWYWRRFVKEEKTDNQMAGEGFINGATASARGYGGRVTFSLVVTCLMAASCGLIFGYDNGISGGVTQMESFLSKFFPEVLTATKNTKRSIYCKYDNQWLTTFTSSLFLTAALSSLVASQVTKMVGRQRIMLFGGAMFLTGAILNGAAINIAMLIIGRMLLGFGVGFTFQSAPVYLSETAPAKWRGAFASSFSFFLVLGGLCASITNYFADRIPSWGWRISLGVAAVPGAILVVGALFVSDTPSSLVLRGHPDQARAALQRIRGADADIDSEFKDIVRAVEEAKQNEKGAFRRLLSREYRHNLIVGMAIPLFYELTGMTVVAVFLPVLFRTVGFNSQKAILGSVISSTVALFSTLLGSLVMDYTGRRFLLITGALGMMSCEVAIAWVMAAHMGLHNAVSMPRNYATGVLVLILLAMFFFGISWSNVRWPLLSEVYPVEVRSAGQAISVSVWLCLTFAELQAFIKMLCAIKYGVFLVHAGCLLVATIFVALFLPETNGVPLEVMRSVWTEHWFWKRFVKDDKKNNQVNNL >Et_5B_045733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8466503:8468617:1 gene:Et_5B_045733 transcript:Et_5B_045733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYRQRSTSSASESLILEIVTRRSNCGSDSHSAVNLLSDVWDHWAKGSISQMLDQLIDGYDRRQALRCIHVGLLCVQVDPDDRPHISTVVFLLTSDGMELQPPEEPAFFFARGSPSASRSDGQSSLISVD >Et_7A_052742.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1503891:1504442:1 gene:Et_7A_052742 transcript:Et_7A_052742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDTAAVAAPRYRGVRKRPWGRFAAEIRDPAKRARVWLGTFDSAEAAARAYDVAARSLRGPLARTNFPVSHHLLPLKPRPLVAPAPAAAPTCSSSSTVESSSGPRGGPRTAAAAARPRKRVALPRPAAVPDAGCHSDCASSASVVDDGDDASTVRSRAPFDLNLRPPVDGDDLDMCTELRLCL >Et_2B_020736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23120620:23121922:1 gene:Et_2B_020736 transcript:Et_2B_020736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSTPSFTNLLLSSNSSLDGENTRSSQQTSQQQPSFPSSYPMSFPPQQFSNNFPYPPNWNPYVAQGGYQQLAQGGYQQLHSSGYPFHGNFGGFHPGMDMSGGPSSPVGSAAIRGALGGSGGSRADECSPISSPVVPSENTNTADVIEEFGDMRKRKPGRKVWSKDDNKRLIQAWLSNSVDPIDGNSKKGVHYWKDVAAEYNMSAPKGHKRTATQLKNHWNTHSTIVAKFHGAWTTMKNTYASGQSDKQLMDKAQAEYMAIMQTDKPFKFDYWWEAVKDEPKWLNRDVFSDMNKRNKVSASGEYTSSSNRDTDEGSVADRPRPPGQKQAKAQKKGKGKGKSTLTDENIGQFNVLQERKSEAIETMAAAAREHAQAIASKAAAEKERVKLEKMKHLHELMKTDTSTYSQIQMSIHEKMMLSLSDEIYQED >Et_9B_064175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12205238:12208391:1 gene:Et_9B_064175 transcript:Et_9B_064175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGKWLPMVCALAMLCVGWAVAVDEQGAVLLAWKSTLHGGDALSDWKPSDASPCRWTGVTCDARGGITKLILESVQLSGGVPANLTAMGATLAQLVLTGTNLTGPIPPELGELPVLAHLNLSNNALTGPIPPELGELPVLVHLDLSDNALSGSIPAQLGGLKKLTKLLLWQNQLGGVVPPELGSCPELTEVDLSVNSLTGHIPTSFGNLSSLLLLDLSENKLSGPIPPELGQCNSLEEIYLSDNALSGSIPAQLGGLKNLKSLYLWKNQLVGIIPPELGSCTKLSDVDLSYNGLTGHIPASLSNLSSLQFLLLNSNELSGTVPPQLARCTNLSDLWLNDNQLTGSIPPRLGRCTRLVNLFLSYNALTGPIPRSLFRLPLLTKLLVVNNHLSGELPPEIGNCTSLVRFWAGHNHIAGVIPAEIGKLRHLRDLSLSSNLLSGTLPAQISGCRNLTSIYLYRNSISGKLPVGLFQNLLSLKYLDLSYNNISGTLPLDIGMLKSLTELFVSSNRLSGQIPPEIGSCSQLQFLDLPETAFFARLPRSDLEGNPALCLSRCGGDGKRARTAMAVVLCTIAILLVSTILFLFWWRRRVRELSPPWEVTLYQKLQVSIADVARSLKPANVVGHGWSGAVYRANLPSSGTIIAVKKFQSCDEASIEAFSCEIGVLPLLRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGGLLHGTKKAEWEVRLKIAVGVAEGLVYLHHDCVPGIIHRDVKPENILLGDHYEACLADFGLARFANDGGTNHLSSLQFAGSYGYIAPECMVNTTTKSDVYSFGVVLLEMITGRHPLDSAFGEGQSVVEWVRDHLGQRRDPAEIVDARLQQPQQDTQFQEMLQAMRIALLCVNPRPRDRPTMKDVLALLRGIPRDEGDEFLDHHLTACMPST >Et_1B_009763.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25358943:25361237:-1 gene:Et_1B_009763 transcript:Et_1B_009763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSRGPSVRALLGLPCNHKWAPDDVVLIVGDPLQVSLGAGDVRLAGPAHRDREALLLLELLEAERLRLHGHRHVLGHLNDGGVDGVGLPDVGHRPVHGDGLGQQVDDEGRVVELGFGDGLDFAAGNGAVRDLRDAVVPEPQPAEVRDVVVGVEVVDEARVEGEGRVGVSRAHGVEGGVAVLLVLDGHAGAVHGVGRRHDRRLDRRGRPVRVQALEEGGDAAEVRRGHGRPGLDEEGEVGAVGELRVRLARRPRREDVHAGPRDVGLQDARAGLARPAGREEGDGRRRVRADDCPLEEDARRRVGRRVHVRRDLGPGVVADVRRREDVRVGEGGVPLGGLVHHDHAGPAVRGHGLAGLGAAAEAPALAEHHLAAHLGVAQHAAVAAGVGAVGAGEHERERPLRGVVPGLEQGLAVELLAVAELDGGAYRTVHGARGHGEHPRRAVADRAGLGPGVARGAADEDAALHGLERGDGDGVVVELRARVGADGEGEHVDAVPDGGVEPRDDVHDGAAAARAHLVNRQVRVRRHPGRRAVRVAPDVGVLHEVARGGAGRVRAVSRVVHGRRRRVHRRAPERPRPDDLVVAAAARDGLELAGAVPPLGRRLDPVVAERRVARQDPRVQDPNHHPAPEPGAAPEPLVSEVEPEEARRPGGRQRQEHLRVQPRAPVRGTQRLRLLVRQPRGEPGEHVAVRVDNPRAVVAAAVGRVRRGHLRQERAVPLLDVPAAAAVPRLEVDDVVLPFLQVRRAVEREKQEEEEGGEATPP >Et_2B_022118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9416810:9419079:1 gene:Et_2B_022118 transcript:Et_2B_022118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAGEKEQSQVLVIGGTGHIGRYIVAASAREGHPTTVLVRDPAPVDPAKAAVLQGFRDAGVTLVQGDLYNHESLVAAIKSVDVVISAVGYAQLPDQTRIIAAIKEAGNIKRFFPSEFGNDVDRVHAVEPAKSTFANKARVRRAIEAEGIPYTYVSSNFFAGRFLRNLAQFGVSGLPSDKVVILGDGNVKAIFVTEEDIGTYTIKAVDDPRTLNKILYIRPPGNILSHNELVSLWENKVGKTFQRLYIPEDEVLKKTEEAPMPLNIALSISHSVWVKGDHTNFEIDPSFGVEATELYPDVEYVTVDKYLNKFL >Et_2B_019440.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29758430:29759086:-1 gene:Et_2B_019440 transcript:Et_2B_019440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGARRGPRAAACSSPAAWAPATTPPTPGPAPCGTRPPRPPRGSRSRRCGTAGSAATPPRPSAREGRCAWSASAAAAPRRAPSSTSPPAAGRTCRPGWSRDGRGRPRRRPTAATPSSWWTRSAGRSWPTTGPATGGGRWWSRSGSRAPQRSRPAEAGCAWQSTAAGRWSSSTCRPLLLLLLPKRRRSHGTGPPPRRRRGCGTWRRRPGSGWWPCTCSQG >Et_3A_026455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8252371:8255640:-1 gene:Et_3A_026455 transcript:Et_3A_026455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVETERSSTESSAASGLDFEETALTLTLRLPGSLSASSSSSSSSSSPDTDRKRASSDADADHSPLAAALAASDAPPAPKARVVGWPPVRSYRKNALADVAGSSKASKQAAKFVKVAVDGAPYLRKVDLEAYAGYDQLLRALQDKFFSHFTIRKFGDDERKLVDAVNGTEYVPTYEDKDGDWMLVGDVPWKMFVETCQRLRLMKSSEAVNLGTYSSNLTSICTKSRPMRQTPCLIGTGFLKEGGNFCCPESSSHGEIAIKWIPVSASKCNPGRAVRRPQPVAATSAQAFRVLMATA >Et_1B_010189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28918003:28919001:1 gene:Et_1B_010189 transcript:Et_1B_010189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRAVYLIDYACFQTSPNSRVPISAFIEHVHHFPFLDDRSVRFMTRMLERCSIGDETNLPPSIHYIPPPNNSFDEARAEAELVIFSAVDDVFAKTGITPAAIDILVINCSAFAPVPSLTDMVVNKYKLRSDIRCVNLSGMGCSVGSVSVGLAATLLQATPHGSHALVVSTETIASNFYKGKERSMQLTNILFRMGGAAVLLSTSSARARFRLKHVVRKITGAFDDSYGRVFQEEDSMGNKGIKLSKDLLTISDDALRANITTIGPLVLAFEHFCIHAGGRAVIDKVQYNLGTSMWSHHA >Et_2B_021194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27363995:27371286:1 gene:Et_2B_021194 transcript:Et_2B_021194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDGPGGIPSPGTEEGTIARKRSRRVSFADTTAVHVFDRDEDFDTPPEERSVSASPSPSPGRSSAEAEDGDDTSEAGSPAPRIIFVPEADSSSPGSAVGSVASADDENFFGVFGPVVSTRFIQTGRPSDSGMSEDDNHDITLDSRTFSMHYGFRNVDPSDCTANSAGSIRTPDTASKGPIEELNVSEPGIKSSSGRDALTDMSMLTGNPRTFDYGKLSPMLSDVMQKIGNDQQRNSPITHVADVNPDRVLATATEEERREETSCIGNGICSNELGSVNGTEEHISVRNPVSTCTDPIQEDIAMITDGLEKFQENGNHDHVAVDSGVNNTVEPQAKPSPLYSSLMSNFGLQPHHPLNESSSKKQPSDSNGTAHASSMRNFDSEHHPLGQPPETDNVANASQLSSADLVICQKDGEQLHQQNKVMDTETILRTPRTLVQQSEAPQGSISSLRSKRPKLFGASAGPVISQEDAEQLRQQNEVMDTETILRTPRTVAQQLEFSLGSISSLRSKRKEPFSASTVPVIYQKDARQPHQQNEVMDTETILRTPRTVVQQMEVSQGSISSLHSKRQKLFCSTPLSNCEVPGQEASSLSVEFVKHDQRISALENVLKLRLQESPAASRLQLVERNELGHQGNDIFRNAEDHAPAMSVSCNSVLRLQLKKTSESSITGTTPGQGLNGAANVQNTSCDVLTLDSQLSCDLNLDGGGRKRSIKECSHAAQERPEEISKAAGSPKKSRKQLTSASELSVLPNVFNEKQSGDNDSRQPVNVDWNKVVCTISNATEQILAASISKLNFQQLDPLGDKLDDIQMAQKYRRLSASVRFKDCCGDQQKRLAEARSLHERLLYERAKLQINNTKLAKLRVEFSSSFYGFPAKPLWLKMLNYSFLTFLVQNKAQQCQIGIQECSSLKYKISGAAQMNNASLHFTSLINDSDRQERLAVVTKKRLEYNNIQQKVESLKSSLEYFRKTKGDISCQSVMKSAEEQLDIRNQCRSFHQIAGVLVHDLMLNLLYIQIYVDLCLLPWLTFPFLIQLPQLIDIVKRDNKRDVILSYRNLLFQRIILNISDMSSIFVNNSLNGNKIGQTFPDLDASVAFNYIFKAEENHQVTDLQLLQKTTMETRLLLGNLVDVLDEIKFAKMKLLNLTSAAFVLESQTCKLALRLCFMSFKSGKRIAFTIDMTDLNRSVYPSEPSDLLIKLCEAQSTLSQPSIEEIMVSVRNLQPGRTVILRLCEMVSQLIESLQC >Et_5A_041532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2418250:2422176:-1 gene:Et_5A_041532 transcript:Et_5A_041532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSDGVEHRVRMSAHRAGKGKGASPEKRLNCFVRIVAFIERVGNALGILAFTWATVVLLGGYPTVLLSQGFGDFWCATIIVFLEAARMFSRNNKLDYQMFFHTKGAFRRLGWNGLIVIVYLTNIGNYRIGLTGKRTLAFAIARAIVIVVVPLGMFQSLRALKLFSKRLRYAISIWSPLVAILLMVPSMTMFTTKNKTAKWTAFLVIFLPVLLLTISRLRFPTIIRLVDSTLGTKIIFWRRLILNLCMFAALVVLVFIHDDPLFRQALAIYEAYAFVVVSFGNLQFPAAVARVVLAMVRLVTHNYYGDGVDNSGTTNLAPSLNIFYGMVLGQGILYIVACILEMFSYIPKRPLARHGELRGQWGVEFVDLYYAYAFEKSMEGDVLAPKKISLNSFAIYCLNSDTPKMQLHGIRIMHILLLREETRKQLFTKLTNSTNTMARLIEMLDWSSPEDRIIRLFAAKVTAELATSFRVITIPGTIQVVSALLDYGNQEKRGNPLLETDVEHEKTHDPILNIDGNQEERPDAVQDTGNLLETQEHSTQQVDTTEQQKSWILRCWQGIFGFRSIPQDEPLTERDLLPALGMSILDGLVGCDQDNCVEISRASGLIPKIIRFTRYGRSDTMYTDTQRKVLMTSSLKLLHSLASIHGEIGISLRHMISKSPFLPRNLADVLGDSTSSQELKKLVTGILRNLAIDRGARQAIGRVQVITSRLIHAFLTPVGASSTESDRLLRKVAGQALVVLAMDSVNNCLAMLREPEYEFIKELTSMIHVHKYRCVAASLLQSICQHARLELKEPDLKELSYSLREVLERIMNAEGAELEILIGLCSQICRVIPVDFARELEHGQIKERFVKRLVDALNANWEPSADCPGIRRVILEQAINLMEYDSRYANCFNGRRMMEALSMVEETASEVENYRLFLGDVGLMEASEPLPRLVASAKQLLAVH >Et_5B_043931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17945577:17950704:-1 gene:Et_5B_043931 transcript:Et_5B_043931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAKSESDVTSLAASSPRRLPKRAAAADTYYVQSPSWESHEGVYTSSSTQATPVYNSPNGNESPSHPSYGRHSRSSSVSRFSGNLQKGCERKPLSDKGWPECSDIEEEAPYENVTGDSGLSRRCQIILGFLTFVLLFTTLLPYHLGRRMAVRLRGHRQVGTETNLAIHDRELTFSGIIEDYNPWLPPPKATTGDDQTKKTHDGHGLSSSSTTLSQPFADARRRPSLAAIASMIYDPSIPFQKKFESIFDAEP >Et_9B_065702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9325071:9328105:1 gene:Et_9B_065702 transcript:Et_9B_065702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRNPDAPSAAVPAADPPEAQAPNPSIPPGDPPSPEMEATAEALTREEVLRRRRRRAARLAGVYRRLYWAMAEEVRARHRQYVWDLGRSALEAEQPPPPPGAMVFPVNGDPPRPPPVPRRKKCGFTGCKVRAMAMTRFCHSHILSDPNQTLYKPCAYVIKSGVQTGQAVTCGRPIIKSAVPSLCNVHFQRNQKNIAQAYKKVGFNPPPTGKITPRFSLLVAECVRQIQDKRRQSLNAAARHRCPKDEKVD >Et_3B_030241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31677550:31691620:1 gene:Et_3B_030241 transcript:Et_3B_030241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAVKLLGSPNSPFSHRAEVALRLKGVPYEFLREYMNNKSELLLKNNPVHKKVPVLLHGDKAICESLVIVEYVDEAFDGPRILPKDPHERAQARFWAHFFDEKCSKSMWPALWTDGEVQKASMKAARENLKLMEEQLQDKRFFGGDSIGVADIAGAGFLGHWLGVIEEVAGVRVLSEEEEFPALRRWTKDYLDNEAVKGCLPGRDQLLSHFTAVREKCISVAKSMLVSQDKSKAEQKMSQPVKLLGALGSPFSHRAEVAMRLKGVPYELIQEDLGNRSELLLTHNPIYKKVPVLLHGDRAICESLIAVEYVDEAFDGLPLLLADPYDRAIARFWAHFIENKCLKPFWLAHWTEGEVQKGLVKEAEENLVLLERQLKGKRFFGGDTMGYLDIAASVFAPWLSVLEEVTGVSVVSQDKLPALRRWTEEYTCSEAVKQCLPDRGQLVAYFTASKDNYKIPFAHRVEAALRLKGVPYELVLEEMNNKSELLLMHNPVHKKVPVLLHGDRAISESLVIVEYIDESFEGPSLLPADPYARAMARFWAHFGGKALFADGEVQKASAKEVKESLVVAEQQLKGKRFFGGDTIGLADIAGASVLSLWAGVLQEVAGMRVLNNEEFPALRRWAMDYNSNEAVKGCLPDRDRLLSYFAAIRQKCVSVAKSMAPQASKKQARMGETVKLISVFGSGFSHRAEVALRIKGVPYELILEDLGNKSELLLKNNPVHKKVPVLLHGDKVICESLVIVEYVDEAFDGPRILPKDPYERAQARFWAQFIDQKVTKLLSLAETRRCRCLGKGSVNINLFFPRWPFWMSFWSPDEEARRSVLTEAKQNLSLLEAQLKGKRFFGGDSIGLVDIAASALALWLGVIEEVSGVVVLTDGEYPVLCNWAKRYVDDDTVKQCLPKRDELVAVFSALKEMLRAMAASQKYQKDPGMSPPVKLIGFFGSPYAHRAEATLCLKGLPYELQLEDLFNTKSELLLKLNPVHKKVPVLIHGDRAISESLVIAEYVDETFDGPPLLPADPYDRAMARFWAHFIENKFIKPFFMAHWLEKGEMRDGFVKEAKELLPLLEAQLKGKKFFGGDAPGYLDIAASMLGPTRIAVEEVTGVALINDDDYPALSQWARDYISNEALKPCMPDRDQLLAYFTKNTEMYKAGVKAMLQNIFGENSEAAAVMIKHAEVAIHSSLKMSPPVKLIGTFGCPFVHRAEAALRLKGVPYEFIQEDIEKKSELLLKHNPIHKKVPVLLHGDRTICESLIIAEYVDETFEGPSLLPSDPYDRATARFWAHFMDQKVIVGVHPSVHMQFLIQV >Et_10B_004440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7189632:7190801:-1 gene:Et_10B_004440 transcript:Et_10B_004440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVENKGGAIALMLAALFFIGTFGALLTLLERRGRLPQHTFLDYSVTNLLAAVFIAFAFGQAGESKPGQPNFVTQLTEIHDNWPSVLFAMAGGIFLGLGNLIAQYAWAFAGLTVTNIMCSSMTVVIGTTMNYFLDARINRAEILFPGVACFLVAVFLGAAVHSSNAKDNEQKLSIAKSKGIELSSDITGRGTVLPDPEEPKKNLDGEDSIFVSQAKPGTAEFIVAIEKRRSIKVYTWHC >Et_10A_000782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17184950:17185716:1 gene:Et_10A_000782 transcript:Et_10A_000782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGSIVGDVVDYFAASALLRLFYGGREMTCGSELRPSQVANEPAVHITSGGALYTLVMVDPDAPSPSNPSKREYLHWLVTDIPEGAGANHGTEVVAYESPKPSAGIHRFAFIVFQQTTRQSIYAPGWRANFNTRDFAACYSLGAPVAAAYFNCQREGGCGGRRY >Et_4A_031995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11058242:11058772:1 gene:Et_4A_031995 transcript:Et_4A_031995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAASSPVPAAVLAAPSAAATAAAAVKPLPRAVAAAAANGGSTGSAALALVLAAAAPAARAEDEEYVSETKEVIGKVRSTINMDKADPNVADAVAELRELSNSWVAKYRREKTLLGRPSFREMYSALNAVSGHYISFGPTAPIPAKRRARILEEMDTAEKALLRGR >Et_2B_021112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26545192:26547570:-1 gene:Et_2B_021112 transcript:Et_2B_021112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAVASAPAIFSPASGLPTRRAAPAVIFPASGRPRLGAIRCEAAASSAPSAASPMAARWAKRTVVIPPQRRGCHLITSKIVNEIRDDLADFKCGMAHLFLQHTSASLTINENYDSDVQADTETFLSRIVPEGPSAPWRHTIEGPDDMPAHIKSSMFGCALTIPITNGRLNLGTWQGIWLCEHRNYATPRQIVITLNGI >Et_7B_054551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:23055130:23056881:-1 gene:Et_7B_054551 transcript:Et_7B_054551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDCVSSDSIHASLKKSKATLPPRTVSPASTTNLPFRIIWLRRSPAIRCPFMITSGRRFVPRRRPELVLVAGWTSNTDTELQPQWNKKNRAAAAEPTSTMAATTPLPNSGDELARIKEPRRLCNLAANDPRRRDLLPTVMEPKDKHTADFVSSPSDKALVRAVARSVVNVCSVDGKITDSCTGIVIGWNNDNTTKQKQARILTSFDVLREINPSRPRSNKSLQIRLANGTILQAQVLFVNKHYDIALLETTTSSSSNKAADLSRSLLSVPTRTTASKFSRLRVAKKSNLMARHGTILWFDEPDCWGRNHHMFLSCELPRCGTGGPVVDHDGNVAGMAFDTGDDSHPTAVLAASTILTCIEMWTKFGRIARPVHGLRLRTVEMLEVSLQEMLSLDHDTDSGYIVDWVASGSAAERLGIRCHCFVRWAAGSNTAKLEDYLLSLGMRFLQRGIDSSSSIDLKLAVYYPLERSTRSVILPVEFSHDASLEDYLLSLGLRFLMQSGADASSMVVDLKLQVYDPLERSTRSVILPVQFSDDASVK >Et_3B_028501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16198968:16203767:1 gene:Et_3B_028501 transcript:Et_3B_028501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGGGSSPPSISSPDVRPSSPLPATNSSPPQSARSGGGRRRRGSASPYPSSPSLGGFETPPHPGRRTPSNAGATRQRQNWTGRFPPTPSTPMSTDDIPPSSEAGDEDTPETDGGGGGVDATPVFVWGTNISVQDVNAAILRFLRHFRDPRDAGRVDPVMDEGKYMRAIHRIIELESGESLDVDAHDVYDHDPDLYSKMLRYPLEVLAIFDIVLMDLVARIEPLFEKHISTRIYNLKSSICLRNLNPSDIEKMVSIKGMIIRSSSIIPELREAVFRCLVCGFFSEPIMVDRGRVTEPHVCGKEQCKATNSMTLVHNRCRFSDKQIIKLQETPDEIPEGGTPHTVSVLMHDKLVDAGKPGDRVEITGIYRAMSIRVGPTQRTVKSIFKTYIDCLHIKKTDKSRLHVEDTMETDKDAKETVKANASMSSEEDFLTDKVEKLKELSKLPDIYDRLTRSLAPNIWELDDVKRGLLCQLFGGNALRLPSGASFRGDINILLVGDPGTSKSQLLQYMHKLSPRGIYTSGRGSSAVGLTAYVTKDPETGETVLESGALVLSDKGVCCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPTESRYNPRLSVIDNIHLTPTLLSRFDLIYLILDKADEQTDRRLAKHIVSLHFQNPDLEQLEVLDLQTLVSYISYARKYVHPQLSDEAAEELTRGYVEMRKRGNSPGSRKKVITATARQIESLIRLSEALARMRFSEVVEVRDVAEAFRLLEVAMQQSATDHATGTIDMDLIMTGVSASERQRRENLVAATRNLIMEKMQLGGPSMCMIELLEELRKQSSMEIHLHDLRGALSTLMTEGAVVIHGDNVKRV >Et_1B_013725.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:14163226:14163699:-1 gene:Et_1B_013725 transcript:Et_1B_013725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARITAMAAAVMVLLLLPRSQAEERPTEAHPHGLPFESPLALSPAAYDFFHPSARRERARQAHGEAPALAPRGQQQLRESVVKGASASVARADQEEGGVAPVSTARRGAFRAGRLAGVIAGAAAVALVALGVAYAVARRRVARGGAEAAAVAPKSNA >Et_4B_037212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16601548:16603005:-1 gene:Et_4B_037212 transcript:Et_4B_037212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITTILLTIVMLLVWKISIWCIILFFVVFMSSESIYLSAILYRFAHGAYVPVAMSAVLMIVMIVWHYVHVKRYNFELANSVPRDKVKELLGRRDIKRVPGIGLFYSELVQGIPPVFPHLIDKIPSIHSVLIFISIKHLPIPSVDISERFLFRQVDREDHKVFQCVARYGYRDPFEEGKDFVAALVERLQYYIRDVNLYGVGCDAMMMKSSSYCSSHVESFGSHDKPSVRAVYAEEMITPAESFSEHAMHASFKSMRFSQFQEGKMNVEDMMKIQQEQQFILGEMSKGVVYIFGESEVVARPHSSFFKKLVVNYVYSFLRKNFRNGEKMMSIPRSQILKVGISYEI >Et_5B_045579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4884516:4887824:1 gene:Et_5B_045579 transcript:Et_5B_045579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLLGRNGRKLGFTGRAHAAGGAGRAQEGSAERGHAGAGGARSEKRREVQGEGRRRRRCGLKPKQNSAHTARAGTCRRDGARSLVRIRARNYEAALELAVARQPVTALINGAGLQFYKGGVLSGACDDRLHHAVTVVGYGAEPGYGWRKYWIVKNSWGEGWGEKGYVRMERRVKAKEGQCGIAMMPPYPYGCLVTLGNTRWPLILSGLVEKSGEGDRKLHFCLHVHLGAKVNHGSHAGDAILLQIFDVPLQIRAANTHQSQSSEQRGIDKPEAKKWA >Et_6B_049947.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:19132802:19133344:1 gene:Et_6B_049947 transcript:Et_6B_049947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPVFIGLLCVMCFAVVLLLHHHCVLAACRRNNSQRRRRHRQQHHSSAAGGEQQQEEESREEESVDMSSSRVHLVKAAVVRPYGRKEEEDESAAEGEKWSEPTCAVCLADFADGEPVRVLPECMHYYHGDCIDTWLRGSTSCPMCRAETTPTPAHSSSDIQHHHHQFSVSLEEILVRT >Et_1A_008939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:244463:245423:-1 gene:Et_1A_008939 transcript:Et_1A_008939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFFRKKKTIYVIDLIDKQCRCAKRLIDRRARERWNRPPLLRLPRRPPCAGGASPASGCPARGRRRRTPASSASPRRTASATGTASRDGCPAAPRGCAATAGARDLYHRPFTARDDDDLRRLVARFGSRGRWKDIGRAVYGRTSRVMKHRWKEIRRNTNTNRPLLLLGPTGTQEEEEMIMDDDDQPTESGHEGDVLASSFVSCSLSACDDAVDPGAGSLALGFACMALSMLYCDILYDQHMLRIIF >Et_7B_053612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10307452:10310928:1 gene:Et_7B_053612 transcript:Et_7B_053612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTELCRRRRLQTLEADDCYYPDARNTVGIVPSEEYTSIELTGTDRPGLLSEVCAVLAGMRCAVRSAELWTHNTRVAAVVHVTDAATGRAIQDGARIADISARLGNLLRGHQSDARGSGVGRGAAAAKAGSLAHKERRLHQMMFDDDRGHCHHAAGAAAGTTPAPPRTEVSVTACAERGYTAVVVRCRDRPKLLFDTVCTITDMEYVVHHGTVSAEPRGGAYQEYYIRHVDGRPVRSETERLRLVRCLEAAIERRTAEGLELEVRSGDRDGLLSDVTRIFRENGLTIRRAEISSEGGEAVDTFYLSDPQGHPVEAKTIEAIRAQIGEATLRVKHNPFAAESSGGGGGKGKDAEARADGAGTTAFIFGNLFKFYRPFQSLGLVKLSSMMAIYSSQHDITAAYIAEKITTVKRKVEMVESVGKFTDGISPDEDQPTCADVGVRLRPRAPHVPPPS >Et_1A_007134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31105420:31107657:-1 gene:Et_1A_007134 transcript:Et_1A_007134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSRSISSEKSRAAAPRPPLQEAGSRPYMPSLSTGSRNPSAKCYGDRFIPDRSAMDMDMAHYMLTESKKDKENAAASPSKEMYRRLLAEKLLNNRTRILAFRNKPPEPENVSTDAVSSHLQAKPAKQRRHIPQSAERTLDAPDLVDDYYLNLLDWGSNNVLSIALGDTVYLWDASSGSTSELVTVEEDNGPITSVSWAPDGRHIAVGLNSSDVQLWDTSSHRLLRTLRGVHEARVGSLAWNNNILTTGGMDGKIVNNDVRIRNHVVQTYEGHNQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSVQSAGRNQWLHRIEDHMAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGAPEAPKPAAKASYTGMFQEGWKSMAEFGFIDINFIIRHQSLKD >Et_2B_020980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25436989:25439755:1 gene:Et_2B_020980 transcript:Et_2B_020980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSVGTLTEADLKGKKVFVRADLNVPLDNNQKITDDNRIRASVPTIKFLIEKGAKVILTSHLGRPKGVDPKYSLKPLVPRLTELLGAQVVMANDCIGEEVEKLAASLPNGGVLLLENVRFHKEEEKNDPEFAKKLASVADLYVNDAFGSAHRAHASTEGVTKYLRPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMIFTFYKAKGYAVGKSLVEEDKLELATLLIEKAKSKGVSLLFPTDVVVADKFSADAESKIVPASSIPDGWMGLDIGPDSIKSFSETLDTTKTVIWNGPMGVFEFEKFAAGTDAIAKKLAELTAKGVTTIIGGGDSVAAVEKAGLAEKMSHISTGGGASLELLEGKTLPGVLALDDA >Et_3B_031709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8525370:8525963:-1 gene:Et_3B_031709 transcript:Et_3B_031709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGAARPRRLRRQGRAAWLLIFFLMLLLNTVSRGGEELVHVSEDSHSSLIVGGAGGGGKEQQQQLSRLGSRPPFCERKCGSCAPCTAVQVRAGAAEGQLRPQCANYEPVGWKCKCGAAVFDP >Et_3B_029799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28013455:28019439:1 gene:Et_3B_029799 transcript:Et_3B_029799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGIGGGGVPVDMETVDSTRAFVRDVKRIIIKVGTAVVTGQNGRLAMGRLGSLCEQIKQLNFQGYEVILVTSGAVGVGRQRLQYRKLIHSSFADLQNPQMHFDGKACAAVGQSGLMAIYDTLFSQLDVTSSQLLVTDRDFKDPTFGDQLRETVFSLLDLKVVPLFNENDAISTRRQTHEDPSGLFWDNDSLAALLAAELKADLLIILSDVEGLYSGPPSDPQSKIIHTYINEKHGKLINFGAKSSVGRGGMQAKVAAAANAASKGVPVVIASGFATDNIIKVLRGEKIGTLFHNAANSWECSKDATAREMAVAARDCSRRLQKLSSEDRKKILLDIADALEANEHLIRSENEADVEAAQAAGYEKSLVARMSLKPGKITNLARSIRAIADMEDPISHTLKRTEVAKDLVFEKTYCPLGVLLIIFESRPDALVQIASLAIRSGNGLLLKGGKEAMRSNAVLHKIITGAIPDGVGKKLIGLVTSKDEIADLLMLDDVIDLVIPRGSKNLVSQIKASTKIPVLGHADGICHVYIDKSADMEMAKRIVMDAKVDYPAACNAMETLLVHKDLNKSEGLDDLLVELEKEGVVIYGGPVAHDKLKVPKVDSFRHEYSSMACTLEFVDDVQSAIDHINRYGSAHTDCIITTDEKAAEAFLQQVDSAAVFHNASTRFCDGTRFGLGAEVGISTGRIHARGPVGVDGLLTTRCILRGSGQVVNGDKGVVYTHKDLPLQ >Et_3A_025166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27494369:27500447:1 gene:Et_3A_025166 transcript:Et_3A_025166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAASGAQRLSRIFSSTKSRARTKKPAPVPAPAPAPIPEAPTGVQAKPKPIRQARQRKSLGRILKEIFEERDADKLVTKFLAASAASARFRHRHRVYKVAVSRLAFLDRPDAVTAIIEAQKPYLEASTEGFAARLLRLYGRASMPSHAAATFQDLPPQLKGVMTFNALLAAYVDSRDFEALATAFKVIPASCPTVVPSVSSYNILISALCHKPDLSVALDVITLMEKCGLSPDVISFNTLLNGFYNNAPLGDAEKVWEMMKERNVEPDTKSYNAKLRGLVANGRIEDATALIKVMEKDGPKPDSISYNELIRGYCKEGRLDNAKKVYDDLVKNECVPNRGTFGALVPHLLEAGDLEHALKYCHEIFSRKCRVEVSLLQGMVTALVNASRVEDAEKIVELGRKNYYPRKYLKVPKVGKDDAPRAQPKKKPALSPAPTPEPEPEPVPEAPTGVQAKSKSSRERKSIGRILKEIFEERDADKLVTKFVAASTGIARFRERHRVYEVAVSRLVSYGRHDAVTAIIEAQKPFLEASKEGFAARLIRLYGRASMPSQAAATFRDLPPKLKGVMTFNALLAAYVDSGDFEALATAFKEIPESCPTVVPSVYSYNILISALCHKPDLSAALDVIALMEKCGLSPDAISFNTLLNGFYNNAPLGDAEKVWEMMKERNVEPDTKSYNAKLRGLVANGRIEDATALIKVMEKDGPKPDSISYNELIRGYCKEGRLDNAKKVYDDLVNNECVPNRGTFGTLVPHLLESGELDNALNYCHEIFSRKCRVDVSLLQGVVTALVNASRVEDAERIVELGRKNYYARKYLKVPKVGKDDAVDAESDCEDSLLYENGSEEEEESRNA >Et_1B_011001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16352810:16353619:1 gene:Et_1B_011001 transcript:Et_1B_011001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEIDAVVKSDHLWQLNSFAQLPRTPLQFPLVSMADPNIIYFVLREKGKVEVGYEYDDIWLVGLDMHNMAFKSSCHYIKGKSKSHYPDEAKFIKEKCWYFESFLPTELPKYLKHGNCDRIGTISSKT >Et_6B_048444.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:5005661:5006104:1 gene:Et_6B_048444 transcript:Et_6B_048444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRLWTGGLGRKKRVTMELRAGYNTLVILVAWRLSKERNKRVFKFEALQPVALAPEILDVAIAVGPPLNSLSSGGYTPRIVHCRSRVQLTIGSFFFSLFLSVCVACFLGRCFGRFFSFLWPLGSVCNIVSPFFLMIYLLHTFSKKV >Et_5A_041529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2393494:2395045:-1 gene:Et_5A_041529 transcript:Et_5A_041529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASDKWRAKLDHLVLWGAFFVLSSLSLLLAAVSSGFGAAWLTLGVSALMRVNDTTSGAAVLRGYCGDGDLGSMSIDGAWVRDADAERYPLYYQPGQCPFVDPGFRCTENGRPDGEYAMWRWRPRRCTLPRFNATKLLEILRNRRLVFVGDSIGRNQWESMLCMLYPAAAGGRNGDEGAVYEENGNPITKHKGFLSFRFRDHNCTVEHYRSPYLVRRGRRPPRGSPKTVESTLQLDAMDVTATHWKGADILVFNSGHWWNQERLHQLGCYFQDGKELRLNMSVEDAYQRAMTTLQKWIQEEVNATKTLVVLRTYAAAHVSEGCGTETSPELNTSKISLHQWPGVLNPTLEASSRMQVLNVTLMTAQRKDGHPSVYNVDPSSRLPEGQRPDCSHWCLPGVPDAWNELLYAVIVNRFL >Et_4B_037160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15647054:15651560:-1 gene:Et_4B_037160 transcript:Et_4B_037160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARPVFCCRPTGGYARTPRRFKATLDPFLPSLSPASPSKIPKSTTAADMPPRRRYLLLLPFFAIVLLTTTASALSISTPVELTVTSHPPASVRLPDARSLSGGDGGTGGGPYCTRVLLRGRPSRLRDPSRFFHALRVRANATRPQGLELCFHRNATVGPCKCATSQWQKMPKGGLWAQAISPYDHRILDFRMPGDSSRQVVVSTEEEFLLHRVVFLVLGLVLMVVAHILSESVVFYYGGAMTIGIFLVILIILFQGMKLLPTGRKSSLAIFTYSTVIGMTTYFLHYLSGLLRSFLVEIGIAEDMHNPLGIFLLVLVILAGAWFGYWGVRKLVLTEEGSVDEGVAYFVEWAILIVSAVMILQSSLDYLFAFAALVTCVIIKGVLRIEGKTRLLRHLSRLHSSGMTSHSSRYEDLGEEYASMSGARQEGFTKLHSEYLRHTPSRNSPLTGSAKTSQGVSRETYYSTFHTTPERRKFSKEEYEAFTKEETKKAMKQLLSSPDFNRWALANADRISVTPPGGSYSSNSQQQQRNRLFGWF >Et_1A_004942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18047491:18048318:1 gene:Et_1A_004942 transcript:Et_1A_004942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALLESGRLPSTKQPPLSIFDRPPSADPILPRHGSYPAATVSHRPRWRIRLRHRRRRGERLPRAPDRELLAHQVHSSQRPVRRVPPLPRRRAHLGYRVLPERPPLSCRQPRDAVRVQLHGRGGEAEAVPRLQAQGAHVQHGRPLLGIQEIHQGGSGAIGSAQERLLHGQVRRCCCRRAPRTGRFLIRCGRRRGVPGRWRGVPRAQMCSRGTVTVFQCTAFWLHEGGHRHRHGRLFHTD >Et_4B_037797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23447394:23452623:-1 gene:Et_4B_037797 transcript:Et_4B_037797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENIDLVLEFLRKNRFAKAEAALRGELTGRVDSNGPTTQRRVADPKEEEEQEGSEVGSNAGPKGAASVRSADSSREFIVKEIDVGAMPNGSDARKGSGIGQPQENNMGDLYPWNFSIANSTAEQLAELLVSEEVPRHRRGAMATEKRDRGVGTEQPGPVLEQKASFGRGKGKVDAAGRSEINEQGHSRDKNLVPEKEELMNGCTVKTVLPFPAENPSSSYTSTHHENERKDLKKGINADGAKATKGQLDEGNRQYYFEKSQDTNQMADRCFDLQLLGDNQREELPKLPPVRLKSEDKLVNMNWEEKINHHGPGSKQSSDDHAFMIGSYLDVPIGQEITSSGGRRTMGSSWLSVSQGIAEDTSDLVSGFATIGDESLEYPNEYWDSDEYDDDDDVGYTRQPIEDETWFLAHEIDYPSDNERATGRTSGPDRHDRPAKDEDDDQSFVEEDSYISGEQYFHGKNVAQIGTSEGPMLHGIPDNDMIAQYDGQLLDPEELNLMHSEPVWQGFVSQNSELGMLGNGKFLNDSERPHPDDPFVEDDQHGSVRSIGVGISSDAADIGSEVRESLIGGSSEGDIEYFNESSLSVSGKRHSQQETEKKRTGAKGAKHEQINYVADIQKGSLPPGSDVGFSFPPPLHSGKISDADAKPLWSKKDDMYCINDPDECQNGLVSDDMLATWRKKNSESSVRSSRDEMTSDVVRSRNSSVSYDETEDTVNARHHKLDDAQEEDTGTNLDDEEAAALQEQVRQIKVQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEFLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKHHLLRLYDYFYYREHLLIVCELLKANLYEFQKFNRESGGEVYFTMPRLQSIAIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGSIEQAMLAQGRDTYKYFTKNHMLYERNQETNRLEYLIPKKTSLRHRLPMADQGFIEFVMYLLEVNPKKRPSASEALKHPWLSFPYEPISS >Et_2B_020058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16468833:16469583:-1 gene:Et_2B_020058 transcript:Et_2B_020058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGNDLTELTLGPPGISARKARRARNNGRPSTSSATVQAFVKVSMDGTPYLRKVDVAAYDDYDELVEALNEMFCCASIGLMDGYGAWEHAVVYEDGDGDWMLVGDDVRGLVQEDEGDASVRGERVELQRVTRQAAPRNRTGRRMA >Et_9B_066235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:824484:824904:1 gene:Et_9B_066235 transcript:Et_9B_066235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGNTQYIPFIRQSIRSLWGRLAQESIEGDMMKMMELFNTMRSEDPSMVLRMQFEFQQRCHGYSSSSISISVSLSSRGESKSGIGGKATCWAMRDWSSGGAMAMGRA >Et_3A_024513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21518309:21518819:-1 gene:Et_3A_024513 transcript:Et_3A_024513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGSEDTPFVHNGGNQSICQGVSTSWRGAARRPTGRHSVKILGQRQLLHRARHISFKTTTEQLQKAQAMVDIHVRTGRNGGIKAN >Et_8B_060134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6217598:6217995:-1 gene:Et_8B_060134 transcript:Et_8B_060134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDITASVKPEYPVVDRNPAFTKVVGNFSALDYVRLTTISAVSVTVGYLSGIKPGIRGPSMVTGGLIGVMGGFMYAYQNSAGRLMGFFPNEAEVARFKHRLP >Et_1B_012984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4558428:4559980:-1 gene:Et_1B_012984 transcript:Et_1B_012984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCAIILLLSVLCAGVGFCHGAAAAPGYVTVSTASFTPSSTCDGPDPVSLMRNGTSAVLRLTHRDGPCAPSRTSSLEAPSVADMLRADQRRAQYILKRVSGSGGQQQQQLRGSKASSAAAVPANWGYNIGTLNYVVTVSLGTPGVTQTLEMDTGSDLSWVQCKPCAAASCYNQKDPLFDPAQSSTYAAVPCGGAACAALGFYGAGGCGTDATTTTAQCGYVISYGDGSNTTGVYGSDTLTLTPTDAVPGFFFGCGHAQSGLFTGIDGILGLGRRDLSLVEQTVSTYGGVFSYCLPTTPATTGYLTLGRPSPSGFSTTQLLSSPNAPSYYIVMLTGISVGGQQLSVPASAFAAGTVVDSGTVITRLPPTAYAALRAAFRSGMASYGYPTAPPTGILDTCYDFTGYGSATLPTVALTFSGGLTVTLGADGILSFGCLAFAPTGGDGGMSILGNVQQRSFEVRIDASSVGFKPNSC >Et_5B_045251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14814657:14816143:1 gene:Et_5B_045251 transcript:Et_5B_045251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRTTRAALPRASARLFDLLLVPLAFLLSGAAPARCGDLTEGGGQCSSRTYTSAPFHPDRAADFRRHVGDALAGQPRARPHHQRSHRVIDDVANKTGLNKELLMTYLNSGRKYLFIGFDSPVGIGL >Et_5B_043896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17373969:17374640:-1 gene:Et_5B_043896 transcript:Et_5B_043896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAAASTPPYCVVGQGIPQGPLPSCGWYVASRTCGITLIPPARGDAEGAVLRAAAGRRAGVPVQGAEGDDGRDVRGPGDAQAVFAATVVTEPECGLRTIHGTQFCNALSTEN >Et_2A_016083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20925108:20927573:-1 gene:Et_2A_016083 transcript:Et_2A_016083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNNESNEKGMQSNRTSSGGAGIPVEWQSQFSAAFPCAPAQQQQAGPMMDSFASAGLWASTSQGMGLSDVSAMSAARGHGFLAPVPGFLPQGLGNFPVDSGFIERAARASCFGGGGGGGVMGGSAGYGAADQPMNNAFSGSSEALMDHQRKDGNDKAEPDHGRRNGHEEVPSSEAAGGDCSSKGTSDSKKRRRPNEMGADQVQSSNLPADSANESVHSKDKGEENSPATTNGKSKGKGAKETSDSQKEEYIHVRARRGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDLNIEGLLSKDLLRFPGVSSSSIGYSPEMMHPQLQLSQPGLMHGGAAGMANPDMPHTLNGSFSDVTQMAYSSLGSQDLSMRPSQDGFQM >Et_3A_024338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19822163:19823847:1 gene:Et_3A_024338 transcript:Et_3A_024338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPAAGAAAGAAASDAAASLRGIARVTARLSPVSVSGSSSAGFVISILASEVFNFFCNSLAGAACSRNYNLPNRVNYQFNTNLCISKVKWKRKENECTNRERRSMEENLMRESQGGEAEEIDGGFGHDGGELREADDAVVVGVGLAHHVGELGVADGMTSSY >Et_5A_041389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21993855:21998549:1 gene:Et_5A_041389 transcript:Et_5A_041389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPARGYSRCSVVAFVDGVSIFLLSVNHDVFTFDLKSGQVRKLLHARSMPPRQRRTPSLPDELVEKVLTRLPPEDPASLVRAALVCRRWRRLVSDAGFRRRFRLFHRTPPMLGIIYSRAAEVSTFVRTSSSCPPIADRDNFYVMDVRHGRVLLHRSPRDMRGPWQKASVVWDPITDEQRELPLLPVSWNRSPAWNASVLCANHGRCDHLDCNRGPFLVVLVVTTHDHIHVYTYSSEAGTWSKPVTGQNLVHQHIGWDWQPNSALVGNSLYVKFRYRKSLLKFNLVTQGVSVIHLPISWHYGDTILMATEGDGLGVANVKNAKLYLWFGKASTDGGIRWARSRVIGFNTLLPDNAYEKFHVAGFAHGATIFVLRVNDDIFTFDLKSCKVSYICKDKGYVYDIFPFMSFYAPVLGESSMDDESKVRNLEALPDVGPGPGMTVQ >Et_1B_011370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21209707:21210093:1 gene:Et_1B_011370 transcript:Et_1B_011370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLDVITAEALAARDGLLMAVAQGISKVVLKMDNLTLVNYLKSNSGGRSSIADWLREVTSKEYNPAISEKISRDFP >Et_3B_028776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19025702:19028570:1 gene:Et_3B_028776 transcript:Et_3B_028776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGGSGSSGGRGARRVEYGRTYVVRPKGRHLATIVWLHGLGDNGASWSQLLDNLPLPNIKWICPTAPTRPVAAFGGFPCTAWFDVEETSLDGRDDIEGLDASAAHVANLLSSEPSEVKLGIGGFSMGAATALHSAACYAHGRFTNGIVYPITLSAVIGLSGWLPCSRTLRSKIESSPLAMRKAAALPILLSHGRADEVVTYRNGERLGHYTIPEEMDDVCKWLTSRLGLDRSRG >Et_5B_043715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14650140:14656100:-1 gene:Et_5B_043715 transcript:Et_5B_043715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRVLILVVTRETGVRLGAPEIFSSSFGPSSPVPTRSPRTPRFLQSNGGTAAAGVPIPIPYNASSYPGSCVSASTSGRGRYAHAFVLPSSGLVHSPPHQSVFRLNFEQASETSFGVSAMGSPSTHFLQVNQPLGQEISLLSASPTDQFLGSPVNDQNHTNLSSLWLSLEQQRLQLDQFLQVHNATLFSLVESTISDALMQKNDEIARLLMEMQQMQEILSTTEHQRDAWRQLALEAYEINQSFVVLQPGMQGTNSHASSNELDSACSGNQELNMNRSAVETAQPNLKCKLCNADVASMLILPCQHLCACKSCGVQLLTCPICNTAKVDAMEYFLDIEKAVARGKQEHQ >Et_4B_037248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17136236:17138332:1 gene:Et_4B_037248 transcript:Et_4B_037248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVTVPGSSVPSTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPTKTIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPTGKDINALEQHIKNLLSPSTPFFFNTLYDPYREGADFVRGYPFSLREGAHTAVSHGLWLNIPDYDAPTQMVKPRERNSRYVDAVMTIPKGTLFPMCGMNLAFDRELIGAAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLSLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEDIIPFFQNVTIPKEHDTVQKCYIYLADQVKEKLGKIDPYFVKLADAMVTWIEAWDELNPSAAALLLRTARPSRGLRQGSSVPL >Et_8B_059030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12610662:12614711:1 gene:Et_8B_059030 transcript:Et_8B_059030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKRLRRIGDVAAAVRVAENTEEQDTSTLLGQIHGFYEAALDRLPAGRIPSLAPRLLSAGVCFGVLDPVSNIIANTIAYSPSSSNPKDDDEEEDGQLQYSRESIMSRIISDTVDDDDVLDLPLSPDAAARVSVARRSLQGLVSFLVFYFRYLAGTEALRYLRLAGADLLGAVRLIELDRKIDAKFGFTIISMTTKVAMNCAAISAKHPDPGTMLRTSCVLASHLDKASRYLHMQNSGSCLSSDLKRLHKLLEQDPKSPQFTEPCYRLPLELAALRNRGVMTMERKVDELPSRASAECTATHRKRVRKVSGYFTECLKQMRKHVKRKKMNLPSGHSAKFLEQRKKRKMKTKRSGGSSKAKVKEKKGTVNATFRHTQTLKLMLLDKIHEHFLEALACFAGDDLRNCYHVGLLRAGFCYGPMDPVSNIILSTVWYATTFPTHSHVMPGAYEDAYRNAAHDSWHPDPDEMLKLVIPLLPTSSDELPYWMNNGGRLSVEQFAMTLSPKCEEQGSQLNQISANQKMFILEIRKKFRAIKDANSQVVDFSFLSNFYMQEQFDVHIICGVNPNVNKCAYSHMNFWATAKGSNVATNGPLLFFAQCSNGDEDDEEEPPCFPVFYSSFGSVRCFHCEYYGTKIVHPYDEAYVGRSEDFDKMARGDHGMSNDLLISGYDCHVDMMCTLYDDWIYFDPRVDYGIAKKNPLDDNDCWGAIWKGRIF >Et_4B_036590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7004235:7004750:-1 gene:Et_4B_036590 transcript:Et_4B_036590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAERKAREAERERMRERARRAREAGPDAFRKGKYPVAPNRDLVVFMKFVFVRRVWSIIVKFDYLIFMHGWLLFLYFFYIISIILVI >Et_4B_038215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27227554:27231602:1 gene:Et_4B_038215 transcript:Et_4B_038215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGESGGDPGAAARRRWDLTNKGAESTPIVKEAVEMSTDEESDSLVISHPNGNTEGCDEVISGSNGDEVSEGQVTSCVKEPDVEGDTQEDKCVNQDSLKLIDQEKSAPPKSPAKAASSASERSKRSVSQPFSLSAQRRSSGGNGGATSPSINKEKSGDKTNISPASMTKKSTPMATKKTLQPNHMIRPQEEDSCSVTSSTTTSTRAGKTRTTVPVPPSFVCSERADKRKEFYTKLEEKHKALEAEKDQAEARKKEEQETALKQLRKSLVIRAKPMPSFYQEGPPPKAELKKVPPTRAKSPKFTSSRRKSCSDTPQTPDGSKNTTATSTRSHRHSIGSSKDANRVQCSPKSGVAAKTRAVKPEVKAV >Et_1A_005924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15604886:15608128:1 gene:Et_1A_005924 transcript:Et_1A_005924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALPLVVLVLLSGSSPSALAAADDKHGYTLVAISSLKAKAVCSGHRVPVPRNRTWVPLSHLHGSCSPARAEPPPLAELLRQDQLRVDDIQRRLSGVANEKRKIKKHAAKCSSIQMNTGPQTIRPAATGGGSSSVQQPGGVSQTVMVDTASDVPWVRCLPCPNPPCPFYDPARSTTYAAFPCNSSACARLGSHANGCVGNQCQYRTSSPDGLTSSGTYGSDVLTIGAGATNTIRRFKFGCSHAEQGSMGGQAQNAAAGIMALGGGRESLVSQTASTYGNAFSYCIPPTTSYPGFFSLGSPIAESSRFVLTPMLPRDGASPATFHRVLLRDITVAGRRLGVAPEVFAAGAVLDSRTAVTRLPLTAYDALRAEFRSRMAAYRAAPPKGGLDTCFDFTGVPVVRLPRVELVFDQDAVVELDASGVLFNDCLAFAANADDVAAGVLGNVQQQTIEVLYDLAGGAVGFCRVATMAHLHLYINQTKFCPQNIDQYISNLTSSYTDQSNEPAMVSASVIMFVLAGLFFNLNLFSGVSDISAILDPRVRLFLTSALSLFLPVMSYLFSEAKNATRMHSATSPSTNSTASGGDLSLMAGLILALMLLVELLRKKVDEIRMRGYSGTVQRAGRVVWLGNLVFFNIKTAGRKAVFAVLWILCATRVVQRIAFTELGKRSYAHGKNARVISSYMAQMLAERQAEHHPVVMGGDVEQAVHQQLDGNELLFRRCKYIVMGEERLVQEATADGYKLMTTTDDSVVVSVGKVWELAETDDELFPSADQRKSLKRLCLSFALFKLLRRRRPTRRRATAGTSS >Et_9B_064068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10919458:10920580:-1 gene:Et_9B_064068 transcript:Et_9B_064068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLWLCQVLLPQIQTKHTRFPVMCIEHGRASLQLTSVEMISSSAHHSGDTLGVAASGGMAVISLSRLATSGMVSRRLGSLSVQPLMTASSLSTVAAWKDDRRWLGSITSLSCRSPLSVAGDRLASSSRGSSQKKTSEILAAKSSVRQIVEVSSVRMISGGLSWCMCSRQ >Et_10A_000962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19593903:19600579:-1 gene:Et_10A_000962 transcript:Et_10A_000962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKASSNSDSRSKWRKRKRAANPSPSKQAADNSDDSDYAAAANGGDDDAPRANGAGGTLARAGGSDDDLTLDPREAEVLSSAELVSAFPAAVRRAVGRPHPSVLAVIAAERAAAGAGGAPAAAPPALENISHGQLQVISAMLPDHPSLSNDPDRPSTYVCTPPPQMDGRGVPKQFYGKLHIVPRHSEWFVPNTVHRLERQVVPQYFSGKSQGHTPEKYMMMRNRVIAKYLERPEKRLVFAECQGLVTSTAELYDLSRILRFLESWGIINYLAVGSVHRGLRMAASLIKEETTGELQLASAPMKSIDGLILFDRPKCSVPAEDIASVYSASSAPLVANGDVDPADMDEKIWKHLSESFCNFCSKPLTDTHYESQKEADIALCSDCFHDARFVTGHSSLDFQRVDGDNEGSHTDSDRWTDQETLLLLEGIEKFNDNWNNIAEHVGTKSKAQCIHHFIRLPVEDSWLENIKVPEASASRMESNGFLHSDSNGSCFPQSSQHGNQIPFIDSANPVMSLVAFVASAVGPRIAASCASAALSVLTRDNSRMCSEGTDSMGHAAHSDCGPSSSISPETVKNAAICGLSAAALKSKLFADQEEREIQRLSATIINHQLKRLELKLKQFAEVETMLLKESERYELARQQLAAQRARVMSARFASTGVNMSGGSNTMVSNPMSQATSPRPPLIPGSMPQASMPGMYANNMQAHPQMALLHQRQQMLSFGPRLPLSAINPGASSSGPNIMFNHGMPNSATANHHPLFRPPSGNNSNVG >Et_1A_009533.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:7483530:7484207:1 gene:Et_1A_009533 transcript:Et_1A_009533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPPPGRGPPGVRRPMRDFFAAWLATLRSPLLPLLRRALSSSSGSWDDPLSSAAAAVEAHFQAHWSALDAAARQDPAQVIAAGDWRSPLELPFLWLGDVHPSLLTSLLRTLSPSPRLLAAADRVDRRIRASVPVVADRLRRAQEAFVSAEVAGGADVEAFLEELKGVALDANRLRRGVLSELVAAAGGYQAALFLEALSRFVLSMHDPEVLRRFNQCRAPPPS >Et_2A_017633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4287247:4290227:-1 gene:Et_2A_017633 transcript:Et_2A_017633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNKVVPNSGDACSVLPSKVTALNPNAAEFVPSFVKSSFGSSTVSDVTKSDFRGSSGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFSFEKIEQGPEDLSLAGLSLNAPPFYGTTSSRLSREHHELSSPATKGLELEHNSLFNWEQSYMGFANGNQDLHYDAESAAGFPDNFSNEYAAASDGTVDPLEFLASQFPGFSVESLAELYYANGCDFNHTIEILTQLEMQVDAAPNHALNLAQSTPNFSTGDFPALPTAEDHNGFNKGNVDVLNIFNGRSSSALSSGAGDFVSAVRKLASQNSGHMKFKKAPEYGNGVSALSVPKQYGSSTKQSTGNKFQSVTSARVAPWLETGDAVANMYSESRGEARDYARVRNACFEQARQAYLVGNKALAKELSMKGQAYNAQMKAAHEKAREAIYRQRNPSSQRGGDRLIDLHGLHVNEAIHILKVELGALKSAARAIGERMQVMVCVGTGHHTKGSRTARLPIAVEQFLLDEGLHYTQPQPGLLRVVVY >Et_4A_033779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26850750:26856713:-1 gene:Et_4A_033779 transcript:Et_4A_033779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRKPGHAPAREAVFWSDKMNDYLLDSLLHQQAIGNRGEKFSSVAYDSIINGVGQRFGVAIDRGNIKNRLKYIKETFNECKNILGEDSRITWSATSKRFNADPNVWRELIEKKPEAKKWMTKTIDHYDRLMELFGKDREKRPPVEILKSTPKKKVRKEPPKDHQHTSSTGLDFTVADSSNTTTPDKAVTKQDIPTELDLSELCRTDSGIVAIPVCANAYGKGLPYAPENWPCPGDQWYWRVGSRTNAGGHWADRYLTPPPRFRDATGKKTAFASRLQVEEFIKREFPELDLSTFFSMFIWKIPAKGYRIQKGTQQSRVPEPEPEPEPVFADPVGPCKARNNLCNLEREGFIESSPAQACDICCTEPGFCRECCCILCSKTVDYSLGGYSYIKCEAVVEENYICGHVAHLICALRCYMAGTVGGTIGLDVQYCCRRCDNITNLMMHVEKLMETCRPLKSRDEIEPMLNIGLCILRGSRQPRAKSLENYMASAMAKLTNGVDIVDVWKMEDDEGRATLNAGENCSPTNGVTVLGVQEQVPEEAILLGHPDLIYPLVDNKLETSVENLPVYITGDQKTMSVKFEDDIDLALDELKKSQEAEYRLAEEKLYSQKDYILSAYRQLESARAELTHPGPITPTTNYGSIVSNIRNCVEQVKREEEKFKKMLKVAGGFGKTPKSVTLEHFGLSADK >Et_10A_001803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8297572:8299810:1 gene:Et_10A_001803 transcript:Et_10A_001803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAAIRSGEFLSCPAAPRRRAAPPSMVSVRTWGAAAMRVRAVAVRAEAEDAAGKGKGKKKAASGITKPKPISAELREFVGGAEELPRTEAIKLVWAHIKGNNLQDPNNKKIIVCDEKLKKIFGGRDRVGFLEISGLLNPHFPK >Et_1A_005279.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:37831087:37831632:1 gene:Et_1A_005279 transcript:Et_1A_005279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HIRSRSSKQGTLASTACVGVLTLTPSRQAAAAHCPGKPGTSCDREATGRRSHGGTRAGTPAAAAPSAPSPPRPGTPRTRAPRRRRRHVRARRHRRRRPPASTAARVAAPAALPRRPDRCLRHRRYGRRRGASARRRRARATATATPARRGRERCRTSRRRRGGRTRGRGRRARRRRDSVPT >Et_4B_038609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3287022:3291552:1 gene:Et_4B_038609 transcript:Et_4B_038609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYHQATSLEEVRTLWIGDLQYWTDENYLYGCFAHTGEVQSVKIIRNKLTSLPEGYGFIEFISHEAAERVLQTYNGTQMPGTEHTFRLNWASFSGGERRPDAGPDHSIFVGDLAPDVTDYLLQETFRVNYPSVRGAKVVTDPNTGRSKGYGFVKFADENEKNCAMSEMNGQYCSTRPMRISAAIPKKSTGSQLQYSGAKGVYPATAYAVPQLQTVQPDSDLTNTTIFIGNLDSNVTEDELRQICVQFGELIYVKIPVGKGCGFVQYASRASAEEAVQRLHGTMIGQQAVRLSWGRSPASKQDPSAAWNQQADPNQWASTYYGYGYDAYGYAQDPSYAYSAYAGYSQYPQQVEGAGDMTAGAHPSMEQKEVYNPMNIPDVEKLNASYMAVHGRAMLGRSLWLKTNPLPQPS >Et_2B_022932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8615295:8619948:-1 gene:Et_2B_022932 transcript:Et_2B_022932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGEGDSTKSKHQAYYAIAIHLASSSFLKVTTQHSVKNIDTLPLLANFQQCMKTDVGSSSSNGLGGTMGQNYNNDDPDTTPRGLTTKVDLTLRLGSITPSTDDEATTQEMHANKNAKQFRFLGLRSALLELDWNGKQI >Et_6B_049546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6312685:6312933:-1 gene:Et_6B_049546 transcript:Et_6B_049546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIVILGAMSKPVAAIVPAGKGVAGSLVSNVTECQEMLDFAGKHGTITTCANRFVIDVNGSLGSTGEWVPLP >Et_10B_004161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16866846:16869806:-1 gene:Et_10B_004161 transcript:Et_10B_004161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQSPLQVAMDLHGEEAMGIAANMMLRNLYNAMPDPPVSPAAPLASAVAARAPAGGADRISALPDEILKDVVSRLPAKSAARTGALAKRWRGIWRAVPLVLVDTDVFPESAVPRRMDRDEFVTRACVVDASSALAGHPGPFRCFYITSVTWSRIASHEGEIKRWLQLLAAKGVEELVFINRPLPFDLPLPAALFGCTALTRLHLGLWKFPDTPRAAGFPHLRELVLSVLMEDEDLAFMLDRCPVLEFLTIIASQSAVRLRLARRSLRFVQLGMCGVAEIDVVEAPRLERLFLVRNVCRKNVSTVRIGNAPNLHMLGYWQPDRAELGIANIIQARTRVSESTIVPSVRILALEVELELHNEVQMSSNSEKATGKPNLKFWQEAGHIACIQSHLKKLVFQEFRGMKSELLFLKFIAEKAQALEKMVIILASEYFSSRIDANVMLKSVKWVSEDCKLVSCTAASIAICRRVIIIGIEANAQL >Et_3B_029603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26453051:26455590:1 gene:Et_3B_029603 transcript:Et_3B_029603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLCNSRAKMREILHIQGGQCGNQIGAKFWEVLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDEGEYEDEEEVQEED >Et_5A_041027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1739708:1749096:1 gene:Et_5A_041027 transcript:Et_5A_041027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METGAPNSGVVNMTRAREALSPMLNNPRKTITRVEVLVSVAAALLLLQFILGVCKRLWHNSIVSFVLKVCNKAMFPFIIYILGTMQTSPIKNSVYPVWAVSLIIASEATGAVKPPDRFNIENLMSIYINLSRYYAYLIAFVPELLPYHMVDINELRGTVREEIESLPSRPYMPSKMLDIMKNLKGTSIADNPTTVFMKGVKLGKQLEEGSKTNGVEPWKMLAEFWAETIVYITPSHHTAKHHMEHLESGGEFLTKIWVLLSHAGILNLDREKQGRSQPIKASAGDPGSGVVNITRAIEALSPMLNNPRKTITRVEVLVSVFAARLFLQSILSFCKRLWHNSIVTFVLKLCDTAMFPFIVCILATMQISPIKNSVYPVWAVSLIMASGATGAIKPPDLPVMEYKVSHSIAVARYLFYFVMFNQLLDTSNPKISWPPGHQQHTGLPVQVPFVPLFEGIGAEFFGIGLSGIIARWTKKNANSEDHSDCDPKSVNDYNYVVRYSLSNPFKYCRRNLCTDEAPSNNNVTTINQIWGCFQNSHGGISADDKNRLKDVCLSFALFELLKRRYFRIICAEASLRKTKYFISRILLRNPDEDYRRAFKIVETELGLCYDFLFTKYQLIHTTRIFLPLWIFWFAFFLGKIILISVIGVASTPFNKMISPVVKVSDAAKKATANAVKKAIDSLLSGNGEMSKGERALRMFDGYCHTLKDHSQIQVMLIWHIATDYCKVALSDEREDRDVAVKLSRYFAYLIAFVPELLPYDFADIRELRSTMRKEIIEILL >Et_7B_054398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2132964:2135742:1 gene:Et_7B_054398 transcript:Et_7B_054398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLLRPLWPGLAPAAGSPDAAPEPAKPSLPAAWLLLHALFCATSMAVGFRFSRLIVYLLFLPTPLTDPTAHLVSLVSPPVMLAAAGNATATTTVTTTTTTTTVTTTTTVATEIAAAHAHVHHGPVFVGRHPIRVRDWPHPDPAELLKAHRILAAVQNAQRASRHRGPNAAAPPRPVIAVTPTAASALQVPSLTSLAHTLRLVDAPGLVWIVVEPGHRTDAVAAVLSRSNLDYLHITGPSSGDASSTAARLRMHALREIRKKRMDGIVVFADENSILRTELFDEAQKVTSVGAVPVGILGEDDGASESFLQAPACDAAGNLVGYHVSDETVLPANRSDMLLSSRLEWAGFVVNARALWEGAADRPDWVRDLDAIDADADARVASPLALVTDAARVEPLAKCAQTALAWSLRSDTLHEVKFPHEWKIDPPLLTTGARQQTVKPDTPLKQTTLANTEDQH >Et_2B_022148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9708098:9708808:-1 gene:Et_2B_022148 transcript:Et_2B_022148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGSDGAIDGAVVVGLSMSHVVSDGEGLWQFIGALAAAARGRGGGGGGAARWPTQRLTVQRSTGSRKRRRWRARSYASWHRHCRRHTRSNAQVPDRLDFNIDEVITNTSLLRSGR >Et_8A_057902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9013394:9013973:-1 gene:Et_8A_057902 transcript:Et_8A_057902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVSEFLGAGRESQNRKRKQFNTVEMKVRMDCDGCELRVRNILATMRGVQSVDINRKQQKVTVQGFVETQRVLRRIQSTTRKRAELWPYVPYTNPYAAPPVYDKKAPAGHVRRVEAAVVSVPGAGPGQEERLATLFSDDNPNACTLM >Et_4A_034290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31413822:31415655:-1 gene:Et_4A_034290 transcript:Et_4A_034290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEEYRCFIGNLSWSTTDESLRDAFGKFGKVTEAKVVLDKFSGRSRGFGFVTFDEEKAMEDAIEGMNGLDLDGRSISVAKSQPQGPGRDRNGDRDFDRDRAVMVAAMEVVTVVTVTAVTDLVPTDGLDLEKCVPSSCPVPVDFERFLDHAYAAWVMLPGCCSYDIVAPMN >Et_6A_046757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20280544:20284379:1 gene:Et_6A_046757 transcript:Et_6A_046757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGRGGGGRFGGGGGRGGGGGRFGGGGRGGRFGGGGGFRDEGPPAEVVEVSTFLHACEGDAVTKLTNEKVPYFNAPIYLQNKTQIGKVDEIFGPINESYFSVKMMEGIIATSYKEGDKFYIDPMKLLPLSRFLPQPKYDPIFCLSCRDNRREHQEVVAVVEEGVAAVVAGARSEVEEVHQGAAVGLQGVVVVLEGEAGSSFCKQRCTTWLPLGSVIRRIAPGLNADITFKACSG >Et_5A_041715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26245045:26254536:1 gene:Et_5A_041715 transcript:Et_5A_041715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARAAASVLAVAAVLAAVLAVPATATKTGQVTVFWGRNKTEGSLREACDTGLYNMVIMSFLNVYGHGKYNLDLSGHSLAGIGADIKHCQSMGVPVSLSIGGFGSGYSLPSKKAALDLFDHLWNAYLGGSKPGVRRPFGDAWLDGVDFFLEHGTAADRYDVLALELAKHNIRGGPGKPLHLTATPRCTFPPASYLKRAVDTGIFERIHIRIYDDGNGDCEAYWHLAWDKWTAAYPATKFYVGMTASMMTGGWIHPKNVYYDTAPSSQKAANYGGFMIWNRYYDKQYDYTSSSDRHPLAMARAAATLILAVAAIVPAMATGRGQTGQVTVFWGRNKTEGSLREACDTGLYTMVIMSFLNVYGHGKYSLDLSGHPIAGIGDDIKHCQSIGVPVSLSIGGFGFGSGYSLPSKQAALDLSDHLWNAYLGGSPVRRQTAGQAQHPRWHREAVAPDGDAVVHVPAGEVPQAWDKWTAAYPATRFYVGMTASRMMNGWVHPKDVYYDTSSITTHATSSTTPN >Et_3B_031112.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16372164:16372373:-1 gene:Et_3B_031112 transcript:Et_3B_031112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVAAAAESAKRIILVHGTGHGGWCWYRVATLLRASGHRTDAPDLAVSRRRAPAPRRAAFENSRTTA >Et_3A_023084.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:25782721:25783101:-1 gene:Et_3A_023084 transcript:Et_3A_023084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSFAPSEPYGAAASVSRCTPEFGLGRGFEVSSSFFLPGAHQSSSAAGSDLDEEEWQEADVLWPDTVDDARRCGGGSVWPFRGGFGRAGRHVKPAAPGVSSSPIDIPANVAARSRAGAAMDRRL >Et_8A_056851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17883952:17888892:1 gene:Et_8A_056851 transcript:Et_8A_056851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKVECCTPPSYAWSCGPRVLSLLIECPTGSWHSGFLLLGIWRLCRRGWRQGLRTSRCTALTVRGTHAFEIDDYSLHRGLGKGKFIRSAAFDVGGYSWSIEYYPDGDGSELSSGCISVFLRLLTSKVEVRAQYEFRLLDQNTGLSCSVPWGPIQTPRKKNGVVGGKSDFIKRSNLKSSAYLKGDRLVIECNVTVIKETRVLLVEGPKVQVPQSNLSHNFRKLLETGEASDVTFSVEGKVFQAHKIVLAVRSPVFKAELYGQLGKDNREFITIEDMHPAIFKALLHFIYTDSMTSMEDRDGNEKKELIKHLLVAADRYAMDRLKLICEEVLCNHVDFDSVATMLVLADQLNCSNLKDACTKYITQSNRVENSVASSNSLKSKRSFVALVLDKWKDASSRSIEAMAASSQVKTWPATRTASRCTAQTARGTHVFEINDYSLHKGLGKGKFIPSAAFVVGGYSWRIRYYPDGDPRSESADHISVYLELLSQMAFVRANYDLKLLDWTTGSASSILCDTVEFSTQVHKEKRTGSFTVTIVKEPKVGETIAVTEDRVPPKSGLPDDFGKLLESGEGADVTFQVQKEVLAAHRIVLAVRSPVFRAQLYGHLGQDNRGFITIEDMQPGVFKALLHFIYARTRCRTSRKLNWTKFMRTKTSLSISLWLQTSMHWRG >Et_2B_022755.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:4465591:4466967:1 gene:Et_2B_022755 transcript:Et_2B_022755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPDAGVVARLTHADAGRGLARPELVRRMAHRSRARRRRLLSTTTTEAHPDPAARAPVRSGLGGGGGSIVTNEYLVHLSVGTPARAVALTLDTGSDLVWTQCAPCRDCFDQALPLLDPVASSTHAPLPCDAPPCRALPFASCGGGRSSPPGNRSCVYAYHYGDKSLTVGQLATDRFSFGPNGGGGENAGDSSLSTRRLTFGCGHFNKGVFQANETGIAGFGRGRLSLPSQLNITSFSYCFTSMFESTSSFVTLGGAPAALHGHAHAGEFRSTPLLRNPSQPSLYFLSLKAISVGSTRVPVAESPRRVGTRSPTTTTIIDSGASITTLPEDVYEAVKAAFVAQVGLPPLPAAEGSSSALDLCFALPVTAALLRRWRRPAVAVPALTLHLDGADWELPRASYVFEDHDARVMCVVLDAAPGDQIVIGNFQQQNTHVVYDLQNDLLSFAPARCDRLVAST >Et_5A_042359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9093502:9111219:1 gene:Et_5A_042359 transcript:Et_5A_042359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGASVKPSAASAAAATSKPAFKPLHMPPLPAAGTRPLSLSISTRPLYRQEPLFLTAAARNDRAAPPAATADGARPVDVASPAAPEETARRAKIGVYFATWWALNVIFNIYNKKVLNAFPYPWLTSALALAAGSAIMLVSWATRIAEVPDVDLDFWKALSPVAIAHTIGHVAATVSMAKVAVSFTHIIKSGEPAFTVLVSRFFLGEHFPAPVYFSLLPIIGGCALAAVTELNFNMIGFMGAMVSNLAFVFRNIFSKKGMKGKSISGMNYYACLSMLSLVILLPFAFAMEGPKVWAAGWQNAVAEIGPNFVWWVAAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVASIVIFQTPVQPINALGAAIAIFGTFMYSQPLHLPPLPAAVTRPLSLSVSARPLYRRESLFKAAAARNDRAAPPAATADGARPVGVAAPEETARRAKIGVYFATWWALNVIFNIYNKKVLNAFPYPWLTSALALAAGSAIMLVSWATKIAEVPDVDLDFWKALSPVAIAHTIGHVAATVSMAKVAVSFTHIIKSGEPAFTVLVSRFFLGEHFPAPVYFSLLPIIGGCALAAVTELNFNMIGFMGAMISNLAFVFRNIFSKKGMNGKSVSGMNYYACLSIMSLVILLPFAFAMEGPKVWAAGWQKAVAEIGPNFVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVASIIIFQTPVQPINALGAAIAILGTFMYSQSPTSSLKMLAAAASVKPSAATAAATSKLAFKPLHLPPLPTAAAAGPRPLSISVSARPLYRQEPLFLAAAARNDRAAPPAATADGARPVGVAAPEETARRAKIGVYFATWWALNVIFNIYNKKVLNAFPYPWLTSALALAAGSAIMLVSWATRIAEVPDVDLDFWKALSPGMKGKSISGMNYYACLSMMSLVILLPFAFAMEGPKVWAAGWQKAVAEIGPNFVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVASIIIFHTPVISHVSMESVMPTKDPLLSSKASTCKSSQYSVQIDS >Et_1B_012544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3314582:3324512:-1 gene:Et_1B_012544 transcript:Et_1B_012544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHAMRLRCLLLHPPLWINSSSIGISASGIGGGCFIRRFSAVGAPRPHGPARRLYRFYGSKGGVGSAEARGAGAAAGAESSGRCFEKEHARLGERDQQEWLSGERFVTGCKQRESPFLTKRERFRNEFLRRLVPWEKATLSWQNFPYYVNENARKLLSECVTSHLRHTGVTAEYGSRLHSSGGRILLQSSPGTELYRERLVRALAHELRVPLLVLDSSALAPYDYGDDYSESEEEDEHAESEDEGSESEMEDDGDEDWTNNNEKSGESDDEDALKSVEDLKKSVDDLKKLVPCTIEEFAKRIVGTEENTASESSETPESTEEEKRPFHRGDRVKYVGDSAVSEADQRTLSNGQRGEVYEVNGDQVAVIFDPPAEKLHDGEVDVTSKEESAKPSIYWVDAQDIAHDHDTESEDWHIALEALCEVLPSLQPIIVYFPDSSQWLSRAVPKSDRREFVRRVEEMFDRLNGPVVLICGQNILAAAPKDKQPPALMFHNISRLSSLPSSLKRLVGDIKGQTYSRSSDISKLFTNSLTLPLPEEDEQLRVFNNQIEEDRKIIISRHNLVKLHKVLEEHDLSCMELLHVKSDGVVLTRQKAEKVVGWARNHYLSSTVSPSIKNDRLIIPRESLDIGIERLKEQVVTTKKSSQNLKVLAKDEYERNFISAVVPPNETGVKFDDIGALEDVKKTLDELVTLPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRMKILKILLAKEKLESDFKFEELANATEGYSGSDLKNLCIAAAYRPVHELLEEEKKGGMSNESSYLRPLKLDDFIQAKAKVSPSVSYDATSMNELRKWNEQYGEGGSRTKSPFGEL >Et_4B_039828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3396160:3398640:-1 gene:Et_4B_039828 transcript:Et_4B_039828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATIPCHIGGSASGADSRTRRRQIVRDLCARLLAEWRLNPISSTCLLFLRPTAERGWLKSLTRLHLQVVCVKGDELGCLLSTSFALEQLEIRYCDGIICLKVPCLLQRLSYPKVLGCGRLRVIDCDAPNISSFYFQGNPKVQLLLGEALKMKKLRISFSGAVHYARVELPSSMPNLETATIFSSSEVSQRKMEHVSVFLDPSDMRWMPGQRHHKLKIVEILGFSSAKSLVELTCHVVECQTSLECLKLEAHQSSFRCSVPDNQCSKCSPLPVDVLTEARRALLAIRTYIEPKVPSTVKLQVVEPCSRCHAIEL >Et_3A_025095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26885982:26888168:1 gene:Et_3A_025095 transcript:Et_3A_025095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGHSEDEHRSFAERHPNAADCIVVTLATTLFLFVFLLFSNFYIVHSFRQPEFWVKVTGVEGLDRSTDAVTAPTFNFTLRVNYEHGSLGMPICGKGSSVVVVYAGVPIAHGDLPEFCAKLDVVASVPVVATSEGLGLPDELFDRMESQRQRNESVPLAVHATEEGLCNCGARQYCMGNQLGHLSAQYSKRNVDFYIQRRCIHKAVLHPPLIIFVISSMGAGLLDDERRGSVDGQTRVANCIIGALSIVVFLACLLLMVYSCFYDFAPPEFWVKIQGVEGLDRSTDVAAEAPAFNILLRVNYDLSVGHHWPQLCGKGGSVVVAYAGVPLAHGDLPEFCVPVGVAGSVNLVATSDGLGVPDELYQRMDSQRQRQGRVPLTVHVQIDELTGSSGSPTLLWCTAILHGQPKGPFICSILK >Et_7B_054828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4727252:4728591:1 gene:Et_7B_054828 transcript:Et_7B_054828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRAPCCAKVGLNKGSWTPEEDMRLIAYIQKYGHANWRALPKQAGQGSPRRLSEAASSVLVLASSSHARIAYANGFEVHIHTGLLRCGKSCRLRWINYLRPDLKRGNFTAEEEETIIKLHAMLGNKWSKIAACLPGRTDNEIKNVWNTHLKKRVAPRGDDGAAGARKKKKQKSSSAGAEQEAAVIPSPSPASSSTTTTTTTNCSSGDSSGEQQSNATDELDPRSLDIPTMLDDAGFDFGDMLVDPTAPVPELYCPSVSVPTSPCVSSTSPPPARGGVDDLLELPEIEIYQELWSIIDGDGGSFAEAPPAAPCHGNATGADAATTSQEEGKEWWLENLERELGLWGPMEDYQNPMGPLGPIAHPDSLPAMVQDPVSCYFQPGPNPTTFQGQPEHSAVLTSNRI >Et_4A_034551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4195621:4197584:-1 gene:Et_4A_034551 transcript:Et_4A_034551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDEDQPPPKRPRASPPADQVLDNVLETVLQFLDAPRDRSAASLVCRSWQRAESATRDAVAVRNILAASAARTARRFPRARSLLLKGRPRFADFNLLPQGWAASAFRPWAAAIAAAAFPALTSLNLKRIPVTDADLDLIARALPATFLELSMLLCDGFSSHGLASIGSHCRALRVLDVVECDMAVEDEQEVVDWVAAFPPDPTDLESLSFECYGYAVSFDALEALVVRSPRLKRLGVNQHVTLGQLRRLMTHAPRLTHLGTGAFRPADGGDEGIDFGEMVTTFANSGRGRTLVSLSGFRDLAQEYLPTIAVVCGNLRTLDLSYAPVTPNQIVMFIGQCFNLETLWVLDSVRDEGLETVAMCCKKLRSLRVMPVVANEDAEELVSEVGLAAISRGCRDLRSILYFCQSMTNAAVIDMSRNCPELKVFRLCIMGRHQPDHETGEPMDEGFGAIVQNCSKLTRLSTSGDLTDRAFEYIGKYGKSLRTLSVAFAGNSDRALQHILQGCSKLEKLEIRDCPFGDAGLLSGMHHFYNMRFVWMSGCNLTLQGCKEVARQLPRMVVELINGPPEDERAEGVDILYMYRSLDGPREDVPPFVKIL >Et_2A_014923.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21891435:21892001:-1 gene:Et_2A_014923 transcript:Et_2A_014923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTPFFFDSFVTCLHVPNHGQVGDDTKHLRARAPDPGRRRPLYNGARSRRISSHPVSRFRFSRKKKICSLRSSRKGKAKANESSSRRLSLIVKLEARLISRCCVGTMEPELQAALMKVGVFVLVQALVYLILAQSSTVFSRTKSLGLRPSRSLSARRMVALLSEMPLGGEPSPVARSAPLLTRHKEE >Et_1B_014161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33349013:33350156:-1 gene:Et_1B_014161 transcript:Et_1B_014161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQHNPLVDDNSVHGGLSFGSFSILSNALSHGDHPSSTETSRQSIFMHPTETARYVSVPVPEGRVHGGNMLSMFADGMSLGKKPANNTEASTSAPRNWKSGNYLEPIGYDRVCLQIIQELGRSL >Et_4B_038931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6055673:6061427:-1 gene:Et_4B_038931 transcript:Et_4B_038931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCCLRAATAPRLLLHRGAASRCLPWPLAVFRKGFSEQSVLPVTDSVESFQGPSVQSTPRIPLYDDSISSSMLDALSKPTEGVTHADPSKSRIMLVDGTSVMYRSYYKILAQLQHGQLEHADGNGDWVLTIFKALSLLLDMLELIPSHAAVVFDHDGVPYGQYSAMPSKECHMAKGMTFRHMLYPAYKSNRTPTPDTVVQGMQYLKASIKAMSIKVIEVPGVEADDVIGTLAVNSVSAGYKVRIVSPDKDFFQILSPSLRLLRIAPRGSGMVSFGVEDFVKRYGALKPSQFVDVVALSGDKADNIPGVEGIGDINAVKLITKFGSLENLLKSVEEIEDERIKKVLISQSEQAILCKSLATLRSDLPPYMVPFKTPDLVFQKPQDDGAKFIKLLRALEAYAEGSSADPIIRRATYLWNKLK >Et_5B_045226.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1322344:1324602:1 gene:Et_5B_045226 transcript:Et_5B_045226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRLLPRHARATGSSNALSTLAAHHPFGETPLPPSARATPLAALRAQLLAGYLVSPFAFSAAVASASGNSLPALHALAVASGLDAFAVVTNSLAARYVKAGGSFPSAARVFATARARDASSYNTILSAIPDPDEALAFAARMLRSGDVRPDAVTFTVALSLAAGRGEVGLVRQLHALASRAGIAAADVFVGNALVTAYSRAGSLAAARKVFEEMPARDRVSWNALLCGLAQDGDCPAEVIRVFLRMLKDGGVRPDRISVCSVIPACGGEGKLELGRQIHGFAVKLGVEGHVSIGNVLVAMYYKCGTPSCARKLFELMNERDVISWTTVISMDGEDSVALFNGMRRDGVAPNEVTFVAMLSALPEDRPTREGQMIHSVCLKTGVSDKVVAANSLITMYAKLRRMDDARMVFDLMPHPEIIAWNALISGYAQNEKCEDALEVFLSMVKCMKPNETTFASVLSAVTAVEMVSLAYGQMYHCQTLKLGLGASEYVSGALIDMYAKRGSLEESRKAFGETVHRSLIAWTAIISANTKHGNYDAVVSLFNDMVRSGLAPDGVVLLSVLTACRYSGFVSLGREIFDSMAAEHGAELWPEHYACVVDMLGRAGRLEEAEELMLQMPSGPSVSALQSLLGACRILGNTDVGERVAGVLMETEPTESGAYVLLSNIYAEKGDWAGVASVRQQMRERGVKKEVGFSWVDAGGVGESLHLHRFSSDDTTHPMTEEIYRVAEGLGWEMKFLKNSLQVEIEGLI >Et_2A_015910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1977986:1983136:-1 gene:Et_2A_015910 transcript:Et_2A_015910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIALRRSARRIVRPLATATALSRTLQQPERLLSSQASPEHGPRGVVSGSDLGLYTPERIRNFSIIAHVDHGKSTLADRLLELTGTIQKGHGQPQYLDKLQVERERGITVKAQTATMFYRHTAASNGPDTPRYLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTIANFYLAFESNLSIIPVINKIDQPTADPDNVKDQLKRLFDIDPSEALLTSAKTGKGLEQVLPAVIERIPCPPGKCDSPVRMLLLDSYYDEYKGVICHVAVVDGALNKGDKIASAATGRAYEVQDVGIMHPELTPTGVLYTGQVGYVISGMRSTKEARIGDTLHQAKATVEPLPGFKPAKHMVFSGLYPADGSDFEALSHAIEKLTCNDASVSVTKETSTALGMGFRCGFLGLLHMDVFHQRLEQEYGAQVISTIPTVPYIFEYGDGSKVQVENPAALASNPGKRVVACWEPMVVATIIIPSEYVGPVIMLCSERRGEQLEYTFIDAQRALLKYRLPLKEIIVDFYNELKGITSGYATFDYEDSEYQQSDLVKMDILLNGQPVDAMATIVHNQKAQRIGRELVEKLKKFIDRQMFEITIQAAIGSKVIARETLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKGSSPK >Et_9A_060906.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:15950847:15951125:1 gene:Et_9A_060906 transcript:Et_9A_060906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSAWCRCGHCGAVRRLRVEGEFASCGACGKVLLQLRGDGVERARPLSQRRKQRKRGRDGRAAGRSNMTEEVGDRRGRGAESDAESTVTAG >Et_3B_031498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32128449:32130891:-1 gene:Et_3B_031498 transcript:Et_3B_031498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACTAQRATSRHQTPQPAPPSSPSFLRRLPFTMIISKKNRREICKYLFHEGVLYAKKDYNLAKHPKLDVPNLEVIKLMQSFKSKEYVRETFSWQHYYWYLTNDGIEHLRSFLNLPSEVVPNTLKKSNKPPSRPFGSGPPGDRPSCERMAADVFNPFGRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFAGEKGGAPAEFQPSFRGSRPGFGRGGGGAFGAGGSSME >Et_5B_044625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4101005:4109151:1 gene:Et_5B_044625 transcript:Et_5B_044625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDSINHFSHPGHELVKRHYVGPYCCDMCWEDLTGPAYGCGAGCDFAIHESCAAHPQTLFSPAHHAHQLVLVQTRRDAALTCDVCAGRCAAGAFLYRCPPCGFDMHPSCARLPQAVRSAHHPAHDLTLVVADGSCAACHNGAGRSWYYRCSACNVDLHVSCATARGGGGDDNNTGARPAVDVQAAEEEIQAALARARIEAMGRSAALDLLSPSCKLSSSCMQTMAQDIISHFSHQGHELVKRHYVGPYRCDMCLEDLTGPAYGCVAGCDFAIHESCAGHPQTHYSPSHPPHSLVLLQTRRDVAVAHGCAICAGSCAPGAFLYCCPPCGFHMHPRCAKLPQAVRSKRHPAHDLTLVVADGRCAACHLGPGRASSYYRCSACNVDLHVSCAAGGDGNNEQTAEQQIQAALVRARLQARGRSAALDLLSPPCKEMAAQDTISHFSHPGHELVKRHYLGQSYLCDICWEDLSGPAYGCGARCDFAIHESCAAHRQKLSSPAHHAHQLVLVQTRRDAALTCDVCAGRCAAGAFLYRCPPCGFDMHPSCARLPQAVRSAHHPAHDLALVWEHVYNVSNFSIK >Et_3A_024417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20604385:20605037:1 gene:Et_3A_024417 transcript:Et_3A_024417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATFLAAFPFSPAISSLATASTSSRGTTTRPFSLVLAGRRATRPTFSSSNAAFILCSANRGHATIGTPACAASIVEFQPQCEMNPPTARWCRISTCGAHSGTQSPTSLVLSERKRVVAVGGSAKVGRAAVLVAGDLQHPDEPMPARLHPRGELGGLLDGQRAAAAEADVQDRAVRLVVQPTQAAVLAGRDGARPENRTDWVNGRVDAFV >Et_9B_065775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11178037:11179902:-1 gene:Et_9B_065775 transcript:Et_9B_065775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHRPYRGDLRSPPSSAPDPAFHHTNGYFSSSTSPHSNAYFSPAAPKIDAFPGAGAGDRRIEIYTTAPPPHLTPPPGHTLALPPPPGWKEGRMGGGGGAVRKGGGGGASMWCLSDPEMKRRRRVASYKAYSVEGKVKSSLRRGLRWFKGKCSDIFHGWLRFKSA >Et_1A_007057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30476710:30484124:-1 gene:Et_1A_007057 transcript:Et_1A_007057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTYGRRSRSFSDGGGGGGGRGTASAHDAFDFDGDDLDALGSSASQPLPLPPAPSQESSSMWDFDEDPLSSPPPRREERRRGKGGRRGGEGWELEEALAAPTATLMEAEEYGEMMESVDEVSFALDGLRATAPRRTQRASLVALLGICASSERRRMLRAQGLVQQIIDTILVLNIEDPSCAVAAGALLFVLASDVQDNHLLDSETCIQFLLKLLNPPVSVADAKAPSIGSKLLGISKFQMLNGSNKDADSSSEDILLKVEEILLSCKEIKPINRDEKRTSRPELCSKWLALLAMEKACLSAVGIDETSDTVTKVGGNFKETLRELGGLDKIFDVMVNCHSELERLVKDTSTTARDLKEGTPLQSATLLLKCLKILENATFLSDYNKTHLLDMSRKVSPRGSPLPFVGVVISIIELLSALSLLLNSSTSETNTESSKVPLQGCSASKKDATLLDDNGKGKNSKRKSLLSNQGRQNHVSSKSGVSHITISSSSDVSLSQKAFSCSPSVSSNGPSNGSFDERQGSGIGLKLNVRKERSKANPVRGSSGWLSIKARASSDENSREMAKRHRLSENSSCDLSSGGDDPFAFDVADQQPSNWDLIDPRRKSQQKQAKRANGKMSDKCGTAEIGSQESCQPEDSHQPVSHSNVEDESNILEDCLLTSVKVLMNLANDNPCGCEQIASCGGLNTMASLIIKHFPSFDLSTDNNYQMEERLSTSQDVSSSQNSEAHQVKTKQLRDHELDFLVAILGLLVNLVEKDSLNRVRLASARVSINPSHNPDGEEVQRDVIPLLCSIFLACQGASDSEAAGTISPDDEESLLRGAREAEMMIVQSYAALLLAFLSTESMKVRGDISSCLPNNSLKVLVPVLEGFVAFHLQLNVMTRETHSAVTEVIERCRQC >Et_4B_038412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28852800:28856251:-1 gene:Et_4B_038412 transcript:Et_4B_038412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGGDAMDSRPYFCLGDLWESFREWSAYGAGVPLVLNGSDSVIQYYVPYLSAIQLYADPSRPTSRNRRPGDESDVESMDTSSESSIENDFDRLRVSSMEAKHRLENGGLQSDDGEAYASSSSGFPTFESCDLLPSSWMSVAWYPIYRIPTGPTLKDLDACFLTFHCLATPCKDHDPSMPACPGFGGINRCSNATGKLSLPIFGLASYKLRTSIWAPDGTQERVASLMQEADSWLRRIQVEHPDFRFFVSHFSTTR >Et_3A_027385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9979365:9980709:-1 gene:Et_3A_027385 transcript:Et_3A_027385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAKAAGHLTVPNVQALAQTWNESGEQVPERYIRTEQAGEEVVVASFVIPVVDLGRLLDPRSSEEELRNLGSACQLGFFQIIHHGVPEEVIRDMRRDITEFFKLPLETKKVHAQLPGSVEGYGQAFVLSETQKLDWADMIYLTVRPAESRNMRFWPAQPPSLRDSVDRYSTEVANVVSCLLRFMAKDMGVDPERLQEMFTGQPQNMRVSYYPPCRQAGKVIGLSPHTDAGAMTLLLHVNDVQGLQIRSDDGKWHAVDPLDGALIVSIGDTFEILSNGRYRSVEHRAMVHPDKERITAAMFHMPCSSVTIGPLPELVERGAQTRYKSTGYADFVERFFATKIDGRRSNLDYYRI >Et_2A_017263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32609686:32611843:1 gene:Et_2A_017263 transcript:Et_2A_017263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDSPIFTNGTMHFCTTSVAFMLSCSMTGSTTSLIFFGNDLLTKSCSHISRFPLGMYGKTIALKSVPTESTEEHKIPMTEISECFTLCKEALMHSICVKINEIAHFIWHFSSQTTGKTANRTWQVPTEIVAVKHQFCQLGAIGE >Et_2A_015087.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29319665:29320222:1 gene:Et_2A_015087 transcript:Et_2A_015087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGRNTTLLAAASFFRSGYRIPLAAAVPRKHGTPSAAAAAWVGDRGGGDEACGSAAATAAAERRERAMILESRSRARAPGRRKRCGLTPPRWRSSARGAAGRRAGERRRRSMGAEYSGRVVDAEREGDLELSAGLVVGNWERREAGEALYGDARVARWRETKTRRGGKTMNRMEGMLKKIQEGEA >Et_1B_011675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24815529:24821157:-1 gene:Et_1B_011675 transcript:Et_1B_011675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKDHAAASSTLGIAGGMITTLFLFGVAAGGMITTRFLLCTAGATWSSPLRGNESASTLLNHGILSAAAAVSRLAGLLVRSPLRTSNPCLDSVSSGFEFPAIGPYPQGASLASPDLLVLLGIKTLHPALPAHAVYKRLGMGGPGLSLILLQQHTKHKLIMETSRRNLSAAIFVVILLHMSAVTSCRRVHVDMERAQAVECYYLSGSFHGLCVWSSRCAKKCRNEPSKQRPGQYYDGGVCQDIPSRCWCSEPCLKASAGAMLYGSQGDDEQISSRINELGVSLGNDVATVSSSVNTVRKVELERRDNDKLLDRKLVMLDREEKEMIEEEEVDKLLLNTLCVMTAMAHGWPQPGLVSDSQDVRIGALHLE >Et_1B_013302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7173012:7175397:1 gene:Et_1B_013302 transcript:Et_1B_013302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEAKKAEGEAAPAAPKDVLPAPVPPAGDSKALVVVENAANKSPTEKNAQRNSNDRDIALAKVETEKRNSLIKAWEENEKTKAENKAAKNISAILSWENTKKAVVEAQLKKKEEELEKKKAEYAEKMKNKIAILHKQAEEKRAMVMALRGEEVLKAEEMAAKYRATGTGPKKFLGCFGA >Et_4B_036554.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29500207:29500407:-1 gene:Et_4B_036554 transcript:Et_4B_036554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVPCRWQLELHIEVDLTIITTCDSQEEQDKNLKFSLEFTREIVSQEREVGDDQVEVNIKWYPIN >Et_2B_020417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:245041:247247:-1 gene:Et_2B_020417 transcript:Et_2B_020417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGNEQGGTRIFGPSGKYSSRDLAAHTSLKPRKEGQQTQEEIQKRNLRDELEERERKHYSSKDKSYAEERDRRKSSSQLLLEGSKRDAEDKIVPREIDADDSDVEPRSDDESDEDDDDDDDTEALMAELERIKKERAEEKLRKERQQAEEEAKMKEAELMRGNPLININNPGSFSIKRRWDDDVVFKNQARGETKTPKRFINDTIRSDFHRKFLQRYMK >Et_5B_043465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10864049:10866210:-1 gene:Et_5B_043465 transcript:Et_5B_043465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIVGPLVGKLQELALNETKALVAVNGDIRSLRERLMWMQAFLRHADPRRRDTSNELIRVWIKQTRDAAFDAEDAIDHYYLKVDLSRYPGWTQSIIKFLAGFTTQVSIRHELSRKIAEINIRLEDIINNKEKYKIDDAASSSAIVQWKPSTNISSAAINLDDVYIPVVKRKKEEDLEKAFHVTQKGQVVIFVKGESGVGKTTLVRQVYEKSTTKAHFRQQVWASFPPHLSSSNIIQIIYQKLQRKKTLSKKRDEDVEMSLKRMINGENFLLVIDGEVSNTDLRAILAALQDEKDSKLPEEKDSKAEVNLTEEKDSKMRLT >Et_8A_058391.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:6467749:6469134:-1 gene:Et_8A_058391 transcript:Et_8A_058391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGDRSSTGEAAAAAAEAAAEACEKGVLQGRYELGRVLGHGNFGRVHTARDLRTGRSVAVKVLSKDKVVRAGMMEQIKREIAVMKRVSHPNIVELHEVMATRSKIYLALDLVRGGELFARIVRAGRVREDVARRYFRQLISAVDFCHARGVFHRDLKPENLLIDEAGNLKVVDFGLSALADHARGDGLLHTLCGTPGYAAPEVFRNKGYDGAKADIWSCGVILYVLLAGALPFPDDNIASMFRKMSRGDYRCPPWLSTDARRLIPRLLDPNPDTRITVAQLVETPWFRKASVAGPVTAAAEQQPAEPAKDVGDKDEPETLNAFHLISLSAGFDLSPLFEGYSPRGAREGGMRFATREPASGVISRLEEVAARGGGRMRVTKSGARGVRLEGADERGGPKGRLAVAADIFSVAPSVLVVDVKKDAGDTLEYRSFCSEELRPALKDIVWGAEPPPSAAAVV >Et_8A_056060.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:14931020:14932364:1 gene:Et_8A_056060 transcript:Et_8A_056060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HLRFPASNQTTPFPGAEDSSISHGQVVSSRVPRRRACAPASGLVGALAQRRLLHRRQCSGGLRRIRGPGGHGEPQPAVRRRIQLQAEVPLRRVQHQDEAHPRQLGWHRQLLLRKNPYILGFQILHHFCCWIELVTDNFNNFERILQLSSGDDDYRDEIDMEFMGNETGQPVVLNTNVWANGDGKKEHQFDLWFDPAADYHTYTIVWNPDNIIFKVDDNVIRCFKHYTDLAYPNAKPMSVHATLWDGSYWATQKGKIPIDWSGAPFTVSYQDYSVDACVGGGACPAGSDKWMSRVPSKEEWGTISWAEKNYLRYDYCTDGWRFPQGFPGECSRN >Et_1A_008894.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:17807434:17807844:-1 gene:Et_1A_008894 transcript:Et_1A_008894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIRALARFSLLDQAGKPVQSHVRTTEIHDFNGHSFGYYRIKKRWLENSEHLNDDRFTIRCDVIVTTNLPAVETTVASPPPSRYRHPTCNGILAISSSPRRAPMSLSKSQARRSRLIDTFSHFGRPFSERSCSAR >Et_1A_008973.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22872172:22872795:-1 gene:Et_1A_008973 transcript:Et_1A_008973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLPWLAWLLLSLLALYLLDLLANARSGLPPGPRPLPVIGSLHLLGNLPHRSLAHLAETHGPLLSLRLGAVTTVVVSSSDVAREIMQRQDAIFAARSVPDALRAHARNSVPWLPHSDPRWRALRKIITSELLSPDRLDELQHLRREKVHDLVDHVRCLAREGVAVDVNRVAFTTSLNLISRTIFSRDVTSLDDRGGSMEFKEVIG >Et_2A_017099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30917327:30917941:-1 gene:Et_2A_017099 transcript:Et_2A_017099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPEKLMNQIFDLKFTSKSLQRQARKCEKEEKEQKLKVKKAIEKGNMDGARIYAENAIRKRTEHMNYLRLASRLDAVVARLDTQAKMQVIGRSMQSIVKSLDSALNTGNLQKMSETMDQFERQFVNMEVADDYGLEVSVGLPQAAAHAIPAAKDKEKVDEDDLSRRLAELKARG >Et_10A_001450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3483287:3485494:-1 gene:Et_10A_001450 transcript:Et_10A_001450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQDSCPAWYHYSADFGDKDFVDMFGDGRRSFGDLFELVRQGSGSGSGSSMEMQPTLISSPPLPVPLPQEMPFEPLSENQMAAWLYPIVRGQEIIAGHDQSGGDDVNADQMVATAKESSENLRPSTEGRCSSQETSSNSSERTKTATGVRRSDHAETHKRTEKRRRRKINEKLKTLQQLVPGCDKSNQASTLDQTIQYMKSLQQQIQAMSSGCGMKPAPATVYPAVQPPYPLPPGVAAGLAMLPTASPGMLVRGHVRQGLVLGSPPAIVPIAPMLPMVHHPAVMTHVAQM >Et_4B_036948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12887419:12888997:-1 gene:Et_4B_036948 transcript:Et_4B_036948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPDCKVMKLIQRTHRSKLIVHKNYSIKCFTKEEIEEITKNYRTILGKGSFGDVYEGVLEDQSIVAVKRFIHNVKEDLDIELSVHREINHKNVVKLIGYCVEENALTVVTEYVSNGNLSDILHNDNTPTPLEIRLRIPTECAEALAYMHSQIIGYMDPLFARDGRLTVKSDVYSFGVVLLELFTRKKATTEVEGVNTVDLFTKALSTGVRGVREMLDVEIVSRNNMKILEEVAKLAGECMRMERDGRPEMIDVAERLRMQRKASQQGQERIHLLSWLRKIMPSHPSVGTIPTKMLPSDLCYHFSFPEIKAATNNFDWSFHIGEGTFGTVYHGKIKIDGRATEVAIKRHQQWSMDGVHEFHTEIEMMSKLRHNHLVPLIGY >Et_1A_007384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3458406:3460580:-1 gene:Et_1A_007384 transcript:Et_1A_007384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQDVVVSEMGIAAGAALPGPSPALLACRGAAAGAMSLRQTYLDLAAAAARSASCSWVDAMRASSPPRSRAAADVDEFTAWMRKHPSALGKFEQIAGASKGKKIVMFLDYDGTLSPIVADPDAAYMSDAMRAAVRDVAKHFPTAIVSGRCLDKVCNFVRLSELYYAGSHGMDIKGPSSNPESVLCQPASHFLPVIDEVYKALVEKTKSTPGAKVENNKFCLSVHFRCVDEKRWNALAEQVKAVIKDYPMLKLTQGRKVLEIRPSIMWDKGKALEFLLESLGFANSSDVLPVYIGDDRTDEDAFKVLRKRGQGFGILVSKCPKETNASYSLQDPNEVMEFLVRLVEWKRRSSSPMIRPRV >Et_9A_062453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23001447:23003248:1 gene:Et_9A_062453 transcript:Et_9A_062453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ADPELEAIRQRRMQELMAQRGGGNNAGQQKAQEEAKQEAEERRQMILAQILSSEARERLSRIALVKPDKARGVEDVLLRAAQTGGISEKVSEERLISLLEQINTQTSRQTKVTIQRRRSVLDDDD >Et_2A_014694.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4449790:4450152:1 gene:Et_2A_014694 transcript:Et_2A_014694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLPIAIVLALGGAAVGGPEALVLVLDVAGQSPPVDIIIVVFLIGAMTAVLLGTMLLARFIRTAVANDVAAPLAPGTERFAKMTLLVALAVVVFVSACLLTIPGGRERVVGGAARSCSA >Et_6A_047593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7886288:7886878:-1 gene:Et_6A_047593 transcript:Et_6A_047593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREYAYTLRVDEKSDVYSYGVVLLELITGRRPVGDFGEGVDIVQWARRTTDGRREAVPAIVDRRLGTAAPVDEVAHLFFVSMLCVQENSVERPTMREVVQMLSEFQHHASSSSQASPSTSATEAEKTEEEMSSSPGAGGKEPAANCYKLFPDLLA >Et_1B_014115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31250158:31250887:-1 gene:Et_1B_014115 transcript:Et_1B_014115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARLCLNKALAGQARALASLNLHSLFSSAAADTATGAAPSGGDANRREVAVKEQSAAPRRGGRWAWRDLRDFTPFRLVDGLGSALSQVAETLSRPLERLAPSRLLSGKVREDDARYRLRFEVPGLGKDDVRVAVEDGVLVIEGEKREHEAEEDGECWAASGYHASLLLPDDARAEGITAEVRDGVLYVTVPRTGERKRNVTEVKVQ >Et_1B_011569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23778579:23784953:1 gene:Et_1B_011569 transcript:Et_1B_011569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRACLLLLALLIAAAALVPVASAVPFIVLHGIGDECGNDGLASFTELLGVWSGSKGYCIEIGRGAFDSWLMPLQEQANTVCKKVKKMKELRKGYNIVGLSQGNLIGRAVIEYCDYGPPVKNFISIGGPHAGTASVPLCGSGILCILIDDLIKLGIYSDYVQAHLAPSGYLKIPTDMGDYLKGCRFLPKLNNEIPSERNATYKERFSSLENLVLIMFEDDAVLIPRETAWFGYYPDGAFNPVLPPQETKLYTEDWIGLKTLDEAGRVKFVSVPGGHLSISRSDMKKYVVPYLEPDGSSKQSIRRILSH >Et_2A_017033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30308088:30310386:-1 gene:Et_2A_017033 transcript:Et_2A_017033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVSEVKAEGVLRGACAALAAASALLVALSTQTETVLLVRKKATVKDVQALWVLAMAAAAAAGYHFLQLLKCLYLGRRFAVSPCRHSRALAWTCLLLDKACAYTTFATTVAAAQACMIALEGAHAVQWTKLCNIYTRFCEQIAGSLALGMLAAAGTAVASAVSARNVFRHYPVSPRQTYAEH >Et_4A_034235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31023507:31027244:-1 gene:Et_4A_034235 transcript:Et_4A_034235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAPRSRQSSARQSFEVSGAGAHKLYDHGHAPFSPDAYSRQASSYSARSSLVSRSGSIRAAAQRVAGVFGSCFVPRIQVKTQEDRDKSSVADYHVSIDSGTVNCQIKKRLILWSIHILSAAGSGQEESRVLTIDDICRATLNFSEKNIIKQSTSSTMYKGKLRDGSLIAIKCVRKQLNGQYLTDELRGELETLQKIEHLNLVRFLGFFEREGDCLIAVEYVGKGSLREHLDESRGNGLELAQRLNIAIDVAHAITFLHEDKEQPIIHGAIRSSGVLLTDALTAKVAGFGLAGMAASGSGSGSEATPVKGAAGYVDPEYLRTYQLTDKSDVYSFGVLLVELVTGRPPVERSRGGEPRLTTKWALQKRRGGEAVVAMDPRMRRSPASVATVERMMALAEQCVVPARKDRPSMRRCTELLWAIRRDYHRREQPRADAIAEERDDEWVIR >Et_3A_025337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28989849:28992940:1 gene:Et_3A_025337 transcript:Et_3A_025337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRPIQHVTVPQVWGNPDGIRCAKETMPLLEWHGPLWLGMDWDMFHTARNISRHAAPRVPITFVDITTMSERRKDGHTSVHTIRQGKVLGPEEQADPGTYADCIHWCLPGVPDIWNLVLYTRIMSRPALQVA >Et_3B_027463.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:19899242:19899499:1 gene:Et_3B_027463 transcript:Et_3B_027463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSKAAGSGKGGSEAEASASAQSSPTSKLRAGPSSSALRWKKPRGNVLAALFQRVAYHLLWLVESVVVVARLLVFFVRFGFKL >Et_2B_022953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9293082:9299458:-1 gene:Et_2B_022953 transcript:Et_2B_022953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMRCAAAAAPCSLAALLLRRLSSSSPAYSIRRHASSAFSSQSTTTSAATWSPHLPLSCRPRARGFAGWASAPGSAGPAESPATKALEAKIKEQLEADAVIVVDASGDGRHVCIDVVSKAFEGKSAVNRQRMVYKVIWEELQSTVHAVDQMTTKTPDEAASNKVPLRVVVKSTSASFDKSTKICKVHPCSMGLKSNHHKVWGKDLSNKT >Et_2A_015680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16918107:16920502:1 gene:Et_2A_015680 transcript:Et_2A_015680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRPLLGRGAFLSSSVHAAAGVLVVAALLLLTLLRLPLSISPPQHLQQQQPPQPQSCDPTSPLDCADPQLFHLMMRSAIDAFPAVHFSRFGRPVPGDPPSASCDMAWRARSDSDSPTKATTKDYRRFAVARDPHTCAYSVLSIGEYHSGPNARKPRRGGTNATIAPPPPPALSRDQFAGGAYLAYLGGGDRCKPMPHYLRSLLCALAEARYLNRTLVLDLTLCLSASYADAGTGYMPEEGKRLAFYVDVDHLHSQVPIIEDRQFWAGWDRWGIQGQLGARLVEDTRIAPIKLSKARDTLIVRKFGDVEPGNYWYNVCEGEAKRVLRPLRQAIRWAPSLMRIVDDIISRMQGDFDSVHIGGSGEDLTRRIEEGVDGGRQVYVAGAGSNTVLVQALKAKLNVHYLDEYEDLWVTDSKWFLEMKRLNGGVPVEFDKYMREVVDREIFLKGKKKVEVLRKDIRNYAEAGVLLGNMATVGLGFQQASLMLIGSLCYLLGHSGTVGLNAETYQ >Et_1B_011291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:205750:209808:-1 gene:Et_1B_011291 transcript:Et_1B_011291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGFLLGFLLGLLALAALELAAVLLLIRHLRRKQATAGAPPPPGADELPGERPFPYEKQGSLWILEPEKVPKATNERSSIGGPKETKEKKNIVEVFPAKKRATIKGHSLTLSSPDGSQTTIQLLNCTVLAVSASSMPSRKWAKRYPIKLESKEYEIYNGSKVCYLYTDTSWEKESWCKALRIAATADKEKLNWHAKLSEEFLNYISSLNSEYPCFLKPSALSAEDQEVMDRAVKTDGSSKVRLFLKKLAKKASTKAPLESKASSGSSGQGEKKILDKLRSYQGAPFIEALIGPQEDKPGSSSLQDTVKATAPAAALSNNGQLSALPDVNADDRVADEGTLCWNLLSSRLFFDAKMSDEINKAIKARIQKTLSNMRTPAYMGDITLSDFSLGKLPPYVHAMRVLPLDLNELWAYEVDFEYSGGILLHIETRLEVQEPELQKDIMKTSFGAESEGDVNSDLLESIEQYGNQFRGSQNSVTLAEEKDEADASSQSKSTGSGWTSTYVSRLKNILHSIADHVSQVPLSLAIRISSVRGVLRIHLKPPPSDQIWYGFTSMPDLEWELESSVGDRKITNSHIATLIGNRFKASLLESMVLPNCESIPMPWMLADKDDWVPRKDAPFIWLHHEPAEMRNHGAAAASAQPEEAVLKDDGSNKNAMPSLPESSDGSEESPKTSTEEPIEKPVAEASRAQPLVAAAGESSHSDENKELRKPLLVTEKHQEDGSESRVGSPPYTSLRAVIPAGEVSAAGVGVGEDAKRKSGRRARMMDFGKRMGDKLEEKRRTIEEKGRHIVEKMRENARTNSMERTSSS >Et_10B_004217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19413819:19414787:-1 gene:Et_10B_004217 transcript:Et_10B_004217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECKMTVLNENNRDGATRRRRPRSRATSLESVEDAFSQRLSHLINLAGQGHEADIDSPPVSHRQASFTSTPNRSQRGHASDDESDGLNYVDSVFGETESNFSFGDYGGESDASLDQHARLGREIAIQLDNESYLNTDTDIDP >Et_4A_035483.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:26225152:26226639:-1 gene:Et_4A_035483 transcript:Et_4A_035483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYIPKATFAFCHSYLTSRLLPSAASAAAPLVPVQALLTTAGLLPRYPDLSLLALNTLLHALSRRAACPAHPRLALRLLCLMLSPASPLPAPDHLSFPFALSAAAAEINDPSSSSGAGAQLHALLVKNALFPADHYVTTALLQLHAPRPDLARRVFDELPRREAIHYDLVIGAYARAGMAAEGLPLFRAMFEDGVVPDAVVLTTAVAACAQAGALDCGAWVHRYIERAAPGLLGDAFVGSALISMYAKCGCLEDAVRVFDGMPERNEYVWGTMVGAFAVHGMAAQAIACLERMAAEDGVRPDGVAVLGALSACAHAGKVEDGLRLLKEMRRRYGVAPGHEHYSCTVDMLCRVGRLEDAVGLIETMLMTPLASVWGSVLAGCRNYGNVELAEVAARELERLGAGADEGVYVQLSNIYLDANRKDDARRVRKLIGSRGIKKVPAYSAVEVDGEVSSFVADDQVHPRRFEIWDVLRLLAHQMGPIPDEEEETFFQVS >Et_4B_039552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21592775:21593549:-1 gene:Et_4B_039552 transcript:Et_4B_039552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAGCSDDYEYLIGNAPGWQQFDIRWPANELDPIALNYTSRPKAHQTTTPHRRAGRGRRQESGQHGVMESDLDATRESSPWPSTGWLHTGDLAVRHADVRPRPDQGTGPRTSSFPGGENISSSIEVEAVKHLRPPHDAGRGGRGRGQAGRPLVKAGETPCAFLKLKDGATSATEADIIDSCRERLPLVTWRPKTVVFQDLPKTSTGKTHEFLLRDKAPPAMGSLDHKDCQ >Et_4A_035677.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3565942:3566277:-1 gene:Et_4A_035677 transcript:Et_4A_035677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLAAVLFVLAVVAGAASAGFIDLPDDMPAGTGATQLGRFAVLVYNLNRGTKLRYVSVSGSERHPDKGGVRYQLTVTAANHAGVTGNYKVVVWGVPKTYQWMLLEFKHIN >Et_1B_010318.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7121127:7121258:-1 gene:Et_1B_010318 transcript:Et_1B_010318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETQWLPRLLSTCREEAKLWRCRMSRDDDEIASAWCRLFSPM >Et_4B_038461.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29151520:29152128:-1 gene:Et_4B_038461 transcript:Et_4B_038461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ACAHSWCRACRALHRPNWLNLTSHSARQRFFDQRHRHCQDSLQRVAKKQGC >Et_8A_056550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12146226:12148092:1 gene:Et_8A_056550 transcript:Et_8A_056550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGCPAQSFPEEAMASAVPVWEHCKLSYNDTIAFPASNSQEQTCSVVQKQEQNAPSSPGMPSCKAEKQIQKIIIEVRPEFRWQQA >Et_9A_062788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:550782:552603:1 gene:Et_9A_062788 transcript:Et_9A_062788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAACLRVACTFTSPPIRAPFHVSATRRGPRRAGLAVSAAAGGSPPTVLVTGAGGRTGQIGYKKLKERAGQFAGRGLVRTEESKGKIGGGDDVFIGDITDPESIASSIEGIDALIILTSAVPKMKPGFDPSKGQRPEFYFEEGCFPEQVDWIGQKNQIDAAKSIGVKQIVLVGSMGGTDINHPLNKLGNGNILVWKRKAEQYLVDSGVPYTIIRAGGLQDKDGGLRELIVGRDDEILKTETKTIARADVAEVCIQALLFEEAKFKAFDLASKPEGEGTPTKDFRALFAQVSSRF >Et_1B_012461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32190217:32192674:1 gene:Et_1B_012461 transcript:Et_1B_012461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICASSSKRQEPEQDSDETVVYVMDEQDGGGGATAAGRRVASLYSQKGKKGPNQDAVILCQGFGMEDGVFCGVFDGHGRCGQFASKLMLEEWREACASAFEAMDAELKVQANGNVDCNFSGTTAVCAIKQGKDLIIANLGDSRAVLATISETGYLKAVQLTTDQKPNVPEEAERIKRCNGRVFALKDEPSVLRVWLPEEDCPGLAMARSLGDFRLKRHGVVSEPQVSHRRIGPGDLFLILATDGVWDVLSNEEVVSIVCATPRKQHASKAVAEAAAQRWRSRYPSSRVDDCSAVCLFLRDQDWAAAASRR >Et_8B_060278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8586268:8588592:-1 gene:Et_8B_060278 transcript:Et_8B_060278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KTWVKHLVDQSTVAIKESFGKFDEILEPGCHFLPWCIGKQIAGYLSLRVQQLDVRCETKTKDNVFVNVVASVQYRALADKASDAFYRLSNTREQIQSYVFDVIRASVPKMNLDDAFEQKNDIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHVKRAMNEINAGKLHILIKRAEGDAESKYLSGLGIARQRQAIVDGLRDSVLAFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDIAAQIRDGQLQARML >Et_7A_051961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3255506:3258675:1 gene:Et_7A_051961 transcript:Et_7A_051961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQVGLCRWNYAMRSIHRTAKANVRSFSSQAKKLAPATEKKPADKAEEGLRTEMAGAAKASWMVAITVGAVEALKDQAGLCCWNYAMRSIHRSAKANARSFSQATKLAPAPEKRRADRAEEGMTTVMYLSCWGPN >Et_4B_037355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18880225:18918099:1 gene:Et_4B_037355 transcript:Et_4B_037355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWPAAADAHAGLLLLPCYTPPSPWPRIGDSLKGPSAELAQGLPKYRAGPVVDVPKFDMASSGSRMKKSCDCCKRYLEHLEENKQNRTCFLRRMAANFKHSTIVPNGFLKHFAGKLSGTIKLESPNGSIYDVEIAERYTKMVFRRGWETFINTHHIKEDDFLLSSTLRILFLSSEHDSTESSRRQRNARWEKGHPSHSTSSSSEDSGKDFSSENKSPESDDLQMAQGADYVLSRGNSLSEAQKERVLALIQEIKPDITVFVAIMRKSNVQLPNTHLVISKKYAFTHFPHKSMDVTLQRPGNSKKWQPRFYKRNDNRLCMLKGQWLDFVCDNHVQKGDICLFLPIKGRRKFTFMVYLLRTSASGSSRVETGFERTGPHYGRSSTKMASTVHIKEESTEEEYQESDSDSLSEPPYIVALKSCLSRSQKTIVEKRVRAIQSEFPAFVGIMTKSTIGDAINCRIEFGVRFAARHLPDRGQTMILHCMRKIWKTKMVILPGRRRWFLRQGWPTFVWDNGLRIGDICLFELKKNERKPTMEVHIISRAGFQMKKPCDCCKRYLNHLDEKNQNMTCFLRRMTGNFKHNTIVPNRFLKHFTGKLSGTIKLESPNGCLYDVQVMDRYDKMVLGQGWEAFIDVHHINENDSLLFRHIKESSFEVSIFDPDDCEKMFSCAGIKDTQSVQERSVDSVDTSSSSLHDTTESSGSEKSTGSDKGRHGKTASMAAISSSSDVSGTGNSSESESFESDDLEIPTGTDYVISSRSCVSEAQKERVIAFIHEIEPEVTVFVAILRKCHVQPPVPSVAITKEYAFAHFPHETTNVTLQRPGTSKKWHPKFYKRKDRSMYMLRGKWWLDFVRDNNVQEGDICLFLPTKGAGKFTFTVHLLRATATDCVGGTGFQRVGTDPGRSNNAERASEDIKEEPTDDYSFLYALKLSAGEDAWNGRLENEDFGRSSHSQPSYILPARNHLSKSQKKIIVKKVRTIQSELPIYVAFMSKSSVGDPQRSMLELGSRYATAVHLPSRGQTVVLKYTRKIWKAKMVVRCGGRRQYLSGGWSKFVRDNGLRIGDICLLELKKNERKLTMEAHIIFREQALCVKCVDMLVSNIFCYVAETARVLPRTSIYCLDKVVFDASLADWSCHECLQSHGELTCSRSLEKVSSERPINYPHFGSTVHQPITKRVESGRASRPCHDRQTNEHASVICKNVEVNCAKDNKTMVGVHKNKDVLMLEREEEEEVEVQQVCNASNELQQRTMAVNAPESFTLQNNTIDKVVPYSPNDGCEKLFSCDGIKNIASFRETNTDSLDISSTSQHDKSESLKSSEISVDQNGSSYHHEKIVKMAGTFLSSQESGEDSTSENESMESGNLQASPGADYVVSRTSYLTEAQTEKVKAFIKKTKPEITAFVAVMRKGNIQPPGPCLGISKEYALAHFPHTSKNVTLQMPGKSKKWHPIFYKRDESRKNMLMGQWLDFVRDNHVHEGDICFLAPEKGGGRSMFTVYLLRSTLAHSRCGAGFLRGGPCLGGSIANIASEVRMMEKQNDGLYSNIRKLTVPYLWPKLSAGQHISSESGMHESSCGSLECEVSDDPSDPPYIVPCRSHLSKSQKKIVEQKVRAIKSKVPICVAIMKNNNVGVAQRWMLELGSRFASVHLPAKGQTVVLQCGRKIWETKMMYHTGRRWTLNGGWPNFVRDSGLRVGDICLFELKKNEKKLTMRVHIIPKEQTLCAKCAEILVSNIFWYFVQSAGVLLPTAFSFASILVMLRGHRQQRIHFLYCLDKVVFDASLTDWLCYECLQERGEITGSRSLEKIWERTRDGRDEVFSCAAIETSGHFIDTASSCRYGTTESSETSKRFTKCQKGSSCRHGKTVIMASTSSSSEESGDDTPYENESLESDHPQAPLGADYVLPRRSYLSEEQKDRVIAHIQEIKPDITVFVAIMHRTHVQPPSASLGISAEYAAAHFPPKSTNVTLERPGKSKKWHPSYYKHNGACMLRGQWLDFVKDNHVQEGDICLLFPTKDGRKLWFTVHILHATAADSRGGTCFQSVSSCGGVHVPPESDAHGVSTGSMEDSGDDTPTENGSLESDDFQAPPVADCVLSLGSYLSEAQKDRVIAHIQEIRPDVTVFVAIMHKTNVQPPCASLAISAKYASAHFPHESTIVKLERPGKRKKWHPSYYKHKGACVLGEQWLDFVIDNHVQKGDICLLFPTKGGRKLSFTVHLLHATAADSRGGTSFQSVTLCCEPSTGLNVPPENGAHGISTGSMEDSGDDTPSENGSLESDDFQAPRMAEYVLPLRSYLSEAQKDRVIAHIQEIKPGITVFVAIMQKTHVQPPCASLAISAKYASAHFPHESTNVTLERPGTSKKWHPTYYKHKGLCVLRGKWIDFVKDNHVQEGDICLLFPTKDGRKLSFTVHLLHATAADSRGGTGFQSVSSCCEPSAGVHVPPESDAHGISTGSMEKDCVDTSKPPYILSCKNNLSEPEKKIIEDKVQAIQSEIPIYVAVMSKRDVIGSCELEFGRRYAVANLPGTRRSVEITCTGRTWKAQMSVRSSRWFLCGGWSTFVRDNILRVGDICLIIPKMNGRELNMMVRIIYREDL >Et_1B_011034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16890944:16894792:1 gene:Et_1B_011034 transcript:Et_1B_011034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEAAAAAGVEPFPSVDKCDASGRGTHAVAADLDGTLLRSRSAFPYYALVAFEAGGVPRLLLLLLLAPLAAALRLLLPAASGESAATRVLVFAATAGARLADVESAARAVLPRFYAADVHPGAWRVFSACARRRVVLTSAPRVMAEPFLRDCLGADAVAGTELRAWRGRATGLVGGGGVLVGTRKAQALREMFGDGDAPDVGLGDSRSDYAFMSICKEAYIVPRAPVEPLGMDQLPRPVIFHDGRLARRPTPLAALLVVLWFPVGFALACLRIAAGALLPMPLVYWAFWALGVRVLVRGAPPPPRAERAAGRSGVLFACSHRTLLDPIFLSAALGRPVAAVTYSLSRLSEFLSPIRTVRLSRDRATDAAMIRELLAEGDLVICPEGTTCREPFLLRFSALFAELTDEVVPVAMENRMSMFHGTTARGWKGMDPFYFFMNPSPAYVVTFLNKLPPELTCAGGKTSHEVANYIQRLLAATLSYECTSLTRKDKYRALAGNDGVVAAKPPLPAALKKANGCH >Et_4B_038009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25404161:25405028:-1 gene:Et_4B_038009 transcript:Et_4B_038009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCPSGAPALFHGISDVSTGGIPGASWNTSCLLGQPVGARWSEATRLYHVARIRCCRGPEAGGSDAELLGDLKRPYHGPIADDPADLCAPGRLSPTDELLQVARNVARQPVDELGKVLAVVAVGQPVRDGHHMDAVERLGCHQFRNIWAASPCVYGGWAELILQIWALHVPKSWARPT >Et_3A_027360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8963267:8965776:-1 gene:Et_3A_027360 transcript:Et_3A_027360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAVESRGLLPWLMDRIRPEDMIIRIGPGKVLPITPRIIRTVVGVPNGGGNMRLYTWQQAVELKKQLIRDLDQDYLTDDDPIDITNLQEEILKGKVDQLMLRCFFMILFNRLRFPTSSYFIGSSDIKRAMEPETFGGIDWAQAMFNDIQLAVRRWHDRNKKQKTQSIYSCAIFLIVYYLDNLHHNLSLPDWITTPRISLYDKKQIEALTIADRIREKDGTISYGLVPFKSWTSTCYAAAVIPDDGHGAPGEPPAMSGQDIPRLRDLLSQQLGLLTGRPLRLLRDFFGQFDTIVAENNRAIEAAQGRIVRAQLTLAEQCRPLIEELIADQRSENLHKPVAEASRMERNEIREPVEDYQSDCEEDGTGEFDSAHTQDDVSDGEHVECGAQGNTNFYQEPDSTQDMANLNGLIDAIYEEAADNNSQNAQREEPAKEAGEQTLKTPSHLVHPATRELPGEEMGYHIQDPNSHAQREEPAKEADSLTYK >Et_10A_001189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2272738:2276604:1 gene:Et_10A_001189 transcript:Et_10A_001189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAALRRAAPLRRRAVSALAAAVLQQQPAALGAVVPRPPLPAAATAAATWFHSSPAWLGFRETGSAGAAARPEYAADEGSFFEEEKKPAAAAGDAGLEISKLGISPKIVDQLARKGITKLFPIQRAVLEPAMQGRDMVGRAKTGTGKTLAFGIPIMNAIIKHNEKHKPGRFPLAIVLAPTRELAKQVEREFVESAPLETICVYGGTPIGQQMRKLNYGVDVVIGTPGRVIDLLERRALSLSEIQFVVLDEADQMLSVGFDKDVETILQNVPAQRQTLMFSATMPPWIRKLTQKFLKNPVIVDLVGEDDQKLAEGITLHSISSENREKPAVLGKLIEEHAKGGKCIVFTQTKRDADRLSYTMSRSFQCEALHGDITQAQRERTLAGFREGRFNILIATDVAARGLDIPNVDLVIHYELPNSSEIFVHRSGRTGRAGKKGTAIVMYNYQQTRSIRVIENDVGSKFNELPKINVEGSDLLSGGGFDSFGGGGFGRDGGGRGSRGRSGGFGRSGGGGFGDSGFGRSGGGFGDSGFGRSGGGGGGFGDSGFGRSNGGFGDSGFGRSGGSGGFGDSGSGRSGFGRSGGFGDSNSGRFGGGFGNSS >Et_6B_048922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15555471:15560761:-1 gene:Et_6B_048922 transcript:Et_6B_048922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKESVQWWEERQLYILVLGSLFLQYFLFMAAILRRYCKNPFFRFTTWLAYQGSDAVAIYALATLFNHQKKREWVSMHSGNAGLEALWASVLLLHLGGQDGITAFSIEDNELWKRHVLTAGSQITVAIYVFWKSLPVDTRLFQATILFFVPGILKCLEKPWALNRASIYNMADLLSSQESDKRPCYSPDRGSNYMKGEGENEESKHIIENPYKLFGDLSCPFWIRLENLKYMSRQGGKLLPRLYFHLFKAFDRFYTKSYVCEGTRGYVIRTAAVVLTFLAIGLFHTSHQEDYSNTDIHVTYILLCCTAALEWISVCFRGRLDKFISMIRLPLPNHVPQYNLLRYMARRMKRGVRIASLAGCTDLLDRFHHMEPINDRSQLIELVHEHLTLGWKEFITDLNSYREFNDARGQLKLMKATEGMDTDCRNLHRSVRRPFDESVLLWHLASDFCLYKKKKSSVACETRDACKKMSNYMAYLLLVKPEMLMTGSRSDLLKVAYCDLKGLVVAKDPDVTSEIKLTKRIVSTIEQEGYNVTRTRIDKAWVMAQEFMSIGEAKGEEIMWNVIQGVWVEMMCFSAGRTRGYLHAKSLDEAGGEFLSHIWLLQWYMGMDTFAVRMQRTELQEDGDMSAPVLPEPSLQDLIAIFMQEAPRSGWTTDLRTEASAQRRRRDASQFHSEMLLEGKSPYSK >Et_1B_012273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30359079:30360617:1 gene:Et_1B_012273 transcript:Et_1B_012273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMNEAESAGKKMLVRVREFDVGRDLRAVEELERRCQVGLSGDQDAAENNGGAQKKTRSSKKKGMSLYVEQIDDPFSRVRHAPDHVILVAECGEEEEVVGVIKACTRMVSRGKKKHHSFKQQCQFVKVACLLGLRVSPSHRRLGIATELVRRAESWCASRGAAYATMATTASNAASLSLFAGRFAYAPFRRPVFLGHPVHRHRARLPRSHRVLRLPPPLAAAAYAALLPPHAAEFLPADMPALLAHKLTLGTYLAVERGGDPDLPPSFALLSVWDATRSLRLRVGGAPVLLRASLAAARALDRHAPWLQVPSVPDIFRPFGTYLLYGLRMSGPEGPALLRSLCRHAHNVARKNPACAVLAADLGPEDPAAAAVPHWPRFSCDEDVWCIKKLGAANGRADGDDKEDDWTTSPPAGLLFVDPREF >Et_10A_000209.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:17906673:17906708:1 gene:Et_10A_000209 transcript:Et_10A_000209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFWSLIVLQ >Et_1A_008374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:776992:780902:-1 gene:Et_1A_008374 transcript:Et_1A_008374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METPISSPVGDANSQGAGAGPGETDGSSMKKVEDDESFYVMRGYPNATSYIEAKQKEHFSKYSSKVHPKLLHILESDSFAKFEQLCGGWSFLMGRRDLIHPEVLVSIVSKNALRCARAALQGGGLFRGRRADPNGRHRYGIAPLHMAAETFSVDMLKLLLRHGASANLRTQGDHVIEGLLPLHVAVENASMHKFLEDHWEDGHPLDNLISLLCLPEMKMYLDTTRLIAKHTDNIIDEVWNYINDGKLIQLAILLLAAQKQLRDPINGGRSKATLNGFDMLRLRTFEAVGSLHRQVIAMTNAGKNGAALKKLKNKKEALITSENLVGIVHNAGEALERYIQTHPEVPHEEILENVSSILNSNGVAPSGKGIDTGNLKCYQYSVPTLSDTSASRVDTGEPNEADTTSSVNTEGNNKMLIASCKQILWKAPPKGLAIKDVRNKFFPYWKSVLSARMQVYIVPPCELSMKDRKCMQGTKPNKGVHGLNKSGGNVTMISLPQSAIRYEWRRQLCTIALASLKLLRRT >Et_10B_003600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3771421:3773255:1 gene:Et_10B_003600 transcript:Et_10B_003600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAEAAEEADAADHPAPRPARGRGADDADGRANAKKDVLQEIIRQVVDVGRRVPSRFSFASARHGELAWGDVSGFPMRLAASFTYRSEAHAHQGYLKRSISMGTKRACTGAAATHAYARTSISDLPDDLMECILLRVSSPVCLVRTAATCKPWRRAIAGDVFLRRFGSLHGPHLLGHFLPMKSYCKVLEFVPTAVDKNNNIKIESIELGFLSDSSGSRWKLADSRNGLVAFINLSSGIVVCDPWTREFAVLPPWTRVQGSSNCLGAFLLDADDDTGNSVLMSRFRVLCVRLVKDFDNGRYVAMSYLFTARDNRWFMLSSMDAGNVVLARLLKYMCPADENFVGRVAGSIFWSAGADGTVLELDESTGEFSVFTLPLPARVYSRFRLRVIDGDACTLCLVRIVDNDLEVLTRVRGGGGASTVDKRVYLTSLVDDLDVKDWNFAWRFWDTASVVCPGNVVLSPDCCFRMFSVDVNAMWMQSVELNGVDGHVRVVP >Et_2A_015719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17231348:17235169:1 gene:Et_2A_015719 transcript:Et_2A_015719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKCAAAADLPSGRPRKRARLGWDVAPATEAQIRTLCGQEVGDVASLLLSAHPSDHTCPSLLPKGVAQNASPPWREDDKDGHYVFAVGENLTSRYKIYRKIGEGTFGQVLECWDRENKEMVAIKVVRAVKKYSDAAMIEIDVLQKLARNDADGKHCVQIRNWFDYRSHICIVCEKLGPSLYDFLRKTGYRPFPIDLVRQIGEQLLESVAFMHHLQLIHTDLKPENILLISSDYFKLPEHKDGSFSRKLPKSSSIKLIDFGSTAYHHQDRSYIVSTRHYRAPEVILGHGWSYPCDMWSVACILVELCSGETLFQTHEDLEHLAMMERVLGPVPRHMLERADHHAEKYVRRGRLNWPEGATTRQSIRAVLKLPRLQNLVMQHVDHSAGDFIDLLKGLLAYEPSKRLTAEEALSHRFFTRYGDRRSL >Et_7B_053911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13270358:13274553:1 gene:Et_7B_053911 transcript:Et_7B_053911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSSSVCGGDAGMRSVVWFRRDLRVEDNPALATAARAGGEVVPAYVWSPEEEGPYFPGRVSRWWINQSLKHLDASLRRLGAGKLVTRRSADAVVALLQLVRDTGATHVYFNHLYDPISLVRDHRLKEMLMAEGIVVQSFNADLLYEPWEVVDEEGQPFNMFEPFWNRCLSMPYDPPAPLLPPKKINSGDLSMCPSDELIFEDESERGSNALLARAWSPGWQNADKALTAFLNGPLADYSVNRKKADSASTSLLSPHLHFGELSVRKVFHLVRMKQLVWSNEGNHAAEESCTLFLRSIGLREYSRYLSFNHPCSHERPLLAHLRFFPWMINECYFKIWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYITGSLPDGRELDRIDNPQLEGYKFDPHGEYVRRWIPELARLPTEWIHHPWDAPVSVLQAAGVELGSNYPLPIVELDAAKVRLQEALSEMWQLEAASRATMSNGMEEGLGDSSEVPPIEFPEELRMEVDRQPAQATANMLMTARGREDQMVPTMTSSLNRAETEVSADLGNGEDSRAEVPSHAHFETPVEMEGMVRNTEGDAARVNGVHRQNIFQQPQHRRLALAPSTSEASSSWTGREGGVVPVWSPPAASGHSETFAADEADTTSRSYLDRHPQSHRMMNWSQLSQSLTTGWEVESSVQPNLIG >Et_3A_025263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28296840:28299537:-1 gene:Et_3A_025263 transcript:Et_3A_025263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPPPACSHLRVAAAGPIFTASTFISVPRIPGFLPYPRAASVRCPLPAASSQQAVASASIDIPDEYVDEMDAVNIAQDVTQLIGKTPMVYLNRVVEGCVANIAAKLEYMGPCRSVKDRIGLSMINDAEEKGLISPDKTILVEPTTGNTGIAIASVAAARGYKLIATIPSSIDVERRVLLRAFGAEIVLTDPSKGLKGAFDKAEEIALRTPNTYMLQQFNNSANSEIHFQTTGPEIWEDTLGTIDILVASIGTGGTITGTGRYLKRMNKDIKVIGVEPAETSVISGDNPGYIPSVLDVQLIDEVVNVSTEEAVDAARELALKEGLLVGISSGAAAIAAINVAKRPENAGKLIAVIFPSFGERYLSSILFRPLYDSVRRMRKK >Et_3B_029853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28543079:28544515:1 gene:Et_3B_029853 transcript:Et_3B_029853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGVLPPGYRFYPTEEELICFYLRNKLDGLREDIERVIPVVEVYAVDPWQLSEIHERRGAGAGEGEPWFYFCPRQEREARGGRPSRTTPSGYWKAAGTPGVVYSADRRRAIGMKKTMVFYRGHAPSGTKTTWKMNEYRALHYHDASGADGSSSASAHAAAPLNLPPPQLRSEFSLCRLYTRSGGLGQFDRRPLAAAASAGEDPGPSTAAAASPDDDDGSGGSMQPMQLMERGGTNPYGEDMGTLDALLYWPGD >Et_5A_040645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10824828:10836699:1 gene:Et_5A_040645 transcript:Et_5A_040645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PKIKSRLKNFLSKVVMFGVLVQMYIFVRSPTGRTIRLRVQPSDTLYTVKEKTLKQHHLVFDGVQLEDDLTLADYDIQHEATLDLQEKMQIYVVETITRRTITLEVDSSDTIDSVKGQIKDMEGFPKNGHRPIQQNIMYDGIRLQDDQTLANYGIQNGDEVYMILCQCGIPPDQQRLIFAGKQLDGDRTLADYNIQKESTLHLIFVKTLTGKTITLEVESSDTIDTVKAKIQDKEGIPPGQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGGRGGYPNMTVSWELINLARKTNEWKLVCRHCYARLPLRSKNCRKKKCGHSNEVTKPLFS >Et_1A_007941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39598897:39601655:-1 gene:Et_1A_007941 transcript:Et_1A_007941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYYSGSSPAYCAAASGGWSYDSLKNVRQISPAVQTHLKLVYLTLCVALASSALGAYLHVVWNIGGMLTMLGCIGSIAWLFSVPIYEERKRYGLLMAAALLEGASVGPLIKLAVDFDPSILVTAFVGTAIAFACFSGAAIVAKRREYLYLGGLLSSGLSILLWLQFAASIFGHSNSSFMFEVYFGLLIFLGYMVYDTQEIIERAHSGDMDYIKHALTLFTDFVAVLVRILVIMLKNASDKEEKKRKKRS >Et_5A_042885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6212601:6212893:-1 gene:Et_5A_042885 transcript:Et_5A_042885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVWVDYDGKARQLDVTLSPVKVPTKSKKPLISMTVDLSVMAHPTIDGPAPPVDLSKLPFLPRLGPKPQSKVLYVVVPLTTALLCLLPQR >Et_2B_020239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18243662:18248694:-1 gene:Et_2B_020239 transcript:Et_2B_020239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTKRQLAKIALLLLDSWRALNWVVKNAAASGTEPWLRDRGDLSRLFLAGDSAGANIAHNMAMRAGTEEGGLDGGGAAITGVLLLDPYFWGKKPVAGETTDAARRRQYEETWSFICGGRYGIDDPVVDPLSMPASQWRRLASSRVAVTVSGLDDFRPRGLAYAAALRDSGWGGEVEEYQTAGEGHVYFLDKPRDPKSVKELVFVAGFLRGESNSSGTPSTPLVPPPHGQLPDPSSEVARHYFPVSPNPAMSNNDAGGDELIHDAPNFIRVYKSGRVERFLPIDFAPPSTDAATGVSSKDVPILPEAGVSARIYLPGKASDGGHGVKLPVLVFFHGGGFCLGSAFDAAVHGNANRLAAQAGAIVMSVEHRLAPECPVPELYGDAWAALQWVAAHAGGQGPEPWLTAHADFGRVHLGGESSGANIAHHAAMCAGAEELGHGVKVNSLVLIHPFFLGGHSSETDEMGMALLRELVRLWPVVCPGTSGCDDPWINPMAEGAPSMSVLGCRRVLHVRPGLPARAGVPGDEMGMALLRELVRLWPVVCPGTSGCDDPWINPMAEGAPSMSVLGCRRVLVCVAGKDAMRGRGRLYCEELKGSGWCGEVQAWEADGQGHGFQLLWPTSAEAVAQVRVIAEFLSR >Et_5B_043886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17122617:17126846:1 gene:Et_5B_043886 transcript:Et_5B_043886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSAVTRSPILLHIHRLPRVSVAGIRVGRAGRSRGSVGVRVFARSSQAQDFSTRIQDRASELPKLVEDLLQTSISTGPRGAFRMAQGIQAVLGVGGEWLNDFSKTANTSAGIPAQMQLGLLSPLYLRRLFERMGATYIKLGQFIASAPTLFPAEYVEEFQNCFDRAPSVPFDVIETILREELQRPLDSVYEYIDPVPIASASIAQVHGARLKSSQKDVVIKVLKPGIEDTLVADLNFIYVVARVLEFLNPELQRTSLVGIVKDIKESMLEEVDFRKEAANIEAFQRYLEAMGFDRQAKAPFVYQHCSTKRVLTMERLYGVPLTDLDSIRSLVPDPELTLVTALNVWFGSLISCESFHADVHAGNLWLLRDGRIGFIDFGIVGRISPRTWAALEVFLASFATEDYDAMASALSEMGATGTDINVNEFAKDLQKIFSSIQVRVSESYGLKFPREFALLMKQLLYFDRYTRLLAPSMNMLRDDRINIASNRATRRVDQFQ >Et_6B_048251.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18651711:18652524:-1 gene:Et_6B_048251 transcript:Et_6B_048251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTPATTTNPVVKSVCGKTDAAALCESSIASLPSPPAAVDGAGVLKLAMEAVRLKVVDAINAATDRMHAPGTDAASRNALNACIESYDDIKSSIATVADALDAGDQGTVTTNLDSLGTDVDTCNEGLKELHVPAIMADHNGYLAKLCSNLLAIRSVIQH >Et_3A_026618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10681489:10686075:-1 gene:Et_3A_026618 transcript:Et_3A_026618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPRPSQRPASSRAGRANLFSSPPPPLTNRRDLQHRPVPLPPLPTRRRYPKNRDEQPSHGRQHTGPATTNPAFRAAHLRTSYRKPVPPAAAAGEGEALLTADPTDAAEGRAVVVGPTGLSFRLPGAPFDFQFSYSEAPRAPPLAIREPAFLPFAPPTMPRPWTGKAPLLTKEEKARRRGVRLHTPLGQEAPPKVSAHGIMMEVRGRRQMDLARVSPGDGRTREEVLGEPLTRAEVRALVKPNISHNRQLNIGRDGLTHNMLEMIHCHWRHQEICKVRCRGVPTVDMNNLCYHLEEKSGGKVIHRVGGVVFLYRGRNYDPRTRPRYPLMLWKPATPVYPKLIQEAPEGLTKEEAEEMRRKGNDLLPICKLAKNGIYISLVKDVRDAFEANEMAKIDCEGLNPSDYKKIGAKLRDLVPCVLLSFDNEQILMYRGKEWKSRYSKPLTLIPKVPKKNLAMSSDVSTSDTDKATDDNAQVAIREVLGPKMFKLWRSAVDSSLALLLDDSEAKDLTPDSLLTKVEEFSITSQAVEHSFPALLVTNGEGNPEVLSDDESETSGIGNQDQFEQPPNLSDDEHFEFDMLERLESSAPLGSLPIDAMIEQLNSE >Et_3A_023571.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:5275024:5275146:-1 gene:Et_3A_023571 transcript:Et_3A_023571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKLSTIFSLAVHSLSFVRPQYKSFGILRYNWCKWYRI >Et_3B_030735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6906298:6908239:1 gene:Et_3B_030735 transcript:Et_3B_030735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHPRRPRERRGPLHARGSVAERRPQQPLVLVFCIGAVALLASAGRTLTTKQKLCLGSSWCVSLAPIAQTFLPKPHDVKYELHTLPVDARAVTDGDTITVYVSMDDHPESDDLPLEVRDAAIQQAKAWAAKNYQKAYALQKILLDAGYRQVTTAGSDHVLVKKYRIRLSGIDAPEISVPYGKEAKEELVRLVQGKSLKISVYYSDRYGRLVGDVDCNGIFVQEHMLKKGLAWHYTAYDHRIAFNKWEKQAKMSQTGLWASAKPEKPWEWRKQKRIRTV >Et_7B_054801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4536509:4538712:-1 gene:Et_7B_054801 transcript:Et_7B_054801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASYGGGATALSRDPKPRLRWTPDLHERFVDAVTKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRQGMHGKKSTGLELANSGGFTAQGISFSTAAPPGVPAEGNNTGEIPLADALRYQVQVQRKLQEQLEVQKKLQMRIEAQGKYLKAILEKAHRNISFEQNASDNIESTRSELKDFNLALSGFVDNAPRLYEDNNERLVKAISDDNHKENHLGFQLYHVGSQEPNDVKCVPKTEDSLLLDLNIKGGYDLPSRGMQACELDLKINQQIM >Et_1A_007494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35128758:35132194:-1 gene:Et_1A_007494 transcript:Et_1A_007494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAQQERTVVGWAARDASGHLSPYTYTVRKTGPEDVVVKVMYCGICHTDIHQAKNHLGASKYPMVPGHEVVGEVVEVGPEVTKYRVGDLVGVGVIVGCCRECSPCKANVEQYCNKRIWSYNDVYTDGRPTQGGFASTMVVDQKFAVKIPEGLAPEQAAPLLCAGVTVYSPLKHFGLMTPGLRGGILGLGGVGHMGVKVAKAMGHHVTVISSSSKKRAEAMDHLGADAYLVSSDADAMAAAADSLDYIIDTVPVHHPLEPYLSLLKMDGKHVLLGVIGEPLSFVSPMVMLGRKAITGSFIGSVNEAAEVLQFCVDKGLTSQIEVVKMGYVNEALERLERNDVRYRFVVDVAGSNIEAEVATNGAA >Et_6A_047258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:356806:365975:-1 gene:Et_6A_047258 transcript:Et_6A_047258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMASRLVHSSSSASPSAAAPSAPLPNHRQSHITDDLPVANGPEPRNGLDADEEKPAPVAYLPQLVVLCEQRHEGLDEAAAAAAGPSTSGIVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALESIGKTLHSQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYAKSERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGIIVKAFLERLDWSSSSSASSLKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWFCNRSLLRGSLDPSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPYLPPTHQHHMWDAWDMAAEICLSKLPQLVTDPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAIELRQILVFIWTKILSLDKRAMAAFVLAVIVDGHRKGQEACINAGLIDVCLRHLQPENPHDGQTEPLLLQWLCLCLGKLWEDFPEAQLLGLQSNAPDIVTLLLSEPQPEVRASAVFALGNLLDMGSSSLSGVDDDPDDDEKVRAEISVVRSLLHVASDGSPLVRSEVATALTRFALGHNKHLKSVAAEYWKPQTSSLLKSLPSLANINNPTNVYSPSNILQGSSGLASHIGPVLRVGSDSSGAGRDGRISTSSPMATSSILHGSPQSDDSSQHSDSGILLRENVTNGGLSHNRSMPADSAIYSQFISTMCSVAKDPYPRIATIGRRALSLIGVEQVVMKHKRINSGGAHQGETSAPPSNFGMARSSSWFDMNSGSFSMAFRTPPVSPPQHDYLTGLRRVCSMEFRPHLMNSPEGLADPLLSSVAAPSNADLSIAPQSIIYNWSCGHFARPLLTGSDDNEEANARREDRERTALDCISKCQRSSCKMTSQIASWDTRFELGTKAALLMPFSPLVIAADEHEQIRVWNYDDALPVNAFENHKLSDRGLSKLSLINELDESLLLAASSDGNVRIWKNFTQKGGQKLVTAFSSVQGHRAAGRSVVIDWQQQSGYLYASGDMASILVWDLDKEQLLSTIQSSASSAISALSASQVRPGQFAAGFADASVRIFDVRSPDRIVYTARPHAPRTEKVVGIGFQPGFDPHKIVSASQAGDIQFLDIRRAAEPYLTIEAHRGSLTALAVHRHAPVVASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHPYKSLLAAGAGDNALVSIYAEENYK >Et_7B_053686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11024229:11025118:-1 gene:Et_7B_053686 transcript:Et_7B_053686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGLEQPMQMVLRVKHPSSLGGGDEEEGEASSRSALSVFKAKEEQIERRKMEVREKVFAHLGRVEEESKRLAFIRQELEGMADPTRKEVESIRKRIDTVNRQLKPLGKSCVKKEKEYKEVLEAYNEKSKEKALLVNRLIELVSESERMRMKKLEELNKTVDSLY >Et_1A_007423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34321841:34326174:-1 gene:Et_1A_007423 transcript:Et_1A_007423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLASYASSDDEADESRPAPAPAPASAAARGSGAGAKPAASSSSIGGGGIFSSLPQPKSAPLFSSLPAPKSGPTFSAIPAPKSSGNPKRVVQYRPQPIRQPTGDSSDDEEEEAKKRRASAAEARPAVSAGSGPVSSFLPPPKHSLGLGGGGAGARRSVIDTAAPERPNISAAVPSSSVANTGAPEGGDAGDEDSEDSGSQEEMPVPDQQEDEEQLGYDAGAGQQQQQGYDAGAGSTSGYEAYAWDPNYYAQYNANYGWDPNSNVNYATGDQYTAYGGEQAAVYAHSHGGEHVGGYTDAAGAPYGADYTGGYAHDAAATTFPPVQDPILPPAMGRIGGKRGRKDMPPEIVEVNQEELMKNRPKQDKSKLTGLAFGPSYQAAPATKGKPSKLHKRKHQIGSLYFDMRSKEMELAERRSKGILTKAETQAKYGCKTYTISPRT >Et_8A_057846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8267569:8270125:-1 gene:Et_8A_057846 transcript:Et_8A_057846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPFPLHPVTLLTCLLLLQLLAAADLVLEDGYAVTTVADLNHLPPSAAPGPGLHPYALVPRPRAGDLVVLDSAGSAIYTLPILSPSPSEPRRLAGGGGGQAGYSDGGPGVASFDRPRSVAVDAADNVYVADRIHGAVRKVAPSGFTTTIAGGLSSGHGHRDGLAQNATFSPDFELVYVPKICALLITDRGNRLIRQINLKPEDCAHETHSGLGATSVSIIAVLCALLGSVIGFLARHFYPVHEISINRFFSKIQKKYKRTQRKATLISFCDIRSAIANSTFNALLLKLFRASLGYLTVVFPSVRLERGVARKHSRLVKLYKRGTAPSRSLHNSSLAPAELLGDLISFGGGAGNKEDNGMPCGGSLLYTPQGNHKKIDHMIETNLSDFSNREECHSLTASGGASRRMLRGDNKVL >Et_6B_049879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16040329:16042034:-1 gene:Et_6B_049879 transcript:Et_6B_049879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLRVAVIGAGAAGLAAARELRGEGHAPVVFERAGDVGGTWIYDAEQQGGHSSLYASLRTNLPREVMGFLDFPFAAVPGDSVDARRFPSHREVLRYVQAYARRFELRGLIRFRTEVLAVSRRRQQQSGWSVRWRRTTASEEEEEEEEAFDAVVVCNGHYTEPRVADDIPGVADGAWPGKQTHSRAYRVPGPFAGQVVVIVGASNSGADISRDIAAVAKEVHMANRAAPADTCRRLPGYQNLWLRSMVERAEEDGSVVFRDGSSVKADIIMHCTGYKYSFPFLGDDSTITIDDNRIHPLYKHVFPPQAAPYLSFIGLPFKADSEPPDIICIQVIPFPLVQLQSRWVAGVLSGRIQLPSTEEMMDDVRALYSEMEAAGTPTRHTHCLKYNQVSILNGVEQGNVLNFKFDDDLTLHCGPFIQFEYDDWLAEQSGHAKVEEWRKQMYDQVSQKKKDCPETYRDQWDDHHLLEQANQCFQKYL >Et_7B_054979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6094229:6096862:1 gene:Et_7B_054979 transcript:Et_7B_054979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQAPPDAPLPLVYSLPPNPSPTPTPTSASTLLLPNPAFPNKRKRTGFRRKVPSGSPAAPAPAAPQPPPSASACDDIIVINREPTAEAVTALTAGFPADSLTDEEIEAGVVSDVGGIEQVNYILIRNHLLTRWRETFNSWLAKEPFAPLIPPHCDHLLTAAYSFLVSHGYVNFGVAPAIKERIPKEPTRPTTVIVIGAGLAGLAAARQLVAFGFKVIVLEGRKRCGGRVYTKKMEASGQSAAADLGGSVLTGTLGNPLGIVAKQLGLPMHKIRDKCPLYRPDGSPVDPEVDKKVEITFNKLLDNASNLRASMGEVAADVSLGAALETLREGDGSVSTQEEMNLFNWHLANLEYANAGFLSRLSLAYWDQDDPYDMGGDHCFLPGGNGRLVQALAENLPIVYERTVHTIRYGGDGVQVVVNGGHVYEGDMVLCTIPLGVLKTGGIKFVPELPQRKLDSIKRLGFGLLNKVSMLFPHVFWSTDLDTFGHLVEDPKRRGEFFLFYSYATVAGGPLLMALVAGEAAHNFETTPPTDAVSSVLQILRGIYEPQGIQVPDPLQSVCTRWGTDSFSLGSYSHVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFISGVREAANITLHANARATKTKVDKSPSTNTQACAAILMDLFRQPDLEFGSFSVIFGGKASDPKSPAILKVELGGSRKKSAIEGANPEQHHSNKLLFQQLQSHFNQQQQLYVYTLLSRQQAMELREVRGGDEMRLHYLCEKLGVKLIGRKGLGPGADAVIASIKAQRNRSRTKSGPSKLRKESKKRDN >Et_4A_033159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19336757:19338800:1 gene:Et_4A_033159 transcript:Et_4A_033159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADIRLSIAHQTRFALRLAAALSSPSAPTSSSTNAAFSPLSLHVALSLLAAGAGGATRDQLAATLGGDGTRAAEGLQALAEQVVQLVLADGSGAGGPRVAFADGVFIDASLKLNPAFEGIAVSKYKAEAQSVDFQKKAVEAAGQVNSWVEKVTSGLIKELLPSGSVDHTTRLVLGNALYFKGAWTEKFDASQTKDGEFHLLDGSSVQAPFMSSTKKQYLASYDNLKVLKLPYQQGGDKRQFSMYILLPEAQDGLWSMAEKLSSEPEFLEMHTPMQKASVGQFKLPKFKISFGFEGSELLKGLGLQLPFSSEADLSQMVDSPVGQNFYVSSIFHKSFVEVNEEGTEAAAASAAVVSLRSLPIGPMDFVADHPFLFAIREDMTGVVLFVGHVVNPLLAA >Et_10A_002191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3930891:3935153:1 gene:Et_10A_002191 transcript:Et_10A_002191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGAGAGDFSFAAEYDGPPLPYSLPRAIPLDLSHIPLASLSSPPASPSASSSPLPVVRPLTPSSLCSTVHTHAHPVPRSAPAAPAGGAAVVDSPTSVIENHHAAAHHSAELPSSTSDDEGADDAGHQALPPKPHQPVVTFAETTGSLLQSSDDEDEFEEEEDDDDAAAARADRPRMAGQPSGSLSPAHVESGSQRGARSRGCYKCGKGGGFWSRGDKESCLACGARYCAGCVLRVMGSMPEGRKCLECIGRPVAESRRDALGQGSRVLRRLLSAAELELVMKSERECPANQLRAEDVYVNGLRLMPEELVVLQGCPCPPPRLRPGFYWYDKVSGFWGKEGHKPHCIISPNLNVKGFLHPKASNGNTGILINGREITKSELQMLKLAGVQCAGKPHFWVNADGTYQEEGQKTVKGKIWDKPIVKLLSPVLSLPTPNKAAHQCGEEAVHMVNGAIPDYLEQRTVHKILLVGSGASTILKQAVKGLKRKLQLMEEKSVNVTLLDLVRYWTECELPEFEETSSCEESGFCDGTTQYFLIPRLKAFSEWILKAMALGNLEDIFPAASREYAPLVEELWKDPAIQATYKRRNELPFLPPAANYFLDKAIDISRPEYELSDMDILYADGITSSDGLASTEFSFPQKPFDVTGVDEYDPHDHLLRYQLIRINNRGLHENCKWLQMFDDVRLVIFCVAASDYDEYYEDANGTIVNKMIESRKLFESIVRHPTFEQMDFLLVFTKFDLLDQKIDKSPLTSCDWFDDFTPLLSRNLMNGSSRSTRSSHSGATLSQMAVHYMAVKFKRLFRSLTERKLYVSSVNALDQVSVLSVIRYGREIIKWEEEKPVSGASETTYSEEPSSYLADV >Et_5A_042123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6545383:6558276:1 gene:Et_5A_042123 transcript:Et_5A_042123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYLHSPCCLAVTSRASDGEEAPWRRTGSRSRISPSSKYSALPVLDAVDQRSAMHGHGCCDPPPPRSAMRSFMGQKATMKLPPTCWGKKRRRTELSARTPSPSSSRDWANLGGDGPTGLIAELVLAGGDVADYVRFRAVRFFPRRWIMLDTASSATRRHRFLNVSTGECIRTDLPLLFLTAEGLLLLLHEPTLVVRLLNPLTRQRTDLPPVTDLLSPDLQRVRRSNDPIGHALGTYGAGVTDDSTVAVCFGSHTLLAAAKPGDARWTVVHKEYLHFTLPFAGRFYCCARGSVMVLDTSDSESDQPPPRLLMAVERGNLFRFSQMTNSLHLVDNAGQLMLYTVHKVDLDARALVPVNSFGGRAVFMGRFRTISVPAAALPSIRADTLYLGFDCEEKTMMNQIDGCNLVDGSSEPCNLDFWLEMVHPCSVVDCLSLCIQGSGSELLPCLNSGGGGDNDVLVELKRFLQENNKINRGAYDTWPESDASPCGWHGVRCDATGRVTSLDLSGASISGPAFGNFSRLPALSWLDLAGNMLSGAGDISQCRGLVHLNISQNLISGTLDLSGLTRLRTLDVSGNRLEGGIAANLPMMCADLAVFNVSNNRLAGNITGMLDGCSKLEYVDLRSNNFTGELWPGIARFREFSGAENRLIGSVPPATFTDGCTLESLDLSSNQLVGKFPESIAKCANLTYLSLWGNKFTGMIPAGMGELALVQTLILGKNQFDRRIPPELTNCLRLRFLDISSNMFGGDVQDIFGRFQSLRYLALDHNNYTGGIVTSGVLRLPQLTRLELSFNKFSGELPAEVADMKSLKYLMLAWNNFSGEIPPAYGRLGELQALDLSYNKLTGGIPTSVGNLTSLLWLILARNQLSGDIPPEIGNCTSLLWLNLANNRLTGKIPPEMAAIGRDPGPTFAKNRNDPSVLAGSGECQAMKRWIPASYPPFSFVYFIMTRENCRSIWERIVKGYGIVPISPLSRVRSGAIFGYVELSGNQLAGEIPSQIGAMRNLSLLHLDGNLVLNVSRNSISGPIPSEIGRILSLEMMDLSYNNISGELPASLSKLTELNRFNVSYNPFLSGSVPTAGQFGTFDELSFLGDPLISLRRGTGMRPPTGAEDIPRVRRRDVSPRTIMIRFLLAFIIVALLLPPVGRPRTGHDAQQPCLSARAPSWGAKRKRLHDAQTQTTNSTPSSSWGKKRRRTVLNGRTRDWANLGGDGPTGLIAEVVLAGGDVADYVRFRAVCRPWRWCTPALHDSRRGGLDSRFFPRRWIMLDTAFSSTRRHGFLNVSTGECIRMDLPELDDHRLLALTPDGLLLLLHEPTLVIRLLNPLTRQLTDLPSVAALLTEEYQRASLRVTGVGIADATTSTVAVRFCDAQVLAVAKPGDERWTVVCHGRFDCFLSFAGRFYCGDRMRLMVLDASGGSSDQKPPRPGANLCVSIGLNGWLRPWPRLVVAAEPNSWIHVCRMAHTLHLVDNAGELLLVHRSFSSDPPHRNFKRKHDVYRVDLDAGALVPVEGIDFKGRAVFIGRSRSTSEAAEAFPSPLLILFTSGWISIVLQMEKEAATTTTMTMMRWCSRLVSSTASHCIGGMGKHLALRPHTRCPVERPRIAVCPTPNPVTARHKATAGSEGARSRAHARRPVDDAPAAHFLGEKAPSHRSRQPHACSYFHHQLLHAGLGEPRRGRPDRPDRRARPRRRRRGRLHPLPSRVRRVARMLRRPIRAQAVTLDSRFHPRRWIMLDSAFSDRRRHRFLNVSTGECIRMDLPELDEYRLLSLTPEGLLLLLHEPSKVIRLLNPLTRQLTGLPPVTALVTKEDRHVSVNVYGVGLADDASTVAVCFCDPKVLAVAKPGDERWTVVHRGHLESYLSFQGRFYTCNRMRVMVLDASNSHQLRPPRLVVAAEAQSSIHFSRFSDGLHLLDNAGELLLVHRSFSSDPPHRNFKRKHDVYRVDLDAGALVPVEGFDFKGRAVFMGRRRSISVAAEAFPSLTADTLYFGFDIYYSFAEGTGRRRSDDDDDDDDEMVDTYSVVDCLSHCIGGMAERLE >Et_10B_004119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13718728:13719250:1 gene:Et_10B_004119 transcript:Et_10B_004119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDKTLTRDNLAKIREEKTWTGIDEGVMEEAPRDVEVLEKDMCKEELKAILDGMMHGFAACHGDWARRWRDYTVTGRAVAECGGEKIWNTRWGAPSSQVRRG >Et_6A_047730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1225715:1228112:1 gene:Et_6A_047730 transcript:Et_6A_047730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPLPWQQRHQTLLQALLSRGTLAEPQFHAIFADVSGRDPATHQQLFNDTLLKINKELAYLQFELRACINQYDGMVYYGVVNNIADEESKLGTKYSVPQIAFYKGLLEAIIHETGNDGSITDIDALNVRLENQVVIADVDSSQDSQSRLPTSITNFTLTQKEKTLNELIRDRWLSFTSSGKIGLGIRSFLDLRSWFRSNDIPSCVVCNEACIKASRACPGCGSEWPRQEGEVDGDDEVNEPGEDEAPSANRSSRKRRKGVKAELVEENDNAGPSTAAMPRRGSRRTKAEVVEAAQEASAAGASQPTRTSNRRKK >Et_5B_044455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2578097:2581244:-1 gene:Et_5B_044455 transcript:Et_5B_044455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRIQFENNCEVGVFSKLTNAYCLVAIGGSENFYSTFEAELADVIPVVKTSIGGTRIIGRLCVGNKNGLLLPHTTTDQELQHLRNCLPDQVLVQRIDERLSALGNCIACNDHVALTHPDLDKETEELIADVLGVEVFRQTVAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREGQPTAIVDDMRKSLIDSYSDNISKLLVFALRQYQNRKQAHKICKTPGEKCTK >Et_7B_053292.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:22376161:22376558:-1 gene:Et_7B_053292 transcript:Et_7B_053292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPALTPVIQGLDAGEEGPQQCWDALVEMKSCTGEIILFLLNGEAYLGPGCCRAIRVVEQRCWAADAVMSVVGFTPEEGDMLKGYCDAGDHGASPPLPPRND >Et_5A_040127.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:13658021:13658356:-1 gene:Et_5A_040127 transcript:Et_5A_040127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVALGKVAEVSRDRLDPQVKLWASQVREASYDMEDILDTFLVHVHGGHQPADADKGKVERLLEKMSEVFSLSKLKARREISAAVEDIKKQTMGPETFEYFCNDTRRLLLS >Et_1A_008038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40253315:40259607:-1 gene:Et_1A_008038 transcript:Et_1A_008038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPCLLLHPPLASSRLPLFTSSPAPRRPPPRLPAAARRPPSASGRSGEARLADDDGFIPIARCYEAPLARLELSGAARREQAIAAAAAADGGAAAEAHLAGGSDAMVIEAFLPVADGGSAASTRVILQAKEVKDKASKIKKQIGSDFFTENEPDSESVLAMAFKQVVMHRLSNFQLEVFSPGSERDVQDLGRPRKVSVDFSISSSDEKLLSSLAEAIFSCVIEDASKDYLGGTKGYLFEKRQLSCSIDSSVCIHRISEVEVVNNAKKYLESFNLGKSSNTVHKSKNGWWSAPNYESLAKLGGTEFVLWVNEYIPTYKLQINVKAFDNTILEGRNELANTCWEVLLSHFQLAELGNVLDIYFEDQFTLPGKTFHPHWNSGPSKIKKNNGYLKNLYPLFAGSCIVLLVSIIAQLCWPRSLRDKRLSHASLSQSYCSDIHYLDESEIQDYCTWVVKTIKDSFSFSGDIMVDTNTGAWVGELPECFMDISSKDNAASDDVHHPNGYIQETLQNIASFQLAQTYTQHLTRLFVVMSEEGRVVGFQPTNRLAVNHWATNPLAKLLYNGRVLSPAFLEPRLKIPRPAKLAAIELLMSNPFLHWRGQFETEARRGYAADLLISGQLYHRIQILLMQNSHRP >Et_1A_009385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4499225:4501907:-1 gene:Et_1A_009385 transcript:Et_1A_009385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPASLPPLLPPLPPPPQLGSLQSALDQRGAREPLSLAFDWGVPSSSAAAGSGREEVWGQAAERKGCGERLREAGEAVRAAAAEMWAFARKDPRKPVFAAKVAAALAFTTLLVFLREPRDIASHSIWAILTVVVVFEFSIGATLSKGMNRGLGTVTAGGLALAVAELAAQVGKYNMLVIIISTFVVAFGATLTKLHPKMKPYEYGLRVFLITFCIITISGYKAGKFTSMAISRFVLIAIGAAISLSVNIGIYPIWAGEDLHKLVAKNFSGVAKSLEGCVDGYLRCMEYERVPSKILTYQASDDDPLYSGYRAAVEASAQEETLLGFAIWEPPHGPYKMMKYPWRSYTKVSGALRHCSFAVMALHGCILSEIQAPPESRKVFSAELHRVGQEGAKVLRELGHKVKTMTKLSSSNILAEVHLATEELQKKIDERSYLLINRERWEVTRRGDGTEQTPDGADAADKENKHELPEHAVLNLEAAHESNSLASNPFLGSFNSGSMANGLFKPKSSWPARHSFHPSLPFDGLFKPKSSWPARHSFHPSLPFEVVQSRTYASASALSLATFASLLIEFVARLQNLVDAFEELSEKANFKDPVEEPSAVSREPCGVSVRIRKLLRLY >Et_8A_056750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16194167:16197045:-1 gene:Et_8A_056750 transcript:Et_8A_056750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPASVVLCIILAFLNIFAFLLAVGAERRRSTGKVVPDEYDLRSYCLYDTDASTVYGVAAFFVLLLQQLIVTGATRCLCFGPTLASRGCAVTAFVLSWLTFLIAEACLIGGSVRNAKHTKYLGYYMKHDLVSCATLRKGVFAAAAAMMLINLVASLVYYWSYSKSATGGFMKHQNEIGVGMTDYGLDKGVSGP >Et_5B_043357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7645520:7645951:-1 gene:Et_5B_043357 transcript:Et_5B_043357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVMKMAALVAALLLLASTQARGTSSATEETAAADMVPVSPADRVMVAMPGGGGAVGTMAAPVCLQCRCCSRSNPAQCQITGCCSTFNCNPAGRCTLVQQKCGCGGCGDAN >Et_7B_055116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7395675:7404583:-1 gene:Et_7B_055116 transcript:Et_7B_055116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLRRLLLLLLPLFLLAVACSDRAAVLAADEFTYNGFGGAGLTLDGMSTVAPNGLLVLSNGTSQMAGHAFHPAPVRLRNGAGGGAVRSFSASFVFAIVSNFTVLSDNGMAFVVAPSTRLSTFNAGQYLGILNVTDNGKDGNRVFFVELDTMLNPEFQDMNSNHLGVNVNSMRSVWNHSVGWYDDATGAFNNLSLISRQPMQVWVDYDGATTRLDVTMAPVDVPKPRKPLISSPVNLSAVLTDTAYVGFSAATGVIYTRHYVLGWSFAMDGAAAPALDTSSLPKLPRFGPKPRSKVLEIVLPIATASFVLALVIAAFLYVRRRIRYAEVREDWEVEFGPHRFSYKELYHATKGFKNKQLLGTGGFGRVYKGVLPKSNTEIAVKRVSHDSKQGMKEFIAEVVSIGHLRHRNLVQLLGYCRRQGELLLVYDYMSNGSLDKYLYDKTRPALDWGQRFQIVKGVASGLLYLHEDWDKVVIHRDIKASNVLLDADMNGRLGDFGLARLYDHGVDPQTTHVVGTMGYLAPELVRTGKATPVTDVFAFGVFVLEVTCGRRPLGLGCAAPDGDQNVLMDWVQEHERKGAALDTVDARLCGKYDADEARLVIRLGLMCAHPLPDARPGMRQVVQYLEGDATMPEVAPTYVSYTMLALMQNDGFDSFAMSFPSTVSHVSGGFSSVSGLSGGRVWGDVAWERDAHGSSSPLWASGGASAPRPVPPFSDKKRSERTTRTAALPSASHSPSRRQIALVTGGNKGVGLETCRQLASRGLRVVLTARNEARGLEAVEAIRRASGDFDVVFHQLDVTDPSSAARLADFVKDQFGRLDILINNAGISGVYRDPVLVAQVKNQVEGMDVNQRVEWMRENSKETFEEAKECMKTNYYGAKIVTEALLPLLQLSSCGRIVNVSSGFGLLRNFNSEELRKELDNVDNLTERRLDELLDLFLEAFKANLVEAHGWPIGGSSAYKVAKAALNAYTRILARKYPALRINCLTPGYVKTDISMHMGVLTPEEGASNPVKVALLPDDGPTGAYFDRDGEASFLSSWCTLMEGAISSSSSTRIAVVTGGNKGIGLEACRQLAGNGVTVVLTARDEKRGTEAVEKLKGPCLSDIIFHQLDITDASSIAGLADFLKTRFGRLDILVNNAAFGGVDYLRDPACGSASSEEELSGMDRDQRLEWLWRNCNETYDAAKKGLQTNYYGAKHVIEALLPLLQASSDGRIVNVSSDFGQLRHFRNEELKQELNNVDNITEERLDELLDMFLKDFEAGAVDTRGWPPAFSAYKVAKAALNAYSRILARRHPELRVNCVHPGYVKTDITIHSGLLTPEEGGSRVAAVALLPEGGVTGAFFEDFKESSFV >Et_4B_040087.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9366138:9367433:1 gene:Et_4B_040087 transcript:Et_4B_040087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTPRGRSFNRRGRCKCAGGSGDRAACCFNPLKSLFRCPGRSKHRQRTPSRVRDAPAPDGGVAQQEQQQGGQEEEPSFFVYAMPNQGGGFGGGGDGAGSKRKKNKKNRKPCVPSFGSCFRRKNKKDRKARAAAAADRRPALTPASSMLTHPPGSPVPAEKSQPVTPSSMTQPPSPAPTENTSVVNSPAPPGRQSATSRGQLPATPRPGKQSTDSARSAPFAPPQTQHPAESARTRFAPQQMQQSTDSPFAPEMNQSMNSALSPFEQQMQQQSAADHAAWSPFAQQQQQPKQQVEGLEIVEVATGERLSTHELGLIEMVAGSSTDNSSAESSVKSSLDYVNESLPPPPPPPQVKHTVVEREVVVVKKAREPPKLWLNGKTMESRARERFTEPLKAAEAEELWAHDIACSRVHASMLAETVSSFELMPLIDI >Et_1B_009634.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9982955:9984462:1 gene:Et_1B_009634 transcript:Et_1B_009634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAGASPSPSPSPSRSPSPLPVADPVTVAAAPPGHVAMAIPLRKPSPSSGGGGGGREDAWSDGATSALIDAWGERFVALGRGSLRHPQWQEVAEVVSSRDGYSKAPKSDVQCKNRIDTLKKKYKIERGKHDSSWPFFNRLDDLLAPVYKLGSASSSAGARSTPPMVPPRFSFPQRTRTPLQPSAGAKRRMPSPPPQPSVSSDSSDGFPPEPPMAAMANGKRQRVEEPAPANGAESSDRAKGLRDLAQAIRRLGDVYERVESAKREQELRMERERLEAARELEDQRVQFFLKMQMELSKATGGASSASPMAAAAAVDGNGSRRAAMTTDVATSSNHKVRYRIKDSRHRPAAQQSHYQYNQNNASAAAPGSGNGSDDDNKEDEDMEGEDEESQ >Et_1B_012031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28206315:28210850:-1 gene:Et_1B_012031 transcript:Et_1B_012031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLQRPSLPASATRPKVLENDDLLGEVLLRLVFPTSLVRAAAVCRRWLRVASDPAFLRRFRDLHPPRLLGLYVTSKRLNSTSRFVPTPHPPMELAAVVRRAGAIPELASGVDAAAVVLPPPPPFPGPCFHRVVMRLKSMNADVVAYAVVMSGMVLRPLRVIVHAHELRDGTWHSVTSPALELPSPALGSTMISHPLNVKIYLMCCASDIATLPSALSTLSYLASPEKKSSPGWMILTTANGRYVISSACAKFGSSSHGLSAATMVKVHEVVGHSAEFALLQVGVDVLYVNIKSRIVEKFCNIAPEDGSSVGTDSLHDDLASHLSKYS >Et_5B_045107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9662034:9674045:1 gene:Et_5B_045107 transcript:Et_5B_045107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPPSSAASDLYETASQPDPATAAGDAYTFLEFNTQGDDFDYPDFPELSQPPARSTPLPPAPAPGPASASSSSWPAPPPPPPDAGSPDADLAPQDTPTRPGSSSSPSPRSASKARSSAADGLAAGIAALSFEEPPGAGAGEDGYDYGKGDFVEHACRYCGIHNPACVARCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNIPSEQEQLRARQISAQQINKVEELWKTNPDAALEDLEKPGIDDEPQPVVLKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTVRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYPGDSSHPTWQSVGHVIKLTAQEEVALELRASQGVPIELNVGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEHQIIHNTLPRRFGAPGLPELNASQVLAVKSVLQKPVSLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSDKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREILQSADVICCTCVGAGDPRLSNFRFRQVLIDESTQSTEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVILGVKPFRLQVQYRMHPCLSEFPSNCFYEGTLQNGVTVNERQTSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFLGGVQGLMHGSSFGAAGSNAAADKRSGRGKGQSFVPFGPPNGTHKPGVHPAGYPVPRMPFPPFPAGPHSQPYAIPTRGSMHGPIGAVPPVPQPGGRNFGGPRSSTGGPIGGHLAQQNSQQAMGGMGSAYNFTGLENPSSQPSAGGQMSQTGLMTQMPVQGLSQTFRDGFSIGGMSQDFFGDDFKSQGSHVPYNIADFSTQDHMAHGSHAMFTQAGYNDPSQDESSQMHYGMAPPGTLQSQSMMNPMYSQSYAHYNTQPQSLQPPPHSQWGFDNKWWHLVQRKVEDATFIPHLYRRHSGLVVVHVNIPGFAAIQVRGAAITGLHGITKAPAEWLCIE >Et_7B_055282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:928201:929816:-1 gene:Et_7B_055282 transcript:Et_7B_055282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GHHAPERLRRLPNQTGASAAAVRDDTTSKQQPLPVPSRSVRGSWASDYDLYELLGVERSSPQSEIKAAYRSLQKRCHPDVAGAAEGHDMAIVLNEVYALLSDPAARLAYDQEQARRSEFAGYTGRPLYSSWLGGEAEQRAVFVDEVRCVGCLKCALHASRTFAIESVYGRARVVAQWADAEDRILDAIETCPVDCISMVERSDLAALEFLMSKQPRGRVRVSEGNTVGARAPNIFAEVDKFQKRFQEMKQKAATRESHESETVRQSRTSAVHSIRSMSNWWYWRPFGPSAPTTTVLASRFLLPPPAETPKPAGAVTDRLQEAVAARRKAGGATAVSIRRDDYWTPQRNLPSSASPPSIQQRRNDVHQDRNRRQRAAGEAIAGTRSKRVSIDLTVPLLMGIISAGIVGYNREEMTGGSVSGIQEHFGGAVALGVVNSFEMKVMLAGLTWFIIGSAVAGFVQILGKREEYIKK >Et_9A_062592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24299580:24302284:1 gene:Et_9A_062592 transcript:Et_9A_062592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPSHRRPQRRAGRGLVSRLAGCLVLLAIVAAASLLSSSSSSGARSGEGVTRQILPGDAPPRITIFSALLPPPESSSARQELAVQSWLALPGDVSVVLLGASLAAVALAARLGRRITVEAAVDSSFTGTPFFHSMVARAQAAADSDVCVFVDAEIFLLPEFVDALTHFSKVDRDWFLVAKSRKVTNFHYQLDNKEVSFKKVPFRLCRGNACYPLCLLYALANAVSVTCEIATDKKSSDKGIIMAWNNPSSHLHAGVLPSFLYGKGVHNSWFTHEVLSSEMRLFFDASTLVLGLYPESFRLMHGMSSSKADRLPVESWECTVNRHLAAVYGSYCYQLQLMNSTMLYKVVKQSEDYMLKKVDDLTLPNFVTGIEENVHKEGGPLQRKQNICLFGHDHSYTSEASAPVDLPYSLSTLLELVADKNRSVVLGIAGASYRDMLMSWVCRLRHLGVTNFVVCALDHETYEFSVLQGLPVFRDQLSPKNVSFDDCHFGTNCFQQVTKVKSRIVLEILKLGYNVLLSDVDVYWFRNPVPFLYSLGPATFGAQSDEYNETGPINLPRRLNSGFYFARSDNITITAMEMVVKHATNSGLSEQPSFYDVLCGKDGANRIGDDKCLEPNTSLTVVFLNRDLFPNGAYKGLWEKHDVQSICKELGCFILHNNWINGRKKKLQRQMSSELWDYDPSSRLCLQDWSDKSNFRMMEQFHLFEDTVGL >Et_2A_015944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19456774:19459396:-1 gene:Et_2A_015944 transcript:Et_2A_015944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPRQVLAGFLTLSMFVMLGNMIKHDHFSGTEMGLEATGVEFNSVKVVDNAEMTDADRVGVDHLMEADEELKQCWANPRPKVQPSKGFVTFSLTIGPEYHISQITAAVVIARYLGAALVLPEVRGLELGNKRKFEEMYDVDKFMRSLDGVVKVIHELPDEVSSRKPAVIRVPDQVTEDFVSETIQPIFQKNNYLRLAVVFSSVSFKPKETNNKDLDSTACLAMFSSLQLKPEYSEVSKQMLDRLKESSKESEGVDDIMPAENKGEFLKSSNADLARALDLEICSQSDVFVPAIAGLFYGHVTGKRIPSGRTQILVPAPGSSASARDFISTYVSKKSHLAYKCY >Et_10B_004401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6119618:6123011:1 gene:Et_10B_004401 transcript:Et_10B_004401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVMPAFGPEPVAPVPDGKRRFVNVRTGGATLRIRLPPVEQYGRVLITGGAEGLLLLYHNRTDTVRLFNPMTSAMSVLPGLRVAVPEAVLALKKFLTFLPERYISAGVVFDGGDSPTVLLIRAVRNCKTLSYAKPGDSGWRTVDISCVLDDPLNNNDGFFQGGLSFRGQFYATTRHGDLLKVALAPQPHLVHLARHHDPAVGCTCGTNFYSDLVPSLDDDDADDNDGMLLVRRYGCDAAEVFGVHVSSRRFTPRLVLGNRAIHLPLDTLRAHKFPKLVHGAVYQGYPNGFRWSYQIEWGSSRRSS >Et_4A_033892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27700389:27722903:-1 gene:Et_4A_033892 transcript:Et_4A_033892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWKRSSSPSRSSSASTPSSPARSSTSRLIGGGASNRRDGSGGSGESQPKLIRTPRMRYVVDDFEVGSSGLGLDADPARRESAGGFALATASSTPISRSPSNMEVAPARSSSTPVMADGDWGMPAAEAPGALETGSERIPPLLASRVVGQTVQKAHEHNDLRLNGVTCGQRRKAFKEKFQDKNSAETLNFRLNIPAKSAPSSGFSSPVQSPRRLSNVDFSSAAISIQDTNVWSARSVWSSDLLGSSPPSASPEIFAGGHERSPRSSPLRSPVLRSRNPSAPPSPMHPKLFPEGNGSASLHPLPLPPASVSPKQTNGSHQIVPKVEMPSVAGQWQKGKLLGSGTFGCVYEATNRHTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSETIEDRFYIYLEYVHPGSIHKYVHQHCGSLTESVIRNFTRHILKGLAFLHSQKIMHRDIKGANLLVDINGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEVVRATLDKSVGYDLAVDIWSLGCTIIEMFTGKPPWSGLEGPAAMFKVLRTDPPIPDNLSPEGKDFLRCCFKRNPAERPTASKLLEHPFILSSNHHNQHSFAGNKSPDTGNNGVRDKVPWKNDSCTRGKHANGETSNARTPGSLAYRVTPLPNLGTNSLSPPPLSSASSSGSTGHTPKSMHYSIAYPQPTKATAHNVLEHMLFDESVMPTKLPFSLLQAITNSFSHEQEIGRGGFAVVYKGVVGNGMIAVKKLFETLDMDDKKFVKEIQSLLKVRHKNIVRFLGYCADSQGEMAEYEGKFVMADVRNRLLCFEFVPNGSLCEHITDASCGLEWRVRYQMIKGICEGLHYLHESYIVHLDLKPGNILLDDNMEPKIADFGLSRCFDENQSKIITSKLIGSRIFGTGILQWANHIQILESWRDRLELSHEDPSLQEVRACAQIGLMCIDPNPENRPIMQYIIKMLKELGSTEEFEVLQRRKAFKEKFQDKKSTETLNFRLNIPAKSAPSSGFLSPAQSPPSPMHPKLFPENHVSRPEGKGSARFYPLPLPPASVSPKQTDGSYQKVEMPSVADQWQKGKLLGSGTFGCVYEATNRHTGALCAMKEVNIIPDDALSAESLKQLEQEIKFLSQFKHENIVQYYGSEVVSSPLSLMDITLLCLITTAILLSNGFVDSVQIADKFYIYLEYAHPGSIHKYIRQHCGSLTELIIRNFTRHILKGLAFLHSQKIMHRDIRGANLLVGINGVVKLAGFGMAKHLSTAVPNLSLKGTPYWMAPEVVRATLDKSVGYDLAVDIWSLGCTIIEMFTGKPPWSDLEGVAAMFKVLHTEPPIPENLSPEGKDFLRCCLKRIPAERPAASKLLEHPFILNSSHHNQHVIHSFTGSKSPVSLSLIFSHAYVRTMYNVSSLMHVYNLVG >Et_4A_035608.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3089193:3090557:1 gene:Et_4A_035608 transcript:Et_4A_035608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAQGESGETSDLMTPLYNEVPLFAAYENDIIRIPEEIRLCDLEAFEPKAVCIGPYFHSLRYSPNFRRMEQHKHWCVNRLLQRSKHSLELLVQEFLVRLTQAINKKPFGQLYAGPVAMTDEEIGLMLLFDGCFIVHFLLRHDPRKGAEYEYWAKLDAGYLDDEYETLQWERPWEWGLVAMDMLLMENQIPFVAVRILFDILKTEQDEAVELTACARNMFKKYLPAGMCTSTSPVHCRDVRCLLHLLYRSLLPNPKLDSRLMEPPPNPPTSGMDPAKKLEADGIRISRRQRWWPLSHLQEPFSFLDIIFSHGTVKIPRLEISDTSIQLLQNLVAFEKCYHGITSHVANYAAFMDALNADPQDTEMLRKRRILDIQLSTAQPKLSFRKQLNQDVYPSPESYLSRLMLDVVLHKEAKTSRKNMPTQMSMPAALLFANLMLIIGACVLRLFYRNTTS >Et_4B_038889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5700712:5705884:1 gene:Et_4B_038889 transcript:Et_4B_038889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAPGVSRKLKKVLETRTDSPDLLASLGALSTFYVQNTPQARRNLKSSIEQRSLAINRHFLDASLPAHKALDRVEGEVHALNDSWKKIEEALSSCSASTGDIISTTERLQQELEVITQRQEIVSCFLQDYQLSNEEINALREEDIDEKFFKALLHVQEIHSNCKVLLRTHHQRAGLELMDMMSVYQEGAYERLCRWVQAECKKLGDTDNPEVSELLKKAVRCLKERPVLFKYCAEEIANMRHHALFRRFISALTRGGPGGFPRPIEMHAHDPLRYVGEMLGWLHQALASERELIVVLLDHDAITDSGPTTRRHSERDGDSSRGESDVTFVLDRIFEGACRPFKVRVEQVLQSQPSLIVSFKLSNTLEFYGYTISELLGGDTALCNTIWSLRDAAQQTFFNILKSRGEKLLRYPPLVAVDLSPPPAVREGISLLLELIDTYNSMMVSASGKTPNFDPVISALLDPIIQMCEQAAEAHKSKGSLARRGRTSSDPSGNRDSISVDAILSKNVSTLVLSAESSSKIYLINCLSAIEEKLIGQEAAASYVKNLRSMIEAHIRALVDKEADSILRKCGLSNKMPYIKDYGNKDDAKPLADVVETSQQMLLECLKAFYGLVTGTEGSLPEFEQLQVPRLRSDACYGLARALAETYELIYKAVMDPKNSYPDPRSLVKHSPEQIRTILEI >Et_1B_014079.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2993717:2994991:-1 gene:Et_1B_014079 transcript:Et_1B_014079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQNGKVSAPLQLGSSRGHAKPAVSDRSGSQAKDAVFTLAKKAASQHTSRAVPAGQLLTLPAHSLSTLRFDAPPRAVYKIALPPLPNPHHQSASKNQLKPVTSERKQASQPMASSSSAASVAATLLSFALLFHTCLAARKLTALVQEPAITMKYHKGALLSGRIAVNFIWYGKFTAPQRAVITDFVSSLSAAPAQPEPSVATWFKTARKYYANSTKARFPSLHVGAHVLDESYSLGKRLTDRDLLKLAARGAPSRAINLVLTADDVAVDGFCMSRCGTHGASPRSRSGRFAYVWVGNPATQCAGQCAWPFHQPAYGPQTPPLTPPNGDVGVDGMVISLASMIAGTVTNPFGNGFFQEGSADAPLEAATACAGVYGKGAYPGYTGSLLVDQASGASYNANGAHGRKYLVPALVDPDTSSCATLG >Et_2B_021231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27738420:27746114:1 gene:Et_2B_021231 transcript:Et_2B_021231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACHYGMPLRDCNVLIRTLARRGSFARVMAVYYDLRGRGLVADSFTYPFVLKAIGVLKLSVEGRKAHASAVKTGFRWDPYTASSLMEMYTMLGRADVARKLFDEMPCRFVVLWNMMIRCYIRCGRFAAAVALAEEMERSGVAPDRATLVTTVTACSRAGNLSLGRRIHAYMNAVFGFSLPLANALVDMYTKNDCLQEAVKLFEEMPERNIISWTILVSGYALAGQLDKARDLFYQCTEKDLILWTAMINACVQHGSYEEALTLFRDMQMQGVEPDRFTVVTLLTCCANIGALDQGEWIHQYAEGRKMKLDAVLGTALIEMYSKCGHVDKALQVFGKMQGRDAAAWTAIICGLATNGQASRALELFEEMQIGKAKPDGITFIGVMSACCHGGLVDEGRKHFQAMKEVYQIEPRTEHYSCLVNLLGHAGLLDEAEKLIRNMPVDTDTMPIFGALLTACKAHGNVEMSERLTKQIAEQDSRNPDVNVLVSNVYATASRWDDAIRVRSKMAHPTSKKTAGRSLIENITLLTFSFTAGRGFTEVPFVPLELLDARFRVPHSKFTLTRFESDNGLDMTSFPNIWATNSITGSGSMNAVDDISGVNIAM >Et_5B_045727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8367326:8368920:-1 gene:Et_5B_045727 transcript:Et_5B_045727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAVARAGCDGGPATAAAQQAAVAAWLADNHAHSVGLKIEIGQLVTFCVRGKAILAMARYSKAETEYLNNLNKEAQPVVKDGTMAKFVKKTKCRLRRLFRRLFGRLQLRLRLKKNNCEHCSCCKTAAVKQPSEQAELVDTYDS >Et_9B_063688.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19328521:19329843:-1 gene:Et_9B_063688 transcript:Et_9B_063688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQPAPAPAAESSAAAARRRKQEEDAAIRLVHLLVTCAGAIQSGDYSAAHGNLAEAHVVLAAISTTAGIGRVADHFAAALSQRLFPAYPDAAAPSPASPATPAELHRHFHEALPHLKFAYATANMAILDAIGGCDRVHIVDFSLMQGAQWAELMHALAKRRGGPPSVRITGIGPAPTGPRDELREVGLRLAELAQTLDVPFSFRGVCADQLDQLRPWMLNLVPGEALAVNSVLQLHRLLVDPDADPAVPAPIDTLLDWVTGIQPRVFTVVEQEADHNRPSLLERFTNALFHYAAMFDSMEALGCRNVFAEAYLRAEIFDVVCGEGSARTERHELVGRWRDRLARAGLTQLPFDQRALVQATEQLHGLTASFHGGAGYGVLECGGSFALGWHDRPLYSVTAWRATGGDAAGTVNDTAVGSNSRNGTSSESNGSGGNLAIA >Et_1A_004796.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:595368:595853:1 gene:Et_1A_004796 transcript:Et_1A_004796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GFSFPPPATAGLRALQTITGQGSNASDERSEDQEDSKTDTAPASIPTLESSFKVRDASNLKISPRHDLAMVFTCKVCETRSMKMASRESYEKGVVVARCGGCNNLHLIADRLGWFGEPGSIEDFLAAQGEEVKKGSTDTLNFTRDDLVGSQVSSKGPSDQS >Et_1B_010386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10006043:10008644:1 gene:Et_1B_010386 transcript:Et_1B_010386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMRGALERAKMLVGMEVDEESALPPEEQLYGFAICLAAGLTCTFLSMFVFFHPVKFGVTFTLGNLMALGSTAFLIGPKRQLDMMLDSARIYATALYIASIIIALFCALYVHSKLLTLLAIILEFGALVWYSLSYIPFARSVVSRVMTSCFDTEF >Et_1A_005319.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:40277365:40278609:1 gene:Et_1A_005319 transcript:Et_1A_005319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEPPPPSGMGFFGMLSFRRSATAVASFDPAQDDELLALDALQSHVADRLGALLTSAQPQGPALSIPFLSKLLDAVVSSDAAFRDVLAVAPVAAALSRPPADRLAGDLLDRAVKTLDVLNAASLTLASLRGTHRAALTAASCLLAPTLHRAHFARARRAIARIFPVEDSGRAASSAPSPSSRTMRALSLSVSRNWSAGRHMNAMAAHLAPPPQAPAAAAAGAGCGLGLALYTMSSVLVFAMWAMVAAVPCQDRASAAVNPPVAPPKQAQWAAPMSALQERIAEEWRRREKKGGSGSSSGASSAAATTGLLAEMQAVERAARELNSLLEEIAEEEEDEAATIVGEERAREVTERAEELAAACRALEEGLAPLERQVRAVFHRVVAFRAEVVRCIDNSTRAAATTNAAPQHHSF >Et_7B_054525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22513231:22515903:1 gene:Et_7B_054525 transcript:Et_7B_054525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKQDDVGIAGSLSDFLDYLYSTLSSGHVKILYSSSGSDCAKLMATKAKGLPRIILSLDRVAPSALSDVIAEFSLALYAAYKTKHEQAAAEQEQVKQLKESLSTERVGHPYQLKDSLFVQHILPAGLLIGMLLPFVSLVSLPASEIEFCTEPPLTDVVTVELEKLFFLSGAVAAEALGGGSMNSLIMRPALSIKGVFFRRGFFLLFDLTELFVVSSVAALDIDSSLRSRSDIS >Et_10A_000252.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20043585:20043812:1 gene:Et_10A_000252 transcript:Et_10A_000252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRFNKFKYSVHLVHQVNNSDLPYKLEINKFADGRVTEYLGSQAKTTKRIVARHEALKEMQHGSSFEEDWEKEV >Et_1A_006713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26636861:26640993:-1 gene:Et_1A_006713 transcript:Et_1A_006713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFHSTAKRQHPGYEDPVQLASQTAFSVSEVEALFELFKSISGSVIDDGLINKEEFQLALFKNQRKENLFANRIFDLFDVKKRGVIDFGDFVRALNVFHPNIPMEEKIDFAFKLYDMDGTGFIERKEVKQMLIALLGESEMRLSDEIIETILDKTFSDADANQDGKIDRTEWENFVTRNPSLMKIMTLPYLKDITTTFPSFVFNSEDVWEEEGS >Et_4B_036270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14150875:14151546:-1 gene:Et_4B_036270 transcript:Et_4B_036270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPIANLSALVTGAMDAAKAVATEMLPAAVTRDAVVEATRASAEWVVQHLWAWLAVARAVAADNLPAGAAAAASGPWVQTAAKLLQGIYGWLVTAAVEKLPDVAAEKLLGDAAAWFMRGHGVAVYLTLAAALLAVAFLGGGVCALTCRTMKGPGLGGARVPRVVFEASPKRYFSTARKARKARRGGCRTGCSLLAKGLVVAFVACLAAKVLY >Et_4A_035799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6148737:6151222:-1 gene:Et_4A_035799 transcript:Et_4A_035799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAGGGGRSLLPRRCASSLPQLESVDRSDEESGGDIDWDSLGFGLTPTDYMYVMRCSVTDDGGGAFSRGELSRYGNIELSPSSGVLNYGQGLFEGMKAYRRADRGGYTLFRPEENARRMRHGADRMCMPAPSVDQFVHAVKQTVLANRRWVPPQGKGALYIRPLLIGSGPILGLAPAPEYTFLIYAAPVGNYFKEGLAPINLVVEDGVHRAMPGGTGGVKTITNYGPVLKAQMDAKSKGFTDVLYLDAVHKRYLEEVSSCNVFVVKGDAIATPSTRGTILPGITRKSVMELAADRGYKQLNRATSTHLAGQVEERLVTVDDLINADEVFCTGTAVVVAPVATVTVDGQRYQFRTGPDTVAQELYTALTSIQMGLAEDSKGWTVAVD >Et_10B_002561.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:16024393:16024707:-1 gene:Et_10B_002561 transcript:Et_10B_002561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPASGSTAPLAADAAPVPGVVAAAAVAGARAAVRSGAAVARARARRAPPLGRRALGRRHRRRVRRARLHDVLLLPLPRLHALTEDVTRGGRSSCRCCCFQYT >Et_2A_014484.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:12648583:12649938:-1 gene:Et_2A_014484 transcript:Et_2A_014484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWYLGERSGTILRPRTRAPRVDALHAVRAVAIGPFHRGDAGLRFGDAAKLPFLRYLQDQCGLDADRYVAALAAHRRRLRDEFAAGGEGDEEGAAALLLDDEDRFLEMLLLDSCFLLVVSMMLSKAGAGEEDSVARAAVVSKEYFILHMAVAQHADDIKLDMLVLENQVPFAAVRMLAAACSDLKLRRPVEDLVLGCFDDICPKRAAPQGHASGEFDDPFEFHHVLHLFHCSRVPRDKYCILSTPLKLLRIKEESERLFPCYSELRRAAVWFRPPISTSSASGLDMRFWRHPASAVAVMTVPCLHVHEYTAALLHNMLAFEKHFSWAHGAAVTAHVARMEGLVRCPQDAAMLRRRGVLAATRRSDAELVDLFRELGAETVGARLPDEYARMLDDVACHRSRRVSGWCGGFVLHFFPSPWVAVSLVAAAALIFVPSMLQTVYTMLGYFKTTS >Et_1B_012527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32798020:32802745:-1 gene:Et_1B_012527 transcript:Et_1B_012527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPRACPSSSLKNNVQGPLSSTMSNSLYTAQLQAVCCPQQSVLHLVLFLHQFVAGPSHPNRNEEFVIASAYPHGFGTTLVNDWYVTNTSDPSGTILARTHGLHMQASKTMDNRWYTSFSLVFEGERFEGSTLQVMGIITEQSKGEWSIVGGTGQFRRASGTIGFNLLASSTPDDGIRQLDIEQSFDDPRCKFTPKKKMKKTPFLRAPGQPED >Et_6B_050122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6870047:6872736:1 gene:Et_6B_050122 transcript:Et_6B_050122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRLEQLVFLLCCFAAITCRLHAEVQDQSTLHHTKSSPHIAAVGRFLSETGNRSESELSDRTRRIDPLDGLRKYEGGYNITDKHYWSSTIFTGRSGYFIAALWLIGGIIFGGTLLISKIFFTKKSTKYTDFDYFLERYEILTVILCILLTVFVIVASSVAIRGTVQFHSRAKAVKEIIGSTALEATATIYNITGAIEMMQNTSKLYNYSSQAWDHLNSTVEVLNSEAVEIQAKAEKNMRLASKGINTLEAVTILTASLNLAAVLLLLVGWPLRLKKICSMCIAFCWILTALFWMYFGLYYFFDKFAGDTCLALDEYQLSPRNSTLGTIIPCSEKLSGNVILHDVGAGIHDIIDQVNSNIYTIKSEYTVKQLDYICNPFAGPPEYQYRPENCPSGAATIGDIPLILKRLTCSDFGGGASCAGGDLSSAIDYNKVQSYTSSIQNVLDIFPGTERLVSCELIKAGFADIIGGQCAPLRRGARTAWAALAALAAAMALLLLVALAAAAGGARRPGDDRLSVRHLTSSTNSEISEAEFAEMHAKKVRIRVEP >Et_1B_010922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15430132:15440621:-1 gene:Et_1B_010922 transcript:Et_1B_010922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAARWAGAAALLALVLSAAGQAEPVVVASYGQGRLWLKPYDWTYLRVEVPASFSSVTMDFATDMDIQREQLKGLPRSELAIICLMNSNPPIPDISDSYLDTLLSNFLTAGPFGNIINQSNQAHCVPFQKNTTVVLTNDQISAGVWYIGYFHGLGPARTQSKMISRGKARLVSTSIFVKGCPASALWGPYCNQTVEMIGCSQPSTYNNSRNLLDLSVEKSTNLNTREHSRRTNILSQRNHLVQKEVGSNTTVFGRMENFITCAISNDSLCLRQGDMKFYFLDVVSLALQFEIMAKNGRVQGLSLICYLRYNAFPQRDLHDYSGDISHDPLVVKSPSIGRWYIAVETVNKTQMNKTASSPMLDTGCFSLEWQLTGCSNGKAGTNCSWEAYGLQRVPKRSPSVPFESYYVPADGRTSLEDSHFLLEQFLSNSSNEQFAWTYFFLDVPQGSAGALIHVQLKSDKELNYELYLKYGGLPTNESWDYYASRTSSSNGSIFFSLQNSTNSDMDLSILYAKEGTWCFGVKHPSYIANSQTYISVSLQGCHSNCNQKGACHSSVDESGLTFYSFCTCDRDHGGFDCSSELVSPNEWILYTSSGISSALYHSCDVGTWCILSFRVLQFLDFWLSFMAVVGTFIYMATIDEASKRAMHTAVFILTALLAATGATRSANIGIVIAIGSLGLLMGWLLEFSTARRFICWSWRANLNVPQRWPDFRALFQKTLEILNKRFRWLYLLLGFIALSFAATSWKLESNSSYWIWHSLWHITIYTSSFFFLCSMRVNTMNHSAEPNYELTRQDSLPRSESRETSS >Et_2A_018450.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28536347:28538527:1 gene:Et_2A_018450 transcript:Et_2A_018450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEEKEKSAKQILQALDALSQTLHKARATRRSTSLPLPRSPKDADDVVVDAADEEAARPQPRRRLSPMSPLRSRPKLHKRKDDDDGDEEEEEGANDESTPPKSPQEFTAVKPEDVMVEKKGVTSWGATRVLSHIGMRRFGCVFSVEVVSAQGAPPSMNGLHLAVNVRRKEKRDGGGGDAADFDEALYVRCSLYHGAGAAGTNKPLGSFVEPARQPFLLSVAVDGPDQPDSARRRSAVSDLSQFAMEVTDKGQQWDMVFPLATAGTKANKGGDLFVKLVFQRADVDGTYSQLAGEGEAISSFARKLRKSSLSNMLTPKMTRVKTPNLDVTAPDDLQGIDSFMLDEPKAEPVPLAAEVKGKEQEEPEPAPTPVVEVKEEEPREEEPEREPADEPTPVAAEVREEEDQKEPEPDAQDGDSELPVFDVIVDESVEGHQEMAELKEEADEEAKEESDEVVNDEATPSDVSDDVADAVEPADAEAAEEASVVDSDEVAKEVANELEMEVLEEATPNDVSNAVADAVEPVEAEAAGSEEVSVVSGDDVANDIETEAVEVAPPSDVSDAVADAAEPVEAEAAGSEDVSVIAGDLETEAVEVATPSDMSDTVADAVEVEPVDSGAAESEEASVFAGDEAAKEVANELDTEPVESVTPSDDVSDAVAKAVEPVEAEASGLEEVNGETQKVPEQGEDKNAATKARRRRRFGWRMLALVVTPVAVMVSKNLNVVRF >Et_3B_028899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20141873:20142220:-1 gene:Et_3B_028899 transcript:Et_3B_028899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YKYLVRGVPSSSGSPKQVKHQAVLEQSSTKTMASLKAVKPAGQAGQAKEPAPKLNEMATKPSAAKGGVKKAEQKPREPKKKAKSSKPAAAKK >Et_3A_025512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30424490:30425912:1 gene:Et_3A_025512 transcript:Et_3A_025512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RTDGMAEEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFSCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGFTLPHAIIRLDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELDTARTSSTVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMESPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIGDRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDETGPGIVHMKCF >Et_2B_021270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28121596:28129255:1 gene:Et_2B_021270 transcript:Et_2B_021270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSKRRNQPGGANPTRRKVVEEPFNPAAPPAPAAAAASPSHLVGSIVEKGFSAAAPSFAPRPTVLPFPVARHRSHGPHWGPATKDAGMDGAEEEDDEMDEDETDYLPVAAAYAAGPVKRKEKKGMDFSRWREFVGDAPPKRTQGKPAQAKKQSTQKVDAGVVTSKVVTAAGKRELEGSGMQLDSGNVRGVSSAAALVTDGAPKKPTSQVQSRNEMKAGVVRGASSRGEDMELDGGQSSIEAEINAENMARLAEMSAGEIAEAQADILNRMDPKLVEMLKRRGREKSAGKNGGDRDKGQKSSGPGKAAKATPGDWLTSGEHSGNSWKAWSDRVERIRSCRFSLEGEILGFQSHQEKQDGKKAHADSVAERDFLRTEGDPAAVGYTINEAVALTRSMVPGQRVLALQLLASILNRALQNLHKMDLTDNGKEMNSDDKFDDWQAVWAYALGPEPELVLSLRMALDDNHDSAVLNCAKVINVMLSCEFNESYFDISEKLTDQGKDICTSPVFRSKPDLDGGFLEGGFWKYNTKPANILPHYGENDEEEGDENHTIQDDVVVSGQDIAAGFVRMGILPRICFLLEMEPTPVLEDYLLSILVALARHSPQSADAILNCPRLIESVTKLLTKQGSMDIHSSQIKGVTLLKVLSKYNRQTCLKFVNRGVFQQAMWHWYRNAYTLEDWVRSGKEQCKLSSAMMVEQLRLWRTCISYGFCITHFTDFFPVLCVWLSPPIFQKLSESNVLSEYSSVARESYLVLGALAQRLPLLHSVEQLLKQDAGFSASHVETWSWSHVVPMVELALSWLHLNDILCEFSLVKVQNLNRNHMLESNHLVLVISSVLAMLNSVLERISPDGIPDDMHRLPWIPDFVPKIGLDIINNGFFSFSGTDAIGHDEHLLFSSASLVKKLCYMRCHGDVGVSLSSVSCLQRLVQLSLSIDRVIQRAMKKGSENLKDYKTGMAGKILGEGISSLWHNDLLDSLTSLSPMVSSQWSVLQNIEMFGRGGPAPGVGFGWGGCGGGFWSLKCLLAQLDSQLVLDLLKNLSAAPRGPFTPSKDVNSDIATTTVITASDRIRSVLGVSFIAGPGQISMLEKAFDILFESSIMKCLKSSIHDFVSKKELQPAFEWDISEDEYLLFSSVLNSHFRSRWLAIKKKHKHAGNNSSVNVPKMSETLETIQEESELAEAVNQPCSTLVVEWAHQRLPLPIHWILSAVCGIDDPKGTSSASANYNLDVSMAGLIFLIGLEAISAAPCLHTPLVWKMHALSASIHSSMDLLQENRSRDIFHALQELYGQHLNRLCLKYSIKKDDCSGITTLEEEKHSSSLEFLRFQEKIHGSYSTFVESLVEQFAAVSYGDAVFGRQVAIYLHRRVEPAIRLAAWNALSNAYVLELLPRLDRCIGDNQGYLEPLEDDESILESYTKSWTTGVLDKAAQRDSMAFAVAKHHLSGFVFQRGASSKTLRNKLVKSLLRCYARKQHHEAMLKSLILQGIAQDSESNAELDRRFEILKEACEMNSSLLTEVQRLKIAFGQ >Et_3B_029389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24589541:24590530:1 gene:Et_3B_029389 transcript:Et_3B_029389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLAHAGFFVSQDADAGYGLTPLSRLLLASAPAAGGQGQGLSPFALAMLHPVIVAPSMSLASWFRAADDATAAARVPFEAAHGRDLWAVAKGDTGFGASFNDAMACDGRFVMDVLVRDHGDVFRGLVSLVDVGGGSGGAARAIATAFPHVRCSVLELPHVVASVPPGERGGVEFVAGDMFEDVPKADAVLLKWILHGWDDEQCVRILRRCREAIPAREAGGRVIVMDLVVGSSPEDAKATETQLLWDVMMMGVVGSPERDEREWRQIFEDAGFSGYKIVAVLGIRSVIEVYP >Et_3A_024978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25844516:25850573:1 gene:Et_3A_024978 transcript:Et_3A_024978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCAVEDEHRHPKEEEEVSAPVVVAPELAGGAGEECEEGGGTRKKAGGIRREPSFSRWCRDPSAAAAASTAPAAAEASDGDDSEEFELPLLPSSSAGRGGGSLPMDIEAGPAGARSDDLPISPWLVAKIIALIASWYTLSTCLTLYNKEMLGKHMWKFPAPFLMNTVHFTMQAVASSVIVWFQHRGLEGRASKMSWKDYFIRVIPTAVATALDINLSNISLVFITVTFATMCKSAAPIFILLFAFLFRLEKPSFSLLGIMLIVSFGVLLTVAKETEFNLWGFIFIMLAAVMAGFRWSMTQILLQNPFTLMSYVTPVMTIVTAIISIALDPWDDLRASRFFDSSAHIIRSSLLMLLGGALAFFMVLTEYFLVSVTSAVTVTVAGIVKEAVTILVAVLFFNDPFTWLKGLGLAIIIFGVSLFNLYKGSEKATTTKMMTQISNLPMAHRNMLSYMMILKIRMRQADLIVVDRQKLVLEVTVL >Et_3B_029166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22618847:22621163:-1 gene:Et_3B_029166 transcript:Et_3B_029166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEETKKKVEATVLEILRESVTEHKVRAAAAERLGIDLSAPDCKLFVRGALEGYLRSLPSQEEEDDAEAEKQGGAVGEGKGEPGEKQDEDQKGGGGGKKEHDDTGDLILCRLATNRRVTLSEFRGKKLVSIRDSYMKDGKELPTSQGISLTAEQWEAFRDAVPAIEDAINKLGGSD >Et_4B_037552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2170501:2171841:-1 gene:Et_4B_037552 transcript:Et_4B_037552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRNIAVGNTQEVYHPGALKAALAEFISTLIFVFAGQGSGVAFSKLSGGGSTPAGLISAAIAHAFALFVAVSVGANISGGHVNPAVTFGAFLGGNITLFRGILYWIAQLLGSTVACLLLRFSTGGLPTGTFGLTGVSVWEAVVLEIVMTFGLVYTVYATAVDPKKGSLGTIAPIAIGFIVGANILVGGAFDGASMNPAVSFGPALVSWSWTNHWVYWVGPLIGGGLAGIIYDVLFINNTHEQLPTTDY >Et_3A_024659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23042242:23050926:1 gene:Et_3A_024659 transcript:Et_3A_024659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQHGILLAVSIISDHFGPIVSKVVRCLLSHGPLSLPEVVRRVKLSPGQVKNALLVLIQHNCSQDLMEGLLLNGRLTFDQLVERTISKGGSTVPKREDIRKNFNKLVYAHYVERCPKPEPSFNPLEDEQPTSSRKRASKIVEKVLSLEQKVIGTAALSDAERFSEIPYLMEGSSNTNDCHHQSVAGSKRKHESLEVDEEHTIIAENEVLWRANFEKLIFCLKKKFCAERKRAKLKLGTHSIWEAFFEANVTDKDNKTVMSPINGILDKLRQKEGGSSMTLDHVTGVLNDLECVSTSANAEEFAFDLNKFAETCRSDEIESLVKKKYGQEAYVIYRLLVKQACPVETDQITDMTILDKLKVHETLYKLWQDEYIDSEKVSATANTNYFVWRVKSTIQEQFIDRLYHAALNLRQMVNHMVELQLEGSKDETKLRIRKSILILALIRLDESLMLVEGTR >Et_3B_031098.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:15589332:15590834:-1 gene:Et_3B_031098 transcript:Et_3B_031098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLRGAAGAVTRVLGHASDPRPYSGLFLASSFPSGPGHLGLVRSRPALRDLNALLTPEAFLLDATYALGATAFRVLPYTGEVVRLFRDVATPKAVAKAEAQGNATSAETSRVLMALVDVVDGRFEEALGGLARLAADRPGSARARLCAAAVCDLLGRVDEVGQWFASIPEDARHLRPSDHICFQLGLVAATLGGAPGAIAGFESRVVSAAFQVINQSAIIDGGGMSVSQVLITGMLKRGAAARTCTDDPTPLERDGILRSAGYAVKALFGGGGGSRDPETGAFFVLEASQALLSAAVLRAAPLSGERVRAAARVAERDLARAVEEGDAATAASLGLLLAFLAARDGRFGDALERYAEVARDDPSDPWPRYLAHILCLFDGRKEESDKWEASFESLDTGSTEEEQVALFTLSDELQVALALGGLPLAFSDQCPATTCRIVGAAAGRVDAALVSASHNKEMPVLTRLEVRAVRAFLHGWAWSTRKELKGKIDGGSGAAKE >Et_10A_000089.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22847984:22848886:-1 gene:Et_10A_000089 transcript:Et_10A_000089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding REREHLPKGVLDLVACRVKVQCFLECMNCFFVALDMEVLQE >Et_8A_056489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10865451:10867310:-1 gene:Et_8A_056489 transcript:Et_8A_056489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VIINLTCRRSPCHPLHWHQRKQGAGAERGVPEERVRPVTSGLVQARLQPGHPAPCRRPRRQVPRPLHVVWRVAVSGEVDGVGSTLVYKSRDFLHWERNPSPASLLHSSRAAGMVECPDLFPVAKSGGDGLDASAASGAAGRDGHAREDYYMVGTYDDATDAFMPAEPDRGDDYRNWRRLGYGHAYASKSFFDAGKRRRVLWTWANESDSVEDDVARAGPALRIWAGPGHGDGPGVPQEGVWLDSDGKQLLQWPVEEIQTLRRNRVSLRPGTSLKSGALEEIVGVSALQADVDAAFEILSLEEAEAFDPSWELDAQKLCGGEKGASSVRGGVRRTVWAYRHGV >Et_1B_011704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25230131:25231064:1 gene:Et_1B_011704 transcript:Et_1B_011704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKKIVVKLVMNDIKDKQKAFKAVSALRVSSKVLTKCYAGHAGIDAISVDMKSQKMTVIGTVDTVKVVSKLRKATFAATIEAVGPAKEPEKPKEEPKKEGEGEKKDEKKDEKKDEKKDGDGKKEGEGEKKEGEGEKKDGEKKDGEKKDDAKKDGEEAKKAPPPPTTEQIIAELMNHYRTYNPYMYHPVPPPHYYVQSMEENPNSCAIC >Et_6A_047380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4843122:4857062:1 gene:Et_6A_047380 transcript:Et_6A_047380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPITKKASDPPALSFDPLDPDADTPRLVLTEEQVWRCRTALSVYERKLSEPTAILDELQTLPARREVLQNTKLFTIAFSPANYEERNRHAEILPFDETRIRLQASTVSQTSINDYINASLIKTEGKDTTKFICTQAPIPKTFEHFWQMVYENCCPVIVMVTPIVVGRCDEYLPIDKGQGHYGKFNVRIRKTKQVGELVLRGLEVRLNKADRVHYVLHIRYDGWPDDGVPHNTSGIRQIINRLYHIPRAHPIVVHCSAGIGRTGACITILNIIERILRGEWAALDFVEIVRKFRDQRIGIVGSRQYMYCYYAIVDELKDLTISNSGLNSFNLKVLIFVHKHLWNISCTISLLTTPFALSRPCPLRVGPSKPLAVTSPRSQVAAPSHRKIQFTHPICSAPPRPGLTSALFNTPPPRRPRRLPPRLRPRPPPPPPPRRPSTRPSAAAAPQPRGTPRPGAPPGAGAEVEAGAGARRPPPLLRTWCPWDGCRRGLTRSIRPPTRRPGLSLRTSCKKALKALEKRLKNPTALAKEFWSLPDVRKALLEEHKFTVAKSSANRARNRYTDVMPFDQSRVKLHSTTGNDYINASLVTTDREGQTKFISTQGPLTNTVEDFWQMVYENRCPVIVMLTKIDRIKCDEYLPLSKEQEKYGKFNVTITKTRKEGQLVLRGVKVQQDESEIVHLLLHIEHSEWPDHGVPNSSTAVRQILKRLYYIPKEKPIVAHCSAGIGRTGAYITIHSTIERILLGDQGALDLAETVRKFRSQRPGMVQTEVWFVVPYFLSRS >Et_8B_059982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4763314:4766181:1 gene:Et_8B_059982 transcript:Et_8B_059982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIGMDALPEGVVQHILSQVSNARDVAACAGVSRCWRECVPYLPSLYFPRGAFESSASGGGGTEAAVAAADEAIGRMVAAAARLEELVVYCPFSIGLLPRWLEARGATLRLLEMRVDSSVDMHGHLDCLALAPGLQELRLWGLSMSRPPAWGPMERLRVLEVVGAVLMDSAVSGAVAACPNLTDLAMLGCECSGEVAISLPLLERCRLDFVGPGSCSLKLAVPRVESLEVQGFGWISLRGGAGNRLRHLIISKNNGAVYQVEMGKLLVLEQLQLRGVQWSWSAITSLLLCASEVKHLVMKVEFCGDYDALQPFPEVDLVEFFNSHPKLRKFEIHGAMFAALCQKSSLKKLDSRFVIPCLEEILVTVRSPLNAEQKLSTLESLVRYSPRLRKMVIRVSQMKNCHDAADDFFEEICKFAYMNNGRARFLELAVLTSSFSFFAIASQSLAAIPVFQSKLRLITIPCSRVSTLKQAR >Et_5B_044650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4311940:4313987:1 gene:Et_5B_044650 transcript:Et_5B_044650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLWHFLRRAQPDITFSDIFECACEGCCLSGPFWDHVSKASPDTVLFLKYEDMLHDPGEHVRRLAGRFVGQPFSAAEEEAGVVTDIVTLCSFDTQKNLAFNKTGSDDPFTKESLQKRKERGLGEPHDAGDGAASGRHLRAGLFEWRYCADSKTTAPAFLDAMAYCWSSPPWTKGQDEDRLFPC >Et_2A_018163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1641383:1641882:1 gene:Et_2A_018163 transcript:Et_2A_018163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGIHPWSRLLDTSWRHVDDRYVGVEDLCATVYFVFPSVVGYTLTYARRCPEVCACPFAIPAYVPGRRPATSASTACVIVHELAEMASNPLANAWYAGGDPLFPTEIADLREGIYGTAGGELLTDERSGAAYDVNGAGGRKFLVQWVWNPVLSYCSGPNALDQ >Et_2A_018019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9010437:9020303:-1 gene:Et_2A_018019 transcript:Et_2A_018019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFAVLAGGDNSDFLSILTEKGFSLAGCLCCSISKLPLGVPFHCAYRDGGFRMRLPTIYRQINYKGCEKTTCCMRIVAASQPSEERQDKKLLTLGPDEHLHLEGFALNVFAKADKQDRAGRADISTAKTFYAASIFFEILNQFGELQPDLSKKDGSLKLVLLMGIEMKILIVTILTPAHHCVSAPRRPQRATRADPTAAAQTTTAAATSFPAAARAQSPAQPVRRRGRRRRGSGPFPHNPRSPFLPPPSPSDPNQISGRINPEQGTRSIWIVCPCCSYIEFYRSCKPPCQHVQTGCGSRSSRRRRWLFHPSPASFCNLVDEAWPQDQFPVADTWTRKIGDAASGLFGAGGGMAMAWPGKVSPGSLHVSRMMHMRLIAGSPGPRRRGQIHGHAVRRRDSSRTLGAVEMAIKPTRYEAKPVLY >Et_3B_027603.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:31202269:31202664:1 gene:Et_3B_027603 transcript:Et_3B_027603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRLASAPGDGGATSGVGVRRRTRPAVVVGRAADGARHPAAAHRVVADVPAPPQLPPCGRRRHALLHRAGASLAVVRRPAVRIDHRHLGGGARGGHEEDEEHGGDHEHGAARRHCARPAARSGASRSTCA >Et_10A_000643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14200961:14209889:1 gene:Et_10A_000643 transcript:Et_10A_000643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAAARSLFAAAKPRRAGCAVAPSPPPAPHAPRARGSRLAVRCAHSRAPPPLPRADDGSSAAVAVDSPRLRLRRLAEEFRALPSDADRARRLLSLAAALPRLPERDRAPGNRVMGCVARVWLASRCDAAGRMRFAADSDADLSRGYCACLVAALDGARPEDVLDVDPAVDLAPLGAAATTRSRASTWHNVLVAMQKRARAAVAAREGRHPGDPFPSLVIGRDGAVRAQGSYAEAQAMFLSPDESKISELVSALKEKKIGVVAHFYMDPEVQGILTTAKKQWPHIHISDSLVMADSAVKMAEAGCDYITVLGVDFMSENVRAILDQAGFNKVGVYRMSSEQIGCSLADAASSSEYTHFLREASRSHPSLHVIYINTSLETKAHAHELVPTITCTSSNVVPTILQAFAQIPDINVWYGPDSYMGANIADLFQRMATMSDEEIAAIHPDHNRKSISSLLPRLHYYQDGNCVVHDMFGHEVVEKIKEQYCDAFLTAHFEVPGEMFSLAIEAKKRGMGVVGSTQNILDFIKNHLKGALDRNVDEHLQFVLGTESGMITSIVAAVRELFDSYNSSHQSANIEVEIVFPVSSDAVSKASIKGSHHLDSNLTVVPGVSSAEGCSIHGGCASCPYMKMNSLGSLLKVCHQLPDEDNRLSLYEASRFNVKTPLGKSVADVGCEPILHMRHFQATKRLSEKLVNQIAPRRSDSYAASRVACRQESAPGVAELRGLKSFTLVNIKYLCQGARTKKTQLHVAEHRGPGFWGGQSWQIDTVATVCSYRWPGLMVTRKTRLSIGMEKRETRGRKKELLAHLLPYIEASNKAREGRAEEY >Et_8B_058904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10732339:10738517:1 gene:Et_8B_058904 transcript:Et_8B_058904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHREIAPRHRPVAQFVHRHLALARTGKAGRLRETVSLFPVSMGAMADGRERSSSPGSPAKRRRSTDGVSQPDASSSKRPKHHDDGEIKDGEIRDDAVAISMDVDADYSADRGSDYRKGKSGGHASRSRESERERRFSRVARDGHGDRHDRRESHRHGERSRSRGRSDSTRESAHARDEREGRRGRDSSLPEDYERKRDTSKDVRDAGHNFPEETKGNPFKEEGKEGYQLQAKTEYQVAGKIEKVSANKVKQSDRPAVTKSGGSFNNPPPAAVQKSGIQRKDHHDNWDDEEGYYTYRFGESLNGRYEITAAHGRGVFSTVVRAKDLQAGKDDPAQVAIKIVRNNDTMYRAGKQEISILGRLVSADSKDKHHCVRFMSSFRDKNHLCLVFESLSMNLREALKRRTGNLGFKLTTVRAYSKQLFIALKHLKNCKVLHCDIKLDNILLNDTKNFVKLCDFGSAMLAGMNEVTPYLVSRYYRAPEIILGLPYDHPLDTWSVGCCLYELCTGKVLFPGPSNNDMLRLHMELKGPFPKKMLRKGAFTKEHFNRDLNFEAIIEDPLTKKVTRRLVLNTKPKGFGSLVSNIPGEDPKLLSSFRDLLDKIFVLDPEKRISVEQALSHPFFTDRSVAHVKAAAIDPCTS >Et_9A_063528.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:6093279:6094250:1 gene:Et_9A_063528 transcript:Et_9A_063528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSGNKLLHTMATSCIAVLLLLLLQPCAEARPTTSAPIDGSSSEHLMLRGPLLRGPESVAFDGHGAGPYSGVSDGRILKWNGKTIGWTTYAYGPGYNKKTCTASRLRPAELTESTCGRPLGLRFNLKTGDLYIADAYKGLMRVGPGGGKATVLVNKADGVPLRFTNGVDIDQVTGEVFFTDSSMNYPRSQHERVTATGDSTGRLMKYDPRTNSVTVLQSGITYPNGLAISADRTHLVVSLTGPCKLLRYWIKGPKANTSEILADLPGYPDNVRSDGKGGFWVALHREKSELPFGPDSHLLAVRISADGKVTQVMKGPNEAN >Et_9A_061893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17509183:17519500:-1 gene:Et_9A_061893 transcript:Et_9A_061893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATSADDTCLPVKAWTSDALLKIQVWRVLPTTRCLKLPSSRRPWTTAAGRRPEPRPAAGTVEIAERFSFYGVSANLITYMTGPLGERIAAAASALNAWNGVAQLLPLLGGTLADKWLGRYRTIVFASLLYILVSKKKKSQTGQTLPTFGSYKSPDPRAMTMPSGSGSDDPLLARGGGDDGTAAAVVDHRGRPADRGATGGWKSALFIIGKDSPSIQTASRAAEIELIRDPGGCMPAAVEIAERFAFYGVSFNLISYLTGPLGEGNAAAAAAINAWNGVAQLLPLLGAAVADNWLGRYRTIALASLLYILVSTKIPLPPTGRPFFLFLSFRSTCPVGFVLSRIGLGMLAFSTLLSSGHQCTTAGGKPCSPSTLQVAFFYVSLYLVAVAQGGHKPCVQAFGADQFDPNDPSESVSRSSFFNWWYFGMCGGTAVTLLLLSYVQDNIGWGLGFGIPCVLMACALVVFLLGTRTYRYYVTSGKRGLFARAGEAFAEWRSRRKAGPLHQASQERDGEVSAGVDEEGQEVTSDAGIVKDAKAILRLFPIWATCLIYAVAFSQSSTFFTKQAATLDRRIGEHFKVPPAALQSFISITIVVFIPIYDRVIVPLSRRYTGAPSGITMLQRVGFGMLLSLVSMAMAALVETRRLRVARDAGIVDRTGVPVPMSLWWMVPQYVLFGAADVFTMVGLQEFFYDQVPDKLRSVGLALYLSIFGVGSFISSAMVSGIDRATAARGRSWFDNNLNRGHLDYFYWLIAALSALELLAYLFFAVTYKYKNKAAPDQTSSDPLLLPHRATPTGGWKSALFIIWVEVAERFAYYGISSNLINYLTGPLGQTTASAAAAVNAWSGAASMLPLLGAAVADSWLGRYRTIVASSVLYIMGLGMLTLSSMIPSSQSQQCTVSTNGQRVCPPSSLQTVFFYISLYLVAIAQSGHKPCVQAFGADQFDVTDPKESASRGSFFNWWYFGICTSATVTVALMSYVQDNVSWGLGFGVPSMVMLLALVIFLLGTRTYRFYDSGHGSAFSSVGKAIGAWRKKSQEAVLLELEHGERSEDSVVAEEVKGLARLFPIWAACLLYGVVFAQPPTLFTKQAETLDRRIGPSFQVPPAALQCFLGVSVVTCVVLYDRVLVPVARRVYGVATGITMLQRIGTGIALSLLSLLVAALVEMKRLRTARDAGVVDVPGGAVPMSLWWIVPQYVLLGAADVFAMVGMQEFFYDQVPGALKSLGLALYLSVLGVGSFISSFLISVIDRVTRRNGGTSWFADNLNRGHLDYFYLLLAALTALELLAFAYFSTSYVYRRKARTEAC >Et_3A_026791.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:20959672:20960409:-1 gene:Et_3A_026791 transcript:Et_3A_026791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDPTAFTGNPSFVYGHEADGCIANGSLGGQCNYRVPTSPTLGIPAGMASSQIKSPLGVFEFQPSKVCPRNFIIFDHTDDKGRIMYHPALENKPTPANIDVFPYHGAVVCRSASQENGNLEEEASSFKEDSEEIDALLSSDEESNDDDIVSTGRTPDPLDSGPSDSSSLYRFKKMRHFSGNSSVCHGSVENITHEKIKKMVTVLRGIIPDGDQLDTPALLEEAVRYLKFLKMEAMKLGVERLDT >Et_7A_051135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14801772:14805338:-1 gene:Et_7A_051135 transcript:Et_7A_051135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREIAAEYELNEIDDTLHGSVGSRLSLFAKDLKSQSSSALRLPMNCYDSFVIHPNGRWYRIWANAMFLWSIYSTFYTPFEFGFFRGLPDHLLDLECVQLVFLADVAVHFFLAYRDPHSYRMVYDKPKIALRYIKGSFALDILGCFPWDYIYKATGRMELVRYLVWLRLYRARKIMAFFKKMEKDIRVSYLFTRIVKLITVELYYTHTAACVFYYLATTLPPAREGSTWIGSLTLGDTRYINFREMDLLTRYVTPLYHAIVTLATVGYGDIHAVNSREMVFLVAYVSFSILLSAYLIGNMTALIVKGSKTERFRDKMTDLIRYMNRNKLGADIRSQVTAHLLLQYESSYTKDRVVDDIPVAVRSKMSETLYLDMVSKVHLFKGCSEDFLSQIVVKLHEEFFLPGEVILEQGTVVDQIYIVAHGCLEEVAIGESGSEEIISELLPYDIVGDVAAVCNIPQPFTVRVCEMCSLLRIDKQSLTSILQIYVKDSRQILSNLLKGKETGSKGKQLESDITYLIAKQEAELVLGVNNAAYHGDLFRLKGLISAGADPSNPDYDGRTALHVAALRGYEDIVRFLIQRGANVNSIDKFGNSPLLQAIKSGHDRIASLFVEHGAVLNLEEPGNYLCRVVADGKIDLLKRLLNFGVDPNCKNYDQRTPLHVAAAEGLHFVAKMLIEFGGDVQAKDRRGNTPLDEARRCSSKPLVRILEQYRTVAVTQ >Et_6B_048865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14770980:14772992:-1 gene:Et_6B_048865 transcript:Et_6B_048865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQEAAAETITNARHSPSLLKLAYHHAISKSLYILLAPAAAYLAHRLSPHLPPAALQSTLASTLLAAVTILATAYLLRRPRPVYLLDFACYKPGPTHTVTRETFMRQSAAAGVFTDDNLAFQRKILERSGLGQSTYFPRAVLNSPPNPCMAEARAEAEAVMFGAIDQVLAKTGVKPRDIGVVVVNCSLFNPTPSLSAMIVNHYKLRGNVASYNLGGMGCSAGLISIDLAKQLLQVCCDGREQHTYDTLCVSAGGG >Et_8A_056564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12391247:12392654:1 gene:Et_8A_056564 transcript:Et_8A_056564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGKKGLIEPVACGVDALPDGILEHILGFLPVEDSGRTSLLARRWRNLWKSATGLHISCVSENWHDSRIVMRKRKVVEDMLRLRGTAPLETCQLTFGCFYSEDDKACLNRWLRHIVVTCKVQVFRLENFYYDSFKLDDRPLFSRHLTRLQLVGVCFENDFCDFSECPSLERLELDHCFLWVANKISSESLKRLSITNCDLGVAHNILICVPSVVSLCLDSHMSSPPLLGTMPLLREAFVRVSTENNYILRSPPTHSGKKNPYSRICDDEDCYSCHDIIENSSKCMFLEGLSEAQKLTLLSESITFIFGRDLKHCPTFSKLQKLVLSASWCVAPDFTALIFILKNSPVLETLILQFYSKVPEHEMEIVGRYHTASRSAAIFEHLRAIHVKCEVVDHIVHKVLKFLCTYDIY >Et_1A_007986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39980115:39981337:-1 gene:Et_1A_007986 transcript:Et_1A_007986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQATYDKMLIEVPKMKQITPSVLSERLRVNGSLARRAIKDLMARGLIRMVSVHSSQQIYTRATNT >Et_4A_035596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30532852:30536361:1 gene:Et_4A_035596 transcript:Et_4A_035596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGPHVISLHDANPPLLGRAPGPPAAASPASARDDAPSPVGLARHPRSSHPAIAAIEERLIQRDEDIQDLLVDNQRFAATHVALQQQLIAAQHELRAVSVAATRARAERDNEVAALAEQAALIETEARAVAAAREEADRVHADVQVLAAARSELLSRLQGLRDQLVRAQAEAGKTNALRAQIETMHREIQKGRSAVDFEKKAHADNLELSKSMEKNMIAVASEIEKLRGDLVNAEKGIPVAPAAPVANPGYAGAYGTEATYPATYGNPEATYAVHSYPDAYSTNQNVSVGSSMQNFIRRFSDVPQLP >Et_4B_039643.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2506108:2506446:-1 gene:Et_4B_039643 transcript:Et_4B_039643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTGTLSMKLLIDSKAQRLLFTEASKDVVDFLFSLLLLPVGTAVKLLGKDGMAGCVGNLYGSVEELDCAYVHPSASKEALLRRPDHHVLSPAASSIPPPAAGAALRTAQD >Et_5A_041561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24407228:24410105:-1 gene:Et_5A_041561 transcript:Et_5A_041561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFVGQLTAEAGSKQTNSKQLHSICYESAVGQVDRRYRYHKENWKYIATTLSKSGNSFDNTRCLVIISESEKSTLCDRARRLLNKPIKFFNEMQELFTGSSADGGSDGSDSDNSRDLIDLNCYTQPEDPLGEDFDTLPTPTRHGNVDNNSSSTSQGNSKRPKGKKTPPTEKPQNKSRLAESTEEITATMKSLRETLATTDPP >Et_3A_025105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26941888:26946583:1 gene:Et_3A_025105 transcript:Et_3A_025105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRANTGPRARIACSRALTAFHERKRKRKLTGGRSLQKASPPRPLPCSQPATAQRCLCVAVCVCLRERPNTNLPHPHPLRVPTSSPPPPPRTPRAWGERMPELRSGVRQSRLKAKKVEDLVGQDPAENLVAAAPTVAGRRGRGRGGRGGGRGTARGRAAGRGRAVPVIDLDPEQPCEVLPGAALGGGGARGGHRIEEVANKVVKKMNGGSGEKVAEGDDEGTTTPVPEKVQVGHSPQYKVDRKLGKGGFGQVYVGRRISGGTERTGPDAYEVALKFEHRNSKGCNYGPPYEWQVYSALNGCYGVPWVHYKGRQGDYYVLVMDILGPSLWDVWNSFGQTMTPSMVACIAVEAISILEKLHAKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASRWKEGPSGQHVEYDQKPDNFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRERTRAFLSARRKWLPLQIYYAVFARLHSNFSWRLTNMRFDEEPNYSKLISLFDELIEPQHLRPIRIDGALKAGQKRGRLLVNLEEDEQPKKKI >Et_7B_054886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5245159:5250722:1 gene:Et_7B_054886 transcript:Et_7B_054886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPDDVLAVVFLRLAPRSLAASRCVCKAWRDVIDARRLLRADLLPHSVRGIFLEYQLLDDQVFLAHPSMGPAIDGKLDFLLDPAQSGFAMVLDQCNGLVLYRDHSGLLVLNPATQRWARLPPPPCTEFVLGLVVEFMLDRIARIVFDPAESPHYEVFLVPDNPDREEKVIRCRQAGNTSSVSIISGQTPLQQLISSTAEDTGEPDDSVPVDRLMEWPASTCIFRVFSSRTGRWGERVFAREGEAAGIADMTSREYDSSWKGYAVYWRDALYVLCNEGEFIMRISLSNDKYRVIKMPSIVDRGDYTDFYLGKSEKGLSCASFHGRYDLFVWVLDESCDQTEWALRHQINMEPILCVLDNAEQTEGPWILLDGKNNEDDNPALQEKKFEWDSDNDDVFQVDSEAGQRHHGYMTVLGFHPYKDVVFLNVTTLRAVVYHLNGLIVQDLGNLYPKDYDDVAGMFPAVLRSFPYTPCLTEFPENNLECHDKDEYRFQAVDETNRPERTDH >Et_2A_014570.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23787206:23788902:1 gene:Et_2A_014570 transcript:Et_2A_014570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGEEASNPAPPSAPVQIPSYPEVTCPPISLRFPPPVSPRRDSLVLRLPWGSLTVSMSPFPLSQMILEAIDALDNENGSNKTAISGYLKRKYGSSLPTKEHASYLTAHLARMKTTGELVFSRNNYFRPDEQEEEEEEEEPSKTAPADPSYPRGQDPEPDDAVSEVPDGVLAPDPVLTADADDVPAPADTVADVVTAPADAVADAVPVPADAVADAVPVPADAVAAVVVTDPAHAVADAVPAPAPVVAADDSAVPVKRGRGRPPKPKVPVAEEPDVAPVAVPVDAADADGVPAPAPVVATDDAVEVPVKRGRGRPPKPKDPVAEATVVADDANAVPVKRGRGRPPKPKDPVAEAVAMATSGMPRARGRPPKKAKVDLEEAPIGSPAPVKRGRGRPPKVKP >Et_5A_040308.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:12863349:12863525:1 gene:Et_5A_040308 transcript:Et_5A_040308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKAVLLFAFVFTIFTAHQAWGEEDCYDAKVLVKEKCMETITIKGDYVPLSALVYKP >Et_9B_063714.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21684729:21685532:-1 gene:Et_9B_063714 transcript:Et_9B_063714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGGNQRNDEVQGAGCRKLQAVTASSRHSSWPASTESRIVRVSRVFGGKDRHSKVRTVKGLRDRRVRLSVPTAIQLYDLQDRLGLSQPSKVVDWLIDAAQHEIDKLPPLHFPPQDHHLVAHLQPASASAMSMLPPPSSSFDGDKAAIKAAGLMAGLNNNSINPLAHSLFFAGESNWNNNNNGSTTTTVHDPQTSTLAHHHSPFSSLLSLAPGPQLVFYSPEGGGGFAMKEAADHQFPVDSIDHSSHGGQLSLSSSARSFLHSGTQG >Et_8A_056867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18038934:18046688:1 gene:Et_8A_056867 transcript:Et_8A_056867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPTTVSTCSLETDCGKHVFEIFRYSELKNHMGTEVFLWSGSFSVGGVDWALRFHPDQFGVSVRLELLSHGAEVWASGDLSLVYQTTGLPSLVHKMPESMFVNKLCDNRRIGKPIFMFNRLSEFEKSACLRDDHLTVVCSVTVKKHRVSTTQFLNQVEAPPSNITEQLRHMLDSEYGADVTNEMIWHLLVAADIYAVERLKSVCESILCKNLDVETVSTTLALAHQHNCDKHKII >Et_3A_023698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1134318:1136563:-1 gene:Et_3A_023698 transcript:Et_3A_023698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYNPKTQRSLPTRFCEMNIAFFDLDKESDIKRKPDYIKIPDNRSSLDSSINIISIKVAESDVPYPIKMYGIVLARDKVDYRCVYLFKRDRSNPQLIKSKDDTLSLTGPNRALSFSDTISFEFNLKIKGEGTADQDFSKGEIECHGCYGPDNRPRTKSLLSFLSEVEMKFQRVSYALEASLEVNILNGKSQFLGKITAGDKNDGIILYDSKVAGTETKIGSCCSVLLTRRVVAVPWGRSLLLNFSVPRSNPKSYRLKQGDKQWTCKMGTYKVQVKVTWAGVFLRQRLRVWKKIKHTRCYVYIEKAVRLALLVHQQGRPGLGEGRLEDAPHGVGKPAPNCC >Et_3A_023459.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28935410:28935838:1 gene:Et_3A_023459 transcript:Et_3A_023459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSSTATSTVMSGSGTGGSMPSGSPQLTPSNHHPLPLANAGGTDAALSAFLSFQAPPLRSPPVARSQAPPSLPPRVSLSMPPTRAPSDTGYFHLSGHGVPSELPSAALAELAQIDAPSRRESNTRFPGGGRGRAGRGQRR >Et_9A_062683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3082817:3090235:1 gene:Et_9A_062683 transcript:Et_9A_062683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASGDGADAGGDGASSAAAAPAGRRIPPASSMPWVRNLRRFVGSGAGLGSEALMELETKRILLEIFKERQQRSAQAGSIPSFYKKKPEEGSISQRVQRLAKYRFLKKQSELLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIATVCTEQIGQKCKRFFSPSNFMKFEKDDSGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPSAFVQMYCRIAARKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDTNGTLSKQELKEYADGTLTDIFIERVFDEHVRRSKVGGGNNREMDFESFLDFVLALENKDTPEGLTYLFRCLDLNGRGFLTTADIHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLRITLSDLLSCKQGGTIASMLIDVRGFWAHDNRENLLQEEEEQVEPQGKIIKVLFSL >Et_4A_033969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28532943:28533875:-1 gene:Et_4A_033969 transcript:Et_4A_033969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTCIPPAAAARGLRYPLSISSYKPRQRLLVVSAQSNFPRVVQTALKVGKDAVEAATKLVPGSVPRPIARIGVTIAAVSIALFLLKSIVSTAFFVLAMMGLIYLGFLAMNPKEVSGSRVDETSSEDPVEEARRIMEKYK >Et_6B_048548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10650684:10651776:1 gene:Et_6B_048548 transcript:Et_6B_048548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALADAGSDRRRELPVRQAPLPSSSHATAARHNPREASVTRCRGARRNAARGSGQLSRMNYLAEENIPCEFVKESLPQTNKKMTLWDPQGMSWDVNYVYYDGRCAAAFTGGWGKFAIGNNLEKFDVCVFELLKEDSIKVHIYRVVPEITLLIHNSS >Et_3A_025668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31794913:31800168:1 gene:Et_3A_025668 transcript:Et_3A_025668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPRRAGIDPRSGFCAATRTFHSLREAAELPPESLPTTAAAYSFSTLPSPLPDRPAIIDAATGIAVSYPSFLAAVRSLAGGLWSTLGLRPGDVALVVAPSRIEVPVIDFALMSIGAVVSPANPTSTAEEYAHQAALSRPVVAFAAPEVAAKLPRHLRCVVIGSDEYKRLASDGRVPAPPPVAVKQSDTAAVLYSSGTTGRVKAVAVTHRNLIALICTHKDNMERVAKEAAEAGEEPPPPTVTLFPLPLFHVFGFMMLLRSVSMGETAVLMERFDFSAALRAAERYRVTLLPAAPPLLVAMTKSEEARRRDLSSLLVIGIGGAPLGREVAERFAAIFPNVELVQGYGLTESSGSVAATVGPEESKAYGSVGKLASHMEAKIVDPATGEALGPGQRGELWIRGPVATAATLDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQVPPAELEHILLSHPEIMDAAVIPYPDEDAGQLPMAFIVRKPGSNISEQQVMDYVAKHVAPYKKVRRVAFVSAIPKSPAGKILRREIVQQALSMGSDTIRSFPTTARPTHLGTPCAQHLPAQSKHQADLNLTEHDQSPWTPGGVALPSH >Et_1B_011076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17213171:17214247:-1 gene:Et_1B_011076 transcript:Et_1B_011076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VPLSPPLNLYFFKLIPPATPPFPTLVESLKRSLAEALASFHPRKKISGTKEFRRRRSSTRRCSGGSSRTLRRSRCRCRRWPYVHRGWRCTMRWRTGAGGFTSWTQCVSGPPPPRLQERGEDGGAGARPESSSVQWRREVICHDDLKADGAKLARAVPRVPAPSEQDSATEHRRPALPVTRQTFTISTAAIKRLKRQLTPGNGGRRSLR >Et_7B_053419.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:18085040:18085207:-1 gene:Et_7B_053419 transcript:Et_7B_053419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKALVLGALLLAFAAAITDAQNCGSEGCANNLCCSQYGYCGLGGDYCGNGCQSGP >Et_6B_049949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19299140:19301797:1 gene:Et_6B_049949 transcript:Et_6B_049949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVPSLRFVLLLVASSVLFQAIGTSAITRHYKFIVVMRNMTRLCSTKPILTVNGKFPGPTLYAREGDNVLVKVVNHESGGKQTHKW >Et_1B_012026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28193927:28204760:1 gene:Et_1B_012026 transcript:Et_1B_012026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPPIFPVIKAADAISMVLDDDDLLGEVLIHVAFTTSLVRAALVCKRWLRVVSNPAFLRSFSDLHLPRLLGFYVQPKDLGFPKFVTAPNLPAELTSIARRASSALNAYAPGTSESKVSLELHAWLPPRQTARICAECHYLEQRERTIRICDLRGDFTWHNLTSTAIELPVMPDAFAFMPIGSKLYLISSARSIAAARSASSSGTLGLTMITLPDRVADGYRGNFAIWAAADDSVIHLIHVKELQIHVWLYAMESQKWSLEDTICLRKVCANSEVKADLFSKDGKSTANDVKVYADWHVGDAKSVLLEVGADVLSIDIKSRTAEKICTKTPEDVDWDQLFPFVMIAPPMFPKRRRSSLSPLVPISMVLDDDDLLAEILLRVAFTTSLLRAALVCRRWLRAVSDPAFLRRFRDLHLPRLLGFYVQPKDHLGLPKFVPAPNLPAELATIVCRASSALNAYASGKPESKVSILSCEHGYLLVRLGNSPDNSRDVLLNLLPCCSRQEAVILPPAPTAGFFHGMTWIHKYLQNNGSVRIHAEWHYLDAQDKRTINICELIDGAWHSVTPTASAIELPLMPDGFATAPVGNTVYLISTARSVAGARSASSTGTSCLPMITFPDGVGYRCKRNLTTWGAEDDSVIHLIHVEELQLHVWLYKMESQKWSLEDTICLRKVCANSGVKTALVSQDGQSTASDVKVYADWHLGDAKSVLLEVGIDVLYIDIKSRTAKKIYSKTPEDADWDELFPFVMIAPPIFPDIKAADECYLVQALSKMDDGWLLVDILYLQTACSASLGDSAEFVGSDVLYIHFRNRTVEKVHTVTRENGYLLELVPFTMTWPPVFP >Et_8B_060256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:842261:843671:-1 gene:Et_8B_060256 transcript:Et_8B_060256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSTSVRGDGDQHDVGGDSLPEDQVFELLTRASPSTTSPRAGWCLPAGVRAAALPPRRRRVGLLRPEHDAADFVSAHSDKTTNISLAFLPSAHVRIVAVAAHRGLVCCEGQADARRRAPCYYVCKPATRQWRALPNPRVRFRTAATAMVARRPPSGAVAAAEFKVVRFSVVPELRDRLRCEVFDSRRFAWRRAADVALPPDSLVPAAPAVRAHGAMHWLRWPDRLTGVQDVFAFDMRSEAWRLIRLPPEVEEEVDGRWARKTITAVEGRLCLVVTMDEEVVEVWEMASYVEERWEKKMTVGLNSLHLQEGRAVVLRDLCSSDVAFFDSFCRVMYDFWRGKMAEVQVNHICVQEVFKFESDLVPCEIGRLACRSPARTPSEEPTACLDDVGKFS >Et_5A_041992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4910744:4915319:1 gene:Et_5A_041992 transcript:Et_5A_041992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRMHSVAISDDMPEFGRQMGCMAGIFQIFDRQRLITGRRGGRQAQKRLPPPPASGSTPPKSSSNVPVQSSSTPKIILEKTFSKCTTENSSLSNESSRASSSSSSCSSFSSLDGNKSVQQELPYTNEELFVQRSLKSPPTLKGTDMNTKPGHNNVGFRDIVKDSINRDTGGLTVKTSVQEARRNGQYKDSPRPVLLSKSVDGTIVIGIDRSTNVPANVTESSRRFQEQSRFSCDDRRLLRPAETQENKRASARLKELPRLSLDSRKESLSPSSRLKNSSYKRTDDILLETLKPQDSPSHRRANSVIAKLMGLEEATIATGMLIAGDYEPSRSPRPVQVAQYEKPSRSPRSSCQDSCVLQLKNESSVLKTKPSPRIVTEAAPWRQQDRGATNFKAPQSRETEVRPRTASIYADIERTLGGLDILECNKDIRALRILGALHARDSKQQNDINVGSVAVQRTGDDLTTSSRSFQPPIVVIKPARATGKPGVSVSSATPVSGLRSLKKLQPRDLPLSAKYETNTNEKNHSRMPKVQPKSEESICGASSPRPTGSSSPRMAQKKAESERRSRPPVSPRSPSKKSNEAASPRGRTRSKPSQVKSPRDNEALQSTGRKISLVKEVDVSVMDCQKPLAVSSSFGRPSNTAGTSSHKGSSILASDSLENIPSPVSVLDTSFYHKRISESFKDGETHTSDECWNPNSLPDTPQSKTSSEVSQIKSENLEVLIQKLEQLQSMNEEAANTQEVMVSVTSNKDHQYIYEILSASGLLHKDHSFTSFPGQLRSSSYPINPELFLILEQTKPEFVSAVQASGAKRSSKHFAGKVHRRLVFDLVNEIIGQKMNIRRPTSQPVKFLQSRKLSGWQLFKDLCAEVDRLQPESLACSDEDEAGCMMLLEDNVLEDWMSFDTEQHDMVLDIERSIFKDLIDEVIGGEAIDKVQFGQWKLRRQLSFK >Et_7A_052053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4094876:4097064:1 gene:Et_7A_052053 transcript:Et_7A_052053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRATAALLTILALVCFASVPRALATDPTQLQDICVADNKNMGIFVNGFVCKNPKLATANDFFFHIVPATPNAQGVGVAPVDVTVLPGLNTLGISLARLDFIPGGQNPPHTHPRATEILVVVQGQLLVGFVGSNQLNNVLFTKQLVAGDVFVFPQGLIHFQLNNGKTPALAFAGLSSQFPGVITIANSVFGTKPPISDEILAKAFMIEKDQVDWIQSQFAMPPPAGGGGMAGGGGYPGNATGGGYPGNSTGGGYPGYHEEKRKGSDRARWYHAASSCGVPERRRLAGLGWRQAHMLLGDIHVAVLEAATTGRVGSDMAAAFA >Et_4A_032078.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:15720266:15720397:1 gene:Et_4A_032078 transcript:Et_4A_032078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERAQSTDFTGGRADGDSVAAKDARGGHDLGACRSESTCQAA >Et_6B_049158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18895866:18902718:-1 gene:Et_6B_049158 transcript:Et_6B_049158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVFGNRTRLRQQGKDEASDGRQTGTIAQINWSPCLLVATRYCWAFGLHLAAGLGLHASAGRRPGSHNVASPVLVINPDNEKPPELQKDGQLMLCRQKPRLVVGSESDIVGHLIMDDEPELKVVAVVGAEGLGKTTLAMEVLRKQQGQFDCTAHVHVGLTPSVDATLLDIARQVMPCREELHQNFASRYLIVLDDVWRSVTDWSGITCALPDNDLGSRILATSELKDVAKSCCIKPIDTVHLLKPLSDTESMTLMLSLLPAPAEEDCLLDSKTILQSLLKMCGGNPLAIVVTAGLLAANGAEFSESGTMLERAMSVMNQDCLLQGVRKVLHMCYADLPLHVKSCFLYLSTFPKYQTISKDRLIWRWIAEGFIPTRSDESLWETGESCFNELASRGLIQLVFDDNDVHPTGCTVNDVLHDFIIFLSSEQNFVTLGAEIKSESYPIHTVRRLSIDTDTLASTTAPLSSKPRRWYGLSLLQLELVDLSADVIPRDLLCFVVGEVLEEVIPRDLLCRLVSSPAAPRAHGSLTKQRRRPLPKIACFVAVRSDGRVQKNSNGYDLVMGPVDNRGAELRRQSYSALLCSF >Et_3B_028757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18899314:18901884:-1 gene:Et_3B_028757 transcript:Et_3B_028757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGGYSALDDPKASGSVPAAAGPDPPAIRFTDSNLQTFPPSEARGKISGAYRPPTDADVTFSSKVGGGGGGGGGGSAGADDAGQSGWFRIFSVAAYKPYFDVDTSDVVERIWESIFPFRGTFTEKTSENPDLYGPFWTCTTLIFVAASIATFVTYLSHKWHKKEWNYDINLVTWSAGLFYGYVTFVPLLLYVILKYFSAPAGLLLSIVPIEIFRWVIAGVAGFMSATFVAVNLKAHIVNAGERWFLIVAGIFLLQLGLAVLLKLYFFTITV >Et_5A_040893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14253369:14256511:-1 gene:Et_5A_040893 transcript:Et_5A_040893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEHLIFYTNLTEASWVAGRRYSDHTLVYRRSRDTTADRSSVTPVGNWEVSKHKQPSQESNTQRRTDRPTDMAAAHVLVFPWPQQGHINCMLHFATALAGAGVHVTFLHTDHNLRRLARPSAAASPRLRFLSVPDGLPDDHPRAVGDAMELFMSLQATATATGSYRSLLTSLSSARGSPGRARDADGAFPPVTCVVADGLLTWASDIAEELGVPALAFRTASACSFLAYLSVPKLFELGELPFPAGGDLDEPVRGVPGMETFLRRRDLPSMCRRVNDPVLHELAKLTAHSAKARALILNTSASLERSALAHIAPHMRDVFAIGPLHAMSSSPAPAAASSLWREDDCCVAWLDGQADRSVVYVSLGSLAVISHDQFTEFLSGLVAAGYAFLWVLRPDMLLGGGGPSRDAAALLREATDDAAGEGKARVVPWAPQRDVLRHRAVGCFLTHSGWNSTLEAVAEGVPMLCWPFNADQQINSRFVGAVWRTGLDMKDVCNRVVVERMVKEVMESAEIRSAAQALAQQLRQDVADGGSSASEFARLVTFIKHLSNSTLEAISEGVPMVCWPFFADQQINSQFVGAVWRMGLDMKGVCDRGFVERMVKEVMESQRDQKLGSAEQLSRTLLMEARRQRNFRGSSRSSRSSASRAKGPGLVNNLHQAP >Et_3B_029249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23470242:23472211:1 gene:Et_3B_029249 transcript:Et_3B_029249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAHAPVSSRPRGLAQSDPLHPHLAILARDADLAAARLESCADARDPPRVHARVLRRGLLLLPFHWNALARAYLRLGAPRPALRAAVCMIAHGAEPDRYTFPLALKAAAQAEPPGSTMRLQLHAAAAKRGLARHLFTESALISGYAKAGDLSAARRVFDENPHRGMGSLSAFISGLSQAGEYKEALALFHELRTSGMVPDDLTMVSVASACGALGDIGLAEQLHKCILQCWRSGRLDVTLSNALVDMYAKCGRTDLARRVFEGMPARDVSSWTTMISGLATHGEEQGALDIFDDMPREAVPPNRVTMLAVLSACAHGGLVDRGLGLLKQMENGKIKVVPTVEHYGCVVDMLGRVGRVDEARALVEQRMPMDANVVIWGTLLGACEKHGNVSVGEWAAGRLVEAEPWNDGVYVVLSNIYAAAGMWAEVERVRKIMLERKITKSPGCSLGSHEPTTSQRTN >Et_4B_035987.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:10794044:10795375:-1 gene:Et_4B_035987 transcript:Et_4B_035987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLFPGQGSDKSSGGGGNSSSPSKPGGGRSQPPATNAGKYIFSFDVGTPPQRVSGALDINSQLVWTDCGDTATTTSSTLFYPSRSNTTVVACTDTACQAFVPHACGASAADAAGCIYTYTYNGGSASTTGYLAVDSFSFDSSSDTVDLVFGCGVVDAGDFGDGVSGVVGLGRGPLSLVSQLEADRFSYYFAPDDSDTTQESFIHFGDDAAPKTGRAASAPLLASAAFPDFYYVGLTGVRVDGQDLPIPRGTFDLNTDDGSGGVFLSIGIPVTFLDEAAYKLVRKALASKIGLPAVDGSALGLDLCYASQALAKAKVPPVALVFGHGKAVMELETWNYFYMDATTGLECLAMLPSTAGGGSLLGSLIQAGTHMIYDISGSKLMFESLVQASPSDSSKDASQPSNTSGKGSPRRASSAPPLPILPVLVANVVLIAVHMLSWAAF >Et_10B_003883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7113409:7116905:-1 gene:Et_10B_003883 transcript:Et_10B_003883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSELAVIKPEALKTYIWLQCSDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIVLPERVNPASLSLILDYCRFHQVPGHSNKERKSFDEKFVRIDYEKLCKLASAALSLQLRPLVDLTCGALARIIGGKSPEEVRDIFHLPDDLTEEEKLEPLENINDDPTIRLLNRLYAKKRKELQERQRLKDVQVQEEQKDERSLDEILCFINGDRGSGGGKTAKNKKKNKRRKDQAKNSAKANPGPVNKEGASGGNSCKSDSGNISRLPCQSQNVQDDTEFPFEDCESDDGLDPAMKEELDREVEDFARRLNLVWPERMHLGQDRRIGSHLCGT >Et_7A_050279.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1916620:1917153:1 gene:Et_7A_050279 transcript:Et_7A_050279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSACASAETQHAWPPPRSPHCRSALSPCRRHPDPSNRLSSPLVSSPP >Et_2A_015582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15289477:15292670:-1 gene:Et_2A_015582 transcript:Et_2A_015582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVCGKRASSYFEDHHPGEQLHYAGASPPPSKRARFRGGGTPTPPRQRGSGGADPGLVAAIRARFPAVSIEFIEKALEECANDLDSATKFLINRYVESAESNEVHEYQSSVGMSTEGQAPSEGILDGNEVAAPAESVPYAESLPSSSAQWVDILVNEMLTASGHDDAKARASRVLEVFERSMTSRIGADVLQSFQKENSVYKEQFEAVIKENTILKKAVAIQHERQKEHEERSQELQQLKQMVVQYQEQVRSLEVNNYALSMHLRQAQQGSSIHGNFNRHIF >Et_6A_047053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24584919:24589297:-1 gene:Et_6A_047053 transcript:Et_6A_047053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRLSGLPDDLLRHVLYFAPAKEGASTAVLSRRWRSLWRTSGAVNILSHFDDSSIFIEDSAARAMRKAFFRGAKAALAAAHAGGPVRRFTMHLEAQYGFHISKLLPRRRIHAVLSKPALQGVEELRIGAAATDKTPQRPWLRRAVSSTHVDTEELYKLSFGALPSEALRELHIVNCRNLKPPRSRATFPRLAHLRLQGCTVSLLDMQRVMDAIPQLATLHLESFSFPQEQGTKNKGSGAIELSCYQLRCSTVTTLVLEDCHWPEMEGGLELDVPKLRYFVYEGFVRHCHRLSLKPQVSSNIIQVDLHLSIDSRFPTNDQIKIPSFWRFLQNFDMTKVLKLKLDFTVDDIAVFDKKDQDEFLRNKLFLNLEQFELEGDYYEPGRETALMALANFLHCCPVAQDLRLKLKQRSTTSCYDLKPIKVAQLDFHKSIDYFRCHKRSKNPMSGDYYDYENCDVSDIPTLSKHPFSCLQSHVRRVSLKFWMEHPNCFGVQLAKFFAQKAMVLEEMSIDDGSQKMCHHGLENPTVWNIVIGNIDEDDQYIAAADLLADREDECRVAIVLEPVLVDDVLLVVLFLNSTSAGIKNSDRISRLGVRSSILVTVAIMVVSSSRTIPVSSSSRREVKTGNAGAEEKTPWPTPAPRRADLSCRCVALGKRWNKFLSLTRFPESETSSPQKSSTQDVIREGL >Et_2A_014527.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:19898804:19899169:-1 gene:Et_2A_014527 transcript:Et_2A_014527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQTSSSGHAAPPAPARRMQPSATSPSSRMRAPSREISSRSPVLPPLSHTLDSVALTMSSAASCAGAPAPGKMWSERRNLVWITSQMSSIARTMHWPCSGGSRQPLVEERKTLNSIFILSI >Et_1B_013693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12682955:12687216:1 gene:Et_1B_013693 transcript:Et_1B_013693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAAGRRSGTSRRRPSGGGEQQQQQQQLLLQQRLLAVAVASRVAMVTGAGTGASGTSPSGGGGGGRCLEDFFDCLLGVLRAVGLTWVAARPQRQPRPAPPRGASPADARRLAAELRGIPGRIAGNGACAVASLYTLQGRKGVNQDAMIFWENFCSRDDTIFCGVFDGHGPYGHLVAKRVRDLLPVKLSADLAMDEGRETSTSNIKSNANEVASPEHVDRGDTTISSDAEQNGEYPESFPVLRSSFLKAFHVMDRDLKLHKNIDCFFSGTTAVAMIKQGHNLIIGNLGDSRAVLATRNENNQLVAVQLTVDLKPNIPSEAQRIRQRKGRIFALPEEPEVARVWLPKYNSPGLAMARAFGDFCLKDHGLISIPDVSYHRITEKDEFVVLATDGVWDVLSNDEVVSIVSRATSRASAARFLVDLAHRAWRSRFPTSKIDDCAVVCLFLNTDEASESSSSMAHLANSVEVNSDQRSVMIQLSTGSSADLVEAIAKPVIHAKDG >Et_10A_001921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11115427:11122014:1 gene:Et_10A_001921 transcript:Et_10A_001921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDATVLGATFAVRVVKTCFILIGALFSDRGGRRRLRPTRQHGRRRRRSDLAYPGSLCRARVNLDTVPLQLHAQGVSLGMAVNRMACDVMSMTWVLLRLCRRGSDRVLHGDDQELHRGALEVEELLFDALLPSPSEDSVQHLLMTGDATGAMARLMWGSYICSLDSPTEAGLRLEEIKQAAAKAPHIRFQTGGRESSSWGA >Et_2B_021032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25811580:25816329:-1 gene:Et_2B_021032 transcript:Et_2B_021032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDPRPSASGWASPPPPAPPPPPPTGWLAGLVSGAGRILASVLGPEPSGCSSGSTAASDGDSQSVSCSPASSRSRGEDHNDGADHDESSLFPLQNNQLNQCERETVLKDYAGSLAIVSEIQVMLMLVGLLSQLLKRLADKQLMDILHLVQMNSHLQFQVMYGHGFDKSVAAGTIPELTHTNQGAFTHNADNIQPALKRNFSVREDACEEVRRVRPRINGNPFIKKIPKIKQVDIIRGRAANSCEDLKTKNPDESRDENILSADFSGANKLPYPNIISKVESADEVLDVSKKPSMVTQAFDSSSLQGGGDQINYGTTIFNRCSSKDLKKGFPLKVEPLNVRIPFEEQMDLSLQKQEHDVSDDSGSLSKLMLKEDIEAASSLPMGIQLQNGSKNRRRRQSNPQKATPTPSRSAAKGSRRKNNDNVVKSEMDLLEQSKLALMGQEPESGDIPVKRPVGRPRKAKFQLACGKC >Et_5B_045656.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6288071:6289141:-1 gene:Et_5B_045656 transcript:Et_5B_045656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAALTLAQLERRMSRIMIEVDERAATSIGNVLERATFAELVGAPHHSPGKKEEEEQEDEVDDTPEPAPPDGGGGGDNNCSAGEPRAAPRARGALRMDFASCYLPDHDEDAHFGHAKAGFVGVADGVGGYRDKGVDAGAFARALMKHALAAAKQAAATKRGRRNINQPVVTPHSLLQRAYIKAARERATGASTAAVVSLRGGRTLRWGYVGDSGFAVLRGGKTMAHWSAPQQHRFNRPYQLRAGGGGGDRVADAAVGEVPVAEGDVVVVGTDGLFDNVFDAELEWVVRAGTELGLSPQGMADKLAAVARKMSRRSTPSPFSVESSRHVKDGKRPHYGGKEDDITVVVAYIVSKNS >Et_9B_064892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19593251:19595726:-1 gene:Et_9B_064892 transcript:Et_9B_064892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAGKRLIAVTAGGQASTAVAAPAAAGAAMRRGYHERVVDHYNNPRNVGTMDKDDPDVGTGLVGAPACGDVMKLQIRVDEASGKIVDARFKTFGCGSAIASSSVASEWVKGKQMEEVVAIKNSEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKKAKLAMADE >Et_9A_061698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15388318:15397327:-1 gene:Et_9A_061698 transcript:Et_9A_061698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGEGSLAGGGRSADGRFSYGFASYPGKRASMEDFYEAKTYESESGETVGLFAVYDGRGGARAAEYVKQNLFTNLIKHPKFISDTKSSTDLEFLKADSSQTRDAGSSASTAIIMGDRLIIANVGDSRAIICKGGEAIVVSKDHESDRSEERQRVEDAGDFVMWAGTWRVGGILAVSQAFGDKLLKQYVVADSDIKEEVVDKSLEFIILASDGLWDVITNEEVVAMVRPILDPEQAAEKLLLEAFQRGSSDNITVIVRFLDGTVGDGLSEEVEEVILRCSPLTENSKDICVLNEEVKSASLSTNAAQYELYNRCLAIAEKLVSHSVNYSSLKSALISDSDDEGFSKDHHILTTLLPCIEEGVTFCIEKFENAVEEIRLSKSCFHDIIIFDQISVDKWSFPLPTLIKEEIVPKLCDVHHRIEQLDVLNIELEIEVSVLIDGLKKLDEALGTSRYELEKKHSELEQLDQKLSSAKEKLSIAIAKGKGLIVQRDNLKQSLSAKIERLTQELHSKDALLNELEAKLKSFTEADPEADRIEALESELSYIRNSATALRDSFLLKDSVLQRIEEVLEDLELPEQFHSRDIVEKIELLSKMAVGASFTQFDGHSEAGVNYEQNSNSNNLSDEAMNKYDELQRRFYELAEHNNMLEQSLVERNNLIQKWEEVLGQISIPPQLRMLEAEDKIAWLGNKLLEVEQERDSLQLKIEHLGDSSEMLITDLEESHKRISELSAEVVAIKADKDFFSESLEKLRFEFLGLSEKVIQDEFVRDNLRKDLSELQEKLAEKTEECKQYHDVDAEIQKLLNLVQGTLQDGNNFDIPSGATSAVLCLGDLLRKLLDDYGTLSSKSTVDTIAETEIHLEETKSSNNASTQETGTYNKMELNTLNNELNHAHDSLALVEQQRDEAVEKAQSLMLKIETLHAEINKLQESGVEQTQKHESLLLEIESAVKQRDDVQEQLALVEQQRDEAVDKAQSLMVKIETLHAEINKLQESGVKHTQKHESLLLEIESAVKQRDGIQEQLALVEQQRDEAVDKAQSLMVKIETLHAEINKLQESGVEQTQKHESLLLEIESAVKQRDNIQEQLALVKQQRDEAVEKTQSLMLKIEALLAEINKLQESGVEQTQKHQSLLLEIESTVKQRDDIHDKLYQEEQKCASLREKLNVAVRKGKGLVQQRDSLKQTIEEMNIVIENLKDERKQHIETLEAEKSSLMGRLAENEKNLHDTNQCLSDLLNVLNEVDVAQEFDMDPITKVKQIEKFCLGLQARVVSSQNEVKKSKQATELLLAELNEAHERADSLQEELSESSKQYNLMESARDDVVRQLEDIMYVQSQTREKQLDHLMELNSKSSMLREGFFELSHCLINAFNKDVDLICHMESFMKSSSKWMDGANMVDIPIASEHVLSNSIKLKKAHIPNAPLKIKASDTDERHILHHLAVACHTLSDCIKDCNDIKRSIDEHGFSVEQKATELFDVMSNLQNRFTSQHNVVESLSKKLSGLQSEIKEKDKEMISMERKMSLLYEACTSSVAKIEAMSDICPGNRSYGVEHSAEECIKLIVDQLVASQNSKDGRIKELTDELSRKDQEIDSLMQALDEEEKELEVLENKSNHLDQLLQEKELTLKSLEVSRTKALAKLAMTVEKFDELHSLSESLLAEVENLQTQLQERDADISFLRQEITRSTNELLTTEESNKKKNTVAVGAHCESIDDYDCTQVPVYMDMLDNKIGSLIVESDDLKVKAQNKDFLLQVERTKMEELLRKLEALEVSLSQKDSQIGLLRRDKTSSQPSRSIYLPGTSEIEQMNDKISPAVAVTQIRGARKVNNDQVAIDVDMEKDKPLDEDDDKAHGFKSLTMSQFVPKFTRPISDRIDGMWVSGDRLLMRQPTLRLGVLIYWIALHALCTLQFGLEY >Et_9B_064055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10871661:10873763:1 gene:Et_9B_064055 transcript:Et_9B_064055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLMGMKMSLTKNPTKPMTTNPIAVRVATLVNSAQDKAIRSGETMDLSTRTQGSKPRTDGESGLRTLAVGLVATLDEADAVLGELTERVDHRVHGVHGFATAALGGDGERDLEASSLRQDDLELVVALRVVHGLVRLSCTYRPVSQPSLVGRTRTGRAVCTLWETFVLRTYDVGTTALPTIMPTCPGVFSLIYWGFSLCGSPRGSGGQGSQAHGRAPGNGAVQGFPWQVHGRVVAKEALRK >Et_4B_037616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21862604:21864253:-1 gene:Et_4B_037616 transcript:Et_4B_037616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRCRGGLLDGEERRMRAVTAEIDQPPRPGYGVAGAAETPPDRTVGPVSLSSAAPPTAGRRPPRRNRESSAHPFLVTGAPPRRVVICGGGVVGACTAYFLSTHAAAPTVPTLFEKCAPACAASGKVGGFLALDWCDSDRRSRRSRGPPSRSTTATLDGAETDGFRLVHTLSICVSTISMPTSPPHPLLPAWVDPDASVPLPRELGTLDTTAQVHPGLCTKAVHAASGAEVVIGEVERVVVRDGNVARVAVKGRDGVVGADAVVLAHGPWTGRLELARELGVSGRARASCSGRANRRRSRRTPSSSPTSRSPAPRRSPLTCSRGPLLRLRRWPIAATDLTHPIHVELLLSSINHDRLTNVARDVCTTDCRGGGQSKCEDAPDDPATITVEPDYGDAAQSRRESVQPSEDGEGRRAGRGCYRLWTAEGLPIIREMLGVKGHRARPLGHPLMFFTDAHKSLDVLYCVKNIDKCKKVQLVFPKCEVSATDVV >Et_2A_015088.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29344083:29344154:1 gene:Et_2A_015088 transcript:Et_2A_015088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWIWHRHWGFLLQGRIRQARG >Et_5A_042342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:905395:924130:1 gene:Et_5A_042342 transcript:Et_5A_042342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNIELASLHMYLTAGLLRIEKLSPENSFNGGKEKEVGQNMYIQRSIAVKFGFRLKKPEMDPCTGRKDSGDNVEPLEMSYKLLQTITNDFSEDRIIGHGGFGVVYKGTLENGKPAAVKVIREMFALDNEAFKNEFQNVAGLKHDNIVQLLGYCDDQEEETVVLGDGTKVQAFKMRKALCFEYVENGSLQGYISDENQGLEWHIRFKIIQGICEGLKHLHVGLEKRLWHLDLKPDNILLDGKMTPKIADFGIARLIIGDEKTRQTVHSVGTIGYWPPEFVRFQIVSEKFDIFSLGVIIAKTMIGSKVYNDYATMPPRKLVKKVHNIWKERLQETVKPRALESYCQQVKACIEIASKCLAEDRTQRPCVQDIVVTLNEVDTVIPMEIEQNSRNHPDVMPRKLPLSLIQKMTNNFSKERIITLTRRTELYMGVNENEQVVFVRKLSDYFYDDESFKEIIEINHKNIIQLLGYCSETMSQLEKIDGRFAAVEVKVRALCFEYMRKGSLERHISDAYHGHDWCTRYKIIKGTCDGLNYLHEGRPNPYYHLNLKPSNILLDENMNPKIADVGVNAFMDDGTHMMREIFSLDMTYIPPEFISKQVITKEFDIYSLGIIILEIITGTSEFPLIFVDKKPDEFIELVLGNWRKRLEATLKPKLVESYCQQVKKCIEIALNCVEEDRLKRPNTGDIIRMLNETEKLNRDADHLLHVHPMELRFPFRPREHVSCLLQLLNKDGDRVAFMLQSNNQKGYLTKLPLRGVVPPRGTYTLALTTSIQPQWPPAPDNSDEGFVLQSKIVSDHQERQLRCLDQASIVAKYDNLFARAPKPRLSQLVGWLRTWLHTPSQSGLSSLDLEFSRRGILQVYVAKFITQDEWIVAGDGNGHIHVYSYDGSEEATSFEAHNGRIISLAVHPTDSYVLSASQDDDHLIKLWHWDPCWDLHKGSWECKATFEGHSNKVSQVLFNQKDTDSFVSASWDGTVKFWDLKSNVCNTTITLDNHPDPLLCVDYLTVADHPHLITGSKDGTAQIWDLETKRHIENLQGHTDQISVVYYHPELQKLITGSLDGTVRIWDSTTYGLENIIAFNLGEVYDFGYIEDLQRCLASTAQSP >Et_5B_044652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4291616:4299360:-1 gene:Et_5B_044652 transcript:Et_5B_044652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEETEVQVRALDGRSTAVKLGAGASVRDLKAALRSTFPPAQVSPSFHLFLKGAKLRLDTEIGGLGIGDGEFIVLVPFTRASQQSSSVCTPSREQGINASKAPEVSAAADSAWQDIMDDLSSIPTSPPSDAASKDFSSSLPCSEDLMTCHGSSNGSSRKRRKTCKENGNVSPEPEAPSLTVNTTSDKRNMSKKSGVVKSPASSCHGMQHLEPAEMVEHLKQGLGKEGQIVHVEEIPSREASFVDLPCHLSEAMREALNSIGISRLYSHQALAQDQLRTLQDMNNAFHIGIDVKIYDGDTPKEDRLWIRDNARLFITNPDMLHVSILPYHGQFQRILSNLRYIVIDEAHSYKGAFGCHTALIIRRLKRICSNVYSSHPTFIFCTATSANPCDHVMELANIDEVELIQNDGSPCGSKYFLMWNPPLHTEKEGSSKGSPVTRRSSPIVEVAYLFSEMVQHGLRCIAFCKTRKLCELVLAYTREILQETAKELVDSICVYRAGYIAEDRRKIEADLFGGKLRGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRAKKSLAIYVAFEGPLDQYFMKSPHKLFGKPIEHCQVDSHNPKVLEQHLPCAAYEHPLCLQYDEHYFGSSLDSVMTILKYKGYLDNNPSGPFSSSMWSYIGPEKSPSQTVSIRAIEHDKYKVIDRLNDRLLEEIEESKAFFQVYDGAVYMHQGVNYLVEELDLSSRTAFCRKADLKYYTKTRDYTDINVLGGEFAYPPTITCKTNCVKTTAQANDCKVTTKWFGFYRIWKSSNKISDSLELNLPPYSFNSQAVWIRIPHPVKLTVEESKLQFRGGSHAASHALLNIVPLHLMCSASDIGTECANPHETRGIPDRILLYDSHPGGIGIASQVQSLFGELLIAALELVSTCNCTSAAGCPNCIQSLTCSEYNEVLDKEAAILILKGVIDHERSYFEAEDACHRS >Et_2B_021008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25639926:25645701:1 gene:Et_2B_021008 transcript:Et_2B_021008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGDRITTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDQQWEFQPKKLAVGDAITGGDLYATVFENTLMQHHVALPPGSMGKISYIAPAGQYSLQDTVLELEFQGIKKQFTMLQTWPVRSPRPVSSKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSEAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGSPDRTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKALESFYEKFDPDFIEIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFNTLANQAVERAANADGQKITYSVIKQRLGDLFYRLVSQKFEDPAEGEEALVAKFQKLYDDLTAGFRNLEDEAR >Et_1B_013077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5275665:5279071:-1 gene:Et_1B_013077 transcript:Et_1B_013077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGMERAGYGAAAAMGGVVLSRDPKPRLRWTPDLHERFVEAVTKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGKQNKKDNGLEASRGAFAAQGINFATPAPPSIPSAASNNTGETPLADALKYQIEVQRKLHEQLEVQKKLQMRIEAQGKYLQNILEKAQNNLSYDAGAANLEATRSQLTDFNLALSGFMDNVTQVCEQNNGELTKAISEDNLRASNLGFQLYHGVQDVEDVKCTPDDGLLLLDLNIRGGYGHRSSSDLKINQHMRGDPKNSALQSSATEQQILN >Et_1B_010874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1512399:1515786:1 gene:Et_1B_010874 transcript:Et_1B_010874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSTSAAAAILASRLLRRTPRLLRRLGSLSCAPPAALSARQSSSRCPAPLGRQQLGHRARMGHSTAAAAAPAPALGLTKPNVVEPPQVTFSAKDVEFSEWKGDILAVAVTEKDLSKGADSKFENAILKKLDGQLGGLLSEAAAEEDFTGKAGQSVVLRLSGQGFKRVGLIGLGQDAPSTAAACRGLGESVASVAKAAQATSAAIVLASPGGIQEEFKLNAPATIASGTVLGLYEDSRYKSEAKKVHLKQVDLFGLGSGPEVDKKLKYANDLSSGVIFGRELVNSPANVLTPAVLAEEASKIASAYSDVFTATILDVEKCKELKMGSYLAVAAASANPPHFIHLCYKPTGGNVKRKLAIVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVFGAAKALGQIKPPGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKIIDLATLTGACVVALGPSIAGIFTPSDELAKEVAAASEISGEKFWRMPLEESYWESMKSGVADMVNTGGRQGGSITAALFLKQYVDEKVQWMHIDMAGPVWNDKKRAATGFGVSTLVEWVLKNSSS >Et_8B_059396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17589413:17593156:1 gene:Et_8B_059396 transcript:Et_8B_059396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASYFLFAAFLALVASHAIASDPSPLQDFCVADMHSPVRVNGFVCKDPMAVNAEDFFMAANLDKPRDTKMSKVGSNVTLVNVMKLPGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNMDNGNKLFTKVLNKGDVFVFPEGLIHFQFNPIHDKPAVALAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQKHKQLLSLLQRSSSNRQKL >Et_10A_001179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21923207:21926594:-1 gene:Et_10A_001179 transcript:Et_10A_001179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKVKRRVGKYELGRTIGEGTFAKVRFARDTETGDPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTKIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSYGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITIPEILEDEWFKKGYKRPEFDENYDATLDDVDAVFNDSEEHHVTEKKEEEPVTLNAFELISMSEGLNLGNLFNSEQEYKRETRFTSKCPPQEIVRKIEEAAKPLGFDVQKKNYKLRLAKVKAGRKGNLNVATQILQVAPSLHMVEVRKAKGDTLEFHKFYKNLSKTLKDVVWKSDDTKIQLAS >Et_10B_002555.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:15644630:15644869:1 gene:Et_10B_002555 transcript:Et_10B_002555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LPSLNVRDSGQGPAEPSTAALAAQPPLAKPSTFLRRYRSLHPPSLLGFVEDYDFLPVEAPHPNAAAARPIVWLAALRRP >Et_3A_024038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16487587:16495238:-1 gene:Et_3A_024038 transcript:Et_3A_024038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLFASATATRVAAHWVVDALAGDETLDFSVLKALVGASPECLKGAPETTRERVALRCLQEVASVSSGAGAAAATGVLRVDTDRSCEDLLLELVGEVGSSGTLEKDMLPPFSQDIHNVICMKKSTLPETLLELLKEVDPEITSMVSPSRLEQNGTNQHDNDQSLGSSHDHVNVEKPKSPTVNGELQQLTSENLVDETESRNLEEDPITRTFVLHQPCTYDSKSDHPQEHDAGAVDLGASPPQMSPTRDEGVLHGAVHASAVCDATLQGCVTEPLSKKDTEVHAAMVPPESPREQSPNPPPHYINGERLYDDGASDQSLKKPSHEGLGTHAALAPSFDRSSDALPTNASEPGHLPEFVATEDSTMISPPHSSRTDLNALQHESREKVNQVLDDVNASIQPAEKDHVLEELTLQASVRCNEAIQGGQSETNHLPGNDTEHTTLFEQNGDKSDKENRGVDKVNQALHDGASISEKKMFHVGLNGQAAPSSQNCNLVLHDKISESNYSCEENTGKRTDVQKNSCSKSAPYSAQDVNGTRTTDSSNKATLGDTSAGMPRVSSPYDSLHGIAAASLLSMTNKIPSWPMDQEINDSLEGFSQQDLCIKCGKAGQLLKCSGCLLAAHDSCFGSSATFQEVDLFYCPVCFYRKATEAYQKAKKTYCEARKNLATFLGTTQTVSQHDEQLTGVLPRAPNGEGQSNFCDSSKRKNTHQHKAINLAHQDEEPDQQRKKQKVYATGNVYPEEMVTGKASPVENPNNVTIKNNELGDTERQQEGENRKVVNGNSSRGTRSSSKKGCDPPANQEVEADKEDDPTKSHQSNDSDEIEATSSNDSGKRSSPPWRKTRHSKSGFREKETVVSSNSRKTIVQHDQHLSSPSRKRNYAPQKRYSNPVAPTGRRSKLRWTEEEETTLKEAMAKFIPKDDGPIPWVQILEYGRDVFHRTRLPSDLRDSRFCQPTYIPAVSQRLRLNALPSTSMSALMLSNTVGK >Et_2B_019287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21641344:21642522:1 gene:Et_2B_019287 transcript:Et_2B_019287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSNAKSYNNCFPPIKAIDSKVAMSGHRPPSSSRMPPQPQPFRFWLPFRSNVGSWRQQPRPPSSRPMPPSPQPPPPPPTPAERSRSPAHSVEEDIPIQGDSSDESDRISVQSSGSSQLRGRGGPTMADLELTLSGAPATGQEQSLGRDGNDTKIAISGFPRSRLFDGARSPYRQEIEDGLKSLGAGRAPRPESGSGQGQGYRVITLAGHNVGASMVVGSGGPREAQDPGSTVRPPTVAANVNSNVQNVNNSSMEGSTCSAGNPGVHVDIKNAREEPTPPREDEKPKEPKKRPPLAVPATAPEKSAAAAPAKARPRRCLRSLMMENGSEFQAEAARKPKPSACKFQCVSDHKPPAATSNGGGAGGRNAEDGGKSGTKEAN >Et_10B_004386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5675008:5676623:1 gene:Et_10B_004386 transcript:Et_10B_004386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGASAPAAAAAEGKMTMVAAVDDSDHSYYALQWALQHFFLPPAGQPQQYRLVVVTAKPTAASAVGLAGPGAADVLPIVEADLKRSSMRVIEKAKELCAQVSDAVFEIVEGDARNVLCDAVERHHAEMLVVGSHGYGAIKRAVLGSVSDYCAHHAHCTVMIVKKPKQPKH >Et_7B_054907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5405243:5408093:-1 gene:Et_7B_054907 transcript:Et_7B_054907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKEILYQLWRARVLALSQANTIPHVKNVRDKSSFEIRASKKIEASDGYAFFVSLLCFIPYVRVWRCLDHDAALKRSPSPCQGRRRRRAGN >Et_7A_051614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21314781:21324002:1 gene:Et_7A_051614 transcript:Et_7A_051614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSRKRAEASSSSTTSPSRSSKRSRPNPNPNPNPNPPAASASPAPPPPRTRRSTALSALPAAAPRPPMDSSGDNNPNPPPRRRGRPSNADKGKEQQQPEPSESSRVREAERLLGLGFDAMEDDDDAGFGAGAIPHSLTSASTALQGLLRKLGAGLDEILPSSALSAAAAAAASSSSASGQLSGRLKKILSGLRADGEDGRQVEAMTQLCEMLSIGTEESLGAFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCSAVVHYGAVPCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALATAANMCRKLPSDASDFVMEAVPLLTNLLNYHDLKVLEHASVCLTRIAEAFASSPEKLDELCNHGLVAQAASLVSVSNSAGQASLSTSTYTGVIRLLSTCASGSPLAAKTLLDLGISGTLKDILSGSGLVAGTTVSPALTRPTDQMYAIVNLADELLPPLPVGTISLPAYSHVYMKGSSVKKSASSKQGEPGSTENELSGREKLLRDQPELLQQFGMDLLPTMIQVYGSSVNGPIRHKCLSVIGKLMYYSSAEMIQSLLGTTNISSFLAGILAWKDPQVLIPALQIAEILMEKLPEIFLKMFVREGVVHAVESLICPELSSPATQLSQLDNQVDSVASSRSRRNRRRGGAVNTENNLPDESKGSHPVMANSASSTAEVPNNGLRASVSDRAKSFKDKYFPSDPGSSDTACTDDLLKLRTLCAKLNTAADSIKTKAKGKSKALVTNTFDVLCNVEEQLDDIIAEMLSELSKGDGVSTFEFIGSGVIAALLNYLSCGTFGREKVSDANLPKLRHQAVRRYKSFIFAALPNDEGGNKTPMALLVQKLQSALSSLERFPVVLSHSGRAPTLGGFRLSSGLGALSQPFKLRLCRAQGEKSLKDYSSNIVLIDPLASLAAVEEFLWPRVQRTESVSKPVMSSANNSESGAASSTVGAPSVPSSTQSGRRASLRSKSSAATTGAVNKDGPEGSVNASKGKGKAVLKTTSDEPKGPHTRNAARRKAASEKEVELKPSHGHSTSEDEDLEASPVEIEDALMIDDDDDDVSEDEDDDQEVLRGSLPNCLPESVHDVKLGDADDSSVASLANDNQAQPSSGSSTKNTSSRGLDSAEFRSPSTFGSRGPMSFAAAAMAGLTSVGSRGVRGSRDRSGLPFGTRTSEHYNKLIFTAGGKQLNKHLTVYQAVQRQVVHDEDDEDRLGGSDLPDDGSRFWGDVFTITYQKADNSVEKGPVGVSASVTKSSKSGSCKGSEAQCTSLLDSILQGELPCDLEKSNQTYNILALLRVLEGLNQLSPRLRLQATSDDFAEGKIATLDGLYNVGAKVPFEQFVNSKLTPKLARQIQDVLALCSGSLPSWCYQLTRACPFLFPFETRRQYFYSTAFGLSRALHRLQQQPGDNNNAASEREVRVGRLQRQKVRVSRNRILDSAAKVMEMFSNQKAVLEVEYFGEVGTGLGPTLEFYTLLSRDLQRADLGLWRSHSPDDSGMQIDGSGDDLTAKNLESDSLVESRNLVQAPLGLFPKPWPPSATASEGSKFFKVVEYFRLVGRVMAKALQDGRLLDLPLSTAFYKLLLGQELDLYDILSFDAEFGKILQELQILVERKRFLESSSGENKQIEELCFRGSPIEDLCLDFSLPGYPDYILKEGGENVVVNIYNLEEYVSLVVDATINTGIMRQVEAFKAGFNQVFDISSLQIFSPQELDYLTCGRRELWEPDTLVEHIKFDHGYTSKSPAIINHSSSATNTSNATGAAETADDDLPSVMTCANYLKLPPYSTKAVMQKKLLYAINEGQGSFDLS >Et_2A_014792.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:15269042:15269107:1 gene:Et_2A_014792 transcript:Et_2A_014792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRRRSPNFSAPFVWKITIP >Et_4B_038969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6439403:6441397:-1 gene:Et_4B_038969 transcript:Et_4B_038969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWMILACVVAAEAAVAALLTIPAPRAVRNQIVGLVSLLLQPIATVIPFAAFQLLDIYWKKEHRLMCTSEVCTADERIRFEKTIFKAQRNVILCVSACLLYWCIYRIVKYNKDIKALEEAERRLKEE >Et_1B_014427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8448221:8450818:1 gene:Et_1B_014427 transcript:Et_1B_014427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSMDPQVTVHGDWVSAVVPLMKLLCLTVIGLVLANPRVQIVPRATFKLLSKLVFALFLPCLIFVHLGPSVTIDNVLHWWFIPVNVLISTAIGCFLGYIAALICRPPPQFFRFTVIMTGFGNTGNLPIAIIGSVCHTEDHPFGPGCDRKGIAYVSFAQWVSVILVYTLVYHMMEPPMQFYEIVGEGNEIQEEPEQISSSNFSRPLLHEAEWPGMVDKVTEHSKTPFIARVFMSISGSSQNTFPDIDFTEEGTSGGGPSSPKSLRCLAEPKVVRRMRVVAEKTPIQHVLQPPTIASLLAIIIGMVPIFKDFVFGADAQLSFFTDSLEILAAAVVPSVMLILGGMLAEGPKDNALGIRTIVGIIVARLLVLPCVGIGVVMLADRLNLLVPQDHMYRFVLSLQYSTPSAILLGAIASLRGYGVKEASALLFWQHICAVFSLSLYLIADIDWKLMFYDVPFKAILGPVLKVKALKIMKACQAGWYLTVQIVVTEVHQGELLKLS >Et_8B_060776.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:787346:787786:-1 gene:Et_8B_060776 transcript:Et_8B_060776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGTTHAPAPAGPDGRPSPSRFTALELAAAEHLIHLSESSSSAAAFTPRGPASVGSASSTSSPRSVNAPAVPRALAALAAAEDDDDEQEVGGRPRRNKRYRPIAEIYAATAPMRKTKPIGARGGRKDRPKPAAGAGKETVRKK >Et_9A_062487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23425678:23427236:-1 gene:Et_9A_062487 transcript:Et_9A_062487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESARKRTLLKVIVLGDSGYVSKKFSQQYKATIGADFLTKEVLIEDKLVTLQIWDTAGQERFQSLGVAFYRGADCCILVYDVNAKGTFNNLNTWHDEFLNQASPSDPKNFPFILVGNKIDLDGGSRRMVSEKKAKEWCASKGNIPYFETSAKEDHNVDTAFLCIAKLAMEHEHNQDIYFNTVAEQVPDTEPRSGCAC >Et_2A_014747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11616341:11617522:-1 gene:Et_2A_014747 transcript:Et_2A_014747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELMYRYNDALEAFLLSIPRHCLHSLVTSMFTAHAVDVAAKAATLAVVTQLPALLAGRTTGLRELGEAPLEFLGVPPFPASDIMPEVLEHPEEELCKAMVNVWARNTDTDGVLVNTFESLESRAVQALRDPRCVPCRVLPRVYCIGPLVNNGKGLAEDQDARASRHECLDWLDAQPELEQLKEIAVGLDKSGHRFLWTVRTPAGADDSSNLDALLPVGFFERTKGRGIVVESWAPQVDVLQHPSMGAFVTHCGWNSTLEAITYGVPMLCWPLYAEQKLNKVLITDSMGVGMEMQGYSVGFIKAEEVEAKLKLVMGPEEGTKLRAQVAARKKYAQTALEDGGSSQAAFVQFLIDVNNLPNQELVGI >Et_2A_018295.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21768420:21768791:1 gene:Et_2A_018295 transcript:Et_2A_018295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLPVKRGDSEPGPPRARSVEEASAGLRAPCGACKFLRRRCLPRCVFAPHFGGREHGAGGAAQFAAVHEVFGASNMAKMLSRVPVTLRRGAASTVCYEAQARVADPVYGCVGTILALQHQVN >Et_8A_056539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11846251:11853467:-1 gene:Et_8A_056539 transcript:Et_8A_056539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRSQKTPVMIVVGNANTEVSPHVLVVDDARIDHFIASKLLQCSNIRVTAVDGPKQALKVLHEENDVKLILTDYCMPEMTGYDLLMAVKESPELKHFPVVIMSAESIPSRIKECMDGGAKEYIVKPIRATDIALASGGNGCCGLRASVESTRVGSTI >Et_1A_007680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37128178:37130665:-1 gene:Et_1A_007680 transcript:Et_1A_007680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPPAAAEEKAVASAEETPRTARPAGKRRFLECYALPNPVYSCTTLTSLDLYNWRLRKLDICSYRPLCISVKRAPRLDTVELNLFYDSYTWSGHDTMDSDQDYSLSETKKMAEREQKQTDEIGNLVTFLGGLGCTKNLRLSMCTTYSKVLSMAKVSMPKRLPKKKFWEEQINGDCVLNHLSSIDIYYIDSLFEGYPCLGLCQFLVMNARALKRMSIQYHRLQVKPDQVAMVEAVRNEVQLWPRANPNVLLELSPVDRYPSF >Et_1B_014116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31303350:31305435:1 gene:Et_1B_014116 transcript:Et_1B_014116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLNNQIVETLPEWRDKFLSYKDLKKRLKLLGGAGERARKRQRIGDGSPPAMTAEEAAFVALLEAELDKFNGFFLEKEEDYVIRQKELQDRVVRAAETESAEELMRVRKEIVDLHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQSVMQEPFFTTDLLYKLVKDCEAMLEQLLPRRPSEDAREDSDGDDKPANPSTSLANGAGGRALELEEIEVMESMYMKSTVAALRALKEIRSGSSTVSAFSLPPLHGGGAQEQQEG >Et_8A_056668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14796107:14797151:-1 gene:Et_8A_056668 transcript:Et_8A_056668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPNMSGTDPDNELFCRFILAGMGPVISSLDPRCRYVSSRIRPISVGMSPVRLQKERSKYISMGSETILCGIGPDNPLFLRLMYLNECELLNRYVGYEGCACYVATPARFAQKGHCNKDRETPAVRDFVWYQEWCLRGHSKKDSEPLVDVSDQDRQRLSWSRKERLPMCGLSSPWRRTFGNDNMVTHGR >Et_9A_060885.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:11325128:11328965:-1 gene:Et_9A_060885 transcript:Et_9A_060885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINLPKAAKQTGVQLFSVVSAECFGRDVSPMHFASLLKECRSVNTVRQIHQRILASGLLSCPPSLLSVSLPPLPSQPYISPKSLGTGIVAAYLACGATNDALSVLERVTPSPAVWWNLLIREHIKAGHLDRAIGISCRMLHAGTRPDHFTLPYALKACGELPSYRCGRAFHGLICCNGFESNVFICNALVAMYARCCYLEEASLVFDEITQKGIDDVISWNSIVAAHVKGSNPWTALDLFSKMTTIMHEKATNERSDIISIVNILPACASLKALPQTKEIHGYSIRNGTFPDAFVGNGLIDTYAKCGSMEDAVKVFNMMESKDEVSWNAMVTGYSQSGNFEAAFEVFKNMRKENIPLGVVTWSAVIAGYAQRGCGQEALDAFRQMLIHGSEPNSVTIISLLSACASLGALPQGMETHAYSMKKCLLSLDNDLVGDTDSEDLMVHNALIDMYSKCRSLKSARSMFNSIPRRHRNVVTWTVMIGGYAQYGDSNDALQLFSEMVLKPYAVAPNAYTVSCILMACAHLSALRMGKQIHAYVIRHHRYEASMYFVANCLIDMYSKCGDVDTARNVFDSMPKRNDVSWTSMMSGYGMHGRGKEALEIFDKMQEAGFVPDDISFLVLLYACSHSGMIDQGLDYFDSMTKDYGLAASAEHYACVIDLLARFGRLDKAWKTVEEMPMEPTAVIWVALLSACRVHSNVELAEYALNKLVEMKADNDGSYTLISNIYATARRWKDVSRIRQLMKNSGIKKRPGCSWVQSKKGTASFFVGDRSHPLSPDIYALLERLIDRIKAMGYVPETNFALHDVDDEEKNNLLAEHSEKLALAYGLLTTSPGCPIRITKNLRVCGDCHSAFTFISKIVDHEIIVRDSSRFHHFKNGSCSCGGYW >Et_4B_037609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21789545:21790278:1 gene:Et_4B_037609 transcript:Et_4B_037609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KIGEGRVLCINPYRCRLQVWFLDESCDQIEWVSKWNNNLKPRNACPNYDDYTNRTWLLQCYSEYNKYYMNCINDSPHGEESGWASDNDDFVSNEYMADHIEDYEEENVPSPEYGDIHDAYGNNIEVPEDMFQWCPDYDDNACYNEARDEFYSGSLRFLGFHPYKEIIFINDTYNRVLACHLDTSKIEDLGGLNLDSSKIEDLGLNRYAEAHTYIFCIHTPCWMVEGSPKNN >Et_5A_040428.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:21581610:21581765:1 gene:Et_5A_040428 transcript:Et_5A_040428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVVSAVLGELATRSINFFINKSSKSTLLDAEDCLRRVVLRAHVIIDEDM >Et_9A_061854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17106463:17116690:-1 gene:Et_9A_061854 transcript:Et_9A_061854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTVLLALSVLLVIILSKLLKSVVVAKPKRNLPPAPWTLPVIGSLHHLISSTPSPHQAMCRLAKKYGPVMMLRLGEVPALVLSSPEAAEEVLKTNDLKFADRNLNATLNALTYNGTDLTFAPYGERWRQLRKICVTELLNPGPARMLSYRHVREEEVSRFVQNLATLAGSSTGSPVDLTKMIYKFINDTFVRESVGSRCKYQDEYLDAFRTALRQTSSVTVADIFPSSRILQLLGTAPRKVFAARSRMQRVLQMVIKEKMEAMERGDEDGPGNDCFLGVLIRLQKERSAAVELTDNTVVALMFDMFAAGSETSSITLTWCMTELVRFPAVMAKAQAEVRDAFKGKSKITERDLEGLRYLKLIIKETLRMHPPGPVLIPRVCRETCQIMGYDVPKGTVLFINVWSIGRDPKYWDSPEEFKPERFENNNLDYKGTNFEYLPFGAGRRVCPGINLGLDNIELALASFLYHFDWKLPDGIEPKDVDISEAAGMAASKKTSLILHPSKVLLAVSVSTVLVVLLSKLLIKSLLVAEKPKLNLPPGPWTLPVIGSLHHLLAGGLPHHAMRRLAHKYGPVMMLRLGEVQALVLSSPETAREALKTQDLAFADRNVNPTLKALTFDGNDMALAPYGERWRQLRKICVTELLSSTRVQSFQRIREQEVARLVRDVGASAATGSAVDLTQMVAKFINDTFVLESVGNRCKYQHDFLDAFSTGLRETFSMTVADLFPSSKVLQFFAMAPRKVLTCRKRMQRVLEQVIQEKAEAMDGGDEATEGFLGVLLKLQKEHTALLDHASLIGLLFDMFAAGSETSSATLIWCMTALMRNPAAMAKAQAEVRDAFKGRDKATEQDLGSLSYLKLVIKETLRLHTPGPVLIPRVCRETCQIMGYDIPKGTVVFVNVWAICRDPKYWDEPTEFRPERFQSNNIDYKGTNFEYLPFGAGRRMCPGITLGVANIELALASLLYHFDWKLPDGMEPKDVDVSEVSGLAAAKKTSLIVYPVTHVPLAKA >Et_8A_058243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3311931:3313984:1 gene:Et_8A_058243 transcript:Et_8A_058243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVEANCAKQSDLHDAKTIKAACPCMKLLLLFGFTPRSSCPGWSSSRGQAARHRPCCGRHDDVEADVVGGRPHERRLERRHNRRRHGTEQDLLDGQRLWPLPGHRVAARKPQQEQPLRLVPIERALEPRVDGIERAAVAVQAPHPVHPEVEVVVDVAPRAASADHLEDDSAEGVDVRLARGLLSEETLGSNIPDGAMNAAADLVHVGGLVRLDGARQAEVAEARAEGGVEHDVGGLEVAVHHPLGVLFVQVMQSRRHSLDDVVPEFPPQQLPPRR >Et_8A_056757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16370036:16372550:1 gene:Et_8A_056757 transcript:Et_8A_056757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKIMFLTLPPFGDDCKANYNHDDDNPIDHSSGNSPLNSNTKNVQGPPEKMREAPQDVSPVKLILDDDPMDVENKCSSFAGGQIPGGIPGSQTPKCSPFEDSKVDIGKMSSFGPQIPGSRPEFPASATNGDRLPDWRLQPSPPDNNRKRKFDNPILLSNSYMRKQIDGIKLYNLVGKRRKLPLTALETWKVIRMNRNNSFFLVPLFHGMCTNLHTTYERNLPRVSSPEPEAGLGNGGCQDAPPGCLPLEHSSGNGDAQPKPLPTTESQGKDDNDTLPELPRFSPSEHMSPWITDGDSAFRTPSTDIPPSDGNYPVDGQNTRDSCYMASLFPIDEDNCDQPRIPGLISTPGTGSTGLESMPARTRAAAQCFKNHTPSNSSDEQPRKFSLNGLLDGRTRKEAARMFFETMVICASADNFFSVMTVSMSNKRNRMVILRFQLTPRSRQPNFEEVSLESRKK >Et_2A_015252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:133709:135243:-1 gene:Et_2A_015252 transcript:Et_2A_015252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYQLLLLLLPARPACGLLVSLVVVAYIYEWQRPHLAGRKAHSSPSSTAATTISAAVSSLLLGFSTWRMSTANRRPYGRGGPPTTGYVRRGSVPANAAKPRAAAPVALRKPVFTTIDQLRPQTHGHTLVARVISARSILDKPSPHLGRSRLAECLVGDQTGTILFTARNDQVDLLKPDTTVIFRNARIDMFKGTMRLAVDKWGRIEVTEPADFTVKVENNMSMVEYELVDVVDEE >Et_7B_054890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5205152:5207555:-1 gene:Et_7B_054890 transcript:Et_7B_054890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVNTTEEEPMLAVVRFTAELAWADAGPEVAEPEVARLCLEAQEHILAGRWVDMASLMLASADLLLKSSRCVLSVICSLVAKAGSEAEALQIAKNICSKLGHQPDDKPALRLKVLFSLYNLLPSPHGKAFVYKKALELATAGKAAEYIIPSFKNIDSFVSTWGIGNLEQRELFLAVARILKDQKGMNKEYANFLNKYLATFKGSEEDTNAIGAAKEEAVAAIFEFVKSSNLFQCDLLNMPAVAQLEKDEKYGLVYELLKIFLTQRLDSYLDFQTANSTLLKDHGLVHEECIAKMRLMSLLDLSSRCSGEIPYSAIIDALKINEDEVECWIVKAIALKILDCKVDQLNQTVIVSRHTERIFGMPQWQALHTKLGVWRGNIASAISTIQANKFTEDGTRGMQGLMIR >Et_2A_018401.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:26864129:26865682:1 gene:Et_2A_018401 transcript:Et_2A_018401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGALLVPAGGWQDYPGRLTLFVSMACLVAATGGLLFGYDIGVSGGVTSMDAFLVRFFPSVHRKQAAAAADRGGNQYCMFDSQLLTTFTSSIFLSALAASLLAATFTRAAGRKWSMFAGGVTFLAGSALNGAAANVAMLILGRVLLGVGVGFASQSAPLYLSEMAPARMRGMLNNGFNLMITVGILLATLVNYATQKIAGGWGWRVSLALAAVPAAVIVAGSFFLHDTPNSHLERGRPEEAERMLRRARGVDDVGDEFRDLAAASAASRAVTRSWRDILRRRYRPQLVMAVAIPMCQQLTGIVLQTYAPVLFKTTGFGGSAALMSAVITGLVNLLATFVSVFTVDRLGRRALLLEGGLQMLVSMVAMGALMGAKLGFSGTGTMPPGYAAATVVVMCVYIAGFSWSWGPLGWLVPSEVMPLEVRPAGQSITVAINMLMNFLIAQAFLPLFCRLRFTLFFVFAALLAAMTLFVALFLPETKGVPIEDMAAVWEAHWYWRRFVTAGDGGGDVEKANSKD >Et_1A_008507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8216535:8221169:-1 gene:Et_1A_008507 transcript:Et_1A_008507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEEELEEREGEDEEELFYESLDRILSSSGSSTSASDDDGADRPRRRRSAGCDAAAAALDLWTSQPAPVQERRRRLLHLMGLAGDPSLARSDSGRSASDDAVNPPPASPVERSRSCGASSGRPPLGGGRLRSSLSNASDAALEAVEEEDPRCLIRNLDDGSEFAVREEFSVREVGTGRQLSVEEFELFIGRSPIVQELMRRQSFSTSNSNCTSNSQSGASTPMERSSSGSSNGGACSKRHSSWLRSIRSVAGSIVTPSRDRRSSDDKDTSWEKGGHHSSSTTDDSQDGVPRHGPDRVKVRQYGKSCKELSGLFMTQEIQAHTGSIWSIKFSPDGRYLASAGEDCVIHVWEVVEFERVGKGRDVEENEVCNPFVSTECNESSEPMVSSDASIGSHWEKRLRAMVLRSGGSVSSDKLMVPEYVFALSEKPVITFAGHTAAVLDLCWSKSQYLLSSSMDKTVRLWHMSSTYCLKTFSHSDYGKTLPQNLSLNYAITMFLIFHLFNSVTCIQFNPVDDRYFISGSLDEKVRIWNIPKREIVDWVDLHEMVTAACYTPDGKLVLVYCMFHPNTLSQGALVGSHKGSCHLYDTSDNMLCYKKQIDLQNKKRKSSQKKITGFQFAPGSSSKVIITSADSRIRVLDGFELVHKFKGFRNTSSQISACLAGYGRYIISASENSHVYIWRNNDDFEPNRKKGIISVTNTHEHFHCESVTVAVTWPFTSATMDSSMNSRKQELDRGSENDHVLHYKPAKARDMPDVQHRSNIANSNSSHNGDRTCATWPEELMTPTNQSHNSSICLPNEGDQAPSRSAWGLVIVTAGHEGQIRTFQNFGFP >Et_6B_048547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10648038:10650366:1 gene:Et_6B_048547 transcript:Et_6B_048547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAIEERKDGGKEGKKEKTQRGAAKEEDSIGAGKHFFKVFFPEQSGECLIIPFPFHEYLEEEPNRLISLKGPSGNTWKVKLTSGSKGLAFTQGWKEFVSDHSLKPNHLLVFTYDGCSEFSVVVLCKSGVDDKSAFGAQPCKEIFVKAEVGLRVVDTKAAGTSKMELPALPSVEGNGKLRKRVRQMTDIMINGSALKKPISGQKKHEKRKPEAIGSTSKEVPTFVLNSKKDLPYMLDESSCSRQTRIRPKDVPRSVKSRTFRLPGVISQRRPITEEEKDHALKRAKEFKSKNPFTFQVMTKCCVHTAFFMSWTLLSSSELGRENSNVTDQEFCSGAGRPAYIICRASKEATCGRY >Et_10B_003913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7388470:7390577:-1 gene:Et_10B_003913 transcript:Et_10B_003913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLLFLVLTVVLWLDGGAMSIGARITPLFRYCSTTDNFNASEQYRANLIELMTKLPPSAIANGGFDSATVGDPPNKVFGLIMCYADSNSTSCKECLRAAGYAVQQECPFSREVKATFDACLFRYSNQSFFSVADVTVTYDDVTPAYGIFMDGVNSTRLKLLAQLAEQAAGSRLRLANGSEPYTDSQGGSQVMYGLAQCTRDLSASECARCLTTFLGHLPLPIAYGNVKGYSCYMAYSVGEALSITIPTEMPALPPSSTLVLPPSSSSLMATSPGAPSVALVAGVTVGAVTFLIFAGTILARFLLLHFRRKARERDFMIKDEPLEKEFETGAGPRRFRYRELAVATKFFSDDEKLGEGGFGSVYRGYLKDMDLHVAIKRVSKSSQQGRKEYISEVKIISRLRHRNLLQLIGWCHGGDELLLVYELMPNGSLNTHIHSQSNVMSWELRHEIVLGIGSALLYLHQDWEQCVVHRDIKPSNVMLDASFHAKLGDFGLARLVDHERESHTTALAGTMGYIDPECMLAGRASAASDVYSFGVLVLEIVCGRRPIVVVQDSEEYATVHLVQWMERVMVTGLWCAHPDHAVRPSIRQAVNVLRHEAPLPNLPAKMPVAMFMPPVVGCTPSESSTGTGASSGSGNGGITCTMPR >Et_9A_062837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5624396:5626460:-1 gene:Et_9A_062837 transcript:Et_9A_062837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVWMRRTAASKKDRRRIKINSEDFGVLFLISGKVLICSSRFAWLRCEKDGVDDCAAFLAAHKIVARGGEQFGGDARSVRVNMLDGDQVFDLLVQPIAAIN >Et_2A_015460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13222559:13225868:-1 gene:Et_2A_015460 transcript:Et_2A_015460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVVGCPGTWSSLALRVSQFVCAAASMATMTTARGNTYTAYGFLSVAMELQLLWSFGLTCIDIHALRTKLDLRHNESMVFFFMYGDTVFALLGWASASASAGVTILLDRDVHFCEAFPHLSCGHYKLFVILAFMAWSFSAASAYSMFWLFVSFRGMKDVVRRPGTGTGLTLRVLQFAFATASLVTVANAYASGSFLRSHC >Et_10B_002393.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17127948:17128514:1 gene:Et_10B_002393 transcript:Et_10B_002393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPGDEQGDGHHAAHGRPWPGAAAARRRHARPADPLPGAFVVNVADILAVLRNGAYRNVEHRVIPDAERARTTVVVFQAASVDGGMVAPLPGILLKGGHAPRYKSLEFGEYVKGNFRALADGTQLIESLRILLSVATRASSSKQRCFFSILIICILFNALLDRGTCLPFSGNETECPSPKCATITKF >Et_2A_016738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27804232:27805677:1 gene:Et_2A_016738 transcript:Et_2A_016738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKVFNWLNRKMHSNTEYRTIHGNKAIEEKEDSVRESVAEKDTEALLLRDVLLNGILAIGTLGHDVDLCPEACIEEDVLLILGEQKVEEEEYEEERNDKVQQEALAAAPTELAPVVEPAKLHSSSMKEDSFVCFVKEEILMHEAEEGIANIQERPLLMAEKVEKVRTTLADLFAAEVFSSSAPVENICHYVTIVSEASTSKPMSCIEKIHQKKPTKPTPKSLKATRKLSRVMKKMLGKKIHPEQLNGRSNAEGPLTA >Et_7B_054851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4936213:4939541:-1 gene:Et_7B_054851 transcript:Et_7B_054851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYLQLASLRLATTIPLPGKLLVTQEQAMPAMAAYIALWAAALAILATLLHWAYRWSHPKAKGQLPPGSMGIPFLGETLQFFAPNPTNDLSDFVRQRVKKYGSIFKTSIVGRPVVVSADPDMNYFVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKTLVLRLYGQENLKAVLLAETDAACRGSLAAWAAQPSVELKEGLSTMIFDLTAKKLIGYEPSKSSESLRKNFVSFIRGLISFPVNIPGTAYHECMEGRKNAMKVLKSMMKERMADPNRKCEDFFDHVIQELRREKPLLTETIALDLMFVLLFASFETTALALTIGVKLLTDNPKVVDALREEHDAIVRNRKDPDAPVTWAEYRSMKFTNQVIMEMVRLANIVPGIFRKALQDVEIKGYTIPAGWGIMVCPPAVHLNPDIYEDPLAFNPWRWQDKPEITGGTKHFMAFGGGLRFCVGTDLSRVLMATFIHTLVTKYSWRTVKGGNVVRTPGLSFPDGFHIQLVPRS >Et_9A_062157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20140956:20152128:1 gene:Et_9A_062157 transcript:Et_9A_062157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVPLLLLFSSSLLTATSEYVEPIHFQCVSKTNYTRGGAFRANLDAVLSSLPSAAASSLGFAKNATGAAPDQAYGLAQCRRDVDASVCSKCVEKMARKLRSECLGLTGAIAVSGTCLLRHSNVSFFGEGESSFLGYNHGAANVEQPELFATRLDALMNNLTRMAAYGNPRLLAVGVTDHTPLSKIYGMAQCTGDLGPDDCYKCLNRGVYYITTNWDREKGGQSVLWSCYLRFESAIFYNLHAAEAIMSTALAPVPAPEDGRSPNHGNQSDSATGAGSNPTVRTALLVSVPVAVILLVLLFVAVYACKKNRKLHKHVAGNRHEDEEMGGLESLRYDLSTLQAATENLSEKNKLGQGGFGPVYKGTLQNGQNIAVKRLSTESKQGQAEMKNEVVLVAKLQHKNLVRLLGYCTEQNERLLVYEFLSNNSLDKLLYDPARQQEISWAQRYRIIEGVGRGLMYLHEDSRLKIIHRDLKPGNILLDADMNPKISDFGLAKLGYIAPEHAKQCIISDKSDVFSYGVVVLEIITRRRPSMSSLLAFLHLCWLILTAPANADDPFYTDCPSNTNYTRGSAFQTNLDALLSSLPSAAVAAPSGFAEKVTGAAPDQAYGFAQCRADINESDCRACLDASARGVATWCPGQKSATYIYDSCLLRHSNESFFGEDDRMVVMALRNTQNVTEPAQFTSALGALMGNLTEKAAYAYPRKFAAGSVPLTPFLTIYGMAQCTRDLAGDDCNRCLATAVEFHTDLLQREAGRPYRLPELLHPYPFYNVSAAEAAMSPGPINGSDHSVPGSTGSKRKVRTPLLVSIPVAVALVVMLLVALYLRKRNTKPHKHAQIASVRHGEDEEMRNPESLLYDLSTLRAATDNFSEENKLGEGGFGPVYKGILQDGQEIAVKRLSTTSQQGQVEMKNEVFLIAKLQHKNLVRILGCCIQEHERLLVYEFLSNNSLDKILFDAARQHELSWGQRHKIIEGIGRGLLYLHEDSRFTIIHRDLKASNILLDKDMNPKISDFGLAKLFNVDSSVGNTNRIAGTYGYMAPEYALRGIFSAKSDVFSYGVLVLEIITSRRNVFTPASGSSEDLLTFVWRCWSRGSVQELLNCCPTDGRQPQEVLRCVHVGLLCVQEDPQLRPGMAAVVIMLNSLSITLPAPTTPAYAVVPRPAVDAHGRGPSCPPSSTSRRAPHGNLRAAMAPRAARPDGDRAFVLRGRAAAPHGGPRAATLRGRVVPGPTRIRAAPRPSRRRALPPRPGPAVVAHPRRVAAGSPPRPRRRRAAVVRRRSLRRRRERLPEMSQHHRKFAEMGHYRRKFAGDGSPSSEVRRK >Et_1B_012560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33223126:33226781:1 gene:Et_1B_012560 transcript:Et_1B_012560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIGGLLRALVLRAAAAGAGGRRRGPRILCGRAADVRVTPRHGWRGFRAVAGARGRMMLDSSDSAAAAAAAAAEVGAGSGQLQPQRRAAGAVAQAHDSAYASGGWDREDGKLRCGYSSFRGKRATMEDFYDVKLTEIEGQAVSLFGVFDGHGGSRAAEYLKEHLFENLMKHPEFLTDTKLAISETYQKTDTDFLESEASAFRDDGSTASTAVLVGDHLYVANVGDSRAVISKAGKAVALSDDHKPNRTDERKRIENAGGIVIWAGTWRVGGVLAMSRAFGNRLLKPFVVAEPEIQEEQVNEDLECLVLASDGLWDVVENEEAVSLGKSEDMPESAARKLTEIAYSRGSADNITCIVVQFHHDKTG >Et_1B_012793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35175695:35177621:1 gene:Et_1B_012793 transcript:Et_1B_012793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTKFRPSSRHDTGVTTTNAGAPVWNDNEALTVGPRGPILLEDYHLIEKIAHFARERIPERVVHARGASAKGFFECTHDVSALTCADFLRSPGVRTPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNWDLLGNNFPVFFIRDGIKFPDVIHAFKPNPRSHVQEYWRVFDFLSHHPESLHTFFFLFDDVGVPTDYRHMDGFGVNTYTFVNAAGKAHYVKFHWKPTCGVRCILTDEEAALVGGRNHSHATQDLYDSIAAGNFPEWKLFVQVMDPDTEDQYDFDPLDDTKTWPEDLLPLQPVGRLVLDRNVDNFFNENEQLAFGPGLLVPGIYYSDDKMLQCRVFAYADTQRYRLGPNYLMLPVNAPKCAHHNNHYDGAMNFMHRDEEVDYYPSRHAPLRHAPPTPVPTRPVVGKREKATIRKQNDFKQPGERYRSWDADRQERFVRRFADSLAHPKVSQELRAIWISYLSQCDTSLGMKVANRLSVKPSM >Et_8B_060194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7030854:7037857:-1 gene:Et_8B_060194 transcript:Et_8B_060194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANGVVPSPPPPPPPASRNIAASLARHHIARAAADAATGAVACLFFAGLWLVGAGAAVSFFGRRACGEGSPLVAAASRVIHIAAVTVSLAGPVGLVLLGTRIVRSTTEAEEVQQGDLEQVTTEKSALVVARELLNDTVMRAVIAAFALLILLLVGELLRGLPPVKGSRRDRVGSAISDVGAVGAEVLYCFIIVPISALRIWRSWRMQLFCKITIDFLKTDGKIAKLFCKITIAF >Et_9B_065435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:542875:543173:-1 gene:Et_9B_065435 transcript:Et_9B_065435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGRLLGDDDGVDNRARAFLLRRGGGGGRGGAKNKEHEEEREKERIEQRWRAHLSALDRIREFDPKLNCFYFTRFYMADLSKLDLDEE >Et_8B_059350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1752876:1755331:1 gene:Et_8B_059350 transcript:Et_8B_059350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRRCLALMVAAALLAATMPSPGAATLSPDYYRSSCPDLESIVRYEVTKKKNQTVVTIPATLRLVFHDCMVGGCDAAALIASPNNDAEKDAPDNESLAGDGFDTINRVKAAVEKKCPGVVSCADIMALAARDVVYLASGPYWRVELGRLDSLVSRKSDVKGKLPGEHMHVNELAALFQKNNLSLVDLVALSGAHTVGFAHCTRFTSRLYSYSYSTPTDPTYNSDYADQLKEACPRNVGPTIAVNMDPVSPVTFDNLYYANLINGLGLFTSDQVLYADPATRPIVKKFADSQKAFFDAFVDAMIKLGRLGVKTGNEGEIRKVCTAFNH >Et_5A_041173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1954511:1959822:1 gene:Et_5A_041173 transcript:Et_5A_041173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSASRREARMAKSGELPKTAGLFWKDVAATARSGELSKAVAAVREAAAVHQEGWMVRYGRRKIGRSFFHTRYFVLESSLLAYYKKKPKDNMVPLKSLLIDGNCRVEDRGLKTHHGQMIYVLCVYNQKEKEHQITMGAYDIEDALTWKKKIELIIDQQPDSTSTKHRKAFATMDFDMENGGQFSFSDRDSAAEDEDERPTLTRRTTIGNGPPDSVHDWTNEAENGLSRLRIFEELLEVEYLARSCSRAMRAVGVVEATCEAIFGLVMSMDVTRYEWDCSFRHGSLVEEVDGHTAVLYHKLRLQWCPMLVWPRDLCYVRYWRRNDDGSYVVLFRSTEHPNCSRQRGFVRAFIESGGFKICPLKCRNGRPRTQVQHLMQIDLKGWFLNYSPSFQYHSMLQILNCVAGLREYFSQTDETHITPRIPAMESMVDVGSTQKDQKPQEVDSKSKPSEQGQADNKNMGTIDEESDEDEDYQVPEANIEMSLQKKLTYLAFLAFSITTRMRKAATVGPYLIARALKSVAKTFHIPAASYLMELAAIDWFKDTKRMDNVARQKGCVAQVAAEKGMHTFVANIQIPGSTHYSLVMYFVTNSLKKGSLLQRFFDGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYVRGHGYLEVDIDIGSSAVANGVLGLANTYEELPEQVIGAARLAHVEPATAVVPDLENNSDNNKENNNSNDDNNTTSSEDNLAKKTN >Et_7A_052046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4034031:4037676:1 gene:Et_7A_052046 transcript:Et_7A_052046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKNSGYTPLPPVLAVLVVVLFLAAPAAAADDAAVAVHLEALLAFKKGVADDPLGALSNWTVGAAELAVLPQHCNWTGVACDGAGHVTSIQLLETRLRGTLTPFLGNISTLQILDLTSNAFAGAIPPQLGRLGELEQLVLYDNGFTGDIPPELGDMGSLQLLDLGNNTLRGGIPSRLCNCSAMWALGMVFNNLTGAIPDCIGDLSNLKILTTYHNNLDGELPPSFAKLTQMESLDISANQFSGSIPPEIGNFSNLNALQLFSNRFSGAIPPELGRCKNLTVLNIYSNRLTGAIPSELGELTNLQELRLYDNALSSEIPRSLGRLTSLLLLGLSTNQLTGSIPHELGELRSLRTLTLHINRLTGTVPASLTNLVNLTYLTFSYNNLSGPLPETIGSLRNLQKLVIHDNSLSGPIPASIANCTALVNASMSFNEFSGPLPAGLGRLQSLMFLSLNGNNLSGEIPEDLFDCGGLVTLTLANNNFTGALSRRVGRLGELTLLQLQGNALSGAVPEEVGNLTKIIGLTLGRNRFAGRVPANLSSTLQVLGLQQNRLGGALPGEVFALRQLTTLDLASNRFSGAIPDAVSNLRSLSVLDLSGNAFNGTVPDALGELDRLLALDLSRNRLAGAIPGAVIANLSSLQMYLNLSNNMFEGPIPPEIGGLTMVQAIDLSNNRLSGGVPATLAGCKNLGSLDLSANNLTGALPPGLFPQLDVLTSLNGNDGLCGGKLLAPCHRSGEKRGFSRTGLVILVVLLVLSFMLLVLLVTITCVSYRRYKRKKKRGDQSDGAARFSETFVVPELRKFTYSELDAATGSFDEGNVIGSSNLSTVYKGVLVEPQGNKEVAVKRLNLAQFPSRSDKCFLTELATLSRLRHKNLARVVGYAWEPARVKALVLEYMDNGDLDATIHGRGRDAHRWTVRERLRVCVSVAHGLVYLHAGYDFPVVHCDVKPSNVLLDAEWEARVSDFGTARMLGVHLPDAAAQSATSSAFRGTVGYMAPEFAYMRTVSPKADVFSFGVLVMELFTKRRPTGTIEENGVPLTLQQYVDNAVSRGLDGVVDVLDPDMKVGTEADLSTAADVLSLALSCAAFEPAERPDMDAVLSSLLKMSKIFGED >Et_10A_001153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21650080:21662019:1 gene:Et_10A_001153 transcript:Et_10A_001153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQGENLAEVVVVRHGETSGNASRIIQVARRLSKEAKPAAVYSSDLKRAAETARTIATACDVSNLVLDPALRERHMGDLHGLKFDDAVRIKPDAYKAFSSDDRNQEIPGGGESLDQLSERCVSYLNAIAVKHKGERSVSSGFPVSKAIGSPRNLGTSATSTKTAFCMMHSAAMEPRPPASSRLLAACQAVAASPARRFACTLFALPPPPPPPLRLMSRRLLPRITPLPHRRRNPNPPITPAVTASLAHVLATRSSNPSWPRSLAALLPAPLSDARLAAAVSSLADPDLALALLSWSQTDHHHDSPRGPAATPLAHSALLRLLARAGRFDAVDATLQSMSRAANGAAAAAPTRACLGALAAAYADAGMDGKAAEMCARARELYGTLPVAADCNRLLRLLVERRRWEDARKLYDEMLAEEGGGGADNYSTCVMVRGLCLEGRVEEGRKLIEARWGAGCIPHAVFYNVLIDGYCRRGDIRRGLLLLGDMETKGLLPTTVTYGVIINWLGRKGDLRKIASTFDAMRVRGLSPNVQIYNTVIDALCQCRSALQAMAVLKQMFANGCDPDVVTFNTLIAAFCREGCVREAEQLLRKAIRMELKPNRNSYTPLIHGFCIRGEVMVASDLLVEMMEQGHTPDVVTFGALIHGLVVAGQVTEALIVRDKMIEKQVMPDANIYNVLISGLCKKQMLPAAKNLLAEMLEQNVQPDKYVYTTLIDGFVRSENISDAKKIFEFMEQKGVCPDVVGYNAMLKGYCQFGMMNEAILCMNSMKKAGHIPDEYTYTTVIGGYTKQGNISAALRLLCDMMKRRCKPNVVTYSSLISGYCKIGDTDTAEYLFENMQSEGLVPNVIHYTILIGSLFKKDKVSKAAAYFEHMLLNHCSPNDVTSHYLVSGLTNSTTWIISNYSSTVKFHNKNALLDVFKGLVSDGWDPRISAYNAIIFSLCRHNMLGKALDLKDKMANKGYSPDPITFLSLLYGFSSVGKPRNWGIILPNEFQKGELETVLKYKTLLDQHVVDSVCCEVSRVLQLYAEEFPYTQKPEQRYAVPAATLFRTCTGKPPFILSVTESRCLGTPSLASFPTTSPTNSSSTLATMDFICR >Et_9B_065950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18642994:18645660:1 gene:Et_9B_065950 transcript:Et_9B_065950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGDPSAPVGRGVCIMSNSWRDKQHPNLINFIAAFLAGNSYRLSFRSLSPDFIFNNGGVSVAFVFETCWDPENESAVFSRVNTLKRQFKHLYVVVTVPTNEQNEAYIQSYFKYGMEFGCPTFVPVLDPEMGFEKIVKIAHARGVCKQQDIVSTMKNEREQAVQCMDAFLRVLTSIPGIDSHDANALAQAIGSIEAISKASKGFILENTDLSTDKAERVVRHS >Et_2B_022191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1252803:1253765:-1 gene:Et_2B_022191 transcript:Et_2B_022191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAENLLRPLQPAFHESPAPQSGAAIADPTIPIVLRCPPSSRRTLTTRSPDWVLLATRAYVSDRRNATAAHSLTRGGDLIHVSSFAANPPAVSHLCVHCPGKDNQFNYSPAVIFSRDDFILFAVGFVGGDSDHFIYKAHSTNPSLHRIPGPEPEPDTPGFSDSGIVRCGGGDHFALAALVRDYVTCMFELFVFSSRTGEWTSRLMPLEASESLPKPQELSFTPTKTLKGSILGWVDLFRGILLCDVTTLNSDTSLRRSRCRETRGLDTRAR >Et_3B_029864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28633782:28635170:-1 gene:Et_3B_029864 transcript:Et_3B_029864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGRGISANHEMESLPVPSVQAMVAATGGVDVPPRYLQPEVAADAVAADGEAEIPIIDLPKLLELGDEEESARLHEACQEWGFFQLINHGVPHDVMEGMKAIVEGFFELPAETKKQFAQEPGQLDGYGQLFVVSEDQKLDWADVLFLHTQPPQNRNMRFWPDKPSTFRWTLDQYSTAVKNIADRLLAIMSKNLGLEAGVIAEKCLGGIQAVRMNYYPPCAEAHNVVGFAPHSDSDLLTLVLQVNDVQGLQIKRNGRWIPVKPAEGALVVNVGDILEIFTNGRYRSVEHRAMINTEEERLSVAAFHSPSVHATIGPLTEILVAHEEPLYKTVDHESFMRLFFSAKLEGKSFLQRMKLTA >Et_2B_022955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9364730:9376933:1 gene:Et_2B_022955 transcript:Et_2B_022955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVATLYRAEGLFGLTPGNTTPQVRPHLNRNYIGSLLCKKPTAKLSESPNGIQVAGPYTCHAIKHKSYSKKTINLIALKVMDNSGIKRLLNMIEKNLGTSITSFIPINLIVCIPRAISFPTVVWQLHFHELRSIHVLLPMHHACKPHQEVLRSSACLFSKRCSVNSRRTLSTSARSSGVPRSGSNSQIALPRKLFVDLSHGPPPSETFNLHIMAGDSNFGAAEFWDSGRAKRCRLQLASSYSLDRFCTEAESMGLTIGRQTKSAQIPGCELASLRRLREHARPGVNERIYAGKCLEQHDFGTGTKEFIERLKLRAPRLNDINHFAEAQFIERL >Et_3A_025469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29987851:29991946:1 gene:Et_3A_025469 transcript:Et_3A_025469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKKNKVDHFHQSSSTITTYLQLPLQAPKPRAPTRPYHTRSFHRAMASPSPSSSPLHPHQHQLPPNPHPQFQAPPPSMPPPPPAAPPKALDLELTVVSGKHLKNVNWRRGDLRAYVVAYVDPSRRSATRPDDAGGCKPTWNERLVLPLPPHLSPHDPSLLLSLDVFHSKPSDSPKPLVGSARSPVRELLFPTKPNPNHDSTDSPIITLPLLRPSGRPQGKLRIRVTIRERSPPPPEPQYPPPSSSPYYFPPPPPPAYSAPPQYGQEQYYRPPNPSGYYSAPPHPQYEYTGGPSAPVEYSRPYEQRGRSGVGSASGRYGVGTGLAVGAATGALGGLAIDEGVKYKEEKAAERVEEKVVPAGRDDYSEYRECWSDVSTPSLISFLAPLLAYVVMPDASP >Et_2B_020673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22595960:22596405:-1 gene:Et_2B_020673 transcript:Et_2B_020673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAVLRSRVLARAVTSSLRRGLAGTHANPPSSLLAASLRPSSVHRLPSVCGGLLSAMPLHSAIASARLRSAIAPESQSWGIIPQGAALRRFLLTLVFFEHQIGRD >Et_10B_003807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6349787:6351032:1 gene:Et_10B_003807 transcript:Et_10B_003807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSVAAPLTLRHGCCRSPATHAQSFLSFPNHSSRLSPRAPVRASSPPQRLLVAAAASSGERDNRVQELRVPDSWLTPAGAAQESEWLRETLHKWLDDEYCPEPANVDISSLMKMIGDLEKLSYRESFHGAFSAANAAVRLITQRMESLPGDGV >Et_1B_013119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5635606:5639770:-1 gene:Et_1B_013119 transcript:Et_1B_013119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFLYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGNFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPSDKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKEAQLKSRPTKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEINVKVKSVFGAKMFALGVVVKVPVPKQTAKTSFQTTSGKAKYNASIDSLVWKIRKFPGQTEATMSAEVELISTMGEKKSWNRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITRAGSYEIRC >Et_6B_049999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:310200:313036:1 gene:Et_6B_049999 transcript:Et_6B_049999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAVPLRDTEEENLLDHLEPCLDFIDEGRKVGNVLVHCFGGSPGEALESLKEVSESACPNDGFIDQLKLFEEMGFKVDTSNPLYKRFRLKLLGQSYKFGEKIGSHVFEDDPGLSPQSGSCHDPSNKDEHKTAYRCRKCRRIVAVQDNVISHVPGEGESCFNWNQRKSGRPYNNKEQDCSSLFIEPLKWMTPVEEGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKVDVSTI >Et_8A_057171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2230531:2241791:1 gene:Et_8A_057171 transcript:Et_8A_057171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPQAILPLRALPPDAPLPLPPPFHPQTPTPAAATAPAPAATPTQTPPQPQNSAAPPGSSSTRPPHPWEIAARAWLESFPDGRPPTEPELDAYIDTHRPDLPSLPRSQLQQRLLALRGDQVLDAEQSAFPYRFQRTDLWKPVYQWLETLEMDSLVETKEISDWLTSNPKIMDRLVEKHSKYHLIHYTQRMHLKLLKKRGKLPKTLQLSAARATVKPSAAPVTPEESTVTLRKPTPPAAGRFSGDSAAKVHNSTAGRFQGGSASLRDKKTTMSKKKEALLKYELLTDLQNQLTAVLLKQCRTVAIKETDPSYVEFQSSETNLSVKEGAATASALAPAETTKVHVNEKSNPAEASDSEFGPNRKRNPIFLTPAWCYCEALTGTSRGEEHSSRSDGLRSFDYWKGHDDPLLKNKDIKKNILFCMEGREIGWNSPAVQFEGPAVHVVRKSYLSWSPTSCAYTSSAPAQPHDRQGVRKVLDVKFHPEGLPQLVSSSNEIQAIAFAVKGASVVSCASNLLKVWDCITGSCLYTLGGDDQNSVGHTQKINAMSVNKWQSCLVVTSGAKGDGKLLLWNALRGELASDLNSNLRSQDMVYPSVDTMEFCSENLLACGSDCDYGGSAVVQLWDIESPESYLSFSASDSYITSLKVNPAGNTIITGSGDGTIGLFDIRACSATNHLSVGPGCEVTSVSFSNCGTYFSASSTSNNTLVWDTRLVPNYSKDVSRSKDMRFFRPLHCLSHGKQMPTAEYTSQMPGHVDEGDQGVNATQWLHNEPVLVTVSGDGSVGMWDVTLGQPCVRHINAHTRCANAVAVAPNDEYISTGGSDQKVVSYLFGHLVSYIALK >Et_2B_021375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29121814:29129526:1 gene:Et_2B_021375 transcript:Et_2B_021375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSTRHTQGHTPPFSPPALSPLPPFVPSPVHLRLHTDLSHYHRSISLLRLVPAAAAGSGIRFPLPRRSGMRASGLRRLVPFLLVLAVATVVDASYRGGDHNFHRDFDVVWGEGNARFRDGGRLVELSLDERTGARLQSKERYLFGRFDLEIKLVPGESAGTITSFYICTGGARHDEVDFEFLGNVSGEPYLLHTNIFSDGKGEREQQFVLWFDPTQDFHTYSILWNPHNIILYIDGTPIRVFKNNAAYGVPFPTRQPVHVFASIWDAEDWATQGGRVKTNWSSAPFVATYRRYNVSNACVWDDDHSRRGCLAPENIGDVHRRQPSWMAQRMDWWSWMTLNWVRMNYMAYDYCNDRKRFPHGFPAESHRLPAMASDHKETLALFVLSLLLGVGLARAGNFYQDVDITWGDGRGKVLNNGQLLTLSLDSGSGSGFQSKSQYLYGRFDMQLKLVPGNSAGTVTTFYLSSQGSQHDEIDFEFLGNASGDPYTVHTNVYSQGKGGREQQFRTWFDPTKDFHTYSVLWNPSHIVFYVDGTPIREFRNREAIGVAFPKSQPMRVYASIWDAEEWATQGGRVKTDWSKAPFTASYQGLAASGCTSPDAAACARSNGKWMSQELDTAAQDQLRSVQKNYMIYNYCTDTWRFPQGPPPECAAK >Et_3B_030539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4863488:4865452:1 gene:Et_3B_030539 transcript:Et_3B_030539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLSERGSPPTAEQEGYKTKTPPPPSEKPSQERRLQIGEATAGGGLQDGKGGGESVAAGRRADGEVSFKMDSRVLDCSICFEPLEPPIYQLPEGEENNIFDNFYELNGVWRCRALCILITTAHTANQFFVVSLLNNLFNCLNFQCEVGHAVCFRCRGKLCNTCPSCSRSIGYCRCFALEQVVNAIKMPCSNANCGCDKIIAYYQKEKHENACMFAPCFCPDDGCSFIGSTGSLLNHFVTEHKWSPTNFHYNKALRISVKRHSRFTLLVGEDMSVFLLLNIFAHIGNALSLVCIKANESGSSCSSKISAVHRAEGDKGRYVFQMDPHVASSSLNGGVQLGRFFLLVPPDLVDESTDELTVNIRIDMIESASSNH >Et_1B_011129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17967554:17968139:1 gene:Et_1B_011129 transcript:Et_1B_011129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSQHTSYATSKVCSMNYLTECFCKQGDVSEKTVSTEERQILDELEADIEEDLEREIIDSICRLARHLQRLYQHKDRRERADSATDCQFSLPHTEKMFLSELNIRIKLDGKCRIDITKVEQDAAAIQPKPRPNISQFDKNKGSMKTRLGDAVYCKKQQNHPDVPWK >Et_2B_021984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7699618:7702258:-1 gene:Et_2B_021984 transcript:Et_2B_021984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRQLRGSSFREEEIELLAVGLNRKSGKRALALKAAWGVVITDDESAEVPCTDDASAANARSLAPHHIRVGYALRRLAGVTGVGIVVIVHLLSYPPPHRVPHGPCLTGRSPEQVLAVRPAGELEQAVVPTRRRIGLRILGKYGVPDHRADAHSGARVVVQVHNVLCRVLAGDDGREGNGRGHEKEN >Et_3A_025060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26533431:26534142:1 gene:Et_3A_025060 transcript:Et_3A_025060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVATAEAVAPARRLQLVTALGVAACERDAEKLEFIEKMTRGFDAEQERVLAEILARNNGAEYLARHGMEGRTDRAAFKARVPVVTYEDLRPEIERIANGDRSNIISSHPITEFLTSSGTSAGERKLMPTIEDELSRRQMLYSLLMPVMNLWVINLLRFLRTP >Et_9B_063681.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18716400:18716914:-1 gene:Et_9B_063681 transcript:Et_9B_063681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKVFKLIVLALVFIMLAMHTTIGEKDCHNEKFLVKAVCHNSIKLSGPYIPPNDPCRGAVEASDMLCICGIFKPAHEVAISMTKFVELAKDCNKPLPAGTQCGSKYLMLLPFFVG >Et_3A_025389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29468940:29469354:1 gene:Et_3A_025389 transcript:Et_3A_025389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVVTRQHASPNGDGDGDQRLAHCFANGLEARLAGTGCRIYKSFTMTWFVSSDRASFKGFLLGLLALRDSESLKSTHPSLVFAQLRV >Et_7A_050890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12028090:12031888:1 gene:Et_7A_050890 transcript:Et_7A_050890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCFGSGREEGRHGAKGGGSRASASSSAVSGGGGRKQEEAAAVAAAPRAERIPAGADKARAKGNASARKELSVLKDANGNNISAQTFTFRQLAAVTRNFRDDCFIGEGGFGRVYKARLDGSGQVVAIKQLNRDGAQGNKEFLVEVLMLSLLHHENLVNLVGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKAQPPVIYRDFKSSNILLDEGFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDSTRPPGEHNLVSWARPLFNDRRKLPKMADPGLAGRYPTRGLYQALAVASMCIQSEAASRPLIADVVTALSYLANQKYDPNAANTSKKAGGGNQLSRVVDSGRALSKNDDSGSSGHKSPNKDREDSPRERLGIVNNLDRERMVAEAKMWGENWREKRRAAASSQGSLDSPSSGG >Et_6B_048998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16539080:16541998:1 gene:Et_6B_048998 transcript:Et_6B_048998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPGAGGVVDDSEDYSPEVTVVRFDPPLPLLRAPVPSSPAPGGEGPVLAFHDATSWQAAWEATEASLFTQCEAGARSGCSITASRKCKPPWWKGLFGAAPTDYQERERCEEREMAACLEAAKEACNKFAKEKCIAPFRDARIAAEGFLENSDLIVWNAGKTSASLSVLNNQRAICAEPGVTNYRGSDLLDRLSSKDNKNTG >Et_1B_012444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32030189:32032201:1 gene:Et_1B_012444 transcript:Et_1B_012444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYVLAAAGAVLILFLYVVSSRRQSGKLPPVAGDKLMYGCRNVSFAPYGEPWRRAKKVAVVHLLSPRRAESFAPVRAAEAVALVSRTRRLAAEDAGEEAVELRPLLYGYANAVVTRAATGAAGATAERMERLIGNSGALVAGFQAEDVLPDAMAKVVRWATGLEKKLDDMAEEWDRFLSEIVAAHEEKRADGAEEEQDEDFLDVLLRLREEGGDGFELTDDRIKAMVEDMIAAATETTSVTLEWVMAELVANPRVMAKVQAEIARVIPAGVRPEDLDMGEAPGLTTPSFGTSSSRPLGDDVFDGVDFDIELGGAKYWDRLARDLKSMGKKNGGKAPVLLSAAPQCPFPDEWDGGAINTGLFDFVWLQFYNNLPCQFSAGRGAFMDAWKRWESVPAGKVFLGLPTSEDAAGTGFVPAAELTSSV >Et_7A_051253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16107812:16110936:1 gene:Et_7A_051253 transcript:Et_7A_051253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEAWEQQELKEDHAETVYISSRIHLVVVTKTETTPTITTTARHPNSASYPADKLNEFI >Et_9B_065204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2389303:2401491:1 gene:Et_9B_065204 transcript:Et_9B_065204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDQKKKAPSEMDFFTEYGEGSRYKIEEVIGKGSYGVVCSALDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFHDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPTPEAISRIRNEKARRYLSSMRRKKPIPFTQKFPNADPRALSLLERMLAFEPKDRPSAEEALADPYFKNIAHVDREPSAQPVTKLEFEFERRRVTKEDIRELIYREILEYHPNMLREFLEGTESSGFMYPSAVDQFKKQFAYLEEHYAKGSTGTPPERQHNSLPRPSVIYSDNRPQSAARITEDLSKCLIRENTQRTQQHSAPVGANKFPLHVPQGAAARPGKAVSSMLQYNSSPASAAEQYEQRRIARHPALAPNNVPSGSSYPRRNQTCKSETGDDERIDMHQAVQQKTYAANKLPATVCLTEEGRKLVMAVAAHITY >Et_2B_019250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20012512:20013141:1 gene:Et_2B_019250 transcript:Et_2B_019250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAGVPVPADGRGAPDPLPRQEGGRRRLRPGRGPRGRPVQGRAVGPDAGGLRRRRRRRQPLLLLRPARQVPVRPAGQPGHARRVLEVHGEGQAGAAPRQRRRASGGEEDARVLQGPRAQGRQDQLGHARVPAGGGARRLDSNVCKRKSSEKLLIGLHRIKCVVLVDRVGGLQDVHEEATRWRSEPAGDSSSPSR >Et_10A_000077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22158192:22158677:1 gene:Et_10A_000077 transcript:Et_10A_000077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILRRRHVASSLVLVLRLLVLLGRRRKKRAAAERADGVAAEPGDDAAVMEGVVAGGHGAELVVGVQVLEADGALRLQQLGGRHLPQAPMDGGVGDGDDASALVGRGAIQLR >Et_3B_028236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12116134:12116606:-1 gene:Et_3B_028236 transcript:Et_3B_028236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLSSAYAALCSFVNAQLDKLEARRLMASFPQLRDLPAEERERKLRWLMEDMEGHDDGDRLRGRDDLKLRRHVRYSYRHPSFRVDCNNTS >Et_5A_042911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6853847:6856059:-1 gene:Et_5A_042911 transcript:Et_5A_042911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVAVAVDALPSAAVSSDSDSEDLLLLPNLASTAAPSSPLHAQLHHFDLPSLPSPITVRALPSRGLSFQLWPSAATLLRFLPASPSALPRAPSPGSPLRFLELGSGTGAAGLALAAALPARAILSDLPDALPNLRHNVELNAPLLASVGGAASVVPLPWGNAAAMEAAAVEAEAPFDLIVASDVVYYEALVDPLIETLRFFVKGEVVFLMAHMRRWKRTDKKFFGKARKLFDVEVLHEDPPLEGWRHGPVVYRFTAKKQNGRNPSSVPSDEVYENLQRWNGGAKYIATGIFGGRLGKGISNFNTLMTWRIEGRKL >Et_1A_005451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10569882:10573420:1 gene:Et_1A_005451 transcript:Et_1A_005451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPADKGKKAKTDADGGEENEQIDGALVLSIEKLQEIQDELEKVNEEASEKVMEVEQKYSEIRRPVYVRRGDIIKTIPDFWLTAFLSHPLLSELLTEEDQKIFKYLDSVDVDDSDVKSGYSIHLNFSENPYFEDTKLTKTYVFADDGTTTINATSIKWKEGMGPANGNGVNKKGSKRPLVEESFFTWFGDTEHKRLADGVQDEVAEIIKEDLWPNPLKYFNNEIEEEEFEGDDDDEDLEGEEGEEDEEEEN >Et_4A_032765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13609665:13611238:1 gene:Et_4A_032765 transcript:Et_4A_032765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPTAASAPGGAPPPPIDQPRRRWQCVLSFTAARDRFLRRRFVAAGLRPFPGRHEHHRPRVGPAAPIAWAPYLRNLLAAGFDPIVPDLLFFGGSSSTVGDRTDAFQARTVKAAMDAMGVRRFAVVGVSYGGFVAYRMAAMYPEAVERVVMVSSGVCIEEDDLAAGLFPVTRIEEAAELLVPQRPEDVRRLVKLTFVRPPPVMPSCFLKDYINVLGSDHIQEKTELLRALIHDRKLSDLPTIGQPTLIIWGEQDQVFPLELAHRLQRHLGENSRLVVLKNAGHAANLEKSKDVCRNIVEYLKEQVSNASTNGGKLNQEVEKP >Et_3A_024217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18517033:18521479:1 gene:Et_3A_024217 transcript:Et_3A_024217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIFSRSYRLDNQSLFGTTGALRWISSYFFRMLRQPQEGDEVVVIMGLWIKLFDQLTYPLLFGLCASIVEVALLILLSRRPRRPRQWQMWALLIVYSVVAPYQSFRFYTRREDSLSGMLNLRTTMITDITTVVAVLLLGLRPRRIVNLTSTPCGRKLLSLAKLISAAWLPSGLVLVMFPSTIPLTTPAPYYNYLLSVPFTIVVLSLGSLQNPAHSPFRRWTDAIMHISFLLLLVLPLFPEYLTGYVEYLDESAAVGLSILILLMLLLIGNLQIPAAIVQVLLSSVRFHDLLAHGYDYYPGPEGSTDNMVLAATNVFYVLAQCQGSLYIMASILGVFSFFPRRSLVRQSKLCGTQGVKAISLYYQCAYTTCMDTGLLTARKTISLASFTVESLRSSSSSEVQLAGVLLLDNLLSQETNSSQDLKSRIIRSNEALSMLIGMLGWSDVRDRDIRFLAARVTAKLAGSIRVALFPGTVKLVSSLLDAGNKPLRQQDSLLNRAQVTAACLTNGETDRGNQPLGQGPSTETTSGIAGLTWIHRYWQRTKERWSIPEDLPLTHEDSFPFLGMVILEKLACDLDNCTEMLKDKTLFSKIIRLIRYDTTDIYEQQIAVTCSSLNFLRRLATTGEKIGVALRQELWKNNFLLNNLVDILEDSRSSSELLEPVMVIIGGLALDKRAGRQIGRTQVIIGRLLHVFLGKDRASDTYYVQSLRTTAGEALANLAIWGTANCSSILAEPGYEVMKDIRNLLCEDNYRNVAASLLQNICAHCRDKLRHQGASEHLSSVLPEVSLSIETLRNLAFSLVMENIMSAKGRELESLIGLASQMSDIIPESFLRELESHINAAVLSQNLVCTLNSNKKPNPEYPRMRRVVIETVISIVKSCPRYATILRDAGMMEALSKVERTPSNVEKYRVFYGNFGVVLESGTSLPVLVAKAKKLIDSAAPPSIRGRLVGGGAEELVGFAPARHLVQRHGRLDAVTRCMEAVGRSTRARSSLRAGQSRRRAGSSIELVATPSLNQPAGPWTGLPIKHVGVGSGGLVDACGLLLEHVSG >Et_2A_018134.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1331288:1332328:1 gene:Et_2A_018134 transcript:Et_2A_018134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLGVVLLAVALLLVAPLAEAWRPWPRMNGTGEDVAGLGASKKFEGSSDFVKLEYHMGPVLAAAITVHPIWYGAWPAAQKRIIRAFIRSLSPPTESPEHVPAAPPSVSAWWRTVRLYTDQTSANVSATVRLGAEKSDARMSRGARLSRMDIQRVVRDAVTARTRPLPVDSSGGVYLVLTAPDVGVEDFCSTVCGFHYFTFPSVVGYTLPYAWVGNSARRCPEVCAYPFAIPAYVAGRRPEAPPNGDVGVDGMVSVIAHELAEMASNPLANAWYAGGDPSFPTEIADLCEGIYGTGGGGAYTGQLLTDGRSGAAYNVNGAGGRRFLVQWVWNPVLSYCSGPNALDQ >Et_8B_060186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:767549:768382:1 gene:Et_8B_060186 transcript:Et_8B_060186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPAAVPATSSFSQASPAHQDGGDRSRPARVAGAVVRGIITFAFAAVGSVLGAITGALIGLATESGLVRGAGVGAISGAVVSMEVVERSMAIWRSHDSGIWSVLYVLDVIWSLLTGSLVREKVDPAVQNAVESQMNAANSPFRDMAPTLADMFETAGGAAAATGMPAAAIEALPVMRFAVVDAGGERVGCSVCLQDFEAGEAARSLPGCGHTFHLPCIDVWLLRHASCPLCRRAV >Et_7B_054162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16309186:16312447:1 gene:Et_7B_054162 transcript:Et_7B_054162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGDPGATAARKHLRVLLPFSRNRLRIPDELAREIGGAGGEALVVVPSGGKQVRPVEVGQDGDGAFLGCGWRAFADACGVGGGWLLVLRHRGRGVLTVKAFDDSGCLRELGTPIPPAGNRDFALTFIGMACFDSLYDFFQRLPSKFVQRYIPKEHMNNYIATVLGPLGKVYPIRLELDRLDLFFAGGWSQFVAIHGITEADSLWLRYEGNICFTVKVFGPDGCQRECKHMQRVPQSEQKIDIFFSVTNGFESSTLQEAGKQQEASFACIRKLKCKNKASLWRSAYKIGPPSWITKQINTNTLGRELSLATTFCRAIGLQETCMIVLKTSMDSTKFWLVHGSQRQKGSYLFAKGWRLFCQENSLKEGDICTFNEATSASSRKHKIMYHKSGGKRQKQPKCSTSLRKASSRKRDVFEIGPPTWITKKISTNAIGSCLYLLQAFCKEIGIREPSMITLKTSMSSPRSWQAHVLPYKYSSHHLQGLGSTMFCRDNGINAGDICTFNIVETTQWHVVITHL >Et_8B_059352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1714906:1717970:-1 gene:Et_8B_059352 transcript:Et_8B_059352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNKVEVNLRRLLEAAPRQQNHAKLVHYVTTARELLEQLGAETASEGTLSVSKAKLSEYSEKIEALAARLAASAPEDEKPVVESREVESSYDKEKAENSISLSSGLRRRSTAHVEVKPSHQERKGDIGAPIKLDAEAQAHIEKHRRLQEDLTDEMVELARQLKESSLMMNQSVQQTEKILDSTERAVEHSLATTGRATSRAAEVYSLTSKTTCFQWLLIFMMTCMFIMVVLLIRIT >Et_9A_063202.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:15299020:15300180:-1 gene:Et_9A_063202 transcript:Et_9A_063202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTEPEPRSGSSPATASYPQWFLLAPYRELDATDSASFDAETLAASRTSCGYSTYVSLRRAAPPAVSRICVRHPRVTGSHATVVAAHGDSVLIHALPNYTFHERPCAHFIYKLSAAAAAADGHHRPPRSPSLFLLPPFCFTTRGWLARNATGLFRRGDDDLVVVLLRLVEEEEEASAAGDAPPTLEKKKKKAELVLLRSGEWSVERPRIVLQDQEDDDDAASFERDALLSAWETNAVVPAGGRHLCWIDLYLGVLLFEVFDDDVGTALLRHVRFPAEAAARPHPDRTSWRVCVTARGAVKLVNIFPCRRCSSCGCGSSEEHACSTVNTWTLQTTTMAWVMDTVVDDSTELWALDEYKGAARVQLLCPVVSDSVVPDQQSAARAEV >Et_1A_009488.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6466573:6466776:-1 gene:Et_1A_009488 transcript:Et_1A_009488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVAIVAELMEEYTVLVARVLEQLLYGAPFPRRMRFLMLRSLPFAGATPLPLPPPPRHALHVATHG >Et_6A_046035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19506439:19507866:1 gene:Et_6A_046035 transcript:Et_6A_046035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQFPTWLQSQADILELDISNASIFDKLPEWFWTTSSNAQRLDISNNNISGTLSTNYQITGPIPQLPIFLEEIDISENFLSGPLPSNFGTPNLGYLSLATNRVSGPIPESICQLENLEFPSCFEPRTIRVLILHDNRLSGKFPSVVEICTELYILDLSRNKVLKLSHNLFSGKIPTTITRLKLLSHLDLACNSLSGTLPRHLSNLSAMARGKHSSVPEEIESLAAVKILNLSRNHLSGKIPIKIGAMRSLESMDLSENNLCGEVPQSLTNLTYLGYLDLSYNNLTGRIPSGGQLDTLYSQIPFLYDGNIGLCGYPLHKNCSENSEPKHGDNKRDDHEHDTTLMSFPFWIRHRIYVWSLGGVLCHLIQEIMEDCLLPPL >Et_10A_001664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6545583:6546301:-1 gene:Et_10A_001664 transcript:Et_10A_001664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVLCLIHMISRGCTFVNRSICFPKEIRTSITSNVISFNLTCTSTCNHLFSLLTHKVLCVTVNNSFGMLLLSGMNFSCDEKLQNIESEFLTWLCLMSMVPWHLTFSPALEFLSMRGNHNFNTTSNVMPFYLTCVPIIIQSVSSHGPLWTAKQFFSMLLPSGMNFNCDEKFKIVYSEFLTCIMGATK >Et_8B_059908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:428863:434384:1 gene:Et_8B_059908 transcript:Et_8B_059908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGQEIRRDMLIIDTDPGIDDSMTILMAFQEPSVEIVGITTIFGNVSTEGATRNALLMCERAGHPHVPVAEGSAEPLKGGKPSVFDFVHGSDGIGNLFLPAPTARKVEETAAEFLVNKVSQFPGEVTVLALGPLTNLALATKSDPSFASKVKKIVVLGGAFFTGGNINPAAEANIMGDPEAADTVFTSGADIVVVGLNITTQVCFTDEDLLELKESKGQHAQFLSDMCKFYRDFHVEYEGIHGFFLHDPTSFTALVHPEHFMFKKGVVRVGTQGIFTGHTLMDLRLTEWNSENPWSGYKPISVAWTVDVQKVLDDSMTILMAFQEPSVQIIGLTTIFGNVSVEGATRNALLLCERAGHPHVPVAEGSAEPLKGEKPLISDFVHGSDGIGNLFLPAPTGKKVEESASEFLVKKVSQFPGEVTVLALGPLTNLALILGDPEAADIVFTSGADIVVVGLNITHQVYLTGCFLHDPTSFTALVHPEHFTFKKGVVRVGTQGIFTGHTLMDQRLIKWNSENPWTGYRPISVAWTVDVPKVLGYVKQQLMSQ >Et_5B_043587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12088435:12091015:-1 gene:Et_5B_043587 transcript:Et_5B_043587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGDRAAAAAGGASRDLISALPDDLLLTILLRVPTIEAIRTCALSRRCRALWTNLPELTFNDKDAGIQRLRFADLVDGVLHRSARCDLSIFEVSVYCDCNVDDAAARVPAWILAAAPRATGKFRIWMVAQREQVQQDETRALLDLPCLPRAKNISLTLKIKHNAELRLPAPGAGAFACLTGLELEFLRLTDGGADLGDLVSSRCPQLEKLRLFRVSGLTELSIRAGKLLQLNLATLPDLERLSLVASKLRVLHLLVCFGAGDTTLSVQAPMLEDVGWFGNCPEDMRFDAMRNLQKLVVGGSKLGAIRFNHSTSHAKFLQHFGHTDFLKLQISLPMVNLCSAGCLCDEPASWKDQKVCFNFLEELMFNGFMGTGCEMDFLIFMIGRSKALKVVWVAFCKGADLIKDIEEIRKEIVSSLPAGCSVQFS >Et_6A_046679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19333174:19338840:1 gene:Et_6A_046679 transcript:Et_6A_046679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QWNIPPEIVNSSTLHTLILSFNQINGSVPAFPKSLTFLDISMNFLSGLQPSDLGAPNLKGLNLYSNRISGHVHQSICYLRNMLALDLSNNFLEDTLPDNNNFSGKFPSLLENCSSLAFLDLSWNKFNGRLPQWIGNMEYLRFVQLSYNIFNGYIPANITKLKRLQYLNLANNNISGAIPLSMSNLIAMTDKHPKKQGIDMVEWYNGRLGKFRRILSVVMKRQELKYGVGIFQMIGIGLSHNHLTGGIPEEITSLSGILNLNLSWNQLSRLILEKIGAMKSIESLDLSWNNLSGEIPPRLLELTYLSYMDLSHNNLMGRPSMHDGNSGLCGPPLQRNCSGDNNALDNRDQDTSERFITRASMRGSAASLLLIATCFFFLLAHARRHAQPAAASCIPKERDALLDFKRGINDPEHLLSSWHRGHDCCSWEGVSCSHRTGNVLTLDLSEVTGDDADSALGGQISPSLLSLEHLQYLDLSWFNQTLPSNNRLEFLGSMKNLRWLHLSGSLFSGHIPPQLANLSKLEYLDLSWTSFSGRVPPELGNISNLQHLDLSNTQGTYSLDISWLSRLHTLGYLDMTSVNLSTVVDWLHVLNRIPSLNVLHLAYCGLPGTIQLLGHLNLTTIVELDLSFNYFHHPVASCWFWNVTTIQYLELSQTYLYGFFPSSLGRLRNLQYLGFTDNANAATILVDLKDLCDLEHLYLGGSLSQGNIKDLVDKLPHGTTTHTIPGTGNCSSLYAIDLSNNYLTGNIHSGVANISPILIYLDLSGNNLTGAVPHEIGNSSLSHLILHSNQLSGQIRLLPRNLDVLDISSNFLSGPLTSHFVPPSLKGLMLSNNLITGRVPQSICELQNLAILDLSNNFLEGAFPQCFGMSKMVFLQFSNNGLSGMFPSFLQRCSDLAFLDLSSNKFYGTLPLWIGEMAHMQFLLLSHNFFNGHIPVTITNLRQLRHLNLASNNISGAIPWSLSNLTVMSNRHQNEEEIDLPEFYRFINNRFAEIFLLVVIKRQVLRYGDSFYDLVSIDFSQNHLTGRIPDQITSLNGIVNLNLSWNHLTGSIPEKIGYIKSVESLDLSRNNLYGQIPPSLSDLTYLCYMDLSYNNLTGRIPPGHQLDTLYTENPSMYDGNHGLCGPPLQRNCLSGNNSGEKGNETTNERDSEYIFFYFGLGSGFMVGLWVVFCTLLFKNTWRIRYYRLFDRAYDRVFVFACGCDLGQVS >Et_5B_045275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16153116:16154969:1 gene:Et_5B_045275 transcript:Et_5B_045275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIVRKSLPVVIRPSLEPQLNNTTIDLHPFDKGLGAMPATCFLVFDHPIDEPVETIKRGLTKALVHYYPLAGRLATSADGSGDLCVHCNGEGAVFVEASANFTLKEAKFFGGSSGAIALPDDLAVYYPDDRCGRNDDPLLMMQVTVFSCGGLVVGVTWNHAIADGAGMAQFLQAVGELARGMPAPSVTPIRCDASLPGIPPTIAIAQQFMMGLVPQKFACFDYTIPSCLINRIKAKFTEHTGGEPCTVFEAVTAVLWRCRTRVTISDPEAPALLFIVANVRKQVGAKEGYYGNCVSGQLVMAPSGAVANGDIVDIVKLIKGAKEQIPRQLKEKDNDHPQAAKGRERHGDMLRYNYNILSVSSMRNIGLDEADFGGGGPVRVMCRARTPHITVPSCVVCLPWKETDGANVVSRCVREEHVGPLLGELARFTYPIKL >Et_3A_023575.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:5722771:5722866:-1 gene:Et_3A_023575 transcript:Et_3A_023575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACWTIWCHRNGIIFYNKNLSLNTWKSSLN >Et_7B_056035.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:9971695:9972210:1 gene:Et_7B_056035 transcript:Et_7B_056035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKSRAQPRPSAAPTWAKRTEALTHILTHPSHSPTLHSQLFLASRVPCPGPTASYPPFLCPGASLLRWALTAVFLPRAARLGFPPSSWRSRCPFQIPPPLVPSVGIEPAPERWGEAELSGYARRRRARRGPVRLRPPVSVAGVLLTTVPNIVIVAAVIRELFWVEPNRI >Et_2B_022482.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:23375231:23375893:-1 gene:Et_2B_022482 transcript:Et_2B_022482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSDSSSPCSSTSSEHQTVWTSPPKRPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTFDTAEAAARAHDAAMLAIAGAGACLNFADSAWLLTVPASYHSLDDVRHAVAGAVEEFQRREALAEEDARSATASSSANSSEEDATDGEESSPAAQDLTFELDVFNDMSWDLYYASLAQGMLVEPPCAVTALGDDGEANIADIPLWSY >Et_9A_062455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23044740:23049994:1 gene:Et_9A_062455 transcript:Et_9A_062455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRAPFHSNRASPRPLVGGPVVLTCPRLAWSGDPSVLRLISSMTPDDNALITLKKGSKLIKYSRKGKPKIRDFGVSSDETTLVWYSHNKEKGLALSSVSRIIPGQRTAVVRRFLCPGKDYLSFSLIYKNGQRSLDLVCKDQAEIEVWFSTLETLITSYHKNSFTDGQSDIVSFSDVVSQYHDTSYDSQLDIASSITRSYLNPLKADVGSDRANMLRASTGDVSRVSISSAPSSSSQGSGQDDTESLGDVYVWGEVWTDVIPTEGSSNCCSKADILIPKPLESDVVLDVQQIACGSRHIALTTRQGEVFTWGEELGGRLGHGTEADISRPKLVESLEVSNVEYIACGEFHTCAVTASGDLYSWGDGHYSAGLLGHGVRASHWLPKRVSGLLEGVQVLSVACGSWHSALTTSSGKVFTFGDGTFGALGHGNRESVAYPKEVDTLSGFRTMKVACGIWHSVALVETSSQAGMNMVSRKLYTWGDGDKNLLGHGDKDARLVPSCVQSLIDYNFHQVACGHSMTIALATSGHVFTMGSSSNGQLGNPKSDGKQPCLVQDRLSGELVEEISCGSYHVAVLTSRSEVYTWGMGANGRLGHGGIEDKKKPTLVEALKDRHVKSIACGSNFTTCICIHKWVSGADQSVCSGCRQPFGFTRKRHNCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFMKLKGAETSSNGSYSKTNARRSIDIKEKFERPEIRPSRLATTSPTEQFKQSEIKAVRPEIKPDSMSMMRPSQVPSMSQFNAFGGTFGSVPINPGAMTMPSLSPSPFPRKPTPPANPLYGKTETDRLKKTNEVLNEDVSKLQSQVNKLRQKCEAQEDQLQKLETRAENSASIAAEESSRSNGVLGFIRLLDTELKNIADKVPKRFLTGQSSHQPEVPGPSGHEQHGLSSGLPHDTAHRRSTSMGNLVMSQDGSAGSGNTSSSASSVMGESPCHRIVESSSRADGDLAPKYSTHGEVQLIEQFEPGVYVTLIQLRDGTKVFKRVRFSKRRFAEQQAEEWWRANQERVFRKYNHPTN >Et_1A_006996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:317605:318979:1 gene:Et_1A_006996 transcript:Et_1A_006996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSAGFHTPRPSSLRSSSTPAARSSVGSSSSSTAVAAAKLPAAASIPRDLASKVVAKCLDYDDDFILPADSPLPPDAVPDEDDLAPLLDLPDPEVSANASSITAISAASGDLATASADSCVSEVTAPADSTVDSDAPLPEQINLVLSELHAARGMSPRSKRLLAALAEAATAELTPTATTRRLRRAAFWGKVRIAVLTATVATVAAVDVALAAYLYANRVSDRYHVLPPT >Et_1B_011806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26179587:26181201:-1 gene:Et_1B_011806 transcript:Et_1B_011806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGGLNILPQKRWNVYNFDNREKVRNDEAAAAREEQLQREAERRRESDLRLAALRRNRGLVHAEPATPSATPPVPAGAADPEEDALPSAAASDGDHINLFFGGSDFAALASLEGGRGAAREREPEADSKPNPKKRKKEEEVRAVGPDEEKYRLDYGLAGKGVAAPWYMSSPASISAAKEGRGRDAGEANGGKRSGPGKKSIEELREERRKREAKEKERERALPGAAGRKERQADRGRSSRTLEGCVLNESRSEDKLTSFSKKIAE >Et_2A_018200.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:17973611:17974432:1 gene:Et_2A_018200 transcript:Et_2A_018200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQLMMRPPQQLPYHQMWGALPLAPLPPSMEPPAGFPGGKPGRPAWKRPARQPWKRKGQPPALAGARRGGAAAPRNTTSFLIRAKRAGGVASLVSPCPVTPAVLPTPQLSPAREVLVEMAKEAWGVDGYGSMKGLIRLRSQAAGAGDAVVGEEDSGSGESDLEEHVEMERRLDHDLSRFEMLQLPAAAGFDDEEEDDDARAARLEEENLTLRDRLFLMERDVADLRRRLLAVEELCRDRHHRDGCVVDSADEAAPSESVADIAGEQADAMEN >Et_3B_028688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18075388:18077445:1 gene:Et_3B_028688 transcript:Et_3B_028688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNPRIVGSGERTLVLSHGYGGSQAIWDKVLPHLSRRSKVFLFDWDFSGRADDEVEEGEHSYYTFSRYADELVALMDEMKLSGVVYIGHSMAGMIGCIASIKRPDLFTHLVLVGASPRYLNSEDYEGGFDAPDIEAMLARIASDFRGWAEGFVPLAVGSADPWALERLARSFFAMDQRVAHALARMIFLGDQREVLDRVAVPCTLVHVSGDFAAPPCVGRYMQARIHAAAVAMETIDSVGHFPQLVAPEKLLEILDFVLLGGAGRDDDEGDMNGGETGLADAEVNSDIDVAT >Et_2B_021809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5671238:5675947:-1 gene:Et_2B_021809 transcript:Et_2B_021809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLLISSTARPLKPFLPLPLPFPSARPRQARPLATPPPPRLAHRRPSVAPHGASHEVFDDGFRSQDKPPGVGRGGARRRAYREVQGEATVPPAAAAVRAVAPYVVPAGAVLVLSFVLWKVVQNLLPGKTKDRNSGESKPSGIMWSFAAGSNLAISTVSAEKESRKNLNKFYKELRTLKTVNMAGRQFGDEGLFFLAESLAYNKSAEEVDFSGNGITAVGIEAFDGVLQINTSLKTLNLSGNAIGDEGAKCLSDILVENVGIQKLLLNSTNIGDEGAKEISNMLKKNKTIRILQLSNNTIEYSGFASIAEALLENNAIRSLYLNGNYGGPLGACNLARGILGNKSLREIHLHGNGIGNEGLRELMSALSAHKGKITVVDIGNNNIGSEGLRPVADFIKRTKSLLWFSLYMNDISDEGGNNIHSKGVCAIAETLKDNTVLSTLDLSYNPIGPDGVKALCDVLKFHGKIQTLKLGWCQIGVSGAEFIADCLKYNTTLSTLDLRANGLGDDGAICLARSFKIINESLTSLDLGFNEIRDDGAFALAQALKANEDLAVTSLNLANNFFTKFGQVALTEARDHVYEMSEKEIDIYF >Et_3B_031574.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:5164872:5165276:-1 gene:Et_3B_031574 transcript:Et_3B_031574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTTKRKRPATDIAGGEASPATTVDDVSDAEVEEFYAILRRMRDASRAGVRPPARAPAWRPSFSWEDFAPAPPAAAPAPAPPSDDDERVPRDDAAAAPPPRGGLDLNVEPEPDTPSSASPAPATPRSARAQA >Et_7B_055364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9674736:9682199:-1 gene:Et_7B_055364 transcript:Et_7B_055364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLGHLLASLSALRVLGCWFLDAGYKAVKVRYEYRIANALDASGAPVNHGETTPANFNNNQSPVLGMDERQRQRRGHSPGPASAAPRHHALRAARRTGSAFTVARQVFFMLMTMWEVASPTPALTSDSAQGGDVAWSILGRPGREPLIQDDDVVEIWESKKTRKQKQDINGRHRVQERASVRKVRLVAASGCAIDKQIHQRSQEVVLPHPQLYCANEEQHSVEGEAHQAVVSTRFLQEQAGRSRPPYLDN >Et_9B_064640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17257468:17260528:-1 gene:Et_9B_064640 transcript:Et_9B_064640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding REPWSREQTNPLFSVPSNSKFKNRGPIQLETGVSLSLLSPSPPGFLPLEKHSKSPIRLLPCPTEREKGNRGKGRGERVYRSGAMATTASGGASGTDYEEQRRKRVLENLKHLEELGIKEMSKSLLQAARLQNKNKGGARASPKPRKKLDATEVRRSSRAKATVSYKEDVSSLRTGNGKSSEQGREYTGRVSSYEQQQRAFKRAEKLQDSLDPENPSFVKTMVRSHVSSCFWLGLPSSFCKKHLPPREFKMVLEDEEGGEFDAVYIGNRTGLSGGWRGFAMHHDLEDGDSLVFELVEPDRFKIYIMKAIDDDVAEEAGSDDNADGDTKDEPQDDSPAAEPPKGAKRRKLRGRR >Et_3B_029236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23365443:23367036:-1 gene:Et_3B_029236 transcript:Et_3B_029236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFNCQHPSVTMPMLSLILTILLLNSHMALCSCYKRIFSFGDSIIDSGNYVHLVGNSSSKYKEAPYGMTFFKHATGRMCDGRVLIDFYAQALQLPLIPPNLPENASGQFPHGANFAVFGATALPPSFYKVLNHSVSTPWCLGVQYGWFDDMLTRIAPEDGARRRLLEESLIVFGEIGSSDYISWFSAGRSREKAKELIPMVVSAISTFLEWIVSWGAKVAVIPNSFPIGCLPLFLNKFHSHEPKDYDEHGCLRWFNDFALAHNNVLFDEVNRLQGQYPDVKLIYADYYNATMELIKNPGRFGIHDPLVACCGGDGPYHANGYCNNKAKVWGDPGRFANWDGMHMTEKAYNVIAEGVLRGPFAKPPLLRNCSN >Et_6A_047421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5531817:5533915:1 gene:Et_6A_047421 transcript:Et_6A_047421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVQVIDSSFVAPSEPTPMKGLWLSPQDLAANRGHTPTVYLYRSDDAASDFFDVARLKASLAKALVAFYPLAGRLDIDDNGRTQINCNNEGALFVVARLDHRADDLGDLNPSPELRRLFVPCVEPPSIMLAVQVTYFKCGGVALGMALHHAAIDAMSAFHFVQTWSAFSRDGAGATVELPCHDRTLLRARSPPFVHPDALSLFCPTVTFSETSSGPTTSETFPISHDQLAALKRLCGGVTSTFCAVSALARRLPPDAEARLTFPANVRRKTRPRLPDRYFGNAFIWLGATGVARDIASETLASVAGRVAGVVRKLITAAKTTESQQRPLKGSMPETELRINSWLGMPVHDADFGWGRPRAMSRAESVRGGFVYLMDAGPEDEGGTCALRMLMCMEAANIGEFERLLYANI >Et_10A_000005.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:12230999:12231430:-1 gene:Et_10A_000005 transcript:Et_10A_000005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVIVETDAANLGRALISEDTDQSPKGGLFRTIRSFMESSFVSCTVSVCSQVWNKVADCFAARGVSTMPIGECVFWCLAPCFVTGLVSGDMPGASGQWKQSVKKKEGQKRNSITARTTSTHLDSLPFVRLVGSNWPELNEPM >Et_4A_033242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20338223:20341870:1 gene:Et_4A_033242 transcript:Et_4A_033242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSEMPMPEKLTRSGSLGSKDTYLRADKIDLKSLDIQLEKQLTKTWGKANLKSQGPKEDWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAVKLLDWGEDGFATEAETAALRTSFKQEVAVWHKLSHPNVTKFVGASMGTSDLKIPASSSNGGARTNLPARACCVVVEYLAGGTLKQYLIKNRRRKLAYKVVVQLALDLARGLSYLHSRKIVHRDVKTENMLLDTQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDVPRCCPSAFANVMRKCWDANPDKRPDMDEVVQLLEALDTSKGGGMIPEGQSSGCLCFTRARGP >Et_2A_016360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23964196:23966127:1 gene:Et_2A_016360 transcript:Et_2A_016360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLSSSPVPASRLSLALLLLLLSGCGGGAGVTVAEARPPLPLHGARRLAFDEGYTQIFGSSNLALLRDGRRVRLALDESTGSGFASQDLYLHGFFSAGIKLPADYAAGVVVAFYLSNGDVYEKTHDELDFEFLGNVRGREWRVQTNVYGNGSTNAGREERYDLPFDPTDDFHHYSILWTKERIIFYVDETPIREVVRTAAMGAAFPSKPMSLYATIWDGSSWATLGGRYRVNYKYAPFVAEFADLVLQGCAVDPIDHHSAATTSCDAATAGLESAVPADQRAAMAAFRLGHMSYSYCHDRKRYAAPMSECDAAERRPAVARMFGPDGMRQLHRRHRGGHRTAAAAQDDVM >Et_7B_055670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20658755:20662939:-1 gene:Et_7B_055670 transcript:Et_7B_055670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSHCWKGKWVKEHTCDASSVSGSLSKLLPGYDDDDDATPPLPGLQNLHTGHPTLSLDEDDVVYFLAKVDHRDEQAFVGAVDMRKGTLQRADCFGAERMARNVFHEDRTQRKTEILKTR >Et_6B_050108.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6293748:6294107:-1 gene:Et_6B_050108 transcript:Et_6B_050108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVMDTVSAWHPIAPLLKLMGQIVVLATTSRPLELPVATIVPCGMGVAGSLQVGRVADCQDMLDFAGPNDIGAEVEVIKMDYVRAVPGQVQLVRPHRPPEIEVPQAGRLRLHRVGNRA >Et_9B_065769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10892239:10895422:-1 gene:Et_9B_065769 transcript:Et_9B_065769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGPDAPGGGGGGGGGTSAPAEAGPSSKEEEAPKQEGAQVRQQQHQPPAAGAGAGKEEEAPPAQAPQPLAQHAPAPAAPAGGLSRYESQKRRDWNTFLQYLRNHKPPLTLARCSGAHVIEFLRYLDQFGKTKVHADGCAYFGQPNPPAPCACPLRQAWGSLDALIGRLRAAYEESGGRPEANPFAARAVRIYLREVREAQAKARGIPYEKKKRKRGAAAAAAPPPVVTAAAGTSTGGGDEEEEEDDDEPPSPSTDEQPRRTAPATSATPPASAASAGASTTSAAAATTTTVTATQQEQQGSAPSSRDAKIFADTQSDRI >Et_7B_055606.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:16674151:16674579:1 gene:Et_7B_055606 transcript:Et_7B_055606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLIITLSCLLVLAASTTAVDAAQCRFEILVKTGNRRNAGTDARVSLQLSSANGPTLVIPNLESWGEMSAGHDYFEKGNLDRFGGTGACMPSEPCKMVIRSDGSGNKPGWYVDYVQVTQLGQGSVSSMTHKWAVGCGFAAP >Et_4B_039440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15746517:15747505:-1 gene:Et_4B_039440 transcript:Et_4B_039440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGTSGEEAIPKTFAATVVVFICIYVAGFAWSWGPLGILVPSEIFPLEIRPAGQSINVAVNMLCTFAVAQAFLPMLCHLRFGLFYFFAGWVLLMTLFVAGFLPETKNVPIEKMGVVCKTD >Et_7A_051769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24356363:24357295:1 gene:Et_7A_051769 transcript:Et_7A_051769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSRPNHLTQQTINTMASSSYLFLLAALLALTSWQAIAYDPSPLQDFCVADMKSPVRVNGFPCKDPMAVTPDDFFNDARIIGQPRDTTKSKVGSNVTNVNVINFPGLNTLGISLARIDYGPLGVNPPHIHPRATELLTVLEGTLYLGFVTSNPNRLFSKVVKKGDVFVFPKAMIHFQMNLAHDKPAAALSSLSSQNPGVITIANAVFGSDPPISDDVLARAFQVEKKLIDWLQSQFWENNHY >Et_1A_007707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37402962:37405047:-1 gene:Et_1A_007707 transcript:Et_1A_007707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAILAGIPPACRPAALIAATCALLLLATALLLPGAPVPAASQLAAASSAEDAAVRLDARVEQRSGNEVLWQLPAASPPRAVLFAAPGCTIRATDFFDASPGCPRCAGLPEERRFTRAALARGYAVLAVSSRAECWSLDDGDGEGSELAAVESIIKWWSKEKHPQLAGLPLVGIGASSGGYFLSALATRVRFSSIAVMIAEGVYGTMGDIPAGYPPALFVHMPKDAERARDVAASIGMLRAKHVDVREVKCDDFAVSAEFLAERVPGLTRAVAEALVDMLRRKGFVDEKGFLKNDGRSTPWKKAAEEAKVLPEGFRLQRHVTEEFNVAYAFHEFTSLKNGEIFEWNPAINRLTNAQKLRKSDHARRKQLKKDAAIVVLNRAQECFAQRSRSESM >Et_8B_059117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14001204:14001969:-1 gene:Et_8B_059117 transcript:Et_8B_059117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSNDIQCADAKDQGSTADGEHGDGLRHAHEAHLPGQHDAEALAAAAAERPEEVLPHGAPVEQPALGIHDVVGGEAVLARQRAEPAAAEVAADAHGGAGPRRERQHAAGVAHGVVELADGGAGVGPRLAARGVDADAAERAEVQHGEGLAVLVAGVG >Et_1A_008469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:863035:865195:1 gene:Et_1A_008469 transcript:Et_1A_008469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAMDHDGGGGDVVTPGELLGNSSSLVAGRGAYADGRSVRASVTGRRRIVPPQPDSSDQRSTVEVVGHKAHGAVPQPGSVVIARVTKVMARMASADIMCVDSKAVKEKFTGMIRQQDVRATEIDKVDMYQSYRPGDIVRAMLSLGDARAYYLSTAKNELGVVSAQSIAGYVVYNMSMRLQRHQVIGQEDFALLKLLTT >Et_5A_040237.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:25993173:25998484:1 gene:Et_5A_040237 transcript:Et_5A_040237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPPAAAAAAGRRRQTIYHGHRRASPHRPTVRGGVFTDLRAAAARPHPSRPSSGPSASAAQFRLADWDPQSSSSSTSPSSSSPSFPSPSASASARRLSPLARFLLDALRRHQRWGPPVVADLSKLRRVPPTLVAEVLSARPPPPPPLALPFFHWAGRQKGFRHCFPAFHALASLLSAAGLPAAADQLPDLMRAHGKPVSQPQLTLLVRLHTAARRPLRALHALRRFRREFDVRIEVHACTRVLGALAAAGHVHDAVKLFDEMAECGVRPMPVTFSIMVRALAGAGMTERLLEMISRMRNEVCRPDVFVYTALVKTMVRRGYMEGCIRVWEEMETDGVEPDMMAYTTMVGGLCKAGMVEEAARLLKEMRSKGLLVDRMVYASLIDGYVAAGKVADGCRVLKEMVDAGYRADLGIYNTLIGGLCAIGREEKAHKMFQIVLQEELVPSSETVSPLLVCYADMGEMVTYFGLIDKLVDMGLSAIGIVEDFFKQFACKDDRVLKAVELFEALKRKQYCSVGIYNILIENLLNIKERKKALLLFEEMQGSVDYKPDSCTYSHMIPCFVDEGNVEEACTCYNSLMKASWIPSISAYCALVKGVCKIGEINAAISLVKDCLGNVENGPMEFKYTLTILDACRSKSPEKVMNVVDEIVEVGCSMDDIIYSAIIYGFCKYATSTEARKVFAIMRDRNILSEANFIVYEDRLNEYLKKVTADLVISGLKFFDLESKLKWRSRID >Et_8A_056307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21266607:21268106:1 gene:Et_8A_056307 transcript:Et_8A_056307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNNKQVAGSSTLPAIKVVSRRLVKASDPSIEPYVLPVSNLDLTLHCLNQGAELVIGEVKVALESLDWAQSRASLKLIQVPYGGDVALSVQVISFACGGFAVVWASNNIMGDGNTAMRTVMGWTELLRTGTVAGVRPGRAHNDRSRHFQPRDPPTYSAEFAEMYRPIDHERSVNALTVQDSTVERLYYVEARDIARLHKAASRADANGGKQQGRVSRVHAFSAYTWKVLAEEKRCGLLWWVDTRSRFSSRQLRAALRHHLGTVCFYAHAEEHAGAILDRPLADVAAATMWCSFPTDMDFGFGQASVAMPVDACFERLGASLMLVGSRPGGDGSWIVSAEMWRCLAEALEADEQRIFKPLTAEYLGFTASGKKDLLLATDQQMSRL >Et_5A_042101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6325112:6326476:1 gene:Et_5A_042101 transcript:Et_5A_042101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPVHREERDVELRWRTGSALIPSVVDEIRRGSAAFKNNLLWTNCSVYWEQGNARGRRLVTRSVLVVIFADNVVSFILQAWEAGWKRIWWVRDGWQQQQHFLKEAQANGKMMLSESDDSATQPYHSEVFIEHYVLLWKVYFQTTF >Et_2B_022861.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6808108:6808374:-1 gene:Et_2B_022861 transcript:Et_2B_022861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGVMKEFAAAERAARTADGGVVRMMVMDTTEAMILWADAHLSKYRGLDAGEVLQGVPRLRALVPARGHRIDTWNDILLHMLTSESR >Et_2B_021693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4566938:4571265:1 gene:Et_2B_021693 transcript:Et_2B_021693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPGGSGGQALSRQGSIYSLTFDEFQSALGGASKDFGSMNMDELLRNIWTAEESNAMAAATTATASTAAPLDVNAPPGASIQRQGSFTLPRTLSQKTVDEVWREIVGLAGGEAPAAPAPAPVPAQAQAQRQPTLGEMTLEDFLVRAGVVRENLGQSLVLPQQGQGMFQQTNVVTPTMQLGNGMVPGIVGQAVNGGMTVAAPTTPVVLNGFGKVEAGDLSSLSPVPYPFDSALRVRKGPTVEKVVERRQRRMIKNRESAARSRARKQAYIMELEAEVAKLKEHNEELQKKQAEMLKKQKDEVLERISKQQGPKAKKLCLQRTLTGPW >Et_1A_007023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30148865:30149297:1 gene:Et_1A_007023 transcript:Et_1A_007023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCQRGCLDLSGLGAQRPVILPPLEAGGNRCSVKPASRIARHSRCWGAKAFAKAPTAHYATWNRRAAKCNPRPRHKHHTRHPHHPNAPTRLGEIPCADWIFKVVLGYVNWQCGTNQQTSQLALGLLCSLNSERGM >Et_4A_033593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24802402:24805842:-1 gene:Et_4A_033593 transcript:Et_4A_033593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEAPVAVAGANLTAALCKKSNRVARVLAYALLEWILIALLLANGVFSYLIARFAAFFGLAPPCALCCRLGVDSLFEPGHRRGVGGAEPLRRVLCDAHAAEVSRLGYCRAHRRLADAGDMCEDCAAAAAPGKALLSWMGKSELGARDLACACCGVALESGFYSPPFLLSNLQAPRGLDCSLKEEHIPNVNGEVVFVSEEGPVLELFDEKPLVQDDSIGVMAQDAEIAANVERLVPLESIDSLAVSMAAAPSQSASGRKESIDHGNVKQDDVVQDNTVDADEDQDNTVDADEEKCVMTPDDDKVDGEVDLQIAATILATPCVEGTFDDDLNVGETVDGFADQQAPEEDNELKDNDMKISIGDEVCEKEQVEEAALKQELSAITTGPSELELIEKLDRSTDLENIEEAELNHKLDSMPMKASVLVSANSLENIEDKLVQHVELNQKLDLVQICPREHADEELEGDITAQAGLEQECDPVLNDFEENVCVTSYSHSDDELAVVKQNSTSVTADVLDYVANTFDDGTNTGKENIEEDPTEAALPILQPGYSVTEEERDPDTPTHIEGICDSQEMLDSKAVISDSKSADSSVATVSSDLESTELVSVDQLRTALVAARKSLNTLYAELENERNAAAISADETMAMINRLQEQKAAMQMEAIQYQRLMEEQSEYDQEALQRLNELVVKRDKEKQDLERELELYRHKVHLYEAKMRKMCRHKVDEQNGSSSSSSSAEDSDELSQSFYEGDESANGLNGSNGSLPIDVDLQETARHLVTLDGSLADFEEERLSILEQLKVLEDRLFDLDDEEYELTKMDKHLSEENHLSGASNDFSDDDSYFKLHDNRKSVSYKGKKLLPLFDDATVEAGNLVPKQGDEVDHSTEVTMELAREQDKLAIAGEIDQVHERLHALEADRDFIKQCVRSLKRGGKGFDLLQEILQHLRDLRRIEQRTRNSGEISPHYLHPYAD >Et_8A_057393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2660767:2661496:1 gene:Et_8A_057393 transcript:Et_8A_057393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNGNPSVTFRQRSPARVFESGHETADWVWKMPQTDLVENYDHMFRHVPPSDIGKHLGHLLDSTDGTDVSFNIGGETFYAHRAVLAARSPVFRAELLGSMAEATMPSITIHDIAPATFKAMLRFIYTDYLTGDDELDGSTSETLHDLLAAADRYALDRLKLMCAQKLMDSVSVNTVAVTLGCAEMYNLPELKKRCMDFLAKDEYFKKAVLTKGFLELGLQFPSIIHELRERVG >Et_3A_025081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26702968:26708339:-1 gene:Et_3A_025081 transcript:Et_3A_025081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRSAGAGAGGFVGDEAIWRKLREAGLDEDAVRRRDKTALIAYISRLESEIYDYQHNLGLILLERKELNSEYEKLKASSEAAEIMLKRERAVQQSALAEARKREENLKKSVGIQKECVANLEKALHDMRGETAEIKVSYEVKLAEALKMMEAVQKKFDEAEEKLLAAKSLEAESIRSRNAAMRSLQDIEDREDQLRRYRNSWELEKELKEKEISLLRKSMDDTKKILHEKEQTLLKEQANLNQRDDNIFERQAYITQTEKRLEEEKLNLEGERKVLVEEKNKLDLKMQAIFSREEAVVQKESLLDKQESELLIFQETIASKERAEIEKLRQEQEITLARRKDDFDREMENKLQSFEAGIEARIALLDQRETSLVEQECAVAQREENVNFRLAELANKEELLLKKSEELVEEEGKFSSQRETQLIELQKEREEIQRLKLDLEKEKAFFEEEKREAIQAQEDLAITQNEREDLLTLQLKLKEEIESLRAQKVELMVDAERLHAEKERFEIEWELIDEKKEELQKEADRIAEERSLITEHLKTELDIIKQEKENLRIQFKNNSESLAREHEEFMSKMQQEHASWLSRIQQEREDLKRDIDVQRTELLNSAKARQMEIESYLKEKEEEFEQKKSKELEHMSSEKATISSKLEHVRLELQKLEDERKEATLERERREQELSEIKKTIDALNEQREKLQEQRKLLHSDREAITHQIQQLNELEELKIESENKQLSMRVCEKLKHVRIEKVKENGFVPPTEEGHNASPEKCSSPKLIFGKKLEVSPSVSTPMTWVRKCAQVIFKRSPEKNADHDNDGLAQNGVPTKLGNLKDSCLATGRASELFADQLENGAEEIQDFGGVKVGKKRLHNTLSHDQREILEPKRKHQRSTIQTNGPSVIEEKFSKNEHDAIPVLLPGSNKDHEHRNKQLLDLTNGDLATPDAVYVVNGKADKLGFPGEDEPSEELSVSATEPLNGDEDNDEQDEDSDDEGEEDEKTSSAKKLWRFLIT >Et_6A_046292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12177594:12181673:-1 gene:Et_6A_046292 transcript:Et_6A_046292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQFGILVMVTTCLMLIPTSEQSSQSELLQQLRKQLEYPRQLDAWSSSSSDPCYIQPTVALAVTCEGSAITELKIVGDRITKPPKFSGYHVPNITLSEAFVLDSFVTTLTRFTTLRVVILVSLGLWGPLPEKIHRLSSLEVLDLSSNFLYGSIPPKLSVMSKLHTVNLDSNHFNGSVPDWLDSFSNLTVLRLQGNRLKGSIPASIGKATMLTELALSGNRISGEVPDLGNLNKLEMLDLRDNQLDGELPEMPTALVTILLSKNSLKGEIPDQFGELNRLQHLDVSFNFLVGSPPEELFALPNISYLNLAANMLSGSLSSSLICSNTLGFVDLSTNRLTGDLPACLNGNLNNKLVKFDGNCLNADPAHQHDAKYCQQSQNGSGSSKDVGLVVTVVGVLFIVLVLSLVLMASNKRNCKKVLAEHQFLQKHTQDSSTSGMSSELLANARCISQAVKLGTQIQPSYRVFSLEELKEATKSFERSAFLGEGAIGKLYKGKLENGTLIAIRCLALHQRYSIRNLKLRLDLLAKLRHPNLVCLLGHCIDSAVDESSVKRVFLVYEYVPSGTLASYLSGSSPEKTLKWSERLQVLIAIAKAVHFLHTGIIPGSLYNRLKSSSILIDEHLMAKLSDYGLSIITEEIYKHEVIGDGRTYLKNNAVEMESLEEDVYSFGCIILEVLMGPKLHEKGGFILNELAVSISSQEEREQVLDPVVVGTSSQDSLSMVVSIMIKCLSVESSTRPSIEEVLWNLQYAAQVQATADGDQRSEVSSQAC >Et_7A_053111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6897114:6900560:1 gene:Et_7A_053111 transcript:Et_7A_053111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALVLLACICLFIASAEAEAPYRFFDWEVTYGDISPLGVPQQGILINGQFPGPEIECQTNDNLIINVRNSLPEPFLLSWNGLQQRKNSWQDGVSGTNCPIPPGQNFTYIMQAKDQIGTFFYFPSLAFHKAAGGFGAIRIHSRPNIPVPFPPPADEYTMLIGDWYNPSHTALQDVLDSGNELPPPDAILINGNGPEVANFTVEQGLTYRLRISNVGVQNTLNVMIQDHNMTLVEVEGTHTVQNSYTSLDVHVGQSLSVLVTADRPALDYLIGVSTRFANTSLSSTAFLRYAGSSGPAFDESSPLPEPINDNDDDLGFSLGQARSIRTNLTASGPRPNPQGSYHYGAINVTRTVRLASSAGQIGGKLRYAVNGVSYVEADTPLKLADYYNISGVFQLGGIPDAPAADDGGEVEMRNETAVMDSDHRGFLEVVLENGEDSVQSWHLDGYNVFVVGMDVGTWSEQSRDGYNLVDAVSRCTVQVYPYGWTAVLISLDNVGMWNMRAEVWARRYLGQQFYLRVYTPTPSFRDENPVPDNVLLCGRADGTNGGSSQPRPQF >Et_10B_004471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8551463:8553067:-1 gene:Et_10B_004471 transcript:Et_10B_004471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGGKKNTVTSSCENAMLSKTSNVSPTACTSAVTKEEIAQEQELVNSIFITVIRVIFRVR >Et_9B_065562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:758527:766301:-1 gene:Et_9B_065562 transcript:Et_9B_065562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVEEVRRLMELYKDEETSVTVTGHSLGAALSTLNAVDMVAHGVNSIAGKPPCPVTAIVFACPHVGNVFFKSAYRSFRHEQHQLKSLHVKNLGDVVPMVPALSYVDVHVALPINTGRSPYINWPQTPVTLHNLELYLHGVAGEQGSRGGFKLEVERDLALVNKGVDVLKDEYPVPGCWWVIQHKGMVTEQQAAASAGRAMASSAAQRWRELQGEHSWDGLLDPLDIDLRRSVIGYGELAQATYDAFNKEQRSPHCGACMYGYDDLLVKTGGVAAGGLYKVTKFIYATSSLPLPEAFLLLPLPALKDDAWPPTRVRRRWAGATSWNLEWTKNFDATATSAAPVLGAAASSNPLALVHNGFLSLYTSSNADSNITVTGHSLGAALSTLNVVDMVAGGVNAPADSSKPPCPVTAMVFACPHVGNDFFKGAYNSFPDLKSLHIKNLGDVVPLVPPQPYVDVHVPLPINTGRSPYLKIAAPETLHNLELYLHGVAGEQGSAGGFKLEVDRDLALVNKGIDLLKDEYPVPPNWWVTQYKSLVKNDQGKKGSGIHMGSSVSGIAKRWREVHGEKSWNGLLDPLDIDLRKSIISYGELAQATYDGFNKERRSPHAGACMYGHDDLLVKSGVAAAGHYRVTKFIYATSSLQLPAAFLLLPPEALEDVWCRESNFIGYVAVATDEGAAALGRRDVVVAWRGTIRPLEWATDLDFPPASAAPVLGDAASKNPFALVHNGFLSLYTSSNAESKFNKTSARDQAYSQIIIIRLIRSFRLITNARIILFCRFLRR >Et_8A_056596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13173212:13173506:1 gene:Et_8A_056596 transcript:Et_8A_056596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQKQTHLLEEMTYLCVPTEGLSSPWYQQHEHIACLNISVNDNFFPLFMQIQQATCNSFYDMKSLGPIQT >Et_4B_039898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5502256:5503758:1 gene:Et_4B_039898 transcript:Et_4B_039898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMPAPSQITALLRSQNIRHVRLYDADPAMLAALANTGIRVIVSVPNEQLLAIGNSNATAANWVAKNVAAHYPSVNITAIAVGSEVLSTMPNAAPLIMPAMRYLQNALVAAALDRYVKISTPHSSSIILDSFPPSQAFFNRSLDNVLVPMLKFLQSTGAPLMLNVYPYYDYMRSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMAYLNVTNVPVMVAETGWPHKGDPASEPDATADNANTYNSNLIRHVMNSTGTPKHPGVAVPTYIYELYDEDTRPGATSEKYWGLFDMNGVPAYTLHLTGSGQVLANDTTNQTYCVARDGADPKMLQAALDWACGPGKVDCSALMQGQPCYEPDNVEAHATYAFNAYYHGMGMASGTCYFSGVAVITTTDPSHGSCVYSGKNGSALLNGTSLAPSSNSTADSGAPRAIGDVSSFVRTVLSALLLLSVFLL >Et_1B_011008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16396827:16398274:1 gene:Et_1B_011008 transcript:Et_1B_011008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSILAGKKDGGRGRDSKALQGDATPLPAAATSPREKKRWSFRRPATSQGKLAVTPSPLSREPNATEAGRAGNDKSVSGRDLDAQSEHAAEVAVAAAHVSVMAAAQAVARLAEAEEESDVLASWLIEEAAAARIQATFRGYLARKALCALRGLVKLQALIRGQLVRRQATATLRRMQALLEAQTRLREQDHDAHSGGVAATAPQRRSPQHPRRRSSYEMDAAGEAARRGWSSWSAAAGEYYMSSPAPSSSAAAFGGDGLLSPRTFSGHLDDLLAFGPAADITADRVVPSYMANTQSSRAKQARSQSAPKQRTADALDRQPSTTRRRQPSRKSMQRSTSHIGVPAAACGYQQYQHQQPWAGVRLDRSSASLVGSECGSTSTVGCCRSLVGFEVRRRG >Et_10B_002724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1057673:1061969:1 gene:Et_10B_002724 transcript:Et_10B_002724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRFPFALRQSCGLLRSSSSSSRGLSAMAGVGGGRVGEEVQLRDFFEYMERLRNYERSGVPRGAGTDSDDGFDLGRMRRLLRRLGDPDTHFPAVHIAGTKGKGSTAAFLSSIMREQVYNVCCYSSPHLLTIRERISVGNDGGPVPVGLLKDLFDEAKDTNTIDESIVSENGTLTHFEVFTALSFLLFSRENVDIAIIETSFKQFQVVIGGPFPADIEQIICDRAFLTQSPVISAYDPGVKSITKCIGWDNGKPYQRCDIGINVSNDMPLNDVKLQLLLGDHQCQNAVTASCTALCLRNLGWDISESSIQAGLEEIQLLGRSQFLTQEEASVLGLDGSSTVLNDGAHTEASAKALSDVIEIVKPEGPLALVVGMADDKEHLAFAEQLLSGPLPNIVLLTEASIAGGNSRSMPASSPKELWIAAAQDQGIDHMDIGTVTGMETPESISNLASSSSSSGKCMLIGCQDAPFSCDLIKVASQLLESTGADAAPGLICVTGSLHLVASVLKQFEQQ >Et_4A_033952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28347853:28355019:1 gene:Et_4A_033952 transcript:Et_4A_033952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMERSAGLRFRTTSLLLEGFGERSGAVMLQMSDGALVRLDLGTKQATMYERKQQERCGWATHVCLYEIDLASLPFDVLLEIAARTDPTTAVCCAATCRPLRCGILDPSFRRRRLAILAAANSNGVHDLFDPALLVGFSYQLTVMHDCCGGLIGAACSAHVVKSDPSPRPRLRVHPRLLEAFEPVASRDGLVVLRLDHGNKTSCRNGGAAGGNKFHVWDALTARATSLPAIYVSAEHYPVALLNVGATDRSFDLLVADQRPEMMRFKTFSSKTGRWSADRAVHLPPPRVAYGSVAPSTRPAVVGRTVHWLCRVSRTHLCALALDSDAGAATTLALPPGCSGTTVSSSLYVYEVLLACVGGRLSCLVSESSTLTVSVWTLTSASPKPSWSRQVVVGTQEIERSAGMRLPTTTIRLLLLLGFGERSGVVILQVTDGSLVRLNLATKEATALGKYESELASHVCVYEIPPAMSTKRQLVSQSAAPLPQEGRSLPVDILLEVAARADAKTLVRVAATSKPLRRGILAGADFRRRLLAVHAAAAAADRLFDPSLLRGVSYTSATRHVDQAPPGLRVGIIDPDLLDEHGAPWSSRDGFLVLKCPSPDDVEPPKVCVCDALTGHVTCLPPMTLNPRRKHYTAALLSVDHGAGRAFELLVANRDLEFQTFSSKTDQWGPVRASSSQPAPRSSWLHSAHPAVVGCTVHWLIFVDLAFRQRILALDTDAGTATIMQLPEGYTVGRGSKAVVPVNFRGRLGVIVVESLGVSVWTTTTSASQAALAWNKQLVIRAQEIQWRTETDVDSFRALLVGGFGERSGVVVFQLKNGDLVRLDLGTKEVTVLSKGRQSISYQQLPADVVLEIVATLVRCAAASKPLRRDILDPAFCRRRLAVRGGFFDPTASSASPTTFRTSAYVVDTPASPSPSRRSRVDPDILVSHVPVATRDGFLVLKCRASDELELRVCDALTGNVTPIPPIPGSYYQYEPALFTDCGGGAGRAFELVLVDWHPNIWTFSSKKGAWGAARAVRLVPRFGLPPRAHTAVVGRTAHWLGGDAVRWGTKRVLALDVDAAAATTVDLPPGYGSMTIDTIINRHVLLAAVGGRLSLLVAEEQAVLIWTLTTSAPSSPAWSRSLVIGMQDIERRAGLRRAVVCPVRFEEVGERSSAVYVGKRGGERIWNVCLHEVDLAPLLRAMKIFSTSERTD >Et_6B_049122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18358691:18363344:-1 gene:Et_6B_049122 transcript:Et_6B_049122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAIGFSKTVVEGLANKVKEAIKEEAEQWQIVERDLVFITGEFEMMQSFLDVADQERVRNKVVKTWVRQVRDLSYDVEDCIEFVIHLDTKRAWWLRLWNKVPSCNCTKEPPERPIDTAVAEIRQLKARVEDVSQRNMRYSLISDSGSKPSTQQHGPAPRYLVNAEVSDMFVEEREAARKNRGLVDLTKLITKDDIDLQVISVWGTGGDLGMTTYLPDMRMGSRIIVSTRQLEIARLCTGGPYQVSELSKFSPDHSVCVFYKEILSPQDPKVSITRHEEFGGMGSDSLREKATRWKEENHLFGRGFEADKLFSLMNRSGPSDKPHVVSVWGVAAVGKSTLVRSVYYSCMVDAKAFHCFGWVDVSHPFNLTTFCRRMLRCMRPESPQAADYIEECHNLLQTHRCLVVIDGLQSSEDWDFIKDNLIRRAFRSCVVIITTDESVATNCAATGNTEAFHIEGLNIDAAIELFNKELSDKKTYAMSWSVMDQVQELFSSKYLSNRPKDKHEHECRLLRASFMHELETNPEFHSLKGLLAWMHSYFQACSRSVKLCILYVSIFPENSFTRRGRLVRRWIAEGYTKGSDINTVVDYVEELFDKLAALSLISQSTKERATRSGCQINGFFREYIISRSMDEKVFFPLEISLLEGESCQTMERVGQHLAVASSWQRDKVVFDGLDFSRLRSLTVYGAWKAFFISSRMRVLRVLDLEDATDVDNKVLEQIGDVLHRLKFLSVRGCNKIDKLPDSFCRLKRLQTLDIRHTRVVTLPQSIMKLPKLQYIRAGTVHSKDEEPSTHSRSILGTFKSRLGLSRTSVGTGVGVEVPGGIGKMKELHTLGVINVSCDDSTMKDIKSLSQLRRLGVFGINKRNIEEFSSAISAHGHLESLSVWGDHMPQGVSSLCNSLEKLSLGMITLLTQLDIEILEGLKRLQTLCLRVEKVEYGVLNFFVRLMGISSNVPFGELKVFKIACESELK >Et_7B_053261.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:17415944:17416292:1 gene:Et_7B_053261 transcript:Et_7B_053261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARFTSPPISIPIPHSVRLYLQLVCCSEKKGQLSMGTPAQILSSVEFHPECVRNTPTASCAKTSSCGHHVVSRARPSTDDMNSDGRTAVSPFTRSGRMFQTKA >Et_7A_052294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6658112:6664580:1 gene:Et_7A_052294 transcript:Et_7A_052294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRGLAPVALEKLLEKLQEVQDRVLHSELRELNIMGADVAGLLNRVAVVVGNTRAVVDIIRAREYQGRGGQRPRGGGVPQPYYGGHRGGGVGRNVPPGPPRTVPELHQAPYVQYQAPVVSPSPSGPGSSSQPAVEVSSGQVQQQFQQLAIRGQTSTSQEVQVAPASSKSVRFPLRPGKGTYGDRCIVKANHFFAELPDKDLHQYDVTITPEVTSRGVNRAVMGELVTLYRQSHLAGRLPAYDGRKSLYTAGPLPFTSGTFEVTLQDEEDSLGGSQGGQRRERVFRVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTARYSPVGRSFYSPNLGRRQQLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPIDDRGTVKTVVQYFLETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILQTVHHNAYYEDPYAQEFGIRIDERLAAVEARVLPPPRLKYHDSGREKDVLPRIGQWNMMNKKMVDGGRVSSWACINFSRNVQDSAARGFCHELAIMCQISGMDFALEPVLPPVTARPEHVERALKARYQDAMNVLRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPQRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLFELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRAVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMASAATGRGPPQGARRTAGNVAVRPLPALKENVKRVMIIIVYDGKLTPVNGA >Et_8A_057392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2638554:2660664:1 gene:Et_8A_057392 transcript:Et_8A_057392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNDSSIPVPPPDIGKHIGALLDSKDGKDVSFVVYGETFQAHRPVLAARSPIFRAELCGSMAEATMSSIILQEITPATFRVMLRFMYTDALPGDGELGHSPTEALQNLLAAADRYALDRLKILCARELWENVSLDTAATNLACAEMYSCPELKNKCLDFFAKEENFRKVVLTDGFVVQQFPNVRDTKLDSTLVEFELDYEHNKHLPIGKALHSDAFSACRHMWRLIWYPGGYELASEGKYISFYLELMSKSSVEATFTGCLAENDQPSSSIVKLTGHNKFSSSAINLGWHQFVSHVDAEAKHVRNGRIRFSFTIMVVDDYIPVSPSDIGEHFGALLDSMDGTDVSFTVDSQTFHAHRAVLAARSPVFKVELLGSMAEASMSSITLHEITPSTFKLMLRFMYTDSFPGDDELGQPQTEELYDLLAAADRYALNRLKLLCAQKLYENMSVDAVEATLACAEKKTTKCVDFIAKEENFRKVVLTDGFMQLEVRDTKLYSTLVEFELDYEHTKHLPIGKALHFDAFLACGHMWRLKWYPGGSQVSSDQVKYVSFYLELMSKSSSVEATFTASLEGNGQPSSCTVKKFTGLCKFCTSSSTLGWMPFVSHDDVEAKHVRNGYIKFSFTIMVTDDSYIPLPHSDIGNHFGALLDGMDGTDVSFTVDGETFHAHRVVLAARSPVFKAELLGSMAEASMSSITLHEITQSTFKLMLRFMYSDSFPGDDQLGQSQTEELQDLLIAADRYALNRLKLLCAQKLYETLSVDTVVATLACAEMHSCSELKKKCIDFIAVEENFRKVVLTDGFMHFALQFPSIVAELRGKSGMLPSSTTLKKKHLKKHSVCPELKTKCIDFVVAEKNFKAAVLTDGFMQLGQKFPSIVAERTSGPETQTTMIDSAAAVLKFKVVYEQTKHLGIGEAVHSDAITAGGHMWRINCFPLGTAPGSLSIFVELMSKSRIVKAAIEVVLMDNGREPSSSAAKCHTGVHLFQMHDDTFGWHLFVRQTDLVRDCIRDGQITFMCCIMVLEDSSIPVPPSDICQNLGTLLDSTEGADVSFTIDSETFHAHRAVLAPRSEVFRAELLGSMAESTMPSITLHDIAPATFKAMLRFMYTDALPGNDELGDSPTMTMFEHLLAAADRYALDRLKLVCAQKLWDCVSVDTVAEALACAEIYNCPELKNKCIDFVVAEKNFKKAVLTDGFMQLGQKFPSIVAEVRKRRGLSEGSKAQTSLVDSAVIEFKVDYEQITTHLCEDVLSDIFSAGGHKWRITCRSFVASSPAKRTLSIIVEHLSKSKCCKAIVEAFLMDKHGNPSVTFGNKSPSRVFDTGHEMVGWFWVVPRIDLAENYKKDGQIMIICSVMVLCDSSIPVPPSDIGKHLGQLLDSTNGTDVTFNIDGEVFHSHRAVLAARSPVFRAELLGSMAEATMPSITLHEIAPATFKAMLRFMYTDSLIGDNELEDTPSEIMHDLLAAADRYSLDRLKLMCAQKLCDCMSVDTVAVTLACAQMYNCPELKNKCMDFCAKDKNFKKAVLTKSFFQLGLQFPSIIDELRERVQSGLDQTTPLHEGEPLHSDIFSAGDHMWRIT >Et_4A_034845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7034343:7039482:-1 gene:Et_4A_034845 transcript:Et_4A_034845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSRDTHSQTRFKWPWRSDSPLAQQLLVDIPPEIELSDYRRLPGSGSESPSGLLHGEGSKEDPIPDLDIFFERLYEYFCAKGLRCIITKWIIEILNVLFMVSCIGFFFLFVDWNALGQLKCGVEALESGKKPCDLMKLIKQNPLVPFTLPKMITVGSMVILTTYGLTNFLKFFVQLKSTLNVRQFYYDSLKVTDLEIQTISWPRIIEKVVLLQKSRKLCVVRDLSEHDIVMRIMRKENYLIGMVNKGILSFPIPSWVPGAGPTVRSNLHGKRNYLILPKALEWTLNWCIFQSMFDSKFCVRKEFLTSPAVLKKRLVFVGITMLVLSPCLVIFPLVYIILRHAEEIYNHPSTASSRRWSNLSRWIFREYNEVDHFFRHRMNNSAVHSLNYLKQFPTPLVSIIAKFVSFVSGGLAGALIIIGFVGESILEGHILGRNLFWYAIVFATIAAVSRKVVADELQVIDPEGTMCLVVQQTHYMPKRWRGKENSELVRREFETLFQYTIIMLLEEMASIFITPYLLIFEVPKRVEDILCFISDFTIYVDGVGEVCSLSSFDFRSHGNRNYGSPFNADKSLRSSQGKMEKSFLSFRTVYPSWEPNADGNQFLSNLQKFKEKQIRLQVAQYQAMDASGFVASSRGQRDDAFHQLRSGIHNHAYGIAPAFYNLSPLGLLDTDQRTHPYILDWYYMCHPPHTDMAEGPQFEEVFPHNVVSTSPPVGGTSENEEVGNWDYELFERDQSYLEASTSSVIRGLHIPVKTHGKEENSNSHWWNPGLPYSSGPQGSFLEPPNQYMMRTRSSHHSGSLSDGSAEELDRSNGRSNSSWRSPQALSNTRYIDDSDIEEGLSLHFADVHQTDEDSRHGTADHQDPSAPGGLHVRIIPRSSDPV >Et_2B_020160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17420814:17424292:-1 gene:Et_2B_020160 transcript:Et_2B_020160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTRRHAASHADENEISLCDPNSEDDRRRRKIGSLRRKAFHALRKKRARRRVDFRFPADIPIEDVRDAEEERAVAAFRDRLAANRLLPDKHDDYHMMLRFLKARKFESEKAMQMWAEMLRWRKEFGADTILEVEFQFNELHDVLRYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITTVERYIKYHVQEFERAFREKFSACTLAAKRHIDSTTTILDVHGVGLKNFSKTARELVQRMQRIDSDYYPETLHQMYVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGSNYQSRLAEVIEPSELPDFLGGSCTCSDSGGCLGSNKGPWNDPVILKDMLSDISNAESESDVDDVGPSVPRKSTDYSVLTPVREEVKVSDSSTFCSSDSKYLEMIPGSPQSAQQLERVPLQLTSQKQFFFLGWLHSLGNISLSLHGVSAGRTLENFFRVLATVLIKIASFFHLFLCRQERILENVHPYAATEQPKPQSIREEDMNVCLQRLEKLESLCNHLMCKPPDMPKEKELVLLQSLERIKSLEVELERTKRELQAAEVKQMELVETVEALKRRSSSVRAC >Et_9B_065388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4578839:4584974:1 gene:Et_9B_065388 transcript:Et_9B_065388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPEASPAPPPTSSIAMLPDPEAAPAPPPLDEPRRSSRMRVKTAAAREAEINREEVSRGEPRKRRRSRAPAKKTRDAVAQAPELDRADREVSRARPRHKRQNRAAKPKVDGSAPAGETIGVADDGDVCAEEPDSEEMAMEEEEEEEEEAAAALEAEEAEGGGDTSAEKVGAKKRVARPRNETERRVDASEDHFVGDPVPDAEARERWPDRYKAKVRHFFTVSGEDEEIKARCHYRAAKVEDVTYELNDDVYVKAGPDEENYIGRITEFFEGVDHRYYFTCQWFFRAADTVISPKLLQVQDHKHDHKRVFLSEERNDNMIECIISKIKIIHVDPNMTTEVKAQLTSDCDLYYDMSYSCAYSTFANLPGDNDGVSSSAASNLSSDDAIDSSKEKLASGFEASPDAHIETISLLDLYSGCGAMSTGLCLGAALSGLNLETRWAVDMNTHACDSLKHNHPRSKVRNEKAENFLALLQEWDALCKKYVVHNSNTLASDASQSLSDDEDESLPEDTYEVEKLLDICYGDPNSNEKVGLWFKVRWKTYDPSYDTWEPIDNLRDCPERIKEFVEEGYRENILPLPGTVDVICGGPPCQGISGFNRFRSSKVPLKDEKNKQMVVFMDIVGYLRPKYVLMENVVDILKFANGFLGRYALSRLVAMNYQARLGMMVAGCYGLPQFRMRAFLWGALPSMVLPKFPLPTHDVVKRGVVPNEFEQCVVAYDETEAPTLRKALFLADAISDLPEVENHQPKDVLEYNGGPKTEFQWFIRLDRKAMKDYSFGGKVVSDKGKLFDHQPLRLNNDDYKRVQEIPFKKGANFRDLKGVRVGANNTVEWDPSVPRVLLSSGKPLVPDYAMTFIKGKSIKPFGRLWWDETVPTVVTRAEPHNQIILHPSQARVLTIRENARLQGFPDYYRLLGPIKQKYMQVGNAVAVPVARALGYSLGMAYMGGLDGDTPLFKLPETFISVDQESLARTSALVSGDDYVELEQVLD >Et_9B_065701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9277392:9281879:1 gene:Et_9B_065701 transcript:Et_9B_065701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAASPAMSAATTTSAFSPTLPCLLQPYSRRTRRASTTTTVVFSTRRFRGINPSSNPNRSRGRADPAPARDPDDGFGALEAELWRLRRRVELRLHRLAADADEAYNDLRSAVRVVGGDRVVLTFRRSSLRFAAGALLGSLAFAVAARVLLGLALRAWWRGWGRGWWGGARGGGAVLRRRDRSLGGKEVVVAVSSPVVAAPSSRVPEPAREVRRRDSHGKVPEWWPEVGMGVIEPGPEMEKWQRLANRLVRAIIDNRITGRDYRYDDALQLRQLCKISGVKVSFDTENGRDSFYRAAANFVLDDCSRAAQDIGAARINGENPRDFLAGLAYNIGLDKFRAATLVCASVAARTRTCFLQCWALEIQGKRPEALDELVKICRIHYIFPPEDNSAEMEMVAAGLKKNLQVAERVHLLSLYRSVCTAGNFKTAAEALGLLYMETAEAFGILNLLTCSIRIAHVLSLTLLTTYCRTELPQELC >Et_4B_038628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3543055:3548538:1 gene:Et_4B_038628 transcript:Et_4B_038628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCRELTGLRRAMVAAARPLPGGRGANELCLAPFHHPVLQQQGRGSRRTPRRGVKVVAAISEDLPRLAMPGKKGGQPEKVLVRAALTVRRKQKEDLKEAVAGHLDALWDMVGGSVKLELISTKIHPRTKKPIQSGQTSIKDWCQKRGTKGEHIVYTAEFTVDSDFGEPGAITVTNRHNREFFLESIVIEGGLPCGPVHFACNSWVQSTKELPTKRVFFSNKPYLPSETPPGLRDLREKELMDLKGDGTGVRKLSDRIYDYATYNDLGNPDRGKEFIRPILGGEKIPYPRRCRTGRPPTDTNMLAESRVEKPHRIYVPRDEAFEELKQGAFSSGRLRAVLHTLIPSLIATISAETHSFQGFHHVDNLYKEGLRLKLGLHEHLFQKIPLVQKIQESSEGMLRYDTPSILSKDKYAWLRDDEFARQTVAGINPVNIERLTIFPPVSKLDPAIYGPPESSITEGHIAGQLNGLTVQQAMDEAKLFILDYHDVYLPFLDRINAIEGRKAYATRTVLFLTQAGTLKPIAIEICLPPSKPGEPQERKVLTPPCDATSNWLWMLAKSHVSSNDAGVHQLVNHWLRTHAIMEPFILAAHRRMSAMHPIFKLLHPHMRYTLEINALARQSLISADGVIESCFTPGPVSGEISAAYYRNHWRFDLEGLPADLIRRHDATQPHGIRLLIEDYPYANDGLLLWSAIRNWVESYVQLYYPDAGTVQSDDELQGWYHETVHVGHGDLRHAPWWPPLSTPEDLAAILTTLIWLASAQHAALNFGQYPLGGYVPNRPPLMRRLLPDPERDAAEYAAFLADPHRFFLNAMPGVLEATKFMAVVDTLSTHSPDEEYLGEGRDEPWTGDAKAVEAHCMFAADVRRAEETIERRNADYSRRNRCGAGVLPYELLAPSSPPGVTCRGVPNSISI >Et_4B_037822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23799611:23801485:1 gene:Et_4B_037822 transcript:Et_4B_037822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLIRPSQVNLTMLRQSSSRNHRSKGLKLKKAVQISLLVMVSVWLLYQVKHSYEKKKAYGEDEVNNLHKDDKSQDEITRLGRKDLPTKMVADSSTLDERTEDDENDEMEQEMKHDENDEDPIDEQDLDKDDDLPEPGEHSSDKDGDDVGVFEDEDRKERSQEDQEKNFHGDNVSSAVSHDPPSSEQEEQSHHAQEKILFVDDASTAVPHDKLETAREEEVRKAREKSFRGDDVSSSVDHDAKVAKPLPEEQLNNMDRIFEGTTNLSNGISFRGPGANGSSATGEQHKASPADASSHQNANIPSVDSQSNAHTTPVNLTSNHNPTNLTLKGEQEVNSTQVLNKQVQLSTDQTSSIELHSPPNGTSALVTGAQKPGSDGDNDINTGSSSTPADKKVEGGGARKEDVDLSTKIMNRAMSEDEVLPE >Et_7A_052606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9747383:9752929:-1 gene:Et_7A_052606 transcript:Et_7A_052606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKRACRSEDAEDEQRTVAGPRPGRRPAGLTTTAAATKIAAVKVPIGVRKRRSSLVSAAAAAAAERFEMDLGSCYLGGNADAVEFCPHRPFHHVLAAATYTLQEQEQDRAGTVSLFSVDAGAEEASQRLRLLKTVETPGVFDMKWSPKLPLLAQADAHGRLTLRRLEQDDGSDTGIVFTDVCVGDISSSMCLYVDWNQSAESLSVGLSDGSLSVVSMREDRLEVSEEWAAHQYEVWTCYFDRARPHLLYSGSDDCCFSCWDLRESPSNIVFRNKKSHSMGVCCIAQNPLEANMLLTGSYDEFLRVWDMRSMAKPVNEKSINLGGGVWRMKYHPSIADVVLAACMHNGFAILKVGAGDPMVMETYGKHESLAYGADWQIRGEAQQNGNSSVVATCSFYDRLLRVWQPESLISSTSSGYKGALSPPLAHRPCSAWKMHGLNIYMALESRSRLRVDRLRIKAELLLKNYSVLCCLKDEGEKKFETRGETRKDLFKDYHLVWVLGEVCLIEDRLRLPVTFPS >Et_4B_036862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12138964:12142820:1 gene:Et_4B_036862 transcript:Et_4B_036862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRSRSRSYSPQRRRYSRSPPRRKHYDDPRDRYRGGGYGRPSAPTGLLVRNISLTARGRDHRDNYPPEDSYSPHPLDKDNRSPSPDGRKPQALPITNGHG >Et_1B_013183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6134261:6137752:1 gene:Et_1B_013183 transcript:Et_1B_013183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSPSSRGDVPVDSAPLLGGGERRSGGALRRSSLRGAARLLRQGGRRAMREPSLLVREAAAEHLEERQADWAYSRPVMALDFLWNIAFVLVSAVVLVLSREESPAMPLRLWIAGYAAQCIVHMVCVAIEYRTRHGQRGGPPMPADEESGTDASSSSSDDYNDEPGFPGRRGDRVSVAKHLESANTIFSFIWWIIGFYWVSAGGEALTRDAPQLYWLCIIFLAFDVFFVVFCVALACIIGVAVCCCLPCIIAILYAVSDQEGASEDDIRQLPKFKFRRVEEPEKQSVDVNGSSGGIMIECGTNQPTEKALSAEDAECCICLSVYNDGAELRELPCGHHFHCACIDKWLHINAICPLCKYNVRKHSSSSGSEEV >Et_3A_025010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26026338:26031844:-1 gene:Et_3A_025010 transcript:Et_3A_025010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLNMVDEAPPQAVCRELWHACAGPVVALPRRGSLVVYLPQGHLAAAGAGAGDVAVDLPPHVVCRVADVELCADAATDEVYARLALVAEGEAFERNLHGGGAEGKDDIEDGDAGRKSGMLHMFCKTLTASDTSTHGGFSVPRRAAEDCFAPLDYNQLRPSQELVAKDLHGAQWKFRHIYRGDDGELRLGVRRAIQLKNEALFKDFSCNSSKRNTLSAVADSVKHRSVFHISYIPRATGSEYIIPYRKFLKSLNHPVCTGTRINFQCENEDVNERRSGMIVGISEVDSMKWPGSKWRSLLVRWEDGTDCNSQGRVSPWDIEIVGGSVSVAHPLPSSSSKRTKLCSQGNLDVPTMWNGCTDSVETGKLPRVLQGQELVGFRTHVSCAPQTAEVAPFQSSNARRFLTNARGCILSSPTSRFAVQNSGFTYQSVGFSESSGFSEVLQGQEISQAVPMFQGMMSEACSVKGRYGLHGFMHTSAAVNGLSAAAQEFSLTLSASPAAQVPSPYPNHVFNQSVVSQRGLASKTDGEGANGSQPNPFDMLWGRTRPLHETPGQVSMEQLETIRASAPEDAARLGAAGGGGREVRKTSCRLFGFSLNDKMLPADDDGVKEGNYETECQNPRMLDLFGYNCSTPGAALPALCAAPLGM >Et_10B_004015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9234203:9234938:-1 gene:Et_10B_004015 transcript:Et_10B_004015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHGLPVTNDTLRQMSRYRGREITQEDRAREAMRLIHAEDKNFSALAHVTDLKSSYGDGVTTLVLVYNATGDVLELVDKQDWLGYVYNQEPPSRFENGQWLAFLHAHPTSQALGCEAARVFRGRNIKGEVRDFLIAWSVPWGTTQNSAYTEVREKDHFPQYWEYIKGSLLERAGKITKDESDQHCASTVSVGGFTTSEFIAVLQHKFSPLPDDN >Et_2B_021495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30043481:30046717:-1 gene:Et_2B_021495 transcript:Et_2B_021495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVSDNTKGLALAVASSAFIGVSFILKKIGLLRAGKCGVRAGGGGHTYLGEPLWWAGMTTMLLGEVANFVAYVFAPAVLVTPLGALSIIVSSVLAHFVLKERLEKLGVLGCVSCIVGSIVVVMHAPEEHMANSVEEIWNLATQPGFLAYAATTLLLVTSLVIFFEPRYGQTNILIYLGICSSMGSLTVVSIKAIGVAIKLTLDGMNQALDTFNLALVSPIYYVMFTTLTIVASGIMFKDWAGQSISSIVAELCGLITILSGTVLLHAAEEGATNSAALLPWPLDKGSISWCISLSGDNLLKNVEEDYFTALQSTPAAV >Et_2A_016932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29672966:29678013:-1 gene:Et_2A_016932 transcript:Et_2A_016932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSVGDIEVDLHTTQCPLTTKNFLKLCKMKYYNGCLFHKVEKDFIAQTGDPTGTGAGGDSVYKFLYGDQARFFDDEIRPELRHSKTGTIAMASAGENCNASQVFGMVAEGFDTLTKINEAYVDNNGRPFKDIRIKHTYVLDDPFDDPPQIAELIPENSPTGKPRDEVAEERLEDSWIPLDETVAPGELEEMIRSKEAHTNAVILEGVGDIPDAEMKPPENVLFVCKLNPVTQDEDLYTIFSRFGTVTSAEIIRDYKTGDSLCYAFIEFETKEACERAFFKMDNCLIDDRRIHVDFSQSVSKLWGQFRQSKKNAKKDGCFKCGAPDHLARDCDQDSDKKNKGPNYVLKDENTQRGGNHRGSYDLVFDEDDADYPDKKDNENGHRKKIQRVDDRRSELPPRHERDSNSHERTHSDYKGSRHGKEDERNRGRRHDDYSSYHRSDDRGSARFDDRDSKHQNRSRNREDDEDYRRRDKSDVSHRKVSPESRHRRDGGHRDRDPHPDDRSRKERRHKD >Et_2A_015850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18393936:18394629:1 gene:Et_2A_015850 transcript:Et_2A_015850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGTEAFPDLGAHCDLPDCNQLDFLPFPCDGCGKTFCTAHRTNLDHGCAKAADHGRTVVICEACGVAIERMPGQDEKAVLDAHARSRTCDPAKKNKPRCPARRCKETLLFSNISQCKACGVKVCLKHRFPADHDCAATARAAAGLAAARMAGQRSCQPPRCAAAEGGGRSRVGSARAHPYLKTIKSCRIASIFKSNEQDGKFAQRVRAN >Et_2B_022720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3605459:3608345:-1 gene:Et_2B_022720 transcript:Et_2B_022720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYVRGTILGYKRSKSNQYENTSLVQIEGVNTKGDVAWYCGKRLAYIYKAKTKSSGTHYRCIWGKVARPHGNSGVVRAKFKSNLPPESMGRKLRLHPPPGWNALSTIACSSCALALIRFPSSPRSSSPTASATPALVMGPSNAVPNPHTCATDRAFIRWSPKKGRHAMGTPLDTPSMTDAHPACVTNAPTDAWRSTATCGAHGTTSPVPDAAARSRKPAGSSGHESSRRDHRNGAPADSSPAASSRSSSGRGDATVPKLTYATARDGWRSSHARQPCGSVVVSEASAGRRKW >Et_3A_025717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32062785:32066410:-1 gene:Et_3A_025717 transcript:Et_3A_025717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPSRSSPKEGSGNGRYARSGSFPQQPPPQYGGGYYGQDSHAGYYGVPPQGGGYATPYAAYQPPAAAPPLQPTGAAKPRLDRRYSRIADDYHSVEQVTDALAQAGLESSNLIVGIDFTKSNEWTGKFSFHGRSLHHISNTPNPYEQAISIIGRTLSKFDEDNMIPCFGFGDASTHDQDVFCFYPDERPCNGFSEALDRYRELVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTASGQLSSQEQRTVDAIFVNFSEIMSKNIQQSRKEATFALSALMEIPQQYKATVELGILGVPLPPPTGSHDAYSSYSSKSFSKPTYPQSSSSSSPYPHYETPHTATPAAPSSTYDNQVCPICLANPKDMAFGCGHQTCCDCGQSLESCPICRTPITTRIKLY >Et_8B_059353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1708951:1710338:-1 gene:Et_8B_059353 transcript:Et_8B_059353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFTPSSNGWDFKCDFEVDYGSEERASIVYKTLSVDKELQPDKVKREMAVSGSKLVVHFEAVEARFLRASFSALVDLLVLVTKLVEEYGETKEGNP >Et_1A_005292.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:38361511:38361582:-1 gene:Et_1A_005292 transcript:Et_1A_005292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALVLTYLIHPLDASSPYKLF >Et_4B_039929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6177524:6179482:1 gene:Et_4B_039929 transcript:Et_4B_039929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFHAPLGESETAVGVGTVGSSEAIMLAGLAFKRRWQNKRRAEGKPFDKPNIVTGANVQVCWEKFARYFEVELREVKLRDGYYVMDPAQAVAMVDENTICVAAILGSTLNGEFEDVKLLNDLLEARNAETGWATPIHVDAASGGFIAPFLYPDLEWDFRLPLVKSINVSGHKYGLVYAGIGWCVWRNKEDLPDELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRHGFEGYRNIMENCHENAMVLKEGLERTGRFRIVSKDHGVPLVAFSLVDRTRHDEFEISDMLRRFGWIVPAYTMPPDAEHVTVLRVVIREEFSRTLAERLVLDIEKVMCQLDALPSKLPPPPLPPVVMTVKKSELETQRSVTEAWKKFVLAKKTNGVC >Et_9B_066064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2234210:2235451:-1 gene:Et_9B_066064 transcript:Et_9B_066064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQQGSQPGQQHAMDPRPESIIESYKSANKLQGKVALVTGGDSGIGRAVCLCFALEGATVAFTFVKGQEDKDAAETLQALRDIKSKTGAKHEPMAIPADLGYEENCRRVVDEVANAYGGRVDILVNNAAEQYERPSITDITEEDLDRVFRTNIFSYFLVTKHAVRHMREGASIINTSSVVAYKGNKTLLDYTSTKGAIVSFTRALALQLAEKGIRVNGVAPGPIWTPLIPASFGKEKVEQFGSEVPMKRAGQPSEVAPSYVFLASEQDSSYISGQFLHVNGGAVVNG >Et_2A_014954.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23205701:23205709:1 gene:Et_2A_014954 transcript:Et_2A_014954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MK >Et_3A_024645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22916014:22922164:-1 gene:Et_3A_024645 transcript:Et_3A_024645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATRSKTAPPRPRPAFFSHPSEEELIGAFLRPRVSGGGNKPPPSSAFIHDADVYSACPDELTNDFAPAVASNGDRAWYFFSPVRTKSREGQRKARTVDNGDGCWHSEAGAKPVVVEHGRRLGHRQSFSFVTKVDGRRVRSGWLMVELGLDDADETVVLCKIYFSPRAHLTAGAASSSSAARKRKAAAAADDDGDKRPAPVRRQRRASPPTDSPAPHADETSGAQEKDDTQQEEASGEDLRVCCGDGGSLIAQIMRDREMFREMGIVDRSDEEIQADNGLTEFVTLLNDMGKRLDEHARQCLPSTYEDRGYPACLSREDLNSAYPLWFERRSRAALLMVRSTSPANNPTCAAFHSQPSDLELVKSYLRPWVSTGLKAGTFIHEADVYAADPADLTREFSPAVAQDGERAWYFLTPLRRKSGRGKRKARVVATGSGWWHNEAKSKPVVDGLGGRREVGYRQSFSFMKKEGGMRTRTGWLMLELRLESEHDDGRGDQDEGSPGGLVLCKVYRSPRQPELSAGEPKAAPAAPAGRKPEADDDDESCAAPPPKGKKAEDDEGSDATAASGRRRKSDGEGSSAATVAAPDRKAGDKIPVTATAEAPGRQGEKAADDEDSAETSAAAPGAKRKAADDESSGAASAAEPERRKRKTDGGSSGAPATELHCPQCGCHLDVDALLAAAKSKSETKSENGIVQVEGDSLVKDHSFHKFI >Et_8A_057924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9421071:9421535:1 gene:Et_8A_057924 transcript:Et_8A_057924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIASLYICWGPGGEIHQELKAWLPRNLSMAVRRLLSGYHVMAFRNNGPCALCAQLPEFLDHLLLGCIYSHEAWVRVLRRAQPATCPQGRGYLRRMVAQEQADGVQGVKTVLRLGGQPSSPEHLEYERNARVFENAHLWDRAGLLV >Et_9B_064020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10095167:10095853:1 gene:Et_9B_064020 transcript:Et_9B_064020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKCGGLAVVRRPLAPRDSNVAVAPSTVVIGKAPKPKPKARAATTTPASPPLPTTEVMPLVTAECGVAAAVGVAEVSLAEELERARERRGRLRVARELTEREMSGRAAALDREAAEWERRAEEQRRLIADLMRLIGMPEVYTPVESLRSREERRKRREAVTLAHSASRVNASPSSCQFPRLDFPDTV >Et_7A_051013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13648960:13655642:1 gene:Et_7A_051013 transcript:Et_7A_051013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKSGGGGGASASSSAAATMERFLKIILSWDYLRLLAESQDYKRAKELKHVKDTYESVAEYLGIFEPLLFEEVKAQIVQGRSSDEEEEVTMDWQKGAVASSAESEGFHKVSVAVMDDFRESLRDNDLLLLSKEKFEEGVTPTAYTFALVEQRGGRETISVRTFVQGEVKNFNVAKPVTSSRLQRIASVFATTQSFLYMLKVCSLSTIMREYTAMHSIASLPFKDLILSAAEKHKDGDDQSRAWNVPEPLMDYLKTNLNDSQLDAINAGLARRSFVLIQGPPGTGKTQTILGLLSAVLHSAPARMQTKGGFDVQKHGPELDMKGKRAHWMKASPWLLGQNPRDLIMPVDGDDGFYPTGNELKPEVVSSNRKYRAHVLVCAPSNSALDEIVLRVLQSGIRDENNNTYNPKIVRIGLKAHHSVKAVWIDHLVNQKRSGVSTLDGGRRGAGEDERMKAAIMDEAAIVFSTLSFSGSSIFSKMSRAFDVVIIDEAAQAVEPATLVPLSHGCRQVFLVGDPVQLPATVVSKTAQNLGYGTSLFKRFQGAGFPVQMLKIQYRMHPEISIFPSKEFYDGALQDGEGLGKKRPWHSYSCFGPFCFFDVDGIESQPSGSGSWVNQDEVEFITLVYHQLALRYPELKSSSQVAVISPYKKQVKLLKDHFRSTFGDQSKEVIDVNTVDGFQGREKEIVIFSCVRCNKEQKIGFVDDFRRMNVAITRARSAVLVVGSAATFKQDKHWNNLVESAKERGRYFKVSKPFTAFFAEDNLKTMKVERLPPPELRDTLEAINEVAVRQELMNVDAAADQAGGDDDDDDAMDADGGGGDD >Et_7A_051758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24237012:24238201:1 gene:Et_7A_051758 transcript:Et_7A_051758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYARQLHFGGLSSAPAGRGGGHRGVFPGRSSSGSAGGVRRQPNLAIAPQRAPTARRSAGRGSGSGQRLPRPRAPRAPSSAGRGHASSSGIPFDNADEKLEDEIEELASSGGPPVSQSNRAHWNDLYNACLLQLCIEQRDAGIYNGLQMTAEGYQAIVDGLFARKGLVYSHQQVKNQIIVLKNTHSFWRYMQAHTGLGRNLDGSVDADSEFWITNTLKKPYLRKLQYGPPANEELLDQLFKGFTLDGSTTFVAGDDYGDNLEEEDEEEYQGTPTSSNSQRIKRNKRASTSTTKSTCTGPIKRSKSPMVKIVKDIATTYKESVAVNTKQQQRVTEKAAFSVKELAFECGVEKTVDSVYAMSKVAN >Et_1B_013799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17072715:17078148:-1 gene:Et_1B_013799 transcript:Et_1B_013799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSTEYVRVVILGELIASNQYPSGKFPKVSVGVTVPRAGSVSRGRDSAPPPAFERNLSQGTDGRSRPPKYDNTSLRVSQEAAKDDGSAKGEPEAGHVKKVSVSQPDDNTNEPTGTFSFGTRREQGSNPDKSETSDFVSSQGKRRLESENKSKPNSEVLRMKLWEILGGTSQNKQAFASPNPDDIESPDQPKSQTAKGPSSGNRQVFTSPVPDTIKTPDPLTHHTVNFAKCKPSSDPIESDSDSPKVVETRPVSRSLGRKRAPATSKQQNRSAKKPLSTLRSAPKQKTVDNVFAFNEKFTPKTVVKHAIGDSGSSRNLRRSNRRAKAEVQKINYSDRVSDKTAHDEREGKLASRNGPSENKGEKASSISSLSRTRKTAESCSRSPKGGRRLNLMAKVAPRKMQFSENLFSKTLDGGLNKLSSPCKKNDSSSSPQLREILNMPENSDRSLHTHGAAENNFNSPPSDAAKPSPEPKMYPWDHAASPEINVKFGEQVQVASPWADRFKDIPDDIASPTLAANVNISPQMCKGINDNLYTPKYSKSADRSRSSSLASDPESQPLDEVDKTNELPGSESPDSSEERENRKQPSLSALSPTEDEGAKSSNPSFAKGYKSHKWLSDMDGPDKSPLEHLGRKANPKEDRTSKRPLSSSRTQKTIFSDKGHEDPENYLTRAFDQLVVVLGRFQTKIKSETSKKSSEILAATGEIIRQHLEGVEVQMQADVDKLVNAGKSKRKRLESSFEEQQEKLRVLHEKFKEDVNKQLQNCSNSLKDFESYHAELKGASDKQKSSHKKLLVNAEKTVGVQLNDAESKIAEVQKRARKRMNGLKYVLKELIAETAD >Et_4B_036936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12800041:12802828:1 gene:Et_4B_036936 transcript:Et_4B_036936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMDLMRRMPPGSAETALNALLSLLPDHSLDLLSQVDLPLQVCMDKESMKEYILCEYNRDADSYRSPWSNKYEPPLEDGTVPSEAMRNLEIEANEVFSVYRDQYYEGGISSVYIWEDEDDGFIACFLIKKDGQGKRGYMQIGSWDAIHVIQVGPEEEGAAHYCLNSTVMLSLTTDNKQSGTFNLSGSIRRQMSMTLAVADGHLVNMGKMIEEMEGKLRNSLDQVYFGKTREMVCTLRPPPEVLNMRLPDS >Et_4B_039512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:249890:254813:1 gene:Et_4B_039512 transcript:Et_4B_039512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEDNAMAELVSTFRSAGALPQAYSPSFHFAVWSDPGSVGAARCDCDVVSGGGAVAMQETVVEEEVLRPLGTEDYVGLTEKEAARRLRLHGPNVVVLSHHARSVAIEATAARDGLLLAVAYGFQRMVLELDNLSLVESLNASTVDRSVVAGLWHEIQELGSDEIANKIIQPIKGQKLTAS >Et_2A_015159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33698299:33698688:-1 gene:Et_2A_015159 transcript:Et_2A_015159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLAALPSHPVVRAAMERSHADAPALAPVVAPGRTRQEFHVAPTAEPSGTGRQGAGRGGGTGAWAFSAMLPRGFVPPSGSSACHNDMPATAADAQFFACGGAGIP >Et_2B_021602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3477756:3483296:-1 gene:Et_2B_021602 transcript:Et_2B_021602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGLRSLLLPLVSLLLLATTAVSAEAADWRAEQERDRVPRVPGQGFNPSFAHYAGYVTVSEERGAALFYWFFEAAQDPGSKPLVLWLNGGPGCSSIAFGLGEEVGPFHVNADGKGVHMNPYSWNKVANILFLDSPVGVGYSYSNTSDDVLRNGDARTAKDSLEFLLKWLERFPQYKGREFYLTGESHYVPQLAQAIKRHHEATGDKSLNLKGYMVGNSLMDDFHDHYGIFQFMWTTGLISDQTYRLLNIFCDFESFVHTSPKCEKVLDIASAEAGNIDSYSIFTPTCHASFAASKNKVMKRLHSVGKMGEQYDPCTEKHSTIYFNLPEVQKALHVSPVVGKSKWETCSEVVNTHWGDCERSVLHIYHELIQYGLRIWVFSGDTDAVIPVTSTRYSVDALKLPTVTPWHAWYDDDGEVGGWSQGYKGLTFVTVRGAGHEVPLHRPKQALTLIKSFLAGSPMPVPSNMHSDM >Et_4A_034979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8458852:8460506:-1 gene:Et_4A_034979 transcript:Et_4A_034979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNCSAPPSLLLPFGDDYDAVKCESSSSQAGAAGLDRMLAAEYDLHHALSFAPSFQTLQAPMLFPVHGSHNYFVSTVSSFLPNCTIDHELGIEESTIYNGEARPAFSHQFGCTQPTTAMHPVKRMAAGETMTGDGSSLRGSKRLKTTMAATAQGPHRGLRCNAKPRNQTVKAPCKRSQKLGDKITALQQLVSPYGKTDTASVLHEAAACIKNLHEQIQDTGEEEEEEEEGVTDLRRRGLCLAPLSPAVVQVVSSGAARGHRDMADTEDCWRQLGTL >Et_3A_024879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24972085:24990501:1 gene:Et_3A_024879 transcript:Et_3A_024879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSRGLQDMASLDGSGADGVYEEEALRWATLERLPSACARSSSGPWRRTPAAGVDKVLEQLRGHSPNTSDRWSHMNASVRIAPGRGMILPFEPLSMSFSEINYYVDMPAEMKSQGITAEKLQLLSGISGAFRPGVLTALMGVSGSGKTTLMDVLSGRKTSGNIEGEIYISGYPKSQATFARISGYCEQNDIHSPQITIRESLLFSAFLRLPKEVSDEQKMSISGVPKIEERCNPATWMLDVSSAASEVRLKIDFAEHYKASTMYQQNKALVKELSKPPPGSTDIYFATQYSQSTFDQFRLCFWKQWLTYWRSPDYNLVRLFFALFTAVLLGAIFWRVGNKMRSSTDLLIIIGSMYAAVLFVGFENCVTVQPVVAVERTAFYRERAAGMYSAIPYAFAQGMASPISPDVSAYFSGVSSRRRSAADAVDDEEALQWAAMERLPSFERLRTGLMRAEEDASRRRFGHEEVDVRAMGLAQRQAFVERVFRVAEEDNARFLKKLRARIDRAGVQIPTVEVRFQHLNVAAECHVGTRALPTLANATRDVFDWLLGLVGINLAERKSLHILKDVSGAIRPSRMTLLLGPPASGKTTLLLALAGKLDPSLEVSGEVTYNGFGLDEFVPQKTAAYISQHDVHDGEMTVKEVLDFSARCQGVGQRYELLQELAKKERQLGIYPDPEVDLFMKATSVQGATLQTDYILRILGLDMCADVLVGNELMRGISGGQKKRLTTGEMLVGPTKVLFMDEISTGLDSSTTFQIVKCIQQIVHMGEATVMVSLLQPAPEVFELFDDILLLSEGQIVYQGPREYVLEFFEKCGFRCPERKGVADFLQEVTSMKDQAQYWIQNEKPYRYVSVPDFVAKFKKFHMGKSLKKQLSVPFNKRKIHKSALVFSEQSVPNMELLKISWSKEWLLMKRNSFVYVFKIVQGILVALVASTVFLRTQLHTRNEADGQLYIGALTFAMIINMFNGFSESALILARLPVLYRHRDFLFYRPWTFTVPNVLLRVPASMIESLIWVLMTYYTIGFAPEASRFFKHLLAVFFIQQMSAGLFRFISGVCRTVVITNTAGSLAVIVMFVLGGFILPKDSISKWWIWGFWCSPLTYAYIALASNEMHSPRWMNQFTSDGKRLGEEILKNSGVFTDKDWYWIAIGALLGFTILFNVLFTISLMYLNPLGKPQTIVPEETDTSLENTQEGKKITNMTQGATALTSEPLSSNSMITLDKVIEQLRGHSPNSSDRSYMNASVRADPGRGMILPFEPLSMSFSEINYYVDMPAEMKSQGVTVDKLQLLSGISGAFRPGVLTALMGVSGSGKTTLMDVLSGRKTGGYIEGEIYISGYPKNQATFARISGYCEQNDIHSPQITVRESLLFSAYLRLPKEVTDEEKKIFVDEVMELVELNGLKDAVVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDSRAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLMKRGGMVIYSGPLGRNSHKVVEYFEEIPGVPKIEDSCNPATWMLDVSSASAEVRMNIDFAEHYKASTMYQRNKALVKELSKPPPGSRDLYFPTQYSQSALDQFKLCLWKQWLTYWRSPDYNLVRMESSKDLLIIIGSMYFAVAFVGFENCITVQPVVAVERTVFYRERAAGMYSAIPYALSQVVMEIPYVFVETVIYTLIVYSMMSFQWTPVKFFWFFYVSFFTFLYFTYYGMMSISISPNVQVASIFTASFYPLFNLFSGFFIPRTKLPKWWVWYYWLCPVTWTVYGLIVSQYGDVEDFIKVPGQPDQQVNLFIKDHFGFDPDFMGVVAAVLAGFTVLFAFTYAYCIRSFNFQQR >Et_2B_022241.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1494000:1494194:-1 gene:Et_2B_022241 transcript:Et_2B_022241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILELGIWVLPFTLLLAPARRMVRLVDELQRIFLAVACRRSPPPTFGEVWSRLDRLDSATVVP >Et_4A_034077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29709110:29711876:-1 gene:Et_4A_034077 transcript:Et_4A_034077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLATAPPPLTLRRWRPLPARPRANSPPALSLQAPASPLRTIPIHCGLCASAAVARTSCATAVSGGVEAEASEAGALDSDVLKRAAVLFALGCCACAAAAVLGCGAARAAAEDSIKASGFGLRVAESLRRLGWPDDAVVFALATLPVIELRGAIPAGYWMRLHPVRLTILSVLGNMVPVPFIILYLKKLAVFLSQRSASATRIMDRLFERARRKAAPVEEFQWLGLMLFVAVPFPGTGAWTGAIIASVLGMPFWSGFSANFVGVVVAGLLVNLLMNLGLKYAIVTGIVLFVISSVMWGVLRSLKKSLNA >Et_4A_032547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11206116:11210191:1 gene:Et_4A_032547 transcript:Et_4A_032547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLPGFWYDSVGKILVSSWNQDWLRHLRAMDLEFGRGLRPPKKDSWKTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDIQHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLERPPDTTDKSRIKVWLEKHRKLRVALLIMVMIGTCMVIGDGVLTPAISVFSAVSGLEFSLSKDHREYAVIPITCVILAFLFALQHYGTHRVGFLFAPIVLAWLFCMSAVGLYNIIHWNPQIYQALNPSYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTCLVYPALILAYMGQAAYLSKHHDFYSSSQVGFYIAVPGLAVITVMLVTTFLTSLVIVLCWHRPPILALAFLLFFGSIEALYFSASLIKFLEGAWLPILLALILMSVMFVWHYTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVPVPHVLPAERYLVGRVGPPGHRSYRCIVRYGYRDVHQDVDSFEAELLESLATFVKLDASFYRCSSGGEQLEVEEMERERRLTVVAASNPLRGRASYDGQDSVRHHSAASTVEMEASSGTKQVRFFIDSEVSSPEAAAESSKLVAEELEALAAAREAGTAFILGHSHVQCKPGSSVLKRLAVDVGYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >Et_9A_061018.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:96530:96862:1 gene:Et_9A_061018 transcript:Et_9A_061018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLLSSRQQYLLTDNTGKQPANTCSIEAVERRTIADKRWIPLDAGKLKITVDGAFNETLGSAGAGVVIWDDHGNPVLLAWRKLFYCKNAEEVEAIALFEGVLCFFCCCS >Et_9B_064931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19892864:19895670:-1 gene:Et_9B_064931 transcript:Et_9B_064931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSDNIAKHWKSNEAEAVETAKEWTRLYASGA >Et_4A_034675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5332038:5335164:1 gene:Et_4A_034675 transcript:Et_4A_034675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIRLLLLALVCLHASCRALAEQLEEATVIVKGSTRIAETDESYVCATIDWWPPEKCNYNQCPWGQSSILNLDLDHPFLAQAIQAFENLRIRLGGTLQDRVVYDVGTDSPCTPFRNISNGLFGFSDGCLGMDRWDELNDLFQKTGAVVTFGLNALYGRYNVRRSIWAGKWNSTNAYDFVKYTISKGYPVDSWEFGNELSGHGIGAKVDAKLYAKDVIELKSMLRQLYKAPLSQPLLLAPGGFFDQQWYSQLLQSSGHGVVSALTHHVYNLGGGNDTHLIRKILDPKYLDRADDTYRDMQLTIERHGTWASAWVSESGGVFNNGGLLVSNTFINSIWYLDQLGMASKYNTKVFCRQTLIGGHYGLLDTETFLPNPDYYSALLWHRLMNNGVLSVDINAPRRLRAYAHCSKQQQGITLLLINLSNTTGYNVTLQNDINVSLDKRSDLKRHSSFASRLKKAVSWLGSKPSSDVKLREEYHLSAKDGDLQSKTMLLNGVPLELGDDGSIPTLSPVLVAVSSPVYLAPTSIAFVVLPKFEAKACS >Et_6B_049239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2122320:2126854:-1 gene:Et_6B_049239 transcript:Et_6B_049239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISLRTMLLHAALSLVIVWSPETSTTAVLVSGAARSTEPGGNETVHGCPTSCGNLTFAYPFGIGPECSRGPDFRLTCDDATQPPKLFLRDNITEVIESLDVADSDDGNYNGPEMIVASIWRAIPMKSGVRVYNLTLEPPGRSFSIDDISLNITGCDLSVYYVDAIASRTKRACSTSCHGEEITEMAARTNCTGVGCCQISGIIGNGNGSYYLSFVHDDQNKSSIGTSSRSNRTSLLWDRITVTSDVVFLTWTIIDPPNCLAASGNQASYACKSENAYCSDSGTDGYLCYCDTGYTGNPYIVDGCINDRGYNPDQTRADCTRQCGNVNVQFPFGLEMGCFAREQFHLLCTNATSAASLELERCQVIELNVNEGLIKYTDPFLLSGDVYPFAQGRNLFITAGYSLFNSMQFVAANLSCVDAQRNISGYACVSTNSRCVEVSSYNTYVGYRCRCSDGFQGNPYIHSGCQDIDECLQQNICNGRLCHNEIGAFYCTECPRKTEYDHAKNQCTRTKQQVLLLDMTYVRISCLYRCYYRTFSGGFSILILSFVAVFLFRRWKRNAQKQLRRNYFCKNQGLLLEQLSSDKANIISLEELEKATNNFDSTRIVGHGGHGMVYKGILSDQRVAIKKSKVINYSEIKQFINEVAILSQINHRNIVKLLGCCLESEVPLLVYDYISSGSLSQVLHAESRDDFSLSWDDYIRIAIETAGALSYLHSAASISIFHRDVKSSNILLDGNYTAKVSDFGASRLVSTDQTHIVTNIQGTFGYLDPEYFQTRQLNEKSDVYSFGVVLLELLFRKKPIFTSRPGLEQNLASYFLHALREKKITDIVDSQVIEEATKEEISGVASLAEMCLRLRGEERPTMKQVEMELQILRKKRVTSCQSGPENEQPRETTLLTRRGKANCQPSATEVGERVQLEHSQRCYSLEEEVMNSASLPR >Et_2A_016765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27943603:27946065:-1 gene:Et_2A_016765 transcript:Et_2A_016765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGDWVYENNGGTCVAIAGADYCVIAADTRLSVGYSILTRDHSKICELADKCVLASSGFQGDIKALHKNLAARELLYQHQHNKRMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERTGYSAQGTGSTLIMPVLDNQLKSPSPLLLPARDAVTPLSESEAVDLVKDVFASATERDIYTGDKLEIVVINKAGTKREYIDLRKD >Et_3B_027548.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:2787473:2787977:1 gene:Et_3B_027548 transcript:Et_3B_027548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLTFSCSRGSSGTGAVPPLADDAVSDDMSSNLTNCRACAAARWA >Et_3A_023232.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:12695090:12695431:1 gene:Et_3A_023232 transcript:Et_3A_023232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKWQRSAAVTPSPARYLLTDSLASYTSSTVLSLARFSSTTVWSRGRLTYGPVMQFRIICHSVGATWWASTSIHWSTSADPLRSPWWRSSGLYLWRTYLAMVRDSGKLWRRS >Et_1B_013546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9399179:9402007:1 gene:Et_1B_013546 transcript:Et_1B_013546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALLLVALAAVLLAVAVVFRHFLPLLRNPGAPKGSFGWPLVGETVGFFRPHASNTTGAFLHDHIARYGSVFTSHLFGAPTVVSCDAELNHLVLQNEERLFQCSYPGPIRGILGDSSLLVVTGDHHRRLRGVALAFVASTGLRPSYLADVDAAARAVVASWRERHGGFAVFPFCDEARKFAFNVIVEQVLGLSPDEPVTGRFLQDYSTFMKGLVSIPLNIPGTPYARAVAARRNISVTLEVIIEDRRKGGSFKKAAFIDVLLLNNELTHDDKVTFVLDSLLAGYETTSVLVSMAVYFLGKSPKYLKQLKAEHESIRSNKGQDEFLTAEDYKNMAYTQRVINETLRCGNVVKFVHRKALKDVKYKDYLIPAGWKVLPIMGAVHLDPALHVNPEQFLPCRWEVCSALPFPWDNDFFSRSWRLMIDPTDDQGANQQTMGKKFSPFGGGLRLCPGSELGKVEVAFFLHHLVLNYRWRLDGEDVPMAYPYIEFKRGLPIEIEPIDDP >Et_3B_031213.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21318189:21319385:1 gene:Et_3B_031213 transcript:Et_3B_031213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAGLPVDILVMRAGSADLTTANFHSFQDGHSRTAYLPEPPIQRRVWIVSAYGWLVTADEECALHLLNPVTGAQAPLPPIGTMGYFELLPKSGTNGNKTRFLFRHRSFLTLHWPDRTVPNVPRSVEMPLDRMTSCFLRKAVPLRDPTSSGEYFVMMIHGPLSKLVFARQRDAKWVALPSSYSFDDVISCKGQVYTITSCGTVLIWEPDGETFKPRVAVPEHSEEDEFVYFRKYLAESLDGDLVLVWREYRSCYGEESDSSSSDDDNGDDNGDLERLAVYKPDPTVRFHVFVLHDDDGQGSKWRELHDLNGAALFIGYNSAVFFSADDIPGLLPDCIYFTDDKLAESWHLKEDPRDMGVFDMKSKLLKPMLSLIYIYLSQEVGLHPFGSHLLYIPNLK >Et_4A_032031.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:12620257:12620430:-1 gene:Et_4A_032031 transcript:Et_4A_032031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKRASIFIRLVSAAGTGFFYVKRKNPRRITEKLEFRKYDPRVNKHVLFTEAKMK >Et_4B_036926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12704775:12709794:1 gene:Et_4B_036926 transcript:Et_4B_036926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLEKPLNPNKLLKEQFVSNLTGSSLAEIAALSIIVPAVVVLRKWSGGDTTRRDSAKKNDDIRPVRKDWVHYFSALVVDYLTVVLPTLLVFTVLAEWAYTCAVSLVILISICFLFKRSQSHLKAEINRLSSLRADVSSYRVSVVLVTCLCILAVDFKIFPRRYAKAETYGSGIMDLGVGSFVVANALVSRQARNITSMSFKAALSSTSPLVLLGFARIVSTSGVDYQVHVGEYGVHWNFFFTLAAISLLTSIVRIHPNHCGFVGLIILAGYQIWLSFGLNDHLISEERNADIISQNKEGIYSILGYWGMFLIGVSLGYYLFVDTSTKGKSRNAQVVKVWVLAASFWILAIILDRYIERVSRRMCNFAYVMLVFGQNFQVLSILTLAGYVSHEKNLVLEEAFNQNMLGSFLLANILTGLVNLSFDTLSASSLIAFIILSAYSFTLCMVAGFAHFHGVRMKFW >Et_1B_012147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29417993:29421140:1 gene:Et_1B_012147 transcript:Et_1B_012147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCGARYGLGLACFAVAVAMAGATQFIVGGSNGWSVPPAGAESLNAWAMKNRFQVGDTLVFVYPSDQDSVLVVDPSDYNSCNTSSYQKKFADGDTTFTLDRSGAFFFISGVDANCRANEKLIVMVLAAGRNGTGGGAPAPSTTTPPPATPTTAPLPSSPSSPPPPPASSSPPPPASPAPKSPTAASPPPPAPAPTTTPTSTPPPASSPPATPASSPPSAPAGAPPSPASPPTPGAHGNGTATNSTSSPPRSAGSNNHRNGAGLTLHRRLRRGLRPMARSFGLGLACFVLLVAAAGATQYKVGGDNGWAVPDASAESFNTWAEKTSFQIGDDLLFVYPKDKDSVLLVEPSDYNACNTSSYDKKFTDGSTSVTLDRSGAYFFISGVEANCRANEKLIVMVAAGGNGSAPAPSQGSPPSTPAPSNGGAAGAQAPPSTPNAPAAKNSTAKGAPSAGGNKNGAGHTVAGVVASLVGCIVYATLAF >Et_5B_044034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19239072:19246635:-1 gene:Et_5B_044034 transcript:Et_5B_044034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATAALGSLLPKLGTLLSDEYKLQKGVRGEIKFLQAEMESMQAVLHMLSNKSAHQISDLDEIWARDLKELTYDIEDSVDTLMVHIDSPVQAKPRSFRRFFNRTMGLLTKAKVRHRVANDIEDIKKRIHEVAERRRRYQLEGVAAGSDTTTVDPRVLATFEQVQKLVGTDVPVKKISNLLTKGKGAHQLRPMVVSIVGVGGLGKTTIASLVYRSLGGQFDCKAFVSISLRPNMQQILSSILRQISKDKCTNAGEKDPQELIMTIRELLEDKRYFIVIDDLWSAEAWKTIEGALIDNHLGSKVIVTTRNVDVANLSSVDGAMYELDPLSDEDSKKEGILSELEEVTKKILKKCGGIPLAVITIASMLASKPNKTKYEWYDVYKSMGSGLEKDNSVGNMRKILHLSYGDLPSYLKPCLLCLSMFPEDSEIRREELIWLWIAEGFVDGKPGSDFYALGERYFNMLVNRSMIQPVDVDISGIARACRAHDMILDLIISLSDQENFVTISAPHLISPIGNIRRLSLQGSDIDSKENIKDEKVIRPATANMSHVRTLIALGDAFQWMPPLSKFSVLRVLVLSYFPNNKNNLNGLGSLHHLRYLELRGAVQSEHLEEIGKLKHLKTLDLWATLIKELPASIVELRQLERLVTYKGAKFPDGIGNLVSLQQLEVLDFTESLSNFAELGNLSGLRILAISRLDEIDESRVKTFIQSLSNLHNMHTSYIQHTGLYSLDCMPDQWSGPAHLYNALMDLIQHSQSCHAGFPPSPNSPA >Et_7B_055245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8645633:8649928:-1 gene:Et_7B_055245 transcript:Et_7B_055245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGERNGGVGKVKAGSRPPWVGLAAAVWVQVAAGSAYVFPLYSHAVKEALGYDQRALTMLGVGNDVGENVGLLPGVLANRIPPWAILLIGSACAFFGFGTLWLAVTKTLVMPYWVLWIALCIGTNSSAWLGTAALVTNMRNFPLSRGTVAGLIKGYVAVSAAVYTETFNGMLGNSPTNLLLLLTLGIPTACVLVMYFVRPCTPSLDEDNTTEHGHFMYTQISSVVLGVYLMVATILGDTLNLSEAVTYLLFGIMILLLLAPLAIPIKMTLYPKQQTKEKPTSLAPSYSTDSFSGPDQENAEPLLGSATTTLLPGTNDSDESTDLELLLAEGEGAVNLKKKRGPRRGDDFTFGEALVKADFWLLFIVYFCGVGTGVTVLNNLAQIGMAAGANDTTILLCLFGFCNFVGRILGGSISEYFVRSRMLPRPFWMMCTQIIMVVTFLLFATGLHSLIYVSTTLLGICYGVQFAVMIPTVSELFGLKDFGLMYNFMLLVNPLGAFFFSALLAGYIYDKEAAKQHPGVLEPSNCFGPDCFRLTFYVCAIVCCCGTLISVLFITRIKPVYQMLYASGSFRHPRSQQQLH >Et_3B_029829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28334487:28339218:1 gene:Et_3B_029829 transcript:Et_3B_029829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPREHGDEAIVADGKEDEVGVMGIDGADEHHGGGGGGFSMKSFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVLLQVFYGFMGSWTAYLISVLYVEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALINGQVEGVAHTGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPAKFKYIYLLATLYVFTLTLPSAAAMYWAFGDELLNHSNAFSLLPKNAWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSIFKRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHILTYRTASARANAAEKPPFFLPSWTGMFLVNLFIVVWVLVVGFGLGGWASMVNFIRQIDTFGLFAKCYQCPKPPVPAAAQSPAPLPHH >Et_10B_003554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3047101:3057572:-1 gene:Et_10B_003554 transcript:Et_10B_003554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLDGVKQLLAALLKCCDVELKQPRGLEDPQVLARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPSASLDEKIDFSFRLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEIVESIIDKTFEEADTKHDGRIDKEEWRNLVLRHPSLLKNMTLQYLKLAINQI >Et_10B_003149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17042079:17050055:-1 gene:Et_10B_003149 transcript:Et_10B_003149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLPQLIIELTMGHRPLHGPTNNKSEMGHDQNNMPIFSPAANAEETQIKVYWHDVVSGPNPTSVRVAEATVTNSSRTYFGMVVVIDNALTDGPGLNSSRLVGRAQGTYIGAGKDTLALMMNMNFVFQGGKYNGSTVAIFGRNEIMSAVREMPIVGGTGVFRLARGYAQAKTQALDLNTGDATTHIKVYWHDVVSGPSPTAIQVAHAAVTNTSKTAFGVVVVIDDPLTEGPDLNSSKPVGRAQGTYISAGKDTLALMMNMNFVFQGGKYNGSSVAIMGRNEVFSAVREMAVVGGTGVFRWARGYAQARTHTFDLKTGDATVEYNLYIKH >Et_5A_042132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6589784:6590152:-1 gene:Et_5A_042132 transcript:Et_5A_042132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLQTLFSPVKKMWIRMHSAQRKKRGIYILYEDVKSCPCEDVQILWSILVESHPPPLRLKH >Et_7B_053492.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:22935055:22935390:1 gene:Et_7B_053492 transcript:Et_7B_053492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATAERGREAKWWSWVRRTAFAARGDDTTSVGTAPRRRSNTSGPCFLARSQRERWGSEPIRWRCPMTGSLNGGEGSLLSSFSALDLGVAKCTAMRKRTRMRARDSGDACS >Et_7A_052245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:638972:642842:-1 gene:Et_7A_052245 transcript:Et_7A_052245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRNAGVLALFDVDGTLTAPRKGVTPEMLEFMKQLREHVTVGVVGGSDLVKITEQLGNSVLIDYDYVFSENGLVAHKDGKLIGTQSLKTFLGEDKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMINVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDHEIFESDRTIGHTAWGSKPGGPAQPSNREGSEASGFDAQEQSLSATEPTGFDPSRHSC >Et_2A_017161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31580884:31583005:1 gene:Et_2A_017161 transcript:Et_2A_017161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGRKRAAPEGTNGAGGAKRPRGAAGQGSCSSASLDADLINIGHILDYYSLITAGCPFGASCHFLHNFPGGYQAVAKMTNLGGPPVPSPMGRMPMGPGHNGHFMPPPMPIPDMVPPSTFGASAAAKISVDASLAGGIIGKGGTNTKHISRVTGAKLAIRDNEADPNLKNIELEGTFDQIKHASAMVTELIVRIGGKVPQQAKNPGRGSHAGGPGSNFKTKLCDNFNKGSCTFGERCHFAHGEGELRKPAAV >Et_5A_041666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25713774:25715190:1 gene:Et_5A_041666 transcript:Et_5A_041666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIPTHPFHLHYKIAAQPRERRLHRAENPRRQLELFAAAAAPPRALFPPGSRMKFVAAYLLAVLAGNPNPSAEDLTGILESVGCEIDNEKMELLLSQLSGKDITELIAAGREKFASVPCGGGSVAVAAAAPAAGGGAPAAEAKKEEKVEEKEESDD >Et_1B_011795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26026080:26027659:-1 gene:Et_1B_011795 transcript:Et_1B_011795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFSVPAMYVAIQAVLSLYASGRTTGIVMDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSIEKSYELPDGQVITIGAERFRCPEVMFQPSFIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISRAEYEESGPAIVHRKCF >Et_7B_053240.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:13896966:13898318:1 gene:Et_7B_053240 transcript:Et_7B_053240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMIDEGHRSGYHHMHRRSSTLLRGVASQPMFPPLPSLRRRLIATVPKFIAPPYLRSVFTTTAPAARKPTTAVAVLWDLAASRPPSTLPLYDAAVRLHLAATSFGRLHLSAAFLHPSHRLPAPAAATTHLCRFRERDALLRHFESIHTREHAKRLARVDSARGGRRVRLAAALSLKLSKYEKVARELAAAAAGPASPADELRRAGVRAELTRTPAACLRERARQVLDEGSAGCLVLVSGREELASLLPVAKERGVRTVVVGGESGLARLADVGFSWANVIAGKARNAAPAVSEKWRDRDVLKRLEWRYEEDDNEEVVVFDDSDGDGVDELAGRSKGRPWWKLDSDGEDSGVGG >Et_5B_045741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8589259:8591861:-1 gene:Et_5B_045741 transcript:Et_5B_045741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLERSRRGRGGEHYAKKRAVWAEEKVAVEKAEEKVDMEKAKKEETPAPAPATDQVKIRITRKQLEELLRRVDDGKQEGGGGVPAREVISELLCEASSSNFRHRGAQGQWRPSLQSIPDCHDHWIDPAMKRQASYEFGFRKWINECW >Et_1A_006924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29046923:29050743:1 gene:Et_1A_006924 transcript:Et_1A_006924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSSRPPSSRLESRNFHNGAGAARRGEEATEGELAPSKERVESARTEQSPHRASAMERDVVISEHAAASASPASSSSSFAETRVICRVCQKQFAQYTCPRCNSRYCSLPCYKGHSAQCTESFMRENVMDELKQMQPEDESKKKMLDILKRLHMEEEMESDGEDESILSDELIQKVMSGEEIKLEDLSDDEIKRFRQALASGELSKMIEPWTPWWTKPASRSISLSPDGSQLIREVTTEDTAIPDPMTGEEPSINEIPEGPESPLPSLKQLTKAEPSPLLAVHLVDILYSYCFALRLYNGDWRSDPLGASTDAMSMSKVMGEDAKPETVPEALSACIEETCSPAYRHTGGFRFAIGLVDDIITLLSLGHNALLCALCDFRRLIQAGESMLKAAKVGKTEKAQSSLKLHGATRKLYFMACWVHEQPNETWPSLARIVEVHKASLEELDSGSRKSSRKSNPQSKVIIEEL >Et_5B_044337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22871695:22874923:-1 gene:Et_5B_044337 transcript:Et_5B_044337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALKAAASFSASPAAGSASSGSFSALAPRRRAAAMAGRVSFRGAAGPVVAVRAAVAPAAAAVAEDKRRISGTFAELREQGKVALVPFITAGDPDLATTAKAMKILDACGSDVIELGVPYSDPLADGPVIQASATRALAKGTTFEDVISMVKEVVPELSCPVALFTYYNPILKRGIPKFMSIVKEAGVHGLVVPDVPLEETDILRSEAAKNNLELVLLTTPTTPTERMQKIAKASEGFIYLVSTVGVTGTRTNVSTKVQSLLQDIKQVTEKPVAVGFGVSTPEHVKQIAGWGADGVIVGSAMVRLLGEASSPEEGLKKLEELAKNLKSALP >Et_2B_021652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3989881:3991410:1 gene:Et_2B_021652 transcript:Et_2B_021652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAIRANWNFVQEKGFLDILNELKVEDRFTTQNGWTAEGWNSIHRKFNQMFPFARYTKAHLQEKNKDLKSTYKAIRDARKDSGAGLDPASGMVTGGPNVWDKIEKYHKKVVKFRKKGFLHYNSCESLYEGSIATGDLSFTSTDPVHQSFENFKEGHLEAARVGQEASLGAVAARMSSDAARVGQEASVGVVPVSSTSVGVVAANYASVGAVAASSVGVGALAASSTGVPGAQEAGESSSTGVGAQEVSEDSGKKRKPGRVAAVLDDYLEHKKAQSGKTVEALMEKKMREEEYSIEKCLDTTDGMEELTDEDKAIASEVFEDDKNREMFMKHKNHNVRLIWLRRKIRRLAEP >Et_7B_055442.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:10785911:10786312:-1 gene:Et_7B_055442 transcript:Et_7B_055442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKVALFLGALVAVLSLAAAQTPPPAAGNGSDCDPLKLAVCANVVDGLINVTARLGALVPGAGGGDDGQCCAVIRGLVDLNVAACLCTAVRVRANVLRLVGLRIDVAPLVVRLLLTRCGQTVPANFTCPPA >Et_4B_038170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26890879:26896278:-1 gene:Et_4B_038170 transcript:Et_4B_038170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSSAPLVHLTASRLRLPRPRAHAPALGCSKLAGWRPTVGWRTARRCDRLRCLSNDGGGGEDGEKRGEEEAKATAAPAEAQNPGVSSEPPLLNFSVDNIDTVKLLELLGPEKVDPVDVKTIKENLFGYTTFWLTREEPFGDLGEGVLFIGNLRGKREEIFAKLQQQLRELTGDKYNLFMVEEPNSEGEDPRGGPRVSFGLLRKEVSEPGPTTLWQYVISLLLFVLTMFSCVELGIASKISSLPPDIVSYFTDPNATGPPPDMQLLLPFVESALPVAYGVLAIQVFHEVGHFLAAFPKNVKLSIPFFIPNFTLGTFGAITQFKSILPDRKTMFDISIAGPVAGAALSFSMFFVGLLLSSNPVGASDLVEVPSQLFQGSLLLGLISRAALGYRCGLTTTAFNMLPVGCLDGGRAIQGAFGKDALFGFGLTTYSLLGLGVLGGPLSLPWGLYVLICQRTPEKPCLNDVSDVGTWRRTALIVSVFLVVLTLIPLWDELAEELGVGLVTTF >Et_1B_012003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2854513:2856103:1 gene:Et_1B_012003 transcript:Et_1B_012003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKQILRAGTGPKPVKGQKVTVHCTGFGKDRDLSKKFWSTKDPGQQPFSFKIGLGSVIKGWDEGVMTMQVGEVARIQCSPDYAYGASGFPAWGIQPNSVLVFEIEVLSAQ >Et_5A_040630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10659630:10665770:1 gene:Et_5A_040630 transcript:Et_5A_040630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFCLYVQLIPGQKLGVQRRYLHHTEELLDAHPEFLDHDSPSLDARLDIVKTAVPELAASAARKAIAEWGRPASDITHLVVTTNSGAHIPGVDLRLVPLLGLRPTVRRTMLYLNGCFAGAAALRLAKDLAENTRGARVLVVCAELTVLMFGAPEEGCFQTLVNQGLFGDGAGAVIVGADPLTSTTTTTGERALFEIAAATQAIVPDSEDAITMHLTRGGYGGNIATRQVPVLVGDNVERCLADALGPLGLIGSSETEWNDMFWAVHPGSSAILDKVDAVLQLKPEKLAASRRILSEYGNMFGVTVIFILDELRRRMDKGEEDLEWGVMMAFGPGLTVETMVAPANVHDIRRAQRADGPAAILAIGTANPPTCMSQDEYPDYYFRVTNSEHLTELKDKLTRICKKSGIKKRYMHLDEELLAAHPDFNDRALPSLDARVDLASAAVPELAARAASKAIAEWGRPAADITHLVFSTYSGFRAPSADLRLATLLGLRPTVNRTILSLNGCSGGGRALQLAKELAENNRGARVLVACSELTLVAFYGPEEGCSLDTILGQGIFGDGAGAVVVGADPVVLVERPLFEMAFASQTTVPGTEDAISMRITSGGLDFRISSQVPRLLGGSIERCLADAFASLGVVGDAEWKWNDLFYAIHPGGRAILDHMEGLLRLDAGKLAASRHVLSEFSNMSGATVIFVLDELQRRRQAKEEEAPEWGVMMAFGPGITIETIVLHAASSLEEND >Et_1A_004998.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21945790:21945828:-1 gene:Et_1A_004998 transcript:Et_1A_004998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRYHKGPISQ >Et_5A_040414.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20426175:20426459:1 gene:Et_5A_040414 transcript:Et_5A_040414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISSVALWWPRCFWWKRTSTKPTNQRTPAPRSSSTLVTRASFLTTQASSISLALKVNCVRHKGDVCQGDRQAGRRRHAPSGLERWILQAPRFS >Et_2A_018693.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5224751:5225980:1 gene:Et_2A_018693 transcript:Et_2A_018693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRMAPALPAPKDFRLHSAATSPSATAPSSPHARRGTSTGAPFLTAPPSPNPFDLLPPSTPRLTGAGANPFDRFQHFTSAPASPRRAAAIYAHFAEVGSDAGGGGRDGDEEDDGDGDEEFQPRGSYATSVPFEWEERPGTPKARYGGSVGDANEAAWDTDFEFGTVADKAAPAQELTAADELFEKGRIRPLKSLQRTADEPGKIRPLKPPPGLLDGGSVASSPRSPLARGGGGGGMWSPRRRSRVGSGVDFDPFTAALLEATKAPSPLGGGAASGSPPKKPTPRPASRSAGWRRWRLSDLLLFRSSSDAGRVNKDPIFKCSPAQQPDVPPVKTAIAAQAPTTTAKKASVNGYDMSNKAKKQQSNRSAAAAADSVAGCARLSPLQRLAKGLGAYSWHHGRDMAAPGTKG >Et_9A_062690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3161435:3169414:-1 gene:Et_9A_062690 transcript:Et_9A_062690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGAYGSDVSADGLIAELLDMGFEFDDLAAAIAAVGPRRAEVLELVLGGSGAARERQGEGSAGASRPSRARPLPAGKGGRVSNPRGRFRQSSITDILASGAGTRKDSGREESASLPSSVASVDSMVPVGFDACSKPRSELQSLVQNLTGDWSHRDKISAVLQKHFGFSCLKNFQMEALDAWFAHRDCLVLAATGSGKSLCFQIPALLTTKIVVVISPLISLMHDQCLKLAKHGISACFLGSGQPDSRVEGKAMAGIYKIIYVCPETVLRLMDPLKKLAEKPGIALFAIDEVHCVSKWGHDFRPDYRKLSVLRDNFSSSKLKFLTHNIPLMALTATATVPVREDIVKSLKMSDDTVVVLTSFFRPNLRFTVKYSKTSASSYRKDFQQLIETYNASSNNKGKGQKVLHKFDPDSESSSDESVSGSGSDDEDENVDSVSYGDKNVGKTRTSMDLVKENTESELDMYPGVDDFDVSCGEFLECPHPENSTLPKESSEPSSSECLDKGPTIIYVPTRKETVELSSYLCNSGLRAAAYNAKMPKSHLRQVHQQFHCNELQVVVATIAFGMGIDKSNVRRIIHYGFPQSLEAYYQEAGRAGRDGKLSDCVLYCNFLRAPTLLPNKRSEEQTKVAYRMLRDCFHYALNTSTCRAKILVKYFGEDFGPDGCHVCDICIDGPPQMHDFKEQAVVFMNTLHGQVGHETENMYHNNTPSYRSARRRFGEAPNFRMVVSHIREKFPKFAATDKIWWQGLAHILESMGYIREAAETPRVSIQYPELTEDGLKILSSQSEESLYAYPDAAMLLAVNDPRPFSTFSEWGKGWADPEIRRQRLAGRKTGRRKRKRRSRQQPTGFTTARERLNTILSKRRR >Et_1B_010929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15576407:15588133:1 gene:Et_1B_010929 transcript:Et_1B_010929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKPANRPSMATVAREIEFGVVQGDTAGTALESGSAATDGPRGRSGRGGSPRCTGLRCKNGRIAPAKRLDLPRTSGRWDAVTVLRRQVNVMSNLSHPNVVRLLGCTSTASGDLGVVLYEFAPLGTLHDALHGPREASRRGSGVVPQAAACLSWAQRVRIALDAASGLKYMHEEARRTSTTHGDVRSTNVLLFDGFRAKVGDNNLFRDAEAGNNLMRVGVLPAVYTAPEIIETGRRASPKTDTYSFGVVLLELLTGRLAWPVWDGNDSEPCGVGKLTASPFLTEGRIQECIDPKLGDQYSPAAALKVRVALSCLVSHLKTCRCFLPLGRIAARCVQSRATDRPSMGTNNTHAAADDDAVVTADDVPEMTLRELHAATRSFSDAMRIGEGCYVASLPEGVGHRPASRLRHDNLVRLLGYHVADDLRVLLYEFAVGGTLHDVLHRPRGEPAGTTSHGSPVPALSWTQRVRIALDAARGLAYLHGASVAHGDVRSTNVLLFDGFTAKIADYDLFKQLPASDVILVR >Et_3B_031158.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:19175032:19176144:1 gene:Et_3B_031158 transcript:Et_3B_031158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFFSAGRRLLSTAAAAAGEVKTENPISIAHLRRLSRAGRHDEIDAVLAPVFSSHPVAALSALSSVGLPDRASALLATVQSPTAAHLNAVLGPLLRRRKLAERVPSILAAHPSAPRDDVTDSILAKSLCITSGADAALHLIREPSSGAGPSVQLFTSIIDSFYKQRLPHRAEEMWRSMVDDHGIVPDVAAYNARITYKSLNGTVEEVQELIRAMREEAGLRPDVVTYNALMRAMARHGRVDDALEVYGRLEKGEEAGVVPDCATYTCVVSALCGVGRWSEAEDVFYEGVKRRKVSDLGTVRQLVRGLKDAGRGRAARRVVVGLRKKFPDQFDGPWKELEEMIGQTSVKEDGDDGDGGDEETASTTAAA >Et_10A_001780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8112002:8113548:1 gene:Et_10A_001780 transcript:Et_10A_001780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGANQTVKSAGQRGERERAGWGGAGEREGWGGEAQREEDGGAGPAAHQSHRRRDRKMTRRALLPARMRPYSLTTSHAFEVIKGRQYANLCMRNLSYERCRHHDLISLYQAITIAGAFYLYAAMAFVCIYTCPPETRGRSLDDM >Et_4A_035123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9760818:9762905:-1 gene:Et_4A_035123 transcript:Et_4A_035123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQACTEKKYGGIAPKKPLISKDHERAYFDSADWVLGKQAANSSTRVAVESLKPKLKNASSPASPAQADLRIQLRRTKHCIDAADVLGGKELGPEWCSLRPLRNAFRETVKSAGCSQLLQDFDQIQIE >Et_4B_036134.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26825581:26825832:1 gene:Et_4B_036134 transcript:Et_4B_036134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYESQCGINMLSSRVFESHGGCSKNSSKLRHCLVEDTWMVTVEPAASNTVAISDSNGSLCAITMFPPMVAVFRTNGEATLSR >Et_8B_060663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4209245:4210437:-1 gene:Et_8B_060663 transcript:Et_8B_060663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVDATVFQKNNHMGVGIVIRDQSQGVDKITNREVAEEIAFRPAVLLASELQYNRTIVALDCLPSIKEKNSSQRQLIALKLGSSLKTSRWRPESLMRVKDCLESASPRYICAPVQMEPCCGRKGPLGSVAPAPLRSLLD >Et_8A_057593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4853859:4855405:-1 gene:Et_8A_057593 transcript:Et_8A_057593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVSSEGLFGCWSMAASGGAGGWSWVHAHGGATEMEELGSAAAAAVSTWDAGGAISSSSVLMQGPVEEPAVSSAAPPPPHGGNAAAAGEEFAQEGSAAAAPAARRKRSRARIVKNREEVESQRMTHIAVERNRRKQMNEYLAALRSLMPPSYVQRGDQASIIGGAINYVKEMEQLVQSLEARKHIIARNTPSPGAAAADADAKAMPPCFAGFFTFPQYSMSAAGGGDSPPATTTATADAGNDNADGDSDAAAGSRPSSVADIEVTIVESHANLRLLSRRRPPQLLRLVAGLQGHRLTVLHLNVTSAGAMALYSLSLKVEEDCRLASVNDIAAAVHRIVEAIEREEKEQAAGELGSSETERGSSRM >Et_1B_013615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:38373:39841:-1 gene:Et_1B_013615 transcript:Et_1B_013615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLAGHPSVVTIKGAYEDPLYVHIVMELCEGGELFDRIVDRGYFSERKAAEIARVIVGVVEACHSLGVMHRDLKPENFLLLHKEGDDQEDDQESRPPLRMKAIDFGLSVFFKPGQTFGDVVGSPYYVAPEVLCKHYGPEADVWTAGVIIYILLSGVPPFWAETQQGIFDAVLKGAIDFDSDPWPSISASAKDLIRRMLRSPPAERLTAHQVLCHPWICENGVAPDRPLDPAVLSRLKQFSAMNKLKKMALRVIAQNLSEEELAGLKEMFKAMDADGSGAITFDELKEGLRRYGSNLKESEIRDLMDAADVDRSGTIDYDEFIAATVHMSKLEREEHLLAAFAYFDKDASGYITVDELEQACREHNMADVGIDEIIREVDQDNDGRIDYGEFVAMMKKGIIGNGKLTMRHASDGSVLHGAGDLC >Et_2A_017579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3784038:3788214:-1 gene:Et_2A_017579 transcript:Et_2A_017579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGAPQPKSESCSDMPIQLMARRCALEMPLLGRFMASTPSSPPATARSRRPGSSPPAPAARRSPQGWPPLLQPWPAPTCGSPRFRTRRERWRQARAHVDHGVETRLGVRRKRPRAAGALPHQRNHGAERGRAGAPGLERGHLRLGQRDDGARVVGGRDRVHGLRLRLVLRVVVKHRAGRPRGEQPVVEARRQRRVPGGAARDARPRLREVRRAPGVHDRVVQRQPEHDAAALEVGDLHEQQLARRVRRRRRGHEQVPHLVARHELVQEVVEHVVGPSGHEDRALGGAVDLDAARVVEPEPSGERVKRHKRPGEAVLDGLGLEEVRLAVGPVEVDRGRVTPARCQVEVGQPDGARRRLLGRNDQLRRQPLHRGHLHVAGRLLSSVRFCTVRLCFTASIRIAGGTSAGTEECHLMAHESPLPPSRSGNKQAREKIVTHRGSAFSKEEDSVLCSAFLNVSKDPITGVNQKQDGYYKRIYDYFCDHKPEGSVRSQISL >Et_1B_012633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33979019:33983998:-1 gene:Et_1B_012633 transcript:Et_1B_012633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPALLTGERLVVFLFAARVALAAPVYLAAPLMVLAVAALAIELAVDGSAPAFSPLRRFKTRPGASSGILLGATTLPSVMLSRLIQLSRILSDPSRREELAYLEMQYWVASISCLSVLAFFIWHLRRSTSNGISKYLKYGSWLVVLYLMTSCLSFVLKTDEGLMGMTNMLYLLCHGVAAVILIKHTLETFPSCSSFGEALLVSSGLVLYFGDMLAHTLSKMEFSMSSESLTHTPGTRSDMATIIQGILLGLFLLPLMYRSSLQVWEYCRKHWNQRAQAVEEHKRNTIGSAVFYSLLLVVLLFLVPSWTRLVQGLEVHPFVWIVNYMFTDSHERLALCAYWICVIYASVRRFYSISKRSKTERILLRKYYHLVAVLIFTPAVIFQPAFLDLAFGAAFSVFLILEMIRIWEIYPLGRVVHHFMNAFTDHRDSEILIVSHFSLLLGCALPKWMSSGLNDRPLAPFAGILSLGIGDTMASMIGYKYGVLRWSKTGKKTVEGTAAGITSVLAACSILVSLLASSGYILSQNWLSLLIAVTLSGLLEAYTAQLDNAFIPLVFYSLLCL >Et_9A_062204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20677973:20691489:1 gene:Et_9A_062204 transcript:Et_9A_062204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNSSRLHRLLTLLDTGSTQATRFAAARQIGEIAKSHPHELNALLKKVSQYIRSKNWDTRVAAAHAIGAIAENVKHTSLKDLFASVEAEKHASGLSYGTDDATSALPRTDATATSDLAFGSFDINRVLEFGSPLLSSGGQEYDIAHDNGKNPAERLARQKKNLRRRLGLDVCEQFMDVNDVIKDEDLLAQKNYWGSNVQNNGFHLFNAGHNIQQLVATMVPGYPRQSNSRSRRLSARELNMLKRKAKSNAKDHTKAVSEDDEVAQKTSALSNGASSDQVGAHNNSFDATVDEDNLDYSENGRWPFQQFVDQLIHDMFDPIWEVRHGSIMALREILTHQGDCAGVYFPDLSLSSSDLDDKTNFHSLKKPHNIDLNEGVDVEHLEPVLKRQKKEPNPSETTFMEYDKDLVNGDCSKTEAGLSIVSTVSSGELNSAHVKVEQESHVDSPYPGKGDTTYAPLQEKLNFISNLSSLPLESSKFSKLMKLAKYSYMKNWEFLQDCAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVMKYMHPSLVCHTLNILLQMQRRQEWEVRHGSLLGIKYLVAVRQEMLKDLLDYILRACKSGLEDADDDVRAVAAEALIPAAASLVRLNDEMLNSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQPEMVPKMLGTTALGEMGEFDLNTGTEEDRLTSCENPYGLATLTPRLWPFMRHSITSVRRSAIRTLERLLEVGNTRSSAGTTPFWSTSMLGDALQVVFQNILLESNDEILQSSERVWKLLLQCPEKDIESAARSYFCNWVQLATTPYGSTLDSTKMFLPVALPRGSRSRAAAKIRSARLEHENTRIISFGSTGESTSHEKLFDAHSSVSKITVGADSDKSVTHTRVLTSMALGLLASKLPVSSWQIVLSPLANDLMSLSGVHRQVASMVIVSWFKDLRSRDPVLVEALLAFLSSVKEWLLDLLTCTDPAFPTKDSMLPYTELARTYAKMRNEVNNLLQSIDSCAALKDYIKSSKLDVDVLTVDNAIDFASKLLLPSESDLPSESDKIVLNSIESAKQGLLSTSGYLKCVQNNLHVTVSSLVASAVVWMSGLPSKLNPVILPLMAAIKREQEEVLQDRAADALSELIFSCVGRKPGPNDKLTKNLCTLACTDANETPQATIINSMQVIEDQNLLSIGKRFNSHKSRGHMTSGSEERLKLEGFISRRGSELAFKHLCEKFGSSLFEKLPKLWDCLTEFLKPVKTEDDLNVAHLGRSCEDKDPQSLINNIQVVRSVTPYLAEPLRPQLLSLLPCILGCLRHPHVAVRLAAARCITSVAKSLTDDVMIVVIENVIPMLSDLSSDSARQGAGMLLSLLVQGLAMELVPYAPFLVVPLLRCMSDPDGSVRKTVTHSFAALVPLLPLSKGVPLPGGLSERLSSSTEDVQFLEQLLDNSRIDDFKLNINLSVELRRYQQEGINWLAFLRRFKLHGILCDDMGLGKTLQASAIVASDIAESRVHNNGKDPASLIICPSTLVAHWEYEIEKYIDSSIMKPLQYIGSSQDRVMLRSQFDMFNVVITSYDTIRKDIDFLGTISWNYCVLDEGHIIKNSRSKITSAVKQLKAQHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKQFQATYGKPLLAAKDSKCSAKDAEAGILAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCNLSSLQLKLYDKFSSSSAKEEISSIVKENESEESASQPKATRHVFQALQYLLKLCSHPLLVTGENPPDHLVDVLKEIGVGTGGELHELHHSPKLVALQEILQECGIGSEISSPDASASIGQHRVLIFAQHKAFLDIIEKDLFQSHMRSVTYLRLDGSVQPEKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMKDLQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINAENSSLKTMNTDQLLDLFTSTPASRKVSVLPSSSSDDANKDSKRKSRGKGLKSILNGLDELWDQSQYADEYDLNQFLAKLNG >Et_1A_004562.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:18031423:18033289:-1 gene:Et_1A_004562 transcript:Et_1A_004562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRAKKPGGGVAEQSKIAFDRVGRTGTRTMPSHHLFAPPKLFLATSAAAAAAAAEEVHASEAVMVSPASVLQGGSGGGAVNPFSPGGSSGGDRRSQCQRRRPWEARPVGLGLASALHGEATGGAATTTVMTGQRLRPAHATSAPCSPSPAAAEFGIESRRNPAFADRRMSPREMEESEEYYTRVIARGPNARTTTHIFDDRVVVDGCGMSAAGSGEDEFLRWCHGCSKELGLGKDIFMYRSVRFSLRHSFMLPVKFSGFDVGLGQND >Et_1B_011634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24442501:24446578:1 gene:Et_1B_011634 transcript:Et_1B_011634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGDREWENPFSGTRGGGATGEIVEVHQTAADGEPEPEKVYVAVPEQHKNGRSVLAWALRHAAAVHGAVVVVAHVHAPSQMIPMSTCILSLSPRSRASCTPLAVRSVSCGSDREAPLGSKFHVSKLRPEHINAYRKYEKEKIEKHLDECINQCSKVKVKCEKLVIENADVARGITELVSTHGITKLVMGAAADKHYSRKMKTPKSKTALTVLKRADTSCKIWFVCKEHLIFTRDVGDLICLDVPTPTASRSSMSPLSEWGVPPNQCASNAVDGRIQRSMSAKVVPKSVRTTFRLPSRSNVRTTLSRLSLEDNSAHSWDSIPRGSFPSSHQTSSTVTDEVHSDSSSFSTPRDDASQILRAVCAGHDLLNPQSYHEQGALDPNVDIFDKLDETFIEDEKYQKKAFDESLRIQKGEEELILFQKVKSFEDISLNESKQREEVEAELAKANEDIELMKQEMDALKQDRDYIINKLIKVSEQKATLEQRVNEYGGIVKDLEDVLAAKRSFIDSQQLEYEQTKRERDNALRDADELRKEKEKAVSCSDLTCITEFSFSELKQATENFSDTKKVGEGGFGRVYKGLLRNTRVAIKMLRSQNLQGQSQFQQEIVVLSRVRHPNLVTLIGSCSEASGLVYEFLPNGSLEDRLACENNSPPLTWQVRTRIISEICSALVFLHSNKPHPVIHGDLKPANILLDANLVSKLCDFGISCLLNKSSTMSTSLYQTTNPRGTFAYMDPEFLTTGELTARSDIYSFGIVILQLVTGKPALGIGRTVEDALEKDELEVLVDQSAGEWPFDQAKKLMLLGLHCVELSRRRRPDRMTDVWCVVEPLVKSALSAAPPSFGRRFVEGQPPSYFLCPISQEVMRNPHIAADGFTYEAEVIKGWLDSGRITSPMTKSPLAHYHLTPNHALRSAIQEYFQQRQQQ >Et_8B_060252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:797514:798049:-1 gene:Et_8B_060252 transcript:Et_8B_060252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQQRGGEHHLVSANKKRKSHGADAAATACLCPAAALTPPASSSAHHALELEVLSRADLLQLPGAGGGGDRRRERRMKNRASAERSRARRHAYVNELEAEVRLLRAENEQLKSLCDELKEAAEAPVPVKKTAVPRLQRTSSSPF >Et_3B_029971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29577263:29578165:1 gene:Et_3B_029971 transcript:Et_3B_029971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSMPAPSGSVITVATSSSSAAAAAVCGTGSPCAACKFLRRKCQPDCVFAPYFPPENPQKFVHVHRVFGASNVTKLLNELHPFQREDAVNSLAYEADMRLRDPVYGCVGVISILQHNLRQLQQDLARAKYELSKYQAAAAASASTGPNGQQHAMAEFIGNGGGMPNGAAHNFINIGGHTSSSALTSIGVFGQDQFASAQMLSRSYDGEPIARLGINGGYEFGYSASMGGAGPVSGLGTLGLSPFLKSGTAGGDEKTSAGQ >Et_3B_027881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24727723:24729103:-1 gene:Et_3B_027881 transcript:Et_3B_027881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGSEGAPQRASPRAPLHLKTTACSEANGAHHRPVVDRASPKVGDRHSPRSPLPEKKRAGTKVAELEAKLGKVQDELKKLREQLASAEAAKKDAQVALEEAKKRVGTKGGSPASGSASLRSSPSVGVRSEKKKAEVVKVAPEPAPEAEEEEVESGINSPATDVFEVVRTDKENQRAAAAAAEDCEAVSCGVKAALAEKEVEEEETKKMIEEESNAAAAVETEKESPEVAELKAQLAEKETEIAALAAENAELKEQAGEAARKAEEDAAAKASLVEQSLKEGLAREARMGEQLKASEAAREALDAEMRRLRVQTEQWRKAAEAAAAVLGGDNHLAGLHGIAGSGNGWGSPATMPDDGDDDFGGKRKGAGIRMLGDLWKKKGNK >Et_2B_021866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6275593:6281121:1 gene:Et_2B_021866 transcript:Et_2B_021866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAVSGAGADESNRLKREVARVLDECRASLAVHPRKLRELAALRSSSSSGGGGEGRFLPAFCIAIMPLFDLARRSAGSDRAARFVSAFASASASADGGGDGFLEGFLRFLVNASGAAHRPARLRACQIISEIIMRLPDDAEVGDEIWDEVINCMKVRVQDKIPAIRTFAVRALARFASDGEDGGILNLFLETLDTEQNAEVRKIIVLSLPPSNATLESVIGSTLDVSESVRRAAYSVLSTKFPLQSLSIKQRTTLLHRGLSDRSSHVNNECLKMLKDEWLVKHCDGDVVNLLRFLDVETYESVGESVMAVLLKDGALRVQDGQSIRQYLSTNGENEAEQASNIQLMDAEVALYWKIMCKQLQAEAQAKGSEAASTTGTEAAVYASEASDKNDLLDSILPSTITDYVDLVKAHLSAGPNYHFASRQLLLLGEMLDFSDTMNRKVASSFLHELLKRPLDHEVDDDGNQIAIGDGVSLGGDKEWAKAVAELSKKVHSSVGEFEMVISTVVEELARPCRERTADFMQWMHCLAVTGLLLENTSTLRNLQGKAIEPSELLQSLLLPAAKQNHVDVQRAALRCLCLLGLLENRPNTELVQQLRLSFINGPDLVSAMASKALIDLVTWHGPQSIDQAIGIELPDTSCEKSQFTPVDVSDLNGDDLNIGILDLLFSGFHKDDWDYSLEGDNHDNVPTILGEGFAKILLLSDNFKSISADLHAIILAQLVRLYFSEETKELERLKQCLSSCVSNAFVPVMRAMWPGLYGNPGGSTHVISKKRKSAVQAARFMVQMVQTQLFSTESTDQASKSPESASNAADMSNNFDVSEEGLAIRIAVEVVNCPDKKTASGKAYALALCKVAVLLRFRQSEQKAIKCMRGLVNSLAALVASDKDLMKELAQISARLGSLDAYPEEELPQDEIDAIFKKLGLDGGLKLDTNQAMPPTPAPRSVRAAAPSRRRTRRAPSSSDESDADGEEVNLHATSVSRVPATPSMTGARPQRASKATALSKMSARPAAVSSEDESDEQSEVTSEDDEESS >Et_1B_010706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13244136:13244676:1 gene:Et_1B_010706 transcript:Et_1B_010706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFDSYPSAFRWLKSLSVQNMRFSDSDMPNQLQRFRMETCDSGRSSVMQIDVPHSAKRFLPQLRDAKICYSELVQNQRQRPRKLEWRPPPSSFRSCPKPSQHLYCSETADTVPDEPLAFGNKSGNYELGLPAGLDDLD >Et_8B_058545.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:15190130:15190624:-1 gene:Et_8B_058545 transcript:Et_8B_058545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FTSLGGFESGFVLRGGVGPICQVSRHSLFSFYFFLSVEHLPSQPFSRTTCRHRLLCRTTHPRAATGVVAGASCRRRGAGSPAAASRAAPACRRRTSLTRRMPRSSSPPAPGRRRRPATRESARPRWRRPPERRTPYRSSRRGGPTRAHRRDGLESCRPCRGRAA >Et_1A_005708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1324202:1328757:-1 gene:Et_1A_005708 transcript:Et_1A_005708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVMKRDGRQEPVQFDKITARLKKLSYGLNEEHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTASHPDYASLAARIAVSNLHKNTLKSFSETAKVLFTNCDERSGLMAPLIADDVYLIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKVGGNVVERPQHMLMRVSVGIHKDDIESAVRTYHMMSQRWFTHASPTLFNAGTPKPQLSSCFLICMKDDSIEGIYDTLSECAAISKTAGGIGISIHNVRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHPDIFDFLDLRKNHGKEEHRARDLFYALWVPDLFMERVKLNEKWSLFCPNEAPGLADCWGDEFQNLYHKYEREGKAKKVVAAQALWFEILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRFVREKDVPIESHPAKLVGSIGSKNRYFDFDKLAEITSVVTFNLNKIIDINYYPIETAKKSNMRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYYHALKASAELASKEGPYETYFGSPVSKCFEPYTSNIYSRRVLSGEFVIVNKHLLHDLTEMGLWSPVLKNQIIYEDGSVQKITEVPDDLKAIYKTVWEIKQKTIVDMAVDRGCYIDQSQSLNIHMDQPNSGKLTSLHFHAWSRGLKTGMYYLRTHAAADAIKFTVDTTLLKDNQEPSEDDVETKLAEMICSLNNRDECLACGIGMHDSINN >Et_9A_063275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18606124:18609603:1 gene:Et_9A_063275 transcript:Et_9A_063275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASTRKEFFVTTDAAHGSAQSLIDLLDLGTSATAAGRRVLVHATSHVRISALVHLGDDGVADALQLLHLVLELVRLRELVAVQPADGAVDGVLDLLLVVRRQLGGDLVVLDGVAHVVGVVLERVLGVHLLLVLLVLRLVLLRLLHHLLDLLLAQPPLVVGDGDLVLLPRRLVLRRHVQDAVGVDVEAHGDLGHAAGRRRDAGQLELAEEVVVLGPGALTLVHLDQDAGLVVGVGGEDLLLLGRDGGVPGDQHGHDTTSRLQTQGQRRDVEQQQVLHLLVALAAEDGGLDSGAVRHGLVGVDALAELLAVEEVLEQLLHLGDTGGAADEHDVVHGALVHLGVAEALLHGLHALAEEVHVELLEAGAGDGGVEVDALEQRVDLDGGLRRGRQRALGALAGRPQPPQRARAMAAAVGSLIMRITFRPEMTPASLVACLWESLK >Et_10B_002705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9558387:9560480:-1 gene:Et_10B_002705 transcript:Et_10B_002705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRAAVMEFLLVLLAFSAQFVICRSSYGNEVDELSLLEFRKDISLDPQEALVSWNVSTHFCNWEGLVGRISPSLGNLTFLKHLVLSQNAFTGYIPPSLGAHLHRLEYLHLGSNKLEGIIPDLANLSNLMILYLGQNHLTGQIPKYLPHRLESLSLLNNNLTGTIPAAISNITMLRFLDFTYNNIEGTIPNEFGKLSRMQFLSLGGNKLVGSFPNAIFNLSTLTGLSLALNGLSGELPSNLGSYLPNIQRLLLAVNFFSGHIPYSLFMNASGLIHLDLSQNNLTGSVPSSIGKLTKLLELNLESNQLVTVNKRDWEFMYSLANCTELKDFSIARNSLEGPMPDSLGNLSVQLQNLHLGFNKLSGGFPSGISKLPNLIVLALSDNRFTGEVPEWIGTLKSIQIIALQSNNFSGFIPSSLSNLSQLGTLILDFNQFGMIPKEIFGIPALRQIGLSSNNLEGPLPREVGNAKQLVEIPNTLGNCESLEHAQLDSNRFSGSIPASLANIKNLHVLNLSRNNLTGSIPLSLEELPFLEHLDVSSNDLSGQVPARGIFSNVTAVRIDGNPRLCGGVIVLHLQKVAIPLGIMVLLAILIYVVWLWRGKRRSKSVTLPSFNRKYPKVSYKD >Et_7A_051759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24253155:24256404:1 gene:Et_7A_051759 transcript:Et_7A_051759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAQGAGPAAAAAAAADDAGGDWRSRLQPEARSRIVNKIMETLKKHLPVTVPEGLSELRKIAVRFEDKIYTAATNQSDYLRKISLKMLSMESQSKANAQQNPQNVQVVQNQRPCSLGIMKRNPILHFLVTADHLVAPLVVPVVPVQNKALKAQYLEGLSDLYEISTKLPVNNHMTSQETPNQQEEVKGFKSMLERSLQSLEIKKSCVQPSVEESIPIYKRHINSIINSEEWTPEKKFQQSAGQAPSNSSSGTKHALGTLPPERSNVKRLRPSLKDDIHS >Et_5A_041250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20013243:20018902:1 gene:Et_5A_041250 transcript:Et_5A_041250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMIEMAAVTAGRAVVGSALSPLSNGIDCVAVGRSNTGQHPWQGHPSRAACRAAAEASGSGIRRQDALDELDYFRIQDKLEGTYETSDRGCFPDLIRDIRHTTRAVVPVSKLRLCLSCCGNDCDNDSDCTNSSDSGMSHDGDKLVCGAFLCKSPWKKPPVRTPKLELNRVDLSKRMKSIIDELQPVCANVSTTLNLQLSAI >Et_5A_042117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6428095:6429730:-1 gene:Et_5A_042117 transcript:Et_5A_042117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGIPNSLYFVLLFLLLAQIIHSAPVPELKNHSDHISTYIVHANPLAKPSLFTTHEHWYTSMVATHSPRAAASSSRLLYTYNTVMHGFAVQLTGGEARRLSNAAGVTGVHEDRALHLLTTRSPGFLGLDPGFGVWKDTDFGDGIIIAGSEVHDVGSAVFARGTARGVAPKARIAMYKVPNSTPISDVVAAMDAAVKDGVDIISVSIGYPDWSPFHNDTLAIAAFGAERSGVFVEVTSTNSCVSDQRRALDDYTVGAGTVDRMFPASLKLGDGEVINGQSLYSTKSNGTIMVPLVSSSCLEKDMTPGHIMGKIVVCFNGEGQERLVRGAGGGGLINVENFTWSRDGLETQDMAFSIPGLLLSRTGSEKLKSYMASSTYPVASFVFTCEMAIGENRAPMVVWFSSRGPNPIVPELLKPDLVAPGQSIFAAWKSVPNGVWDVHVLPACRRRRGADQEETQ >Et_3B_030185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31165893:31168596:-1 gene:Et_3B_030185 transcript:Et_3B_030185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTMTHSSAFLLPSDSTAAAAGATTAATTYALIVLNQRLPRFAPLLWARARLRLCADGGANRVFDGMPELLPDEDPAEVRKRYKPDVIKGDMDSIRPEVMEYYSNLGADIVDASHDQDTTDLHKCVSFITRNLPSPDNSDLCILVLGALGGRFDHEMGNINVLYRFSEIKIILLSDDSSIFLLPKTHTHKIYIERSVEGPHCGLIPIGTPSTSTSTTGLRWNLDNTSMSYGGLVSTSNIVEEDEIV >Et_2B_022669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29963264:29965879:1 gene:Et_2B_022669 transcript:Et_2B_022669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPWTRRKWSTPQGMVMAPFCAKPYSSSAALSSATNSGCFRYPTGTTNRSSSAAAPPAGATTRTAMHPLGGIDPPLDPPPNDGEECCFLSRCTPIPAGRLYPRSKDRTSWLSHDRDDDDGRRRRASQRYGRRRTAAKWLMHGEETMVGRGRRREEEEEAFVPGVYENDVPKDRSG >Et_1A_005514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1182154:1185557:1 gene:Et_1A_005514 transcript:Et_1A_005514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRWRKAKMSLGLNLCVYVPRTLEGDEPNTTPTSASEEQSNGKVKGGAGALMPTTPTPTSAGLRLSKSGSKSFKVQSIHPSPFTITKTATGQDPFKCRYLVNSKLTVNLHGIFLHKTCAICLTTMKPGQGHALFTAECSHTFHFHCISANVKHGSSSCPVCRIKWKELPFRGPLAAELPQGNTRIAPVNGYQNGGHMTILRPLPRARSSGRLHHLTALMPDNDPSTFNDDEPLDLLCEAAEDSQQGCSRTVEIKTYPEFTEVPENVSERSFTVLIHLKAPLAQNLQQPSSLGDGNGLNTSRAPVDLITVLDVSGSMAGTKLALLKRAMGFVIQNLGSSDRLSVIAFSSNARRLFPLRRMTESGRQQSLLAVNSLTSNGGTNIAEGLRKGSKVIEDRQAKNPVCSIILLSDGQDTYTVSPTAGVHKGAPEYCALLPSTNSNQQVPVHVFGFGADHDSVSLHSISQTSGGTFSFIETEATIQDAFAQCIGGLLSVVAQGLHVKVESLHPDVHFGSMRSGSYSSRIADDKRNGSIDVGDLYAEEERDFLVSVNVPPGCGETVLLKVGCVYKDPLMKETVNMSDVHVKISRPAFVSVQSVSVEVDRQKNRLHAAEVMAEARFTAERGDLTNAVSLLEDCRRMIMGSVSGQSGDRLCQALDAELKEMQDRMANRQRYEASGRAYVLSGLSSHSWQRATTRGDSTDSESLIQAYQTSSMVDMLLRSQTMSRSSTPRGTPQMRHAKSFPARPQPR >Et_5A_041498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23687410:23690043:1 gene:Et_5A_041498 transcript:Et_5A_041498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTNRNRPQQRPARSWSFSEIMDFSDPKRKPRYLSKIIMAALLTAMCVVMLTQPPCHRRPPSVFSIHEPGVTHVLVTGGAGYIGSHAALRLLKDSFRVTIVDNLSRGNIGAIKVLQNLFPEPGRLQFIQADLGDPKAVNRIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITANTLVVLEAMSTHNVKTLIYSSTCATYGEPEKMPITEETPQLPINPYGKAKKMAEDIILDFSKSKKSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGVIPGLKVKGTDYETPDGTCVRDYIDVTDLVDAHVKALNKAEKGRVGIYNVGTGKGRSVKEFVEACKKATGVDIKVDYFPRRPGDYAEVYSDPARINRELNWTAQHTDLHESLRVAWTWQKAHRSGYEPPAAMIM >Et_3B_031358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26776840:26779756:1 gene:Et_3B_031358 transcript:Et_3B_031358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMPTDPLPAVVENSTQPKASSTVVCYSPMMITTNGIWQGVNPLEFSLPLFILQMSVIVVTTRFLVILLKPFRQPRVIAEILSGVVLGPSLLGQVDVWANMVFPQRSLLTLETVAHLGLLYFLFLVGLEMDIDVIKRSGKKALFVAIAGMALPFCIGIATSFIFRHQVSRNVHQTSFLLFLGVALSVTAFPVLARILAEIKLLNTELGRIAMSAAIVNDMCAWILLALAIAISEVDSTALSSLWVLLSGVLFVLFCFYAVRPGMWWLIRRIPEGEGVSDMQVSLILTGVMLAGVCTDAIGIHSVFGAFVYGLVIPSGPLGVALIEKIEDFVTGLLLPLFFAISGLRTNVRRIKDPITVGLLILVFIMASFAKIMGTIIIAALYTMPFREGIALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVVMTTLVTPVVLGVHRTKRRLVGYKRRNLQRIRHDSELRMLACVHTTRNVPSVLSLLDLSNPNKRSPIFIYALHLVELTGRASNMLAAAAASASKRSGSTSSLPAVTDHIFNAFEEYERLTGGVSIQTLAAVSPYQTMHEDVSVLAEDKHVSLIVVPFHKQQTVDGGMEPINPNVRSFNENLLSASPCSVAILVDRGLSAAAARMASEHNVALFFFGGPDDREALAYAWRMVEHPGVALTVVRFLPPDYRARTVSGSAYRPAAAADADSRAITINPEGRSELEMDEDYLHEFRSRNQGNNAISYSLKTVANSEETVAAIRSMDTSLHELYIVGRRPGEAGSPMTSALEEWMENPELGPIGDMLVSSDFSMSVSLLVIQQYVVAGAPVAVTAPAGNSYPVSQYVSNANQRPAAAASGAYRTSAAPNSRWSGSSTGTVGF >Et_3A_025562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30800574:30802502:1 gene:Et_3A_025562 transcript:Et_3A_025562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPPELYHKILNLPKDTSPQEIRSAYKNLVKKWHPDKHPPSSKPEAEARFKAITEAYEALLDHQQENRAVFGVCNDGRAGDRAPPFGGAVLGAGRVGARMERTRSDDFYTRSAPGTPAREFKKVYSSGNSGGRRAFAEFSSSIMRKAPPLERKLECTLEELCRGCKKELKFSRDVVTKNGSIVKKEVSQMVLVKPGWKKGNQIVLEGMGDERPGCLPGDAIFTISEKKHPTFKRVGNDLVLKAEVPLVNALTGWSFSFRLLSGKKVSCSFHDEIICPGYEKVIKGEGMPIVEQKGARGDLKVKFEIVFPKELTDEQRTGLAEILKGCC >Et_1B_010472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10942346:10945518:1 gene:Et_1B_010472 transcript:Et_1B_010472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVDDGKTSLADHLVASGGGGLLHPKLAGSARFMDHLPEERRRRITMKSSSVALRYAHPTGLYRLHLIDSPGHADFCSEVSAAARLSDSALIVVDVAKGVRVQTHAALRQAFVERLSPCLVLNKLDRLITELRLSPEEAYARLCRIIAEANSIYSALRSRSYFSSLLQDEHPGSDDEDEDAFQPEKGNVVFACARDGWGFRIHRFAELYAEKHKEDGVSYAALLKGLWGPYYIDKKTKRVLLLRKEAMSGGASRQPLFVQKVLTPLWAVYQGQGGLNGDAASSLGKNVVHFWTAIYSIVCTCGTTVRLSLASPLDPKAALNALLRAWMPLAESVMAMLVECTPGPVAAQAVQVARLMPERKAAAAGFSQHCHRGRAGQELASTSAPVVVFVSKMFAVPYKTLPSRGPNGEPLNHSQVPGEASEDCFLAFARVFSGVLRAGQKVFVLSPLYDPVKGNSAECKHVQEVELHTLYEMLGQDLTPVASVAAGNVVAIQGLDQHVLKSATLSSTKNCWPFSSMMFQVSPMLKVAVEPSNPADLGALVKGLKILNQADPLVEYTVTQGGEYVLAAAGAVHLERCVKDLQDRFAKVQLVVSKPLVSFKETIQGECVGLTGSLKAQSGFVQRTTANGKFIVRVQVIRLPDALTKVLEESEELLGQIIEGQNPRFSQDDDGNSTATLRDRLISAIDGELEAISEQVEKEIIERYRKTWLGYLQRIWALGPLPVGPNFLLSPDLCTSSGVIASQDRRQGILVRSRCHVSERLGFVSASDAETNNGIKNSESSTYSPDSESLRKSIISGFQFATNAGPICDEPMRGLAFIVEPYALSEYSDTITHSDQNNVFTGQVITAVKEACRAAVLQSNPRLVEQMYFCELTTPTEYLHSVESVLRDCRSRVLKVEMQEGISLFTVHAHMPIAESSEFYEKLRRGTSGAASALLTFSHWAAIHEDPFFTPKTQEELEKFGDGSSVVPNLAKKLMNSVRRRKGLHVEEKVVEHASKQRTLAKKV >Et_4A_034871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7411407:7414204:1 gene:Et_4A_034871 transcript:Et_4A_034871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVERKEVEQEEQQQLAHTRKDDAPAAAATAEEEEADSEETERRNRELKAGLHPLRRKLVLWYTRRTPGTRSQSYEDNIKKIIDFSTVESFWVCYCHLARPSALPTPTDLHLFKEGIRPLWEDPANQNGGKWIIRFKKAVSGRFWEDLVLVLVGDQLDYSDDVCGVVLSCRFNEDILSVWNRNASDHQAVMTLRDSIKRHLKLPHSYLMEYKPHDASRRDNSSYRNTWLRG >Et_7A_052393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7551347:7554157:-1 gene:Et_7A_052393 transcript:Et_7A_052393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQKNSSCSGGGGGTPVVLNVYDLTPMNNYLYWFGLGIFHSGIEVHGMEYGFGAHELPASGVFEVEAKSCPGFVYRRSVWMGTTNMSRAEFRSFIENLAGNYNGNTYHLISKNCNHFTDDVCKNLTRKSIPGWVNRLARVGSFFNCLLPESIQVSTVRHVPTHPAFSDDGMDSASSSIIGESDVEELGQHLLPSTTDAHSIDVPFLVDVEGIVELEYVGMDMELDPRRSSSRQGCICPPH >Et_7A_053162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8646776:8651849:1 gene:Et_7A_053162 transcript:Et_7A_053162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSASGDRGRRWKGKGLTPIQPRRQLAPVMEDASAAASLRPLKKIGRAPDRLQRSASSLSTTSSSSAPPSPRSTAGIKSPPSARHIFPFAYEPSTPAGGGSPRLLPTWQQQQSTRSVSQPASPQQPPLQRQQMISFGGTPPAQLVVPAESGQQQQMLVRYWSEALNLSPRGGGGGGQAGVLLPSLYQHLLLGHPHPLHPPPPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDSAEDAAMAYDREAFKLRGENARLNFPDRFLGKGRAGGSGRTSGAASASAARGASCCSSSSPSPPQTPDEANVQQQQQTVPPLQLRAAEGSTTQPSVATTSAPQEGRSGGDTAMPDSADNMFHAPAAPAGSEWGPADEAWFSTWGPGSSFWDYDMDSARGLFLNGGRFAGDEAGMEHSNAQEITDMPCDHAPTLSDHPGGSWEAYGSASVALPGKFAIRWDFTPLATRHSQSPSYSSSTSFPHVHTNSSMQFPTRPPTSPMATTAATATAVASPSTSSSSLLLPRRRFLPPGLASGRCHINPPPRLRVARQVAVGSDVSSSSEVAAEEAAAAGKVGKRVRVTAPVRVHHVAKAPGLELRGMEGVVKQYIGVWKGKRITANLPFKVEFELKLDGQDKPVRFIAHLREEEFEIVGDE >Et_10A_002243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5442304:5443602:-1 gene:Et_10A_002243 transcript:Et_10A_002243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSLPIFLDPPNWGQMQMQQQPLQCLLGGVGNDHHNLMPPPSSGQQLAPLPADGGPGADTAASAPAPAGGGASSAAASSLSQPPRPALVSMAERARLARVPLPEPGTLRCPRCDSANTKFCYFNNYSLSQPRHFCKACRRYWTRGGALRNVPVGGGCRRNTKRSSKKSSSSRGGQGAGAASSSSSTTSTSTTATTTSSAAAAEVLAGMQMPQYLGLPPAAAAAALEASLEGYHHHHGYLPLQMPTTHQFLHHGGYHFAADGDGGLFGADGNPARGVASGLLAQLAAVKMEEYNNNNNNNNNSANGVAVHQHEQSSSYWPGGNGGGAGNGWPAEFLSGFSSSSSGNVL >Et_8A_056641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14260767:14261184:-1 gene:Et_8A_056641 transcript:Et_8A_056641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLGRFGRNNTEITEAGPEQLRTTPFDESAAKLTFFRFFARESYSYIKHIATSEKERSTVFVREPSSYIKHIATSEKERSPGRFGENNIEITKTGPEQPENRPV >Et_6A_046529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16611243:16618964:-1 gene:Et_6A_046529 transcript:Et_6A_046529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NLIQSNSDQETSARAYRSARQRAAVLLRLTGVIVLATLASYNTEGDILYEQRLAWEDPYNTYCRAGIQPFELYGNRLNGSIPSSLGKLSQLVSLDLQQNNLVGTIPTSLGAISSLQFLRLTMASRFEKAAALLVGFLALATLASCNTEGDILYTQRQSWYDPNNVLESWDPTLVNPCTWFHVTCNNDNNVVRVDLGNAGLAGTLLPDLGRMKNLQYLELYGNSLNGTIPETLGNLTNLISLDLWDNKFSGMIPTSLGAISTLRYLRLYENNLTGEIPSSLGSLNSLVNLELQKNFLSGSIPGSLGNITSLQFLRLNDNMLSGTVPSEILSLVIAGNLTELNIAKNDLAGTVRSSGVRGTSRFREWRYIRLSGTPTGRAKESSVPISPTALKMADFSTGLLIIYCRELYENDLNGSIPATLGNLSNLVSLELQRNLLTGTIPASLGDISTLQYLRLDGNMLTGTVPPEILSLTSAGNLSE >Et_2B_021969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7491663:7496145:1 gene:Et_2B_021969 transcript:Et_2B_021969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPSGNKDDGLPWSEMFRSASLLGPKLKDDGAPPKKPRSAMKPAPAKEGKEGKAKAAAPAKEGADIAGLSLEPDARLALYIAMAHAGLATALLVLYGLYLLLADFLRPLQWALLCSVPLRETQRALVAFWEPPLRAGLGAALLALPLAALRSSAATLADARAALMRRPLPPSPAFPRLLRWLVSSFFFLVLFDRLGAIAALLLLALALAFCAASPKLTRAASSRISGRRPSSRGLLLTGGILRHLKTLVAVGLMLGMIVGFVAGSIFFSYKIGLEGKDAVMSLKSHVENGNYSERIGLKTWLEDNDIPGLVDQYSAKFYDTVWEQVDHLAVQYNLTDFTSGFRHFLISQSVGPKSKEALIITSGPHPYSMKLQAIAARVKNREWVEIYKELDSFFRELLITREDLVVKAKELALQGTEIAKRLLSSGTSVLGGSANLMLSIALRIVSGAAEVVNFVSQLMVFLWVLYYLITVEGGGATEQIIDLLPVSKQVKDRCVEVIDHAISSVMLATAKIAIFQGGLTWLLFKFFKVHFVYTSTVLGFISALVPILPPWLSSIFSAGQLLMEGRYVLALVVTAIHLMLMDYGTTTILEDIPGYNGYLTGLSIIGGMTLFPNALEGAILGPLIMTVVIALKNLYTEIMVYVMDNYCVAE >Et_1B_013813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17706871:17710935:-1 gene:Et_1B_013813 transcript:Et_1B_013813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCGLTSSVSTRVSDELGAGQPQAAKLATRVAMCMALSEGLIVTSTMTLLRGVWGYMYSSEHQVVTYIARMIPVLGISFTIDGLHSVLSGVLTGCGKQKIGATVNLGAFYLAGIPMALLLGFVFHLNGAGLWQGIVCGSLTKLILFAVILWFIDWEKEVAPLTSK >Et_5B_045042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8785588:8791759:-1 gene:Et_5B_045042 transcript:Et_5B_045042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSGKPSSGSSSRRRRRRRDEEEEEEEAVSSDANTSDSDFVADSEDQAEEEDEDEEGFAPDEDDAPAPVPVRVVAPPPPPPVAAAVLPPMRYRSRNSGRRRKNKRAREEDLPFLPWKVWEEANDRWLEERMAAGAVGVDAEPEIAAAAGPVPTADPAPEVVLSLLRFQKEWLAWALAQEASVSRGGILADEMGMGKTIQAISLVLTARRLRPPGQRPSATAAASSSSTPGRPMRQVGCTLVVCPVVAVIQWAQEIERHTAKGSVRHLLYHGPRRADQKINFDNYDFVITTYSTIEADYRKNIMPAKIRCQYCDKLFYPNKMKVHLKYYCGPDAVRTEKQAKQQSKKWGSSKGKGKRRGNKKDEEENDDFEELGDELGSQSRGQSPLHSVRWERIILDEAHFIKDRRCNTARAVFALESEYKWALSGTPLQNRVGELYSLIRFLQIFPYSNYFCKDCPCETLDTRMKKVCDCGHSSVRHFCWWNKYIARPIQWGSASDEGRGAMLLLKEKVLKGIVLRRTKIGRAADLAMPPKMVSLRRDSFDKNEMEFYEALYTQSCTQFDSYVAAGTLMNNYAHIFDLLTRLRQAVDHPYLVAYSKTAGLREALKSDEVKNEEMESPCGICHNMAEDVVVTSCDHTFCKTCLMDYSATLGDVSCPSCSQPLTVDLTTQNSGERVTPSAKSRKRSGILGRLESLADFKTSTKIDALREEVRNMVEHDGSAKGIVFSQFTSFLDLIQFSLEKSGIKCVQLNGAMNITEKGRAIDTFTNDPDCRIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVESQAQDRIHRIGQFKPIRSVRFVIKDTVEERILQLQQKKQLVFEGTVGDSPEAMSKLTEADLKFLFQI >Et_3B_028625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17499259:17524499:1 gene:Et_3B_028625 transcript:Et_3B_028625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGGSQAGRPATASPRPRTSPRPAAATAAAAPWGDVFDNILVTVSRFLRCRADRAHMACVNRHWHAAVTRPPPPPLPPLPDLPPQLPWLIFPNTEAPTFYSMFTRRRHRFRLPPTPSTRASAAPATAAGSSSRSTRATSTRSTTPTPARASRCRRVRQRVPAGRARRHALAAAPSPDPYMVAAIVLVASRSTAAFWSEGSDSWFTSGGFLPVRLQDVAYFEGAFYFLTAREGVITFRPGYGPNGSVNLHRVGYEMQKREDYDNDVGLVQGNGTMRRYLVQSRGRLLMVVRYVYDIIGTEMIRVFRFRVTAPFLRTPLATWEHLGDELDGRMLFLGPGCSRSFEVAQYDGFQDQESMVFFLDESFDSVPTADGRRLYSFTDMGRYSMEEMTSVAWPPGDHPTRLEGGRIEGGGRTATASPQRSISRRAAAAMAAALSWGNVFDNILVTVFGLLRCRADRVHMACVNRHWRDAVTRPPPPPLPELPPQLPWIIFPHAEPAAPPTFYSPLTSSYHRLWGLPPDVRGARWCGSGDGGWLVLALDAPPAHALYNLNSGEEIPLPLVFTSPADNNVFPLVLQSATLSASPSNDPNYIVAAIALVDGHPTAAFWVEGRATWFSTGGPLEGGMPQDVIYFADTFFFVTPGEDLILFGPEIGPNGDVILARDTLHTLPREDHQEELLDVGMTIVLGRIDRYLVNSGDSLLMVLRDVYTVGGTGMLRVFELNQAPAINGGLPHFTWEELFELRERMLFLGQGCSRSFEIAHYAGFEEDEETMFFLDESFYDVPPVDEDDSGMYTMGDLDPLSWPLERPLRSNAPPHWKQEGGRSATASTQPRISRRAAGVFDSILVTVSGFLDCHADRVHMACVNRHWRAAPIQPELPALPPQLPWIIFPRAEPALPPAPRAPARRPRRAVVRLGRRRLARPPAYALYNLNTGRRIPLPLPLWFRSPTDNIVLPLDLLSATLSASPSHDPNYVVAAIALVNNHPAAAFWWEGCATWFSTGGPLEEMPQDVIYFADAFFFVTPGENLIWFGHAAYGPNGGVILVRQIIQTLPRVDYEQDVRMIKRYLVNSGGRLLMVTRHDYNWDGTGFLQVFELSIAPAAINAYPPRYTWEELRELRERMLFLGRGCSRSFEVAHYDGFEESTVYYLDESFCAALLVEDDELASETYAIRAVDPESWPLRRPFRSHPPPIWEEAGGRTAPASRRPRISRRAAATSWADVCDNILLRVSGFLPCRADRVHMACVNRHSRAAETPPPPPPLPPQLPWVIFPRAEPAAPTVYSPLTASYHLLDGLPPDVRGAEWRGSGDGGWLVLELKAPRAHALYNLNTGRRIQLPLWFMTPADNVVLPLDLVYASKTVFSAYPELPTLSVRPGLLQDSSSQRHLPRARHRNALGHHLLPAAASPIVSPPPSCSFPSGARVKKAKRKSARRPSSRAAAGTAGSTSSARSRRHHRCPGRQGRWCSSARTRAAAAEPSLPFAGTNLEEILTPCLRWGAPATLSKSALVYATLSASPSRDPNYVVGAIALVDRRPTAAFWMEGRATWFSTGGPFEEMPQDVIYFEGTFFFVTPGEDLIRIGPQIGPNDGVILTREIIHTVPREDYENVGEMLGPVSFKRYLVNSRGSLLMVLRREYNPAGATGFLQVFEITRAPAINVYPPPYTWKLLFELSGRMLFLGPGCSRSFEVAHYNGFGEGTMYFLDENFYDMPPVGGDDSGRYTIGDLIPVSWPPGRPLREGGRRRAHRYGVPAAAHLSARGCGHGGGASVGGRLRQHPRHRLPLPPLPRRPRPHGLRQQALARRYHLLCRLPDDVRSARWCGSGDGGWLVLAFDAPPAHALYNLNSGERIALPLGHATWFSTGGPIEEMPQDAIYFAETFFFVTPGEDLIRFWAEISPNVDVILTREIIHIVPREDYEEDVAEMLDLGRYNRYLVNSNENLLMILRHVFNIGGTGMLRVFGITNAPAINGYRPHYTWDELVELSGRMLFVGPGCSRSFEVAHYDGFGEDMMYFLDETFYPVPPVDDDDTGRYTMGEPRIPLPLGFVFAPDYNNVLPLVLQSATLTASPSHGPYTVAAIALVNNRPTAALWCPGCDNWFSIGGHLTAMPQDVIFHEGGFFFVMPEEKLIRFVMRDSAP >Et_3B_030597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5279275:5280326:1 gene:Et_3B_030597 transcript:Et_3B_030597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KGENSKIPVKPLGNPHAPNIYSSAAPTIPSIFPFPHTSIAEAHPCLLLLPTRLPSPHHVPRAPPPTSPVTTAAAAAFEAARPRVGLAAATSPTAMVISAEPAPPPPPDPVADAAAVEAAAAATSSARQAPFSSFPSLKTWGSHRVLRCAHVNRAGDAIATAREQVGESGGKPSRHHDEVDAAGSDAAAGEADADHAAEAEEAPEPADSSPSKVCPRRRRRRGAVIPPASASPSAERRPIRAARGEPLDRARFSVTLTAEEIEEDVYAFTGARARRRPRRHPRPVQKQLDMLYPGSWLSEITAETYRVPDDR >Et_9A_061139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18420048:18420422:1 gene:Et_9A_061139 transcript:Et_9A_061139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSPPSLCEFIKYNDTEQTPENIAYVYRVAERARRHWFDMEAEERREEELRKMRQKEKERRREYEAERKAREAERERMRDRARRARAAGPDAFRKEKYPRCTQ >Et_2A_017872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7345169:7346215:-1 gene:Et_2A_017872 transcript:Et_2A_017872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVTAVVYVQDNVGWGWGLGVPAFFMGVSVAAFVAGYPMYRRLEPPGSPFTWLAQVVVAAFRKRLVPVPAEIDAGRLYKNDEMDKPISLYGKLLHTDQLRCSALLCFPPLFDMNCIVVIFEHECMADQALFYFLDPAARSCFDRAAVVTDGDLITPTDASSGKPVPNPWRLSTVHRVKELMSI >Et_1B_011264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19765144:19770644:-1 gene:Et_1B_011264 transcript:Et_1B_011264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRHHSSSRPRHCSPLLSALHRLAMSSSAASPPSTSAAADYHCRTKHSLTAGYARGPGRLDWANQPNPFLRFPPAPQIPLPNPPPALSAVPYPSLFHSPPPPPPPQPLTVDSLSALLFHSLALSAWKSTGVSTWSLRVNPSSGNLHPTEAHLVFPLPQEPDRIAVAHYAPRDHLLEVRASAPVGDCSAILPSPATAVLALSSIFWREAWKYGERALRYCNHDVGHALAAVALAAAALGWDARLLDGLPDEDLGKLVGVEKGCPAGPDGLPDEVLKGKAPWVERQHPDCAVLLFPVGSEPEVNYGRMSEALKGLDELEWAGKANRLTKDHVVWDVIYRTADLVKKHSTASGERFFVLPWHNSPALSEGLYKELTVQEVVRRRRSAVDMDGVHVMGRDTFYQMLLHCLPSGVVGPGERQGPQSALPFRVLPWDAEVHAALFVHRISGLPKGLYFLLRNEEHFDALRSAMRQDFEWVRPEGCPDGLPLYRLVKGDCQRLAMQISCFQEIASHGCFSLGMIARFEPVLREKGEWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCYFDDAVHEILGLQDLEFQSLYHFTVGAPVLDKRIMSLPAYPESIVRTEVYRNLFVNVAMVTKCDQDK >Et_4B_037376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19155421:19163052:1 gene:Et_4B_037376 transcript:Et_4B_037376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINMVSLGWTDRPLRKSHFTLHSAVSLNPLINDTFSCLLYLQKQDLSTVMNLLNIKQHQARALLIHHRWKIDGICDCFDRKGRERMLRDAGIVLQENNSLAAGGSTMPVGSVKCNVCFEELSLNAVSTMDCGHCFCNDCWTEHLNASVKSGKKQIQCMEVKCSAICDEGTVRCLLFGKYPEMAKRFDRFLLESYLEDNDSVKWCPSAPHCGRAIRVGAGERYCEVECPCGFCFCFNCAAQAHSPCPCPIWDKWNAKNCDSENIKWILNNTKSCPKCFKTIEKDDGCNLVRCKCGQYLCYLCGGPTGQEHTWSSIAGHSCNRFKEETAGKSLDTKRQQLQRYTHYCDRFKIHGGSYKVEMEKMGPAVAQRVRLLESDLNLPFAMRDGDWLTRAHRRLLVSRQVLSRSYAFAFYMFGGELRTRPADRATLGVAKNLFEDQQERLEHHVEHLSKVLVADVPAMPEAEVVRMKQETVTLAKTVETLCGEMYKCIQDELLPLLEKNSGVASSRSRRVSCGVCFEDFSPRDVSTMDCGHSFCNDCWTGYFVSSLDSGKKQIRCMALRCPAICDEAVVQHLLRREHPAAAERFLDFLLQSYVDDNTAVKWCPSVPHCGRAVRVAAGESGAPLCEVECLCGHAFCFRCAARAHSPCACAMWERWEAKCRLGEAENVKWLLANTKTCPKCFKPIIKDGGCNHVRCKCGQSMCWLCGAATGREHSWTSIEGHSCNRFTAEERKKVSDAQRQMRRYGHYYKRYEAHDMSHKSERDKLGPAVAELVTRMESRADSVLLKRAATVLADAHRSLLGCRPVLSRSFVFAYYMFDEEGDVPRRARPSPEDEAAQVLFEDFQEDLEKRR >Et_3A_026559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9716906:9724183:1 gene:Et_3A_026559 transcript:Et_3A_026559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSVDSNGLIIWKTMVGADEAEDYCDRLPVRANLQHKNIIDVSEANCRRCTGVLVTGTHLFIVLRVGHTALRPSWDHGGYCNDTCPLGLPHLPVDSRLNSGRASSYCSSSENDRVFNNINTDVSANIRLLLQDLDTWGYRCRSAAKIAALPQARTQLLSSLYYFIVFLISPVLPRGMASLLSLTSASAKSRFQRVPLLAYSWTCFSVALLPTSTQSEWNSTRLVVAVVQQVAAVRRDDVAGQVPSRCRVLVADVVLHQGVGRSGAAVLGEDAVAEESVDLAGRRGEAVAEGGAVRAANGVRAGEDDHLFGREALGGEVADELGDLKSGAREVGLGVRGRRVDAVQAPRGHREVDAAIAEVDRRVAGRERDDVGAGDGVGEGVLQLGLDRVDHVEAAEADVGARCFVQSRLRAAPLVMSASAVLTVSLTIASTLRLHLSFCSTRPSEYGRCRLYDSHRRLEGKQYNSNSRKLHFSRPNLQLFCIYIVAWLALTSFEIQKLPATCEIYEEATLAPVDSNTQRQEHKRVSPVILPSAGTQTSQIDISMNK >Et_1B_013838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:257897:259005:1 gene:Et_1B_013838 transcript:Et_1B_013838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWASWVGSSVTSAFFASLERCSCINLSTDGDDDNEDEEEAKDRPLILSAPASHLGDHQDAKLTDGKDQDQKQPPLPPNQCLTRQA >Et_4A_033415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22419882:22420321:-1 gene:Et_4A_033415 transcript:Et_4A_033415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVSVLFLGLLLASARATVHATASFAEQQSVEGIRGLIGSRPPSCEGRCRSCGHCEAVQVPISPQKKKKLGHGSRAAAGGRAMPDSYYDHSNYKPLSWRCKCGRHILEP >Et_1B_013120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5645787:5649250:-1 gene:Et_1B_013120 transcript:Et_1B_013120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGASRLLTACACSRPAPAPADDGPCLDDALGHSFCYASAGAAAHSSSFRHAISGAALSANSSVPVPIYHSSSAAAGEPPQYSSAFHTSSSFSSAPLQLSNLSSGPLFLSGPIDRGAQLSGPLDQAVPFSGPLPAKPNKPAPSSSSSRGFSRRFRKPSFGSLRRSVSEKNRPCVVPLRREDGVQWAHGRAGEDRVHVVVSEDQRWLFVGIYDGFNGPEAPDFLVANLYRFLLRELRGIFYEEADAESKRLWQFLADGDDEDSELDFSGSGRFALSLARLKERRNPMWAHAAAMDDNAREWGTKRLTAAPAVTDHKAVLGALTRALAATEAAYLDMTNQSMDSHPELAVTGACLLVALLRDDDVYVMNLGDSRAVVAQRRDDDDDCVIGTMRVEDIGVGLETESRPPGHAMMGFEALQLSTDHSTSIEEEVQRIKREHPDDDKCIVNDRVKGRLKVTRAFGAGYLKQAKLNDGLLEMFRNEYIGDTPYISCTPSLCHHKLSSRDQFLVLSSDGLYQYLSNEEVVLHVENFMERFPDGDPAQSLIGELLSRAAKKAGMDFFELLDIPQGDRRKYHDDVTIMVISLEGRIWKSSGPYV >Et_3A_024298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19225205:19233090:-1 gene:Et_3A_024298 transcript:Et_3A_024298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKVYALLFTFFFSGLMQLSMAQDKPDKPTVAAARVINTQAIDQAIAYMLMFAAFSYSSRQRHQINLAPHHVLKVLRRLFSHNTSIEQSITGAATMAAVKVYVLLFTALFFSGLMQLSMAQDKPAMAMARVVDATAIDQAIAYLLMFAALFVTYFAQ >Et_2A_017332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33265276:33279053:-1 gene:Et_2A_017332 transcript:Et_2A_017332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRGERKKGKPDLRPDRNQFKKHRKEVAAEQGEDGDQQPQPGSAALLAAVADDGDFPRGGRSLLSRDEVAEARAEAEADFDKEGRKAKRKRKDGDSSGFHADDDLGALFGGATTGKLPRFANRITLKNISPNMKLWGVVIEVNQKDVVISLPGGMRGFVRSEDVCDIAFHENRKDSENSICAEVVHVGQLVPCIVLRVDDDKKEGKVNRRIWLSLRLSLLHKGLSLDVLQEGMVLAAQVKSIEDHGYILHFGVSSFSGFMQKSDKENAKVESKQLVQCVVKAIDKTRAIVHLSSDEDLVSKSIIKDLKGLSIDHLIPGMMISARVHSVLENGVMLSFLTYFSGTVDIFNLSNFFPSGSWKDDCSKNKKVNARILFVDPSTRAVGLTLNKHLLRLKMPPINVKAGDIYDNSKVLRIDKKAGLFIEIPSPTPSPGFVSIHDVSDKDVKNLERKFKEGSMLRIRVLGVRHLEGVAIGTIKDSAFEGSVFTHADVKPGMLVRAKVVTVEPFGAIVQFSSGVKALCPLPHMSELEHVVKPPKKFKIGAELLFRVLGCKSKRITVTFKKSLVKSKLDVLASYADAKISLVTHGWITKIEKHGCFVKFYNGVQGFVSRSELGLEAGTEAESVYHVGQVVKCRIVGVDPSSRKINVSFLISPNRFIQADTPKLGTIVSGVVERLTPAAVVVSVNGFSKGTIVNEHLADHHGQAAQLKNLLKPGHEFNQLLVIDIEGPNLILSAKHSLINKADDIPSDILQMHAGSVVHGYICNIIEAGCFVRFLGHLTGFSPKDKAVDRLAVKLSDAFYVGQSVQSHILNVNAESARVKLSLQRSMCSSSDSSFIQGYFLLDQKISALKYSSNDWADTFGVGSLVKGEVGAMEEYGIIVNFKDHPDVVGLIEHHQLSDSTIEVGSSVKGLVLDLSDGVVNLSLKPELVSSVKSRATKKKRHRAVVADLELHEEVNAIVETVKESYMILSIPEYNYAIGFAPLMDYNSQLLPHQHYDIGQRISVVVGGVPSSDPSGRLILLPKTSAQESGLCGSKKAKRKSDYKVGSLVEAEIIDIKPLELLLKFGVNNHGKVHITEVLEEDSDEHPFRKFKIGQMVSARIVAEAESSGKSGKNCKWELSIRPSMLMREFEGLSARKEGLNHSINDIVRAYVVKVDREWVWLTVSRTVMAHLFILDSSSEPSELKEFQQRYSVGQTMKGRVIGVNREKRLLRLKALDNQGLLENIDKTQQSVSPTAEHKKQGDVIGGRIQKILPGVGGLIVQIGPHLHGRVHYTEIVDSWVPKPLSGFHEGQFVKCKVLAVSHSSEGSLRVDLSLRQSNLLTDSNCSRIVDDSETDAPRIDNVKDICPGTEIKGYVKNVNPKGCFIMLSRLVEARITLSNLSDEYVENPQKDFPVGKLVHGRVLSTDPSSGKVDVSLKKRTGSKPENLDAVSYGDLHVGDIVDGQVKRVESYGLFVTIQNSELVGLCHISELSDDPVLDINSCYKAGVMVKAKILKIDETRHRISLGMKKSYFDSDMTDGTIDDDECKIIPMDISHTPHNSEVLPKSEPRPSVLPLQVSLDDSDGSDQEDGKKAHENVNVTEANTKKSEKRLKEKARKQREMEISAFEERALQQDIPRTPDEFEKLVRSSPNSSFLWIKYMACLLDLADVEKARAVAERALKTISVREEEEKLNVWVAYLNLENEYGSPREDAVKKVFQRALQYCDRKKVHLALLAMYERTEQYELADELLDRMTKRFKTSCKIWLCRIQLALKQGKDIEYIKSIVNRALLCLPQRKRIKFLSQTAILEFKCGVPEEGRSRFELILREYPKRTDLWSVYLDQEIRLGDPEIVRALFERATCLTLPPKKMQFLFTKYLKYEQSQGDMEREAYVKQKAMEYVQTSLPSQSSH >Et_3B_029988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29590069:29591618:-1 gene:Et_3B_029988 transcript:Et_3B_029988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGTRSAGCCMRELTTSENKSPPCALPPGTWAWDRPAKTSVVSDWALSCASRTLASLPASSFFAGCLAGGFLLTTLADSVLGRKRTLLVPLASMSAAGVLTAFAPNESPRWLLVRGRTQEALDTLRQIASRNGATSVSSTLEAANTTIMQQVVVETRKTSEEWALRRLAAIMAAGFGVGMVYFGMPLNVGSLQGSTNLYLSVTYNAVAELPSAVMSWLLIARTNRRSSVVAFSAAASACSLACLAVPRRARMAAELLSFFATCTAYNVVLVYSMELFPTSVRSSAVGLVRQAMVLGGVAAPVLVALGRERAFLSFGVFGLVIGCSGLFAACLPETRGRRMLDTMEEEDSNQAAVAPVTDTTATAKNRNSDLV >Et_1A_009052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27054676:27056293:-1 gene:Et_1A_009052 transcript:Et_1A_009052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNILSQSWRRGAYALQEGNAAPALYTCWSRFHSGQILSSSRSFFGVEDFVDEDNSRPYTYKKEKRSKNPHKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRSTCRQVAVAGTNSKDIKAVLQSRSDIPACLAVGRFLAERAKEADVYTCTYTPRERDKFEGKIRAVVQSLIDNGINVKLYLD >Et_1B_013795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1736325:1743233:1 gene:Et_1B_013795 transcript:Et_1B_013795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAEEPAATGNGVRRAPSTAPSARVSIWESVRACGVWGKEVDKADLRRQVIMPLYLRRAVAAAVAAKDEAAGVAAASSADWEAEVEEGPVVAPVVVFVNSKSGGRHGPELKVRLHELISEEQVFDLSVVKPSDFVHYGLSCLERLADQGDNRAKSIREKMRIVVAGGDGTVGWVLGCLSDLYKMKREPVPPTGIIPLGTGNDLARSFGWGGSFPFGWRSAVKRYLSKAATAPISRLDSWQAVILMPEGEIKELPYALKKGEPSDRLEISQRVSDMVQANGTELPEKASCYKGVFYNYLSIGMDAQVAYGFHHLRDEKPYLAQGPVANKLIYAGYSCTQGWFCTPCAAGPQLSVRSLVILNLYNYGSGRHPWGDLKPEYLEKRGFVEAHSDDGLLEIFGLKEGWHASFVMAELIKAKHIAQAAAIRFEMRGGEWNRAYIQMDGEPWKQPLIQDQSTILEINKTTKGTCEVFYQTYQRALALLYPQVVRIICNQV >Et_1A_005152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29610835:29611590:1 gene:Et_1A_005152 transcript:Et_1A_005152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEDLLPLEDDAATAAVFIPKSQRDTIVERDQMLKEVERLEELAKRRLDDRKAETKRIVVEVIRGEELQAENETLNEPDCISTDDESNEAEEYESWKRRELARIKRGREELETTVASNLIEEEHLANPNDPLAPRNKRRRFMQKYYHKGCFFLENPDDARQTTATSSDIFSRDFSAPTGEDKMDKTVLPKVMQVRNFGRRGRTKWTHLLNEDTTYTRNMAPVFSSFAC >Et_2A_017050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30497333:30503062:1 gene:Et_2A_017050 transcript:Et_2A_017050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIETHEGGSQAAEAEGRALLLAAEAELRARFRAEAKAAFENGYEEDIEGEDAELVLDPLAFQAREFRETWNDLWYGPFNDTTNIRPMRFTDNKPEQHHSASPCTTLQIFSVEVARIKRDLEWPLHVYGMVALRDSIDNKRNVIFNHSRDNCQILTEEVSYLKLTGPSRAVVLIDPVTVEVDLKVKGSTESEDKHLSFLAVPFMTNVPLHSFLLKRRYASKLSTMRFKLGLLVDSLEATITVRVARGSWPDDRRAQFAVRTASICGEEVILLDSGDSEVPVAGDGSIMLSRCVASVELRGELKVSVKSWKGADNVVNDEEIFKPKEAGRSYGTLDVGFCQMDVTVAWVYQLSATVLSPQHYEMKFP >Et_7A_053002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4425151:4428634:1 gene:Et_7A_053002 transcript:Et_7A_053002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHLFILVCSSAVAITNRNDTENDRQALLCFKSLLSDPTQVLSSWTNTSLQFCNWHGVSCSEQLPHRATALDLSSGGFTGVIASCIANLTSLERLQLSNNSFHGSIPEKLGFLTKLTYLNLSMNSLDGGIPSALSSCTQLEVLGLWNNSLQGAIPPTLSQCKNMRVINLRNNKLQGSIPATFGILPKLHTLILEGNSLSGYIPLFWSSSSLTHVDLGSNSLSGAIPESLVNGSLQVLRLMSNMLTGELPEALFNSSSLTAICLQKNNFVGSLPKVRAISPPLKYLSIQENNLSGPVHSSFGNFSSLIFLSLEFNNLVGSIPESLGHIPALETLKLTVNNLSGHVPLSIFNMSSLKFLGLGTNALTGRIPSKIGYTLPKIQTLVLQSNKFDGIIPASLMNASQLEKIYIAYNMLTGCIPFFGSLPKLEALHVGGNMLEACNWGFLSSLSNCKYGLNTEISTMGDVYSFGVLLLEMITGSRPTDEKFNDGITLHEYVYRAFPNSVNEVIDPLLLQDETNATDVMQNCIVPLVRVGLSCSLASPKARWEMGKVCTEIATIKDALSSIHGIHEPTRKGNGIT >Et_6B_049826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14040148:14042587:-1 gene:Et_6B_049826 transcript:Et_6B_049826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAFHSLRLPLKRRRKSKNRRSLSHPKLHKSKNHLAGGGGGDPSFHLKSSASASSRTSGCLVQPLGNLLLSASPRANLRDASLGTLRQLSDELLLDVLGLLTARDLAALSAASKALYVVASHDPLWRPLVLDELGGRFDFAGTWRATYIAAVSGGRASLPSRVPDIRGFYSDYLFQSWLCANMEMRHEWLARDNIERRRGLSVEQFIANFEEPNRPVLLEGCIESWPALQKWTGEYLLDVSAGKEFAVGPVSMTLDRYFQYADNVQEERPLYLFDAKFAEKVPEMGRDYEVPEYFREDLFSVLGEERPDYRWVIIGPAGSGSSFHVDPNSTSAWNAIIRGAKKWVMFPPEVVPPGVHPSADGAEVTSPVSIMEWFMNFYSACKTWEKRPIECVCRAGEVVFVPNGWWHLVINLEDSIAITQNYVSRRNLLNVLDFLKKPNASELVSGTKDRVNLHDKFRGAIEAAHPGMINQLQLEAQQKAAARKKRASFWESAVDANTGGFKFSF >Et_7B_053204.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:11567417:11568022:1 gene:Et_7B_053204 transcript:Et_7B_053204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSRRTLLHTPFSGHPSVPSQPVTGATPEDGGVSGSSFDANVVMILAVLLCALICALGLNSIVRCALRCSSRVVVDPEPNPVTRLAQSGLRRKALRTMPIMLYSSGLKLNGANPMCAICLSDFEAGEHVRVLPTCNHGFHVRCIDRWLLARSTCPTCRQSLFGAPQKASGCSEVSQTVHAPVHSVLVPLRPESLVTPYDF >Et_3B_030821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7782315:7783578:1 gene:Et_3B_030821 transcript:Et_3B_030821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGDTAAAVVMHIEQGLWVAMGQGNMVAAVAMDVLARRAAAADKQGMMVLEARQRADRLRYRAGEQVVRDVELLHLPNPGQLLRQRAGEIVVADVQHRDLVQAADLRREAGFEAVVDEQDLVERAGHVGDARREAPAEAVVRKDEDRRRRVAKVVGELEAEAVVVEEDGVEPPVEERGGDRALEVVEAEVEEAERGQVEDDVGERADEAVVAEVELVEEAEAAERRREDAAEAVGVEVEQRQVREEAQLRREEPGNVAVVEVHAGDGDLAGV >Et_6B_048945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1593556:1594401:1 gene:Et_6B_048945 transcript:Et_6B_048945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVTGIAATALLVASVGLWKIGLRTVTFPVLATCFASHTAINVPWILGKNSAGRFSVWSIILFGPFLMLAWSYAIVKRFMRKESVYDKIAEGLYLGGWPFLLTHLPPGGPSVIDCTCELPRSSFVPKDEYLCLATWDTRAPAPHQIELAARWACEKRSQGKPVYVHCAFGHGRSACVMCAILVALGIAENWKDAENIIRERRKIKMNALHRKILEDWSKHRLPQKKEN >Et_2B_020883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24515556:24517945:-1 gene:Et_2B_020883 transcript:Et_2B_020883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVTCNACNAGFDGEEEQRLHYRSEWHRYNLKRKVAGVPGVTEALFMARQAALAEGNKPAGAAMLYSCALCGKEYRSSKAHEQHVNSRSHLMKASQEPNASIAGITIVKPRPERVPRRAPSAAEEEEDEDEEEEWVEVDPSEMELADESTSNMQVDDSDDEMAEFEELDPSFCFMCDLKHETIEDCMVHMHKKHGFFIPDSEYLKDPNGLLIYVGLKVKRDFICLYCNDRCQPFQSLEAVRKHMDAKGHCKLRYGDGGDDEDADLEDFYDYSSSYVDVEGKQLVAADDNNNIELGSGGSELVITNKSEKGTCVRTLGSREFIRYYRQKPRPSIATDRALALSLASSYKSMGLVTVQSKEQMVRLKVLRAMNKSGVETMRTKIGMKSNVIRNLPKNCPY >Et_9A_061339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10226652:10229533:1 gene:Et_9A_061339 transcript:Et_9A_061339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSVVVLMVMVVGAIAMFTATNAASTGHQTRRSRFLLANSNVYNPPLPSYDCSKKSAAVCLAPGSPGPTCCDGWCVDTVASADHCGGCNRVCKHGLVCCGGRCVDLLKDKDNCGKCFNQCNKKCSYGFCDYAQGIKKGKPLLFNATTSLSRLTVGLPVEPQEQHVDSETSYGSARAAANQRQGAVMEVQN >Et_4A_031967.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7243972:7245087:1 gene:Et_4A_031967 transcript:Et_4A_031967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VAEAGFPLRLPGLHPRQEPVHLAEDAEEDEPGDEAVHHDPDGAALRGDPADEVYRVGGEEDPADALERGARPRAEPLLAVDAAHHPRAEREDDGERRLGEAPVLHRRAADDERLHPAEARRALAGEARPPERLEARVVGQHDGVQVQAGVEHAGDGGRLQHGVPDVAVGLVPERQRRRLEEQQQCRAQLRDREHGVDALPLGQREQHQGEKERARGDQVLAPHAPDAARRRRRRRRRVAPHEVGHRERERGEEAVGGEGGDEGAHGGVVVARVGAVAVVVVGAGDEVGGLLELPPRALEDLPRHGFAAAVVVSGAPRPDADQRPENLIVGGHGGDSTDSWSLPPLQQLLLLLPLVLLLVLFPICMPAFGSQ >Et_4A_033647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25340564:25345788:-1 gene:Et_4A_033647 transcript:Et_4A_033647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENIDLVLDFLRKNRFAKAEAALRGELTGRVDSNGPTTQRRVADPKEEEEQEGSEVGFNAGPKAAASVRSADSSREFIVKEIDVGAMPNGSDARKGSGIGQPQENNMGDLYPWNFSIANSTAEQLAELLVSEEVPRHRRGAMATEKRDRGVGTEQPGPVLEQKASFGRGKGKVDAAGRSEINEQGHSRDKNLVPEKEELMNGCTVKTVLPFPAENPSSSYNSSHHENERKDLKEGINADGAKAAKGQLDEGNRQYYFEKSQDTNQVADRCFDLQLLGDNQREELPKLPPVRLKSEDKLVNMNWEEKINHHGSGSKQSSDDHAFMIGSYLDVPIGQEITSSGGRRTMGSSWLSVSQGIAEDTSDLVSGFATIGDESLEYPNEYWDSDEYDDDDDVGYTRQPIEDETWFLAHEIDYPSDNERATGRTSGPDRHDRPSKDEDDDQSFVEEDSYISGEQYFHGKNVAQIGTSEGPMLHGIPDNDMIAQYDGQLLDPEELNLMHSEPVWQGFVSQNSELGMLGNGKFLNESERPHPDDPFVEDDQHGSVRSIGVGISSDAADMGSEVRESLIGGSSEGDIEYFNESSLSVSGKRHSQQETEKKRTGAKGAKHEQINYVADIQKGSLPPGSDVGFSFPPPLHSGKISDADAKPLWSKKDDMYCINDPDECQNGLVSDDMLATWRKKNSESSVRSSRDEMTSDVVRSRNSSVSYDETEDTVNARHHKLDDAQEEETGTNLDDEEAAALQEQVRQIKVQEEEFETFNLKIVHRKNRTGFEEDKNFHVILNSVIAGRYHVTEFLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKHHLLRLYDYFYYREHLLIVCELLKANLYEFQKFNRESGGEVYFTMPRLQSIAIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGSIEQAMLAQGRDTYKFFTKNHMLYERNQETNRLEYLIPKKTSLRHRLPMADQGFIEFVMYLLEVNPKKRPSASEALKHPWLSFPYEPISS >Et_8A_058076.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1843768:1844445:-1 gene:Et_8A_058076 transcript:Et_8A_058076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVDSNDVSGPRCGVCQLAVGVGVRVHHFRSPGCVFVLHDGCFRLPPKVKRHFAHPGHRLTLGAVSGGGHDCSLCAERLAAHSYAYSCAATPAACATGGFRARPRCCHLPPSMSDVAAALHQHGRLVLRPPSTGGGNNGNRRCLKCGTTATARRTARAWSYQCTDHNDIEICLACVLGNEDAARCCCGVEPGCVGVWIGLLLCGIGQGMGLPCFTAQYATPAIRE >Et_4B_036645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10073751:10077757:1 gene:Et_4B_036645 transcript:Et_4B_036645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIFLFFSIWAVSAAVAGAVSDMEALLEFGRGIRQDPTRREANLWNPTSALDSDGCPVDWHGVQCSGGQILSIAFDGIGLVGKASLSALARMPALRNLSLSGNKLEGVLPRELGSMASLQLLDLSNNRFSGSIPSELTKLAGLGYLNLSSNGFGGTLPMGFRNLRKLKYLDLHGNGFTGKVDDVIAQLQSPVHVDLSCNQFSGSLTSLSDNSSVVSTLQYFNVSHNVLTGTLFESDPMPLFDSLEVFDASFNMLGGNIPPFNFLISLKVLRLQNNNFSGSIPEALFRETSMVLTDLDLSCNQLTGPIRRVTAMNLKYLNLSCNNLQGNLPITFGSCSVIDLSRNMLSGNLSVVRTWGNYLQMIDLSSNRLTGTWPAETTQFLRLASLKISNNLLEGELPTVLATYPELIAIDFSLNQLHGPLPGNLFTSVALTYLNLSSNSFSGTLPLSNPGIKNSTSIALSILPVQTSNLSSVDLSNNSLSGSLPWGIGELSALTLLNLRQNNFTGQIPKSITKLKNLLYIDLSSNHFNGSIPDGLPDELVEFNVSYNDLSGSVPSNLLKFPDSSFHPGNELLVLPHSESSNASDKSSERKRGMKRGILYALIVCVVVFVTGIIVLLLVHWKINSWKRSAKGAGQSKQPITQGQSAQRSVETATTEMRDVSLGSSPTAEYGAASVPGIDRQHETQGVPVEGAFFNEPTSSSSAPKDSTKSLMPSLTSSPPDARAQPHDSVLRVHSPDKLLGDLHLFDNSLVFTAEELSRAPAEIISRSCHGTSYKATLDNGYMLTVKWLKEGFVKSKKEFSREIKKLGSVKHPNLVSLRGYYWGPKEHERIIISDYVEATSLSTYLSVFDMAFSDACFMFPEFEERNLPPLSAGQRLAIAIDIARCLDYLHNERVIPHGNIKSSNILIQNSTPSAALVTDYSLHRLMTPIGMAEQVLNAGALGYSPPEFSSTSKPCPSLKSDVYAFGVILLELLTGKIAGEIICVNDGVVDLTDWVRMLALEDRVSECYDRHIVDSESSEGAPKALDDMMRIAIRCIRSASERPEIRTVFEDLSSLSS >Et_2A_018664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4543544:4545417:1 gene:Et_2A_018664 transcript:Et_2A_018664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATSAAAAAMSTAFAAMELHPFAGPRPVPGASSTSIPSSTLNLKGAVQIPLLLALFGSVAFVQRRLHPAAAAGAGTEVAALVVCAAVSLLELKLFVLQPAGVIDDCVVALAHAVGAAAADTLPAAATVTFVLGITLVIAHIRAGGEGGGTGAVANHRRVPVPVEFLKKLALGAAAALLVQMAMAICFMPAVQAADLVLGAVPEDSITVEECVDEEESGTGGRGRKDTELVAVLLLGSAEKWVGKGAGYVPENIGPNVTAEWNDDKENRT >Et_9B_064447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15275817:15278005:1 gene:Et_9B_064447 transcript:Et_9B_064447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHSPHTMNHDLLKAVATGDADLLAQILGVWSAATAEQDEEQSCLRGVTAEGSSALHIAASRGSLELVVMIHTHDSSLIKARNNQLDTPLICAARTGHADVVRYLIGRASAEQENGNPVLRAWNAAGVTAMHEAIKNGHCSVLRTLMLSDSILASVVDGKGVSPLYLAVVSDRADIMVGILIRESSDGVRSPASYLGPDGQTALHAAVYASKELCESLLCWEPALAEKVDNCGRTALHYAASAGKIGVVKLLLGNSSLAYIPDDDGLFPVHVAAISGKVKVICEFMKICPNCDELLDNKQRNILHCAIEHGRLRVVWHICRNRKFARMLNAVDSEGNTPLHLAIKHGQVTIFTLLMMDVTVNTGIINHDGLTAVDFAFIETFRDFSFSEVKNTCIRLCLLLCNSHPVGAWQNLRDKLCLENKEKESSIYTNVSQSILCISLLIAAGSFAAAFTAPGVYIAEGDDAVTFDYSVGAANCVSFLSSIIATCLLVHASITNSISMGTRRAYLLYVCSTVLNMILTFISVAEKTMDPDSYFDGNICFVTILILWFTLSFPLLMVAAPVCLRVSWQLRTSKHKLQDILKILAASSISIILVSPFIRFLVGVLTDVQDDAAHLHPT >Et_7B_053471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21398184:21398570:1 gene:Et_7B_053471 transcript:Et_7B_053471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACILHAATSATVYDVLAQNNLPRGLLPLGVKSYTLHADGALEVTFPGECNFFVTIAGKQHKFRYGSTVGGIIKMQVEFAWLGFNKVERAGDQLKITLETTTQQFPVSAFAQSPRCI >Et_7B_055704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2321781:2323746:-1 gene:Et_7B_055704 transcript:Et_7B_055704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSISGELASTEVARELPGIDMEFAGDQSKRSSFRGRNISSWHCLREAKKSVAKEGKNRSTRDEEEEDRMIRRSWGASLDPMRYMSGTIPENARCENVVQIFSVQVTEIKDGLEWPLHVYGYVAVRDLVDHNRNLLFERERNNCQILTQKRYLSFVEV >Et_7A_050276.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:18714578:18715489:-1 gene:Et_7A_050276 transcript:Et_7A_050276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGYDAAREIGVPAVALWTASCCGYMGYRNYRQLVDWGLVPFKDAAALADDTEGQLAAVVRGARGMCDGVQLRDFPSFIRTTDRDDVMLNFLMRESERLSLPDAVVVNSLDDLEGATLDAMREILPPLHAVGPLLLLEQRLVSPSSPLGRLGSSLWKEQAGLLEWLSDGRPPRSVVYVNYGSITVMTNEQLLEFAWGLAASGYAFVWNIRPDLLKGDAAVLPPEFAAAVDGRALLTTWCPQEAALRHTAVGVFLTHSGWNSTLESLCAGVPMLSWPFFAEQQTNCRYKRTQWGVGMEIGGKVR >Et_5B_045358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19948472:19951054:-1 gene:Et_5B_045358 transcript:Et_5B_045358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQNSSITPSVCGDDLYSSDEEGEEDPHDDADRPSTWRLRAWVAASGCKAFLHEADLYATRAMRSSPRSSRAAPVMQDGGRVGVVLSSACRLRHKSARDSSRRLARFVDTDAR >Et_1A_005322.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:40403392:40403550:-1 gene:Et_1A_005322 transcript:Et_1A_005322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKLAGNKFETRLTIDEIAGAYYFLLLLCPVVSFWDCIFRKIRYSFRPEWV >Et_9A_062220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20819028:20820322:-1 gene:Et_9A_062220 transcript:Et_9A_062220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRKTDAAPRLDEADRTLYSTFCSAANSLSQLYSQAIAQQKQSFHAGEVHALEKLHQWILRKYEEESRLTVADIMAHIQHEMDYGGSDANVSTRAPQYPQGPGQFGNSNSQVTAGAFAPRSLNSEQSKSTMFSNALSSPVRRTLQSYQPTPGQGAANGGRNTNESNSGAQNGDTNSGGSSDTTMDMVSDSPANEYY >Et_1A_007311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33241131:33245564:1 gene:Et_1A_007311 transcript:Et_1A_007311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAYHRAPMIFLPQLVALNAVPVWHVPFHLAGNGPYPRSSKLGDSGHMPEIKAATEFSLAIKKGGYREAVKKAAGLDKICSIVAHVRIHMTANKSRMYLL >Et_4A_035592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30450665:30452218:1 gene:Et_4A_035592 transcript:Et_4A_035592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATSTGVDTSKSVMSQDKAIKRFLVRNIVEQAAVRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSRENRRNREPPQRFRRRPLSHLLCNYLRMMAQGQDKVAHHAPVLVLLPQLLLLLLAPKFRNAFRT >Et_9B_064043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10591214:10597110:1 gene:Et_9B_064043 transcript:Et_9B_064043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGPQQEPRPSHDSSVDHESLQQPSDAKKKKGGWITFPFLGVAMFGLGIARGGATSNLVVYLVEKYNVPRVDAAQISSIALGCLSLAPVGGAIVADAFFGCYPVVAVSMVFSVLSLVVFTLTASFPGLRPAACQQLSAATAGQCSPATTGQMAVLYAGVFLLCVSAGGARFNQATLGASQFDASADRDVLFNWYFVFFNASSVAGYTAIVYLQDTVSWALGYGVSGAASLAGLAALLAGTPYYRRPPPQGSPFTALARVAVAAARKWRVNPAAESEGLGFYHGPRNIDTEGDTTKLDRPSDSFSFLNRAALITDGDVTAADGPALRPWRICTVREVEDFKTLLRITPLWSTSIVLSVALGTQINFTRIGAGHVLAVVSLAASAAVERRRLATMRGHGEEAAGHPRWVSPMSAMWLVLPLALAGAGEALYFPGGVMLYYEEFPASLKNTSTGIVAVIIALGFYLSTALVGVVRRTTAWLPNNMNASRLDNLYWLLAVLLAVNFVYYLICARLYRITRPKRAGPPMPSIHLCQTAIVFARDPLPRPRHRIQEHQPNRSSRQEETSQGFRLEENMKGQDNSANA >Et_9B_066284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9870966:9872858:-1 gene:Et_9B_066284 transcript:Et_9B_066284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDRLRFLPEELVADILGRLAPRSLAASRGACKAWRAIVDGHNLLRPDLLPLTLGGIFISLTREPAPPEFFAPPFMGPKIASKLQNYVGMMDYLWDIPHIIGCCNGLLLLDGIVINPATQQWAHLPPCPVLPDGTTGFGYDDAYLAFDPVLSPHYEVVLVQEPLDYDKTLVDGSEWPPSRYKLCVYSSKTGRWEEKLFVREGGPACTVAAVRSASEPDYRHSACWHGALYIHCTSDFIMRVNLSNDKYRVIKLPSGINSGRYDELYLGKSKNGVYGAFLHGRCRLQVLFLNESGDEAEWVLKHDIDLEAVSHIYPDQGPWILHDGNLDEGNNTGDIMDETLRWDSDNDDVLDIEEKGANISINHPTS >Et_8A_058177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22943515:22946617:-1 gene:Et_8A_058177 transcript:Et_8A_058177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNSLLLLALLILSAAAAAASSSLSSRNAELDALMELKAALDPPGRALASWARGGDPCGRGDYFEGVACDAQGRVATISLQGRGLTGTVPPAVAMLPGLTGLYLHYNQLGGEVPRELGSLPELAELYLGVNNLSGSIPAELGRLGALQVLQLGYNQLSGSIPTQLGQLKKLNVLALQSNQLTGAIPASLGDLPALTRLDLSSNHLFGSIPSKLAEIPRLSTLDLRNNTLSGIVPSGLTKLHEGFRYENNSELCGAQFDSLKACPDENKEDGKMPSKPESTSIKPQQIPQTTNLKRNCDNSGCSKPSTLSTGAVLAGTIIIVAGAAACGLSVFSWHRRQKQKVGSSVEHLEGRLSLDQSKETYLKSASSLINVEYSSGWDTSSEGSQQGARLSSEGSPSVRFNLEEVECATQYFADANLLGKSNFAATYKGIMRDGSVVAVKSINKSSCKSEEADFLKGLRMLTSLRHENLVGLRGFCRSRARGECFLVYEFMANGSLSRYLNVKEGDAGATVLDWATRVSIIKGVAKGIDYLHNSNPNQLPLVHQSISADKVLIDHLFVPHLSGAGLHKLLADDVVFSTLKDSAAMGYLAPEYTTTGRFTDKSDVYAFGVVVFQVLAGSRTVSQLRLGAESGRLDDLVDPRLGGRFSRPEAAKLAGIALLCTSEVPSQRPAMAAVLQQLGTSQ >Et_4A_032546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11185880:11192916:1 gene:Et_4A_032546 transcript:Et_4A_032546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGRDVPAPADVPAGGVAAAEAAADEVMRRLRPTEASERRRAEVVDYVQRLIGAALGCEAFAYGSVPLKTYLPDGDIDLTVLGNAYQGSTLVNDVYCILDSEEQNSDAEFNVKDLDRINAEVRIIKCTIENIIVDISFNQTGGICALCFLELVDRKVGKNHLFKRSIILIKAWCYYESRLLGAHHGLISTYALEILILYIFNLFHKSLHGPLEVLYRFLEYFSKFDWDNYCISLNGPVALSSLPNLIVEATGVQTDDLLFDNEFLKSSVDEVFEPPRGSGACYTRFRPKHLNIIDPLKEYNNLGRSVNRASFHRIRTAFSYGARKLGQTLMLPSDLIPNEVYGFFKSTLGRNGRGFRPDVASSGAFHPSFGTGMDILEQMSSMSISYGKVDENRTPHDLSKRSGDNSSYARTNGPTCLSAHLPGGHDIALSMDLRSSSVVDHGTKQYSTFFNENGNGGTHVNNTLLTNSYAGVNDLSTDKKRWSTVHVGIQRPPSLSLRLPDLLGDLDLQFKCLRQVQYHLEYLFDCFLQSVQGASSDDKFQIESLRIPALNMLLNADAALPGLLLPSSVKSNGIKLSPVSCSHSTEDISQHSQDEDIWDVACQRNISLSSGTDIPSNGLSPSSSYADSDSSISWCHSSEDSPEMHGTDTNFPRESYDAYKEQLASSRENGKFLTNSPMRIENNQSPVPERSFIPNEKQIALSGRTKEMTIGQSMNVQGYIHSDRKVVETQSWDPQKEFFRQGNNTRQIPKYHQDTGLNMKILQNRQYSTDMEFARTPSAMRQMPKYQPFNSIQSIATDCTSTSLSMNLSSKQIYGTRKEHEILGWPTRHIPSYEPLQLQNQRRGRVWSKRYSAGKQSYNNHKEHLPFETGAEHIPCGGAVSPLNGIKKEVNSNKFDENGNQLWPLFQEMPLSLRSINSQEKPPVTSSPRPLFQEMPLSLPSFPITNSPRLETIEFGSLGPFALVSSKSKKVTNTDPGRRVLTDASTPVVQRSRAGASHSRSPEFCKVGNEDEFPPLNAVVR >Et_3A_026565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9759362:9766440:-1 gene:Et_3A_026565 transcript:Et_3A_026565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSAAFLCALVVVQAAVLLAAVSSAQAGELEVGYYNKKCRGVENVIKWHVIRAIKAHRRTGAALVRLLFHDCFVRGCDASVFLDKSYENPHPEKEAPVNIGLAAFDLLEEIKAAIEDRCPGVVSCSDILIYAARDAASILSNGHVHFDVPAGRLDGFVSKAEEAQAELPDSTHDVQKLIETFAKKNFTVEELVILTGAHSIGQGHCSSFRGRLSEPPSQITPAYRDLLNYKCPQGSDPVVDNNVRDEDYNVVARFMPGFVSRVRKIPDFLDNSFYHNTLAKIVTFHSDWTLLTHEEALGHVHEYADNGTLWDEDFGDSLLKLSKLPMPAGSKGEIRKKATKMKLSAVAVVLFALQETVAVVQATAPGTPTVGYYNDKCNRSVEAIVRDTVRTALDADITKGAALIRLLFYDCFVRGCDGSVLLDPTYSNPYPEKTSGANIGLRGFDVIDAIKANLEAACPSTVSCADIVAFAARDASVYLSNGSVNFVVPAERLDGVNSSAADAEANLPGSTFEVGKLISNFAGKGFTPEEVVILSGAHSFGCAHCSSFRDRLAAPSGEINAFFRDSYCGAGTVDPLVENNIRDQNAAALGNLTSYVVPANGSDLLDNSYYHNNLNNLVLFHSDRVLVGSNATLKHVHEYAENGTLWNLDFADALVKLSKLAMPPGSTGEIRKTCRATN >Et_4A_031820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18812001:18812556:-1 gene:Et_4A_031820 transcript:Et_4A_031820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLLGLKHMHEKGIIHRDIKPSNILINSDSDCVVGKICDFGLATYYDEAITTWCGIPHDTYGYMAPEVYKLKSSCTFESDMWSLGAVMYEFITGCPLISGRDSTGTITRMRSLFGSPDNCT >Et_5B_044727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5141359:5142292:1 gene:Et_5B_044727 transcript:Et_5B_044727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAISILYCNRVCFFRLTAAAILLPGSSAALWYFALLAAKSTLQMAGDSRIEWEVEESSREGTGLWLTIRVVCLVFGSLQLWFLQAQQIQHGLEQDVSFRHRNIIVISP >Et_9B_066008.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:20317706:20317993:1 gene:Et_9B_066008 transcript:Et_9B_066008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQELPPQLPRPASRRDASSHLLILLGASMVVFSVFGEPSAVHPALSVAGFLLWLVGAAFVLFGGQVRQRPLLPEAFAAGAANISVDQYPAPA >Et_2A_017296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32977961:32982067:-1 gene:Et_2A_017296 transcript:Et_2A_017296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGEENQDAMNGYEEEEEEVEVEEEVDDEEEEVEEEEEEEPHELPGTAEPEEEAAAAAEGARGGSGAEGGGGAGAAGEGFRNGDAGVEEGRGADAGSGDAASGGIYLFCVAFLCSIFYAETFTKHFGKYGAITDSVIMKDKHTRMPRGFGFVTFSDPSVIDRVLEDEHVIDGRTVEVKRTVPREEMSSKDGPKTRKIFVGGIPPSLTEDKLKEHFSSYGKIAEHQIMLDHSTGRSRGFGFVTFESEDAVERVMSEGRMHELGGKQVEIKKAEPKKPGGGDSSSNGRYSHRSGGSRGSHRGSGGDSGSSTGGGGYGYGGAYRSAAASYYGSTAYGAYGRAYGYGGNAGFASGFGSAYGGAMYGGPYGAYGAYGGAYGSGAYGPPGGYGAGGYGGYGGGGGGGMGGGSAGGRGSSSRYHPYGK >Et_2A_018075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9980386:9984784:1 gene:Et_2A_018075 transcript:Et_2A_018075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRHEMVHFDKITARLKKLSYGLSQEHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTASHPDYASLAARIAVSNLHKNTKKSFSETIKDMYSHFNERSGLMAPLIAEDIYEIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKLGGKVVERPQHMLMRVSVGIHKDDIEAAIKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMQRVQNNGEWSLFCPNEAPGLADCWGEEFEKLYTRYEREGKAKKVVPAQTLWFDILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRFVREKGVPIESHPSKLVGSSDSKNRYFDFDKLAEVTSTVTYNLNKIIDINFYPVETAKRSNMRHRPIGIGVQGLADTFILLGMPFDSSEAQQLNKDIFETIYYHSLKASAELAAKEGPYETYEGSPVSKGILQPDMWNVVPSNRWNWPTLRETISKVGIRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGVWTPALKNQIIYEDGSVQKIKEIPNDLKGIYKTVWEIKQKTLVDMAVDRGCYIDQSQSLNVHMEQANFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTGLLKENGTEVNGTKPTEEDVEAKMAQMVCSLNNREECLACGS >Et_8B_060422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14507048:14512245:-1 gene:Et_8B_060422 transcript:Et_8B_060422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNSFPSSSPHPPLEPSGAPNPSFPHLPSAMAAGDPEPEPAEEKRPSPGEEAVAAEAEETRPTPAEAPGAPAEEKRPAPAPAAEADTRPPPEPPGKPPGFAAVLDTGVEVEATRRPAGSSAEAPILAVPMVAVPCFIAPPGFPGQFVMSHQAALASVTAQAQMHLQSPSSSAYSEAPSSPFYITPKAIVPLQQAPSASEVTICSTPKADRLSSSEPKSPHHVVVNMVADGFNWRKYGQKQVKSSDNSRSYYRCTSSGCLAKKKVEHFPDGRVVEIIYRGAHNHEPPQKTRFAKERVPPIRVPSGDETLRLVNTEIVDSHTPKHKLGQSSVTETSEHASEQQLFCSSDCEGDAGNKSEDEHPSAEPLPKRRTVEFSTPNFTPVLRTVKEQKIIVQAGNMSDGYRWRKYGQKIVKGNPKPRSYYRCTHGGCPVRKHVEKAPDDDNNIVVTYEGKHNHDEPFKRDMSISVIPPSVITAEEPSTSPSTSVITPSATTTEQPSTSTSASDKKLPTSTQKDAVIEPVKDTASELGGEKSNGDDPARCYTGVNAKLGAGSKSFTAFFTASTSMSISFPVADEMMVQLALSSTYGRNSLTVCIPDTCFPYR >Et_8B_059351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1772267:1776561:1 gene:Et_8B_059351 transcript:Et_8B_059351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDAVSKAFVDHYYRTFDTNRGALLGLYKENSMLTFEGEKIGGNTNIATKLNSLPFQQCQHNITTVDCQPSGPAGGVLVFVSGTIRTAPTDEHPLRFSQVCNLSIRSHSSPPLSLARNSIFPTRIFSLNNETEFRFTSAARRSEWAEKKECSLLSGYIHYKGAPVVQ >Et_1B_012066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28644397:28645433:-1 gene:Et_1B_012066 transcript:Et_1B_012066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMGYPDESLDSLFHSRRLSHISTSDGSSFGNALLPDNNNDNTSISLFLEGLTIKECQRLVSLPTTRFVDMHCLEELHSNFHPTKKLEPSSPTKAQDRRLKLQTLDDLLTEAYLPAIKSVRVENCKKLLSLPGERLSSFPLLNDLIIHHCPLLNWQRGISLPSSLQRLTLCRCGDLSAWFPRCIEDLTSFLYLELSSCERIVAIPVSRLVTLRELRIIDCADLVSIGGGNAIANIYFVNITGCQNLKEVQQPLKRWITDSI >Et_3B_028012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31566376:31567233:1 gene:Et_3B_028012 transcript:Et_3B_028012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYYPDQEALSALRGSAIGLILDVGGVDAVRALAGSASAAAAWVQANVQAFYPDVIIRYISVGNEVPAGDAGIILPAMRNVHGALVSAGLASSVKVSTAVRMDAITDSFPPSRGVFNPNVRQHMEPLARFLADTGAPLATTVRDDGNGLTYTNLFDAMVDAIYAALDKAGAPNVRVVVSESGWPSAGGFAASVDNARTYNQALIDHVRQGTPRKPGVLETYVFAMFNENQKPGDPTERNFGLFNPDKSPVYPITFPTN >Et_4B_037320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18273847:18275914:-1 gene:Et_4B_037320 transcript:Et_4B_037320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFDDYWARAYRGDSGVPHSDPQRLVSTWTGAFALGAAACVHHHASSLASHLKSLPHSWQDMTMMLDQKRWKKILEKKQQQA >Et_3B_028343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13869200:13872829:1 gene:Et_3B_028343 transcript:Et_3B_028343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPGSCSAAPSAGHPPVCPAGAAGMVRPRLVLFGDSITEQSFRPGGWGAALANTYSRKADVLVRGYGGYNTRWALFLIHHIFPLDDLAPPLATTIFFGANDAALLGRTSERQHVPVSEYKENLKKIVNHLKDCSKSMLIVLITPPPVDEEGRERFARSLYGEDARKLPERTNEMAGVYAGQCIELAREMHIPCINIWSKMQETDGWQKLYLSDGLHLTPEGNAVVHKEVVQTLRAAGLKPENMSYDFPHHSNIDVSSPEKAFQ >Et_3A_023852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13467666:13468122:-1 gene:Et_3A_023852 transcript:Et_3A_023852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQLVSSLEFMLDMNSRSWRVEGKQQMFVLNNVHFMLQEVKKNTDLGLILGEGWLLEHDDQPTHYRICGCFLGPGCVSSFERRTSVPVILWPHQLFNKFNSSFEMTYRVSLGKVTNHLVRKMLRETICRKVIPLYQMHIEHHSEKMSMS >Et_2A_016575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26195630:26196834:-1 gene:Et_2A_016575 transcript:Et_2A_016575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPMNQETVHSNSELINIDEEISASLDDLMHSFDESSSGWGHGVPRVLPPAPAVGASSSSTSRTGSTGEDLFTDAGMETVQKEERLKEIVDTNPKRLKRVSSARTKAQKENNIRDLEREALQIENVYLSSEVKLVELQIQNNQMRMRLQQLEMQSKMNAPDEQLCVYGTSDDDVYVLGLCINCSFKLENSVETAPDEGASVCLPADHGCGGSAGDP >Et_4A_032268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27460858:27463356:-1 gene:Et_4A_032268 transcript:Et_4A_032268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEWKRVPVFIVLSILATTNVVASQKDRFVPQDNYLISCGASGAVQLDDGRTFRSDPESTSFLSTPMDIKLTANSSPNAASSLSPLYLSARVFSDVSTYSFFINQPGRHWIRLYFLPIPDKQYNLTTATFSVFTDNMVLLHDFSFIASPPNPVLREYIVATQGDTLKIIFTPKKDSIAFINAIEVVSAPPNEQFDISNNALQVVYRLNMGGALVTPFNDTLARTWLPDTPFLKLEAAANPVWVPPRTIKYPDDKTNTPLIAPSNVYSTAQQMASTNTTQARFNITWALKAEPGFKYLIRLHFCDIVSKALSSLYFNVYINGMMGVPNLDLSSLTMGLAVAYYKDFTIDSSIITNSTILVQVGPGTTDSSSSNAILNGLEVMKISNQANSLDGLFSPKTSSQVGKRTLTGLGLALAVIAAALAIVMCCRRNRSQSSFMSSCSRLSRNRFGSTRTKSGFSSVFASSAYGLGRYFTFTEIQKATKNFEEKDILGVGGFGKVYLGVLEDGTKLAIKRGNPSSDQGMNEFLTEIQMLSKLRHRHLVSLIGCCDENNEMILVYEFMSNGPLRDHLYGGTNLKPLSWKQRLEISIGAAKGLHYLHTGAAQGIIHRDVKTTNILLDENFVAKVADFGLSKAAPSLEQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPAINPALPRDQVNLAEWALAWYRKGELNKIIDPHISGQIRPDSLEMFAEAAEKCLADYGVDRPSMGDVLWKLEFALQLQEKGDVVDGTRNEIPMKSLNVSGFDDMKQPSSAIPSVQGR >Et_1B_009853.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:33160183:33162435:-1 gene:Et_1B_009853 transcript:Et_1B_009853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQQPRKQSATGGKEEGGQAHGQPAMNLEEIGKYRAEAQQRSADAIRAAEERFNKANQGGGAAKGTAAQAPSATLVSHQEGGTKQQQEESHGRDSEAKRWLASDARERCNRAMGTSPAAAHGGKETTKKSSSSSATEQEGGQGHVGQLTRQEEMGHGGVGSKISDAEAARAAVEKHDRSSKQAQSGATHAGQQQGTKTTKDTAVAERAAGKGAEAKEAATEKTQESTVDYTKQAAAKAKDVAASAAGTTAEYAKQAAAKAKDVTLATGGTAAEYARAAAAKGKDVTASTGGTAAEYAKEAARTTAGYTQQAAVKAKDVTVATGAQVAQKAKEVTADTAHRVAEYAKEKAEQGKEAAARAADQAEEPGSEDMARDVTGQRKDAAGDVTHRAGGTAEQAKDKVKDATGSMAQKASAKDAAGGVEQRSRETAAQARDKARDTTSHAEHKAGEAKDRATSYTQQRAGEAKDRASETGGGTARAKVRARALGSS >Et_8B_059025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12498413:12501980:1 gene:Et_8B_059025 transcript:Et_8B_059025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPSTSVAPDPDPGPGAAAAPACPTGGDRGAAAAVREAVATASRRFQHLLDRSTPHVGRRWLGLAVVTAAYALRVWIAGGYYIVTYALGIYLLNLLIAFLSPQVDPEVAEVLGEGPALPTRATDEFRPFVRRLPEFKFWYSIVKAFCIAFIMTFFRVFDVPVFWPILLFYWVVLFTVTMKRQILHMIKYRYVPFSFGKQRYDGKRTASADDLSPPKE >Et_9B_065303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3407162:3408856:-1 gene:Et_9B_065303 transcript:Et_9B_065303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLDIIAQSQADGELYQQLPVMDQSSCGVPDIGDTGAVRWKASHSPFAMTRTPCTTFGHKRAVKYRQAAHSPEKGSCRNAGEGERGGERGVEGEQRPATAEQGRAEPSRGGSGAEARRAGAGTAPEQGHVEPSWGAGGGGGQRMEEPIQFAVATKSNLEDRIFYRE >Et_7A_051436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18434081:18451149:-1 gene:Et_7A_051436 transcript:Et_7A_051436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HPPYKPTYPLARRLTLSYTPPLAIGTDHTHSRVSSDSEHTAPTNATNPAVGPRQPRTAASILTFTAMAAAPVTVPRMKLGSQGLEVSAQGLGCMGMSSFYGPPKPEPDMIELIHHAVAAGVTFLDSSDIYGPHTNEILLGKALQGGVREKVQLATKFGLSFADGKREIRGDPAYVRAACEGSLKRLGVDCIDLYYQHRIDKRVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQMEFSLWTRDVEEDIIPTCRELGIGIVAYSPLGRGFFSSGPKLIDSLSEQDFRKHIPRFQQENLEKNAQIFERVNAMATRKGCTPSQLALAWVHHQGNDVCPIPGTTKIGNFNQNVGALSVKLTPDEMAELESYAAAGAVQGDRYPSMANTWKDSETPPLSSWKSHAPITEATMAAAPVTVPRIKLGSQGLEVSAQGLGCMGMSAFYGPPKPEPDMIALIHHAVASGVTFLDTSDIYGPHTNELLLGKVWFVPEAGELWSLLASSGFVLCAEGVRCNVCEQALQGGVRKKVELATKFGAIFGDGNKREIRGDPAYVRAACEGSLKRLGVDCIDLYYQHRIDKKVPIEVTIGELKKLVQEGKIKYIGLSEASASTIRRAHAVHPITAIQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFLSSGPKLIDSLSEQDFRRLALAWVHHQGIDVCPIPGTTKVDNFNQNVGALSVKLTPDEMAELESYAAAGEVQGDRYPSMGLEVSAQGLGCLGMAGFYGPPKPEADMIALIHHAVATGVTFLDTSDFYGPYTNEILLGKVQLATKFGIGLDANGEREVHGDPAYVRAACEGSLKRLGIDCIDLYYQHRIDTRVPVEVTIGELKKLVQEGKIKYIGLSEASVSTIRRAHAVHPITAVELEWSLWSRDAEQDIIPTCRELGIGIVAYSPLGRGFFSHGPKLIDSLSEQDFRRQMPRLQPENLDKNLKVFERVNAMATRKGCTPSQLALAWVHHQGNDVCPIPGTTKVQNFNQNVGALSVKLIPDEMAELESYAAAGEVQGDRYPSMVNTWKDSETPPLSSWISKFDALSGQ >Et_6A_046503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16238159:16242819:1 gene:Et_6A_046503 transcript:Et_6A_046503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QYVKCGFAGENFPTSVFPCVVGRPLLRYEESLQEQELTDIVVGAACADLRHQLDVSYPVTNGIVQNWDDMGHIWDHAFYSELKVDPSECKILLTDPPLNPVKNREKMIETMFEKYNFAGVFIQIQAVLSLYAQGLLTGLVIDSGDGVTHVVPVVDGYSYPHLTKRMNVAGRHITSYLVDLLSRRGYAMNKAADFETVREIKEKLCYTSYDYKREYQLGLETTILVKSYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMAFRCIQEMDIDNRMTLYQHIVLSGGSTMYPGLPSRLEKEILDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWITKQEYQEEGVACLRKCGQA >Et_5A_040381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18320627:18321199:-1 gene:Et_5A_040381 transcript:Et_5A_040381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLAILAVVAAIVILVVAFGFVRQPSVAVDDASLTRLALMAMTNTAPLDAAYSFEGQPFDRVRLADDGDKHPAGKTRVYRLTSGTDAAAVALGNAGVAEFRKENATGTFELEVKLTGEVKYTARATKCKIEATCPLKLQLATPGEAVTVVFQKVKCKLAKAEKNC >Et_1B_014350.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6418213:6418794:-1 gene:Et_1B_014350 transcript:Et_1B_014350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRRTRPSPAKCIAATLLVMVVILAIVILIWLFLHPSKLRFSVDHAAAAGFNLTSAGALTGAFDLTLRSYNWNERAAVSYRSLEVGVWYDGEYIAGAQAPGFFQPPENETRIDVATQAAPGPLPRDVEDAIKRERTTSGKLTVDVHVRAKARFRYGVVSTRRYTVRASCPAVVVDFAAPTKFDRVYCHVHI >Et_8A_057459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3261373:3264771:1 gene:Et_8A_057459 transcript:Et_8A_057459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRTGAEVVTGDAICRKKCVELLEELGLPKGLLPLEDIQEFGYNRATGFMWLVQGKKKVEHTFKKIKQTVSYAAEVTAFAEKRKLRKITGVKTKELMLWLSVVEVYIADTTPEKTVSYAAEVTAFTEKGRLRKITGVKTKELMLWLSVVEVYIANATPEKVTFKTGTGLSDSFDAIAFA >Et_3A_026554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9667496:9672274:1 gene:Et_3A_026554 transcript:Et_3A_026554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQIPRHQWERFLGASGAIDSAIESAGPGCSRAEHRRLRGHLVAMLRDAAADEEDELAEGIRLLLDDAMAEALESLRLVPVTASMLTATDVAAAVAGLRGHESERTSTRPTKKDMVHPLEIHTSLSMPTSKSEKQRIEEFWKKRKE >Et_9A_063258.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17848818:17849759:1 gene:Et_9A_063258 transcript:Et_9A_063258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSHCENIMPLPYAAGRRAAVQLVDHHRRYRSPTAAEVAPSCPRCDSPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRAKSSAARSHLGSATVSADAGVPFSRRFPGAAVRPDLLLEGMVGGPAGLGAHLQPVNAAEKPDGSAIDLALLYAKFLNHQPSAAVVTESADTSSDTSPNDVLPPDHPFVTSQDGFGHLSAPHCPDSRAEALGELGFFSMDQSCYDSLGFPSSTDDGISGDLMLPSTWRHRPAAKYELFDPLPEDAMGLHHDGGSIAGAVDDVWSHALACQGLEAALCRP >Et_8B_059794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2851566:2853459:-1 gene:Et_8B_059794 transcript:Et_8B_059794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPRSSLRLLEFGLDSSCVLQPITLLKGKAAHTWRSWLSHEANTIRNSKSKKEAVGAARPPRRPIPSNGSLPQLPIQNRPPIPNPHPRTPPQSNSAAHLLSRSTKSREERRIRTMATLQHPQKPAAAPAAPTTTTGGGLRAMDLYEKLEKVGEGTYGKVYKAREKATGRIVALKKTRLPEDDEGVPPTALREVSLLRMLSQDPHVVRLIDLKQGQNKEGQTILYLVFEYMDTDLKKFIRGHRANYEKIPAHTVKILMYQLCKGVAFVHGRGVLHRDLKPHNLLMDRKTMALKIADLGLSRAFTVPLKKYTHEILTLWYRAPEVLLGATHYSTPVDIWSVGCIFAELITNTALFPGDSEVQQLLHIFKLLGTPNEQVWPGVSSLPNWHVYPQWKPSKLETLVPGLDAVGYDLLEKMLQYDPAKRISAKKALEHPYFNDVNKDLY >Et_6A_047878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22108801:22113106:-1 gene:Et_6A_047878 transcript:Et_6A_047878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGSDEGIAAVGDEQVPFLMRENNLGMVADTESSDSLPGSSNATADKPINGPPDQRSQERNPKKNHKAEREKLKRDQLNDLFVELSSMLDLDRQNSGKASVLGDAARVLRDLITQVESLRKEQSALLAERQYVSSEKKELLEENTTIKARISELKNELHTRMGNNSINLSSLGMSHQMANATNPGLATQPMQHQIWSSIPNLSSVAMTHSTNTASPLHSRQHGPAASQVHASRPQELQLFPGTSSSPKRECSQLRSAPASTPSLTDSLPGRLQLSLPQSSQEGSSSVPRSRRERKNG >Et_7A_051402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1787098:1790474:-1 gene:Et_7A_051402 transcript:Et_7A_051402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKAAQLSGRTLERVVVHPLVLLSIVDHYNRVARDTRKRVVGVLLGTSSRGSVDVTNSYAVPFEEDDKDPRIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHALFNGYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVSSKLSALKGLDARLREIRSYLDLVIEGKLPLNHEILYHLQDVFNLLPNLNVNELIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDSKPTAIPTAAGS >Et_3B_027960.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28459529:28460353:-1 gene:Et_3B_027960 transcript:Et_3B_027960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHGRGELMGLLHVSHRPGWDDHAHRRRCRLPVPGEGSPDDAGLDQEVLQRHLAQRRLPAAGRDAAAAAPHLLLDHGLRRGRARPGVRRPLLVDLGPDLVHRLPGQRRRQRQGERPLAAQPAPSVFTACPRRRGGRLLLRDVLLDAAGGGLELLGVPYVGHELVHVHGPEVAAGLAQRILQLLQRQRHDRRLPRQRIRLLRVRLGSAGPRADALALATLVRGDLLLVEDFCALARLRRHDAVRSHPTCNGSGLCSADVSVNAAPLLLFIAYTV >Et_4A_033804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2742226:2748440:-1 gene:Et_4A_033804 transcript:Et_4A_033804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESITERPMADGAGAGIGRTKSEQLAATSLSRTESAETVLSVKSTNDAAANNLSRKSSFGRKRRSASVGGGNNHRTHIRKSRSAQLKLDMDELVSSSAALSRASSASLGFSFTFTGFTPPPRDICSADPMPFSDDESPMDLEAGTRRKKLITEPTLPIYLKFAEVKYKVPAKGSSREILSGISGSASPGEVLALMGPSGSGKTTLLSILGGRTGAGAGAVEGSISYNEEPYCKSLKRRIGFVTQDDVLFTHLTVKETLTYAALLRLPRTMTRQQKEERAMDIIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEILINPSLLFLDEPTSGLDSTTALRIVQLLHDIAEDGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMPYFESIGCTPFIAMNPAEFLLDLANGNTTDVSVPHELDDKVHMVHQNLENTNSKNDCRPSAQDVHEYLVDAYETRVAYKEKKKLLAPLPISDDMKATITSSKREWGTSWWQQYSILFCRGIKERRHDYLSWMRITQVIATSIILGLLWWHSDPSTLKGLEDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAVDMYKLSAYFLARTTSDLPLDLFLPVIFMVIVYFMAGLKATATHFFLSMLTVFLSIIAAQGLGLAIGATLLDIKKATTLASVTVMTFMLAGGFFVKRVPPFISWLRYLSFNYHTYRLLLKVQYDPVPDILTTTAHLDNGATEVAALVAMIIGYRVLAYLSLRNVKASSS >Et_3A_025238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28172671:28176539:1 gene:Et_3A_025238 transcript:Et_3A_025238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAKQVGSCINKVLRFPIRNGYGFVSEHPVLFSLGVLLYLLYRASPGFFSFLLSSSPVIICTTLLLGILLSYGEVNLPESREDHKGTPEISAFKAGNSSGDIHFEANQRLSVPEIRDNTSSYKERETKQTVFVRDRASEHVDLDDHVPLLRRVDGEDERYDRPNIARALTPFPSMVSLRQEGGTGEVLQKESESGMAYGQTSLFDDAFLSGLNSKDTTLGLFSSSEYVNKNVEMEKNLNQERTFPDSTASKEREISEEKQSEGSAGTSKPTCTDYQWKDKDALNIDTSNVVEDNVLDSSLGSPWARVSSQDGSSGFDSDGAESSSPDASMTDIAPVLDEIDPLLGANSSHPDPIPEDDSDTDSHVSEDHLIDDDSNDEGDEDDAKNNVEGKKKDDGREAAFLWTADDEKNLMDLGYSEMERNRRLELLMARRRSRKNIRFELDSDLIDMDNTGAGRSIDDLSHFRAHVAPISVPRRNPFDLPYDSEEAIPGSAPSILHARKNPFDLPLEQPNDSGTHVHDSSNSGESVTSSHRDMFFRRHESFNLGRTDATQERRFSRLKPYFVPETVEWSARNFQRQSSDKSESKLSSVTESDVASSVADQEVHKDHDEEDSHMEHGSPSLLRQDSDLTDVGSECSDGINSVDVELDNSDIDDREIALQHFVFERSQERKAYLASAKGKGREEDDAFSSDGHSTMSFHPVPDLFSWEDGDDDRILGAKPSFEPNTEVECSEWVSSSRPVEEGELGSGGLPSYIDTEVASSSNTVVLGARNPSEKDRNHDLMSYPNHEMPSDNLVHGPMELPSEFANETLPVISRDLHPIPEERVVENFNMQEKHEASIFTDSAAALTGLHVIEEHFDVGSDGSLSSEVISSYPQANDVIQPPSSGPAEVSNPFVSMATVVKMVEVGDMNQETAAEYVLDSDDEAGKVYPEPMEDSGIDESFLSELDAVGDFSVEPMGLDQQTPDRASHSDSLADGNSVAADSVISPQTSDISLTMSEASALDSRERSPLVDDLTGPEFSWSFGASHGDPEQTVYNPRRRILEGSPLKATDTELKPPNSEMEMLSDDTQPAAILRVSSSDPEITFLYANSLEDIDNAFKVVSDGVVAEASTDLHTSHIAGADVGSEPKEVAGQLHVIDAKSVDDLHAALEEHTNSVVNRRSEENENKDGYGDIAESMERDTAEPIQAESQLNVGDGWEAAEQVESTNNTNSSETKTHNDFDAVSKKLHDGSVNSTVYAIEPEKEKEESE >Et_2A_014502.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:17576431:17576748:-1 gene:Et_2A_014502 transcript:Et_2A_014502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTKEGEMWSLGGGERHLYLELSGLHGDGDADEEDAEHSNGGVAAPVNGAAVGPARHAPHLLAEVAAPVAAAMISAHRRRCRCRRRLSCAFDSIRSGWSCGCAEQ >Et_4A_032768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13589676:13592868:-1 gene:Et_4A_032768 transcript:Et_4A_032768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRLGRRVIHFANLPLKLMLPPAPLSSVQEFAVRTVPSASKVDIRRCLESVYGFDIAEVRTLNMEGKKLRRGPFLAAKPDFKKAYVTLRAPLTVSPDLFPIGAVLGERERKASAAAAKRKTVEGAEVGGGDAKGKHWMEDEKEEFSRAGHGKVVYGNPGRLVRKTRGGGKKVKPAEEGAKFPWSGVKLATEKPRRVRHSPPRKKGGIVLKQKSQKVSLQRRSKKQLKA >Et_5A_042452.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:11952557:11953798:-1 gene:Et_5A_042452 transcript:Et_5A_042452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQLALLLLVALASATTSTLSRGAGDDDADGGVIRQVTDRPPGLLPEAQFAAFVRRHGRRYSGPEEYAARLRVFAANLARAAAHQALDPTARHGVTPFSDLTREEFEARLTGLHARRGGMNNMMPAGAAEEEAPATAAEVARLPASFDWRDKGAVTGVKMQGACGSCWAFSTTGAVEGANFLATGKLLDLSEQQLVDCDHTVIKSQLPCPSSILRHRPTELTLQISTPLFLNCQCGGAAEMQGCNNGCAGGLMTNAYTYLMRAGGLMEQAAYPYTGAPGPCRFDPARAAVRVANFTAVAPNSGEEAQIRAALVRRGPLAVGLNAAFMQTYVGGVSCPLVCPRAFLNHGVLLVGYGARGFAALRLGYRPYWIIKNSWGEKWGEQGYYRLCRGRNICGVDSMVSAVAVAPPPS >Et_1B_012764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3515296:3516071:1 gene:Et_1B_012764 transcript:Et_1B_012764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGKAAVSEHGETSKAAAVNVVAGKSRAASRGLAVLDLILRVVAVVATVGSAIAMGTTNQTLPFFTQFLRFKAQYDDLPTLTYFVVVNSIVAAYLVLSIPLSIVHIIRSRAKYSRLVLVFLDAAMLALVTSAASAAAAIVYLAHKGNARANWFAICQQFDSFCERISGSLIGSFIGMALLVLLIILSAIVLARR >Et_7B_053605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10193982:10211961:1 gene:Et_7B_053605 transcript:Et_7B_053605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNWATTVAFVSAPFFFASWFLCFMTLTFCFTLLLQQKIVIQVSMPSEKSRSKAMELVAGANGVISVGITGDSKDRLEVVGDGIDTVCLVQCLRKKIGHASILQVEEVKDKKPEEKKPEEPKIEYWYPGYYYHHHNPQEPWWWQWRVSMSCEKSRSKAMALVARADGVSSMGVTGDGRDRLEVVGDGVDTVCLVQCLRKKVGHAEILQVEEVKEKKPEEKKPDEPKVLQQLPYYYHPGYYHYHLPPPWCLTFRILKLIVFSSSWFLQQKIVIKASMPCEKSRSKAMALTARAHGVISVGITGDAKDRLEVVGDGVDSVCLAGCLRRKLGHAEILQVEEVKEKKPEEKKPEEKKPEEAKPVVQLYDYYYHPSGYHHHHAPLPMVQKIVIKVHMTCDKCRKKALGISAAAHGVQSMGIEGDYRDQLVVVGDGVDAIDLTSCLRRKMGSAEIVTVEAVDDGAKDCDEAGGGGRGRVAAAVVPSSSRLLLRQAGRRLPVRRLLLRRRLPVQPGFVVHYHQKIVIKVHMTSDKCRKKALGIAATANGVQSMGIEGDDKDQLVVVGDGVDAISLTSCLRRKVGSAQIVTVEAVGDGGAEKTTKPEAEAAASAAAEYYPADYYHYYSHPPVVYPYAVHCYDDGFHCHADSWCTILVKTGSEKGAHCKAIKVAAGIQGVESVTIAGEDKNLLLVIGVGVDSNKITKKLRQKVGPAEVVELRTVTAGAEEHAYRYHPSPSPYKHQQAAVARDHYYAGGGYPRDNYYAGGGSTYQPPTMAAGTRDYYYAGGGGGYPAPYHQQQQHYYQAPAANTHTVVHHGYANDPNSCSIM >Et_6A_046217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:156456:159567:1 gene:Et_6A_046217 transcript:Et_6A_046217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNVSTNVNLDGVDTSAILAEASKTVASVIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAGVASILESKLSIPKSRFYLKFYDSKARRNYNFFDSLRLRLERHDLLDEVHGFVE >Et_4A_032839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14575835:14577688:1 gene:Et_4A_032839 transcript:Et_4A_032839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKLQIKLNANRVVVGTLRGFDQFMNLVVDNTVEVNGNDKTDIGMVVIRGNSVVMIEALEPVAKSQ >Et_9B_065718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9680255:9684704:1 gene:Et_9B_065718 transcript:Et_9B_065718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPCDGDGACMACRAAAPPEVELIRCSTCATPWHAPCLSDPPALAVAALWSCPDCSGDSSVAPVAGGGLVAAIRSIESDEALSDQEKARRRQALLAGAADGVDDDDVLGNDIVKLLGENICCVFCLELPERPVSTPCGHNFCLKCFQKWIRDGNNTCGKCRAQIPSQMAQQPRVNSAIVQVIRKAKIATTANSASSAAPRHYVRNEDRPDKAFTTARAKEAGNANASSCQIFVTTAPDHFGPILAVNDPRRNIGVLVGQKWKTRLECRQWGAHLPHIAGIAGQANHGAQSVVLSGGYQDDEDHGEWFLYTGSGGRDLSGNKRTNKDQSFDQDFTKLNAALCLSCLRGYPVRVMRSSKEKRSSYTPESGLRYDGIYRVEKCWRKISVQDNYKVCRYLFVRCDNEPAPWTSDAHGDRPRPLPKIKEELVATNITERNDCASWDYDHSLRFEFGCSICGKVITEPLTTPCAHNFCKTCLLGAYCSQSSMRERSRNGRTLRAQKIVKKCPSCPTDICDFLVNPQNVNQ >Et_1A_008082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4274502:4276580:1 gene:Et_1A_008082 transcript:Et_1A_008082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVASSPEQPLLRPPPPSRASASSGGSPSPSPPAAARPSRLAGLIGRAAGRRGPSMLVRETAALQLERRRADWAHSRPVVALDIAWNVAFAAAAAAVLASSAEERPVKPLRLWLVGYALQCLVHVALVCSSTRRGPAPARGSSSDIESAADAADSGVDSSDSDREDDEHRSSFSSRCESLNTMISFLWWIIGFYWVVSGGEVLEYGAPRLYWLAVVFLAFDVFFAVFCVVMACFIGIALCCCLPCVVAILYALAGQEGASDADISMLPRYRYSFPSENGPKGTDEGVMIPILNNSGTSTSERILLREDAECCICLSSYDDGVELSALPCNHHFHWPCITKWLRMHATCPLCKYNILKGSESA >Et_7A_051443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18670634:18671964:-1 gene:Et_7A_051443 transcript:Et_7A_051443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLERPPPHVICMAYPAQGHVTALLKQALHTRDAATCGVHTVPRAGPHHADAAGGQAAPRAWLRRHLRQHRVQPPAPAQVPGRRFAAIPDGLPPSDADATQDAPSICYSTMTTCLPHLLRLLEKLNS >Et_9B_066198.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:6703468:6704736:1 gene:Et_9B_066198 transcript:Et_9B_066198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQRTMARCREATPPRAQRLGKAAGRWAGDDVFPAERYFNGDDALWCSSSSFSSAFRTAAHEHGRSVAATATAATSSSEASWNSRSALLPDGKVVSVAMAPAPSMEAEQSGIGGERRRGKPPPPPSSHLRRWILGVAGCACVGGGDGEDSVSGDDDGGECRDEVEDDNVVGVGGQKELVTDEAGELFERRGESEELVGEEAIAVRVKPGRWLDDGDEFLAEKAAFSPVQPAPCRAAISGGFSTPFFNPATTTAVLHKQRRNSSEVPGPLGDNRSALVSTKQCSAFRIVAGNTARGGALHAAAAASKGNTAAPCISRCDTSSENDAAPSELGCAYPPSEASVVWSVVTADGVASCNFSSAASGYYHHHINGGEDDAGRRNRRRRSGIANSGGLLTCMGDKAVDAVGAAAVGARHGGRNSART >Et_1B_012841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35491602:35493003:1 gene:Et_1B_012841 transcript:Et_1B_012841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMDIPEEVTVKVAAKVVTVEGPRGKLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRRTMAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNANTAIEIRNFLGEKKVRKVDMLDGVTILRSEKVKDELILDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGPISDEQ >Et_3B_029528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25793104:25796086:1 gene:Et_3B_029528 transcript:Et_3B_029528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACLGWCLESDNETEWETLLAPLVFQQAGSKVLVTSRRDTLPGALCCKHSVVHLGYMEDAEFLALFKHHAFSAAELGDQVLRVKLEEIAVKIVKKLGKSPLAAKVMGSQLCRKKHISAWTDALRINNLSEPMRALLWSYEQLHPRMQRCFLYCSLFPKGHKFVMYELVHLWMAEGLVDSFHLSKRMEDIGEDYFTEMVSGYFFQSDDSSCWRYVMHDLLHDLAESLSKEDFFRLEDDNVTEIPCTVRHLSVRIKSMEKHKQIISKLQHLRTVICIDPLTDDANYLFDEILSNLKTLRVLYLSFYNRSKLPESVGELKHLRYLNLIRTSISELPRSLCTLYHLQVLYLRHTVKSLPDTLCNLTKLRYLVWWNAIYEDSPEFFHQIPNIGKLTSLQYLNEFSVQKKKEYKLTRLRDMNELGHSLSVKNLENVTEKDEALESKLYQKGRLRELELVWSSEDGMDAEDTLHLEIIMEGLKPPPQLSDLAIKGYKSGTYPSWLLERSYFESLESFELVDCPVLEGLPPDTDVLSHCSVLHLNNVPNFKTLSCLPAGLRHLIIKKCPLLMFVTKHELEQHDMRGTLVTKDELASKLALILEADSMSKIRESLSEEYSSLKQLATLMDDHISEHLQTMLSALEVGDKALVEENVIKAWLCCHEQRMGLIYGRSVEQLFIPPSGLHHLALSLCSITDGALASCLGGLTSLQDLELSQIMTLTALPSEEVVEHLTKLTLLDISYRYGLKSLGGLAASTTIAALLLLACPCLELDHGERFMPVTLKHLATERCVIGADFFRRDLANLNSVCIRDCRSSESLLVGHLTSLNSFRLYNFPDLCILEGLSSLQLQHLTLEGVPKLTAQGISPVRAQESLHVSSSVLINHILSAEGFMVPPYLSLAGCKDPSVSFEGHTKFSSLKHLRLAGCEMESLPGNLNRLSSLERLTIDSCPNITSLPDLPPSLQRICIWDCEVLKKNCQAPDGESWPKISHIRWKEFDF >Et_4B_038456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29090122:29094291:-1 gene:Et_4B_038456 transcript:Et_4B_038456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSRKQPPPQEGGSAAAEVAEVDSGKGPTEAEGRTVVVGVRADSESRALLTWVLVNVAAAGDRVVAVHVVLASTAEAAAAVDFDSMLGVYEGFCNLKQINLKVKICKDSSVRKALVREARLFEASKVVVGVTKKRRAISSSPSVAKYCAKKLPSKCGVLAVNNGKIVFRRESNAHSGKVSAEVPPCGDDEMYCVVPFQSRQGKRDTLSLDHSTDGAGGDSTHDVGTQDSKPEDTITEEQPISNVDPVELSTDQVQIDIDPSCKAEESTAEPKDEADEGTSDGASIYRSCDSFASTSSRQENDSVNLPAEGDGELYCLLPPRNGHSGRSIGDSKRSTASWKDEKHVNPMPEGDGDLYCRLPRSGRSAEDSPKDTIQNAGTPSDSPMSLRRMIEGRSDRCHLRRRFFGHHRSSSFEWAKISMVQWAMRLPSRYNSVHPDSKSLKSDASPRFNCDSETESTSAIESDSMFSFSVYDVVWPPSELESLREKYSSVCRLFSHEELKLATANYSPDMLIGKGGTSQVYKAQLFDGTFSAVKILKPSVDAIHEFIAEVEIVTTLQHENVVALRGFSFDNYRLVLVYDYMPQGSLDKALHGLNEDKNFLSWERRNKIAIDIARALEFLHLGAVTQSVIHGDVKSSNILLSEDFGARLCDFGLAKQVSPSTPHLTCTDITGTFGYLAPEYFSYGKVNEKIDVYAFGVVLLEIISGRRPITPGSAKGQQSLVGWAKPLLSSGEIKQLVDPLLGSDYDCDEMERMTLAASLCTRTASHSRPEMSLILKLLQGDDETIGWARSQVTASFDGSDEEAATPDSNMQSHLSLALLGVEDDTLSHCSSTERTVDTSADGYWSRSSSFD >Et_5A_041433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22623548:22623997:1 gene:Et_5A_041433 transcript:Et_5A_041433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTHCTLCCLLLVLMLHADHASAVSAGMCFHSRPWVPFCNKWLCKSECKMEAKLLFKSATVKEHWCIKGGFKGKCHCLMCNDK >Et_2A_017741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5751230:5757143:1 gene:Et_2A_017741 transcript:Et_2A_017741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIFVLVHNLLLVLVQDCAAVKNSFLSCVKLFLHFEAIMELQNLRNNGPMHMAAFSKMCFNIHMAAFQDIRFSLNSASMVAWNQVMNKETGDWLLDDELDDFGESYNGPNASSLHWCFVSKLFSAQVVKINSHYGPKQDSKFVSQTEEKMSAPASVVDISSTRSIYNTTVDEALSIHDGSACDCIDQRSLFHLVEAVKVGYMNITKMLMVDVDVNDADPKGSVSLNRFLSSTSCTREPRTVLLLLKNSARISRGRVHDLPPVHSSKDFTAKPGHVDKAVISGNQMQPRGYGFLELEREEGMALAKVHMLRKRMDIKDAVAKTSLPADVQGTTIPENLERELAHSVLCRMCIVPARNGAAKQKIHLTESKIDYKCPASPLTADITNEFPHDIESQHVVSCNDSNTKSQQGNKGSMRNTKSQQDGDSIDSLLIPIFVRHVSGELVLNVNVNKSSQDLMHLYALKTKTKLDFQYFVYNKKRLDPSHTLLFYGVQRDTTIHVCSGLLGGKRDTVAKYVKENKEKFSVVICLPDGNMSLEMTRAGCLFLSTWLKCFTNAFSDSSSWNGNFTMEDFEVVNGHVVLTYAAKQKLGNLTGASMRADMKKLIQYLTNVFFNNKNNLISKYPPYFESLIEFLGSMNAPYLYEIDKLFIETHLCCLESTARGILLVMIRRKYKNLTTKEQIAWDKLIEQNGNGGISYPKFQSLFKIAVFEDIIKNSTNKNKPYTSSKPSTFRMMRDEFVHGPSNRLDKSAKPWKEKYKNDDGIELMLPTKFDKLLPDIIHTLLTAGPRLGVNATYVVLQVQSRDGTAPGRQEV >Et_2A_017309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32996965:32998190:-1 gene:Et_2A_017309 transcript:Et_2A_017309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNICPTRLPFRRMENPVAVKVKQELQDDDEVVVVVQDGDGECWPGGTTTPAPVPLEKLSAAAAAVAPFLAKTFELVEDPATDGVVSWGAARNSFVVWDPHAFAARLLPRRFKHANFSTFLRQLNTYVRNPIQITLLLDSARSARTGGSSRTPTSSPGSATSSPTSAAAAPGSSTSPASGARDVSEVERLRRDREALARELARLRREQQEARAALLDMERRVRGSERRQEQCTAFLARAVANPAFLDGLLERRDVAPLEAGRKRRLLDGAAAAAPDVLAFEEMALAAGAEIDGPALPAAAHGAGGTTADMIWYELLGEEQAEIDVEVEELLAAATDAEATEPWEEMGEEEVQELVQQIEFDCLGSPTSS >Et_1A_008612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9117003:9122049:-1 gene:Et_1A_008612 transcript:Et_1A_008612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGGGLLLPVSADGAKGNGGGGGGAGDTALFKGSAMTRLGAAAALSYMACSVLLVMFNKAALSSYNFPCANVITLLQMVCSTCLLYVLRRLKIISFMNNESSVHSDPLFFVPFKTLLRTTPLSLAYLLYMLASMESVRGVNVPMYTTLRRTTVVFTMTMEYFLAKQKHTPPIIGSVALIVVGAFIAGARDLSFDARGYAIVFVANITTAVYLATINRIGKSSGLNSFGLMWCNGLVCGPSVLFLTYIQGDLRRAIEFPYLSSPGFQAVLLFSCILAFLLNYTIFWNTILNSALTQSMCGNLKDFFTVGIGWVLFGGLPFDLLNVIGQGLGFLGSGLYAYCKIRGK >Et_1B_014381.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7112445:7113008:-1 gene:Et_1B_014381 transcript:Et_1B_014381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGGFRLGRKLLSAWRWALCHRRRRRGRGYLRLQPCRAAADSSPSAAAAPLIISHAKKRDAAPALGSPRMLTWGRSLARRMKLLRHGGGAKERLLEEPAAEATTPKGQVAVYVGGAEPGSESMRYVVPVVYFNHPLFGELLREAEEEFGFEHPGGITIPCAASRFERAAAVAAAGGGGKKVPGWW >Et_6A_047480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6348961:6356355:1 gene:Et_6A_047480 transcript:Et_6A_047480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRDTSMMAQTLQGKSPHYTPSSLFAQEQLSERDLAVFVQKIHQPNSDVRHAIIRRIEACLKGMKEFNNWNSDDLLELSKNIDEKLHRDTQDPIQYIDLNTLYARVNAVLSSISFGGHQYSWLSSAASSAQHLQQNGIPVPNYSNIYHGRIGPGFTNAPSCARDLSTEPVFMSNRYAPMDHNMFAANLALTGRAEVFTNTIVAPCFLGTPQCTSGFRGIVNAELHSGHVKDHCPVCEPTISSRTVIRSSVDSPKTNGHKFATCVGVNFGSKEQAFQQYTESHEMVYLGDFTKKRLYPKGEHGVDQSIDTKEKYWRMTDFGSCDPVREIVEISEQTSNSTVSKQASPVSDESSGKDRPAKRLKADPHNPAHLNLVGSPEEQKPTVSGTHVYGETVHLDNTELPTKSPCCSSMEDSNTDINKILESGGEDVHNMEAARSLETRVSGETVQSENTELPTKSSCCSSMGDSCDDTDKMLEPSEDVHNLETFRSPETCAQTEEKLHYANCETATLDQTPRGLNTNSRKKRGASILYALTAKELKDHMSSLNQHICESKVSSEEFQSTEGLPDQNTCNLCGMERLLFEPPPRFCGLCFKMINSTGCYYVAVENGIDKISICSKCHHLSSSKGKYDKRFNYAETDAEAEWWVQCDKCKAWQHQICALFNQKIVDEEAEYSCAKCFLKEKDSGDIHSLESSTVLGAWELPRTKLSDHVERRLSERLQWDRQQRAIGAGKGVEEVPGVEGLTVRVVSSADRVLQVQPRFQEFFKEKFPRDFPYKSKAILLFQKIDGIDVCLFAMYVQEYGSNCPPPNYRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILIGYLDYCKKRGFVSCSIWACPSTKRDDYVLYCHPTAQKMPKSDKLRSWYQNMIKKAVKEGVVVERNTLYDFFLQREIKADVSAAFLPYCENDFWPGEAEKLLEKKDDDTSQKKETQVGRLLRVAKRDERTGNVEDMLLMHRLGEKMRTMKEDFIMLCLQQFCKNCHQPIVSGKSWVCTSCKNFHLCDKCHAKEQNMAPKDRHPTTTKQKHIFQRIAVEPLPETDDGDPTMESKYFDSRIDFLKHCQDNQYQFDTLRRAKHSTMMILYLLHDSACSACHQGMDHCLAWRCQVCLGCSFCDPCYKRSGQSLHIHELRQTDNHKSLQKNTLLDYLEGLVHASRCFDPRNCSSRICVALKKLFFHGVRCDIRARNWGGCMKCVFMWKLLVSHSRVCNQDNCMVPRCRDIKVFITKKELAGPGRTAHEKSASCIL >Et_4B_037309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1820168:1822563:-1 gene:Et_4B_037309 transcript:Et_4B_037309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGLLFCASFDPPPLPAPGATTSQRRSGSPDSVLLDKVAFLADRRNGTTAEGFGRTGQAVQVSFWLADPPGLSHLCVHCPGLNKDDFAGEPFIVCAEKNIVILHVCFSFGPKDGASGKGLREYFVYRAHHENPSLDLLPNPSPYTFEPREFGLLPCFGGGLDFLIAVLRPKVLTPDAYDLHVFSSKTWTWSTKLAILAPRTWGQYLIHSTDKVITLPRDTLGFVDLCNGILLCSMCEDTPDVRYIGLPEPIDRRRNTEENPSVTRDVTFSHGLIKFIEIAYRKRQVPTNALIKCNDMCSTIGIGTNNIYDGWDAVTWNRSIFSDLWVMDCKFCVDDITINNPRHLDTLPDLRGNHSRKAMLNRQLVLSTPTLSMLDEGVCHLMCKGENMGKTAWILAINMKKKWLEGLASFSADRARYFCPLYHSCVLSKYMSMAPHISQVGCKRKRRDGAKILPVDTTILIHGLDPWTTEDQLRDILSTFGELYILRMSVPANQQYASVQFANRSCAEKAMQLLNGAICGRLKFALYWGSGTPKKQLPRPKISKWHADAISYRPQCY >Et_9A_062890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6269756:6274466:-1 gene:Et_9A_062890 transcript:Et_9A_062890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSISQIKRLLGRKFSDPELQSDMASFPFRVTEGPDGFPLVHVRFLGEERTFTPTQLLAMVLSNLKGIAEGNLNAAVVDCCIGIPVYFTDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVSIVGYKKGQLKMLSHSYDRSLGGRDFDEALFKHFAAKFKEEYKIDVYQNARACLRLRVACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKREEFEQISAPVIERVKGPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVTEGFPFSIALSWKPDSQNNTPHQTVVFPKGNPIPSVKALTFYRSNTFDVDVLYVDTGDSQIQQKISTYTIGPFQPSKGEKAKLKVKVRLNIHGIVTVDSATMLEEEDVEVPVTSANEVPKDATKMDTDDAAPSDPASGTDVNMQDPRSTETAEGAAAAENGAQDTEEKSVPMDTDTKVEPSKKKVKKTNVPVHELVYGALEAAELQKAVEKEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLYDKYSDFVTSEEKEGLVAKLQEVEDWLYEDGEDETKGVYIAKLEELKKIGDPIEVRYKEWTERGSAIDQLVYCINSFREAALSNDQKFDHIDISEKQKVISECSEAENWLREKKQQQDALPKYANPVLLVSDIKKKAETLDRFCKPIMTKPKPAPKPQTPPPPPQAETPAQEPRTPEQPQNGAAAAGEPASEGGAQEPAGEQMETDKPDNSAEAAA >Et_3B_029200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2369804:2370876:1 gene:Et_3B_029200 transcript:Et_3B_029200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDRKRLYQVWKGSNKFLCGGRLIFGPDAGSLFLSTVLISSPLVGLCFQCITKLNSDTPDKQVLGLPVLIVTILLGLTNMAFLLLTSSRDPGIVPRNARPPELGDDEAAAVNDDVATPSTEWVMHAAANPHLRLPRTRDVVVDGGHVVRVKYCETCLLYRPPRASHCSICNNCVQRFDHHCPWVGQCIGLVSPSVLQQRNAMQYAMRDL >Et_7A_052801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16827332:16830722:-1 gene:Et_7A_052801 transcript:Et_7A_052801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIPAFGDWENTGNTPYTQKFEDARKNKKTGIPSHPNDQGWHPEPPRKSPLHQSAYKPDPRDQGLKNSPHATRVETDQRRHSEHPMHHESAPRRQANPHREQGGNASTPRSPYRAPAGPASPMQPNNQAKPKHRSTGMQTPERRVSYEGHGQHTPGRSRMKPSDQGYEREEEVVVPPFGEWDDANAASGEKYTGIFNKVRDNRLSPPPSIGQPSRGHGQENQVKQCLGK >Et_4A_033469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23029125:23030148:-1 gene:Et_4A_033469 transcript:Et_4A_033469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGTGSAMAHRAVDAVMGPRTIQHETVVSEAAAAAPAAPAMSTDSCNIHSKAFQDCINNYGSDISKCQFYLDMLNECRRGAGASA >Et_4A_034548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4223097:4227868:1 gene:Et_4A_034548 transcript:Et_4A_034548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHKRGEEEECRVALLNGDVKKEEWQVVSAGGTLGRRVWEESRKLWVIVAPAIFSRVVTYGMNVITQAFAGHLGDLELAAISIANTVVVGFNFGLMLGMASALETLCGQAFGAKKFHMMGVYMQRSWLVLFMCAVLLLPMYFFAEDVLLLTGQPPELSAMAGKVSVWFIPLHFSFAFLFPLQRFLQCQMKNFVNAAASAAALCIHIFVSWLFISHYKFGLVGIALTLNFSWWATAVMLFAFVSCGGCPDTWQGFSVEAFAGMWEFVRLSSASGVMLCLENWYYRILILLTGNLKNAAIAVDALSICMTINGWEMMIPLAFFAGTGVRVANELGAGNGKGARFATIVSSTTSLVIGLFFWVLIMALHNKFALIFTSSAVVLDAVDKLSVLLAFTILLNSIQPVLSGVAVGSGWQSMVACVNIGCYYLIGIPMGILLGWLFKLGVLGIWAGMIGGTAVQTLILAIITVRCDWEKEALVASTRMDKLSQVR >Et_4A_035743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4975823:4977228:-1 gene:Et_4A_035743 transcript:Et_4A_035743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAASTSASPATPTSILICREDGNDIFLDADYGADFSVAGDHRLLAVDQDDEYVAVLLSKESASPGVGAPEEQMEEWMKAARSGCVRWIIKVVRAFFPHSPRSHCCEEGEKNSIFSRDPEFVVWWLQTAAMFRFGGKTAYVAVTYFDRFLAQRQVDRGKEWALQLLALACLSLAAKVEEYRSPRLSEFPLDAHDFDSASMLRMELLVLATLQWRMIAVTPFAYISCFAARFRQDERRAIILRAVECVFDSIKEMSSVEYQPSTIALASILVARNKATSANLDELKAILGSSWPQLDTGHVSSCYSAMMIQEDKSSMHSAEVASSGLSVAAHVGSPDTSVNANNAAPATPDNKRRRLRSPQRQ >Et_3A_023303.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:19738202:19738363:-1 gene:Et_3A_023303 transcript:Et_3A_023303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDGFMDGSEMFLKAAVLCQHRPTRVQFLDLKDRDARIGFINFVWSNRDARI >Et_3B_029123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22195167:22196657:-1 gene:Et_3B_029123 transcript:Et_3B_029123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNKATKQEKNNRGIGRMFKEQKSRLRVAYHFDTFDRHICQNAIVNLTCIQFRSKKTGLSISVRFPKTQTGLPFGLSCERTRLYNTVLYYCAPKSKHLTRKLKWRCSECGAAPCAPTPPDPPCRRAASAPDGPARLDVPPCGVANAGSPAPFTPPRARARLMHQARGERRAAYATTAADRRTAKAWKHVPDAGNRAPGLSWAR >Et_10A_000281.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21002609:21003022:-1 gene:Et_10A_000281 transcript:Et_10A_000281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPNVLPTFMSTSGFEVPHLSLPFVPPLSLSNLAPTPVLAPVASPTTPFSFMDILRGGLFDNQSNNFYGPTTTGHSNGMEMLMPPSFSFDIKQQHGVIGDHHEGVRGTIEGGKWLMTQHEVNNGGGGATRSEGGKE >Et_3A_024385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20315722:20316682:1 gene:Et_3A_024385 transcript:Et_3A_024385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLFFRPIVQSRSQNENLTCRRCRGQLPLIDSQSAPHCVGQIKHWAMRDLARRYGPVMLLRIGEVPTRGCAAEAARVVMRRRDVAFASWPLSPSSAGRATSRSRRAGCSAFLPRHPRLARRSLRPEASRLRTTVEMPARLADTTVRVVTLRLHALLPPLAAPAGVPRRVLGYDVLRGATDRACERLGARPRRTVLARGGGPEESRPERFEAAGAGANFELLPFGAGRRTCPGMAFGLASRQCRARALSSLPFHFANGVADPAELDMTEAFGNHRASEGQPPAPPRPRRARARRLAPRAVVEGLLG >Et_8A_056201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14826665:14827717:1 gene:Et_8A_056201 transcript:Et_8A_056201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARPAATNLLYDQVELTWGQDHSFFFMDGDEDTLALCLDEANGSGFASKESYLFGRFDIDIKLVANNSAGTVTTLYMPDDVQWEYHDEVDLEFLGNVTGEPYTLHTNVFANGKGGREQQFRLWFDPTEDFHTYSIVWNPKHVTILVDGVPIRNYKNDQTGKTPFPTWQHMRLHGTIWNADQWATQGGRIKTDWTQAPFFAYYRNLRVTPCVPSPGVPWCGAEPPESTRFEEGMDATALQRTREKHLLYDYCRDLKRYKDKELPTECTQD >Et_1B_011117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17789016:17790118:1 gene:Et_1B_011117 transcript:Et_1B_011117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPAPCGRHHHCRRLISPPAARPATRRAACGAYASLRATPSPTVGREAAVARMPRLAHRDVMLSLAREAEARLGARLLPSQVPADAADFSDDGGRALGSVDVRRGAPGSSIDFMLEAWFHRALPGGDGRAIDITSLIVFLNGATDAPRFLMELIQGGPSSLVLLLDLFPRRDLPLHPGYIDTYYAATGVDEHRRDIVERVPQVRPYVSPSLLVRSLWSPTAVVVDVQCGEGGAAELDEIVRGQIATSAAAVLDVWIERCAGSVVEMDAAERERLVARDKMIATTSVELNLSANLPKMFSADVSGRVVAEISKAFVGSS >Et_6A_045795.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:1183754:1184215:1 gene:Et_6A_045795 transcript:Et_6A_045795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IRHRHSASIAPRPQHPLDLPLHSCLCSQATAQGSAEMKVPRGRAIMSAMLLLHLLLCTASTEASPTPTILSHGNNAEQGWVLHRPGARRLLRLHSPAATTAPNTKPNVHVKDNSVHQAMTAPANAKPNVAFNASMRPAPRSKSNPRQN >Et_7A_051128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14790554:14794788:1 gene:Et_7A_051128 transcript:Et_7A_051128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEREPLLNGGGNNAAAKGSSSVGALPSLARTVLKFLMWALFLTWAAGIFLYPTKPAQAVFRNLIVGLTRESLFGITGGIFLAFSSPILIIAALAYVYISFFPSDHVENKKLRSLSFRLWTFPVLVDSPFGVVSAVEFIGIVLFIVYVVYSMTYYAVESVSLVSEFHLPKSFESELILEVIGLRLGSLGLFCMVFLFLPVARGSVLLRLIDIPFEHATRYHVWLGHLTMALFTLHGLFYVISWSMQGHLLEEMVEWKQIGVANLPGVISLAAGLLMWVTSLHPVRKRFFELFFYTHQLYIVFVVFLAFHVGDFIFSISAGAIFLFMLDRFLRFWQSRAKVDIIAAACRPCGTVELVFSKPASLRYNALSFIFIQARELSFLQWHPFSVSSSPMDGRYHISVLIKVLGTWTDKLRSIITDVQEQNKSDSESQSGRITACVEGPYGHESPYHLMYENLILVAGGIGISPFLAILSDIIHRIEEGKPCMPKNVLVLWSVKKSKELSLLSAVDAQTIRSSVSDKVRLDIQAFVTQESVPPLEDGIVGDDEKVPGMFVKNGTTMSGLVGTGDNFWAAMYFLASTLGFVLAYALVQVYYVKPHNVVAWWYLGLLFVLCMVAGVALPGGLVVLLWHLSEKRRLEDDRWDAASSQSPRTVDGDDDAPSATVAGLRTTRYGCRPDFEAEFAAFAERAGDAADVGVLVCGPVGLQTSVARECRARNLRRGGGVAKSASRAVFHFNSHSFDL >Et_3A_023947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14990974:14997225:1 gene:Et_3A_023947 transcript:Et_3A_023947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDGLVVVSDPYLQRRFTQTDLRALQAQYAALRDASPTGRLRLRDLPAAISTLRTGAAKGVGGDADAEKENSAPDPAPPPGPGLTDEEWASVLKAVARADERPHQDASFELFLRVYAEMQLRLKAGPGPGKKPGGGIARSSSSSAAAFLTAATTTLLHTISESEKASYVGHINAYLAEDPFLKDGLPIDPATDHLFHITKDGVLLCKLINLAVPGTIDERAINTKKVLNLWEKNENHTLCLNSAKAIGCTVVNIGTQDLAEGRPHLVLGLISQIIKEMEELMSLSPEKILLRWMNFQLKKGGFQRTVTNFSSDIKDSEAYACLLNVLAPECSAKPSAMSVKDLLHRARLVLEHADRMGCKRYLTPKDIVDGLPNLNLAFVAHIFQKRWVLLQVIDKISPGSVNWKMANCPPIKLPFRKVENCNQVLKIGKELKFSLVNIAGNDIVQGNKKLILAFLWQLMRYNILQLLKNLRFHSNGKEITDNDILAWANKKVKDSGKHNSRMESFKDRSLSSGTFFLNLLSAVEPRVVNWSLVTKGEKDEEKQMNASYIISVARKLGCSIFLLPEDILEVNQKMMLTLTASIMYWYLKRPTSYSLDSENGSLCETSSTSTSDDSASESSIDENGAR >Et_6A_046649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18738918:18740504:-1 gene:Et_6A_046649 transcript:Et_6A_046649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAVIRLPRPGLALLASSTATTTSPCLRRRARAGVGRLSVVCRAGGDGEQEEAEGSPESLFARELRRRGMAPGAAPSDAAAAGEKQAEEGGAEAGRKRGVAAAAAGFERGAAAGGGADGQRERSMALNSEGLEGLIPRAKLLLSLGGTFFLAFGPLILVTVSLFAGLYLYFGPSFVHDASKTPISPPPYIDPYELLEDERLTRPSPDVF >Et_7B_055451.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:1155668:1157110:-1 gene:Et_7B_055451 transcript:Et_7B_055451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAIRVPTPGQLDGGGAVAAPSCPDRPEAAVQTQTQTRRRSPRGCLRPRRPCPWWARSSGSCARATVWSRRSVTCTRGTAPSSASASSPRGRPSSSPPAIAPFRVLNSGQTTVSSAPYGPLWRSLRRNLTAGVLSPSRVANFAPARRWALQILLSDVRRRSKEGRGGEVVVVVESLQLAMFSLLTCMCFGQRLDGRRVLREIEAVRRELFASYISFQVFAFCPALTTRLFRRRWNKVLSIRRRQEELFLPLIRARKHLVLVDSSNNGVQDRESRLAYCYVDTLLAHRLPKEEGERALTDAEMVSLCTEFLTASVDTTVTALQWIMAKLVKQPDLQSKLLAEINSVVADDAAIAEEEDPRRMPFLKAVVLEGLRRHPPAHFLLSHAAPEETTLDGHRVPAATPVNFSVADVSLDEAVWDRPDEFRPERFLSGGQGVGVDLTGTREIRMMPFGVGRRICPGLGLALLHLEYFLANLVRDFE >Et_1B_010649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12556417:12560708:-1 gene:Et_1B_010649 transcript:Et_1B_010649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVWPRERMLRCLYTQRRWAHRRGGFVTGGTGWSKPPPPGLGPAPKKSDWWAVDGEMHEIGDGVPHRERFAIPRDNLPNRRRKQMREQFMRRTRLVLKDSEHETWCKKYMELYQELRENWERLYWDEGYSKKIAEDHANYDSAEEDDEDFSPYSRRRRSNIEPNREFGFVANNQGETWERVTQIRDKFEYDRERRIRERAFAPMNMENNFGRNDQKFRSHDDSSFASTNMDRDFGPRVPSFGNHSDRSSQYDPSFKNERDSNSHSDPSLRNHHYPDFRSHHDKRNHSFDD >Et_7B_053205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11570107:11570748:-1 gene:Et_7B_053205 transcript:Et_7B_053205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GPLAPDAARELDVLGHDGDALGVDGAEVGVLEEPHEVGLGGLLQRGDRGGLEPEVRLEVLRDLPHQPLERQLPDQQLRALLVLADLTQRDGARPEAVRLLHPAGGRRRLARRFRRQLLPRRLAAGGLARRLLRAGHRSWSGGARRLDGFVRWSLNRRIEMDGSGGAASGGNPRDGW >Et_9B_064753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18372932:18375565:1 gene:Et_9B_064753 transcript:Et_9B_064753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLPTAEAEAGSGAVDVSAEGTLVWLRRPNGTWWPSIVISPLDVPDGCPAPPRCPAVPIMLLGRRDGTTFVDWCNLERCKRVKPFRCGELDFEQRITHAQAIAASRVHYKGKYARMEDAVLQALEIERARALEEGTHAASSPDPKIKKRKTPNDSEDDAPSKGSRRMRDLSDFGSPMDLANAAAASALNYHLPSVNQAKRSKLTHASAKRKYATADQDQPCGTSRKKDRSRPLSELCNGDMWNGFTSNGQTADRHPMGVGASSSGSSGTSSLGATLDKTCSQRSLMLKTDPAKGTEISSMNGFHSDVSTHLDDGVKPPLAAATILGADHLLTCQPCVSPKHPAWKHHKQTADCSKAGMSPLGEHGNFKVKNIGSVHQEGNNRTVNLKGHKHHKIKAVKHKAPRNEVVHSEEKPAMLTLNKPGEPGNDMHLAVFPADLDCGGAVQPQHSERKRDLGESSGTLSNISNCGNDSVSSLVFELPLQMLPPPESALDLERHCAVKPTKTLQLNSTLHDVELSARGTHNNGRRVPLVSLMSKWNHKPVVGYPISVEVSDDVFHLLLPNTDVHQPATSAADGLLKKGKAEGPPSSHARRAKPKSRRKTTGKEVDKLWQPHTKKPASSPRKMRRLSSFASSQRDGEAGKSMVGKISGPTIACIPLRVVFSRIKEALGSPVK >Et_9A_062527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23745225:23750681:-1 gene:Et_9A_062527 transcript:Et_9A_062527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAASSESAAASIGGGDHLREHAHLTSCIHLRHHAHGGSGRRRSPTESSASASAALMRDLLALQRSRSLRDPSTRRSVDSASNRVAAEPELLDADQPTRVAGGGALKTLLDQLAADNSLQPKPARRPRRRFKRGAGRRAPAPAAAAGRAAVSVNSSSQEAVCGNKYLFGSGTNDGAESLHQQQPVSQEPRNVCGIPWNWSRIHHRGKSILDMAGRSLSCGLSDPKSSAAPGAPRSEAGATSAGSCGGGGGHANGSHPHHYPVTTARLTSSTSSDSDSLPLLVEGRRRRRNGGVGGVSSSFSGELGIFSKSSDLDSDLASEARSGQSRSHRSRHRSLTQKYAPRTFKDVVGQSLVVLALSNAVLKRKIGLVYVFYGPHGTGKTSCARVFAKALNCHSAEHPRPCDSCTSCIAHNLGKSRSLLEIGPVGNIDLDSIVDILDNVMLSPAPAQHRVFIIDDCNTLPPDTWSVISKVVERAPRRVVFILISPSLDLPHIIVSRCQKFFFPKLKECDIVNTLQWISTSEGLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISMSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLREITETGVEPMALMSQLATIITDILAGTYPFTRGVRRKFLKRPTLSKDDMEKLRQALKTLSEAEKQLRVSNDKMTWLTAALLQLAPDKQYLLPSSSMSTSLNQGRHTRAEGDIPRNSAAGRSDIYGSHGLPTTSDLGNQQHRNVNIGVGSYNNMASNYHGGRMPREHTPDSHLLSMSTTRVNEESKYSKTDSEMIWQAVLENVQSDSLRNLLAKEGRLISVSLGTAPTVQLIFSSCVNKSKAEKYRGQILRAFESVLSSAIILEIRYESKDDLTAGHAPVISPYPEDDSSNMVLRRSFTRHSSVSSGGENLIRRLQQDSVAQVASSNQTRWMQSDPHILTEGEIIEVGSQMDWNAEPDNGIVTTSKGRHESVWGECLSSQDQEVPQGGKYVNKELVRQKNIVRGKVSLAHVINQTEASSQQGGWSRHKAISIAEKLEQDNLRLEPRSSLLCWKASSTSRRKLSALKIRARRSRALSRLALCGRCISARSPR >Et_1B_013054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5055734:5059529:-1 gene:Et_1B_013054 transcript:Et_1B_013054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPRLLKEPRHEALCTRRKKRKGMSSSWTDNQNKLFERALATYDRDTPQRWQNVARAVGGGKSAEEMKRHYEELVKDVQDIESTGGRQGSHYSGSGGSSSNGNTSGSSSGDK >Et_1B_010134.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25432952:25433137:1 gene:Et_1B_010134 transcript:Et_1B_010134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVDLGIWLIPLTLVFVPCRRIVILLSRLEELRRSITRPRRTTADMWSRFATLNSMAFMV >Et_2A_014945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22660263:22660649:1 gene:Et_2A_014945 transcript:Et_2A_014945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELNLELTLLHRSVSPEPPGFFVCMYCDRKFYSSQALGGHHNAHKYERSLAKRRREIAAAMRAHGAAAADDKRAKMDEKQDAAPVPWLMSNKKRSSEHGHGVQRADELDLSLRL >Et_5A_040697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11201974:11203552:1 gene:Et_5A_040697 transcript:Et_5A_040697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNMKQLQEALVDIETDAEQILLARHQLVENDKVRNANREALTALRKMARTTETSVPSPFEVIMKEMEGSSGKPLIKEICPTCGDHDPKEHTWLMFPGSDIFARVPFHVAHTVLEKDQERLDFDTKKLQSFVKDKSLVIAEKGALAGSVGLDTVKSFVNLTDKPKSAQEIGDMGQSPKVKYQLELSAAAATAYMPRIICILDVDVKFTL >Et_9A_061946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18165605:18167378:-1 gene:Et_9A_061946 transcript:Et_9A_061946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QASEHRPAPPRLDASPPPAVALCAAISTPPAPRALRCGGGGPTTGQPRETPPRGIASKAIMGFQVAAVAPSPCARSSAASSSPPSTSSRPLHGFLGGGGGCVGLVRSRSLVNWGAGAIVRRRPARATPARCALSASLDGMGPGDPEFLRRIEELASAAAGVHPAGAGASGCAWPASVERSASSVGLPLSLRMLKRKKKQQVAPARWDERLLLGPAGGSVGRAFSSMVLIVRELQSFALRQMRDALLCGDDVLARVQGEMHASFVWLFQHIFAGTPALMVSLMLLLANFTVHSMGNSVAAAAATMPPPPPPAVVAVLDAQHNAEPSGPRFDAGSVKTFSVGRTASVGGNSGGGGKARPVAGATGDGRSDDSLYRLSRVAPQQPSAPAGTTGAGEAVPDAADADEQAIWEMMVSEASRMQASSRAEELSDPDVRASLVAPVEAALETEDHAEHALTLRSYEMAVAEEPDNSLILSNFAQFLYLVHNDHDRAEHYFERAVRAEPADAEAMSRYATFLWKARNDIAAAEDTYQEAIAADPGNSHHAAAYAHFLWNTGGEDTCYPLD >Et_1A_005904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15382819:15384516:1 gene:Et_1A_005904 transcript:Et_1A_005904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKVADASEYLAITGWGIDDVKLAKKAWVWVGQQCKKFDITPVNYEFEVHAMSSEKLPFILPAVFTIGPKVSDDKAPLLLYAKLIAPHDKQSSHVRELVKGVIEGETRVLAASMTMEEIFQGTKSFKQAVFENVQLELNQFGLYIYNANVKQLVDVPGQEYFSYLGQKTQQGAMNQAKVDVAEARMKGAVGAKEREGITLQKAAEVDSQTKVFRVRQEAIGIKEQSKVEAEVKVFENEREAVVAAAKAELATKKAAWDRQTKVAEIEASKAVALREAELQMEVEIKNAKRLTEKLKAEQLTKATVQYETQVQDSNAALYNRQKAAEAKLFEQMRAADARKAQAEAQFYEQKLAEDAKLYAKQKEAGSLALVGKAKAEYVSSILQAVGGNYHALRDYMMIDGGVYQEMARINAGAVNGMQPKISIWSNGADGAASGSGDAMGAMQGVAGVYKMLPPLLSTVHEQTGMLPPAWMGSLPKDEAKAK >Et_2A_016397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24272165:24273600:1 gene:Et_2A_016397 transcript:Et_2A_016397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRLMIGSAAGGGGQVLLFGSGGGFCGGGQMPVENGRRKRPFLTAHELELGGVDMDDVDQLCGYEYELHGGAPERSSAKRRLTAEQVRALELSFEEEKLRLEPERKSELARRLGIAPRQVAVWFQNRRARWRAKQLEQDFDRLRAAHDELLAGRDALLADNDRLRSQVTTLTEKLQGKEPAALQPEEQQTTPSAATDTTASMQAAVVFVQLDEDQLRETTGCAVPAQGFAVRANSDSPESYFAGAPSPPSSSEGDCSEEGSALLFPDAMLLAAVEHGRGHAGEDSWEWFWN >Et_1A_007565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3583205:3584488:1 gene:Et_1A_007565 transcript:Et_1A_007565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCGGSPTSLTRNSAFRRSLSSDATRIRGALLTARFSSSCDHPSVFLRTAILASTFSWPMHPAVDSAWRNICEPGATPSCVARRTASWTYSGCMVTSRGAATSSLAMSSALSPHCLRNATLGSTTFSRSESSTAKGVTGAVAAAVALAAATRVRRMRQRAGTREMAARGPAARRKRKGMVGDGDEAWPGAGGVFFFFFELGCVIRSSVAQPAAARRGVVPCARPRADKEKRVEEGKKNSASLGGLSGIEGSRRPGPARPGPARPGPARPGPIQNFNLRPARHQTSRSQRRRHGARLPHSA >Et_6B_048845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14402031:14408914:-1 gene:Et_6B_048845 transcript:Et_6B_048845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALKGRQQTTKGIWIAKAVGIEPFTVVLDLEGTDGRERGQDDTAFEKQSALFALAVSDIVMINLWCHDIGREHAANRPLLRTVFQVLMRLFSPRKTTLLLVIRDKTKTPLEYLTQALKEDLQKIWDSVEKPEVYKEAELSEFFNVEVTALSSYEEKEELFKEQVGQLRQRFCHSISPGGLAADRRGVVPASGFCLSALQIWKVIRENKDLNLPAHKVMVATVRCEEIANEKLRHFVSDKGWLELEAAVKSGSVPSFGIKLSAILDFYLSEYDTETMYFDESVRTAKRQQLESSMLNHTYPALEMVIENLHLVVLNKFKSDLEQSLRRREGFAESVRRCAQASMAELNAGLRDAAVKHVGWDTSKYKNNLQQHIQDHVAYVRNTKLAEVTANYKKKLSDKLAGPVQSILENGERDAWASVRRLYRLETENAALELSDSLLEFELDKATSNRMVSDLREHARSVVEMKAREAAGNVLMRMKERFSTVLNRDRDSMPRTWTGDEDIRAITREARLEALRLLSVMAAIRLDDKPDKIERALVTALLDGGALSQKRSIEFGYDPLASSTWEEVSPMETLITPVQCKSIWRQFKAETEYAVVQAISMQEAHRLSKNWLPPAWTILLLAMLGFNEFMFLLRNPLYLLGLLVALVLSYALWLQYDITAYFRHGTLSSLLTIVSRLLPTIMDIVTAVVNMGHNQKHSTHPSHRPPPLHTQSFRNQTWRQAQVQHESPNSPSSSSSVNSNDS >Et_10B_003991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9007845:9010557:1 gene:Et_10B_003991 transcript:Et_10B_003991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRWESIQKSLVSELETSPTLGWMRHILKLRYNDLSHSLKTCFLYLGIYPEDYKIEKVNQIRRWIAEGFVDYRHGLTPEEVAESFFNELINRSMIQPTGYEYGELTHCQVHDIMLDFILSKSTEENFITVMDHKYSTKGSFKARRLRLQFESTHAHFIPTIMSLSQIRSLTIFGWQYADGMPYILRFELLRVLDLYCDKFGTGVLDVSAICKLFQLRFLRIDNYQFNMPKQIGELRHLETLDIGDAITFCIPEDITRLKSLRNLNIPSEAVLPKGIGKMIALRALGFFNLAENSVESICDLEWTSQLDKLTSLKVRVNELKRDDVELLGELPCLAYLDLSAPKEPTEDIIFHSNAYRRLREFGFAYTFSSVTFEPFTMAKLQVLHLTFYMRRKEEECSSLTGIEHLLNLDQLTARIYNRGNIGASFRDAILRHPRSHKFNILFPRFFWYSGNWFCLAQPAA >Et_1A_007820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38534111:38536560:-1 gene:Et_1A_007820 transcript:Et_1A_007820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTFASYAEDSYSNKNTKGDSKDDVTAAQLLEDSRNAVRLLQKYRLSGTIPVKLFDIKSKISRLDRVEKAPSFFIFSNVVDGNCPVKKLLEMLITCIA >Et_3A_023774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12150645:12160798:1 gene:Et_3A_023774 transcript:Et_3A_023774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIRGPPRSKDTSVVVVVLETTEVYIVVSLSTRRDTQVIYVDPTTGALRYVGKQGEDIFESEAVALNYITNGSRILSKSTTYAKAVLGYAALGSYALILVATQLGASVPNLPGGGCIYTVAESQWIKVQLQNPQGQGNGELKNIRELADLDIDGKYYFCETRDITRPFPSRMTIQEPDEEFVWNEWLSKPFKDIGLPGHCVILLQGFAESRNFVGTGQQGGTVALIARRSRLHPGTRYLARGLNACSGTGNEVECEQLVWTPRTGTQSVPFSSYIWRRGTIPIWWGAEIKNAVSVEAEIYVADDPYNGSLEYYQRLSRRYGKKSSEVDTAKQKKAGMVPIVCMNLLRYGEGKTETVLVSHFKDSIQHMKSTGKLGNTWIQLINYDWHATVKSKGQQQTVEGLWRHLKAPTMAIGFSEGNYYDTKQHLKECKGSVICNNDINGGFCMDSIQNGVIRFNCADSLDRTNAASYFGALQVFVEQCNAMFGLSTSRYSEYNGRSARSLPPGWEERFDSVTGKSFYIDHNTRTTTWEHPCQEAPQKPWKRFDMTFDQFKGSTMLVPVNHLSELFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFSKFSAVQNVKITVQRRYHNYLNDSSRQKQLEMFLGLRLFKHLPSIPMFPLKVLSRPSGCMLKPVPSITPVADGGSSVLSFKKKDLVWVCQQGADYVELFIYLGEPCQVCQLLLTVSHGPEDSSYPASVDVRLGSSIDALKLVVEGACIPQCSNGTNLLIPLTGRTDPEDLAVTGKSGRPDIRENTYLPLLYDFEELEGELNFLNRVVALSFHPSARSATPITLGEIEILGVSLPWTDMLTNSRRSPELHEKLSSIQCDLGSKSSANSLLPGNDVHSSVRSSSTKSLSVQSDGSGNFVDFLTGDFEISKSNITDHTSFGNEEEEQPNFDDEFDVNPFAPASEVPVAKVNNKGKGLSFEQMIKLEIKRLHLDLSAAERDRALLSVGVIPATVDPNRSVEVDYSYLLKLSSLADNLALLGHAVLEDRVNASIGLEKGNDEKIDFWNVSENDEFCYGSACEVRALSSLQASATSESKSVFVECSRCERAVCKPCCAGKGAFLLLNTYRDLKLYGGSQGGGYSALADCYVCKSCCSEMIKRALYVDYVRVLHSFRRKDRAEKAALNAVNQVYRLESSKIPDVSQSIQSGQRQIKQILDGEESLAEFPSASFLYSVETANDSEPLLSLLAPLGSGEFQSYWKAAQDATSVEFSIVLGGLSDVAGVALIVSSCGYSTSDCPIVEIWASNKIHREDRTFIGKWDVQDIISSSPQLCGPEKSSSMNEAPRHIKFHFPNPIRCRIISIKMTLPHIGSRSTKFSENFDLLSLDDSSFYESNPTNSQNSFIHAKRIVVFGNSLRKEMGPDTSVGIMRMKSYLDRSPPLGRFRIPVEAERLRDNDLVLEQYLLANTPGIAGFRLDFFNVIRPRVTHSPSSSELNMREFSLTRMEDRFVNPAILYIQVTVIKESGKLVVDEYRLPEVKANTPLYFDFPDLREDVRCVIFRLHGDVTAFVDDISELDGLNLRNLPVASGLSLSNKIKLYYYADTYEMGKIGSLSAQ >Et_1A_008483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8063799:8070749:1 gene:Et_1A_008483 transcript:Et_1A_008483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESGELLGIDPIELRFPFELNKQISCSLQLTNKTDEQVAFKVKTTSPKKYCVRPNNGIVPPRSTADSATVGKDVAPKDITGDMFTKESGNVVDEVKLKVVYAPPSSQTEGSEDGSPGSLSYQETTKEPKESEKVTSEPYALISKLMEEKISAIEQNKKLREELDFLRRGVSSQHGGFSLVFVLRFELLRTKRRWQITRASIIGRPHHRVLHELLLTAYGLTSQRLGADLNCFWRCLPAPEGPIREDDVERLVVPAVPEERRQDGGILPIVLFGAYDRPIDAVEHEVEVWHAAGVATEQLEDAPDGEEVPRLEGRRDALDVGAAADDDEAEVGRGAGAVDHRAGDAPRNAPWVEVLVDEAEVGGAGGSVGAGRRGGGERRWVGD >Et_10A_000183.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:16004544:16004684:1 gene:Et_10A_000183 transcript:Et_10A_000183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKCDQGVCYCCKNQKPEPLCCRTMEACRNVCPKCNPKCPPLHKP >Et_4B_037307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17952653:17954527:1 gene:Et_4B_037307 transcript:Et_4B_037307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILLALLLLCHGTRTMVYGHSSDMHALLNFKRAITDDPNGALSSWNRSTPFCRWNGVFCSRKHAGRVSKLDLGGQGLSGTISPHLGNLTWLRDLNLSANHFSGRIPPLNCLHKLEVLDLTTNSQQDTIPDALTNCSNLLVLALGGNLLFGEIPLNLDVLSSLLTGSIPQDLGKLPNLSRVTFSANKISGCIPESLFNNSGLQILGAHECALTGVLPPNIGDALPNLEVLLLYDNKFGGCVPSSLGNASVLKSIDLSSNSFTGTIGEWIGKLTNLQYLYLQENNFIGPVPSSVTKLTQLNKLSLSDNSFRGIRD >Et_4B_039206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8809530:8821482:1 gene:Et_4B_039206 transcript:Et_4B_039206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGVSDQLFVSVKLESPRLAELDLAPHLLGSHPVAGSWDPSKALPMERAAAAVWELSCVIPSQHEMLDFKFVMKSKDCSRYIVEEGPNRSLGSRNDEVEMRTAVFKLNDKAELECKILVETEILSPFDLAASWKAHQGYIQPSSVQGTHDAVMNAGLESRAENGFASGLELDLEKFVVPTPNMGSGVVYAANLTENPRSLVQIGSSSNNDTAKDALHNSIKGDASPDDCANTVKDATLGHAPSLEEQKAIFVDRGVGSPKFARPTNETISMSNLKLDCEAKDMPAAEGAVAAAAVADQMYGPKEDRKLTIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGTNQTADFFRGDNREGVEARNEVAALAMEDMLSWMQEGGQVGIFDATNSTRDRRNMLMKMAEGKCKIIFLETICKDQDVLERNIRLKVQQSPDYAEQTDFEAGVRDFKERLAYYEKVYEPVEEGSYIKMIDMVSGSGGQLQINDISGYLPGRIVFFLVNCHLTPRPILLTRHGESLDNVGGRIGGDSSLSEAGQLYSRKLASFVEKRLKSERTASIWTSTLQRTILTAHPIIGFPKIQWRALDEINAGVCDGMTYDEIKKNKPEEYESRRKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADKPLEEVPNIEVPLHTIIEIQMGVAGVQEKRYKLMDAVQPTAGL >Et_1B_013843.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:1998005:1998934:-1 gene:Et_1B_013843 transcript:Et_1B_013843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSASPVATILFHGTVVDQRPSFPRMASFSSRGPNIQAPEILKPDVTAPGVDILAAWSGATSPTKHKRDTRRVKYNIISGTSMSCPHVSGIAALLRQARPDWSPAAIKSALMTTAYVLDNTGNVIRDMSTGKASTPFAHGAGHVDANRALDPGLVYDADANDYIDFMCALGYTTEQIAIFSEEGLEIDCPMGMRYAGDLNYPAFSVVFNSYEEEITQHRVVRNVGRNVRATYTASITSPAGVLVEVKPRRLRFSARRQTLEFEITFKPWGTGNVTEKYTFGSIVWSDGEHKVRSSIAITWPESRVAAM >Et_7B_054151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16151166:16153762:1 gene:Et_7B_054151 transcript:Et_7B_054151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAVKVFEPNGCQRESKHKDIRIQQNELNRFRALLNLLSKDLNLDAGGESSWTRANRRHGRRIELEDWSSGGVAVRASLPQRAPASARQNKPNESMAFLTKASLRRQCIYEIGPPSWVTKHINANTLKKHLALPTVFCDAIGLRESCMITLNTSVNSVQYWQVHGVSCKNSSYLLVRGWLRFCRENSLKKGDACTFNVVETSLWHVVITRCKENINPSCNRNPSASSGKREIKNMWPGLLNSLNKASKKSCVYNIGPPAWVEKHMNNSMLENHL >Et_2B_022882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7083261:7094825:1 gene:Et_2B_022882 transcript:Et_2B_022882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELQPAHLMEGSHVLGSSTRRPLRDITNTLIDGGKENQNVDRHEKTVNKRHKKDQTPKSGVTELEQNRCPRQKITMHTANSLDLTGNLDVLTGRVATVDQIPSDCVGDVDENWLHRNNNWQPRFTGFSHDGGYLDDGVDQSLTPEELKKAKRREYYRKYRQKQKEELAIARRIQNGEVPNINLGDKRLRDFDADELLERHALKLAKQRERVKQYKLRHKSLKAPQQTNTLLARSDEENLETHQPIDNDIDEFFDDDEGRVFTRPDPHEDFPSAMDIDDEAEADDRTGGRDELVKQVWSAVRITTSGQKSKFELAFFF >Et_2A_017140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31214562:31217920:-1 gene:Et_2A_017140 transcript:Et_2A_017140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSTVAARFAFFPPSPPSYGVEPPPSPAAAATDSAVVELSGVPRRGRVEARRLPTKRGTEVVAMYVRQPGAKLTLLYSHGNAADLGQMYELFVELSSHLNVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYRCLIETYGASEENIILYGQSVGSGPTLDLASRLPHLRAVVLHSPILSGLRVMYPVKHTYWFDIYKNIDKVPLVKCPVLVIHGTADEVVDCSHGRALWELSKQKYEPLWVKGGNHCNLELYPEYIKHLKKFVSAIEKTPPVKDESPEGSGPSDPSETGSESAESSRRSTDIRDKPRSSIDHRHSTDRREKPRGSIDRKDKSRKSVDQLEKPRASVDQPDRPRKSIDRFGGMMRSVKLCNIDCFTAASGS >Et_5A_041731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26476918:26486052:-1 gene:Et_5A_041731 transcript:Et_5A_041731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASSLLSPHAPHRLLPLLLPLRLSSSSSAAAMPRRDRTQPPPQKWKPKATEGASSSSTASSAAAEIAAPVERLTLASQSAARAGATQLLVPRGYATSTTDASVASASSSAAAAGQAVVGEELSRLFKEAPNFTVGNNTFTEAQIRATFYPKFENEKSDQETRTRMIEMVSHGLANLEVTLKHSGSLFMYAGHLGGAYAKNSFGNVYTAVGVFVLGHLFCEAWGKEAPKMQAEFNDFLEKNRICFSMELVTAVLGDHGQRPKDDYDYLYDVHVLQLILIIILLCFLIISFVRKSAASFFAAYDALCEEGTATPVCKALDEIADISVPGSKDHVKVQGEILEGLVARIVSRESSAQMEEVLRNFPQPIADGEVLSYAVDSDLGPSLREICAANRSDEKQQIKALLENVGASMCPDHSDWFGNNGLDAQSRNADKSVVTNFLQAHPTDYATKKLQVNCFNSETEMIRLMKQRHFPAAFKCYWNYRKIDSLSNDNLKNQGLWPLYRGKLNPSSSFMEFIHEPKCLGLTCVAVFLAFFIDVNLFKANNKKAADLSKDGENLLRNINGALDSNASSIDGLADEDSNLMVKLKFLTYKIRTFLIRNGLSTLFKDGPSAYRTYYLRQMKNWGTSAGKQKELSKIRKYGNKPLSSSTYLSEAEPFLEQYAKRSPANQALIGAAGNLVQTENFLAILDSERDEEGDLQSERGVAPSSPSLTAVHVVPKTEGLIVFFPGIPGCAKSALCKEILNTPGGLGYDRPLHSLMGDLIKAEPSKGSYAKEWAQWEQRLQKILLGNADYLNSIQVPFDLAVKEVLEQLKAVAKGDLKTPDTVKRKFGNIIFAAVRLTPSDIMGLLRKVAEKNTEVNSFINGIKLEDCLKKAHVTLAHKRAHGVAAVASYGIHQNQEVPVSFNALYYSDKIAALGAQLGTVNGEQINSRNEWPHSTIWTAPGVAPKEANTLPQLAAEGKAAD >Et_2A_016747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27794813:27799603:-1 gene:Et_2A_016747 transcript:Et_2A_016747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVELNPEEDWSDSDVEDVSDSEVGDALDWLDAVEGPDGSARPSAAFSTAGAAAAARRPNAHGGVLSRPFQPLSNRTQKLTSHIRATPLEEWEGRMNVGMSNSVTTAIRDSIRDTAIGKIRNNEKADRATVEQAIDPRTRMVLFKMLNRGVFDNINGCISTGKEANVYHATKTDGQELAIKVYKTSVLVFKDRDRYVQGDYRFRHGYCKHNPRKMVKTWAEKEMRNLLRVRAAGIRCPVPLLLRLHVLVMEFIGKGGWAAPRLKDAALSDEKLRESYFEIITTMRTLYQKCKLVHGDLSEYNILYFEGHLYIIDVSQSVDLDHPSALDFLKEDCLHVSDFFKKRGVPVMTVTHLFNFVIDQNIGDEDVDDYLDMVQQKILENGDSLANDDEISPTVMVQTLDYVKQCEADIVNMSMMQRPSLGYEPPADKLYDQPLLGFVRTKNSHTVEKQQEQLPRNTVEETLDLQNKCSLESSDDSWHEADPKMGPEERKAARKENKKKVKEEKREARKTKIPKAEKKKRKKMAKAKCKR >Et_2A_016851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28788696:28792002:-1 gene:Et_2A_016851 transcript:Et_2A_016851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDQMRGLSVQQQPRMCPGQITDDGSSTSNTEIKDSTCQKGDGALGAEMTGSELILPEDILYHIHALMPMRDAARAAGVSCGFRRSWEFYPKLIFDIETLGINEDAHEVDKITSDFISIVDHIMQNHSGIGVKTFRLRTYLCDNMDPVYVDRWLQSALTPGIKEFELQMPWHNKIEYNFPCSLLSTERGNSLQSFLLTDCAFHSAAEVGCLGNLTTVDLSSVHISGEELCGFLSNTLALERLDLTNCNDIVCLKIPCLLSQLNFLQVQDCVMLEVIECSAPNLSKFNYAGRPIHISTLDPTHLRHIKMISFNESNMLYSASTKLPFIAPNLQTLFLASCNEIVNTPMVSGKFIHLKYLEIVLIRPSCSLDYDFCSLVSFLDGSPALETFILRVEVPSIRHDSILECSDSNSVHPRQLSGHNHENLKNVMITEHDRDYKSYSEKAPLLDCITLDTSRGYDDKSGNCSQMFAEDVMEAQRAHLAIRQYLEQNVPSTVSLKLIEPCSIG >Et_9B_064868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19375232:19376537:-1 gene:Et_9B_064868 transcript:Et_9B_064868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHGGLGNTAERWRELHGENNWAGLLDPLDLDLRRTVLRYGETAQATYDAFNHERLSPHAGLSRFGKARFFERVQLPGHAATYRVTKFLYATSSVPVPDAFMVRRSGGAGRCRESNWIGYVAVATDEGKAALGRRDVVVAWRGTLRALEWVDDMEFAMVKPKGLVRDHEGCEPMVHRGWLSLYSSSNPMSSHNKDSARDQVLSEVRRLVDMYKDEELSITVTGHSLGAALATLNAFDIAVNGYNVARPGGVSPCPVTAFAFASPRVGGSGFKKRFDAAAPGLRLLRVRNARDVVPKYPAVFYHDVGAELAIDTRRSPYLRSPGHEQVWHNLENYLHGVAGTQGAHGGFHLVVERDVALVNKSYDSLRDELGVPAGWWVPLNRGMVKGADGHWSLMDCEEEDDTE >Et_3A_027111.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33165997:33167088:-1 gene:Et_3A_027111 transcript:Et_3A_027111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTLELTLISAKDLKEVNLLSKMEVYAVVSLSGDPRSRQRIQADRTGGRNPTWNATLRFTVPASGAGSLHVLLRAERALGDRDVGEVHIPLSELLSGASDGPVAAKFVAYQVRKINSGKPQGVLNFSYKLGEVTQSAGYAPNSASAAYAQPPPAASYPPASAYPPAGKANAYPPPSAYPPAGKADAYPPPTAYPPAAKADAAAGAYPPSTAYPPASKPNEPATAYPPSSGYPPAGKPAKAGEPVTAYPAAGPSTATPYAAPPPQYGYGYPQQQPAGYGYPPPPQAGYGYPQGGYGYQQQAVRPPKKNKFGMGLGAGLLGGAVGGLLLGDMISDASAYDSGYDAGFDDGGGFDGGFDGGFDF >Et_9B_063932.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:2887035:2887259:1 gene:Et_9B_063932 transcript:Et_9B_063932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLYTSTFGVIATPLSHSGARYPTVPLNVVRTRLKSLPTAAAIPKSAILGANDASRSMFSGLMSQCMMHLWQL >Et_2B_019631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11439817:11443547:-1 gene:Et_2B_019631 transcript:Et_2B_019631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTPPLRHRLGLLAAAAVLFLLLVAPTAAAAAAADTPVCFSFPSFSLRNVTLLGGASLRAASVSLPPPSSYALFPLPLPFPPNASFTTSFLFAAPASARPASRLSFVLLPDAASAAAAEGPSSANRSFLPLEVTLDASRNRVSASSAGVELAANSTAGAVDLRNGNGVGAWVLYDARRARLEVFLSHASLRARAPALAADAAGLGARFAEFMFVGLEGSAANSSADSGGNATGDDRGFVVESWTFLTSGMPAVDLASRPAHSVSDSVRSAPDPSTDRASRGGDGHRRRLALGLAIPLPIAFLGALMVFVVMFLKKWRWGLPAAGLTDGVGAKAAAGGPRQFMYEDLLSATKGFDPSMVVGSGGFGTVYKAVCPRSGVTYAVKRQSRESYKEFSAELTIIADLKHPNLRCVALNWSRRYNVAVGIASAVAYLHEEHEKQVIHRDIKSSNILLDSSFAPRLGDFGLARLKDPNTSPQSTLAAGTVGYLAPEYLQMGKATEKSDVYSYGVVLLEICTGKRPIEREAPDSMNMLNVVDWVWNLHSKGKLLDAADAHLNGEYDTKQMTRLLLLGLSCVNPFSEERPFMRTVLGILEGKNELLPVPRKKPLLVFVSNAPIDLEGIVSECNQSTVSSTCFYR >Et_9B_065473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5511069:5513633:1 gene:Et_9B_065473 transcript:Et_9B_065473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGFRRHVGQFLGTSNELMAASLSTASCADEMAKAEGAGCRDDAAALQLKAVAMAAILVAGVLGVGLPLAGRKRRALRTDSAAFVAAKAFAAGVILATGFVHMLHDAEHALSSPCLPAAPWRRFPFPGFVAMAAALATLVLDFLATRFYESKHRDEAARVKAAAAAALAASSASDEDITVVTVFEDEHKAPLLQTNCHPHSHAHDHNHHHAHAHELAQLECSDREVSEHVRSVVVSQILEMGIVSHSVIIGLSLGVSQSPCTIRPLVAALSFHQFFEGFALGGCIAQAQFKTLSAVLMASFFAITTPAGIAAGAGLASFYNPNSPRALVVEGILDSISAGILIYMSLVDLIAADFLGGKMTGSLRQQVMAYIALFLGALSMSSLAIWA >Et_2A_017431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34192356:34197645:-1 gene:Et_2A_017431 transcript:Et_2A_017431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRVGNRAWERRFSSPRRISMLSTLGFVSGGVQVGKRLSSCASVNFPHKHLWAAVEIVISGMGSSGMNWNHKNSMVWEWGNLTPGPNTIENLNSRALPKPRDADMVAIRHGSVSSSDATFTSSSEVGHGSSKSSLSASIGSPLREGNVLEFNFAAVSKRDMNMKNGKAGRVEDSGTSSPSMIAICHGEPLISLRLGKRNYFKNVRGGQDVKNSATTGATCPLTVVKKTKMSQQSTQNSCCQVEGCNVDLSSAKDYHRKHKICEAHSKAPKVVVAGLERRFCQQCSRLHGLAEFDQNKRSCRRRLSHHNARRRKPHADAISFSSSRLPTMFYSSPRQQTEPFFNQPPFSQVRSNAVSLWDNLEGFRFTEVKFPPTKPKKLVGFDETHFSTSQLSNAVVAHDVHHHNLDDPVMSLKGTNIKAVNQGMEASTIASNLNGAPVIGRALSLLSDDSWVSNSTVNQQPRSHVHAGALPHLASVAATNPFMDELDSTNGGFWQDPPPLDGTLQIQSSAHL >Et_5A_040549.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:8260746:8260835:-1 gene:Et_5A_040549 transcript:Et_5A_040549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLLLRFFMKILQSSSNSFYNVESFSPV >Et_9A_060954.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21155959:21160025:1 gene:Et_9A_060954 transcript:Et_9A_060954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASQNPGSGGNVNPLSNLCHWNTVQQAIGYKDTGTVVYSSFASTNPRALKRKWVDMAGIEGPEYPLLTLGLGHSTSSSDNSKASSPTACIMSPSSVKETDEESSMDLGLNFDLCLGHDMVHQHKKSTGSGHVSSASAPKLDLHLSLSTGVPESAVTYASTASLTVHDALETVVPILKTDVSGKRSEPSDWFFGHSVDSSSYASEATYSFSLPMTPQKADDPSPDVSSAVTASVKSLTACTSGVANPHKRNTNTKCCQFPGCEKGARGASGYCISHGGGRRCQKPGCQKGAEGRTIYCKAHGGGRRCQFLGCTKSAEGRTDHCIAHGGGRRCSQEGCSRAARGKSGLCIKHGGGKRCQMDNCKRSAEGYSGLCISHGGGRRCQFTECTKGAQGSTKFCKAHGGGKRCTFFGCTKGAEGSTSFCKGHGGGKRCSYQGGGVCPKSVHGGTQYCVAHGGGKRCSVSGCTKSARGRTEYCVRHGGGKRCKFEGCAKSAQGSTDFCKAHGGGKRCSWGQEGSSFGAGGPPCDKFARSKIGLCAAHSALIEDHCVHGGGSLGPAIKQLTADAKPDDMKVSATKGDVDMANSEDEDFLGWSDPGLNNSVNPSFPVHISTTPFPEGRVHGRGLLALLSAGAHVAASSSENGASSSALRTWM >Et_10B_003097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16507101:16508646:1 gene:Et_10B_003097 transcript:Et_10B_003097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAEMTMPTTTATTTKVEAAGRLPSIEMEPKTLTLDQIKYAREAALYVVSTKSEEEAIRIFTAGLKPVQTSTAGRKSSSVDSSDDDVDLDGSFDGSNTGGRCSKGRRGRRSSSMEIRDIATAPF >Et_2A_017582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3832663:3839729:-1 gene:Et_2A_017582 transcript:Et_2A_017582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGLRFPLLPLVGLLLLATAAVSAEAADWRAEQERDRVPRVPGQGFNASFAHYAGYLTVSEKRGAALFYWFFEAAQDPGSKPLVLWLNGGPGCSSIAFGLGEEVGPFHVNADGKGVHMNPYSWNKVANILFLDSPVGVGYSYSNTSDDVLRNGDARTAKDSLEFLLKWLERFPQYKGREFYLTGENKSLNLKGYMVGNSLMDDFHDHYGIFQFMWTTGLISDQTYRLLNIFCDFESFVHTSPQCEKVLDIASAEAGNIDSYSIFTPTCHASFAASKNKVMKRLHSVGKMGEQYDPCTEKHSTIYFNLPEVQKALHVSPVVGKSKWETCSEVVNTHWGDCERSVLHIYHELIQYGLRIWVFSGDTDAVIPVTSTRYSVDALKLPTVTPWHAWYDDDGEVGGWSQGYKGLTFVTVRGAGHEVPLHRPKQALTLIKSFLAGSPMPVKSNMRSDIIKVVCFRSDPRHALSPDVVTFFK >Et_1B_010463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10818256:10825631:1 gene:Et_1B_010463 transcript:Et_1B_010463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DLVNFSLSPNKTSAVFPDRFVLHNQALILFGGYGGRLLPLTYAVNLDTLNAKHYIVAVVVTVFFVVLSPARITFSVARAGCSSHQPAGDGGGSGGVLLTLTLAAGNQSRRAAVRYESMFVDVSNNTGPPWVNWIRATVTTDLPLRQPRASAAVPLVQAIVLCYYNPNTLKITSIKAIICTHWRLHQSREHRAPLSSAHTRMLLSSSHAAADAAATESEEDAIVVRDTPVAPPRGGGAVCGAAGRHWERQREENEKLETKASIAARFRLCHELLWQRRWREMRGCLAEMVSEQGYDSAPTLCDILWNVFREWDSNGTIWDALANSYVRSQMIRDALYVLNQMNSLNMQISLSTYDSLLYSLRKTKIALEIYEEMESCGISPSEYSHSILIDGLCKQDKIGEALSFLKEARKGGMFKPLGMTFNILMSALSKWGFIQFAKSFFGLMLKYGLNPDRYTYSTLIHGLCKVGSVEEALDIYERVTKEGIELDVVTYNSLINGYRLIGLTREIPKMIKKMMYQGFEPDLVTYTILIAGLCEGGDVEEGLKIRKDLLDQGLQLNIVTYSVLLNALFKKGLFYEVESLLGEMCSSGMDMDVVAYSVLIHGYCKLGEIERALDVCSAMCDSQRVMPTSLNHLSILLALCKNRMLVEARLYLENVAIKYQPNDVALYNVVIDGYAKMGDISNAVQLFDQIVLNGMFPTIVTCNSLLHAYCKTGDMQMAASYFRGIQFSDLLPTAVTYTTFMDALSEAGEIQMMLSLFDEMRIKGIKPTAITYSVVIKGFCKQLRFSDAVRILDDMESKGVDADPITYNTLIQGFCEAQNIKKALEMHNRMVSRGLKATPVTYNLLINALCSKGRVIHAERLLELLRGNNTELRKFAYTTLIKAQCAKGMPHKAIVWVGKLIDAGFEVSIEDFSAAINRLCKREFTEEALMLIPIMLSVGVYPDVQLYRVLDTALQKRNEAFYLPILQALAIKTDRSFEACRGDRNRSSHQLPSRGQSWRKTHMLARDRSAGPLAFARDKLADGSGEPFFVLNCDIISEYPAELIRFHKTHGGEATIMVTKVKSLPSLSCGEPITRL >Et_3B_030387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3458703:3463669:1 gene:Et_3B_030387 transcript:Et_3B_030387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAVDKERFAESKKELDALLADDSLAKVPFLILGNKIDIPYAASEEELRYYLGLSNFTTGKGNVNLDNSNVRPLEIFMCSVVRKMGYGEGFKWMSQYIK >Et_3A_026054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3907110:3914387:1 gene:Et_3A_026054 transcript:Et_3A_026054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCRQYCIVSLQVYQVPDLVGLVKSLQCDRRWTWVGNLDRLLEAEQLVLRLGDRQNSLNTVVDRMHNGEVLLAADVASFMEEDIPAIQALLHLQTENLQLSVQSIVDSIGSMVAAFPAVEVEGNNALIPASIEEVRSRLSSNEDVVIVYPDDILPPPPPPHINDHIQPEGAGAIIDQIQPADDHGANDFQAFIDEFYGDQEDAPADFEEDANTNDNQEPLEPALAELAELIRSNDENVLTDACWALSYLSDGTNDKIQDVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDLQTQCIIDHQALPCLLNLLTQNQKKSIKKEACWTISNITAGNKDQIQGYIQLLCDLLVCSDPEMVTVCLEGLTNILKAGQGNKTSGQTEDVNVFAQMIDKAKGLEEIENLQSHDNYGVYKKALKLLEVYWPVEDGAMATSEEASPAVFDLSLGGNPPPGGGMLHLSQRAEVRRNRYKVAVDAEEGRRRREDNMVEIRKSRREESLLKKRREGLQAQLESLPAMVSGVYSDDNSFQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVQFLTREDFPQLQFEAAWALTNIASGTSENTKVIIDHGAVPIFVQLLVSASDDVREQAVWALGYVAGDSPKCRDLVLASGALMPLLAQLNENAKLSMLRNATWTLSNFCRGKPQPSFDQTKPALPVLARLIHSNDEEVLPDACWALSYLSDGTNDKIQAVIEAVTHHHRCLYLLPSPSVLIPALRTVGNTGDDLQTQCIIDHQALPCLLNLTQNQKKSIKVACWAISNITAGNKDQIQAVINAGIIGPLLHLLQTAEFDIKKEAAWGISNATSGGTPDQIKYLVSEGCIKPLCDLLVCSDSRIVTVCLEGLENILKVGEQDKTSGATGEVNIFAQTIDEAEGLEKIENLQSHDNNEIYEKL >Et_1A_009448.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5750355:5750717:1 gene:Et_1A_009448 transcript:Et_1A_009448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGETDCTSDCTHDRLPRIQILNGGARVGEVAEHGGGSGIRSMLLPQPTQIDLPQTQRERERMAMVRSALGRISRRPLGSTAAPLVMSLRGPEIPQAPPLLSLHPQRHGFRVQAKQLGC >Et_1A_006322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20637519:20644282:-1 gene:Et_1A_006322 transcript:Et_1A_006322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRPAVHPVEAPPPAPPTPAQAEGQQQADGGDVVTQPRGVRMKDPPGAPGTPAGLGLRLAQAFFAAAALAVMAATNDFPSVSAFSYLVAAAILQCLWSLSLAIVDIYALLVKRSLRNARAVCIFTIGDGITGTLTLGAACASAGITVLIGNDLNICAENHCASFETATAMAFISWFALAPSCILNF >Et_3A_024910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25278445:25278999:1 gene:Et_3A_024910 transcript:Et_3A_024910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRLHGVNYGNFMHGLMKENIQLNRKVLSELLMHEPYSFKALVDVSRTAFPGNRTVKREGLAAIL >Et_7A_053170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8759974:8760870:-1 gene:Et_7A_053170 transcript:Et_7A_053170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVILIASRGAASAARSAAFRCAAAVSRSGPLLPRRSSLSFYCSRSTVAALPPFINLPDTAPGKVEFVADEEDLVSDEAIWALYERWCKFFNEERSHDEMARRFYKFKNTVLRVDRNKKACLPYRPEINWFADGKNIELSSNKYPCFCRNWVRNRRNYLVPVEEEPEGEFKEPQELLLRRSLRELLARTKSEFSHDFSEIVRAKFDFGVVGHSSQPEVLTLVVTGQGPAAGTLRQLPEMLSTKHHRKGLAGTHRMDQNTGK >Et_7A_050554.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:24584966:24585118:-1 gene:Et_7A_050554 transcript:Et_7A_050554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSHLTLTCRRAWRTLQLCTRFWSQLRIQAAASGSIGQALRCRLHHLL >Et_2B_022783.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5022738:5022947:1 gene:Et_2B_022783 transcript:Et_2B_022783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAEGAPRPFPTASASGVSAGAAPVFLATDKDRPVDPRIWGDEKWMKRELVAWAKAVASMVANENRP >Et_3A_024953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25588824:25596012:-1 gene:Et_3A_024953 transcript:Et_3A_024953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALLSHVLHRPPHLALRSGAGAHGGPFASCIRPLRRLSCSAAEAAAGSSEDVPASQARKKRVVSGVQPTGMVHLGNYLGAIKNWVSLQDLYETFFFIVDLHAITLPYEAPQLSEATRSTAAIYLACGVDSSKASIFVQSHVRAHVELMWLLSSSTPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGEDQTQHLELTREIAERVNNLYGGRKWKKMGGRGGSLFKVPEALIPPTGARVMSLTDGRSKMSKSAPSDQSRINLLDPKDVIANKIKRCKTDSYPGLEFDNPERPECSNLLSIYQIITGKSKEEVVRECQDMNWGTFKTTITDALIDHLQPIQARYEEIMSEPGYLDNVLLEGAGKASEIADTTLNNNMKKNSHENDKAIKHASQGAGKSGSAYLNLQKD >Et_9A_062202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20665479:20667440:-1 gene:Et_9A_062202 transcript:Et_9A_062202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAKNDFNVIKYKLRREPGQNGISVWKITEKWKANLATRDNLPVCLFNDVDDEKGPSYFNYLGLLVKHIPTLYECSSRGQCSQNCRNRVTQKVVNLNFEVFWTGIVDGVSGLGSHPCWHIHL >Et_3B_030292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32055290:32057037:1 gene:Et_3B_030292 transcript:Et_3B_030292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METRVAGVPDDEESGLLPRPSAAGRRPSSAVPRFRPPPAVWATVDGPLGLPLEEAEGHARRFFLWGFACLPFLWAINCCYFWPVLRSPATSTPAAFAPIRPYVVRSAIGFSIFSVVLITWATTFIVGGERLFGPMWNDLVMYNVADKLGISGFMG >Et_3A_023499.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:31181875:31182393:1 gene:Et_3A_023499 transcript:Et_3A_023499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVGGKLCFHEKGKLGVIDFSPSSTTTPEFTYLDYPRIGFPEGSNCNTEFHVESRGELFNVTVFCKGFNPAEILTVGVYKVDRKVDGLGDRVVLLSDVNTQVLCSASKYGLKGNRVYFNYNVTGEPDGGPMCVYDLDDQSLETVQPCKGVTELMRNPFWVLPTGQDCVISE >Et_5B_045530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3714742:3715829:1 gene:Et_5B_045530 transcript:Et_5B_045530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLQYIIDNWTYVGLIDLLTEFGIRFLRWMFDDQDLGFFANFLGIFIFVLVIAYHFVMADPKYEGN >Et_2B_022415.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2097817:2098086:1 gene:Et_2B_022415 transcript:Et_2B_022415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNKLIMRALVCAVLLLSSTVSRCGCEPDGRGTVVVTGRKMLVAGSGTMSSPALLTAALKVPQPGRAAAYSESKRSSPGGPDPQHH >Et_3A_024143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17820200:17822805:1 gene:Et_3A_024143 transcript:Et_3A_024143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASVNEELAEIDGQIGDILRALQNGFQKLDKIKDANRRSRQLEELTDKMRDCKRLIKDFDRVAKDEAGRTDPDTAKLLNDRKQSMIKELNSFVALKKQYASENKRIDLFDGPSVEDGFGEENVLLASNMTNQQLMDQGNQLMDETDQAIARSKQTVQETINTEQMSRVINELDSIHFSIKKASQLVKEIGRQIATDRCIMAMLFLIVAGVIAVIIVKIVNPHNKDIPDIPGLAPPINRRLLSIIE >Et_10A_000242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19724287:19725024:-1 gene:Et_10A_000242 transcript:Et_10A_000242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASQTHAPQPLPLPLPLPLPQPLPPPPLSLPSPSATPRLGRHRASSSSSSSSSSSSSSSVSAASSYSFCPSPSPAASPRASTTSVVPFSWERHPGVPKNSFRDCLAATSSSAGAPLPLPPPLRPAPRRRRRRSSDPFVAAFAECTRDSDGDDDSKLWLARAKPTASGRADRRWWLAGGGLVGFLDLYGCKSSMAVADGAFLARRPAVVAHGARASRR >Et_1A_009358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40360057:40364400:-1 gene:Et_1A_009358 transcript:Et_1A_009358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVISLGVEKRTGGTNFCQHGQPAKELETFKVSLGINRSYIWRRASTNSIPNAISGLNGSFSSRQVASARSFSSNADLPPHQEIGMPSLSPTMTEGNIAKWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGAKEIKVGEIIAVTVEEEGDIEKFKDYKPSSSAEPAAPAESKAQPEPSQPNVEEKEPAKAPEPKAPKIEEASQTGDRIFASPLARKLAEDNNATSVAKGGKMKAHAAPGLGYMDIPNAQIRKVTANRLLASKQTIPHYYLTVDARVDKLITLRGELNPLQDASGGKKISINDLVIKAAALALRKVPQCNSSWMNEFIRQYHNVNINIAVQTEHGLFVPVIRDADKKGLGTIAEEVKQLAQKARDNSLKPADYEGGTFTVSNLGAEKRVIPGASDGQYEFGSFMSATLSCDHRVIDGAIGAEFLKAFKGYIENPTSMLL >Et_9A_062728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3897980:3899492:1 gene:Et_9A_062728 transcript:Et_9A_062728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYATAAAAEAALGRALTWGEAAWFRYTATTPDYCLYLHSLINLLLAYTLAPVPAALLELCLPAKLTTPYKLQPRVRLSPAAFLRCYRDTVRVLVLLTIGPLLYLPYPIVKLAGIRMGLPLPSATEVAAQLVLYLLVEDYLGYWFHRMLHTDWGYEKFHHVHHEYTAPMGFAAAHSHWIELLILGFPTLAGPALVPCHMTTFWLWFIVRGHRHAQRIVVIACRFNFPFSPSRFIPFYGGAEFHDYHHYVGRRSKSNFAPVFTFCDYIYGTRNGYTYHKARLEKLNDMKTCEAEKGGSDAFDSWEQD >Et_10A_000967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19779833:19781665:1 gene:Et_10A_000967 transcript:Et_10A_000967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDDDGLAALREQVALASSAAIAASDLDHAFQLQLAEAIQASLRAQSPNAADTASSSSSSAQSAPAFQDPPSDSACALSLQAADLARAEQARRDAEACRAAHALAAASVRVAAHDALFARELAAVPEDRWARDGDNIERPLGSSAARPHFRVFSKGLASKDVVGPRDRDPSVAVLAVALCGPLGEVVLRIQKPVDGAVGGRMTQEVMTLMEGLDAALGLGIRNVTVVTDYRPLHNHMLAIWRPTGKKLLDMIDNVQSVRKNFEQCEVSLVEPSQDSYVAKLARDSIDAQIAKALAVDAFKEKRETCTICLEDTDVSKIHVVEGSNIGFASPA >Et_3A_027352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8486344:8493128:-1 gene:Et_3A_027352 transcript:Et_3A_027352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASNEKWIDGLQFSSLFWPPPQDVHQKQAQISAYVEYFGQFTADSEQFPEDIAQLIQSCYPSKEKRLVDEVLATFVLHHPEHGHAVVHPILSRIIDGTMCYDRHGSLFSSFISLFSQTSEKEYSEQWALACGEILRVLTHYNRPIFKVEHQNSEAECSRTSDEATSSDSSGKKSNYSSGNESDRKPFRPLTPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGGELKPPSTAFSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVTRYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGMRLPKNWMHLHFLRAIGMAMSMRAGIAADTAAALLFRILSQPTLLFPPLRHAEGVDVHHEPLGGYVSSYKRQLEVPASEATIDATAQGIASLLCAHGPDVEWRICTIWEAAYGLLPLSSSAVDLPEIVVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRTFPAETSELSRKTKNQSKNLAVAELRTMIHSLFVESCASMDLASRLLFVVLTVCVSHQALPGGSKRPTGSDNHSSEEISEDLRLTNGRNRCKKRQGPVATFDSYVLAAVCALSCELQLFPFISKNGAYLNSKDSIKIILPGKTNGISNEIHNSISSAILHTRRILSILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSRPCLNALSALKQCKWDTEISNRASSLYHLIDLHGKTVSSIVNKAEPLEVHLTLAPVQKDNQQHAEENNTSSSDCGKIDKNASTSNKKNGFARPLLKCADEVLLNGDVASTSGKAIASLQVEASDLANFLTMDKNGGYRGSQTLLRSVLSEKQELCFSVVSLLWQKLIASPEMQMSAESTSAHQGWRKVVDALCDVVSASPAKASTAIVLQVKILFDSQLAEKDLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEVLIFYFGLLTEFLSMVKLCRLSTTIRCLSHPSAHVRALSMSVLRDILNNGQVNPRNVIQGEHRNGIQSPSYQCLAGSVMNWQADVERCIEWEAHSRRATGLTLAFLTAAAKELGCPLPC >Et_5A_040875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13926837:13929355:1 gene:Et_5A_040875 transcript:Et_5A_040875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNPLFLYYTRPAKQQDQSGSHTTHRHHPLHAPFGETSRRHTYPMACLTAAPLKFTVQRQPAVLVAPAASTPRELKRLSDIDDQDGLRFQVPTIYFYRRSVLKDGCDPARIIRGVVARALVHYYPLAGRLRELEGRKLAVDCTGEGVLFIEADADVCLEHFGEVVHPPFPGIDELLFDIPGSSATLNCPLLLFQFPTSTFDHQIPIKTKELLWNNFSAKVTRLACGGFILAMRMQHVMADGQGMVQFLGAVAELARGARAPTVQPVWARELLAARDPPRPSFAHREYDEAPAGTFAAPFDAAADGGVKVRRRCFFFGPGEVAAVRALLPPKYSRAAATTFELLAGFLWKCRTAALAPDAGEETRLVCIVSARGKNNNGDVPLPRGYYGNALAFAAAVSTADELRASPVGHAVELVREAKRAVVADGHGGMEYVRSVADLMALRGRPHFTAARALLLSELTRAGFGDLDFGWGRPAYGGPARPDIGAVPGLISFLVPCTRAGGQDGVLVPMCLPGPAMDRFVEEMDRLLRRSKKTLDVAAARQQQTGMFPARM >Et_1B_009671.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:12954001:12955131:-1 gene:Et_1B_009671 transcript:Et_1B_009671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LHRAVAPRRGHRLGVRACAPPQKVVLRRAHQHPLARHRVQRRRAGRRRVEPRVVHARGVGAREQPEPRAHGARRRVARLAAHGLRAPEPGVDQHRALQRPSVGGGDVGVDGEVVADVGAGAVPGEEHAAQVPVRGEPGVGGGGGERPLERGERVVVRRGERVLRRQAVLDGDGERRAGRRERVEEAVAARGRGGLRHEAAAVDVEDDRELGRRLRARGQVEADGVGAQLDVPGLDAGDGVDAGQDGRRREGPHHAAALVHADDAVVLKHLVVIDDAGLAGGALQGRGRVRRHGWLASLAAALGEIDGLPGGGAAVVFSSRPASVFFLDDKMLWLLDGRNKQSRRQADSLRDSGGLRTTASVDNGCLAGLADFGEAV >Et_3B_031311.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25337627:25338109:1 gene:Et_3B_031311 transcript:Et_3B_031311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGLSEDGEEHRTFAERHPWALGIRVHGCYIRDYSPCMFVFVLFFACQFYFGFQQPKFWVKMTGAEGLHQSPDAVTAPTFNFTLRVNYEHGSVVVAWRTQAFQSRTAISPSSRVGPRRRVVEGLGLPAARSSFERMEGQREQNERVPLVADVGVVNRI >Et_4A_035974.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9882876:9883262:1 gene:Et_4A_035974 transcript:Et_4A_035974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVTAMAAIAAFPAPRALAQSQAPLLPRRNAVSFVARPVRAHHRRLVAMAASTPSDLSNKVSESIKQAQETCADDPVSGECVAAWDEVEELSAAASHARDRQKGADPLEEFCKDNPETDECRMYED >Et_10B_003401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19449247:19453831:-1 gene:Et_10B_003401 transcript:Et_10B_003401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYVLKPWTTKGRSDAHRCGPRRSTIGHSSAASGGMTEEETRRRQWRSDSRGHVTWSGWAARRGHVADSMAVNGQLLLLGSDEKDPRVGPQHRQTENQLGRSSLEGPNMPVLLILHVPTATAFAPQRRPPTRHVLETPPAVGHGQAAAPRHQLLSPGALYTRLPRRRGSIRVATLRMATAAAAASLRYFPSSLRNRDYPGPNNTIGSFTPYSRRRHCAAAVAAPSREVEPSSSLGHLTRADFPILHQEFDGNKLIYFDNGATSQKPSSVMKTLDEYYRSYNSNVHRGIHALSAKATDAYERARTKVANFVNAADSREIIFTRNATEAINLVAYSWGLSNLNQGDEIILTVAEHHSAIVPWQFVSQKTGATLKYVGLTKEEVPDIDQLKGLLSNKTKIVVVHHVSNVLGSMLPIEEIVTWSNKVGAKVLVDACQSVPHMTVDVQKLGADFLVASSHKMCGPTGVGFLHSKFEILSSMEPFLGGGEMIADVFPDKSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSHIGMEQIHEYEKELATYLYENLISVPNVRIYGPSPSQTGHRAPLCSFNVENVHPTDIAEILDLQHGVAIRSGHHCAQILHRTLGINASARASLHFYNTKEEVDLFIHALKETIDFLTSQH >Et_7A_051921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2875457:2877872:1 gene:Et_7A_051921 transcript:Et_7A_051921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGYTVQVTNLSSRVSESDLHEFFSFSGPIEHVEVVRSGEYGSTAYVTFKEPYALETAVLLSGATIVDQPVCITHWGQSEEPFNFWDRPTWHVEEEVEYRNYQACQFNTTPQEALTVAQDVVKTMLARGYVLSKDALAKAKAFDESNGLTAAAAAKAAELSKRIGLTDRVSAGVGALRSVDETYHVSETTKTVATATGRTAVKVVNGIVTSSYFSAGAMLVSDALTRAAKAAADLAAHGRQS >Et_6A_047186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26502383:26503075:-1 gene:Et_6A_047186 transcript:Et_6A_047186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNKQDFEERTFLEQVKHCEIGNSTAVYYGEFKCTGAGVDAKKKQRVGWVLELTEEQAMSFIETHYVFGDLWIQPPP >Et_1A_009089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28833542:28834928:-1 gene:Et_1A_009089 transcript:Et_1A_009089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGLDSGGGRAAHASVGNDSAQPFAGGGDRSSDACYGRRWATVAFFLDPNPDFVVRCFESCCSEANPPREISMNSGRRKTAPFLDLLTPSTIGHADDH >Et_3B_029540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25837401:25839795:-1 gene:Et_3B_029540 transcript:Et_3B_029540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLVSVDKFSGGSQAYFLTHLHQDHTRGLGAVGGWRHGPLYCSPTTARLLPTRFPGIDASLLRPLPPGASASLSLSSPTSDRPLTVRVTAIPALHCPGSLMYLFRGDLGCMLYTGDFRWELGCEKARRAKQVLLDALGEDTVDVLYLDNTYCHPSLNFPPRPVVAEQMVDIIRAHPDHEVIIGVDTLGKEDLLLHISRALQMKIWVWPQRLQTIHLLGVDENQEIFTTQTNLTRVRAVPRYSFTIENLEALNTVCPTIGIMPSGIPWLWKSSEDRADSKCRPPTKSVRFKGQNGGMVVMDYDPLLPPKLFEKDAYTLPYSEHACFLELKDFMQAVRPSTVIGIVSSSFCYVNPRHHFRHLCADNDVQAERSPAKNKGGNTDNLTPKRRPDNLMPKRRPNSSTSPKERKFRISSSSLYRSKVAMKRKECCGARIVDTEEVISVA >Et_4A_035850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7210899:7216205:-1 gene:Et_4A_035850 transcript:Et_4A_035850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSDPRAVPCGRRVASAPGKVLIAGGYLVLERPNAGLVLSTTARFYAVVRPLRDDVTPDSWAWVSPPQPRARFFSCFFSNPMVSIALTGSSAPAHYVPVLMPGVDGCEENRWVSVFVAVLLIVPLLHFRNSANPFVEQAIQFSVAAAKATITDKEKKHALDKLLLQGLDITILGCNDFYSYRKQIEARGLPLTPEVLLSLPPFCSITFNSEVANGTMTGEKCKPEVAKTGLGSSAAMTTSVVAALLHYLGAVNLSCLGQTSSDCATGWDLDLVHAISQSAHCIAQGKIGSGFDVSAAVYGSQRYTRFSPEILSSAQVTGGTCLPDVVSDIVTRSWDHEKTQFSLPPLMTLLLGEPGAGGSSTPSMVGSVKQWQKSDPQNSKDTWNKLGNANSVLENELRNLNKLAEDHWQAYESVVRACSFLPCSKWTEVATNQQQELIVRSLLAARDAFLEIRLHMREMGVAAGVPIEPESQTQLLDATMNMEGVLLAGVPGAGGFDAVFSVTLGDASNAVANAWSSAGVLPLLVREDCRGVSLEDADPRTREVTLDRLYHTYSAKSFAGSLSSLHA >Et_1B_010016.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:18389986:18390000:1 gene:Et_1B_010016 transcript:Et_1B_010016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWR >Et_10B_003465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:222081:227958:1 gene:Et_10B_003465 transcript:Et_10B_003465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRNVTAAALLLLVALLVAVQQGEAATAHSSRYTHRGVTSTYKRKHEASEDMPLDADVFAVPPGQNAPQQVHITLGDQTGTAMTVSWVTVEVASSTVRYGRAMGALDLVAEGAYTRYSYYNYTSGFIHHVTLQNLERATKYYYAVGDETVRTFWFTTPPAPGPDVPVRFGLIGDLGQTADSNSTLTHYEQHPGDAVLFVGDLSYADKHPYHDNRRWDTWGRFAERSLAYQPWIWTAGNHEIDYAPELGEGAPAFKPFTRRYPTPYRASGSSEPLWYSVKIGPAHVIVLSSYSGFTKYTPQFKWLEAELKLVNRSQTPWLFITTHVPWYNSNNFHYMEGEPFRVQLEKMAVDARVDVVFAGHVHAYERSHRFSNINYNITDAKCTPVPDRRAPVYITIGDGGNVEGLADELTWPQPAYSAFREYSFGHAVLDIKNRTHAYYAWYRNHDGNKVAADTMWFTNRYHMPNHDETLVHTSSAMVGGRRRLSLVAALLLVAAAATRSDAGVTSAYRRKLEATVDMPMDADVFRVPPGYNAPQQVHITVGDQTGTAMIVSWVTENELGNSTVMYGAAPDKLEMRAHGTHTRYEYFNYTSGFIHHCTLKNLKHSTKYYYAMGFGHTVRTFWFTTPPKPGPDVPIKFGLIGDLGQTFDSNRTLSHYEENGGDAVLFVGDLSYADNYPLHDNRRWDTWARFVERSVAYQPWIWTAGNHELDFAPEIGEETPFKPFTHRYPTPYRAAGSTQPLWYSVKLGPAHVVVLSSYSAYGKYTPQWTWLQDELKRVNRKETPWLVVLMHSPWYNSNGYHYMEGETMRVQFERWLVDAKADLVLAGHVHAYERSHRVSNVAYDIVNNKATPVPDASAPMYVTIGDGGNIEGIADNFTKPQPAYSAFREASFGHATLDIKNRTHAYYAWHRNQDGAKVVADGVWLTNRYWMPTDDDSSS >Et_4B_037851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24037287:24041767:1 gene:Et_4B_037851 transcript:Et_4B_037851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSRLTCSSAAAMAMAACSSSSDDDEVGEEIESAPPLGVGEERAIGSSGIRKRLLRAGQGWATPVPPDEVTVHYVGSLPDGTHFASTRDGAGESRTFNLGSDAFGEQGRAAKDQFSAVPPNSAVNVDVELLSLKPVVDVTGDMKVLKKTLRCGDGIRTPHDGETVRIRYTGFLEDGTVFEKLGFDEEPFEFTIDEEQVTVGLDSAVATMVKGELAKVTVKFEYGLGDTEVERQLITVPPCSTLIYEVELIDFTKEKESWEMSPDEKLEAAEKLKVAGNDLFKIGKFQRAGKKYSKALNYFNEDEHFEDGTEKSVTNLRVSCWLNHAACCLKLKDFAQAISLCSKVLEIESYNVKALYRRAQAYVESYDLELAKRDVLKALELDPNNKDVKLLQVNLKKLQVESNKRDAKLYANMFDRTAKESDVMSKKRRVESASHSEETKSVEEGKAKEAVKEE >Et_1A_007400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34117958:34122849:-1 gene:Et_1A_007400 transcript:Et_1A_007400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQLAALAGGGDGDMEEVALLGSYDEEAGPGPGPEEGDAEEASMRRVQVRVTGMTCSACSSAVESALSARRGVRRATVSLLQNRAHVVFDPALAKDEDIVEAIEDAGFEAEILPDSAVSQPKTHKTLSGQFRIGGMTCAACVNSVERILKKLPGVKRAVVALATSLGEVEYDPSTISKDEIVLAIEDAGFDAALLRSSEQDKVLLSVTGLHTEGDLDVLHDILKKMEGLRQSSVNFANSEVEVLFDPEVVGLRQIVDTINMESNDRFRARVQNPYIRAASNDAQEASKILYLLRSSLFLSIPVFFMRMVCPHIPFVSSLLLLHFGPFRIGDLLKWMLVSVVQFVVGKRFYIAAYRALRHGSTNMDVLVVIGTTASYVYSACALLYGAFTGFHPPLYFETSTMIITFVLFGKYLEVLAKGKTSDAIKKLVELAPATALLLLKDKEGKYSGEKEIDASLVQPGDALKVLPGSKVPADGMVIWGTSHVDESMVTGESVPISKEVSSLVIGGTINLHGILHIQATKVGSGTVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITLSLLTFFAWFLCGSLGAYPNSWSAETSNCFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGANHGVLVKGGDALERAQNVKYVIFDKTGTLTQGKATVTTTKTFSGMDLGDFLTLVASAEASSEHPLAKAILDYAFHFHFFGKLPSSKDSIKNRKEEIVSQWLLDVADFSALPGKGIQCWVNGKKILVGNRTLIAENGINVPEEAENFLVNMELNAKTGILVAYDGEFIGLIGITDPLKREAAVVVEGLKKMGVHPVMVTGDNRRTAQAVAKEVGIEDVRAEVMPAGKADVIRSLQKDGSVVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNVVAITVAAGALFPFTGVQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTLLQITVE >Et_2A_016140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21391130:21394071:1 gene:Et_2A_016140 transcript:Et_2A_016140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKRLSESRDLTRIERIGAHSHIRGLGLDSSLEARDTSEGMVGQLHARRGAGLILQLIRQGKIAGRAVLLAGQPGTGKTALAMGIAKSLGAETPFASVAASELFSLDLSKTEALTQAFRRSIGVRIKEEAEIIEGEVVEISIDRPLSGAGAAAPTGATAPGKTGRLTLKTTDMETVYELGGKMIEALGREKVQSGDVIALDKASGKVTKLGRSIGRSRDYDAVGAHTKFVKCPEGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVIATNRGITSIRGTNYRSPHGIPPDFLDRLLIITTQPYTEDDIRKILDIRCDEEDVEMSADAKVLLTKIGVETSLRYAINLITSAALACQKRKGKVVEMDDISRVYGLFLDVKRSTQYLMEYQSQYMFHEVAAGEADGDDAMQS >Et_10A_001687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6850359:6858165:-1 gene:Et_10A_001687 transcript:Et_10A_001687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRGGSAAAGDGGGRGRGRGAGGRRGGKRAAPASTLASPADDASPTKRSKAVAGEQSSGPAKAEAKAKAKEAEEQSTGPAEAEMKGEEWQRPVPWAKLLSQSSQIPHLPITGSHIFVGSGENCDICLDDQSVSSILCELQRLTVCFMLLCAVKEVMLLCFLSPCTNIVYFLSQEGGLCELKVIGKRGQVHVNGGSISVGAKVRLVGGDEVVFGRCGKHAYIFQHPLSDKVTKAVPISTLALLETPVTNVKSVHLENRTGDDSAEAGPTILSSVPFGDQLAIPAALSEENNLRVVESGKFTTNKNDCIRFPNPSATLSPSRCQNFKDVLKQGIVCPNDIEVTLDNFPYYLSENTKKVLLSSAFIHMKKKEFANRFPEILSVNQRILLSGPPGSEIYQETLIKALAKHFDSRLLIVDSLLLCAGDLRLDSSVCEVDSLSLGMLIEVVSEEVKSSNLIVLLKDVEKSFTEYTESHALLRNELPPGVLIIGSHTEAESRKDQNEAQLSHLKKQLEHDTQTLRAEANVLNIRKFLAHRGIECNDLDELSIGDQLLTSKNVDKIVGYAVGYHLRQNKPNLPKDANLLLPVECLKRGFSVVQSTHSGNKSSKNALKDVVTENEFEKNLLSNVIPPKDIGVTFEDIGALDNVKDTLKELVMVPLQRPELFCKGQLRKPLKGILLFGPPGTGKTMLAKAVATEAGANFINVSISTITSKWLGEGEKYVKAIFSLASKLSPAVIFVDEVDSLLGKRESSGEHEAMRKMKNEFMINWDGLRTKEHERVLVLGATNRPFDLDEAVIRRFPRRLMVGLPDASNREKILRVILSKEVLSSDVDLETLSNMTDGYSGSDLKNLCATAAMRPILEVIEMEKKEKSLAIAEGRPEPRLYGSDAIRALRMDDLKYAHDQVCASFSSDSRNMTELIQWNDLYGEGGSRKKETLSYFM >Et_9B_064007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1007652:1008558:1 gene:Et_9B_064007 transcript:Et_9B_064007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQILSLRLAKTPSNSGPIQLYGYMAARDDMDGRINYVFNHTRDDPVIARQGSLLEMTGPRRGIMMLADLLFEFDMSIKTGEKEEDDIQLIDGVIHNSEPMSPCPTTIRISGDYGGAVDMSYSLVESAVEAVIEVVISEVQRDFDLSLSSIVSIRKKRREVELFHGKVGEMGVKRSVVAVPWRSTMYLKFKIGQEGCENQASSGCLYIDEGDLVTSFLLNSWSCSPSICY >Et_2B_020175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17582719:17590011:-1 gene:Et_2B_020175 transcript:Et_2B_020175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGELQIWTLDNRVEQRWSQKWNIQHALNCIPGPYFVHRDKIMMQSRDCNLYLYVLLVMACEAKLSHMIQLLNLAPRKSEKKCNHAYKKTGIVHGTQQCEGWELKKWEAWEHKRHELEDKWSTIHELELKANPRHLGKILEFLSHLTDEKIRQHNLPDIPQQHPRSLQRLNWVEQKQDMEKLLVRTHGLETIVKVYAAGGRMPEEVRQRRHPVPVRHRRPVRSSSSHGILSLATRLAIACQSAEALAYLHSWASPPILHGDVKSSNILIDGDLAAKVSDFGASVLAPTEKAQLVTLVQGTCGYLDPEYMRTKPLNLQGPAREKSLAKSFIFAMGEGKLGEILDEQIKTEEDMEVLEQVAELAKQCLEMCGADRPSMKEVSERLGRLWKVTRRSWAHQQNTEQTEPLLGDSSSMACISEVDDSGNLSCDREAAKSLECATRRRVGKEACFLNILPRELIEQVFLRLPARNLLRCISVCKMWREIIRNPQFAMVHLQHAPSCTLLFSPTGTSASKGFYPSDAIIFDEAWLPSTWAVPVIGPDDLICGSCNGLLCLHTPASTIKIANLATGEHLHLKKPTRFLKDDHFSFYKFGFHPTTKGYKVIHFFQERGLNATGRCDVIQVYTLGDEKWKDVATPQSLSLSCVTNSGVVIVGGTMYWLTEDSAANWQHVVMSFDLGENTFTQIQLPAFGLEDGVFGAPRRYWVAEIERKGDKIVIQAHDNSLYSYQLLGKNFEIELSKMVKLVDLSPRGQVDMEFYSYVKSIVPLDL >Et_8A_058070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17648150:17654356:1 gene:Et_8A_058070 transcript:Et_8A_058070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPREVAPMEDDENRPPLTRALLHRSATNNTSQVAMVGSNPCPIESLDYDYWTAFWVFAGANLVLLLFASSITAFVSPAAGGSGIPEVKAYLNGVDAPNIFSLRTLAVKVIGNIAAVSSSLHVGKAGPMVHTGACIAAIFGQGGSRKYGLTCRWLRYFKNDRDRRDLVTIGAGAGVAAAFRAPVGGVLFALESLSSWWRSALIWRSFFTTAVVAVVLRLFIELCGSGRCGMFGKGGLIMYDVSTVFDDLMTYHLKDIPTVILIGVIGALLGGLYNFLMMKVLRVYNMINERGRAHKLLLAATVSIITSCCVFGLPWMAPCRPCPTTGPASSSPNGSCHTLNRFRRFHCPAGHYNDLASLFLNINDDAIRNLYSTGTNDVYHPGSMLAFFVASYALGVLSYGVVAPSGLFVPIILTGATYGRLVAMLLGGRSGLDHGLVAILGSASFLGGTLRMTVSVCVIMLELTNNLLLLPLVMLVMLISKTVADPFNASIYDMILKFKGLPYLDGHAEPYMRQLAVGDVVAGPLRGFAGVEKVGNVVHTLRTTRHHAFPVVDEPPFSPRPVLYGLVLRAHLLVLLKKKEFSASPELRWPKERVEGRFQAEDFDTRGSGKHDDIGGIDLSPEEMEMYVDLHPFTNTSPYTVVETMSLAKALVLFREVGLRHLLVVPKACDRSPVVGILTRHDFMPEHILGLHPVLLASRWKRLRWHKGAVGKYFRSLLMWLANRT >Et_1B_010593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1232282:1233525:1 gene:Et_1B_010593 transcript:Et_1B_010593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRSMRTCLHSGRLALLAILVSGGIVLQILACALYNNWWPMLTVLMYLILPMPLIFFLGSDTSSMMSNGGDGWVNFTKFLTGASIVGSIAIPSILKHAGVIGWGALTMELSSFVVFGVAILWFVQMNSEDEYSSVF >Et_2A_018528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31859318:31861866:1 gene:Et_2A_018528 transcript:Et_2A_018528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRRLSAPSLPEADGAIPDPFPPELRWPFGRLESLNRDELRESAYEIFFTACRSTSNHAAGTRLSSSSSSAAPASPGAGDHHHHPGGRTGSTPTRHEAAGVAGGGAKNMAVTSKLKRALGLHARKTRPMVGGGAGKAGNGGGAGRPMTSAEIMRRQMGVTEQADLRVRKTLVRSLVGPQMSKKVESLVLPLELLRHIKPSDFSDAGEHRAWQLRQLSVLEAGLVAHPSVPLDRGNGNPAASALRDAARSPSLDVRALSAAAMALSWRSVDACRWADGYPLNVHLYVSLLRAVFDARDETTVLDEVDELLELIKRTWTVLGLNRTVHDACLTWVLFERYVVTGQVEPDLLSATLAMLEHVRGDAENQVDVLAATLTSMHSWAEDKLLNYHEAFGDSESVGAMENVVSLAVSTAAMLGQYVPPCFGDNNAVGDHPSSWGSASSSFSAGEQVERYIKSSARHAFTKLHETGTTGGNMDSMIVEVDEDPCETLMYVAAQAKDLVRVEKERYSRVLRRWHPCPTAVAAAALHGCFGALLKRYVSRMACSLCSESVRVLHAASKLDKSLLQMAAEDDDPAAADAVREQMAPYDVDATIFGLTDFHFALIMAGPSESMLVHSADLLTTGSTLGCWGTTSLAGVRSILPISFTAFSMARAGRYMGTAMPSSPPAFLRGKMKLVIQGNMGVPEASAGPPYTGLPHPKSRSRNLALVVSDTKYMHVDGKPQARLCSTVMSAIDRM >Et_6A_045911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5617978:5618226:-1 gene:Et_6A_045911 transcript:Et_6A_045911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGACNLEVGNCMFMSHAPNFVLSLGDRRLAWSR >Et_2B_020870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24481927:24483545:1 gene:Et_2B_020870 transcript:Et_2B_020870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAFLLVLSLFALHLSCCHGQAAGDGNGGGGGNGVTAIYSLGDSITDTGNLVKEAPPGMFETIKHFPYGVTFGRPTGRCSDGLLMIDFLAQDLGLPFLNPFLGKNKSFDHGVNFAVAGATAMDPADQLNMSMPVPFAANSLKLQLRWFKDYLKDTQEASEFSEIGGNDYNYAFFANRSVNEVEKLIPGVVRTIIDAAKEVLDMGASRVIIPGNFPIGCVPGYLAMKAGSSDYDSMGCLREMNLFAAKHNARLQRAVADLGSSYPNASVAYADYYNSFLQLLNNASSLGFDAARTRKACCGAGGGEYNFDWTRMCGAEGTAACAEPSKYVSWDGIHMTQAAYRTMSRLIYHGKYLEPQILNFPVKNDAQV >Et_6A_045863.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20353404:20354314:-1 gene:Et_6A_045863 transcript:Et_6A_045863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSEDARGKRAVQAAGEDHLSALSDDLLQQLMTFLPSRSAVLTCVLARRWREQWKSVPAIRITREDAKRYWGPNALNRFVNCLLLFRNNLPLDEAELNAFEEGDDFEEAVRYLEPWVQYCLSCKVKKLCVSSNDEDLRWLLPKGLITSASKHLTTLELSRVQSEHDLDFSACVIPEDLKIEFSGIFGNKIFSPSLKHLSVKVCAFPESVRYQICA >Et_3B_027843.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:22192972:22193046:-1 gene:Et_3B_027843 transcript:Et_3B_027843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKEQKARLYIIRRCVAMLLCHHE >Et_3A_026887.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:25119782:25121194:1 gene:Et_3A_026887 transcript:Et_3A_026887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSIAALLLLLLPVAATVAAAAMDPAERETLFRVMEAVSSDRDWRSESPDPCGAPWPGLECKPVASGGGNAASRMHVTRLDFGVEPNPTCKDAAAFPPEVFSLPRLQSLFFVDCFTNPSAATALVLPPPANLSASGLQQLSIRANPALSGTVPPELAGLRSLQVLTISQNGLVRGGIPRGIGALTSLTRLDLSYNALSGAIPTQIGELKGLVGLDLSYNALSGAIPTRIGELRQLQKLDLSSNNLTGAVPDAVANLTSLTFLALSNNGLTGRFPLGISALRGLQYLIMDNNPMGVPLPPELGALARLQELRLAGSGYSGPIPEAFGQLASLTTLSLQDNNLTGPIPAALARLGRMYHLNLSNNALGGAVPFDAAFLRRLGQNLDLSGNAGLCLDGDRSVLRGVGVGVGSCRGAGGGDSSSAARGGVTGPGVMTRRRYSGSGDSFLFGLFGPACVAVSCLFALNAHAPY >Et_3A_023793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12287933:12291916:-1 gene:Et_3A_023793 transcript:Et_3A_023793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIEAATDLNSSRRSQDDTATLIPHSGNMEDANRKGTKTTRFKDDDEVVEITLDVQRDSVAIEGVRAVDDGGGFDGLSLESSPSTRSGKLASKLRQVTNGLKLKNSSNRAPQSQLGKNMRKRVLNRTKSGATVALKGLQFVTAKVCNDGWPAVEKRFNHLQVDGVLLRTRFGKCIGMEGSDEFALQVFDSLARKRGIVKEVLTKDELKDFWEQLSDQGFDNRLRTFFDMVDKNADGRITAEEVKEIITLSASANKLSKLKERADEYTALIMEELDPNNLGYIELEDLEALLLQSPSQAASRSTTTHSSKLSKALSMKLASNKDTSPFYHYWQEFLYFVEENWKRIWVMTLWLSICIGLFVWKFIQYRNRAVFHIMGYCVTTAKGAAETLKFNMALVLFPVCRNTITWIRSKTKIGAIVPFNDNINFHKVIAAGVAVGVVLHAGAHLTCDFPKLLHASDAAYEPMKPFFGEQRPPNYWWFVKGTEGWTGIIMVVLMTIAFVLAQPWFRRNRLKDSNPLKKMTGFNAFWFTHHLFVIVYTLLVVHGICLYLSRKWYKKTTWMYLAVPVLLYVSERIIRLFRSHDAVRIQKVAVYPGNVLALYMSKPPGFRYRSGQYIFINCRAVSPYEWHPFSITSAPGDDYLSVHIRTRGDWTSRLRTVFSEACRPPTDGESGLLRADLSKGITENNARFPKLLIDGPYGAPAQDYREYDVLLLIGLGIGATPLISIVKDVLNHTQEGGSVAGTEPEGSSKAKKRPFMTKRAYFYWVTREEGSFEWFRGVMNEVAEKDTEGVIELHNHCSSVYEEGDARSALIVMLQELQHAKKGVDILSGTKVKTHFARPNWRSVFKHVAVNHENQRVGVFYCGEPVLVPQLRQWSADFTHNTSTKFEFHKENF >Et_7B_054421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21175396:21176778:-1 gene:Et_7B_054421 transcript:Et_7B_054421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLQAKSFRRKASHQSHKAARPARWPTRVVDGFRRMLVGLFSFPPRPPKVTFSSADGEHHRGGGGGDVPKRSSWSSSNLQPLNAHYDEAISDCVEFFNKSARVDLRQRKRMFDKLRQDAKIELVR >Et_9A_062432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22905134:22907126:1 gene:Et_9A_062432 transcript:Et_9A_062432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLTATPSATIRLGAPHPYLRTHGTKVARLHLFDWIVLALLVAIEVGLNLIEPFHRFVGEDMMTSLRYTLKDNTVPVWAVPIYAVIGPIIIFIAIYMKRRNVYDLHHAILGLLFSVLITGVLTDAIKDGVGRPRPNFFWRCFPDGVGNYDNITRGAICHGDPSVIKEGHKSFPRSFAGLGFLSWYLAGKIKAFDRGGHVAKLCIVILPLLLAAMVAVSRVDDYWHHWQDVFAGGILGLVVASFCYLQFFPPPSGEQGLWPHAYLVHILSPEGENRVQSTTNSNHHQSLSGGPVAMEMTTTSQALDSMEAGDRPR >Et_6A_046088.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:23192322:23192450:-1 gene:Et_6A_046088 transcript:Et_6A_046088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSSATLLQEHLRLWVCRAPKSISIQPLEDSCTLEVDVIR >Et_1A_006270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19924061:19929213:-1 gene:Et_1A_006270 transcript:Et_1A_006270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMGFSYAQIHVRRERCKLAVQEHEKKAEAMGGGEEEGDKRRTADDDASKAAAGSWASVRMTSMGFTYAQISAQQERCKLAAKAKEKKTMEATGDKGDDGNKRPHVDNSKDSWATGRVHPCAGTVAALPPNGAN >Et_3A_023596.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:9609312:9609491:-1 gene:Et_3A_023596 transcript:Et_3A_023596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VEPLLASPFDQFEVLICPRECNRIADSLVFSLLWSARNGLWVDVHESSVCGLSSLWPFA >Et_7B_053290.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:22198475:22198801:-1 gene:Et_7B_053290 transcript:Et_7B_053290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALSYTLGIPLRLEIVDQFLKEGVVQVQRVNFFPRSESRVSTASGSLDSVVSCYSSSTAEKPPKQGRDGDSVRQTGVSIHGNLVSSCGMPSVTLLCRLGQWDILYHK >Et_5A_042619.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:22341475:22343841:1 gene:Et_5A_042619 transcript:Et_5A_042619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIFENFERHDIAATAISEDRDWGFITEDDAIQHWRSSVHPDLGKQVEVIGFDCQIEQIKDDLLDRENKHLSVFSIVGPGGAGKSTIASKVYGLAEVIRHFQVRSWITVSQRSVAHDLLKEMVKRSMGVRQAKMLDTKNLTEVKKILHDFLQRRRFLIVLDDIWGAYAWDSISDIFPDTKNGSRVILTTRNEVVAKHPYALKKIYTPKLLNDKESKQLLLKTALPEYILDDSSGKLAVMNRNLDDFKKVGKDLAKKCCGLPLALVVLGAHLSKNLDIAEWRKLTSSVDWHALINTDSVIGAILDLSYHDMPSHLRSCFLYTTAFPEDTHIDAHLLSNLWVAEGFIPLVRGRTREEVAIKYIIELAQRCMIQVAKRTHSGMISLIKVHDVLRDWGIGRARREGFIKDCHNAEDIKAHYSGEMMHCYRVVLHGLMQSEFGKSMRKLRTLLDFTLSSVNNRMKASNTLGGFHHLRVLYLQGSAENVHLPKEIGWMRYLRYIGLGGSCIYHLPSSIGNLLNLETLDASGGKLYDIPGSLWKISTLRQVYIFWVRCWSVPRISPQSNIHVTVCYSAVIRGALVCTADYKRVMEATRRLLSEDRKPNLSYCFGMKYVVPYRLDQLEVVGRCKGDNQFRNDLTSFREWADVCSALKICCPNLLSNEQKLLELMGMKFLRVLEVGEGSYTGSVINFPRGSLPRLLQLVLHDLAVEDWKIEDECMTSLMELTLCKCPNLHYLPEGLSLLPDLKRVELIAMSTSCYQESSVARELDNKGCVVFISTIEKDFKHLDMP >Et_4B_037031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13840800:13841806:-1 gene:Et_4B_037031 transcript:Et_4B_037031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IINWMQSRFNGKAENSNGVFAMLNVCFPALELGVVVQESYQVIHEAEKHLNVEQWPQAGFLSIGTLGNDEPPVQEQGLPEFTVEEVKKLQDALAKLLQRAKSKSRACGSDAGEDSQPLDRFLNCPSSLEVDRKAQSKNAEGDGDLSPDTKIILTKARDLLSNSSGTGIRKKSFKFLLKKMFVCHGGFAPTPSLKDPVESRMEKFFRTVIRKKINARQGSSTEVSRKYLEAGPKGKRQGDRRCGSEDEEGEESCRWDRTDSECTSICYSLLINAANAKLFI >Et_5A_041425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22413851:22423581:-1 gene:Et_5A_041425 transcript:Et_5A_041425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRGVPPTDLKVWKSTRPWRLSRAHELMQPSCSRDKGEAAVVGTNPAVRKRLWMKPRSGGVRWNSRYRRRKVRSETRPSQDLQTREARMRWAGSSDGIRMRISAMASALSSGGAIAGSCSGR >Et_8A_058169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22658364:22661407:-1 gene:Et_8A_058169 transcript:Et_8A_058169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMTYMFKYDTVHGHWKHSDIKLKDSKTLLFGEKPVTVFGVRNPEEIPWGEAGADFVVESTGVFTDKEKAAAHLKVIHDNFGIVEGLMTTVHAITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPDLNGKLTGMSFRVPTVDVSVVDLTVRTEKAASYEDIKNAVKAASEGKLKGIIGYTDEDLVSTDFVGDSRSSIFDAKAGIALNDHFVKLVSWYDNEWGYSNRVVDLIRHIAKTQ >Et_7B_053735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11672122:11682396:-1 gene:Et_7B_053735 transcript:Et_7B_053735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRCTCSSSSHGDPPRVRVAPLQFVHHLRHRPLRAVLRQGILPLNSIRETSHELAHHVKVVAENICSLRRGVELLPQPQQRHGLAGLRLLHDMLLERDRAQPRSRRPDHEADEQQVRRRTPRQGQSSISLITKTLALSMVVRHKHTCSSSSKASLLEFDSLTISGTQYCAMAFSRSMSPERRATSWLTVSRSLPRTYVPFAAVSSCSRSRSKDPVLLDLVFLAILFLSVIGHSPAAAGPTMRPTNSRCAAAATAATAAAPQATTRPTFKSFLTAFTIFSFLLKLKSCLLGDLLDHQHSSPINGGKAQTHVLFQLQGEPPRVRVTLLQLAHHLRYTIFRHGVLPLNAIGETSHKLAHRVEVVAENICSLRRRVELLLQPQQRPGLAGPRLLRDQLPERDRAQPRCRRPDHEADEQQVRRSGDGGHRSRATRHDEADLQELLDGLHDLQLPRHLLVTRSDAPEHGSVLVLDLSEVAPRGAEWVECKGSTCTGCKEALDVPEQLNANLRGLSTGVE >Et_7B_053734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11660132:11661269:-1 gene:Et_7B_053734 transcript:Et_7B_053734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HPTPQSLRLAANGAVTGSTASTEHQSTLAAKCAAQHCSSSSRGRRRARVRTRASTRATDRAMGGEGGGRRGEARHALLLALALGSLLAQPCRASFFFFGVRRAYTVQVVSACVTASIASRHSFFFCFGELLLSCAFVRFNQPRDTGGHRDEKVPMTVVVPDYSPRPEPLADAGAPAPAPSAAAAAGGPAPMPVRGSDPDGMPRLPSERRVPLAPSSSGNDNNHAGAEAPTSTDFISSSPAVPLPAGVTDSATVLPMPTPGQQHHRDDTGMGARRLQAPAVQLAVPLLMMMLSLGALC >Et_4A_033694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25948581:25953102:1 gene:Et_4A_033694 transcript:Et_4A_033694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSRFTCSSAAAMAMAACSSSSDDDEVGEEIESAPPLGVGEERAIGSSGIRKRLLRAGQGWATPVAPDEVTVHYVGSLPDGTQFASTRDGAGEPRTFNLGCDAFGEQGRAAKDQFSAVPPNSAVNMDVELVSLKPVVDVTGDMKVLKKTLRCGDGIRTPHDGETVRIRYTGCLEDGTVFEKLGFDEEPFEFTIDEEQVTVGLDSAVATMVKGELAKVTVKFEYGLGDTEVERQLITVPACSTLIYEVELIDFTKEKESWEMSPDEKLEAAEKLKVAGNDLFKIGKFQRAGKKYSKALNHFNEDEHFEDGIEKSVTNLRVSCWLNHAACCLKLKDFAQAISLCSKVLEIESCNVKALYRRAQAYVESYDLELAKRDVLKALELDPNNKDVKLLQVSLKKLQVESNKRDAKLYANMFNRTAKESDVVSKKRRVESGSHTEETKSVEEDKAKEVVKEE >Et_7A_051954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3157799:3165052:-1 gene:Et_7A_051954 transcript:Et_7A_051954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGSAPAASPALLRRCPCSAPPWAPSPFRSRRRGRSMTSPFTVGRRQYYSQSSGIGIQDNIPPKFGACVSFNVQSSAQEWAEESKRLSFIRTTNNVMNSICNGSTHLGAGILQHEPSEDYKSSIHSSLLSMRQRMAPNSLANRHANTELAKRRVNRAAGAVSALVSVVKDDTKPVNRSNDPEVEAHWPNGSKFRASLPKISEVKTSLQFDEKYRDSDAPAELEGSAEKTAQPSPARAPLSKEAIDARKALGTIYEKVLVVDDVKSARSVVQLLTSKYRNFIHACDTEVSNIDVKQETPVGHGEVICFSIYSASSGAEADFGNGKTCIWVDVLDGGQDVLMEFAPFFEDPSIRKVWHNYSFDSHIIENYGIKVDGFHADTMHLARLWDSSRRLDGGYSLEGLTNDQRVMGALPKELQKIGKRSMKTIFGRKKIKKDGLEGKITSIDPVEILQRQDRELWICYSSLDSISTLRLYESLKSKLERKHWTFDGCPRGSLYDFYEEYWRPFGAILVKMETAGMLVDRAYLSEIEKVAVAQRKLAADKFRKWASKYCPDAKYMNVNSDTQIRQLFFGGIENRSRPGETLPMSKAIKVPNDGNAVVDGKKVPKYRTIELFSIVEGLKADIFTASGWPSVSGDALRNLAGKIPSDLVYATDDGEDDSESSDHDLEDTSFYGTAYEAFGGGKEGKEACHAIAALCEICSIDSLISNFILPLQGSHISCKEGRIHCSLNINTETGRLSARAPNLQNQPALEKDRYKIRQAFVAAPGNTLIVADYGQLELRILAHLADCKSMLDAFKAGGDFHSRTAMNMYQHIREAVEEEKDAFGAERRKAKMLNFSIAYGKTAHGLARDWKVSVKEAKDTLKLWYSDRKEVLAWQMKQRELAQEKCEVYTLLGRSRRFPNMAHVTSGQRGHIERAAINAPVQGSAADVAMCAMLEIDRNVRLKELGWTLLLQVHDEVILEGPAESADVAKAIVVECMSKPFYGTNILNVELAVDAKCAQNWYAAK >Et_5B_044438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:24094250:24096724:1 gene:Et_5B_044438 transcript:Et_5B_044438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTAKPSPPPPLRCSHVSLLRRSRRVASVVRAAPDAPPVVRAAVGAATELLRALSPNKKPRQALNLLSCSPQGPLPEQKSPWHALLLALFSSADAKHVGLVREAVQEELDIPRSVDGVLAVLVDDYQRAYFLTGRSRYAQNLDLLVPFFDRPSLELENIEKARIYAFGLRVETKFIKATWVLRTQLRLPWRPLIAIRGNTTYDLNEDYKVTRHSESWDVSALEAIGQIFMSAAEQKGR >Et_3B_029506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25561705:25565119:-1 gene:Et_3B_029506 transcript:Et_3B_029506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSCLLMDLFCSLFSFETKTQLVYVHQSFRGLTGKNATRIGPWGGLGGDPWDDGVNSAIRQIVITHGTAVDSIKIEYDMKGSSVWSEKHGNGSGNSKTDQVKLDYPKEILTSVSGCYGAVGASVVIRSLTFESNCSKYGPFGTEQGTFFSLPVSSGRIVGFHGRSGSCLHSIGCHLNKDKNTNLSGAPSALRSITRSSDRNGHRYADSSAGYDMVLAARDRGDNYAIQTTSLPKEQYPNPSRDGIVCNRMVSIPSFISDNGSVTISTPERFGPWGGTSGTIFDDGIYTGVRQINLTRGLGISSMKVLYDRNGQAIWGDKRGVSGGARPEKVIFDFPSEILTHITGYFGSTMIMGPTVIKSITFHTTKKSHGPFGDEHGTFFSSCLTDGRIVGFHGRAGWYIDSIGVHVLEGKVLPQRSDLALTDTSPSAQSEALALARKEIGDQVTYGVVKEPVPVGPGPWGGEGGKPWDDGVYTGVKQVYITRTDFIGSIQIEYDRSGQSVWSAKHGNGGQIIHRIKLDYPHEVLTCIYGYYNTCAEEGPRVLRSITFVSSRGKYGPFGDEIGAYFSSATTEGKVVGFHGRSSQYLDAIGVHMQHWLGVNKTSAPSSKYYISRAAYGAQLMIRTSSALFDHLSPWLQANLMVWWGDTERIIDLNY >Et_1A_008347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6816343:6816723:1 gene:Et_1A_008347 transcript:Et_1A_008347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAGMRDGRITTWNFSSKEICVEPVISLSVSTTSLFSAHLRRPPKYVSFQGWAHIMYETLQPCNASKHSLSIKQLYYLAPWTRLLRSGLLESGSLEMQHTEEQ >Et_1A_005441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10435516:10437150:1 gene:Et_1A_005441 transcript:Et_1A_005441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YAAHKGLVVVVEHLSTQALSIHFFYSISDSGFISNQLPWQPWSNIPVHGDGPSNPLAGGSPQTMHPPPSQEELVLRYDEIRRIAADNALVLEDILRLRQELAPIEDEIGILINQTIPGILANNETECRDIIQGGLKLEEEMHALEPIRAEVLHLSSEKLKLQTSREGLSAKVESLCRELKQLQSEHKQIPAVRAELHDLQAEILRARAANDYEQRAKVELLEQRRAMERKYFNMKMEAERLQEELEKRGRRPGRLKS >Et_4A_032226.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2522873:2522929:1 gene:Et_4A_032226 transcript:Et_4A_032226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRRHYFELPIALGPCC >Et_1A_005763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13760484:13761239:1 gene:Et_1A_005763 transcript:Et_1A_005763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVTLKLIADRSARRATFKKRCASLMKKAHELSTLCGVDACVVVYYGGEGGAPASSLPDAARVLNRFREMPEGERSKNMLDMAGLLRQRNDKVRAQLDKARRANDEREAALLLSGAVDGRRPVAGLSAEELAVLGPAVSARLKSVEERIQRLYEQGHGDGDPLTALHLSLASLPPPLLLPYTAGSGSFGGDAAGASTSGGAGDTDDMPQAQLGNTTTFAGGFPWAAPLGPLFFPPPPM >Et_6B_049705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9250813:9259453:1 gene:Et_6B_049705 transcript:Et_6B_049705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRPLAAALGLLLVALLAGGGAADDASSDDDAAVLRTPGCSNKFQLVKVKNWVNGTEGTTVVGLSARFGASLPRDVHEAKRTFAVLANPFDCCSKLTSKIGNSVALATRGECAFTAKAKNAQAGGAAGLLVINDNEELYKMVCSDKDTSINVTIPVIMIPQSAGKKLKDYLDHGASVEVQLYSPNRPVVDLSACFLWIMAVGTIVCATLWTEFVAMEHVDERYNQLTRKDGPNSGANHSEDKEIFEISAKGAIVFIIVASVFLLLLFYFMSSWFVWVLIVLFCIGGVEGMHICLVTLFSRFFKDCGQKTVHLPFLGEVLTLSVGIVPFCVVFAILWAVYRHASFAWIGQDILGICLMITVLQMARLPNIRVASALLSAAFVYDIFWVFISPLIFHESVMIAVARGDNSGESIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRFDRASRKGILNGYFLWLTVGYAVGLFLTYLALYLMDGHGQPALLYLVPCTLGVIAILGWLRGELHDLWNYGKLQNENLVDEP >Et_4B_038320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28021855:28024945:-1 gene:Et_4B_038320 transcript:Et_4B_038320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPKSAPGGKRNGSSVRIAGARSSSRREDDKGKGAKLGGWNKSEKREEHKGRQGSMGTRGRRSDGVPSVGVKKSVSATSEPQRRKRKISDGSWDDDGGVKSSAKQGFTRKSASTVTRGKYSASKGDRLKSQNLDGDDLYSSRSNSKASGISGGVKGRSVHGKNVEAPKGKKFGESTEFRRKKGGTKDVGLDEQAVDGKNSDDSGSITEEKKPRPRLTRVLDQTGKKVKPAKKDVVPDSEEPTPKKKRKRMKLDPYDTSNKRIDEFPPKQDVSNAEKVLEKCASEETEMSINAKFRAIQPSPSILSYVEDNLLGRRRLNEIKNAGYNVKISAPLDNVPFSTSTERERIEESVFRNKLEFFAAAKISSSFPPPTIPEIAFAGVSNVGKSSLLNALTRQWGIVRTSDKPGLTQSINFFRLASKLCLVDLPGYGFAYAKEEVKESWQELVKEYVSTRVGLERVCLLVHTKRGMKPLDYELVDLMEKHKTPYQIVLTKTDLVFPIDVARRAMEIQESLRKNKSVVNPVMMVSSKTGAGIRNLRGVLGKLARFIKP >Et_8A_058435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7695530:7697512:-1 gene:Et_8A_058435 transcript:Et_8A_058435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWYVAGPLGKWIGHALADVGVLGITALSCLFVIPTIALEVWKTKAMGCCIAVSELSQICHSWYTSKFRSGLMFIAE >Et_3A_024449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20965573:20967793:1 gene:Et_3A_024449 transcript:Et_3A_024449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAAALLLLRKASPWSVIGGAAAALLLWCAVQVLEWAWWAPRRVDRALRAQGLSGTRYRFLWGDIKEDKRLTAAALARPVPLDRPHDVFPRAAPGLHRAIEQHGKCNSVVPPQYQPSIYVWVLISGTKYCSQENSRSHGSGRARVIITDPEQVRDVLSNKSGDFVKTSLATRLSRVLIGGLAVLEGEKWVKHRKIMNPAFHAEKLKGMLPAFSASCSELIDRWENLVAASVGPAELDVFPEFQNLSGDVISRAVFGVSHQEGRRIFLLQAEQTERLVRAFRTNYIPGFSLLPTENNRRMKANDKEVKKILRGIIEKKEKAMNNGEATQDDLLAMLLESNMNYSDSEGKSSKGITAEEVIDECKLFYFVGMETTAVLLTWTVVLLSMHPEWQDRAREEVLQVFGQNTPDFSGINRLKTVTMVLYEVLRLYPPVVAMNRRTHRRTELGGVTYPPGVMLVLPVMFIHRDPALWGEDAGEFNPGRFAEGVSKACKDPGAFVPFSWGPRVCIGQNFALVEAKMAVCMMLQRFAFELSPAYVHAPYTVLTLHPQHGVPVRLRGL >Et_7A_051607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21217894:21230323:1 gene:Et_7A_051607 transcript:Et_7A_051607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSDSSSSSSFGGADPRSFRQITRDRLLIEMLRSTRKSSKSTWKVLIMDKLTVKIMSFSCKMADITEEGVSLVEDLYKRRQPLPSLDAIYFMQPTIEKAYVFFSSPVQRELVAHIKKDSIVLTRIGALSEMNLEYFAIDSQGFLTDNDKALEELFSESAEGSHKYNACLNTMATRIATVFASMREFPRVHYRVARTIDASTLTTLRDLVPTKLAASVWNCLARYKSTIPEFPQTETCELLIVDRSIDQVAPIMHEWTYDAMCHDLLGIDGNKYVQEVPSKNGSANERKEVLLEDHDPVWLELRHAHIADVNERLHEKMTNFVSKNKAAQLQQARSGGELSTKQLQRMVQALPQYSDQIDKLTLHVEIAGKLNNIIKEQHLKDVGQLEQDLVFGDAGTKELINFLRTRLDVSRENKLRLLMIYASINPEKFESERGAKLMQLAGLSADDMIAVNNMRCLCGPDIKKSSSVAGFSLKFDLHKKKHGIRKDRIGEESTWMLSRFYPILEDLIEKLSKGELPKDEYHCMNDPSPSFRGIPGSTSARTSPAHQPAQSMRSRRIGGTWARPRNSDDGYSSDSVLKHASSDFRKLGQRLFVFVIGGATRSELRAAHKLTGKLKREIILGSTSLDDPPQFITKLKMLSTEELSLDDLQI >Et_1B_014340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6341141:6342265:1 gene:Et_1B_014340 transcript:Et_1B_014340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERNTQYHQGDEAQEKAADQVEVKERGLLDTLLGRKKPEDQEKKQEDTLVSGMENVKVSEPEKHDEVKEEEHHGGEKKESLLAKLHRTGSSSSSSSDEEEEVIDDNGEVVKRKKKKGLKEKIKEKLPGHKDHDAEAEHRPYAPTPVPAPTHAYHQEAEHKPYAPAPAPAPTHFHHEPAEHKPYAPPAGVETHAYKEDDDHKAYVPAAAPAPAPVTTHVHHDDNAVVVQKVEATPEEEKKGLLEKIKEKLPGGGHKKPEDAAAAPADHHAPAPHAEDVSSPDGKEKKQGLLGKIMDKIPGYNKTPAEDQDHKHADAGVHKTSSA >Et_2A_018518.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31430037:31430431:1 gene:Et_2A_018518 transcript:Et_2A_018518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRQASCRGKPAAGVWRSRRRLRVRAGGGARLGLLLRLRVRLSGVVGLLLRGVEELGCRPSAAARWSSSSAPTRARGPAHCHGRCPGSRDQSSFYAEAIADCLEFIKRSSCLEN >Et_10B_003821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6432407:6433686:-1 gene:Et_10B_003821 transcript:Et_10B_003821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRSLQNRVRYLENRVRELETQLSDDRRMFGYRIRDLVRKNGELSGQKDRLERQLEEKMRTAQVFSNQASTLEYRVQELKRKNTELFAEKGQLKKQLDDTRKAGLLFMNAADEYQEVVHKQVMATVEESNDTKKAGLLFMNAADEYQEVVEKEVTEKVEELKDTRMAGLLFMNTADEYQEIVEKEFKEKVEELKDTRMAGQLFMNAADHYQELVENEYKAKVEELKDTRMAGLVIMNAADEYQEVVEKEFKVKSKEYEVLQAQKAKMDVRATSLESEFQETMAEKEMEVDAMVKKREYNLEENDKLQLDALTLHSSA >Et_7B_055395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9918132:9923533:-1 gene:Et_7B_055395 transcript:Et_7B_055395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYVYVLEARGLPARRDGELFYAKVTLGKKQRFRTRAVEPGPSGAAAAAWNEEFVFAVGAEGAGGGGEVEVAVARRRRRDGRRRREVMGSVRLPVPSASAAAAPGERRSVPPTWFTLQPPTELSRRKGANSDEDAAAAPDCGKILLTFSLYGETNDHSVIHQSLCSSSRSDTDTEIDNVEHSGCSHGMADSPRSHVSERTSLDNSDHSVQTDSNSIAEDDDLVETSPATANGASDTEAMAPDASFEEAMEVMKSGSTPDMPEDLDSGVIFEHTYLVDSKDLNHLLFGPDSQFSKDLREHQGTMDYEEQPWTWKSKDPPSLTRTCHYTKGASKLMKDVKTTEEQTYLKADGKNFVVMTRVRTPEVPFGNCFVVVLLYKIIHGTGLSSGEESAHLTVSYNVEFLQSTMMRSMIEGSVRDGLKENFESFGEILSRHVKLADSVSMDKEQLLAPLQAEHQSDIRLAYKYFCNFTAISAVLIAIYVLVHILLSRPGPVMGLEFNGLDLPDTFGELITSGVLVLLMERLLNMVSHFVQARVQRGSDHGVKANGDGWLLTVALLEATSLPPVSSGSVDPYVVFSCNGITRTSSVQLQTQEPQWNEIMEFDAMEEPPAVLDVEVFNFDGPFDLAISLGHAEINFLKHTSVELADIWVPLEGKLAQTCQSRLHLRVFLENTKGPETSMREYLKKMEKEVGKKLHVRSPHRNSTFQKLFGLPQEEFLIADYACSLKRKLPLQGRLFVSARIVGFYANLFGHKTKFFFLWEDVEEIEVLQPSFTTVGTPSLLFTLKSGRGLDAKRGAKSQDKEGRLKFQFHSFASFSKSSRTILGLWKTKSSAIEQRAKMEEDHDDDESSIDIDDVQAVLSIGDVPLSKEYTVDHPINADLLMGVFDGGPLETRTMNRVGCLDYSATPWEHARPGVDERHVSYKFNRYMSIFGGEVVSTQLKFPAEDGDGWSIHDVVTLHNVPFGDYFRVHLRYNVYSVASEPASCRCEILVGIEWLKSSKFQKRIARNICEKLAHRAKEVLEVAGKEIAPAVSGPQASAFPS >Et_10B_003819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6421527:6423038:-1 gene:Et_10B_003819 transcript:Et_10B_003819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKSAAAAAAAAANGNGHHAVSAAAPAEAPVGKENVPGMQDRKAEQLKALNTMLLKEATERRGQVAALTARLDELSADDAALAATERAVGRAALAAPLRAAADEVAALRARLAAAQESLRVAESRAALEAGARGEADARLEAAAAEMAVSMKLLREKEAEVASVCEKVAAAEKKHSMSVAEVESLRVELSALVKAKEASAKAFDAEKAQLVRELEGLMKKLEEVQAEKEAAEGATREKDAQTGKLRGELDDLHSSMSQLQVSFNELDTKRSRLNDEKKSVLKALDAEKAEAAKLRLKIEELENCNGKKDEDIGMLKAALEEKKGKIDTLSKDMELLQLAVAEAQKRKKGGIWTWLYAATTTMVAAISFIYAT >Et_4B_039331.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1062225:1064747:1 gene:Et_4B_039331 transcript:Et_4B_039331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVTLLLILPFLAPSTALAAFTPAFAAFLACGAASNVSFPARTFTPDDAFLTSSRVPAVANSNSNPASPLYAAARASTSAFSYKFSPSATAGQFLVLRLHFFPFPAVSISSARFAVSVPGAYTLLSSFTPPSAGVVKEFFVPADGSGEFRVAFTPDTGSSAFVNAVELFSAPPELLWNLKVTPVGAVEANVSLWQQQALETVYRLNVGGPKVSTDKDTLWRTWLPDDPFLFGPPGVSTLNSTTSPIVYASYTREVAPDVVYSSQRATNASAMVGSRTPGNFNMTWTFPVDQQSDYLVRLHFCDYELVSSVEGKGMVFNVFVAGAMGTQDLAPTQNEQRSNTAFYIDYAATAPVSNGNLTVSIGMSAKGPPGEGGILNGLEIMKLRSGSSGSGTSNSKKRLVTIALSAVLGASVLVSAAVLCALFVLRRRRRRRMTRPAPEEEKGSTQAAPWSPFTQDVPSWVEQQSSRSSGTPGRMQQRVTTQLHIPLAEIKAATDGFHERNLIGVGGFGNVYRGVLADGTRVAVKRATRASKQGLPEFQTEIVVLSAIRHRHLVSLIGYCNEQAEMILVYEYMEKGTLRGHLYGSDEPPLSWKQRLEICIGAARGLHYLHTGYSENIIHRDVKSTNILLGDAYIAKVADFGLSRIGPSFGETHVSTAVKGSFGYLDPEYFKTQQLTDKSDVYSFGVVLFEVLCARPVIDQGLDRDQINLAEWAVKWQQKGQLDKIADPRIIGQVNENSLRKFAETAGKCLADYGVDRPSMGDVLWNLEYCLQLQETHVRREAFEDSGVAATQFPEDVVVPRWTASSTSFLTIDDSVVSDAGVPDSKVFSQLSNGEGR >Et_8B_060710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5345774:5346896:1 gene:Et_8B_060710 transcript:Et_8B_060710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLAQLPCTCLSLSSSPEKLFQLVKLLKKEAESSSGEGRTEQAGVHDQEVASFHSAGEVPKGLCPVYVGMSRCRYLVAEEVVGHPLFQALGDRTGGGTRWRCSSTCSGCPAAGVARSTTTLAQSPHRLDQVTVCSGMERACEEDSWSWADLSSAAGSAAGACSRLSHSYRVT >Et_4B_038108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26306585:26310050:1 gene:Et_4B_038108 transcript:Et_4B_038108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGPSSAAVSAPRDAVINSDGVNVVPEVWSVLDKIKQFSETFRSGSWVGATGKALTNVVSVGIGGSFLGPLFVHTALQTDPEAAECAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIVSSLGPQAVSKHMIAVSTNLKEFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQKFLEGASSIDKHFYSSSFEKNIPVSGLLMVLLGLLSVWNVSFLGYPARAILPYAQALEKFAPHIQQLSMESNGKGVSIDGVQLSFETGEIDFGEHGSCRYVFIYTLYVWLRSICTTGQQLTVWLTFPNEQLTTGRRTRKKCDGPSAQPHGQCRSARRWRLGTCCRGYLFAGFISSEKDNSPTVPLYSEIAMGTCLIRSMSDVYGPGALALYPAPEPAAAVPNVMLCPGRTPSFRSSKDMSPSSALTICSQNTIAYASATCSKGSTER >Et_1B_011832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26414935:26418291:1 gene:Et_1B_011832 transcript:Et_1B_011832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLQEVSARRALGLSQKHPSCARRLEQHSDVGSDPLTIDGRHTASSSGLQSAADACCGRLVRSGTCCTWGLSRRHRPCRARRLVQHLAVGVDPLTSDGRHADSASGSHRPPADATPARIAAAATSATSSAAIFFAIYNAYRNLFLLFSTLLH >Et_2B_020995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25563371:25566378:-1 gene:Et_2B_020995 transcript:Et_2B_020995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAAGTSNGGSGDAGGAARRNTRMPKYSKFTQQELPACKPILTPKWVVSVFFIVGVIFVPIGVVSLLAAQDVVEIIDRYDEACVPSNMTENKLAYIQNSTISKNCTRTLTVTKEMKQPIFVYYELDNFYQNHRRYVKSRNDAQLRDAKKPNQTSACEPERYTADGKPIVPCGLIAWSLFNDTYSFTRGNENLTVDKKDISWKSDREHKFGKDVFPSNFQNGSLIGGAKLDPKIPLSEQEDLIVWMRTAALPTFRKLYGRIYVDLKKNDTITVNLLNNYNTYSFGGKKKLVLSTATWLGGKNDFLGYAYLIVGGLCIFLSFAFTLLYFIKPRKLGDHNYLSWNRHPGGR >Et_5A_042659.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24515329:24515823:1 gene:Et_5A_042659 transcript:Et_5A_042659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEPAAQLPDLAKESSTSSAPAAAGQEEAPQEPVLEPAAQGDETVKGDGEEEEEAECGFCLFMKGGGCKDAFVAWMDCVDASEKEGGNMVERCAQTTINLKNCMDAHPDYYAPVLEAEKAVSAAAEAEKDKGAELPAASTPSSAEGEKEETASSPPAAGEAK >Et_4B_039337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10203053:10205445:-1 gene:Et_4B_039337 transcript:Et_4B_039337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPNILTIHLEGLEVKECWEILKFHAFSGWSNNEQNDLELIGQRIAANCRGSPLAAKSLGMLLSDAGGQREQWECLLSDMQFLEDDRNTDIITASFQISYQHLPYQLKQCFAFCSVYPFAFEFEKDELVRLWMADGLVKSNGRKRVEMEAARCFDELLCRSFFEISHTCPDQKFRVPNLMLDVARHVSRYETLTLNAESAQDAEHPEWVRYATIVCPNGEPFALDKIYCYENLRLLKLCPTLKLPSKQVPSALFSKLTCLRGLDLSYTELVALPDSLGCSAHLRYLSLWNTLIKALPETICNLFNLQTLDLRDCYWLMDLPEGLNRLVNLRHLCLHLDWDRVTPFRSMPSGIDKLQAIQTLSRFVVFPRDGGKCNLNELKNLKIRGELCILNLEAATNDGAMEANMIGKEYLHKLMLKWSEACKDEQQQHMEDSERIIEALCPHANLKHLRIENYPGRKLPSWVDRLTSLASLEIISCPSLTQFSVEKLAFLRNFRIRQLLTLRFFRKAYAALLVSLEAYSFLEDMSAGFKNLCSQL >Et_3B_029323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2408720:2411157:-1 gene:Et_3B_029323 transcript:Et_3B_029323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGVGGLRWTAEEASTIGGIATVSLLHSFIPTHWLPFSIVARAQRWSLSRTLLVTAFGGVLHVVSTALLGITAVTMANTIAGEETVHKLASLLLIFLGGGYIVLFAMGKGGHSHAHNHPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMILAIIVLLFSTITVMTSLVALSFYGASQIKFHWVERYDKILVGTVLCLVGVLTYVFHHHDGDEHSLHAHVHRKLVSP >Et_10B_004340.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4188024:4189040:-1 gene:Et_10B_004340 transcript:Et_10B_004340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGRATKVMRASVSAFFDGYHRFSSVAALLALPFSAAVLASAAAAPSSPALRAVAARLRAVFAAAGFPPSAFFALLEAKLSQTVVTFALALPFALTFLLLAKATVAAMLRDDDDDDDAPSSPSPATRRRRKLALTTLPPCGAVLRAYPALVATHLLNAFLMLSANAAVFSLLLLAFGAADLLGLATSTWTTAALSAGGAVAYSLAVGVATVVCNLAVVVAAMERGRAGHGALLRACVLIRRGRVSTTLAVALPTNLGMAAAEALFQLRVVAAAWRRSAAGSWLAPGVAGEAFSIAYIHALCVVLEIIVSCMFYRSCRKREADEIRELEPEEKGDLQA >Et_3A_023754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11967467:11972167:1 gene:Et_3A_023754 transcript:Et_3A_023754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSTEPGSFPRSPSYGAFEEWVALLRKRNSRPPSSSGRLAVRSSASSEGLEFVDLDNYVFDPNPCEYISESSLWERLGRVSMMDIESSTFSWSSLTSLHHTKHTATSTESPEDDNNRSFEVTVNSGGVVFIGLFRANGNKDLPSKEAAAVIKIAPSRMATQSERFGYELAKWLRVRTPQGRVIHSSSSEWQKIKDAVENARDIAITAGDELEELICSEMLEALELSRCLFLMNYVHGSPLLENAMPFDSRKSAEKTAEALGRILILDLVLRNEDRLRCRTLGWRGNYANLLVVDKDAHANLDSVDDVCDSAIIRYKPEIIRSPQNQKQRRAASISGSINSDVSELMLEDSYVRNVPEFSSFNIVAIDSCVPRRPPAGKRAKDQDTYPRLVELTLNNLNYSSNLLFEVSFGKLGTPGPDEVDISSDYSCHSPLSESDMVAAVNSFRAGFKSALRDLQRFHIFLLTVYQKLDGLLKIFFNLMYKGSNESDKEDAGPSDSPSGSVDTQIDSNDTDVPRHLRRPSRSLSRDSLDPSSPGCRESFFAKNLKGNNDGSRGLRLTMRLRDFNKYAKVDSELSKEMEQWNDMLRTDVVKLCQDNNFNTGFFEGTDNSIAVDAYELKVRLEHILERISLISEAANTERPSKITDYLYIGGALAARSIYTLQHLGITHILCLCANEIGQSESQKPFLFDYRNFFIKDDENADIGDVFQDASDFIDYVKHQCGKVLVHCFEGKSRSATVVLAYLMMSKNCTLLEAWNMLKKVHRRALPNDGFAKVLLELDKKLHGKVSMEWQHKRPAMKVCPICGKNAGLSSSSLKLHLQKAHRKISSGSVDSAMTMEIQKAIEVIKVRGGSDSPTQKSQSHIEGF >Et_10A_000075.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22109793:22110323:-1 gene:Et_10A_000075 transcript:Et_10A_000075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCITPICKSLSAQGRFLNHGARGDERRGAYGGFSPRQAWAKSVVAAKEFPAAQAGRSALRRAASTGKPRRSGSRSRWQRQPSPPPQPPEGETARSTATQQASVGAVGGAPAATCGRHGEMDTIAAAFCTESARAGAEAEEAGEAADATATAMRRRSAAVGAMASVRDVAGALGSAL >Et_1B_013619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:119616:126733:1 gene:Et_1B_013619 transcript:Et_1B_013619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGMGNPVNVGIAVQADWENREFISNISLNVRRLFDFLIRFEATTKSKLASLNEKLDILERKLEVLEVQVSSATTNPSVFN >Et_10B_003520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2478593:2482069:1 gene:Et_10B_003520 transcript:Et_10B_003520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPETRLELETCGCVLAESWSEMQSIAFELQCNKKFHDFQYGSFLEAVNQIKLGRGDKTMAEGCNVVARGMPSGASEELLRREPVPLGMLVRLAAWEAGNLWRISWASILITIFSFMLSLVSQMFVGHLGELELAGASITNIGIQGLAYGVMIGMASAVQTVCGQAYGARHYTAMGVVCQRALVLQLATAIPVAFLYWYAGPFLRLIGQEADVAAAGQLYARGLVPQLLAFALFCPMQRFLQAQNIVNPVACITLAVLMFHTFASWLSVFVLRLGLLGAALTLSFSWWVLVVLTWVYIIWSPACKETWTGLSSLAFRGLWGYAKLAFASAVMLAINYWNWDFQIMLGLSYAASIRVGNELGAGHPKVARFSVIVVVMASIAFSILVTLLVIILRYPLSTLYTSSTRIIEGVISMMPLLAISIFLNGIQPILSGVAIGSGWQAIVAYVNIGAYYLIGLPIGCVLGYKTSGIWWGLIIGVSLQTIALIVITARTNWDKEVEKAMQRLHHTGVVTAVDDIIA >Et_5A_041884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3871949:3876232:-1 gene:Et_5A_041884 transcript:Et_5A_041884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTEETPVAVAPEAPTPVLGEPMDLMTALQLVMKKSGAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYERIQYVIFIAPRGPLISDHLLQHGNKLLPFLEEKNASQKQYLEPFVVFGSWNVDGQGECAKGVKLCLEGIRKWASRDASNLPECKK >Et_5A_040734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11760607:11767388:1 gene:Et_5A_040734 transcript:Et_5A_040734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRRGGRARSASEARETRGGERGRDVLTQVDARHGAVLGEQGGAMRTRTKQKRKRLDKEDDFALVTGLQVGFDSARTARPGNKRGCDFQEFIHRPRSHYPDPDFLPDDMLYGEKLPCWYPPPLLCQCGVSARQGVVPSELGCGHYCGNTVGEDDEWDTRRCDWKTFEGKDNFLMKSKKRGPEYFKKALDTRRSNIRHKYLTVPPSFIYNTICSEFKVKRECLFWEGPEADVVIPYWRRNRDKYPPKSSWELLDPALYGLQFNSAKECMDWAMAKMKQLCDPVYVAEKRKKEEEERKKRAQEELAAR >Et_1B_010947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15832421:15836383:1 gene:Et_1B_010947 transcript:Et_1B_010947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METPRSLAVSLAVIALLAAAPAVVADRGTATFYGGGDAAGTMGGSCGYGNLYYTGYGRYTAALSSALYNNGWSCGQQGGVRFTIKGFNYYELVLITNVGGSGSVASAWVKGSNTNRVPMSRNWGANWQSLAGIAGQALTFGVTTSGGQTIIFQNAVPPNWQFGTTFATNLQSSASAWSHPSPSAMGTATVVLIRASLAVLALLVRPAMAQWRTGTATFYGGSDGSGTMGTYIDSYMQGKRAHRQPPPPSDNFISYLSLIEITNSCLFVCVRVCAGGACGYGNLYSSGYGVLNAALSSTLFSDGASCGQCYNIMCDTSKSDWCKPGASVTITATNLCPANWALPSNNGGWCNPPRPHFDMSQPAWENIGIYRAGIIPVLFQQVRCSRQGGVRFSISGFNYFQLVLITNVAGSGSIRSMSVKGTNTGWIPMMRNWGAKWQCLSPLQRNALSFIVTSTGGQTLYINNVVPAWWGFGMNFISNQQFTY >Et_10B_003846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6805120:6812356:1 gene:Et_10B_003846 transcript:Et_10B_003846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPAAAAVTGGTALEDLPEDALLAILALLPPPDAAAAACACRRLAAATSSPALPLALAVRLGVPLSPPRPLQSSPDAARCLLRSLHRLRRLLGLWRRLPSSSGSPTSPHSNPSPSLTVFEWGPRATLAASLLAPSADGVTVSKSPFVTLSIAESGDTVAAVGDVPVCVNFVGNNHIVVEAAASAASGEEEEVEMVSGSPPEEMYAHFANRRSPGAGRRRRGRQGKRGGGMEPEHFVRIADAEPTKARPLQGLWKGICESRTLEFYLVTYDDIGGVTCRRLSDPRGQNSGYSPVFWTTDATFLEPPFSEQELDDYSSRNHIRDVGSNHTGTENRVISRILCMSSSYDVIDPHLSTSLEDARNVEGRIWLYQDGTFGFGFVGSNSIIDLRHVSSAGFLSGLTTFGVPEPLQVRAC >Et_3A_023552.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:34380582:34380815:1 gene:Et_3A_023552 transcript:Et_3A_023552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTHSLHLHAQRKYVKFQDTRSNAVKDEVASGTKEKFGRMKDDKNKDVDSVAAEFIMRKHRAWALQKSTTMYPASS >Et_5A_040795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12705493:12709216:1 gene:Et_5A_040795 transcript:Et_5A_040795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLEGVDLMPFRSAQTGVGRGRMVDGDRQAGALGLEHPAPPPPARRLFARAGVRRLPLRSKVEMVGLASEDPLVHDEVDMDDVDSDVEESDSEDDSGEEAQPTPSDKAIYNREAILEKLEDIAWPQKVDWMHKLTIDHDQGEKIDVNDDLARELAFYTQALDGTRQAFEKLQSMKVRFLRPADYYAEMVKTDAHMHKIKGRLLSEKKKIEEAEERKKAREARKRAKEVQAEKKKERAKQKKEEIENVKKWRKQRQQGGFAKGNDDGPDLNFEGEDGFKQSKKTRPGVSPGDRSGGLAKRGKEGKNRRSRDAKFGYGGRKGLKKQNTAETTNDFRSFNKGAESQNKKRKRS >Et_6B_049943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1937723:1940135:1 gene:Et_6B_049943 transcript:Et_6B_049943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANKLVVIGGATGTGKTKLSIDVAKMIGGEALNADKMQMYAGLDIATNKLVEHTGLEKAIGVPELCDYFAGRKSRYDAIAEIKANTQALAKAQNAKIRHMVYVWGWSFCSLDATEAIRAHLHGPDHTAEAIASERDVSKPGINAINKRRGILIYIRGY >Et_9A_063289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1968740:1971116:-1 gene:Et_9A_063289 transcript:Et_9A_063289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFTAGASSESTGLAGERDGLPFVKLSSEILRDELKSLLKDYDEAHTTANSPLLDAEFRTPGKGETPAYPDAMNALFAACLAGNVTEQLWNFTWPAAIATLHPSLLPVAVLGFFTKVSRIYSVPDESTLRPLVVFAAGPLVGDLVTSLPQIPAYRSLTAIQTAAHLVSAATIAYAFTLPRATSAASLLLRPWFGVLVASTAVDRLSCVSLGVIAERDFVVQLAGAGRPVALARANATLSRVDLICETAGASMFAVLLSRNDPLTCIKLSCVISLCALPLLIFLGGAMNRLADGVFDHSASCPAERSEHGSACAASAFSIRRKGAVSTIRRGWGEYVRQPVLPASLAYVLVCFNVALAPGALMTTFLIHHGVSASVLGAFGAASAAMGILATFVTPHLVRELGILKVDQPTEAGAAGLITQSALLGAAVLVFLAGGGPVAVSRHGGALVAFLGLIVASRVGHMAYAVVGLQVVQTGNPAGKAKLIGATEIAVASLAELAMMAVAVLARDATHFGYLAVLSAASVSVAACLFCAWIAQPSEEIRRLFPS >Et_3B_029023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21412236:21415576:-1 gene:Et_3B_029023 transcript:Et_3B_029023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSDNIAKHWKANEVEAVETVFYSGCTSLACYEDCVYASPMLAILVGVTVSAL >Et_8A_056715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15862993:15866500:1 gene:Et_8A_056715 transcript:Et_8A_056715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADLSTIQQTLTPEAAAALARAIEEAARRRHGQTTPLHVAAALLAAPAGLLRQACARAAAAAGPAAGPGAGAGVAGGGAHPLQCRALELCFSVALDRLPAAAVLDAMLKPARRNPVLVGDAGPDAVLKEAIRRIPAAGSPALAGAKVLPLEAELAKLAGDKPAMAARIVELSAVVERLLVEHSGVVLDLGDLKWLVEGPAAAASEGGKAVVAEMARLLRRFGSGKVWAVATAACATYLRCKVYHPAMEAEWDLQAVPIARGAGAALRPGAPGIHGNSLGLSPMVRPMPVTPTALRWPPGAGTGQPLMAKPAMCQLCKGSYEHELAKLSADRTNKPAARPETARPGLPHWMQPSSDQPQNKEQELKWKETTQELEKKWRETCACTHGSRVGVPALSMPSAVFGPRPPTEPKLALPKAAPTLKMNPSWEKQEGTPTSELRKSPPASPVKTDLVLGSLDPGMDGTMDKGQKENYEGLTAMQKAKIAGISDIESFKRLLKGLTEKVSWQSDAASAIAAVVIQCRTGSRKRRNVGTRGDMWLMFVGPDQGGKRKMVNALSELMVNSQPVIVNFGGDSHFGKAGNGMNTGFWGKTALDRVTEAVRQNPFSVIVLEGIDQVDTVVRGKIKRAMETGRLPDSRGREVSLGNVIFVLTTNWLPVEFNGPKLETLLQGEGRMFEVASSNWQLELSVGDRQVKHRADWLCDDVRPAKVAKELSGGHGLSLDLNLSVGALDDTEGSRNSSDLSVEQEQEKGQLAVKCSTPAPDHDLLTLVDDAIVFRPVDFGPFRKTVTDCISAKFDSVIGSCSSLRIDEDAIDRMAGTIWLTDEKLEDWAEKVLMPSIERLWRNMKHLNGRSVVRLAAVAEKALPRWGGGREGLPATVPIAIDGM >Et_4B_036110.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24862048:24862401:1 gene:Et_4B_036110 transcript:Et_4B_036110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAGDRWAYSVPKVLAELGYLSCSVVSCYLEREYLQGQELINFPALISRGLTILGFYALTHSTIFLALDKMDADDARNDRRDRMLRDRSRDHRSWDDFMDHRNFFLNDVDRRKKDR >Et_3B_027731.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16591198:16591707:-1 gene:Et_3B_027731 transcript:Et_3B_027731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAGVAVFWVWYPWLVSPRLPGGPWERAAFVLLSFAVTGIQHVQFCLNHFSAGTYVGRPRGDDLSQKQTRGTLDVDCPPWMDWFHGGLQFQVEHHLFPRLPRCHLRGVAPLVRDLCRKQSCGFWEANVRTLRTLRDAAMQARAVVAGASGGGDVPKNLVWEAVNTHG >Et_4B_040092.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9392631:9392822:-1 gene:Et_4B_040092 transcript:Et_4B_040092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLISTTVNDRLPENDVRPEAQRPRQDERSWPKRTSFSVNSASGTAFQSSEQMKVLRQQQT >Et_3A_025434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29969964:29970503:1 gene:Et_3A_025434 transcript:Et_3A_025434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTTATWVTPGTSAGTTSARAPRVSFFASKGSDAGKDQGLGLYNEAVMCILLPDSDTAAFRTEGGLLYVAEWNSLQHRVASAFLAAVYSDYMMTSGGVGAELLREELHGGRPAQIR >Et_6B_048754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13248512:13254505:-1 gene:Et_6B_048754 transcript:Et_6B_048754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSSPPAVPQTFKLILGSSSVARKHILEEMGLEFEVMTADIDEKSIRRENPDELVMVLAEAKADAIMYRLNIADYQKEGDQPTLLITSDIVVVHKGIIREKPTTKEEARQFLKGYSGGHVSTVGSVVVTNLTTGKRTGSLDKAEVYFHDIPDEIIENLIDEGVVFRVAGGLLLEHPLTLPFVEAVVGSSDSVMGLSKEIANRLIHDAVSRS >Et_4A_032394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7111134:7111508:1 gene:Et_4A_032394 transcript:Et_4A_032394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVNRSGADICEFIEYVDTEQTSEDIAHVYRVAQRARRHWLQMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFRKRKFPRCTQ >Et_2A_014660.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32708961:32709938:-1 gene:Et_2A_014660 transcript:Et_2A_014660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWTVTPPGARRSRQPSGRSPRCSRSCSATKWQKSCHWPSRSSYGPWRRPPPVGDSTPSSSTTRSRPCQRRQRWEHPPPARITPPTNCTCRHKARTVGTASTLVRSTRGQLGYSLTTDFLVFSLDYSAEFAVSSVVLSCRRPLTGRSGPRQRQIRCRCDPREKKIFKISLLLVTEKLMLPLIGLPGVLMLLLARRRRNLRPRLVSLLECAPASELFASANEEGTAGGGSREESMKQGFYLYAKYMKATNLLLGVVSVSRESQRFRAQQDAVGSSKEGDEKRRKTEKLKNWRSTTVDEWKKQRSQRGEQMALVFICTSRCKLANM >Et_10A_000766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16898416:16901753:-1 gene:Et_10A_000766 transcript:Et_10A_000766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRIILEDKEHLYLIPSIMSSATLFKLSGGSIVPGKHRMKLKFPELCPHVLVVTGGVVPVYTPVMWKLNVPPRIHVFLWLLAKDNLAKRRKVEDGNYFFCNQKESENHGSKSVATFWVSIKKHKLINLLSSVALWSLRKLRNHLCFQEEGGGGGFTSEREISGKMLRMLKSWQSLCKEEEKAKIDGLVCIPKKMATSPHGLTWELAMSVEVPGGEQLPNAGNAPEHQCNSQANIEPCLNPVVEP >Et_10A_002119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23072402:23075581:1 gene:Et_10A_002119 transcript:Et_10A_002119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVKEKGYECVKRPEFLALVGEELEPQIREPKAPFERELPQVRAPDGDATEPHVGERLASRQREPLESAHPAHLRGRDVPKIDAIGQVEVDEVGAGLGERGKAGGAEPGGAGEVDGAEVRRRRGGDGGEGRVGDARAEGEVEAGGGGVAGERGRGEFCAQRAEDTAVAGFSSVAGARREREGLERARVGGGDAVEDKEEELVGQRVERWRGGGGREAAALVLAVACQGHGFLVEVRGKARNGASNYGKGLVDAATINYTNRGEKR >Et_3A_026013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34585397:34590111:-1 gene:Et_3A_026013 transcript:Et_3A_026013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGPLLLLCFVLVFSVSVVAQMPGFVSIDCGGSANYTDELGLVWTGDAGWFPFGATANISVASEKRTQYSTVRYFPSPAGGSSSKHCYTLGVRTRTRYLVRASFLYGNFDRSNVFPEFDLYLGTSRWSTIVIYDEAAVVTREAVVLASSPTLSVCVSNATTGQPFISTLELRQLNGSLYYTDSEAAAFLGLSARINFGAPTTAPVRYPDDPYDRIWESDMVRRANYLVDVSPGTLNVSTDKPVFVGSSERPPQKVMQTAVVGSLGELTYRLDLPGFPGNGWAFSYFAEIEEFVVPDTRKFKLFIPGLPDVSKPTVDIGENAPGKYRLYEPGFFNISLPFVLSFAFRKTNDSDKGPILNAFEIYKYIHIDLGSPDGRRRSVPTVTLVLGEMHFRITTKSHFHKSFRKELVREHTTGTGGGLADNMLTGPIPDLSGSSNLSIVHFENNQLTGNVPSYFGSLPKLSELYLQNNKLSGAIPRALLSRSIIFKYSGNTYLGIGKQEKKHLIIIISALLGISFLLAAALCCYMLTRKTTRKYSLESQNDDVTKVLPAQKLQNSKQSCEITTETAHPYKLSDLEVATNNFANRIGSGGFGIVYYGKLTDGKEIAVKVPTNDSYQGKKQFTNEVSLLSRIHHRNLVSFLGYCHQDGRNILVYEFMHNGTLKEHLHGRDKHISWIKRLEIAEDAAKGIEYLHTGCTPSIIHRDIKTSNILLDKQMRAKVSDFGLSKFVAEDSHASTNVRGTLGYLDPQYYISQQLTEKSDIYSFGIILLELISGRPPISTITFGDHFRNIGPWAKFYYESGDIEAIIDPSMGGEYQDVQSIWKIAEAAVRCIDIEPRKRPCMPEVVKEIQDAMALERTATSEMRVGCPFSPAAASVRSGGTVRSHDMVMDNLLLMDEDSSSFSGSVSKLKYPELR >Et_3A_025146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27339942:27342430:1 gene:Et_3A_025146 transcript:Et_3A_025146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLWSYEQLDPRIQRCFLYCSLFPKGHKFVMYELVHLWMAEGPVDSFHLSKRMEDIGEDYFTEMVSGSFFQSDDSARWRYDMHDLLHDLAESLSKEDCFRLEDDNVTEIPCTVRHLSVRIKSMEKHKQIISKLQHLRTVICIDPLMDDANYLFDEILSNLKKLRVLYLSFYNRSKLPESVGELKHLRYLNLIRTSISELPRSSCTLYSLQVLHLRRIVKSLPDTLCNLTKLRHLEWWYDIHVKSQESLHQIPNIGKLTSLQHMRKFSVQKKKGYELTQLRDMNELVCVKNLENVTEKDEALESKLYRKSRLRRYKSGTYPSWLLERSYFESLESFKLVDCPVLEGLPLDTDVLSHCSMLHLSNAPNFKTLSCLPAGLSHLKIKKCPLLMFVTKHELEQHDMRGTLVTADELASKLARVPEVDSMSEIRKSLSEEYSSLRQLATLMDDHISEHPQTMLSALEAEDKVLVEENVIKAWLCCHEQRMGLIYGRSIEQLFIPPSGLYLLVLSLCSITDGALAIFLGGLTSLQVLKLSQIMTLTALPSEEVFEHLTKLDVLHISYCYGLRSLGGLAASTSITELNLRACPCLELDHGEKFMPVTLKHLATWSCVLGADFFRRDLANLNSVYISDCRSSESLLVGHLTSLNSFRLYNFPYVCILEGLSSLQLQHLTLEGVPKLTAQCISRVRAQISLDVSSSVLLNHILSAEGFTVPPHLSLVGCKDPSVSFEGSANFSSLKHLTLADCEMDSLPRNLKLLSSLESLTIQLCPNITSLPDLPPSLAYGVVKS >Et_4A_032984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16393077:16400115:-1 gene:Et_4A_032984 transcript:Et_4A_032984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARVVAGEASLAPAAAGSGRPAPGRVAMRGPCAGRRRWPTGLRCGGASFRGGVLGEAEAEEGGRFVGWFREAWPYIRGHRGSTFVVVISGEVVAGPHLDGILQDISLLHGLGIKFVLVPGTHVQIDKLLAERGKKAKYAGRYRITDSDSLEAAMEAAGRIRLTIEAKLSPGPPMSNLRRHGVNGRWHEISDNVASGNFLGAKRRGVVGGTDYGFTGEVKKIDDSRIRERLDRDSIVVVSNMGYSSAGEVLNCNTYEVATACALAIDADKLICIVDGQIFDEHGRVNRFMSIEEADMLIRTRAKQSDIAANYVKIVGEEDVSHVRNLPMKQDIELGLNGRGHFNGYSASFRNGVGFNNGNGLSGEQGFAIGGEERLSRSNGYLSELAAAAYVCNGGVERVHIIDGTVGGSLLLELFTRDGVGTMIARDMYEGTRMAREEDLSGIRKIIQPLEESGVLVRRTDKELLEALKAFIVVERDGSIIACAALFPFPEDKSGEVAAIAVSEECRGQGQGDKLLDFIEKKALSLGLEKIFLLTTRTADWFVRRGFKECSIESLPVVRRKRIDLSRGSKYYMKRLQPAEIGHLAVNNFAMR >Et_1A_006317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20624832:20633642:1 gene:Et_1A_006317 transcript:Et_1A_006317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAARHGLLAPDKVSDLGCGLGRWWPHDEHSEREVAVGHKPLPGLEHRYHVAGAPDGKQHQEQSTKNKYSVIWAFSHDL >Et_4B_038726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4362058:4364330:1 gene:Et_4B_038726 transcript:Et_4B_038726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARWLVLLLAAVSAAAVAATGEHERRWRRTSGGVGGQVVQKERRQVVAASDAGTVTAVDVADAEGTGYRLHFITMDPGALFLPVQLHADMVFYVHSGRGKITYIEEGSSKQSSLEVERGDVYNFEQGTVLHIRSYPNATRQRLRIYAIFSSEGIDADDPRTFCSRFLRVVSKQKPRVQAYSSVSNLLKGFELEVLRLGFGVKREVAEAIKSSPSPPSIIPYNPQEEKDDNDEKSNNWGDDIVDALLGVRDPEDFLNKKKKKDKHKHKKEKKSKSKTFNFYSGKPDVENCYGWSRTMTNKDLDTLEGSDIGMFIVNLTTGSMMGPHWNPKATEIAIVTEGEGIVQTVCPSPSPSPSGRKSRRGHHHHHGHRWDEPGGRGEEEGDEPGGRGDEGHGAPCRNSVFRVKEGDVFLVPRFHPMAQMSFNNDSFVFVGFSTHMGQNHPQFLAGKSSVLQAIGKEVLALSLGQTNSSAVEQLLSAQKDSTILSCISCAEELEEKAAEEERRRREEEEGGGKGPGPSPGEREEEERRQREEEQRRREEEEQRRREEEERQRREEEERARREQEEQQRREEEERRRQEEEERAREEEERRRREEEEGGGGEGGRGDEPEREEEEEGGDEPYRLSKKLKKRYRAGKRGIFRSG >Et_1A_008413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7466699:7468869:-1 gene:Et_1A_008413 transcript:Et_1A_008413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNALLRVYPSELKMPFELRKQNSCCMELFNKTDQRVAFKVKTTSPNKYAVRPASGIVPPGGSCGIVVTMRAQKEIPQDYHCKDRFLVQSIVVDDETKQKDIVPDMFRKGSGKVVEEFKLRVVYIPANPPSPVPEEAEEENESLDSDVDHEVERPSTSNVASMHGYASGSQYSHDEAVSMISKSEDEGSRYEYENQKLQEELALLRKRVPSPRGFSALCVLLVFSLSIIVGYLVLGSKV >Et_6B_049682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8616869:8617157:1 gene:Et_6B_049682 transcript:Et_6B_049682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNGMPKRNSPICLHASDPKPNSSTLYLSTAAITLPPDTDAIKAAACKAAADKAAAIAALAQAELNVKLALWAQAVSFTSIQLLVPIVLDL >Et_1A_004579.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2014885:2015615:1 gene:Et_1A_004579 transcript:Et_1A_004579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTSSTSRLMVLVGVVLLSCSPGSLAVSRRLLEANPAQDFAVEHAHIRACHFQRPLKYTQELADRAEQWASQYKANCAAASPAPGVNVFVGSPGGTWLPSDAVAAWAAEKDYYNYDSNSCAAGKECGHYKQVVWQGTKEVGCAVVNCDNGATLMTCHYEPQGDVEGQKPF >Et_1B_010723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13380466:13382282:-1 gene:Et_1B_010723 transcript:Et_1B_010723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVSRALIYGPDFAAMDENMRVLTCDVFKTLPIPTVATAAPLSNAVAARPPSDCVDRISRLPAEILRNIVTRLPAKDAARTTALAKRWRRVWHLAPLVLVDAHLLSASRLAPPPRTFGWGSLDAIWRAIEDGAQHQIAVCNALNAHPGPFGSIYLVGTNMKEFKGMAAAWLDLLAAKRVKELVFVHPATEIEDDVHLPDSIFRCTALTKLYIGTWWFPDTADLLRTAGFPCLQELGLCNLIMKEQDLAVLLDRCPVLEKLLITRSRCPVCLRIQSRSLRCVQVTLGLVPEITVDNASRLERLLLWEAWGGGGLTNMSSKIKFGHAPKLRFLGFLVPGMHQLEIGNTVIKVNTKASPYTTVPSVQTLGVQVKLGTRIEAGMLPSFLRCFPNVETLYVQSENDDYKFWGPNSSGIGKVNLKFWKEAGPIECIQRRIKKLVLREFRGKRSELDFLKFIAEHAQVLEEMMIVMTHGFLPLDNVGAKLRIFMASAKWANGCCKMMVLKSHFGGEGTAWCYPRGFNFSIEDPFDLSKCLEGKCEAH >Et_1B_012151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29479120:29483916:1 gene:Et_1B_012151 transcript:Et_1B_012151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSPSDAGGLPEQPASPEEAEEHKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHGEQVAASTNKEMEAQIPNYPSLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPQELKDPFLPADLGTASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLSAASSTGVVRKRSPWKRVEISSYLSWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGEKQPRVSLWDIEPLTTFPMYPSPFALGLKRPWPTGLPSLYGAKDDGLASSLMWLRGSANPGFQSLNFGGLGSSPWMQPRMDNSLLGLQPDMYQTIAAAAALQSSVKQISPAVMQFQQPQNTAGRSPLLSSQILQQIQPQVQQLYHQNVNDNTIQGHSQSEYLQQQLQHCQSFNEQKLHLQPQEQQHESHDHHQQQQGVQSPQNQQIQQQKHLLNFQSLPNALPVFSQFSSATQSPASTLPTVSAFSQQQNIPDTNNGSLMPSDLSAMHEMLRPLPSEATSSISGVARTTPVQVSDPWSSKRVAVESVIPSRPNVVSPPIEQLDMAPCSVPQSSALAPLPGRECLVDQDGSSDPQNHLLFGVNIDSQSLLMQGGIPSLQNDTGSSTIQYSTSSFMSPSQNDFPLNQALTNPGCLDESGYVPCAESSEQVNEPPATFVKVYRSGTLGRLLDITRFNSYDELRSEVGRLFGLEGQLEDPLRSGWQLVFVDREDDVLLVGDDPWQEFVNSVSCIKILSPEEVQQMGKQGIQLLSSAPARRLGNGCDSYMSRQESRNLSTGMAPVGSVEF >Et_3B_030953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9375108:9376257:1 gene:Et_3B_030953 transcript:Et_3B_030953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFFLVSTTTWNTGTPCRVVTPYDGIRKTVGATNLHACDSGACYTAAGMGTVDATIVIAGLNMSVERESIDREDLFLPWNQTGWITAVAAASPDPIVLVIMSAGGVDVSFAQNNPKIGAIVWVGYPGEEGGTAIADVLFGKYNPGGRLPLTWFKNEYITKIPMTSMALRPDADHGYPGRTYKFYDGPDVLYPFGHGLSYTTFTYTSDTAAAATVAVKVSDWQHCKALTYKKGVQSPACPAVNVTSHRCDEAVTFTLTVANTGVAAGDHVVAVYTSPPPEVDDAPIKQLVAFRRVFVPAAASVDVPFTLNVCKAFGIVEETAYTVVPSGVSTVIVGGGAAPSLSFTVKIEFAL >Et_4A_033990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28834973:28837067:-1 gene:Et_4A_033990 transcript:Et_4A_033990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPRLVSVAAVAVAFAALLLASVAAGADGNGVFDPCADTTVQRGDGFTFGVAFAGRDAFFNNGVQLSPCDSRLGLVGRAQVAVFRPQVDEISLLTVNGSNPTSSGGYMVAFAGKKYAARSFPPVFVSNDTYTVTAFTLVLEFQKGRLQNLYWSTKGCGKCPGQSGEFACVEDSCAIKTTSCSSRGGKVDCSPGIQLAFSGTDKHDAVLNSWYEVSKLQQYSLFGLFSNLKDSLTSQFNSFF >Et_2B_019209.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17479763:17479897:-1 gene:Et_2B_019209 transcript:Et_2B_019209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPARMISLTFSVTMVLLREPSQPVTVLFLMRTIFRFRTLSL >Et_3B_027951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27919466:27920978:1 gene:Et_3B_027951 transcript:Et_3B_027951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVAFAEDLILSDFLDEQLIGARILLQFAGGDGTLREVGASPRSIDRLVEMLNWTRPDEEEVRRRAIPGAIESVMSLLHTVAPVSHHGDEASPPGLVGYDHLPFNLLGLLILKRLARGHDNCGKMGSTRGLLAKIIDFTQAPPALLRNPHAAEQQLVKMLVSATGATGKALRQEVAGNVFSVSHLRGVLQHGRPHGRELQKLAIDVLTGLAMEEHGKAAIVSTGGLVKLLLSVFAGAEDDRELGAAMLALERKAGCAAILKTTPPGGGDDDVLGRQLLPALRDGDARRLNATRLLRNLCAYAGPEHRASLRAAAEALPAALNATVAPEIDKVLEASVGLTAEICRFIDGAERFGAGVEDERAYVECLASVVQVPGDQGPPDAALRRAAGHLARDDLLLRLRGAPEGGRDGAAAGVRRRHHLGARVLPRLLRKRGHRQAPGRLLQHGGHRDRPARWSWWISSSRVKWQCMLLSIILGSVHTKTYIVP >Et_4A_032837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14503217:14507820:1 gene:Et_4A_032837 transcript:Et_4A_032837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLRLVTRPSQQLQLQLDGETVVASAIDAERRRAFFASSANFLYTVHLATSSTQGQQPLQWSKFDSDAEEVVLEPGDSIVAMDYLMERESLLLGSSSGCLLLYNVEEKTSQVVGRLEGGVSTIASSPDGALISVTTGFGQLLVITQDWEVLFESSLDSQIVTAGEMESSCGQVQSAISWRGDGKYFATLGALSGSSNPTKLTIWERESGEVHSSSDTKTFMGASLDWMPSGAKVATAHDRKTEGKCPLIVFYEKNGLERSNFSIDEPAEVAIQALKWNCNSELLAALVSSSQCDAIKIWSCSNNHWYLKHELRFTKEQGVKFSWDPTKPLHLICWTLGGQVIMHRFAWTTAVSETSVALVIDGSHVRVTPLNLGLMPPPMSLFHLAFPCAVNEVSFVSNHSKNHLAAYLSNGSLCVVELPATDTWEEFEGNEISVEPCHSDFTLSNCMHLTWIDTRTLISIWCNSEHCSSTLMGVSETSNLVGRHDSRFFVNEIELVCSEDSLLGSVSSSGWQARVSKKMTLDSSVIGISVNPAKKGSAFIQLNGGRVVEYCSGANMLSVGAPAQVSDIGSDNSFPASCPWMDAILCHENGAARPFLFGLDDSSKLYMGNRLLSNNCSSFTFFSSAYGSTERVMTHLLVTTKQDLLFIVDINDIYLKNGALVIDSHVSSHPRGNQSKEHIIVWEKGAKLVGVLHGDEAAVIMQTNRGNLECTYPRKLVLVSIVQALVQRRFKDAMDMVRRHRIDFNIMPDYCGWDVFIKSSADFVKQVNNLSHITEFVCAIKNDNVSGKLYASYISFPDQCADSVANTGTDSGNGNKVTSVLMAIRKALEEQVEESSSRELCILTTLARSEPSLLEEALNRIKSIRELELRGLDDARRKLYPSAEESLKHLLWLTDPEAVYNAALGLYDLNLAAIVALNSQKDPKEFLPFLKSLECLPPAIMRHTIDLRLGRYASALKNIVSAGDEYHEDCMKLLTANPHLFPLSLQLFSEPNKRCQIFEAWGDHLSEEKCFRDAALTYQCCSSYQKSLKAYRACGDWRGVFTVAGLLRLKEEEIVQLAHELCDEFQEIGKAGDAARIALEYCSDVDRGVNYYIAAREWEEALRVAYMHSRLDLVENVRDAALECAASLISEYQEGLLKVGKYVARYVAVRQRRLSLAAKVQSEDRFMDVEDDNVSEVSTSFSEMSAYTTRSTKASSASVMSSSASKSRGARRQKKGGKIRAGSPGEEMALVEHLKGMTLTTSAQNELKSLLVVLLQLGKEEIARQVQQAGDNFEVSQRAAVQLAEDTVCDNKIDENAHTLEHYMKMLRSLEPGLSEESASWRIRALSPP >Et_2B_021942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7103056:7106067:1 gene:Et_2B_021942 transcript:Et_2B_021942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRTTAVVIGVTAGVASAVLAAACVLLAIWLYRRRASVAVRTRSMESTSVTLRDGPASLNSSVSISVVSESVVDYPPPEKRAAFWAWRGGGHNGLERPLSVSGIPKYHYKDLQKATSNFTTILGQGSFGPVYKAVMATGEVVAVKVLASDSRQGEREFQTEVALLSRLHHRNLVNLVGYCVEKGQRILIYEFMSNGSLASLLYGDNKRSLSWQERLQIAHDVSHGIEYLHEGAVPPVIHRDLKSDNILLDHSMRAKVADFGLSKEEVYDGRKSGLKGTYGYMDPDYMSTNKFTKKSDVYSFGIILFELITGINPQQGLMEYINLAAIGAGEGRVDWGEILDKDLLVGNIPEEVRMLADVAYRCINKSPRKRPWISEVTQAISRLRQRQLMKHDTLTLPRSETRTVLRRIEHQHVELSDLTSMKELTPIRA >Et_1B_013577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9671037:9673854:-1 gene:Et_1B_013577 transcript:Et_1B_013577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDKRGSNMWMTKNLTITILLALFSLLIASDPAPGSSWHQFFHANPMEWLKSPLDEQEKTASVGVASDATDASTSNRLDSVNSSPESFQWLDTWNQLKQLANITNGLPHASEAISDARTAWENLTISVQTAISPQRENERLCPYSIRKMNASKSETDTFTIDIPCGLIVGSSITLIGTPGSLSGNFWIDFIGAALPGEYEKPIVLHYNVRLIGDKITQNPVIVQSTFTASNGWGSEDRCPCTICDNSTEVDNLERCNAMVGREMNTVNTKRHPDAKKHDDQNIYFPFKQGYLAIATLRVGLEGIHMTVDGKHVTSFTYRMGLEPWFVTEVRISGDFKLVSAIASGLPTSEDLENTSVEMLKSSPIPDGKDVDLLIGIFSTANNFKRRMVIRRTWMQYDAVRQRVVAVRFFVGLHTNLMVNKELWNEAHTYGDIQVLPFVDYYSLITWKTLAICIYGTSAVSAKYLMKTDDDAFVRVDEIQSSMRKLNISHGLLYGRINSDSSPHRNPESKWYISREEWPEEKYPPWAHGPGYVVSQDIATTIKAWYKTSHLKMFKLEDVAMGIWVNDMKKIRYESEGRIHTDGCKDGYIVAHYQEPRHMLCMWEKLLRTNEAACCNTKEL >Et_8B_060077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5713803:5716589:-1 gene:Et_8B_060077 transcript:Et_8B_060077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARALQTLLLLCNAALLLQLLSPCNGLSLDTVRDFLTREEDTIIFSLIERARYPLNRPAYDPAYLGAGPGHRLNASLAELFVRESETIQSKAGRYQSLQEIPFFAYRVPFTLAPPYNFTSELYPAAAFVNVNDAIWSIYFNNLLPLLAKDGDDGNYAVTVDSDLACLQALSRRINYGRFVAEVKFRGDQQTYTSLIQSKDRDALMKLLTSEAQEDVVKRRVEKKATVFGQSITLDGQTETGVNSSSLANFKVYPSVVYRLYDQWVIPLTKQVEVEYLLHLATAQDPWLQKTLETLVHMPVQQPPPVAPQQRGSNHHGQAPNNPNLDG >Et_3B_031593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5644382:5646211:1 gene:Et_3B_031593 transcript:Et_3B_031593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSQESSAGEMAAAPPRQSSSTTSRYKGVVPQPNGRWGAQIYDRHTRVWLGTFPDEVAAARAYDVAALRYRGRDAAVNFPAERAADGELAFLAAHARSEVVDMLRKHTYADELRQGLRRGRRAQPTPAWARERLFEKAVTPSDVGKLNRLVVPKHHAEKHFPLRRSSPETTTAAATGKGVLLNLEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLRAGDTIVFSRAAYGPERQLLIGYRKMHKQQGKSAADASAVQSRVVKLFGVDIAEDKIKNEDGDEGQYGLVHDMKIRYSISAAATMFESDIGDNGDNEGNLDYVTI >Et_3A_025541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30610768:30611260:1 gene:Et_3A_025541 transcript:Et_3A_025541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVKQYQLWSKHFRNVVTTSFKASRSYQVQEYQSLSNLLNRVLESKGMTNFSNFREYWHYKDSESLCTYLLEKAQVALVPGDAFGDENCIRISYAASLSTFQTAMEKIKEAVSLLKPCVAA >Et_7A_052222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5836347:5839830:1 gene:Et_7A_052222 transcript:Et_7A_052222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGAGPTLPSILSLAWLAATVPIVAAALPIPVAAGGRFLHRLLVSFASRVPQKFFLHFYVLGVMVTTVLLLVMWLYAYMKMTPLSTEPSSYSAIASHIVGGSNSFALSNFLPGPKEHKYRVWRTIFALLLMEVQVLRRLYETKHVFNYSPSARLHIVGYLTGFFFYVAAPLSLASSCILEAMQYLQGQVAEFVVKGRAQMPDLVIDSSSLLKPLVKLGWCQWIGAAVFIWGSLHQTRCHAILGSLRKHRDSDEYVIPCGDWFDRVSCPHYLAEIVIYFGMLIASGGSDIPVWFLLLFVITNLSFGAVQTHKWYLQKFEDYPRYRYAIIPFLC >Et_7A_051015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13586394:13589821:-1 gene:Et_7A_051015 transcript:Et_7A_051015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRACESINMAEDLTGAIAPYATALHDSFLHSHCSSCFRKLLPQSSCITSCVTCCALRYCCSDCLSSDSPVHVSSGECCFFVNDLRRASLSCVAEGTSDFRAALRLLYVLEARGLVSSDSIDHSSRIGGLSTSGIEQALEEGDEIAKRIPEGSLLMSSARKSRTHASVGFSDGLETLTLWAVITNSVEVQVSEDQAIGIAVYGPSFSWFNHSCFPNASYRFALAPWHDGCTSHKSKSCLVPASRGVAQNAEARHSDLWLKYKFVCSCKRCTASPESYTDLILNVRMKPCDYRDLRKPDVADTELAVEELNDALQLAISEYTSGDDAKACCDMIESILSENMMSDLQHEELSRRIFILHPLHHICLSSYMTLASAYRFRVLSLEPGSLHGKNNGDLFRMAKAAAAYSLMLVGTTHHLFVSECSFMIPLSHFLLSAGQAMLFLVESIKGDTNTNVTEEKFTLPPIPAKHDSLQYHEFKSTCEAFGKQMLFLSLHSWPFLVQSLPCFQKIKNPIEFSWLGATIFHSLHLSDEDYADISAHDPAAFKKGQKNCISRLAICCITYCKYLASICYGAQHYLTNHAKDLLE >Et_2A_018586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33905137:33911044:-1 gene:Et_2A_018586 transcript:Et_2A_018586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLDPTSEAPRARRPPPPPPDSPEDRSQALPPPPPGGPPPSRKRSRSPPPSSLPPPPPPPPVGSSRPQRYRDHHRGGRGGSSTSPPPYRGGRRHSPSRRSPSPPFKRSRRDDGYDRRGGRSPPRYGYDDRRRGYDYERGGGRGGYDDDRHHGRYPNRAQDWPDSGYGASNDGPGNTQREGLMTYKQFIQVLEDDISPTEAERRYQEYRTEYITTQKRAYFDLHKNEDWLKDKYHPTNLLSVIERGPGVTAGAVSKSGNGSDGNSEDDADTDKRRKHGKDSSKGTDSLSGAPKAHSISSESRRIQVDIEKTLSLVCKLDAEKGIEGNILLSGDHDKSDVDKSHIGSMGPIIIIRGLTTVKGLEGVELLDTVLTYLWRIHGVDYYGTSESLEAKGLRHVRADNKTSSTSDISAADWEKKVDSFWQERLNGQDPMVLLTAKEKIDAAAVEVLEPYVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVHKHLRLKHADVVLELTSKVREDLYFQNYMNDPNAPGGTPVMQQPAPDRTRRRPGMDSRLRFDDSRRDNDRAERDGGRYGRGERSPSRDGPDDQMFDAFRGASAPFVAEFPPPPILMPVPGAGPLGPFVPAPPEIAMHMMREQGPPPPFEPNGAPHGNTGVLGPMLGGPAPIITMPPNFRHDPRRLRSYNDLDAPDEEVTVLDYRSL >Et_3A_026503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8860118:8861237:-1 gene:Et_3A_026503 transcript:Et_3A_026503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAKRHLYVALRDYENGYTIRRIDVDTFFDAGGGPKNSDEHPEPLPEPPAFVVEAEHGQPTLFAALGPRILAMPRSAAALPGFDAGAAAAAPGLVIAPPPQGGDHRPPTLVAVGGDRVYGLGCTTRAAGAGEPARHFEVLHAPAPPRRMRWAWSAVPSPPPFNPLLVTYHAAHPDGRAVFFSAEASSSGSGSGGRGGTFSFDAKRLEWTCNGAWLLPFAGQAHYDGELDAWVGLVGRHHGDAGRVASCDVVDPDKRWSLAPAWKLGAQPALCEDKRRHVGAALVYCGDSRFCLLECVEAKKNAPRERRGPPPDQPRRLLHVTAFGLKYGKQGELTTTMRRQRRCYALPDGAANFMGKPVAFWM >Et_6A_047918.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:24002344:24002571:1 gene:Et_6A_047918 transcript:Et_6A_047918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGAELFIRKDLELSDVQIYVLVGSINVSIVAASQPAARATCLAPYRGTLVLANAFLMAGALDRHVARWHGISW >Et_7B_053927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13454729:13460688:-1 gene:Et_7B_053927 transcript:Et_7B_053927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRATSPALSQRDTLEQPAVKPRPKPSSILPVMSMAMFTAVALMTEPARNNMPPISMIACRPTRLVTRLATSEDSVPAINNDDVNAPYQIEKICRQFVFYFDNTYKCNPINRKKYQGKTQVTNN >Et_3A_025771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32736826:32738308:-1 gene:Et_3A_025771 transcript:Et_3A_025771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDNSIGDTAVPDVATPGIQVEVAPGDPNYDPAVLQHWSFLKSTTYAQSTRYPVQQMHESARKQEPGKTGAAANKEANIRRKVMQAARKHSHAGSSVGRANAATREHEAAKRRRVNDGKQASWQTASSFPDGDLLKPTRPAKRKSRSTAETSGAKKHKISSVDLKCQSSSNAARTSFGRVLMQLDIRGILIENTKLQLREKLKEFSDKEDKVKSKENIHISKKSSKHVACSAAIDVNKMNMKQSRNSVHPKEADAPELVSKSVDPEEKQKEKSSKQISSEEKRKLCQWRLREVHIVYTRRNRKEHKKEQGDETTDANSGTEQHLADKHSCLNPEPSSDEGTSEMPVPDADFHNFGDHPASSFQNDQVWAVYDEEDGKFSPLTLLKLDWLTSKQMTAMNLELPRWISCGYSKTCGEFKASVSEDIEQLNAFSHKVNCEKGPGDILRIFPKKGDIWALYQNWSRGWDEFTPDDTMYKYKLVEVFL >Et_7A_052552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9207076:9219165:1 gene:Et_7A_052552 transcript:Et_7A_052552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRSMEMCIVRLGLGLLLVLAAQHAPATAIPSPECQKKCGDVEIQYPFGIGSNCSLSRSFNVRCKVQDGTPKPFIGDFELLNISLTDSTIRMLGSIATYCYNTSSRRMDVGGFSGFNATGSPYRFSDARNKFTVIGCNTLAYIFDSNGTGYQSGCVSTCTERSLSDVTDGTCSGIGCCQTTIPSGVDYYNVGFARGLNTSQIWTFSRCSYAMLVEAASFNFTASYIRTTKFNDTNAGRAPMVIDWAIRNGTASSSCEVATRNETGTYACLSGNSKCVDSANGPGYMSMNVSITHALQVAFATIQSEGIGVLVELEESVTIGFLVLMIFSFSGYMVLQKRKLNKVKQDYFSQHGGLILFEKMKSERGLSFTVFTEAELIKATDNYDKSRVIGRGGNGTVYKGMVKDNMPVAIKRCSLIGERQKKEFVEVPMLVYEFIPNGTLYELIHGKNRALQISFSTLLRIAHEAAEGLNFLHSYASPPIIHGDVKTANILLDDSYMAKVSDFGASILAPSDEEQYVTMVQGTCGYLDPEYMQTCQLTDKSDVYSFGVILLEVLTGQVPLKLEGPEAQRSLSSNFLSAMKENSLDALLASYIQGQESSELIRGLAELAKQCLDMCGDNRPTMKEVADELGRLRKLSLHPWVQVTEMESESLLGGASTICFEIDVPTTGYRMQEGAIMPMNPGSSYYARSMELVRILQLGLVLLLLLAAQHAPATAIPGPECQKKCGDVEIPYPFGIGVNCSLSEDFSVSCRVQDGISKPIMGVAELLDVSLTGGTMRVLHRMASDCYNTSTGVMDREWRSGLNARGSPFRFSNTHNKFTVIGCSTLAYIGVNNATGYQSGCVATCTRASDLTDGACAGIGCCQTAIPRGMDSYGVHFDRTYNTSQVWRFSRCSYAVLMEEAAFNFSTAYVTTSKFNDTNHGQAPVLIDWAISNGTAAVSCEVARRNKTGTYACLSGNSVCVDAANAPGYVCNCSQGYQGNPYLPDGCKDVNECISNPCPSGGVCHNTIGGYRCSCGAGRKFSKHSNSCNPDTNLIIGVTMGFLVLMIFSSFGYMVLQKRKLNKVKQDYFSQHGGLLLFERMKSERGLSFTVFTQAELIKATDNYDKSRVIGRGGNGTVYKGMVKDSMAVAVKKCALINERQKKEFGQEMLILSQINHKNIVKLVGCCLEVEVPMLVYEFIPNGTLYELIHGKNRALQISFSTLLRIAHEAAEGLNFLHSYASPPIIHGDVKTANILLDDNYMAKVSDFGASILAPSDEEQYVTMVQGTCGYLDPEYMQTCQLTDKSDVYSFGVILLEVLTGQMPLRLDGPETQRSLSSNFLSAMKENNLDAFLVTSLDQESSELVMGLAELAKQCLDMFGVNRPTMKEVAEELGRLRKLSLHPWVQVTDAEFESLLDDASTTGFEIDITTTRYPTQEGEIMSINPRISYYAR >Et_7B_055071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:724115:735878:-1 gene:Et_7B_055071 transcript:Et_7B_055071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIWMLPAAGAVLLWAVSLGRILSSPAPSCLPPNPNFLPPLCDDRRSRNVLLVVAHPDDESMFFAPTILFLKSKGHNIHILCMSQGNADGLGNIRKEELYYACDTLKIPRNQVNVLDHPKLQDGFHEQWDHGLLAELTLEQVQLWDIDTIVTFDSYGVSGHPNHRDVHHGICKFLHENGQGNIEAWELASLNILRKYSGPVDIWLSLLVSSSSKQPVYTLVNSSPSRSYQAMAAHKSQWVWYRRLFVMFSSYTYINVLQKEENAGRQSMMLPGTELPASNGGGVNGSGMPSLPDFLGKRSKYVRMDDVLQQEQDDGVRVRRSQSSRRYVLACSVFASLNSVLLGYDVGVMSGCILFIQRDLHINEVQQEVLVGCLSFISLLGSLAGGRTSDAIGRKWTIGFAAAVFQAGAAVMTFAPSFRVLMVGRLLAGVGIGFGLMIAPVYIAEISPAACRGSLTSFPEIFINLGILLGYISNYAFSGLPDHLNWRVMLAVGILPSVFIAAALLVIPESPRWLVVQGRADEAHAVLLKVTESADEAQERLAEIEEAARATAVASGEARRWAPRWRPAAAACRASWEGRAGTRAWTTCFHRCRKTVAASVFAAEAVAGDTLFSARSSLLSTMSCSATEAARATAVASGEAVWRELLLRPSPVIRRMLVTGVGVQVFQQATGIDALVYYSPTIFRDAGITTERQLLAATVAVGLTKTAFIVIAIALVDRVGRKPLLYASTAGITACLAALAATLALLDRGALPRGAAIGLAILTVCGFVAFFSVGIGPINMVLSSEIFPLRLRAQAVGIGFALNRMTSGAVAMSFLSICNAVSVAGAFTGFAAVSAMSVAFVHLLVPETSGKTLEQIESLFGGGATSGEVELGDAERLVNERVASQPSS >Et_3B_030447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3872357:3884848:-1 gene:Et_3B_030447 transcript:Et_3B_030447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHGHPYAPAGLELPGFVPLKLSQAQIVAPYLGTSLFVVLAVWFLSGRCRRLSKVDRLLMCWWAFTGLTHILIEGTFVFRPDFFKRENPDYFDEVCKIPEIWKEFSKGDSRYAARDTATVTVEGITTVLEGPASLLAVYAIGSRKSYSHILQFSVCLGQLYGCLVYFITAYLGGFNFWASPFYYWAYFIGANGWWVLKLPGFVPLHLSQGQIVAPYLGASLFVFLAVWLLSGRCRKLSKIDRLLMCWWAFTGLTHIIIEGSFVFSPDFFKRENPNYFDEAMKEYSKGDSRYAARDTATVTVEGITAVLAGPASLLAVYEFHLLPKCRMRQLYGCLVYFITAYLDGFNFWASPFHFWAYFIGANNSLAVLAQVIIILVLFGSAIDTPLNSSVIIMQETGLTHIIIESTFVCSPDFFKREKHSYFDEASKLPEIFLCRNWHFDTKRYRQSDTGGVLCHCISETLQSYSPVHYLFGPALWMLGLLHHCLLRWLQLLGQSIPLLGILHWCKQFVGRDTNAHRHKKLEENLLSNWSCKDGD >Et_4A_034950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8048049:8050308:-1 gene:Et_4A_034950 transcript:Et_4A_034950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHCLALVLFVGTLLALPQPSLGSTRYYTFNVVMQNVTRLGTTRAIPTVNGKFPGPRILTREGDRVVVKVVNNVKDNVTIHWHGIRQLRTGWYDGPAYVTQCPIQTRQSFVYNFTVTGQRGTLFWHAHVSWMRATLYGPIVVLPKRGVPYPFPKPDKEIPIIFGEWFNADPEAIIAQALQTGAGPNVSDAFTINGLPGPLYNFSSKDTFKLKVEPGKLYMLRLINAALNDELFFSIANHTLTIVDVDAAYVKPFDTDIVLITPGQTTNVLLRAKPHDDGCPPGTHLMLARPYSTANPGTFDNTTVAGVLEYAPPGHIKSRPLFRPTLPRINDTAFTSNFSARLRSLASPEFPANVPRGDTVDRSFFFTVGLGTNPCPANQTCQGPNGSKFAASINNVSFDMPTTALLQAHYAGNAAGVYTADLPFAPLQPFNYTGAPPNNTHVSNGTKVVVLGYNASVEVVMQDTSILGAESHPLHLHGFDFFVVGQGFGNYDPHKHPAGFNLVDPVQRNTVAVPAGGWVAIRFFADNPGVWFMHCHLEVHTSWGLKMAWVVNDGPLPEQKLMPPPLDLPKC >Et_1A_006150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18581796:18583918:1 gene:Et_1A_006150 transcript:Et_1A_006150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRRLLSTAAAAAGAARGPPPIRVALTESSGRGVFATRPVAAGELLHSAEPLVSHPVPSLLHEVCYSCLRRKAAGEGRSSSGGGGYFCSDACREQAKGFHDVGQRVDWSLFDDHCSSRGLKYPYMAKRLACMVISGAASADCLNILQPARLHQGTLIEMEEEFLLLESAFRKAGFQEEVRTFLTKEWYINILARIRINAFRVELVASSYEDLLSSAEASVSCDASVGNAVYMLPSFYNHDCDPNTHIVWLENANVKLKALRDIDEGEELRICYIDTSMDAHARQKILEDGFGFQCRCLRCLSGD >Et_4B_037360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1962647:1965256:1 gene:Et_4B_037360 transcript:Et_4B_037360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWSWLCCGRSVAGGGEVRLPEPFQLPAPLPEWPKGGDFATGKIFIGELEVVNITRFRSIWSCSEATFYEPKGAPDGFHCLGHYAQQNDRSLQGFLLVAREVAGHQLTNSKPALEKPLGYSLIWTNADSTEDDNTECGCIWLPSPPNGYKALGYVVTKGPKKPSLEAVRCVRRDLTDACENLSSVVNLDNRCQVWKTRPCHRGVTGQGIPVGTFSCETDSGDSEESNIPCLKNFDSNLSAMPNLEQIHALIKHYGPTVFFHPQEIYLPSSVSWFFENGATLYKKDAKTGVAILAGGSNLPAGGTNDGEYWIDLPDNDRNQYVKVGNLKSAELYAHVKPAYGGTFTDIAMWVFCPFNGPATIKVGFASFALQKVGRHVGDWEHFTLRVSNFSGELSSIYFSQHSGGQWVDACDLEFISGNKAIVYSSKNGHASYPHPGCYLMGSETLGVGVRNDVARSDLSVDSSSQYKIISAPHLGAAVAEPRWLQYMREWGPTVTYNSRSEIDTVLSFLPFFLRFTAQAIFNSLPVELYEEEGPTGPKEKNNWEGDERS >Et_4B_036622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:119135:120392:1 gene:Et_4B_036622 transcript:Et_4B_036622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEERDRRALLFACRNCEHQEISDNNCVYRNEVHHSAGERTQVLQDVASDPTLPRTKTVRCTQCGHGEAVFFQATSRGEEGMTLFFVCCSPDCGHRWRE >Et_8A_056963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19508379:19508841:-1 gene:Et_8A_056963 transcript:Et_8A_056963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSGDVKSFFRQQKAHAGGATKPTGGVSKKAAQKPAPAVHVHAIPDHGADGDARRRQEVEERERAAREFDMDMRYGPCLGLTRAQRWRRAAALGLAPPTALVALCADDQPCLWEGRV >Et_5B_044951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7584937:7621577:-1 gene:Et_5B_044951 transcript:Et_5B_044951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDHRRRPGEDRISGLPDEPLHEILVGLKSVRAAARTCVLSHRWRHIWTQIPKLVLFFWDEPPPDIFMDYVDAVLDASSAPAIETLWISMPISCGSMRIPVRRVARWLRFAAQRVVGKFWFDVPELLGEEEDQERELEIPMCDRAVVINLKLRRHWLLRLPQAGSFAALKELKIQSATVEGSELTALVSTHCPRLRILDLCVTLCSSSDVSIRTDSLEVLWFRVYHTRRLEIVGPRLERLAVHDVESRVISAPKLAELDWDGHVTLASRFYKVDELKLDISIPQDSLGYERFINETSKLPKCETLSVSLRRNGHGIVPIVLHLLRSCSTTKKFSIALFSGLYTWMYIYSCPLPCQCRMTNNRGTDNIAAGSIEELEIKNFTNSQEEAVEFVEQLSSCNAANLRKIANQSKVAIYHFGPHTKETCEKVRSKFMAADRRRRPGEDRISGLPDDLLHGILVDLGSVRAAARTSVLSRRWRHVWTRIPNLVLFDQDDPPPPASFQSSVDAALAAHSAPAIEDFQITVPTDGPHVRACRVAQWLRVVSQRVVGDIGVSVPSPTGMRLLSRLLDRFLVRVDEEEELEFPSCGGATRILLTLDERWRLRLPAAGLFAALTLLSIGSGRIEGSELTALVSKQCPRLKTLLLAVTLCTVSDVSMRTDSLEVLFFRAANTRCLEIVAPRLEELNEIFGYQSFLIETRMLPKCETLSAYVHWNHHGLVPVMVHLLRSCTSTRKLSISLPNSDGHNITNPCPPSCPCRLAKNSKIDDITLGSLEEVEIRNFTSSREELEFVQQLSRCNAAVLKTIVICYTQQPHTPLTKEVCEKVRSKCHTNLKFEFYVSSDMLGLSLWFYVDNTKRLEVIAPWLQKLTVCDVESHMVSAPELAEIHWNGNAHDPRRHEFADSPSAAKINGKSVVASLLQRFDSADELKLDIIVPQEIFGYQSFLNETKMLPKCETLSVSVFRNHHGLAPVMLHILMSCSTTRKLSVTLSNSYCYPMMYPCPSSCPCHLAKNRKLNDISLGSLEEMQCSSPEKDRHLLHTMASYSAEEVNEKVRSKCRSNLKSRILRDIIRHVKEADRISGLPDNILHSILLRLPSTAEAARTSVLAKGWRSVWAHLPELSFGWGCRVLDALDACLAPTVNRLEVNMWGRSSSVPADSVSSWLQFASLRLAGELRIRLGTFRVGPKGRDVVLPVCERATAIILELGGYTLQFAPHPGGVFSALETLTIALVCVDGRGLESILANHCPLLKQLVLDSVTQLDGTRALSIHSDSLQRLEIYIIPFTNSVFHPATVEIVAPELQSFYPHFLPQFDIPASPKLSDVNWSSSHVYNPLHHSFGVVGRHIQRLVLVTDISVVPLMQRFDTVNEFVLIIKVRQGVQEYKRFLQDTNIVAKCEVLVLKLCVIEHEFKPIILHFLKRCVGIRKLVVELRSEMVDYPCKSVSSCPCGRLENRKTNNIVFDAHALEEVEVKGNEAADQVVRLLCRFSATFQKRVTFTISECGSESMRKSILSIEPTKEKPTRSSRSIPACGGATTIVLRLNERWLLRFPPAGRILMAEEGPSDGNRDRISGLPDNILHSILLLLPGGTAEAVRTSVLAKRWRFVWAHLPDISFRGDCRIEAAQTAYLAPTVNRLSVDMFRSSCRSRRVLADRISSWLHLASKRLAGELRIRVPHDNDREHEVVLPVCEMATAIIMNLGSYTLRFALPPAGIFSALATLTIRSTYVDGRELQGLLTAHCPRLKLLVLDHITLLDGARPLSIHSDSLQRLEIAITESDVKVQIVAPKLQAFYPYYLSQFDIPASPKLSDVDWRNSKVYNPLHHSFGVAGRHIQRLLLVTNASAVPLMQRFDTVHQLVLIVKVGQVTIRLHVKPSTLHINVNKILIIDVFSSCGFQGVQEYICPFYIFSSDRIGDVLNLKRIMDTDQGDVVDCLRAAAGGEDRISALPDDLLHSVLLRLPSTADAARTGVLSRRWRRVWTHLPDLSFYHDGDRVGDALAAYAAATLRRLDITASMDRSGHVTVDQVSSWLRFASHRLAGDLRLSLKRYRAKNRDDVVVLPLCERVASISFDLYESTLRFRMPQADAGAFKALATLRIMDARVNRRRLEYIFSSRCPRLKELTLQWITLTGRSDTLSICSDSIERLQISMQFHGQLRVATPMLQVLCCGVQCDFSIAAPKLSKLCWWSDGEYDPSRQHITGPGHYLRRLQIERWSSGSMLMQRFNTVHELDLTVYITEGIQEYRRFLEDTDKLVKCEVLVVSVEENKHALRSTMVHLLRKCSGIRKLVVQLSSSRNNYQWEDGFSCKLFSPGCPCSLLESRKADNIILDLLEEVEIKGHADADHKVEVLRLLCSHSIKFQKRVIITVLEESRVEYIGRKICSIVPPNRNRSPAPRENRRPGTAAGGCQFPH >Et_1B_011133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17982902:17987925:-1 gene:Et_1B_011133 transcript:Et_1B_011133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPAPPPPTGGGRRRWLPRLSPTAARDRCYTRAFRSAGLRPAAVPLADGAVLHLWLPPLAAGPRHPILLLHGFGASATWQWAPFLRPLLAAGLAPYVPDLIFFGASVSPSADRSPAYQAACVAAAMAALPGAPQRYSVVGVSYGGFVAYHLAHAFPAAVDRLVLVAAGVCLEEADLAAGLFAVEDITEAASLLLPQRPEDLRKLVGLTFCRPPRFMPSCFIRDYIRVMCTEHVKEKTELLYALINGRKLSDLPRICQQALIIWGEEDRVFPLELGLRLKRHLGDTSELVIVKNAGHAINREKPAELCRLIKNYIVDPSVKYRDERKGSWKNMIRRFAGSSLRKVDSSRPTTLTPESPNAGHHQREQIPPPPRTHRRRGLHRPKALKRAGKDHHQRHEQELTELPPGDVPRALSSLNRRSPPPTDVAAEPSSGIQPPCFLQATASAFLSQKSGLHLASLEHDSELLDESSSPTSSLLTSKRSAYGTRPSSEGLIRTKGRRRCSLTAGRQNPNPRTYTRT >Et_3B_029720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27385827:27390486:1 gene:Et_3B_029720 transcript:Et_3B_029720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRPTNVAATSCHALSPLFSHAGTAGRSGMYGPGSGGDAILADAKCMALLGCLRRLGAVCNLRSPERLIDLTIASFSIIPNPSRSILIILTPGATAASKGAYLPELYKFDASADICKIGDDTRPPSRIREWLHIPIPSKGERRALTCSKTPRLASSSKNRRRASAMPAVTAADPRSWTRPSPPSGEMTAAMVDLRLRSAVKRRRWLKQRGRGAEEEAAAGENARGRERRRGAWGRERSSGEIWAPRLSISPACRTGE >Et_4A_035113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9586878:9591295:1 gene:Et_4A_035113 transcript:Et_4A_035113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIMKAQALRDSSMSGYMSSKKTMEINPENAIMDELRKRAEADKNDKSVKDLVMLLFETSLLTSGFSLDDPNTFGTRIHRMLKLGLSIDEDETTEADTDMPPLEDDAGESKMEELPCRLFEKPGEGIFVLNASESRLK >Et_1B_010278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34382890:34383854:1 gene:Et_1B_010278 transcript:Et_1B_010278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLDTVLSFSSHDPDANRPANDEAADCAPHDNSDDDEGFEFALAPPLTAADAEDSLLAPADDLFAHGRILPAYPVFDRRSRPDDLTLTAPDTFCAWAPGSPAREPHHQQFPKSASTGEARRFWRLRDLVGGRSHSDGKEKFVFLQPGSSTSASSVSGKAAEDRKKASPQQSKNKKGKAGGVTEMDMVTAHRLFYGKQQQHSSYLPYRTGIVGFFASAHALGGRSHHPY >Et_8A_057152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21656208:21657076:1 gene:Et_8A_057152 transcript:Et_8A_057152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFTVAQAQRMSCPPSTGAAPRAVFAIHSSAMQLLLRIDSLTAQQRTVSASNLGRTSPIEPLPGNQGATTPGFHASTPPISRRLTSLAPLPWPSSSNTKPSGHFLRPFCCPALRGGRSLGAGFALQVGKAPAKEGVFVECHVFNLPSVSLATSLPQGIRRDARTTTCGPLVRAWLSYVGLRLRPGREAGGDVQVNAAPVRQHQRIYISAAVSWTATVTAGRLETVGRARRTELAKIVLVSKGPTKFLDAAVVRRRRRAAGGAQPQQAHRSTASSGRLTPRRR >Et_4B_038407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28794580:28797465:-1 gene:Et_4B_038407 transcript:Et_4B_038407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVFAKSLFHLNRALVRRLRPLVVRAQPPAARPQPHELAATLDDALPADGGAAAVCKVEGGLLMSSSTFPYFMLVALEAGGFLRAALLLLLYPALRVLSHDRAIKVMAVVSFLGLRKDAFRAGRAALPKLLMDDVSAEVFERAVAPAHRRRRVCVSAMPRVMVEPFLTEYLGVDAVVAPEMREFMGYYLGVMEEEDEVLQRVNVQEVIAGEEGAGDVVVGVGGLGCSFDRLFHKHCKEVYVPTESARRQWHPLPRRRHPKPLIFHDGRTAFRPTPAATLAMFVWLPLGAALAIARTAAFLLLPFALSVPLLAALGMHSRAIIPNSSAPASASTTNLFACNHRSLLDPLYIAAGAGRGDLAAATYSISRLSELLSPIATFRLTRDRAADRAAMQARLSSSGLVVCPEGTTCREPFLLRFSQLFAELGRDVAPVALHSEVGMFHGTTAGGWKALDPLFFLMNPAPAYVVHFLDTVECCGGDGDGPEVAKAVANEVQRRIAEALGYTCTGLTRRDKYLTLTGNEGLVGVNQGANKNTLLVLAS >Et_10B_003241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18063501:18064995:-1 gene:Et_10B_003241 transcript:Et_10B_003241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVILSGDPSPSRGGDGSTAMLIHNPRRQLSFASVGDDDEDERWHWVTSSPRYAEYADCVYHDGVFYAMSRQRRLDALKRAGCELIFNDTLTYDAFNVYIARASSGDVLQVWRYTGIQEEEPKEMHTDGFEIYKLDLEQQCSVELHTLGDDALLIGHSYT >Et_5A_041220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19680182:19682900:1 gene:Et_5A_041220 transcript:Et_5A_041220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDSVGAMPSAPPAQAPPAAAEQGRPPADLGSCVDVQEAPDPSSLTAAAAAVSDGQSSSPSTPSPPRLPRGSSVSWRRLTTMIRRQLMLEPRRRRSWPRTMMKLVSWPVRLVFDGVALAVDVVILIVVRSVTMVSSLVPDRVRRFVCRFSVLFGDDDDPLPNECLELPISDATIQRLYFRPDVMRICLLLLAGGVVLFATMQVAIMAGAIIRYHEYIDFLKQFRDTSQFVLDVVSKFVGFYSNIKWFRAELARKRASRGGCQEAAPASAAELLIQAPPPPELDIIWKLVLLHGMRGKVQWPADPGAPGHQFVKTLLAEDFTTRHQQDTFCPSCRVAFCSAVHNDHADHPSVEIILNGARLFVRIPATEKWLGKYASVQVRTNPKEEWEGYRLLPLMPVLPPGSTSSVAINKGSGRINMKNSIALSKKGKTWRSPECCPTPRCGYGFQPPIAGLKDETSWILITSTLQLGHPSLLPCF >Et_9A_063470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3539647:3540300:1 gene:Et_9A_063470 transcript:Et_9A_063470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSKASDASKSAAVLEQKKKAAAAYVVEEDTDALDCGICFLPLQPPIFQCEVGHMPPAASATSAASPLGIGRCHATERLVASVRVPCPNTVHGCIATPPYYDRHGHRTGRATALRLHRRLHVGAPGPLLLWRPGLAALNSQLTNGFNVPDVIAEDADPSYRYLLLLNVTRQPLGRAVSVLWIHRHAGTMSKEIECELMH >Et_4A_033353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21865635:21869011:-1 gene:Et_4A_033353 transcript:Et_4A_033353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGDGAAGMAGDFALPDEVLAALPRDPYEQLDLARRITAMAVAGRVSGLEREAARLRADAADRDRENGELRERVALLDTALQETNARLRDALEDNIKLSKERDSLAQTSKKLARDLQKLESFKRHLMQSLRDDSSSPQETIDITTCDQPVPSKVSSCGDGGSVSRPATNILSESTDVGSTNRAARPPVQKYSYSSHITPRLTPEATPKIMSASVSPRRMSTTATPKLMSGATSPTRSRIETHMSMTPWYPSSKQSSAANSPTRGRPNPGRTPRIDGKEFFRQARSRLSYEQFGAFLANIKELNAHKQSREETLKKAEEIFGPDNKDLYLSFQGLLNRSMPHSGVALQCASGCSVLGDDMDDGEPVLVLLPPPPQPRAALSRCAM >Et_1B_013139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5853994:5857589:-1 gene:Et_1B_013139 transcript:Et_1B_013139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAPPRGAPRDAVGQRWLAVFAFQAALSAAASVLHLAAAPRRRHHVLGVPRGLLLVLHPLLSCAATGLLALALLLTASPHPRPPPLPLRALAASLLAAAGAFCLGAAASILPEDAGWAAVAGLAFRGAVLGAVFAAHYFGRRRWLLQFPVVQRPLFYGLKMGLLPSGKRALKVSLQAFCLSSVLTLFLPRQFRIGGSIGSQILVQFSIFIMTTGVSFCLEISHYFVQVVHTRRCSFAPPQSTAAAETNPTEFIMETLEQSDPRSLIQYLAYQDLCVVSDCNLEPWRRSAFFEESGETYKRIVTACMKPLEEFTSKIAEALEGFASDKPELMSQSKLFAAFDDSQICTWCARTLAGLTARSRQEDRYGVAQLTGCNAAVMSTLLSALVAIEACLGKKTNPQPVNSLGPESIRWANFSTGRKGTGTAIASTQKGGLHKKAYAMADVLRTSIYQIVSAFVDDLRANAKPSSLEKNWISEGRKPIYGSQAVLVQKLILFIEYRAV >Et_10A_000972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19803212:19806553:1 gene:Et_10A_000972 transcript:Et_10A_000972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATETEQSRTGQKTAVEDAVVGDPKRMRTSNDDNGGGGGADHEDMDEDDWGDDISYLDSYRQDWERLYGKLGSFEDETEIPNMVLTDGPELPLTTCPMDLLQIFSVKVMEIKEALQWPLDVYGHVAVRDSLDHKRIYLFRRKREDCQALASPQASTSSSDSSLKLTGPSRAIALIDPVIFEVDLKVKSKGSPFECDDKVLSYHAFCYHNVIYRHDTGFARKQVESTEHSTMEFMFAHLKQAVEATIQIRVDEGSSNFKARVAAVTAGIDEEVVLLDSLDRKVVVDGHGLVTFQRNVVVVDEKGMLIVSVEATEGDGGDIITKKMNFRPRVALRSKALFKFGFCNLSVVVAWSMVP >Et_4A_034941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:867141:870076:-1 gene:Et_4A_034941 transcript:Et_4A_034941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWFLQATVAATLCAVALLAACAGGARASPGEGCRKHVAKITEYGAVGDGKRLNTAAFAKAVADLSKRADDGGAALVVPRGKWLTGPFNLTSHFTLFLDEGAEILASQDMKDWPLIAPLPSYGRGRDEPGPRYSNFISGSNLTDVIVTGRNGTINGQGQVWWDKYRAKKLKYTRGYLLELLYSDNILIYNVTFKDSPSWNLHPTYCTNVTISGVTILAPVHSANTDGIDPDSSSHVKIEDCYIVSGDDCIAVKSGWDQYGIEFNMPSQHIVIRRLTCISPTSAMIALGSEMSGGIRDVRAEDNTAINTESAVRVKSGVGRGGFVKDIFVRGLSLHTTKWVFWMTGNYGQHPDNSSNPSALPEVTGINYRDVFAENVTMAGRMEGIPDDPYTGICISNVTAKLAPHAKKVQWDCTNVKGVTSDVSPQPCPELGGQGKQCAFPEEELAIGPAELPKCSY >Et_6A_047972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26626821:26632029:-1 gene:Et_6A_047972 transcript:Et_6A_047972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPALPALSAAIQVTRLAGSIGAELRQLIAKHQKLKRSIKNALVALVKELERVESRVVGGHDRYLGPVQEVKIVQLQDVLHDDEVFLERFSEPGDCFGHVLLWGGCDQREVDLDHIEHFMDQIKLKPDESGTADVETSSSTPYTPEAELVSIAGPKSEVLQLLSQEDGELDLRVIALVGRCGVGKTLLARAIYDHCHASGDFDCVAWVVATGCVDANSLIQRIVQAICVEEANSLIQRIVQAFRVEANILDGDLSGILGAKRYMIVIDDLQGSHLWQGIKKVFTENELVNRSILEPVFVGVDYKVKRCKIDGVMQEFLVLELEGCNGIDSRIMKDICTLKFLKYLGLRGTAVTIIPKSIKKLSNLQTLDIRETRITLLPVEVFKLPQLACIFGKFEPPRSSKKARATLEHLHSLSGVMIRRNWKAFDQIMLQAGKRLKKLKIWCEAPPAHCSSSFRERLQDRITGSAALDSLTIDSANLCQEFISFLHPPCGIRSVKLRGALNRLPAPAILRELGSLQELYLISAGLSCDALSVLQIFSCLVYLKLSEPNGFMGGSSFVMKSGFESLQRVCFEAPKLPQVLFVTEA >Et_5A_042637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23436904:23453437:1 gene:Et_5A_042637 transcript:Et_5A_042637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVALLDKVFSEGRECCAFVLLVEIKESNIHLFRGLNEACVVETIWSSRLSHKIEDYMKTKLRSSSGSRLVHVVWEYLLLLLLEPKAEQQNDEGKEDYKSIHEKRDPNYAFCRLPLPYIQNLGDYLLNNGGVTSRQALDII >Et_7A_051654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22013503:22014001:1 gene:Et_7A_051654 transcript:Et_7A_051654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEKERSPGRFDRNNTEITETGPERLHNSPVLPVPNSPVWPVCSKTYIFESFCMGTINYVKHIATSEKERSPGRFDRNNTEITETGPEQLHNSPVCLSAAKLTFFRVFVREPSSYIKHIATSEK >Et_5A_042554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20118013:20121147:1 gene:Et_5A_042554 transcript:Et_5A_042554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAETGADEKPRLGQPLLAPPHPQQQPYYAYPAAAYAAPAPPPPPPTLVFVPAPCTPVILRLRRLRPRRAPSCLRVFSTRTLPALLVLALLGGLAFLLYPSAPAARVADIRVSRFRIDPPALDLGLALRLRVRNPGLVLPLRYRVVSAAVSYRGHLLGSARAWPGSGELAARDEVYANADVWVDAGKVLDDVVELIGDLAAGSLPLEIVTEVVGAVRVFHFNIPVKELGTGPSLHK >Et_1B_010225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30798188:30799222:1 gene:Et_1B_010225 transcript:Et_1B_010225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFAGDDPFDFDDYSDGAEFCDDPFDCDDGDEGEHFCVSGFSFPDDEGEELCVSGFAFPNGEDSLVLEDHASQASHEDPILETLGRSFDSDEGLGMFLPQLVSALELEEDSSGEEDAGVGLDREPRHAIEEAAVDDGDDGLGFMFEELSGFDLDPRPVTGGFQLVDADEGWEEVAGDDDMGEGGGLMLSGFDLGPSPSVGAFRMLVEDIDSDDGDLLDALAAHVGEAAAQSGRLPVSRAAVEALPEVAPSEEEASSGCAVCKDGIDAGQLIVRLPCKHFFHGDCIRPWLAIRNTCPVCRYELPTGNAEYDRQRRAAGGASHTQQGAIAQV >Et_1A_004918.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:16510236:16510253:1 gene:Et_1A_004918 transcript:Et_1A_004918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEC >Et_4A_035180.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:10581369:10581845:1 gene:Et_4A_035180 transcript:Et_4A_035180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLPIAGVPAVRLASRLQLATFFTPTTPSPSSRRGGRHGCTGPPPALTADVRVVIRRHFPFGGPGGARIVEKVAENIALRRRPSRQLREPENVDRALAKDVLPNVRHPFDRNAVARAGKEICAYVAAACADPRIVHGGAHVLVLIDTFANPVKAET >Et_1A_008991.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23907942:23908493:1 gene:Et_1A_008991 transcript:Et_1A_008991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRRRRSQAAPAPAPSQALEDEDLLADILLRLPPQPSSLARAGSVCKIWRRLVSSPGFLRRYGARHGKPPLLGVFMDYCGYPIFQSVLDPPDAIWGRRFILWHHAKDTWELLGVRHGRVLIFNYTRHEFIVWDPATGDRRCVAMPQKLRDEGITVRNGAVMCAAGDQGHVHGMDCHSSPF >Et_3A_023271.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:16421016:16421363:1 gene:Et_3A_023271 transcript:Et_3A_023271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLFLGLKHMHEKGIIHRDIKPSNILIDFDSDCVVGKICDFSLATYCDEAITTWCGIPHGTYGYMAPEVYELKSYCTFECDMWSLGAVMYKFVTGCPLISGHDSTGTVTRMQLV >Et_2A_015098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29842904:29843266:-1 gene:Et_2A_015098 transcript:Et_2A_015098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCALVCALGLNTMLHQCVARCARRAVADPAGAGLKREDVVALPVATYVAAQQQGQVSVAACAICLADFADGERIRLLPVCEHRFHVVCIDRWLLSHCSCPTCRQVLTAV >Et_7B_055949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7957272:7963283:-1 gene:Et_7B_055949 transcript:Et_7B_055949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLRESTPWIPRNLPVAAGRRTPMDAVGAASWLVQVVLEKLVGDGIDAAWAAASGAVEEDSDPCRDVRRLRARLESLHLVLSAAQEGAPSSRPRGEALLGSLRRLRDLATDADNLLDEMLYYQLHRQLHPDQASDASSSSGSAVESVVSMFRRAKRARLDGDGDTTGRIHEILERMCEVGDDVREAIKMEKLDAFADMRRQNFSGYPRGQTTAYFTEPKVFGRDAVKRRIVGMLTSKEASEVNLSVLPIVGNGGVGKTTLAQLVYNDAVVQDYFSKRIWISVSVNFDEVRLTREMLDCLSNGVGRHDEITNLNKLQEMLEESVKSKRVLLVFDDLWENNDKSCWDKLLAPLRFGSLKGSVILVTSRNCSVVKMIATMDPIHLDGLEEDDFWLLFKSCVFGDEKYEGNRNLQIIGQGIVKKLKGYPLAAKSVGALLKRNLDSGHWMGILQSDEWKLQKGPDDTIPALKLSYIHLPFHLQSMDFLLGDFNKLIHLRYLELISSGPGEPLPEVLDVECWLNLSSLPQGMNNLVNLRHFVAQGELHAMIAGVGRLKFLQELKVFRVGKTTDFGIGQLSGLRELGGSLEIYNLENVRSKEESHIARLRDKRYLQDLLLSWSNDRCVVRSITEAEAKNVRAFTEEQDRALHYLISLQSLPKELHCLPSLKKLSIKDCPGIKSLPEKGLPVSLQQLYVSKCSPELKKDQLDKAQSLDSNRCPSEARIVETPRNVTKPV >Et_1A_004601.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22011238:22011729:1 gene:Et_1A_004601 transcript:Et_1A_004601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps18 MYISKQPFRKSKQPFRKSKQTFHKSKQPFRKFKQPFRKSKQTFRRRSRIGPGDRIDYRNMSLINRFISEQGKILSRRINRLTLKQQRLITLAIKQARILSFLPFRNYENEKQFQAQSISIITGPRHRKNRHIPQLTQKFNSNRNLRNSNQNLRNNNRSLSSDC >Et_4A_035447.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2573842:2574759:-1 gene:Et_4A_035447 transcript:Et_4A_035447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPVLAPDASPASAAGSGAAFPIAIVIAIGFIVTSLVLITYYFLVVRCWLRGVGGGGGPGAGLLHRSRREDLVERVSAVFFTDYEAEQPGGLDPDVVAALPVVKYRPRRHARSSSAALECAVCLAEFAPEERLKQLPSCSHAFHIDCIDTWLHHNVSCPLCRTVVTGAGGGPVVPLARDDHEASCRDLAQVGDSRRIAAAARVGYGSSCRFPATKSGAAAQDQLPITRSFSMDCFMGDLGRKPRKDGSEAGPSRVAGDGSSSVVANAGGGGPGETSGRFRRLLSSFGLGRSSRSTVLPIQLDP >Et_6A_046315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12934438:12940010:1 gene:Et_6A_046315 transcript:Et_6A_046315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADPPPFPAQRNHRRFGMASAAAAAASSTLLRASNFSNGAGRSAAPSICDRSGSRRRAVVVRASAAGAREAPSAALPAALLFDCDGVLVDTEKDGHRISFNETFAERELGVSWDVELYGELLKIGGGKERMTAYFNKTGWPAKASKTDEERKEFIASLHKRKTELFMALIEKKLLPLRPGVQRLIDEALGKGVKVAVCSTSNEKAAIYTLAATTLGVDPSRCVVVEDSTIGLAAAKAAGMTCIVTKSGYTADEVFGTADGVFDCIGDPPEVRFDLDFCANLLQKQYVN >Et_1A_006243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19565272:19574752:-1 gene:Et_1A_006243 transcript:Et_1A_006243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KPGRRVNKAVGRRLCSLARQSLLNADADRTGGDRHSCLIGGRDDAGHDAPQGIPSPIVDYSKRPDGAEEGHLGRAGYSKSQSSGAERRTTVCVTGAGGFVGSWLVERLLGCGRYTVHGTVRDPGDAKNAHLGEMDGAAERLRLIKADLLDYGSMAAAIAGCEGVFHVACPVDVLAPAVTGTENVLKACAEAKVKRVVVVSSLSAVLVNPDWHKLPVMDESCWSDVEFCRNTENWYSLSKTLAELEAFNYAKRSGLDVVSVCPSLVIGPLLQPTLNASSSVIVDYLKGERAVKLRLGYFVDVRDVADALLLVYETPEAFGRYICNSHSRQVSDVIELLKSWYPTYKYADKFIHVSGGHVFSSKKLETLGWKFKPFEETLRDSVESYIISPVD >Et_1A_008634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9324252:9328825:-1 gene:Et_1A_008634 transcript:Et_1A_008634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFVLFLLGYGIRSDHASPVRGYSSDMLSLLDFKRAITNDPKGALASWNSSTHFCVWEGVFCSRKHPGRVAALELTGQNIQGEISPSIGNLTFLRTLNLTTNSFSGRLSPLSRLGRLEILDLSNNSLHDTIPGALANWSNLRILDLSMNSLAGEVHPKLGLLSKLSCLLMSENQLTGTIPPALSNLTHLELIDLYSNNLSGSIPAEVGKLSNLWLLLLDYNNFSGQVPSSFSRLQKLRFLYLYNNMIEASNEETREFLSALVNCTLLQRLDLGDNQLEGAIPNQIGNLSTSFQVLSLGSNHLSGIVPPTIGNLRNLIELDLSNNHLKGTINEWLGELTNLGELDLPHNNFIGTIPLSISNLSYLKYLHLGENAFSGQLPHSLGNLRRLHLLNLSHNNLLGDIPSNLGNLQNLEILDLSHNNLDGGIPSNLGNVRNLSHLDLSDNNIRVFNEMLDWLVLLLLCHELGTNHASPVHTYSSDMLSLLDFKRAITNDPSGALASWNTSTPLCRWAGVACSRRHPGHVASLQLSGNNLKGEISPSLGNLTFLRSLDLSTNGFSGRLPPLGRLHRLEVLYLSSNSLRDTIPDTLANCSKLRTLQLSSNSLVGEVPPKIGLLSELSYLDLSRNNLIGTVPPALGNLTNLETMYLDINNLSGSIPSELGKLSNLLTLSMGLNNLSGIIPQSLFNLSSLQGLDLSYNTLGGNLPPYIGDALPNLSFIYLGTNMFSGQIPASLGNSSQLEYIDLQHNNFSGQVPSSFGRLQRLSELHLNENMIEASNKRSWEFLSAFTNCTLLLVFNLTNNQLEGTIPNQIGNLTANLQF >Et_2A_016247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22516954:22519771:-1 gene:Et_2A_016247 transcript:Et_2A_016247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILSGFIRPSGAAAAKNKKQQPRRVTADVLWPGLGKKAAEDDFEADFREFERGLSEDDADGVGDDEDDVVEEVPPPAPAWFVFGGAAKAAPDVALPATTLDADDGITPKPAQNDGPVATSAKRSRKNQYRGIRQRPWGKWAAEIRDPSKGVRVWLGTYNTAEEAARAYDAEARKIRGKKAKVNFPDEVSNGQKSILKPSAADPTKLTQPLKNCADEAFGHPTNGDNDLFAMFAFSDNKVPLKPAETAGFLPPVKPLVPTEGFGTNMLSDQSSNSYGSSDFGWDDETMTSDYTSVFAPNNAVPAYVEPACLQGGPSKRLRNNYGVAVPQGNGAPNLTQDMSGFVPEMKYLPLPYVESSSEVSMDSLLQNDVTQDGASNGDLWGLDELLMAAGPLAVVKMKSQLCLLCSVAETLGAGQGRRYDVRKSRKV >Et_3B_029280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23663174:23672118:-1 gene:Et_3B_029280 transcript:Et_3B_029280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVNSSPSASTTSSLRGQMVASEWPPGLSGGSGHHTDGPPPSPASLSPPLGALGSDDGEFGCRVPKRARAESGGGDGAGIADRAAGEGRDRISELPDAFLVSILSHLPLRDAGRTAVLSTRWRGLFDQSLLDFNACQPFPPEEGRGCEWMIGAVTDILAARPLVRIRSFRFVMYGRGFDGHLPVVDGWFRDLARHGVRELDVDMFYAAPKPELPGSLLDFASLETLKVYCCRFPDTEQAPRLPVLKNLGLSNVTMSQHSLQAMLFHCTSLECVKLRNIVEVENLCLRSKSLVRLYGDFGDLRELVIEDAPSLEELVGIDLPNGKAKVKIVSAPKLKVLGYLGINVRLVLLDTMFKGGTLQLGTLMHSVRTVAIQVPFSEKGYTVFVAQLLKCFPCLEVLHVEPDKRSVSRSVTVEEWDTSKPIQCIQHSINRVVFEYYGGEECQRGFLAFLLGMARTLKLVEVYCWKGKDWAKDQVELMTPKNRASPDVQFLFSKFVSRLGTGTDATAAPGDARWKTELWRRTSAGVSTAIASATSRTLLSSPSSSESTRLRGAPLYDDVAEVHRCRRSPPLFPWSGTCTSSRNLSTAPWRAYGAVFSLRFGSRRVAVVSSAPAAQECLGGAQDVAFADRPRLPSGRILSFDWSTMGTASYGPYWRHVRRIAVTEILSAQRVEHFADVHAREARAMARQLYRAARGQRRARVDLKLRLFELLMNSMMGMICARTYYGGGDGEQGEEEVSEEARWWMEETMSLSGASTVWDFLPAAARWLDVGGVGRRLWRLRESRTRFLQGLIDGQRREMENGSRQRTMIGVLLALQEKDSEACPDQLIRSLCIVSEHLQLDFIWTASALALVAALEQALVGLPHDHLSDLPDSILVSILSLLRLDEAARCTVLASRWRRLFPSTLLLDFNAYMPGCRDVAEAVTFLLAAHPTAPIRSFRTTRHFRDGWLDELARRGVQKLDLDLESNDERRPIPASLFGCTALTHLKARICVFPDASTKTRLTRLTNVNLWFVTISDESLDVLLSQCKALEILKMVYAWKVCSVRVRSPSLKVLHYDGYFHELVIEHAPNLERVYGRGMDKKGGKGVHLSIEHAPKLEFLGYLSMSFDAIKIGESIFTEDRICVRTLMPSLKTLAVQVNYTWEGYISWITQLLELFPCLETLYIRSDTWSCIQAAAPDSWDVLRCIPCVENNLQKVVFEVYRGHKWQREMAKFLHGRSRFLKAMEFHCIAESSTPGPLAHPSQEWVRKQREILCLDSRASKDARFLFFKGQLVCNHHEISHHGWYNRKYYDGLYEV >Et_1B_012838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35486400:35486888:-1 gene:Et_1B_012838 transcript:Et_1B_012838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHQHDSHVYFHCGPSLCIAFLHRFTLHISKCLLHDGKSRPQDSSWHSESAWHNSRVCRSNAPCTVALYQGPSVTKSPSPPPMEISPRTPSHIVGHGAHSWLIGFVALLAGSLCCSFWFILRSRIGKKYPTL >Et_1A_004581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20173010:20173555:1 gene:Et_1A_004581 transcript:Et_1A_004581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALTRGGGFIASWLVKLLLSRGYAIHATLRDPSDPKSAHLKQLDGACENLHLFKADVLDYDTLAQAVEGCEGLFHLATPVPKDKVVNPEASIYSFDANSHVSSCGSVSAHDVHSVYCLD >Et_10B_002661.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4793153:4793428:1 gene:Et_10B_002661 transcript:Et_10B_002661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPPSDNQHQLRCQVLVYVVWNIWKERCRRFYDSRAMTVSHVVALIKQDIQNWRIAWGETELWFSVYSRTSFLVFFSLIFSCWSDFWPCT >Et_2A_014590.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:2616339:2617190:1 gene:Et_2A_014590 transcript:Et_2A_014590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SDLIHTTLCCEVASLLASKKYRTKQEWQKVCDNLGSELESNPTLEGANQVLILSYDDLPYHLKACFLYLSIFPENYVIKRGPLVRRWRAEGFVSPRYGLSMEQIAEGYFDEFVARSIVQPVRIDWNGKVRSCRVHDIMLEVIVSKSVEENFASFLRVNGSLFVSHDKIRRLSIHSSQNLLETTNVSVAHVRSFTISASVEEVPIFFRQLRLLRVLDMQGCSCLSMNTLECVCNFFQLKYLSLRKTNVSKLPRRLGNLKHLETLDIRATLIKKLPASSKKTSVV >Et_3A_025266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28366789:28379244:-1 gene:Et_3A_025266 transcript:Et_3A_025266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLERAATTVPLYRCEAVAPACSNSVTDELPELSPSLCSIASISFTTKFIVGRLEADSSTHSMASCTNLLNPAKITLAAVQARSSPGDVVDEIGIGPESWLSAKSSQKRLELVLRKLLTDTSFERPKSATFALRLSSKRILVDLISLCIIGGSASSWRYDRPFAEPMAMLRRFFQSSSISFLNSAAPCIDPCCARFIAVICPFESVPLYTFYSRLWETEKSTRNCPGWQTLFS >Et_10A_000024.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:15869902:15870444:1 gene:Et_10A_000024 transcript:Et_10A_000024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCLTFVCLFCHAEIAHIQAKECYSLHLSGKFRWLCLNTDHCSEVCRSEGKGYTGGKCLGWRDRCYCILPCLLASAAAPDADQTSGSGGAIGHE >Et_4A_033869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27487945:27490218:-1 gene:Et_4A_033869 transcript:Et_4A_033869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAWEGPTAAELKAAGAEAIPGGVQAKGWVIQSHKGPILNAASVQHFEDELQTTHLPEMVFGESFLSLQHLQTGIKLHFNALDALKAWKKEALPPVEVPAAAKWKFRCKPSDQVILDYDYTFTTPYCGSDDVVLKSGTMQEGLDGCNILHWEDTDERIDLVALSAKEPILFYDEVILYEDELADSGISFLTVRVRVMPTGWFLLLRFWLRVDGVLMRLRDTRLYCSFGNGDEAKPVVLRECCWREATFASLSAKGYPSDSAAYSDTNLIAQKLPIVTQKTQKLKIPN >Et_3A_023475.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:372608:372754:1 gene:Et_3A_023475 transcript:Et_3A_023475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVQSRKMLPDNAAKDPGKSSATKKLPAKGIHKRRSKVAGVKDSVQL >Et_1A_008276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5917071:5920797:-1 gene:Et_1A_008276 transcript:Et_1A_008276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAHPDLQLQISPPPAPKQMDIGFWKRALDSTPVATSSAAATTATTPPSMAIASSSPSALGFHPSAAAAVHHHHHQGAGHLGGLPFLHHTQPILPDSSGLREQLASMRPIRGIPVYNASQPLPFLHSHPHHHQQHCYDGGVGPRSPSKAALRLAGAPVTKRGARAPRMRWTTSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKTTDHKPASASSYGQAASKTVIDIPDDNLFDVTNTTSGSESSAQQSNPDGNEHGSSMCALWSNSSTSRGAWFHDKSRDATPGDIKSFEDVQSRSLDDVSDLNSSPFQATGMLGSKKPNLDFTLGPI >Et_2B_020336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19134819:19138167:1 gene:Et_2B_020336 transcript:Et_2B_020336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADRRLDLPVVDLASSDLRAAAKSIRQACVEYGFFYVTNHGIDASLMERVFAESRKFFELPMEEKMALRKNSSHRGYTAPYSEKVDPLLESRGDSKESFYIGPTGGDLQIDVNLWPSGERFPSWKETMQMYHANALDTGKRILSLIALSLDLDADFFHKNGAFETPSAFLRLLHYSERKIVIHRCGKTFITLMGLLLLTLVAFFIDPSPNLVVECMESCCSEANPPRFPPIKSGDYLEERLSSTYKVATV >Et_10A_000117.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:6055306:6055578:1 gene:Et_10A_000117 transcript:Et_10A_000117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAANSDSARISFFGSTKGELAQKLDRGKDAAGCRRLIQVPPEPREMVAYYTAHKLCLGWGYLLFVGGTYGARRASVCCVDCLCISYRV >Et_5A_040845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13269977:13278463:-1 gene:Et_5A_040845 transcript:Et_5A_040845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYIVMELGGTPLEDAIRAGHAHGRALSEDVRVIMKSLLVGLKNMHEKGIIHRDLKPSNILIDSNGKICDFGLAIYYDQAVATWSRTPRGTYGYMAPEVHKAKSSCTFESDMWSLGAVMYEVITGSPLIKGRDHAGMITCCLCLEVLHGLLKLDPSERLSAADALEMDCFGSVVKGIHRSTGVFWHEAIIAFQCSGSSGIVQFFGVAHDRNRNTLYMVMELGGTSLENAIRTDHAHGKAPLEYDVCVIMKSLLLGLKHIHEKGIIHRDIKPSNILIDSDSDCVVGKICDFGLATYYDEAITSMRSLFGSPGKGADLETTAHDEPNPADEEKNPVQTTVDSSDEETKTHSDREREIRHAIFHRRFSIQCSELIAGLLRFDPFERLSASEALNMDY >Et_1B_010854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14857805:14872545:1 gene:Et_1B_010854 transcript:Et_1B_010854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVTAFPCLRSASTCDATRKPSPMFVLPHAARHSTARFADAFPSPDMRASRITRLAFASAADVCAICLADVGRGQAIITAECSHALHHRCISDSVAHGHRDCPLPFVNPAPPRRTAPARPYSPLRSVRPAGTYDDDDELVRQDTGQDQAARPANEFERTHCERPAVPRDASRGSFQVLLHVRAPGPLESLVARGVTNIGEGLRVGAKVLDDRRHRNTVTGIILLSGGRDGFVKRQRYVDLVPASLRGTTAAAGNRTVPIHTFGFGADHDAAAGDAHRRGGDGRDVLLRREPGGHPGLVRAVHRRAHLRRRAGRARLRRVRAPRRSSPGVSWSGSYENHVDADRRAASIDVGELYADEERRFLIFVRVPRAEGTEDDKRALAARLCMRFPARHVAIVDAPRELDYVETPVPAAEAEEELQEREKSPSPASPAPASARRLSRSGSRRSAKICAICLGGMRSGHGQALFTAECSHKFHFHCISSNVQHGNHVCPICRAVWKELPFQGALLADAGVHGPAPSNWPQGRLSRINTVNRQDQIPPFRTPESAIFNDDEQINLQSETTVGGGGNGDEIPASVEIMAYTEFPAIQDSVTHENFAILIHLKAPHSPVSLSSRAPLDLMTVLDVSGSMAGTKLALLKRAMSFVIDALGPSDRLSVIAFSSTAWRLFPLRKMTAFGKQQSLQAVSSLSATGGTNIGDALRKAARVMEDRQARNPVCSIVLLSDGVDYHIAPPARGVRPDYSQLVPRSILPGSGHHVPVHAFGFGSDHDPVTMHSVAEMSGGTFSFIDAVGSIQDAFAQCIGGLLSVVAQETQLSVECVADGVALTSIKSGGYASGVAADGRGGFVEVGDLYADEERDFLVTVRVPAARGDTALVLPSCAYRDAVTLETVRVEGDPVTVARPAAAVGAAMIMSPQVEREWHRVQATEDMAAARAAAEENDFARAATILESRRRALESRASLVSDPQTQALVAELREMQDRAESRQRYEESGRAYILAGLSSHSWQRATARGDSTELTGLVHTYQTPSMVDMLQRSQALLPEVVEALNRSPTIAPSRALPTPTSPQPRRGIRPFRPTKSFTPDVVMQAAQLARQ >Et_2B_019190.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:16525478:16525723:-1 gene:Et_2B_019190 transcript:Et_2B_019190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPYRERTRRRRGGCSRSGWRRTGRPTAPPARRSSGTRCSRTNSASSTSTTPPPTPGSTHTASASTTSPTSPTRSSAPG >Et_7B_053853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12839563:12843823:1 gene:Et_7B_053853 transcript:Et_7B_053853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLPCLIFAFTLLIASIKRSTSSMMAKPGCRETCGNLTIPYPFGIGPGCYYAPGFDVSCEHNRTFMHNSSSNMEIYNISLLAGQARVTTLIASKCYNNTSGWASTRTARFFTPSSKQANSGWMQHACILGRLQRTQGRSWVLLNVPGQPKCGSQWPVLRHGCCQTSIAPNLTFLNITFDERFDNSGVQEFNPCSYAFVAEEDWFKFDASYLKDNLTEKFKDGVPTILDWVAGNTSCDEAVKNMSSYPCISKNSQCIKSPNATGYLCSCNNGFAGNPYLADGCQDINECESLDQYPCNGTCRNTIGGYQCSCPSGTHSIDPKKEPCRADGVSERAKLTKMFIGISSCVIILLICIFALLIECQKRKLKKEKDIFFQQNGGLLLYEQIRSKQIDTVRIFTAEELKHATNNFDSSREVGKGGYGTVYKGTLKDNRVVAIKRSKTMNMVQKDDFVQEMIILSQINHRNIVRLLGCCLEVEVPMLVYEFIPNGTLFDLIHRRSPISLDTRLRIAQESAEALAYLHSSASPPIVHGDVKSPNILLGDNYVAKVTDFGASRMLATDEIQFMTMVQGTLELITRKTAIYSESTEEKKSLASSFLVALKENRLRSILDTNILGVRTELLQEVAQLAKCCLSMNGEERPLMTEVSERLRYIRRTWREQLIEHAGEETECLPDYSLNYLPSTTGQHRSLMALELEIVTILFEICDNAQSDRLLATTCRISTSKAQWHIVPITFSVQQIQFVPTPNKQRIHKK >Et_6B_050153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7517994:7524517:-1 gene:Et_6B_050153 transcript:Et_6B_050153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKVVVAPSTPWTTTTTTHHRVSSCAPLAAASATTPVTVSLTGLQQKQATVVASPAPAAVATVADDVTDAAPTLASMWRQVQGADDWRGLATPRLHQLLRAEIVRYGELVAACYRAFDLDPRSRRYLNCKHGKKRMLAAVGMAGGAGYVVTKYIYAAPDVAPLPGLRLPCGKSRWIGYVAVADDDEAARLGRRDILVSFRGTVTGSEWLANFMSALAPARFDPADPRPDVRVEAGFLSLYTSDDHAVSDGKFTTGSCRNQLLSEITRLVAEHKHEEVSITLAGHSMGSSLAVLLGYDLAELGLNRIRRNNNTITTTIPITVFSFAGPRVGNVEFRDRCDELGVKVLRVVNVNDPVTKMPGFVFNENARALAGRYKLPWSKACYTHVGVEVALDFFKAGDIACVHDLQAYIDHLLECSASISALPSDSVSEDEQGMDGSDRVASMLQYSWRWQMAAIRAGRPTGSFCQNGVRDLCGPSLRRPSSSFVRGSASSYPLFRLPPRHRRSSRRGAGATQQSPYADEADHDLPPFLAYLPFLLLSPLTTVNWLPLFHISLQVPDDG >Et_6B_049249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2306494:2308835:1 gene:Et_6B_049249 transcript:Et_6B_049249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQALFSRARLLLLPYARSSHHGQGHGHVLGLRSLSSHAAAAVPYGENQRQQDKEGKDVKVTVWWDFQKCLLPPGANALRLGARVTAALRGVGIRGPVEINAFGDVTLLNREEQEALAATGITFSHVPKSDKESCDRSFMADLIYWIAHNPPPAHFFLISGDKEFANVLHRLRMSNYNILLAYPNPGSKELCSAATVVWKWGALVKGVDVTPTYVNQPPDADGVSYSWYGPYRGAVDIQLLKSKDNMALPRNTKVPRVPKPVFEAIKKVLQFYPEGISLPNLRAELKRINVSMGRGLFGFTKFSALLQAMPDVVKFTDPLPGDSQPAVVGIFKRSVESSEQDWDGMDSAQSIIDGKHLSETESEEQSSWDAQSSSSELSSCAEKKTVEADVPSSPDDQSSRSQRKAPDVTTQAKPHSNRVEADVTLSSDVPSSDTVSRDQINAPAVDLVTQIEPRVSRTEADMVASPDTSSSEVQGNLGKKGLFERILSLWNGPAH >Et_7B_053956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13745257:13746459:1 gene:Et_7B_053956 transcript:Et_7B_053956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQDLHQPMDLPPGFRFHPTDEELITHYLARKVADARFTALAVSEADLNKTEPWDLPSLAKMGEKEWYFFCLKDRKYPTGLRTNRATEAGYWKATGKDKDIFRGKALVGSKKTLVFYTGRAPKGEKSGWVMHEYRLHGRHNGVPKIASKNEWVLCRVFKKSLVGLAPGAAATKKGAGEMVDMGMCHLPQLMDMSGAGAAAVSPAAAHVTCFSNALEGQFFNQTPPQAAGAGVGSITDHLSLASSSPFMASFAQYGQLHQGVSLVQLLESNGLAGLADAGKQQRPPCKQGERERLSASQDTGLTSDVNPEISSSSGQKFDQDQLWVGY >Et_1A_005492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10855655:10857034:-1 gene:Et_1A_005492 transcript:Et_1A_005492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPDGLSGNYTEKIKEAILESGFNIVKETVVRLDMERASLFYAEHSGRSFFNSLVKYMTSGPVLVMVLERPDAISKWRALIGPTDARKAKTSHPNSIRAMCGLDSEKNCVHGSDSRESAAREISFFFGEAYSETVEHDEL >Et_3B_028009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31407604:31407978:1 gene:Et_3B_028009 transcript:Et_3B_028009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSLPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGSDAFRKGKYPRCTQ >Et_2B_021700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4607857:4625763:-1 gene:Et_2B_021700 transcript:Et_2B_021700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFVLSGSGDGSILRRQERSFDKLIGGLLADGFDEGSCHSRYQSSMYRRNPGRKPSPYLVSKLRQHEALQRRCGPGTVAYSTALEQLRSGINRGVMGSPECKYLVALSIPYSGLGNRILATAAAFMYALLTDRVLLVDPSNEMGDLFCEPFPNTTWLLPPGFPLTNYTNFSSDTAESYGNMLKNKVVRTDAGDVPAAQLPVYAYIHLNFDATQEDKLFYCDEDQRLLREIPWLVMRTDNYIVPGLLLDRGFQEEFATLFPEPDTVFHHLGRYLLHPSNKVWGLITRYYDAYLATAQQRVGIQVRVFGELPDSPALLEQITKCTQKHGVLPELLTGTEPMMPGPSRKSKAVLVTSLKSWYYEKLKSMYWEQAAVTGDAVSVHQPSHEEFQQYGTKSHDTKAWAEIYLLSLTDTLVTTAWSTFGYVAQGLGGLRPWVMYKPENDSVVPDPPCGQDVSMDPCFHAPPFYDCRLKHGADPGKIVPQVKNCIDMSWAIGAEVPAREHQSSLANRLLDTKKAALMFTPRAKRPWRWVVNVVLAAVVMIVPPMVILFGSARTTAPPIWISPANDLHGGDGSPLHWPARSYDKLLGGLLADGFDEGSCHSRYQSDMYRRNPGRKSSSYLISKLRRQETLQRRCGPGTVAYSTALEQLRSGNRAVLVSPECRYLVSISYRGLGNRILATASAFMYALLTDRVLLVDPSNEMGDLFCEPFPNTTWLLPPGFPLTSYTNFSSDAAESYGNMLKNKVFRTDSGDVPAEQLPVYAYIHLDHDATPDDKLFYCDEDQRLLRNVPWLVMRTDCYIVPGLFLDTGFREEFVRLFPEPDTVFHHLGRYLFHPTNHVWGLITRYYDAYLATAQQRVGIQVREFGHRPNSPAFLEQIVKCTQKNGLLPELFTGRDPFRRVRSLKSKAVLVTSLKSWYFEKLKSMYWEHVAATGEAVGVHQPSHEEFQQYGAKSHDTKAWAEIYLLSLTDTLVTSAWSTFGYVAQGLSGLRPWVMYRPENDSVLPDPPCGQDVSMDPCLHAPPFYDCRLKHGADTGKIVPQVKHCIDMSWGRFLATSRPMRQRETRTAVHGAALQRKAGHQLSAAGRWMDAEAAALAFPPRTKRPRCWAATFVLAAFVMTVPPMVFLLGERTSAPAVWISSLLSGRLSHSSFVHIATPRLARSRDSSVLIGQEGSFDKLLGGLLADGLDERSCHSRYQSAMYRRNPGRTPSVYLVSKLRRQEALQRRCGPGTVAYSTALEQLRSRNRGVMASPGCKYLVSISYSGLGNRILATASAFMYALLTDRVLLVDPSNEMEELFCEPFPGTTWLLPPGFPLTGYTNFSIDTAESYGDMLKNRVFGTDAGDVRELPVYAYIHLSHDATQYDKFFFCDEDQRLLQNIPWLVMRTNSYIVPGLLLDRGFHEEFATLFPEPDTVFHHLGRYLFHPTNHVWGLITRYYDAYLATAQNRVGIQVRVFGGQPNSPALLEQITKCTQKHGVLPERLSGTEPVMPGPSRKSKAVLVTSLQSWYSEKLKNMYWEHAAATGEAVSVHQPSHEEFQQSGSKSHDTKAWAEIYLLSLTDTLVTSAWSTFGYVAQGLGGLRPWVMYRPENNIVVPDPPCGQDVSMDPCFHVPPMHDCRLKHWADTGKIVPQVKHCIDMSWGLKLAKKTEKLADNVKRWSKAVNGGLAAVLMTVPLLVIFLGGRNIGAPGAWIQTAVAGLRQGSNDLSFLHPSRAHNDRLYGGLLIDGFDEESCHSRYQSALYRRNAGRRASPYLISKLRRHEALQRRCGPGTAAYSNALDQLRSGKSVATSPECSYIVSISYRGLGNRILAAASAFLYALLTDRVLLVDPSNAMDELFCEPFLGATWLLPPAGFPLTNYTNFDMDTVERYGNMVKDEVIGSDATAEDFTSTAQLPAFAYVHLNYDASLEDKYFFCDDDQRLLRRVQWLVMRTDNYIVPGLFLVPAFREELDKLFPEPDAVFHHLGRYLFHPSNHVWGLVTRYYNTYLAAAQQRVGIQVRIFGALPDSPALLEQITSCTQRENLLPEVLATREPPTSPDPGARSVSVMVTSLKSWYHDELKSMYWEHATATGEAVSVHQPSHEEFQRHGATSHDTKAWAEMYLLSLTDVLVTSSMSTFGYVAQGLGGVRPWVLYKPANSSAPADPPCGRDASMEPCYFKPLSYDCRRQKQWTDPSKIVPHVQGCRDAGWGVKLVEETKMVGGTAVKRLDDPAAQSWQPRDSAPEKEKEGLGKTGVVLIVCLMTLPFLVFLFAGRERATTVWHSASAKVTAISGGFTNASSASTTSATKADELLGGLLSPGFDRNSCLSRYQFHEYHKYSPYAPSSYLLRKLREYEARHKKCAPGKPLYAKAVEQLRSGRGTEAMECNYLVWLPFEGLGNRMLSLISTFVYALLTDRVILVHSPADFVDLFCEPFPNTTWVLPPDFPVPNLSKLGMNPEQRYSNLLDKKKMVNDPAKATVRSVPPYVFLNLGHEHKFMDKQFYCSDDQLVLAKVNWLVVYSDLHIVPTLYSMAQFRDELQRLFPAKESAAHLVARYLLHPTNTVWGLVTRYYHSYLAQAEQRIGVQIRMFRFATIPVDDMYKQILACSRQEHILPEIEGDQAETGNLGTTTTNGTTGGGNVTAGAGSKAILIASLYADYYERIRSMYYEHAAKGGVRVSVFQPSHEQVQATQRRGHNQKALAEIYLLSFSDVLLTSGMSTFGYLSSTLAGVRPTILTTAIGYKVPKTPCVRAVSMEPCNLTPPRVTCRGYAEDKEDLARHVMRCEDDKRGIKLHD >Et_6B_049314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3102003:3105427:-1 gene:Et_6B_049314 transcript:Et_6B_049314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAVVLIVGAGPTGLATAACLTQLSIPYVIVECEDCSASLWRNRAYDRLKLHLAKVFCELPHMAYPEDAPTYIPKDQFVKYLDEYIEQFNIRPKYRTSIESCKYDEGTKCWISMAHNMDTSTTVKYTASFVVVASGENSAENIPIITGLEGFPGEVIHSSRYKSGATYSGKNVLVVGCGNSGMEITYDLACHGANTSIVVRSPVHVMTKELIRLGMTLVQYLPVNTVDKLLVMMSNFVFGDLSRLGIPKPKIGPLHLKSETVIDVGTVRLIKKGMIKVLGSISRVKGNIVEFEHRKECSFDAIVFATGYRSTANIWLKNGESMLNDDGLPKKKFPNHWKGENRLYCAGLAKRGLAAIAMEAKNIANDIVSTIESISGETRQRTRSGTRERRA >Et_4A_034564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4331837:4338449:-1 gene:Et_4A_034564 transcript:Et_4A_034564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAAPAGVAGGGVAANCAWFRESRGDVQQILKEAQHRWLRPAEICEILKNYRNFRIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKRDGKTVKEAHERLKSGSIDVLHCYYAHGEENENFQRRSYWMLEEDFMHIVLVHYLEVKGGKSSSRIRGHDDMLQAARTDSPLSHLPSQTTEGESSLSGQASEYEETESDIYSGGAGYYPFSRVQQHENGGGPLIGASIMSSYIPAPSIANHQGLPATTANVGFYSHGEDNPPVFHDESGGVAFNGADSQLNFSSLDGAMKPDNRIHQMSLPQVSTPSEQFSLTEGPGIESFTFDEIYSNGLSIKDADGAGTDEGSLWQLSGALGGSFATEDSFQQNERTLEEAINYPLLKTQSSNLSDILKDSFKKSDSFTRWMSKELGEVDDSQITSSSGVNWNSEDADNIIEATSRDPLDQFTVGPVVAQDQLFSILDFAPSWTYAGSKTRVLITGRFLNPNEVARCKWSCMFGEVEVSADIVADGTLRCYSPSHKPGRVPFYVTCSNRLACSEVREFEFRPSNPQYMDAPSPHGATNKTYLQTRLDKLLSLGQDEYQATVSNPTKEMIDLSKKINSLMTDNDEWSKLLKLADDIELSTGDMQDQSFENYMKEKLHIWLVHKAGDDGKGPSVLDEEGQGVLHLAAALGYDWAIRPTITAGVNINFRDAHGWTALHWAAFCGRERTVVALIALGAAPGALTDPTPDFPSGSTPADLASTNGYKGISGFLAESSLTSHLQTLNLKEAMGSNASEISGLPGIGDVTERRVAQSVGEGFQSGSLGDSLGAVRNAAQAAARIYQVFRVQSFQRKQAVQYGDDNGEVSDERALSLLSVKPSKPGQLDPLHAAATRIQNKYRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIVWTVGIVEKVILRWRRRGAGLRGFRSAEGAMESTRSSSADLIQNKPADDDYDFLQEGRKQTEERLQKALTRVKSMVQYPDARDQYQRILTAVTKMQESQAMQEKMLEESTEMDEGFLMSEFKELWDEDMTTPGYF >Et_8A_057351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23921446:23921893:-1 gene:Et_8A_057351 transcript:Et_8A_057351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGAAASRRPPLAPPPKRRASGDQEPSDVLTYKRRRRATNSNSSATNSGSGPNTHFSRKGNFVFQYLHQ >Et_6B_050049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4688213:4689516:1 gene:Et_6B_050049 transcript:Et_6B_050049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLALAAGKSDAAAASASPGSLNVTDICLSTPYPSACRTALSSAPGARLGGAGAGADPFAASMRFAMARAASARAVARNLSASSSSRLGDVAASSGMDDCAELLDISVAQLGDALASRDADAVTTWLSAAMTNQATCAESLAMTGSASSDREAVRARVSALAQFIGTALALHTNKVKAKAAAGTATPSSSWSPAADDGSALPAWLSEHDVKLLRAPGPAARGVAMDAVVALDGTGTHRSINEAIAAVTSSAKGVGGGGGGRKVIYVKAGRYEESVSIGNKQKNVMLMGDGKGKTVIVNHKSVGDGYSTYNSATVGRRSDKLEGRHMHLATSHAIFTPSATFFLSIVRSELPLKKEYVK >Et_9A_062367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22261398:22271107:1 gene:Et_9A_062367 transcript:Et_9A_062367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGDGGDESAAAPVVGAGSPGPASAPAAVGGGSSAGPSGSGGKPPVKRVMKTPYQLEVLERTYSVGTYGEHLMPCPRRGPGRSSAVPRISVPEIGRRYYEAPQIILPPMAPVRLTQAEQQVIDTVEELIGEPLREDGPVLGIEFDPLPPGAFGAPIVPEQQKQPFRSYESKMFAAHDHKLMKASTFLPSVDPFAPNTVTGKRKSLAGGSSHLSSQAVHEYQFLPEQPSDLYERASQARFYDAPAEASNSRISSLHTGSRFLHGADQAPSYTFHGQTGSSHLAQHGRSPVLPSASTDHEMDLSNINVTSAASHGQYGIPQMAGFENSLAPSEMGYHDEDAYRVDRKRKHVEEAKIAKEVEAHEKRIRKELEKQDLLNRKREEQMRREMERHDRERRKEEDRLLREKQKENERLQREQRREKKRMEKFLIKQSLRAEKQKQKEELRKEKEAARQKAANERATARRIAREYMELMEDERLELMELAAHSKGLPSMLCLDSDTLQQLDSFRGMLTQFPSESMGLKMPFSVKPWILSEDNIGNLLMVWKFFITFADVLGLPSFTLDESIQALHDYDSRLLGELHVSLLKSIIKDIEDVARTPSVALGVNQSSANPGGGHPQIVEAAYAWGFNILTWQQHLNFLTWPEILRQFGICAGFGPPLKKRSAEMGHYRDDNEGHDGENVISTLRSGSAAVNAAAKMKERGYTNRRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVAEKIQKSGLRDLTTSKTPEASISAALSRDTKLFERTAPSTYCVKEPYRKDPADSEDVLSAARDKIRAFQNALSECEEVEKDVDDAERDEDSECDEADDDPDADDVNIEEKDTKSPSIGAQDGASVVTDCALNKESNSVVNTLAPATVHTKSLESGAFHTLEGATSTSVDPSIGDDAQGTEIDESNQGEAWVQALAEGDYCDLSVEERLNALVALIGVATEGNSIRAILEERLEAASALKKQMWAEAQLDKRRLREEFTSKIQYDSAFKADAEQENNTAESVIPLHNFAKDNGGNTSTVTNDSIADKQNLLITGNITQERNSATRDLSANPESLSVEQYASSEKTRSQLKSYIGHKAEQLYVYRSLPLGQDRRRNRYWQFCASASPNDPGSGRIFFESRDGYWRVIDSAEAFDTLVASLDTRGIRESHLHSMLQSIEQTFKEAIGRKKCSGAEHPPERVLKNGSNEIISPPNCSNEFGSPCSTLSGAASDSTVAYSYTFKIELGRNDVEKIAISKRAHVFLKWMWKECYSHQSTCAMKYGKKRLPVLVQSCDYCYQIHLAEERHCSSCHRTFKPIHNFSEHLSQCEEKQRTDPNWKIQTADNSIPVGLRLLKLLLAFIEASLPAEALQPFWTDGYRKSWGVKLYSASSAEEVFQMLTMLEGAIKRDYLSANFETTTELLNQSSQDSATQSSDAHSASAAVLPWVPDTTAAVALRLLDLDSAIEYTNNPKAGSNRDQEARDFTKLPTRYTAAKNKQAIEQFGTAGFDRQAGVTLTHSNGRRGRGRGSRGGSRGGRSRSRGGRVPRGISSSSRIQFRDDNVVPYEEMPRKNARRGRGRGRGRGRGLRTVRPRQPSEPGSRSIPKANLLGNFSMLSKANPSAAVHSPESSGAEEWTLERREYVKDDDNSSVSESEESENVGERKPFNIGIVRFVTHLSEEETVYKHDSEYETVRDRLEFSLHK >Et_1A_005335.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5101851:5102195:1 gene:Et_1A_005335 transcript:Et_1A_005335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGSCYEDIAQKWACQRKFDGVNIVTASILRSLWLTRNNHVFQRQEWRSMKSVLQLALKLTRSWAPMHQESTLSTTEKWCFFLEQQLQAPLMIKGQESTSEVNPEGAPASIDE >Et_3B_031748.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:9563961:9564338:-1 gene:Et_3B_031748 transcript:Et_3B_031748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLANVSLSTPATFAAVDVLARQQKVAGRVFFPMARRGGFAVRASGPSTPPGISDKVSDSIKQAEETCKDETATGECAAAWDEVEELSAAASHARDKLKDSSDPLEKYCKENPEADECRTYDN >Et_8A_056676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14978668:14984764:1 gene:Et_8A_056676 transcript:Et_8A_056676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTRAHFLTSLAVVYLVLAASPAVSDMTDSCDKMWGNTQVLYDSTGHQIMSLTLDRWTTSAFRSKSMYLFGRFDMDIKLVPKDSAGTVTTLYMVTEGPWQYHDEIDLEFLGNSTGEPYTLHTNIYAKGKGGREKQYRLWFDPTEDFNTYSIIWNSRNILILVNGKPIRRVKNKMRDGYPFPLFQPMRLYASIWNADDWATQGGRIKTDWSQAPFTAFFRNYSATACVPYKTAWICGQGSSDSSWFSQELDEDGQRKMQDVDNQYKIYDYCTDSRRFPNGYPQQCGSDSPAHLGITDGKEVCHGSNSARCHGSGRRKRIPREPRPLESLPQARRLDAVLRRLRAQPRGGDPGGPRPRLRRGGERT >Et_2A_016878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29007343:29008268:1 gene:Et_2A_016878 transcript:Et_2A_016878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCRSVPHEHSSAYYGCGGGYDYEDVSGGAGAGKSYSFNGPSARDDPEVKRRRRVASYNVFATQARLKSSVRSSVKWLKSKFSDGRMLNCKHSSFVTETIKSMKNRNNFRYLSLTT >Et_10B_002835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12194396:12195182:1 gene:Et_10B_002835 transcript:Et_10B_002835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CSSISGEPCSGIALDTTDVDNDPNINLGIKCDSSFNASTVCHITKLKVFNLNIVGQIPAELQNLTYLTNLNLRQNYLSGPLPSFFGKFSALQFLSLAHNPLSGPLPKELGNLTNLLSL >Et_6A_046275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11904308:11904548:-1 gene:Et_6A_046275 transcript:Et_6A_046275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LEPGQLVQQGDGITTTVIIRESSCPSLTRQDEMANASSAAYDNFGIGTITGECTNLFNGLQSLSYCCGVTAEL >Et_7B_053915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13276332:13283647:-1 gene:Et_7B_053915 transcript:Et_7B_053915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELEMRRGDARAAELAPREDELVAPPLVSGGVEQRGLGREGGTGSDWEAGFPFGVLVAGWEQRRAGLGPRFYGGRAATGKTARNHQSESDLNQARPHFTPEKGEKIPKQTSSSDSSSSNSFLFLLPTQTVSVWIGTLEFRTGHLPLSQSPPPLPPRRARREPPNPRTANPVRSPTVEASSAAERSRSGLGSGFRYLTGAASGWWDRWMVMGASGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQISQAKKKTGVIAPKRFVQRVKKQNELFRSYMHQDAHEFLNFLLNELVDILEKESSAAKDSPQSSSPEKVPNGPVQPLANGVKKEPPVTLVHKNFQGILTNETRCLRCETVTARDETFLDLSVDIEQNSSITSCLKNFCSTETLNAEDKFFCDKCCSLQEAQKRMKIKKAPHILVIHLKRFKYIEQLGRYKKLSYRVVFPMELKLSNASDDVDTEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMVEEQTLQTFFGSSHEYSGNTDHGYILFYEGLGGKS >Et_6B_050014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3309174:3312696:-1 gene:Et_6B_050014 transcript:Et_6B_050014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGLMEQDLSKLDVATLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDKCPRPMCYKAYGSGKEDSPLCNVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQEAAAMNQHEAIQKFIQGTIAQGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEGVLRVNQRIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVFIELEVNFFLLRRLLGVRTSGTERASRVSKLTKAEILMLNIGSMSTGARVIAVKNDLAKLQLTSPVCTSKGEKLALSRRIEKHWRLIGWGTIQAGTTLDVPPCML >Et_5B_044054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19450607:19457285:-1 gene:Et_5B_044054 transcript:Et_5B_044054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWWKRSKSAFHRPVAAGPSSTPSSPARASTSRADQAAGRFGESADLLAAAAPPRLTRQRKLRHVDDIDVALGDLRLDDDDALPSSPPPPQRARASASEALGPPISTPISRSASSREVGAPPPRSASSPVLHPLPLPSPRLPDVEMPGVPDGWGEKATPTPVTNQIVQKFPEPNDLLPNGTKRPASSHHRNAFREKFQDKSSAEIMNFRLNIPAKSAPNSGFSSPVSSPRRLSNVDFSYTVAPVQGNQAWSAPSIRSIDFVGASSPRTSPERHTRGQERYPCYSALRSPILRPKNTSAPPSPMHPKLFPENHNLRTEGNGSVSFHPLPLPPGATSPMQITFNDQPTPKVEMPSVSGQWQKGRLLGSGTFGCVYEATNRHTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSDIIEDRFYIYLEYVHPGSINKYVKQHYGAMTESVVRNFTRHILRGLAFLHGQKIMHRDIKGANLLADVHGVVKLADFGMAKHLSTAAPSLSLKGTPYWMAPEMVQATLMKDIGYDLAVDIWSLGCTIIEMFDGKPPWSELEGPAAMFKVLHKDPPIPENLSHEGKEFLQCCFKRNPAERPTASELLEHPFIRNSSHYNKHGSIHSFAGIKVNDNGHSSRDKPSSKSDPCLKGKNTIGELNNVRPSESTFRSAPLTLPEVTPNFFPRPLGLAPNLGSAANFGNLTYLPMANPHPSPLPRPNGKEVLF >Et_5B_045260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15309670:15311058:-1 gene:Et_5B_045260 transcript:Et_5B_045260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVYDQKALTASQLVLITKQDIQSWQVARFQPKPRRPCCAPADLLGTLVRPRQTRSPASRPTSWSGRRHCRATGAAAGEPVPNLDIKLDDGIRRWDSAAGVLERCAAPVRSVSIRGIPTLPSGRADRSVAVAAGKRPRSLSVSLPFPQSAEGLSLPSVFSCYPASLAELKLNRCIIPRPPAGFAGFHGLTVLDLEMVSFSELEAWRQVEAMLVAAPRIEELRLVDLAFRLPGRWVIQAPKVRRFLNLTLEISGLWELGPLPKLEFADVHFNDPAENRDYGKLLAALVNAKELQIRRFSIHLHKEELLILLHLLAVIVERK >Et_3A_023896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14094753:14096858:-1 gene:Et_3A_023896 transcript:Et_3A_023896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHPSPPPIRRSRMSSPAAEAPLSLSLLPADIQMEILSRVGDVVSVVRCAATCKAWRRLIKEPSFLSLLSRRRRVGGFDPSTLLGFFFRDGSQSLPRRRLYRRRPTRFLLLGNSEPQPAAPVVLPLSRFLTTVGDLDSFAPVAFGAGGLVALCRFPCTSNHSVRICIFNPLAGTSTLLPPLPPYEFPEKIVFLEYDSSSFRLLAVMNGNTLCMRVFSSSPTGDGDWGTLVSPELPLDMVLHIGSPAVVHRGAVHWICGTLTMPLAVHAVAVRLTDAGASLSRFELPARAGVHCLLAAPAAMRLFSSAQGTLSLLLVDDLVLSIWNLDDYNADIKRWSCCKAVYLMPMLPQMVSGREVELSIQELCERSGFLFLQVVGEGLFKLNLEEKKLVKVCKGDFTQYLCPYVTDFDSCLKAMKMQINSNQLVCYQYGYPKPHSSSHQTNI >Et_2A_018151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14954248:14955523:1 gene:Et_2A_018151 transcript:Et_2A_018151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQSCRFVPSPAAMSAASARVRPPSMLAVVPAPGRREQWCFPGLKLQHAPLRPRVVTVRAAAQSRVRDTRPPRQHFVEERQHVMRCYADIIDIDHGCLYVEATAMSARLCLSANEAIKMASRVMDAAYLDLDAAPPNEISTGTIYRTLLQYVNIFLDTADASYSRTVSKKTVTSFLGALRGLASISHILLEAALDALSHTHPRESLSEYAFNCDVKAMRQEFNRQMNDLEDGIMKASAVEICKLAVPTIHDGMKITGSFMRLMVARKTMPLSSLGGFPYINPSM >Et_2B_019748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13397911:13398774:1 gene:Et_2B_019748 transcript:Et_2B_019748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIQGTDQSQGTYWERIHEYFHVHKTFESNRTESSLISRWSGLQHDVNTFCGCVTRIFDRNRSGESYENKITAACKMFKAEDKKHRKFAYMHCWKILKDKPKWIERRKEIGSAKNTSNKKQKRVANSFPTSVAPADAPVVAAAGGGADEPSGRPDGRKKEKQKLRQRSTIEAVDYLMAKKKEADDERDLKKEEKKEERSNKAFALQEERIKLQREQFEFQRDMEEERILNLDLSTMSYKRQQYYEGRQNEILARRFNI >Et_5A_040304.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:12279693:12280022:1 gene:Et_5A_040304 transcript:Et_5A_040304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPHHTNDDLQETKTCSVKSSSLNCPCDQPSNWRSGSVPLTNLETIQIVGLRGKDHEVDFLKLLFRCATALKKMTVRMSDGASTNESAYEKIHSLFKEYPNVKCFAYNS >Et_3B_031303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25137360:25141004:-1 gene:Et_3B_031303 transcript:Et_3B_031303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWGRGEAREGAGTSVVVKMESPDWAVPGRTAPRGSSRAPPAALSVAAAALSVAAAARRRVAAGRTDSDAPLGESPVLRTRFYGCLRAFLVLSLLLLAVDVAAHLQGWHLAVDVPDLLAVEGLFAAAYASWARVRLQYLAPGLQFLANACVVLFLIQSADRLILCLGCLWIKLKGIKPVPTAGGKGSDDVESGTDDFPMVLVQIPMCNEKEVYQQSIGAVCSLDWPRSNFLVQVLDDSDDAVTSALIKEEVEKWQREGVRILYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQPDFLKQTVPHFKLHFHRGVVDYCLTLCVGVFQGKEDVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGIFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFLFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGFWKKFNLIFLFFLLRKLVLPFYSFTLFCIILPMTMFIPEAELPAWVVCYIPATMSLLNILPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVALVEKQSKQQRVGSAPNLDSLVKDESHLKKDSKKKKHNRIYRKELALSFLLLTAAARSLLSVQGIHFYFLLFQGVSFLVVGLDLIGEQVE >Et_8B_059644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20090297:20092875:-1 gene:Et_8B_059644 transcript:Et_8B_059644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQGPHAAHELQPGQEVSVNQSLFCFQPNPGATTSSGVFAGDDPLKFYFPLLLYHVCIVFALSRGIHAVLRRAHFPLVISQILAGVLLGPSFVGKLLPHVGELFATPEGWIQINTVGGYAFMLQIFAIGVKTDLGMIAKSGKKAIAIAVLGTASPHVAMYAAGAALGDRVPEAWTRTLMLTNLNAWWSLTAFIVVCCTLDDLHLLSSKIGRLAMSSALIGDFANTFCIAGVTSYILASSPSEKLQRIGFASSLAFAGFIAFMALVARPAVLRIIRDVPEGALLTEARLVAVLLITITCSLAGEILGLHATYGPFMLGLMLPGGAPLGVTMAERLDRLVAGVLMPLLFAQCGMRLDVYKIRDASTCVLLEVFLAVGVVAKFVACVLPCLYCRMPVREAVILGLMMNFKGITESVFASAFMDSKVLDEQAYAVFMLTVLALGAATACAVKFMYHPEEKYVAHRRRTVQHKRRGEELRVLACVHSQADVSPMLAFLDASGPSPACPVAVYLLHLAPLAGLTTSVLRPFKPGGHRNSVPSGATDSARIVNAFHFFVQQRPPGSASLLPFVCIAPYATMHDDVCAVALDKRAMLIVVPFHKRLAIDGSVESTTASAGAVQAANTNILNYSPCSVAILVDRGSLSAGAAAAAPDGGFPHRVALYFLGGPDDREALALATHMAEDAPIGLTVFRFVLPPEWCKPGDDEDHRLDEEALQEYARRWVDDHSLMYSENLVNGSDEMVAVIRKTSPEFNLLMVGRRAESSESPLTAGISDWSEHLELGVLGDLLTSTDFGCRISTLVVQQQTRAAAGETGRSPEKQGQQQSDAHV >Et_3A_027164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3718521:3721571:1 gene:Et_3A_027164 transcript:Et_3A_027164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDPAAAAALSSAGDEDDEGTDTDASNSDRAAAPQEQDGQLLPDAASAPPPPPDAADDPTGAVPPPPPPTQPAAPDDSRRLFQRLWTDEEELLILRGFLDFTARRGTTFASHQYDTGPFYEDIRRRLSFDFTKSQLIEKLRRLKKKYRVCAARMAAQGAAFAFRSAHEGAIYDVARHIWRPAVRRDGAGAGGDASDEDDINPAAAEAAATAGTLPPGAAEDGGGSGNAPAPTPRGRGGRRVKRKTAQELEAHALPTTTSALMLNDIVQQPLTVAVENLTPAMAPLPPVATPSPVPTMGNGATEEAVRAILSPLLRELISSASVAGQNGLGLGLNTGLGGIGSVEILGLGLGVANPIPAVSGDEKWRQQQILELEVYLKRIELVREQVTAALEELRSSEGLHNDKILNELMKCPASLLDYALSGRRPLLVAVDAGAAIGPAAAAVGAVAVGVAGHGAGVVVDSRARGAAAAAGAAPQPLLAVLLALFLALFLTFLLAFLAAELVTLLLAELLARLLAGVLLLLGLVVPHADDLRFEALLLQLLDDAHRVDHADHRERALLGVDIH >Et_5A_042056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5826316:5827527:-1 gene:Et_5A_042056 transcript:Et_5A_042056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEGSHADTPAVAGVGPLDAVHLASFTLTHVDAAPRTAVNRVLSFVKCCRRVEPRVSPEFELGSGQRRPRYPKEHTLTTRAVLGKMTSTSAKSSTLSELLFLDSWWYLLRARSLAAGRRRGVDAPCAS >Et_5B_044007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18900708:18904042:-1 gene:Et_5B_044007 transcript:Et_5B_044007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPTKGEGAANLACVLLLLAAAAVAAGHGVQPLSRIAIHRARVALDATAAVWASPGVLGSQGEDTAWVTVGFVYPNPSDGDWIGVFSPSDFNASTCPGSHGSGPGPMICSAPIKYQLANYSSDYGKSGKGALQFLLINQRQDFSFGLFTGGLSNPKLIAVSNKIAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDINEAYPFVEWGMKWNPPARTAAGTVTFDRDSICGEPARTVGWRDPGFIHTAFLTDLWPNKEYYYKIGHLMPDGNVVWGKLNSFKAPPFPGQKSLQRVVIFGDMGKAERDGSNEYSNYQPGSLITTDALVKDLDNIDIVFHIGDISYANGYLSQWDQFTQQVEGISSRVPYMIASGNHERDWPNSGSFFNGIDSGGECGVLAETMYYTPTENRANYWYSTDYGMFRFCVADSEHDWREGTEQYKFIENCLATVDRKKQPWLIFIAHRVLGYSSGYFYAQNGLFEEPMGRESLQKLWQKYRVDMAFYGHVHNYERTCPIYEEQCVSSEKSHYSGTMNGTIHVVVGGGGSHLSNFSAQAPAWSIYREMDYGFVKLTAFNYSSLLYEYKRSSDGKVYDSFTVHREYRDVLACVKDSCPPTAPVP >Et_2B_021879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6311926:6315646:-1 gene:Et_2B_021879 transcript:Et_2B_021879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVDSWDEFVERSVQLFRADPIATRYVMKYRHCEGKLVLKVTDDKECLKFKTDQAQDAKKMEKLNNIFFTLMTRGPDADISEVSGKEQAEQQQSKKGRGRRQ >Et_9A_061594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14168292:14170118:-1 gene:Et_9A_061594 transcript:Et_9A_061594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRVTAFADVCFREFGDRVKHWTTMDEPNVVSAAAYDVGTFPPGRCSAPFGLHCTVGNSTVEPYIAAHNFILAHASASKLIHRRRHWHERLNHLEQSLLASHGQGGNSEIIGLLDRLVSAPHRVVQNNFEYMSTTQNALLQLHRIINPLVYGDYPEIMKEKAGSRLPSFSKEQSEMVRGPWERRLHRCQSLHFHICQRWMEWFKDPAPGPLRRHFIPIPTMPSDPQGLQSLLKYFRDTYMNIPVAICYANISNESICDHKRVEYMSRDIGTTLAAVRNGANVKGYFVWSFMDVYELLRGYSMRYGLHHVYFQDPKMPRRPKLSAQWYSKFLRNEVGINMESTISPFASSHAEFTQKSSHREQ >Et_10A_001382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2517452:2518070:1 gene:Et_10A_001382 transcript:Et_10A_001382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVYASVSFLSSYWEEELCGVSVSKVTQPVVHRNKVDGVPPVVGWIKINAEQAEEVGTYACKEDLAVATEWAPKPAVLESNCSVVVWISKRRWCSSFSVQEAVAFAQQLPAAKFRHVRRKQNSVAHEPAQLAKRLCHSAEWRDRVPACVENLVAHDCNPTLSSN >Et_5B_043228.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:18994211:18994396:1 gene:Et_5B_043228 transcript:Et_5B_043228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSPTVHCLKPFMMIQAESALSWEDCLKIATEAAGALHYLHSSARISVYIAGWPPCIPKC >Et_5B_044805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6028291:6032037:1 gene:Et_5B_044805 transcript:Et_5B_044805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKAHDNEPTGRYSNGLVQSDFVAQILGQDESPPAERLRGKNGVDPSGTNFAVVGSGAYVESESDHVPTSRRLVKHGIIDHDLKGSVALVAFSGSADYAGDEYKNGEVMDIANNVTDKIADGVQRLLDLGVEKVLVNTLPPLGCRPWFTRADNYTGGCDAGIQTISTIHNSDLKRKLPSSVDNVLLLDMHDAFYKIIASGTANRCTTLRIYANFAQCHMVSIAAAYDNFESKYAPCCESYDRYGYCGQEDKRGKRKYRVCDDPDEYFFWDYYYPTQAGWKALLFAVVCAVLLLLLNAGHAESRSHGGGGGAPKTKKQYKLFVFGDSFADNGNYPMADLTAETRAWYYPYGTSDYEHGTIPSGRFSDAMVQPDFLARILGKEESPPAERRRDEDGVDPFGMNFAVGSAGIVAGSREAPNFGTQVDSFRRLVRHGIINKDLKDSVALVAFSGKRDYARVSGMTTSQIDALARNVTTELAGGVEQLLKLGVSKVLVDALPSLGCTPWLSRPNGYGGCDGNHQATSLHNGYLFDKVFKYDDVFMLDLRTVFNDFVLTNNPAAGSRAKRFKYKLEPCCDSFDDKTGFCGQMEDGQKQYTLCPKPDKHFYWDDMNPTQAGWKAVMEELEEPIKHFLEISS >Et_7B_055895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6907124:6911260:1 gene:Et_7B_055895 transcript:Et_7B_055895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMGEAVVPPRAAGPRKYKAVVTWRFRPGPVREPIKHAAATAPIGRGGRAGDVPEAKDFGLGATPAPDEGETPTKRFTRSASRKSLDAGDGNGPRPGNGGESGSHGVSEASGLKGSGNSSGPGVGVAAGEDCNSSRPSSNASARDADGQGIGRAGGGSAAGDAAACNSEVVERNAGVEECISEALKNCCVNGSGSKSNGDSAPDRMSDDQVENEGGLGDCVDYKAKESEAAAKGCNLADPDRSGNGTYCRKGRKVVVPWRFQVGRKRSFSKAFDSDCGSPDDQSYAVEDSSTRCTPATRSTVRCYASTHSGIRVSAIRDFSVSGEESGIVFENRKANKGDHRSSIARESTMRSLQDFRLIYRELLSSDGHKLRAAGVTNLASDLQALRIFKERFFVECDDKKYVGSVPGIHVGDIFHLRVELCVVGLHRPHRLGVDYIKDDDGTCVAISVVSYAHPSDAKNLDVLLYSGSVAVTCNQKIDGAPNLALKKSMETKTPVRVIHGFMTHLKGNFRQKKSSTYIYGGLYLVEKYWRQKESEDRYVYMFRLRRMDRQKHIDIEEILKTGKAESNYRIIMNDLSHGLEKIPISVVNSISDEHLMHYRYMSRLRYPRSYQPAPPAGCGCVGGCSDSKKCACAVKNGGEIPFNDKGCILEAKPLVYECGPSCKCPPTCHNRVGQHGIKFRLQVFKTKSMGWGVRTLDYIPSGSFVCEYIGEVLEDEEAQKRTNDEYLFAIGHNYYDEALWEGLSRSIPALQKGPGKDDEAGFAVDASEMGNFAKFINHSCTPNLYAQNVLYDHDDITVPHIMFFACEDIRPHEELAYHYNYTIDQVHDANGSQLHHPEAVDVDPMLHCAKANLDNPRFVKQLNK >Et_2A_014566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23467190:23467535:-1 gene:Et_2A_014566 transcript:Et_2A_014566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVPRRRGGILRRDQAAVSVGVGLGVAVVHHRGHHLLRQKPALVPHSPHLSPFHEPCAYPLREGEREEGRDQEESEKPRLGH >Et_1B_013610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9931030:9931905:-1 gene:Et_1B_013610 transcript:Et_1B_013610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRTWVAAGLGARLLILAFLAMAVQLTVSNHTRLDYSSLHNYNQLQSYTYAVVAAGVGMAGNLLQVPVAVYLLCKSKRMAPSVLVLNISMYTDIVVTAVLASGVGAGFGATNDALQIIKYGVDWTNRNQNDSRGDLISFFNRGNVAISFLLVGMVLSVFAAVVSARLRARASDDLADD >Et_10B_002778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1168345:1173986:-1 gene:Et_10B_002778 transcript:Et_10B_002778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQIAPADHVCYVHCNFCNTVLAVSVPTNSVLTIVTVRCGHCANLLSVNLRGLMMQSLPEQDPLQENIRPHGVNGTPRDQFGHFEFGSSSSKFRLPMMYSAQNDHLQEQALAARPPEKRQRVPSAYNRFIKEEIRRIKANNPDISHREAFSTAAKNWAHYPNIQFGLSPGREGGKKLVDETVAAVTSAPTKIQVSQ >Et_3B_029412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24801959:24804504:-1 gene:Et_3B_029412 transcript:Et_3B_029412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKNLSNACKVSFSPNGPISEEALERVRALLDEIRPLDVGLDNEAQIARNWNSSTRQSNGRRGRNGANQFAPPIKYLHIHECESFSMGIFCMPPSSVIPLHNHPGMTVLSKLLYGRLHAESYDWIDIAEPVDQLQAKPAKLVRNCEMSAPETTILYPNRGGNIHTFRAITPCALFDVLTPPYSAEDGRHCSYFRKSPVKELPVALPNEVDSSEVIWLEELEDHQPPEGFVVARGLYKGPIIRR >Et_5A_042414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9888749:9895124:1 gene:Et_5A_042414 transcript:Et_5A_042414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHGNDWAFEIKFLCLYTPDRPLGMSSSHDKLWSAVLIHSGLVRRPAARPQRSAFLGMGNSSRHDVDGVQTRRYCLAQCSDLTFHMPTLSRGIVARNTIPQVVALSARRRPFPSIHTCTQTSTTIPDDPEGVSVNVPRLHLVLVVLEHDLDVIPVGAAGSRVVGDGAHVEDAGDLVVVGKLERGVRVDVGHAVHRVPLPPVDDRRGVGQPDGPLHRHQPVVVRALRVRPRPARRQVPSVDLGGGSTGQGPGRLAGGVGVVQDGGGGDDLAGEARGDDDGVVEAGLVGGDEHGVGLAEVHVEGGVGALHRVRAFHLHQLHGVALEPNVQRGGKAHVGDPETAVREAERLAAIKHVTTTYGVVCLVPVADEDGVVGGGRREGDGDHGAAEHADAAEAAGGLVEGERGEVVVRADLVLGLQDVGEVAAGRDRASRAGHAVLEREWLGEVVEDVEDEVVVGDGLDLRPRELVVDQDPLRSPAMQNMSQDFTAKEVNSNRANAKRKMQSRAEHLLLDAERVDVAVGDGPREEPVGVVAGHEQRQQQQRAEETSGGTGIAARHRAAESDCD >Et_1B_013864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21204651:21205627:-1 gene:Et_1B_013864 transcript:Et_1B_013864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPVLPFLLLLLAAAAASGAGNGRKLVFILAGQSNMSGRGGATNGTWDGMVPPECAPSPRILRLNPQLHWEEAREPLHADIDVGNVLGVGPGMPFAHAVPPRDGVIELVPCAQGATPLANWTRGTELYDRMVTRTKAALADCDGELAAMLWFQGETDAMKREDAELYQGRMEALVRDVRRDLNKPDLLVIEVLIATAQYGGKYLDRVREAQKAVSRSLPNVKYVDAKGLPIASDYTHLTTQAQVQLGNMLAKSYLAGR >Et_9B_065725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9746120:9751650:-1 gene:Et_9B_065725 transcript:Et_9B_065725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKEKFVRLVIYMGNKKNIAAMGSGARRKGWGLFRSNKAKSPMEVVRHTRDLLRYVAENREACSSNGDAKREHKLADLRKSIREMKCILYGNGEAEPIAEACTLLTKELFRENTNTLRLLIMCLPYLDLETQKDVTQVIANLQRQKVDSRIVASDYVEANKDLLDILMSGYGTMDIAIHYSTILRDCIRHQVSARYVLESEHMRKFFDYIQLQDFNIASDAFRTFKELLTRHKSSAAEFFSRNYDWFFPEFNCKLLSSSNYIIRRQATQLLADILLDRSNTTVMVCYVSSKENLIVLMNLLRESSKAIQTEAFHVFKQFEVDKAKVIAEISKL >Et_5B_045046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8891378:8892661:1 gene:Et_5B_045046 transcript:Et_5B_045046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLLKCLPSPQPEQSKPEAKSEIKFMTYNVWAREDVVVYKRMQAIGRLVEKHDTDVIFFQEVTPHIRTIFESFAWWKKYNSCPVHEEQAKQQQANFCLLLSRRPLENFALWEFDYSPTGRGYLEADINPDPATTMKPIHIATTQLEPPAPPGSMHFKERHAQVGINVAFATNETWTSGSPVAWDGVGLNFDLTHASSQVQAKQTLKALSSAVNVVFGGDMSWGADTDGPFPLEAGWCDAWTRLRKLRDSEDWTYDGIWNEEAGEFNGYVAQRNSLKKRSDRFLCKLKDYRVRSIELIKDEERVGYFRSKYYSDDSDNACIGLRPRCHRGLVLTIIPI >Et_10B_004102.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:1336730:1337395:1 gene:Et_10B_004102 transcript:Et_10B_004102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPMSTARVVCRKPCRGLVLLSHQTGKYHVLINPVTQSVRTFTHGGDGHGCAGLGYDESKEEHVMVRLSYASSSQPSDEAQGGHVDMEGSVWPLRDLRPRKLPCRPPMPVAVDVPPVHVGGKMYWTALAAAGTVHYPAVLVAFDVRAETFEVVPGPALLDVADDGDRMILTELAGNLCAVVLSAATETMTVWRSKKNVDGYWTREHVIELEQWPEPRSR >Et_2A_015833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18161855:18176705:-1 gene:Et_2A_015833 transcript:Et_2A_015833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKGGFGKVYKGTLEGSQEVAIKRLSRDSDQGIEEFRNEVVLIAKLQHRNLVRLLACCVEGDERLLIYEYLPNKSLDATIFNSEKNATLDWSMRFKIIKGIARGLLYLHQDSRLTIVHRDLKASNVLLDAEMRPKIADFGMARIFGENQEDAETRRVVGTYGYMAPEYAMEGIFSVKSDVYSFGVLLLEVVSGIKISSVDRIMGYPNLIVYLYDIDLFQSWNLWKEGKSKDLVDKCIAENCLLDEASHCIQVGLLCIQENPDDRPFMSYVVFNLENGCTILPKPNHPAYFAKRNNEMDQIENILNSKNTMTLTSMDRPAAPTCIHIGMAVLFLLLPLSFSDDRLVPGKPLSPGSTIVSDGGSFALGFFYPSNSTPAKIYLGIWYNDISRLTVVWVANREAPATNSTFSAPALSLTNTSNLVLSDADGCVLWKTNITGATSSAVVVLLNTGNLVVRSPNGTALWQSFDHPTDMFLPSMKFQISYKTRAGTRFVSWNGPGDPSPGRYTYGMDPDTLQQEFIWNGSRPVWRSATWTGFSVTTEYKVNAGGVLYLAVLNTDEEIYVTFSLSDGASYTRFVMTYSGRLELQNWDVNSSRWGVVGQWPPSSCSSYGFCGPSAYCDITDAAPRCKCLETASEEWSNGSFSRGCGRRREAFQCGGGEFTALQGMKVPDKFVSVADSRSVEACAAECGRNCSCAAYAYANLSSSGSTDTKRAPSRCLLWVGDMVDAEKIGLIDGSETLYLRLEASASNSALILLLPALCVSDDRLVDKPLAPGTTIISDGGAFALGFFAPSNSTPDKLYLGICYNNIPRLTLDNQHHQPWKSLAVAKIKHYRSRSGADEHGQPHPPFTERHDASFDDPTDTLLPGMKIRRSYNTQDGIRLVSWSGPGDPSPGGFSFVGVARPVPHGFILNGSRPVWRSPVWTGYTFSSQQYFVHTNASVAVYMAYVDTADEISMAFTVSDGAPHVRCVMSDSGRFEILSWNGESSDWRTLMAWPTNECSRYGYCGASGYCDCTDAAPTCKCLDGFEPVNLEEWNSGRFSQGCRRKEALRCGGGGGDGFLALPGVQVPDGFVRVKNKALEACAAECRGNCSCVAYAYANLNSSVSKKDPTRCLVWTDDRLIDTQKIGVNPYRSAGSDSEETLYLQVAVKITLPVVAGVIFLSSILLIWACKFRGEKNTDHVLGAGRKTNTEHHNKIMHGVRIASDEGGEDNATHDLEFPFLKFQDILVATNNFSQTCMIGKGGFGKVYKGTLEGGQEVAIKRLSKDSDQGVEEFRNEVVLIAKLQHRNLVRLLACCVEGDERLLIYEYLPNKSLDATIFNSKKDATLDWSTRFKIIKGIARGLLYLHQDSRLTIVHRDLKASNVLLDAEMRPKIADFGMARIFGENQEDANTRRVHIVGLNKSSYSLQIDNDIISSGYMAPEYAMEGIFSMKSDVYSFGVLLLEVVSGIKISSVDRIMDYPNLIVYAWNLWKEGKSKYLVDKCIAENCLLDEASLCIQVGLLCVQENPDDRPFMSSVVFNLENRCTALPKPNHPAYFAKKNSEMDQSENILNSNNTMTLTVIDGR >Et_4B_037335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18644923:18645919:1 gene:Et_4B_037335 transcript:Et_4B_037335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERNACSVFHGREDRSFQLKLSLSLTTKVLSGDGCSTYVSVLTPANFNCIARYWPHWKDDRGSIKLSVAVKVNPSQSSQANNKPVVSGHIDLLPARNGGLRRRGRPLHRDLHHRRLFLFPRLPHRCPSGAAPNGVKARPRHLHGVGPRRRLLHGRRETFKAHRLVLAARSPVFKAQLFGQMAESTAKTSSPIPIGEMTASTFGSMLHYMYHGVLPAAILESTVGGAYGGRMPEVERLCVAADRYALDTLKQICEEILCTGVTVPTVLSNWVFAEKLSCHILNCRCLEFLAAAENFKEVAITDAYMDLMSNDPSFVFQVRKQLKRGRFA >Et_7B_055918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7446581:7449072:1 gene:Et_7B_055918 transcript:Et_7B_055918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIPREPTFSNWTNTVCKSIVIFMEARRMDQTCMAAISSPPLVADVEQAKKAGGDMPVTTGSGCFDCNICLDFAAEPVVTLCGHLYCWPCIYEWLRPGMESTANDTSSSARRQCPVCKATLSPDTLVPLYGRGGSSKKSLNGMVIPCRPKVHREIVEHQNSQSHANDHNHQNVEADPPHQPFQQAHNHSSATQFDFIYPPAPIGRGLIHSTAGGVLGGMAEAVLPWAFRGQLPPSLYYTSPYYVAAQNVSPRLRRQQMEIERSLHQIWFFLFVFVVLCLLLF >Et_4B_038993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6723742:6728882:-1 gene:Et_4B_038993 transcript:Et_4B_038993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFLSRDTHSQTRFKWPWRSDSPLAKQLLVDIPPEIELSDYRRLPSSGSESPSGLLHGEGSKEDPIPDLDIFFERLYEYFCAKGLRCIITKWIIEILNVLFMVSCIGFFFLFVDWNALGQLKCGVEALESGKKPCDLMKVIKQNPLVPFTLPKMITVGSMVILTTYGLTNFLKFFVQLKSTLNVRQFYYDSLKVTDLEIQTISWPRIIEKVVLLQKSRKLCVVRDLSEHDIVMRIMRKENYLIGMVNKGILSFPIPSWVPGAGPTVRSNLHGRRNYLILPKALEWTLNWCIFQSMFDSKFCVRKEFLTSPAVLKKRLVFVGITMLVLSPCLVIFPLVYIILRHAEEIYNHPSTASSRRWSNLSRWIFREYNEVDHFFRHRMNNSAVHSLNYLKQFPTPLVSIIAKFVSFVSGGLAGALIIIGFVGESILEGHILGRNLFWYAIVFATIAAVSRKVVADELQVIDPEGTMCLVVQQTHYMPKRWRGKENSELVRREFETLFQYTIIMLLEEMASIFITPYLLIFEVPKRVEDILRFISDFTIYVDGVGEVCSFRIVYPSWEPNADGNQFLSNLQKFKEKQIRQQVAQYQAMDASGFVASTIGQRDDAFHQLRSGIHNHAYGIAPGFYNLSPLGLLDTDQRTHPYILDWYYMCHPPHTDIAEGPQFEEVFPHNVSTSPPAGGTSENEEVGNWDYELFERDQSYLEASTSSVIRGLHIPVKTHGKEENSNSHWWNPGLPYSSGPQGSFLEPPNQYMMRTRSSHHSGSLSDGSEEELDRSNGRSNSSWRSPQALSNTRYIDDSDIEEGLSLHFADVHQTDEDSRHGAADHQDPSAPAGLHVRIIPRSSDPV >Et_10A_001764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7986437:7989030:1 gene:Et_10A_001764 transcript:Et_10A_001764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPECPSAANGAGAAVAAKLRKGLWSPEEDEKLVAYMLRSGQGSWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIVSLHAILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNSSAASSPAATECASPEPTINTNNKLAGGGIDVSAAASCPDLAGLDHAMTATTGLWMVDSSSSSSSSTLSMQSRPLAASRSYGGLLPLPDQLRGMAAAGADMPPSFFHGHVAPFKHQAVSALHGGYYGSSAHQHHGMAMEAGGEGHFGYVPPFLEPTMAAASAQEQQDQKPLMASSGNDPNRNNNNNNNNNNNNNNTTETTTTLSNNESNITDNTNHKDIGLVMNSSSSNVGAAVYWEGAHQQLHMSRNVMQGECWDLEELMKDVSSLPFLDFQVE >Et_7B_053649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10686969:10694624:-1 gene:Et_7B_053649 transcript:Et_7B_053649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARVNHAMHNANDFQLSSLQNSEGTEHDDLPDLHIHHGLQFPSSHEPIKKRATPQIILFVQYPRAEQQLIEKPPTMTMEHARGAIAGKPKVVFVLGATATGKSKLAIALAKRFDGEVINADKIQVHAGAPIITNKVTEEERAGVRHHLLGVLPPDAEFTAEDFRREAAAAVARVLAAGRLPVVAGGSNRYIEELVEGDGAAFRARHDALFIWADAAPELLDWYVAARVDEMVARGLVVEARAAFDDDADYSRGLRRAIGLPEMHAYLAAERGGCAGEADELASLLARAVREIKENTIRLARAQVGKIRRLSRLDGWNVRRVDVTPVLARMADGAACHHQTWKTRVWEPCEETVRRFLETPAAPTAVARRVHHHANRGRETGRAVEAQARVVEKPKPKVVFVLGATATGKSKLAIALAKRFDGEVINADKIQLHAGAPIITNKVTKEEQAGVPHHLLGVLPSDAEFSAADFGREAAGAVARVLAAGRLPVVAGGSNTYIEELVEGDGAALRSRHDVLFLWIDAAPELLAWYTAARVDDMVARGLVAEARAAFDAVDDYSRGVRRAISLPEMHAYLAAERGGAAGEAELAALLARAVREIKDNTFALVRAQVGKIRRLSVLDGWDVRRVDVTAVLARMAEAGGACDEQTWEALVWEPCEKMVRGFLQTPATPIINAVHCTVSVVACGDGEPTAAPASGGDSE >Et_8B_058818.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:8711534:8711599:1 gene:Et_8B_058818 transcript:Et_8B_058818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGYGAWLEHPSSKNSLLDC >Et_9A_061917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17855980:17856543:1 gene:Et_9A_061917 transcript:Et_9A_061917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGQENTLHLQLMTYNSWRLLLLYLHQRCGRPRLNRSVLATKKDKKLQAGVLFSFWWQIWKERNRRLFEQKQCSSEQVAFITVDAIRLQRVATQNWQNIATDS >Et_8B_059750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2314108:2316993:-1 gene:Et_8B_059750 transcript:Et_8B_059750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAGTASSSLLPGPHPRSRLAPNSLALRPRPCGALRAAAAGAGGGKEDAQAGVTANGSPVIKSKNDLSLNGALGPIVADKAQKDSPTNSSTDSSGSRAGLFRTPISGGVQSATFAHGLPPPALAVRNLMEQARFAHLCSVMSSMHHRRTGYPFGSLVDFANDSMGHPIFSLSPLAIHTRNLLADPRCTLVVQVPGWSGLSNARVTIFGDVYPLPADQQEWAHKQYVAKHQQWASQQWGNFNYYRMHNISDIYFIGGFGTVAWVDVKEYETIQPDKIAVDGGEQSLKELNAIFSKPLREFLSSEGEVDDAALISVDSKGIDIRVRQGAQFNIQRLAFDVPHKVETLEEAKRALHKIIKTSSK >Et_3B_031254.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:22824920:22826449:-1 gene:Et_3B_031254 transcript:Et_3B_031254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKPRVVIVGAGVAGLAAAHRLCGAGGDRFEVTVVEAGGRAGGRLLTSEFAGHRVEMGATWVQGIDGSPVYALARDAGALAGEENDAGGGGGGSLPYERMDGFPDRVLTVAEGGEVVDADRVAKPIEELYRGMMEAARAGEASSGGGGVEEYLRRGLRAYQASRPGGSGGGTKELEEALLAMHINRERTDTSADDLGDLDLAAEGEYRDFPGDHVTIPAGYSRVVDRLVTALPPVTVRLGLRLRRLDWGRAPVRLHFADGAAPTLTADHVIVTVSLGVLKASLGKDNHASAAGGNGITFDPPLPQFKRDAVARLGFGVVNKLFMEVEPVNAPEPGVGGDGEQPLDFPFLHMAFRGNVSKIPWWMRGTESVCPVHAGSRVALAWFAGREAEHLESLPDDEVIRGVHVTLDSFLLPAPRWRVKRIKRSRWATDPLFLGSYSYVAVGSSGDDLDRMAEPLPRAQDADADGHGPLRVLFAGEATHRTHYSTTHAAYLSGVREANRLLQLHR >Et_10A_001267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22749872:22751538:-1 gene:Et_10A_001267 transcript:Et_10A_001267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAREHFVLVHGEGHGAWCWFKLRWLLEGSGYRVTCIDLAGGGVDPTDPNTIQSFDHYNKPLIDLISALPEGEKVILVGHGTGGLCVIHAMHEFIDRIKQAVFVAATMLPFGLQTDEDKKDGLPILPEEEVTLTFGAGPDDPPTTVALRPEFQRDRLSQQSPEEESVLASMLMRPWPETAFGTASFEGDDERLNRIKRVFIKTERDHMLDPQQQDSMIKKWPPSEVLVIDTDHCPLFSAPEQLFNLIVKSL >Et_7A_050916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12347364:12349128:1 gene:Et_7A_050916 transcript:Et_7A_050916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TLLFWRAEQSKPPKSKAFRSPPTHARPPDRSIIIVQLRRRRREEARSVDPRRHGKRPLPADEEEEEPPPPATAAKHEKEECPLGGTFSGGGGGVSSSSPFAPSPEAYAQYYFSARADQDASAVASALAHVISASPNHQQQQQQGFYMSAADAASTAAPAEQHHLGHGAAAEEEQGGRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAAIAYDEAALRFKGTKAKLNFPERVQGRTDLGFLVTRGIPDRHLRGHASPAAAGVTLAMPPPPHHHQQHYQQPQQTVVPYPDLMQYAQLLQGGQGRHQQQQPQAQFMMMGGAGRSVNMLPFGGAAVTSPSFSSSAPQILDFSTQQLIRPGGSARSPPAMSSSGGAAPSTPSSPSGASAWPYGGEHNKNNKDA >Et_9A_060896.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:13657722:13658054:-1 gene:Et_9A_060896 transcript:Et_9A_060896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESEVRRSDRLKQKNKGFKSASCVEKECFCCSVDPPSLSMNVIKKLGETFCKISPKVISDEALKNKGQAKQPVGASRIAPNDDAKKNGKAKPNKKGINEDNTSKKPKKK >Et_10A_002000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17859085:17864545:-1 gene:Et_10A_002000 transcript:Et_10A_002000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELMEGEALDGFINLNDAPPVEDHGFRQQMLIEQLPADQNIEAAQDPVNQAQALQGLQHQILPLNVQPLQMIPAPHQEGVPQWDNLHIGIMQHNDTFAPYPVFMNMQSQRMESCPRLHPDFYMLWARHFEPVGSPDITVPIPKHWASFFTNQFLPPTGFEWAKSFLLSQASPLLSDSEQDPLRFTLPVNCPSTQKLLCAAMAQGGDPAEAVTESQEEQIDDEGAFSTPPGQRDTFNLDQACPTVLNKGKRSILTPLGNLGRDAFVTWGVLVWRVVLQLVGVRRTERGPDS >Et_6B_049280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2703481:2704125:1 gene:Et_6B_049280 transcript:Et_6B_049280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRSTLVQLVCPFVSVHDQHVVCFAVNGYKVNGGHWLIMLDLRSKALLSSCPRRNGSYGPGHGINVFPSRISDFLIPNATSSSSGNGSLSTIKSHVKIVAPMVKETRANKDGISAQASSNVSVDPAMQALEILTVFQQTTSYGLAQDDILKAYNFLSHDNGRRFKALLELPISLRKDWLLMEMKASEA >Et_2B_022644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2947524:2948872:1 gene:Et_2B_022644 transcript:Et_2B_022644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTVRMKAVVYALSPFQQKVMPGLWKDITTKIHHKVSENWISATLLLTPVIGTYQYAMYYKEQEKLHHRF >Et_5B_045591.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5041891:5043066:-1 gene:Et_5B_045591 transcript:Et_5B_045591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLVRHGQAETFANPHAVLTNDGVLPKDQILLRVPAKPLCRLRLVCRLWRSLTSDPRFARAHSSRHPLFAGLSPASRYKEENEIHIFDMYGNVVKRMRGLGDLAMHLSTQADLVCVKRYPTSVEEDRDLLLNPATGAVHVLPRVSVSCIYATCFLGHVLSTGEYKVLRVCLQRSDGVEVQHAYEVVTLLDGSNNQSWRVHVTPCPPPTSILPYARFMVIIREIAYILSRRHHLDIVLFDLVTEQWRPTVIRGPRIDHQETVSVELFELNGCLAIGHHNNESQDCSMDLWFLVDVDKGLWTKRYSILCRPNWKYHTPNPPCPLVILDDGRLVVGYDWARILRAFDPRTCSWADLAKLPDPEDSIGLYKGNLLCPGLSPMPASVLPVTYS >Et_1B_011477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22732336:22734750:-1 gene:Et_1B_011477 transcript:Et_1B_011477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKSKKGGKKKTVDPFAKKDWYDIKAPSVFSVRNVGKTLVSRTQGTKIASEGLKHRVFEISLADLQSDEDQAYRKIRLRAEDVQGKNVLTNFWGMSFTTDKLRSLVKKWQTLIEAHVDVKTTDGYMLRLFSIGFTKRRPNQVKRTCYAQSSQIRQIRRKMVEIMANQATTCDLKELVSKFIPEVIGKEIEKATSGIFPLQNVFIRKVKILKAPKFDLGKLMEVHGTTRRTLV >Et_6A_046306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12773831:12777005:-1 gene:Et_6A_046306 transcript:Et_6A_046306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRRGRGAPRPAPLYRKVDEGDLCSVPDDALSAVFARLSDNAADVVRCAATCRRWGRMVARDAAVLAHSLPPLPRLALGFFHQEAAGTTARTRKRKRNGCCSSASGPAAQLPRFVPTMTARLLRGVRAPSLTATRAGDDVLASSSSGGAARRRAQALRVQPCVGRRGAAPASLRCGQPGGLRVRAAHGPRPRPAAAAVRLLPPARRLQPPLLHRVPLLLQRRRQPLGRRGPTVVVVRLGPQEDRQPQAARGGRPQRRQLVIRGVAYWAIGRTALAVRLDGPDHQDQPVELATPPCGIRADMPPGCHSLGLAPDGNLMHDMKGKRNSHPYGPTQTHVLCPRAGKWEHAGVIRLKEIIAPKCIWTRREAVSLRWFCEKSRVLFFTLGEGTCSPGTYALDLATEEVHKVADGLECHSWRNFVGYEMDGVVYLASIAR >Et_3B_029028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21486708:21489338:-1 gene:Et_3B_029028 transcript:Et_3B_029028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREGSVKDWEEFDPSPSPKLAYSQTYVVMRGLLTSVASLDPVLVASSLKSAWAALSSQKHARSLERPRSKGMNLKRVMFHLLTCFLVGIFIGFTLLFSLESQKIASENEMLPFDGDSIGSQSAKLSGVKLEPFAVESEASEEPQVDESPPVPAMLDDEVDFIEASHVEPPVNVSDIVVRKQLIVVTATSVRPHQAYYLNRLAHVLKNVPPPLLWIVAEWPYQSRETAEILRSSGVMYRHLICNRNTTNTRKIIVCQKNNAIFHIKKHRLDGIVHFADEERAYSVDLFEEMRKIRRFGTWPVAIHVGAKYRVVLEGPLCDGNQVTGWHTNLRRGVSRRFPVGFSGFAFNSTILWDPQRWNSPTLESIILHSGGKGGLQESRFIEKLVEDESQMEGLADNCTRIMVWNFDLEPPQLNYPSGWLLQRNLDAVVPIT >Et_8B_059406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17693443:17694637:1 gene:Et_8B_059406 transcript:Et_8B_059406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSTQLPIHMHGGNGKVAYIDTEGTFQPEHIVPIAERFGMDVKAILDNIGLSRVDFSGAGELAEHQVFSLFNFVDSRKVKKKFLGVISSVCLSVRTMTLQQKIAQMMSCLTKIAEEFNVAVYITNQGGGMFITDPKKPAGGHVFAHTATIRLMMRKGKGEHSCLQD >Et_10A_000938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19328461:19331179:1 gene:Et_10A_000938 transcript:Et_10A_000938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVTNNQNIFLKTRFCTYYKTVMPNGSTYSVKRLNWSDKVIQIGNQEKLGHELEILGKLSNSNVMVPLAFVLTEDNAYLLYENVCKGTVFDFLHGGKSDVLDWPSRYSIALGVAQGLTFLHGCTQPVLLLDLSTRTIHLKSAKEPQIGDIELYKIIDPSKSTGSLSTIAGTVGYIPPEYAYTMRLTMAGNVYSFGVILLELLTGKPSVSHGMELAKWALSLSGRPDQREKIIDTRVSRTSIAVHSQMLSVLNIALSCVAFSPDARPKMRNILRMLFNAKVLSVHVGIDRRTSFDDVGHNASESGISFAPSLPSPSRALSSLRACLRCCFLGAPPPSASNACGISIAAAAAAHQLSKTIQFANTSFA >Et_7B_053667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10963835:10966913:-1 gene:Et_7B_053667 transcript:Et_7B_053667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGQKRRPLMVMASSSSSLAAANPLAELSGRFKSFEAGVRSWMAKQPTYVEAAVTTAVGAVQGGALGGLMGTLAPDGGAGLAMPQPPAGVDPKAMASLKQAQALAGGPLVQARNFAVMTGANAGISCVLRRIRGKEDVQGSMAAAFGSGALFSLVSGMGTPNPAANAITTGIAFAVFQGGFFMIGQKFSQPQGVSEDMYYSRGRSMLQKLGLQNYEKNFKKGLLTDQTLPLLTDRKKNNYRNPSYVAQDVENPRYLGEWKIVYSVLASMLHKPQGQGFARMSAKITADWSTTCPKRKMYQHMKGYVQNCGSYVPKNQEAYHLVKEQMDKPVLCIGCRNFHRDGAGSDSWTV >Et_8B_060145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6439676:6443288:1 gene:Et_8B_060145 transcript:Et_8B_060145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVDVGGGVNGGRTDNLPTGCLAATTLDMSFYNRRGGERGNGRIQGSGGRGGHVMRGRSGLPPRGPLGSFSRPKDMTWRPDLFNDSMAASGIETGTKLYISNLDYGVSNEDIKELFSEVGHLKRFAVHYDGNGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVVGASNGRATRTVVLTPEFGQRGRGSTSRPSNIGDRYNRGGFQAGRGRGRGRAQFQARGRGRGQFQGRGRGRGRKPEKTADELDKDLETYHAEAMKTD >Et_10A_002047.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20354959:20356740:-1 gene:Et_10A_002047 transcript:Et_10A_002047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSSARTPVLTHLLRSASDLASVAAIHAKLLKVGAASTAASSNHLLAAYCRYGATGLARDLFDGMRARDVVSWTTLMSGYASSGRPRDAISVLRAMAFSGVQPNAVTFSTAASACARLADAGLGRQVHARAEVAACARDAVVATALVDMYGKAGRVEDARAVFDVMAAPTRNVVSWGAMLSVYAQNALGNEAIQLFAELRTEGNEVAPNHFMLSSVLSACAGVARLGIGKCIHGAVFRLGYEGDDVVAVALVDMYSKCGCYEHSRKVFDRIRHPSVIPYTSIIVAAAKYGLGRCAVTLFNEMKDRGVQPNYVTLLGVMHACNHSGLIDTGLQLLRSMQSKYGIAPCSSHYTSAVDMLGRAGRLEEAFELANESQVEGSDAAMMWNSLLSACRTYKRADLATMAWKRMLEFNQDVAGGLVLMSNAYVSAGQTGNAAAVWSSMRRRGIRKDPGCSWIEVKDIPFVFYAGAISCAGARADEVLKLLDELEVKMRQKGYNGNLGSIRVPDAHKDDGEEGRGVMVGVHSEILALGFGLLVVPKGMTIRVMKNLRMCCDCHEAFKLISGIVEREFVVRDLNRFHHFKMGSCSCNDYW >Et_3B_029490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25473327:25476158:1 gene:Et_3B_029490 transcript:Et_3B_029490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNNVTGQRDNEKPGTQDTNILKSLNGSIISNGEDEQLALDQNHSSSKIEGVDKENKSSIQDNTSTQDGKSDILSNEDHELVKDVATETTIHPTDAVPPISDTIEDMISINDSSVSSQKIEQFLPGTRDRVGESTVCGSSSLLEEESPEDGEEPIIHKKVPMGGNLAGENSNKMLQQGHNEVSTIKDQLMAMQGEATSSAESIVSTYQDADDSEIKEVVIEDKPGQQDKPSYVLDAINIDVSKKYITEIPQEASISAIRETTSENLEDTFERAQLPEQYDGNLISHVEVNGNYLTRSSSLDHLLTVNEEVKGVNGILECDKDIEKKNLEQGNEESTTEGLPQQNDAKVAAKEGGDLCNLPMITSSAPDLSLEDFDNMGCIKLHSVYTNEGTITSTYDKRTRYTQDTKTSSQGDQPPQLQLVEHEVVKFENGEILNNACVQFVEDGVKISTIFTKDSNHEKEGANTTSIDFTIESNHEGDTTATADVDFTAEGNQMKVTAGVDRATEHQHPLQMSTPVREASEETPLLQRVGSIDFFQHSTGQRSKVSMDKPAIGISEVPTKAKAEEESEKSPLLSPKEPSGVDFRIPNYSERKMKPLQSLLTEDRSGMLSESVPNNNAWVSSPRSKGSQKARSSLFTNCMCCATPTD >Et_3A_026469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8388404:8393980:-1 gene:Et_3A_026469 transcript:Et_3A_026469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYILLSPSPPLALQHPSSSSGAICRRRARRGASFVVASSASPPDGGPFPSADAFALARRAVLLGAVAIPLVRLREAAAAPTAGDLVTVYGMLLPQGFIRREGIDTLRVNHQTENCYKTFKIGRNSVICRPKETKFDEAKDVSTPDDPSEGSKSAVKPDSLPNEGTEAGTSPSEARQPESSLQSAQEQSPGNPVLGLLNTVVVAASGVLAGLYGTSRQENKALQSIVSSMEGKLAENEAALSLMRDNYEKRLLEQQATQKKQSTKFQEQEASLLEQLASTRKTLTSLSEEFRREKKLAEELRDEIQRLESNISQSGVDKDVLENKLQEKLGEINILQEKISMLSQEIDHKEKHIRDLSASLSSKEVDYQKLSSITNQTKKSLEVANSKVQQLEEELHKTKTALTSKISSIDSLNEKLQTLNSEKEEAERKIIELRQDYTNLKSDSEKRESQDSKLLSERDDQIKQLKGKLSAALTESSKDHEKIAELNNELEATKAMLENELAAMEKLKDSIQLSESALKDSRSEVSMLSKELEEANKSNQDLVSQISKLQEEFKEMQEYLTNKLGEAESMSKTLSDELVSVKEMVQKGQEELEATSSELATVVEARDNLKKELLDVYKNLESTTHELVEEKKIVTTLNRELEALAKQLHADFEARKALEADLDEATKSLDEMNNSALSLSKELQETHSRNDTLEAEKEMLSKALAEQMKITTEAQENTEDAQNLITRLQTEKESFELRSRHLEEELALAKGEILRLRRQISANRSPKPRTLARTSAPTENNETLKDQPVNDHNQKASGVAAGTPASARRTTRRRKGDAST >Et_10B_003799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6249305:6254381:-1 gene:Et_10B_003799 transcript:Et_10B_003799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVTKLASERAVVVFTLSSCCMCHTMTQLMADLGVNAMVHELDSDPRGKEMEAALLKMLGGGGPAVPALFVGGRLAGGTKRVMSLHLAGELVPMLKNAGALWLYDKLVIVKAESFPPGTPAPDLRPRRALAAAAPCPHPRHRTPAAEVAASLPPSSAGLAEGVLTDQAGLSKRSGARGSTRSSRGRVGLREAAAGTRATAVRRARWRPDAAMADRLAKLSTEKAVVIFTTSQCSMSHTVESLFSDLA >Et_2A_017882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7485088:7487453:1 gene:Et_2A_017882 transcript:Et_2A_017882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPKLPTLPRPWRPCLLAGAPSPRTRPFPPVPTAPLRRRRHLISLSAAGHLRPRDSPIPPAKPSSSKKAPDEIGRWKAVPPGMREYAVPDPKEPPPPPRWSARRTARAAWRKVSSWVPRKARSIILLNLVTFIFASNISVVKEAETMLDPDLFNMLRFTIAAIPFAPFVLKSLRDMQIFIRGLELGIWVSLAYLAQAIGLITADAGRSSFISALTVIIVPLLDGLLGAVIPAYTWIGAFLSLIGVSMLELSGSPPCIGDLLNLLSAFSFAVHMLRTEHISRSMKKDNFLTLIGCEVFVVALVSAAWYVFKCLIHNVQHWNFKAWPPTELFGTLALFPWPAILYTGIFSTSFCLWAEVAAMRDVSATETAVIYGMEPVWGAAFAWAMLGERWGIMGFLGAIFIIVGSLMVQILGSIPDVCRGDSYQMSS >Et_7A_051058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1478089:1481083:1 gene:Et_7A_051058 transcript:Et_7A_051058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEQGFRPLDEASLVAYIKATPALASRLGGGLDSITIREVGDGNLNFVYIVLSDAGAIVIKQALPYVRCVGDSWPMTRERAYFEASALREHGRLCPDHVPEVYHFDRAMSLIGMRYIEPPHIILRKGLIAGVEYPHLAEHMSDYMAKTLFFTSMIYNTTTDHKKGVAQYCANVEMCRLTEQVVFSDPYRVSKFNRWTSPHLDKDAEEVREDDDLKLEAAELKSMFIERAQALIHGDLHTGSILVTPDSTQVIDPEFAFYGPMGFDIGAFLGNLILAYFAQDGHADQTNDRKAYKKWILKTIEESWNLFCNKFVELWNKHKEGNGEAYLPDIFNKSDLLSLAQKKYMINIFHDSLGFGAAKMIRRIVGIAHVEDLESIKDSNKRALCERAALNCAKAILKGRRQCENIEQRDAFLHHLDVGNTPVMFLGYSVKK >Et_5A_042110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6442384:6445297:1 gene:Et_5A_042110 transcript:Et_5A_042110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RAGAATVTGTCSSPNPSKIPPAQGRRKAVSAPSSPSPAWTSPPVCRPWRPLFASTLLNAQAQTDTATQLSFLEPSGCRSPAPQLVRVSNISSPSSFPAQTFRCLASRYKTRPFLSQTHVKTASETKMLRVRHCSFLLLAIFVYLSTFSARGEVGNGVHLDLPALLSFKSSNPNATALQATWTGPNPCSGSWFGIRCYRGRVVGVFLDNAALAGAVAPLLLGLRQLRALAVRNNSLSGALPPLSNATSPWLRHLLVSCNELTGSLNISLGALLTLRAERNGFSGGLQALRVPKVRSFNVSGNKLAGEISGDLARFPDSAFGGNLALCGQPLPRCVRAYNALGDSNATTSVAAQSPAAAEGNASGNAVSSSNAGFSKLSATALLATGIGNAVLLTISLSISVAMFVYVRRKLRSAKNASDAALRFEEEEDDKRGDDLSKCQKSGGLVCFLGGEQLRLESLLKASAEVLGKGVSGSTYKAVLEDGAVVAVKRLSALQFPASRSKAFDRHMRLVGRLRHRHVVGLRGYCNSNGERLLVYDFLPNGSLQSLLLQGHGGVAGARSLDWAARKNILFGAAQGLNYIHTFPARPALVHANVKPSNILVDERGAACVSECGLMRYATNIQLHSAAAAAVAAASQARCPLPELFPSASSGGGWHGYAAPELASGAAARATQESDVYSFGMVLLEVVTGHNKAGDGGDGDDETMGWVKIGMMCTAEAPEERPRMAQGKEKFSVFFLGEEQIFRWNQT >Et_7B_055857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6072239:6072862:1 gene:Et_7B_055857 transcript:Et_7B_055857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVFDRSSPSHGLTAAGAVEPWPTTDATATAAQGEEETSDRAGCHGFSREDAAAATIQAHFRGHLARRAFRALRSLVKLQAFARGSYVRKQANVAIRFMNVLVRLQVRVRARQLLSTRPWNNR >Et_6B_050109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6457633:6462801:-1 gene:Et_6B_050109 transcript:Et_6B_050109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLLLVAFSFPAIVVVADGAASARHLRFYMHDTVTGSPATAARVTRGAAPLPGDPVNRFGDEYVIDDPLTEGPDAASPAVGRAQGFYVFASRSDQALLLRADLVFTAGKHNGSSVAVLARDAIFDEVRELPVVGGAGRFRGAAGYGLLRTHSYNASANNAVLRVDIADLEVVTPK >Et_8A_056785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16839720:16841073:1 gene:Et_8A_056785 transcript:Et_8A_056785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVASVENTASLAPSHGHTVCVTGAGGFIASWLVKLLLEKGYTVRGTVRNPDDEVKNAHLRALDGAAERLTLVRAELLDKESLAAAFRGCEGVFHTACPVTEDPEKMIEPAVTGTRNVINAAADTGSVRRVVFTSSIGAVYMDPRHGPGAEVDETCWSDLEYCKNTKNWYCYGKTVAEQAAWELAKERRLDLVVVNPSLVLGPMLQTAVNASTWHILKYLDGSVQMYADAAQAYVHVRDVAAVHARVYEAPDAHGRYLCAGLTMHRGEVCRVLAKLFPEYPVPTKCKGGDGETVKGCRFSSRRLKELGVEVTPASLCLYDTVTSLQDKGMLPRPVAP >Et_5A_042932.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:7190638:7191921:-1 gene:Et_5A_042932 transcript:Et_5A_042932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVAPAAPVASDGGGPRLDFDVIVVGAGIMGSCAAHAASSRGARALLLERFDLLHSLGSSHGSSRTIRDAYANSRYPPMVRLARRLWSDAEAESGYRVLTPAPQLSLGPRANSAALRAAVASSPGAREVGTAAELSRMWGGAFRVPDDGSWLAAVSEVGGGGVINATKAVAMFQALAVKKGAVVRDNAEVVDITKVPESDGGGVAVRTRAGEEFRGAKCVVTVGAWASKLIRAVSGVDLPIQPLHTSVLYWRAKPGRERDLSASSGFPTFSSYDAVAAYGTPSLELPGLIKISCDGGPPCDPDARDWAAGGGDVAERVARWIEEHMPDHVDTAGGPVIRQGCMCSMTPDHDFVIDFLGGEFGMDLVVGAGFSGHGFKMGPAVGSILAEMAVDGQATTAAEAGVELGHFRISRFDGNPMGNANKDY >Et_10B_004070.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:10007610:10008185:-1 gene:Et_10B_004070 transcript:Et_10B_004070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGARGNGAWEIVLVPRSASTARSDRCAKLLLMWSAAIAVPVAVFIFAGHVWGAVATAALVAAGCAFTWYYYHSAPPEPPATLLPEHALRVPVAPPAQAVVGLRREDIDAIPAYEYRRKAGAAAAEQCAVCINVVKEGEMVRRLPACAHAFHAPCVDGWLRAHATCPMCRADVKVAAGEGEPPTAAEEAV >Et_7B_055743.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3227186:3227476:-1 gene:Et_7B_055743 transcript:Et_7B_055743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGAKKRELFLGQPYRAGDAPDPGAGSIKNVPHGPLHARLGERAAAAQRRGHGQLLLRAARDPVVFFGLQTNIDHVWRGLDWLDVSAMLASKPCK >Et_3B_031378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27637205:27637733:1 gene:Et_3B_031378 transcript:Et_3B_031378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEGSSSPASYIRLVQHLIEKCICYNMNKEECMETLEKHAKIKPVITSTVTLIIAVWKELEKENREFFETYKKDRGEESSQKNPSQQPAVSKSSDNDDAADDD >Et_8A_057730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6579118:6587765:1 gene:Et_8A_057730 transcript:Et_8A_057730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFMIELKQFFLPAIFCVARRTCADLAKRGSDDQPAVHGTQQQRHGVLPAGVEAEGGGGGGGGQFVYRWMADRTRMRHEGLWWNPNGEPCPGSDDILSCYKDRQIGIDVAYFKQWAGRVFAAAKEHKTGGGVKAPIEEMLQEAAKEGRGASAGDGDDDSQDDEEVEVVVWERNLQDQVRDLPLSRMYSERAESFIFCGPFLVAERDGHADLAARGADDEPAVHGQEQKRHGVLPAGVEAEGGWRVVRVPVDGGQGGDASRGWDPDGEPCPGSDDVLSCYKNRQIGIDEAYFVQWAGRVFTAAKEHKTGGCPKAPSEQRQREAVECGDASSSVARCPQKCHCLSRPLFRHCPKGSQELLALLSCREYLGRPLREVVRVDPDLSVLVAAEHIIRARARLAIGIPPRALVPHPRLGRHPPVHKLAAARSLSPSLGVELYDAAARFHEQQVRHLRPVRRDEHVRGAPQPHLRHEKESQNVKLSSCTAVTAHPATWAHSFSNTRVTAASSLNARVPERNSSVTRKADRSPPAFGTSTMPQCALTLHRMKSYAPRSRSLLSPSMLLRNTTAFSKHTGSGVAKGSTTISAPVAACAIVVSHPLIPDRAAPFWNNAPRAGGNPHPLRVCHDTNGASAASPCQGLR >Et_6B_049928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18185902:18191417:1 gene:Et_6B_049928 transcript:Et_6B_049928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRRRSTHGADEAPQAKRSAAAAATADEGSLKTEEEEMPSGEEPQEFYRLRSGRSRPPKRRAPDSSKEVAAPAEPVEKPQEAAPAEPVEQQPKLEESMAGAPTGEVVAVTGKGKKQPQEAAAPAVPDVQRHEEAAPEEPVDEQQQQQQQAEEEEDMEAATPIGEPVVMVTGRGRKKMKQYKSFQYNGLTFELGDSVMFLPAEASEKPYVAILKDITETEGSLSLTCQWFYRPHEAEIGGGQFCIARDTRELYFSFHIDDVPAESVMHKCVVHFIPQNKQIPLRKKHPGFIVQKVYGAVEKKLWDLTDKEHEEDRQKDIDLLLKKTLDRIGELPDIEPEDTPSHNTDQPSDKLILNNKDVIPTDVTRNPGDSTNQISDKLSLHNKDVNRTDITRKPPVGKPKTIVVSAKPKNYAILARYKALTGEEHRDRWLDKLLESVPLTWSKAARVPHADSGTAAKSSSNCSSAKIGSDDIKTLYILDDVVPIIVSIEISAHEALGNDFKKYNQKLRQLSINIKHSPKLCRRLMGKELDPPALLTMSPDELKDGLTTAEKTTEPEQSRQLQMTDARCQRCQEKKVGISDIMHAGNNSQYQLECISCGNTSFSCYDDIVWLKVDGPSTAAAGSVAAGTNPRAKAHEKQQRSDQPARATAGPS >Et_1A_008900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17975047:17975731:-1 gene:Et_1A_008900 transcript:Et_1A_008900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVFVAGTGFSVCDNGNCGKGHCAEVPGIIPTYKCECDPGWSQVFDFIPISPCIIPNCTLDNACFNITRPGLLPPGIPVTDICVAVYCGPGGTCKKGSAPFSYTCECQQGFDHVLNITTFPCVKHCEAVN >Et_3B_028385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14533548:14538278:-1 gene:Et_3B_028385 transcript:Et_3B_028385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRARAPGKIILAGEHAVVHGSAAVAAAIDLYTSTSLRLLPAGEGGAADVVELDLKDSGLTFSWPCSRLREVLGEGVKAEAPRPCPPDELAAMAKLLEEHEIPEAKIWLSAGLSAFLFLYTSILGCRPGKVVVASDLPMGAGLGSSAAFCVSMSGALLTAAGAVGVGGGNQGDEWEVFGKDDLELVNRWAFQGEKIIHGKPSGIDNAVSTFGSMIKFKKGDLTNLTSRNPVKMLITDTRVGRNTKALVAGVSERASRHPDAMGSVFHAVNSISEELSSIVELAAEDEVAITSKEEKLAELMEMNQGLLQCMGVSHASIETVLRTSLKYNLVSKLTGAGGGGCVLTLIPTLLSNLVLEKVTAELTTHGFRCFKVEVDWVSKFPEDNAPVSRRCWHDMLMLGDAVVNLRDIIQ >Et_10B_003231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1861334:1863324:1 gene:Et_10B_003231 transcript:Et_10B_003231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDAVLCGLMELLPLSNFSALRKASIQFKDDTDAAEDYSVMQDFASDPNDSYTNEDFYIHGKGHHEVFDDTSAPLGFDTEIKFKVENECSCKAKQLKQPGLSSAQACLFEECDSFSLPPDAQNSLQIDRLEQHSFSETKAEISTSDGDLSMHNDGSLDLTTGLSYSVSLESLDEAIAEEHDKKRAYI >Et_6A_047874.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:22048885:22049763:-1 gene:Et_6A_047874 transcript:Et_6A_047874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQRSQACCLLLALLVSLQLTAGLAAHGSGEVAVYWGRNKDEGTLREACDSGKYTTVIISFLVAFGHGKYTLDLSGHDIAGIGNDIYYCRVNKDMIVLLSIGGPGGEYSLPSSQAAADVADYLWNAFLAGRRAGVHRPFGEGEVTGIDFFIDQGATEHYDELADHLYNYTRDSHGFAVMLTASPRCGFPDQRLKAALDTQLFNRIYVRLYGEDRKCAQTPRESWEKWAAAYPQNDILVGVVASPEADAAAYMSPRDLRARVLQFAEKQPSYGGVMIWNRYYDKKTGYSSRL >Et_2A_015848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18300618:18304693:-1 gene:Et_2A_015848 transcript:Et_2A_015848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKMEEEHAVRHCRQRSELLALAIRHRYTLADAHRAYAESLRSVGAVLHDFLRGVQTLPPPPPEPELRLPQQRKGDGLPAASPPPGPAIASSSSAAGPPVAKQVRISPDDEHIHFHSDDDSGSEDGHIKFHDDDEPVPGQRRPEIIRSAGPPGPRPPQMGPPYGPGYGPPYGPGYGYGYGPGPGPGPEYGGGMGANGGSYEPGYGGMPGGGYGQSYGGMGSSSGPGGYEPGYGGMSSGSGSYDPGYGGMGGYGQSFFNINYARSQPPPPSVAREERLQATDARVHFYSGEGGPQPPPRGYGGGYPYPPQSSNSYNQYGYNGYYGGGSGGGAPPPMDMPSTSREAPAPPPPPSPPRVSTWDFLNPFETYESYYEQPSAAAAPYTPSRSSKDVREEEGIPDLEDEDMEVVKEAYGDEKHPLKGYSGHGKAAKEEGRSSTGDELPRESKSSEASSSGSSLEHDVHVVEKSVVGEQVQRSEPHQHVAGLPAGLEKTYSDDTEVVLEIRTQFERASESAGEVSKMLEVGKMPYYQKTSGFRVSAMMICGIPTMEEEFLRFEEDKAMGSGNISSTLQKLYMWEKKLLEEVKTEEKMRVLYDRKREELKILGEKGAEPDKLEATEIYIRKLSTKISIAIQVVNTISDKISKLRDEELWPQTCELIQGLMRMWSVMLECHQIQLHAISQAKNIDSMINAAKFGDDHMDLIKRLELQLLDWTACFAAWVNAQKSYVRTLNQWLLNGVEYVPEVTDDGIPPFSPGRLGAPPIFIICNNWAASVDRISEKEVVEAMQAFASNVLKLWERHRTEQRQGMMANKSLDRDLRLMERDEQSMRKALEAQNKKLVLISNQSGVSLSAQVLQEGGSGAETGSLQTSLKNIFEAMENFTSASANTYKDLHLRAEEEKVRVAQENGRVP >Et_7A_050521.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:21555939:21556115:1 gene:Et_7A_050521 transcript:Et_7A_050521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGTANTCRHLSGNFHGWCLDESSCTDVCIDENHDNRQGTCADFPPCCYCITNCSP >Et_4B_036640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1085886:1086979:-1 gene:Et_4B_036640 transcript:Et_4B_036640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVTLPSSGTAFPVATTSSPARRCLLLPTPSPRRALRVVAAAAAEAPPKVTPPPTSPSGIVLVDPAEAQKVHRLKTVYEEKVVPLITEEFGYTNVHQVLDPTPHHRKRSHFLCILVSSSVPKIEKIVVNCGLGAEAGNNKGLEAAMKDLASITGQWPVKTRAKKSVASFKIREGNTIGIAVTLRGRIMYNFLDRLINLGLPRTMDFLGVNPNSFDGHGNYSVGLRDQGVFPEIPYEVGGKKNGMDVCIVTTAKTDNEAFKLLTLLGMPFSENKSGPVFRKKRLKRHHFMTKGRGRK >Et_8B_060372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10952508:10955543:1 gene:Et_8B_060372 transcript:Et_8B_060372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHIASPHAFLPPTTVFIKSENANHINIICKHVGNARTEVSPHVLVVDDAMVDRFIASKLLQRANIRVTAVDGPKQALKFLNEENDVKLILTDYCMPEMTGYDLLMEVKESTKLKNLPVVIICMDGGAKEYIVKPIRATDIARILSYI >Et_6B_048676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12563271:12568328:1 gene:Et_6B_048676 transcript:Et_6B_048676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVDVGDKSACLAIQITSPCQQVDDCNCSELTGSQVQLPEDILHRIHALMPMQDAAQADCVSGDFLRSWRSYPKLILCMDSLGIKEDSTKKDEITRDFIGRVEQIMQNHSGMGVKKFSLQTYPCSDLHPSYVHHWLQIAAKPGIEELELLMFGHGDIKYDFPCSFLSSEWQSSVQSFILGHCSFHSAAQIGCMGSLKYLDLRSVHVTGEELYGFLSNACALENMYLSNCKDIIFLKIPHLLQRLNILHVFGCKNLEMIDSSAPNLSTFTYAGRPINISLGDALQVRKVIFRRDYSPDAVYYASTKLPFIAPYLEALVLSTRHENVNTPPLLGRFLHLKYLEIQASAPNFSPDYDFCSLVSFLDASPALETILRIGMPTIRADSIVDASDVDSLHQCCVSERCHDNLKKVMITGFCSAKSMIALSIHIIEKTKPLECLTLDTTRGHDRRFVNIDKCLRLSKDALIEAEKALVAIRRYIEERVPSTLNLKPLTASPACPAPLRDLAAMAELVETLRHQCTACNLDHGDAAAC >Et_4A_032363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31906488:31907049:-1 gene:Et_4A_032363 transcript:Et_4A_032363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAQLRRLREQDHLRRQLWRLLSPQPQEMAHLPLRLRPGGRRRRRQPLRHAGLGTYCFDTARKEWGHVGDWMLPIFGKAQYVSDLGLWLGTSREDYLPCACDLSSALDGDEPELRCK >Et_7B_055292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9007992:9008539:-1 gene:Et_7B_055292 transcript:Et_7B_055292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVRMVGASIGSGDLELCDFLGQEDIIFGIRELSPSQELAIGAVLPAHAPSCVKLGFWRRNSLFEAALSYTAELLNLLLVLNKDSVWTEGSASLYILSIAQYSARNSESILAY >Et_4A_035136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9918034:9923597:1 gene:Et_4A_035136 transcript:Et_4A_035136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQRSASASSSYYHLLSPKSLLLSLAASSLLFSFFSLRHGRLLHLPFASAPLGANVSSEILRAPGAGSRADPASLEVEEAVLGRWVASGGEIIEGNEFAEAGDRSIGDLGPVSAVKEAGAGVGGGERWGKDLVLGSPSLADGRDLSKEILDSSTEMQLHVWNVSSSQEAPASTEKLEGIYSVRPMNFSMEASGPEMGARGELLQGGHVNDRHVSSVHGAYTSQLDGEWESSDQSTDYNCSVEYHVTHFLVHENKARTSQKRTKTLRIDTIDKRSSRWKGADVLVFNTAHWWSDHKTQSGVNFYQEGDHVHPYLDAYTAFQRALTTWASWIDRYINPQRTRVFFRSSSPSHFSGGEWNSGGHCRESTLALNDSHVWPMPGINAMLEKVSKQMKNPVTILNITNLSGLRVDGHPSVYGRKAAGLAAWNGQDCSHWCLPGVPDTWNELLFYHLVSSQEKDREAMERQRSASSSSSYHLLSPKSLLLLSFASSSLLFSFLFALFAIRHGSPLQLPFASSPLGANVSAAIERAPILGDSGSGKEVLGRGSGDLMVGEDRRVVAGYLPDGDMGSAFGVKRAVTGGGIGGGAPASGEVLEGQENAEAGNYSLGVLDSKKEVKEVVYGGGDGEKLATGSPLDKANLAEGKNLSKDVDSAAGTLLNVTNVSASQAAAATEERLEATESDRALNFSMEASGPAKGANDEFLQGGHLERSGSSSAPVLGVDAYEQEAQWETSDNSADYNCSVEYHVTHFLVHEGKARIGQKRTKTLRIDTIDRSSSRWRGADVLVFNTAHWWSHHKTKAGVNYYQEGDHVHRHLDASAAFQKALTTWASWVDRYINPRLTRVFFRSSSPSHFRFWSSTVKSNTSNFIVAAIFSMLNILIGGQWNSGGHCRESTHPLNDTRVRSMPERNVIVEQVTKQMKTPVTILNITNLSALRIDGHPSVYGRNVVDLTASSVQDCSHWCLPGVPDTWNELLFYHLVSSQEKDVTS >Et_2B_022682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30190057:30190418:-1 gene:Et_2B_022682 transcript:Et_2B_022682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNRHFLEQTSRVFSKDDQIVVGCRSGKRSLMAATELSAAGFTAVTDIAGGFSSWRENGLPLNQ >Et_4B_039116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:829865:835086:-1 gene:Et_4B_039116 transcript:Et_4B_039116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFDSEGGSRKSNKPKYSKFTQQELPACKPLLSPGIVISAFLLVGVLFVPIGLASLSASKEIVELIDRYDTSCVPVPDKVGFIQNSETDKTCIRTLTVPKHMKSPIQVYYQIGDFYQNHRRYVKSRSDKQLRYKKASHLTKECEPEGNTADGAPIVPCGLIAWSLFNDTYRISVNKKAVEVNKKDIAWPSDKNNKFGSDVYPSNFQKGNLIGGGKLNQSIPLSEQEDLIVWMRTAALPTFRKLYGRIETDVMENDQLTVVIQNNYNTYSFGGSKALVLSTTSWIGGKNNFIGIAYLTIGGLCLFLAAVFIVLYMVKPRRITWMPDRSPQRMTLQAKGRTVLLRSVASIVYKKKKAAR >Et_7A_052935.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2780890:2781699:-1 gene:Et_7A_052935 transcript:Et_7A_052935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQNQRLNVVPTVTMLGVMKARLIGATRGHALLKKKSDALTVQFRAILKKIVAAKESMGDTMRASSFSLAEAKYVAGDGVRHVVLQSVRAASVRVRSHQENVAGVKLPKFTHFVDPAAASGGPSNASPGLTGLARGGQQVAACRAAHVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRIENTISYIKGELDELEREDFFRLKKIQGYKKREIERQMANAKLFAEEQLAGELALKRGISVASAANMLVAGGEKDDDIIF >Et_1B_012005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2790139:2797943:-1 gene:Et_1B_012005 transcript:Et_1B_012005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSPAADVEAGLLAHLNSAGEVSDSRSFASSLGVSHAELESVIKSLSAFRIVDSTDITKETWVLTEEAKGYAAKGSPEAQLVAAIPPEGATKDVLKAKLGDAFVLGMNTAARNKWIGFEKGNKDLVLRKVESVKDELQEQLKKLQNGEAVHDKVIDDLKRRKLIAKEKSIWYSLKKGPEFVLKRKTLATDVTTEHLRSGDWKDLEFKDYNYGAQGQPITKGYAHPLLEVREEIQSIFIKMGFVEMPTNNFVESSFWNFDALFQPQQHPARDSHDTFFLTAPAATKQLPEEYLEKVKQIHQSGGYGSKGYGYDWKRDEAEKNLLRTHTTAVSTRMLYKLANEKPFAPKRYYSIDRVFRNEAVDRTHLAEFHQIEGLICDYGLTLGDLIGVLEDFFASLGMSKLRFKPAYNPYTEPSMEIFSYHDGLKKWVEVGNSGMFRPEMLLPMGLPEGVNVIAWGLSLERPTMILYGIDNIRDLFGPKVDFNLIKSNPLCRLGLHLMNLVLKDDVEAFSTYLKEEM >Et_1B_010446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10664688:10667245:1 gene:Et_1B_010446 transcript:Et_1B_010446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLPIAYHNSSSSPSWLHKGDNAWQMTSATLVGLQSMPGLVILYGSIVKKKWAINSAFMALYAFAAVWICWVIWAYNMSFGDRLLPFWGKARPALAQSFLVARSELTATTVRYHNGSLEADMIQPFYPAATMVYFQCMFATITIIILAGSLLGRMNIKAWMAFVPLWVTFSYTICAFSLWGGGFLFQWGVIDYSGGYVIHLSSGIAGLTAAYWVGPRSASDKERFPPNNILLVLAGAGLLWLGWTGFNGGDPYSANIDSSMAVLNTHICASTSLLMWTLLDVFFFGKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSIPWYTMMVLHKKWSFMQRIDDTLGVFHTHAVAGFLGGTTTGLFAEPILCSLFLSIPDSKGAFYGGDGGSQFGKQVAGALFVIAWNIVVTSMICILIGLVLPLRISDEQLLIGDDAVHGEEAYAIWAEGENNDTNNHHESRHGGVAVGVTQNV >Et_4B_036465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25778794:25779936:-1 gene:Et_4B_036465 transcript:Et_4B_036465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELLGGAAMDQLKSVNDGNPASLPMLLHPIVSNPSPTSSSSTSSRSSGPGQGQGQQGAAAEQAPLRCPRCNSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKPRPMPTPAAKPAISCKSIGAGPQLGLGMGMGMGGASPMPWATSQQAAAGQLMALLNSARAGVQGGGHGGSNVHRLLGLDTMGHLPLHVLSGAGNAAGAGAPPSLWPQSAPRPLGMGPLGGGHHDMLSSLGLKLPPSSTSPAAASYYSDQLHAVVSNNAGRAAHEYDATSLPCTTAATSLPPAVSSVSAALSCASAGLDLPPASLPTPEMQYWAGPAAMSVAWPDLPTPNGAFP >Et_9B_064766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18429260:18431789:1 gene:Et_9B_064766 transcript:Et_9B_064766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TQPPVTPLFLQVAVGKREYNGNIEQDDLSFPVTSLRENMVIMLYNADRELISKTELKTKTIVESGSTDVVLTLDSGEVILQLKFLLSDNDRKRIQEMRNSAMKRKQQEPLGDGHELSFPDSPLSKRLIEKISNIESKGDQDQTKMQKSISLDDLQDRETFSGINVDPPMKDIETFSGINVDAPMKASRDLLLQGGGGKIEDPNGYKKGHGKPESRSSSAVKKMISAIESTSSQGILSSETNTSLIASDRKETSKAMVPLLDKGSNDRSSFADTQKLCVSESSNGKSGKHVSFEQKPVQTGVPPNAYESRSRRPSSRDGASKQKVREHELSQGKGRSQAKHRRHIGPFSLEQLHPMSASRSYLQYPLSYLVATSSTSLHPHVCVTSASRELKHLLELEHLSSLKNIKPADEKSLRVRKEIAEV >Et_4A_032729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13089414:13098877:1 gene:Et_4A_032729 transcript:Et_4A_032729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMGQELNLELSLLYRSAPEHEQAGFFVCTYCDRKFYSSQALGGHQNAHKYERTLAKRRREIAAAMRKHGASAVRACVDDAFRPGVARLAVDGEPTARSLPLALGGHQNAHKYERTVAKRRREIAAAMRAHGSRAGAGLGRHSSSEYGVERADELD >Et_3A_025356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29097456:29100069:-1 gene:Et_3A_025356 transcript:Et_3A_025356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLKLYSSSSSSRNGSMERPARTSSTGSNKTGRTARTAESPTGLSPKVDRRMAMSAEREKRRPPTKLSELESQLSQLQDELKKAKEQLHSTELSKRRALQEAEEARAQAAAASLQLSSAEDARLHELRRLSQERDRSWQSELEALQKQHAADSAALAAAMGEVHRLRVQLAAAARADRRQDVVEALATVDELRVKLKASEEAEAEARAMHEECKQQLEASRATIDSLLTDGSKLMDSFSLVVKELEESRARVKTLEEEIAETSAKAGDSERCNCSDSEAAELRSELEAAEARFQEEKILSTVETQCAYELMDQLKTESDLRHRKLATALESAKSEVIFLKARLFDKESELQRALEASKKLQADTRSTDITADELKEQLQGALQENGQLKLELQQYESEKGSARTPEADAAEAAKKGEMETELRRLRVQAEQWRKAAETAMALLTVGKGGNGKVVERTESWEGGKYAGLCEEFVDDDAAARKNGNVLKRISGMWKK >Et_2B_021381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29165904:29166862:-1 gene:Et_2B_021381 transcript:Et_2B_021381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPSCMEEEDLGAWLGLGIGGGGCALKKGRGDHKQAVMTEKGTRKKLKIIDDSRSSRGPRPSEEEDDSSTKKKLRLTKEQSTLLEDTFHAHNILSHAQKHELARQVNLTPRQVEVWFQNRRARTKLKQTEVDCELLKRCCESLTDENQRLKLELMELQQSSSAAAGLYVQFPRAAAGAVSICPSCEKITMTSGGDTSKSSSYSS >Et_5B_045508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3328027:3330509:1 gene:Et_5B_045508 transcript:Et_5B_045508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDELLRRSLVALAAAVVVTVLVTASLRKAAATYAFGILAIAGVLLPDWEFFDRDFSQWLTPMPASRRTAAAAAAEREHDVWKFKPYPLRMVMLTTIYGFGLYKWWMYVSS >Et_9B_063888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19566876:19567436:-1 gene:Et_9B_063888 transcript:Et_9B_063888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGREPAADAQCRGGGAAVVVAVAPRRGRCFFDVDDEPPTTTSAASGRRRCRSCAAVAIADCVALGCCPCAVVSLLGLALVKVPLVVGRRCVGRLRRRHRLLLHNKRVRDVAATRIHSEKGGVKAEAVAPGAAASVAVASAPAPGTEAELAWLEEMYRVGHWGFGRVSFSGKTTP >Et_2A_018735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6291628:6293229:1 gene:Et_2A_018735 transcript:Et_2A_018735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVVQHPGGRVERLYWATSAAEVMCANPGHYVALVTHRDADGAHKKQQKPQAEQQQQHRAPRVTRVKLLKPRDTLLLGEAYRLITVAEVSKALQAKKEDKARRAQHQLPQANSKLQAGSGDDSQLDDGLDQDKDHNRSNPSTHSGARHRQWRPSLHSIAEVSS >Et_10A_001832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8966000:8967635:1 gene:Et_10A_001832 transcript:Et_10A_001832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGGDEAPKPTEKPAPVQKTTPPSSAEKLKDIPAGIESSKANNYMRAEGQNCGNFLTDRPSTKVQAAPGGGSSLDYLFSGSKDGKISFR >Et_4A_032791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13957525:13961082:-1 gene:Et_4A_032791 transcript:Et_4A_032791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAKGEEKPAGGAEDWCYQFGNKIEVAQQPCNKSFVPPSEVQFVHYPQPITYSRDECMCNPVRFFAIISSQRSGSGWFETLLNSHINVSSNGEIFSTKERRSNISSIIKTLDKVYNLDWNSSASKNECTAATGFKWMLNQGLVANHADIVDYFNRRGVSAIFLFRRNLLRQLVSQLANNHDRYLKQLNGTHKAHVHTRDEANILAKYKPRLNTTSLIWKLKQADEYTRDALQNLKSIRHITIYYEDLISNRTKLVDVLDFLKVPRRKLASRHVKIHTKPLSEQIENWDEVYSALNGTEYESFLNADYRI >Et_3B_028309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13115329:13116375:-1 gene:Et_3B_028309 transcript:Et_3B_028309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWLELSLVTQFFSTCMNHLRSSRNECNLFCIDCQEQPIAFCYYCKSRHHSTHRIRRSSYHNVVRVSEVKEILDISNVQPYVINGAKVVFLNERPQVCGCGASIGKTLSSSSSHKCETCCRGLFDEFRFCSLGCDLRGMGKDMEMLNLCDNGPNHQAETEGVTGNGNTSSNAETRTKTLVTITRKNHQQKGLLVVIVEREFLNVHHSTESKLMLTINVSMNWANVCEGW >Et_7A_051091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14368042:14370226:1 gene:Et_7A_051091 transcript:Et_7A_051091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQPASGVCSADRLSALSDGVLHIILSRLGAQQMAQTSALSRRWRHLWRAAPCVSIDHREFRARPNKPRHDSSYSMQSRNRREVAEWCRLEDAVDRVLMASSETLDAFPLRVAHEDYGVAHRWIRRGLERLPAAFHLRCDAPFNPRCHGDPYFFYNDEDDDDSPCFPDLTMYPGAGAYMCRLRTLSLFGLRLSTDFADTLVAEEFKALEELQLEECRYYFSELASRSLTKLSMNRCGMCQDSVGYALHLATPRLTSLRIRGKSPPVTMDGEMPSLAEASLRHPAGELGVLRSLRDATTLSLVKFSTTEFLDDGEGFSESPVFRNLRTLHLDECDVGVECQVLRLFLLNAPKLERLALRYCALVGGSRSKKRKYGSEETFSSHRPGPTAYECENLKSVELRFYEDQDVSELDDALGDISREAVLPIESSVRSGRQKNRYIITTKEQPSVEGHQQRH >Et_7B_056036.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:9977401:9978426:1 gene:Et_7B_056036 transcript:Et_7B_056036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRASHSVLLLLLLSFQLIITTSLCQFPPSDRDLAALIKIREQFGDPPDVFAGWRPGTTCSFSWWPASCNGQGRVTGLFLSNLLTINSTLPPAIAELEMLDTLSITNMPGLHGPIPDSYGNLRHLAVFNIMVTNVSGSIPASLSRTNLTSVAFFRNRLTGTIPRSLERLPHLSYFNAAHNDLVGPIPPRLARSAPDMPFGLTLDGNRLSGPIPWTYAFERNMLVFSVANNRLTGDASFLFGRHKTVSGTIDLSGNRLRFNLTGLEMPRQLLFLNLSHNRIYGGVPASLRETKVAVLDLSYNELCGEIPTGGHMAQFKAAAYEHNKCLCGTPLPPCANGP >Et_1B_014122.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31490306:31491880:-1 gene:Et_1B_014122 transcript:Et_1B_014122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPPAPPSNAGQEAATPAPTPSPSRKLPDFQQSVRLKYVKLGYHHLITHGMYLLLTPLMVPVAVHLSTLSPRDVADLWAHLRLNLVSVLACSTLLVFLATAYFFTRPRPVYLVDFACYKPGPERRCSRETFMRCSRLNGSFTDASLEFQRKILEKSGLGEDTYLPPAVTRVPPNPSMDAARAEAREVMFGAVDELLAKTGVKPKDIGVLVVNCSLFNPTPSLSAMIVNHYKMRGNVVSFNLGGMGCSAGLLSVALAKDLLQVHPGSYALVVSTENITLNWYAGNDRSKLVSNCLFRMGGAAVLLSNRRGDRRRAKYELVHTVRTHRGADDRCFGCVTQEEDGEGKVGVSLSRDLMAVAGEALKTNITTLGPLVLPLSEQLLFLWTLVSKKVFKRKGVKPYIPDFKLAFEHFCIHAGGRAVLDELESNLSLTDWHMEPSRMTLHRFGNTSSSSLWYELAYSEAKGRIRRRDRVWQIAFGSGFKCNSAVWRALRSVNPAEETNPWMDEIDRFPVEVPKVSKLSSS >Et_8B_059631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2086292:2089768:-1 gene:Et_8B_059631 transcript:Et_8B_059631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADFDMPPPGAEDMMGDDEMGDFGGDDGPVLKVGEEKEVGKQGLKKKLLKEGEGWETPEVGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFKLGQGQVIKGWDQGIKTMKKGENAVFTIPPELAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGIFKKILKEGEKWENPKDPDEVLVKYEARLEDGTVVSKSEGVEFTVKEGYFCPALAKAVKTMKKAEKVLLTVKPQYGFGEKGRPAAGEEGAVPPNSTLLIDLELISWKTVTEIGDDKKILKKVLKEGEGYERPNEGAVVNVKITGKLQDGTVFSKKGDDEKPFEFKTDEEEVIDGLDRAVLNMKKGEVALVTIPPEYAFGSTESKQDLAVVPPNSTVVYEVELVSFVKDKESWDLNNEEKIEAAGKKKEEGNALFKLGKYARASKRYEKAAKYIEYDSSFSEDEKKQSKQLKISCNLNNAACKLKLKDYKQAEKLCTKVLELDSRNVKALYRRVQAYIQLADLELAEADIKKALEIDPDNRDVKLEYKTLKEKIKEFNKKDAKFYSNMFAKMTK >Et_9B_064700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17830332:17834901:1 gene:Et_9B_064700 transcript:Et_9B_064700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTSWSVDGYLNEHFDIPAKNPPSEARLRWRRAVGLVRNHRRRFGMFTPLHALDDSQRRKILGKVQVVINVHKAALHFIDGVRRFHLSPEHIQEGFCIGPDELATLTSTREDLTIFKTHGGVSGISRKIKASLEDGIRETEITMRQKLYGINKHAEKPPRSFWMFVWDALHDLTLIILMVCAMVSLVVGLVTEGWPKGTYDGVGIILSILLVVLVTASSDYKQSRKFMELDREKQKIYILVTRDKKTKKVLIHDLVIGDILHLSIGDVVPADGLFISGYSLVIDESSLSGESEPVRVSEEKPFIHAGSKVVDGTAKMLVTAVGARTEWGKIMDTLNDDGVDETPLQVKLNGVATIIGQIGLVFAILTFLVLLVRFLVDKGIHVGLLNWSANDALIIVNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLAACETMGSASCICTDKTGTLTTNHMIVDKVWISDISKPVNGDANINEMRNATSEGVMSILVQGIFVNTGSEVLMGDDGKKTILGTPTEAALLEFGFSLQGDLYVECNKLSRVRVEPFNSVKKKMSVLTQLSNGGLRSFCKGASEIILEQCDTVLDSEGNVTPLSEMHKQNVLNTINSFASKALRTLCIAFKDLNEISDDQTIPEDGYTLIALFGIKDPVRPGVKNAVMTCLAAGIKVRMVTGDNINTAKAIAKECGILTEDGIAIEGRELHDKSTDELKEILPKLQVMARSLPMDKYKLVTSLKSMYQEVVAVTGDGTNDAPALFESDIGLAMGIAGTEVAKESADVVIMDDNFSTILNVARWGRAVYLNIQKFVQFQLTVNIVALIVNFVSACIVGMPTYYLSTIIALAHTRSNQNDFISTLNKLVGTAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVRRGDSFITRVMWRNILGQGLYQLLVLGILIFAGKRLLDIEGPDADRTMSTLIFNSFVFCQIFNEVNSREMDKVNVFRGIFKNWIFVTILTATVIFQVIIVEFLGTFANTVPLSWELWLLSVALGSISMIIAVILKCIPVEAKKTDIKPHGYEPIPEDPEAL >Et_6B_048822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14027926:14030433:-1 gene:Et_6B_048822 transcript:Et_6B_048822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIEEKAKMESLTSPAAFVEGGIQDACDDACSICLEDFCDSDPSALTVCKHGFHLQCILEWCQRSSQCPIQELLEAVERERNIRTNQTRNTTIFHHPALGDFELQHLPVAGNDAELEERILQHLAAAAAMGRAHHLGRREGHRGRSGSHNRPQFLVFSAHPSSPSAGAVSSSSAHGGGDNDSNPATPRSGVSSPRANFSGVTGNESPGMLTYDAEQDAVVSSGNSTPVSSPRFFNRYKESITKSTRGWKERLFSRNSSVADLGSEVRREVNAGIASVSRMMERLETRGNSRTGDSPTTSAAEVGPSTGSNNEESSESSSTATTTSASNASTPCVTTSGSN >Et_2A_018437.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28165962:28166705:-1 gene:Et_2A_018437 transcript:Et_2A_018437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADWSSLPADLVNSIADRVLATDDIGYYIDLGAVCRTWRASTTDPKSNPADPRFHPRRWVALDEVHDSDESRLFVNLATGRFVRKDMPMLRRYYLVAGAAGGLLVLADRSAPHAAHVLNPFTGGLIRFKAQVPDEMKVVPHVVGASPPTLVLVCADSHTVYFADADDERFCVPSHDGNIFSDALVWMSLECCIYATARENEGSFKSRILPAFVDAMVLAGKMLDHLSDEETQAATNGCNECWLCFF >Et_5A_042390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9479854:9480474:-1 gene:Et_5A_042390 transcript:Et_5A_042390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IFAYAANFPIQKFLQAQSIVAPSTYSAVATLGDVDRVHGAGLLRARWILQALCGVHRRHVP >Et_9B_064231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1364730:1366776:-1 gene:Et_9B_064231 transcript:Et_9B_064231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYATARCRSSSGFVLKFIFTQKNPVLCRRVLSYLFMRVSSALLELLVSRQPDPGEPGFWREFLVGMLKPLAATAVVAMAVALSFTQRLGLEGEMLYAIARAFLQLSVIGFVLQFIFTQKSALWILLAYLFMVTVAGYTAGQRAKQVPRGKYIACVSILVGTAITMFLLVVLNVFPFTPRYIIPVAGMMVGNAMTVTGVTMKKLREDVKIQRNIVETALALGATPRQATLQQVKRSLVISLSPVIDNAKTVGLIALPGAMTGLIMGGASPLEAIQLQIVVMNMLMGASTVSSILSTYLCWPAFFTKAFQLNDKVFAD >Et_3A_023773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12057169:12074064:-1 gene:Et_3A_023773 transcript:Et_3A_023773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLRVEELRAELQRRGLDDSGNKPALVRRLDAAIRKEEKAAVAAASVAAADGDDVVMDGEENGGNKRKRKRTGDGEEEGNGDASSEAAKLEGMGYRELQGLAKARGIAANGGKKELLERLLSAPVNAVAVVDGGIQDKKKPIKGGGERVEEEAKKEKMVTATKKGAAVLDQHIPDHVKMTYHVLQVGGDIYDATLNQTNVGNNNNKFYILQVLESDGGGSFMVYNRWGRVGARGQDKLHGPFTTRDQAIYEFEGKFQDKTNNLWSDRKKFKCYAKKYTWLEMDYGETNKESNNTMSNGSIADQIKETKLETRIAQFISLICNISMMKQQMMEIGYNADKLPLGKLSKSTILKGYDVLKRISNVISRADKTQLEQLTGEFYTVIPHDFGFRKMREFIIDTPQKLKAKLEMVEALGEIEIATKLLEDDSSDQDDPLYARYKQLRCDFVPIEVDSEEYSMIKTYLTNTHGKTHSGYTVDIVQIFKVSRQDETERFQKFANSGNRMLLWHGSRLSNWAGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYASGSSRSGVLLLCEVALGDMNELLNADYNADNLPKGKLSTKGVGQTAPDLAKSKVTKDGVIVPLGKPKEEPSRRGSLLYNEYIVYNVDQIRMRYVLHAQRLDAATQKDKDAVAATATTAADGAVGANKSKSNGACDGKDGGNGDASLDSAKLKAMCYRELQGLAKARGLAAKGSKKELLKRLLPAPASAVTGADGGAQDNKGAAKGSDGMVADNAKKEKIVTATNKGAAVLDPYISDDIKRTFHVLQVGDEIYDATLNQSNVGGNNNKFYIIQVLEPDAGGSYEVYSRWGRVGTRGTGKLHGPFATRELALHEFMLTSEEKTGNYWFARKKFILYAKKYAWLEMDYGAAYKETNKTKKESSITDLIRKTKLETQTAQFISLICNISMMKQQMVEIGEFTIDTPRRLKAKLDMVEALGEIEIATSFWRMIQAIRMIHYMHDTSNFVIKTYLTNTHGKTHSGYTVEIMQVFKVSRHGETERFQKFADAGNKMLLWHGSRLSNWAGILSQGLRIAPPEAPSTGYMFGKGVYFADMFSKSANYCHASGSSKSGVLLLCEVALGDMNELLHGDHNADNLPKGKLSTKGVGQTAPDSAESKITEDGVVVPLGKPKEEPSKRGYLLYNEYIVYNVDQIRMRYVVHVSFKSKTR >Et_8B_060771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6812234:6821476:1 gene:Et_8B_060771 transcript:Et_8B_060771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGIEAARWVVSKALGPASGGVLEAWAASSELGHNIRELRMELLYAHGVLNNARAQEIQNPALTELLQELRGLGYMADDVMDELEYFRIQDELHGTYHAADEHGGGCLRNQALNARHTARAIAKRLVGFSKSSSSPAARDDDDQEEEDATQSRAVLCGAFRPCGGRAASSTNASPSNQEQEDGCMTRLSSCVRNTISTPPGKHIPAAPPQREHAIPKLKFDRVDMSRRMKEIVEQLKPVCAKVSTILNLELLDSNRNTAQAIAASLNAKTNGHTSLLTNSNRSRPVTTSEPVEPKLYGREVIKSNINRAITEGEDCDKDLTILPIVGPGGIGKTTLAQYIYKELQNHFDVKLWVCVSVNFNVYRLTQEIADSLNTNGQKGSPDKLVEEGLKSKKFLLVLDDMWNCSDEDEWKRFLVPFRKGPTKGSVILVTTRFPALAQIVKTTSQWIDLAGLDDETFKELFFAYVFGDQSRNDNIALIATGDEIMKKLKGSPLAAKTVGRLLRNNLDLDHWTRKITGLGVVEQKEKTTPSMNRRGFSHWMTRLGSLAGRLVPWSLDGQFPIEVQDNTREEEEMKIAQAEDGLLFLPPQLQALIIDNCLELSLRPDHSAGGLQGLHSLRSLQIVACPMFFSLYLASSASSCSPFPTSLQELYISGLSLTVEGKTASLSNLASLTELDIRKCEGCEGFWRHLPRGCLTRFTVLSTSSFFLVDPPGLPSQLQQDHDGLPHPFWLLHSLNTNDVTGLFVAPICSLLSSSLTKLELYDDRPGIESFTKKQEEALQLLTSLQDLRFCSLNKLQCLPAGMHGLPRLKTLFILDCQAIRTLPVDGFPNSLQELVINGWPATVLLPKGALPNSLQTLEIRFCKAIRSLPKDGLPNSLQELVICFCPAIRTLPKGGLPSSLRRLNVQNCGNEELKRHCRKLIGTIPIVEGSCSTFHAGFFFNHNEIVSSLAASFARHVMSAFIRT >Et_1B_010951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15880920:15882667:1 gene:Et_1B_010951 transcript:Et_1B_010951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPSPTDPASQPPLLQLPGPEPAPPVAPPLPELRPRPNPTVVDHVRGLLRSGEAFIRGVFGGNNSGHARPHPPQQHQHHHHLHLHQQQQHPHHHRAADIMKRLQRETFPDVMKLLDKHEQIERILSLYKAGKGFQFPDFPIRVKIALDAVGALFLTDGDEFNKAKGVLDKAGYHTGLSSRFVFESKTRGKDTISAELATRLEAGVHHLGEAVGRPVELTRLQYCARINKKLTMILVPFGAQCNNFLRDPRTLQSQATFHGPPSFFDHHNCGAGLRLKGSRFTASFAELLFGSGAQDSEGTNRVTTFGQVSCEPAKDVKLSLSGLWQVCSPSSRLNNLGILAVPVGSLKPAGKTEVGMDQSVNVQRPAGVASKHGGASAPSVAVMVDCEMYETIKAEGWFEMERSRHRPVRCGFSLSDTPENKLGWGVRMGGTAEGEGHQLHHQHLEGFLNLCFGKGSLQPGLVYAMEGDKWTPALFLRSSWFM >Et_9B_065926.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:1797074:1798600:-1 gene:Et_9B_065926 transcript:Et_9B_065926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHGDDITVHVDWLARRLTQQQEDAAATEQQRAMVSSHRVGRVPAHLRLARRDAYTPGLVAIGPLHAGDSERRLRPGHRLKLAYLHSLVSRGHPDPARHGEVIQEYVRLVAAREREARAMYAAEDVEELGPEELVQMLVLDGCFVIEHLVNVAVGREEPSLHATPFGATQLSVDLVLAENQMPFFVLLDLIKKTELPVPEFAAYDKPTLLMKLALYHLAGEKGRDMSGALPIGADGVSHILHLLHATVTAARTRWLPPPPGVQDVVGTAQEAARLLRRLPLLLLVPLLYPILPEERRWSASYGREDLPSATDLKRMWVRFKRARGKSSSSAAGNSNGIASVLGPVPLAVALEHEDCLRLPRLRVEFRTAPLLLNLMAFEQQSEQPAGDVSAYAWLMAKLVQSPEDAGVLVAAEVVQGSAAGSEGKDDVARLFREVGAASEAAEQMERSYLGETLAKLRERSRHPLFMMWADVQRNYFTVPWAVVAEFVAFVTFISTILQTYGSFKH >Et_9B_063999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:116261:117481:-1 gene:Et_9B_063999 transcript:Et_9B_063999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMSEVVRMNPSREEETSYANNSSFQRAIASVTKKARQEMAAALYRERGRPASMAIALRHGAQRAAHGHGRRRGRDGGVLLLQFFFFFFFFFFFFFTLLVFLNDLPGNDFNAVFRLLPTSTLAASGRCFVSAWPGSFYGRIFPEASLDYVVSSSKMRPGGLLLLTLVARRTDRPTAHDCYLWDLLGDALMDIVDDEEQVHAFNAPYYSPSPNDLLHAIHNEGSFATTRRHLYTTKDKNKDDDDLTERLAVKTARTVRAVVEPMMRTHFGWGAMDDLFCRYRILLEAYYRTKDTQNKDDVTNVFLALEKKTHT >Et_4A_033800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2771210:2776776:1 gene:Et_4A_033800 transcript:Et_4A_033800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SIHPHRRRRLGHLVAARCRPLATPDSDAQALPPARAYFAARAPLVPGQERHDGLADARGPLADIVGALLARRGFYMEELEGVTRRDEAPAGADAGAPEEAAGDGFQLVAHGKKKKKAGGQEGWSSTGSDAVRSSGSARALTKDKAATPGMKAKVLFHDPSIPRPQEVYKIIVDNYKPFKHVWLEHSEDGTRPVHPLEKLPVEQFIDRIVSESEPIKPADLEDTPFTLVEDLQGLKELSKKLKSVTEFAVDLEHNQYRSFQELTCLMQISTRTEDFIVDTLKLRIYIGLYLQEHFKDPTKRKVMHGADRDIMWLQRDFHIYVCNLFDTGQASRVLQMERNSLEHLLHHFCGVTAKKEYQSADWRARPLPDEMIKYAREDTHYLLYIYDLMRQRLQRESTSENDLLLEVYKRSNEICLQFYEKDVLTDRSYLHIYGLQEHELNAKQLAVVAALHNWRDGIARQEDESTGYILPNKALLEIAKQTPTDAGHLKRIVKSKYPFVESHVNDIVYTIKKALEYSHLYEGIPEQLKKERLEQSASRYVKASDEMAPLDAGRPADRSSLASPSSADVNVASGGGAGIMSEAALFGSLHLEDKTQTISSSETKTSQTLAGMIRPMTKEFLSNNRYQQELKRPTLGSLAGNSAPGGLSERLGGFSNEQGGSNVENLNASALPFQHFSGGMLHSANSVPEESLYPIPGMHSDDFWIQSTQMGEMMQLGNTAYYPQFAGYSEVESHYEPESMQMSGYLPGFEPGFESINQRGTGTGQPPGSIKETSFQNPMRRQSFPPSSNRYD >Et_7A_051534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2088901:2089480:1 gene:Et_7A_051534 transcript:Et_7A_051534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLQKFRGVRQRHWGSWVSEIRHPLLYTPSPFDHTRDVQKQLCTHLVRTLLLQKTRIWLGTYETAEDAARAYDEAARLMSGPAARTNFPSSTGGSGAGVLSPTLRAKLEKCCTASSAPVQDGANASRATAERDHGLDDDDEARGGDGEEYIEEMIKELTHYGTFEIKTPAACSSSVI >Et_2A_016081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20973094:20978834:1 gene:Et_2A_016081 transcript:Et_2A_016081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPIVVIRVPEEHGPVHLCAGKVERTVLLSQRLIHVPGEPQLLLELEDVVDQSALLQRQVQELVHHPDTVLLRLGRPRLEQRAIGVRRDQRERGHRVEAVRVLCVERHGPAVRDGEAERAPDASLVDHEVALQRADGKRRVPEHELEDVAAVGVDGDAEVVGEFADAVAEVVEEAERHAAVVELRERHAAMCGEHEELADGAHPRREELLVEAALFLQERAHERREREVRDGVHEGQVEQERVARGGARHAAAAGEAELAVQLAGLGEGDPVRGREGGQERARAGEEAEGGIPVEDGVEGRHVAGGLRLDGRDARAVELLQELLAPLAGEERQERGRHCRSSGRGGGCGCGSWGGKKEHSGGGEDAARHWAAAAASCGRVGLVGFRSGLIKTRTKVKDTVTVGSSRPLHLPPRLLPSPSSSSRRIPLRSSFILSQTPNPRRPPPPPGMGTEVAAVVDLRALSQSDLAALASASPYAVDPRLGRRRDADFLPPPKIDRSVFNESAGSRKQTFSRHRAATNISHNLTPAAASSSATASAHTEEDSENRLIVFHLQSLFARDDPSYPPPPPIQPRPQTLTTPAIAAPAPPAPSLPQAADPDREVINPKGAAVDLARLAELVDPYGEELQKRTAGLGSEPELLGFMNSLEGQWGSRRRRRKFVDASMFGDHLPRGWKLLLGLKRKERVAWINCRRYVSPKGHQFATCKEVSSYLISVLGYPEANQTATHSNSASVHGLNSVNQANPAEEKQITIPVSSVALLSHSGDSHQQKLQKDESRMGVSAKECRKCNLTFDDQGAYMQHQLSFHQRKAKRRRVSKSDELGASVDGKVQTRECEKTSEEGPGNFDHSVPDVRDQGQSTTNLFDGAFSGELGGKPSMIAAQCVLQQMPALPQQEKEPSAPEMTDLPVLEKGTLNRKRNHNGALMEMTDLPVLEKGPAAGESVSGHHKEPPKMMTSFPEQQNKPVAGDRVFEHHQDPVINSSDCKINNGASHNAGASLAVDEESKFSTCNIATIHESYNPKYLQHPSKECSQMFNRTDETCSIPKGVSPTSYGPDESKCTDNSVGYNDTTQPKQVGSSPKENNFDNLMENKPLSISLDERDLNSVDMEVHDASVVCKVVNPPSIENPAVDKSGIRIRDVNLNSCLDTISSPVSGGNYDTSNTTDDATRSSIIAQCFGTNSNDDNACKDGNLANQNKSSKGENFVNQKNDMVYQSNLTMGHIPPAQINVDFFTSELKNYGNRSEDNAKEALINSRNMTGNETGFDVEAYNNDIFNGTITESSLAQLNNAINMKNDFASCYSLSDLNTLTGGTATDEIDIHGMRNSYVGSTSRDEPNGHCTLDIDIKGSMLEALEKSDSDLENQYSGAGPSCDSLPTAGPSGNMDDFMSMQTNFGSFTSLVRAVEDVPLSRIIQDQCDLQLGFGGPKQPMYPSFEQQLRMASAGAPPYGGMGRHDSVPVPEPTLMLGYAPQLGSCPPFQLGWGASLSKMGAGCVLQSVCVWCNSHFQHFSTVAEQQQGDSLGYICPSCKGKFSGHLGINGPSI >Et_1B_013767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15873566:15875081:1 gene:Et_1B_013767 transcript:Et_1B_013767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRAVSHNAVLLMAAVLLLRRSCCTDASAAPPHTSPPAPNQTRAPALFVFGDSIVDPGNNNVITTLIRCNFPPYGQDFPGHNATGRFSNGKVPGDILASRMGIKEYVPPYLGTELSDFDLLTGVSFASGASGYDPLTAEIMAVLTMDNQLDLFKEYKAKLERVAGARRAAEIVSTSMYLVITGTDDLANTYFTTPFRRNYDLESYIEFVVLCASDFIKKLYELGARKVNIAGAPPIGCVPSQRTNAGGLERECVSLYNQAAVVYNAALEKEIKRLNGSEALPGSVLKYIDLYTPLLDMIQRPEAYGFDVTNRGCCGTGVFEVTLTCNQYTAHACKDPNKFLFWDTYHLTERGYNLLLTQIINRYGLQ >Et_4B_037096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14582236:14591090:-1 gene:Et_4B_037096 transcript:Et_4B_037096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLKKMNSLDRGRFLSPPDDRCDPLERNESKCYKLDQRSSITLTTAARNEVPPYGCLFTTVLCIITGGCLGGRTVTHDLRLLGAHVDDGLAHGLPVRLQRLQARAEAAHVVVLRRPAAAAVAAVAAHRRASAVTGALGHGERRRAVDGVDGVDPVAAGAAAVVVVVVREEVAVVVAEVEAAAVVGVGARVVVLAREEGGGARRDADDGRGGGGRGGGRGRGAALEEVDAVGEAVQLVARRLLLLLRVQRVGLGRRGRVVDAAPQRRVASSTGRRRGGRRRGRAQRRAGSPLPRGGRPRRRVVVVAGRLLLLLLDGALQRGVVLGERVLERGELGGVVGHLLLGRRNWRASIMASAFSRCRRRIIVMASLAAPAAAPRSASSSACTSASAACCRVSASRSAAASSPISTRTTAGGASGGGLRFSGGLARAENTGEVEAVAAWVGWPQSGHSGGGGSPASAMAGDGTRASAAGEGGARAPRGIEMGPREGEGGAGEVNFGISPPVSDGWLLFLEGDSFWSAWLEDLKLCHWVITGSIILLILHTESIIKGQQGQNQCGISHPFLWRHPPQLLALGTERLACDGDTPVLYTSRFQTNWWTFQGAESNQRKRPPRQRQSHSSGVAKTKYFNRGYELKDEQEREKGIWGRTEPFGHWAMMLSLSVATVDAAGYRLGPMSVPSGSRNLISNCSWTADISGKEPTA >Et_4B_038229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27308076:27314825:-1 gene:Et_4B_038229 transcript:Et_4B_038229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALSASTSTVNWLVEDDILLKNAVETGASLESLAKGAVCFSRKFTLQEIQDRWNSLLYDPEVSTQASSRMAEYENELSTSDPAKAHKLFNSKAKDFAFQKRKIDSIKNLYYAMRKRVRNDPCNSADLGFLVSPCSCIAIGGECVCGGIPKISEGHHIINNIEPGLSSVRHYGQVGAGYNAGHVYPEMNGHSFNTKHTESFSRDGDPTNIVPYGYSDVGQIFEHHAYGAKNHGSNEGDNASLKGITDFHDPMQFQQLDSNHCGVAESKTLVIPNQVGVDHVHFNSNVQEPIPLHVTDQPEGSQAPGGVIWSGVQARDALTFSADKKIKSENRESLAFEGSLDGGICSSDLEHTELPDNDFIDFPFFNNGEEFDMLNGEDFLNSPNEANQEDLDELESKVIPGVRASMQTLTHPSETNVSCDPINPGHVKENFADVSGIILVPASLEVPCPGRVFMCMLNTEDPDIPCNDDDVTPGEYPQCSTSAFGQNSENTIRSASPATSPPSNPEHSNAKQKEGPMALNIGSVQGAKPSESASTTGVLVTSNIDTTDANTSMLALPSFGAAGFSEGSPCSLNQHESFDNSHDLSLQNSVQVPDQMQYNPHDNRHELGNEAVLPNCMPSNAQSDLGIEDHVATVPPPAQAEEFSDNENDVPNYYDLEALILDQDLIPWDQDSDFMHPEVTRFQHPESRKSLMRLEQGARSYLNRAIMSRGAFAVIYGLHLKYFIKDPEVTLGRETEDIKVDIDLGKEGRANKISRRQAVIKMDETGSFHIKNIGKYPIFVNSKEIPSCKRINLSSDSLIEIKDMRFIFHVNQDAVKQYIARDLKPEP >Et_4B_039917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5860063:5862409:-1 gene:Et_4B_039917 transcript:Et_4B_039917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPAAMRSVFRARSDRLLFSAFNMVQGGGGSRSLLPRRCASSLPQLESVDRSDEDIDWDNLGFGLTPTDYMYVMRCSVTEDGGGAFSRGELSRYGNIELSPSSGVLNYGQGLFEGMKAYRRPDRGGYTLFRPEENARRMRHGADRMCMPAPSVEQFVHAVKQTVLANRRWVPPQGKGALYIRPLLIGSGPILGLAPAPEYTFLIYAAPVGNYFKEGLAPINLVVEDGVHRAMPGGTGGVKTITNYGPVLKAQMDAKSKGFTDVLYLDAVHKRYLEEVSSCNVFVVKGDAIATPSTRGTILPGITRKSVMELAADRGYKQLNRATSTHLDEQVEERLVTVDDLINADEVFCTGTAVVVAPVATVTVDGQRYQFRTGPGTVSQELYTALTSIQMGLAEDSKGWTVAVD >Et_9A_061299.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:9573152:9573271:-1 gene:Et_9A_061299 transcript:Et_9A_061299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FRYIKHIATSEKERSTGRFGRNNTEIFETGPEQLQNSPV >Et_2A_015455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13182630:13183516:-1 gene:Et_2A_015455 transcript:Et_2A_015455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRDIGDLNFLQAIDLFMCTNISQIPNSTLKLRKLRFLNFCGTNVTSVPRGFEKLEDLVVMRGFPTCSDDSTDGWCSLKDLGTLSKLIYLDILYLEKASSGVMAARAMLSSKHYLKELLLEFRSRLGVNCEVEVNISEEENKRIEEVLANLCPPTCIEELCTSVSIRGYFARGLPQWMGTMSAFGSLRGLMLRDYACCPQLPNGLGQLPFFFIVFGRAPSVQCIRRDFLIPCSGGEGDGKRRGTIRTAGCSEAASLNFACHWCCISQADNIGFSSYARMERVGLGGASPGDA >Et_8B_058517.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:11645337:11645609:1 gene:Et_8B_058517 transcript:Et_8B_058517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLFLWLFIFASVAAALLAAHGVAAGAAASSAGSKLMPALHAVRRVEEDVMSSFVEGKEEAAYPSRRALYDGGYISYDIKKNQFLPLNF >Et_1B_014114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31244622:31246692:-1 gene:Et_1B_014114 transcript:Et_1B_014114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFSAYGDGGCLVSAPSEFAGLFCRGVQQRKRTLVAASAVAAAAAAECVRVSKKQRQLPQPSLDALPDACLFEILRRVPGGRERGASACVSRRWLALLGNIRASELDQAAAAVPSLPDLNEEFVMEEDKDESPADPCVERVLEGKEATDVRLAAMAVVAGSRGGLEKLAVRGSHPTRGVTDQGLTAVARGSPNLCSLALWDVQLVTDAGLAEIAAGCPLLERLDISRCPLITDKGLAAVAQGCPNLVSLTIEACSGVGNEGLRAIGRCCTKLQIVNIKNCVHVGDQGISSLVCSATVSLAKIRLQGLNITDASLAVIGYYGKAVTDLTLARLSAVGERGFWVMANAAGLQNLKCMSVTSCPGVTDLALASIAKFCPSLKQLYLRRCGHVSDAGLKAFTESAKSFENLQLEECNRVTLVGILAFLLNCSQKFRALSLVKCMGIKDICSAPAQLPLCRSLRFLTIKDCPGFTDSSLAVVGMICPQLERVDLSGLSEVTDNGLLPLIRSSESGLIKVDLSGCKNITDVAVSSLVKAHGKSLKKVSLEGCSKITDASLFAISESCTELAELDLSNCMVSDYGVAVLASASHVKLRVLSLSGCAKVTQKSVPFLTNLGKSLEGLNLQFCNMIGNHNIASLEKLLWWCDILA >Et_9B_063927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21806001:21806426:1 gene:Et_9B_063927 transcript:Et_9B_063927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKWQRMAALAKTDGSCCRSTPVAGKGRCVVYSGDGRRFEVPLAYLGTAFFSELVMLSQEEFGFTGEDGRITLPCDAAAIEYVLCLLRRGASKDGYRAFLSPMARTCHYENGLALFMGDAEQLIAVPSF >Et_9A_061100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15898516:15900162:-1 gene:Et_9A_061100 transcript:Et_9A_061100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPSRPITLLLFRRSLSTLPRDHLILRFAALAKELSDQPPPPPPPPRPRSPHPYDYNRLMSTHAASGGGGNPGAGADRALHLLDEMRTLLGRRPDAACFTTAAAALSSAARPEAALAVLDAMATDGITPDVAACTVLVGVYACRLRWFDSAYEVVRWMVANGVAPDVVTYSTLISGLCSAGRVAEAVGVLDMMLEEECQPNAHTYTPIMHAYCVRGRIQEAKELMETMTDSGFAPSTATYNVLIESLCKIGAFMEVEKLLEESSTKGWTPDTITYSTYMDGLCKAGRVDKSFALVDKMLANGLRPNDITLNILLDGVCRRATASAAKCLLECSTELGWHANVVNYNTVMRRLCDERRWLAVVKLFDDMFKKGIAPNSWTFSIVIHSLCKLGKLHQALCLLESEEFVPNVVTYNTLIRHLSLLGKANEAYLMFHKMTKEGIPPNAALSGIARSLIAGGRLRELHTLIGWILGEGFIIDVRMYEEMIFALCKKGYCQSVDMYKVCHILERMLGLK >Et_3A_026086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4149808:4151398:1 gene:Et_3A_026086 transcript:Et_3A_026086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIELALAPAPASSSSAGGGMMPNPLPPGFRFHPTDEELILHYLRKRAAATPCPAPVIAEVDIYKFDPWDLPAKAVFGEGEWYFFSPRDRKYPNGVRPNRAAGSGYWKATGTDKPITSSAAERSSSAMIGVKKALVFYRGRPPKGMKTSWIMHEYRLADALNAANTYRPMRFKNASMRLDDWVLCRIYKKTTPQLTYSSSPPLDADEPLMDGAGFTSHGQQHGNSAAYADDVAGGQLPRPPSISDYLVDYAMSELFESAPAPQLGTDAGSSGGAAAQFFIGNDSSGVQQSSHKRRFVEDYSNNNMEMLHASSNKRVLNGQASMGVNNSFSLFGPGHPSLQDR >Et_1A_005896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15179536:15192860:-1 gene:Et_1A_005896 transcript:Et_1A_005896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDMTEDPPPPPPPPQLPPPRRPHKQLQPRGYQVDVFAAALRGNTIAVLDTGSGKTMVAVMLAREHARRVRAGEAPRRIVVFLAPTVHLVHQQFEVLGEYTDLDAVECHGASGVGEWTAEHWKDQIGSKEIVIMTPQILLDALRHAFLAISMVSLLIFDECHRACGNHPYSRIMKEFYFGSEWRPAVYIVEDRNELESFSSTAAIVNKYYDAYLVDFEDLKSKLQILFEEFDAMLVTLQESSPNKFEDTDSILDMSRKSLSRYHGKILYGLHTLGPVVKIYNESIKALGDSEDCIFSKASLNLHMSYFKEALCLVEEILPLGYEELVKSESGSAELTKRGYISSKVETLINIFKSFGSSEEVLCMIFVDRIMTAKAVERFMRGIVSFSRFSISYLTGGSTSKDALSPAVQRSTLDLFRAGKVNLLFTTDVTEEGIDVPNCSCVIRFDLPRTVCSYVQSRGRARKNSSNYVLMIERGNLVQQEHIFNIIRTEYYVKNFALHKRSNSSSHDLPLEEKYTYHVASTGATATADCCVNLIYKYCEKLPKDRYYMPKPSFEMALKDRLYQCTLTLPPNAAFRNIVGPSSSTCNLAKQLVSLEACKKLHQLGELNDHLVPLTEEPMNIGTDEKCLSGRGTTKRKELHGTTNIHALLGTWIHEGETVTLNVYRFDFRCDQEGENYAGFVLLMESVLDDDVARSEMDLFLIPNKMVYTTITPCGKLQLNKEQLRKGKQFQEFFFNGIFGRIFHGSRTSGLQREFIFRKGYEIQWSSDSMYLLLPLRHPTQVQNDLDIHWEAVESCSGAVEDLRSMYLEDGNLNYGNIILQKKNNGEDSIHFANKSLPFSSVKDSVVLSVHTGRIYSVLDLIFDTIADNSFDEMYNGKASPFASFVDYYHQKYGIVIQHPGQPLLLLKQSHNPHNLLFSKLKYQDISTGKPLLVEKEQVHARVPPELLIHVDVTTDVLKSFYLLPSVMHRLQSLMLASQLRRDIGYSQCIPSSLILEAITTLRCCEPFSLERLELLGDSVLKYVIGCDLFLRNPMKHEGQLSDMRSKAVCNATLHKHGIWRSLQGYIRDSAFDPRRWVAPGQISLRPFPCNCGIETAFVPVHGRYITDDPSFVVGKPCDRGHRWMCSKTISDCVEALVGAYYVGGGITAAVWVMGWFGIDIRCDMQLVQEVKYNASHLCYLSKLNLIEELEAKLNYNFSVKGLLLEAITHPSLQELGIDYCYQRLEFLGDSVLDLLITQHLFVNYTDVDPGELTDLRSALVSNENFAQAVVRNKIHNHLQHGSGILLEQITEYVRSNLECNGKEDDLLQHAPCKVPKVLGDIMESITGAIFIDTNFNVDLVWKIVEPLLSPMITPDKLALPPYRELLELCSHLGCFINSKSTSKGEELVIEMTVQLRDELLIAQGHDRNKKGANAKAAARILADLKKRGLSMKQCFSKAKQSDTVSSDLQSQLTTLESQLDYPDVTQSLDIEGLSSVREAVILPLKMDKGGPRTALFRLCKCLQWPMPEFEFVEQRFRTPIVLDGVTTTNFNSFVATITLHIPDVTVITLQGERRTDKKSAQDSASLIVLQKLQELKRDDGLLPGLSSNPAMTTFFLKPSVEVEELFSAAAAAMGSPNRRLLPPIGGPLNRRLMLPPATPLLLAVRALAAAARARTKRTPYRHEHRKNTAVTRPKSTITPSVVAAVHRPPAPAGRPTSSARSG >Et_3B_028035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3599705:3600170:-1 gene:Et_3B_028035 transcript:Et_3B_028035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGVDAARVKALLPVDATDCWHLLSGGALWVLCRLHYGPRITVPRSLRWASCAAISASSMSVLLVRLFSPECEVRNIAAYDKPEQKATKYHQNLGPAL >Et_1A_008674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9790203:9792241:1 gene:Et_1A_008674 transcript:Et_1A_008674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TEFSAPLICIATAFLESCSGLMDATSSGSGTSPVMHFHSIADESPSHSSPLRTVLERSQRHCYGDGNPERECLKQRCGGSWKLVLRYILVIEKNSSRVIAGPGHSIVITTNGLVYSFGANCSGQLGLGDTEDRFKPCLIRSIQGIRITQAAVGSRRTMLVSDTGSVYAFGKDTFRGAELSEASHTDHITTPKIVESLKGVFIVQAAIGGYFSAVLSREGRVYTFSWGQAERLGHSSDPSDVEPRLLPGLEDVPVAHISTGNCYLLMLAYKPNGMSVYSVGCGLGGKLGHGCKNSKGVSKLIEHFQSLSFKPVSIAAGTWHAAALGEDGRVCTWGWGHTGCLGHGDEEFKSVPTIVEGLSNVKAVHLSTGEYTTFVVADNGDVYSFGSGESMIVDLQEDDDVEVPSFLTPRLVESLKALNKKIVQISPTNASYWLNADMGQSHTFAVTESGKLYAFGGGIRGQLGVKLAEGLERLNSPMLVPIDLQ >Et_3B_031143.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:1808869:1809225:1 gene:Et_3B_031143 transcript:Et_3B_031143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAMSGEQGQAAGGKDDATPPSSSPAPRRKMKDMTPAEKQAALVFFDAAIDDLEVYMAMTEDDVEEEYRRAGKLHKYDPETELNKRYARIAKKYPPPHGFDPKLDQYLKLIEDEED >Et_2A_016135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21297849:21299842:-1 gene:Et_2A_016135 transcript:Et_2A_016135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSTTTTFFLLSLAVLLGTPFADASTQEEYLRKFMASRALNRLANRHTTGDDGPDLTVNPWADPASSFAHLPERCKIPPSGSKEADRVERLPGQPPRINFAQYSGYVTVNEEPGRELFYYFAESPYDAASKPLVLWLSGGPGCSSLGYGALEELGPFRVHRDGKTLRRNKHAWNNLANVIFLESPAGVGFSSSRNVTEYKTPGDRGTAEDAYVFLVKWLERFPEYKDRVFYVAGESYGGHYVPELATVITFMNHFPGQQTPINFRGIFVSCQINLESPPSSVGNPLLDDQLNDKGSLEFLWSHGVISDEVWENILASCSFNASDDWQCVEAMRSFDRKGIDSYNIYAPVCLHSRNGTNHPTGYLPGYDPCIDHYVEAYLNNVEVQTALHARININNLQVCAIYDMFLAWNNEDRALRMVPIIKSLLDHGLRELNLTVTKKWRPWYTPDFEVRALQKQYAKEKQFRFW >Et_6B_048989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16469466:16472264:1 gene:Et_6B_048989 transcript:Et_6B_048989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GALLSCPQNPSAVTNGGPRNPCHHAGARLRLHEEKEEALQEAQAIDVTVDASLTGGRSAAAAPVVAYFPSGYDPLAADGEPPSSRLFRNVKHPSWVDLVVRSPAGGPDFVGTSYTGEAAVPQLCSYALGVLDKASGTLKVVPIAGEKILRLEPHLEVQKPAHSEQSGVASEAGSAVATGDMKVQDLTGMYGAQKDKETDKKWRSLNEHRNDPSAYEDLDLGRQETNASDSQAPEIVRNIPPYDLTADTSETAYLFDEIIPKNIRSHLLEILGHLESGEFSSKGYGTFVSNRVHKLEKLEGEDKKRLAWILSYITHLLTLLVRNSSMSKRHRKENQATKAPVVPQAVYRKLLLMFTEEGSSALSTEKHELLVNYILVLSLFADDFRSDPTDICADLKMTRQMIKPYYHQLGCKVVSTGAFKPTFMTLPTPLKFPKEVTRKKRQRN >Et_1A_008704.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:994841:996523:-1 gene:Et_1A_008704 transcript:Et_1A_008704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHARTPQAFLQFLAAEQLSSSAAAQCHAEATKLGYASNVFVSTSILGLYSRSSRLHEAQRLFDEMSHRTAVTWSTLIYGHARFRGPGVAVGTFRRMLREGVFPTESAVSSALVACVKQEDVCIGAMLHCVGLKCGVSYDTVVGTALLNMYSKCRHLCAAYKVLEEMEEKNVATFTALIGGFAAKGRSHDAMLLVKDMEQSGIAPNMKTFSSLLSSFQSPNDINHARQVHCAVLKKGLEDNSYVLSALLTVYSKCNTVEDFRRVQIAVSCGDQVSSNSLISGLSCLGRDDEAFRHFLGMRRQGVQTDAITFASLLKSVGNSSTLLEGRQLHTLILKIGYVRDVQVQNGLISMYARCGEIVESKLIFSSMEAPDLVSWNSLLSGCAQHGYGKEVIEIFEHMKRLHVQPDHTTFLSVLQVCSHVGLVDKGLEYFNLMKEKVSSAGMKLEHYACMVDLLGRAGYLSEAESLINDMPMKPGPSVYRALLSSCQIHGNLEIAIRVSKRLTELYPQDSSAHVQLSKAFAGDGRCDNATEIREAMKDKGVVKMPAWSCIKDRLQIR >Et_4B_036566.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3689515:3689607:1 gene:Et_4B_036566 transcript:Et_4B_036566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFAISIVELILPSLMARSAGCGTRRGAS >Et_3B_028752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18831570:18848509:-1 gene:Et_3B_028752 transcript:Et_3B_028752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGEIQKVASMRRGDSGSMWRRGDDVFSRSSREEDDEEALRWAALERLPTHDRVRRAIVPLGLGGDEAAAAAAAKGVVDVDVLSLGPRERRALLERLVRVADEDNERFLLKLKERVDRVGIDMPTIEVRFQNLDAEAEVRVGSSGLPTVLNSIVNTLEEAANALHILPSSKRTMPVLHDVSGIIKPCRMTLLLGPPGSGKTTLLLALAGRLDKDLKVKGKVTYNGHEMTEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGILGLEICADTMVGDEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQSIHILGGTAVISLLQPAPETYNLFDDIILLSDGQMVYQGPRENVLEFFESMGFRCPERKGVADFLQEVTSKKDQRQYWVRHDQPYRFVTVKEFATAFKSFHTGRAIANELAVPFDKSKSHPAALTTTRYGVSGKELLKANIDREILLMKRNSFVYMFRTFQLMLMSIISMTLFFRTKMNHDSVTDGGIYMGALFFGVLMIMFNGFSELALTVFKLPVFFKQRDLLFFPAWAYTIPSWILKIPITFVEVGGYVFLTYYVIGFDPNVGRFFKQYLLLLAVNQMAASLFRFIGGAARNMIVANVFASFMLLVVMVLGGFILVREKIKKWWIWGYWISPMMYAQNAISVNEMFGHGWDKILNSTASNETLGVQVLKSRGVFPEAKWYWIGFGAMIGFTLLFNALFTLALTYLRPYGNSRPSVSEEELKEKHANIAGEVLNGNHLASGSTHRSTSINTESGTVEGGSAPTQRGMILPFVPLSLTFDDVRYSVDMPAEMKAQGVVEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNISISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPKEVDSNKRKIFIEEVMDLVELKPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLLKRGGEEIYVGPLGHHSSELIKYFEGIEGVSKIKEGYNPATWMMEVTTISQEQILGVDFSDLYKKSELYQRNKALIKELSQPAHGSSDLHFPSKYSQSSFTQCMACLWKQNLSYWRNPPYNTVRFFFTTIIALLLGTIFWDLGGKVKTSQDLFNAMGSMYSAVLFIGVMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIELPYALVQAILYGVIVYSMIGFEWTAAKFFWYLFFGYFTLLYFTFYGMMAVGLTPNYHIASIVSSAFYAIWNLFSGFIIPRPKVPIWWRWYCYICPVAWTLYGLVVSQFGDNATKMDDGTLVMHFVEDYFDFKHSWLGWVATIVVAFAVLFASLANRSGGKASDRLGVGVEGGGEMDPSVEIQKVASMRRGGSGSMWRRGEDVFSRSSRDEDDEEALRWAALERLPTHDRVRRAIVPLGLGDDAAAAAAKGVVDVDVHSLGPRERRALLERLVRVADEDNERFLLKLKDRVDRVGIDMPTIEVRFQNLEAEAEVRVGSSGLPTVLNSIVNTLEEAANALHILPSTKQTMPVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGRLDKDLKVKGKVTYNGHEMNEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGDRFDMLTELSRREKAANIKPDADIDAFMKARQEANVVTDYILKILGLDICADTMVGDEMLRGISGGQRKRVTTGEMLVGPSRALFMDEISTGLDSSTTFQIVNSLRQSIHILGGTAVISLLQPAPETYNLFDDIILLSDGQMVYQGPRENVLEFFESMGFTCPERKGVADFLQEVTSKKDQRQYWARRNQPYRFVTVKEFSSAFKSFHTGRAIANELAVPFDKSKSHPAALTTTRYGVSGKELLKANIDREILLMKRNSFVYIFRTFQLVMMSIICMTLFFRTKMKRDSVTDGGIYLGAIFFGIMTIMFNGFSEMALTVYKLPVFFKQRDLLFFPAWSYTIPSWILKMPITFIEVGGFVFLTYYVIGFDPNAGRFFKQYLLLFAVNQMAASLFRLIGGAGRNMIVANVFASFMLLVVMVLGGYLLVREKIKKWWIWGYWISPMMYAQNAISVNEMLGHSWAKIANASASNETLGVQILKSRGVFPEAKWYWIGFGALIGFTLLFNALFTLALTYLRPYGNSRPSVSEEELKEKHANIAGEVLNGNHLASGSTHRSTSINTESGTVEGGSSPTQRGMILPFVPLSLTFDDVRYSVDMPPEMKAQGVVEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNISVSGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPKEVDSNKRKIFIEEVMDLVELKPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLLKRGGQEIYVGPLGHNSSELIKYFEGIQGVGKIQEGYNPATWMLEVTTVSQEQILGVDFTDLYRKSELYQRNKALIEELSQPAPGSNDLHFPTKYSQSSFTQCMACLWKQNLSYWRNPPYNTVRFFFTTIIALLLGTIFWDLGGKVKTSQDLFNAMGSMYSAVLFIGIMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAMGQVVIELPYALVQAILYGVIVYSMIGFEWTAAKFFWYLFFGYFTLLYFTFYGMMAVGLTPNYHIAAIVSAAFYAIWNLFSGFIIPRPRVPIWWRWYCYICPVAWTLYGLVVSQFGDNATEMDDGTLVMHFVENYFDFKHSWLGGVAAIVVAFTVLFASLFGFAIMKFNFQKR >Et_5A_042324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8728562:8734197:-1 gene:Et_5A_042324 transcript:Et_5A_042324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVREVIKTFYLRKTHDLAFCSRPLPPTHEIITFSGQDILFSQYSERWRELRKICVLELLNQRRPIREDEVALLVRSISNKCTGNQHLQLVIKETLRLHPPVPVVPRESRETCQVLGYDVPKGIAVLINVWATGRDKNYWDNADEFMPERFENSSVDFKGTDFQYIPFGAGRRLCPGITLGWAMMELILANLLYHFDWELPNGVKSEELAMTEAFAVT >Et_5A_041264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20418676:20422046:1 gene:Et_5A_041264 transcript:Et_5A_041264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPATPAPASASGNKKDKEKASGSSSGGGSAKKKVTPVQVAFLVERYLADNGFAAALAAFRADAAHLFKPHQHKPAPKGLLPLADILHDYIELKESRVAVDSAMGAMHSLVSTYYASHPPPPAPPMMLPLPAGSQPASPPLVPSLFVAPPTASASSPPALPPPQGTAGYASPMVHHYAHTSTAVVVHNSSDMSTPASTSLPTKKRKATKSAGKTSSASKKSCIAPAMTSHAKGKAKSQLPIDNLEQIMNGCAPQPSSMEHSLMPNLPVQSSTVAKSLFGPLQPQVHSSPSTPPQSHHMGDQPTAYPNERLSSVVPNAHTQTQQDPSSVQCSMVSSKTLIVSPLKGGAYYAVERSSYHVSSPLKSTIHKSSKREHVKGKLNFDTTDARPGSNEQMCDQMASTSSDGDKQDDFEIDFTNLDIFNGEFSFSELLVDFDLDTEGIQCQNSSTSTDVQRLEPVADSGCVTVDPFGSGAGRTVSGTGPSSTWNAMAKPNGRCASRWQCKIQTPGMSSVKRMTAHQAAATVLHRGGLARIRAHARIGLGLWLIRFDRFG >Et_9A_063265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18007956:18011406:1 gene:Et_9A_063265 transcript:Et_9A_063265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSDVHANSKSAGYLAARPPTLEIFPSWPMSHLQQPYSGNSQSVGSTSGSSSGQSTMPQAAELVSPLSTGADSGHQQEALMVTVDDYNYGQGLGATADTAPIFQQHTAGQDKRKHGSTRKDGRLLDDKMERRLAQNREAARKSRLRKKAYVQQLETCRIRLQQIEQELHRPRSQGSFQGGCSGPGDMSSGNHLIQLLRMNSYGLTISYSSTNGCVITGAIMFDMEYTRWLDDESKHLTELRGALHAQLIDASLGVIVEDCMRHYDELFHLRAVLARSDVFHLMTGMWATSAERCFLWMGGFRPSEILKMLIPQLDPLTEQQLLGMYNLQHSSEQAEEALVQGLQQLHQSLADAVGAGPLNDGASVANYTGLMGLALDRLDSLESFYRQADNLRQQALHHMRRILTTRQTARSFLSIGEYHRRLRALSTAWTCRPRE >Et_9A_063223.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16139953:16140570:-1 gene:Et_9A_063223 transcript:Et_9A_063223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRAPSAAATATAVLCLLLAAATVARSQQCGRQAGGALCPNCLCCSQFGFCGDTAPYCGAGCQSQCGGCPGPSPPGPPGVGSVVSRDLFERLLLHRNDAASCARGFYTYDAFLAAAAAFPAFGATGGPEQRKREVAAFLGQTSHETTGGWPTAPDGPYSWGYCFKEEQQTDPSRDYTYCTPRQDWPCAPGKKYFGRGPIQLTQ >Et_2B_019072.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29555700:29557550:1 gene:Et_2B_019072 transcript:Et_2B_019072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLASAGAAGTCRLQWLALLVVLAVAPLAAAQPTNPRLRTALLALQTWKRTAIFSDPFNFTANWVGPNVCAYNGIFCAPHPADGLLAVAGIDLNHADIAGYIPEDLPRGLPDLALLHLNSNRFCGVLPDTFLHLRLLHELDLSNNRFVGPFPVVVLTLPALRYLDLRFNDFEGPIPPALFDRPLDAIILNNNRLTRPIPPNLGNSPASVVVLANNRLGGCIPPSIGRMANTLNEIVLINDELTGCIPPQVGLLSQVTVFDVSGNHLQGQLPGNVAGMSAVQQLNVAGNLLKGPVPAAVCALQRSLRNFTYEDNYFTSRPGCPAATADGRWNCIPGAPAQRPPPQCAAAGAPFDCSTAQCQAAPTGPPGRGGGRPPSPRANPPVRPFLSPPPPGSRSSPSLPLPPPGSTTPSYPSPPGSSGTPPSSSGNQPPSGSAPSGQPTGAPPPTEHPGGVWPPQTPSAPGTPGSPSTTPSAPGTPGSPGSPMTPGAPGLPTTPGTPGSSGSPTTPGAPGSSGSPTTPGAPGSPSTPTIPGAPGSPSTPTTPGSH >Et_1B_010201.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:29320379:29321644:1 gene:Et_1B_010201 transcript:Et_1B_010201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKKARTTASSPWPALPADVAGEVLLRLPSYADRICFGAACRSWRASAAQHHAAPRLPCLVFLDGSFGAFPWGHRPFRLPRAAGHHVCCGEWLVFKRDGDGGYYFANPFSDEAAAGMAPLPSLSAARAHDEPAGAARERVLEEYDVTGMVLRGLDGDGVEPAPRTASLRKLVVCSAGGLVAAVVGEGRLGKLALCRPGAASWSVCGRGDHQWRRLKDIATHRGDLYAVDHNEDLLAVTVDNNDGAGEPTVSGIRRVITGDPPDLEMPRRFTLHYLVDAGDDDDLLMVRREVRTANIPGRFVGVEERLTVFRADFTSSRWAEVRTLGDDGTALFVGQWGSRAVRAPDEHRKWWTDQIFILDDGAGDQPVWSPQYSLSVYDMGLWRAFKILHVMQRDGNTLWPGTWLFPRQGTRDAADAAR >Et_4A_035869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7677677:7680954:1 gene:Et_4A_035869 transcript:Et_4A_035869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLDYLKPTTHRALVQPETIPNKLAVPSNRKDKNRNGIIDGNKTRQCRAVGVPARPRTDWQSPSINKALTFVLPSSAKIPVPSPPIDLPCSVPTRKKDFRVPDLPKHQKSQVRGQDNQGAIAHAMDVTMDDVAGELEFSGCSSTTTTSSSCSLDDGTGTLHYPWSGLSPVADWGPFCSDDGGQDLHGLIESMLCDDTLVAGDDHHHGPAMFCDEEAYCCYSNGSGPSSTTTTNPGTPVYDGGDAGECPEKGLRLLHLLMAAAEALSGPHKSRELARVILVRLKEMTGANTNAAASNMERLAAHFTDALQALLDGSHPAGGARQSAAASHQNQQHNNNSSSHHHHAAGDVLTAFQMLQDMSPYMKFGHFTANQAILEAVSGDRRVHVVDYDIAEGVQWASLMQAMTSRPDGVPPPHLRITAVSRSGGGGGARAVQEAGRRLAAFAASIGQPFSFGQCRLDSEERFRPATVRMVKGETLVANCVLNQAAATSTVRRPTGSVASFLAGMATLGPKVVTVVEEDQGDAAAADKDDEENGGGDAGGFVARFMEELHRYSAVWDSLEAGFPTQSRVRGLVERVILAPNIAGAVSRAYRAEARRGGWGEWMRGNGFRAVPLSCFNHSQARLLLGLFNDGYTMEETSPNKIVLGWKARRLLAASVWAPPPMSAPSSPPTEGVLQPVVGMAPVSGGVGRVEFDYIDSFLVEPAYALV >Et_6B_048702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12843197:12851753:-1 gene:Et_6B_048702 transcript:Et_6B_048702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKDEHLDALRALMAAHSPPLHAVVVPSEDAHQSEYVSERDKRRQFISGFTGSAGLALITMKEALLWTDGRYFLQANQQLSARWKLMRMGEDPPVELWIAENLPDEAVIGINPWCVSVDAAQRYEQAFSKKRQTLFQLSSDLVDEVWKDRPPVKPLPVIVHPVEFAGQSVSEKIKELREKLLNEKAAAIILTALDEVAWLYNIRGSDVDYSPVVHSYAIVTLHGAFFYVDKRKVTAEVETYMVENGIDIKEYDMVQSDANLLASGKLKGSAVGGSPDGENNISGTESSKIWIDSSSCCLALHSKLSSRQVLTLQSPIALPKAIKNPTELDGLRKAHIRDGAAVVQYLAWLDNQMQENYGASGYFSEAKALHKKENLETKLTEVTVSDKLEGFRATKEHFRGLSFPTISSVGPNAAIIHYKPEANTCSELDADKIYLCDSGAQYLDGTTDITRTVHFGKPTGHEKSCYTAVLKGHIALDVAVFPNGTTGHSLDILSRTPLWKDGLDYRHGTGHGIGSYLNVHEGPHLISFRPSARNVPLQASMTVTDEPGYYEDGSFGIRLENVLICKEANAKFNFGDKGYLAFEHITWAPYQAKLIDTKLLTPAEIEWVNTYHADCRRILEPHLNDQENEWLRKATEPITVSS >Et_5A_041557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24359105:24361714:-1 gene:Et_5A_041557 transcript:Et_5A_041557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYLLASLATTLLTSAVQSLLLLLRLLVPSFLRRTDPDNGVPAASRLYEGRVRHSRRRPAAHAFVYPVRYALVDLDRLPLPDHLSAADARRIASTSGRVRLLTIPKSVGYEQNPLSIYYCYDSAEQGQNGELKMCIAEVTNTPWGERVKFTFQPGSDLVAKPLHVSPFMDMLGSWSIRADAPGDSLYVAISVQHPTLGNYFTAALHAKLVGQTSNSVRLATFFWLMPHKALRLWLKNVKFQDHPRYLNPNYRDEALKRDLEIRSSCSFIQKQKVNDQSSGITKKTTDNSDNQENGDENIVNRWCVWRDAQWPWS >Et_1A_008265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5842948:5845997:-1 gene:Et_1A_008265 transcript:Et_1A_008265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAASAMDFHALSRRELQALCKRNGVRANMTNAAMAEALQGLTSVDGIDEIGSTLCLPTPGRSTMKSAAKAAALAGEEQQQGSPLPRGRRVSVMSPAAIRLDVEDGDDEVKRDVVKEIVKTPGVALRSNSRRARATPAPLPTPATLRRSQRTAARKAAAPVEEENRAEEVPTAKKTTRRASARSKMMMDLDQEEEVPTAAPEEKVQQEEPKVAVSDVNCDDLEEDDEVTKLLEGKNKEEEPEEGEEADSSDAATGSTMVSDKNCDDLKLEELAAVEPISVEKSAPSSPMEDSPILGVLSKADATDENDDIDEGVDEWSPETAIAEEASEDSDEEASEDDSDEEASEDDFSEDGEDGSAEEDDFTSELPPEFDNIMVLSDAETDSDTTPPLLEENQGSVASAGKTVKSLNDSAICVTNEEEVTEEDDLTEEATKEVANTVDTIVKSLDGFTIKEGTQQAEEAKINIVSEAEGSKVMQKGPQAEDYENMSLIKLRAKWKNHLSAKNCISCDSTLLTVHLVFVYLVFCCHLLYICGFYLKQEGNKYAEPKRLPLEQVDDNACADY >Et_3B_030619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5553585:5556758:1 gene:Et_3B_030619 transcript:Et_3B_030619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKRFTAGDAPARRCNLERFLEATTPIVASSSSAKESKNGWRQSSADEPLPFFNLGDLWDSFRECSAYGTAVPIVLNGCRDEVVQYYVPYLSAIQLYGGFKRHVGPSRTGAEESDSDCCQDTGSDLEHETSSSTNAFSAQETSESSSSSEDEGDSGNYHEQLLFEFVEPESPYQREPLADKISRLAKRFPELNTLRSCDLSPASWISVAWYPIYRIPTGPTLRDLDACFLTYHSLSTQFAGVGHSPKAKAPTTPVTAMWLPAFAMASYKLKAAAWTPAWRDRQLAASLAQAADAWLRLLRADHPDHKFFASRRAPRR >Et_4A_035401.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:23495722:23496060:-1 gene:Et_4A_035401 transcript:Et_4A_035401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALGLWTDQPMNAFNVERAWAAGVRARRNVDTGMFLRGEVERCVRAVMDEDAATVREGEAKCREKARAAVAPGGSSDRNMDEFVAFVRAGAAEKWKAVVLEGSEPAGSSEM >Et_10A_002106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22829892:22833531:1 gene:Et_10A_002106 transcript:Et_10A_002106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPRRCGGRRPERPAVVGDNSNGYVETDPTGRYGRFDELLGKGAMKSVYRGFDEERGVEVAWNQASLSDVLRTPDAVERMYSEVQLLSTLRHDAVIGFHASWVDVHRRSFNFVTELFSSGTLRSYRLRYPRVGLRAVRSWARQILQGLAYLHAHNVIHRDLKCDNILVHGHTGQVKIGDLGLAAVLLRERTAHSVIGTPEFMAPEMYDEEYDQLVDVYSFGMCMLEMLTLEFPYAECSNPAQIYKKVTAGKLPDAFYRLKDDEARRFIGRCLVAASKRPSAAELLLDPFLLQDDHHATLPSPRPLPTDVPFISSNVVSDTTTETSSLSLSDDHQEPPARKDNMTITGKLNAEEDTIFLKVQIADTEAGPVRNIYFPFDLASDTATDVAREMVKELDITDRDASEIAAMIEQEIYRLLPGREQHEYSYGAHDDDENDEQIPPPFYYLSSSPTSSQGSSLCGVGPWSSSGGFSSGQHGGGWSKDHYCYYSLSDDDGMSSMHSGKYSALHYDTSGHEEEAQEAQAPAEEQQVGGAGPSSSSSSKAGQAKNTTRFGPGESSRISPRRGADTAAGLPSNNNKCSMSPHAGRRDGEDERRGRNRMSRNRSMVDMRSQLLHRTLVEELNRRLFFNTVAAVENIGFRAPPGYGSSSSSSTTSRGRGRADDNRRRSSRDDKDRYYML >Et_7A_051297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16756042:16760211:-1 gene:Et_7A_051297 transcript:Et_7A_051297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLAAPPFLPASLPARAATARARRTMTRPFLRATCAYALQEGQSRRFHRLPCGLDLEVIAQSPPAPAPDERPPLVFVHGSFHAAWCWAEHWLPFFSLAGFPCYALSLRAQGESSVPQEAVAGTLETHTGDIADFINKEVSSPPVLIGHSFGGLIVQQYVSRSQGFELLHPKLAGAVLVCSVPPSGNSGLVWRYLLTKPVAAVKVTLSLAAKAYANSLSLCKETFFSPQMDDELVSRYQALMKESSKLPLFDLRKLNASLPVSSVPDKTTEILVMGASNDFIVDSEGLSETARFYGVQQICVEGVAHDIMLDCSWEKGAETILTWLEKLTPQSKTYVRATFDALLHSRAD >Et_5B_043814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16430528:16431310:1 gene:Et_5B_043814 transcript:Et_5B_043814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPPADERRVGLHQLPEQLVPAFFPETAWHTTSLVKAFRRMVAMTELTSSSRAWREGHVRGALEEDVGDRGVGPGREHVVLRPQHHLRRARRRHHQRRHRAEPEEQQPVAAVLGGEVAEGDVRKPAHQVQVPDGGKLACSAAKVACAGGATPLSTSGGACAAPQARMLKQAQEVKKTLKKAYNETQTFLQGLLYRHGWPFGDATSGSGHFLTYEMQCVHRD >Et_3A_025782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32891066:32891822:1 gene:Et_3A_025782 transcript:Et_3A_025782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDAVSDDATATKPLTMFYNGGIAVFHLPRDKAEDIMKMAAAAGHEDGGGGPNKGATDHGDQLVAKLREEMPIASKRSLQRFFQKRRERYANTPIDHPSLPVKLSRAHAIS >Et_1A_006441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22191714:22193218:-1 gene:Et_1A_006441 transcript:Et_1A_006441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIQKKRHGAGAGGGGARLQGGIPFEKSKGQHILRNPALVDSIVTKAGLKPTDTVLEIGPGTGNLTKRLLEAGVKAVVAVELDPRMVLELNRRFQGNPLSSRLKVIQGDLLKCDLPYFDICVANIPYQISSPLTFKLLSHRPMFRCAVIMFQREFAMRLVAQPGDSLYCRLSVNVQLLARVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKEWDGLVRICFNRKNKTLGSLFKQKQMGEEKMSADDVALLANMVEDLSMETGEEKEDDEMEMDDTGMGGDGGTSFKEKIMGILHQGDFAEKRGSKLSQVDFLYLLSLFNKAGIHFS >Et_3B_027684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12349734:12351839:1 gene:Et_3B_027684 transcript:Et_3B_027684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAIVSIVLLSTLVVLSSYRRRSGHPALRLFVWAASTLFLPLVSYAVSAAAKWDAARVPLLLAWTVCLQILRNTIDTARSSSSAVVDAGGSSGGSNKFRPSVEQLARMGWVAFLIVSSGGQAGSPQLTGVLLWLWVLSLLKLVHRLVAAELARNSFAVGLNAHLVADYMRQLHGHDDDDGQRQPPPYLVMGEEKLRVEARPQGYRISAAAVDEGAHVVTVDRIWRLASSGDPLLSSCPEVKDLCLAFALFKLQLRRFAGCPVIAEAGCRRALAFVLLLDDPERAFRVVETELSFLADFVYSKLTALYATGWCFPSLNAALVLATWVSCLAAGAAIVRDMATPGTALAGDYANLRGYLNNHDAVFRAVVGLDVLVSVAFIAAVVFTEGWEVASYVRSDWVKVAAACEYARRPAWRQSPWARRRLARVLRLGGGATQRWDDRFGQVSVLQLRRLCSSGCVSRQVDRIAAKTSVAVPAPVKAAIVETLRINDGALGNGELSLRRNGVADKLLWACRIRAGDESSVSEQILVWHVATCLLEIKHNDEDDHVVVATRLSRYCAYLVALKPGLLPDHRAWTEELYERVAEEAARCAGPDVRYERAATCLAGSMNATLRKAARLGRHLAQDLGEDLVWKVLADFWAELILYLAPSDNVRAHAKSLRRGGEFITVLWALLGHAGRRSPTSDDESSN >Et_1B_010770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13913273:13915527:-1 gene:Et_1B_010770 transcript:Et_1B_010770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHFPKDQAFSRSNGFLDGGGAGMEEIEEVGAAATVAVEQSPSQSSSPSASPPAVAMSSCGQYMLHRVGKLDTLAGVAIKYGVEVADIKRLNGLSTDLQMFAHKTLRIPLPGRHPPSSYQQNGLYEDDHRSLTIGSLVNGETDENGDSERLIRRRQKADGELLPREESGGDFLASAGKGLALRPKSNNRPDMNKSQQNLFAMAEPLFGNGLQTVRKSSSTPEFQEPESNTSSSIWSASKWGIKPDSFALPLPIPRFDSIPKPIAAWKNKAARD >Et_2A_018385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25845492:25847074:-1 gene:Et_2A_018385 transcript:Et_2A_018385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEREHVRNRVVREVYPYELQTWPKVNACRVQCKRGRIKTIKYLILIPQSANGIRAAIEFLWINYEHQGFC >Et_4B_037480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20176905:20180290:-1 gene:Et_4B_037480 transcript:Et_4B_037480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASDRFNINSQLEHLQAKYVGTGHADLTRFEWAVNIQRDSYASYIGHYPMLAYFAIAENESIGRERYNFMQVIVDIYVEQILGIGTGLALSVAHRDLDFDSHQNHKIATKCCFLVASLPRETKTEDLVHDFTTRETYLQLPSVGDGEAEERNDEDEHGEVVEPKQQQPPRPARETAMKTAPSSDDRDGKQERVIRLSPARARRPWHNP >Et_6A_047395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5074400:5082347:-1 gene:Et_6A_047395 transcript:Et_6A_047395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSRSRGRSGSATLKRHRGVGTGSGSTAQSLNDDTLRSVFSRLDDHFDLARCCAVCNSWNRIIKTAHIMKDLYYKRNPQARGSCSDISMKNYFEELAMDDHVSALSRGSSEVYQWIGHPMRATLCRMKSGSILTGVGDKILRLWSAESCKFMNEYTVPNAKTLVDFDFDENKIVGLTSSQLCIWRRSEPRSIFQSGGASWNHGLCMSYADPEVVIGCEDGRAFVYDMYSRSCSRIFRLHSSPVTCLTITDDLIVGGSRFGDIAIADHNSGQKLGVLKSAFAPLEIRCLCFSTNSQLIFAGSSAGYVHCWDLRTLRPLWEERVSPNVIYSAHHLPGDMATLAVGGIDGVLRLVCQRTGDTIRRLVVDADHQAESTSRSRNQIEKKRVRKVDPDARLDNIPRRLRPQITSLSVGMKKIVTTHGESYIRG >Et_10A_000698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15690157:15698107:1 gene:Et_10A_000698 transcript:Et_10A_000698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPAVDLPDWLRNLPVAPEFHPSPAEFADPIAYILKIEAEASRYGICKIVPPLPAPPFDATLGRLKASFSANAAAAGDAARGPTFPTRLQQVGLSARNRRAANRRVWESGDRYTLESFRAKARDFEFPRHAAPPKHATPLQLEALFWGACASRPFTVEYGNDMPGSGFVAPEEMGLEPGAGAGANAAARDVGETEWNMRLAPRARGSLLGAMARDVAGVTTPMLYVAMLYSWFAWHVEDHELHSLNYLHFGQPKTWYGVPRDAMLAFEDAVRVHGYADDVNAIMAFQTLNEKTTVLSPEVLLSAGVPCCRLVQNPGEFVITFPGAYHSGFSHGFNCGEATNIATPRWLQVAKEAAIRRASTNCGPMVSHYQLLYELALSLRPREPMNFHDVPRSSRLRDKKKNEGEIMIKQTFVGSVMENNKFLSILLGKDSCIIIPEIEFPLPSMAPEVTFKQGLVAGTCNIKQPKTEDMFDGDSIHKTKGVENMRESQSANATTSSACNRRKLYETKFGTVNSTAFCLSTPDIQSGVKSISHQGVGLLDQGRLPCVQCGILSFACVAIVQPKEAAVQFVISKEGLLSSATQGEIMKSDDITNRIAERHEMVPPRGHASGTDDNMINSISLAQVSDKCGELYSSTHECPSALGLLASAYDSSDSDEETAGNISIDSEKYDAADGSTNIQSSGALVLQKSNVHCQEKCEERIAPSLMNPAENTSMLMTRASRETDISHFAVLGQPPTSYEQWSSYLDLDDAITTSSVNASSDTSLSTGKGSIVPDALAMYTKDSCKMHVFCLEHALETWTQLQPIGGANIMLLCHPEYPRAESAAKVIAEEIGMKHAWKDVTFKEATVEEIGVIQSALQDEDTEPTSSDWAVKMGINIYYSAKQSKSPLYSKQIPYNSIIYKAFAQENPDSCTDDEGQRSGTTKKKVAGWWCGKVWMSNQVHPLLAREHEEQNHDMVYSKAIFSATSHDKIKEPSARSTALIRRSLSKRLSGRKQKNSVEKSQARKKRCTASDEPNLHHSRIGVISEANQDQARNFDDYYKPDDGYESEEAPNTQQYQHHELRNMNERSSSKKRKGDKRDHFHELHDENGDIDCKLDIDSIINTTIGDWNNSPSEFGVVEVKSGVKLQGSKGKSSKCKTGEDLSNGNKKLRKMEKKTSTKKQKSDKTNRQFRENHTEDLDLVQVHDGDEATQDSWDQIPQQKTDDAKVKSRGKIKNVKEKASKCQSSGNKEGNFSCNTESNRGDGAITDNWDEIPKATTDDVKVKSRGKIQSGKQKAIKREASDGLRHGKKGTKYACDIEGCDMSFRTQNDLLLHKKDICPVKGCGKKFFCHKYLLQHRKVHLDERPLMCTYEGCDKTFKWPWARTEHMRVHTGVRPYACTEPGCTQTFRFVSDFSRHKRKTGHSSDKKRKNST >Et_3A_024660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23066555:23070277:1 gene:Et_3A_024660 transcript:Et_3A_024660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRLMLVLVLLVAAASLAAGASGSKYMRFDLLRRQPASLAELWHMDRARMAFIAARRGRRLTAETTSASKAKASPAPAGGGDEAFAMPLSSGAYTGTGQYFVIFRVGTPAQPFLLVADTGSDLTWVKCRRPATADPAAYNGTSWNDSSSLSASPRAFRPDKSRTWRPIPCSSDTCVESLPFSLAACPTPGSPCAYDYRYKDGSAARGTVGTDSATIALSAGRAAKKKTKLRGVVLGCTTAYNGDSFLASDGVLSLGYSNISFASRASSRFGGRFSYCLVDHLAPRNETSYLTFGPNPAVSPPPPSPKPACASAPPRPPGARQTPLVLDRRTHPFYAVTVNGVSVDGELLRIPRHVWDVERGGGTILDSGTSLTVLARPAYRAVVAALSEKLAGVPRVAMDPFDYCYNWTSPATGQDLVDVPVPTLAVHFAGAARLEPPAKSYVIDAAPGVKCIGLQEGEWPGVSVVGNILQQEHLWEFDLKNRRLRFMRSRCAH >Et_9B_066214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7301389:7303088:-1 gene:Et_9B_066214 transcript:Et_9B_066214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPCDGDDVCMVCHAVAPPLLEIRLCYTCVTAWHAPCLSHPIALSEAAGWTCPDCSGDATATPAARGAGGDLVAAIWAIEADNDLSEHEKARRRQELLLAGSKAPAAGDDDDGGDDTLGIIGEIFSCVFCMNLPDRPVTIVG >Et_2A_015877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18651052:18652738:-1 gene:Et_2A_015877 transcript:Et_2A_015877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASSLLPAPPSRVCASPAPELTLLPPARKGVRLAAARRRGSHLGVRAEMNASGSALAVDALSEVKHVLLPITDRNPYLSEGTRQAAATTASIAKKYGADITVVVIDDKQKETLPEHDTQMSSIRWHLSEGGFTEFGLMERLGEGKKPTAIIGEVADELELDLVVLSMEAIHSKHVDGNLLAEFIPCPVLLLPL >Et_8A_057915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9297196:9299180:-1 gene:Et_8A_057915 transcript:Et_8A_057915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRAANRCLVQEDRKRAPKLACCPSASEQHHVGTHVEKGTDVFEPPWIVSASLTKYSSERSLEDLKTIDGLSQEPIKCRGTVRNCFYEDNELLNFKSSDPPPLKQQKANSDMDAPWKEIGKTQPWWQITDENELASLVAERAIQHIENCDLPRPTQTLRVHGTESQSHGDVRHSGGPSSPAGRVSHPGFSGQREHIDCSYSSESTDGSSLSNNRFWQQHDRNFTYSPAPDFSNISSTESESKQTFPHASERDQILEALRHSQTRAREAEMAAKKASNEKDDVINLLLRQASHLFACNQWLKILQLENIGLQLKHKEDQIATMIPELPWMSSKENTMPDQEQKDWSRRKGRRQKKGGSFFDAIVFAVGLGLAGAGFLLGWTLGWLLPK >Et_8B_058863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10127457:10132356:1 gene:Et_8B_058863 transcript:Et_8B_058863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLAVVSSELFARLELCTEVLPIGIDFDQNLSSNEFCMLVAGESTSFSYGYCPKIDLTLVTLSK >Et_2B_022969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9894587:9900902:-1 gene:Et_2B_022969 transcript:Et_2B_022969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSSGPAQLSGFCQPLEVGGHSVPAGAAVIEVHGGNHGAVLGRMHPVPEGVLVAFGAQGGVRQAVLFGTQRPASAARGGDVGVPLGLRVGEGSLREAVEVSERVREAGQLGGDAGDHGLKGIVEI >Et_2A_016775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28000090:28002450:-1 gene:Et_2A_016775 transcript:Et_2A_016775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EQDSSKPAVFPISRTSSAKEAGEEKTIALTASKASSLHTSVQIQASVHLSGTSLPSLIGVAGVLPPDWIDLYFLVRRWARGVLDWACSWSCASCRSWRDAAAIRFVIDREECFSHKVDYEGDTVHVSFVVIKADTPWHYTEDGVDLVVKDPKGDQIHDSRDKISDKFEFIVQKRGVHRFCFTNKSPYHETIDFDVHVGHYSYLDQHAKDEHFGPLFEQIAKLDSALYNIQFEQHWLEAQTDRQAILNESMSRRAVHKALFESTALVAASVVQVYLLRRLFERKLGTSRV >Et_3A_026637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11106015:11106736:-1 gene:Et_3A_026637 transcript:Et_3A_026637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIYDYIPARRRVSAADFWPEEDADDSDHHAVARTPQRGTRPKARRERKNQYRGIRQRPWGKWAAEIRDPVKGVRVWLGTYPTAEAAARAYDRAARRIRGAKAKVNFPNDVAASAAPPVTAPPAPKLEAAESGLSDEVKELSEELMAYENYMDFFGIPYMEGGNAAAPAPAAAVVEEPAAPAPAAAGLWSFEDYYQPSLSLFTE >Et_1B_014167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33620567:33622921:1 gene:Et_1B_014167 transcript:Et_1B_014167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQLHFSCNKYNSGFPFALSGLVIVLVLFSFLPHASCCTQQEKSSLLQFLAGLSQDGGLALSWQNGTDCCTWEGIACGADGAVTDISLTSKGLEGHISASLSELTSLLRLNLSHNLLSSGLPLKLLSSNSIIALDVSFNRLNGDLRELPPSTPGRPLQNQFSGSIPPGLGNCSMLKIFKASYNKLSGPLPGELFNATSLEHLNLASNNLQGTLDGARITSLRNLANLDLGGNNFSGGIPDSIGQLKKLKELHLEHNSMSGELPSSLSNCTNLVTIDLKNNKFIGELTKYRITSAIPKLLHLGSNNFTGVIPQEIGQLKSLVALNFSFNGLSGEIPFQLCNLTNLEELDLSSNHLTGKIPSELNNLHFLSAFNVSYNDLEGPIPNGGQLSTFPDSSFEGNPKLCGSIHLRSCGLTEAPSISRKHRFKKHIVGIVVGVFFGGVVILSFLGHLLASFTGRHLITKDKACNSEDVEATSHLPDSEQSLVIVPQGRGEKNKLKFIDIVKATNNFDKEKIIGCEPMD >Et_1A_004642.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:25322928:25326594:1 gene:Et_1A_004642 transcript:Et_1A_004642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAARRRTPPLPAALAAVFFASKPQPPSPPRLTPELVDATVSRCSSDALALSFFLWCARRPGYFHPPRSFDGLLPAATRLASRLGTASSLLRELHGIGCPIRPQTFLLLLRLYWRGGLYPLVLQLFEQMPVWGFQPNAFARNVVLDVLLRAGEVDAARRSLRDNPPPNYLTYAIVLTHLCRAGNWPRVRSCFMEMLQLGFLPSAASLTAVFSCCSKSGTMSELLQMLSFAHVLGCKLTLAMWTCLIARLCREGRLDEACTMLAKMVDSGFSPTVVTYTPLVRGLLRAGRNDEVIELMGSMVSASCSPDLVLYNVLMDSMIKQSRFAEALYIYYKHIHCSQIKPDAYTLSTLARVLQLSQNPALVSVLPRLLLRSDISSDLVACNSVLSALCKSGFPSQAIQFYIDKFDSRVRPDSYTYVEILDSLCQLGRVNTAMGFYHSIVASDPESNAYIHSAILCGLVRQGKHLVALRILREAVRENYALDAVCYSIVLHGLLRAHLVEEASRLFDQMKRLGMASDICTYNVMLRGLCRARDLDAVKQLLIEMECADVPLDSISFNAVVVLLCKSQRTSSALAVVKQMLKLGMEIDTKTCSILSQSTGHELILEDNFCAESDGSESSSDLLICSAS >Et_7A_051881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2629091:2632576:1 gene:Et_7A_051881 transcript:Et_7A_051881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEEVYVAAIDQGTTSTRFIIYDRHAKPVASHQLEFKQHYPEAGWVEHDPMEIIETVKVCMANAVDKATTNGCNVDAGLKGIGITNQRETTVMWSKSTGRPLYNAIVWMDARTSPICRRLESELPGGRTHFVETCGLPISTYFSALKLLWLMENVDAVKDAVRAGDALFGTIDTWLIWNLTGGVNGGQHVTDCSNASRTMLMNLKALDWDKPTLEALGIPAEVLPKIISNSEEIGVVTNGFPFAGIPISGCLGDQHAAMLGQLCQKGEAKSTYGTGAFILLNTGEEVTQSSHGLLSTIAYKLGPSAPTNYALEGSIAIAGAAVQWLRDSLGIIRTAAEIETLAKTVQDSGGVYFVPAFNGLFAPWWRDDARGICIGITRFTNKGHIARAVLESMCFQVNDVLSSMHKDAGEAGEVKSAEGEFLLRVDGGATADLLGSPVVRPADIETTALGAAYAAGLAAGVWTNEQVFAGLHKENTTVFRPKLDEAHRKKRADSWYKAVSRSFDLADLSL >Et_2B_018934.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17538952:17539776:1 gene:Et_2B_018934 transcript:Et_2B_018934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVVARNSFDRIQCLRMHDLVRELAILQSKKESFCAIYDDTHGVVQVGLAPRRVSVLQCNNGIKSNIDPSRLRSFIAFDIRMALSSWYSFIPSESKYLGVLDLSGLPIEIIPSSVGELFNFRFLCLDDTNLKKLPKSTTKLNNLQTLSRKRTQALKFPQGFSKLKKLRHLLIWKLLDATYRSLNNWESIEPFDGIWNLKELQSLHEIRSTKVFVAKLGNLSQLRALSITRVRSSHCSQLCSSLSKMHQLTRLHIRAGNKDELLLLDDLIAKSS >Et_9A_062506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23629897:23630881:1 gene:Et_9A_062506 transcript:Et_9A_062506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSVLRLCTREPGSDDLVELPNSLCFISRTKIPNANAVLLVVFCCPSSEKMVNAIKGLFISCDVPMAQFIVNLNASMPPAERFIVHMLDPTHMFVQPHIAEFIRSKIAEFRDQNSYEKPQG >Et_5B_043088.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22769511:22769786:1 gene:Et_5B_043088 transcript:Et_5B_043088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLETTARVDLVKRTSTLTFIKKLVMAICWIIWTHRNGIIFDGKMYHIKDGDRN >Et_1A_008827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1561110:1564644:1 gene:Et_1A_008827 transcript:Et_1A_008827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVALLLLLVAAAAPGARGFYLPGSYPHKYNPGELLNVKVNSLTSIDTEIPFSYYSLPFCTPTDGVKDSAENLGELLMGDRIENSPYRFKMYTNESDVFLCRSAPLAPDTFSLLKKRIDEMYQVNLILDNLPAIRYTKKDDYFLRWTGYPVGIRVGIDYYVFNHLQFTVLVHKYEEANVARVMGAADATDVIPASGKDGSSGSSSGWMVVGFEVVPCSIKHNPEDVKSHKMYDRYPSKIKCDPTTVSMSIKENEPIVYTYEVNFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVIAFLAGIVFVILLRTVRRDLTKYEELDSEAQAQMNEELSGWKLVVSDVFRAPSNPTLLCVMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYMILGILAGYAGVRVWKTIKCGDHSGWVGVSWRVACFFPGIAFLILTTLNFLLWGSQSTGAIPFSLFVVLILLWFCISVPLTLVGGFLGAKAPHIEYPVRTNQIPREIPPQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVLLLLVIVCAEVSLVLTYMHLCVEDWKWWWKSFFSSGSVAIYIFLYSINYLVFDLKSLSGPVSATLYIGYSLFMFELSL >Et_5A_042854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5650653:5652654:1 gene:Et_5A_042854 transcript:Et_5A_042854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSAPPQEAPDPPQPRCGFVNVWAAGLGKRPWVRFNPNRAHPTRSRLFLQPFQISKHDTSPLAVAMLPVMRRRRR >Et_10B_002564.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:16143329:16143499:-1 gene:Et_10B_002564 transcript:Et_10B_002564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPSRRYLIWVIYLRRTYIVVAMCARAATATAIFQRLHAAESSRVMNQGSRLAFV >Et_2B_020523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2155459:2157183:-1 gene:Et_2B_020523 transcript:Et_2B_020523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGSAGGGDGGINLLLSILADGEEQARLLGELPDDPRLGAEHYRGAARMLQCTLGKAVSVAKAIEAAASRAADSPRSADEGSGGTSAAVEAQDRPGVCKRRKGLPRWTAKFRVPDANLEATPDDGFSWRKYGQKDILGAKFPRGYYRCTYRASQSCPATKQVQRSDSDLCVFDVTYQGHHTCHQKQRHHAAAASQHDVGQSSPPPPPDPSTELLAGFNDRLKVEATGHDQVDHAGAAHFSCFPSVPSHAGAAAADAVCFSSPAGASYYAVPHCLVPGSYDDGYGYDLPARGADSELGEVVSRATTTASSVADQSAAGGSDYSLYYQYHGEFDPHLPFAPSGGASR >Et_9A_061848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17105635:17106079:1 gene:Et_9A_061848 transcript:Et_9A_061848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASITIATAPLPPADGSAYAGPFEPSVWGDFFINYTPPSQACRSEEWMRERADHLKGQVALKLEAIKKMGTGDIMMLVGTLERLGIDHHFRKDTDLVLSHVHRRIHNLP >Et_3B_029276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23597750:23601605:-1 gene:Et_3B_029276 transcript:Et_3B_029276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGALALPSLLLFVLVVSSSSTVSASAKQDQVYIVYLGEHDGAKATEAVLENHHALLLSVKGSEEAARASLLYSYKHTLNGFAAILSEEEARELSGRSEVVTTFRSEGRWAPHTTRSWEFLGFEEGRKDPGDSDWLPSLDKSGGDVIVGMLDSGIWPESRSFSDEGLGPVPARWKGVCQGGDSFSPSSCNRKIIGARYYLKAYEASYHGLNTTYAYRSPRDHDGHGTHTASTVAGRVVRGASALGGFAAGAASGGAPLARLAVYKVCWPIPGPNPNVENTCFEADMLAAMDDAVGDGVDVMSVSIGSVGAPPRFADDGIAVGALHAVRRGVVVVCSGGNSGPKPGTVSNLAPWLLTVAASSIDRAFDSPIKLGNGMAIMGQTVTPYQLPGDKPYPLVYAADAVVPGTPANVSNQCLPNSLSSEKVQGKIVVCLRGSGLRVEKGLEVKRAGGAAILLGNPPASGSEVPVDAHVLPGTAVSAADAITILKYINSSSSPTAILDRSRTVVDVRPSPVMAQFSSRGPNVVEPNILKPDVTAPGLNILAAWSEASSPTKLDGDHRVVKYNIVSGTSMSCPHVSATAVLLKAAHPDWSSAAIRSAIMTTATVNNAEGGPIMNGDGSVAGPMDYGSGHIRPRHALDPGLVYDASYQDCLLFACASAGAQLDPALPCPASPPPPYQLNYPSVAVHGLNGSVVTVHRTVTNVGGAGEARYTVAVVEPAGVSVKVSPKTLSFARAGEKKTFKIKIKAVGTTSGSFTTGSYAWSDGVHVVRSPGVVLAACSSPPPPPPLFTRIEHRKLKDR >Et_1A_009462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6040152:6042627:-1 gene:Et_1A_009462 transcript:Et_1A_009462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARPRSATAEAKSGKSTPPTPKGSRGSKPASAKSANGTPPQAPRSADRSPGSADKPPSGDRRAPKVFARLSTPPAEKQSSAVKLSQEVQAQLAAVQEELKKAKEQLVEKENEKSKVLEELEAAKRLADEANANLRDALAARKKAEEASETEIFRAVELEQTSMESMQRKEQELQRKLENMRSQQESDAIALRSTVEQLEKARYELADAIDAKNLALNQVDDATRLGEVNAHKVELLNAEVARLKELLETELVSKEKEGTEQIIRLEAEVSALKIELQKAKLAEEKVAELGGVIEGLRLDVANATRARTEAEEVADEWKQKADSLEIKLDEANQSYMLKIDSLNSVMKELDAANALLMEKESELSDLQNKLQSLEDEVARQSEDINVSNERLGVAEKEAFDLRAELEELQSKLQALEEEKMDAINNENNASSQVDSICEEKEKLAKELEASKDEYEKVKKAMEDLASALHEMSSEARESRERYLNKQEEIERARAQIEELNMNLKNTQENYEVMLDEANYERVCLKKTVERMEAEAKNASEEWQSKEVGFMSSIKKSEEEISAMRAEMDKVAESTKDWESRNAELEEKVKALEAQVEEANKVKDEAKAEALGWKEKLLDKENELQNVKQENDDLQLKESTASEKLKELSSMVGNAKDRVLNGTGPKDESDKGNTKEDDPVVVVAKMWENGKVTDYDLSTEKEGESELDLESSKGDTASDGHRLSIDKVNTTKLAIKQQQQKKPLMKKFGGLLKKKSQH >Et_1B_009971.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:15377092:15377862:1 gene:Et_1B_009971 transcript:Et_1B_009971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTKLVALGLVVLLSIGLADASRLARLLGSTASAGGGGGGGGGGGGSGGAGFGGGSGGGVGNGYGESGGDQWTGWWNFARGGGAGGGQGGGGGTNGGSGSGSGSGYGSGHGESTSPGPSSGNTASADGKGAGGGQGGGANGGYGSGVGGGAGKGQGESGVAYAPGYSSGGNADASGGGAGAGGGNGGGAAGAPSYGSGGGLGGGSGQAGSDGSWGSGYARGIGAGTGGGGGGGDKGGSGGGGGSGSGSGSGGIH >Et_1A_007010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2983542:3004429:-1 gene:Et_1A_007010 transcript:Et_1A_007010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKRARSPRAPVDAEEDKRRPGWRGSGVRPELVLVGFLLTLPLLVFIFGGRWGSSSFPSSPAGPNPVDHHVATGAGSATPPSKPPFLCMRFVSACELGRSDHVPRPVLQKNVSASKAPLLSQDKLLGGLLSAAFEESSCQSRYKSNLYRKPSPFPLSPYLVQKLRKYEAYHKKCGPGTKRYRRAIEHLKAGRNVDDAECKYVVWFPCNGLGNRMLTIASTFLYALLTDRVLLMHVAAEQEGLFCEPFPGSSWVLPGDFPHNNPFALNIGAPESYANMLKNGVVRNDDPNVPASSLPPYVYLHVEQFRLKLSDNVFCDEDQVLLSKFNWMILKSDSYFAPALFLTPMFEKELARMFPKKESVFHHLGRYLFHPTNKVWGIIRRYYEAYLARVDEKIGFQIRIFPEKPIKFENMYDQLVRCIREQNLLPELGEPAANSTAADAGKVKAVLIASLYSGYYEKIRGMYYENPTKTGEIVAVYQPSHEEEQKYTSNEHNQKALAEIYLLSYSDKITMSAWSTFGYVAYSFAGVKPWILLRPDWNKEVSEVACVRSTSVEPCLHSPPILRPGRRSTRPPSSRMSGTARTSGSASIPAATRLHADEAAGSDEMPAPANRNDQDHLLGGLLSPAVDEQSCRSRYALSSYRRSSPFRPSPYLVDRLRRYEDRHRRCGPGAPLFKEAVEHLRFGRNAAHAECQYVVWTPLNGLGNRMLSLASTFLYALLTDRVLLVHEPPEFEGLFCEPFPGSSWTLPADFPITDFSGIFTMTSPTSYKNMRQAGAISSDHRNVSAERLPAFVFLDLIQSFTDAAFCERDQRVLAKFNWMVLKSDVYFAPMLFLMPAYARELARLFPAAEKEAVFHHVARYLFHPSNDVWAIVCRFYEAYLARADDRVGLQVRVFPEMPVPFENMYGQIVRCSDQHGLLPKVVVQKDGAANDTHTPAAASGSGKKKLTSILVTSLLSDYYERIRGVYFVKPTATGESVEVHQPSHDREQHTEARAHNQRALAEIYLLSFCDRIVTTAVSTFGYVAHGLAGVRPWVLLRPPSPEATADPACVRSETAEPCLQAPPRGLCGVAEGSDLGTLAPYVRHCEDVYRGLKLFSNSHGSGGVAGDEERQPLRGGAVLETERSPAPSVHHGVEQQLEARRRSRMWRASVRVGLVLCLLTIPGVLFLMQWQASSSPRAGVADEERLPLQQQGQETKRAAGPSAAAEAKKPRRLWTAAGRAALVVCFLAIPAVLLLQRWQAGASPEWCSSDIGYLTSISRVSHISFYINELQDIQDDTSDDLSSSPHIEYDKLLGGLLIEGFDEKSCRSRYQFARYHKKSTRIPSPYLLERIRKQEALQKKCGPGTKLYKKAAEQLRSGQPINVTDCNYLFLTIHAGLGNRMLEITSAFLYALITNRVLLLDRYKEIADLFCEPFPETSWLVPSDFPLNYGEFTQSSPESYGNLVQNKVFGGNADRSLAGTRPPYVYLHLDGNYGFHDKLFYCQDDQQFLQDVPWLIMRTDMYFVPSLFLIPAYQEELSRLFPEKDTVFHHLGRYLFHPTNDIWYSVTKYYRSYLAKAEKTVGIQIRIYETKGILQRNGPFPHILNQILSCAQNEKLLPEISMTEEATTSAKNNGTIAVLTTSLSSWYSDQIQEKYDQHRTVDGTTVKVYQPSHEEYQRSRNKKHNMKALAEIYLLSMTDVLITSGFSTFGYAAQGLAGLKPWIMFKSENHVTPDPPCRRAVSMEPCFHQAPYYDCKAKRDADLGKVVPYVRHCEDVSWGLKIQRKSAAQGAEQEIPVSQGAMARHSWPDAEGAPEHSPMPRKKKPQAAKRWSSAVNVALVAFFVFVVFFAGGSVSPSVWFAGVKAQLRRGELRCPYNRHSRPPAMALAQIRFSFLCAGSDHGSFPYERSVPDKLLGGLLSEGFDEKSCRSRYESSMYRRNPGRQPSPHLIAKLRKHEEIQRRCGPNTDAYSRAIQQLRSGKSAVGSPECKYLVSISYRGLGNRILAAVSAFLYAVVTERVLLIDPSNEMDELFCEPFPGTTWLLPRDFPLASYANFSIDTAESYGNMLKNKVLRNDDVPSATTQVPAFAYIHLDHDYGDEDKMFFCADDQRALSNVPWLVMRTDLYTVPGLFLVASFQEQLDALFPERDAVFHHLGRYLFHPTNRVWGLVTRYYRAYLARAELRLGVQVRAFDDWQAKSPHVLQQITSCVWKEKLLPEVLATAEEHTSPAPGAGKSTTVLITSLRAWYYERIKGMYWERATATGEDVSVHQPSHDEYQKFGAKSHEDKAWAEMYLLSLCDALVTSGWSTFGYVAQGLGGMTPWVMYRPMNITEVPDPPCGRDVSMEPCFHSPPVYDCKLKQGADTGKMLPHVRHCEDISWGLKLVDPKERIRRSPTPPLAASPPGHPRGRKGAAAVLPLSVAALVACAVLLLLLSGGSVARRGQFLDADHSELPGGGGAGSGDLHQARPRDGVHGTSASSKVKKDKLIGGLLAPGFDEQSCQSRYQSVLYRKESPHLPSRYLLERLREHEVLQKKCGPQTELYKKAVDQLKSGQDTKVEDCNYLVWVSYSGLGNRILTIASAFLYAILTNRVLLLDGDKGTADLFCEPFPDTSWLLPPDFPIKQFKNFSIGSPESYGNMLKTGVVHSDGSFKGPSPAFLYLHLAHDYDDYDKHFFCEYNQQHLQKIPWLILRSDNYFVPSLSLIPAYQAELMRLFPQKDAVFHHLGRYLFHPTNVVWGLITRYYDSYLAKADEKLGIQIRVFDTEPGPFQHVLDQVLACTLKEKLLPDISAQQPIISTGTVKSKVVLITSLNSGYYETIKNMYWEHPTMNGEIISFHQPSHEEHQSSDKRMHNMKAWAEIYLLSLSDVMVTSAWSTFGYVAQGLSGLKTWLMFKPENRTSPDPPCRQVMSMEPCFHAPPFYDCKARQGTDTGKLVPHVRHCEDMSWGLKLVDQNECESVGEQSYNRKQ >Et_5B_043604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12450801:12453729:1 gene:Et_5B_043604 transcript:Et_5B_043604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLQPCAPPPHLHHHRPFAPAAAKTARRATTMCLGMPRTPEERRARMRQRLPKFQDSSSSSPAAAPPALKAPAPNPTAPVAAPAPAMTTRTTAERRGSLREMRRVWWVCGVGYWVQGFRCFPWLALNFQLTRGLGLSPAALQLVQNAGNLPLVAKPLFGVLSDAVYIGGAHRLPYITIGGTMQRLRLPRSAVPSFRFVPLVAWGALAVIPVTGDTFPTQMACILIGNFGASVTEVVSDAIVTEFSRTQKAGVLQSYAFIALAAGSLLGNLSGGYVLLRTQEPKIMFMAFSVLLGFQLALSLSTKETLPSSQENSRSNRVRSSLKSNLRKQFSNLMMAISDDRIFQPLAWIMTSFAVVPILSGTMFCFQTQYLKLDPSVIGLSKVVGQVMVLSLTVLYNQYLKRIPLRRLITGVQLLYALGVLSDLVLVKQINLMFGIPNEIHVLCFSALAEAIAQFKVLPFSVLLSSLCPPGCEGSLFAFFTSGLVFSAILSGIFGVGLSTLIGVSSLDYSSLPLGILLQSLAALLPLGWISFVPENWTADDKIVFKR >Et_4A_032378.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3785843:3786226:-1 gene:Et_4A_032378 transcript:Et_4A_032378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRGGGGARGGAGHRGRGRGGGVGGVRVLRAEGGVHAGVRGRGARAVRRAVAVRALRRRRRRGGRRRRRVGAGGGGGDREARRLLPRDRRAPHADGRGRAAHRGGAAAAPQRGRQGGEGRGGGRIPGGL >Et_9B_063645.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15760637:15761113:-1 gene:Et_9B_063645 transcript:Et_9B_063645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PAERSHATNHAISVHWVLSEGFRTHLVPRLSRVVEEEFAKVQDLLSDVVLSEDLDERCSELLDQHGQMKASRVYKNGEISECAGLQFRKICVAQQSATESPILCVAGCARENSVPGEPTCKEHC >Et_2A_014619.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29109021:29111090:1 gene:Et_2A_014619 transcript:Et_2A_014619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAEAVLTPEGSHGEALKLLQSLASSSLACSITQFPAKWQSIKDKLQQLCCNLNSLCSSIGVDSSGDDEEHPMLVELLQSASTTVRSIHVVASQCGEGSYKGGRLRLRSDLDNLSSKLDVHIKQFKEMACSGMPSPSKAIVAVRPSIEAGVGEKTFYLKDLFSRIRIGGPVQRAQALATIRELLTEDEVCAKVVALDIDDAIVLLIGFLDSRDVCIQEEAVGAVAIVASSEYFRGMLIKAGVIAPLVQVLENAASTSELAKERAAQALRELTENSDNVWAVCAHGGLTTLLHACGDANSSSKLISSSFAVLRNLSRVEEVKMFMVEQGVVTELVKLSQKKEEVRKLGAVELLHAMALDDADVREEAVRMGVIQSLLQLIYPDLPYSYKAREVALAAIWFFCFSSANSLDDLISSDVLGWLLFYLNNGDYAVLECTLKILRHLSEVSEEYNKMMGRAGYLSALASLLGAKSCRIREMAAQVLSSLLQLHTNRIIFVQDSDNLSSLLQLLDPAEGKLMAKDLILSAIMSLAETNSGRKKIVTSEHFSNLKELADSGDFDAKKVVKKLSTNRLQTIFSKIWNA >Et_1A_004505.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:10752261:10753085:-1 gene:Et_1A_004505 transcript:Et_1A_004505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IFISSLAAHSCLSIHPAAAVPTPCHELTVPWAPTVLAPLLSAACAAITACRTSCSGAISAAHGCSTGTRLSITVISSFKFNGPNRDCTDYITVRSFRYCSELYPREIAYRSCNWCLTDGGGGGRRKPPVKTPTLAASKRGRTNSLVDSGGCSRSAFSAEPGKPVKKPKTKGAGTMEQRPVTTAAARGRTEEKAGTGKKVRFRAKVRRYKLLAEVIC >Et_2A_016126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21205718:21210153:-1 gene:Et_2A_016126 transcript:Et_2A_016126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPVHGGRGRVVDGAKPAAAMVSLQLLFAALQIFIKLALDDGMDARIIVAYRFMFAAMFLCPLAFLIERFTINHNLYVLAIKLTSATFVTAISNLTPAGPEITILRQLPHLRFVHTVKDYHSGSASASNPVLGSFLSIVSCFSCATWFIIQAKVAEVYPCHYSIAAIVCLFGAFQSTIVAISVHRGMENWRLGFNIRLYSSAYAGLIASGAAFPLLSWCLQKKGPVFVSMFGPLMLIFVAALSSILLDEALHLGSVLGSILIVVGVYLVLWGKAKANVGPDEELGKISAPSTTLAAGENEINDS >Et_8B_060022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5117175:5123050:1 gene:Et_8B_060022 transcript:Et_8B_060022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSDLDSAALWAAVDSAAARASSVRRSAGDDDHRGEVLQPARPFKSPRLALAASRATPPPPSLPPSAPYASPYSTPDAAAAARGRLVVVESPPPEPWAVSMGSPIAAASDGCLLPSLSVANFRKYQEVALSILEKSDYTSISGSPYIKKSGWRKISCFFNISFEIKNHSIEFDENHNVNRAEFLVRASMIGGRFSDGWGSCDRREKRFNKPNHDIPSTAETRAKNKACQDAETLLQCEESGWKQGRRMNGYCRESQQPTSASRAAAAALLLPQVTEWLEILRGLACRL >Et_7A_052631.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:55853:57790:-1 gene:Et_7A_052631 transcript:Et_7A_052631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAKSKQESNNPMKKKKKQALGCEIQARGINYHVAVSKKRAHHPLKVWSRADELEQQEEEHQDGLRRHVLRDVSCRARPGELLAIVGPSGAGKSTLLEILAGRLSPDEQLVLLLDGAAACSADLRRVSGYVTQHDVLFPLLTVRETLLFSARLRLCGREEEEVVEALLDELSLRRVAGSRVKDLSGGERRRVSIGVEAVHDPPVLILDEPTSGLDSASALQIVGALRAMAETRGRTVLLSIHQPGARIVKMFDSVLLLAGGSVLHHGAVEDLRALLAAAGLQLPPHVDTVEFAIDSVDALIRRHRIHHQQQHREGQRCTLQQLFQQHKLLDDVACKNAAVSPSSTSKKKKKNSKSSSWAREVAVLSQRFFKNVARTRQLFACRTVCMLLAGLALGSIFYDLGDDKVAERVGLFAFLLTFLLSSTTEALPIFLQERDILAKETSSGAYRVSSYAVANALVFLPFQLALALVFAAPVYWLAGLRRTAPAFAYFVLVVWLILYTANSVVVCFAAAAPDFVVGNAAIQGVMGSFFLFSGYFISRSAMPGCWLFMHYLSLFKWPFEALLVNEFAGGGRCVVRVLGQCVATGDQVLRREGLGEECRWRNVAVMVAFMAAYRLLGYAALRLRCALALSSPRGLRFKTKLLH >Et_6B_048809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1446914:1448143:1 gene:Et_6B_048809 transcript:Et_6B_048809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAKADPAIRVLLTAALCVVSVALLADSRSANGEELVTQLSNGSPRRTRRTRRPPGAVRAQRRLRAGLPPRGLGVAGPRRGPPPFLLPAVARMGDWSRAATLAFDRSLVLTDPEHGGVLWRTLNTDGDTVVLHNSSDLVVRRFDDKGATWHSFDAPSDTLVLGQNFTAAAVVRHVRAERHQRAVPARDAGRRRQPPRVLLGRRVQGLDVGLRGHLQAVRAADAVRPLLSPPCHAEDLCSGAGESLPFEAVRRTRVSVAYKEDLPFATNYKTAVECAVQRRERLLLPHRLPRGDARVRRRPPEGAGYFKVRRRVPSSPARQRVSPCVTAVVSLVLATLVAAGACTGYRMWVRKRRRRAEVEHEMAAGSYKDLMSTGSANNSFNKA >Et_2A_018435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28029970:28032717:1 gene:Et_2A_018435 transcript:Et_2A_018435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFTLPSYVCTREGPDHAPRFRAAVTFNGETFEGPSGCTTLRQAEHAAAEVALASLSLRGPSTSLAARVLDETGVYKNLLQETAHRAGLKLPAYTTVRSGPGHSPVYASTVELAGMSFAGDPARTKKQAEKNAAMAAWSSLKQSKQQSTPRPLKISSSSQLSELNATTILAVPEARKDPAASVAGVEEQEHVVVARVLAALKKRVDGDGKAAPLPKHCGIGAGSSTSALPSPPLYRHQWQRRNAPAPPPRTSAPLHAAGPKILPPLHLLHQPSSSSRDAAAELVRLLERAMLSNRGNAMPPSPCYYAPATASAYHHHGGAPRSFAAGGFHAPAVSVRSVIPVCAAPPPPRPAAAKEEERDGPATAAEAGKRGNFDRNFTVPTMHRTAHGTKRAPSASPLPMPPNSLVSHRSLPSHAAGASASPLSLRAPPRRAAAAALHSAARLPSLPSRLRLWLWPPPLPPLAARGGHGRLPSCPASDCGACRRPPPHHRQHKNSGRRRRQAQGVAASFSIPHGSQVSPYLPLLLPSGAVRQPWSSDLAARSSSRTPAARAPPFPPPRPKSAPAACFRASTTDDDPAAGDSADSDDGNASPLPLPPPCRGAAQEAVAALELLLAVPVPDASGPVAPVRPSSWCRPCPRGRCIDDDAASARPGEVVVHGGGMV >Et_4A_032881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1585111:1589738:-1 gene:Et_4A_032881 transcript:Et_4A_032881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKKETVKKIKVDNQINHLAAMRGFTAVLMAAAMLLLLPALLMLLVSPPTGPDSYEQETRRIFAEWKAKHRQRTYEYAGDEECRKAAAGVTSSGINGLSAYAQEEVLHRGDDVEEGEESYEEETRRMFAGWKAKFGKIYRDVRDEECRYMLFKGNRRIVVQLNAAAGEDAYGLNQFGDLTNEEVRERCNGRRAEEKLSTRCQAATVFYSVHERLIRSQVCQCIATELKQIESGGSAIPFIASRCEGLKTPERKYHNIVDDDEESFEDVESSDSDRDDYFEEDEGHCNDPSPKNNTSNNATGSKIVTRLNDMITSD >Et_8A_057653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5769612:5770493:1 gene:Et_8A_057653 transcript:Et_8A_057653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNCTTALHGPSRLSRASSGGMPPLAAEDDQAMIFTDEEFEALVSGDCEMMSCSDEDELHQPPLSWGDDAQFQAPLFSEEAARLNARRFVDDLQEPVSEDEAQKLMEMLCDEEIAAMIALQQPRARRSEGKSGNKRKSRAPQRRCSDDAELLQVPVKRARGREAKEAARIAAEILNHQPRAPSPSRGRTALRCQCAELAVAGGDRCCALHQEVAGAGVDEWMRAKNRRVPLVGGPGKVVVPTLAGGDTIATVAQYAHWRRSVWMPTRFYVERVAERAMDAWLNKR >Et_10A_001971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1599974:1602079:-1 gene:Et_10A_001971 transcript:Et_10A_001971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLRMLNLSHNSFSGNIPWELGFLPRLSSLDFRHNSLQGMIPPSLAQASKLRVLQLEHNNIVGEIPANLSYLQELEVLELGANQLSGAIPPSLGSLSKLTLLGLYTNNLVGGVPPSFGNLSLLQYLYADTNTLSGQVPDSLGRLTNLQSLDFAYNQLSGAIPRSLFNISSITTFELSGNNALSGVLPLDIGLTFSNLQNLFLNDCQLSGRIPRSIVNASQLRYIQLGDNELEGTVPLDVGDNMKNLEVLSVGNNHLADKWSSPIPVKGVFSNASAFFVVGNKVCGGIPSLQLPQCAVEGSHLKKKPKGILLICLVAGVTSFLLILVACCGIFLFIVRSRRRFPNAPLLDQHWQVSFEELQKATNQFSPSNLIGVGSFGSVYKGIMRPGAQHVAIKVIDLLQHGAERSFLAQCRVLRSIRHRNLVKVVTACSSIDY >Et_10A_000800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17572485:17573707:1 gene:Et_10A_000800 transcript:Et_10A_000800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAATHKSRKRQRRRIRETPPLPLDLVLEIAARSDPATLVRCAATCNDARRRIADPSFHGSLRLRHAAGCFVPSLLHGHLVWDTVRDLFIVDNTKKHATKLRPGGWARPKILAARDGLILILTAGEKEHRPHVFSPATGQVHFVPHQRYDGQYVLLASDDDDVNRRIKARSVSWNGNRRILQFQTFSSDDGRWGRSVKVPIPYVHGGWFRLQPLVSNGALHWLCRSDKLYYIVKLHVDSAQVTTTELPVRFHQEYGSAAAARKQLLLATTPSAAGSSPRLCVFAADRDKISVWAQSERDPSRWTRQPQMEIKHELISWFGWEDRLVRERMRTVRLEWFSDRSGFVLFDVPGEGCFMLDVRSKKIVRWSWRGQGHWDGSDVTCPYEMDVSSWVPSFTKTF >Et_2A_016649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26951389:26952392:-1 gene:Et_2A_016649 transcript:Et_2A_016649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGGGGPVVSADPFIGVPSPPQQDVPPVAVNPWQEVMDMQAPLDLTIAAGFDDDFLPNIQLGAPHVPEPEAQLLLPPREKYQLQLQRSRSSSASLLQAVPDRGEPRKGTRSKMHRLFVFHRERSTWLLRKNVTVTTVTKAQLVASDTGAWRKYGQKRMKDSPYERSHYKCSTDKACGVRRMVERRVDDPSALLTVAYSGDVHRHGAPPVRNNALAGATRRGQGTSTCPPRKEPRANVGGRATRAAAPPAAVFDDEAVNVNLTIDSAIMDPEGGGTEGEAGSGGAPMVNDAEWEAAGAAWAATWR >Et_10A_001125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21301263:21302210:-1 gene:Et_10A_001125 transcript:Et_10A_001125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPDKVSWTALINRCVKNERHHEAVELLDGVDPDYDTLIAVVSSRLCLRRGRRARGVSAVHRFVVCRRGRIERNVRVANSLFDMCSAECLVAEFLELDDRWVGVLTACSHAGLTEQGLRYYDLLTAEYSVAAARMEHYGGVRGRRAWPRRAPQRGHARCADHADAAERGGALSSRSSRDAGCRMHVRGRGQGRAEAAPTYGGDAGQANYVLLTAVQVNLPVIIVCFFGLIISHCGFRRWQPRIVILSLLMSKALVFALKKKTTSCCPTYVRPSYSAVRGDKYGDVHEFVSADRSVTSAGRGD >Et_6B_050038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4391189:4400820:1 gene:Et_6B_050038 transcript:Et_6B_050038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRSASRSTASRAALVDRIGALPDGVLQHILSLLPAHEAVQTCVLAQCWRDLWRSAPGIHFAGVNGWHSADRLDGFVDRLLRLRQESAAPLDSCCFEVDSCPPPPPFNIRTLPSKWVSCALRCNVRVFQFFATKEDYYCFEFDGAALISQHLTRIEFSYLIIWASSVLDLSGCTALVELKMDTCVIEAGKISSPSVKHLSMTFCEFGGLGPTGHNELSFPGVHSLELIQCAGRTPLLESMPSLQTANVSFGEESEDQCWYGGPDTIEVGEGAVLSLLGIG >Et_4B_036760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11369019:11376268:1 gene:Et_4B_036760 transcript:Et_4B_036760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVPEELRCKRSDGKQWRCSAPSMPDKTVCEKHYVQAKKRSASSALRASLRRSSANANANAAAAGGYPFPAAASYADSRFRGDESDADDAVPPPPALPMAVARPLYSRVAGEEVYVAEPVPVPVYDGLPLGNAASVRTAAVSSALDYRGRAPCSGLLFYSLHADCVRSRSGEAPRRGCLAVVRRGLRIATSVGRLGMSFGVLAATGEGTAPAASPNVEDVQKVCPACRGICNCRVCLQEDSLIKARVQEISVVDKLRYLHSLLVCVLPLLKQIYSDQCFEIGVETRSSGWKTDILRAKMNCDEQIDFCKVPVFDYHRHCPRCLYDLCLDCCRDIRHSRANVEDTGKDSFSKRARLELSAETVQDKTFSHSFDLNFIDIRSLFPTWKVSNDGSITCGPHEAGGCGSSKLVLRRIFKINWIAKLVKCSEEMVNSCKVHDLGDGCFCCSDGSMLDLTGQQNFGLSECSNRDGIDGNHLYSPALEDLKYAGIAHFRKHWIKGEPIIIRKAFEPSLSSSWDPLSIWRGIQEIIDEKMDEDVKVKAVDCSNQREVDIELKQFIQGFSDGNMGRDGHLLMLKLKEWPQPSVLEEFLLCQRPEFIVNFPLIDFIHPRWGLLNLAAKLPPDALQPEVGMKLLLAHGSRQELGYGDSVTNLMINMGDVVHMLMHTAEGHNPSPQRMQTEQPERIAANGTGVHVNAHAPDENLDLDMGEQAPKYTIPKSYDEGHSVMQIQVNSGSECASTDLSSSSRSNEPKTKSSQGPQSGALWDVFCRHDRPKLNEYVVAHWEELSASSQAVPSVKHAIYDQAVYLNNYHKKILKDQYGIEPWTFYQHIGEAVFIPAGCPFQVKNLQSTVQLALDFLSPESLPESVGMAEEIRCLPNGHAAKMKMLEIRKISLYAASSAVREIQRLTLDPKFSLDVRFEDQNLTRAVSENLARVNRQRKLVWFAT >Et_2B_021846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:646041:647539:-1 gene:Et_2B_021846 transcript:Et_2B_021846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTAGPLRPVVQCQTLKYNMKSRAGRGFTLEELKAAGIPQKLAPTIGISVDHRRKNRSLEGLQSNVQRLKTYKAKLVIFPRRARKVKAGDSTAEELATATQVQGEYMPITRGEKRSIEVVKVTDEMKGFKAYGKLRLERTNKKHMGMRQKRAAEAEKEEKK >Et_10B_004291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:346345:347139:1 gene:Et_10B_004291 transcript:Et_10B_004291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMTRFLSAVAAKRSAASAAGGSLRRRFASSARHDDAQEAVKWERITYFGIATCTVLAIYNLSKGHPHFPDPPPYEYLHIRNKEFPWGPDGLFEKKKHSDEHH >Et_6A_047487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6362552:6363624:-1 gene:Et_6A_047487 transcript:Et_6A_047487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSLGQRRKRIRFGHVDDCTGDPKAEAASRWSDLPADLLCDVSRRLHTATASTPSAIPGASPFRRSHGVRRSCRGSSRRPITPPAATARLAASSPRSGRAAAGIMSPDAFDVGGWRELGGSCAFVDPSPPPPPPCKVIWWGNSADHAISTVAGDGTTVRCLFSPDIWAAWAPTSFAVSLSLLRPGAAWTLVKTNLKVTNSDEKLILESRGELLWVIVQARSRFALDFPDVVVVDRAVGGRLATGLSVTVHALQEGESELTGEPRWVKRDGRSFADRIMFLGVPTSFAVDAARFDVSDGGAYFVTKRRILFKYSFRDGKSEFIEPLLGDWDGAACSWITPQLAFSCATNSGN >Et_2B_021394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29302758:29305383:1 gene:Et_2B_021394 transcript:Et_2B_021394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYTPLRRWRQFFPAFEAIHGAIEASDPAKDDLGWARELQGSTAEVAQLLRDSAWNDPAEHLCVSLDGLMVEYLVTLRTVPVTPSMLASTGLAKDVGVLFEHQSEKIRGLAREIVGRWRKAVEKVIGRAGTAMEVLLRQDLKPVAGEKNTASVVRSSRVEPAKIDVPAKIEAPSPKKNAPVVGAGRANTANIEKTKLPKKTPAVVGGDHASSGIDKMEATKRKLQEGYKEAADAKRQRRIQVIKAPKTLEQGQRKTHPIFKERSRARGVAGSTAARPMAAQHSPLRRWKRFFATFDAIDVAIFASGGEDAHECLVVLRRVKADAVQDLCRMLDHVMYEYLVALTTAVPVTAPSTCVAKAVVALQEHESGRIRGLARGIVRMWRASGESEGARARARVEELIMLSDEAMAPSKTARASSQVVPQASSRVDGPAKISAPSPRKKSSAPVVGGAGRASKATMDTKMEANTKKRKIREGCQEAADAKRQRKTLVSDQAPKSRRTSVAGEASNRRAPAADGEKKRLGEPCRTKAAACVVRSNRAEGRARASAPLLSKKGAPVASTARANPANVNKKKLPTKTPPAVGKGRGDRADGIGRMYVGH >Et_2A_016090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2118433:2120334:1 gene:Et_2A_016090 transcript:Et_2A_016090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLSLEQDPSLMSYTLASAMGSAPSSATRRPSESLLPRQIKSDGFVLSPLFRVTHTSIATDLPATLAVVEESAGGRCRVDAVELGRSPSNTSNKTLVPLTKRSSRDIAFHWRAHQRPTQHSESVTHSPGISHPRPSVSQSAAAWTAAGERPRHRFKCVSKAWCGLVTDPLHRERFAQTLAGFFCVDDDGGGGGVCEICREADGDGAASGSVRSCRHVKRSITRRFINVSGMAEPLIDTAFPFLPPAPAPEGFRDAMLDYRDGLVLLGRFRAHETPGHHRPACYLVCSPATAQSATVPCSGWYPHDAQLLVQRTYLLFDAAASPHRFHLLQFWEDDMDTVRAVHTFSSEENDWTNRAEEWVDGGWKDWGRRGMAPIQPGTGSAVADGALHLVVDTDGTEDDGPNNLVVLDEAGGVRRTIPLPRRGGTAKDWYSVFVARSQGRLHYVMCVRPPHGRLSEEEPLRLLVWVLEDYAAGEWVLKHDVGFPELFGRMACQFRVEYNVVAVHPDGNWVFLARHWDRKLVAYDMDRREVRVVGDLGHGPHGGDGCGGVELPIAPYVPLYSELSALASTK >Et_1A_005595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11933559:11940277:1 gene:Et_1A_005595 transcript:Et_1A_005595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSETANGRTSIWAAGCPVLPKTPGERTQGTPPSVFRSLDCLPCAPKGGGNRAAATASPALMAPPPTPDGRIFLLSFLLLALSSAAVSGHAAVAEEFTEELLLRPLPDRKALAHFHFRSLAPPFAAAGRHHHLFPKAISHLVQKFHIIELELSFTQGRWNYEQWGGSDPMSTSYAKPPGVELWATFDLPLAEIDATWKNLTHTLSGLLPVQWGFKLNEGNLRYGTLPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHSQKLKLRSSQSLGIILDQTLTPNWSIRHLFNSKFSGKCLVSKSSRIFLDIDKGIADKVNKSGTAVSWKNEFFVLSNGPDRVIKELNNLKVQSSTLYEYDVSTYSEEKPFDMGITWKLPLIWSCTPSPYHTSRFLMGSGNERGSIALSFMSTNLHKQISGSSDDCSTQAVIFQVVPWYVKVYYHSLEIFIDGNRKTISEVVDKIHVTPSEDKLLPGTLEMQLRGFLHIDEYPPDANQGFGIPSALVSFPEFSSARNYPEIDPSLVSALLENFQEDGVMKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRIGEEERELKKAATRRGLIPLLIAKLRGQKMDPPESGSSLENMARHKLVSRTRKESSKVSKEHRGIQANKWRASGVRSLTKIRETVCTAGVALSMLLDTFSSFWLLPHCGMMPGPHDAAPCDLLTAILQKTDQVTHTSRGINGGDEQWIDWRRRRKEQGAGEIDVEEPTWLEAEKPRPDETEWRGAAAELRLAYGRAREGRMGRES >Et_2B_022423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21047415:21051135:-1 gene:Et_2B_022423 transcript:Et_2B_022423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPAPPRILLAGDAHGRLHQLFKRVKSVNQSTGPFHALLCVGQFFSPDGDVEGDVADYIEGRAVVPIPTYFTGDYGPAAPRLLSKAAATARGFSPEGIEICPNLFWLRGSNRFTLHGLLVVYLSGKKGPGGPGCYSQDDVDALRALAEEPDCTNEWPAGVVNGADTSNVPNQVLDPHGYDPVVAELVAEIKPRYHIAGTKGVFYSREPYLNDSAAHVTRFIGLANVGNKEKQKFIHAISPTPASTMSSADINARPPNATLSPYIAPVKSVPSEEATKRPAENNDAQYWRYDVKRQRQGEAEGSRLCFKFTSTGSCPRGSKCHFRHDEEAMEHYSRNVCFDFLNKGKCERGPECKFAHDLSGESAAKDARPRSERRRVESSCWFCLSSPDVESHLVISIGEGYYCALAKGPLVPNHVLMIPVEHCPNTLMMAPEAEAELGRYKNALGKYFEKQGNTAVYFEWVSQQTRHANLQAVPVPSSKADAVKKIFHLAAKRLGFEFSVVNPDGDATQGRELLRSQCEGKSSLFYVELPEGRILLHKIDGTEKFPAQFGREVLAGLLSMADRADWRNCKLSKEEEIQMVDDFKRGFCEFDPAE >Et_4B_038491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29424860:29425728:-1 gene:Et_4B_038491 transcript:Et_4B_038491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EQYRWLERDLAKVDRSVTPWLIAGWHAPWYTTYKAHYREVECMRVEMEELLYSYSVDIVFTGHVHAYERSNRVFNYTLDPCGPVHISVGDGGNREKMATSHADEPGHCPDPLSTPDPFTAGFCAFNFTSGPAAGRFCWDRQPDYSAYRESSFGHGILEVKNETHALWSWHRNQDLYASIGDEIYIVREPDKCLIKSSRVAY >Et_2B_021680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4442339:4446158:1 gene:Et_2B_021680 transcript:Et_2B_021680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVTPKQRVLEAEQREEAMEMSGLELWKHEKLPKICPMPPPKPPAACDEATLVPPLNFAMVDDGIFRSGFPETTNFRFLKSLNLRSIVYLCPEPYPETNTEFLEKNGIKLHQFGIEGRKESFATIPDDKIREALKVVLDPRNQPLLIHCKRGKHRTGCVVGCLRKLQKWCLSSVFDEYHRFAAAKARITDQRFMELFDISSLKHLTPSHC >Et_7A_051407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1883029:1889013:-1 gene:Et_7A_051407 transcript:Et_7A_051407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVHRNLVRNGPGTVKLLPEEDDDLWHAYNLIAVGDNLQAVTVRKVLREGASGGRDAERVKLKLEIVVESVDYDKEGSVLRARGKNISENEHVKIGQFHTLELELKRPFVLRKALKKFFEHVLQALLKHIDFEVVQCVVIASPGFTKDQFRDYIFLEAARRDLRVIIENKARLVLAHATSGYKHSLKEVLDTPGVMSLIKDTKAAQEVRALQEFFNMLTNDSARACYGPKHVEIAQDRLAIQTLLITDTLFRNADIAARQKYVKLVESVKKYGGTVHIFSSMHVSGDQLAQLTGIAAILRFPLPDLEDIEM >Et_2A_018846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:939564:941397:1 gene:Et_2A_018846 transcript:Et_2A_018846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGGHGRRHRASSGLAVAGRRPRGHRVHRLVLPLEREGLAVALRLNAVVARREHPGDHAPLHLLPLADAGVPEPALLHAAPPPGEGLVPLKPIGAFERHGVADLPREHLLRFDSGGARVGEAGRVDGVERRGVVPQRAREPRLPAAGVERVLVLAEEEDGGAGAAREAEEVGEGRAGVFAEQAVRGEDAVDGDALAALDVEHPQADVLEPVHHGVELEGEPERGGHVAQPGPGLLQLLPGLADAGDPHVRGGTRTRREALEQARPLEPLLDVEVDDVDAVVALERAEQPLVEGEVQEPRDRGDGVEHPQRCGVVVADGAVRELARRGHVERVRTRAHRAERERLGEVGGHAVHRRGPRGGGHLAQPRQLAASWLYAARESAHAVSADTSSIASTAAAGAPVHAWAAASGGGGGSADEPPSVPPDEAGELDGDLLSSSCLAAASDGPAAAAMPWGNAAALGTRKTFSSSASSPSPGAACRTVPAMDWWNHTSSPSPPILVRLARTTGPPYSSTWAASSSWARFTALLLRFQTPPPATLPPLGGSGLAPQDTACVTGGAGWARKEQVALPPVLASAAAATRGAAAPSPRLLRLEKPQVWMSNRCAVLI >Et_2B_020180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17751710:17757866:1 gene:Et_2B_020180 transcript:Et_2B_020180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRPRLRALLRHFATSTCRRSSGLPSQRRVPDPNALPPPPVARKVPFTVSAHGRSWSDPYHWMRDTSSPELAALLAAENTYADAFVGSAGGGGLRARLAAEMRARLPRSAATPPQPWGPWLYYQYVPEGMEYPVLSRKLRPSGGLAGALLDYLSGSEKEQVLLDWNEVAEKNGYVHIGSCRISPDHRLLAYTVDASGGELFSLEVKDLRSNHVIFSSSDKGIVSLAWAHNSEDLFYTVSDETLRPNQVYCKKLQSNEAEFLVFTENDVNCCVDITSTKDFKYITVYVMESDNVRKGLWPIRKRADKVQYFLEHHNGFFYILTNAPLKDTETPTEGYYLARSRAEKSLVDTWQVVALPGADCAIEDMDIFHDNLVLFLRKNGINVFCSIDMPIDGDFEEPKELGDLDPWYFPIPSNSCSIVPGSNNEFMSSTYRLVVSSPVIPDLTVDYDMKKKAFTILDQEEVTNISASLDTAGLQSNISSIQQNLNLVENLQSWSDLSNLFACERLEVISHDGVSVPLLILYSREAHRHGESPGILYGYGAYGEDLDKSWCSERLSLLARGWVLAFADVRGGGDVSWHLAGTKSSKINSIQDFAACGMHLIKEGFVHKSRLCAIGCSAGGLLVGAVINMVPELFSAAVLKVPFLDICNTMLDPTLPLTVLDYEEFGDPNIPAEFEAIYSYSPYDNLSPGVCYPPVLVTASFNDTRVGVWEAAKWVAKVRDVTCRACSRSVILKTNMQSGHFGEGGRFMHCDETAFEYAFLMKALGMDDTAMT >Et_7A_051166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15032845:15034206:1 gene:Et_7A_051166 transcript:Et_7A_051166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGTLAMDKLAIAGNVFLAVVFGCSDSSVGGPPPQASGLLGLGRGPLSLVWQLSVRRFMYCLPPPLSRTPGRLVLGVDADAVRNASDRVAQIRIDGLAVGDRTPRRLLTTNHNATSKTATATAAPRGDRANAHGMIVDIASTITFLEASLYEELVDDLEGEIRLSRGAASRLGLDLCFILPEGVGMDRVYVPSVSLSFDGRDRLFVEDRTGRLMCLMIAKTNGVSILGNFQQQNMQVLYNLRRGKITFAKGQLRLPQLEIESLVPHHHHMDWELTT >Et_6B_049886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16345097:16346866:-1 gene:Et_6B_049886 transcript:Et_6B_049886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEETCTVEGQYSTKANDEKEKPAPECGFCEDAAGCSAAAGDEAGEEITVSDAGTEHEDEYDDDDTDSDVAYDDARMSGTEYVLAYKELPQYEIDLIMQGSTEREAFTDTELFMTMTADPSVTPEDITAAAAEHEYRMDARNRFREYVRQEYEAKGYVGVSDEYIAKRVRTEEFCKKRWEELFVDSDDDDDDGSDKEQEFNGFEIKLMVKEENSFSELKSNLFTPQKSQHNTG >Et_1A_007220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32246119:32247563:1 gene:Et_1A_007220 transcript:Et_1A_007220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIIATLWVLTVSSQVLAGATAAVAQAEPSPAPAIFVFGDGALDVGNNNDLTGGEMGDAPHSNHLYYGIDFPPGNNPTGRFSNGYNLADFIAMAVGSRMSPPAFASFPSPVPTKMEGFTGVNYASADAGIRMSTNADMTIPLSAQVTNFNGTVAQLKVLLGGRKPLNQFLSKSFFLIGVGTMDLLPESNPFDPPTDDKTKVQRLVDMYAAMLTALHGMGARKFGVINVGLIGCVPSVQASSGHDDGCDESMNRLAAEFNAALGPLISGLAAKVHRFRYSLADFYGFSNAIFANPSAAGFANVNSACCEGPCIPNSYFRAPCSNRKDYWFWDDGYMTEHAAQVSAAAFHSGKAFTTLVNFKRLIAMKG >Et_7B_054784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4450995:4454149:1 gene:Et_7B_054784 transcript:Et_7B_054784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPSLRLPLRASRPAAPAPLPRGATASCSLAPSSLAALLVKPLASGAPASHRQPALLLYQRRRHGPPVVAATTASKPVVKDPKKYEDWDSMTAKFAGAANVPFLLLQLPQIVLNARNLLAGNKTALFAVPWLGMLTGLLGNLSLLSYFAKKKETGAVIVQTLGVISTYAVLVQLAMAESMPTPQFVATSVVVAAGLLLNFLNYIGWIPGKLWLLWEDFITVGGLAVLPQVMWSTFVPFIPNSVLPGLISGSLAVAAVTMARMGKLSEEGTKFVGSLSGWTATLLFMWMPVAQMWTNYLNPSNIKGLSAFSMLLAMLGNGLMIPRAVFIRDLMWFTGSFWASVLQGWGNLACMYCFNSISKEFFFATTAGLLLWIGFTLWRDTIAYGNSSPMTSLKELILGK >Et_8B_059823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3001116:3003737:-1 gene:Et_8B_059823 transcript:Et_8B_059823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRTDPAAGRNAAGGGEGGQPRDEEWRKELAAAWGQSNAERGALRAQYAAVRGMIRDAKDDPDLGLFDPAMSKMEKLHEQVQRPMEQLADGEALLDLASVLVSAAKSENREGPTPAQFVAALLRKFGVAASPLVDPDELFSWSNLATEASPLFMAATGCQTMHGPMDLAIKERRRRAVRRQSWRFDTSRPAVIDELAPEPDERNETDENMAVMFGLLRRNKCVKLENIILNRQSFAQTVENIFSLSFLVKDGRVAINVDDNGNHYVIPKNAPAAGLIASGKVLSSQFVFRFDSKDWKIMKGIVDPGNELMPHRNCNHDDQQKDARSSPAADGSGLDSDSIPRKQNKAFATEVMEEDLTNGCYGHGVPKRQKRSHAARKLFSDED >Et_9A_062799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5066825:5070378:1 gene:Et_9A_062799 transcript:Et_9A_062799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASLARRRAADALLLRRPQAAAWASALRAYAASGEESDVVVIGGGPGGYVAAIKAAQMGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKNSFAHHGVKFSNLEIDLPAMMSQKDKAVAGLTKGIEGLFKKNKVDYVKGFGKFVSPSEVSVDLIDGGSTTVKGKNIIIATGSDVKSLPGITIDEKKVVSSTGALALTEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEIRKQFQRMLEKQNMKFMLKTKVVGVDTSGSGVKLTVEPAAGGEQTVLEADIVLVSAGRTPYTAGLGLDAIGVETDKGGRILVDKRFMTNVNGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKASGVPYQVGKFPLLANSRAKAIDDAEGLVKVVSEKETDRILGVHIMAPNAGEIIHEAVLALQYGASSEDVARTCHAHPTVSEALKEACMQTYLKAIHI >Et_1A_007274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3344472:3350093:1 gene:Et_1A_007274 transcript:Et_1A_007274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALYRGASGIGGKPSAEEAYYDPKEPSENGIGGCGRGARRRRLAAAAVKIGVLVLAAAALAGCVAWAGTLYAGRGAAARAAAAAAHRGYRRLQEQLVTDLLDIGELAGGGVRAKEAEVCPPEYENYVPCYYNVTDAVDVSDLGGGVVISYDRQCTRDGRVTCLVAPPRSYRIPVRWPSGKGFIWKDNVRISGQEFSSGSLFKRMMVEEDQISFPSDAHMADGVEDYDHQIAEMIGLRNEFNFNEAGVRTVLDIECGFGTFGAHLFERDLLTMCIANYEASGSQVQITLERGIPAMVGSFASKQLPYPYLSFDMVHCAKCNIEWDKNDGIFLVEVDRLLRPDGYFVWTSNLNTHRALRDKENQKKWTKIRDFAEGLCWEMLSQQDETIVWKKTNKRDCYSSRKSGPELCGKSHDPESPYYQPLNPCIAGTRSQRWIPIEHRTTWPSQARQNSTELDIHGVHSEVFAEDTANWDSMVRNYWSLLSPLIFSDHPKRPGDEDPQPPFNMLRNVLDMNAHFGGFNAALLKAGKSVWVMNVVPTNAPNYLPLIFDRGFIGVQHDWCEAFPTYPRTYDMVHADGLLSLEKNQKHRCSTLDIFLEVDRILRPEGWVIIRDTAPLIEAARSVVTQLRWDARILDLDIASDEKLLTSVWLSDD >Et_1A_006237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19504204:19507070:1 gene:Et_1A_006237 transcript:Et_1A_006237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTPTNFIPTFLVPPDLGRLVIPPLPSDFNGVVRTPSPPHFFPGIPARPLPQFFPSVGSQPSPHVSYFGSAIRPDGAESRLESPEHPSRHHAGAQALPPSFLSCPPGSGSSLITSGDQSFMDLMASVVSKDTYTMQTSDLYSITHCSLLDAGTCLVGHDMDDSREEDCARMASLIPEDVDASFVDNPVTEATGSIPGNNSVEECAAERLATWGQNSPFAANGSGKPERNVQSTTRCGCKARLRLLRNKNNEWYVKNFDPDHNHPLVTSCVEKRHLGSHQSIDQSTKNLVRFLRENNVSLGKVNSIAGSMAGSCVAMAAAIREVLKDSCHRWCKWHVLKRVVESLGYMFNGTGPFSDDFNKVVNHMYSEQEFEAA >Et_8B_058542.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:14973461:14974163:1 gene:Et_8B_058542 transcript:Et_8B_058542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADAAELITFSGAGAGGGGYTVVGFEVAPCSVDRDAASFAGAAMYDDVPAKATAGCDATVVGARVREGHPLVFTYEVAFAESDVQWPSRWDAYLDMGSGAKVHWFSILNSIVVVSFLAAIVLVILLRTVRRDLAHYEELGVESGGDPASDDLAGWKLVAGDVFREPSHPVLLCVMVGDGARILGMAVVTILFAALGFMSPACRGALVT >Et_10B_003021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15484602:15487687:-1 gene:Et_10B_003021 transcript:Et_10B_003021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAKPILYNAWISSCSHRVRIALNLKGVDYEYKSVNPRTDPDYEKINPIKYIPALVDGDIVVSDSLAITLYLEDKYPQHPLLPKDLKRKALNLQIASIVGSSIQPLQGYGVIGLHEGKLSHDESLQVVQHYTDKGFTAIEKLLDGSESKYATGDDVQLGDMFLEPQIHAAINRFQVDMSKYPILARLHDAYMEIPAFQAALPKNQPDAPSS >Et_9A_062066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19438741:19443144:-1 gene:Et_9A_062066 transcript:Et_9A_062066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAATSNGPAALMASELMARGRESEAALEALLQGNPAQRGNLRELAEQILCCLDRALAALHGEGVADDTAGGKKRRTPPGAAAQARPKRRARALGAETPTRVEKRSTPEDGFLWRKYGQKDILNSKHPRSVVSTTIRGARFATDVVAGDSRRSVYIDCRTYFRCAYKHDNGCKATRQAPPAAARHGSLPPMMIPPHFHKPCACQTRRGGEHKANAFDVEPLLAPPTEPSSSADEASCATPDLDALLDYFDLDYCGDSWIDMETSLLQ >Et_1A_009271.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:36175539:36177080:-1 gene:Et_1A_009271 transcript:Et_1A_009271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTEEPTVATSPPPPPHFVIVPLVAQGHTIPMVDLARLLAERGARASLITTPLNGARLRGLAEQAARAKLPIDIVELPFPPPEHSGSLPPGCENVDQVVDNRHFAPMFEALQKLAGPLEAYLRAQKRRPSCVISDWCNPWTAGVARRLGVPRVFFHGPSCFYSLCDLNAAAHGLRAADDDQEKFVVPGMPVRVEVTKATAPGFFNSPGWEALREEAMDAMRTADGAVVNTFLDLEDQFVACYEAALGKPVWALGPLCLVNRDDEAIASRGNQPDVQLQSAVTAWLEAMDTDSVVFVSFGSLARKRPKQLFEVGHGLEDSGKPFLWVVKGAEAATPEVQEWLLNLETRTAGRGLVVRGWAPQLAILSHRAIGGFVTHCGWNSLLESIAHGVPVVTWPHFSDQFLNERLVVDVLGVGVPVGVTAPVMIFDDEAVPVARDDIVRAVSELMGGGEEADERRRKAKEYGEKARRAMEVGGSSYENLTELIQSFSQRKPVPKAELEQFMQNVNTVLLQ >Et_1A_006218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19304395:19305512:1 gene:Et_1A_006218 transcript:Et_1A_006218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSREFDSACKCTPSWLPLSTKYPDYPVHQPLDQETRISIQETNILSKITIVSITKGTGELVVNNSILYRTGIKMTITAGTQLSTISYPTAVQTVQYQSYSYHRGMNQKQYQDQHNQLRKMDGTQAVDPGFVHLSLSDSWAPLECAGTLRTASPSPGAGSSGRGHALVSALLRGPMVVGLYEEAAEGAAALRVQGPASPPPDQESREFASWLVSPEPAGLSSPHHQCGGRTGGGGSVFENSSWAALRPYGQPPAGAMDQETAPRSCSSTGLDTKKPRRSAGGRAG >Et_6A_046825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21004384:21009501:1 gene:Et_6A_046825 transcript:Et_6A_046825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARMTGARLALVAALLCAAAAMAAAQQASNVRATYNYYRPQNINWDLNTASAYCATWDAGKPLSWRSKYGWTAFCGPAGPTGQASCGKCLSVTNTATGAAITVRIVDQCSNGGLDLDYDTAFSKIDTNGQGMQNGHLTVNYQFPTKAMAGITGARVLAVAALLCAVAAAMAAAQQASNVRATYHLYNPAQNGWDLNRVSAYCATWDADKPLSWRQQYGWTAFCGPSGPRGQPACGRCIRVTNRGTGASTVARVVDQCSNGGLDLDFETVFKKIDTNGLGFQMGHLNVDYQFVNC >Et_3A_025820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33091263:33091604:-1 gene:Et_3A_025820 transcript:Et_3A_025820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLGPEIPETYHLGFRSSSLAKTTKGSRYIACVQELSVDVWTQQGEDDPAAWLCTRVINIPMPMTWVQRNKGTMLATYAADKNNVFAPDLE >Et_3B_031193.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20217222:20217617:1 gene:Et_3B_031193 transcript:Et_3B_031193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAAAVAPVLALAVLAVAVAAAAGAEEKKCGGCTPCVGPDCPGVYPTPVSPPPPPYYYYSPPPPAYSYPSGSGCPPPPGAYIQIGSTPPGKGPLYPQDPGFMPSAAPRRADVVPVAVAAFAALAGAWAFL >Et_6B_048756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13292978:13299383:1 gene:Et_6B_048756 transcript:Et_6B_048756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRVAEIEVVAEGGARRDEEETQRGKAGAGAAEQPDPVVDVYSAAAYGDLERLRGFVEEGGAAAALREPDGNGYHALQWAALNNYPHVALYIIEHGGDVNATDNAGQTALHWAAVRGAIAVADVLLGNGARVEAADMNGYRAVHVAAQYGQTSFLHHIISKYSADFDALDNDGRSPLHWAAYKGSADTVRLLLFMDCNQVKQDKNGCTPLHWAAIRGNLEVCTVLVHAGTKEELTLKDSGGFTPVQLAADKGHRHLSYILSNATKVSFEEKYCPGRSKKIGYAPILFGYLVICLVLFLNSIVFAPNFSRITATAGLWSWAAISLFFAAQVMFYRVSRKNPGYIKANTKRRDPKEPLIEIDINNSSTWTGNWSQLCPTCKIIRPMRSKHCPTCKHCVEQFDHHCPWISNCVGKRNKWDFFVFLCMGVATSFLGAAVGFHRLWTEPIILSSSESWAHFMVTKHPGAVLFMIMDVFLLAGALILTGAQATQIAQNITTNEVANRSRYSYLLGPDGRFRNPYNRGCLRNCTDFLVNGYSNDEEAAWPTLQQTHPNETRNIEIVQTWIGNGEQPDWIDAESHGFLRVLEHIWGGPG >Et_7A_052741.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1492080:1492751:-1 gene:Et_7A_052741 transcript:Et_7A_052741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYQGKGRRVHPAPADAAAAAAAVVLPAAVLTLASALTAEDQEVLAYLLSCGGAGAAAAGARPRRRRGAHAPEMGCGCFGCYKSFWARWDASPNRHLIHRIIDAVEEGAGGAASRRTSRRRRRGGRRCSDADEDAVAGGAMEEAGVGGVERLPPDCCDDDDGARDGEYEGDGDDEEEEGVSSMDGDGDDESVTGDSDCNAEKSTVGRLVRFIGEKVWGAWN >Et_2B_021213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27543619:27544467:1 gene:Et_2B_021213 transcript:Et_2B_021213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSKKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVPRSATGELTPVPAARDGRGGGSSSAAGGSNNLSQILSAKLRKCCKTPSPSLTCLRLDPEKSHIGVWQKRAGARADSSWVMTVQLNKDAVATTSSSEELTPSYGAATTPTSTSSTTTDSPPAMDDEERIALQMIEELLGRSGPVDHSHGMLHGGEGSLVI >Et_2A_017888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7521466:7525981:1 gene:Et_2A_017888 transcript:Et_2A_017888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRRASSLVARCLLAGRASAAGAPPAVPSALRRPDGTRGLLPGIFQRFSTAAVAEEPISPPVQVNYTKLLINGDFVDSASGKTFPTLDPRTGEVIAHLAEGDAEDVNRAVNAARKAFDEGPWPRMTAYERSRILLRFADLIEKHNDELAALETWDNGKPYEQAALIEVPMVARLMRYYAGWADKIHGLIVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMYAWKVGPALACGNTVVLKTAEQTPLSALYISKLLHEAGLPEGVLNVVSGFGPTAGASLASHMDVDKIAFTGSTDTGKIVLELAARSNLKPVTLELGGKSPFIIMDDADVDQAVELAHFALFFNQGQCCCAGSRTFVHERVYDQFVEKSKARALKRVVGDPFRKGVEQGPQIDEEQFNKILRYIRSGVDSGANLVTGGDRLGDKGFYVQPTIFSDVQDGMKIAQEEIFGPVQSILKFNDLNEVIKRANASQYGLAAGVFTNNLDTANTLTRALKVGTVWVNCFDIFDAAIPFGGYKQSGIGREKGIDSLKNYLQIKAVVTPLKNAAWL >Et_5B_045637.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5932481:5933719:-1 gene:Et_5B_045637 transcript:Et_5B_045637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSSCGAKRARTALGGETPALPNSHGSCTRDWTSLGADGPGGLIAELVLASDVADYVRFRAVCRPWRGWSPDPRAGGLDDRFLPRRWIMLRKAITGPRCYRFLNISTGECIRTDLPELAEHRFLSLTPEGLLLLLHESSLVVRLLNPLTRQLIDLPPITGLLTREQREARRLGDVSAPDLYVAGAGIVAGADASMVIVRFQFPTVLAIAKPGDDRWTVVHRGKLNAAIIFAGRFYCTNACRGVMILDTAGSGAGQKPRLRVAVGWRRWFCFRPMTHSLHLVDNGGELLLVHRKLVWHPNMDPDGNNYKYDVYRVDLNARVLVPVKSLNGRAVFMGMRRAVSVSVEVFPTVAADVLYLGTDCDEKSSMDGYNIVHGSNDPRHGDMGHPWTLVDCLSSCIHGSGKHVLCYNY >Et_9B_064108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11293994:11297082:1 gene:Et_9B_064108 transcript:Et_9B_064108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDVGASPAPPSASASSSQHHHHSVKSARGLLCHAVAGASAGVVAATFVCPLDVIKTRFQVHGWPKIATGTIGGSVIIGSLQQIAQQEGFRGLYRGLSPTVLALLPNWAVYFTVYEQLKRLLSSSDGSRTLSLGSNVIAASGAGAATTIVTNPLWVVKTRFQTQGIKTVAIPYKGTLAALRRIAHEEGIRGLYSGLVPALAGISHVAIQFPAYEKIKAYLAERGNTTVERLSFGDVAVASSLAKVAASTMTYPHEVVRSKLQDQGAHSEIRYKGVLDCIRKVYHREGLAGFYRGCATNLLRTTPAAVITFTSFEMIHRFLLGVLPPEAEQHIQPLKH >Et_3B_029897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28887828:28894344:-1 gene:Et_3B_029897 transcript:Et_3B_029897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPGELQCVGRLEVAAPPPARYLRVGSLPVPTDDSACLPALLPSPSPTGAPRYQMLPLETDLNTLPMIPTPPEKGFPNGAKSTEGSRYDSGLINQNLSRKCEALAVSGLAEYGDEIDVIAPADIMKQIFKIPYSKAQVSIAVNRIGDTLILNTGPDIDEGEKIFRRQNNQPKGSDPSMFLNFAMHSVRAEACDCPPSHQPSQEKQTASTVLRGPFGCREGSLDSPSSSSFGKSPYMDQNVCKSRKSSHGAHESLYLGTREDKQKVKGSDPVNKTNHVGDKTRCEVQESEKSKRVGNNGFRKVCFWQFHNFNMLLGSDLLIFSNEKYIAVSLHLWDVSRQVTPLNWLEAWLDNVMASVPELAICYHQNGVVQGYELLKNDDIFLLKGVSDDGTPAFHPQVVQQNGLAVLRFLQDNCKQDPGAYWLYKGAEEDVIQLYDLSILPKKHPTGDHRSPCGPMSSLMNKRRRESLLSLGTLLYRVAHRMSLSKVPSNRAKCAKFFKKCLDFLSEQDHLVVRACAHEQFARLILKCYEELELTSETFMIESEVTLTDLDDDSPELSLDNLPSKQNDVLPKIDDNETASLDNISECLQSESSGTTDGLIHVDSGSSSSVTRENVSVESLVMCQASTSQISKTIGDAISSKLAAIHHVSQAIKSLRWNRQLQNTQDGCVDGADNLWERSVDFSLCRCGDVDCIEVCDIREWLPKSKMDHKLWKLVLLLGESYLALGEAYKNDGQLQRTLKVVELACLVYGSMPEHLDGDEFISSMSDSSLSHEDVGLKTKLVLDEPDHCKNTKCFDYKVSSHKLPPNYLFWAKAWTLVGDVYAEYHRLNGHQAHVVHKQKSHGEVRMSNEVAMEVKRLKRKLGKDKQNCGTCSLINCSCQSDRASSGSSASSSSPEPSTLYGRKKNKKSLGRNSYSQSRDNENPSAQEATQGAEKVSVSNDNVDYNHANLSKNPDGIPVKSHEGISSVRSGGIFKFLGGPRPGDVEYNLSCSVNCYGTAKRALFAFPVHSAETSTILKKKGWAFNELGRWRLEGRNLNSAEMAFADAIKAFQEVFDHTNVILINCNLGHGRRSLAEEFVSKIDEFQKYDLPEGTYMQSIKSAKSEYFQAINYYSAAKRQLTYVTDEVDKVLYNEVHTQYAHTHLRLGMLLARESFLTESYEGGLANESSNRTVLEISASDAFREALSTYESLGEHRKQEAAFGHFQLACYQRDLCLKFLDLVDKEIKQKNEEKYRQKSKWYGSLAEKNWQKALEFYGPKTHPTMFLNILMAQCALSSNLSDSFHSSTMLETALTHLLEGRHVVEANEDHSSDVGLDIKPKFWCQLQGLLKRMLAASLPSSTGRLASVSQASNRGDAAKLKEMYRLSLKSTSLGQLHALHKLWVS >Et_7A_053007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4524953:4530548:1 gene:Et_7A_053007 transcript:Et_7A_053007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLQQNKRSNPLLDALFKLNFIRTMEPTRLPPPAGDVDAASLADAGNSPFCVNPPDAPPSATTPPAVTTPSAPTVSDQPPVVPTPSTPSVPDQTPSSPSVPEQTPPLPLPPITPVPPSFEPSPPGGGTPGGQGGQSGGDQGGQGGGDQGGGGQGGQGGGGQGGQGGGQGGQGGQGSPPATTTPNPPGTVPPSPIVVVPSPPEPGSGSGSGGGSGGGGGPFQPPIVYPPPLAPPMLPGAGQPLWCVAKPTVPDPIIQEAMDYACGTGAECSSIQPAGACYQPNTVLAHASFAFNSYWQQSKAAGGSCDFGGTATIVTRDPRTCKPNPTARLSKPGKVMLKPIGETICSFMAIQKFLPMNCSPVPRIRKDVSEISITIII >Et_1A_008269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5877962:5880452:-1 gene:Et_1A_008269 transcript:Et_1A_008269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICSSHTTTSLHSPCTTVSNKGFGQKQVIFFTSNRRIGRRHGGARTFHVSCSAEKPIVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPRANNFDLMYEQVKAIKEGQAIEKPIYNHVTGLLDPPELIQPPKIFVIEGLHPMFDERVRDLLDFSIYLDISDDVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVKLIQKEGVKYFDPVYLFDEGSSISWVPCGRKLTCSYPGIKFAYGPDAYYGNEVSVVEMDGQFDRLDELIYVESHLSNLSTKFYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQIIAERAGAPAEAAKV >Et_4A_035221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1344435:1345315:1 gene:Et_4A_035221 transcript:Et_4A_035221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMDGEFEVPEFNPRQRVKQQISVPFLWEVKPGAPKRDWVISKPMPTVFACPSPTPAKLIVSVPFQWEEKPGKPLQEASPFHVGADHGGFSASSRSLNPFVVESEEEYALGFDLEAFGFPDSKDAAAWIGGSSRRDDWFSFSDSEDYSHSSGDTSAQDFQFPPAPSEKSWEVANDDDQLTTIQFPRAPSEKSWEVANDDDQLTNSWSPPRNTVTTLEELMELSRRLRCGQGLPVDIRKKGFSSMVS >Et_2A_014969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23834050:23836095:1 gene:Et_2A_014969 transcript:Et_2A_014969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAPAAGAGGRRRAVQLLLLALFCSALLPRAAPDLAADRSALLALRDAVGRHLPWNASSSSSPCTGWRGVKCDASGTRVVALQLPGAGLAGRIPPGTLGNLTALQKLSLRLNALSGGIPADIGGCAELRYLYLQGNRLDGEIPEGLFQLRLLQRLDLSGNRIAGGVSPEFNKLPRLATLYLENNSLNGSLPGDLDLPRLQLFNVSNNGQLTGPVPTSLAGRPASEFAGTGLCGGPLSPCPNPAPPSPSPPIPTPASPDAPDGSKSSKLSTGAIAGIAAGGAVALLAAIFFLCFRHHHHHQRKADQSSPETAGADADLDESPVSVTVASLSKSETKRSRSRSRSRSSQLVFVGGAPDTPYDLESLLHASAEILGKGWLGTTYRATLEGGAATLAVKRLRQAPIPEREFRDKTAAITALRHENLATLRAYFYSRDEKLLVYDFVPGARSLCSLLHGGGGAGGSPARLDFTARARVALAAARGVAFVHAARSCHGNIKSTNVLVPYGTAAHSDAAFVTDHGVLQLVGARVPVAADPRVASREADAYAFGVLLLELLTGRPPANAVPGGGGADGEEVDLPQWVRTVVQEEWTAEVFDPTIAVEERVEEEMVRLLRLAVDCTEHRPDRRPGMDEVAARIEDVVQSAMQKTDTDDDFHSISP >Et_1B_012875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3707468:3709646:-1 gene:Et_1B_012875 transcript:Et_1B_012875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPDIPEIKHYDTSSEEDYLSSSEEDQMFIGPITREQYKIIRDLENNRDRNRYLLQRGLTWQQKVLTVLHMIRVQEFTEYDPKQHGRVAYRFCEFNMAFFDLDKESKVKHGPLYHHHPEFNFRQLDRSVNVISIKVAESDVPYPINIYGTVLVRDQYDYRCVYLFKRGREDPQLISSPDDILTLTGPHRALAGVGSIFFEFHLKIKGEGTIDKDFSKGLLQLRDTCQYDTLSLESYLSTVDVVCMPARFALEACIEVNILGEMSNFTGKIAAWTEKTKESKIILYDSEVAGTETKLGNDGSVSLTRHVLVVPQAECLIIDVSVYDGDNRSGCVNFSPGVEVFTCKVGLCELEFKIIWKEVKKRRPKLCDIIDGIWVLWE >Et_2B_018900.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:14885023:14887453:1 gene:Et_2B_018900 transcript:Et_2B_018900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHYESPSSPYYSTPTMPPYADVFAPPHPHRKHHGPPNCVLTPDAPPPPLLTIPAPAFSYSSPPPPQGYYYPPPSLSPNPPDIGPSPPPTDTPLPSIVYPSPPEVTPSPPEIAPYPSPPEVTPSPPEIAPFPSPSPPEIVPSPPEVSPYPPEISPSPPESTPLPPIVYPSPPEVTPSPPEIAPNPSPPKIAPSPPEIAPYPSPPEVTPSPPEISPYPSPPEVTPSPPEIVPSPPSYEPSPPSIVPSPPEYSPEPPSYVPSPPVYSPEPPAYEPSPPGYTPEPPAYVPSPPEYAPEPPTYEQSPPIYAPYPPGIIPSPPENAPPGIVPSPPEYAPEPPVYAPYPPGIVPGPPENAPEPPGEVPGAPGGFMPPVVYPPPFAGTAPETKAGTWCVAKPSVPGPIIQQAMDYACGSGAACDSIQPSGACFRPDTMVSHASYAFNSYWQRNKANGATCDFGGTAMLVTKDPSKPLLTLMQPSATIISFSMHRVPVFQILLFFLTKMLQNSVTVSFSSACNLTQLYALSLLFQAMMAASIV >Et_9A_062433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22946474:22950151:1 gene:Et_9A_062433 transcript:Et_9A_062433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGLGLFTSFLPGHDTLLRRRPRRSASAAASFRPVNAELGHAATEMGRQLVEAVGVGLPCTVMSCGDVIYRSTLPRNDGLTITAPGVALALAAVSYLWATPGVAPGFFDMFVLSFAERLFRPTFRKDDFVLGKKLGEGAFGVVYKASLANPEAAKKQGAVVVKKATEYGAVEIWMNERVRRACASSCADFLYGFRERKAKGKGADDYWLIWSFEGEDTLYDLMQSKEFPYNVETKILGDVQDLPKGIARENKIIQTVMRQLLFALDGLHSTGIVHRDIKPQNIIFSEGSRTFKIIDLGAAADLRVGINYIPNEFLLDPRYAAPEQYIMSTQTPSAPSVPVATTLSPVLWQLNLPDRFDIYSLGLIFLQMAFPSLRTDSNLIQFNRQLKRCDYDLEAWRNLVEPRATAELRRGFDILDLDNGIGWKLLTSMVRYKARQRTSAKAALAHPYFIREGLLGLSVMQNLSLQLFRATQQDYSETARWVVGLMARSGTESVGGFTEAQLQELREIKPKKGSAQRNPLASLLRVQRKIVRTINESMNELASQGKSIWWSRWIPRDE >Et_3B_031328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2662061:2664497:1 gene:Et_3B_031328 transcript:Et_3B_031328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPADAKAEAAKMDLLEDDDEFEEFEIDQEWDDKEDGNEAAQQWEDDWDDDDVNDDFSLQLRKELEENSAQKS >Et_3B_031665.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:7281666:7282295:1 gene:Et_3B_031665 transcript:Et_3B_031665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAVPLLRCVTLLVFAVLSSLQLVIPAASQLSKPRPLDASSTAWLPAAGAAGEQQQQQSAKEDSAAVAAARTCTYTVKVKTSCASPRRSSDGVSLAFGDAYRNEVYVAQLAPRGGFERCATDTFRVAGPCGYGVCYLYLRRASGARDGGWTPEWVRVYEPTSSTPSTFYYGDAFPAGVWYGFNRCPRLTKADGDGDASSSDAAAQSM >Et_5B_044483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2809995:2811333:-1 gene:Et_5B_044483 transcript:Et_5B_044483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAAGQKKKLRRGLWSPEEDEKLMNHIAKYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIHLHSMLGNKWSQIAAQLPGRTDNEVKNFWNSYIKKKLRQRGIDPATHKPLVEASSASAAASSRSAVFIDAELILSSAAGQHMPPPPPESYSDASLSGYNHQEAADLGYLDPDALQCGGGVVVLPTVSSSSTLNSMAGLSPAATTDEQCNNNINGGFESTPSCSAGDHHHLPPWLELGTTTTASCGAPAALDQYGAALDELKWSDYVFDAYGAGAQYQTTPGGQCIYGDSKDAAVQFDMHGSWCLN >Et_8A_056338.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23531455:23532297:1 gene:Et_8A_056338 transcript:Et_8A_056338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRRSKSVKFVSARATKPLEVDVADEEDPRMSSSADNTVYCCIARGRKVIYCYNSNDGDPQAESTAALCLEHAPAHHRHYVHASGSRSYAYLMADGHTFFAIIDPCVGNAGALQFLERVRDVFRNANAHRNGFHDSLVPAVQRLVASLEKMPHAAFVLEENGDRGGSHESSTTSSKVPLLGKSGSRKEKKKSKDKTASPSDGENEQHGTRGVRIDMPADEVGGMSLERSASQARLRRQQPSRSLWMRHVKIIIIVDAVICLLLFAAWLGVCKGFQCVSG >Et_3B_030182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31156459:31159367:-1 gene:Et_3B_030182 transcript:Et_3B_030182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGKNVERRPHETVDEEDPGQLRAPVSEEDDANGKAPRRTRRVDSLDVFRGLTVALMILVDGAGGEWPVIGHAPWNGCNLADFVMPFFLFIVGMAIPLSLKRIPDRGRAVRRVVIRTLKLLFWGILLQGGYSHAPDELTYGVDMKHVRWGGILQRIALAYLVVAVLEIVTKDAKVQDQSSSGFSIFRLYFSQWIVACCILVVYLSLVYGIYIPDWEFRVRNVDSPNYGKVTCGTRGNLSPPCNAVGYIDRKVLGINHMYQRPAWRRHRDCTDASPHEGPFKKDAPAWCVAPFEPEGILSSFSAVLSTIIGVHYGHVLVHIQSHRERLKQWVTMGVALLVLGLVLHFSHAIPLNKQLYTFSYICVTAGAAGIVFSIFYFLVDILNLLYVFAPLQWIGMNAMLVYVMAAAGIFEGFLNGWYYEGTNNTLVYWVRKHVFVKVWHSTRVGILLYVLFAQILFWALVSGVLHRVGLYWKL >Et_3B_029931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29120929:29121858:-1 gene:Et_3B_029931 transcript:Et_3B_029931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPRQPNSATAQSMAMDTFYISHGSPTLSIDESLPARHFLQSWVPGGLAGPKPPRAILVVSGHWETDAPTVNVIRGTNDTIYDFYGFPKQMYKLTYPAPGAPDVAEKTKRLLDDAGFGPVAEDRRRGLDHGAWVPLMLMYPDANIPVCQLSVQTCSAGRWRRCGRTACSSATHNLGRILRTFAPTSHEKPPAWAAEFDAWLRESLVGGRHDDVKRYREKAPYAEVAHPSPDHFYPLHVALGAAGEGCEAELIHHSWSNATLSNASYRFTTNN >Et_1A_005716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13076228:13082443:1 gene:Et_1A_005716 transcript:Et_1A_005716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAGSTGPRAGSFAVLVLLLVAAAAPAASGGGGGSAAVNGDRLRAEQIRKQASDAAASAAALAAASRRLHLDRARHLRLLSSLHRNLTATLRELGVASTAASASSDPASASAGEEARRLDLQAKDLIRAARAAIAESKPLFDPQLKIQRLKDAIFAQNELLARAKKRGAFASLIAAKSIPKPLHCLAVRLTAERIASPDLYADPVPPPPALEDPALFHYAIFSDNVLAASCVVRSAVANSHDPSKHVFHVVTDRMNLGAMQFRNPKYLSMLNHLRFYLPEMYPKLHRILFLDDDVVVQRDLTGLWKIDMDGKVNGAVETCFGSFHRYKQYMNFSHPLIKAKFNPNACGWAYGMNFFDLDSWRREKSTEQYHYWQNQNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMEEIRNAAVVHFNGNMKPWLDIGMNQFRHLWTKKYVQVDDQITLYMIMLATIGRNEIFNKKLSS >Et_5A_042492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15814624:15818473:1 gene:Et_5A_042492 transcript:Et_5A_042492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAAKRAREGGDAAEVAPEGAAAAGAGEQAGISAVIPGWFSEISPMWPGEAHSLKVEKVLFQGKSDYQNVMVFQSSTYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIKDPKKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVVDVSKEFFPHVAVGFEDPRVSLHIGDGVAFLKNVPEGTYDAVIVDSSDPIGPAQELFEKPFFQSMARALRPGGVICTQAESIWLHMHIIEDIVANCRQVFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPAVDFQHPVFNIEEDENSTKSKGPLKFYNSEIHSASFCLPSFAKRVIESKAK >Et_1B_009985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16874400:16874818:-1 gene:Et_1B_009985 transcript:Et_1B_009985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLMLLGGEMSPFTARARLALELRGVPYELLDEPLGPSKSARLLAANPVYGKIPVLLLPDGKRAICESAVIVQYVDEVADDQAALLPEDPYERAMHRFWTVFIDDRLWPAVDAVSLGPTRDARAP >Et_6B_048242.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:15847738:15848802:-1 gene:Et_6B_048242 transcript:Et_6B_048242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDADQNGEANTTELIGGIGRELTISCLLRLPRSYYCAVACVNRSFRSLIRSGELYRLRREARIVEQIIYCSCNVLEWDGFDPNRQRWFSIPSMPPIECFTLADKESLAVGTNILVFGRKVDAHVVLRYSLLTNSWTTEEMMNTPRCLFGSASFGGKAIVAGGIGENGTLRSAELYDSEMRTWTTLPNMNRARQMCSGFFMDDKFYIIGGKAEKQNEILSCAEEFDLEKGTWRLIPDMARGLNGGSGAPPLVAVVNNELYAADYSLKEVRKYNKTNNTWITLGSLPGRYTSVHGWGLAFRSCGDMLIVIGGMSVGGSGVIEICSWVPSDGLPDWKIIGSRNSGSFVYNCAVMSC >Et_7A_050489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18959284:18960345:-1 gene:Et_7A_050489 transcript:Et_7A_050489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLEEVLLRFPPADPARLVGAALPPASAAASGSSTARWNAAVLCAAAGCDHLDCCRGHFLVVVVGTNSKEMFAYVYSSEAGAWGELASAGHPGDSVDFAPSTLVGNALYFALQMGTAVLQYDLGTREMAVIRLPPPRFDWQRIVLAAREDGHLGFATADTSTIYLWSMEALHPGGDAHWVQTRVVELGTLLPAGAISTFSDVVGFVDSIGVIFVRTGDGLCTIDLKSSQVTKVSEDTGFSGIFPFMSFHTPGTS >Et_4A_033282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2094252:2096064:-1 gene:Et_4A_033282 transcript:Et_4A_033282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVYMQSGLHDETAIPEFTGRSEEDQDSSVAAFGVQSNPSQINCMQPFPSDEKKNRSYQSCHKSPCSCGGDAPHSNLYPSLPAKMMILEFLIRSLRHPTRTHNVSDLDDLISDGVSQGSVNLGPSEKMVLDSLHALVNAKTRPKSPSHFLPGSKMRKTRSKSHVITQSEILKLISPETWETSSPGGSPLKKSTTELSTQEKMSPSCSNQPVLSHCPSSLSAGLLQCIWKDGLPHFELSLDNPIAIYTANAIKVQDNDKALDYVYMLHSGEQGKKDWMGHTSNVSRLVGKMKVSSSLVLNSDKSSLLETEFVLYGSPGDYLRQMQSSYSVPKGKGLVKRVTEIMRTGNVSSSPKHAWKISKHCSQQFDDLTEIFEDEIINARESALTNNDIDELPTNHQLAAIVVREQQRKSRKKPVVGGWGLKFLEKAGTNHSEGTEGTDDQNRKGAEKCITAVVPRGYHGGAISNNSGPTGLVERWRSGGRCHCGGWDLGCPIRVLDNDGCASLPEAEESRSVELSTKGAKKNETMLRLVNITEDLYILYFNSSLSPLQCFSTGIAIVHSQAPQLRPKL >Et_7B_054751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4033507:4036951:1 gene:Et_7B_054751 transcript:Et_7B_054751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCAVPLSTRCYAPFVSMHSAACLNSSSTDTGKGRSKLSSNKVTHGFHLVEGKSGHNMEDYHVAEYKYEKNHELGLFAIFDGHLGDKVPSYLKANLFSNIIKEPLFWANPIEAIKNAYSSTNKYILENAKQLGPGGSTAVTAIVVDGKDMWIANVGDSRAVVCERGAANQLTVDHEPHTTNERQRIEKHGGFVTTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDIRHVPISSSIEFVILASDGLWKVMKNQEAVNLVKSIKDPQAAAKRLTTEALARKSKDDISCIVIPLPYVLDQILLEQRQHVTVKSNACTQRLLPHKQRLCPRETLCCCCCGGGGGGDNRDMAPIRLGARLGVG >Et_8B_058876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10306635:10311648:1 gene:Et_8B_058876 transcript:Et_8B_058876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLPLFSASPTPPPPPPWLHGPTSQRQNPPSPGEAAPPKPSRQSPKQPPPGTAGPPSKAKPLTAGVPGGRTRRAVLGIIRRVRSLELSDPPSPLPKRDADAPAVAFHLPLEAPPWGKEQEDEGEDEERAKPRAVPWAAARSEELKAALRREKKPREPTRAEAELAGDELERLRRAARGMGRWVRAKKAGVTDEVVEEVRGEWARGQELAGVRIVEPLCRCMDRAREILEIKTGGLVVWTKGDIHFVYRGSNYLQNTKNRHSFVTDVQKVSQGKHIVSTSHRSYMSTAEPLTNGNYKASEESDQSICAQMEEEPVKGTLYEREVNRLLDSLGPRFVDWWWNTPLPVDADLLPEYIPGFKTPFRQCPPGVRPTLADEELTYLRKIARPLPTHFALGRNTKLQGLAAAILKLWEKSLVAKIAVKVGIQNTNHEQMAWNLKRLTGGTVILRNKDFIILYRGKDFLPGGVAQTVIQREAQVHDKHVKEEEARLKVVESLQMTSGYSSEESSIGTFREYQDFHADLVHEKTENDNTIIELEAEKHRLEKELKDQEWKLFTLHKKIERSNQVLAKLHSSWSPSGQSADKELLTEEEKMIFRRIGLKMDERVLLGRRGVFAGVIEEIHQHWKHKEVVKVITKQNQARQIMYAAKLLEVETGGILIAVEKLTTSNAIILYRGKNYHRPTKSSPSNLLTKREALQRSLEIQRRGSMKYFARERQKSILELKRRLTYVTRKMMHCQNCRGQVRTETNNYREGVAFGNLDTRLSSRSSRLGRGELLPSGTALRLGPRRRVPGVGEPGGVEELLMRPVVVADEPQLLPLPHPPQHDLHLPLARQTLQSNVLKTKDKINLFHFSNKQGLNL >Et_7A_052104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4688644:4691005:1 gene:Et_7A_052104 transcript:Et_7A_052104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGPNFAGMIGAIGGHDNGGNFCDMAYYRKLGEGSNMSVDSMNSMQTSTHGGSIAMSVDNSSVGSCDSHTRMLNHPGLKGPVRADYLVGGHSVFRHGRVSHALSDDALAQALMDPRYPTETLKNYEEWTIDLAKLHMGMPFAQGAFGKLYRGTYNGEDVAIKLLERPEADPERAGLMEQQFVQEVMMLATLRHPNIVKFIGACRKPMVWCIVTEYAKGGSVRQFLTKRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLIAGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDQKVDVYSFGIVLWELITGMLPFANMTAVQAAFAVVNKGVRPTLPQDCLPTLGEIMTRCWDPNPDVRPPFTEVVRMLEHAEMEILSTVRKARFRCCISQPMTTD >Et_4A_035407.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:23572189:23572449:-1 gene:Et_4A_035407 transcript:Et_4A_035407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVQSEQELSRVQRLCLHHRSHRCRGVCQRQDVLLPAPARRMCALPYMSKNARGASVAEKAAAARSRDAKSTMDLDKGGAIWEFE >Et_7B_054507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22192939:22199775:1 gene:Et_7B_054507 transcript:Et_7B_054507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGTPEKEEDKGKKIKFRIVPHRARSSGDGHDSEATPPPPPPLPRLPLAPPSSPSGPITVVRGKQLSLLRAQLASSADGDSDEVDGEDYGDSDEEEDYEELERSSENDFRCLFMSCRRNTPQYIPRHDSNPLKKHEYFSLQTNWRHLLAGKRTYLRPPKGYPVHNDVFPCPLPQSGTMTCQEPIEILIRESSKNSIFQKKIKLLSEKYSHFRRPRRDGSSFYRAFLFSYLEHLGQMHDAQAEFTRLMEYMAVSREYFVCIEWDKAYFSNPEAYFSSVSSEFKDLVHLAANGLSADDLYKTNLRENMPSRILSLLRLLTEVEIRAREAQYKPFFTGKKTAIEFCKMEVRPMDVEADNIQIRALSNALGIPLRVEVATAGSQFGIVQVQCQDFFPRSESAGGSTSGPVHSSKSYASPSKTDEPLDQQKDDDSVEQASTSTGASLLSSDGTPSVTLLCTPGQYDILYGASNLPLYHYK >Et_3B_027907.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26124795:26125043:1 gene:Et_3B_027907 transcript:Et_3B_027907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRALPVCALTLVVVVLFVASLVDVTEARRGGGGFRGGRSGTYVGGAGGARGNHSGSPRGLSGGTWTACLGSSLLAAAAVLL >Et_6A_047559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7291507:7293061:1 gene:Et_6A_047559 transcript:Et_6A_047559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NASPSLPPGPTQLPIIGNALHFVGPLGRSPHRVLARLAATYGPVASFRPGTAGAFVVVSSPAAAREALVDNDAALAARFVPDAARAMAHSSASLLFLPSSDAAWKRHRATIANHVSSGRSLDRTRHVRYRHVRQLAEHVRAAASSGRVVTIGETVLGAVLNVVGNMVFSEDVVDMRAQAGQQPFRDLMVAVIREWTRPNVSDAFPFLAPLDLAGSRRRISKDLARLYNFFDEKIDERMLGSGENTRGDVLDVVLERHGKSELTRAEITKFFTDIFLAASNTSRITLEWAMALLLKHPDKMNKLQTELTAILGSKDFVEEGDLTKLPYLHAVVKETLRMQPPAPLVPRMVVADGVSLGGFKVPVGTYVLVNLWAIGRAPRVWTDPEEFVPERFLQGAQAFSFRGSEFAYRPFGAGRRVCPGMDFAAKLVPLVLASILHNVDWRLPAGMAPKDIDMTDRYSMVLELATPLCAVPVCKA >Et_2A_014687.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:35064646:35065134:1 gene:Et_2A_014687 transcript:Et_2A_014687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTLRRASSSSGRRRRRGRTCVPSATGASKRRRLCTGTCAATRIAHGVAWKSHHRSGIPACWLRRRRSTATHASTAGPSSRRARRWVATAPATTARWVASGSPGNPALTAGLCCHLISMIPRRKSNRTTSSPAPACLTDRQTSRSVDGVLACLRPNNYQLL >Et_1B_011201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18747455:18748303:-1 gene:Et_1B_011201 transcript:Et_1B_011201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFFDSTTDYDCDQLVKINLLGKLDLYEILATLYFVNETIHIAKFIAEEQWLATGDNQGYVIVHTNSTKHKVIKRFSPHNGNRISALAVHPTCPFLLTGSYYDQWIKLWDWSQGWKCTRTLYQSDGPCSIISNPLDADTFACAAKNSIAVWELSRSKEVGRIWDFAKTMDEKIWRACCFGGD >Et_7A_050683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10055431:10057799:-1 gene:Et_7A_050683 transcript:Et_7A_050683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAGAKGRLSPASGGGDSEPRSAGSRTRSVSATRGRKPSPRPGRDAAATAEEKKPAAVPTLLPSLSAPAGMRRQELLLRSGLSLDASCSSDASTDSFCSRASTGRIGRPAFGARKKKTVSQTDYKGISMLEREGGLAYSDADGVKRRCAWVTANTDPCYAAFHDEEWGVPVHDDKKLFELLVLSGALAELTWPAILNKRPIFREVFMDFDPVLVSKLSEKKIIAPGSPSSALLSEQKLRGVIENARQILKIVEEFGSFDKYCWGFVNHKPILSRFRYPRQVPVKTSKADAISKDLVRRGFRSVGPTVVYTFMQVSGMTNDHLISCYRFAECAAAATGAKVTDQNEANSSSNHAIELKMNGTNGIAADLELSRSIDELSIS >Et_10B_004076.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:1095791:1095979:1 gene:Et_10B_004076 transcript:Et_10B_004076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSDANPMMATAMLALPALVVRRVGGGSQAPVWPVAFGSTEIVGCQDKMEDATSVHPTFFT >Et_5A_042115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6410724:6412663:-1 gene:Et_5A_042115 transcript:Et_5A_042115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIVASEIIVENILMCVDIVGADESVGVVESGYLDNLNWFRDGIDAGEALTFPALTLRLSKSDGEKLLAYMNSVPRPVASFTYSCESCSLQLLNLQQDGSAGPTSRLMVTNYHKEMRVKRIEPGPEQKTKDTEFFMTCIGDRVVQDRTVGAVQRACGRDQRRPYHGRRPFAVLLLRSAPRRRQHAGQDTDVPRPCRTRLARPRQCFALATPSSCLVEPNAPPMLDSRTLTGDDVGTGTATHDVDRKPAIKTGLHMHAWRSMRRM >Et_8A_057320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23667157:23668411:1 gene:Et_8A_057320 transcript:Et_8A_057320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSYMTPTCGPVPVSGHDSGALFDPRVQEDGGDGGQHAASGTTSDGREVRVSVHLAAPPATSHVRIRSHHRRKAVAPSVVAAHGQLLLIHMMAGDNSHNWFVYKPAGPCWLRRLPHVATCLGWHPDESQPGAVGIVSLGGGFVVADLQEIARVVRYSSAADQWELKPVTLPYQSPDLTPHAWYTDSVFSSSSSGDMYFVDLYRGLLRCDLHVLRVDDELWPLPGFRDSPFARCVPRYLLLSTHHDDDDVLHFVLQRHVYEDWMVTFDMRRKQLLSHHHRTSNVSRYARNVQAHHQPPAVSAGTTTLELLEQIEQHH >Et_3B_030194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31254717:31255367:1 gene:Et_3B_030194 transcript:Et_3B_030194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DIAQFPWLGVHDGVIGSGDLLDFRAGAHRDHPALHLLGAGAVVLGDHVHLPHRPVVGIREQRGVLHHRIVQELVAGPHQHLCQGQILQNTSCRIKGCHVTCLSWAFLVILFCHEPWSHLDRYTLSWTLVAVVDGYHLAHKSLIEVNISVMCQSGKEKQKYVCSEPPLQW >Et_2B_022144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9666300:9666656:-1 gene:Et_2B_022144 transcript:Et_2B_022144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVPRGYIARRRRTKMRSFASNFRGAHLRLNRMITQQVRRAFVSSHRDRGRQKRDFRRLWITRINAATRIYKELILNRKMLAQVAVLNPNNLYTISNKIKTIN >Et_3A_025682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31916111:31921317:1 gene:Et_3A_025682 transcript:Et_3A_025682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFADEPKSQAEQRLAVADQFLLRWRPSPGAGTGIWDAEAVCVNRGLLSALDDIVHLKEIHAFPMASPARSRMDSALTVAMSRLMEEFLLLRVWDASGLRDLTGFRFAVETQRSRDCSNTTEELSLVSTSDASGGSRSSRPDMVTVVMDGTFLDDLDLICPRSLPVLHEIALLVIRAGYRGELLRMFTKAPCDVLDRFLSVLQVERCCLEADRISFEEAEWWATEDMAKQWIVASKLVGKALALMQRQLQAQSCGAFERFKNDCFLAIAKQSIFALLRFADGFTSIQSPEKLIYALSNAAPGFLFLFPGEHAKLVSGHVAVVLAKLERALRIAAGGLVSQVRTDCLHAGSGMATLGVGVYPLTQYTMICVEMLAPHRTAMDLALATGGEDEPELIEGLERNLEEKSALICGDAGGSAHLFLANNISFVLNRAADTDVASLLGDEWASRRQSKLERHAAGYAEASWGPVVACLETGKPAKALAKFNAAFKKAHGNQAFRAVRVPVLRAALRKIVSETVVPAYIAFLRKYPKLEKSVIYSADDLAESLSELFEGEAAVSTGC >Et_1B_014205.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:35366828:35368045:1 gene:Et_1B_014205 transcript:Et_1B_014205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEPPPPSGMGFFGMLSFRRSATAVASFDPAQDDELLALDALQSHVADRLGALLTSAQPQGPALSLSFLSKLLDAVVSSDAAFRDVLAVAPVAAALSRPPADRLAADLLDRAVKTLDVLNAASLTLASLRGTHRAALTAASCLLAPTLHRAHFARARRAIARIFPVLEGSGRADSSAPSPSSRTMRALVSRNWSAGRHMNAMAAHLAPPPQAPAAGAGCGLGLALYTMSSVLVFAMWAVVAAVPCQDRAPAAVNPPVAPPKQAQWAGPMSALQERIAEEWRRREKKGGSGSSSAAATTGLLAEMQAVERAARELNSLLEEIAEEDDEAAAAVGEERAREVTERAEELAAACRALEEGLAPLERQVRAVFHRVVAFRAEVVRCMDNSARAAAGSNGAAPQHHSF >Et_2B_019324.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:23696248:23696535:-1 gene:Et_2B_019324 transcript:Et_2B_019324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLERTEQQWTAGAGGGVWQRVDGEVEVEEEAQEGDKGGGGVGGRCCVCVARGKGAAFIPCGHTFCRACARELRAGRGRCPLCNAAIREVLNLF >Et_8A_058025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14652631:14654968:1 gene:Et_8A_058025 transcript:Et_8A_058025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAKVLPVPESSLKKRKRADLWAAEAKEKALVDRKKSLESRKLIFVRAKQYAEEYDAQEKELVQLKREARMKGGFYVDPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNNVIEEGLGKHNIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAVS >Et_10A_001646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6388683:6389991:1 gene:Et_10A_001646 transcript:Et_10A_001646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFQLLLLGWYVTRQGYPAASNVCDAADQVIGSFLVALYPSMVRLWWPVTSRATMLDADRESRAAADRNAAAAAVVVAMAGRGGNESGA >Et_2B_022199.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:12922268:12922765:-1 gene:Et_2B_022199 transcript:Et_2B_022199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTDEIREVSRSSRQISNYLTYLLFVNPEMLMTGTRSSLFKTSYCDLKRLIRLDAKLDEEEIREKIVKMLENAPGEGGLIRNAVGLANALRGVGMASAWGIIQGVWVEMLCFAAGRCRGYLHAKSLGKGGEYLSHVWLVLSYIGMETLTERLQRTEQPVELGVV >Et_1A_007121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31008495:31010830:-1 gene:Et_1A_007121 transcript:Et_1A_007121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHHRALLLALLCATSALAASAQKYNAIFNFGDSITDTGNLCTNGKPSMITFTQPPYGQTYFGTPTCRCSDGRVIVDFLSDKFGLPFLQPSKASNGTDFKQGANMAITGATAMDANFFRALGLSDRIWNNGPISFQFQWFQQISTSVCGQDCKSYLAKSLFVFGEFGGNDYNAMIFGGYTTDQATKYTPKIVNTISRGVDKLVAMGAMDIVVPGVLPIGCFPIYLTVYQSNNTADYDDLGCLKKFNDLSTYHNSLLQKRVAVLQARYRKRGVRVMYADFYNGVYDMVRNPQKYGFSSVFETCCGSGGGKYNYQNSARCGMSGAAACASPASHISWDGIHLTEAAYKQITDGWLNGPYCSPSILHS >Et_8B_060218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7606023:7613539:-1 gene:Et_8B_060218 transcript:Et_8B_060218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HESLRPAQPSSLDLPRRLHPHRPAAAADHNKALNPKSLPSPPHPKPSTSAMDAPAEQKPPRRPKKSKSGASGGGKKAKSGGFESMGLCEEVYRGVRHKGYRVPTPIQRKAMPLILSGFDVVAMARTGSGKTAAFLIPMLQRLRRRDPGAGVRALILSPTRDLAMQTLKFTNQLGKFTDLRTSIIVGGDSMESQFEDLSYCPDIIIATPGRLMHHLKDVKDMTLRSVEYVVFDEADSLFSLGFTLHLHEILRRLSDTRQTLLFSATLPSALKDFAKAGLRDPHVVRLDLDKKISPDLKLVFFTMRQEEKLAALLYLVRERISSGEQTIIFVSTKHHVEFLNILFREEGLEASVSYGAMDQEARMIHISKFRARKAMLLIVTDVAARGLDIPLLDNVVNWDFPAKPKLFVHRVGRVARQGRTGTAYSFVTSEDMPFLLDLHLFLSKPLKPAPTEEELLKDMDGLNLKIDQAIADGESVYGRFPQTVLDLVSDGLKEVISECAELVALEKPCANAFRLYLKTRAMPSKESIRRAKDLPREGLHPIFRDVLRSDELSALAFSERLKSFRPKQTILEAEGEAAKGSNQWLDVMKRKREVHEGIINLVHQKSSVDPRPKEEEVDDISNWEKKDVCGKKRKSQSFRDEDYYISSVPQNQHLEAGLSVRDNEGFVENRLDAAVLDLVDDEASGMQAQKSRYHWMKNKFVKLNSGDRVTATGKIKTESGAKLKAGATGIYKKWQQKTHKSINIGGKSSNYYDERPSSSGGYERGSKKFPGAGRGRRSIPNADVPSEIRNPEQMQKGRQQKAMELSRLKNKSAKDGKFSNKFQKNRRPDGKGRGNGRPDGHGKGRGNAKGNGNGFGKGRGRKGKVKGKGGR >Et_7A_051662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22038834:22039555:1 gene:Et_7A_051662 transcript:Et_7A_051662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTINYVKHIATSEKERSPGHFDRNNTEITETGPERLHSSPVLPVCSKTHIFESFREGTIELHQINRKQADSSRTALFGPSAAKLTFFRVFAWEPSSYIKHIATSEKERSPGRFDRNNTEITETGPNSPVWPVCSKTHIFESFCMGTINYVKHIATSEKERSPGRFDRNNTEITETGPEQLQNSPVCLSAAKLTFLEFSCGNHPVTSNTSQQVKSSDPRVVLTETTQK >Et_8B_059981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4751932:4752221:1 gene:Et_8B_059981 transcript:Et_8B_059981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FSAPPRNGEVSVGEWLQDTANSTSKESRRRERNRRVFESTTKTVQQVALLAKEDINSYRIAWGDHGGEADELDQEQKNVAAPR >Et_1B_010246.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31864088:31864333:-1 gene:Et_1B_010246 transcript:Et_1B_010246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEALLVLEGANEEASRSLQTIVGFAFLTFNSGMAWPCTWGTETGEPSPSCLSPSLSSCSSISCACTLRQHRGHPGGRTSR >Et_7A_050338.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:4954142:4954933:-1 gene:Et_7A_050338 transcript:Et_7A_050338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYDDARIEELGTDLVAAIKKCHDVFICPQEDGHVKLIDHLASEGNKIFRVRVVPGKVKARDLPENVRVRTKKYVVPARRISNAEVLYLKKLNSLGKFVAAGITECGFRLHWNGICLAGRFSLNNLYLTANKRIKLGAEVQCNVVSRVGNRDGMNLDYHTIHGILVLIASLSPEGLPVSFDLKYLLDLLSCEDPVGNEILIRYNSSLMDEIQKRDHFITMFERWEQLHKHEKGTPEHTKACQVLKYIKAASTDDWRDRLRDNK >Et_9A_062230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2107967:2113953:1 gene:Et_9A_062230 transcript:Et_9A_062230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FHNPAFTPETWECKFLRRKRVLLLPSGSSPAAGSSESPPSLPVDKPRLRLIAPPNISFSPPPRSTLGYVSSYPLLVLLALLGSPASSLCWTCDLGGLLDRNGDINFFPCVKIEDQDEDCGAKAGTAGLRDATPYPLHARVKEEEEEGQPSSSSCNLRSQFIGMGFPEKLVDKISLPSIVVSYAVVKKSKALQNSGSESSCSLGSLFDSDNEENISLLEPRNQDIKPDPDSFSEKRSYLLRTMNFSQQEVDFTFNKLGEEAPLEQLVDHIINSQSEGPSREMENGDATNEVKDESLFGVMEKTLSLLQMGFTEEEVSLAIDSFGQEATIVDLADYILARRIASSIEQKEVKVESDFLDETETQFSTYRSSCSAVSYDDDTENGRKNTRVKRAKIIFGDDIEASGSNSWSMGRCSRTNMLVKEETEAMTLGSRASIRGDIARPPYFLYGNLVEIPKGTWLQLSQFLYNMEPEFVDSQFFSALMRKEGYIHNLPMEIRGPVVPKSPMTIGEALPFTRQWWPSWDTRRHICVGTIEATGAAGTEQTCERLGRMARESRGVLSQERQMHIMHQCKASSLVWVGQYKLSPLEPRQVERILGYPHDHTKLFDLNQQDRFVALKFAFQTDTLGHLLSVLKDKYPDGIRVLSIYSGIGGAEVTLHRLGIPLKCVVSVEESDVNRKILRRWWGRTEQKGELRQLDGIWRLKINVIEKLIQEFGGFDLIVGGNYSSCKGGTTINTTMGMDAQHFYEYARVVKRVRDAVGLH >Et_9B_066173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5771643:5774052:1 gene:Et_9B_066173 transcript:Et_9B_066173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAISASQSTESSYAFLRRPLRRLQKVTCRFVVFSMRLISTFFPFPADFSEAASAEPEPGDIGFFSLSPAELVKVHCSDCTEITPPDISRNVQAQGVRVDIYQTDLKQKHEDEQERAAAASMKCEQCRESQKVPFPSHVSPALQALSRFRWTRAYKLASPSFRLPSLGPSGLAVSVLALHGHGPSHPSTAGNDDDDR >Et_2A_018368.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24601000:24601875:-1 gene:Et_2A_018368 transcript:Et_2A_018368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDLSGQELMRALEPFIREASTPPTALLPHQLAGATSPFPFHHAAAAGYGGYPYAAAAGAEGAGQLSPAEIQYIQARLHLQRQAQSVLGARALPMKASAAATPPRPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDQAAYRLRGDAARLNFPDNAASRGPLHASVDAKLQALCQTIAASKKAPKGAAAAATTSSSVPTSNCSSPTSDDASSSCMESAESSLSSSLSPSPSPEAATVPEMQQLDFSEAPWDEVAGFALTKYPSYEIDWDSLLATN >Et_1A_007762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3852983:3855139:-1 gene:Et_1A_007762 transcript:Et_1A_007762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEAAAPPALAPDDDGLDERSVALYLPRLLAGVVSGALTGLFALAGAVTGAVTGAVAGRASESGVLRGAGMGAFAGAVLSIEVLEASRAYWCSNRLGPHGASSMADFIEQLLHARFVQEQSTPSAYTSYRWQVSLSDFGHEDLYDFFGDFSSKGISQESLKKLPHYVVTDQMRDTFGEILSCPICLQDIVAGETARRLPNCSHSFHQPCVDKWLVDHGSCPVCRQDV >Et_5B_045006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8265420:8272465:-1 gene:Et_5B_045006 transcript:Et_5B_045006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVSSSSFRSVLSCSEGQAQSVESSWTDYFVDFMLSEEEKRRQDASYCATEGENDGDGSKYEEEEEEDSMISDAASRAPAALLPGKYKELKKLKKKAFKAMGHDDSLEDTASSPVNSPKVSVLSQMEMSPKRRCNISDPTKEYGFGNDHIMEEMNCTDETKKGVRFADQSDRSTAPCEELKDKGICLFPLSGSWEPRGGGKVGNTLLQGCCLLVPFLMEDLRGGLLAMDWLESEE >Et_3A_026522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9210530:9212353:1 gene:Et_3A_026522 transcript:Et_3A_026522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAATMVTHHPRARARLHVCAAWDMNPGAATVAVPKPSKAKVKVPLSEPAAAPTRPPPPTHADLFARSSEGQVGAKKSTYMGFEKWWLPPPPEVKKPRSLYSAASLAYLGDCIYELYARRHFFFPPLSINEYNKRVMEVVKCESQDLLLNKLLGEDFLTQEERDILRWGRNIVSSKTRTRKRAGIAVYNRASSLETLVGYLYLTNFKRLEQLMFQLGFTSGASSQHIADELRSSFQ >Et_4A_032849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14602240:14606656:-1 gene:Et_4A_032849 transcript:Et_4A_032849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLEIIDTIGNIFENQRSVGQIELLLLPPFSILCLLSPSTIDCVCYLFMKKMKANSDHCMDNLAGGPIDESASIAESNEDAAAVANTQLGIETASAVDRVPRCEVADTVPAVEAIESSDPTETAPQLHAAVELDAAPGQGNLERSPGGHVTDREDAEIVPERADISETAVEKGDTDRSVAGEVTEHVEAEVVLEDSNATKAGKFESIYYESYIRLCYVDLAVCPVTSPPHVAQQSIATGIDHTIVEPHDAPRTDVISVVSSDLVIPPNERTEVTEVGGGPSDERTDEDLGHHVGPVLHVEEPGPHSNNGQVSYPSLEWLTKQLNNDLPCDETENEQMNLKGIEVLQGFNKCLTDGNIEIDSSNQNEQGLNNLDSLTPELLPISLTLDAPAAEMKAVRQRKQVEDDDGAQLIPSGVKRRTYLVARFLDADAGLEVEFIRASPSSKHALKLRDLFLDPSSPYKKENLLMFNSATLNGERIRNCFGDGEEVDVGVLEAFVQCMWYEDKKFRPHLSQQRLILHPNIMVSANITCENDEFNEDALDLSWEVFMGDLPINWKALKLKKSNGACFKFGRWRATHFPAPFMTKANDCAFMSFKFMEYFTGAEGCLSNLLDPDKSSELRADYLYYLLFHPCNRAVLPPEIIKFRIPGVPFPEDSAGA >Et_8B_060561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2090981:2093936:-1 gene:Et_8B_060561 transcript:Et_8B_060561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARSRGERWPPSAAAAVLCRLGLGLGLRRLATLPEYPSSAAGAPQPQHPTSKDAYFAAVHHLSTIVRRDFYLERTLNRLRLPSPFPPDLALRVIRAAAPTAPLHATRFLAWLRAKPSFAASAEHFDALLLPLARARLFPHLWSLASDMRGLGLPLSPTTFSAVISSYGHSRLPDQAVEVFNRLPRFGCPQTTEVYNALLDALCANGNFAGAYKLLRRMARKDVAPDRTTFSTLVDSWCAAGKLREAQAFLDDMASRGFRPPVRGRDLLVDGLVRAGRLEEAKAFALRMTKEGVLPDVATFNSLAEALCNAGDVEFAVALLADASSRGLCPDISTYKVMLPAVAKAGRIEEAFRLFYAAVEDGHRPFPSLYAAIVKALCKAGRFADAFAFFGDMKTKGHPPNRPVYVMLVKMCVRGGRFVEAANYLVEMSEGGFEPRAPTFNVVVDGLRHCGKHDLARKLEQLEMLWRSIALIASCGSRTFHRAQAVHVLAVQKY >Et_5A_042093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6252015:6256194:-1 gene:Et_5A_042093 transcript:Et_5A_042093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARRASHLLGSAASRLLHARGFAAAAAAAPSPAVFVDKSTRVICQGITGKNGTFHTEQAIEYGTNMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVKVKAALNRQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFVDDPQTEGIVLIGEIGGTAEEDAAAFIQESKTQKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKALKEAGVTVVESPAKIGSKMFEIFKQRGMVE >Et_3A_027000.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29237125:29239398:1 gene:Et_3A_027000 transcript:Et_3A_027000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVHDRLPFLASPPAPPPSRERGRNPSLGQMLRLVGAATVDPAAADDDGSASTFSLPLPLPLPGTPGRDVVMASGVDDGAGAAIGRTIQFRLAFTNLTYSVRAKQRGKGLGLPLQRRSDRVTASLDAPAGPHTKALLDGVSGEAREGEILAVMGASGSGKSTLIDALANRISRGALKGGVTLNGEPLTGNVLKSISAYVMQDDLLFPMLTVTETLSFAAEFRLPRVLSPAKKRARVQALIDQLGLRAAADTIIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSTSAFMVVKVLRRIAESGSIVITSIHQPSHRILGLLDRLILLSGGRTVFSGAPTALPSYFAEFGFPIPDNENRAEFALDMIREFEASPAGTKPLVDFNRTWQRMHAPSPGSAEQPWAPTMSLKEAISASISRGKLVSGADVAGEAASVHTYANPFWVEMKVLTKRSAINTRRMPELFLIRLGAVVVTGAILATVFFRLDQSPKGAQERLGFFAFAMSTMFYTCADALPVFLQERYVFLRETAYGAYRRASYVLSNAIVSFPPLVVLSLAFAFTTFFAVGLAGGVSGFAFYTLAILASFWAGSGFVTFLSGVIPHVMIGYTVVVAILAYFLLFSGFFINRDRIPGYWIWFHYLSLVKYPFEGVLQNEFGRAGECFVRGAQIFDNSPLAALPDAVKIRVLASISSALGVGIGADTCVVTGNGVLQQTAVTQLGKWECLLVTAAWGFLFRLFFYFSLVLGSKNKRR >Et_4B_038896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5760746:5763949:-1 gene:Et_4B_038896 transcript:Et_4B_038896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMDSVDFSSPRCHAQNQQDSGELKDQNSTSKIPSHATERPSSLKREVQILEKRLNDQFVMRRALEKALGYKPCAVHSSNGSCIPKPTEELIKEIAVLELEVICLEQHLLTLYRKAFEQQVCTPNSACDMESNQQPARSFSGILSEASELDFSTPKKHQLFQSNRMVLARKSTPTTSTSETSTSQPLEKISIGRSHSSLLHRTICSARVSPSANNLARALKPCHTSPLSFVEEGKCMDPGIVSLADILGTRVADHVPQTPNKISEDMIRSIAAIYIRLRDAPSVQQAFFPSPCSSFSSVSGLSSKYTADVWSPRCRKESFIEAWQDNALGTGESKELGLQYDSVVEVSALCMGDQRSADVKDMLRKYMSLVELLETVDLSGMKNEEKLAFWINVHNSMMMHAHIEYGIPQSNSKRVLLTKVSYVISGQRVNAELIEYQVLCCRAHSSGQWLRLLLYPKWKSRDKDELQGFAVDRPEPLVHFALSSGSYSDPVVRLYAPTRLFQQLEAAKEEYVRANVGVRGRGQHHKLLLPKLLDSYTRDAGLAAHEVAAMAESHLPEPLRAAVRRGQRGRGSVEWRPHNLAFRYLLAKELVGAPPALRAGP >Et_2B_022630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28302684:28305205:-1 gene:Et_2B_022630 transcript:Et_2B_022630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFARPVPAPALSPASPLAPETRHFIWNVEYILWAPDCKQRGMIGINGTFPGPTITAKAGDRIVVVVNNHLHTEGVVIHWHGIRQIGTPWADGTAAISQCAINPGESFTYNFTADKAGTFFYHGHFGMQRAAGLYGSLIVNVTEGEKEPFDYDDELNMLLSDWYHEAVYAQAAGLERKGKHFQWIGEPQTLLINGKGQFDCTLGGVGEFQRGIHRDATTCDRKDGAKVEELCDKNCTKETMQVKERCVKRRWWCGWLWGEKRKAACRAVCDTEAQKANTVCVDEKAVSCETIMKSECGPFCRETLCGPLVFNVEPGKTYRLRIASTTSLSALNVQVQGHKMTVVEADGNYVDPFVVDSVDLYSGDSYSVLLTTDQRPQAYWISVGVRGRQPKTLPALAILNYTNSGMGSWPRGMPPATPDWDDVTLGKNFTYSIKAAPGARKPPERAPTGDPIVMLNTQDRAGEVGHQPRLADAADHSVPRRRAERDVFDTAEAPRGFNPSYNITKPPAGKTRTTVSDRVYRFRHDEVVDVVIQNANMRKENTSEAHPWHLHGHDFWVLGYGEGRYNHTVHAEELDKVVNPPLRNTVVVFPHGWTAIRFVADNPGMWAFHCHIEPHLHMGMGVIFAEGVEKLHELKEEMPKEAMMCGLLSKRAALSLPPAPAASPSP >Et_8B_058633.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:11956608:11956664:-1 gene:Et_8B_058633 transcript:Et_8B_058633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKELAYGQHQVAQQQL >Et_8A_058230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:369292:369820:1 gene:Et_8A_058230 transcript:Et_8A_058230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPGAAASTRSRHHHRTGIVAALSAPRPPRSGAAAERGSSSPSRLGGAAARGSRPLALEPPPRGDRRRPQLQHRPDDVGDGAHLISGLPDDLLREVLVRLRCARAAARTSVLSRRWRGMWRQLRKLYFRSVTPTHLLDVLAKVTSLELDVLQVSVRPPSSPHCSAPPQILSR >Et_7B_053369.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:13856745:13857323:1 gene:Et_7B_053369 transcript:Et_7B_053369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAPAATSARPAVRTSDDGALAPESPAARAKGTVRPSDTPMMTSRTTSPAVKCRSRWLARFCSIGCPHSPSPPPSIAAMPDPARSFEVERNGTGDAAGIYLMKNSESPLLFIERRDGKVYEQTGTVVGFRS >Et_2A_015001.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:25707866:25707994:1 gene:Et_2A_015001 transcript:Et_2A_015001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMAPHHLRHRLPETHPLSPRTTRRRRLLPEQSDLSATSTL >Et_4B_039508.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:19655471:19655983:-1 gene:Et_4B_039508 transcript:Et_4B_039508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYQPPQSDLLRHQNVAVLSGGAIPVSVLWYGRFTPAQKAVVTDFLLSLSEAAPEPSVSRWWSATIDRLYLSKAHAVGKKNNGAIAETKNARVVLAGQVSDEGCSLMGKRLVLSQLPALAAMAGPAQGHGGGGVALVLTAEDVAVEGFCVTRCAAYAWVGNPGARGGAQ >Et_9B_065377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4332987:4334895:-1 gene:Et_9B_065377 transcript:Et_9B_065377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQRVAGAGRGYNSTTGRPRSSRIRSRAMDSSPPVARARSKEMVVAGGRRERPGAGGASGLAVWALVACNVASLAFLVRGFVGDRRRRGGAVRSSGTAAADGARLPEDEASLAAPEHAAELAPDAVLSLELGDPTMYEAFWRQVGERAATVIPGWQAMSYFSDAATLCWFLEPAFERQARRLHRLVGNAAVDGYHLVVGNGATQLFQAAMYALSSDDAAAGDKRPVPVVSPAPYYSSYAPQTDLQLSGHFRWAGGNATAFTGDKYIELVCSPNNPDGAVRESSGGGNVKPIHDLVYYWPQYTPITGRVAHDIMLFTLSKITGHAGTRLGWALVKDSEVARKMVYFVDRSSIGVSKDSQLRAANILAAVAYAYDDDDEAAGVPRLFDFARRRMAERWQALRAAVAASGAFSLQEVVSGYCTFTNQTVTACPAFAWLRCEKDGVDDCAAFLAGHKIVARGDEQFGGDARCVRVNMLDRDQVFDLLVQRIAAIN >Et_1A_006254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19743193:19746166:1 gene:Et_1A_006254 transcript:Et_1A_006254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSAAGGGGSMVAADQSKAQDPETFRLWKMENSENMFKIRVNQNQFMSINAGELLVAVATSPGQAAEFNIIRNKDNTDQIRIKAPNGKFLQVQQSGSITANSEPSESWSESYPSIFNIQITKQMQGDTQLCSFYGAEQAVSILQDHWSTFIVEDDFRFISSNGLNAVRIPIAWWITNETQTPSCHPPSYPGYLAVLDQAFVWADKYNVGVIVDLHAAPWSQNGQDHSAFRDGTIGWGTVDENIDQTVKVIEALAARYASRKSLLAIELLNEPSQQVQFEALKEYYQAGYDAEHRHVQRDDVYVIMSGRLMVHGAAEILDFASTFEKCVIDVHFYNLYDD >Et_5B_045675.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6788212:6788724:1 gene:Et_5B_045675 transcript:Et_5B_045675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWPEEPGVKRLDAPTLLCLSDDLLADILLRLPTLAACRTFRRVISDPSFRRHLRSLHRAPFLGFFHFLKFHPPAAPHPSARYAGALSRAADLSFSFLPLSNPWSRIREFRDGRALLELMSGEYAVADPVSRRYVLLPAVPVRHRKRLDHPFLVPASDEEGDDIVQGHAR >Et_2A_016484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25130282:25138356:-1 gene:Et_2A_016484 transcript:Et_2A_016484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMSLPCGWSLLFLVFYVGLLHDEAVHGAAAPPRLPPTEGRLLRRLAAKLGVSQWDFSAAGPCGSGGVECDCSSNHTACHAQNFTGELPPNFANFPYLLQLDLSRSLFHGGVPDQWARMNLQGLSLMGNRLSGPFPMALTKITTLTNLSIEGNEFHGPIPPEIGHLIHMEKLILSTNEFTGQIPDALSLLTNLTDLRVSGNNLSGRLPDFWGKLPKLEKLQIEGSWLEGPIPSSLSEMTNLFDLRISDLRGSGSAFPDLSGMQSMKTISLHDTKLFKRRVLRNCSISGRIPSYIGALANLKHLYLTGNSLSGNIPGWLLRRNNIASKPMPSREHSSLHINCGDKEVTINGNKDEADMTPKGASELYVSPGSNWAFSSTGNFMDNNINDDNYIAASASKLTMPNSKLYAKARLSPLSLTYYGLCMFSGSYTVKLHFSEIVFTNDSTYCSLGKRRFNVFIQGRMVLEDFDIRKSAGGAGKSIIKTFQTYVKNHTLEIQLYWAGRGTTGIPERGFYGPLISAISVTPNFQIPLAVEPPQTGGRKKSPLSPISEAFMIGIPIIVIFIALIVGICWIKQWRKSSTQKDLRALDLQTGSFTLRQIKAATGNFDAANKIGEGGFGSVYKGLLSDGTVIAVKQLSPRSKQGHREFVNEIGMISALQHPNLVKLYGCCTEGNQLLLVYEYMENNCLARALFVEQHRLSLDWATRRNICLGIAKGLAYLHEESAIRIVHRDIKASNILLDKDLNAKISDFGLAKLNEDDQTHISTKVAGTIGYMAPEYAMRGYLTEKADIYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWACVLHERGTLLELVDPDLGSNYSREEALLMLNVALLCTTAAPTLRPKMSKVVSLLEGNTPLQPLMSDLTLAANSLSSSGLRRNFWQNPSESHSLTAQFSCNNTNESSTVDIDGSLRPLVS >Et_3A_026899.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:25497704:25498496:1 gene:Et_3A_026899 transcript:Et_3A_026899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHDYKFGGNQVSEALLAGLADLGASAPWFVDRKQLLTTDARIDQNRLQLSCNSPIAGIFTAAEKALIMRPDGGLMVTAFDRRGKLYSMKCRFFRSKRFCRVMGDWGEFLRDHGLGLGKTETLGDRRVRVEVWAFRSPTLPNDKNGDDFESGHPDGALGLVVLHHEDESGGEAGEHEAVEAEEEAAVVVQEHVEQAAAVVQEEPPAIIVVQEQLQEEGPAGDACAEHGEKGARAGELREQAAASCAFATADKP >Et_3B_030245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31742784:31750153:1 gene:Et_3B_030245 transcript:Et_3B_030245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METNQAYSTNFEERDKNTLGAILAFSSLGVQRSPSSISLYYLVLVKVASSCQPQKCWILHFKELAKNGTEIWRIEDFKPVQLPKSDYGKFYCGDSYIVLQTTCNKGGAYLYDIHFWIGKDSSQDEAGTAAIKTVELDAILGGRAIQHRELQGYESDKFLSYFKPCIIPMEGGFASGFKKPEEEKFETRLYICKGKRGIRVKQVPFARSSLNHDDVFILDSENKIYQFNGANSNIQERAKALEVIQHLKEKYHGGVCDVAIVGEWTSELILALRSTSTLFSLVLWFNLSMLDDGKLQAEGDSGEFWVLFGGFAPIGKKTVSDDDIVLETTAPKLYSINDGQLKLEDTTLTKAVLENTKCFLLDCGAEIYVWVGRVTQMEDRKSATKAVEEFIVSQKRPKTTRVTQVIQGYESHAFKSKFESWPVGNAAGSPGAEEGRGKVAALLKQQGVDVKGAAKSSTPVNEEVPPLLECSGKLEAWCVDGNAKSALPKEDIGKFYSGDCYIILYTYHSGDKREEYYLSYWIGKDSLADDQVMASQLANTMWNSLKGRPVLGRIYQGKEPPQFVALFQPMVILKGGIGSGYKKLIEEKGMTGEVYSAEGIALIRVSGTSIHNNKTLQVDAVATSLSSTECFVLQSGNAIFTWLGNSSTYEQQQWAAKVAEFLKPGVPVKHCKEGTESSAFWFALGGKQSYTNRNVAQDIIVREPHLYAFSFKNDLSFPCYAQFRVTEIFNFSQDDLLPEDMMILDTHGEVFIWIGQCVGPKEKQKAFDIGQKYIEHANSIEDLSPYVPLYKVTEGNEPIFFKTYFSWDNTKSVVHGNSFQKKLSLLFGLRSESAPRSSGNGGPTQRASALAALSSAFNPSSQQKQSNERPKSTGDGPTQRASALAALSNAFNPSSKPKTPPPSRSGQGSQRAAAVAALSSVLTAEQSGSSDNLRASKTSSTADKTDVDRVVITPSGPSGASSPQSEAGESSVFHQEKDAAADVAPSETDGAESEAPEEETTENVGEATFSYDRLISKSTDPVRGIDYKRREAYLSDSEFQSVFGMTKDAFYKQPSWKQELQKRKADLF >Et_8A_056929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19073645:19076198:1 gene:Et_8A_056929 transcript:Et_8A_056929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTIAAASGFLGATGRRATTSSGASAGAAAPADLRADSRTSSRPSSMAFLSVIGRMDDDASCSAYMHASSSATAAMSAAVDPMAGSGASRRIEEEEEEEEEEALLAMELSFLALVVRGLGTLFSFCAAFSEDFHARRKRSRIHQIRRMGTGGVGHVDPPLTLALSLH >Et_3A_026288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6457147:6458593:-1 gene:Et_3A_026288 transcript:Et_3A_026288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGALKLAPSPPVVAAGAAQPDTQARSSLHFQLANAGAAALVAASLLVADPALAFIGGGPYGKQVTRGQDLTGKDFSGQTLIKQDFKTSILRQANFKGANLLGASFFDADLTSADLSDADLRGADFSLANLAKILLMSHCETINGNTSAKSLTVNPTTGNPTKETLFCR >Et_5A_041659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25641064:25645768:1 gene:Et_5A_041659 transcript:Et_5A_041659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVHKLLALAAVAMALAAPAAGQPGPGMALSCTASLVTSFAPCFNFITNSSASPTADCCRSLGSLVNASAGCACLILTGSVPGVPVNRSLAVTLPKTCNSTALPILCQDATTARTPAPGPIAEVAPALSPLPPVTPAAAPAPEAPAPAAPAVEPTAMPPVSQGQTRPAVLPSSAWRESSRVSTTAAVVLLLAMRLETTIKLFAALAVALMTTLASPASGQASSCTTSLLTSFTPCFNFLTNSTNGAAPTADCCRSLAALMNASTGCACLILTGNVPLGVPVNRTLAVNLPKACNSMAVPLQCRDTSTQVPAPGPVPVAPGSSLPPLSPSTPVDPTATAPVSQGQTRAAVLPSSAGRASSHVSATAAFVLLLGAGAVLL >Et_3B_028975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20998588:21000737:1 gene:Et_3B_028975 transcript:Et_3B_028975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRGALALLLLLCVHGGQHGAEAGGDGFVRVQGTRFVLNGNPFFANGFNAYWLMSFAADPAQRSKVTSALSQAAGAGLSVARTWAFNDGGSNALQYSPGRYNENMFQGLDFVLAEARKHGIKVILGLVNNYDSLGGRKQYVEWAREQGQNIGSVDEFFTNPVVKGFYKNHVKTVLTRVNKLTGVAYKDDPTIMAWELMNEPRCQSDLSGRTIQSWIAEMAAHVKSIDGRHLLEAGLEGFYGSSSTSPPSRAAANPSGYQVGTDFIANNAVAGIDFATVHSYPDQWLPGLDDASQMWFLARWLDAHIADAQAVLRKPLLVAEFGKSSRDAGYSAAQRDALFRAVYAKVYDSARRGGSAAGALFWQLLAEGMDSYGDGYEVVLGRAPSTTGLIATQSRKLKGLARAFARKVQPGNGKGGN >Et_2A_015400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1264145:1265956:1 gene:Et_2A_015400 transcript:Et_2A_015400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSGRDDDAPDLICQLDCVHGMVEALSSARWKRHQDAVMELSEHGIVITVEESGCLQAKVFLKRELFVEYEYAGEGRVRFGLSLGLLVDCLNTFSSPGHASTVEMRYPGPDMQLLLKSVGSPDACMYAEIRSRIPDTVSWDFQFEHAGNTPVTFTVKSAILKESIDDLEWPGSSIQIQMQPDPPSVILKCEGHGDLQIEYPYYANTDLLIAFRCDREVSYRYKYKFLRATTSNIPSSVMKENRGTKVQIGRGGMLKIQHLISVARPGMPYYRNIAGGEDQTSRIVYVEFFVKPEEDDNPINDA >Et_1A_009383.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4453053:4454510:-1 gene:Et_1A_009383 transcript:Et_1A_009383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAAQLRRLKPLYQLVVNNILAFVAVPLAGAVLLKAAELGPEEVLSRARALRPAHTFLAAFLPAAAAVLYLMLRPRAVYLVDYACFRTNPNCRVPFATFLEHSRVWPGFDERSVRFMTRLLERSGLGEETCLPYAQHYIPPSRDLESSRAEAELVIFSAIDDLLAKTGLSPQDIDILVVNCSLFAPTPSFADMIIRRYNLRRDVRNVHLAGMGCSAGMISVEAARNLLQVAPWGARALVVSTETITPNYYMGRERAMLLPNCLFRMGGAAALLSTDGANARFRLKRVVRTLRGASDAAYRCVYQEEDDRGNVGINLSKDLMNIAGDALKANITAMGPLVLPASEQLLFALSFIARKVLNNRIKPYIPDFRTAFEHFCIHAGGRAVIDELQRSLTLSDEQVEASRMTLHRFGNTSSSSLWYELAYIEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIRPAPNADGPWANCIHRYPVHIPDVVKH >Et_3A_024145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17843608:17863530:1 gene:Et_3A_024145 transcript:Et_3A_024145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding STSVWKGVWLELDLERIGPSPAAPQSCRASLGYVSELSLGYIMCQVLEDKITALLSPAVAFTTYPHLSATHLAYEFLCSSFHETSCCALSQFGQDLSDQRWTEDPFPCGKGCWLTWKDVRSKSKLKSITIMQASRFRLLLAYPAFGNLMVNSYEFHRSASWLTLVSVFVSLAAVHVADAKGQYQCPSFSCGGLHNIWYPFRRPGDPPECGVQSYELVCSSTKATIRINTGTYFVTSINYTDKSFWVVDANLDVHSSCPLPRMDQLPYRSYWKIGSPYGSELATATDCWVCFVNCSQAVTEISWYKPVTCLSTNSSFVYISLGFLSCTVDSLIPSCGYLAAIPLGSFYYSYPENASYAEIIELVKKGFSVSFPTDSLERSLNSNLKSCLNDTKSTGTYFVTSINYTDKSFWVVDYCSQAVVNTSWCKPVTCLSTNSSFVYVSISSCELYSLKPSCGYLATVPLGGRVDYPPDQQLYNQSYAEIIALVKKGFAVSFPEDDWGERKGLNSNLKSCLNDTESEIYFAQCMHEKNSFVGGNGKLYWVVEVLVYAIGVTKLYVGLCRPVLLPLAVFTFQARKYWKKRISIDAVEKFLRMQQMLLPTSSNCNGDEFISEVSTIGRIHHINVVCLVGYCAEEMRRALVYEYMPHGSSERSFSWDKLTEIALGVARGIDYLH >Et_1B_010131.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25405048:25405758:-1 gene:Et_1B_010131 transcript:Et_1B_010131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLCGLLANPKATVASMGVLIQTTSLLYIFPSSLSFGVSTRVSNELGANRPAAARAAARAGLALSAIQGLASFLFAVSVRGVWARMFTSDADILGLTASVLPVLGLCELGNCPQTTGCGVLRGSARPKDGARVNLGAFYGVGTPVAVALAFWAGHGFRGLWLGLLAAQAACVAVMFVVIARTDWARQAELAQVLAGVADVNGDDVEKDADAAPRVKKVAAPHGDEDSSLLITVEG >Et_6B_049446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:579293:581203:1 gene:Et_6B_049446 transcript:Et_6B_049446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGNFAKPKLLSPSTLHCCSWSSRAMAMASALPLLLVPRSVSARVTAATFHSRGLALPPQPTTFSIPAATNQRKWGRLQHAPAASCCSSSAAAASTAGGGARLLAWYLMALDKNPVMTKAVTSAVLTLAGDLICQLAIDRAPKLDLKRIFVFTFLGLVLVGPTLHVWYLYLSKLVTVSGASGAIARLLLDQFIFSPIFIGVFMSLLVTLEGKPSLVIPKLKQEWLSSVMANWQLWIPFQFLNFYFVPQKLQVLAANFVALAWNVILSFKAHKEIVAK >Et_2B_019081.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3441266:3442946:-1 gene:Et_2B_019081 transcript:Et_2B_019081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVDVATHDTHLQLETSHLVGEHLPELRHALRLQRQRQRDGAFLPGRLHHVHEAAVVHKRRAHEHRRAPSEVGVVKRHAHPADGAEVRPRDAAPRQVHGVHTLQIVHERPRVVVALARRRKRAASGVADGVRHHFPDRRQRRRADHGVAEVSRRERRRKAGAHVDHGVEPRLGVRRQRPRAAGALPHQRHHGAERGRSRAPGLERGHLRLGQRDDGARVVCRRDRVHGLRLRLVLRVVVKHRARGARGEEPVVEAGWEGRVPGGAARDARPRLREVRRAPGVDDRVVQRQPEHDAAAPEVGDLHEQQRARRVRRRRRGHEQVSHLVARHELVQEVVEHVFGPRGHEDRALGGAVDLDAASVVEPEAAGERVERHQRPGEAVLDGLGLEKVRLAVGPVEVHRGRVTPARCQVEVGQPDGARRRLLRRDDELRRQPLHRGHRHVRRRSALEQCAVLRCAPVLHCFDTDRRRLCLGFQLCCV >Et_2A_014888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20507718:20508751:-1 gene:Et_2A_014888 transcript:Et_2A_014888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLLALVLAATVLTVPTGNKLVANAARTSSEAFWRTALPGSPMPEAIRELLHARADADASVPNDAKLKDDGDDPPPMSFKYDDYRAQRASPRNGAAAPTPEVLKHAAGAHQNAVRVFFLEDAVRVGASLPFRSVQRADTDAPAATKDLKVPLRLLTVRAVRAVAEGSRLVVCRRREIRGPCDDEAVAYGCRATATAGPARAYDVDVAGGGGDGAVGVTRAAVVCHVDTSRWDPEHVAFRLLGVRPGGAAVCYAVPDAHVFAAGGDGERPAAGSR >Et_2A_017844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:707387:707966:-1 gene:Et_2A_017844 transcript:Et_2A_017844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRALTIAGRRVAGQNGLTALVSCRRGGAQGDSRRSAHAHAAEEQDAVTAEQVEAAQNSKNVDGVVHDHKGGATMRLPDEVVDDADAAWVPDQDTGVFVPAEEAAAGNGATHDAPAPAATSVLDQTVFVREDEMEDVERPAVDMDNGDAN >Et_10A_000499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11403086:11404988:-1 gene:Et_10A_000499 transcript:Et_10A_000499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVNGLLNWVGTPTMLASLLLFYPPYYVFKTCYSFLSWIFPEDLARKVVLITGASSGIGEQLAYQYAMKGAALVLVARREWSLRQVADKAFELGARDVIILRGDVANPDDCKRVVQTTISHYDRLDHLVCNAGIASVGAFEEIPDVTNYSSQLDVNFWGSVQITFAALPHLKSSRGRIVVTASAAGWNPVPRMSFYNAANAALINFFETLRTELGSEVGITVVTPGWIESEMSKGKFLKEHGQVEVDQEMRDAQIGLFPVEYAKNCAKAMVQAARQGEHYLTVPSWFSAMYLWRVFAPEVVEICYRLLYMHGRGASQTDAPSRTMAEAGGKKLLYPTSLRSDEIKSD >Et_6B_048253.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18773062:18776154:-1 gene:Et_6B_048253 transcript:Et_6B_048253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTDSTWYTAISGCVRCGLDGAAFSLLRDMQARGVPLSGFALASLVTACERRSGWNEGAAVHALTCRAGLMGNVYIGTALLHLYGSRGRVADARRLFWEMPERNVVSWTALMVALSSNGYLEEALEAYRQMRREGVTCNANAFATVVSLCGNLEDEASGLQVAAHVVVSGLESNVSVANSLITMFGNLGRVQDAERLFDRMEEHDTISWNAMISVYSREGACSKCFLIFSGMRHGGVRHDVTTLCSLVSVCASSDHVSYGSGVHSLCIRSGQDSALPLINALVNMYSSASKLDDAEFLFWNMRTRDIISWNTMISAYVQNDNCIHALKTVKQLLLTDEAAPNHMTFSSALGACSRLMDGRMVHAMILQRKLHNNLLVGNSLLTMYSKCHSMEDAERVFQSMPTCDVVSCNVLIGGYAALEDSTRAMHVFSWMKDVGIKPNYITMINLQGSFKSSDDLHSYGMPLHAYMTQTGLLSDEYITNSLITMYASCGDLVSSTDIFHRIINKSAIAWNAMIAASVQHGLGEEAIKLFMHMRQAGNKLDRVCLAECLSSSASLASLEEGMQLHCLGVKSGLELDTHVINAAMDMYGKCGKMDEMLKMLPDPATRPTQCWNTLISSYARYGYFKEAEDTFKQMVSIGQKPDYVTFVALLSACSHAGLVDKGIEYYNSMASTFRVSPGIKHCVCIVDLLGRLGRFAEAEKFIEEMPVLPNDLIWRSLLSSSRTHKNLDIGRKAAKNLLELDPFDDSAYVLLSNLYATNARWVDVDKLRTHMKTVKLNKKPACSWLKLKNEVSTFGVGDRSHVHAEKIYAKLDEILLKLREAGYVVVTSSALHDTDEEQKEQNLWNHSEKLALAYGLIVVPEGTIIRIFKNLRVCADCHLVFKLVSMVFHREIVLRDPYRFHHFKGGSCTCSDFW >Et_8A_057444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3077811:3083885:-1 gene:Et_8A_057444 transcript:Et_8A_057444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGMGSGGAGGEGGLVEGKGKKVNEELWYACAGPLVALPPAGSLVVYFPQGHSEQVAASMRKDADAKIPSYPNLPSKLICILHSVTMHADPDTDEVYARMTLQPVAQCDKETLLASELALKQSRPQTEFFCKTLTASDTSTHGGFSVPRRSAERIFPPLDFSMQPPAQELQARDLHDSTWTFRHIYRGQPKRHLLTTGWSLFISGKRLLAGDSVLFIRDAKQQLLLGIRRANRQPVNLSSSVLSSDSMHIGILAAAAHAAANNSQFTIFYNPRTSPSEFVIPFAKYQKAVYSNQLSLGMRFRMMFETEESGTRRYMGTITGISDMDPVRWKNSQWRNIQVAWDEAAPSERRTRVSLWEIEPVIAPFFIYPSPLFTAKRPRQPGILDDDASEMDNPFKRTMPWLGDVIDKKDFTNQNSLVPGLSLVQWMNLQQNPSLASTVMQPDFLNSLAGKPVQTLAAADISRQISFQPQFLQQNNIQFNSSLLPPQNQQIEQLAKVIATPNQLGSVIVPQKVVQDCNSEQKPHTTTQPIQGGQPSINIAQPQLQQPQVILQAQPQQPQPLNMDQQKMILELQQQMVHSQSTAQHSQVVGMQNSSTIQYPAQQQHLIQGFRGNDVSVAKSETVTSMGAHSLHMPGGVQPLKTDDIPPSSTSPSTNSNPVYLQSIPSTSKNQSLLSVANTPQSSIALGPTIEQEMKPHHSTKQTVAIPKMTDQRPATGQDYMNNTPQVDYLDSSSSATSVCLSQADGSLQQNFPPSSFNQHQLLRDTVPDSEFEVADPGNNLLFGANIDSQLEPLNADALLANNIENEKYMGQMPGIGISNYISSRDSQQELSSSMISHPFGVADMPFNSIESSMNDTPFLNTNTRASAPSHQRMRTYTKVHKRGAVGRSIDINRYSGYDELKHDIARMFGIEGQLSDQNRFGWKLVYEDHEKDVLLVGDDPWEDFVNCVRCIRILSPQEEMQMRLVGDFGDSFLPNQACSSSDGGHPWRITGD >Et_4B_038102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26248394:26251260:-1 gene:Et_4B_038102 transcript:Et_4B_038102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEEKVKAAACVVNGTDETAKRAGAVPVKEEQKVEATHVANGNNSNDVEAEHGGVGEEDDDAEFAAVMAQLAPEGVRALHARVEAEWGPVLLSACQTAAARALWARAVRDPAAAVLAGERFLRGLRDKMRRDERAGAREVHGVMIAVRTLWFDARIEAAVHALGGAPQVVLLGAGMDARAYRLSCLKECTVFELDFPELLEMKSDLLHEAMSSENHQKLTMMAKSLIRVPVNIQDADWITTLQSWGYVPERNTIWVLEGIIYYLQDAQAMQVLETIAASCISASTVLLADFMNKNTTSLSPTMYHFYHDSPELLLPSIGFSQVTLSQIGDPQAHFGLLSHPENLFDKLRRLPRSVEINPEDGTPCCRLYFVEATASPDDQRCQAVDHNKENLEYSSRKEIFGIGPVCM >Et_3B_029145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22389510:22391676:-1 gene:Et_3B_029145 transcript:Et_3B_029145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSMVVGAMEEYIFTLVAMAVGLLVVAYLYEPYWKVRHLPGPVPLPLIGHLHLLAKHGPDVFPVLAKKHGPIFRFHVGRQPLIIVADAELCKEVGIKKFRSMPNRSLPSAIVNSPIHMKGLFSTRDSRWSSMRNIIVSIYQPSHVAGLIPTMESYIERAATNLDGGEEVTFSELALSLATDVIGQAAFGADFGLSREPASRHGDGKGIAAHAGNGGTATKLSSDFIKMHIHATTSLKMDLSGSLSVIVGTLVPFLQKPLRQLLLRVPGSADREITRVNHELSRLMDGIVAEHVAARELAPAAQHKDFLSVVVAARNKDESTRELLTPDYLSALTYEHLLAGSATTAFTLSSVIYLVAKHPEVEEKLLREIDAFGPRDRVVKESMRFFMVSPLVARETSERVEIGGYVLPKGTWVWMAPGVLAKDPKIFPDPELFRPERFDPAGDEQKRRHPYAFIPFGIGPRVCIGQKFSIQEIKLAVIHLYRRYVFRHSPSMESPLEFQFGIVLNFKHGVKIHAIRRQRD >Et_7B_053552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:63784:64909:-1 gene:Et_7B_053552 transcript:Et_7B_053552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCISEALYPVWIDEAGNSSSRCPALPDPVVLRGDQSFYDLVAVKLPCRRQQGSWSRDVRRLHLQLSAAKLALLTHASMVLILCPAECFPLQTPPVAPRPRLALKPPRRLAALPYSETSSSNRRRREAYATVLHSGDAYAVGVDWWPWWTSGARRGWVGRASATRHAYNEWNYSKFRLWQLTDYDKVVFLDADLLVLRSLDFLFDQQALELSATGNSGVMVVEPCNCTFDLLMSGIHRIHSYNGGDQGYLNEVFAWWYRLPRTTKPAQARRPSPFAVHYLGIKPWLCYRDYDCNWDVPDLRRFASDDAHARWWDLHDSIRPAELTRRFCARRSRRRQSATGA >Et_8A_056942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19247897:19252643:-1 gene:Et_8A_056942 transcript:Et_8A_056942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVAGGRAFHLPQLRPRPPWRAPPPMTAAALLSRSAPANRPHHRRLPQGFLPSLELGVSAVHLCRRVLSATSSVSLDDMLQGELDSAKELSVPLRTYTGNFPKRKHLHPYERSLIELTFGEGYYEQVIGRVDALRKRITSVGKQHASVCAKSTTKREAEERLTEGRKKLEEAFQQGKHAVDDLVNVAKALRSMPVVDLYIPTLCLVGSPNVGKSSLVRVLSSGKPEVCSYPFTTRGVLMGHIVSNHERFQVTDTPGLLTRHDDDRNNIERLTLAVLSYLPIAVLYVHDLSEDCGTSVADQYTTYKHIKERFGDHLWLDVVSKCDLLGKAAPTNFYCDDTDNELAQYKRFGPEGAIQVSVTGEIGIIELKERVHQLLTSQMARIKAEQGGHETQETGVDGSL >Et_3A_026373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7377579:7380575:-1 gene:Et_3A_026373 transcript:Et_3A_026373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWMWPGLPATSPLHKQRFAFAISDRSERLQWPPPLLPAFHSLASTPLSCLLILHFAAPASLQFAPSSRRLCYSLAASGGEDAFFVKSDAGAVFAIADGVSGWAEKDINPALFSRELIRNSSNFLNDEEINNNPQILLMKAHAATSSVGSATIIIAMLEKTGTLKIASVGDCGLKVIRKGKVMFSTCPQEHYFDCPYQISSEAAGQTYQDAVVCSVNLMEGDMIVSGSDGLFDNIFDQEIISVISESPGVDEAAKALAELARKHSVDVTFDSPYSMEARSGKMDDITVIVAQVKTVMVPDDEGGDVEKQTGNEQRSASTVASAQ >Et_5B_044177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2135979:2138487:-1 gene:Et_5B_044177 transcript:Et_5B_044177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAQPGDLRHRRAQPRRLPEGFVFGTAASAYQVEGMAKQGGRGPSIWDAFIATPGTIPNKATADVTVDEYHRYKEDVNIMKNMGFDAYRFSISWSRIFPNGTGTVNQEGVDYYNRLIDYMVEQGITPYANLYHYDLPLALHEQYLGWLSPKIVEAFADYADFCFQAFGDRVKNWFTFNEPRCVAALG >Et_3B_030862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8006729:8009516:-1 gene:Et_3B_030862 transcript:Et_3B_030862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHFVYKDVEGTSTQWDDIQRRLGNLPPKPEPFKPPAFAPKVDADEQPKTKEWLDEREPEELEELEDDLDDDRFLEQYRKMRLAELRDAAKAARFGSIVPITGSDFVREVSQAPSDVWVVVFLYKDGIPECGLLQTCLEELATRYPATKFVKIISTDCIPNYPDRNVPTVLVYNNSAIKGTHVGLLKFGGRKCTPESVALALCQSDPVLNDGHGGGDSSRDNVMEGVRKKFIEKVVAQHESREDYDSTEFRQRYKSELHSESDEICSIYSWCPRPRPNMSEDDDGADENPRRE >Et_1B_012360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31100765:31104523:-1 gene:Et_1B_012360 transcript:Et_1B_012360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYTHQGTLPILWLLWSLVHLMISFIDLWACLTYKLQCYLISSGLSPKYQYLHHEKFKCLGVAVDSSEAKNAPEVKQLLHWFSTIGIKYVALYDIEGVLKKSLQLGIEGSRDDNSRNFLAPKLHTVVRGMIIECLSVPDGKEGIAKAANFLYSAYDSRIHGYEKHNLVFTEADMASALRSVGCGGPEPDLLLVYGPVRCHLGFPPWRLRYTEIMHMGPLKSMEYASIVKVLYQFSQKHQNYGEFVKLSVAHVSPGGKPADFQHSQQKPVNHMHLDI >Et_3B_029857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28658454:28661625:1 gene:Et_3B_029857 transcript:Et_3B_029857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPDTEPPRRLLQRRLDSSAAEEARVSTSADQSNQAGERHRSSPESERFDPLRFLVNAAKHTVGMAEEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGTVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGFTLPHAIIRLDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELDTARTSSTVEKSYELPDGQVITIGAERFRCPEVPFQPSFIGMESPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIGDRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDETGPGIVHMNTSAHELCLCWGWDRVQLGQHPVLAGVVVLMYVT >Et_10B_002366.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:14065372:14066106:1 gene:Et_10B_002366 transcript:Et_10B_002366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLAVTSLLMALIISAPTATASAPSSSAVSSDITITAQEMQRARYFTFVMLLRMVQDKIPHNTTFLMPNDRLMSTASIPQSQVLEFLFRHSISAPLMYGDLIRLPNGTVLPTHHSSEMITVTNTRHQKLYFNDVELTSPDLCHLEASFRCHGIDGVIRPTATRRGKGASCTRYVAPTSAPPDTPSTANQSLGSSPLPSPNTGSSSIPAQQPAAESPQSSDTHIAQIGMTCTTLMTVLMFSIF >Et_8B_060280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8637158:8637924:1 gene:Et_8B_060280 transcript:Et_8B_060280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAAEYLYDILTKATVVKKKVHVLISATRQQITAHSKEFIKNSWKNKSWGPEEAFSFSQCENNVTVAEGAGLTGNVS >Et_3B_028587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17085488:17087708:1 gene:Et_3B_028587 transcript:Et_3B_028587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVVKREPKPEPCAGEASGAVPRPVDGAPPAPFVAKTYEMVADPATDAVVSWGPGGAGNTFVVWDPRELAARLLPRFFKHANFASFVRQLNIYGFRKVNPDRWEFAHESFLAGQKHLLKNIKRRRPSKHQLESQSRTESRTVCLGQPENIREVLSLKRDRASLIAEVTMLRQKYNRCKTVLLAMEERIRDNERKQQLIVAFFAKVLSNPEFVQRLLINRARNKELCGAAKRQRLTASEEQVADVPQMNGVEAASSAVEAAISEGSSDDSVAGTDVKHETVPEWNHQIIDNICDDVWEELDAIPGTEVEQEDIATDSFDVEELTGRPCGWVDDCPFLLEPMPFVEY >Et_2A_015298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10699915:10703742:-1 gene:Et_2A_015298 transcript:Et_2A_015298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWREGSREAEVTSSLVVVSITLGMSMPRFDSKNGSEQVSSESSRASGAMNRNDEVPAEHLEPTLGTQTSNGMSFDSNAPTISAGTTVAPSETTNIGMNNTNYDADQSDNNSDSSNEDDDTSESDDDSSDKSLNRELSDLPRGLAVAPTTMEIVVAVPVKHGFNQFVDVSEDSTTGTPKVTGYCIDVFDTVMKSLQVSYRYVPFNGSTNSDAVVGNVTITSSRMGEVDY >Et_1B_012017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27993258:27996362:-1 gene:Et_1B_012017 transcript:Et_1B_012017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLSMNYYELDLLHNTYIYLPDDRTRVVRLRNMITWKVAPMIDCLTNLYTNSETLDGETELCTLHSGYAHERRLYVHGAVAAWPGDDVGTLNFKLSDKSC >Et_2B_020534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21078414:21083629:-1 gene:Et_2B_020534 transcript:Et_2B_020534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METEPRRWAATYTKHLKQKRKAYHDGALLHHPDSGRLVLLDDAGDTLESRYLRASEEVSTGGALSFHSYLVDVGEPEACPAGSRSSSNSASRTAHRSGATARPPSAAGRLNPRVPRAFVNLPKSGGEGEAARAGGVDVEGSRFQEWTALYTAQLTQKAKKYHDGVVRLVQAGPHVKQIVLLDEDGQVLGSRHLKSEESIESGKKCIFPNYLIEICETKTENEGTHTSAIPMVHRSTKSGGNSTSNKMGPSAVSKYQKFISPQNFHDRKETKSEVAASSVKLKIGNPEVVAAGSSGSLMETDWSSFKEWNALYTTQLTQKAKKYHDGIIRLIQVGSHARQIVLLDEDGGVLGSRYLKSVESIESGTKCQIPNYLIEVSELRNEKNEGKHSLEDVAQTGQRSEEDTSEKMGAKSKSPKFFSPFKCQGVRKRNCESTTNSTRLLIDKTACNNMNGPPNFHDLRSGKSGSEVNGRADHCKSTLDIMDDPLKFNDTQRGTSTNFNWPQVGKSWSSNVGDPPKFHDPQDGKLGCPPNFIRREVGKSTCGNIDDSIRTASQIISIMKPSSEVKKSSQCAPSGAACSLVSSESRIPFDASCTKNSVVDNSNRTFDGSGHSGLSRFATQLRTSVQSCLSLDVSRTNNSVVDDSNRTFDGSGHSVLAHFATQLRTSVQSCLSLETPHQNNLLSTQSSAVDGRCDVSTSTSAYTLTCKDPRIQELIDDCPSFDLGF >Et_9A_061294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8140388:8140650:1 gene:Et_9A_061294 transcript:Et_9A_061294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLCSPFSVSAADSTRAAMPIALVPAPPSTSPPLSSTSLLRLWSSVGMP >Et_8B_059234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15687819:15690340:1 gene:Et_8B_059234 transcript:Et_8B_059234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLHPPPPEDPGSRCLEVRLFYVRLSPHGGAAPPPRLALALSPAGEEAPLSLPLRLDRHDAASGEATYVSTASARLAPPAAAFEVADHTGAALLRGSLRRCHGAKVDSPAWEIDCVPAAGAAASASAFEVYVAGCCAGEPAVLTRAMRLATPEEAASGLIRCQSGTLTDTGDEADIDMNTGSVQYPEGWYSDDDDGQLSWFNAGVRVGVGIGLGVCVGVGIGVGLLMRSYQATARNLKRRCFGLQRVELFRE >Et_9A_061763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16174708:16180068:1 gene:Et_9A_061763 transcript:Et_9A_061763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCAARVPSPRCAPRRCCAGTRASTRPAKCGASGGRVVVCAAVSFRPCIDIHKGKVKQIVGSTLRDSSVDGTALVTNFESDKSAAEFANIYKEDELLGGHVIMLGADPASQAAALEALQAYPGGLQVGGGINLDNAISYLNEGASHYVFSDGKMNIERLKQLVELVGKQRLVLDLSCRKKDGRYTIVTDRWQKFSNVFVDEPTLEHLASYADEFLVHGVDVEGKRLGIDEELVALLGRHSPIPVTYAGGVSTMDDLERIKKAGQSRVDVTVGSALDIFGGDLSYKDVVRWHKEQNMKTHGSNMIQTLFFKSAFPVARTGPDLLQAWKESNEECLV >Et_2A_017595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:461922:467646:1 gene:Et_2A_017595 transcript:Et_2A_017595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNRILAQKYLEAMCLCSGKSSSMSNAFLSMYSHTYFFPINIEPEFVLFVECPKEELERRILNRNQGRDDDNIDTIRRRFDVFQEATLPVVQYYEKRGKLRRVDGAKSADAVFEDVKAIFSEINTRANRGSTMSRAQGNPLKRFLELFCGDGKGTQLCSCAKIVDQFGFIHLSAGDLLREEAKSNTEQGMIIKNLMHEGKLVPSDIIVELLLKAMVQSGSDKFLIDGFPRNEENRLAYEKIVSMLMFLLPDYRILALKGTNIWKPYVYVLINIEPEFVLFVECPNEELERRILNQNQGRDDDNIDTIRRWFDFFQEATLPVVQYYEKRGKLRRVDGAKSADAVFEDVKAIFAELNTQACRGSNMSRAQGNPFKRFVDLFCDA >Et_7A_051643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21961715:21962065:-1 gene:Et_7A_051643 transcript:Et_7A_051643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQSIYIKHIATSEKERSPGSFARNNTKIIKTGPERLQNSPVWPSFRAGTIELHQIHRNKPARFDQNNTEISETRPEQPCMVRLQQNSHFLESSHGNHPVTSNISQ >Et_3A_026321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6807087:6822596:1 gene:Et_3A_026321 transcript:Et_3A_026321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLSTLNLSKNKLSGIIPEGFGKFHNLQQLYLAHNNLSGPIPTLLQNLTSLLKLDLSFNNLPKEGIFRNWNNFTIAGNSDLCGGYGRVSYNALANGTNGFSEANLLGKGNFGAVYKCMLEDEGITAVVKLFHLEHIGSTWSFVAECEALRSYQSPWSRVQGTPHNTLSLVQRLDIAVNIMDSLDYLHNHYQPPIIHSKQHPSCRDMSARVEDFGISSILPGSSSKTLESSSSTIGIRGSIGYVAQGRSPTDGIFQGSLDLHKFSEGALPGRIWEITDTTMWLHTAAYDSTTRIGIENKQPRERTPIKDAVLEMHTIRDSYLNLLLLLLASVSISALAAAKTIDEATLLAFKAAATSGSGYGDILSSWNSSTDRCSWEGVRCRGTRQRVVALDLRFRGLTGILSPVIGNLSSLRFLNLSSNKLSGEIPASLGRLHRLQYLDLGNNAFSGEIPANLSSCSSLVFMILRFNQLHGRVPPEIGDKLTHLRQLNLWNNSLTGSIPASLGNLSSLGFLSLAYNKLDGTIPASLGSIPGLQYLALAYNNLSGEPPVSLYNLSSLGSLQIQANMLHGVIPTNIGSNFPHMMYLSFAVNQFVGSIPSSISNLTAIQLLDLSRNRFSGYVPSTLGHLQALNTLWLFANMLEADNKVGWEFITSLSNCSQLQQLLIMGNAGFTGQLPNSIVNLSTLQTLFLDQTGISGRIPSAIGNLLANLVELDLYNTNLSGPIPSSIGNLSKLAALAAYNANLEGPIPSSIGKLSNLYILDLSMNHLNGSIPKEIFNLRLLSRYLSLSHNSLSGLLPSEIGGLTNLNQLFLSGNQLSGEIPNTIETAL >Et_10B_002780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11014813:11016778:1 gene:Et_10B_002780 transcript:Et_10B_002780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRDLELQLPVCEKATTIHVRFSQLNLRPSIPATGKFEALPSPVLTSPSWMWNGSSPSSARGCKSWRWLGWGSPEAFRSQDLSIRSGSLEWLKLRIRICGKLTVQAPKLVRLETFRSFDDYRIYSSRKTTVIVAPKLVEVIWCSCYDQRFDHIMNLRQLCCISFVRMMVHVLSQYGDRDNDKVSFSDCAYGLSENKSSEGIILNSLEEKRILFCPSFQATVTCIHRMSHFCSPRPAA >Et_9A_062575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24167192:24173390:1 gene:Et_9A_062575 transcript:Et_9A_062575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVPGPVGVSRRGPSRRRMSSDAAGGQRSSSGGNRTRMPTSSPFINDGGGAGSPNRIERMLRERRDLFASPSPADAMDTDAAFASAGAFATDGLQSPANMEDTGGASAGNAARPPLAGHRSGFRRLGLRGMKQRLLVVANRLPVSANRRGEDQWSLEISAGGLVSALLGVKDVDAKWIGWAGVNVPDEVGQRALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRNFESQFDAYKRANQMFADVVYQHYQEGDVIWCHDYHLMFLPKCLKDHDINMKVGWFLHTPFPSSEIYRTLPSRLELLRSVLCADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFKRAIELPAVKRHINELTQRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWNDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEYVACQGSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIRHALTMPSDEREKRHRHNYAHVTTHTAQDWAETFVCELNDTVAEAQLRTRQVPPSLPSQSAIQQYLRSKNRLLILGFNSTLTEPVESSGRRGGDQIKEMELKLHPDLKGPLKALCEDENTTVIVLSGSDRSVLDENFGEFNMWLAAEHGMFLRPTDGEWMTTMPEHLNMDWVDSVKHVFEYFTERTPRSHFEHRETSFVWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSESMVTPIDYVLCIGHFLGKDEDIYVFFDPEYTSETKVKPEGGSPSLDRRPNGRSSNGRSNSRNSQSRVQKAQAASEKSSSSGHSTTSSNHDWREGSSVLDLKGENYFSCAVGRKRSNARYLLNSSDEVVSFLKELATATAGNQSTSADYMFLDRQ >Et_4A_034682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5337113:5342619:-1 gene:Et_4A_034682 transcript:Et_4A_034682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRRRRRNPRPPESELPSAGRGRERMQLRISPSMRSITISSSNGVVDSMKVRVAPQPPPPPPPLAGRRGGGGGGGGGSWWGSAWYWRAVAFPAVVALGCLLPFAFILAAVPALEAGGSKCSSIDCLGRRIGPSFLGRQGDDSTRLVQDLYRIFDQVNNEEFPSDKKLPESFRDFLSEMKDNNYDSRTFAVRLKATMENMDKEVKRSRLAEQLYKHYAATAIPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPSLSDNSFQHYILASDNILAASVVVSSTVRSSSVPEKVVFHVITDKKTYPGMHSWFALNSISPAIVEVKGVHQFDWLTRENVPVLEAIENHRGVRNHYHGDHGTVSSASDNPRVLASKLQARSPKYISLLNHLRIYLPELFPNLNKVVFLDDDIVVQRDLSPLWEINLEGKVNGAVETCRGEDGWVMSKRFRTYFNFSHPVIARSLDPDECAWAYGMNVFDLAAWRKTNIRDTYHFWLKENLKSGLTLWKFGTLPPALVAFRGHVHGIDPSWHMLGLGYQEKTDIESVRRAAVIHYNGQCKPWLDIAFKNLQPFWTKYVNYSNDFVRNCHILEPQYDK >Et_7A_051059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1481521:1488223:1 gene:Et_7A_051059 transcript:Et_7A_051059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPAASRARGSVFAVWLHGLGDCGRANEFIADHFSAAAFANTRWAFPTAPTSPVTCNRGMLMPSWFDIHDTPITSKSVRGEKDVLRAVQSVHAMIDREVAAGTDPENIFIFGLSQGASCYTPKTLGGCAVFSGFLPFSSSFASRVTSEAKKTPVLWAHGGADSLVPIEAGRDGVKFLRGLGMRCEFKVYDGLGHTLEPFELEYCERWASENILKEHGEGLKKSGLSGSSPSPAPAGGFLLWLHGSRGSGDESRAQVAPYFATPELAASVRLSFPTAPTTTVACYGGEVINAWFGISEVPITAKSVRDEREVLKAIEHVHELLDKEVAAGTDPSNIFVCGLSQGGALAIASVLLYPKTLGGCVVFSDSIPLNKSFAEKVSSEARKTPVLWFHGMADGIVLFEAGHAGCAFLEELGMNCEFKAYPTLGHSLVDEELQYLQQWISGRSLARFLFTLGAAIAAASLLAASLRRRAPPSELPAQLVPTSHMAGRNRSFVLWLHGLGDSGPANEPIRTFFSAPEFRLTKWAFPSAPNAPVSCNHGAVMPSWFDIHELPLNAGSPQDESGVLKAVENVHAMINKEVADGVHPENIFVCGFSQGGALTLASVLLYPKTLGGGAVFSGWVPFGSSVIERISPEARKTPILWSHGMADPTVLFEAGQAGPPFLQSVGVSCEFKAYPHLGHSISKEELHSLESWIKSRLRASQEKDS >Et_1B_010942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15751783:15753131:-1 gene:Et_1B_010942 transcript:Et_1B_010942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKAGKDAGGGGDKKKDAGAAAAAIVLKVDLHCAGCASKVRKAVKHAPGVESVAADMAAGKVVVTGPADAAELKDRIEARTKKPVQIVSAGAGAPKKDKEKEKKADGGEKKADKEKGGGGEKKAEKEKGGGGGDKKAAAGKPNKEEKKPKEPKEETVTLKIRLHCEGCIDRIKRRIAKIKGVKDVAIDAAKDLVKVTGTMDAASLPAYLRDKLSRPVEVVAPGKKDGGDKKRDGGDKKKDGGGGEDKKDKSAAAAASVAPAPLADAGMYQMPPHYGYAPFPPAARGYYGAGAPPPNPAFYPNAAQYPPPPASYPYASHLHAPQMFSDENPNACSVM >Et_5B_045000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8186772:8188141:-1 gene:Et_5B_045000 transcript:Et_5B_045000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLDFPFAARGPSSVDARRFPTHHEVLRYIQDFARRFELHDLVRLRTEVLTVRRSWHHQTSWTVRWRKLGTAAVEEDEEAYDAVVVCNGHHTEPRVAEVPGADAWPGVQMHSRSYRVPDPFAGQVVVVIGAKNSGSDISRDIAGVAKEVHVADRSAPATTCETMPGYQNLWRRSMVERAEEDGRVVFRDGTSVKADVIMHCTGHGMFCLYLDEIKKMLSYKYSFPFLALGDDSTITVDDSRVDPLYKHVFPPHVAPHLSFIGLPSKVIPFPLFQLQSSWVAGVLSGRIQLPSTAEMMQDMRHLYSEMEARGTPKRHTHCLDHNQFDYEDWLAKQCGGHIKIEEWRKQMYAQVCKKKMDCPETYRKEWDDHRLLQQANQYFKTYI >Et_2A_018655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4368784:4369424:1 gene:Et_2A_018655 transcript:Et_2A_018655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARHLLAATTADLPDVAKVILSIAAGCVEILIPVLAFLDVFLARSGSPVHDTIVVAFLVALPLAYLVSVTIHLVASAASVGAGARTPFIPGVALALRLGFDPLMLVAFLFLAAGGRLPNRAQEYAMAREVDMWITPANQLAAASICMLPVLL >Et_3A_024315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19407566:19421457:-1 gene:Et_3A_024315 transcript:Et_3A_024315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGNQLPTFGPRRGTRRLNPSLPTTAHTPLHSTRARPTPHPTRPLSSPQILAAMSVFLEDVSSWFPAAETSSSLSSATAAAAPADGVDRISALPDDLLRDVISRLPVRDGARTAVLTTRWRSLWRSTPLVLRDADLLMASTFNDKAARAAAFAAVGRILADHPGPFRKIQLTCCKFGSRKRELVEWARLLAAKGVQDLILLDVDDSAQGLLQSLPADILSCASLQRLFLGYWTFPYTYSDVIFPHLKNLGMQNTSMMDLDLDHMLACSPALQILSLTLSKFPQRIRFRSQSLECMLLWMYLADEVAVVDASCLQRLILWMTCTASEAGDHMTVKIHHAPKLRVLGYLEPRVHQLQIGNIVINAETKASPSSIVPSVKILALKVNFCAFKEVNMLPSFLRCFPNVEILHIEVMSYTFIQSLHFGIRTYSLPLYSSSSFIGETSASHHAKFWREVLPIECLKSHIKKIVIHKFRGDRNEFEFLKFIAKGARKLQDLVLVLTEEISALEHQVAEVNIQLALRTCTWTWAEDFKMTLMGTVDDDALAFLKAYNLSVNDPFMWLHTTQCKPFGEALAFNFCCGSRTAQLEPAPLLAPLSSPRILAAMSALLEDVSSWYPAAAATTPLSSATAAAAPADGVDRISALPDDILRDVISRLPVRDGARTAALATRWRGLWRSTPLVLRDSDLLLASTLEDGAARDAAFAAVGRILADHPGPFRKVQLTCCEFWSRQRELAEWVRLLAAKDVQDLVLLDVDDSAKELLHSLPADILSCTSLQRLFLSYWRFPFASSDVVFPYLTKLGMQFTNMMDDDLDRMLACCPVLQVLGLSLSKIPQRIRLRSQSLQCMLLWMYMADELAVVDASCLQRLILWKTCAERGSDLRHMLVKIRCAPKLRVLGYLEPRVHQLQIGNIVINEKTKESPSSIVPSVKILALKVNFCAPEEVNMLPSFLRCFPNVETLHIESSIIGGTSGNHHAKFWQEALPVECLKSHVKKIIIHNFRGDGNVFKFLKFIAKGARKLQDLVVVLTKEISASEHQVAELNIQLALRICTWPWAEGFKMTLMGTTDDDDPAFLKASNLSVNDPFIQNPIPHLAAMAAPADGVDRISALPDDLLRDVVSRLPVRDGARTAALATRWRGLWRSTPIVLLDEDLLPASSAEDKAARAAAFAAVGRILSDHPGPFRKVQLTCCELGSRPRELAEWARLLAAKGVQELHLLDVDDPPQPRVHPLPTDILRCASLQYLSLGSWSFPCTSTDVVFPYLKKLSMEHTNMRDEDLDHMLSCSPVLEVLALILSQFPGRIRLAAKASSACYSGCILRRRSRWWTPRACSDSSCIWRGLEMHGIHRAPNLCALGYLEPRLHQLQIGNIVINVLLVPFGNKFDIYFVLADTKASRSSIVPSVKILALKLSFDAFDEVKMLPSFLRCFPSVETLHIESSELCEATARPHAKFWPEVLPIECLKSHVKKIVIHKFRGGRNEFEFLKFIAKGARKLQDLVLVMPKEVSASAAQSIDLNTRLRLVLCTWRGTWAAEDSRWTLLGTTVDNACTFSTASDLSVNDPFIPMHMGAAELPDDQTLIVD >Et_1A_006722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26819334:26826745:-1 gene:Et_1A_006722 transcript:Et_1A_006722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVRRRTCREKAAKGEEEAVRGSPRVGSSGSEDAAAAEVDWTALPDDTVLQLFARLNYRDRASMAGACRAWRTLGSSPCLWSSLDLRAHRCDPEMASSLASRCGSLRRLRLRGPEAAAAVPGFRARGLREVVADGCRALTDATLAVLAARHEDLESLQIGPDPLERVSSDALRHVALCCSHLRRLRLSGLREADSDAVGALARYCPFLEDLALLDCGAVDESALAGIKSLRFLSIAGCRNLKWATASAFWAQLPSLLAIDVSRTDVSPSAVSRLISHSKTLKVICTLNCNLVDEEQAHNPAAFSNSKGKLVLTIRGNISPQEDVQERAATTFATFVVIDDESASVDPARSESVMQNGGIRMLLDLARCSRESAQSEAAKAIANLSVNAKVAKAVADEGGITILTNLAKSMNRLVAEEAAGGLWNLSVGEDHKAAIAASGGIKALVDLIFRWPAGTDGVLERAAGALANLAADDKCSLEVAKAGGVHALVTLARSCKLEGAARALANLAAHGDNNDNNAAVGQEAGALEALVQLTSSQNEGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVSLVQGCLDASEGLQERAAGALWGLSVSEANSIAIGQGGGVAPLLTLARSEVEDVHETAAGALWNLAFYSGNALRIVEEGGVPILVHICTSSRSKMARFMSALALAYMFDGRMDEVALVGTSSDSNSKSFNVEGARRIALKHIETFVLTFSDPHVFSMAAASSAPAALSQVAEAIGRFVAMLRNPSSILRACAAFALLQVVMRCTTQASCRKQEQVESCVQRRLQQQLLSKPKSLLELSSGIWSTISQGQRHERMR >Et_3A_025053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26423546:26424437:-1 gene:Et_3A_025053 transcript:Et_3A_025053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGAYPACLPSSSCPLPGPSGGGSIGVFPRGSVGRRASPAGRGGATRVRAIDGASAAAVVAAATDAPLPPPQITWQIVVGAVAGATPFLVAGVEFSKRIIAQKKCEVCGGSGLVPKKDYYVRCQGCGGFLPWQSWRRFFTG >Et_7A_050995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13423088:13428933:1 gene:Et_7A_050995 transcript:Et_7A_050995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAQQLMSEMTAMGARFLLLVPLFFLLLRYSVATLLSSKRAREQQRHQPGRHLPPSPPALPILGHLHLVGSLPHISLRSLAQKHGADLMLLRLGALPALVVTSPRVAEAVLRTHDQVFASRPYALATEIILYGPSDIGFTPYGEYWRQAKKLVTTHLLSASKVRSFRLARQEEVSMVLAKIGEAAAAGAASERVKRRWDKLLDGLIQEHEATASDPKDRDDFIHVLLSVQQEYGLTREQMKAILLDVFFGGIDTSASTLDFTIAELMRKPDLMRKLQAEVRSRVPEGQELVSEADIANMTYLRAVIKETLRLYPVAPLLAPHFSMSSCSIDGFEVPENVRVLINAWAIGRDERFWEDAEEFLPERFLDDGDAAGADFKGNDFRFLPFGAGRRMCPGMNFGIATVEVMLANLVHRFDWELPLGQERRDIDMSEVFGLVVHRKEKLLLVPKPYRPQPTKALFFYFTEDDNNFFTVHYNKN >Et_2B_020325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1911418:1915718:-1 gene:Et_2B_020325 transcript:Et_2B_020325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQALAAADPVPDNSRPAAPVPITVPSPQARLHSHHHLVDRRDTPRGRAWEPERARSHPEAMDGAAAAKLVTGEAGYVLEDVPHVSDYLPDLPTYPNPLQDNPAYSVVKQYFVDPDDTVSQKIVVHKGGARGNHFRRAGPRQRVYFESDEVHACIVTCGGLCPGLNTVIREIVCGLYDMYGVTKILGIQGGYRGFYARNTINLTPKCVNDIHKRGGTILGSSRGGHDTTKIVDSIQDRGINQVYVIGGDGTQRGAGVIFEEVKRRSLKVSVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAVSAENGVGLVKLMGRHSGFIAHYATLASRDVDCCLIPESPFYLEGEGGLLKYIEKRLKDNGHMVIVVAEGAGQKLIAETMQSIGKDASGNELLLDVGLWLSQKINEYFKKNKMTINLKYIDPTYMIRAIPSNASDNVYCTLLAHSVVHGAMAGYTGFTIGQVNGRHCYIPFYRITEKQNRVSITDRMWARLLSSTNQPSFLCNKVIEEAKKEHERAAQLLDGSPSHRKIEEKIASSKSSGKK >Et_8A_056474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10582959:10589032:1 gene:Et_8A_056474 transcript:Et_8A_056474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKTENNAAAAAAAAGRTVLVTGGAGYIGSHAVLQLLLAGFRAVVVDNLNNSTELAVRRVAALAGDHARNLSFHKVDLRDKGALELVFASTRFDAVVHFAGLKAVGESVQKPLLYYDNNVIGTINLLDVMSAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPNNPYGKTKLVVEDICRDIYRSDPEWNIILLRYFNPVGAHPSGYLGEDPCGIPNNLMPYVQQVAVGRRPALTVLGNDYATRDGTGIRDYIHVVDLADGHIAALQKLFENPSIGCEAYNLGTGRGTSVLEIVAAFEKASGKKIPLIIGPRRPGDAEILFSTTAKAERELNWKAKYGIEEMCRDQWNWASKNPYGYGSPDSTKQNGHHTNGSADSMKQNGHYTYGSANSTRQNGHGFGSSNPTTQTGNGHLR >Et_4B_038600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3200156:3203457:1 gene:Et_4B_038600 transcript:Et_4B_038600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDEAKAAATAAEDAPEGKNWRRKGKHDKPKPWDEDPNIDRWKVEKFDPSWNEGGMLEYLQEAWPIVKGALKEFGITCELNLVEGSMTVSTTRKTRDPYIIIKARDLIKLLSRSVPAPQAIKVLNDEMNCDIIKIGSLVRNKERFVKRRERLLGPNLSTLKAIEILTGCYILVQELLIKRELAKNPALATESWDRFLPNFKKKNVKQKKPHQTKEKKPYTPFPPPQQPSKIDLELESGEYFMSDKNKSAKKWQEKLEKQAGKSEENKRKREAAFVPPKENTAGPSESDKATNGNNEIADIAKSLKKKAKDFRKNEAQGNIKVESYLASTEESLPKKKKHKSTKSK >Et_3B_030340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32305079:32307518:1 gene:Et_3B_030340 transcript:Et_3B_030340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLTAASASTPPPPNPSYEEGATHSPPPPMESSRGKVVVVMGATGAGKSRLAVDLAAHFAGVEVVNADSMQVYRGLDVLTNKVPLHEQNGVPHHLLSVIDPSVEFTCRDFRDHAVPIIQDVLDRGGLPVVVGGTNFYIQALVSPFLIDDTVQDMQQCTLSNHLDEMCVANDDDDEGSRYERLKQIDPVAALRIHPNNHRKIKRYLELYATTGMLPSLLFQGEAAKNWGRPLQSRFDCCFLWVDADLHVLDSYVNQRVDCMMDAGLLDEVCDLYYPNAFYTQGLRQAIGVREFDEFFRMYLTRKQLDENKTASSTTISNIHDDQLKSLLVEAVSELKANTRRLVRRQRRRLHRLNKDFGWNLHHIDATEAFHCTTGDSWHKKVVEPCVHIVKRFLSGNATSTGTNASNVSGSPGISSKELWVQYICEPCNNRVLRGAHEWEQHKQGRGHRKRVQKLKQRIG >Et_7B_055377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9798799:9801802:1 gene:Et_7B_055377 transcript:Et_7B_055377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGIGVRGEKRQIKPQLLVQFAFAATKGVDTRMTQTTATKCIDKEDMWKIATDEVTDNNCTAIDNVWFPTIIANSSHRDGRIYKNRLYWESYDVDITDRNESRLEPMMLSEGTKRCLPDPENCVSHFTCNMMQIFSLTLVKSPINEAPVQLDGYIAVRDDRDYMLNYVFNFSRDDPITVQQGSLIKMTGPKRGIAIVCPVLMEFDVRVKNGREEDDDLQQIDEAIVCSNHPSWKPLKHRICGDSGALFEDAVEATIEIVISEVQTGFSLSLSSFVAVIEEFEEIQLFQGPIGESCALRRYVVAVPLDTSMVIQFKVGDSNDEHHCCFMAERHGCASRQMKFGLAYASVKVTWSTIE >Et_3B_029295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23815187:23832251:1 gene:Et_3B_029295 transcript:Et_3B_029295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAVVLYSGPGVGHLTPMVELARVFVQHGVAVAVAVAEPQVQSAQFSAAVDRAAASNPSVAFHVLPAPAPTTDASAPAVGMFEYLRRMDAPLRDFLRSRGPSVRALVLDMFCAGSLDVAAELGVPAYFFMASGASFLAAFLSLPTLPATTGKRFSELGDSTVRLPGVPPFKATDLPKVVRDDDDDTTHFIRMAERIPESNGILINTLESLEARAVRALRDGLCVPGRPTPPVYCIGPLVSEGGGDKKKHECLEWLDAQPDDSVVFLAFGSMGTFSKKQLHEIAVGLEKSGQRFLWVVRSPRGEDQKVGDPLPEPDLDALLPEGFLERTKHRGLVVKSWAPQVDVLRHRATGAFVTHCGWNSTLEGIAAGLPLLCWPMYAEQRWNKVFIVEEMKLGVELKGYDKEIVDAEEVETKVRWVMDSEGGRALRERAAWEKNRAAVALKEGGASHSELQRSLSRRAMKQTVVLYPGLGVGHLTPMVQLAKVFLQHGADVTVALVEPPVKAPDFSAVVARAAASNPSITFHVLPHPPPSSAPSSDDDDAPKHHIIRMFDFLGAMNAPLRDFLRSLPAVDALVIDMFCTDALDVAAELQLPVYYFYASGAGDLAVFLNLPRMRAKMTTSFHELGDSLLTLPGAPPFKASELPAETIKETEAAKAIWRMFERMPESNGILVNSFESLETRAVRALRDGLCVPNRATPPIYFIGPLVSKGGDKEHECIQWLDAQPDTSVVFLSFGSMGTFSKKQLHEIAVGLEKSEQRFLWVVRSPPRADHKFGEPLPEPDLNELLPDGFLERTKDRGFVVKSWAPQVDVLRHRATGAFVTHCGWNSTLEGITAGLPLLCWPLYAEQRLNKMFIVEELKLGVEMRGYNEEIVKADEVETKVRWVMESEGGQALRERVLEVKDTAAEALKEGGPSYAAFVKFLEDLEDRTVVLYPGLGVGHLTPMIQLAKLFAQHDVAVTVALVEPPIKSRDFSAMVARAKASNPSVSVHVLPLPPPPSPADSTSDNIVKMFRFLAAMNAPLRDFLGSLPAVDALVLDMFCADALDVAAELGLPAYFFYASGAGDLAVFLNLRSLRASMDTSFAELGDSVLSLPGAPPLKASDLPSECSDDSEGAKEILRLNERLAEGNGILINTFESLESNAVRALRDGLCVPNRATPPVYCIGPLVSSGGGEKEQECLRWLDGQPDRSVVFLAFGSLGTFPKKQLEEIAIGLEKSEQRFLWVVRSPPSDDHKYGEPLPEPDLDAILPGGFLERTKNRGLVVKSWAPQVDVLQHRATGAFITHCGWNSTLEGITAGLPLLCWPLYAEQKMNKVFIVEEMKLGVEMRGYNDELVTAEEVETKVRWIMESEGGKMLRQRVVAAKHAGAEALKEGGSSHAAFVQFLKDLDNITVVLYPPGGVGHIGPMTLLAKVFLEHGYDVTMVLLEPPFKSTDSNASFIDGIVASNPSISFHVLPPIPPPDFASSPKHPFLLMLEMMIQYNEKLESFLRSFPRGRLHSLVVDMFCVNAIDVAWKLGIPVYTFFASGAGALAVLTQLPNLIAGRKTGLKELGDTPLEFLGSPPMPASHLIKEMLEHPEDELCKTMLNVWKRNTETDGVLINTFESLESRAAQAFRDPLCVPGRVLPQIYCIGPLVGKAKTDQETAERHECLEWLDAQPERSVVFLCWGSKGSLSKEQLKEIAVGLEKSGQRFLWVVRTPPSTDDPKRYLEQRPEPDFDVLLPEGFLERTKDRGLVLKSWAPQVEVLNHPATGAFVTHCGWNSTLESIVAGVPMLCWPLGADQKMNKVFMTEDMGVAVEMTGYNTGFVEAEEVETKVRLVIESEEGRKLRKRAAQLKKEAEEALEVGGSSQTAFVQFLEDVKKLTIVLYPGAGVGHVVPMAELAKLFLYHGYDVSMVLVPPPSESSDFSAGVVERITAANPSITFHVLPPIQPADDFTSSGKHPFLLWLQTLRQYNEKLENFICSIPRHRLHSLVVDMFGADAIDVATKLDVPVYTFVPSGASALAVLTQVPTLLASRRTGLKELGDTPIEFLGVPPMPASHLVKELLEDPEDELCKTMASLLKRGMETRGVLVNTFEMLEGRALKAFGDPRCVPGQVLPPIYCVGPLVSTGASGNEKAPRHECLAWLDAQPKGSVVFLCFGSMGTLSTEQLKEIAVGLDRSGQRFLWVVRAPVSINDPKRFLEQLPEPDLDALLPDGFLERTKDRGLVVKSWAPQVEVLHHPATGAFVTHCGWNSMLEGIMAGVPMLCWPLYAEQKMNKVFMTQDMGIGVEMEGYETGFIKAEEVEAKVRLVLESEEGRELKERVAARKTEAEATIEVELMAQKTVVLYPSLGVGHLSPTVELAKAFLGSSVAT >Et_3A_023892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14091703:14094597:1 gene:Et_3A_023892 transcript:Et_3A_023892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPANNKACNAPKTEWPELVGCTIEAAKEKIKADRPDLNVEVVSVGTVVLQVIDPNRVRLWVDTMSSPAESKACDVAPKTEWPELVGRSIKEAKEKIKADRPDLKIQVVTVGTSVTEEFDTTRVRIWVDTVAEVPKIG >Et_2A_018591.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34245790:34247691:1 gene:Et_2A_018591 transcript:Et_2A_018591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLTSTGAAGTRRHQWLALLVAVLALAPLAAAQPTNPRLRTALLALQTWKRTAIFSDPFNFTANWVGPNVCGYNGIFCAPHPADGLLAVAGIDLNHADIAGYIPEDLPRGLPDLALLHLNSNRFCGVLPDTFLHLRLLHELDLSNNRFVGAFPVVVLTLPALRYLDLRFNDFEGPIPPALFDRPLDAIILNNNRLTRPIPPNLGNSPASVVVLANNRLGGCIPPSIGRMANTLNEIVLINDELTGCIPPQVGLLSQVTVFDVSGNHLQGQLPGSVAGMSAIQQLNVAGNLLKGPVPAAVCALQRSLRNFTYEDNYFTSRPGCPAATADGRWNCIPGAPAQRPPPQCAAAGAPFDCSTAQCQAAPTGPPGRGGGRPPSPRANPPVRPFLSPPPPPGSGSSPSQPFPPPGSTTPSYPSPPGSSGTPPASGPSQGSPPSSGNQPPSGSAPSGQPTGAPPPTEHPGGVWPPQTPTAPGTPGSPSTTPSAPGTPGSPGSPTTPGTPGSSGSPSTPGAPGSSGSPTTPGAPGSPSTPTTPGSQPGTPSSPGGGGDHGGDHGNPPSSPGGGLPFPPVHGVAYSSPPPPVSKLPFPPVHGVSYASPPPPTAVHGVAYSSPPPPPRAVYGVVYSSPPPPHK >Et_3A_023151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32270682:32271108:1 gene:Et_3A_023151 transcript:Et_3A_023151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LQGTCLLHLADKLRGYLVQVFIHCTLWLPFLLLLHVLPPASLLHRHITCFRSLHSHNALHNDHRLLVAVGLGSELGHLEEVLFFFIRLRGEEEGSRLEVLRDGHVFPH >Et_6B_050101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6255791:6259987:1 gene:Et_6B_050101 transcript:Et_6B_050101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRLRRALAAAATSAPLLRASTSSSVSRAPPLAPFISSPLSRLPWLLPGAAAGFRSTAAVAARGGDYGAEDSKISPDEILFEGCDYNHWLITMDFPDPKPSREEMIETYLQTLAKVVGSYEEAKKRMYAFSTTTYVGFQAVMTEEMSEKFRGLPGVVFILPDSYLYPETKEYGGDKYENGVITERPPPVHYSKPSRTDRNRNFRPPQQGNYQNTPPPGNFQTFRPQQDGRGYAPQQNYPQAGQDARGYGPSGGFQGQTPQYQGHVNPAGQSQGYYNPQERRNFSQGQGRPNFSQGHGGPNFSQGQRGDFRHDSPSAPGTYGQPSTPGNYGQPPPSVHPGGNQQAPGVNPNYGGDNRQGAAPAFGGDNWQRGSIQYPSQGEGQGNWQEICRFEARIPFLGEISVRFDRS >Et_8B_059597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19780155:19784459:-1 gene:Et_8B_059597 transcript:Et_8B_059597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVTFKSREDHRKQLELEEARKAGLAPAEVDEDGNEINPHIPQYMSSAPWYLNADKPSLKHQRKWKSDPNYTKSWYDRGVKLFQANKYRKGACENCGAMTHDKKSCMERPRSVGAKYTNVNIAPDEKVESFELDYDGKRDRWNGYDPSTYTRVIADYEAREEARKKYLKEQQLKKLEEKDSENDGENAGSEDDEEDGLRIDEAKVDESAQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNDKFYVGDNQNRLSGQALEFKQLNIHAWEAFDKGQDIHMQAAPSQAELLYKSFKIKKEMLKSEHKDKIMEKYGNAASEDQIPRELLLGQSEREIEYDRTGRIIKGQDVALPKSKYEEDVFINNHTTVWGSWWKDHQWGYKCCKQTIKNSYCTGLAGIEAAEASADLMKANMARKEAAQDEPVQQEQKRLATWGTDVPDDLVLDKKLLEESLKKEAARKKEERDERKRKYNVKWNDEVTAEDMEAYRMTKVHHDDPMKEFLH >Et_2A_018391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2590197:2591454:1 gene:Et_2A_018391 transcript:Et_2A_018391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCLPRDPVASEAGSSTAPAPSPGLRSHATETESGREESGQRARAGAAGEKATSAAARNPARPKRRQAAEKAGVERRRRSAPARREEAVAEVKKTLGELGMAAAGVAAPSVRCCCFARRRRGMRRRPDRRGSRARVCGTVARRPRPFRLEHCLGRATRADPVAGTRLVRPFGGSPFFFVVLARREDGKGTNRALERKTEYKYAKALSFF >Et_4B_036136.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2733754:2734208:1 gene:Et_4B_036136 transcript:Et_4B_036136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGSTRAGSSGRAGWRRAPAGTGGIPRTGCGTSAATTPAPPARAAPLPSMKPGPSWRTPPPAPPRRVSSL >Et_1A_008362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:696843:704707:1 gene:Et_1A_008362 transcript:Et_1A_008362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHHCCATATPAMAATTGSSAGLSPASRFRRLGSGSRQGHLRLPPSTALCRRRLLLRCAASGGGGDGGGSDRDLEEQKRRQAELSSRIASGEFTVQGPGWVAPLVGKLAKLGPPGELAAALITRLAGTGAARGGPEIPQAVGSLNAVVGQAFFLPLYDLFLTYGGIFRLNFGPKSFLIVSDPVIAKHILKDNSKAYSKGILAEILEFVMGTGLIPADGEVWRVRRRAIVPALHQKYVTAMIGLFGEASDRLCQKLDKAASDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKNISPRQKKVNEALSLINTTLDELIAICKRLVDQEDLQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKYPNVLAKLQDEVDNVLGDGLPTLEDVKKLKYTTRVINESLRLYPQPPVLIRRSLEDDLLGGYPIGRGEDIFISVWNLHRCPKHWDDADVFNPERWPLDGPNPNETNQNFSYLPFGGGPRKCVGDMFATFETVVATAMLVKRFDFQMAPGAPPVEMTTGATIHTTEGLKMTVTRRTKPPVIPNLEMKVVSDSQELARSTPSMEKVNGTSPDLYSFKLQLHTKRIGAEIIVAQEREVLRPLAGDDGFRVLVQLKPEAPVNKSRCPALKDPCRGGGFIKPPLDVMADVARLHWSPRFPWGLTHVAVDKVTEHEWLHDMLSCYSWTSERADGFTETRGGIALVVPLDSFDRVIWLFYSLYNYFYGFTIAPLQRGPFGT >Et_3B_028221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1198717:1206132:-1 gene:Et_3B_028221 transcript:Et_3B_028221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEENGKFSGEETIAEFEQLTRDAAAVQRAVLRRILSENADADWASTGALTQTASGPASRHQDMEPYIPRVADGDTSHVLTGKPVASISLRLVSTMSAAVLLSSGLFDSAHHHESRGKRKYLPFNHDLFMMAMRVYQTSFAFRNRWLNSYLFSDLHALHTRHACRAFPVEDGKSLQFIYASSQFTTNGGLTATTNLYRTEEFKPMMRDIQSQCFSPDAVVFGPDYNESLYCHLLCGLLFAGEVRTVFAISSASGRSSATTSAVAGAGHDAGRPERDVGADEVARKCAGLRDTSWYGLISALWPNAKYVHSIVTGSMEHYVKKLRHYAGGLPLVAMDYGASEGMVAANTDPGLPPESATFTVHPGVAYFEFIPLNKVSSSDYGTGAEAEPIGLTDVTVGEDYEVVMTTVAGLYRYRLGDVVKVAGFYNSAPKLKFVCRRNLMLSINIDKNSEQDLQLAVGGAARILAAENLEVIDYTSHADVSSNPGHYIVFWELSGDAHDNDVLQRCCDELDQGFVDPGKARAIGPLELQVLEKGTFRKVLRYYHSLGAPVNQFKLPRCVASSNSCVLQILCSNAVKVFFSTAQRGGAESTAHWQHMKWFWSGSGRMPEKACKYNGEEVIAEFERLTRDAAAVQRETLRRILAENADVEYLQGLGLNGRTDPDSFRACVPLATHADLEPYIARIADGDTSAVLTSKPVTSISLSSGTTQGKRKYLLFNDELFKLTIQAYRTSFAYRNRAFPVDDGKALEFIYGSQHFTTKGGLTATTGTTNLYRHKDYEPMTRDIKPQCCSPDEVIFAGDFAEALYCHLLCGLLSAGEVRTVFAMFAHNLVLAFQTLERVWEELCDDIRRGDVSPARVTTPAVRNAVSALLVAPNPALADEVARKCAGLVSCDWRGVVPAMWPNARYVHSIMTGSMEHYVRKIRHYAGDQLPLVAMDYGASEGMVAPNVEPAVPPESATFAVLPDVAYFEFVPLKKAGDGCYDEAEPVGLTDVAVGEHYEVVMTTFAGLYRYRLGDVVKVAGFYNATPKLTFMSRGKNLTLSINVDMNTERDVQLAVDGAATKFLAAEKLEVVDYTSHADVSSDPGRYVVFWELSAEAHDVDDGVLQRCCDELDRGFVEAGYVSSRRTRGIGPLELRVLRRGTFQEVMRHYLSEGAPVNQFKLPRCVARNNSGVLQILYGNTVKVFLSAAYD >Et_6B_049097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17827946:17829497:1 gene:Et_6B_049097 transcript:Et_6B_049097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPHYPQLPAASIRSYRRRDRMDGVDTMEEARNVNQALQQHTASGDGVQMPRPQREEALKCPRCDSNNTKFCYYNNYNMSQPRYFCKACRRYWTQGGTLRNVPVGGARRKNKRSSSSSSASPSSSNTIARAINNNNNNMPTLSALTSFPNVLPTFMSTGFEFSLPLAPPLSLSRSVAPAPALVSGGSMVTTPSFLDLLRGGVLDHEGSGGNGMERSLPSSFGLGVMQHGVMGDHRDVASIGGGSATATTQLGGQHQWVGAQHEHNKDDGSATGSDRLQQVGVDQQWNGVLKDRDNKNGSSTSGDNH >Et_1B_012196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29874575:29883867:1 gene:Et_1B_012196 transcript:Et_1B_012196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKITSTTALLLLLLTLGAEAELCKQRSRSFRGMCFYDMNCAAVCVTENYTGGFCRGFLFWTRCMCTKQCDSAGLSGNSVLGPSGPPAELTERAQRAGGPVAEGVKPRPEMTGLIRDGAEAEICKEFSKTFPAMCVHDMNCAATCVTEDYTGGFCEGFPFSRCVCTKDCDSGGGGDSPPGLGRPPAELTSRAGRAGGPVRGGRVVPSAQQYRHSVECICTKECDRGDDDSQPVPGGPPAELTGRARRAGGPV >Et_1A_004657.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27841693:27841956:1 gene:Et_1A_004657 transcript:Et_1A_004657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARLLRPPRPPLSGTIEKERERERERERERDQRSRMGLCTSRQVAAAGGVGGEGRRGCMAVAREQRSRFYIFRRCVAMLVCWHKYKKI >Et_3B_028446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15517358:15522634:1 gene:Et_3B_028446 transcript:Et_3B_028446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYQLPALLLNPKRYRSSWAIANVVGGDPWRCCLCTPVPDRVRTYVRRRLCALFALITGEDGWSAGTGAPSSTPGASCGPTSSRSASTASSASPFHGILFKTSPWSTRRPSSTGRRRIISGDLDSLRDDGHHHTHIPWIRGHCSGLVLLFGGVVVNPATGQSVRLPPFPRTPSCGGMGRCYDHEYLAYDPVASPAHYERRSLQQGVGMATVGIHNARLLVAEMEAAGTIANLKNFGYNRKRRRAVYFRGALYVHYVYNSVMRITLWNNKYRIIKLPNAILKQSLAYLGKSQKGVYCALLSNGWTRFQVWLLDESCGEMEWVLKNDIRLRVMVQNSPNYDYDDRYKTPWIVNYEKDVNEALTDDESEWDFESGIVLDETKYKVTTRYEEIFFLGFHPYKEIVFFLVSFKRVVSYHLNSSKVQELVILNKSILGSFPYTPCWMEFFQNN >Et_1A_005408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10118971:10123803:1 gene:Et_1A_005408 transcript:Et_1A_005408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQTGKSGGSGGGTPAKRGRPFGSATGAGAATAAAAAAVGDPGAPAALVGPSLQVLSALSDQNNKRIVLALQSGLKSEILWALNALTVLSFKEKDDFRRDTTSLAKVPGLLDALLQVIDDWRDIAMPKDHMKPARERTLGVNVTLSGFGQENMERVYSDIGVTSNDQSKTEDSPVTKKRSASFLFDEDGLFNNDDEGRTERQQCAVAASNIIRNFSFMPENETVMVQHRHCLETVFQCLEDQNREDDELVTNMLETLVNLAPVLDLRIFSSTKPSFIKMTEKRAVNAIMGMLASPIKPWHCAAAELIGRLIINPDNESFLLPVVLQIYKRLVDLLSVPAYDAQAAAVSALYNVAEVNMDCRLKLASERWAVDRLLKIVKAPHPVPEICRKTSMILESLVSEPQNRMHLLVHENTFAEILTTDGKYSDTFARILYELTARPSNKVTSGHLDGDLHGDAIKVSANIPAPN >Et_1B_010691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13024032:13032511:-1 gene:Et_1B_010691 transcript:Et_1B_010691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLPTPTSKLPKPLHPPLVPSTPLNGTPLHSPLPFPYLPPKPSAAPRRAALNFASGLGAGGRDGGIDSILMRNVLTCLPVPPVSVEAPLSQSRAPTQRIRLIRESPATTSARAPVDGVDRISRLPVDTLRNVVSRLPARDAARTTVLSTRWRRIWNSVPLVLVDTDLVWYADIIRLLGHDPRIFAAKGVEELVFVNRIEKPMDFNAHPHLPATLFKCTSLTRLYLGFWRLPETATLPRSAAFPYLRELGLCSLIMKEHDLAFVLDRCPVLEKLMMVGCKWLALAVGSLGRWRPCQHVLEDQVWPRTEAESPGNVLVPGMHKLQIGNTIIKDDTKASPNTIVPSVQVLALQVRLGTRNEAKMVPSFLRCFPNVEILYIQSEDDDTKFWGPESSGKVSLKFWEKAGPIECIQRHIKKLVIRLFRGKKGELNFLKFIAERGQVLEKVEIVLPPESRPLNEVDAKVRTFMASAKWANGCCELTVSPYQGTPWCYRRGFDLTNQEPYDHLLLASLGHSPITSPPPPLHSPFPPTPKRSPPPRPQFHRRRPVVGVTMEDVADMLVHGPDFALMDDNIRSLTLDIFQALPRPHHVARAAPLSSAVAARPPSDGVDRISRLPVELLRNIVSRLPTKDAARTTALARRWRRVWHSVPLSLVDAHLVPTRRSSRASALESLMAGLGVRENSTGPGDHLTAVVSRILAAHPGPFNLVYLAGTNMDAHQDQAARWLHLAGAKRVKELVFVNLAAKVEADVHLPATIFRCTALTKLYIASWRFPDTASLPRATAFPYLRELGLCNLVMKEQDLAFMLDRSPVLEKLMITRSRWPVCLRIQSHSLRCVQVCSGIVPEVTVVNASRLERLFLWEAWGGGSLTVMSSKVKIGHAPKLRFLGILVPGMHQLEIGNTVIKANTKASPNTAVPSVQMLGVHVKLGTRIEAGMLPSFLRCFPNVQTLYVQSENDNDKFWGPHSSGIGKLNSKFWKEAGPIECIQRHIKKLVLREFRGKRSELDFLKFIAEHAQVLEEMVVVMTHGYLPSDQVGAKLRTFMASAKWANGCCRLMVLKSPFDQQGTAWCYIRGFNFSVEDPFDVSNRFLGKVVPVDE >Et_1A_008949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20406483:20406861:1 gene:Et_1A_008949 transcript:Et_1A_008949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIILACVRAAGDPKNAHLKALDGAAERLRLFKANMLDQASVAAVAARRVPRRLPPKQASEAAEVVAPAVAGTRAVLGACHEAGSVRRVVMASSVAAVAVNPNCPPDVDLDEAFCRAIDGW >Et_5A_040298.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1257584:1257868:-1 gene:Et_5A_040298 transcript:Et_5A_040298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPLDTAAIRISAYSGGTGYTGMDTLFVIYLLPQYILHACVDSFIFDLNLFALQIEWFLCSSYYHSYTDGHGLLNIILSSQCCEYISELGLTL >Et_7A_050424.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14626979:14627353:-1 gene:Et_7A_050424 transcript:Et_7A_050424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFGLPPALLPDTARRYLLHTDGTFELFLDDGCVVDAGGYRIGYDIKLSGAVSPGAVTELKGVRVRVLFAWVPITGVQVSGGEVTVSIGPVKKSFPAVGFKSRPRCIAALGVEAAADVLVVPA >Et_1A_008037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40218021:40219863:-1 gene:Et_1A_008037 transcript:Et_1A_008037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPRLRSLPGKGTGSFGKRRNKTHTLCIRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYMRHVPKRFKSNFREGTEAAPKKRAAAN >Et_9A_061361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10533257:10533514:-1 gene:Et_9A_061361 transcript:Et_9A_061361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAVDVGAEHVIVELVKHAVTSEDYDLSASRSLVTEMKKLCTGRSYFEKPPILYSDLDCRRFSGT >Et_5A_040217.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:23587046:23588170:1 gene:Et_5A_040217 transcript:Et_5A_040217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPRRSGINFIENEKDRNLTYFKRRAGLAKNSVDLSTLTGGKSAIVLESERGKKFAFGTPSANAIIDSFLSDHNSHIDEGETAKITHMQDELFRLEKGNAVEDKKKREYKTRLKEIQDSSTDVEDLGSTEVRELFQRLEHLQIDINNRANPGQQLEVSGQGSLLPLDLFIIVQFSNKYATKESSMGFSTGVLAVFRIQQGHNPLFFVKPLFHHHKHHRECAPTGTHDATIK >Et_2B_022739.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:4015573:4016145:1 gene:Et_2B_022739 transcript:Et_2B_022739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALDAAVVAIFSAIAAVLLVGSLDHQLPASAYTDKAPPLRREVQVPLAETATAALFASAGYVFRDLRHAAGAGGNRRLTELVTFSLCASAGVLEYVLFVQLHEGVVVINIDVRAVGLAAARALPAAAAVAFFLGMALVVAQVRAGGGGRGGAVAGDGPFKVAVWILANVAVGAAAAVVALMAVSLYTA >Et_10B_003169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17247652:17255912:1 gene:Et_10B_003169 transcript:Et_10B_003169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDRASWLWRRKPSDKSPGGNDSSLSASAHSEQCSDDQEVLRPVSNNCSPRIGRTIEASIRSNDSQEAGVTKSLNGKVAAGASLNDFTSQHGQSVEPHISSNVRDEEVEETMKSLNDKLSAALLSIKAKEDLVKQHAKVTEEAVAGWEQAEAEVNALKGLLEASSQKNAALEDRVSHLDKALKECVRQLRLAREEQEEKIRDIVAKKSQVLESENSELRKRLEVTRLEASSMLLQHDLQEKLQIIEKENMDLKVKLQEIDKENMDLKAKLLVQSKDMKILLLERDLSNQAAEAASKQHLESVKKIARVEAECRRLQHLTRKTSLVNDSRLVQNNVCMESLTNIHSSNGECMAVADNELRNPDSWTSALVAELDQFKRGKDVSRPIVNNPVEIDILDDFLEMERLAALPDSDRTSSSSETDSDKAVARNNSKVEIEELQNQVTDLQERLEAIASEKRELEMALMEVRNQLDISSDALVAAKNRLVDMQMDLDSANKSKLTALEDIERLDSERKAFESQLESKSVEIEELIMVITSLEENSGQKELESQLQLISAQEAELRQTVASLEETVQAERSLSMQQKANAEAAWTSKEEMEAQLCSANTETEKLRDIIKALENDIEKEKALYEDLAAQLQLKIEAAVDAVKESLEAQLCSANTEVEKLQDIIKALEDEVAKEKALHEELAAEVEVKIEAARTLSVEAVKESLEAQLCSANTEIQKLHEITKELENEIEKEKALHEELSAQFEMKIEAEKIRSVEAVKESLEAQLCSANTEIQKLREVTRAVENEVEKEKALNEELSAQLKMKNEVERTHSVEAVKESFEEQLQFANSEAVKLRDMVAALEQDVGKEKVFSAELQMQLEALEAIKKVLESEVESAHENSRNLKQKVDSLETKLKEQMSSAEEFVAQAEVVHSERVAVEHRLQTAERELIKLTNKVSLLHKEIAQERLLSEEYEHKCRKLEAQLSRDSRDAKLWRLANSNGELKAKKEKELANAAGKLAECQKTIASLGRQLKSLTDLDSVVLEPERPEPRDMTLPLDFRNGDAEFAVFADELYDFDLPNGNESCFSPLPSIQPSSPPSEMSVFAGGLSTLSSYRNKRAAFIIHESIPKYPIVSAKECKWTPSLKNGAADCRWAKI >Et_8A_057424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2904006:2907841:-1 gene:Et_8A_057424 transcript:Et_8A_057424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALALAVISLAAIAEQQPTQRPIALRGCPDKCGNISIPYPFGMAPACFRPGFEVTCNKSFDPPRAFLASGTDGSFQLNGNGSYLSNETTASLDTILEQPVELIDITLERGEARAYGAISTDCATDDTYHVYRRQQTDFSEPFLFSPSRNVLTGIGWDAVVLLSQSLSGTGISVPCLTKIEILPSIVNGSCVGMGCCEVNVTAGSGSRAVIFDHMTTLFRNSTPCSYGMLVERGWYSFSSEDLYGYQDFSRKHERGVPFVIDFAIRDGSSCPARGDSPPGNYACLSGNSSCVNATSGPGYLCRCWEHYDGNPYIPNGCQDIDECELRKQNPLLRDQYPCSSDGFCKNRLGGYDCPCKAGMKGDGKAGTCTEKFPLPAKVAVGAVGALLLVATALFVVLLRIEKRKMRDFFIKNGGPVLEKVKNIQIFKKEELKRITNNYMQKLGEGNFGVVFKGYINGDVPVAVKKSEKINKIQKDQFTNEVIIQSQVIHKNIVRLLGCCLEVDIPILVYEFVSKGSLEDILHGKNKVPLTLDMRLGIAAESAEGLAYMHCKTNTSIQHGDVKPANILLDDNFVPKISDFGISKLLARGTTEHADNVIGDNNYMDPVYRQTGLLTNKSDVYSFGFVLFELITGKKATYGGDGSLAKTYLDTYITEMRERKATELSDEVNEVENDTEVLHNIAQIAKECLNIDVDQRLEMNDVAERLQNIRRAMKKQRTAASYLAAD >Et_1A_008047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40367127:40373746:-1 gene:Et_1A_008047 transcript:Et_1A_008047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSFAPDDQTDADFFDKLVDDDDDTNHSTAPVPANNDQPPEALAPDPPAPVPASEGGSPASAKGAGVHTAVKQVQWASFGGDADDGPDPFADLAGEDGFLGTNTQDTSAAGTADAQSSSGAVEQSTSGSTDLKYLETMYPGWKYDESTQQWYQVDSFDATQVDNSTQNVQQQPHDASYLQNSAHAALETIAEEATTTGNVSSWGQGGATEYPPNMLFYAEYPGWYFDTNTQQWHSLDSYQQQAGTASAVQNATNHSVPAESGGMDYNVSQTEDAAAHNQVAQHNSFTNSYTHQTQSQWQADAFANTMQPESITESSLTSSSYGFDQQANAESASSSASHQPGFNTVDTAPDHYKGLESSSLQSGYSSTDSQQSSYNAFQLSTGFQAGYKGFEPATAHQTSHKPSLGNQSDYMAFEPSTGHNGGYKGFMPSTGSHAGYKGSETSTFHQDGYKGFEPSTGHNTSFNAFEPSSVQQAGYMGSQPSSGHPSNYTGFDMSANRVYGDANGLVNGHGFVSTESMYHGQKQANAYQQGHMSNSYLGTENSMNFTQQQFLGANASHMQFGRSPHEGRSSAGRPPHALVSFGFGGKLVVMKESSSMAANFNSGNQGNSSSTVSVLNLSELVDKVDPASSSISSVLGYFHTLCHQAVPGPLVGGSAASKDVNKWLDEMIALYESSAGDFQRGDPRKLLISLLKILCQHYGKLRSPFGSDPQEESNGPEMAVTKLFSSCKKSKVHMGDYGSIVHCVKNIPSESQMQAVAQEVQNLLVSGRRKEALQCAQGGQLWGPAIILALQLGDQPGPNGMLDDWEENLAIITANRTKGDDLVITHLGDCLWKEKYEVAAAHSCYLVAELNIDSYSESARLCLIGADHLKCPRTFVSPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLIYAYMLAEVGRISDSLRYCQASMKVLKASGRAPELETWKQLFSSLEERIRAHQQGGYGTNLAPTKLVGKIFTSLDKSISRMMGTPAAQLPPLPQGSVSDKDSYAAPAAAKFGNSQSVMAMSSLMPSASMQSMSEIADNSSGGNGRKIAHNRSVSEPDFGRTPKQGTGSDGTQSNASGTGSSRLGWLGSTLQKTMGLVSKSHRQAKLGDQNKFYYDEKLKRWVEEGAAIPAEEPPLPPPPTKSSLQNGLPDHKLNGPMSGSHAPNGVTEWKSPNSSEHGLGMPPIPPSQNQFSARGRMGVRSRYVDTFNKTGASGAMPSYNKPAAPSVAPPAGAKFFMPTVAAASADQMPHQAAEIHSETVHHDARSASPPAETSFSSPLPSTQFLAPMSSTIHRHPSMDNISTPYQGSGVSAGSNSSSFSRSRAASWSGTYSEQFSAIASTRSPDGQAMPSPLMPGMPSHSRSNSNSSVQFNGLTEDLHEVEL >Et_4B_038645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3718078:3722501:1 gene:Et_4B_038645 transcript:Et_4B_038645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGCLSSMNITGANQARAFSGQLPTQRCFSSGHHTSLSMKSLVLRTKGKRLHRRLGALQVVCQDFPRPPLENTINYLEAGQLSSFFRSSERPSKPLQVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKLLGRTKMEIVGAYPNIQNLFGELGIEDRLQWKEHSMIFAMPNKPGEFSRFDFPETLPAPLNGIWAILKNNEMLTWPEKVKFAIGLLPAMAGGQPYVEAQDGLTVSEWMKKQGVPDRVNDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVDHIRSRAGEVRLNSRIKRIELNPDGTVKHFVLTDGTQVTGDAYVVAAPVDIFKLLVPEEWSDISYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSSLLSVYADMSVTCKEYYDPNRSMLELVFAPAEEWIGRSDAEIIDATMEELAKLFPDEIAADQSKAKILKYHVVKTPRSVYKTVPNCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQSIVQDYKRLALRSQKNLQSEVPVAS >Et_7B_056015.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:9282432:9283124:-1 gene:Et_7B_056015 transcript:Et_7B_056015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFTTYTHKPKSTASDERESQSAREGDEREEASKRGGVRRGEGPMDVDITAVKALAVVIGGGVSLGLAIGTGLWVMKAYRSGRLERVWRRVRVGSLGGVTTLERKLSYDCAMCQYSMDANEEVRKLSCNHVFHSRKETAKCKEVFIDEYLRREGLSCPICRRTPLPVLPWKAHPPLSSAPASASATATSSAASTSSEPLLQQPSGGSDEEDPELPVSTLPVDAGEPSSQ >Et_2A_018174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16851265:16853617:1 gene:Et_2A_018174 transcript:Et_2A_018174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQSQSGGATSGQQDDDDQQPNGGAAAALLAVNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAPGADVAESIAHFARRRQRGVCVLSGAGTVADVALRQPGPGGAAVVALRGRFEILSLTGAFLPGPAPPGTTGLTVYLAGGQGQVVGGNVVGALTAAGPVMVIASTFASATYERLPLDDDADEAHVGDGGVVVPPMMMGDIADPSAMAMYGGVPPQLMPGGVPLGHHGHGADGIGWQHARPPWLDPHVNASFFHELASSFEAVSRVAWR >Et_7A_052153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5064003:5066157:-1 gene:Et_7A_052153 transcript:Et_7A_052153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANFLSMVQPPALLGVVASSSVSSPARRLAKIQVCCKGNVEGLEAADHEERLQFRRRDFIGGCFGTAVGLELFDGSTGFTRVAAAADLIERRQRSEFQSSIKDTLYTAIKAKPELVPSLLTLALNDAITYDKATKTGGANGSIRLEISRPENSGLSAALDLLTEAKKKIDSYSKGGPIAFADLIQFAAQSALKRSFLDTAIAKCGGNEEKGRTLYQAYGSNGQWGLFDRTFGRTDTQEPDPEGRVPDWSKASVQEMKDRFVAVGLGPRQLAVMSAFLGPDQAATEERLIADPDCHPWVEKYQRSRDTVSRTDYEVDLITTLTKLSSLGQKINYEAYTYPKQKIDLGKLKL >Et_3B_030726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6838890:6843639:1 gene:Et_3B_030726 transcript:Et_3B_030726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSMSMRSRRDLPPPEKTIEKLENMVDGGNYYEAQQMYKSTSARYIAAQKYSEALDILQSGALVQLKHGQGGLPYNEETFDRIRRMYEAFPRISVPHFLGDDYDDDGQKLSEAISAAKVRAESCSSFLKAAIRWSAEFGTSKNGSPELHVMLAEYIYSESPETDMTKVSSHFVRGNDPKKFASMLVNFMGKCYPGEDDTAIARGVLMYLSQGNLRDANLLMDELKAQLKSADLEFPKTDLIQFIKYLLPTLERDAYPLFRTLRQKYKISTDRDQVFEELLDEIAAKFYGIRRQNPLEGLFGEMFKVCHISVLN >Et_1B_012970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4423681:4427277:-1 gene:Et_1B_012970 transcript:Et_1B_012970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKWHVDASEVVAVTAMGVWEAVLAGGGRRFIKRKDSDAGETGRALEELRSSLYNEMHGSEGAKRQQQRFCGPSVALTFNFAVAVGIIMANKMVMGSVGFKFPIALSLIHYAVAFVLMATLKTLSLLPVAPPSKSTPFSSIFALGAVMSLSTGLANVSLKHNSVGFYQMAKIAVTPTIVVAEFMLFQKKVSSQKAITLAIVSFGVAVATVTDLEFNLFGACVALAWIVPSAVNKILWSNLQQSGNWTALALMWKTTPITIFFLLTLMPLLDPPGLLLFHWNFRNSTAIIISALFGFLLQWSGALALGATSALSHVVLGQFKTIVIMLSGYLIFGSDPGITSVCGAVIALGGMSFYTYLGLKDSATSSKKPMSRQNSFLGKPKAAADSENPDSEHEDSV >Et_2A_017662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4812914:4814140:1 gene:Et_2A_017662 transcript:Et_2A_017662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NAEQTRLKPVIEEAIASLLKSATTSLPNNSMAIADLGCSSGPNAIGLVSTVVNTIRHHHGSQHEQPAPEVCVFLNDLPDNDFNTVAKMLVAFKETHEASGPAVVTAMVPGSFYTRLFASRSLHLVCASSALHWLSKAPEDLVKNRIPAYDGDDDLRRARRPIVYEAYRRQFRTDFTSFLSFRSLELVPGGRMVLSLMGTRDNDPATRSIHSLEPVSLVLNDMASRGLIDKEKFDSFYIPVYGPSEKELREIIEHEGSFQINKIEVRKSMIAMANELMMTPRQMANATRAITEPIIVQHFGQSEEIMDEFVRTLEKDYMRSSMYVVEQDSQAYMCVSLSRRI >Et_4A_033648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25358050:25359570:-1 gene:Et_4A_033648 transcript:Et_4A_033648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCCRGAEEEAYSAPPVGYPAAPPPRAPAQPRGPNAPRHGAGASAAKVLPIDVPAISLSELNRLTGNFGDRALVGEGSYGRVYRATLSSGETVAVKMFDNGSTSGQSEAEFCEQLSAASRLRCEHFTQLLGYCLELNNRIAVYQYASLGSLYDILHGKKGVHGAEPSGPALTWAQRARIALGAARGLEYLHEKARPPVVHRDVRSSNVLVFDGHEAKIGDFNLTNQSPDSAARLHSTKVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNDNYPPKAVAKL >Et_5A_041559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24470543:24472966:1 gene:Et_5A_041559 transcript:Et_5A_041559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPAAPPRRVVICGGGVVGACTAYFLSTHAAAPTVPTLIEKCAPACAASGKAGGFLALDWCDSTPALSALARASFALHHRLAASLDGAAAYGFRPVHTLSICVPTVTKPSASSPHRLLPAWVEPAASAAPPRELGTPETTAQVHPGLFTKAVLAASGAEVVIGEVERVVVRDDGRVAGVAVKGRDGVVDTDAVVLALGPWSGRLEMVREVFHVSGLKAHSIVLRPREPEKITPHALFLSYQPAPGAKMLDPEVYPRPTGEVYICGMSKDEDAPDDPVTITGEPDSIAMLHKIAGKVSSHLKKEEGTEVVAEQACYLPCTTDGLPIIGEMPGVKGCYVATGHSCWGILNGPATGAALAELILDGKAKTVDLAPFSPASFSSVLLNISQLPGAVLSLNINRLEHEDTILQQVLLRNEVIITFNMVQGYFASFNNLIQFLLVEPIEFLYFLNTRAPIPVNISTKQ >Et_5A_041643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25384466:25386497:-1 gene:Et_5A_041643 transcript:Et_5A_041643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVHRLRGDVIAGKEVPAGTHDSTTLRRLPLASASATSSSSAATSSKEVEASAQWSSSWQPLPRPVPRRRRVGATSSPSPADASVISASARTRVTWPPARTPRRNLVGGNDASALSLSAPRPRGPTREDSALGWMGSLRSGPQTRVPPPQRRGAGPDAPPSSPTSAGRASSPRRSVGFDASAARAATRSSASPASPGSQPPASATASSGTPLPPIKPAAASRSAPLPPLTKPAPVPRRAPRADETKQPTGSTGALPLPPTKPNPGASHSLQVNTASLTSGALPLPPHLAVFGQRIIEVLFNLNYGHLGYAGVVLLGVYAGLKELLSGWNAFLKISYILLLILGAASLGAGLMAATSIAPTGYTHQVSSGCSRLCTCLATFVFIVALACHMGGDGYIAGIILGVVAVCYIVSVWLMGDPAAYREFIRIQTGIKNLWQRYKWT >Et_7B_053242.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:1449908:1450012:-1 gene:Et_7B_053242 transcript:Et_7B_053242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KERCRWMFDNKALSTDQQVIQDLQAWHTAQHIWE >Et_3A_024239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18874826:18875778:1 gene:Et_3A_024239 transcript:Et_3A_024239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYSSLLSPSPADWGGGDAEAMAAAAAVSSYLSFAADVPEECYYHSPEAAVAAAFHSERQGLLGALQEDDVDYSVMSGEAGSNGGREASEQSQTDASTVLRPAGLAIRDTMINEGVSSGDDGRMSLPTGRRGRIAFKTRSDVEVLDDGYRWRKYGKKMVKNSPNPRNYYRCSSEGCHVKKRVERERDDERFVITTYDGVHNHPAVPLPHHRRPINS >Et_4A_031757.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:10406033:10406407:-1 gene:Et_4A_031757 transcript:Et_4A_031757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFFETFPVVLVDEEGIVRADVLFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHAIFVLLFFFGHIWHKGVFVSLAKILAI >Et_2A_015920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19080209:19084451:1 gene:Et_2A_015920 transcript:Et_2A_015920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPKQRLPVSTPGGGGGGGRRAASGSGVLPPVVVLVFLFVIAPSLFFVARNGGHVAEAMKNLKSILSKEVIDAVAASQEEAGALSLDFFRNHASPSWKTDDLVSEKSIDVDDKAKAEYIAAEHDLPKNKSSKNDSGNHQIDAAVKIARRKLREERREKRAMDLVRKDDEAWVKLENAAIERSNSVDSAVLGKYSIWRKENENENSDSTVRLMRDQLIMARVYSSLAKSKNKSDLYQQLQTRIKESHRAVGEATTDADLHHSAHEKIRAMGQVLSKAREEVYDCRAITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEKRKFPRSEHLENPNLYHYALFSDNVLAASVVVNSTIVNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLGSDAMKEYYFKAGRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTGLWDVDLNGNVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKKKNITGIYHKWQSLNEDRVLWKLGTLPPGLLTFYKLTQPLDKSWHVLGLGYNPSIDRAEIDNAAVVHYNGNMKPWLELAMTKYRPYWTKYIKYDHPYIRGCNLSE >Et_5B_044776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5641821:5644208:-1 gene:Et_5B_044776 transcript:Et_5B_044776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSKSCFPCARMGTAALPIETPFALPGAIPSWPASAELSLPKHFCLTGGATAHVSDGGFAKGVIDLGGLEVRQVTTFTKVWSTTQGGADGLGATFFKPSPVPAGFSVLGHYAQPNNRPLFGRVLVGRDASGADAGAGAAVLAPPLDYTLVWSSPDGAGFFWLPTAPDGYRPVGAVVTASPAKPSLDEVRCVRADFTDACEDEESVWSSGKDDGFGVTALRPAARGVDARGVHAGTFLATSKVTPASPSTLACLKNNGASYTSCMPDLAQVKAALAAYAPQVYVHPSEPYVPSSVPWFFENGALLYQKGGGAPTPVAADGSNLPQGGGNDGAYWLDLPSDGNQKERVKRGDLAGATAYVQAKPMLGGTATDLVLWFFYPFNGPARAKVGPLTIPLGKIGEHVGDWEHVTLRVSNFSGELVRLYLSQHSAGAWVDASQLEYGGDGNGRPVAYASLHGHAFYPRAGTVLQGDARLGLGIRNDCARGGVMDTAGRCEVVSAEYLGAGAVAEPAWLGYERGWGPREEYDIGREINRVARILPRSTRERLAKLVEKLFVGEGPTGPKMHGNWRNDEKEASS >Et_9B_065632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8459554:8466952:1 gene:Et_9B_065632 transcript:Et_9B_065632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTINNGLQVIVKVNPYFALPDGEPKTYCGRKTLPPLAIDRHNFGILQLVEYIGEKCIWGSKQYVSMYRIAPDPMVCEIKTDKQLVEWCDMNLEKGVVHIKAQIEDFSGPLQFSPTKRRCHPKVRKRLLETPSTPPLNVDPPIEPSQSSQVMSNSTNECVTNKDKTPKKVVADDDELKVLSDSDYDSNLAASSDSESDSDTEFDPNGEIIDEDDDDDVPLISYDVHDPCIDVGVIFPDTEQCKAAVTHHCILNDHAYEIVKKDSERFTAKCKAAENVRVQVQVQVRVGSGEEEEGEAEVDEEEEEEGGLLNGLDFEIKDWPCLLRGRTARTACGLGTRATTTRLASSTCGYAFFNDDSLRQQSGTTYCRYTGCKSNERIGPSGAQRAEELEEIVMT >Et_8A_056171.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:12359283:12359549:-1 gene:Et_8A_056171 transcript:Et_8A_056171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METDSKTLVELWRNRDLNNRSEIAMILTDIQELCNVFSSFDIVFVKRRANWAAHLCAQHDMHHRAFYSWSYPRNFLLQCFQHDCNPYD >Et_4A_032895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15138401:15139076:1 gene:Et_4A_032895 transcript:Et_4A_032895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSFCSIPHLYHGVYRHKSLVRFPARCYSFTRITPVLWSMAIFGHATSSSMPTSEASSATLVCPIYFHLPTKPYRRLPYLDPEFLTTGELTPLSDVYSLGIIIHPVRLAASQRLFCSSYRVSIISSAAATVFLQSLQCLRRSCSLPNRLILRLLTGMPSLSLAKKVAAALESESFHLLIDKSADPWPYTQAKQLAVLGLSCV >Et_3A_025118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27052315:27053706:1 gene:Et_3A_025118 transcript:Et_3A_025118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFYFDLQDVDDDYLMSFGGDVILPPPPPPPPPQGSAFAAYHRELLLSRRGRYTNSGGGGANVHRRMFGYLRRVGSDAAAASSMGNATSAQWHAHPEPAADRDDVVAAQAAPRGTPRFRHIMRERLRRERLSQGFADLHALLPPGVSKGGKNDVVGAAVGYIRELERRKERLRGRNDELLLEQAARSGGRGMVVVKVRAESEQHTAAVDVFEMVLRRLKAVEELRVTGIRSCFRDDGGMWMDVGVECKQVSAGDVDKAITNAVAEIEESALRMQVPRSSKPTFSCHVEKGVPM >Et_3B_030309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32112609:32114866:-1 gene:Et_3B_030309 transcript:Et_3B_030309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRKKKWVAWAAALAIFVVLMLVTPAIPQDEDYHDFADQRTLFLGIPNTLNVISNIPFFFVGITGLVLCHYNDYFRLSSHGELWSWSLFFAGVTAVAFGSSYYHLFPNDATLVWDRLPMTIAFTSIMAIFIIERVDDRAGTKSLAPLVIAGALSIMFFDDLRPYAVVQFVPCIAIPIMAIVIPPIYTHSSYWLWAAGFYLLAKVEEAADKPIYEWTHHIVSGHTLKHLCAAMVPVFLALMLAKRTIESERVSLFQKWKISWITVRENQSKDRSTVDVGYGYAAVSTSEQ >Et_4B_037104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14760500:14762914:-1 gene:Et_4B_037104 transcript:Et_4B_037104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPPRLPLLLRRRHLSSSISDSAAAELAGALAAAPSPDSTRDLSDLLRRLGARGIASALSSLPPPVPAASALRLLQHVLSSEYRSSLHGRRGDDLLSPRVSALLLASLVADRDALPSARRLVSRLLRAHPLPVAAAAVADAASAAASDLLVRACLNSPGPGSLCRAADAFHVLCSRGSSPSIVTCNILVEALLRAGQLAAASKVFDQMRVGKSVAPDGYTYTSMIKAFCRTGDVDAAFEMLAELRGAGLQPTVSGRVEEAFRLKGRMVEGGLRPSVFTYGILINGLARNERFGEVGAVLQEMEGYGITLNEVIYNELIGWHCRKGHCSEALKLFGEMVSKGMKPTAVTYNLIAKALCKDGKMERAEQILEEMLSARMVVHCGLFNTLIAWLLQRTSRLDTVVRLTREMIARGMKPNDLLLTACTRELCTGGKHHEAAGIWFELLAKGLGVNIATSNALIHGLCEGRNMKEATKVLRAMVNRGLALDSITYNIMIQGCCKDNKMEEAIQLRDDMIRRGLKPDLVTFNTFLRAYCNLGKMEEVPHLLDQMKNEGLKPDIVTYGTIIDGYCKARNIQKANEYMAELMKNGLKPNVVIYNALIGGHGRNGNISDAIGILDTMKSDGIQPTTVTYNNLMHWMCYAGLVEEARTIFSQCREKNIELGVIGYTIMIHGFCKIGKIDDAIMYFKEMQSRDIPPNKMTYTTLIFAHCKSGNNEEASKLFDEMVNSGIIPDTVSYNTLISGCCEVESLGKAIEMPAEMSSGVLTQGDSSCNTLVNGITTPWSQKEAALSAE >Et_6A_046485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1644317:1646124:1 gene:Et_6A_046485 transcript:Et_6A_046485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSTAGCPESQPASSSETSGGDDPVPALHNLANPSRASPIQRFLSHQRLARGFPRALPLPSRFHGVDLEPRRWDHRSELPVEQPQAEAPSEVMVGAFQKKARENNHWPLEGRRKLPPARCRWLSLLRRGRAVVGGAPAAAGGYASLFATLVPSAFAPRTDRDGSGMIDDKKLQAALSGHNLNQQSFSLRTVRAPPHVHLHQHQHQLLRPKEFTFVFQSLQSWRATFERFDLIEVVGLMRSNCLGYSVSPTVLDLLVSKFDKTGGKSRAIEYDNFIECCLIVKGLTEKFKEKDCIFWMCNF >Et_3B_027679.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:12087594:12087764:-1 gene:Et_3B_027679 transcript:Et_3B_027679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIFEVNCPPHCCFSLVSMLLSCSSLALLSKSNLLASFFLQLSPDINDCKLQFFL >Et_8B_059830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3131161:3135383:1 gene:Et_8B_059830 transcript:Et_8B_059830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRCRRSASGRRGGIARLGFGAVELSGCCMWWRLANGFTIGTMPHFKRTPFSLLDPPKDLDPKEKVFQIRFTKEIFRDYQEYLKRLNLYRQRVWTCKVSGKSNLTFEEALVSEHNAMEKAQKLPTELMAYILRMTQYSTLGLNELVNKIYTSLQEEVFEGTELYAKKDGSEAHCRILKILDSGDSKMYEVGWFGRGKTITSTSLVKAADLIQRRSPVSRNMLRIFIRDATSQSTPWVIHEHLSKKYGIPNEPPKDILCTEGRKRGRKRREDGTTEDGRKKLKGDEGNTDVPIKYPIDDLLIRSAGDDPAWSKRPPLATDFRVPRYSVGDLLMVWDFCMSFGRLLKLSPFSLTDLENAICHKESNVLLVEIHAAIFHLLIKDEGDYFTILKNKKRKLKVNLVTWAEYLCDFLEMSKSEEFAGNISTVRRGYYGLIDFDIKLKILRELVDEAVTTSAIREMLSERVDQKQVLAATRRESARKEKENLTPETATENEMDQIDGVQDGGGSVDVQVGGTELDKNNISRGKTDGKRQPVRKVETENDKLSIRSSPLGKDRNYSRREGRLFVESADSKEWGYYSTKEELDALMGSLNVKGIRERALKRQLEKLYIKISYALEKRSKDIAHKMLLEEAVLRRSTRVRAQPKDNPSMAFLKYVNKWKDN >Et_8B_060288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8623792:8629345:-1 gene:Et_8B_060288 transcript:Et_8B_060288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAATDSSKRAIKPEQKVRPWQLSSSSPPNPGRQRHSPSNGPRGPRRNLKTNVGITLFPVLLCVLLVVLQGVINRELDKPKYRCGCACVEPGAGGSCRRTECGVQHSTLDQVGSCPIPSPTRWPALVQVPPPESRAVRAASQPFDDLPDPSCRDAGSCPAAVLVTGGNRSLAESLSGGLFPPLSSPLNFTDPLDALSKTVVGSDTSPWTTQYIEAAFIPGNKLYLVQPRCLSNQSSTFSFGAGDTPLQINIDCIQGLSLWRESASVINDELFKGYRQQDGGIGGGKTNEFVAGYDFLNTNQNGLDLNIWYNSTYNNNTAYVQIALLRVPRLVNTASNAYIKFLRGSGVGMLLEYVKEMPKVGTKLKFDLSSLLGALFFTWIVELLFPVILTYIVYEKQQKLKIMMKMHGLKDGPYWLISYGYFFALSAVYMILFVVFGSLIGLNFFKENDYSIQFVFYFIYINLQIALAFFVASFFSSVKIATVVGYIYVFGSGLLGAFLLRFFVEDTSFPKGWIIVMEIIPGFSLYRGLYEFGQYAFAGNTMGTDGMKWANLDDPLNGMRAVLIIMVVEWAILFPLAFYMDQLSSIGGGARKNPLFFLKFFKRRALSLQRHSSGRQGSKVVVEMDNPDAAQEREVVEQLLLKPNSNQAIICDSLKKVYHGKDGNPDKLAVRGLSLALPKGQCFGMLGPNGAGKTSFISMMIGLVSPTSGTAYVYGMDIKTSMDDIYTNMGVCPQHDLLWETLTGREHLLFYGRLKNLKGTELMKAVDDSLKSVNLFYGGVGDKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWSVVKEAKKNRAIILTTHSMEEAEVLCDRLGIFVDGGFQCLGNPKELKARYGGTYVFTMTTSSEHEQEVEQLVHRLSPSASRIYYISGTQKFELPKQEVKIADVFHEVESAKSRFSIYAWGLVDTTLEDVFIKVAKGAQDFNVPKPGAKMRLDRKLKNNASSPRYFSPFNRKEEAIRRRPG >Et_7B_054064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14880051:14880542:-1 gene:Et_7B_054064 transcript:Et_7B_054064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSPCVLLLASSCSLAITSWCSMSSAMANSKTSILLLTAGCLACVLVHELVKQLVPPAPMPLGLATNRPHEHATCNLSEAGVEVLQVESPQE >Et_6B_049747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:27247:29315:1 gene:Et_6B_049747 transcript:Et_6B_049747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAAGTFAKVVQEPGAQCVLRLPSGAEKTVDSKCRATIGIVSNPSHGARKLTKAGHSRWLGRRPVVRGVAMNPVDHPRGGGEGRTKGGRPSVSPWGKPTKSRVPVSKYKHDMVEAVADLLLYSASGHCLLTAACKDHWDDCRDVALITAHATQVSDPDQVEDQSPNKSRCQRNCQIVVEACANGKGLDNCSNKGYNTKGKDHPPALLYKPEMVEVAHEAGTQV >Et_5B_044508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:308544:315901:-1 gene:Et_5B_044508 transcript:Et_5B_044508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAATAAAAIFPARFAAEPAVAAAEELRSPLLRVLGTLRGGGGRHSMLMGRRARFCSNSASDSEAAAAEVKAEDAAVAEGEADGKASSAIVPTNPRPEDFLTVLALPLPHRPLFPGFYMPIYVKDQKLLQALIESRRRSAPYAGAFLLKDEEGTDPNIVTSSDSEKSIDDLKGKELLKRLHEVGTLAQITSIQGDQVVLLGHRRLRITEMVEEDPLTVKVDHLKELPYNKEDDVIKATSFEVISTLREVLRTSSLWKDHHIGDFNYPRLADFGAAISGANKFLCQEVLEELDVYKRLKLTLELVKKEMEISKLQQSIAKAIEEKISGDQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERIESKKDKCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVHHAQQILDEDHYGLSDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNVNFLDHYLDVPIDLSKVLFVCTANVIENIPNPLLDRMENIAIAGYITDEKMHIARDYLEKNTRDACGIKPEQVEVTDAALLSLIENYCREAGVRNLQKQIEKIYRKIALQLVRQGVSNEPVQEALTVTASKEPTIDDSATANAKDEILKDPAVEDASLANNTTEPALEEANEVKKEDSIPEVNKDTDAAKEVDADKAIEKVVVDSSNLGDFVGKPVFQAERIYEQTPVGVVMGLAWTAMGGSTLYIETTKVEEGEGKGALMLTGQLGDVMKESAQIAQTVGRAILLEKEPDNQFFANSKLHLHVPAGATPKDGPSAGCTMITSMLSLAMGKHVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSGIKTIIFPSANKRDFDELAAHVKEDLEVHFVDTYSEIYDLAFQSDAETETS >Et_10A_000131.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:125595:125909:1 gene:Et_10A_000131 transcript:Et_10A_000131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACRDYLMKQCTASTMPITWPWKWLMASCRELKHRCCNQLEQVPPTCRCKAIRSTVQEIHGSKQKGMMISEVRQMAKTLPSICNMYPTYCNIPMATTSEECYC >Et_3A_024990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25966778:25970319:-1 gene:Et_3A_024990 transcript:Et_3A_024990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQSNTDEVVLGREIGGVRVVTLNRPRQLNGINDRVVYLLAQFLEKWEKDDEAKLVIFKGAGRAFSAGGDLKMFYEGKSDDSCLEVVYRMYWLCYHIHTYKKTTVALVNGLVMGGGAAMVAPLKFAVVTEKTVFATPEASVGLHTDCSFSYIHSRLPGYLGEYLALTGARLNAMEMISLGLATHFVSSEKLEDLEKRILNLKTGDESEVKAAIEEFSTDVQPDEQSILNKLPIINKCFSAETVEDIIKAFESEATNDENQWIAPVLKGMRRSSPTTMKITLRSIREGRKQSLPQCLKKEFRLTMNILRSVVTGDVYEGIRALSIDKDNAPKWSPATLKEVKNEDLDRVFQPFSSEQELHVPSDDSNRWSGKYETTVYAKALQ >Et_6A_047523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6978189:6978641:1 gene:Et_6A_047523 transcript:Et_6A_047523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLLFTVLFGCLLSPALCRPQPLEDEYTLPAAINSTSMDESKLYLIFCVPAQCGYYFNHWYTCYCCGDTHDPDERCYSSRETCKANCPACNPYCHKAPPSQSSAMEHGPELATMDATL >Et_3A_024877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2553237:2556599:-1 gene:Et_3A_024877 transcript:Et_3A_024877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLAFAQDSPPLSIISAAKIAGVSLTMDPSLASGAVPTLHFSSGDFIHGINTILRYIARVASVSSFYGHDAIQASHVDQWLEYAPVILSGSEFEAACSFLDGYLASRTFLVGYSMSIADIAVWSNIAGTGQRWESLRRSKKYQNLVRWFNSIALDYASALDEIVSAYVGKRGIGKSPAPSLKEKVHDKENTSGPEVDLPGAKVGEVCVRFAPEPSGYLHIGHAKAGLLNKYFAERYKGRLIVRFDDTNPSKESNEFVENVLKDIDTLGIKYDVVTYTSDYFPKLMEMAESLIKQGKAYVDDTPKEQMRKERMDGVESKCRNNTVEENLALWREMVNGTERGMQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRVGSKYRVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGLRRVEIYEFSRLNMVYTLLSKRKLLWFVQNKKVDDWTDPRFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTINKKIIDPVCARHTAVLKNQRVLLTLTNGPEKPFTRILPRHKKYEGAGKKATTFTNRIWLEYADASVISTGQEVTLMDWGNAIIQEIKTENGLITQLVGELHLEGSVKSTKLKLTWLPDIEDLVSLSLVEFDYLINKKKLEEDEDFIDNLNPCTRRETPALGDPNMRNLKQGEIIQLERKTYYRCDVPFVRPSKPIVLFAIPDGRQQSAVN >Et_4B_036584.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:6418699:6418782:1 gene:Et_4B_036584 transcript:Et_4B_036584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGITELEIAEVLACREGLALTSDINL >Et_1A_009425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5148312:5151113:-1 gene:Et_1A_009425 transcript:Et_1A_009425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAAAPLRTRVCIIGSGPAAHTAAIYAARAELKPVLFEGWMANDIAAGGQLTTTTDVENFPGFPDGIMGADLMDRCRAQSVRFGTNILSETVTAVDFSAPPFRVASDTTTVLADAVIVSTGAVARRLHFAGSDAYWNRGISACAVCDGAAPIFRNKPIAVIGGGDSAMEEANFLTKYGSHVYIIHRRNTFRASKIMQARALSNPKIQVVWDSEVIEAYGGANGGPLAGVKVKNLVTGAVSDLQVSGLFFAIGHEPATKFLGGQLELDSDGYVATKPGSTHTSVKGVFAAGDVQDKRYRQAITAAGSGCMAALDAEHYLQEVGAQEGKSD >Et_8A_057010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20085900:20087996:-1 gene:Et_8A_057010 transcript:Et_8A_057010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQEMIHAAAAAAATGTASHGHHGGDWWSTAVSCSADQLPAGFSAGWSGPAEGGNSSRSGNAGASSESPRSNSFATGVSSVTFQESAGVPGLVAVTAVPQPVAGNFAADWSQPYYLDGSGFHGYMSSRNDQGHINAPSLASPSSNNSPMMPQADSHDQNHQFLTNLGLELLSSPISPAGGFRSSSPLRSLTEPAAVAKPLPGFQQYQQQQMMNQAPGNIREALQFSNNTPFWNPSPGIGAVEGAASLGTAKQSLRQSRPAGLANKSALEGAGDSSSIIARKANNDPTSLKKAKTGAPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYIKFLHEQVGSLSAPYLKNRQPVPHLKVSRDGSEAAAAKGDLTGRGLCLVPISSTFAVASETPVDFWTPFGAAFR >Et_1A_009368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4161971:4164922:-1 gene:Et_1A_009368 transcript:Et_1A_009368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAAAHAKERAPSAGCRRAMSGACCLSRRLGLVLALVLAAVVGSGAAGEATRTKAHNYEDALQKSLLYFEAQRSGRLPHSQRVAWRHHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSLIEYGDDVAAAGELGHALEAIKWGTDYFIKAHTSPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDREHPGSDVAGETAAAMAAASMVFRKSNPHYASLLLHHALQPLVKRDVPDISLRLELFEFADKYRGKYDSSIAEVKSYYASVSGYKDELLWAALWLHRATGRPEYLDYVVDNAHEFGGTGWAITEFSWDVKYAGVQILAARVSRRTFIYVGTDIIHIRIAVNSNCTAALKNEREKTDTEKTLQLLLRGEHAPHHRSTLEQYKAKAEHYVCACLGRNAAGGADANVERSPGGMLYIRQWNNMQYVTSAAFLLSAYADYLSDAGGVASVSCAGGGEAVAAEEVFALARAQVDYVLGTNPRGVSYLVGYGPKFPNRVHHRAASIVPYKHSKEFIGCTQGFDHWFGRRSSNPNVLVGAIVGGPDRRDRFRDNRDNYMQTEACTYNTAPMVGMFAKLNRMARQEREQQQQGSSSTTPAVTSTAADV >Et_8A_056806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17054585:17071627:1 gene:Et_8A_056806 transcript:Et_8A_056806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFYRKPPDGLLEITERVYVFDSCFTTDVFDDEKYQGYIGDIVAQLRSHFSDASFMVFNFRDGESQSLLANILSSYDMIVMDYPRQYEGCPLLTIEMIHHFLRSGESWLSLGQQNVLIMHCERGGWPVLAFMLAGLLLYRKQFIGEQRTLEMIYRQAPRELVQLLSPLNPMPSQIRYLYYISRRNVSSEWPPQDRALTLDCVILRNIPGFNAEGGCRPIFRIYGQDPLLATSNTPKVLFSTPKRSKYVRLYKKADCELIKIDIHCHIQGDVVLECISLDADQEREEMMFRVMFNTAFIRSNILMLNRDEIDILWDAKDRFPKEFRAEVLFSEMDSANQLHPMEVAGIGEKEGLPIEAFAKVQEMFSNVDWLDPTGDAAIQLFQRLTSAENIQLRQGFLSPSKKEAELLGLDLISPTKQSDSARRKSSSIKQSTESIGGQVLTPLEPDTVHKVDSNTEHPTSLDNAVPFTLISMGPVQNDQNAKLDEQCGSGLRSPPTAIISQRFPISSSSSALSGNSSPRSLSACTKFHSAPSALGITALLEDNAAFGESEKCASTVTSPTVLTGAIKITPKLTSGQHPTTGAPVLKKATPPPSPPLTPAVLESWDANMVSEAKDSSQPALEHSGLPSSPQKQYTPQSQGASTLPMHRQQSSINIAIESLPTSVPPPPPPPQPITPGSVNIYHMPPTSAPVTPLTSMRTQAPAAPPPPRLPPPLSPRPSPVRTHAPPPLPPPAPASLPVRLSGSPPAPPPPPPSRCSPGRPPAPPIHPPLASTSSPITPAAPPPPPFASTSFPVRPAAPPPPPAPASCSFRSSAPPPPPPPGTTFNPPPPPPPCYSSKHAFSGLQGASGKIIPPPAPPGGNSKLFGSMGRGPAPPSGPMLKGLQSGQVASRRSNLKPLHWVKVTRAMQGSLWAESQKADETKGPVFDMSELENLFSATLPSSDARRSDKSGSRASGSKPEKIHLIDLRKANNCGIMLTKVKMPLPDLMSAILALDDTVLDADQVDNLIKFTPTKDEIELLKFFMELMKVPRVESKLRVFSFKIQFRSQVSDLKRNLNIVNTSAEEIRGSVKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKTTLMHYLSKVLSEKLPELLDFPKDLSSLELAAKIQLKSLAEEMQAINKGLEKVEQELTTSENDGPVSEIFRKTLKDFLIGAEAEVRALTSLYSNVGRNADALALYFGEDPARCPFEQVVTTLQNFVRLFMRSHEENCKQLDLEKKKAQKEAEENCKQLDLEKKKAQKEAEENCKQLDLQKKKAQMEAENKCKQLDLEKMKAQMEAEEKCKQLDLEKKKAQKGAETDKTKKKSENEKASKKESENDKGSKKESTNDKSKINNSIKELDIPLQSPAQTA >Et_10B_003381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19235003:19252411:-1 gene:Et_10B_003381 transcript:Et_10B_003381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEVRRREAELVVPARPTPRETKALSDVDDQEWLRYYETVIGFYRRRRCPGEDRDPVEAIRAALAEALVYYYPVAGRLREAAGGKLVVDCTAEGVLFVEADADVRLQDFGEPLLPPYPCVNELLCDAGDTRDVIGRPLILMQLTRLKCGGFVAGLHMCHNIVDGFGMIQIMTAISELACGATVPSILPVWKRELLSTPHSPFPITYPEPLYEPLLTSLDSPSEDIMLSTPPEHMVSKCFLFGPRDVAALRSHIPEHLVASTTSFELLTAVMWRCRTIALGYRPSQRVRLMITMNARGRWNRHTPIPRGYYGNAHFSPIVEVTVDELCRQPLIDTVEIVQRTKRSVTKECMELIVKTIASLRKKPCLEEVRTYEVCDTKWIGAGNGLQLSFAEHVGGGIPFAGDITSKLGSDHMRCKNKDGEDSTVVSMLLPKTAMERFKKEMDVWVRKPDEWGYDDIIDPINESKCKLQFHYRHEVATKGYVEVEIQMGLGAASCIAIHRARIREMKQPLAHPPVVRSRARPLQASCHNHKAKK >Et_5A_040215.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:23380908:23381691:1 gene:Et_5A_040215 transcript:Et_5A_040215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDELFRLEKSKAVEDKKEREYKTYLEVIQETSSDVEDLGANEVSELFRRLEHVQIDINNRANHGKQLEVSDQTGSLLPLYSTSSHSQINMPPRSLPWVSLEASSQYSLSSWAPFPHSTRPQSTPLHQTSLAPPTHLLHQQAHMMPQLTNEAHQSLHYFPQPQPSSLPLQAELPFYPTAQPDPNHAYTDNFSLNPVAPPHQNHEYTNNFNFNSVAPPVQDRAFHVFDYTPDHANSENLSASQQSASYQWHPVTTSIEPYSD >Et_2B_021387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29189575:29192554:-1 gene:Et_2B_021387 transcript:Et_2B_021387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTAPDRRNQSASGEASWREEAVSAGSLRQVDLDRGANGWASPPGDLFHLRARGYFSGGGGKRVKAPSSPEWLLRPAGVDWLRSHSRLDHVLARDDNPVAVAFRAARLRKDPTAHFLLAVPGRPDAYSAVFYFAAEAPIPPDSLLGRFVHGDDAYRNARFKIVNRIVKGPWLVRATVGNYAACLLGRALTCRYHKGEDYLEIDVDIGSSAIASAILSLALGAVTSVTIDMGFLVESQSEEELPEKLFGAVRIAQMEMGSAKHVEPPADDAVSETAGRAGAGFRVGSAKVANHSRQQEHAGSKVGRSMSCQERQNAGK >Et_2B_021421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29564728:29565595:-1 gene:Et_2B_021421 transcript:Et_2B_021421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RFMAAFNKLGSLLRHNALTSGSSAGSSPAVFNAARLMSTKLFVGGLSWGTDDHSLREAFTSFGEVTEARVITDRESGKSRGFGFVSFNSSEDAQAAINGMDGQELQGRSVRVNIANERPAGPRGGGGYNGGYGGGGYSSGGGGGYGGGYGGGNQSYGGQDSF >Et_10A_000867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18435623:18440888:-1 gene:Et_10A_000867 transcript:Et_10A_000867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACAACHLLLFPLHAPKLPPAPPPFRRRRGAWAGAARCAAEASGGWGGIIEDDLAELLQILPRDLRDNLQNEPRKDQLLEVILDLGRRPEARFLGDSGGQYLRENEISLQELEEAQRAVGEFGGDNRAGIEGTLHRISAIRSRKGMVVGLTCRVGRAVTGHVDMVRDLLNYKESILFLGRPGVGKTTVMREIARVLADEFQKRVVIVDTSNEIGGDGDIPHAAIGGARRMQVPEPSMQHRVMIEAVENHMPEVVIVDEIGTEAEALACRSIAERGVMLIGTAHGERLANIIKNPTLSDLIGGVETVTLGDDEARARRSQKSILERKAPPTFPFLIEMRERHYWVTHRTERSVDMLLNGKKPLVEGAEPEIWEDGVTNEQLVSWE >Et_7A_051325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1781649:1783112:1 gene:Et_7A_051325 transcript:Et_7A_051325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKFHEQKLLKKTNFLVYKRGKGHREAAVTQRFSLVDRDDYKKYNGICQMVQKQVNIIKQMDPRDPFRIEMTDMLLDKLYDMGVISTKKSLLKCEKLSVSSCRRRLGSVMVSLKMSEHLKEAVTYIQQGHVRIGPEVVTDPAFLVTRNMEDFITWVDSSKIKKQVMEYNDALDDYDAI >Et_3B_030018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29886924:29891784:-1 gene:Et_3B_030018 transcript:Et_3B_030018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDLKAHFFVLFWSLSMSTGATLSLIKTPFNIRRFQDVPSLSFRCRNPFGSMQPCWLATDQDSSLTKVRVAADYSDSVPDSKYTRDRGYHPLEEVKERPKKKDVLLTDVETARTVVEANSKGLLVFPARVHNEPHGHVAWSEFQYIVDDYGDIFFEVSESENIMEDDAANNPVTVLIGMDGPIIGENSVVVSDFSDYTDGENFMDVHDDYNKIDTEITDTLIEWGMPATMRAIHPVYFAKCLTKAVHDNHEEKMDSPSNGVSIVGYLRPAFIEEESYLRSLFRGEGNNDGYSSDWKVNQTDEYNRETKPVSGANRLLDDDKSQFDFHDVGTSTDSMIYKLEIMTIELFSIYGKQLMIDPQDFQDVEPDILSNSASAIIERIKENSDLCAVALRSLCCRKKSLTVEEASLIGVDSLGIDVRAFSGLEARTVRFSFNSQALSERSAEKKIRRMLFPRYQRKTVKASTEDGS >Et_6B_048778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13592867:13594606:1 gene:Et_6B_048778 transcript:Et_6B_048778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAYPDADENLEAIITRIEQKSRKIETLLKQSKPVEALKTALEGSPLKTRDERCKSANWIVVHRAMMAIRDVDGMFNSLDPEYYDILMKYLYRGLSTGDRPTCDQCLKIHEKLTEKAGLGCILRSLADTVNTV >Et_7A_050533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23131963:23133124:1 gene:Et_7A_050533 transcript:Et_7A_050533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGIRKRQDGLTGFPEEILQEILVRLPAKSVLRCRAVCRSWRRLTSDSTFLVAHHRLQPTLHLISSFRPSDDQPFPGCLDAVDLQIGEVRRDIWPGNHSFDASCDGLLVIGSYIIGKSRINNPATRQWAPLSRKVRVQHVVCLFRHQPTGEYRVLFWRTSSVRHEYYCLIEYCMLTVGSNHDPRLVRWPEPANWRLVSGPGPTFIGAPVLLNGDMYLHWRKYTESECHKILVFDTVTESFRHMRPPAVSPRIVLDLFDMNGMLAASCSKDSMMEMTIFLLNSNKGNVWQFQYRIKIPEMVVRPFQEKGDWLAKVVSAKGDFLVACFGWLLHCDRKGNLVAKFRYDDDLPVVTPRVLKESLIQHAFF >Et_2A_015712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17096982:17101741:-1 gene:Et_2A_015712 transcript:Et_2A_015712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITEKPAWIRHEGLQIFSIDIQPGGQRFATGGGDQKVRIWSMKSVDKNNTNDDSSQRLLATMRDHFGSVNCVRWAKHGRFLASGSDDQVILIHERKAGSGTSEFGSGEPPDVENWKVIMTLRGHTADVVDLNWSPDDSTLASGSLDNTVHIWNMTNGMCTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHKTEGHWSKSLGSTFFRRLAWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVVVVKFNHSMFRKHSSNGQDTKAAPTGWANGASKTSTKEQQPYNVIAIGSQDRTITVWTTASARPLFVAKHFFSQSVVDLSWSPDGYSLLSDSELDELKRNRYGDVRGRQSNLVESTAQLLLEEASAKQSAGKKGTPIAQHFQAPPKVSADVPNPPPVAQNQKAPEALPEDEKKAAAPAADDINKATRLSSPVKQREYRRPDGRKRIIPEAVGFPSNQENIPSRSQNQAVDFSSLDQRMNGIRPSYGSSGNCNNCGVRDRSGVTARANITESLVIQKASTSSGNDGRLSVEHTGSVVPGSSSVLSIHVLSKKDNEDSVPACLEAKPVERAAGDMIGIGGAFSTKETEIRCTRGTETIWSDRISGKVTVLAGNPNFWAVGCEDGCLQVYTKCGRRAMPAMMMGSAAIFIDCDDCWKLLLVTRRGLIYIWDLYNRTCILQDSLASLVPSLDEASAKDAGTVRVISAKFSRCGSPLVVLASRHAFLFDMSMKCWLRIADDCFPASNFASSFSSSQGGELGKLQIDIGKFMARKPIWSRVTDDGLQTRAHLETQMAASLALKSPQEYRQCLLSYIRFLAREADESRLREVCESFLGPPMGMVGSALSTDPQNPPWDPDILGMKKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYEAAKTRADPMDVTPQTATEANDKTKSDMMDITPQIATEANDKGKTDPMDVIPQTATEANDKTKAGSMDLTPQTATEAGDNVNTS >Et_7A_052154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5066827:5068606:-1 gene:Et_7A_052154 transcript:Et_7A_052154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARAVASAARPARPLLLPRRLQCSFAVFPAQPRGGSTGSVRCMARRPDSSYSPLRSGQGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIETLAKVVGSEEEAKKRIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELFVNGEIVQRSPERQRRVEPVPQRAQDRPRYSDRTRYVKRRENQSYQR >Et_4A_033613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24989028:24990229:1 gene:Et_4A_033613 transcript:Et_4A_033613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWQSFDFLFCGLCGTLLRFDSVRCATCPLCGFKRKAKEIEGKETKYSVAVEVRPRLSFCRSYVLECRGSYKWHAILCRTFAKLALYSTKTESAFYCCCLKVESALEEVAMERPLLSIPCPKCGQLKVRYYSRQMRSADEGQTVFNECGGCGHNWSENT >Et_4B_038340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28174233:28192891:-1 gene:Et_4B_038340 transcript:Et_4B_038340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSILQQDDVHSAFFCDHAGYWKPDEISFAHLQEAKFKGFTGTDCELRFLRAVLASSTNIRKVAVRFNAKCALGEMNFSICCLAAELGVPAMMLMGRTNGMKTIPSSDDANGDLISSLCDDVLLRILGLVDDAKFAARTGVLSRRWRGLWTRVPSLRFFANLVWAEDVTAEQFIAFVNNVLARRSQSEETVEHLAFCLCMDFTMRGQRQDLSVVVSESWIRHALRHGVKSFHLELHLDRKWNEEKERHVMDLADLPSSTTLETLSLALDRTRVQLPAAVLFASLTDLTLEGVEVAGDTDGRILARFLSSACCPNLKKLRMHDIWLAGSPQLLIKATALSELSLEGVCYMISLDLRTPGLRVLFLQNCQYLETLIVTAPKLEDFAFLCKTDELIIEGDLSRVRSLKLRPVTSLKIFTCDPCEWHSYGDGIASLLTIFRNLTYLCLNLSANYRSEPDDTLKDDHPDDWRSHEISLDKLHRAEFVGLRGTDCELEFLRYLLSTPRELQKRNTDDVIFGTSYLVTGLGLTAIMLIINHMSGGPSIASVNEAQQTSTPSPIADHAGGDGEDRISGLGDAVLLQILGLVADARDVVRTGALSRRWRGLWTRVPALRFDSWPAFESAGDVELYVAFVNNVLAQRTRWNESMELLAIPLIMDMDFVPGQEQLVLSSVKAAEGWIRYAARHGVTCFVLELRLPIRNKWKDYFNDEEKVEEDQEVDVVVSGDQDDSKEELEDQEAAVVNGDQDNSEEEVDDEDDIDDNDDAMEEEQSSEEGDDQETPAIDLDDLSSSANLETLRLDLHNVRVRLPSTVVFASLKYLALEFIKLESGSGHLLSRLLSSACCPHLQKLRMLYVNFKRAGTKQLVLENDALSKLSLENMEGMESLQLVAPNLLDLKIEGCSKKLGSVTVTAPELEELTFLHNPLQADVHGDFPCLWALKINLVSHMFYDDDINHNRICLLQRCSSTRGLLVHLEIPSIIERRVDVIKDKIPQLPHVTSLTVIVRLITERHSFGDGVGGLLTRFNNLRLLRLELDEWPGCKKAVSNNLSYNPSRAAMNFNEDRDSLFFACDHEDHWEPGAMSFVHLQKAEFIGLTGTDCELRFLQSVLASAMDIQKVTISFSVNYSLEGRMDDFQQKLLAHGTWTAFHDDYKNSYKWRPNPAPTDLAAMVTTANVNSAKQTSTPSPIADHAGGDGGEDRISGLVDDLLLRILGLVADARDVVRTGVLSRRWRGLWTRAPEKQEEEQSSEEGEGQETPVIDLDDLSSSANLETLRLDLDSVRVRLPSTVVFSSLTYLELKFMNLEAGSGRLLSRLLSSACCPRLQKLHMLYVYFKDAGTKQLVLENDALLELSLENMVEMESLELRTPNLLDLKIDTCGELVSVTGPETGGALVLAQPLAG >Et_10A_001914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1130355:1132956:-1 gene:Et_10A_001914 transcript:Et_10A_001914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSTAAVWAAHHAGCWAGSSSRTRRHQVRPLLLPLLARRGGASLARPPRASSVATAVAAPRTASEEAVYEVVLRQAALIEEGSGRMRTRAPRRPTRPRWAEEDGAARDGELIGWGLLGDAYDRCGEVCAEYAKTFYLGTQLMTPERRKAVWAIYVWCRRTDELVDGPNASYITPAALDRWEKRLEDLFKGRPYDMYDAALSDTVQKFPVDIQPFKDMIEGMRLDLWKSRYMTFDELYLYCYYVAGTVALMTVPVMGLSPDSKASTEWVYNSALALGIANQLTNILRDVGEDARRGRIYLPLDELTQAGLTEDDIFRGKVTDKWRKFMKGQIQRARLFFDEAEKGVAHLDSASRWPVLASLSLYRQILDAIEANDYNNFTKRAYVGKAKKLLSLPVAYARAVVAS >Et_1B_012420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31925169:31930118:1 gene:Et_1B_012420 transcript:Et_1B_012420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPYRNRGSAATGEKRPPPRQMASRNAAERAAFFARREAAAVLRRVLKGDAAKRSAGSIKSLVYSPTVRNKRATFALVCQTLKYLSVLKEILASTGVLNSKLKKQEELVYVTAYDILFGQGITVSGSPEQVIMLHKDTLRSALQKVCAKRKVSSVEDLLSKKTAVKPKPRFLRVNTLKTTTESVIEELNKIHVIDKDEMVPDLLVLPPGTDLHNHPLVTDGKVFLQGKASCMVAVALCPKPGWKVIDACAAPGNKTVHLAALMNGEGNIIACELNKERAKTLQHTVRRSGANNIQTVNGDFLDIDSNDPSYAEVRAILLDPSCSGSGISTERLDYLLPSHSRDDQDDASTSSRVKKLSAFQRKALTHALSFPSVERIVYSTCSIHQAENEDVVNAVLPLATSLGFELATPFPQWQRRGLPVLEGSEHLLRTDPEDDLEGFFIALFVRKAANGGDCANGSSGVTRKLVVRKRRNRLRAFSTLRLSRMILGSNGGIW >Et_3A_022985.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:12895696:12896214:-1 gene:Et_3A_022985 transcript:Et_3A_022985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHFNECYFDLSGPILCPVLGSITPLFIPNSSIRLIRLIGLCVSLITFLYSPVPRIQFDPSTAKSQFVESLRWLPYENIHLYMGIDGLSLFFVILTTFLIPICISVGWYGMRSFGKEYITTFLIREFLMIVVSCMLDPLLFYVLSESVPIPMLCGAASDEDPMLPPAVTAT >Et_4B_036733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10993883:10996162:1 gene:Et_4B_036733 transcript:Et_4B_036733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAPRFFLTPSLLPLPLATKWRRGPSIRATRAFAMAVSGLGGGDTFRLSDAPGAGVLKLLKGDITQWSVDGATDAIVNAANERMLGGGGVDGAIHRAAGPELVQACRKVPEVKPGVRCPTGEARITPAFKLPVSRIIHTVGPIYDVDKRPEVLLKNAYENSLKLAKENGIQYIAFPAISCGVFRYPPKEASEIAISTAKQFSKDIKEVHFVLFLDDLYNVWRETAEGMPSQFGK >Et_7B_055850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5879408:5884800:1 gene:Et_7B_055850 transcript:Et_7B_055850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAYKKAKPGRLVFKGGEAASLKPKKQKKNKKPASDAPVDGDAAAAAAPAEGAEGSAGTGAGDEYTIDAAKRMKYEELFPVEAKKFKYDPSNAARAAARNRSVEEALDDRVRKKADRYCNIEIRKVSPTIGTVAHNLFLVNEKKKKM >Et_1A_009388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4554868:4556161:-1 gene:Et_1A_009388 transcript:Et_1A_009388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRLIFNTTGSGAGQMLFLDCGMGGPGGGGMFHRGGRPVLGLDEGRGVKRPFFTSPDELLEEEYYDEQLPEKKRRLTPEQVHLLEKSFEEENKLEPERKTELARKLGLQPRQVAVWFQNRRARWKTKQLERDFDRLKASFDALRADHDALLQDNHRLRSQVRIVVSFSFVHGRAPVVHVVSLTEKLQEKEEAEGAASAAADFPAADVKASLAVDDAEEATAAATELAFEAHHVKSEDRLSSGSGGSAVVDADALLCGGGLAAAVDSSVESYHYHDCGMGPVDHYAGEFGQSEEDDGAGSDEGCSYHADDAAAAAVFFAGHAHHQHVDEEEDDGQISWWMWN >Et_5A_042256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8001415:8009471:-1 gene:Et_5A_042256 transcript:Et_5A_042256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIRTTLRRIESAECPRQTETLSDDLLEEIFLRIGSPADLARASTACASFRRLIADPSFLRRYRSLHPPLLLGFLCAGYGSLAGANFEPAEAPLPSAAADFSFEGYLPRRKNGWDPRDVRDGRVLLEHFHDIVNYEGLPDIAVCDPLSRKYLLLPPIPDDQLPSVHGQDENVLYTGSYLLPCTDEEDDTSFRVINVMHCVTSLAVFVFSSGSGLWSIGTSTTWNALSFKSQPEMGRIVGTQCVPGCFYWDVPDKNKLIKLDITRMQFSAFDLPPDGHFNTTVVDAGEGRLGLLSYHGSASVEYYTIMQNENKRADRWRMESIVPVRPDLNFLFHDAPEGYISLVGTLRSSNKYVAECFSLEIRTLKIEWVCRIRNPNYGVYAYVGYPPFIRPSSTTPGGDLPPDQFPGRPRPRGLHRVRLLPPPHRRPVLPPPLPLHPPAAPPWIPLGWIRVLLRTQFRTCRCTSSRRVCAHAFKSAADFSFQAYLPHRPNRWKPCNVRDGRVLLEHIHDTGEDDDDFRDLAVCDLLSRKVMHCVTNLAVFVLFRLWALEYRFNSPQELGRIVGTQCVSGCFYWNVDSMNQLIKLDITRMQFSTFDLPPDGRWNFVIVLGMFSHIYRSGGASVEYSTIMQNENKRADRWRMESTVPLHPGFNFFIHSASERYIFMVGALKIVDKSAAACFSLEITTLKIEWNKESELLCVCICWVPAIHVAHKNMKVGSVLHSHIIVGVSRYPTVYGRCQHDLLSSGLILL >Et_7A_051626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21488298:21488685:-1 gene:Et_7A_051626 transcript:Et_7A_051626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASRDHLSVAAAAVVLLLISSPSVAEQTCRHLSGSYKGICIFSPSCYFVCTDESTGNIDGACDTFRCWCYTKCLSEIDAAASAPMIQQP >Et_4B_038658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3753144:3756291:-1 gene:Et_4B_038658 transcript:Et_4B_038658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVAEQGMSAYEAARERTVQENKRKIEELNLYHLSAAIKAPKTPSPMKQKRRRIIDAAVVAPSPIRRSRRLAKLPEVKYAELGPHTSERMTRSPRKPTDSIYLARYGSISMKARLEATRKAEELESQMDPEIPSFVKAMLHSHVVRGFWLGLPSYFCDTYMPKQDSIITLVDEKGEEFDTNYLAYKKGLSGGWAGFALSHGIRDGDATVFQLIKPTTFKVHIIRAAIDDDSEAVRVRMPLKSVSFFHPYKE >Et_3B_028806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19354343:19359430:1 gene:Et_3B_028806 transcript:Et_3B_028806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRDRRRAPSPPPSHARTPPSAVSSSTGVRMIFLAPLLPVFVLAALRFSGRLSWSPPHTQSLQTTPLSVYERGLVKRDVSAHEILSEHARVSENRSGRHFQNPILAYVTPWNSKGYDMAKLFSAKLTHISPVWYDLKSDGNRLVLEGEHNFDGAWVSELQSNGSRVLPRVVLEAFPAVVLLEKKQKAKAIDLLVSECRDKGYDGIVLESWSRWAAYGVLDDPELRYVALQFVKQLGEALHSISSKSSSHHLELIFVIPAPRMQKLNNQDFGPDDLFQLADSLDGFSLMTYDFSGPQNPGPSAPLKWVQHSLTTLLSAKDSSSHSRMIFLGINFYGNDFLLSRGSGVGAITGRDFLHLLGKYKPSLQWDDKSLEHYFIYSDEGVRHAVFYPTLMSLSVRLNEAENWGTGLSIWEIGQDVRSGSEQR >Et_2B_022429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21529627:21532121:-1 gene:Et_2B_022429 transcript:Et_2B_022429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLHHFRHCYYTLVVILSIHATLGVSLSFDLDFSTNNYASELNYSHAAQWENPVIQLTDGTCGSVGRVSFAHPVPLWDPATRELASFNTDFSFQIKDESDGLPRGDGMAFFLSYYPSVTPTNSGGGGLGLMSLGSGNEASGDEQFVAIEFDTFNNTWDVFSGDHVGIDINSMVSNASKDIFDAGKNLSSGFPMTASVSYRNDTLLLSVNLLIDDYALFHVSTYVDLRDYLPPVVAVGFSAATGSNVELHQLLSWSFNSSDLEALKKSATPGNATAVNPAYAAAAPAAAPQETHQPARGLRPEILALIVVSGLLGLIVFMVAAFALKNWCQKLERERIAKFPTLPSGEGTAAKNGQCCGPRQYQYGELVKATNGFVNKLGEGGSGQVYYGDDNGRRIAVKKLILHSGEEAQRRTEFELEVNIISRIGHKNLVRLLGWCDSSNGLLLVYEFICGGSLHEHLYPKGGSLLTWNFRYKLISAILLLNVNRFRVIIDLAKALCYLHAEHSQARYVIHGDIKPRNIMLDEKLNAKLGDFGLARLLEHGAEPQSTLVMGTYGYIDPEFPVTRKKCRESDVYSFGIVLLEIATGVKPTDRQYRARVWEKYARNRVIEAASRELRSDFSDRQIERVLIVGLWCTQQAQIERPSIAEALRVLENADSQLPVLRPCNHRPASLTAVSEPPAHRTAAAASILGEIALVPLQMYSNTAPVAETWSGTQASSSNSASYTTCSFGAPATKCLGNS >Et_1A_005089.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:25978524:25978673:-1 gene:Et_1A_005089 transcript:Et_1A_005089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHDRPPGEHALWVHVPHRAGDGRGVRPPAVVDKPDQPKVAKLLCAIS >Et_9B_064841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19049260:19057031:-1 gene:Et_9B_064841 transcript:Et_9B_064841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAARRERRHHRKAAAAAAAAGAGMAPGGVGGTGGPAAAARAAYGDVFGGPPRFAAPFGAAPVDYAEVFGGVAATCSIPFLDLPPAAAVGGDDAFFACKGKGDYGEIFSRFDFADFALPYEDVFGEPEPAPEPAREPEVEEIASSSGSSSHLEDEAHILPQQYQNLDRPQHFNELKFSPISFHSDTASQRFVMSYNKATDRKSDDIIEMTTYTVEPSREFMVDSRNLSHGPETNRVSRIDNGTTTNGNDEKNPSSASLSARSPESDFAVEQKQQSPSWTPVSANVSANGNHTDSHSTCSSATPDYAFLRVSDGDSQTQPIKVQPSLRQQPKLLNKKESTAKRDINLVTPSNNAPQADKKADAANPTSASAAMKEAMDFAEARLKAAKELLERKGDSFKLRKKPSHHRSTRSTEIKAPVLAEVDTFEQKLSVKKPLKDEKNPEFSFSEKHKKLSASRLDHLDDSGKGVPPLEKPQKMDSCQTSSKLEKLGNWRSGDEYYELTGDVEKCRTGNAPAEDDTPKFKQTSPMTSLSNDKKSGTEFIASDSDLERYEKLWEVNDGRDVGVKDVNLKENNTAPLDEDSASGILETSAENAAHREIRNSKLEGLVTQEKAKECHADDECIELPSKMDTSTMMDSLKDTSGSLSVAHSSGNHASDLRDLGNNSLTVSPVSGTSQEHSNLVLEVPCDDAMPCTSGSNEQLQETPEVSVSRGSNIKSLILEELEGSYVCDHVPRAPSTVEQDVETYGREKFSFTGESFLHNEEAETKEVSSEKVEKVETEEKVAACAHHEETDVDLNVEHPEESDITLQNNNLAGFEESDMLNVFEVASKLITRDLDQGMQGSSGHVEVDSRMEEGTDGLISDRKGKEAEETSLQNIGRTSTEEESADDNQENQKSTESPKRGQSNVDANCDTTVDEIGSESISGIASDSTTKTTITSTDEPASSEKYTRMQHSVQKDESATSQTSERSTPGVDETGDVFRGERELPSGKSTCEGKKGRMDEMLETYTPTRISKAEHGPSPLEKNHSLPKSAEGIASISAEAMKKEALRVQRAKERENIIRAESASEKEKGSSQRTEEAKESSKRLQKERELAEERERRKLEEERERERKKDRLAVERATREAHERAFAEAREKAEKMAFERITAARQRASAEAREKEDRASAEARIKAERAAVERATAEARERAIEKAKAEKALAEARERRERYRSSFKERTTNQDLRQDTQFQRAASSNFTGNSYSNNKMVEVESALRHKARLERHQRTAERVTKALAEKNMRDLMAQREQAEKHDLALVFVFQRLSEFLDPEIKRWSNGKEGNLRALLSTLQYILGADSGWQSVPLTDLITAAAVKKAYRKATLCVHPDKLQQRGATIRQKYICEKVFDLLKRKQGIFETLLTGGTCFCRMLGTSSPQRSDRMEAKQPFILEQDI >Et_3B_029823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28192620:28198544:-1 gene:Et_3B_029823 transcript:Et_3B_029823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGIRGLSVLVPALDSAAVSPCLARGVGPALEFVGGWLSRNKPQQSAVTGAGGSSKQRAAGDSITPAPVAGRAAAAAAAAGSEVLASMVGMYQHQLHDDPFGTLGGGHCGDQPRLAAAGASSSSSPSVVLSSSLAQAHGGGEPRQLLEGLVGGSVPRGAGGGRGAAACGDLEAVVLWVRELAMDPVAPRPVPAEDRARKRQVRALRRARYLRLEDVADADELPSFRKRKHKAHNNHWVNPRKKGCINEPTRKSERLAKRMKLMTSLLLIQRKKIGVGEHFQAEVPDWTGQPSRGERSCYMNDPETSKFLGTRIWPPEGEVCKTDIVAVGKGRPESCNCPYAGSFFCNQHHINEARDQLRSELGQAFSEWQFDSMGEEVAKLWSREEQLKFNALERLVPVMDQKTFWAVASKHLPSRPRKDLIKYYLNVFLMRRVLSQCRLNLLEIDSDEDEVEEEEDEDRPDSSVSLHRYWFLAFFLMVPFGFVRWCNSEISWITFSGYSELHMF >Et_3B_029728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27387546:27390458:-1 gene:Et_3B_029728 transcript:Et_3B_029728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGAHISPLLRSRPHAPLLRSRPLAFSPAAASSSAPRPLCFSQRRRFTADRSRRSTMAAVISPDGGDGLVHDLGSAAVTAGIALALLRFFEELAKRGVFEQKLNRKLVHITVGMVFLLFWPLFSSGRYAPLLAAVAPGVNIIRMLLLGLGIMENEAMVKSMSRSGDRRELLKGPLYYAATITFATSVLWRTSPVAIALISNLCAGDGIADVVGRRLGKEKLPYNPNKSYAGSIAMALAGFLASIGYMHYFHTFGFMEETWRMALGFLVVSVAATLVESHPMSTELDDNLTVPLTSFVVGTLVF >Et_6A_046479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15873084:15875327:1 gene:Et_6A_046479 transcript:Et_6A_046479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKSARQASTYSEDYNILRNQLIRRWISEGFVQGDDVNFENIMIRDDEKLQVQDETEETEEEVKSIHWDRNGRRHATQFWGGTGGSAHTVHLGTSEFLLDVSGTVGQFFSIPDAITSLKFLWAFRVRKGTPFCIQVKKNNSTVGFCGRSGVHLQAIGVYICPI >Et_1B_009903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9961287:9962573:-1 gene:Et_1B_009903 transcript:Et_1B_009903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNAMVAGYCGAGQLDAARRLVADMPVAPDAYTYNTLIRGLCGRGRTSNALAVLDDMLRRGCVPDVVTYTILLEATCKRSGYKQAMNLLDEMRAKGCAPDIVTYNVVVNGICQEGRVDDALQFLKNLTSYGCEPNTVSYNIVLKGLFTAERWEDAEELMAEMAQKGCPPNVVTFNMLISFLCRRGLVEPAMEVLEQIPKYGCTPNSLSYNPLLHAFCKQKEMDKAMSFVELMDKGCAPVLISYNTVIDGLTKAGKTKEALELLNEMIGKGLQPDIITYSTIASGLCREDRIEEAISTFCKVQDMGIRPNAVLYNAILLGLCKRRETHNAIDLFIYMVSNGCMPNESTYTILIEGLAYEGLVNEARELLAELCSRGVVNKKLMKKGALKMLE >Et_7B_055668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20545311:20547348:-1 gene:Et_7B_055668 transcript:Et_7B_055668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMGQETLVPRLVLSLSIKNSTARRNLGYLTAGTGNPIDDCWRWDPDWHNHRQRLADCGIGFGRNAIGGRDGKIYVVTDPSDDDAVNPRKGTLRWAVIQEEPLWIIFKRDMVITLKQELIMNSFKTIDGRGANVHIANGACITIQFVTNVIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDAVSIFGSSHIWVDHCSLSNCADGLIDAIMGSTAITVSNNYFTHHNEVMLLGHSDSYVKDKAMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPTNPFAKEVTKRVETASSVWKSWNWRSEGDLLLNGAYFTPSGAGASASYSRASSLGAKSSSMVASITSDAGALSCRGGSSC >Et_3B_028797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19262579:19277897:1 gene:Et_3B_028797 transcript:Et_3B_028797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSHSSIIPMPQDRPVAATQLSADQWITAEKYLNYFAGAVALMERVGNCLGTLAFTWATVVVLGGFSTNLGQDFWYATAIVFLEAFRVFSRESRSDDELLFKTTGSLKLKRMKLARGIPYYLTVVIVIVCMYGTLEFFLRRYGYTPPPNLRLQYHILLLSVLLALASVAQAPTSAEYLKDNSWLQFLSSLVAVLALGSAMLWSHTPKHELVLIIPPLFVGCLQTLVKPIGDCLKNSGYDLGLHQLRAWLSTLAALILPSWIVISVLIEFSSMGVLILLGTLMLGNIQIPVAVARIVLSSERLFTTYDSSEAAGNRHLEPALTIFYSMVLAQGILYAAACILESLLSSHFRRSLARSCKLNYKTGYDSINMYYEHAYNKRMEEGVLAQEDMSLVTFAYNSLDSNSNDKKLAAVQILYSLLQLHGPSNRRLVAQITTNTKVVASLINMLGWTASDDELGISIRLFAAKVIASLAGDLRIVGVPGTMQMVSSLLDVDAENHDAKQYISNKTSNKEVNIVDNQQRSHASSTTVDIQGEHGYHAPANGQQSSKELEAGHKSELNYLKSKFLKRFNNMLHGPKEGAEPPKDADLFPVLGMLILERLAHDLDNTAEISKATGLIPKIIGFIINTTDTSNKIMISSLNLVAKLASTEGEIGAAIRQKILENPFFLSNIVEILVDNCSHREQLKPMMVIIVKLMFEDEISKDVERFHVIIPKLMQAFFEQDESHDPDYDRSLRLVVGEALSKLAAENTSFCIDILQEKGYGLFGDLKNMLQNDEYTYVATSLLRNLCAHTQDKMDNRRLSEHLSSILRVVLAKMVDAEGKQLEVLIITALQLHNIIPEQFAYELESCTNEDKLVRKLVDALNSNKKMCHECPRIRKVIVEITISIVESCPRYSTIFKNKRMMEALAREERTLAEVNKYRTFLGKVGDFFDSGLPPPDLVTKAKRIIGSETPSQEAQVHGMAAATGSPRHCCIPISPNPNRSGGGGALQGDPNQSGAAQPTLGAPWEKAQKRLNRFVRAIALVERAGNGLGTLSFTWATVVVLGGFSTDLGNDFWYATAIVFLEAFRAFSRESRSDDQLLFRTTGGIKNKRVTFGGGLLYYLNAVIVVICFSRALEIFVDSFFPSRGNEYVILFASVALAGQLNLSKHIKTISKARITSCATFLMRFSPAVALLVLVGTAISAVGLHPKEAVMPFVPLFSSWLIYNILILILGILRQQEHESPCHERLVKVGLLLSSIVFPVWIVVSLVQLFAGPGLLILLATILLGNIRIPMAAARITLSSLRLFGKDKHHDSSNEHLVPALKVFYGMVFAQGVLSILASLSESLFSLCVRKSLAQHCGLKYKKELESIDMYYEHAYDKCMEDGVLYQEGLNLIRTRQNSFEDESRKGKCILLQVLKNAVWSEDSKQNEEEEPPFMDEDLLPLKGMLILERLAYDLDNCE >Et_2A_018605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34904692:34905570:1 gene:Et_2A_018605 transcript:Et_2A_018605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAYVHLASAAALLAIGCVLTTAGALAPSGWNRGTATFYGGSDASGTMGAHGLSFRVTTDDGQTIVFADVVPPSWTFGQTYASKLQFK >Et_2A_017601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4008401:4014385:1 gene:Et_2A_017601 transcript:Et_2A_017601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGNGNGNGGALGEDVGELLEPRALEEGVSAALVGFRALAVAGFRARESAAAASEMPSFSGGASQQKQQHNARWRQLIRQQRKSLPIASVEKRLVDEVRKNGTLIIVGETGSGKTTQLPQFLYDAGFCKEDKAIGITQPRRVAAVTVAKRVAEECNDQLGRKVGYSIRFDDSTSNATRIKYMTDGLLLREALLDPLLSKYSVIVVDEAHERTVHTDVLLGLLKKVQHSRAIHANKNGKTLPEVHENFQISTLKACQGIRCSPLKLIIMSASLDAKCFSDYFGGAKAVHIQGRQYPVDVLYTYQPESDYLDAALVTIFQIHMEEGPGDILVFLTGQEEIESLERLIHERARLLPPESTKIWTTPIYSSLPSEQQMNAFKPASAGTRKVVLATNIAETSVTIPGIKYVVDPGMVKARSYSPVTGMESLIVIPVSKAQALQRSGRAGREGPGKCFRLYQESEFDKLEDSTVPEIKRCNLANVVLQLKALGINDIIGFDFMDKPSRTAILKSLEQLILLGALTDDYKLSDPVGVQMARLPLDPMYSKALIVSSEFKCLEEMLIVVSMLSVESIFFSPREKLEEARAARKGFESLEGDHITLVNVYRAAAECLEKSKNANAKEKTMEKALNRWCRENFINYRSLRHAHDVHSQIQGHVQQMGLNLSSCGDDMVLFRRCLTAAFFLNAAMRQPDGSYRALATSLSVQIHPSSVLFKTKPDCVIFNELVRTTQNYVKNLTRIDPLWLAELAPQYYATED >Et_4B_039010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:690524:693082:1 gene:Et_4B_039010 transcript:Et_4B_039010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFCGNFDFDTRQYDLERLFSKYGPIQRIDMKFGYAFIYFEDERDAEDAIRRLDNVSFGYNRRRLSVEWSRQAEPVSKSRDRPTGDVKPTRTLFVINFDPIRTKVQDIQRHFEPYGKITNIRIRRNFAFVRFETQEEASAAVKKTDKSTILDRVVTVEYAFRDDDNEKDERYGGAHDRRRGSPYMRSPSPRYRRDYSPDYDRRARNPGYDRRGASDRSPVYDRYDRGRSPGYDRYGRGRSPVYDRYDRRSSPGYDRY >Et_2B_020114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1783649:1786504:1 gene:Et_2B_020114 transcript:Et_2B_020114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SKKVVAHVAESASALTLQEQDASSLGKLLDTKSSEESITVSPTVANNTIDGPSSTSPFSHENLLAAAAELSSVPAVMNVSSSEDSTTSSEEPITTATSCTWPHNTSDGGSPPSASSVRPKILMRSPKEWHQVYFIRMDHSRYFHMYPNLGGPFQSVEEAEGAINRHLDELRHQAMSKEQIGLSNVERMMNEHYYFYPDGTPKRAGSGSLFFAEVTHMQGEDDWEVSCCRMIDSNKDKGQCYGCTNYGNPRMKHPNDISAYTGGHLDEYMPYGDDELSGSDEDVEAEEKRVRAIFERGALFSIQWSVVG >Et_5A_041768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2687598:2689770:1 gene:Et_5A_041768 transcript:Et_5A_041768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSRDYWLGFFRGAGDNIFDAIDAAIAVAASDHPAALRARRDGIAERLFTAFLVTGAAAPGAGVVAAAPGAAGGTPVAGAPTPAQVHPEGASSVPSLCSSDRAEVITDDGAPRRDDSVLAEAERIKAALLNGQEKSEDALLELLQRLQQLDLTMETLEATAIGKAVGNFRKHSSKQIRNLVRSLIEGWKHTVDVYLARCRDAVVDHTPQSMGPSSLEQEDRGAPFTPMDEGALFATPSTSMRLSEENPGSKFSDGMDDGGSIRNDADMHGGQRYLMNHEPLRRPPPASLRSDPDQSWREQSVKKEQFVAEMLARPSNPDSCRGRPQARSRPQHDASPAHGRPQSAPSDKPAIPHDENSVRAKLELAKNAKLEAAKRKLQEGYQEYDNAKKQRTIQMVDPQNLPKQGNRNFQPSGKPRNNSNINSNRNWSR >Et_9A_063077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9695696:9700013:1 gene:Et_9A_063077 transcript:Et_9A_063077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTITVIRKSQSFVVPSQPAPAETLELSAIDRVPGLRHTVRSLHVFRRKDATITGRPAEVIRAALSRALVDYRPFAGRFVGSLVAGEACVACTDEGAWFVEAVADCSLDDVNGLDYPLMVSEEELLPAPEEGVDPTSIPVMMQVTEFACGGFVVGLVAVHTLADGLGAAQFINAISQFARGLDKPTVTPVWARTLIPSPAKLPPGPPPSFKPLGFQHFATDVTSDRIAHVKAEYFQTTGQYCSTFDIAIAKVWQARTRALKYNPENEVHVCFFANTRHLLTQMLPKDGGFYGNCFYPVTVTATVEDVVGAGLLDVIKMIRDGKARLPLEFARWASGDVKVDPYQLTFEHNVLFVSDWTRLGFFEVDYGWGAPSHIIPFTYADYMAVAVLGALPVPKKGTRIMTQCVEGKHLIDFKDEMKAFF >Et_9B_065082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20999813:21001635:-1 gene:Et_9B_065082 transcript:Et_9B_065082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASKAAQLQAKACEAARFAAKHGCAYQRSLVEKNKKYVVDPPTIEKCQELSKQLFYTRLASLPGRYEAFWKELDQVKHLWRNRKDLNVEHAGVAALFGIELYAWFCVGEIVGRGFTLTGYHV >Et_4B_039701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27124385:27125719:1 gene:Et_4B_039701 transcript:Et_4B_039701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPHPAMPRVSRFRRLLVRVSASEKLAADGGKERGDKDEKPPHGPQAEVGSLGLDRMVLSFMEESAAAVERPPRGRCNCFNGSSQEESDDEEFDFLPSQHASAATAAAAGDALESLKGLVQSASVLERNLLADASRLAERCRKGCKGKAECRRAVADGLRALGYDAAVCKSRWDKAPTYPAGEHEYIDAVVGAEAVRLIVEVDFRSQFELARSTKAYRAAMQALPPLFVGTPERLGKIVAVVAEAARQSLKKKGLHFPPWRKPEYTRAKWLSPHSRVPGPATAAPTPVQAASFSGEFEVVFDRKPSSVATASSPESGDKITVVVSPWRPTEDAASNKQQAPKAKLVTGLAAVL >Et_3A_026343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7012750:7014600:-1 gene:Et_3A_026343 transcript:Et_3A_026343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGAVDRLLTGDSALSSIANVYASVEKMDAEHLQSASARDLLLVDLPAPATEQSPFSCTCAAARALVTSPTSPMFELPSAPPRHPPQFTFLRRADPNHALPMFLYRCDTCRSSPFLQGGSSGLVQGVSTYTVMDDLTVTPASSVSSVALLKRLGFKDLDKVEERTVNIGRNEALGILKAALHSKTVLTDARACPSCGGAMTTVTSSPWPAGLPIWITELGVSAAADENVRANDLELVLREAYAHPAVAGVMLWGFMQGIMWAPTRTSSTQTAGTPLAGLRQEWLSLAMGKVDGNGQFKFRGFHGKYLVTLKTASGKTPAQHGLLASFTHPSQHQTDTRATTGTRIKEYSKVLGSKQGVVKKQKNK >Et_3B_030863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8022511:8028118:-1 gene:Et_3B_030863 transcript:Et_3B_030863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGAPPLRLETLLALGLDQRTAENALVNSKVTANLAAVIAEAGISGCDKSVGNLLYSVATKYPTNALVHRPVLINYILSSKIKNPAQLDAALSFLTNIGPDSLDVKKFEDACGVGVVVSIEEIKSTVAEVLKENMEAIMEQRYHINVGNLCGQVRKRHPWGDAKATKEEIDKRLAEILGPKTEADNIKPMKKKKEKPAKVEEKKVAATVAAPPSEEELNPYTIFPHPEENFKVHTEIFYSNGDIWRAHNTKEILEKHLKATGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVRWMGWEPYKVTYTSDYFQDLYDHAVELIRKGLAYVDHQTAEEIKEYREKKMNSPWRDRPIEESLKLFEDMRRGLIAEGAATLRMKQDMQNENKNMSDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCMVDSIENVTHSLCTLEFDIRRPSYYWVLAALGLYQPYVWEYSRLNISNTVMSKRKLNRLVTEKWVEGWDDPRLLTLAGLRRRGVSSTAINSFIRGIGITRSDNSLIRVDRLEYHIREELNKTAPRTMVVLRPLKVVITNLEEGKVLDLDGKMWPDAPAEDASSYYKVPFSRTVYIEKTDFRLKDSKDYYGLAPGKSALLRYAFPIKCTDVIYGDNPDDIVEIRAEYDPSKTSKPKGVLHWVAEPAPGVEPLKLEVRLFEKLFKSENPAELEDWLGDLNPHSKEVIKDAYAVPSLASAVLGDKFQFERLGYFAVDTDSTPEKLVFNRTVTLRDSYGKAGPK >Et_2A_018489.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:373173:373676:1 gene:Et_2A_018489 transcript:Et_2A_018489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPLCLAAVVLAATTSNAQTITTIEDACRSAASRHAGVISYDHCVWSLSSDGRSRDAADLEKLAALATRMAVEHAASTEAKMEDLNEVEESPHARARLHHCRELYNAAADALDNIRARVYGKASQQLAAALGASESCEERVPVAGHDREYGRMAIVALGLTTGIN >Et_5B_045724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8227727:8229708:1 gene:Et_5B_045724 transcript:Et_5B_045724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAKAYAAVILIRLMYSGMHVMSKVALDEGMNPLVFVFYRHTTAALVLIPVTFLLERGKAKPVTFKIGCKMFVHALYGVTACGDLFNLGLNYASATSSSALYNVQPVVTFILAVIFGMETMKLTRFHGKVKFAGILFCIAGVTVLAFYDGPMFRSFNHHHLFQHSNGSSSGADTHSKRQWVFGIFLMTLSNVLAGLWTVLQGPLIEDTSKLMNTTLQISCASVQAFVVAVAAERDFSKWKLGWNVSLAAIIYSGVIVTALSYYMQMWTIAKRGPVFLAMSMPLTFVFTIVISSFIIGDAVSLGSIFAGALLVGGLYNVLWGKSIEERDDMNKISAGKPGLELQLDNSDKDQVPDDDDAEAKV >Et_5B_043945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18134258:18135174:-1 gene:Et_5B_043945 transcript:Et_5B_043945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKQQHQPTESSFVDKLFGPKDRRDAKPAPDGGFFSTIFPPRSASQTTRNDGSRGIHGGEGKAAQGNGSGGSYAATGTTSESPYFGLSSVHYGGRDYVYVNGHGQQDHLSRSQITPPPQNNNHDSKQQPDGSEATRGDWWKGTGAITIIIVLGTRIRSHACVLSISNRAATNILLIDVAIHRTIKLNYIQLYNQSGWRGDITDAAR >Et_7B_054391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20782220:20788778:-1 gene:Et_7B_054391 transcript:Et_7B_054391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPMGNERPAGPGRPVTSFVPGAAAPPPPGASSPFAAGGPFVRPGVSPPPRQGVPPPQAAAAPPFGAAPPAAMGGYRGPAPPQGPFGTAPPSQGPFAPGAPPQGPFASAPPSQPPFASAPPSQPPFSSAPPPQGPFAAGPPPQGPFTNAPAPFRPPSSHSQPQSPTGGTVPPPTSYVRPPPPVQSQPPPMQGYYPGAPPANPQFPLSRPGFQQPVQTMPPPSMGPPAAFGNQAGYPTAGPPVGGTLQSLVEDFQSLSLSSVPGSLDPGVDVKGFPRPLDGDEEPVKVLEAYPLNCHPRYFRLTTHAIPASQSLVTRWHLPLGAVVHPLAESPDGEEVPVINFGAAGVIRCRRCRTYINPYVTFADAGRKWRCNLCTLLNDVPGEYFSALDASGRRFDTNERPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLESSLSQPQMMVVADLDDVFLPLPDDLLVNLVDSRHVVESFLDSLPSMFQDNVNVESALGPALKAAFMVMSQIGGKLLVFQSTLPSLGIGRLRLRGDDVRAYGTDKEHTLRVPEDPFYKQMAAEFTKNQIAVDIFSFSEKYSDIASLGSLAKYTGGQVYHYPSFQAITHGDKLKHELSRDLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDSDKAFGMQLSLEETLMTTQTVYFQVALLYTSSSGERRIRVHTAAAPVVTDLSEMYRQADTGAIVSLLGRIAVENSLSDKLDSVRQQLQLRLVKSLKEYRNLYVVQHRIGGRLIYPESLRFLPLYILAICKSLALRGGYADVSLDERCAAGFSMMILPVKKLLNFIYPSLYRVDEALAMEPGRIDGSLKRLPLTMQCLDTGGMYLLDDGFTFLVWLGRMLPPELVNNILGVSLANFPDLSKIQLRECNNEYSRKLMKTLSDLREKDPSYYQLCRVVRQGEQPREGFLLLSNLVEDQMAGTSSYVDWILQIHRQTQS >Et_3A_026323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6830829:6838555:1 gene:Et_3A_026323 transcript:Et_3A_026323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTMFCTFKEYGEGATVSTLGDVYSLGILLLEIFTGRSPTDDLFQGQLDLHKFSDDALPERIWEIADTNMWRHTDAYDSYTKSRTENCLVSVMALGISCSKKQPRELNLMLLLLASTLISAHVGAQAGDEAALLAFKAAAVTGGSDDHPLALWNGSTGEYCSWEGVRCRGRHRRVVALHLPSRGLNGVLSPAIGNLSSLRTLNLSFNEFSGDISASLGRLRRLHVLDLSHNTFTGKFPINLTSCINLATINLDYNQLHGRLPTEIGDKLKGLRSLVVLNNSITSAIPASLGNLSSLLVLDLAFNQLEGTIPPSLGGIQGLRFLGLGDNNLSGEPPLSLYNLSSLQVLQLHVNMLHGELPANIGSRFPSLWMLSFAQNQFTGSIPNSVSNLTTLMYLQLQGNRLSGCVPRALGRLRALLYLSLYDNTLEADDGEGWEFITSLPNCSQLQVLDLFENTAFTGQLPSSIANLSTSLKSLRFDATGISGRIPSAISNLINLQVLGLQNTSISGVIPESIGKLGNLDRLGLFNTDLSGLIPSSIGNLTRLTFLEASDANLEGPIPASLGNMKNLLALDLSMNHLNGSVPEEIFKLLTLSSLNLSYNLLSGPLPSEFGSLRNLNFLSLNGNQLYGDILPGIGELIVLQELLLVNNSFQGSIPQSLGNIKGLTALSLSMNKLSGSIPDGIGNIRNLQQLYLAHNNLSGPIPMFLQNLTSLSELDLSFNNLQGEVPIEGIFRNLANVSITGNTELCGGMPQLHLPPCRMNSEKINRKGKFRPLKISLSTIAALLLLAFITALIQLTKKKLRRKQDGPFPPLVKEDYERVSYHALANGTDGFSRANLLGEGSFGAVYKCTFQDKGTIVAVKVFNLEQSGSTRSFVAECEALRRVRHRSLLKIITCCSSINHQGQEFKALVFEFMPNGNLNDWLHPQSGTPTLSNTLSLAQRLDIAADIVDALDHLHNHCEPPIVHCDVKPSNILLAEDMSARIGDFGISRILPQSAHNTLSNSNSTIGIRGSIGYVAPEYGEGSSISIVGDVYSLGILLLEMFTGLGPTNNMFRDSLDLHKFCEDALQERIWEIADTAMWLHTDIHDSTIRSRIEDCMLSIIALAISCSEKQPKERIPIQRASLEMHAIRDSYKKFARSLVVEHRDVATAMQ >Et_10A_001290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2357896:2362196:-1 gene:Et_10A_001290 transcript:Et_10A_001290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPASSCGAYSCETAAQTREWMEALAAFLRRNRPLLEAHVVNFFKDRMWEMVDAEWMECLRREPVESLLKLPSGCVQEHWPKTLQEFVLTARSLVLPREQKSPQSIESLAAVVHATAKSHGAQTVVDVGSGQGYLAQALSFEYKLPVVAIDASSHHASVTNARAERIKKHYAANVEKQQLTIPRTVTCHVLSSDTLAAVTLDACKDDHGERKTKACSDESPQIQEISRSCPPLILAGLHACGDLSVNMLRVFVSCEQVKALVSVGCCYNLLSEDCCEEKNSCPGFPMSKAAKLCDLMLGKSIRDLACQSAERWRSLTKDIAIQNFDIHAFRAAFQMVLDRYFPEISKLSPSIGRQGKALRRQRLQRVMESHMVMEKTDDFSCSTSKEQNMNSNEVDTSTYGVEKRLADTDHGECKKFSLFRNYTISGFGRLGCGSVEDASLLEIWKDVQPFAEYIGPFWCLRAALGPVVETYILLDRLLFLQEQGNLVEAFLFPIFDPTMSPRNMAIIAWKLSAEA >Et_2A_015686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16945367:16949172:-1 gene:Et_2A_015686 transcript:Et_2A_015686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMTPRRRREPSEPRSESDWDGGSSREGSPDLVRRPAAQIWLGEIERDRVRHVREWVHMAARDRDEDTGPPPSPADHARRDVPRIRGRQARLELVMRMAADRQAELRRLSHQRAVSDFPHRNRIHALLRGRFLRNGGLPEERRSPSVAARELGQLRQRHPVSGLREEFRFRLENLVQGQAVSESDASSAQNVELSSNYNSESSPSTSQDTQERHQQTSESIEFQQIEGAETASGLENNAPSVAEGLYGPRIQEEDSQEDLEQERRNWLQFSHAVIGEESERNLHENADNGSFRDTSEVGDGQDDRLPETLEESTSVDNLPEPHEESTSDYNLREVHEESTSDDNLPEAHEEQHDRNHFPDVLDELHASNHLHESNGEWSGHDHPIEVYDEWHSDDNLPEVNEEWHDDEESNDAADNWHGDNFDQPIDHDAALIRRANTFIPGDDDNVYSTELRELLSRRSVSNLLHSAFRENLDRLIRSYVERQGRGPHPWDLEGTTPAPNSPERSQDQQGDDEDQELPQTVDRPPLVIPPPPVPPRQPLWHSELHRNNWIRQNIHRSSSDIEWEAINDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRFIGEQGESKEIIDDGSKWINVRKGTCCICCETPIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIIEVIRAYFIM >Et_4A_032872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1523214:1525496:1 gene:Et_4A_032872 transcript:Et_4A_032872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IDCGNMDGSVEAESPPDSPSTDVGASKPPRHHLTSIRHCVSSARIAAAAASSNYGLDLGTLSLISPTDVRPGFLPVFRSGSCAEIGPKSYMEDEHVCVDNLIEHLGGGGGGGRRRHPCPRRLLRDVHFPNNIEKAIRGAFVKADHALADSNSLDNSSGTTALTALIFGRTLFVANAGDCRAVLGKRGRAVELSRDHKPNCKSEKIRIEKLGGTVFDGYLNGQLAVARALGDWHMKGSKGSASPLSAEPELQETVLTDEDEFLIIGCDGLWDVMTSQCAVTMVRKELMLHNDPERCSSELVQEALKRDSCDNLTVVVICFSSNPPPRIEVPRSRVRRSISLEGLHLLKGALDSNA >Et_7A_051289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16678523:16683509:-1 gene:Et_7A_051289 transcript:Et_7A_051289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQWRKFEFFEEKAVGRGSGGAGPAVPSEIAGRVTCCSGGRGRVAVGCDDGTVGLLDRGFRLSYGFQAYASSVLFLQQLKQRNVLVTVGDDDQSSSQSSGICLKVFDLDKVQDEGSSTTVPFCVQILRVFTDQFPLAKITSFMVLEEAPPILLIAIGLDNGFIYCIKGDIARERITRFKLQVEAGSDGGTSLPVTGLGFRVEGQAHQLFAVTPSSVSLFSLHIQPPRRQTLDQIGCQTNAVAMSDRMDLIIGRPEAVYFYEVDGRGPCWAFDGEKKFVGWFRGYLVCIIEDQRTHKNTLNVYDLKNRLIAHSMPVGDVLHLVTEWGYIILIMSDKKILCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADPASTAEVLRKYGDHLYGKQEYDEAMSQYINTIGHLEPSYVIQKFLDAKRIYNLTNYLEKLHDRGLASKDHTTLLLNCYTKLKDVEKLNQFIKDEDGIGEIKFDVETAIRVCRAAGYHEHAMFVAKKAGRHELYLKILLEDLGRYDEALQYISGLEANQAGLTVKEYGKILVEHRPAETVEILLRLCTDVGDPTTKRGSNSMHLLTIPSPMDFVNIFVHSPQYLMEFLENYITLVKDSPAQTEIHNTLLELYISNDLSFPSMSQENGFENHNIKETKGKENANGHKLGSREKANLGKEDSKIAKNIADRRRKGLELLKSAWTSEMEDPLYDVDLALILCNTNAFKDGLLFLYEKLKLYKEVISCYKQAHDHEGLIACCKKLGDSTQGGDPSLWGDLLKYFGELGEDCSKEVKEVLTYIEKEDVVPPIVVLQTLSKNPCLTLSVVKDYIARKLEQESKLIEDDRKSIDKYQEETELMKREIEDLKTNAKVFQLSKCTACTFTLDLPAVHFMCMHSFHLRCLGDNEKECPECAPEYRSVMEAKQKLEQNARDHDLFFRQLKGSKDGFSVVADYFSKGIVSKTTIPPENGR >Et_3A_026351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7144558:7146997:-1 gene:Et_3A_026351 transcript:Et_3A_026351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSKAVEASGRSGVARTVLGYADAVAHHAGQAVAEGAKIINDRMSAQNYKSVKKTVKILEEAAVSSRGDERLQMLRRWLKALQEVEAELRGSDSRPVLFYDADTGGAPMNFRDVFLYSQALEGITLSMILEAPKEEEVSLLLEIFGICLTGGKEVNNAIVSSIQDLAKSFSNYNDEVLVKREELLQFTQSAISGLKKNADIVR >Et_2A_016067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20704807:20705701:-1 gene:Et_2A_016067 transcript:Et_2A_016067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLDWTQSIWAVPVIEPDDLLCGASNGLLCLYTETSTIKIANLATGECLIIIFLSTYLDSTRLQKNTRLHTFLGSMEATLELELCENSGVVLVDGTMYWLTEDTGASWKHAVISFDLSAETFARIQLPATALVGDSISRRYWTTEIDGKVCISTTELDHYLPGMFASELQIWTLDNGVWSKGGARSGIFSMHRTIFLDHISLDKIMMQSRDCNLYLYVLLGMTCEAKLSNMVQLLNFAPRNRKMCNPTSLSNHCTIRCIQESRYCA >Et_9B_065142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21532449:21533837:-1 gene:Et_9B_065142 transcript:Et_9B_065142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNFILTVVGVGAAVMLLRSDVKQSATIFRRNVRHIRNWLEEESAAAAKSTERSSVKELESQAAKKDAAPKEDKH >Et_4B_036919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12603737:12606056:-1 gene:Et_4B_036919 transcript:Et_4B_036919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARETHLGGGASPTKPKNPDADDAAAVAVPAPAKGGGGGHRKLSLVPLIFLIFFEVAGGPYGAEPAVQSAGPLFALLGFLIFPFIWAIPEALVTAELSTAMPGNGGFVLWADRAFGPLSGSLMGTWKYVSGAINGAAFPALCADYLARVVPAVAGGGARVATVVTFNVALSVLNYTGLSVVGWTAVALGLASLSPFLLMTGIALPKIRPHRWRGVAAEKDWKLFFNTLFWNLNYWDSVSTMAGEVERPGKTLPKALVSAVSMTSLGYLLPLMAATGAIDAPPEEWGNGFFADAAGRIGGPWLKYWIEVGAVLSSIGLYSATLSSAAFQLLGMADLGLLPRAFAVRAPVFNTPWVSIVATSAITLGMSFFSFNSIVAAANFLYSLGMLLEFAAFIWLRIKRPDMARPYRVPTRLVGAVVLCLVPSAFLVFVMAIAGWKVYVISAAFTAAGLGVYYFMRFCKAKGWFKFSTDDEGQAFQRQDSRNGL >Et_8A_057732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6496632:6501149:-1 gene:Et_8A_057732 transcript:Et_8A_057732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQRAATASAKQVTKRNFAEAVRELGAHLEACDYVAVAAQKTGAPTGWRRALPVDTAETAYLKAKLAAESFQPLQIAVCPFRLHASPSKLVAYPDELQLGMPSYSFSCQSSYLSNMANDGFDFNMCIYDGISYLSRVQESLARQKIFTPQLRQLLPSASTSVADSVFMTRIKSRIVHWRKGYLEPSKTADGSLVSSLSKLIFGGESYGSRPSMSIDVCSDRQVQLVLEAVNLISDDLVPLVVPDKAGAARAVRLVFTSSKEDKNLLLMDIKKSEEEQNFKFRGFREVIDLLSSSQKPIISYNCLNELTMVHSKFVAPLPSNMHEFMCSLRMVFSNVVDISHMWREIGPLRKAKNIQAALSYLQKQYLPMEIEIPHQGVVPAIIRHTKHGTSSITKNEQNVLRITKLFGKLSNLLKINPYHQLQSGEQCSTVEEYCNIFYPSCDEDSDDVDTAKAVSSNNVVFLWGFRSKSVKELRSDLTGLHHAFSEDFEIKLLDKTCSALIFRSSDTAMKLLREISSENPSLNSFFSEGLQAAGFEVYRKVCRLGLWDSDLAEALENALSELAVLTDSTLSERSTSQMYWNSSLMLDLKEYLEP >Et_2A_016270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22867916:22869533:1 gene:Et_2A_016270 transcript:Et_2A_016270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKSSSSNRMYEAPLGYKIEDVRPAGGIKKFQSAAYSNVSTSSLNNMVIIFIYKFFPISPISSFHFHPLFLATHHSMAMSSADSWGSAPTSPIGFEGYEKRLEINFSDAPVFVDPCGNGLRALSREQIDSFLDLARCTIVSQLSNKDFDSYVLSESSLFVYPHKVVLKTCGTTKLLLSIPRILELAAELSVPVLSVKYSRGMFIFPGAQPSPHRSFSEEVSVLNGFFGGLKSGGNAYVIGDAFKPKKKWHVYYATEKPEQPMVTLEMCMTGLDVKKAAVFFKNSADGRCSSAKEMTKLSGVSDIIPEMEICDFEFDPCGYSMNGICGPAASTIHVTPEEGFSYASYEAMNFNPSSLVYSDVIKRVLAGFCPSDFSVAVTIFGGHGFAKSWAKGADVASYMCDDFVEQELPGGGLLMYQSFTAVSPGSMSPRSTMEMDGWSSDGTETAEKSDEMCISWDVEKKVVNKCVDV >Et_8A_058340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5301126:5302634:-1 gene:Et_8A_058340 transcript:Et_8A_058340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTLSTSLDLDGDLRRYPYGGPWPLLAPAEVEPERVGHVLGAPPGPPERVGAAVGLEHERVEHRVGVDRGGGRRLLAAVEQPGGVHLDHLRHLRVPPPALPQHGAEPVQLSTQCGVRDRRAAGGGDAHPEEEEAVDKVEASDEDESRRRRSDAASLQNSIQRGAFPPHAASRLRRMYGVAAAEVDAEEESPWDEGGGGTTGARASSGWKQESRVKKWRRSRPQQRTRSSVTKKTGLPARQGRHGRKHRSKSGTSSGARRMAARASRRARSGSAGLGPQHRGHSAPRAICSDAAAMGRSKAARRPARPRQSGNPGPDGRPAASTARRSGGNAKPSSASARASSDSVRPGSTATPHARRWASTAAASEAKEWSPWRGEAEADEGPAAARVDRRRRPAACAAGVRPAIRRSTSTIAPARLPNRSRASFLRAFVHLVWKKGAVS >Et_9B_063646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15837144:15838502:1 gene:Et_9B_063646 transcript:Et_9B_063646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPPPVVPAPSGFSGAGDRFTYPFLLKACGGLAALDLGRQAHAHVVRSGCEAHAIVQNSLIEMYTRCGDLPLARKVFDGMQDKDATVFSCTALVSSYTAAGDFAGAVEAFRLMQMEGFEPDDVSIVAVLPACAQLGALELGRWIYAYCDKRGMLRKTYVCNALMEMYAKCGCIDQAMQLFRGVPEKDVISWSTVIAGLAAHGRAHEAVALFAEMDREGRVRPNGVTFVGLLSACSHAGLLDEGLRYFDRMEEAYSVEPGVEHYGCVVDLLGRSGRIQRALDTIRGMPFPADAKIWGSLLSACRSHDDVDTAVVAAERLVELEPGDVGNLVMLANVYAAAGRWGDVASTRKEIRSRSMRKTPGCSMIEVDNVVREFVAGEELGPELGGVAAVLDVLASQLADDVEFVDTDCLVDENLISSDD >Et_3B_028801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19257692:19261082:-1 gene:Et_3B_028801 transcript:Et_3B_028801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPLVPRVKLGTQGLEVSKLGFGCMGLTGAYNSPVDDETGIAVIAHAFRRGVTFFDTSDVYGPLTNEILLGKALKQLPREQVQVATKFGIRRDESGARTVCGRPEYVRACCEASLRRLGLDYIDLYYQHRIDTTIPIEDTIGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPITAVQMEWSLWSRDIEPEIVPLELGIGIVPYSPIGRGFFGGRGVTEQVSAESNLHGHPRFTAENLEKNKQIYLKIVDLANKHQCGPAQLALAWVLHQGDDVVPIPGTTKIKNLDANIDSLKVKLTDEDLKEIGNLIREEDVAGGRQYTSFAHTTWKYADTPRKTTKINNLDASIDALKVKLTAMEQAAMVPRVKLGSQGFEVSKLGFGCMGLTGSYNFPLDDDAGAAVVAHAFRSGVTFFDTADVYGAHGANEILLGKVPSVRLADCFFFLETELCQLSLT >Et_3B_030273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3201313:3203203:1 gene:Et_3B_030273 transcript:Et_3B_030273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHRQNKRRANAAVEEAEDRLSALPDDLLHCILRDVPLKYAVRTSVLSRRWPRLWLRALATSPVLDFSDRDFARGQPPAQAVATVRRCLRRHARRGAPLDAFRVAFRSPAGGFRWDVVAWVATALARGARDVAVDLTPTRWRKAVDDDWGLMNVDESAFLELPADLFRAPNSLERLTLVRCSLRAVPFGAPGLAGLRSLSLSHVDVFGEDLRDILLKTCPSLEFLSLRSCNDLFHVRVFGDKLRGLELLGCHGVLDFWFIVPALESFVFHGDITYFDGDSLVVNFGATPALRDAYLSVIGFVYTYTDPEYYEAHCFAYYSLLTCVAHATILTICSVGLQSLLHLLRNPQIDETLAFGIDLPNLQELQLLMPSLCDDDVDRIGGFFEFTRPPILDRLFIRVNLLIIPCYHDFHVRNKRTFSTHKTSFCMLNLQFSGGKASRASGSGVATVMAGQDEDDAEIASSSDLVFDHLKFIKVVNFRGTRCEVRLLEFLAGRAPSLEQLVLVTVECEGVLGDEQMKIVQGRVMAMQTASPVFRVVVCRPREDGSQNPVHKRFYHEE >Et_2A_016776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28003236:28005327:-1 gene:Et_2A_016776 transcript:Et_2A_016776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTATRRLRLRVAVLSRSEHQAPPCGKMAPKRGGRAPIPAKKKTEKVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFRMLLKYRPEDKAAKKERLLKRAQAENEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKYDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >Et_2B_021841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:657853:661292:1 gene:Et_2B_021841 transcript:Et_2B_021841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRYNIIKEVGDGTFGSVWRAINKESGEVVAIKKMKKKYYSWEECINLREVKSLRRMNHPNIVKLKEVIRESDTLFFVFEYMECNLYQLMKNRGKPFSETEVRNWCFQIFQALNHMHQRGYFHRDLKPENLLVTKELIKIADFGLAREISSEPPYTEYVSTRWYRAPEVLLQATIYNSAVDMWAMGAIIAELFSLRPLFPGSSEADELHKICSILGTPNQHTWPQGLQLAASIHFQFPQCKGIQLSEVVPTASENAINLISWLCSWDPRRRPTAVEVLQHPFFQPCFYVPPSLRFRSTGYTSTPPSVGAKGAMDQKNGRRYSMGPVTNGRPAVNYSYVSSNPPARAIGVQRKLELDHQAPENSHKLTKANAMNQPWSRQPPPKSNGNYLVKDQSPRAPADLAEKLSQLSMGPNRVSGFASERFTDHKARPTGNTIKHPLPVGTRPWHGPTDPFRRPYEMPGERTFLPRKLVS >Et_5A_042674.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24992387:24995497:-1 gene:Et_5A_042674 transcript:Et_5A_042674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKLVVEVVEARNLLPKDGTGTSSPYARADFDGQRRKTRTVARDLNPAWNEALEFSFPPAGAGVDPVFAGEPLEVAVLHDVRLGPSRRSNFLGRVRLDARQFVRKGEEALIYFPLEKKHFFSWVRGDIGLKVYYVDEPPAAVGDDAPAAEAAAEPAPAEAPAADEPPKTEEPADAAPAPKTETAEAAAGGDGSAMEKPPEDDSAAANQAPEDGPPVMTTEAVAASEEKAPEEEPAASPPPAPMPRQVSMPVRRPAPPPPPEEPMERSKHDLVDKMPYLFVRVVRARGLPAGAHPHVRVSAGGRSAATGEARRGAFFEWDQTFAFVRDAAALESSSPGPTLEVSVWDLPPDADVSVADDRSFLGGLCFDVADVHARDPPDGPLATQWYRLEGGRRLAGADLMVATWAGTQADEAFADAWKADSPAAAASSSSSSSSRAKVYVSPKLWLLRLTVIEAQDTLTAPPPRDAGVAVRATLGFQSLKTRATLVARNGGPAWNEDLLFVAAEPFTDDDCLVVSLEVRHGKEAFPVGSASVSLAAVERRVDDRKVASKWLDLLPSDEAARRVVGKKVHMHGGRLHVRVCLDGGYNVADEPPYAASDFRPSARQLWRPPVGVVELGIVGCKGLLPMRAADGKGCTDAYAVAKYGPKWARTRTIADSFDPAWNEQYTWPVYDPCTVLTVGVFDDPPPLSPDGTTTKDAACSRPMGKVRIRLSTLERGRVYRGLYPLIMMLPTGAKRMGDVELAVRFATSASTLDVLHMYTVPALPAMHHQRPVPAASREALRLAAARITAAHLARSAEPPLRREVAAWMLDATSGDPRGGGFSMRKLRANWNRAAAALAWVADAARWVEDTRSWRNPTSTAMAHAVLVLLAWHPDLVVPTATLHAAAVGAWRFRRRPRSPAPHPCVRASMAEAPDREELDEEFDPVPSARPHEVVRARYDRARAVGARLQAMVGDVATQAERLHALVSWRDPRATGMFVALCVLVAVVLYMVPMRMAAVVAGFYYLRHPMFRERRPAPVINFFRRLPSMSERIM >Et_8B_059613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19957552:19960830:-1 gene:Et_8B_059613 transcript:Et_8B_059613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGRRHSVDIPISRALVAIMRSRSLRDPDTNSLAKFSAKKTIWEGCSLEEDEPEENSYGRHSFSYNAYDHLQRRREEFGDSLRLGRLANSPINIIKANAMAKAALHNQSCCSTISGMSRAAKDRASALVIEGQELGRREASTFQEGSRSLLQKYRPKSFSELVGHDVVAQSLSSAVFKGKLAPIYLFHGPHGVGKTSAARVFAAALNCHSPGGNQPCGHCEECMSIFSGSSNSVVEVDASKLDCKSRVATLLRNACEVPASSHFKVIIVDDCQQMDKEGWYSIYNSLEGIPDSSIFVMITSDVDKLPSNSTGWCQSYRFCKIDDAEIARRLIKICTKEAMEFEAEALELLARKANGSIRDAVQMLDQLTLLGKRISKSVTHELIGDVSDEELLDLLNLAMSSDAATVVRRAREVLNDGTVMLKDESLDTSSHLCSQNKVGSLDMNLGDPDVLETIWTKAVENCSSRSLQNLLRKDGKLSSLYTSQGVAVAELQFCHPEEVPTSENFWKPFCNSLQNLLRCNVDIRINLSPISSNRAGSKDSSVSLVMQSREDQEMQDVAATNCRTVASSRRDCPSPLVGQAKERQSHILGCLHGTTDSEAGDTESKILSYQKISAVPQASTPGNAPLKGGNIAKVDETRACRGCCSSVLPCNACAPRRKSQPREKRRASLFSCCFCKIRPDCKTKAEAG >Et_3A_026822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22086232:22090122:1 gene:Et_3A_026822 transcript:Et_3A_026822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLLSNHAIIFLVTWNLEDPEFNIEKLQLVEAEKKKIRQEYERKEKQVEVRKKIEYSMQLNASRIKVLQAQDDLVNKMKEDAMKELLRVSHNHHEYKNLLKELIVQGLLRLKEPAVLLRCRKDDHHHVESVLHSAKHEYASKADVHEPEIIVDHNVYLPPAPTHDDAHGQFCSGGVVLASRDGKIVCENTLDARLEVVFRKKLPEIRKLLFGQSAA >Et_7B_054657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3188522:3193735:1 gene:Et_7B_054657 transcript:Et_7B_054657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEGNKDIAPEAVPLEQSSTSGAKRKRGRPRKYEYPTYELPQRAQPIQSIPPLQSTQGGSNIRHDGVQANHTSSDIIGPKMCMFQVLPAQQTQRNRPGRPKKTANLVKTSDSQSYSGKDNILGKHFVGKMTNKFPGFCLITVKVNDNQMLKGWIPDQNSLNPITPKDDLTPELPMLRPSQVQKQASAIPMQAAPPVPILLEDVTLAKPLQMRRPVDKTIAKHAIPLAARPYMSSGVVAAVPVSVSPSNVETVTLAKKDTQCMISQSSVAAVPITSVRPVFVSGKQTANQNELLGEKSFNDFEKDSDSSDGAKDSSVKVEQPNAALVNVVVKDSPGQDLTYLLPLGRKRVPLTWHDLLPFFYIGERQPLNVQLDGFGESSGQNQHVNTTVNDEIKLASGSKDQPNSTNSDHQSSKEPSEKSDQPKIETVVFKGVDDSKSDASGYNEPCWIEMNHLAQILTQIQ >Et_1A_007967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39856448:39858171:1 gene:Et_1A_007967 transcript:Et_1A_007967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEAAALEPSALDKPPLRDASVDEETRALIVPDAADLPAFPPSAVEANFARYFYPDFLNPGHDQYVYRHPNGLCVVGLAPSHVAFKEEGGITAVDFNVGKSDRSGMKVTGKRKRNAQHLQENSALCKVCVNDKSFIVRCCVKGSLLEINDRLITQPDLLNTSADREGYIAIFQPKPADWLKMKDNFLSYEDYKILKGAC >Et_9B_064603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16894672:16900955:1 gene:Et_9B_064603 transcript:Et_9B_064603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWFSLSPESDMLVMETPRKAVASCLLLCLLLVHSGMTPSVLALDDCWVPDHVHYVICFHQPKCRSSCQDHGFADGRCAGFPNLMGNYNNGTMNGRGYKQVTERFYAATSLRHDRKQFYNRIRQLKLMYWFIKDLNKKTGLGRRDDGWIDADKNWWETEFQGVAELKKLQHGPPPFLDMLEEIFEGVAVDGSSSYTPGQMSMDIDEDGHDDDVLEIHEDSPNSNGSHKRTCSTTTAEHQTNLLEQIASIKVATKQSALETYLERQRAQEDRHREEEEEKDRVERKLVQQLALEAGVTETSAEYYAVSFICQKKELREFFIDMQTPEGRVAYLTRWCRAACLEDHFVDGRCKWGFPYLLPVCQCLSLGPGCLHKKKPVPSPPGSPRNSSRRVVKK >Et_4B_036274.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:14159049:14159264:1 gene:Et_4B_036274 transcript:Et_4B_036274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQKIVRTSAQGRVSTIAGGSASLRVEASVPSSQARASVSINVTSGTASAQMNAQEPAKKKNRLPQLLNQ >Et_4A_035049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9060669:9067779:1 gene:Et_4A_035049 transcript:Et_4A_035049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPAKRRREPSPGAARGERKLLPGEHVEVSAIGTVITDEACCIAVALAGEVFSCDPGLCGSWHQAVVTDILENARTVKYFEFVDDHGLPLVESVQVSDAIDGRSNIPGDIRGNIRPMCPHQPLQVSDAVYGLCVDALLEGSYWEGVIVDHDEGSMQRKVFFPDEGDECIVAVGQLRRTQDWDEITAEWKPRGIWLFLQMLLSHEEKYGLPVSVRQIWFDIRSKASFRTDAKMWMCGTEAFWERSLAVLIAELWSLCGKPTLDGFEVEAFSRLAEGSNSGTFQKDNDETVVLGKLDPIPAVLSQTLSDFISCYHNNDRKSARVKKQLAKHHLRSLGWKIVDDRPKNKYYVSPDGKRFPSFIGACEACLAEKVSNDRQDDHTNNFFIDSESVVNKNAHYNPTVMDLALRENSSRNKCITTSSTSWESVQLDAQFSPQIVSFLANCQDGTTVLRRHINRTQSLKLKKHLLALGWGIKFKIDEIMRENGGSKNIMRYRYVIHSLIVGGVKQVNGNRIEDVTDKHNYLVGPKDVHATATTDLARLGKRKRRNESDALEKYIDHMEFEKQNSRIRKRLRSNAKKFLTSAGWKFWLKQKSGNKLELRYSAPHGKSYNSLLAACKGYLEKGFEENSDASFEITNHGHSGGFMHPSKSIALSGREDMLVSVVDRCNDTRPLSMPPGKSKKRKSSSSPVNRARVLCSRHGRVLPCQHRAKTVLSLLLEKNILLPRDKITYKQTSDGPGIKEGSINKDGIKCLCCNEIFTLEKFEIHAGISTPLPSAHMFLKDGRSLSQCLIEFMGDNRPKDSLHVRLKGRYSDLESDSICSVCNDGGEILLCDNCPSSYHHDCVGLEAIPEGSWYCPSCRCGICNLSDYDPNTSQFTEKTVITLVALEIGIISLSADQKGVGFVIFQHLQTLIGKSIPTPVEGLSCTILRFCRENGSDLVDFDDAIMGEHYGKLRIALDVLHECFVTIVEPRTQSDLSEDIVFNRESELRRLNFRGFYTILLQKGGELVSVGTFRVCGQKFAELPLIGTRVPYRRQGMCRLLMNELEKLLSDLGVERLLLPAVPQLLETWTGSFGFTVMTNSDRLELVENSILSFQGTTMCQKVLNVACSSLQDHSTPSISNSERVCLAENNTSFDKTTICAKIVNNTSDDSKVLNVMFNSDKDLAENSMRSSPETNICQKISISMHSRSEGLNGFENQLEDTSITGVAMESDGQESTSVAMEGMEQLEPDLLEIQKNSGDEGSMEDTEQLEPELLEIRNNGSDEGAMEDTEQLEPELLVIQNDSNEERMMEDTAELKSKLLEIQKNSSEEGAVEDTEKLDPETLKFQNKISEEGVMKDTEQLESELLKIRKSSSEEGAMGFMEQRKPEPLGIQNSSNEEGVIEDTDQPEPGLLLEIQNNGIEESIYSVDAPTSTPGPQANCTGGMHEKPYG >Et_6B_049990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2885414:2888736:1 gene:Et_6B_049990 transcript:Et_6B_049990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATVAVARTPTGLSKKKQRWQHLETQVYCVVTSSFLTLCFTGRVLWLLFVPSYEDNVCMLVVELSVLVWTTLYFWLLILSPLMLHGALIPADSMLRIYAYFMVSCAVSVVCYLISRVLWAYFFGLEMMKEEDGDGGNAKTSLKKKMTPAIKGWSWAARKPLVQLLTQDGNGAWSAAANTRVLSKLCSKSPTEPRILILPCSQGIKFAQ >Et_7A_050519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21432901:21434583:1 gene:Et_7A_050519 transcript:Et_7A_050519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESARDARRKRPRSPCASSEADQTAPRPASKRCCGGGIYVPPHRAAAAAADDESRDAYDWYGRRSWDALRKSITGLVNKATAANVRHVAPELLAEHHLMRGRGLLCRALLRSQAACPALTDVFAALAAAASRFVAHLVNQGVAHELLALELATALLDEPTPCSIEVAVGLVRECGAALTESCPRGVDAVFECLRRILRDGGDGVDKRVQFMIEDLFAVRRARFRDHPRVRPELDLIAPEDQVTHQVELSLTDNGAGHVLDPKLHLDVFVPTPFFEEDDKAYEEHKRAMFGDDDDAESGDEKEAEEPDVVVNDETNTDLTNLRRTIYLTLMSSVDSEEAGHKLLSVVRPGEESELCDMLTECCRKEKAHCAGFYGRLARRLCAAGGRAYGAGVAACFARHYAMAHLMQTDELRAAAGLFARLLAANDGEGEGNAVPWRAALGRVRVTEEDTTSSSRIFLKVLFQDLAEQLGVRELSRRMNDEDAEVRDALFPSDSAGNTRFAINYFTAIGLGGVTESARQLLLLSAQ >Et_3B_030658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6000589:6004521:1 gene:Et_3B_030658 transcript:Et_3B_030658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRENVTRFCEIYSKEDKEIYEDIFAIYPTTKLVPSLQLQTNERERNSDCNSLFFVAGDLTRRRRARMPVRVVDTATPSSQPSSGQDANAGQPSPPSCSLLSAGRCFAGTQNVSSLQKDEAWKVNVRIHGCDLEQGYLCGTMEALNVPLADTPVVTFWEGEIVDAKNYTFFTGKWEASPEDDIRHWSKFPSFTPLLSQIETDGGKSLDLSNYPHIFMRWKEQYFVNVGVDCGLTIAGFYYVCFSCSDGSISGFYYDPNSSPFQKLELKCTNEKHSGFTFSSYELHLWYYKAAETPFVGLGAGEFCLGDASTNTSGVLSKSPPAMHI >Et_9A_062481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23391169:23393345:1 gene:Et_9A_062481 transcript:Et_9A_062481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAICAEDEAPRSAAECAGGGIERLDLGGGDAKATAAGKRSVYLMDFAPVWGCAATRGRSTEMEDACAAAPRFADVPVRLLASRRDLDGLGIDAGELRLPAHLFGVYDGHGGPEVSNYCRERLHVLLSKELRRLGKDLAEMSEIDMKEHWDQLFSKCFQRVDDEVSGRASRLIDGVQECQPVAPENVGSTAVVAVVCSSHVVVANCGDSRIVLCRGKEPVQLSIDHKPDRKDERARIESQGGKVIQWNGYRVSGILAMSRSIGDRYLKPFIISKPEVTIVPRAKDDDCLILASDGLWDVVSNEEACKFARRQIQLWHKNNVIAASLSEECDAAIDHAAQAAADYLMRLALKKGSEDNITVIVVDLKPRKKIKNNS >Et_3B_029825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28261808:28267668:-1 gene:Et_3B_029825 transcript:Et_3B_029825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRFKPIEECSSEGRAEQTVAADLDGTLLISRSAFPYYLLVALEAGSVLRAVLLLLSVPFVYTTYIFFSESLAISTLVYISVAGLKVRNIEMVARSVLPKFYAGDVHPESWRVFNSFGKRYIITASPRIMVEPFAKAFLGADKVVGTELEVGKNGKATGFMVKPGVLVGDHKKQAVVKELGDEVPHVGMGDRETDFDFMSICKEAYLVTSRKYSPVPKNQLLSPLILHDGRLVQRPTPLVALVTFLWMPFGFALALMRVYINLPLPERIVFYTYKLMGIRLIVKGTPPPPPKKGHPGVLFVCNHRTVLDPVEVAVALRRKVSCVTYSISKFSELISPIKAVALSREREKDAENIRRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKESMFHGSTVRGFKLMDPYFFFMNPRPTYEITFLNQLPRELTCSGGKSPIEVANYIQKTLSGQLGFECTTITRKEKYGILAGTDGRVASKNKEKEKNYD >Et_3A_024445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20890291:20893769:1 gene:Et_3A_024445 transcript:Et_3A_024445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGRRRYSSDQLLFDAPANAGAGRWAQQRGGVRRGDGEIFVSVEPATPARLRGGDGAAGESPGQRQQLSPGLLDLHAFDTELISDFQVPAIYDGAQKFGYAHGGGFDDPDMSFAANKQMSKSTIFAESNYLKAFPEKEKAAPVAKIKVVVRKRPLNKKEISKKEEDIIDIEQRSNSLTVHETKLKVDLTEYVEKHGFVFDAVLDEDVSNDEVYRETVEPVVPAIFNRTKATCFAYGQTGSGKTYTMRPLPLKASQDILRLMHHTYRNQGFQLFVSFFEIYGGKLFDLLNDRSKLCMREDGKQKVCIVGLQEYRVSDVETIQELIEKGSATRSTGTTGANEESSRSHAILQLAIKKRVDGNDSKPPRPVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQTHIPFRGSKLTEVLRDSFIGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGGNAKKDVPLAAPLRESSPSPMTSVVPSFSASDVMNDITERSNFGWPKQQYVKEQPAPTFVDRFPKVQESVEFNSSNGGYFKEQRSKGNMASNTAEVPDAMFPQGRQQVRKAKDPALEHSTRNSMAYPIRRAEPDDEDEPLNDLLQEEDDLVSAHRKQVEETLDILREEMNLLGEADQPGNQLDDYIARLSGILSQKAAGIVDLQARLEQFQRRLNENNVLLYA >Et_9B_063977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8267728:8267980:1 gene:Et_9B_063977 transcript:Et_9B_063977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRISKPLPDVKFMAVIRQQNSIAHKLAQLVKCTTHIAVWREQIPCCIEQMIDQECNLTLSNQ >Et_7A_052388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7487553:7488731:-1 gene:Et_7A_052388 transcript:Et_7A_052388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTITRQIGLGMIQQMNTVCPECRGSGEIISDRDRCPSCRANKVVQEKKVLEVHIEKGMQHGQKIVFQGEADEAPDTVTGDIVFILQVKEHPRFKRKSDDLFIEHTISLTEALCGFQFVLAHLDGRQLLIKSNPGEIIKPGQHKAINDEGMPQHGRPFMKGRLFVEFNVEFPESGTLSLDQCRALEKVLPRKAGQQLSDMELDHCEETIMHDVNIEEEMRRRQYQRQQEAYDEDEEDTAPRVQCAQQ >Et_5B_043262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20786008:20786382:-1 gene:Et_5B_043262 transcript:Et_5B_043262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGSDAFRKGKYPRGTQ >Et_3A_024414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20547061:20547873:-1 gene:Et_3A_024414 transcript:Et_3A_024414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSGSQDKKSKADGRHGAKEIDSTAQNFIDFTEAEEDLVFRMHGLVGNRWELIAGRIPGRTAEEVEIFWYKKHQDK >Et_2A_017346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33473570:33478736:1 gene:Et_2A_017346 transcript:Et_2A_017346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKSLVAPLLVFTLFCCYLSVPSLAFADDFHRCVATNIPSQLVFTQRSPSFTSVLVSSIRNPKFNTPAMVKPRYIVTPTNASHVQAAVVCGRQYGVRLRVRSGGHDYEGLSFRSVKPEVFAVLDLSNLRSVSVDTQNATAWVDSGATVGELYYAVGNASKQLGFPAGLCPTIGIGGHFSGGGFGTLLRKYGAAVDNVLDAVLVDAKGRILDKNAMGSDVFWALRGGGGESFGIVLSWKVRLVPVPPTVTAFSVPVSVDQGAVDVLTKWQTVAPSFPGDLFVRVLIQKDAADFQGLFLGTCDAVLPVMATNFSELGMNRTHCKEMTWIESVPYFYLGNGSTVEDLLNRTIPASVTSTGNKATSDYVREPIPRDVWAEIFTSWLARPDAGLMIMDPYGAQISSVPEAATPFPHRAGVLYNIQYYNFWSMADGNGTAQTQWIRDLYAFMEPYVSSNPREAYFNYRDLDLGENVIVGNVSSYEAGKVWGEKYFKGNYQRLAMAKGQIDPDDYFRNEQSVPPLLRSQALVLIFCFLCCYAAPSLSSSTPSDDFLKCLSASIPSQLLLTQSSPSFTSLLQSTVRNPKFLAPSMVQPLCIVAATNASHVQAAVLCGRRHGVRLRVRSGGHDYEGLSYRSERPEVFAVVDLAKLRAVRVDHGAATAWVDSGATVGEMYYAIAKASRNELAFPAGLCPTIGVGGHFSGGGFGPLQRKYGVAVDNILDAVLVDAKGRLLDKNAMGPDVLWAIRGGGGQSFGIVLSWKVKLVPVPPKVTSFIVPVTVDQGAVDLLAKWQEVAPALPDDLFIRVFMLRKTASFQSQYLGTCDELLPVMRSRFPELGMNRSHCRETSWIESVLYVYQGSAHAAVHVEDTLNRSIPMDSAYKATSDYVREAIAKDAWANIFTWLAKPNGGVMVLDPYGGKIGSVPESDTPYAHRGGVLFNVQYMNFWAATQDGAANKKWVRDFYAFMEPYVSKNPREAYFNYRDLGLGQNVVVGNVSSYEAGKVWGEKYFRGNYRRLAIAKGKIDPDDYFRNEQSIPPLAVRK >Et_9B_065843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14814715:14817584:1 gene:Et_9B_065843 transcript:Et_9B_065843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQRIFGASGMGQPPSDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSDLMSSNIVQTLGTMLDTVVF >Et_10A_000786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17162494:17163467:-1 gene:Et_10A_000786 transcript:Et_10A_000786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEFLRVKKFHKGRSLRKRMEVQPELSLGPTWPQSFAPARSSSSESDGSSRKKRKQYYAWEEEPHGSLELQLNDPLPLDWEQCLDLQSGRMYYLNRKTLKKTWTRPREQSVNLDLNMSTAAAADISTTAPSDDEPKRSGATVTSGGSMVAVPCANCHLLVMLCKSSPSCPNCKFVHPMAPATSPQPVVPSHRRIDAAAKPLETLSLLH >Et_10A_000637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14165006:14174572:1 gene:Et_10A_000637 transcript:Et_10A_000637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVTGGGGGGAGGSGADALLEKAKELDQLKKEQDEVVGEINKIHKKILASPEMVDKSVDTILLKLRTLYTHAKELSESEISASNALIGMLDGLLQSGASTAQRKKIEVGEQKKKRIKSDTDTPRFSAASMRNQLDQAANLKGEQVAARVKSDDEKDEWFVVKVIHFDKETKEYEVLDEDPGDDEESTQKKYKLPMSCIIPFPKKGDPSSAQDFGQGRQVLAVYPGTTALYRATVASHRKFDDDEEDGSLPQRAVPFYRVVALPEGHRQ >Et_3A_023093.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27133887:27134765:1 gene:Et_3A_023093 transcript:Et_3A_023093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGSKIVSYLFAIVIVASFTTSTCMGIETKPLEAAKPSAAALEFLRACCNTSKYVSECYDSLLPNAESFNGNHIKVAAAATDILVSHLEAFLGELRHLNSTTTEYTLGGCIKFADASLNMSKEWSAKLKRLEAVRDGKLDEKAKGYAAKWIEKVTNKFDECTMDLGNIFNTGDVLPHERIALDFTYIAQGLVNGIPLTTAVAPASA >Et_8A_056923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18981085:18984644:-1 gene:Et_8A_056923 transcript:Et_8A_056923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAEADVAAASLFGADRRLCSADILPPSEVRVRIEVAVLSFLSALASPSSPAISVLPLISRTSANCSLRSGLLSDVSSVYLSYAFCKRSLMRESNAMGFVRVWKVMEMCYKILGEGKLVSQRELFYMLLSDSPKYFSCMRHVSQTIQDVVSLLRCTRQSLGIMASSRGALIGRLLLHDAIFQRLAEDRLYNQLPCILITAKGYPDIATRFILHRLSQTFPNLPIFALVDWNPAGLAILCTYKYGSISMGLESYRYACNVKWLGLRGDDLQLIPESAFQELKPRDLQIAKSLLSSKFLQENHRAELTLMVETGKRAEIEALYCHGFDFLGKYIARKIVQGDYI >Et_3A_023912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14569314:14572909:1 gene:Et_3A_023912 transcript:Et_3A_023912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAAASSLAVRSAVAVVLSAIIAGRAVRRRSLDASGGVAGFVVMAVHLACGYRYGAMLLAFFFTSSKVTKIGEDRKRRIEEDFKEGGQRNWIQVFANSTIATILVLIFAIMTWGQDQCLDSKSSKVITGIIGGIIGHYCCCNGDTWSSEIGVLSDEQPRLITTLKGTNGGVTVQGLLAATAGGLTIGLAFVVVGLFTAECSFNMALRQLLALPISAAAGLLGSLIDSLLGATLQFSGYCSVRKKVVSKRGPTVTKISGMTILDNDAVNAVSVLLTTVITAYACIYVF >Et_4A_035021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8886507:8888406:-1 gene:Et_4A_035021 transcript:Et_4A_035021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMAQQPQPAALGFGDTTLTKVFVGGLAWETHKDTLREHFERYGDILEAVIISDKLTGRSKGYGFVTFKEADAAKKACEDATPVINGRRANCNLASLGAKPRAHILRPSPPATPAPHALTSPHQPAPAAIAVGSRGMSPVPWYYHPSTTPPPPPAAHQQYHGVLPFYPAAATYGYSPNYVTDLSYNAKLGQAAAAAGTAGSYMQGHFSYPAAAAAQGGMVAPNGMMPVYPFYHYQYHGSQGLGVPAAHFFPQVSVAATPAIISKPTVMAPPPKVEQVTGCS >Et_6B_049586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:701726:705782:1 gene:Et_6B_049586 transcript:Et_6B_049586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPLNSVLPVVLLGCGGVGRHLLHHIVSCRPLHAKQGVAIRVVGVGDSSSLLVADDVHSSGFDDALLTNICTAKSAGSPLSSLLGQGPCQLFKNPEANVKVIDTATVLGRSTGLVLVDCSATYDTVGMLKHAVDHGCCVVLANKKPLTGAYDDFEKLVSNFRRIRFESTVGAGLPVIASVTRIIASGDPISRIVGSLSGTLGYVMSELEDGKKISEIVRTAKSLGYTEPDPRDDLGGMDVARKALILARLLGQRISMEDINVESLYPSELGPDVMSTNDFLESGLVQLDKSMEERVKAASLKGNVLRYVCEIGTTGCQVGLRELPKDSALGRLRGSDNVVEVYSRCYESSPLVIQGAGAGNDTTAAGVLADILDLQDLFQKTA >Et_3A_024684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23253698:23256722:1 gene:Et_3A_024684 transcript:Et_3A_024684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEKLDLVLVPLALAALAGYHLWLLYAILRHPTRTVIGVNAMARKRWVSAMMANTEKNGVLAVQTLRNNIMASTVLATTAITLVSVISVFIGVTSSSSAAPLRLVYGSKSGEVFAAKYLAVSLCFMLAFVCNVQAIRLYAHASFLLGGLPPGEGAGEEFAAYVARTVNRGSHAWSLGLRAFYVSLALFLWTFGPIPMLVCSVLMCGLLYFLDTTSEHAHATGVYGHGQAGGEKDATIARGENSKRRGSSWAIAMVREETLDLVLAPLALAALAGYHVWLLYTILRHPTRTVGVNAIARKRWVAAMMAVGISRLPISCIDRSPLHSD >Et_6A_045984.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:15543432:15543578:-1 gene:Et_6A_045984 transcript:Et_6A_045984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQGAKECKLCGADETTYHIMFTCLVAVFTWSVMRDMLKWPNIPSTLN >Et_4A_033812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27052019:27055524:1 gene:Et_4A_033812 transcript:Et_4A_033812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDEVTSRPLQLTEDIEREQKRARQQQIQTARRGPLLRNWPDPYWMTSDWTKYRTDEEGLKLVEFASRIGPAIRAKYNLQRVKLDAGIKEDDKVLFEKNDETFSNIHQAEDPTSDEFIEQLDRTAKAYFERINKQKFDEEEIVKNGFQYMQDEVFLAFRNYVAEHDLFEDSDYQFGKVLHHCFTAEGYGKVYTHFNFTVDIKKKDENDWTSRLYFAEAKLVRGIKFYFCALLEGVNDGMCYVRLIQHLLLKHHHHHHRTYSWYIVTDYFLQFYFDAVKTSWLVQIYTDFCVRTTSKQNQRQYIWSGAIYLEPFLVLRTPLPSGVATIHVLPRSFSTLLKVAMKKASKLLDRAIGVL >Et_4B_037349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18783574:18788329:-1 gene:Et_4B_037349 transcript:Et_4B_037349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARRRRRGATPAAADESVPSSAAGLLAHAADLIPAAATALKAPPQLKQLVHSLPPSHPLLLSLPQALALAISADPGAGAASSSDAPPPVPTAPRAAAVLLHLLLTHPSLPLRWDDLLRPLALLHDRLSLLATADPPLAALAVACFELAWRADAPGRDALVAQTLPYLVAQALTAGSRAGPVLRRLFALRDALPLLDYADESISDFKMLLLRCFVSPLFLKAEEGRKFLALVLGVSEGIAREGLELIKAQVGMTGVKRAAVVAYGEVVFRAWKDGGWVRGEVGEGFLQGMVEASVHAASKEVAKAARKILSAFVEQRAVAGVEKLVFGLAEPVLFRSLQVASSNVRHNALHLLLDLFPLEDPDVTKDVNDPLLEKQFFLLDKLLMDDCPEIRTVAVEGICRILNQYWEVVPSPTISKFLRKIVDDMSKDSCNEVRLSTLNGLVYLLDNPQSHDILKVLLPRLGDMVSDSSLSVRVAAVDLLLAIRDLRSFQYSKVIGLDTLLSSLANDHPRIAQKITKLLIPSYFPSKLTPKEACARCIALIKRAPTAGARFCEFALSEGSSPKSVVELIKFSITLALSQTGVNSEQINGLIIASVNLIKSLSDERSSLSALREFFAKGKLKSVLKTGVSEGAKAALLSIAPVVLPDDLSVLHEECMDIVVNVAMISKQEDCKETALAAHKLIALNGWSDELFEALTNILQSKASCFAEIYGLEPPMCPVASSRRKKGKSLKKTPASDHIAGKGSSKSKIGNDDLAIASGAAWQINEILKDEEMRDTFLQSSYSEIVFSSLKVISTVYIEQCLYLHSLDLAPVLAYLSLATNSALPDVDQTGISCSESSTANQALEHLLNSFDKLLRGHVQNPLSKLNQKAKASRQKRNQEGTSEGSAVKGTVNVIMLGTSILQFIVDTTTIKLVYGDKVRCLKFASSYTKYSVSSIKKHQEQSSSFKGDDLKDALMLIRSSFTYAAKLLHLVLGSSSELSNPPEEAFFLANYLLDLAPSIEASVGSRFAFSFISALKQWLPVLVLGLVCRWLIGPQNEMATDVCHFGDSGLPLWVTAIAKNEVLDAEENGQDEQSEQADGREDSPSTRKLAEMMVMLLKKGSPRILDSVGGVLLSTLQWALQTSEYDIVLGVTHFVCARLLGNNSSELEKLQLTRDFLRENFFEIDRNVRDELVDEDSKQQLERAKALIRSVLTDV >Et_4A_033311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21342405:21347932:1 gene:Et_4A_033311 transcript:Et_4A_033311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMIKKNRENKEKTENFGRTGGRRARPAAPVAHGVGGAQYVPDQNIPLPEGEDLLDKNDDEEEEDFLLLDGEGEDGDGCYYPELRCYDGEYYYVDEKEEEDAVAVDLERRICRLENNHETNLLEPALEEEEGTHLFTEEVQPESPGPEGGILYANQMLPDVAVEERNRLYNDEELPESPDADCGSEEEGVSESEGAGSASGSGSDRVYTIDNVHQGVSAPIARVPEKYRDDAVEPDIKKLYMRLEALEADRESMRQALVSMRTEKAQLVLLREIAQQLTKDAAPAGKTCCGHCGKEVYGGQEGGTR >Et_7A_051669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22131640:22133160:-1 gene:Et_7A_051669 transcript:Et_7A_051669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTPNHTQTVTGWAAMNESGKIEPFIFKRRETGVDDVVIKVQYCGMCHTDLHFIQNDWGITMYPVVPGHEITGVVTKVGTNVSGFKAGDRVGVGCIYASCLDCEHCRRSEENYCDKVTLTYNGIFWDGSVTYGGYSNMIVAHKRFVVRIPDNLPLDAAAPLLCAGITVYSPMKQHGMLKSGGSLGVVGLGGLGHVAVKFGKAFGLKVTVISTSPAKEREARERLKADDFIVSTNQKQMQAMTRSLDYIIDTVAAKHSLGPILELLKVNGKLVLVAAPDQPVELPSFPLIFGKRTVSGSMTGGMKETQEMMDLCGEHNITCDIELVSTDRINEALARLARNDVRYRFVINIAGNSKL >Et_1A_006226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19383335:19387046:-1 gene:Et_1A_006226 transcript:Et_1A_006226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLLLGVVTMETYTTDDALTAMGFGKFQALVLVYAGTGWLADAMELMLLSFLGPLVRQQWDVSPQHESLLSSVVFAGMLIGACFWGFLSDKYGRRTTLLFSILLTTGAGFLSALSPNYISLLAFRFLVGMGVGGAHVFTSWFLEFVPAKNRGSWMIVFSCFWTIGTISEASLAWVVIEKLNWRWLLGFTALPCFVLLLFFVVTPESPRYLCVQNKMSKATHVLERMANVNKVTLPPGVLTYHREIQAEHNECTVDSNKGSKSGGIAAVRMLLSPKLLRSTLLIWFVWFANSFAYYGLVLLTSQLSDANRRCGSGQKSEVHKTDPNLYKDIFITSLAELPGLVVSAVIVDWFGRKATMWILMFACCAFLGPLAVHQNESLTTALLFGARACGMGSSTVLCLYAPEVYPTPARSTGVGIATAIGKIGGIVCPLIAVGMLRSCHQMEAIVVFELVLGFAAIACILFPVETKGREMK >Et_10B_004362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4806223:4807645:-1 gene:Et_10B_004362 transcript:Et_10B_004362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDVIHDPGRGAPLAKVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGRRATLSIGNVLPLRGIPEGAVVCNVEHHVGDRGVFARCSGDYAIVISHNPDNGTSRIKLPSGAKKIVPSSCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKAT >Et_10A_000466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10885364:10887077:1 gene:Et_10A_000466 transcript:Et_10A_000466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLQHPWAFAFGLLGNVISFMTFLAPIPTFYRIYKTKSTEGFQSVPYVVALFSAMLWIFYALIKTGEGFLITINAAGCVIESIYIIMYFVYAPKKGKLFTAKIMALLNIGVFGLILLTTLLLFKGDKRVVMLGWICVGFSVSVFVAPLSIIKRVIQTRSVEYMPFSLSLSLTLSAIVWFLYGLLIKDKYVALPNVLGFTFGIVQMGLYMFYMNKTPLIAEGKEASKLPAAKEEHVVVNVAKLSPALPEKSCEVHPVTEMSIPRKSYASDVAPENRDAFFTHGPSVTVV >Et_1A_008794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13059447:13062554:-1 gene:Et_1A_008794 transcript:Et_1A_008794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAISAPPRPTRLHPHPSPSPASTSSHLPMRALPFLRRRVRPLTTAHAVQQDAAVWTPAPVSVVGAATADGSVFHVAVDLSDAAALADSYVAPGQYLQVRVPSADGELKPAFMAVASPPGAGPRFEFLVKSVPGTTAERLCGLRDGDVVELGAVMGKGFPLDRITPTNAAQTVLIFAAGTGISTIRSLVEFGFSADERTDVRLYYGARSLQTMAYQDRFKNWESTGLKIVPVLSQPDDSWKGERGYVQHAFLRSKNIADPSSTGAVLCGQKQMHEEIKATLVADGVSQDKILTNF >Et_9A_062185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20498848:20511565:1 gene:Et_9A_062185 transcript:Et_9A_062185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGYEEEEEEEMAETGSDSQVRIDPLLPLPRLQSQNAGRSSVALPHNVVSLRPIESWCGDPNFVHMACVMQGRRIGVAYYDSSTRQLFVLEIWEDNAGEFPLIDLVKYQAKPSTIYASTKTEEALLIALQRNDDSDEVPVVKLMKSSTFSYEQAWHRLIYLKVAAMDDGLSAKERICFLNSMMDLASDVQVRSAGGLLAILDNERLLDTLEQMEDKFLKLDATAHEALQIFQVDKHPSYMGIGRAKEGSWFLRPIIDIDVINNRLNTISFFHCCEEVMSALRETLKSVRDVPHMLKKFNSPSSYCTSSDWHSFLKCVCSLLHINKIFEVGISEHLANKLQHMNIDLLEKANSSITAELEYVSDLVVGVIDVQRGKEKGYETVVKEGLCDEVSANENASLPFSLECRNAPLIVYVHQIGYLMCFFDEKLSDALLVGLPDYEFAFSEEGEERRFYYHTQKTRELDNLLGDIYHKILDMERAIIRDLVCRVLQFLPQLTKAVNFAAELDCILSLAIVARQNDYVRPILTEDSILEIHNGRHALQEMTVDTFVPNDTKIHNAGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCVMGSKSMTTEQSTFMIDLHQVGTMLRHATSRSLCLLDEFGKGTLTEDGIGLLGGTISHFVNYDCPPKVLLSTHLTEIFTENYLPQSEHIKCCTMSVLNHDGQASNEDIIFLYRLVPGQALLSFGLHCARLAGVPSEIIQRAASVLEDIHSKRPMKRMICDKLAATDQQHQDAVTKLLAFDAHKGDLNIFFQEIFPSES >Et_4B_038642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3667296:3670014:-1 gene:Et_4B_038642 transcript:Et_4B_038642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQAAENYTVEDLVALNPYNPDILNDLEKFVNEQVSSQTYNLDANLSLLRLYQFEPERLSEQIVARILIKQMKEQFKTLIVLSHYLETARFSQFWDEASKNRNILEVVPGFEQAIQAYAIHVLSLTYQKVPRPVLAEAINIEGLSLDKFLEYHAANAGWVIEKGGQSQVIALPRNEVNHPELKKNTADTVPFEHITRIFPVLS >Et_4A_033849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27374323:27376984:-1 gene:Et_4A_033849 transcript:Et_4A_033849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPHGAMRKQLSSKSTMPASPLVAVLHAAMLLLLAGAAAAQHWSAVALPNCAATCGDVAVPYPFGVGAGCYSSPGFNLTCDTTSDPPRLLLGPDAAFQVLDISVANATVRATRTGGVNFTFSSSSAADGRGAWRGLGDDARGNPYALSDDGNELVLVRGCDVLAQLTAAGGGGNVTIVGAGSSGNSTGGGAGGSGNVTICGCASFCPGTAAGRTSLSVSGGRCTGVGCCEMPISVGLASYDVQLRRLDPTQPLPPDDTWPPLVIIAEQGWLQQAAAGTRGAPLPVNLDETPVPVLLAWAVAAAPLGQDGTPPDSSACPADAARSACRSSHSSCRNVTTATRGGYVCDCQDGYRGNPYLTGGCQDINECDTPQEHGCFGECTNLPGTFECRCPPGTHGDHTQLHGCVKPSSPGFSIGIGVSSGGGLMLLVLLGIFVARKHKQLKTKRLRQKFFKQNRGQLLQQLVAQRADIAERMIVPLEELEKATNNFDKAREIGGGGHGTVYKGILSDLHVVAIKKSKIVVQREIDEFINEVAILSQISHRNVVKILGCCLETEVPLLVYEFVSNGTLYNHLHVTEPRSLSWNDRLRIAIETAKAIAYLHSAVSVPIIHRDIKSTNILLDDILTSKVSDFGASRHISVDRTGVTTKVQGTIGYMDPAYYYTRRLTEKSDVYSFGILLIELLTRKKPSSYVSSEDEGLVAHFIGLLTSGRLGDILDWQVTEEGGKQVEEVAALAATCVKLNLEERPTMRQVEMALEGIQAKVCVSDNVASETFEENNIRRRLVADPEGRSVKEMTRRYSLEEEFLLSARYPR >Et_8B_059452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17986299:17997506:1 gene:Et_8B_059452 transcript:Et_8B_059452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISMMEARMPPGFRFHPRDDELVLDYLLQKLSGSARAHSGVAMVDVDLNKCEPWDLPEWYFFSLRDRKYTVGDRTNRATRSGYWKATGKDRPVIAGEDESAAVGTRKTLVFYRGRAPKGRKTEWVMHEFRLTAPASQLQIPEDWVLCRVFYKSMTTTQRPPSEDKAGMPSSEPHLPATPPVAPLITTYNAFDGSQTAAEQVSCFYGLPSLPFRSPASLGDLLTIDNSKNEAIETMLSRMTSNISSEMRTDGGTVLFQGKVFVALPEEAGESILSWVLDHASSSAEINIIHVKQKQRDQGGAIGKQVEEYEDCCDELEVPESAVKELARSQHPPLDKYLHQCAKSEVIDLVPHINICSYSFLVGQSMMELHVKAQILVLEEFDIAEGIRELVDKFWIRTLVMGAASDKHYSKNMKVPQSKKAIKLMENAHPFCEIWFICSRKLIYTSNMNDLKSVSVFVDADEGLWDVNSQEKDHAQVVSGQAAVAQNLVEMYKQRDPAMLEEELHDASNRVKESHETPIDIMAPAQASVIRVVEILHQQKEELANEWSNTSKEVAELLEQNKQLTIERDTALRKIRLLENQKKRMVAEWNVSMEQIAELRKQKRQATTERDNALEELEIFHKKVEQANNVMVEMEIFHKVEQAKTEVKELNKEKEQMMPKLNSAFTQVLEEGRKKDLITGKAHVVREIEVLRREKHNIITELDHVVIELMEARRQKALMASERDHISEVAKELKAQLEQIMSERDNAVRKIEKLWEPEVQGSQFTVAELRRATQKFNDRFKIGQGGSAVVYKGFLRNTTVAIKMLNSASSSRGQSSEFKQEVNILSRVRHPNVVTFVGACPEVRAIVYEFMPNGSLEDHLERKAGAPPLPWQARARIITEICFALSFLHENKIVHGDIKPANILLDHNLVSKLSDFGMSRLLTQSGTAGNGGIYCTSHPWGTLGYMDPEFHTTGELTLQTDTYSFGVTILRVLTAMSPFNLLRVVQNALERGDLSSVMDTSAGEWPITLVMELAWLALRCTDKTREMRPDMAGEVWSVVKRLANEAAGEASVGSNRKHGIGSSVPLNRQQISVGMSSISTMETRMPPGFRYHPRDDELVLDYLLHKLCGRGRARAHCGVAMVDVDLNKCEPLDLPGDRTNRATRSGYWKATGKDRQVIAGGEA >Et_10B_002704.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:9395125:9395244:-1 gene:Et_10B_002704 transcript:Et_10B_002704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVPLVETQKKTFLIFSLLQPYERNMEPSGAAQHTSAR >Et_6B_049212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:252125:253609:1 gene:Et_6B_049212 transcript:Et_6B_049212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDWSVGHDATSALDDGACGPPEHGRQVGRAAPLAAGDVVHEHQSFHSDGGNVAPHGGNVDHGHHSVAADASGHRHAHRHAQTYGPPGHGRRLERHPPAGTSAATVTAPPPERELHRVARDDVEVVPSAARADDASTAGHGPRPRPRQRARRQRDLQRVHRPSARARLEQRAAVPGRGGHGHPAGDVEHAARGGGAGAPVPPPRRLRHHAPRVGGRVVLLHRRGPRRAARDVDPPAHGGRRELLAREQRGRARAGRAGERVHPQRGAAADEEDLAAHRDGPRVREREPAAASAMRRQRQRGDLELRRPGRVELDQRASKGAALEEVVGKGGDGGLVGRVGGWVGGAEVGGGGGGDGDGDGDVAAGVGGDAGPGEPGEAAGVAALGGHARSGARSSVSLSQQPHRVTLALLHWPVHGSRIGHGCARLSVRICLDSVVFIRRG >Et_5B_044151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20834093:20841790:1 gene:Et_5B_044151 transcript:Et_5B_044151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRQLKKQGMDTEIPLSPQWLMKVGENKDPTSQGIRSDGAKTPGNGEDPGLSAKKKDVFRASVLDGETGRRDRWRDDEREPNSTHRWSRWRETDKEHGDTRKGERWSDDSSKFSVDGRRAPQERWGDSNNKEGNHDQRREKWSTRWGSNDKESENWRDRWGDSGKEGDAASREKGFSHYGAHGKDGNSYDKDSERDDNMSRSWKSSYPLGRGRGDTPQYPSQAPQKSSATYGYGRGKLDSEISNLQSSRVKFTSGASAVSSGSSRLFQLGLLSDRPGGASGDRSAFRYSRMKLLDIYRTCDLTSFNIPVDSFEEVSGFMPEEALEPLALSAPIGEEAALLKAIEKGDIVNSGVHQASKDGSVGKAGREDQPGGNEDFKGDTLGSLRGVPGNMDLPGRGESLRHGASTYVVPQRSQIAGEHRLGPSSEFGQQAPNFLNQDRAVGMARVDAFANPMQPHPNPESLSLYYKDPQGQIQGPFSGANIISWFEAGYFGIDLLVRVVNAPPDTPFLMLGDVMPHLRAKARPPPGFASSKPSDMLALETPSTGKFAISSDVHTGSAAAGIVDSGSRKDSAVDAQNRFLESLMSNNIHNHSAAMTMTGGSGTFGNIALGGGDSGNNMNYLLAQKALLERQNSAQNSIPIEASILHSKLQPPMADPSRQSLQSQNVDLLAMLHSKEKPQLHSGNSGLPLWSNYPEARNLNPNMRGVDLNQGTLNARQDLQNSQNVGIGAQQHSFMPQNRPTLAVPPEKLLAEISQDPQLLNVLQQQYLMHPSVASGDSLNLGLRRMQEGMQVGQQSSQSIMNMGDKDGIVCSQISSATLPLPHEIVSSVSKEGLSRLQMPEVFANVDPQSKATMVNPALNNYEQSVNLQEVKSSEVYTGNMKAETSEKIDSGTIGVPGEASKDFPQPPVAQISDSASSDISKQVQEIKLSSEDAPDEVKVADTQETKKSEKKKKQKKKQAATDAGKGVSKTVSAQQSRQQTVVDNSDLGGTKHDLPDDTEELFWGSPVRVENDVPPKNLSEEYSTNNAESESDPHAAANQRAWKPTQGPRPKSLLEIQAEEQLRAQRALAMESAKPAAPAASVPSIPWNGMATSSEQHFGGANKSLAGMESAGERNKRSQLHDLLAEEVLARSSIAETEIISNASDAFFPPLSTSAQPNASALDDNDFIEAKDKKSKKKATKTKGSTVKAPSPVGSFDPSPSAIAVPAEKGKSAKQAQQESEILPAPPSGPSFGDFVPWKSDANSVPAPAWSNDSVKIHKPLSLRDIQREEERRLGSVKQQAPLPTPSKLTMNQKNHGNASSWQTSGSSPSKTVAPVSMSSNIPSRSKSSAEDDLFWGPSENSKQDKQQSEFPSLSSQSRSSMMKDQSPLNRQKSQGGRFPLSSAPANQSGKGKAEAANKQTEAMDFRDWCESEWFRLTGTNDTNFLEFCIKQSAVEAEMLLQENIGSLDRNHEFIDKFLNYKAFLSAEVIEMAFRAPSTRGPRGDGAARSNPAAAARGGTSAEMELDGGGKKKGKKGKKVSAAVLGFNVVSNRIMMGEIQNVD >Et_1B_010516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11184858:11186862:-1 gene:Et_1B_010516 transcript:Et_1B_010516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLCSAPSRPSRGNLRTRGRRPARMVVVAAGARVSGAEARASLVLALASQALATSQRRAADLAAETVKYAFPSRSFEPRTLEEALMSVPDLETVPFRVLKREAEYEIREVESYFIAETTMPGTSGFDFSGSSQSFNLLASYLFGKNTTSEQMEMTTPVITRKGESSSEKMDITTPVITKKSAGDKWKMSFVLSSKYGSNLPLPKDPSVTIKEVPSKIVAVAAFSGLVTDDDIRRRESQLRKSLQKDSEFRVRGDSVVEVAQYNPPFTLPFTRRNEIALEVERHD >Et_1B_013202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6403989:6407852:1 gene:Et_1B_013202 transcript:Et_1B_013202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PSLNLERPSASPEQAGSRSAARAGEKSSLERRHPVASVARPRREGGSRRSVAHGGGGSGGGCRSEHTVTAAAQRHFGFWVPNSCTFSFIAETRVKYLERYWEKLCSMARFMDLRAFILRNRVLKLYRQALRVTRRAPEHARDELRQTARAEIEKYRDCDDKQKIRFLISEGMQRLKGLDELLDMTGNKLQAFQLPMAYQRTQYAIYN >Et_6B_050140.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6985660:6986785:1 gene:Et_6B_050140 transcript:Et_6B_050140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAEEETCMRALHLVSSFALPLTLRTCIELGVLETLAGAGGKALTPNEVAAKLPSKAKNNPAAASMVDRLLRLLASYDVLSCVVEEGDDGSLCRRYAAAPVLKWLTPNEDDGVSLAPFLLLANDKLFMHAWSFLTEAVLEGGSPFNRAYDTASWFDYAGTDPRFNGVFNKAMDEHSVILTRKLLEHYKGFDGVHTLVDVGGGVGSTIHAIVSRYPKIQGINFDLPHVIAEAPDDVQVRHVGGDMFQEVPSGADAILMKWMLNCHGDDDCARVLKNCYHALPPNGKLINVECVLPVNLEATDRVQGLVAVDVSLLVYSPNGKERYEREFVKLAKDAGFTSVKSTYIYANFWALEYTK >Et_2B_021578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3252632:3257915:1 gene:Et_2B_021578 transcript:Et_2B_021578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKEVVMGRERDQFPVGMRVLAVDDDPVCLKVLETLLRRCQYHVTTTNQAITALKLLREHRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKSVMKGITHGACDYLIKPVRIEELRNIWQHVVRRKFSSRERSNLDIYKEPPSADSCHGQSQIISRLSDQSGRISKKRKELHIEEEDDGEENDFQEGDEPSATKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVEKLTRENVASHLQKYRLYLKRLSAVASQQASIVAAFGGRDPSFLHMGAFEGLQSYQHFAPSALPSFDPHGLLSRAGAATFGVHDLASAKIVQDITNNGILGHCAGDANKFQLVGLQENQANWAQGSTSTAGLPQLQQKWVHQENNNLSTVFSGSALINTMSDTLQRVTSTPLPQQELLECTQAKITVQPPLRMPSLSSELVERTVGVSGTLQNSSMSQQGALPINGGFSTDPFDSTSAAKLGTSFVPSQSMPVCASDSLPATNNSKCAASCSGSTMLLPPDTGRHSNYLQFGIASNSRHEMDGMKQDRLHNQGLSSGSFNHNFGAGMTEQANATMSSLIPQMKVHTVTSEDKLKQKTIYDLGISKLHGGFNSSSCNFDGLLNSIIKALLQQFPAHHGEQSLAILLDEQQPHQLEKNFVHQRLEALSRSLA >Et_6A_046153.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4904160:4904330:1 gene:Et_6A_046153 transcript:Et_6A_046153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRIIWTHRNGIIFDGKNVSYQRWRLELKEELILNLFKVKLTLKEPLQNWIDNF >Et_9B_064266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13439878:13440942:-1 gene:Et_9B_064266 transcript:Et_9B_064266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGNQPRSGPASSPAAAAYPLSAASPCVARCPRRCRASGSSPATLLLAPYRELDATDSAIDAKTLAAARTSCGYSTYVSLRRAAPPAVSRICVRHPRVTGSHATVVAAHGDSVLIHALPNFTSQERPCDHFIYNAGAAGGHRPPSLFLLPPCCFTMRGWLARNATGLFRRGDDDLVVALLWLVEEEASAGGDTPTPTTKKKKAAELVLFRSGEWSVERPRIIMQDQDDDDDASFERDALLSAWETNAVVPAGGRHLCWIDLYLGVLLFDVFDDGPALLRLVRFPAEAARPHPDRTSWESVRHRPRRREARQHLPPPLLLLRVLR >Et_7B_054463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21624775:21628275:1 gene:Et_7B_054463 transcript:Et_7B_054463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKFPNRVAGPPPVAEAIPTLVAPGKIRPDLVIPAAAPSMIAEEEATRQKKRKRRKQKEQVEVPVAPSPSPFPPVTPEPEIESPRPLPPETLSSEVDAAVSGKKQEENQAVLTAEEEVTRLKKRERRKEKEKLEALVVPSSSPCPPVPKLKSRRPLAPESLSSVVDAAVRGEKQEGNQTAPSIMAEEEATRLKKQKRRKQKEQVEVPVVLSPSPCPPVTLEPEIESPRPPPPETLCSKVDAAVSGKKQQQEESQAVAAAPLLEPVDETVGKEEKKRRKKHEEGAASRLQSAIAGASIVEGEHKTADALALAPKQGKRKLRWVKEQEQSSQSPLPFDIHPQGGEAAADGPKSESVPVRRGSSNRKRMRIETRKQQPLPKDILPLETFAADPNHSDPVAAFFNQFIYKSDRPARLVPRGHSSSGPSQVTTSGTSKAAKTTALNAPVSVSQETMPAKDKKKLAKRMAGKEQRKPKQSQLTAAEKWSDKYRRLPLDQLVPPPCSPHNLLQEKYASDPWKVIVICMLLNRTQGKQVRTILSDFFELYPDPHTAYRADPSEMAKFLATLGFQKTKTTRIQKFSEAYVEQEWTHITQLCGVGKYAADAYAIFCAGRATEVVPEDHKLVDYWNYVCNELPLVQLSVVGQLKFIKNSDGAILRSGNAQESGMSDELKVAPNVQELVVCC >Et_3B_029557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2595372:2598759:-1 gene:Et_3B_029557 transcript:Et_3B_029557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVSRSCSSKLASPLSTPANSSAAAAAAAAGSLVQSPVMPRAPPGGGDAPPGAVGETGNTPCSSSGASWKEYPWNRMAYQRQSVPHLGKVSGAVCGHVFGAIYSSQNQQSFRYFSSSSDQERWAGNKIMHDLPRSVKMVEVGPRDGLQNEKDTVPTPVKIELIRRLATSGLPVVEATSFVSPKWVPQLADAKDVMEAVRDIEGVRLPVLTPNLKGFEAAIAAGAKEIAVFASASEGFSKSNINCTIKESLARYNDVILTAKEQEIPVRGYVSCVVGCPVDGPVPPSSVAYVAKELYDMGCYEISLGDTIGVGTPGTVVPMLDEVMSVVPVENLAVHFHDTYGQSLSNILISLQMGISVVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGIKTGVDLGKVMAAGEFICKHLGRQSGSKAAAALSKITAKASKL >Et_3B_030641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5944291:5946178:1 gene:Et_3B_030641 transcript:Et_3B_030641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKVFQFALYSVIENKIGHVYMNDLVQSSMHLAPCLHMAAKRAPLLVGLPHDVVVEIAGHVAASSRRPMDDLRSVRASCKAMRAACSDPAVARRVALENEAATKWRDDDDAAGGSYDALVARLAAAGNPEACFVAGVALIFAHRNARQGAAALDRAAAAGHRAAAYVLGLLLYRVDGARDAAKQYIAQVEGDVVVDGADGGGQAKKTNRECRKCRTQGLVALRDATWKMAGPRVRAATALPEDGHRCTARGCGLPEDWSGDAVFCSDVCRIRHEYVEFFSLVTLPASAS >Et_3A_023439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27705631:27707409:1 gene:Et_3A_023439 transcript:Et_3A_023439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCKGHNRSYSATIWVFWFLSIAKSYARFQALREASKTYAGLDEAHQGGRRLHDGGARVGTGLRRRHHGRVQVHIPRRGAHSSPVRVQAGLQDGHRRVRVHHGRHGLAVDRRPQLTAGVFSREHGGILKEIALAFTLFKLLKRRFCDYEHGEAGQPKTLQFLVSGLLREEDSYPRAFRVVEMHGAGVPLRFLLHEALPPHPLGADGQWDRRRPLHHRRADGDCGGRVRRGVARQRPKVEGGRWQQEAVAELAQEKEAQEADQQELGEEAGAVLAAAQIRPPSEEHCVDPVARLARADPGRTEGFQEDRAAGRSRDARPVPVQGERRPPRRGQPALAANDVSRLSWTCALPTHVHTVLVWHIATTICEATSPPQEPLTGDRLIANSLSNYCAYLVAFVPDMLPGHSYDTRRIFDAVVMEPRDYLAGCDSLRSRCLKLLELQCSELTILGMGAKLGNELRYRVHGRTRRWKVLADFWAELVLFLAPSSNAEVHAEALTAGGEFMTHLWALLIHAGILERPSSHAAGGLGYDASTTTQDSDV >Et_10A_000389.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:7638731:7638943:-1 gene:Et_10A_000389 transcript:Et_10A_000389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGWGFIIRDQDGDCICAGRGVVRQALDPLQVELMACLKGVRTAMEMGISRTVLETYIYIAAEAGRGILLA >Et_9A_063311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19759552:19764173:1 gene:Et_9A_063311 transcript:Et_9A_063311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGARLPLGRGTALHFRFPRPRRFAAAAAAETSSLKNEDGNVFLHWLRGKAGTQISSVLTVGSSAFGRSLFAREPIREGDCIMEVPYDVQLTQDKLPRELHRLLDNVVDDTTKVAVVLMMEQHLGHIFWNLNELHMVQTSSIYKEVIEQKDLAKKEFSGVKPFLPELGGLREIPFADFLNHDGSSNCILLYDEQTDVSEVIAERNYAVGEQVMIRYGKYSNATLALNYGFTLSSNVYDQMTAEAARTDGRLARRPLKNTETEIYAHRMLLLHLNDKIQRNLKLLTNLHLGVFIPSEKRWLKIYLQASFAFCKALIHGLQNIVKV >Et_6B_048580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1162137:1165319:-1 gene:Et_6B_048580 transcript:Et_6B_048580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQRRPPSRLLHCYAAAAAVLLLLLAPSPAVAQAQRHRHHPAGDGVIISQADYQGLQAIKHELSDPYGFLRSWNDSGLTACSGAWAGIKCVRGAVVAITLPWKGLAGRLSERGIAQLVGLRRLSLHDNAIAGQIPSSLGFLPDLRGVYLFNNRFSGAVPASIGACLALQSFDASANRLTGPLPAAVANSTKLIRLNLSHNALSGEIPKDVVASPSLLFLDLSHNNLSGAIPDAFAGSPSPSSAVKAAITGSYQLVFLSLAHNDLDGPVPASLTNLKKLQEVDLAGNRLNGTIPPQLGSLADLKTLDLSGNALSGEIPASLDNLTATLQSFNVSYNNLSGAVPFSLAQKFGPNSFAGNIQLCGYSASTPCPASPSPAPSSPPQEGSTGGNGHGKSKKKLILIIVGIVAGALLLLLLCCLLLCFLTRKRSSSSTRAGTRSAKKQAAAGKEASGGRGEKPAAEASESGGGEVGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKPHKDFEAEAAMLGKIRHPNLLALRAYYLGPKGEKLLVFDYMPKGSLSAFLHARAPNTPVDWATRMTIAKGTARGLAYLHDDMSIIHGNLTASNVLLDEHNNPKISDFGLSRLMTAAANSNVLAAAGALGYRAPELSKLKKANAKTDVYSLGVIILELLTGKSPADSTNGMDLPQWVASIVKEEWTSEVFDLELMRDAATGNVGDELMDTLKLALHCVDPSPSVRPDAREVLRQLEQIRPEGGAGPSEEGHVPLSAGGDDE >Et_4A_034499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3901318:3907899:1 gene:Et_4A_034499 transcript:Et_4A_034499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMTNGKFLQKFRLYETKSKFYLIGRDKSRTLWRVLKIDRMESTELGIEEDPTSYTENECQELLWRIHEGNRLTGGLKFVTKCYGIVGFVKFLGPYYMVLITRRRKVGTICGHEIYSICKSEMIAVPSVIVWPNVAYSRDENRYKRLLCSVDLSKDFFYSYSYNIMRSLQKNITEKNTGQVVYETMFVWNEFLTRAIRNHLKSASWTVALIHGFFKQSKLSVSGKDFWLTLIARRSRHFAGTRFMKRGVNEKGRVANDVETEQIVFEDSSDEVPSQITSVVQHRGSIPLVWFQETSRLNIRPDIILKPDVDYKATRLHFENLTREKKPRESLLRAEFAKAIHYINKELPDDKRLKFLHMDLSKLSRRKGTNVLALLHKVASDVLELTEFLNCAITTSTGLDDASSGQGIVACDEESNDSQNISATKLVPLLLQKGVLRTNCIDCLDRTNVAQFAYGLAALGRQLHTLGLTEAPKIELHAPLADDLMDFYERMGDTLAIQYGGSAAHNKIFCEQRGQWKAATQSQEFLRTLQRYYNNAYTDPEKQDAINVFLGHFQPHQGKPALWKLDSDQHYNIGRQGTLNEEIIGRSKIKRSMSDGNILLENNVPVSNCNVGENNTELLPMQQLADMREPSDSAPEISVCESNLCSSTNYVTMTGRHSMSEERQNYLKRLGYPELHSSNFLDLDLLSSSGNSCDEEVFERSSLINSPMDVISVESSTSYSEQGHNDEGRDDTDLSRSSSQLSDARDYSDRFAQWVANGGMLCY >Et_2A_014579.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24943433:24944080:-1 gene:Et_2A_014579 transcript:Et_2A_014579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARVYSSETNAWGTAMTTAFPQFFYIEMSPSLLVREALYFIVENGRRIVKCDLARQCLFMTDAPGETSGIVVTTEVGELGFASIEGFDLYMWSLEAGVEGMAQWAQPRVIQLKTLLPVDVPLLTLDVIGFAEAIGTIFISTDFAVFTFELHSQQLRRVGKRGTYYSIVPYTSFYTPGNKPCIHIYNLINICLSMDGGSYFATYLVANVKHSFDT >Et_6A_047246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2901159:2915317:1 gene:Et_6A_047246 transcript:Et_6A_047246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFCPQLQEWNNSCNGSAAHPTSELTLSFSSPASTTSPATSSIFGFASNHRRNLMMNCKAWQFMPMQPLMNHRYDDATANSYDHQTLHANHSPESYSVSNSSEGGALMKPKFRELTAENLKTLCNALENCVPHRKDVVADIASAVLQCRSGMAKRTTRWHKEKPNMATWLLFHGMDNDGKKAVAQELARLVFGSYSDFTSISLDNYNLVHSKSSSGEIVLKRQRSLDNGHGYVQRLYETILENPHRVLMINGVDQLEYDSEISIRNTIKNGRVMSCNGDEISLEDAIVILSCEALDSRSSCSSPRLKQRAIDNEGDQGNDMNIEKGTEPSCFMFDLNACVEDGEGEERGASDNNVRILDVVDASLTTLGMLKGEDTLEGKVSNLYYRDDQKTSLVLLKAFQCGAVINSHDRNQYHKSLWGS >Et_3B_028484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1679591:1686407:1 gene:Et_3B_028484 transcript:Et_3B_028484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EKEERERASPPVSGRRNQISISAISQSSERAIQGERMAAAVASLYRRVLPSPPAVDFASPEGKRLFAEALAAGTMEGFFSLVSCFQTQSEPAFCGLASLAVVLNALAIDPGRRWKGPWRWFDESMLDCCEPLDKVKAQGITFGKVACLAHCSGAKVQSFRANRATLEDFRSHLVRCVTSQDCHLIASYLRTPLQQENVLQQVRDTKLFTMVHDQQHANKPCCNCPSSTSEEDSLTRIAAAVCCQGAAILSGNLASRDGFCCRETGLKCVQANGDGLKTVISGSVVSQGNEQGVDMLLPMSRPCTSSCKSSEITKYPSNADVLTVLLMALHPSTWLNIKDERLKAELQTLVSTENLPDDLKREMSAAMPSLYRRVLPSPPAVDFSSPEGKRLFSEALAAGTMEGFFSLVSCFQTQSEPAFCGIASLAVVLNALAIDPGRQWKGPWRWFDESMLDCCEPLDKVMSQGITFGKLACLAHCSGADVQTFRANRTTVEDLRRHLVRCASSQDCHLIASYSRKPFKQEIVLQQVRDTKLFTIVHELKSAKIQCCKSSLSTEEDSITRIATPVCCQGAAMLSGSLASSDDFCCRETCFNCVQANGDGVKTVISGSVVSEGKEQGVDMLLPMSPCCTSSCNSRSSNDITKYPSSADVLTVLLLALHPTTWLNIKDERLKAEFQTLVSTDDLPDDLKQEVRCRNPSIPICLLLLGSKMSSRQQEHNYFPILHLRRQLCYLKSCKEKECEDQDPVPPSP >Et_1B_010566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11692613:11701732:1 gene:Et_1B_010566 transcript:Et_1B_010566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPHERTGGSRYLRPPNPSPSPKHGSDRRRSPPASVSPPAAAAAAALGAGGSGTSSVGFRISGEENGSRTQEVGNISECHSSRHGNLDFPVDNVGPVPAYPDRPEMVGSLPAENSAMVSAGHPGNGAQIAARKNQAVNANHLLNFQYDPISRPQPRGPRTYPPRRQKKIKPYNKDLFLQANYKFVVLDTGNYQIESMDPDKMLQWDDIICVKYSSPSEVQCPICLDSPLCPQITSCGHIYCFPCILRYLIMGKEDYKGESWKKCPLCFMMVSTKELCTIQITQVQHFRAGDVATFTLLSRSRNSLTPCVKSSSSECASADEDESNVFSKFILTSDVELSVREAKSDLSNWLRMADLGLVDDLEKLPYVSAALEQLEERMKYWTEYRNYGSSPPLKDSFSPGSSYNSRNSFDGHASHQNSGHKLSPVSDGDMMTGISALSISLGSNKSSDKRVLPKMGEKAHIDSNGHDSFTFYQVLDGQHIILHPLDMRCLLDHFGGPDMLPPRITGKILELETVTQSETTRKRYRFLSHFSLTTTFQFCEIDLSDMLPPSSLAPFMDEIKKREKQRKRTAKKEESERVKAEVAAAVQASAMRFEHTNFSYSQNDFIFSLDDFEALGNNAGPSTSPPASERKLFSDVTRLGFASAQDSPPLRVETQDANGKNESARDQGPSATPSLSFASIISSSRATGVADNSSEMPKPNGVGKKGKKPTRIDGRKSITT >Et_8A_057470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3343316:3346891:-1 gene:Et_8A_057470 transcript:Et_8A_057470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPDGTVAAAADPPPPPPPVEWPEDDQLTRDWVAGLTSTLDWCSRHLPADQLPSVLPAPLVQRLVLKAASGLHQEPNLVRVDPRPGQSVVVVGDVHGQLHDVIFLLQDAGFPSEERLFVFNGDYVDRGAWGVETFLLLLAWKVLLPHCVFLLRGNHESKYCTSVYGFEKEVMTKFKDQGPPVYRKFLRCFEDLPLATIIAGCVYTAHGGVFRATIVLPSKRAKKGKKGRKYKADSTVDPTAIKLGSLDELLKARRTVLDPPWEGPNLIPGDVLWSDPSLELGLSPNNERGIGLLFGPDITQQFLRTNNLKLIIRSHEGPDARDKRHDLLGMDKGYTIDHDGECGKLITVFSAPDYPQFQASEDRYNNCGAYIVLNPPDFATPIFRSFEAVKPRPAAHPFYDFEEVIDSDEELNLDAMDSSTSNSSVTSANLTSNRWSHVPFAFEDVLFADEKPKLNEMSTRGKDEERISVSLKPNSLCKPRRLET >Et_8B_060629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3583944:3586288:1 gene:Et_8B_060629 transcript:Et_8B_060629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGASSSGRNVHSNTVSSTRTLLKSQALYKYVLDTTALPNEPECMRELRLLTEQHERKAMASPPDEAQLLRMLIKLTGAKNTLEVGVYTGYSLLATALALPDDGKVIAIDTNREWYELGRPFVEKAGVSHKVDFREGPAADRLAELLADEANLGRFDFAFVDADKPGYVRYHEQLLRLVRVGGVVVYDNTLWSGTVALPPDDAPQQLSDHDRRISAAIRDLKARLAADDRVEVCQLTVAETASPSAAASCDRRRAASAEQPRGVALFLLCYYSLFQCSFGS >Et_2B_019505.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:9664590:9664628:1 gene:Et_2B_019505 transcript:Et_2B_019505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGFSRFIDRN >Et_4A_035710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4068588:4070305:-1 gene:Et_4A_035710 transcript:Et_4A_035710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKHGDDSKDDLLLVRSVVYSEGDLALLSVHLPTRRTDYFVYKAGRRPSLRLLPVICSSEVDIYTAGLVPIGGDGEHFAVAAVSFDRMEEVYELNVFRSDHGAWTTTTLVFEIRYLSLELTKAISLGGGELGWVDPYTCILVCNVLDRDGPKARLIPLPKWLPSNQKNEQFVHDHRREYRDVVAGADGSITYVEIEHCYRRLEPRDVSTADELHDSELPLDDYAEPTPPRVEYLGWRIITWNRAASSTSWRKRGLIHVDDIVANDPGHAALLRPMAGDSDQSLMMLYRTTQVPSLNIHGGHVVYVMLNH >Et_8A_058320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4846672:4848441:-1 gene:Et_8A_058320 transcript:Et_8A_058320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEREELCWVVLKNSDIVPADVAAAAAVRGGQRLGSSPSIPTWARWILGGVVFTVVPFYNRVRHAEEETVGVVENAVEVVEHVAEVTEKLAANLADQLPKDGSLEKLVEKVEYIAEVVDKDAEKVEAIAEKIDKVSDEIDAAVEPVIEELEKEFDQSATSDSGSNSQN >Et_3A_024597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22435791:22439689:-1 gene:Et_3A_024597 transcript:Et_3A_024597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRMKRMLDDDGFGNEFNAKPVKSMKLSHFQVSESEQAAVLTSSDKDLHYESDPTTIQLDAQDIRVNEAGLHDLLGGTSIAIFEDLISEVAVSQNSEDDSGSYNDSSDSQLNVINYDKEFADQEINSSGHIFCAVNHEANWGLSQAFCSLLDSYNPEDDAFPFLLDNPSDLLPSYTGLCDEFAPIDTLINMSAKYGVFPLAEGANEVSIVNKPCSSEADMCFINSEVLEWLNPHLSEEGLPELIDFAELDSKATYVSDLRRRKVTLVLDLDETLVHSTMEHCDDADFTFPVFFDMKEHMVYVKKRPHVHAFLEKMAEMFEVVIFTASQSIYADQLLDMLDPEKKLFTKRFFRESCVFTDSSYTKDLTVLGVDLAKVAIIDNTPQVFQLQVNNGIPIESWYNDPSDEALPQLIPFLETLAVVDDVRPIIANKFGNTIDSC >Et_9A_061166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19837793:19838062:-1 gene:Et_9A_061166 transcript:Et_9A_061166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CRIYGIHDEAKDKAFELQLSWVCDESNRPRQKVMVAILRERRQAASLVLEEMDAD >Et_1B_009667.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:12875450:12877384:1 gene:Et_1B_009667 transcript:Et_1B_009667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLKSNLKRLPKREFKLSRDGVEGDDGVVGDVEAELPALLGDVELVDARRRHHLEAPDELPDDEREDGQREDDPGAAAAAHAEGQEPEVHLAAADGGVDVLLLPHEPLGPELLGPVPQRRVVGEPPRVDHHLGPLGDGVPAELRLLEVHVRDEQRDRGVQTQRLLDHGLEVGQLVEVGLRDGLVGGEGAADLVADLLLHVTVVDDLGEDPLDGPERRLDGRHVDVLDDVDDVLRRDLAVLLRLQDVVDRAPELVLVVPWRAHHLASLLDVVVVEEGEPPGLEIPAFVEVTAEKRPEDGDEVAVVVLEALREAAALGVELGGLHEHGVAHGLEPRVEHHPVHDVVQHELQPAPHHDARGLGDGRVGAQVGDDGVHLGLPHGAVLLHDARREEGQRHDAAHAAPVVAVLGEHHVGAVGGEDVEDDVPGARAELDPLRVEHLGGELWVGDHHQVAHPEAEAEHAAVHGGEARQEPVVQVVAHLQPVAEHRHGRRTRRRLFAGAAAAERREDDDDENGRRDEEDQRGVLGLEEEGGFGEPVRGQGGGRGERHPGQGHRHAACFAPALLLNTRSTGELFRWCDG >Et_1B_011710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25290019:25290898:1 gene:Et_1B_011710 transcript:Et_1B_011710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALEAASLARHISANSTTLPTSGRTASAVSRAPKRSVHANRSGVLVASKSLVPHNASVPCSQERRPPSMTSRYPTLSPPKKAFPLEHSSRAPSSASIAASISFVPSAFSSSVSALNVCTHAVTSCTRMNDVCVSITDQFNTDERMIKPCRRCRQSRSAQWRVGVGRQGGGRERSLQRPRQDGLAVVDENGHLLVHRVGREEEVAFVIEVLLNVFIGHALEMECNSHSANPHTCPCAQQLQLIPSSHFLAARFLYR >Et_8B_058559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17694249:17694649:-1 gene:Et_8B_058559 transcript:Et_8B_058559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVCANTWPPAGFFGSVMNIPPPESAITWLVMYTATLNSSAIFVRQDI >Et_8B_059801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2896340:2897378:-1 gene:Et_8B_059801 transcript:Et_8B_059801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTELKVEMVALHEKRVRKCLSKVKGIERVEVEASIQKVVVTGCVNRSKILKALRRVGLRAEPWSPHNELLSAYAAGSFMFNNYSFF >Et_6A_046020.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:19014977:19015207:1 gene:Et_6A_046020 transcript:Et_6A_046020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GTACWLPAVRSPLTAEAEACRAGVQLLAGNSGAKLIMETDSKTLVELWRNRDLNNRSEIAMILTDIQELCTVLLFL >Et_6A_048171.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:8706301:8706525:-1 gene:Et_6A_048171 transcript:Et_6A_048171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVEFVNKRPNNTVGNKFLAALATGSNKRICVPPRSPRFGLMIGHSRLASKLVRKEPFGPAILGTGVARVSR >Et_2B_019356.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25191048:25191260:1 gene:Et_2B_019356 transcript:Et_2B_019356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWGTAVAVSTVAGNTFDDATQVIAGLLLWLLGVWLLILVPAAGRLPQAAIVAAARAYDFTKRLFTPLNC >Et_10A_001585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5519900:5527569:1 gene:Et_10A_001585 transcript:Et_10A_001585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EFKQKRSRPWCPGSVISRTERSTYVSELVVGLTYAIISRAAFGNKLGGMAPGKVREMMDEVGQTIARMASQLKGVFERALREHEKSSGNDDDGDLLDDLLSIVNEGTDTTSKWIEWMMAELVKNPKEMEKVQAEVRQVAGAQGVVRWRGTAACDEPTPCMPP >Et_5B_043775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15918248:15921984:1 gene:Et_5B_043775 transcript:Et_5B_043775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTISLREMFLLAIIAILSVTGAAAAASHPHPLDPLSAAELTAVTAAVFATPLVTARPLTIHYVGLDEPEKNDILAYAYDRRSPALPRRALVIARAGGESHELRVDVTNASSPSVLSHSAHRGGGFPRFTTEELVASAALPPTYPPFVESVRRRGLNASDVLCGMLPKGWYGGGDDARLAKLQCFVAGGTTANYYARLLEGVTMVIDVDRMVVVGYRDRLVQPVPKAEGTEYRADKVGPPFTGPVTVPGVVVQPEGKGFQMDGRVVRWANWEFHVGFDMRSGTVISLATVHDAEAGSRRRVLYRGFVSEIFVPYMDPNEEWYFHTFLDAGEYGFSAVPLQPGADCPANAEYLDGDYADQDGKPVKAEKMICVFERYAGDIAWRHTEPALGPQLVRPDVTLVVRMSISAGNYDYVLDREFKTTGAIKCVASLTGILEMKATSYTHTDQIKEDAHGTLIAENTIGVYHDHFITYCLDLDVDGTKNSFVKNTITPMRNTGNLATGGADTPRRSYWTVRRKVAETRSGTLHEEAYNKSEKWASGLYAEQSTGDDNLAVWSRKNRRIKDEDIVLWYTIGLHHVPCQEDFPVMPTISGAFELRPFNFFESNQLIRTRPPKQGHSPNCSCGST >Et_7B_055259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8807457:8808880:-1 gene:Et_7B_055259 transcript:Et_7B_055259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSSTSRLRREAAARAVAALLRWLRHHPTPAPEPIYLIVALKRAPVRRFEDRFRLPHSPFPSISLVSDRHPDELPDDIDLLPSAALRSLPAAARRGLVLVDSRIRVPSGKAAAKGAPPVPVDLADPAWAESAREAARFVELRVEGGTCRSVRVGHAAMPLEELVENVASAVEAAAACVPKKWRNVRALHVKSPESVALPLYSAPGTGGDGDVEDAKQESAAAAEEEKQGKAKRSKKSSEGFDVLYHCICCCLIDVLQKFVLKLNRSAELMLVPHSPREIDGKQLPACVLCISPAPYSTAGGVHQQFNILIILLKLKHMIQWCGLLDTRVVQQQEENDTRISGRGN >Et_8B_060455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16910807:16911814:-1 gene:Et_8B_060455 transcript:Et_8B_060455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLCSVSDAGRASSAARPFAYQQGGAEKKMLFVCSLGSARVFGGRALAAVASCFGISNSDVDSGDRAFPCSCACSKKKEALACCLAMEEQQTDGLESPNGSDAEVLEYLNLDGDGRENAEGE >Et_8B_060547.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20598662:20601295:-1 gene:Et_8B_060547 transcript:Et_8B_060547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHHARASYLLFFLLRLRISSSRLLVLFLVLLAGVLDGCSATRAFSTVAISHAPNSTLICALVRTSSDATASGGSGLKLHCTSLPGGEQFVYPSADIPYNAIAAGTDFLCGLMAPHGGHAAMRWWSFSEEAAANRSRPVGRRLYWGPSLRALSAGGPHVCGLSDDHDPACWEWPDLKLPKGLDFSRIALGQDFLCGVVNGNDTAMRCFGGMRTPSLVAPTPAAFKTVAAGHRHACAVDAEGGFVCWGDGAPKVPADELPESMLAMALGRNTTCILAGDNTARCWGAVRVPARYAGTAFVAIEADGDAVCGIVMSNYSVVCWGPGDRFVDGGAHLVYNDTMPGACVSRKNCPCGVISGSGALCGNGGGEGSVELGVCQACDLKLNSSRIVIANGMTKNSNGGEGGGGNEKKKHALVVALGAAGAGAAVLAVVGTAFYLVAVRKKLDISNKKKKHAVIVRRLGGESSSSRLCRDLEAVVMPSSPPRHARPLGCEEFTLRELSRLTNGFADESKIGSGSFGSVYRGKLPDGREVAIKRAERGGGGTTRRRGLRRVDAERAFRSELRLLSRVNHRNLVQLLGFCEERGERILVFEFMPHGALHDHLHGEVTSSPLFASWEARLRVALDAARGVEYLHCYAVPPIIHRDVKPSNILLDADWTAKVSDFGLSLASSGGGGGSSSKTSTATTSTAGTVGYMDPEYYRLQELTERSDVYSFGVVLLELVTGRKAVHRTSQQEGGSGSPRNVIEFAVPAVEAGNIARILDGRVPPPRGHEVEAVARVAKIAAECVRPRGRARPIMSEVVAELEWAVTLCEECVLTAGQGQAQYSSRNAGSDLSRSRSRSESDDPSPFHTRELGLGFGFGLSTSRSVTHGRSHSAV >Et_10A_000816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17766401:17770493:-1 gene:Et_10A_000816 transcript:Et_10A_000816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVCHGWQGYMLLACQAHFPSLHPPPCDITNHPNNCTPATSRNLSLLHLGLYVIAVGEGGLRVCAAALGGDQFDGDDPEELRGKISFFNWFAFCISLGGFVGLVVVVRVQNNEGWDLGFALAALMVLVGAAVLLGGLPFYRHRVPTGSPLTRILQVFGAAFRKRKLWLPEDLMEMHEISEGSGKSVELLNKTASFKFLDKAAVDDGDARRWSRCTVTQVEEAKIILRMVPIFLSSVLGYVPIPLLLTFTVQQGGAMDTRLGAVTIPPASLFIVPVVFQMLILAAYDRAAVPWLRRATGRAGVVVTPLQRVGAGFASTAAALAVAAAVEGLRRRSRDASPAAPAMSVLWLAPQFFLLGVVDVTSFVGLLEFFSGEASAGMKSIGGAVFFCVLGAASWLGTFLIRVVNRATARRGGGGRHGWLDGAGLDAGRLDLFYWLLALFGLVSFFLYLLCACRYTYRRDPRMQSAMEDGKVSPATTMTKQSTTEDDKVSPATSKRRPRTMALQGLEDWRGRPVNQKRHGGVKATLFIHCKQQHLSDHHLLQLKSKLNS >Et_6B_050173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8945093:8947813:1 gene:Et_6B_050173 transcript:Et_6B_050173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDLVCLNDKKEPTKPRAVDVWKPPDTGWAKVNTDGAFEAKTGKGAAGAILRNERGETLAAEGRKYEHLADALTAEALAARNEYSSIHRGTLQLTPPNALCSSN >Et_2B_021979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7654933:7660616:-1 gene:Et_2B_021979 transcript:Et_2B_021979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERRLTELGRKLEATPPAPVDTLTDLLEQVLECLYGQKKSTRSSVMSAMQPTLKAITREELLKQEDEDVKVLLAFCFSEILRITVPDAPYGDDVLRDIFYLIFDALSGLSDLHSKFYKRRVSMLETCAGFRFCVLMLDIEGDDLITTMFRTFLEVVSDNPDKRAVKLMQTIIASTIEESEGREESLVSALFTALGQRETGTSMLANKFARNVIELTARELEPYFKKCLISSLTGNVSSSNANVDLYRMIWEVFQCAPKVLDVVVPYITGELQADQVHIRSKAVELLRELFSLPGVSFLEHFRPLFSAFLNRLTDTVVEVRISVIKHLKRCLISNHSQPGAAESTKALSSRLLDHEESVRKEAVAVLSDVACHSLSAVPVDIIKAVAVHLHDKSVSFHLLFPLFFPSNLICMIYLQMYCLFLKNVQQTVKCYTMERLTDIYKVYWLRSSDGLTNSHDFEWIAGKIFRCLFDEEFGWHTHGD >Et_3B_030150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30962220:30964688:-1 gene:Et_3B_030150 transcript:Et_3B_030150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTVSKLAAPRPAAAALLPPAGVRSAALAFAPSPRRVSVSIAGRSRSPIIAMASAKEGNGAPTKRTRLHDLYELQGLSPWYDNLCRPVTDLLPLIASGVRGVTSNPTIFQKAISSSSAYDDQFKQLISAGKDAESAYWELVIKDIQDACKLFEPIYNETDGADGYVSVEVSPRLANDTQGTVEAAKWLHKVVNRPNVYIKIPATAECVPSIREVISNGISVNVTLIFSIARYEAVIDAYLDGLEASGLSDLSRVTSVASFFVSRVDSLIDKMLEKIGTPEALALRGKAAVAQAKLANQLYQKKFSGPRWEALAKKGAKKQRLLWASTGVKNPAYPDTLYVDSLIGPDTVNTMPDQALQAFIDHGTISRTVDANVSEAEGVYSALEKLGINWDEVGKQLELEGVDSFKKSFDSLLVSLEEKGNTLKTANV >Et_8A_058151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2249788:2253991:-1 gene:Et_8A_058151 transcript:Et_8A_058151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRHAATAAAVALAVLLAAAATLPLPAAASGSPAEGLQPLSKIAIHKTTVEMQPSAYVEATKPLLGEQGEDTEWVTVKYGRTNQSVDDWIAVFSPSNFLSSGACPNPTRYPGEPLLCTAPIKYQYANYSANYIYWGKGSIRFQLINQREDFAFALFTGGLENPTLISVSEPIAFKNPKAPVYPRLAQGKSHDEMTVTWTSGYDISEAYPFVEWGLVGGAQPTRTPAGTLTFNRGSMCGEPARTIGWRDPGFIHTAFMRDLWPNKEYYYKIGHELPDGSVVWGKPYSFRAPPFPGQNSLQRVIVFGDMGKAERDGSNEFANYQPGSLNTTDTLVEDLDNYDIVFHIGDLPYANGYISQWDQFTAQVAPITAKKPYMIASGNHERDTPNTGGFFDVNDSGGECGVPAETYYYYPAENRANFWYKVDYGMFRFCIADSEHDWREGTAQYKFIEHCLSTVDRKHQPWLIFAAHRVLGYSSNSWYAMEGSFEEPEGRESLQKLWQKYRVDIAFFGHVHNYERTCPMYQSQCMTAEKSRYSGTMNGTIFVVAGGGGSHLSDYTTAIPKWSVFRDRDFGFVKLTAFNHSSLLFEYKKSNDGKVYDSFTIDRDYRDVLSCVHDSCFPTTLAT >Et_3B_030950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9345780:9348213:1 gene:Et_3B_030950 transcript:Et_3B_030950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGIPHPSSGYEASTSGTIDRLPEVMNGMSIRDDKEVEAVVVNGNGMEVGHTIVTTVGGRNSQPRQTISYTAERIVGQGSFGVVFQARCLETGERVAIKKVLQDVRYKNRELQTMQVLDHPNVVCLKHYFCSTTDKEELYLNLVLEYVPETVHRVIKHYNKMNQRMPLIYVKLYMYQICRALAYLHNCVGVCHRDIKPQNILVNPHTHQLKLCDFGSAKVLVQGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQVLGTPTRDEIKRMNPNYTEFKFPQIKAHPWHKIFHKRMPSEAVDLVSRLLQYSPNLRCTALEALIHPFFNELRDPNARLPNGRSLPHLFNFKPHAERTTNGVPCEINSSACQEAMCLLGNVRKMSPKRTQFSDCKCHIYVLSRTAE >Et_4B_039833.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3555017:3555412:-1 gene:Et_4B_039833 transcript:Et_4B_039833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAAGQEVAPAIPAAAVEVEEWEVCACCGLREECTPAYAAGVRARYGGRWLCGLCGDAVGEEVAAGGGSVLEVEAAIARHAGFCRAIGGRRTPPVAAERLIAAVRRLLRNGSGKEEKAVVVVEFQEA >Et_5A_041991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4967729:4975550:-1 gene:Et_5A_041991 transcript:Et_5A_041991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFISLLARSGLHDSEPSQLKKCPALSDLQVGPSSGTRNPHAKRAEQELAPGSPSGESSDSEPTPPSPTFPDSSRRPFRSRHSAARSDRRRRSMAAAAARAPPRAAARVGLLYDDRMCAHATPDGEEHPENPERLRSIWRKLNAEGVASRCVALKAKEAKDKYIASVHSQSHIKLMKDISSKKYDSSREKIAKKYNSIYFNKGSSESAFLAAGSVIEVAEKVAAGELSSAIALVRPPGHHAEHDDAMGFCLFNNVAVAASYLLNERADLGIKKILIVDWDVHHGNGTQKMFYNDPRVLFFSVHRFDFGTFYPGEGDASHVFVGEEAGEGYNINVPWEHGKCGDADYIAAWDHVLLPVAEAFDPDIILVSAGFDAALGDPLGGCCITPNGYALLLTKLLGFAQGRIVMALEGGYNLRSIANSVLACAKVLLGDKFTFNSQEMQPFESTWRVIQAVRDELKTCWPVLSSKLPDNVSLRKSPSPSELYASSGSESDIEDVDELYGAVSSVNVIQAPDDVLGEGLLKMKLDEDSLAIKTTPSDLTAEQHPTNSVEAHNEASVVVSKRISDLSWRSVLSRTYVWYASFGSNMWKPRFLCYIQGGKADGMSIPCHGSLDPSSPSRTMWKTVPHRLLFGRASTPCWGTGGVAFLNPEIDYNEKSYVCMYKITLEQFNDVLFQENRLVLDNSDGGNVEYPDSPLIGSSEVKLMATNKALHLEPIKDSWYSNVLYLGKEDGLPILSMTCPSSDIERYKSGELPLAPPSKTYAATLIRGLVEGKQLDEVEAASYINSAAARAL >Et_4B_038756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4652314:4657678:-1 gene:Et_4B_038756 transcript:Et_4B_038756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAPLPSRRSVFDASYIRSEFAAAGISAHFIPLIWKYVLQNPRCGDLDGVPSLPTAAYALLRQKFRPTTSILTATADSKDRTTTKLLIRLQNGESVEAVIMRYDTTLGKYDGKPRSGGVRSTLCVSSQVGCKMGCRFCATGTMGFKSNLSSGEIVEQLVHASRYSQIRNIVFMGMGEPMNNYNALVEAIRVFTESPFQLSPKRITVSTVGIIHGINKFNGDLPNVNLAVSLHAPDQDIRCQIMPAARAFPLEKLMKALQVYQTESKQTIFIEYIMLDGVNDQEQHAHQLGKLLETFKAVVNLIPFNPIGSSSNFKTSSEQNVKKFQKVLRGIYNIRTTVRQEMGQDIAGACGQLVVSLPDERSAGGATLLSDIEDLRI >Et_8B_060355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:171430:175444:-1 gene:Et_8B_060355 transcript:Et_8B_060355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGWDDQGSSDTKYRGVRRRPSGKFAAEIRDSSKQSVRRWLGTFDTAEEAARAYDRAAYAMRGHLAMLNFPGEAHNYVRGSSSSHRQQQRGGGSGGSGRQVIELEYLDDQLFSYCLGHMHGEVDAKDGRDSDEKGTLVFSSTGVTEWTAGGEPRRGLDRKKAMTNGSSGATADY >Et_2B_021624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3768699:3769894:1 gene:Et_2B_021624 transcript:Et_2B_021624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGNVQEDASGADLYAVLGLKKECTDAELRVAYRKLAMIWHPDKCSASGSSARVEAAKERFQAIQGAYSVLSDSNKRLLYDVGVYDTEDDEDDLSGMGDFLGEMADMMSQATPTESFEELQQLFVDMFQDDIMAGICSGPRPGQRAQAQTPPCTLVPSSPLRPPFGRAKTQTPPTRNGFFDRRSASAVGSGKTSKPGRAGLSPGLGLSGFCFKVSYHSPASFYLPIVISSEN >Et_1A_007747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37844392:37859900:-1 gene:Et_1A_007747 transcript:Et_1A_007747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGEYCFVVWMVAIINGVFFGGASFLLYTIGRLARSHDTGRAIGVSVALVFWVGFCSIFYCAFCSGLGVRNLAIDRPVAPPDPTAPPITLPPSFRPPRQRQPSVSHGGGDADEWWWLQPEVDDVDSPRHVDMVALPREPPVLAVHIPAYEQQDATRPDGALECCVCLGEVEKGEMVKRMPRCLHLFHQQCIDQWLREKQTCPVCRSTRSTARSAAGSGSALWHTPVRRWVGSRVVLLIDIPEYEQLDAAPADEGATSKCPCVPRLGVEEREVLMPAIIQGVFYGVAGSLLYTIVRLARSHDTGGAIGVSVALVLWVGFSCVFYCAVYPGLRVRSTATAPPITLPPRDWRWREPEVDSPRHVDMGALPREPPLRPLDIPEYEQPEAARPDDGASKFAVCLHLFDPLLRDNPTCPVCQSGVLAPLPDGMAITNTLFFTATATFLTYSLVRLALGAHSHRTLVIAVVTIFLAIWFTAGCTVYLAFCRTLYRRGVDRLLSLLMCGNARAWLQHTRLHGASPQFLLGAEGHLNLMDVLLREPLVSGRARVAAACDFSSGTVPRDRRTAPRSASCASARWRRGGGEAAARQLDVPLLSVRRTRATAELNAMDWKTRVSGLAVATTLCIGGTTLLIWWVVDLAREHNKGGALAALCVVLVFWVGVSACMFPAFCAVFFPWSAVAPSLDPILRLLRWSLGGVGRLLSSLCGDAVAWLRHASGGGASGGGALPRFVARAQGRLMNVLHREPPVRARARVVAVGDIPAHEQRDAARPDGASECCVCLGEVEKGEMVKRLPVCLHLFHQRCIDQWLRDHSTCPVCRCNVFAPLPEQI >Et_4B_037774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23254200:23254848:1 gene:Et_4B_037774 transcript:Et_4B_037774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREQYLAPRSNSIVILTIFRVYSHFTEMRHVPIKQSTGFLACPLGHYAQLQEPTGMHANLS >Et_3B_031448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29998885:30001611:-1 gene:Et_3B_031448 transcript:Et_3B_031448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEFHRPREIEKCEAGGEAELVELAAAARDEQERQEEEEAPPPWREQLTARGLVAALLIGFVYTVIVMKLILTTGLVPTLNVSAALLAFLALRGWTAALARLGVASRPFTRQENTVVQTCAVACYTLALGGTCVWSSSCSCSLAQAQLSSARRITRRVLAHVSTHACGFGSFLLGLNKRTYELSGVNTPGNVPGSTKEPGIGWMTGFLLAVSFGGLLTLIPLRKVLVIDYKLTYPSGTATAVLINGFHTPQGDKDAKDSDLTNIANFRKQVHGFLKYFGISFLWSFFQWFYAGGDVCGFVQFPTFGLKAWKQSFFFDFSLTYIGAGMICSHLVNLSTLFGAILSWGILWPLISKHKGDWYPANVPESSMTSLYGYKSFLCIALIMGDGIYHFAKVIGVTVKSLHQRSKGRKNKHRGANEDHGDDPRLDDAFNRDTIPAWVAYSGYALLSVVAVVTIPLMFRQVRWYYVVLAYALAPVLGFSNSYGTGLTDINMGYNYGKVALFIFAAWAGRDDGVVAGLVGCGLVKQLVLISADLMHDFKTAHLTLTSPRSMLAAQAVGTAMGCVLSPLTFFLFYRAFDVGNPDGYWKVPYALIYRNMAVLGAQGFSALPRHCLSLSAGFFAFAVLTNVLRDVLPSRYGRFVPLPTAMAVPFLVGASFAIDMVIGSVVVFAWNRVNAKEAALLVPAVASGLICGDGIWTFPSSLLSLAKIKPPICMKFTPGT >Et_5A_042140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6718629:6724724:1 gene:Et_5A_042140 transcript:Et_5A_042140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIRDGRRGGVSVGGGPPPPRRRLRSNGGGGGGGPRDSPRSERRRGERLMLNGGGGGAGRDDGDDTSDDSLGDDDDDAEEELAPRYHPTQRRSPSTAPPPPSPPQHGGGHHHSSSSSGGGGGGYHNHHHHHGQPQQMQRKGGGSSQKSPIVLKAADEMIGVPVPRKARSASTKRSSHEWPVPGGGSSGGGGGGGGGAGDGSQIQRPSSRPISPASATTTVPARKKLKPLGGGGSSGGSGPAPKQRPSPSSAPSTTPPQPPPPKISKSPSFIQEEIEVAEVLFGLTRQFPCPPPKQENNHKLEHRDAPEAKSGNSSPAPSSSGVRPSDSTSLATIAPKRKRPRLVKYDEDSRPASPAKPETAEPSSRQEAPPASRSEAKASTSAAAESGASAAPAAAQHDAPREADKAEDRGRSRYSELRASESDRRDHRPESRPEPPAAPSGKAEGEAKPVGSEAARNGEATATTKIELASDGARQEKFCIDLMAPPPGKLSPDRDGASDPDADKKGLDSEIDMLGRANSEKKDGERTRRGLDIDLEDQKVQRIPVDELAPRKLTLQLDLEKPSLGEEKSPSERRQPQPAPLQQQQKSSKSEIKHEKSAMPAVTPPMPIPVGGWLGSFPPFGYLGPVPGLSAAGLHHPMDVKPGSSSGLPQAALLTPPTRSKRCATHCFIAQLIQYHQRVAKMNSFWPPAAAAAAAAAANRSGPFFGPRPFNMGVVPPTDAASMLVNPMQGSYPVRAHTPLQESKAPPMASSPFPGSLSKDKAALGNATGAESSQRKQPSAHETQQSTPMPNMLQGPAFIFPFNQQQAAAMAAASAANRAGDAKSSGASNAMPPSANAHAAAANPGAAAMNLSFANLQPADAQFLAILQNGAYPFQVAAHAGGPPSYRGPAGPAVPYFNGHVYPSHMLHPSQQQGAHQQNLQKASMPSLSNSSQKHQPQQSQGLLGYAPNANPAAAAANNSQNYSSGNQRSVLLPGLTHRQENDKTGQDGPSSDDKHHNFPVPVHLPNFAFAAQGAAGSQSEKKLSDHHNQQQQQQASRGQGVRIDLGSSQPFVMPFGSIGPPGSASTGLDFSSLAQNHAIFQSHQEAARHGYPQLNFAAVQPAQATQHKPQHQITGETKSVAGDSSSTPSTGDERKKSASTKYSGDSQQHSLSFSRTESKSYGPPFLSGGTNESTSRTLSLIGAESPNAFGGSKSTSSTPGSTPAAVSSSISQMQQQQQQHFLQIHQKNQQQFIQQHHLNRPRSAAPSTPSNAGGYPNFQSMMYPSGAAQGGAPAQSPQLKGSSARVAASTAAATAPVASPSNLVVMKNSGLHQQQGKAPMQALSSPGHQSQSSLSLSSSKMGPSITNMSTGGGDLSRSSNAGSPSNSVSKSTGGSPPAPGSAKGVQQSVQLSSPQQSAKNPTSTSGSKSTNSNHYNMPMPSILGQQPSVSPASNPGSKQQSHMAPTSLKQQPFQQGHFFISNTYAPQPPGSPASIALFQKRLADKTQQGSHQQNAMSGMSTMLSLCSGSIMSISVVTISTDSGNALAAASNNMKALHPPSGFMHLAATAQSAGGVPHTHMSAAQFTFGAMPMPVKPPSDQKPAAVTNLEAHTLKSDGKLIATGGEARTAAVPLGRGRNYCNNCSDDSGSKMPLGCCCLDG >Et_5B_043374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:75321:78853:1 gene:Et_5B_043374 transcript:Et_5B_043374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTTQSKWLDANLQEMENRVKIMLKLLGEEADTFGKRAEMYYRTRPEVISHVEQVYRAYRALVERYDHMSKELHKANHTIATACPEEVQYALLEEEDDNFPKAITPINSNKIHKSTVEEILKRKREGLSGRNKGWHQGSAPHMNEETAQEEISRLQKAILVLQTEKEYVKSSYENGIARYWEIEKQIADTQEEICHIQDAFDTHAAIEDDEARALMMLTALRSCHGTVAKLVDKFEELIRIAVMEAATTKSLRAHLHAMNGNADISSGEAVSTDMSVNKRVYPITQEILQLQPIYEKMEKFLANSSESSVEEMAEKVDELVDKVMSLELKFPKQSAKIKQLRQENENLKNKLDELQDEMALRDDPSDLNEQIKLLEDEFNRIRALEISIIEEEGFVGTAFSEVFSCVTGIATVLVSSEPAELYNMSTASVNGEMISLNVGLESNTKEGNLLKNEEYRGIEAPRTSKSLCRGREDVSEVVDDNSSCGTECIREEKIPRYNCLKDSKEEDSLEDTSLLKVDCSCFSGADKSLDFHHTDESKSVQELPENGGQLNAMQNIESLNKYSKVESPEDGCIPLHVDNIQDLRNTIPVDKYSTEARDEPSICMTARGSEETGRLHQQATLVPKDSENVASDIFHSQLEKKSSNGEEHASEAITLSNHGGKGHGELTTLTGGVSSWQEFLLDGLEGREEILLADYTSVLRNYKETKRRLAELEKKNQEHLEETKAVIRELRNANSMKYIEIRSLRNLVDSSEMQLSKADCDPTGFLSTRSFRETVTSNSTLDSEISTVEGINVSHIEETKSTSPFEVKFRNEIDALVDHNLQFVVRYSMASHHMQDFDRKYQEVLNEMANMEDKKTGESDTTTESEPAEKKLRELRTELDVWFEQNALLHQEVQLKTTSLCSLQEEIAEALRCSSEIDGTRFTPYEAAKFQGEVLNMQQSNIKIESELQAAQERMRELQSNVNDDLRKLHESFELSSRRLSQTETESSYRNQFKHFPSKSRVPLRNFLFGTKRKKKSMFACINPTLQKQFSDL >Et_9A_060933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19194355:19195008:1 gene:Et_9A_060933 transcript:Et_9A_060933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RAAAARAAAGRAAAAAAEPVRVAEAPRLEHVPAVPAQPPAAADADAVQRRARDRVPQVPGPVRQDQGARGGLRLLRPAQPAGAVPVPAAPGVGLPRRAHRPPPRRVRGERPRARVQPLRRARRADLPPRGPRRAGQGQGHTLREEEAQAHGDAGARRRGGLIGGGRRRRRVQYWWWQRGGGCTVVWPGGRDRWRCCYNHCCCTDGDHHPS >Et_4A_035078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9223336:9226143:-1 gene:Et_4A_035078 transcript:Et_4A_035078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSGRPSTDEEMVEQVLMKPHGRSDDSLLTCADSACPGEPTSAPVAPKKWQRLGRNFAGAIAAFKNTLNLDGGGLPRDPSPRAEKPPPLLLRGLAQLYSRGNANQQLPEKLVADLRRHFDALPNSYAQAGFDMKDVLLHARLVEQATGEDQPAVSIEEVHGSNGRGGGDEGTVFQLTFACVAPLSWQSMSGSLDSPLFCCKKIQIFEKRGLTLGVVMILVQSGNETLFKNRVESVLKTLVKKQRKNSGGVKLPFGLCGCQEEGSRNFDEESMFDPDDGQVLDNEPTRKPQLPTALPQSSVFVSVDEWQTIRSGGEELGRWMVRSEEIEFIDWVGANSFKGVYKGKKVWVNKLRGCDMGSAYDVEIRQDLLQLMSCGQRNILQFHGICFNDNHGLCIVTRMMEGGSVYDIIMQRNKRLSLRDTIKIALDVADGLAFMNSYGIAYRDLNSRKILLDRQGNACLGDMGIVTPCNNVGEVTEYETSGYRWLAPEIIAGDPETVSETWMSNVYSYGMVLWEMVTGEEAYSTYSPVQAAVGIAACGLRPEIPRDCPHVLRSLMNRCWDNSPLKRPQFSEIISILQRQNFGLAICLIMQETAYEKI >Et_4A_032331.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30305161:30306042:-1 gene:Et_4A_032331 transcript:Et_4A_032331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLIVERPQVEVDRCEATIHHEVERADVPRLVARQVEHGLGDVLGHGIDALEVGLAADEGQEVSERVLGARALWQVRHGQRRGHRVGRHAVDAHAVAPQLGGDALGEPHDGVLGRRVPVRAQPADDAGHARQRHDGARLPRDHDARRVLHAQEHAVHVDVEHLAHLGRVHVADIRHLVARDAGVVDHDVQGAAEPLRDGVDGAAHVLLDRDVAADEHDVLPVASECVAQLLPCVVIDVRDADLGAVLPEETNDGFADARGAPGDQGDLPFQPVIQKSTHDQIDSTAIRFSTS >Et_7B_055248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8677415:8683006:-1 gene:Et_7B_055248 transcript:Et_7B_055248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPATPRWNLERPYLTGRFHQETKAAAAQQGPGSKSFSLDSYSRGAGAGSGSVIGSYAVSVQELFVIDDLLSALVGIEGRHISIKRVRGKEGYVVFQVDSSMDLALQELTRRIFPLCENFVLVSQFVESRSHFKNGLVNHALAAALRAFLLDYQAMVAQLEHQFRLGRLSVQGLWFFCQRMMSSLNALAVLVEKATSNNTSGSATLNLLQSQAKAMGGDSAVRSLLEKMTESASGAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKDGIPSFLTNVAATILTTGKYLNVMRECGHNVQVSLSESSKLMSFGSNHQYLECIKSAYDFASGELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEEISAEKLQSLLDIALRSTAAASDPSHEDLTCCVERSSLLKKLTTLKDLDCAYPSDKLAAADVDQTMQLSITGLETFFLSYKVQWPLSLVISRKALTKYQLIFRLLFHCKHVSRQLCTAWQIQQVFRPVKILGTPVLRSSILCGNMLKFVNSLLHYLTFDVLEPNWHLMHDRLQSARSIDEVIQIHDFFLQKCLKECLLLLPELLMKVEKLKALCLQYATSIQLLIPSIEVANPDNTSKPGKSRSKINKSREKDQQLKLASENVVMSESILKFEAAFNSELQSLVPTLSNSSQAEPYLTHLAQCILGMRLDQ >Et_1A_009525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7164657:7167331:-1 gene:Et_1A_009525 transcript:Et_1A_009525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANKYAERQPIGTAAQGAGDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFIATFLFLYITILTVMGVSKSNSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYMIMQCLGAICGAGVVKGFQQGLYMGNGGGANAVNSGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNREHAWNDHWIFWVGPFIGAALAAIYHQVIIRAIPFKSRS >Et_5B_045781.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:9728726:9729448:1 gene:Et_5B_045781 transcript:Et_5B_045781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADVSDAGDHPVLRDDERGTPRALPLLSGLVEDNARRFAAAAASGPAESALVRAFRGGAGARVPTISILEYLQRVHQFISLESVRHEIRLEGTSYVLAGIYLTRFMRSPAAQEAGLLVEPTTAHRLVAVALFLGAKFGGPDDTLPKRWTFVFEVSSDGAIRAREIADLEERFLRAVDYRLFADGVEFDWFCGVLEQAPPVPSGSCCGSKRKAEVVEAEGKDERRCVRACLPPPAIIAN >Et_6A_046141.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:3516522:3516551:-1 gene:Et_6A_046141 transcript:Et_6A_046141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAESAHI >Et_5A_040395.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:19264027:19264437:1 gene:Et_5A_040395 transcript:Et_5A_040395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLVQVLILFSVVTALVVVVVSAAAAQTCEPGRAFPHDPLPGCRAYVLRRCGGDDPPGVRVRCCQQLREVAPPCRCEALRVMVDVLVEEEAAPPSCRKAAMADIAAALPAQAECDLEAPPAPFGGECPLDAAE >Et_4A_032005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11613766:11614707:-1 gene:Et_4A_032005 transcript:Et_4A_032005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTPTQWSFHLPLPDGVSGAESLAFDRRGQGPYAGVSDGRVLKVPSQDTESMCGRPLGLQFFAKTGDLYIADAYMGLMKVGPDGGEAEVLATQADGAPFRFVNGLDVDQATGFNTEVMMNADSTGRLLNSDGTHVVVAHTVPCQAFRFWIKGDKAGQYELMADLPGYPDNVRRDSKGVRLDKDGAEVEELTAAKGVTLSDVAEKEGQLWLGSVELDYVGLVR >Et_6A_047351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4283899:4292519:-1 gene:Et_6A_047351 transcript:Et_6A_047351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLPEPPTESSASLAPRWATLHRYASWRSKGAPIADVDAKTLAVSFTSTGQPFRVCFHLAPPPASSSFDYDWAGFSPAEEEFFQEPNVGAAHGDCVLFEVVAQHRREDNFTCINYFLYEAGAGAGARPPSLSLLPVCYFEPLYERHRRRHQHDDDEYGYREEEEADDDDDGEESGDGGQGWCPRARLYEGGHRHPPPRRRGRVVASLDMPRWQDRRDAAELCVLRVGSGESWELKRVPVVFDAANSGGQEEERHGLQRWWETDAVVPVAGRFLCFVDYVRGFVLCDMDADSSDSLALRYVPLPVVVPRGNPDTDDYGRPNMEHSRAVADAGDGAVRFVGVEPRCCCGKPGRTTCPRSSSAFMVTTWTLNLPSPAATWVKNGVLDCEEIWAQPAYGSLPREALRFPIVSSDDPDTVCFIVYEDKKDWMLEIDTRSKALRSVMLHTGSRQPYYRCRRSVCLRSIHVAPGCDSSPPAANPRWTMLNTSARRRGSVADAKAAADAGCVTSTGGHLRISSGLEVPPASSVLYYDWAPGSSSGDGKDANDDEVYPEIVATHGDSVLLGISHRRPGSLFSRSYDYFVYRASRARAASLSLLPVGDCPLECERGLTIKHPRDRILMVEITGLLRGGDDELLVVELGITCKNGTIPDFPNELCMLHVGGTEWEIKRDVPILIDNGDDLRQWSFPCRAVPVGDRFMCWVNYVHGFLLCDIAEASPKLRYVPLPAAPFVAESGTFSDNECIECRIQCSRNMCAACAGGAVRLVSVDPRCCCGSLVHVGSYCSHSRSAFTVTTWTLSSLSMDGPMTWVKEGAQPGYVGLPHVLLELPIVSLENPDVVCFTVCDNDFDSYMDRKAWIIEVDTRGTSLLRSKAILSVHCTTDESASYALTHPSESPAAGWVMLHRYGDASSVADAKTSVISCTSTGWLFRISFLLAPPPATSTFSRNWVGDAPKQDKRSPNKINVPGIEIIAAHNNAVLFQMRIPDEGRSASETAKAFEYFLYDGGGGGAGAAGARSPSLFLLPDCSISYMCQREGDPGSVQAPPLESRCLFKRDTCVLRLGEDDVVMVAQLELPTWGAASRDTAELCVLCFPGYEWDLKRLPIVHHDGRTSKVDAIMAPWVTDAVVPAGDRFVCWVDYLGGILLCDTADQTKLRYLPLPVDYDESSRYSNDRPYMQISRTLAAGSGDGASLRFVSVDRRCCCGGIGENNCARSRFAFMVTTWTMSLLTMTTGEPMTWVENGVLDCDELWALPSYGSLPRVPVEFPLLSWDDPDVVCFSVDNYDGNLKRYEDARAWIVVVNTRSKTLLSVLPEDTMARLRIDLHVPAKLSIHTLDIGRVTPGRTSIHFDQRRQTEKLRTVNLFKQRRK >Et_1A_007723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37586884:37591330:1 gene:Et_1A_007723 transcript:Et_1A_007723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSRSASAAFRRPLPPSLQQPARQMASLFGHVEPAPKDPILGVTEAFLADPSPDKINVGVGAYRDDNGKPLVLDCVREAERRIAGNLNMEYLPMGGSIKTIEESLKLAYGENSEFIKDKKIAAVQALSGTGACRLFADFQKRFLPDSQIYIPTPTWANHHNIWKDAQVPQNKFTYYHAESRGLDFAGLMSDIKKAPNGSFFLLHACAHNPTGVDPTEEQWREISQLFKEKKHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRVGCLSILCEDEKQAVAVKSQLQQIARPMYSNPPVHGALVVSIILNDPDLKSLWLKEVKGMADRIIGMRKALKENLEKLGSPLSWEHVTNQIGMFCYSGMTPEQVDRLTNEFHIYMTRNGRISMAGVTSGNVAYLANAIHEVTKPK >Et_1A_007628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36569901:36570387:-1 gene:Et_1A_007628 transcript:Et_1A_007628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKATLILLTVVFACLVISTKCEGDGPESPPVGGLPRQPDVEETVRSSKITILQLQKLLLLRNAARYTLLHAQGTVQGRLPTLADPLACFAGTGDIVGGFTTGGSARSRLAPPQLVTIL >Et_4A_034061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29574998:29578179:-1 gene:Et_4A_034061 transcript:Et_4A_034061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWSSTRARAAHLLVEGDGDKATKTPMILDEEEGKEEASDWVLKPCSAMELILTASEITMDFGCFQPHIGSCCYMSFDSCMQQNGFCELNPVDRQFEGIGEYTSSGPMVPSATFNDLGHHYYSGRKSEGPGIDHASFVDQKVGFYLPLLTPKSEISLQMGSVPGPYKAYEMNNRFVPRKKDLSLKKTNVVKGQWTTEEDRKLVKLVEQFGLRKWSCIAQILPGRVGKQCRERWHNHLRPNIKKDVWSDEEDKVLIQAHKEVGNKWAEIAKRLPGRTENSIKNHWNATKRRQFARRRSRTSSKNPKSGTLLQNYIKSLGIGPIKSGAPLVQPTPSPPSPAKPAKFNNDESLEHNQSDTLDSQGMLGSIHKKSCGETHCCEELISPACDDFSVDMCDGLFDTEKEAAFQVYSVDDEVGINYIFNHLEYTVDVDEVIDMDMTWDDDALGCVEPGAAAQSKVVHAKEEMGLVEMVAAATQNCAGQENN >Et_8A_057758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6881560:6883088:-1 gene:Et_8A_057758 transcript:Et_8A_057758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVREDPRPSWGLGGLYRVQLAGRPLYTGNEDTKTSIVDSSTCDLQESIGSNRSFQIRRLWQQRPPCLKPIHCSLSCDKHVGETIANVFTSLPFIALGLQAPRRYELIVVCQFCRKNLNTSLYANSLIGVGVASSLYHTSRGDIRKYLRWADYTMIATSTLCLTRALRDENPKFLMAASTLLLPFQPLMVSAVHTGIMEASTHDVSFAKRASMDPELKMAHNLHKMSSLLGGALFIADDVFPQTSYLHAAWHLAAALGVGTCNKLLE >Et_1A_005006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22065597:22066142:1 gene:Et_1A_005006 transcript:Et_1A_005006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFIFIFFARFSIKFFFYYSSVLELPLFICLPLLLIKLLLLRFSNGGIIHRGTRSPKEFFGLFLFFYVPFWCQFSLRNFFIRTRKFSFAKSSRGSGSTRRCTPCSCSAGPCHFKPTPGFIDTVIPESRENARGSSTRRSRGNMHGRNQGLLLVCRLSLFYLVR >Et_7B_053537.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8435142:8435516:1 gene:Et_7B_053537 transcript:Et_7B_053537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IVSKLAPKEFARTRLLSSGSRCIGSACPRLNFDGVEVCKCDSAELHQHSGKFISEVNAVLQRHQSIVLETLEIRIDFVDSLLVHHLNNWVAFAVSSRTKNLTLDLKPDSRRECIGYQDLLSGLL >Et_2A_016704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27311682:27314305:-1 gene:Et_2A_016704 transcript:Et_2A_016704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKPVTARQMATSLLSRSLLRRATSTGTPKPIGLEPSARIPRSPSRTASSTSSGDPGQEQPAPAMDSPIKVVSHIGGGGAAGSGSGGGAIDAGRSARKPLSLWPGMYHSPVTNALWEARSSIFERMMDAGAGAGAGGDQQQQRAPTELLTKTPAQSRTSIVYKFATDDILREQYRDPWNEVRIGKLLEDLDALAGTIAVKHCSDEDSTTRPLLVVTASVDKMVLKKPIRVDTDLKIAGAVTYVGRSSIDIQIEVTQVDKDGDSQSDPTALTANFTFVARDSMTGKSAPVNRLSPETEREKQLFGEREARDKMRKRKREEQKGGLENGHKLHAEAEKLNMLLAEGRVFSDLPALADRDSILLRDTRLENSLVCQPQQRNLHGRIFGGFLMHRAFELAFSTAYAFVGQRPSFLEVDHVDFLKPVDVGDFLRFKSCVLYTQLENPEQPLVNVEVVAHVTRPELRKSEVSNTFHFTFTVSSDALKNGVKIRNVVPSTEEEARRILERIDAEGLCN >Et_9A_062376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22278576:22281876:-1 gene:Et_9A_062376 transcript:Et_9A_062376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARPASLSVSGAFGLSPDPKRCSFDQALRREDFQEKRLLMSFVNFHEQEKFSKKLVTEAIEDCMKKQADNLLQSLDVISGRLSQLELYCYKLERSIGELRSDVMDYHSEATLNFRCLEKQVKEVQTSVQVIQDKQELAETQKELSKLQIIHEDSAQKSDGTAPSVLMTRENELALVPLHQVNAGQSPAMPFQSCNGLILQQLVPVSLGTQQDQPRSNQTAMYCMQGQSHLEHRQAQQVQGAAQSLPPHTQNPQPHTVVEVPQCQASEFYLQPQQQWPHRTSQQVQSQARQPQPQVVQQQHYNNVQVPAQVVQLQTSSPQAHSAPQVALVYPPYGSRQPACVNTEARTRGMVVQPSYSTISSPQRKHHEVAPIYVQSSSISVPMAEQHQQPQQLHQLSNGSFVPQASKVGPCSVASYMVQGSGQNYNTAYGSPSSNPPTVVAVLNQQPQASAPMTLHHLGPQAVQNHHVDMAERAARMGYSKDQAESIALRMVTAGQHTEFNPMHDRLSSVSNVMTPQAWSG >Et_4B_039831.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3585282:3585830:1 gene:Et_4B_039831 transcript:Et_4B_039831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAEGMKSRRFSLACGVLSQYVKAEQKMAAVAAAPPRAPATTLSLMPGADVQEEPPAAVARGEEAAGPASTAAAPLTIFYGGRVVVFEDFPAEKAAEVMRLAAGAGGAAAPTPAPAPRDEMPIARKASLQRFLAKRKDRIVERAPYARPSPAESAKGDAEAEKKKPVASWLGLGSPVAL >Et_5A_040802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12787295:12792828:1 gene:Et_5A_040802 transcript:Et_5A_040802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTPPCRPAAGAVSRAGAPSISGARSSLLPSPSSAASHLNLPRLHPPPPLTSSSTPFPTPAAASPSAPHPSDEALSAMSPREQTALLSRQRHWRRARDLFDRLRALPGYAPNPVHYAVLLRHLARARRWAELRRAWLRMPLPPSNPAYAALADALAKAGMARESLLLLRHMRAAGVAPDEVSMNTFVRVLKDEGRYADALALFRDWCDGRFEVDFIDLDCSAVDSDGPTQFLLAEIRDDKFPDAAGAPDLDGVPRKLKLVATCNTMIDLYGKAGRMKDALDMFVDMPAHGIMPDTYTLNTLINVFGLSGNITQAGALFASMVVRGISPDTKTYNVMMTVFASIGDLEGVLKYYCQIGKAGLCADAVSYRIVLQALCKRNMVQDVEDVIEGILNSGSFVPEQSLPVVMKMYVDLGLLDEANAFFERHWRGKMVSSKSYAAIMDAFTEKGLWEEAEHLFYSEREDGDKDIVEYNVMVKAYGRAKQYDKVSSLIESMKESGIPPDKCTYNSSIQMFSVGGFPQRAKQLLDEMKDAGFEANCETYSAVIRSYSRHYQVSEAVNLFSEMKASGVEPNIVVYGLLIDMFAEIGSVKEALCYSRLMEESGISPNMVILTSLIKAYGKVNCWKEAQELYLRMKNMDGGPDIIASNALLNLYANLGMVTKAKQIFDCLKKNSCADDVSHTTMIYLYKSVGFLNESIEIAHDLHKGCAELVRQRLVANIPLNASTFGMILSVLKKNHVSMEEVVQLESAYNDGRDSVKQAILALLFSVAGMHAAAVEVCEQLLRPEWTIDPCAYNVCFKIYASCGKVEKAFSLFTRMNDLGLKPDTVTCIDLTTCCGKRGMSEGLLLYRNDWLSMPPRNALVRCVESGKTDVAIHLALRLRLKLRCLVIQRESELLEDMESQIDLGLQNWILWPTISPNAENLSRSVFIPSDRLRSLDRWPSSGLYGPVWCSSKLLQLLLTFHCGKTVAWSRFHFMGEFNWNRRIYKNLLQQLLATPNRSDHGNPLPLPAAVGEHDLCEKLEQQGLVPNAP >Et_7A_051649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2245569:2250092:-1 gene:Et_7A_051649 transcript:Et_7A_051649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPQIEMGGFKVNSPQLPNGALRPSTAGSWNSQRTLERTLKSIRIVIFTSKLNLLLPFGPASIILHFTSKRHGLVFLFSMLGITPLAERLGYATEQLAIFTGPTVGGLLNATFGNATEMIIAIYALKNGMIRVVQQSLLGSILSNMLLVMGCAFFAGGIIHRNKDQVFSKATAVVNSGLLLMAVMGLMFPAVLHFTHSEARQGASEEEVPSEDAAEEEEEAELGLWEAVTWLAVLTLWVSVLSEYLVNAIEGASDSWNLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVIGWMMGQKMDLNFQLFETATLFITVLVVAFMLQDGTANYLKGLMLILCYLIVAASFFVHVDPQSSEWHFLVSCPNDNGQQSKTMEDS >Et_1B_010777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1431698:1435050:1 gene:Et_1B_010777 transcript:Et_1B_010777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSSVAARLAFFPPEPATYEVTPPAPDAAGGLLQMTGLSPDAGVEVRALPTRAGTRVVAAFWRHPTARLTLLYSHGNAADLGQMLGLFLELRAHLRVNIMSYDYSGYGASTGKPSEYNTYYDIEAVYDCLKKDYGIEEEDLILYGQSVGSGPTLHLASRLEKLRGVVLHSGILSGIRVLYPVKVTLWFDIFKNIDKIKQVECPVLGTADDIVDFSHGKRLWELAKEKYEPLWVKGGGHCNLETYPEYIRHLRKFINAMEKLAKDRAAKAPQLLPSSSMTEVKHNKCLRFGKR >Et_5A_040889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14267642:14271630:1 gene:Et_5A_040889 transcript:Et_5A_040889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPAPVWSVPCGFQMSNYWTMEPAIWAPGVPVEYGQYAATELFSSHLRFPRYGSEDLIEKTAEEELEVDFRHDDLEQMQIHDSSQIREFEEEVREFKVDMDMMKMKIHRYPPSLRAFEEWYTVPRMVTMGPYHRARVGDQMLKKMEKVKYVAAYNCIKESGHSLEEVYCAVVSAALDARRLYDKDVMEGIGDFDFLSMMFYDACFLVQYMLWCTHDAAEMDPTLCSFFDFNRKVLRHDLMLLENQLPWQVLEAVMRFRPVDLADFVGDWRYYLQERKVLEAEAVVLDDSYEPPHLLGFLRFYYVGRSNITKPPYSRAKLLSISVSVSAIELAEMGITLTAAKETIELIHMGVNRSGVLSAELSLVPLSLDDERASFLLNMAALELCTTPNFLAPDAVDEDSAVCSYLLLLSMLVHREEDVQELRTKHLLQGGGGLVNKDAVIFFTNLQSLPLYGSRYVRVMVDVEKYKVNRRMWTKVHAFLYKNKKTIITAFSVIGVFVSILSTLVTRPDIKREETQESEGWGNLE >Et_8A_056401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8028085:8028543:-1 gene:Et_8A_056401 transcript:Et_8A_056401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QIASKSQNIYLDVVQRKDTSSYSKLKQKKWKGGALCWALWLTRNDFIFRNKLSHNPQAVAHRAVALMQRWRLLSKPEVRARVDEII >Et_5A_042456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1220545:1224081:1 gene:Et_5A_042456 transcript:Et_5A_042456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFVLPVGLAARASKLRYPLRSASKGRAAASPTISAPRSDKSAKPPWRHSVQTKPGAMSTTRCKFSTLSSISYWMSQIRLAETASKHSVSLGFFKLALESECEPVDRMRNELKAYVVRHGLATELEDSVKDILHVYDFVEDFEKLKISDNLSQQPKKSHKAARATTNVSPNGNLKPRSLNSDATQKKEAGKKNIQKTKPVKGSYSKNPGKNTTSKELVAKNNRKKTKKKTKEQQDVCGKGGEALAASSEQEPDLAFVASTMASHSTCSRADAEEGVVRLVSVLLLDALLGRLSAKDLCLLRAVCRPLCSLLSDPQFIAQHPNCHSGPLIVVGYSKGRFICDIMDLSGRVVKSVRATLYERVVSIQQELVCTAIGTGRPTYRLRNPVTGAVDGLPQGFSKWHAARERGISSYKQFVVLGYVASTGQYKVLRILQAGFRQQLCEVYTHDGHNHASWRAKKAPPSLVQLDSNRNTSSSVAVNGIVYFLSCETADYKPSFNLETEDWKQTLCLPPLSSQHHADWLSWLGVSLATRSGCLVVVDGAETRSSALELWFLKDSEKGLWKTPASSYIDPKPNGVILHQLLAGGPCDGDPPCESLQ >Et_5B_043084.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22100377:22100915:-1 gene:Et_5B_043084 transcript:Et_5B_043084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNHLIIMHAIEFLTSTYLRIVHEYNINIAAGRLQVPVAQSAISTAVNSTLLDENKIRLICCTAEKCTFDGSSKFERCYCCGNTAWCYLTMEICRSECPLCAPKCPKPPTSQSAMEDQQAPIMNITF >Et_8A_056560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12305790:12315443:1 gene:Et_8A_056560 transcript:Et_8A_056560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLAVASPHPGAAFAARRRRHQPAASNRSLSLLSPLTRPFPFSSRLRYAVSRQPPRISTPPGSPVRLLCIFASSNYTLHRIVDYVDLCALELYGSLGSGTGSHFPSVTFPLNSISQRCWRCEKSQGRSIGSSLRISHLAEKLNRDVSKNMLTPAAAVSIEQAEATAELPKGDMWSVHKFGGTCMGTAQRIQNVADIVLRDPSERKLVVVSAMSKVTDMMYNLVNKAQSRDDSYIAALDEVFEKHMAAAKDLLAGEDLARFLSQLHADISNLKAMLRAIYIGIPCSWMDTREVLVVNPAGSNQVDPDYLESEKRLEKWFARRPAETIIATGFIASTPESIPTTLKRDGSDFSAAIIGSLVKARQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKYNIPIVIRNIFNLSAPGTMICQQPANENGDLEACVKAFATIDKLALVNVEGTGMAGVPGTASAIFGAVKDVGANVVMISQASSEHSVCFAVPEKEVASVSAALHVRFREALAAGRLSKVEVIHNCSILAAVGIRMASTPGVSATLFDALAKANINVRAIAQGSSEYNITVVLKQEECVRALRAAHSRFFLSKTTLAVGIVGPGLIGRTLLNQLKDQENMNIDLRVMGITGSRTMLLSDIGLDLAEWKEKLETEAEPANLQKFVHHLAENHFFPNRVLVDCTADTSVASHYYDWLKKGIHVITPNKKANSGPLDRYLKLRMLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGERTFSDVVAEAKAAGYTEPDPRDDLSGTDVARKVIILARESGLRLELSDIPVRSLVPEALRSCTSADEYMQKLPSFDLDWARERKDAESAGEVLRYVGVVDVVNKKGQVELRRYKRDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFSDILRLASYLGAPSKLHWSDLSDCNVFIAE >Et_4B_035994.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:11325987:11326704:-1 gene:Et_4B_035994 transcript:Et_4B_035994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASPRHWLLLLVTALAASATAASEPLSSFHGRLAAEWAWSAASSDDETCWGSPEECPVVYDLDAADGGEGAAGVGRRRLQYYYDVNTAVTTFPTHYISYSVLTRDAVPCSVPGQSYYNCEPGAEANPYSRGCSAIT >Et_3B_027753.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:17477139:17477231:1 gene:Et_3B_027753 transcript:Et_3B_027753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMTTAGSRTSFPRYSATPPSLTSVCSGF >Et_4B_039378.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12386317:12387459:-1 gene:Et_4B_039378 transcript:Et_4B_039378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDPSAAGAGAMVSSSFPDADAYGNGDSDDLDFPADPNSNASFSAAPATAAAAASAGGGGGGGAGSGERRALFQRLWTDEDEIVILRAFAEFTAQRGTAFASHQYDTTPFYEDMRGRLQTGFTKSQLVEKLRRLKRKYRNCVDRLRVAGANFSFRSPHEQAIFEIARTIWRPASDKHGRDSDDEGGNDDALAIDAAAAAAAAGARANGESAKSPTSRPRRGRRRRTGDFPAPDAAEALPPAPMPVMTTENALPSFPQSTVMDGTMTMDPTAGLPASLSAAAGLPAALSAATTGAAENPILGPLFKEMIRAMLNIGASTAPLGLEPPPVIPGVPMEGEKWRQQRILELEVYLRRIALLQDQARAALEELRSGAPAGGMNT >Et_4B_039089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7667210:7669776:-1 gene:Et_4B_039089 transcript:Et_4B_039089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTAEASSLSLGGRRMLNTEPPSLPLSQAVTTHHGLFLVPCFFLVLFSSIAAILAGAWFEGCAMGPSLWAVAAAVLAVVLDIVVRMAHGWAREASLGADRRARLPPGDMGWPIVGAMWSFLWSFKSGKPDSFIGSFIQRFGRTGIYRAFMFSSPTILVASPDACKRVLTDDDGFVEGWPKATVTLMGRYSFMNLSHEEHGRLRKLTAAPINGFDALTTYLGFIDRTVVSSLRRWSEAGEVEFLTELRQMTFKIIIQIFMSAADDATMVALERSYTDLNHGIRAMAINLPGFAFHKALKARKKLVSVLQGVLNERRAAVAKGFSRSNRDMMDRLIEVDDEHGRRLCDEQIIDILIMYLNAGHESSGHITMWATVFLQENPEIFAKAKAEQEEIVRSIPPTQKGLTLRDFRKMKYLSQVIDETLRFVNISFVSFREATKDISITGWKVQLWSRSVHMDPEVYPDPRKFKPSRWEGYLPKPGTFLPFGLGTKLCPGNDLAQLEISVFLHHFLLGYKLTRTNPNCRIRYLPHPRPVDNCLAEITKVSAG >Et_1A_006553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24326788:24327016:1 gene:Et_1A_006553 transcript:Et_1A_006553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASATIADSAWNAATVRGCSQDKHDATFNLNSAAGGWGYIIRDCDGDLAAERTACSLLESLPG >Et_7A_051994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3497929:3509034:1 gene:Et_7A_051994 transcript:Et_7A_051994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALAETSFKYSWGLFFCGARKAYDATPKQKRRYEGVDPIGISLLKKLQQACNHPCLVKKQDNHQQCSIIFERSYVSSKVKAIIDILNSIVNKDAITERGGTTDSSEQAPEKVLVFSQFTTMLDLLEPLLSSMQFRRFDGTMKPKARDKAVKDFSMNQKVTVLLVSLMAGSVGLNLTAASHVIIVDPWWNPSVEDQAIGRAHRIGQSRAVTVYRLAVQGTIEERVLYLQEKKTRMVERAFGGDIFGDHAKLTEEDLSYLFNGLGKTIVVIALILKDIDQHSKLMSADSDRAAVVLCKMDEPVKNLGATTSFSEVFHQEAAHRSANVMMLVEDRNPSSPSTMRSWGKRRKPVGGTLVVCPTSILTQWEEEIHKVAKDFGLSVFVYHGCSRNVDPEELAKHDVVLTSYGMVRQQFPSIKKGTARKRSDAVDLNSGPVAWVKWFRIVLDEAHVIRNLTSQVARACWKLEAERRWCITGTPIQNSIDDLYSYLRFLKYEPYSKYSSFCSLLKKNDSINASLGRNKLQIFLGIVLLRRTKGEIFILHPLYFRIDVFSISLDFLKELPFCIHQHYMPFSTSGFVLLLHLLCYSIIYTVKRLACRNTYQRGTNHKTSTKDSSDKRSIFHTSGARILFDTSRKLSENVDGEFQYSLIQFIIYCQYVVGTVYLATRKLRRKIEVMDSIEILSPLKNLQRACNHPCLVKSQDHHQQCSTIFERSYVSSKIKATIDILNSIVNKDAITERGNTTDSNSSDSAPEKVLVFSQFTAMLDLLEPLLNNSHMQFRRLDGKMTRQARDEAVKDFSMNPKVTVLLVSLMAGNVGLNLTAASHVTIVDPWWNPFVEDQAIGRAHRIGQTRPVTVHRLAVQGTIEERVLYLQEKKRRMVERAFGGDIFGDHAKLTEEDLSYLFNSANHRPIKPNDHHQKAASTAPPTPRPSASFTTSPAPPATTRAAEFPFGGGATGARLCAPPVGGAAESSATVMATFWPRRQCDPVSHAKYLVPAASNVNAVLPVSSDEMELVKLHAAKSASDDSSSTVCVGPYVNTARPMYVRFRCDVLWYGQSTFARGEMRRVRELTDGVADTDRVLGRPVAVVSARLPLDRVVLAGGKLGDGGDRRDRDQSLHKHRHS >Et_9B_064672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17516502:17519053:-1 gene:Et_9B_064672 transcript:Et_9B_064672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVGVGVRGDPPSRRRPGPREDEAAALVAWRELRSRAAALAAAAEERAALAGRLEAALEARRESVRQGAALDELRRGVELQRARVEAAVVGRRRAAPDVERRKVMLQEQIERVLPLSRALAAAHQRVQEAKEVLSGEKARLESLQRLLRTRQQCMVGQVAALYPVRVFHDLRQHEEHHHGEQGRHSDENRASTRENGTQLLGVIRSPQVRALTLFGWQILKPKTKRNNYSDKDLQRSAAVLGYATHAVLLIASYLDVPLRYPLRFGGSRSFVSDCLPSAESASVASADHLSINNMGSTEYPLFLECQEDDSTKASYAIYLLHKDTEQLLNYIGAEGCGRHIFGNLRELIRIIQSDEYVYR >Et_3B_027484.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21170770:21175960:-1 gene:Et_3B_027484 transcript:Et_3B_027484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IFFSSRGTFTVPSPNLASASRIVAPRPSPARAAAMDAIDAELARAQEERRKLEEALAAGAPMAVSSVTFDTDLYGGGGSDPNRFAGYDTSIPASEDDAAEEDTEAPAAPRRLASYTGHAIAAADLPRAPDDDGLPKRSQRIIDREDDYRRRRLNQIISPERHDPFAAGEATPDPSVRTYADVMRDAALKKKKEDLLREIAKKKKEEEEAAKEKEKEKKAAPEQPAATTKRRNRWDQSQDGDAAAGTKKAKTSSDWDAPDATPGIGRWDATPGRVGDATPSVRRNRWDETPTPGRMADADATPAAGGATPGATPSGAWDATPKLPGGLVTPTPKKQRSRWDETPASMGSATPGGLGAATPAGYTPGPTPFGAENLATPTPSQISRGPMTPEQYNLMRWERDIEERNRPLTDEELDAMFPMEGYKILEPPANYQPIRTPARKLLATPTPLGTPMYNIPEENRGQQFDVPKELPGGLPLMKPEDYQYFGTLLNEEEEEQLSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQVLIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPEFFRHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPSLEDDGDNIFSRPELAMFV >Et_3B_031636.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6655364:6656017:1 gene:Et_3B_031636 transcript:Et_3B_031636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWRDLAEEQLATLLRAATGNTAAFHHLLDVAGARLFRCIAISRQAYQGDIPDEPYPGVSAIEAIDRASYELALLVDDHARAGDVFALCGRRLGLVLPDDPLWPAWEAHRSGALFHADLARQSLRSAAAHARAAAHARDMFMLAPLRSRDWREWSWAALEMVYHAFKDVDVAAAAARGMSRAVAWEFLYVYKILNRRDRVPRCLVQALQACPFARR >Et_10B_003064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16173318:16175392:1 gene:Et_10B_003064 transcript:Et_10B_003064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSVENSSASTFSIMDEDHTLANSVRFVLNQDIPHPAENKVNIRVQATGDPAKDVLKDSLQNLMVMCQHVRGTFDTAAADFRSNNPGKAMDIDLTKK >Et_2B_020677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22616180:22619332:-1 gene:Et_2B_020677 transcript:Et_2B_020677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAEETVAAPPPTPAEGGSDPPVQLPADAASPEKASPPAPAPAPETRSRGFRLLGEDTTVHKVLGGGKTADVLLWKDKKTSAVVIGGATLIWVLFEVLDYHLLALISHVMIGVLAILFLWSKATTFIKKSPPDIPLVQVPEDLVVNVAHALRNDINRMLHMLREIALGHDLKKFLGVIVGLWVLSGVGSCCDFLTLVYIAVLMLHTVPILYDKYQDKVDHFAGRAQTEARKQYQVLDAKVLSRIPRGPAKKQN >Et_4A_035667.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3483710:3484726:1 gene:Et_4A_035667 transcript:Et_4A_035667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSRQARHDLRYCPSPLALPRSQSFPARCPSDIGVHVVRLTSSTLGSLELVDKAAAAMPRAPESGSKRPPPPRTPTMTPPNEPEAIDAWALMAGLEEDQYHSTPLLAAPFARHSFSFPVAVAAAAPHGLAKVTPLPMPQAAMNGGGEEEKNAASKAPTPRRAVLYFTSLRGVRATYEACCLARAVLRGYGVRVDERDVSMHRGFRDELNGLLRGGAKCWAPAPVVLPSLFVDGELVAGDAEELKRLHEAGELAARLDGCESEAGACEACGDVRFVLCEACSGSCKVYVDDDDDDDEDGRQEEEERDVSDDGGGRFRRCTECNENGIVRCPACCCC >Et_7B_055363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9685721:9686295:-1 gene:Et_7B_055363 transcript:Et_7B_055363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFVSASFGSVAPSWRLLPRGATDREGLPLRARQVARLRSNTPVLRAGEGTVTVAWHLNTISPAGADAGYKAAKVSLCYAPASRRTVDGAKPTTT >Et_4B_037986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25321992:25323164:1 gene:Et_4B_037986 transcript:Et_4B_037986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLTKLYSMKEAALHNTPDDCWVVIDGKIYDVTKYLDDHPGGGDVLLSATGKDATEEFDDAGHSKSAKELMEDYFIGEVDPTSEMPEMEVFRKEDVGFASKLVDNAVRYWAIPAAAVGISVIVAILYVRRK >Et_6B_049664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8165136:8170462:1 gene:Et_6B_049664 transcript:Et_6B_049664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASLAAASSHCHAGVSTSPFAPRSHPQPRSTARVPAPHHRRGRFPLLLRASASASSAPAGSDRAAGLERCLAATSAPASAPPEMKGGRRRSGAFGAVTIEKAPLDLAKRLRKANPELFKREFVDAVLQEWYKSMSNLPAGLRQAYEMGLVSSAQMVQYLSIFGRPTRARYLSRAFPSFFSRGLVGSHRWSSVWWEMKNRKERFQKELDLVFVNVLTATICNLAVFSSLAPCRSYMIQRVPNNIFEKSYPIRQFDLLRRTQSFFSKAAELCLGGLLIGSIQGGLSKVLSDRKERLSMPVPSISTNALSYGAFYGFYANLRYQMLCGLDRSMVHHFDVLGVAMFFSAAIRMMNIQIGEVSRRAWLGEEADLLYSDSLLRAYNGPAELAVEVQQQGWFLSKNAIVSGLRLLGIKQGTPQDASRKPRRKRIVRKVPES >Et_7B_055755.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3563183:3563833:-1 gene:Et_7B_055755 transcript:Et_7B_055755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSPRRGAREFFGAPRCNASELWSALLRRVHKTRPCSSAFSPAGGRTTTSQAEAAVRWNGALVALSAASALLLVSFPDVEELSHCVQLALYAVFLLGCAAVLLSPAHRAVADELARRAAEAAREISRVLAHSNTALRWAGFTCIVRPKEAAAAKEVVLIGLVLFLMFLVGVWTVSLSMVTGEEHEAGEVDGTANLAALDDDVSKVRKIPIRLSPS >Et_1B_013086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5418588:5419872:1 gene:Et_1B_013086 transcript:Et_1B_013086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSKFSSGAHRRAKSAAVSFPVPSTLKFDRLVAAVLPKIWKKLREVVEALAPNMSAGDSTPAAVPAESPHGIDVGVEFKPVEHPVEPVNLDQPVKCPLPEPSILHDGRIWKEKMSSVSARVRTDLPVVKEGSQLEPDSSGTRSRSAVPRRAILPSVSAPEHNILALLDECDVAESHSPAE >Et_3A_025817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33176955:33184728:1 gene:Et_3A_025817 transcript:Et_3A_025817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVAFKPAVGALVEAGGGADAGSIPAMVAAQQEMLHTQVDQLQSLVITQCRLTGVNPLAQEMAAGALSIKIGKKPRDLLNPKAVKYMQSIFALKDTIGKKETREISVLCGVTVTQVREFFASERSRMRKVVNLSREKALKIEAPKEHHDSCSITTEQTPLDIEARAEIIEPLRTLGPVEVPRSSSQPTDVPQFYLQPVLVPQNSVRKESKEDEVPGVESEDKKFFDSIFALTQKEETFSGQVKLMDWILQINNITILSWFVTMGGLTIVSAWLSQAAVEEQTSVILVIFKVLLHLPLHKALPAHMSTVLQTINRLRFYRTQDISSKAKIILARLSKVLVRSKALKMPQKDLTEKQRISEILRDESWKSEVGITEEILALTDGANENRKPEPRKTPMLLTASADEPSKKSAPQTKSKQKRKVLLVEHPNKKAAGKNAHSVRSISTNNSRPLSADDIQKAKMRAMFMQEKYGKVDTGKASDKLQAMETQKSSGFHNSIVPPLPKSPVTSTTKEPVDLSPQTSKQSTVPQTDKAEPADGLKLKMSSPKHVIEKLDSKRVLWQIPPAVWIDPSWSVGAGENSKELEVQTQRNRREKETFYANQRDIPLNPKDPWDLEMDFDDSLTPEIPIEQQPDADNMETDTVGTAPPAIVDPVDDKQIESTSSTSVADASCANDADKPDFELLAVLLKNPQLVFALTSNKGENVPSEQTIALLDTLKRTGLELSDLVNNLANGAAAAPKEPPQPEPVPTSLPSPTPASDHTAMAGWGPEHPTQARAPSVQHPQSSNRGNTPPIGNTVQQSFSNVVSSLPSQPYASVSVLPAQNQVNVPSLPQLAASVNPLIQHVSPVNNHPNRASVHQHAQQYALVSDPVAVSFHQQPAVNKVTHGLENISNPAVAHASMSESNASHTTFPWQSNVAPVTSTGRGTSPDPWAVRTTNSYNIASTNTVPYANQNFYGNHSAQNGLGAYGSVSVSSHAVLPAHAPDRNGYSHSAEYQTLARDSHRRHSKSPELVGSGHDYGGTQGYNARTWNGAGQQSYNPEPSRQLISARQSYTSAEPSRQQWSSGHQSYSFEPSRPWSSSQQGQNPETSRPWNLGNQDQYNPNGRRSYDRWRR >Et_4A_035229.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:13301668:13303086:1 gene:Et_4A_035229 transcript:Et_4A_035229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPLLTLLLLAHSVAYLAWTAYERRRRSRCYLLDYVCHKPSDERKVSTEMAGAVIERNKRLGLPDYRFLLRVIVRSGIGEETYCPRNVTEQREDAPTHRDALDEMDAFFGEALPALFARSGFSPRDVDVLVVNVSMFSPAPSLACRVARVLGMREDVAAYSLTGMGCSAGLIGVDLARNALLAGRGGKRLALVVSTESIAPNWYSGTDKSMMLANCLFRCGGSAALLTNDPALGRARAKMELRCLVRANIAANDDAHACATQREDADGRVGISLSKALPKAAVRAFAVNLRTLAPRILPVAELARFAIRLAAKRLLRRSGSPSSTKAATGDHQGPSAKINFKAGVDHFCLHPGGTAVIEAVKQSLGLDDGDVEPARMTLHRWGNTSASSLWYVLSYMEAKGRLKRGDRVLMLTFGSGFKCNSCMWEVTGDMADKGAWEDCVDRYPPENLANPYMDKFGWINDVDGDTLVI >Et_2A_017110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3151535:3153204:-1 gene:Et_2A_017110 transcript:Et_2A_017110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSGVSVDVHCDDISSLELLKTNWVYHILCKNIDGEGRTPFSALLVHLKKKLKLHLTKEESRISSLTGVDAGEDNEDLAERQRKQQKWVPAKPKPELAEVELSDCILFSTPSESSVLHKSRKLQLDSQHDSGFRDTATILHPRHVLELKKQLGDDEQKCVPLPRGSVIGELHLEQPSMPFLGMSEE >Et_5B_044550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3392428:3392795:1 gene:Et_5B_044550 transcript:Et_5B_044550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQ >Et_10A_000659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14843114:14846780:1 gene:Et_10A_000659 transcript:Et_10A_000659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNSGGGGGPLSAEAAAALSEGVGLVFARWTALQMAVENQWGGRDSRPKADQLGASIVSWFCHSKGPHYFEDLVDMMYHTISESLNSDFEDGSVEEVAEQLLIMHEECQQRNYSSIEKLRNSHVQGNAVSQSRQIPIGDNDDSDSSDDDDDALMMDDEAVVPEGVADGWTVVPPRRGRGNN >Et_7A_052840.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1934786:1935061:1 gene:Et_7A_052840 transcript:Et_7A_052840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWSRAAVVACLVLLASACVAESRSVPSSTSGDAKASAAARDGGGKRSAFDLMVVGLVGIGLGRRWRAGELVDEDKRRVPTGPNPLHNR >Et_4A_032838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14517876:14521360:1 gene:Et_4A_032838 transcript:Et_4A_032838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGARPSGPQDTTAGAAAAGGSVADEPRDARVVRELLRSMGLGDGEYEPRVVHQFLDLAYRYVGDVLGDAQVYADHAGKPQIDADDVRIAIQAKVNFSFSQPPPREVLLELARSRNRIPLPKSIAPPGSIPLPPEQDTLLAQNYQLLPPLKPPPQVEETEDENEEANTSLTPNSVNPNPVFSQDQRGNEQQHAPQHGQRVSFQLNAVAAAAAKRPRMTMEQLNMG >Et_10A_000882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18656353:18658080:1 gene:Et_10A_000882 transcript:Et_10A_000882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEAPADKVSEVNERIDGLEEMLDSFQSCFLSKERERNDELSEIKELLIEEFIDVTRNESTIGVKQMGQLDEEVFLSACKWKGTTSNAEREAALLCSKWQNEIGKPDWNPFRITVIDGKAKEDIVDDEKLVALKEERGVEAYNAVVRALHEINKYNPSTRHPVPELWNFKEDRKASVSEVVGHPCFLPTITKQPAEVGCFSPEHHRVPVLQICHKQRSVKVSGCCAGVDAGHLKRHGGSMLMAFQKVCTNEHPKRIWKVSSDFVEHRSHLSSSTMFFACRFTRH >Et_7B_055380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9823735:9825881:1 gene:Et_7B_055380 transcript:Et_7B_055380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DIMARRGHIDGLSAHAPGLMRHDPYGAANLSSRPLDSSATLEMLENKLAMQTAEVEKLIRENQRLASSHVVLRQDIVETENEMQRIRTHLGEVQTETDMQIRELLERIGLMEADIQSGDVVKKELHQVHMEAKRLITERQMLTLEIENVTKELKKLSASADNKSFPELLAELDALRKEHHNLRSQFEYEKNTNVKQVEQMRTIEMNLITMTKEAEKLRADVSNAEKRAQGKYLHSLSVYLYNFSLFPYFLLVERQISSVLKIRMGLGKKRAAAAQAAGAQVTASQAGTAQATAVPGATNPYANAYAYHPSVYQQGAQPGVYQQGAQPGAYQQGAQPGAYQQGAQPGAYQQGTQPGTYAYSSYDAATAYQMHAAQANAYAGYSGYPVAGYAQGAAPSYPASYAAPPQPMTSGAATDVANMYGATGSTGYPAGLVQASNAPAANAGPAPPPQAPPPPPPTTPYPGTYDPTRGAQR >Et_2A_018234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19817784:19822871:1 gene:Et_2A_018234 transcript:Et_2A_018234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVKVIEVLTNFDWFTPWFATSLTSVIGILSCCHSCISVAMEGDQFLPMKRRKESIPSTIYSLSEDLILTIFLCLPSLATLIRAAFTCRAWRRLVASSPGFRRRFLEIHQAPLLGFFFDAPTILECPDFPNFPSFVPVRHRDRDLAAVVRGSDFFLTSIQEHLDKIHCWGIADCCRGYILLTNGDDDSSNPMALLNPLVKRGEQFIDDGHENAFEGYNGCPMECKACLIFSAEHPNASFKVVRLIYDESRVRATVFSSDTGEWSILPWVKVPGRPHRTRGWLLSSNMQTNGFVYWIYRNHEYLITLDTTTMNFSVEELPEVLRGRNCCFEVGETSTGTPCIFYTIGFNIGMLWRRIDSDGVEKWILGWTTPFEIQLGELFGPVLRNYDEVQVVAVKDGIVYLATSRKFQEVQMSSWFFTLSLETMKMEKLFERTYDSRAHPYIMAWPPSLAGNFGRFALEDDA >Et_9A_061108.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16236914:16237219:1 gene:Et_9A_061108 transcript:Et_9A_061108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRVGATEACFAVKGEAIEASPSLTIGAERPSLSSFISSNIFCSFSRCLSAAVNGRLLDALAELFAGGACCDDVSVAGFCFFNFPDLLSVLDDCDFESVF >Et_6B_049104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17984747:17986046:1 gene:Et_6B_049104 transcript:Et_6B_049104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKECGIEELERIPLQKNFIKRLVAVGFGTVYLGSLRNDGMVAVKKLDASRDVSDQQFLGEVKCLQTVNHKNIVRYLGYCAYTHDLVMEMEKEVVMAGRAKRFLCFEYAPNGNLHDYLKEKTHGYEWSVRYKIIKGICQGLRHLHQKGIIHLDLKPGNVLLGAQMEPKITDFGLCDGTQISIVPSKVMGTLGYMPPELINERKISFKSDIYSLGIIMIRLLTGINEDIPEKVRNIYNIFNCCDYIGNIVFMHVILSRNDIVCYRTVLVNWGRL >Et_8B_059028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12575502:12576746:-1 gene:Et_8B_059028 transcript:Et_8B_059028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLRSAAASTSGRSPAPPEDGDGDDEESVRAIAVSDQRTIYLVNMFIANTVEFLNSFAAVCDDKLALLHRSVSQLLCYWYN >Et_5B_043521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11387528:11391377:-1 gene:Et_5B_043521 transcript:Et_5B_043521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENVGPARGAARNLQRGDDLAGRTKYVRPGSSSSPGDIAGIGRPTTAATTEAARGTMDTSGEGERTPHTPWTEFPVEDVHNILGLEIKGNDVIQYINDKVKSEDETVHSELFQRFANGNNKLDLQVLENMLHKDRPADEDFVRAFVLFTIGVLLASNTRSTVHWSYIEAVRDIAQIPLFNWGQFTLNHLSKKTLKGNLVLLQFWYWERLRVANHHGIKYEERITRPPVMVFWNEENAKLRQAALEKDGLDGGTLILPVASNTKQKREATVSEAKYKPEGPSNQPVQNLEHWKKVTKMELKLDLEQKLLELEHKQDIKFLEYKKELASFRNHSILEDRINTLEAKEIYELVRTLVDKPISQTGHAQQHVKAKDRISDESPIAGNDTMETTMEHHSDPAITHIKKREPIIDEDYNTGSIDREAAMFLLQSYEDAWVVDIDGSRIKAGQLRRNVSQENIFEEVFVPMNTNDNHWGLLVLNFIKQEVQILESLSIRDEELEMTVVESIQRCVEFSIMEGLVNFENLFNLREWKIVPYEDIPRQDNSFTCAAFVIQYILAWDGEKMAHEFTSKEAYLLEYPDERAGHGHDSDVEFIKIKSSATKTQRKGEAITASGTTMTKRPRGWPRKIMVEVSAKVPEIEEGTPKVKGAQTRKRGRPRRITPDTAPCTVLEGFRTEGIANRINKQ >Et_4B_038185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27007361:27010623:1 gene:Et_4B_038185 transcript:Et_4B_038185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAEPVTADAVRDGFAELERQQELLATCTALYRQLTDHFGALERRLASRSDSLRARRRAHGARTSRRLAALRRRELSVEGSVELALSRLDDSLAAAGSGAEQAGASPAGVAEALASLCAGMDSAGFFAFVAARRKEVDALRALLPEALKRCVDPARFVMDAISEVFPVDKRAVRSPADLAWACVLILEAVVPALADPDPEIGATRPMVARAARERARGMADEWKGAAERKGGVEGAKPADAHAFLQHVATFAVAERGDRGLYRRIVVSFSWRRQMPQLALALGLEDEMDDIIEELIKKGQQLDAVNFAYEAGLQEKFPPVPLLKSYLEDSQKISSTSDNPSTSTDQFGINANKKEQYALRAVIKCIEDRKLEAEFPLGDLQKQLEELERAKKKKAASSSSNSGSSGPANKRIRASNGGPATLAMASCLPESNGVSSPNVTSASPSSHASYGASSPYSYSVHAGHTIYCGQTAPVLREPYVYPPDASNVGLGVTYSSPPIIYPAYSGYNSGLTGHSNAMTPITLGV >Et_1A_006454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22611992:22613394:-1 gene:Et_1A_006454 transcript:Et_1A_006454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSYCRLVLVCSVLALCLGNHGVRSQLTTDFYESTCPQLYYIVQHHVFSAMMAEMRMGASLLRLHFHDCFVNGCDGSILLDGEDGEKFARPNQNSVRGYEVIDEIKTDLESVCPEIVSCADIVALAASYGVLFSGGPYYEALLGRRDGLVANQDGANNGLPSPFEPIDSIIQKFSDVGLNTTDVVVLSGAHTIGRARCVLFSKRLSNFSTTDSSLCANGDGNETTALDVSSADRFDNNYYQNLLVEKGLLSSDQGLFSSPEGVASTKELVQAYSSDGERFFCDFVWSMIKMGNISPLTGSAGEIRKNCRVAN >Et_2A_018239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:233617:236596:-1 gene:Et_2A_018239 transcript:Et_2A_018239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHRDFFLDDPFFPFPPTSFSSSSSCPFLEFDRPSSFPASPFHDLDLFLPPAPPLDPFPSPYPFLLRDLTDRVAALELAVATRRPEPPATRKYTYVTESAGRKVKWTAVDKPRRGERDLKWEAEIKSPHDDGFDRKWKWEAKGAAPGGSSARKVKWGTAVKGKGCLEPWSQSYTWEEDFDTSDTDDEEKPEKKVDKKKKNKVTEDKKKAVKKEKKCPASTTIKIEEIPDDNDAGCAAIRKAFAKGNGKGKRKELTPQDAALMIQINYRAHLAHRSQVLRCLRDLAVAKAKLKEIRSMFYNISYRRRIAHDHEERQRFSEKIIVLLLTVDALEGPDYMVRTAKKSMLEELEAMLEVVDPQPPGKQRSLSRRKFDLPEGGEISDEKKAGVNNAVRVIKEGKRIEVKSISN >Et_10B_003361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19100445:19106675:1 gene:Et_10B_003361 transcript:Et_10B_003361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKADANTETGDAAAGGSGGGGSFSEQRLVEKLNKLNSSAASIQTLSQWCIFHRKKARRVVDTWEKQFNSANSNKKVSYLYLSNDILQNSKRKGGEYVNEFWRVLPTSLKYLYENGEEEGKKVVARLIGIWDERKVFGTRIESLKDEILGGSLPTLDSNGKNSDPASNPKSARKDSSTIIKKLTVGGMPEKIVSAYQSVLDKHFDEDTALNKCKSTVGVLEKMNKDVDDACNNGIQHGSSLISDLQEQETILKQCIKQLESVDTARATLISQLREALSEQESKSELVRSHLQVARAEAEQISEKRQRLRGAHVINGAGSNSSPIMIAPTVSVAGDEPKKTAAAMADKLASLSAPEQVLSSIFSSLAAEHAASMNSGSSSGELSGPPGFQLEKRPRIEKPTQGGDMGTPPFFGQVPQVQQQIGAVPTSVGGTQPPTPANQAPGSFPPTPPPLPSLLPPLMQQFAQNTGGMIGMGPFSMMGGSMPPPPPLSNILPAGFPRPSGPPPPPPLPPAQSLPQQQSPQAPQQSPTSGGFFQSSGIGFFPPVQVQQSPSVQRHNGDGRKNCFRDKQQR >Et_1A_009242.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:3574985:3575731:-1 gene:Et_1A_009242 transcript:Et_1A_009242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLASFTLRPATAASPSSAAAAPRSAAGASFARAARGLPSLRLAPPRRRGDLARPRAAADAAAESYASALSEVASENGTLDKTVSDMEKLEKIFAEEAIAEFFDNPTVPREEKSQLIDEIAKSSKLEPHVVNFLNVVVDNFRAGLMPQIVAEFENSYNALTGTEVATVTSVVQLESQDLAQIAQHVQKMTGAKNVRIKTQINPELIAGFTVQYGRDGSSLIDMSVKKQIEEIASEFELPAVTLDV >Et_3A_024858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24941528:24945470:1 gene:Et_3A_024858 transcript:Et_3A_024858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASASPAAPGATKAPARAPHLGPAFPRPSARATPAPARLRASSLHLGGAAATAAANGSGIHVPPAIAPLAVPKMAGARGTHKNVLLFYCEEMRELAQQVVARNDDIELRSISWRTFADGFPNLFISNAHSIRGRHVAFLASFSSPSVIFEQLSIIYALPKLFISSFTLILPFFPTGTSERMEDEGDVATAFTLARILSHIPISRGGPSSLVVYDIHALQITIAFPDDGAWKRFYKQLQHFPMVVCNKVREGEQRIVRIKEGDPKDRHVVIVDDLVQSGGTLIECQKVLAAHGASKVSAYVTHGIFPNKSWEKFQPENGEGPEHGLSHFWITDSCPLTVKAVKDRRPFEILSLAESIASALQDDKVSSNLC >Et_8A_058159.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:22208376:22209818:-1 gene:Et_8A_058159 transcript:Et_8A_058159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGHDDAAVVQWRLLPDDVLAEVLRRAAPRGLAVSRCVCRAWRALVDGRGLLRADLLPHSLAGLLIEYRELPYFFARPSVAAGDYQMHCTGTAVADHCNGLLLHYDGVLNPATGGWTPLPEEEPPRVAGMEHFPHDMYLAFDPAVSSHYEVFVVPEVPVCYAGRRPSPSSPCSSSSSSELCPSSEWPPSPLTLSVFSSRTGRWDQRPFVREGEPAGTVADMTLDQRFLPQRNGVCWHGALYVHCQTEFVIRISLSEDDDNKYYRVIKPPTDLTLSYCAESYLGKSQKQGVCLAVLQYRRLRVWILDDDESGGQAKWILKHDSGDGLSMPSPMNSSQAVASGPWVLVDDDSDEPDKEKQMDQQLQWNSDDDDDDESIIRTSTDEDKGGKRHYVHGILGFHPYKEIVFLHRSWRRGLAYHMNSSKLEDLGNLRPKDDGSYADIREAYILECARSRIGHTFPYTPCLMGEFSGKLEDVLDDD >Et_3B_027468.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20267082:20267405:1 gene:Et_3B_027468 transcript:Et_3B_027468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHGDESLAWGEVPAFCVEKHSAIDLDVSLSSKGAILSAKLRDKMVRDLSSTGELHLSLMIKPINPEDASKACLVVCQTAPPEPACPQLCYGRIDQGGTGSVFVKKK >Et_2B_021313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28580222:28585740:1 gene:Et_2B_021313 transcript:Et_2B_021313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSNPPKQYGITSPLSLLGPVDADLQRTAELEKFLVEAGLYESPEESAKREEVLEKLDQILKAWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHEILAQTEDVTELQPVPDAHVPVMKFKFHGISIDLLYASVSMLVVPADLDISQGSVLYDIDEATVRSLNGCRVADQILRLVPNIKNFRTTLRCLKYWAKRRGVYSNVTGFLGGVNWALLVARICQLYPNAVPSMLVSRFFRVFTQWRWPNPVMLCTIEEDELGFPVWDPRKNPRDKCHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFAFGNKICQEIEMNKASWSALFEPYQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGVKIQEGQQFDIRGTVEEFRHEINMYMFWKPGMELAVSHVRRKQIPAYVFPEGHRRYRPPRNVNHQQQPDKNDTEEVNGSPDTKPKRKRDSTNDAEPCRSAKRASVSPVHQKTSSPLSANGGDDCFNQIKRASSDVSGGSQASHGSGNLERANSLSSPQASERSSDTAASGPRCVTRGTVCSGEATNKLQTPTVAVCIKRVAEKVVSELVGSERLGGGNSAELLERTEKDFLVESVHFGGNGGLPEELEVLLLVHPILL >Et_10B_002793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11109470:11110162:-1 gene:Et_10B_002793 transcript:Et_10B_002793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGILVASVTDQAGLKPPGGMWQADGIEHAAGNPIMYDNMRLRYQVFFYVNTTSFVASIIVTILMLLESLEKKVWWQLNVLNTTIALDLLGLLVAYAVGSTRSWKTTGKVLALVVPVLAYVAAHVTLSLFIHSDKNKADRVQPTINSEKIKVDGVQPENAGVVYFFVAQLPLSNVNNYMVPRKRYNL >Et_3B_027938.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27521626:27521781:-1 gene:Et_3B_027938 transcript:Et_3B_027938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGYPYNGCGSNKEKRPPLKRGQLKLQIAKTLSSLVVPGGAKNRERSFGR >Et_1B_012241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30044018:30044293:1 gene:Et_1B_012241 transcript:Et_1B_012241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALYNEIRGMKVRENVKKSTDQAVDRYIEKYIETGSPEPLFHVCFGGMAFSYLVNLPWERAHLAHLEEMEKHGGKH >Et_6B_048833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14240406:14243145:-1 gene:Et_6B_048833 transcript:Et_6B_048833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQGNQDGSRFRAFVDKVPGAVQGSNAGDMEFHQRSSGSQAARGYLNGTRPLPSEFAMYDASMIGSNQHFHDEQSLISAIESLNFRNRAADMPNNHRSAALTNGHFPSGRVDVTLNQPRATHQDEFMPRFSAACAKQKVDELALEDRGQAYSFQPHLGNFSRSSGQPNSDGISVPYHPSTATASPFQQHCYVDGHSPMYAPYDQSGSNFLPRHDLSAQPYSVMQPHYVYQRSHQINGLDVPRNRRSNQHATVCTPAGASSYLGIPNFHGLESGNHYLNGAIFQRGNGWLNSTFTDRLPSTSYTDGSCGSGVFLQFQQHEKVAYPYGPGSSQHWITGNSTICYPERTLMRPDGVNSFRSIKISPSANGCADMNQRTNGYGHNYLDIQRNNSVNLDWLKPQFLSSKSESESAMESPQLTYNSVNEVVGRICIVATDQNGCRFLQKIFTEGTKDDADKVFAEIIDHITELMLDPFAHYLVQKILDECSNDQRMRIICEITKVPADLLKVSCNMHGYELQSYLRYLILIFAASISYCLEKKIRTRVVQKVIETINNPEQALKVISALSPGAMRLMTDPNGSHVVHRCLQKLLPEHKAFLLDAAASRHLQLARDRHGCCVLQKCIEHSNDDQRNNLLNNITSGALRLSEDQYGNYVIQFILSLKIEWATSKIVDELEGHFGNLSMQKCGSHVVEQCLKLAPQLVRDRIINELMNDPKLPQVMLDQYGNFVIQTALKESKGVQHTAFVEAIRPHAAALQSNMFGKRVLSRTYLKNKQHRLGIF >Et_3B_028268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12494474:12495605:-1 gene:Et_3B_028268 transcript:Et_3B_028268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAANKAHKERLPLPIYDKSSDVPNHHGAFRSTTEIPDTIFVGEPGRNKKQASRWGGAEDWQGHSKFLDPRPYRSLTDHQLADDVAAAYRQLRLPPWLPSGRGH >Et_6A_047282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3210775:3212029:-1 gene:Et_6A_047282 transcript:Et_6A_047282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRRFLNLVTANDVVGMYSLHRVDLHARNNSLFYPTAAAALDAAAAPEPDSKKKKKKKKKKKKKKKKKKKKKKKKNKKMERIQVPNKRPMLFSGRMLEWFPLLERKLVSMDHHSRDAAIYHADERCVDALPDLQARSSKLPICFSVDGGGEGDDSSVYFMETFEQAGPRRRRRGEQHALVRREDRWLPSSNNDSSRSNDRWRRDELPPPPFVGRAGIDSYGLVGDAGDVLCVSTEGIGTFCFDTASRTWSHAGDWALPFSGKVERVPEDELGGGALVGFWASELGGHRLCAASSGVDLPYPTDSRGRPGVRPTLRSWLVLEPPPCGEEWVKVKAPQLVGLGAGKFCLAEFFKAATGVGGRGSREEVDEWFVVLTGVEVVRDGRIRVLKHKSKRYVSNNHQFGRPATVEFVL >Et_2B_021574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3169459:3174718:-1 gene:Et_2B_021574 transcript:Et_2B_021574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAWPSSAFIVLSGGLVLRTHRHAGERVGKREVREEEGHRMREARQEYVRLVEHLDLTSSLSIRLSDDALRSIEAELGARSGGLEVEGTVKIAQQQLIVLFLFFRRRHHSKRIYYLVYDSTDASLVMIPYIPSDLEATYTLAPVPQRTGDGRGYDLALMARKFWPQRLDRDRLCMCTPSSRANPASDSTSPWQVKVQRFPSLSVAFTVDTMFSFEGKIFWADLLHGLAYSDLRAASEIVDVHFINLPRGLLRVDSMGEAVRDVEPQYPVLMPNGGLCLLLRNMRHKKSRGLEEADYICCFDMGSKCPRWFGHVRKYDTSGSVILPYQLFAKCFPPHPILETRSELWARKRGMSTIFGQTLEPRARKRALPSILGQTSEPRARKRDLPSISWQAPNRLPVYAQVATLLHMKSILFVTLAYEF >Et_1B_009741.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:22671663:22675150:1 gene:Et_1B_009741 transcript:Et_1B_009741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAARRRTPPLPAALAAVFFASKPQPPSPPRLTPELVDATVSHCPSDALALSFFLWCARRPGYFHPPRSFDSLLPAATRLASRLGTASSLLRELHGIGCPIRPQTFLLLLRLYWRGGLYPLVLQLFEQMPVWGFHPNAFARNVVLDVLLRTGDVDAARRSLQDNPSPNYLTYAIVLTHLCRAGNWPRVRSCFMEMLQLGFLPSAASLTAVFSCCSKAGTMSELLQMLSFAHVLGCKLTSAMWTCLIARLCREGRLDEACTMLAKMVDSGSSPTVVTYTPLVRGLLQAGRNDEVIELMGSMVSASCSPDLVLYNVLMDSMVKQSRFAEALYIYYKHIHCSQINPDAYTVSTLARVLQFSQNPGLVSALPRLLLRSDISSDLVACNSVLSALCKSGFPSQAIQFYIDKFDSRVRPDSYTYVEMLDSLCQLGRVNAAMGFYHSIVASDPESNAYIHSAILYGLVRQGKHLVALRILREAVRENYALDAVCYSIVLHGLLRAHLVEEASRLFDQMKRLGMASDICTYNVMLRGLCRARDLDAVKQLLTEMECADVPLDSISFNSVVVLLCKSQRTSSALAVVKQMLKLGMEIDTKTCSVLSQSTGHELILEDNFCAESDGSESSSDLLICSAS >Et_2B_019695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12521215:12526867:1 gene:Et_2B_019695 transcript:Et_2B_019695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWKAVAVYLTEWERISPSIKKGKKLVGQHWTPRSLRNHQGDIHIYGESAENVSKGGVQKSTMNKHGRFLFSFYAAVQHRRREVKLLSLVALPSCTSTAYIPSSKNGHLLLVPSAGPCEQVLSPDDFHSSSPNDGTGNKKRRRRRWRDIKERAKEEGVCVPSEEEVSISTVKSYESGDPLGRKELGQQVVRWLKKGMHLMASTFASSAIQDARVELPLDGGSSDGHMGFVIQAQPYLSVTPMPKGHEALCLKASTHYPTLFDNFQRELRDVLLQHQNEGHITDWRSTQSWMLLKELAKSAEHRAAARKTKTPVMHGTLGISLDKTRLMETKIDNFVKKLTDLLHIERDAELEFSQEELNATPFMDAKLRNPVQPVDYLVTHGQVDEHCDTICNLKVISSSTGLTGEHLVLFRVEGSHKLPPSRLSPGDMVCVRTCNSLGEAATSCMQGFVNNLGDDGSSITVALKSRRGDPTFSKFFGKSVRIDRIQALADAVTYERNCEALMLLQKKGLQKSNVSIGIVATLFGDKEDVAGLEQNNLIDLSESDFNDDGLLGCKYNLDSSQSKALALALNKKRPVLVIQGPPGTGKTGLLSNLIECVVRRGERVLVTAPSNAAVDNIVEKLASTGMNIVRVGNPSRISPSVASRSLGDIVTRRLQKITEEFERKKSDLRNDLKHCIQDASLASGIRQLLKKLGKDYKKKEKEMIKEVLSNAEVILSTNIGAADPLIRKIGCFDLVIIDEAGQAIEPSCWIPILQGKRCILAGDQNQLAPVVLSRDAMEGGLGMSLLERASSLHDGKLVTKLTMQYRMHESIASWASNQMYGGLLKSSPSVASRLLVDHPSIKATWMTKCAFLLLDTRMLYGSLNIDCEESLDPEGTGSFYNNGEADIVAHHVLDLVKSGVPPTSIAVQSPYVAQVQLLRDRIGEYPLAYGVEVSTIDSFQGREADAVVISMVRSNSLGAVGFLGDSRRMNVAITRARSHVAVVCDSSTICKNAFLARLLFHIRQHGQVRHVEPGCLDGDSGLGLNPPSLP >Et_1B_011354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2186642:2187946:1 gene:Et_1B_011354 transcript:Et_1B_011354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSSNPNSRFQDGINF >Et_3A_024324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19541204:19545873:-1 gene:Et_3A_024324 transcript:Et_3A_024324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANDDGGGMTTYGEIEQYFNNLGLDGPSAQERIDFIFPFIVSLLPPPLVPAPEADGDSDSDDERFSLTSSSSSESDAGVDPAAVPSAAPSDGEDHISRLPDSLLSDIVSRLTTKEAARTVALSTRWRGVWAATPLVVDDAHLVGDEGIRDIPIVRAASRCVAAHPGPVRGVRLTRVSFFHHEYALRHLVAALAVKGVQDLILFNRPWPLNMPLPEDTLRCASLERLYLGVWQFPKITAARAPAFDKLRELGLFHCIVENEDLDALLAHCPKLEVLSLVMGYNSPSRLSVVSCSLKVAVEWMSDLDEVVIEDAPCLERLMFQTIAKRRPIKIVRAPRLELLGFLDLKLHMLEIGGIVIRAGMKVRAKAMVPSLKILAVNVRFARSQEAKMLPTLLECFPCLETLHIKPVPSKHPDTAHDVEFWESLGSCKCLESHLKTVVFHGPLTQNHEFQFQSYIAREGKVLETVMVPWNHLSSGFSGESNAVGGGESNLPSGVIASRWRFQNAIDLALNDPFCVSLKVLGHILRGLVHPLLRVGCDIEEPRWRFAPQCAYPSLV >Et_3A_026229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5834528:5837760:1 gene:Et_3A_026229 transcript:Et_3A_026229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKAEHGGDYLRRFVAETEWYNEIVLSAVAPGDWWRGLPHPVQSWLRNCVGGYLLYFISGFLWCFVIYYWKRHAYIPKDSIPTTEAMRKQIIVASKAMPFYCALPTLSEFMIESGWTRCYFDISEIGFSMYLIYMALYLIFVEFGIYWMHRELHDIKPLYKYLHATHHIYNKENTLSPFAGLAFHPVDGILQAIPHVFALFVCRTHFRTHIILLFIEAVWTTNIHDCIHGKVWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMFGTLREPEDILKKA >Et_7A_052934.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2753931:2755259:-1 gene:Et_7A_052934 transcript:Et_7A_052934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRHRRRLAIPFSTLHAPASAPAPAPPSSLDAAAVLETLSLYANDWNRALEFFHWSASPDGPNLPPTAATLARTVDILGKHFEFSLATSLLLSHHDLARGDPAFLRPALRALLNRLAAANLVDDAVRAFDSTAASIGLRDEASFHLLVDALCDHRRIDEADNLCFGKDPPPFPLGTKVHNMLLRGWAKTRTWARLRQLWFDMDQRGVAKDLHSYSIYMDALAKSGKPWKAVKLFREMKQKRIPVDIVAYNTAIHAVGLAQGVDFAVRLYRQMIDAGCKPNTATFNIIAKLFCKDGRFKEGYAFVQQMNKVGCKPDVLTYHCFFQYLSRPQEVLGLFEKMLERGCKPRMDTYVMLIKRFGRWGFLRPVFTVWKAMEEQGLSPDVFAYNALIDALLQKGMIDLARKYDEEMLAKGLSPKPRKELGTKLPGAESDSDNVLNGVL >Et_5A_040971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15939300:15939711:1 gene:Et_5A_040971 transcript:Et_5A_040971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLQVVLTEKTQKWPKQVPNSSTTAPVDQVFAREQSGYIKHTATSENERSPGPYDRNNTEIDEIGPEQLQNSPV >Et_1A_009039.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:26682976:26683251:-1 gene:Et_1A_009039 transcript:Et_1A_009039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSLTVGACLFLWAGFVHGWPMRSSLMSGDDMSMMFFAVMTSFCALVALLAVPFGDPGAAFGSWLIAMAIAGFFGYTLAVYHVYKRPVLS >Et_3A_025036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26278959:26283097:-1 gene:Et_3A_025036 transcript:Et_3A_025036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRYRLGRLLGRGSFAKVYKAHKVATGEAVAIKVFDKDAVRRSGTVEQVKREVDAMRRARHPHVVRLHEVMATRSRIYFAMEYASGGELLARLTRSTRFPEPVARCYFRQLVAAVEFCHGRGVYHRDLKPENLLLDARGDLKVSDFGLSAMDGAAARLRGDGLLHTACGTPAYVAPEVLLKRGYDGAKADIWSCGVILFVLLAGYLPFNDTNLVCLYRKIMDSNYKCPPWFTVETRKLLARLLDLNPRTRITIAKIKAKPWFLQKGSCPLSDKPVVASDTAGLLGKDHHRHEEEEEEDARKRKRSKVATVSSPTIAVTPSSMNAFDIISRSSGLDLSTMFDEDHRRGSPPGRARRLEEIAEARRFSVTVKEKGRVAMEGGQDGPRGALSVEAEIFEVAPSVRVVELRNTGGDSLAFREFYKQDLKPLLGDVVGAGSSKEAEHRSLLICRRVSITNSAENQTEKQLAQVSHQTRSTRPTCKGKAGAHASPTPYAAKRDDLQCSAAWVTVHAVLPPGASQFPSFDAPDESDGELIN >Et_3A_024170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18045582:18050702:-1 gene:Et_3A_024170 transcript:Et_3A_024170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILERIKEIEAEMARTQKNKATEYHLGQLKAKLAKLRTQLLEPPKGSTGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKRKKTGGISFNTTVPLTHIDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRRYIKCVYVYNKIDVVGIDDVDNLARQPNSLVISCNLKLNLDRLLARMWDEMGLVRVYTKPQGQQPDFTDPVVLSTDRGGCTVEDFCNHIHRSLLKDVKYVLVWGTSARHYPQHCGLGHVLQDEDVVQIVKKKEKEEGGRGRFKSHTNAPDRISDRVKKAPLKQ >Et_7B_054056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14808061:14809057:1 gene:Et_7B_054056 transcript:Et_7B_054056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSKSSTVLRQGLPPLRINPRPQIQPPNQGPAVVPGFVNWEIHDFVIVCDLGFRNFGSVMKGIHRATGVRVAIKTLRDLSGFWQEAIMFSQCSVARDRNRNMMYIVMELGGTPLENAIRAGHAHGRALSKDDVRVIMKSLLLGLKHMHEKGIIHRDLKPSNILIDSNGKYVEGKICDFGLAIYYDQAVATWSRTPRGTYGYMAPEVHKAKSSCTFESDMWSLGAVMYEVITGSPLIKGRDPAGMITCMRSLFGTLSNNEASTNPKWATHGALIRRQFSPQCLEVLHGLLKLDPSERLSAADALEMNWFAGH >Et_9B_065858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15355148:15356321:-1 gene:Et_9B_065858 transcript:Et_9B_065858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAVAASRPSSLPRAPSPARHQVRACSLRCAALRPRHSHRHAFHKPSPATTALVPVRRPYCRSRQRPRCSQQITEVASGSGVDDDEACELVRGADVVVGEGDDSVRAHLLEAVKNNNGTGVLLLSDVFGFEDSATRDFAYRVACNGYNVLVPDLFRGNPWRKSLPMDGFEQWLAGHSPSRVSGDIDACTRWLADELTAAGLSKKLGVVGFCYGGGRLVETLARDAENAAFFRAGVCFYGSRMDASLGDRVRAPVLFVCGDGDPLCPVDTVRELERRARGCSRAAVYAGRGHGFAHRPQSVEEDADAEDAFNAMRAWLHEHLLA >Et_4A_035526.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27961505:27961942:1 gene:Et_4A_035526 transcript:Et_4A_035526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSKLRWLWRAPARALCRARDMYVRGLTGCARYVPADAAFGYPVIVPASLSRAHSSVSGWSGGGSEEDLRQLVRAASERRRAETQAVARSQSMAAGLAMARIDEDAPCEFGDGDGALVARSQSCVGAEAARRARGHRKVVALD >Et_2B_019069.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29424546:29424885:1 gene:Et_2B_019069 transcript:Et_2B_019069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQGGRASNKIRDIVRLQQVLKRWKKLATVAPSSSGKSSVPRGSFAVYVGDEMRRFVIPTEYLGHWAFAELLREAEEEFGFRHEGALRIPCDVEVFEG >Et_8A_056140.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:3094247:3094561:-1 gene:Et_8A_056140 transcript:Et_8A_056140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRRTASMDDGRDPSQVIRNAMIRALVDYYPFAGRLRELENPKLAVDCNDEGFIEADADVGLKQFGDALHPPFPCFEELLRPRLVRHTRFPSTACPGMVRRSY >Et_3B_028978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21026732:21030659:1 gene:Et_3B_028978 transcript:Et_3B_028978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVDEQKQQQQQAPPPPLPPPQVSTMSKTQDNVAKSSLGKEHIPGSELWTDGLICAFELIKSHRKHAQHKSWPTIEQAQEKGAAMYTRKHTRRNGHHIIAPKLDDSNLLENPHQAEFSDDLSVLNGGPVNAVEILDHKWVPIGWSRIAELVQRVQSDSSWENELMEMSESEDDYTVADVAAPYWQRPVGPTWWCHVTAGHPSVDAWLNTAHWMHPAIRIALRDESKLISDRMKYLLYEVPVRVAGGLLFELLGQSVGDPNHEEEDIPIVLRSWQAQNFLVTAMHVKGPSTNINVLGVTEVQELLLSGGSQTPRSVHEVIAHLVNRLSRWDDRLFRKYIFGEADEIELKFVNRRNSEDLNLVSIILNQEIRRLATQVIRVKWSLHAREEIVIELLRHLRGNATRTILENIRKFTRDMLEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVTHNLAIFGGGGMVLSIITGLFGINVDGIPGAQNTPYAFGLFAGLLFFLGFVLVGVGMLYLGLQNPVSSEKVKVRKLELQQLVSMFQHEAEQHGKVREGLSGHGSPRRSSGASDADYILIS >Et_1A_005083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25750903:25751445:1 gene:Et_1A_005083 transcript:Et_1A_005083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLDCRHRGHHTIQIRRSSYHDVIRVSDIQRFLDIAGVQTYVINSARVIFLNERPQQHKPGAGGKAASASANLCEVCARSLLDNFRFCSLGCKVVGCSPDPVKARNRLRYPSSDGAASSSLRNAADRMQSFTPPTPPTLPTKRRKGIPHRAPFGSLIVEY >Et_4B_039640.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24886888:24888627:1 gene:Et_4B_039640 transcript:Et_4B_039640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCLGVLLPPEHLHKSYKSIALRFSTAGFDLPDWFKNPKDVAHCAGLDGKVDDDDFVLPAKSDSSDEGSSDKAGGESRPLSIRAGCPAAASHEEAEFEADIDEVSRVLSSRFASPEAIVIAMDCCPVRVSARLVDKILRRFGNDWVAAFGFFMWAGSQEGYCHFADSYDMMVDILGKFKQFDLMFGLISEMHELGGLVSLATMTKVMRRLCGASRWSDAIDVFHKMDRFGVAKDTKAMNVLLDTLCKERSVKRALGAFQELKGTISPDESSFNTLVHGWCKARKLKEARETMAEMEKHGSSPSVVTYTSLIEAYCMEKDFQAVYSVLGEMRAKGCAPNIITYTILMHALGKAGRTKEALHTFNQVKQDGCAPDASFYNSLIYILGRDGRLQDANFVVEEMRKTGVAPNLTTFNTLISASCDHSQAENALKLLVKMEEQSCKPDIKTYTPLLKLCCRRQWVKTLLFLICHMFRKDITPDFSTYTLLVSWLCRNGRTAQSCLFLEEMVLKGFAPKQETFDLVLDKLDKRNLHSAKRKIQLLNMQVAATRSTARSYLGENGDVRQNCGILPNISGTESWNT >Et_1A_007520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35513009:35515479:1 gene:Et_1A_007520 transcript:Et_1A_007520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNSDLGGLGGRPMNPQANPFGNALNGAAPGLIRTGLGVYGEKFLDSSSEFMQSNITQYLSDPQYYFQVNSQYVRNKMKVILFPFLHRGHWTRITEPVGGRLSYKPPVQDINAPDLYIPLMAFGTYIVVAGYALGALGRFTPEALTLQFSKGLLGWFLQVILIKGLLYSLGSGEAPLLDIVAYAGYGFAGTSLAMLARIFWSYLYYFIMPWFCLCTGVFLVKTMKRVLLGGSRSYERHPSRNHYFLLFLAVVQFPMLFWLGNISDWCYRFSLSLGSITRELRSPLTLSVISSMRRPRRMTWCMHPREPVLDVVPMRAVLCSGAPSWRSCRRFFLKRGATS >Et_1B_013713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13871744:13872746:1 gene:Et_1B_013713 transcript:Et_1B_013713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAEGDAALSGRRRGRRPRGWVAFLCFGSRGAPGEEKFVAGTTWARRKRTVPVDGEEAAAAAAGKGGCCFLPPARVPGRKDGNLEFDGRGGSETNHKHERKRKQARPQDDRNQPSKAPDARARQVQTRVAPATTGAADERAPTRDAATKSKASARECSVAAAGSIGHGTTAGGVGAFGPAVGLSVVAAVSMAGLLSGRLWAVACVCAWLVGLSRLRRRHRHAQVTAGGDVGEVAVPP >Et_5A_041487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23531389:23538488:1 gene:Et_5A_041487 transcript:Et_5A_041487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTGAMGSLAPKLLQLLHSEYKLQKGVREQVESLSQELESMHIALATVAEEPREQLHPQVKHWASEVREASYDIEDVIDSFLVRVDGGHQTVDAEKAKIKRLLEKMGKLFNLSKLKARRNIAGAIEDIKKKVDEMGIMRHGNYVDNLVAKSATAKSIDPRVLTLYTEVTDLVGIDEPRDELINILTSGDDDTSDKKNMIIVSVTGSGGLGKTTLAKVVFEKLTMHTNIDCKAFVPVGQNPDLKKVFRDILLGLDEPYYSKKTNFMIFDEKQLIEEIQYFLKEKSKHICLASHYWHTYRYFIVIDDVWEVASWNAIRVAFKVTKGSKIIITIRRSDVAELVNCSYQMKPLSSDSSKLLFYGRIFGSQNKCPKKFLELSDKILKKCGGVPLAIITIASLLANKSYEITEWQEVCNSIGSGLSIRNDMYNDMRKILLLSYYNLPRHMQTCFIYLSMYPEDHEIQRDRLIWRWICEGFIQTEKTSEDLFELGKSYFNDLINRSLVQPVGSMDSVRGIYACRVHDMILDLICSLSKEENFVTTSAEIEHVVSSESKRLRRLSLKNTTWPKKDVSQVRSIVIFQPSIDSLPSFSCFGVLRVLDLEGCVLQMGGHINLPPSIFELKRLMCLEGVCCHPRQGNLLRNLASLMVLDELYVDSESADAIEDLGHLTQLTELCIRIKLQMNQSISEALINSLGNLPRLQILYVDLDKNARNNLIKWERWVPPSHLRILQINGDYSLLTMPKWISPAPVPHLRHLDLEEVNIVRPEDIQVTGTLPNLITLCLVGNFDTFKERPLQKFTVSADAFPRVRRCRLHNVLTVPSMFTRGAMPRVDSIELSIRATDFFWWT >Et_2A_018384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25843904:25844935:-1 gene:Et_2A_018384 transcript:Et_2A_018384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFSTCIGHYHATDPSSSSSRRSHALFVPVSRTFKARHFALDILPTAPRGRQWELVDGSGSLLPRKTISAKFHRNIRHFVFIGGVRNEIVPPKFRLGPPYLHLAVQRRGFFPDLVVCEPISQRYVRINPIPEMKYFRCLGAFLGYMPNEVIAMSRFTVTCVLCDHAAGMEDGVSVVTARVYSHRAPPDPATRQRQPNGWDTTSRAVASRGIHLRGAESMHLAGRTWLSAFGTLRTTAPCFLSRCTEPSSRISVSRRSTSGNRTTSQRSGLSRRCPALVS >Et_2B_021720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4841739:4843432:1 gene:Et_2B_021720 transcript:Et_2B_021720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSDYAASILLCSEDSAAVLDLEGEESDEISGALGPPSRSAVDCPGTLWIELPLQSDDCVEALLEREEEHLPMEGYLQRLMQRPGGSDLAAVRRHAIDWVWMVRDHYKFGPLTGILSVNYLDRFLSLCDLPQAEAWMTQLLAVACLSLAAKMEEKIVGEAKHVFETRTIHRMEILVLNTLGWRMQAVTACSFIDYYLHKFNDGDAVSKIIFARSIDLISSICKVAEFLVFRPSEVAASVALLSLGKHEDSVLGSVATCCKHIRKDRVLKCLELLREKNFMENITPRSTVSSPFILPHSPIGVLDAAACDSQQSEDTSGGAPSVTAENSPARKRRKTGI >Et_8B_059259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15895885:15899548:-1 gene:Et_8B_059259 transcript:Et_8B_059259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERKGGEEEAAAAARAAEQARELQEAAAALLTRTRAEEEALRHRAAALQEELRRLRKAATAQADSEKVEEDLDRATCLISDGDIASLLPSKAHGCFLKMFLGPVNLRATRKEVQLKVKEEYNSYRDRTALLFLGFPVVLLCLRSWLWNGCFPALPVQLYQAWLLFLYTTLALRENILRVNGSDIRPWWILHHYCAMLMALISLTWEIKGSPDCARKQRGVELFLCWAIMQGFVMMLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVEGQLLLLCPLLFLLQGFEGYVGFLLLRTATTGVIPEWQVVVCGVLLIAMAIGNFANTVDTLMAKSRFKAKMKKSKSKRDLGTCTSPKGSSPTDSAARA >Et_3B_029294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23806483:23813489:1 gene:Et_3B_029294 transcript:Et_3B_029294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAASSSSSHQRWGGSAGTTPRSLSTGSSPRSSDDGEELVEVTLDLQDDDTIVLRSELKAEAMSIARQFSQDLTKRFGRTHSRADGQQQQQPQTGIESALAARAARRQRAQLDRTRSGAHKALRGLRFISTNKANNAWMEVQANFDRLACDGFLARSDFAECIGMTESKEFALELFDTLSRRLRMQTDKINKEELREIWQQITDNSFDSRLQIFFDMVDKNADGRIGEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEGLGYIELWQLETLLLQKDTYMNYSQALSYTSQALSQNLAGLRKKSPIRKISTSLSYYLEDNWKRLWVLALWIGIMAGLFTWKFMQYRNRYVFNVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRNTRAARALPFDDNINFHKTIAAAIVVGIILHAGNHLVCDFPRLIRSSNEMYAPLGQYFGETKPTYFTLVKGVEGITGVIMVVCMIVAFTLATRWFRRSLVKLPKPFDKLTGFNAFWYSHHLFIIVYIALIVHGECLYLIHVWYRKTTWMYLAVPVCLYLGERVLRFFRSGSYSVRLLKVAIYPGNVLTLQMSRPLNFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFAAACEPPVGGKSGLLRADETTKKTLPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKMEEEEEASTDLYPPVGRNKPHIDLNTLMTITSRPKRVLRTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNWKKVLSKIASKHPYAKIGVFYCGAPVLAQELNKLCHEFNGRSTTKFEFHKEHF >Et_6B_049537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6207675:6210094:1 gene:Et_6B_049537 transcript:Et_6B_049537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSVKDSSASTFSIVEEDHTLANSVRFVLNQDPRVSFCGYSIPHPADNKVNIRVQTTGDPAKDVLKDSLQDLMVMCQHIRGTFDNAVADYRSNKPAEAMNIDPKQK >Et_6A_047748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13358597:13360300:1 gene:Et_6A_047748 transcript:Et_6A_047748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRHGRGISTAVAAAAASGGVGGEAEGSGGLGRAEGAPGPAAHRGLERGCCERWRVLFAARDWEIEAGSQGGEDGDLAGVGAREGGKSSLLFGAENRADHCVGVLRLLPPRRTRPYILNYDRVQACVHP >Et_4A_033738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26332889:26354049:1 gene:Et_4A_033738 transcript:Et_4A_033738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAEEAAAPLDPAEGEALADVEAEAVVDAAAEEAAAGYLWPQLRFDHPPRRLYHFARQFRSTAPHGSGGENFLKGVKWSPDGSSFLTSSDDNSLRLFYLTEDAYGAGEHIPEAAVGGEDSYGAFLQVNEGEPVYDFCWYPSMSLSDPATCVFASTSRDHPIHLWDATTGELRCTYRAYDAMDEITAALSISFNPAGSKLFAGYNKAIRVFDVHRPGRDFDQYSLHKGGEGPTGIISSISFSPHNGMLAVGSYSQTTAVYAESNMEPLYVLHGQLGGVTQVLFSKDGNYLYTGGRKDPYILCWDIRNTVDIVYKLYRSADTTNQRIYFDIEPYGKHLATGGQDGMVHVYDLQGGQWVTGFQAAADTINGFSFHPYLPFAVTSSGHRRFSLQDESEEELNLAGASYAVRRAAVSAYGTLCAVLCSHEAPGGLPDGFVAWALPLLGDPSSAPLVAEGLRELLATGDGPAVERFVPPLLAAIRDVLEDERTSLPVLRCLLGLLMLIAAKFPHCFRPQFVDIMDLLLGWAFVPDLADVDRSMIMDSFSKFQWHWLGNLQFALGLLPKFLADMEVLLHDPNLAASHNSGRLRPLFACFSTVLQIMASGVAERNNLRELVAGPLEGLAPQLLRCAAVIASKLGWSERMEEASRCLVLLAEILQERFAEFYVMFVDVLAQSLELASPAQLVAALKTNQQVLSLQNLGLRASSVGALLDFSSVLSRLRLHPNHTVVANTVATYLFGLQHGSEDVVDQAIASLMRELELIKSLLEEDQLICPDIQSLSLECKSESQSKLDSHAHSWPRYSEEQLFSLIKFDLNILLATISLDTKKRNERATRLMSFFAETLDPFGTPFCNFLEMQFQIFSMLHKLSNLELSSNIPASEAFERGSDGSKTQLVVAENKLSCECKKKFVRKYGKYIVQGLNASSSMSLKLEALDWIDSFEKLVRGIERDVDKVCSYEVFGDATLSNVILFSILDCAYDGEPKVRCHVALSLELLLLGRLINPMNFSVVTQVLLDKLSDPDSTVKNAFLRLFSIALPITTYAFGLLADKHSYQNSTDVVNMNNHCTSWRHVPAVKQQPRKLHWQQLVSILSYLSLRLKLPLSPWVQRLVFSYRGKKDTPSGQTDISGESDGNELSKGPSLDRTTIDKIYSVNNLAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVTNVLTPEAKEGEVRHTAPSDVCLLPMRLLLDFVEALKKYAYNAYEGSFVLAPPPKASSVFFRANKRVCEEWFSRICDPMLNAGLAMHCGDAVVHYCSLRLLDLRNLGASSLRGNSHMGVATENHHAFRERLEADVLKVLRHASLALCRCHETDALIGLQKWAVSTFYTFFEQGNQLMRVASDSSKHFSWMSGLIFQSQGQYEKAAAHYSHLLQSEEALTSMKSDGIQYIIDRVIECYTSLSDWKCLESWLAELQVLRAVHAGKPYSGALTSAGNELNAIHAMACFDEGDFHSAWGYLDLTPKSSSELSLDPKVALERSEVMLLRAMLQSDSKSDRVREELDKAKLMLGEALSVVSLHGLTEAAACAGQLHCIFAFEEASGLSGRNEPNHSQVIMDSLLKVLHDPIDSIHQDCSMWLKVFKVYRHTQPSSLSTLLLCQKLASLARKQCNFMLASRLNQYLLSHPLNLSDDMNKEILELNIKYEGALLKHQEGNNEEALSDLWSLVRPSVLSTISGSSGIGTSLSLIANACLKLSTWMEQENSTHILNTIIPKVIKDLGDFDGFRNGAETFLAGDSVSVSTSNCYALSQEIIGTARKISCELCPSMGKAWLAYASWCFTHANNSLSGTDLNLQSSISPILESELSPDRYHLTDNEKSEVEEIIRSIYAESSANYVGCDYPLSKGCYSSAQEDPITSLIEQAICLIETAAGAPGSEARESEDPSAVLKSDLTVLLCKHDSAKGIAVPLIDKLIGFWWSLRQRRVSLFGHAAHAYFQYLSYSSTELQPSYHRDALKGKTRSYTLRALLYLLHIMLNYAVELNETLERGLSTVPLLPWQDILPQLFARLSSHPEKIARKLLESILLKLGKLSPCSIVYPTLVDINACEGEPSEELQHILDFLVKLYPNLVKDVKLAIEELGMITVLWEEQWLSTLQDLHSDVLRRINVLKEEAARVAANSTLSAAEKHKINAAKYSAMMTPIIVALERRLASTSREPKTSHEMWFHKEYNAQLKSAITALKTPPGSPAALGEIWRPFDSIAASLATHQRKSCVLLSEIAPQLAVLSTSDIPMPGFEKQIHSSEYSFAGNHGTVTVSSFCKEVTILSTKTRPKKLVLQGSDGQRYTYLLKGREDLRLDSRVMQLLEAINSLLYSSSDTRSRNIAVRFYSVTPVSGRAGLIQWVENVSSIYNVYKSWQKRSQLARAQQEGQLSSVGAGNAHNPVPPVPRPSDMFYGKIIPALKEKGIKRVVSRRDWPLDVKRKVLLDLMKETPKQILWQEMWCASEGFRNFNSKVNRFSSSVAAMSMVGHMLGLGDRHLDNILMDFSNGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLTGVEGVFRVACEAVMGVLVKNKDIILMLLEVFIWDPLIEWTHGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHQDLLITNLPATLSALKKFLYTLDQYEVASAMFYTVEKERSSALQNETSAKSVLADATSVAEKSRTSFERHAHELAESKAVVMDEANKLAIWVEKHGRVLEAIRDNSITHAESCMQLNCKDEALSLISAVVLSGVPLTVVPEPTRAQCNELDMEVSQLISELHGGVSSALDSLSEYALVLQQVLPVNYITTSPITSWAQVLQLCVSSTSQDMLTLAKRQAAEVIAKVQGESINLVQQRYRDLLNQMESYVACIERLARECSELMNSIGSDNEVQSKERILSVIRNSVQLPSQMKDGDNIHLLHSRSVGRGETKGKDDIQGKVFSIFWIAVGQLYSDIRAKVLDLSTNAVGRSKLRTDNAGLQADAGLGLQLFDQQIEKCTLVSGVVDEVHGVIGNKIAEGSVAYAKRQPRHWASTFQAALRSSINIIEQMTEVFLPEFIRSFVSCNSEVMEAIGSISQIRGSVDTAVEKLIEVELDRASLTELEQSYFVKVGRITEQQIALEEAAARGREHLSWEEAEELASQEEVCRAQLEQLQETWNQKDLRISSLMKVETNVMNSLRSSKQYLSTLLDADRESEFHLRRSMLLPCLTKPFADLESIDNMLSSRGSFLDSVLDLCMREISSSVEHSFTTNQLYNTLKKKLAMHVEKQVFQYMAERVTPVLVQTLDEEINDMLHLSQGRESDQPKRDSPAVGRVTLLLEEYCNTHETARAARTAVSVMKRQLNELTEALRKIILEIVQFEWLHDLSSPHSQKAKVLSQNILTDDKFISVILNLSRSNLLDKIQSSVSLVTRSIECLQACESTSISAEGQLERAMGWACGGSNTSGASGFRNPPEFHDHLSKRKKLLRLVQEEASNLVKFCSSVLEFEASRDGLYFNSDDKSSEQYTDKGRAWQQTFLNLLTRLDAAYHSFTCAEQEWKLGQLNLEAAGNGLFSANNQVSIISGQTKTALVNLQDTLVAMYESACEVSTLLSGFKHVSQDRTALTSECGSLLEEVLAIADGLHDIYILGKEASAANMILFPLEASLSADVTVMSEAISKEREKNNANMPLIHGKALYQTYSIKVREACKLLEPLVGPFTEDVKELHSMVTKLGRLSSIHAGNLHKALEIHEEAETVKSEDVPSTHPDLLQSDSSSEKDRGSSGSREGDSQDLVMNVDVSLQDGCWISPPEHSYTSSSGCNTGLTQISSSETSEKIDAVMDDGAGIEGPQTNSQEEKDSCSNEALIDDVASIHDVETHLVEGKAEFEDNGMAFKQVRGQECDNSDPKSYSDSVTRVNRGKNPFALSILKQVEHKLHGWDIDSTRWAIKQKSLNISEQVDHLIKQSTSIDNLCNINSGQLLPLFQPSTMKNAEAIAWPALNIRDLQSGGHHSTFSLWSNYPVVLELYDPNGRA >Et_8B_060781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7478258:7481554:1 gene:Et_8B_060781 transcript:Et_8B_060781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPGRRRGGTWLQGAPGRRQAAATSARFPTVVTASCASSLGSWRRIRQARASPWNTKMTIRKPRRLVGERDVDELVEPPGAVGGADDEHRLLGVDAIHLREQLVEDAAGGASRVTDVVSTFHGDGVELVEEEDAGRRRACLVQEVTDVGLALPEPHGEQLGALDADEVGLALFGDGLREQRLAAPGGAVEEHAARRGHAERLEHLRVLDGVLHRLLELLFHAIEAADVVPRHLGHLDEAALAQRRRLGHLHGVAEVVVADGERVEHVHVDGIRLQVDHVHLLADALHGRLRAEGREVGAHEPVRVPGDALQVGVVCEGHVLGVDPEDLEPAHLVGHADVKILVEAAERRVQAVGPVGGADDHHLRVRAEPVHERHCDTTRHSTSPCVFSRLGAMASISSMNTMDGAWASASSNTLHRFSLLSPAIFDMISGPFTTTKLAPDSAAMARARSVLPIPGGPKRRMPLGGFTPSVWNNLG >Et_8A_058366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5954263:5958240:-1 gene:Et_8A_058366 transcript:Et_8A_058366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPAAELEAAERVVMRWDSSSASPADEPMLFDGGGDRAEADRFLRAVDDLRRLAPASPAAVGSPRRLSSSGSSAGSGSGAVQVAMARLEDEFRHVLSSRAIDIEIEALADLSSLSLTSDRSNSASSADLPTAADEDDSVSSSIGRRSSAYRSLRSIREIDLLPDDAVADLRAMASRMAAAGYGRECAQVYASVRKPAVDASLRRLGVERLSIGDVQRLEWDALEAKIRRWIRAARAAVRGVFASERRLCFHIFHDLPISGNTISAAAAPATHDTPFAEAVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDALSDLLPDVSDIFAASKAAESIYVQAVEIRSRLADAVRGILSEFENAVLRDPPKTAVPGGTIHPLTRYVMNYSSLICDYKATLSELIVSRPSASARLAAEGNELASSLSDLELPELENQSPLAAHIVWIIVVLEHNLEGKAALYKDLALSHLFMMNNIHYIVHKVKDSLDLWGMIGDDYLKRLTGKFTVAATNYQRTSWLKILNCLRDEGLHVSGGFSSGISKSALRERFKAFNAAFEEAHKVQSGWCVPDNQLRDELRISIAEKVLPAYRSFLGRFRHHIENGKHPELYIKYSVEDLEIAALWRGWVTTGRRLGAVAEVGRHRTPQSLKNMAGVPESELNRGNEGDIG >Et_7B_053994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14010707:14013918:1 gene:Et_7B_053994 transcript:Et_7B_053994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVETVVEVKSPASKFWAALRNSTELFPRIFPEHYKSIETVDGDGKSAGTVRHIKYTEKVPMTTFTKEKIELVDDANMVLSFRVVESEIDDSYKNMKVTVCLTPAASSGAQTAVNWAMEFDKASAQVPEPDVVETVVEVKSPAGKFWAAVRNWTELFPRILTEHYKSIETLEGDGKSAGTVRLEKIELVDDANMMLSFRVVEGELVEFYKNMKITVRVAPAAGETAVVNWAMEFDKASAQVPDPDAIRDVSAKIFRDLDDYLLKN >Et_9B_065091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21096124:21099448:1 gene:Et_9B_065091 transcript:Et_9B_065091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGRDVNPLSGYRIGKTLGIGSFGKVKIAEHILTGHKVAIKILNRRKIRSMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVVMEYVKCGELFDYIVEKGRLQEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKWNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPSARDLIPRMLVVDPMKRITIREIREHAWFKIRLPRYLAVPPPDTAQQVKKFDEETLNDVIKMGFNKSLLIDSLQNRLQNEATVAYYLLLDNRLRTTSGYLGAEFQESLDSSFSHVTSETPTSATELRLHGHMDSPGFGLRQHFAAERKWALGLQSRAHPREIITEVLKALQELNVCWKKIGHYNMKCRWSPGCLESMMHNGHGFGGESTIIETDDLIEKSNHAVKFEIQLYKTRDEKYLLDLQRVSGPQLLFLDLCSAFLTQLRVL >Et_5B_045566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4455170:4459067:-1 gene:Et_5B_045566 transcript:Et_5B_045566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWFSDAACRARRRVTSPSRAMRLATSATMFSPMASRWWPNAATRRGPNMWLAARRRSPRQCASPDGAKPMARWNRRWRAASLMGRSPNAGFTRISRAVSGWLATTRRVTPTEKDISDLPPSTARDSAASVRCATGLESAVSTPGGPDGGRRLRDRAHAGPPGESHRSTAPRTTTATMDGSGDANGRRNRSDSSRPVTPMVLVASGRTLGWCSEAMLVTEMVRGGGNKVSPYTPLRRRPRSLHLAGSFGLLQK >Et_10A_000019.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:14094229:14094690:-1 gene:Et_10A_000019 transcript:Et_10A_000019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHKPRPHIVLVHAQASSRAEPCVEDVVVPDSEDGDDVWLCKLCCEVHSVKDIQECRRVRREQSRCKRCGLIHKDYDDLSRIIRGFDKFYCELYISNVAELQMDGGTIILPPHVHSRIDELSAMARSARAMARARAKRVEKKQEDAKKKTDT >Et_3B_031182.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:2085150:2085737:1 gene:Et_3B_031182 transcript:Et_3B_031182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGDAAVVTAPAPTAAPKQQQGARRQKPRRISMEGLQRAMSDLALELARDRKSSSVADAAAAKQQLPAITEQAAAVVEARCECCGMQEECTPAYVRRVRDRYCGRWVCGLCAAAVSGEAEARHGGRTEEALAAHMAVCGRFNRVGRANPVLMQTEAMREILRKRARSNSPREHGPGGLARSTSCIPAITKDFN >Et_3A_023255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14431222:14432310:-1 gene:Et_3A_023255 transcript:Et_3A_023255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATLMQPAKIGGRASVSMPSSRASSHVARAFGVDSSAARITCSLQSDIKEVASKCVDAAKLAGFALATSALLVSGASAEGTPKRLTYDEIQSKTYMEVKGTGTANQCPTIDGGVESFPFKAGKYNMKKFCLEPTSFTVKAEGIAKNAPPEFQKTKLMTRLTYTLDEIEGPLEVSSDGTLKFEEKDGIDYAAVTVQLPGGERVPFLFTVKQLVATGKPESFGGPFLVPSYRGSSFLDPKGRGGSTGGRGDEEELVKENIKNAASSTGNITLSVTKSNPDTGEVIGVFESVQPSDTDLGAKAPKDVKIQGVWYAQLESN >Et_8A_058316.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4827374:4827787:1 gene:Et_8A_058316 transcript:Et_8A_058316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHLVAVAMAFLFAAATAQAPGASPTPAPRSQPPVTPPPPPPPPPARAPVLPPAPSPATPPSASAPAPAAPVPAPNAAAPAPEIPQSPPAPAPGSIANPPAEAPVSPPPPSAASGVAPAAAWATAAAVAAAAVFY >Et_9A_063550.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:7041684:7042595:-1 gene:Et_9A_063550 transcript:Et_9A_063550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVYPAAKPNPPPAAAAAANGGGGAAAGSFQAPKPQMYQRPIYRPQAPAKRRRSCRCSFCCCFCWALLVLILLALLAAVAGGAFYLLYRPQRPTFTVSAVRLAALNLTSSATAPALTSAITLTVTARNPNKKVVYLYDDLTLTAATAANGVPLGSATVPGFAHQAGNTTVLAATLAADAVPVDPSAAGSDIKKPGSSFAVALDAETRAGVRVGGLKTKKIGIRVHCEGIKVTVPSQATKPSKKVVVKGKGGGKGNSTAIAAAPAPAPTASADDAAPATPAVSTAAHSCEVRVRVKIWRWTF >Et_7B_055391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9960477:9961662:1 gene:Et_7B_055391 transcript:Et_7B_055391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPPLNTAKTQHHFESETQSRAGSSGSNRPAERKRMVLEIPVIDLRLAEEESARLRDACERLGCFRVSGHGVPAELQAEMKAAVRALFDLPDDAKRRNADIIAGSGYVAPCPANPLYEAFGLLDAASPADVDAFCARLDAPPHVRETVMSYAERMHGLIVDVAGKVASSLGLEEQHAFQDWPCQFRMNRYNYTRDTVGSPGVQVHTDSGFLTLLQEDESVGGLEVLDPAAGEFVPVDPVAGSFLVNIGDVATAWSNGRLHNVKHRVQCVAPVPRISIAMFLLAPKDDRVSAPEAFVDADHPRRFREFNYDDYRRLRLSTGERAGEALARLAA >Et_8B_060276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8563303:8563935:-1 gene:Et_8B_060276 transcript:Et_8B_060276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSPPRGKLVKFPGSLPASFQRALKGLKIVYPWNSHDIVVGSNVMKLIGVEEANRDNDYRGGVIHFHMAKGGH >Et_5A_041459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23144978:23155910:1 gene:Et_5A_041459 transcript:Et_5A_041459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYYSSKVAWFALAFAMAAAVVAAQNSAQDFVSLHNAARSAVGVGPVTWDNTVAAYAQNYAAQRQGDCALKHSSNSPYGENIFVGGAGKAWSASDAVGLWNSEQDYLDPHNAARSDVGVGPVSWDDTVAAYAQSYAAQRQGDCKLQHSGGPYNKKLMAAYSSWKLACIGLAFAAALVAPCAAQNSAQDFVNLHNVARAAVGVGRVYWDNNVAAYAQWYAAQRQGDCALRHSTNSPYGENIFWGGAGKAWSAADAMASWMSEKKYYYHGSNTCSTGPLGCLHYTQVVWRDSTAIGCARVSCSNGGLQPAGQLEWTEPLVCFALALAAAAVLAAAPCAAQNSPQDYLDSHNAARSYVSVDPVSWDDTLAAYAESYAAQRQGDCELHVRLNDAVSRDWPNFCALVDVQKLRLTL >Et_1A_005293.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:38393602:38394048:1 gene:Et_1A_005293 transcript:Et_1A_005293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAISLKDDPGSVEASPARLTVVADVSVRNPNAASLRYGPTETRVYYRGRLVGQAAGPPGNAPARRTVRLNVTVAVAVGALVGEPGFLGDVAAGAVEVATATRARGRVAVLGGAVRRRVTLEMNCTATVAVADMSIRDQSCLQRVSLQ >Et_1A_008729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1103057:1103823:1 gene:Et_1A_008729 transcript:Et_1A_008729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLKGLRYISQIFDAKEPEMKIGNPTDVKHVAHIGWDNASVTAPSWMNDFKATPEPSQAGGGGGGGENRDEQPGEGGAGGRAEQTERPRRTKGKGTGGSEAKRRDGPGEGSRRDRRLATKAEAEGGEGDAAAAPKQRRRKPRASGGGTSSGGRSKSSSGGAGCGTASDSEAEDDRNGY >Et_6B_048950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1649554:1678605:-1 gene:Et_6B_048950 transcript:Et_6B_048950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLHHRSAFLLSSAAASSTQRSWRRVSSLSLDLLGRARAGVLRQRASPGAAPPDPAEEPDAAAAPDALPRHPLHHVPDQFAAQARPRRRRREVGRAEERGQGGAPPFPAAPTPWRAFFAAQARPRREVGRAQGQAGPDDHVASSSNSSNKRAVASRAASTERWDARKQPRAVQEEELDDNDNKSSTGSNDVEYLPTPPQQKQGPSYAGPGFLVSPEPGMLPMPSFMKMASLLPTTPCAVVLPKQSPPPKPAGRADAVERWDACKNTLLKRPVAQECRTGQRGVVVVVRIKPALITAAESLRRTRLLRPAGAKHASHALVPGSPPLRPPPRSSSKPNGHMETLRRKSRTLTPSSSSSSSLTKSPAVPVARVHLAMAHAALPGLLPTPPEWKVAPLLPTPCTVGLLKQSPPPKPGRADAVERWDACKNSLCLKSSSEPAGRSDAAERWDARKTATQSPEASVASSPGSSLQRSSPPDSKSSSIPARASSCERWDSSKKSSTTTTSSSSSSISAKPKRDGSKRPVSRGSSSTRSNDMEVDNKPQPQQGFYAGPGFINAPPELSMLPMPSSLVLPAPSSSPSSRRHPSPPAAPTPSSGGTRARTPSSSRRPNRPVAGRVQDELMAPALLPTPPCTVVLPKQSPPPKPAGRADAVERWDACKNKSSSKPGRADAAERWDARKITPHASAVPSGSSSNKSATTSSFSSSASSSSRARRDGSKRPVSRGSSSDERWDAHKKAAHADALDTDEEGESSSTGSNDMEVDDKPQPQLGFYAGPGFLAPPEPSMLPMPSFLVLPRCVAA >Et_5B_043893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17202713:17204975:-1 gene:Et_5B_043893 transcript:Et_5B_043893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQAPESFSPEKKDGKSAAEEKALDDWLPINGSRNAKWWYSAFHNVTAMVGAGVLGLPYAMSELGWGPGIAVMILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHVFGERLGLWIVVPQQLVVEVSLNIIYMVTGGQSLKKFHDVVCDGNCKDIKLSYFIMIFASVHFVLSQLPNFNSISGVSLAAAIMSISYSTIAWGAPLAKGREANVDYHLRATTTPGKIFGVLGGLGDVAFAYSGHNVVLEIQATIPSTPEKPSKHAMWKGALVAYIIVAMCYFPVTFVGYWAFGSSVDENILITLSKPKWLIAAANMMVVIHVVGSYQVYAMPVVDMMESVLVKKLRFAPSLRLRLVSRTFYVAFTMFIGISFPFFGGLLSFFGGLAFAPTTYFLPCIMWLAVYKPKRFSLSWLTNWFCIVIGVLLLVLAPIGGLRQIIMTAKTYRFYQ >Et_4B_036578.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:582706:583161:-1 gene:Et_4B_036578 transcript:Et_4B_036578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQRRIRTTHTARTRFTTSPWTTPPRTAATCPTPARSTSPTTTAAARGGAPSAASSPAAPSPAASARRRGTPRGSTTTSTSPPWSAPRTRTRSTSSSSATTSAPSRPTTTSTAPAAGSRSTSSPSTPPTTSTTTPSPGTPRSSSGASTAT >Et_1A_009567.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:8228696:8229421:-1 gene:Et_1A_009567 transcript:Et_1A_009567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIPGIDYYVPWSDHFPRSSPPILSGFRLPYSRYRNIPWAEPSIEFGDIGIPSADQFPTSPTGTDTPTRRRRRFPLENSSTSNKRARVDDDDAVFRLKLPEVAAASGADCAICLQDLDPGSGSEPAPEEATPRAMPCSHAFHERCIFKWLRQNPVCPICRRPLHRQQHQYVEDEDPLQLLTPLPQEEDFDDEDPPQWWPMPVPRLQLPTPVPREQYVDDDDQLQLTMPVPREMLEIEVL >Et_10B_002784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11077974:11078325:1 gene:Et_10B_002784 transcript:Et_10B_002784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMTLKGKGHILSCCSDSSNKRMMTTTDATKEVAGPPVVMHDWVAGFVSSAIALPDSTGWFQAGLVGDARHQDTQQHQGHVFLYPHQQRLPLVSS >Et_6A_046860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21678884:21679487:1 gene:Et_6A_046860 transcript:Et_6A_046860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRNLCLMRTFQGQDPKRVPSCKSHPPRKRLCKLSENDEVHNSGDSGKDDCLASLPQEAKIWIRHSCIIYHHVPTATNRELFREKKNTKSYPRVFVRSFLFAEEPMNDIVFYHVMPQRTCRQTELVCGQLINQTLPNPLLAGSGRSDLGVLPPSLQMYMSLFPFLFNLSCNHYFTLYYLCCGFTCVRF >Et_9A_063521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:633378:635289:-1 gene:Et_9A_063521 transcript:Et_9A_063521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFLHDGKCSVKNPFSNITLTLPNLSCFCPIDEPVEIINGRVTRVNLEDEMPQEPLNMDAGMAIDKQREHQRHVLYEGKLYALDGFKDLLVIIVGEDDENGKLSISRIERLIETSFCFQGKMTATG >Et_10A_002332.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:9162752:9163081:1 gene:Et_10A_002332 transcript:Et_10A_002332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITCTTLTEPARAIRLLKIDGCPPYASTFTSSEQNKYISSRWEVDGYEWEIRFYHMALELVFLSEARGKEVMANLSCHLLKGSVRPNHFGVQIISASIRFIWEISDHE >Et_7B_053520.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5367902:5368207:1 gene:Et_7B_053520 transcript:Et_7B_053520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLSLTQWTLLCTDGHPPPVGAIKINSDASFCYNDQTRAFGVVVRDEKGQFLKGTACWLPVVMSPLTAEAEACRVGVQLLAGNSGAKVIMETDSKTLVEL >Et_2A_016653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2771948:2776409:1 gene:Et_2A_016653 transcript:Et_2A_016653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLGTLAALALAAMWRPHASSAADSAHVPESTRRSGYLMGQGQGLRCCFSSKQNKRSSSGPDLAAGTAGAAIPEAEMATTAEASAGDELRSKFREVLLSRRRDLQVPLKVEQGSPVKEPLYQKPVRPGDSEAMESCPRKKVENSKEKFVEENFYLITELGEQGRVPVLLLKLEDTVPKKRPVIVFLHSSYKCKEWLRPLLEAYASRGYISVAIDSRYHGERASSNSTYIDALKSAWRNGDTMPFIFDTVWDLIKLGDHLSEREDVDPCRIGITGESLGGMHAWFAAFMDTRYSVIVPIIGVQGFRWAIDNNRWQARVDSIKPLFEEARIDLGKSEIDTEVVEKVWEKIAPGLDSQFDAPYSIPLIAPRPLLLINGAEDHRCPVAGLEESNTRAAKAYEESSCEEKFMFVAEPGIGHQMTANMVKEASDWFDRFLPA >Et_10B_003409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19503577:19523927:-1 gene:Et_10B_003409 transcript:Et_10B_003409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLALVAVVAVVAVLVAAETGSAAISCGDTMARAQKKLVLVALVVVAAALLMSDEANAAITCGQVSSAISQCLPYARGSGGAPSAGCCNGVRGLNAAAKTTADRRAACNCLKSAAGRVSGLNAGNAASIPSKCGVRLPYTISASIDCSRTLLVTLAVVVALLATEASEAAITCGQVASTLSPCIPYATGRGTLTPSCCSGVRRLNSAASTSADRQATCRCLKSLAGTISKINMGTVAGVPGKCGVSVPFPLSLSTDCNKYWKLWANGTVMLMKMISGFIFGDQLCWASIQIIWDLALPLVEMIQEQKQHFAYDCFMEVVMIASWAIWIQRNDIIFNRSAISFPKWKKDFKEIFTLMYFRASQRHTEMALNKKQALVAFAVVIALLASEASAAITCGQVGSSLAPCIAYATGRGTLTSGCCSGVRSLNSMARTTADRQAACKCLKSLAGSVGKINMGTVAGIPGKCGVSVPFPISMSTDCNKYMALNKKKQALAVAFAAVVVALLVATEVSEAAITCGQVGSSLAPCIPYATGRGALTPACCSGMRGLNSMARTSADRQAVCRCLKSLAGTVGKINMGTVAGAPAKCGVNAKAGTKKNKADFVWCI >Et_4A_032773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13682300:13684757:1 gene:Et_4A_032773 transcript:Et_4A_032773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPNPTATAATAHPPVPEQTPSNHNLTSLYERFNSLIHVTPASTNQNRKIHAGDPLLNPQAPRVVRSSRKKHISSGSRPSAPSNRIYHGGCRSINRRPPPRLLIFSGLPLPRAASQIRSVRRERSRAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Et_1A_009313.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:38199272:38199571:1 gene:Et_1A_009313 transcript:Et_1A_009313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGPMEFAPPQPVKGGKPPASTAAGHDHGHQQQELSRCGDVGFRMPLHYPRYKKADYEAMPEWRVDCLLREYGLPVAGGLDDKRRFAMGAFLWPDQY >Et_2B_022038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8381548:8382623:1 gene:Et_2B_022038 transcript:Et_2B_022038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSSGLRSCSAVGVPSLLAPSSRSARLPFCASATTSGRVTMSAEWMPGQPRPAHLDGSSPGDFGFDPLGLATVPENFERFKESEVYHCRWAMLAVPGILVPEALGLGNWVKAQEWAAVPGGQATYLGNPVPWGSLPTILVIEFVAIAFAEHQRTMEKDPEKKKYPGGAFDPLGFSKDPKKFEEYKLKEIKNGRLAMLAFVGFCVQQSAYPGTGPLENLATHLADPWHNNIGDIIIPRSISP >Et_7B_053321.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7003334:7003630:-1 gene:Et_7B_053321 transcript:Et_7B_053321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIGGLILAKASKDSGKIQSPSPHIKPDATAGTISAALLRSIICHVKTIKLPTHMSMAKLAPARKGTAIAIGRGIHLPYFGGRRSLITLATTANPKNT >Et_9A_061695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15458479:15459907:1 gene:Et_9A_061695 transcript:Et_9A_061695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPVNQLNAHISNMFATGLLDEQFMALRSLQDAESPYFVTGLVTRFLNDGNRIFGKLTQLLERSFVDFDVVSNHLIKLKGSSARWIITLARGRATFNEVRNEFQTMIQNFKLAKEYDGRAS >Et_3B_027986.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:29861422:29861562:1 gene:Et_3B_027986 transcript:Et_3B_027986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IRREQNCVAHELAQLAKRTVHTAVWRARSPHCAEHSIARDCNLVPE >Et_4B_038420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28929165:28934097:1 gene:Et_4B_038420 transcript:Et_4B_038420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWVTGSQNEETRGGMQQLQPKQMHWVRADSSDFGGDRPAARSGHTAVSIGKSKVVVFGGFADKRFLADVSVYDVENRLWYTPECTGNGSDGQIGPSPRAFHVAVVIDCNMFIFGGRSGGRRLGDFWMLDTDLWQWSELTGFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYIMDTMSLEWTELAVTGTVPPPRCGHSATMIEKRLLIFGGRGGAGPIMGDLWALKGVTEEDNETPGWTQLKLPGQSPSPRCGHSLLLFGGHGTGGWLSRYDVYYNECIILDRVSVQWKRLPTSNDPPPPRAYHSMTSIGSRFLLFGGFDGKNTFGDLWWLVPEDDPIAKRDSAPNIDSESKPTTMIGDTPQSNLKEEQTHESPITELAKRLGIPLSEEVSASFVDETNDKELVELSSRLAGQSLPASDQVSSIQVLRDHWRSCPASSIQMQELGPLLRDYQRLIHQRYAGNPLPAFQEIEALRFFHLKSASQLRMDDIPILLSEYGKLLST >Et_1B_011206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18845363:18867131:1 gene:Et_1B_011206 transcript:Et_1B_011206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGDRNSGSEWVWVRRPPEAEAVAAAAGWLTAEDEARPLKVVFASPARYFTDAAPIGNGRLGAMVWGSVESERLQLNHDTLWTGGPGNYTNPKAPVVLSKVRNLVDNGQYAEATTVAYDLSGEQTQVYQPLGDINLAFGEHIKYTNYKRELDLHTATVNVTYTVGDVVHSREYFSSNPHQVIATKISADKPGNVSFTVSLATPLDHQIHVTDANEIIMEGACPGERPHEGENASDHPTGIEFSSGWVSHQVTDLWAKTSPDAGDPVWALWPMGGPWLATHLWEHYSFTMDKILGKPETDVVQRIKKALPRLRPIKIARDDDTLWTGVPGDYTDTKVPAALTVVRKLVDDGRFLEATAAASALCSGPNEMGGIGAKAAVLNGQNLRIDNADWAVLLVTASSAFDGPFVNPSDSKVDPVSAARRTLKTTTNITFSQLKAAHMKDYQDLFHRVTIQLSQSSTYEKTSLREGEAIKTTAERVDSFRSDEDPSLVELLFQYGRYLLISCSRPGTQVSKLQGIWNQDISPAWEAAPTLNINFQMNYWPTLSCNLSECQEPLFDFMASLAANGSKTAKVLGKSDTSLVVRIKEALPRLPPIMIAKDRTIMEWVNYQANGWVTHHDTDIWAKSSAYYEDAVYAVWPMGGAWLCTHLWEHYQYTLDKKFLENTAYPLLEGCALFLVDWLIKGPRGYLETNPSTSPEHYFIAPGTDGQLASVSYSTTMDISQLSEMSLWLPFLPILGKSDTSFVKRIKEVSSRLPPITISKDGTIMEWAQDFDDPEVHHRHLSHLFSLYPGHTTTMEKNPEICKAIANSLYKRGKNGPGWSTVWKMALWARLLDSENAYQMVIKLITLVPLVLALREDCIPICGQRIPHSRLMPISGTKYSCFTETLKFVFTLADELVGLLSGHYFVIHSGYCRNATSEHSHRPLSVTCASTR >Et_1B_013500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9012693:9013780:1 gene:Et_1B_013500 transcript:Et_1B_013500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAILPTLSEPDGYEDPPQRLPARRPEVLLRAPTLPIEVTLNAGGPRHLPHLCAAPASTCATQSPKSSPPTPTSPSSASLSTSTTPSPYGHGTSSCTTRLGWTCSRTRTRPIRFFNPLAIALLRNRANGGDGYAVASLPIRVPVFDAQTCAVVSVDLDDDGERPAVLRDVPRPMPARGNRDCLLRQWSPDILRDVAVGRRGDCIKYVEVDTRGNNSGDECWKATVYSMLVPVGSWEDWSVDCEVESGDITVDDVRHSRLLSKKAERQRHHVEAAATSPSQGQTGGGGCCRCEELRKRALRGVTELNLDGSFMPIHRATEVSRCLRKTA >Et_1B_011929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27229297:27232480:-1 gene:Et_1B_011929 transcript:Et_1B_011929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVGHQQHRVPPRARATGGIAPAPPLLGFIEYETFHLVHPTTPAAVSLAEYHLTTFVSRGLLEQYRSLTSRLARRARPPILERRHINTRRWSQRRSDMCVYDPMNNARAFLRLPAAVRGAYEHSGKPRHHGHLDHPYKATSLQPRLAHGARPMASAARSGGSPRISVQTVSSDGRGKWSSVAVYVKNPAPPGSMPVERDNGDVFIDGVVHWLMLLNDHLFSYNLDTSTPGTIRLPVACRLDAVAVSFGVISEQKATAAVTITQLVNLVAVSITWTPHAEVDMQPALCAVESDGEERLSGDQRSGVVLLRLHTSSVHAELLVLDMETTGNKQDRKRIRLPVRGWLDDMCVYDPLTNARAFLPVPSALAGEYKHRGCHGKLDHMRTHVLLTGADGIGCSFRVIAVDIVTGLGIESLPFRVQTVSSDDGGEWGPVVYVSNPAPPGSTPVERENGAVFIDGVIHWLMISGKHVLTYNPDTSTAGLIPLPIDRLPADWDLMDSCLGSTPDGKTLRLLTIDRLSLSIWLLSPSLGEWTRHGEVDLESKVCSVLRSGLGTKWTYSFQFERSGDQRSGAVLIRYWHTFREHGLIVLDMETMETRGTEEIFGLPIEVNLASRLSAMQKF >Et_5B_043810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16427067:16428494:1 gene:Et_5B_043810 transcript:Et_5B_043810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRHTPFFAYALIIIFAAAPAPSSAALAEAETCLIGPEYDNRRAMVDVTAKCLGNASALVSIRRNCSGKPLPPLTPEFTFTTEVPPSSSGEQFVVFRTSGLNLWQGCADGIKSSWLINSDENI >Et_5A_040909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14756654:14763176:-1 gene:Et_5A_040909 transcript:Et_5A_040909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSSEIPIVLGCGAVSVDYLATIASFPNPDDKICSLTFNVQGGGNMGNALTAAARLGLCSRILSKVANDSQGRNILKELEADGVDTSYMLVSEGNTPFTYIIVDNQTKTRTCIHTPGYPPMLPEDLTTENLTSALDGVDIVYLDVRLHKTSLVVAEEARRRKLPILIDAERKKEGFDELLYHADYVVCSATFPEAWTGASSVPVALVSMASRLPDVKFVIVTLGEKGCLMLERSITNASEEEEIDIISLLESLEQKVGPSSRMPKCVASKSNLRISAAGVGFVTGRLLLGTAEAIPPEEVIDTTGAGDAFIGAILYG >Et_2B_019930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15339378:15341757:1 gene:Et_2B_019930 transcript:Et_2B_019930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLLRSHPLLHLHHAARLSPPRVPGLLLRRRAAQAPLPTLRARTHQPARMAASSGSNASSPAPSPLPPVVHKSKIRYCPSCGSQTKLAIPDGDEKMRAVCSSCGRVHYENPKMVVGCLVEHDNKVLLCRRKIEPAYGLWTLPAGYLEVGESAAEGASRETLEEACADVEIISPFAQLDIPLIGQSYIIFRARLKTPDFSPGPESLECQLFSLDDIPFDSLAFSSIIVTLRMYIDDVKSGNIKFHYCTINKRLGASPSDLRSFDIDNHLAV >Et_7B_055125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7481618:7490750:-1 gene:Et_7B_055125 transcript:Et_7B_055125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSEVYYGNIPVGAVDVWPKGDTDLAWAREIRVDRLSPPSERCPPLAVLHAVAPDARCLVMESRPTPTATADEPPPPLIAMHAACLRDNKTAVFPLGAEDIHLVAMVSKRNLTDHACFWGYKVPLGLYNSCLTMLNLRCLGIVFDLDETLIVANTRRSFEDRIDALQRKLVNETDPQRINGMLAEIKRYQDDKSILKQYIESDQVTDGGEVYKVQSEVIPPLADNHQQPMTRPIIRLQEKNIILTRINPLIRDTSVLVRLRPAWDDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLLDRLANFPIPVLCVARNVACSVRGGFFKEFDEALLPQMSKIHYEDELGKIPSAPDVSNYLISEDDNAAMLNVNKNSLAFDGMADAEVEKRMKEASSCFQALNPNYPTTANVDVMSVATNQQFVTPTSSSAPVAPPLGMMPLNNDQGLPPPSFSLSVAQSSHVDPLQGSPAREEGEVPESELDPDTRRRLLILQHGQDIRDPEPTIPVEPPGEAPVQPVQSQGNWVSVADEMNPTNRNMASTGLHLESDTVHYDKKQLQHPSYFPGRDNPISSDRYDYENQRYPSYPPHSEDRRMLHIHAPTTYRSFSGEDVATWHAPSRQRSIQTESGRRFVQYGGTSGILEEIAVKCGFKVEYRSTLCDTTELQFAIEVWIFGEKVGEGIGKTRKEAQHQAADKSLRNLADKFLSFDPDKITVLKENGFNSYSNSQRYPGSNRDASLTTVSTADESGYLNGRTDNWRRSSGSVAALKELCTVEGYSLVFQAQPSTNVSAGKEVGAQVEIGGQILGKGVGTTWEDAKVQAADEAHGMLKSMLGQFAQRQSASPRSLASNFSKSFKPDSVQTLQRIPSGRYCRGDSHFP >Et_3B_030382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3384459:3386571:-1 gene:Et_3B_030382 transcript:Et_3B_030382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IHCLTSAHLSHANLVAVLPTIAFRSKHDWDGHKTFTMFKAHIVWGEKDCSKEKVSVLHLCHSTININGDYIAPTAACRNMVEAEETDMICICRNIAPADEVLISVIKFLQLARDCHKPLPVGTKCGSDIQCLTSTHLSYANLVAVLPTCAFSSKHVQDGHKSSSSPCTSLGFTAHIVLGEKDCSKEKLLVQHFCHDSIKIKGGYVAPTADCRNIVEAEETDMLCICGIIAPTDEVFMSVIKFVQLARDCNKPLPVGTKCGSKLDCASVTTKSILIMRVYEDRQ >Et_6B_050043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4681992:4686663:1 gene:Et_6B_050043 transcript:Et_6B_050043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLAAALPRTAAIALVQQYTSLLAGAGATSSPTSLRAILPIHARAIVLGISGNPAFATSLIAASARASLAYARRVFDAVPHRDAYMWNTLLRVHAHVPDAAAEALALYKQMRAAGVAPDHYTYPIVLPACALVRAPRLGRAAHGDAVRFALAADGFVRSALIAMYCHDGAVVDAERVFVESGSDGSRTVVSWTAMVAGYAQNCFFGEAIAMFGTMVAGGVLPNEITLISFLPCLHGPEWLAAGEMVHGFVVKLGYDANIPLVNALISMYGKCGSVAMAEALFNGMTVRSLVSWNTMVAMYEQCGDAIQAIKFFRRMISEKAGFDCVTLVSVLSACARSGALETGKWVHEFARKHGLDTDARIGNVLVDMYAKCGEIAHAREVFDCLHGRGVVSWSAMINAYANHGEPEEALKLFSMMKSEGMRPNSFTFTAVLVACGHSGLVDEGLKHFNSILTDYQMSPTLEHYACMVDMLGRAGRLVEAYEIIRGMSMRPDKCVWGAFLGGCRLHGNLELAEFVAKDLFQSGSNDVTFYVLMSNMYFEAGMLEDAERMRRTMKEMELKKMAGRSLVSTDRERRATVSLTTFAKPFAASRKEGLERDFQILDTSHFTRSGAKADNSIHDELLTLP >Et_10A_001758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7887541:7888118:1 gene:Et_10A_001758 transcript:Et_10A_001758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFPITTLLCFLILLPFEGNALESEIDIDLYVNQADDNQVVVIDRSSQPGSFGTTAITDWRLLNPGASIIGHMRGSHTEVSLTKLIWHFTASLMFEEGSGFNGSTIEVVGTIPGTQWCIVGGTGEFTMARGIVQTRMIKSQIP >Et_1B_009711.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:19264593:19265834:1 gene:Et_1B_009711 transcript:Et_1B_009711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLPVLLGSLAVAAVGAWLLFRRGHSKSKSKLPLPPGPRGWPVLGNLPQLGAKPHHTMCALAREYGPLFRLRFGSAEVVVAASAGVAAQFLRAHDANFSNRPANSGAEHVAYNYQDLVFAPYGARWRALRKLCAVHLFSAKALDDLRAVRESEVALFVRELAARAGQVALGQAANVCATNTLARATVGRRVFAADDGGEQGAAREFKEMVVELMQLAGVFNVGDFVPALRPLDPQGVVRRMKKLHRRYDDMMNGIIAERRAAEEGKDLLSVLLARMRDQQPLADGEDGRITDTDIKALLLVSTTSSDDKFILFFSLLL >Et_4B_036556.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29510022:29510147:-1 gene:Et_4B_036556 transcript:Et_4B_036556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAKNLTNGECTSSKLWLVDLAGSERVAKTDAQGYRLKEA >Et_7B_055133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7626245:7630190:1 gene:Et_7B_055133 transcript:Et_7B_055133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLATAMALLVLSLATAACAAAAGAPRPEADLVTGLPGQPAVGFRHYAGYVDVGSGGGKALFYWFFEAEKEPEKKPLLLWLNGGPGCSSVAYGAAQELAVNLLFLEAPVGVGYSYTNRSSDLKGLGDRVTAQDSYSFLLNWFNKFPEFKGRDFYIAGESYAGHYVPQLAELIYDGNKGASRDRVINIKGFMIGNAVINDATDQLGMVEYAWSHAIISDELYSAVRRECDSFKEEADGGRPGKGCSPALRAFLRAYDDIDIYSIYTPTCLSPSTSAAGARRPAPRLVAAPRLFSKHRVPAGYDPCTEAYVTKYFNRGDVQRALHANRTGLPYPYSPCSEVIRKWNDSPATILPILKRLMGAGLRVWVYSGDTDGRVPVTSTRYSINAMGLRPRERKARRSAKAAADEAGDWGAWRAWYYKQQGLTLVTVRGAGHQVPLFAPERSLAMLYHFLRGQALPASRST >Et_3B_029975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29548929:29553135:-1 gene:Et_3B_029975 transcript:Et_3B_029975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFIYKAIKERRRRSYSWCSSADSAFGVRADDDVWEQKQWVVVPASKEAGDGRFPAEREMAHRRHRSLEELAGEVGASPTWAARAMSTFIPPATTPPSLMVPPVAYGTEERGSYGPVIGMLVVVVVLAAAAVAVGRLCFGRRAPGHDPEAWVERTCGPCVGVARPAPAGRGGGGAAAKDEEGGAAASATEQTPEGTERGEAT >Et_5A_041921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4279534:4280677:-1 gene:Et_5A_041921 transcript:Et_5A_041921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPREPELRAPAAAAAIYDHMPKILEHLENHQVVVVVSAAPGSGKSTVLPRCLATSGYGPVICAQPRHFGEIRRGTSRSPPPGASSTRSAPPVAVRRPPSSSPSSSTGRTLCTDALLAAVRPTVATGELGRHLKVVVCTAGAGAADDDALCSFFFGAPLVAFQRAAAPHPVTVHHSRGPMLDLLSAVVDEVAEIHGSRPAGDVLAFLPDVVRVEEAHRPSAPAARPAGAGRLPDARPSPGAAHGRRAGSCSCPRRLPESCAGDGRGRDGGASARDHVLAGHAGPGHCHHLYMQDEYAGFDEHNVPAIRRDGALFKLAFMLKRHAADGIPGFELLDPSALPECDWSACCRRLLG >Et_1B_011609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24085463:24086022:1 gene:Et_1B_011609 transcript:Et_1B_011609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEVIDYLKQLQAQVQTMSRMSSMMMPMAMPPLQMSVMAQMAQMAQMAQMAQGMMNMGSLAQPGFAPPMMHPPPFIPMPWDATGPSSGAGAAADRAPQPGGTAVPDAFAAFLACQQAQQNGQVRTRKRNRYPRRRRQVQLLFETYQVAGTNQQQGSMEAYNKMLAMCQKLNQQQGQPSNPKQ >Et_8B_059182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1495933:1499494:1 gene:Et_8B_059182 transcript:Et_8B_059182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYRTVIHFEWFNTGKEQTPGAYRKNDADGIPVFHLRRDLGRITPQRGSRSGGFFSSLPHPNRRRRFLELRSPPHPHPTSPHAKLAREAADASARKRKAADGGEATDATAAASVPGEEVAMGDVPPAGGDGVADPNPVASLGTDAGGGCSDSVSVELSMGGDYYHACCGDVNIDIPEGPKLPFVGDKEPLSSLAAEFQSGSPILQEKIKLLGEQYVALRRTRGDGNCFYRSFMFSYLEHILETQDKPEVDRIMKKIEQCNKTLQSLGYIEFTYEDFFSIFIELLENVLQGHESSMGFVTSGEIQRRAEFFEPFISGLTNSTVAQFCKASVEPMGEESDHVHIIALSDALGVPIRVMYLDRSSCDSGNLSVNHHDFIPAANSSEGDASVTSTTATEKPYITLLYRPGHYDILYPK >Et_8B_058579.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20886807:20889784:-1 gene:Et_8B_058579 transcript:Et_8B_058579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KKEILKNQANQSGKGKKKKKERQAEARTHAPPASLSVSPSHPPMSSGELAGDGADLILSLPEDVLALISAHLRPRDLLALSAASRRLRDALSAGGADKAWLAQCRRLLPSPAQVLAWRAAAGGSSIAVCRFLHSVGPLLGLWAHQNPELGNLVVALPGFFSLVAVRCIPQELSDRLLWAPVFELLADAHGRPTILFLHGHHPGALFPGVLSSLSPHANVLFLDAHTGQAPLASSLSSHFARLAFGDRRRLLDSLVTACQVTLPPDLAAAPLFASSDEDLPVLGVRREALLRLHKEASGGMVRTPEVEGLLQEAKKKTTLSPGNGGDRIRLRRSFSAIAGYVRNGLRQMVTRSASANSRAEYAESKHLALDEFLCTGESISLSLRGARMRLSTYRAWPSMHDNRFALYKLTVQATMPGREYSGLWGGTFGWPPGRPKDEGKPWKPLFFLLLSYEEDSEGKLLLIATKVLEGTYYVLHPNGSSMFIARMGEPSTESFPWQTDGESCNVGVEKSFVGDGIANGYGFRYPGSKPGSLFVLQDGQLAFVWRDTSAVLTLHRLDLEELLKKGERVPALPPISNFVYLTKSYSNVFTGVPSSSGCSSSPRYIHPAKCNTMGT >Et_2A_015911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18987009:18991519:1 gene:Et_2A_015911 transcript:Et_2A_015911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGWFKQSRSTRSGGGAGGSSSGQRAASAPGATTTTVSGSTVSTSRSDDSSAVRPLSKSAGSTASAGSQRSITSLYVERGHGQLRAFQYEELQAATADFARAQKLGEGGFGSVYKGFVRAPDGKGDRVPVAVKRLNQRGLQGHKQWLAEVQFLGVLEHPNLVKLLGYCAVDTERGSQRLLVYEYMANKSLEDHLFSRVNPPLSWNSRLQIILGAAEGLAYLHEGVEIQVIYRDFKTSNILLDKDFRAKLSDFGLAREGPTGADTHVSTAVVGTHGYAAPEYMQTGHLTAKSDVWSFGVVLYEILTGRRSLDRNKPAAEQKLLEWVAQYPPDSRSFRMIMDPKLRGEYSVKSAKEIAKLADSCMLKNAKERPTMTEVVEVLRRAVHAQAEPAGGDKRNGKGKKADAAAPSRR >Et_2A_014611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27859681:27861100:1 gene:Et_2A_014611 transcript:Et_2A_014611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRRRRHGCALLLLRGLVGGAGALGDLDVELVVLHEPVDEVPEAGLERCGGAVPEVVRGVADVGVREGHVAVAGHGDDALVGFLAEQLLEDGDHPGDGHGRGVAEVIDAERRGPGLLPGPGARALPGGVERAEASLDDVVDVGEVAARVGAVGGPEERDGLPRDDAAGEEEVGHVGAAPGPVHGEEAEAGDGEAVDVVVRVRDGLAGLLGRRVQRRGPVGAVLLGEGRVGVEPVNRRRGRPHHRRLRVGVLGGGLEDADEARHVGGHVRLRRLHRVAHPGLRRQVQHVRERRHVEELLEEERVVDVGVEHDDAAGGQELLPRALQGRVVVGVEVVEAHHAVAAGLEREGAVRADEARGARDQHGDAAVTARPRAAPYLLLPRGAAEEEEPSRLRRGGRSGRAATGSAA >Et_8A_058207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23991832:23997802:-1 gene:Et_8A_058207 transcript:Et_8A_058207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSGRQGSADWIGEEEGGGHGLQWSLPGLSGHNRGKSVASLGELPDRRAVVAESRWAGLPPELLCDVMKRLEESESTWPSRRDVVACAAVCGTWREICKDIVPSPEFCGKLTFPVSLKQPGPRDGVIQCFIKRDKSTLTYYLYLCLSPGRIFLAPSLLYTTHSHHTMLGALSLVAVAAIESPLGGFPQRYPLAAIPLPE >Et_3B_029103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22072963:22080016:-1 gene:Et_3B_029103 transcript:Et_3B_029103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGGGGGGEGVELLLLGTWSSPWVIRVKVALGLKGLSYEYVEEVLATKSDLLLRSNPVHKKVPVLIHDGRPLCESLVILQYVDEVWTGAGRARILLPSDPYDRATARLWATYVNDTFFPSWRALFRSTTDEQRAEAFKNVVPVVETLERALKECSEGKAFFGGDAVGLVDVALGSHLVWIRVVDEVVGASLLDKARFPGLAAWAERFMAVDAVKEVMPDAGMVMEQYKGFRWTTMADGSDLQLLGTWYSPYVFRAKVALALKGLRYEHVEQDLFNKSDLLLRSNPVHKTVPVLIHGGRPVCESLAILQYVDEVWAGTGAPCLTPADAHDRATARFWVAYVDDKLLGSWYSPYVIRAKLALGLKGLSYDYIEQDLFDKSDLLLRHNPVHKKVPVLVHGGKPVCESLVVVQYVDEAWEGTGPALLPADSHDRATARFWAAYVDDKLFQSWRALFRSTTDEQRAEAFKSTVPQVETMERAFWECSKGKDFFGGDAVGLVDIALGSFLMWYKVVDEVAGANLLDEAKFPGLAAWTERFLAIDAVKEAMPDYGKLLEHYKGFIAKLVSPAAPAEDSTMAAGGELQLLGTWYCPFVIRVKLALGLKGLGYEYIEQDLFDKSDLLLRQNPVHKKVPVLIHGGKPVCESLVIVQYVDETWPGTGPAFLPADAHDRATSRFWASYIDDKFFLSWRELFNSMKDEQRAEAFKRTVPHVETLERAFWECSKGKDFFDGDAAGFVDITLGTFLVWFKVVDEVAGSNLLDETKFPGLAWTRAIAAAIFFCEQKLQQQIKQA >Et_1B_012501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32567783:32568728:1 gene:Et_1B_012501 transcript:Et_1B_012501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGGVVVDAAPGVAPFVAKTYRMVDDPATDAVIAWGADNNSFVVADPFVFSQTLLPAHFKHSNFSSFVRQLNTYGFRKVDPDRWEFAHVAFLRGQTHLLRQIVRRSSGGNGKRKDDAGAGACDADADGAASVAMEVVRLRREQRAIEDRVAAMWRRVQETERRPKQMLAFLVKVAGDPQVLRRLLASSAGRLDAAGGGNFASSGNPADGGPEVVKRPRLLLDADAAGGHASDGMYGAAGDDAIDIDGFYATENDVGFGAAEAGYMPPPYAFPVDSTSGY >Et_5B_043242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19853312:19853938:1 gene:Et_5B_043242 transcript:Et_5B_043242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVVEILLRLPPEQPAFLVRASVVCKPWRRLLSGREFLRRYRAFHRTPPFLGFFHNWDPLYSSKFHASFPPHLYRRFPSRRRTGPVRGPSTAAMAWVRISSSGSLPRATGKSCPNLTPGRTCCPPALCSVPWMVATTLTATATPSEWSSWFPVLRERSYGPTCTHRRLIHGASQPLCTLARIATLSIWSAVPLSEM >Et_3A_025329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2893815:2899004:1 gene:Et_3A_025329 transcript:Et_3A_025329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPIQYAVVDAFTAEPFKGNPAAVCLLEDATKAADERWLQAVAAEFNLSETAFLLRDSSDGAAPRFQLRWFTPAAEVELCGHATLASAHFLFTSVLAEHDAVVEFATKSGILTAKKVPAPEGACVSGEGKLFIELDFPMIDLFECDNAELPSIPKTLNGASIVSVHKSATAGDLIVELSSGKEVADIVPDIHEIKECSGRGVIVTGPAPAGSSYDFFTRFFCPKFGIDEDPVCGSAHCVLAPYWGGKLGKQKLTAFQVELCGHATLASAHFLFTSVLAERDTAIEFATKSGILTAKKVPAPESAGVSGEEKLFIELDFPMIDLFECDNAEPPSTPNTLNGASIVSVHKSATAGDLIVELSSGKEVANIIPDIHEIKKCSGRGIIVTGPAPAGSGYDFFSRFFCPKFGIDEDPVTGSAHCVLAPYWGGKLGKQKMIAFQASPRSGILYLELETAARRVRIQGEAVTVLTDTLLA >Et_6A_047807.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:17540632:17540856:-1 gene:Et_6A_047807 transcript:Et_6A_047807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCPLVLILETASLGLGSRVPIIDLTASRSDLVAQVKAAAETVGFFQVVNHGVPDELLAETLATRRVQRQVQER >Et_9A_061454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12149846:12153004:-1 gene:Et_9A_061454 transcript:Et_9A_061454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLEKALLGLFAAAVVAIAVAKLTGKRLRLPPGPPGAPIVGNWLQVGDDLNHRNLMAMAKKFGDIFLLRMGVRNLVVVSTPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVAQNRVGWEEEARLVVEDVRRDPAAATTGVVLRRRMQLMMYNDMFRIMFDRRFESETDPLFNKLKALNAERSRLSQSFEYNYGDFIPVLRPFLRGYLNRCHDLKSRRMKVFEDNFVQERKKVMAQTGEIRCAMDHILEAERKGEINHDNVLYIVENINVAAIETTLWSIEWGVAELVNHPAIQSKLREELNTVLGPGVPVTEPDLERLPYLQAVVKETLRLRMAIPLLVPHMNLNDGKLNGYDIPAESKILVNAWFLANDPKKWVKPEEYRPERFLEEEKAVEAHGNDFRFVPFGVGRRSCPGIILALPIIGITLGRLVQNFQLLPPPGQDKIDTTEKPGQFSNQIAKHATIVCKPLEA >Et_1B_012585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33467816:33469134:1 gene:Et_1B_012585 transcript:Et_1B_012585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHCLNNWDLDAVVRLACRRRRLTPPPVQVNDPFASLPPPPPPQQQRLPAAAPPNRAPEPPANEQEVDAGWRFPDLRVGGGQDGDELIRALLAAQPALPPLPTLPTLPPPQQQQQPVVEVPAQPRAAAPASVPARAQPSGRQVPGAVPRSKRRKNQVKKVVCHVPADGSSSDVWAWRKYGQKPIKGSPYPRGYYRCSSSKGCAARKQVERCRTDPNTFILTYTGEHNHAAPTHRNSLAGTTRHKFPSTASPQPPPPPSVVVAGGSGESGQQQHQQPSPPSTSAGLSPTTPLRTPSMEEDEEEEEDELLVEDMEMAGEDELLFLNGDADSASPLSSLFDAVDEPFLDSPWATAAAGSPAAAGAAGAGS >Et_6B_049092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17732616:17734170:-1 gene:Et_6B_049092 transcript:Et_6B_049092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDDSHHLRAALREAIGDSSDSESDAPAGGGTSCESGGEAVAGGKRWRWDAVAEVRGLWLCSDFLSADEQARLLAAIQREGWFSHMHNQAMRFGDLPSWATELSMLIREAICVGNVSAATDAELTNEDEDSCPLPSDLLWREPFFDQLIANRYKPGEGICAHVDLMRFDDGIAIISLESACVMHFSHAEPGGTACDIIKQGDIESTKVPVHLSPGSLVLMSGDARYLWKHEINRKPGAQLWNGRELDQDYRTSVTLRKLLASPN >Et_8A_057361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2422621:2425507:-1 gene:Et_8A_057361 transcript:Et_8A_057361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADRSSGRKRSVRDRLGSDGGSSRSRSGDSKRYRQADGTWRRELYQDPVFLASILYDSAELSSRSRQANPKSSSQRSTEVVKKSSVPDLREKLSGVQHPQLSSTIQIPKPAPEIAKSEKLVQKRETPAAESPPVPKKVSAPAPAPSAPKLSKEKPDASLDNLLKSLDLEKYLINFQAEEACLIDLYVNDVDMKALIYMNEEDMKSLGIPMGPRKKILQALAYKKRKSSKSSAAS >Et_10B_003382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19257920:19259391:-1 gene:Et_10B_003382 transcript:Et_10B_003382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSGAAVLLLVVVPLCMYTCALFVGIQLRRELERRPDSVSISIRGVVDYFSKALSEVALIIVAMDDVGCSREERSALGHGGGSGGQPFYMHGRSPPQLRSIILYHSAGAIHSLACEYSRAGDDGSVSRMAGPWGLSHSFGSRAVRAVINLSAGEHVTARRDVPGVVITSLTFRSSIGRTYGPLGDKELGADTRFSVPVAAGGCIAGFWGRSGWLLDAVGVYIGPCPSPSSRTQQQQGWSK >Et_1A_005974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16241197:16246526:-1 gene:Et_1A_005974 transcript:Et_1A_005974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKSSVAVTPTAVPVPEGKSGKKGKRNAEDEIEKAASAKKQKTVPVVKEPPPKKVESSSSEEDSSDSEEEVKVQPKKVVVPKKGTQPAKQESSDDSSDESSDDEPSKKPVVAPKKPLASTNGSSDESSDSDESSSDEESAKKPAAPPKKPVAVAASSSSSDDSSDSEESSSDEESAKKPAAPPKKPIAVAASSSSSDDSSDSDETSSDEEPANKPAAPSKKPVAVVSNGSTKVKPDSSSSSDSSTDEESDEDVKPAAQLKKPSGASVQKKAQESDSSDSDSDDESDEDVPAKAPVAAKKKEESSDSSDSDSDSDSMGVDNKSKTVQPAKAAPKVKEESSDSSDSDSESESDSDEPQKTAAPVKRPLATDKKKGQPKDESDDSSDDSSDESDKEPPQKKLKAPKKESSSDEEDDDDSSEGSSDDDNEEDLAKTPAKKPKTPVSNQRQASGSKTLWVGNLSYDIEREQVKQFFEEAGEIVDVRLSTFEDGKFRGFGHVQFATAEAAQKALEFADRELMGRPVRVDLASERDNSSKKSAQSSGNSIYIKGFDTSLGEDQIRNDLEQHFASCGEITRISIPKDYETGGTRGIAYMDFSDPESLNKAYELNGAELGGYSLYVAEARPKADFNSDRGGFSGDRRGGYGGRGRGDRGRGSYSGGRGSYSGGRDGGRGRGRFGDRGRGGRGGTPYRQSAGTASTGKKTTFGDD >Et_8B_059081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13585099:13588558:-1 gene:Et_8B_059081 transcript:Et_8B_059081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTPLRQALTDWVWNVGHHKFTFEVQKILESRSLSCVFQVPISCSPTDASKLLDRMIQAARVVQMDELEHYFAGDDDFGPFSARNELESLNLLFRTMNTLLSTSNDVTKEVLQRLQDEIVVRLRSVGNTDDSRMTVETQNHDAEDSLMEWGQHHGAGRGMLASESIGVGDIALEIPESLIISQELLSQSEVFIALKDFNNITPETMSLLWSMKERHNLSSKFRTYFESLPANFNTGLSFGIDALGTLEGTLLFDEIIQAKQHLRQQYDELFPLLCTNFPEIFKKDICTWDNFLWACELWYSNSMMVVLSSGKLSTCLVPVAGLLNHSVSPHILNYGRVDEATKSLKFALSRPCNAGEQCFLSYGKHSGSHLITFYGFLPRGDNPYDVIPLDLDISADDEDGTDQSVSTSKTTHMVRGTWLSRSNGFPTYGLPQRLLSHLRAVLGCDFDGSTAEADLMENDRVVLETLLSIFSPMLEALHEPDDFDRDNASWDVKLALDYKTLQRRIIGSIITSCSSALENF >Et_3B_031283.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24005176:24005979:1 gene:Et_3B_031283 transcript:Et_3B_031283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRDYKFGGNQVSEALLAGLADLGASAPWFVDCKQLLTTDARIDQNRLQLSRNSPIAGIFTAAEKAQMSVDGGLMVMAFDRRGKLYSMKCRFYRDKRFCRVMGEWGEFLRDHGLGLGKTETLGDRRVRVEVWAFRSPTLPNDKNGEDFESGHPDGALGLVVLHHEDELDGEAGDLEAVEAEEEEAAVAVQEHVEQAAAAVVQEEPPAIIVVREQLQEEGPAGDACAGHGEKGARAASYERKLQQVAAAVLLLLRTSNRTKRKRDDA >Et_10B_004411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6449926:6451195:-1 gene:Et_10B_004411 transcript:Et_10B_004411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSFLFSNTTQLNCVVYLGWEFSVPSAQTSSSSSWSPPEVSVDSPPKKKSRSSLEDAVQRLVEIFAEQADRLRLKADEEMKLVSHTLREDGIEEGSELFLKALELCNDSLNRVQFLDLSTPESRTKSAQRRQRKGEANIQLHQFLKDVQPRGRKLQRRFE >Et_6B_049868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15923826:15924780:1 gene:Et_6B_049868 transcript:Et_6B_049868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRFMEFRRFAAGAPTASRRQASILLHQEANFTDRKNETTATAISVSGYTMAVLAKPPDLSSFSVHCSKPPGSYSKIPSFKVTPHVVGAEGPFVLVRARFYICSRDEYFMYKAAVTRMDFGILQRSAPDDDNHGSLTRVRDGFGRLHYHLVALCDAPSGYKLRMYSSETKTWSTKTLLPNGCPGIKTFVPEKVLTLGAGRLGWVDFSCGLLVLDLGEDPPSVHLIPLPAPLPENKGRLKNTSQSGVSARWFRDLTFVNGMLKFIEIEHRFQGTELQADPSDSQFLFDSDLMRTLDDKPRG >Et_1A_006179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18885587:18893738:1 gene:Et_1A_006179 transcript:Et_1A_006179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPCDAPLTAASNIQPFFVLHKASTASLAPSSRGRRRIDALQPSSPNPKSLKRSRDVDAAEEDCSELYERLRLEAFHRTWSKIQSTIDEVLRGINLKLLDQVLQWVQESFSAIHAVAKPHHTEIQQPYPLITDVICRRIPTAFVLTKNAEFVDDITTFRDLAEHLESNGCHLAKLSAAELSAKHGVGSCFRNLLRQLLSDVPDVADVSALASWYCDTENYDHPIIIIIDDLEQCSGDVLGELVMMLSEWVIKIPIFFVMGIATTLDAPRKLLSSEALQRLEPCKLTLGSPSDRMNALVEAILVKPCAGFCISHEVAVFLRNYFFRHDGTITSFISALKDFLREKFESLPEAMRQHVSGLPSCTSRKNSSNSSQNVVEGLSELLKVHKDWSAVLLCLYEAGRHDKVQLLDIFCEAVNPDLETQNAPNRDSFSKMTSENLSEVKLGSGTGFIDQAINTVSYLPMETLSRVLDVWSIHLNGMSEISDKLKELQSATVGADSVRITKEKWTRRSTSSIGNGTLPLNEKAAVLLHDVKRKYLVPVECLQFHEIICFKNVAILQSALIGNPRRMVQLDLLKSHSHLKCSCCSRSGAGVSGSLHDTSIMCNLAQEYGDVINLHDWYISFEGIINRTNSKGKRKSHSSPSKKKSKSTPPEGDAMIQARFCRAVTEMQITGLLRMPSKRRPDLVQRITFDKSSRWLAWSLPHPFETLVLIDRRERRRRRQIRSFPIVSNALRRLRPRSGVELKSGWDARLVRHGSQSGVVRKDATDVMETIIVYITSSHVHNV >Et_2A_016637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26821927:26825368:-1 gene:Et_2A_016637 transcript:Et_2A_016637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METATAAVGSALRRLQAYLSDHDDGHRCDVERLGQELASIRDRLLLRAAQAPPPPPGQLIHDEEPSLAWAGEARELAYDAEDAVDGLLATPLPPPGSLPSHPIKELLQKAAELAKSRHRSPDVAATPAVIDRYCEQQLPPDGEGAVVGVDDARDRLIRRLRLRDEAGDGDDPVQVVSLVGSPGLGKTTLAGAAFGVLKPRFDGAAFVSVGLDPDVPEVLESAVRQLGVDKEADAGERTDEEQLIISQLRGFLQNKSWLTLCYFGRNMPRYFIILDDLWDRSSWEKIRCALPDNNLGSRIVTTTRNLDLAGEVGETYELKPLSSESSKRLFFRTIFGSEDKCPDDEFTEVADKILEKCGGVPLAVITLAKLLANSVGDKTEWHKVCKSIGPRLENTPDVKNMRTAVSTGYYNLLPHLRACLLYMSIFPENCEIRRDRLIWQWIAEGFIQPGEQCESLFQLGENYYDELVNRSMIMPLDIDYADDGGPYEPCCRVSFPVMDFITCLSSQENFVAVLDGEQRALPSDVPVRRVSVRGGSKAEDSASLGTVSVPQLRSLSAFSPATAETIIDLDRFGFLRVLDLEGCDLSESRLLQRHLGNLIHLRYLGLRETGIVSVPEEIGNLKFLQTLDLDENLRINELPASVTQLRELICLHVYWQTRLPSGMSKLTALEELSDATTRDSPELVRELGTLTRLRTLRITLWNPSRSEEEALVESLRGLRELRTLDVYVAGGDGGKEKKLDALREAWAPPPCLREFRAGAPGTAWGPMRHLPSWLLADADAVPRLAVLVLQVAELRQRDVDALGRLPALRVLRLEPDATTELLHVAGGAFPRLTELRLRDADVAPVFRPGAMPRLRRLEVCIRVRRTVDLGNGAFEFGWENLASLEEAAVYVGCQEAWETETDAAEAALRRAADGHPNRASFDVITFGEELMCFEDLMD >Et_3A_027148.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:34606186:34607349:1 gene:Et_3A_027148 transcript:Et_3A_027148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFACFKPADEDEEEPPLPSSSSRRRRPAGGRSLRLSTSQQPAGGGGEGDHQAAAAARSRSSNKKNSSSARVFTYGELAAATGNFRAESLLGEGGFGRVYRGRLQEEMMVAVKQLDRDGAQGNHEFVVEVLMLSQLHHPNLVNLVGYCADGEQRLLVYEYMALGSLAGHLQQLSWETRMRVALGAARGLEYLHETANPPVIYRDLKSSNVLLDDALCPKLSDFGLAKLGPVGDRSPRVMGTYGYCAPEYVRAGTLTVKTDVYSFGVLLLELVTGRRAVDSTRPTAEQLLVAWARPMLRDGKRYRELADPLLRGEFPERDLNQAVAMAAMCLQDEASARPLMSDAAVTLAYLAEAASRHQPLPLPAAVCPDGQPSIQEQVYLAAVDT >Et_4A_032703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12704358:12713399:-1 gene:Et_4A_032703 transcript:Et_4A_032703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTAAKLTSYGKWYTRSRKQPTQNKLFRTNKASDVETPVEHRIFYCTRSYTIKLGAKARSMSSLALHAWGNGATDHQTCLVVVDRPAVPPDLPLVPGLDLYAGQLHHGRLEPVLPPPGDVGVVHHHLGRLRRRQERRVREPFSSNPSKPL >Et_1A_005202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32688490:32688957:1 gene:Et_1A_005202 transcript:Et_1A_005202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSKVVVEYCPLDPRKAAAVELLAQCNGRKAKDSNPACSVEEPKKPQLPPPRVLVTYLNGAEESFVAADGATAQGIREQILAHGRLIETEQMFRDGGEKWPVVIPEEELTMSYPGIKVRAFSSLLSFHHFVEHPECVGVSR >Et_7A_050703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10273260:10276252:1 gene:Et_7A_050703 transcript:Et_7A_050703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPVGAGVMLSGGTISSTHKALVVLYAGQHALCSVSGCYLVYDASDNSLAAIPQLPDRHTFRGLGRGAAILSLGEGSYVVAELVGAKSGFPNAELFLWRSPTSPSQDAQWMRRAVRLPQVLPPRQRFLIDMVLTFTELRVCWVDLFRGVLVCNLMESPEPTFTLVPLPKGCSTELVRGVIPYRVLNNSAPWAAFAALSNRVKAMAASLQAMKPDPQVLEPPAINQLSMVYHTDVRLHGGYVSSTHKSLVVLYAGRYQPGFPGTLTGCYLVYDASDGSLAPMPQLPKSHTFRGLGTGAAILSLGKGSYVVAELVEALSGFPDAKLFLWQSPGKNKWIRRKVCLPPPFTPDFKFSIDMAFALSESTVCWVDLLKGVLVCNLMESPEPKFTFVPLPKGYSIEPIRSRPRSEQFRTMGCVRGTIKFVALVGYHEDWPCEKIVLKTWTLPADLKEDWKPDKSLSVRDLWTGKGFLERGLPRMTPSFPALSFDDPDVVYVTLDDAYNAVDDVDGGPTTVRKALCVLGVNMVQGKVVCHTKMPDDLAPLFPYMVPSEFSAHLQGSKDHQGGLEASEPGGSGKQKRHASEAGGSSRKRKKRDSRANVL >Et_5B_045455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23194776:23196942:-1 gene:Et_5B_045455 transcript:Et_5B_045455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRFHHRVSHDEIFKTRPHLTGPRYLLALSGRLARAARSALLESGLRPHPLPSTSRDPHRRRASALSSAALPHPSLLRPSVPSHPRRPRSHRPTCSRRFSATLVPIKGTPPPDGASLEASSAGPSRRARLRACHPTHSTRSAPLSRYRRPTPLDSAAELESFLEAIVLLHGRCTSRTSFAEDWDDGGVEEEEDEDGGQKQRSVKAAASVVVAQSGMSARQRLMSGRSVSSSPELLQSRNRIYLRGAVSKELVTHKTVVQLSKKIKDGIWLQHQPSKLKEKLGNEPSHKQLAQSLRISSPELRPRMRESFLGREMLTMSNLLGDPPGQQGGLKPPLLAGGQWSPPLSPSYIFVGMEERLGALATAGG >Et_1A_006562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24508133:24512803:1 gene:Et_1A_006562 transcript:Et_1A_006562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGSINEEEESLILAPLLSGVPSQGYQDHGPYTCNGSVDIRGNPANKNGTGKWRACYSILGGEFCGAMAYYGVGTNLVSYLTEVQKQSNVVAASNIACWQGLCYLTPLLGAFLADSYWGRHRTIVISLMIFTIGMALLTLSALVPAIIHIFVISPQDGLPSLGLFLTALGLGGIWPCVPTFGADQFDETDGFEKAQKELYYNWYYIAVNGGFFVASTALVWVQDNFNWGLGFGIPTLFSAIGIAGFLASMKVYRYQRPGGSALTRICQVIVAATRKVNVDVPIDSSVLYEIAGKESAIVGSRKLMHTDGLKFLDRAATITASDEISPDTTNSWKLCTVTQVEEVKILARIMPVLLTSIIFNTAEAIFPLFIEQVQVMDNRILHFLIPPASLTTFTCLCILVLATTYSKVLMPIVSRITGLKNGLSEMQRIGVGMFFAILSMVAAALVEMVRLDIAKNRGLVHHRTAVPMNIMWQAPQYLFVGVAKVFTVVGFIEFSYEQSPDAMRSLCQACSLIMVTLGNYLVSIMLHIVDSVTEGSRSHGWIPENLNEGCLDQFFWLMSGLQLLNLLAFMYCAMKYKRKLAT >Et_10A_000251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:211285:212110:-1 gene:Et_10A_000251 transcript:Et_10A_000251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIDCIVTSKNKYRFPATSSSWLSSIVISLALGNVCLELPVIPDDNGGGFKMLKKLQLQLVGDLGDLTPFLSNYPALEWLSITRSFVPHLVVPQTTCCLRYLHVSHCDIESIQLHAASITTFEYVGAPFLPIKIDDSLKLSKANVILFVVGDTLGYFWDGLSCSLSHIDRLVLVFSMDRTTTRSIKNPTKFLHLRHLVLFCYLYGDISDALVILRLTQIIEAAPQLEHFVLHVSIIHC >Et_3A_025187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27754878:27758227:1 gene:Et_3A_025187 transcript:Et_3A_025187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGAAGGGGDHARSKEAAGMLALHEALRNVCLNSDWTYSVFWTIRPRPYVLAPLASHFFLLKPQANLYLSLSDKRCRGGNGCKVGDDNGSLMLMWEDGFCRPRVAECLEDIDGEDAVRKAFSKMSIQLYNYGEGWVLGRLMGKVASDKCHKWVFKEPSECEPNISNYWQSSFDATIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHMFESLGYQSGFFLSQLFSSSRGTSPTPPSFPLKQQPPPARPPPQLFNWPGQPQLPPTAASPLFPPGPAAFHPSGRPMPPFPGAGGKDDGHMFHLPPGHHGKPPHMDEHHHHHHQQQMGPGGEAPDGDLKWPNGLSFFTALTGRADDAKLLFGGNGGAGGGGGADDGKTAPPDAQNEHGGADNVEEYLSLESHSNKARRVENAQSTKFKRSFTLPARMNNTSGSTSPSVSASTTPAQQQQQQGMEYGRGPHEGGVYSDLMETFLE >Et_7B_053530.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7372920:7373087:1 gene:Et_7B_053530 transcript:Et_7B_053530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNFGWRQDPEHRLLRDHGLRIAPVDACRCKEFLDLVSQAERDERGAPVSQAWS >Et_7A_050688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10139283:10144175:1 gene:Et_7A_050688 transcript:Et_7A_050688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQPVISLRPGGGGGPRASRLFSPAFAVATSGSGDFLRPHGGSASGISKIGDSHFEPRERVRYTRDQLLELREIADVTEDILRIKHEIDAELHGEDQSWVRNDSAVQAQAQAQPQTHNLYAETDNRDWRARSAQPPAVVEEKSWDNIREAKESYASSGRQQEQFHRQDHSQFASRTQAGPTAALIKAEVPWSARRASLSEKEKVLKTVKGILNKLTPEKFDLLKGQLIEAGITTADILKDVISLIFEKAVFEPTFCPMYAQLCSDLNEKLPSFPSEEPGGKEITFKRVLLNNCQEAFEGAGSLRAEIASMTAPDQEGERRDKERLVKLRTLGNIRLIGELLKQKMVPEKIVHHIVMELLGAGPDKKACPEEENVEAICHFFNTIGKQLDENPKSRRINDTYFIQMKELASNPQLAPRLRFMVRDVVDLRSNNWVPRREEIKAKTISEIHSEAEKNLGLRPGAASAIRNGRNSPGGPLSPGGFSMNRPGTGGMMPGMPGSRKMPGMPGIDNDNWEVPRSRSMPRGDSLRNQAPLLNKPSVNKPTSFNTRLLPQGSGAALIGKSALLGTGGPPSRPTSVTPGPVHAPAQTAPSPKPASADLQKKTIALLEEYFGIRILDEAQQCIEELKSPGFYPEIVKEAINLALDKGTNFVDPLVRLLEHLYTKKIFKSEDLETGCLLYGSLLDDIGIDLPKAPTQFGEIIARLVLSCGLRFEAVEEILKKMEDTYYRKAVFNSFMKTMGENPSGQAILSSHAAVIDSCNSLLE >Et_10A_002247.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5607349:5608047:-1 gene:Et_10A_002247 transcript:Et_10A_002247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAKKFLACFILLLAASTAVATTLTLHNLCPYPVWPLVTPNTGFPSISDNTARLDGGGRGLVSFPFPPAFWAGRVVARTACGPGEPRRCETGDAPPATVAQLAVHAEGDVAAYSVSLVDGFNVPAVVSPQAIAGGGECPALGCAADVNAACPRSRRVVGASGAVVACRNQGDAAWFKARCPLTRTTATDVEPVPQRCVAPGELKIVFCQPAMVGASGEPGRFRTVVADN >Et_2B_020465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20417049:20421160:-1 gene:Et_2B_020465 transcript:Et_2B_020465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTLPPVPPNPRQDAIDLHKAFKGFGCDSMAVINILTHRDTVQRGLIQQEYRAMYHEELSQPMLLWLLDPAGRDATVLREALSGETIDLRAATEIICSRTPSQLQIMKQTYFARFGTYLEHDIGRHATGDHQKLLLAYVGIPRYEGPEVDPTIVTHDAKDLYKAGEKRLGTDEKTFIRIFTERSWAHVAAVASAYHHMYDRKLEKVIKSETSGNFEVALLTILRCAESPAKYFAKVLRKSMKGLGTDDKTLIRVVVTRTEIDMQYVKAEYYKKYKKTLAEAIQSETSGNYRTFLLSLVGPGH >Et_1B_013478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8905671:8908473:-1 gene:Et_1B_013478 transcript:Et_1B_013478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIKIGINGFGRIGRLVARVALQSDDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHHDVKVKDSKTLLFGEKEVTVFGCRNPEEIPWGEAGAEYVVESTGVFTDKDKAAAHLKVINDKFGIVEGLMTTVHAITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKSATYDEIKAAIKAESEGNLKGILGYVEEDLVSTDFQGDNRSSIFDAKAGIALNGNFVKLVSWYDNEWGYSSRVVDLIRHMHSTN >Et_8A_058441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7878321:7881312:-1 gene:Et_8A_058441 transcript:Et_8A_058441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSIPSPPKPAPLARTRFSPAAAAADAVICLCLASLWLTYLAAAASNIGRLVCGEGCRVVAAATGVRRVAFLCFAFHCPVAVPILIVREAASCAKTDKAMPAPAPSPKSIGAVLRETLRNPVVIGVFASVPFMVLTFLGDMAMESSPAGKGCLISAVGDLGVNILVCGT >Et_9A_061892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17500428:17505567:-1 gene:Et_9A_061892 transcript:Et_9A_061892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLALYVVTLVVAVVVLAPTQASLTYTEEDLASDDSMWALYERWAAHHEVVHEHGEKVRRFPIFKNNAHWNLNKYGKKGKSAINFFGDMTYEELVIALPTGLRENNQDMMKSSRLACVALGLVALVATAVPAQCSFYFTAGDLKSDATLWDLYGRWAAHHQAVREPARFSTFKANARKMHSKQQHPNELMALNVFGDQSYDELAAKSCLREPEEELPVVDLEALVAEDASAIALPSRVDWRDANAVTEVKRQASCGCCWAFATAAAVEGRQALLTKKPAVSLSAQFLLDCTHPHIDQL >Et_6A_046769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20372196:20373064:-1 gene:Et_6A_046769 transcript:Et_6A_046769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKASASIVLLLLAAAAVFTTADEASLPKPSAPVAMSKPSSSKSRNPAGPAQAVVEVVAAPPSSVAAPPKSSPPASATCVASLLELSPCLSFFKDAGATAAPPGCCDGLRTIIDTQALCLCHIVNHTLERAIGVDIPIDRAFQLLGDICRLGLPPEVITSCGDKDRVPPLYGCPAPSA >Et_4A_031827.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:19363108:19363404:1 gene:Et_4A_031827 transcript:Et_4A_031827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSDKKFCSLNIVTSAALWGLWKVRNDLCFQRASWRGMSMIWDKIIPLVTSWQILCLVGMMDTLLQHTAGLKVMWGRPERILMGALSTPVTTSETST >Et_2B_022871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:700094:701999:-1 gene:Et_2B_022871 transcript:Et_2B_022871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLASEDPLVHDEVDMDDVDSDVDESDSEDDSGEEAQPTPSDKAIYNREAILEKLEDIAWPQKVDWMHKLTVDHDQGEKVDVNDDLARELAFYTQALDGTRQAFEKLQSMKVRFLRPTDYYAEMVKTDAHMHKIKGRLLSEKKKIEEAEERKKARQARKRAKEVQAEKKKERAKQKKEEIENVKKWRKQRQQGGFAKGNDDGPDLNFEGEEGFKQSKKTRPGVSPGDRSGGLAKRGKEGKNRRSRDAKFGYGGRKGLKKQNTAETTNDFRSFNKGGETVCHGFGASSVPNSGLEQFQDYLCREGERLWLRQQDRAAMGRS >Et_1A_008314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6324067:6325458:1 gene:Et_1A_008314 transcript:Et_1A_008314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNLLFHDRSEPERKEMDMSRPPQIAGVSPAAVYFSSGGASSVNRRKRAREATAMAPPAAAKEEFVNLFTLQPQQSLFANTVAHFQSQNRVSSSPSPAALVSTGLRLAFDEQQQQQQLQQQECNNKQMNALRYSSSPSLFGSVSDELAAQVKQHDEEIDRFIREQGEQLRRAMADRLRRHNRAILVTADQSAARRLREKAAEAEREARRGAELEERLVRLRGEAAAWQAKALAEQAAAVTLHAQLQQAAAASAEVEFAACRGGDAGPAESSSSAHVDPRRVAGGGSSTDRACLGCGLRPASVVLLPCRHLPLCGECFAAGDADAAMACPVCLCVRTGSVEVVLC >Et_8A_057970.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:10550338:10550775:1 gene:Et_8A_057970 transcript:Et_8A_057970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDRELLRSSEQTEYSYTLRVTERSDVYSFGVVMLELVTGKRPVGPELGEKDLVGWVRGAVEREDGGVDDVLDPRLAAASRDDMVRVLHVALLCTSSLPINRPSMRAVVKLLLEAAPLPLSPSSPPPERKSTAAAAEEEEPLDV >Et_4A_033516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2421831:2424095:1 gene:Et_4A_033516 transcript:Et_4A_033516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRSPPPVPAAFRRSRTVVRASSSSSSSSSAVSSSSSPKARFVASRSESISVKQLARPLAEYMSLPASQYSVLDAERIERVDESTFRCYVYRFRFFALEVCPVLLVRVDEEPNGCCIRLLSCELEGSPLVEAQNDKFSASMENRVYCHSSLQDSTLQQLTSDTTIEVTIDIPFPFRAIPVEAIESSGRQVLEQLLRVMLPRFLQQLDKDYQAWASGDSSRKPLGTGEI >Et_4A_034078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29712429:29714987:-1 gene:Et_4A_034078 transcript:Et_4A_034078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFFSTMFTPPPADDDPNSAVVAAHSKGTYDQQWEAHKSAGKLLVIDFSASWCGPCRFIEPAFKEMASRFPNAIFVKIDVDELAEVARTWKVEAMPTFVLVKDGKEVSRVVGAKKDELERKVNTFKYYLVSLVDNDYMDLFAAFKI >Et_10B_003901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7383548:7387083:1 gene:Et_10B_003901 transcript:Et_10B_003901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTEGHSSGHLTKNGFLTLECTVTVFPSQIQKQSLCQGFKRLEANCPSVLTELFKAAHGSIKKCAANTADATVRATCYAHHGMIDSCLVSLLSIRLALGFASFRIRPP >Et_7B_055419.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:10143869:10144288:-1 gene:Et_7B_055419 transcript:Et_7B_055419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPARRGGCIVSTASLAASVAGMALHAYTWSKHALVGLTQSLAAELGRHGIRVNCVSPAGVATPLALGVSRPGRGGFNKAVEAKDVLRGVGGGLRRPDDIAAAVLFLASDDARYISGHNLLIDGGISVAVNPSMGIFKD >Et_1B_011090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17462018:17464655:1 gene:Et_1B_011090 transcript:Et_1B_011090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTSWTAAIFGSLGCFTSAHGDLSGGSRSKPTGPPAPAPLPSPEDLSLSLAGLSDVMVFTVEELRTATRDFSMTNFVGEGGFGPGRVDERVRPGLRQPQAVAVKLLDLEGTQGHKEWLAEVIFLGQLRHPHLVKLIGYCYEDEHRLLVYEFMARGSLEKHLFKKYSASLPWSVRLKIAIGAAKGLAFLHEAAKPVIYRDFKTSNILLDSDYTAKLSDFGLAKDGPGENETHVSTRVMGTQGYAAPEYIMTGHLTTKSDVYSFGVVLLELLTGRKAVDKNRPPREQNLVDWARPCLRDSRRLSRVMDKRLNGQCSTRAAQKAAAIAYQCLSASPKSRPQMSAVVEALESLLVLDDSVVEPFVYTAPPENK >Et_8A_058492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9343932:9349365:1 gene:Et_8A_058492 transcript:Et_8A_058492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGASAYALLCHMEAEDATLQAQPNEEKDKGHAEEVKPAKDKKEKKQKEKKDKSKEKKEQVGEITDAAKLRAKLEKIDAKIDDLKAKKQEIAARLLELEGTAANAEAAVPPASGQAVPLEDVEGGSFIQSYMTAQAKKTIAKKKTRCQYAANHVLWSQCPTLQGLSNDQCDTSTSLSFTAEYAPS >Et_2B_021539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30461733:30467883:1 gene:Et_2B_021539 transcript:Et_2B_021539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TDAHLFLVNWFKRFPQFKGHDLYITGESYAGLFLPLDIEDAYMLDLRCILAKILEANSNRKQERMNLKGIMIGNAAIDSSSDDRGLAEYAWNHAVVSDEVYGAIKKECTFSDDGVESSAPLCTPTPTPCSDALTKWNDAPASMLPVIRKLISNKQLLLRVWVFKPWREWFTSDQQVGGYTVVYKVGGQPGVSRKSSPPLPARQTGDALLLVVVVVVVVVYIKAKRHPPICSSQRKSPEQ >Et_7B_054386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20767029:20770883:-1 gene:Et_7B_054386 transcript:Et_7B_054386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCVASSSLTATPLLPKPPPPPPPRGSDLLVTDEPSRNKAATRPKKNPMQSLLATALDALEDRVIVPLESKKPLPWCVDPAVQLAGNFAPVSESPPVARSLRVAGEIPPSMAGGVYVRNGANPLLPPSQLQGGGGHHLFDGDGMLHAVSFPRTSRLVQEAALGRRAFPKAIGELHAGRTGLARLALFALPAAAAGVVDAGHGAGAANAGLVYFGGRLRGRHAVPRARRRRRRPPHRRPVRLRRPAAVADDRAPQGGPGHRRALRARLRRRQQAVPQVLSRGDPVTGEKSPDVAVELPRPTMVHDFAITQGYAVIPDQQMVFDLWRMLRGASPVVHDTAKTSRFGLLPRYDSDASRMRWFDVPGCFCFHAWDEADARALLFSDAGGDAASSVRATLTEVRLDLRTGRSSRRALAPALSLEAGTVNRSRLGRRTRYAYLAVDLATGGAARFGGEPTFVPAAPKKGEDEGHVVVLVHDEAAGASELLVMDARTMDTAATVALPCRVPYGFHGVFVTRDQLAAQI >Et_5B_043717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14683120:14686451:1 gene:Et_5B_043717 transcript:Et_5B_043717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYRMPLNGCGKVRGEANTSSLLLDRLNTRDLLQRKNFHLASYSCIASHVRWKREWKEELTLTVIKVKHSLKDAPQSWIDN >Et_7A_050859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11717586:11720459:-1 gene:Et_7A_050859 transcript:Et_7A_050859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASISINLALVLLFLSPLIAASTSPPRRRTEEARTPQDLLLDFKSTLHDPTGALSSWSRAAPYCNWAHVTCTSATAAANATVSVAVSLQGLGLSGELSGAASLCRVPGLAALSLASNRFNQSIPLGLARCASLVSLNLSAGVFWGPIPDQLTALASLVWLDLSGNSIEGQVPPGFAALGRSLQVLDLGGNRLSGVLHPALFGNLTNLRLLDLSGNQFTASELPPELGEMSGLRWLFLQGSGFTGAIPESFLALEQLEALDLSRNSLTGAVPPRFGLKLQKLMTLDLSRNALSGPFPEEIENCLMLRRFEVHDNAFAGELPAGLWLLPDLRVIRAQNNRFSGRLPELPAVGQSRLEQVQLDNNSFSGGIPNSIGQVRTMYQFSASLNQLTGSLPESLCDSPAMSIINISRNSLSGAIPEFKSCKRLVSLCLSGNGLTGPIPASLGGLPVLTYIDLSSNDLTGGIPAELQNLKLALLNVSYNRLSGRVPASLLSGLPAVFLQGNPGLCGPGLPNDCDAPLRKRRALALAATVASFVAGAMLLAMGVFAAYRRLRRGIKSASPWKMVLFHPIKITGEELSAGFHEKNVIGRGAFGKVYLIELQDGQSVAVKRLVNSGKLTFRAVKNEMKVLAKIRHKNIAKILGFCYSEGDISVIYDYLQRGSLQDLICAPKFTMSWNTRVRIALGVAQGLAPLHRDQTPPVLHRDLKSSNVLLSDEFEPRLTGFGIDRVVGENAYQISLASNMNYRCYVAPEQNCTKRPTHLMDVYSFGVILLELITGKPAEQPAADDSVDIVRWVRRRVNVADGASQILDPSISRTALQEMQAALELALRCTSVMPDQRPAMDEVVRSLQPVCFSVHPQTMLHIEPALKP >Et_9B_065529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6259766:6263529:1 gene:Et_9B_065529 transcript:Et_9B_065529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVQLPNLAGVRRPATAAAIPWSCRSGRLTVSAAAPGGPVKEEEKGAGKKGKVVIRVSDPVRERRLPPPMFSVLESPSEPPPAPSMERRRNEVGDEEEGKRRYYVNMGDSIRKLQEELPVVFYREPSFDIYRDDVVFNDPLNNFSGIDNYKRIFWALQFIGQILFKAVWIDIVSVWQPVDNVILIRWIVHGIPRVPWEGYGCFGGTSEYKLDKNGKIYKHKVDNLAKNSPTKFRILLVEELIRSLGCPSTPKPTYFEISSYIA >Et_9B_064369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14422039:14452035:-1 gene:Et_9B_064369 transcript:Et_9B_064369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRRTVVPWRATSSSILMVLVAVVVVAGGAAEAAPQRILVDTDMDTDDLFALLYILKQNRSEFELKAVTISANAWTDAGHAANHLYDMLYMMGRDDILVGVGGDGGISYSSTIYPNVGGYLPLIDQGMTTAGDCRYRQAIPRESGGRLDIDTNFGIRSGFLPQGYRRYIPLKQPTAQQVLIDTISEGPTTIILIGAHTNLAIFLMSHPHLKRNVEHIYIMGGGQCGDHGNLFTSYTTNPNAEFNFFGDPFAAYQVFHSGIPITLVPLDATNTIPINKEFFDTFQNHQNTYEAQYCFKSLKMARDTWFDDQFYTSYFMWDSFTAGIAVSSMRNDMKHEFGNDFAELEYMNITFGLEKGGVHSGHVQTGITDGFCRVNGSKKGICEDGYTKEVSGAEAVHVRVATKARPNVDKSSPLDREFFISFLESLNRRENSGRFDRKAQFPFYREVLYKPDSVNKNKGKPVIFDMDMSPGDFVSLIYLLKAPTEVIDLKGILVSGNGWANIASIDIVYDILHMMGRDDIPVGRGNTTALGTPILGCKYLSVIPQGSGGLIDSDTLYGLARSLPRSTRRYTAENSVKYGAPRDTDHPDLRQPLAFEVWQSIKEQLDPSEKITILTNGPLTNLANIVLSDKTARYVIESVYVVGGHVRDENGSKGNVFTDPSNRYAEFNMFLDPLAAKTVLESPLDITLIPLSSQRKAASFQGVIEALKHSDDTPESKFVHRLLLLLHDLQQKQKLYRHMDTFLGEVLGAVYLVEGSNLNPSIPLKPISIVANSTTSMDGQIVVSEQSANPVKAARSNLLSLVVSRSRGQATRSRMARAMVLMVLVVAFVVAGGAAEAAPAPRRILMDMDMDIDDFFAMLYILKQNRSEFDLKAVTINVNAWSDSGHAVNHLYDILYMMDRDDIPVGVGGDGGISDSGTIYPNVGGYLPLIDQGMTTVGDCRYRQAIPQIGGRLDVDTAFGIRRAFLPQGNRRYTPLQQPTAQQHIYVMGGGVRSRNPTGCCPKNATASCIPQQCGDHGNLFTSYATNPNAEFNIFGDPFAAYQVFHSGIPITLVPLDATNTIPINKEFFHEFQNHQSTYEAQYCFKSLKMARDTWFNDLFYTSYFMWDSFTSGVAISSMRSEKNGEFENDFAQLEYMNITVITSNKPYGVNDDSNPFFDGRATPKFGLKHGGVHSGHVQVGITDSFCHVEGTKKGRCEDGYTKEVSGPEAVNIRVATKAKPNVDKNSPLDREFFKSFLEALNRRENSGRFDIKAQFPFYEEVLYKPESINKKMGRPVILDMDMSPGDFVSLIYLLKAPTEEVDLKGILVSGNGWANVASIDIIYDILHMMGRDDIPVGRGNTTALGSPTLGCKYVCFIPQGSGGLIDSDTLYGLARSLPRSPRRYTAENSVEHGAPRNTDHPELRQPLAFEVWQSIREKLDPSEKITFLTNGPLTNVANIVLSDSTATSVIDSIYVVGGHINDESDSTGNVFTVPSNRYAEFNMFLDPLAAKAVLESTLDITLIPLRAQRKAASFEAILQALKHVDHTPESSFVHQLFLLLHDLQQKHKVYHHMDIFLGEVLGAVYLVEGFKMRPSLQSKPISIFTNSTISMDGQMIVDKQSINSFKVAMTRTEMTMEEVAVFFVALLFLAMAVGAGAAPRRILVDTDMDTDDVLALLYILKHNRSEFDVKAITVNANAWSDAGHAVNHIYDILYMMGRDDIPVGVGGDGGISDAGHVEPDVGGYLPLIDQGMSTAGGCRYREAVPAGRGGRLDVDTNSGLRRAFLPQGPRGYSPLRQPTAQQVMADALAAGPATVLLLGAHTNLALLLASRPELRRNVERVYVSGGAVRAGGNLFTAAASNPFAEFNFFGDPFAAYQVLHSGVPVTMVPLDATNTIPVTEEFFDEFRRRQGTYEARYCFLSLDAGYYMWDSFAAGVALSSMRHGEVDGGNDFAELEYINITVVTSNTPYGVRDGSNPFFDGRAAPEFGLREGGVHSGHVQTGISDPFCLVPGSNRGRCEDGYTKEVSGVDSVRVRVATSAKPNTDKNSSLDREFFNSFLEVLNLPVNTGGFNIETQFPYYKEVLYKPDFRNVRRGKPVIFDMDMSPGDFVSLIYLLKEPIEVIDLKAVLVSGNGWANIASIDIVYDVLHMMGRDDIPVGLGNTTAFGTSTLGCNNSYAIPQGSGGFLDSDTLYGLARSLPRSPRRYNSESSDHPERRQPLAFEVWQSVRKQLGAGDKMTVLTNGPLTNLANISLSDGKASTVIERVYVIGGFTRDRENEKGNVSSVSSSNKYAEFNMFLDPLAAKIVLESRLNITLIPHTTQLKVASFEGVLGALEKRTQHTPEATFVHGLLSLLQDLRRKERLYHHMDVFLGEVLGAVYVVQGSDLKPSVKVMPISVVAKTTKSTDSQIVVSTKSAKLLNVLDNLNSGIYYNRLANSLSNKKQSAIVGSFEEQKATWSRPQK >Et_10A_001422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:313960:322153:1 gene:Et_10A_001422 transcript:Et_10A_001422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSMEAGGAGEVRHWNADVNGISLHVAEQGPADGPAVILLHGFPELWLSWRHQMPALAARGFRAIAPDLRGYGDSSAPADPSAYTIFHIVGDIVALLDHLRLPKVFVVGHDWGAQVAWHLSLFRPDRVRAVVTLGVPFFPRSPVSITQVFAARGDGFYITQFQEPGRAEKAFARHDVATVLKKFYSLQLDDLTAPPGVEIIDSLEAPSSPLPWITEEELGQYAEKFQKSGFTGPLNYYRMADMNWRLTAPWNGAKITVPAKFIAGDKDIGVQSFGIEHYIKSGGFKSNVPDLEVSIIDGHHFIQQEQADKNTRETAFALRKLPLTKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKNRQPNGQGRWPAKSARFILDLLKNAESNAEVKGLDVDTLYISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELIVSEKEEPVKKESREARSMAVRHWNADVNGISLHVAEQGPADGPAVLLLHGFPELWLSWRHQMPALAARGFRAIAPDLRGYGDSGAPADASAYSVFHVVGDLVALLDHLGLAKVLVVGHDWGALLAWHLSLFRPDMVRAVVALCVPYFSRGPAPATESLASIGDGFYVLQFQEPGRAERAFARYDVATVLKKFYAIEIEELIAPPGVELIDFLEAPASPLPWMTEEELGQYTEKFEKSGFTGPLNYYRMLDTNWRLTAPWNGAKITVPAKFILAEKDVGLKSFGIEQYVKSGGLQSNVPDLEVAVIEGHHFIQQEQPERVNSEILSFLDKFTSDLLFGNISCCCLRDVMDSIVFLVTGGRVLLLAAEGLVFYQLLERKTAKSAVASVDTATALSSARLRRLLVAVVSLLSWIPPWLEFDGNQQPSLLVVSSSLTW >Et_5A_040386.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:18677340:18677711:1 gene:Et_5A_040386 transcript:Et_5A_040386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFMARIMSMMFPFFAAATSAGTPSGTETKSNSGWRAGSSALKCVWSTVTARPRPWSTSASCSIGLMCPWKGSGNTSTRRAGHVSAPRQLTPTTSPLRASWTAAIGSCDALAKSSGRFWSAS >Et_7A_051805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24974537:24981801:-1 gene:Et_7A_051805 transcript:Et_7A_051805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPMGNERPAGPGPGRPVTSFVPGAAAPPRPGASSPFAAGGPFVRPGVGPPPRQGVPPPQAAAAPPFGTAPPAAMGGYRGPTPPQGPFGTAPPSQGPFAPGAPPQGPFTSAPPSQTPFASAPPSQPPFASAPQPQGPFAAGPPPQGPFATAPAPFRPPSSLSQPQSPTGGAVPPPTSYVRPPPPVQSQPPPMQGYYPGAPPANPQFPLSRPGFQQPVQTMPPPSMGPPAAFGNQAGYPTAGPPVGGTLQSLVEDFQSLSLSSVPGSLDPGVDVKGLPRPLDGDEEPAKVLEAYPLNCHPRYFRLTTHAIPASQSLVTRWHLPLGAVVHPLAESPDGEEVPVINFGAAGVIRCRRCRTYVNPYVTFADAGRKWRCNLCTLLNDVPGEYFSALDASGRRFDTNQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLESSLSQPQMMVVADLDDVFLPLPDDLLVNLVESRHVVESFLDSLPSMFQDNVNVESALGPALKAAFMVMSQIGGKLLVFQSTLPSLGIGRLRLRGDDVRAYGTDKEHTLRVPEDPFYKQMAAEFTKNQIAVDIFSFSEKYSDIASLGSLAKYTGGQVYHYPSFQAVTHGDKLKHELSRDLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDSDKAFGMQLSLEETLMTTQTVYFQVALLYTSSSGERRIRVHTAAAPVVTDLSEMYRQADTGAIVSLLGRIAVENSLSDKLDSVRQQLQLRLVKSLKEYRNLYVVQHRIGGRLIYPDSLRFLPLYILAICKSLALRGGYADVSLDERCAAGFSMMILPVKKLLNFIYPSLYRVDEALTMEPDRIDGSLKRLPLTMQCLDTGGMYLLDDGFTFLVWLGRMLPPELVNNILGVSLANFPDLSKIQLRECNNEYSRKLMKILSALRENDPSYYQLCRVVRQGEQPREGFLLLSNLIEDQMAGTTNGLKLVLGWPFVVKRY >Et_6B_049559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6592457:6595669:1 gene:Et_6B_049559 transcript:Et_6B_049559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSWRAAAPLAVAAVVVWVLAGACVSAFPAEDLVTRLPGQPIVAFRQFAGYVDVDVKAGRSLFYYFTEAQEDAAGKPLTLWLNGGPGCSSVGGGAFTELGPFYPRGDGRGLRLNKKSWNKVSNLLFVESPAGVGWSYSNTSSDYKTGDKHTAEDMYRFLLGWYAKFPEYRSRALFLTGESYAGHYIPQLTDLLLTHNEKSKGFKFNIKGVAIGNPLLKLDRDVPATYEYFWSHGMISDEIFLAISHSCNFEDYTFDSPHNESKSCNDAIAEANGIVGDYVNNYDVILDVCYPSIVMQELRLRKYITKISVGVDVCMTYERFFYFNLPEVQEALHANRTHLPYHWSMCSDILNYSNTDGNINILPILQRIVEHKIPLWIFSGDQDSVVPLLGSRTLVRELARTMGLHVTVPYSNWFRKGQVGGWVTEYGNFLTFATVRGASHMVPFAQPDRALGLFRSIVLGQRLPNTTNPPID >Et_4A_034962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8369756:8382391:1 gene:Et_4A_034962 transcript:Et_4A_034962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTLATSLSTEKRHYQVRGDGDHSARGCVPRSSPAGSDPNWLSYQVGLLPHSIAPVTTRSRRPQGQPCPWDACASLSIGDDDDDIIHFSANGTCMITIGSLEAVVSVDLREETLLGVAMLDTTSCVLLRLSTGTAPHRRNATTAWSKTSTGLPIAVTFCNARPPALAHFYVNCPCLKLTDEFLSPPKVISQDGDLVVLRVPLDTRLGSHVRYSDHFVYKVDNQRPRLDLLPKPLGEDAFADNEIAILSCNGDNYVVAALEPVLIFKPKFKLHLYRSADDGKRGSWTSQVLSVDEPLRDKVCPIPDSSDRQIYHRTTKVIVLGGDQGTIGWVDLWRGIILCDVLAGCPKLLDVPLPLPSEGNWDSYLEGCPSYSRDITVNRRKGTIKYVEMEITLPKDGFTVSSGNYYAKEGLPDYVVPGSWTINTWRIHIPRVPSSYKWHHHRTVHLASIKLPVGNEMLYKLLNLLLSTRHNKEEEEEATGATLPLGGLCMAYPSLSIDNDDDVVYFSANGTCLGRKGSMEAVVSVDLKAKTLVVLAVHDTKRYPFLMRSFVASGIATDLKTEGALASLLGLAKEHMTEAKKVEGVESV >Et_9B_066187.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:6018631:6018840:1 gene:Et_9B_066187 transcript:Et_9B_066187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGTVKWFNDEKGFGFITPDDGGQDLFVHSREILGDGFKSLKEGQKVEFLLQQGQKGPQAAKVRVIG >Et_4B_038418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28906622:28910045:1 gene:Et_4B_038418 transcript:Et_4B_038418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRSNRRGKRKAEASSTVDHPAAPPARRSRRGAALAPPAPAHELEAPAARTARPPSPVHTMEKMHLDLAHHLRSGRKVFVPNTGKPGPMQFKKGIVFFFNGPWYHANFLAKPKGATSCVDQVRPKYFFAELRIVPEGKGKTKMLCLSCIKMDQAENLVQTPEANRAEP >Et_9A_061072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14090535:14091635:-1 gene:Et_9A_061072 transcript:Et_9A_061072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDEALRSVNLAKSAFASGDRQRAEKFIRIAQRLDPGLPLDDLLSTAEKFGILNGATCHDKTGRGQAPEIPIAPKDHAGNHVDHAYTEENIRVVREIRKKNDYYAILGVERRCSGEDIKKAYRRLSLKVHPDKNKAPGAEDAFKLVSKAFNCLSNDQSRKTYDQTGTIEDHEFSEQYSNAMRRGPNYEGDFDPDEIFGSFFYGTHDNVFHAQSSYRARGADRQRHQQRRDHTVQGASGINLTMLMHLAVILFIVALAFIPVRRPEYSLQKTSSFPISKVTEKHGVKYYVSKQDFDQQFPRGSTSRDNIEQYVLKDYKSMLGRYCHVELQRRQWAKDYPTPHCDKLRSFSVA >Et_2B_021398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29376209:29378704:-1 gene:Et_2B_021398 transcript:Et_2B_021398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFLVEAMAGMAPEGTQFDAKHYDSKLQELLSSGETEEFFTPYDEVFESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPANVADLL >Et_10A_001483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3871937:3876061:-1 gene:Et_10A_001483 transcript:Et_10A_001483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQVGSDKKKRSNGLSRLDSENLSGVSSTGLPLKCAMDPTNSLRMRTVPSENGLKSVESSSDNLQDMACESPHAGRVKFMCSFGGKILPRPSDGKLRYVGGETRLISITKGFSWKQFLQKTLKIYNQPHIIKYQLPDEDLDALISLSCDEDLQNMMDEYYNLEKANGSPRLRIFLVSLSECEDTPLDSRSLDSEPEYQFVVAVNNLAQLNRSISGNNLVNQPSHQLDSSSLPYGDLPVCQTSTEIGVKASVETTQNESSSQFFLAPYTQQAAAESSTTSFPSLSQKRTMKQSRMRAPADKSTMNQEHVNMSEFGDGSNQKAMLPDHQDKKQNDVGICIGVGSHMQHFHIEKQVTDFARNESDLIPQSNHDISTPMGATFCSEKVSMHSENALGGSGLHEHTGQVLVMPHAFSDPLLKDLTEVPASNLSLPAGSYIAPSFSQKICQTNELERAISGTRPTFECVKPPHVARTNEPNCLVSNHIDQRCDQGIVGPATSQPPVCYQHQSMSSNVVQEGHDGGSVVQQQDRSYQENSAGPSVVPWSNIVDTKLKYHARGARLSSNELDALESSVPTAMHATDHSLSYLLNGSQTENSNHGLHVEKLNSGFAVTDYGATGYVNGNDKVDPVPHIRFHVNSSEGFTSQISMRNRGSDVYQKGNLDQSSALNSSLAASQHAGPSDADLSTSLYGNGTHCLPSPQNPVLGGVFRGEDPLLDKTNVTCTGVNGFDHTTTNCEKTKLVHGMCGNIQMDVLVVEDVTGNVPSDIPSSRPVVPQDEVVVEEQQEVIVSSQTDDDARSNEPEDHDEGAADRTMSDAAIAELEASMYGLQIIRNGDLEELRELGAGTFGTVYYGKWRGTDVAIKRIKKSCFAGRSSEQEKLTKDFWREAHILSKLHHPNVVAFYGVVPDGTGGTLATVTEFMVNGSLRNVLLRKDRMLDRRKKLIIAMDAAFGMEYLHSKSIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSEKVDVFSFGIVLWEILTGEEPYSNMHCGAIIGGIVNNTLRPPIPENCDPEWQKLMEQCWSANPDVRPSFTEVTDRLRAMPAVVQPRVPAQGNK >Et_3A_024003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1671660:1674811:1 gene:Et_3A_024003 transcript:Et_3A_024003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAARRLSSSSAGAAPAPKLSSLFAPRRNPKPRRPPPDSSHEPPPRKPRQRPRQPWEEAAATLLRRLHEGRYMPGPDFSSVPHACSPDVVKAAAERFGHDHQVVAKWLSGSDLKKVALFGCPSVERRTVFASKRLRAFFNIQEEKICSSCKLRSSCKFVNQEVPRHNKVILSDTMRIITLFVLGAFPQELQSDSHEDKVRWFAQQAAVEHQSDCHVTSFTYL >Et_7A_052746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15008896:15020314:-1 gene:Et_7A_052746 transcript:Et_7A_052746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANPLNQRTTIQLFLLIQNATELVELTLKRVNLMNKWLWVYSSGSWAKRSTNLDNLLQGHESIMSMERIWNLKEKIVTTDNQAFCSFITESTRKHIVHLFGIAVSGIGCNNLEMNLCLEKELIDGHFPSGDYTDLVFRKPGLGECDLPAPQVVEHLHVLLLEVAEDGDPVGLGDHEAHEPPRPVLHEEPVPLQLQPPSVEGERHGRHVDAVHPDALGKDEAEVEAQARPRGAARQPDLLLQVVHQLLVQRRLQERDRRRDVHDHPVRVSAVSVASSRRRHGRRFRRRGPVRRR >Et_3A_025733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32263662:32264915:-1 gene:Et_3A_025733 transcript:Et_3A_025733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAKVPMRLIGNARVRVRTFEQRKKSMLKKLEELHILCGVDGVIQRYRALPPEKRREHTHLNYVKAELGKEKAKLARVRQAGHLALAPHGRPEESNDVALEELLASIDAALLATAERRKALGLPDVDDDALVPLGQGGPFIGDDVETMDGWVDELMWDGAQPMAALNADVMQPAPGVPYINGGNVDMGGNRYQQLQMPGNGHGNNNNNNNNNNNLGQFAWNAYQPNAAVHPEYGYQFTHNNYVDTNFDQMQVPAGNVNAQHNGWLNLGVWSSVDESSSHAFAPDSTVYMPAEHCAPCIGDNFTGAPGTGMGGGFVEQTSTGMRHRASPMISSARTPARTSD >Et_5A_042287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8361881:8364662:1 gene:Et_5A_042287 transcript:Et_5A_042287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHLLPAAATIAVAVALLAPFAAGYPWPMCGSTNTFAPNSTFQANLNRLAATLPGKASSSPNLYATAVAGAVPEQVWGMALCRGDANATACLSCLTQAFRDVQSDCPFYKDATIYYDPCTLHYSDVHTLAGGDDTGPTTDEYGITNLSNVTSDPVRFEALLARLLNATADYAAFNSTRRFATGEADFFDKNYPKVYSMAQCPPDQPPELCRKCLAGIIADGLPRFENAIGGRVLWVNCTCRFETAPFYSVPAMVRLPLPGAGAPAPGPAVETPAAGGGGKKNYNVPGIVLAVVLAVLAALNLVACLCFWRRRRQQRRPTAEAKKPYPMYSTEAEDVEMVDSMMMDVSTLRTATGDFDESHKLGEGGFGAVYKGVLPDGDEIAVKRLSDSSTQGEDELKNELALVAKLKHKNLVRLVGVCLEQQERLLVYEYVPNRSLDLILFDTENEKREQLDWGQRYRIINGIARGLQYLHEDSQLKVVHRDLKASNILLDANMNPKISDFGLARIFDRDQTQAKTSQIVGTYGYMAPEYVMRGNYSVKSDAFSFGVMVLEIVTGRKNNDSYNSKQSGELLNTVWEHWEAGTVMELVDPSMNGSFPEGDVLRCFHIGLLCVQGDPAARPVMSSVVMMLGTDTISLQAPSRPGFFARNNSQNTAEQG >Et_10A_001529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4621958:4623487:-1 gene:Et_10A_001529 transcript:Et_10A_001529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTGERLAGAGFAVYGLDYEGHGRSECLQGYVPDFDAPVADCDAFFVSKSTATYSRFLLGESMGGTVALLLHRSRPDFWTGAVLVAPMCKISDGMKVNPALANVLRAVTSIIPTWKVVPSNDVIDFAYKTQEKRDEIRRNPYCYKGKPRLKTAYELVKASMHIEANLLHKVTMPFLIVHGGADKVTDPSYRSAASQDKTFKVYPGMWHALTSGESPDNIRTVFRDIIEWLNQRTEGQPQMNKIADTTTSKCCTETGVCML >Et_8A_057967.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:10346112:10348037:-1 gene:Et_8A_057967 transcript:Et_8A_057967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPHPAAVLLILLLHNAAAGAIPSPGGASSPAPVNPFTAKAAFIRYWNRKVPNNRPHPAFFVAKLSPLPAAAAASFPTSPAAIRARLPALCSQAALLCPSGETSSSSLAGGRSPGGSGGPFKGYSNANFTNYGGGAGADSFRTYSPDVNIAADSFRRYGHGSSGRDDRFESYEADGNVVTANFTSYAGGGATGGSGSFAAYAADANVPDQTFTNYDAAGNGRARGFAAYSAEANHGESGFSGYGKDGNGVRENFASYGNESNVLASGFANYGESANGATDTFAAYGVEGNVPENTFRSYGAGGNAGVDSFKRYRDDANVGDDSFASYAKSANGGAAEFQSYGGSSNPGATGFKGYGEGGNPNHHIGFKEYSGDNITFKGYAKSGVEFKTYHNTSSTDSVLTASAAAESGHHEHMMKWSPEPGKFFRESELVAGNRMPMPDIGDKMPPRAFLPRSIAAKIPFEPRAVAEAFAAPLDTAMGKAVASTVAECRRAPSRGETKRCATSAEDVVDFAVETLGDDIVVRSTASTAGSGGDVVLGGITGVDGGRVTRSVSCHQSLFPYLVYYCHSVPKVRVYEADILSAADGSGEKINRGVAICHLDTSDWSPSHGAFAALGGKPGEVEVCHWIFEGDMTWTVAD >Et_3A_024280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19115039:19115515:1 gene:Et_3A_024280 transcript:Et_3A_024280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSKQAAVYAAARPTYPKELFAKLAALTPHHRLAWDVGTRQWPGRHRRRVALRQRGGHGRVRGAAASRRAHPKVRYLHTPDATPREEDLVAALGGKGGVDYITVAEAAHWFDLPAFYGGGPAG >Et_7A_051092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14371689:14372796:1 gene:Et_7A_051092 transcript:Et_7A_051092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSYRFDNLADILTLPQALQLPLPPPPLDAFRLRVFCGDFRAAITWIWRGLERSPREFRLRCDNDDPLVYSSERSPCFPGLILHRHGGAYTCRLRTVHLSGMHLSSDFGDDVIAGSPYGFRRLASRSLKKLFIGDRCGPDFGVDTLVLAVPHIVSLRFDSRPPPATSEVEMPSLVSASLTDTGRHARSLDLPSYLGVLCSLRHARSLDLSGFSAKALLVDEEPQDVPVFCNLRTLVMNACELESECQVLRRFLRNAPRLETLTLRDCTFAGGSGSGSKKRKRTSASSYHEHVPMAAYYPCKNLRSIELEFEEGLAVAELANALDDISKEAVHPIEISVQEGKRRVKISYN >Et_3A_023636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10087383:10100277:-1 gene:Et_3A_023636 transcript:Et_3A_023636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSGLSKSRKSSDSKLDYSHITVELCTVDGLVKESTQCAPNGYYFIPVYDKGSFMVRVKGPKGWSWKPETVPVVIDQNGCNGNADINFQFTGFMIAGKVVGAVAGKSCSKDGGPSGVKVELMSDSDELVASALTSSTGEYSFANIIPGRYKLRASHPDYDIEMRGSPEVDLRFGNAVADDVFFVSGYNIYGSVVAQGNPMLGVHLYLYSNDVTEVPCPQGFGDAPREGALCHAISDADGKFVFKSLPCGSYELVPYYKGENTVFDVSPSSLPVSVEHSHLVIAQKFQVTGFSVGGRIVDGYGSGVEGANVIVDGQLRAVTDSLGHYRLDQVTSKKYTITAEKDHYKFNRLEDFMILPNMASIDDIKSVRYDVCGTVRTVTPNSKAMVTLTHGPENVKPQRKLVGENGRFCFEVPAGEYKLSALPVDTERSSTLMFSPGSIDVNVNSPLLDLEFSQSQVNIHGRVQCKEQCNQNILLSLVRIAGGIEQERRTTTLEQDNANFVFTKVFPGKYRMEVKHSSSEGSSKDDWCWDKNALDIDVGTEDVREILFVQKGYWIELVSTHDTEAYIHQPDSSKFDLFIKKGPQRICVETPGQHEIHLINSCISFGSSSVSFDTANPMPVHISAKKYLVKGEIHAEVGLQEQIGSEDIVVDAFKSDGTFFGKISTTPVHGKSSQSGFTVFEYSIWADLGEDFIFVPHDSSTRRKKILFYPARQQYSVSVNGCQDTVPSITAKPGVYLEGSVSPATLDVDIKIIAAGNSNYAQLRKGGVATVTKTDSEGSFVAGPLYDDIEYKVEAAKDGYHLKRTGPYTFACQKLGQILVRIYGEKDAELLPSVLLSLSGEEGYRNNSISSSGGTFSFDNLFPGSFYLRPLLKEYKFAPSAVAIDLNSGESREVEFRATRVAYSAMGSVTLLTGQPKEGVFVEARSESTGYYEEATTDTFGRFRLRGLVPGSTYSIRVVAKDDFGFAAVERASPEYVSVDVGQEDITGIDFVVFERPEVTILSGHVEGDGIDTLQPHLSIEIRSAADPSRVESVLPVPLSYYFEVRDLPKGKHLVQIRSGLPSHSHRFESEIVEVDLEKQTQIHVGPLKYRTEERHHKQELDPAPVFPLVVGVSVIALVISMPRLKDLYQSAVGMTSLGSGAAPRKEPRKNITRKRV >Et_8B_060464.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:17011136:17011669:-1 gene:Et_8B_060464 transcript:Et_8B_060464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAAAHDLRHQAAAPGVPELLQQQRRRRGRASSEYLGVRRRPWGRYAAEIRNPVTKERHWLGTFDTAEEAAVAYDLSAIAIAGPEAARTNFTYPCGPGLQLPEQEYGPAPPVAPPPSPLSEGSGSTIDDDGCCHLSAGEEVVSEADDDESLTIAAILQSFHHQSAPPASPASPLY >Et_1A_005392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1015100:1016761:-1 gene:Et_1A_005392 transcript:Et_1A_005392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAGTEAEIYRLPEECVAYAISLTTPGDACLSSAVSPAFKAAADSDAVWARFLPEDGDAILARADEPVLLECESKKDLFTRLCDSPVLLDGATMSFGLERRSGAKCFMLSARALNIVWGDDPTCWIWTPSLPGSRFPEVAELVDVCWLEISGKLQLSLLTPGTTYAAYLVYAIADDTYGLECHVGILPPKATLTVDVSGGSTTTEHAVCLQHMHGEEETAMHRRKQQYMRLRKGYGGGRKMVLTREADPDIRCPRRRADGWAEVELGVFAVAGDEEGVVEVGLKEIESQRWKRGLIVQGIEIRPKHSTS >Et_5A_042374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9257976:9260654:-1 gene:Et_5A_042374 transcript:Et_5A_042374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNDVGGAAGAGGSGAGGGSVGGSGVRVPSSRERENNRNRERRRRAIAAKIFTGLRTYGNYRLPGKHCDNNEVLKALCNEAGWTVEPDGTTYRKVPYIPSPPLFLPRTCSPFGLVSLNVAACFGRLISRQIVAMNFVFIASIALVGTVSSSSFFFDKLLGLAESLPARVLFLRPGQGPSLRSMRLSEEEATTLCPVTGFKPPALGDHTGIFRSATGSACLSYPMSPSPNSASSHITFGGGSSSNNCFFPAAGGRFGAYGLSCPGYGTNFFSFSAPVTPPGSSLSPLPTPPHLKMPRWGEYTSAAGSSGHAMLPPWVTGASNSLGGYQDRADPAIWLPGPHLSSAGGKSPAEPATWLPGLQMSSVAAKSPSFTSALPAAGLFGAYENASVASSSRKRSLGRSSSPSAPGGVALINRLSNSAKAEERVLMEMSSPKADKDAVPAWEGEVIKECPEEELELTLGSARTRADCALD >Et_1B_011321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20362756:20364230:-1 gene:Et_1B_011321 transcript:Et_1B_011321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPVPSVQAMVAASSGAEVPSRYHRPEASHDPVANDIEAEIPVIDFQKLLDPDASPEDSARLHRACQDWGFFQLINHGVPSDVVEGMKASTEGFFQLPLETKKEVAQERGQLDGYGHLFVVSEDQKLDWSDVLYLNTRPPHRKNLRFWPDRPDNFRLTLEKYSAATMNIVERLLPIIAKNLELQPEALTDKFVDGIQSIRMNYYPACVKAENVVGLAPHSDAGFLTLVLQVNQVQGLQIRRNGTWVPVKPLDSAFVVNLGDTFEIFTNGRYKSIEHRAVVDTEKERLSIAAFHGPNMHAKIGPLKDIVAHEVEAYKTVDHENFRRLFLSGRLVGKSFLEQMKL >Et_6B_049412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4455230:4456924:-1 gene:Et_6B_049412 transcript:Et_6B_049412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PAPPALLAALQRSGADVRARPRWRDLWKSARSIRVGPRRRRGRRHAAASWTPRSLIKFMNHLLLLRGYSPAADECDIRCGELADDGDDEDLFDAGGLWIRHAVSFCKCSVLRFSVRTGNRLRIPDVPFVSQSLTKVELADAKLAFDTLDFLRCPKLEDLEFRMCRIDLGRILSPSLRRLSMDDCNFTGKTRTRISTPRLVSLHVTVCSGRAPFLDDMPVLVTADIRIQDDLSSDMCQGTAAWPCDKKPVLIATIDGVSVLFQGLSSATDLELTSDPRVFIFRKDCHSCTTFKNLKTLLLNEWCMTADFGALVYFLRYTPVLEKLTLQLEYCEDRRAVVVNDEKYNPKEEFLVSKQLNVVEIKCQKENELVGKIVMILRTHGIHPEKINIKPNFCPPCIDCYETNDSDYGVM >Et_3B_028638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17674940:17675545:1 gene:Et_3B_028638 transcript:Et_3B_028638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYRAPLADKAYYAFDRLSNEESSRQSSRYSPTNPCELAKAMTMYGFDIVDIEPADEVVKRALNLTTSTLPRATTHPPRHRRRPPPFRAQREVLLNYDTISQGHRSGPRASRADMMFIPHGSAAHVGPSAGAAR >Et_3B_028034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32535858:32538512:-1 gene:Et_3B_028034 transcript:Et_3B_028034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDVEEVLKSPRRSRPKKQQQPPPSPPRPPSPSPSPPPRQQEEEEEGEEEFERARYDCAFQDEREGGRDFAPPDLVWGKVRSHPWWPGQVFDPADASEVALQHRRAGAPLVAYFWDRTFAWNDASALLPFRANFTRFSAQSTMSGFVSAVDAALQEVGRRVEAGLCCGCFGSPIATKQEVENSGVRQGAFGAVVDGAYMRDAFRGKAFLDYISALGKKPMAGADLLDLATAKAQLRAFNRSRGPRGLPEFVVFEGIEDVADAIPHTKNENTVSKGNKSKRTKSSAKKQPDLSKHLDGVERAVVVGGTTPASNAVNDALSESKSGRMLRSTRKKEDALEGLKKLGKDGGGETLTGSSKDNPLLTENKLSRRASSKRKKDKTIEDGDGLGDGRAASAKDSPDKRRSGPADKSASKRVLISEHGRKKKKLSELMAEAGRPNSAQPGRKNKTRGTPSLHESAEKAEDPDRDSKDTVKTRKRKKLDTLGDLSFPSQSLSRKKSTKVGELMTKASRRMPQTPPAVKVNGKASQTKSRSIKHKQESAADKSPRSVKANRGKKDAFTEESPSCSEMLWQLSLASCDLKQREKVTPTVVNFFTDFRKNSNVSSSDVSEGIPEKAANTESAPSEQPIADHMQDDYWADILINVEEPLSSLKKKKDESNKRTSKKAHQGKKLSDKSSVTLESADEPRSEGKQDTENGKHLKAESKPFVANGSQLKAGTKSAEDMENSLLAGLVLHFSRPSAVPSRSDLIKIFSQYGPVKEAKAEIANNPNSAQVIFKRRMDAEAAFAGAGKISALGPALDSFRLTDFPAAASGSEPSHGASKSE >Et_2B_022044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8403950:8411265:-1 gene:Et_2B_022044 transcript:Et_2B_022044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQFPTSLLLTSRQLIHPSSCPRHRLFSPSSPRALRLPPAPRGPTRRPLLRAMASVAGEAPAASAAAGTGAAAGEAPRRLALEELPWDHTFVRELPGDPRSDTIPREVLHACYSKVAPSAQVDNPKLVVWSDSVADLLDLDHKEFERPDFPQFFSGATPLVGSLPYAQCYGGHQFGVWAGQLGDGRAITLGEVVNSRGERWELQLKGSGKTPYSRFADGLAVLRSSIREFICSEAMHSLGIPTTRALCLVETGKSVVRDMFYDGNAKEEPGAIVCRVAPSFLRFGSYQIHSIRGKEDLEIVRRLADYTIRHHYPHLENMKKSEAWAVEVAERTAYLIARWQGVGFTHGVLNTDNMSVLGLTIDYGPFGFLDAFDPSFTPNTTDLPGKRYCFANQPDVGLWNIAQFTGPLSSAQLISQDEANYVMERYGTKFMDEYQSIMTRKLGLTKYNKQLISKLLNNLAVDKVDYTNFFRLLSNVKADRDIPENELLVPLKAALLDIGKERKDAWISWVQTYIEELVESGVPDEERKAVMNSVNPKYIPRNYLCQSAIDAAEQGDYEEVRRLLKVMQHPYDEQPGMEKYARLPPAWAYRPGSQGHYPPTANIEGLHLLFDFINKNTTSSAGTTYFSVCNHIGHSEKCPIERAFRGDI >Et_10A_001609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5962120:5962599:-1 gene:Et_10A_001609 transcript:Et_10A_001609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCLADSIMLSVLLPPALQDFRYACHSLKENGYTDVACWWNLLIISAACSFSRRSAASSHTDSGATGTTRKMELIRTEPAQNLVPIEVGSAPARDGPKHTSRTEFSAKNQIQCKEQGQ >Et_2B_019056.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27905157:27905881:-1 gene:Et_2B_019056 transcript:Et_2B_019056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVIGTDVTFVLVKEQNLNYALLIITWNSSMRSLSFFPSPATTEVRVRSAEDPGPQPGTLREVTLERSSASSLPLTALALRRTCSTSASMRERMALTARASCADLLSSFADWSRLYMPSRSFMSPFTASTSSKNLSSSMFIALSPPADSMSPADGCGLASAYFLNELAENRSFILQLVRRSAKQRLMNREKVDGCCDKNELRWRI >Et_1B_011996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27919121:27921982:-1 gene:Et_1B_011996 transcript:Et_1B_011996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPNSIGRSSMPRSNEGMRLIFSAVIGVMLGYLFGISFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWASANSHKKNSSNSKSDEIPKIYVPTNPKGAERLPPGIIVSETDLYPRRLWGEPSEDLTSEPRYLVTFTVGIGQKSNIDAAVKKFSDKFTIMLFHYDGRTTEWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDVVAKYDYIFIWDEDLGVEHFNAEKYIELVRKHGLEISQPGLEPDRGLTWQMTKRRGDQEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRNAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQAVPSLGNQGKSDNGRAPWEGVRARCRKEWGIFQSRLADAEKAYYLEQGITPPNSTAV >Et_2B_020833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24075511:24079716:1 gene:Et_2B_020833 transcript:Et_2B_020833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKARALSKRPAHHRHILLLAFVLSAAVVLPLLMPAATAAAAVAVAKGDGENKSALGGTRQWATGKDEEELVAEREAGGGGSVEEDEFAGGFGSLDSMLQWAIGNSDPEKLKEEASGVQKLSADELQKRRQEIKELMEKLKMPSDADLMKIAIADLNNSSISLEDRQHLDKLGGLLPVIQELSNANEEIRITSAWVLGTASQNNALVQDQILGYGALARLVKMGYSTSTEEAAKALYAISALIRNNVNGQEMFNSEKGGSLLQHILVNSDIDLRLQKKAVFLATDLADFQLNSGKPGLPLLSDRLFLKSVVDMLSRFDLDLQEKVLLAIKSLLKLSSVDVADFEFYDLESVLHRLGVQLEDLPSEDQKEYAGEVDALRREVLTLFQQKLKQMMNLVCPAAKLE >Et_7A_053112.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6972530:6974161:1 gene:Et_7A_053112 transcript:Et_7A_053112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAWALVAAAVALYMAWFWRMSRGLSGPRVWPVVGSLPGLVQHAEDMHEWIAGNLRRAGGTYQTCIFAVPGVARRGGLVTVTCEPRNLEHVLRSRFDNYPKGPFWHGVFRDLLGDGIFNSDGETWVAQRKTAALEFTTRTLRTAMSRWVSRSIHGRLLPILGDAASAAADEGASVDLQDLLLRLTFDNICGLAFGKDPETLARGLPENAFASAFDRATEATLNRFIFPECVWRCKKWLGLGMETTLSRSVAHVDQYLAAVIKARKLELSSAASSSPATATPHDDLLSRFMRKGTYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPAVERKVVRELCAVLAASRGADDPALWLDAPFDFDELDRLVYLKAALSETLRLYPSVPEDSKHVVADDVLPDGTFVPAGSSVTYSIYSAGRMKTVWGEDCLDFRPERWLSADGTKFEPHDSYRFVAFNAGPRICLGKDLAYLQMKNIAGSVLLRYRLAVAPGHRVEQKMSLTLFMKYGLRMVVRPRDLGPVVDELRGAGEDDAAARVTAACA >Et_1B_012785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35052611:35054622:-1 gene:Et_1B_012785 transcript:Et_1B_012785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVLCAANHAPLTPLSFLERTALVYPDRPAIIASGSGAAPRTWRETRARCLRLAAALAGLGVQRHDVVAVFAANIPAMYELHFGIPMAGAVICALNSRLDAAMASVLLQHSEAKVIFVDCALLDIAQQALRLVSQAGAKPPVVVLIKELLDEEPSPDHLIPAADRCYGYEAFLSSVGESPDFAVRWPADENEPIALNYTSGTTSRPKGVVYSHRGAFLNSLATVLLNDMPAMPVYLWTVPMFHCNGWCLTWGVAAQGGTNVCLRKVTGAAIFDSIARHGVTHMGGAPTVLGMIVNATEEERRPLPGGRRVTVMTGGAPPPPQVLFRMEELGFVVIHSYGLTETYGPSTVCTWKPEWDALPPEECAALNSRQGLHHLGMEEVDVKDPATMQSVPADGRSMGEVMFRGNTVMSGYYKDPAATAEAMAGGWLRSGDLAVRHADGYLKIRDRSKDIIISGGENISTIEVEAALYAHPAVAEAAVVGRPDDYWGETPCAFVTLKEGASVGAEEVIAFCRSRLPHYMAPRTVVFVAELPKTATGKVQKFALREKAKTTGSISSSKQGGSSNSGRSKL >Et_5B_044238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21870403:21879100:-1 gene:Et_5B_044238 transcript:Et_5B_044238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLPFLYPPPSSAYLTAMSAVSLVSMANAGLSELRGAHMAYSKFWHVMSAAGGAGAGQQQQRGSGGGGGALLSSRDGMLVAYAPALLAAAASFAVPGVVEGARAEILSAALAVHFLKRVLEVLFVHRYSGNMPLATAATISTSYLLGTVNMIYAQHLSRGVPDPAVDLLYPGVAVFAVGIAGNFYHHYLLSRLRAGSGSDKGYKIPRGGLFELVTCPHYLFEILGFIGFAMIAQTVYSVAWASGTAAYLAGRSCATRRWYASKFDEFPERIKALMWPLPFLYTPSAFEVAMSAGAVVSLAGLGLSEFCGENLAYSKFWHSGRGQKRGVGVLLPSRSGMLMAYATGLITVGAASFAVPGVLEEARTQVLTAALAIHLLKRALEVLFLHRYSGSMPLATALAISTYYLLVTGGMIYVQLLSHGLPDPAIDMLYPGVLIFAVGHIGNFYHHYILSRLRDAAGDKRYKIPRGALFDLVTCPHYLFEILGFFSFAMISQTLYAFAVALGTVAHLTGRSCATRRWYASKFEEFPTRIKALVPYPFLYAPSAFVTAMSAAAAVSLAGLGLSELCGFNLAYSKFWNAGGRGRKRGVAGVLLPSRGGMLVLYAPAALIAVAASFAVSGVVLFLHRYSGSMPLATALTISSCYLFITGSMIYVQHLSDGLPDPAIDLLYPGVLVFAVGVAGNFYHHYLLSRLRDGAGDKGYKIPRGGLFDLVICPHYLFEILVFVGFAMISQTLYAVAVALGIVANLTGRSCATRRWYVSKFEDFPTKIKALVPST >Et_5B_045590.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5002688:5003422:-1 gene:Et_5B_045590 transcript:Et_5B_045590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDAIDVSTKPPVPPPSPPRVDSWARGGRRSKRRAGSSDADGRSQSEEEYLALCLLMLSRGVREHGGAESAAVARGAGAAKAASQQQQGYECSVCGKVYSSYQALGGHKTSHRKPPTPPTPQPPTAAAAGGDEASSGGGNGAHAEEAKVHQCSLCLRTFPSGQALGGHKRLHYEGGAAAADAVKDKEQPPVNKAKPGAAPAAVALRDFDLNLPAAAVAAAMGEDHDEVVAPPEAKRARMLLVV >Et_4A_032842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14549324:14551590:-1 gene:Et_4A_032842 transcript:Et_4A_032842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCLKEGLNRGAWTAMEDDILVSYIRKHGEGKWGCLPKRAGLKRCGKSCRLRWLNYLRPGIKRGNISDDEEELIIRLHRLLGNRWSLIAGRLPGRTDNEIKNYWNTTLGKKVMGGHSKQGHHQASAAASAVVVRSRPSSEPARTSSDAAPPSPDGGNTSPPVRTKAMRCTARLPRAAASPAVSRGRPPEAPAVAEVRPEHLPEDDISIDLDFDMDELGFLSPWHGEAGDGVVGPAGQFVGGEGDMEALLTLEPAGDDVEFAWF >Et_4A_035328.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:20160686:20162266:-1 gene:Et_4A_035328 transcript:Et_4A_035328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHARACSSPIPTSTTAPLLPSSASISAFIASDPALTLLHTRCASMAHLRQLHGALVKSGLAKDPIAASRAVAFCAGPGRDVAYAERIVRHHPKPNSFMWNTVIRALSDGAAPEAAVALFVEMLGSPVPPDRRTFPSLFAAYGRLGGSASGDGAALHGMAVKLGLAGDAYVRNATIAMYASRGAADEAMALFDRCAEFDAVACNSAIVALARAGRVDEARAVFDGMPDRTVATWSAMVSAYARASRCGEALALFSDMQADGGVAPNANVLVSVLGCCAGLGALEQGAWVHAYIDRHGVAVNALVVTALVDMYCKCGSVHKAREVFETVRSNGLAKLSSWNSMMQGLAVHGQWREAIALFSELKSHGLSPDNVTFIAVLTAYGHSGMPDEAKAAFASMASEHNVVPGIEHYGCLVDALARAGRLQEAEEVIRAMPMAPDAAIWVALLSGCRLHGDAELAARAAREAVRCDPRDSGAYVLAASVLARDGDAGGGAGVRGQMREAGVGKVPGCSMIEVNGVVHEFVS >Et_4A_033590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24857681:24858116:1 gene:Et_4A_033590 transcript:Et_4A_033590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAMLGQSLWSLSLVLLDGYALRSGMDLTTNVQLRVFLVGDWATALLSFAASSSSAALVVLLERDMHACRNYPQLSCGRFILATVFACLAWFFSAISAVVSFWLVADTV >Et_5A_040843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13236409:13245554:-1 gene:Et_5A_040843 transcript:Et_5A_040843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTWSARLLLAPASSSRAAPATSHRRLFPSPRLGRGPVPPHAYISAPAPGPEAAAYAPPTLDAAEAAADVAAAISASDAVTWAGVWALLSPHRARLAASLAALLACTTCTLSMPLFSGRFFETLIGRGSEPLWRLLSKIAVLYTLEPIFTVIFVVNMTVIWEKVMARLRSQIFRRILIQKMVFFDRHKVGELTGLLTSDLGSLKNLITGTLCILFTLSTELAPVLGLLMISVSVLVALFKRSTVPTFKSYGIVQARISDCASETFSAIRTVRSFGGEKRQISLFDNLALAYQNSGTKLGVLKSANESLTRVVVYVSLMALYVLGGSKVNAGKLSVGTMASFIGYTFTLTFAVQGAVNTLGDLRGTFASVERINSILAAKDIDDSLAYGLAKELDNKELEDPSSGAYGNGSVNKHFMSALKSSSNCSKLAWSGDIHLKGVYFSYPLRSDVEILNGLDLTIECGKVTALVGPSGAGKSTVVQLLARYYEPTQGCITVAGEDIRVFDKREWSRVVSLDPVLFSVSVGENIAYGLPDDVVSKDDIIKAAKAANAHEFIISLPQGYDTLVGERGGLLSGGQRQATSALDATSERLVQEALNHLMKGRTSLVIAHRLSTVQNAHRIAVCSEGKITELGTHTELVAKGGSYASLVGTQRLAFE >Et_1B_010657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12688431:12689298:-1 gene:Et_1B_010657 transcript:Et_1B_010657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSVFRRINVKDLTSKVSVYTNSTGGLNLIFRRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNIIVRQRGTRFHPGNYVGMGKDHTLFCLKEGHVRFERNKLTGRKWVHVDPVAGHVLHPLYADGSATTADTMPLQ >Et_1A_006805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27764443:27765024:-1 gene:Et_1A_006805 transcript:Et_1A_006805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMFDSCIGRSQGLEAENAKLKEELETERTIKTAAVERTRILEETLQKESEVAKRNLEVSLGQTRALETEISRLKKELGNEIAERAAALERMKIVQDELHRTEEAQRELLLNYRALELELLEKSEEHATLQYSFYMLENENI >Et_4A_035532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28128310:28130628:1 gene:Et_4A_035532 transcript:Et_4A_035532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSRHPEHEEEEEYDDAVFYEDIQAPKFVDLTAPDADRPTDDASWFCLRVGCDQNHEQVDPEALDRSFFMRVMAARSPNVRLHKAISRKKQSSLLKCPHSAPPKPPRARFARLSAATEATEKAAARPKPRNQRICALQASPTRTKAERVEPSSARKKALTTPRSKVVRPRQDPFLSVKHQKQPVAAAERKGTVVKALFMSTPRKEAARTPVPAKAKDGVSEVCSRLKKLNLACREVPSRYMLATPKTAKKGEETAAAKSAKKGQESRTIGKKKILGRSVKCATAEADERKRIGCANRVAVENSLAATASLKQESKTVLQEVRIEEVISQADNFDDNKENLSSANQHYEGENTHLENNENVPVKVATMHSKLNPEQGKLKTTNPKPFRLRTDERRVLKDANPERKQPFAENNSMAVLKDANRGVKAMSKCPAAKGRDKPICSEKQKKQTTKIATAQPGEAKQTSNSIRSKNTKPAAMTKGKVVEKSQKVSSGLMATQMGKERKPSVKISRVQAAAA >Et_1A_006584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24983565:24984317:1 gene:Et_1A_006584 transcript:Et_1A_006584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRHVIDPKRHIKRSGNSKALPKYFQVGTTLVDEILSDPSLKSYRARKVREIQESRTPGGNQKWKNRGKQTKH >Et_4A_032427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1072695:1073864:1 gene:Et_4A_032427 transcript:Et_4A_032427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSSLSSFLLCCFYPTGGHRHGHRSGAYYYSTHPTGTSTLYYHDGGLGGRRMGRSKSLSLQTVELKVRMCCSGCERVVKHALTKLRGVDSVEVDVEMEKVTVTGYVERHRVLKEVRRAGKKAEFWPNPDLPLHFTSAKDYFHDEESYRRTYNYYRHGYNGDKHGHLPEPQRGADPVANMFNDDDVNACSIM >Et_7B_054017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14200244:14206551:-1 gene:Et_7B_054017 transcript:Et_7B_054017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSAAAGVTRPLLAGAEDEAAVPPWRDQLTARGLAVSAGLGVLFCLISLKLGLTTGIVPSLNIAAALLGYFLVRTWTAALRRFGVVSRPFTRQENTVIQTCVVACSGLTGSGGFGSYMLAMNHKTYTAIGPDFPGNRAVDVKDPSLGWIIGFMFVVSFFGLFSLVALRKVMVIDYKLPYPSGTATATLINSLHTTAGTQLADKQVNCLGKYFGISFLWNCFKWFFSGVGNSCGFDNFPSLGLAAFKNTPTYIGCGLLTPHIANCSMLLGAIISWGFLWPYISTKAGDWYPANLGSNDFNGLYGYKVFISLSVILGDGLYNLIKIIYATIKEIMIARSKQGRLPLVRVEEDEDMLRTRIFINDSIPLWLAGSGYVGLAAISTASIPLIFPQLKWYLVLSAYLVAPLLAFCNSYGAGLTDWNLASTYGKIGLFIFASWVGQNGGVIAGLVACGVMMSIVSTAADLMQDFRTGYLTLSSPRSMFVSQLIGTALGCVIAPLSFWLYWMAFDVGNPDGMFKAPYAIIFREMSILAVEGLSALPQHCLAICSAFFVAALMINLMRDVTPANVSRVIPVPMAMAIPFYLGAFFAIDMFVGTVILFIWERVNEEECRDFKDAVASGLICGDGIWSVPSALLSILRIDPPICMVDRNVIDVQELELQEP >Et_8A_058083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18797869:18802547:1 gene:Et_8A_058083 transcript:Et_8A_058083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVNQKPSVFDDDDDAPLSFKRSSVKSRPAPSKQEGTSGNAGLVRNPKPVASNPQKNGVTSPSRPLNMKPQLSSPNNWPSGSSQPNSSAEHSSRSNNADSSKLKRPHVKDESDSDDEMPIGLRRKVEEKKLKRVDAGVEKADGSDEDHKPLSHKINSSKTPSTSKDKAIVLKAAPKVEQPVDDSDDDKPLASRLPTSAAPKSGGNASDDSEDEKPLSARFSKVTGSASLKSGSSNNVTNGPQNSGKRPLDSNNQTSSALKKAKPSSGVSASAIVKRESKADDNDNVPLAQRLKIGESSKSKTTAKNIVKKSPASLKKNSKKMKTKVKTKMSMKHSQFSKTMKVPPGSGGGKKWSTLEHNGVIFPPPYKPHGVKMLYNGKPVDLTPEQEEVATMFAVMKDTDYATKETFIDNFFTDWRKILGKNHVIKKFELCDFTPIYEWHLREKEKKKQMTTEEKKALREEKLKQEEKYMWAIVDGKKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIMPSDITINIGRGAPVPECPIPGESWKEVKHDNTVTWLAFWNDPISQKDFKYVFLAASSSLKGQSDKEKYEKSRKLKDDDEADTVGCCTLKVDNVTCVPPNKLQFDFLGKDSVRYFNTVEVEQLVYNAVEAFRAGKGPGAALFDKLDTTRLNAHLKDLMPGLTAKVFRTYNASITLDDILHKETEDGTLLEKIAVYNRANKETQLDELKADLSKAKKGKPLGNDKDGKPKRNLAPEMIQKKISTVEAKIEKMEMDKNTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFGWAMDVDPEFRF >Et_1A_006420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22088173:22088474:1 gene:Et_1A_006420 transcript:Et_1A_006420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVEGGGSGRLVHGPLDGRCKARNWNTLIPLVPVLRFRACPSVWSVLHTVGQQSLHLFTYYDGSPGPLSSSLFCSLVGVQTPTKGEGVD >Et_6B_048314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11347202:11347648:-1 gene:Et_6B_048314 transcript:Et_6B_048314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLWQTKLPLKVRMFLWQLYLDRIQSAVQLKKKEWKGNAVSCTLWLNRNDWVSKNKIVSYPSALICRLLSFMQRWAILIN >Et_3B_031072.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:1482960:1483490:-1 gene:Et_3B_031072 transcript:Et_3B_031072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPNSWLFSDNARYSTRARLLFMGLSFAIGILTFLLYLCIWYVCTRRRRSAATNTSGGGGVEAPPPAEDTGLSPAAIAALPTFAYEGGAGEPAAECAVCLGQVEAGEKVRRLPKCAHSFHAECVDAWLRAHSTCPMCRAPVGAAAAATATKTVVNVTTPTPAVVAPAAAEALPPV >Et_1A_009055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27429862:27432696:-1 gene:Et_1A_009055 transcript:Et_1A_009055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVDLDLNCCPPSPEEPRLAMLAREHPFSEQVGRHKLYGSLCKQSSAASSSLHSTHEYKLMSLDERGNPNPHVSNSSSERKTHPNAMPQNFDASRLVWSKPDMFVPVKRSYHDQIDLEKPATSDDVSEIVVYPGLGNPANHIVRSQESSCCVSPENSLVETGLLCREPNSFRVSPGSVGSSGTPGCQSPIKQSNTESRHSLFDLNEPQEESLHVFVTSSQEIYPASLHSSLPCPGAFSKMPSQVFQKKVGSSTGSLEESSIMVMVPNSVACSSKNVAAASFVQREGLIDLNVSLESTDMPSENLSSFMLRPVSTTHGINKSQSKVLIPGAPLVDNHGRLKPGVSHDSPSNSQMDVSMLGAGAEIDDIVVDTAAETLLSIFRHISACTANTPERNSQISVPDGNNEPQCSLDSFEKVVLNLEEVRDDGQSIPVIPPDKDAPACGIKLKRGRGMRNFQREIIPGLVSLARQDICDDLHAIGYEPKKTRSRKARRGQGASSSRSRPRKRVAATRN >Et_8A_057494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3613646:3616878:1 gene:Et_8A_057494 transcript:Et_8A_057494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAALSILAKGQSEYEAHSDFQSGTSLSFGQKPPPPARRIRHLRLPSRAGAAENRWRLACGCARSATAAIITAAAGSRLRGAGVGAAWTRHPLPLHSAPLRRAETAMAARLVRWGVVARAKRMLHVVLVSPLIPGNTGSIARTCAASAVGLHLVGPLGYKIDDTKLKRAGLDYWPYVVVKIHDSWDDFRDYFLKQDFSYKPGDWLVFGSETKGLPQAALEDCCREGPGGGTIRIPMVETYVRCLNLSVSVGIAVYEAARQLNYEQLQYQPELPEEAQGVFPTEDIYA >Et_2A_017478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34781559:34788185:-1 gene:Et_2A_017478 transcript:Et_2A_017478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSADTAAPAYYSGPPLAPLIAAQLNYLLSHSKLPFKVGQIWSGCRNGRYADRFTLSVPFCLDYVHWDFVYNALYPKVAPDVVFGPDDEGFQPLIDYAEAGNGDQSCLARWDCRDPGGLLALVEELRELYIEYQKNRVSEVDDARLTFELNTVLSKEGIEVCMVPPADMPDEVKFAVPLLDMDYVKLVPGCPWRSPQKIHLQVIFPINRRVTSVPSAPRLKLISTPDLKSLFSVEDVKLPPWLNGMCLAEYLPSLEENLNVQIVEASASIGSRRRFIEALASSFGRPLEADPIFCRRATVLCISGIFTFLVHFAIPLQFPKQQPALTLESSQHCNAEGIPIMSSRINDYPWSPRWGPTEMVERIYDFLTDECQTFKKLCSDTITQQK >Et_3B_030509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4430998:4431701:-1 gene:Et_3B_030509 transcript:Et_3B_030509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LFYKYLSVEPHFNPAVTATFAAFGYFPLPKLPLYALAQLSGSVLACLAVNGVMQPRAEHFYGTVPMAAGHTRLPFLLEFLASAVLMVVIATVARSSVVPGWRSAAPWAGPRHRPVSGGSMNPVRTLGPAIVLGRYDSVWIYLVAPVAGMMLGALCNRLVRSSDGIIAFLCGGDAAATIRAKRALAPRAVAAVVASQHH >Et_6A_045964.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:14143572:14144039:1 gene:Et_6A_045964 transcript:Et_6A_045964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARCRPNARICTYYSSATKRKGHSHFLIASLYLLSTPLARVHNEAAAPALRLRQRRRSAPSGTHGVASGAAASSAGSKLMPSLHAVRRVEEDAMSSFVEGKEEEAYPWRRELYDGGYISYDSLGASKGACNGPCPAQGNRYTGRGCQSYFQCRS >Et_3B_031650.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6885822:6886703:-1 gene:Et_3B_031650 transcript:Et_3B_031650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLESPLLGEFIAYLKANWTGRSRVDQRRRRLRQLVVKVRAVTDAAARHAVRDGSLAAWLHMLREEALRGEKVLDAACCDAAAVASSTRRFLSGLRGLVVCSAEVDRLTEAVEELERLAGPGGDLDHFLKVLRLNDADARATAMEVDGRQDRAPPRRQEASGSSSSSSADSVDPALPVPGAKRKRACGSGVDGGASSSSHGQGDSVVEQRKRRVLGWAWPHHWPLPTFGGIFAATRAPQPPAPRPPQCARTVALAMARVRRRIGKPAGQRQRRRLQRRGQQSLDQRLSRLSL >Et_4A_033297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21134723:21135913:-1 gene:Et_4A_033297 transcript:Et_4A_033297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASDRFNINSQLEHLQAKYVGTGHADLSRFEWAVNIQRDSYASYIGHYPMLAYFAIAENESIGRERYNFMQVIVEKYVEQISGIGTG >Et_8B_060726.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5645948:5648866:1 gene:Et_8B_060726 transcript:Et_8B_060726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDVAGERKKRRNKPAASLPHEILNETLLLLPARSVLRFRAVCRQWAAHLSSPGFTAAYAAKAVARRMNKLVVFAASPGHRSTTVYSCSSQGDAATDPLFTVDGLRADFLSLSSRLSRGLMLFRDTRVAGGDYWVCNPSTGECRALPRQRPLGVIELSSAGLVIDDRTKECKVVHLFVENSMDLVCEVYTLSDSGCRWRPPAAADLASLGVCKRNMMASALETEDAVTKAPPVSADGCLHWLIYPRYNGWSPSDAILRFSATDESFDFVAAPPVSSMGEAGTWWEEHSPAVPFHLAEIKGSLCMVHDLRQSPGHGGSSLDVLVMSHYIDTGFQWSLDYRIAVGSLLAQDVHNPRFITVLGCYGGGAACEKDEKLLVATSEHKVYAYTPNTGHVKTVFSAEDTAIGLHKEAAAGLRLGVYEDSLVRIEGERCRREREVSSAVREILLRLPLKPIAQSMLVCREWCTLIESDGFVATHMSMKRSESILLMATDGRARRAFFDFAPLENWIDQASSHALAGSLINGKIICSKPCNGLNLISTSSDDYLCNPYTGAVQCLGIRGRSFFNPRFSNRQQLGGHAFTVDRNIGFGFDHSTGEHVAVEIGQLHGALACMVKTSESDAWTCVGTPPMSVTDMPPAHVDGILYWMGEQMQTCINIVVFDITKRAFSVLPCEQPVLLLNKYHGAFLVELNGKLSLVLADHEAEEMKIWTMHERGSWVSGHTLCLRGQPDFSPRITVVMPLEINSKDGRILLNTGRALGYYDTKTGVLDTIYSLDNMQLPASNLAFPMLCQESLVRVQDDFDHRVAPPPVTSGHISRRRSCEHPEHAGVVDDGAEIARPIFQGCQKPGCLSSYVDYYSNCCKRVMCRKCIPRCLEHYQGLHVSLGNFSCCEEVMNEIQRHGIPLVHPFVPDPDYYSYYYSSKSGDVVRHVFVAIRDVARGKQPRHLTECAYRMDEHGAVRETWVRRCLKIDFG >Et_5A_042835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5255566:5256680:1 gene:Et_5A_042835 transcript:Et_5A_042835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAKAPGGAVGPVPFAEAGVGDAVQDHSVKEYAGAVAALPTNAKLRLCCYQGTWVRDRWVPGIMAIQRSFTPRRGDVVLATPPKCGTTWLKALAFATMARRAYPPTHAQHPLLRLNPHDCVPFMEDLFAAGWGSKMGALPSPRLMATHIQHPVLPACISNNPDCKIVYICREPKDMLVSMWHFITKMYKPDGPGHSFSDFFEGACEGVCVSGPIWDHVLGYWNASKMSPETILFLRYEEILRDPLVNLRKLAQFLGQPFSAAEEEAGVVTSIAKLCSFEKLKHLVVNRTGGRSSLLRNDVYFRKGEAGDWANHMTDEMARRLDAIVEEKVRGSGLSFA >Et_1B_010184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28634730:28635197:1 gene:Et_1B_010184 transcript:Et_1B_010184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSKVVVEYCPLDPRKAAAVELLAQCNGRKAKDSNPACSVEEPKKPQLPPPRVLVTYLNGAEEAFVAAEGATAQGIREQILTRGRLIETEQMFRDGGEKWPVVIPEEELAMSYPGIKVHPFSYSERSFLFVQHLECVGLSC >Et_6A_046252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11198217:11199206:-1 gene:Et_6A_046252 transcript:Et_6A_046252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSEVAVDGVVFTPVSRPPGSSHSHFLAGAGVRGLEIGGNFIKFTAIGVYLEDMAVTVLAKRWAGKTAEELAADAAFFRDVVTGEFEKFTRVTMIRLLTGEQYSEKVAENCMAYWKATGGYTDAEGEAVVKFKEAFKPETFPPGASVLFTYSPVIAFSEDSSVPEADGVAVENKQLCEAVLESIIGEHGVSPAAKLSIAARMSELLNGTSTTAGDALQADVAISS >Et_3B_029278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23618733:23619730:-1 gene:Et_3B_029278 transcript:Et_3B_029278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDRNPAPAPAPASATGEPAPPPPGRPTSVSSQVLDMGAQMVQGLKPVKQMKQHACSFALYAHDLGRQLEVHHFVSRLNQDVLQCAVYDSDKPSARLIGVEYIVSDSIFEALPPEEQKLWHSHAYEVKAGLWTDVGVPEALQRSEMASLAKTYGKFWCTWQVDRGDALPLGAPALMVSPQAAEPGRASADLVARRDGRLRVDSSAGGLKAARVEMDEPEWINPNADYWRLHGKGFAVDVVPAEMKCHAPSREPQPASVLKSETRAFFFFCLLLGILTSWRRNVVWHVLYESLKI >Et_7A_051973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3352913:3355000:-1 gene:Et_7A_051973 transcript:Et_7A_051973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RCDSSFGSCILISAKCKTNGDVEVSCARRGQETPLVMAEATTRFDNLYALIFSVLTFFLRASAAGIVTDTFSNAGNITDGETLVSSGGTFTLGFFTPTGVPTKRYLGIWFTASPDAVCWVANRDAPLNTTTGVLAISSAGILRVLDGSGRTAWSSNTTTCRKACRGAAAPRSTARVPGTGCGSAAWSSAPTRWPSDFSFNVTTGAPFSRLVLNEAGVLERMAWDPASRSWDVFSRTPRDLCDTYAMCGAFGPCSLSTTTTQYCGCVDGFSPASPSQWSMMDASAGCRRDVALECAGNGTTTDGFVTVRGVKLPDTENAMVDMSVTLERCRERCLANCSCVAYAAADIRGGGTTSGCVMWTDDIVDVRSVDGGQDLNLRLAKSELATTLQMLQINVLDWHTRFKIIKGISRGLLYLHQGSRLTIIHRDLKPSNILLDANISPKISDFGMARIFGGNQQEGNTNRVVGTYGYMSPEYAMDGAFSVKSDTYSFGVLLLKIVSGLKMSLTRFNGFPNVSAYAWSLWQDGKAMDLVDSSFVETCSPAEALRCIHIGLLCVQDNPNNRPLMSAVVFMLENETTSLSVPIQPVYFSQRTLRIWRKYCQLCEQHESHSIRETIDGSDI >Et_1A_005151.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:29606183:29606383:1 gene:Et_1A_005151 transcript:Et_1A_005151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSCAPPHPSLQTTSSSSAPSPPPSGRPSGLSCRLTSPRADRGLSPAPHPSRNDTTIASCSCVVG >Et_1B_010979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16019885:16022746:-1 gene:Et_1B_010979 transcript:Et_1B_010979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSVALVCANVIPDAADTAFQIHQLKRSAYASVLRSFCAQSDLLSGAKEECLAQLRKEFNIPETEHGNYLVIARSNMEIKSLSAGSSKGSTCSTKLMKDTQDVACAIPHRDTVFEIHCLQRSAYASVLRAFCAVTNRLSWVKLLAKLRNELRILHIEHKEVLVRVISDEHISSLRKFSLANHSVLMKMDAAFHAQAVVCDKISSIGQISTSSTSCLSLAHQSPIPERSMSSVRNNGILDSSAGAKEGSCFEPHAVVPAKRLKSVRGAALAYLECPPSVKRLTVAVSTVLVKGSTDDTLDREALSCEMKAGCAISPIFQEKQGQSNAGQVPSCVDHVRQESRKRKTEVPVRGSKSACVIDRKYGIHCQRRTNKDSNRGHGSEIFKICLTANLLNKVEKLFKENPDPANLETAKAMLKVRIS >Et_4A_032866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14946488:14948721:1 gene:Et_4A_032866 transcript:Et_4A_032866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELFGIPNMASNGYQQSPEEEKNNVEMSTSTANRCLTTQLVHMKWREKKPSLGGGDESVDPWRGHGGTEQQRAHHETGDLAARQPLHLAAPHNSKEGVFG >Et_4A_033502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23893665:23901914:-1 gene:Et_4A_033502 transcript:Et_4A_033502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKALKLRWRSGRGCSGKRVPVSTRLRLRSSDDRYVRSLRSVPEIVPARPPLLASMAVTRPRSLSQRTPGQLPSHGSPDFTPQPSDLSARVDLAIACMARTSMGSVVRAAAPPMQSVMTARIIAARIVDIGTPRRITFDFSAL >Et_3B_030634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5708966:5716265:-1 gene:Et_3B_030634 transcript:Et_3B_030634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSERGARDSTFKKERTDLIKKGLPRHASPFFSSSLLRLVFVPLSPPLLIPSSPPHRVKPQREATAAAAPFRIRYRRAQSAASPAESPADPAVPAAKAAPFPMYSNFKEQAIEYVKQAVQEDNAGNYVKAFPLYMNALEYFKTHLKYEKNPKIREAITAKFTEYLRRAEEIRAGKDGDGKDGDDSEQSKLRAGLNSAIITEKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDTKARQHMFKVHLGDTPHSLTESDFESLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFQADGGMWMPCGPKQPGAVQTTMQELASKGLAAKILPPPISRTDFEKVLSRQRPTVSKKDLEVHERFTKEFGEEDLDVFLFSNSNFLQF >Et_10B_003729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5318374:5319356:1 gene:Et_10B_003729 transcript:Et_10B_003729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVSKTLDAASALFSLIIAVALPLIDSQVVLPCCLYPAPLVSVRRWFAAQFDHYLVADPPPFFRGLVWLSLAFLWPVCVANLYGVLTRRRGLVATTSLMAGVYLLTYLPDAAVSSVASSAQKKTV >Et_3A_026841.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2341712:2342815:-1 gene:Et_3A_026841 transcript:Et_3A_026841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQAVTGTYWCHMCAVAVSPAAAADGAAVEIKCPHCHSGFLEEMETARGAAASDDADGGGEEPDAYSGADRPGSIWAHAIINTVDTSVRRRRNRRQPEAGGDVRDWDEHEFTRRRRRVTAFLRLLHELRDRQLQRLEMATASGIGGGGGIGLAGDHLDPFGRSLFFASGSGGGGEQGVALGDYFLGPGFDALVQQLTEGEAARQGTPPAKKEAVAAMPTVEVNGDDEDGCSICLEDYAAGERAREMPCRHRFHANCIVPWLEMHSSCPVCRFQLPADDANKSSCDSGGGGYVSGDADHDDNVNVNGRAEVTGNAEPERNNGGAEAEESGRRLPASMQWLNSLFSPSAPASGSSSSSSNAHLWDWED >Et_2A_015739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17367838:17373131:-1 gene:Et_2A_015739 transcript:Et_2A_015739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATVSFAAAFSSSPQLRPKWPCRLPKPARVQRRVVVRADFKVISTGEACRRGLAAGIDKLADAVAVTLGPKGRNVVIDQDDVPKVINDGVTIAKAIELPNAVEHAGAMLLQEIASKTNSSVGDGTTTAIVLAREIINLGLLAVATGANPVALRRGIEKAVDELIKILKSKSIPVSTKEDIKAVASISSGNDEYVGNLIADALEKIGPDGIIKIESSSSIYTTVEVQEGMKIDKGYVSPHFITNQDKAIVEFDNARVLLTDQRVNEVQEILPLLEKTTQLSVPLLIIAEDVSHTVYSTLVLNKLNGLLNVAVVKCPGLGDEKKAILQDIAIMTDFFASDLGWGLQGITSDQLGVAQKITITSESTTIIAHPSMRPEIEARIMQLKKDVEETTSSYLKEKFSARIAKLSRGVGVIKVGAATEAELEDRKLRVEDAKNATFAAISEGITPGGGVTYVQLSKHIPSIMDLVDDPDEKIGINIVRKALLVPAKTIARNAGADGSAIVEKLLAKNAASRASRTPWKLLNLALTASTSTPSLLAPSAVTGPCAATTMPGQRVLSSVQLHPAASRSLSSPSTSAVLVNTMASGAAAAASFAAATRASAQPGPAQHVAYAGARTAAHPASSSPARKAGTTGGSRRPPATSGTGQSTRRPHPGPSAATRPAGVGTSGTRTDTLPGSPQSRVRKARSRRSASLPSAATVKGSAEAAPESGWWWEEEGEGDGRAPANAEMPEREVKAMAAKGSSAPGLRKGRRRSGSWGRTVMVGTGIGCAPRCRRSAPRRPP >Et_4A_032917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15669603:15674620:1 gene:Et_4A_032917 transcript:Et_4A_032917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVQEQFEIKFRLPDGTDIGPKRYPAASTVATLKETIIAQWPKDKEKGPRTVNDLKLINAGKILENNKTLSECKSPICDFSGMTTMHVVVRAPTSGKQSEKRAAKKAKDFRCGCAIM >Et_2A_018621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35297381:35300410:-1 gene:Et_2A_018621 transcript:Et_2A_018621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPPPVPRRCGVVSAVVALILLACLQIQYHHLKASSSSSLSISIGHISLVPDEEEEDEESERRLPCPEVDLGKAGFASATTQDDNRWGTRKVATGTRGLPRGILQPHSDMDLRPLWERSRSAAVFHRNKKDDHNALLAMAVGISQIKNVDTLARKFLNENYAVMLFHYDGNVDGWRRLDWSDKAIHILAHNQTKWWFAKRFLHPDVMAMYDFIFLWDEDLGVDHFNPRRYLDIMVSEGLEITQPALDPDLSTDIHHRITIRNKMTNVHRWVEGMAPNDLIHGWGLDMKLGYCAQGDRTEKVGVIDSEYVVHQGIPSLGGPSLSSKTPRRSLDLRTHIRRQSSAELEKFKERWDRAVKEDDEWRDPFET >Et_6B_049443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:517069:520923:1 gene:Et_6B_049443 transcript:Et_6B_049443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGAVTICEINRDLVAADALSDDRAMDAYGNVLGMVFSPIPFQPDALLPNRQPPAADQAEPAVDAETAPAAGLPNVLEEFDTQKVSWNPHTHCLAFVSGKNQVTVHDFEDSDSKEPCILASDHQKDVKAVEWRPNSGKMIAVGCRGVICLWSASYPGNVPFMKSGVTSSSFSSFPRGSGGQWILVDVLRGLGTPIRRGLSSISLVRWSPSGDYLLAAKFDGTFHFWETNTWTSEPWSSSNGYVSGANWDPEGRVALLSFSNSTTLGSIHFSSKPPSLDAHLLPVELPEISSLIVSRGIEKLAWDASGERLAVSFKDGNEMYRGLVAVYDVRRSPLVSLSLVGFIRGPGEEAKPLAFAFHNKYKQGPLLSVRTA >Et_4B_038621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3419339:3423519:-1 gene:Et_4B_038621 transcript:Et_4B_038621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIGRVVKNRNMNTYKYLVPSMQNNINPHQPASWTEDQRLFPTSLPLSSVALVLALLAAVHSDVSNAGPAPAALPRWVERHDRRLLLTDSPRVDAVVAQDGSGGHTSIGAALAKAPPGNARFTVHVKAGVYAEVVEVYRSNVMLIGDGAGRTVITGNRSNLTNHGTPCTATVSAQGAGFMARDLTIENTAGPGAQQAVAFLSNSNRSVVFRCEIKGYQDTLLAENHLQFYRDCEISGTIDIVFGNAAAVFQNCVILARRPLGSQHNVVTAQGRNGADHLTGFSFQGCNVTTSEDLSGVETYLGRPWRDHSRVVFMQSYLDAIVHPAGWVPWKKDAVNASVIRTIFYGEYNNSGPGAGLRRRVRWPGFHTIKHAAQARKFTVEEFIHGGQWLPGTGVAYNPGL >Et_7B_054471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21736796:21737351:1 gene:Et_7B_054471 transcript:Et_7B_054471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGPLFLVNHNKHGYDTASLSVIGMKSSLLINISKKICLDLCGSSVPLRRVLHSSVLQICKHLKMLSNLLCKTTLDAVISIILATFAGFGVGMTGNSIIVEILRWRVRQVAPPAEPRRNRRAQHQAPISEQTSSQPSAGNQGQSINSAGAGDVENPAVPQA >Et_7A_052036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3940137:3942943:-1 gene:Et_7A_052036 transcript:Et_7A_052036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHQKAQAGALSPTKLRMKLMGAHNRVRVITSNSSSRTSPAKNTETSQAQNRLLVCDVLEEDTSDGSKRPSAIDKTEAVESALDSSKVQNTSKSFVPQQASGNSSIIYPVRTVDEDGNDCDSGHDNASTSSFEFHGCERSVTQNPATGYFSRQTSSKWNDAEKWIVNRQNVNISKDTVQNQNTHQMNSAASRGAIVPKSSGRVVQNMKRVNPAYSASRSILERLSFSSHQPKLVRHADVFPVNNATTTSEHHKTTRPGSSIAEFVVKPCNDPKAIPAVQPVSLRDVGTEMTPIPSQEPSRTGTPLGSMTPTRSPNCSIPSTPVGGRSTASPGEENTDNGPYSNRKGGTNELSEAETRLKTRQEIAALGIQLGKMNIATWASKEELELVSAPTIADLERIRKEYASRAAAYEEAENSKHTARFKKEEVKIEAWESRQRAKIESKMRRIEEDAERMRREAMAKMAEKLEITRRMSEEKRASANARMNQQAAIAVQKANKIRQTGRVPGSNILCCGCFCEP >Et_1B_010553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11553078:11553690:-1 gene:Et_1B_010553 transcript:Et_1B_010553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSKHYKPSLEGCSACVVLIREDQIIVGNVGDTHCIISVNGQAIILSTNHKPDLASEAERIKNAGRKITVHAERGNIPRVSGGLSLSRTIGDLAYKNNARLRLEDQAVTSLPDTCS >Et_3B_030852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:863531:867302:-1 gene:Et_3B_030852 transcript:Et_3B_030852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPPNAFGAPPQPHPPPWQWQPQQPPPPSPAVSFWQRDNVRDHVKKLQETIEVSRALADELEEISVARDSGDASAQEPGSPSSATLAPGSGGSSQEKPLDFIELARVLKIDPDAHESMAIDAANYLCYQLQNLLAPISSVINQSGSWEERSAMVRLTQKLQKSKRNKLWRKRKRKHVAELYQKERADYERIDQEADEWRARQIAKDIAKRKVESMQEIAKKKANEERKRHFLPEEDDKYLERVKAAVEEEERQAATAARTDAVKDAILTAEESRKAKQCSDSQEDGSEQSKSGQILDNNMDAKMSEIKDQASRETEQEGHKVDVKIHGQYDPVSNLPFEFYHYYHGSHYDLGTLIEVRRMWDSFIRPGGSRIPGNWVQPPPPADDVWASYLVQPNTWK >Et_3B_029658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26961719:26964970:1 gene:Et_3B_029658 transcript:Et_3B_029658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHFTTSSKRNETTDYLRMNLKRIRKRFHKGVTAKLLSSGDLRRDLEQGAESGLSEEVISNLSKSVVSLALSNGHMVLFACSGVAVECVGRVTRFLTTARLIEVLYEDNVVVGFLGEYDFKRNVAAVNVKDIPGLCAISFPPTWIKFVPHSKVVSLGRDTSGKLIVTNGTWDKQKQSTCKISKTCEGGLLVDFGGCFIGINLYSSAKGTAFLSTFRVFEQMNCCKYLEDIEFPAHALFLSVLDNDLYGDLESLGYPERPKSASNDSMVLVNNFEDIFGDTSGEGVWRELSRTVVKSIEENTAALASFNGRNRHFACTGFFIDWDGRTTILTSASLLRDDDDESKIVENLRIEVLLHNGQRVEGTLQHYNLHYNVALVSVKDCPQPAKIEYQWDASCVLAVGRNFTSGRLMGAKGKGTPLLVIYDCRYLRASTCRITKAGIGGPLLAFDGKFVGMNFYQEGEATTPFLSWSVILDVLNYFKTIRFVDISFCVFLYCHEMC >Et_5B_045499.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:3078045:3078713:-1 gene:Et_5B_045499 transcript:Et_5B_045499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLLLSLAPPVAAVISGGCSSTSFARPPEPLPAAATARTSSVMSRRHRRTRDVVAMADMLGDFGARDPFPAEIESNFAEKTLGDTDTLHRILIPTLSVLSLARLPLEPNPAPLAVDDARRLLHKVVGWRLLLDEGEEKPARLQSIWKVRDEACGQELIARINAALDGHQPAALVFEAPNQVRAELSTPSAGGLTVNDYIIAARIDQVKTLDLIPKKRVWA >Et_10A_001768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:790197:793738:1 gene:Et_10A_001768 transcript:Et_10A_001768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVARCLLVRASPAAPASPETTKPAASIPASSSSAASVPLRAAAGPSSGRRLSPAPLRCSLSPSEGSSSRELAVLLEVEGVLADVYRFGNRQAFNVAFQSLGLDCANWTEPIYADLVRKSCGDEERMLVLFFDRIGWPTSLPTSEKGSFIKSVFREKLKALEEFSASDSLPLRPGVEKFIDDAISEGVPVAILATYGRNGETVSRSVIEKLGPERTSKIKIVGKEEVEGSLYGQLVLGKGVASSLDEQLVKEAQKAEKQRIAEEVASILKVSVDIKASESSEKIIATLRAGSEYVGCDVQNCILVAGSQSGVLAAERIGMPCVVVRSSFTARAEFPSAKAVMDGFGGTDLSVSKLLRKKWA >Et_5B_043021.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:13894262:13894591:1 gene:Et_5B_043021 transcript:Et_5B_043021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYVEVKTKQVEGEAAEAAEFSIKNCNALLATMEEISVEDRPDAYEVFMDVRNREVFMTADPASRLIWLRRKMVNFSLHVPAECMDFDSHYHTALGFYLLPACELSVV >Et_6A_047192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26632753:26639542:1 gene:Et_6A_047192 transcript:Et_6A_047192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPAHALADRRWAAVAAAPTRAPGAGALGTATKPTNLQTERGALALGNKPVPPASNALGSSSLLSLNNDGGSDSLTNINVRPASGGSSVSSTSGSDLLDSPLAWGENSHPVSASDVLTLQNPATATSRPRWTDSRSGSLQISRFKTSFSEALEGPIRSIAKQGPTSHGKGFTLSADDFPVLVSKNSESKSQIGDNISIRGILFFMTGGVPVPDAKLPMEEQKSQPHGTQAPNMTVPPPWPDRWSHPPDHPPDRNRMWHTESASYGPFKPGDTPGTGSLPVESLTHSGQALLNQEEEARHGPVHGGYQPENRDSCYAHVPTDVCATSKPHLISGKVKDNHSDTLEKLPVIKKDLVLLEKIRCLNIKARNLRARNESEISSCRESKAECTKSIDAKTNQVSKYIPFSDVTNGVTAACDLDNSVSQCGNLVLTGPSNESADSVIVGLSGGHVTESDEARKSGKSADYDVYGGGNTSRSPFDIASNVFGNGWEEHSTVDSLPIVKNTRQSPSFPGNSSLQAHMRTADDMLNSPDREIQHSKRRELSAQHTKQLPEEVRDKIQQKAESVAKQEKGTNSAFLQSQKSNDALIEADKTLHKQKAGGIGTTKYHSSTSDTCFVDGAKNHNVSLTANGVNHTAGVNSDPLIDNVMPSAKKANINMMEHIAQKSATQSCDMIVPKLLLTENRQRQVHSRDRILWERSNITDNTEYITKVADMGTRSPVKDMDSKICGHGWEEHSSVDSLPVVMSNQDQSFPENSTLQVHVRTSDGMLNFPDCEIQHSKSRKVSAQHDKQLQEERGKFQQKVKSMAKLEESRKSNDSPIEADKSLSRQKNSGSGSTEHDTSTDTCRIDCAENRNVPLTENGVKNTTVSISYSPASGTAGVTGDPVIHNVMASAKKTGLNMQHIAQKRAAGSSDTIVPKLLPMENRPRRVHSQGRILRERSNIAENTKYATNNAGTLMDTRSSEDKRHVDLSTQNENMRPVQPHAFCTENTEALSMHKTHSTDVVISSSIIPAQVATVRGFAVGSILLGYVSLASVNHEKTVAKEVRDTINGSASSQQKMQSEKDQHGVQHAKLPHRNDSVMRTPVEEPNKQKQSEVGGLNCTAIHAPTKRSETEKIACEEEQQLQNLGPVPMAENHTTPYNKTSTSRLETDSRGKEALDTSTATKAKAQTEAGNHNDRLPEKKNRKDKKTSRHNGRSSTPGYQVSSNGSAPIVPKLRAEAANSLLLNVMQELSVKAQQVESKTHVGTEYLAQPTRTVLLPDDTLKMQLEGQGKPRSNYGSYIGMRPPIPTAPLLPQPIFHPQVNALVNGVPGWTWDLDEGILMSDMENLSGLGTATVGRSSGELNQNVFSSGAVDLYGGQVVGDMAYQAANPGIQYNTGLEHQQLSPAWHLIAQHNGMHHGGSGTGVYWPMMERGAGMQPRVANYTARPVGGVRQVEYQTMPVASSDVVYGWSQGHPGSNLTASQWE >Et_5A_041319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21135603:21138323:1 gene:Et_5A_041319 transcript:Et_5A_041319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGEGGGGGEWPFSADAYADSSAIFAELGCWAAGLDGACGELLPPLDPAPEATPPPRACGGAAEEAVATPAGSVSVDGGASSSSTDDGAAQEDADVKPAAATEAASKPPAPGKTKGQKRARQPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCSVKKRVERSSNDPSVVITTYEGQHCHHTVTFPRAHLHAIAGHMAFSAHHLYDHLPPLQLPPANALDPLTCRPAAMPSSSPSPSSLLRPLHCNQELQAAASMYPLSATATMAAPAVSTQSTVTMSSPASAVPAVDKGLLDDMVPPAMRHA >Et_10A_000206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17672030:17675269:1 gene:Et_10A_000206 transcript:Et_10A_000206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNLDKFRCVQWNVGVGASACFTQSISSIVQDHKIRLHGPFDYVIEVDMKQAAALSSQNQTSSVTVALRQENNELEKKDDDVQYYTYGLEDAMNSLQNLSSSVALRQIYEKLSTKKYLLVVWNLHKPIKPIKVKDFTEDSCLPPPQWNGSFWLISATSRDVYEKSKPGYECTIESFDGEDILMLTLYSLHQAAKYILHAIGDKDEMYWHTVALRCFHYAAMLLIPFCSSSYGGDGNQLSPDANADINSEELIRQWAAQGIIPIISQSSQERMEQVTHSDHGKFNDDDIYQIGNVIIHAFQEYSLLQLPLSCTTKAEEATKTAAHFLVCSGLVAEQLTIDELYDDSHHGLECMQWISHVGDQGWHVRRDLLSLGSRGPTVLIINHCSQQSTLFAKLDPLLAKFACLCVLDLSYTPLVSLPSSICFLENLQMLSLRGCSNLSSPFRFPDNGRTKLPRKNGNTMISLRYLELSYSNVIAFDRDVFHHVPNLQQLILLKCSNLIELPPSIAALTSLTTLELTRTKIKSIRVDIFVQMNKLQSLKIIGNTSPYIKRLSLCGCKKLEFVDIKELDALEELNLSATGIKELPVEIPNLPQLRRLLLIGIPSLRRFPWHEVQRLPDVFCLDQYSDGNGSSLSQPQFITQQVRINDSRFFNSFNSYSRNLVRGGKLFKCFYVLVASCKARSMKMQYEEDTTFIKKLLVSVAYDDVDHCYQTEGVSMVPMDDVPPIRETECHVEISAIEQYPHGLKHLLEVTKSMSMMDDTHVSCLNDLSLLHDLEECLLRRCHKMVYVFRERKFRSWILSNVSVSYLNSLTHLYLKEHHYPDDFRALKHLFLEHCPRLEAIMPRGYWLPSLVTLDILFCYNLKEFFYDKGDSSPYSYQLPCLRRVHLQELPHLNHLHVDDAIITAPAWEELHVRGCWSLRSLPRLNQRPYKMAAVKVSGEPAWWRNLRWDRGEDDGVSLHRHSYQPVFPPASASFGDGVVIKSYLR >Et_1A_005243.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35149382:35149783:1 gene:Et_1A_005243 transcript:Et_1A_005243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLGAVMYEVITESPLIKGRDPAGMITCMRNLFGILSNEASISLEAIDGPQ >Et_8B_060580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2719608:2721936:-1 gene:Et_8B_060580 transcript:Et_8B_060580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPPPPPSLARLLAGFLLLLAVLHLADAARPLPASLAPTADALLQLKSGIKDGDAGALGTWAAGTSPCDWAGVMCNKDGVHGLQLENLGLSGKLDLRALKSVRGLRTLSFNDNEFAGAMPDVKELGGLRAIFLSGNRFSGTIPADAFAGMGSLKKVVLSKNGFSGPIPPSLADVPKLLELQLNDNKFQGKIPDLPQKELTEVNLANNELEGAIPASLKSIDRAMFAGNKKLCGAPLDAKCEPLPKESPPPRTPDKAATPPSPPEPDDTDEESADDLVTEAPAEAPTERSAAPGVLGAFLGTLAVVAIAFVALQRRRENSAKNFGPAASTTSAAKVEPHASPPPPPAATNNAGGGLLPEQVAPPRGSTVGGGGGSTARKAVEQGRLTYVRDDGRGRFFELQDLLKATAEVLGAANLGVCYRATLTSGHSVVVKRFKEMNRVGREDFEEHMRRLGRLSHPNLLPLVAYYYRKEEKLLIHDYVPNRSLANLLHGEGRGLKKAVVHWAARLKIVKGVARALSYLYDELCMLTVPHGHLKSSNILLDDRFEPLLTDYALVPVMNQSHAAQLMVAFRAPERKQFGRSSKKSDVWCLGLLILEILTGRPASYDPPPKPAADQPAPASNNDVLSALSATPEGQWVGAVVDADLRGEEDENKEEMVKLIRIGMACCELNVDSRWELKDAIDRIEELKAKDHANEENSFYSSVNDDDVANDA >Et_3A_023185.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7369046:7369589:-1 gene:Et_3A_023185 transcript:Et_3A_023185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDHLHSYLEVAQQNLNHKHCCLHSHQEVHHKTEALAGELVHSHQHCNHLHFPSGCSPGLVPHNHHLCHRGCH >Et_5B_044742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5268055:5274122:-1 gene:Et_5B_044742 transcript:Et_5B_044742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KITFGVTWKGAEPWISADSSQKDHHLALNPRRITELKGRRRNREKAKAEQARTRQRGGGAAHRQAAQEASRNAAGQPSPSSLAAPAPAESDRAPPLEERRKGRRRRRGPSVAFLRGCFSFGSAGRRRPKASPLPSPPRLPSTSAAAAAAAAADEVKMKGLFKSKPRTPADVVRQTRELLVYLDLNSGPRSGDAKREEKMAELSKNIRELKSILYGNGESEPVTEACVQLTQEFFRENTLRLLIICLPKLNLETRKDATQVVANLQRQQVSSKIIASEYLESNKDLLDILISGYENMDIALHYGAMLRECIRHQSIARYVLESEHMKKFFDYIQLPNFDIASDASATFKELLTRHKATVAEFLSNNYDWFFTEFNSRLLSSTNYITKRQAIKLLGDMLLDRSNSAVMMRYVSSKDNLMILMNLLRDSSKNIQIEAFHVFKLFAANKNKPPEVVNILVTNRSKLLRFFAGFKIDKEDEQFEADKEQVIKEISGL >Et_8B_058607.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:7971617:7973354:1 gene:Et_8B_058607 transcript:Et_8B_058607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTPSLVGAEQRVPVDLVGRVLAEHEALGLVPRRLAEVQLPYLRQRRSHGRGHRRRHGLVVRPGAGPGSGARALLVGGAALAGRRGLGRRVDGHGLAPAALAGLGGRGGDGGADGLQLGVDGGVQRRARGRVVGEVEPGRVAAEAERGLVVGERGLLGRVEGAEPEAGAVAREADLRHPLAPVPLPHAAVQLLRTRRVRRRGRGGGGGSGAGRGLHGLRPRAQARVPLQVELGLDELHLGRGQLGRLARAHVVEPVGGGRERRRHLGRRRSVQDERLDRPHHLLSSSTRMIYSSGHLKSMTIYLHFF >Et_8A_058389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6310737:6317740:-1 gene:Et_8A_058389 transcript:Et_8A_058389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKDLLGVRKKTAPPAAKRRRRVVAPTKAGGRHGGGAGRLAKAIADYLAFDSYMYAPLVSDPPPPPAPQSPPQAASPPAATPPSAPPGTYSILVRKEAAICEHISFLKSNKWDMVKLPVLKGPTETALDRSNGDLLSSCHTFYLNHISPFVTGQSQKGTVCYYLRGGRMELKREVARARNVALSLPSYMCSGNWHANDGLTP >Et_4A_035423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24262724:24263382:1 gene:Et_4A_035423 transcript:Et_4A_035423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLEVAMTIVFDALLLVFMVKLFFAMFQMKLVVILFYLVILLFAMAFSGRAPMVTLVLTQGGPIYPKP >Et_5A_041990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4890968:4894703:-1 gene:Et_5A_041990 transcript:Et_5A_041990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLISCISDPCTSASPSAPPPAKRRSSTSSRGRASSVGARDAAKTMAIDEEALAAAAALVLGQRGAAGGLGAFERSASVRYAAKRQSQGPPLPRSCSTRPRSLADPELQPQQLVAKDLNTKDLETNIIVLVHGGGFGAWCWYKTISLLEDSGFKVNAIDLTGSGIHSSDTNKISSLSEYTEPLTSYLGGLGDTEKVILVGHDFGGACISYAMEMFPSKVAKAVFLCAAMLTNGHSTLDMFQQQMDTNGTLQKAQEFVYSNGKERPPTAINIDKGSLRDLLFNQSPSKDVSLASVSMRPIPFAPVLEKLVLTAENYGSVRRFYVETTEDNAIPLTLQQSMCGANPPEKVLRLKGSDHAPFFSKPQALHKTLVEIAAMPAKQAS >Et_5A_041288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20748511:20749218:1 gene:Et_5A_041288 transcript:Et_5A_041288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKIYIVYYSTWGHVATLAEEIKKGADSVDGVEATIWRVPETLPEEVLGKMHAAPKREEHPVITAAQLAEADGVLFGFPTRFGMMAAQMKAFLDSTGGLWQSQALAGKPAGFFFATGTQGGGQETTALTAVTQLTHHGMVFVPVGYTFGAGMFGMDEVKGGSPYGAGTFAGADGSRTPSETELALAAHQGKYFAGVAKKLKA >Et_9B_065392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4596935:4597956:-1 gene:Et_9B_065392 transcript:Et_9B_065392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRIGYKPFIVGPFHLHNLKKEGCEIERDEVTEGKGFPVTGFIQRLLRVAGISCVGRTVVAIDLSFVPVYAPFLSCIGSFRSLVRLNFSGCGFSGELPDAWGNLQHLQYLDLSNNQLTGVLPVSLYGLKMLKEMVLDRNLFSGQFSSAIGQLQHLKKLSISVNSISGALPLELDSLQNLEFLDFR >Et_2A_016989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3015904:3021570:1 gene:Et_2A_016989 transcript:Et_2A_016989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCTHKLSPEREEAQLMLLCPQLLSHLYHRVKIDTSIAQMAALNSPLLLIWTIVFRMAASLVSASPLVEPKIPKPDDMVTTGVFSSFQNFIRKCLIAVLSYGPMPKHIAFIMDGNRRYAKFRSIQEGTGHRVGFSALMASLLYCHEMGVKYITVYAFSIDNFKRDPNEVQSLMELMEEKINELLEHSNVINKVNCKINFWGNLDMLCEPVRLAAQKLMDKTAQNTGIIFSVCMPYNSTSEIVNAVNGVCAEKREMLQKENAGNFNGHAANGGVHSEISVADLDRHMYSTGCPDPDIMIRTSGETRLSNFLLWQTTFSHLQNPDPLWPEFSFRHLVWAILQYQRVFPYLEQTKKLAKKQLSLKKMFEMADLDRHMYSAGCPDPGIMTRTSGETRVTRLRNFLLWQTSSLWPEFTFRHL >Et_1B_011042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16952855:16955913:-1 gene:Et_1B_011042 transcript:Et_1B_011042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILDSLVESCAKKLQDVVTEEAILILGAKEDLKELQRTIKQIQCFLSDAEQRRTDDSAVTNWLGELKDAMYEADDIIDLARLEGRKLLADGPLLPRSSTACSRISFFSCLPYIRRRHEIAVRIKNFNAELGKISKLGKQFKLHIVQPKVNASRVWQMKTCPLVEPNLVGKETAISCTRLVELILSHKGNKAYKIGIVGTGGVGKTTLAQKIYNDHNLKKNFTKKAWICISQQYSEVSLLKEILRNIGVHYGQDETIGELSRTLAKAAENESLFIVLDDVWQHEVWTNLLRTPLATASTGIILVTTRNDIVARAIGVEAMHRVELMSEEVGWDMLWKSMNINKEIEVHSLKVVGIELVRMCGGLPLAIKVIASVLATKEKTENEWRKDTAEEYYYELMCRNFLQPDPTSVDYRRCKMHDLLRQLAQHLSRDDLFCGESHALESKSLSKLRRVSIVTGKEFLLSPSVQKEDFGVRTLIINRKALRVENTILKRLPKVRVLNLNGSVIQSVPDCIGGLIHLRSLDLDRTDISYLPESIGSLINLQILNLGSCDALHSLPSGVTRLCNLRRLDLARTPINQVPKGIGRLKFLNDLGGYPVGGENDNSAKMQDGWNLEELGTLLQLRKLRLIKLERAACSSTESILMDKKHLKELFLDCTVHTNESYSKEDVVHIEKIFAMLIPPHNLETLRIKNFFGRRFPTWLGTGTHFPSMKILELRQCKSCVHLPPIRQLPNLKFLRIEGAAAVTKIGPELIGGRMGTPGSTGAVAFPKLETLVIENMPNWEEWTFVVEEEATIEEACPNLLYVEKLDNLQRLGLHRSVLEVSSLWMPELQQQCRELRGEDLDVYNWI >Et_1B_010101.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:24088716:24088994:1 gene:Et_1B_010101 transcript:Et_1B_010101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRWAVGRLGLHQVHLHHVAVAVLVLHQQGQVHGVGELLHVEPDLQARELARHPAHSSSSTLFVTATILIILPLTTGLNKGGMAANLGIAFVV >Et_9B_064021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10127655:10128190:1 gene:Et_9B_064021 transcript:Et_9B_064021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVLSASILLFLPLVFLLLSIICMPAIGGPTQCTPQPCQGKQSWPELVGKDQDTAYSVIKRENPQVTDIVWLISSVLGHVSEKKGVLGAAGNGDFCCNRVVVVLGALPSGVDGVIKVPVVG >Et_6B_049491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5648233:5658250:-1 gene:Et_6B_049491 transcript:Et_6B_049491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LIPSIHVGQQRKWRDRRGHPRRAPEAEPRPRRAAGGRAARRASTRRRALRLHHAVGLRRRAAPLGVTALFFNVFCAASLAYLHVPARQLPSARDLTSAPAGFPPGTALATLPAYQAAELTYGFASFHGMPSVYERFVASQERCAGCFGGKPVLLAGPVVPEPPRGGELLDEPWASWLASFQDDAVVFASFGSETFLPVPAATELLLGLEATNRPFLAVLNFPKGVDDAEAEVDARIPPGFKERVKGRGVVRTGWVQQQLILRHRSVGCYVNHAGFSSVVEGLVAGCRLVLLPLKSDQYFNAALFGARELRVGVEVKLREEDGWFRREDVTEAVAAALAPDGEGDAGKWRDFFMDDAVQTRFADKFIAELKEIIGRGVVRTGWVQQQHLLRHRSVGCFVNHAGFSSVVEGLVAGCRLVLLPMKSDQYFNAALFGAWELRVGVEVARRDEDGWFGRDYVSEAVAAAMAPDGEGDARKWRDFFMDAAVQTRFTDNSYQSSLHADGRRKCRGRRGHPRGDVPVVGLWPHQPLARKLVSAGDGSIQVTFLTAAGNVTRVQEMLASAASDVPVRALHLPSVPGLPAGAASTAEVSADGAELLKLSLDGARPQVAALLAELRPDAVLFDFATPWVCDDAAPLGVTALFFNVFSAASLAYLGAPARRRVAGGWPPSVRDLTSAPAGFPAALAALPAYQVADLTYVYASFHGMPSAYERFVASLERSAGCFGGKPVLLAGPVVPEPPQGELEEPWASWFSSFPDDAVRDIPAAPAATELLLGLEATNRPFLAVLNFPKSLDAEAELNARIPPGFRERVKGRGVVRTGWVQQQHILRHRSVGCFVNHAGFSSVVEGLVAGCRLVLLPLKTDQYFNAALFGGTGFRVGVDVARRPEDGWFGRGDVSEAVAAAMAPEGEGDAVGSGGTFSWTTPCRRGSRTSLSASSRRLL >Et_3B_029498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25502759:25507764:1 gene:Et_3B_029498 transcript:Et_3B_029498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKRLHFSEGRPKSPPSLCEFIEYIDTEQTPEDIAHMYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAEPGRLGAYIRKIENRRLGDEGTSRPTVGRQGDKGAVRGVWGPVARPSGDKGSNNPLNERQGARGPCGGPSRPTVGRLAPTPINGRISASDRPRTEEEKGKKRREEKRKKERRGEERSGGCYPGVLQLRRAACSTPQGTSGVVAVRRSMDSELHTEGQAAGTVWWSRMEPWVLQWDQALQDVVQETRPFTSEAYEEYLHWYIRRTRTRVTHTPTVPQSHLPTLRDTYPTHRDQSSSLARIAVESGSVLQRMRQGIQLTAHEISTAMKRIYDTAADIVKLTSCSSCRAHTDAVRHPSQSAHRAERPTFQQPTYTPMAAPPPPRPTFATGSSGWRPSVDAAGPSSQMPSMAPGSWIDDYDYEEEDEASRHPDVTVDDLRRLSTSTRIWLRGSRIHFLHRS >Et_3B_030972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9580470:9583443:-1 gene:Et_3B_030972 transcript:Et_3B_030972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEELCSSSSLMRQCRICHDEEDQSCSSMESPCACSGSLKYAHRGCVQRWCDEKGSTLCEICLQNFEPGYTAPPKKPQPADVAVTIRGSLEVPRLNYEPEEEEDVPLVGLDASAGDPEHAECARAAGRSASWCRSVAVTFTVVLLLRHLVTVVTIGAANQYAFGLLTVYLLRASGILLPFYVVMRLISAIQQGQRQYRLQMLQEQRRNASRMLRLQGQEPQPQHVILVR >Et_3B_030276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3248099:3248734:1 gene:Et_3B_030276 transcript:Et_3B_030276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQDGSDPNLGIDMTKVQELQLLMECLGFVDVQSTASLFLLNPLPLLDRLFIHILGDPSDESGAAAALIGEFVDDRDIFIYGEDIVLCQLKLIKVVNLRGTWLEIGLLAFLVKRAPALEQLVLVTAEEEQGGPGDELLKIIQGQVLAMQKASPVVSITVCRKSEDQSPNPAHTRFYHEY >Et_1A_004653.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27243083:27243390:-1 gene:Et_1A_004653 transcript:Et_1A_004653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLQPVWAALAAPMDLNIAQLPGTNYRQIKRWWDDMLGPRQHQSAASRAQAIIYITWNLWKERCRRVFDNKALSADQLVTVIRQDLQAWHTAQHIWE >Et_3B_027519.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24600905:24602183:1 gene:Et_3B_027519 transcript:Et_3B_027519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSARHLFLLAFVAALAVAAPRGADAWGGRFFFSKTTRPEAAVEAEKVAAATTTTVPDAADPNSATAPFSRPSTGGGGGRGYGLYGRPEESFPPAYFRRGVHHNAEKLTTTGNVPATEAVPVGGRGERPKPVVEEDNGSGRGRPPWYYGPDADGSRAPEERQRDYGMSDTRLDQNGRYYYDVGTGRYGYGRESNPVRTRQEEFGGSGFGRAGSGERRGRYGDAAGYQYGHGNAAGYQYDNGNEFRNGVMENQSGFQEEGRDGRYIP >Et_4B_039310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9978174:9979153:-1 gene:Et_4B_039310 transcript:Et_4B_039310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASCTRLYTSGGNEVMPVPESMIVPPVPSSVNANVDSGMGSIVDPTVIPFNERVLDERGGLDGARVAAEEVPRNAEQDRTGCRSRNGGEAVGEVVDLELRRQRQRTAAEACDACLVGLENSGVLDAAAEGSGNGRAPGKRERVCDETAQRHRAVTVGELTPRLGAREHRERGGEGRVEQGQGLVEAGDARLALRPDEVAAGVKRQLHGLSRRADGEVRQVLGVGKAVADLPWCNRSARRVGEEPRGTSPAALRHAVHQLAGAQPAAGVRVDDVHPDRRSGGIPARDGQSREQDGY >Et_5A_042142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6749792:6769003:1 gene:Et_5A_042142 transcript:Et_5A_042142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EVRPVSSPPSNPHPSPTITRSPPPLPSKSEERRSRRDPATPNFLPKPRAAAEHPHTPSGDAGAMDSRLPQSDDPADGAPPPAAHAAAKAEVEITKPRNDKRGYRRVVLPNALECLLVSDPDTDKAAASMNVSVGYFCDPDGLEGLAHFLEHMLFYASEKYPVEDSYSKYIAEHGGSTNAFTSSEQTNFYFDVNNDSLHDALDRFAQFFIKPLMSPDATLREIKAVDSGNWDTLEVKAKEKGLDTRLELLKFYDSHYSANLMQLVVYGKESLDSLQNLVENKFSDIRNVERMPFSFPGHPCTIEHLQILVKAVPIKQGHTLRILWPITPNVRHYKEGPCKYVSHLIGHEGEGSLFYILKKLGWAMSLEAGEGDWSCEFSFFSVVIKLTDVGHEHMEDVVGLLFRYITLLQTSGVPKWIFDELQAICETGFHFRDKSPPMNYVVNISSNMQIFPPEDWLVASSVPSKFSPDAIQKILNELTPENVRIFWESKKFEGQTNLTEPWYGTSYSVEAVHPSSIQKWVEKAPKDGLHLPKPNIFIPSDLSLKNAEEKASFPSMLRKTMFSRLWYKSDTMFLTPKAYIKMDFHCPLSHSSPESAVLTDLFTRLLMDYLNDFAYDAQVAGLYYVVKPNDTGFQVTMVGYNDKMRTLLETVIGKIAEFEVKPDRFSVIKEIMTKEYENIKFRQPYQQAMYYCSLILEEHAWPWDEELTALSHLEANDLGKFLPLLLAKTFIESYFAGNMEPSEAKSVVQHIEDVLFNAPVSVCKPLSPSQHLAKRIVKLEKGLKFYYPAMCSNHKDENSALLYYIQTHQDNVKQNVLLQLLALVGKQPAFHQLRSVEQLGYIALLRQRNDSCVRGLQFIIQSTVKDPANLDARVEAFLKMFETTLYEMPDAEFKSNVNALIDMKLEKYKNIREESAFFWGEISEGTLKFDRKEAEVAALRELKKEELIDFFDYHVKVNAPQKKILSIQVYGGLHSADYQKMVHDAPPPQSCEITDVYSFRRSRPLYGSFRGGVGQMKL >Et_1B_013591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9832379:9841747:1 gene:Et_1B_013591 transcript:Et_1B_013591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPLPPIKHYNHPCDDHPSGCPPLPPPVPPTPLPPPPSSPSSHGRATFVTALIVAGSVLAALTVCLLVFLCVRHRRQQRRRREALLEAAVVETITVAAAAEGFGVGGPGLEDGEGGEVVHHAWHIRTVGLDEAAIESIALTRYRAGSVLGGASDCAVCLGEFSDGELLRLLPKCAHAFHVACIDTWLRAHVNCPLCRARVLDPAAAAVVEQGEPPAGTDAGAGQAAASNRATEHEQFDDDDALRLLPTCPHAFHPECIGLWLERHATCPLCRASLLDAPPAPPLQPLVTAPPPLPDSPPDHPTVVLIGDREEEEDWNRIQCLARNRRAAGRQALPRSNSTGHDGVVVSGSGMDRFALRLPEHVRLEILMSHRLRHVTSAVESVRVREGSAHGSTVGGSVRGAVARLLSLFTPGSGRKGDGDDKSIKMDAAGVSSLRRRENSSRGAVGEKRSV >Et_4B_038097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26267992:26270904:1 gene:Et_4B_038097 transcript:Et_4B_038097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGMINANPVVHERPERAAHPHAADALDPLDIFDTVRDIKDPEHPYSLEQLSVLSEESISVDEKLGRIQITFTPTVQHCSMATVIGLCLRLKLMQNFPPHFKIDIKVAPGSLANEESVNKQLNDKERVAAALENPNLRQLASPLFAIIFEIAQGKWNSV >Et_3B_028347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13973210:13979006:1 gene:Et_3B_028347 transcript:Et_3B_028347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERREKEAMAEVRPPVTKPVVSEPAATEERPPQTAAEKEKAIEEMVANLPQSKPIVRKPPKAPGPPPAVHYHTASMSTVETRKGKMVASGTASLLQIVSQLDDHFLRASESHIDHSTKIMHVITWNRSFKNLPDHDDLSDSFKIDERFETHAAVLDRMLAWEKKLYDEVKAGELMKIDYQKKVALLHKQKKRGVKLETLEKTKAAVSHLHTRYIVDMQSMDSTVSEINRLRDDQLFPKLLDLVDGMAKMWSSMHRHHKGQFIIITSIRSFEVPPVPRETTDSHHHQTCELRDIIREWHMQFEKLMDNQKSYIRALNAWLKLNLIPIESNLKEKVSSPPRQVDPPIKHLLYAWHDQLERLPIELAKTAIKSFAEVISSIVTLQEEEVNLRRRCEETRRDLIRKKMQFEDWHQKYIERRAALGHNPDPVEERRNAIEEIEIRLREEEGHHLRFAKQVREKSLANLRTHLPELFRNMADFAFFCHDMYNNLRKTAQTAAKPKNEVQG >Et_8B_058919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1181346:1182846:1 gene:Et_8B_058919 transcript:Et_8B_058919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRRIAGRGKAKAQAAVQNDKDESIVFFRELYKREKDRDVNLLEPMYSVEFDAIQGGHVRKVPSGKRDFLIPVDEKHDYDWLKSTPATPLFPSLEMEAISSMQHQKEPPIPPREVKPSASKVSGKPEATKTSARPASPKENFSSKKTFVKGAPTITKVENHSQTVAKRSSHKVPMNGQQKATAAAWCNEETLRQVSCKPNRQHKCGQGSDRTRFPLQNTKESDYNGIDIPASYSITISRESSDQGSWSRCSHVHRLQQGGTQEQQFEGRQNVLPPTGRSMFAASKGTRTTYRNKEQRPELGTQAKK >Et_6A_046785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20536068:20550812:-1 gene:Et_6A_046785 transcript:Et_6A_046785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYGYRDVTTCRIHDLVHDLAVDISGNECFCLHKLSEIKQIQQDVCHLSCAHPHKIGSIMQRCPTIRSVFSVQKDQKPVTSLNITNSNLRILGLHIFGMKEFSFEPAFMKHLRYLDLSCSLIEVLPEGLSVLYNLEALNLNYCSSLNYLPEGMKYMVSLRHVYLDGCRNLKCMPADLGQLSSLRTLTMYKVSNEPGRGIKELRNLKLGGKLQICDLIKVNNPLEAKEADIESKIHIEQLELSWISPEHSDSEFDFQIDISEKVLEALTPHNGLKVLKLNHYAGSQFPRWLTDNITVYSLVELSLNYCISCTHLPLVCQLPLLEVLKLKQMKNLRYLCTSNTIDGEKAKHSPIIFPKLKFLSLKKMELLEMWDQTDIGEVSSVTLPLLDALKIIECPKLASFPHVPVLKSLIVKGNRTLIDLAAGLTTLSTLSLSARDTSSQVLNSIMVDPQGSLTELYLEEFSAVSSFAEENKNHQPTKIEVCENFTGLSPNPLSVQPYEGLHNLVDLQILWCPSLVAFPTCLPRLSPNPLSVQPYEGLHNLVDLQILWCPSLVAFPTCLPSLQFLTIFGCFALESLPNMQSLLNLRRLELLDCYSLSSLPEGMIALKSLDITNCPQLEDLPEGLQQTLPNLETFSVDRCPALASKCKPGGDYWDRVKNVPELEVTDVITTVEQNSWYRGWRDEFLAAFMYSCRLTATSPPPSSPIFRSRAAVGNAQFRAVREAGRST >Et_9B_064157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11939547:11942593:-1 gene:Et_9B_064157 transcript:Et_9B_064157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATESRGSEDKVYRSASSPSPFLSGRIRLRPSPDAAPHANEEKRWVLSDFEVGKPLGRGKFGHVYLAREKRSNQIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQTRVYLILEYAAKGELYKELTRCKHFNEKRSATYIASLARALIYLHGKHVIHRDIKPENLLIGTQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVEKTEHDYHVDIWSLGILCYEFLYGMPPFEAKEHSETYRRIVNVDLKFPSKPLVSPAAKDLIAQMLVKNSANRLPLHKVLEHPWIVQNADPSGVYRG >Et_8A_056247.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17922945:17923298:-1 gene:Et_8A_056247 transcript:Et_8A_056247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALRSNNGIVVRDHLRIRRAACCFQRSAPLHLHRDDARHGRRHRHGGDKQKAEKLRALAATAVRYKMDRLKLVCESALCASLHAGTVVGTLAVAEQLQLTTPRSACIKFIASSAAQIE >Et_1B_010844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14709626:14712670:-1 gene:Et_1B_010844 transcript:Et_1B_010844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEAAAAATATTPEVAAATEGAGAAESKGPHKLHRQWTFWYDIQSKPKPGAAWGTSLKKAYTFDTVEEFWSLYDQIFRPSKLQGNADFHLFKAGVEPKWEDPECANGGKWTVPCSRKATFETMWLETLMALIGEQFDETEDICGIVASVRTRGDKLALWTRTASNEAVQVNIGKKWKDVIDYNDKITYTFHDDSKRDKPSRGGRYNV >Et_1A_008012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40079476:40082832:-1 gene:Et_1A_008012 transcript:Et_1A_008012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELRLRRPPAPEGEGSAAASSSNSKAGTSSGGEGSRKREALGWLEWGRGWLAIVGEFFFQRIAASHLANPLALPPLEGVSIIVTGATSGIGLEIARQLALAGAHVVMAVRRPKVAQELIQKWQNSENERPLNVEVMELDLLSLDSVAKFADTWNARMAPLHVLINNAGIFAIGEPQRFSKDGHEEHMQVNHLAPALLAMLLMPSLLRGSPSRIVNMHTVGYVDAEDMNLRSGKQKYKSWLGYSNSKLAQIKFSSMLHKRITAEAGINVVCVSPGIVDTNVARDLPKIVVAAYHLIPYFIFDAQEGSRSTLFAASDPQVPEYCEMLKSEDWPVCACISYDCNPMNASEEAHNLETTQLVWEKTLELVGLPSDALEKLIEGESVQCRYGQQEG >Et_3B_029365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24390817:24395598:1 gene:Et_3B_029365 transcript:Et_3B_029365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGSALEAALQAVGRGLDAAGDHRLLYCKGAGRLVALDEARARDLPIERGGVLPGVPHDVDVEEYRGSPERIRPVFPGAGGDEPFVCSFEKMAEYFNRKAGLLETVPLGNFNSLFSFTGSLKNDAAATKALAIDGYSVPLFRVKITCSELKLLESIKRAIPYSWDPSALASFIENYGTHIITSVTVGGKDEVYIKQHSSSQLSELEFKNYVREIGKERFSDVENKSNAAPINYSEKDMTVIFRRRGGCDLVQNFSDWKGTVTSAPDVIGMTFLPIVSLVDDIPGKKHLARAVDLYLKYKPPIEELQYFLDFQVPLVWAPAPPGIAGHNRKEPVCPSLQFSLMGPKLFISTEQISVGRRPVIGLRLCLEGAKQNRLAIHLQHLGSLPKIFLPHWDSHITIGPPKWQGPEEQDSRWFEPIKWKNFAHVSTAPIEYTETNITDLSGVYIVTGAQLGVWDFGAKSVLHLKLLFSRVPGCTIRRSVWDHSPSSSSILKTDESSSSSSDNAKLVKIVDMTETLKGPQDAPGHWLVTGAKLGVEKGKIIVRAKYSLLNY >Et_3A_025914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3442264:3447290:1 gene:Et_3A_025914 transcript:Et_3A_025914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGHVRGAPRRNGRAGPGANGGGGGSQAVASGVFQINTAVATFQRLVNTLGTPKDTPDLRDRILKTRQHITQLVKDTSEKLKQASEADHRVEVSATKKIADAKLAKDFQAVLKEFQKAQRLAVEREAAYAPFVSQAGLPQSYNSSEVNNGADKFAEQRTQLLESRRQELVFLDNEIVFNEAIIEERDQGIQEIQHQITEVNEIFKDLAVLVHDQGAMIDDIDSHLENSVAATTQGKVQLSKAAKTQKSNSSLICLLLVIFGVVLLIVIIVLAA >Et_1A_007585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36035070:36038524:-1 gene:Et_1A_007585 transcript:Et_1A_007585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVNVLASATQLVSAMVSAVGALEQAASDFAEAPRRLQVLEDFVSDLDALTQQSRQRHAHKMHGPQLERQFQSLGRLLDQLHANIAKARQVLKRGKGKGFARVVRSSVVGDPLMKCIKLIRDDLNWWLELQELTQSVGDVIAATVKSTPSLVRVTSERGYPVSKKCNYVRELLEKDGAHRVVLIVGLSGIGKSCLARQIASDPPGTFVDGAIEISFGRWCSRAACNGSRSEYHKRLVRKICKFLVQIGSMTVNEEMGKDLDDVCCLLQTALVGRTMLILLDDVWEQDIVDRFTKLYDNDCRYLVTTRDEAIYEIAEAEKVEITKDDIKEISKEILLYHSLLSVGELPPVAEVLLDRCGHHPLTVAVMGKALRKESRVEKWEKAISNLSAYATCAPGPVSYVNEKDVETTLTVFGSFEFSLEAMPENSKSFFRVLAAISWEEPIPEACLESIWSALVQDSLFSLVVSKLVEGSLIIKLEDQQLYHMHDMVSLYLDNKTNDAVRTLLSESFSEYAALVAPWLFVFGKDCVKGPAEHKMRSFFSLLKFMEIEILLRSTTQALMACRSISDFEASRLGFSKILGPRIAEIISVGSPALIFEVTKAVSVIFFQADYKYLAQSLETAGSVDRLIDLLGVCEDTSILANLSSVLAKISEHVDATTADEILSRIPMDRIADLLSPENEQWHEIVFTTLASLTKVGQLKAIETMIESGIDKKLLVLLGTGSEISQHHAIIMLKTFCELGAPLQGCMGPAVLIHLPWHARLSLERFVLFDQNVPPSPKPQQSFEVILHKFLQKDHKVIIEAIQGLLPFAERANDSRVQDLLFGSNLFDRLAFLLQRREVESNQVRSQSAFLVMKLACTGGEPYVRRFLELNIVHELIDMMQCNIDELQDSAYYALHQIVFAKGGSLVLQRFLQLGTIEKLVNLLDRKSLKTKDLAMQLLVDIVVVGNKPCIERMLCSQVVEKLVALEKTGESFSGAVSRYIQGLNMCKNVQTAERAVMKQHILRKVRSAVRGHELEASLVASVEACIAEGSKGASSSRKKK >Et_1B_013081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5360941:5363919:-1 gene:Et_1B_013081 transcript:Et_1B_013081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAASALDFHALSRRELQALCKHNGVRANMTNAAMAEALQGLTSVDGIDEIGSTLCLPTPGRSTMKSAAKAAALAGEEQQQGSPLPRGRRVSVMSPAAIRLDVEDGDDEVKRDVMKEIVKTPGVALRSNSRRARATPAPLPTPATLRRSQRTAARKAAAPVESVNRADEVPTAKKTTRRASARPKMMMDLDQEEEVPAAAPEEKVQQEEPKVAASDVNCDDLEEDDEVSKLLEGNNKEEEPEEGEEADSSDATTGSTMVSDKNSDDFKLEEVAAVEPISVEKSAPSSPMEDSPILGVLSKADATAENDDIDEGVDEWSPETAIAEEASEDSDEEASEDDSDEEASEDFSEEGEDGSAEEDDFSSDLPPEFDNIMVLSDAETDSDTTPPLLEENQVSVASASKIVVKSLNDSEISATNEEEVSEEDDLTEEATKEVVNTVDTIVKSLDEFTIKEGTQQAEEEKMNTVSQAEGSKVIQKGLQVEDYKTMSLRKLRANLKDRLSAKEGNKHAEAKRLPLEEVDDNTCADY >Et_10A_002134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23485280:23492209:1 gene:Et_10A_002134 transcript:Et_10A_002134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMNLLVLVFPELLDRLKSVLCDQQDQECWIIQINGKLCLFLSISRKMQDPTNTVAAESGHSAKPKKKPDDSPKLDAVCCPCGNSMPNESMIKALHSGLELEVLVPTLAIATIVSAVLVKVYMKRRSKARKNELDWEREYGPPSFTYKDLLSATHGFRDKLLLGRGGFGSVFKGVLPHSKKMVAIKRVSPESKQGMKEFMVEIIILGHLRHRNLVQLLGYCRHKLQLLLVYDYMPNRSLDCHLHSQENTTTNGSLNWAQRFCIIKGVASGLFYLHEEWEQVIIHRDIKSSNVLLDAEMNARLGDFGLARSHDHGADAYTTRMAGTWGYIAPELARLGKATKATDVFALGVLMMEVVCGRRPIWVNVADGEPVALADWVLAGWRAGSITNAVDPRLPVEEEEAELVLKLCLLCSHPLPGARPCMRLVLQYLQKDAPLPADLQPDSLLLSSNVLTQEEDQNAISYPLTTITDLSKGRKSGGEAPTYFHENAKGQYKLLAMNAGSADGTRK >Et_3B_031019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10235897:10237366:-1 gene:Et_3B_031019 transcript:Et_3B_031019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTRSRFRVKQEQPKPRLCYLHDMMYRGPPLPNVVGLAAPEAERRIRESCPELYCEIFFDDLPKFTQ >Et_5A_040283.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:10398497:10398808:1 gene:Et_5A_040283 transcript:Et_5A_040283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTNITAGRLQVPVAQSDIATAVESTLLDESKIKVIYCIADHCTFGGGTLQRCYCCGNTDRCYRTMENCRSECPLCAPKCPKQPPGQSAMEDQQAPIMNITF >Et_8B_058553.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:17024826:17025350:-1 gene:Et_8B_058553 transcript:Et_8B_058553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLPANAKISKEAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDLLWAMTTLGFEAYVGPLKSYLNRYREAEGEKAAVLGGAGARHGDADDVGVAAVHGGGGVGPDDVAAHGAVGHDGRDAHVGLMMGVSVGFGAGGGTSYYSAAAAAGRAAYGGEGSKLVEFDGGVQR >Et_6B_049596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7021758:7025952:1 gene:Et_6B_049596 transcript:Et_6B_049596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALLLAGLTGTGAFLLFKFATVVDGDLTLVTRGPPRRERVEGKVVWITGASRGIGEVLAMQFASLGAKLILSARNRDELERVKQNIVRKNPDCRVEVLPMDLSSGEESLKDVVHAAESLFSNAGIDYMIHNAAFERPKRGALEETEEGIKDTFNVNVFGTITLTRLLAPSMIDRGMGHFVVMSSAAGKVPAPGQAIYSASKHALNGYFSSLRSELCTKGIKVTIVCPGPIETPQSSASASLPQGHSSEKRVSVERCVELTIVAATHGLKEAWISYQVGAKRLDAAANKGNTYSWNLLFGGKKSA >Et_7A_051111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14594919:14600133:1 gene:Et_7A_051111 transcript:Et_7A_051111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLASFVCLFCSIYFLVQNLVLKCKSIHYISIGLQGHSASKLGASWLKFNALFGWPRRDTSTRDLIPPAESYILTGSASEVGTKPREVSISFASSIMDIPAADWDACACDPTDPEKFNPFLTHAFLSSLEESGSAVKETGWLPFHVVARDENKSIIGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILVRNTPFQDQVFNTLVEALKSLTTKMNVSSLHITFPSEGEFSKMKDNGYLQRIGMQYHWRNRDYKSFDEFLMDLKQPKRKNIRQERKKIPAQNLKMKRLRGDEIKSSDWDAFFKFYRNTTDNHWGRPYLTREFFHLLGEKMGDKVMLIVAEHDDKLVAGALNLIGGDTLFGRLWGCLPEAYFPNLHFEACYYQAIEAAIELSLSKVEAGAQGEHKIQRGYLPVTTYSCHYFSDPGFAAAIGNFLARETAQVKHVIKVLHDSGPYKEDILKEFAPQQD >Et_5A_041555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24316372:24318434:-1 gene:Et_5A_041555 transcript:Et_5A_041555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSPGPCDESPLETSPPPASKEVGHDDNGAAIHVAMKMEKKLDHLLEILKGSGKETNGERVRIIEEVARIKAEAVNTREAMEEARRKKDEMEEARRKLKSSWRTRIAPATTTVYGLSLLTVALASYYSGFFLGAKAYVEALMEKLGPIGLG >Et_6A_046344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13465755:13468307:1 gene:Et_6A_046344 transcript:Et_6A_046344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDHGIIIVGGGICGLATALALHRKGIASLVLEKSETSRADGVAIEVHANGWRVLEQLGVADELRGTANLITASSQVCQFTELRYLKRKDLIAALAKNIPAKAFHLGCHITSIDSDPHSHATVLKTVNGATMKAKVVIGCDGANSVVAKYLGLSVPKHIPRMTLRGFTRYPHAHPFGTEFFRIIGGDFVFGCFPINHNLVSFIVGCSDPSADIIEDMGILKKFVIDKLHECPAKVINVIQNSDPESLHVATKLYYRHLGQLMFGSFQKGPVTVAGDAMHVMAPFIGQGGAAGLEDAIVLARWLGRGSPRGLVVDGAGSSGEPCAKMVSAAIREYIQERRLRVALLSLEAFAVGVLMRAKTGAAKVCCIIILAVLGHKSSRHANYDCGRL >Et_4B_039609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23829431:23835841:-1 gene:Et_4B_039609 transcript:Et_4B_039609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITHHFGVGASGHGHHHPWGSALSAVVAPPPSAGLPLTLNTAAATGNSGANSGGSNPVLQLANGGGSLLDACVKAKEPSSSSPCYAGDVEAIKAKIISHPHYYSLLAAYLECQKASPVLLVTNLLLLLLLLLLLLLLLLRSSPARELSSNPAREASSPTSNNVGAPPEVSARLTAMAQELEARQRTTLGGLGSATEPELDQFMEAYHEMLVKFREELTRPLQEAMEFMRRVESQLSTLSITGRSLRHILSSGSSEEDQEGSGGETELPEVDAHGVDQELKHHLLKKYSGYLSSLKQELSKKKKKGKLPKEARQQLLLWWEQHYKWPYPSETQKVALAESTGLDLKQINNWFINQRKRHWKPSEEMHHLMMDGYHTTNAFYMDGHFFNEPGLYRFT >Et_2A_015378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11844235:11846489:1 gene:Et_2A_015378 transcript:Et_2A_015378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SKKRGLSLEEKREQMLQIFYESQDFYLLKELEKMGPKKGVISQSVKDVVQSLVDDDLVLKEKIGTSVYFWSLPSCAGNQLRNTYNKLESDLSNSKKRYMELVEQRENLKRGREDSEEREAALEELKAVESHHKKLKEELAAYADSDPAALEAMKDAIDVAHSGANRWTDNIFTLQQWCSTTFPQAKEQLEHMYREVGSNLSFCTSLTCQCFLFHYAL >Et_1B_013944.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25045173:25046051:1 gene:Et_1B_013944 transcript:Et_1B_013944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRPITAAHGGGGEDSERARGGGVGGVAWVEKEVMFEKVVTPSDVGKLNRLVIPKQHAERYLPALGSTAAAAAAAAAAGGEGKGLVLSFEDRSGKAWRFRYSYWNSSQSYVMTKGWSRFVKEKRLGAGDTVLFARGAGGEAAARGRFFIDFRRRRQDVAFLQPPPPPAHHRQLPALPSVPISCPWQDYGYGAYASAAPAAHSSRHVLFLRPQMPAAVVLASVPVRVAASGAVEATRSKRVRLFGVNLDTPPVMEDARASATASTLLQLPSPSSSTSSSTAGKDMCSLDLGL >Et_7B_055646.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:19518599:19519528:-1 gene:Et_7B_055646 transcript:Et_7B_055646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDGEVEHDFLPFIQQFKSGRIVRFHTADTVPAGTDPAGTGVSSKDVVINRSSGLWARLYLPPGPQDAKLSVVVYYHGGAFVIGSTAHRPTHLYLNSLAADANVLIVSPEYRLAPEHPLPAAHDDSWEALEWVASSEGSEPWIAEYGDLSRLFVAGVSAGGNIAHHMAARAGARLPIMGLLLVHPYFDAEAVAGDTPADKAMSEAFWRFVCPVTQQGLDDPLCNPFSEAAGGSAARMATERVLVCVAEKDMLRNGGVWYYESLKGSGYRGKVELLESMGEGHVFHYSKPACVQARTLKARVLSFLRDE >Et_5B_043350.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6316616:6316945:1 gene:Et_5B_043350 transcript:Et_5B_043350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGHGGGRGEPETDASASAALPVLGPCASMQRALAECHRRAARGPLQPEVLCRHLNRALAECVVTACCPDETEAVRTLCGSAGTALKRTQCQRARIDLSLCLEAHQEP >Et_9A_063354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21087437:21091617:-1 gene:Et_9A_063354 transcript:Et_9A_063354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEELPVPAFVHPDKPDVVFFTVTGYLFAVDVKDGAAVDFFRVQGQLQCLAWAPPTQANDIADDEEAPQQELPGRTEIVDVWAEGVDGAFGELKEAVGTGSFWLMAMDTEYCPSNAPMPAYTFPDSADGHYTWEKRYVNNGDLVQVGLALADPKFVLASNKVYQFNLQFDASTRSPGHKQVEFLRNTARLQLDEHMHRGIPAGQFIDKLKASGLVSNNKVMWITYQGYADFGYLVAGIEGVPTLPPNRLEHLASVQQFFPNNCDLKVFHKLGIHCNQTERGGLEELASDLKAKRTGTAHNAGSDALLTLRCFEKIVSREDRFEPIRAFKNLVFGVADATYDPALFDTNLGVVDVWSFNFQGHKAVFCDLFPVSVEIRPGRPHSGNMSLDRYEAARAEVALATHLEVEIAVSDHRGWVGAQKVRIFHIGEEEKEISPGKFAELLTDRRLIGNPALPWVASENGGAFLYLVNDSSKVNGTGSQTMAVKILRRYIHELKAADYGLKRHPMWIAARSCFI >Et_2B_022894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7332945:7334705:-1 gene:Et_2B_022894 transcript:Et_2B_022894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQQEIVSGVNAIGWAPFGSSTTLSLHNEDNHARLPTSAVGHAHTPTGRYVLAANRAGEDDGLCQAITAGALQPRVTYRVAGWVSVADGSHPVRVGIRIDEGSRLVDAGAVCAGAGKWAEIKGAFRLRESPSSADVYVHGAPAGVDVKVMDLRIIVADRKARFAELKEKTDKVRKRDVVLSFGSALGVQEVSGTPGASVRVVQLDNGFPLGSCINGSVVQDPAFVDFFTNHLDWAVFENELKWYWTEAQRGQLNYGDADRLLDFCDRAGKPVRGHCIFWAVDGAVQQWIKDIGGDRSQLMSVVEDRMHSLLTRYAGRFPHYDVNNEMLHGRFFRDRLGDDVAALMFREAARLDPGAKLFVNDYNVECANDPNATPDKYMALIDDLRRGGANVGGIGLQGHVSNPVGEVICDALDKLAAADLPVWITELDVGEPDEALRADDLEVVLREAYAHPAVEGVVFWGVMQGHMWRQDAALINADGTLNAAGQRFVDLRTEWMSNARGRIDAEGQFKFRGFHGTYVVQLTTPAGTKILKAFTIDKGDAPLVLDMGSL >Et_8B_060615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3341324:3342597:-1 gene:Et_8B_060615 transcript:Et_8B_060615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQKGILRRILLFLFEMSLAAALTVLVVFPLANVGRSSDMLTKGNYTKIGEQPPVAVVPKDPNQSEFADLAELLPRVATDDRTVIITSVNEAFARPNSLLGVFRESFRAGEGIEHLLNHVLVVAVDAKAFAHCKAVHAHCYLLEVKTMNLSSANDFMSKAYVELVWTKLSLQQRVLELGYNFLFTDVDIVWFRNPFRHISVFADMTTSSDLFFGDADSLGNWPNTGFYYVKATNRTVEMLRRWRAARQRFPADHEQTVFNHVKRGLAAELGARIQSLDTARFGGFCQFFRNDIAAACTMHANCCVGLGNKLHDLREVLVQWKNYSSLTPQEKKSRGFTWKVPAKCGTPDKRNP >Et_1B_010136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25560725:25561936:1 gene:Et_1B_010136 transcript:Et_1B_010136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKRSRVDLLATAGSSCWSSIPGDLLEQISGHLSTDADLLHVHRVCAHWRASTSPPAACRPWIRAVRTAWSRPPAPGDTDYSFWLPRRQHQRHVATRAPPAGVPYCLGASRGWLAVGDCRPLTRLVLWDPASGAEVQLPCLRDVTHLFLSGDRLASPHWMAVATQRLAEIATKPFFWRPGDAAWSPLGERNTAAFISVAFRGGRAYCVDARRVVYVYDLGTSPRRRPPALVGARDAGAMVDRIWKCHRFSYRPNGAHLLLVAWRWGPGIRWAGPAISSLCSSFAVVFKMDWTRDEPELGERVTDLGEHALFLGHGESFALSAKEFPGEPRLLRAWRLNGTSLANMTVRSRTGRSCSIWCRTRCKKFRTRESSGTTGPIGGHSPGSVLELLS >Et_1B_014071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29812408:29817451:1 gene:Et_1B_014071 transcript:Et_1B_014071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVSCVRSTGSAHFGCSFPSFAASSSSARNIEPLRAIATQTPPSVPQYSSGEKTKIGINGFGRIGRLVLRIATSRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGSIKVLDDASLEINGKKITITSKGDPAEIPWGKYGAEYVVESSGVFTTTEKASAHLKGGAKKVVISAPSADAPMFVVGVNENSYDPKMNVVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAAQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRIEKSASYDDVKAAIKHHYAPDYNGSDPSPLIFRAASEGALKGILGYTDEDVVSNDFVGDARSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSNRVLDLIAHMALTWLGISYDVEHKQNGLKSMTYSISSNVMHEIV >Et_7A_051375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17596062:17602716:-1 gene:Et_7A_051375 transcript:Et_7A_051375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDEMLLQRYPIPARALNRFLHALALAPPSAACSDAPALTIALFSRLARSARPCVQPLSIHTYGTILVCCCRAHRLDLPLAIFGRLLRTGLGINVITFRSFLKGLCTAKRTDQAMDLLLHKMPKMGCVPDVISYNILLKALCDDGRSQQALELLRTMAKEGGGSSRNVVSYSTIIHGFFKEGEVAKACDLFHEMMQQGIQPDVITYSSVIDALCKVRAMVKAEEFLQQMVGKGVLPNSTTYNSLIHGYCTMGQWRDANRVFKDMAKRGVLPDASTWNVFMDSFCRHGRIKEARDIFESIAIKGQKPSLTSYTIMLHGYAREGFFSDMTDLFNLIVQNSIVPDHHVFNILIKAYGDRELMDEAMLLFGEMRKLGLMPNEVNYGTLIDALCKLGRLDDAKEFISDAINKGLCFNKFINKLCKEGRITEAQDLFEFIISIGQRPDVITYCSLMDGYCLVGRMEEAMRVFDKMGSAGLEPDVVTYGALINGYCKIGKIDDGLNLFMEMSLKGVKPTTCTYNTILNGLFQARRAVAAKVKFNEMIENGVPVGIDTYSIVLTGLCKNNCTDEAITLIQKLRAMNLKLDIIIVNIMISALFKARRIEEAKDLFATLSENGPVPSVVTYIIMMTNFIKEGLLAEADDIFISMEKTGCAPNSRLLNIVVRVLLEKAKPSRHRLSTICATTAAATSIASTAAKPRAPHVVLAAATELVRSGSLRPDDAHHMFDEMLLQPAPIPVRALNGFLHALARAPPSAACSDAPALAIALFSRLARSSRPCVQPLNVHTYGTILVCCCRARRLDLLASMSSPSIASSKASAPQSTRMKLWTCCSTRCPKWAVCRTSLVLDTSEGIM >Et_2B_019338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24504363:24505235:-1 gene:Et_2B_019338 transcript:Et_2B_019338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVTCPICLDYPHNAVLLRCTSYEKGCRPFVCDTDQTRSNCLERFKGASVAPLDSIHIVASNANNRPSCPLCRGDVIGWIVIGEARLHLNQKKRCCEEDCCSFVGNFNELQKHTQQKHPDSRPSEIDPARRVDWENFQQSSDIVDVLSTIHAQVPNGIVLGDYVIEYGDDDTGEDYEVFRRVRTNWWSCIFCKAFSRSSRSRRRARARERRGSGRRSSSQGNLENFNLEVPTQSVELREIRFDEIDDEYIVTGAIPSIAAPGRMASFHYRSVQTICF >Et_3A_026660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12433824:12448083:1 gene:Et_3A_026660 transcript:Et_3A_026660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNSSQAAGMNNAGLELWCKFFGHMDEASRVMEVLRIKPHHHEQSIGVDDAVRALPKTHIIKELVRDIKKGWKDRADQALTSSSPTPMATQYIDDIRFNNDVILTTFTSSAREVERFISEVRGDHRHHLVVRLDTEWRVIQEDGKLRHRTALLQLCVDKRAEGFQHAHAIPNALKDFLTCP >Et_5A_041052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17305490:17307760:-1 gene:Et_5A_041052 transcript:Et_5A_041052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRYTWNCGTTCTPESLLDASRPNPPVGQTERSFATVHTLPDPSPPAAAAMAVVRAPPLFLPQPLSPASASSHPSRRACRRVTAASTPVPPPFRGATTGVHGRAKKRAPARRRSGAEWRGAASCLPTKEGQAAVAGSREEDEYLVREAGWGVRRMGRVGEEMRRVALVQAEAFHVPVALFNDFFLEFFKAEVLSALIYRVRNSPPDRYACLVAEDAEEDSQLSLAPYEKIVGVVDCTVQDEADILMEIQGVDEYFYVSGIAVLPSFRRQKVGTALLKACEALALEWRHQCMALRAYEDDEGARGLYSKAGYRVVSKDPDWVTWIGRRRRVLMIKELPNYGHDMEHQ >Et_1B_013521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9194910:9201160:1 gene:Et_1B_013521 transcript:Et_1B_013521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEKAKGVVIPEVAAAPPPTTAKASSKGIPIMTKAQRSHPLDPLSAAEIAVAVATVRAAGRTPEVRDSMRFVEVVLLEPEKNVVALADAYFFPPFQPSLLPRTKGSAVIPSRLPPRRARLVVYNKQTNETSIWVVEFSEVHAATRGGQHRGKVISSEVVPDVQPAMDAMEYAECEATVKSYPPFIEAMKKRGIDDMDLVMVDAWCAGYYSDADAPNRRIGKPLIFCRTESDSPMENGYARPVEGIHVVVDMQNSAVIEFEDRKLVPLPPPDHLRNYTPGETRGGVDRSDVKPLIINQPEGPSFRINGYFVEWQKWNFRIGFTPKEGLVIHSVAYIDESRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGFIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIESEVKLTGILSLGALMPGEQRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPNEPYNQVVEVNVKVENEGPNNVHNNAFYAEEKLLRSELQAMRDCDPSSVRHWIVRNTRNVNRTGQPTGYKLIPGSNCLPLALPEAKFFRRAGFLKHNLWVTSYKKDEMFPGGEFPNQNPRIDEGLATWVKKDRPLEETNIVLWYVFGITHIPRLEDWPVMPVEHIGFMLMPHGFFNCSPAVDVPPGPEADVKEAESPKGIQTDLISKL >Et_1B_013103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5525660:5528768:1 gene:Et_1B_013103 transcript:Et_1B_013103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVPPARFLASPEAGGWRQRARRRLMPQVSAALMTNPAYFEVGRYLGGYGFMNITSYSSSQFGQLPNADGIEELDLGYSAEEIERLRVQDVGEGEVKIRLYEGRVVQGPLRGTKAVFKVYPGARAGASEADLMALNELRTHSFLQSDRRDICDNIQFLLGAFETATGEQWLAFRDDGRYSAADYAKFTSERQSKEQTDLPVWNPFSRAYKLELRRYFVLRLLNGAMCGLVHMHNRDRLHQSIGPSSVVLNTVIENEGRYLVPTLRDLAFSVDIGYGAGLLVAYMAFIPFCEAGIIDGISLQRLLESTFRLDIYAAREYCLEDDRLADAVNFLDLGDGAGWELLQAMLHPDYRKRPIAEAVLNHRFISGAVLRNYGKL >Et_10A_001102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21141910:21149436:1 gene:Et_10A_001102 transcript:Et_10A_001102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSFLSRAPPRPVLHSRDSPPAGSPSPTSQGGSRNGARAGVARKSKELEHMLVNLEKAGVEIDCKIASIIDDEVAKIKAEAARENINEPKRNEMTVLQAIACVAVGFIMGVEWYEYALRLLLGRICTPVIPRRRTLRLPPQVESSNGSGQADVGRKTKEQVDHLLAILEKEGVEIDGKIASIVDDGIVRIKAEAARENTNEPKRSRMTLIIACTLVGFIMGAEFSDHAFHAANAKRRRALHK >Et_2B_022486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23513903:23518316:1 gene:Et_2B_022486 transcript:Et_2B_022486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQNSQPLAAARAASSTRRTDAVTAPPPPHGASRFAMAPEQVGTVAAPPPCPEDARGFAVVPHQGAPPMEAPLSPEGPPGFWVPPPQGTPVVAAPQIAPAKAPPQHNLAPVMMPVQLVSIGVTAPMPQQAPAMATQQPPQCMAPPPPLPMGIPHNMMGMQQAQGGHMIAPPHLPLGPLPAMMQQQPSQVSAMMMEQSPQPFGHPPMMQLQQPLQASQVVMQQPSQPFYPPPVMMMQQQAPQASTVMMEQPPQPFGHPPMMQLQQPLQASTAMMQQSSQPFCPPSLMHQQPSQLSPVGMEQPPQSFVPPPLMQQQPPQGSLVGMEQQPQPFDPPPLMQQQPPRTDPMMMPQPHLSAPPYKRQRFDHYDIFRQYMGFREVRLVYKSAARQPICFVDFATPVQAFLAMGSLQGYKFDQQDHESPKLRLEFSHSPRVASYATSDGVES >Et_7B_054530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22602518:22620826:-1 gene:Et_7B_054530 transcript:Et_7B_054530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAYRRLFLLRHFRQQIQPVAATHNLSATAAAALSVPSRPFGCSPATERVERKLGVFRPGFISDNNFNYNWHYKMGSTVGAVLIGQAAFIIGLSGVSALAQEDSISMATTSEQAGGNATGLQRIEDGSVISNEHTVKWRIFTDKARDYFQKRQLDEAGKLFQAALHEAKEGFGLRDPHVASALNNLAEFYRLKKEYEKAESLYLEAIEILEESFGCDDIRVATALHSLGICYHLQHKFSQGQACYEIEGRVMGLGHTEYATTMYLLAKVLNLQGKRKDAESLTVESIRILEEAGLGETPTCIQRMWYLSMGWHSLDTASAAGSLSLTLEAMDKLKESEELLERCLEVRKRNLPEVHAQVASTLVQLARLTLHKFARDIMNINDDVAIQYLDKAKQLIDDSIRITDQILNSSSSSATDMNKIEATYILLQALDLIGFLEFEMKRMLTPGEQDHRPIEQALNKCISLYKEPRTRSLVLSKILKQEYLRCLRSLTWTVEKNHVISQTTKLQDLFGEARQIMNELEEQNNRKDTGTPL >Et_8A_057032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20404186:20405562:1 gene:Et_8A_057032 transcript:Et_8A_057032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLNFEECPKLLAMLPAQDEQYCKNDTPLPALEKLTIESCCIRGDQLAFVLSRLPSLSYLEHKDCPGKLGDECILLIPLGPLTSLKELYITNCTNLSCGNYGLIGFISLETLRIGECSKLMSSLIPDKMEEEAQISRRIILLPSSLQRLVLDGVNEKLLPLSSLNSLKDFSITESPDLEFVDLHGCTALEEISIHSARALISIKGLRTCIGLRSLEVYESPGFWRAWSLAMQELVRNSNDVVSQQMERIWTDDLSLLTSCCCKYLESLKQLGFLCFEDDGGGNSTMEGSKLAIKSCESIVTLEELVLPCTLEELHISGCKSLQSLPAKLNCLPSLKKLEISCCQSIISLKEQRLPSFLIEYCDNLQSLPDSLHLLSYLIKLDIKSCPGIKSMLESGLPPALRELWVCDCSDELKEQCTK >Et_10A_001514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4479760:4483262:1 gene:Et_10A_001514 transcript:Et_10A_001514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCTINGEFRVQRGTMVRDLKLWDAPEEVRPERFMDAGGAGAGAAPMLPLGLGRRRCPGEGLAMRLVTLAVGALVQCFEWEVEDDDVDMSEGVGLTMPMATQLAAVCRPREFVERVLMELAVGAMGSLFPKLLSLLEDEFVKQMGLRREVEPLCRELPMMKAALVEVSKVPPEQLSETDKAWARRVRELSYDMEDAVDNFMVNCGSKVIMTTRNFEVCTKANDVYKLKPLSDDQSKELFYTRTSRKNNGDNQLVDKIVSKCDGVPLAINAIASLLGDWQMVYDSFILGDEDKTRKILL >Et_3A_023629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1016672:1019239:-1 gene:Et_3A_023629 transcript:Et_3A_023629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKALSLLRPPQPPREGEREKEMELRKRPRKVRVDPDFVSSPPPLLPRKRVRKQAPSKRPRDAAEAAKQQRPRKRSRCEGLGVGSPVTGLHPASCFHQVRSLPPPKSRRVFRPRHPFNWYEPDMWTEIAKHLCGHDLVCLSLTCHWFRRLLVEDSIWRYAFIRDLLLPVDYQPPSRPLHSSWRRLYAAAFDGSHSYCYRQINKHIDGLRIGGFLLNTPSVLLTGKLPLPRCVLIDVQVSIEMMGACVLNNARPGIWIADRHLVRCPRCNINMCPVTEFCLDGLGGIGTVQILDVRHSELFLEEEYWDGTWEYEELGEHFMDEEAAAACCAVFNRNCLDSSYADCTPHNHLIIHVLCSINSISVCIDMVHNGVAVVHNTKAWIRKRNDWRPKACMTPYAVAINSNLQRNGGLLSKFEAMRDTSRDGQ >Et_4A_033661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25581323:25582832:1 gene:Et_4A_033661 transcript:Et_4A_033661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEASMASICNNSLPSFKVILGSSSPARCEILADMGYEFTVMSADINEKAIRRDKPEELVKALAEAKAQAIKQKLQGGCAPNRDRPILLITSDQVMVSKGLIRERPRSVEEAKEFIRGYSGDRAFAVNYVLVTNLNMGATKGGWDVPEIKFQHIPDEFISKVVKDGYMTCVAGGLRLTDPVRGLPRELTEKLIRESLEA >Et_1A_008567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8902886:8912721:1 gene:Et_1A_008567 transcript:Et_1A_008567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASPGVNQPILPRHAGDSSRREGDTSRPSNVDVESCRCWCGVVAAVLAAVVTFLWWVAQVTVPMEYTVAITGVSGLDPVADLQQGRGLLNPVFNLTVGIASPSAVSGGCIRPGTAIQVSYSHLHIPVAGGRAPDMCVGPRKSSGPRRAVAGGHGVALPGFLVDKLAEDIRRGDAMFEVKLTGLYDAESWNIVTCWVRLGDAIGAALKMPCKETWRRIDIVPKQDSGYVPQPVPGRGLLNPVFNLTIGIAAHNVVEQKCIKPGTTIRVSYSSLRLPLAAGRAPEMCVGAPPSSERRAAVAHGHDVAIPGFLVDTLAEALRRGEAVFEVKLTSLARRDDEYGAFDVVTCFVTVGNSSTSKPCRRSSESSESIPVPQQGDSSYVPHPTVPADAPPQTSMKHRSSNGGSARKRVTNKELERSKRVHEFQALQLIALAQEIVDDAYPYWNCVAVVTIFILFGADIVAPASYFGWRLDQLDMLPEYSVAIDAVSGLIPATDTQQGRGVLSPVFNLTIVLTSHSALKGGCIKPGTAIRVSYSSLRLPLAAGRAPEMCVGRRPSSERRAAVARGRDVAIPGFLADTLAEELRRGEAVFEVKLMSMDDEDGEWDCLVRPGAAATSKTCRRSSEPFDSVPVTEQGDSGYVPHPVPADPPELHPALPRYSDTHPRRQEDGPGTLACLCAAFAALVFVGCPIALFWYVNQNFMSPAYTVVITGVSGLDNPVTSPDTKEGGVLVNPVFNLTVGVASKSSLYGACIDPHTIVKLSYSYLGLALAAGSMPSMCVGPLESSELRAVVASGRNVAVPGYMIDNLAKEMRSGEAMFEGGALVERDDARDIGRGGRCCPPSTQSGSLKQRPSSSTQEPRSAGGSVVASHQAWCIGIVGSGRISSLLGTREHPAPPRNYHGHPRRQEEYNCDILACLCGIFTALVVVACLVALFWYLYEVGMWPVYTVAITGVSGLDNPVSSSDTKQGGVLVNPVFNLTVGIASESSLYGACIDPHTVVKVSYAYLDLPLAAGSVPSVCVGPRESSDLRAVVATGSNVAVPGYVIDDLVREMRSGEAAFQVKLNSLGEYGSWSYVTSRVVMVGADTAGRPALSRPVRSEPTEKLLMLKQLAAVV >Et_5B_043899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17406685:17407171:-1 gene:Et_5B_043899 transcript:Et_5B_043899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALRPAILRRIRLSPSPSLPLAAAASHPHALARWLARPMSSHDAHLTREEVVDRVLDVLKCHPKVDPSKVNPDAHFEKDLGLDSLDTVEVVMAIEEEFKLEIPDREADKIDSLPLAIEYVANHPMAS >Et_3A_025341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29056208:29060371:1 gene:Et_3A_025341 transcript:Et_3A_025341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGLDEVMAFLTDHGFAGAASALRDDVLARTAAGDDGREAALDPQLPPLRMRGSAFGGGDGTTAPASSRSSSGSASSSAFVSMRSSPSGMLNPYGLWSPRHSPSDASSSEMEFGTARQYDNTDLFFQEGWLYDDHLFPSNLDHEDDEYKEEDKFVLGAHGGSEQVEMGKLGAGHYHRHDHVGSDNCEGCAEVYTCSSPLCGCCGGALKNEDDLEMVRSSSSTVYGRYQIMDDQTEILDDCGHDGFQLKQSGDVLLECDLPRDPAGGDDCLEPSVMEKELQMLSSFDTDADVNPVSYGGVHDVVDNGVLDGGTNKIMKRSSDKENLKAGHRIQPFPESGYPDDSYDFGDVGSLNADVQHSTAPKAEEDSETNIDLAISNFHREYEVFELRIIHRKNRTGFEENKEFPIVLNSVIAGRYYVTEYLGSAAFSRVIQAHDLRTGMDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPLDEHHVLRLYDYFYHQEHLFIVTELLRANLYEFQKYNEESGGEVYFTLPRIQVLFPNEPVPMMLAQMIGIIGPIDMEMLELGQDVHKYFTDDYDLFTKNEETDQLEYLIPKKSSLRRHLQCPDSDFVDFLSYLLQINPRKRPTADEALQHPWLSCAY >Et_4A_035644.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:32311090:32311641:1 gene:Et_4A_035644 transcript:Et_4A_035644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASDAAAAAEEQQQPAKQRTLVFTYGTLKRGFSNHTLLQELTRAGDATFVGAATTAPRLPLVCGPYRVPFLLNLPGSGHRVAGELYAVTPRGLARLDELEGISRAHYERLPISVLLAEGAQVDAVAYYAHRGYADELWRRIGEKGYPEYSHAVADGYIRRKDRPQDVTFLEQIRIFVSSQS >Et_9A_063028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8706272:8711157:-1 gene:Et_9A_063028 transcript:Et_9A_063028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATAASSVTKFIKCVTVGDGAVGKTCMLICYTCNKFPTDYIPTVFDNFSANVSVDGRIVNLGLWDTAGQEDYSRLRPLSYRGADVFILSFSLVSRASYENVLKKWMPELRRFSPSIPVVLVGTKLDLREDRSYLADHPSGSIITTEQGEELRKQIGAVAYIECSSKTQRNIKAVFDTAIKVVLQPPRRREVTRKKMKTSSNQSVRSSTKGKAELSRTKGDSEFRKVDGTDINITKRYQENISGSKTIRR >Et_9A_061048.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:12475607:12475732:1 gene:Et_9A_061048 transcript:Et_9A_061048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SGYALLRNPLHNKGLAFSEAERDAHYLCGLLPLALALKELQ >Et_9A_063234.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16782215:16783393:1 gene:Et_9A_063234 transcript:Et_9A_063234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVRRRLLFPLRPGVLTAAFSTANATVDADPTVSYLISSCGLSPGAAARAAPSVRLAFPGAAAQADAVLALLRRYDFSDADISATIRQRPSLLAANPAKSLQPKLDFLASVGIEAPLLPRLVLAHPAILTYSVQNYLEPLFASLREVLGSDARVVAALHKKPFAIRCRPKIFFFRIIPLLREVHGLSADDVSKLVAEQPSVIIQTPDRINQIVEAARIVGVEPGNPMFCHVLGTLCKMSAPKLESKIVLYWRLGFHKDAINLMIRRYPLVVAPSEKKITEMVGFLIGKAGLTRDDIVAYPSLMVRCLEVHSRRCAVLAVLRRAGKLQWQHRLPKLLLWTKERFLDVYVRPHVEEVPNVLRAMNGEIPFLGHNCFAGEESNAAEQEEDKHT >Et_3A_025347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29025280:29027995:-1 gene:Et_3A_025347 transcript:Et_3A_025347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLVLLGLPILLFCSDVVTLFSPQPPSDPKPNHLQRPGSDGLRPDDPSAADASVQEAHVDGPGSGTTVELKFCASCSYRGNALTMKRMLETSFPGINVILENYPPPFPKRALSKAVPILQVGAMATLMAGDQIFPRFGMVPPPWYYSLRANRFGTMASIWLFGNFAQSFLQSSGAFEVYCNGQLVFSKLSEQRFPSELELRELIGNRLPESENQDSRFDENLDSQSVENLDSQSGESLKFRFEENLEKVSS >Et_6A_046433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1529360:1536423:1 gene:Et_6A_046433 transcript:Et_6A_046433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPRSPTPTTRAARSQLLAGDFDVLVLAAGARRPCPAPENPSGFLTRFSRLAAAPSPTAASASSPLPITDAPISSPRIKPEIYTNCCAQCRRFYFPRHAVKLSLLQLIFPSKQSSKAKNKKQAKTNQEELLTCVMEAREGIAVAGGHESGHGLFGADIPMIEAQEAVKEYHSSHSSPSTSPTPSPPLAAPVHGEEATATPLAWSSGARKPSEAAGDNGMQNAGQGEHANLSSGRRRGRPRGSGRRQILASLGEMYALSAGGSFTPHVLIVGTGEDVAARIMSFSQKGPRSVCILSANGTISNVTLRQPDSSGNFTYEGRFEILQLMGSFTMAEEGRRRSGGLSVSLAGPDGRVVGGVVAGMLRSASPIQVIVGSFLPNSLKQHQRRMSLQQQPSVTPALPASMVPPASMAPPPVLTAAMPISQAAPGNGYHAPPPSTAPQQPHGTATDMNLNTTTAGFTMVGWSPSSQSMAHRTSPDINVSLTPME >Et_6B_049210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:226358:227245:1 gene:Et_6B_049210 transcript:Et_6B_049210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTLSEKWILDKDSSYAGLMEKSILSWLDATISCLSSSQMEVPEQDVEDWMQVALSCFPLSITGGGQKLVITVEREISDAEESLLLALFQKYQIFYNTAVLSLSASETTLSSTIELLGVKLMAVMVRYCWTNLQENYWRFLFRMVLKWIELSASLVEEMTDGINDVIINQKSSEDALDKVKLVTASTSGSQRPTNQHARCARLRSRHYMNSLMLTVTLPFIFGQ >Et_1B_011392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21551563:21575487:1 gene:Et_1B_011392 transcript:Et_1B_011392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIGEEASRHPLLRFPNGFLGRQVWEFDPNAGTPEERAEVERLRQDYTSNRFKQRECSDLLMRMQECRYLGFVSEIKKKSLIHVSYYCAVRKTEEPSSRKPSSHEDRRGFTSHRRDYTNCLEACSDSIFFFPSRRWALARYLLYMSPNRLVILYQQSMYNEDSGWGTHILGPSTLFGSCLNYATLRLLGQLLDGKNEALTRGREWILSHGSVTAAPQWAKIFLSIIGVYDWSGNHAIFPELWMLPEFFPIHPARFWCFCRMVYMPMAYIYAKNFVGPITTTVMSLRDELYNMPYCDIDWAMARNSCAKEDIVCRPSWLQSTAMVYLNNFIEPLSNYWPMSKLREKALINLMQHIHYEDEISYYVGLCPVNKALNMICCWIEKPNSIEFRQHLPRIYDFLWLAEDGMKSKVYAGCQSWETALIIQAICSTVLAEEFGATLRKAHDYTKHAQVMHNIPSYKNHYRERTKGSWALGNGENGWTGADCTAEAIKAVLQLSNIPSNVVGDPIRQEWLYDAIDSLLSFVNKDGTLSSVESKRTAAWIEFINPSESFRNIIVDYPYVECTSSLIQALVLFRGIYPEYRREEMEKVIIKAAEFIEKMQQKDGSWYGSWAYLLREEHIKTVCHPESKQLLAMKADKEYIDSGSPHAVNTAWAMLGLIYAGQMERDTKPLHRAAKQLINMQLETGEFPQQDVVGNFKSSIFFNYANYRKLFPIWALGEFHRQLLGKTG >Et_7B_053794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12225996:12229331:-1 gene:Et_7B_053794 transcript:Et_7B_053794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLAAAATLRAAVTATSSARRSARASRAFFPPSPASPALAVRAAAPPRLPQRTMAARSAAATEAASDPAQLKAAREDIRELLRTTHCHPILVRLGWHDAGTYDRNIKDWPQRGGANGSLRFDVELKHGANAGLINALKPIQPIKDKYPSISYADLFQLASATAIEEAGGPKIPMKYGRVDVTGPEQCPPEGKLPDAGPKAPADHLREVFYRMGLDDKEIVALSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTAEWLKFDNSYFKEIKEKRDQDLLVLPTDAALFEDPAFKVVYAEKYTEDEGTFFKDYALAHAKLSNLGAEFNPPQGFSLDD >Et_5B_045685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7441883:7442645:1 gene:Et_5B_045685 transcript:Et_5B_045685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSGALLAAMSLAALAATAVATDYKVGGSDGWDTFIDYDKWVAGKTFMVGDTLTFTYMPYHNVLEVTEADYATCAVDKPLSTHSNGSTTIELTEVGTRYFICGIPRHCLNGTMHVAVATVPFDPTKAAPPAAGPSPASAPLPSTPADAAGPASSPAADAAVRSPTGAPPSNGAPRYQQSAAAVVAGLALAAAMVVA >Et_2B_021843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:600489:602803:-1 gene:Et_2B_021843 transcript:Et_2B_021843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVVIVCSVVGFLGVLSAALGFAAEGTRVKVSDVQTSTPGECIYPRSPALALGLLSAVALMLAQSVINAVAGCICCKRHPVPSDTNWSVALISFIISWCTFIIAFLLLLTGAALNDQRGEENMYFGSFCYVVKPGVFSGGAVLSLASVALAIVYYVALSSSKSPPTLTPQNQGIAMGQPVIPQQSSEPVFVHEDTYNRRQQMP >Et_9A_061320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:157408:161685:-1 gene:Et_9A_061320 transcript:Et_9A_061320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENSKQASAAVRMNPSREGETSYANNSSFQRAIASVTKKARQEMAAALYRERGRPASMAIADLGCATGPNALLMVTDAVEAVMAECGDEHLPPELLVFLNDLPGNDFNAVFGLLPTSSPRGRDPSTAASSRRPAWTTLSPPPACTSSPRENMHACRLLMCSTGGVCTSRSTASLDAYVAQFHSDLSTFLRCRSPEMKPGGLLLLTLVARRTDCPTAHDCYLWDLLGDALIDIVDDQEQVHAFNAPYYSPSPDDLLHAIHNEEELKKSTHLN >Et_4A_034370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31988966:31991310:-1 gene:Et_4A_034370 transcript:Et_4A_034370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVAALPPPSLTAGVNGRHPVVQCDTSLSTPTISETAVVQGCTAPLVEALQSAASQDVSCFHFPGHNRGKAAPSSLSKLIGSLTFLHDLPELPELDDLFSPKSVILDAQKLAAELFGSSKTWFLVNGTTCGIQASVMATCSPGDYLIVPRNCHISVISALVLSGAVPKYIIPEYNSGWDIAGGVTPLQVDKAVKELEEDGKRVGAVLVTSPTYHGVCSDVQGIVSVCQPRGIPVIVDEAHGAHFRFHDSLPSTAIEQGADLAVQSTHKVLCSLTQSSMLHMSGDLVDVDKVSQCLQFLQSSSPSYLLLSSLDAARAQLSENPNIFDEPLAIASKTTDQLRVIQGVSVLDLTCFSSGFPAIDPLRITLNASDLRLSGYEADDMLYEDHQIVSELVGTRAVTFAVNLGTRLQDVKKLVKCAEHLSEKYLFANSSKLIKENWVSRPLENISVHLTPREAFFTKKRIMSIEDSLGEICGELICPYPPGIPVLIPGEVVTHDSLSYLMNVRHHGITISGAADAELNSILVCNLR >Et_1B_011826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26297927:26303349:-1 gene:Et_1B_011826 transcript:Et_1B_011826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLKFTVRRKPAVLVPPASPTPRELKRLSDIDDQDGLRFHIPVIQFYRRSAAVGAARDPAPVIRDAVARALVHYYPFAGRLRELEGRKLAVECTGEGVLFIEADADVRLEHFGDALQPPFPCLDELIFDVPGSSEVLGTPLLLFQVTRLACGGFILGVRLHHTMADAQGLVQFLGAVAELARAGGSSPSVRPVWGRSLLQARDPPRPAFAHREYDEVPDTKGTIVPLDDMAHRSFFFGRREVAAVRAHLPRGLRSRATTFELLTGLLWRCRTVALAPDADEVMRMICIVNARGGKSGVAIPEGYYGNAFAFPVAVATAGELAANPLGFAVELVKRAKGEVDVEYMRSVADLMVLRGRPHFTVVRAYLVSDVTRAGFGDLDFGWGKPAYGGPAKGGVGAIPGVASFLIPFRNAKGEDGIVVPMCLPGPAMDKFVEEMEKLLAPPAQEQQEAFPELMAGSAPTLKFTVRRQPAVLVPPASPTPREMKRLSDIDDQDGLRFYIPLIQFYRRSEVMGARDPVPVIRDAVARALVHYYPLAGRLRELEGRKLAVECTGEGVLFIEADADVRLEQFGDALQPPRRAHLRRPWLVRDGWIPGAPYPGATFDVTCERRVARNVQVTRLACGGFVLALREPHTMADAQGLVQFLGAVAELARGAAAPTVRPVWAREMLEARHPPRPTFVHPEYDESPPSAPASRRARATAFDAIAGCLWKCRTAALARADDDAGAVMRMICIASGRRNSKPAPGAAAAAAAIPEGYYGNAFAFPAGVATAGELSAHPLGFAVELVNRAKRGVDVDYMRSVADLMALRGRPHFTVARAFLLSDVTKAGFGDLDFGWGRPAYGRPAKGSVGPVPGMASFLIATKGADGEDGVVVPMCLPGPAMDRFAEEMAKMLRAPACRSAL >Et_8A_057576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4741022:4743706:1 gene:Et_8A_057576 transcript:Et_8A_057576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLAPSPGLPNPALPSPGRAPPRRGSILRARAVRAAPRPPSQWTVRSWRDRPALQQPEYPDKAELDEVLRTVEAFPPIVFAGEARRLEERLADAAVGRAFLLQGGDCAESFKEFNANNIRDTFRVLLQMSVVLMFGGQVPVVKVGRMAGQFAKPRSDGFEERDGVKLPSYRGDNINGDAFDEKSRVPDPHRMIRSYSQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYMELAHRVDEALGFMAACGLTLDHPIMTQTEFWTSHECLLLPYEQALTREDSTTGLYYDCSAHFLWVGERTRQLDGAHVEFLRGIANPLGIKVSDKMDPAELVRLVDILNPENRAGRITIITRMGPETMRVKLPHLIRAVRGAGQTVTWVTDPMHGNTMKAPCGLKTRSFDRILAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQSLEMAFIIAERLRKRRIASWPLSMNQLGSIPSMGL >Et_8B_059160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14600571:14601138:1 gene:Et_8B_059160 transcript:Et_8B_059160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIFGFTFCGSDSLSLGSLQPSHKTFQIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >Et_9B_065773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1101185:1106542:-1 gene:Et_9B_065773 transcript:Et_9B_065773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTLDCFSLSSRLITMPPADALSKAKESREEPLRAVLLEDLRSPTERPSNAVKGTMAPLGVILGSVLGAFGLLIVVIVLVLVCHKKRPLEKQHSEAGSRTSDADTTITSGTSGTYRIAFHLLEKATNYFDEDLVIGVGGFGKVYKGELRDETKVAVKRGSLTSNQGIKEFRAEIELLSRLRHRHLVSLIGYCDEKNEMILVYEYVENGTLRSHLYGSNKPLLDWKRRLEICVGAARGLHYLHTGSENGIIHRDVKSSNILLDVNHVAKVSHFGLSRIGPELDQSHVSTAVKGSFGYIDPEYFKSQRLTKKSDVYSFGVVLLEVLCARPATLPEKMMSLAEWAMVFLKRGKVKQIVDKKIADKIRPDSMKKFGKIVEQCLADEGVNRPSMEDVLRDLNYALQLQDADCTDSDDNNMNSIVELPSQSSRVLESNNVKMAEAGNSNQHDLSHMSVSQIFSQLIETKGRTSEVVFELPNPQKAIN >Et_8A_057496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3662966:3667356:1 gene:Et_8A_057496 transcript:Et_8A_057496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCDFLLGEYKYFEMGARDNGEGRDEKGGGSRNSLSSQGESPGSEDIRLKRVSGVPKKLSSKKETRENSPRTTKSSTSRQAQNKLQHKGSNSVQNKSQKPKKTVNPAKAVEVRKPDISRVPSRPPSELSEETDDIISDAGTIDDRGNEEAREIDVLDEAPHCDQSTGTDDETPDIEEKIVDQEKLVIRQGNGELESRIDKLEQELREVAALEVSLYSVVPEHGSSAHKLHTPARRLARLYIHASKFWSAHKRATVARNTASGLVLVAKSCSNDASRLTFWLSNTVVLREIIAQTFGISPQSTPTMASMNMNGSAKKLDGKSMTMLWKNSFNSSQAKLAAMQMPEDWQETSTLLAALEKIESWIFSRTVETLWWQALTPHMQTPSEGSSTPKAGRVLGPSLGDQQQGTFSVNLWKAAFHDAFSRMCPLRAGGHECGCLPILAKLVMEQCVARLDVAMFNAILRESASEIPTDPISDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDKFGIDADDSEDIESDDDERSGATESKPFQLLNELSDLLMLPKDMLLEKSIRKEVCPSIGLPLMTRILCNFTPDEFCPDPVPCTRCTDKDVISPFPYIAAPVVYRAPSTSDAAEKVADIAGGAKLDRKASMVQKRGYTSDDDLDDLDSPLAWLIDRSAPPSPSNRVAHFSAQRGASMENSRFALLRAVWSEQR >Et_1B_011705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25240574:25243404:1 gene:Et_1B_011705 transcript:Et_1B_011705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSALARAGRAANEAVSFVVFMVLDVLEVLLCVVYKVADYMVEGAWRPCYCSRSSSAAGAATGKIVVSERGGSKVVSMLSATRLHLEDISDTLYTRPSVLACAAASSSSSPRLGGVLARAAQPPSGSATTAVTVHSAIVLMLRGKVGVDGGGKHRPYPSPRWSDCHCANCNPADTDRLFVHVEAPPEGAAAPEEDVLFIHGFISSSGFWTETVLPNVSRDARARRRLFAVDLLGFGRSPKPADSLYTLREHVEMIERSVIDRHGVRSFHIVAHSLGSILALALAVKYPAAVKSLTLVAPPYFPVPRGEVGTQYVLRTVAPRRVWPTIAFGASVACWYEHLSRTVSIVLCKHHRLWELAFRVFTLYRVRTYLMDGFFCHTHIASWHTLHNIICGSAGKIDECLEIVRDQLTCDVTVYHGRDDELLPVQCSYAVKSKIPRAEVKVIDGKDHVTIVVGRQKDLARELEEIWDRKRPSNT >Et_2B_019388.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26762510:26763109:-1 gene:Et_2B_019388 transcript:Et_2B_019388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NRSASASHTTWPGRRQLGKASRASERPRPRGLYMNPPRPRPLLSFAANRLSQAITVTLTQIKQSQQQAEARVKNTERERERDMRPGRDVGGGVAVDVMGAGAGGRPSLWRTPTPYLFLGFAFMMGLIAVALLVLICTRRKPSRSDEEASASSSVRVLVPLDREPKVVVSMAGDHAPSFLASAKPLAAFVPVAEKADAAV >Et_4B_036031.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:14708666:14709151:1 gene:Et_4B_036031 transcript:Et_4B_036031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGAAGLRAAAAVLVLAMLVLPSQGHPPKKPLCSDCLSLCNINCSAVIDAACNGTCSPPVAQCDSCKSQVLRGCCQDFCRSSNGTSSISSCCPSDCISGDCPTCSCDNCKSTVEKNCGFACSMHASDRLRCDFCKNGGARDCFPPCISACNNHCVKKKHC >Et_2B_020885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24544452:24553514:-1 gene:Et_2B_020885 transcript:Et_2B_020885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTVRKSTQKPRLVKVVVHTPPHPYKSCRQWRRPTSELESPQAPTAMASLGGSSSGLWSALGQASNVAQLVGVDALGLVSMVVQAALAARRNRDACRRLAQHVEVVGVLLRELELAEIMRREATRRPLEQLRGALRRCYALVTACQDCGYLRGLLVGARLADELRAAEQEIDMFIRLIPLIALVDTTHNDRVTVRLFPRSVSDFPEIHVQGATKLCSVAKQALAGAIDLGEQKNPDIEELLELCARTEESCLGFKKFEFYQIVNATDNFSENRNIGCGGFATVYKGLLPDGRTIALKRLDEHATIFDFRNEFLLARLRHTNLVRLLGWCIHGKERILVYEFIVNGSLHHFIFDKRKGLLLNWSKRLNIIKGLAEGLVYLHKNSKLWIVHRDLKPKNILLDSNMIPKITDFGSARTLSSDVAEERTSRVVGTSGYKAPEYASRGVYSVKTDVFSFGVLSLAIISGRKNTILEQQGDTVGSLVRDAWRLWKDGRLHEFVDPILGDGYKFAEMMQCARVALLCTQEDPADRPTMSDVVAFLNFESASLLPDPKQLSELINGGAAGDKLSTSVCQSSRTIDITITSSAPVSTRVRIILDSESVSLAGEAMASLGNSSSGLWSALGQASSVAQLVGVDALGLVSMVVQAALAARRHRDACRRLAQHVELVGGLLRELELSEVMRREATRRPLEQLGGALRRCYALVTACQDCGGLLNRLLLGARMADELRAAEQEIDMFIRLVPLIALVDTSHDRRAKATDGVPSVVASCSNLCSRIPSSAVELTNVSVQGAAVPCKQLIEGTVEKEVMDVEQLVNLCTRTEASCPGFKKFDFFHIVEGTDNFSAKRIVGRGGFGTVYKAQFTDGIVVAIKRLDEHASDFDSELQLASLHHINLIRLLGWCVHGKERILVYEFMHNGSLDRIIFDRAKAALLNWYKRFQIIKGLAQGLVYMHKNSLLWIIHGDLKPNNVLLDHDMSPKIADFGSARTLSSDIAEGRTTRAVGTRGYMAPEYATRGLHSAKIDVFGFGVLALVLISGRKNCISEQQGDTVGNLARHAWHLWNDGRLHELVDPLLSDGYEIDEIVRCAHVALLCAQEDPADRPTMSEVVALLNFESKSILLDPKPPSELIRRGASDDKLLTCAGQSSRTIDITITSSTPVTTRVRIIVEPGT >Et_9A_062459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23133195:23135771:-1 gene:Et_9A_062459 transcript:Et_9A_062459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSQLDDETVRGMAIGAVFTDYVGKINCLDFHRKEDLLVTSSEDDSIRLYNITSATLLKTTYHRKHGADRICFTHHPSSILCSSRHNLESAESLRYLSLYDNRCLRYFKGHKDRVISLCMSPVNDSFMSGSLDHSVRIWDLRVNACQGILRLRGRPSVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVSDIKFSNDGKSMLLTTTNNHIYVLDAYGGDKRCGFSLEPSPNVINEAAFTPDGQYVISGSGDGTLHAWNINTVQEIACWNSHIGPITALRWAPRRAMFATASTALTFWIPSQPNSN >Et_3A_025700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3270924:3278471:1 gene:Et_3A_025700 transcript:Et_3A_025700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFHVYEAIGRGSHSTVYKGRKKKTIEYFAVKSVDKSQRSKVLNEVRMLHSLDHANVLKFYSWYETSAHFWLVLEYCVGGDLKGLLEQDKKLPENSIHDLAYDLVKALMFLHSQGIIYCDLKPSNILLDEFGCMKLCDFGLARRLKDIEKTNPGDVPQPMRGTPCYMAPELFREGGVHSYASDFWALGCVLYECYTGRPPFVGREFTQLVKSIISDPTPPLPDNPSRSFQNLIDCLLMKDPAERLQWSELCEHNFWRTSIPMVSLPPQPAFDNMVELSATPYLAERNGDKPSRQLTPPKPREYSGHRRRDENSTKALMTPVKNVQSGKKNGVKPSGKADGFKGVNILRMSRIAKVNLQREKDKENYRRPTETSENETEVKIENNDMELDFCENPEADAPEDSDGLDNPGSIANEKSQAADGNEENQIDILNDEGSVKSDTMTKTEHLDVATPPSICMRKAQRAKVVPIAATGSEPSNINEAFWHPTDLAVKPVMPSKKADKAVDTVPMLPFAALTASDYIKLPQEQMNAFNSQILQSLSGTFQVSEKQNTIRYLEMLSMNSDAANKITNGPIMLLLIKMLRLSKSPVLRVQIASLMGLLIRYSTALDVELASSGIFNALSDGLRDKHDKLRRFCMATLGELLFYISTQSDQDTKETNAQESPLKDNKAASSWQVPSAVISLVSSILRKGEDDLTQLYALRTIDNICSQGTEWTSRFASQDAIGHLCYIYKAPGKQESTRFIAGSCLSRLARFSPSCIHLILEKDDFQMTLLRVLEAATEEPSVILDEHKIFTSRILPSLSILYKGNKDGDARFLCLKILSDVMIVIFSDSSLTADEQVNANLKLISQKHFLPLYPSFAEDEDPIPIYAQKLLVMLMEHDCVKVSDILHKATVSQCFEFLLGDLSNANVSNVKLCFALASASEMDTHILSQLQVVRRLGTLLDSDPQISDLASDCVVLLLKAAPREATVGLLTNLPKLSAVLDLLKHDSCLLLTRLLYGLAFSCRQYLAQGMILSISVSALMRVEALVSAFKVSKDSRLAEAASYLGAELQRLPRC >Et_3B_028212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11903012:11910777:1 gene:Et_3B_028212 transcript:Et_3B_028212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAALHSLPAVLGAGRRRGAKRRAAARLALRASSVDTAAVAVNGGEVVKVVEPKKKTEEDNVKEGAVAEKEEPRGLQALYDDGFGGATVKDYFAAARAVSRDDGGPPRWFSPVECGRPAVEGAPLLLFLPGTDGVGMGLILHHKSLGKVFEVRCLHIPVNDRTPFEGLLRVVEKCIKHEHDVSPNRSIYLLGDSFGGCLALSVAARNPQIDLVLILVNPGSNIICEDFVAANTAPFGSNTKRISFHSDPLKMASVSIENNLSPPESLQILADSLTSMLPLLSELADIIPRDTLLWKLRLLKTGAAYANSRLHAVQAEVLLLASGNDNLLPSGEEADRLYKTLKNCRVRYFKDNGHTLLLEDGMNLLSVIKGVNMYRRGRQRDFVTDYLPPSLSEFKKTFDEDHKLFHLALGSVMLSTLKNGKIVRGLGGVPDQGPVLFVGYHALMGIELSPLYEEFLREKKRIVRGMAHPVLFGANFESSRQEISRFDTVSMYGGLPVTPINMYRLFERNQFVLLYPGGVREALHRKGEEYKLFWPDQPEFVRMAARFGVTIIPFGCVGEDDVLELVLDYNDQKNIPFLREWIESINKETQRQRMRDSVKGEEGNQDMYLPALVPKVPGRFYYLFGKPIETNGMSDVVRDRKSANKMYLHIKSEVEGIMSYLKRKREEDPYRSITQRALYQATWGVSAEGYKEFDLIEWLARRKYNVYLPALVRRQRCE >Et_5A_042220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7735025:7737483:-1 gene:Et_5A_042220 transcript:Et_5A_042220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLRVNCIPIPEKVAQVQQEWDWEEEEETDQEAKEEVELPVCERATGMTLFLGEQWRSCLKLAIGSFAALKDLVIEQTSMEARALEALVSVQCPFLNNLKLCITLVASSNVSLQLSHVTIFSCWKNMPARGRRADSGRTGYSRDIEAHTISAPKLAEFFLDGARAVYYPCRHDQLVDTERRLRLLDAGEDTSPSVLQMFDKVDELHLVINAYRRQLYGSTSFVDNTTKFPRCETLRILWISYAQYHRGFTPTMLHLLRKCNSIKKFTVKYCHSGDNMGRPSRSLKTSDITLDLLEEVEIEWTSGSFQEIGLFVKYLSKCSATLLEKVVINCTSSNTTPPTKKACQGLKRMCTPNFVVEFNVTNSSVEVN >Et_2A_015526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14520174:14520429:-1 gene:Et_2A_015526 transcript:Et_2A_015526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPVRFDRNNTKIIETVKLTFFRVFAREPSNYMKHIATSEKERSPGRFDRNNTEITETCPGQLENCPL >Et_5B_043127.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8339407:8339679:1 gene:Et_5B_043127 transcript:Et_5B_043127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAAALASRPEVGSSMNIIEGFATNSTAIVSRFLCSVDNPLTPGRPTKASRSTSSSTSDITSSTNICIKISFIRETSACNPKEISIMHKF >Et_1A_008800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13890024:13892828:-1 gene:Et_1A_008800 transcript:Et_1A_008800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSFHLPLVPPPVGVPDPILTEEQLEASESDDILPAILEAIKSNEKAVELSPEEAAWADSCFVKTSELSEDDWGAMRNALLDSLEKPMESPYDQEAIHAISEGEPHSAHAEKVSKQEDVHMEQRSNSDDEKDSTEACEIADVIRGADERGKQMDNHATKPEDGDEMISSGVLEETESRDSLFKVWDLELSFSNDDDGELELIKDLKELLKDKESSPEAIYPPPSSDDAMKPLSQISMDELVAGLSDLSIQQSNE >Et_4B_038915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:598646:605490:-1 gene:Et_4B_038915 transcript:Et_4B_038915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDAARKRRPDPSCCVLGVDQLSALPDWVIHHIMSFMKARQVVQTCVLSTRWTHLWRSVPSLDVDEEEFKAADANRDTSSEEWEKFENFADHLLTNNISISHLDTFRLHVTRNSMYCYGRGEIAARWISHSVKYSALAPGIQREGFICRSRRLRRLHLSHVILNDGFGKHVSSWYQYLEDLELNGCSCKFREIISHTLKSLSTFCDHIVHSLVIDGSNNAHPLVIPAPAATCLLLLAMRDYSVCSGISIKLMSSLVKASIDIEINNWTAKGTLGSNYREFLNGLTNVTTLELSGFNMMVDPDVEPVKFPIFKNLRSLLLDKCDLSNNFQLLRHFLHSSPNLEKLTVRCCTLRKKYTRKGEVDLKKRSSQFHYPQLKSTEIIYKDGDKILDMLIQSMELDATRKRIRAASCGDILAVDRLSDLPDCIIHHIMSFMKARQVVQTCVLSTRWTHLWRSVPSLDVDDEEFKTADANGDTSSEEWEKFQDFADHLLTNIISVAHLDTFRLQETSRYSYSRSETAARWIRHAVKYSAPAPGIQREGFSCRSWRLRRLHLSNVSLNDTFAQHITSGCQYLEDLELKDCSCKFREIISHSLKSLVLKSCCGSQLSLITSSTLESLVIDGCDTAHPLVITAPFVACLLLLNMRDYSFRSGISINEMTSLVKASIEIRVGYWTAKDTQVKNYRKFLNGLTNVSTLELSGFKAMVAPNVEQVEFPIFENLRSLLLDKCDLSNKFQLLRHFLHKSPNLEKLTVRCCMFVTGHLNEYLCWKQHRKGSAKRKGKVDLKKMSSQLQNLVDFQHLQLKSTEIIYKDGDNILDMLSALLDISDYVPKNTITLTKI >Et_3A_024473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21033280:21039283:-1 gene:Et_3A_024473 transcript:Et_3A_024473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTVVLYPGLGVGHLMPMVQLAKVFVRHGVALTVVLVEPPFTSADFSAVVAGATASNPSITFHVLPPPPPLSSAAADSTSSDNIAKMFHFLAAMNAPLRDFLVSLPAVDALVLDMFCGDAFDVAAKPRLPAYTAYASGAGDLAVFLNLPSVRASMDTSFAELGDSVLSLPGAPPLKASDLPSECSDDSEGGKAILRMFERMTEAKGILINTFESLETNAVRALRDGLCVPNNATPPVYCIGPLVSGGGGGKEHEYCLRWLDGQPDHSVLGHILPKKQLEEIAVGLEKSGQRFLWVVRSPRSDDHKFGEPLPEPDLDALLPGGFLERTKNRGLVVKSWAPQVEVLQHRAAGAFGTHCGWNSTLEGVAAGLPLLCWPLYAEQRLNKVFIVEEMKLGVEMRGYDEELVTAEVVETKVRWVMESEGGKMLRERAAAAKRAGNKALKEDGSSHAAFVQFLKDLDNIIPRELSHSCSLCFLSCSPTSHMDNGGAMKQTVVLYPPDGVGHLGPMTQLAKVFLEQGYDVAMVLLEPPVKATDSNTSFIESAVASNPNISFHVLPKVPAPDFASSTKHPFLLKLDMMIQYNEYLESFLRTIPRERLHSLVVDMFCVHAIDVASKLDLPVYSFFTSGAGVLAVLTQLMTLLAGRQTGLKELGDTPLEFLGAPPMPASHLIKELLEHPDDEVCKAMMTIWKRNTETHGILINTFESLESRAVEAFRDPLCVPGRVLPQIYCIGPLVDKVAPNQVKAERHECLEWLDAQPERSVVFLSWGSKGALSKQQLKEIAVGLEKSGQRFLWILRTPSGADELKRFLEQRPEPDFDVLLPEGFLERTKDRGLVLKSWVPQVEVLNHLAIGAFVTHCGWNSALESIMAGVPMLCWPLRSEQKMNKVFMTEDMGVAVEMAGYNTGFVKAEEVEAKVRLVIESEKGRELRKRAVELKKEAEEALEEGGSSQTAFSQFLEDVKKIVNSA >Et_8A_056586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1371073:1374744:1 gene:Et_8A_056586 transcript:Et_8A_056586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRQMDLPLQHTCVCRAGGVTVQDAVVDVPAGPLQDEAWLRAALLHRLQGSGCLSFLIVVRAMDELVLPTSSTSGPPSPASFFSADDSQVLEFLSSEVPEQWLLDDDDLLQDQQPQGHAAAWGADVGSDLSGGIPQEAAPRRRGRRPMPRSADGPAVSHVEAERQRRDKLHRRFCDLRAAVPTVSRMDKASLLADATAYITELRARVEQLEVEVSQAAAWKAAARPAVAAGEAAAAAAASSDHIAAAAGLEEEEEKLEVRMVGSDAASLRLTSAAAARHGHAPARLMGALRALDLHVQHASVCRLGGVTVQDAVVDVPAALRDEATLRASLLHRLHNSSS >Et_10B_003788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6097672:6098752:1 gene:Et_10B_003788 transcript:Et_10B_003788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIQPLNPGRLATVATTSTTPSAGAVVVHRTEDMVAEEQLGADVVDRSEEMPDWAGLLPELISKIADPVLDGDVADYIHIRAVCTASSTIDPRGLLHPRFFPRNWLMLQDDDDSDDEDAVAPAPAPEEDADGTRRFVNILTGAKLRVRLPPAAEYGNVVTTGGAEGLLLLHCERTGTVRLLNPLTSAMAVLPGLRVLAVETRYVVARRYPPSSSSGGLSEVKRITARFISAGVIFDDGERHAVLLVVFGRRTLLYVNPGDDGWGTVDISCVLGDRDYYFHGAISFRGQFYAAA >Et_3A_023231.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:12644227:12644310:1 gene:Et_3A_023231 transcript:Et_3A_023231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTKVQVPEFWEQLSDTGFDAKLQDL >Et_3A_023280.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:17557606:17558047:1 gene:Et_3A_023280 transcript:Et_3A_023280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYFILPDGGAKTYSRRKTLSPIVVDASTYGLMQLVNHIAEHCMWGSKQYISLWRQSEHDDDVRFLIKSDEQLLQ >Et_3B_027681.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:12154823:12154903:-1 gene:Et_3B_027681 transcript:Et_3B_027681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARGGPAVALAACTFVYVSLQETKG >Et_3A_025665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31772838:31775946:-1 gene:Et_3A_025665 transcript:Et_3A_025665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMEAEEGPFLANDAKLHAGMCRAFLPAVSKLSAIFPFIEASRPRSKSGIQALCSLHVALDKAKGLLQHCADCSRLYLAITAETVHLKFEKARSQLQESLRRVESIVTEDISCKIVEIVGELEEIVFTLDQSEKEAGDEVINLLQRNNKVNGSSDSGELEVFHMAALKLGITSSRAALTERRALKKLIEKARSDEDKRKEFVVSYLYNLMRKYSKFFRSEAGDDTDSQGSSPCSPTVLGMDDMYGPYANGRSFSRQLSNIQSFNSRFGSFNSRLGSFNCRRGGPRSENMSVPPEELRCPISLQLMYDPVIISSGQTYERICIEKWFNDGHSTCPKTQQQLAHLSLTPNYCVKALIASWCEQNDFPVPDGPPGSFDVNWRLAFSDSEATGCVSLESFDSTNVKSVVVPMDNARKEEPANSESGTLDDSSCCDFDINEGYGNLLLLLHEKSNILKQCRLVEQIRYLLKDDEESRIQLGSNGFAEALVEFLRNAVNEGNEKAQEVGAMALFNLAVNNNRNKGLLLAAGVVNLLEQMISNPHLSAPATALYLNLSCLPDAKEVIGSSQAVSFLVDRLCSDDASDTKSSSCKHDALYTLYNLSNHQASVPSLLSAGIVDALHCLLTESPVSEGLGWTEKALAVLISLAATQAGRKEIMSTPGLVSSLATLLDTGEPTEQEQAVSCLLVMCSADDKCIAPVLQEGVVPSLVSISAAGTGRGREKAHKLLKLFREQRQRDAPPQQPQPQQQMAETGNGAIVCHRESKPLCKSKSRKLGRTLSSLWRIRGFSLYQC >Et_7A_051315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16921697:16925749:-1 gene:Et_7A_051315 transcript:Et_7A_051315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTTPRRKSVPDWLNNPIWAAPAPAPRPRSPPRAPSPPPPPLPVPQPPRDPTPPTPPPQAAAHDSDDSDEGDDAGAAGPSRTHLIAEFKAALERKVVDLAELRRLACQGVPDAAGVRPVVWKLLLGYLPTDRALWPYELEKKRSQYTAFNDELLVNPSEVTRRMEEMAVSKREEQNAEGTGVLPRAEIVRDEHPLSLGQTSVWNKFFQESEIIEQIDRDVKRTHPEMQFFNGDSSSALANQESLKRILTIFAKLNPGIRYVQGMNEASAEPDAFFCFVELLSGFRDNFCKQLDNSVVGIRSTISRLSQLLKRHDEELWRHLEVVTKVNPQFYAFRWITLLLTQEFKFRDCINLWDTLLGDPEGPQATLLRICCAMLILVRRRLLAGDFTANLKLLQNYPPTNIDHLLHIANKLRGPLPY >Et_5B_044621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3990938:3998241:-1 gene:Et_5B_044621 transcript:Et_5B_044621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEQAILLASDSPAAAAASPSVRAEALAFCARVRDESPPSSLLRLCLSGLASSPHAQVHFWCLQSLHDALLRRRLALPDDLALLRSSLLSLAASSYSASPPFLRNKLAQLLALLIRLDYPHVYPSYFLDLLPPSPPQPGPTDMFARVIISLDDDLLSQDYPRSAEEAADAMRVKDSMRAQCVRQIAGHWHAAASNLRTADPAAAAVALDAARRCISWIDVGLVANDVFVPLLFDIAMSPGSAAPLAAAAVGCLSAVAAKRMDLRAKVALLRSLLAAQQGLGSADSGLKMAPLVTTYAAEALECYRRLGSSDADGAAALEMLEEVLPAVFAAAESCDDDEVDSGSVLDFLSGYVSIMKAPSEKQLGHLGRILEVVQVKMSYDPVYRGHLDVLDKIGKEEEDLMAERRKDLVALFRSICRVAPAAVQLFIRGLLVTSLSSAEASVEDVEVALTLFYRLGEAVGEDEIRAGSGLLAELVSMLLSARFSCHAHRLVALVYLETVTRYMKFMQEQVQYVPHLLGVFLDNRGIHHQNPHVSRRAGYLFMRAVKLLKAKLVPYLDTILQSLQDVLGRFTSMDWTNDNTKFPSSEDGSQIFEAVGLLIGIEEVSPEKQAQCLTALLNPLCRQIESLVMDGKAQGLEESSPRALSLQQIIVALNMVSKGFNERLVMGIRPAIGLMFKNTLDVVLQVLVSFPNVKPLRSKVVSFLHRMIEILGISVLPCIPVALRQLLLDNEAKDMVEFLVLVNQIICKFNSSASNIMEDVFPTVASRLSMILSQDAFSAGPASNTEEMRELQELQKTLYTFLHAMALHDLSTILLAPSCRQYLETIMQVLLFTSCSHKDIQLRKACVQIFVRLVKDWCTNSEDKLPGFRVFMIEKFATGCCLYSVLDKSFDFRDANTLLLFGEIVMAQKIMYERFGEDFIVNFVAKGLPEAHCPPDLAEQYYQKLQGNDIKAFRSFYQSLIEKIRQQENGSLMYTAGIFFPSLATMAKFSY >Et_6B_048868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14829999:14832334:1 gene:Et_6B_048868 transcript:Et_6B_048868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSDDKSVHLLDLPGAVHVPQPDNSSEPVGSIGVNESIGNEGSTSFDHAEQTDSEPAVDEDSLANAQKGGVEQHSNENNGDKQENLEITAMSDGTSMTSMEDSLDQKNCLPSDSEDISNCTPDLSNGKSSNRNKTVFQSAKSALTAKKAKRTSSASTRKPLQSTNRSNQDDQNASTLTNLKMSTGKPTVPSGPVFRCTERAEKRREFYMKLEEKHQAMEEEKIQLEAKLKKEQEEALKQLRKSLTFKANPMPSFYHEAPSPKAEYKKLPTTRPKSPKLGRRKTTAMETSNSSSESDGAATVSRPCCRASRDGGLGSNCKCSGKTQASNAKPAVAKKRQQQPKHGVHESAINIAVH >Et_4B_038646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3723963:3725563:1 gene:Et_4B_038646 transcript:Et_4B_038646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSLNRPIKAEPAAGGCAQGNRIRDMSAGWTDERHMMYISSMEASFVDQLHNHGHHSHRANENGFKVLREGVWEYIKYEKSNDYARSRTRYRLPASPWIQHFRPRHCSSNAQSDGLEDSEGDHESGTQSNRKRISVCHGRKKEACNGENQLRGETTEVSDQNFADDELEVDAESSTGCKKKRSSISSTELQNDQVNSRDQLP >Et_2A_018067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9868345:9869977:-1 gene:Et_2A_018067 transcript:Et_2A_018067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKQTICMNPGEGETSYARNSKFQSAEQTRMKPLVEGAIGELCSTTRSSLPSSIVIADLGCSCGENAIALLSTAVNAIHHQHVQLQRPPPELSLHLNDLPSNDFNDVVKHLTAFQDRLNGDNGKDVFSPLIRTSIVPGSFYERLFTARSVHLFLSSASLQWLSQVPEDLVKNGIPMYHAEDNLWQKMRPAVLNAYARQFRKDFMSFLGNRAQEMAPGGRMVLSLPATHSPNLADGSTQPWEFVARVLDDMASRGVIDKERIKTFYIPVYTPYEKEVKEIIDEQGSFSICKLQVHDSLSGVNKALINPKMIAYTFRAGFEPIIVEHFGSSGEILDEFVRTAEQHISVANLQDKPAVFLAVSLSKK >Et_2B_019983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15827482:15830736:-1 gene:Et_2B_019983 transcript:Et_2B_019983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTMPALARALLVTAMAAAAADALSLDVHHRYSAAVRERTGHSAPPAGTAEYYAALAGHDLGRRSLASGAAGGEVAFADGNDTYRLNDFGFLHYAVVALGTPNVTFLVALDTGSDLFWVPCDCVKCAPLTSPNYGDLKFDVYSPQKSTTSRKVECSSNLCDLQSACRSASNSCPYSIEYLSDNTSSSGVLVEDVLYMITESTQQKIVTVPVTFGCGQIQTGSFLGSAAPNGLLGLGMDSKSVPSMLASKGVAANSFSMCFGEDGHGRINFGDMGSSDQQETPLNIYKQNPFYNISITGAMVGSKSISTKFSAIVDSGTSFTALSDPMYTEITTSFNSQVQDKPSQLDSSLPFEYCYVVSAKGPVSPPNISLTAQGGSVFPVNDPIITITDTSSNPIGYCLAIMKSEGVNLIGENFMSGLKVVFDRERMVLGWKNFDCYSMDSSSNLPVNPNPSVPPEPALGPNSYTPEAAKGASPNGTQVNVLQPSASFSQQEHFSTNLFVAAALLFLAII >Et_4A_034991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8559144:8564317:-1 gene:Et_4A_034991 transcript:Et_4A_034991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRSAVHRAVEASGGPSSLLTRTVRSSLGTVVHHAGQAVVGGARLITGNRTYKSVKLTAKRLEDAALSCRGEERVQLLRRWLVALKETQRATTGTQEPQPGDDPDQAAALIDLYVDYETGSEPVDFLHVFLYSEALEMCLSGGKDVHSTILGSIKDLASLFSSYHDEVLAKRDELLEFTQGAISGLKINAEIARLDEEIVQLQKQINAMDARRASSARNPNKIYQTVTEGFKNAVAEARLCARMEELVDKLKILSESLANSSARAEKRIMENRLQREESLIFRVTKTKEVSGIEKELVAEISGLKKQRDQLEEELRKVNTKLNAVTVKLKKTKEERDQFDEASNQIVLHLKTKEDELSRSVASCKVEASTVSAWINFLEDTWKLQSSNEELMEKQANDELDRCGVCFTKLIKHHVSACMEDLSTSIDHIKTCVDNLKIFNERSISAEDGDNGSSKQSNPRKYLEQEYLETEKKVVAAFSLADSVRALFCSDDEGRARRDDPEVKNLFANIDKLRIDFESVPRPVLQIEIKEQEERTRQPRSFKPSISPNHSRCDSPMAIAPQLRTRLPSESDSEMGKFDQDYKADEISGWEFDELEDEPSSGFP >Et_6A_045987.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:15967104:15967217:1 gene:Et_6A_045987 transcript:Et_6A_045987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLVVQMFYSGYGVPKNEQKVWYAGCPALFHADVLI >Et_6A_045975.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:14770792:14771217:1 gene:Et_6A_045975 transcript:Et_6A_045975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDTWTPTRWQCLMSSPTPASGWPERSRSYLPRAASTLRKDMTAAVYVPVSQASRREPSSLCRPQNTVTCPVRFSRTAEVDGVAARKQIATERRTSETLRYVSAIVGYSTSAQARARAGACIYGSGIVKSVCILDERSIV >Et_9B_065989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19321495:19321942:-1 gene:Et_9B_065989 transcript:Et_9B_065989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPQPRHSWGGADGDRKQLAPWKKLAIAALRLRAAIALATRPARLLAALRDAYVDAMLALAGGAGRPCAALARSRSCAPAEAGLLARRVPRARTRGSSSYFERRMMAHIYSVVVTPELPCAGKA >Et_6A_047240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2819911:2823977:1 gene:Et_6A_047240 transcript:Et_6A_047240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAALVTEPDSGAAIAAAAAAGSFSSLRAYGRALAQTPRRLARRACAATAPGEELSRVRARSGPRMARALRWHDLVGLGLGGMVGAGVFVTTGRATRLYAGPGVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSKWRIAVPGLPQGFNEVDLVAVGVILLISVCICYSTKESSSVNMVLTAVHVAFILFIIVMGFVHGDARNLTRPADPAHNPGGFFPHGAAGVFNGAAMVYLSYIGYDAVSTMAEEVERPERDIPAGVSGSVVLVTVLYCLMAVSMSMLLPYDAIDSDAPFSGAFEGKAGMKWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPSWLAKVHPRTATPVNASAFLGALTAALALITELDILLNLVCIGTLFVFYMVANAVIYRRYAGDNGSQRRAALAFIVAFSLVAVCFTLLWHLVPSGHGGHGGVVKAGLLAGCGALAVAVVAAFQKLVPQARAPELWGVPGMPWVPAASVFLNVFLLGSLDRASYVRFGVFSGATVVVYVLYSVHASYDAHEGGGGGGGAKVVDEGCVV >Et_2B_021054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26015869:26024212:-1 gene:Et_2B_021054 transcript:Et_2B_021054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLYPFSTCVPPPRALLRRLSPPPPMAAVAPPLGRAVTLLPSVGALDLPPLPPPATDDFHWLDLFAFLNSPADSYQIPQQDEEELYADEGMELELEFELEKVAEMERARERARKAQHRRLRQRQVKAETEAWARAAEEYREIEREMLDRRLAPSLPYVKSLFVGWFEPLRDAIARDQEVQRGKRVKHVYAKYLLLLPADKVAVIVMHKMMGLLMSSKDGSGSVRVVQAAHCIGEAVEREFKVQAFFQKSRRKGRGNNQGETDPSLEKEQAKCRKRVKSLVRRRKLSEAQKLVQQEIELEEWGTEAQVKLGTRLIELLLDSAFVQSPADQSPDSSPDFRPAFRHILRQPIVENGKLKKKHWVIECDPLVHEGFESTARHVDIPYLPMLVPPRKWTGYDKGGHLFLPSYIMRTHGVKDQKDAIKSVPRKQLRKVFEALDILGSTKWRVNRRVHDVVEAIWSRGGGIAGLVDKGNIPLPERPESEDPDEIQKWKWSLKKTKKTNRELHAERCDTELKLSVARRMREEDGFYYPHNLDFRGRAYPMHPHLSHLGSDLCRGLLEYAEGRPLGKSGLCWLKIHLANKYGGGIEKLSHEGKIAFVDNQLPDIFDSAANPVDGNNWWMNAEDPFQCLAACMDLSDALNSSSPHRAVSHLPIHQDGSCNGLQHYAALGRDYMGAVAVNLVPGEKPADIYSEIAARVLDVVREDAMKDPATDPTASIARVLVDQVDRKLVKQTVMTSVYGVTYIGARQQITKRLQEKGLITDDKLLYDVSCYATRVTLDALGQMFQSARGIMAWLGDCAKMIASKNQPVKWTSPVGLPVVQPYKKYKNYMIRTSLQCLALRREGDAIAIQRQKAAFPPNFVHSLDSSHMMMTAIACKEAGLHFAGVHDSFWVHACDVDQMNQILREQFVELYNMPILENLLEEFQTLFPTLKFPPCPPQGNFDVREVLTSTYFFN >Et_6B_048696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12703566:12704367:1 gene:Et_6B_048696 transcript:Et_6B_048696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKRLHFSEGRPKSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAELTEILFF >Et_10A_000623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1381963:1382499:1 gene:Et_10A_000623 transcript:Et_10A_000623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPALRPPRKSPDPDDNPPTLAPPVQQQHVPAPERAPPAGHLQHEHAEREHVGRRRRLARAHELRGEHVAGLDVPVEDDLVPVLVQVQQAGRDALDDAEPLLPAEDDALGVVEQVLVEAAVGHVLVHQEQLAHAPAVAE >Et_3B_028145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10943403:10947890:1 gene:Et_3B_028145 transcript:Et_3B_028145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQPQTVGENYANPKTCFFHVLFKAAALAFYILSALFVNNFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEINDEGESVWKFECLDAESLARMNKKDSWLFWWTLYLAAAIWIVFGIFSLIRLQADYLLVIGVCLSLSIANIVGFTKCNKDAKKNLQDWTRNALLSEGVRSRLQSAFGFHFPTIPFLEKLLALQMPSTVIFVHPKESP >Et_4B_039549.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21633834:21634901:1 gene:Et_4B_039549 transcript:Et_4B_039549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPLFVLLLLVLCSAAASLPTYREAPHFANSAAAQCPPPLPASDADAACSPHAAVHVAMTLDASYLRGTMAAVLSVLRHASCPESIFFHFVASSASPDSTTATPAELRDTVRASFPSLAFRVYPFADEARVAGLISTSIRGALDRPLNYARSYLASSLPSCVARVVYLDSDVVLTDDVASLAATPLPEDTAVAAPEYCGANFAAYFTPGFWASPALSSSAFAGPRRGKPCYFNTGVMVLDLPRWRRAGYTARIEEWMELQKRVRIYELGSLPPFLLVFAGRIAAVDHRWNQHGLGGDNYRGLCRGLHAGAVSLLHWSGKGKPWDRIDAGKPCPIDAVWAKYDMLRPAAGIESS >Et_1A_009450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5858325:5861004:-1 gene:Et_1A_009450 transcript:Et_1A_009450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGDAMERGERAALLLPESHGATIQEEDGLQVPLLKEKKRSRSKAPLVVLVFECLESTAFNGIATNLVVYLETVLHGSNLASASSVTTWIGTSYLTPIFGAIIADTFWGNYNTILASLVVYLLGMMMVTFSAFLPTATALGGSSLFGPQTVAFAGLYLVAIGSGGLRSSLLPFGAEQFDDDNEADRESRASFFSWFYLCVDFGMIVSGLFIVWVQQNVSWGLGFGIATACIALAFAAFVLATPMYKRRMPTGTPLKRLSQVVVAACRKMSHALPADAAMLHEVSDKAEGDATLQPKIAHTGEFAFLDKAAVVSESDLEEMMSEAADGCSSSWRLCTVTQVEELKILLRLLPIWATSIIVSAAFAQMNTTFIQQGSATSMSILSVPVAPASMTSFEVVCVLAWVLLYGKVIVPALRAFSSSSGDGAEPSQLQRMGAGRLLMALAMAASALVEMKRLGSAARGEEISIAWQVPQYVLLAGAEVFCYIAQLEFFYDEAPDTMKSTCTSLALLTVALGSYMSSFIYAVVAAFTATPDSPGGWIPDDLNQGHLDYFFWAMAAMCTLNFVVYSGFAKNYKLKTVLS >Et_3B_029017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21464680:21468900:1 gene:Et_3B_029017 transcript:Et_3B_029017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMDGSFMSSWMALVALVLHLAVYGCSAVNLEGSALLKFQSRVEDPHGAMAGWSPRDSDPCSWNGVGCVDGRVVTLNLKDLSLKGTLGPELGTLSHLRALVLSNNMFSGPIPKEISTLALLEILDLSSNNLTGEFPQEIAEMQSLKHLFLSSNSFQWPLIQNSYGNIDQENSFDIYDNRERDNMNRIPGHGFESGSSSEENRKDTRNLYAQIPSQFAARNPDMQLSQRRLLQDSNLAAPSSGNVPIVADVPSTGSGSFSAFSPNNAPEPAANPPTIPSVSPPTPTEVPKGRSINWIYAIVLPLILLLLIGIVACVVLLCRSKSVKTIGPWKTGLSGQLQKAFVTGVPKLQRSELEGACEDFSNIVVSYPRYTVYKGTLSSGVEIAVVSTMITSSKDWSKHSEGRFRKKIDSLSRINHKNFINLLGYCEEEEPFMRMMVLEYAPNGTLYEHLHVEGFDPIDWNGRMRVIMGVAYCIQHMHDLTPPIAHPDLKSSAILLSEDGAAKIADMSVWQEVYSRGNMPKNDYFVDHHEPAAADPAGNVFSFGLLMLEIISGKPPYSENKGSLTNLALECIRDNRSMSCLLDPTLNAHKEKDLEIICELIQDCIQSDPRKRPSMREVTTRLREEFRQRQQRRGYLHFGGQSLRSFQLKEAREN >Et_2A_017755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5915025:5920163:-1 gene:Et_2A_017755 transcript:Et_2A_017755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKPNGVAKAKGSPAGAGSSVAVPSKVNASSPGSVKTSRIIKKRIVKVKREKATAVAAAATEELASVGAGTAGGDALASVSTPQLAAVDEASPVAQAPKPATDAEGSVPALTSATVEASASAEKSKPADTDAAAAASSKGKGVAADNSGADGKLKNRKERPKERAMNGKGKQVEEDRGRRGKGNKAVGKKEARGDNKGAGFIFMCNAQTKQECYQNRLFGLPNGKLGMVKKIRPGAKLFLYDFDLKLLYGVYKAASNGGLNLVQEAFNGKFPAQIKFKIDRDCLPLRENSFKNAIKENYRSKSKFDPELSLKQVHRLIELFEPANVPRSVPDNRREERRHYEERRQPYHFEERRSSLPMEEVRQPRFDEPRHPAVHVPVEDPYMAPRFAPLPAESPLGRSLANVQDDHHIYYQPAHLVAEPRHIPLALESCHAPLVLERQHVYSVPELHHVPSAYYHSVAPSDDSYYRAVADLGPERYADRTVTERSARDPVITRDHTTLPGESARTERLEELYRSGEISRGAHMEELYRPRERAVRADHAGISTRDDRLELYRSEHLVTRAVDLPRHSTYITSAYEANPAYAEPSQRSVSARANASGAPVSSLYSFSGGPIYRTSCVGKKIVL >Et_4A_035159.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:10093378:10094016:1 gene:Et_4A_035159 transcript:Et_4A_035159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGSTAVEEREIERKRKRAAAGGESAAAVSKWRTRREHEIYSSKLLEALRLVRAGSSSSSSSAATAADAAAAPPRSRAVREAADRALAVTARGRTCWSRAIIANRRRRLQAARRARLRNPTSPPSRHAPVPAAACSAQGDGAKTPPLARKAKVLGRLVPGCRKLPFPALLAEVSDYIAALEMQVRAMTALAEALSAVSSSSTSAGGGSS >Et_5B_044356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23251792:23261351:1 gene:Et_5B_044356 transcript:Et_5B_044356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPEGLFRDDSDEDDDNAQEREKNKEMVVYLVDASPKMFTPATTKADEEQETHFHTIVNCILQSLKTQIIGKSHDEVAICFFNTREKKNLQDLAGVYVYNVGDREPLDRPTARLIKDFSSIEDSFMSNIGSRYGITAGSRENTLYNALWVAQALLRKGSAKTIEKRIVIFTNEDDPFGAIKGATKTDMIRTTIQRAKDAQDLGLSIELLPLSRPDEEFKMSLFYADLIGLEGDDIVEYLPVAGESTAVKFSVRELSEVKRVSSHHLRLLGFKPLDCLKDYHNLRPSTFIYPSDENIFGSTRVFVALHSSMLRLGRFALAFYGNPTRAQLVALVAQEEVISSGRQDEPPGMHMIYLPYSDDIRCPEEVHVTSDDAPRATDEQIKKASNLMKRIDLMDFSVCNFANPALQRHYGILEALALGEDEMPDIKDETLPDEAGMARPAVVKAIEEFKASVYGENYDQEEAEAAAAKASRGEASKKRKAITDAASQKSAAYDWAELADNGKLKDMTVVELKSYLTAHGLPVSGKKEALISRILTHLGK >Et_6B_048611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11478666:11486226:-1 gene:Et_6B_048611 transcript:Et_6B_048611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VIDELLKQHAAVSEGFLFETNIDVLASGLNSLNDIWTQPDWEAFLENLCCYGEFRMNLLNIFKFFEEELKNRWEETNMICQTGQTSYSALISLVQLIIPLILRLLQFIHALWSDGADYRLPEELKEAKRCYQNICPLEETLELQKHDVEVNELGPWMQLIRESGYNVIGLCAPINGAFSELLDSSSIYDAVMKNLRYLLLNDCFGRLSMNLFGWWVDVEATIAAVPFCSALVQVIEATNNEKLRQFAKDDILPALIRRLHDDLPCAIQQTIKKLSYQMNLTHSNKARNDLMILCQKACRVCVQSQDLGSENLDNAKTAYGFEYWFEKQKADLSVKAAWTKPDEFPSSTWTWEFEEEFQRRLDTYIDLLHEVDAMDDCLEVIVLLKPLHLHSNDIYLLIFAFRITWSFHKQHWECSDHSVFFDKLSRDFRTRHAINSCMDHRFMMCDIFQRKKPAAYLKNRSDQMVKWLHKLITVKPYIKVSDTWGTAMLCLKENFHINLDHYEIDAEYAVDMFFYSILPFWEPRFHPLIREGQMDTLSNIARQLVLAEDSKSYKPLEVDPYDFAEHLWPYARYYFYQKMKECGLAGWARDEIQQEPHNGSWFE >Et_10A_001558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5052050:5052732:1 gene:Et_10A_001558 transcript:Et_10A_001558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLTAVGAATVTPSVERRRRRLRGVAAMAAQKPKPKTTAGTTRRSGTTTVFPVGEPATATASGGGKGKAPVRLLTNVERLRLLTKAERAGLLSAAERAGLSLSAVERLGLLSKAEELGALSAASDPATPGALLSLAAPLLAAGPAVAYLVPEEHAWQVALQAAVALVSVVGGAAAVAASSFVSRLQGSSSKS >Et_3A_023227.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:12330806:12330892:-1 gene:Et_3A_023227 transcript:Et_3A_023227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRVAAAHPRLGQPCRSVLPRLLRQCS >Et_6A_046175.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7493878:7494114:-1 gene:Et_6A_046175 transcript:Et_6A_046175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVHKARSSCTFESDMWSLGAIMYEVITGSPLIKGCDPANMTTCMRSLFGTLSNPAHTLSNEVCAGLNSPPKGVKL >Et_7B_055274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:920018:923155:1 gene:Et_7B_055274 transcript:Et_7B_055274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAWAVAASSAQLAATVRAAGRTLGGLFPRAHRPHSITTTTAAAAAASSSPAHTLQDYDRLLAALARDGDGDGALRVLRRMRLSSPACAPNAVSYTSAMSALIKAGRPADAASLFDDMLAHGVDPDRRALSHLLHIYSSHLHLPAAAHSVLLWMTRLGLRPTPIDYFDLVFSFCRAGRVADALQLLDEMRALSYPLTPHIFAPVLKAFCENADMQGADAVISSMRCSGCHPDVIIYNIYIHGLCKLGDFDAVERVIDESVRNGWVPDAVTYSTYIAGLCRFGYLEEAFQQLEIMIAKGLQLTNGLNVLLDHVAHDLDMWAGKEMLEWCQELGFVVDVVTYNTVMDHFSKKGKWLRALKLFTDLLKKPITPDVQTYNILISCLCRAGKFQFAKFLFSCKGFVADTVTCNILIHEFYQAGKEDELGFLFLDINAGKIVPDTITYNTLVDCFFRSGRRAEANNFVKHIDDGYPSEPVARLTYWLVRSGNTREALRLFDDIQIKGMVLDSRIFANVIKAFCRKGPAECSEMSQLCSYFYLTKRFTLFDSLQKNN >Et_7A_053048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5702985:5703576:1 gene:Et_7A_053048 transcript:Et_7A_053048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHGGGRSSPLSTAKHPFYRGIRSRSGKWVSEIRELRKARRIWLGTFSTAEMAAVAYDVAARALRGPEAALNFPGLAAARPEPASAVAEAAAPAAAAEALWRTRTRHCPRGLCNSAAAARREQSGCYCDGGGSSALNPYFLDEEALFETPQFLRNMVAGMMMSPPRISPNSFDDSPDPSEAGESLWSYRDP >Et_8B_058918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1167300:1175359:1 gene:Et_8B_058918 transcript:Et_8B_058918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAARKEWRAVPDAPLRSNGAEDAAEHGKLGKSEDRAIYEEGAGGLGDFCSITIDGTGGLSEDILQQRLQSIVQQREELQQVEIELRAQALAHPQIVEAQRSFQAAAKEHAAAAAKLKDQLHEREQYILELEMKLNDKDRELNALKIDHQTVWANQDLLREQTKELANVRRERDNSEAERAQHLKQIHDLQEHLREKENQFIALEEQHRVAQENILYKDEQLRDAHAWVARVQEMDALQSQSLQVELRERMEQFNQYWISSQQQYAEMQRGYLHTIQQLQAELTEARERSGAQKDGPQVSREGSAESSFVQSIGNSVASNGSATVDGSQSLNNNGSVDASVKVNNASAVPVAPSSLLGMGGYVPSAHIAGMHSYMMHPQGIPQPLASPNSGVPQFGSFQSQSAIQPNLHWPNQQEVQNVSQTQEETNYQSAQPDQTALQQGPNDDLSSKPSQVSHPDQLKAHGKQQSASSAPAESTRELAVREPNIAEHIVYDEEQKAFKEQISPSSLNNRPSVAEPEVKKMESKVSFCLKYLTLSMLYENQDEGVASDKQPEQVPRQQNTPSNFAASTNQTHFRNGAAELNPGVSNQADTVKSAGGGLGSSLPRITKEPALLDERSLLACIVRAVPAGTEGIRISSTLPNRLGKMLAPLHWHDYKKQYGKLDDFVAIHPELFVIEGDFIHLREGAQQIISATAAAAKIAAAAASSAPYTLPSVAVTPVAQNIRQKRGPVVDSRPSNAIPSGNGSITDQYNIIQGVGDVTISGKVKHIQDNGFSDETRTGKPSMHTTPANGVRQERAGQGVNNIRHGYGGKQQGRYDTISDGRLGQGTFPEDEVVTFIGLHGAALSLEIESLPGSRQWVQAYTSKANDVSIPYVSLKVVIDDGFASVFNSSKRQPGLQDCLVVVEKIRDMSREPKREY >Et_2B_020264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18514234:18517768:1 gene:Et_2B_020264 transcript:Et_2B_020264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPVDVELGGAGGLQIAGGRGGGGGGASACGAIGRAVSFRCVFMLLLAAGVLVPVLFLLVPSRHQGYLSDDPDVLAAEVKVGFTLEKPVSFLTAHIDKLGSDIFEEIGVPNSKVSIVSMRPLTSKYSTEVIFGVLPYPKDASISLPALSVLRSSLIEMMLQQLNLSLTPSLFGRPSSVELLRFPGGITVIPAQSGSIWASTDPLFNFVLNNSIYQILGNLTELKDQLKLGLNLRSYEKLYLQFNNEIGSSVEAPATVWASVLDGSSTLLPDRLKQLAMLITEPDEKNLGLNHSVFGKVKRVQLSSYLQHSISDMSPSPSPSPSPSPSPSPSPSPSPSVPSSMSPIGSTPYPAPPTYTKPSLPPQASPPLWSRHPCLPCFSCSRFPPTGHPTVKSPCIHRDPKLPPFMHSPEPSMVPSPPAHLSPAFPPVPSHINAPRHLPKAVPGPTSQMMPTPSPSVRPRKKRNSRKSKFSTIAPSPYRFLSRRWLPYASAKLYVLTEGFETYWQRRM >Et_3A_023820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12920287:12920965:1 gene:Et_3A_023820 transcript:Et_3A_023820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFRLLQLNFFLTFGQVPAFQDGDLMLFAAAVLQQLQYFSYFFCSRYSVRKSRAICRYVLREYTTAADGSSNLLRNRGGDDLPESAALVDAWLDVEALQYEPAVHVLFVQHRVVPALGGTPDEESGGDRVSLADLSHFPYTHYLMGMPYASLFDPFPRVKVWWEDLLARPAVQKVARMMDG >Et_8A_057825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7893152:7896130:-1 gene:Et_8A_057825 transcript:Et_8A_057825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADGWVPSPPPPPPPASRNIAASLSRRHLVRAAGDAVTGAVGCLFLASLWLVGAGAALSVVGRRACGEDSPLVAAASRVIHIAAVTVCLAGPVGLLLLGIRIARSTTEAQEVQGDLEQVTTEKSVLVVARELLHDTVMRAVIAAFALLILMIVGELLRGLPPVKGSRRDRVGSAIIDVGVVGAEVLFCFVIVPISALRIWWSWRMQHIHS >Et_2B_019451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30403140:30403637:1 gene:Et_2B_019451 transcript:Et_2B_019451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVILASFLCALVCVLGLALVSRCASSTAPPPPPPKGLKKKAIDALPTVSFTPGSSQEEEEEEEEEGGECAICLAEFADGEALRVLPRCAHAFHVACIDAWLRTRATCPSCRATCLVAAADMAVAPPAKPTCAAPAADQTFLP >Et_3B_027913.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26365370:26365414:-1 gene:Et_3B_027913 transcript:Et_3B_027913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFSSERRSRLID >Et_8A_058253.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:3627546:3628697:1 gene:Et_8A_058253 transcript:Et_8A_058253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSAARPALALAALLALAAALAPANAASCSASDRDALLSIRAALSDDAHLGVFSSWTAGTDCCAGWYGVGCDPSTGRVADLSLRGEADDAVMAPAGRPASGVMSGYISDAVCNLDRLSTLVLADWKRITGPVPSCVATSLPNLRVLELPGNRLTGELPRSIGELSKLTVLNLADNQLTGEIPGSLTALASLKHLDLANNRLTGGLPSDLGNLGMLSRALLARNRLSGSIPASVASMPRLADLDLSENQLTGSIPDGPGGSSSRGVLASLYLGANRLSGSVPASLLRNSGLGILNLSRNALEGAIPDAFTAQSYFTLLDLSRNRLTGTVPRSLESAAYVGHLDLSHNRLCGTIPAGAPFDHLDVASFASNSCLCGGPLGKCT >Et_9A_063134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11107779:11113679:1 gene:Et_9A_063134 transcript:Et_9A_063134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESCDLNKDEAEILKPSSSSSSSPSPTAAAPPPPTIPEPPPQQSSPPTLPAAAPQFMPKPCGEVPIEASKKRKLADATATDAELSMVSPAEPLSPVLFVNRCNICRKRVGPAASGYARTLLGGAQINPVPKAKCAKPQFGNAVAFWSLVNLPFRHLSI >Et_6A_046263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11739515:11745318:1 gene:Et_6A_046263 transcript:Et_6A_046263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QIQHTSTRERKGKGKQKKKKRPQRDVKTPFSSLPPPHSPTSRWLLGLMVSLLPRVPCLASLPKPAAAAAKSSLRPPAMSSSSAAPPASAAAGAEAAARPRKLPVLLFDVMDTIVRDPFYYHIPAFFHMSMTELLESKHPTAWSEFEMGLINENELAKKFFKDGRSFDLEGLKECMVRAYEYIDGVEEILCSLKQNNYEMHAFTNYPVWYQLIEDKLNLSKYLSWTFCSCLAGKRKPSPDFYLQAVDHLNVDPASCIFIDDRMANIDAALSVGMVGLHFKNAEVLRKDLCSLGVELSHQVNEDEVQLQ >Et_1B_012615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33836478:33838939:1 gene:Et_1B_012615 transcript:Et_1B_012615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FGMWIDFAASDSVDMRSMGSRNLVMKKAVKSSSLDVDIQFDQSWMEDATCPICLDYPHNAVLLRCTSYEKGCRPFICDTDQTRSNCLERFKVSSLTVAPVDSIHILSSNANNRPSCPLCRGDVIGWFVIDEARFHLNQKKRCCEESFCSYVGNFHELQKHTKQKHPNSRPSEIDPARQIDWENLQHSSDIIDVLSTIHAQVPNGIVLGDYVIEYGGDEAGDDFEVFHRGSQIRTPTLALLGSNAKGKPY >Et_4A_035034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8981016:8983528:-1 gene:Et_4A_035034 transcript:Et_4A_035034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQMTSRFTASPSSPALSAAPTGARPPSILPVGRRRGHCFPSLALRPGETAALRPCLVVRAQSRRRGKALLPEEPNHYLQERKFILSRFSKIFVMDEGYMDSANLNPGSRCGISMDTVHMTLATNVRVFVQAAEDCYNKRVKTNTVLSFLGALKGLAALEDLSHVHPRESLSEYAFNYDVKAIHHEFNQKMTALEDGIRNSSTSKQCELLESTIYKSAKSTESFVDLMLSRRQRALAKAITNYLLAPLAPCTRVARQPSVGSMRCRPRSSSATLIKRRLRRRRAAVSTDDGARQCTVHRGPVDLRVELNDGGGACDGADAATVRSVLARAFVCWQGTLLEAAAAPC >Et_2A_016102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21063919:21065352:1 gene:Et_2A_016102 transcript:Et_2A_016102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGRKRKGCTVSALPAGKRRADAAPPATHQLDVPPSRPHKPHRRLAGGRGRDGQHLLPRRVLELARVHASPRDPTLQDVRFRPRGWVALCDGDGARPADAGEVAFFHTSTGRRLRVRLPELEDHRIVGFTDGLLVLLNWRTTVVRVLHPFTRVFVDLPPIAHVFLVKNRWSWNRMEAAVCSSFKSSIAVPNAPVVVYTEPAHARWFVIWRGLQLWTALPFHGRLFGIRNGTGQIVQVYPRHLQYPVVARIPNNLGRPQMCSCYLVDIEGRMLLAVEHQIIDRCAVGWQPCAFAFFMVDVHRREIVPVDELGDRALFLSKHRCLCVSSKDLPSISGNSVYFSKTDADPVVLHSLSGRTFERTSTNSLIHDLKKRIRPSVRPFTLADHLLTYCHHVEWSNGFMFHEYYRIPASWKKVLRKLNEQENEIQVPCLNRENNGGDLAIGSTSS >Et_1B_012359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31097684:31100359:-1 gene:Et_1B_012359 transcript:Et_1B_012359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLCRRAHPAASRFLSTLTDGSKGGGTPRAGVVYGFGDNSHGAVGQPAPAAASYVPTPVPSLPPSVSGVAGGHYHSLAVSADGEVWAWGRNDEGQLGRGLNYSPRNTWSNPEQVRGLENVQVRAVSASGVVSAAIGHDGSLWVWGRSKRGQLGLGQNVVEALVPSRVEALASYDIVKVSFGWGHAMALTNDGKLFGWGYSENGRLGEIGQSTRAPSAEEYIGKTEDKYSRSMLEAVEKMVEEKIRSEDNMPIIWEPSLIHEVSHLEVSDVSCGLDHSLVLCSNGVILSGGDNTYGQLGRKPGLAKLLPVDMGYRPFSVSASVGHSLALCQLSTDDADGVEPGVLSWGWNNSSQLGRPGPEDVPSLVDCLREERPVSASAGRVHSIVLTSKGEVWAWGSGRTGRLGLGSSIDEPEPCLVDTLEGVEVLQVAAGMDHNLVVVSE >Et_1B_011367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21125650:21126068:1 gene:Et_1B_011367 transcript:Et_1B_011367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAVVLALRLEVARELGVSGLKGHIIVLRPGQLEKITPHALVPRRSPLRCIRGPLDEDAPDTGAGLTELILDGKDCRYCAIQSGKVSEQVTL >Et_2A_015360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11668436:11671648:1 gene:Et_2A_015360 transcript:Et_2A_015360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATPAPSPRPDMPHPPPPPPVPPTTARKPRRRAREVSSRYLSTPVPATPRLSTASSASACSTRSRSPSPSPRARTQRAGTPFANENHPPPPPLHTGTARRRAVQKLFDETGTCNPRASVSSVSAATPRPLPRSSSGPAASTARRGYPRPPTPARAGSCPSAAGPADDAASCCSSDTASTVTDFSEAEGLGVAPAAPCESPPLLGPASCRGGRLSSELRASVPESGGSARASNPLCYRSLNSALSISTATAGKVAAAPRPPQPQGAKAADLKRAAIVGARKVAGKQEDVHQLRMMDNRHLQYRFLNARAEVAAKAKTAVAEKSLYGLAEGITGLRESVVEKRAEVERIRREQRLSSVVSAQVPYLDQWCEIEGAHSSCLGGATTALQNASLRLPIIGNVRANCREIEEVLNSAVQLLEPLSSCFENFLPKVGEIDDVASSLAQVISTEKTLIEECGNLIYQAENLQMREYSLRSQLMQLKQMKNT >Et_7A_050786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1099446:1106329:-1 gene:Et_7A_050786 transcript:Et_7A_050786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSHLNGHSPLARRCPRLSAASPPAEAGTASAAAPLEEHDRIYFQSYSHIGIHEAMIKDRVRTDAYRTAIMHHQKFIEGKVVMDVGCGTGILSVFCARAGAKRAREIVKANNLSDKIVVVHGRVEDVDVEEKVDVIISEWMGYMLLYESMLPSVLFARDKWLKPGGLILPSHATLFMAPVTNPERYEGCVDFWSDVYGINMSALVPLAKKFTSEEPSIETIGGENVISWPSVVKHIDCYTFTTEEFKSITAKFKVSSMMSAPLHGFGLWFEVEFNGPAESSDNIPSSLNPLDIIQKKRRRGSDDAVLLSTAPEDEPTHWQQTILYFPDPVEVKQDQIIEGSITVSQSDENPRFLNINLECSPRDSADIAIKHTASAQAPWLHCLRSNVCIAEQVALACVGSEANKAVGRVDGDLDPFLLNVPQDGHARRGWREREAVDAAVHLHEQVPRGQRQRGALVEVEGHGGHPHAGVGAEVVARVEQRHGRRARERAHGFGERVAQRGVRVPRQPRDGRAGVHDDAAVAVVGEPEQAVLQRQPLVADADPGEADVVEARRLRVAHQRHPHGALGNRLRPAQDQRPGPRRGVGGARVARRQAVAERAAGRAAELADERHGAPAQAQQPGGADEEAVVAVAAADGDALHGRAAQRERLQRERAAGVGPVAVGDL >Et_4B_039686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26566733:26571955:1 gene:Et_4B_039686 transcript:Et_4B_039686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAANVAVIGAGISGAVCASLLAARGVAVTVFDSGRGAGGRMAQRRETMEDGTELRFDHGAPYFTVSNDEVARVVSGWEARGIVAEWKAMFACFDRATGKFTDFEKVGDTKKYVGVPGMNAICKSLCLDDGLVAKFGVTVGKMDWLHDRSSWSLASLDGKDLGYFDYVVATDKIIASPRFSGLTGRPPPLDVSSFPQLSAMVQDIPHSQSLWLRQSWVLHLTAEYASKVIHEIGPRKPSADALSKVAEELFKEFQSTGLNIPQPVFMKAHRWGGAFPSISIGGEDKCVWDKSTKLAICGDFCASPSVEGAILSAMSGASKILGRLEYLFRAEE >Et_6B_049094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17690675:17698288:1 gene:Et_6B_049094 transcript:Et_6B_049094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVAKLASERAVVVFTTSNCSMCHSVTSLLANLGVNTAVHELDREPRGREMERELARMLGGRVPAVPAVFVGGNLVGGTNRVMALHLSGELVPMLKNAGALWLKPPLMDRVAKLASERAVVVFTTSNCSMCHSVTSLLANLGVNTAVHELDREPRGRKMERELARMLGGDLVGGTNRIMALHLSGELVPMLKSAGALWLSS >Et_3B_028781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19078298:19080557:1 gene:Et_3B_028781 transcript:Et_3B_028781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAAFPSSLLCPPTKPRTPPLLSSSQPSHSRLHLRIRSPKPKNAAAAPAGSSSMEAAQSQTGDGQGWAEEAKADAAMTLLFVEMGVGYDQHGQDITAAAVRACKDAISSNSIPAFRRGTDKKKKTFPCLLFLVHSVEHLSDAPSCKFLSVPWIIIHNLCSSTVCGLSFWVSTAWTGAIPGVNSDQMKLQIKLGVPRSTQHLLDADRVKAVFPYGKIISFEVVDGGMICSSGVCLEAMGDKNDDCYIVNAAVYVGY >Et_6B_049065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17443661:17451667:-1 gene:Et_6B_049065 transcript:Et_6B_049065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLSHCRHHHQVRLHHRAGPGRPWPASASFAPLLRRRSARAHAMSAEAPLGVAPAEPGAGTAGQAAVLSEMVEDAAVWCAVHGLVVGDRNNPRSGTVPGVGLVHAPVSLLPARFPTSFWKQALDLAPLFNELVDRVSLDGEFLQESLSRQVLDSDIRLGLHRSDYMLDSETNSLLQIELNTISTSFPGLGSLVSELHRNLLIQYGKVLGLESERIPRNWAASQFADALARAWSEYKNDRKTLAQVEAEGKINTDGTLVVDGQIVSVVYFRAGYAPNDYTSEAEWKARLLMEESSAIKCPSISYHLVGTKKIQQELAKPDILERFLDNKEDIAKLRKSFAGLWSLDNEVIVKSAIEKPDLFVLKPQREGGGNNIYGHDLRDTLVKLQKEQGESLAAYILMQRIFPKASLTPLVRGGVCFEDLTISELGIYGGYLRNKDKVIVNNPSGYLMRTKVYSSNEGGVATGFAVLDSVYLTDEKGRELTTAITIATLKPTVLLELRQPKPSAHHPNHIKAKTAIQSNVSRNLAGRPRRAGQPAPDPPRWPRPTAVARPCLAAVASRARPCLPGKATLLCDEVPTQAAGSEEDALLDDDVLRLTLLLLPELALRLVERELEPLVDAGPSSEFEQSKSRADASEKVADERRRRRSLPQEKTSSAAHMLGRRRLKISFLL >Et_9B_065859.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15417160:15418788:1 gene:Et_9B_065859 transcript:Et_9B_065859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATSTSRLLIHHHAAAGSERHRKQQLRYSAGSFSLSLRSRSGQREAAAGALLPDRVTPFTYGVDEDDDDHPREECGLVGIVGDPDAASLCYLGLQKLQHRGEEGAGIVAVGGDGKIKSVTGLGLVADVFGDPSRLASLPGTAAIGHVRYSTAGAAASLRNVQPFLAGYRFGQVAVAHNGNLVNYQALRSKLEARGSIFNTSSDTEVILHLIATSLSRPLLARVCDACERLAGAYSLLFLTVDKMFAVRDPHGFRPLVLGRRRNGAVAFASETCALDLIDAVYEREVAPGEVVVVDRRDMSVSSACLVPHRPRRSCVFEHIYFSLPNSVVFSHAVHERRTAFGRALAQESPAPTADVVIPVPDSGFYAALGFARESGLEFQQGLIRWHYSGRSFIQPTQAIRDLAVKLKLAPVHGVIRGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVVGSCLYGIDTPSEGELISNRMDLEGVRREIGSDSLAFLSLDKLHSIYGAEAEDYCDACFSRKYPVLPTLPEPVVELEEV >Et_5A_041054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17482847:17487402:-1 gene:Et_5A_041054 transcript:Et_5A_041054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKELINHAAHIGKEFMTTSIEIRRSLMNRWSGIQHDVNVFAGCLSKIEARNHSGWSVDDKLYDRASNVDGGQLAEGAQERPAGRKNLQQKLRQRSSMEAMEMSPVLEVLPSDVIWSDTCVAHESLKSVLLWRHVPLILSSTSNDIDR >Et_5B_045206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11806621:11809963:-1 gene:Et_5B_045206 transcript:Et_5B_045206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIEAMVDEILLRFPPDDPASLVRAALVCKPWCRIVAGRGFRRRFREFHRTPPVLGLLCNSRDEGHFEEDAVRFVPTAPFPRTDRVHLRRRAVDARHGRVLLRTMVWDYGDLLDGEFVVWDPVTRKEQWLPSLPRYPDRWNAAVLCSATASGGACDHLDCSRGPFLVVVVDTEAGHMFVHVYSSESGRWSERRIRRPNKPKRAHWLWPCVLVGTELCFLLRRRTEILKYNVRTQEISVIDLPSLPYKPDVLTATEDGRLGFAAVRKSRLYLWSRENGPGDNVGWAESRVIKLGRLLPVGAHLSSAAVVGFAAGVGTVFMRTNVGVFSIELKSQQALIKSIWSCLGLYMLRIKHMCFCMKEKLKHDKACARSPMVVLGFYHGFYCTRIIGIKLSSLSYYTLLV >Et_10A_000976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19807600:19809024:-1 gene:Et_10A_000976 transcript:Et_10A_000976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HLPAEDIDVENLTDEAYVEAMNWMHGRDVNRAGNNLYREVPLTSNVEGHRRLNLHHSRLPTVSLMISEKDSYLIGFRRGDGRWMRFRNHRLPAIPGGGVAPDNPIKMDSSYIAMVPQRERQHFTVGKQSMINSYFVLLNFDETAVLGTALMKKQRKALGQLIVMFCEAVRINPVYDFILETMATGESIVLDEKLWDWINNWSTFSRFALHCKEMEAPGNVQALNPDEVDRVRPYGITTSNQVVALLRLILDRE >Et_7B_055386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9805488:9810993:-1 gene:Et_7B_055386 transcript:Et_7B_055386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSPWGVGAWALDAEREEEEMEKAAAFPAPEPPAAAGGAASFPSLREATAAATGGGKKKKNKGTTLSLSEFTTYGAPQRRAAPQEPKGLTPQEMMALPRGPRERTEEELDRSRGFRSYGGGGGDRERRGGFDDDDRRGPRDRDLDMPSRADEADNWATNKKFTPAASDSGRRDRFGGPSPAGRADDIDNWSRDKKPLPSRYPSLGSGGGFRDSSSIGSGGGFRDSSGFRDSPGASDSDRWVRGGGFTPMPQNGEGGERRRLVLEPPKRDPSAAPAPPAEVARNRPSPFGAARPREAVLAEKGVDWRKVDTDLEQKMTSRPTSSHSSRPDSAHSSRPGSPGSQVSAVGSEGAPRTRPKVNPFGDAKPREVVLQEKGKDWRKIDFELEHRAVNRPESDEEKNLKEEISLLKVDLKETEEKISSGSDQASPEDAKNLSEKIYEMEKQLELLTRELDDKIRFGQRPSSGAGRVTTFPRTAEEPQAAVSIIDRPRSRDRPRSRGGMEPYQKPVEERWGFQGSRERDSFGGSSSSDSALTHGLQQISLGYTLISNPKEWHLKQQHEVRVSAGDELRLQNAE >Et_7A_052285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6488980:6490230:1 gene:Et_7A_052285 transcript:Et_7A_052285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETDTKTKVEAKTVVYKVHVHCGQCARDIERHFTEFHGVEEVKLDAGAGKVTVKGFGFDVEKLRVKVEKGCRKKVELIPPAMDMITEVKTKEQELKVITVKVPLHCPECAVRVKEILLEHKSVYEAKTDLGKNTCVVEGVVEEEKLVEYIYQRTRKLGTIEKVEKKVIIKEEKVEVKKEKAEVVKVVEAVKEKVTEVVAPYFIPCTHPHFVDYSHPCHRRGGDYCSPYGYGGGCGYPYGVNYTHSELRGYQGTAFLHCSHPNEFISEENPYACSVM >Et_3B_029059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21817353:21821770:1 gene:Et_3B_029059 transcript:Et_3B_029059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAESVASQAQAVLRARLCDPSFVHSALRSSPDTNYSKLKYLVASSVSEACNNSVLLLGSRGCGKAAMVDMVLDDLKKEHPDAISQIRLNGMLHSDDNCAVKEIARQLCLEHQLSFSKMASSDDNTEFMIDMLRECGLAHKTILFVLEEFDLFAQGKQRLLYSLLDAMQSLTSQAVVIGVSCRLDADQLLEKRVRSRFSHRKLLFVPSSMDDIQRLVEHLLILAKDSGLPAKYITDYNSRLTNIFGDKKFKGILNSLMEADSTTSNILRFLAVSYMDMESGFLSMESFASALSSMQRQPKMDSLQDLSILELYILVCMHRLEDKEQSSYNFTSIMKEYRSIQEAYKTSDKYASTVCFRAFEHLLDRELISFADSKGRNQALEYRPVKLLISSRELAQSLKLNTTCPAVLQKLLDRERYM >Et_2B_019359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25351533:25352579:1 gene:Et_2B_019359 transcript:Et_2B_019359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASSKLALLAVSFLLLFLVPSAAAARVGASIAKTIDAFQTQHLELPDMIYGPESVAFDGNGTGPYVSVNDGRILKYGGESTGWTTFAPLGLRFHRDSGYLYIADAYMGLMRVGPSGGEATVLATMADGVPLSFTNGVDVDQVTAQHEMVTATRDSTGRIMNADRTHLVVALTGPCKLMKYWLTGPKAKTSEIFTDLPGYPDNVRPDEKAIRIGAKGEKIQAMRGPKSMRPTEVVEREGEKIYLGSVELSYVSIVST >Et_3A_023197.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:9658186:9659376:-1 gene:Et_3A_023197 transcript:Et_3A_023197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELDTFLFTSESVNEGHPDKLCDQISDAILDACLAEDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRGIGFVSNDVGLDADHCKVLVNIEQQSPDIAQGVHGNFTKRPEEIGAGDQGHMFCYATDETPEMMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYHNDNGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVISGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAVKSIVASGLARRCIVQVSYAIGLPEPLSVFVDTYGTGKIPDKEILKIVMENFDFRPGMIIINLDLKRGGNGRYLKTAAYGHFGRDDPDFTWEVVKPLNQEKPSA >Et_5A_041628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25273850:25282543:1 gene:Et_5A_041628 transcript:Et_5A_041628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAHSHSEPVLPWTPLTPCTHEAATPPPTIWPSPPRHSRLAASRMQRNAPRLAPAYMASKSAAEVAANGASARITPAHATSMCGGAKARSAVSKSARTAASSATSARTATARGASSATSARTAMARGSEAARDSASDALDA >Et_1A_008439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7758086:7761701:1 gene:Et_1A_008439 transcript:Et_1A_008439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASATTAVTGALLPHALLSHRSPPPQVLAVASSFRRLSLSLYASPRRTTHLVARADASAEAEEGEAEGAVAVAVQEEEVADEPPPRKPKFGEIIGILNKQFIEEAEKVKTIPDLRPGDIIELKMQRPNKRRLSLFKGIIIAKHKGGVHTTIRVRRIIAGVGVEITFPVLSQDQGNQSDQTQESEESEAVLPETQASPFLHLQRIAMISTIQIMY >Et_9B_066049.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21490924:21491412:-1 gene:Et_9B_066049 transcript:Et_9B_066049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFQEADVLWPWPDLPASECDATVVDFSCEPFSEPGVASSLSSASTSSALLFDRSSGSEDGFFLSGPSTIASGLAASTEEFLEADVLWPDTAGDEPDGDAAAFWCPCYYRRVEEAAAAACGKREGWRTMMSSPIDIPVVTRGAAAARRRISPSAVPVHRRR >Et_7B_055649.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:19752354:19753286:-1 gene:Et_7B_055649 transcript:Et_7B_055649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDDEVEHDFRPFIQVFKSGRIVRFNTADTVPAGTDTAGTGVSSKDVVINGSSGLWARLYLPAAAGAGRRDADKLAVVVYYHGGAFVVGSAAHRPTHLYLNGLAADANVLVVSPEYRLAPEHPLPAAHDDSWEALQWVASDPWIAEHGDLSRLFLAGVSAGGNIAHHMAARAGLRERLPIRGLLLVHPYFNCEAAASARGPSEAAKREAFWRFVCPATPGLDDPLCNPFAGGSAAARVAAGRVLVCVAEKDTLRDRGVWYYDSLRGSGYPGRVELLESLGEGHVFHYSKPACVQARTLNARVLAFLRDE >Et_8B_059701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20846083:20849426:-1 gene:Et_8B_059701 transcript:Et_8B_059701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNDDDLLITAIVKNRDMGSCHKSASRSVSSKSKCRKLKSSKKRSRLLIQTARKAGTDVPDGRQIILARKTVLCWLIATGFMTLKDIVQCRDPKNNEALKDGWVTWDGILCSCCIKTLPMSDFKAHADSSLPKSSLNLYLQSGKSLTLCQLEAWTAEYMDRQINDCTRSVEAEAIDENDDTCGICGDGGELLCCDNCPSTYHQACLSDKELPDDSWYCHNCMCQCCGCPVSEEELSSFSAILKCLQCGAAYHDTCVEMGAKTFEDVDSDEWFCGRYCMELYSRLRDRVGVENSLGDGLSWTILRCNSGGQQLHSVQKIAHMVECNTKLAVALNLIEECFVRMVDTRTGIDMIPHVLYNQGQIKYARLDYHGFYTVTLEKSEEILCAASIRLHGSKAAELPFIATCREHRRQGMCRRLINTIEEILCLMLRSFHVKILVLSAIPELVSTWVSAFGFKPIEQDERKQLDTINLMLFPGTSLLTKNLEE >Et_3A_026110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4458313:4466057:1 gene:Et_3A_026110 transcript:Et_3A_026110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLTAGLAAASLSDPADQGAAAAVAASGGGAGAGAVPGADYLLHVMRAVEGADATIRNQLEENNRLKEELMQKTLQLQRMREGATSQSSFAGPGQDSNSVSNKMDGSKLLDNGSSTNIQNTSIHHQNGGFVSAEPVIQENMKQKYLDSAQVNGAFKRSSREHTALENGGPSLFSTPSSRSLSPTRHRKDGDYDSRLLPVSDVNSHVSWKQELTVKVKENEEEIAQLKRHLADYSIKEAKILDDKYMLEKRIAYMRMAFDQQQRDLIDAASKALSYRQDIIEENIRLTYALQAAQQERSTFISSLLPLLSKYDSLQPSVLDAQSIVSNLKVLFKHLQEQLFLTEEKLRESRYQITPWHTGLSDNTSPSVPPDPPAGKAVVTASKSNLDIVPQTAYPHVQSPMSSPVQARGEWGVLGNQNHQVTPSEVPTRSAEHDDMGGTSVSSRNQFRMDVPAQVSQRDHAVQFDLETQSHNQPFKGLSRNDVLDGSESAEAQNAQESSVRWGPGDSTNLASGLEDANPSYPYLPTVLEEPGSSFSEAAEDDPLPGIDGLRITGEPFPGRKLQASGYPTNGTTTCNFEWVRHLEDGSVNFIEGARQPIYVVTADDVDTLLAIEVQPLDDRKRKGDFIKVYANDQRKITCDPETKELIKRTLEIGHVTYEVQVQLPINIPYGRFTEFSITTVDGVDYNLKPAENTLLRDTIVLVLRLFKNM >Et_4A_035027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8953376:8957404:1 gene:Et_4A_035027 transcript:Et_4A_035027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAAAVGETPVVDEAAPPRSLYSFGTPWPEFNAGLSYTDTFRCADADTTTTLIGFYSVNYKSSAPVPGWITVDGEVVTDPETTIRVGSKLVYHRRPWQEPFAPHLLEVLYEDDDMVALNKPSGLQVLPKGLFQQRTVLAQLQLKDWKMASPCRSKRKDVQSHPVPVHRLGRGTSGLLLCAKTKIAKIRLASYFAEGAIDAGNKRDKTDFGKEQKISKFYRALATGILDDDEVVVTQPIGLVHYPGVAEGLYAACSSDDPLYGIGGHPKLDEPESLGTDGSFAYDGGYERPVQPVPGDCGYHLHAHWLVLCHPTTNKMVKITAPLPEILHTREERRSAAEQVGG >Et_10A_002319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8822221:8827665:1 gene:Et_10A_002319 transcript:Et_10A_002319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRHLGSDLAKIVPGEMEVVYARGKIFRLGHTSILSSSDCAAHKTVVRFVKLPLIERIPPYTTWIFLDKPEEHPQGGLGVGPLLVPQNATITMKT >Et_4A_035638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31891960:31894223:-1 gene:Et_4A_035638 transcript:Et_4A_035638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLLFFLAMAVSTVAVMAVAAPAAASSPPFRTVYAFGDSFTDTGNTHSTTGPYSFGYVSSPPYGATFFHRSTNRYSDGRLVVDFLAQRLALPSFLPPYLAAGNNATAAEVGVNFAVAGSTAIEHDFFAKNNLSMDITPQSIMTQLAWFDAHLRRAGKSSKVADALFWVGEIGANDYAYTVMAPPSIRPKLIRTMAVQRVTTFIEGLLDRGAKYMVVQGLPLTGCLPLAMSLARAEDRDNVSCVASVNGQSYAHNRRLLANLRRLRQKHPDAVIAYADYYGAHLAVMRNPGRYGFTEPFRTCCGAGGGAYNFNLFATCGSPEVTTACAQPDRYVNWDGVHMTEAMYKVVAAMFFQDGDAYCRPAFSAMLAKKAQGK >Et_7A_051197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15420350:15422943:-1 gene:Et_7A_051197 transcript:Et_7A_051197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVPVRRPHTNTADLLTWSATGPDAAADASPAASSRPNLKPAGGITPAMFGAPVSEQEAEDLSKSERKFCSGSKLKEMNGSGIFAEKGENGDSEASNLANKTSVRMYQQTMTGMSQISFSADGSLSPKKPSSIPEVAKQRELSGTLEDADAKINKQLSEAKTKELSGSDIFGPPPEIPARPLAARNMELQGNVDFSLPPPRSVHTSVKVSNPAGGPSNISFGEDPVAKTAKKIHNQKFQELTGNNIFKEDAPASAEKSLSSAKLKEMSGNDIFADGKAASRDYLGGVRKPPGGESSIALI >Et_3A_023528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32787644:32788045:-1 gene:Et_3A_023528 transcript:Et_3A_023528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGDGEHYSRLIRELCALLVPIVSPPAAVPAPGRPRSPPAVATMLLGASMALMLCGSVTFAIGLFLMPWVAGVALLFGFAGAVSTLSSGVFGKAALPCKEERSDLLPHKPRFGYAASLPAAA >Et_1A_007155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31457217:31458831:1 gene:Et_1A_007155 transcript:Et_1A_007155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADLRSLPPGYRFVPKEKELVEFYLLPRARGLPDPFPGVDITDDDTAASTQPWKLFKRHNRKEDDEPYFFVRSSDTKAGARQDRVVDGGFKWNSQKRVRGVLNVGGEQIRWSKHLLSLQKHGDSGSGSGSLGWVMHEYTITDPRCAGVKICQIAFTGHGQNRKRIPDGCDDCESEPESQHVRVGATPPDSTSRKKRKLNQETEQVPRATEQLHGDVCLRESATQRAHIAAVATTFAPLSGSGSVTTGNFRQEAEQVGKEAEHLDGEDFHGGSTPQGAPVVAIDDGSGTRTFDQDCSSAPEQASAHQDHSDDFIAAMLREMTDRDATLEDILKPLLMVQEPGYPRFCGMPNTAVGSLGGVGSEPDSELAWVRAVPVYQEAAEHQN >Et_5B_045594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5084519:5085984:1 gene:Et_5B_045594 transcript:Et_5B_045594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFASRRGKPERSTSSIVAHNAAGYHDLKIDGCSLTAGVPTGPYLMSLPFTVGGHQWRIRYYPDGKNADSRGHVSLYLHLDEDVAKELKVQVQFTAMVEKRDLFFIKRKKKAISSETSMTTFGSRGSWGWPKFADRETMRKLVPDRDGNLTIRCGIVVFKKFRAESAAPKAETIPVPPPELHKHLGDLLQSGRGADVVFEAGGETFRAHRCVLAARSPVVSAELLAGGAAAVRVEGVEAPVFRALLRLAYTDALPEMKKEEEDDVDMYQKLFVAADRYSLLRLKLICANKLCGLIDAATVKTILALAEQHRCDGLKESCLKFLSAPANLRAAVGTHVSVWRKERKMLWIYDPDCSPRYGISRQRLFDAVYVLRRHKNS >Et_5A_043005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9934329:9935162:1 gene:Et_5A_043005 transcript:Et_5A_043005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLRSGLLDNNQGNDLYGTTITDDINEMDVLLPMSSNFDIMQQKGIVGNNHNVNATEEGQWPASQHGLNNGGSVPNTVAIAGYKV >Et_1B_011036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16916664:16927724:1 gene:Et_1B_011036 transcript:Et_1B_011036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARMKDMVRVATARLGGEQQAGAASSSGYGRRDSTVVRTARLGGDSLRRQPQPQAPTVRTVYCNDRDANAPVGYKGNSVSTTKYNVLTFLPKGLFEQFRRVANLYFLMISILSTTPISPVHPVTNVVPLSLVLLVSLIKEAFEDWKRFQNDMSINNAHVDILQGQHWESTPWKRLQVGDIVRIKKDDYFPADLLFLSSTNPDGVCYIETANLDGETNLKIRKALEKTWDYVLPEKASEFKGEVQCEQPNNSLYTFTGNLIVDKQTIPLSPNQLLLRGCSLRNTEYIVGVVIFTGHETKVMMNSMNVPSKRSTLEKKLDKLILALFATLFAMCVIGAIGSGVFINDKYFYLGLRGHVENQFNPKNRFVVTILTMFTLITLYSTIIPISLYVSIEMIKFIQCTQFINNDLNMYHAESNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEMYGTGITEIEKGGAERAGIKIDDDEGKRSAAAVHEKGFNFDDARLMRGAWRNEPNPEACKEFFRCLAICHTVLPEGEETPEKISYQAASPDEAALVAAAKNFGFFFYRRTPTTVMVRESHVERMGSIQDFSYEILNVLEFNSTRKRQSVVCRFPNGRLVLYCKGADNVVFERLADGNHDMKKISREHLEQFGSAGLRTLCLAYRDLNREQYESWNEKFVQAKSSLRDRDKKLDEVAELIEKDLILIGCTAIEDKLQEGVPACIETLSAAGIKIWVLTGDKMETAINIAYACSLVNNDTKQFIISSETDAIREAEDKGDPVEIARVIKDTVKQSLRNFHEEALRSLSSTPGRKLALIIDGRCLMYALDPTLRVDLLGLSLSCHSVVCCRVSPLQKAQVASLVKKGARKITLSIGDGANDVSMIQAAHVGIGISGQEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYLRLCKVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVTASLSKRYPQLYKEGIRNSFFKWRVIAVWGFFAFYQSIVFYYFTAAASRHGHGSSGKILGLWDVSTMAFSCVVVTVNLRLLMACNSLTRWHYISVAGSIVAWFLFIFIYSAIMTSFDRQENVYFVIYVLMSTFFFYLTILLVPVIALFGDFLYLSIQRWLFPYDYQVIQEMHRDDPHEYSRVQLPETSHLSPEEARSYAISMLPRENSKHTGFAFDSPGYESFFASQQGVGVPHKPWDVARRASMKQRQQPQRTARS >Et_6A_046809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20962804:20965191:-1 gene:Et_6A_046809 transcript:Et_6A_046809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLIAAKTAASAARDKEKKQPVTRSSRAGLQFPVGRIHRQLKQRTQANGRVGATAAVYSAAILEYLTAEILELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKAPKE >Et_3B_030020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29898339:29901941:-1 gene:Et_3B_030020 transcript:Et_3B_030020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVNNWLAFSLSPQELPPSQTESTLISAAGTDDVSGDVCFNIPQDWSMRGSELSALVAEPKLEDFLGGISFTEQHQKASSCHMIPSSSSTCYASSGVSTGYHHQLYHQPSSALQFADSVMVASSAGVHDAGGGMLSSATAANGGASGASANGGSIGLSMIKNWLRSQPAPPPPQPRVEVGDGAQAAAQALSLSMNMAGTPGAGVPHLAGERGRAPESLSTSVQGGITTAMAARKEDSSGSGGAGALVAVSTDTGGGASGETAAAARKTVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEDKAARAYDLAALKYWGPTTTTNFPVSNYEKELEEMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILDSSALPIGSAAKRLKEAEAASAAVAGYDVARIASQLGDGAAAAALAYGAHYHAAAWPTIAFQQPAAHHGGAAALYHPYAQPLRGGWGCKQEQDHAVLAAAHSLQELHHINLGAHDFFSPAAMHAGLGGSIDNASLEHSTGSNSVVYNGVGDSNGGGYMMPMNNASSATTTAMVSAPQGDHHDDAKQAQMAYESYLVNAEAYGGSGGRMSSWTPASAQPVAAAASSNDMAGGGVGHGGAQIFSVWNDT >Et_9A_061184.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:2098562:2098756:1 gene:Et_9A_061184 transcript:Et_9A_061184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLILTVAMRWARFVILVLQLIMTRLLQLCVESRVALMATWHQRCIRQGALAPLNLSCGLWVL >Et_5B_043495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11093063:11103365:-1 gene:Et_5B_043495 transcript:Et_5B_043495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVALAGFGAEEVKKKRGAASGWVWRRVVVTLGLPQDRREQYFPWRAISVAEEEEEEAAAAAVALTTAAEAGTRAAAARSVAEAAATTRAAAGTAAAAEAATRAAAAAAVTLREAVTAAAAEAAAATTREAAAMAAVTRVAAATATRVDAAAAAAEEEATTTAAAAAAGAVAITPESTLKGVYRRIISQLVTDHQQTDFGGRLPAYDGSRSLFTAGELPFKSKEFEVTLAGRGERKYKVAIKHAAVVSLDQLMMLMGGRATDIPMQALQVLDIVLRDIVLNERQDMEYLAVGRSFFSPVIDDPIDLDISSTAFIEPLPLIDYVKKILGKDPTATSITNVDYIKMKKALRGVRIEVTHRGDQRRKYKIAGLTLMRTSQLTFEASPGVSKTVMEYFRETYGLNLQYDFLPSVQVGSDQRPNYLPMEVCKIVKGQHYQKKLDDTQVKALIRANEFGIEVDYNPTSVQARILPTPTKVVDGARVKNWACVNFCATLRENEAQNFCLQLAKMCSNSGVSIDSKVRMFPGTPHTIEADLRRIHQTMRTSLGGQKIDLLLAILPNGNGSLYGNLKRICETEIGLMSQCCLEKNVRNTNATTLANIALKINAKVGGRNTVVADPLPVVSNKTTIIFGADVTHPSALDDTAPSIASVVASLDWPEVAKYNGVVRAQGHRVEIINGLEDIVKELLLAFQKEHGGKPQQLIFYRDGVSEGQFKQVLEQEIPEIEKAWKALYNEEPHITFIIVQKRHHTRLFPSDNRYRDFSGNVLPGTVVDRNICHPTEFDFYLEQAALRITMGCVMTTSSLLSADSLQSLTYNLCYTYSSCCRSVSIAPPAYYAHKLAFRARMYMPQASDAETSVSSHASSAHAAAPRQLPEIKNELKRFMFYC >Et_6A_048181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8975084:8981222:-1 gene:Et_6A_048181 transcript:Et_6A_048181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEELNSELERMRGQDEVQSDIATVEELAAIPEASPAQVTAHKSKRCAESADELVHNMAEKLKTARNEGQTPSSPSSKLLTTRHAQRTNSASKKLTQPASSRNAPSAAISKDLLCNVTISKNCGNHDDDDIKDTCRRLLYLLFGLAIIVGFIALVVYLVLRPTHPRFYLQDATLKQLDLANVSGVLSPRRCR >Et_7A_051572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20509828:20518061:1 gene:Et_7A_051572 transcript:Et_7A_051572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGYAKKLSYREEIGDVGMPEIFDSPEILHNKIEELAAMVQKSKHLVVFTGAGISTSSGIPDFRGPKGVWTLQRAGKGVPNASLPFHRAVPSMTHMALVELERAGLLKFVISQNVDSLHLRSGLPREKLAELHGNSFKEICPCCKTEYLRDFEIETIGLKDTPRRCSDKNCGARLKDTVLDWEDALPPEEMNSAKEHCRTADLVLCLGTSLQITPACNMPLLSIRNGGRVAIVNLQATPKDKKASLVIHGLVDKVIADVMSMLNLRIPPYIRTDFVNITLRYTLRKKCVRWTLRVTSIHGLRAPLSFLKSVKVSFPERPDMKPVVLKEQPFSLLRETSMNRPFVMLLTLNFSDGCGCSCSSIEWPVDFQKQKESFVRDKTLVLRELQCAAEQQSCAGQQEILERESLPRAETSTHAIVTNIIRYATEDGKVAPPKDNGVNHSSSSPTKRHMEDTSGSNLVPPKKLKNFFVKDEKLNC >Et_9B_064930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19984736:19987750:1 gene:Et_9B_064930 transcript:Et_9B_064930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVNPLTGFRVDGRRPNEMRQLKGEVGVVSQADGSALFEMGNTRVIAAVYGPREVQNKSQQVNSKDALVRCEYRMAEFSTGDRRRKPKGDRRSTEISLVIRQTMEASILTHLMPRSQIDIFVQVLQADGGTRSACVNAATLALADAGIPMRDIVTSCSAGYLCSTPLLDLNYIEDSAGGADVTVGILAKMDKVTLLQMDAKLPMDTFENVMGLAIEGCKAIASYIREVLLENTKRLECQRG >Et_5A_042530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18856977:18859800:-1 gene:Et_5A_042530 transcript:Et_5A_042530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEPADVSAKIKTFHHALLSEALAGSSLPFEQDVIIGVIDTGITPGSESFSDDGLAPPPAKWRGRCSKRINCNNKIIGAWAYDGGYPDGPASPIDDLGHGTHVAAGRAVGNASLYGVANGTARGAVPGARLAIYKVCWYNSGCASEDILAAFDDGVDVISAPIYADDVLAIGAFHAVRRGVLTSVPAGNCGPKLGTVSNVAPWMITAAGTTTDRRIVSRMVLGNGKRILAHSMNTFPDIGKQSLLVAPGSCNETLEGAMYKGAILICPPQQDIKQSMILRSGADGIILAVARILNSETVYNAAAPSVAGFSSRGPNLITPGVLKPDISAPGVEILAAWSPLSAPSTSYDDVRVVPYNIISGISMACPHVTGAAAYVKSVHPHWSPAAIISSLVTTATPLQSNVHEAEFAYGAGQANPTRAVDPGLVYNASEADYVNLLCAQGYNTTQLATMTGTNTTCSWPTGYMADLNYPSIAVPVINYGVDFAVEIRRKVTNVGPVNSVYRAKISSEQGITVSVEPDELAFTAERRELNFTVSVMGLLQTPAADGGSLGASASIIWSDGKHQVRSPIYVFPKQFRSYVEPAECRCRPGKCDSQLDY >Et_1A_004784.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4843319:4843801:1 gene:Et_1A_004784 transcript:Et_1A_004784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARVPSKPPMRVRGTEMQNHRARRVKNSEMGRAPVLFLPHRMEFNTVRMMKTIPGKKQEVSQATDFHPSEVPLIVLQRRTPTYPAIMPRKRYRMIIPVSSIPLEAGERNPRAANTIVTTAIPRIWIPSATITHNSFEQQGSLKTSPTTSFQPESSSFI >Et_10A_001543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4849226:4850509:-1 gene:Et_10A_001543 transcript:Et_10A_001543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQRSFTNLLNQDSASPYPYSESSNPSSPPTPQSQYVFPPNLLQNFNPFGAAGYPPYGQSPPRFQGVQQQRGWMPPLTASFQGFQPQDSFGPPYMHFGGAATASQTMLQFGPSAHLMFKRENKEKPFKFQYLWKIVKDLPKWRRITQDRSTNNKRTKVSASGAYTSSSNQETDEESINHDKRPEGQKAAKARLKGKGKGKGAASSPLGKPASKNMILYNQAMSHKAVAARAKKYETYLKLLDRDTSGFSEKELKRHEGVLDRLAKELAEDEGTSN >Et_1B_014292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5133557:5136861:-1 gene:Et_1B_014292 transcript:Et_1B_014292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVVERDGAGRRAHAAMVGLQLINAGYHVIAKLALNGGVNRVVFCVFRDVLALAVLAPLAFFQHRGSHGKTLPPLTWRLLGSFFLLGLTGFTNPTYAAAIQPSIPVFTFILAVIMGTETVSLISNEGRAKIGGTVVCVLGAVLMVLYRGPAAPVLMKFPSSLSLTAYSYFFGAMLMVICGVFATNDKDDWTLTQSEFAAVVYAEFSGKNKGPGLKTGKR >Et_7A_051212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15631660:15637788:-1 gene:Et_7A_051212 transcript:Et_7A_051212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAASCSGGGCDAVKKRPEQSVAFHELFSFADPLDWLLMAAGSAGAVVHGAAMPVFFLLFGELVNGFGKNQHNLRRMTDEVSRYSLYFVYLGLVVCASSYLEIACWMYTGERQVGALRRRYLEAVLRQDVGFFDTDARTGDVVFSVSTDTLLVQDAIGEKVGNFIHYLATFLAGLVVGFVSAWRLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRDSYANAGIIAEQAIAQVRTVYSYVGETKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGLSLGQSFSNLGAFSKGKIAGYKLLEVIRQRPTIVQDTADGRCLDEVHGNIEFKEVFFSYPSRPDVMIFRDFSLFFPAGKTAAVVGGSGSGKSTVVALIERFYDPNQGKVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTILDNILYGKPDATMAEVEAAATAANAHSFIALLPNGYNTHVGERGLQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSESIVQEALDRLMVGRTTVVVAHRLSTIRCVDMIAVLQQGQVVETGTHDELLAKGSSGAYAALIRFQETARNRACPSTRKSRSSRLSNSLSTRSLSLRSGSLRNLSYSYSTGADGRIEMVSNADNDRKYPAPRGYFFKLLKLNAPEWPYTILGAIGSILSGFIGPTFAIVMSNMIEVFYYRNPNKMERKTREYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLAVILRNDVGWFDQEENNSSLVAARLATDAADVKSVIAERISVILQNMTALLVSFVVGFIIEWRVALLILVTFPLLVLANFAQQLSMKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKILSLFCSELRVPQMHSLRRSQISGALFGLSQLSLYASEALILWFGAHLVRTHVSTFSKVIKVFVVLVITANSVAETVSLAPEIVRGGESIRSVFAILNSRTRIDPDEPEAEQVESVRGEIDFRHVDFAYPTRPDVMVFKDFSLRIRAGQSQALVGASGSGKSTVIALIERFYDPLAGKVMVDGKDIRKLNLKSLRRRIGLVQQEPVLFATSIFENIAYGREDGAGGAVTEEEVVEAAKVANVHGFVSALPDGYRTPVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESECVLQEALERIMKGRTAVLVAHRLSTIRGVDSIAVVQDGRVVEQGSHGDLVSRHDGAYSRLLQLQLHHG >Et_10B_003800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6272037:6275499:-1 gene:Et_10B_003800 transcript:Et_10B_003800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHFPGDEDVVHEAVGCGDVDPRDVGKLEHGCEHYRRRCKIVAPCCGEVFPCRHCHNYATASGDRHTISRQDVEKVVCLLCNTEQPVSQVCASCGVNMGEYFCDICKFYDDDIEKGQYHCSDCGICRIGGKENFFHCVKCGSCYSVALRDNHQCVENSMRQNCPICYEYLFDSLQGTRVLNCGHTMHMECFSDMVQHNKYTCPICSKTALDMSRHWEALDQEIEATIMPHAYRYKIWVLCNDCNKVSEVNFHVIGHKCSHCHSYNTRSTSRPADSSGSSSPTTDSSDNL >Et_1A_008112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4465517:4466441:-1 gene:Et_1A_008112 transcript:Et_1A_008112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAYSSTALLGGARLAGAGAATPSILLPRRNLSPLHLQAALTFRAREMVTDAPRLSLLRAKASSDDTSISGDELINDLKAKWDAVENKTTVLTYAGGAILAVWLSSVVVGAVNSVPLLPKLMELVGLGYTGWFVYRYLLFKEGRKELSQDIESIKKKIAGTE >Et_4A_035770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5560281:5564413:-1 gene:Et_4A_035770 transcript:Et_4A_035770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLCRSPSSILPSWPHRPISASFHPRTPSSPAAAHVSVQDPPPQDPAPPPDSSQNGKRSSSNMRYIWVNPNSPRAAGVARSRAGSSRRARLASAAAVLGACEPAEAAVAAALEDAFPEPPAEQDAVIVLNTAAARPETAILALRWFLERAEVRKKVILYNVVLKLLRKTRRWSETEALWGEMLRDGVQPDNATFSTVISCARGCGLPGKAVEWFEKMPEFGCSPDMLTYSAVIDAYGRAGNTEAALSLYDRARAEKWKLDPVICSTVIKVHSTSGNFDGALNVFEEMKAAGVKPNLVVYNTMLDAMGRAMRPWVVKTIHREMTDQKVQPSRATYCCLLHAYTRARYGGDAMAVYRLMKDEAMDIDVMHYNMLLSMCADIGYVDEAEEIFRDMVSMDARSKPDSWSYSSMVTLYSSTANVLAAEGILNEMVEAGFKPNIFVLTSLIRCYGKAGRTDDVVRSFGMLEDLGISPDDRFCGCLLSVAANTQAEELDKVINCIERSNAQLGAVVKLLVDKSSTSESFREAASDLLSSVRGVVKIPYCNCLMDLCVNLNQMEKACALLDAAQQLSIYTNIQTRTQTQWSLHLRGLSVGAALTTLHVWMNDLYTALQSGGEGLPPLLGIHTGQGKNTYSDRGLAGMFEEHLKELEAPFHEAPDKAGWFLTTSVAAKLWLEEKKSSELVFSDSLHRFLQN >Et_3B_028847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19810085:19814633:1 gene:Et_3B_028847 transcript:Et_3B_028847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMLSTDCLGTQELHTFCKTTEILEHSHPPESALDKTAVGSSLTNNQNVCCTAALSGENAEIMEISLLQDESDAAASSFRPLPFLSCGPRTMAPISVPSSSELESILSPDSIYSDLQLKEINYNSTAMDESTEFLHLILSGNDEGYNTAAEFQVWDVLDFYVSENFSALQFDSLMGFTNEVSTSYHDNMNLVDMVERPVARLSLDDTAETSNPSDEVSVDHTTTDHDETSLYLQTKPTDSETGSSSASGDAIETEYLDQKLLSRGLPDLMDVDLPNRLRKSPVRTKNRLLYTQHWITVTMLILLYVRERPHLKMFLEKAAQMFELVIFTASQRIYAEQLIDRLDPDGKLISRRLYRDSCKFSDGCYTKDLTILGIDLAKVAIIDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLESLADAEDVRPIISKTFHNEPQEI >Et_4B_037494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20356667:20359104:-1 gene:Et_4B_037494 transcript:Et_4B_037494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDAMKYEYSLTCPICLDTLFNPYALSCGHLFCKACACSAASVYIFQGVKSAPPEAKCPVCRAVGVFGRAVHMTELDLLLKTRDKDYWRQRLREERTEMVKQSKEYWDSQAMLSMGI >Et_4B_038499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29496502:29499510:1 gene:Et_4B_038499 transcript:Et_4B_038499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGEDKSFNFLQVLFEGSIAGGTAGVVVETALYPIDTIKTRLQAARGGSQIEWKGLYSGLAGNLAGVLPASAIFVGVYEPTKRKLLETLPENLSAVAHFTAGAIGGIAASLVRVPTEVVKQRMQTGQFRSAPDAVRLIVAKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLVAKRELKDPENALIGAFAGAITGAITTPLDVMKTRLMVQGQGNQYSGIVSCAQTILREEGPKAFLKGIEPRVLWIGIGGSIFFGVLEKTKSMLAERRNNKPLVHDAKSGSPKDE >Et_5B_045360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:188584:193568:-1 gene:Et_5B_045360 transcript:Et_5B_045360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFRFLRRGLLSMLPFKRSADEDAHQSAKRAKLTDADRNGAPPPEIDEDLHSRQLAVYGRETMRRLFASDVLVSGLNGLGAEIAKNLALAGVKSVTLHDVKNVEMWDLSSNFFLSEQDIGNNRAAACVSKLQELNNAVLVSALTEELTKEHLSRFQAVVFTDISLDKAYEFDDYCHSHQPPISFIKAEVCGLFGSVFCDFGPEFTVLDVDGEDPHTGIIASISNDSPALVSCIDDERLEFQDGDLVVFSEVQGMSELNDGKPRKVKNARPFSFTIEEDTSSYGTYTRGGIVTQVKEPKVLRFKALRDAMRDPGDFLLSDFSKFERSPVLHLAFQALDKFKKDHGRYPAAGCDQDAKMFLKFASDINEASVNSKLERLDEKLLKHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPTYPLDPSELKPSKSRYDAQISVFGSNLQKKLQDANTFIVGSGALGCEFLKNLALMGVSCDSKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAAAAASAINPNLRVDALQNRACPDTENVFHDTFWEGLDVVINALDNVTARMYMDMRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNSFMSNPSQYAAAMRKAGDARARELLERVSECLGKERCNTFEDCITWARLKFEDYFSSRVKQLTFTFPEDAATSTGAPFWSAPKRFPRPLQFSATDSSHIHFIMSASILRAESFGIVIPDWAKNTSKLVDVVNKVAVPQFEPKKGVNIVTDEKATNLSSASVDDASVIDDLLAKLEECAKNLPPGFQMKPIQFEKDDDTNFHMDLISGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVIAGQHPIEDYRNTFANLALPLFSMAEPVPAKVMKHQDLRWTVWDRWSIKGNLTIAELLRWFSDKGLTAYSISCGTSLLYNSMFARHKERLPKKVVDVAIEVAKVEVPEYRRHIDIVVACEDDDEKDIDIPLVLPLSHPVVNFRSGSTRTSGPSQRK >Et_2B_018916.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:16530075:16530401:-1 gene:Et_2B_018916 transcript:Et_2B_018916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LWENRGYIRVHPTSGPRHLRSAFNEYLEWVHEVSRLHLRPAFSAVYIVDLPDSDDNDDLIDDYDAATRVGTQPERAPLQNYTVSCLALVNTFSHSFVTIFDVKLLFSM >Et_10A_001321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23347946:23351141:-1 gene:Et_10A_001321 transcript:Et_10A_001321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PFNFESGGPPGSLPKLQLNPYSWSKVSSVIYLDSPAGVGLSYSMNDSDYQTGDLKTAADSHTFLLKWFQLYPEFLKNPFYIAGESYAGVYVPTLSHEVVKGIRGGIKPKINFKGYMVGNGVCDTVFDGNALVPFAHGMGLISLYRSNDIYKEIDGLNIYDILELCYHSTSIKEVIPQNSKIPQSFKDLGVTNKSLPVRTRMLGRAWPLRAPVRDGRVPSWQEFASKAATGAFSGVPCMSDEVATAWLNNESVRSAIHAEPVSSIGPWLLCTNQLEFQHDAGSMIVITRTLQARVTVLSFSVVIMICVYLTLGLKHGRYGVVDSWRPWFVNEQVSGYTQGYENGLTFATIKGAGHTVPEYKPQEALAFYSRWLAGSKL >Et_10B_004012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9190910:9194514:-1 gene:Et_10B_004012 transcript:Et_10B_004012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKSPAAAAPAPASPRKTRSMTEEVEQKGRKRASKKEETVAAAAEPKGRKKAKKEAESEAAEEKENGGAAVADGKRVIVEACTQCRQFKMRAQKVKEDLESSVPGVSVIINPQKPRRGCLEIREEGGEVFISLLNMPRPFTAMRKLDMDEVIKDIAKKIS >Et_2B_020119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1749761:1753088:-1 gene:Et_2B_020119 transcript:Et_2B_020119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRFEPPNLGFWMEQPCQWHESYLMPSQAGHPGFHVLFAGGCVERLEKESATDSKEKQKKKKKEKQSNKWENRNKVPTRQPLLPFCCYPSRRVSAEFRRGSPDSGIASAAASFPMAAPRKPGKLALPSVESTIGKFLTQSGTFRDGDLLVNKDGLRIVSQDEEGEAPPIKPLDNQLSLDDLDAIKVIGKGSSGIVQLVRHKWTVQFFALKVIQLNIQEDIRRQIAQELKISLSTQCQYVVVCYQCFYVNGAISIVLEYMDGGSLSDFLKAVLRGLIYLHHEKHIIHRDLKPSNTLINHRGEVKISDFGVSAIISSSSAQRDTFTGTFNYMAPERISGQKHGYMSDIWSLGLVMLECATGNFPYPPRESFYELLEAVVDQPPPSAPADQFSEEFCSFISACIQKDVRDRSSAQILLGHPFLSMYDDLNVDLASYFTTAGSPLATFE >Et_9B_065117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21320805:21326702:-1 gene:Et_9B_065117 transcript:Et_9B_065117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTMRATSSSWLLIVVCIATAGVLQVRAQPDSIDFISIDCGLPGTASYVDDRTKLSYVPDAAFTSAGSNYNISGEYITPTLGKHYFNVRSFPDGARNCYTLRPLNATGNKFLLRAVFMYGNYDGLGRPPIFDLYIGVNFWTMVNITDANNAVSKEAIVVVPDDLMHVCLVNTGSGTPFISGLDLRPLKSTLYPQANATQSLVLLGRRNFGPTDAMDIIRYPNDPYDRIWDPLFDTANWTTISTTESVVNYKKDSFDAPSTVMQTAITLRNASKSIELYWDSEPQPKDPTPRYICILHFSELQLLTSNNSREFYINLNDELWYREAYTPPYRFSGAIYNTEGLRGFTRYNISIKATANSTLPPIINAAEVFSIISTINVGTDTQDVSAITEIKDKYNVHKNWMGDPCAPKTLAWDGLTCNYAISSPPRITGVNLSFNGLNGDILSSFANLKAIQYLNLSHNNLTGSIPDVFSKLSSLTSLDVSGNQLSGSLPQGLLKRIQDGSLNLRYDDNPNLCTNDITCQSSKGKNKVAVYISVPLVLLVVIGLLAVLLFCFLRRKKQGSTNISVKPQNETPMSHLPQVGHDAYTQSSLQLENRRFTYKELESITNNFQRVLGRGGFGKVYNGFLEDGTQVAVKLRSQSSNQGVKEFLAEAQILTRIHHKNLVSMIGYCKDGQYMALVYEHMPEGTLQEQIAGNSRNGKCLTWRQRLQVALESAQGLEYLHKGCNPPLIHRDVKATNILLNAKLEAKIADFGLSKTFNHDNEAHICTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELITGRRPIIYDPEPTNIIHWVRQRLARGNIEDVVDVRMRMQGGYDINSVWKTADMALKCTVHVSVQRPTMTDVVAQLQDCLELEKGRASGDSDVDFSIGNSLDPNLGYNAYAADSQSTGTSQSSSVYAMKHNFRNVPATGTGPIAR >Et_2B_022053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8490449:8492919:1 gene:Et_2B_022053 transcript:Et_2B_022053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQFREPVWIDRRRADVRAHSGRGALGHLLRFVKFLLFAILDKAVPPLAALILLQRPPHGLRREQVRDGQPQQADPAENDQRIHHTNARPVPRLPVHPVPLLRRPQEPDRADDRAELPGRAGDPMARRPEPRREELGGEDECDGVGTEVGGEEGERVEHHVRGVRGVAAPVSVVGPREGEEEGGHEEEPGHLQPAPADAVDEEHRGEVARDGGRHGDDGLELGHVERLLERVHAVRRREPLPVDLGLEECAAVVGDVEQEPRRRAREQVPAVQLQEPTRQEAVVRWSRAA >Et_2B_020402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19973464:19976366:1 gene:Et_2B_020402 transcript:Et_2B_020402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSASTSGEWLTGALRELRERKEGALELDTDLISGLVSFCELAPPPDAADYLTNIIGEEAAQDLIQEYLRRRGYIDPSNGSGRSQAPNPQPYMKPSADASTTQTKKQTRKQKDTASSSSQSSKGQSETDESRLASKRGSKKKGVKAISLAEAAKGSIVFKQGKPCSCQARQHNLVSNCLSCGKIVCEQEGEGPCSFCGALVLKEGSTYAGLSDVGIPLSESEAAAEAYAKRLVDYDRNSAARTKVYDDQSDYYEMEGNSWLSSKEKSNIKKQQEEAQEAAEKQKGKVVVTFDLVGRKVILNKDGATELESEHPILRPSEDQSHRIQPNPTIREQPVFVETGPVKPKTDRARQSKRLGKNGLCLEVTGRVQHDDKDLQRIQSGKMKEGDHLAYSSFGQPREGDDFECSQDFD >Et_10B_003696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5001327:5002085:1 gene:Et_10B_003696 transcript:Et_10B_003696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERLMFEQCTSAREVIKMLLNLKEEKMIMVTTLFWIWWGERNKFREGEGWRSTETLVHIVRAGAISSVLDPFHAEVIAGIKSLQVANAMGMSRVILETDSLMMKQALKSKDYDLSVVGSLILELKNLIHLEQSCDVAYCRRSCNKVAHELAAYGKTLEPGSDFVEEGAPAFVQVWVAGDLTSTTK >Et_9A_063364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21667953:21670413:1 gene:Et_9A_063364 transcript:Et_9A_063364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWHDLYTVLCAVVPLYVAMLLAYGSVRWWGVLTPDQCSGINRFVAVFAVPLLSFHVISTSDPYAMNLRFIAADTLQKALVLAALAAWSCCFPSSSSGAGWAPLDWSITLFSLSSLPNTLIMGLPLLVAMYGRYSGDLMVQVVVLQCIVWYTLLLVLLEFRAARALIAGLHCPADAASIAAVHVDPDVVSLEGSQAEAHAEAMAPDGRRRLLVHRAPSASRRSQHASATPRPSNLTGVEIYSVSSSRNATPRGSTSFVAHAIGDVAVGAEPQLHSASLRMSSFGAADLFSLHSSRQHSPRPSNFDDHAARAARSAAAVVPSGHDDPSGKDVHVFEWSSDASAASELSGLPVFRSGDLHRARDARRLVPSEAPSGGSSRAMRPGERVASFKAETGQDALAKVEAGSTTTEQQQQMAAKNAGAGGQQKAPPGVMMRLIVTMVWRRLIRNPNTYASLVGLTWSLIAFRFHISMPIILRNSITILSDAGLGMAMFSLALQGVLTYRVILWCPGLFMAMQPKIIACGTSAAVASMAVRFLFGPAVMAAASAAVGLRGNLLRIAIVQIIERSQNYFLANFHGFRYEN >Et_3B_029622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26626436:26632044:1 gene:Et_3B_029622 transcript:Et_3B_029622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFVCLSCSGIHREFTHRVKSVSMAKFTTQEVRALEQGGNQRARDIYLKDWDWQRMRLPVNTNPDRIREFIRSVYVDKKYAGGSSNKPATDSENVKSNENDTRRPSSYHSYSQSPPYDFQYEDRRYGKQVDTLVRRPSDRALFDGKLGNLLFSPGRLRDQMHEDRFANESSGSRFSDFSASSTGDLRNDVLSPSSQDTGYSSPSVHHSRNVSAENPQSQKYPSAASQIDFNGVRIAQRTTSSGSFGSFDGSSVSNKSAESGYQPDAPTEKPGHSHSTQSYALPPNKSTRNSLDRNFGPQKPVDLGSQTVHTSQPVKPCGAHVETVEPLPIPAQPSAFASLDLFDQSTTQQAVTTAGTIDLFAGFNDQSMSVSHIGSQPEVAKEHVRTVLVQKAEAPSSVPAGAPTTSHPVHQDFFSLAMSQEPVTSLSAPQIDMFAGFNQQLPATSSVKQIPSPVPSPANEGWAFFDTQQRGSLTPVSHVQAQMPAAFPPADGITKGIDQSTFPSMPQNAVGSQNSPAAMDKWSLNAETVKIPISKENSQSWNAFGESTQSTSNNLFAFNAMSQVAPPQSAAPGAPYIGLGTTQDLVRGEPERPTPVDMFSCFNVSPDGMTGTPFLAPLQPRLGSMMSHPGKSTNPFDMAFESGVEANDMFMDLTSLQETLSDPHAPTDYPASLAETWISQNSTMPYISPGSQGILMHKYPSIETVILTVNKLFASPLNAGGLPYVAAEGSHILNATQQGLFPPRNPFE >Et_1A_008094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4295834:4308848:-1 gene:Et_1A_008094 transcript:Et_1A_008094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKALELYREVSSEMNCLRNSRSALSRLLPREPTTVSAPRPVPAQAPPARYYHASRLPRGNAASRPPPQVPSHRFFFSSPWGKKGTRSRGGPRWYHDPRKITSAVLLAGGGAVSIFLGNLDAVPYTNRTRFTVLSRNRERQVGEAVFALVKKWLGPTVLPPHHRVSLRVRRIASRVVRAAHRGLAGRQRQDAGAKTEADHIGLLLMAAAGYDPRVRPSVLENLGNIIAGGPASSNFLSSHPSYQERAQLLSQADNSFSVLSRLIRRKPAVCTPPPLAQAARPRCYNTLRVLRDKPVLRPPPPPTTTRAPARWYHQHTTPRRQDQVVFRSYREWSEDEWDRRLAAAVLLAGGTVIAIYIGNREKAPFTNRSRFIILTTKYERKLGESMFAKLKEKLEPKILPPDDPQSVRVRRISSEIVQAVHRSLATTNDGEGAAAACGGYGDISADLAIKNRDAEAHRGGDEAMADDELQNRVAARTSSLLDGWEVIVVKDDMINAMCGPGGKIVVYTGLLDAFREDAEVATVLGHEVGVFHATRCDLGCVVAGERAELTSLRFLQVGHAIARHSAEKATTHFWLLIVKIAIFMSTDMSRTDMRDLSNMFELFLSRPFSRRMEMEADHIGLMLLAAAGYDPRVAPGVYDKLGKVGGDSVLQNYFSTHPSSKKRSQSLSQEHVMNKALELYRNSRSVLSRLLRHNPTAVSPPRPLPAQAPPPRYYYASRVLRAPPSPPPQAPHPRHFYTSPRRQEVIHFSRRRGGSRWYHDQRKLTAAVLIAGGGAVAIYLGNLEAVPYTNRTHFIILSPQLERQLGESQFAELKKELGPKILPPLHPDSVRVRLIASEIVRAVHRGLAGRKLRHAAYGEDASYGYGDISADLTIKDRDAEAGAVMLGGSPRKNASVAAEAQRDDEVLDDRWVTESRNRGKARGAQPQTSHLDGLNWEVIVVRDDMVNAMCLPGGKIIVFTGLLNKFRADAEVATVLGHEVGHAIARHSAEQITKNMWLGILQIILLQFIYMPDVINTMSTLLLRLPFSRRMEIEADHIGLLLLASAGYDPRVAPSVYEKLGKIGGDSALNNYLSTHPSSKKRAQLLSQAHVMNEALELYREVSAGQGTEGFL >Et_1B_011087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17426992:17427713:1 gene:Et_1B_011087 transcript:Et_1B_011087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALRYSALLRYSAPCLHLLPAGHGVSRLSVTSARPDITWVLSSCTGGRRRSLSVRCEQGAKGGGGGLDVWLSRGAMLGFVGAVAVELTTGKGVLQNVGLTAPLPTVALALTGVVGVFTAFIIFQSGSRD >Et_9A_063604.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:9227860:9228513:1 gene:Et_9A_063604 transcript:Et_9A_063604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAPELPPRYYAAVRSGSSSSSAVASCLVAVLFLLLAAGGAAVALFILYHPRAPAISVTAVQLPAFAAANGTVAFTFQQLASVRNPNRSPLAHYDSSLRVAYAGGEVGSMYIPAGQIGGGRTQYMATSFTVPAFAVSPSSSSSAQPVTISIPASGPSPAVSSRVEQQPQALEVDSLLVVKGKVTVLKVFTHHVEAAKVCRIGVSPADGRVLGFRC >Et_7B_053445.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:2001603:2001800:-1 gene:Et_7B_053445 transcript:Et_7B_053445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EARSCAVRTERTILSPFTKEYRVCAALSSIRLFLEGILLVEGPHNSNQIKVPALLLNSLRLRWSH >Et_2B_022780.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:579391:580029:-1 gene:Et_2B_022780 transcript:Et_2B_022780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSSTFTAAILLFTVAFLAAHHVVDGRNTVVAGDIRHDHDLVAKACANASFYYSKKEMTQEFCESTLRSDKRSAAAKVPRDLAVIALDLLQHSAADAAAKIAGYRKGKDAVLDLDYNELAQTIPLCRAAVQKYKGDGVTDMLDLDYFNCAMGAAKDCWMDIYIMMEYQAMTKDVWGGGQVKEASHRATLVKAMVEQMIGEADFRDHNN >Et_3B_031484.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:31223265:31224356:-1 gene:Et_3B_031484 transcript:Et_3B_031484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTLELTLISAKDLKEVNLLSKMEVYAVVSLSGDPRSRQRIQADRTGGRNPTWNATLRFTVPASGAGSLHVLLRAERALGDRDVGEVHIPLSELLSGASDGPVAAKFVAYQVRKINSGKPQGVLNFSYKLGEVTQSAGYAPNSASAAYAQPPPAAAYPPASAYPPAGKANAYPPPSAYPPAGKADAYPPPTAYPPAAKADAAAGAYPPSTAYPPASKPNEPATAYPPSSGYPPAGKPAKAGEPVTAYPAAGPSTATPYAAPPPQYGYGYPPQQPAGYGYPPPPQAGYGYPQGGYGYQQQAVRPPKKNKFGMGLGAGLLGGAVGGLLLGDMISDASAYDAGYDAGFDDGGGFDGGFDGGFDF >Et_7B_053407.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:16186117:16186179:-1 gene:Et_7B_053407 transcript:Et_7B_053407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEVRGYEERTKALSSRHV >Et_10B_003854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6811410:6812792:-1 gene:Et_10B_003854 transcript:Et_10B_003854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIQGTTTKCTACDKTVYLVDKLTADNRIYHKACFRCHHCKGTLKLANYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKVVKPERTVENENAIKVSSAFAGTREICVGCSKKVYPIERVTVNGTMYHKSCFKCCHGGCTISPSNYIAHEGKLYCKHHHIQLIKEKGNFSQLENDHEKASQAGSLEDEESDY >Et_2A_017131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31157810:31159123:-1 gene:Et_2A_017131 transcript:Et_2A_017131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRIERLAVFAKAAAAALPANAVAQGAGAPANRRYKGVTKRHDKWGAEIRDPDELGWNMWLGTYGKPEEAACAYDAVARTLWPGWSTNFPELAGWEEDRRRAVVDAHVHRARTKHAKRMDEDARSKMDDAAVSAVAPPLALPAPEGDASGSQISAPPAGADASAHDDNAVAAGAVAVAAVEVEVEPPASSPTPEEIASGVPNLMPPPPAHVPPPVSAPAPTAPAAAIRQGQEYMNKALNSLLTTALELVGEIMDLRLQLTSLRQAQAAPVAADAPNGPAAQPFPAPGAAPQPGAPTDNGQANDSAGSGGSDPAGMEE >Et_4B_037553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2181392:2187482:-1 gene:Et_4B_037553 transcript:Et_4B_037553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGTSSPRALTSRPQSRWQTGPANPAGLAAFHLAAKTARHPNPAAFAQFASSVLPAVNAKRNIVQLLLMKQWLSTEDTHHLSNLLVPLPDDPPQSTLILSPRVLDHIASQKKQFEDTVKQVLNVVNMALQGHCLRSGEQLMLHSVCGTSLLKEEGLDDFYQIIFLAYHKASGSAACAPVLFFTEAVILASAEIDIRLCVPVDLVTDIGCCFACERYKKKIVHPFYDEYLGGREFQVDEVDYGSDFPNPLDVDYIFFDAVRDSTFANYLGWVMAGRRNRSRRRRRLRAKVEEVDIAEKASSSPSSSSSSQRPDRRKSPLSNRLSEGPDLRRRPRDATAVRPWLLSLIRGYYIDAISRLPADELRTTIARGLLVAGHCYGPLHPVHNIIVNAVWYAAAFPFRATDFDPIVADVISSDGIRRLAHRSLDGLVASLRHHCPALSEDDALWHLCLSGAGLHGATASARGAVPFGRKEQEAQALLVAAKAARHPKPAAFAYFATSVLPDVEREALSLLAGKCRLSSPDILRLSSILLPCQLLDDAPPLPLPSPSLRQRRQKVIRIITKKRSCCRRLYRTVLDIADAALLKFAHQTGVRYCLLTTFGHCILPDKDRHLRQYFHINFLACPKGRPPSATRAPVRFFAEAYNPPIRNCSEEHITLCCMLVDTQPSSSHVGNCYACVIRNQEIDHPNDKEHFGVCLYKKDEADKHRGWQSPTIDVDYRFFDPDRDIDLMESFADKVARAEAFRLKLRSERSAIRSKLRSEREARVKEAGAPTRPKYHLFGGGDDTSDDEDEDASDDDVEVFCTRCI >Et_9A_062145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2035874:2040543:-1 gene:Et_9A_062145 transcript:Et_9A_062145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANPKNIFTIKVEDGKPGKEGQPSVGPVFRSSLAKDGFPPLEPDMQTSWDVFRIAAGKYPNNRMLGWRPFKDGMPGPYLWKSYKEVYEEVLQVGSALQKLGVQPGSRVGIYGTNCPQWIVAMQACNGYTLICVPLYDTLGAGAVDYIIDHAEIDVVFIQDKKIKEVLSPNCKSAKRLKALVAFTSATSEQIKESVQIGMKMYSWNDFLKLGRDNPAQPCPPKADDTCTIMYTSGTSGQPKGVMLSHESHAMYVKGVNLFMDQFDDKMTPDDVFLSFLPLAHILDRMIEEYFFHKGASVGYYHGDLNALRDDLMELKPTLLVGVPRVYERIHEGILKAIAELRPLRRVIFNALYNRKLASMKAGHSHKTASPFADMLAFRKVKARLGGRLRLLISGGAPLSNEIEEFLRVTSCAYFIQGYGLTETLGPSTVCYPDDMALVGTVGVAATYTEIRLEEVPEMGYDPLGTPSRGEICIRGKTVFTGYYKNPELTNEAIVDGWFHTGDIGEMTPDGILKVIDRKKNIFKLSQGEYVAVEYLEKVYGFPPVVEDIWVYGDSFRSNLVAVVNPHEENTMKWAESNGYKGSFDEICKLEGLKEYILKELTAVAQKNKLRGFEYIKGVALDPKPFDIERDLVTATMKKRRNSMLKYYQPEIDKLYKKLEDQKNATKVK >Et_4B_036587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6740416:6740919:-1 gene:Et_4B_036587 transcript:Et_4B_036587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDTVELIALWASSSPSLAAFCFSHLIIAVLFLGSRGSASDVHSRGECAAEAGAAETLHAVQLLHSRETNSAGREDSAVATNISERGSEACEAGAGATQQRGAETNGDGEEASARAGTLQERCRSEDEEDELMVRAEEFIQRMNRVWRAENVRAC >Et_7B_055826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5432651:5435622:-1 gene:Et_7B_055826 transcript:Et_7B_055826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQEFEVMNDQRTAGGHVGHEDHLKNPLHLPAEERVPKARKPYTISKQREKWTEDEHKLFLESLQLHGRAWRRIQEHIGSKTAVQIRSHAQKFFSKVTRESSGDGNNAAAPPRIRIPPPRPKRKPTHPYPRKLGNAPSKDAPVLNQLQVQSFYEQENASPKSVLTVAQLGSETLAHDSGRSPASSLDVEERRPAPRVEVAAQLSPYKVANSDAVSKHVKCAIPESAILTLFGKRVLVNDLNQQPNLDTGNQQNAVDMELDASAETPTSGAGKLSSNDAVEANTWSPWLTDTKQFVYYLPQGEVFAVPSDCQFFSYYNGSISCAVLSPKANKQHQPSQAEAADSILSSGEGSCTDSITTSSSFPETLTQNSDSEESMQVNNRDDEVIPIPGSRKCVNPAPNCLRGFVPYKRCTAESKMLQSPTPGEEADREMTRLCLKNILRLLMRGRLKPLKQPSTNGQADVVPAFPCVSERSVQNKSAQYKVDWHLGDVSEFSSSTCNLDKDYSAIQELQKAQRYQRSS >Et_4A_035196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11540681:11542022:-1 gene:Et_4A_035196 transcript:Et_4A_035196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAGTCWSRFMLLLLLVCSCSAAAFPGGFGYPAADPTCPPERPSELSLYTDYVSPQCKPPPPYIPVAVFPHDVAPLQFALNLEFTEAEFFLHGAFGVGLDQIAPQLALGGPPPIGARKANLDEVTWRVIAEFALQEVGHLSFSRTVNSSEMCTLSWPRARAIERTVGGIPRPLIDLSGHNFARIMDMAFGYHLDPPFDPYIDSLNFLLASYVIPYLGINGYAGANPIIDGYETKKLLAGLLGVEAGQDAVFRGLLFERLGETVPPYRNITVAEFTDRVSALRNRLGRCGVKDEGLTVPRELGAEGAICTNVLSADRDSLSYPRTPAELLRILYLTGDEHVPGGFYPKGANGKIAREYLGEHYGLTLDEAPEH >Et_9B_065317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3740562:3743709:1 gene:Et_9B_065317 transcript:Et_9B_065317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCPPSFWNGRFPFPTHSVRVENVQSCRLSFYTSNLLEKILNLTRRREKSLVVAADEFLIDRVTKFNLLKPIIEVFVENGDRDNMLHSGVLELLEYIRKENIDSLLEYVYNSFWGQLVNFEHLKSIQDFKLKHQQVETLVSFAPLSCRLSITLEIMETAKTKPSTNLIDMRKKAEERGVDKQEEDNFNKDSNGEGSPKRATHERKQSIFKSSDGSETCHVPARPKLVGLVDYDDDDDEKVFNSPHKMAVSSDEDDQVAHIPMVRLSSMDGKNTDVKANKKPKLEVRISCAKIVPAVNVTDKPSDLEDNTKAPLFPPACLESSEDDEGLGDGSPGSQIEQSAAQNLESVHQTGMDCTEAAKNSPPKTD >Et_4B_036965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1299870:1304375:-1 gene:Et_4B_036965 transcript:Et_4B_036965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGRKLEIWPTSSGPEFPLAPFHAAQNSRPPVSTAPLPSARRPPRRPAERARLQRALAVAASEFRASEARLWRIHFGRGRETRRNRKNHSKPQAPQASRAPPSPPPPPPPMPVPMPMERKQAAYTNLDERYAIQGEKYQGQQYSHIYFTRLHHMRNLLHALVPSWKPQIPVTTVLGLEEGKDCIIVGTLYKHMKLKPSILDEYSKERSAIPLVKPHNFMHPDDHLILEDESGRVTLAGAIAPAAYVTGVVVAVHGKETSAGNFLVEDVLEAGLPPQTSLSTADEDKYVVFVSGLSVGSDTFNPLQFQLLIDHITGHLGDENEQSIASNIVRVVVAGNSVHISPRFLNGQTVASKDQSRIAEPIKELDIMLTQIVASLPVDIMPGCHDPANFSLPQQPLHRCLFSGASTYNTFSSCSNPHQFELDSVKFIGTSGQNIDDLYKYSDAKDKLEFMERTLRWRHLAPTAPNSLGCYPYTDKDPFLIEGCPHVYFVGNQDQYETRLLEGPEKQKVRLICIPRFSESGVAVMYLKGSDQIVNQSGVTKIRTSQE >Et_1A_006799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27704383:27711836:-1 gene:Et_1A_006799 transcript:Et_1A_006799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGAHGDAAHHLPTSGAPSAASSASSSSGAAGASRRRKRPGLSCRPSHLFFALLVALFTASLLVVWQLLPIGDAADGDGEAPPRPEEAGGVMRFSASRLALRAFDGESRLDAARSERRWWAGHSPVRLALVVGSMNIDAQSLMLATLAKSLTSLGYEVEVLAFADGKARGIWENICHAQVVNYTSVKVVDWLKYNGVLLSSLEGRKVVSILMQEPFQSLPVVWLIHEDTLGQHVRSYAESHESIPNVIEDWRAHFNACAYVVFPDNYLPLLYTPLDVGNFLVIPGSPVDIWAVKRYGSSHSQETKRKQHGIKEDDVVVLVVGSYLFFDELPWDYATVMRASAPHILDIAKTKNLRMQFIFFCGNGTDAYNSAFQELASHIGFPDGSIKHFSMTHDIRDLLMFVDVVIYGSLRQEPNFPPFLLRSMSSEIPIIAPNLTCITKYVTDGVNGFLFNSDDPSTVALAFTRILGEKRLLDTAYSVALEGKLLSKNMLAYDCITAHVMLLESVMHYPSSAKLPSSVSKVQDRTWLWDPFEMKVALENGSLEDESHSNTKVIDILLGGFHENNQTFNSNSSDTYDYPSLSDWNDLSEVEIFEDIDERVERPLLSWDEVYKNARKSERLKPEGNERDEGELERTGQPVCIYEIYNGEGAWPFLHHGSLYRGITLSKGGRRPRSDDVDAVTRLSVLDNLYYRDLLCELGAMFAIANRIDTVHKLPWIGFQSWRAAGRKVSLSRSAEETLEETMTEEYSEDVIYYWAPMDTNQSSDFWSMCDCLNAGHCRSLFEDAFRTMYGLPEGVAALPPMPNDDGYWSTLHSWVMPTPSFLKFIMFSRMFVDSLHSLNENSTDPASCLLGTSQPEKRHCYCRILEVLVNVWAYHSGRKMVYLNPATGNIREQHPLDDRNEMWVKFFDFTLLKSMDEDLAEEADDGMHPRNDQWLWPLTGQVFWPGIADREREEKYLKKLDKKLKNKVKLLERQKSGYKQKPLGQ >Et_7B_054063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14844387:14848328:-1 gene:Et_7B_054063 transcript:Et_7B_054063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGATPRRKSVPDWLNNPIWSAPAPAPRPRSPPRAPSPPPPLPVPQPPRDPTPPTPPPPPPAAHDRDDSDEGDDAGAAGPSRTHLIAEFKAALERKVVDLAELRRLACQGVPDAAGVRPVVWKLLLGYLPTDRALWPYELEKKRSQYSAFKDELLVNPSEVTRRMEEMAVSKREEQNAEGTGVLPRAEIVRDEHPLSLGKTSVWNKFFQESEIIEQIDRDVKRTHPEMQFFNGDSSSALANQESLKRILTIFAKLNPGIRYVQGMNEVLAPLFYVFKNDPDPSNSTSAEPDAFFCFVELLSGFRDNFCKQLDNSVVGIRSTISRLSQLLKRHDEELWRHLELVTKVNPQFYAFRWITLLLTQEFKFRDCINLWDTLLGDPEGPQATLLRICCAMLILVRRRLLAGDFTANLKLLQNYPPTNIDHLLHIANKLRGPLPY >Et_4B_037591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21563724:21564823:1 gene:Et_4B_037591 transcript:Et_4B_037591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding STGQRSSNRSNRRQQRKDKLAQPNRVSPPFAVSSSQLSPPNTLLISLTQYPSPLIILNQYRPGFHAGPNWISVSTARPISANPLPLYQSEDRAVGHRNSPRTTSPRRLFSRQSENLVSSGNRRSPEVTQHRMPRKAKSTVQVPPASATPSTGVQYWLLKTEPGEWSWSDQARAPGGTGPWDGVRNRQAMNYLRAMRPGDQCLFYHSGAGAASRRVVGVVEVARPWYEGDEAKEAAGGAVDVRAVGEFRRPVALGEIKKAADKVEGMRDFALLRQPRLSVMPVPVKVWDWICEMGGGFVQAGEVEEEEE >Et_4B_039821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3418297:3418897:-1 gene:Et_4B_039821 transcript:Et_4B_039821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASTTKSLELIVLALQLTAVHSDVSNAGMPLWVERHERRLLLTESPRVDAVVAQDGSIGAALKEAPPGDARFTYGVYAEVVEVHRSNVMLIGDGAGRTVITGNRSNVTKHGMPCTATALKVPGSWPET >Et_10A_001141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21502243:21505265:1 gene:Et_10A_001141 transcript:Et_10A_001141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAGRRSLLRPGRMVLTSVAVGSLVAAGAANAAELHDYLQGPSGILLADLGIGDWFGGLLYSAGQQANEAVQDQLSALSFTSVAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKDRAEVVRNSIAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAIIMGMNLLEVVELQLPSFFSDFDPRAAAANLPSSVQAYLAGLTFALAASPCSTPVLATLLGYVATSRDPIIGGSLLLTYTTGYVAPLLIAASFAGALQLAVIQKIFGMDKSNQWCISTRWRHLYPIGSGFSCNVNGHV >Et_3A_024158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17977731:17981181:-1 gene:Et_3A_024158 transcript:Et_3A_024158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFQRKNSKRVKETDGSPKKDGSRGKNDLFNRARGGLDALAGSLQSAKNDAEAATEKFQGDVKSGIETILHTGSGILEKAKAELGSHSEASRSKELGSKDKEPEAEEKANDGEAAQNVDGSASADKTEGLSAVEHAVEEIQDVIAGVQQQQTARDETETEASTEVSAAETSAEGEKPEATTREVEKDDPSKRIGFLGFFAMLFEKFCSPANKKKD >Et_7A_051039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13924819:13928324:1 gene:Et_7A_051039 transcript:Et_7A_051039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AEPDEPARGLLLKLRILCFLSALFLPPPSLSIEAKLPRHPKRSHPGCPVDQAVLLVLLLLPPPPGSAAMEKYELLKDIGAGNFGVARLMRHKETKELVAMKYIPRGQKIDENVAREIINHRSLRHPNIIRFKEVLVTPTHLAIVMEYAAGGELFDRICNAGRFSEDEARYFFQQLICGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKTADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIMSIQYKIPEYVHVSQDCKDMLAKIFVANPAKRITIREIRNHPWFLKNLPRELTEAAQAMYYKRDNSAPTYSVQSVDEIMKIVEQARTPPPSSTPVAGFGWAEEDEQEDGKKAEEKHEEEEDAEDEYEKQVNEVLIVCGLIFKFSFIFFPLILQQCKLLRWIQHCLCIEGLI >Et_8A_058470.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8657245:8658114:1 gene:Et_8A_058470 transcript:Et_8A_058470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPNTNARTAASGPRSSSWATCKPPPVPVPPASAPPTSPSTQPGACGRASSHPPRRWTTPRRRSPSSSTSTASGSCSSPPHPASTTRSAAGSAGSWASSSRVSVNYRLAPQHRFPAAYDDGVAALRYLDAHGLPVAVDFSSCFVAGDSAGGNIAHHVAQRWASTSPHGRGNNNLRLAGAILIQPLFGANVCPSLTLGSTDYYWREFLPEGATRDHVAARVRRRDVESFPPAMVVVGGFDPLKDRHAAYVEMLLDMGNEPVRLAEYPDAIHGFTSSPKSLTLANSSGT >Et_3A_027310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7319244:7322517:-1 gene:Et_3A_027310 transcript:Et_3A_027310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAMCSVQSASDKAAVPDTAASHSGSAAPRDATLGRHLARRLAEVGARDVFTVPGDFNLTLLDELEAEAESTGVRLVGCCNELNAAYAADGYARARGGGVGACAVTFTVGGLSAINAVAGAFSENLPVVCIVGGPNSNDYGSNRILHHTIGLPDFTQELRCFQTVTCYQAVVNNLEDAHEQIDNAISTALKESKPVYISISCNLASIPHPTFSRHPVPFFLSPRLVVTSIFASVTAQEVSTMIRWGQNNIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVEAFHNGEGKCYTAKVRTEEELKEAIGAALGPKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >Et_8B_058993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1219447:1226127:-1 gene:Et_8B_058993 transcript:Et_8B_058993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDASHGASSSSTVKPADDPESTIEINIKTLDSQVHKLRVQKNVPVSVLKEKIVEATGVPLDQQRLIFRGRVLKDDHLLSEYHLEDGFTLHLVARRAAEGQPSSGTSEGNTHANVNVAANGGLLDDISRSVRDLLGSLGVAMSGGVTSTAFAVPLTTAPEGTNNAPGGTQPANPAQPGFSGHQIHVTQLQPAGAIPRNLVIPDSMTTLSEYMERMDQVLRNNGTPPSRDSEGQQRPVADDAYLNPRFPSPEVLAQVIERTQQLLGGSAASALSHIAQRIRSDAGSADASIRREIQTESVQLGLAMQHMGAMLFELGRTMMMLRMGQSPPEAFVNSGPAVYINSTGPNPIMVQPSFQNTPPFGVSNIPVMGGVSGAFGIVNPSRSSGFVDPFRGAPAASGSSVATTTSPEGAVNGDCQGAVRTQGGNPSSNSATTRGLPTRTVVAAIPARSSAEVPNHVLSVLLPVQVRSHAAVSNQSTSSQGSQSAVGNGSQQNSTSAAPQASVGAAAGVPSAAQINALVANALAANAPNQVPPSGQNTADHGSQQNSTSAAPQASVGGAAGVPSAAQINALIANALAANAPSQVPPSGQNTADQGSRPTTDSRDSSSTSTTTQLHSEPASNTVNVHSVDSIRQNSQMHGDNVRLSCEELATSNLSRDPTATSTHDVPSSISAENSALENKSADGVNSQSHEPSASGSSQPLGLGGGLIPKRRSRTAKPSGSTTDPGMDSAPVSQNKDAVSVAQQFLQGFASQNTNATRSNTTNSAPPSSTPQPARVPLRRQGGEGQPDIGSMISGMLNNPVFGNLLSNVATQAGGSRADLRSVMEGLQSPAIVDTISNIVQNVDEQDLGSMFGSGRGQGGIDLSRMMQQMMPVVSQVLGGAGARPTGANSGESRSQPQLNDSGEGNGRSSSQIDLQQARELIEQHESPEDIFSAVLETAAQAYGEDDDMQGMIEELVSDPELTNGYLELLVEQVRQRIQSESQSRDQS >Et_10B_002429.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19641947:19642540:1 gene:Et_10B_002429 transcript:Et_10B_002429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKINLSYVKILARIVDQLRLKAPKRTYETRASGNFHAVIEVHLLSWLPRGYNGPREFRRSSPISARRAIRKAARDVVQRLEKSGLVKFDDFRGQDLRLWKYRVLEIAKVCKEIAGERDDLERDFTFLQKKYAKLLEDNRKMKRKMKRLQKEISCFKTNSKEENVIAENNQLKQLIHDPKIQVSKAAHGSG >Et_1B_009923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11388312:11388608:1 gene:Et_1B_009923 transcript:Et_1B_009923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNYVDTTGEEGRFHGPHSSSTTPTGAAASSPRTMRRSFSSASSGGGTHKSFKCRLHRNNSQGHGHPHPSPPTSPAGLESAAPQQAQ >Et_3A_023524.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32412934:32413221:-1 gene:Et_3A_023524 transcript:Et_3A_023524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLEEPHVASRSYYRVFAELGFVASIIAGVCIQRYGIVLQTNTAADISKAAVFVVGAWTCGENIGCLCSDWMFGPKYIPPPQPRRRRRRRGVPA >Et_5A_042036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5577728:5578515:1 gene:Et_5A_042036 transcript:Et_5A_042036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDARMLSFLLLLIAGTAARLSTRAAAQQASGVVATFNDYYPAQIGWDLRAAGAFCATWDADMPLDWRQRYGWTAFCGQHYSYYNQCMRSSNKQSKYSTRNRDLPLGWVLACVLVFASQVTNEATGAQAVARVVDQCDNGGLDLDAAVFSQIDTDGAGAASGSLVVDYEFVECQD >Et_8A_056842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17768076:17772029:-1 gene:Et_8A_056842 transcript:Et_8A_056842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPLMGHSMSDGLALLQKILGKNNPNLLETTMSEFLKFTYDAIPDPPVSPAARLASAVAARAPDDGVDRLSRLPDQILRNVVSRLPPLARRWRGLWCSVPLVLVDEHVLPARLPAGRMALGGDDAVSKAVVAAVSRILAAHQGPIRSFHLTRDHMVSHEAEAERWLKLLAAKGVQELIFFYHPWPCDFPVPAAVFSCVSVTHLQLGVWRLPDTAALPRNTRFPHLRDLVLSFILMRDHDLAFFVEKSPVLENLTIIGTQFPVLLRLVSRSLRCVQLGMFKGNVAVGDAPRLERLFLWMTLNRREERSRMKIGHAPNLRMLGYWQPAKHELEIGSTVIKESTKVRPSTIVPIVRMLALEVQFEVCNDVQMVPCFLKCFPNVETLHVYSQKAEPTGKLDIMFCQEAGPIECVHSHIKKLVFQQFRGKRSELMFLKFIAERAQVLKEMFVIMALESFSSEDDMKTKMKLLAMVKWATKDCTLIFVMNPATGAQGSPSWSFHKASDSSCMDPFDLRTVEDEFWVFHDCSSPVSYEESSMFYSDLFVNHVAGHDLFRTITAAVALLKRSLASNPYRTEK >Et_4B_036561.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29781166:29781273:1 gene:Et_4B_036561 transcript:Et_4B_036561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKEEINSYRIAWGYHGGDGGVLEQGENNAPAPV >Et_3A_024080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17146727:17148134:1 gene:Et_3A_024080 transcript:Et_3A_024080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPVEEDDAGAESGGCNGRLSFSVGAEVEVCLDGPGFRGAHFEATVAARRPASDGYDVVFATLLARRGGPPLRDFAAATHVRPRPPRPPPGRKFKLFDLVEAFHDDGWWPAVVSVVRRGRKQRYAVSFPLFREQVDLPASLIRPRREFWFGSWEDAQEVLLGMPHYEEESIVEVMCDEEK >Et_8A_058167.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:22651388:22651951:-1 gene:Et_8A_058167 transcript:Et_8A_058167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDKSSRVSSLYLGKSKKGVYLASLNRSDLRVWILDESSSDRAEWVLKHHNDIGNVRPRHGGAYDDDPWILEDVNYNDAREDVKYHWRIMSESYSCNNPLFFGEDRKTKCYVKNLQILGFHPFKEMLFLSGSSRSGLSYRLDGLELSYLGSIYPTCYGEKIAARRGSIETSFPYTPCWIEEIPANK >Et_3B_029272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23658532:23659186:1 gene:Et_3B_029272 transcript:Et_3B_029272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAPTVLLPLLLLLFSPVAMSGALAPAGAEEGGQDVYVVFISRGDYTDSVDYDLRLLASVVGSAEEAKEALVYHYSGVRFLRGSNPSMLISSQKEGIAVLKDKMYHVEENV >Et_2A_018818.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8143773:8144741:-1 gene:Et_2A_018818 transcript:Et_2A_018818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKPFVAGALRRSGWLGLFNRAPCRGFQSRRGSPIRDLAPNRRIHTAADPSWQTLNRWGITRDTAADVKVFVDDKTVAECRTSSGQPFRVSLSAASPPAISFICKVDDESADDTHVYVIVAHGDSVLFRRTRVPRPTLQEELCCHRPRYDYFFYKLQAAIGEPPSLSLLPTCLIPIEECNYEGYINNYPTARELDQSDTCVLRCGKDELLVAQLKVANEAPFNTAELCVLRPGRSEWEHKTAAPIVHHRTGHAHDLQMWQDTNVAVPVGDRFLCWVNFDCSTFLLCDMADREEDRRPTKIRYVWLPVKAVPPRDDEDYDDE >Et_3A_024119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17509481:17512408:-1 gene:Et_3A_024119 transcript:Et_3A_024119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGTEDYEQEQEMEVEALQAILMDEIKEIDPSESGLSTTARCFEILLSPQDDDFDESAYVPVQLALIFAHTEKYPDEPPLLNVKSVRGIKPDDVASLKEKLEQEATENLGMAMVYTLVSSAKEWLSEHYGQNGGDEEPEDTEAEEEEVIVPHGEAVTVESFLAWRERFEAELALQRAKLMPDSALTTTKEKKLSGRQYFESGRHTVKGASTVADEDEEEEEDIEFDDDFEDDEEDMLEHYLAEQSGGKSSA >Et_9A_062070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19525550:19528472:1 gene:Et_9A_062070 transcript:Et_9A_062070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFPAAPSSVCGACVPAACHFEARSTVAAPRFLRNRVEAKRRSASAWPLKAGLWDSLRSGFVKNNNGTQTVEPPSTPLEVEEPVPEELVLLERTLPDGSTEQIIFSSAGDVDIYDLEALCDKVGWPRRPLSKIAASLRNSYLVATLHSVVRHSDTEGEEKKQLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALMEKVIRTLLQRDINNITLFADNKVIDFYKNLGFEVDPQGIKGMFWYPKL >Et_4B_039697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2717918:2731593:-1 gene:Et_4B_039697 transcript:Et_4B_039697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQNTNIFSQGGVHYTSWIPIVARRHQAMDCPDPNQSAVAGLPNDLFLEILLLVALDLPRFKCVAKAWCRLIDELLHRKEHPRTLRGFFFMDEAIWKWDGGGSDGGIRGFLELLPSVPLSIDPTFNFVTKLPWIHSLDYVTTCNGLLLFEHTRGGTDDFLLHYIVCNPATEQLEAVHPYRYRPQPSDLSRSTYLVFDPAVSSNFHLIQFSVDVDEDDYNDEEEEEDEEYVKREIFSVHTYSSETGKWSQQDAKEEQEKLKGWSSFIIEKQLGAFVNSMLHVIVNVDGEDRVFAVDVQGKIHKIIPLRVPTKQDCVIYIPDRIIANSKGSLYFVDKGSDAQLSIWVLEDYEAEKWVLKDTVTYLDLFGNDGCKPLDFNLVTVHQDCSIAFFRYNKHLISYDMDLLEARHRGDVEKVAEALLTVAVVAES >Et_5A_041918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4277843:4279148:1 gene:Et_5A_041918 transcript:Et_5A_041918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKQTHYIPLRVKNHGSAYVACGHFLFMFSTLASRLVVFYFTLLYVFFLYTLINGISFWQLLTELSEKKCKRSGLYEKDSFKLDDVLTSTSGALRRARLSLYVETLCVILELMSPAGKWKRKKASVGVIIIVSVLVSVLVIIAMFLGYKYWQKRKRERDQARFLKLFEEGDDIEDELGLSNEF >Et_9B_064298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13757539:13769672:1 gene:Et_9B_064298 transcript:Et_9B_064298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSIKFFFLDWNFDRSYNCCWRNIPDQERRFVIKRFIRPGRIPRSNGKIASWQCSAPGPRPIQPNPRQPPSPPRLHRFPLPAPPTSPRSPLHRPHARARALPHLSLPMSAASTATATRLLLLPRPAAHCRGLRFPSPLFARRGRRLRRAFDASAVAGGSVSGGGGAAREPPRTLFPGGFKRPEIQVPALVLRVGADEALRSGDEVAAAIARGVRIVVLEAGEESGGRAYEAALALKAVVGDRAYLLIAERVDVASAVGASGVVLADEGIPAIVARSMMMKSNADSIYLPLVARTIRSPNSAISATSSEGADFLIVDTGSDDFLSAMAGGVNQHVKIPVFFTLNDLQDRGNYSDITSKFLQSGASGVVTSLAGMQLLSDDLIERDFSKVHVAEEVPQATYSSASTLEEGNNVMVLTREKAKVAGFTKLDEEVMQLIKIEKPILSEAVAVIRKAAPMMEEVELLVDAASRLSEPFLLVIVGEFNSGKSTFINALLGRQYLQEGVVPTTNEISLLSYSEVDSESFERCERHPDGQFMCYLSAPILKEMNLVDTPGTNVILQRQQRLTEEYVPRADLVLFVLSSDRPLTDSEVGFLQYVQQWKKKVVFVLNKLDLYRNSNELEEATAFVKDNARKLLNTEDVTLFPVSSRSALEVKLAYSKNDGGMHYGEAMFSDPRWKSSKFCEIENYLLSFLDGSTENGKERVRLKLETPIGIADRLLTSCQRLVKLEYEKAVEDLTSIRDLVSGANNYAVKLEADSRSWQKQISSLIERSKNRAVTLMESTLQLSNIDLIFTYTVKGEKGTSAKATSLVQNDILVPALDDAVSLLHEYSTWLSSSNTREATFYLDCFHERWDTLIAQEEKVLQNPKELINEGEKLTIKALDGFSASAAAKVFEEEIREVAFATFGGLGIAGLSGSLLTSVLSTTLEDLLALALCSAGGFFVISNFPSRRKLAVKKITEAADALSRKVDEAIEKDISASANKLVHFVEAISKPYQDACQQKVDWLQGVQGELSSVERKLQTLKGKSTS >Et_3A_026812.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:21682411:21683112:-1 gene:Et_3A_026812 transcript:Et_3A_026812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSKRPPPGFFEEPRYPKRRTGLPTEAAAPAPAPAPAPAPAAAPAVATGGGDLLRAFGRCRALLDKLLREDDGWVFEKPVDARAFGIRDYYTVVRDPMDLGTVLRRLERRRYADPHAFAADVRLTFRNAMSFNNKGDPVYESAVDLSGIFEAGWGPILASLPPPPPTDADRRARLRDDLPRMPMGVQKSAAAVLRARGANLGEKKLKIEVDLDKVDAATLDELDWLDAAERR >Et_9B_065479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5593653:5597904:-1 gene:Et_9B_065479 transcript:Et_9B_065479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALLLPRPFLAPSAAAPASSCSASSSAPSRRSLSAASPRRVLMAAAHPRSRRLARVKVRAIFYELGLALERSRLYGSLAVERWRCRVHAAVEESSEQPKWWEKNAGPNMVDIHSTQEFLDALRDAGERLVIVEFYGTWCGSCRALFPRLCRTALENPDILFLKVNFDENKPMCKRLNVKVLPFFHFYRGSDGQLEAFSCSLAKFQKLKDAIAVHNTARCSIGPPAGVGDVNLLDSTSPLEKPAEASSR >Et_8B_060515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19515094:19515913:1 gene:Et_8B_060515 transcript:Et_8B_060515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATRSSPATTTSRTQSIVSRSPRTYGDASSSLLTTANTTARALFDLRLVDRTTGLPLSVRRSKQAVAFVESKAKKRQRGARAFMSRRELLASPYLGGDDDRLTVDREPGLTPTTVTREVVEPPPPKLRKDLGRLMQTQVGADVAFDVQGDVFHAHRIVLAARSPVFKAELAVSPTSPPATNKEEDTLEIVTVHDMRPPVFKALLHFIYTDTLPNLDDVGAEEYQQLVRGLLEAAGRYGMERLKQICTLVLQKNSRCEDCRRR >Et_7A_052678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1207536:1210999:-1 gene:Et_7A_052678 transcript:Et_7A_052678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQEQRPLLEVCRLIPYLSCSRRILGASLVEQCVTSIPEDHEANCWGCGLRLVFATYAPVFKCGWCGAITQSNQAARKSDSVCFSHWRRFRDRFFVTVLVLFMIFIILTTDKSRYQPKALGGHRCQVV >Et_7A_052440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8074326:8076391:-1 gene:Et_7A_052440 transcript:Et_7A_052440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELVLFVLFCYLSSRSAVPSDAYDPIDPNSNITINWDLQSINNQSNSYTVMVSIHNYQLYRHIEQPGWRLSWNWPGKEVIWGTWGAEATEQGDCRRAGPLRQPPHCCEPRPVLVDLPPGSPYTRQVANCCRGGTLSSLTQDNRTALAAFQMAVGLVAFDVADGRPQKPWGFDLGVPGYTCSNASEVPPTRSRVDRTRHVQVLLTWRVICSFSQYRAAAAPPCCVSLSTFYNSTIVPCPRCSCACPESPSAPRCIRQVRAINPIPHSAFLHPDAFFCSSLIYFRLTCSSSGSDPSTAAKALPSGGDDDSAAPVVRCSGHMCPIRVHWHVKTSYRDYWRVKVTINNYNQVKNYSDWNLVMRHPNLRSLTQLFSFNYHPLIEYGDYNDTGMFWGVKYYNEMLLQDGNVQTEMILKKDPGDFTFSDGWAFPRKVYFDGHECVMPPPDQYPTLPNGASATRWSRIALPCLLLILVFVLV >Et_1A_008207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5180365:5190443:1 gene:Et_1A_008207 transcript:Et_1A_008207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPKEEAGGELAMEIESSVTAEDWRRALARVVPSVVVLRTTAPRAFDTEVASASYATGFVVDKSRGIILTNRHVVKPGPVVAEAMFVNREEIPVHPLYRDPVHDFGFFRYDPSAIKFLKYDEIPLAPEAASVGLEIRVVGNDSGEKVSILAGTLARLDREAPYYKKDGYNDFNTFYMQAASGTKGGSSGSPVVDCQGRAVALNAGSKSSSASAFFLPLERVVRALNLIRDSWDAFGTKPESVYIPRGTLQVTFHHKGFEETRRLGLRSETEQIVRVVSPSGETGMLVVDSVVPEGPAHKHLEPGDVLVRINGEVVTQFLKMETLLDDSVGREIDLEIERGGNPLTVKLEVEDLHSITPNHFLQVSGAVIHPLSYQQARNFRFKCGLVYVAEAGYMLTRASVPRHSIIKKLAGEDIAHLDDLIAVLAKLSRGARVPLEYVKYTDRHRNKSVLVTIDQHEWYAPPQLYTRNDATGLWTTKSVMSPESPFIALACRASHVDTNSNSVLSLSESSPMDLKCQYDSENLADGCIKMQTDDEIVVDGSHSSEDSIVERKRRRVDEEISADGTLPSYGDPEDVKGSALRHSSNVEGTDLARTVSSNASLSEQVIEPALVMFEATSRKSIVTNPCTAVNIGSADCPRYRAMNMEVIELDTDFGSTFSGVLTDEQGRVQALWASFSTQLKYGGSSSEDHQFVRGIPIYAISQVLEKIISGTQGPFRLVNGMRRPMPFIRLLEVELYPTLLSKARSYGLSDNWVQALAKKDPVRRQVLRVKGCLAGSKAEKLLEHVDMILAINKEPITCFLDIEKACQELDKSVGSDGTLNMTIFRQGKEIDLIVGTDVRDGNGTTRMVNWCGCIIQDPHSAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIIEVNGQPTPDLETFIQVVKGLEHGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWGLSFEPETATWRRRTIKALEPTTA >Et_7A_051231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15881292:15887132:-1 gene:Et_7A_051231 transcript:Et_7A_051231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTFSPHPSPLLLSLPSSSSSKSHSQTRLRPIYASASPSPELLGKSALRRISEKLRSLGYIETPTDAPAPAPSSSEAPGPSPGEIFLPTPAQLPRHRVGSTLDPSWATGDGETDPAARRRRRSSRGSDAGAGAASAPPSVAELALPRDELRRLQGIGIRIRKRLKVGKAGVTEGIVNGIHERWRNAEVVKVRCEDVWALNMKRTHEILERKTGGLVIWRSGSTIILYRGTNYKYPYFHYKERMDSLVDEESSEEGSSGDEDEDLGVESVELGSSGEEDDNHLQDDSSHKESSESLDMSSAEQSTGEKGKNKSEIRKEHTKHAILSTKRLVFDTHKGNLDMRTTEKNKMRAPSEQHARLHINSYVGRPSEVRSSLVAGVGSPNKFRLQLPGEVKLAEEADKLLEGLGPRFSDWWGYEPLPVDADMLPAVVPGFRRPFRLLPSGVPPKLTDREMTILRRLSHPLPFHYALGRSGNLQGLAVSMIKLWERCEVAKIAIKRDAHNLDGVLISEELKELTGGTLLSRDTESIVFYRGKDFVPPAVSLAIETRRKQGSSTTDKPKPNIGKSTPPEYTSELNISSDVSAHMPEEKTFVIKNTAKSLNMVAQSVETRLSQALSEKEKAEKLLEELEKSSQPLKAESRETISEEERYMLRKVGLKMKQFLLLGRRGVFDGTIENMHLHWKYRELVKIICNEHTIEDVEYAARTLEAESGGILVAVEKVSKGHAIIVYRGKNYQRPSTLRPKTLLTKRDALKRSLEYQRCKSLKLHVLNLSKNIDYLKDQMNSSYYYQVPEIVPKSSKQEVEECASVETDKTLNLTRSGAPLDDMQSQACFNKPKDGSSVTSNPSLTESSTAVSFSDLIRHQNQPISTVTSGLDGHCEGDSKDVDEANLDVESAPQLPVSARPLSNQERLVLRKQALKMKKRPVLSIVRTTSYCCAEHLIPAGRNNVITGVAKTIKTHFKKHPLAIVNIKNRADGTPIQQLISELEEATGSVLVSREINTVILYRGWGADVGQKGSTENSMHEEEKEVISPQLLEAIRLECGLLPVESE >Et_2A_018471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2944784:2946964:1 gene:Et_2A_018471 transcript:Et_2A_018471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCYLADRPNATAATSVTSRGLPIHVTFHAARPPALSHLCVHCPGCTDFPGSPPPKIVATHAGLVLLRVPINPDAIHIASMEKWDYFVYRPAHPPLLHLLPNPYPKRFNNAEAAVLSSHNGGFVVAALRSRVPRRDPSSGEMVRNEFDLHLYLSDAVAAGWVSRRLSVAEPTRDKLVPLPEADFLMYHESGKAITIGGERGTVAWVDLWRGVILCDVLVDDERPVLRDVPLPPPSMGNWKYLLQDCSPNFLRDVAVSRSKDSIKYVEMEMQPLKQSSDGWKATMWSMPIPVGSFEDWRQEGDVEVDEVEDVESWLTKLRGSGTETTFHGLTMMYPTISMDDEVCFLSKVNGLQVLVAIDVREKMKHSNELGILIDFVSRESWSRFCELSASL >Et_4B_038386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28696619:28701049:1 gene:Et_4B_038386 transcript:Et_4B_038386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEPRSDGATSSNEKPKACGSSSGVARDQFRCAYSGTFVDIDSFFTSVLTSANVMRYSEHSRWMGDFSTYVCLPNNEMEIGWTRDIDYDLNIAVINFRTVPGCREACLDHHVQSGYNSNVIALGRDFGSGDLTYSTGVVTDRSSESYGSMIATCKFIESGIGGPLFDFDGNFVGTNVFYNTNEGTYFVPKEKVLKFLPSGTPRVRVKQGSDHATERSTGSLSEKSQSSIQKTSVSSANDPESESGNEVEGDLGYESGIDSENESSQEFANALINDLNSRGYPVPITLDEGMHLRYSFEEEFARDTWSKLPQKVAYNTSLSVVSLASFKGNKERVFACTGVLIGRHKCTTRILTSASLVTIAKENVIDNKLKIQVYLPNKRCTKGKLKHYDLQYNIAVVTIRGYHCRRTAKIDIKEPVEPHNGVVAILRTFETGKLMATSGMLTTESIELDCNELAFSTCKITKAGIGGPLIDFRGNFIGMNFYGVKRTPYLPRNVILEQLRRLHGKETVAAIDKPKPN >Et_8B_059113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14019227:14020674:1 gene:Et_8B_059113 transcript:Et_8B_059113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGVPTVTWVKAGSISCSDRSGSRVESTSSREVCFGHKPTLKSFNSSVSVSRRWSCEGEEDGGLDPAHLLAFRSRHPEVGEATLHDAREVAAPA >Et_3B_031551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4187104:4192021:1 gene:Et_3B_031551 transcript:Et_3B_031551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLNTQDWLYKYGVPKGDINKIFLKTYSSEHPYHRMKKQIRCAFHESHWGLLGVSQHLQYPPSSLEKTPSLAIMIDIRTINTKVTVRGMIELLSSRLTKPPREGAAACGATEHSNLPKKDKINKTLMEALLYNTKASKRKTYLMLDRIALGQEGVEPKDQLRVEGAGTMDRPLTLEVTHDLKELLILLPLVLELILDSLEVDKRIVGRQLLVGRSRGGGTSRGLLRLCRRSSASLRRRCCRP >Et_1A_008356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6898626:6903587:-1 gene:Et_1A_008356 transcript:Et_1A_008356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLGALCRAGGWSYAAIWRSDRRDPRLLTIGECHYEDEARKVVERMVNQVHVVGEGLIGSALISGEYQWISDDTHFSLSQTSDADNLGLFQGYTWWQHQFLCGIKTIAVVPIPELGVAQFGSMQKVCESFEFLDQVKGTFFRRESIPWHPPTEDIQKNVFLYDRQVKLDSPSTTEGNDSHICMKVESENPGLQENIMIQDSLKNIAFASMAMPVNSKSISAVKVFHSVSDLQHNNTPENSLQVKSNKQTGSSLATVATTYSRLNSLPRIEHGLSCGPSKLLYYPESEKSSSFRDSYSSFFSTGGELKATIFDNATSIGQSAVTQEVGTTGSTSHACELQQLPNEIWGETDVVSTKQVIKGDNDNNGMIESTIFDPVMHDWWDDSALLAGNVSHFGATNTNSATEQGNSDALSGEGKGLFSESVLEELLGFGGNVGPVVTSTSLAGSVSSCQLPTYNHEVPFSGRKSQIPSLTVPCSSSTSENVQIRAKTIPMSMGSLSMDDSCSLNTANSKVSQVKKPEGVKAIKKRARPGESTRPRPKDRQQIQERVKELREIVPNSAKCSIDALLERTIKHMLFLQSVTKYAEKIKQADEPKMISQDSGAVLNDKSSGVVLKDDPSAGSNGGATWAYEVAGQTMVCPIIVEDLTPPGQMLVEMLCEERGFFLEIADTIRGFGLTILKGLMELRDGKIMARFLVEANKNVTRMDIFLSLVQLLQQNSLNRSSEQLTKVINNGVPSFAEHQQSPISIPVSQKYAAYVLVKEGETFIP >Et_5B_043833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16601555:16607361:-1 gene:Et_5B_043833 transcript:Et_5B_043833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQEPAAALPENHAAPQSPIVAAVNPPGQKHVVLVVSLWVAATQSARTLAVSHVFWPILMEEMGVLANMEEMGVLANMEEGQA >Et_2B_018953.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18764141:18764518:1 gene:Et_2B_018953 transcript:Et_2B_018953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKEKPETNGGSPPPRLDCIKCFDALWFCYSPFHQMQSYYRYGEFDNCFGKWGALVDCLSLKTKRVAEVEEILIAREKAKPHIWTFRTVDEASEHWWRMYKHVVLMSPPLPGAASPPKSDNLRA >Et_3A_024942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25527028:25528451:-1 gene:Et_3A_024942 transcript:Et_3A_024942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLMRPGNNRACDIRYNRRSHVADRSGDGGHRLGRPGAQQHPCQAEVRDLGPELRVEQDVAGLDVAVHDGRRALVVHVAQPLRGPDGHPDPRGPVQLRAPRGPDPRHGVPAAEDVAVEPLVQAAVVRVLVHEELGLGAGEAAQQPDDVVVPDVAEDARLRLELALQLVVQRPGAADLLHGHHAGAAVAPIRVGDAVHAPGPAAAYEVVLRQAPEYGRLAEVQSLERRDLPVVPLREALPSGMPSPRLAGISPENWLLPAVNTWSAAAAAGTLPPNWLFSMLIKPSVLTRVGGRLPLS >Et_3B_029524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25698397:25707762:-1 gene:Et_3B_029524 transcript:Et_3B_029524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETAVDFDGFGATGGAGAGEDNLSMPLGDFMAFLETEDEDEQQPGTLYWFHGDNSGPWIDRGAEVGIQGDGTGIMRKCMVDQGCLEMPADTNASENAFHSHEEMLENAELWSNYSHVDPSQCQMEGNMELNQGQGMDTHSEASPYELFSNADLYDQYGTYNVDGQHFATDSSNHDNFEDASDISTEQSDLSEIKWEGTGSMLGNAGQDGNHFTSTGVFSLGQNAAIPDTSCTELNMGEATESIRNGNSSCLTVQEEHLHAYCGDYPHTDYSSVDMVAERSLHDPPHDFSENNEQYEMEQFPENICESGSMQMGSPDQYCDDTSLSDLYIDVSSPESVSCEQNQTGDICFKSESSTDSSPVPSSRNSTSEDADKYLGHTSKQLLDSKFFPISSQQPFKNTGYQKPIALHKQYDYRIGNSSTQGNLSRGCFSMDGNGASDLCLLEGNRNPAPDYRLPLQRPNHHKFQPPIYPNNPIIPTFGGMRYKPHDERMTLRLALQDISQPKSEANPPDGFLAVPLLRHQKIALSWMVQKETSSSHCSGGILADDQGLGKTVSTISLILTERPPVAQSSTTKQEPCEAVTLDDDDDEDCAEPQLKKPTYTFIPEGANDTVKKENPIVAVKTRPAAGTLVVCPTSVLRQWAGELKNKVTSKANLSFLIYHGSNRTKDPNDLTKYDVVLTTYSIVSMEVPKQSNPDSDDEEKGKPDRYGAPVASSGSKKRKASSSKKTKNGSAAESNLPERPLAKVAWFRVILDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAVEDLYSYFRFLRYDPYAVYKQFCSLIKLPISRNPTNGYRKLQVVLKTVMLRRTKATMLDGKPIISLPPKTVSLKTVDFTNEERAFYNALEAESREQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPHLVRGHESTSSWMSSLEMAKKLPTERQQELLICLQSCSEICALCNDAPEDAVVTLCGHVFCNQCILEQLTGDDSMCPVSNCRVRLNTTSLFSRGTLECSLRRLTCDFKSNDSSLEIVHAEKRPGIDSSYASSKVRAALDIILSLPKIDPTQMSDSKKSIGLTSENFGGKSPSVDTKMTEKAIVFSQWTRMLDLLEVHLKASHVTYRRLDGTMSVAARDKAVNDFNTVPEVTVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEKKREMVASAFGEDRSGSRQTRLTVEDLNYLFMV >Et_9B_063754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11777944:11779044:-1 gene:Et_9B_063754 transcript:Et_9B_063754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDEALRSVNLAKSAFASGDRQRAEKFIRIAQRLDPGLPLDDLLSTAEKFGILNGATCHDKTGRGQAPEIPIAPKDHAGNHVDHAYTAENIRVVREIRKKNDYYAILGVERRCSGEEIKKAYRRLSLKVHPDKNKAPGAEDAFKLVSKAFNCLSNDQSRKTYDQTGTIEDHEFSEQYSNAMRRGPNYEGDFDPDEIFGSFFYGTHDNVFHAQSSYRATGANRQRHQQRRDHTVQGASGINLTMLMHLAVILFIVALAFIPVRRPEYSLQKTSSFPISKVTEKHGVEYYVSKQDFDQQFPRGSTSRDNIEQYVLKDYKSMLGRYCHVELQRRQWAKDYPTPHCDKLRSFSMA >Et_7B_053446.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:2058570:2058767:-1 gene:Et_7B_053446 transcript:Et_7B_053446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYSEVKLRRSAVCSPLVERKPSCGDVACRATMMFYSPPCSSKLLLMVLSKFVIYTGPLRGPQY >Et_2B_022930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8583874:8585841:-1 gene:Et_2B_022930 transcript:Et_2B_022930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQKYASYARLALRRWRRPARGFRLSPTRISVRRLRAKLWTLLGILGRYARNVRLLTAGLVAGGGGGKSAPVSAGKGGRAAAPAAGGGNSSKAPPRKPPCMRSNSFYARAVAECLEFIKGSNAPEASPLRALGTPRRTAGSTWTIIFDAYPLENLLNKNEESECCIT >Et_3B_031338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26072985:26078291:-1 gene:Et_3B_031338 transcript:Et_3B_031338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASKMKLLLALSLLLAPLFLSAASPDLRPSLLEAPLRAPILPADVLPLLPWSVAQPLLRRLALRSAADLLPSFVAAARAPDDGGGGEAAEWKGACFYKNRAWVEFRDGTNGTLGGGITTKPHSWTCIDLYLFATPYRVTWDYYFLGREHTLDFKEWESEAEYEYVKRNGVSIFLMPSGTIGTLRALWDVFPLFTNTIWGENANLAFLKKHMGATFEERPKPWVSEINTDDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSDGKLWVGESGHENEQGEDIIAILPWEEWWEFEVTKDDSNPQIALLPLHPDLRSKFNETAAWIYAKSMNGKPYGYHNMIFSWIDTISDNYPPPLDAHAVASVMTVWNKLQPDYAANMWKEALNKRLGTKGLELPEIIVESEKRGITFDKLLTIPEKDDWVYTDGQSASCVAYVLMMYKEAGLFDPITSSIEVTEFTIKDAYTLNFFEDNSTRLPEWCNKDDAVKLPFCQIKGKYRMELPGYNTMKPYAHMNERCPSLPPFYKRTKGC >Et_3A_027210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:497122:497814:-1 gene:Et_3A_027210 transcript:Et_3A_027210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEAKKALRLVAVARKWLEDPRVRYSGDVDPAANGGQALGALVMAGARASVAEPGRVVCSLRVRAQLTDSDGRWHTGAVAAAVDNICSAMAFTVVGAPTSTVDYALSYFSPAHLNEEVEMDARVESQKGKLTAAVVEVRKKDSGELVAIGRQWVTPAWPTKTNKSSKL >Et_10B_002540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14752073:14752558:1 gene:Et_10B_002540 transcript:Et_10B_002540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSELRKVFQMFDKNGDGQITKKELGESLKNLGIFIPEDELAATMDKLDVNGDGCVDVEEFGRLYRSIVDHDEDEDMREAFNVFDQNGDGYITVDELRSVLSSLGLKQGRTAEDCRKMISKVDADGDGRVDFKEFKQMMRGGGFATLGT >Et_1A_005132.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28437443:28438705:1 gene:Et_1A_005132 transcript:Et_1A_005132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKVSMGLIPNSRVRATTFSKRKEGLKKKARELATLCGVRVAVVTAADGAGGGADVWESAEGVLDAYRARHTHRAYAELEARKAEAKLVKVQQEGPPALAQWDAAVYALTLDEARRMLAAVDAAARAVNERRAALGLPVDADDDGFDGGGGGSWIRGFAAPPGASVDAIVPFGLAAAHPFVGSFDGGYLQLRAQGGSNANDNDHVVWDNNNGFDPRGAGMVQQPGYAFQQCGVDVDAYKLQMATDVYDYGNSSNSAGRLAWDAFQPPATNELAAMQPVGYGFQCTGSNSSYVGMSSGYLGQQIPGTTNNSIVPMVGYPSLETGYKLMDAPAAHAAAAAAQGVGGGMSLAGSGFTAALPALSLTMGTGDSYVNAAQPLAMSFNDDLMNASDYAAQWSAGHLQSDGSSQQSSNKSLWEAL >Et_3B_030442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3804903:3806461:-1 gene:Et_3B_030442 transcript:Et_3B_030442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVAPSDKVEAHINQLAQGGEFVTHLWAMLSNAGILKRAAEEWRPPQPARPEEGNRRMETTASATSAASTTRGRRAPEGGAMADWGPVVVATVLFVLLSPGLLLQLPGRSGRIIEFGNLQTSAASIFVHAIIFFALAAIFFVAIGVHITTDS >Et_8A_057046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20480737:20482676:-1 gene:Et_8A_057046 transcript:Et_8A_057046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRAILLFPTTAASPPSACPSSTLSATNRALLMRCRAAGGDYRVDRRDVLAGLTGVAAGGLGAGAYPNLALAAAGTVSDAASDTCVIGDKVTAGLLTCPPGAGSPCPPPSSAAAVDFAPHTGAPTRVRRPAHLADASLVEKYKLALARMKALPDSDPRSFASQAAIHQAYCDGHYRLAGAAAEAFDVHFSWVFAPWHRMYIYFYERVLGALIKDPDFALPYWNWDAPEGMALPEMFKEDPTSPLYDEHRNPAHLNATVDLDFLKKAGDPVRFDLQAVRAREYNDVVKDNLATVHNQMIRQGRGTRCFLGEKFCTRYKENQGSGTSGTLESKAHTGVHIWLGDPAKSVTGHDGKEHSGADMGFLGTAARDPVFYSHHANVDRLWHLWNTTLGGRNFADAEWLDTSFAFYDETPRLVRVRVRDVLDAARLGYTYAADEPMLWLGHRPTVRRAGAATRRRVVPAKTAPVVFFPLTLTEGEVVVVPGVARPRDKRKGELETVVFDGVEFDPAAAAKFDVAINVPPELAAGVGPRCVEYAGSFASLPRGGESGGTMVALELPVENVLADIGVGDGVDAVDVVIVPRTSGITITSKPRIESKDCDPASA >Et_2B_020449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20357815:20359727:1 gene:Et_2B_020449 transcript:Et_2B_020449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSSSPVPAPRLSLALLLLLLLSSCGGGDGVTVAEARPPPPLHGARRLAFDEGYTQIFGRSNLALLHDGRRVRLSLDESTGSGFASQDFYLHGFFSAAIKLPADYAAGVVVAFYLSNGDVYEKTHDELDFEFLGNVRGREWRVQTNVYGNGSTNAGREERYDLPFDPTDDFHHYSILWTKERIIFYVDETPIREVVRTAAMGAAFPSKPMSLYATIWDGSSWATLGGRYRVNYKYAPFVAEFADLVLHGCAVDPIDHHSAATTSCDTATAGLESVAAVPAAQRAAMAAFRRGHMSYSYCHDRKRYAAPLSECDAAERRPAVARMFGPDGMRQQHRRHRSGHRAAAAAQDDVM >Et_2A_014533.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20439332:20439999:1 gene:Et_2A_014533 transcript:Et_2A_014533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKERRERKAGDAAAEGDSVQLPTETSPYVQYDNLEDYKMRGYGAQGHLPVSDVPHGGSGTDAPTVPGTAIPVAKPKRRDDDDDGGGRQQRGHGGDAAARRGDTATDAINRHGVP >Et_1A_007397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34132769:34135896:1 gene:Et_1A_007397 transcript:Et_1A_007397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMPGWVAGLVAESFFVGCPAHESRKKNEKNIFCLGCCASICTHCAPAHRHHPLLQVRRYVYNDVVRLGDMETLIDCSYVQSYTINSAKVIFLKPRPQSRPFKGSGNVCLTCNRMLQEPFHFCCLSCKVDHVMMQQGGDLSNILQYYGGGVGGAAATTDPDHLAFPRFENLRRGEGFGWLGWEL >Et_7A_050761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10871019:10877676:1 gene:Et_7A_050761 transcript:Et_7A_050761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSPRRPKAHSGGVAAPLSLFLDTDLGTRLALLVAPDTTIRRLKSHVAEEHAAAFPELGPVAVKSIQGALYHLSESITVTSAFPRVKSEYFLHVKTAEAAASMHCHQGVPQIDGRRTSEGHTGIHVEKHVRELLAATPENANDTLAHGLGVFNNAHAHYAVPDDVLLPPSSQLNAETKNEAVLASDADIDKSSKQTNISHVVDADAKDLLRSNQDRDVDGVVADNKQIRIEEDMLGQTHAVDDLSQGKKHKIAKMTGSIHLSATDPRIPNESDGRDITESSRTPLETNSNHGELLNTSFGQEVNDNIPEDSLQIENTSTVGKKKKRKRRQLSSSETVSAQETTKLPAGAVELSKSGDDAYEVELTKRGGTKARSSVLLLSSKPYDEGQGGKHVPFVSDARASTDLPSEQGNFDHVHNGDIYRSIVDTIDSTTQVPASGEKIVEGSNGPWHGGQKHEEAKQHEHNEEHHDGDVAGTSNEEKDGKNTDALEKRPTIDNVSQENKRRKAKKVNSVDMASMDTDDDKEMLGHPENAGKSDEAYTEREIVHGPSVGPVENKKGDGKRKRKRRRQSESSKGADSNQDQTKSLEHVTNGSSIQHTNSDPLDALDAQQTQGNIEGARVSDCNKFGESLDIAAGNMIDDVLADLKSQDSLDNYLSGDLPTGLIHLGSNRNALESPESTFDKVGNYAALPPKYPETIDSDVPVRSPSHKKPKGKQLKVLSTMIDSSHHSHDMPEEDANTELKESDALRFSGKTGDLKDVLTGDVVVAQADDKAKGTKRQRKKAKKVPTDNGRTTQSEDEQVDQVAKEESKEVDAATHADLVQGGSVLDTPVHTAAKVQQKGKRAPKTRAPKIQDVNHSIHTAKDSHDENAIDITGARDNENAAGYPTESPIVQKDDTSLKSASPNGANARKERKKSLKSELQSRDFAMEHDPSADLVSCGAENGLVSPKSSADAVDPDHHIVVHRASDEINFFDHFSSGKRSDQLVSAENKQNNEDENVRDVKNKKKKKKQRTGSSEPNDLLESLPAEKTSLTDHFGANEMVVPSVEEGQGEYANVKGGKDKKKRKRKPNSEGPPAEKENLDGDRQGTGIDPQDSLHSVVQKGNIGQNDGTENNNVTQNVSIMQQEPEDGACDRTVEKKLHQDDVDSQKNLPICKDNANISKELRKSTSQKKPLAKSSKPDENVSNIVKSFSMSPQASSDSAECTPQIAKRFRVAVQKVPKKKYEQANAKSKKEIRKVGSGAIFNDAISEESDDAMDTKNEKAALEALSDNSSTSADSGVSSVAFDESELPDDDGALSLSQKSLRGLHFGSILRGSSSYKKAKQKQDELLDDDTEVPDSQPADGLWG >Et_3B_030624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5577082:5579173:-1 gene:Et_3B_030624 transcript:Et_3B_030624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVPPATAAGVGGPGPGPGYPESTESSPRSRGGDSWDDSFPSSAAAAAAAAAGGGRLRLMCSFGGRIVPRPTDKTLCYLGGETRIVAVDRHASLADVHARLSRSLLGGRPFTLKYQLPNEDLDSLISVSTDEDLDNLIDEYDRIAASSSGSGSSRTSRIRLFLFPAKPESSSSLGSLLDDSSKSENWFVDALNSAISGSLDGIPRGISTDSASVNCLLGLEDDSSVHSRSGVPNSAPSEDQRVNQQKLPAAAAAAGRHPHDVQSVPDSPMLDKNSSFGSTSSAPSLSNLPPIRVRQEDRPQVPPAAVEDHFAQMGISEQQVPPAVAYMQPPQQVPIPAMGVPVAASMSPSEASSRVFSDDDKSDHGGAGRKTQPPKQEAPPSYYNHPVPQQAPQPVLQPQQQQQQPAYDPNTGLYYIPMRQNAPQQYSMPPGAQVTLPPPTLVDTTPKPTVPIPQVAVKPELQQPGVYRTTAAAAPAPAPNAAPGYAGMGYHHVIQSHHHPGQQPVANMQGNYGYEYADPTRAQVYYSQAAPPPTLPPQYQPMVSPDAGQADMKQN >Et_9A_062084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19605888:19609400:1 gene:Et_9A_062084 transcript:Et_9A_062084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGVMNCTGQSDQVINMTAALEALSPWLKHPRRTVIQIEVFTAAAVVLLFFQLIFGSFRRRTNNFFIQGGLCLAYTLLPPLITYTLALMQSTSVKSGLYPVWGISLFLIAGGTNSITAYDLDDHKQWKRHLFDFLQWYLYLIVIYRMQPRQLLFSHFFLVGVASSSNFFGILARTMASSTPSKVVADSMKVHANSRVGSQEFDPVSIKGCKYIVWWGDVVETHSGRGLIYRVLPKTYIMTVEMIRAGYSEHIFMSHGASSRIKSACLSYSLSHLLRRRFFGMDCAEIRLPETRKFVLEGLISENNRDESMQAFRLIEVELGFLYDLFFTKYAVIFQSETIFFSVVILKIVLTFVVGALLLCKPPTWIPEELIPLSQWSLLVSLARTHITGTCPKLIPLRLRKTFGFLSRSPSFSYWQNKIGQYKSPHSLPLAFALTKQDQQAAVQI >Et_2A_018380.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:25469857:25470168:1 gene:Et_2A_018380 transcript:Et_2A_018380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHTIPLIHLAHHLRRHGLTTVTFFTTHGNAAFVREGLSNTDVAVVELTFPANIPGIPQGVESAEGLTSMASSPSSLMLFPSSSRSSSRPSRSCSHRPASS >Et_4B_038284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27888569:27890642:1 gene:Et_4B_038284 transcript:Et_4B_038284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCGYHSPRFSEEIIWLPQWLQPHGPPTVDEHQKDETGIPSPCCENCMFIRGPSQEHQNCQNVMVNGGGYSGFTLHLSGDEETVASTPISSNVQPFSLHLSSESAAELSPVEVNDNPQILNSGTCKSPLKSFCPDDQEHVCQNHFGAKDLQNDLPDVYKVASKEFNKPLDAKRHSNVSRGKADVRKLRNADVNDAIELSVAASEAMVIAEMMIDDTQSDKLAEAAVEAALHVKEARKQFVEELEHAFGSSENYLDETDWLAELDEDEMVDVFQDVGLSLVNITCSSQDLSTGDLRLQKSQPSCPPCGTDTHILGNCSSEKQNNRWSSQNEDSNDHVSDSLAISRLANPLPNEPSLCFNSVKQGASVKTISCSGNKKTDLQVFVQNNAALHETLAARVTNHSIQKVTSLPYFFFFDIITVNAKHHHHKLNQVL >Et_3B_029270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23628070:23629500:1 gene:Et_3B_029270 transcript:Et_3B_029270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSVAALLSLLLLPVAATVAAAAMDPAERETLFRVMEAVSSDRDWRAESPDPCGGPWPGLECKPASGGGGNAASLMHVTRLDFGVEPNPTCKDAAAFPPEVFSLPRLQSLFFVDCFTNPSAATALALPPPANLSASGLQQLSIRGNPALSGTVPPELAGLRSLQVLTISQNGLVRGGIPRGIGELTSLVRLDLSYNALTGPVPPQIGELKSLYLIMDNNPMGVPLPSELGGLARLQELRLAGSGYSGPIPEAFGRLASLTTLSLQDNNLTGPIPAALARLGRMYHLNLSNNALGGAVPFDAAFLRRLGQNLDLSGNSGLCLDDRSVLRGVGVGVGSCRGAGGTGGYGAGGDSSSAARGGVTGPGVMTRRGSGSGDSFLFGLFGPACVAVSCLFALNAHAPY >Et_1A_005825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14464657:14470814:1 gene:Et_1A_005825 transcript:Et_1A_005825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVATCRALITFLVVLAVSVNGVLSKSFIINNNCEYTVWPGILSGAGSAGLDSTGFELAPGQPSGDGSGIVGLAGDLVGVRRRRRGVQERVRGYCCSGEYGSPSTCKPSSYSQFFKNACPRAYSYAYDDATSTFTCAGGDTSYVITFCPNTNSVKSSSPGLPLINNTMTYFGANQISAAGAARPQQPLLAGLLGFVILAFTRAFEKLCSTCRQQWRREDHAELSSASSSSSPYQLAVIKLPIESVFLHAIDASSLPSSCPGAHNFKAFAGAVSKSFTIANNCEYTVWPGILSSAGSAGMDSTGFALAPGESRAMSVPSGWSGRLWGRTLCSTDGATGRFACVTGDCGSGRQDCAGGGAAPPATLAEFTMDGSGGMDFYDVSLVDGYNLPVLVAPQQQGGGAGGGNCAPTGCLVDLNGACPADLRVASTAASGVACRSACEAFGSAQYCCSGDHGNPDTCKPSAYSQFFKNACPRAYSYAYDDATSTFTCGGGDTSYAITFCPSTTRYHSLLTPNW >Et_10A_000388.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:7523008:7523061:-1 gene:Et_10A_000388 transcript:Et_10A_000388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVLGIKKNVVKLNEP >Et_5B_043771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15841919:15846457:-1 gene:Et_5B_043771 transcript:Et_5B_043771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGVPVDVEARGGDDPASVKESAWKRFLYHVGPGFMVCLAYLDPGNLETDLQAGANHRYELLWVILIGLIFALVIQSLSANLGVVTGRHLAELCKTEYPVWVRICLWLLAELAVIAADIPEVIGTAFAFNLLFHIPVWVGVLIAGSSTLLLLGMQRYGVRKLEFLVALLVFIMAACFFVEMSIVKPPAKEVIKGLFVPKLSGSSATGDTIALLGALVMPHNLFLHSALVLSRNTPASVRGIKDACRFFLFESGIALFVALLINICIISVSGTVCNSSHLSPDDLAKCIDLTLDSSSFLLRNVLGGSSAVLYGVALLASGQSSTITGTYAGQYIMQGFLDIKMKQWLRNLMTRSIAIVPSLIVSIIGGSSGAGRLIVIASIIGFSWLLGFMIIGINIYFLSTKLVGWILHNSLPVYANVLIGIILCPLILLYICSVIYLTLRKDTVKFVSRHELPTLMPTIQRKAIHSKGKQR >Et_2B_021650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:420458:422956:-1 gene:Et_2B_021650 transcript:Et_2B_021650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSDQPDAAAGAGAISKVLIVIAMQTEATPLVNKFNLVDAPPHESIFPKGAPWIRYHGSYKGLHIDLVWPGKDPVVGVDSVGTVSAALVTYAAIQTLKPDLIINAGTAGGFKAKGASIGDVFLASDVAFHDRRIPIPVFDMYGIGARKTLETPNLLKELSLKVGKLSTGDSLDMTPHDEAAILNNEATVKDMEGAAVAYVADMFSTPAIFVKAVTDIVDGEKPTAEEFLQNLVAVTAGLDQAVTEVVDFISGKCISDL >Et_9A_063274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18509657:18511713:-1 gene:Et_9A_063274 transcript:Et_9A_063274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRLRRCLSPACLLLAVAAVLAMPGLAAARTRRYTFNVTMATVTRLCVTKSIPTVNGQFPGPRLVAREGDRLVINVHNNINNNVTFHWHGVRQVRSAWADGPSYITQCPIRRGQSYTYRFQIIGQRGTLWWHAHFSWLRATLYGPLVILPPRGVPYPFPKPYREVPLLFGEWFNADPEAVIKQALQTGGGPNVSDAYTFNGLPGPTYNCSANDVFKLRVRPGKTYMLRLINAALNDELFFAVANHTLTVVQADASYVKPFTATTVVISPGQTTDVLLTAAANPSSPAFAMAIAPYTNTVGTFDNTTATAVLEYAPQRAGGAALPAPALPVYNDTGAVTNFSSNFRSLASAAYPARVPRSVDRKFFFAVGLGTDPCRGRPNGTTCQGPNGTARFAASMNNVSFVMPRTTSLLQAHYQRRYAGVLKADFPAAPPRPFNYTGTPPNVTFVSHGTRVVPLAFNDTVEVVLQDTSILGAESHPLHLHGYDFFVVGRGFGNYDANKDPAKYNLDDPVQRNTISVPTAGWVAIRFVADNPGVWIMHCHFDVHLSWGLSMAWLVNDGPLPNQKLPPPPSDLPKC >Et_9B_066093.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:3002160:3002762:-1 gene:Et_9B_066093 transcript:Et_9B_066093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTATLPFLVHDVGAFDYDDPHSQYSIASQTLVDETIDVLANYRCFETPQGWVLALDPVSLNTFLWRPEDGERIALPSMEKNLPLTCKCILSDKPGSSSSCVVIVFDLHDFAYWVCPIGGNKWECDDYSLTIYDAKDQLKELHMARHTGMAAVGGKVYYEFTGSELGVLEFDPVTAEPNLTFIEVDMVDTPKTDRNNNLY >Et_5B_044459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2626604:2643986:1 gene:Et_5B_044459 transcript:Et_5B_044459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLPVLSLLALLGSVACQDYSGGSPIATPPAYPSSPSPSPRPATYPQSSSPSSRPPNYPPTTNPPSSALSPRPSTYIKSASPSSSPPSYPPSTNPPSSAPSPSNYMESVSPSSSPPSAPPSTIPPFSAPSPSPSNYMESLSPDHSPSSSPSYPPSSSSPSYAAPSSSPSYPPSSSSPSYAAPSSSPAQSPIYSPPSASNAPAYPPSSPSPSTSGPSPTYTPGPSPTAYPPSPRPAPSGLSFGYYRYSCPTAEDIVRTTVKSFVDTNDDIGAGTGAGLIRLFFHDCFVQGCDASVLLNTTGSTDPTERVSPPNLSLRGFEVIDAAKAALEAACPGVVSCADIVAYAGRDATYFLSRNAVDFGMPGGRYDGRVSSSGEALANLPPPTSGLQKLKDMFAAKGLDAGDMLKVGYYKNKCGRYVDVEAVVKKHVKAANAGIQAGLVRLFFHDCFVRGCDASVLLEPTSANPQAEKRGIPNFPSLRGFEVIDAAKDELEKECPGVVSCADIVAFAGRDASFFLSNSKVNFDMPAGRYDGTVSLASETLSNLPPPFANVQQLKSLFASKNLDTVDMVVLSGAHSVGRSHCSSFSSRLPPSNTSDMDPAFSAKLKDDCASPTGDDNTVVQDYKTPNDLDKQYYKNVLDHKVLFESDAALLTSDDTKALVNKFAKHKWERMFGEAMVRMGNIEIKTAANGEIRKKCGFLAVLVTLLAVLLGPVACQSQASNTCFNGWVIVPPSGTCPQGSRPAFQPRRRVPAPSGEGLSIGYYNNNDSYCPQAEGIVKDAVQKAVDQNPGIGAGLIRLFFHDCFVRGCDGSVLLNVTASGNSDTEREGPPNKKSLRGFEVIDEAKAAIEAACPGIVSCADIVAFAARDASSVLSNGSVNVPTPAGRLDGRESFANETDQLPGPFSNLTALTDSFWAKGLSPDEMVTLSGAHTIGHGKCQFFSNRFADMDPTLAAKLRAQCNGDGDTFVDQDDVTPNVLDRQYYKNVIDKKVLFDSDAALLNSTDTTTQVTDNANSAGSWERKFEKAMESMGKIGVKVRGNTGAEIRKMAKLAVLAALAMLACVAHTTTGQGYGGYYPPPISAPPSPSPSSSPSPPSPSSSPTPPAPSPPAAPGLKVGYYAKTCYRAEDIVRDAVRVADAGIKAGLIRLFFHDCFVRGCDASVLLDKTDPNNPTEKDGIPNLSLRGYEVIDAAKARLEKECPGVVSCADVVAFAGRDATFFLSNNRVYFDMPSGRYDGRVSLAGETLPNLPPPFAGVQRLKDMFAAKGLDADDMVTLSGAHTVGRSHCSSFSDRVPANKSDMNPALADSLVQQCRSDDGSDKTVAQDVNTPDKLDNMYYKNVLSHDVLFASDAALLAAPDTSALVSANARSQKLWEDKFKAAMVKMGAVGVKTSADGEIRKQCRFVN >Et_6A_047897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23256300:23266111:1 gene:Et_6A_047897 transcript:Et_6A_047897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAKPALAAAHGKLDALQQQQQQADQKRAARELRAARRSLVDAWSSLDSSAAAEADPRVVRECWRRFRELCHDTEDAADAFALSIRRRGDRRRGWCVRLVGSLRDAAAAGEIRRRAGEEARRIASALKESKDEYGEWLRHEGMCRACAGGAAPRLIRATSTSPAVADATTTALVGIDGQRDKLAKLLLVGDEEGGGESKPVGVVAIVGPTGAGKTTLVHEVFRSIGPSFDRRAWVTLSLELGPNNAFRDILRQIEDPHGGGGEDGDPELGNAMINKIKECMKRKRCLLVLDDIRNTRAWDFVRSVVPCNDTGSRVIITTRDFRVGDSCCNLRNSRDYYILPLKDSSGSHQILFERRLFGCGGACPPDLKDVARRILWASSIWPLSITVVSGLLASRPRTLSAWEDVRTAVATLTCQTSEETMKKMLLVGYDDLPRYLKACLLYLSAFPENYPISRDRAVRCWIAEGLIWGNHGRTLEEVGEMYIKELLNKGMIKPARGSNYEGEPVKGKLESMSYGKTQRLSIINGETGQRVPESMNVSHVRTLYIFGGGMPKLFFKDLAFLRVLDLEGCKDLTNHNIKEIAVLVHLRYLSIKDTPISELPNEIGQLQNLETLDLRGTQVQELPESITKLQRLTHLLCDKMRLSGWIGRMSALSCLSQLDMLGSEILAIDDFCNLSELTELGIWSPVVSISTERYEHIVLSLAFSLYKLQRLQYLCIHGSESSVDLLDHMRHHLPQLQKIQVNRSCYLSRIPEWFRSLPRLAIVCVDVEEVRNEDMQLLSDLPSLLRLSLSSRSIPTEKLVIHNNGFPALRQFHLQSVRADLTFEPQAMQKLEELLLSVHIPQEAAYVFSISIEQFMYLKKIEIRIDGEAAAVSQSPQI >Et_2B_021951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7259804:7261330:1 gene:Et_2B_021951 transcript:Et_2B_021951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTVVSMARALVGTAVSKAASAAADEASLLLGVQKEIWYVHPPAPRAVPPTTSTPSSSSLNPLAPAAGAHWINLGSCVMYIKDELKTIQAFLRAAEVAKKKDDLLNVWAEQVQDLSYDIEDCLDEFKIHVKSQSLSRQLMKLGDRHRIAIQIRNLKSRVEEVSNRNTRYSLIKPISSSSTDERDFYMEDIRN >Et_8B_058746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20181458:20181755:-1 gene:Et_8B_058746 transcript:Et_8B_058746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASLCSVVWSGNSRLGSTLTTLSLANAATFVFLLQQCAYHRNVANSTFLGLASCGLLGAGGCIHMLRRWRKHTRQSIRSL >Et_9B_065778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11185097:11194123:1 gene:Et_9B_065778 transcript:Et_9B_065778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSPDLSTVPSWVVVDQDLYRKDPASFVAHDGATSASARASNGEEIRVSFIVSALPGTSRLCLHCPEEREQCFFDKVVAAHGEAVLFSLKVDYKGLLSPGHAIDYFVYWAGSPGPELTLLPRWYMTEQEVLAAEEDGSWSREQQISMGIRGTGLLLTGRRDFVVAELHLDEDKLEDKYLDAPLDGQLFRLCSDREAVTTGGFGEWEVKDISARGGKAKFGDLRGWWEAHVVLPYAGYLCWVDYYRGIIFCDVNHAMPDLQYLPLPLESVPLGDPDPFCMALPQEHRAVGITKGGIMKFVKIVGDDGVQFGCSELGSGFTITIYTLMHLGDDEMEWRECATIEAPDLWAMEGYGIQLPHIVPRFPLVSMDSPSIIYFVLALDDGTKIWMVALDTDNKKVLWYREIRAIPSDDDLEIDAAHEVHQKQHARWRALRRLCATELFTQGWLNALRPLRESKSVELVTSVARKAPPASPSHCARPSLAWGASAAIA >Et_9B_066165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5466700:5467177:1 gene:Et_9B_066165 transcript:Et_9B_066165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDACKTERELNYSYMASTSILDDIAKYDSSCDYQMPVNEETTAAQWLHSMVKRMNRDSRRRCTGAILYTWWNIWKERNRRAFEAKIQTPLHVALLAKEEFDMYLMAWGKLEASVPVEGVEDQPE >Et_2B_019156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14686096:14686671:-1 gene:Et_2B_019156 transcript:Et_2B_019156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAPGSPSTSSRAASPDHAPSAPPSSRAASPEHTPSTLSSGAASPYYAPSTPGNGAASPYYTPSTAEEYTPSTPASRADSSPDYTPSTPSSRAASPYYTPATPSSSSRAASVDDTPTTPLPQSPKIADAESSSSRCSSRTSRISRRGRRCLRTKAYLAFFSPRIPVV >Et_1B_010123.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25011064:25011228:1 gene:Et_1B_010123 transcript:Et_1B_010123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADPVAAFQGLSFTVDAGISKIELEADAINIRTALTSQAFDMATNGVHSFRRS >Et_10A_001191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2212385:2213171:-1 gene:Et_10A_001191 transcript:Et_10A_001191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVEAAITRKFRSSSVSSSSPSGAKNGSDFISSLDGIRGNKSLGIEGISTYRRYKGSQTTSSRKTASTVPFRVRRKRPPRTSQTIQLRLELGSLGRKEKLKSPACAMARAAGADLWGGSTGWRERSPLLSTWQMIPTMGGGWRDKKRRRKRWPVATRRKERQVAEARARSDPEPRRRKMSSRISSGRSGCAAAICFAGGEAMASCSLPICPTI >Et_4B_038083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26130577:26136029:1 gene:Et_4B_038083 transcript:Et_4B_038083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIRAPASKQTATLQVAVKCRPLTDNEQRRSRHIIQVIDDKTVVVLDPDLSKDYLDLIQNRTKEKRYTFDHVYAPGCSNSEVYKNISSTIAGVVHGLNATVFAYGSTGSGKTYTMVGTHSDPGLMVLSFRTIFELIKKDDSPDTFEVSCSYLEVYNEVIYDLLEKSSGHLELREDPEHGIIVAGLRRIKVHSADRILELLNIGNSRRKTDSTEANATSSRSHAVLEITVKRKQKGQYGSQVLRGKLALVDLAGSERASETNNFGQKLRDGANINRSLLALANCINALGKQNKKGLAYVPYRNSKLTRILKDGLSGNSRTVMVATISPADDQYHHTTNTLKYADRAKEIKTHVHKNIGTLDTHVEDYKRMIDNLQVEVSQLKKELADKEHQLSVKPTEKAADSELSWLNVLSQETGENVQERINLQKALFELEETNKRNRMELQHLDDAIARHQVKEMDSTVLQALTSRRQVILDNIRDNDEAGAGYRKDIEMNESRRRQLQDMIEEATSNNGNRTYLHILSQYRLLGMTNAELQIEMAMRDQVIHNQREALRSLWNILYGTGLNQKQILKLAAKQGLTVEGCPLPRPSPDVTTPPSFPSHRRPAPFMSFPSPQSEPYSPSACFFQHGFSTMSYLKNQHETPTICRQEHLSSYYMMSGTGCSPYSVDGKQWSSGKSRMQSPSTANNILAIKVSICIGSLTESIIMHISIQKDILSVKNPKGKNKNHS >Et_9B_065153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21614423:21615256:-1 gene:Et_9B_065153 transcript:Et_9B_065153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSSFEVSGQLGGGHQHRKLQVLARRHGPLFLLRLGSVSSASMAEAVIKSTQDHVFCGRPQHYTARGILYNCRDVPDCERWRQLCRKSFGVPDPSSRRQESGSGGKVGEEVGQLLQTIAVSDVFKDKLKRMASQLDRVRLRGSTRRAAGTTTVTSSTTCSGSSTRAARGQSSLTCSWEARTRLPSGIEWMVAELIRNPREMEKVQAGVRQVAGAKLIRSSHERSNEAASTGAATCPP >Et_6A_046898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21988702:21996563:1 gene:Et_6A_046898 transcript:Et_6A_046898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEHGKTYMLRLVNGALFSEYYFKVAGHKFTVVGADANYIRPYTTDVVAIAPGETFDILMVADAPPCRYYMAALANQPPDPDPQIPVFRTRGIVQYKNIPTDAANKCRNDEPLMPEMPDQHDSLTSFYFHGNLSGLPGHPFLQRIRGHVDEHLHISLGKGSICRGNKPSCKRGGSDESLEVAYMNNVSFRLPKKMSLLEARQFGKMNMLVQNLPNRPPRAFNFTDPTLIPVVPGGELEKLEPSRKATTVRRFALNTTVEVVFQSTATMQSDSNPMHLHGHDFFVLAQGHGNYDPAKDKRSYNLVDPLLKNTVQVPRLGWAAIRFVADNPGAWFLHCHFEFHIAMGMATVFEVANGPMLGDTLPPPPSDLPNTKILPIVGALLFYYAAMALSRAGGSEAVVVKHTFVVKQVYMRHLCSDTLVTVVNGQFPGPPVEATEGDTVVVDVVNESPFGITIHWHGVKQRLNCWNDGAGMITQCPIQPNTTFTYRFNVDGQVGTLWWHSHVSILRATLHGIIVIRPKSGAYPFQKPHMDVPIIIGEWWQRDLMKVDKNFSNGGSFSDNPAAATINGNLGDLYNCSGVAEANFVMDVEHGKTYMLRLVNAALFSEYYFKVAGHKFTVVSADANYVRPYTTDVVAVAPGETFDILMVADAPPCRYYMVTLANQPPAPDPQIPVFMSRGIVEYKNIADKCRNNEPLMPEMPGQHDTLTTFYFHGNLSGLPGHALLPQIQDHVDEHLFISLGKGTICKDNKPSCKRGGSDESIEVAYMNNVSFLLPEKVSLLEGRRYGKMNMLLQDLPNRPPRAFNFTDPALIPIIPGGELEKLEPTRKATTTRRFAHNAVVEVVFQSTATMQSDSNPMHLHGHDFFVLAQGHGNYNPAKDVSRYNLVDPLLKNTVQVPRLGWAVIRFVADNPGAWFMHCHFEFHIAMGMATVFVANGPMLEDTLPPPPSDLPKCTNKNE >Et_1A_006905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28973173:28977379:1 gene:Et_1A_006905 transcript:Et_1A_006905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSPNFAISVTITNNRRRESASHKAKKSTEKSSGAASEPFPLPPLPPLPLFRNFFTSRLSAAPRDDDPRSFEKLPGPSSRQPLPDRRLRQRGGRIVKAEEEEEEEEEEEWDGDDRGGASLGFAARVWSGSGCGGRRPARRRMHMMRRLKSIASGRSSVSDPGGDSGSKRPKFDQDGAGDIVIEPQLTEDKSVRIDQESSSSSSLSNRDAEASTSTSMKPAKTEEAGADLLPKEMNDMTISDDKVDGHNDKESEGVTVDGNGTETGQIIVTTIGGHNGKPKQKVSYMAERVVGTGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMQLLEHPNVVQLKHHFFSTTQRGEVYLNLVLEFVPETVYRVVKYYNRSNQRVPIIYVKLYAYQMCRALAYIHRVVGVCHRDIKPQNLLILGTPTREEIRCMNPNYSEFKFPQIKAHPWHKLFGKRMPPEAVDLVSRLLQYSPNLRCTAVDACAHPFFDELRDPKACLPNGRPLPSLFNFSVAELEGLPIELVHRIVPEHMRK >Et_4B_038367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28500526:28508740:1 gene:Et_4B_038367 transcript:Et_4B_038367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAGFKWHVRPLNQKNPVVFFDVTIGSIPAGRIEMELFADIVPKTAENFRQFCTGEHRKNEKPEGYKGCRFHRNDGTGCVSIYGSKFDDENFTAKHTGAGLLSMANSGPNSNGSQFYITCGECDWLDNKHVVFGKVLGKSLSVLRKIENVATGPENRPELACVISECGQMETHSVSDKVFTVFEHYVVRLSLITKSRKSEKKVFRGNGFVVYSEKGTHLIMTCEHCLVDKELKKNQKYWLDFSKSAFDGLDARVVHRDSDRDVALLRVDGVKRNFGVMLFRELPTQVLTGTVVVLLAFYEMHDAVLVEPGAMDGKIVGEPVYLNSKKQCIRSDYTSKVGTSGAPVILPESMAVLGVNSEGEMAAKYAVSTNTIKEALQGWIEAANVQEVGESGGADILSKRRKFVVAFWRLLQNGFMMSWSRDPSSISCKQTTVAVSRAVHLRSSTAFLVTRLSWTRSTPLISTTTVPLLWPKPLNLISPDVASPICPWILDVRITTCRLHALLEQQPSFGAGSVHVDIAKSSATTRPSLPSAVAWRTSPSARHHQPDLLLLLDWSAVVCVQLFILGRQCGGSSISLPRAVSSSECRSRAMMKMSPSRHGLCGDK >Et_10A_000203.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:17138127:17138453:-1 gene:Et_10A_000203 transcript:Et_10A_000203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSCVRRPTPAAGLPRCWSAASRCAAGEALLLSPAGDAVGSSAPLTPPPPPRRTGTAALAKLRRHKAPLRSSVEPPPRRWGLMIPLTTSSSIQIEFPGSPPYFPLPLL >Et_10B_003260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18352991:18361982:1 gene:Et_10B_003260 transcript:Et_10B_003260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREQEEKSPMDAAQRRLCAVSAHLQPPAPAAGSSLAANPTAGEYAHEKLDTGKWNVYRSARSPLKLISRFPGTPDVGTLHDNFVYAVETFRDCRYLGSRIRADGTVGDYKWMTYGEVSTSRTAIGSGLIYHGILEGARIGLYFINRPEWIIVDHACAAYSYVSVPLYDTLGPDAVQFIVNHATVEVIFCVPQTLSTLLSFITQMSCVRLIVVVGGDDAKMPPPPATTGVQILTFTQLHNQGKMSPQPFRPPKPEDVATICYTSGTTGTPKGAVLSHQNLIANVAGSSLAIKFYPTDVYISYLPLAHIYERANQIALLHYGVAIGFYQGDNLKLMDDLAALRPTVFASVPRITNAVKESGGLKERLFRTAYSAKRQAIINGRDPSPMWDRLVFNKIKARLGGRVRLMSSGASPLSSDVMEFLRICFGGEILEGYGMTETSCVISTMDIGDRSIGHVGSPNPSCEVKLVDVPEMNYTSEDQPYPRGEICVRGPTIFCGYYKDEVQTREVIDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFVYGDSLNSSLVAIVAVEPEVLKAWAASEGIQYEDLKQLCTDPRARAAVLADMDSIGKEAQLRGFEFVKAVTLVAEPFTLENGLLTPTFKVKRPQAKAYFAKEISDMYAQLRETESPRQKL >Et_4B_037541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2119624:2120404:1 gene:Et_4B_037541 transcript:Et_4B_037541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGAADKNAPAAGDELAGGADASLNAFFDHAGLQGLELTVAGGGQGAEEEEELEWLSNMDAFPSVETMTVEAEAAAPRPSVGLGRLDALPHVVGPRTKGLRRRRRVTAPWSVPPLLPPPAPSGGAPRRRCSHCASEETPQWRQGPDGPSTLCNACGVRYKSGRLFPEYRPINSPTFSPLLHSNSHRRVLEMRRHPEEDTAAGRRGGARARRAERAAARAAAATANGK >Et_5B_043830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16616712:16617055:-1 gene:Et_5B_043830 transcript:Et_5B_043830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQEPAAALPENHAAPQSPMCACKNPLLLRPKPCLNPFPAVVSFSLVVDDEVAAVNAKSFANRT >Et_6A_046328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12989166:12990617:1 gene:Et_6A_046328 transcript:Et_6A_046328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIVTKLTFLKVFAPEPSSYIKHNATIEKERSPGRFDRNNTEITETGPELLPNSPSAAKLTFLKVFAPEPSSYIKHNATSEKERSPGRFDRNNTEITETGPELLPNSPSAAKLTFLKVFAPEPSSYIKHIVTSEKERSPGRFDRNNTEITETGPELLPNSPPRLTSLPAKLTFLNVFAPEPSSYIKHIATSEKERSPGRFDRNNTEITETAPFDQSAAKLTFLKVVAPEPSSYIKDIAASEKERSPGHFDRQNTEITETGPELLQNSPV >Et_2B_022786.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5089076:5090098:-1 gene:Et_2B_022786 transcript:Et_2B_022786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDFYTNQLSSSSSSSSDQELMKALEPFIRSASPPSSTSTSPFSNSYHCYTSALPQDSYYFPATTSYTTLPPPPVPTPATTSFSQLPPLPSSSSYASPAAAYPSPADAAAELAQAQIQQIQAQLLAQQQQRGLAASFLGPRAQLMKHAGVPPAAAAAAGKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDSAEDAALAYDKAAFRLRGDAARLNFPSLRRGGSHLAGPLDASVDAKLTAICQGLAAKPSTSSAAAHPDSPKASASTTTSEGDESVHSAGSPPPVLLPPSSSQQQQPAPPLPEMASLDFTEAPWDESAALNLSKFPSWEIDWDAILS >Et_4B_038943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6130252:6133166:-1 gene:Et_4B_038943 transcript:Et_4B_038943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPAQPTTTAPTSAASPPAPAASHPLPRAYLATSSPRGTGAAAAPGPPLFTGRPLNPNPHGHAASAPHGILYPVTTSAGSAQHRRIPPMAMGYSRAHAVAVPIAQQQQPLMHLQPRSYAPIPRALVAGVAVRPEQPPRGVPIAPQPKINPVPPTAPAAEQSNSKDRERSREESSTVVINDRKVNLLESESGSLYALCRSWVRNGVPHENQPSFGNGEPILPRPLPASVINSRILGTDNNNTEDEDSDEELQKNADGEYNTSDLLKQHVKRAKKIRAGLQKERLRRIERYKQRLALLLPPPSELGRHDFPL >Et_4A_033976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28684099:28686792:-1 gene:Et_4A_033976 transcript:Et_4A_033976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAASQVALSAPVASDRVVRSSGIKGNNNVSFSNKQWMGATLAWETKATRPRHANKVLCMSVQQANKSKVAVAPHHLENAKEPPLNTYKPKEPYTATIVSVERLVGPKAPGETCHVVIDHGGNVPYWEGQSYGVIPPGENPKKPGAPQNVRLYSIASTRYGDNFDGRTASLCVRRAVYYDPETGKEDPSKNGVCSNFLCNSKPGDKIQLTGPSGKIMLLPEDNPNATHIMIATGTGVAPFRGYLRRLFMEDVPNYKFGGLAWLFLGVANSDSLLYDEEFTNYLKQYPENFRYDKALSREQKNRSGGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKKVAEQRGESWDQKLSQLKKNKQWHVEVY >Et_7B_053217.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12434485:12436455:1 gene:Et_7B_053217 transcript:Et_7B_053217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVVLLVLADCRKRSRAPTIRPFLWAAYVLADSIAIYILGHLSCTGTSREHELMAFWAPILLLHLGGQDNITAYSLDDCRLWLRHMQTLAVQVAGAVYILYQSSIFVGGGSRSFLLPATILVSVVGLVKYVERVWALWFAGFGASAMTEPGTGRSPIASSFGDSTNATGMLQSAGHPSSFGDSTSSDHDAQGLLREAHRLLDVPKCFFMLLREKDVQVRLEDISRLNAQDMLMVAQMQLELIYDIFYTKAELISRWYGFCARVFTTLAIAMALVLFHLLILGDHHKLDGHRYNRVDVSLTYVLLVGAVILDAVSLLRAALSSWMCAPLTTASSQGVGMGHSASIFYLLGRVIMSLHPPAYLRSIGQSGLSSLGAGRTFSAFSKIVRWIGLEDPWNTAVLSSSRPISGKIIKQLLAEVVLKSGTTASETSPDHILNSRGRAALKRLPLDLNNREIFRKIFDDIELDESILVWHVATVIYLYMYKYESDHHRLDDLVSAVEALSKYMLFLLAARPSMLPLPASRNAFVQLCSDISELGFRSEQELIMLLLDWTSHESFSNETLNMGCELGSHLFGLAHGSSAGRDVILELLAEVWVEILCYASYRCPTYYHAKQLINGWEFITAVALLLEYRRRLDMLGASASGAHTASQEANGHLA >Et_2A_014708.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8351342:8352123:1 gene:Et_2A_014708 transcript:Et_2A_014708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPTVQMATSPSPLQNGISLPKQPTKKVDPETKMDAGKATVAADKVLSTSANLAQLLPTGSVLAYQSLSASFTNQGECYPSNWWLSLSLVSLLTASCVFFAFTDSIVYKGKIYYGVATPRGLNIFNLSKKEEREVFEGLRPELEKRGLKRLDWVHAIFTAVVFLTVAGSDVGLQKCFFPNAGPNTKELLKNMPLGMAVLSSFVFMIFPTTRSGIGSHTQASEDSAVVSPSNKSDEESQTSATSSS >Et_4A_032384.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:4438341:4438451:1 gene:Et_4A_032384 transcript:Et_4A_032384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFKSLVLQEKAYNESQKYKEGKFILERGRIVRDG >Et_10A_000477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1119620:1129240:-1 gene:Et_10A_000477 transcript:Et_10A_000477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFAGVGGGAAATEAGPAGGGSVLLELTPHRIAVCQLVHVFAPPAQVGLGIGLPFPFESVAHHNRLGLFLFTLTRSCEDFLEPPLEELLRQLKAVDDLTNDWLCEHLTSTLTALTSPDDLFNFFDKLRGVLAAPEGAGEDDVYLDPNSQLGVFLRCCILAFNSMTFEGVCHILANLVSYCNAADASYDLAEDEDYDTEMSNLMDADIGARAGIFDKYNQCYAAESHIGESSSSLSHAPMSLHDFDDANALKTDDGPTCLRSRWQLEAYLNQQADVLEKDPSSVPLNSFNATMTQLQTLAPELHRVQFLQYLNALCHDDYVASLDNLHRYFDYSAGMQGLFTRSVSPSQDIVVGKYESALLCLGNLHCHFGHPKKALEAFVEAVRVSQMNNDDSCLAYILGAISNLLSKIGMSNTVEIIGSPYSLGTNIGLGTPLSIQQQLLVLLKRSLKRADVLKLPSLLSFDHLSLATFDLKHVQRPLVSFGPNASTKLKTCPADVCKNLRLSSRVLTDFGTDVLSTSNDNGSFSTSWLRNLSAASNSGCSSSTKPRKLDKNDFDNFYYHAQPSPISAPVLQLAGSTYLLRATAWEHYGSAPMVRMNALVYATCFADAASQSDLSLAYVKLIQQLVVFKGYSAAFCALKLAEKKFPSSTSSHIQLLRMQLLHERALHRGHLKVAQQICDEFGVLSSSVCGVDIELKTEASLRRARTLLAAKQFSQAATVANSLYSTCYKYNMQVENATVLLLLAEIHKESDNAVLGLPYALASQSFCKAFNLDLLEASATLTLAELWLALGPSHARRALTLVYQSLPMILGHGGLELRARAHIVLAKCHLFDPNFLVFKDPCAVLDPLTQATEDLQVLEYHEMAAEAYYLKAMAYNHLGRLDEREEAATYFKEHISALENPLNEEDSLAY >Et_2A_014610.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27724081:27726617:1 gene:Et_2A_014610 transcript:Et_2A_014610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRIAPVLRPPPPRAAAPVGAAAAAPYSSKSTTIPQKQQRVRDHAFDGIMEVQKRVRRFRALHALLLYAAAPTRGSGAVSVPFSRLGALARRQLRLAPLDAGRFLLRHPHVFHLFLHPVLRMLHARLTPRAAAALQAEADAVAAALYTTSVLRLRKLLLLAPPHCRLRLENIRMLRRDLGLPDDFADSVIQSNPSLFRLTPDGFVEFLPSPTDPPDLTVAAVERARERHYREHRAPDSGEEDARFAFPTRFPPGFKIGKYFRIAVWKWQRLPYASPYVDVSGHDLRSLEAQRRMEKRAVAAVHELLSLTVEKRTTLERLALFRDALGVPKKIKEFLLKYQGIFYISTRGNQGKLHTVFLREAYYKGELLEQNEIYEARRKLEELLMISPQKVNLDRMFTSMGRGWDELGGGRRGGAELRYEFLGDGGGRKKDADADAADSGDDSGVESLYIE >Et_10A_002189.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:3906693:3908210:-1 gene:Et_10A_002189 transcript:Et_10A_002189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHKKLLQFLRPDPASVAPAATKSSSPSTSSDSDNDDDDAYSLAPTSSPSTSAVTSAAASPYRMSPWTQLPGLGFSGADEPALVKRQTGLLGSLVKEDGHVYSLAAAGDLLYTGTDSRNVRVWRDRRECGGFKSGSGLVKAIVVAADGRIFTGHQDGKVRVWRRRTASSESTEAEAAVEVEHRRVGTLPRLRDVVSSSLLPSRYVRTTRRRRTQLWLRHFDAVSCLSLDAAAGLLYSGSWDKTVKVWRVSDSRCLESVPAHDDAVNAVAAAGFDALLFTGSADGTVKVWRREEEVAENSNKKGGRRRTRHAMERVLRSGDGAVTAIAVAAEARVVYVGSSDGAVTHWQFRRGGHGNSALPPRNGGALRGHKMAVLCLAVAAGRVVVSGSADRTICVWRREEGSAEHARLAVLSGHAGPVKCVAMNEEEEDGGDGEGARRWVVYSGSLDGSVKVWRVSDDARGGSGTMMTPARTPAARVWKGAPSPLSAWSPYAAAPETKRMAAA >Et_6A_047328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:445871:451656:1 gene:Et_6A_047328 transcript:Et_6A_047328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVILIFLSATIAGFFLIRGLNAEPDQFQDDDDKASESGSPRAPVPLHSKVGSAVKTGFWTMVDMASGRYLWRTLVASPAKSESDKARFVSAFGNADTVTDAAFLYSSLISDE >Et_3B_031039.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11581612:11581968:-1 gene:Et_3B_031039 transcript:Et_3B_031039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGEHYESDKFAVGGYDWAVRYCPNNGGGPRTAASLQVGSRFACALMDSHGRPSPETRSRLLVGRLLAFSEYGEEKGFWTFVTHGALEAGSDYLVDDCFTLVCTVSVLKKPQPSRV >Et_7B_055724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2726510:2729069:-1 gene:Et_7B_055724 transcript:Et_7B_055724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKVIGSKPSESFQLQDSNTWICISDFESDVVIEVGEMSFHLHKLPLINRSGTLKKLINESSGDDDGKQCTIPMDDIPGGPEAFELAARFCYDVRLELNASNIVPLRCAAEHLGMTEEFGEGNLVEQTESFLSDALGNWNDAVRALHACDAVLPDAEDLHVVQRCIDSLASKACTDPTLFGWPMLEYYTAKHLEETVVWNGISTAGKLRSPGPDWWYKEASSLRLPVYRRLVTAMRSRGMAPENIAGSLTHYARRHLSGLSRHSGYGGAGASTTGTAVLSDGEQRVVLEEIVALLPAETGVAMTRFLLGLLRTATVLHASAACRDALERRAGNQLEEAALEDLLIPNTGYATETLYDVDCVQRMLEQFMMESTSAAFASSPEITDEGQLVDAPSAELMPVSTVAKLVDGYLAEVGTDTNLKLSKFQTIAALVPDYARSLDDGLYRAIDIYLKAHPWLTDSEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTTVAGWFFVSDNVDQGSSSDNCVLPRRSSDLDFAQASEDEEADAARRAELSSAMSVEEIRQRVTELEDECSSMRQEIHRLGKPKGALSRLFQKLGFGGKSSRQRQQQQQLLPGSKDKRRKSLDLGC >Et_3B_027822.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20914962:20915636:-1 gene:Et_3B_027822 transcript:Et_3B_027822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQQQERQRRHEAVTAAAGERRDGGGAMSASRRGRFDYYHDDGGGGGAGPLATAPVVDQADCTAQSCRSCVAVTLADAIALGCCPCAVVSLLGLAFVKAPLAVARRCLRRLRRRRGEMLHKKRVRDMDPAAKCRRRREADADAWKGDDPGMEAAAARRASSSSSGRLDAEKVWMEMYRVGQWGFGRLSVSVTPPRAPSRPGGHVAAARGPDGDRKDVGPRCES >Et_4B_037034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13884351:13887904:-1 gene:Et_4B_037034 transcript:Et_4B_037034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKQKKQQQERSMSPATATGLQQRLSLTEVMSTASDQDLSVSLVGSNLHVFTVGELKAATRGFVDSNFLGEGGFGPVYRGAVAEGAKPGLKAQQIAVKLWDPEGTQGHKEWLSEVIFLGQLRHPNLVKLVGYCCEDEHRLLVYEYMPKGSLENHLFKKFPPVLSWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKTSNILLDPDYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLEILSGRKAVDKTRPSREQHLVEHMRPWLKDPQKLSRVMDPALEGKYSFAAAQKAAMVAYRCLSGSPKNRPDMSAVVEDLEPLLGVVDEDVPAGEAAALAPARDDDAKKERTARRRDGDQRENKSSGHGRRNRARSPKRTNVRRRAPGQGEEFWEWHMPAEGKA >Et_1B_010856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14882070:14882704:1 gene:Et_1B_010856 transcript:Et_1B_010856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALLEELRRRNVAGPLLLLNLVLYVFMVGFASSALNSFIDGRQYYAGFQGDSATLQFVQFAVLAGVVGVATKLAAAYHARAWRTQGLAAAAAMGAVAWAATALPFGLACKEMMVSDEQRRGLRLRALEGLAATLAFTQLLFVALMHAAVAGERYGLGWAADDGDQPRGIL >Et_8A_057548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4279232:4282838:-1 gene:Et_8A_057548 transcript:Et_8A_057548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKLQNGEDMKGMKLHDSNKGENVDDAVSTGKKRGDHFGEESGLRSDGEAMEGKAVAVMSDHNASSKGENTDDRSSDQFTRATSEGSGAKEIRSNTSPRTNPPDSTMHVIKDQLRRAKTYIGLLPSIGNHGFVRDLRRKMRDIQQALGDATKHRRLPKNVHGKIRAMELMLTKIKQVHENCVSVIDKLLATLHSTEDQVQAQKQRANYLAQVAAKALPKRLHCLALRLTNDYYLSSSYSQHFPYEEKFEDPKLQHYALFSDNVLAASVVVYSTIVNSKEPANQVFHIVTDKLNYAAMRMWFLANPPGKAVIQVQNIESFTWLNSSYSPVLQQLESHFMITYYFKTDQANPDKNPKFRNPKYLSILNHLRFYLPEIFPKLDKVLFLDDDIVVQKDLSSLWSIDMRGKVNGAIQTCGETLHRFDRYLNFSNPLIAKTFDRRACGWAYGMNMFDLSEWRKQNITDIYHYWQKLNSNRQLWKLGTLPAGLVTFWNRTFPIDRSWHLLGLGDIERAAVIHYNGNLKPWIEIGLPQIPQILVQRMRRLSTAAPPYFALPGRTWYMERLIPPVPLAFVVNYEGNMKVYASACAIHALEPCRLPKRLH >Et_2B_019384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26647028:26647726:-1 gene:Et_2B_019384 transcript:Et_2B_019384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIAVAQEAGAPAPNPGYRGVTTRPGGKWGAYLDSREPRPWLGTYDTPEEAACAHDAAARTLKPGAPTNFPEPAGEELRRRAVVLAHLARVKTKRANRMAKEAPRKMDAARAAMAVATAVGDAVSAVAPPLALPAPEGDASSSQVAAPPAGGAVSAVPPPAAPSLDWLRRPTLCWTSTPSWGPCPTFPIKKSPPSYPQRRGTRTARGRGPRR >Et_1B_011471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22699131:22701805:1 gene:Et_1B_011471 transcript:Et_1B_011471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNEKVLGKCGRNITSLKRKRDSPAANHAGAGCTSELHQSPAEASAVRFHVDQDRKAKIVCHLSRQILLGYQNFMSSTPPKRILLRQGGDWKDFPEKIVKLAQVDFRARKTVTETGYQNQLFLLDFVHMTFTDSKTGLQKPIAWVDENGKGYFPETFIQDQKLFRKKDIGNGAHEYISVEPNGSREINVQLPSESSAESSNFDSSTEHVSSPKRVRAEKSTIGKNYGDVMEAIGENEPCTLLPTGFNLPPLQDKLGELSRAQRTSEAVEKLLLQGMGGVIGPKDIIGIYRTPILDDRGEVRYSLFRKQVHATGCHRGNANVRYAWLACSKSTVQEMMLGGSLQVSKPIKFPAYGNGTLLAPSNRADTCVNYSDIDENGIVHMMLCRVIMGNVEIVHPGSKQDRPSNDYFDSGVDDLKNPQHYIMWDMNLNSHIYSEFVVTFKLPSKTKDSAVTQEDCYNSSDISLVMSSSSPDCTSKEMNIQASPASGGPCAAPMLGDSMDKAPSTPWMPFSMLFAAISTKVSPDTMDLVISCYEQFKSKKISRAELVKRLRDIVGDRVLISTIMRLQDKVPPVGRHEASAKMVAKP >Et_9A_062027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1953330:1957115:-1 gene:Et_9A_062027 transcript:Et_9A_062027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECGFAVFLGWGSDTKGTLEYKARSNGASGASTRNLGDPYSYMKYPGSLNDEPMPDAASEKEQGNEYFKQKKYAEAVDCYSRSIGLFKEAEDDCTEALNLDDRYIKACSRRITARKELGKLKEAMDDAEFAISLDPNNPELRKQYSEIKALHMEKAAQKASVSAKRAVSGFDKTADKKDRTSHPPTISQKACLVIQCHLTLQDSLMEVDLPVRTAVEMRDSADSRSKGGLGGKVSESIMQHSCVCMSHVKYLMLQPRDAKQEAGSKDSVQELASRAASLYMASTVKSVKTPKTAYDFEVSWRALSDDTAQQIQLLKSIPPASLPEIFKNSLSAAFLIDIVKCAASIFRDDAALAVSILENLTKVPRFDLIIMCLSSMHKSEMRKIWDQVFLENASTDQIEALRQLRGKYIQGGWQDNMLT >Et_1B_011039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16894672:16899481:-1 gene:Et_1B_011039 transcript:Et_1B_011039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRHLHLLFLAALALRLVVDGVSAAPASHGTFDPSRVVQLSWRPRAFLHKGFLSDAECDHLIMLAKDKLEKSMVADNESGKSVQSEVRTSSGMFLEKKQDEVVTRIEERIAAWTFLPPENGESIQILHYQNGEKYEPHYDYFHDKKNQVLGGHRIATVLMYLSNVEKGGETIFPNAEGKLTQHKDGTWSDCAKNGYAVKPVKGDALLFFSLHPDATTDSDSLHGSCPVIEGQKWSATKWIHVRSFDLTVKQTGSSDDCEDDNTLCPQWAAVGECAKNPNYMVGTKEAPGFCRKSCKWQPLAFFKAAGNGGLAATTPSLPASARYLSFLVRLVHS >Et_5B_043370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9472566:9472946:-1 gene:Et_5B_043370 transcript:Et_5B_043370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLDMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGSDAFRKGNIPVALSRH >Et_6A_047471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6242851:6243433:1 gene:Et_6A_047471 transcript:Et_6A_047471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRFLGRDSPEWFPRGGPTHARFRMRSGQPLRFRMRSRTPLGEGQLSPRDPICLSSLIRSPSFRYSKPSRIASFGPQQDPIQELLTQPRAPTRGSSPITKNSLGAVFYGRPKHPISKGYSFGSSFMHGRSWRPPISYYAMQDDCALSAQELETLDHLLISCVHSHEIWFRFSVRSDCST >Et_1A_008812.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14294173:14295462:-1 gene:Et_1A_008812 transcript:Et_1A_008812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLSSSCHELEILKLRKRQADGAAVSDSRGNHRSSKSRQITKPNFSATTTTTAEQTQHSAEHPSIDFRELSQAIRHRDGADGWRGRQPGEGVRGVVGGDVLRPPRGVAPPPRRASPRGAPPRGGAPLRGALRLLHHHVPGHLRFFLTWLGSFKLLLLAAGQGPLDPALSLPHFVCSASLPVKLRQPSVAKEKEIPATAGGRAPARVLLCAAVIPAIIYSYQFKSGMSRYQLLALYTLHIYFSLDLLLATVHTLIHDVLGMEMEPQVDRPWLASSLRDFWGRRWNLMVPSILRPSVFRPVRSLLGGSAGAAVLATFLVSGLMHELMFYYIMRSAPTGEVTAFFLLHGACAAAEGWWASHAAWRRPPRALAVPLTLAFVAGTGHWLFFPAMVKGGLDEMVLSECQGMVALMERVGRRLAGATDLVSSTM >Et_1B_013920.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:23949601:23951100:-1 gene:Et_1B_013920 transcript:Et_1B_013920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTSQSFATRALHFHRAVQRTIVACLQLGGDGDASSMAESPPSPSTPTARRVGSNALVVDVDAMLLKPSPSAAFPPYFLVAVEAGGYARGLALLALYPALRLLPGDARVRAMAAVAFCGLPRDDAARVGRAVLPKHFFSGAADMGALGSVGALPADVKVAAVSRAFPTVMVEAFLKEYVGFDAVVGAELQGGLRYFSGAMEYSDGADSIARVLMQTTGKNRSSGTFPKPMVFHDGRLAFTPTPSAALLMYVYFPFAVALAVVRIAIYVLLPWRASRVVAALAGVKVRVVDAPSAAGADNKPRGGRLYACNHRTLLDPIGIASALGRPVAAVTYSLGRLSEILSPLRLRRLTRDREEDRRRMASMLALGDVVLCPEGTTCREPYLLRFSPLFAELVGEVTPVAVDTRTSMFYATSTSPIAKSFDSIYFLMNPRPEYTVIFLEPINTESGKSSIEVANEVQRVIAEALGFQRTALTRKEKYLLLAGNEGFVKGRRIKN >Et_7B_055378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9814816:9817462:1 gene:Et_7B_055378 transcript:Et_7B_055378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAKLSVSGAALAAILGCCAAADGDCDGLLFGRAARPPAPPPSFFDDDDSAPVSSVPSLTISIAGHASLARPSFFSDPLGRFQLHTSAFPTDTVVGFFSSRRRTAPRPSMREAAFARSLSKTLALTHPVVFLLVLPSSSPNLSVHSFDYRTFLLVDSRLVPSSLQVVNLGPGFRDQYHAFAGESPMPWMPPQSPAGGYSIGEEKAMDQIVEGFGIRRVEGVVTSATVQAAEMEEMYTGMLRRLEGLARQVEESNELVLQQREMLGVKEESSC >Et_1B_014289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5003962:5006944:-1 gene:Et_1B_014289 transcript:Et_1B_014289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLQKLLEAAAAVSTPHAAAHLHVHPFRSGSLYSAHHLTARVLASYPPGLARDLFDEIPSPTPRLANALLRAHLRAGQWRAALCLGPRLRARPDRFTLPLLLKACAMLPSLTHGRAVHAVAVRSRAASDDAFVAAAIVQMYARCGDMVASFNAYGSFEKPDLVLRTSVLTGYEQNGMAEVALEFFARHVVGQGIAPSPVTLVSVMSSAARLGDARNGKACHAFVLRRGLDYDLALANAVLGFYTKIGAVQAARRLLEGMANRDVVTWSCMIKGYVQCGDTLNAMRVYREMVESGVQPNSVTLVSVLQACALAADVEEGRRIHHIAVNIGCEIELGVGTALVDMYMKCSCHEEAICLFNRMPRKDAVAWAAVISGLTQNGLLNESLKVFKSMLLNGHAPDAVTMVKVLAACSESGVICQAICLHSYLVRSGFCNKAFIAAGLVDLYSKCGNLDNAIKIFESATEKDVVLWSSMIAGYGVHGLGKEAVALYQRMIASSVKPSSVTFVSLLSACSHSGLVQEGRQIFDSMIQVYGIMPNTEHQCAIVDLLGRAGELQEATRVINDMGGRAVAHTWCALLAACRAHNNTEMSEIVAKNLLRLDPDHMGYYNLLANIYAFDQKWDSVKETRDIARGKCLKKVPGYSAVEVNNVVHNFIAGETSHQDWEKICTLLWELSRKLRDEAS >Et_5A_041603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24841099:24848753:-1 gene:Et_5A_041603 transcript:Et_5A_041603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDMRPLGGKKVEVPSHGLENSGVSDQACHGKCHSCDGDGKPSLPGVNVNSSLIESHTDGKASKENGGACGFDVEIADYDSCLNGNMGSHIAGDDLPQESDIEQAGGTLEDLFFLNEEEEDDSDWEPTSRHVMNRWFCLNCTMPNMDGLSHCLNCRELKESDVAGYDVFKTQIAQTAFVSTGTESPLVSTAIGFDERMLLHSEVQIKPNPHPERPDRVRAIAASLAAAGIFPSKCALVPPREITKEELMMVHTPDHIESVEQTKNMLYSYFTADTYANGHSACAAKLAAGLCADLASLIVSGRVRNGFAMVRPPGHHAGVKQAMGFCLHNNAAVAALAAQRAGAKKVLIVDWDVHHGNGTQEIFEENKSVLYISLHRHEDGMFYPGTGAAHEVAISDVGTLDGRGFSVNIPWSRGGVGDNDYIFAFQHVVLPIAAEFAPDITIISAGFDAARGDPLGCCDVTPTGYSMMTSMLTGCSEGKLLVILEGGYNLRSISSSATEVVKVLLGDGANFDTGATAPSKDGLKTVLQVLKIQQHFWPVLSPAYASLQAQQGSVFPKYTNKGNELKKRKHLGSSGPFFWWKLGRKRLLYEALFEGRRQRKIKVTGKGKADTSE >Et_1B_013573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9614163:9615724:-1 gene:Et_1B_013573 transcript:Et_1B_013573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEAGLKKGPWTPEEDQKLLAYIEQHGHGCWRSLPAKAGEWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPRADAPASAAAPGARYRAAAHLSHTAQWESARLEAEARLAREAKLRALASSPPPAPLESPTSTLSFSESALFANTAAHDMARQPVQASHSYVETFGEQQHGGFGEAAQQVDGGFLARVLLDCSVAGATEQRFAATSTDAGAGEQEEEDKGYWNSILNMVNSSSSSSLTSEAVYLPAAAEF >Et_2A_017185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31841953:31845213:-1 gene:Et_2A_017185 transcript:Et_2A_017185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAGHNDPLLGETTCGSLLQQLQFSTRKRGRYDWISDLRVVQVIWDEVGESDDDRDKMLLQLEQECLDVYKRKVDQASSSRALLLQQLANSKSELARLVSALGELSISGIPDKTTGTIKEQLAAISPSLEQLCRKKESRVKEFADVQHQIQTLRGEIAGNLQVGSHMETSHVNEDDLSVKKLNEFLSELQALQKEKSNRLHKVLEFVNSVHDLCSVLGIDFVSTVTEVHPSLDDSGAQSKSISDETLSKLSKMVIGLQEEKERRFAKIRALASQLSDLWNLMDAPAEEQQPFHHVARNLSLTLDEVTVPRALALDVIEQAELEVERLDQLKASRMKDIAFKKQTELEDIYARAHIAKDCSAARDRIMSIIDSNSFEPSELLADMESQVLQAKEEALSRKDILERVDRWMSACEEESWLEDYSRDDSRFSATRGAHLNLKRAEKARLLVNKIPATIDTLIARTRAWEQEQGMPFTYDGVPLLAMLDEYKILRQEKEEEKQRMRNQKKINDQLAAEQEKLFGSKPSPARPQSSRKVAGARVNGSGTANGTPRRLSALQSGGRSASRDGRKNTGRPAAPVNYVAIAKEDAVSQVSSNHTGPSTP >Et_2A_015818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18082325:18084795:1 gene:Et_2A_015818 transcript:Et_2A_015818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSTIIRYAITFLLLVARLLPPCASDDRLLPGKLLFPGATIVSDGGSFALGFFSISNSTPAKLYLGIWYNDISGLTVVWVANRETPATNSTSPAPALFLTNTSNLVLSDGDGRVLWTTNITGATSSASPSSSGLAAVLLNTGNLVVRSPNGTALWQSFDYPGDTFLPGMKIRISYKTRDGERLVSWKAPDDPSPGPFSYGCDPGTFLQIFLWNGTRPVMRSAPYTGFMVDSEYQANASSFTYMAIVATEDAIYMSYSLSDGAPHTRYVLTYSGEYQLQMWSRNSSAWVVVRNWPNSKCNHYGYCGRYGYCDDTVDARTCKCLDGFVPTSQEEWNSGNFSQGCRRKEALQCGDGFLAVPGMKAPDKFLHILNRTSEECAAECTRNCSCVAYAYADLGQDIRKATRDGTRCLVWAGELIDTEKMNDTAGRDTLYIRMAGLNAGARPKTNALRIALPTIFISGILILAGISLAWLKFKGKKRSGEVHKKPFLGGSSDELGERNLSQACELPFVKFEDIVAATQNFSESYKIGQGGFGKVYKGMLGGQEVAIKRLSKDSRQGTEEFRNEVILIAKLQHRNLVRLLGCSVEGDEKILIYEYLPNKSLDAILFDYSKYVAYYIYVYALSSRKMLLGWPIRFNIIKGIARGLLYLHQDSRLTIIHRDLKAANVLLDGEMRPKIADFGMARIFNDSQKNANTQRVVGT >Et_1B_013178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6048670:6051556:-1 gene:Et_1B_013178 transcript:Et_1B_013178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGLNLVTTVIGFGMSATFIVFVCARLICGRAARADPDAAAANRVMAQPPAPFDFDVEFRTADLDRTIERSRGGLDPFAVASIPTMNYSCEAFHSKDDAQCSICLGEYKEKEILRIMPKCRHNFHLECIDVWLQKQTTCPICRISLKDVPSVKATSSPLRGLPQLLGHPESSANRSPHWILPIHRDRTGGRQNSPSSQESLEVIIEIQAQRQ >Et_5A_041741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26612123:26614494:1 gene:Et_5A_041741 transcript:Et_5A_041741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ANKWRKLAAQTKFCEDRLRKITETKNRFDVSAADSRRDNTSSYSSRHEHLSDHSYLNHDDDFVGNAEEVKLLTEWLCGGRKDRTIISICGMGGLGKTTIASSIYKKDEIKRMFICRAWISVSQSFRVKDLLKRILLQLMTKNEGVPYGFDAMDCVNLVELLQRYLQGRRYLIVLDDVWSRDSWPLLGNAFVKNNNGSRIVITTRIQAVASLADPNCEMKLNLLPKEEAWTLFCGKAFARLEGRSCPLNLKTCAERIVDKCQGLPLALVALGSLLSYKDMDEHEWELFYNQLRWQLSNNPELSWVASVLNLSYNDLPSYLKNCFLYCGLFPEDYQIERKRLIRLWIAEGFVEDTGTETALSDIVACCLKELVSRSLLQVVKRNEYGRPKRFQMHDLVREISLTISKKVKFATTCDRPSSGSICDGSRRVSVQGSNLMPTARSSAQLRTFIVFVEEVSSSWFRDCYTCFRLLRVLCLRDCHIQQVPDNVVRSLGVRKMLGSYNADFWTSVNKMTSLNSLSVTTADRDRDVLDLADLKSLPYLEKLMLSGRLNQGCLPPAFGHFPKLKSLRLCLSGLHEDPLALFSVMFQNLGHLNLYRCYEGTRLTFRTGWFPKLRHLYLSSMNELREVEIEDGAMRSLHRLELWSLKSLTSVPKGLVHLKSVQQLCIGSMMSDEFKIRLEGSDHWIVQHIPYIGDP >Et_10B_002384.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:16514302:16515537:-1 gene:Et_10B_002384 transcript:Et_10B_002384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGRLPYLFVRVVKARHLPCVDVNEKPDPYVEITAGNLKGFTKCIQEEQNPEWNSTFAFSKRQLDSVQVTRIYVVVYDGVTDDFVGLVSFDMIDIPEHHPKDKPLVAGWHCLIDESGRTLEGDLMLAVWKGSQADEAFSDSWVSDCVDVTMTHVGPKVYNLPVRWCLRVHIIEFKCIALECGSKNVEVYVRAIVGDQRQRTNTVKKPLAHYVWDEEMAFITSEPFEDDLQILVEAYLGPGQGTVVGRIDIPLETVQRRVDGPYPELERKWFDLQMPTTAASFDGGGNEFINVSSCRIHLTACLDSGYNTQYNMGDYKGDLRSAVQEKSNSSVVGLLEVGILGAQGLPPRQRRTGRSTLHPYCVARYGQKWIRTRTIINSCHPSFQEQYSWDVYDTATVLTVGVFDNPQVES >Et_1B_009962.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:14329416:14329475:1 gene:Et_1B_009962 transcript:Et_1B_009962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGQATILLWIVCQFVFS >Et_5B_044795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5946597:5950025:-1 gene:Et_5B_044795 transcript:Et_5B_044795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTPFHAMLLPLLLAALTVTASFAAAASRTHPHPLDPLSPAEITAVRAAVLASPFVPARPLTFHYVGLDEPDKADVLAYAAHHHRGGGARRPPPLPRRAFVIARAGGESHELRVAVTTNASSSSPAAAAAVLSHAVHRGSGFPTLTLDEQFAAVALPPAHPPFRESVRRRGVDMDDVLCAVFPVGWFGGASEGAARVAKVLCFVAGDTANFYARPIEGVTMVVDLDRMAIVEYRDRVNYPVPKAEGTDYRAGKAGPPFAAPEPAPGVVVQPDGRGFHIDGHVVRWANWEFHVGFDMRAGTVISLASVHDADAGAWRRVLYRGFVSEVFVPYMDPVEEWYYRTFLDAGEYGLGLWAFPLQPGADCPANAAYFDGYYAGQDGKPVQGENMICVFERYAGDVAWRHTEAGFPGKLSRTTCCSDDISGADHGGPAGRDLGGEDGGVGRQLRLHPGLGVQDHRLHQARRVATGLLEVKGTPYTHADEVTADAHGTLVAENTIAVYHDHFVTYHLDLDVDGTNNSFVKNGITTKRNTGSPATGGAATPRRSYWTVRREVAETEADGQVDLNAGVADLLFVNRGKKTRMGNEVGYRLVPSGATAASVLADDDFPQRRASYSKKQVWVTPYYDRAEKWAPGLYADQSTGDDGLAAWSARDRGIRDADIVLWYTLGLHHIPYQEDFPVMPTISGAFELRPSNFFERNPILRTRPPAANGPSPNCSCDATR >Et_5A_042073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:589481:593307:-1 gene:Et_5A_042073 transcript:Et_5A_042073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPRRPSDEARRATYKPRVDFGRSRRRREDGLLALRRLDRDAGLFKRRRDEPTLVPASATALAPNEATADAANSRPSTTRPSSPPDPAAPRDGTGSELEGLSEMVDKVWSDDTTSQLEATVQFRKLLSDEKNSTVIKIIRADVLTRFAEFLSRHDLPQLQMEAAWVLTNIAASDYTLLVAECGAVPRLVELLGSPNANIRHQAIWCLGNIAADLPSCRDILLDHGAVTPLLSQFSEDMRVPVLRTATWALANLCFGKLPAEVQVKPILEIMSQLIHSADEKILADACWTIYYICSGTDDVIQDVLNVGVCTQLVNLLMHSSSSVLLPVILALARISAGDDAQVQVLIEHGILNFLAQILARNYPKNIKKQACLIISNITAGSKEQIQAVIDAGVLSPLFPLLKTSETDIRKEAAWAVSNAASGGSSDQIQYLVSRGCLDPLCRILTCQDYDLVYTCLEGLQNILESGEAGKKGQDSGTNPYAEFILECGGLGVLEELQDVDNDRIYKLVMKLLESYWEEEAVIQMSQVRLTLQRLLEQCPKKLLRSHQFHPPVQTKQIENLHWIAARDRFSFRFVVLYKLFRENS >Et_5A_042136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6651959:6657960:-1 gene:Et_5A_042136 transcript:Et_5A_042136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEPCTYTTDEALSRLGFGRFQALLLGVLGTGWIAEAMEIMLQSFVGPSVKAEWGVSAAEEGLVTSVVFVGMLIGACAGGLGSDRFGRRLGFLFTTLVTGISGFLCAFSPNYASLIALRFVVGLGLGASHVLPTWFLEFVPAETRGSWMAAFSCFFTLGTILEALLAWAVMPILGWRWLLGLSSLPCFILLIFSGLIPESPRYLCSRGRASDAMLVLERIARMNNRSLPPGILSSDPKLRVNHKIDASVTTLLLIPEDNHRIDDGTSSKSDGINEFRALLSHELIISTLLLWLVYFSSFFAYYGIVLLISEVSNGSRSCASVGPQLMQPKDSSLYINVLVTSFAEFPCLLLAALLIDRVGRRVSMGGLLLLCCASVVPLAINLRGGFAVPLLFCARTFSVGCFAVLRAYSPEIYPTSCRNTGVGVASSISRIGSAIAPLMTITLLENCLEKEAVFAIVLPLFLAGLGCAFFPLETKGRDIYTHHHVATKKCLEKAVFVIVLALFLAGVACAFFPTETKGRDILANEKVHCKGDQGGFEDET >Et_2A_014801.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1683625:1683822:1 gene:Et_2A_014801 transcript:Et_2A_014801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDKIPRNSNSPAHALAQKAIRSAQHSNCIFTCSHLAHSNQCRVIKAFAHVNWGSFTPIVINCR >Et_8B_059319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16673533:16677138:1 gene:Et_8B_059319 transcript:Et_8B_059319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNNNAALFEKLRMFIRKFTTAKSKKPSSSEKPASLGNTAGRLFSCPKNLNPLIPDPTAAKRVKSSVLAGCRPSPAMLPLRALLRRRRPLLPFAPAGFFTSSGSDAIAPLPPPPPRPPPAPPEGPGDEPEQEGSLARRVERAASVSAAMRGWMADGRAVHRGHVFHAINRLRRHRLHRTALQVMEWIMRERPYKLTELDYSYLLEFTAKVHGISEAENLFLRVPQGYQKELLYNNLVMAALDLGLIKHSYAYMRKMRELSLPISPYVYNRLIILHSSPGRRKTIPKILSQMRGDRVTPHTSTYNILLKIQANEHNIDGVAKVFNDMKRAKIEPNEITYGILAVAHAVARLYTVAQTYVEAIENSMTGANWSTLEILLILYGYLGKEKELKRTWEIMRGLPHIRSKSFTLAIEAFGKVGSIDHAEKIWVEIKSTRKISLTEQFNAILSVYCRHGLVDKASSIFKEMRASGYQPNAITYRHLALGCLKLGLVKEALNTMDMGKKEVVTKKVRSSTPWLETTHMLLEKFAEIGDLENARKVYEELNESKYCRNSFVYNTLLKAYVKAKVYEPDLLRSMILRGAMPDAETYSLIRLIEQFKI >Et_3B_029297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23832702:23833234:1 gene:Et_3B_029297 transcript:Et_3B_029297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEARGILMNSFDRLEARALDALRCGHCTPGRSVPPVYCIGPLVLPGNTGGSCERHGCLEWPDGQRDQSVLGHVLDGAMREIARGIENSGHRFLWVVRNPHDHRSNSIEPDLEAFLPEGFAERTRERGFVTNSWVPRTEVLRHKAIGAFVTHCGWNSALEGIVSSVPMIC >Et_8B_059857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3400483:3404280:1 gene:Et_8B_059857 transcript:Et_8B_059857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IHSRLPTATDSWAIHNSFRETRAVPQDFGPNDKSVNPLPLRLPVPGRTFENPSSSASPYNPHHPRPDPIVPCSRATRLASNPSPLSRRRLPRLREVSAMAAETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKTKLDAQPELFIHIIPDKATNTLTIVDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGESLGRGTKMVLYLKDDQLEYLEERRLKDLIKKHSEFISYPISLWVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMSGYMSSKKTMEINPENAIMDELRKRAEADKNDKSVKDLVMLLFETALLTSGFSLDDPNTFGSRIHRMLKLGLSIDEDETAEADTDMPPLEDDAGESKMEEVD >Et_6A_046714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19813836:19819574:1 gene:Et_6A_046714 transcript:Et_6A_046714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTMPMAAAAEKKKSKGKTKGNNAPAKVTMKARGAATATADNPFKAIWSRRKFDVLGKKRKGEERRIGRSRSEAIRKRENTLLKEFKASAKSSVFYDRRIGERDESLPEFDKAILHQQRERLTKLKRESKYNLSDEDEDELNDHDAHILSGKDDFDEEVPVDDGSDEEGKMVLSKNRLSLQNGDHPSEADLPEEAQGPKSKKEVMMEIISKSKYYKAQKAKEREEDEHLVAKLDSDFASLAQTQALLLLSLTEAAKGKVNKNDSSIGLMGKEIFSKAKADAYEKLVKEMVMDQRARPSDRTRNSAGRKRTKNAKEECLELSIHLMKTMMTRMITT >Et_10A_000591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13320741:13321146:1 gene:Et_10A_000591 transcript:Et_10A_000591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASLSCYSQRNLDKAVIISNAKRVSEVEEIIDISDAQTYVIKSSKVEFLNETPHLLWFWCLLWQGIILIIA >Et_10B_004245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19987980:19990349:1 gene:Et_10B_004245 transcript:Et_10B_004245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCAACDENSKDKKRARVLELSRRLKHRGPDWSGLSQRLAIIDPASGDQPLYNEDKSVVVTVNGEIYNHHHLRDQLSASGHKFRTGSDCEVIAHLYEEHGEELVDMLDGVFAFVLLDSRDGSFLAARDAIGVTPLYIGWGIDGSIWIASEMKALHDECEHFEIFHRATSTPPLTMADSGGGTTLHPRT >Et_6A_046938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22858636:22859318:1 gene:Et_6A_046938 transcript:Et_6A_046938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPLRAISAQPNLNGANSDSRYESSASWTLLPQKAAIPSAFAALPARKETKSSRFPGEISVVAVRRRHVLPPTDGILGEPEVDGVHRRSQAAAAGGDRLHPGRRAAAVRVIESTPEFQTMTVAASLSTAEEVERAAAKHEAEQDSLAEFRERVRAAFLEKGVFDVDYEYIARRVEVDEHIKDAWAKMIDELDLHDSDFDDGDSEDDIDEYFFSTR >Et_4B_039292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9670568:9678279:-1 gene:Et_4B_039292 transcript:Et_4B_039292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLPAKLLPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKTPIAKFLEQRTHKELRASHVNFVRIITEAYSKLLFICKEQMAYFAISLVTVLTELLESKQENIHILGCQTLARFINSQVDNTYARNIESLVRKVCALSCQKGEEHSLLRAASLQCLSAMIWFMKEHSYIFADFDEIVQSVLENYRTEESIGDANERHASQHNWVDEIVRCEGRPGFAVSNDVNVSRTTIRLQPARDSSALTREERESPEVWSHICVQKLAELAKESTTMRRILDPMLSYFDMKQQWAPQRGLAFLVLSDMAYLEKSSGNEQLILTAVIRHLDHKNVLHDPETKSNIIQTAKLLARQLRSRGVAAELVVAGDLCKHLRKTLEALESGSIEELSLNESLKNFLEDCLIEVVRGINDERPLYDMMAITLEKLPSIPTVARATLGSLLILSHIVSLSSVSSNTPMVFPEALLQQILKSMIHPDIDTRVGAHHMLSTIVVRGPSHPRSESEYLYETKKQSRTTSVFASASALLEKLRKEKDNIGSDKPRNMMPDELKEKNMHEEDNKHVWARKNSAYFSKLVFSFIDRCAALSSTVEETKISMLTEDQTNQLLSAFWIQANQTDNSPFNYEAIGHSYSLTVLSSRLKNSTNNNIVQFFQLPLSLRSAALTPGGILPASCRRSIFTLATSMLAYAGKVCHITELSDLLWCFTSSNIDPYLRIGEDLQLYVRLQSDLGSYGSESDQEVARSILSDCRAKVGITDQRVLDVIVSALVSFTKMDKDILTKELTEMFTPEEMPLFGSNSALDWANFHAQAFSDESLSFDEECSRTSSVDCGLHESPITNTASSISKITQPQSVPHVLGVGQLLESALHVAGQVAGASVSTSPLPYGTMTSQCEALGLGTRKKLSSWLVNGHEATPDNPMPSLPSAHNSIIPRM >Et_4B_036941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12791290:12792608:-1 gene:Et_4B_036941 transcript:Et_4B_036941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKSAMLVFLAVVLLLAIAGNGVAEARKRGKQTLGYYELRRGEFSMVLTNWGATILSVRLPDKNGHIDDVVLGYKTIGSYVNDTTYFGALVGRVANRIAGGRFIIKDHPYHTYRNDGHNTLHGGHRGFNQVFWTVRERVTGEFPHISFAYRSYDGEQGFPGNLDVLVTYKIDGDFSYSVTMYARPLDKPTPVNLAQHTYWNLRGHGNGTILDHAVQIVASAVTPVGGDLIPTGAVAPVAGTPFDFRSAAAPGARIAEVEGGYDINYVLDGEADGQGVRKVAVVSEAESGRVMELWADQPGVQFYTGNFLKGGARYQKYGGLCLETQDYPDAVHNPGFPTEVYRPGQVYKHYMLYKFSVKK >Et_10B_003942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7996156:8000814:-1 gene:Et_10B_003942 transcript:Et_10B_003942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLYRTATQPAAPEPPRTPPEQDQERDSFEKPVRTLEGLIAEDPYMPSSAPASARPSDFGRDSTTLDAKSPVVPGTHTDVAEDEGWIAIPHKALPENLNDVSEMAHLQPLDRSFLFPGEQVHILACLSASKQDVQVISPFRVAALMSKNGNLSQHSANKSGPVNANGHDNGTNGENGCEGVENNLQSVELNGDVSPSNHDISETQSLLQMEDHKQQIELMLRKFRESNFFVRIAESDEPLWSKKRMSTSKVEDGRNYSDSQEHNKASRETTYNTVSDKGIFDGNTSGGVARDTVKCYPLQNGDIVVVLQVNVGVNKLEDPVLEVLQFEKCMPNNYMPQNLVDGLSGNNDDPCRELLSWLLPLDRTLPPRSSLSTPTLSPSVSHKQSYSSGSQIFNFRSYSMPSASSAQPPNNMRPAPISESQEFMPETPAKTPDTINDGQLSFRGVPLEPERYSVRCGLEGVYLPGKRWRRKVEIIQPIEVHSFAAKCTVENLLCVTIKNIAPSQAKDIVVFIDAITIVFEEASKGGAPLSLPIASIEVGHGHSLPNLALRRGEEHSFILKPAAMPPRDRRTNSDAPPTLSLPTMNGATLNAHTSKVTEPYVASSDQYAVLVSYRCNYSESKLFFKQATSWRPCAASDLMISVSSELSLRNPSLSAQVPQLPMQVLTLEATNMTSENLTLTVLAPEASGSSSVVSLNSSPTTPNSPYDGLNELARRSGLGKHGIGFRRLNSVLAGAPKDGDNGGNKTATASGCTHLWLQSAVPLGCVPARSSTTVKLELLPLADGIITLDTLQITAREKGLTYIPEHSLEIHATSGISSGRA >Et_4A_032761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13524806:13530767:1 gene:Et_4A_032761 transcript:Et_4A_032761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQALTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKETIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISSNNIEIGIIRSDRKFKYDTYRLGPMVLSPAEIKDFLEEVE >Et_3A_026418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7863833:7869624:-1 gene:Et_3A_026418 transcript:Et_3A_026418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AKQLKNMNEQICQICGDTVGLSATGDVFVACNECAFPVCRPCYDYERKEGNQCCPQCKTRYKRHKGSPRVPGDEEEDGVDDLDNEFNYAQGNGKGPQWQLQGQGEDADLSSSSRHEPHHRIPRLTSGHQISGDIPDASPDRHSIRSPTPSYVDPSVPVPVRIVDPSKDLNSYGVGSVDWKERVESWRVKQEKNMIQVNRYSAEGRGDIEGTGSNGEDLQMADDARLPLSRIVPISASQLNLYRVVIVLRLIILCFFFQYRITHPVRDAYGLWLVSVICEVWFALSWLLDQFPKWYDREGEPSQLAPIDVFVSTVDPLKEPPLITANTVLSILSVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMADGTAWPGNNPRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPMYVGTGCCFNRQALYGYDPELTEADLEPNIIFKSCCGGRKKKDKSYIDTKNRAMKRTESSAPIFNMEDIEEGFEGYEDERSLLMSQKSLEKRFGQSPIFIASTFMTQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPLRPCFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYNGRLKLLERLAYINTIVYPITSIPLIAYCVLPAICLLTNKFIIPEISNYAGIFFILLFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKANDEDGDFAELYVFKWTSLLIPPTTVLVINLVGIVAGVSYAINSGYQSWGPLFGKLFFAIWVILHLYPFLKGLMGKQNRTPTIVIVWSILLASIFSLLWVKIDPFISPTQRAVSQGQCGVNC >Et_9A_062463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23209102:23219060:1 gene:Et_9A_062463 transcript:Et_9A_062463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVIGLAKTTVEGTVTMARAAMDEEDKLKKSVQRDLLIISDEFEMMQSFLHDAKDRVADQVTKTMVRQVRNTALDVEDCIETIVHLDNRPHWWRRMMLPWCMPAAAPGKDLDAVVANVEQLKARVDAMALRKMRYNSIGDSGLKPIEQTHQQAVANAMVPNIFVAAVDAAKKQSSQMDLVTLINKNVKDESGRKKRAPTLTPLIHIFLLHPAAEAEEDEEDEEEDEEEDEEEERGDDDNDDEEEEKKEKEKEKEIEEEEKEKEEKQKEVVADHHELKVISVLGTGSDLEIMSIKKAYDDESQTCKSFKIRAWVKVVHPFNPIEFIRSLLSQFYRNLLSTEPEKAVEFLEELVTTDNKRIVNFMCQIKLKYLVVLEDVSTMVDWEAVRGYLPDNKDGSCIIVHTRRFEIARSCVGQRYQVLELEKSSTDHSVYVLYKEDAAGKVTDVDTYDKKKILPYEKWRKKNPIFGRDEDLGRLYWLSDPGDVVAVWGMPGVGKSFLVQHFYRKEQDKNRRNKNYKFVWVDVPRGPFDVGDFSGGILSELNPPPEDHHLISAIKDPFLGCREYIQRKKGVKYLIVIDGLQSTDNWDLIRPIFSTKKKNFESNTSSQIPNNVAIIIITNEEKVANYCSAAGENPNLVWNVKGLEVIHAIEVFNQEVEDLYPWDWNQERDEMKHRNEQTRDILVQKCGGLPKVICAVAESWRMFRDTKEKDNLVSKLEANATFTKYSLEGMFSWLRSYFHSCPDSLKPCIFYLSIFPINRAIRRRRLVRRWIAEGYFRDSKENTAEENGERSYSDLVNLSMIQAPRTRVDDKRMHLCQVNGFLREYIVSRLMDENLVFALEGNCKKNIQRTGRHLAIDRSWDRDRNVFESMDLSLLRSLTVFGKWETFIISDRMRLLRVLDLENVSSDVTNGDVEKMVKLLPRLKFLSLRGCREISHLPDSLGDLKQLQTLDIRETSVIKLPKSIIKLEKLQYIRAGTAKHHQVSEATENPSAAAATAPMSRPYACLGSFSYTSKLSIHRRHDSHSGVKVPGGIGKLSSLHTLGVVNIHASGEDGILEELKNLTQLHKLGVSGINRKNSEDFFQVISHLVHLESLSLKMQANQDNDAAGCMADISSPLEKLRSLKLYGLNGRLPSWIMQMCLQLPRLEKMDLQMKTLPQQELDFILTLKHLCSLRLQLAEFQDGELRFGWSLSQNYGEWTLNFVEIACNSRLQAVRFGSKIKVEILKIRCSSVSPSLQFSGLASLESLKEVRLCGSWNDAFRKHLEEELGKIKKKPILELEKPSSST >Et_8B_059933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4129924:4131183:-1 gene:Et_8B_059933 transcript:Et_8B_059933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFPSHIMALSKVQRLYDACDMVFASPGEAAPSLGEIEWLRRLLDGVEAADVGIDDGEKASSSSSDDEQSPKSARLLPALAFERITYVHIHQCDDFSMGVFCFPAGATLPLHDHPEMVVLSKLLYGSVRVRSYDWVAAPPSSGARKCGLARVVAADEVLRAPCEASVLFPRSGGNMHAFTAVTPCAILDVLTPPYSEERGRPSTYFRDVSIPSLPGYAILEETELPEDFSVAGAPYLGPELTVDMDFDDDDYDE >Et_1B_012897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:440204:440603:1 gene:Et_1B_012897 transcript:Et_1B_012897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NQQNREEREPLSSAFNGPSPTSSIVAVDTNLDTSTPDTYRAPPAPLPYDVGLTAEENHDLKKPDIKSKTDEQQESLKVDEYESCKKGASENKLDEEDVCPICLE >Et_9A_060993.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:24436592:24437386:1 gene:Et_9A_060993 transcript:Et_9A_060993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLPSVEPKSAFHLSMTPSSTSSSPPGSWMRRRRSSLKERSTSEGEWCETSPGDDDGETEAEWAGERIWPSAWMGLTIPPSTMRAIWEKTPRARTEAVGEDGSDGDEDEEGGSSGMTQSTRTRTESTRGTSSWKRRETREQRPSALTSPMRLKMTSRSASGRRSKWSSPAATESQRSSPPGSHLQRREPAGSSAIDGGGADLEEGAEGDVGTPRKRDEGWWREKRTARTHSLVFVWEDALKPLNHREIT >Et_1B_010815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14375373:14388588:-1 gene:Et_1B_010815 transcript:Et_1B_010815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQATATVKEALAALYHHPDDAIRTAADRWLQDFQHTLDAWQVADSLLHDESSNLETLIFCSQTLRSKVQRDFEELPSEAFRPLQDSLYVLLKKFNKGPPKVRTQICIAIAALAVHVPVEDWGAGGIVNWLSEEMKAQPEFIPGFLELLIILPQETSSYKIAARPERRRQFEGDLRSSANVAISLLTACLVFDHLKEQVLEGFASWLRFCHGISASELASHPLVHTALSSLNSDQFLEAAVNVTSELIQVTVSRGPKGISEQMPLIQILVPHVMGLKEQLKDACKDEEDVKAIARLYADMGESYVDLIATGSDDSMQIVNALLEVTSHPEFDISSMTFNFWRRLKRNLTRRESYVSYGSEAAIEDEKSRRLHIFRPPFEILVSLVSSRVEYPEDYHTFSEEDRRDFRHARYAVSDVLLDATTVLGGNLTLKVLSSKLAQAYGSCSNEQKPKWQPVEAALFCIQAIAKSVSIEEREILPQVMSLLPSLPHHEQLLQTVCSTIGAFSKWIDAAPAELSILPPLVDVLNKGMATSEDTAAAASIAFKHICEDCRTKFSGSLDALFQIYHIAISGVGGYKVAADDSLHLVEALSVVITTLPPEAAKRALELICQPVINPLQELIQQGDQVLQQVPARQLTTHIDRLSSIFSNVKHPEVVAEAVNRYWPTLKSIFDQRAWDTRTMESLCRSCKFAVRTCGRFMGITIGAMLEEIQTLYQQHNQSCFLYLSSEVIKIFGSDPSCASHLTTLIHTLFSHTIQLLRTIQDFTTRPDIADDCFLLASRCIRYCPDLFVPTEMFQRLVDCAMAGITIQHRAFGENMLQWTRESINLIPQQALTDAERSCFLQIMSDASSGSSLDTITDRFGEISDVCRRNKTVQDMVQSALRPHDLTLQMVPQQLS >Et_4A_035980.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9902382:9903452:-1 gene:Et_4A_035980 transcript:Et_4A_035980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPILIKHSSSTSNRALAAFEPSTTTIPMEAQNMEVAALVQKITGLHAAIAKLPSLSPSPEVDALFTDLVMACVPASPVDVTKLDPETQKMREELIRLCSDAEGKLEAHYSDLLAGFDNPLDHLGRFPYFSNYINLSKLEYELLVQYIPGLAPSRVAFVGSGPLPFSSLVLAARHLPNTLFDNYDMCGPANERARKLFRADKDLRARMAFHTADVATLTDVLGEYDVVFLAALVGMAAEEKANVVAHLGRHMADGAALVVRSAHGARGFLYPIVDPEDIRRGGFNVLTVYHPEGEVINSVIIARKVDAHENGLRNGHALAKGAVPMASKPDECCKMETKALQKREEIAAEKQLAF >Et_1B_010261.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:33348854:33348952:-1 gene:Et_1B_010261 transcript:Et_1B_010261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSESMWSSFGYALDWLLGVVTKDCNSARF >Et_4A_035178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10601576:10606011:-1 gene:Et_4A_035178 transcript:Et_4A_035178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGPGRTPSQGDELASTSPWPLRKLQSFAPELWSQYKTYEDAVVKNTKGTIADALVLVKEHQAEAIGCATVAGFILFRGPRRFLYRNTLGHFKTEKDLLNDAEQSMMEYRATIENLKKNSKYTLDKVAIGESDLQRGQTDLRSTGKQIQSLIGSIYKAESSAAGIKFFLQVASMASDLKIQRCALQERINKISEYGVRIHQEVLLDCIFATHYNAELGVVKDILEWKLDLIADNDGNTVADYGIKEAVGGWSVACNVETELGSPHPPCRLLNPVTSHTSK >Et_8B_059798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2904186:2907990:1 gene:Et_8B_059798 transcript:Et_8B_059798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTPPAPMAVRVWMLLCIAFLVSAAAAASLSADAEVISRFQEYLRIDTAQPAPNYAAAVEFLRDQAAAAGLEARTLEFVAEKPLLLLKWPGRRPSLPSIILNSHTDVVPSEPHKWEHPPFSATLDEASSRIYARGSQDMKCVGMQYLEAIRRLRAAGFIPDRNIYITFVPDEEIGGHTGVEAFVESKEFKDLNVGFVLDEGLASPGEKYRVFYAERSPWWLTIKAKGAPGHGAKLYDGSAMENLMKSVEAIRMFRTSQFDLVKSGEKAEGDVVSVNFAYLKAGTQTPTGFVMNLQPSEAEVGLDIRMPPDVHIEALERRLVEEWAPSSRNLSFEFKQKGCVLDNFGKPALTPVDSSNAWWSVFEEAVKRAGGKLAKPEVFPASTDARYFRKIGIPALGFSPMANTPILLHDHNEFLSKDEYIKGIGIYESIIKALATHKDDAKDDESRAEL >Et_7B_055931.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7590116:7590751:1 gene:Et_7B_055931 transcript:Et_7B_055931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIPHLLLICSLLAVSLSCIAGAAPPPVYDTDGHPLSSDASYYVLPAVRGHGGGLTLAPLSSRCPLYVAQEADELRRGLPVRFTPLQQGGAAPDAVPVHVSFDVRVHFDAYTTCVQSTEWHVAGKGRVVTGPVRPVRPTTGRENVFRVERHGRVGYKIVSCSKDKCQDLGVLRDGRGVWLGATDRAEAAHEVVFKKAPRVFPLPPAPSQA >Et_10A_000261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20519831:20520256:-1 gene:Et_10A_000261 transcript:Et_10A_000261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGGRVRWLALLVSAAIVAVSVNGEAAGAGSETSVLCVSKCGTCPTVCSTPPPPTLSSSYGGAGGGGGGSSPSSPSHSTSPPGQGQSKGGHPSNYYYFFTAGAGRCGGPPSVYVLVVLLVSVSLAAGCFQ >Et_7B_053674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1141565:1143335:1 gene:Et_7B_053674 transcript:Et_7B_053674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSDPSPSSSSPAAASPLAMLRAHPHSHQHHHPHMTPPSPASAPPPPPPPSPARDYRKGNWTLHETLILITAKRLDDDRRAGGGGGVVMGAGSSPTTPRSAEQRWKWVENYCWNHGCLRSQNQCNDKWDNLLRDYKKVRDYESRASPAVVSGAGAAAHPSYWAMERHERKDKNLPTNLAPEVFDALSDVLSRRAARRGGAAIAGVPPPPLALPPPPPSPPKTLLAQTRPPPQHHLPLPAAMAPPATTSVSAGELSGSSESGDDEGSDDDGEPEPKRRRLNRLGSSVVRSATVLARTMVACEEKRERRHREMLELEERRLRLEEERTEVRRQGFAGLISAVNSLSSAIHALVSDHRSGDSSR >Et_4A_034751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6023633:6024305:-1 gene:Et_4A_034751 transcript:Et_4A_034751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAEANGAAASFSEEQEALVLKSWAIMKKDSANLGLRFFLKYVTPPLISSLLLMLPLVSAQSARPSASRICCVIAPSAKQMFPFLRDSDVPVEKNPKLKPHAMSVFVMTCEAAAQLRKAGKITVRDTTLKRLGATHFKYGVADGHFEASHHPLRPC >Et_7B_053808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12355310:12359671:-1 gene:Et_7B_053808 transcript:Et_7B_053808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEIPNPDVTNPDAAADAPVPAPAPAPADSPADASAPAISSSPPLPPRKRRLSPSPSPTRSASRSRSRSRSPRHRRSRSRSRNRSRSRSPQYPHDGKRRRHNDLTVEACRDFLRDRCTRSDLECRYAHPHQSVTVDRENKVMACADSLRNNCFRGRTCRYYHPPPHIQESLLKSIGVEDPKVKTICRDFARGKCSRSANECRFLHHSSVEDCAIVCQDFLRGRCERKSCRYSHAVAHPMPPMGNVPIQYPEMSECPVLRRCYRSAAAAAAAPHSAAAAAAKELLSWL >Et_3A_025698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3233799:3235336:1 gene:Et_3A_025698 transcript:Et_3A_025698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCLYAYGPSALVRICLKCHAAGACRLTHFVWRWMRSITRFCSVGAEPSLSSTTESKDSVVRDWWRTNPRAQHEGAATAQIKPKNFDRATHLFRAVLPSAPGFAGLCSLFVSHSNIADEFLSLRSCQLLTSLRIIDDKLAA >Et_2A_015874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18587161:18592065:-1 gene:Et_2A_015874 transcript:Et_2A_015874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGGESPAKPVLLHGDLDLWILEARQLPNMDLFSEQVRRCFAACRPPTSCAPKHTRAARAARHHRKIITSDPYVTLSVAGAVVARTAVIQNSQDPVWEERFAVPLAHRAADLEFQVKDNDTFGAQLIGTVTVPAHRVVSGEDVEDWFPVIGTNGKPYKPATALRLRFRFHPIDTNPAYQRGIPGDPERKGIKDAYFPLRHGGQVRLYQDAHVREGDLPEIELDKGKVFQHNSCWEDICHAILEAHHMIYIVGWSVYDKVKLVREPSPSRPLPEGGDLTLGELLKFKSQEGVRVCLLVWDDKTSHDKLFIKTGGVMATHDEETRKFFKHSSVVGTLFTHHQKCVLVDTQAWGNKRKITAFVGGLDLCDGRYDTPEHRLFKDLDTVFENDYHNPTFSAGAKGPRQPWHDLHCRIDGPAAYDVLKNFEQRWRKATKWRSRFKSVSHWKDDALIKLERISWILSPSPTVQNDHPSLWVSKEEDPENWHVQVFRSIDSGSLKGFPSNCKEASKQNLVCRKNLIIDKSIHTAYVRAIRSAQHFIYIENQYFLGSSFAWPSYVNSGADNLIPMELALKIASKIRAGERFAVYVVIPMWPEGVPTAASVQEILYFQSQTMEMMYGIIADELKAMNIEDAHPQDYLNFFCLGNREEPLSNSSPDSDKSTDKSAAGLATKYRRFMIYVHAKGMIVDDEYVILGSANINQRSLAGSRDTEIAMGAYQPHQSWSTKGRHPHGQIYGYRTSLWAEHLGMVDDRFKDPSSLDCVRFVNQIAEKNWKRFTAEKIKTLQGHLLKYPLKVEADGKVGPLPDHESFPDVGGKIIGAPTSLPDSLTM >Et_3A_024477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21149592:21152676:1 gene:Et_3A_024477 transcript:Et_3A_024477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDLSSEMKRTVLGLSLWVWIAIGVVALLVAILLMICIWMASRRKTKRTMDNLRQTQIPIYSKEIPVDRVGGRSLVQTMHEREQPSFPPQDKHANREPGMTLGHMAMSKSSDHDNMSQGSSVCNVDRAGSVHSGEDGSSGPRRKPNSPAAFVSASPLVGLPEFSHLGWGHWFTLRDLELATNRFSKENVLGEGGYGVVYCGRLVNGTEVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMRQRGVFSWENRMKVVTGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNGKVSDFGLAKMLGSDKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLEAVTGRDPVDYSRSASEVNLVEWLKMMVASRRAEEVADPSLDVRPTIRALKRALLVALRCVDPDSEKRPKMGQVVRMLESEEVPYREDRRNRRSRTGSMDIESIAEGSNSTEFGKKVERTGSSTSDRSQP >Et_7B_053775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12005486:12013672:-1 gene:Et_7B_053775 transcript:Et_7B_053775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNRSQASRHPGQSRAPRRCFLSPPRKESEKRRRRRRGSKAKGEEGHDKGKGVIKDEENGGEVASRAGKICGALHSPPKNLVCCMAPTLPDDLIPEILVRVPPDDPAGIVRSSAVCKAWRRILADPAFSVRYRKQHHRTTPVLGFLHNPRDHEAPRFVPTTSFRLPTADRHMRHVWDCRHGRVLLYDYDMHSLTPGFVVWDPITGDQQSIPYAPDIFSNAAVVCTAGGSCDHRSCSGEPFIVAFAGVEHVDGFGFSYLDAHADFYSSETRKWGLHINIHLDYEKFDLEVDRPAALVGDSLYFVCKSGFLLRYWYGPLLRLGYMKMLEAGILSSEIVTVIEPPKAKHLDKVVVMTGEDGGLGLASLYRNKLSLWARETDTGGEAGWVRRRVIDLKTLLPLVNPKRRPCLSGVIPEGANVIFISTEDGVFTIELKPLQARKICEMANNVKIIYPFVSFYTETLLVLFLLLHISLFSLAGRAAAWRPLHGRCRTTSSRRSSSASRPTTPRPSSGPPPSAKRGAASSLHHGTNPVLGFLHRPTDHEAPRFVPTSQSSFRLPTGADRRMRHVLDCRHGRVVLYDYGTPYPMGGYHVWDPITGDLHRIPNVMDAYTNAAVVCTARGCDHRGCGGGPFIVAFAGVEKDEPMVGWLETRAEFYSSETRGWSLDVRIDLDYKRFDLEMDRPAALVGDSVYFVGTSGTLLRYWYGPLLRPGCFEKMMIPKGIRESDILTVIKPPPGKRLGKVVVMTAEGGGLGLACLNLNRIALWAREETGPNGDTGWVRRRTFDLKTLVPLVNPKRRAFLSGVVPGDANVIFVSTEDGVFRIELETLQARKVSDRHGKRRQDYLSLRQLLHRDTAGMVLLPLVCFILVWVHMAPPAQSLPGDIIPEILLRIHPEDPASIVRSSAVSKAWRRILADPTATARSTTGEPRCSASSTTRADKEAAHFVPATTSSFRPPTADRYKREVLDCRHGRVVLYDYDDDSPTGSCFIVWDPIAGDLHKIPDKMHGVAVNAAVVCTAREGRCDHRSCSGGPYIVAFASVDSEDDLGDASAKLYSSETRNWSEDTSVHVESKRLDLEFDRPGTLVGDSFYFVCRSGALLRYWYTPVLKGHDYMLDAGIYEKDVLTFIKPPGVKQLGKVIVMPAEDGGLGLASLCRSNKISLWARETAADDVDGDARWVRRRIVDLKNLLPLANPKRRACLSGVSPEDASVVFISTEDGVFTIQLETLQARKVSNMANDVKVIYPFVSFYTETLLVWFLDLVCSISIYAWFIMVWELTKN >Et_1B_012157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29555689:29559407:1 gene:Et_1B_012157 transcript:Et_1B_012157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAPASRVQIGWLIPPRLPESFAVVAAVRSVMAEDDPVVSAQWLQQHLGLPDVKVLDASWYMPEENRDPWQEYQVAHIPGALFFNIDAIVDRTTDLPHMLPSKEAFKAAVSALGIRNQDKIIIYDGKGFFSAPRVWWMFRVFGHNKVWVLDGGLPQWRASGFDLGSNINDDAILKSKAANNAVETVYNGAMVNTITFQAEFQPQLFWTLEQVAHNVAARTHQQVDARSKGRFDGIAPEPRKGVRSGHIPQSICVPFPEMFDGAPRLLPADELRKKFEQAGISIDHPIVVSCGSGVTACILALGLHRIGKHDIPVYDGSWTEWEAQPDSNYPKVTATAS >Et_10B_003941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7990183:7991000:-1 gene:Et_10B_003941 transcript:Et_10B_003941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSQISAQKHLVAFWALFLLLHLGGQDTITVCALEDNELWLCHLLYSPFGPHISSTNTLLVDLIRASILVFVAGTLKYGERIWALRSQSSSWISWTPAYLVLYCPISYWKAPLMIAILLRTLHSSLQFIKHLFITPSIASKWCCPRDYLNRGVHDYKDNVRVFCWEGKIYRGLWIQSKCISRVIDLKLSLMYDLIYTKSAAIDLTMYTFWNIVVVTKRFDLAVTYVLLVGAFVLEIISIFRMIGSTWSCILH >Et_1A_007631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36628015:36634780:1 gene:Et_1A_007631 transcript:Et_1A_007631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVEQSVEQFKGKARLPNFATPRRYDLRLTPDLDACVFSGSVAVSLDVAAPTRFLVLNAAELDVAPGAVSFGPQGSDKVLQPAEVTNVPEDEILIIRFDEMLPLGEGTLSIAFKGTLNDKMHGFYRSVYELNGEKKNMAVTQFEPADARRCFPCWDEPSFKAVFKITLEVPSEIVALSNMPVVEEKVNGPTKVVYFQESPIMSTYLVAVIVGFFDYVEAFTTDGTRVRVYTQVGKSAQGKFALEVAMKTLNYGLVTYRETALLFDEKHSAAANKQRVAVVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADQFFPEWNIWTQFLEESTTGFKLDALEGSHPIEVDINHVDEIDEIFDAISYRKGASVIRMLQSYLGAEIFQKSLAAYIKKFAYSNAKTEDLWAALEEGSGEPVRTLMHSWTKQQGYPVINVELKDGKLQLEQTQFLSSGSTGVGQWVVPITLCCCSYSRQEKFLFQGKQEEFNLSGLIECQKKDDFWIKLNVNQTSFYRVSYAEELASRLRYAIETNKLSAADRYGVLDDTYALCMAGKQKLVSLLHLIAAYKDETEYTVLARVIDTSLSIVEMMAVAAPEELVNLKKFLIVFLEPFAERIGWDAKSGEGHLNALLRGTLFTALAELGHEATINEAVRRFNVFLEDRETPLLPPDIRKAAYIALMQTVNKSNKAGYESLLKIYRESDLSQEKVRSLGSCPDPDVVREVLDFMFSPEVRSQDSIWVLRGVGASGYEVAWEWLKLATDEKGDDAEEFFKSRTKANIARTVKQSIERVRINAKWVKSIKSEAELGNVLKEHAVKL >Et_1B_012454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32098271:32102254:1 gene:Et_1B_012454 transcript:Et_1B_012454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSAARKPSTASTVALTLALALASAGLLFLLLHLSPSSPSPNPHPHRRLRLRSGSRAAHQIPFDPVIADLERRLEDREWERLAAAGLHAPGMEAAPVPEDLTDSDADEDYINDAARFNVTQRVEALFPKIDVDPADGFITGAELAAWNLASARREVLHRTARELELHDRNHDGLVALSEYERPSWAWRFDDHNSTNDEVGWWKEEQFNASDMDGDGFLNLTEFNDFLHPSDTTNPKLIHWLCKEEVRERDKDNDGKLNFQEFFNGLFYSIRQYDDEGSTDDSNGSDAPAKKSFSQLDLDNDGLLSADELKPIIGNLHPSENFYAKQQADYIILQADTDKDGKLRMKEMIENPYKMIMDSTMSSVRSFEITLLHLYGEDGR >Et_8A_056480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10592566:10607544:1 gene:Et_8A_056480 transcript:Et_8A_056480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNMREISIKKGRIWHVAPAWTWRHLNNVHKLRVTEPTSPWETGSKDQFTGMMKLELLDLSGNSTMQVLPSLSGATSLSNLVLDGCIELKHILPGTLPPSLETFSFDAVAEAKISRISMAGCSRLVNFRLCGFLGKLEELDLSDTLIKTLDLRDKVVQVPCLRHVTMVGCEQLRAVLWPGKGMPKLTVLCIDTREGQMARSKTSHGSSLGYEKQGEYCYAHISVTDMRFIREESVPDTTKWIASRFNLYLCLTSSKGDGQSDTKDKMAPYSTEQSARSAGHKSLIPWTHQTYGDINVDKATIEIHGTSAQRAVSLAKKSARQFGPLDICIDIGERISNTNVVTTRAVEAVIFLMNQVDSLVVHDNSCITTVTPQPMVHGKGGRQIHWDFLELCRVERCSKLDTVFANHGGFRRLKTFSAVELLMARSIWSKRKSGRYVHEAFGELCAVHIRLCPRLTFVLPLPWSSICRLEILQIICSGDLTHVFPAEVKILKDIYSGPQRGVLELSNLKHIYLYELPKLHQICEVKMFAPSLKTITVRGCWSLKRLPFTRTPPTGDCPDDRPVVDCEKDWWLEWDGKEQATTIPSSSRATPIHEIAKCLETATKPNHVAYFHGWKGLGASVVLKSIAKDPPPSLRRKFDSIIHINCSRWKNRREIQRIIVQKLLLPEHVMDIFSRQDEEDDIEGVDEGSRAEIPSVGAIINQALRGLRWLVVFHNGSNRMVNFNDFGIPQPDKWLNGTVLWTYSGRLRGILGFEEAEHKRRYELIWGPNTLDQTIREQGVVSHIYLSSMFKGTTVWTKILHEEATEIARYANKLGVTSERAAAFCRYLLSLNSMGFVLDKGKGFRPSAYRDEYSRCLDFNWPTHASNYWVCDEITQDCQQDKEWELATAMHEQMRLGDFSCYRFSDVGATKRWIVATSFDIDVQPETTSFFVAKEKGEYSTKEKVECSVQHIGKTVPNRTFQRAKQLRVLKLCHCLFSFSSPPFLHCRTIRFLGLDNCMDVDIEPTQKYIKEEDKNRELRQKKEFFQSLCVLDLCCTDWELNLPPNIIEKMAMNMREISIKQGRIWHVTHAWTWRHLQNVHKLRVIEPTCPWETGSQDQFMGMVKLELLDLSGNITMQVLPSLSGSTSLSILVLDGCIGLKSVTPGTLPQSLETFSFDAGAGKWRGRKVAKISYISVAGCSRLVNFRLCGSLGNLEELDLSNTSIKVLDLRDNVQVPCLQHVIMMGCEQLRVVLWPKTGVPKLTVLCIDTRGGEVARTKISHHSLVFPKKGGHCHACVSIMDMRFIQLLVLPKEWQWITGITARFNLNLCLTCRKDDGQSYRKDKMVPCSTKELAGSPLHKSLIPWTHRTYSGINVDKATINIQGNSARQFEPLDVHIDIAEGISNTSVVLIEAVKAVIFVMDRVESLVVHDNSSIATVTPLVPGKGDGICWNNLKLCRVERCSKLDTVFNNNCPNISFPELETFWAVDLLVARSIWSKRVIGDHLQGSFGALRSLNICFCPRLTFVLPLPWSSMCCLEILQIICCGDLTHVFPADAAVLNKISTGHQRGVLKIPNLKQIYLYELPKLHQICETKMFAPSLETITIRGCWSLKCLPVTYLSSKGDRSDNCPVMDCEKDWWEKLEWDGKEQGHYHSLFKLRHSKYYKKTMLRGTALR >Et_6A_047738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12357302:12367287:1 gene:Et_6A_047738 transcript:Et_6A_047738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYESYLSYFWAISDATRDALRIKKGSKDEWVTCHEGDLPYSKDMRSSIKYHRNVTLKGYRSLVYSGDHDAIVPFLGTQAWVRSLDFSIIDDWRAWHLAGESAGFTIAYLNKLTFATIKGGGHTAPEFQPDRSLAVFRRWISNKPL >Et_2B_021778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5310225:5313691:-1 gene:Et_2B_021778 transcript:Et_2B_021778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSRTNSRVNYSNEIHDLSTVQSGGSVVPTLYYPEKTLADIFPPHLLKKVISEVVATFLLVFVTCGAASIYGEDNKRISQLGQSVAGGLIVTVMIYATGHISGAHMNPAVTLSFACFRHFPWIQVPFYWAAQFTGAMCAAFVLKAVLHPIDVIGTTTPTGPHWHALVIEIVVTFNMMFVTCAVATDNRAVGELAGLAVGSAVCITSIFAGPVSGGSMNPARTLAPAVASNVYTGLWIYFLGPVIGTLSGAWTYTYIRFEEPPAANKDVPQRLSSFKLRRMQSQHLAADEFDTV >Et_7A_052832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18668186:18669656:-1 gene:Et_7A_052832 transcript:Et_7A_052832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEKGQEMRRRAGEWKDKAVKATMPGGPAEANLDRLIHEVLLLANRNNKGHAFFHIFHCKGHIGFSI >Et_10A_000761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16777406:16780567:-1 gene:Et_10A_000761 transcript:Et_10A_000761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNKRGRMDKGAAAAATVQEVIHDHIGALHDALQHILSFLPTQDVVRTCVLAQRWRHLWKSTRSLRIITPGSVAEIREFVDNLLLLRAGSPIDRFELRTCKGLSEDDIPLVILWVRNVVLAKPSLHLVFASQHLTRLEFSYVTFSDSILNFLSCPALQDLHIKTCSFFNTRRISSHSLKRLSFTDCRSSRSMRTRIHAPNLISLCLNEAFERAPVLETMPSLAEAIVAIHYFCGDLCSRRTVNKSCEDLDCKACYGLEEEDTSCLLLQGLSAAENLTLTAQSEMFIFRRDLKWCPTFGNLKKLLLNEHWCVPDLSALSCILEHSPVLEKLTLQLFSKGPKHKVDIKGSPDPKEASAATLSHLKIVEVKCEVVNEKVLNVLKFLDKRNIRLSFNFEA >Et_8A_056248.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17962056:17962115:-1 gene:Et_8A_056248 transcript:Et_8A_056248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRPPRSLSPRPASAGYA >Et_4A_034679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5381765:5385340:1 gene:Et_4A_034679 transcript:Et_4A_034679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARALDHCLSTPASTLALTPHSTDTACTRSNDTWGPQYTGHMSERRVNVYRHAPRNGYSNTSGGAPRKKLAVAPARPQRSLFPQSASSLLSHPHRINSPKQIHRTRGSPTLEPNPTAPRAPNTSYGDGGGQDSDQTLGPCGAEDSDQAIGSRRNDEDADEALGFLRVASKTPSKSPCSSRARFSHASENSNPNIPGSPPPSSTPSKPAKSPGVMGDKSASAKKKPSTPAPAAPPQPPRAGERRFVVAKKGARRRRNAGSVSGGEFDFDKAREAAREALRASQEEFFRKERVASTAAEEQLHPDEEEKAAEEDVKVGALEAVQEETESELEGSSKVRALRTKVMAKALRSVPDPGSGRVKHLVHAFESLLTISGATSDADRAGEDSWALPGLQPWKEESKDDLGLPQAPVFSSADFLNAGPSRLCSSLDGNSNRLSWDSRTSAGGRRSRRNSSESLRSSWNKKLKVTSQHPFKLRTEQRGRTKEQQFVQKVQEMLKEEEKKRTHIAQGLPWTTDEPECLLRPPVKERTEPIDLVLHSDVRAVERAEFDQYVLERNKFAEQLRLERERQKELEEEERIRQLRKELVPKAQPMPYFDRPFVPKRSSKPATIPVEPKFHPRPEKLSCNAWSLES >Et_9A_062555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2475779:2478215:-1 gene:Et_9A_062555 transcript:Et_9A_062555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVSLGASRPSAVSFRMPTRDNLVPIRVDVEVDGQRYRDAFTWNPRDPDSEIISFAKRTAKDLKLPATFVPPMLQSIQGQLAEFRSYEGQEMQIKEKIVPLKIDLRVNNTVIRDQFLWDIGNLDSDPEEFARTLCDDLNIADPEVGPAIAVSIREQLYEIASQSVSIMREKVSKKRRAPEFSSNSKAVNNAVDLFKYFGSKGSVIRKRKEWYLFEPVIDVIRNEEAGADTKEEHNSSSRPKKRLDEVKDASL >Et_5A_042302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8510822:8516727:-1 gene:Et_5A_042302 transcript:Et_5A_042302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAAAILALVALLPSMVAAFGRSFSLSPGQMCGSRWQGRYALFSAYEANLWRLVATVAAEVNASPCNCSAARVAGDRPDQVSMSAFCHWSPNATSPDCGACVALAFREARWRCPYHRQAVAIVDDGACSISFHDVYWMEQSMGMDQPLPEILATNRSLFWWVGKVAGLLDSGITGQKSRPRQWPTAPTKVANSTYEANLRRLAAVLTAAVNASHGSYTHRAVGFWPYRVQASAMCARRDDDDGSVYICEDGTCYGSSCAVCIAGAFRKLESTCCPYHKAAFFSDSNCTLEINEAYGMAWPEKRNQNVVGPAMTGEWEGDAEAGEPIAHNDHTFRFTSCTDCIAGAFRDIESTCPYHKEAYFSNRNCTLQVNEVRIFGTDGSHYGMAWPEKRHHNAFYSSDS >Et_8B_058705.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:17380631:17381080:1 gene:Et_8B_058705 transcript:Et_8B_058705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISKHRLSSSASRTPSSATAARTPTPHSATRMRALACCSKHCGTATTGTPEATASSVEFHPQCVTKHPTAACDSTRAWSHHPTTSPAEEARSSSPPPSWSSRTTHRYGLPLEARPRRISSCCRLLMVDMLPNDTYTTDRAGFVSSHSM >Et_2A_015959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19771300:19772663:1 gene:Et_2A_015959 transcript:Et_2A_015959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPSLLLLLLMVSICVGTAHADPMLERFEQWMGRHGRLYAEAGEKQRRLEVYRRNVELVEAFNSMSSGYKLTDNKFADLTNEEFRAKMLGFGPQSRTVHTPTSSTMTCVDSELSEDYSDLPQSVDWREKGAVSPVKNQGTCGSCWAFSAVAAIEGINQIKNGKMVSLSEQELVDCDAKAVGCEGGYMSWAFEFVMQNHGLTTETNYPYKGTNDACLTPKLNESAVAIAAYRNVTPNSEPDLLRAAAAQPVSVAMDAGSFMFQLYGSGVYTGPCTADVNHGVTVVGYGETQGDADGDGAAGPGQKYWIVKNSWGPEWGQDGYVLIQRDAGVACGLCGIALLPSYPVM >Et_8B_059616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:201442:216282:1 gene:Et_8B_059616 transcript:Et_8B_059616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLISPAAACRGGFVKSGFLGAFSSFHYQTLSSCSSKRSSSSLKHCSSYRANLRKESTKFVGKRTSCSVATEPPPAATEESEMDSPKEIFLKDYKKPDYLFDAVDLQFQLGEEKTIVTSKIVVSPAAEGTSSPLVLHGSDLKLLAIKINGNELKSGDYTVNSRHLMLSTPPAGVFNLEIVTEIYPQLNTSLEGLYKSTGNFCTQCEAEGFRKITYFQDRPDVMAKYTCRIEADKTLYPVLLSNGNLIEQGDLADGKHYALWEDPFKKPSYLFALVAGQLDCREDSFVTCSGRKVTLRIWTPAQDLPKTAHAMYSLKAAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRIYQFPQDSGPMAHPIRPHSYIKGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYAAIQEVPPTPGQPVKEPMFIPVAVGLVDSSGKDMPLTSVYIDGTLQTLSSDGQPVFTTVLQFQKKEQEFIFKNVPEKPVPSLLRGYSAPVRLDSDLSESDLFFLLANDSDEFNRWEAGQILARNLMLSLVADFQQQKTLVLNPKFVDGLRSILRNASLDKEFIAKAITLPGQGEIMDMMAVADPDAVHAVRTFIKKELALQLKDDLLAAVVSNRSSEAYAFNHDSMARRALKNTCLAYLASLDEPDVTELALHEYKSATNMTEQFAALAALSQNPGQVRDDALLDFYNKWQHDYLVVSKWFALQATSDIPGNVVNVQKLLAHPAFDMRNPNKVYSLIGGFCGSAVNFHAKDGSGYKFLGEVVLQLDKINPQVASRMVSAFSRWRRYDETRQALAKAQLEMIISANGLSENVYEIASKSLAD >Et_3A_023712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11164284:11169309:-1 gene:Et_3A_023712 transcript:Et_3A_023712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIPKKFKPLLLLIATFIVGAVASNAGDTVPFYPSAEAAAAAHCDGTLYPELCLSTLADIPDLHKKPLPDVISATVNRTEAVVTATASNCSAYLQYKSLTARDRLAISDCMELLSTTMDELQATVADLGSAPASGASQKAAAGKRVTVDHVMTVLSAAMTNQYTCLDGFAYQNGGEVRSNSLAMAKKLPGASSSSPSSETTTARRQQFMGYGQMVKGFPRWVRPGDRRLLQAPASGVTADAVVAKDGSGNFTTVAAAVAAAPAGSKKRYVIYIKAGAYMENVEVGKKHTNLMFVGDGIGKTVIKASRNVVDGSTTFRSATVAVVGNNFLARDLTIENGAGPSKHQAVALRVGADLSAFYRCSFVGYQDTLYVHSLRQFFRDCDVYGTIDFVFGNAAAVLQGCNLYARKPLPNQNNIFTAQGREDPNQNTGISVQRCKVAAASDLAADAGKTKTYLGRPWKQYSRTVFMQSELDSLIDPAGWLEWDGNFALDTLYYGEYMNTGAGAGTSGRVKWKGYRVITSATEASAFTVGSFIDGDVWLAGTSIPFTTGL >Et_1B_011287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:265405:269674:1 gene:Et_1B_011287 transcript:Et_1B_011287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPRTFPGGLSKWGYKRMHEKLARQKQQGLLRHEKQLYLARLRSEIRASRLPAADSAAQPAEGPTSSRAHIRALADRFRKPGAEDLWNEDDGPLRRSKQPPNSIPSGGRRQLLDSGKPRGGASWKDWDALALESPRAPQQAGAGGKEPTLAAFNPRREYRTATTWLSHCSLVSGMPSSQRKETLFGFLGQRRCYTVMSPCSASWQSRLALTPFGTGRLADGRVLPAMALLSQERLYAVAARKFGRKWRPDSSDEESAPTSKRGLKFGKFGASSDEDSESDESGETSAIRRRWSSAALRNCDMKKERRVLKSYEEENNDLAGRIRELREEIKNREVLGTERRRYESRGESLLTNKRFDECGISPLTVKALADAGYVQTTVVQEAALPVCLEGKDVLVKAKTGTGKSAAFLLPAIESVLNAMKKSTDHRVSPIFALVLCPTRELAIQLTAEANVLLKYHEGIGVQSLIGGTRFKLDQRRLESDPCQILVATPGRLLDHIENKSSFSVRLMGLKLLVLDEADHLLDLGFRKDIEKIVDSLPRQRQTLLFSATVPKEVRRVSQLVLRRDHVFVDTVGLGAVETPTKVQQLYHVVPHELHFHVVHRLLQEHIDREVDYKVIVFCTSAMVTEFMYIMLRDLKLNVRQIHSRKPQLHRTRISEEFRDSNRLILVTSDVSTRGVNYPDVTLVIQVGAPPDREHYIHRLGRTGRGGKTGRGILLIAPWEEYFLSEIRDLPIEKDQAPEIDQEMKQKVDDSIKIVDMSIKEAAYHAWLGYYNSIADVGRDKTMLADLANQFGASIGLQKPPAMYRKTALKMGLKDIPGIRIRK >Et_10A_002321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8868365:8871232:1 gene:Et_10A_002321 transcript:Et_10A_002321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSRKRNGGRKRKRRRKRPNPMDQLTDDLLLEILSRVPYRSLRRFTCVSKRWRDLIAHPDHHRKLPQNLVGFFYRTSTRCFVNVPGTGSPLVATSLAFLPDREREARLILLDGCNGLLLFRCYRFADPMLDDDRDGESEDSDGDGHVLGVKIYSSESGLWSYKQCGWEVELKLNPDFRSAFANGKLYVVALECVIGTVDVQGRTWSEIEFPRSEESPFVDRDIGFIDLSQGRLHFATCDDIDGDKLAVWVLEDWDSKQWTLNYTVSFNYLVGMPIAEFGYHQFIVVAVHPHRDMSDM >Et_9B_065965.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18876090:18877436:-1 gene:Et_9B_065965 transcript:Et_9B_065965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRAYRPVVLLVAVLAAAVSLSDAAAGVVFPKEALPTKSGYLPIPPANASLYFAFYEATHPVTPRASTPLLLWLQGGPGCSSLIGNFFELGPYFVNSDGLTLRPNPFSWNRRFGLLFIDSPLGTGFSAAPSPAAIPTNQSVVAAHILAALQSFLDLDPGFRARPLFLTGESYAGKYVPAAGAHILEANAALPPARRVNLAGVAIGNGLTHPVAQVATHADSAYFTGLVNARQRREMEALQGEAVALTRAGRWREAADARGAVLRALENVTGLATLYDYGKQRPYATAPVGAFLNSAEARAALGVRADDAAAAWEECSDAVGAAMHEDVMKSVRPRVEALLRNTRLLLYQGIRDLRDGVVSTEAWLRGVDWDGLPAFQDADRAVWRVGDGELAGYVQRSGALTHAVVYGAGHLVPADNGRAAQEMIEDWVLETGMFGRGGNGMRRAA >Et_3A_026044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3812685:3815773:1 gene:Et_3A_026044 transcript:Et_3A_026044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSSPCAAGASSSSGARFGLVPTRSRQLLPAPALARRVPNSLLVVGPQPHLKHAESSSSSTRCRAVASEVEGLNIANDVTQLIGNTPMVYLNNIVKGCVANVAAKLEIMEPCCSVKDRIGYSMITDAEEKGLITPGKSVLVEATSGNTGIGLAFIAASRGYKLILTMPSSMSMERRVLFRAFGAELVLTDAAKGMKGALDKATEILNKTPNSYMLQQFDNPANPKVHYETTGPEIWEDSKGKVDIFIGGIGTGGTISGAGRFLKEKNPNIKVIGIEPTESNILSGGKPGPHKIQGIGAGFVPRNLDSNILNEVIEISSDEAIETAKQVALQEGLLVGISSGAAAAAAIKVAKRPENAGKLIVVVFPSFGERYLSSALFQSIREECEKLQPEP >Et_5B_043386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:125539:126379:1 gene:Et_5B_043386 transcript:Et_5B_043386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHKNMITGTSQADCAVLIIDSSTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEMVKEVGSYLKKVGYNPEKIPFVPISGFEGDNMMERSANGPTLLEALDQINEPKRPPDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGADDGGEVGGDAPRRLCPATTWAST >Et_8A_058090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19140287:19144024:1 gene:Et_8A_058090 transcript:Et_8A_058090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLRRSAGPATRQLFSGGLPCHLAAAAPAASRSYSRSPRDDVSMYAPPKTPFNWGVSIVPEREAFVVERFGKYHKTLGSGIHVMLPFVDRISYVHSLKEETFSIPDQKAITKDNVTIEIDGVIYVKIMDPFLASYGVKNPIYAVLQLAQTTMRSELGKITLDKTFEERDALNEKIVTAINEAASDWGLKCLRYEIRDITPPEGIKMAMEMQAEAERKKRAQILEAEGKKSSQILEAEGKKSSQILEAEGKKSSEILEAEGKKVAQILGSEGAMLDQANRAKGEADAIVFRSIAMRTSGSREAASMKIAQDYVKAFGDVARNTTVTLLPGDGNNPMSLVTQAVKIFERTNQFNHIGAPVVEPVESQAEAETGSADSAFDPSSKPSLHTRM >Et_10A_000767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16930962:16937302:-1 gene:Et_10A_000767 transcript:Et_10A_000767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLPTTTSTRLPPSPTTNRAIAVKIATAAAAAATAASAASLVLTAKSAGRPLAHHASSAPLFAECALTFDRSICLDPRIRTAFRDACSGDDCLLHVGLLEKKEGYLVRSSKFYAFGVYADANDLKLQLEEYYRKFSFSELKQKADLMNDVLDRDIRITVRLMALDSDTSLSIPEVGQEIATLVKSRLRKVDGVDRVLLQRFVSFLKDENVSLKGSMIELSRKQNHVLKISIDDKEMGCIEDKLLCKLILHLYVGDNPLDKKAKHIIQEEIARLLMHSGRVHSTYLESNFG >Et_9B_065533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6253198:6258016:-1 gene:Et_9B_065533 transcript:Et_9B_065533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPRWWWASPSPPWGRGGAAGKWWGLGGPAVVKAIGCLFLTFIAFRMLGSFSSPSPWPEVSKGRKCDLFSGEWIPNPSGPAYTNASCRFIDDHQNCMLNGRPDMKYLHWRWKPYGCDLLLFDGVRFLDSMRNKAWGLIGDSILRNQAQSLLCLLSKVEVPVEVYHDKEFKNRRWHFQSYNFTISLVFTPFLIKSEVFENENGESTSEIQLHLDKLDATWTNQYESFDYVIISGGQWFLKTAVYWENGKVVGCHYCQNKNLIELGFEHLYHKSLKEVFRFIISTKHKLVVFFRTWSPDHFENGEWFNGGSCNRELPYKKREYKEGYMERIMRGIELEEYNKAVAGLSSVDVEKLKLMDTYRLSYLRPDGHVGAYRTPNPFAEGSKNAANIQYDCLHWCVPGPIDAWNDLVMKMVLD >Et_3B_031093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15099495:15102121:1 gene:Et_3B_031093 transcript:Et_3B_031093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAATALLLVLHLSLAAAQAGDAAPPARASCPTSCGDVSVPYPFGISAGCSLPGFDLTCDRTRLLLGDGTLQVVQISLANATVRAMNTAGAVNLTYDGVSVVTGNGTWAGPAGSSGPYIVSERRNQLVVTGCNIQVTLVGKVSSNNIVTGCSSFCSISDEWSGAVLTSPAGDDGACSGIGCCETPIPIGRPTYGVQLRTLDSSHELDGQLPVAVRIAERGWFDAVARAPDAEQVTDQRRHAPGRGGAGDSGVGGGVHPDGNSSCPKDLARSACSSSHSCCSNVTGNYRSGYVCRCDQGYDGNPYLAGGCQDIDECAIPGKCFGECINTPGSYVCRCPRGAGGNPNIPNACLKSSLGLSVGVGVGSGAGLLFLVLGAIFLTRKFKGRRARLLKQKFFKQNRGHLLQQLVSQKADIAERMIVPLAELEKATNNFDKDRELGGGGHGTVYKGILSDQHVVAIKKSKVAIQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHVERPTSLPWADRLRIALETARALSYLHIAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPADQTGITTAIQGTFGYLDPMYYYSGRLTEKSDVYSFGVVLIELLTRKKPYSYRSVEDESLVAHFTTLLSKGNLPPVLDPQVLEEGGEEVGVVAALAVSCVKLKAEDRPTMRQVEMTLESIQPYLQKDALHSPGTKVSKDKQAIVSYPTGESQSREESSRQYSLEEEYLLSARYPR >Et_2A_016594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26471378:26472882:1 gene:Et_2A_016594 transcript:Et_2A_016594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKGNAGASVAVGLDQWQPQRRKRWNRFVMRGMPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMACVNHETGVVDPKKFNVLANWQREYTMEYILSQLKKEMASPQNRKLVQPPEGTFF >Et_8B_060638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3832344:3836239:1 gene:Et_8B_060638 transcript:Et_8B_060638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAEARAKGHGGGSGSGGSPGKIFVGGLPRDTSDAMFVAHFGQYGEIVDSVIMKDKFTSQPRGFGFITYSNPAVVDKVIEGTHVINGKQVEIKRTIPKGSMQLNSKDLKTKKIFVGGLPSTLTEDDFKSFFAKYGTVVDHQIMRDRETKRSRGFGFIVFASEQIVDNLLANGNMIDLAGSKVEIKKAEPKKSSNPPQSTYGRNSRPAYDSDAPRDYPSADTHGGLASVYGNYSTGGFDPHISDGGFGGRLGIYGRMGGIGGAYGRYYAGLEGYGGGPSLGYPSRFGLYGGGFDAAYAGGGLSGYMRSAADESFGGPGSSGFGSAVYGGPYDSALDIRRKAIPGQLYANHPRYSPTAQCTGQPGELTPGAVGHRAKAQWSSTSSPHQLTPLTPLDCSTTYDTMPMNATPASRPAEST >Et_5A_040462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24369282:24369812:-1 gene:Et_5A_040462 transcript:Et_5A_040462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSRHALPSPPPALVDLSLALASPGRGEEDQDLTSLTPTRRVGGKEVRLFACLFCDKKFLKSQALGGHQNAHRKERAAGSAAAMSVVVASHGGGAGAAEPPAAGDVKLERTDGAGASFLAGHADAVAMLNWKAVSHASAPPESSNANTAGREDELDLELRL >Et_6B_049712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9369147:9370963:1 gene:Et_6B_049712 transcript:Et_6B_049712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPTAPRRAKRATAPLDAAARARIAVLPSSVDSSGSEHEAAALSSLVNEYLFEVDATVPAAAALTVDQDSDGEDDAGKSTSSPAAADVVEEIRDILDLASRSDELCRRLAGDVAEAVKDLGDDVRAQNHRPAFRRAVMSRLRDRGHDAGICKLRWDKTSGVAAGNYEYIDVVVVTGDGDEKEKQRRYVVDVGFAAEFEVARPTAEFAAVRAALPETLVAPADDARRVVRAASSAARRSLKSQGLSVPPWRKRRFMMAKWFGPYRRTVSPVPASSGAAAARVGSGGCTAVCGTIVGFAPPPRMATSSGLCGDTLFAIYFRKI >Et_5B_043448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10571918:10578669:1 gene:Et_5B_043448 transcript:Et_5B_043448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARPICPGLDVSAPLGQLPVLTEVLPVMVMEQIFLRISSPSVGPGIPDLATYDPLSRHLLELPPIPGDLVTSVQFKGRGGDSSVSVSHKHCKFRALLVPSRHEEETSSFKAIVFLVCVHKMAVFVFCSRSGTWSVRASTNYADLNLQEGCLGLRPEHADVYGCLYWKVVQLNKLVKLDMEMRKFSTVDLPPGHEYWQIFIVEAGEGTIGMLSACTHGSSLWYYTSSAMQKEGRSINEYWKMEKTTIKLPCDCYSIIGVPEGYIIVIGIPILQGADGLVLFSVDIKTLEVERVNHVKHVYADIYPYFWLPPSMINFTSKWVLLLCHAAGLKLTIVVAFQCPLRLGNHPSSTGPPTVALATTGLRLARASHARRPSHSRREYEHIHCKFQAFLVPSGHEEETSSFKVVVVLSFAWTRCLLLSIWHLTNYASLNLQEGCLGLRPEHSYAYGRVYWKVVQMNKLVKLDVEMSKFCTVDLPPGHEYRQIFIVEAGEGTLGMFSACRHGSSLWYYRLATGNANTPDSQHSI >Et_5B_043429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10205094:10208456:-1 gene:Et_5B_043429 transcript:Et_5B_043429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACCLPVVECVYCLACARWAWQRCLHSGGYDSETWGLASATEFEPVPRMCRLILSVYEDDLENPQWAPPGGYGMEPRWVVHRRTYEDTHGHAPTYLIYVDHHHSDVVLAVRGMNMAKESDYAVLLDNKLGQKRFDGGYVHNGLLKAAEWVFDAECDVMRDLLERNPGYTLTFAGHSLGSGVVAMLTLVAVHNRERLGGIDRKMIRCFAMAPARCMSLNLAVRYADVINAVVLQDDFLPRTDIPLEDIFKSLFCLPCLLCGRCLIDTCIPESAMLRDPRRLYAPGRLYHIVERKPFRCGRYPPVVRTAVPVDGRFEHIILSCNAISDHAIIWIEREGQRALNMLLENERATEAPEIQRMDDEITITRDHNEEQQAALRRAVALGVADVNVPSRYGTFDESIIPEADETSPVLSDNGRRRAIWDEWIARIFEKDESGQMAFRKH >Et_6B_049398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4330854:4332002:1 gene:Et_6B_049398 transcript:Et_6B_049398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLATSWSPAASSSGSWSRPTAALPWTSPTTSLSTGLSVTVHALQDGETELTGEPRWEKKDGGSFADRIMFLGLPTSFAVEAARFDGCAYFVTKRRSLFKYRFCDSKSEFTEQLPGEGTARPARGSHHDQHLHAPPTEQQIFIVLKIVAKFRTI >Et_5B_044624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4073355:4078638:-1 gene:Et_5B_044624 transcript:Et_5B_044624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGDLLSALGDATLTRVLSHLPTEEAVRTSVLSRRWRNLHEAVPVVDFLDLKTGNSWGHHARGNRLPMCFEQMVTCALLTRDPTTPIRALRLDSCHPTVTVLVQCILVALRSGAEELDLKLRCQEASRLRLRPFGQYKGCSADFDNGDLGRYVRTPAALFRSATLRHLTLSRWMLEFPQDVSFMALETLFLHRIMGGLPTRSFFWVANYATLTALTIDICEEIDSKTPRLIAPFTELIGRCTNLDFLHLALRPAMACYSSLFTRVLRLLPRLRHLELKGCLHNEHTVASVSALLQNTPNLEVLSLFSMLPDPPKKS >Et_4B_036946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12880834:12883221:-1 gene:Et_4B_036946 transcript:Et_4B_036946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQSMPKEVAYEMINDELKLDGSPLLNLASFTTTWMEPECDQLIMAALSKNYVSMDPITTQLHNRCVTMIAHLFHAPLGESEAAVGVGTVGSSEAIMLAGLALKRRWQKKRRAQGKPYDKPNIVAGANVQVCWKKFASYFEVELREVKLSEGHYVMDPEKAVAMVDENTIGVAAILGSTLTGEFEDVKLLNDLLEERNAATGWGTGIHVDAASGGFVAPFLHPALEWDFRLPLVRSINASGHKYGLVYPGIGWCVWRSKEDLPDEVVVHINYLGERQPTFTLNFSKGSGQLIAQYYQLVRHGFEGYRNIMQACRENAMVLKEGLERTGRFDIVSKGDGGVPLVAFSLVSDPGRGYDEFDVSDALRRRFGWVVPAYAMPPDARHVTVLRVVVRADFTRATAERLLLDLDKVMRQLDDALFPSSKLTTTLPAVPPVPLAPGGNGVVRMGAPTTTSRSCWRRGRGTSFWARRQAHWRLPMPMPLPVPRLC >Et_6A_046216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:151205:154795:1 gene:Et_6A_046216 transcript:Et_6A_046216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPPTRPPVVQAGSIPTHVPGPGRVSMTLRRRLRASPRWRPPRCSRDGKPAAKDMVEDESPREPMLETKNECEAEFEQGAGTPGWFRLDSVAVDIISIAAPAVLALAANPITALVDTAFVGHIGSSELAAVGASVAVFNLVSKLFNVPLLNVTTSFVAEQQAVNADGSRTRGRDEFSTPQEKSSEQRKILPAVSTSLTLAAGIGLLEMVALIVGSGTLVNIIGIPVDSPMRTPAEQFLSLRAYGAPPIIVALAAQGAFRGFLDTKTPLYAVGAGNLLNAVLDAVLIFPLGLGVSGAALATVTSEYLTAFVLLWKLNDEVELFSWNTIGGDGIMRYLKSGGLLICRTIAVFLTFTLSTSLATREGPVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKQARKVLYRVLQVGGVTGVGLAASLFAGFGSLSLLFTDDPAVLDIARSGVWFVTISQPVNAIAFVADGLYYGVSDFAYAAYSTLLAGAISSIFLLVAAPKFGLGGIWAGLTLFMSLRAIAGFWRIWSKDGPWEIIWSETE >Et_4A_033756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26628185:26628808:1 gene:Et_4A_033756 transcript:Et_4A_033756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSASTTQTQGRKTRMLLVENEAVHRVRDVRAVRCAHGDLSKLLIQTVVCNGVAVLMARAVLKAVGVVELDEEENGAEAVPVRRSHEVRARAPLVRVVRVRFLSFAGTRRADWLATTPAAFVCVRAAQATRQIRALGVTTPIVGVSSDCLAADVDAFVKAGANDFTPKVSDELDMLTKVALVL >Et_10B_002803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11577492:11581970:1 gene:Et_10B_002803 transcript:Et_10B_002803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEEAISAGPAESGVTSAGSGVYPSSSSCSSSSSSDDEYGGSSSLMKKYMWDLIFGDSSEEDDEIDDQIEDLMLRAAGASPAVLRNSSAPESDVTSVGFPLPPSPSRSSGNISSSDDDSLWDAMEEEIEAELGAELEAQLLRDASLAQRPQVAAQLEELRRQAARSKEEARRMREETRRMREYSDLLDVDVSEFTDAQMAAYERELDRRSEQILRRRD >Et_2B_019666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1284412:1286734:1 gene:Et_2B_019666 transcript:Et_2B_019666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPAAPANSSCFHPRATASPPSSLSVGTKVFVGLRAQTKLGSLGSSCPNVSAGFYTAVNRRISLGLSNKRATRARISMMPIGTPRVPYRTPGEGTWQWLDIWNALYRERIIFIGDNIDEEFSNQVLASMLYLDSIDNTKKILLYINGPGGDLTPCMALYDTMLSLKSPIGTHCLGFAFNLAGFILAAGEKGSRTGMPLCRISLQSPAGAARGQADDIENEANELIRIKNYLYGKLAEHTGHPIHEDLSRVKRFDAEGALEYGIIDRIIRPSRIKKEGSTGERKDRRNLGLG >Et_1B_012709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34626580:34627312:1 gene:Et_1B_012709 transcript:Et_1B_012709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSFQNLPHIRCRHPRASLLPHCLYTRVPPPPPRPHRTGDIVRWNSAINEHLRAGKVGDARRVFEEMPERNVFTWNCMVSGLVRNRMLADARKLFDAMPFRNSVSWAAMLTGYARFGRVAEARELFDRMTDRNVVSWNAMMSGYVRNGMVNRARELFDMMPSRDNVSWLTIISGYMKRKHVREARELFSRAPSPSTSVCNALLSGYAEHGYLKDAEELFGQMQRRDLVSWNLMRGLG >Et_4B_037134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15104757:15106350:1 gene:Et_4B_037134 transcript:Et_4B_037134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARASLVVLIALLAAGAARASNDEGDALYALRQRLKDPNGVLQSWDPTLVNPCTWFHVTCDQASRVIRLDLGNSNVSGSIGPELGRLVNLKYLELYRNNLDGEIPQELGNLKNLISLDLYANKLTGGIPKSLSKLKSLRFMRLNSNKLTGSIPREFAQLSNLKVIDLSNNDLCGTIPVDGPFSTFPLRSFENNSRLNGPELQGLVPYDFGC >Et_1B_013786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16272390:16273513:-1 gene:Et_1B_013786 transcript:Et_1B_013786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAFRAFLVACSLLLLHGIVGMDPGTEAAGVFEQGHHGVSLTPVREARRRCSSSPATAAGDKDKLPPKPLLVAAPREGGEYPVLVFLHGYMALNSFYSQLLQHVASHGFVVVGPQHSVIELMMKRCMSQLYTVSGPDATDEINAAAAVIDWLAGGLSSVLPPDIRADLTKVSAAGHSRGGKVAFALALGHANSKLSLPLAALVAVDPVDGMGAGRQTPPPILTGRARSLRVGSPVMVIGTGLGELPNGPLLPPCAPRGVSHAAFFDECAGAACHLVARDYGHLDMMDDDTPGVRGALTRAVCRSGGARAPMRRFVAGATVAFLRKWVRGDGAALEGIRARPETAPVVLSVDEFIDE >Et_7A_051330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1757359:1771893:-1 gene:Et_7A_051330 transcript:Et_7A_051330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIVMGQRESNGKEYTIIVTSARTVCMSLGKLLDPVPKLSVRLPSKAVVDGQLLFFDDHYGIAASIGSCPDYGDEVFVLARDSDSSLMVRYGEVLWSEESFLLRSHQMQLSCKIPPCGDGGPVIDHDGNVTGMALRWGPDSKVISISTIVTCIEMWFKFGRVARPKHGLELRTIELLDVSLQEEIARDHGIDSGFIVDAVSYDSTAESLGILRGDVIVSIDGQQDLTLPQLDDYLLSLGWACLNNSSASVDVRIEVYDPISTIKRSTSFSTAIPCSSGRASPPPRRTAGPQQQEEKAEAEALDEDTRWRTSRKWSSSQGSAMGPDAAGKPYSSSACRSSSRNAGWFRCASRTTNRRDDDDPSPPSTRTATCPAGTARSSLPGSDDGVPAAARVRHRRTVRRSHTSDGMRDEVENRQRDSTAVDSAGAECSGAAPTTATIPPRPLPLYCPPYPKNATQEELREYKKECRRICELVDQDPLTEIVENLPTLKKPKDPDTAVAVASSRNKAIVMAAARSVVSVSSVALDGEVADQCTGIVVGWDEATKVARILTCFGLIGGVDGLIDPKPKLHVRLPNKAVSEGQLLFYNEHYDIALVEIIADVPLEIPSFGTSPDYGQEVFVLTRDSESYLMARHGIISWLENSDDVWGRNNRMFLRGEFNMNSDGGSVIDHDGNVVGMSFNDFIDSSDTGILAVSTILTCIEMWMKFSRIARPIHGLPLRTIEMLNVAEKERLYYDYKINSGYIVDEVETDSTAEKLGIRSGDVIVSFDKLGIHSLPRLEDLLLSLGWEFLHSSSDSSTVDVKLEVYDLVGSCTRSITLPVEICDASVRARISSRDLALGTAKSLALCCCICSIFSALAFFLSLAISRSRAILRRYISAKVMGSSRTGASPSRTASGYTVASGAGFWNVAIDSRLHSEFTTAWWSAFLNLPLLRYCTQSNGHGNAKGSIRTLHRTHVTHVAVVAEVDDEGALDGLHGDPGPAAVAGGLEAADEVLQQQRDGSRVGVALEAQREVGLGALGASVSAAVSRPIASESTPRSVQDRPMSCSLYCSTTARHAAGLSGHRE >Et_1B_014322.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5985102:5985449:-1 gene:Et_1B_014322 transcript:Et_1B_014322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAGRARKPSSTQPASDVITGAPAATPLSKPSRLVLAAPSSSSLSAAVASTLLVAACLGGGALLASWAVVYHRAHTMLWMVPVGLVLLGTSLVACLSVLASGPAPTASADLGA >Et_9A_060922.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17811934:17812679:1 gene:Et_9A_060922 transcript:Et_9A_060922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASWMSLGMMVTRLAWMAHRLVSSKSPTRYASAASCSAATAELWKRRSVLKSCAISRTSRWNGSLRISSSVLFWYLRISRSATVPGRKRWGFFTPPVAGADLRAAFVASCFLGALPPVDLRAVCFVRAIGKGWLARVCCGELGSGAREVGGGFGNVGIGVS >Et_6A_046551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1694941:1695443:1 gene:Et_6A_046551 transcript:Et_6A_046551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSGQRFTMEEQEEIRCKWLKGYINLCGKYSDWCNESPPGPLKVLPEATRLCIERGYCYHREYMTNETSESKRRSVQTIQHSLSTFNNGFFLCVFAAQKPLDIANHMICYKEARKRNPTIYITCQNSKNRAYSNMSV >Et_9B_064701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17836837:17839302:1 gene:Et_9B_064701 transcript:Et_9B_064701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSYANGGVAAAGLGSEAKLDELRRLLGKAEGDPLRVVGVGAGAWGSVFCALLQDAYGRHRDRVQVRVWRRAGRAVDRADAERLFEVINAREDVLRRLIRRCAYLKYVEARLGDRTLHADEILRDGFCLNMLDTPLCPLKVVTNLQEAVWDADVVVNGLPSTETREVFGEIGRYWKERVSPPIIISLAKGIEASLDPVPRIITPTQMISDSTGVPLENILYLGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFSLCTSEMIYITHLLAKEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGTIQGVSAVNAFYELLSQGSLSVKHPETKKSVAPVELCPILKTLYKILIKRELATDSILQAIRDESMYDPRERIEMAQRQSLYRPSLLGLAKGDAKA >Et_2A_016626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26747755:26749788:-1 gene:Et_2A_016626 transcript:Et_2A_016626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLRAIAVKADSLASPTRIAGDRTAHRKSSARFGRVKFLVAAVSLDDYLPMRSTEVKNRTSKGDITSLRLITAAKTPYLPDGRFDLEAYDSLINMQIEGGADGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTRIKVIGNTGSNSTGEAVHATEQGFAVGMHAALHINPYYGKTSTKGLISHFKAVLPMGPTIIYNVPSRTGQDIPPQVIEAVSSYPNMAGVKECVGHERVKCYTDKGITVWSGNDDECHDSRWQYGATGVISVASNLVPGLMRSLMYEGKNATLHEKLSPLMKWLFCEPNPIALNTALAQLGVARPVFRLPYEPLPLEKRVEFVRLVEAIGRDNFIRHKEARVLDDDDFVSVTRYK >Et_3A_027313.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7366485:7367084:-1 gene:Et_3A_027313 transcript:Et_3A_027313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLPIAVLVSLLAAGAAATGYNSSPPPPPSHSPPPPPTSYKAPPPAAGNKDDDKRIKLRVEGLVLCQSCARRDPQSLDGAKPLPGAKVTVTCRDRKNRVMAWRKAVADENGYFLAKFGVELLGDYYKGDPAKACFVRLLASPDAKCNGVTNINGGLVGAPLRDEGKKWTGGEGYVNVAYAAGPLAFKPAVCVPTRPY >Et_4B_038809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:558499:563793:-1 gene:Et_4B_038809 transcript:Et_4B_038809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEKKEKIGEGTYGVVYKAVDKVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMNHGNIVRLHDVVHSEDRIYLVFEYLDLDLKKFMDSCPEFAKNPTLIKSYLYQMLCGVAYCHSHRVLHRDLKPQNLLIDRHTNALKLADFGLARAFRIPVRTFTHEVVTLWYRAPEILLGSRQYSTPVDVWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEQSWPGVTSLPDFKSAFPKWQAQELATVVPNLEPAGLDLLSKMLRYEPNKRITARQALEHEYFKDLEMECSYVIMLTFVKFIEVEVQNVGCHKSQNRSCNHNSGSFIPQIQSPQDHQNE >Et_3B_030706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6685987:6689675:1 gene:Et_3B_030706 transcript:Et_3B_030706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLAAVLALSCFASAANAEVTAAAEADRIASLPGQPPVNFSMYSGYVTVDAAAGRALFYWLIEAAGVPAESAPLVLWLNGGPGCSSIGYGASEELGAFRINADGRTLSLNPYPWNKVANMLFLDAPAGVGYSYSNTTADLFTAGDNKTAHDSYNFLLNWLERFPQYKYREFYIAGESYGGHYVPQLSQVVYLNNKGIKKPILNFKGFMVGNAVIDDYHDYVGTFEYWWTHGLISDETYEKLRLTCEFGSSEHPSKACEEIYSTAEAEQGSIDAYSIYTPTCKKTSFRRRRLIKGKTLWLPRGYDPCTELYSTKYYNLPEVQKALHANVTGIPYAWTGCSDPLFENWKDSPRSMLPIYRELIAAGIRIWVFSGDADSVVPLTGTRYSIDALYLPTLTNWYPWYDDEEVAGWCQVYKGLTLVTIQGAGHEVPLHRPRQGLKLFEHFLQDKPMPKPLKTIGHVLIPLLHCSVGELEPEVNRDSPPVCFLGKHHFKDNLIGDSWCKMGQFQLPMDLQPADVLQRHRFDFGITAARKIPVLTRSCRSAAVAVFSWAMISLNL >Et_5B_045449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22964882:22970541:1 gene:Et_5B_045449 transcript:Et_5B_045449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNLFSGTKRCRAKPQKKVEESTENSKLENGSQDPTKDVHIGANGDGHVTHKRPRRAAACSDFKEKSIRLSDKTSVVTVRKNRMEEEEIDAVNLTKLEPENRPCRKLIDFVLHDVDGNLQPFEMSEIDDFYITALIMPMDDDLEKERERGVRCEGFGRIEDWAISGYDEGTAVVWVSTELADYECVKPASSYKSYFDHFYEKAQVCVEVFKKLARSAGGNPDQGLDELLASVVRSVNAMKGNSGAMSKDLVISIGEFVYKQLVGLDESSSNDDERFATMPVLLALRDQCKSRVKFAELPYNNISNASLKISEADSEDITENDDKKLAELLQQSEEWKMKKMQRGRRGTPSQKNVYIKISEAEIANDYPLPAYYKPSCQEMDEYIFDSDDSIYSEDVPVRILNNWALYNADSRLIPLELIPMKSGAENDIVVFGSGFMREDDGSCCSTAESAKSSSSSSKSDQPDAGVSIYLSPIKEWLIEFGGSMICITIRTDVAWYKLCQPTKQYAPWCEPVLKTARLAVSIITMLKEQSRASKLSFSDVIKKVAEFDKGNPAFISSNTMLVERYIVVHGQIILQQFADFPDETIRRSAFATGLLLKMEQRRHTKLVMKKKTQVMRGENLNPSAAMGPASRRKVMRATTTRLINRIWSDYYAHHFPEDSKEGDGNETKEIEDEPEENEDEDVEEEDQTETEISKTPLSTRSRKLVPQARKGIKWEGETSGKTSSGEALYKCAYVRDLTVTIGTAVALEDDSGETVMYFVEYMFQKLDGAKMVHGRMLQQGSQTVLGNAANEREVFLTNDCLEFELDDIKELVTVNIRSMPWGHKYRKENSEADKAERAKAEERKKKGLPMEYFCKSLYWPEKGAFFSLPRDKLGLGTGVCSSCDHRETDCDEFKVLSKTSFIYRKVTYNVNDFIYVRPDFFSQDEDRATFKASRNVGLKPYAVCHILSIHEAAGSRKINPESTKISARRFYRPDDISSAKAYASDIREVYYSEDIIDVPIDEIEGKCEVRKKTDLSNSDLPVMVEHVFFCERLYDPGTGALKQLPSNVKFMSMLPKTTGASKKNKGKRICDSDQADSSKWLEVPKENRLATLDIFAGCGGLSEGLQQAGVSYTKWAIEYEEPAGEAFSKNHPEAVVFIDNCNVILKAIMDKCGDADDCISTSEAAEQAAKLAGENINNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFVSFNKGQTFRLAVASLLEMGYQVRFGILEAGTFGVAQSRKRAFIWAAAPGENLPDWPEPMHVFASPELKITLPDGQYYAAARSTAGGAPFRAITVRDTIGDLPKVENGASKLTLEYGAEPVSWFQKKIRGDMMALNDHISKEMNELNLIRCQRIPKRPGCDWKDLPDEKVKLSNGQMVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPDQDRIITVRECARSQGFPDSYQFAGNIQSKHRQIGNAVPPPLAFALGRKLKEAVDAKGREDGVTAAA >Et_3A_023444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27891861:27892901:1 gene:Et_3A_023444 transcript:Et_3A_023444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRATHWCYACRRPIRVRGQDIVCPNCNDGFIQEISETGGRLNTFGLFEPDIEEFLGRRFGMMEAMSNLMQQRRAETGGDRVSDIHGRQETSAEHRDSTNIDVVFRRGRRINADLPVPNFRGFLVRPSLEALFEQLLIQSNHRGPAPAPQSSIDSMPVVKINRRHLIDDPQCPVCKDKFEMGSEAREMPCKHLYHADCIIPWLVQHNSCPVCRHPLPSQEAPESAPRNYDSGNQDTRSSYPFLWPFGPSNSSSSSYQNEGNVGESAPAVYDDPGDLAYSEWHYDPEIF >Et_9B_066251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8494935:8500369:1 gene:Et_9B_066251 transcript:Et_9B_066251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSWFSYQPDHNTDHGATPFDDRDHSVVVIITFVVFFFFVVLYLVAGVVWASVATACAVALAIVYLKARRRHRHRHAAALRRGGAAALRVVRGGDDAVDAVVVSAVPAFAYKREGIAGDGGGATGWAQCVICLGMVQVGEMVRRLPACKHLFHVECIDMWLRTHSTCPICRAVVDPSTGHWEPPPLPYVRAKQLQLAATQQPNNPFCPFYPLNKIPKGPGAYSTAAVHY >Et_3A_025793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3304739:3309899:1 gene:Et_3A_025793 transcript:Et_3A_025793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVENAAASEASGGAVRKRKALVHTPSGQVVRSYAQLESELRALGWERYYEDPSLYQFHKRGAMDLISLPADFNRFSSVHMYDIVIKNRESFRNGVVRLVENAAAPEASGGAVRKRKALVHKPSGQVVRSYAQLESELRALGWERYYEDPSLFQFHKRGTLDLISLPADFNKFSSLHMYDIVVKNRDSFRVVDI >Et_2A_015956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19734004:19742092:1 gene:Et_2A_015956 transcript:Et_2A_015956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGTGGEPTRFDVIVVGAGIMGSCAAYAARSRGARVLLLERFDLLHHGGSSHGESRTIRATYTQPHYPPMVRLAARLWEEAQAEAGYRVLTPTPHVDMGPRDDAALAAAVRNGGAAEAAVAGDGGVSGGAGSWPWAGVFRVPEGWTAAVSEFGGVMKATKAVAMFQALAAKKGAVVRDRTEVFDVTKRGEGTIVVKTASGEEFHAAKCIITVGAWTSKLVKSVTGTDLPIQPLHTLICYWKAKPGRERDLTVEAGFPTFASYGEPYIYSTPSMEYPGLIKIAIHGGPPCDPDGRDWSLGGPALVEPVARWIDAVMPAHVETAGGPVVRQPCMYSMSPDEDFVIDFLGGEFGKDVVVGAGFSGHGFKMGPAVGRILAEMAMNGEARTAAEAGVELGHFKIARFASPGRYDVIVVGAGIMGSCAAYAASSFRGGGGARVLLLERHGLLHELGSSHGESRGIRDTYAQARYLPMVRLARRLWEEAQAEDAAGGGGGGRVLVPTPQVDLGPRGDPALLAAARNGGAAVVEEGGEGAAAAWPGAGVFRVPDGWMAAVSEQGGVIRANKAVEMFQRLAVKKGAVVKERTEVVDITKQVKSVTGTDLPVQPLHTLLCYWKAKPGRERELTAEAGFPTFGSYGEPIVYGTPSLEYPGLIKIAMHGGSPCDPDGGRDHSSSSLAPADGDDGDAALVEPVARWIDAVLPDHVETAGGPALRVACMYSMTPDEDFVIDFLGGEFGKDVVVGAGFSGHGFKMAPAIGRILAEMAIDGEARTAAEAGVDLGYFRIGRFVDNPKGNLKDSGDQA >Et_5B_044851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6553272:6554299:1 gene:Et_5B_044851 transcript:Et_5B_044851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VALHIGRPGRLRPRRELGGVDPRVVGDGVVEVVEQVLEWPLPGDDGLDEEPEHGEHGEASVLDLLDLEFGEGDGVVGESQRVEVLSPRVEVVALADAVEAVDAEALDEAHEDDLEAQHGEDALRVHQVGVAQVVEAALREDLGPRLEPHGLLEVHAHPLLQHLRGDAAQRAQHGPPPVDHLQGPVPGEGLRVGGEAGGVPPVVAGELATEVRRQRTMVIRPPAAAATSRSGAALPAGRLWPRNGVLAATRGVEAAMIVDAICGS >Et_5B_045119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9739183:9746036:-1 gene:Et_5B_045119 transcript:Et_5B_045119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTVASPNRASPTADTTAPSPASPPRRLASAPPAVDASAASSPSSAHSGDQLSASDPASPLLASRSEEYRLLFRLPPDEVLVQDFNCALQENILLQGHMYLFLHHICFYSNIFGYETKKTIPLQEVTDVRKAKTAAIFPNAIEIIAGSKRHFFGSFLSRDEAYRIIVDGWEQHISDARVLLERQDTKSASSSEENGYVLLEGAKESKQDEDSSTPDRSAISTAVASSGDSDINISKRFSKAQENGIEDNSIEPNPFSLVPIDDDAPNVPESYTMIMESKFQVSVEVLYNTLFSDGAFGFLDDFHKKCGDKEFSCSKWCLDEQGRLVRNVSFLHPIKIYLGAKFGTCQEVQKLHVYKNRHLVIQTSQSIGDAPYGDHFTVEGIWDLEQDSKDESSSFLRIYINVAFSKKTIFRGASSSSESDLQSGAANEENTLENTVPDVTCAQDESGVRTVIAPIQDQQQRTGRDSSIASTSQDLWGSLISYLRSSRFGPLLAVALVTIIVLLTRSPQVQMVPHGSSPSSFSSAESIEWVQKRISLLGEEMQLAEAHMERMRHEFAWLRSHLERLERLRGSL >Et_3B_028927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20531211:20537679:1 gene:Et_3B_028927 transcript:Et_3B_028927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKSCGLRVTTIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVINKERRGDYLGKTVQVVPHITDEIQDWIERVAMNPVDGKEGPPDVCVIELGGTIGDKIFSPHNDSGILDAGDIESMPFIEALGQFSYRVGSGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLAPDVLACRSTEPLEENVKAKLSQFCHVPMSNIVNLHDVTNIWHIPLLLRDQKAHEAILKVLDLQYAGKVPREPKLAEWTKRATKFDNLKTPVKIAMVGKYTGLSDSYLSVLKALLHASVFMERKLVVEWVPSCDLEDSAAKETPESHEKAWTLLKGADGILVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLRGANSTEFDPATISPCVIFMPEGSKTHMGATMRLGSRRTYFQVNGCKSAKLYGNAHYVDERHRHRYEVNPEMVPDFEKAGLSFVGKDESGSRMEIIELPSHKFFIGAQFHPEFKSRPGKPSPLFLGLIAAASGQLESLLRSRNVINPNSTKACTNGKVSSKLKLYPNGHLKNPLNSLVNGYYANGNGIPI >Et_8A_058380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6199917:6204374:1 gene:Et_8A_058380 transcript:Et_8A_058380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTAVIHRLQSSLRGAAGAALDAAPAPPFPSVADAVAAFDAGASFSGSAELRCGHCGAAGGFLRGARSAVCAYCGSPRRGEGGECEGGGIGFRDGPAYRWLLGSLGLDGSELVEFDDENSGSDKSKEAPKNGIIISDLLDLKLTHLPENKETPASSISEEQTSASHTPNLSGVNADSFFIERKEETAAAAAAAAAVLPQTRTVVQEKQTTDSISLKSSRLEMHAASTGVMTSSRTNQTEAAPSFANWDAEFQSASSVSAAGDSEQLDLFKGSSATESFSFPSSVTAINPVVGAGNKTDIKSATPEYSEGLASMDNKLVNDNLFNQKVVQPILESSSGISPENSVPDFVESSLNNKSLKGDELRQTDDTRVNDEDPFDDWQEFTGGENQGSLSNAGESKEEPLKRVSSKMNTIDPWPLGSMESPNNVNGDSSDDWQAFGSSSAQGVEDLVKPMEGSTSGQGGLVRLVEETMSNTSFEHPSQDTGVDLWPMGNVDTPSNTERVKETSDSFDDWQETSGQAQNTSFSQTGDMMEVVTVSQKEIDLDSWFMGDSREAKNTDLVNGKNAMLNDWQGFTGSDQLQQNTKNHSLEHHEEVDGSNKDAVNTSSTSMDSDGFDIWQDFAKSGQATIVSPEPTKEIDDMDLWVTSNFKESDNKDVRRTYDSSDGWQDFASFGQEEKSTKIPEEGPKDPLGIETLNLWASSNAAEKKLEQGSGSNDLFDDWQDFKNSGETSLQAFSDASSLDRPLASKPNAVVGLELGTISGLASSQNQNVENEAVPFDEHSKSMNVMQQLKDVDSLSAIWPTKDAISEPEPADPNVGKLLSQMHDLSFMLKDELSVPDKSVDHSKS >Et_1B_011202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18749819:18752492:-1 gene:Et_1B_011202 transcript:Et_1B_011202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDSARNHLESMLLDERVEPKALPISILESITTNFNDDQQIGSGGFGIVYKGLLQNGTVAVKKLIGADDMDASKFIKEANCLLKVKHKNIKTNKGFSALSSCQTGVSINASQGLEWKTRYQIIKGICEGLHYLHQQQIVHLDLKPSNILLDQYMVAKISDFGLSKCFDEKQTHHITSKFTGSLGYMAPEFYQKGLVTFKSDIYSLGVMLKEILSGQKGCSTENVLESWGTRLGMPLGDKRLEPIRACAQIGIECTNVIPSKRPDTCRILEWLGVIEANMCPSSGTEVNSSISGMVDELKPVFVSEAPSPLQRISSRVPMGLGVTSTDILDVHPLELRFPWEQDIISPVTLTNWTDHYVGVWITLTCQDTWVNFWHPVSEHADTPGSSLFQMMKPRSTLAIPITTSHPWQEKPPSPDIGTFEVLMVDMGSKKDYLEGLKQSIGSRLNMDVDFLTRVEASGGEVHRAMLKATISDPPSCQQEVVTRTFIHLPSEFGNCIHSIDVHPTEPW >Et_1A_006111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1806628:1815918:1 gene:Et_1A_006111 transcript:Et_1A_006111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGKAKPKAKGDKKKKEEKVLPTVLDVTVETPDYTHLTLKGISTDRILDIRKLLAVHVDTCHLTSYSLSHEVRGAQLKDTVEVASLKPCHLSIVEDDYTEELAIAHVRRLLDIVACTTAFGTKKPEQKPASPDAAASEAAKLGSPGKPAPGAVSGGEEPMYPPPKLGQYYDFFTFSHLTPPLHYIRRSTRPFVDDKREDDFFQIDVRVCSGKPVTIVASKEGFYPSGKRTLISRSLVGLLQQTSRAFDGAYKALMKAFVEHNKFGNLPYGFRSNTWVVPPVVADSPSVFPPLPTEDETWGGNGGGQGRDGKHDHRPWAKEFAILAAMPCKTAEERQVRDRKAFLLHSLFVDVAVFKAVAAVQKLISNHTSSLEATNDTTSSVLHEEQIGDMKIVVTKDKADASSKSDVKLDGSQAPGMSSDELARRNLLKGITADESATVHDTPTLGVVVVKHCGYTAVVQVPVDTQLTAASLAQQDIHIEDQPDGGSNALNVNSLRMLLHKSCAQSSGGVQRLQSCDPQENEATRSIVRKILTDSLEKLESEAPSVTRPIRWELGACWVQHLQNQASEKTETKKSEETKDVPTVKGLGKQFGQLKEIKKKADDKSGKSSHAKENSSPNPNDAHTDDTGSTKEEKEASLRRLLPEAAFQRLRESETGLHAKVELSDKLPHIQSLCIHEMVVRAFKHILRSVIAAVDDINNMADSATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEKTTLRILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASRGHLSVSDLLDYINPDDELKAKELQKKQARAKIKGRAGQNSSEIVDDEDQRSPPSKNDHLLTEKENSGVKENGTIVEHVKIKDEIPTIHLPQDDFTEEYTSDEGWQEAVPKGRSTGHRKAGPGTRRPNLAKINTNALHSENGRYKGRTPSNFSSPRVSPNETAAAVSSSPVAKKLAKSSSFNSKAVSPAVSSNGGENSSNPSSKPASAATTAAKVMHSTAPITSQTVRKSLSYKEVAIAAPGTLVKALNDVHAEEKGANLESVKTAKENNGHPSEEKDGATEVSPKGNASQVSKATDDGKSEQTDVPVGLNQSETESEKTSDPTATSSESIAKQTEANTPNEEAPVVNEANDSSSNDDERDVGEDTPEQLSSGGENEKSSPSESEKNDTPVEGAKEISSKLSAAAAPFNPSTVPAFGSMAVPGFREHGGLLPSPANVPPMLSIPLRKHPHQSATARVPYGPRLAGGYNRSGHRGPRNKTVLPNGEGLTETNTSAPKMNPNAPEFVPGQSRSPNGHPASPNGPLTSPSGIASSPDGTVESPITPSPQVSECNQTSPEGNDTPSGINDETGGENHNTNEKSTECNDGEVELEQTVASDVVHKAEAVKDGTDDSNATEQPKSWADYSDGEVEAIVVAS >Et_4A_033735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26280814:26281962:-1 gene:Et_4A_033735 transcript:Et_4A_033735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSWAAPPPGYPHGQGQGHGYGNQQVAAPHPQGTAVAVTSASNGVGNPYVVVTPAAATPSTCQTVMKALGRYGKLLEDGTRKAADTTGNIWHHLRTAPNIADAAVARLAQGTKVYAEGGHDRVFHQTFGAMPGEKLRKAYACYLSTSSGPVIGTLYVSTARLAFCSDNPLCYQGPAGQPVECMYYKASSTSISVQSYASPQDGALNCVCEIDLQVVLPLSQVVSVNPSASTRNRAERYIQVTTMDNHEFWFMGFVNYDKALKNLYEALQHRDVHGHHHS >Et_3A_024455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2124701:2126038:1 gene:Et_3A_024455 transcript:Et_3A_024455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWYPLPQSGGTMAAGDEFFENQSAGWSLWSFSASDGQDATGVCSDKHENGDAQCSPDPPEDQPEAPSPLEEPQFTQPTEDIFMSQFSDEEMRRMDAPFEALDMFPDSMHRLLSYENMLSGVLTGSDDEDAKLDHNGMDTMDTCGFPLFINDAQNDSRNGEPNNPEMIADPSSEDDKAGLSMTKRSRPIADTESTTGFEALVLEELEDVVFQLTKRTRICYRDAFYRLAESSKANCSTANGATEVRSSTSRQSFQQPDDNASRFSSPDCPERETNPIDRTVMVLTMKPPGHQLHGSCCAAESGAEARSTTSWTTRA >Et_1A_006201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19097889:19099653:1 gene:Et_1A_006201 transcript:Et_1A_006201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGSKNQKTFRPKKNAPSGNKGVQLKKHIDATLGSGNLRDAVRLPPGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPATCPTMSAGPKFEYRWADGVQIKKPIEVSAPKYVEYLMDWIETQLDDESIFPQKIGTPFPQNFREVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFTLFTWEFKLIDKAELAPLIDLIESIVSVG >Et_7B_055760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3653683:3655901:-1 gene:Et_7B_055760 transcript:Et_7B_055760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARRYAAFVNRTLALRAATAKAEPADELRSPPPFFGATAPKWIRYAVQNGVKSFALELRRRNTDYLRGHGVENNNNNNNNRKRAVNLDTLPSSAKLETLRLELDFAKVRLPAAATFASLTDLTLECISLAVGGGDLLSRLLSSSCCPSLQKLRLARLTFAETVELAVENDALAELWVEEILDMQLLVLATPGLRALQLKAIGCNHLQELVVSAPRLEELTCLCLHHPLSIYVADADWPCMRKLRVELFSHDEDTPDHDVNDEGVFLLQLCSSVTCLEVSLQVLKDNNSERDPLCDHPDHWKSNEISLVHLQRVELDGLIGTACELRFVLFILAGATKLQNVTLSSEPECWRNVNESAFKLHPPQISELWNCDSYLSYKWRRFLCEERRLASLHVWSE >Et_2A_014501.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:17149149:17150402:-1 gene:Et_2A_014501 transcript:Et_2A_014501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EEAAGRLPLLGHLLVHPHPPAAGVRRAARLHHELRASAACDAGEPPVVHVPHDLDHGAVAQHHRPVAAEVPLDAARLHHLLPVDADRHLAAVAAADPLLAAARHRDLVLRAVVAEPAPRRVQELLLLLMGPREGAGGRRGERAADLLPPAQQRAARRWGEPPRQLPRRLAAAEEQRQLGVGDDGEVVVARRHRGRRGVLGVRRVPVDGHRRAGLGDVGPAPALLLQKVLRRPRHQQRRRRCRCGGRPARRAHRRHRRQRPGSVVDGHAQPGRRRRRRPGQGAAAGDAPRPRDLDPELLARRQGDPVHARRGGLERPVQRPACPASRAAAGAAAAGAEADGAVAEAGEVAHCLPPPVSWTTSEGQVKTKTHARGGTKDEHTTNRERVEKARRVREQPLAFNDDSAVSAPHHGGEPEDG >Et_8A_056919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18886281:18886529:-1 gene:Et_8A_056919 transcript:Et_8A_056919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPLLMGRFSRAASGFVAKSTSGFHVFRIDGYSLSKTLPGGAFTFHVGFYPNGVDELGNDDGESDHVSLLLLCKLS >Et_1B_011391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21492805:21494420:1 gene:Et_1B_011391 transcript:Et_1B_011391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSVLLASILLTLVTIHVSYLLLKYKQRSHPGVCPPRPPGPAGSPLLGNLIYVIGQLRNNPHLQLASLAKTYGPVMSLRLGLTRTVVFVSSSAAYHEAVVKNDAALAARLVPDNVCALSYSATSMVFLPSSNKLWKQDRVIIGARFSSARGLDSIRPVLEHHACQLSEHFRACYGKPVIIREAVNASVLNIISNILFSKDVVDLSVKGSHRVFKGLIAPVLEEWSKSNVADAFPFLAPLDHLCGSRRRITIHLAKLFRFFDQEIVEDRLRSSENHSDVLDVLLARHAQSKLTRQEIATFLTDMFIAASDTSTVTVQRAMAQLLRHPDKMEKVRGELAACLGSSSFVKETDLEKLPYLQAVVKEILRLHPAVPLIPREVFGDGVTLGGFPVPIGTGVVINLWAIGRDKKVWPEPEAFVPERFLAGSPVHFQGTDDFAYRPFGAGRRVCPGMDYTASSVPLLLASLLHKTEWRLPDGMEPKDVDLSDRYGTVLDMATPLRAVPVSAA >Et_5B_043403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1040506:1041601:-1 gene:Et_5B_043403 transcript:Et_5B_043403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERKKAGAGLHEKLQILRSVTHSQGKVVRLNQEIACEEDTLKQNSIPTVAVETLGQRFLVSVFSDKNCPGLLVSILEAFDDLGLNVLEASASCADAFRFEAIGGENLVVNVDEHVVKQAVLQAMRNCSEGGHEQE >Et_4B_036555.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29500416:29500586:-1 gene:Et_4B_036555 transcript:Et_4B_036555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSYSSKTSVLKAVPFMGRSRQALLTMGTKTSTSLVVKEERACPSPLAPHPFFH >Et_2A_014955.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23248872:23249141:1 gene:Et_2A_014955 transcript:Et_2A_014955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPGHWTLRPLLYEFQGTPAGSRNEVIHINRAHNTTAHSLAQQAIRAQAQPHCNFVCHHLAHGDQCPVLQAFALVNWGTSSPVNVVCR >Et_9B_065870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15955987:15957067:-1 gene:Et_9B_065870 transcript:Et_9B_065870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLLLGVVRLPPVTLPRRALAGSGPGSVKFRSHDQSVRISKMSTATAPRLSVPKSGTSFRTAAPATRTPSFVGYARQAAPGRRLSSRFTVSAAVHKVKLVGPDGTEHEFEAPEDTYILEAAENAGVELPFSCRAGSCSTCAGKMSSGEVDQSEGSFLDESQMGEGYLLTCISYPKADCVIHTHKEEELY >Et_1B_013652.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10881951:10882256:-1 gene:Et_1B_013652 transcript:Et_1B_013652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCCRDGPEGGTGPLGKNPKKWLALPLSNGFPLNGCMPLVAWQQLGASRSRRTSANHHGCANGRRHRSQLLLLLLAWQQPAIYVERRTAAEDGDFDGTCS >Et_5B_045186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11194346:11195935:1 gene:Et_5B_045186 transcript:Et_5B_045186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVKCDGAAILHGDKVWRRHVAPSKSEIRIIVNWLLDTHRDSGVMSTESLYNAGYPRALVLDHHAVICGMAAAIIASNYVEKMAKSLPWNDYEMEGIQALRLIC >Et_2A_014682.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34506673:34507320:-1 gene:Et_2A_014682 transcript:Et_2A_014682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGARRGRPAAACSWPAAWAPATTPPTPGRGPCGTPPRPRPPRGSRSRRCGTAGSAATPPRPCAREGRCSWSASAAAAPRRAPSSTSTPDGGRTCRPGCSLAGRGPPRRRPTAATPSSWWTRSAGRSWPTTGPPTGGGRSWSRTGSRAPPRSRPAEAGCAWPSTAARRWSSSTSRPLRIRSHGTGPPPPPPRRRRGCGTWRRRPGSGSWLCTCSQG >Et_9B_065056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20903410:20904813:1 gene:Et_9B_065056 transcript:Et_9B_065056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMLRVRLASFFAGAAAAAAGGGYFLYKDYKLAHDSMALQVKGLHDSTDARYKALEKRLAALEGQQTTAVAPEVDTPSN >Et_1B_013831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19095613:19099247:-1 gene:Et_1B_013831 transcript:Et_1B_013831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNVENNLDAMPEYDLQYEGAVKDDGRGTTIWDKFAHTFGKIIDFSNADVAVDQYHRFEEDIQLMADMGMDAYRFSIAWSRIFPRIEPYVTLYHWDLPQALEDTYNGWLNKQIINDYAIYVETCFKAFGDRVKHWITFNEPHTVTVQGYDSGLHAPGRCSVLLHLYCKQGSSGTEPYIVAHNIILAHATVSNIYRKNYKAKQNGQLGISFDVIWYEPMSNSTADVEAAKRAQEFQLGWFADPFFFGDYPAVMRSRVGNRLPKFTAKEAALVKGSLDFMGINHYTTFYAKDDKSTVIDILLNNTLADTGAISIPFRNGKPIGDRANSIWLYIVPRSMRSLMNYVKDRYNTPRVYITENGKTNIYSTWMDDANSPFISLKKALKDDKRIKYHNDYLENLAASISEDGCDVHGYFVWSLLDNWEWTAGYTSRFGLYFVDYKDNLKRYPKNSVQWFKNLLAS >Et_1B_013373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7939684:7942940:1 gene:Et_1B_013373 transcript:Et_1B_013373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEEQRRQARRGHPLLRGGGGRKQKEQYTHGFSASQMAALTALCATLVPSLPPPDHQNGHHSPQQGEDDASKNKGADVEDFFRASAGDAPVPDEVAELMSRMCLPEALALVRTVLWLLGTRLGSLALCGGCLSWRFPFVRRFDELPLEQREAALRRWSRQTLLPPLRMFFLITKVFCLYVFYSMVRSSALPHDQPPPIDPSPPSSSTTTRAIRGSALQNKRFCCFFFALINQFLLSCTTRTCSCCAIFFLLFESTCFARVVALQTDENSENPHWRAIGYSPPADEAAPEDEKEEEDTEEERPKKRPLDDGVVETMNETDASLPASLAAKGLTVTDDAARNVCRVECDAVVVGSGSGGGVAAAVLARAGHKVVVIEKGSYFTSRDYTGVEAPSMRQLYESGGFLSSLSGSALILAGSTVGGGTAVNWSACIKTPEEVREEWAGEHGLPLFASPEYGAAMDAVFARLGVTAGCAEEGLQNRVLRKGCEKLGYKVELVARNSSEGHYCGSCGYGCRAGDKRGTDTTWLVDAVRRGAVVLTGCKAEKLLLLDGSGRSRRCVGVAARSTGPGVTRTLEVRAKVTVSACGSLLTPVLLRRSGLRNPHIGKNLRLHPTALVWGYFPPDTTVPDHLEGKAYEGGIITSLHKVEGGARAILETPAVGVAGAATQFPWVSGRDMKERMLRYGRTVHLFSMVRDRGGGSGGAVHGDRRVAYHLDAADREDMRAGLRRALRVLAAAGAAEIGTHRSDGQRFACAGATEAQLEAFLDGVDVVRGPQSRAPAWSLCCTAHQMGSCRMGAAPEDGAVDARGQSWEAEGLYVCDGSVLPGAVGVNPMVTIQSVAYCLANGIVEDLTREKLASEKSC >Et_7A_051492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19444109:19445395:1 gene:Et_7A_051492 transcript:Et_7A_051492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNVPVTEAAGDSMSGLYAYREDSNSPDGANSCSAAVPTASSSPPPPTHPGEGAATKNMVMERDRRRRLNEKLYALRSVVPNITKMDKASILRDAIAYIERLQDEERRMLAEVSALESSAGAVKTEDATAGRRATDDVDSFPSRKKPRRASPDDGATRAAEAPPVQILEVQVSEAGEKVSVVSVRCSRGRDAVAKVCRALEQLRLRVVTATIAAVGDAVVHTMFVQVITNATTGLRSDRTNNNYYPVCLLPRRETTVAYASLSRLISPRARALFRAMASAGVTSLGRQIKMARSRSTTDSVALSTHMEITSAFFFEERKLLLLYLDGLNTSRSTEYA >Et_1A_008699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:140627:144006:1 gene:Et_1A_008699 transcript:Et_1A_008699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSCFFFFVVVLGLLLASASAAAAGGGGGGSDAEALLRLKSSLDRWDRLRWRADTAEKGMCSWWAGVRQCDGEGRRVRKLVLEGLNLTGSLSSAALLLAPLGELRVLSLKSNALTGPIPDALPRNLKVLYLADNLLQGRIPASLANLHRATVIVLSGNRLSGQIPDSLAAVPRLTSLLLDRNLLSGTIPPLQQSTLRLLNVSGNRLSGQIPGSLAARFNASSFLPNAALCGPPLQTPCSSGAATAAFAPLPAPLPRPGRRSRRGKNAAIVAGATVAGALVLAILLAAALMASRRGRNKRVAGEDKDHDKGAAVAAVEIEQAAEPQCPPPAGPPPEFSWEREGGIGKLVFCGGGGTELIMYSLEELLRASAETLGRGEVGSTYKAVMETGFIVTVKRMRDPSAAAGGVGPAEFGRRAEDLGRLRHPNVVPLRAYFQAKEERLLVYDYYPNGSLFSLVHGSRPSSSKGGKPLHWTSCMKIAEDVAAGLLHLHQETVVHGNLKPSNVLLGPDFESCLTDYGLVPDLGSSASSSSSYRAPEVRASRAFTPASDVYSFGVLLLELLTGRTPFQDLMEMHGDDIPSWVRAVREEEKDTDSSASAGGAPEEKLTALIGIAAACVAADPARRPTTAEVLRMVRDARAEAMSSSNSSDRSPARWSDAVLAAPRDQAMATESFTDRD >Et_1B_010511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11112364:11116403:-1 gene:Et_1B_010511 transcript:Et_1B_010511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRLPEPPGDGMMETPEIFVGGGGGGATVVRRAVLIGNGSPGAENQCLGLARALGLADNLALYRVTRPSGGINEWLHFLPISLHKFIDQVLRQFFRNTRFAVVVQGRKPYRVSTGGSVGLSAVLEADVKKIVTVARDTYEKEGPTLVVACGWDTISYSSLIRKLASDNVFVIQIQHPRTRLDRFDLVVTPRHDYYALTASGQQEVPRLFRRWITPQEPPGRNVILTVGALHQADSAALRLAAIAWHDELAPLPKPLLIVNIGGPTGNCKYGVDLARQLITSLYNVLDSCGSVRISFTRGTPRKVADIIFKEFNGHPKIYIWDGEEPNPHMGHLAWADAFVVTADSVSMLSEACSTGKPVYVIGTEHCKWKLSAFHKTLRERGVLRPFTGLEDISNTWSYSPLNDAIEVATRVREVIAERGWTVG >Et_3B_028077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:124890:125326:1 gene:Et_3B_028077 transcript:Et_3B_028077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DDLLDTCPYWLLALIIKYPAEVLANFILLIWRLGMYGKYCCCHPGNITDNKGKQPANTCHNEAVKRKTIADKRWIPPDAGKLKITVDEAFDETLGSAGAGVAIQDDHGNPVLLAWRTLFYCKN >Et_2B_020851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24192521:24195778:1 gene:Et_2B_020851 transcript:Et_2B_020851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YWTNRVWDFGWKGRVKDGSRRRGAPELGPLRAPPSRTPLDARPPPGECLTARGRERLYYFEARDTGRWRARETPRGARGHGSTPWKALAAAVARARRPLSAAPLAGTRTAAWAGTWMGSD >Et_10A_000186.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:16015336:16015512:-1 gene:Et_10A_000186 transcript:Et_10A_000186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPWELAEHIIAVTWLSLAGWVVGCVAFAEDVACMLRSRRDNAVGGAVSRRRPPLMY >Et_1B_010080.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:22863502:22863675:1 gene:Et_1B_010080 transcript:Et_1B_010080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TLVISVAWRLWKKHNKRVFKFQALQLVTLAQEIMDEALLWMAARFNKLCRLFYSTQG >Et_1A_005628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12118006:12122146:-1 gene:Et_1A_005628 transcript:Et_1A_005628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGAGRKVAVAAVQFACSDIESENVTTAERLIREAHKKGANIVLVQELFEGHYFCQAQRLDFFKRAKPYKGNPTIMRMQKLAKELDIVIPVSFFEEANNAHYNSVAIIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKAFKTKFATIGVGICWDQWFPECARAMALQGAEILFYPTAIGSEPQDNNLDSREHWKRVMQGHAGANLVPLVASNRIGKETVETEHGQSTITFYGNSFIAGPTGEIVKLANDKDEEVLVAEFDLDEIKATRHGWGIFRDRRPDLYKVLLTLDVLALGANESLFLHRFGLGEVELEPAVLPARLEELLGRYPHLPQHQAAVRPVRPEVQPRLPAGADHRDRRRERPPAGPAPRRLSIINVTLLPCLDVVLLVDVVVGGEIVKIHVAGALGSEALRADVGVARKEGRSLGGPWQRWRWWRRYRR >Et_9B_064049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10783593:10788535:1 gene:Et_9B_064049 transcript:Et_9B_064049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSEPVAGDGVGDGDMENLPLASDYASVASTFDPLLSASAAAASPPSPPAHPFTLTSTMSSSSSFVDPPSYADVAGAGADAFSPRSLSASSSFASPRSARAATSEYSHIAVSDPETEAEPAATSLVPGSAPTYISYLVTSVRRGDGQRRHAVRRRFRDFVTLADRLAEAFRGHFVPPRPDKNTVESQVMQRDEFVAQRRAALERYLRRLAEHPSIGPSDELRVFLQAEGKLPLPGSTDVASRMLDGATRLPRQLLGEEAVAAPQDVVQPAKGGRDLLRIFKELKQSVVSDWAGVKPPLVEEDREFLEKKEKLQEWEQQLTSASQQAEALVKAQQDMGDTMGALGLAFIKLTKFETEEAMYDSQRIRAADSKCIATAAVKASRACRDLNAQTVKYLDTLHEHLSIMLSVHTAFADRASALLTVQTLMSDLASSQLRIEKLEAAASKIFGGDRARLRKVEELKETIRATEDAKFCALREYERIKENNRSELHRLDRERKEDFLEMLKGFVTSQAAYAEKIAEGWETVTEETSRYARSSDTATS >Et_2B_021769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5249091:5251631:-1 gene:Et_2B_021769 transcript:Et_2B_021769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSFLKVLVSNIDVLAGPLISLAYPLYASVRAIETKNPIDDQQWLTYWVLYSFITLLPFWSYAKLFFNCWLVLPWFNGAAYVYDHFVRPMFVNRQIVNIWYVPRTEKSSKPDDVLSAAERYIEQNGTEAFEKLISKSTKASKSRGTRRSILEEADAERESWGENPFYDKNYRH >Et_1A_006346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2161875:2171908:-1 gene:Et_1A_006346 transcript:Et_1A_006346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEQEAEASCLQSFELYESESRLYILGTNSDKTLWRLLKIDRLEPSELDIDECSTVYTQSEYPDLLKDLDEEHRSSGGVKFVTKFYGIIGFIKFLGPYYMLIITEQKKIGELFGHPVYQVARTSMVELANSKSRSCFQNSRDENRYKKILNTTDLRKDFFFSYSYSIMRNLQKNLSDPQDGWNLYETMFVWNEFMTRRIRNSLRNTLWTVALVYGFFKQDKFSISGKDIMLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVYEAVPGPAEVSSIVQNRGSIPLFWSQETSKLNIKPNIILHEMDNNYEATRLHFENLRARYGDPIIILNLIKTRERRESLLRCEFDKAIKILNKNLSEENHLKFLHWDLHQNSQGSGLDPYICDNNSNSDNTECSDLAGDVSQEEIYGSSDSSGNATAEDKVENTELPPLKAPKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGSVESPDLDLESPLAHHLMCFYERMGDTLAVQYSGSAAHNKIFCAKRGHLKLFIRSQEFFRTLQRHYSNTCIDPNKQAAINLFLGYFQPQQDKPALWELESSSGEHSNELLDDHTSTLKRIKSDGSILHESNMSMSGSGHCQNEPLNAAHSDGIPDFEPDSAYEHEISSACESGGSNLSTELEYDNDSGDSNFFDLAASGNSSDERSIAVSTPDVNLSTVNVIGGINPDTKENQIAEVQAQNLPEHFVQWVTHGDTIWY >Et_4B_039465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17492053:17499360:1 gene:Et_4B_039465 transcript:Et_4B_039465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQSNDGAVTFSSIALLQQRFRELEKIREQREERLLQMLVPRPAATSRETPVKWFFHPELLYPCRPLRDTAAALFPAVPSSATGECKSFQFRGDPLAVDLWPVKAYKHVPNKSAQYTRAEDQYEAHDIQS >Et_4B_039807.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3180195:3181196:1 gene:Et_4B_039807 transcript:Et_4B_039807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSRQARHDLRYCPSPLALPRSQSFPARCPSDIGVHHVVRLTSSTLGSLELDKAAAVPRAPESGADARGGARLAPPRTPTMTPPNEPEAIDAWALMAGLEEDQYHSSPLLAAPFARHSFSFPVAAAAPHGLAKVTPLPIMPAAANGGGDEEKKKAASKPPRRAVLYFTSLRGVRATYEACCLARAILKGYGVRVDERDVSMHRGFRDELNGLLRGGAKCWSEPAAALPSLFVDGELVAGDAEELKRLHEAGELAARLAGCEGEAGACEACGDVRFVLCEVCSGSCKVYVDDDEDGDEKDNDVSDDGGGRFRRCTECNENGIVRCPACCCC >Et_6A_048078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5450918:5453029:1 gene:Et_6A_048078 transcript:Et_6A_048078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMATLACLVVLAAMAGSAWCGGNIVFHIEKSSPNFALSIKGSNKAITKVDVREYGADNFDPLTKSGDSWTISKTFKGPLNIRLIAEGGGRRVQDNVIPQNWKAGTDYPTKLHRVT >Et_7A_051753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24007911:24008887:-1 gene:Et_7A_051753 transcript:Et_7A_051753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCDSEVDAEVVLLGEAADLDPATHTKFFSDGDAAGVELCWPEAEAIVSKVSKEEVLALCRGNAYRPCLAGSLASSTATAAGNRAVCVYAAALEAGMRFPLHGFYVKVLNHYRLAPSPLAPNSWAYLAAFGRLCASSSSQRSSPSAAFLLERTTATSSTPSPTAPGSDSGTFVGAQGSYSQRQSALQLWNTIAAGERDKTGQLQDALRAANAEVAKLRRELQEVKDRNSWLNASLREAKELYKKRSPSERSSMRPSTLSTRGPPERSSPGWRTSTRRSSCSSIRNRRLKSHGSTRTTPSSSSSSMTRQPERFGMPW >Et_6B_050186.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:9502714:9504246:-1 gene:Et_6B_050186 transcript:Et_6B_050186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTATGEAPAQVQIGTGRGDHGGKREHFLIVSGAAQGQITPARRLARLLVARATLAVPLSALRRMFPGRRACACGGKGEEAVSGRDGVGYAAFSDGFDGGFQAATCDGAAVVGRLRLVGPPSLARLAAALGARGAPVSCVVYTLLLPFAADVARGLGVPAYFFWTMPAAALSVYHHYFHARHGLLAAAADAARDNPDRRVEVPGLGFLRARDLPSLLTGPTPYLPAFREMFDVVESANDASKPRVLVNTFDALEAAALASVPGIDLVPLGPMVGGDAEADDDDVGGDLFEQDAAAGYTDWLDAQADASVVYVSFGSIAVLSAAQLEEIRLGLEATRRPFLWVVRRDNRDNGTPAPAPAPERGMVMEWCAQSRVLAHRAVGCFVTHCGWNSTVESLARGVPLVMAPQWSDQPTNARMAEALWGVGVRAEPDAADGTTVRGAELARCVDAVMGEGDEAREIRRRSRQWKARAAEALRQQTDDDGGTIAGHLRRFMRGVCAARTQSDRAWQL >Et_7A_050289.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:19864280:19866746:1 gene:Et_7A_050289 transcript:Et_7A_050289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKKEPLNTSRDRATRMSPNVRPSSSESSGLSYGPRRARSVPSSPDRKFGSSSPSVATSGTTNVNRPSLSSTGRSVSSRTMSTSASSIHGSRAQPFPGSASKPMLARAKSNKISTTSQRPPALAVPPSNSFKDTAKTVSKASPSSLLRNKLSPRPCTDSCKATASPKPSSQRIASPGSARGGRIQPASTASSPGVVAKKRLDGATASSKAKGVSHKAVGAPATRKEKEEDSSMQFKETESLSTPSIEEHLHEQLPDPVDLKSTDVAASDQYEPSSNVLEQQVKTEEEVKGHFSEEKEYVEGNELHNGGQDANSGDKIVDESGHIEKEAVPLPNMTEMAQVWKKDDPKGNDAIEEAKRKLLEERKSRVKALVGAFETVMSFK >Et_4B_037942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2497154:2499823:-1 gene:Et_4B_037942 transcript:Et_4B_037942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLISTPTSSSPPPSQTRIRLSGGSPFLPARRVPAAAGGLAAWPRLRWQSPRAGAVALASPLRHEGLSAAPEDAPKEDTAAAAHPWKLLGTLLPKASTAALFLLITLISGTLHSTILQPAYASVQPAAKTGGSLLTNELLSSGWAGFFAGCLHTLSGPDHLVALAPLSIGRTALEGGLVGALWGCGHDAGQVIFGLLFLLLKERLHIEVFRAWGTRVVGLTLLIIGVMGIREASEVQESLVLEGINSSMTGSEPLQTPSAPRKKKVGFATFATGVVHGLQPDALLIVLPALALPSRLAGAAFLGMFLVGTVFSMGTYTAFVGSCSEALKEKVPRITEKLTWAASLVAIGMGLALLVGQFFGFSLY >Et_5A_042168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:706461:707628:-1 gene:Et_5A_042168 transcript:Et_5A_042168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALCNGVVASPCGGDVGVAGRARGATAALAESVPMGGYSTKSSFPGGRMAVSDKKARPLQRSPEAAPGQMNTLVCKAMRWWEKGVQPNMREIESAQDLADSLLNAGDKLVVVDFFSPGCGGCRALHPKICQLAEQNPDVQFLQVNYETHKSMCYSLHVHVLPFFRFYRGAQGRVSSFSCTNATIKKFKDALAKHGSDQCSLGPARGLDESELVALAENRDLEFTYEKPSPVPIAEAIAMEVAAPGSPWFDLPASATKLLTTQGSESSLLSSGR >Et_1B_012847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35533327:35537033:-1 gene:Et_1B_012847 transcript:Et_1B_012847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLGNTSTCRLKAAAPTAFATKKQGLSLVSPPFVSLPRKNKCSFRVHAAKELHFNKDGSAIRKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENVGAKLVRQAAAKTNDLAGDGTTTSVVLAQGMITEGVKVVAAGANPVQITRGIEKTAKALVGELQKMSKEVEDSELADVAAVSAGNNYEIGNMIAEAMSKVGRQGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMSVEYENCKLLLVDKKINNARDLITILEDAIRGGYPILIVAEDIEQEALATLVVNRLRGALKIAAIKAPGFGERKSQYLDDIATLTGGTVIREEVGLSLDKANNEVLGTAAKVVVTKDSTTIVGDGTTQAEVNKRVAQIKNQIEATEQEYEREKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIIENLDNDEQKVGAEIVRKSLSYPLKLIAKNAGVNGSVVIEKVLANENFRYGYNAATGEYEDLMAAGIIDPTKVVRCCLEHAASVAKTFITSDAVVVDIKEPEQAPAANPMGGAGTYGLTIFAVSQLLLVRKSERF >Et_1A_007230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32357944:32358916:1 gene:Et_1A_007230 transcript:Et_1A_007230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCVRACLDGCLRGLGFILIHQVGFEPFDPRNWRCVSLVLRYLGRFPVMPNPITKKIKAKIP >Et_8A_057772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:712694:713674:-1 gene:Et_8A_057772 transcript:Et_8A_057772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRVPEWLETLLTTRFFLACGAHPASPRNECNMFCLDCRGAPPPAFCYYCRAHRHAGHRVIQIRRSSYHDVVRVSEVEDVLDITGVQTYVINSARVLFLNERPQPRGAGAAAGKAAASPYNCEICGRALLDPFRFCSLGCKLVDTKRSTGHATATGEGAATQAEAAAGGSKKGRRRKGIPHRAPFWS >Et_7A_050630.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:8487688:8487855:1 gene:Et_7A_050630 transcript:Et_7A_050630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNFGWRQDPEHRLLRHHGLRIAPVDACRCKEFVDLASQAERDERGVPVSQAWS >Et_1A_008351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6787087:6793671:-1 gene:Et_1A_008351 transcript:Et_1A_008351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEMEAMAGSIGVSVPVLRFLLCFAATIPTGLLWRAVPSATGRHLYAGLTGAALSYVSFGATSNLLFVIPMAFGYLTMLLCRRHAGLITFLGAFGFLIACHVYYMSGDAWKEGGIDATGALMVLTLKIISCAINYSDGILKEEGLRDAQKKNRLTKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLEWTERKGLWASPIPSPLLPTLRALVQAGICMGLYLYLSPKFPLSRFNEPLYYEWGFWHRLFYQYMSGFTARWKYYFIWSISEAAIIISGLGFSGWSESTPPKPKWDRAKNVDVLGVELARSAVQLPLVWNIQVSTWLRYYVYERLIQKGKKPGFLQLLGTQTVSAIWHGLYPGYMIFFVQSALMINGSRVIYRWQQAASNSVLRSILAFLNFAYTLLVLNYSCIGFQVLSFKETLASYQSVYYVGTIIPIVCLVLGSVIKPARPVKPKTRKAE >Et_5A_042163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6924094:6928094:-1 gene:Et_5A_042163 transcript:Et_5A_042163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFLFLYATLLPVATAAPFDGLTQGDVAKRLKDELWETNPGHQMLKSWNGDPCSPPSWEGFTCGSKDGALVILKLNFSSKNLQGTIPVAIGNLTELNELDLQDNNFTGSIPASFSALKHLLKLSVNCNPFLSNKKPDGLSTGVDFSYGGCAAEEYQRPPGAASQRIFVIGGVAGGSVACTLALGLFFVCFNKREKRPSKTECSSTTNPIFQDGTIHKTTNPAVQQLSLKSIQNATGNFKTLIGEGGFGAVYRGTLAHGQEVAVKVRSSTSTQGTREFNNELRLLSAVRHENLVPLIGYCCEKDQQILVYPFMSNGSLQDRLYGEASKRKVLDWPTRLSICIGAARGLVHLHNFAGRCIIHRDVKSSNILLDHSMCGKVADFGFSKYAPQEGDSNASMEVRGTAGYLDPEYYSTQVLSTRSDVFSFGVVLLEIVTGREPLDVQRPRAAWSLVEWAKPYIREYKIEEIVDPGIKGQYCSEAMWRVLEVASVCTEPFSTFRPSMEDVLRELEDALIIENNASEYMRSIESTGTLGSNRYLSMDRKMFASGSARIDATTGNLQTMPSLPR >Et_1A_005368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:97862:100221:1 gene:Et_1A_005368 transcript:Et_1A_005368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLVVVLLAAAFVLPSGASAPPPPPCSRSCAALNCDSVGIRYGKYCGVGWSGCDGEEPCDDLDACCRDHDRCVDKKGLMSVKCHEKFKNCMRKVKKAAKVGFSNKCPYEMAMATMTQGMDMAIMLSQLGSYQHCLIEEEHGHGFSTIGTLEFNSAEQAPSSGSSKISLTSSSPWSNAWPPLILNMGSEEVGRPNGDDDRHRTSSNKF >Et_3B_028200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11689668:11690647:1 gene:Et_3B_028200 transcript:Et_3B_028200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSWLELLLVTQFFSTCTNHLRSSRNECNLFCIDCEEQPVAFCYYCKSRHHSTHRVIQIRRSSYHDVVRVSEVEDVLDISNVQTYVINGAKVVFLNERPQLRGCGASIGKALSSSSHKCETCCRALLDEFRFCSLGCNLRGMRKDMEMPNLCDNGHVHAKTEDETGSESTVSSAKSKKENLDDNNEEEPPAKRVAHRHRRKGIPQRAPFF >Et_2B_019406.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27863290:27863409:-1 gene:Et_2B_019406 transcript:Et_2B_019406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVSSVISGNHCQRSNGEVLFWSSLASLQIYLKWKRK >Et_1B_010602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1258112:1261528:-1 gene:Et_1B_010602 transcript:Et_1B_010602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMASPRGRSIRETVLETVAAYHQQQRMRRKLRKSLSYAGELSSAGRARGEGVSSSGSATSLYGPEEDDEPFWEEEEGTVELVQLGANRAKNVLILMSDTGGGHRASAEAIKDAFRIEFGDEYRVFVKDLCKDHAGWPLNNMESSYKFMVKHVQLWKVAFHSTSPRWVHCFYLAALASVYAKKVEAGLKKYKPDIIISVHPLMQHIPLWVLKWQGLQNRVVFVTVITDLNTCHPTWFHAGVNRCYCPSEEVAKRAALDDLKPSQIRVFGLPIRPSFCRAVLVKDDLRKELELDPELPAVLLMGGGEGMGPVKKTAKALGESLFDKELGKPIGQLIVICGRNKTLSSSLQALDWKIPVKIRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKSPTESAKLVARWFGPDSDELKRMSENALKLAQPEAVFDIVRDIHELSQEQGVLSQISGSLTSSFYIPSPEATPIQLI >Et_3B_029289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23718078:23722561:-1 gene:Et_3B_029289 transcript:Et_3B_029289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAADSSGGDPYRSHLSGEGEKDTVWRHGAPPTYDAVNALFEAGRTQEWPSGSLEETVQNAIKTWEMELSHKARLSDFKSVSPGRFSLSVNGGPPRTGEETLAMGSYNALLASPVLASSGAYDAAAETFESSHDLFRSAFPRGFAWEVLRVYSGPPVIVFKFRHWGHMEGPYKGHAPTGDKVEFHGVAVLKQWRSYRVDEQLRAEHVEVYYDPGELLGGLLKGPKVASSEEERGEVALAERLREAATVSASGADRPPQGCPFLSPGKQE >Et_3B_030783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7366245:7367611:1 gene:Et_3B_030783 transcript:Et_3B_030783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GVSQPAIQQFMNYSSGLGGAPDAYGDSTTSRVAADPDTHQDFQPTSKSSGMSLSDIVAQDVKENPVMIYMKGHPDAPRCGFSALAVKVLQQYDVSITSRDILTNLKLKESVKAHTNWPTFPQIFIKGEFKGELKDLLGDIVQKGGRNDAS >Et_3B_029401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24716217:24720077:1 gene:Et_3B_029401 transcript:Et_3B_029401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWCFFPPLPPHSLLSSSRSQLTGPFPASVVSQDFKGFWESRFGGKKEPEQNGHADAGANGSAPKRTADLAVYEQFEQQARQTETRAAAIRDGNADVVQKPLLPAFETAEMRNLAETLLRDIIRGSPDVKWESIKGLETAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECNTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTNDLVFVLAATNLPWELDAAMLRRLEKRILVPLPEAEARQAMFEELLPSVTSKLEVPYDILVEKTEGYSGSDIRLVCKEAAMQPLRRLMSVLEASDDVVPEEELPEVGPLKPEDIELALRNTRPSAHLHAHRYEKFNQDYGSQILCSEQA >Et_5A_041295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20793927:20796772:1 gene:Et_5A_041295 transcript:Et_5A_041295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGYVLWRTASWKGKNAVLELDVFLVACTMFRLITTSCARAPLVVAAVLLLLAVCISTTAAEVGEGGGATRTMHHLHFYMHETSAETVVQVANGTGAPVVSRGGFRARFGDTAVMDDPLTEGLSPRSRQLGRAQGMYATARRRWRSPWSYGGGYDGGLFESGSTVAVVGRNEVTAPVRELAVVGGTGSFRMATGYALLKTVSWKGVTAVLELDVFVRAA >Et_2B_022683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30193994:30195174:-1 gene:Et_2B_022683 transcript:Et_2B_022683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPATGVSLPAATLLLIAHPPTRAACSSAQLRSMASAALHAQLTQPRRAVCRLRPAGVAAKKALPRLVANKNSVAVTCSGVEGGVEALPAAEAVPRSVPVRVAYELQQAGHRYLDVRTEGEFSAGHPEGAVNIPYMYKNGSGMTKNTHFVEQVSRVFGKDDEIIVGFNSVTDIAGGFSSWRDNGLPVNQ >Et_5A_041814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3052492:3053791:-1 gene:Et_5A_041814 transcript:Et_5A_041814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRATGQKKKLRRGLWSPEEDDKLMNHIAKYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIHLHSMLGNKWSQIAAQLPGRTDNEVKNFWNSYIKKKLRQRGIDPATHKPLAEVISNGSSASASASAAASSRSAVFIDAELILSSAAGQHMPPPPSVTAAESYMYSSDGVASDASLSLSGYLDPDALQCGGVVVLPSVSSSSTLNSMAGLSPAATTDNNSNGNGFESTPSCSAAADQHQLPWLELGTTTTSGCGAAALDQYGAAMDELKWSDYVFDPYGSQYQQGIVPPGQCIYGDSKDAAAHGSWCLN >Et_5A_041353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21699545:21702800:-1 gene:Et_5A_041353 transcript:Et_5A_041353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETALSMARAILGSAISKAASAAAQEMSLLMGVWAEQVRSLSYDIEDCFDEFMVHVASQSLTKQLMKLKDRHRIAVQIRNLKSRVEEVSGRNTRYNLIKTDTTSIDEAQSSMEDVRYHSAKNIDEADLVGFSAPKLILLDKINAHHSDGQAQVICVVGMGGLGKTTLVRKIYESKESIENKFQHRAWITVSQSFSRLEMLKDMIRKLFSNDHLKTLLDSLDGKATVQVQVEHLGKYLIEELKEKRYFVVLDDVWTIDAWIGIRDICLPSVNNGGSRIVVTTRDASVAESCTTKSGSKPFILELKPLQKEDSIDLLLSKLRKSKQDMEKDEGLKTIVTKLVTKCGGLPLAIVTIGAMFATKHESEWSNLYTQLPSELENNLNLQAIRSMVSLSYDHLPSYLKPCFLYLSIFPEDFEIRRRRLVDRWIAEGLVRARVGMTSEEVGKNYFNELISRSMVQPSRVNLGGVVKSCRVHDIMRDIIVTLSREEGFVYASADTVPSLPEENFRHVACHGSKCSTVGMDWSRVRSLTFFFERPIEHPSPLCSPQLRMLRVLDLGSIDFGVTQKELNNIGLLRHLKYIKINYDRGYAYIYALPRSIGKLQGLQVLDIRDCYISTLPTEICKLQSLRILCCRSRGGYPYFDRDEPTKCLINSLCLPMLFTPLVDYDERSEKIAELHMAYSKCWSGTSSVKVPIGIGKLKELQTIETVDIKRTSDKAIRELGALSKLRKLSVSTKGATKKKCMTLCKAIQKLSSLVSLRIDADYNRTVEWLDYVSSPPLLRTLRLDGGIGDKVDWFRNLTQLMKLSLSCSELEEGKAMEILGALPHLMLLELRWSAYAGKQLVFRPHAFLNLRKLYIVDASELREIRFEQDASPLMESIAIAYCTLESGINGIRYLPNLKEITLGYRSEVARLGMLEEEVRSHPNKPVLRLRGDRI >Et_9A_063477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3563538:3579537:1 gene:Et_9A_063477 transcript:Et_9A_063477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTKAAPPLTSLSGIPSLPRSTVLPPAWTMMGPICTPRPLVNIRHPAEQSTTAIMASLLPPPLEL >Et_9A_062063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19397088:19399127:-1 gene:Et_9A_062063 transcript:Et_9A_062063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YRSPAPPPQPPPIRSHGEHRVSPQARSSSPSPSRSTFKRRNGGRNKHGRGHVRYIRCSNCAKCCPKDKAIKRFQVRNIVEQAAIRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSREKRRDRAPPERFRRRVRCMFCYDLALSYFSMRICYLCVLIWNCMPAPMAITIAYEMKRRIGPLAPALVVLVLPVLLLRRLRHQTLLA >Et_5B_045340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18927952:18929928:1 gene:Et_5B_045340 transcript:Et_5B_045340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQPPQHGKVAPFDAVEAGFGDRPDPDLDDDGREKCTGTLVTASAHIITAVIGSGVLSLAWAVAQLGWLAGPLVLAAFAVITWFCSCLLADCYRGPDRRRSYSYGQAVKAYLGLTSLNAGDSTYRLCAATQYINLFGACIGYTITTAISLGAIKRSNCFHRHGHGAVCKAGTKTSMMAFAGAQIVLSQLPSFHKIWWLSIVAAAMSFTYSSIGLGLSIAKVASDGVHGARTSLTGTTVGVDLSGSEKVWKTFQSLGDIAFAYTYSNVLVEIQDTLRPSPPENVVMKKASFIGVSTTTAFYMLCGVLGYAAFGNDAPGNFLTGFGFYDPFWLIDLGNACVALHLVGAYQVYCQPIYQFVEGWARARWPGSAFLAAELAVVNGVVTVSPFRLAWRTAYVVLTTLIAMAFPFFNDFLGLIGAMAFWPLTVYFPVQMYMVQAKTRRFSPRWAWMNVLSFACFVVSLLAAVGAIKGLITDVKGYKLFKFS >Et_7B_055483.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:11572403:11574847:-1 gene:Et_7B_055483 transcript:Et_7B_055483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVLALLLFLHLQIIGLSAATDTVSPVQPLVGTDRLVSSNGKFALGFFQASSSSDSSSPNAASSGFVYLGIWFYQVPKIAPVWVANRDDPLTEPTAWRLAISGDGNLVIASLTVNSTVWSTRANTKTTDTVAVLLNSGNLVLREASNSSNVLWQSFDHPTDTIISGGKIGRNKVTGVNSRLVSRKNLISQATGEYYAGFDPDGSHQLVIGPLNKPSTPYWYSGEWKGNYFSSLPEMRSSDMLNSTYVNDDEEEYYMFTVQDETLILRHVLDISGQAKTLIWNSGSQDWIDDYSKPNAPCDAFANCGAFTNCDDYALASCSCMKGFSIRSPEDWELADRTGGCVRKTPLDCRTSTTNKITVSSTDKFYSIPCVRLPQNAHRIGPDTSEIECAQACLSNCSCTAYSYGNTGCLIWHNELINVKQQQCSSSNNASGETLNVRIAAAELQVEQQKKGKGTGVVIGASLGVLGFSALILMLVLLIRSKMVWSGRRLNNTETGDATITAFRYVDLQSATKNFSEKIGRGSFGCVFKGVLANSTTIAVKRLDSAHQGEKQFRAEVSSVGTIQHINLVKLIGFCCERGKRLLVYEYMPNGSLDVHLFRSNNAIILGWSVRYQIVLGIARGLAYLHGSCRDCIIHCDIKPENILLDVSFVPKIADFGLAKFLGRDFSRVLTTIRGTIGYLAPEWISGTEITSKVDVYSYGMVLFEIISGRRNSTEECTGGANDLVYFPVQVARKLVEGDLGSLVDRRLHDDVNCDQVVRACKVACWCVQDNESDRPTMSEVVQALEGLAELKMPPMPRLLHAIAGGLQSTSF >Et_4A_034475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3607030:3611480:1 gene:Et_4A_034475 transcript:Et_4A_034475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYHQATSLEEVRTLWIGDLQYWTDENYLYGCFAHTGEVQSVKIIRNKLTSLPEGYGFIEFISHEAAERVLQTYNGTQMPGTEHTFRLNWASFSGGERRPDAGPDHSIFVGDLAPDVTDYLLQETFRVNYPSVRGAKVVTDPNTGRSKGYGFVKFADENEKNRAMSEMNGQYCSTRPMRISAAIPKKSTGSQLPYSGAKAMYPATAYAAPQLQTVQPDSDLTNTTIFIGNLDSNVTEDELRQICVQFGELIYVKIPVGKGCGFVQYASRASAEEAVQRLHGTMIGQQAVRLSWGRSPASKQDPSAAWNQQADPNQWASSYYGYGYDAYGYAQDPSYAYSAYAGYSQYPQLVEGAGDMTAGGHPGMEQKEVYNPMNIPDVEKLNASYMAVHGRAMLGRSLWLKTNPLPQPS >Et_7A_052940.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:328683:329327:-1 gene:Et_7A_052940 transcript:Et_7A_052940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGASKQQHSQVDVENNHHHLGVTAAGIIVSDDDDLGQRANWLRAAVLGANDGLVSTASLMLGVGAVKADVRAMVISGFAGLLAGACSMAIGEFVSVCSQRDVELAQLHRDGKRGGDEEKNLPSPVQAAAASALAFSVGALIPLLAAGFIADYRVRVAVVIAAATATLAVFGCVGAVLGRAPVARSCARVVVGGWAAMSVTFGLMRLFRATGI >Et_3A_026858.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24013948:24015327:-1 gene:Et_3A_026858 transcript:Et_3A_026858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVRAHEVKHRRHQDGRCTADGDGGASLAEAYLFGDVLESVVGRVPAPDLANAARVSREWLRAVRAALRRRPRRLPWLVVHLQGRGARGRSASAYDPNSGAWLTVPAVPRHATPSHVRLVRGARGDRVCALSLSGLAVAGDPLGAAACVDLDAPAVWRVDPVFAAVGDRVVALGGACRLALEEGEDFAAVEVHEGGGWTACEPMPDALRDSAAASWLSAAATERRVYLVERTTGWASWFDPASRQWGSTRRLGLDTAVSNWGVAPGRAGAGADERLVLFGAKRADKEAECAVVIQAWEVDGDTLEPIPSASDDAMPSELSEKLFPRDDEDEEEDDGWDDTTLSIGVCGNSAGGYVYNAAEPANGAVLYELREGKATSGSAAAVARWEWVPCAPAVRAEPLGRAILGCSPVGLDELGAGSWVGGPAAGVYRRRTLKILDQSTDADGNLQAKMWSKNVF >Et_3A_024323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19547899:19549356:1 gene:Et_3A_024323 transcript:Et_3A_024323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAALLLLLLAAASNAAERVEYHSFVATPLSPEAYTAPAAGAEDEDVFGGSLAAAEDEDASSTTAQFRVVHRDAFAVAYGDGSVTSGDFATETLTFAGGARVARVALGCGHDNEGLFVAAAGLLGLGRGSLSFPTQISRRYGRSFSYCLVDRTSSASSGSTATRSSTVTFGSGAVGASAASFTPMVRNPLMETFYYVQLVGVSVGGARVPGVLASDLRLDPSTGRGGVIVDSGTSVTRLARPAYSALRDAFRGAAAGLRLSPGGFSLFDTCYDLAGQKVVKVPTVSMHFAGGGEAALPPENYLIPVDTKGTFCFAFAGTDGGVSIIGNIQQQGFRVVFDGDGQRVGFAPKGC >Et_10B_004492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9384363:9390510:-1 gene:Et_10B_004492 transcript:Et_10B_004492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQKVYMVLVVELVSYPTVCGRERVTSNRRPTLIANALPKLEIFASYSVGVDLARCRERGVRVTNTPGVLTDDVADLAVVLAVSVLRRIPQADRYVRAGQWAAKGDYSLTTQVSSQSHQLGSSLYIVLLSSSFATWGDKISVHRQKSWHHWLGRIGLAVAKRVEAFDCPVNYHQRTKQAYPNYTYYPSVVELAANSDILVVTCPLNEQTRHIVNREVIDALGPKGVLINIGRGPHVDEPELVSAVVEGRLGGAGLDVYEDEPNVPRELFALDNVVLVPHVGSGTHETRKAMVDLVLANLEAHVLKKPLLTPVNHDVTKLVGDKIAIVTNNTYIVTNYLDIGFDGNAGYDRAGWHLLIQRLKKAKSTQGRLWVMARSATV >Et_5B_044158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20939072:20940639:1 gene:Et_5B_044158 transcript:Et_5B_044158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTTPLAGSGGTRRAPDSRDNEREIGGAAGGWGVRGESEINSQTTSYLANTESHIRKLKLQLDSTPPSQIMNPCRASSKCPCHLQGNNTVDNITFDSLKEIEILSFNGSMKQEEFILLLLSRCNAEILKRVDITAYFYRVPSSNKSVCRRIRRKCSKNTKVEFHVYALGGRILFHV >Et_8B_059107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1468367:1472568:-1 gene:Et_8B_059107 transcript:Et_8B_059107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMRFRPQLIFSSLSRSSKPRTSPPPAATLAAAAARRRHFTTTSVSMDAPSEDVLRRALAERQAAVDAQAEAVRALKAGGGASKAEVDASVEALKALKVEAGAAARRLQQSVGAGPGGAAREEMRQAVVNTLERKLFYIPSFKIYRGVAGLYDYGPPGCRVKANVLSFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVEKFTDLMVKDGKTGTCYRADHLLKDFCKEKLEKDLNLPQEKADEFKRVLALLDDFSAEELGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPTGLSVGYMRPETAQGIFVNFKDLYYYNGQKLPFAAAQIGQAFRNEEKSGVPLVAHEKFSKPREVEKLVIVPSKKDLGLAFKGNQKMVVEALEAMSEKEAMEMKAALESKGETNFKVCTLGKDVVITKKMVSISMEKKMEHQRVFTPSVIEPSFGIGRIIYCLFEHSFYTRPSKSEEEQLNVFRFPPLVAPIKCTVFPLVKNQEFDDAAKVIAKALTTAGISHIIDTTAISIGRRYARTDEIGVPFAVTVDSPTSVTIRERDSKEQIRVGIDEIASVVKQLTEGQSTWADVSKTYPAHVGPQGDQE >Et_8A_057594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4878478:4884017:-1 gene:Et_8A_057594 transcript:Et_8A_057594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKDTPLLQLRGEGATTRCSSVRQAAVEWWVESKKLWRIVGPAIFQRIALYGINVVSQAFIGHLGDLELAAFSIAATVVAGFNFGFLLGMASALETLCGQAFGAKKYHMLGVYLQRSWIALLLFATALTPTYILMEDLLLAIGQSPDLARLAGKMSVWLIPQHFAVAMLVPLTRYLQSQLKNWVTAVTAGFTLIIHVVATYLLVHHFHLGIVGAVVAADLSWWLVVLGQFLYVIGGGCPLTWKGFSTEAFADFWEFIKLSSASGVMLCLENWYYRVLVLLTGYLKNAEIAVDALSICVRVANELGAGSGKGARFAIVVSVTTSVVIGLVFWCLILYFNDHFAFIFTSSKVVLDAVHSLSVLLAFTVLLNSVQPGWPSVQDGKRWWRTYYLVGVPLGALLGWPLRFGVAGIWSGLIGGTAVQTLVLAYLTARCDWDEEAKKASTRMRVWASSK >Et_3B_028158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11008653:11011163:-1 gene:Et_3B_028158 transcript:Et_3B_028158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVVGALSGMVDALPGKLGELLEQEYALLSGVRVDVVFLQNELTSMRAAIRHCETLDHPDSQTVTWVGRVRELAYDIEDWVDLFGIRVDGGAGPGAAGAPASTLSRFFCWIRRGAKKLATMPDRHVIANELKDLRERVVELSEQRRRYACALPVPPASRPVDPRLAALFVDPSSLVGIDKPVEEVSKMVMETGSKTGLRIVSIVGMAGAGKTTLANVVYKRLSEKCFDCHAFLSVGQKPESINKVFSDMLWKLGGKHRGIEDTNQLIGQLRDLLVEKRYLIVVDDLWGTDHWSAMKCCWPENNLGSRIIVTTRNATLAKECSSGSDKRIHKISFLSDEDTKNLFLQKAFGNGHACPKHLEDVFDQTVARCGGLPLAVINRAGMLAHKQSRDDWERFGLSSLSISHSDGVKQILNLSYNDLQPQLRTCLLYLSIVAENFEVDTERLMRRWIAEGFISGARGVSTEVTARSYLGELISKNLVQPLHLNHEHFPRTCRVHPVIYDFIVCKSKEENFATLVDAQQQYVPNNNSTIRRLSLKNSSKQAESAVRNEHMDLSHARSITIIGNTGATATPLLTELKVVRVLDLEGCNGPVCLDGLCKLLLLRYLSLGSDINELPATIGELKCLETLDVKSTKVKELPSSIVKLEKLTHLLAGSAKLPGRIAEIRALQMLSCSANALEQLQELTNVRKLELFCDETEMAGDERRVTFPGDGFKGVKWLCIRGSSPSVTFEASALPDVQVLELRFEKGLAKKSSSISGIEHLSSLKNVNVEFSEDDADAMATVEAMRKATANHPEVTVMINGKEE >Et_9B_063882.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19206257:19206499:-1 gene:Et_9B_063882 transcript:Et_9B_063882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRRLPRSPTAADAAAMTAGPKRKRTAMLATAAEVPQAMMFGCIAMNSPGYKKNYMVGEHALKCWTKQNFNLISEGRT >Et_1B_011124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17874807:17882059:1 gene:Et_1B_011124 transcript:Et_1B_011124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAASRTRHTTVLSLAMPFLLPTATATAPCPSPPSFSPSARPRLPRRPSPPRPAEERHDVAGAAAVAAEVTHLTQRDAAEIDEQLMGPLGFSVDQLMELAGLSVAAAVAEVYKLSEHTRVLIICGPGNNGGDGLVAARHLYHFGYRPSICYPKRTPKPLYSGLVTQLESLAIPFLAVEDLPEDLSREFDIIVEAMFGFSFHGTPRPPFDDLIQRLVSLSAGSSEKRPAIVSVDIPSGWHVEEGDVDGGGIKPDMLVSLTAPKLCAKKFTGPHHFLGGRFVPPPILSKYGLQLPPYPGTSMCVRIGKAPTVDISSLRENYISPELLENQVMPDPFDQFIRWFDEAVSAGLREPNAMALTTVSKEGKPYTNYGSHKARDLSENPNAALLFHWNEMNRQVRVEGSVQKVSEEESEKYFHSRPRGSQLGAIVSKQSSVIAGREVLQQAYKELEQKYSDGSLIPKPVYWGGYRLKPTLFEFWQGQPSRLHDRLQYSLHEVDGSKTWHIERLAP >Et_2A_018499.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:30138109:30138804:1 gene:Et_2A_018499 transcript:Et_2A_018499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELEVSEFAVIRPIRTAVSSAGSATPTRPSREDGKDAADTGCVTPRAVSPSPLQGVVDVDAGCVTPKAASPSPLPGGFDVDAGCVVTPTALSPSPRQGVVDDAGCVTPRAMSSVPLLFADAEDSTDGCITPASAMNPTLRRGVFVLDVDCVTPTSAASILRPSMECPPAPRKPARSPPANKRKLCDGRAALKRCFFPVPRDLTKVFVPRGPADSSPPQAAKKIRIHPVG >Et_3A_024310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19326739:19327926:-1 gene:Et_3A_024310 transcript:Et_3A_024310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCDASKARFLVVNPPDLVAFLPGSDDGPIVIAQSTAGLLLCYIYNGDNPTRFYVCNPVTRQCAALPELPPLPSNYWCGILTVSGSGKSFQVVVVTSTVQDQMVFYSDTGLWEARQVLLPADFYDYYSLDAPPILGQSGASYWIERDEDKAVVYYTTPRLPPPTTPSKSSTSRRVSPIAARTPHGGRLGLRYAHADAWVFEVWESGTIGFEWERRPQVGIAELMELNPEAAAAFLRKRNEKQEIFVCERARIFRVIKSFGFFLLFQGLNYVNFDRVYLLRTE >Et_7B_054501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22033856:22035479:-1 gene:Et_7B_054501 transcript:Et_7B_054501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHLFNLCFKQSILQTGTDTSSGTIEWGMALLLNHPAAMAKLRAEIDDVVGKARLVEETDLPNLPYLQCVITETLRLHPIAPLMAPHESSADCTVAGYDVPAGTMLLVNVHATHRDVAVWGEDAAVFSPERFEGGKSDGKWMLPFGMGRRRCPGEGLAGKVVGLALGTLVQCFEWRRVGDAEVDMTEGSGISMPKAVPLEALYWPRPEMVPALSAIRETKQKELNSVRKRKL >Et_8B_060794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7750155:7751425:1 gene:Et_8B_060794 transcript:Et_8B_060794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKMCEEEYSIEKCVDTTDGMEELTDEDKAIASEVFEDDKNREMFMKHKNHNVRLIWLRRKIRRLAVSRASQMQSGNHHPRGRAHPGARVCRDFLAGERSPGFCSPVFRKEA >Et_9B_065346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4061741:4064654:1 gene:Et_9B_065346 transcript:Et_9B_065346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAFTVKGSLKLAARRGTSNQSCGSCKSSVSYPFSQGWSRDFSSVAIRHVASHCQATSARWRSSLKASSTDAGIASDEKITVLVIGGGGREHALCYALKHSPSCSSVLCAPGNAGISQSGDATCISDLDISDSNAVISFCRKRGVGMVVVGPEAPLVAGLSNDLVKAGIPSFGPSSEAAALEGSKDFMKKLCDKYNIPTAKYCTFMDAAEAKQYVQQQGAPIVVKADGLAAGKGVVVAMTLDEAFEAIDSMLVQGSFGSAGSQVIIEEFLEGEEASFFALVDGENALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEEMKDIVMESIIIPTVKGMAAEGCKFVGVLYAGLMIEKKSGMPKLIEYNVRFGDPECQVLMMRLESDLAQVLLSACRGELGNVSLTWSPEMTMVVVMASQGYPGSYKKGTVIRNLDKAEQVSPGVKIFHAGTALDEDGNLVAVGGRVLGVTAKGKDIEEARARAYAAVDAVDWPEGFVRRDIGWRALRQKQMAN >Et_1B_012478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32307001:32310745:-1 gene:Et_1B_012478 transcript:Et_1B_012478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERPLPGTKRARPSPPRAQEDAADCAEDRLSALDDATLHAILVRLPLRDAAATTVLSRRWPRVFATLPRLLLHSATFNRRGFPDEGDEDYCEDSRRWIDALDSVLDGRAAPVAAFELEWFRDVFRELCESGGLLELSIDNTKYNECYALPNPVYSCTTLTSLDLYNWRLRVPGKLTGLRAVRSLWLRNVVATDADLRRMISRCSAMEHLEIEDVHKARNVVIRAPCLQKLEISSYRPLCISVKKAPRLGTVELSLFYESDCWSIHDTMNSDQDYSLSDIQEMCNYGEMAMREYKRADEIGMLVTFLGGIGCTKKLRLSMCTTYSKGGVHDDWKSPPLAAEFWEERINGDCVLNHLSSITIYIDSLFERYPGLGLCQFLVMNARALKRMSIHYYRSQVKPEQVAMVEAVRNEVQLWPRANPNVLLQLSPLHDAHLAGAIQEPGRLTGLRSVGSLRLGSVVAVDADLRCLISRCSAMEHLEIRDVHKARNIVLHAPRLQNLVPPALHLGKQVRGQQRAPRSSTPPLSEHTQSHGHHNTSSEASYGNLEYHP >Et_4A_032227.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25160910:25161113:1 gene:Et_4A_032227 transcript:Et_4A_032227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACTLLLVLALVAAGAHAARMGPRGGLVARGAARRSLLSSQPGASCCTHDGNTGGGSCCPQTKTHP >Et_1B_011839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26440595:26442564:-1 gene:Et_1B_011839 transcript:Et_1B_011839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKELVVQRNGPVDIREIAAKATLREVRQNGHTYVELRRAGKRVIFFCTICLTECFSDNVLFDHLKGNLHARRYAEAKVTLFGPMPWPFNDGVLFFNNSREKDQLLLESSLQNTRELALVPVSESAGNDTEVTSKLKNGLCSHNGMEGARGVAKGCSKGRNSALSDVHVMSNHSATDGPLVIPGVLLQDVVTNLPVHLLGYGNIAYRICEASQSSRKISKIWCAWVGQESDRPNTYEQSGFAIVNFSYTYDLGRKLSSDDQDLPISAGSFFVIDDAGHRGKRRKKSFSDQEASSEESNGQNSSAHGNSQAIVTGSPTGTSGNLEVSLVSSKSVRRELRKQKRIAAEKVCDICGRPMLPGKDVATLLNCNTGNLACSSRNSSGAYHLFHTSCLLHWTILCQYEILTDQLASKGKSNRGRKAKNAPKKSKLTSILCPECQGTGIHVEGDELEKPTISLSEMFRFKLKAIEAHKAWLRSPEVLESCSTGLHFPSEHLLNSEVAHTES >Et_1A_008352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6796692:6799124:-1 gene:Et_1A_008352 transcript:Et_1A_008352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSSILGADGEWGETSLGDMPESCVAAVLLYLDPPEICQVARLNRAFRGAASADCVWAGKLPANYRYLAALAAAADDEGRGDGDANGKRFSLAATKKEIYARLCRPTPFDAGRKEFWIEKNKGGLCLCISSKAMTITGIDDRRYWSHLATEDSRFHSVAYLQQIWWLEVDGELEFCFPAGSYSVFFRLHMGRPYRRMGRRLCGTEHVHGWDVAPTRFQLSTSDEQQASSEYHLQEQGGWKLYHVGDFTVSNCDELIKLKFSMMQIDCTHTKGGLCVDSVFVYPKGYTHEKANIVCM >Et_9A_062967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7624743:7626801:1 gene:Et_9A_062967 transcript:Et_9A_062967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFTTQTILLVCSVPGMAATGTVKWFNDEKGFGFITPDDGGQDLFVHFKAILDDGFKSLKEGQKVEFVVEQGLKGSQAANVRVIG >Et_3A_024394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20383379:20409033:1 gene:Et_3A_024394 transcript:Et_3A_024394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKGKCPCSAFQPNATSSKNGTPLNAALFHRSLKCMTLLIKAGADVNGKMSSLVLATDQGGCTDLIPFLLNAGADPNLADDVCYRFPTHSGNLPIELAASRDCREEVEMLFPFTSPIPNISNWSIDGVISHAKLKNAKIKESREMVKSRADKAFRQKEYGMASELYGLVIDYEPATIYSNRSLCKLHMGDGQGALSDAYRCRMMRPDWAKACYRQAAAHMLLKVNALSSRPPWTATSTASKSLSKGKDNRAPVFPLKNGGSEVLQTAACMGHLEICKYLVEELGADVNVIAGEGATEGPSLTHPFGNPPPGVMYIITFLLILGVTALMASAQPGDISTVKYFVEHGGDLMKTDAKGRTVLHHSCKVTEFLLSKGIPVDIDYGYGTPLYHASINEQDKTVKILLDHHANAGADVNGKGSLMSPLLFATGQGGYTKFIPLLLKAGADPNRPDDLGQLPIELAASRGCREEVEMLFPLTSPIPSVRNWSVDGVISHAQLERAKPMTETQIKERKAMLKSYADQAFRRKEYEVASKLFSLVIDHKPDATAYSNRSLCKLLMGDGEGALSDAYQCRMMRPNWAKACYRQAAAHMLLKDYKQACDALLDAQKMDPGNDDIERELSKAMELMKISSEACPSDFTMRWSQQSSDQLDDSLELDTLRVKDVETRKDAYRNLLVQRLHLPHRRFGVVKVAAAKFGATTLATKKQKQN >Et_5A_042566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20526889:20531121:-1 gene:Et_5A_042566 transcript:Et_5A_042566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSRTRSSPVSSSQVVVSLSEKKRVVVSSLLKSPIHSRSSSSSDEPTVGGRPQPAPGVPQQEFLAEELESVHAALRKVANVPWDQIDEPVKIWARQVRESSYDMEDVLDTFLVRVDSIKPTDPSKLKRAVEKMTNLFSKGKSRRDIAVAIEDIKKQLQIVAERRARYKVDDIVARPVEAVDPRLASMYTKVTELVGIGKALGDIISMLKTTKNVSIVGIGGLGKTTLAKASYDELSPKYDYTAFVSVGRNPNLAKVFKDILFDLDKGKYENIHNTGRGVHLLIRELQEFLENKSYYDLPPHLKTCLLHLSIYPEDTEIRKDDVIWQWIGEGFINTEDGKVLFRVAETYFNELINKSMIQPVYSDFDGNLYGCRVHDMVLDLMCILATEENFARKLDRVHQEHCSSPSQRSSAGCVRRLALHGGSNQGQNGNLQSAEVAHVRSINAFSCTDTSMIPPLSSFQVLRVLSLENCRQGCDLRHLGKLLQLRHLGLSGTPVDELPRDIRNLVHLQSLDVGGTGLKELPPTVGELSNLMHLRLNFGTEVLPWLGKLTSLQVLKLGRYRSGTVAELGKLTELRILWIHFQEVEERDVKALEESLHHLHKIEDVSCSSLSNLGTCGPLAWEPPRHIRAFVWRVTYLPRLPVWLNPKRVPHLSYLLLNVKAFEAQDMDILGSLPELCYLCLSLDMGSIVSWTFPGGRLFPNLKFILLTGIHVENVHLLELKNVRVSHEEGAYYSGDKEEEDDDHDDHVKETSAIDQELQKQEEENDVTKLSLGGY >Et_9A_063141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11754679:11762479:-1 gene:Et_9A_063141 transcript:Et_9A_063141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNGGSIWYPKLFFKDSEGFVKTSEGVVLGPSRGWSGTPGPESRSIADSGGHLPPHSRRLKLPSSLAAPMSLRRLGVSLLKTFTNTTTTSPPAPAPSSPSFLAHHLLDEFSRPRASRDAARLRRLAADLTAPAAESVILRLPSWRHALDFYRWAAAQPGFRHSCYSLNAMASRLPLRVPAHLDRLAADALAERCPMTPGALGFLLRCLGGAGLLDTAARVFDQARTALSCTPNSYTYNCLLEALARAGRADDAKARLREMVAACGEESVDKYTLTSLLQCYCNADHPDDANAVYQRMSERAWVDEHVLTTLAVAFSKWGKVDGAVELVARIEALGMRPNERTISVLVHGFAKQGRIDMAMVMFDKMTSYGFSVDLAMYSVLIEGLCQRNEMRKGLKLFEEMKTKGITPDVRLLKKLVEAFCKEGDFVSIGPFIGENAKHLKSNVAISLYNVVLDGLVNRGEVEAAYKLLISIVRRHQKVGDAAAVRVSKDPTAAIDLLRQMRENGHTPWIKNCTEMVQQLCFSGRITEALQFLDDMLKMGFLPDIVTYSAAMNGMCKIGEINDAVGLFRDISSKYYLPDVMAHNILINGFRKSGKFDEAQEILEEMLNKGLFPSVVTYNLMIDIWCKSGKIDKAVACLKKMSDEDKHPTVVTYTSLIDGFCSSGRPDEALVLWHKMLEKGCAPNEIAYTAFVNGLCKCGRVETALNYYEEMISKGPQMARSHRMDQT >Et_9A_060898.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:14341652:14341909:-1 gene:Et_9A_060898 transcript:Et_9A_060898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMHFSFELLIEWNFAPETFLGEVRIRSIRILIGLGLTWFTRYWFPEESISPLAKPFLTLPLNSYFVRTQSTEHPPPPPDDICC >Et_6B_050162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:812311:812978:-1 gene:Et_6B_050162 transcript:Et_6B_050162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGGGLVRCSSAPDSSTGEQGPAKEETKTEPLKDQMPAAAGKKTKTVLRRVPDDHVKFVLAAKPTPPYIRRPELEAASIPADQRRSSARVQEHISLPWMEDQGNEVKLFGVWSSPYVLKVVWALRMKGVQYDYIEEDLKNKSSQLLEYNPVHKKVPALVYKGKPIAESEVILEFIDEEWKHCGDRILPEDPYERSMARFWARYGADKVRNYIKSGLSF >Et_7A_052628.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:74790:75134:1 gene:Et_7A_052628 transcript:Et_7A_052628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGEAPGGDAGSGEKIFRTKCAQCHTVERGGAHKQGPNLHGLFGRQSGTTPGYAYSTANKNMAVVWEEGTLYDYLLNPKKYIPGTKMVFPGLKKPKERTDLIAYLKESTTAA >Et_2B_020081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16707165:16708558:1 gene:Et_2B_020081 transcript:Et_2B_020081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPSLLLLLLMVSICVGTAHADPMLERFEQWMGRHGRLYAEAGEKQRRLEVYRRNVELVEAFNSMSTGYKLTDNKFADLTNEEFRAKMLGFGPQSRTVHTPTPSTMTCIDSELSEDYSDLPESVDWREKGAVAPVKNQGTCGSCWAFSAVAAIEGINQIKNGKLVSLSEQELVDCDAKAVGCEGGYMSWAFEFVMQNHGLTTETNYPYKGTNDVCKTPKLNESAVAIAAYRNVTPNSEPDLLRAAAAQPVSVAMDAGSFMFQLYGSGVYTGPCTADVNHGVTVVGYGETQGDADGDGAGRPGQKYWIVKNSWGPEWGQDGYVLIQRDAGVASGLCGIALLPSYPVM >Et_1B_014422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8291629:8292103:1 gene:Et_1B_014422 transcript:Et_1B_014422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGRVAAIAVPLVVFALLAAAVVLPPAISGGGGGGGGSTASSRERRREHFLRHVPHEAQKASKQPANARPVKTVSVGAIEESLARSRAAIRRAARQSFKDAGGGFVPRGAIYRNAQAFHR >Et_4B_037188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1686884:1689024:-1 gene:Et_4B_037188 transcript:Et_4B_037188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGQEEDDIDHYEVLCLPSGEEGAALTIEQIEKAYRTQSRLRHPDKRPDDPNATADFQLLSSSYKLLRDESLRRQFDARLRARREAAARAAAAGFKRRKAVSDLEERERAAAAGQPLDPSEAAKREDKRKAADVKRELDEFFAAKQASASGTASTSTHGDKKGGTAQDGVKTDKSKILKISWDGRPDYYTAAKLEEFFKKFGKVEDVVIKTRKSRSKGSAIVVMGSKEAAQTAVKDHYIYNDSLVPLTVAPVQELGGFPASPAQSYESRSSSNIDGTGFSDLEASVFRKLQEVLMMRTITEYTRTVELQFQQQIRVCRCRAI >Et_1A_007338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33468975:33469709:-1 gene:Et_1A_007338 transcript:Et_1A_007338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLIDFIRTSTHFERFIYKVIVYVLSSSYILTGLPKNYFTQQASQISLSTASEVGVKFVSLGITADVFDLAFLLEAAPVMEKLEVHVSWLYTSFILFQTRVGVLPRSFIRKIYFTYEVVIFSKLGVVNFLVNYKAIILNMSTVWKEHMWMNYRLKRYQKHDGELRSLLSHPHYHIYEGGSLDS >Et_9B_064934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19926143:19926801:-1 gene:Et_9B_064934 transcript:Et_9B_064934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAAVSVQPVAVKGLAGSSISGRKLAVRPSSRAVCRSTRKPRAAVVAKYGDKSVYFDLEDIGNTTGQWDLYGSDAPSPYNPLQSKFFETFAGPFTKRGLLLKFLLLGGGSLLAYVSANASPDLLPIKKGPQLPPQKGPRGKI >Et_9B_066095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3255437:3260379:1 gene:Et_9B_066095 transcript:Et_9B_066095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSCAPWQSQSPKPAWDAASLAGALKDAASGRSAPHVRPLHAVLLKLGLSSSAILATSLAHLALRCGFPEYARELFDEMPRPDVVSWTSLITGHAHQGLHRDSLTLLRRMVTSGVAPNGYSLSGGLLACAGVGQDALALGKEIHARVFKLSLHGPVDAVVENGVLDMYARCGSIEYAKRVFSSMLVRNIVAWNSMMSALLGTGQAEEALGLFVSMVSCGIAADGFSFSIIVYACGELALLKQGMQVHARVVGGGFEADVIVRNSLLNMYAKCGCVDSAERVFEASLSRDAVLWTTMIAAYGKFGRVQDAVCMFDRMAQLGIKQDGVAYLAVLSACSHGGLVREGWKYFRLMSDSQSSVRVQPEHYGCMADLLCRRGYLKESLEFIESMPFDSSVAAWSSLLNSSRIHGNAKMSQLAATQLLKLDPENHSNWVALSSVHALEGDWHETWMIRESMSRECVKKEPGCSWVELYDGVHVFLLADQSHPELFDILHTLDSMKEDVSSSEDYTCIRIDDRIPWKRRASHHF >Et_1A_009287.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:37527944:37530436:1 gene:Et_1A_009287 transcript:Et_1A_009287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFGSLLLFFMLSIASAQAWSIDYPSPIANLPSLWTNNNATIPYNATYNDGTMIRAILVRQNPAGFGPSFACGFICSAPCNVFQFAVFFVSIGDSNNLVSNGTAGPRVVWTANRLHQVKENASVQLTTNGNLILRDFDGSLVWSTNTSGSAVVGMNLAETGNLILFNVMGKTVWQSFEYPTDTLLIGQSLGQGKRLTSTSLVTNRTQGQFYLNVLDSGMYAFIDADPPQLYYQKMFNITEAIVGSKMNISCGKEKNCSTYISFLQGSLSAFLSFNKTDIKLFEISLPYPSSAQLMSLEDDGHLRVYGWTGISWKSMADVLHVYPDECAYPTVCGQYGICSQGQCSCPSGNSDDELFHQLDDRQPNLGCSLATPLSCDLIRYHQLLPLPNVTYFSFAYNWTTDEESCKEACLKACSCKAVFFRYQNVSNGSCYLMPKIFSLMNYQPEVVGYNLSAYVKVQILPQPSSKKINATAYHVGVPILVAIICILILIIRRKIAKRREEDDPFKGVAGVPTRFSYKQLREATNNFSKKLGQGGFGPVYEGKLGNVKIAVKCLRDIGHGKEEFMAEVITIGSIHHINLVRLIGYCSDKFHRLLVYEHMGNGSLDKWIFRKNQSCSLSWATRYKIILDIAKGLAYLHEECRQKIAHLDIKPGNILLDENFNAKISDFGLAKLIDRDQSHVMTKIRGTRGYLAPEWLSSTITEKADIYSFGVVVLEIVSGRKNLDNNQPEGSNNLINLLQEKIKVGQVMDIMGNQDEDTKLHGSEMIEVIKLAVWCLQRDCSKRPAMSQVVKVLEGAMDTENNAGYDTASRDDIFDASSPVSPVPVSAR >Et_4B_037375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19043552:19047114:-1 gene:Et_4B_037375 transcript:Et_4B_037375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRSVLAAVRGRTASSTSSLRAAAPLPSAPPPRRVPSFAASAVPLSTARPLAAMMGSPLSVVPRLTAHSAVSVRACCELSQGTLFCRTFIASQNMLNKSGIEKCCDVSVATEAQTSSVGSKQEFNSAQQDEALTHLAQDVHGHRRPARTLHHLEEADVVFDDAVVLDEAAPAAAAEATAELVAVVADVPRPPAGSSLKDDLPPQSSHVLGRKF >Et_4B_036424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23308968:23310223:-1 gene:Et_4B_036424 transcript:Et_4B_036424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVLPPLPDEVAEDIFLRLPAKSLAASRCVSPSWNGLISSPAFARCHREAAAARAGVAPRFVSVPVCRDAHIHLPSPCAGCPRVFSGAGAVHRRRAAPAPAPPAVVLPGRRPRLRRRRRQAQGRAARARRRPPPDAAVQRRHRRRRWRAVAGTERPADAIISDDALVSVTTDPVFADGRLHWMLLNGARGHLDGVLSFELGIESFRRLPLPPPFADENLPRPERETMAGVDGRLCLVCNLSYPRRTVAVFEVWMLRDNNNHPLSWSLDRRIDLTPHVGKELTRLWEGELFVVCYTGGQSSGESRKIMLATTGFEQKAYVYEPDTGELRTLVCWNDYGVQPQLRLVLYQESLLQVDGMAYHNKNIKFTFTDERRISASRYVSLFDY >Et_1B_011085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17414436:17423372:1 gene:Et_1B_011085 transcript:Et_1B_011085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGRMKGVEGAGKGAEDEEEERDEEVREELELALSLGRRGWHLPARQEPAPRSLNWTAVLPEWNPDAAGSSRDAGRGSGGQPTPSLGLRDMLGGVILEGIRASGSAEGEDSPQHSGVNATPFGSESLFLPISDEHIQYKPSHSPEHELDFGLSLFPNDGSNESPRVATNKIVDDVEESNGRNSEDVGIKMDFSDDLLHLIFSFLGQKDLCRAGASCKQWRSASMHDDFWRCLKFENTRISLQNFVDICHRYQNVTELNLYGVINAELLVLEAIMFLRHLKTLTMGKGQLGEAFFNALAECALLTTLTINDASLGSGIQEVTVNHDGLRELQILKCRALRISVRCSQLQILSLRRTGMAHVSLNCPQLLELDFQSCHKLSDNAIRQAATACPLLVKLDMSSCSCVTDETLREIANTCPNLSVLDASNCPNIAFESVRLPMLVDLRLLSCEGITSASMAAIAYSRLLEALQLDNCSLLTSVSLELPHLRNISLVHLRKFADLNLRSPVLSYIKVSRCSALHRVSIASSALQKLVLQKQESLSSLSLQCHNLIDVDLSDCESLTNAICEVFSDGGGCPMLRSLILDNCESLSIVELTSSSLASLSLAGCRSMTFLRLSCPNLQNVNLDGCDHLERASFCPVGLESLNLGICPKLSVLQIEAPNISILELKGCGVLSEASINCPRLISLDASFCRQLMDDSLTHMAEACPLIEHLVLSSCLSIGIDGLSSLHCLHKLTLLDLSYTFLCNLKPVFDSCPQLKVLKLSACKYLSDSSLDALYREGALPMLVELDLSYSSIGQAAIDDLLACCTNLVNVNLNGCTNLQELVCGSDDCGSVDMPVDICPPDSAPIKSEEISEQSGRLLEVLNCTGCPNIKKVVIPSMANYLHLSKINLNLSTNLKEVDLTCSNLYTLNLSNCSSLEVLKLDCPRLINLQLLACTMLQEEELESAISLCSALEILNVHSCPKINALDFGRLRRI >Et_2B_022238.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:14945239:14945580:1 gene:Et_2B_022238 transcript:Et_2B_022238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSALASRLLMVAAATSAAVALVLAIGLCTAGSDNDGHGRRPRPAAPAPVLGVPPRGRLEHDVFAGDDVMSGNRDLLGAAAGEAEEEEQDYGYVDPPPDTHRRAGSAPIPHN >Et_3B_030596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5263135:5263834:1 gene:Et_3B_030596 transcript:Et_3B_030596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMGVDPVYNGSQPRFSDRQPTGRSQPQAESSTVRCARHVSRCIEKTRPTPSLFVGRAVRTAPATTYPNQRPSAVDNVPHFYAHHAERRGHGQLLLGRARHNPLFYAHHANVDGRRPQVKRGATLTTTPTRTGSTPPSSSMTRTPSSCATMCGREANCDPDAEGGFVPQGHGAGEDGRQVPHHATTVKIEARGVEEEQGGEVGQGGGP >Et_7B_053256.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:17000448:17000876:1 gene:Et_7B_053256 transcript:Et_7B_053256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLFTAWLFVGLAVSWHGELNRAAALPLSTSSRWIVDESGRRVKLACVNWPSHLEPMLAEGLSKRALADIAGDVRAMGFNCVRLTWPTFLVTNTSYASLTVAESFRWLNLTVSLAGVEANNLCVVRLKLIDALRYRNKALV >Et_9B_065240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2764966:2775271:1 gene:Et_9B_065240 transcript:Et_9B_065240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIIDGKAIAADVRREVAAEVSRLTSTHGLVPGLAVVIVGSRKDSQTYVSMKRKACAEVGIRSIDVDLPEDISEIALVAEVHRLNADPAVHGILVQLPLPKHINEEKILNEISIEKDVDGFHPLNIGKLAMKGREPLFLPCTPKGCMELLSRSGITVKGKRAVVVGRSNIVGLPIKGDWIKPGAAVIDVGTNSVDDPTRKSGYRLVGDVDFAEVSKVAGYVTPVPGGVGPMTVAMLLKNTVDGAKRGIIIDGKAIAADVRRQLAAEVSRLTSTHGLVPGLAVVIVGSSKDSLKYVAMKRKACAEVGIRFVLVDLPEDISEIALVAEVHRLNADPTVHGILAQLPLPKRLNEEKILNEISIEKDVDGFHPLNIGKLAMKGREPLFLPCTKGCLELLSRSGITVKGKRAVVVGRSNIVGLPIKGDWIKPGAVVLDVGMNSVDDPTRKSGYRLVGDVDFAEVSKVAGYVTPVPGGVGPMTVAMLLKNTVDGAKRVIVE >Et_7A_053097.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6460585:6460839:-1 gene:Et_7A_053097 transcript:Et_7A_053097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAAPAEFTKLDAARQSLIAISQSLPEFGAPGIIRSPDGGMADAHDAAADEKYRTKLISISNQSPDAARPAPRPAKNAAAY >Et_8A_057994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11389019:11398603:-1 gene:Et_8A_057994 transcript:Et_8A_057994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNALMRNNFLEYSRLEDGREQGRGIKGKTKKFHKCVRRHDILLRRPNKKRNACNVYPMDVFIRVQAVDLSQGLLFLDAFLILHFGLHELLKQALEQRHDVSSRLERLRHRHHRLARELRLQPPAHFHGQCSLAEPPKADDGEHLELVLSIRPRLEPRGQGVHLAVDADGLVTLWAAVDGRTERMRVHGRRQHRSRRWRREAAHSVAVDTVPCVALADGDGTGAESPDLAGQVMAREERLEHVFQPGAHASFRAALDAELVVVDAVLRVVRELAQLLHPLPDEAVHGALVDLGLHVEEGVHRGQIVAQLSDITADTAEQPILIAQQLPQIAHKGRGRALHLTGDTRERSPPFPECPRSRHSRKVCVGRLSHEPGWFSSSKPNPIPAPAAVARTPRAHRRPRNPRPPPSHARRRRPRTPRTPPSPAVARARRPPRRRARLPIADAAPAVALPVGRAAPLPSPVPSPAPSPSAEGVPPSPDAAPRPGLAPALGGTGLGAPPRPGPAAGDAGAGPLSRILQSQAPTLQPRRRPPPRRSSPTPASDKSPPAATSDMGSSSSYFFSVELRFKNRTSWHKDRGLLPLKHVIWYRY >Et_2A_015802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18008844:18010673:1 gene:Et_2A_015802 transcript:Et_2A_015802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DILLHVHSLMSLRDAARAACVSHGFKHSWSRYPNLTLNTQTLGFVHFVRKVNHILRKHSGVGVKTLKLDFSDCYDPKASNYLDSWLQIAVTPRLEVRNFKLFVNGFYNDEFSDGRFPYMQKYNFPCSLLSDGSGSSIRYLELECCSFRPTVQLGRFGSLTRLHLSFVRITGDELGSLLSSSVVLEQLILKDFSEVISLKIPGQLRRLSYLHLHGCCSLQQIENKAPNLCSIYLGDIPADRTPQKSFQLGELLQLKDIDMRCYNAAYYARSELPSIAPNLETLTLGSQLEVPRRDMELDSIFEGSSDLRQMPQYHHHNLQSFRVTGFSSARSLVELTCHILENTMSLERVTLNTTSSALKCSDAIYGRCLPMPKDNIVEALKALRAIEMYIEVKVPAKVKLDVIGPCERCHVVEEGLSMSLLG >Et_3B_028345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13887533:13895872:1 gene:Et_3B_028345 transcript:Et_3B_028345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAVATALAVLAFCSASAPTAVAGDTVSARQPLRGNETAVSAQGKFELGLFSPNGSGRSFYLGIWYKNIPVQTVIWVGNRVSPLSDVASAELRVSSDTGNLELVGVVWSSNISSSSSSSSPGSNVAVMRDNGNLVLVDGGNASNVLWQSFDHPTDTLVPEAWLGEDKLTGAYQTLTSWRNAADPSPGMFTDTVDPANGSSEFFYLWNGTRAYWRSGVWTGRFFANLPEAVNNVLFNQTYVETAAYRRVTSVLYDNATITRMVLDLTGQTKQFIWVPASQSWNFFWAAPTVQCDVYALCGVFGVCSRRSQPPCQCPPGFAPAAERDWGLSDWSGGCRRNAPLQCTAAGNASSDGFMEMPDVKLPDDSVAVGAAQSKTECEAACLRNCSCHAYTFSGDAWVIVSRRKRLAEKASQKGSSLHVYSYGDLRAATKNFSERLGGGGFGSVYRGVVNNESTEVAVKKLEGLRQGDKHPGAACLSWRDRYKIMLGIARGLAYLHEGCRECIIHCDIKPENILLDKDLTPKIADFGLAKLVGRDFSRVLTTMRGTIGQRPSTFFPVWAAARVVEGDTAAVEDAGLHGDVSEAELQRACRVACWCIQDQEAHRPTMAQVVQALEGVVDVQLPPVPRAAAAAAVGDTVSARQPLRGNETVVSAQGKFELGLFSPNGTRRSFYLGIWYKNIPVQTVIWIGNRASPLSDVRSAELRVSPDDGNLELVGLGESSTTPRVVWSSNMSSQSRSSSPGSNVAVIRDNGNLVLVDGGNASNVLWQSFDHPTDTLVPEAWLGEDKLTGAYQTLTSWRNAEDPAPGVFSTAVDPNGSSEFFYLWNGTQPYWRSGVWTGRFFANLPEAVNNVLFNQTYVETPSYRRITSVLYDNATITRMVLDFTGQTKQYIWVPYSQSWNFFWAAPTVQCDVYALCGAFGVCDQRSQLPCQCPPGFAPASRREWGLSDWSGGCLRNAPLRCAHPGNGSSSTDGFMELRDMKLPNDSLAVSAARSRAQCESACLNNCSCQAYTFFSGGGGGCAVWHGGFRNLQQLYTGNTSSSLYLQLSESELRHLRGGNKKKNRSVWLAVGVVVACAAALGASALLAWAVLSRRRTATGKTSKPEGSTVTSALPQKTSRSGWAGEDSASSGRTLGLIQHVNLVRLLGFCSSAEEKLLVYEYMSNGSLDSFLFGKSRSVPSWRDRYGIMLGIARGLAYLHEGCRECIIHCDIKPENILLDKDLTPKIADFGMAKLVGRDFSRVLTTMRGTIGYLAPEWISGLPISVKADVYSFGMVLFELVSGRRNTENYGSGHDNADEAGQRPSTFFPVWAAARVVEGDVADARLRGDVGEDELERACRVACWCIQDLEAHRPTMTQVVQVLEGVADVEVPPVPRALQHLAALA >Et_2B_022218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14188233:14191141:1 gene:Et_2B_022218 transcript:Et_2B_022218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLLSCARLMALHRPILPPALTRFLSAGPTTSEPEEKGKKAAAAAAAAVVVEASAKSRREDAEVGSREGSSEDDEDSGLPWTSWRPDVAWLSRALEPAHDLIKQYNWKPFTASDGGGNIPASTRTFSEILIDLQRSKISIKDWSLSDLTIGLYLIYLSQASSKNAETFKGVQIASNKMVQELIYHLELARGCYKGSATGLARYSMLRKRNVVKFVKDSSILRPGYYIGVDPRAKLVILGIRGTHTVYDLVTDLIALSDKKVSPRGFSTHFGTFEAARWYLRHELGVIRTCLEKHQGYKLRLVGHSLGGASAALLAIMLRKKSKEELGFSPDIISAVGFGMPPCVSREVAESCASYVSTVVLQDDIIPRLSAASLARLRTEILKTDWVSVLEKEDWKHIVDIVTNAKLVVSSIQDVARKLGDYAKMVTVSAGSDVPKDPARLANSTEAVAVSPSKKDEYVPEDLFLPGTLYYLQRDVENIDGVEDESYSLWRGDPGENFQRILLSGNLISDHRCESINYALRDVLKTLPATIE >Et_1B_012328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30959065:30964111:-1 gene:Et_1B_012328 transcript:Et_1B_012328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRGLTAVSRLRSRLAQEATTVGGVRWLQMQSASDLDLRSQLQEMIPEQQDRLKKLKSEHGKVQLGNINVDMVLGGMRGMIGMLWETSLLDPEEGIRFRGLSIPECQKVLPTAVKDGEPLPEGLLWLLLTGKVPTKEQVDALSKDLLSRSKIPDHVYVAIDALPSSAHPMTQFTTGVMALQVDSEFQKAYEKGMPKSKFWEPTYEDCLNMIARLPPVASYVYRRVFKDGKRIAADNALDYAANFSHMLGFDDPKMLELMRLYVTIHADHEGGNVSAHTGHLEVLLWIKSVIEETGSDVTTDQLKEYVWKTLKSGKVVPGYGHGVLRQTDPRYTCQREFALKHLPEDPLFKLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSIGIGSQLIWDRALGLPLERPKSVTMEWLENYCKDKAA >Et_1A_008135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4610044:4613810:-1 gene:Et_1A_008135 transcript:Et_1A_008135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLPPVDWEAESYLAYSDFAGIPFFAVFFFWLARKLIFEKGDEKFDPTSYAGMTKIRKFKESAWKCIYFLSGELLALLVTYNEPWFTNTKNFWVGPGDQVWPDQKTKFKLKVVYMYAAGFYTYSIFALLYWETRRSDFGLSMTHHVATVFLIAMSYIFRFSRVGSVVLAIHDANDVFLELGKISKYSGHQLLADFSFLLFVCSWTVLRMVYFPFWILWSTSYEVVLILDKEKHKFDGPIYYYVFNSLLFSLFVLHIYWWVLMYRVLVRQVQSGHVGDDIRSDATGNNW >Et_2A_018739.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:6373417:6374631:-1 gene:Et_2A_018739 transcript:Et_2A_018739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPAASFNADLEEGRKKPRVTGWNKRHLYLVRDDWDKGYSIHKVDVGALGSDALTAARQLPDPLVLRVQAPADHRSTYFAAMGGSKLLIMHTLREEEEAPPAFVYDTATAALAIGPRPSRALRDGFHAAVTVAGKLYTLRLRRQGQPHSFEVLSRSPPRPYTDSRWSGKVEGWFWETVASPPPFDCNAFIEGYAVHPDGRTIFVSAERMTIVDRTEPGTYSFDTRACEWRRRGDWMLPFQGEGLYDARLNTWVGLRDDGFLCACDIPSCDDDGAASMTPAPNWRVGKDMVFRKLPRIAAEVALVAVDHGEYCVIELRPPEGVDMLAVGDDGRRVVHVSVFNLRYNEAGELQTTNHRRAMSFGVTKHDGCLMPKDSGCERLWLAMAQLLRAPAVWCQTEPFAQ >Et_7A_051969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3302560:3305485:1 gene:Et_7A_051969 transcript:Et_7A_051969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCVFGFLKRHIIKQIGIRRIQKLPRTAGEARATAAADENIYGNDSVKGAILNSPLVEFSTVYSATNNFNDKLGEGGFGPVYKGILQDGQQIAIKRLSKSSGQGLEEFKNEVMVLSKLQHRNLVKLFGCCIHGEEKMLLYEYMPNKSLDSFIFNESKRLVLGWKLRFKIIQGIGRGLLYLHQDSRLKIIHRDLKASNILLDDDFNPKISDFGMARIFGEHQLQDLTHRIVGTYGYISPEYAMEGKFSEKSDVFSFGVLILEIVSGRRNSSFVDDEWSMNLLGYAWTMWKEGSVSELIDPLMGTTYTYDEICRCIQVGLLCVQELPAERPTMSLTLRMLGGDVSIPSPKQAAFFVGRAPLDDNDTRSANHLTYTDLQGRAKSKKHPPTMMSSISAMLLLLS >Et_5A_040161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17395370:17396084:-1 gene:Et_5A_040161 transcript:Et_5A_040161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVTLQWIANDSTRRATFKKRRKGLMKKASELATLCDVDACVVAYGEGESQPEVWPPSVADAARVLARFRAMPELDQCKKMMDMEGFLRQRIDKLREQLHKAQRDNREREATLLLHDAITGRRPGGLAGISVEEIASLGWIVENRLQCVKEAIDKLHLQGLPATALQRQPPLQTPMVPAAASSAAYHMQGFGGELVAPALEAPSTTPAIWVPDTYGLFWINRSLPC >Et_2A_017393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33912806:33916114:-1 gene:Et_2A_017393 transcript:Et_2A_017393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYAQQQQHQGHEQEVGEEEEEDDEEMEEEEDDGAEGEGHEGGVAGEHVPMDAEAAAAAAAAAAAAGMHMDQHGAMVPTTVPPVSSNTLTLSFQGEVYVFDSVSPDKVQAVLLLLGGRELNPGLGASSSSSAPYSKRLNFPHRVASLMRFREKRKERNFDKKIRYTVRKEVALRMQRNRGQFTSSKPKPDEAAAELTTADGSPNWGALEGRPPSAAECHHCGISAAATPMMRRGPDGPRTLCNACGLMWANKNGNAIAAPGVEQENPAAAMANGHELS >Et_8B_060340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9866829:9869730:-1 gene:Et_8B_060340 transcript:Et_8B_060340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCRHHLTLAAAKSHAALLKSGVSLPTPWNQLLTAYSVAAPPDSGLGLASARRVFDEIPRPDAVSWNALLAAHVAAGAHSDAWRLLRAMHARGLAASTFALGSALRSAAAARCPAFGAQLQTFAVKSGLADNVFPASVLLDVYAKCGRLSDARRVFDGMTERNIVSWNALIAGYAESGKLAQAMEMFLGMESEGLIPDEATFAALLTSVEGPSWCFLMQQLHAKIVKYGQTLGLVVLNAAITAYSQCGALTDSRKIFDGIDGTRDLISWNAMLGAYAYHGMDDEAIRFFVRMMQEGGVQPDMYSFTSILSVCSMHGRDDRRGRLVHSLVIKIGLEGSTPVCNALIAMYTRLTENCMMEDAYKCFNSLVLKDTVSWNSMLTGYTQHGLSADALRFFSCMQSENIRIDEYAFSAALRSCSDLAILQLGRQIHSLIVQAGFASNDFVSSSLIFMYSKSGILDDAGKCFEEADKSSSVPWNSMMFGYAQHGQAQNVTNLFNEMLELKVPLNHVTFVGLITAYSHAGLVDEGSEILNTMETRYGVPLRMEHYACGVDLYGRAGQLDKAKELIESMPFEPDSMVWMTLLGACRIHGNMELASDVASHLFVAEPRHHSTYVLLSSMYSGLGMWSDRAIVQRVMKNRGLSKVPGWSWIEVKNKVHSFNAEDRLHPRTDEIYEMLRMLFQVAQKLSSCEDEDILMTISSREKRATSKIN >Et_6A_047889.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2338230:2338544:1 gene:Et_6A_047889 transcript:Et_6A_047889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMIMGGAGHQELNDDEARIRLERRTERQRLAIMAREGEERKRGEAAMVLAEVEMMASRLEAQDFFHVPASSRALLALPMPTVGETAEQGCALCGELFKEGDR >Et_5A_042712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2855825:2864265:-1 gene:Et_5A_042712 transcript:Et_5A_042712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRRRPGRALAAASAAEGRLDRAAPEDPLLHQSRAAARSLLTGEGEGDEVRSAVSPSCRLRVAWICDGASHSSRFSPSLDSFDLESSSMVAALLLFHQGGTAPSGDKGKKMRFLSCMSIGKVVVRLPGSMPGYMKLLKGRWHISVMIRLSIYSNLRVETLFRLQEAAEGKRAKQSYDMPWVFKGTRTGARWKREQQFCSRRRSSIIQDRGPDPMTSQEEQSPMVEAKEEKLLEIFIGFEFKLCECK >Et_1A_008963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21774633:21779042:-1 gene:Et_1A_008963 transcript:Et_1A_008963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPESGNCNAWAAKDPSGLLSPYKFNRRHEIAGVVTEVGSDVKAFKVGDHVGVGTYVNSCRECENCNSFLENHCSKNVYTFNAIDTDGTVTKGGYSTHILVHERYCFKIPDGYPLEKAAPLLCAGITVYTPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKSFGLKVTVFSTSESKKDEAINLLGADNFVISSNKQQMESLKNSLHFIVDTAAGDHPFDPYLSLLKVGGVMAVVCFPSEIKVHPANLNLGARTLAGSVTGGTKDIQEMINFCAAKRIFPDIEIIKMDYINEALKRIVNRDVKYRFFIKMENEKLYLHNN >Et_1B_011247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19362325:19363944:1 gene:Et_1B_011247 transcript:Et_1B_011247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SNELGAGNGTGAKFATIVSSTTLLVIRLFFWVLVVGLHDKFALNFTTRDVLRDAVDNLSILIAFTILLNSIQPGLVGSPRTVAYVNIGCYYLVGIPLGILLGLFDLGVIHMHTLYLVCLNFYLYFVPVTLIYTSVTGNRAGMIGGTAV >Et_2A_014697.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5455875:5457197:1 gene:Et_2A_014697 transcript:Et_2A_014697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAASRPRPSIRLAFSPRRARASRPSRLDKRLALRGRRVPQRREQVLPPAQPDGVVAGAGDRVGEQLPWVARVRPAPEDAGARRGGLHPAGAGLALVVPVAVRVGGRAGEQRGEADDHAVGADIAVGRRQRRRLGPVQRLVERPRALARALRRGVARPQVRVPRLAVRAARGVVVDAAPRHAEGRHEDVGGREHGGDGAAVVPRGAGQRRDVGERERAAAQRHALVEDLTAQTQPRAAAAVQRRRAPPRRHGRARGGFRRGGGGRGEGADEVGEDRALRRGEPAVEEEVDGEVAGEHLPVVVVEHDRVGLHQEQHARVERRAPRRLAGQLDGEDEAEQRQERRLLAVVHGEINERC >Et_4A_032658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12252241:12260153:-1 gene:Et_4A_032658 transcript:Et_4A_032658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPEVEVPVVIHAWSAPRSLSTSLMYSFAQRDDMEVLDEPLYANFLRVTGVDRPYREELLSKMDPDGNKVVKDVIFGPGEKRYRYCKHISKQRLPNLSNDLMTKGKHFILIRNPLNILPSFDKVVPPSFMELGLGELVSIYSELCQLGSPPPVIDADDLQRDPETVLSGLCEDLGIPFQPQMLEWKAGPRDFDGVWAPWWYGSVHKSTGFAKTRRYPMTFPFAFYELLEQALPFYNMLKRQVRRTVGSLETPLPDPPLPVPANKKILVWVGDELLPRDSAKVSVFDSVVQGGDAVWEGLRIYDGKVFKLDEHLDRLFDSAKAMAFINVPSRDWIKDAIFKTLIANGMFDNAHIRLTLTRGKKVTSGMSPAFNLYGCNLIEWKPPVYDNSHGIKLVTATTRRNSPNSVDSKIHHNNLINNILAKIEGNLAQAEDAVMLDKDGFVSETNATNIFMVKKGIVLTPHADYCLPGITRATVMDLVVKENLVLHERRISLSEFHAADEVWTTGTMGEITPVVMIDGREIGEGKIGPVTRQIQNAYKVLTAGQGVPIPRNADA >Et_4A_034921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7883245:7885652:-1 gene:Et_4A_034921 transcript:Et_4A_034921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKQHIVIFTTASLPWMTGTAVNPLFRAAYLAKAGDWEVTLVVPWLSKGDQVLVYPNKMKFSSPAEQEGFVRRWLEERIGVLPRFNIQFYPGKFSSEKRSILPVGDITQTISDDKADIAVLEEPEHLTWYHHGRRWKNKFRKVIGVVHTNYLEYVKREKNGYISAFLLKHINSWVTDIYCHKVIRLSAATQEVPRSVICNVHGVNPKFIEIGKLKHQQLCQREEAFFKGAYYIGKMVWSKGYTELLHLLHKNQKELSGLKMELYGSGEDADEVKASAERLNLDVRVYPGRDHGDSIFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKRFPNCHMYSTEKEFVRLTIKAMAEEPIPLSEELRHEISWEAATERFVKISDIAPTVSARQQPPSSQHFMHISPDELKRNMEEASAFFHNTISGFETARWVFGAIPNTLQPDEQQCKELGWNLKG >Et_4B_039410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14172496:14173423:1 gene:Et_4B_039410 transcript:Et_4B_039410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVLFTDQGRRAVTDYFVYRAAAGPGKPPSLDLVPGPCPHALFPKQVGVLPCGSGTSEDEHYIVVFPAPRFRPRPPLYEIHVFSSESKAWSSKVARISCDAETDYHLVVMHAASKAVAAGNGELAWVDLWPGVLLCNVLDEAPVLRLVQWPVPPPRGVDIDLYSAASVRDATVSNGVIRFVESRFDDGSGAGWRWRATVWSRDTAAKNWCKCFEADIADILSTQTETSLSPLLRRLRADGTAKLDFNKDTLDSKDGAREHCYLLSMPENRGWKQPDQSLQIT >Et_1B_009834.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31028307:31029246:1 gene:Et_1B_009834 transcript:Et_1B_009834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRIAVNCTVHVSTSAVESCQSNPLPAGTATVRAFFDLGDRSSSITGANISRLHNNCLLLDLSNRHLCTSSDHRSFYTVTTIDNAVVGGRSQLGLFLLRDHLLVALGAHVHRGSTAKEATVIDAEDWTLWLLATSLLVFHDDCK >Et_4B_038344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28281311:28285414:-1 gene:Et_4B_038344 transcript:Et_4B_038344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASKHLQPSGKAGGDKKYQGTLVASPAKAISPKCVKNIVPSKQLILSGDSLGHVASFLVKVIALEAVRRVSKARCPFIWNSVQALQILVYPPFSWIQRWAPLKFVVQGIQKLSMPLLFLSVTTTLSERSSNRDDEPSSNTERRETPSEADETTSTSNARDVADGTKDIVSENWLVLLFRELEKQGITLPERLTEDELRRFYVAANGDFSCLLSSVKKTIRWRETFHMLTLQELDKWSHLVFWHGFDTMLRPCLVIRLGLACSSIPPRDRPRFGQAVVSQIDHGVINLTNEEDPRITVLLDCHGISPFRFPMQMMRSFITVVQENYPNRLAVLFVVRLPPVVRVIAQTFLQVLKPSTKQKLRFEGDSYKKTLAEFLQIVPAFVGGKCSCSQCDKPHDSSAIQAGEGSKSQPSQISVDGGAPVTNLDFDEAEVPSPYSCEHAIRAAIIGLLMVCIFIAFLAGMNEPSSIPSNV >Et_1A_008978.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23128021:23128569:1 gene:Et_1A_008978 transcript:Et_1A_008978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKSNRRNPKVFLDLTIGGEPVGRVVIELYADKLPKTAENFRLLCTGERGTGPWSGKPLHYKGVPFHRVVPGFMCQGGDITAGNGTGGESALGRGRYFADEAVGAVRHDGPGVVSMANAGPNTNGSQFFITFDEAPWLDGRHAAFGRVVDGMDAVRAVDKAGSMSGRTVKPVVIADCGEL >Et_3B_028054.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6138811:6138966:1 gene:Et_3B_028054 transcript:Et_3B_028054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISYSCYYTFYRNKKKSQLFSVTHKNGISKVNFSFQYLSFFFKILFLFFHQ >Et_8A_056619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13603964:13619981:-1 gene:Et_8A_056619 transcript:Et_8A_056619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRVSIPAGARRTVADIKEIAGGHSDEEVYAMLRECNMDPNETAQRLLLQDTFHEVKRKRDKKKEGSKEPSDTRWRPAVHGRGGKSGRGNYSSRNAVNSNDPLGRITLGGKNDGMNQITEKDSGSAPTIHTNMAAKTSTSVPSLSSSISNSASQAADPSTMEVSNSTTNWDVTKSDLTILVDLKGGLLSGGKVTVVGPNAPQSLLSASDSVLVPFLDSHSLGDVGAPKQAIGNKKTSVEHNLGHDLPADNKGFSQQSCSSPFGRSSGSRPSSSYSNPSQQSCGSQKVMPNKEWKPKPTSITASEATEKVIIVDDVPLAADAIPHSDPMSNSVTKNDLLKVDKSFNDLQLSDKQHVIIPDHLQVSESEKYGLSFGSFNTSFQKTMGSTDPESSKRSFLPESSQSNCIDDEPHFMQGDQSSSSILQEEAESVPHQLPPVKLEEDSPSAVRNSSITPTESDQCRDDSATSGVPPLYSTFELAPHSHGNEIMMTEESKSQAFVADEQMSPFLVGEPASKYGDVPVLPVQTGQYEEVNNLPDCASSVSASVANPAAGVIHTSVAIPQQSVPVFCQPLGVHLPQFPAANYVPYNQYISPFFIPPPTLHPFLGNATFPQPPSTGAMFPAPGSAGVLPPVKYPVPSFKPGANTGSQASIGVPGAYGAYGSITSIYTSSTMVPSGNPAENGDIASGQFKENSIYIAGSQTEGSTLWVPAPGRDISSLQSNSFYGYPPQGQQVAFAPQAGHGPFGGLYHQAHTVTGAAVHPLLQPSQTMAGALEIVGTPGGVYQQPQVQMNWGNY >Et_9B_065915.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17349573:17350940:-1 gene:Et_9B_065915 transcript:Et_9B_065915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRSAAAGLLLVLSALLGVSLAEDPYVFFEWKVTYGTKTLLGVPQKVILINGEFPGPRINCSSNNNIVVNVFNQLDQPLLFTWNGMQHRKNSWMDGLPGTNCPIAPGTNWTYRWQPKDQIGSFFYFPSLRMHRAAGGYGGISVVSRLLIPVPFDPPAGDHVVLVGDWYTKDHEVMARLLDAGRSVGRPAGVLINGRGGKAEPNAPPMYTVEAGKTYRFRVCNMGIKSSLNFRVQGHDMKLVEMDGSHTVQDMYDSLDVHPGHCFSVLVDADQPPGDYYMVASTRFIHDPRSASAIIRYAGSSAPPKPEVPEPPEGWAWSINQWRSFRWNLTASAARPNPQGSYHYGQINITRTIKLLISRGHVDGKLRYGFNGVSHVDPADTPLKLAEYFNVTDGVFKYNQMADVPPAVNGPLRVLPNVINAEFRTFIEVVFENPEKSMDSLHLDGYAFFAVG >Et_5A_040199.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:21815652:21816391:-1 gene:Et_5A_040199 transcript:Et_5A_040199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCSKFTAPSMEAKRIHDLQRLLLRVRVIVEEAEGGHITNQAMAHQLNMLRKEMYRGYFTMDNIRCQDNTDHDVGYSFALSEFHSRKRIFLSDSDTREQKDLQQVLDILNNIMIHVSEFVTFLNNSPPLSRQPYSMHLFVGKCMFGRQMELDRIMDFLMQMENPSTKSIGVLPIVGPPCVGKRTLVANVCNDARVRNYFSKILVLAGDNISDEKFATLKDQRLLII >Et_9B_065544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6501043:6501902:-1 gene:Et_9B_065544 transcript:Et_9B_065544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGYLYTTTEKGGGAVNLPALAMTAVIVSMEHNLWEGVCPQIRERVGVLDRYLSSEVDGGADTNALGIAALLELAANTANGEHKACLDRAKNHLLSGAAGLASSRSLLRLPASYSVGENPWALLCVVR >Et_10B_003825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6457128:6467545:-1 gene:Et_10B_003825 transcript:Et_10B_003825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKMPDGEGNPPSTGFPEAGEMAREAAVWCALHGLVVGDRSEPRSATVPGVGLVHAPFSLLPSQLPQSFWRQACELAPIFNELVDHVSLDGNFLQDSLSKTRQVDDFTSRLLDIHREMISINKEENIRLGLHRSDYMLDSETTSLLQIELNTISASFPGLGSLVSELHRTLINNYGNLLSLDPKRVPSNAASSKFAEALAKAWVEFNVERKTLSQVEAEGQVLPDGTLLEWSARLLMEKSSAVKCPSISYHLVGTKKIQQELAKPNVLERFLDNEEEIAKLRKCFAGLWSLDDEEIIKTAIEKPELFVLKPQREGGGNNIYGLDLRETLIRLQTQGGDARAAYILMQRIFPKACLANLVRGGVCHEGLAISELGIYGSYLRNKDKVVINEQCGYLMRTKVSSSDEGGVAAGFAVLDSLYLTDKLGSKSGSSFGTF >Et_6B_050023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3796065:3798800:1 gene:Et_6B_050023 transcript:Et_6B_050023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVGLLIGKLGEALLSEAAAYGASLLCTEASALKGFFGEIRRATGWLEIMKAFLKESEKFKDTNETADALVKKIRGLAFRLEDVVDEFKYKLECDKHGGFAAKMKKRIQHVKVWRRLAQELQEINADLEDVAKQRNLCAMPAIVERSGCRSDHFAREEDLVGIKDNAEKLKGWLLDNLDEKKSKIITVWGMGGAGKTTLADHVYKIVKDDFDVAAWVTVSKSYQAEDLLKKIARGFGIPGDISNLEMRSLREVIYYHLKGLRYSKIEFLPEAVGSTGLPSWPKGVVKLKKLRYLYTTPWFHPGDAWSGTEVPRGIRNLTGLHALQCVKASSETLCEVAALRELRTFAVSNVKSEHSLNLCSAIMNMSHLVHLSINETNNNEVLPLEALCLPATLSKLELVGQLEKKHMHRILLSWSHLTSLTKLGLGSSKLDEDSFSSLTVLRGLCVLSLAKAYDGKKLCFPAQSFPKLRILEICGAPQLNHVKIEEGALASLVELWFIECPELNCVPRGIGNLAALEELYLQDTAEELIEKLRQKHEADDSNEELMKISHIRKVTVELTERNIWERIR >Et_4A_032086.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:16304986:16305324:-1 gene:Et_4A_032086 transcript:Et_4A_032086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDTVVKHTAPLGGIDPPLDPPPNDGDKCCFISRCTPIDPGRLPKIERTSFGLHETTMMAGDNVRRSDAAGEEWRRGGDASRGDSGWSGELRQGVLGSTRPHRRCLCFTTS >Et_5A_040271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9211298:9211645:-1 gene:Et_5A_040271 transcript:Et_5A_040271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRLDLPSFRFRNRSRSSMTDCLSAESMASVSNVAGWWWVMPCHIELSFMKISRARCCRRASSAAA >Et_1B_011223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1965239:1969712:1 gene:Et_1B_011223 transcript:Et_1B_011223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRMKGVLPIKGASAAWREKRAPKELENVLNRYFGYSGFRGKQLEAIQAVLSGRDCFCLMPTGGGKSMCYQIPALVKTGIVLVISPLIGYTNSSYIWMKILPISADGKINCTSFSFPGYSLDAMEHHVENQVASLKSKGITADFLSSTQTSQNKQRVTDQLNLASGNSYMYSSLWILEFTAFMLSPQIHEDLDSGIPSLKLLYVTPELVATTGFMTKLTKLYNRGFLGLVAVDEAHCISSWGHDFRPSYRKLSSLRRKFPDIPLLALTATAVPKVQRDVISSLCLQNPVILRASFNRPNIFYEVRYKDLLDDVYSDIANLLKSNGNVCSIIYCLERAACDDLSMHLSQNGISSAAYHAGLNNKVRSAVLDDWLSSRTQVVVATGIDRQDVRIVCHFNLPKSMESFYQESGRAGRDQQPSKSVLYYGLDDRRKMEFILRNTKAKESQSSSSSTELSEKALADFSQIVDYCESSNCRRKKIIESFGETVQPTLCQRSCDACKHPNLVSSCLAELRSSANPDHLDTEFWNREDEASMSAEDISDSDDGKEVVSTIAISKIPTKAGLDAKFKALERAENAYYQAKGQTKQQGGNFVDKKSISQTLRDASKKRLLDALGQAKLRLGNLPCDAEASAAVLETECFKKYQKVGKTFYNSQIAATVRWLSAATSNQMHDRLCALIDQTTNHGAPSSPCFIPESPPDAPEAFSKRSGEASNDEAKDKHQPERSCGLEKRKHSDESQKTAASAENVELPAIPSFREFLSQKRRDGTMTSSSSTAESQLSGVRRKPSDTTQKQEAIKKMKA >Et_1A_007795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38370161:38371487:1 gene:Et_1A_007795 transcript:Et_1A_007795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHCLNNWDLDAVVRLACRRRLTPPPVQVNDPFASLPPPPPPPQQQRLPAAAPPNRAPEPAANEQGVDAGWRFPDLRVGGGQDGDELIRALLAAQPALPPLPTLPTLPPPQQQQQPVVEVPAQPRAAAPASAPARAQPSGRPVPGAVPRSKRRKNQVKKVVCHVPADGSSSDVWAWRKYGQKPIKGSPYPRGYYRCSSSKGCAARKQVERCRTDPSTFILTYTGEHNHAAPTHRNSLAGTTRHKFPSTASPPQPPPPSVVVAGGSGESGQQQHQQPSPPSTSAGLSPTTPLRSPSMEEDDDEEDELLVEDMEMAGEDELLFLNGDADSASPLSSLFDAVDEPFLDSPWATATDGSPAAAGAAGAGS >Et_6A_045977.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:14904441:14904908:-1 gene:Et_6A_045977 transcript:Et_6A_045977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSSGELHEHLSVLDLSAMDAHHAPTMQHVWEELSQLNEVKSIVLNKRYPSILPPSVVLERRKYWSARRRDLVSLGSGRFCVARVFQVMQSESLENEYDNMYDGKLAVFTGVEVVRTDDGGERGLRMVKHKSKCYKFAGDGLFSCRDEICACSE >Et_3B_030979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9652257:9656291:1 gene:Et_3B_030979 transcript:Et_3B_030979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFTGALIAALLTVHLLAGQAFARHAPASLGSAPGVHVLYTAPTTPGPSPSNGHGNQPSASEALDDSVLKGAIGVGTVTENHTVFGPSPCHHPTMAGVKLHNKLNVTGNTEASALILKPLLSASPPANLVTSTRLAMAGSITGAFLLPGLLAVHLLAGQAFARHAPGVHVLYAAPTNPGPSPSIGHGNQPSADEALHGGLNCAPGDNAVGKNHTPSPCHGHTLMPGKTPADPNHVNATTGDGEASRARP >Et_7B_054863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5026217:5031041:1 gene:Et_7B_054863 transcript:Et_7B_054863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHGGGPSSGKHPFYRGIRSRSGKWVSEIREPRKARRIWLGTFPTAEMAAVAYDVAARALRGPDAALNFPDLAAARPEPASTSADDIRAAAAEAAASLLQQQPHGDGAPGRGGIAPAAAATATQHQHGGSSAAASGAAAQPEGGSAGNPYFLDEEALFETPQFLRNMAAGMMMSPPRISPNSSDDSPDPSEAGESLWSYRDPGSSGLMDWAYSGTGYYYSSSPPAAVGGGDEDAYMTVSSAPPKRRAGRTKFKETRHPVYKGVRSRNPGRWVCEVREPHGRQRLWLGTFQTAEMAAQAPRAAGHDEIRRAAVEAAELFRPQPDAAAAAAAAAAAEATVVAGPGVPRSAELGASYPGEDWLEFEMHGYFEMVQGTLIDMPPQPSAWTEDDYACDVSLWSY >Et_8A_058054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16297161:16302244:-1 gene:Et_8A_058054 transcript:Et_8A_058054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEEWRSCARDRASEAADRCGLARGLLTVAAGRLAQPMRAANAPFDLLRARVTEDLLVDASSNLAVAASLMEAAKLVALRGVAVNPEEPLLRLQQISDLDEPVLRLALSRLRGATTRAGNACLALERGRGHLLTACQLLGFELLPGVDGFLDAERAAAHQELDAALELAVECATLTRGAYNRLRWDWAKDNTDKPAQSRLLKHPPPEWLNKVWDANRCSNSSHKDRYRKDTGSSYLPPFIQELTVSEFKHRPQNWLDQVNNRRGKKIAPQLFEKPISCSNDATKGKKKEEQLTMPLPHKSMNSSDSSLIKNSRNKIPRRARYPSSLSNPESHDRFRTESMFCLTSAKASLSFIRSTGLFKDDLFCFVVDPIGVETSLSSKFSSKSIEISNAGLG >Et_7A_051016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13622857:13625516:-1 gene:Et_7A_051016 transcript:Et_7A_051016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKTPRPRPARAASRRLLGVGGLGIAAAAYVGVDYLRYLAPAWHDRLQPALWAALAVAAAARAPFYRHWAAELRAALPFLGSIVFMLAAFLCEAISVRFVSAVMGLQWHRSAAPLPDTGQWLLLSLNEKLPQMLFDCIKAPGLGIATRYMFTMAIGRLLRTITFIATILPSARPWCAAARYQIPEHPHPWAQKYYVPYASDSSAIRRVITDDMAYAAVQAYPGEYRPDWGRMSFLVDILRPTPGEGPSWYHLLKKASGGCNDLMYSGHMLVAVLTAMAWTEAYGGWISVAIWLLVLHSGQREIRERHHYSVDCVVAIYVGVLLWRMTGFIWGLLKEVELAGQERQGFSQRAILAFAAVTIMFTLSCVLLAFTMTSDG >Et_3A_023676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10739995:10762307:-1 gene:Et_3A_023676 transcript:Et_3A_023676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVTIRPWSLSLLLVLGAFVVLVAGFCAETSPSPAVEDQDVLGGGDLAAGGPRRRRAASVMVPITILKSAVGEGAVCMDGTPPAYHLDPGSGEGENNWIVNLEGGGWCNNARTCRLTKGTGRGSSDHMDKQIPFTGIMSSSPVANPDFYNWNRVKIRYCDGGSFAGDNYNKDNGIYFRGQRIWNATNRHLLSIGMASAHQVLLTGCSSGGLAVILHCDQFSAFFPPGRGTTVKCLADAGLYLDAVDVSGGRSLRSYFGDIVAMQGIARTLPSACTTRLDATSCFFPQNIIDGIKTPIFLLNAAYDFIQIVLSLAPDRVDPSGAWKACKSNRSSCNASQMKFLQDFRDQMVASVKGFSGSKGNGLFIDSCFAHCQSELPGTWNYLAGGSPTIQNKGIARSVGDWYFDRAEVKAIDCRYPLRSKNSIIKWRRRRKIEWQIKVSEIKGALMYTE >Et_10B_003371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19123984:19127549:-1 gene:Et_10B_003371 transcript:Et_10B_003371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSGVLLFLLHSLLVPFPFLEFPCCAAAGKQIVHHDLNPVQVTNPTTPPITVPSTNPTPTIITVPSTNPTITIPSLNPLPTPIAAPSTSPPSSTTPVPVIYPLPTPSTSSPNVPFTNPVVTTPSTFPPSAPLTNPAVSNPTAPPAPITMPSASGQQVWCVVKAAGSTEAALQNALDYACGIGGADCSQIQPSGSCYYPNTLQAHASYAFNSYYQRNPAPSSCDFGGTAMLVNANPSSGSCIYASSSSSSSSSTVGYNPASTSNTPSTSSSSSPVTSASDSDSGSPVLNASGSGISGAVFGSDISGVANKWSVWCWPWVAVIWMLDFMHALKEGFENAWHQLRHLGCDCNLGDVEN >Et_1A_008745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11391693:11393487:-1 gene:Et_1A_008745 transcript:Et_1A_008745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDQMIREEFRPDVVVLVSVLKACAHLGAIERGRSIHRFLETERVRGTPGNFMLATALIDMYCKCGCMEEAWQVFEGVRNGDVVLCNAMVGGLAINGHGDRAIELFRRMIEKGLVPNESTFIAVLCACTHTGRVAEGKKIFKSMKDHGVEPQREHYGCLADLLGRAGLVEEAEAMLLDMPMEAYSSQWGALMASCRMHNNIHVGERVGKRLIELEPEDGGRYVILFNMYAVNGLWEEARALRQMMEERGAKKETGYQPNAIMGMNCEEDKSITVFYHRGRLALVFGVLNIPQGIPVRIVKNLLKRKYGVMINPQTDLHQSTWLDVKGHWNQILACSPEVTQSLLENQ >Et_1A_007620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36553365:36557190:1 gene:Et_1A_007620 transcript:Et_1A_007620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAAGSGGNSLPTVGTDGQKRRVCYFYDPEVGNYYYGQGHPMKPHRIRMTHSLLGRYGLLDQMQVLRPHPARDRDLCRFHSDDYIHFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYSFCQTYAGASVGGAVKLNHGHDIAINWSGGLHHAKKCEASGFCYVNDIVLAILELLKHHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGYSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEMFRPGAVVLQCGADSLSGDRLGCFNLSIRGHAECVKYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGQELEDKMPVNEYYEYFGPDYTLHVAPSNMENKNTRQQLDDIRSKLLDNLSKLRHAPSVQFHERPPETELPEPDEDQEDPDERHDPDSDMEIDGHKPVEESARRSILGIRVKRELAENESKAQDGNRGTSEHRGVEPMVEDIGSSKQTPQADANAMTIDDPSNVKNEADGSTKMPDQPPVYHKP >Et_10A_000607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13588461:13592349:-1 gene:Et_10A_000607 transcript:Et_10A_000607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAESQVQEVKLFNRWTFDDVQYLHVHLVLPLLDAGRRRTRCGYLTLEWTSTQDINETVSENKGAGDLITDSDGGKRRKGSKRAHLALLIAVGSPPASSTAVGQHAIYLPHTAGRYSAKRFRKAQCPIVERLTNSLMMHGRNNGKKILAVRIVKHTMEIIHLLTDANPIQIIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >Et_1A_005920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15559700:15561377:-1 gene:Et_1A_005920 transcript:Et_1A_005920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGGSRMGVAGALGLSVTSSVAIVICNKYLISTLGFFFATTLTSWHLMVTFFTLHIAQRLRFFEPKPIDTRTVISFGLLNGISIGLLNLCLGFNSMTKLAIIPFTIVLETLFLNKKFSQSIKASLMVLLLGVGIASVTDLQLNLLGSIIAVLTIAATCVGQILTNQIQRRLKVSSTQLLYQSSPYQSAVLLVTGPFVDKLLTKRDVFAFNYTVQVVAFIVLSCSIAVCVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYVLLRDPFTVRNVVGILIAIFGMGLYSYYSVTESRKKTEAAAGSLPVAAHQMGEKDSAPLLGAKSSPWQESKDAESFDYVPRTAKSAFTGR >Et_7A_051019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13725572:13729534:1 gene:Et_7A_051019 transcript:Et_7A_051019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFHPWLMLDSVWNNGIVSIMGKSTNGHPLPYHSEVDQHHYSVAAVAVGLGIGVAGLFKALHSGFTIPWVSPRKFFSGSGRVYYIGGLQNLGNNCFLNVILQALASCDGFVSFLDYLIKIDDALPEEKAERMPLIFALSSLLEDLSIVRDERTTLNPKGVMHALTSYDASEAFLHLLISLRDEFSHCYVPHRSSLADITQSQSKVYKQREGNQPECKRWKKNLFGPFDGTIGSILSCRNCSSVLSMDFENFHCLPLAPVLNINGDIMSGCSLVDCLKYFTMVERLDNYRCDRCWHIAAAKYLSHKSEVDEGKVSRLHTCVNYGTCSCRLMFRPEERPCLPSSRATKQLIISQCPKILCFHLLRASLSIDGEPIKQAGHISFPLLLNLSPFVGGALSTVQGSGPLAMNSQRDGQQALHLYRQLNMQMPVNVSPTGGNLPRQPYKEEVSNNSGCSIRTGNIDVACSLSSPSRSKLYGLTAVVEHYGVSGGGHYAVYRRVASNCDANDPGQSLPGLGRTWFYISDGHVSQVSEDDVLGAEATLLFYERL >Et_7B_053455.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:20471260:20471751:-1 gene:Et_7B_053455 transcript:Et_7B_053455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKTRKKSNDYVTTVKVTGWCRTEVKEFWGWYQRTYGGMGYCNLQCIHWSMNTTFLVCLKICAPYVKTIWSLMIINSVVYLYLLLLVAPGMPIPVSILILGWMEVPVLILVPYVYDPVNSVVLFVLYLQMLALLLSLEYYVLGDKWLCYLSYYLKTTALFNG >Et_5B_045331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18586494:18587908:1 gene:Et_5B_045331 transcript:Et_5B_045331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSNNSGTGELLCLLRAFWSFRPSPVRPSCCPGEDLFKLELLQQRSNKYMPFKDRPNIRDSYRSQKVSEPRAPSPRAKSFCGADRGDLLGMVMGFAGLSGAIFTQLHRSFAGAGDDGRRTLRECRAVKRFLCACIVLGVFLLAVNVVELKAPSFPKPAYYVTAIVLVLLLVTLKLVILETLTSQTTNGKETQLSSPERSTATQLSSSGTRTRSSPPSPPRHCSAGRHSAAGSSRTSKKATSRQAARERQPHASTATGSAKGPRGEHALAGAGNGRKR >Et_4A_034807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6707353:6709349:-1 gene:Et_4A_034807 transcript:Et_4A_034807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWMILACVVAAEAAVAALLTIPAPRAVRNQIVGLVSLLLQPIATVIPFAAFQLLDIYWKKEHRLMCTSEVCTADERIRFEKTIFKAQRNVILCVSACLLYWCIYRIVKYNKDIKALEEAERRLKEE >Et_2B_021632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3801464:3825412:1 gene:Et_2B_021632 transcript:Et_2B_021632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTLLRGQEATAGSDDIAGVSSTQRKSPRRSELPVGGGSTMRKLMLREIGGLSGRPPPQPDAAFCAETFRRFKLQEEAPVRCHGRFPPCTVTAITKIVLANDFIFVVDMPGSCVAFHYTANSSIIPYRKGDCIWNFNYNNEHIQDLFYNKINDSIITVSLSAWSDYKMRAFPLEPGIMIVAYELICNHLPIKVLSTENWRVMKEFNYHTPPCERLQSIQLCHENLLVKEYDQDLQVIDIWNRGNVTSIENSEHEGPLFSIGLNANNVLVKLENGMFITCNSRGEQAPLLEEAHNDSRCSIWHVDINKDNKFAILFSMMSTENPREEAYNSQASSVGMINVKPCNNLDLTAATRKDSDRRRMNNAQSSTREALKDVTALCYDVDHHKIYTGNSRGFIHLRSAHTSQSTMRKLMLREIGGMSGQPPPRPDAAFCTETFRRFKLQETFLLHADVDVLPNWTSRSIANIIPANEFIFVISKYGHCAAFHHTANASVVPYKKNGGVWHFGYKGELIEDLYYNKINDSIIIVSSMTSRCGYKTRAFPLEPGIMIVAYKLIHNRLPIKEEFLVHTDRELWPWMAKDITRIIPANDFIFVMDSNGYCVAFHYTGNASIIPYEKDGGIWYFNYYGEVIRDLYYNKINDSVITVSSTVHGHKTRAFPLEHGVMIVAYQLIHDHLPIKVFSTKNWTVLEEFSYCTAPHKTLQFIELRHEHLLLKEVDQKLQVINFENGLVVTCNSRGEQVPLLEDAPNGSGSSITHLDTARDNNIAVLYSRRSIGNPSEGIQNSQASSTGTINICSTINGKPIVEVNPCDDNLDVEAATQRDTHNNRMSEAQRCAHKALKNVTVIFYDDDRHKIYTGNSSGYFHIKCLLTWKKDERVVKSEMFIKAIIKRCDQCHCFDHSGNVRAQSVREAANREIASGIKEDDLAHFQIHWAKGEPDKVICKGVFLGERLSRVKGTAATRCPMPWTGAPQPNGSPDIVVASEFSLVRGSQYPGCQRFIYGGKERNLARDSFISKETLEITEREKIDRKPKEVGINRWAVMMLLQLIHRKGWYFHNSAELIEDLHYNKINDSIIIVSSMIRRCDYKIETLVISKKNSIRRIRQASKVTGVQIMWILEHRKGKDNIVEETSHHFQSDGGGVGLRGRGRNPKLITYSPLYYNNDLVVRTLLTHFKIKCCDNASPQRFTRKISSIGGGERERPMHVVH >Et_10B_004153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1657692:1661474:-1 gene:Et_10B_004153 transcript:Et_10B_004153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFNQRERQHQAAVTPEEVSSWHSISLPGCADKCGEVFIPYPFGIGVDCAAASLSRYFIVTCNDTFHPPRPTVGDDEAAVEVTGISLEHGEMRVLSPVNHICFSSNTTSTKLTGGYTLRPTPFLPSPSRNRFTVIGCNTLGLIGGYKGAASQYVAGCYSYCEGINTTSDGAPCAGLGCCEAAIPANLTAFGVTFEMNQSKVWGFNPCFYAMVAEVGWYSFRQQDLVGHLGFIDSRAKSGAPIVADWAIRNGLCPEEGNEPPSGYACVSANSYCTSANNGPGYLCQCSKGYEGNPYIQNGCQGLCVSAIVLMALACLFTMQLQRRRHKKEKEEYFRQNGGLKLYDEMRSRKVHAICILTEKEIKRATDNYNEDRIIGCGGHGMIYKGILDDHKEVAIKKSKIINDDSREEFVNEIIVLSQINHRNIVKLVGCCLDVDVPMLVYEFVSNGTLSEFLHGTDCQAPIPLDLRLKIATQSAEALAYLHSSTSCTIIHGDVKSANILLDYQHNAKVADFGVSALKSMDEREFIMFVQGTLGYLDPESFISHQLTEKSDVYSFGVVLLELITRKRAMYDDKSNKKKSLSYTFLLRFRQNKHQVMLDSEITDEAVMVVLEKLTKLAVHCLRPNGDDRPTMKEVAECLQMLTRIYMDTTNGYDDIQYTNNYHEELSSIEVPLDEMTYDVYTPCDPGSVGRLKLAGSLLAGVEL >Et_7A_050668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1038959:1042997:1 gene:Et_7A_050668 transcript:Et_7A_050668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDMNPDSQDTCDSGGNPVEIEVGGLDIRDDYRSDLEEPCRNPGKWQCSICAHKNDTSHLSCDLCGVLQDLSLYFKNTSEAEPRGKRRNKYSGVSVLARSLFAPSSTRSKSIILSNGSQENSDATGNKQATMGALHKTYMTRKERHINIVPFKFDTPSPDDMVTTGLKSSKKFRKVDTEAFFKDSVDVTGKKMMDDDVLSTENDSSAKLDELGGTSRNVPSSGQNNTLVLDHELQHLSLERKPKNSKPKIKKPVPVSQYKPDPWMLQSEDQETRRQLNLAIVGHVDSGKSTLCGRLLHALGLISKKQMHKYEKEAKEKGKGSFAYAWAMDEGTDERERGITMTVAVAYFDTEKYHVVLLDSPGHKDFVPNMISGATQADAAVLVVDASIGSFEAGMGVNGIGQTKEHSQLIRSFGVENLIVAVNKMDLVEYSKERFHFVKSQLGVFLGSCGYKDSSITWVPLSAMANENLVTPASDSRLLSWYSGNCLLKAIDSLPPPHRDVSKPLRLPICDVIASHTLGQLAVCGKVVAGGIRTGSKVLVMPSGDLATVKTIERDSSTCNLARAGDSISVGLQGIDPGHVVSGGVLCHPDFPVSLASSLELKILVLEITMPILTGLQLEVQIHHARVSASLVKIVSLWDHKTGKASKKSPRLLTARQAAIVEVKLDKEVCVEEFSTLKALGRVFLRSRGNTIAVGVVTRILEPAPLN >Et_6A_045852.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:19836862:19838713:1 gene:Et_6A_045852 transcript:Et_6A_045852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAVVARRREAERWVSEAEKLLIARDLEGCKQFVSQALSTDPRVPGADDLFAAADVLLAARRRRLPSGSPDPYAVLGLDSSVPASRDPDVVHSHYRRVSLLLNRSHPDRPCSLAFADAGRLVADAWAFLSDPLRKASHDSDLDANAAAAAAKAAAATAAAAPAPPASSPEKQQQHQPQPQQQGPQPQPPQPQPQSQSPRPQRKSPPSATKPRQAASSTLPSKRGRPTRAAKPTATPPERQQEAEVPQAPTFWTACPSCCHLHQYDSSFEAQTLLCPSCRRPFLAAAMATMPPIVPGTDMYYCSWGFFPMGFPGGPAFAGPFNSPAQQAPAALGFYPMGPYLPLPGQGGVVESNMAVGAGNAIPATVTAAAPAVAMPVKSSHVKIGAKKRGRPKGSKNKKVVIEIN >Et_2B_022905.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:7682261:7682770:1 gene:Et_2B_022905 transcript:Et_2B_022905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSLIALVVAMAVALACVVSAQNTAQDIVNLHNKARAAVGVGPVVWDPAVAQYAQAYASTRANDCLLQFSDGPYGENLLLARAGQAWTVADAVRWWAALKVYYHYDTNSCDSGKMAECTAYTNVVQRRTTRIGCGSVACAGNLGTFIICNYDPPGIEGQRPFSALS >Et_2B_020020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16184043:16185573:1 gene:Et_2B_020020 transcript:Et_2B_020020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTILLSLILGLLAAANAVPFDFYYLILMWPGAYCEDSSNGCCVPPYGYPAEDFFVQQFITFDLSINKAAVRCKNGKAFEAKQLDKIENNLNHYWSNIKCPATDGLNSWKSEWNSYGVCSGLKQLDYFKAGLNLRKQADVLSALAEQGIKPDYKLYSTEKIKWAVKQQLGVAPGLQCRDGPFGKKQLYQIYLCVDTDAATFIECPKLPAGLNCPAQVVFHPYYSWMLNTTSAAVFDAKIMLPTETMI >Et_10B_003947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8113444:8117018:-1 gene:Et_10B_003947 transcript:Et_10B_003947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNPDDPELRHFLGNISGAVFASYGEQSAGRSSPEAGNRLGVGMTENANSFDARSLMSAFQNMSLGFADGAAGSAANCGSVAFRDRHCPPGIVISSADRTSNRLLQPAFAQTDFVPSSLMMNNAEHLKPPFGDQNHPVYTRMDGSDNAYVNSVNLPPASLFEHQQFFNGWSQSYAPHQQEDLKCRGHDIHMERHPITQAHYSYQQMPHVATSDVCWIDRGQCGSANSSAKSSSSPHLTTPIVHHLGEDSADIYWNGAMGPNGNNQLNSKRVNNCPCMIYPDCSCETCEYCHIQLSEKLNHPYGLRRSPKGFLQNHILDKRSQFLSSLESDWMLKSAQLKCSSVDEVLEEFYLLAKDQNGCRFLQKIFTEGSQEDAQKVFDGVIEHIDELMVDPFGNYLVQKLLDECNEDQKMHLVYEITKRPGRLIKVSCNMHGTRVVQKVIETIKNSDEASMVVSALSSGAITLMMDSNGSHVAHRCLQKLSPEYKAFLLSEAIDYCFELAKDRQGCCIIQKCILHANKEQKNRLLYSITSRALDLAEHQYGNYVIQYILELKITWATDEILDKLEGRYGYLSMQKFSSNVVEKCIKEAREPKRVKIIHELMNDPKLLHILLDQYGNYVIQTALRECEDAAVQAALIDAIRPHSTALRNNMFGKRILSKTCLKNRK >Et_3B_028525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16426391:16431589:-1 gene:Et_3B_028525 transcript:Et_3B_028525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLNPRAPRLPPSAVGAGAVAAMCCVRGARCNATAAGGVATAGPPSSELEAIRWGTAKLQGKREEMEDEVVLRPGTLLDGFSFAAVLDGHAGFSAVQEELYKECVAALDGGAVLSAKNVEAITAAIKRAFAAVDAKLCTWLDQMDKDDESGATATAMFLRNDVLVVSHIGDSCLMISRGGRPEALTNFHRPYGNNKTSLEEIKRIRAAGGWIVDGRICGDISVARSFGDIRFKTRKNEMLVKGVKEGRWSEKFASRIQFKGDLVISSPDVSLVELGPDVEFVLLATDGLWDYMKSSEAVAFVRDQLRQHGDVQLACDALGQKVLDQRSQDNISIVIADLGRTNWKELPVERPNLFLELSQAVVTVGAVSVAIWISSFLTLQDHGTIYVAAIMEVGRDQGGEKRRYGEVNGAYHENSMPTYARRS >Et_5B_044494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2936296:2940882:1 gene:Et_5B_044494 transcript:Et_5B_044494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGEDAAAAGGGSGRGSSGGGGAGSSGSGGGARRRFDDKGLVARTSLILWHTHQNDVNAVRKLLEEDASLVNARDYDSRTPLHVAALHGWHDVAECLIANGADVNAQDRWQNTPLADAEGAKRQAMIELLKEHGGLTYGKTGSHFEPKTIPPPLTNKADWEINPLELDFTKAVIIGKGSFGEILKANWRGTPIAVKRILPSLSDDRLVIQDFKHEVNLLIKLRHPNIVQFLGAVTETKPLMLITEFLRGGDLHQYLKEKGALSPLTAVNFALDIARGMAYLHNEPNVVIHRDLKPRNILLVNSAANHLKVGDFGLSKIIKAQHANDVYKMTGETGSYRYMAPEVFKHRKYDKKVDIFSFAMILYEMLEGDPPFSNYEPYEAAKYVADGHRPTFRKGYSNDLKELIELCWSADINLRPSFLEILKKLDKMKENLSHDSHWHLFQ >Et_1B_013367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7871136:7873669:1 gene:Et_1B_013367 transcript:Et_1B_013367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNRTTERGHESARTMILALILVAAIFLARPAAVAATAMQPDATCQRRCGGIDIPYPFGIGPGCHLETGDWTFMLSCNRTADGRDRLFNYQIEVLDMSVRRGQLRIYNSINPWCYNATTRAMNEQSNWWYNMDITNYRVNDAANRFTVVGCNSLAYIQSVNDTSNDGRYMTGCMAMCPGVDRLANGSCAGVGCCQTAIPGGLNAYNVSFEEKFNTTAIESYSPCSYAVLVEAAAFDFRTTYVTSDEFMVANDGQVPLVLDWAIGNKTCEEAKRNASAYACVSRNSECVDSKYGRGSGYLCNCSAGYDGNPYLLDGCHDINECEDKRFKYPCSVPGTCTNTVGGFYCSCPHKTTGNAYNGTCEKDKSQTGWQIAIGVSSGFVVLIITASCLYMIHAKRRLAKIKREYFKQHGGLILFEEMRSRQGLSFTLFTEVELEAATGRFDERNVIGKGANGTVYRGTTKDGETVAIKKCRLSNERQKKEFGKEMLILSQINHRNVVKLYGCCLEVEVPMLVYKYIPNGTLYRLIHGVPRTRRDGDEPWPRVPFSLRLRIAHQAAEALAYLHSWASPPIIHGDDYTAMVSDFGASTVAPTDQAQLVTLVQGTCGYLDPEYMRTCKLTDKSDVYSFGVVLLELLTCRKALNLEELEEEKYLSSQFLLVLGEGRLEEILDEQAKAEQSVELLEQVADLAKRCLEMAGDRRPTMRQVAEELDRLSRVSQHPWGRQDSGEILALLSGASPSTASEVELTTRNASFTDTAYIGIRSPR >Et_8A_057657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5732276:5732707:-1 gene:Et_8A_057657 transcript:Et_8A_057657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIWLMIFDARSFLKSAQSRICRPGLELLYAEKPTAVVVFSGLYWKWSRPCGNTNRSPFCSVLTYTWFLSALMNPATIVPSTTSVAPGCVSSGTTPPGRMSKRVAEMSSAFTAGNWGESAEASFVLKGGMSRPGWARPR >Et_7A_052430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:797198:802362:1 gene:Et_7A_052430 transcript:Et_7A_052430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDADAVKGRRGGGQVCQICGDGVGTTAEGDVFAACDVCGFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPPIRGEEGDDTDADDASDFNYPASGNEDQKQKIADRMRSWRMNAGGSGDVGRPKYDSGEIGFTKYDSDEIPRGYIPSVTNSQISGEIPGASPDHHMMSPTGNIGKRAPFPYVNHSPNPSREFSGSIGNVAWKERVDGWKMKQDKGTIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPLPSSRINPYRMVIVLRLIVLSIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWFPINRETYLDRLSLRYDREGEPSQLAAVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFVKKYNIEPRAPEWYFSQKIDYLKDKVHPSFVKDRRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDSEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYMLNLDCDHYINNSKALREAMCFLMDPNLGRRVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPAKQKKGGGAGFDDEKSLLMSQMSLEKRFGQSAAFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYSGRLKFLERFAYINTTIYPLTSIPLLIYCVLPAICLLTGKFIIPEISNFASIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDTQTCGINC >Et_4A_032431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9991729:9995446:1 gene:Et_4A_032431 transcript:Et_4A_032431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPKVEGFSIPRPTSYNFERSQPVQRLYRPTDDPDLDHIAFSDDAPSEAPAGAAAEGGKAGDDEEIDPLDAFMAEIQEEIRAPPPAPKPEALRRADSDEDEDDPVESFLRAKKDAGLTLAADALRAGYDSDEEVYAAAKAVDAGMMDYDSDDNPIVVDKKKIEPIPPLDHSTIEYDAFNKDFYEEKPSISGMSDQEVADYMKSLAIRVSGFDVPRPIKNFQDCGFPVPLMNAIAKQGYEKPTTIQCQSLPIILSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELEKEEGPIGVICAPTRELAHQIYLEAKKFAKPYNLRVAAVYGGVSKFDQFKELKAGCEIVIATPGRLIDLLKMKALKMFRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPYKVEHLAREILTDPIRVTVGQVGSANEDIRQVVNVLPSDAEKMPWLLEKLPGMIDDGDVLVFASKKARVDEVEKELNQRGFRIAALHGDKDQASRMETLQKFKSGVYHVLVATDVAARGLDIKSIKTVVNFDIAKEMDMHIHRIGRTGRAGGKKGGKGKGGGGGGSGRGRGVRGVDFGLGIGYNSGSGSQVPAPRSAAVNSLKTGMMQQFKSNFVSGASNTPSSSAPSFVRPALRGFVSGGTIGGDAQAARPVQPPPSFVPASQPAQSAPSFVPASRPAGNSNENGNPNPESTRDRSRERKRPSGWDR >Et_3B_028529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16512070:16513090:1 gene:Et_3B_028529 transcript:Et_3B_028529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAPPPQVVEDFLGIVQLLSDGSYRLAPEQRLPAAVHDAAAFLSWLRAQAELPGSGADPRLTSPGRSCPACRPAPTWPTTSWSAGYFLLCAAFGSVARTAEEETDDASAAAAVAAIDQLWRMALPVGATRDHPLANPFGPDSPALEPLPLPPALVVVPGRDVLRGHVLRYAARLKEMGKAVELVEFEEDHGFSVGWGDATRELVRILKRFVHHGAAVAGCSEPAGGGAVRSDELMMLLI >Et_9B_064123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11416971:11417689:-1 gene:Et_9B_064123 transcript:Et_9B_064123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRAAAPVFPFPATDHFSDYGFDPQLVHFFSQPETKRPSTRRRDRHDQQQPPPLESARFKLEKPISKKHHHKQQAQQQQERRRWWSSAASAARLLFKRPSSKPTAGAASTAADPASAASPLYFADDSCGNDAATAACACWAPTAMRSGHLAAAELGAASASVPYVSLRESNLGRAAAGGGAAPPPAMPIYLVT >Et_9A_063394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22767368:22772768:1 gene:Et_9A_063394 transcript:Et_9A_063394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSELPLHHSFRLSSRPHLCLLPLRLLSSTRPSSTAAAAASPSSGGNRTAPPAAPSNGSPWLKKWAPADPSQPPPAPAPSTSIDRIVHRLRNLGLGTDEDEPSASASTATAPPDGNERLGDLLDRSWARPDRQFAASSFDEAVLPWERDEVAVAGRRDEEEDSAKRRRVKAPTLAELTIEDEELRRLRRLGMTLRDRITVPKAGVTQAVTEKIHDAWRKSELVRLKFHEDLAHDMKTAHELVERRTGGLVIWRSGSVMVVYRGSNYKRPVKSQTLDGTSSPVKGGDGALFIPDASSPAESDNQGSNLAVNHANVSGLNMQNTEDMTEEELEFNQMLDELGPRFVDWWGTGILPVDADLLPQTITGYKTPYRVLPTGMRSTLTNAELTNLRKLARNLPCHFALGRNRNHQGLAAAIVKLWEKSLVVKIAVKRGIQNTNNKIMAEEIKNLTGGTLLLRNKFYIVIYRGKDFLPASVAAALAQREELTKDIQNAEEQRRSISIAQPPDDGLEGHALAGTLAEFQEAQARWGRDVSAKEQEEMKEASSRSEKEKLFRRLEHKLSIAQAKIHRAERLLSKIEASMVLANPCDDQEMITDEEKSVFRRIGLRLKSYLPIGIRGVFDGVIENMHLHWKHREVVKLISKQKTLSFVQETARLLEYESGGILVAIERVPKGYALIFYRGKNYRRPINIRPRNLLTKAKALKRAVAMQRHEALSQHIAELERNMKQMKLDMGIEDYDEEEDSSDSENEDGIAVTSATYDEDQDDFDELNDEDEFDESNDEDEFDDYDEDDKIDSYRMNLIIKLLKDVDPLLITLRVKPSL >Et_2A_018564.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3362753:3363478:1 gene:Et_2A_018564 transcript:Et_2A_018564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYAWLCKTGLDPDVALDYALLFARNELGAADVRHLDHEVLASMGVAVAKHRLQILKLARRETSAASGGRAVAAAFTALPRRATRLLAAAVHRSARSVLGRLRASTASRRDAGDRDRAAVVVAAPRLPPPPMPMMRRRQGGGRVAHWTTKVASASPLAAARGGKLPRPLPLPLPMLTHASSKPPMLTSSGSSKRRNSTATAPAVGIVGCLAAPEVCSCDEEDDDGEDVEWESMFQDLKPT >Et_9B_064907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19732012:19732448:-1 gene:Et_9B_064907 transcript:Et_9B_064907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SIRIETRKGRFHSGKESCGLQKLLSLDIGGFWAMGSQPGSGRTPDLGLLHLLFISGIFTALSLSPEMYDRWLELLEVISTVNLNEDIDALIWQYESSGQYPHSGLGNKSTTKNPIISVASVT >Et_4B_036239.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12642470:12642823:-1 gene:Et_4B_036239 transcript:Et_4B_036239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQELDLELSLSHPSLPEEEPLGFFVCTYCDRKFYTSQALGGHQNAHKYERTLAKRRREIAAAMRKNGGAVTSCRPGDAAGVETETERMRQLMGAHPGLPNAVESTGELDLSLRL >Et_1B_012540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3348760:3353879:1 gene:Et_1B_012540 transcript:Et_1B_012540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASLPPMLPLLPDTNPGIRSFLDARFRSAADLAAAAGVEAEIRGRCAELEASVSDLLVRLEEAAAAYSSCREAAGSALRVVSGGLGALKSSTSETGVEEVVDVGSEQVLFEQLPALASEVARVEMIREYSEMALKLDSLVGDVEDAVSSSVTGKLKSIGENSGKTHHVAIGYLKSIEDILDSVTTKRPQWARLLSAVDHRVDRSLAILRPQAIVDHRALLSSLGWPPPLAGTKISSTDSAKQAEIVNPLFSMMGDLKSKYSESFLSLCNLQELQKRRKARQLKGLNVSNQLRQPLWVIEELVNPISMAAQLHFSKWAEKPEFVFALAYKIIRDFVDSMDEILQPLVDKANLIGYSCREEWISGMVIALSTYLAKEIFPKQIELLQETSSSDAGSTQNQARVSWLSLVDLMISFDKRTQDLVSSSGFLLAVKDDDNWQRISVLSVFCDRPDWLEIWAEIERQDTFDKLKSAMELEKNWSTRTQGTMLEYELDDYKSPAITFAVQQGLSLLIDRARPIPSITLRTEFIRMSASPIISEFLGYMLRRCQEAEGLTALADDNALLKVSQSINAARQLESTLTEWCEDVFFLEMEKLPIDDGAGGCIFQREINHLKEFRVEWVHKISTVILRAFDARSRDYLKTKRQWLERSDGPAVSRTFIESVDYMQGRISKLESGLNALDFVMVWRSVATGVDQLLFAGIFTSGTKISNSGVERLQGDLSILFAVFSSWCLRPEGFFPRLSEGLRLLKVDEKVQSYGMYTDKNWLKEYGIRHLTAADTERIIKSRVYES >Et_2B_019113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10645488:10645964:-1 gene:Et_2B_019113 transcript:Et_2B_019113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVIFNGQSAEEVEALACREGMNLAADWVQAPVVLESDCTNVIAALENKKDSRCSLSSVRRESNEVEHELAHLAKRTTHTAVWRRSGPRISAWGGGGGAKPQIVGDHGFFSSHDNSSEAALAGVS >Et_4B_038439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2929179:2933879:-1 gene:Et_4B_038439 transcript:Et_4B_038439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRPEAAAISENEIRITTQGLIRNYVTYATSLLQEKRVKEIVLKAMGQAISKTVAIAEIIKKRIPGLHQDTVISSISITDVWEPIEEGLIGDDSSFIKLHCIQNQPSPKDISSLNSINNTSQDKIKAKQIHMAVAVVEEGGEDGAGVAGVDTVEGMVDMITKEGMADMDTKADMDTKVDMATREDMATTKVVMGVMVMIKVDMEDMKMAGTTTEAEVVVVVAEEGATGVMAVLGMSVVAEVEVALASGAMREAVDGWVVAVGGATKTIRPSRGRMCQSAHEAIPHDIVAAGASRRSDGSLLGHVIASRDGVQFLSGATCYGTRPDELTQVCRLRPDQSVE >Et_4B_038850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5273185:5277979:-1 gene:Et_4B_038850 transcript:Et_4B_038850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSLLCDAEVALIIFSGRGRLFEFSSSSCMYKTLERYRTCNFNSQEVRAPLDSEINYQEYLKLKTRVEFLQTTQRNILGEDLGPLNNKELEQLENQIEISLKQIRSRKEQELQDLNKDLRKKLQESSGESALQISWEEGGHSGTRGNANEPYQGFLHHPEDDSSLQIGMGSAVHPNEHGRSGWI >Et_1B_013518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9155129:9157434:-1 gene:Et_1B_013518 transcript:Et_1B_013518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTRPDTFFTSESVRSVSSEVATDLQILVGDCVYHLHKFPLLSKCMLLQALCADSGGDVVELPGFPGGAEAFEACAKFCYGITVTVSARNVVPLRCAAAHLGMSEAADRGNFAAKLDAFLASCLLRRWKDALSVLHSTRNYAALCEDLGVTPRCVDAVAALITSPDTSRSTSSASPWWAHDAAELGVDLFWRIMVAVKATGAVNEKTVGDALKAYARRWLPNVAAANKDVGLSSADHHQPPFDDAGDEDSSKNMKQIATRHRLLLEKIVSLLPAERDSVSCGFLLKLLKAANILSASAASKAELVRRVAWQLEEASVADLLVPSVSCVSDTLYDVDAVVAILDEFALRHAAVNNAPPPPAPLAVASGSPDDDDSPARSGGHRRSRSAESVSFDGSRRSLSAAPVSQGALVAVGRLVDGFLVEVAKDPNLPLDKLLAIAEAVPDTARPEHDGLYKVVDTYLKAHPGMSKSARKRLCRVLNCRKLSEKACAHAAQNELLPLRVVVQVLFFEHARAAALSGGAVAGDQLLPGNIRALLSTAKSAGSEEDETDRVDEQRLRALAAGASPGDDWSVEGLRRAASKIATLRMKLAEEEEDDDADEFARKAGLARSASLRFRAFCAIPAGKPKRMLSKLWPLGRSGITQ >Et_7B_053704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11251408:11254488:1 gene:Et_7B_053704 transcript:Et_7B_053704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALREASRCLGLASRVSPQPARSALLAHSRGITYKLFIGGLSNFATEDSLAEAFSRYGQVIEATIVTDKVTSRPKGFGFVKYASEEEANNAREDMNGKASSSAAKKEPTGADHRSATAAIRVSTTTALAAEQHRSTSASRRALLSFLPFFRLTNSWYLLLASSGSFIPPFLGASIAAARSRSAPSPATAAPSASSTARLTSPTRPPSSAQATVASNLHPPSTTANRTSVSPVYQCRSTATARRLDTFTARPRAGSTTTQLSSSSAGAGAAASSGAGRRLRSTASTRNGEPRNHGDDAAGVSTTRDSSGAPPR >Et_2A_017567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3683545:3683773:-1 gene:Et_2A_017567 transcript:Et_2A_017567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVSFRVFHGEGQIEHRDYGYVDSPLNDPIEMQRGEVYGWLHSLLNVDPSEYQFVVRAMIPRKHGGA >Et_9B_065667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:897847:900445:1 gene:Et_9B_065667 transcript:Et_9B_065667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAPAAGGAGMVLGGGTAAAAAAAAAGGADDAVVMQLAATEGEESVITVNCPDEAGLGCDLCHTILEFGLRITRGDVSTDGHWCFVVFWVVPRSPPIKIRWASLKNRLMAMCPSAYSIPFYPDISQPGPLQYYLLKLLSHDRKGLLHDVTHILSDLELIIHRVKVCTTPDGKVVDLFFITDGKELLHTKERQGETCSTLTATLGLSISCEILPAEGFQQGFSSLPPKIAEELFRVELADSEIYSSSLSAELKRMQTATINFDNALSPAHTLLQIICADQKGLIYDILRTLKDCNIQVFYGRFRSDKKVNKGLREVDLFVKQVDGKKIIDPEKQDALRSRLRSEMLHPLRVMIVNRGPDIELLVANPVELSGKGRPHVFYDATLALKALGICIFSAEIGRQSASERQWEVYRFLLDDSKEFPLANSVTNRNRVVDRVRKTLMGCCN >Et_2B_022119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9420823:9428558:1 gene:Et_2B_022119 transcript:Et_2B_022119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCIGTADTKLEELLFLAARLRSALAADPKVTGEAIALMSKALQNFLKGRYESGTLLGAVGLGGSGGTALLAPALRSLPLGVPKLIVSTVASGHTAPYIGTSDLVLFPSVVDICGINSVSRVILSNAAAAAAGMVRGILMASNEPDETVAKPTIGITMFGVTTPCVNAVKYRLNKEGYETLVFHATGVGGKAMEELVRGGFIQGVLDITTTEVADHIVGGVMACDENRFDAIIENKIPLVLSVGALDMVNFGARDTIPPAFANRKIHVHNEQVSLMRTTVEENKKFARFIADKINKSPSRVTVCLPQKGISALDALGMPFYDPEATSMLLGELNTLIERTDIREVKLLPYHINDPEFANSLVDAVLSMNLMTSSSVTQKNNTPQPKKDEKSQDSSSVQRISDSSSIWRSPADFPDARTETLQKTKSILHKLKQQISEGIPVIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVVEMANEVLPVVKGVPVLAGVCATDPFRRMDYFLRQLETIGFCGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMISRAHNMGFLTTPYAFNPEEATAMAKAGAHIIVAHMGLTTAGSIGAKTAVTLKDSVVRVQAIADAALGINPEVIVLCHGGPISGPQEAEFILKNTKRVHGFYGASSMERLPLEQAITNTALNSLAPKAADY >Et_3A_025781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32887005:32889530:-1 gene:Et_3A_025781 transcript:Et_3A_025781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVSRVQKELTECNRDRDVSGVSIAIHDGATISHLTGTISGPKDTPYEGGTFVIDIHLPSGYPFEPPKMQFITKVWHPNISSQNGAICLDILKDQWSPALTLKTALLSLQALLSAPAPDDPQDAVVAQQYLRDYSTFVSTARYWTEAFAKSASTGMEEKVQKLVEMGFPEDLVRSTLKSVDGDENMALEKLCSG >Et_4B_038173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26968440:26974256:-1 gene:Et_4B_038173 transcript:Et_4B_038173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAWPSSAFVLVNSRLDVRDLTPARWSVPARRRPEVEWATINCATKKAYGCGKYGQSLLEGITLHASLADYPDLTSALSITLSAEARRGGGRLPQREAGGQGVHTDGDTAPPRPHRGFPRPILCYGNLTYYLVYDSADASLHMVPYLPRHLNARYTTTPVAVDTGAYGHKLVLMAREIGREPAGDGDGTVCLCTPATRASSGSDSHRTWRMKAQQRFPKPPLFFPDETFSLGGKAYWADIGQGVLYCHLLDEASIVDFPFIKLPDGYEIDDDFFNRTEERMTRTIGCAGSSIKFVCIRRAYTGHESVHVWTLDLQRRRWKRDQGFPCPWNAFSKRLGGFMNAADFWNHVRFMDAQLRDVEPQFPTLMPDGALCFLLRWRNRVWGQRETCYICRFDMGSKRVLWFGLVYDYCNIGPLIKCSLPSPSERKLPRILKQSPKHRPGYSSISLPSYEYQQPFNQEKEIN >Et_1B_012256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30171291:30172634:-1 gene:Et_1B_012256 transcript:Et_1B_012256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLPPSVRREILLRGACAAMAAAAALLLGLSAQTKTVLFVRKKAVSKEVQALWVLIVASAAASAYHVVQLARTLYLGHFAGDGGCRRLSRGMACASLLLDKGCAYMVFAMTVAALQACFVALNGVGALQWSKLCNIYTRFCEQIAAGMLCSLLAAAGMAVLAAFSARELFHRPYSSAAGGQCTYH >Et_8B_059380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17280104:17283432:-1 gene:Et_8B_059380 transcript:Et_8B_059380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPAPARTTVCVTGAGGFLASWLVKLLLSTGRYAVRGTARDPGADKNAHLMALEGAGERLRLVKADMLDYGSVASAVAGCEGVFHVASPVPYGRCSDPEADVIAPAVTGTLNVLRACHEAKVKRVVLVSSVAAVFNNPSWPKGKEFDEDSWSDEEYCRKIEEWYFLSKISAERGAFAYAAKTGLDIVSVCPCLVIGPLLQSTVPTSVKYFLGYIKGDHETVENRLTNLVDVRDVADALLLAYENSEASGRYLCSSTPIRVSDVINIVRTSCPTHSYPKSFVEVEDNITYNTEKLQKLGWSFRPIVETIRDSYECYRTLGILN >Et_6A_047470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6250749:6253612:1 gene:Et_6A_047470 transcript:Et_6A_047470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRNDLVRFWIARGFVEEQEEELLEDTAEEYYTELIYRNLLQPDPHYADSGRCTMHDLLRLRRISIVPDKGSLIFPNLDQDHIRARTFLIHNLNVEAITVENIIFRRLPNIRRTMKQMQCFLNDANQRGTEESAVDYYLRKLKDAIYDADDIIDLPRLEGNMLAQDAPASGCSAIVTICQLISFLPNIQKHHEIAV >Et_1A_006742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2698703:2704793:-1 gene:Et_1A_006742 transcript:Et_1A_006742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRNREEDEEEEYDIEEEEEERGGKGSRRGGGRGKRSRVESFIDDAASEDEDADDDDDDDDDDEEYEGGGGRGRGRASKMKPSSILIDDMAIVDNESEEEPSDAESDDGFIVDDRAEFPDENMGRTSRPHFIPNMGDEIDIDEDELAQQIRDRYGRPSHCEYGNELTDDVEQQALLPSVKDPKLWMVKCAIGCERETAACLMQKFIDMPDIQIKSVVALEHLKNYIYFEAEKESHVKEACKGLRNILASAKITLIPIREMTDVLSVESKSIDLAMDSWVRMKLGAYKGDLAKVVDVDNVRQKVTVKLIPRIDLQVLANKLDGFEVVKKKSFVPPPRFFSVDEAREMHIRVERRRNRNSGEYFDVVDGLMFKDGFLHKTYSIKSISTQNVKPSFDELEKFRKPGDDLNEDVASLSSLFSNRKKGHFMKGDAVIVIKGDLKNLKGSVEKVEDGTVHIQPKQSGLPKTLAFGEKDLCKYFKTGDHVKVVSGVQEGATGMVIKVEGHVLIILSDTTKEHIRIFADNVVESSEVTTGITRIGDYELHDLVLLNNLSFGIIIRVLKGVPDKPELVLVKLREIKCKIYRRTSAKDGSSNTVSTKDVVRVIEGACKGKQGPVEHIHRGILFIYDRHYLEHSGFICAKAESCLLVGGPVASNRGNAMDRADPHPRTFSSPGRVFQSPGRLPPRGPLMNSGGRFGGRGGGGGRGHDALVNRCIKIKSGPYKGYRGRVKEVTGALVRVELDSQMKIVTVKRQDIGDTATVTTPFRETRYSRGGETPMHPSRTPMHSMQTPMRDPGATPVRDGMRTPMPTRAWVPMSPPRDSWEDGNPATWASSPTYQPGTPPARPYEAPTPGSGWASTSGVGFDATSGNAPSPYVPSTPIGKPMTPDPSSYLPGTPGGQPLTPGNIGMDVMSPVIGAEGEGNWFLPDVLVNVSREGGGDTNGVVKEVLQLTSENLDVQDGSCLVALGPLGGGDEVIAGPNEVEVVRPRKNERLLIMNGSMRGQTGRLIGVDGSDGIVRVEGSLDVKIVDMMILGKAAA >Et_4A_032370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32139772:32140425:-1 gene:Et_4A_032370 transcript:Et_4A_032370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPYPSSCGKPRPGDFPSLAGKKPASSCLSAVASAPTKKIARPASAPNLAALHAAARPRQPSSAARVFAPSEKPSATRPPRQPSTPTKNAAAPPAASKKQSASEFMAPRDAKTVHPARRLAPGTAVCVRTRFMMITDKCCLVIWLPARVVSASDAYHCTVKYSADLSPAFAGKMARKPVDHIRVLAPQRATVKAEPRNMASVVVR >Et_3B_029143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22478534:22486111:1 gene:Et_3B_029143 transcript:Et_3B_029143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRYQAATLIASPCYPDAIAWSSENLVAVASGHLVTILNPDALEGPREVVVLHRNDPFPIGVVNREDLFEPCLLPTCLARDNEPCVRSISWSKQGFAPNSGCLLSVCTVDGHVKLYRSPICEFCDEWVEVADISQLLFNYYKNINFGEDDIAHLTSQKSVNTEHTTHELQEHLSRRGPARRTKKPSRVDGYIYDDNEDGEDTVKDADFSLEPRSNVKKRPLEKVVKHGQGHVNGQGRSQNTKAPLSSNAASKSLPRITAKQYACRDALVSSLVVAWSPDLSSSDSVSYLLRDWCILAVGSKSGNVSFWKVCKPEYYTIDIGMVSREPVLIGVLQAHKSWVSAINWEVSSASSSKSSLLLATGCSDGSVKIWSSNIEGLRQCTGAKEVPFVCVAEVRKKHQITTDLPAPVSSISLSAPARLQPEVNLAIGRLSGSLETWIWNTCSNKIENSNACHAHDQVDNSVLCWISHGNNLEQIPMHTNFPELKESTDLSEVSDRCFGLTLAPGEQMIAVIRSLDSNLLNPMYEARTQKALVEFIWIGNRFLHTPIEQSIHICVQESPSLSVTKLWGSDILWSLKNFETGERALVLWDVIAALQGLNKSAPAYLRTLMHKWVSDLFSDGSHCVSVDAPSNSRRDMVSKVSLRKLHLLNIICRKVMLRDHDKEPGAENSNATTDFWNDLLGASVENNWFPVGVAQMDSWVSMNDGELHNQLRSLRPRIQDLGSRIGSVCEYSAEEACTYCSAPVPFESPDVAACRGNNPAERHMLLRCRSSMRLCSVLQPVWHCVCCGGMVDKLLPESFFTMPPSPLDPGRDDSLDLSAPAVPLCPFCGILLQRSKPEFLMSVSPV >Et_5A_041326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21205521:21211308:1 gene:Et_5A_041326 transcript:Et_5A_041326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRERDVEEETRNQMMQNLFGDQSEDEDADDDDVVEVVDEDDQPQPQRLHQEEADDEDDAEEEEDDARSHAHGRGSGYHSEEVDGEAENGGEGEGEGEGESEGQVGMEEESEGEAHQADVDQGESDGDKVQSSPERELGDQRMQTDARGTDSEDEGYEQRTVANRRRGVVASESEGSEDNYYGDQAHDDEEAREARKPRSPVEEDEVVRDVFGDSDEDEPGPYQPRHEIDEDSHRSPMEDEGHYDKDMQPEDMVADEDMRYESDENRELKQKEKPVGPPLDLVVPLKQPPARPDKMNVIKVSNIMGIEPKPFDPKTYVEEESFVTDESGTKKRIRLEDNIVRWRTVKNADGTTSCESNARIVKWKDGSMQLLIGNEVLDISVQEAHHDQSHLFLRTGKGILQSQGRLLQKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWFETKDPEKAKQENERVAGQNIRAHSILQRKREKVTRKYTQPPRPRRQLSPGFLEDALDEDEEHDNHYSSRRMPPRRHFEDELEAEARAERRIINAKSNMSRSVSRKPSYPPARAPRRQVDEYSESEREESEYETEGEDIEHSPPRGRGDDLDEDDYEEDVEEAPLSDEEMEAPKRRRESGGGSHRREELDSEEDDDSPPRKQQAVQHRRKAVVFDSDDDE >Et_1B_010937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15675488:15684903:-1 gene:Et_1B_010937 transcript:Et_1B_010937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLPAVLLLLLLVLIREGRCQPQPPPGLLDRAPPLPAVQPSLDADGEVSRVATRLTDEVQRKYGFCMADAFEDINRTFNFTDASFASDCMEQTKGQMASMLCRQAEIELYVKTLASSRTSARVSPNCNQNSWALGCQPGWACSTLDSESSDETVVPSRAVRCRLCCPGFFCPRGLTCMMPCPLGAYCPLGTLNETTGLCDPYFYQITPGLNNECGTADSWADVVTTNDIFCPPGYYCPTTTQKYNCSKGHYCRKGSTDKTKCFWKNTCKGNNSVKEQIGLFGGVLVVVLIIVLLLVYNCSGLFIAIQVKLSSRSRKKAERIAKESATARERWKLAKDLALSNEAEMSERSPEQLSESSDGVLPAKRSKNLKKLMHARTERFRRAYEQIDKERSQHLDRDKLTISGMVSLVNENRARRPMLEVAFRDLTLSIGKKKLLQCVTGKLSPGRVTAIMGPSGAGKTTFLNAVLGKTSGYKKDGLVLINGKPGSMQSYKKIIGFVPQDDIVHGNLTVEENLWFSLCCRLTKGTSRSYKVVVLERVIGSLGLQEIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQLLLKALRHEALQGVNVCAVIHQPSYTLFNMFDDFVLLARGGLIVCHGPVSEVEMYFAGLGIKVPDRENPPDYFIDILEGIVKIQMRGNVTPKHLPLLWLLHNGFDVPDDLQKDLEDINTIRQLYTVRSISSEQSLTEHSDNADSVHHNARQSNQMLDRKTPGVFAQYGYYLGRVAKQRLRESTQQAVDYLILCIAGICIGTIAKVRDDSFGVASYGYTIMALSALRSFSPEKLQYWRERESGMSTLAYFIARDTIDHFNTVVKPIIFLSTFYFFNNPRSTLKDNYLVLLALIYCVTGIGYTFAIWFELGLAQLCSALIPVVLVLVGTKPELPRVVKELCYPKWALEAFIIAGAKECVADYSMWSTAPRRLRYQLFWSLHRHYYALCT >Et_8A_056251.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:18331328:18331585:1 gene:Et_8A_056251 transcript:Et_8A_056251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVATTPLLVCGLVASTLEASSVWQTGDAEAVAAHAHEGDGIGNVRRLQQQLKHFGPHPRPAYFSRVVKGDGGKRLVPSSRNPL >Et_9B_063968.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:7563821:7564135:-1 gene:Et_9B_063968 transcript:Et_9B_063968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TDNLVIASTISVNNFVVEPGHWRLRPILYEFKANNTPAEGTVGHISRDRNTTAHTLAAKAISSTQRTTPVFRCDHLDHCNQCPVIEALARVNWGSFALVPVNCR >Et_6A_047019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24165973:24168332:1 gene:Et_6A_047019 transcript:Et_6A_047019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHAKKKKRSRAVSTVLDNDDLLSEVLLRVALPTSLVRAALVCRRWLRVAADPAFLLRFHDSHPPCLLGFYVHSARLRGVPKFAPMPGLPPELAAGALRAGKALDAFAAETSMAFVLYCLDGHLLVRLRHRDVVLSPMRRSAGRGAVVVVPPPPLSASLYDHFTTWLKRRDEFPGRRPGVIVCAAWRRRGDQTISTYELRGGAWHEQHNNNTSSSSSAPAKTKLPDPPTPNPLDMPYFFLRCGGKIYLVSSASVIADLRCSASASEEPSSHLTFVALPDGVNYKLWNERKLSAWTDSSVIYLIKVDAERLQLCAWLYQLDAKEASWSLEDTHCLRTLLAASGITTTTSLFSRDRRLDVVIQAVGHLCVFLQVGADVLHIHIKNRTVEKVYTVTPEDGDFVMLAPLTMIFPPVFPRHDSQRSVSA >Et_9B_064712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1812644:1814525:1 gene:Et_9B_064712 transcript:Et_9B_064712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGVNGQDALVDLESGSCVVVSEHNNGVDTSFTGGQARTVHNGTWNGCVGANEALKDDRNQHMDSSPPASDAASKSGDDKKSEGEEKLGLLDNSGGEKTKKKRSKKPPRPPGPPTLTPLDVSDQKLLNELNELAVLKRARIERMKALKKMKNAKQGSSSSHLCPLIITIIFCVVILWQGFCSRPGTTVSFHGSPESSIREHSSLISIRFYKKNHSSIRQHSSTTEAPDNEEAASSGGIHNQARRMAR >Et_5A_042355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9005732:9009683:-1 gene:Et_5A_042355 transcript:Et_5A_042355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKWGLSSGTPADSYYEVRSDCTEDVPKSKFKIKAGKTLSARKWQAAFSPDGCLDIASVLSRIQRGGVHPTVRGEVWEFLLGCFDPRSTFDEREEIRQIRRLQYARWKEDCRMMDSHVGSGKIITAPLITEDGRPIKDPLVLLEATSDQSTSGSASTSNKIEVDVDAEPITDKQIIEWKLTLHQIGLDVLRTDRTMVFYENKENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIVLLKDEADAFWCFEKLMRRLRGNFKCTDQSVGVANQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEEASTTNKKVSKSKLKGLRHFGKWDKESTKNGGEDGEEGPVPISVFMVASVLKEKREKLLQEARGLDDLIRILNDVNGNLDAKKACAGALKLHKKYLKKVRHYSFILLFNQVSCFANFYG >Et_1A_009551.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:7918132:7919478:-1 gene:Et_1A_009551 transcript:Et_1A_009551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAHPVLLALLLSLLLVALRRTASSAPTPSVTFPGDAAALASLKSAVAASSIPPYSCLASWDFAARDPCAAFPCGLRCYAPPNSSHLRVAGVALDPAGYAGALPAAALASLPFLASLSLAGNRFHGALPAGVTLPPSLRVLDLSGNAFSGAIPGSLFARASGTLQELYLSRNAFTGTIPPEVASLAALTRLDLQNNGLTGGLPRMDAMRALAHLDVSGNALSGALLDAPGRLPSSVISVVARNNSFSGPVRAAALSALPSIRVLDLTGNALSGAVPGAAFAHPALQQLRLGSNRLDALEEAPNNGGTSSQLVELDLSGNRIAGRLPGCLGSMPRLTAVALDGNRFTGGIPDLYVARVAAEEATDQWVPFARLMLQGNFLCGALPSQLRQLKEGSAVVSLADNCLPRCPRKFFFCQGAPQKNVAACPTKCDTAIRVREHEDSLLEIP >Et_5B_044872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6725415:6730353:-1 gene:Et_5B_044872 transcript:Et_5B_044872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRGRGRGRGKPRAKAAEAEPVEEAVEAEVEADDAKPEEEAAKSVDGKEEESGSDTDSEREADADAKVADPAAKAEETDGESEEDIANTDGESEEEAAGTGGDNEDAEADSDTEGDESPPPPPPSRGRRRKRAATPEPDPEDDEAEEDTPVQPSRRRRRKSGLRGDSPPPLPDYLRCRRSDGKKWRCSGRALPTVSFCEYHYARANKGKKTTPADGEVLAVALQRQKNKRKGRRIVNPPASPLPSTTRDLPNGLMTISPGSSGPAAESPLLTKVGVDIPAPIRRCYRSKNAEPMPVGPVKVVPRTMSMTKAVQRTCHRCGLKKAARVSNCKTCDKNFCNSCINKWYSEMSRKDIKARCPVCRGRCNCKNCTLGQSKGLSKASPSGQGNILRIKISNHQFYKLLPVRLNQEQLDELELEAKIHGTKISNIRVQVAETGQSESLHCNNCKQFARQFLRSCPTCPYKLCLSCCQKIREGNMLESNPEDKFAHRLLQQESVHEDGSITCPSIELGGCGDALLNLIYASSSSQSEEVSSGDELDAPGNHSGVHRSPGPESNGRLSSAQEESMST >Et_2B_021990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7789738:7790294:-1 gene:Et_2B_021990 transcript:Et_2B_021990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEANKYYFSNFLELIAHQKGD >Et_3A_026726.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:17348306:17348500:-1 gene:Et_3A_026726 transcript:Et_3A_026726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVKGAMGGAGGDGGGDKRRNYGNQGFRGNRFKAGRGHGRSSSPPGQDGDPTMAVEVAGLM >Et_1A_007852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38889092:38896550:1 gene:Et_1A_007852 transcript:Et_1A_007852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRGGDGDEAATVPPQHLVFAYYITGHGFGHATRALEVVRHLIAAGHDVHVVTAAPEFVFTTEITSPGLHIRKVLLDCGAVQADALTVDRLASLEKYHQTAVVPRESILRTEVEWLNSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVVAAGHHHRSIVWQIAEDYSHCEFLLRLPGYCPMPAFRDVIDVPLVVRRLHKSRSEVAAHIIQDTAVGKKYISGKLSGARRLRDAIVLGYQLQRAPGRDVGIPDWYSLSEKEIGVRPTPTSVDMNRSAESFEDFEILHGDMQGLTDTMSFLKSLSGLDGNDPRSPEKQPRERAAASVLFDWEEEIYVARAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRSDPIKQKQWKHTQARQLAKGVAVPVLQIVSFGSELSNRAPTFDMDLSDFMDGDKPISYDKAKEYFSLDPSQKWAAYVAGTVLVLMTELGVRFTDSMSILVSSSVPEGKGVSSSASVEVATMSAIAAAYGLNIAPRDLALLCQKVENRVVGAPCGVMDQMTSACGEANKLLAMVCQPAEVKELVSIPTHIRFWGLDSGIRHSVGGTDYGSVRVGTYMGRKMIKCAASDLLSESLPSCVPMHSEDANPDEYEEHGVDLLKNEASMEYLCNLPPHRYEAVYAKDLPEIITGDAFLKKFGDHNDTVTVIDPKRSYSVKAPTRHPIYENFRVEAFKALLTAAKTNEQLSALGELMYQCHYSYSACGLGSDGTDRLVNLVQEIQHRKTSRGGGPSLFGAKITGGGSGGSVCVIGKNCLRSSEEIFEIQKRYKAATGYLPIVFEGSSPGAGKFGYLKIRRRST >Et_8B_058869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10222532:10225511:-1 gene:Et_8B_058869 transcript:Et_8B_058869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGPIRQDWEPVVVRKKAPTAAAKKDEKAVNAARRSGAEIETMKKYNAGTNKAASSGTSFNTKRLDDDTENLAHERVSSDLKKNLMQARLDKKMTQAQLAQMINEKPQVIQEYDCVTTFAFAVPSAQNGVQQILASV >Et_4A_033488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23610599:23611146:-1 gene:Et_4A_033488 transcript:Et_4A_033488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMKVLNADSDNARAEEADAAGLLNLTVEEENAQENQDGLLQNGRVANQHRSSSLFADCEGATSPPGLWFPWRIETLLQRTGG >Et_2A_018307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22115679:22117350:1 gene:Et_2A_018307 transcript:Et_2A_018307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPWASVVALVLATVLFLRAVLRHRRRPKMNLPGPRPWPVIGNFNLLGTLPHRSLNALSKQYGPLMRVHFGSFPVVVASSVDMAKFFLKTHDVLFIDRPRMAAGKHTTYNYSNIGWCPYGAYWRQARKLCADELFSTRRIDSFEHVRGEEVHALLRGLHATAGQVVPVKERLSTMSLNIITRMVLGRKYVDKEGVAAYGGSVMALKEFSDLDLFLQEERKVIRIGANNDLIAGGTESAAVIAEWAISELLKNPETLAKATEELDRVIGSDRWVTEKDISHLPYLEAIVKETMRLHIVAPMLSPRLSREAVSVNGYNIPAGTIVLVNVWAISHDPSLWDAPEEFRPERFIGSKIDVKGHDFELLPFGSGRRMCPSYVLGLKVIQLSLANLLHGFAWRLPVGMTKEELSMEEIFGLSTPRKFPLQAVVAPKLPAHLYTA >Et_3A_026583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9944984:9946336:-1 gene:Et_3A_026583 transcript:Et_3A_026583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAALTNETSTERPRCETCSEAKVKQASTRTSNRTKSPMAHAKAAGHLTVPNLQALAQTWNESGEQVPERYVRTTEQAGEEEVVASCAIPVVDLSRLLDPQFRRGAAQPWVCLSTRFLPGTDTYSTCFYHSCVELFLACQLSFTSCVQIINHGVPDEVIQDMRRDTSEFFKLPLEAKEVYAQLPDSVEGYGHAFVLSETQKLDWADMIYLMLRPAESRDMRFWPAHPPSLRDSVLDGGGKGGVLLAAVHGADMGLEQPERFLEMFAGEPQTMRVTYYPPCRQAGKVIGLSPHTDACAMTLLLHINDAPCRSGGTMHGKWLSVEPLDGALIVSIGDTFEILSNGQYKSIEHRAMVHPDKERISAAMFHLPCPSVTVGPLPELVEGGAPARYKSVGHAEFIERFFATKLDGRRSNLEYYRI >Et_4A_032937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15955260:15955678:1 gene:Et_4A_032937 transcript:Et_4A_032937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKKTGDYSTSSNEKRTACTSSGMTGNLHLDNTFDDELAPICGLNKEAVSKMAKTVVSLSSSQGATNQSGIPFTGIIINSTR >Et_1B_011447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22310384:22310911:1 gene:Et_1B_011447 transcript:Et_1B_011447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKVRSPVMGSNTHMSDARSEPWFQSISLRSRSTSPPRDRLRRSSATRDMKGARWSPANDAAAGVAGWTRENPVSRRKPSSHGGMTPPGDLQIRRTNSGLAISRRRSLHAAAHRKRVAASSDRATISSRRSVGSVPVADARAWRRRWCAAMVWLRWMLLVSVRQAVRGLY >Et_8B_060067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5678476:5680741:-1 gene:Et_8B_060067 transcript:Et_8B_060067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPKNARTNDESITAGAEPKPKRARKSASRESASSSSSQQQQQQQQRTSAYRGVTRHRWTGRFEAHLWDKDAWNHESQTKKKKGKQGAYDEEEAAARAHDLAALKYWGPGTVLNFPLCSYDEELKEMEGQAREEYIGSVRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVLGNKYLYLGTYSTQEEAAVAYDIAAIEHRGLNAVTNFDISHYVNWHRHRRLLGEDDGLDATETGPIQLPNNDITEAAPVAISLDETVVAAAAFHDGERHDVDSYIAQLPGDQVRKPVHKTPTSSALDLLLQSSKFKEMMEQVSAAAAESNTSSPSPSPSPSTSPYSPSLSQPSPEERQPELSGGASSAPCSFPDDVQTYFECDDGMSFAFAEVDTFLFGDLGAYAAPMFQCDLDV >Et_2A_015793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1862073:1862742:1 gene:Et_2A_015793 transcript:Et_2A_015793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFTRAFYKDKKLVAVKRSKVMNLSETDEFVQEIIILSQANHKNVVRLLGCCFEVEVPILVYEFIPNGTLSHMIHSDDRPPMSLEVRLRIAQESAEALEYLHLSINRPIVHGDVKSLNILLDENYMAKVTDFGPSRLLLNSRTRGAGNSRYLDPEYLQEHKLTEKSDVYSFGVVLVELITRKNAIYSEGLEQGKCLASSFIQAMKQNRVKDMLTAA >Et_4B_040040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8177617:8178072:-1 gene:Et_4B_040040 transcript:Et_4B_040040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRRAVHRAVEASDGPSSPLVRTVRSSLGTVVHHAGQAVVGGGRLITVRRGPSRLVNPCLRAAPLGGLALRSLGTGPTLQERQADLQEAGGCRALVVQASRGEERVQLLRQWLVALKETQRPACGHRDDGGAATWG >Et_9A_062657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2890677:2895444:-1 gene:Et_9A_062657 transcript:Et_9A_062657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTQEEGEGGEASRPGSGARRWLAPPRGGLRLAPTATNEEEEASMSRSHRGKRKRRDAPEDPKKRKKSKVAGKKRARKMEHEKEAPLASAATEKEACSSEASSAPDIASWSRLINLQSVHALLRKSFFLSESKSCIILTSALLICSKPPLDEWSSDSVEYAPNAEVVVHLLHKNETTVAAHLLHYDKHFNVALFKMDKYISVETPSFCTEVMYGHEGYVLGRNDDQFLKIDHGKFLYNGPSVYERHHYMFISCGLVSQCGTGGLVIDLKGQVLGMIYKGLRFIPSSILLKCLHMWKTIGFVPRLHMGMKFSPIKFLDPAHLERISIKCNIDTGLIVNEVSKGSAAEKIGVRIGDIIESLNGVSVATTVELEDMLLSICQNHHGKGKVIGSTVDVTKTQ >Et_3B_030349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32344156:32349029:-1 gene:Et_3B_030349 transcript:Et_3B_030349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPIVARSAVRKPNETMRLVVVTIVGVVFGFFIGISFPAISITKLHFPSGFVAYVEEKNSGLSAQALLNQAYTVSRNAGTNGSEPTSNTTMKIYVPTNPRGAESLAPGIVVPESDFHQHRLWGNPDEFSENFAILLFHYDGRVSEWDEFEWSKRAIHISIRRQAKWWYAKRFLHPDIVAPYEYIFIWDEDLGVEHFNAEEYIKLVKKYQLEISQPGLEPDKGLTWQMTKRRGDRQVHKDTEEREGWCSDPHVPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGQAEQGKAPWEGVRERCRKEWGIFQTRMAEAEKAYYETMGVTPPNIETERFCKLILSINRGEQKEKERSGTYSIFGVKAMLLLKVVADERHLNLDVAKRAREVLPQLSIF >Et_7B_053769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12025708:12030886:1 gene:Et_7B_053769 transcript:Et_7B_053769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWRLALLVLCAAAVFAPPSAIAADFFWGNLAGATAPTLGDLHGAACVACLPSRRLLPQRGDLPPRHSIRSSQFMSPAETLGAATLDCPLDFSWPNYNQIASVCSDENGHSKCCRYINAVLAVSSAMYANTTGTLGVPTQYSDACIGNFSDTLVAKGIVPTAASFCGLGIKILVSYQCLGMTTIVEMLQSPNFSDVTRSCAATLSDDVTCKRCLNSGLSYLRHLVGEEDNITLNTCRDAAFVAFMNQGNISTVDTASCFFTVQELSALQVNISGPSPAGLPAPNFSPSPLTVQVPVVPPKHHRSYKVVLFPAIGALVTGLAIVLMIVLILLIRRKSKELEKIEGNAWSFSCVKKGQQGNSTIFGRFSYNEMKKATKNFSTVLGGGENGTEFKGHLNDGSVVVIRRLESSSKQSQREFCKEMEFIGRLHHRHLVGLKGFSLTRFERFQVYEYMENGSLQDHLHSPGKHLLPWKNRIRIAIDVANALEYLHFYCDPPLCHGDVKPSNVLLDKNYLAKLAGCGLVRCSRAGDTSGSSTPVNVKVQAAPGYVDPEYVVTQELTPKSDVYSYGVLLLELVTGKPVVVQDDDSRSLVDWSRELIGTDYRLHELVDPAVADAFDLDELQVVADVIHWCTHRDGAARPSMKQVLRILYERLDPLSGRFARAVEGEEGYYYYSHGGVKAGKAAGGGEFSGEAARSTSWLPSSSSTTRSHCSRSVLLEQRDSPDQSTESPARGHGTFLV >Et_1B_013738.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:14852696:14854525:1 gene:Et_1B_013738 transcript:Et_1B_013738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGPCSTGHGHSRRSRSRRSDQFDSLSVTGGTSWRELPSYRSMPPSPSPLLASAVPTQPFFRPMEPRVFDDDDPVERTPRPLRDDQRSGASAQEASSGGALVALTTHCEYSALARDASADDFAVLVHARAPGASAGGQAAAPRAPLDLVTVLDVSGSMVGTKLALLKQAMGFVIDNLGPRDRLCVISFSSGASRLMRLARMSDAGKTLARRAVGSLTAGGGTNIGEALRRAAKVIDERMHRNAVASVVLLSDGQDTYTAPPRRGGAANYDALVPPSFAHTSVGRGSAPVHTFGFGTDHDAAAMHTVAEATGGTFSFVEDEAAIQDAFAQCIGGLLSVAVQDLRVDVACVNPGVSVRAVKSGGYKSRVEGDGGAASVDVGELYADEERRFLLFLHVPRAHAWDGDTTQLVQVSCSYLDTASGRDKAVVGEVAAVRRLSRLEEAAPERSAEVERERVRVEATDDIAAARAAAERGAHAEAVEILRSRQRAVARSSVAREGDTMCAALARELREMRARVADRRRYELSGRAYVLAGLSSHAQQRATSRQMTFVGEKRSGESAEGYSLALATAGSTTSYMTPAMLDMLDRSRRSRELQRQHQQQSMDRRRTF >Et_7B_055590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1634333:1636508:-1 gene:Et_7B_055590 transcript:Et_7B_055590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSWRRQGAAAREGPVAAGDGGAEATRARRPRGWRAVAFFIGVYAAASMASNAFATPLTAYLIDRYNMKPNAATNVNNIFSGALNFSPVVGAFVADALWGRFRTLLFGIAFGVIAMVVITLSATVHQLKPPACSAPDRLAGACVGPSGLHRAVLYVGMALLVVAAGGTNPTGLPFGADQFDKSSERHKGGLTRYYAGYYAIAMLATFLALTVVAYVEVKVSWGLGFAIPTALFLAAFAVFLAGAALGLYVYVPPEGSIFSSVARVVVASCRKWRLRLPHPGDVRLQEELLYNPPGAGNGGQQRRVFKLRLTPQLSFLNKAAIVTDAAAELRPDDGTPVRPWRLCSVQQVEEVKCLVRIIPVWVSGVMWFTLMTEMINYTFLQASTMDLHIGKRFTFPPISIIAVFYLSVALFVPVYDLLVAGVARNKARGGGNGVTLLQRQGAGLVVSALGFVVAAVVERRRRRSALGHGGDGASPLSAFLLAPQLAVMGVSGALNMVGQVEFYNTQFPDQMLTLANAAFYCAQGISSYLATLVVNIVNARTRGRRGESGWVGDGINAGRLDYFYYAMAVLGAANFVYFLVCSHFYRYKGEQAGDAVAGPDDEPEPARDSASTGGSEDALLKT >Et_3B_031315.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25585512:25585772:1 gene:Et_3B_031315 transcript:Et_3B_031315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLDAIVHPRGWVPWDKKVVNASRASYAPFSTASTTTLGLRRRVRWPGFHPIKHAAQARKYTVEEFIHGGEWLPGTGVAYNPGL >Et_7B_053782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12098821:12115158:-1 gene:Et_7B_053782 transcript:Et_7B_053782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKQPASKQKQKPKSSSASSSNSSSAAAAAPRLQISSENERRLRRLLLNSSATTAPATAPSDGPAARSESREQKARRLRGVYDKLALEGFSSEQIEQALSALSDLATFESALDWLCFNLPGDELPLKFSSGTGDTSSRVEAEGSVKVLSTAKDNWVPRSREPEEAKGSTEGLEIKIVSRREEDVSLDDGRSSQAAWIRQYMEQQEEDDDTNSNDSLTWEDHCTPSFEVVEAKPSRRKIKAAKKKSRHENSKEQISQAADLPSNPETANIEGGHNDSGATENKSDSLVNIDDGSDMQKAIPKDVSETRTKEIEEEEVELDNLFFEDSSAWDAVAPEILKQQKLEKLVQDGYGHLLGNIDDIWKKGDSGKKPKAILQKFCQKLGWEAPKYSKISEKDGKFVYAVNVLRGATGRGKSRKAGGLTKIQLPELDEEYGSVEEAQSRVAAFALYQFFADLPLRQLLTEPYSSLILRWQEGELSSSSRVLDMEDTRRAGFVDMLLNMDTDTIATSQIRDGSAAGTLVDSGNTEDKNSVCAKRDTTMPGVGSSEHVESVILKKQLEDKRKLPNYLKMLEARASLPIARQKNHFLQLLKENDVIVVSGETGCGKTTQVPQFILDDMIESELGGYCNIVCTQPRRIAAISVAERVSDERCESSPGSNDSLVGYQVRLDSARNERTKLLFCTTGILLRKLSGNTDLSDVTHVVVDEVHERTILGDFLLIVLKNLVEKRSNQQGRKLKVILMSATVDSSLFARYFGECPVISVEGRTHPVSTHFLEDVYEQLEYCLALDSPASGAYFAQHGEKWKHASSTVNNRRGKKNLVLSSWGDESTLSEGYVNPHYTSDYYKSYGERTNQNLKRLNEDVIDFDLLEDLICYIDENCPPGAVLVFLPGVAEIDMLIDRLSASVRFGGASSDWILPLHSLLGPIDQRKVFESPPDNFRKVIVATDIAETSITIDDVIYVVDTGKHKENRYNPRKRMSSIVEDWISRANAKQRRGRAGRVKPGLCFCLYTRHRFENVMRPFQVPEMLRMPLTELCLQIKSLHLGDIKSFLLKAVEPPNEEAISSAVNLLYKVGAFEGHEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPILSVAAFLSYKSPFLSPKDEKQNVEKAKAALSNENLDGSTSATDNKQSDHILMIIAYNKWSRILLEHGAKSARQFCHSFYLNSTVMYMIRDMRLQFGTLLADIGLVDLPKDSLKLKEGRRKSNLETWFSNMSLPFNMYGRCPSVIKSVICAGLYPNVAATLEGVDPGALGGRKPSDILFSKDRPRWYDGKREVHIHPSSVNHSLKAVHNPFLAFLEKVETTRVFLRDTSVISPYSLLLFGGSMVIQHQTGVVVIDGWLRLSAAAQTAVLFKQLRMTLDAVLKELTRKPEMATFVDNDVVRSIIHLLLEEDKAQQA >Et_4B_039244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9056584:9062889:1 gene:Et_4B_039244 transcript:Et_4B_039244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIFLSRNHSRGLGSGESPPEDSPPPTSQGGSGHGARACATRKTKEFKHMLANLEKEGVEIDGKIASIIGDEVAKIKAKAVRENLNEPKRKGMTLLRAIACVAVSFIMGAEWNPRQDLGSGDYPPTGSPPLSSRKGRQADVGRKTKEEVDHLLAKLEKEGVEIDGKIASIIDDGIARIKAEATRENTNEPKRNDLSLLRAIACIAIGFVMGAECFDHAFRASMPREDVSSI >Et_2B_021458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29904481:29906528:1 gene:Et_2B_021458 transcript:Et_2B_021458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGNGHLSCLTLLLLLLGLASCQVLFQGFNWESSSQSGGWYNLLMSKVDDIAAAGVTHVWLPPPSHSVSPQGYMPGRLYDLDASKYGTASELKSLIAAFHNKGVQAVADIVINHRCADYKDSRGIYCVFEGGTSDGRLDWGPHMICRDDTQYSDGTGNLDTGDAYAAAPDIDHLNDRVQRELKDWLLWLKSDIGFDAWRLDFARGYSPEVARVYVDGTAPSLVVAEIWNGMQNGDDGKPAYDQDAHRQALVDWVDKMGGAASPAMVFDFTTKGILNAAVEGELWRLIDAQGKAPGVIGWWPAKAVTFVDNHDTGSTQKLWPFPSDKVMQGYAYILTHPGNPCIFYDHFFDWGFKDEIAALVAVRKRNGITPTSTLTILEHDGDAYVAEVDGKVIVKIGSRFDVGHLIPAGFQVAAHGNDYCVWEKGASRMIPA >Et_5B_044637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4246519:4248650:1 gene:Et_5B_044637 transcript:Et_5B_044637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVGEHHHGLLSPTPTSATKISIPFSSGEPALFGKGRHKTWALAAIALLALWSMFAASVTLRWSSGDLAATFSDASDPLIDDLDPLEMEERERLVRRMWDMYTRSGDHARLPRFWQEAFEAAYEELAGDDMQASDAAVSEIARMSAETRETFTGNDGSKLKNCQ >Et_8A_056620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13685426:13696011:1 gene:Et_8A_056620 transcript:Et_8A_056620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPAILLCRAPAPPVAALARHQSSSFPAASPSCSASTASTANSLATPGRCQGSGRGRLVAAVQQSPSRVASPTIADTEGKTESEVAMGYTMTEICDKFIEFFMHKKPETKDWRKVLVFREEWQRYREHFYKRCQVRIDMETDSSIKQKLVVLARKVKKIDGEIEKHMELFTELRENPSDINAIVARRRKDFTGEFFRHLNFLLNAYNGLNERDGVIRLGAKCLSAIHAYDCTIEQLDIESAQSKFDDILNSSSLGDACDKIKSLAKAKELDSSLILLINRAWAAAKDSTTMKDQVKDIMYNIYTTTKESLKIISPPEMKLLKYLLNIEDPEERFGALASAFSPGDEREAKDEDALYTTPNELHKWIKMMLDSYHLNKEETDLMDARKMSDPVIIQRLILLKETVEEEYMKQYIHPEEQESMGDEEQEE >Et_1B_010275.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:34002867:34003139:-1 gene:Et_1B_010275 transcript:Et_1B_010275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPKLFLCLPEQLLKRPVAKVLCGDHKPLHLLADTHCEESLRNTMAAAAFVNLGCKCKPLPLLEKLLKPHNVSDLVAARPAQLPHCQSR >Et_4B_036318.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:17335417:17335608:1 gene:Et_4B_036318 transcript:Et_4B_036318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRFRHFHHFGRMEAFEGHKQAHFQLPSPSTVALAQEIIDEAAVWRATGFAKRDLVFPHHV >Et_5B_044245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21984077:21988819:-1 gene:Et_5B_044245 transcript:Et_5B_044245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAAPTPKAVLHQRFGPKLRYTVEEVREVVDGGAGGCPGLAPQTRSVYRCTLDLPGLSVATPGTFVRKKDAEQAAAQIALDKLGIQTTANTPSTPEEAWDELIARISGFFADDKFLSSSHPLIGHLSVMLRRTGDCIGRIPMSAIAACDAKVNTLCKTIDPKADSDPLLVLSLIYNAAKLSPGVSVSDSNFWIQTSDSSHVLVSRTIGKASSEIRLYFAAPNIQFVSDFSKNALACHGDGEISCRVNKRASYISGQKIYGDAILANIGYTRRDSEIHTEDVNLSTYYRILLGKLPDGNYKISRDSILAAELPSVYSRSSWKGLLPRDLLCSFCRLHRLSEPHFSINNSTIDKENPDVFKCDVKICSRKQETFLEYSAASTWSKESDAIQNSALEVLIWFNNYFKELNTKTDKLYLSECTGDFKLNPNTFLEEFAMYLSVYARTGGNDSSTYSAVSMAKQQLEKSATLTRIEGPDSGIFPSHGSLTCISYTATLVMKDAAKTYMLETNNEFEFEIGAGAVNNLLESCVTQLSVNQTACFLAELPPMDLILAAASESSHELSRISRESCFLEFSVKVTEPLEDRMEKALFSPPLSKQRVEFAVQHINELHATTLVDFGCGSGSLLDSLLEHPTTLEKVVGVDISRKGLTRAAKVIEHMEEDQASLCGNVILSSFCPKVLIVSTPNYEYNPILQRSAMPNKEDEPEENTGPCKFRNHDHKFEWTRAQFQSWATGLAVKHNYSVEFNGVGGSGEEPGYASQIAVFRKLTWDREAVFLNKDQGQPYEVLWEWPNTSVAQD >Et_1B_012874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3767453:3770242:1 gene:Et_1B_012874 transcript:Et_1B_012874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASMVASSSCVAQAAAAAAAAAAMEMESSLPQQERPRAVPAGSGGPKSRHHSYSRKEKSLGLLCSNFVALYDRDNAEPIGLDDAAKSLGVERRRIYDIVNVLESVGILMRKAKNRYTWIGFDGVPMALRELKERALKEKFGLAPLQKEQQSAASMSDDEDDKLGDPDGDTDSEKLSQTVSNPSDKPGAPQCRLRSDHRKEKSLGLLTQNFVKLFLTMEVDAISLDQASKLLLAEGHEDTNMRTKIRRLYDIANVLSSLNLIEKVHQENTKKPAFRWLTKGGRPKTEAGVTVDVPLSAKTVSNKRTFGTDLTNINRSNLDSTIQKKAKLAQSGSDILNSCKLSVQSRLGQGSRSGFVYGPFHPSSARKQEPGGANKSGQRERAEDWESLSALFRPQYQNQGLGDLFAHYVEAWKTWYSDLAQGSNIVRQHLGQSAINHFL >Et_2A_017955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8226644:8228095:-1 gene:Et_2A_017955 transcript:Et_2A_017955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding THVRHTDASVHVLTGAVTVVLRPATPPTRLAVDAHRSTADRALAWATWSCSPALPSPCPRARHGCDVSRVTALPTVSSAEPGPATPPRSSPAHRPALRRLDLRLSTRRVFPSADPFYVRYESPRPLLAGTYVDVPGVHRVPSSWRTAGLSGNPTACLSTRSTPWNRRPSRLCGGGAVVAVGPLVPVDLRETGEAAKEQQGSSPYMAWLDRQPARSVVYVSFGSRKALARDQISELAAGLEASGHRFFWVVKGAVLYRDDGGELGNMLGEEFLKRVEGRALVTMSWVDQDEVLRHTAVGLFVSHCGWNSVTEAARNGVPVLAWPWFADQRVNARVVARCGAGVWTERWSWEGEEAVVGAAEIAEKVKAAMADATLVIQRVENIHLVNKTSLIVTQLIVRMYQQTFWINSINNPNQDRDIASQNIIIIYIY >Et_7B_053430.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:19028331:19028525:1 gene:Et_7B_053430 transcript:Et_7B_053430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCRCRRGNVRWTAANSMACASARRTAPTYAAQKGSWTADAATSSAAACARRHARRIFEAFKID >Et_9B_064553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16459423:16461539:1 gene:Et_9B_064553 transcript:Et_9B_064553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSSALPSTLLLVAAVVLVLSGTGVHADTRHYTFDVQMTNVTRLCSTKSIVTVNGQFPGPKVFAREGDHLVVKVVNNVPYNVTIHWHGVRQLRSGWADGPAYVTQCPIQPGQSYTYRFQIVGQRGTPWWHAHVSWLRATLYGPLVILPPAGVPYPFLPAPDEEVPLMLGEWWKDDTEAVIAEAPAAGPTSPTRTPSTAFLDLSTTARHKVKPGKTYMLRLINAALNDELFFAVANHTLTIVDVDASYVKPLSVDALVITPGQTANVLLSALPTRPNASFYMLARPYSTTQGTFDNTTVAGVLEYEDPNAAAPTTASSSLPVLSPTLPAINDTGFVANFSSKLRSLASAEYPAAVPQQALLLHGRARHAPVRRERDVPGPNGSRLAASINNVSFVLLTSSSLLQAHYSGRSSNGVYSTDFPNYPLMPFNYTGTPPNNTNVMNGTKVAVLPFGATVELVMQDTSILGAESHPLHLHGFNLYVVGQGFGNFDPVNDPAKFNLVDPVERNTVGVHAGGWVAVRFRADNPGVWFMHCHLEVHLSWGLKMAWVVMDGSQPNQKLPPPPADLPKC >Et_6B_050051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4864530:4867335:-1 gene:Et_6B_050051 transcript:Et_6B_050051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMDEPGVAVGGGTVDASNGSSKERPLPPKDSKNSKSCVAKKPRSVSSDFGEELDLELGNGDRDSGRQQERKLTKQDRVELSRSFQRAVSSHDWPSAEGLVGMADAHGLNDVLCVAVDAIWFLSDREELQAVVALIRRIVSEGANDFTRAALRTSFLASCVSACRGRTTSLADAVSFMGQKLHERLQESHGDEVLKAEASSKVHKFTEWALKCIGLHSRVRENKGRGNHDTIIEVQLQLSAFKTFLDLADNELTGKDFTEAFDAACFPLTLFSTTFDQGWASGISAVAIQGLLELLVEGGADNVNQCFLEAARYGSTELVRILLQVAQRNSLDIDVDLALGFAAHYGKIETMGCLVEEGNASGFLGPLMRAAERGCLQVVEWFVNRGCREMELCLALTAATSSSQIAVAAYLLPLVPQHVLAPLSIEIIKAAGERSTGSLHGVDFLLRSDFLNDPAATYAVADSIARSADDAVDAKLRSFLHEHWSETAFSTGCEHAQQHFVNFMRIMERGESPICLSDLPLQLVIAMAYLPLYRECMESRGRLLPQRLRGQLVEAAGRLEGRQLDRGCEGRELLAILEHHLPRFMIQT >Et_2B_019658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11787596:11809316:1 gene:Et_2B_019658 transcript:Et_2B_019658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEISALLDLLVAATGERDPPARRLRAPAARPGLEALAGALAAGPPADPAAARAVLAAARAIVSAVLPASVEQVEPTVVEIVERSLEFCLLYLEKSSYACDDFSLLNEVACFLESVLLKATHSKVYSLEPGIINDIIEQWTSVQIEAERLSPQEKYFCYLKGCNCSKSEDDLKRLCLALSPEYLRRDHIIPENIESCSASSPNGMVPLAQHFAVVHLYCKSRLLTLVQKLCQSPALEMVEDININLRLSFTQRILKLICGLAMEFPSDDSDTVMLSSVARCADSLPALFRLKFKFSNHDRVFSVDGVGTVLLQILEEFLQLMQIIICNSDICCTIQVCIASSMLEIFSPNTWRHEKSGACLVPPLAYSPHVIQYVLKLLEDTKRRTSRLDRDKPDTDVRDYSCKSEIDDGLSCQVRSEEVPLLKKYTCEEYLQLIFPSGEQWLDGLVHLIVFLHEEGVKSQTTSEKPQLSCSKQAHLSELESVASHEEEALFGNLFAEVRPTGVVDSVEQPTSLGSSPSGSQAGPIQLAADLICFMKMFIFSPEWCSSLYMDACKKFNVHHLDQFLSILKCQACFPDESSNGNMPSCLSENKLLHINAACFELLQTFLVSAECPAALREGLVEKVFSAENGRYTYNNYTLALVANAVTYAAHSGFSLGRKILIQYVGYLLERTNDMPSSALNVCDFCAILPCAFHLEIVLVAFHLTIESEKADLIKIVLSSLEKIKDPPPGVTAAVLTRWALLLSRVLLILGHMLLYPLTHPSWLFTKLRSRLRDIHLKEDQSHSMNDCFPSFASVIVERMLDDSVKKNDVTSNLLPQLIDVIPAHTEFYFDKAAVETLGLNMADLGATFSRILNPWSGKSAVVAVDLIVERYLFLICWSILSGIGYYDNDSRVLKDALLNPDFADVNVFMTFALSISNGDPSHMGVDLPASIFGLLKKLHSDIPGSSELESWDFPRKGAWLSFILSLINASLWRQLQTGKTEVDSNRKKEVDGEHLFALGNSLFTCIMENGGLFLDVLSSLLEAYLHTFKKAYLSFVACGKPALDYCYPSLLLKHSAFDKSKHQLFSEKFGSYMELPESICELSSRIDGVATRLGEGHKNYFLLKCLLHGFPSDYTSGNSALLSCMLVINEIMQIFDVYIKVTRPGEGYQVDVGIISKLLHMVMAVKSDRIFRPVHRQCDSIFMSLISNRDDLATYSELFVLKQLEGFMADINSNESIDSGVKEILVSAVVDLVEDLRSKSDVFKFFLGDAEGAPEGASIFGSEQADMSIFIDVLDTCKSEQVILKIIHFFTDILSNGFCPALKQKLQSKFTGMEVPYLSSWLESVILGPSTRELGVDFFMRLMSSSSETLTNELQHHLFDSMLLLLDRAFLSSDLQTAKAYFDFIVQLSSEESQFKHLFEKTLTLMESMVGDENMLPTLKFLFSFVEAVFGDAGLNRSVHKRVSAKMSGNSFGSGGSLIPKQLKNSENLVIRSGQESNPTVDCDASSGEEDEDDGTSDGELGSIDRDEEEDGNSNRALASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCARVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTPMPTVPPPAASSFHPILPFHEDVEQVADSASDFEDDTSTDADNSMKLSVPKGFSDKLPVYLKDLDIEARMLEICKELLPTILSQRELNLLKDRKVCLGGNMLVSHSSDIFQLKKAFKSGSLDLKIKADYPNSRELKSHLANGSLAKSLLSISTRGKLAVGEGDKVAIFDVGQIIGQPTAAPVTADKTNVKPLSRNIVRFEIVHLVFNPLMDHYLAVAGYEDCQVLTLNSRGEVTDRLAIELALQGAYIRRVEWVPGSQVQLMVVTSRFVKIYDLSQDNISPMHYFTVADDVIVDASLVPSSMGKLVLLVLSEGGLLYRLNVILEGDVGAKILTETVSVKDAVSMHKGSSLYFSPTYRLLFVSHQDGTTFMGRLDADCSSVTELSYICEEDHDGKSKPAGLHRWRELVVGTGILTCLSKSKSNSPLAVSLGSHLLVAQNMRHSTGSNSSVVGVAAYKPLSKDKTHCLLLYDDGSLHIYSHTSSGGDSSPSLTAEHTKKLGSSILNSRAYASTKPEFPLDFFEKTTCITGDVKFNSDTTKSSDPESIKQRLTSDDGYLESLTSAGFKVTVSNPNSDIVMVGCRIHVGNTSASNIPSEVTIFHRVIKLDEGMRSWYDIPFTTAESLLADEEFTITVGRTFDGSSVPRIDSIEVYGRAKDEFGWKEKMDAVLDMEVLGGHSSGGRSGKKPQIVQAAPIQEQVLADALRILSRIYLLCRPSCFTDTDAGMELSNLKCRALLETIFQSDREPLLLSAACRVLQAVFPKKDIYYHVKDTMRLLGVIKSLPAITSRIGVGGAASSWVIKEFIAQIHTVSKVALHRKSNLASFLETRGTELVDGLMQVFWGILDLDRPDTQTINSLVVPCVEFIYSYAECLALYSKEKSGVSVAPAVALLKKLLFAPYEAVQTSSSLAISSRFLQVPFPKQTMIANDDAPENQAKASGSAMNSTTGNAQVMIEEDPATSSVQYCCDGCSTVPILRQRWHCNICPDFDLCETCYEILDADRLPAPHSKDHPMSAIPIELDTFGGEGNEIHFSIDELTNSGVVHAPADRSVQTSPSSVHILDANESSDFPESIADQTTVSISASKRAVNSLLLSHLIEELRGWMGTTAGTRAIPLMQLFYRLSSAVGGPFMDSSKPDNLDLEKFVKWLMDEININRPLPAKTRCSFGEVSILVFMFFTLMFRNWHQPGGDNSHSKSSGGADLTDKGPVQVPVPSAIPLPSSSGDQDKHEFASQLVRACSALRQQSFLNYLMDILQQLVHVFKSSSISGEAAASGSGCGSLLTIRRELPAGNFSPFFSDSYAKSHPTDLFVDYYKLLLENTFRLVYSMVRPEKEKSAEKDRSYKVPNSKDLKLDGYQDVLCSYISNPHTTFVRRYARRLFLHLCGSKTHYYSVRDSWQYSHEVRKLHKIVDKSGGFRNPVPYERSVKLIKCLSTLCDVAAARPKNWQKFCLKHMDLLPFLMDNFYHFSEECIIQTLKLLNLAFYSGKDANHNAQKPENMDVGSSTRTSSQSSDSKKKRKGDDGAEEKSCMDMEQVVEMFNDKDGAMLKRFVDIFLLEWNSAGVRHEAKCVLFGVWYHAKNLLRETMMTILLQKVVYLPMYGQNIVEYTDLMTCLLGKVHDSSAKQNDSELVNKCLTPEVISCIFNTLHSQNELLANHPNSRIYNTLSCLVEFDGYYLESEPCVTCSCPDVPYSRMKLESLKSETKFTDNRIIIKCTGSFTIQSVTMNVYDARKSKSVKVLNLYYNNRPVTDLSELKNIWSLWKRAKSCHLTFNQTELKVDFPIPITACNFMIELDSFYENLQASSLESLQCPRCSRSVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFHFMAKPSFSFDNMENDDDMRKGLAAIESESENAHRRYQQLVGFKKPLIKLVSSIGEQEIDSQQKDAVQQMMVSLPGPTCKVNRKIALLGVLYGEKCKAAFNSVSKSVQTLQGLRRVLMAYLHQKNSTDSNALPAFSIPRSPSSCYGCSTTFVTQCLELLQVLSKHANCRKQLVKAGILSELFENNIHQGPRTARTLARAVLSSFSEGDADAVQELNNLIQRKVMYCLDHHRSMDIAQSTREELLLLSETCALVDEFWEARLRVAFQLLFASIKVGAKHPAISEHIILPCLRIISQACTPPKSDGGDKEAGLGKSSLVLQSKNDDTTGNSATNVPSAKIQSEVSGKSLDGSRRGQDIPLLSYSEWEGGASYLDFVRRQYKVSQAVKGSVQKTRHDSQKSDYLVLKYGLRWKRRACRKSCKSDFSKFALGSWVSDLILSSCSQSIRSEICTLISLLCPSNSPRQFQLLNLLMSLLPQTLSAGESAAEYFELLGTMIDSEASRLFLTVRGCLATLCSLITKEVSNVESQERSLSIDISQGFILHKLVELLNKFLEIPNIRARFMNDRLLSEILEAFLVIRGLVVQKTKLINDCNRLLKDLLDSLLIESTENKRQFIRACISGLQKHVKEKKRRTSLFILEQLCNLICPVKPEPVYHLILNKAHTQEEFIRGSMTKNPYSSVEIGPLMRDVKNKICHQLDLIGLLEDDYGMELLVAGNIISLDLSVSQVYEQVWRKHHGQTQHSLSSASTLTVIPSGRDCPPMTVTYRLQGLDGEATEPMIKELEEEREESQDPEIEFAIAGAVREYGGLEIILSMIQSLRDDELRSNQEELASVLNLLKYCCKIRENRCALLRLGALGLLLETARRAFSVDAMEPAEGILLIVESLTMEANESDISIAQSVFTTSNEETGAGEEARKIVLMFLERLCHHLGAKKSNKQQRNEEMVARILPYLTYGEPAAMEALIQHFDPYLRDWTEFDRLQKQHEENPKDDSISQKASMQRSAVDNFVRVSESLKTSSCGERLKEIILEKGITKAAVKHVKESFACAGQTGFRTSAEWISSLKLPSIPLILSMLKGLAKGHLPTQKCIDDEGILPLLHALEGVPGENEIGARAENLLDTLANKENNGDGFLGEKIQELRHATRDEMRRRALEKREMLLQGLGMRQEFASDGGRRIVVSQPIIEGLDDVEEEEDGLACMVCREGYTLRPTDMLGVYAFSKRVNLGATSSGSGRGDCVYTTVSHFNIIHYQCHQEAKRADAALKNPKKEWDGATLRNNETLCNCIFPLRGPSVPLGQYTRCVDQYWDQLNSLGRADGSRLRLLTYDIVLVCDADFNRMFYNNVRSCITQFNLCELHYPSKRVTSPFALDQ >Et_8A_058421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7175450:7177170:1 gene:Et_8A_058421 transcript:Et_8A_058421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWQFPAKSAAAPAFMSFRTARAEGAKEFSISGFRPPAVGDAFDSIKKHTASPVTAPQRQFGFDTPVTTQQYGHRAQGLDHSGTAGHHLLGGSRMVQPLSSRHPLPFNQANPSMIRTQSFHSTSNGLFKNQHFAMSNGFGGSTVGAYGARNPRSQNSTQMTIFYNGTVNVFDNVSVEKVHNLLIVIELWQAQELMLLASKASISSPQTVVRKPDSPISAPVVVRKPDSPISAPAKVNVPEVLPPRQIVTQKPEPSVTHLATTSSPISIVPQSVALPRSTSNCTTDSTGPNTAVQPPVVVPISQASSSQPMPLATTCAAAVMTRAVPQARKASLARFLERRKERVTSVEPYPTSKSPLESSDNKGSAVALSKSSFDIALTGNNDGEPLRFGQPRNISFSSEACPSTKLQI >Et_2B_022694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3160955:3161576:1 gene:Et_2B_022694 transcript:Et_2B_022694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARIMCGPRVRTNFPGGDASSSSSSSFLSPALVAKLHRFNLASVQAAQQQQHVRGGGKADASSASAAAALPRAAMMMPAGMSIGAPPSPSPSAEWGGGAFLEEQYVDQMIEELLDSNFSMEISY >Et_1B_014418.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:8159523:8159717:1 gene:Et_1B_014418 transcript:Et_1B_014418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVSKRGNAGIMWDRFVKQEVEGRGWVKMMIIQVVDITEELEEGVMEELVEEAVLDFSACFQR >Et_9B_065885.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:16658695:16659639:-1 gene:Et_9B_065885 transcript:Et_9B_065885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMDPGNPNWGWTWTERWMAAARPWESQTAVPGKDRATAKSAAVRPRVAAISVSVQPPTTPGGRSFRRPNWPSLPSPSTPPPRSPSVSVRTAVPASPRSSTPLHATGGLLQRTKSLQPDRRPYDRAAAAAASSPRCVVPAASPRGGGGSPVRASSSVGLQRATSMQPERCRPRSSQERAASSPSLAAGTNAALLRTTSLRSEPPRRLSLQGGGAPASAAENESAPVTPGYMQPTTSVMAKARCASPSSSSSPNKADAAEKTPAPSRTASPSAAKKRVSLGLVDKPSASSSPSTAKAERAKRHPQPPSSPRRQLT >Et_10A_002022.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:1963761:1963970:-1 gene:Et_10A_002022 transcript:Et_10A_002022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGAEGARRPFPAVSTSGVSAAAAPVFLATDKDRPVDPRIWDDEKRMKRELMAWAKAVASMVANENCP >Et_10A_001737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7471150:7475179:-1 gene:Et_10A_001737 transcript:Et_10A_001737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILDAGQRPAADMEGAGSALPLAGAAYQPYVSELLSFSIERLHKEPELLRVDAERVRRQMQEVAVENYGAFISASEALSFVRAQLEGFDSHLEALIEEIPNLTSGCTEFVESAQQILEERKLNQTLLANHSTLLDLLEIPQLMDTCIRNGNYDEALDLEAFVSKISKLHPDLPVIEGLAAEVKKTVQSLISQLLQKLRSNIQLPECLRIIAHLRRIGVFSESELRLQFLRCREAWLSGILDDLDQRNVYEYLKGMVACHRTHLFDVVNQYRAIFNNDKSGSEESYDSGLLFSWAMQQVSNHLTTLQVMLPNITEGGSLSFILDQCMYCAMGLGLVGLDFRGLLPPIFENAVLNLFSKNMNTAVENFQVVLDSHRWVPMPSVGFVANGVEDETSIDVTPPSVLMEHPPLAVFVNGVSAAMNELRPCAPLSLKHVLAQEVVKGLQAVSDSLVRYNAMRMLRGNESSLFLSLCHAFIEVAYPYSAACFGRCYPNGATLITECRSTFDSVSQLLTVPARSNSSGISIERRQSGGIERKQSGGIERKQSGSIERRQSGGFERKQSVESVGSAITENGLSPDGPGSEVNNDAGTQGSPLQSDVQTSPLANK >Et_9B_063751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11462662:11463153:-1 gene:Et_9B_063751 transcript:Et_9B_063751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLESARLGMGLNNDVPMRFPDLSSLRHLELRGRLPDDDTAIVAAVSRILEHAPNLEALSLIFHPEEDDRWSHEYLKFKDDELLDAHHLDYNPSHVRKINLVHYQGATAQRTLAKFLLSNAPVMEELCCEIAVGPFWTQTQLMCEIKG >Et_4A_032609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11879555:11882811:-1 gene:Et_4A_032609 transcript:Et_4A_032609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSLLLRHLRLAVAPSAASVRPVAALQVALWGRRWMSADETKGSFLDKSEVTDRIIKVVRNFQKIDDPSKVTPDAHFKNDLGLDSLDAVEVVMALEEEFGFEIPDNEADKIDSIKVAVDFIASHPQAK >Et_3A_024240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18881027:18884914:1 gene:Et_3A_024240 transcript:Et_3A_024240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVEDEAAAAGAPLVTNGASDVRRRREKAKAILSKQAVKIATKAEEHERFIFKVTHLLGVLGFGGFCYLLGARPQDVPYVYCLFYVIFVPLRWIYYRYKKWHYYLLDFCYYANTFLLVMILFYPKDEKLFMVCFSFAEGPLAWALIVWRCSLVFSSFDKLVSVLIHLLPGIVLFTIRWWNPQTFAAMHPEGRAARVTWPYVENKSYLWTWLFVVPLAAYTLWQLMYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNIWWRLSGLLGDKNRQIMYILLQALFTVATMALTVPIFLSYWMHVVFQILKVCAATWNGGSFILEVMPRQVVQKEKKLKMKPIEETNTTQHAEDDTSGNQQHTNEEQNQ >Et_4A_035117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9618351:9623330:-1 gene:Et_4A_035117 transcript:Et_4A_035117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPSSTPPPAPPPSPRRRAASSSLPATAFDAAISFDSLLVVKKYPKIKKHEKKGFPLFRRCEALYEDTAANPFGAGEDGQEVSEAQSAPSGSGRKRNQSQIAATLGVYLGTKTDQTLKTVEALMQKKKREDDHSVEKCLETIEAMEELTDEEKATAVELFEKDIHREIFMKFKNHNVRLIWLRKKIRN >Et_4B_036249.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13088053:13088298:1 gene:Et_4B_036249 transcript:Et_4B_036249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFDQSPCGMLFREAHFQINMHFSYVKVKHCNRLSNSCAHDIACSSLIWNSDQALVWHDPLPEFVKTLTVRDLAEPIHLE >Et_2B_019973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15751151:15751501:-1 gene:Et_2B_019973 transcript:Et_2B_019973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEALGWDSIPTSMSDLLSNWLAEGGGEDKYAVEIFCLVTRNAMAIIKEFVKHPTDLLHKIFAFMQKWRILLRPAQKEGLDAKMQKLKCWFHTFQQRSRRYAEEEGFM >Et_10A_000717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1657651:1660841:1 gene:Et_10A_000717 transcript:Et_10A_000717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFRSHDGLGQLASAAAAANCDGASPPWWAGAPHQLLYGEPLGHGRPPAMSPEEPRREGRFQVVPGCQALLELPVPPPKAVQQEREFPDVMKFPMAAPGKGEKGSDHSTTVALQSPFTLYSGRFELGLGQSMVSSKNAYTDQHYGLIAPYGAGAPPGGRMLIPLNMPADAPIFVNAKQYEGILRRRRARAKAERENRLVKARKPYLHESRHLHALRRARGSGGRFLNTKKEANGKDAGAGGKATVSNPLVRQATSPSSEIQQSELGNPSSVSSLSGSEVTSMYDHEDVDHYHSFDHLRTPFFTPLPSLMDGEHGVGNPFKWAVASEGCCDLLKA >Et_5A_040268.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:9182719:9183027:1 gene:Et_5A_040268 transcript:Et_5A_040268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAAALASRPEVGSSMNIIEGFATNSTAIVSRFLCSVDNPLTPGRPTKASRSTSSSTSDITSSTNICIKIIFIRTISACNPKKIECSTLSSRSCANSNETK >Et_3A_025213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27959059:27966512:-1 gene:Et_3A_025213 transcript:Et_3A_025213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding REHEPRVFLTADEPEAERLKHGSNDGLHLDVGHLLAEAHPGASVEDRVLEGALRPERAVVSEPPLGLELLAVFAPNVLHPRHCIRRINRRPPFPDEGAVRQEVVLLAAHCVHGHRRVQPHGLRDGGVECMSRSSSKLNVGDDEPVLPWRTARISRRILSSTSGRPETSQKNQVSAEDVVSRPARTKLVTTSRRLASLCSPLAAKRDRKSSLHANPAAPLSRRSRTIPSAYPWTVLSASRSRLSGSMLSNLFRRHSDLTGDMNLAAMALCTSLKARMNSVLCDADMPSSSKASRVLAEGHAADVVERKALQHVLQVQRPPVARRPVEQRQQPLDDLEPDGVDDEAPERALAELVAGYLALPQPLLAVGVEDAVSEEIQDHGHRELALGVVTEVGLEDVLDVGLVSGDGAADNAGKLVGHGVLGNSLQDLGGPFEEAVLVLYEVRECAQERVGLETVGARLLLGVPAQVEQAEQSEDREKQEELGRREVAYGPPLNVRANMSSSVVCIWKLSVLFSGAGQNTPQMNAGNGNGNVLANIGYFDLLYTCIGKPPFIRSHELRVFLAADEPEAERLEHARSDGFHLDVGHLLSEAHPGPSVEDRVLERALRPERAVLSEPPLRLELLAVFTPYGFHPRHRVRGISCRPPFCDERAVRQEVVLLAALRVHGHRRVKPHGLRDGGVEVVHLAELVEGEGRRRRTRASVQRGRAHRPDLAQDHVFDVGPAGDEPEEPGQRRRRRVASGEEEVGDHVAEARVAVLAARGEARQKIFPARESGRDSLPALADDPVGVAVDRLECFPQPPLRLHVEQLLDAPERLDRRHEPGHDAVLHFVESAQELGALRRGRALNKARRVLAEGHAAYVVEGEALEHVLHVQRPSVGGRPVEQRQEPLDDLEPDGVHDEAPQRALVELVAGGLALPQPLLAVGVEDAVAEEVLDGGHREVALGVVGKFRLEYVLDVGRREREHEPRPLVAAKEPEAEPLERARDDGLHLDVGHLLAEAHPGAGVKHRVLERALRPERAVLAEPPLRPELLAVLAPHVLHPRHHVRGVGHQPPFPHERAVRQEVVLLAAVRVHGHRRVQPHGLRDGRVEVVHVAQLVEAERWGRVSVAGRADRADLAHDLVLDVGPGGYEPEEPGQRRRRGVAPGEEEVGDHVAESRVAVLAARGEARQEVVPALQPRRASLPALADDPVRVAVDDAERLAHPPLGLHAEQPLEAPQRLDRRHEPRHDGVLGVVECAHELGALLRRGHARLGEAGRVLVEGHAADVVETEPLENVLHVQRPSLACRALEQRQQPVDDLEPDGVHDEVPERALAELVAGGLALPLPLLAVGVEDAVPEEVLDHGHGELALGVVAEVGLEDVLDVGRVGGDGAADEAQRLEGHGVRGVALHDLGGPVQEAVLVLDEVRDRADEGVRLEAMRASLLLGVLTQ >Et_6B_049909.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:17468746:17469003:-1 gene:Et_6B_049909 transcript:Et_6B_049909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADRLSALPDDVLRRILYFAPAKEGASTAVLSRRWRTSGAGNNDWRSYHRARGSDEEEATFRSLVKVFLPAVNAALDAAEGPLT >Et_3A_024040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16555930:16560142:-1 gene:Et_3A_024040 transcript:Et_3A_024040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLFLIVATSSAVPVIGVDSEPSLGRRPPLTYFCNSTSVQRTYLPNSTFGANLAKISASFPATASASGGFVKGSFGAAPDTVYALALCRGDTAAADCTACLSTAFQEVQSFCDGSRDVTMYFEQCHIRFFDQDFIAGTGNQPESVGWNMNNFTEPMFPGWDPNNEENVAFVTANVLTFLNETAKVAAYKSGRFATAVMDIGGAFPTLYSMAQCTPDLSSEDCLACLKEVNQQTPQFFSGRQGGRILGIRCTIRYESDKFYGGEAMKTIGPTHPDRSQSIRRNKTVIITATASVTLLALLLCSIIISIRCIRSHRKGKGRLQEKPVNSNQEETLMWTMEGNVSSDFSLFDFAQLQVATGNFSEENKLGQGGFGPVYRGKLPDGFDIAVKRLSASSGQGLVEFKNEVELIARLQHANLVRLLGCCSEGEEKMLVYEYLPNKSLDFFIFGKEEKRTLLDWRKRITIIEGIAHGLLYLHKHSRLRVIHRDLKASNILLDGNMNPKISDFGIAKIFNSNDIEGNTTRVVGTYGYMAPEYASEGLFSIKSDVFSFGVLLLEIVTGKRNSGFHRNGGFVNLLGYAWQLWKEGKWNELVDPLLVIEHSTAEPLKCINIALLCVQENAVDRPTMWDVITMLSTVGAILPEPEHPPYYNVRVENKEALAIDLELYTINEVIITELEGR >Et_1A_008627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9295762:9298500:1 gene:Et_1A_008627 transcript:Et_1A_008627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSTSLFLSASTSAPASCSSSPRFLSVGHPSSVPSVFRWTTQEKQSGHALHRRSHVQSFVAFASADAPQGKRSSGENVVMVDPLEAKRLAAKQMQEIRAREKFKRSRQAEAINGALAMIGLTAGLMVEGQTGKGILGQLAGYVAAISSLLGQ >Et_1B_011998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2804973:2809121:1 gene:Et_1B_011998 transcript:Et_1B_011998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQASPRYLSTFLGDPLALSAKPLLLRSSARGNRRLAYQATRTLCNLVDILFNRRSGDDTPENNPRRLRPGKVSPRLSVPTHIQRPPYVDSRQRPRVNNGPEIHDEKGIECMRASGRLAAQVLKFAGTLVKDGDIINIDVTVFLNGYHGDTSATFFCGDVDDEAKKLVQATKECLDKAISICAPGVEIKRIGRTIQDHAEKYKYGVVRQFVGHGVGKVFHAEPVVLHFQPMLTVGSINPVIWSDDWTAVTEDGSLSAQFEHTILITEDGPEILTQC >Et_3B_028265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12498777:12503149:1 gene:Et_3B_028265 transcript:Et_3B_028265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METRGSFGGEEEEAAARQREWEEAAEAVAYDSCTRPPPIVAVCGPGNSGKSAFSRLLLNTLLQRYKKVGYLDIDVGQPEFTPPGCYFFGDVCAKRNPALLLTYIFGLYNYFLKEFYCFSDVNDPEKPAIPLVINTSGWVKGTGLHVLSEMLKLVSPTHVIRVSTTAEAKNLPGGIFWMDEYEEDPEVNIVEIRAAQNSPRHLLVKKEARIIRDLRLIAYFRQCLPRDFPIVHSDDLVQGFSSVQPFQLLLSKIQIIDQHCQVSGTDLYRFLSGTIVGLAASASSPLSTECSTPCCIGLGFIKAIDVSEGCIYLITPVSHKIMEEVDIIFQSYIAVPSCLLEV >Et_4B_039829.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3400906:3401451:1 gene:Et_4B_039829 transcript:Et_4B_039829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRIAASTRRRKREPIAVALGQKQTTVRPPPSLPTPEASAPPPDATSSPPPPPTESPPPLSPPPPTASLPPPSPPPPVASPPPPSPASTWTPVADMSDPTIVQVGQFAVRIYALRTGQVRMSFLNVVLISLDTVTTTNGYDYRLVVTVSGGNKPQYEAYVWGIVGSLGTRSWKLMSFATH >Et_2B_021132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26806097:26810244:1 gene:Et_2B_021132 transcript:Et_2B_021132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPPVLDPEALAPPGTPPDDKEGKRGGRKRMPWRMTLSLAYQSLGVVYGDLSTSPLYVYKAAFAEDIQHTESNEEILGVLSFVFWTLTLIPLLKYVCVVLRADDNGEGGTFALYSLLCRHARAALLPPGRPAAGDDDPFFEGDAKKALENGNAVTLGGRGGGSAARVRRLLERHKVLQRVLLVLALVGTCMVIGDGVLTPAISVFSAVSGLELSMEKEHHKFYCFIMYSFLTLMFSESADVEVPIACFILICLFALQHYGTHRLGFLFAPIVITWLLCISMIGVYNIIHWEPTVYRALSPYYMYKFLRKTQRGGWMSLGGILLCVTGSEAMFADLGHFSQLSIQVKKWLLQTLFFYYPILEAIYWIAFTCMVYPALILAYMGQAAYLCRHHVMESEYRIGFYVSVPGKWPVLAIAILAAVVGSQAVITGTFSMIKQCTSLGCFPRVKIIHTSSKVHGQIYIPEINWILMILCLAVTIGFRDTKHLGNASGIEGAWVPIVLAFIFMFIMCVWHYGTIKKYEFDVQSKVSINWLLGLSPNLGIVRVRGIGLIHTELETGIPAIFSHFVTNLPAFHQVLIFLCVKNVPIPHVPPEERFLVGRIGPKEYRIYRCIVRYGYHDFHKDDIEFEKELVCSVAEFIRTGSSKVNGLLEESEMEEERMSVVRSGSIRLLEEDGTVEQTVGPSQAREIQSPSPTPTPAVGVKKRVRFVLPAASPKPNAGVQEELQELSDAREAGMAFILGHSHVKAKSGSSFLRRLVINVCYDFLRRNSRGPNYAVTIPHASTLEVGMMYYV >Et_6A_048011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3409415:3412519:1 gene:Et_6A_048011 transcript:Et_6A_048011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETRENLLVVFSRIRLFGIEEFVVVVGFGEKIDWCCSTMSFRSIVRDVRDGFGSLSRRGFEVRLLGHRRGKSHGAVHELHDPVTVVQNSLWASLPPELLQDVIERLEASEDTWPSRKHVVACAAVCRTWREMCKEIVKNPEFSGKITFPVSLKLPGPRDGLIQCFIKREKSTQTYSLYLCLSSAVLVEDGKFLLSAKRYSRPTCTEYIMSMDADNVSRSSNTYIGKLRSNFLGTKFVIYDTQPPYSANNVTQSGKTSQRLYSKKGSTKVSCGRYSIAQVSYELNVLGTRGPRRMNCVMHSIPASCLEAGGSVPCQPDSIFAHSLNKSFSSVSLKSSIMDHSMHFDSARFSDVSTVGGPGIGGQALCDDEECRDRPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAATQPAAGAPTPSQPATPSPPEQEKVILQFGKVAKDMFTMDFCYPLSAFQAFAICLSSFDTKLACE >Et_8A_057383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2520153:2528275:1 gene:Et_8A_057383 transcript:Et_8A_057383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDDAARRNAVDSYGLRPVSPEVDPPPAFAYTRHAPLRVHSFGDEPVPSAAAAPRHDTARSASFPQHNRHRHDGETTLAPAPSLISWVDGAASASASLDRALSEYGGGGGAGGAALPEFVGAGGGEGIFRVPLRAAAHPGRPPALEVRPHPLRETQTFKEALAWQAFSRTPVLSIVITSYGEIWSGSEGGIIKAWPWDAIAKSLSLTQEGQHMAALLVESSFVDLRNHATVGNMSSLPTADVKHMLADHCRAKVWSLTSMTFAIWDARTRDLLKVFGMDGQVESARLESSMMPEQFIDEEIKVKPTKRDKPQGSFTFLQKSRNALMGAADAVRRVATKGTLVEDNRRTEAVAQAMDGTIWSGCTNGSIITWDGNGNKLQEFQHHNSSVQCIGTFGERVWVGYASGIIQVMDVEGNLLAGWTAHSCPVIKMAIGGSYVFSLAHHGGIRGWPLTSPSPLDDIVRTELVNRELSYTRLENIKILVGTWNVAQEKASFESLWSWLGSASSDCGLVVVGLQEVEMGAGALAMAAAKESVGLEGSNNGQWWIDNIGKTLDEGISFHRVGSRQLAGLLIAAWARNDLKPYVGDVDAAAVPCGFGRAIGNKGGVGLRIRVHDRRICFVNNHFAAHLEHVTRRNADFDHIYRTMTFNKPHGSSASATSVQLHKAVSASENETDEDRPELAEADMVVFLGDFNYRLNGITYDEARDMVSQRSFDWLRERDQLRVEMKAGNVFQGMREGAIRFPPTYKFQRHQPGLSGYDSGEKKRIPAWCDRIVYRDSRSVSIAGCSLECPVVAAITSYEACMDVTDSDHKPVRCTFSVDIARVDELTRRQEYGKIIESNKNVCLLQESHFVPDTIISTNNIILENPEDVVIRITNNCETSKAAFEILCKGQSTRKQDGTKSEILPRASFGFPLWLEVQPSVGLIEPGETIEVTVHHEDIFTQEEVVDGLLQNRLCQATRDVEVVLWVNVTGSTSTEAITHGINVRHCCPATCAFPPINPPTITDTTSDAVSGEGPGKHSYKNNQSNHLQRSDFVPFGRAEVHDLCGMRNIIDGNLNAPSKFSAVAVELHRVR >Et_4B_037209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16538877:16543291:-1 gene:Et_4B_037209 transcript:Et_4B_037209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCASCLASACAGCACNLCTSAASAVPRRSARLAYCGLFAASLILSFLLRQFAAPLLHHIPWINAFDHAPPEEWFQMNAVLRVSLGNFLFFAIFALMMIGVKDQNDRRDAWHHGGWIAKIVVWAVLIVLMFCVPNIVITIYEILSKFGSGLFLLVQVVMLLDFTNNWNDSWVEKDEPKWEIALLVVTVVCYLSTFAFSGLLFMWFNPSGHDCSLNVFFIVMTLILAFAFAIVALHPQVNGSIMPASVISVYCAYLCYTSLSSEPDGYECNGLHRHSKQVSMSALILGMLTTVLSVVYSAVRAGSSTTFLSPPSSPRSETKNPLLGDEETGKGDTKENEPRPVSYSYTFFHLIFALASMYSAMLLTGWTSAASESSELMDVGWTTVWVRICTEWVTAALYIWTLVAALLFPDRDFS >Et_2B_021722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4879600:4883715:1 gene:Et_2B_021722 transcript:Et_2B_021722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VAYKRIRTSLMDVLQTSDSSHHGIVENSPYRTPYDRHAECGQLGSSWYFSRKAIEENSLSRRDGIDLKKESYLRKSYCAFLQDLGMRLKVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILISYEIINKKDPDAVQRIRNNKELYEQQKELILLGERVVLVTLGFDFNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVVPPPSQGNDTEGSSASVAQRVPGKAPGTPDELPAHEHHQASRQSSYQNMSSHHGYDHPHSEKQNSNQRIPKDEARDGTSNSNDAATITSSMMDAMKKIDKDKVKAALEKRRKSKGDVSRKVDVMDDDDLIEELEHGVELAAENEKIKQERKQSWPHPVQREDHPNAARENTEEGELSMDSQEYRSPKHNNRKRKDVPEHRSYDRNERDSKRLMS >Et_3B_030528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4656351:4658050:-1 gene:Et_3B_030528 transcript:Et_3B_030528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAMCSNELKPGRSSNSRAQKHFMVGFVMGGVQTEKVKVACDTEGLYSDICELDGDIRTNGTAQSVSIVPAGRSEPHREWRIRPYSRRTVSSVNKVTVTQLPDRDAAPPCTVTHDVPAVLFALGGHAGNFWHAFSDVLVPLFVASRRYDGDVLFLISNNQPWWLVKYDALVRRLSRHEPLDLDRDAEVRCFRRLTVGLRIDKWFSVVPELAPGPGGRQRLTMADFTRLVREAYELPRHAPTSLTSEPDRKPRLLLMRRGHSRRWLNEPEVQRAAEAAGFEVEVREMPRYGPVKEQAQTVNAFDVLLGVHGAGLTHQLFLPPGGVLIQVVPFGKMENISRSEYGEPATDMGLKYLDYSVSAEESNLPEMLGPDHQVVRDPDSVHRSGWNMVNEFYLQKQHVRIDVARFAPTLAQAYDHLRQL >Et_8A_057267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2356146:2357960:1 gene:Et_8A_057267 transcript:Et_8A_057267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYGARYSYLIGSVAWSTYSPSPTTRPAARAYEPAFGSSDGLLEGRDLSFFPFWEGALVSDACNGGQRCRPSLDAMLSVLAFDPFSSRHYHVINFTGWVAGPRRRAHGVLVGGVGMARARPGVRHRGGLPPRHGDVSLLAAEPACTVFEPEGHWRLKYAVEIDDVVEGGRRNARSYNMITPHKKLDGKILPTLTLQKKSAL >Et_7B_054156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16203712:16206752:1 gene:Et_7B_054156 transcript:Et_7B_054156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDRVAVVRAKQLKVLMPPYLHKLRISDELAGCFDNAAASESGGCGGDGPHGGATALVVSPFGKVWRVEVGRDGGGAFLGRGWPEFLSAHGVGVGWFVVLRHEGGGVLTVKAFDTSFCIREFVAPAAVLAPRSSKEVSHKPQFIRVIHKDFMEKMVCKIIFVHTLPDILLNIHTSLRIPSKFLKHYVSEGYLNSPVVVLFSPLGKLWPIELEKKQLSCWPQFLAFHGISEGDVLLFRYEGNMLFKFKVFGLDGVQKDLKNKKTGIQQPTDILKQQESPCRTRKRKSNSEESSHEENKRPKSSLTSLNKTSSQKESDYHIGQPSWIKKKITSYMLKNLLSLSVKFCHSVGFRKACVITLKTEMESTKSWQDRGLAYQKVCYLLGEGWARFCQDNKISKDDMCTFNVMETTLWHVVIMRYTQEQKESPCSSFSTKGVSKNKMPISGGDKRQKGSISDLIKTSSTYTRSVYEIGPPSWIQKKITSSSLKKYYLCLAHAFCYAIGLREPCTFINLKTSIKSTRSWQVCGLMQKDSSYHLGSGWKKFVEENKLKVGDVCTFNIIEATLWHVVITR >Et_4A_032721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1356394:1361730:1 gene:Et_4A_032721 transcript:Et_4A_032721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELRYPSVVQRVHGQSVLLSRISSYSAMHNPVFNNAHSAHSVPLRSYHGTTATVGLSSVMTPSPVFAYAPKEQGFSGFVIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSEPYKGIGDCFRRTIKDEGVISLWRGNTANVIRYFPTQALNFAFKDHFKRMFNFKKDKDGYWKWFGGNLASGGAAGACSLLFVYSLDYARTRLANDAKAAKKGGQRQFNGILDVYRKTLASDGITGLYRGFTISCVGIVVYRGLYFGMYDSLKPVVLVGSLQDNFFASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKGSLDAFKQIMAKEGVSSLFKGAGANILRAVAGAGVLAGYDKLQLIIFGKKYGISSYSAMNNPVFNNAHSAYTAPLRSYHGMGAGVGLSSVIAPSAFASAPKEKGFSGFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSEPYKGISDCFARTMKDEGVIALWRGNTANVIRYFPTQALNFAFKDHFKRMFNFKKDKDGYWKWFAGNLASGGAAGACSLFFVYSLDYARTRLANDAKSAKKGGERQFNGLVDVYKKTLASDGMRGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGSLQDNFFASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVQYTGSLDAFKQIVAKEGPKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGG >Et_7B_053335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:47692:48158:1 gene:Et_7B_053335 transcript:Et_7B_053335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AVSCKTCFRIQIFQWGSDSSLLVHLVPQQIRQRSHTLSGQMEERVQRRVLLAFAHREAKCQNLVGRVTIQNRYTLKFSLVGPFLGLEPCAL >Et_9A_062135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:187418:189536:-1 gene:Et_9A_062135 transcript:Et_9A_062135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATPRSLLPILLAVALAATAAAAGDAPFVVAHKKVSLSRPGPGVERLAVTLHIYNQGATTAYDVSLNDDSWPQEAFEIVSGSTSKIVKKLDPGATVSHNFVLETKVQGRFQGSPAVIKYRVPTKAALQEAYSTPILPLDILAEGPPQRKFQLMNLLVYPCFVLAGFTLGLPLFRT >Et_9B_064999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20379210:20382680:1 gene:Et_9B_064999 transcript:Et_9B_064999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGSGLASAPSAGGNSLAITERQKPAPSCVAALFQMFAKRKLFSTSKKSKLLPPVRAQKFSPGRPPAVGDMSPAAKRRPLLLDSADYSRSEGSRTNFLPPPDQEQNCSEMCTPGVVARLMGLTSMPAASHQTPTKATEFSKRGDHRNAGSHDWSGTSRSIYTSPQKQQKTQPLVDDRQHGNSSQFSASGTQPLWSRRQAHKMASPIKSPRSISSRNKARLIEAAAKVLEHGLQPRNPRLSRRHAYLEYSCNGCDDAPGAAAVALHNLPDQGGMCDVDAPRLGAIGASSRQNSASNQLTEEVGKCSIPVRRSDQNLSCQMQPDGNDKCLLVSLSEKAVFGDSAQSTSNRASAGNQQDIGKVRLKNMSPGGVPGGPLQQNNLKQNALPVPCRGADPGYTVQRRKNRSGEKNMANSGQDFVSLNKRMTGSTSLRSKRKEMDRFGESHTSQENRRMSTKGRQISPNCARRLHSDSSNKHTSKTAAPRTIEKDMIIAKGIGLVSEKPKFASPNRARSNLQRQAMSQKVSRCNTKSGTASFTFGSPMNVAPTSPDDASRTGSSVHGSSVDTSPRKHSRRDGHSTYLPRELDFREVQGASSLETTESVFVNQDKLKTRGIEGIAASSLYKVKSAVPVAVESLGDKQQLQWNSVESVTFGLSNPSKPDRLHEADVKGRSPSPSITRGRNKRNTTSNLQEAWADGAFVSGVFTDSHPVEKCIPAPTKQNVITERNSSCAEPNSGQHGAQPFEPAVQDSKLTHPGEVTSTVELLLSNVCSSTGRQSNEPSKTFLLQTIESALATFTTSSKQDLSTIKAVEAGPLRNLAIDFVLELLDLRCTELCDSGYRSFSRLALIGKEGRLAAEIRKEIARCSAMTGRALDDLAVNDVERTVEDGMNSMVEAFQIAGQIEQDLLQELVNEMLVDMFKRL >Et_1A_004506.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:10919330:10919832:-1 gene:Et_1A_004506 transcript:Et_1A_004506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAARSFFGCAGGSGNGEVYVAGGHDGSKNALRSALAYGVAADAWRALPDMAEERDEPQLVAVPGVVLLAASGYPTEAQGAFTNTAECYYVAGAGDGAWASDGDVDAAPAGTCLASVRGNVWAVGAGKGGVRERVDGAWRDVAD >Et_1B_012281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30430819:30435484:-1 gene:Et_1B_012281 transcript:Et_1B_012281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEEVKPLGKANGHGGKDQFPVGMRVLAVDDDPTCLKVLESLLQRCQYHVTTTGQAATALKLLREKKDQFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGETQTVMKGITHGACDYLLKPVRLEQLRTIWQHVVRRRSCDAKNHGNENEDGGKKALNAEGENGGANRNKRTSRKGRDEDDGNDSDENSNENGDSSTQKKPRVVWSVELHRKFVAAVNQLGIDKAVPKKILDLMNVENITRENVASHLQKYRLYLKRLSADASRQANLTAALGGRNPAYINMGLEAFRQYNAYGRYRPIPATNHSQSNNLLARMNSPSAFGMHGLLPSQPLQIGYTQNNLSTSLGNVGGMNNGNLIRGANMTLQDSSFPTGPSGNAFGNISNATPLAPTNSLPLQSLEPSNQQHLGRMHSSSTDPLNSFVGESPPFPDLGRCNTTWPTAVSSNIQDLGQKDSMSQATLRVNAPKIEPISSFTEPSSQIPLLGNDMQRQVASLASNALPMPFNHDAVPFTYGSNTNSREMLNNNLAVSNSSINTSLPNLRIDNSIVPRQRMDVGNSGGVPPLQDGRIDHQAVSNQLNYNNDLMGTSRLQRGLSGGLDDIVVDMFRPEHTEDGIPFIEGDWELRPGKLAMPQDLEILANAAACKLKCFAISIIACYSYTN >Et_5A_041895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:423283:425784:1 gene:Et_5A_041895 transcript:Et_5A_041895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMKNSPPALAIGSKPLILRFNDGDLQGPPKIMDPFDGGVRDATLELEMLKGKMCLACLEGEWLLMFDQDTNECFIVSLVSFSKISLPPLPRPVEEIYRCAFSSPTPPDCTIMFTSIVPATNDHDDDDQQEDETCYLLYRRPGDDKWWGLYDDDEPAADDRTYNAIDPYKILGSQGTMYVRTDMNTFIAIDASMSSSYKATIEKRGIPHPSTMRWGSKDYLVQPDGDVFLLQFYTHGIYNSEVIDMDIHCLDTSEYVWNKVESIGDRTVFVGDDNCVVLSSAKPAFGLVVSISYTNDVTMPNDPVELAFDAESEEQLVAPWSSLPVDIFEELIPRISFIDYLNVRKVCKGWRSISKPIRCTETYPMLMSICSNSAGVFKLFDPIIDKGYTLKNCSLPPCSKYFQMLLFAKHGWILMMRGDKYMYAANPFTGQMFDFPELPWLGYSYDGISFSSAPKSPDCIVCSIQKERVSDQARRNSIYVMVWRAGDKCWTQKKIDDHSQFRTAYSNPVFYHGEFYCLGTRGNLGVFDPENMTWRVLDKPEPILDGDPMPSEQYCYLLEFRDDLIAIFRPHDEGPIDLYRLHKSQMVWTKVEMLYNEVIYVDNWNALMMPAPRDACCNRIYISKQGGYGGAGEVSKILS >Et_4A_034100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:375879:380251:1 gene:Et_4A_034100 transcript:Et_4A_034100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSRAVRRAAIQPLVLEDLFGEDVVEADQEEGEEPESDDDEGSEEEEGGSSEGSDSEDEDEDEEEDEESDEEDCAPSAGPRVSEIAAAGAAEVERGNTPTCPVCMEAWTSEGAHRISCIPCGHVYGRSCLERWLTRRGNPNRNATCPQCGTSYKQKDIINLYAPEVAVPNNELEKEISNLREKNVSLEKTNELFLDGARVMSIDASNQTILASGRATSVCGEHVLTKISMLSTSRASKVRLPPDTKAVKDMCILPGGLAIFTSLGRRLSLFSMTSDSIVLQCDLPAPGWSCTAHGSGSHLIYAGLQNGMLLAFDIRQSARPLCSMAGLSTHPIHTLHSVIDNGGSRKVLSASVRGPCMWDADGNQSSDLLVASFRPKVVPTSEDVTASQGYLLSQTPSRSGSGKLGHHALMRRISNTSFAEETTCYASVSEIRMAKSAVIPYGNGQHLFAYGYESLRGVRTWQLPCFAVHADLSPHRQPILDLRYAESPGGGRYLGCLSEEKLQVFRIR >Et_3B_030812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7639318:7640504:-1 gene:Et_3B_030812 transcript:Et_3B_030812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACYHGDDTHPFFDYGCNGIKEFYVEAARRLPLKELLAGIKAGGHCFGIADPVTNIILNAVGLLLSEEGPSRAPPTQHERTWGCFVTDNWEDMATRSQLGLRAFMVAYFRYLGRFQAWDYLCLASNDLPLAIKLVRRDRLAPAQRPLLPDGGKLRAALRIAAAHARHPAPDALARLMTARYPAHMLAPVLAKLRGTEPLTVHDVWSVRDLLARQWPMNPAPAANIEFWCRPDGDTCVSRGEDGAVLIWTSVGEDRTAEITRSGTPRHYMSDLTFDDATSSDTEEAKMYKCCLQTDPPVGRPCDHELSLKMHLLDTIHTFYIKELAILPRGAPVVARRLLRAVLVSGHCYGPMDPVSNIILNSAWYDIAFPLEPGVEAELPDGIL >Et_1B_011622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24255466:24262150:-1 gene:Et_1B_011622 transcript:Et_1B_011622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARDLHPLCCLAGEWQPCAGLGSGDRAQPEELEAEAETAPAPAVAGVLYKWTNIGKGWRPRWFAIRGGVLAYSKIRRRVAAEPPPEAPPTAAAVRLIGVARGGAAGERPIGFVHLKISSFSESKSDDKRFYIITPTKTLQLRTGCAKDRAAWIEALVTARSEYSFNGDLSGDQNDASFSTEKLRNRLHAEGVGEAIIKDCEQIVHSEFSQYNTQMKQRCEDYLSFIGSLPREVEVVDSVDMSIAEKPQSELFKNDCSSSGKCSEYSNTESSDDVGKQETCELSDGDEFHFYDTRQSFSDCADSPDLRMRCLNNGNEDDKFGKTVAVDKNDEYLMTSLKRRTKLPEPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSHLLDRAYECGLRGDSVMRILYVAAFAVSGYASTDSRPCKPFNPLLGETYEADFPEKGIRFFSEKVSHHPMVVACHCEGKGWKFWGDSNLKSKFWGQSIQLDPTGVLTLEFDDGETFQWSKVTTSINNLIIGRVYCHHHGIMNISGNRQYSCKLTFKEQSFLDRNPRQVQGVVKDADGSKVATLMGRWDESLHCIISDNASKVNPNDSHHSAGATLLWKKNEPAANPTRYNLSSFAITLNELTPGLKEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLETRQRMARKMQESGWKPRWFQKDREDGTFRYMGGYWEAKEQRKWVGCNDIFGNSLSNSPKLQSSSLYASSSI >Et_10B_002488.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:11014436:11014786:1 gene:Et_10B_002488 transcript:Et_10B_002488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASAPSRTSSSPSSSPTSAAPKPPRGPASSPAGGAASGRTSARSACPTARGRGLQSPPPLSWRLRRRPRCIRRAGAGPPGHRSRERMARRRSLASPRGCGSLSSTWLASCASP >Et_10A_002163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2747431:2748618:-1 gene:Et_10A_002163 transcript:Et_10A_002163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERQGRVGVRGIQETPKHQTKIRTFIEIGGGAATAEPQTPAAEETQRDLPAAECGVKPVELLEGHKHADLFWWVYKLDNTTTEASLEEPMRLWLETEYVGAAACSRLVGCPMLQIFSLKLTTLPPSAAGDGPVQLYGFMAFRDPLNSLRNYVFNRSRDDPFVINDLQSDPFIPLSGPKRGVFMQKIRVARIKRGQRYEEEDDLLLVHDVAIFSVPMSSHGAVSNRITGRHGVSVDISRSLVPNAVQATVQIWISELSDGNGGRYRVGQTTA >Et_1A_007364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33881659:33884934:1 gene:Et_1A_007364 transcript:Et_1A_007364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYAGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTLFIDHRFHILVQKWFVVICVEFCDINLSLVMLGLTMSSTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEEEYEDEEEEEAA >Et_10A_000818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17770566:17772427:-1 gene:Et_10A_000818 transcript:Et_10A_000818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRLGAVTVPLTSLFIVPVVFQMLILASPGHGPRRQHRHAPAARRRRWEQWVGRRAASLSKQCNFQILVNLSFVPQVILVSCAGVCRREGTSILIVMTNMGNIPTILNIVNFLHGTMHMGVADASTTATNFFGALCVFSFLGAFISDSYIKRFYTILIFAPVEILASLLCYYISELNL >Et_1B_011930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27257391:27258714:-1 gene:Et_1B_011930 transcript:Et_1B_011930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMTQSARRAAVGSAPALKHLGTRLFPTRSAAGSGCPAMFTVDAAVRFPTVTAPWMACTRSAGTAAAAPPAADGSLGAAVPPAAGDKKEKEAASYWGVAPTRVVKEDGTEWKWSCFRPWDTYEADVSIDLTKHHEPVTTGDKVARWTVKALRWPTDIFFQRRYGCRAMMLETVAAVPGMVAGLVLHLRSLRRFEQSGGWIRALLEEAENERMHLMTFMEVSRPRLHERALVVAVQGAFLHAYLAAYLLSPATAHRMVGYLEEEAVHSYTEFLRGIDKGEIDDVPAPAIAVDYWRLRPGATLRDVVQAVRADEAHHRDVNHYASDIHRQGHALREEAAPIGYH >Et_2A_016351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23779464:23786125:1 gene:Et_2A_016351 transcript:Et_2A_016351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLEFAVEKARSLAAGAGAGAADADRSPRHPQKAELARIETRRRREACGSGGSTPRARAPGSPVEPPTPPRQPPEARSASRGDAAKCSSPSCCDIRRMDGEEADEPRAQLLARGTDFLHDFSDTDSSVSVSNSMYRSMTPSPAESPTCAVRQNDASDHDATSMTDSDEALSIADGREGITVPCRIVDFGDDIWRPPPPEDERDDVESRLFGLDDEDKVVASEPSGFSANKIAGADGASAGAYKEGVQNDLLKHFQALVVQLLKGEDISLDKGNDSKSWLELVSSLAWQAANYVKPDTKKGGSMDPGDYVKIKCIASGSPSDSNFVRGIICSKNVRHKRMVSEHRNVKLLFLGGALEYQKVSNKLASIGTILEQEKEHLRTIVGKIECRQPNVLLVEKSASSFAQELLAKDISLVLNVKRSLLDRIARCTGGQVASSIDNIASAMLGQCDLFKVEKVTESTERSDKGSTKTLMFFEGCLKRLGCTILLKGTGREELKKIKRAMQLAVFAAYHLSLETSFLADEGATLPRISSISVIGAPEQQRDGANVSAVPAGHCNPDSLGAAEEKYALNATISQIFEDISASSTLLPSEGANQGIVPECDASEFPVELVNSQDLSHSCPSSASCNGQLVSPCSLGDDMRTSCAIAQYDDSCQSLRSPVAADVCHDGAITKISTEMCGSENCRSRPSVDDFQAGDIDDRGNLSAGYLSGTDNNQSILVSFSSTCIPKSLACERSRLFRIKFYGSFDKPLGRYLREELFDQAYCCPSCKEPSESHVRCYMHQHGSLTISVRRHLSQKLPGEHDGRIWMWHRCMRCKIKDGMPPATHRVIMSDAAWGLSFGKFLELSFSNHMTANRIASCGHSLQRDCLRFYGYGNMVAAFQYNPMITLSVYLPPPVLDFNFHGTQVWVKNEADKVFHMMKSLHEEVSDFLHNIERSVITMDDSVKATIQKQITEMKDLLNMERNEYEALLLPVVRGSVHALKSEIDILELNRMRRGLVLDAYTWDCRLRNIDLRKNGDHVSRTDPLNQEKLQVISEGRPEVLQAVTRHSDTYQELCSGRSSGSPRKSLLSTEGHSEDNKSVIVEKDMSIELLDGVACDAGGLDLVFSKFSEYEGHHLPKDPIKTEPVERLPSVASILADKIDMAWSGSGELHYSLPHDLTKSDENRSLSLLGNPSYKKATAPVRIHSFDAVLRLHQREQNGLVPASLHSALKSSDSFRDLTSRVKDPMTNMRRAFSQISPRTRGNLDTFLTRAPKYITWTSDMVKDGARLLPNISCEGSTIVTVYDDEPTSIVSYAMTSQEYVEHVTHKLNSSSSFSDFAKVSSNGLDGSLSSHEGSDSKGTHFRFSFDDEASFADYTKFSVTCYFAKQFAALRKKCFLSDIDYIRSLSRCKRWSAQGGKSNVYFAKTMDDRFIIKQVTKTELDSFVDFAPQYFRHLTESLTSRSPTCLAKIIGLYQVSIKSLKGGREVKMDLMVTENVFFQRTISRVYDLKGSLRSRYNSDSAGHDKVLLDSNLIEASHTKPIFLGSKAKRNLERAVWNDTSFLAEKKELVVGIIDFLRQYTWDKQLETWVKASGILGGPKNESPTVISPIQYKRRFRKAMSRYFLAVPDKWTS >Et_8B_059683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20639682:20645624:-1 gene:Et_8B_059683 transcript:Et_8B_059683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKLATLTKMRKAKRGGDLPGNFCKKRKACNLQWVSGCLRDGDSGQDVCAKLSDWGKSVVSISLCNGDMTLFSCSGMAIAQEQHHLTRFLTSASLVRAFDGKTNEHYYDLKIKVRREGKEVYMGSLAEYDLARNFAVVIVRGFCDVNVGIFHRKLKSVPHGVACVVGRDASGDLTAKGVELSGNLRVYKDDKDLDIKTSKAWEGAMIVSFDGEVVGMNLFLVTERAVFLPWGTVLENLERHWTSWEKKTGLTCSKTYGFGAPVGGKSNSHLEGRRDCLNQEQLDIDSMGYPKLPSTMLDAGMILVNTFEEPFGDIHGKDVCSQLGEKAYSTINRSVVALASFNGEKRIFACTGFFIEWNGSTKILTSASLIRNSGDENSIIENLRIEVLLPSNRRIDGTLEHYDLHYNVALVSVKNHYDHCPANTLLSMFSCSDVAAVGRCFKSGALMAMSGKRISSTGTLDCDFLIYSSCKITKVGIGGPLVNLDGDVLGMNFYDKKIGTPYLPWTDICEILASFEGRSETGKINTSDVALWKMDGDLRDKCNRWPVPMPCWRHHDYVDEDKLDGDDDIVAFESKFCYVKGVKFRHI >Et_7A_052085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4556734:4562521:1 gene:Et_7A_052085 transcript:Et_7A_052085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLCVKNLPKGADEKRLREVFSRKGEVTDAKVIRTKDGKSRQFAFIGFRTNEDAEEALKYFNNTYIDTCKITCEVARKIGDPDAPRPWSRHSLKMTENDSKDKDQGVVNAPAKGSKGQGASADIGDSKGSVANDPKFQEFLEVMQPRSKAKMWANDTAGALPTAAKDGTAVTKESNKPQKNVLTSKDDSASEDDSDEEMTDDSSSEDASEELQTKSKQDNDMTDMDFFKSKIKKDWSDSESDDEDSSDHSNTTSHDEKSSDESSDSDEKSQSVYLKGKLNKKNTGDKDTRVEHTDLQEMEDPDNKESEDLDDTQRETKYHEDQEKEDDEADLITDEKKLALETGRLFICNLPYATTEEDLVELCSQYGDVQQTHIVVDKTTKLSTGRGYVLFSLPDSAVRALDELNNSSFQGRLLRVQAAKPLNNKKFESNHVTVEEKTSLKQQKLEQKKASEVGGDTRAWNSFYMRQDTVVENIARKNGISKSELLDREADDLAVRIALGETHVIAETKKYLSRSGVNVSALEELASKRNEKSKRSNHVILVKNLPFSSSEEELAAMFQKHGGLDKIILPPTRVFALVVFVEATEARNAFRKLLYTRYKDTPLYLEWAPENILSPSSTHVDDDENTAVGERIVTKAIVEQTVEGVSAEEIDPDRVESRSVFVKNLNFKTTDESLKQHFSTKLKSGSLKSVKVKKHVKKGKNVSMGFGFVEFDSIETATSVCRDLQGTVLDGHALILQLCHGRKYGQAAKKNDKDKSSTKLLVRNVAFEATEKDLRQLFSPFGQIKSLRLPMKFGSHRGFAFVEYVTKQEAQNALQALASTHLYGRHLVIERAKEGETLEELRARTAAQFVDEHTGFQRLSKKRKQNSLVDEGSVKFSRIVE >Et_5B_043959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18314889:18316572:1 gene:Et_5B_043959 transcript:Et_5B_043959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLNIFNYTIFLLLIALLLLLLVVVVLDSQEDEEGEQRVAGGHEPPVEKELRHQGRPLHVHLPRHGGARALHPLVQPRVPAQHPAVVGERADDPVDEALEHGVQQPVAAVEQERPAVPPAAVLEVDQRRRLVFVVVPELAGESPSPDGRAERGDGGDAEQASPPPAAAAVVEEVADGGGAQHLGAPVGDVVEAPGAQREEQPVHVVELVRVEEVARPRRRQQQQHPPVAQQPQHLAGLRPPRRRVNKGAAAGSSSCDDPAAVVADDPARRQEEQRERHAGEHQRHERHVGAVADAAAAPPAAEVEGQREEAPEDAAEVEEAPEERDVGAAPPRRRVRRQDGALRRPQQPRAGAEERAGGHRERLRPSRPAAVVEERRHVERVGQGPRQQRDPRAEEVVDGAADHAEDREARVQGRVGVVGHGVVRLPAAAQAVERVVHAGQAEAHQADQAHLRQRGVVPPTLRLRHCRRRLLALIPFFHLRQLLRHDRSVYYRAYLLSNAI >Et_9A_061922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17888084:17893256:1 gene:Et_9A_061922 transcript:Et_9A_061922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQIGRGAFGAAILVNHKIEKKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMDELMKKSNGTYFPEETLLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSMGPLPACYSVSMKTLIKSMLRKSPEHRPTASEILKNPYLQPYVNQYRPLSDASNPMRVPEKPLTISRSSQRSMSDSQSSSISSSDIDSTLSSDRSTAGGPASTDRKIVETGSARDDSDEKCVTPEDVRGNKEISRTPFRRQDSSKSMHVDHHPRTENKQPKIIEQIMTTLREESRLRESNSPVTAVDVKLSSGLGNNKQAEQPSQISRTNSGISYSSKSGDILSQDEHANQVETSPPLKQLSPIAEHCTKTKTPGSTTPQPAKQITENGTVTSGKTKSKTPPTTNRRPSPQRQGGLGTPSPPVTVTKRAHNKILTENVRTPQPPTRCPDNAASDRTHNVIMSANPSEGQNMKLDTPQAKSANLWEFFTVADKEQSSACSSSTIGCTEKMDCSELSEPYSPVYLISTCTSSSANTVKEEHNPSILTYLEVNNNKNIVTINGSSSQSSTLEPSFMSSEQECVPKDDMKSSKHEQSTIPCQGGEDKFTVQEFLTSAPEVAPPVSSAPEVAPFVSLAPEVAPSILPTEGTLLEGLDSVQSSKKVVPHLNRPVDGIVQNSRHSRISVSDEQPISESVVREAQNMDVIKHLNVVGEDVNVRSSPSNALPSGLPLVANTASKMLASSDVPRLSTTTSEASNRIDTSPAKETLDVTSFRQRAEALEGLLELSAELLENDRLEELAIVLKPFGKNKVSPRETAIWLARSFKGMMNDEAGRMSL >Et_1A_008402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7390159:7391807:1 gene:Et_1A_008402 transcript:Et_1A_008402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTKFAHLIMLSTTLLAASAMHDAVRNGGNMGEGVVIGSSTTASTRGTRRSATKGCCRRPPSGGAGASTPARRATTSLSARGSSRATCLPARPGRERTGRTSVAAGASMRRANAAPGGAASASVSGVAPRAHLAFYQVCAAQGCSRGPIMHAVETALADGVDILSLSLGDDDGLGFHEDPVVAATASSSAPRPATRARRRVGASSQQQGSPQHSATIPAFSSRGPSRNNGGVMKPDIVGPGVDILAVVPRSARGPSFASLSGTSMSAPHLSGVAALVKSAHPTWSPAAIKSAIMTTADTSVTDETTGAPASYFAMGAGLVNPAKAVDPGLVYDISPEEYIPYLCGLGYTDDQVNRIIFPAPAVRCAGMENTEAKDLNSPSIMVELTEERPAVTVRRTVTNRGEARKIWRQAPPPLVTNVGAARSVYRVEMGAPEGLSVTVSPGELQFSEVNEKASFTVSVERALGSVSVPGVWGAQFAWVSEEHVVRSLISISAKF >Et_9B_066230.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:7913900:7914616:1 gene:Et_9B_066230 transcript:Et_9B_066230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRPSMGRQKIEIRRIESDEARQVCFSKRRAGLFKKASELSTLCGADVAAVVFSPAGKAFSFGHPSVESILERFLASSTSSSPPGGAGGGLSSAGDRAVAELNRQYGELRALQDAEKARQERADEATRKERAAGSQAMAWIDADVAAMGHDDLLAFGAALMGVQAAVAASADQVLRDALLVGRRPPRPAAAQQLAVGGGGGACFDVAAQFGVGAQLPPAAFHGVDSLGHAILGPSF >Et_7A_050867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11843616:11845639:1 gene:Et_7A_050867 transcript:Et_7A_050867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQLHGSAAAAAAYRRTRPFAVPTPCRWLQNPLPGSPKISISTSGVGMKPINFRARISTKCANDNARIQELNLPSDQMKEFVKADENIVPQKRSAKIHDFCLGIPFGGLLFSMGLLGYIFSKSTISLVLGVAPGLTTLLLGTLSLNFWRSGRSSVLFILAQAAISAFLAWKYSHAYFLTNRLLPWGFYASLSTAMACFYAYVLLAGGNPPPKKLAAAPPL >Et_10B_004193.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18225899:18226333:-1 gene:Et_10B_004193 transcript:Et_10B_004193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELVARTLEAAGLFRRPYKYLPATSTETSTAAAEDSDSLALLPEQQHQKFPEEDMPVDDPLQNASARARQFVQLPRLEEFASSYRNGGFGAVPASPAAVASLEKRTFRAGGDDGDGCSELGGCAICLDEEFEEGQELSVMPCS >Et_6B_048928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15649609:15650545:1 gene:Et_6B_048928 transcript:Et_6B_048928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAHKLQVTTKSPIHGYLHDGAFGRRPACLLLLSLLSLQLTAGLGAYGGDVAVYWGRNKDEGTLRETCDTGSYTTVIISFLVAFGHGTYRLDLSGHDIAGVGDDINYCKSKGIMVLLSIGGQGGERRPTSPCTFGTPSSTDAGVHRPFGDAAVDGIDFFIDQGATERYDELARSLYAYNKYYKGGGLTLTATPRCAYPDQRLTAALATGLFNRIHVRLFGEDRQCVSSPWGSWLSWEKWAAAYPGSRVFVGVVAAPEAAPAGYMSQRSLSVAVLRFADKVPNYGGLMVWDRYYDKKDHYISSS >Et_1A_006299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20249325:20259744:1 gene:Et_1A_006299 transcript:Et_1A_006299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDRAGECGGGCRRTKAAHAGAGAVAGFLACLLLVWAIGGCGMSGCGGVAEPEEMVGQFNLSRSQLQALASLLSLSESECMGKSGLISDGNQVNNMPSISDTPLTRDRVSGGSKNWLTDVILQELCTTQDKYDKSTPALLENKLLHSVIHQGIGSPATQHNVHQCDLCAGISGLNVDRNIVSSSNQTVVSYLSALFGTIIISIVQAICKRGKKLNQLCHDEKLLQIPSAKAGRKWSKRAVFIGVLLGLCIAICIFSSMYADVVARRKENLVNMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKNPSAIDQRTFEDFTARTTFERPLMSGVAYALKVLHNERELFEQQHGWKIKKMEAGDQSLVHDYNPERLEPSPVQDEYAPVIFSQETVKHIISEDSDNILRSRATGKGALTSPFKLLKSNHLGVVLTYTVYKYDLPPNATPEERIDATLGYLGASFDVPSLVDKLLEQLASKQKIVVELYDTTNRTSPIKMYGTDFTMSGDRHISSIDFGDPTRKHEMHCGFKHEPPLPWSAITISTAVAIIVLLVGHTIYATLNSLEKAEDNYRVMRELKGRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDTTQQDFVVTAQESGKALINLINEVLDLAKIESGRVELEAVPFDVRDILDNVVSLFSEKSQTKGIELAVLVSDQVPDVLIGDPWRFRQIITNLVGNSMKFTERGHIFIQVHLVAELNRTRNVFDDVFAQNREIINDPETFMPCNTLSGLEVADNGKSLNNFKMFKYLNDAMDTINLVVTIEDTGIGITKDAQTRIFTPFMQADSSTSRTYGGTGIGLSITKCLVELMGGEVGFTSKPGVGSTFSFTAIFKRSTDFCNENKESPGDIKRYCSEPTPSDFHGMSALIVDGRCTRADITIYHLRRLGIQCDTAGTYESGFSALLDNSSKRSPNMVLVDKDAWGEGSGLAFYRRVVDLRLNGTHKSSRATPKIFLLGSSISPAESDYLRLTGYGDFIRKPLRLSTIAACFCKAFGVGVTRQHRKDQSLVLRSVLTGKQILVVDDNVVNRKVAAGALKKYGAIVTCVESGNDAITMLWPPHTFDACFMDVQMPEMDGFEATRLIRAVEREINDMIQTGKVSVQSYSDKAHWHVPILAMTADVIQATFEGCIQCGMDGYVSKPFEERQLYSAARPKEAYPSCYGTDSTLPRAVSPRRRGLLSLLTLSQYLD >Et_1B_011947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27438133:27438557:-1 gene:Et_1B_011947 transcript:Et_1B_011947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NGEWWPRSKDVWGHSHCSQLVTSLGSLFLNCVIKETLRLYPPTPLLLPLETVGDYTIPRSTMLLIKSFPIQMNLFLTGFLLKRSPKRGRHTFLLGWDREHAQGTICACKWLP >Et_6A_048166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8465247:8480206:-1 gene:Et_6A_048166 transcript:Et_6A_048166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASNPGKKIAAANNATSACKAGQAALATRLLKRISAASADSGENLVFSPLSIHVALALMSTAAAGDTLEEILAVVGAPSREELAAFVRDTVIDRVLADRSEIGGPSVAFACGTWTDKRWPLRPAYVDAVVDTFKGDSWVVDFKNKSNQESRSTLGWPGPQGTCPYSGNPDTVHVVANAIYFKGDWRNPFKKENTVDREFHRLDGSSVEVPFLQSGCYQYIACHDGFKVLKLPYEMMGECNYELYCTLPKFSMCIFLPDEREGLRDLVEKISSSPEFLRNHLPKDFVPVGEFRLPKFKLTYDSNIVHDLESLGLRLPFSLDANLTDMLLEEDDREVLVSRVVHKAVIEMNEEGSEAAAVTVESDDDMGFSLYDYDEPEPEPVVNFVADHPFAFFIVKETSGAIVFAGHVLDPSKEEKTCAGPKTAFEAKHCGKP >Et_4A_033458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2351903:2360141:-1 gene:Et_4A_033458 transcript:Et_4A_033458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSGEGASTAPEPPGQAEEGRSPREAEAFEDAVDGSSTASASPVAYREGDAEVSAEASPSSSSPSPWGSPAHGPAAAEEVESYGSPSASESGRAAMEGEESPSVSEMREEPGKVDAGSDTSPSEQRARGPEERENLMATPGPGSPSREEPKPRVWSAPPSPMFSGTSTSSSPLSQVKQQARHVRTGSFQRFRQQMQRAWKWGPIGGGGGGGERSPREQLLRTTINIETMANQKRQWYQTHAKAKDHKHFREPTSLFDNFFVVGLHSYANVTVIEDAFAKKKAWESNVARSEIDDLRKIQHHGPVPTMEPQILFKYPPGKRAEIRETDLSSFCFPEGVKARVIERTPSMSDLNEVIFGQEHLCRDDLSFIFSLKVSDNAPLYGVCLHVQEIVQKAPGILGAVSPLNTTSHKPSHFLVSAPRCYCLLTRVPFFELHYEMLNSIIAQERLDRIRQFASEIALAEPVPGSLKEHDGVSKDFVSANGLPYNDWTEQAVPIDTISGLLSSSGVPSERDMSLYLFRSWEPHSPESIPASEISESSCGRELDKEGSQNFQQYDDCISENLESRCDSFGSTSCIYDNDHTSPDHLFSHSPVFRRRERAQSMESLDSSVKGARSDEEDEVSVKNEIIVDDEKVIGWAKAHNNEPLQIVCGYHALPLPPRGDEIVFRPLEHLQPVKYSRPGLSLLGFGDSDLDNCLLSAEKDEVNARLAAAEEAHALALWTTATICRSLSLESVLGLFTGVLLEKQIVVICPNLGVLSAIVLSIIPMIRPFRWQSLLLPVLPRKLIDFLDAPAGVQHKPPDIKMKGSSLVRINVKACALPQLPRYKELVSNLSPIHARLSYENASAKRHPIYKCNEVQAEAARQFLNVMGAYLESLCSDLHFHTITNVQSNDDRVSLLLKDSFIDSFASKDRPFMKLFVDTQMFSVLSDSRLSRFENEQM >Et_7B_053858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12826130:12829222:-1 gene:Et_7B_053858 transcript:Et_7B_053858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFLSFGQNCPCLLLAPLARPLEPCRASQSGARGDHAGDVLGAPAAARPRAWEQQDQLAVPGGAGQRAGIRRLGRAAASCGALGCGRACGCTWWRVGMRQGAWRWHAAAAGGAGARGGAPGCGGAGARLRSSASGAASRGERRAALSCEEEEGRRAILMKYELDFLGLGLSAGARSSARTNALLGGTLAISIGDADQAGALHLVGRQLPEPHGDHTIVKAGGDGVEVGVVGEAELATEPAVGALLAVPVVALLPFLLRLVCRVLALDLRCESQKDRNSGNLLLCYCTFHYTDTDLEIDTR >Et_1B_012902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:397185:398924:-1 gene:Et_1B_012902 transcript:Et_1B_012902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPVLKIGLGCAAFAIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVLLGVMTADEAYAAVDLPILGLLFGTMVVSVYLERADMFRHLGRLLSWRSQGGRDLLVRTCAVSALASALFTNDTCCVVLTEFILKIARQNNLPPKPFLLALASSANIGSAATPIGNPQNLVIAVQSGISFGQFVFGILPATLVGSVVNAAILLCLYWNQLDGGKPVAEDTFVAVPTEVVEEEDVTSHRFSPATMSHLRARPGASNAVASDCPEPVKPDDTNNTAEANGNGYHHDDKLHHRRVRAAANVKVDDTHSFRAAEAPMEAWKSTLWKTCVYVITLGMLVALLLGLNMSWSAITAAVALIVLDFKDARPCLEKVSLSVIIRSFVAFAPYAGVAETTFIRAEQVSYPLLLFFCGMFITVDGFNKTGIPSAFWEFMEPYARIDTPSGTVILALVILLLSNLASNVPTVLLLGARVAASAAAISPAAETNAWLILAWTSTVAGNLSLLGSAANLIVCEQARRSQQYGYTLSFFSHLQFGFPATLLVTGIGLLLIRTY >Et_7A_051476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19139665:19144720:1 gene:Et_7A_051476 transcript:Et_7A_051476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGLPSKSRNISCRRELSRKQQEECLAILISQEQGQGPFPSSPAHAQLCLLKRNAEGEVEKAFSDKKQKFVSQKFVTVKDSAHEVKKQSPPNKDVSSSSGEDSEDEDVPTKETSPGTKKEKNSESSDSESRGSKDEYSKPIVPAKRALVSARKEYQDDSSDGSSCESYGDNDKEPPSKKLKDSAPSSTSNCANKVGEKESSGDEEADDVSEERSNGDTRKQAQPKKWVAVSMILVKKRIMRKIKNWIQPPEKGWLKQPKTPGSNQSLASEVKTLFVGNLSYNIDHEKALKLSDNILMRRPVRLEFAHKKDVITSSSRGDNTSFKKHQSVGYTVCIKGFDTSVGQGQIRRLLHEHFGSCGEIKRVTVPMDYETGSSKGIAYMDFLSRSSVSKAVELNGSDLGGFGLHVDEAKTRSSSNDGLFRERVSGHRHGLQRGTRSRRGEPQLVRGNSFVGKRIVFSDEE >Et_7A_053107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6756051:6765216:-1 gene:Et_7A_053107 transcript:Et_7A_053107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKGNADASFRAAGKRKAAGGVGRPKRQLTPFFAFLAEFRPKYQEEHPDVKGVAAVTKAAGEKWRSMSDEEKAKYGATKKQDDKPSKPANKKKEGPSSKKAKTEGEEEEEAEGSDKSKSEVEDEDEQDGNEDDDEDSSFAIAEKDWAPLAMSAVYNSGMYDYQGC >Et_9B_065557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6963619:6966601:1 gene:Et_9B_065557 transcript:Et_9B_065557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATDFAPPWQRSSASRARLFKEYKEVQREKSADPDIQLICDDSNIFKWTALIKGPSETPYEGGVFQLAFAIPEQYPLLPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEADSPLNCDSGNLLRSGDIRGYQSMARMYTRLAAMPKKS >Et_1B_012945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4220422:4222579:-1 gene:Et_1B_012945 transcript:Et_1B_012945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTALSSVILLVAGVVAMLVLHVLIVVWALRRGAVLLGASARDEERGAAATGLTAEELGELPCHERKAGDVGDCATI >Et_1A_007644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36784289:36802266:-1 gene:Et_1A_007644 transcript:Et_1A_007644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDHYSSKRKYDDPSPPPRRTGFSSGPPPASPPAGGVPSYNSVPPPADEIQLAKQRAQEIAARLFNDAEAKRPRVDNGEDDVSIGGGSYGGGGRIGGGGLGFSSSAGGGHGFSSSGGGGHGASIPPLSSHSSTPQYSSYGGNQGTSKKIEIPSGRVGVIIGKGGETIRYLQLQSGAKIQVTRDNEAEPGALTRPVELQGTPEQISKAEQLINEVLAEADAGSSGNASGGRRQPGAEQFQMQIGNNKVGLVIGKGGETIKSMQAKSGAQIKVVPLHLPPGDPSTERTVYIDGTKEQIEMAKQLVNEVISNENRARNPMSGGYGQQGYRPPRPQASWGQPGAPPPQQPGYGYMQPGAYPGAPPHYGAPQQSYGSYPPTSGGYQAGWDQSQQQSQTTPPGTGYDYYGQQQQPQQQSAPGTAASTDATSYNYGQPPTYASQGYGDSTYSQQSGGQQAYGHDSYSGYQTQGQQQGYTQQTGYEQQGYSTSAYGSAANSTQDGSAPSYGGSGGASQSSPGQQTSTPAAGSHPGYASQPPTSAAPSYPAQGSAPPSGYGAPPQSGYGTQQAQQGGYGQSAYGQPSPQGQKPPTSLPYGQAPPGSAQGGYGQYGYSSQPGYGAPPPYPGAPPASHAGYGQQQSYGDAYGSGGYGQPPTYSSEASAAAASQDPSTAPAATPAAAAAPSNSGSAQASAESYQYIARSRGVKIEELGATDKRSIFPSAIDRIRASNDTRIFLGKHFPITEQMNHEAYRGAIQELFPRYGEVRPANGEDDGRKTMGVIHGDIDANTTTVEISDFERDTREVRYGQVDVRGQSASEVADDEEYSSWPEEDLICYHRDGVPHVCPIPLSSHRDGSINKGSYYWKKEFHIADRNETRLEAMMLSEPNRDCVLHRGTCYIHRPRPMWQVFSIKLSKIHLDCGSVEVYGYIAARDNLDPLLNYIININRDDPVIVQQGSLIEMTGPKRGISLSCAILIEYDMRIKSGDREEDDLQLIDGAATIDEMIILSEPFTKRIHGNYVEATVEVAISEVQCSFDLCISCFTSGLNDEIRLFDGVIGESSGLRRHVIAVQMDAYMDLKFKIGSGSYHSTEHCRSFKATNHGCSSQTINIELASILVNVDVIIGKGGETIRYLQLQSGASIQVTRDNEAEHGALTRPVELQGTPEQISKAEQLINEVLAEADAGSSGNASGGRGRLAQPGAEQFQMKIGNNKVGLVIGKGGETIKSMQAKSGAQIKNRARNPISGGYGQQGYCPPRPQTSWGQPGAPPPQQPGYGYTQPGAYPGAPPHYGAPQQSYGSYPPTSGGNQAGWDQSQQQSRTTPHGTGYDYYEKQQQPQQQPAPGTAASTDATTRYNYSQPPTYASQCYGDSTYSQQSGGQQAYGHDSYSGYQTQGQQQGYSQQTGYEQQGYSRSAYGSAANSTQDGSALSYGGSGGASQSSPGQQTSTPAAGSHLAMATRRKVLLHHLAMVLHRSLAMAPNRHSKVDMARALMGSLHHRARSLLHLRLTDRLPLGLLRVVMGSMVIPASQDTVPPPPYPGAPPASHPGYGQRQSYGDAYGSGGYGQAPAYSTEATAASQDPSTAPAAASAAATSTAAAAPANSGSAQASAE >Et_6B_049235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2163991:2164536:1 gene:Et_6B_049235 transcript:Et_6B_049235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISELESTIYIAALASKREEKVVCEEQQLRAFDHSMGMGDLEDGFEFLPWMLIQSRMSLLNVVVVVIQATVLVAMAARDPCRGHPFLDFVAAEEHEIEWRRPPVVSAIVIDTEREQRNDGQWTELQGRLRPWTTSPSCAASLPPATSYGQDMKRRKA >Et_8A_057407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2803259:2805437:1 gene:Et_8A_057407 transcript:Et_8A_057407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVETQSEATCTAVLTPPLSLEGGLAAELRPANLVQRVLSLFHNVRPGSDLSHFQLPATFNLPKSQLQLYGEAVYCGGEDLLSRCARGKDSLERLASVVAWSISTTRPPIFGFAPYNPVLGETHHVSAGSLNVLLEQVSHRPPVSALHATDEGGNVELVWCQHPVPKFYGTSIEATVKGKRQVKLPKFNETYEVGCPNLLIRLLPAPSVEWSGAVRVVCKGSGLEAELSYCRSRSFLGIGGDPRCVKGRILRSGSGESLCEIEGHWDRTVSLKDVKTGKVSVLYDGKRAIGDLRTPVVQDQKGLSPSESAVVWGEVSEAILNKDWEKARQAKRQVEDTARKLAKERNERGEVWMPKHFSLSQDKNGEWECCPLVESVPPAPVVVPS >Et_7A_050418.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14227264:14228598:-1 gene:Et_7A_050418 transcript:Et_7A_050418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTIAGSRGHAIHRVKNKNPSKVQITAEQLLREARELNEPRPPCRGIADELSYYCLRKRQEFEALVRRRRAGASTSSYAWARYAAWEESQRDMPRARSVFERALLEDAGDPALWAGYAEFEAHNGRLGRARAVLDRAVAALPSADDLWRKRAETEEALGCGVAGARVVFERWTARTPRAAAWEAYADLEARRARAVYERLVRERPGSADAFLQYAEFEARQYGGEASAARAVYERAVDAVDLTEDDDAERLLLSFAGFEENRLALHRARAVYALGLDRLPEARADELRRRFLAFERRFGGDAAAVDGPVFTAKRRARYEAAVAADPLYQLAMDQLPAVLVQGALFPRRKEQDDVPGEQSSKMAPKTTRPGLKILEAAYLWKLQKRVQLAIDQLPAVDVQGAFPPKEEDVPLEQSKMTAKRKRPDLEILEAACQWKLQKTCPRS >Et_2B_022179.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1162686:1162955:1 gene:Et_2B_022179 transcript:Et_2B_022179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKILAFSILSSSPADIAAAGGYSTRLSWRTSSGNQKQQQQQQEAEKAPKQEKKVQQQGSSLPERKPEARPRFAPEFDGLNCFESIVSF >Et_6B_049223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2021950:2026355:1 gene:Et_6B_049223 transcript:Et_6B_049223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDADAATVSPAAAGGGGGGEASGASPSPSPAAAAAAAGRAVVRWDQILPRRSLRVLLVEHDDCTRQVVTALLRKCGYRVAAVADGMKAWEVMRERAYAFDLVLTEVAMPSLSGIQLLARIVSADECKNIPVIMMSSQDSIGTVLKCMQKGAVDFLVKPVRKNELRNLWQHVWRRHAMNSQTNASENNAASNHISANGSKTGENSDEESDAQSFDSKRKTEIQSVEKLPENLTDEGVGSSRKSKIQSESCGGVNTKAYMSKGSEDAPSGSACNTSKLQVFSAEKNARAKCLNGITSAKVAEKIMDNALRIVDASSRRASNLGKDMAMAQPTTDQKCKLSVLENNGGMQNTIGESSKRAEIAHAESCPSQFLENHVGKQHHVNGYTNQGVREKDIFNHSNSSAFSRYGNKRIEPPGRQQFFPSRCISRQEPGNGQEGKLVQPSEALPSREHNTGESTMQARIPLDTSTEGAAILCSTSAREDAGTSSSSHRKDSMSHPSYGFIPLPIPAGATVPYHYSAILQPLYYQQAPVMHSDSAGINKAAFQHGPGQFNYHENPSKPSQIDEHKQLEESQQLHQSRQIVREAGEPVDLVRAHVEHVNQSAGCSQDIRKGSGCTGSAENDINTNMVVALESGNESGVQNCGYNGSRREAALIKFRMKRKDRCFEKKVRYHSRKKLADQRPRVKGQFVSQKLKSATTIDAETD >Et_8A_057280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23114148:23116128:1 gene:Et_8A_057280 transcript:Et_8A_057280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGTSWLTAVKRAFRSPSKEDSSPTRKASRLQDAATPDADDDKVLFVLLTPQAPPPCRSVFVRQGSRCACLFVQGKRERRRWLFRRSSSPSPAPPERARTPPPPAATSSAPRSTTTPPAAVTEEQRHAIALAVATAATAEAAVATAHAAAEVVRLTRPASTTTTNSGGGFVREQHYYAAVAIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQANMTLRCMQALVRVQARVRDQRRRLSEDSMSLLSGAAGAGPCGSSKSSYSVDTSMFWDSKYTHHDYADRRSVGRSRDGSSFAADDWDDRPRTIEEIQAMLQTRKDAALKRERALSYAFSHQIWRNPAAASSGEVEMDVDGQPRWAERWMASRASFDTNRSSTIRAMAPGRASMDHHREPVKTLEIDTARPFSYSTPRRQHHAPPSPMRHHHHHSPVTPSPGKARPPIQVRSASPRVDRGGNGGGGSFTPSLLHSQRHAGSTAVPNYMAATESAKARLRSQSAPRQRPATPERDRLSGGAKKRLSYPAPPPADPYAGYAQSLRSPSFKSAAGRFSSEQRSTVSSSCAESLAGADAVVSPSSTTDLRRWLR >Et_1B_010054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21090621:21090872:-1 gene:Et_1B_010054 transcript:Et_1B_010054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGSDAFRMGKYPRCTQ >Et_2A_017820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6614878:6618114:-1 gene:Et_2A_017820 transcript:Et_2A_017820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding THVAPNKLLGTRSSDRRAPADPTSSPLVRRCIGSQRGRTGVRTQAEASSSPSPAPGPAASGGPRHDYESALRKSLLYFEAQRSGRLPHGQRVSWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSLIEYGADVAAVGEGGAELAHALESVKWGTDYFIKAHTKPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRDRPGSDVAGETAAAMAAASMVFREHNPHYASLLLHHAIQLFEFADKYRGKYDSSIAEVKSYYASVSGYHDELLWAALWLHRATGRAAYLDYVVANADEFGGTGWAITEFSWDVKYAGVQVLAARLLLNGEHSAHHRATLEQYRGKAEHYVCACLGKNAGADANVERSPGGMLYIRQWNNMQYVTSAAFLLSVYSGYLSGAGAAVSCPAGSAAGETSSADEVFALARAQVNYVLGSNPRGMSYLVGYGAKFPARVHHRAASIVPYKHSKEFIGCAQGFDDWFVRKSANPNVVVGAIVGGPDRRDRFRDHRDNYMQTEACTYNTAPMVGMFAMLNRLAREEAAAATQPESPAVAADRSVNR >Et_7A_051857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25681966:25683111:1 gene:Et_7A_051857 transcript:Et_7A_051857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKIYPLGILLPILPLELLSQRRLGHGELDCDSPAVEQVVDGDGDGDHGEVLLSVASRCAAAAVLVLVADAPPRRRRWRWSLDRAASLSCRPCCYGGAAAAAAGRARAADHGVHRHPGAPLRVVRALLPLRPPLPVPLLLRRRRGEGGADLATSAATPNQLAAAPSSGLLGMQDHIGGGGNYLSFQQSPIGAQLDGNDRGRYSAHAIFDAAGPPPAQRLAGFLGNAHGHGSDELSGLVGAASVSSAGGCKAMYSSGAPLPTPNAGSAAAGMAATTTTTAVTATAALRTQGVLVSSLLNCEYSGWSSRILVMLNPSAAVSDAARTNATTASRRTSSPPRPLVPPRPAARRPATVPHVSPTPLDSSAR >Et_3B_028845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19776597:19777962:-1 gene:Et_3B_028845 transcript:Et_3B_028845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLAKVFVEHGVAVTVALVEPPFKSAEFSAVVARATASNPSITFHVLPPPPPPSSSAADSTSSDNIAKMFHFLAAMNTPLRDFLVSLPAVDALDAFDVAAELKQPAYTAYASGAGDLAVFLNLPSVRAGMSTSFAELGDSVLSLPGAPPLKASDLPSECSDDSEGGKAILRMFERMTEAKGILINSFESLETNAVRALRDGLCVPNNATPPVYCIGPLVSGGGGEKEHEYCLRWLDGQPDQSVVFLAFGSLGTFPKKQLEEIAVGLENSGQRFLWVVRSPRSDGHKFGEPLPEPDLDALLPAGFLGRTMNRGLVVKSWAPQVEALQHRAAGAFVTHCGWNSTLEGVAAGLPLLCWPLYAEQRLNKVLIVEEMKLGVEMRGYNEDLVTAEEVETKVRWVMESEGGKVLRERAAAAKRAGVEALKEGGSSHAAFVRFLKDLDNIVHS >Et_3A_026265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6172279:6176535:1 gene:Et_3A_026265 transcript:Et_3A_026265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAPAAGGRCRGGARPRRLRPRSCLVSPPSSSSSGEQPEKERPLLVEKYRDGVAKRYMLDGNSKLHVRLEKHESPVNTVEDKNANPSLPRAIRDFILPAGFPGSVSDDYLDYMLWQLPTNVTGWICHVLVTSSLLKAVGVGSFTGTSAAASAAAIRWVSKDGIGSFGRLLIGGRFGTLFDDDPKKWRMYADFIGSAGSIFELITPLYPGYFLPLASLGNLAKAVGRGFRDPSFRVIQNHFAESGNLGEIAAKEEVWEVGAQLLGLSIGVLIMDTAGVKSSYETLMLTWLTVRLLHLWFRYQSLTVLKFRTINLKRGRILVRAHVAQHIVPGYVSCNEEENILTWERFMHPRISFGVTIERMLGEEKSSDMVNRLLKLYRDEKYILSIEQFGTREPTFLVTFKESATSISVLRSLWQAHWLHKNLLRGDEVFSWLEQSLEALEHGFTHFLIQMERAGWDQNKIILKVPKEPVILSEHLD >Et_3A_023822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12945575:12950477:1 gene:Et_3A_023822 transcript:Et_3A_023822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPVPCISNATQFSYLVQTNARMSVLPLDCRLASDGMILIPRDPTTADFIEAFKEVVDRIIHATDMTVYLLDLQNCTQCESQGRRCAFSSKMNQTFCMHHGLHVKVIAGTSSAAALVVISLMVATALYFSLKTKYNEEIHLKVEIFLEAYGMSKPTRYTFSEVKKMAKRFKEKVGKGGFGSVYKGELPNGVPVAVKMLENSTEGEDFINEVGTIGQIHHANIVRLLGFCSEGTRRALIYEFMPNESLEKYIFLTDSDTNKEFLLPQKMVDVALGIARGIEYLHQGCNKRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVFSFGMLVLEMVSGRRISDPNIETQNEVYLPEWIFETLIRGHAVVPDREMTGEEKEKMRQLTIVALWCIQWNPKNRPSMTKVVNMLTGRLEGLQIPPKPFVSSEGRPMP >Et_4A_033345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21733884:21734973:-1 gene:Et_4A_033345 transcript:Et_4A_033345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVRGTRTFEDFDPVVGWSRTGDADSVKISLPGKQQIDQKLVWFKREEIRVLVDNHGHLRTRGERPVAGTRWARFQKDFKLPDNCNVDGIRAKFESETLTITLPKKSPSPQVAAAAPVPAPAPPMPEPRRPAAAPPQRPPPAALPEPARPAAPPTVPAVPLVPAPSQKPPAERRPSLPSRLPSVRTPAELEQPKKPEPVLATVPKAEEFVKQTKKAFLGDAEEKKRMEREAMGKMEEDRKMMEEEKKKEQPKEEAEGPLMGEMGMETRPRPMAANRGLLVNVAVAVVVLVGITAYVWHSLKNATGDHGHGGMGAGSYGDEM >Et_1B_010654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12646579:12654341:1 gene:Et_1B_010654 transcript:Et_1B_010654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLLGGVAPAPAAAPTFLRLRLPLRTRRCLPSPRAVASVSGSHAHDALLLRRAADVADRSAGLTSPHPNFGCVIARPQLDTDSVEAWVVGEGFLYAQGTPCAELLAAQEAGEHARGATAYLNLEPGDCYGDSTAVASLVQAGITRVVVGLRHPLKHLRGKAIQALRSEGIQVDVVGEDLQSKTFEEALKSCLIVNAPILYRAAFRVPFSVLKYAMTADGKIAASSGHASWVSGKSSRGRVFELRGRSDAVIVGGNTVRRDDPRLTARHVKGHVPVRIVMSQSLDLPEEANLWNVSDAYTIVATQRGARRDFQKKLAMKGVEVVEFDMLNPRDVMSYCYDRGYLSVLWECGGTLAASAISSSVIHKIYAFLAPKIIGGVNAPTPVGELGMSQMTQAIDLIDVSYEQIGRDMLMSGYIQPIPDLSPVIPSIDEIPAVDPDVSPYETNIVSFYKTWDIFGAFSNFSPHPIRMPDENGESITWPTVEHYYQAHKFVGVDNSQARDLVQEIKQAKSPEEAARIGRTRQREFPELVRKDWESTKIDVMYKAIKCKFSTYPHLTNMLLSTAGSVLVEASPHDLFWGGGREGEGLNYLGRLLMQLRSEILGTVPASVELAFTYIIKGSISYKNRRQYTLVICEMTTHFQIGTSHRQANQGIRVDTVGV >Et_3B_031502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32280269:32284147:-1 gene:Et_3B_031502 transcript:Et_3B_031502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRRRPRRGSNSELSRILTDCTRRGDAAAAMAAFDAAADAAPAPRLAAHQYNQLLHLLATADGSSFPSPAAAARRVFSHMLQAGAAPSEATITSLARVTASDAADQAFQLVATMRDKYGLAPRLRSYSPVLAAFRRAGDTGKAYAVEAHMAASGVSPEEPELAALLDVSSRAGDAGKVYEYMHKLRQAVDCVSEDTAEVVEAWFRSEKAAMAGVPDWNASQVKEAIVAYGGGCHRLGWLGTGPWTVQRVRVGADAHCWGCGCQLASVDIDMEETQRFADSVAGLALERETKSNFSQFQDWLEANNEYEAVVDGANIALYQQNFAEGGFSLTQVKYTFNKGKAVLMMPSPYSSEIQESELGSWHVPLEEKSGDERIRIWLCISRTGKEPDEAPAVNGVVQEVPPTEASNGVQQRLLENKAESAAGPVLLAIDD >Et_10A_001969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15815726:15819495:-1 gene:Et_10A_001969 transcript:Et_10A_001969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAETDLSPPPVPEPALSPEPDVGGHDDNQVSIRLLLSLAPRRARGRRNGFDAELLKAVLWNCGWMQGWKADMMSALGESVSFGRFLAEPLDWGKWSAFAHNRYLEEAARQARPGSVAQKKALFEAHYARKRKSEEEADAVDDQGGYEEEEEDRAAVDGGGGDGASLSSSSCMTDDAATGQEQEVCGGGGGGGELDAAECGSGGGGDEPEEVEAITDGVGSACKMNDAASEPCHVELDAQAQDGATHGQEEGACNGDSEPAEAEQKQPLKEISIVNQDITDYSKKRRLQMPSLLQKPTKFSSPSSGKKGQSSSAKRRSALHSSKENTSPQSTDSSKRAANSVTKKRSTLAALHMSMSFSRCETGNAASTSRNLGTTIAERINQLQSASRPVENTQPEEFRPQRKTILRALPEFAPRTSQADEQRSSHVMRVKEKLFGSTSPSVAEKTGITKEKEKKLNNEAGFKESRHSFCFKSSSLPNFCWKNKQAKDSNQKTTQEIKHLPNSTRLPSDAHQTGKDTYSRFKIKSI >Et_9A_061376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10731948:10734662:-1 gene:Et_9A_061376 transcript:Et_9A_061376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TEADGGEEDAVERWGPPASPSRARFRGVREVKDGADGGWWGPPHSDDSDEEERLQESEGEVEDGGEVGEWDPPVNPFRGQREEPYHQNEEDDDEEEGDENGVLCEWLDPSIFLRSQEGVSGVCTSTTMEEILAFARSPLVNGPGLAEFLAGYSHEALGERDCVELMRRMGEELPLGCVHLFRWMREQKKRPLPPQALVVAVAALGRTGMADDVLEIVFNLPLEREFQEAVLYNAAMSAVAYCQRYDDAWEIFELMEKNNVQPDHMTSSILLNVMKKTKASAKDAWEFFQRMNRKGVIWSLGVADALINIFCREGLTKEALIIQSEMDKRGILSNVSIYNTLMEAYCKSNQIEEAEGLFVEMKDKGVHATTVTYNILMDAYGRRLQPEVVELLLLEMQGSGLRPNARPYNCLISAYGRQKKMSQKAEDAFLRMEMDGIKPLSSSYTALLYAYAVNGLHEKAHTLYVGMKREGLKPTLETYTALLHALRRAGDTEKLMETWKSMIDEKVGGTRVTFHMVLDCLAKHGLYLQARDVVYEFGKIGLKPTVMTYNILMNAYGRGGQHYKLPQLLKEMIALELKPDSITYCTMIYAFARVRDFSRALYYHKQMVRSGQAPDARSYRKLLNTLDVKAARKNIKDKNAIQGIIKSKAGLKPRKEKKDEFWKNKKKRSMLNPAYGRQRTRFL >Et_4A_032327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30091949:30092230:1 gene:Et_4A_032327 transcript:Et_4A_032327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTVGCAEGSVRFVCIERDDEDCRPGDETVKVWTLDLARRQWEEDKRSRRRWRELWDQVAGFLSDVEPQYPVLMPDVAPSAY >Et_8A_057806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7486999:7491529:1 gene:Et_8A_057806 transcript:Et_8A_057806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRLASRRRPLPLPRGATPAAAAAYHSSSAAAAASARGEPASGSVLPDTLDRGSDAYARNTAAVGELLADLRARVSQALRGGGAEAVRRNAARGKLLPRDRIDRLLDPGASFLELSQLAGLDVYDEALPSAGIITGIGPVHGRLCMFVANDPTTKGGTYYPLTVKKHLRAQEIASECKLPCIYLVDSGGANLPRQAEVFPDRDNFGRIFYNQAKMSSDGVPQIALVLGSCTAGGAYIPAMADESVIVKGNGTIFLAGPPLVKAATGEEISAEDLGGASVHCKVSGVSDHFAQDELHGLKMGRNIVKNLHLAAKGINMQNSSCAYQEPLYDVEELRSIAPADMKQSFDIRSVIARIVDGSEFDEFKKLYGTTLVTGFARICGQPVGIIGNNGILFTESALKGSHFIELCAQRHIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCAKVPKITIIVGGSFGAGNYGMCGRAYSPNFLFLWPTARISVMGGIQAAGVLAQIEKNNKKRQGLEWTKEEEEAFKAKVVEAYDKEGSPYYSTARLWDDGIIDPADTRRVLSLCLSASAKPVPEDTKYGVFRM >Et_1B_013168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:656339:668720:-1 gene:Et_1B_013168 transcript:Et_1B_013168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAQASIAMGSQVWVEDPDVAWIDGEVIKVNGDTITVKCSNGKTVNAKALNVHAKDPEEAPCGVDDMTKLAYLHEPGVLQNLKSRYDMNEIYTYTGNILIAVNPFRRLPHLYDTQMMQQYKGADFGELSPHPFAIADVAYRLMLNEGVSQSILVSGESGAGKTESTKMIMRYLAYMGGKAASEGRTVEKQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGKISGAAVRTYLLERSRVCQISDPERNYHCFYMLCASSPEEREKYKLGDPRTFHYLNQSKCFEIEGLDESKEYRETRQAMDIIGISSEEQEAIFRVVAAILHLGNVEFAEGGDVDTSKPKDEKSLFHLRTAAELFMCDEKALEDSLCQRIIVTRDENIVKTLDPEAAKGSRDALAKTHVFKMEQEEYTKEEIDWSYIEFIDNQDILDLIEKKPGGIISLLDEACMLPRSTHETFAQKLYQTFKNHKRFAKPKLSRSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLSASKCEFVSGLFPLLSEDTSKSSKFSSIGSRFKQQLQSLLETLSATEPHYIRCVKPNNLLKPAIFENQNVLQQLRCGGVMEAIRISCAGYPTRRTFIEFIDRFGVLAPDVLSGSSDEVSAVRKLLEKVDLQGYQIGKTKVFLRAGQMAELDARRNEVLGRSASMIQRKVRSFLAQKNFRALRRSALQIQTICRGELARRDFLNLRREAASLKIQTCYRMYTGRKAYKDLSTSAVTIQSALRGMSARKELHFRRQTKAAIIIQSRSRQFLARLHYSITKKAAITTQCAWRGKVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEEAKSQENAKLQAALQEAQQQCKETKEMLVQEREAAKKVAEVAPVVKEVPVIDTELMNKLRDENDKLKIDDAEKKYQETSKISEERLKQAMDAESKVVDLNMAMLRLQEKISTMESEVKVQRQALLSTPVKSMSEHLSIPIVPKNLENGYHEVEEQKEPQSAPPAIKEYENGEPKSRKSYVDRQLENVDALIECVGKNLGYCAGKPVAAFTIYKCLLHWKSFEADKTSVFDRLIQLIGSAIENEEDNDNLAYWLSNTSSLLFLLEKSLKAAGAPGSVSRKKPPQPTSLFGRMAQGLRSASFANMHVEASDVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNIKRELSSLISLCIQAPRTMKASMLRVSGRLSGQSQSNHWQKIIEGLDKLLRILQDNHVPPVLAQKIFTQIFSYINVQLFNSLLLRRECCSFSNGEYVKSGLAELELWCAKATAEYAASSWDELKHIRQAVGFLVIFQKFRISYDEIVNDLCPVLSVQQLYRICTQYWDDKYNTQSVSSDVLSNMRVLMTEDSNNAESSSFLLDDNSSIPFSVDDITNSIHEKDFSDVKPAEELLENPAFQFLQD >Et_8A_057941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9856410:9858948:1 gene:Et_8A_057941 transcript:Et_8A_057941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLLGFPYIELVNDRLRRETKDKKPRAPHYLSVNLACASHNTAADGDAVGDGELDDLDTLVGGEPDVEVLDGEAVGAVEIRQLRLVGQRHGAAPERVVVDDEAADADEAEQLLVVPHVVGLVGVDERHVELSHVFLVGEQRGEVVQRGALAEVHLVLDAGLLDELAADAVVVVAGGVDGDDLAVVGKGERRGEQGVARVHAHLDGVIGPRDLHQHTQKLRLVRRRRHEPPARIYIYMAAN >Et_4A_034264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31248360:31250785:-1 gene:Et_4A_034264 transcript:Et_4A_034264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPLLRLLSSCGGVWPTSPAPAAAGDASASSEGRDGLLWWRDLARCHAGDVSVAVAQANQVLEDQCRLESAPHLGTVVGVFDGHAGPDAARFACDHLFPNLREASSGPNGVTEDAIREAFLATEEGFVALVSRLWETQPDIATVGTCCLVGVVHNRTLFVANLGDSRAVLGRKVGRTGQITAEQLSSEHNANQEAVRNELMAKHPDDPQIVALKHGVWRVKGIIQVSRSIGDVYLKHAKYNTERIKPKFRTSEPFSRPLLSANPYIISRDLQPSDCFVIFASDGLWEHLSNQEAVEIVHNHQRSGSARRLIKAAMQEAARKREMRYSDLTKIDKKVRRHFHDDITVIVLFINYDLLVKGSAQGQPLSIRCALDY >Et_4A_033565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24449260:24451096:-1 gene:Et_4A_033565 transcript:Et_4A_033565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GPHCLQSSAVCDHETGNPDVMGQTCPSNFSPPNHFSNVAHKWVHDKKRDSVFFVKVVRRPHCHNQIIQHLRNNLNMTQAQAEETIARPFYATGFLIHRDGDLGYLLTCSHLLQEIYTAESVLTLERARWFRFMVICKHNEHNMEINFPNLCDPNNDPRNYTPALPVRVDQSRDLMVLEIDMTNLYGSVYPHQCQLPHPVLQLARHLPQPLDDVVMISWPPHRCDTVVIGQVVNYRSYIQMTADRTRGYNMDLWS >Et_4A_033998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2984295:2988893:1 gene:Et_4A_033998 transcript:Et_4A_033998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYTQPCQEQTPCVAMNIPSTKLKNSGGRYAKVCVQPKLLLRTDSATSSCFCSRLSGEFRREEEGKELRDIERLWIWRTSSRYEQFPAPILCLEGLFVFALRCCGIAVPVRIKEQKDDGKEEVIQAWYMDDSEEDQRLPHHRKPKEFIPLDKLSELGILSWCLNADDWENDEKLKKIREARGYSYMDICDVCPEKLPNYEDKIKNFFEEHLHTDEEIRYCLEGSGYFDVRDQKDQWIRVAVKKGGMIVLPAGMYHRFTLDSDNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYVERIINRGGSQAVEAR >Et_7A_051024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13733488:13750541:-1 gene:Et_7A_051024 transcript:Et_7A_051024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAKKLPLQERVPLRRTAWKFADLAVLALLLALLARRAASLMGNSDGVPPSRIGLVALVCEVWFTFVWLLNMNGKWNPVRFDTHPERLSERIDELPAVDMFVTTADPKLEPPVVTVNTVLSLLAVDYPADKLACYVSDDGCSPVTLYALREAAEFAKLWVLFCKRHGVEVRAPFVYFSSGPERGANDDEFLPEWTAMKSEYEKLVSRIENAEEDFLLRRGVEFAEFLGAERRNHPTIIKVLWDNSRSKGEEAFPSLIYISREKRPQYHDHFKAGAMNVLTRASAVLTNAPIMLNVDCDMFANNPKVILHAMCLLLGFDDEVHSGFVQAPQKFYGALKDDPFVGFGIAGLQGIFYGGTGCFHRRKVIYGMQPDSFSPRKNKGSPSYKELQKNLGSSKEMIESARRIISGDILASQTADISSRIEAAKEVSACSYETGTCWGQEVGWVYGSMTEDILTGQRIHAAGWRSAFLSPNPPTFLGSAPTGGPASLTQYKRWATGLFEILVSTNNPILLSIFKHLEFRQCLAYLVMDVWPLRSVFELCYALLGSYCLLTNQSFLPKVSEPGFSIPLALFLTYNAYNFMEYMDCRVSARAWWNNQRMQRVYSSSAWLLAFLTVLLKTLGLSDTVFEVTRKDTSSPDGDDSAEDADPGWFTFDSSPVFIPPTALTIVNIFAIAIGAWRAVPAGAAEGVSGGPGIGEFVCCGWLLLLFWPFVRGLGGKGSYGIPWSVKLKAAMASAKKLPLQERVPLGRTAWKFADVVVLSLLLAALVHRAALMLGNDGSPPWQWVAALVCEAWFTLVWLLNMNVKWSPVRFDTHPERLAERDDELPAVDMFVTTADPKLEPPLVTVNTVLSLLAVDYPPEKLACYVSDDGCSPVTFYALREAAEFAKLWVPFCRRHDVKVRAPFVYFSSGPERGANDDEFLPEWTAMKSEYEKLVSRIENAEEDFLLRRGVEFAEFLGAERRNHPTIIKVLWDKNSKSTPGEGFPSLIYVSREKSPRYHHRFKAGAMNVLTRVSAVMTNAPIMLNMDCDMFTNNPTVIRHAMCLLLGFDDEVHSGFVQTPQKFCGALKDDPFGNQMEVLFKKLGFGIAGLQGMFYAGTGCFHRRKIIYGVAPDSIRDVELSKIKCSPSYKELQTNLGGSKELIDSARSIISGDMFTRPMVDISSRIQAAKEVSACSYEYGTRWGKEVGWVYGSVTEDILTGQRIHSAGWRSTILDIEPPAFLGSAPTEAPASLIQYKRWTTGLFEILLSKNNPILPFIFKHLQFRQCLAYLVVYIWPVRTPFELCYALLGPYCLLANQSFLPTASEPCFSIAFSLFLMYNMHSFMEYMECRVSARAWWNNHRMQRVYSSSSWLLAFLTVILKTLGLSETVFEVTRKDQGGDAGTEDADPGRFTFDASPVFIPPTALTILNTVAIAVGAWRAAYELPAVDMFVTTADPKLEPPVMTMNTVLSLLAVDYPPDKLACYVSDDGCSPVTCYALREAAEFAKLWVPFCRRHDVKVRAPFVYFSSSGPERSAADGQFLRDWTSMKNKYEELVSRIENAEESSLVRRDSEFAEFLGVDRRDHPTIIKVLWDNTKSAPGEEGFPSLIYVSREKSPRYHHRFKAGAMNVLTRVSAVMTNAPVMLNMDCDMFTNNPKVIRHAMCLLLGFDDEVHSGFVQTPQKFYSAPKDDPFGNQLEVLFKIPAELWNLARQKELQTKLGSSKELIDSARGIISGDMFTAPIVDVSSRIQAAKEVSACSYEYGTRWGKEVGWVYGSVTEDILTGQRIHSAGWRSALLDIEPPAFQGSAPTEAPASLIQYKRWTTGLFEILFSKNNPILPSIFKRLQFRQCLAYLVIYIWPVRAPFELCYALLGPYCLLANQSFLPKASEPGFSIAISLFLMYNMHGFMEYVECRVSARAWWNNHRMQRVYSSSSWLLAFLTVILKTVGLSETVFEVTRKDQGGDAGTDDAGPRRFTFDASPVFIPPTALTILNMVAIAVGAWRAFVAGAAEGGPGAGEFVCCGWMLLCFWPFVRGLVGKGSYGIPWSVKLKAGLLVAAFVHFCRRI >Et_3B_029301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23885199:23887235:1 gene:Et_3B_029301 transcript:Et_3B_029301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGGVRRALGALRSGSPPTLSTKISRQAVARSPELAAASLPRASRRRLAISRVPVAALGGVQGSLMPMHSATASALLTSMLGLKPGSWGWLSEGKLVQLRTLYLHAVNDGCMPFLLVDSLHLYDRNDVFAHGKEQTTIPSAYFTLYINSTAEFHLEQKCI >Et_1A_006853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28269980:28270752:-1 gene:Et_1A_006853 transcript:Et_1A_006853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMVSDVFLSFFCCCVYPPGGHRGVGTQHHYGSGHPRSGGLAGRNVAGRSRPVSLQTVELKVRMCCEGCERVVRQAIQNLQGVDSVEVNVPMEKVSVTGYVDRGKVLREVRRSGKKAEFWPSGGTPRRFTSPRSYFRDTAGAYRDSYNYRRHGYSDGDRQGRMREPARGAHPVGNMFNDDDVNAACRIM >Et_7A_051777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24545189:24546218:1 gene:Et_7A_051777 transcript:Et_7A_051777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLLLRRPVAAFVGAAVLVILQLLFTAPGAEGASSFIFTNACQHPVWVGSLHGASSPPLARSGFYLPPSATFQLAAPSSGAWSGTFWARTGCAVDPTTGRFSCATADCGSGDVTCDGRGPAPPVSLAEITLAAPGSGGQDFYDVSLVDGFNVPVRIAPSGGGGSGDCRPAACAGDVNAMCPADLRVVAGGGVVACRSACNAYGSPRYCCTGQYGTPATCGPTNYSQVFKSACPTAYSYAYDDARSTFTCNGAASYDITFCPAV >Et_10B_004232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:219927:220581:1 gene:Et_10B_004232 transcript:Et_10B_004232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTVGLSAGPSLIIARKQEIHDPSRRHRPDHRRRRRPSSIARSSRRNLNEDYDYFVYVAAHPQQQPSLRLLPKPHFRFGDNEAAILRRPAGSRHSSSGCFVVACLRNTINSGEFNFQRHDSGTGKASPQRCEVLPIPDTAVFHDTTKVITLGGPNGTVGWVDGHPLLRRARKRR >Et_1B_014243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4119011:4120526:-1 gene:Et_1B_014243 transcript:Et_1B_014243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGAKRKGAKVMQLDGTSFRVKPPASAADVLRDHPGFQLLESEEVKLLGARARPLAPDAPLRRGRLYFLVALPRRPAAGAAGNMRRAWSGNLRVGARERLESLKLARRSTSDLSSLTPAHASASAPTSPLPGGVASGASTPVRLKMKLPRAQVEKLMGESKDAAEAAAKIMELWTAMGNASAAVTPERPPGILRSPRYAKTPEWGAGFMLPPPAPAKTPQRWPTLPRTKEKKARFVELPDELIA >Et_4A_033026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17170520:17175715:1 gene:Et_4A_033026 transcript:Et_4A_033026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALLRHAPPAPTAAGTLSHRRRHTQTFHHPIKAHSSPHPLTCPSLRPAIPIRPHRGTGISPGGCRAAADAAPSEVPGSEGAAGGLFVRVGEALSLGFPVWVASACALALWRPTSFLWVGPRAQMVGLSVTMLGMGMTLTLDDLKMALLMPKELAAGFILQYTVMPLSGVLVNTLLKLPSHYAAGLILVSCCPGGTASNIVTYLARANVALSVLMTAASTFAAAFLTPLLTSKLAGQYVAVDPMGLFISTSQVVLAPVLLGAMLNQYCNGLVQLVSPMMPLIAVATVAVLCGNAIAQNASAILASGVQVVLSVCCLHASGFFFGYILSRILGIDVSSSRTISIEVGMQNSVLGVVLASKHFGNPLTAVPCAVSSICHSGVRQYCSWGLEVYAPNRQG >Et_9A_062203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20668124:20671885:-1 gene:Et_9A_062203 transcript:Et_9A_062203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYPVEELYSTDSRYSIMANNIPIRIVASLADINTALEDLDINAVNQAGDVRFQLHEQTSLQEALKMTTKTRPGRDGFRLLNPELLNCKLRAKAALDESFNRMLDATIERCDNELRPVEASIAALKVLERYTDQQIPHAGPDLLHRNRGVQHVIYPHPPFPIEPEYEYGNMQQRVPYQPAFATDEERNDATARDRRAQRAIWNAKLRIMEARESILKEKLEMMTRLRAEYKIVLEFLLNGHEERTKALTNELAAKLLLGQMRYWEHWKQLQQK >Et_5B_044450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2506535:2519686:-1 gene:Et_5B_044450 transcript:Et_5B_044450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVASLVAAASASGPAPAVPSSQAITNRNRSHCYSRFFAFGDSIIDTGNFIHYSTSPGPVTRSPYGETFFHRPTGRWSDGRLSVDFIADALGIPFLTPYLAGNTSEDFAHGANFAVCGATALGHDYFRKKNLDVRFTHYSLGWQMGWLKKVLDMLSSEQGPRLTDLMASSLFLVGEIGGNDYNRPLFGRKSVDEVATYIPDIVGAISSAVTELIGLGAKTIVVPGNFPIGCSPGYLTMFPTNDTTQYDATGCLRWANHIAVLHNSALIAELARLRRLHPGVAVVYADYYSAVVDLVANPGEQGFGDQPPVSCCGGGGPYNVNFSVQCGANRSTACSDPSTAVSWDGFHFTEHAYKRAARAVRRAVHTGQLRKRRDRPLIKNENAGLQEPAV >Et_5B_044818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6180231:6181286:1 gene:Et_5B_044818 transcript:Et_5B_044818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTTMCRLSTTPCSTNASPRALLSRARPGGFRWRPRRRTARRGGRATGRGLVVVSEFGGTYDEGFDDVDKEEKKLKLVVVQMVGLSFISLKSKLPRSDVQNIINYFTYKATHTVLHQLYEMNPPSYTWLYNYVITNDPLDGDYFLRLLAKERHDLAERVMITRLHLYGKWIKKCDHAKMYERISNENLDIMRQRLLETVVWPTDDMTTGEAKD >Et_2B_021486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30022671:30026194:1 gene:Et_2B_021486 transcript:Et_2B_021486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPTPSLRSSLPAAQIRSGISRSREFLAGARLDLRSLIFRGVKTVLIGLHGELDQIGVFSEFGWIGSVHLRGLVPLVGFRGGVLGATARGPWGVLDVARAQSEEDAATAEVVEGADLGIVGDDTQVPNDEPLSSAPGVETVCVFPKNAGKIVPAGEETELLVGLQNEGESTLNVVAVHSTLHLPFDHRMYGQNLTVQNFFNASVPVSVQATFPYTFTVSKFLQPGAYDLVGYIVYEIDQHPYQNVFYNGTIEVVEAGGLLSVESVFLITLGVALLGLFGLWAYGQVQQLSKKTKKAPKVELGTGTTDANIDEWLEGTSFAQGSKSKKKK >Et_9A_062924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6795981:6798700:-1 gene:Et_9A_062924 transcript:Et_9A_062924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDRLLHLLRAPAASSSPAARRGYPNPQCLRLPQPASGMRRASVACSSSGGDGGMTYKGAGVDIDAGTELVRRIAKMAPGIGGFGGLFPWGDDYLVAGTDGVGTKLKLAFESGIHDTIGIDLVAMSVNDIVTSGAKPLFFLDYFATSKLDVDLAEKVIKGIVDGCQQSDCALLGGETAEMPGFYADGEYDLSGFAVGAVKKDKVIDGKNIIEGDVLIGLPSSGVHSNGFSLARRVLAKSGLSLSDQLPRNDGLETTVGEALMAPTVIYVKQVLEIISKGGVKGLAHITGGGFTDNIPRVFPSGLGAKIFTGSWEVPPVFQWLQQVGNIDDAEMRRTFNMGIGMVLVVSKEAADSILKESQGSNRAYCIGEVIKGEGVHYI >Et_5A_041477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23430461:23434772:1 gene:Et_5A_041477 transcript:Et_5A_041477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRRQLLLLLAAVVLAAVVVEAQPLASRTDVAGLYSLRASLRLRARDWPLKSDPCAAWVGVVCRAGRVVSVTVAGLRRTRQGARAPRLALDGLRNLSALERFNASGFALPGEIPAWFGRGLPPPLAVLDLTSTSLNGTLPADLGASGNLSTLLLVGNGLSGPVPAPLLSVKGIRFLDLSRNNFTGELPNVSVAAGDVGAASLFNISGNSLYGVAGDAIRLLRRRFQVVDVSSNYLDGAWNGSDGTVLVTTNCFYGVPGQRSRADCEEFYRKQGVGLVDAPAPSPLPLPQPSPLPQPLPEKGKKQRISTPLLIGVLIAAGALMFMFVVALLLCFVGRRRRRGRSTGRGVEPNEEGTRSGRRRDSSVNPVASSPSAMSPRANAGPKDASSVSGEFTCEQLVLATGGFGDDNLLKHGHSGDIYHGILENGSHVIVKKVGAKSVNKHASELDFYKRYTHERIVPLIGHFSKDDEEFLAYKYMPKGDLTNALHKKPVDTADGLPSLDWITRLKIAIGVAEAMCFLHDECSPPLVHRDIQASSVLLDDKFEVRLGSMSDICTHQSGGSQNVFTRILRSSKSLDKHTSGPPATCSYDVHCFGKVLLELVTGNFGISGPTAAASEESEWLTNTLNHINTGDKAAITDIMDPLLVVDEDHLEEVWAVAIIAKTCLNAKPSRRPSARYVLRALENPLRVVRASSSSASARQLRSSSSRSSWQSVFQGNGRVQSMDVASSGRALDRRHSARSYGSGGGGGASFSFRRAAPTPEIVPEPAAGREEEDDGVV >Et_1B_012049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28463738:28464385:-1 gene:Et_1B_012049 transcript:Et_1B_012049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIRWHARASPNEPTSEALTSAGSALGPLLTRPSSAASAFSTRPVSSTSTSSTTRQRQSRRLPPPPSVGSGLAPLPTRPSSPLPRPKPAPSPRLLSHRLSPMPLRNWSVEFPPEVVSFLRRTRFGLDGYISQSTRVMDCSRNSRVFVRLLPSQDFYTRLAQLVRPLVTGLGSSKKSSPKKATKAAYPTSSSH >Et_8A_057603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:527133:529256:1 gene:Et_8A_057603 transcript:Et_8A_057603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVVPTLAASLASTAAASTSAPNPTTRGGDPLTRRLRGPPLVALAVRNRHGLGIRGALLSTSAPAGEGMAAEASAASAAAEAKPFAVLFVCLGNICRSPAAEAVFRNLVNKRGLDSKFHIDSAGTIGYHEGNKADSRMRSASKKRGIEVTSISRPIKPSDFRDFDLILAMDRQNYEDILDAFERWRHKETLPESAPNKVKLMCSYCKRHTESEVPDPYYGGPQGFEKVSSLTLY >Et_1B_013568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9586395:9587092:-1 gene:Et_1B_013568 transcript:Et_1B_013568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPSFHPSKFWPPGRLKEDEKTPYLVKILSRHAEAPRINTYRGGAGWVFTADEAASPYLLNPVTGDRAALPPFTTLECVKGSFLDDDGGGIVYSIDYGSASGREMKQFPAQLVVGFMYYYYSRVAISASSVAAAARACVVLLIHRPGQQLSFARPGDDRWTSLSCSDGSSRGFQKRGVQREERLVLHATV >Et_1B_014324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:602020:603234:-1 gene:Et_1B_014324 transcript:Et_1B_014324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVDMHCECTGCIKKITDGIKEISLSEGVERADLVLETGEVIVMGTMNPERFCCLLNEVTKKSVKIVTQSNLCEGHITTSQQTKSLFSQAPPDWLTRETSKGGGQNGVSSTFKPVTPSAPPLPEAWSDTAVPSERCWFPWAAPSSTLGVWAASDVTGTLAAYEL >Et_1A_005371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:116932:119707:1 gene:Et_1A_005371 transcript:Et_1A_005371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDDAAAALKRKRPHQDEEVDLSAADSVEVLDLRAAKRLLLGFERRLRDNLEARIKYPDDPARFADSEIALHAEAERLRLLAGAPELFPDLVPLGLAQSLSSLLIHDNADLAAAAASLLADLTDSDDPSDLAGVQALADALVDANALDTLVHNLSRFSEADPDEAEAVHHSLAVLENLLDLRPHLADAVCERTRILRWLLARLKVRDFDANKQYASEILAILLQNSPANQKRLGQSNGVDALLQAVAMYKSRDPKTSDEEEMLENLFDCLCCVLMPMENKDRFVKAEGVELMIIIMKQKKLAYSSAIRTLDFAMTRFPPACERFVDVLGLKTAFAAFMGGITKGSRRMRLLGKFVENECEKIDRLMEFYIRYSDRVKEETERLDNLDLEDLEMDDDERYNRKLEAGLYTLQLIALILGHIWHSGNSQMRTRIELLLRQNKLTKQDVKDILQEYHDNIGDLDGPEEKERAQARTKEIVAAL >Et_3A_025818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33186036:33188715:1 gene:Et_3A_025818 transcript:Et_3A_025818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVARHHSQGHRTPSRSEVRRCRGTIRCSSPAQEFAALASVFRRRLVVGASTAAAAAVGGNFGGVTSFLLGLSPELGRSLRLDVLYPVGGFTRCLDSDNGFEFIYPSSWVGDQTLLYREAKKAELQRSLDPPPIGKSPSRPRNISEPVAAFGPPGSNGELNVSVIVSPAPQDFSIEAFGGPKDVGEVVLRRIATTRRSPDINATLIDAALREDAENVKYYKLEFRVESPWFQRHNVAVCCARNGKLYTMNAQAPESAWKTVQKEFAAMADSFSLVVDA >Et_8A_058133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2097746:2099713:-1 gene:Et_8A_058133 transcript:Et_8A_058133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAFTPSSIGWDFKCDFEVDYGSEERASIVYKTLSVDKELQPDKVKREMAVSGSKLVVHFEAVEARFLRASFSALVDLMVLVTKLVEEYGETKEGNS >Et_1A_007703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37435319:37436826:1 gene:Et_1A_007703 transcript:Et_1A_007703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLVTSRVIGDILDPFYSSVDLTVLFNGMPIVSGMEFRAPTVSERPRVEIGGDDYRVAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDDTYGREVMCYEPPAPTTGIHRMVLVLFRQLGRETVFSPSRRHNFNTRSFARRYNLGAPVAAMYFNCQRQTGSGGRRFTGPYTSRRQAA >Et_10A_000484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11136341:11137592:1 gene:Et_10A_000484 transcript:Et_10A_000484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLGKTTTTSASRRGRWAASWPSSSSGRRCSRATTTAKMRRGPVGRDLRRARRVPDGRRTWRGFKSLLLAAEVTTKLRKQRRKNWLHDMFSEETLSKQGFQCLAAEAALKLPPWFHNVEALSLMSEKNEEVATVPPPVVPIKKMIVIIPPVKQKRKRIMIYRRCQRGPGLIGLGVFNESFSFSMKIMPYCNFQEEVDYDQTETGQ >Et_9B_064914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19826761:19834651:1 gene:Et_9B_064914 transcript:Et_9B_064914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMLGLRRGGGGSPSAGEADASPSVGNGDGPAGPARPLRLVYCDDKGKFVMDPEAVAALQLVKGPIGVVSVCGRARQGKSFVLNQGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRASGGQSTASELGQFSPVFVWLLRDFYLDLTEDNRKITPRDYLELALRPVQGGARDVSAKNAIRESIRALFPDRECFTLVRPVNNEKDLQRLDQLPLSNFRPEFRSGLDAFTKFVLDRTRPKQLGASTMTGSILAGLTQSFLDAINSGAVPVISSSWQSVEEAECRRAYDAAVDTYNSSFDRKRPVEEDSLRETHENALRKAIATFNASAVGAGSARSKFEKLLHSSLKKTFEDYKRNAFLEADLQCSNRVQSMESKVRIACSRPDAKLDDIVRLLDGLLTEYESTSYGPGKWRKLATFLHQCLAGPVLDLFKRQLEHIDAERNALRLKCNSNDDKLALLRKQLEASENNRAEYLRRYEEAINDKQKISKDYSGRIAELQNKGSKLEERCMGLSSALETARRESTDWKNKYEHNILQQKADESKLKSQIASMESRVNISEGRLSAVREQAESAQEEASEWKRKYEVAVSEAKAALQRAAVAQERTNKKVQEREDALRAEISHQLSEKEEEIARLNAKINQTEIHATSLISRLEATEAKLKSHESDSLALKAEIKMLTDNLESIRSEAVSREKEVRILEQEKNHLQEKYLSECKKFDETDIRCKEAEREARRATELADVARAEAASAQKDKGEVQRLAMERLALIERMERQVEALERDKAKMAEEIEKLHQSEMDAVSKVALLEKSVDEREKEIDEMLKRNNQQRSSTVQVLESLLATEREACAEANKRAEALSLQLQATQGKLDMLQQELTSVQLNETALDSKLKTSARRVRDTYNRSQQGTETEDYTKFTVPKLKQELTKHGFGAQLLQLKNPNKKDVVALYEKHVVGKAKASPCSMHREVWHEVTVRPVIWDPLAVPKHRRIVELRRNVVHFPENDGATLQMAVGNNRVVDRGGPSGHLGHRRRVGAALPGLRLEEDLGDDELAVALLLVGAEVVLVHHPVGLLGLALLAVVGVEHQDLLVPARPAVGHHGARLARLVPPRLAAAVLFLAVHLPPLSRPRRVRLRRPVEEVPDQPVLAHA >Et_8A_058473.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8775049:8775915:-1 gene:Et_8A_058473 transcript:Et_8A_058473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAINHPGTGEEMMRALESFVLDAPPAPHQMPPPFPAGGAATAHHGFHYMGPGQAAQLTPAQMQFIQAQLHLQRNPGLGPRAQPMKPAAGGASAAAAPAHPPRPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDQAAFRLRGDAARLNFPDNAASRAPLDPAVDAKLQTICATIAAASSKAGKGGRGKAVPINAPAPSQSGSSDEEGSGSGSGSGSGSDDEAMSSAVAAAVAAPLAEIGQLDFSEAPWDENESFVLRKYPSYEIDWDALLGPN >Et_9B_064015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1055750:1058809:-1 gene:Et_9B_064015 transcript:Et_9B_064015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEVCVKAAVGHPDTLGDCPFSQRVLLTLEEKKVPYEMKLIDVSNKPEWFLKINPEGKVPVFNSGDGKWIADSDVITQVIEEKYPTPSLGPYINGQNVSAVDLSLAPKLYHLQVALGHFKGWKIPENLTNVHAYTQALFSRESFVKTKPATEHLIAGWAPKVNA >Et_4B_036738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11074192:11079183:1 gene:Et_4B_036738 transcript:Et_4B_036738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATSSWLLRRPISCALLLKRVSPSLLRTTLYCSSTATATATPPPSPSPLDTSAGDVGSGMRWESTRKKRVVLRIGYVGTEYRGLQKQRELSVESTIESVLESAIFKAGGILESNYGKLQKVGWERSSRTDKGVHSLATMISLKMEIPDRAWENDPDGIALASFINSNLPKNVRVFSILPAQRNFDVRRECLYREYFYLLPAEIIGIKDGCSSEEVQAHLSELNSILKTFEGNHPFHNYTARAKYRKVLAGSRRRAKGRNSTSKPNSSEVFMEERSHESTTSDHEEEDLSISSMVDSSGSEYSCMKDLPTLPENRVQIQARWLHEPDESDRLNASHFRDIITCSCGELQSSSGIQFVELTICGASFMLHQIRKMVGTAVAVKRGLLPKDIIDLSLAKFSRIVLPIAPSEVLILKDNSFHTKNREGIIVRPGIDSLNKSVEVRKGVEEFYKAALVPELVKFLEPSMPPWKEWVENLDQFTGIPEPQLGEVREAYRVWKDAYDQVKMARKSGTKTLEDQC >Et_6B_048574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1097771:1098211:-1 gene:Et_6B_048574 transcript:Et_6B_048574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHAHQLAVAGVLILVALLVAAPAANAVTCGQVVSMLSPCIRYAMGRDATTSPACCNGVKNLNAAARSTADRQTTCNCLKQQTSGMRGINPNLVAGIPSKCGVSVPYAISPSTDCSRVH >Et_8A_056366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4534441:4535052:1 gene:Et_8A_056366 transcript:Et_8A_056366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SKGNNQKDSRHLRAGELSDGLGTLRDGVLGELAGKDEADGGLDLPGGDGGLLVVARELGSLAGELLEDHLEDVDLVRLHALLRLLLALLLAALLTGGLAGRQPLLGLGLLAGWGLLRLIGRRLLLRGLLGRRLLLRLGCHGDWLGYLSTSSGVERGWVWEAWGFVICLVRKTAGAGAAL >Et_7A_052067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4283147:4287518:-1 gene:Et_7A_052067 transcript:Et_7A_052067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYEHGGGARGRGRARGGGRGGPHGGGDGRGEGGRGYGPRGGDYGGGGGGGYGGYDGGRGGGGYAPRGGRGYHEPRGGGRGGGGGGRAGRGGGGGQEYGGPSGGRGGNSWAPVGRGQGGGPAPAEYVPAAAPARATPARVIAPQEAQKPSGSVERIASSEVARVGPLALPPVSKSLTGARTPMQRPDGGGKVFLAKVNLLVNHFIVNYRKSSTIFHYDISISHDQASPKAGKELTKAELLSAKDELFKDSSFQKLSSCVAYDGGRNLFTSAKLPDDGLFRVTVRSRIYLVSVEFKKQLPLSQLSELPVPREVLQGLDVIVREASRWQKIIVGKGFYSPGSSENIAIDVVALKGAQQSLKYTQQGLVLCVDYSVLPFYKAGPVMAVVEKIMGRLNFRTKLENWQQNDLERELKGRRVTVTHRRTNQKYTVQGLTAKPAGQITFVDAESGETKRLVDYYHQHHGKVVEYQMLPCLDLSRNKDKQNYVPIEFCTLLEGQRYPKENLDYFNCDRRLKEMALIPAHHRKKEILNLVKAGDGPCRGEIAQQFGISLDVQMTEVMGRVLPPPNLKLSSSGGQPMKFSIPDPTTCQWNLMKNKLVDGRNLQCWGILDFTGSEEGQNKRERRMFMEKMVAKCCSLGIRMSPQPCYEHGLEMKVLSNPTQLYEELNKAKQAAVNKRQILQLLFCPMPKQVAGYKTLKLICETKVGILTQCFLTPRAYNYKGQDQYLSNLALKVNGKLGGSNFQLYDSLPRVGGAPFMFIGADVNHPSPGNVESPSIAAVVASVDPGATKYVPRIRAQPHRCEVIKHLGEMCKELIDVFEKRNKVKPQRIIYFRDGVSDGQFEMVLNEELADMEKAIKVNGYAPTITVIVAKKRHHTRLFPKDGDEARPQSYNARPQNINVRPGTVVDTGVVDPSAYDFYLCSHKGLQGTSRPTHYYSLMDEHGFGSDDLQKLVHNLCFVFARCTKPVSLATPVYYADLAAYRGRHYYEGMMASQPQGSGGPPQVVNFPRLHQDVEDMMFFI >Et_10A_001719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7252337:7254711:1 gene:Et_10A_001719 transcript:Et_10A_001719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACTTLEQLANRTRSAMIYSTKKERRLGASAFDKYKEEHRSKILPQGHEASKRVHGVASKIVEAAEVKFPRARDLLCEWEAIVIDDGKVNASCMSGCKIVVHTGLLKIFRDDAELAAVLSHEVGDRRTFMCGHQLACRVNYRTPKGEGITRIELTSLICRVAHAVARHGVKGGIVKFWYKLMSKFIKIPDMITCPCVISLLCDTMYNYLVWLPMSRRMEIEADHIGLSLLAAAGYDPGVASPVLKKLGKELRVPIWFKSTHPSFKKRARLVLRRYKEMKDSSGS >Et_2B_020082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16719872:16734290:1 gene:Et_2B_020082 transcript:Et_2B_020082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAARRLELADRWRKIQEDEEAEDDGEPSEAKHSRLIQANEEWFSHCYKFLVNLPEEEHIWCGYADIMGPFLETFHGFFDDEDETSSLRIIWRRVSQELGICTQCVCEHHQGQEFFNTEYRSDTVDPLLKVLHLLDEERVTEHLTQINAKIQRKEYDPSCHGAEVVSIMFEVLMYPALLDDQSLANQFQIFIETIDESYEVSLSTNQQYPGAYALLFFKSCKARAIGLRLARSMGKLRRAVDLDPLQPLLQKYINFLETEVLPSTSEHPRPRVQLRRADIWLGFKSLYSLEALQDGEHEKQRRNILYFLLHQVTRSSNFSALMRKNATKIALLIVQRGYTMSPPCPPSECAHMGPSLISSIEDTSLHGSLRQPAFDLINIVIISDASALISYKLKYEHVPKSDVSNSVVFIDDDDELPFSHDAEPKNQSCWNDFSVLNKLTCRECNDWKCIPLLWYLLMVQLEPAKLPIPFSKAVFWALSHISVLEPELTRESLGPVNAWLSSHAREVSSTFTWQVPNGADDGGDGKDCINSLKVSQFCTLLLKMFKRLAIHVMTQIEQHGLQKQWSWEPMMAESLVLALVDHNDNTRQVGRAILEHVSQSKGLTSGLQFLCSSASSLFAVFLGLRYAVKMVETRSILTDFHSFHHLFFVMCKLFKEVVAQKPSVAQPAKPSEGGFLRQPYSSVQISSPEHVVDITNWEKFCTLLSTTLWPFISTCLREGETLICDKQCQISCVRLLELIPLVYERFNTYCRTQSCRTMTTVPDLTDISWLFHLVHWGKSSLVITRHWKQCMLSLVKELRSSYSNHQHYVEDLDDMISHDAVNIGELEERISNLKLALSKEAPVKAKRRGSPIFTEPVDYPSSSSHAVQERNTSRDSFLNIESTKLPRASDIQEIILLSDSEENTTSVDVSSEEVLSSAMDNDAPIASGMLKDVKPPEKIMLTDGHASLRPVSTDSSSNVASKGFAGMKKQGVLVNANDNSLLPNTVKTSVTPASQPLHPNLLSATKKNKSIFRDISDDEDDPLESRSSIVSSKGSGGLKKQGVPVNANDNSLLPKIVKTSATPAAQPVRPNLLSDKEKFKSIFRDISDDEDDPLDHALDNSRRPQLVSRKPSILVPKRQVVQLPLPAEKRLGSGSMITSSRRLQPPKLSSWFKNILEMDYFAIVGLSSSEIVKKSALKEIPVCFDSQAQYVEIFQPLVLEEFKAQMQNAYVETPPDDMICGCISILSVERVDEFIIVRGRPENSESIKFKGCIENDLILLTKDPLKTSGQQVHVLGKVERRENDKNKKLIFVIKFYLSNDNVPLNKVKRLLIERSKWFLNRVMSMTPQIREFSALSSLNDIPVLPAILNPVSCAASYHESGNVYLDKLSHPILKVLKSSYNDSQLHAVSVAIGSTKNQTKFDLSLIQGPPGTGKTRTIVAILSALLSLHADNSYTLPSNESMDRTDFSKPRTKISQSAAVSRAWQDAALAKQLINQRESPRMTERLLKKRALVCAQSNAAVDELVSRLSEGLYGSDGKLYKPYIVRVGNAKTVHSNSMPFFIDTLVEQRLSDELKTNNDGNLSDAESSSSLRAKLEKVTDRIRYYESRRKLIEADKKENDSPAVPEGDEVDEVSDEALAGKLNSLYAQKRKVSAELASAHAREKKIADENKFLKHKVRKSILGEAEIIVTTLSGCGGDIYGICSENASSKKYGNFSEHALFDVVVIDEAAQALEPATLIPLQLLKSRGTKCIMFLYECSMFERLQRAGYPVIMLTKQYRMHPEISRFPSLHFYDNKLLDGAKVVEKSASFHDHYCLGPYMFFDVADGREQCGRNSATQSLCNEFEADAAVELLKLLRSRASISSGDRHQTGEARSIGFVADVRRMNVALTRARFSLWIVGNARTLQTNSHWGSLVQNAKERNLFISVKRPYGLIFEKVRPSSKDIHGTSRSSHTNHLKQKDNEKIDMTSSQISDARLQKEQATRASRNVEKQDKSLPTEQSKLASRWDQKVPKVQESIVRSNEKESEKKNDDLRAAKHSLEQNTDENSVLRKQRAGKRLTFHNGNHLELSKSLVKDSQEGSSVRRQVELNQPLEQNVCKETNKASFNQDSIRSTHVRTHNKDKKNEMGTKDLPKCDVGFKSAGKNDDASPTAHADLQKLIQKAKGARKFSEKPRSDNSNKVDISLRHDLGQASQDDGACPATDVDMKMVNKAKRVRKFSEKPRSGYSNEVDPSLSSEFGEASSHMPEFKKSQTKNLASKKDLIAARKRQREDVESLLSSAFIPSKKPSSMHPAKKKN >Et_1B_009740.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:22571088:22574198:1 gene:Et_1B_009740 transcript:Et_1B_009740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWVNSTGAIAGAGAGNGNSRGAGGRGFTNRRHTSDAVHGGSSSGSGGGSGRWRGERSRGRPPPQAHYRYRPVDAAHRHSPPSTGPALSHQAASSTSTRHAQINHPSATDKSSASETVAPAGSNKETDDKANRNAANFECNVCFDMAAEPVVTKCGHLFCWECLYQWLHVHSDHRECPVCKGQVAEDAIIPIYGCGGSAASVDNAPPRPTGARVESSRQQHQQQHHLHTPHRMVYADDDEEDDPFDFPSMMNFGFGATSVRDAVRSFMSPSFDDVEMEDQFDDYTYEYNTGEHFEEVYDYNLLGFPVFAPAGTGAAVANPSSSRSHPQFEYVDIDSIIPSHNREFGYSGAAPHNQNRGRHGRRHRARASADQSSTDAMVTGGSGAFYRDNSASSNVSAGASSQPNGSWAERRGRSNRNSNSAGGRGVQDGRRHRTGYS >Et_4A_035948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9191378:9193836:1 gene:Et_4A_035948 transcript:Et_4A_035948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAITGTIVSSDPCSVKMAGAILSRFAESTTSHLPSSDYATYLRTAADAAKEHHRFLRFLAANRRQGAAYLDADGYEGPVEGEREPSGGEENWGAEAPAGGPHISAEEVKVAVAADEKKSKKRKMKEDPHEDKAVASVAPHVSVEITSEQRKKKEKHSNKELPSLVIVKQEPDLVVEEELVGERKKKKQKHSHKELTSSVKQEPDLVVEEELGSEKKKGKKKKEKGHVKSEEDVVEVQGQIVNNGVVEQGILDEGKKRKKKRHSEEKGESKGVKEEEIMSTSIVLYSEKKKKKRKLCQLCSNLDLWVYMKGVHLGLLAG >Et_9A_062978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7859040:7866970:1 gene:Et_9A_062978 transcript:Et_9A_062978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRRRAEESTTSPPPPPLRTAKRQPVVVFAHGAGAPSSSDWMIHWKEMVKEALDAVEVVTFDYPYMSGGKRRAPPKAEKLVDHHLIVVKDAVAKHQGHPLVLMGKSMGSRVSCMVATSEDVDVSAVVCLGYPLKGVNGALRDETLLQLKAPTMFVQGSKDGLCPLDRLESTRMKMNCENKLHVVDGGDHSLKIGKRHQEYTGVNQHDAEMEAVKAIVHIVQNSVTYVNNKCTELGQGIALEFHYQSNEITVKLSFRASEYSVASNHGAVFNHEYVHHCVGWCSRCLALLQFVLASPAPHFAPLDKSSCRQGVKFYRAAEQVWLFSKFYSLHSFLYDVG >Et_1B_013208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6389102:6392193:-1 gene:Et_1B_013208 transcript:Et_1B_013208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDFAGLGHLFVVSFLFTFSSFMVIPAITDVTMEAVCPGRDECSIAIYLSGFQNAVTGLGALVVTPIVGNLSDRYGRKALMSLPVTVAIVPLFVLACNHTEVYFYVYYVAKIVAGIFCEGSVHCLCLAYVADHVGPRRRAAAFGLLSGVSTAGFVSGTLTARFLPTSSTFQVAAAVAAAAALYLRVFLPDSNGAACCGDEICDPLLQDSASCSSSTSSSPSSSDEELSPRLPPRKGGLPSLPDMAALLNSSVALTGAATITFLYSLGEHGLQTALLYYLKAQFGYSKDEFANLLLIAGAAGMLSQLTVMPILAPILGEETLLIIGLLGGCTHVPYFAAAFVILSAFVHPSIRSKVSKNVGSTEQGIAQGCISGISSFASILGPLIFTPLTAWFLSETEPFDFKGFSIICAGFCTLISFIISLRMREGQSSACNKV >Et_6A_047412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5382567:5385934:1 gene:Et_6A_047412 transcript:Et_6A_047412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPDANVETTGSSYSTADPKTLVVARTSAGHPLGVSLRLKSPPAESRVCYHFPQDAKPDQHSNEVIAAHGDSVLIRVAREKQYDYFVYNAGATGAGSPHPPSLSLLPPCRYLAKDSTGLLRRGEDDLVVARLEMVQLKDETPTKHVAEVLRFRSGAWYIGRPSVIGLGDDIKEEYLSYWSSSSVIPVGDDMLCWVTMHRGLIFSKVYDERLVLRYVALPADACCTEHFSSSRNVCVTADDTVKFVNIFARCCCGGAGGSKCKHSLKAYLIKTWTLSMNSMTWVVDGMMDSTELWALDAYKSLPRVQAGFPVVSMDEPHIICFVVCDWLIMVHMRSKLLRSVYSYPTRPSEHTYPGKLFLPSKVSYYLNSKNPGINSQIEIETQPVAILYSQLKYDASNSKLLPSGCNTSAEPEMHASEIFAALHEISSYGLAILSQANGRRFRSYLGIPKKLRKDWLLMEIHANKYKQSSSPKRLKQKL >Et_10B_003920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7541670:7544015:-1 gene:Et_10B_003920 transcript:Et_10B_003920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVEAVQWWEEWQLRILVLVSLFLQYFLFITAGLRRLAIPSWLRFQIWLAYLGSDAIAIYALATLFNFHKKQEWVSTHRSSASLVGLWAPILLIHLGGQDGIAAYNIEDNELWRRHVLTTVSQITVAIYVFRKSWSGGDKRLLQAAILLFVPGILKCLAKPLALKAASITSMADSFGSSLEQYFRDTTKKNTGINSLEDYVRDAVTYVQGGSNSNEEEEDSISFEVCDAHSIFIDLPYPYSVRRMTLKYMVYARDNAHALLQDGLSVTFNRFYTRYASATGCRVCGYNVRSMAVYLPFAAIGLFHKSHREAYNDTDVKITYILLCCTAALEYISYCFIPNPSDILVAITGKPWPDLIAQYNLIGYLARNKRHSRLRGLAFILNFKDLLDRRLWSMKPSNSSSDITELVHDHVTNGWKEFIRDTRTFRAFNDSRGQRTLEREGCGGGKSLLSLSLQRPFDQSVMIWHLATDLCFFHMQGTDSRSDARLSREMSNYMAYLLFRNPEMLMAGANRAIFLDTYREIKRMIDQNPHATGEEELAREIAKKVVGADADGTAGQWFVHPLGRLLVDDALVLAQELMQIGKGSVEGGGKKMWRVIQGVWVEMLCFSAARCRGYLHAKSLGKGGE >Et_9A_062576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24181501:24185571:1 gene:Et_9A_062576 transcript:Et_9A_062576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CQVLVNQAQLSRASGFWAFFVNCKLTNFFGYHTMDKNTDPCPIEGNGEIGNNVSSSQNPETLEQQVSPSTSQVVQNTMGVRKNYKRAANRGKNGSQVLTGKKYTLRSSGNDTRVLRSKSNSMVVPAEPVQPPVQPPAKRRKRGPSDEFSQIRNRVKYILHKMGYEQNMLEAYANEGWKSQSLEKIRPEKELERAKGEILRRKLQIREVFQNLDSLLSKGKIDESLFDSDGEIASEDIFCATCGSKDVTLNNDIILCDGVCDRGFHQNCLSPALLTEDIPDGDKGWLCPACDCKIDCIKEINELQGSDISIDDSWEKVFPEAAALANGSKQDETLDLPSDDSEDDDFDPDMAEEHVASKEEGSSEEDEDEGSDSDDSNFLTSSDSEPLMNKKKADDLGLPSEDSEDDDYDPAGPDSDKDIQKEKSSSDESDFTSDSDEFCVEIAKSGDHDEVSKPSFPDAEAGDTTCDMGKSTALADTANSSLNSMEAEMNQNLVLPGSGRRKVERLDYKKLYDETYDKESSDSSDDEEWSGNSTPEKGNEESSEDEANPSAVKSSRRTRVAPHNGELTPQTGHPDSLHGSADQKCGGDLTSNGSNSTTRKGQFGPIINQRLHEHFKTEQYPSRDVKESLAEELGITPRQVSRWFETRRRYAKVASASKSLSRDNHSAEKTNSPTVASTQDPEGTVMKKPDASRIETENEGATSGNLNEGCKKASVLINRIKPLQMDVSISDATKSNGNPAEDQIPGVDLVDETRKKVIQRELKKKKMGR >Et_9A_061521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12991256:13010012:1 gene:Et_9A_061521 transcript:Et_9A_061521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIGSRQITVLREFRPHGLAVEEADGEGAPGAQPPPQDQGYDYFLFDPAVAASPIPDPAEESSVSGSDGDHELFIRGNRIIWSNGSRVHKRYVSPNTVIMACWCRMNAICDALLCVLQVDTLSIYNVTGEVVSIPLPYTVSSIWPLPFGLLLQKSTDGGRMVPSSSSLLHARDLTRPNKEYGFNFNVLYQASTLEVDSKADGAIMSSHLILKHPLEEPQAAYVDERDRLTLMKDFDENIIWTSDTIPLVASYHKGKFQHSLWQIQGTSCQEVVNENTMLPASCDISSHKCAFHKIWLGKCSQSAASKVFLATDIDGTPIICFLLDEQKTLLAVRIQVDETTAETSGDIQPHMSWNIHAFAAAPVIVTRPRVRIGALPFADILILTSDNDLLLYSGKQCLCRYTLPTVLGKGIFSNGDVNCETSHIYRDLKITGIADSVEDRINVTCSNGLMLRCSLRKKPSSSLVSDCITAMAEGLQSYFYNHFVSLFWSVSDAAYQYSSSHTDSEWESFSYEIGKVCTKYGQSLPTMSPTSPSNAWDFLINSKYHAQYCRRTPTSSNSLLPVSYTAFKSVTQDEHSSDDQHSSDASFYIQFMRETLETLHALYENLKLNILRKEDLGFLASLLCMVASSLGEHSYVDYYCRDFTLDLIQFHSLAASSDQKTPPSLFRWFENCLRHGCDSANLEDIPALMCKQKGSAMSWGRKVVSFYSLLLGAERNGRFLSSGVYCEVASGSARNTEELTVLAMVAEKFGRQQLDLLPVGVSLVLRHALDKCRDSPPDDWPAPAYVLVGREDLATTKMGLSVKKEKAFWSNDNLTSMSVPYMLHLQPVTIPSTASDIPTSEVLNSEDSDSVYRSVEDGMEHIFTSTTQLRFGHDLRLNEVRRLLCSARPVAIQTPTNPSVSDQDLQQQQLWNFAQRTTALPFGRGAFTLATTYTLLTEALVFPKLVLAGRLPAQQNATVNLDLSSRSVSEFKSWAEFHNGVAAGLRLAPFQEKMLRTWIQYNRPSEPNFTHAGLLLAFGLHEHLRVLTMTDAYRYLSQEHDITTLGLLLGLAASHRGTMDPAISKMLYFHVPSRHPSSTPELELPTLLQSAAVMGIGLLYEGSAHALTMKILLGEIGRRSGGDNVLEREGYAVAAGSALGFVALGHGSDAFGCMDTFLDRLFEYIGSKEVYHEKNLNATIDDQTGNTGQMMDGAQINVDVTAPGAIIALGLIFLKTESQEIAARLIVPNTHFDLQYVRPDFIMLRIIARNLIMWNRIQPTKDWIDSQIPETIKFGVSNMSEGAVNSDEFDTEALFQAYVNIVTGACIALGLKYAGSRNGDAQELLYAYTVHFLNEIKHIPVRTANMLPKGLLQHVDRGTLELCLHLIVLSLSLVMAGSGNLQTFRLLRYLRGRISADGQVNYGLQMAVSMAIGFLFLGDGTHTFSTRNSAIAALLIALYPRLPTGPNDNRCHLQAFRHLYVLATEPRWVQTVDVDTGLPVYCPLEVTVAETEFYGETNYSEVTPCLLPERTVLKSIRVCGPRYWPQVIKLTPEDKPWWRSGDRTDPFNGGVLYIKRKVGSCSYSDDPIGCQSLISRAMHEVGDTPAASCSTQLSSSNHSSFRVDQLVSTFSANPSLIAFAKLWNGNFREFCSQVLYECMSKDRPSLLQVYISFYTIIESMGEHLKTGHFPFYDSLFLPNLKVALAYNEALVDGRITNGGIIQSTFLESLLKRVGDIFAELPNLKDTFCSYLSTGKWPDAQKDAVILSWYLQWYSIPPPHVVSSVIEKVEPRVPAGISMLPLLCLLLPNTHLVGLIQIEKAHIAMKSEGLAFQMQIQ >Et_5A_041825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3109726:3116814:-1 gene:Et_5A_041825 transcript:Et_5A_041825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGDILRAELSSKTPPFGLRLWIVIGICIWVVILCILGFMCFWSIYWRKPKKSVDKMPVSQIPDVSKEIAVDEGREHAIVENYRVQESHMLSVQEKPYEKNSEKMLAHLVRTKSSDADNLSQCSSAYQCERAGSSYSGDEGSSGNARRQYSQYATVSASPLVGLPEFSHLGWGHWFTLRDLEHATNRFSKENVIGEGGYGVVYRGRLINGTDVAIKKLLNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMRQHGVLTWEARMKIILGIAKALAYLHEAIEPKVVHRDIKSSNILIDEEFNGKLSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAVTGRDPVDYGRPANEVHLVEWLKMMVGSRRAEEVVDSDMELKPTTRALKRALLVALRCVDPDAEKRPTMGQAVRMLEAEDVPSREDRRSRRGHTHNSNTDTESKASSSEFEISSDRRESGPSTRCGDDDVGAARETLGLRERVEPSDGREAPDPQRRAERAELLGELRRELARGRERERRDAVGVLPEAVQDGQRERGRLAAPRLGDAEDVLAGQRARDAPALHRRGPPDAQRVARVDQPLRQAELRERGGGGRGGGGFIGLAGLGHHGGSRLGFAVVGLDGGVIGLARLGLVGCGLWDLSFMLGLDHGHGLGFRLGLPLGPLGEEAERLRLAGDLHAEARGAKNA >Et_2A_017354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33507020:33507346:-1 gene:Et_2A_017354 transcript:Et_2A_017354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLSSSLPLASTTLTSTTLSAAVPYFRSIMPKPPPLKCPPTPMVAHTPAGNPSLGVALATASPSCAPESTHAVACLPSMRTVRILDRSTTANGSGLSDRYDRPS >Et_6B_049499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5774099:5774454:-1 gene:Et_6B_049499 transcript:Et_6B_049499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPTPRSGRASLSLRLSKHLAASSRGGSGAGNLVFSPLSVISALALVAEGARGDTLQELLDAIGTQELAEFVRGVTERALSDRSRWGGPTVAFAGGVWCDAAWALRPGFRDVAA >Et_1A_008876.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:1747804:1748625:-1 gene:Et_1A_008876 transcript:Et_1A_008876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTQVAGVVAEPFRVFVGYDSREDIAYRVCRRSLLRRASIPVEVIPIVQQDLRASGLYWRERGPTESTEFSFTRFLTPYLAGYRGWALFVDCDFLFLADVAELARLADPRRAVLCVHHDYAPTEATKMDGAVQTVYPRKNWSSMVLFNCAHPKNVAALTPEAVSAQTGAYLHRFMWLDDEDVGEVPFVWNFLVGHNRVDPDDLDATAPRALHYTSGGPWFDRYRDCEFADLWIKERDACEAEEKGEEEAEEVKAAAAAVQAPPAAAVSVDA >Et_4B_039184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8570793:8574193:1 gene:Et_4B_039184 transcript:Et_4B_039184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNARGGGNSDALRNYILGRTLGIGTFGKVKIAEHRLTGHRVAIKIINCHQMRKMEMEDKAKREIKILKLFIHPHIIRLYEVIYTPTDIYVVMEYCKYGELFDYIVEKGRLQEQEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGRLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVDPMKRITIREIRQHQWFQTRLPRYLAVPPPDTTQQAKMIDEDTLRDVVNLGFNKNQVCESLCSRLQNEATVAYYLLLDNRFRTTSGYLGADYQESTDRNLNQLASTDSSGSSARHYLPGSSDAHSNGLRPHYPVERKWALGLQSRAPPREIMVEVLKALQELNVCWKKNGHYNMKCRWCPGVPEVHDMLDINNSFLGDSTIMENDNTNERLPPVIKFELQDKGREVPSRHAESYRAATPFPGLLCGLPYQAQGSIVYPVSLQSGE >Et_9A_061302.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:9583862:9584059:1 gene:Et_9A_061302 transcript:Et_9A_061302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSFWRKQHRNNFYGSSIAPKQPRLSTAKLTFSCGNHTVTSNTSQQVKKERSPSHFYWGIEGPR >Et_2A_014506.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:17743445:17745659:1 gene:Et_2A_014506 transcript:Et_2A_014506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAPVPNHSPSLKQRATELMSATTETYRSKPFSFWLLLALSSGAMLTAFPASSLLSRLYYTDGGQSKWILSWAAVAGWPLPALFLLPLYLLGKASPTPLSLQLCFWYLLLGLLSAADNLMYAWAYAYLPASTASLVAASSLAFSALFGRVVVKNTLRLSTLNAVVVITAGVAIVALDSGSDRPPGVTARQYAVGFVLDVLGSALHGLIFALSELVFVRVLGRRSFHVVLEQQAAVSLCAFAFTSVGLAVTDGFPAMRVFAAGFKHGEAAYVNVMVWSAVTFQLGVLGGTGVLFLASTVLAGVMNAVRVPLTSVAAVIWFHDPMSGFKILALVITVWGFASYMVGHSSAKKTSSN >Et_7B_053953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13731728:13733655:1 gene:Et_7B_053953 transcript:Et_7B_053953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGAASPDPPHGSLAAALPGLEQPLLHAHATLPDQDDGATFVRTCFNGLNGLSGVGLLSIPYALSQGGWLSLPLLLAVAAVCCYTGLLLQRCMAASPSVRGYPDIGALAFGRAGRLAASAFLYAELYLVAIGFLILEGDNLHKLFPGASLSLGRVVVVVSGKPLFVVLFALVVLPTTWLRSLAVLAYVSATGVLASVVVVFCVLWTAVADGVGFHGKGRMINVSGLPTALGLYTFCYCGHAIFPTLCNSMKEKEKFSRVLVLCFTACTLNYGSMAILGYLMYGDDVKSQVTLNLPEGKISSKLAIYTTLINPFSKYALMVSPLAMAVEERLLAGGSKRSVNILVRTLIVSSTVVVALTVPFFGHLMALVGSLLSVMASMLLPCIFYLKIFGTARCGKAEVALIAAIIGLGSLVAATGTYSSLKNILQEF >Et_3A_023112.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28645056:28645928:-1 gene:Et_3A_023112 transcript:Et_3A_023112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVATLALAVLLASTVVAPPSAVDAAMSCATVYGNLMPCLSYVQSGSAMPTPDCCGGIRSLLSQARSTPDRRTVCSCLKNVANGASGSSNYITRAAGLPSKCGVTLPYKISASVNCNTYVCSPCYSCRLLYFAAVVRSVFSVL >Et_2A_018399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26768131:26768529:-1 gene:Et_2A_018399 transcript:Et_2A_018399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFGAVAAALLVLALLVASAGRKLTIASTNFSTTYAAAGGYSSRRPKCCMDFLLWGEKEQWQKAGCERHSCNSWCQSRCRGGECKLRRGRRMCHCFC >Et_8A_057817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7699439:7706476:-1 gene:Et_8A_057817 transcript:Et_8A_057817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDAVVVVVVSAPPQPAPCRLATALDYLVTASLWVTCASAAALTVAGRASGTDSPLYHALELAVEGALLLLLLAFVVWCLHDPATARRLVKAMVADAVAVVKNKKIPDCWKVPGALTREKLFFVLVVHAFALFWLLEIVGLLMQVVPGKESHRIGTALFDLGTLGVSAIGCFFVVPCAIHGIQMNKDWRSRIPISEAKKIRGDARDQHEAKVGGCEGERAAIPKNLSRVHS >Et_3B_028051.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:5840997:5841140:-1 gene:Et_3B_028051 transcript:Et_3B_028051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAATTSSQPLISSQHWKRKILTSSVISCLMISAKWSTSFGKLETKG >Et_10A_000870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18484353:18484730:1 gene:Et_10A_000870 transcript:Et_10A_000870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CTYQLEIRSDLNVSEIDFWTDNWSLPLKQVVTLVVSGYMVQIAMPLSASTENGSLPTIALLKVFARMLCEQMAEAGNVVKVVSSLSFKALVKFAYTDSLPRDNEPWTYDAIRAWGDLL >Et_6B_048951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1680473:1681001:-1 gene:Et_6B_048951 transcript:Et_6B_048951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGSAAVLFYRPGGAGASWSMSQAPTGERHWIWLRSYSDIAFHRGKLYEHVIKEYDPSLKFICYPRYLVASCDNKLLMVKWRPRCASIRAPTTIQEKCDAVKLEVFEADLEVGRWSEVKNGLQGQTLFVGSRSSRAIHLLSKKLVLSNV >Et_4B_036089.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:22685724:22686101:-1 gene:Et_4B_036089 transcript:Et_4B_036089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVKGRRKSRTECSRARGPQAARGSSRGGGVLATLVLGLFGRRSGRTSKVRDVPRGGSASAPGSSHGGGGVAAAAVGVLLDPPLPVVRRPARADDAPSLWERRRGGGKALHGLRLTYIATETSC >Et_3A_025233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28116654:28120065:1 gene:Et_3A_025233 transcript:Et_3A_025233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAKVAGALALALVLALAGANSEGDALSALRRSLRDPGGVLQSWDSTLVNPCTWFHVTCDRDNRVTRLDLGNLNLSGHLVPELGKLEHLQYLELYKNDIQGTIPSELGNLKNLISLDLYKNNISGTIPPSLGQLKSLVFLRLNGNRLTGPIPRELSGISSLKVVDVSSNDLCGTIPTSGPFEHIPLTNFEKNPRLEGPELQGLAVYDTNC >Et_3B_031205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20682278:20684024:-1 gene:Et_3B_031205 transcript:Et_3B_031205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPNLAVLDALDSARTQMYHMKAIVIAGMGFFTDAYDLFCISTVSKLLGRIYYPHENLDHGKNKPGKLPDNVNDMVVGVALVGTLMGQLVFGYFGDKLGRKKVYGITLVLMAACAIGSGLSFGSSNRAVIGTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGVGIIFAGLVSMIVSALFLHYHPAKSWNEDPAGSTQPAADYMWRIVLMIGAFPALATFYWRMKMPETARYTALIEGNAKQAANDMTKVMDIQIEAEQEKLAAFKAANDYTLLSWEFARRHGLHLIGTTTTWFLLDIAFYSQNLTQKDIFPAIHLTSDPAEVNALQEVLQISKAMFLVALLGTFPGYWVTVALIDKMGRYLIQLIGFFMMSVFMLLMGVFYENLRDKHVAVFALLYALTFFFANFGPNSTTFVLPAELFPTRVRSTCHAISAASGKAGAIVAAYGFQKLTLKGDARSIKKALILLAVTNMLGFFFTFLVPETMGRSLEEISGEDGNNAEAGAGAGPADVSRDVDKMPVSSTEWQSSMQA >Et_4A_032898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15382725:15384656:1 gene:Et_4A_032898 transcript:Et_4A_032898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHAMLLSVFLLFKFLSKDLIIAGLAATIRGIAILSATLPPIKHFLTKECNDKEVVSPTPPIDIHAYLISVVSVDFIKAQVAASVPGFFFCMLYAAMKYFLANNGLGISSPIQGFKMLSLRSNKTGAILLEV >Et_4B_036464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25662034:25662502:-1 gene:Et_4B_036464 transcript:Et_4B_036464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLNHPEALRKAQAEIDATVGTSRLLTADDVPHLTYLHCVITEALRLYPGAPLLLPHENSADCKVGGYDVPAGTMLLVNVYAIHRDPAVWEDPAEFRPERDGASAPARRSRCGPWGWCSARSSSASTGRRSTAPRLT >Et_6A_047985.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:27077963:27079393:-1 gene:Et_6A_047985 transcript:Et_6A_047985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSVCRLHPGVAVTGFCPACLRDRLAGLQPAAPSAADLRRCKSFSYARSAAAAHFEPQRRSCDLFGQAEAMAVPVPEEEEEAVQRQRRMQEPSRKSFGGLLGKKLQQWRRKTKPKKEEEEEPRPMPMPEIVHRQHSFRDDDGGRRSCDQLDPSFSLFGQLPPAMEAEEMPPDVPRSDGQIPVEEEDLFYPNYYDNAVPGGCAQTRDYYFDSSSSSRRRRSVDRSTASGRNSFSDANVDRIMTSNNANARVSPAMAAAEFYQAQSSILVHHHHQSYSGSFGSASFRDHHHTNNKLQGGANKPAKKGIKGWSIWGLIHKKSSSRKPAEADHGSFSVSAGVGEEVEYPWPELRARGYNGQMLRCNSSISARSSFSGGAAAMIGGGSARRSISGMDMHDVMNGHSSGRTRRDEILLERNLSTRSRSGHDHPMGAAANFSNNYQFSRPNHNGVPKGRSSKSSLPRRSTLGLYSTDPIPRH >Et_6B_049124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18427952:18428384:1 gene:Et_6B_049124 transcript:Et_6B_049124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHLITLGTFCLGFCFGAQWQLLYAIISELFGLRRYPTPSQPLRRAQRAHRRPALRCRGRAATVAGTLVSLVLVREEVRRLLSAESPAGKRRLGHAARVATELGIRGRVNEVARQVSGGQ >Et_9A_061011.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:7686960:7687610:-1 gene:Et_9A_061011 transcript:Et_9A_061011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVVISLSRARARPPKGHSPSLLSLSLTLSTQMGNMLPSLFHARSNTLPPMNTKAHWSGSMKLLTRTLRRTMHMRRPCRVSMVKAAFRESSSAAYQATSRTRRAQRNGHQSRRVVRVKVLLTREEAARLLSLTEHGDRTAAQVVREFKRMQVTRANASATGMPTWRPVLESIPEEWAEWS >Et_9B_064201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12587282:12587633:-1 gene:Et_9B_064201 transcript:Et_9B_064201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ESKLKQSSAMSFLRLSPQRLPQIVRQMEQDVETVIHVLQPGPIGIVEHKFTDAEIAEARATVRSAVENWRRNWTLERNLGRGSFEKWK >Et_8B_059242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15697698:15700196:-1 gene:Et_8B_059242 transcript:Et_8B_059242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPCSSKSHTDLLMPEGKSGLLNAEGNLGTKDADVIDLDVDDSVFRNVHKTEFSAKMFQTCGNTLDSQNKSGLCQNHNRQSTTFECNTRYTAKETSFFKHTDAVGKSTFQESLATKLCILQKNSILRTRKMTDSTHEKETLTIDDDFHDPKILDKDKSRKSIGKWCKGSTAPGSASTDTNRGHLDLQGFLRELVRFQVNSTSFSRLKQNQIDLLRLSFFFVCRIDSKSLWPKAPKVGGKGDQSQIDPFTGTTSSLNALVDK >Et_8A_057229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22573734:22574414:1 gene:Et_8A_057229 transcript:Et_8A_057229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGGVKMTTSSGMMRGGSGRRPWRWWRAKCAGIAASVGSRLRRTIKGLDGRRRRRRATSSFAPVYVDELYNHHHHVQPIITKPLKLDAVLIAQEDPATKPSTSGAAPLTVGVAGRASGKATARVAAAAGAGVGGARAAGGNKQQARAAAAAGVGGMKNILMRSPGRGGGVLGVVKGMGEVDLRAELFIRKFKEDMRLQSQRSAEEFQAMLARGL >Et_6A_047212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26860325:26869786:-1 gene:Et_6A_047212 transcript:Et_6A_047212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALGGHRTSHLWRPTKKQLLPWLIKPAVVAHACATCGLRFSSGQALGGQMRRHRQRRANNVDDEQDLKRIITQQERPGSAASMQLLNLFEAPCRSPDDGSRLGAPGPVRPPQPETTRRLRRRPHDVRRLHRSAPLSAPPAVSRRGPRVVARTPTPASPSTTSIVYSVSPATSSSPPPSLTRLPPCFEGGAVNPELDRPFRPYRNQQQRCMLDQDMAILCHGDVDGGEFTVADLTHRSHHEVELCVLHHTPPTSDSKMPRRIAERAKNLLLFLSLSPSSRVIIKGKKQATPDGEFLCRTCGRRFATFQALGGHRTSHKRPRVRADGLNLQLGARPGKLAAAAKDVHRCNTCGTVFPTGQALGGHMRRHRSAIFHVAATETMMTSALSEELDGLPSGGNAPACQGRLSAAGRHWLVLDRFVHRSRRRCEILDGNDTASAISSDCVGRPVRASLRLADRRRCPVSASTTPSGLAIKKRIESTIITAHRNSIPFRTPSPEFHLLILLWASLNERTGFIFSGLPRKRAGFIHSLTEQGLKVTVPFEDSDMWNYAPCFSLDYFVYSASSSSSPPSLTRLPRCFEGGAVNPQLDEMFQPYRNQRQRTMMDRDMGLLCHGDDDNEYFRVAEKLLIPSGLIKNLRSFWSDTVVVVDERRLCWVDYYQGLLLIDVITDNPPLHYVLLPAVALESPRPYFDALTPDPFRCVSVTEIGTIKLVCIIAADIGCPSATFTIKTWTCNIGQGEWTDCGTAMDAHEFFGLYGPVQSNLPLVKPRFPVVSLVDPHVVCFLLEEEDNHVI >Et_1A_006718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26689848:26692556:-1 gene:Et_1A_006718 transcript:Et_1A_006718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSYARGQHASIPSAPRAASRSRKRLKARQTSKRIREGRGKTQPTTRESNSNRPSKLLGHAATKGEASQASPDMPPLLPSPVASSTPLLLASRLGALHRAAVPHQRAAVRFLAPAKSRPASGSLTAMSWLGKLGLGGLGGSPRASEASAALAQGPDEDQPAPGNEFAQFGAGCFWGVELAFQRVPGVTRTEVGYSQGNVHDPSYEDVCTGATNHNEVVRVQYDPAACKYDDLLDVFWTRHDPTTPNRQGNDVGTQYRSGIYFYTPEQEKAARESLEKQQKLLNRTIVTEIVPAKRFYRAEEYHQQYLAKGGRFGFRQSTEKGCNDPIRCYG >Et_8A_058043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15569092:15574715:1 gene:Et_8A_058043 transcript:Et_8A_058043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEQQQPEPVVSAAAEEEASPEIIFRSKLPDIAITNTLPLHRYCFERLPEVAARPCLIDGATGAVLTYADVDRLSRRLAAALRRAPLGLGRGAVVMTLLLNSAEFVLAFFAASRVGAAVTTTNPMSTTHEIAGQIAASGATVVITESLAADKLPAAGDGNKLTVILIDERRDGCLHFWDDVMASVPDNDDAAIDGEDNSEFDPDDVVALPYSSGTTGLPKGVMLTHRSLSTSVAQQVDGDNPNISFAAGDVILCSLPMFHIYSLSTIMMCGLRAGAAIVVMRRFDLAKMMELVERHRVTIAPLVPPIVVAVAKSDQAKAHDLSSVRMVMSGAAPMGKDIEDAFLAKLPGAVLGQVKVKAFCRSPRSFELGYGMTEAGPVLSMCLAFAKEPFKVKSGACGTVVRNAELKIVDPDTGKSLGRNEPGEICIRGQQIMKGYLNNPEATKNTIDAEGWLHTGDVGYVDDDDEIFIVDRLKEIIKYRGFQVAPAELEALLITHPSIADAAVVGKQVEPDIGEIPVAFIAKAEGCELSEDDVKQFVAKEVIYYKKIREVIFVDKIPKAPSGKILRKELRKQLQQQQA >Et_1B_014349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6474657:6477438:1 gene:Et_1B_014349 transcript:Et_1B_014349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTAAVDTAGSPPPPSTEPLATAVPDQKVVYPVILPDVRPPAPALAPPPPAAMAPAPAPAPPPPAAMTPAPAPAPPPAPAPAPATRKRKLEDLGFHNSDYYKIRATIADLRVRFVQVAQATDFRNCDAATEILREIKVVMELSKKMRLNLGAISAPVKPSEKPPTVPVKDLPMKPPANPSIGDVKDVPVKPSVEALAGPAGPAKDEPMKPSEEPAAATMKDEPMKPSEEPSAGTVMGEPMKPAEEPSVEPVKDEATGPTPPGENNQAPKVAETALPPSNESADAPSKHDNSESAAVPVEE >Et_6B_048976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16109117:16113598:-1 gene:Et_6B_048976 transcript:Et_6B_048976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIEVMYEMRMQLSDSRNILKDWKDNQMSPCSWVHVNCQGNNVISITLSSSGLSGFLSPSIAKLTTLQQLLLDGNSITGEIPEEFGNLSSLTTLKLGRNRLNGSIPGSLSRLSNLQNLDLSQNLLSGNIPSSLSNLPSLNDINVADNNLSGEIPQQLLEVAQYNYTGNHLNCGQHLFSCDGGSTRTGGSRNLKLNVVLGSIIGAVSLLVIGVIFLLWWQTIRYRPEIYIDVSGQNDHRLEFGQIKRVSWRELQIATDNFSEQNVLGKGGFGKVYKGILPGPDSKKVAVKRLLKVESPEGEMAFLREVELISIAAHKNILKLIGFCTTPTERLLVHPFMENLSVASRLRDIKLNERALGWPTRMRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMVDKGRNTVTTGLRGTMGHIAPEYIKTGRPSVKTDIFGYGVMLLEIVTGERAIAFFPDCTEQAGEIMLNDQVKLCMEERRLHDIVDQNLGGVYNVKELEKITQIALICTHLEPDQRPTMSEVVQILEGDFVPEERWEEGQRAELNRRQQHEMRQQRKLFGFSEESLSIQEAIELSAGR >Et_3B_030436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3819893:3820844:1 gene:Et_3B_030436 transcript:Et_3B_030436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPPPVAAAPPRGLQLLTSVAPAVAIAAALVCGAGPFAVVPAAQANPKIRPCPSTNPGCVSTNPLGSSSSFASPLLIPESSAGDKAVVSLRQAIEKTQKNVSFNVDQDTPYGHYMEVEMDGGVGRDVMEFLVKKDAGVVAYRCLATKVTFVYPFTTAVGDSKGQKQRIAAISQELGWYAPDIQSSMDPGVDDPS >Et_3B_029684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27128665:27133808:1 gene:Et_3B_029684 transcript:Et_3B_029684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPKGNAVASSASPSQVKSTMDDPEKNASPKNDVEEHQGKKILKRSSSFAQVSIAAATGISTAAFTVGKDVKLHRHVLVAGGCFLVVAYLSGLLLLYLKLCLSECKKLRLGHIRVLQVLCLTSGTALIATNSLLLVLINEGNGMLALNLLPVQVMIGMLAFRAAPTEDSLRDEAFEAAIKSGRKVALFASATAFAVQTTLVFGYLNNASFQQALGHRLDISVSFLASALGVFLVVATCMPLGYGRGLEGQGAVHPEDAVIAVLALTAVTLGQEFLGGDTVLALFPEIAVAAMYYAVTLLSDDEAAASQGRGQGDEHKMEVLPTAVVATFGFAMLGAAYAAMLGTPEYDVYTKALVFTLLAAIVSSLARVAGPLCASRRRDKNAAAWVVHLSNVIPVVEMLVAVPLAAKIITPEDNESLMMAPRSVSAADENPVHVRGQPPKKTNASCPNTGIHMAITMDPMTPARPSWNLTVISHDKTRWRPMLSTARYVMIKFLLCASRYIWSVKDQTQANMTGMYQSEMVPATAEILGSWPRAIRSGSVKM >Et_9B_065088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21072735:21073434:-1 gene:Et_9B_065088 transcript:Et_9B_065088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVNVAVAAVAVIFLAAAFLPCDASTNVDEPAKYTHTAPAPPPPAYPTPSPPPAVRPVIVVEGVIYCKSCKLKGYNRNMDASPLPNATASLVCYGDKKSNYRELNLTSTTTDKNGYFVVMVYDVAMFHLNRCMLYLRSSPTPLCAAPFVPAHAKLGLTLEKEPRKAPLPKGALGAYHSKSALMYAPGNGGKCPPY >Et_4B_038883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5594931:5602244:-1 gene:Et_4B_038883 transcript:Et_4B_038883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADALSIIPSAVLRNLSDKLYEKRKNAALEIEGIVKQLATAGEHEKISAVIQLLTNDFTYSPQANHRKGGLIGLAAVTVGLTSEAAQHLEQIVPPVLNSFLDQDSRVRYYACEALYNIAKVVRGDFIIYFNKIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADAALSEFLQEIKNSPNVDYGRMAEILVRRAGSTDEFTRLTSITWINEFVKLGGEQLVPYYADILGAILPCISDEEEKIRVVARETNEELRAIKADPTEGFDIGAILSIAKRELNSEHEATRIEALHWFSTLLARYRVEFLAYLNDIFDPLLNALSDPSDAVVLLVLEVHACIAEESHHFHHLVSYLIRTFHNNHVLLEKRGALIVRRLCVLLGAEKVYREFSTILESEVDLDFASVMVQALNLILLTSTELGELRSLLKKSLVDSCGKDLFQSLYASWRHSPMATISLCLLAQAYSHASCVIQSLGEEDINVKFLVQLDKLIRLLETPLLEPGKHTWLLKTLYGLLMLLPQQSAAFKILRTRLKTVPFSENLKRTSSSNPYSQILQVTEDGNRNQDTQNYSAINFPSLLQQFENMQQQHRLHLKNQLQSRKSASAVALSQILSRNGNYLLEKARFRSLGAKSDKDCPQADDRSSILSPIRRE >Et_3B_030133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30770650:30776011:-1 gene:Et_3B_030133 transcript:Et_3B_030133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPAGSGAKGGAVDPSLPRFKCQECRRALVVVGVETYADRLPAHAAPGMNASAVQGSVMGASRMDNSYVVLSRQNRSQGPGIPPRPPSAAAGHIDPNQSTRAIEGSYIVLPPPAASIYKTSASEGGGAQPQPPAVNSGSPSPGNNSGFHSSVTVLKRAFEIATSQTQVEQPLCLECMRVLSDKMDKEIEDVNADIKAYEACLQRLELEPYNILSETDFQKEKQKIEEEEKKLKAAIEEAEKQYSEVSTEMKDLEIKSKQFEELEERYWHEFNSFQFQLTAHQEERDAVFAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLSSVEVEWDEINAAWGQAALLLHTMAQYRIKIHPMGSYPRVTDINNNTYELFGPVNLFWSTRFDKAMTWFLTCLHEFAEFAVSLDKKNNVPLEKTLKLPYKIDGDKVGSHTIVLSFNKNENWTKALKYMLCNLKWVLYWFIGNTSFAPPAGSLHTQSPKNKS >Et_8B_058983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11961916:11969834:-1 gene:Et_8B_058983 transcript:Et_8B_058983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLPARHLGRAATVRSTGPRLLRCLSAAVRSKRRAAWPGSVWPPRGWQRIESVDTVRAGFQCLANISSPVRATESQRLTGGEPTVRKDIEDICLHLSSLKGLKTLAMTTNGIVLSKKLPKLKECGLSALNISLDTLVPAKFEFMTRRKGHSKVMESIDAAVDLGYNPVKVNCVIMRGMNDDEICSFVELTRHKPINVRFIEFMPFDGNVWNVKKLVPYAEMLDKVRQKFKGVERLQDHPTETAKNFRIEGHIGTISFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLREPIRAGVDDAGLKEIIGAAVKRKKAKHAGMFDIAKTANRPMIHIGSMAPVKPDTNMTDQKRKATDTGSGSAATVDAPPPDRISSLPDSILCTILTLLNLHDAGRMTVASRRWRPLYTSPEYQPGGIDDGVDLWLGAHRPRGATEWSAQPRWRSDAITATLAAHGGSVKRFAVRWTRPFGDALRAWVRTLSVSGVVEEMVVEKPCLPRLALPHAILGCSSLRSLSLCNCAWPGVAGTPAEAWTLPRLTELTLRKMTMPVADIEDLLGRCPALLSLAICMCNPRRSGSLRIVCANLVSLTIVELTMRVESRANWSVPKLQRLLWWPPGNIYDEDWSLEEWMPMVHTLGVFSGLDVGVGNIPIRQVTTLAICTDLDGDIGEVEAGNTICMLQSLPHLETLHLQLTSGSVKARKRNGKKIGAYANSYEELVEGPVACPALENSLKTIVLRVPYLAYRRLAFANFLLGAAQALKVMYIACKGTAGELVPPEDRGSVDARVVFLQNSECSIQLSMSASNIKLADPFVPQGLPDLSE >Et_1A_005751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13446215:13447918:1 gene:Et_1A_005751 transcript:Et_1A_005751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQRAMGLLRRSLGLAAPPAQRALSTSASAPAAEGAAATAEAVAKEAKKRKKKNLFDVVQFLPGWGVGYKVAKTTWRDVSYQITKINLYKDGRHGKAWGIRYKAGVQAADAPIRISGVNKRGWKYIKESQKKLQDSPKVETPAVSA >Et_1B_010888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15024818:15044230:-1 gene:Et_1B_010888 transcript:Et_1B_010888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNGILSDERLKNMKSVRSNGQLGDDDDVIIIDAEGDETKVRATTDGLFKEHKEPSHGTMDDGVHEEAPASDDDSDVDSYEFFLRESDNEEASASEEDIEVPLTEEEIEDLVAEFLEVESKAAEAQESLEKESLEKIEAEVRLELSERLQGDEEQLDAAGIELPTLYKSIESQVPNVCETEAWKSRTHWVGSQLPEEANQSIKKADEFLQYCRPVRRKHGRLLEEGASGFLAGKVPAGDDSIQGDEKSWSSFNELIKSKENAKNTFGSNDWASVYLASTPQEAATLGLQFPGVDEVEELSEVDCAFTDIKCVDEVELSEEQRRKYRKVREEDDVKIMKRMQCRLKGRTRGWHKDFDLASSPNGSHEKPLPSKNATNVLAPSELKTDENKRTREDDDELDQKRLKTVIIESDDDMQIDSKSDMYKNCEGSSAEVEKEVDIIDLDLFPSQSPKLNDKDLPKAFKCTICTDMLDASHVHRHPALDVTVCGSCRFLVIEKNRLEGPVSGGYCTWCAQSEQLQSCSSCKLLFCTSCLSRNLGEVYLSQAKVTGWQCCCCIPSQLESLISECDKALSGVESSDPDSSSEFSGPENNDPVSKKKLKKKIRRIMDDTELRDETKRKIAMEKARQDHLKSMQEQSASKIRSGNIGTSFGALSDVTLEDAGDGHIVNLAREDDEEPVRIPSSMSSKLKNHQVEGIRFMWENVIQSVKKVRSGDKGLGCILAHNMGLGKTFQVITFLYTVMRCIQLGLHTALVVTPVNVLHNWRKEFTKWRPAELKPLRVYMLEDVTRMNRPYLLTKWQTKGGVLLIGYSTFRNLSLGKHVKDRNAANEISYALQCGPDILVCDEAHMIKNRRADITQALKQVATQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTSDDVKIMNQRSHILFEQLKGFVQRRGMNVVKNDLPPKKVFVITVKLSQLQKKLYKQFLDVHGFSSSGYSEKSHSSFFAKYQTLAPVWNHPGLLQMAKEQKGNLRKEDAVENFLMDESSSDDNAENFLPNGEKMKDRPDHLSKKSNVVNEESNWWEDLLDENTYMEADYSGKMILLLDILSKCSEWGDKVLVFSQSLTTLDLVEFYLSKLQIKGKEGKLWKRGKDWYRLDGSTPSSDRQNLVERFNDPENTRVKCTLISTRAGSLGINLHAANRVVLLDGSWNPTHDLQAIYRVTKEGLAARVVDRQQVSRTISREEMLHLFEFGDEELLEQNEKGSTMIDCAKVGTEKPSTSSSIYSSELEPVDKLMANLLSKHNSWIAGYHEHEALLQENEDERLTKEEQDLALSEWEALRNAVRDPERRSNMIAVPTVPKLAQTSKATSRSRQPQQPKANSNNQKKCNNLTHLLTLRSNGTKAGCTTTCKECDQEIMDALSPRKSHPEKGTIAGSKGREEWRTETEVFVVCAQ >Et_2B_019907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15064941:15069025:-1 gene:Et_2B_019907 transcript:Et_2B_019907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGLPDAAPPSSAAPPQKRDEWSEGGIVRLLQAYEAKWLLRNRAKLKWSDWVEIAAEVSAHCAAAAGGGGNSAKTPNQCKNKVESMKKRYRAESAAAARAGPAAAGAAGPSWRFFARMDGLLKGPAAGCSGQGVVRQAELSNSIDLQAPAKPEVDVEAEFAAQLPDAVGPGAFSDLMMNIVDANGSVPEKAEKVGNSVQKESRAADSDANVSSPRSKVANEDAEEEDKVWDQPRKRKSPEFAIAKSIELLASSYLKVEHARMEMYRETERMRLETEIKKEEMELKRTEIMAKTQLQIARLFAKRLKESSGKTGGSSSVTAEVDTLTKKAKNDNNSLTRPCSSQKVDKNAFQSKTIMVVL >Et_9A_062672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:340686:341824:-1 gene:Et_9A_062672 transcript:Et_9A_062672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWSVLTATAAAAVAVVCMAAALASAQPPVPGGVVVITEKSPGVIHGKRNSKFTCKDSKKKRPGCMATCPNRCRTKCLVLCPTCKTFCLCDFYPGVSCGDPRFTGGDGNNFYFHGKKDKDFCILSDANLHINAHFIGNHNPELKRDFTWIQALGVLFATAAGGDHHHTLHLGAAKATKWDPAADHLSITFDGSGDERVVLPPVDGARWSPASAPALSVTRTAQANGVVVELRGVFRIVANAVPITPEESRVHNYGVAVDDCLVHLDLGFKFQALSDDVHGVLGQTYRADYVNKLNITSNMPIMGGADNFASSGLFATDCAVARFGGRRSGIAMVTSDAN >Et_8B_059803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2937579:2938264:-1 gene:Et_8B_059803 transcript:Et_8B_059803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTIYSSLDGQPCAEGVLPQEYVLIKMDVIPPLPPKLKALEGRKVYLATTTLIPQMMCGETNCWVLPDGKHGAFEINDTVVFILTTMSPLNLAYQNLLRVQEKPTCLAELYGSDLIGLPLKSPLVFNEIICAVPMMTILTDKGTCAVNSVLSDSPHDVKVVQDLVSKPALRAKFDKWVLPFKVVGIINIPEFGDKSIEKECFDLKIKSKNNKEKLVEANRMTY >Et_5B_045718.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8083665:8084912:-1 gene:Et_5B_045718 transcript:Et_5B_045718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNQGRSARLKDTSTTRGSPRGENVIYFDGWDGLCASAVLRAVASEAAATLQFDQVIHIDCSNWVNRRALQRSIAEHLELPPLVMDMLNMQDEEDDFNGVALDSRDAVLPVREAMLSQWEQKLNHRFLVIFHNGSDEEIDLASFCGLPLYGYSRNTVLWTFQGRFRLKPRIKVDTIIRVADVVLSATHHEKDPRELWSYLVPQEAEDLRVAACKNNTFVHGQGGIIDCQPAQFAECIFYIWKLTGMVHHIRMDYDLATHCCNFWMCDGIIQPQQGQISTNDTDDDGAWRAAHALQREIRLDMDYHNLNLQQHLPSHLEWYAERMASLPHWTSPTLLCSYTGIVPFFPTGDMFRHFSKVGVLKLSHCTFSFSSSPFLRCNNLRFLWLDHCQQDQESRGTDGQGTEERFFHRLCGY >Et_10B_003128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16931829:16938967:-1 gene:Et_10B_003128 transcript:Et_10B_003128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKASSNSDSRSKWRKRKRAANPSPSKQAADNSDDSDYAAAANGGGDDDAPRANGGGGTLARAGSDDDLTLDPRDAEVLSSAELVSAFPAAVRRAVGRPHPSVLAVIAAERAVDGAAGGTPAAAPPALENISHGQLQVISAMLPDHPSLSNDPDRPSTYVCTPPPQMDGRGVPKQFYGKLHIVPRHSDWFVPNTVHRLERQVVPQYFSGKSQGHTPEKYMMMRNRVIAKYLERPEKRLVFAECQGLVTSTAELYDLSRILRFLESWGIINYLAVGSVHRGLRMAASLIKEEATGELQLASAPMKSIDGLILFDRPKCSIPAEDIASVYSTLSAPVVANGDVDPADLDEKIWKHLSESFCNFCSKPLTDTHYESQKEADIALCSDCFHDARFVTGHSSLDFQRVDGDNEGSHTDGDKWTDQETLLLLEGIEKFNDNWNNIAEHVGTKSKAQCIHHFIRLPVEDSWLEKIKVPQASTPSRMESNGYLHSDSNGSCFPQSTQPGNQIPFIESANPVMSLVAFVASSVGPRIAASCASAALSVLTRDDSRMCSEGTGTMGHAAHSDYGPSSSISPETVKNAAICGLSAAALKSKLFADQEEREIQRLSATIINHQLKRLELKLKQFAEVETMLLKESERYELARQQLAAQRARVMSARFASTGVNMPGGSNTMVSNPMSQATSPRPPLIPGSMPQASMPAMYTNNMQGHPQMALLHQRQQMLSFGPRLPLSAINPGASPSGPNIMFNHGMPNSATPNHQPLFRPPSGNNSNDANTGTNKPMLEQYKPMQAQEGNIVEWATQGSHLVQFGPQRLQCSKAGKGFGLSLGPEQLGER >Et_6B_049761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1024449:1026103:1 gene:Et_6B_049761 transcript:Et_6B_049761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFQGKLYAIDTNTEDLLAIDIVDEHDNDKPRVSRIVRIIQGAPLPDRQCIHQMPYLLESHGKLLMIRRKISYTTVSGCTRKSPFLVASTNEFQVLKVDLRCLFWAEMSSLGTNQALFLGRGCSRAVSVSPYDLSRDCIFFVDDYIGWDWKKTTASCGAFDMKDGEVYSPLSMISWKSENVPATWLFSQGKTDKLITTVEHPEENEGPSDEAEIWTDYLVCDEQNC >Et_4A_032886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15068578:15069929:1 gene:Et_4A_032886 transcript:Et_4A_032886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSSMLLALLLAVTCSVAVAYDPLDPNGNITLKWDVISWTPDGYVAMVTMNNYQQYRQIMAPGWTLGWSWAKKEVIWSIVGAQATEQGDCSKFKGNIPHSCKRTPSIVDLLPGVPYNQQIANCCKAGVVSAYGQDPAGALSAFQVSVGLAGTTNKTVKLPKNFTLQGPGPGYTCGPARVVPSTVYFTADHRRKTQALMTWTVVCTYTQQLASRYPTCCVSFSSFYNDTIVPCAKCACGCGVDHHSRGRNGGKQHGGGGECIMGDSKRALSAGVNTPRKDGAPLLQCTSHMCPIRVHWHVKLNYKDYWRAKIAITNFNYRMNYTQWTLVAQHPNLDNVTEVFSFQYKPLVPYGSISEYSLDLIWLLKESNHMYG >Et_7A_051975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3372928:3379279:-1 gene:Et_7A_051975 transcript:Et_7A_051975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISSPLATIISVKTEAAPLGLGEHLAVPHLAAPQQLGPVPDEVLESGAEIAAQGEVAGGRVVGALPGHQAADETCPVVHPERHAWKERVRRVIEALPQLGAVRGTQDQVPVVAQRGDGSCCASSGGDLVLLRAPVDAAFLHRLVCYREGRRRRRRGGDGVVAVGDPHHLALGDVVVVVPYAEVEASARVGRKEAQGEGAIAGDELVVGRDQWSCLEDGSNVALVCAAGQGGVVKHSGAAVRHRSIPDEELNVGVWIDASGDVSYGWVIRTFPGKDMCCRLCLQKLHGWKDGVCRVIEALPDRRAVTVGDPHNRALWDVVVPYAEKRSVLLELAGEKRLRAKKPLLEMSLSLGNTSGFAVWYTMVLALFSYMPPATITPFQTLMRQYGLVPCQMASWRLETGSRPNEKSPVDGSSGPRQATRGSAARPPWISKSLMPGRRVSVG >Et_2A_014475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11743185:11744747:-1 gene:Et_2A_014475 transcript:Et_2A_014475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRCLAIALALSFSSCYLSTPSSATSSDYGFLQCLSEKIPSELIFTPDSGNFNHVLGSTIRNLRFLTNTTVKPICVVTVTHASHVQAAVRCGRVNGVRLRVRSGGHDYEGLSYRSERPEVFGVVDLAKLRAIAVSTDQGVPMAWVEPGATLGELYHTISKNNPEVAFPAGTCPTVGVGGHFRGGGMGMLLRKYGLAIDTIVDAKLVNANGDLLDRMAMGEDLFWAIRGGSGESFGIIVSWKVRLVKVPSKVTVFNIAKSTLDRGTINVLTKWQDVAHTLPTDIIVRVIMQGQQAVFQSLFLGTCDELLPTLASRLPELNVTKADCNEMSWLESAAFVNYRDLGIGKNVVVNDVSTFDSGKVWGEKYFGGNFQRLAAVKKAVDPTDYFRNEQSIPPLS >Et_2A_016419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24487726:24494102:-1 gene:Et_2A_016419 transcript:Et_2A_016419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVQELEAEHAGQGGVQEEDDAQHEQLVGMMASLGLGVGEAESAAAAVARFRSEEESAASMRRFWKEGAALAMRLWGEDAAAVDHFVPAAPGHHVVDPYAYAAAPPPLLPANAARGIGPAGMLRPSARGYGEHIPRGLVVAPPNERSGVVAVHGGGHAHMAPPPPFMHGGAPPFVNRRTDAGLRPSRQEQEVLSALSQEPNESIVSYACKLLESRHGHRLFRLVLSHCNQELREWVIADVTQDKKSFWRICTQRSDEVVFMIESCRTQRSKLLLREAMMIWMAPNLMHSLESNRLRVLEAFIKESPRDITRFIFDAVARNCTRLAGLPNGLNLLQKCLYFVNRNEKDDIFIQISYESLQLSQNSSGNYIVQEVLKNGDPLHLATIASCLRTNYVELSRQKYSSNVVEQCLRVFDEGERFVIVNELISYPHFRDLVTDEFANFVISTALQNCNVQLQDILASTILAQNVNHRNQHCLKIFGLLSKLGDSYHKEKPRLYFGQKHVQGGGATEQMRQKKED >Et_10B_002515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12696848:12697201:1 gene:Et_10B_002515 transcript:Et_10B_002515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAALAVCGKNKKRRAKKIKEPIKDVRRLRRSSRLSDNLDGYKDKESAEAAQTVLVEARSSAPPNLSTSNIQAMATGFLGMNPKVVSDDILHAEDSEEEVSDDE >Et_9A_061777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16365207:16367842:1 gene:Et_9A_061777 transcript:Et_9A_061777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASICRRRRLLLAVGQIPGGGGGATNHIHPNPSAVLLSHIYSFTSVATAPDYEPCPATVSYLVSCGVSPATAAVRKVRIRNKDKADAVRDLLREYGFSDAEVTRLVGMDPVLLTFDPDRIIRPKLDYLISLGLQPRVIATEPHILARSLENHIIPCIDFLRGVLGSDYNLRLAISRIPRALMSDLDNNMRPAVEAFRRHGLSDEAIGKLLVIHLGIIMMPLDRISEVFGDLKELGLCLTDTNFLYAFRVLGSLKRDNWERKVELYRSFGVSKEVLNRAFKTQPTMLLASEESIKKKVRFFMDVLKFEMSVVMQQPLALSVSLEKCVKPRCAVLSLLMREGKIAGKLNIISVLITNAKVFSERFVLKYADEVPDVVKAFEGRIKFEGFGDQELELLHRARCSKMDYHFGEMTNGQWWSQNVNCVPFNLGVNLRLLLQMHLADWGLYVSKRFAFTLCGFTSCAAVPQSETVNDHL >Et_1A_005354.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:9243023:9243115:1 gene:Et_1A_005354 transcript:Et_1A_005354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLFWSRGGFGVNATRGFTSSKLCSRLR >Et_5B_045649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6196451:6197487:1 gene:Et_5B_045649 transcript:Et_5B_045649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEQTAASSSSSGGRGGVEQERSVEDRRPQPESHEEEEEEEEPEFRDIQAISPPPSQPSSYRRGRGESWGSAAGGSRHTSIRSVGSDTAPSEPFPTMSREFSAMVAAAAAANARSEDAAADAMGRIGEEELEEETNPLAIVPDSNPIPSPRRGSPTTPAAAPAGDLALSGGAGAGHGGSGMSVGQVKKEEVESKIAAWQIAETAKINNRFKREEVVINGWEGDQVEKASAWLKKYERKLEEKRAKAMEKAQNEVAKARRKAEEKRASAEAKRGTKVARVLELANFMRAVGRAPSTKRSFF >Et_2B_019624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11227157:11231681:-1 gene:Et_2B_019624 transcript:Et_2B_019624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRRDGEISSRIYSIFHACHFFSAVDWQVATSERKDACTGDSVQRLLAGALPDRTQGPGARVAFACGIWHDASRRLKPPEEARQEINSWVAAVTSDKFTGIGNFTMPASSAASKQNEEIVGSPFLHEYHNRNELEYLGHQKQVPTTRPPDPYHQESEQAREPGLLAALHLLRAVSGLVAAGARGRTLTELLDTLGAASQQLLGDNVTATPPCTESCRGVARAVDFLHKSEEATKEINSWVAAATGNLIPSILEPGMLTKDTRFVLTNAVYFKGQWETPFCRWFTETHKFHRLDGTTVDAKFMTCSKDQFIAAHDGFKVLKMAYRRTTRRSTPSP >Et_5B_045682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7137796:7145181:1 gene:Et_5B_045682 transcript:Et_5B_045682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESPLRRSKRRAASVDDVQSDRAEKLKAVHNLDGEFAKVDCIDCHCIGVPGGQAACYTLECAAVPPSTRTRQDFSHHNVTKVISDKIAIVTNNTHIVTKYLGDYVEEAIQRRPEALRALAGAGARLPPLRRPAGCLEVGKTAVLSPAWSLGPKVLGLGFRPPPVRLQRRRRCVRICPPVPGRAPLVLHSGAGGGFVGEGLPSRGSSLGVCRRLVCFALGEVMRLPAFWFKETDGAAGWRMAGGWVKLDGSAAAVAGVGERRFKPEEARGASLTGLSCMLWRISPGECLSRFISKPMCEGASSDDGSVAAAASAGVSSGRRWWSSSSSLCKRPRDFGRQQEAADGKQRKNNQTDRG >Et_2B_021519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30196035:30210867:-1 gene:Et_2B_021519 transcript:Et_2B_021519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARLVLRLVISMELLGAAVGMRPPAMYVFGDSTLDVGNNNYLAGPGVSRANRPYYGIDYPLHIPTGRFSNGYDTAGCHRSAQWCQLCVGRCWHPRHHCNIPLSKQVQYSNATKARMVEATLGSGGGAVNALLARSVFLIGVGSNDLFAFVAAQNSSTSTADVAALYSSLISNYSAAIQELFAIINLGMLGCVPTVRVIDPAAGACNDGLNASLAGIHNNKLLPGLVYSLGDPQASGYTDIAGACCGSGRLNAEADCMPSSTLCANRDRFVFWDRYHPSQRASFLTAQAFYNGPARNGYNVADFVAKNLGFDESPLAYMLLKARNYLVPSAISRGVSYASAGAGILDSTNAGGNIPLSEQVRYFESTKAEMVAKAGSGAVSQLLSKSFFLLGVGSNDLFALSRAQAQQNKSATQSEVDAFINSLVSDYSAAITALHKLGARKFGIINVGPVGCVPRVRVLSATGACADGMNQLAMGFDAALKARLADLQATKLPGLRYSLADSYGLTTSRDPAGFVSADSACCGGAVAGVRPSKIVPQVPAMYVFGYSTLDVGNNNHLTGEEVPRADKPYYGIDLPGSGKPTGRFSNGYNVADFVAKNLGFDKSPLAYLVLKARNYLIPSAITRGVSYASAGAGILDSTAGCMLNSTNLCADRDRYLFWDRVHTSQRASMISAQTFYDGPAQSIMGYEVAMKALVLSLVLGAVAGVHPSKMVPAMYVFGDSTLDVGNNNYLPGEGVPRANKPYYGIDFPGSKPTGRFSNGYNMADFIAKTLGFERSPMAYLALKAHNFLIPRALVRGVSYASAGSGILDSTNAGKNIPLSKQVSYFQATKAEMESKVGPHVVSDLLSNLFLVGVGSNDLFAFTTELAKQNRSATQGDVAALIGGLISNYSATITELYKLGARKFGIINVGPVGCIPRVRVLSPTGACSDGLNQLAVGLDGALNASLAGLAGKLPGMAYSIADSYSLTQATLGDPTAAGFASSDSACCGSGRLGAQGECAAAPTATLCPDRKRYIFWDSVHTTQRAAMIAARTFYDGPPAFTTPINFKNLAHHT >Et_5A_041352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21692214:21692855:-1 gene:Et_5A_041352 transcript:Et_5A_041352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANAKQQLLLLVVAAVALSSSSLAVDGRRRPVHLRLYMHDVIGGPERTAIRLIWGAGPAHTNMPGRSFGDTVAIDDLGTYMLTSQHEAVIVVSITVVLTGGPHNGSTVVVAGRDRIYDETRELAVVGGTGRLREAAGYVLWTTAKVLSDIHMVLELDVHATVPDNNDPAAASRAGRSSSSHSSLAMATAAVTTSE >Et_7B_054738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:392111:393596:1 gene:Et_7B_054738 transcript:Et_7B_054738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPAVSFPSSAVGKGTGSFGKRRNKTHTLCIRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYMRHVPKRFKSNFREGTEAAPKKRAAAN >Et_7A_052210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5714639:5717800:1 gene:Et_7A_052210 transcript:Et_7A_052210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGLNLVSAALGFGMTAAFVCARFLCCRPRQALPQPSDFPADLDYPIEHARSGIIRRLSMLKMILSVSICLGEYKEKEILRIIPTCRHNFHLACLDLWLQKQTTCPICRMSLKELQAAVPSARNIQQLSTAPENSMNPTRQWFLPVRQDHRGQNNSPETQESVEVVIAFR >Et_6B_049647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7857788:7861999:-1 gene:Et_6B_049647 transcript:Et_6B_049647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQFGILAMATTCLMLFPTSEQSSQSELLQQLRKQLEYPRQLDAWGSSSSDPCYIQPTAALAVTCEGSVITELKIVGDRITKPPKFSGYPVPNITLSEAFVIDSFVTTLTRLTTLRVVILVSLGLWGPLPDKIHRLSSLEVLDLSSNFLYGSIPPKLSVMSKLHTVNLDSNYFNGSVPDWLDSFSNLTVLRLQGNRLKGSVPASIGKAAMLTELALAGNRISGDVPDLGNLNKLEMLDLRDNQLDGELPEMPTSLVTILLSKNSLKGEIPDQFGQLNRLQHLDVSFNFLVGSPPEELFALPNISYLNLAANMLSGSLSSSLICSNTLGFVDLSTNRLTGDLPACLNGNINNKLVKFDGNCLNADPAHQHDAKYCQQSRNGSGSSKDVGLVVMVVGILFIVLVLSLLLMASNKRNCKKVLSEQQFLQKHTQDNSTSGMSSELLANATIQGKTREWNPDCNKMNLKLRLDLLAKLRHPNLVCLLGHCIDSAVDESSVKRVFLVYEYVPSGTLSSYLSGSSPEKTLKWSDRLQVLIAIAKAVHFLHTGIIPGSLYNRLKSSSVLIDEHLMAKLSDYGLSIITEEIYKHEVIGDGKRYLQNSAAEMESLEEDVYSFGCIILEVLMGPKLHEKGGFLLNELVVSISSQEEREQVLDPVVVGTSSQDSLSMVVSIMIKCLSVESSARPSIEEVLWNLQYAAQVQATADGDQRSEVSSQAC >Et_2A_015708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17067486:17069435:-1 gene:Et_2A_015708 transcript:Et_2A_015708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KLQTEVLKEAISQVVSEAKEKNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDCMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPNRVF >Et_8B_059105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1437716:1450074:-1 gene:Et_8B_059105 transcript:Et_8B_059105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEVATAWCGEKLFAFRVRERIFDPELHDSPRLFRTGDKMKMAVLLILLVTFLSAYAPLQSEALNVRGRLLKTKTFLSTPFSLHPGSVSNKFYYDIDFPRGHLALKSFDAEVVDENGVPVPLHETYLHHWVVEPYYAAKSSAAADAQGLPKRILNRNSGVCKTTLGQYYGLGSETRHTATWVPDPYGIEIGNPPEGYDEKWLLNVHAIDTRGVTDKLGCTECRCDLYNVTVDEYGRAIGKNYTGGLYCCYDQTQCKVRKGFNGELRKLFLRYTVTWLDWSDAIVPVKIYIFDVTDRALLEGKSEPACKVEYQVRECSSQNRAKNDCVHVMATKQVLPRGGDIVFGVAHQHSGGIGASLHGQDGRLLCASMATYGKGQEAGNEAGYIVGMSTCYPEPGTVKVSDGEVLTVVSNYSSERQHTGVMGLFYILVAEHEQQQLPVAKKTALCFSFPVSWCLPAWLSSNLTTACFSHPCQPTAQGRRLGTRRATSSFVGMSTCYPKPGTVKVSDGEVRTIVSNTAASGSMPASGACFTSLWQNMSSSSRRLPRSLPCASASQSYVKMAVFDVLLVTLLSAFAQLPSEALNTFLSPAFSLTPRLDLKQPRGYLALKSFDAEVVDEDSPSLSMKPTCTIGLWSHTSSLSMKQTIWIPDPYGIEIGNPPDGYEEKWFLNVHAIDVRGVTDKLGCTECRCDLYNVSVDEYGLAIPKNYTGGLAGFSAAVIKPGARSGKASFRSYTVTWLDWSDAVVPAKIYIFDFTDRSLLDGKSEHACKMAVPRFLVLLLIAIIVAGTAPVPSAALNVRGHRHGLKSQTFLSPAFFLRPGGVSNKWHYDIPFPRGHLALKSFNGEVVDERGVPVPLHEVYLHHWVVAPYYYPAAGAEEEDNELPPRAIEGKNAGVCVGTLRQYYGLGSETRRTATWVPDPYGIEVGNPAMAPAGYEERWLINVHAIDTRGVADTVACTECRCDLYNVTVDEHGRRIEEAYTGGLRCCYDGTRCGAGGHGDGGAGEERKLFFRYTVMWREWSEAVVPVRIYIFDSTDRALLEGKSQPACKVEYQVEECSSENRAKNDCVHVMAAKQVLPRGGDIVFGIAHQHSGSIGASLHGQDGRLLCASMATYGTGTEAGNEAGYIVGMSSCYPKPGAVTVRDGESLTVVSNYSSERQHTGVMGLFYILVAEHQEHQKQQLAANKPGLCFSFPVSWCLPAWLSGLIDAT >Et_4B_039494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19031801:19032296:1 gene:Et_4B_039494 transcript:Et_4B_039494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHRSRLSPGFLYPRGDGGTRFLHRLSWRTDAALTNSVKELDPKRLAMLRRPLGKVPVWPPSSDTMTRIDDDDGILRWLDSQPPASVLYISFGSQSTLQQRQMFQLAAALESTGRPFVWAIRPPELRDKWLPNRRVWRSERAPATEASSCVDGRYRRVPDS >Et_6A_047445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:590232:596179:-1 gene:Et_6A_047445 transcript:Et_6A_047445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNSLKQVPPCCGHGSEMMEAMRRQQDLVMQLRALVLPQLHDDNRRAELAVQLFDDVIGSTISVISTLEGCLIRSGAGGGPAVELVDDKSLVRKNNSANTGQRSDDQGKRSSIGQKRRRNDKRSRSLVTHVPHYDGHERRKYGQKNINGWQHPRSYYRCTYRSERRCLATKTVQQHEQNDSTGSATATDEIAKYSVVYYACHNPSHVCDHWLVMKEITREQSLVTQLRAIVLPALQADERCELVAQMFQNILDCSSKAMAELQLHQSDDARADNALVDDKKRVRRISDNSNEEDDVKLHRQHKRRRFANSVPLETPVPHYDGRQWRKYGQKHINKAKHPRSYYRCTYRQEQGCKATKTVQQQDERIGADHPVMYTVVYIGQHTCAGNNGGDSGIDDSETKTSLQSSSESQSSISGNCSDPYEHQMSLDGNKLIDKSADSIKESNVCEELDMTAFTALDLDTWELDALLRFASLDNLN >Et_6B_050037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4314777:4317365:-1 gene:Et_6B_050037 transcript:Et_6B_050037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGGSPPGRRQRRRNAEEPIDPAAAAAAISVAKVFGNPDFLGEILLRIGSPTWLVRAAAAAKCFLCAAADPAFLGRFRARHPPRILGLSVVGIHSWPRLLPFPQPPELAGAVSLASLVLGCLGRGDRCVDSCNGSLLVEMDSDMEWPRYHVSSQHNVRRHRMLPPLPPLGNDEEEPGGLFYSHRLFLFEDDATSCLSLRMACDAETVRANFSILRDGVWGLQQYAVMELQQDPYQTVLGHKLLSGGKVYMMTTARCILELDLATVALSALELPDGAEHSASLKFSRAQQSASGFYLICTTGLLLRVWHRNGAGQWALVDTISVYEACANLGVQEWVLDDENTSPVCIVGVGDNAEFVVLELVASGIFCCMQLGNRVVEKVAEGLTQICSPSARPITMER >Et_2A_015930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19286670:19288201:1 gene:Et_2A_015930 transcript:Et_2A_015930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGKRYCLPSLFPIPKCECNMIAVVTQSSHLLTAARAYFVVAIRPPPGLKYTPFFRCPIALSGNKRGCDFREFIHRLRSHYPDPDSLPDDVLYGENLPCWYPPPLLCQCGVPARQRVVPSELGYGHYCGNTWDTRRCDWETFEGKDEFLMRSKKKGPEYYKKALSTRRSNIRHKYLTVPPSLIYNTICSELKLKREGPFWEGPEADVRKKEEEERKKRAQEELEARQKDPKYWEHYFYKLAEKNKKRKMEEKEEAREDRKRKAKEDRLAIQLMMDAEAIDKMVGIAKKLNGKK >Et_3B_028203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11745978:11751571:-1 gene:Et_3B_028203 transcript:Et_3B_028203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALALRPRLSIHPCGCSGVAVRSPTLRALPFVSSTPLCRRRVVRSNASSSPSPPPSPEKEAEAAPVPTAESCVNLGLELFAKGRVRDALEQFDNALELNPKPIEAQAALYNKACCHAYREESRKAADCLRTALRDYNLKFGTILNDPDLAPFRASPEFKELQEEALLGGEDIGSGFRRDLKLISEVQAPFRGVRKFFYVALTAAAGISTFFTIPRLILAVQGGDGAPDLLQTAGNAAINIGGIVVLVALYFWENKKEEKQITQISRNETLSRLPVRLSTNRIIELVQLRDINRPVILAGSKASVTRAMQRAERYRTELLKRGVLLIPVIFGASQKVQTKPKGFGNNRPAASVPSVGGDFEKRTESIAAKSRLKAEVRFKADIVSPEQWESWIRDQQESEGVTPGEDVYIILRLDGRVRRSGRGMPNWNDILQELPRLEDLMSKLEQ >Et_9B_065105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21260741:21262502:1 gene:Et_9B_065105 transcript:Et_9B_065105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RNRDVRSFPGEARGCYTLGSLVPGSKYLLRATFMYGNYDLLGRLPAFDLHLGVNFWTTVNITAPDLPVLVEITKNKNNAIYVAVPIVAFVVVGTVALLLLLMRKKKESAKSSVKPQNRNGHSMLQLENRRFTYRELEVITNNFQRVLGKGGFGSVYDGFLEDGTQVAVKLRSQSSNQGVREFLTEAQTLTKIHHKNLVSLIGYCKDGQYLALVYEHMSEGTLEDKLRGRHGSAGSLTWRQRLRIVLESAQGLEYLHKSCSPPFIHRDVKTSNILLNANLEAKIADFGLLKAFQNDGDTHVSTDRVVGTHGYLAPEYATTLQLTEKSDVYSFGVVLLEVITGQPPILKCPDPMNIIQWARQRLAHGDINDVVDPHIKEDYDVNMAWKVAEIALKSTAQGPAERPTMTDVVAQLQECLGIEEGRA >Et_7A_051588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20905036:20908872:1 gene:Et_7A_051588 transcript:Et_7A_051588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGKKAMGSFKQRLQSSAGCSQDGGGGGATPPPSPRNQPRSSPPHDEESLLVLIQESTENSIIQQKFKILSQHYVLFRRVRIDGSCFYRAFLFSYLEKLQQMRDCQAEVTRLMECLEVSRENFCQLKWDKAYFLNPEAYFSSVVSLKKHDFISVGIPLSTDELFKISLQEITSLRSEDTMLFRMCCFYISFSILLTEIEIRTHEEDYKSLFPRQIKAHWCCMKAVRPMHIEATKLQMRALSYTLGIPLRLELVDQFLKEGVVQVQRVDFFPRSESRVSTASGSLDSVVSCYSSSTAEKPAKQGRDGDSVRLSIHGTLVSSCGMPWVTLLCRLGQCDILYHK >Et_8B_059488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18392290:18395250:-1 gene:Et_8B_059488 transcript:Et_8B_059488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEANGGSRSSPAAGAGGMAVHGHRLSTVVPSSVTGEAVDYELADADLLHKLHYLRAVHVFRAPGGDAAALTVRALKEPMFPWLDLYFPVAGRLRRRDVVTGGDGGSAGEGGAAVGEAGRPYVRCNDCGVRIVEVDCDATVDEWLKEEAERGGQCGMLSYDKVIGPELFFSPLLYAQITSFKCGGIAVGFTWAHLIGDIPSAAACFSAWAHLVSGKKPLHPTLRDDPSAVPPLAPPGGVKPPPPVKVTAAPVGDHWAVPTRHDMEHFSFHVTEQQLEALQRGVPKGGSSHVGPFELVAALMWRALDAIRGGAEGEEEATRTVTVVKAGDEPARIGGGRGLSNEHRIIHVAAPGSAAEASDVAKLAALLAGAGAHRTDEAGAAAAVAAGGADVVVYGANLTFVDAGGVDVYGGVELAGRRPAHVEYAVGGVGDGGAAVVHRDAGGRGRAVAAVVRRGEADRLRVALRDALRVVA >Et_4B_037579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21346505:21349198:-1 gene:Et_4B_037579 transcript:Et_4B_037579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSEGGPSSCKKPRSEAAEPPPGGDPSRPLPDDALENVFRRIAPRWVAACRSVCRAWRDVVDARRLLREDLLPLKLGGIFFAVIGHDYPDFLARPSTTTPPALSGWPDSLPKMDLWLDIEDHCNGLLLSDEMVVHPATRWWAPVPSRWWGPTENYHDYEVLHLAYDPTISPHYKVIALPCFSYQSEISFRASQQPTCWSLYYGDIEDKYDPATEQSEWPPSLYTLHVFSSCTQQWKEKSFVREGEIVGTIGSMRLEHPSHWMHDYTVYWRGALYIFCQSDYVMRILLSSDKYHVIRAPIVQSKYQALHLGKSTKGVYFASLDQQCCLQVWRLKAASAQMEWILIHHKRMVPCRNYDQGSWIFQGINYHGDLDNSDPNKTSEILEEAKLEWNSDDEDDLTSKEHRPMSIIGFHPYKEVIFLCESSTRVVAYHLNGSKVQHLGNIRPSEYNDPNSIRVSFPYTPCWMFNTWATYIPPSESSDPTEAIGVSFPYTPCWM >Et_10A_000593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13471875:13479399:1 gene:Et_10A_000593 transcript:Et_10A_000593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEAMWQMTLGGGESLEPGPYPERIGEPDCSYYMRTGLCGFGMTCKFNHPPNRKLAVAAARMKGEYPYRAGQPECQYYLRTGTCKFGATCKFHHPREKAAVTTHVQLNVLGYPLRPNEKECAYYLRTGQCKFASTCHSAYAQVIVPQSLVQVPGWNPYAAQMGSTSPDHQQRTPGTTQYYQGTYQSETTGMAQHGMFPSYQGGSVPVGHYATQGENIFPERPDQPECQFYMKTGDCKFGAVCKFNHPKERLIPAPNCALNPLGLPLRPGEPICTFYSRYGMCKFGPNCKFDHPMGTLMYGTATSPRDDAPTMNYQLEPSPRQSERFLDGGSGRSHRISQSDSQQIPSENGSMGVVKAGHADRARMCQVD >Et_4B_036518.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27810474:27811370:1 gene:Et_4B_036518 transcript:Et_4B_036518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPATCNVLIETLFKHGKHKEANDLWETMIDNHSPPTFVGINAESYNVMVNQCLKEGKFQEAIEGFHRKPRKNVQMDVGCFNNIIGKLCNNGILAEAEKLFEEMEAKSVLPDVYTYTYLVDSCFKEGRVNDTMQYFYKMADGREHGPKFNIGFFNHMLGGLTEVGRIGDALKVYARMPAKEIKPNTTTFEILVNALCKEGNLDRAQDLVRDMARGGVVAPDLRESITEFFKNANRQEEIERAFEEKPVPKPQPRISYHPPSPPHGLPGLASDQNQTSYAPYPGQAGYAAPPTFASRPW >Et_2B_022692.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:30573701:30574408:-1 gene:Et_2B_022692 transcript:Et_2B_022692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVCLQAQQVSGLPAAAEGRQIRLHLLLKQQQEEEEEEEEAAASARCRAGVAAFHDQVLFLHCTPAIDSITSVAVRVSGSCSLHPHHHDDLCQIDLAAAQLRHPSPSVLSFVLHAGAVLSLTLHHRLIRRRRSACLPLLNCLRTDPKEEEKEEDQCSSGFITIEKGTISRRRPPSENLLTTDDSEGDDKPMTLLPLLAEEEEELLQVENEFLAKLDLLDAAEAELHGRDHHQSK >Et_3B_029701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27248216:27251854:1 gene:Et_3B_029701 transcript:Et_3B_029701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTLQALDDLISQFLSLGRSLWSNSDDADVFLEAVDELISTIHGLENTSEDHGLLDSFDFLLERCSSRLEDEFQHLIGTSSFDDSNIEKSHTDTVKHTLVALPVTNFDIIVDALPEVIIIEANRIARRMIAAGFGDKCVETYASARRNFIDESIARLGFRAHMAEMFKSTSWEELETQIMHWIPAIHVVFRILIPSERHLCNRIFEGFASYNDLAVTAACKPFFQLLSFTNFIAASGKSPECLFRIVDMYDALTDILALLDDTFDHEVAGHRDCLGLSIKDIFMALENLIKHDPSGSSPPDGGVHPLTRYVMNYLMAACASRHTLEEVMLLEFDCVKTCSIDPDRPTSSLAVRLAWIVDVLMENLELKSKVYGHAPLGCIFLINNGSYMIKKVDGCELNVLLGEDWTRLLSLKVRHWVMEYSEATWGRAIRMLETDRSESSLNTITEKLNHFHNFVEAICRVQSRWVLVEKQQAVDLSTMVEELVIPVYRDTIGMLEATGAPGNSYPRPENVKSRIQQLFRAMIGVSALQSRAASLSPVPQHPRVVSRVGNDPGSLVSNSVPEPVEVVLEEVHLLRHRQSIRQLRCLIIKP >Et_9A_062830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5590292:5592125:1 gene:Et_9A_062830 transcript:Et_9A_062830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LTALLHIRIILVICWFTFAIDTKYFVTYRGRPKTETPFPSVPFATKGTSKLPFRSRHGLPPEISLTPTKLMSRKTAGPFKVTISLPSATIKTILYGLYKLRSIYNLIKKVACFLRSLSHLKKKISSKASFDTERHGGQAVALSKRDNCISAPVNITIFMQVVMPSCIKWSPVSMTLRKNRVVYERSDCRSWTLLILRAELRLCSGNISTPEFLSSTFSSSPSPRSLYKEGRPSREDTIEAPCLLFSSISRETKLTA >Et_3B_028814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19448534:19452633:1 gene:Et_3B_028814 transcript:Et_3B_028814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSERTGLALRPNANGCRDDGVVPCGEIGAVVTELMVGEKGAVAREKARGLREAAARAWAPDGSSRKAFEAAAPSPGKPATHVVLLASPGAGHVVPVAELARRIVSQGSGGLTVTLVTHTNFASAERSSTLASLPPSVSTAVLPEVPLDDLPADARAETRIFTVVKRALPHLRSLLESPPGVAAFVSDLLSPWALEVARELGVPGYVFCTSNLMALSTMLHNPELDRTTTCEFRDIPEPIRLPGCVALRGSELLDSVQNRTDPAYSLTVELGEKYLLADGFLVNTFDALEHETISAFNKLSDEGVYAPAYAVGPFVRTCSGSEVSEHSYLKWLDEQPDSSVLYVCFGSGGTLSTEQTAELAAGLEASGQRFLWVVRFPSDTDCNANFFGGGGDGGSPISYLPEGFVERTRGRGLAVPLWAPQVEILNHRAVGGFVSHCGWNSTLETVAAGVPTLAWPLYAEQRMNAVMLSERVGLSLRPMANDGVVRRDEVAAVVTELMVGEKGAEARKKGRELREAAAKAWAPDGPSRKAFEAVVGKWKMHG >Et_9A_062105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19764278:19767555:-1 gene:Et_9A_062105 transcript:Et_9A_062105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKHPAKRTMRENPKKQLQFERSPTRRSTAAAGTPGRRKSPGQQVKKPHRWRPGTVALREIRKFQKTTDRLIPFAPFARLVREINDFFARGTVTRWTPEALLALQEVNAQTCHPQLQPTEHSNVGRELETESKKE >Et_4A_034671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5310762:5314211:1 gene:Et_4A_034671 transcript:Et_4A_034671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAQMSKALEESSLCGTIPTSSASPPESKKREKTAPHYLRASTGSCHDLCKYGHRNPSEEELRFPGRRRKKHPTQLSNLALHRSTILDRSKDVRNTSLSLAKSSISLGEAERVAPKLTSAVRKGVASKEQLVPRTASSAEPKTLSSDGRKRYSMAAEKAPTNPRYSSGVTTKCDKKEAMAGKGAIYSAKLKVQEKALLEKSRTVDKVTTVKQSTLKRPTSSPTDRNMTKQVPVASQGSICHLRSLKDKSTPKGKVTSPSATINRVRAKPRLSPTKSSNANTDGKEGSDMSRSSFSMESKLSASVGIEGDLHLTGTSIGSMPEQLCSDATECIGNSRPAPEESSKPISNDDELGSTEKGEALAGEASLESDTALELQQSLDDKEFNGVPCESDPGHGLAENNVMDDRALKDEDSQTDNASPCQFSEKLISVETEDIYDPVLTPSHSKIEDDDINVNVCAEPLNSDGKEQVGAHEDILRSPEILSIDEKHVEEAEFCLDFASGKAAENDKADEVRDSRMDPCASQCQMISETSSDSELLEDPKSMLIEPSDCIIQIESLANVGNENIGSEGLKSSIIIQQSPEELSDDAFYEEYDFEFSESDESGTEDEESSANKNRDGSLKAGGQRTRRMTALELDDSNATPYKLKFKRGKILELPPDSSGPRRLKFRRKVANEVSISQSQLARRIYKRSNTDNVVPANPDVKSSGVKLRHQDAQDKKDAQGLFNNVIEETASKLVESRKSKVKALVGAFETVISLQDGKPTSSTQQAGNSEDSVHDDEGNAHEEVQ >Et_10B_003331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18976441:18980292:1 gene:Et_10B_003331 transcript:Et_10B_003331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGAVTICEINRDLVAADALSDDRAMDAYGNVLGMVFSPIPFQPDALLPNRQPPAADQAEPAVDAETAPAAGLVSTVSEFFKKMIFPPLDPNVLEEFDTQKVSWNPHTHCLAFVSGKNQVTVHDFEDSDSKEPCILASDHQKDVKAVEWRPNSGKMIAVGCRGGICLWSASYPGNVPFMKSGVTSSSFSSFPRGSGGQWILVDVLRGLGTPIRRGLSSISLVRWSTSGDYLLAAKFDGTFHFWETNTWTSEPWSSSNGYVSGANWDPESRVALLSFSNSTTLGSIHFSSKPPSLDAHLLPVELPEISYLIVSRGIEKLAWDASGERLAVSFKDGNEMYRGLVAVYDVRRSPLVSLSLVGFIRGPGEEAKPLAFAFHNKYKQGPLLSVRTA >Et_5B_043498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11251575:11253519:1 gene:Et_5B_043498 transcript:Et_5B_043498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEAMNPAGGGGGGDNYPQVPAAEEAAQEAMAQGTVQEFVGEQANQDGADGVGGAGEKDDGACRDLVLVEDPEAVAVEDPEEAAATAALQEEMKALVASIPDGAGAAFTAMQLQELEQQSRVYQYMAARVPVPTHLVFPIWKSVTGASSEGAQKYPTLMGLATLCLDFGKNPEPEPGRCRRTDGKKWRCWRSTIPNEKYCERHMHRGRKRPVQLVVEDDEPDSASGSKATPGKAIEGAKKTDDKTSNKKLAVAAPAAVQST >Et_4A_031800.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:16255406:16256558:-1 gene:Et_4A_031800 transcript:Et_4A_031800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSSFPPTAVVSVFCASSSDTEKMQGALWLHTYTCTSPACVHAVESSDDGSHPSHRSVTSPTGAASRKKTRNKVTKILLQLKPISQAFRSLSMEAKNDAADHDKDGGGREISDSETFVSANASELRSFSTDDDSELPSSFRLSPLIFPTGSICRPPPPASPVKIIKKLPFGYVIGRQDDAPALPTPSSSTTLARKIKKAMPLMPVLYLKSRSQMVKKKVVRAVKRTFRRGAGGEEQDGDGCGDDEDVFWKKDVRGLRCRPVEDDGTLY >Et_9A_061610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14469153:14473306:-1 gene:Et_9A_061610 transcript:Et_9A_061610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATNLNLKTKVTNTNPEPTTPQATNKMRRRGSSTTVTEICDELVFEILVRLPAKSLGRFKSVSKAWRATISDPFFIRAHVHQSAINHDQRHASFLIASNTRHNVAPINGEPCPRPRVFRTELRGMRACTICNGEFASVYSMAHCDGLVMLPTKTTAYVLNPATGDVLRLPNIKDVGAFETCNFGLDSRTNKYKVARFFYRSLDTTERTYDAGMEVFTVCCGDSVWRSTVDDPPYPVRPQPQTYFKGSLYWVIWEEMLDKPPGGFFLCFSLEDETFGLVRQPASLSAENKDVELAVLMGQLCLVESLPEQMVIWMTPSGDGREWVRLYDISLSTRLFQPLCRTISGGLLVQNSHGIYRYDEANRDIKEVVSFDRLEYRNPVLGTVRFVGKDLFFLNVTPYAESLVPVTMPRVFPICGGDGDEEARWRSAAEDPPYPIQRRPSTYFKGSLYWVIWEEMLDTTPGGFLRFSLEDETFGLVRHPAPLSAGNEEVQLAVLRGQLCLVESLPEQMVVWMSPSGDGHEWVRLYDVRLSYAPLFEPICWTVSAGWMVRNGCGLYRYDQANRDMKEVVSFLRLGCRDPGVGSVDFDGDDDLFFVNVVPYTESLVPVGKKQRISNNVKHGCHVIAHGIVQRGNFNPYSTHYNVPGGTVHQQSSNVLSVTRALVGNPDEELNQLLWPREHDHVICSGYLFHLDAGAVRHHAPLELCGDAVVLLRHDEHAPSRPVPLLREELLVPHDHLVWVIRGECVGRPNQHQA >Et_7B_055637.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:18881101:18883842:1 gene:Et_7B_055637 transcript:Et_7B_055637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQGALDSFLGRISAVLANEAQLLGGVRGDVEFIKEEMECMNSLILQLTDAQHRDYLVRAWMRQVVGVTRDCEGNVELYIHYVGSRQGNGGGVLGHLRGAVRFLRTVLARHRIATRIQELKVHTRNIGDRRQRYGVIVPASAIDDAAVWRADDEEDRFQRRAVLLDATAEPPADQVIISKGIASLIKWLHEEPPPPPPPARDQQHLVRLFSIAGWDLALEITKGLYQHESVATLFSCKVLVKVSYQENACTTLAKIVKEVSGVQPPGPEGELHIPELARILQGHLNGMRFLIIIEYVDERECKRILDPLLHAADGCRPGSAIIITKNDGQVVLSSPPYKVINARNLWEFYANKANKLKASYSQEMFSDSPHSSAFARKMFLHLLYVNPSRINDLEKYSNAAYDCRRLNKSISSHLLLWCYNELPSKYRSCLLYLTIFSQKHIIKATSLARRWVAEGLVAATTTSDENHSVMDEAVHYLDVLFTRGFVSPVEISATGNIKSFIVHHEVHKFIAMVARDVNFVEKNLPPELTHHLSIHNRIVLQKVQSDGGGSKDIVASLPSLASSPQWQLLKVLDLEGCHGLEKHHLRIICKVLLLKYLSLRNTDVAELPKQIKELQCLETLDVRQTKVRVLSKKPIVLPQLKRFLAGHKVSAGNDARVSDEEPVATVSMPLGIQRMESMEILSHVQVTNSASELAGIAQLLKLRKFGVTLHGENAKLNDLFRYIEKLDACLHSLSIRIVRPDTGENHDAEAVDALNPPQFIKNLNVSGLTRGLPHLIQELHQLDKLTLTEIYMKEDALRILGKLGALRCLRLQYNSYMESELALEEEEFQSLNFLLVASSNVTNIRFAVGAAPKLERIVWCFPATASLSGLRHLHKLREFELNGDCSTDQVRDEMEGHINFPVFKHNPHAQR >Et_10A_000836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1828469:1832699:-1 gene:Et_10A_000836 transcript:Et_10A_000836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASSSVGRRGQGGGGDDDEENGAKVEGTGSGFIWDSAGHIDGKLLGYDPAYDLAVQKNPIPTECIMNNAATGSGISSGVNFAIPIDIVVQTVPNPIVYGTSVGN >Et_3A_026666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12807715:12808699:-1 gene:Et_3A_026666 transcript:Et_3A_026666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKLYGWVVSPWMARARVCLEEAGAEYEIVPMSRPNGDHRRPEHLARNPFGEIPVLEDGDLTLYQSRAIARYVLRKYKPELLLAGSAAVDVWVDVEAHQLEPVLRPIVMNCLVNHLIGLDRDQALVDENLAKLKKVLEVYEARLSATKYLAGDDLTAADLSHFGFMRYFMASEYAGVVDAFPSYKAWWEALVARPTVQKVMADMPPDFGVGSAP >Et_2A_018222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18807929:18811247:-1 gene:Et_2A_018222 transcript:Et_2A_018222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCQSRLERLEAVSRCKARRRYTKQLVQARRDMAAAHALYLRALRATGASLLHFASAEADNPHPLHTSAAPPSPPPPATPPPPPPPPPMPPLSPTRTATSWTTTSSSISASAILPPPPPPPMPSSWDFWDPFAPSSSSRAATDLDADWDDAAAATVVDAAAPVVVTAAAAVAAPPSVVTATTTSTTPSELTVVAVPRGAGGAGKKDLADIATELDEYFLKAADAGARVAGLLECPICEPHETTPNNNSFPGKVLSYSKSLKPAGWSWGGGGGGYGKGSNGFSRFERGDSGMSMGNSGGGGILSHASTVERLYAWEKKLFLEVKSYEGYKQEHDKKVGLLRKREVKGVDYLKMEKNKMEIESLESKMLVATQSIETTTTEIIRLRESELFPQLLELVAGLMSMWRGMYECHQVQTHIVQQLEYLNHARNTNPTSNVHRQAALQLEIEVDRWYSAFCSLVKSQRDYIYSLTGWLRLSLFCHHDPLSKVHRNSDIYSLCEEWQLAIDRIPDKVASEGIKTLLTVIHAVVVQQAEEQKQKKRSEAAFKEFEKKAEELRSLESKHGPYIGAEGYGEMTRKSPLADKRAKVEALRSRADEEKSKYEKSIGVTRAMTLNNLQTGFPNVFQAMTGFASVCMEAFESVYNFKRSSDRILDAKRLLT >Et_1B_012399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31614059:31618443:1 gene:Et_1B_012399 transcript:Et_1B_012399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGDVRRPLAVFMAFGTKGDGFPIAALAAAFAREQQQYTVVFITHSAHRSLSTHLAASNVRYMPVSSPPVLAAEQIENGSGDSLQANDDGESFSLRKKAIQIQQRKECLSSVEEIFGNEPSIDGDFIVINFFALEGWHLAELFQVKCIIAAPYLVPYSAPTSFERQFKQSFPHLYKYFQEAPPNTVCWTDVVHWMWPLFMETWGAWRNDCLNLSPVPFTDPVTYLPLLHMREESPLLLYGFSKEVVEHPGYWPSGAHVCGFWFLPMAWQFSCVKCLELSRKLISSSESTLCENHSAIEHFLMGSSYSSRPIFVGLSSIGSMGFLRKPKAFLMVLKTLIEMTNYRFILFSSGYEPLDSAIHSIASSVAESSEAVAAALGGDSTLLFSDRLFCFSGSIPYSWVFPRCAAAIHHAGSGSTASALLAGIPQVVCPFLHDQFYWAERLHWLGVAPEPLQRQHLIPEMDSASCIHNAADVLLGAISSALSSDMKAQAARLADRLSLEDGIGEALSVMKEKVLTRNKTLELGE >Et_4A_031938.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31036099:31037060:1 gene:Et_4A_031938 transcript:Et_4A_031938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VAASPSASRRHPITTTPLIYRRLLTAARKVEACRAASFPADYLAFTKLVAKPVAAAMASVGFGVAAAVAPASSSSVAGRRRPQRSVLAVPAATRAGQAAAAKEEKSFGDFIFGLIYKENQLVETDPLLNKVEAPRSSIPRTIRGGTTSGKKAAASNDNGGGGFNLGGLFAKKE >Et_1A_005950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15904648:15907034:-1 gene:Et_1A_005950 transcript:Et_1A_005950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGIRHGRSKEPLEAWVQFSPHLSRQSIKFGLPAVHLQLTPDGEPRLEGEGGLPAGSVHASDRGGAYPVVVRLEELAPGSSMGDDAGMPRRRLRTMASCQHVRIFKMLKTKPDVTVEARAMAARNSVLSVILFKESGEVKTGSGFVIKSDSKAQQSIVLTSEHFLRTLLDEDVLLVRLMTPGGGVQQLPASIMHQKAQVDVSLLRVPGLWNVSPLPFAQGNIYEGQSIIAVGYCDPDDLFEGLSFTRIPAVSPGCVRTEETFLAGTFDGIERHLVLLDCVSMAGMSGGPVMAWDGVMAMVDGGGLGYTLAVTPTTILQVLCVCVCVCGGGGGGGSSIKLRSSHSNLIYNPSGFEDMLALQSRYDNFLSALNRSNSFCCMLLSHIDNRTMEEVIDLIT >Et_3A_027172.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3810091:3811428:1 gene:Et_3A_027172 transcript:Et_3A_027172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDKGMAMIHTSHLTAAFAEACRFHSQITRHQSSSSYLNTAAKSSPLHSTADQKKQSRSRPLFRVRVSPPQISRAEYPSRDRGAAMAATATNRRVILKEYVEGYPREEHMELLPGVEIPLRLGADDPAGSVLVKNLYLSCDPYMRPKMSRPVRQSYTAAFVPGSVITGYGVARVVDSTDPRLAPGDLVWGIIGWEDYSVVKPSVAKLLSKIRHHGEGIPLSYYTGVLGMPGLTAYVGFHEICAPKPGEKVFVSAASGAVGQLVGQFAKLAGCHVVGSAGSDEKVSLLKSRFGYDDAFNYKDPNESADLAAALARRFPDGIDIYFENVGGAMLDAALRNMRVHGRIAVCGLISQYNKAEDEKDAVRSLDAIIIKRLRIQGFLEPDHKHLYPKYEEWVVPRIRDGTVAYVEDVAEGLESAPAALIGLFHGRNVGKQLVRVAHEDDE >Et_4A_033513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2464657:2466233:-1 gene:Et_4A_033513 transcript:Et_4A_033513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDSSAAAVRHSAGVMAVDAAGSSERPRFEPLMPNEMSSGRPQYRKVQVPSHRFAPLKRCWLEIYTPVYEHMKVDIRMNLKARRVELKTRQDTPDVSNLQKCADFAHAFMLGFDIADAVALLRLDDLYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKYAIENSTRTRIVIADTKIHILGSFVNIKVARDSLCSLILGSPAGKVYSKLRAVSARLAERY >Et_2A_016278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22895940:22897700:-1 gene:Et_2A_016278 transcript:Et_2A_016278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPATACRACGGSAAFPSSSPRHGCGAPPGSGGFLKLLPLPGPPTRAPRLRLVAPMASTVNSPGNSADFAKRMERSWLISQQPQPISCSSCQSAGHVECKWCAGTGFFILGNKMLCEVPSRNSKCVICAGKGFANCADCKGTGFRAKWLEEPPVDK >Et_7A_050650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:66477:69285:-1 gene:Et_7A_050650 transcript:Et_7A_050650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHHPGCSRAHQAGALLLIAATFLLTRLLDRLLLLDTSSSWTAASPPTFAAAGWGADLRIYVYSEEEIDGLRALLRGRDGTVPAATCLKGQWGTQVKIHQLLLKSRFRTFNKDEANLFFVPSYVKCVRMTGALSDKEINQTYVKILSQMPHFRRSGGRDHVFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGTSAFNTWKDIIIPGNVDDSMVKSDARAVPPIPLSKRKYLANFLGRAQGKAGRLQLVELAKQYPDKLESPELKLSGPDKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDEVELPFQNVIDYSEISIKWPSSRIGPELLGYLESIPDERIEEMIGRGREIRCLWVYAVDTEPCSAMAGILWELRRKVRRFHQSTETFWLHNRSIVNRDLVEFHSWRTPVPLP >Et_3A_025520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30389128:30399821:-1 gene:Et_3A_025520 transcript:Et_3A_025520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPVPSVQAMVAATGGVDVPLRYLRPEAAADAVAADGEAEIPIIDLPKLLELGDEEESARLHEACQEWGFFQLINHGVPSDVMEGMKAIVEGFFELPAETKKQFAQEPGQLDGYGQLFVVSEDQKLDWADVLFLHTQPPQNRNMRFWPDKPSTFRWTLDQYSAAVKNIADRLLAIMSKNLGLEAGVIAEKCLGGIQAVRMNYYPPCAEAHNVVGFAPHSDSDLLTLVLQVNEVQGLQIKRNGRWIPVKPAEGALVVNVGDILEIFTNGRYRSIEHRAMINTEKERLSVAAFHSPSIHATIGPLTEILVAHEEALMHVVMLPWLAFGHILPFTELAKRIARQGHRVTLLSTPRNTSRLINIPPDLTGLVRIVDVTLPRVERLPEDAEASIDLPSDELRPYLRQVYDAAFAGKLSDILQEPEPSRRPDWVLIDYAPYWAPAIAAKHGVPCAYLSLFSAAALGFYEAREFFNSCMIPDDSGVSESYRFSKSIEGSQLVGVRTCKEFEPEWLQVVSELYQKPVIPLGLFPPPPLQDLAKRIARQGHRVTLLSTPRNTSRLIHIPPELADLIRVVDVALPRVERLPEDAEASIDLPSDDLRPFLRRAYDAAFAGKLSDILQEPEPSRPDWVLIDYAPYWAPELAARHGVPCAYDFTSVPDYVPFPTTVVYRGYEARELFNPGMIPDDSGVSEAYRFSKSIAESQLVGIRTGKEFEPEWLRLVAELYQKPVIPLGLFPPPPTQDVAGHEATLQWMDRQAPGSVVYAAFGSEVKLKSSQLQTIAFGLEASGLPFLWAFRAPADADAQNGGLPEGFEQRVNGRGLVCRGWVPQARLLAHESIGGFLTHAGWNSITEGLARGVKLGLLPLMFDQGLNARHLVEKKVAVEVARDEEDGLFTAEDIATALRRVMTDDEGEEFGAKAKELAKVFGDDEKNDQVLRDFLRTMQAPSSEKLLKRPEITDDVVSAFSGCTQIPEKYIRTEEVLDGVVVGKDESYELPVIDMARLLDPESSASEIEKLGAACRNWGFFQLTNHGADEAVIEQMKDNAVQFFRLPLEIKKTVGVQGNDFEGFGHHVSLVRDKLDWAECMLLTTQPDDLRKMELWPTNPPTFRHALETYSVEMTKLATRLLSFMAADLGVEEEELQGAFRGKGQSMGIHYYPPCSHPEKVIGIMPHTDSLGLGLLLHIDDTPGLQIRKHGRWFPVPPVPGAFVVNVADILDVLTNAAYRSVEHRVIPDAERGRTTVVMFQAASVGGMVAPLPGLLKGGEARYKSIEFDEYTKGTFRALVDGTRFIESLRI >Et_9B_064877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19497748:19500829:1 gene:Et_9B_064877 transcript:Et_9B_064877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGPLGRLIRPETASAARHPKPRRNPHAQMLATACRAPPCPWAKLGPLPAAAVRFSCGHGIGRVRRRAPRRAAVVRCGLLPVDPWAPTVDSQSIASQLFAASLFPYLGFLYFMTKSKTAPGLTLFGFYFLLVFVGATTKIHYGTSLSNVDWLHGTAESLLTLTNLFIVLGLRGALRKLEDTKEGISEASEDSKEKSSA >Et_3A_025030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26201816:26202508:-1 gene:Et_3A_025030 transcript:Et_3A_025030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHVEMAPAASGFKLFGKQQQLGGEESVESKGGGHPRAGPRQHQAAADTADQARAAAAASSAPPLPCPRCRSRNTKFCYFNNYNVNQPRHFCKDCHRYWTAGGALRNVPVGAGRRKNRPLGPVVVPAGHHHHQQLQQHHHRAAAAAGGFVLGFPNNHPSSPTSPVYADRWPVCPDPRF >Et_7A_052789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16321630:16327521:-1 gene:Et_7A_052789 transcript:Et_7A_052789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRAASGLARVALRRSLSRAPPSPFAGALPARHFHSTRPTRFAAPVPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPTSVDESWDNFFRNFVGQASTSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEQRPVPDELDLAFYGFSEADLDREFFLGVWKMPGFLSENRPVQTLRSVLERLEQAYCGTIGYEYMHIPDREKCNWLRDRIETVKPREYTYDRRQVMLDRLIWSTQFENFLATKWTTAKRFGLEGAETLIPGMKEMFDRAADLGVENIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEGEGLYTGTGDVKYHLGTSYDRPTRGGKHIHLSLVANPSHLEAVDPVVAGKTRAKQYYSNDHDRIKNLGVLLHGDGSFSGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPKAGRSSQYCTDVAKALDAPIFHVNGDDLEAVVHVCELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYKVIRNHPSALEIYQRQLLESGKISKEDIDKLNKKVSTILNEEFQNSKDYVPNKRDWLSAYWTGFKSPEQISRIRNTGVKPEILKRVGEAMTTLPENFKPHRAVKKIFDQRRQMIETGEGIDWAVGEALAFATLIVEGNHVRLSGQDVERGTFSHRHSVIHDQETGEQYCPLDHLVMNQDEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVIFDQFLSSGESKWLRQTGLVVCLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMDPTLRKQIQQCNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKECKSNLSEFDDLAGHPGFDKQGTRFKRLIKDQNNHKDLEEGINRLVLCSGKVYYELDEERKKSERTDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYISPRLLTAMRALGRGTIEDIKYVGRAPSAATATGFYSVHVQEQTELVKKALQRDPINYPF >Et_6A_046231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:10000737:10001110:-1 gene:Et_6A_046231 transcript:Et_6A_046231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWERKIGRKLPRVTLSEDDLIAIASENIIPTSIVASLTHDIFINGCQTNFAIDGCRDIEISSLYPDVPFKTVEECFDEYASSLHP >Et_7A_052151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5013109:5016278:-1 gene:Et_7A_052151 transcript:Et_7A_052151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPLHIAVRPSSPPSDSAQGPTTSRGLQILALSMAARRQAWQFAAALVFFHASEYVLAAAFHGRRNVTATSLLISKQYILAMSFAMLEHLTEILLFPEVKEYWFISIIGLLMVVIGEIIRKLAVVTAGRSFTHVIRIYHDDQHQLITHGIYRFMRHPGYSGFLIWAVGTQVMLCNPVSTIAFSLVLWRFFSKRIPYEEYFLRQFFGSEYEEYAQKVHSGLPFIRYACLKLVSIDMSKQSTKQYKMKR >Et_4A_032219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24725628:24726182:-1 gene:Et_4A_032219 transcript:Et_4A_032219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTLNQHETMRGRDVSRVARGEQAPRPPHEPGSLRTAPTDFRFPTTNQTRHCYVRYLEYHRCLKAKDDEHRSECGKFQRWYRSLCPTDWVVEWNRQREEGVFPGPI >Et_4B_038279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27801574:27804243:-1 gene:Et_4B_038279 transcript:Et_4B_038279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPAASASSSGSGGGDPDPPAAGADVKAASAAAYSCPICLDAFKDEAYLDTCFHSFCFKCICQWVKIIASKRAEPLTSIKCPLCKTENLSAIHAFDGDSYERWYINQEPRKRRLSDAHELISQFYKLEEIVRDVSSVQQYWQQHKYLRKNIWLETWLRREIQALTRDENVEAIVYHIHGVLESFMKRHKKNHISKNITAEERREEFKNLLSDAARPFLLGRTERFVREVELFLASNLNMEAYNKLRIQRFRDSSSHLARDQDAIPHDRSLEDHYLYFICTDTDSNGEI >Et_9B_065627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8275803:8276151:-1 gene:Et_9B_065627 transcript:Et_9B_065627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RDKFKDEEPITVDLFREFHSLRKTGSISETVQKVFDDIEAMMEEPVQEGEKLMSPGRAVCEVVRANTFLEVVGLQAKKKIRVL >Et_2B_019977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15815145:15817996:1 gene:Et_2B_019977 transcript:Et_2B_019977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASSLLPAPPSRVCASRAAELTLLPPARKGVRLAAARRRGAHLGVRAEMNVSGSALAVDALSEVKHVLLPITDRNPYLSEGTRQAAATTASLAKKYGADITVVVIDDKPKETLPEHDTQMSSIRWHLSEGGFTEFGLMERLGEGKKPTAIIGEVADELELDLVVLSMEAIHSKHVDGNLLAEFIPCPVLLLPL >Et_5A_041724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26315670:26315991:-1 gene:Et_5A_041724 transcript:Et_5A_041724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVHVKDSLSIITLPSSARHGSEWYDLEWCRIERCPELECVFEPRHIGGQSFKLKTLWASQLLKARYIWNWSAPSDVYTSPRFHDLTYLHLDFCLYTYSLLVLI >Et_7B_055478.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:11441243:11441452:1 gene:Et_7B_055478 transcript:Et_7B_055478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPPTPSRDGLELPSFERLAAVQGPAAGERRFQPLLGVLAEEHRAAIRPVAAAQLRRPREPDAEEAMN >Et_9A_061404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11000644:11006817:1 gene:Et_9A_061404 transcript:Et_9A_061404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSSPEEGGAGRRGEKRRMRCGGGAAASPEPTPTASQDLCSSRGSKEHLDGASTRCGQWHPGQSRRPEIDDAPIFTPSEEEFKDAIGYIASIRPQAEKYGICRIIPPSSWKPPCVLKEKSFWECTEFNTRVQQVDKLQNRDPPKKRTQPRVQRKRRRRKRLRFGMTHRRSSASAESADSEDKFGFQSGSDFTLDEFKTYADEFKQQYFGMKTIDEISLSDIKKRKKLWEPSVHEIEGEYWRIVVCPTEEVEVDYGADLDTATFSSGFSKLSSSDDKQDPYSVSCWNLNNLPRVPGSVISFEKEDISGVVVPWLYVGMCFSSFCWHVEDHFLYSLNYMHFGEPKVWYGVPGSEAEKLEESMRKNLPKLFEEQPDLLHELVTQLSPSVLKSEGVPVYRAVQNPGEFVLTLPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQRAVELYRDQRRKTSISHDKLLLKTAKEAVTQLWMNHFSCKSNDEKCRWAGMCGKDGVLTGAIKTRVKMEDVAREANSLLKSKKMDEDYDSTDRECFSCFYDLHLSAVSCQCSPNRFACLNHANLLCSCETDRKFAFFRYSMEELNTLIAALEGDQAALYRWGQDNLGLLCPSGSVQQKNMGMGKITKFPPSATEVNVYSGFGDSQEHCHDIGEKPSGFQREKGVQNIGNPPSSSGIKEEHDKDRMAVDPEPLCKRDNPFRSTSECSYTSSLFSPRVQTSKSGTDLNTTKKLFGVDMGNSAKPSDIQVCQMADPASGQSVVSGLTSGQRVEPLDYGTIMIGKKWFNNQAIFPKGFRSRVIFYNVQDPTRDCCYISEVLDAGPLGPLFKVTMEQLPEVSFANTSPVLCWDSIRDKVNEEIKKQQSIGKLGVPDLLPSDSVNGIEMFGFLSPQIIQEIEALDPHHQCLDYWTSKPSLSVRELPSDFTKPTLVEGSNNSHLRLLGDQITKKEPGQSGFCTSSCADEVALERLSKKPELSLVYRIAAESAGIM >Et_8A_056580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12793690:12809009:-1 gene:Et_8A_056580 transcript:Et_8A_056580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKDAVRKRWLSTKVAGEEQGMSGKKMQWRRGTSSATMGYKSSPNHADCMSWEPMMFDDDDDGVEPQFKVVDKYYFEDGDGNDVCFSMLPFLFDENEKAESCNSNIKVYLRGLGDKRDDIHVKVTAWRIELGGDKPEIFMLSKDNWIRLLRPRNICVKKVFGSILITVQMLHFTRKNLGDMGNNFDCLWSHLDEVFSKFDIKPTPDDLRQHHNFVMSFAKRDPILMNSEGQCKRAFHPTKKHGRRSKCITLGYTSAQRKKIITFTCKNCEYEQHQCFKCGELEPSEEENAKVFRCNNPSCGHFYHPKCIAELLEPDDTGGVCELAKRIAAGMPFICPVHWCFKCERMEDKADSAFQLAVCRQCPKSYHRNCLPREISLFKVKQRTKEHGVTSGWEHRRINFFYCPDHIDRATNKVRRGHIKLPSIPETRKTRNLAKKKVKLTDKRKNNVDQPSTRPRESSKRSQKERECNQSAATKSSSEFLEPPYAASEQQIILETACATKHFIEDVQLKSCGIEVTADLCSLRAVEGLEKQSDTSVAVGRGRMKNSYLGGSDTDYRVTSITEKEIFSKVLPVSTKSVMPSSRNVFDHSIQENMEISIKDGHQCVLQNTVCVNQKQDIMLDNPLVEKDAELDNESRRISDDKDDNGSEETSEHYSGIEHGQRNNENFDENNEQNGEFDNLNGERHTEEDDCKIQSGKEKIMEPGKTARAHNPPSQSAEERSLRENQMFGDECKQDSRSYEDKIVMTNSNKSCSHDGGALEFGCCQNHEEDDTRAGLKEPNSTHCHDNVIDMERERRGDKDGKVENAITVHPLIPSSHQCLNHTELDDVDTQLNHLQCNDEISADKFMERIVPNRRELSMRSGRDALYNLPLGGNAQERSGNHSVEWQSMYNCDNFPVTNYQDGYEQVYHDDCSCVDYFTCRKYFPQLDYDGVIKYGGYHTIMNVDPTVRFSLQKTSNTAFRPCTDITVGGGAVYDGRDSWGPQALSAMPVTE >Et_6A_046798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20755603:20760016:1 gene:Et_6A_046798 transcript:Et_6A_046798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFGLPYYYYGLTLCLAFIFHAIVTKLEASKKPRPRMPPGPWQLPIIGSIHHLLRGLPHHTMRDLSLRHGPLMLLRICEHKAVVVSSAEAVREIFKGANTAFEQRPSTPGMDEVYSGLGRLGVIFAPYGEHWRQLRRILVTELLSARRVQNFQNIRQEEAARLLSSLSSSPPGKLVNVDELIAGFIADSSVRAIFGDRLPDRAAFLKVMKHGTDISSLFDLRDLFPSSRLVRMLPRSHKKERHQQELFRLIDDILRNHQERRAAGDGDAVKEHDMIDVLLQLQKEGSMQLFLTPGIINTLAMEVFGAALDTSTSTLQWAMAELMANPRVMEKAQHEIRRVLAGQERVREEDLREMHYLKAVVKETLRRHPPSPFIPRVCLDDKKIQGYDVPKGTQNTGKTPSASFPKGSKEKLKGYISAYKNPSRYNETD >Et_9B_063853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17593033:17593401:-1 gene:Et_9B_063853 transcript:Et_9B_063853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTTSAEGFRALVQELTGRHADPSKYSGGDYSSGGSLQGSEPLPGALPSPGGSTEASSDVAAAAAAGQVPVQPPGFCDDDDEESFMPQLIDNSYSVFSPPTFLYGHHGDLM >Et_9B_065856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15190898:15192813:1 gene:Et_9B_065856 transcript:Et_9B_065856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLRQVVGAVKDQTSIGLAKVASGGGSAASELDVAIVKATRHCERVPAEERHIREVLALARRSCHAGACVSTLSRRLGRTRSWAVALKTLVIVHRLFLASGGDAEAFERELFHATRRGTRVLNMSDFCDCSRRDAWDFSAFVRTYAAYLDDRLEYRMQARHAGGAVRGARPLCEEMYMSPYEDSGVFSVRHDEADDDDANYKAVALVTRDDTPTGEMTLEQLLAKANQLQHLLGRFIACRPGGAAKANRVVAVSLFPLVKESVQLYCELTEVMGALIERFPEMETAADCERVHHLFVGLDKDMDDLGAFYAWCKVACVCRQSDVPEVVTQKKLDLMDEFVRDRRAAESQPRLPSPPSPEPEPVASPEAMPLVEDHDMNAARALPAAEEPPVAAAHNDDGNVGEPAQPEPEPEPLLLAADPANGEADFLNLKADAMSCEEHGQQLALALFDGNPSGSSAEDWETALVQSASALANQRAELGGGLNMMVLDGMYGHATANATVASAQGFSGSASSVEMRPPGAPMLALPAPAGARGTAMGADPFAASSVVPRPTYVQMSDLQTKAAASDRGADGLATIWQEWHARAGAHGYAGAATTPAAATA >Et_10B_003938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:831116:832427:-1 gene:Et_10B_003938 transcript:Et_10B_003938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVRYVTRSVKSKEPHLKKGFWLASFPGRPTRQRQAPSHAGGRICHQICTPVPWLLAPSSGGGAADQRCRCVFSRTSYLAPGICVRDRRVACADGTAAWLRTAARLPGVSLGDSRRANGWLDHALHAHRIVSGDGSILLYGFAPPEQLRGNYHHGFTGLILKPGHKEWRRVHIHAAVRSGRCCAVVADKGTVYTMDQAPMQLPLGVSRGDAAVIDGWSSSDLSVSFHSMDLEAAESHDDDDDDHEESPTAWVRRDNAVSLLGDHILFLGFPSSFAVEATRFGGGELSGGTAYFVLEPSAGPCGVYRHDFRLGKTTVVETLPPGWNDESSNRGAAPTEISGTHRLQLTIYVGNLSPNVDNSRLREIFSEHGKVASAKVSYDKKGRSREFGFVTMATQEGYDKAIAVENSAVQGP >Et_5A_041596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24825369:24826437:1 gene:Et_5A_041596 transcript:Et_5A_041596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKIIVNVGSYFALPDGGPKTFCKRRKLSPLFVDVHNLSLLQLQYIALWRSDEEACVEIKSDENLREWCELNLEQGDVHINAHIEDFDGPLQFSPTKRRLHPSVRSRVSEAPQPSPITDLPQVTNERATKKKVSVSKVKKRKRGRAHDDDEPVLMRRANTLDTESLKALSDSSYDSDLAASSDSDADYEPDAEILDDEEDDYVPPFAYDAENPCIDVGVIFADVDQCKSAVTHHSVLNDYGFKTVKKSQSRFKPKCKRAKKGCKWVFFASTSKTKYVGCKVKRNGPKHNCGSVNKLDLSMALLSF >Et_8A_058194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23630333:23633460:1 gene:Et_8A_058194 transcript:Et_8A_058194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNEMGSRAAEQDERPRKAPRLSSAEDDEDSEPCTHILAVADQVDSLVAKIKCRDHAPQCEHCCLDSFESTASSRGREDPCKQQSNKPRTLIMVCLDCKRCLCAGYAVATTEDIPCGHAWFHAKKKGHWVALWFHQPCEAYCFSCGISLNFDQSDGENEMCLLALDRLRMWMLGPNAPMGSLGVALKELFVETTPGHNAGATLDPGDLLESLGALNARYAGNDMQDSQELLLDLRKDSTKENAEDTGQYLRASPARKKDASASGCDGQSDNARIGDLLSQQGIEAKESTSTEQATTEDKGKALSRNFVYDDEDCSSLASIKHCLVLYFKAEKVEWSCENCSKVPVESGGSGPVKDLASSSTSKEESDHDVKAEKRFDMLIVQDSQNASTSPDRKKQIDLNSADQVRGNQTEQKYADKTLVISKLPPVLTLHLKRFVRKNNEQVKVTGHVSFEENLDVGQFMDPRSEDKDNSRYCLAGVVEHVGDSLEFWPLCCLCEVTDHGKSSGIEQ >Et_6A_046918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22362177:22362561:1 gene:Et_6A_046918 transcript:Et_6A_046918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLRDNRDGDLLFSCAKDHKPTANTFLSTYRCHNGAVWSCDTAKLWEVGTGRELFRFDAPARSEFAIGEALAVVTTGSFIDHAPTVQVKCIAEDIEDLT >Et_6B_048839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14307770:14311648:1 gene:Et_6B_048839 transcript:Et_6B_048839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRSFSFSTILLIGILVLPLPLSTIASSSKIYVVYMGEKQHDDPAMVTASHHNALTSILGSKDEALKSIVYSYKHGFSGFAAKLTESQAEELKKYPGVISVKHNTYHEVHTTRSWDFLGLNYSQQSSGLLQKANYGEDVIVGVIDTGIWPESRSFDDSGYGPVPKRWKGVCQTGEKFDATHCNGKIIGARWYAGDATAKQLKGEYMSARDAKGHGTHTASTVAGAPVANVGYGGALAAGVARGGAPRARLAVYKACLGKCGDASLLAAVDDAINDGVDVLSMSVGTLTEIPGTLHAVARGIPVVFSGGNAGSAQQTVLNAVPWVITVAASTIDRSFPTVINLPSGDKLVGQSVYLSHAAAANSSDFETIVHSFGCDEETLKAINVTGKIILCSPALASLSPPEKVFVNAHSFAAKAGAKGIIIAQYNTNNLDMLEECEGHMPCVVVDHEIAYKIIRESTMKVKISPATSVVGNGVISPRVAAFSSRGPSFSLPAILKPDIAAPGVSILAAKGDSYALFSGTSMACPHVSAIVALLKSVHPDWSPAMVKSALVTTASVTDRYGMPIQAEGLQRKLADPFDFGGGHVEPDKAIDPGLEDCSEYKGNLYELNLPSISVPNLKNSITVWRTVTNVGQKKATYRVNIDAPMGVEMSVVPSLITFMNGGPRSATFKVTFTAKLKVQGGYSFGSLTWIDDDCKHSVRIPIAVRTIIRDFVADASMALHNHLPNPSLHGSVKLLELASLPDVSEKGTYEAFSDEVFWMRNKYVNIVYQRKVAP >Et_8A_057090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2155443:2161253:1 gene:Et_8A_057090 transcript:Et_8A_057090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRRCLALMVVAALLAATMPSPGAATLSPDYYRSSCPDLESIVRYEVTKKKNLTVVTIPATLRLVFHDCMVGGCDAAALIASPNNDAEKDAPDNESLAGDGFDTINRVKAAVEEKCPGVVSCADIMALAARDVVYLAKGPYWRVELGRLDSLVSRASDVKGKLPGEHMHVKELAAMFQKNNLSLVDLVALSGAHTVGFAHCTRFTGRLYSYSYSTPTDPTYNSDYADQLKEACPRNVGPTIAVNMDPVSPVTFDNLYYANLVNRLGLFTSDQVLYEDPATRPIVKQFADSQKAFFDAFVEAMIKLGRLGVKTGNEGEIRKGRKKNKNTHRLQYVLFSSSPTPY >Et_5A_041773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2701220:2704903:-1 gene:Et_5A_041773 transcript:Et_5A_041773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGRGGGDEDNEPYLVGFIVANIVGMKHYHGRVTGREAVGLVREPLNAYDGNAIKVFNRLNHQVGHIERSVAAVLAPLMDSHLAAVHGIVPKASAKAVHRLPVQVHLFARPAASAIVEAAIYEGKLVLIHADHPEFALSESAAVMEQSKKKPDRDVDKLFSLVGKEGKSRIEPMEAPRDVVMSELFDHQKEALGWMVHREESGDLPPFWEESKEGGFENVLTNQSVNERPPPLKGGIFADDMGLGKTLTLLSLIGRSKARNADGKKARGAKRRKVEGAGEGPRTTLVVCPPSVFSAWVTQLEEHLKAGSLKVYLYHGERTRDKKELLKYDLVLTTYSTLGAEFEQEDSPVKEIDWFRVILDEAHVIKNSAARQTKAVIALNTERRWVVTGTPIQNSSFDLYPLMAFLRFQPFSIKSYWQGLIQRPLEKGDKTGLSRLQNLLAAISLRRTKDMETGSKSMVNLPSKTVMACYIDLSTEEREYYDQMELEGKNKMQEFGDRDSILRNYSTVLYFILRLRQLCNDVALCPLDMKSWLPADSLADVSKNPELLKKLASLVDDGDDFDCPICLSPPTKTVITSCTHIYCQTCIFKILKSSSSRCPICRRSLSKEDLFLAPEVKNPDEDGSGNLGSDRPLSSKVQALLKLLKTSQDKDPLSKSVVFSQFRKMLILLEKPLKAAGFKILRLDGSMTANKRLDVIQQFAHVGPDSPTVLLASLKAAGAGVNLTAASTVYLFDPWWNPGVEEQAMDRVHRIGQKKDVKVIRLIVKGSIEERILSLQERKKRLISNAFGKKGGKDDKEMRVEELRMMMGLQ >Et_3B_031449.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:30004138:30004551:1 gene:Et_3B_031449 transcript:Et_3B_031449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPCLAFYRRERSTTLFSASQQKHILADDTDELLRNKTLCPAPRGLLLVRDPASLATFLWSPQDGDRIQLPPLDGIDDLTLMRSQCHLSDEPSAPGCVVVVVGDRDHTFIRYCHPGDDQWVKYDYDIGTQPALPDE >Et_1B_012424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31918514:31924124:-1 gene:Et_1B_012424 transcript:Et_1B_012424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHGDLDRQIAQLRECKYLPEPEVKALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGDTPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQVFCLHGGLSPSLDTLDNIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGYNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGETMDQNFLQFDPAPRQIEPDTTRKTPDYFFIRNSKHCMDHIRADVHSNKSGGICHRLCTDRQG >Et_4B_039717.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27627648:27627854:1 gene:Et_4B_039717 transcript:Et_4B_039717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKRPRWYGRVLDYMPYSLFPKCFPPPPPPSRKRKLRPAGMTRELPSISWQVSQRAARAFALLGFFQ >Et_4A_035862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7526790:7532126:1 gene:Et_4A_035862 transcript:Et_4A_035862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIPSVSLRGGADADAAAAAPPDPAALARWARAFCVIRFDLERGQLVEACYPPDALSHGGLDRLVAFSSFPDSMSHHLPRHRSSVHDSLFSFRVPDPSSPRRAFLYGFVFNRQRQDERLPRGGEQKSVVILSHAPYSSLFRLLLQILGPLCFDLGPSALPMVASHVAAWPPPAPGRPMELPIGSAALRVHLPPAPDDPGPPPALLPANPSVPYGLFHDADLFAAFRGLLLHLWTLWELMVVGEPVLVVAPSPSQCSEAVTALVSLVAPLLYSVDFRPYFTIHDPDFARLNALAEGEVFPPMVLGVTNLFFLKSLKSIANVVSVGSPNPNSTRVLPVGGQSPGNGANGTPGKLKLDAINKFSPTSLFTSIKLRREGPLSLMTEHKEALWSTYSPTTKPDTSVLNRLIDAGISPRIEESMSVVNNEILRRHFLELTTNFLAPFGPYLRTTTPSEGSSPFVDPPLLPPFHADEFVKGLAARGPGKFLSKRMRSNWLDLYRRFLEGPNFMPWFRQRRAAAEQEQQKLWRHARMNVEIEKLMVKMSELERIDSFNAIERYLLREMEVMIKDTMTKDSSESNMNSGTGSADSEATCQKLKRDLQAAFGVLPKDMQQLLLSNPKRAVLLQSSEEKGPGLNGIVTETNHFVDVVLVREGTEVWLHAPAHVAATTAAKEAKLQLKRGLLLDVVVRQGALVLQLCPREDEELLVGGDTYKHNKGWIENRSSKIQPQDCSFTAARGQKETAQSKSTRLEGKRGVHI >Et_2B_020807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23854760:23858655:1 gene:Et_2B_020807 transcript:Et_2B_020807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKMPVSWDLAELEHDAVPAISAATAPVAAAASGIAAAAAAAAAARGTPTRAECSVDLKLGGLGEFGAADVTKEPPAKGPTVSSSAAAVVPSASPMKRPRSGPGGPQCPSCAVDGCKADLSKCRDYHRRHKVCEAHSKTPLVVVAGREMRFCQQCSRFHLLAEFDEAKRSCRKRLDGHNRRRRKPQPDNMNSGSFMTSQQGTRFSSFVAPRPEPSWSGIIKSEDNSSYYTHQVLSNRPHFAGSTSTYSKEGRRFPFLQDGDQVSFSTGAATAATTLDSCQPLLKTVAPPPPPPESSSSNKIFSPDGLTPVLDSDCALSLLSSPANSSSVDVSRMVQPTEHIPMAQPLVPNLQQFGSSPSWFACSQASSGVVATAGFTCPSMESEQLNTVLVPSSDGHEMNYHGIFHVGGEGSSDGTSPSLPFSWQ >Et_4A_034365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32019124:32020680:1 gene:Et_4A_034365 transcript:Et_4A_034365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HQGVPKFGSWEDEGDHLYTQYFENARKGKSPGRSVSQNDLKGDMEGLSKDSPSAKASPLRTGSDPATRKPKDERRANREHELRRHEATARKPYAESPNHRYGDNATYENAARKNSAERSPLHPRQQARIVNKGGVSSPSWERRGLSEGNRGAAPTTPGRSKLRSSGHGDETPDRGSAVPKFGEWDEKDPSTGEGFTDIFNKVREEKQSGSAPVSTSDSGYNRSNQNRKYESSVSPLQTCCYDAGFEFFYNQTMILRVVRASVGSGTDIYPVPGIT >Et_2B_022507.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24135628:24136071:-1 gene:Et_2B_022507 transcript:Et_2B_022507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLDSRHGRVLLHNKHVPRDSLVVLDPVTDEQQVLPDLHLCHESRASNAAVLCPSATPGCRSSGRPFLVVFVGITNDHVTHHCFYSSDSTSWSEPASHRIRCFKNGCGDVVGPSLLVGDVLYFAVDRVDGILRYDVVRRALSAID >Et_4B_038186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27039349:27043403:1 gene:Et_4B_038186 transcript:Et_4B_038186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAPVTATPPPLLPAKSKSPPPPHHHAVLSHLPHCSSVGSLAQLHAAAVKAGLAAHPAFVTRLLTLCTAQGAPPAHVAYARQVFDRVPHPADPVWYNTLLRGYARSSPSPSAAAEAARVFVRMLEEGIEPDTYTFVSLFKACAAARAGEEGLQAHTVAVKVGAAGHEYVRPTLINMYAECGDVRAARVLFDRMDGDCVVSYNAMITAAVRSSQPGQALVLFQEMQAKGLKPTPVTLISVLSACALLGALEQGKWIHEYVRKIGLDSLIKVNTALIDMYAKCGSLEDAIDVFQGMESRDRQTWSVMIVAYANHGYGREAISLFEEMKKQGIKPDDIIFLGVLYACSHSGLVGEGLQYFDNMKDHGIVPGIKHYGCVTDLLARSGQLERAYKFIDELPIKPTPILWRTLLSACGGHGDVELGKRVFERILELDDSHGGDYVIFSNLCANTGSWEEMNRVRKMMNEKGVVKIPGCSSIEINNTVHEFFAGDGRHPKSQEACRMVDEVIDQLKLVGYVPDISHVFHVEMDEEEKATSLRYHSEKLAIAFGLINTSPGATLRVVKNLRVCPDCHSMAKLVSMVFNRRIILRDLNRFHHFEGGVCSCVHRRWGRIRRWWWRDAPVRIAVHRRAVQERDALLAGPLVGASGDAVLPVVDARHLEAPVLLAAEEVVRVGEVLEVRQFADALGYLAGEHVVRDVELLQRAHVANGVGQRAGEVVEAEVEHGELV >Et_8B_060131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6251475:6254152:1 gene:Et_8B_060131 transcript:Et_8B_060131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAVRPVSVCRLSALSRAPVPCSLPSSRVGLAAPFPRRADFLKISQRQFTARYFQKENSSVEANELLDGIRDKELPVVHYGNNLKSVYHVVYQWDAMENKSSLLLYAGGVIFAVWLSSAVVRAVGSVPLVPDFLELIGLGYSGWFVY >Et_3A_026967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28380307:28382134:1 gene:Et_3A_026967 transcript:Et_3A_026967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGAAAAALLALALVAVARAEDPYHFFEWKVTYGTKTIMGTPQKVILINDQFPGPTINCTSNNNIVINVFNMLDQPLLFTWHGIQQRKNSWQDGMPGTMCPIKPGTNFTYHWQPKDQIGSFFYFPSIGMQRSAGGYGLISVHSRDLIPVPFDTPADDFPVLVGDWYTKDHTVLAKHLDAGKGIGRPAGLVINGKNEKDASNPPMYNFEAGKTYRFRVCNVGIKASLNVRVQGHNLKLVEMEGSHTVQNMYDSLDVHVGQCLSFLVTADQKPGDYFLVVSTRFIKEVSTITAVVRYKGSSTPPSPKLPEGPSGWAWSINQWRSFRWNLTASAARPNPQGSYHYGQINITRTIKLSTSRGKVGGKERYALNGVSHVDAETPLKLAEYFNATDGHQSLAYQCMRACSMGPGTWSPESRKTYNLLDTVSRHTIQVYPRSWTAVMLTFDNAGMWNVRSNLWERHYLGEQMYISVVSPARSLRDEYNMPETSLRCGKVVGLPMPASYLPA >Et_8B_060484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17886988:17889930:1 gene:Et_8B_060484 transcript:Et_8B_060484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPDAAAVPRLPPPPAVIFTVAPHLEAKAPPPILDARRCLLFPNHWNTTPGAPLINASSSVATPLAAASLAGQGPNTLAMSSSCSPRTKKRPSSPAPDAWDWAALPRDALLEVFLKLDAHDIMWSAEAVCKAWRRVAVEEPTLWRRVDMTTVPSEDMTNAVCDAVDRSAGLMEAFSGPWDDYSLLFIGARAQSLKSLHLSYDDNSTDEIFMLIIKKLPLLEDLDISPPFYDLSAADKLFETICKACPLLKNLKIRFTPPPDFDFDEAILMECVDGDIYRTPMMCELRSLELFNYVFGKEQLAAILDNCPLLESLHITGHQVDEMDAQLQEKCARVKNITIPFYTTEKDSGHLDSSEDDSENDSEDDDSFIIPALFF >Et_5A_040859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13656135:13657442:-1 gene:Et_5A_040859 transcript:Et_5A_040859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGKGNSRNKSKTAMPRTLHDIPDNLLELILRHLTSPLCIIHAAATCRRWRRIITGWRFLGHLNLYPPQVAGHYHLLPISGGGSKSWKLADSNRSFLLLAKKKSGWMRHRFPDLIVCEPLTRRYHLIPRPAHMKYFECLGVFLNDCSDDMSYFSVTCVLYQRYTGISDEVGTARACVFWKCQRKVGSWKIGRPAAMDGVHLNLQGKDSLQFVGCAACSSFWWVKDEMRPVRLVCVDRTWFSFVSLPEHIQRLCVDTSSFRVAGGRDSIVRIVCLEGKSNLKIFARNYQRGGNYEWTQEKHLNLSMVSRGLQERKEEYFGNIATNIVTVSGHSIVLALAGETRLFSFEVGTMKVAPWNMGIKRSTVAYSYELPWPPRSVLVCTTVGEGAKGCVLKFLFVNGIYVPKKI >Et_4B_036987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13119435:13123161:1 gene:Et_4B_036987 transcript:Et_4B_036987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAKAPSLAEFGSADAMLSSWNEKNRFDAFHEEFTHCVENEYSLPPQEVPVEKAPEEKPSGGSETEAAPVTKDESPPADETAVEDKSETSETQDVADKSKAEESNPAAEETSETAEEEAEEKPEIKIETAPADFRFPTTNQTRHCFTRYVEYHRCVAAKGEGAPECDKFAKYYRSLCPGEWVCIYCFNHCCVFSIFVACCGFEIRKVERWNEQRENGTFPGPL >Et_8A_058431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7618249:7624871:-1 gene:Et_8A_058431 transcript:Et_8A_058431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRVTPLDGADSYPRWKESMLLVLNNASVAHVLTDDPPPPPPAIGSGARAATAAAKQWARQDAVCRGHILGALSNRLFPDYVRHATGAAVWLAVARTYDVTASAVAWQRFVDLEIDEGASLPEQVAHAEALSSKNAMIDMAIPSTTKAIIEVPLRGMSSMLVDDRGGDAGLEGGDVGGARDGACVGEEAGLGVRPDANKVDWVSEVLK >Et_3B_029212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23000913:23008047:-1 gene:Et_3B_029212 transcript:Et_3B_029212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRRRTLLKVIVLGDSGVGKTSLMNQYPWLNLPMICCAGSGKRRLNLLGVVPGAKPCSVRFALCSRESTGAVVLGTHQICRYVHKKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNNNRSFDTLNTWHDEFLNQASPSDPKTFPFILLGNKIDVDGGKSRVVSEKKAMEWCASKGNIPYYETSAKEDFNVDNAFLSVAKLALEHERDQDIYFQTVVDPVPETEQRSGCAC >Et_1A_007901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39335263:39337768:1 gene:Et_1A_007901 transcript:Et_1A_007901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQLYSAQAALVNGGANQVRSYAKEAAPSERPPVNGDDLLKGIFSEVKRKFETAIGVLKKERIIFDPDDPAAVSRYAQVMKTVREKAQLLSVSQRIKHTIETFTTGIPDARTYLNTLQEIRVRYGINDVLGIEPMLMEALEKVEKDIKKPLLRSDKKNMALLMAEFDKIIKKFGVSMNDLPKVEEALEMDIAKAQLTELKKEVVETMETALKREEFKDEKMPDVRTIDIRKYL >Et_9A_061258.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:2671293:2671562:-1 gene:Et_9A_061258 transcript:Et_9A_061258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPAPERWRCKRWKRSRSATWSRGRPFTAAPLAHKEVAAVLKEKTSTRRLMSSSSRERGGSTAEKPVDRYCGDGTTVSVTRSICSCKHSL >Et_3A_023039.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:20014027:20016473:1 gene:Et_3A_023039 transcript:Et_3A_023039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPLPLPAITNPHPSKPHEVQAAPRPAGASLHAAVASLSQHCHEGGLREAFALVARAEGQSPPAAVGPEVYASLLQCCVSAESLQAGRQVHAASVKRGPYYWRNAYFGTKLAVFYARCGALADAERAFAALPDKNAFAWAAVIGLWARAGLHARALAGFADMLAAGVPADNFVVPCVLKACAGLGMARPGRAVHGYAWKAGVAECVYVMSSLVDFYGKCGQVEDARRAFDAMPERTVVSWNSMLMAYIHNGRIDDAVELFYEMRVEGVLPTRVSVVSFLSASADLEAVYGGRQGHAVAVSSGLEMDVILGSSMINFYCKVGLVEAAEAVFEQMAKRDVVTWNLMIAGYLQEGHIDKAFDTCRRMLDSNLKFDCVTLVSIIMTCVKSCSMVLGTTAHGYAVRNSLESEVTVSCALMELYASRGRIEHARRVFSATSRRDMVLWNMMISAYADRGMSSEALKLLNQMQLDGMSTNAACWDSVISAFIRNNQFEVALDIFHEMLLTKTRPNLRTWSLLISGLSQNGMHQEVKNLCCKMQEVEPAPSPTIYSALLLAIKTATSVHYGKAVHASIVKKGLLLSKSVIQSLLNMYSSFDDRGAMESLLRSLAECSK >Et_3A_024754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23896906:23903241:-1 gene:Et_3A_024754 transcript:Et_3A_024754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAGRPSHASTSDAPPIIEDGIPVVDKVVNACIDPRASSMATTSGSFPLRNIPTTWRALQTRIAAWLTVLIVNLLAFIRSIPSIMTKRLTHQNITVHHHSAEFPQGHVENGALSFVLIRLRELDEKVQVLEAKPPQMSFDKEKLIAKFSCLATYPAPVLLRRDPGLLERDFSLFLKSRAAGVHPGGLMVLAMLGRKTTEGLIDRRTTFLWELLSESFAALVSQGLVEQEKVDAYNVPFYAPSIPGVVEEMRREEGSFRLDYVQTYKINLRSSGDAKEDGRTVSMAFRAIQESIHHFGPDIVDALFHRYTELVTESMEREEVKSVQIGVVLTRL >Et_1A_009607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9344382:9347466:1 gene:Et_1A_009607 transcript:Et_1A_009607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAATTSSTAAAENPNPFNLKINLPPWLRGPLTFLSPPPPPPPPPPPPPPPPPEPVVSSSRPSRRLPGLRVTAEYDSEEGIFTNKVSCKLAGDLAKLRLSFQSDPQGQGILGDDPQQQLFAAPLVGFITKHFSVLYDVEERNTLLSGDASLPGGAVQLRSSLDVKAQQGEVSVITRLGDPSYKLELSSLVPYNGLPRATFHFPIGEVSVEERRNEDDEKMLSINGIAKSDFLDGVLSAAYNENDLNLRYCYKDKELTFIPSVSLPSNAVSLDLKRRFGPSDKLSYRYNFDTDDWNAVYKHTMGKNFKVKAGYDSVVRLGWASLWVGEENGKAKTAPMKTRVQLMVQVPQDNVQNPTFLFHVKKRWDL >Et_3B_031431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29255844:29257713:-1 gene:Et_3B_031431 transcript:Et_3B_031431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENVVATYYYPSAPGAMEVSAAELDQTAGDKCFDDDGRPRRSGKLSVKLATRRRVITVHVQSLMSATTGWCTAGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSLVTYYTSALLADCYRSGDPATGKRNYTYMDAVNANLSGIKVQICGFLQYANIVGVAIGYTIATSISMLAIRRANCFHQKGHGNPCNISSTPYMIIFGVAQIFFSQIPDFDQISWLSILAAIMSFTYSSIGLGLGIVQVIGTTHTPRQFLLSLSMPRLHPNKGFQGSLTGISIGAVTSMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSSESTVMKRATVVSVAVTTLFYMLCGCAGYAAFGDAAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAARRWPDSPFVAGEVEVPLPLLRSSASPTARVNLFRATWRTGFVVATTVVSMLLPFFNDVVGFLGALGFWPLTVYFPVEMYVVQKKVPRWSARWVCLQMLSLGCLVISVAAAAGSIAGIASDLKVYRPFKTY >Et_7A_050205.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:11633164:11633598:1 gene:Et_7A_050205 transcript:Et_7A_050205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADGGADAHPDAPVPLADAPLAALPRLPRAVLGPHRLLPALPLPRRLAPPLGAHCRLPPAGGAPGLLSLVLAVTVPVPAPAAARALGRHRAGAPAVGQGRAQRVRAPAAGAEGPREVAAAGLRGRRVAHHCAQHCHRRGRHP >Et_7A_050917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12357100:12362109:1 gene:Et_7A_050917 transcript:Et_7A_050917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLRRVLSSAPSPRALFAKPHRLLRPPKTLPPAVQWLASMASEASSMTRETFRAAVTNTLERRLFFVPSFKIYGGVAGLYDYGPPGCAVKANVLAFWRQHFVLEEGMLEVDGPCVTPEVVLKASGHVDKFTDLMVKDEKTGNCYRADHLLKDFCKEKLEKDNTLPPEKVEEFNKMLAILDDLSAEQLGAKIKEYGIVAPDTKNSLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQVCIATMGRSYPSELPKLISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFGDVSDLEFLMFPREEQLSGKSATKLKIGEAVSKGTVNNETLGYFIGRVYLFLTQLGINKDRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSDKSGAPLQAHEKFAEPKEVEKLLLTPSKKEIGLAFKGNQKMVLAALEAMSKTEALEMKAALESKGEVEFKVCTLGKDVTIKKSMVSISLEKKKEHGRKFTPSVIEPSFGIGRIIYCLFEHCYYQRSGKTEDEQLNVFGFPPLVAPIKCTVFPLVKIEKFDVVAKKISKALTAAGISHIIDITGTSIGKRYARTDEIGVPLAITVDSTSSVTVRERDSKDQVRVEVDEVAMVVKEVTDGQSTWADIMWRYPAHTATATDEEQAEP >Et_3A_026127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4656690:4659040:1 gene:Et_3A_026127 transcript:Et_3A_026127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVDPLVVGRVIGEVVDLFVPSISMTVGYGTSKDISNGCLLKPSATAAPPLVRISGRRNDLYTLVRTHLYLHVFNDNYKRIMTDPDAPSPSDPTMREYLHWYDLASKQVSILQLIRPSRPHFLLLWLQLHDFLFMRYTAFMPIFIFNFYQHIHYTFIQSNVQHIFSPCVRAWQDSNQHTRRNGRKQRQVIHAACDKRGCTAGEEVVSYMGPKPAVGIHRYVLVLFEQKTRVHVGAEAPEERANFNTRAFAARHELGLPTAVVYFNAQREPAGTNRRR >Et_3B_031267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23238798:23240626:-1 gene:Et_3B_031267 transcript:Et_3B_031267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGSKLAQLQSKACGATRFAVKHGCAYHKTLMEKNKHYVVDPPTIEKCQELSKQLFYTRLASLPGRYESFWKELDGAKLLWKNRSNMKVENAGVAALFGIELYAWFCVGEIVGRGFTLTGYHV >Et_5B_043185.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:16089620:16089724:-1 gene:Et_5B_043185 transcript:Et_5B_043185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLRVSPTTKPPQANSVTCTINRGSPRLHFSPG >Et_1A_009552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:837868:839202:1 gene:Et_1A_009552 transcript:Et_1A_009552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWLAFLLPVLCLGMLQAALPTANAARAFFVFGDSLVDNGNNNYLVTAARADSPPYGIDTPDHRATGRFSNGKNLPDIISEHLGSEPLLPYLSPELDGDKMLIGANFASAGVGILNDTGIQFILTHLYDLGARRVLVQGVGPIGCVPAELALHSLDGSCDRELQRAAEMYNPRLLSMLRELNAQLGGDVFVGVNTQRIHNDFIEDPKAYGFATATEACCGQGRFNGMGLCTMVSQLCNDRDAYVFWDAFHPTERANRLIVQQFMSGSIDVISPMNLSTVLAVDHIKSLQMHT >Et_2A_016731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27718965:27721516:-1 gene:Et_2A_016731 transcript:Et_2A_016731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGDGKGRVATAAGGGYGYGYEGFDDRKWWPWLVPTVIVACIAVFGVEMYENNCPRHGSALGGCVAGFLRRFSFQPLRENPLLGPSSSTLEKMGALDWNKIVHQHQGWRLISCIWLHVGLIHLIVNMLSLLFIGIRLEQQFGFVRIGAIYLVSGFGGSVLSALFLRNNSISVGASGALFGLLGSMLSELLMNWTIYSNKAAAILTLLFIIVINLAIGILPHVDNFAHIGGFVTGFLLGFVLLVRPQFGWMERHELPQTSQPPKYKAYQYVLWVVAFVLLLIGFVISLVMLFKGKNGYDSCHWCHYLNCVPTSRWKCNA >Et_2B_020085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16788572:16789482:1 gene:Et_2B_020085 transcript:Et_2B_020085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAAPMLKRKGAEAPEPWLDGVPVPATKMRRLDAVVPPVEPGAGVVQLVESGAGVPSPPPPRPLEMEEVPMSGEVAPVVVAPAANDERAIVVYQPAEAARNLLCGPLRPEAPLRVSPDWIRGIKSTVLREGSNHRALFEELASRDENINLAMVPWAPAQAIATSTAPPSEVMDAEQDADGASMEVEEGWAGQPAPPAGFALQGETFHHHHQQQQWPMQHCMAPQPLQVPATSYQPSPVTWSW >Et_10B_003195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17554642:17555807:1 gene:Et_10B_003195 transcript:Et_10B_003195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLHSRPNEVPNKLVNEIIESDDALKVTIGLIELLIFPSTLLVAFDTALYYNCSPFADQILFSSVFQGKHYLWGVFKQRKDKSDRGGLVVEQDASACATEESKLQEQHLLDKQDGVSCESSGQETSAVKNVVHVENELMVDHNFVAQKTALKIVEREGITLTDSNLFYAKPNSPKAVSNCSVHPRIDPALEVNQHREHVCVSPVLNATSVTKPTNTGVTKPTTECDHGQCNSGSEPSTTKLFGLVAVRTPRAQHLIQEMVSEGALVFSVPEQTVTTESCTGSSVEVESGLNLDTEYRHLREHPQSFDFISTGHHDVPDVASEACLELFPVRQEKIG >Et_6B_049552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6546055:6549733:1 gene:Et_6B_049552 transcript:Et_6B_049552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFGDDGLLPLFSQPDQNSPVHSQAAQEVAAVQEGVVAQEGVVVHELGPVEEASQPSAGRGGGQRRRQDDSAAADADIQELPPTQEGVAELKKLQHGPPPFLDMLEEIFEGVAVDGSSSYTPGQMSMDVDEDGHDDDVLEIHEDSPNSNGSHKRTCSTSTTATSPSEKSKSPMLRIMNKWFTSSSATAEHQTNLLEQMASIKVATKQSALETYLERQRAQEDRHRAEEEKDRAERKLVQQLALEAGVTETSAEYYAVSFICQKKELREFFIDMQTPEGRDIAAMLFEDDSDDDDDLLAMIMRASPAHSSSPSTRSGLNFGVRKIELGEQEYVDGIGKRIKGRAPYHLESSVIGTSMSSVCI >Et_1A_005358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35165:36538:1 gene:Et_1A_005358 transcript:Et_1A_005358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHDCFVRGCDGSVLLDSTGNVTAEKDGPPNVSLHAFFVIDNAKQAVEALCPGVVSCADILAFAARDAVALSGGPSWVVRVGRRDGRVSLVNDTSTLPGPRASFDQLKQAFHDRGLSTKDLVVLSGGHTLGFAHCSSFQDRIHGGNNNGGGGVDPALNPSFAASLRRACPANNTARAAGSGLDPTSASFDNAYFRMLQSGRGLLSSDEALLTHPKTRAFVALYAASQEAFFRAFAKSMLRMGELNGGQEVRTNCRRVNSS >Et_4B_037611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21867352:21869987:1 gene:Et_4B_037611 transcript:Et_4B_037611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSSVPAAAVLLLSAVSLCAGQALVPGVMIFGDSVVDAGNNNRLATLVRADFPPYGRDFPATHAPTGRFCNGKLATDYTVENLGLSSYPPAYLSEEAQSNNKSLLHGANFASGAAGYLDATAALYGAISLSRQLDYFREYQSRVAASAGAQRAAALTSGSIYVVSAGTSDYVQNYYVNPVLAATYTPDQFSDALMQPFTSFVENLYGLGARRIGVTSLPPMGCLPASVTLFGGGGSNGGCVEHLNNDSLIFNRKLGAAADAVKQRHPDLKLVVFDIYQPLLDLVSNPTSAGFFESRRACCGTGTIETSVLCHQGAPGTCANATGYVFWDGFHPTDAANKVLADSLLIQGLQLI >Et_10B_003622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:400751:405162:1 gene:Et_10B_003622 transcript:Et_10B_003622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQHAAAARRMATLASHLRPHPTSQMEEASILRGSNCRAKGAAPGFKVAILGASGGIGQPLALLMKMNPLVSVLHLYDVVNTPGVTADVSHMNTGAVVRGFVGQPQLEDALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVRTLCEGIAKCCPKAVVNVISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVGEVLGLNPTDINVPVVGGHAGVTIVPLLSQVNPPCSFTSEEVSYLTSRIQNGGTEVVEAKAGAGSATLSMAYAAAKFADACLRGLRGDAGIVECSYVASQVTELPFFASKVRLGRCGIEEILPLGPLNEFERAGLEKAKKELAESIQKGVSFIKK >Et_6B_048752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13205619:13209254:-1 gene:Et_6B_048752 transcript:Et_6B_048752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVAASLLLLLLALAAGAAAARDAKEKKWLGGLSRASFPEGFVFGTATAAYQVEGAAASGGRGPSIWDEFVHTPGNIAGNQTADVAVDQYHRYKSDVDLMKRLNFDAYRFSISWSRIFPDGEGRVNQEGVAYYNNLINYLLKKGVTPYVNLYHADLPLALQKKYGGWLNAKMAELFADYSEFCFETFGDRVKHWFTFNEPRIVALLGYDGGSIPPQRCTKCAAGGNSATEPYIVAHNFLLSHAAAVARYRTKYQASQKGKVGIVLDFTWYEALTNSTDDQAAAQRARDFHIGWFVDPLINGHYPQIMQDLVNERLPRFTPEQAKLVKGSADYIGINQYTASYMRGQKLLRQTPTSYSADWQVTYVSVRNGKPIGPQANSDWLKIVPWGMYGCINYLNQKYGNPTVYITENGMDQPGNLTRGEYLRDTTRVRFYRSYLTELKKAIDQGANVAGYFAWSLLDNFEWQAGYTSKFGIVYVDFNNTLERHPKASAYWFRDMLQH >Et_4A_035329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20215190:20216690:-1 gene:Et_4A_035329 transcript:Et_4A_035329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDKKGRDVHIVTYDVCTATAGSIALPVEEGLPDRFQCSNLHLTSTPNGGLRLLVANRFTLSVWLLSGSAAAWTRQAVIDMDDTVAILGSGVKSGVVLLDTLDYSEEIIVLDVETKEMCKVDRQSMLPFLFEDHLYIDRAIKHSDNMPSLNGDDIFEVVRDGFCI >Et_4A_034096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:335384:341954:1 gene:Et_4A_034096 transcript:Et_4A_034096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLHRHRSSDRAGERYDFRFSNFRAVQVPAVSDRLFLSIISVDTGKTIAKSSKAASRSGICQWPDTILEPIWFSKDEVSKEFEECQYKIVVSVGSTKTGILGEIFLNLTNFLNLVDPTAISLPLKRCNSGTVLQLKVQCLGTKSKLSGVRSLRDMPPRHEDRSPTPTNDDVDNRSDASDSMFNRGVRSSSESQLGGTYQDEPGNRDMSFSASGSHRSSNSGDSTADRTNFSPRDNSNGGAHVGRQDSASSHASYVSAGRGDDGFRSNNSSFSSRTSGPNVLQGTTPKAFANGLPQLSMGTSDSSRDLLEAAEETIEELRDEAKMWERHSRKLKADLEMLKKECAEKSKQQAELAVELSAAQAERDSYRHEIEELKSSAQDTITGTPKRGGWVDLQKELEDEVKFLKESNVNLTLQLNKTQDANIELVSILQELEETIEEQRQEISKISKVKATSGPEIGSLDKDDTEWARKLSMKEDEITMLREKLNRARNIENAGSDDVYLELEKENELLRAKIQELEKDCSELTDENLELIYKLKESGLTKGQGNGISNSSELQIEKLTSQIHELEGKLKNKEMLQNGSYAEPSISNVDELQRKCADLELKLLSFKSQIHELEEKFRKSQEDLEERNCELSELRQKIDDIHSTELEGGKSGDARKNQYRRADLEDTAETDVLKAQQENGELRRSKAEMEEFISKIQLEKNQLEERLSASIKESSITSKCLDEVQQDILVLSSSIDSHVSANKVLERKIVELESCKAELELHVSELEQENIDLSERISGLEAQLTYLTNEKESSELQMHESRSLITNLKDKVERQQSEMEAQRLESKQKQQEAQRKLSEAQDDSEVMRRSNSKLQSTVESLIEECSSLQNLTADLKKQKLELHGHLTQKEQELDESKKRNFDFSKTVEFLEAKLSSLQKDISSKEQSLLSELESIFQEHMEHGERINRAHFLLNKIEKEKALEVENLEREVVSLTAQVSSTHEERENSSLDAIREVSILRADKAKLEASLQDVSAQLKHYESQLEDLRKESKNKIKGLVDSLNASKQSEEMLTADAEHMKKLMEAAKSNEDLLRKTSNELELKLKSSDYEKQEMLEEISGLKLQIQKIMNLQDEVSKLQSSLDEVKFEKGKLEEELRSMTEECEELKAQNAMLTDEVSDMQENLRNGEEERRSRIAMQAKLVRLESDLSASEASHVHEAELKNELSRIKRSNSEYQRKIQSIEQENEDLTRRIQLMEKGFERMSHIKEDNLGEQDTVGDNQAAIQSKIQLLESQLAEALEENKMYRAQQKSPMPEGQSAGGDDKEGSTDRVLQLEGELRDMKERLLNMSLQYAEVEAQRERLVMELKAAKKGRWF >Et_5A_041217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19649647:19653706:1 gene:Et_5A_041217 transcript:Et_5A_041217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLAAVLLLCLLAATAVSAEEEGPRGRKLLVLLDDLAVRSSHSAFFGSLQARGFDLDFRLADDPKLSLHRYGQYLYDGLVLFAPSTTRFGGSVDQNAVLEFVDAGHDMILAADLSVSDLIRGIATECGDPEAMVIDHINYAVTDVDGDHTLIAGDDLIQSDVILGSKKIEAPVLFRGIGHAANPSNSLVLKVLSASPSAYSANPKTKLASPPSLTGSAISLVSVMQARNNARVLISGSLDMFSNRFLKSGVQKAGSKTRHERAGNEQFVTETSKWVFHERGHLKAVNVKHHKVGETNEPGMYRINDDLEYSVNIYEWSGTSWKPYVADDVQLQFYMMSPYVLKTLSTDKKGLYSTSFKVPDVYGVFQFKVEYQRLGYTGLSFTKQIPVRPYRHNEYERFITSAYPYYAASFSTMGAFFIFSFVYLYHK >Et_10B_004157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16406537:16409536:1 gene:Et_10B_004157 transcript:Et_10B_004157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGIRLREFAMTAAAAVLAANGGEAPPPEWKYRGVRRHQGNQNYSVEIRDPSGGRDRRKLWLGGYDTAVEAACVYDAAVRTLRPHDANAMTNFAEPMPEEKDKRATVVLAYIDELKRKREEEEAALLDADAVSDGDMVSAVSSPLPASLAPAFGFHHLATAATSDNTFFYRYQKPGPNNNPPESSAFRPYRSVGSTRAGLELAAAAATLQAHARRTEGTGGTENALIEGTLPSVPYYHDDNK >Et_2A_015837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18257326:18258584:-1 gene:Et_2A_015837 transcript:Et_2A_015837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGDNPHSISEKKAALRESPKQPKIVVNEKQRASPFSKDNDAAIVGIKRPQPNGPLSPTNHHVAGNSGANGHLVYVRRRLETDQNKGGASASAGSVNPISLKKTIAGGSQSQEPSLKHQNNVPNTQSAPQFAPPAAVTASPSLPSEGLPGKQTPGMVAAQPSNGVITTLPPRNVVSSTPVLQTSAASSLAPSNVSATSTASRHSVSATTVAPNRAYTPRSSNQDWSDRFIRLQTFLRNNVQSGQEEYISMLRSLSPVGRTKHAIELEKRAANLLVEEGKELQKMKVLNVVGRLMPGDPPFPTQPPSVVNLPFPARR >Et_6A_046733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:205969:206383:-1 gene:Et_6A_046733 transcript:Et_6A_046733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKQSKNMKRKTRQGKALEKRAARSFMDVLHEANFESLPPHVPTYLRAAVGPPSTSSRRHYCSVCGNSANYTCVRCGTRFCSCRRQVIHNDTRCLKFVA >Et_7A_051672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22334569:22355704:1 gene:Et_7A_051672 transcript:Et_7A_051672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVTWWAVFLLAAAGLIGARADRLPSSAPVTRDRDRFALVPVESFGGGGDRNGDGGGGGPEEMVWEFPCQNYSATSKSCEELNGSGSFNTTCVISSSSSLDGDLCVYGSGSVEIRPHVKIICPVRGCYITLNVSGSIRIGEHVELIAGSVGLYAANVSLQHHSTVNTTALAGDPPPQTSGTPHSLEGAGGGHGGRGASCKVSNDTNWGGDVYAWSTLGWPWSYGSMGGGMSADQFGGYGGGRIMLRASDFLNVDGHVLAEGGVGSLKGGGGSGGSIIIHAFKIYGNGTISAAGGNGWGGGGGGRISLDCYSIQQDLEITVHGGQSFGCAQNAGAAGTIYDSSLQTLKVSNGNFTTHTETPLLGFPMTRLWSNVLVESNAKVLVPLLWSRVQVTGQIRLLSKGSICFGLSENPISEFELVAEELLMSDSVIKVYGAFRMYVKVLLMWDSKIQIDGGTEDVVLSSMLEARNLVVLRHGSVISSNADLGVYGQGRLNLSGPGDGIKARRLFLSLFYNIEVGPGSLVQAPLDEAVQSSLDALSRCESKKCPSELITPPDDCHVNSSLSYTLQICRVEDITVSGMVRGSIIHIHRARTVNITTNGTISASELGCREGIGKGEFLKYGAGGGAGHGGRGGTGIYNGMKSLGGQVYGNADLPCELGSGSGNSAVPADNTAGGGLIVIGSMKWPLSRLEVSGSLRSDGESYRPTGNSNSTFKGGVGGGSGGSVLLFLQGFLLRKNSSLSASGGNGGVHGGGGGGGGRIHFHWSNIATGDEYVQIASVNGTVASRGGTGNDDGRFGEDGTITGKKCPVGLYGTFCTECPVSTYKNVVGSNSSLCYPCSLDGLPNRADFIYVRGGVTQPPCPYKCISDKYKMPNCYTPLEELMYTFGGPWSFAIILSFTIILLALILSAIRIKIGESDITYRATNAIHNDGCSSFPFLLSLAEVPGASRAEETQSHVHRMHFMGPNTFREPWHLPYSPPDAIIGIVYEDAFNRFIDEINLVAAYEWWEGSVHSILSVLAYPCAWSWKQWRRRKKIHRLQEYVKSEYDHSCLRSCRSRALYKGLKVGSTPDLMVAYIDFFLGGDEKRLDVASTIQKRFPMCLIFGGDGSYMSPYYLHSDTLLSNLLGQYVSTAIWNRLVAGLNAQLRTVRKGSIRSTLGPVVSWINSHGNPQLERHGVRMELGWFQSTASGYYQLGIVVAVNENFYKSLHHHEHVPEFGERSRKKIAALLQNSNEANQDQPCTSYGISKKRLTGGVNGGIINEGTLNSLDYKRDYLFPFSLLLQNFRPIGYAETLQLLICILLLGDFSITLLMLVQYYWISVGAFLAVLLIPPLALLSPFLAGLNALFSRGPKRSSVTRIFALWNITSVVNIMVAIIYGALYFWLSSLVASSVPHASNTKSFKSREDNEWWVLPAILFLVKSLQAGLVNLHVANLEIQDYSLFSPDPDSFWAMKPKMTGLKYKKGEVNILELGFLASRL >Et_2A_014577.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24542371:24545468:-1 gene:Et_2A_014577 transcript:Et_2A_014577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSLQSSSGGEDEFDSRGGGGGGGGGVDSSPLSALLRPAPSHSASPFSLHGSLYGLQDFASPAPPQQQQAGIWSGASGSLTGAAGPSSSSSPRVAGAADQGARQQAGPSATTGQAQGSASASAPAPPPRGSRKRTRASRRAPTTVLTTDTSNFRAMVQEFTGIPSPPFAAAGVGGPASVLRTRFDHIFPPPSSLRAAAGDAAASSLPPYLLRPFAQKLPTAAPSAFPPFTSPSSSSSPLSSSIGVANANAATGSATAAGGSSSHSNPTAGAGDTFQLTPSALLRMQDPSNYLSFQNLLDSHSVFGAFPHAPPRMHEPSPSEFLASVSGGGLGLAHGHGGLMGSEGMHLHSRSDAQNHGHGGDELSGLVAGGASGGSCKLNYSTHAGASTSSSAAASGEKPPEGGGGGGRPGRGQGLDPWICTSE >Et_2B_021703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4653540:4654701:-1 gene:Et_2B_021703 transcript:Et_2B_021703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKCRAGGAGSAAKSPAVVVAGGVRTRSRSAMSAAAEEPAPKRVRKAVATRQDDGGAAEAGCYLHLRSRRLFMVAAEAVVKSPSPREPVPVRAEEQAQPSSSSAVPPPLAGSSQDSTEAAVVAGVSRSSSTASSTVDVAALDRERAAAKPREDDGDVESSVSDSACCRERREATPSSRPPGDVSDRESSQAADDDQMHHRRRSSAANTATAACRTRMMPPPEEIEEFFAAAEKAEAQRFAANVFPHFCTNVELTPRLLLHRYNFDVVRGAPLDAGRFEWAPVASG >Et_6A_047856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21465204:21466316:-1 gene:Et_6A_047856 transcript:Et_6A_047856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGEPTAHMVDTPHDGKMTTSSPEEMAVAVPPSDVLRSARFDGSARFASVGNTAGFMLLGAFPAAAADQSDHGGEITVHYRYARFTRDETGCGVELCSGGGKLHTVRFLVPCSSYAAFAFADPASSLRLAGAALADMVYPRGFTAQLQALWRNLVEAAPVRVPPWAARVEVTVSAGILLVRRGDRTPERMAAMSAALAAEPHVRPSLRGVGVVVMSLPTPVTRRVDEDDGARPAKRRRLAAGAEEEEEECAICYEMLETGLAAWPRCAHVFHGECLERLLVRGDQRCPLCRSELN >Et_3A_026658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12357278:12360450:-1 gene:Et_3A_026658 transcript:Et_3A_026658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHLLVGVAIVLSLASISAQPAPGCPTHCGNVEIPYPFGFEINCSKTVDGEKPNIVMFRKKPNIVNVEVLNISASHGKTRVLNRISTYCYNPIKRKMEKDPWSLDFSGWPYRFSNVDSKFIVVGCNTLAYLYNRYNRTGYTTACASVCESPKALTNGSCLGVGCCQNAITNGLTRYDVYFKSVHKDSNSYQFNPCSYSALVATETFNFSSEFITTMRFNENYKGQQPLVLDWAIGNVTCNVAKNMSSYACRDRNSACVDSTNGPGYLCKCSDGYEGNPYLPDGCTDVDECKQNSSSCPRGAICHNTIGGHRCSCPAGRKIIKETNSCNPDINLIVGICIGSSVLVIILFCTRIIFERQKLTNVKKQYFEKHGGFLLLEKMKSDQGLAFTVFSEAELEQATNKFDKSQILGHGGHGTVYKGVVKENILLAIKRCALTTDRHKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFDLIHGKNRTLLHIPFSSLLRIVNEAADGLAFLHSYANPPIVHGDVKTSNILLDENYMAKVSDFGASILVPTDEAQFVTMVQGTCGYLDPEDMQTCCLTDKSDVYSFGVVILEILTGKMPFKLDEHEVQRSLSSSFLLAMKENNLDAMLDSQIKGHESMELLRGLADLAKRCLDMCGDNRPSMKEVADELSRLRKLSRHPWLHHDTETDTFLSGPSTSTFEIEQSMAYPGTEEEMPMSQSSSYFVR >Et_7B_055351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9658691:9660225:1 gene:Et_7B_055351 transcript:Et_7B_055351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGNPALAPDADAPAGEGLALAQFAAGCFWSVELAYQRLPGVACTEVGYSQGSLHEPTYKLVCGGGTGHAEVVRVHDDPEECPYDLLLDVFWAKHNPTTLNRQGNDVGTQYRSGIYYYTPEQEKQARESMAAKQKEWKETIVTEILPARRFYPAEEYHQQYLERGGQSAKKRCNDPIRCYG >Et_4B_038128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26510280:26511606:-1 gene:Et_4B_038128 transcript:Et_4B_038128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLITCIPPAVAGRLRYPLSISSYKPRRRLLVVSAQSNFPRVVQTAWKVGKDAVEAATNLVPGSVPRPIARIGVTIAAVSIALFLVKSIVSTAFFVLAMMGLIYLGFLAMNPKEVTGSRADETSSEDPVEEARRIMEKYNSKLELLETYEWKPVPLWI >Et_9A_063346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20975290:20976206:1 gene:Et_9A_063346 transcript:Et_9A_063346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGNFISFGLFLSPLPTFVQIVKKRDVEAYVPDPYLATFLNCALWVFYGLPVVHPNSILVATINGTGLAIEVAYLSIYFAYAPKPKRVKMLVVLAVELVFFATVVAGVILGASTYEKRSLIVGSLCVFFGTLMYAAPLTIMRQVITTKSVEYMPFTLSLVSFLNGICWTTYALIRFDIFITIPNGMGTLLGLAQLILYFCYYGSTPVKTVELPVTAGDAKDGSSRGGL >Et_9A_063119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10863016:10865263:-1 gene:Et_9A_063119 transcript:Et_9A_063119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLFSSLRNLLQGGRLLTKNSAFPEENQTNIKRRSSTRTLRNHERSDRIRSDLLHHDPVLLAIGVLQRDRDADHAESKIFLLQISIFLAIIAYLLLLFNCVSIFYAYVSGTRQVSFFAGGSGRGVAADDEVVQVREPGTGGRGGFKSAAVVVVLMPAGAAAGQVGQHGGRRGALVVRDAAPAARARGGGASVDDAPGDEAVVERDVAGAQLVRALGLVPGLGDRVWHPAQRGRRHLPVSLDAFVGFVAGALSGSSSAASSPPAAAAAAILSCLFGLILTLPCCHPSLFIAGGG >Et_10A_000936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19273911:19286914:1 gene:Et_10A_000936 transcript:Et_10A_000936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESRWNTTLNPCDWNEVGCSSSGSGSSLPRRFNQAAMAQRRYYPCSSHGFFMPVLLVFLSLLFFLDVAPAAAATQPPPLNSTQLSIMKVEFACSLSDSVVTGITISNYGVSNSSIFAIICRLDTLQTLDLSRNSLTHLSDQFVPSSCAIKESLRLLNLSSNHLDGSLGVLSGFHRLEVLDLSFNFFSGSLSLEFIDFTHLKNLNLSSNKLIGSMPTRFGSSLEALVLSDNQLVGSFPVDLFACRNLTTLDLSQNYITGPIPDNFTQLLKLQTLILSANSLGGTIPKSLSEVTTLSRFAANQNNFVCSIPSGITKHLKMLDLSYNRISGEIPSDIFSSQNLETIDLTKNRLEGPIPVNFSRSIYRLRLGMNFLSGNIPVSIGNASSLAYLELDNNHLEGHIPSNLGECKNLTLLSLASNNLQGQVPSEIGDLENLVVLKLQQNNISGQIPVRVSLLTNLNTLNLSHNSFTGVIPVEIMMLPKLSSMNLQANNINGVIPPSISSLKNLIELNLGNNLLTGFIPAMPTSLSTTLNLSRNYLSGVIPSNIGSLRDLEILDLSYNNLSGTILTWISKQPDRAGSYNQLSGSLPTIPPNVVVSITGNIGLTNTNGTDVNNISNVGMRISHSDRVKSKELAAIFGVIFGLCLAAVIAMFISCYCKVQLLIIIDICKRIYGVEDGQYSVEEGAAQINNGRLSAMNSIHTSALECTRAKRDDWQITPFQALDFEDVSIAQGLIEENLIGRGGSGRVYRVTYTNKYNSSTSVVAVKQIQSAGRLDKRLEREFESEVGMLGNIRHNNIIKLQCCISGAMSKFLVYDYMENGSLDNWVHGHALFVGYSMARAWPMPCSPLDWPTRLRVAVGAAQGLYYMHHECSPPIIHRDVKTSNILLDSEFQAKVADFGLARMLVQEGEPDTMSAVAGSFGYMAPEYAYTKKVNEKVGVYSFGVVLLELTTGKKANDGGEHGCLAQWAWHHYQAGASHRFSVGLRQMPIFIALVPEEVKALACKEGLALAADHGFMLLLFLSLLHDASVVATPTNASSFPQLNPAQQTIMLNLLSVVGNIRSNASQNPCPWGGVTCRPSGSFWVVRSITWSNHGIFNSFIFASICSLDTLQTLDLSRNSLTDLTSQFASSSCSMKEGLQFLNLSGNNLSHPVSDLTGFKELEILDLSSNHFSSENLRADLAAFQKMRSLNLSSNRLHGDVPTSMVGSLAELVLSGNKLSGSIPLGLFTYGNLTLLDLSQNYLTGAVPNKFRNLTRLKTLKKLSGEIPGSLSNVTTLFRFAANQNNFTGPVHSWITNHVSMLDLSYNNLNGTITSDFLSHTGLRSIDLTSNMLEGAIPGKLSPSLYR >Et_2A_014985.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24734601:24734921:1 gene:Et_2A_014985 transcript:Et_2A_014985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFEDDRRCCICRALCPTVIITRSDDSGQQEGAFVKPAAGMFTGVAGRALGKYFWYHGGMAAYFDDREQYEAARTASSLTTTSAGPADVDERPEEAPAASHAHGI >Et_1A_006870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28454254:28455645:1 gene:Et_1A_006870 transcript:Et_1A_006870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLKYVRNWSPVLLRSCLHWDQLIVFDTVAESFRCMHSPITYYHREWSRLLEMDGVLGISRLDGKKSVVDLWVLDDYEPVVWSLKYQIELPAAQLRSIAKTNDWYFNGLVVSHNGDVLVYFVRDLYLFHCNCNGDLLQEFSLDRTILWPLGFWFKESLVRHTTYVNASARLPNVMSMD >Et_4B_036013.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13519172:13523500:1 gene:Et_4B_036013 transcript:Et_4B_036013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRVMQLELEKVQQDIPRLVEDWEAAEVAKSHVIEEQERIKRVVEELKHMLERAQLEVDQAKQDSELAHLRAQEMEQGIDDNASVIAHTQLTVAKERHEKAVEELKLVKEELRSTREQYGILTTERDVAIKRAEEVVSAAKETEKQVKELTLELIASKESLELAHAAHHEAEEHRLGAALVKEQDCLAWEKELQQAQEEFCQLNEQILSKTDVESKIDENVRNLLSLQNELAAYMESKLSEESGVVQEQGSDEAKEISRSIKQALASTRKELDEVREKIEKAKDEANLVRVIAESLRSELDKEKASLLTLQQREGMASITVSSLEAELNSTQQEIEIVHKKEAETREKMAELPRMLQQAAQEAEDAKIAAQEAQEELRKAKEEAEQTKSAATTANIRLRAVLKEVEASKASERLALVAAQAMQESEETRSVGDSPRGVTFPINEYHALSKRVHEAEDLANERVVAALAQIELAKESESRSLEKLNQASKEMAQKKDALQIALERADRANKGKLGAEQELRRWRAEHEQRRRAHDTAKHAVNPVSTPPRTFVEHKGSYQEDEELLTDPKLHKTTNSMDQSSSAQKLQKKKSFLPQMPALLSRKAQT >Et_5A_042439.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:10838574:10839587:-1 gene:Et_5A_042439 transcript:Et_5A_042439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLPRHPCLLLLSAAAAIWGLAAVRCAPVYGPDYLVDGNQLVDMQYHMGPVLSASPTNLYLIWYGRWEPAAQAVLRDFLSSLSSPAPFPAVSDWWARTPRMYTDQTGANVTGAFAVAGERSDAAYSHGASLRRADVQSVIRSAVNAYPDPLPLDPYGGAYLVLTSPDVQMDEFCRAVCGFHYFTFASVVGVTVPYAWVGNSATQCPGKCAYPFAAAGAEYGGAGGAGQAVLRPPNGDAGLDGMVIVLGHELAEMATNPLVNAWYAGDTPTAPTEIADLCLGVYGDGGGASGLVGNVSRAPDGSSYNVNGVNGRKFMVQWLWNPVRAACYGPNASN >Et_4B_036398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21707799:21708430:1 gene:Et_4B_036398 transcript:Et_4B_036398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IDGPDKYDERILLVPWTAKKAAYGKFKRWVPPPPNPPPMSREEMLAKFAERKVNPPLCKCCYRAELERPPPGLKYCPFFRYPIALSWPHGPKSHYPNPKSLSDEVLYGNELSCLNPPPLLCQCGVRAREGVVPSQLGYGYFCGNTVGEDDEWGSDILKCLSISFNMGSCLVMW >Et_8B_059164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14732459:14735139:1 gene:Et_8B_059164 transcript:Et_8B_059164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATGGTIAAGAGRHRYCRRLLVALLVILQWFILPVESGGIPSTLDGPFPPSTRAFDGSLRRGSDDVPLTDPRLAPRARPPAAPEQIALAASGDAATSVWVSWVTGAAQVGSGHPTPPDSTSLYPHVARGGAAEVYSQLYPYPGLLNYTSGIIHHVRLRGLRPATRYYYRCGDSSALAGDGGLSEEHSFVTLPAPGSYPRRVAVVGDLGLTGNSTATVDHLAANDPALVLVVGDMAYADQYRTTGGKGASCFACAFPDAPVRESYQPRWDGWGRFMEPITSRIPVMVIEGNHEIEPQGHDGAVTFASYLARFAVPAEESGSNTKFYYSFDAGGIHFIMLGAYVDYSRTGAQYSWLERDLQRVDRRVTPWVVAAWHAPWYNSYSSHYQEFECMRQEMEELYIVLTLFSRDMMNRVFNYKLDPCGPVYITVGDGGNIEKIDIDHADDPGKCPSPGENHPEFGGICHLNFTSGPAKGKFCWDRQPEWSAFRESSFGHGILEVLNSTYALWTWHRNQDAYGENSVGDQIYIVRQPDKCLLQSTTPVQDAGLPSQMSSSSSANCAVASVQLLWNLFAMTCIVLISTVERTNIPQSLGLI >Et_2A_018848.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:939549:941432:-1 gene:Et_2A_018848 transcript:Et_2A_018848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCSFSSSRHQMSTAQRFDIHTCGFSKRSNRGDGAAAPRVAAAADARTGGSATCSFRAHPAPPVTQAVSWGAKPEPPSGGNVAGGGVWKRSSRAVKRAHEEDAAHVEEYGGPVVRAKRTRMGGDGDEVWFHQSIAGTVRHAAPGEGDEAEEEKVFLVPSAAAFPHGMAAAAGPSLAAAKQEELSKSPSNSPASSGGTDGGSSALPPPPPEAAAHAWTGAPAAAVEAMELVSALTACADSLAAYSQDAANYYLARLGEMASPAGPTPMHRVAAYFAEALALRAVRTCPHAFDVTPPRELTDGAVGDDDATALRVLNAVTPIPRFLHFTLNERLLRAFEGHDRVHVIDFDIKQGLQWPGLLQSLAARASPPAHVRITGVGESRQELQETGARLGHVAAALGLAFEFHAVVDRLEDVRLWMLHVKRGECVAVNCVLAAHRLLREDTGAALADFLGLARSTGAAVLLLGEHEDALNAGSWEARFARALRYYAAAFDAVDAAGLADASPARIKAEEMFAREIRNAVAFEGADRFERHETFAGWRRRMEECGFRNAGIGEREEMQGRMITRMFAPGNYSVQAQGDGEALTLQWQNQAMYTVSAWTPAGDGEAGGSTVSASVSTTASHSQQS >Et_7A_051967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3236428:3241261:-1 gene:Et_7A_051967 transcript:Et_7A_051967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSQTSEGDGDINTLRVLVATDCHLGYMEKDEIRRFDSFQAFEEICSLAEQNKVDFLLLGGDLFHENKPSRSTLVKTIEILRRYCLNDQPVKFQVVSDQTVNFPNRFGQVNYEDPNFNVGMPVFTIHGNHDDPAGVDNLSAIDILSACNLVNYFGKMDLGGSGVGQIAVYPVLIKKGMTSVALYGLGNIRDERLNRMFQTPHSVQWMRPGTQDEDSVSEWFNILVLHQNRIKTNPKSAINEHFLPRFLDFVVWGHEHECLIEPQEVPGMGFHITQPGSSVATSLIDGEAKPKHVLLVEIKGKQYRPTKIPLRSVRPFEYAEIVLKDEADVDPNDQDSVLEHLDKIVRNLIEKSNKPTASRSEPKLPLVRIKVDYSGFSTINPQRFGQKYVGKVANPQDILIFSKSAKKRQTTGEHGDDSEKLRPEELNQQTIEALVAESNLKMEILPVDDLDIALHDFVNKDDKMAFYSCLQRNLEETRNKLNSEAEKIKIGEEDIIVKVSECMQERVKERSLQPKEGARMTLSSPNLVDIGGKSGTAQSSLNSFSDDEDTREMLLGGRKSSGFTRPSKDVTDVAKRGASRGGRGRGTSSMKQTTLSFTQSSKTGLYYFGTDSFNADLITQICRSTATIRSEEVASSEEETEPNEVVENSEPEESLPQVGRKRAAPRGRGRGRGSTAKRGRKTDIASIQSMMMSKDDDSDDEPPKKAPPRVSRNYGAVKRR >Et_2A_017064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30591293:30594692:-1 gene:Et_2A_017064 transcript:Et_2A_017064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQQLADDKTAKDYNIEGGSVLHLVLALRGGQRLHIIT >Et_10A_001840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:968516:970276:1 gene:Et_10A_001840 transcript:Et_10A_001840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAACGKRPPKGRSCVEEWFNGQDIHSSASSGMGVAAECKETFLELQRKKAHRYVIFKIDEKGKEVVVEKRGAVTESFDDFMGSLPETDCRYAIYDFDFVTEENCQKSKIFFIAWSPSVSRIRSKMLYATSKQQFRRELDGVHYEIQATDPSELDIEVLRDRAH >Et_5B_045095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9517372:9529727:-1 gene:Et_5B_045095 transcript:Et_5B_045095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAFRAAVAAASGKPPTLLLIRRSDAALAAALRQRYRVVDSFASGQPLPAFLATAAAAAAAAPDPPRAAVVVSSGVVRVDAALLDAFPSLRCVVNTGSGFEHVDLGECARRGVAVANSGAVHSVDVADHAVGLLIDVLRRVSEAERFVRRGLWPVQGGYPLGSKLGGKRVGIIGMGRIGSLIAKRLQAFGCVICYNSRTPKDSVSYEYFPRVLDLATESDVLVVACALNKETRHIDVLEALGKDGIVINIGRGAHIDEAELVRALNDGRIAGAGLDVFENEPKVPEELLSMNNVVLTHHMAVFTPESKLDMCNHTIANLEAFFSGKPLLTPPSSSVRSFQSRSVINDEQLFHFKAVKLSKLVGLYNWSMALPPPPKKPHDSMSSRSKAAFAPTPLYTEETSIMSKPLSATTAPPPPFITCPCTSPWATGGGGGCCCCCCTTSIPAPMPGSTAAAHIAAVSSMNAAACMPWPKPLPLPLCMPPTTAWSMEEDEDEPFTKSMEACRPPAFWSLKLISTPWPLLSGYGWYPGAGIVGAAWSCCCRISIMPGLLLGTTTSYSGGAISGSGGVTASASKADLVGELMVNGGVAVAPPPLEWWNDWAELGFAPRRRDLDAGSRLWVLGSRPCAMSFFLMHEFQKFFTSLSVRPGRCFAIWAHLYT >Et_2B_020758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23361420:23365625:1 gene:Et_2B_020758 transcript:Et_2B_020758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGSFWDLLKPYARHEDAGYLRGRRVAVDLSFWIVSHSTAIRARSPMARKPHLRTTFFRTLSLFAKMGAFPVFVVDGEPSPLKSQARAARFFRGSGVDLSAVPSTGAEAESSATASPVKGRNAAFTRYVEECVEMLEYLGMPILRADGEAEALCAQLNNEGHVDACITADSDAFLFGAKTVIKVLRSNCKEPFECYHMADIEAGLGLKRRQMVAIALLIGSDHDLHGVPGFGVETALRFVQLFDEESILEKLYEIGRGIYPFLEGFDKPHIDDLPSPSTKSPVARSPHCSHCGHPGSKKNHMKVGCNYCLVDALENCMEKPAGFKCDCPSCDEARNLKEQIRRENWQIKVCKRIAAETNFPNEEIIKLYLSDNNLDRETGVPLLTWNKPDVEALVEFLTYKQNWEPSYIRQRMLPMLSTIYLREMASSPSKSMLLYDQYEFHSIQRIKIRHGYPYYLVKWKRATPGMISSESTKKSEMEEETNREVVVLDDDDEEATVASESPELLDEPDFPKVLTDDGCCFLLTDGDIGLVSAAFPKEAKRFQEEQRLKEAKSRAQKSKSKLPNSVFETPNGPRPSGVQRSIKEFYRSTKGPSIESGKQPVGESSTAKAGSRKSSDTDLSKNQPKSIRRRLFD >Et_5B_045383.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20534105:20536147:1 gene:Et_5B_045383 transcript:Et_5B_045383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPHIIWLCFCSSFLAVAKVEAAAGDGDHFVYSGFAGANLTLDGAAVITPSGLLELTNGTLRQKAHAVHPTPFRFRNGTSSPATARSFSASFVFGILCPDADACGHGIVLFVAPGSYDLAAALPSQYLGLVNATTNGDAADHLFGVELDTDQNNEFRDIDGNHAGVDVDGLVSVAAASAGYYYHDDNGGGGFRNLTLASGEAMQVWVDYDGEAKRVDVTMAPLKMARPVKPLISVGYDLSTVLTDVAYVGFSSATGSFNSRHYVLGWSFAMDGPAPSIDVAKLPKLPRFAPNKHRAKLAEIIPPAATAAFILSVAAVALLLVRRRLRYTEVREDWEVEFGPHRFSYKDLFRATDGFKDKNLLGVGGFGRVYKGVLPDSQLEIAVKRVSHDSKQGVKEFVAEVVSIGRLQHRNLVQLLGYCRRKGELLLVYEYMSNGSLDKGLYAGGKDMPTLDWEQRFRIIKGIASGLLYLHEEWEKVVIHRDIKSSNVLLDSEMNGRLGDFGLARLYDHGADQHTTHVVGTIGYLAPELGRTSKATPLTDVFAFGIFLLEVTCGQRPITQSSDCDQLMLVDWVVDHWQKGSLAETVDARLHGSYNAGEASLVLKLGLLCSHPLPNARPSMRQVMKYLNGEMPLPEIVPTQQSFEYLALMQGEGFDSYIMSYPSSLGTMTTMSCLSRVG >Et_3A_025408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29622311:29627059:-1 gene:Et_3A_025408 transcript:Et_3A_025408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLGADLLRGPHLLRAAVLAFAVAVAFLVGYHWPDSSPRLAFFSSALSGASSSSSSSRSPSVALSPNANVSFDPSLIPTHAAKEATPSRVFPTAHGRPPPTPAPPPPLLPPPPPARLGVVDENGAMRDDFDIGNDAGANDTDLAADEAVPQEPSNEGAGTGARVRIGKFPVCPESMREYIPCLDNEEEIKRLPSTERGERFERHCPAKDKALSCLVPAPKEYKAPIPWPRSRDEVWFNNVPHTRLVDDKGGQNWITKVKDKFRFPGGGTQFIHGANQYLDQISQMVPDVAFGSRTRVVLDVGCGVASFGAYLLSRDVLTLSIAPKDVHENQIQFALERGVPAMVAAFATHQLSYPSQAFDIIHCSRCRINWTRDDGILLLEVNRLLRAGGYFAWAAQPVYKHEEAQQEAWKEMENLTARLCWELVKKEGYIAMWRKPLNNTCYMKRDPSVKPPLCDTDDNPDDVWYVNLKACISRLPENGEGATPVQWPARLMEPPKRLQGVQMDAYSSKNELFKAETKFWEDIIEGYIRVFKWRKFKLRNVMDMRAGFGGFAAALVKRKLDCWVMNVVPVSEPNTLPVIYDRGLLGVAHDWCEPFDTYPRTYDMLHAFGLFSKEQKRCNISSILLEMDRILRPGGRAYIRDRKEVIQNIKEITNAMGWRGTIRDTAEGPYASRKVLMCDKPMVR >Et_2A_016165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21683951:21690129:1 gene:Et_2A_016165 transcript:Et_2A_016165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFGSPAPQNPFQTPAPAPAPAASSSPSPFQFNFQQQQQQQPQPQPQAAQPQKQQLTLCMKDGKPAGYNTKWEELHADTQKALLQIEDKIREYRDESERLDQCSRLYDSSISNVNFELDASRIAQELGGTTTVMEREKASIQELMTVVNEMMWNTEFAIRSYMMLRPRFTRPGGGAANGGSSNPSAGTASNQPVAVAPTIDFYSGVPKRPSLFMQQTVNRFECYLAECCKWIDELEQLVRMENNKRSSDSLESLPKVMSNVHDYFIFVASKVENLHQYVESMKTGYLNEQRRMGNGNDPFLEANRREAAKQEAAARRVHPTLHLPAPAQTTMQVAAPATSQPQQSSFPSVATSSSAFSAFATPASAPSSSSLFSTPVTPAPSGNLFGSSGQAQLTTPFGTASTPTLGSTPSPFASTSALGGTSLFSTPFGGGATASGSSFASVSKLFIGLCGLIFKLRQWKLQRSNLVAFKVLVLASLKGMNRVGET >Et_1B_014356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6684894:6686258:1 gene:Et_1B_014356 transcript:Et_1B_014356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHLVARMKRRDHLLLTDGAGAGALAVQSPMEPMEFLSRSWSVSASEISRVLTVGVGGRRSSNFVVDRLSGMLMPETLALAAAASGNNISPRKRTLRSKSAIPAHQGNHHTVHTIGRWFHHHRDAGSRADKARAERARVHAAVSVASVAAAVAAVASGAASPEADVEGVRMETALASATQLLASHCIEIAELAGADHDQVASAVDAAVDVRSPGDLVTLTAAAATALRGATALRHRAQREARNRAAVAPYDKAASGIGRADIWCKEGTLLKRNRKGALHWKQVSVYINKKSQVIAKLKSKHIGGAFSKKKKSVVYGVYDDIPAWPAPRGDEGAEACHFGLRTAQGLLEFQCESRAQRQDWVEAVKNLIRQVAGGTAQLEHSFEALRISAS >Et_3B_028287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12913712:12920256:-1 gene:Et_3B_028287 transcript:Et_3B_028287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQALLVTLAVVAVLAALPLAESQGESFPSHLLSNVSLQGRRPSRCAATIAVCATGSSRQNASAMTRRIAGATRRARTARSSPPQALLITLAIVAGLAIVPLVESQGSPKAAPWPCCNNCGTICSFRFPPQCFCSDVSPRGCHPACRNCEKFTSSNGATLFQCQDLITNFCQRRCTPAAEMKPQTLLLVLAVVAVLAALPIAESKGENSSIFLPMSTSVDESRLPCRPKPTSWPCCDDCGVCNRKFPPDCFCNDVSSRGCHPACKDCDKSTGSDGVTLFRCKDLISNFCQRRCTPAA >Et_1A_006868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28443133:28443377:1 gene:Et_1A_006868 transcript:Et_1A_006868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQCLTVSEDGDLLVYNGIPNHILFYCDNKGKLLRKFQWDRVHSNPTRQWFKESLVQARQGSSETAKILSRALERE >Et_2A_015311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10936750:10942922:1 gene:Et_2A_015311 transcript:Et_2A_015311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NKASTAHNAQLGGPSELLARRRKRPAISAPIAVLRLPNPRRLLAALLEAPRSARDTPFLGAGGLEHRTPHESPLAEIRSGTEYYLNPEYHRYYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPVVVAFSIKCTYTQHLDKVLEEAAATFYPHIKFVRVECPKYPGFCLTRQKSEYPFIEVFYSPEQAASQGKGVDPNVTKYSVKVLPFNYDQSVYGFREYFKKHGFSYSETK >Et_7A_052397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7673572:7675220:1 gene:Et_7A_052397 transcript:Et_7A_052397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMYHRCTFVSRGFCVGFFVSACGFCFVPSFLTDLVWLVWSACVADGYGNAKFTEVAQGFHPRGIPKSEARGGAGEAVKNVKRWLAKMDKAVDYDFYEDEELRYSRFKSPFGRRPLVGRRPRLRKNEGKRTLRLVGSTTRTTCVNVRRLHSVILTGETGTLRTSNRRAV >Et_6A_046774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20454157:20456530:1 gene:Et_6A_046774 transcript:Et_6A_046774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFVLGLLACAALASSIAGLRVELKRVHSGPGAATASEIMRRDRFGRELASLNGTLTAPIDTQGHGWRRCVRHEPVNRRERHGVAGHHRHGQQHHHDAYDPSSSSTFSELPCDSSLRPGLCDVDVAAAPIDGGVPTYTEGWAFVSMGVENFTFGSAPTGQGQAVAVVPDIAFGCINESSPGWDASSGMVGLGRRNQSLVSQLGAGRFSYCLTPFEDANSTSTLFLGPSGYYLNLTGISVGSTPLDIPADAFALTSGGEGGIIIDSGRVGCLVPAHV >Et_5A_042299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8525253:8530921:-1 gene:Et_5A_042299 transcript:Et_5A_042299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LQITGVPMISHKLSSYLSIAILLPAFLTPLASGDILWQECVRLPHPPPLFAVDTAGTVPDAVYALTLCRGDANATACAACVANAFRDARQVCGNMMDVTIYYDPCYLRFSNQNFLASIVNNKKLIMPNWENATSPAAAFNAAVGVLLKSVVDYVVQNSSARFGTGVEDFDASNPKIYAMAQCTPDLSPADCRACLHQIVNVMPKHFGGRQGGRILGLGATTGRTRIKASTILAIALAIVSFALAFTLVWFCVWSRRKPAGSLPYLSRMESMQSINLPVLDLSILKEATENFAEKNKLGEGGFGAVYKGALPDGQQIAVKRLSQGSTQGIGELKNELVLIAKLHHKNLVRLVGVCLEVQEKLVVYEYMPNRSLDTILFDPEKSKNLDWTKRFKIINGVASGLQYVHEDSQLKIIHRDLKTSNVLLDFELNPKISDFGLARLFEEDQTKDVTNRVVGTFGYMAPEYVVRGHYSTKSDVFSFGVLVLEIITGRRNYGSYNSEMSVDLLTFIWEHWKQRKILDIVDPLLSSFSEDQVLTCVHVGLLCVQESPLDRPTMSAVNVTLSTDSSTLQTPSKPAFCIGSINNDSEPCQRAYGATDRKPAVMSPNEVSLTELEPR >Et_6A_046204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:35327:41407:1 gene:Et_6A_046204 transcript:Et_6A_046204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGEDVRTIAELSIDDYLYPDADLYNVSGLPPLLLPPPTCDLYHGRWVFDNTSVPAYREKECTFLTAQISCLKNGRPDDTWQYWKWQPNDCSLPTFDARRFMEAMRGKRLMFVGDSLNRNQWESLVCLVQPILSKGRKKVVKRGNFITFHAKEYRATLEFYWAPFLVESNSDNPNFHSIDTRIIRPDRIQAHAKNWKGVDYLIFNTYIWWMNTADMKVRRPDSRFWSEHDEVPRIEAYGRVLKTWSDWLENNVDPARMSVFFMTISPPHLNPELWGNPNGIKCVNETLPYMNYTQPLDLYHDMRMFDLVAKVANSMKRVPVTLIDITKMSDYRKDAHTSLYTIRQGKLLTPKQKANPDKFADCIHWCLPGVPDVWNQILYTRILSKSSTTPHHPRSLLRLSLPSDEKRGVNQIAMHEGRQSCMTKEVLVNIKGAVRH >Et_2A_015370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11721932:11735645:-1 gene:Et_2A_015370 transcript:Et_2A_015370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSPAPAPSGVRAPSYPPPVHEPQLTRRNPRSNLSVAEMAALLLQVAYDGDIPQVKTRAKQLRKVGMGVEKAMAEIKAPWYKGHGPLHMAASGGKVAMCRFLIKDLKLDVNAVCDDGVTPLIYALYGSASTAIARLLLDHHADPNKAANDGSTPLHTATIRDNYETAELLLSRRAYVDPVSKCGSTPLYIAARNGNARMLELLLRHQAEPNGVVHIERTPLKEATSACSLEGLELPSEACADTMTPLIAAANAGSTDCVKCLLKAGADANIPYRNSTMPIIIAAVRGKQECVEVLLPVTTPLPRIADWSAAGIIQHVKLTSSKPQVENDGSDFEAEADGAFHKSDYAHALTLYTMAMENNPNDSTLYAKRSLCFLQTGDKGKALDDANSYKDMQPDFSKSCYPQGAALILAKEYGRATEALMPPPHPQIVKLPHLTLGFGNPSPGAAANLYIDDVLAHLLRAAHDGYHPPIKKFAKRLKEKWGMSVDEAVAEVQAPWSKRHGPLHMAAAAGKVKACRVLIEQFKVNVNATGTEGATPLHFAIYDSGATNVVKLLLENGADPNQGYSNGVAPLHIATVRGAYEITELLLSKGANVDPMWEYKTPLSIAAQRGSARMMELLLHYKADPNSYITHTPLKAAVLGHSEIAVELLIEAGAHVNVGMPDTPLIAAANAGLTDIVMCLLKAGADPNITDDVGITPLERAALQGHRECVEALFPVTNRVAGFPDWSIDGVIQYTQFESSEPQDLFHEDDVSVEAEGDAAFFIRDYSYALTLYTMAIEIDPDEPTLYAKRSLCFLHTGHEDKALEDAETYKYKHPDLSETFLSKEPLVLVMILKLRMVLWRTLLSVKRCWKSENIGPPGYVTVMLRVST >Et_3A_025056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26439492:26441612:-1 gene:Et_3A_025056 transcript:Et_3A_025056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQGPPKHQNSYAWKPNLGRKINETEPGGRFRPLSEITGVCQRCRDQIDWKRRYGKYKPIVEPAKCQKCSKRNVRQAYHNVCRDCSKNLGICAKCCTNVKQLVGRDVNEEEDERRALDEAIRGARERERRTLLRIMNKDKGKGGESESSVPKIADRSREGDLFPVESLDEYAEQAREEDDDSDEEARDFVEE >Et_10A_001888.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:63466:66171:1 gene:Et_10A_001888 transcript:Et_10A_001888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVVLVRAAACLLLLAVGAAARIVPVEYLYPPFNLTYFHFIDTNGVFLLSPNGNFSAAVYNAGSIAGSDTQSRFFFSVLHSASRTPVWTATAAGSTILESVVLSLSARGLSLFDPSAARPDPAWSTPRLRRPAAALRLLDTGELRLIDGGNATVWSSYERPTDTLLPGQELRVGVPLTCSTSGQDLTPGAYRLVLTANDALLQWANSGGDQVTYWALSSDPASVQESNQAVASMAVNASGLYLLAATGRGAVYRLLFPNEGGESRILKVDPSGRLRAQTLTAGAGVPTAWTAPGNDCDLPLPCRSLGICTYSSGGNNGSTCGCPDAFSTYSSGGCAPADGSALPAAEEGGCGNKAYSYVSLGAGIGYLPNKFAVPETSGESLPACRDLCSANCSCLGFFYRNSSRSCFLVRNQIGSVFRGDVAAVGFIKTHPKGSSSSSSSLSFITIVFGIVLPTVAAVCIAFLLYAMGAQWLKRRRPDLKSGGKNGSSWFKLAAMLSSRASSSSSTRRASGGGDDDDEEEDVLIPGLPTRFTYGELDAATDGFKWQIGCGGFGSVFRGELPDRSTVAVKRMNGLQGTQQGRREFLTEIAVIGNVHHVNLVKLRGFCAEGARQLLVYEFMNRGSLDHSLFRTGAENLLEWPARLRVCIGAARGLAYLHAGCDRKILHCDVKPENILLDGHGGVKIADFGLAKLMSPEQSGLFTTMRGTRGYLAPEWLMNAPITDKADVYSFGMVLLEIVRGRKNSKKEEEEQQQNQMNSSSSSSLEIKGGGGSSYFPALALDLHEQGRYGELVDPRLQGRADVEQVARVVRVALCCLHEDAALRPNMTAVSAMLDGSMDVADPRTELLRYLKMYGRGLVDVRPLPLGWMDDGGKKGSDTTASSWSPPSCVSAQQLSGPR >Et_5B_043430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10237225:10244170:-1 gene:Et_5B_043430 transcript:Et_5B_043430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECAAKGLAAEPCAGDGAHRRCGSCGAVAYCSRAHQILHWRVHRDECERLAKQMSRVDMLSQFPFTFSMEPPAQNHAFPTRCFFLLSMKLHQKGLWKPECICGPEVASLEDLSNVDEWNLRSSLCPCTDPENHVPASLSTWEDYYHWRSLPLDSPVAVLLHWPLTLYHCLQLSHFQSSRSDLDTLCIHYLGPEKELLQLAVFGELRALFPGVHVHIELVGPTVPKSRDGEVISIPRYAHCSDESCCCKSGSEDLTSSPVTLKLWNGFYHERYRDIMKDSNPHVIFAPNAGVAAYPSWMPTIEIIRGAGIPAIFTDFCEEAAHLASCCISSITGEPLRIPIQVNPFRQPISVENSALFLPCYSNCFGCL >Et_10A_002064.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:2091249:2092619:1 gene:Et_10A_002064 transcript:Et_10A_002064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDHGLRNTALAAVGQLHHHACNFAAKAKAQPSAHVVAADCALDDDTLPGVRGAWTRAEVVRYGELAMAAYEEFDGDEWSPYYGTCRHGLRRMVPGHGYVATTFIYATIDVLPEWVEPVLGADEWDDSAHWIGYVAVAGDTRAGGVRDVAVVWRGTSSRVEWAMDVMTSLVPFGDKKAKVAKGFHGLYTDNNEKKLDEKNRPGSELGRVSAQEQVAGELRRLLAHFRDERGEEVRVTFTGHSLGGALALLAARDAAAAHPGLPVRAVTFGGPRVGNRAFRDSLELEGGVAVLRVVVAGDPVPWLPCLPAANLVALPLTLLPLGKHVHGSARRLAPSWAYLHAAGDELVLDVASSKDEQGRHILKQEYDLQGRHNLEVYLHLLDKRRDVALVNRSSSMLSKEMGIPERWHQTANKGLKQDAQGHWSVPKREEKDVPKANDKLELPDELVLYSCGAL >Et_5B_044651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4311520:4311935:1 gene:Et_5B_044651 transcript:Et_5B_044651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TKYTKRNSVAFALSNSKQTMRVLAQILLELIKMKNSDRDASDHHGGTGSHPLVRLNPHGCVKSMHGEAVRRRPGRSARRAAVAEAHGRAHAALHPAGLIVFVCSYSSTVATVLVTTID >Et_3A_026075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4033306:4034247:1 gene:Et_3A_026075 transcript:Et_3A_026075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPPVAAAPPIGLHLLTSVAPAVAIAAALVCGAGPVAVVPAAQANPFVRAPPLQGKPFANTPYAESQKLLLGLDKLGKIRPCPSTNPGCVSTNPLGSSSSFASPLLIPESSAGDKAVVSLRQAIEKTQKNVSFNVDEDTPYGHYMEVEMDGGVGRDVMEFLVKKDAGVVAYRCLATKVTFVYPFTTAVGDSKGQKQRIAAIAQELGWYAPDIQSSMDPGVDYPS >Et_3A_024141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17767605:17782483:-1 gene:Et_3A_024141 transcript:Et_3A_024141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGGGGKGEEAGKVMGPLFPRLHVSDASRSGGPRAPPRNKMALYEQFTVPSNRFSSSASARAGAGGGLVPSTSASQVYGYDRPMFQPFNVPSSEPAHSSKKVNGNSMNHQISSSRNESGRLSSQTNNNGIYASESTAECTSQHRGKNVTKNSSGKMLANDDEFMVPSIYSPRCPQQSTQEHVEVQEKCHNAVNKHLKRINVCDIRFMRSPKAKEKEPAQALKIVEVEGPSVQVSKDRFENKDAKACSKRDKVSNINSSSKPHVGNSVREATRRNGSFMRTQNPAITGDTASCNPCTSIENTNMNSDLPKESFKEAGSKRKRSPGHHEKEQNDDLTDSVECIPGWEISPDEIVSAIGQKHFWKARRAIQNQQRVFAVQVFELHKLIKVQKFIAASPHLLIEGDPCLGNALVGKKNKVPEETLKAQTLSIANKVDIHPSLDQSDLSKENTEGNLPSSSRGDGFDGNHHGQVTTNGDLTSNPTSMSAAPDNEQNNWCVNPPQNQWLVPVMSPSEGLIYKPYAGPCPPAGSMLAPFYANFTPLSLPSTAYGVPMPHQQQHMAPPCAPAMPMNYFPPFSLPSMNPAAPASAVEQGSHATVSQPNGNVQSRISCNMSHPSGIRKFYASRDSEPQASSASSPSYRLQGDGSGPVSFFPAASVPNAQPQPSSGSWEKQSHVIRVVPHNAQTASESVARIFRWRGNKMTCGGGKGEEAGKVMGPLFPRLHVSDAGKGGGPRAPPRNKMALYEQFTVPSSRFSSSASAAGLGPSTSASQVHAYDRPMFQTFNTPSKEPAPSSKKINGNSMSRQSSSTGNESGRLSLQTSNNDVYAAESTAACTSQLRGENVTKDSSGKKMANDDEFMVPSICLQQSTQEHEEVQEKRYNALNKHSQRINVSDVRSVRPPRAKEKEPVQALKIVEVEEKGPSVQVSKDKSGNKDATVCSKRDKVSIINHSSKTQLGNSERQARSMNGSSLKTQKPTITEDTVSCSPCTDIEATNMNSALLKETFKEAGSKRKRSLGHRDTEQNDDLSDSGESIPDWEISPDEIVGTIGQKNFWKARRAIQNQQRVFAVQVFELHRLIKVQKFIAASPHLLIEGDPCLGNALVGKKNKLPEETMKAQTPSIANKVDIHPSLDQPDLSKENTEGNLQCSSPDDGLDDNHQSQVRTNGPLTSNPTATRAAPDNKQNNWCVNPPQNQWLVPVMSPSEGLIYKPYAGPYPPAGSLLAPFYANFNPTAYGVPMPHQQQHMAPPGGPAMPMNYFPPFSLPVMNPAAPASAVEQGSHAAVSQPNGNVQSRISSNMSHPSGIRKFYASRDSEPQASSPAAPLTGSKVMEVAQFQNAQPQLSSGSHDKHSHVIRVVPHNAKTASASAARIFRSIQIERQQND >Et_9A_061762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16167202:16171621:1 gene:Et_9A_061762 transcript:Et_9A_061762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVHLRPLHSLPLALASPPPRTSLKTAPNLLHLPVKPRARGRGQGSRLALLVCSASSPAPGTPSTSSSSVGDKAREAAAKWVEWIPRAARGGAGPEQVLRLISGATATPICQFVDSPRTFLHAVDPRVKLVWLLALVVLPARSNIYMRFGLVVLLALLSMWVLPNHVWKDQLGRVALLSGFLFIMLGFGADGAPSLVQTRTPPPSVLGIPNIPCSTSGYSYTIMKLGPLQFTRKGLSVASTSASLTFAVCLSFLAKFDLTLHYHYNIFQSASLCLTTTTPEQLASALWWFMFPLKYIGVPVPEIYLTRQVSMVTVEVMVRNSALAIVARRIIWKKLTTMETIDTVDVNILYFWLLCFISEFFQAMIARGFRGDPSNHKIYFLRESSFGIADLFSVLCLFALIGLASFSDQLV >Et_4B_036233.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12412539:12412796:-1 gene:Et_4B_036233 transcript:Et_4B_036233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEACINGMELAGRSGVQRLILETDCQQLVTLWQSGESCRSVITPHIRRMKGLESIFDEFKFVFFLDLVTGLRMNALDKYPVIV >Et_2A_017333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33312258:33315401:1 gene:Et_2A_017333 transcript:Et_2A_017333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPEHAAEAVGSPTLSIYKASRRIKRRESTLYNALRSVAEDAAFVAEVAALWPALPLVANLRCGLWYAPPRAFAATCYFKSTDGHAGNWSFSTARLNLHLALLAGERGGCIIVDSTRKGKRFPDSMSKTIPIWCCVLNRAIERHRLRAINKGSRVNDEVSAALLNGEAEKTSCSSDWDSSLHLPVWVLETEKNAIGERIEKWTDQFESCGADIQSLVLGLRKPLRPLWISPRTRIWLNEVPEHESWDFTPIILISASASDAVSTLRMSSDFSWHYIPGAGDDEESWARGLTPTLFWKHSYDLLDAGPDLCNQLVADLVERDRVYRAQRSEYSPQIAVKPMKTLDGPNCGDDHITGVWPMNSNSCISTTDSQYSTNGNLLFWIGTSNLAVSSTFQVADALVGVDCILNCDSTSTLPSNSSENSYLELSIVGSKDDRFSLNKNLPKAVDFAKRNLIAGRKILVCCQNGEDISICVALAIVARLFDDSGCFDNGNSFVKREITKLEMRKRLVFICKFAVNARPSRGNLKQVYGFLCNEKDQPSCLA >Et_10B_003347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19028550:19031165:1 gene:Et_10B_003347 transcript:Et_10B_003347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKKSDKKAVLDFAAWSFNITTSVGIIMVNKALMATHGFSFATTLTGLHFVTTTLMTVVFRWLGLSQPSQLPLPDLIKFVIFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDHVHYSRDTKLSIMVVLIGVAVCTVTDVSVNAKGLIAAVIAVWSTAFQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFVDFLLTGKRVDHFNFSSLALFFLTLSCFIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNLQVVLGMILAVLGMMWYGNASAKPGGKERRSVLPVRSEKHKGDSEEKIGAEK >Et_3A_025525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30456246:30459304:-1 gene:Et_3A_025525 transcript:Et_3A_025525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVMPSSSPSHTASDLARFAQAASKPGGGGGSGLGSMNVEELLRGMYNDMPTPGPARGGGDRPMSPAPAPEVGPRRTAEEVWKEIHGGGGSAGTVAAAAPPVVGAGGGSEMTLEDFLAREGAVKEDEIKVPGPSAPAEGQAAMGFLSGPERLPGGGGGRGRKRQLMDPVDRAAMQRQKRMIKNRESAARSRERKQAYIAELESLVTQLEEENAELLREQEERHKKRLKEILERVTSVTVRKKPSRDLRRTNSMQWSALYKLQS >Et_2B_020689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22745127:22748908:-1 gene:Et_2B_020689 transcript:Et_2B_020689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFSRASGGPNFEFAFNSVNFSDRVLRIEIVAGDDAPGAKGAAGEGCSSLADWARHRKRRREELRRGKESGKYMSDSTNCKVEAEECDAYEEGTEEPVDMIEESPPGIGHDGEDGESSDSSWSMECTQVLRVKSIYISSAILAAKSPFFYKLFSNGMKESDQRHATLKITASEENALMELLSFMYSGKLNTTQPTLLLDILMIADKFEVVSCMRHCSQLLRSLPMTTESALLYLDLPSSISMATAVQPLTDAAKEFLANKYKDLTKFQDEAMNIPLAGIEAILWSNDLQVASEDAIYDFVIKWARAQYPKLDERREILGTRLLPLVRFCHMTCRKLRKVLACNDLEHDQATKCVTEALLYKADAPHRQRTLAADVTTCRKYAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMDQQSAFHCFGLFLGMQEKGSTSVTVDYEFAARTRPSGEFVSKYKGYYTFTGGKAVGYRNLFAIPWPSFMADDSLFFIDGVLHLRAELTIKQP >Et_5B_045714.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8014578:8015045:1 gene:Et_5B_045714 transcript:Et_5B_045714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSCLERPRVTAWDHDDDWGLPAERSGEARRTATGNGGVKVKIRMTKGQLRRLLESAGHGGSTTDEDVVAEVMSMGAVRVEVVSAAGRRQPKRLDTIQEDDLDE >Et_6A_048034.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4146511:4147968:1 gene:Et_6A_048034 transcript:Et_6A_048034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQAMPTEQQTVVLYPFPVVGHVVPMVELAEVFLRHGYSVTVVVVRPPCGMPTGFTADAIDSIVASSNPSISFHFLPQIIPGPAVRVSVDKPPQFVMIELMQLHNVALEVFLLSIPRRRLHSLVTSIFTSHAVEVAARLRVPVYTFFAAAAATLAVAAQVPALLAGRQTGLGELGEEPLRLHGVPPIPTSHLMVSLLRHPEDHLCRAMADVLRRCTEADGVLINTFESLECQAVQALRDPQSCAALRRPVYCVGPLAGAAPAAADHHDCLMWLDAQPERSVVFICFGSGGFLSAEQLREIADGLDKSGQRFLWIARKPAGDDGDCPISTILPEGFLERTKDRGVVVESWAPQVDVLRHPSTGAFVTHCGWNSTLEAIKNGVPMLCWPLYAEQKLNKVFITDSIGVGVELDGYSAGFIRAEEVEAKVRLVLTDSKVGRELRAQVVARQKDAHAALEDGGSSQAAFLQFLKDVKTSSAPDESDVAL >Et_2A_016548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25970245:25976934:-1 gene:Et_2A_016548 transcript:Et_2A_016548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDNGSGDATPGSPSPTHGGRLRHRKRSSEVPPDVNKSNGANLLAYDKNKYKSMLVRTYSSLWMMGGFVFLIYMGHLYIWAMVVVIQIFMAKELFNLLRKANEDRQLPGFRMLNWHFFFTAMLFTYGRFLSRQLVNTVTSDKLLYKLVSGLIKYQMFICYFLYIAGFVWFIVTLKKKAYKYQFSQYAWTHMILLTVFAQSSFTVANIFDGIFWFLLPASLIAINDVAAYFFGFFFGKTPLIKLSPKKTWEGFIGASVTTMLSAFVDLSTGWLHCDPGPMFMPESYDLPGWIPQWFSWRQVAIMPVQWHALALGLFASIIAPFGGFFASGFKRAFKFKDFGDSIPGHGGFTDRMDCQMVMAVFAYIYYQSFVMVQDLSVETILEQVLRSLTYEEQHDLYEQLGNLS >Et_2A_014978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24367695:24369053:-1 gene:Et_2A_014978 transcript:Et_2A_014978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHTPRHHSGPPLLTKRIVFFALYALVPLALIHYLLSLPSPPPPPLPSTTAAAPKPQQPAAARKVNKPAAPRCDYKDGEWVRSEAAAPLYNGTSCGGTIKAGQNCEAHGRPDAGYLRWRWRPRTAECALPPFDPAEFLRLVRGRHVAFVGDSLARNQCESLVCLLSSAFPSRLVRGAGGGDQLRKFRRWAFPSHDATVSVFWSPFLVNGTEKAKGGGSAGGLNHNRLFLDQPDERWAAELPGIDVVVLSAGHWFLHPAMYYDRGAVVGETGFFGVFRLAVRNALREVVVRAARAEAPTRRPRLAVVTTFSPAHFEGDWDSPRACARTEPYKPGEREMGYMDREMSSAEAEEVAAARADAMARGADVDVEALEVTRLAGMRADAHPGPYMNPFPFAAGERDRVPNDCVHWCLPGPIDTWNEILLQVVKRWANGVGAAASSSP >Et_2B_019221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18150876:18151955:1 gene:Et_2B_019221 transcript:Et_2B_019221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGARDMSHESLYQDRKDVLSPPGHFTTPPPQQQQQQQHLECFSDEVDSRGSAEQKEPAGTLAVVSSGGGDGASIEASRKRRGRPPGSKNRPKPPVVITREAEPASAMRPHVIEIPCGRDVAAALARFAARRNLGICVLAGTGAVANVSLRHPAPGGGVLASGPPACFVFCGQYEILSISATFLPPAMSAVAPQAALAAAAGVSISLAGPHGQIIGGAVAGPLYAATTVVVVAAAFTNPTFHRLPADDDATVSVSVSLSAGSGGDNATDEQHHGRRQQQQAAEEPQQEQHHHVVRRQAPPHLAAPVSAAQPMESCGPMPIYACHPPPQEVMWPPAARAPHPPPPPY >Et_7A_051249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16077192:16077881:-1 gene:Et_7A_051249 transcript:Et_7A_051249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPRSPWPDHKRLAPPAHCFAYYTTMASKAEMVVEVKSPASKFWAVLRDSTELFPGILPEHYKSIETVEGDGKSAGTVRLVKYTENAP >Et_10A_001340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23543627:23545935:-1 gene:Et_10A_001340 transcript:Et_10A_001340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEATPKVPAGANMMVLQSPIGLRSVVSYLVVFFIVASSITFLFNRGQEAQVRMVVEHGHQETQVKVGEDEHHDQQLRGKEAEVQWRADLQDSSEECNWSTGRKDVMYQHWRWQPNGCDLPRFNATKLLEKLRDKRLVFVGDSVNRNQWVSLVCMVEASIPDNKLKARIFNDSLISFKAFEYNATIDFYWSPLLVESNSDNPIIHRVAYRIIRADRIEKHASVWRDADIIVFNSYVWWRKQKNETMKVKYGSFEDGDERLDEVEMMDGFEIALKKLTEWLGENIDKNKTRIFFAGSSPTHVWASNWGGEDRNKCLNETEPIYKVGYKAPTTDYSMMAKAKSHFVTLEKKGIHVQILNITELSDYRKDGHPTVYRRQFVPLTKEQIANPASYADCTHWCLPGVPDVWNEFLYGYLMYR >Et_5B_043603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12442057:12444863:1 gene:Et_5B_043603 transcript:Et_5B_043603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGSERARHQPGGEMERAKQLAGKESTTGELYRRRVVQVGVHDVFRAVPLRLICSAAATSSFNLESRNNKKSEEVQNENFILDSTRIFMKAFHLLLFNESFIFPECILIFGLILLLMIDLTSDQKVFNFFSYTKTSKTYSIDNSINDTFIKKMR >Et_1A_006024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16792872:16797046:1 gene:Et_1A_006024 transcript:Et_1A_006024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGAGSSGHECSFKILLIGDSAVGKSSLLVSFVAAAQLDDDIAPTIGVDFKIKFLTVGGKKLKLTIWDTAGQERFRTITSSYYRGAQGIILVYDVTKRESFTNLAEVWTKEIEANSANKDCVKMLVGNKVDKEDERMVTREEGLAFAQESGCLFLESSAKTRENVEKLFEELALKILEVPSLLEEGSSSVVKRNILKQKQESHAKRGGGCCQ >Et_5B_044173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2182803:2183502:1 gene:Et_5B_044173 transcript:Et_5B_044173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNAVEGRRADQAVAALVCSDKSAFYGCAFHGLRRAALLPPLPRHRRRRLRHRLRPVRLRRLRARVRRAAGASAAARVGDRARARRRAQPPRAPGGVVFRGGAVLGTGAGGRTYLGRAWNRFATVVFYRTRMGGAVVPQGWQAWNAGRDVVVTSCTVHKGRETMRSFGFRSDVTSWMRRARIGDDGKSGLGEALGEEEVKRFVDYIKFIDDGWLANQP >Et_1B_013974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2607031:2611125:-1 gene:Et_1B_013974 transcript:Et_1B_013974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGGNSKERMLRSFLAKLPRVVGSETGDFTPSYVTLTELKSRSPYRWCMQHLDHVGKCVVAGRVAKYKMLRDPSNVVFNMKKLIGKQFNDPQIQDMRERVHFRIIEGPSGEAWVEIHGTKFSPVEISSAIFEKLKDTVLLCQYYHKLHVVISVPAFFSEHQREEIKLAGTKAGLDVLEVIDEPTAAALSCTTKGIVVVFGMGAGSYSISILHVPSAGVKDIEVKAQYGDLVLGGDQFDNMLLDHFVSKINELHSVNIRGDEYAMAILAGVVEQIKLNLSDQPEATVSIPFTASAEGPVDLNITISRTEFENLVDNLIGKIKHKCQSVLKEANITDKDISEIVLFGGMTRMPKVQGIICEVFGQHQVSRVNPEEAVAIGSAIQAALFVEEHREVCEDVIPVSIGIESVNGTFVRVIPRNTTLPAKQIITIPAWCADGERLHVRIFLGEHAMGRGNMLLGEVQLINNRSSYQGSVTFELMLEVDKDYVVNVYATDADCPFRAADDGRKLLKTITIDRNVMCKHHVYKVARDALLSWPMLVSEVNDPVRKLARYVLNTLGDVLLIRRDEIPEGLYENAMNELADLQRALDADDVTLNKVVSAEAMVATVLDWTPSEHVQSDCSDSENEDK >Et_6B_049829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14112478:14114498:-1 gene:Et_6B_049829 transcript:Et_6B_049829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAEAVQWWEEWQMRLLVLSSLILQYFLYITAVLRKHRTAPWFKSITWLAYLVSDAIAIYALATLFNRHKKQEWVLSTEGRTAGLELLWAPILLLHLGGQDGITAYSIEDNELWRRHFLTAASQVEVCTYELTFVYLLPQITVAIYVFRKSWSGRDKRLLQAAILLFIPGILKCLEKPWALKRACISSMAISLGSRKDEDSEENSTGSTEFIIDSLEEYVKAASEYVKVENNKKEVPDDHQVEAVPDNLFVDLPYPYSVRLKNIKYMSQRRNKAHLLVQSSLSRMFNRLYTKYKVSWPLIRALLVVLTFVAIRLFHMSHREAYDVADVKVTYALLCCTAALECISVITMVCFRSMPKAPWPDQVAQCNLISYLTRNEKQRSSGAWHRAALRLPVASPSSIHGHIYCEWEKHIKDVTSYRNFNDSRGQCTVLKIEECGGKEKIKSSLRRPFDESVILWHLATDFCFFDRVDTGREETYHCRGISNYMAYLLLMNPEMLMPGARRRIFWVAYDQLSWIAQGDRGWK >Et_5B_044009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18913849:18918962:-1 gene:Et_5B_044009 transcript:Et_5B_044009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ETRFLSPLSSSSKPYPRLAVGDGLLTGAPPAGYPAPLPSSSSSLTPGARSRSPRFPDRSIDRRRIQIGAMSKARVYADVNVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKAIDFLDKLLRYDHQDRLTAREAMAHPYFQQVRAAEISRTQQADTGRLHIWGTQRKQLLLLQQ >Et_5B_045070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9174468:9181520:1 gene:Et_5B_045070 transcript:Et_5B_045070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAPSLFHHTRPHGHHTRQRVARAPHRARGRKHHRAALLDRKPATNNSCGQEEPRSISMSSAPLLGAGGSAKGDDAGEQRPAPTWLGRLVDTAEARTQLGFAVPMVLTNMSYYAIPLVSVMFSGHLGEVHLAGATLGNSWATVTGYAFMTGLSGALETLCGQAYGARLYRMLGLYLQSSLVMMAAVSVLISVLWCFTEPVLLLLRQDPEVSRAAASFVAAQIPGLFAFSFLQCLLRYLQTQSVVVPLVVCSVAPFALHVALAHLLVNVLGLGLAGAAAAISATFWISCLMLLAYIVRSEAFAETWRGFSVDAFKYVLPIVKLATPSAIMVCLEYWAFELLVLIAGLLPNSTVSTSLIAMCTSTEAIAYMITFGFSAAVSTRVSNEIGAGNVDRAQNAVSVTMKLSVFLAVSFVLLLAFGHGLWAGLFSGSAVIVSEFAAITPLMTVSIVLDSAQGVLSGVARGCGWQHLAAVTNLVAFYFVGMPLAIFFAFKLKFYAKGLWAGLICGLTCQFCTLLVITIRTKWSKLAEAMQEEKANYVA >Et_2A_018281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21340671:21341819:1 gene:Et_2A_018281 transcript:Et_2A_018281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHQDGQLLVLGGEEVIGNVEAGKDTSGAVDDDVLGGDPGGDVKARRGGVRPVEALYTAVVVDAEERLDLPKTASFSTNNELHFHFHNSTHQTRNWSIGTDFVSELPGYI >Et_5B_043487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11111076:11113479:1 gene:Et_5B_043487 transcript:Et_5B_043487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGASWPSMAPPVFSSGSRFGDGSSALRGCRHEGIKIELIFSKKLTLSDVGKLNRLLIPRLNAQRFYAMALRAMDDDGVSFLRFEDSTGVIWHFRCAFWESGKTCVLTKGWMRFVKEKKLSDGGIVSFYQSMGGDSDIKQRFIYFKKKNHNSVPHHVLPAIIAPSGTLNDNRLAFSPSNHYAATMDLKPVSNDGSMGVEVVPPNLPILLPSMVPQEAALGIGLGPAKKRMRLFGVDYNIPPHITRDEPFPRHMGSSSGEWGHGAELGQRLDDNVMWSRGPFQHLQKVLGDADHWHPNWEDLYVSAREVSLQWRAMVENYDH >Et_5A_040168.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:18574813:18576368:1 gene:Et_5A_040168 transcript:Et_5A_040168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSLAKPFCGTTATPHLSRRRRCQLAANNTKESMSAITARLPALKKPGKPPPPLLSRPKLPAPGVPVPTTISVDTAGNRDSSSKKPPPESVPSLPSSSGAGDVLRLLDALRLPPDEELYISLLRDCADAAEVAAVHAHIAGRCASGGLPLPLANRVLLSYAACGDIGAARRVFDEMPAKNGMAWATMVSAYSDRSLHNDAMRLFAHMCREARGLANDRYAHATVAVMRSCACVGERRLSEQVHALVVKLDRVRGDVGSSLVQLYCEIGGLHLRARQVLVMMMQHHCQEPVPEAAWTSLITSCHRDGLLNMAMDVFGDMVSAGVPKSSFSLSSILAVLAESENQGCGGQQVHADALKRGMDTNQFVGLGLVHMYAKQGQLVDAARAFEAISGKPDAVCWNAMAMAYARGGRYREATKVMYQMKAAGLNPSEMMLSAVRSACFR >Et_7A_051004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13567716:13572148:1 gene:Et_7A_051004 transcript:Et_7A_051004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRLANQVVQDRRSRLHDVHHAVNAYRETRWHEWRASIMRNHAGNSWAMVSSPSPSRLTLLSFFSNPAATSIASQARSLRSSMNSVAGRGALPTPASPASLGPLPSTASAAVSRLPLGPLSALASLSRVAAAVRPRVPVPVPASTCEFRL >Et_9A_062985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7879692:7882685:-1 gene:Et_9A_062985 transcript:Et_9A_062985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELQEQAPSRTARRPRVLLLCSPCMGHLIPFVELARRLVADHGLAATLLFAAAASPPPEQYLALAASAPAGVDLVALPPPPDALPAPFTHVRERVVHAVAASVPRVRETARALAAAAPLAALVVDMVGAAPARDVAAELGVPFYMFFTSPWMTLSLLLRLPELDAATAGEYRDATDPIRLPGCVPITARELPPSMLADRSSEIYAGFLSMAEEIARVDGILVNTTRELEPAVADVIVKGRLKLPVHPVGPLIWTRPVGMDRDHKCLRWLDQQPPRSVVYVSFGSGGTLTWQQTAELALGLELSKCRFIWAVKRPNESSTSGTFFGNQQGEDTALEFLPEGFIERTRGLGMVTSTWAPQTAILEHASVGCFITHCGWNSILESIMNGVPMVAWPLYAEQDMNAAMLEVQMRVAARVKVGADRFVRKEEVATAIQRVMEGDEATKMRKRVSELRDKSVHAFSKNGCSTHALQEAPRARVIILCSPCWGHLNPLVELAPPIVADHGLAATLLFAGATPTPFEEYLEAAAAVPEGVGLVALPTADSLPPPSASVRDRMAHAVASGVPCIVHLARSLAARCGAGHRRQQRVRARRPRRGAVGVPVYMFTSPWTHLSLLLRLPELDATIMGEYRDMAEPIRLPGSVPITAADLTTPLLANRSSVAYARYLAGVKEYWKVDGFLVNTSPELEPAVFDAGIPGLRVPRHADVATNS >Et_9A_062405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22542948:22544475:-1 gene:Et_9A_062405 transcript:Et_9A_062405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METVLLGGGRSPDAMRQSKYQGCSAAAALCHGMFTNTLAFSSAAGHVEKVEFYGHPIVYITPTVYGHPHASDSIEDWYLAVTKGSGNTRAMRSLVILVIWCIWLERNERTVQRVLSIIQDEVKSWILAGAKLTYMGSCCTRSTSDLKNSGLFSG >Et_2B_019259.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20626705:20626854:-1 gene:Et_2B_019259 transcript:Et_2B_019259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEIRSRLAQKLIAKNLAVADSITGNSPRTAGAGGGGRKKKKKRFFAF >Et_3B_031743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9387400:9391847:1 gene:Et_3B_031743 transcript:Et_3B_031743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYGLIDDVLELILSRLDSPIYLLRAASTCKRFYRIVAGSGFLHSYRSVHGPPAAYAYFNGNCQEFSRPDFSSYSCLDFLPDISSSSACFHKGRHVGWPKDRRGSLVLLQRAHQVPRSYQDCVVCEPLSRRYVIIPPIFPPSDGCRWRHTQAFLLDGNDGIGISNFKVLCAVWDGQGYRVFLFTSGGSWCEICIDSWPTLLRLVGFAEGSIHWHAEGMSTLLVVNRRTAKFECFLFPHVENLRYCSVKNYKVAVTEGSDGEARFVFGGDDGDMMVFARQQGSSEWVLEKRIQLQAETHGLPPYRDWFFYCAMPDYVHSAGTVLIRVLADVSAGQWRIDLDRETVELLDLVPTIRYPFHKSEIMDQRVGVLMGKDSELLINPHLAQAQPQHSIPLTQASAAQRKT >Et_4A_032326.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30187663:30187986:1 gene:Et_4A_032326 transcript:Et_4A_032326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVALAAATAQGAETVSCGDVVSALVPCSAFLTGAGPATPPAACCDGARSLLRMADTVEARRAVCRCMVQSAPSFGVLTDRALALPARCNIDLKLPIGGVNTDCNK >Et_4B_038670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3789946:3791279:-1 gene:Et_4B_038670 transcript:Et_4B_038670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAPSIPRESPNPTIPRAFYTSRRPSPPAWILLDNNAFINDWENATTATACTSTGQPIRVTLAAAEPPRDSYFCVHCPCLTKKRKHGEDDVPVFPWDMGGPVPPTVICSEGDLALLRVPLSPDDHPTDYFIYRLGRRPWLRLLPATFSGAEFVRYAPLGLVPIGGGGDHFAVAASYVRMESTHKLHVFLSDRGTWTTTPLALGPSRVYVVPTKVVAIGGGELCWVDLRQCILVCDVLNDDDPSPVSSRCPSCSPPTSRTSRIATRVSTVTSSSALTRPDISSADVLYDSELPLGRLPQKYEYHGWRIVTWNRTVSSNCWRKRALIHVDDVVANDPGHTALLRPMAGDTGQGLMMRNLRTQVPSLNIHGGDVVYVMVKVKQHDTKAWMLAVDMAKKTLEEVAPISAEESACPSYLSCALTKYLNILKTD >Et_4B_039252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9145837:9147757:1 gene:Et_4B_039252 transcript:Et_4B_039252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKTKLDAQPELFIHIVPDKASNTLTIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEPLGRGTKMILHLKDDQLEYLEERRLKDLLPHLSLG >Et_10B_003700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5079855:5084926:1 gene:Et_10B_003700 transcript:Et_10B_003700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATSDWDSGSEAGPTASTGDWPPAGASPVNGKAAESEAGASVSGSSEAKVDDGNIQEAESSLREGLSLNYEEARALLGRLEYQRGNVEAALRVFDGIDLQAAIQRFQPSLSEKPLSKRSNKLRSDSSNSGSQHAASLVLEAIYLKSMSLQKLGKAMEAAKQCKSVLDAVESIFQCGIPGVMVEQKLQETVSKSVELLPELWKQAGAYQEALTSYRRALLSQWNLDDECCTRIQKRFAVFLLYGGVEASPPSLASQTEGSFVPRNNLEEAILLLMILLKKWFLGKTHWDPTVMDHLTFALSLCGQTSVVARHLEEVLPGIYPRTERWYSLALCYFAASDNEAALNLLRKLLNKNENPNDIMALLLAAKICSSNYQLASDGVEYARRAVKGAESSDGHLKSVALHFLGSCLAKKSKVASSDYQRSLLQTEALKSLNEARSLDCHSPEVIFDMGIEYAEQRNMHAALKCAKEFIDATGGSVSKGWRLLSLVLSAQQRYSEAEVVTDAALDETAKWEQGPLLQIRAKLKVAQSLPMEAVEAYRALLALVQAQRKAYGTVNNGTEEEKVSEFEVWQGLANLYSSLSYWRDAEICNMHEVREQIQDALAAYFNALSTELEHVPSKVSIGALLSKQGPKYLPVARCFLSDALRLQPTNRVAWFHLGKVHKHDGRLTDAADCFQAASMLEDSDPEKSILLLLLNPPTRPMLVYASLHRANG >Et_1A_008027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40222289:40231956:1 gene:Et_1A_008027 transcript:Et_1A_008027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSGGSSKGWEAGGDTPRENGNHKMNWSTARRSSRKSTNRIWVEAAARFSTKNQNGATEEEGWHRMSETINGAASASPTPRDSSVTSGSKDGAKRQRSELPMDGSITSLSKKPKRMNTKGYLALFKPPRKVEAAGLVVKTAEQSSIPTITKKIKESPFQKLQRLPNGCHRDFDNDHLSAVNKLREFWHKSQGAAFVDDKEAVMKTILFIMCLSPDVCQPFLVVTVASHSLWEAEFKRFAPSVNVVVYDGDKDMRKSIQDPTFNTNGSSMMSHVILVRPDVILETQDIETARSVTWEAVIVDYCQNSAPRLLKQLKQLPTDCRIVLLSSLPEDNLLEYKNLVAFLNSEEEDLGDPADNNALVILKARFKRHVAYERKTDSSNYLEYWVPTYLSQVQLQLYSSILLTNSSVLQSQVATDSVGDLGHIVLCLWKCCDHPCLVDEFPHDSLDNIADETESIDNRMRASGKLLLLEKMLREFRNMKSRVIVLFQSDGPGENKMGKILEEFVRHRFGPESYERVQNCSAYSKKQAATSMFNDRTKGRFVFLIENHACHSSIKLSAIDAVIIYGSDLNPLNDLKALGKIKIESETEHVKIFRLYTPFTVEEKGLVLAKQGMVIDCKVQDIAPSLSHCLLSWGVSFCFSRLDVLHQDNPASKSSGTGMLCMDKVISEFLTVLSTNSDGPTISKAHMSGEFYSRNITLIGEREGASSLDIDPPRFWLNLLDGKSPSHHYLSETPQVKHRSLQIMEELTSDPAEESNKGRGQFRKAGETADSSSKFSSDVTNNDTSPEFTPSGADLQLLGDIQHKLGVGNLSTPKSLHVQLKCELSKLIKVLKLPDNVRLLAEQLLEYLLKNHLVVREPWGILHAFNIALCWRAASLLKYNKLDHRDSLALAASCLNYEHNEELAGVFYKKFATLKEKVLCKPGENRNKVKNGIFSSQESSSKNLRSSRMFQKQTIDLHGSLTNSTPRESSSGAEQMVSDVQVVSAPEANRECHFSSGEHPNMIIKKRIDLFDKVFSVRENNIHEKQQLEILHFQTYRENQITILEDVCSLVLRYIRRSGIDGSRKDQTELMIKWFTMLAYAFLEHMKLQLNKLEALQSTMWFKERLMKDKLKEELLSGQLDQCLDLCTALPDSNFVIEEFVHFKKQIDDYHVGKVSASGCDLLLDDRLITEITLVRNAAPSEAVSTQPVRNESAEALVGSGRASAPESVLPENSINCSSDGIKSLADSQHPPEEAESAGILGTTVADDLQRPTSDQTAQPERTGMLGTADPYLQHEVQPPTTTQRTSVLQSVESSGDPHAEPSGTSGMVAPLDLMPEVQSSSSQNGSVGAERPGASGTILAPALQPETQPSVSVQHVPPEETQPDEMIQIGLPAQQCTDLHSQQSLAASWHPHEQAERADILGMVVADALQPSTLSLDQNAEVERPGTLDAGAAQDLQTEMLPSTSTNDVPCEETDLSSMAVGTTSQQSLQASRDTHAEREPDNALGMLAAHDLQFGMQPSSSVQDQPVEAGAGTSDTISAQYLQPGTQPSTVIRHITPERTHPDERIQMSLQPNGTPGPQLLTQLSSSAPAAVNNLKYSSDPMRNELETLKCWNTLLFKNFEKKSSCSNRDISHFSSLHNYCGQKLLIQIEYNQELEKLNKKYESLHHKELSTYRGLVTKLEDISQKVSMHQLLAENFHENFAKYQYQGSLASPTIGQVQQVPARTSGAQTTASPVVSLSATQPQVSTSFHSIGPFRSEAMQLQTQPVVPGNLYRATSSPLSSLSLQNGNNGVAGAQPRGHAPRPHHLRMQPPYATFWRDHQQHSVMGPGLTSPRHPAPGTLTSALAGVPVTTSMASSSVRQTMASASNPHSALPASSLLSGSLAELMANFVPPSGTNSVFMATQQVYAAAGIWHAGAHIAGVNHPVPESTLETLPFQQRWNPDMVGAVLPSIAASSANPSASNSEPALPTSSANPVYMAAQQGLSPHTTFHNHPGPLNAAAGIWNTGTHIAGVNTPVSESALETLPFQQRWHPDMAGAVLPSIASSLANPSASNSEPALPTSSANPIFIAAQQGQSPHTAFHNHPGPLNAASGIWNNGTHVAGVSPPVPESALMNTLSAGRTGMTSEPPSTGVQRGGGGEVVCLSDDE >Et_6B_049453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5073420:5075551:1 gene:Et_6B_049453 transcript:Et_6B_049453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGEHGIDAAAAGAEQKENRGIAQIQAAQSYQRSERKGFFPPHDNLQAWHIHTLTFSR >Et_6B_049145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18703043:18710051:1 gene:Et_6B_049145 transcript:Et_6B_049145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEELLRKIRELEEGQAELKREVAKLVPEHRRPQSPSSSSRRPPPRLPSPARRALAAIPQSSSSRMQRLGRVGLTDRQHIRALHALGQSVYVIAPGGELLYWNRYAEHMFGYTASEAIGQDAVELLVHPDEAAAANSIIGNIFMGKCWRGKFPVRKKSGERFFIVANNTPLYDDDGTLVGLICLSVDTRTLEDILGPPSSVKPYIDSVKPRFQVNNRPKSGSLNRNSSHSQQPLQPSISSKITTLATKVTSRVRSRIRTGQNSNEQYGRACESKNSEHDTSETSTVSEDALHGNVGTGDNSSGTSKTSSDDSGEGKEGLHRILSSKAEALLAKKGISWPWRGLEHNGPGKNHVVSPQFQDAQENDLTHQEVPEAILVPDYQDTECSWWSFNNNSTSSVSSNLSTNSGVDYEADCLDYEILWEDLVIGEQVGQGSCGTVYHAQWYASDVAVKVFSKQEYSENMINTFRQEVSLMKKLRHPNIILFMGAVASPERLCIVTEFLPRGSLFRLLQKNTGKLDPRRRVHMAIDIARGMNYLHNSSPPIVHRDLKSSNLLVDKNWTVKVADFGLSRLKLETFLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWDNLNTMQVIGAVGFMDQRLDIPSNIDPQWTSLIENCWDSDPQQRPSFLEIMDRLREMQKQYTLQAQMQRNTSGDAQLKGAAKMSVEDC >Et_9A_062355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22123380:22126516:1 gene:Et_9A_062355 transcript:Et_9A_062355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTATAQEAAALPLSMVVVQALIVGMILLSKVALNAGTHPMVLLFYRNLIAVAVVAPAAVVFEREMLKKMNWVVLGWIFANATFGVVLAMGLYFYGLRATSAAYTAIFLNLVPIVTFGIAVLLRTEKLALGNWPGRMKLMGALLCVGGTMVVILLKGHPLHLWPTGILRGSNSQATSSHSGAHHDMVAGTVLLCGSCVGYSLWLILQARIAMIFPAKYSTTMLTCLVGSIETFLVGICLSRTGWKLGWNLQLLTVVFMGVFNTGLTFVLISWAISRRGPIYPAMFNSLSLVVSTVLDSVLLGTSIYVESALGTLLIVLGLYAYLWGKGTEMKLAAVGEGGDSSAGLSRTHQQQGAVHETD >Et_2B_020998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25586964:25588786:1 gene:Et_2B_020998 transcript:Et_2B_020998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSLSLRALCHHPPLPLPPTSNHLLPPPPPHSRLHLPSLTRRRSRDLNSRPGASATDDMAVAAAAASPPTLLEHMGRTGATADLTVLVAHIQSACKRIAALVASPGNADLSRGKAGSGAVAAGRDAPKPLDELSNEIILSSLRSSGKVAAVASEENDLPVWISDDGPYVVVTDPLDGSRNIEVSIPTGTIFGIYNRMVELDHLPVEEKAQLNSLQSGTRLVAAGYVLYSSATILCISFGAGTHAFTLDHSIGEFILTHPSMQIPPRGQIYSVNDARYFDWPEGLRKYIDTIRQGKGQHPKKYSARYVCSLVADFHRTLIYGGVAMNPRDHLRLVYEANPLSFLSEQAGGRGSDGKNRILSIQPVKLHQRLPLFLGSMEDMLELESYGDVQQKVNPGYEV >Et_7B_055005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6293451:6308164:-1 gene:Et_7B_055005 transcript:Et_7B_055005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SKPAAKWRRALEPSSSPASTAGDSRSGAVGGEGDGGGDLEAAAGSAVAEKEEEKVAVFAVSGMTCAACAGSVEKAVKRLPGIHDAAVDVLGGRAQVVFYPAFVSEEKIRETIEDVGFEATRIDEEVKEKNILVCRLHIKGMTCTSCSSTVEYALQAFPGVQRASVALAIEEAEIRYDRRIVSASQLVHAVEETGFEAILVTTGEDRSRIDLKMDGALNERSIMVMKSSIQALPGVEEIKVDTELQKITISYRPDQTGPRDLIEVIESATSGHITASIYPEADGRQQHRYGEIKRYKQSFLWSLIFTIPVFLTSMVFMYIPGLKNGLDRKVVHMMSIGELLRWILSTPVQFVIGHKFYTGAYKAVCRGSANMDVLIALGTNTAYFYSVYSVLRAAISDNYMSTDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATLLMHDQEGNVVGEKEIDSRLIQKNDVIKVVPGGKVASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLNVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISRVFVPMVILLSLLTWLAWFLAGRFHSYPNSWIPSSMDNFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGILIKGGQALESAQKVDCIVFDKTGTLTIGKPVVVNTRLLKNMVLREFFDYIAAAEVNSEHPLAKAIVEHAKKFHPEENHFWPEARDFISVAGHGVKAKVNDKSIVVGNKSFMLSSDVDIPMEASEILMEEEERARTGIIVAMDQELVGIISVSDPIKPNAHDVISYLKSMNVESIMVTGDNWGTANAIGKEIGIDKVIAEAKPEQKAEKVKELQLLGRTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNIIGMPIAAGVLFPSSRFRLPPWVAGAAMAASSVSVVCWSLLLRYYKTPLLSCFHGGGSRSRRLALRPRYPSIPRRPKGAALAGDGGGGGGDGDLEAAAAAAAAEEEEEKVAVFAVSGMTCAACAGSVEKAVKRLPGIHDAAVDVLGGRAQVAFYPAFVSEEKIRETIEDVGFEAKLIDEEVKEKNILLCRLHIKGMACKYCTSTVEITLQAFPGVQRASVELATEEAEIRYDRRIVSAKQLLHAVEETGFEAILVATGEDRSRIDLKMDGVLNENSIMIVKTSIQALPGVEQIKIDAELHKITISYKPDQTGPRDLIEVIESATSGQVTASIYPEADGREHHRYGEIMRYKQSFLWSLIFTIPVFLTSMVFMYIPGLKDAVERKVLNMMTIGELLRWILATPVQFVIGRKFYTGAYKAMCRGSPNMDVLIALGTNTAYFYSVYSVLRAATSDNYMATDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATLLIRDRDGNIVGEKEVDSRLIQKNDVIKVVPGGKVASDGFVIWGQSHVNESMITGESRPVTKRKSDTVIGGTVNENGVLHIRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISRIFVPLVILFSLLTWLAWLLAGRFHSYPSSWIPSSMDSFQLALEFGISVMVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESAQKVDCIVFDKTGTLTIGKPVVVNTRLLKNMVLREFYDYAAAAEAIVEHAKKFHSEENQIWAEARDFFAVTGHGVRAKVNERSIIVGNKSFMLSSDVDIPIEASEILMEEEDRARTGIIVAMDQEIVGIISVSDPIKPNAHEVISYLKSMSVESIMVTGDNWGTANAIGKEVGIEKIMAEAKPEQKAEKVKELQLSGRTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNIISMPIAAGVLFPYTRFRLPPWVAGAAMAASSVSVVCWSLLLRYYYKSPKVSVS >Et_1B_011603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2396199:2402231:-1 gene:Et_1B_011603 transcript:Et_1B_011603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRNREEDEEEEYDIEEEERGGKGSRRGGGREKRSRVESFIDDAASEDEDADDDDDDDEYDGGGRGRASKRKPSSILIDDMAIVDNESEEEPSDAESDDGFIVDDRAEFPDENMGRTSRPHFIPNMGDEIEIDEDELAQQIRDRYGRPSHCEYGNELTDDVEQQALLPSVKDPKLWMIGRERETAACLMQKFIDMPDIQIKSVVALEHLKNYIYVEAEKESHVKEACKGLRNILASAKITLVPIREMTDVLSVESKSIDLAMDSWVRMKLGAYKGDLAKVVDVDNVRQKVTVKLIPRIDLQVLANKLDGLEVVKKKSFVPPPRFFSVDEAREMHIRVERRRNRNSGEYFDVVDGLMFKDGFLHKTYSIKSISTQNVKPSFDELEKFRKPGDDLNEDVASLSSLFSNRKKGHFMKGDAVIVIKGDLKNLKGSVEKVEDGTVHIQPKQSGLPKTLAFGEKDLCKYFNTGDHVKVVSGVQVGATGMIRVFADNVVESSEVTTGITRIGDYELHDLVLLNNLSFGIIIRVLKGVPDKPELVLVKLREIKCKIYRRTSAKDRSSNTVSTKDVVRVIEGACKGKQGPVEHIHRGILFIYDRHYLEHSGFICAKAESCLLVGGPVARNRGSAMDRADPQSRTFSSPGRIFQSPGRLPPRGPLMNSGGRFGGRGGGGGRGHDALVNRCIKIKSGPYKGYRGRVKEVTGALVRIELDSQMKIVTVKRQDIGDTATVTTPFRETRYSRGGETPMHPSRTPMHSMQTPMRDPGATPVRDGMRTPMPTRAWVPMSPPRDSWEDGNPATWANSPTYQPGTPPAGPYEAPTPGSGWASTTGVGFDATSGNAPSPYVPSTPIGQPMTPDPSSYLPGTPGGQPLTPRNVGMDVMSPVIGAEGEGNWLLPDVLVNVSREGGGDTNGVVKEVLQDGSCLVALGPLGGGDEVIAGPNEVEVVRPRKNERLRIMNGSMRGQTGRLIGVDGSDGIVRVEGSLDVKIVDMMILGKAAA >Et_3A_027038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30221141:30222697:1 gene:Et_3A_027038 transcript:Et_3A_027038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFRTKVAAASASAATLLLGWAYQATRPPPPAILGAPGGPPVTSPRVRLKDGRHLAYSEAGVDRETAKYKVIFFHGYASTKENTFPVSQVTTTAMPALFDRSYAGIARRVIDLLEVNAEIELNKVPELAEELGIYLLYFDRAGYGDSDANPARCLKSDATDVEELADALQLGEKFYVVGCSMGGYKAWSCLNYIPQRLAGVALVVPAVNYWWPLPANVLRSAFEKLDVRDRWAFRIAHHTPSLFYAWITQKWFPMSPIIRGDRGAFTDKDWEILAELRRKEWESGQVDPAKATQQGTYESLCRDVTIVFSNWEFDPTKIKNPFPNGEGVVSIWQGYEDKIVRVEIQRYVAQKLPWVRYHEHPTAGHTLTNMDRVGDDIIRELLLGEAPGGWQTEHLDAE >Et_10A_000521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12024132:12026564:1 gene:Et_10A_000521 transcript:Et_10A_000521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVPLQVTSDSTLGRDEEGGQSRRMEGDDGNHRGEEPAYSEEQTGERRGLGLSENHNDRARGGRVFSERDKEKGCKICFVHNTKPCQIGPKYHALVECTYARSFWSELKEFVQVKIPSLHPLTWASDLIDSGFCSQEDRCIILCVMWSLWCLRNDRRHGKNTIPVGKAIKWALDTNSELIHVANPAIEPVRQHVQSWARPSPGLDQNQLLMELFMPTFGMEQQGQ >Et_10B_003584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3407410:3411087:-1 gene:Et_10B_003584 transcript:Et_10B_003584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLFDELAGDALREVLRAVKGTLWCRSTAENLRRSVEPLLPLVQGGAASAGELRELAARIREALELSRRAASSPRWNVYRAAQLARRMEAADRGIARWLARHAPAHVIGGVRRLRDEADARIGRLERRVEEIAAASAAAPPAMSVPVAPPLHKAVAMDLMPPHKGMAMDLMPPHEGMAMDLTPPQKGMAMPPMDAKAGVMSMDVELLEEEDEGDKMVGGGVKMGKERVKEMVMSGSGGWEVVGISGMGGSGKTTLATEIYRDHKVRAYFSDRVFFQTISQSANLEAIKMNLWEQISGNMVLGAYNQIPEWQLKLGPRDRGPVLVILDDVWSLSQLEELVFKFPGCKTLVVSRFKFPTLVTRTYEMQLLDEEEALSVFCRAAFDQECVPKTADKKLVKQVAAECRGLPLALKVIGASLRGQPPKMWLSAKNRLSRGEAISDSHETKLLERMAASIECLSEKVRECFLDMGCFPEDKKIPLDVLINIWMEIHDLDEPDAFAIFLELSNKNLLTLVNDAQKKAGDLYTSYHDFSVTQHDVLRDLALHMSGKDSLNKRRRLVMPRREESLPRDWLRNKDTPFEAQIVSIHTGEMKESDWTQMSFPKAEVLVLNFASNIYYLPPFIATMQNLKALVLINYGTSSAALDNLSAFTSLSDLRSLWLEKITLPPLPKTTIPLKNLRKISLVLCELNNSLRGSTMDLSMTFPRLSNLTIDHCIDLKEVPSSICEISSLESISISNCHDLTELPYELGKLHYLSILRVYACPALWRLPPSVCSLKRLKYLDISQCINLTDLPEELGHLTSLEKIDMRECSRLRSLPRSSSSLKSLGHVVCDEETALLWREAEQVIPDLRVQVAEECYNLDWLVD >Et_2A_016735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27782930:27787103:-1 gene:Et_2A_016735 transcript:Et_2A_016735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLSHLLLAPKLKPKTNPTPLPSRRACVPSPGSRRPTPAISAAASDLLAPAPTLKSRLAAGDTLYGLFLLSFSPTLAELAALAGYDYVVVDMEHGPGGIPEALACLRALDAARTPAVLRLPEASAVWAKKALDLGPAGLMLPAVESPAAAAEAVAYCRYPPRGVRGAAHTVVRASAYGLDDSYLSRCEDETLIMCQVETAAGIAEVEAIAAVDGVDVVQMGPLDLSASMGYLWDPGNRKVRATLREAERKVLAARKQKAAGSDGNAAYLGGFAMPNDQAEQLKLRGYHMVSGAVDVGLFRKAALDDIKRFREAVMEIGEEGDEEEDEKAEKEDDGYWSLWCGGVRASHTPRVQIVNLNTCSTSTTTSSVVNSNLAGSSRISSCSDFTSGHSWRPIETLKLHRKHAVRSLHISCAATKPAKSPAEEDWKTKRQVLVEKRVRSVDVKEALRLQKENNFVILDVRPEAEFKEAHPPGAINVQIYRLIKEWTAWDIARRAAFAFFGIFAGTEENPEFIKSVDAKMGKDAKIIVACSTGGSLKPTQNFPDGKQSRSLIAAYLLVLNGYTNVYHLDGGLYTWFKEGLPSVGGEE >Et_4A_034867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7341718:7346045:-1 gene:Et_4A_034867 transcript:Et_4A_034867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPNGLARIETNGKKKHENGVCHDDSAAPVRAQTIDELHSLQRKRSAPTTPIKEGAASAFAAALSEEQRQKQQLQSISASLASLTRETGPKGSFITSTGALATLSGAKTGRSPRDKRVVKDEAAAQELWWGKGSPNIEMDEHTFLTNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIISARAYHSLFMHNMCIRPTDEELESFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDINLARREMVILGTQYAGEMKKGLFGVMHYLMPKRHILSLHSGCNMGRDGDVALFFGLSGTGKTTLSTDHNRLLIGDDEHCWSDNGVSNIEGGCYAKCIDLSQEKEPDIWNAIKFGTVLENVVFDEHTREVDYTDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQKYGATGWLVNTGWSGGRYGVGNRIKLPYTRKIIDAIHSGELLTANYKKTEVFGLEIPTEIDGVPSEILDPINTWTDKAAYKETLLKLAGLFKNNFEVFASYKIGDDSSLTDEILAAGPNF >Et_3A_025032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26221365:26227136:-1 gene:Et_3A_025032 transcript:Et_3A_025032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDTSQGDTSPSHVGRVRRRRQPPEVNTDGNRANGQPLLVNDQNKYKSMLIRTYSTVWMIGGFVLIVYMGHLYIWAMVVVIQIYMARELFNLLRKSSEDKQLPGFRLLNWHFFFTAMLFTYGRFLSRQLVNTVNSDHLLYKVVGGLIKYQMFICYFLYIAGFVWFILTLKKKTYKYQFKQYAWTHMILLTVFAQSSFTVANIFEGIFWFLLPASLIVINDIAAYLFGFFLGRTPLIKLSPKKTWEGFIGASVTTIISAFLLANVMGRFQWLTCPRKDLSTGWLYCDPGPMFKPEHYALGEWVPQWFPWKEVALLPVQWHALALGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFIAPQNFSVEIILDQIIRNLTYEEQKYLYQQLGEIFHERQLVQS >Et_5A_040668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1164782:1169352:1 gene:Et_5A_040668 transcript:Et_5A_040668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLMSARAKNAAAATFLSLSAALLLLLLGVFAATHKAFAVAAVTNRRAVLLEDCDDNASAKNNAADEHAAAVERHCAGTLHRDVCASTLATIPNLSQKPLRDVISEVVSRAAAAVRASSSNCSSYLRRPRGSGLRVRDRLALSDCLELFGHTLTQLGAAAAALTSSGEESAVAGVQTVLSAAMTNQYTCLDGFAGPSASEDGRVRPFIQGRIYHVAHLVSNSLAMLRRLPQGQRRRRGGGRGLLGGLEGYGRVRRGFPSWVTAGDRRRLLQPAAMAADAVVAKDGSGNFTTVGEAVAAAPNNSATRYVIYIKAGGYFENVEVGSEKTNLMFVGDGMWKTVIKASRNVVDNSTTFRSATLAVVGTGFLARDLTVENAAGPSKHQAVALRVNADLSAFYRCSFAGYQDTLYTHSLRQFYRDCDVYGTVDFVFGDAAVVLQNCNLYARRPDPGQKNVFTAQGREDPNQNTGIAVHGGKVAAAADLVPVLANFSTFLGRPWKTYSRTVFMQTKMESLVHPRGWLEWNGTFALDTLYYAEYMNRGPGANTSARVNWPGYHVLINASDASNFTVLNFVQGDLWLNSSSFPYTLGLS >Et_3A_026167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5046280:5049385:1 gene:Et_3A_026167 transcript:Et_3A_026167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLLSSVLRSSSSALRRAAAPAPSPAARGASPAGLLLARFASASAAQPSAPPPPSASPASSAAKGKGGKITDEFTGAGAVGQVCQVIGAVVDVRFDEGLPPILTALEVLDNNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDERGDIKTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVKSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAS >Et_1A_004867.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12941843:12942082:1 gene:Et_1A_004867 transcript:Et_1A_004867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQGGNYFYCSKKESTVDSIRKTHSTVSYHRMAFEKARTTKTEASKFKNLISASYKPLSLTIPIGDGFHESLHLSHCHL >Et_1B_010044.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:20352532:20353290:-1 gene:Et_1B_010044 transcript:Et_1B_010044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPVPAHPAESSGSVASPRHAIQTPPPRSIPPPPAPAVESPAPTPATPQSEQWWPSDEVALLNALRLYRRRNGDALPRGVDLVMAVFGRLTQTNYSLADIEALRRRFEENDAKLRAGTGGPAAGHDVQLYTLSLDVWGAAVTTIAAPKPPPPAAEAAVNPPAKKNPGRPCGREPVQAPKRRRYEEMRQRCPMLAGMVEELMKKAMEGVSDVAAWSMEMRMKNQQLAGGVVEAVAQAEDRLKELTGLIMRVV >Et_1A_006927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29061413:29063928:1 gene:Et_1A_006927 transcript:Et_1A_006927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLGISHNSMGEWAYLERGLLRRVFIQLADADAFSFRRVCRTWRVAAGDGSAVFRPWFIVQPTGGTAHHAYLQPAGPRGRFRVVSVDDDADAVKGTIDGVARGASRGWLAVNEGKRLFLRDPTSLAEIPLPAIKSASRLFDIFLSDDPLAAPGRWTLFAFFRRSDSPYSEHELAFCRASDDKWARIDADDDDGQAGHQAHHGHHQIRFFRGLEFLRGRAYVVLADYSVAVCDVDARRLVVSAVKLDVWPNGNNGPDWQVARNFVPVRRSPRCLGMVAYNERFEPRVIKIELDAGGAPVRRSEVEEVGDHALFLAPHGHAFALPASGFPGVRAGCVYHFIAELRSGMVVSDLRESPYRHEIVRKLPLAGPWRPLAWFCPRRPILGKPSKRRWRPEDNYLAADGDLKIKLPCQAKILWPACHGI >Et_4A_031766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12139902:12141029:-1 gene:Et_4A_031766 transcript:Et_4A_031766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSADLFYDILKRLDGAALARAGCACADFRAISNEEDLWENACRSLWPSTRGDDVRSLIISVGGFRKFYADCFTLILNKDVPVVQTNETNPFAEEWAESDYYYDDLDELEKSLPSDFVSLIDIWYRDRVLYSKVIWGVPNSDGANGWFYNCPFRIDLFHQSTEYNENNNEEAFLSTINDLPTVPSMEQERKDGKLWRELNDGIKLSWIIVNQKMKRAVNLTSWHPLSGQRHWPTDTDFVLRFGSVLPAKEVLPCQIEDMGGVHLNGRCSLHILKEALSCHRSRNYDEVLESCNLYLKAQSELKEEKIRSECRFDTFCIVSGITVFAALCTTFYRKLENS >Et_1A_009078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28459967:28462611:1 gene:Et_1A_009078 transcript:Et_1A_009078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPRKQPQPHPPPPPPHAARPVAKAPPPPQRRHTPPTPPPRQQHVPRQHDEASTTTVLGVAVPRDWLSQRTPVLGLRAGVLAAAGAAAVVLALLCLVVCLCRRCCRRRPPRLAPCPHRRSLRNRVHAHRQAMSAMPLPGGSSNKDVEAASGALWRPPTFEPPIEAIKAEQKAPLILQAGTTSGEMAAIGGDGGDSAREFGSAAAASEAGPEAARRGWGRRFSLREIEEATCGLAAANVIGEGGYGVVFRGVLRDNTAVAIKNLHNNRGQAEKDFRMEVATISRVRHKNLVSLLGYCSEGACRMLVYQYMDNSNLDKWLHHDDSEISPLTWDIRMRILLGTAKGLAYLHEGLEPKIVHRDIKSSNILLDRHWNAKVSDFGLAKLLCSERSYVTTRVMGTFGSVWIFPASQALSIRLGSCSEPATTYVAPEYAKTGMLNERSDVYSFGVLVMEIITGRTPVDYTRPTDEVNLVEWLKRMVAERRVEEVLDPRLPERPPSKALKRVLLAALRCVDPNGSQRPTMAHVVHMLEDDQILRDELKLARDLSPQASDSYDR >Et_5B_043200.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:17241618:17241785:1 gene:Et_5B_043200 transcript:Et_5B_043200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLWLIWKEQNNRVFNDKHKTMHNLVLQIREEGGNWIMAGAKRLAGLVGTTISE >Et_10B_004288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:316888:322181:1 gene:Et_10B_004288 transcript:Et_10B_004288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNCHGRKLSELLQEQQEPFLVHRGGAPQCRSVAEVCRRRLRCLCDRGGGGSVSEIGRRDVGDMGGRTVVCEGNAVRMALRWDLAGCFSCGCRAPAATLAATARGSSARCPSCSCNPTSSATVSALLHFIFSWLLLSCRSLTNTSLCFLLDTGDDEDASKPSTSASSPPCSHDPCGFTFYGCSGGKVRAMEAEDSGKFQTKSGESTAKTEQDVVSAWERITADISRILRLVELDLSGSAREWRRHVGEEEARLVGESIEAMIFEEVWKASPVAHRPGGGGAPANVGAEGEGRAPAAILRTRGLSTVDNRVGGERATGSVAAIEAASLEINGYKNSVDNGLEK >Et_9B_064771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18467835:18475166:-1 gene:Et_9B_064771 transcript:Et_9B_064771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWNYVVTAHKPTSVSHSCVGNFTSPNQLNLIIAKCTRIEIHLLTPQGLQPMLDVPIYGRIATIELFRPHNETQDFLFIATERYKFCVLQWDAEKSELLTRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLNGCVKPTVVVLYQDNKDARHVKTYEVALKDKDFVEGPWSQNNLDNGAGLLIPVPAPLGGVIIIGEETIVYCNANATFKAIPIKQSIIRAYGRVDPDGSRYLLGDNTGTLHLLVLTHERERVTGLKVEYLGETSIASSISYLDNGVVYVGSRFGDSQLVKLNLQADASGSFVEVLERYVNLGPIVDFCVVDLDRQGQGQVVTCSGAFKDGSLRVVRNGIGINEQASVELQGIKGLWSLKSSFNDPYDMYLVVSFISETRFLAMNMEDELEETEIEGFDAQTQTLFCQNAINDLLIQVTANSVRLVSCNSRELVDQWNAPEGFSVNVASANASQVLLATGGGHLVYLEIRDAKLVEVKHAQLEHEISCLDLNPVGENPQYSSLAAVGMWTDISVRIFSLPDLELVRKETLGGEIVPRSVLLCTLEGVSYLLCALGDGNLFSFLLNTSTGELTDRKKVSLGTQPISLRTFSSKGTTHVFASSDRPTVIYSSNKKLLYSNVNLKEVNHMCPFNTAAFPDSLAIAKEGELSIGTIDDIQKLHIRTIPLNEQARRICHQEQSRTLAFCSFKYNQTSMEESETHFIRLLDHQTFEFLSTYPLDQYECGCSIISCSFSDDTNVYYCVGTAYVLPEENEPTKGRILVFAVEDGRLQLIVEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLREDGSHELQSECGHHGHVLALYTQTRGDFIVVGDLMKSISLLVYKHEESAIEERARDYNANWMTAVEMLDDEIYIGAENNYNLFTVRKNSDAATDDERARLEVVGEYHLGEFVNRFRHGSLVMRLPDSEMGQIPTVIFGTINGVIGIIASLPHDQYVFLEKLQSTLVKFIKGVGNLSHEQWRSFHNDKKTAEARNFLDGDLIESFLDLSRSKMEEVSKVMNVSVEELSKRVEELTRLH >Et_8A_057425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2921344:2926172:-1 gene:Et_8A_057425 transcript:Et_8A_057425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPTSQRPEPDVSNPTARVRVCPLRTTSTSRAPRRHKKDLRCVCTCRQPHGTSASTIVRYNQGGFGLGRPLEVEEEKDAAAEGRSGEMGISRLPVLALALLIAAAVVAGAAAEEPVVVEADSVAGAVEAAARAEEAAAAAALKAEVEQLRGKISTLESGIAERSQELKNKDDAIAKLEKAIEEKSKKIASLQGDIASLQAKGSVAAEEQIGKANAQVIELEKQIDKLKKDIEEQSSLRTIAESRANSAEKKVEELNAKIKETQKESDEQKRIIQKTERALKVAEDELMRLQLEATAKAKQLTEVHGAWLPPWLATQYAHYVEVISVHWNQHGKPAIQNFGQKVSEKSALAKKWAEPHIETAKTKWVPVKEKLVVLKKNAEPHVQKISARSVEFYESSKDAVTPHIVKVKELAHPYFQEAKKFSKPYIDQVAEVTKPHVEKVRTTLKPYTKRAVHAYGSFLESATTYHRQAQATILDYLHQHEITKSLATKELVWFLASALLALPVYVIYRLLVETFCTKKQKRPRSGNGNHAHRRHKRRHAEK >Et_8B_060660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4133015:4135454:-1 gene:Et_8B_060660 transcript:Et_8B_060660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFATLEAPDLVRAGSVCSSWLHAYNCLRKLGACIQPQTPSLIYTSKSSGASAAGFYSLLENKPYTFTLPDPPIRSRYLIGSAYGWIVTADMRSELHLVNPITGDQIALPSVTTIEQVKPIYDNNGSIHMYGCSRYTGPDGCLEPPSVFRLDKLRDYIFYKAYLSSDPFTGNYIVVLIHNPYWQISFARGGDDHWTWLPYHASYTDCAFKDELLYAMLVDGEIHAYDLNDPSVKPRVVMEGLKDCPLSKLYIAQAPCGDLLQIWKALEYSGQEFEDVSEPDLDPPDDDEYFSVSEDGSESELDTELNTVPYRHYTTVFKVYRVDLTAKKLVEISSFGFSLMGHSTTPPCGFCELHQQNSHAGDELTVYGRWKEEADWSGPER >Et_3A_024081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17166944:17168079:1 gene:Et_3A_024081 transcript:Et_3A_024081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLRPLSAAAATATLHLAPATPFTGSAVVASAVSGRRWRSVRTNAGGGGWLSGLLGGRGGGAPTAMTVTPGTVKAGDPVLHEPAQEVAPEDVSSEKVQGVIDRMIDVMRKVPGVGLAAPQIGVPLRIIVLEDTQEYISYAPKKDIEAQDRCPFDLLVIVNPKLKKTSKRTAFFFEGCLSVDGYRAVVERHLDVEVSGLDRNGSLIKVQASGWQARILQHECDHLDGTLYVDKMVPRTFRIVDNLDLPLPIGCPPLGAR >Et_1A_008126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4606565:4610012:1 gene:Et_1A_008126 transcript:Et_1A_008126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVLAASSMVLALFVFFAFRPASGLLSPKGVNYEVQALMMIKSYLKDPHGVLKNWDQDSVDPCSWTMVSCSPENFVTGLEAPSQNLSGLLSPSIGNLTNLETNNNINGLIPAEIGKLTKLKTLDLSSNHFSGEIPSSVGHLESLQYLRLNNNTLSGAFPSSSTKLSHLIFLDLSYNNLSGPIPGSLTKTFNIVGNPLICAARTGQDCYRTLPMPMSYSLNDTQGTAMPVKTKSHKIAVVFGAITGCISLLFLAVGLLFWWRHRRNRQILFNHDDQHIENVNLGNMKKYQFRELQAATENFSSKNILGKGGFGIVYRGQLPDGTLVAVKRLKDGNAAGGEAQFQTEVEMISLAVHRNLLRLYGFCMTASERLLVYPYMSNGSVALRLKGKPPLDWITRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDCCEAIVGDFGLAKLLDHHDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKSSNQKGAMLDWVKKMHQEKNLEILVDKGLKSNYDRIELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQNTESHKFKVPEFTFGRCYSDLTDDSSLLVQAVELSGPR >Et_3B_027394.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11203954:11205186:1 gene:Et_3B_027394 transcript:Et_3B_027394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTVLAMVDTVVADNNGGDVVSCENATNNISVEETKEQINGDAAANREALSAECFNDGGAAVSTSPSSRSAARRKRGAFGLFRAVFLSFSGSHSMKKRDNAASPKKEAGADNDGKPAAAASASWKSIVDGMRPLRLPGQELEYYPPPPPPPGHVDVYHDVILSPPSPMRAGSERGMTSRYASAQDLHLLDCGEQEADEEAAPAADGGSCPHEIDTQAEEFIAKFYEQFNLQKSESFNGRATDTE >Et_7A_051350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17181612:17185376:-1 gene:Et_7A_051350 transcript:Et_7A_051350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPLLGSGVEKTTRVGESLVVTEVRKQLYLAGPLIAAWILQNLVQMISVMFVGHLGELALSSASIATSFAGVTGFSLLAGMASSLDTLCGQAFGAKQHYLLGIYKQRAILVLTLVSVVVAVIWFYTGQILLLFGQDPEIAAGAGSYIRWMTPALFVYGPLQCFVRFLQTQNIVLPVMMSSGVTALNHVLVCWLLVYKIGLGNKGAALANAISYLTNVSILALYVRLAPACKNTWRGLSKEAFREIPEFLKLGIPSALMVCLEWWSFELLVLLSGLLPNPKLETSVLSICLNTGSLAFMIPFGLSAAISTRVSNELGAGRPQAARLATRVVMVLSLVVGLLIGFVMILVRNLWGYAYSNEKEVVEYIARMMPILALSFVFDDVQCVLSGVARGCGWQKIGACVNLGAYYIVGVPAAYCFAFVYHLGGMGLWLGIMCALVVQMLLLLLITLCGNWEKEALKAKDRVFSSSLPADMTT >Et_8A_056626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1389145:1392302:1 gene:Et_8A_056626 transcript:Et_8A_056626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPKDLLPMAESLLLPVVRGVVGKAGDALVQRVTRMWGIDDDRRKLERRLLAVQSLLTDAEVKSETNPAVKAWMKDLKAPA >Et_3B_029040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21611281:21615156:1 gene:Et_3B_029040 transcript:Et_3B_029040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRLMLLLLVAAASLAAGAASGSKYMRFDLLRRRPASLAELWRMDRERMAFIAARRGRGRRRTTETHSASKSKLAKGYYHPGPAPPAGDEAFAMPLSSGAYTGTGQYFVIFRVGTPAQPFLLVADTGSDLTWVKCRRPADPAADYGATWNDTSSLSASPRAFRPDKSRTWRPIPCSSDTCVESLPFSLAACPTPGSPCAYDYRSVPAPSNNTLFAYKDGSAARGTVGTDSATIALSAGRGAKRTKLRGVVLGCTTAYNGDSFLASDGVLSLGYSNISFASHAASRFGGRFSYCLVDHLAPRNETSYLTFGPNPAVSPPPTPPLKPACASAHAPPRPPPGARQTPLVLDRRTHPFYAVTVNGVSVDGKLLKIPRHVWDVERGGGTILDSGTSLTVLARPAYRAVVAALSEKLAGVPRVTMDPFDYCYNWTSPATGEDLLDVPVPTLAVHFAGAARLEPPAKSYLIDAAPGVKCIGLQEGEWPGVSVIGNILQQEHLWEFDLKNRRLRFMRSRCTR >Et_10A_000653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14598316:14600214:-1 gene:Et_10A_000653 transcript:Et_10A_000653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEIHSFNDAMQQSSRKVDITVDFYRDHSANKAICRRIRRKCFPNSKIEFHVRTKEGRIPFSIGFLDRVNGDQGRKGVRISRGPANVEVHPVAAWLDFACRRHAAIIDISLLELALHPREEDEELVLLLCERATQI >Et_3A_025958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34190088:34191959:-1 gene:Et_3A_025958 transcript:Et_3A_025958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKEEYDESGPAIVHRKGRERYAGYVCVLQTVLVLATRICGCSCYLHATFVLLFCCSVTSVRSLVELGWLDRL >Et_5B_045433.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22230021:22230800:-1 gene:Et_5B_045433 transcript:Et_5B_045433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFDIAAASSAQPLAGRVAIVTGASRGIGRAIAAHLSCLGASLVLGYASSAAEAESLAASLPRAVAVRADVADEASVRSLFDAAESTFGSPPHILVANAGVLDDAYPHVAATSTASFDRVVGVNLRGAFLCLREAANRLPRGGGGRIVAVTSSVTGSLPTGYAAYTASKAAVEAMVRTMAKELKGTRITANCVAPGPTATDMFFAGKSEETVRVSAETNPMERLGEPGDIAPVVGFLCTDAAEWVNGQVVRTNGGYV >Et_8B_060824.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:8418908:8419345:1 gene:Et_8B_060824 transcript:Et_8B_060824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRCQYMSVRAAYLMDGVTVDEAGGRIGMSNFRVLSELYRGEVAHAAVFTAGGDKDSWREKAVCHIFPRIDYQRRILGRAAGSCYIYNKGMSTGTALDGSTGARLLRCQTPRTGMIAMFLGAPIFASPKDTMANRASCLCWITH >Et_9B_064279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13574130:13580001:1 gene:Et_9B_064279 transcript:Et_9B_064279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPPHISLSSSLPSTLTPVHLRLPPLPTPPLPAALPFPRALPLHLSSLRLTRAHLPPLPLASSGSGDLGGDTGDDDLPPNGGSGGDGDGGEGDDGSADGDGDDDASVNRKEALFVLAQLGRKLESLPADLAAAVQAGRVPGEIVRRFADLEASPVFRWLLQFGGFKERLLADDLFLTKVAFECGIGIFTKTAAEYEKRKDKFMKELDFVICDVVMAIIADFMLVWLPAPTVSLKPSIAGNAGAIAKFFYNCPDNAFQVALAGSSYSFLQRIGAIVRNGAKLFAVGTSASLVGTGVTNALIKARKAVSDDSAGEVENIPIVETSVAYGVYMAISSNLRYQILAGVIEQRMLEPLLHRHKVALGALCFAVRTGNTFLGSLLWVDYARWVGVQ >Et_4A_035054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9046974:9058357:-1 gene:Et_4A_035054 transcript:Et_4A_035054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDADDAPLLAEEPLRPGACSRELELREFRDRYVIRSLDGEGRGFAVARSDGSLRTLSPDEAAAGSDCKVSRIYGVAGIIKLLAGSYVLVITSRNDAGSYQGSPVYHANSMKFLCCNEALKHLTSQEKKDEAYFMSLLRIAESTCGLYYAYDRDLTLNLQRASKLAVGRIHKPLWKQADPRFIWNKNLLEELIEAKDTPVRITLFSRRCSRRLGTRMWRRGANLEGATANFVETEQMVEYEGFTSSFIQVRGSIPLLWEQIVDLSYKPRLSVIEHEETPKVVQRHFHDLSQRYGETLVLDLTDKCGDEGNLSNAFAAEMEKIPSVRYVHFDFHHVCGGGNFDNLQVLYSQIEDCIQKQGYFLINSKGEILLEQSGVVRSNCIDCLDRTNVTQRMGALSMAESISLSDNINDTFKKLWVEHGDELSLEYAGSYALKGDLVRYGRQTLPGLIKDGMSALSRYYLNNFHDGVRQDALDLISGYYTVSQGRPFEIGGFESSSYLPVASAIIVGGITATTFTLSQAGRNAQHFISSFIFAGLTAGVAALVKANGKHFCSRPRLCGLI >Et_1B_011274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19905668:19906365:1 gene:Et_1B_011274 transcript:Et_1B_011274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLERDTPETTMRDWAALPRDVLCEIFRRTRHADILCGAGLACTAWRGVAVDEPTLWRRIDVYEEDYREELNYWKGRMGMARAAVDRSRGQCESYRGPADRYLLAYIAARAPSLRRLNVTSLWCLPDAFVDRVIPKIPMLEELTMAGGHLLPSTLGALLRHCPCLELLDVGACSFFEFSFDLWEEFRSKCKVLKGGR >Et_9B_065734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9951401:9958603:-1 gene:Et_9B_065734 transcript:Et_9B_065734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFGSTSTTQRSHLCHGSNALRYASVQHEDYIYLHDGAKQTIIHRDVKTANILLDDNMVAKVSDFGLSKASLDIDDTHVSTAVKGTFGYLDPEYFRRTQLSQKSDVYSFGVKKGVLNEIIDPHLQGKITPECLRVFGETAEQCVADRSLDRPSMGDVVQNLQVALQLQQSTMDTTSNAMAVSLTNNLVASENLSTDSTMSVAGQGIVFSDVAHPETSFQFETPATTMVHLTLVVILRPLTLICILSLAVAADINSVRSRQIRLDCGASTPHASDFDGRTWDSDRNSKFAPALNGFAATASLYQNSALHFSVPYMNARIFISNYTYSFPVNPGRMFLRLYFNPSTYGNYSPLNAYFGVTAGNLTLLDNFNASHYALAINTVFFFLEYSVSVTSGRLELTFAPSRHQNGSYAFMNGIEILPTPDLFPTATLTLTDGEKRDPFPIDPAWWFQTMYRLNGMTSLPGMMILIFTAHGGMTLLTYIYGPGYGKTFEKDSNITITYTPSVPKYSAPIDVYATARTMGADAQVNLKSNLTWTFLVDAGFYYILRFHFCEVQYPITKINQRSFSIYINNLTALHQIDVIARAVYLDNAVLTTGFGQMDLWIALHPDLSSSPEYYDAILNGVEIFKLQLVGENSLAMLMAPPQPSHDVEPNGSSGGRRPKRVAPAVIGGAVGGFAGVIIACIGLCIVCQQKKVAMEYSRTDNNTEYNGRLRSNSCHHFTFNEIQAATSNFDETFLLGKGGFGNVYCGKIDRGIKVAVKRGNPLSQQGIHEFRTEIEMSLLRHRLLYL >Et_10A_001327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23424839:23427058:1 gene:Et_10A_001327 transcript:Et_10A_001327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGVKKLFAPSEVALHATRKDCWVVIHGKVYDVTKFLEDHPGGEDVLLHASASGDATEAFEEVGHSTSAISMMDSYLIGSIEGYVPPSISKSSDDLSVGAPPNSRNKGPPNPNTFLDFVLPLFMLGLAFAACSRNKGR >Et_10A_000200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17000363:17002417:1 gene:Et_10A_000200 transcript:Et_10A_000200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGLFNNGRGSRIITTTRIFQVAKCCSFFGGHIHRMKPLCYDDSRRLFLKRVFDSEVCPLHMETALDKILKKCDGSPLAILTVSSLLVGICQDDDVSAMRRILSHSYFDLPQHLRTCLLYLSIFPEDWVIKRKSLVYRWIAEGFVNAQYGENTTDVGESYFHELINRNLIQPVEIKYNGQVKACRVHDTILDFIISKCLEENFVKLHNAQDQIFDKKIRHLSIHSTFKSTPNLKGMEQQDLSHIRSLTIFHGDIAHIPSGLVNLRVLDLDQCRHGYDMKYGASQKRRRYVNVWDPIIHNVAECYHLKYLRLPYSFCNIERVPDELGRLKHLQTLDLTSVITLKDLPPSLSKLRLLVRLFVSSTTKLPDAGIGDMKLLEELHHINITNHSMKFLHELGRLIQLRVLRVGFRLSDIKDEQKLVVFLSSLLKLGSSLHSLSIRAYDDKDELTEIPWMPPSIDNLWREALVGLRKISMYTICGVPNWIVSLNNLATIKLMVRGMWRQGLDILGGLQNLLVLSLTFTYAYEDILVFSSSNGFQHLTYLAIDWPLSREKRRIRSLVFEAGSLRRIEHLKLQLDCTSSCTVKRRTDGWEALASTISTLSGSEIATLYEFGIENLSSLTTLEVDNDCCCPSAAEICEAAMKKAISKLPSCPTFKLEVSSTLVIN >Et_4A_034642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5067831:5073768:-1 gene:Et_4A_034642 transcript:Et_4A_034642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAATAATAPDPAHPSRPPLTPALGKPNSAASRRPARSSKPVSSRYLSSAAASPASSTSSSTSSSSSTSSRRSLSAQRARASTPPPQHSTSPTTTTASAAAAAAAATATATTMRSLSVSFQGESFFYKTSRAPRASSPSSPGARRGPTPERRKSVSSVPEAENARPQNRWPAAKPKASDPLARSLDCSLDRKDSILAAVQLLRRSMAFDSTTSLSPSDPAAAAAPDLSASSDTDSVSSGSNSGAGDPPRRGISVPARFWQETNSRLRRLPEPGLPLPSSGRRSFSDSPMSPRLPGRSPSPCRGSRGGASPSRGRGGEASPNGHAVQATANAPSIISFAAEVRRAKKGENRIEEAHRLRLLDNRHLQWRCINARTDAALLVQSFTAEKTLHSAWKEISRLRDNVGSKRCKLQLQKQKLRLYAILRGQMSYLEDWSHIEKYHSSSMSAAIKALKASTLRLPVVDGAKADVQCVKEAVNSAVDVMHTMTSSICTLLSKVDGTSSVVSELAKLATQEQMLLDQSRDLLSTVAAIHVKQCSLQAHMLQRKQKQSPTQIVFIKYMFRKALGAI >Et_4B_036296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15531227:15531712:1 gene:Et_4B_036296 transcript:Et_4B_036296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEWVRDRVIVESDCSNLIVRREQNRVAHELRQLTKRTTHTAMWRAQAPHCVEQLIAQECNPFFSLQKLSLQNNPGKKSKKGTFVSACRAMRLPSKILWIWEGAVGSVRRKDSDRSTCELQRQFRH >Et_5A_042934.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:7265263:7265876:1 gene:Et_5A_042934 transcript:Et_5A_042934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPAPSPPPRELAPADPRPRHNPLLAAAAAASLLAFLYLPRPLLQLVLSPASLSSLLLLLSLLRLGSATPSPASPPPTPSTSPLPQPEKRPPEAAHPPPPEPEPEPECIVFPEQPPELFASWAAKGRALEVIHEEFELEWGRPEEMGLAWASDSDDSDSDSGSGSGSDDDGGGGMIEIELEEDNLIEIDISRCR >Et_7B_055225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8438680:8450901:1 gene:Et_7B_055225 transcript:Et_7B_055225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLASSLVAATPRWLPLADSFIRRRCPCPSPLPMLLFDRRSYSKPRKVSRGISMMSRKASKQGEYCNEGMLSHILWWKENMERCRKPSSVQLTQRLVYSNILGLDPALRNGSLKDGTLNMEMLQFKSKFPREVLLCRVGDFYEAVGFDACILVEHAGLNPFGGLRSDSIPKAGCPVVNLRQTLDDLTRCGYSVCIVEEIQGPTQARARKSRFISGHAHPGSPYVFGLAEVEYDVEFPDPMPVVGISRSAKGYCLISVLETMKTYSAEDGLTEEAVVTKLRICRYHHLYLHSSLRNNSSGTSRWGEFGEGGLLWGECNGKSYEWFDGSPIEELLCKVREIYGIDEKTIFRNVTVSLEGRPQPLYLGTATQIGVIPTEGIPSLIKMVLPSNCVGLPSTYIRDLLLNPPSFDVASAVQEACRLMGSVTCSIPEFTCMSAAKLVKLLESKEVNHIEFCRIKNVLDEIMFMSKNSELSAILNKLLVPASVVTGLKVEADMLVNECSVISQRISEVISLGAESDQAITSFEYIPKEFFNDMESSWKGRVKRIHAEQEFGNVDIAAEALSTAITEDFLPIISRIKSVISSNGSPKGEICYAKEHEAVWFKGKRFIPNVWANTAGEQQIKQLKPAIDSKGRKVGEEWFTTVKVENALTRYHEACDNTKGKVLELLRGLSSELQAKINILVFCSTFLIIAKALFGHVSEARRRGWMLPTISPLSKDCNANESSSEMDLLGLFPYWLDINQGNAILNDVHMHSLFVLTGPNGGGKSSLLRSVCAAALLGICGLMVPAGSAVIPHFDSIMLHMKAYDSPADRKSSFQIEMSEIRSLVSRATDRSLVLIDEICRGTETAKGTCIAGSIIERLDHVGCLGIISTHLHGIFDLPLTLSNTDFKAMGTEVIDGCIQPTWRLKDGICRESLAFQTARREGMPDLIVRRAEELYLAMSTNKKQTVSMVHNEPSKASTSANGFVEKPDSLSNRLELPHGTFELLQKEVECTVLMICEKKLLDLYNKRNIPEIVKVACVSVGAREQPPPSTVGRSSIYVIIRSDNKLYIGQTDDLVGRLRAHRLKEGMQDARILYMVVPGKSIACQLETLLINQLPLKGFKLMNKADGKHRNFGISLSSGEPIAAHFTDQLKL >Et_2A_014620.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29131341:29132045:1 gene:Et_2A_014620 transcript:Et_2A_014620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EQARLSFPFGVAPQLPEQLLRRRVAPHPRPRDAVPELGEAVRHPVPRPAHVRHGHVVEQREQRGDLLGEPEVLLRPDPRAAPCHVHRRLGVALDPHAAPPVGHRQLEAAPERRELGHRARRRADALRVAQHEPALVVAVHAADARAPGRGLGRPVEVELQPAGLRLPPRARRFRCSIVQVPPPASPRCRPAGTGDEAGRQRTVHPQHADAAQRTVHPQHFVRRRMGDRSPRVFS >Et_1B_010002.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17719913:17720104:1 gene:Et_1B_010002 transcript:Et_1B_010002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVSMVSAHVRRPPRRAPARRRRARHLPCQRHRLQRAGACARSIDLISSCSRVSTRLDRSPH >Et_8B_060074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5772699:5778964:1 gene:Et_8B_060074 transcript:Et_8B_060074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLALAVALLVGAGAGVDRSAAATVEVEGSEVTYGSVVKLMHEKTKHRLHSHDVPYGSGSGQQSVTGFPEGDDSNSYWIVRPTPDSSAKQGDAIETGSIIKLQHMRTRRWLHSHLHASPLSGNLEVSCFGGDGQSDTGDYWRLEIEGTGKVWKRDQKIRLRHVDTGGYLHSHNKKYNRLGGGQQEVCGVREKRAENIWLAAEGVYLPVNGSKGGSLCSSSLWDKPKQQTSILLDRFLQVQLLELIEALQGQQCIGTSLVTSPNTLDIELFTKLAQATVQATIGAVPHDMLDVVQHREEGLGELQEPFQGLRHVLPGEHPEQVAKVMPAVESDPLDFGVEQDTRRHHQLGEAGGVDALSRETVEVDSTAAEQVDGVSVGDVGARVEAPEVELPDAGPAPRAARRERAARVGEDEAELDELEHVDVAREDGVVLLIRVAE >Et_4B_038732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4438113:4441474:1 gene:Et_4B_038732 transcript:Et_4B_038732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLLRVDKGGNPDLVRESQRKRFASVELVDEAIAADEAWRKRQFELDKIRQELNATSKKIGKLKASKQEEEAKKLMESTDEIKKRLAAKEAEVQEAKGTLDAKLMTIGNIVPESVPVSDDEANNLIVRTWGEKRLDENLKNHVDLCRMLDIVALEKGADVAGGRGFYLKDEGVLLNLALINFGLAFLRKRGFKPMQTPFFMRKETMGKCAQLAQFDEELYKVTGDGEDKYLIATSEQPLCAYHLEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNGNDSWEMHEEMIKNSEDFYKDLGLPYQVVSIVSGALNDAAAKKYDLEAWFPASKTFRELVSCSNCTDYQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENYQKEDGVEVPKVLQPYMGGIEFLPFKQPLDIKQAADTKSKSKSKVFLCLCSSVSSENILCIVLPFWVLC >Et_2B_018877.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:11908405:11909382:-1 gene:Et_2B_018877 transcript:Et_2B_018877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLCSLCVVLLCLFISTYRLDPANSEDATEIPSGTAAPATSSSSTGELKLSRSLPTTLSRAPWISSPCRPTRSPTTASATSLTLTTISSHPTRTPARSATSSAPATTSLGRAPPSCPRKLGSGRFCRGLWPLRRSRRTTSTGFTGAKLVNGVIYWAHTNQSYMLALDPATMQLSRIDVPSYLKGQGHRFRAGETKDGNLCIVCAIEFTLVVWYWRADDDGIEKWVLDKMFPVQTEIVEATEGSLEDHSALKVMAVMDGLVYLSTYETFNDADLPCWFLYFCLETRKPKKMFQKRYDSHIYPFIMAWPPSLVHEISDTGLEDANA >Et_1A_009514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7029300:7033412:-1 gene:Et_1A_009514 transcript:Et_1A_009514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKLDDQEAVALCRGRADLLAAAVRHRYALADAHGALGDSLASVAAALHLLMTASYGAHHARLVLPAAGKGVDAPPPPHPQSGGSSSPPHSSSHIDFAPSSDSESSSSASSSPRRRDHHLDRRHPHPHPHPTALPYPHYGYGGYGYPDEPPFGVYPQGSLRLYYSRTRPPPPSVAVVEQRAAPPPERVYFGYSEPAARGYPEYYHSYGGGGEPVKAGRAVPPPPSPPRESSWDFFNVFADYDVYDNYCYDTGGAWATAEAAAPAYTPSRTSRELREEEGIPELEEEDVVVVVKQVDSEYSAPGSGARSGNAEADQVENSVVDKEVTGRGNVAHQQATTQRNVASPVPAARGPVQGSDVAGQIKRQFVGASDAVRALAPILEVGRRRHHPRSSVYHVSSRMVSAVVAPYPDHAPVELLDVGEEKVTGGTSLSLTLQKLHIWENKLYHEVKAEEKMRLLLAKNAKRLKFLDQKGAETDKIDKTRNLLRKLSTKIRISMRVITKVSKKIDRIRDDELWPQINALVRGFVRMWQDKLDCYQIQCQVMSDAKGLDFVSGGSSRELAVELELELIKWIRSFVKALNGWLALCLNYKAEETADGVPPSPRRVGAPLVFVICNSWSQAMDRISVKEVVTSLQALVSTVRKLSEQHTVEQTEQIIAIREREKWNKILERKTLEINKEADALNRKLALVPGRQSLLPRSNTYKEHHLEASSLQASLGRVVHALESFASSSMKAFEEILRHAEGERAPRENAKDALQMMDCSVRTADPEHGSNVRKADTP >Et_4B_039081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7641931:7645460:1 gene:Et_4B_039081 transcript:Et_4B_039081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKWKAAEGGGCAVVAGGGDQRRQCVAASLSMLIAATLAFLAYVAFFPGDGAGGLYQLWRCQDCAGELGEFPGDDAAAADGPTAAGVGRVRPPTTLSHVVFGIGASARTWDQRRGYAELWWRPDQMRGHVWLDEAPVSPWPNATCPPYRVSADASRFGDRADASRMARIVVDSFLAVAAEQQGNGTSGQEEVRWFVMGDDDTVFFPDNLVAVLRKYDHEELYYVGAPSESVEQDVMHSYGMAFGGGGFAVSYPAAAALAKAIDGCLDRYRLFYGSDQRVQACLSELGVPLTREPGFHQVDVRGDAYGMLAAHPVAPLVSLHHLDHIEPISPVAKGKTALDAVRPLVDASRHDPARTLQQSFCYQRGPGGYTWSVSVAWGYTVQVYPWAVAPHELEVPLQTFRTWRSWADGPFVFNTRPLQPPTDACARPAMFFLSRVRNDTAGRAPVTEYARHDVVPAPKECDRASFRAASTVHTVKVFAPKMNENDWTRAPRRHCCKTKRTRWGSVLEVRIRRCGRGELTTP >Et_8A_058153.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:21994188:21995738:1 gene:Et_8A_058153 transcript:Et_8A_058153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDTTPATFAAFDASVDAGFQPLNADDVRSYLHKSVDFIYDYYKSVESLPVLPDVRPGYLQKELRAAPPVSSAPFDVAMKEVREAVVPGMTHWASPNFFAFFPSTNSAAALAGELIASAMNTVGFTWQASPAATEMEVLALDWLAQLLRLPTSFMNQTSAGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSDGVAGITRLTVYAADQTHSTFFKACRLAGFDPANIRSIPTGADTDYGLDPTKLLEVMQTDVDAGLVPTYICATVGTTSSNAVDPVGAIADVAGLFNAWVHVDAAYAGSACICPEFRHHLNGVEKVDSISMSPHKWLMTCLDCTCLYVRDTHRLTDSLETNPEYLKNDASESGTVTDLKDMQVGVGRRFRGLKLWMVMRTYGAAKLQEHIRSDVAMAKMFEDAVRQDDRFEVVVPRNFALVCFRIKPRSDMTEEDADEANRELMERLNRTGKAYLAHTVVGGKFVLRFAVGSSLQEERHVRSAWELINKTTTEMTKADDKM >Et_7B_055031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6602461:6605176:1 gene:Et_7B_055031 transcript:Et_7B_055031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHVIYTRREQGGRGKSLVRLAGGAATVAAAASGGRSLSRAGGRALRATSPPPHASIASAARWQSRSLRRDGDEGWLEVIAAGPGGAAPDSREEVTDEYKVVFGAPPTDDEVRAAVASIKQVFEKPSAVDSDVSELQALALPVAGHPSSGIFVNHFSLDADASDIGLEEWIEPAMLVLNSTALLTREHRNVLEAFHLLQEDSSVQKMVMALSTDKTVWDAVMKNEVVQEFTRSFQDAKESDLKGSSPAPGVMKWVLENSQAKIKEFLEQILKVVNMLFQAKDKNYDLYDDAVRMSFMLSVFVFIVCHSSAQNPWNPIGKPSSSLSPPVGTKIGSPMNLRPSVDHNRADPSEASTFTTLSKGKYGRNLREPEAAPV >Et_7B_055938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7744800:7745861:1 gene:Et_7B_055938 transcript:Et_7B_055938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNNHSLPHSVCLSRAHHQGVGHYAKCKPLKFACTSMSIWKSICKTAPCEHKVAALVSACAIHRAMRRSPSSAHATRSSPLTECHEHRARRSSACPQLAAVACLCGARSTPQLAGDWKWRGCAGMATGGRALAFTPELVGEGDLSNLFWTHELEESRWEAVYTVIQTCVVAAYGIAFSGTQH >Et_4B_036082.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:22315583:22316701:-1 gene:Et_4B_036082 transcript:Et_4B_036082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPSVKPRRVSPRIPPNYVSLRQLQELRLKEKEEQEKRRREEEEAVAAAAAKLEATRKAEMKAIAATVKAQKKVSAASSVASGWAKERRDGGRGHQWVAVAHQPAREEGLFGKREGATGGAGRGKKGPADAAAAKAPRGGGKQEGKAKGAGEEKASAVTSAQILAADVPGKPPAEASSREGKPENRGEGKAKEKASGDKSAEPDSIGASGESAGASSRRRRYPQWKKGAGGRSAEVKADTAPAKTAAASPPQGVNPEAAGKPTTPGPRLADASPSTVPSEGKKAAPRPPTSAAAGRSGVELRAAVETKTEGLAEGSAVVVHVAADQKPRVVRRWTEPRPPGDRRNGTAEQHGRIWVPKTAAAGCAEPSKC >Et_6B_049661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8112974:8123728:1 gene:Et_6B_049661 transcript:Et_6B_049661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAPREAEKLALHNAGFLAQKRLARGLRLNYTEAVALIAAQILEFVRDGDKTVTDLMDLGKQLLGRRQVLPAVPYLLDTVQVEGTFVDGTKLITVHDPISSDDGNLELALHVPSLERFSGDDIEETPGEIHYSSGCITLNLHRLALTLKVVNKADRPIQIGSHYHFIEANPYLVFDRRRAYGMRLNIPAGTAVRFEPGDAKSVTLVSISGHKVIRGGNCIADGAVDCSQLDDLMQKVSANGFGHEEYPEAREGLIGDGTFDYTLDHEKYSSMYGPTTGDKIRLGDTDLFAEIEKDFAVYGDECLFGGGKVLRDGMGQSTGYPAVSCLDTVITNAVVIDYTGIYKADIGIKDGLIIAIGKAGNPDVMDGVHTNMIVGVNTEVIGAQGMIITAGGIDCHVHFICPQLAEEAIASGITTLVGGGTGPAHGTCATTCTPAPSQMKLMLQSTDQLPLNMGFTGKGNTAKPDGLAEIITAGAMGLKLHEDWGSTPAAIDNCLSVAESFDIQVNIHTDTLNESGCVEHTVAAFKDRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTVDEHLDMLMVCHHLDKNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVITRTWQTANKMKAQRGRLLGPDISEADKDNDNFRIRRYIAKYTINPAIVNGFSAFIGSVEVGKLADLVLWKPSFFGAKPEMVIKGGVVAWANMGDPNASIPTPEPVMMRPMFGAFGKAGSSNSIAFVSKAAKEAGIAMEYNLEKRVEAVSGVRGLTKLDMKLNDALPNIDVDPETYTVTADGEEAAKAKSFLNGVAYSITQKWQLNLKRRSEVRLIPKLFVLTSLSPDPFFLPNNLHSSILPFSKDQISAILDEVFRTQ >Et_10A_001610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:669249:684280:1 gene:Et_10A_001610 transcript:Et_10A_001610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAERHDDENLTTSAAGELDLMPPKLCHRSAALLVWFWYSYELILVQIRLTNPIVLFTILIVAEDDDDEEYEEPGGGNHFLGFMFGNVDDSGDLDADYLDEDAKEHLFALADKLGPSLKDIDLIKSSPAPTDPSEQDYDEKAEDAVDYEDIDEEYDGPEVEAATEEDNVLSKKDYFSSAAAFAPASTAVSVFDDEDYDEDEEPPNDPGDNAVQNLSSVSTELRDMVTSRDGLALEKVGSLSHPEESMDFEHESLQDEMAIEEGQQELESVPSLPVLCIEDGSVILKFTEIFGIQEPARKVKTDHHKRSANKELQITNVADIVEEDEELFLRSTTQNVPTLKHIQMNEEFTESDSDESISDVNLRLKDSCLSEQPMKDTEKDTCSVKLPPVCPDFYPLEHDDWENDIIWDNSPATESEPCLKSRVVSEESAGTHCEKQDQDYGQASGCCDVQSNIHGFPVLKEPVGCTEMPAPANYLSPGNSYLPLTKEDNIECTDPNNLDATVRIGTMRCLSKLSLLNRELLEGAWLDNIIWDPSEDAPKAKLILDLRDDQMLFEILDEKNVDHLRSHARAMIVSQSIKTSTSTVENFDNQAKTLSGRFNISNDKFYSNRKMPQQAKSHTKKRALMGIKVVHSAPAHKLQTMKPILSNKEIANFHRPKAKWYPHENKIAAQLQGAACSHGRMTVIIMTLGGKGLKFVVNAEETPVFVKTKASKKLEFKPSERIKLFCSGKELHDDISLAMQNVRPNSILHVVRTEVNLWPKAQMLPGEDKPLRPPGAFRKKTDLSVSDGHVFLMEYCEERPLLLSNAGMGARLCTYYQKTTPADQTAAALRNNNDGLGTVLAIDPADKSPFLGDIRSGSHQSCIETNMFRSPIFPHKVAPTDYLLVRSAKGVLSLRRIDKLYAVGQQEPHMEVFSPGTKNVQNYLLNRLLVHVYREFRAREKPNVTPQIRANELPIQSPLTEAIVKKRLKHCADLKKGTKGEIFWTQRSDFRVPSEEELRRLLSPESVCCYESMQAGLYRLKRLGIMKLTQPVGLASAMNQLPDEAIELAAASHIERELQIASWNLTSNFVACTNQDRENIERLEITGVGDPSGRGLGFSYVRVAPKAPASNSALKKKSAAAKGTTVTGTDADLRRLSMDAARELLLKFGVPEEQIDKLTRWHRIAMVRKLSSEQAASGITIDEIPVSKFARGQRMSFLQLQQQTREKCQEIWDRQVQSLSAIDGDDNGSDTEANSDLDSFAGDLENLLDAEEFDDEDTCKGDLRSDKADGMRGLKMRRCPTHAQINEDSEDDEAEASLAKKLLEDDGNDTKRKKQPVELTNTSTYNQGANKMKQSKTGQMIKSSGYIGALTPKEGTPRDAREAGSSFGEVSLPTKLKAKMTYDGNDIILVKKKVPGKDGLKEKRQGARGDTLVCGACGQLGHMRTNKLCPKYGEDPDALELDANSVKSNPPDAASHGQTKTPFKRLITKVSSDVTETEGSGIDKTKSVPVKFKCGAPDKSLERNMSLSGSVVSDKHMVDVSEFRSTGKANKLVIPNKMKSDDYPLTLQSQKDIPRKKITIKQPKGLEQQRHVELSSGQEPIRKTRKIVELSSFEQKKGKGRSKPLMENGQSWRDFEEQREMAQQRLIDARIYASREEDHQKAKKKSKKKKNHEFRDDDVLDHRPYRNDRRVPDRHGGAKRRPPNDVEYAPSAKRRRGGEVELANILEKIVDHLRGRTAISYLFLKPVTRKEAPDYLDYVERPMDLGTIRDKVRKMEYKNRDQFRHDVAQIQLNAHIYNDRRYPAIPPLADALMEMCDHLLEESADLLDEAEYAIED >Et_3A_023159.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33086580:33087008:-1 gene:Et_3A_023159 transcript:Et_3A_023159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAPAKRFAGALAALPEDVLLEVFSRVRSVKDLFLFAVTSRWWLRLFTDPAFLRGLLPAHGQGHGARLLGFFFQQTRFVRCERMMKARMTVPNPALAPTFFPAPGSPLGPTDCALTAFVSDDDGAFNYADPVASRCGIIL >Et_1B_013807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17322486:17324330:-1 gene:Et_1B_013807 transcript:Et_1B_013807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSAVTSVLALLAAAAAIAVVAADLSPPAGYNTKEDENSYFIKQVGMFAVNVYKMAHMFQMYYKYTVQCWSMPAGGGANYYWMVLTATNSTGAVGQYVSKVWGVPGSESKTCGLRAVVHSAAFLGPLV >Et_4A_035091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9409822:9413017:1 gene:Et_4A_035091 transcript:Et_4A_035091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGAGAPAITAPSSSFISSSSFSLKASKTSRRQSTRRVSVNVRCVSSPPADTSYKTKVPRNANMAKLQAGYLFPEIARRRAAHLLKHPDAKIISLGIGDTTEPIPDVITNAMAERAHALSTIDGYSGYGAEQGEKKLRSAIAATYYADLGIEDADIFVSDGAKCDISRLQVLFGSKATIAVQDPSYPAYVDSSVIMGQTGLYQQDVQKYGNIEYMRCTPENGFFPDLSTVARTDIIFFCSPNNPTGAAASRDQLTKLVKFAKDNGSIIVYDSAYAMYISDDSPKSIFEIPGAKEVAIETASFSKYAGFTGVRLGWTVVPKELLFSDGHPVAKDFNRIVCTSFNGASNIAQAGGLACLSTEGLKAMRDVVGFYKENTKIIVDTFTSLGFNVYGAKNAPYVWVHFPGRNSWDVFAEILEKANVVTTPGSGFGPGGEGFVRVSAFGHRDNIIEAARRLKQLYK >Et_4A_034206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30796198:30798866:-1 gene:Et_4A_034206 transcript:Et_4A_034206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPALFLLAVAVMAAPAAARIPGVYTGGAWQSAHATFYGGSDASGTMGTYATTGHATSFHLEFLVAGFHLQFTNEETDAESKLGGGACGYGNLYSQGYGVNNAALSTALFNEGQSCGACFEIKVPCRKSGGVRFTINGFRYFNLVLITNVAGAGDIVRASVKASSTGWMPMSRNWGQNWQSNSILVGQALSFRVTGSDRRTSTSWNAAPRNWQFGQTFEGKNFRV >Et_9A_061593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14175476:14176278:-1 gene:Et_9A_061593 transcript:Et_9A_061593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCSTAGTSFCLLFVQMLLVAPWQAETSTAARAALNFTRRDFPRDFVFGAGTSAYQVEFLFTATAATTAHDYYEGAVDEDGGPRASGGNIPCNRPNSCNGATSILVHLIGNQRRASLPPFHEGNFA >Et_8A_058434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7668096:7668824:-1 gene:Et_8A_058434 transcript:Et_8A_058434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAVVIQHPGGMVERLYGQATAADVMRSNPGHYVALVVLRVSGPAVAAVAGGGGGGAARITKVKLLKPKDALLLGQVYRLITSQGAFLDLLLCFLGLLCFEIDGERLTRFPVVLDSAEVAKAIQARRQDKMRRCEEETPDDTPGRRPGHPAAAAGAGRQEQARPTDQQERKRPEKDHRHRSSGGSGRGRHWQPSLQSISEFPASK >Et_2A_016233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22367647:22371648:-1 gene:Et_2A_016233 transcript:Et_2A_016233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGRSWNGGAPAVASRGGGDGGSGAEEKPTTAVVAAAAPAEVPTGLCKELVKGWSSLDNSCFSIQTVSGGITNLLLKVSAEEGTCDESSVTVRLYGPNTDLVIDRKRELQAIPYLSAAGFGARLLGIFENGVVQSFIHARTLSPSDMKEPRIAAKIAKELHKFHQVDIPGSKEPQLWNDVFKFMKKASVLKFEDTEKQKRYETILFGEIQDEVKELKDLLDLLHAPVVYAHNDLLSGNLMLNDAEDKLYFIDFEYGSYSYRGYDIANHFNEYAGFECEYDLYPDKDAQYHFFRNYLQADKPNEVPIGDLEALYVETNTFRLASHVYWALWALIQAKVSPIDFDYLGYFFLRYGEYKKQRESCFFLAQSFLSEMRNG >Et_3B_028286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12917641:12920271:1 gene:Et_3B_028286 transcript:Et_3B_028286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWHWKRVAPLLLVNFSQFLHAGWHPRGDTSLQKHCGGNLKLQMVPQLLQHGHGAAFGLPEVNHAFIDMGRKTLTLAFGERQSCEDGNHSQRDEQRLGLHVWISS >Et_7A_052082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4486138:4491482:1 gene:Et_7A_052082 transcript:Et_7A_052082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRKTRLPHRTTSDQTIPLASSLAHRFRCSFQSHPNAPPLSTHERKLPRTRRDWIWKLLHGTPRLTEQRRPEKSRSKWREAMAFLLPKLTTPSCKSPPGPLLRPQLALPAQAGGKFHGSAPVQAAAPGHLSLLLLLSASQREAATAVPTSRSTETKNRSNGGGDPQRSDFYLNLGTAVRTLRDDLPAVFLREPNYDIYREDITFVDPLNTFHGIDNYKTIFWALRFHGRLLFSEIGLDVSRIWQLTENSIVVRWELWGTPRVPWESYGCFSGTSRYKVDRNGKIYEHKVDNLALDFPRSVAKVGSIADMVVATCPPSPNLTFRDVVGTGEGCSWIKLYEAVVEAVEREDHSNIGIGLEGLMLNNPSKVTRKHTGRLSILSLEICRKRKVHVAVLVYEHVDRGRHGLVRGDDDLVAGDVLERVRREHVAGDQRVLHVADAVREQQHRREVHRPRGPVPVRGPTRRGGLDRHAHGPVLLHVDLLDGGELAVELHDVDALVAAVEDVADDLDGLGDAADGLEDDVGVAEEEGARHVEQHGVLGHDARVVHVAVGDPRLRVPGHLEVDADAAEAGRLVVAVHAGDGVGAAGDHDPRRRGEDDHVGDRVVVAVEPDARAGEPALPRVLSGRHVLAEEGVADEGLVAAAHRHAVGGEVGHVAVVDAHAERVGHRHAAAAVVAVEQQVAQRRELLRLLLVAVSSIRYRSVGKSSDARSDPLWI >Et_10A_000598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13409849:13413036:-1 gene:Et_10A_000598 transcript:Et_10A_000598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILEQVDGEEVPGAAAAGLVAPPANFGMVDTGVYRSGFPDADSFGFLRGLRLRSVVYLCPEPYPEANAEFLKAEGIRLFQFGIDGTKDPYVSIPVDAIMGALRVLLDVRNHPVLIHCKRGKHRTGCLVGCFRKLQNWCLSSVFEEYHRYAAGKSRLSDQRFIESFDVSCMRDCMLRLIYRYHDCLQKSKRLFIRIEVQSSAADSVSTWQDAKGAR >Et_4A_034761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6284238:6293882:1 gene:Et_4A_034761 transcript:Et_4A_034761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TDKVTLLDSTIKYVKHLEEKVKALEAQHVRKTSASTILGSKRNISADEGASGSCVAGESIPTIETTVHGDTVLLKICCKEKRGVLVMLISELENQGMSIINTSVLPHVPQHHHNGRGKASTGEGFSTTAELVKNLFAEPVDELAYVYHQEEQPRMFAHPPPEQPPRYYASPPPAMAPPLPNPFHPPSRSRSFGGPSFGEMTVKTEPGQPSSSTSILSFGAQPPRTLSFSAGDWPDGIEAVQQAPERRSRAHLNTQEHVIAERRRREKMQQQFVALATIVPDLTKTDKISILGSTIKYVKQLEEKVKALEEQSAPRTSEPAVFERKCRISSDNDDAGTSSGAISGYIPNVEASIHGDTVLLKICCKERRGVLVMVFSELENHGLTIINTSALPYTDSCLSVTVTAKARPFIEQGFSTIDELINNLSTALRRFT >Et_6B_049726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9521605:9532962:1 gene:Et_6B_049726 transcript:Et_6B_049726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREGFVPLLLLAAVAVASLAAVAEAATATSGDAAAIADLVKSLSLGGGGGDACDVVGIKCDSSGRVTGINLADKGLSGTLPASLSTLTALQSLQLQGNELTGAVPSLAGMDSLSVLVLDDNKFSSLPDDFLKGLTSLTDLSMDHIPSLKAWNIPDAIVDCAMLQNFSASNASIVGAFPAVLGNLTSLRQLRLSYNNLTGGLPAELSGMDALEDLQLNGQQSLKLSGPIDVLASVKSLKLVYLQSNAFTGQIPDFSGLQLVEFDVRDNQLTGLVPVSLTQITTLKKVVLSNNYLQGPKGNFTANVSTKDVDAGNSYCSDDPGPCNPLVTTLLEVASGFGYPIKLAQSWVKSQPCGSDWFGISCANGDVVSISLNNQSLTGMISPAFANLTKLERLSLANNNLSGVIPDALTLLPNLKYLDVSNNRLTGQVPGFKSSVKVLSTGNRFGESGGDSGGGGGSSGSPSSSKSGGAPKSKSNAGMIIGILLAVILLVVCVGILVHHRRKKNVDKFSPVSTKSPSGESEMMKIQVVGTNGNSYGSASAAPTELYSHVSSDSANIANIFESHGMQLPMNVLLNATNNFNEDCILGRGGFGVVYKGTLNGKLVAVKRCDSGTMGTKGLQEFMAEIDVLRKVRHRHLVALLGYCTHGNERLLVYEFMSGGTLREHLCDLQQSGYSPLTWTQRMTIALDVARGIEYLHGLAQETFIHRDLKPSNILLDQDLRAKVSDFGLVKLAKDTDKSMMTRVAGTFGYLAPEYATTGKVTTKVDVYAYGVILMEMITGRKVLDDSLPEDETHLVTIFRRNMLDKDKFRKFVDPTLELSAEAWNSLLEVADLARHCTAREPHQRPDMCHCVNRLSSLSSLQLSPEQHTADETRWRMRKALVQVVLILTVVLGCKADNDFLTEGEYIKVKRSSLAVLLVSTFLVFGLAIGIIRYLRNRIRANENTTESFKSSQGNKVHGQVINRWSGLYKFTKAEIERALNYANTRIYLGSGSAGQVYQGVLPSGQLVAIKHIHKTAMSGSFIREVEQLSKVRHPNLVCLFGYCDEDGDQYLVYEYCANGNLAQNLLRSDSVLPWETRVKILRDCASVLRFLHTHPDGCIVHRDIKLTNILLTENKEPKLSDFGLAKMLQMEETKVFTDVRGTIGYMDPEYITHSKLTCASDIYSFGVVALQLLSGRKVIELDIVARDSLTKKAKDVVSGKKPLEEFIDPRIKDEVIIEDFVLILKIAVLCVASSSVGRPTIKDVFEEMDKAQRNTTVKTKARKEINASNLVIQYAEVLDV >Et_3B_029596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26299310:26307072:1 gene:Et_3B_029596 transcript:Et_3B_029596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EQNHLNPQQISKFQTHAADEEHDLLTTDQSGRPVISTVISAPAPFQAAEPRAAMACSAHLLSQSLYSSHRASPAAPRSLRFQARPSAHASTGARPGSSVRGRRGLVLRASASFEQRQVEVTYDPQAKFNQLADQIDKDAGLTRLNLFSPCKINVFLRITGKRPDGFHDLASLFHVISLGDTIKFSLSPSKSRDRLSTNVAGVPVDESNLIIKALNLYREKTGTDNFFWIHLDKKVPTGAGLGGGSSNAATALWAANQFSGCIASEKDLQEWSGEIGSDIPFFFSRGAAYCTGRGEIVQDILNPLPDNLPMVLIKPPEACSTAEVYKRFRLEQASRTDPLTLLEDITQNGISQDVCVNDLEPPAFEVLPSLKRLKKRIIAASQGGYNSVFMSGSGSTIVGIGSPDPPAFVYDDDDYKDRLASSLARRMSGTRNRSRPKPRLAKTACQPQLRQIE >Et_2B_020761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23307054:23320444:-1 gene:Et_2B_020761 transcript:Et_2B_020761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEEAAGCCILCALTLATVLLAAYAFITPVEVTVEVFALRRLALAAPAANGTAPIAFDLSLAVAVRNPNWAMSVRRTAPLGGELRFRGVPFARGWLAPAPGATGRDRIRARRTEVYRVSSAGESAAVALGSDGVAEFAEENAAGVFDLEIVVVGEFRYQAHHRPRRFRASCPLKLALSTATAAFAKPCGILLGLLLLAGLVLVMAFTIVLPALVTVDEAPLHHLTLAAPASNGTSASSLAYNVSLVVAVHNQNWAMSARRTAPLSSASPAGRSPVSGSPARRTLTGSAQSAAGGVVELELVVVGEFRYEAHRRPRRFRASCPLKLSRSTAAFAKADAEGCCFLLALLALAGVLVAAFAFVVPVHVTVDEAYLGRLSLAAPGNGTPASLAYDLTLVVTVHDHNWAMSARRTAPLDAQLRFRGLALASFRIAGAADWHRIPRSTMSIDRFTVADKAAPVPALGSFAAAEFAREKASGVFDLELVVTGQFKYLAHSHKRSLW >Et_4A_035296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1864620:1868147:-1 gene:Et_4A_035296 transcript:Et_4A_035296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLLPSRAAHAATATTCASQHLAAATSKEPPPQLRRKRGGGGGSGAKSLVLSHVAAGRMDEAVDAFAAVRSPDAFLHNVMIRGFADAGLPCDALAAYRAMLAAGARPDRFTFPVIVKCCARIGSIEEGRAAHAAVIKLGLAADVYTGNSLVAFYAKLGLVEDAEKVFDGMQVRDIVTWNTMVDGYVSNGMGALALACFQEMNDTLQVQHDTVGIIAALAACCLESSSMQGREIHGYVIRHGLEQDVKVGTSLLDMYCKCGKVEFAENVFATMLLRTVVTWNCMIGGYAVNERPADAFDCFMRMKAEGFQVDAVTAINLLPACAQTESSLYGRSVHGYAIRRLFLPHVVLETALLEMYGKVGKIESSEKIFGQITNKTMVSWNNMIAAYMYQEMYREAITLFLELLNQPLYPDYFTMTTVVPAFVLLRSLRQCRQMHSYIIRLGYGDNTLIMNAVMHMYARCGDIVASREIFDKMGGKDVISWNTMIIGYAIHGQGKAALEMFNDMKCNGLEPNESTFVSLLTACSVSGLADEGWMHFNSMQQDYGMIPQIEHYGCMTDLLGRAGDLKEVLQFIENMPIAPTSRIWGSLLTASRNRNDIDVAEYAAEKIFQLEHDNTGCYVVLSTMYADAGRWEDVERIRFLMKEKGLRRTEARSLVELHDKDCSFVNGDMSHPQSEKIHEFCNKLSREIGETFDDPSNVDDSVPFASSKTVLPNKHSVRLAVAFGLISSEAGTPILVKKNVRVCNHCHHALKLISRFSNRKIVVGDTKIYHGEKSTGRVDFGYAVLNLCSAAALGLLGLDRAARRLGEAVERLLHGVAPADEEARHHLRVALPSRLLELAPGVGSQHVAPRHAAVVGPHEEPSGPDDVPVQSQRLPPGARQPPPRLSLLRVVVEERRRRGGLLDQVPVQELFDGVGALVDGSRGRPPRRGQGAEEGGGAGASGYGHGRLSACCD >Et_2B_021035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25872684:25875478:-1 gene:Et_2B_021035 transcript:Et_2B_021035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISLRLHLLLTSFVLVPSLAGAQSRPYGGALPGYARYLLDATAMPAVEQYDYIVVGGGTAGCPLAATLSGPGGGRVLLLERGGAPSEFPALATAGGFVRTLAMADPAPESDAPAQAFTSEDDVPNVRARVLGGGTAINAGFYSRAHPGWFHGHGEDAEVTNWDMRLVNASYEWVERQMTFQPTVHGWQAAVRAALLEANVTPWNGFTMDHVAGTKVGATTFDASGRRHSAADLLAFARPGRLRVALRATVTRIITNPIDPAARRGRSPQPTVAAIGVVYQDRLLEQHRALLRPGGEVILSAGAIGSPQLLLLSGIGPASDLSYLGIPIAADVPDVGKHMFDNPRNGISVIPSVPIDHSLIQVVGIPSANGSESYLEAASYIVPLAPALSSSGPFIGSTTPVYITVATIMQKVPGPVSEGSLWLPSGNPLESPALRFNYLSRPEDLARCVLGVRRVAEVLESRALDGFRSAVGSTSRRGPVRRDFRIVGTALPVDWRTDDRALANFCLQTVATLWHYHGGCVAGKVVDRDFRVIGVRSLRVVDASTFSETPGTNPQATILMMGRYEFEYFYCLVRPRVLYDVSR >Et_1A_007100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30963385:30963970:1 gene:Et_1A_007100 transcript:Et_1A_007100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVPSTLPMKLRKVESRGKAAAGFGSGSRSRVLVTVTVLGSAGPLRFLVDEGETVAGLIRAALRCYAREGRMPLLGADPAEFLLYTANGRSDALTADERISFNGCRSFLLWQKATQAAAEEPGSEPLATTTSPGRKGSGGWKGGLNKFFLSFSFKV >Et_6B_048571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1127153:1127453:1 gene:Et_6B_048571 transcript:Et_6B_048571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAVVLLDYVTYPYQNAITGASASLSGAGDDPSKGKRESIDQDCAICLGQFDDGDWCSVMPSVATSSTGTCISRKSLYMDLCFCTDFVLVLRYRK >Et_4A_033108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18630361:18636180:-1 gene:Et_4A_033108 transcript:Et_4A_033108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETDPAPVMEEKAVANGGAAADVAAPDKDTTTKDVAAPDKKDTTTKDVAAPDKDMTTKDVAAPDKDLTTKDVAADSNDAVADKNDEEQNKVSENGTDGPKDGDVKMEEAESVKEGGGDAGAAELVDSGDVGKDADAKEENSAKPEEGEDAKMTEAESVKDGDADAAKQVDSENLKKNADAKEDNSANPVEGEDTKIAEAGNLEVKDEGQTEDKDDKMEMDKQDELKEQEKGGSGDQEENRGKEIEPAEKQQEEEAEDKGSAEKKEEDSGDNANEEKADKDGASSQGKDSEVAEEEVSEADKVMEENKEETPKNKKARSARDRSQGKDKKQGGTKSREAKSLLNTSSPYGTDRPQRERKTVERLVEAIEKEPNQNFVVEKGRGTPLKDIPSVAHRISRKKPADLKFLHSILFGRKGKAVDFKGHILQFSGFVWHGSDEKMRAKAKEKLDKCMKNVLLDLCWLFAIPVAKANLRKEDIVSKLLDFIAEPHSVADSGFSDDQGSNSRKRKRGGSSSKTPDSTTKTGPPRTISRSPIRKSSSKISKEQESPDDSAKVFSRKRKSASKGAPTEKETKEKKSSGKKVTKGKGASAEADLPSKDDLRKTIVGILKKVDFNTATFSDILKKLDNHYKMDLTSRKEAIKVMIQDELTKLSEEADEDEDEDEDGGQDAGKKQHQHQGKEVKA >Et_4A_034891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7660942:7664813:1 gene:Et_4A_034891 transcript:Et_4A_034891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDSSTAPLAWHDFLERMRQPSAAEFVKSIKGFIVTFSNRAPDPEKDSAAVQEFLQNMEGAFRAHTPWAGSSEEELESAGEGLEKYVMTKLFNRVFASVPEDVKSDEELFEKMSLLQQFIRPENLDIKPEYQNETSWLLAQKELQKIKLYKAPRDKLACILNCCKVINNLLLNASVISNDNPPGADEFLPVLIYVTIKANPPQLHSNLLYIQRYRRETRLVSEAQYFFTNILSAESFIWNIDGESLSMNELDFQRKMEWARERLLGLSADSENQDNQANLDVQDRRPQNLTGNRNSDVSLSLKDHIQGPGQDMRRDSDVTVSGKPVERVQSISDLEKKGATELLNSDDLNKKFLEYPFLFARAGDLTVADVENLLNSYKQLVLRYVALSQGMGVNPETAPVQSEQTASELVVPEEVEKNVESVVNNKVDSEGIGKTITSENIHSEVVNTSGPEQIFQQSTIDPSEDLKTQKDEASDQPESA >Et_2A_017049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30492039:30494227:1 gene:Et_2A_017049 transcript:Et_2A_017049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLLNPTTAPKSGPSAPLLPPPPQQCSAAPCTSAVRRSKSLKDSLVPETTLPALPWSSASVPATAGRATPPPDASCREAELIPPALASDSLRSHAAEPVHFNSGPPPPPPQTELEAAARRERIIREEVERRLIEEGVCRELALARARLHGGLSAEPCFMPGGPFVPPPPVPFFEADVPFIPLPMPAGMHPNEPRPAPFGPWPGSRPCRRSAFGRRKLPGEARRRPLPMAKPKHKLEPGESSEVLPSEVSGVKADVTASTSEPTLQISGVKRKADVIAATTQPTKLQKAAMDWSCALCQVRATSEASLNIHIEGKKHKAKLARCSAIKVISGDKSGTQATTGKKDGSGPSDASRKICILVDGVMHEVVQKSNYLWCERCRIRCENNITMADHLRGKKHSELNKVWKSIMAVRLNTSKEGTAATCKSKVNENGSMPIPEEEKKEGACMTSELNENFSVEIHVEKKETTDV >Et_9B_066185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:672306:674627:-1 gene:Et_9B_066185 transcript:Et_9B_066185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGVSCARTGDEHDYFRAAQLGDLDALTALLAADPSLARRATLYDRLSALHIAAANGRLEVLSMILDRGVPPDSVNRHKQTPLMLAAMHGKIDCLLKLLQAGANILMFDSVHGRTCLHHAAYFGHVDCLQAILSAAQTTPVADSWGFARFVNVRDDHGATPLHLAARQGRHGCVQVLLENGAIVSALTGSYGFPGSTSLHLAARSGNLDCIRKLLAWGADRLQRDSAGRIPYAVALKRNHGACAALLNPSSAEPMVWPSPLKFISELDPEAKALLEAALMEANKEREKKILNGTKFSLPSPSHCDNDDANVVDDTSTEPNPTTLTLPSPACPFCRGSISRLLVARTSTAAAGDPEKPASPQLARRRSRRSHNLSEGSSSFKGLSSAMGSLSLSKLGLGSSRTVDIDLDKPEHDL >Et_9A_061317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:128347:129269:-1 gene:Et_9A_061317 transcript:Et_9A_061317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSARRQPTFTKVDQLRPGTHGHNLIVKVVDSKMVHQRVGGPQGRQQMRIAECLVGDETGIIVFTARNDQVDVMKPGATVELRNAKIDMYKGSMRLAVDKWGIVKAAESPAEFTVKEDNNLSLIEFELVTVVE >Et_2B_019084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4683362:4683789:1 gene:Et_2B_019084 transcript:Et_2B_019084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LTISRFGLLGSTISAGGIVFLFLAPAVLCSSAASGRASASKKRRASLTGDVVRGLAGQDLRFLDEAAERLHLRAEPLPEDLLRIWLGELSRNEGRH >Et_2B_019866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14755951:14757653:-1 gene:Et_2B_019866 transcript:Et_2B_019866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTCEFLAEDSLTGGAPLLPLVSTSSSSKSSHGLEIAGYPVEGVSIGGMKTCVIFPTLSLAFDIGQFLRRAVSQEFLFISHGHLDHIGALPMYVSARGFLQGLRPPTIFIPACLRDLVVQLFQVQRAITRSELKHNLVPLEVGEEYQLKRDLKLKAFRTYHVIPRYVIYMVKQKLKKEFIGLSGSEIKRLRLSGVEVTNTVSTPEIAFTGDTTSDFILDPDNADVLAAKILIVESTFLDDNTSVEHARKYGHTHLREIAGHSDKLGNEAILLTHFSARYTSEDIDAATNRLPPSFQSRVYALKEGFQNKHESRNQQ >Et_2A_018730.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:6150438:6151040:1 gene:Et_2A_018730 transcript:Et_2A_018730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGSGGAAAAAGGLDDADAAFFSRRGHSRCCCFPWPSSSSSSSLSPHARRADEEWWHGVGEGGGSAGAARRRWWRRGADALMKVREWSELVAGPRWKTFIRRFRRGGPRHHHGPEHGAGGGGGKLNYDALSYALNFDEGHPGSPEGDYAGYRDFSARFVAPPASAKASMDLGGRDAPPLFHHPQQHSPRTPPASPALRG >Et_1B_013973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2671389:2674162:1 gene:Et_1B_013973 transcript:Et_1B_013973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGEGGDYGGCSDFMVLRPENAGFYHLFHLLSSRKVAENAAVDCPVGTEIADWRQRWAVFVSLVAQVLLLWAKKPVALLGKTTEYWMNLLTENGGGVLMLIVKALQGKLKFPDRASPNYLSCVGLLDARMELDKTIKPGDSNYHAALSIMAAKLAYENELVIRNVVDKHWQMNFVGFYNCWNDFQHDYTTQAYMFADKPADANLAVVSFGGTRPFDTEQWCADVDFSWYAVRGVGKIHGGFMKALGLQRRGGWPRRVADHHDGKPFAYYAIREPLRRFLAENANARFVVAGHSLGGALAVLFTTVLALHGEEDMLARLQGVYTFGQPRVGDEDVGKFMGKYLEGRYFRFVYCNDVVPRVPYDDAALQFRHFGTCLYFDSLYRGRVVQEEPNKNYFSLLTVAPKCLNAAWELARSFLIGYAVGPEYAEGWLMRLARVAGLLMPGLPPHSPRDYVNSTRLGADSLGPLS >Et_4B_036048.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:17232739:17233138:1 gene:Et_4B_036048 transcript:Et_4B_036048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCWTMLRFEPKWHEKMNQLAANKASQKKQKASEDPIHDLTGNGIDDILNASPDGDAPKRPMGRKKAKQLQRQGGGDACIIAFDNMWEKKEVADANKEERKDARFNKSLEIEKER >Et_3B_031260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2370919:2372179:1 gene:Et_3B_031260 transcript:Et_3B_031260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRNYRFFFLFIATSTFLCLYVFALSWLNIAAQRASHDGSLLRSMTGEPLSLVLIVYTFIAAWFVGGLTVFHVYLMSTNQVRYQLTTLRQTETPTSDQFPTASVTIIQKFSAVQPLHMCCLQTTYENFRYRYDKKENPYDRGLLANVSEVFCTRMPPSTNRFRAWVELSELPCDDDEAPLSSRNKIDLVGTNHEKMTDLEMGSNKGVYNYNHGVPAILQGTGMGYGGMERSNVHVKDREMAEAPDPLMIPAVRQQDERRRGIGGGHIKFNFYCGNKN >Et_1B_014451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9039044:9046419:1 gene:Et_1B_014451 transcript:Et_1B_014451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDDEESTDDEDDSGDESAAHALVANGSRFSCDHLESSDSEESDDGFGGQIAPYHLMEKRNLEKSILLELEREHHLKVQEEVRNKLAALEVSHQNEIQRTISAFARLQKYIESRKEVDKRLDVHFQRRIAEVLDKHLSNVQRDHEQKSQIVERRIKDDAAIEEAKKKEQAIKEEKIRQERARQEAEARQKEAAKLAAEARKTAFEAARRKAAENEAKSREAAAMQSSQSSQGSVTGPTIVNRNEVISELPGIKVFADRSALEAESRRRSLFDQVPGNINLSKEFSKYDRQIAKSISKLMPTTDSVRARATELIKALDGQDRPRPIACRIFANKAQARNKDYYRLIGYQEENGQLESTESYLTYVVAYIKLYAALIQTEIKGVQHPHGLAEGWKWLAMFLNALPATPATAYALHAFLKMAGYAFHKKYGSQFMKILDVISRCFLPALKEHGSKTKTGAVNNLQNYLTDKIYLEEPEGQYLAQQLLSSKELRFF >Et_9B_064729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18058218:18061591:-1 gene:Et_9B_064729 transcript:Et_9B_064729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVPRRIIPGYSPSPIRRFLPNLRHRRLGAPHAAVLAVPTLAPARCLASSPLGAISLLMDPAVTASAVANGESVGYDDAAEFVDAVSGAEDGAAAGMAAGGEAEVRELPEELAKGVVYLECETSPEAAAAGVGPTCRVYIVGTAHVSQESCDQVKAVINYLKPQAVFLELCLSRVSILTPQNLQVPTMNEMIDMWKKKKMNTFGILYSWFLAKVASQLEVLPGAEFQVAFEEAISYGGKVILGDRPVQITLRRTWGKMSLWHRAKFLYYILFQSIFLPSPEELNKMLKDMDDVDMLTLVIQEMSKAFPSLMETLLHERDLYMSSKLLRVAREHSSVVAVVGKGHVAGIKENWQQPIQLKSLLDLPDANKGASKVKILASVGVLSGVIVASGIYLWGKK >Et_4B_038724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4310676:4315426:1 gene:Et_4B_038724 transcript:Et_4B_038724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGGGEAGAEAVSPGNVPVCYYGPGGRVPAALERRVRATEMFMRCAACGFAVLAAALLATDRQSRVFFSVEKVARYTDMQSLVFGDCKWDGSELQPASRGEVASSSAGPWLGLSSLVIRQVMAYFTISAVAVAMEAALIGKYGTPQFQWMKTCHLYKRFCAQAGGGLACAIAASVNMVAISLVSAFNLFRLYGSGKGRK >Et_4A_032475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10449805:10455597:-1 gene:Et_4A_032475 transcript:Et_4A_032475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISSYSSSDASGNINVANLGFVGVGWMTGYGRLVHFTMGSRSKNEDDKALVLCQERKRFVREALDGRCAFAAAHFAYIQSLRHVGFALRKFVEPEVPTDSFLYTSTPATPEPPTIRHKSMNLSPSLSHHASDSFSPAPSPLSSGRFHVNHMKAGGTSVTTVQEKVPIPVKATLQTSSPVDRPAVHDLDDSSTFEAPPGTPPWDYFGLFQPVESQISFHDEKMLGHDFENADDIKLLREKEGIPELEEEVEKSPAHPDDTRHVRDEKAPDLEKAADLKDVEKSPMNVGEDDLALSEDDFDNPTSESLVRVFKNRNDAPIGNTATSQSPELRPSEKVALEITDSQIERPKDDARVLDISMYESDESPVATPVATSVKEVASSIAAPAMNGKSKEPIRDSRNVVRDLNSWMKEVELLFMKASDSGKEVPRMLEADKVNFRPLLPEEKAPGSTASGFFATLFACCREEVPVPQPPPQAEVKYLTWHRSMSSLSSSSRYPLETTSKDDTDGFTGNIFGGVYMNAGSHASTLDRLYAWERKLYDEVKASSAICRQYDEKCRQLRHQESRGESQMSIDKTRAVVKDLHSRILVAIQRIDMISKNIEDLRDKELQPQLEELIGSLTRMWSAMLECHRNQHEIIKLVSNSGNMKVLIRTESQIQAALVLQKKELEDAIRGLAADISRSVPGQERRRGNSKLTISLSRMGTLNGEMDGVHRNAPPTDLQSSLEAFLGKLEEFSHASLEMYVNLGEKINKAKEDYAMHG >Et_9A_062371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22185585:22189502:-1 gene:Et_9A_062371 transcript:Et_9A_062371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKIEVVIMSHRNSVWTQQLSNPQSEQGPVQVQPQGVYHGGTASDPNNLGVPVTVGVPGDLRRYYESSHEHQQPQNPYPPVGVAASFAFPAAMYSPGMSTTAVNMYIPQTQSFGLGNPLPYQVAGTMDESSSRCSFGDSASGFIKRKNAVAAGNQHFLHGFASSSSSAHVPQNPVHGPWNTSFQSNCFPSSASSHPPQYHSNNGWSFLEAPADGSNGFNSMVAHHELVHHGNYLFPSGDIGQCNAWIAQAANGIPHGVPQWGYNNAVTNPPGATGMPNGSLQGYQAGYSIHGPLPHFSQNPLHSMQLQAPQMQLPHQQVLSNNLVHGVPSATGLPLDSRMLVLPPNMEHAFGHPLHPPSINQVNSAVFRTPPYQNVVVMNRLRIDEVGHVDDHRDMRLDVDNMSYEELVALEEQIGNVNTGLTESYIHENLRSSFYVPAAACMSDQSSELSVENDACIICQEEYEAKELIGTLECGHKYHVMCIKQWLMMKNLCPICKTTALSADRRNG >Et_1B_014072.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:29800267:29800683:-1 gene:Et_1B_014072 transcript:Et_1B_014072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGSASGAPSTRRGVGPASSSGRRCICGGAGVGGGGCGLGLARLVGRLRRQGRRALCAPSAAAAARRRGCQCQYDPLSYARNFDLGGDDDGAASSYYIYSFSSRFVMAPSSSSASSVAAAVASAPSVLSSRPVVTSH >Et_6A_047513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6879496:6886042:1 gene:Et_6A_047513 transcript:Et_6A_047513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGPPNPMGPGQPTASLLRTSSSLLNGGQQGMGGGGGMLSAQSPFSSLVSPRTQFGGNGMLGGVSNVAALLNRPSFGNGGPMPGQGSMPGGGMAMSTLQQRGGLDGAGDFGGMGGSDPLSFPSSSQQQQQQHLLHLTQQIRNSPDLVSMGGPNAIANPQAAAAAQLSLLQQQRLLHMQQQQQQQQQQILKNLPLQRNQLQQQQQQHQLLRQQSLNMRTPGKSAPYEPGTCAKRLTHYMYHQQNRPQDNNIEYWRNFVNEYFAPTAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYIDMPRESQNASGQIILDYTKAIQESVFEQLRVVREGHLRIVFNQDLKIASWEFCARRHEELIPRRSIIPQVSNLGSVVQKYQTAVQNSTSLSPQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDHSRQTGSGPIDSLRNFPRGTSGVNPLQPQQQQAEEQQPVPQSSNQSGQSSAPMTGVQVSASANGDATSHNSLNCAPSTSAPSSSVVGLLQNSMNSRQDHPINNTNGSPYNGGGNTSIPRVSSTNSLQSNPSTSFPSPIPTSSNNNMMPAPQNTNQLSSPTTSSSVPPMQPPATRFQEAEPSESPSSVQRILQEMMSSPMNGVNQSGNDVKRPNGLAPGVNGVYSLVGSSVTNNPGMGGMGFGPMGRLGHGMRAAMASNTMVMGGRNGMNHSAHDLSQLSQLQQQQQQQLDIGNQLLGGLRSTNSFNNIQYDWKPSQ >Et_5A_040388.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:18795914:18796054:1 gene:Et_5A_040388 transcript:Et_5A_040388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGMGCGVYVAQNYNVPNVKKLFNTYVFLAKHIEETYRKPKKNDDD >Et_5B_044192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21196496:21202321:-1 gene:Et_5B_044192 transcript:Et_5B_044192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLDERMDPFRRLTTPATIGQSRCQSDLSLFNGPFCSTITSPGKPNNDLMNCGIDNNLEASTTVLSRLQRHLVDANVEISYTEYLDLMKAEVDQRLNKINEDIRAFKSYNLDSDVHADDSFSTVCHCGMLIEIGEGFNSLKLLLTVVFHHVREILGLVNTSIHDFRWEHELQLDVTGIIIGDFIRGLQDELEKKLYEQSSIISSLRKNWRETASQCASIREDLIAISNMLLPPEDEQHMSHGAHESIGNRSDKWKFSFFGKKTCDDHSPSSGEVNVNSATQKSISPSEVISEKSDFRHLKGMARQEMLNYFRSEISKLKRLHELDLQERTEELFKFKREKWSLALKYDVEFEPLRKKFPEVISRFDQIMSNVTATPTKCSSSDAIDENSLLKCRIDSLYSENQHLRGMLAKKTREVQKLSCQISDANRKISLQYSLEKQLLRQVMNNEGEYGDFYVESTIRDEIYQTVTSQLLDNHRNILEDTVQNFHAKVSSFEATLLEKDKALCLANDENQKLKEQLSILEKDYFIRNNQQDPELMKQDSEEMILRDIEMEPNVPPQRSWEISDQDMHYEELIKLNQTLEIASATLKEIEAKKIDYSNILGNRGQESQLDCILASIMDLSKEFVEIEHKMTEEGNVKRAENLNNRCYHMVQQAIALTKKGLWYKQMLDPRRSELREAESEVDILGNKVNALLSLVQKIYVTLEHYSPVFQQHPVLLDTFLKTCKLVAGIRRTKPSQDYNFDFDIELAQILPQPEEGQQCEPMIDLHMSICRGKNEK >Et_10B_004300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3260406:3263109:-1 gene:Et_10B_004300 transcript:Et_10B_004300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERGCESCRKWQEHYYWEHMDVSKIRFFKFMTGNFAKAISIPEKFVNNFNGKITKEVNLKAPSGETWQVGVNKDADELFLKSGWEDFVKAHELKENDLLVFTCSGNSSFEVLIFEANGCEKVSSLSANKTGPNLLKNSANKANWGKHDEQYSLSDSKNTSKPSQLVGSPQVATTSQNSSGKKSKMLPESPNSTNDQVEREEICEEDSDEEYSNHKYYYSRIADRLSDEEKEEVITLASIRSDNPAFFTILQKSHVLRNKSFLIFPSRFVADHLDSNLHEITLCRPNRKEKWCVKYYHARTAQGIRNYNYSRFVCENKLREGDICAFELMKGVRRVTMTVHVIRNVDDSSDHGKAPQNY >Et_8B_060258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:882560:884270:-1 gene:Et_8B_060258 transcript:Et_8B_060258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFCKGSGGADKPNPDQNQSPNPPLDAAGNDTASAAAAAEGRRPFTSLSQEEADLALARVLQEQERAYMMLTGHGGGYAMLDDAEGYDYDDGEEEDVSDYEEDESGAALDEDEEVGDAAEADLDLDPARYEDDEAFARALQDAEERDVAGRLMALAGIGDWRAMEQDDDEDGEGDAQDAWEDVDPDEYSYEELVALGEVVGTESKGLSADTIASLPSVTYQAQDKQDENMEQCVICRVEFDEGESLVALPCKHSYHPECIHQWLQLNKVCPMCSAEVSTSTNSQA >Et_6B_050050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4851999:4857370:1 gene:Et_6B_050050 transcript:Et_6B_050050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPMSSSLGAMGPLLRKLDLLLAPEYPLRRSLKQAIELLREDLEEVSATLVEQSMADSPTNKSKYWMDEVRELSYDMEDCIDNMMQSHTTAAEKVRSVHRYKVARLKIHRLPKMLKLSTRIALIAEIRTLLQETDERYARYQVDCGATSPRFAFSGHNQALALYKAAADLVGIDNSRKELGEWLTNQEVKQLKVLSIVGLAGVGKTTLVRELYSELGGHFERQAFIRVSRKPVMSRLLGEILSQVQGHKQTSDGGILQNSNDTIREHLQDKRYLIVVDDLWEAAPWDILNNIQGLRFLETLEIDARVLDVPNDIALLPGLLHLSLQHGTKLPDGIGRITTLQTLQHFDLGNNSEDNIRSLGQLINLRDLHLTFSVALSFEHLKRKLVTLSSSLGRLANLTSVTLAPGIEDLTVFVDESHFMLSTPILLQRLELLSPICTFSILPEWISKLQKIRILKIIVRELQQTDIDILAGFPDLTALSLFVRRPGAESVVFHSGAFPVLKYFKYRCGALCLVFKDEALPNLQRLKLGFNVRRGDQYDNMISGIEYLFNLKDIDVEMGAAAGVQESDRRTAEFALKDAIDKHPRFRCFFNIKRVDWIAEEQMLPLPISASGVQCDMVATPPDGQDKVMAAGMINFLSGFDNNLSLMSDLYPPPPSVAAAVDLITEAEGGFPQGEDEEDEPDPDAAARDKEWDAAVELIERSEVTGVFVSREDANQYLAAAACLMSAPGSRAKVALQAALARLNEEFRHQLISAAPPLAADDLSTLLLRLSLSARSSDSSSMDLDCSSSNYDIADAGGEISFSDYEISPYLISPDTVSTLRDISDVMVPAGFVTELCQVYGEVRRDKLMECLGVLGVDKMSLEEVQLVEWKTLNNKMKNWIQALKVVARGLLAEERRICSQIFAADARAVDGSFTETAKGCVMHLLNFADAIAIGKRSPEKLPRILDIYEALVEVLPELQSLFTGEPREFIKVEAEGILVRLGDAVRGTITEFSSTLQAEDSRKQMSRGEIHPMTRYVMNYLRLLSSYNTSLNNLLEYFDTDMDAGADDTDMSPLGRCVLMLLTHLQVKIEEKSRLYEDEALQNIFLINNVQYIVQKVKGPELETLLGDNWICKLNDQIRQYSKEYLRSTCARVLTCLRDDGLRQTSGSSTALKRALKDRFKNFNSAFEELYRTQTSWRVVDPQLRDELKIAISENVLAAYRSFVERFRGQLERGRNFAKYLKYDPDDLENLISEFFDRQGTSVSMMLQASPIQI >Et_4B_039693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26758984:26762583:-1 gene:Et_4B_039693 transcript:Et_4B_039693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVHGALLFLFLLIAGAAAADGETAPQEPTLPSGGGGAGGINSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGKYNVTIFAPRNEALERDLDPEFKRFLLEPRNLRSLQALLLYHVLPARLPSDSWPDAAHPTLSGEEVELASASAAAGEGTTSTMRVGSAAVTKPDAVLRPDGVIHGIERLLVPRSVQEDFNRRRSLAAISAVLPSGAPEVDPRTHRLKKPAPPVPAGAPPVLPVWDAMAPGPSIAPAPAPGPGSGKHHFDGHSQVKDFIQTLLLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVTAREADGSVKFGQGEGSAYLFDPDIYTDGRISVQGIDAVLLPPDDTKTATPAATPDRKPPAVTSTKKKNKLRRGDCKAVCCPLVCALFVSDGENLLGNMMDLLHNNLQKQSSETAATINQTIDSYAD >Et_7A_051208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15665289:15670074:1 gene:Et_7A_051208 transcript:Et_7A_051208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSFLHINQALLYYCCFDDFITLYTCVRSMRRASYDLGEMSSKTLLLVSKRKVIALSAFCVCLHSSRYFSALALGDPSVKLEDVTPKIFPSGPLFPTENRIAELFETNTYSVVNIFDSTLRPQLNVTGVVEIPEGNGSGVVWDDSGHIVTNYHVVGSALSQNPKPGDVVARVNILAAEGIQKSFDGKLVGADRTKDLAVLKVDAPKDILKPINVGQSSALRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQAGVTIGGGIQTDAAINPGNSGGPLLDSKGHMIGINTAIFTQTGTSAGVGFAIPSSTVLKITPQLIQFGKVRRASLNVEFAPDPIAYQLNVRNGALILKVPGGSAAAKAGLTPTGRGFAGKIILGDIIVAVDGKPIKGKSDLLRVIDDYGVGDQVTLTVQRGAETLEVALPLEEESI >Et_5B_043237.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:19527810:19529453:1 gene:Et_5B_043237 transcript:Et_5B_043237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NYPADRSLDDFKKVGNDLARKCCGLPLALVVLGGHLSRNLDIAEWRRLTSSVDRHDLITDHRISAIMDRSYYDMPIHLRSCFMYTTAFPENSCIDVRLLSNLWVAEGFIPLVRGHTREEIAFTYVTELVQRCMIQVEKRTPSGRISMIKMHGVLRDWGIGRARQEGLIKDCHNAQDIEADYLDEKLKAYRVVLHGHLEQEVVTSTIKLRTLLDFTLSSVNNKVHVSKRLAFVHHLRILYLHGSGDDDVSLPKEIGRMRYLRYIGLGGSCCYHLPSSVGDLPSLETLDASGGKICEIPGSLWKIPTLRHVHVSLVRCWSVPRISSESKVNMTVFYTAYKYVLGSDQVGVAKRLVEATRQQLSEKKKPNLSYCFGMKYVDYGSDQMEIMGRSKAENHFRNVLPSLEEMGNISVLKICCTNLLRDEQKLQELLLMRKLQVLEIGERSYTGSAITCPRNSFPHLVQLMLHDLAVEIWKVEDGAMVNLRELTLCKCPSLSYLPEGLLSLHHLKKVKLIAMPTSCQESRVAKGMQTKVDILHDENDFQHLDIP >Et_8B_060163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6588671:6592070:-1 gene:Et_8B_060163 transcript:Et_8B_060163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLTVHFLLLLALALFQARGEAAPWLRNLLPRRLAWPFDSAETAHSALDLLPSFVAAVAPGGPAGGWRGACFAENQAVLNLTRGAITSAGNAGLGGAVLSIKTASPQSWSCMDVYVFATPYRIGWDYYSAAKEHTFEIKTWEEEAEMEYVNQHGVAIFLMPSGMLGTLLSLVDVIPLFSNTGWGQDANLAFLQKHMGTSFQKRTQPWSANIRKEDVHSGDFLALSKIRGRWGGFQTLEKWVTGAFAGHTAVCLKDKNGTLWVAESGYENKKGDEIISMVPWDEWWGMALKDDSNPQIALLPLHPDVRARFNETAAWEFAQSMYGKPYGYHNMIFSWIDTMSDNYPPPLDGNLVMAIMSMWTRMQPHYASNMWNEALNKRLGTEKLDLRGIIVETEKRGMSFNQLLTIPEQDDWEYSDGKSTTCVAFILAMYKAAGVFTPFTESIQVTEFTIRDAYMLKIFEDNQTRLPSWCNAAADGLPFCQILGEYKMALPEYNTIEPYANMNENCPSAPPTYTRPSRC >Et_8B_060590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2957852:2960137:1 gene:Et_8B_060590 transcript:Et_8B_060590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPHQAMVGDALWDILGEEMAAAGAGGEHGLPPGFRFHPTDEELVTFYLAAKVFNGACCGVDIAEVDLNRIEPWELPDAARMGEREWYFFSLRDRKYPTGLRTNRATGAGYWKATGKDREVLNAATGALLGLKKTLVFYKGRAPRGEKTKWVLHEYRLDGEFAAGRRSCKEEWVICRIFHKAVDQYTKMMMLKSPYYHPMDPSSFCFQQDSHAALPLPNPSSCSNLSHALPFHHGHPAMQQPPLEDNETSKSNNGVLQLEPNGSGNMSVLPFLPFTSAVAGKSAPPPHQPAGVNAGPQEPPPLPTWLDAYQHGSFLYEMGLPAAPRGA >Et_3A_024593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22429157:22434308:1 gene:Et_3A_024593 transcript:Et_3A_024593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGKLIQVQLRGVTNEELDSLSRCVYPNQLCGLSYLRFFGKKKTAKANHGSSEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTKEHYQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYSPAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRIRNEKARRYLSSMRKKQPVPFSERFPKADPAALKLLQRLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQPITKMEFEFERRKVTKEDVKELIFREILEYHPQLLKDYINGTEKTNFLYPSAVDNFRRQFANLEENGGKSGAIIPSSDRKHVSLPRTTTVHSNPIPPNITSQAPQRIPTARPGRVVGPVIPFENSTAVDPYGQRMVARNPVLPPANSSLSAYTHHRKSDNTDRELQQELEKDRMHYQPAQRFMDAKIVPQMPPDLRSSYYVPKGVTKADVVERAALQSSMIPGIAPYNGIAAVGAGGGYNKVNAVQYGVNRMY >Et_2B_022504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24060363:24061484:-1 gene:Et_2B_022504 transcript:Et_2B_022504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVAHVIGSSPPTLVLNRLVYTPFSVDEYEHCLCIPGGSQRPKALPKCSRRAEKKNASVRMAAASQKPNGRRSTRQVASMRPRWAVPLAGDLASTQALLPYVDSTIRNVNQQNLNNPLKRRQFPAEITSIDTAGKQSMEPRQEDVLWRPSVQGKCEAQVVLLAKAGKDVVDFIPDVLTMLVGTVVGLSPRRTHRGRKNKPLAN >Et_1B_011722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25370366:25372317:-1 gene:Et_1B_011722 transcript:Et_1B_011722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLASSVALVLATILGLLCLVALSEDDLLENLRFVRRAQDAPLVSHYNYIVIGGGTAGCPLAATLSEHSRVLLLERGGLPYRNMSNQQHFTDALADTSLASPAQRFISEDGVVNARARVLGGGSCLNAGFYTRASTEYVQAAGWDARLVNSSYRWVERALVFRPGVPPWQAALRDALLEAGVTPDNGFTFDHVTGTKIGGTIFDNNGERHTAADFLRHARPGGLTVLLYATVSRVLFRQEQGVPYPVAYGVVFADPLGVQHRVYLRDGAKDEVILSAGTLGSPQLLMLSGVGPQAHLEAHGIQTLVDQPMVGQGVADNPMNSVFIPSPVPVGLSLVQVVGITKSGSFIEGVSGSEFGIPVSEGARRLARNFGMFSPQTGQLGTLPPRQRTPEALQRAAEAMRRLDRRAFRGGFILEKILGPVSSGHIELRSVDPRANPAVTFNYFQDKEDLDRCVHGIETIEKVIQSRAFANFTYANASVESIFSDSANFPVNLLPRHVNDSRTPQQYCKDTVMTIWHYHGGCQVGAVVDDDYRVFGVQRLRVIDSSTFKYSPGTNPQATVMMLGRYMGVKIQAERWKK >Et_4B_038271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27729616:27730957:-1 gene:Et_4B_038271 transcript:Et_4B_038271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEQHAPAEAPPARVVPSAPTNDDDDDGVDGDHEESGATTFRDIHPLTPDVVPTPPTRAASWDTRSFVSSEEQFMTMSREFTAMVAAGAATMQTPGGSADEQQLTSIGEDEVEETNPLAIVPDSHPIAATPARSTASRAPAPQSLDLEVVPAGPPQPPVEARQVKKEEVETKVTAWQTAEMAKINNRFKREEVVINGWEIEQVDKASAWLKKIERKLDEQRAKAVEKTQNDIAKARRKAEEKRASAEAKRGLKLAKVLELANFMKAVGRVPTKRSFF >Et_7A_051712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23388744:23395700:-1 gene:Et_7A_051712 transcript:Et_7A_051712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKMVSLARRQGMDPQELEGLMEQMLFYIHHALPNPPVSAAASLSALAAEPDDGVDRFSDLPDSLLANIVSRLPVKDAARTAALSRRWRLVWHAAPLFLIDNHLLPAAIGDDGTPSHVERADAAAVAAAVSRILAAHPGPFPWVCLACTYMDEDRPRVARWLQHLAVKGVQELFLINRPWPLHLDKPMPATIFSMAALTRLFLGYWKFPETAGLPRGASFPHLRELGLCNVAISSRDIDFVLARSPVLEILYFQGHSSSLCLRLVSRSLRCVKIFTSSVESVILVDVPCLERLILSGNMNMCSLIKIVHAPALCLFGFLHAANDVLLVGNTVIKAGILVNPSAMVPTVRILALQVRFGVRNDAKMLTTLLRCFPNVQRLHIHSKKTTEPTGRLNLKFWQESGAIACIQLHINLLAFHDFRGERSELSFLKFFVESAQMLNRLVVVFAKGCLHSVAELDRVRNLFSGKEATEGCMLQVCESIFPEGGGIWDYRRGSESCRDPFAFACSSTCAPPFMDRDTLSVARERGMDSQQLSALMSRVLSCIHQALPVPPISAKARLAALAEPDGCGGADLFSLLPDALLVNIVSRLPIKDAARTAALSRRWRPVWLAAPLALVDAHLLPAGGGDEIPSHLDRADSDAVAAAVSRVLAAHPGPIRSAHIACSYMDLYRDQVVRWLQHLAVKGVQELVLINRPWPLDLDKPIPTTFFSMAALTRLYLGYWRFPDTAGLPRGASFPHLRELGLYGVFISSRDIHFVLARSPVLEILCFQGHIFPLRLRLVSHSLRCVQILGSDLESIDVVDTPLLERLILPMNLTIDRSFSRIKIGHAPRLRLFGRLETAKDVLQVGNAIIKAGTVINSSAMVASVKVLDLNVRFSVRNDVKMLQSFLRCFPNIETLHIHSKKTTESTGRLNLKFWQESGAIECIKSHISVMAFYDFRGERSELAFLKFFVENAQMLKGLVIVLAKGCFSSMAEASAKVKIVSTGKRTTECCSLVICESAYPEDDIPWNFQKGCDFSCRDPFGFIHCSPFGISQLAVVNTSILVPAEKILDLNVQFGVRNDVKMLSNFLGCIPNVEPLHIHIILRPPSLHLPLNSASTDLIDVQSKKTTESTSRLKLKF >Et_2A_014700.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:7031810:7033275:1 gene:Et_2A_014700 transcript:Et_2A_014700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFFARRTRLPRRAADKMISGRLRASEVLVDAEDVVGGVAAVEAVHDGGLLVLADALLEEVGLALQGDELHPVEWVGDVVHLLVPQCHEQAVGDELDVLAHEHAVHADERAWERVADELALDVDGVGDDLADAGLVELAAEEVVEEAGEVAVEALVAGDELVGEGEARHEAALLEPEDGAEGAREEDALDAGEGDEALGEALAAVDPAHGPLGLAADGGDRLDGAEEAVLLGAVADVRLQQQRVHLRVDVLDGDLEAVEGARLGDLHVGHEAGGEVLEHDAVRGGEEGEHVGDEVALVGGQRRVPVARVGGEVHLLGGPEGGHRLLVELPDLRVPDREHGEAVRRLRQERLLRVAGGHQRRRGRHLLQAFLARPGGRWEEGICLGIDEIGDWFGCAEWMRRGRRRFIGKKIWELGGRF >Et_9B_065496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5921277:5923597:1 gene:Et_9B_065496 transcript:Et_9B_065496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNVGMLAYYDHSVALLRDKLGAGEVSTVIGASAVSGYFACACSLPFDYVKTQIQKMQPDAHGKYPYTGSLDCAVKAFMSGGPFKFYTGFPVYYFRIGPHIMVKRKYFAMICRVSKEHTALLNDEAQTLRLKGHGALVVVEKAVVPG >Et_4A_034231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31042750:31045111:1 gene:Et_4A_034231 transcript:Et_4A_034231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTQVKRTQVINQKEALLGIGEDASWHAKFKDSAYVFVGGIPFDLTEGDLLAVFAQYGEVVDVNLVRDKGTGKSKGFAFLAYEDQRSTVLAVDNLNGAKVLGRIIRVDHVSKYKKKEEEDEEEMQKKREARGVCYAFQKGECNRGASCRYSHDEQRNANTGWGSKEESGARWEHDKHHGPPKSHKNFPGALEEEDEEQRQKKRETRGICYAFQKGECNRGDSCRFSHDEQRNTNTRWSSRDGESSRSEQFRDRDSRNKHNDRRSDDRDRYRHDKSPERSRSERQRNEDRYVHRREERSERHKYDAERDDVDRKRSRYDKDSERHERRG >Et_9A_062122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19905427:19911864:-1 gene:Et_9A_062122 transcript:Et_9A_062122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRNAFSTSAEALLLSRHMAYSMTAASQGSVIRPSLMKPNSSWDIRKSSVKTGVLSQERRRIKGAMAMISAKRLVQMAKKWQRMAAVARKRLISTLPEETDGPSTSVASKGHCMVYSTDGRRFEVPLVYLGMTVLSELLRQSQEEFGFSGDDGRIMLPCDATMMEYIMCLLRRDASEEVERALLSSIVRPCNYGNNGFVEAIGLSRQVAVSSF >Et_6A_047332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4042415:4047514:-1 gene:Et_6A_047332 transcript:Et_6A_047332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRAGPLGQAGLKFPGKLFRHDALPDDYSVLEKKLEDPCAMPLSLPLNFLKFISRDFSDEQEIGRGGFGVVYKRLVSELRTKVFEQGVLQNGGMIAVKKLNEMPNLKDEQFDNEVTFLIGLKHKNIVQLRGYCCELRKEAIEVNGKYVLAEIRHRLICFEYIHHKSLDKYLTAESCGLEWPKRFEIIKGICCGLQYLHEECHIIHLDLKPQNILMHDNMVPKIADFGTSRLFGPEQSRIITETRQGTFGYMAPEYLNNGSISGKSDIFSLGVIIIEIVTGSRVEYPQNNEAAFGHFIEDVVRKWRNRFEEENTNYSTMETYSKQVDACIAIGLRYVRTNPKKRPDARDIIKTLNATECTNMCADVNGWVIKAKAEAKLRRPPIEKKLRQTSSVLPNKTANVRDHYRIGNNKLGLGRFGTTYQCVGKADGAEYACKSIPKRKLLCREDVYREIQIMHHLSDLPNVVRIHGAYEDARFVHIVMELCAGGYLSDLLLARDRYGEREVAQIIKTIVGVVEGCHSLGVMHRDINLANFQFSSTGALKITDFSLSAFYKPGDTFSDFVGSQQYVAPEVLQKCYGPEAGIWSAGVILYILLSGSYPFSAETEEQTCREILRGKLNFDYDPWPNISGGAKDLIRNMLAPDPKERFSAHEVLRHPWLSDSDRPDKAIDSFVLSRLKHFSEMNKLKRMASWIIAVSLSEEQIGGLKKMFEMIDTDNSGVITFDNLKDGLKRLGIKRAKSETDMDNNGTIDCCEFITATLHMNKLETEENLKLAFMFFDRDGNGFITIDELSQACREFGLDDLHLEDMMKDIDQNNDGQIDYSEFTAMMRKDNAGAEGRTTMRNNLNLGELLNPSKS >Et_4A_035831.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:731755:733437:1 gene:Et_4A_035831 transcript:Et_4A_035831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQQSDPGAAGEETAAEMLARVRKTVPAALDAARSAGGFPGRWKAIAAKLERLPACLSDLSSHPCFAKNALCRELLQAVADTLAEAAELAARCREPPAAGKLQTQSAIDALAGKLDLNLRDCALLVKTGVLSDASGPPPEPTAGTAAPADVRELLARLQIGHTEAKNRAVDGLLETLHRDEKSVLSVLGRANVSAMVQLLTASSPKVREKAATVVCRIAESGSCEGLLVSEGVLPPLIRLAESGSLVGREKAAVTLHRLSASPDTARAIVGHGGAGPLIEICQTGDSVSQSAAAGALKNLSAVPEVRQALADQGIVRVMVALLDCGAKEHAAECLQNLTSSDDGLRRAVVSEGGLRGLLLYLDGPMPPESAVSALRNLVGAVSPVDSLVSLGVLPRLLHVLRVGSTGAQQQAAAAICLVTSSSTEQMKRVVGEQHGCVALLVRMLEAKSNGAREVAAQALASLATCAANAREMRKDGKCVPSLVQLLDPSPANTAKKYAILCLLALSSAKRCKKLMISHGAIGYLKKLSDTDVAGAAKLLDRLERGTLRSLLFSTTTSK >Et_3A_025255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28260572:28267296:-1 gene:Et_3A_025255 transcript:Et_3A_025255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPWEGEDEDTLSSRSFYGVEVTSDMYGFTMDQDEASGVVIQLNNLSHNPPSSLNGISVGWHLLEIKSIYATSTTWMRDGYQYTGCYNLKCPGFIPEANIPIVPGATIDVVSNPGEIKRTIIFKVFKDSGGDWLVHIGFDSEPYLIGHFPKSLSEANEIKLAQLGWLQWEADFCLTIRKKAASLSNIHIIDQNGQASKVTQNSRDFMTDKVIYSVSPISSEGMFTYGGPME >Et_2B_022523.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24577670:24578140:1 gene:Et_2B_022523 transcript:Et_2B_022523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPGQPLAVDFEALSYISSLVEAFQAFDSDGDGLITGPELRGLLASLGLDKSEAEANDMLDRADADRDGKLSVEELLDVMNAGELGLGALGELLQSALPTLEAAGAALVGADELARTLGAGTSAEDCAAIIECLDGDGDGAISIEEFRLMADLL >Et_2A_017641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4574588:4584896:1 gene:Et_2A_017641 transcript:Et_2A_017641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLKILTMRELLVVVVLVGLEVHQRHCDGGAAVNPRRLERATTSRIVGHALGTLYRHRCATWAALCAARAEYCISSAGSMT >Et_4B_038649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3751454:3756117:1 gene:Et_4B_038649 transcript:Et_4B_038649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPIDVALLFVFLLVLFPSSSSQPAAPQPRGFYINCGSDKEVQTGSIKWIQDDGFITVGNVSAINKPNLLPVLATLRYFPDKTARKYCYEVPVVKGARYLVRTSYFYGGFDGGADPPVFDQIVDGTRWSAVNTTAHFRRGMSTYFEVLAQAQGRAMSVCLARRPDTASSPFISSLEVVDLADSMYNTTDFGKYVLTTVARSRFGSKPEIVSYPDDPYNRYWAPFTDGNPAVESHSPITPDAFWNLPPAKALRAGVTASRGKKLTVQWPPAELPAASYYVALYFQDSRTASPFSWRVFDVAVNGQEFFRGLNASAAGVVVYSNMMQLAGKTEIVLTPNETSPVGPLINAGEIYMIVPLGGRTATRDVVAMEELAGSFKNPPPDWAGDPCLPRNNSWTGVECSEELPARVMSLDLKNHGLSGSLPDSIGNLTGMKTIYLSGNKLSGSIPDLSGMRTLTALHLDGNQFNGTINPSMEKLTSLKELYLNNNNLTGQIPIGLKNKPGLDLRKQTSQIKQNRYLCIFNLGELSQTPGPPNRRRSHDCCVDDPASLLLLLGRKPNQGKEGHSRISGLSDEHTVKETTAHSREM >Et_4B_039555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2193277:2195087:-1 gene:Et_4B_039555 transcript:Et_4B_039555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRVFIYLRRSRLLHLHHLLLRLLVAYGDTSARSSHLLDSFSNTTTVVHDASIRLCKLLQHLGLVPLSSRLFILALPGCRGHACLHAAEHPLHERRSRSNRGAAAAEDETAVLRLAGDPPRSLPPRATRRLGASADDDHCGDGAVAKPADVPSYDGESPSPVLPSKVKERQIPVDLTSLRCLGMVADGGLPASSLLPLLRRPTFVGAKWDENTANTPSPTSALSSLARQHSAALARLVAAAPVVVAPPVLSSSASRAERRTMAPHDDEEEEAVNAKALASDDEFTCGVLCMLVRGFSNSKKKPAAAAGMAMSGICKGSSQWCVAAARGVARAVQVRVVEPSAFAGSRAGARL >Et_9A_063488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4190016:4192131:-1 gene:Et_9A_063488 transcript:Et_9A_063488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNEKPVNEPVSKVSNRAAIKQVEIKEEAGVNARLKNNDTGFSLENYLEEMTRVAATQKRAREVSDKTVNEVTDMEVMTDEDEAAMKARFEDWMKEYSRTYKSEEEKARRYNIFKSFAKMADKATANARSGARFVTNHTADWTDEEFRCVYGVGVDWDEYLDEIKYFIAKKKARDARDYSYE >Et_4A_035606.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30958583:30959815:1 gene:Et_4A_035606 transcript:Et_4A_035606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSDRRPSEASFYPPAMPEPADADASLQRPWVLVDKKAYIAKCENATTARAPSSGGHKVQVSFCLADPPALSYLCVHCPGVEGHRRDGGFSSEPVVVAAEGAFVLISVRFNFGPRPFCYDSGTREYFVYRAGPGKPWLGSLPQFIESDIKPCKFGILPIGSDDGKRGFVVAALVPLLKPGHVPVPGKPLELVRFSSAMRRWYTMVPRLDPSCGNNNKVWSHETAKVIVLGGGFLGWVDLWRGILVCNVLDEHPVVSFIPLPKTTVPKVWKRCPWLIRDVTSCNNLLSFVEIQFPKTPYDDLPSSYWEYQLISDSDSDSDSDSPSDLDTTGLSSISHGWRATKYERTLFTPSSSWRRDCNIGSADILHGEPSCYLMLPQLRDAKTGKLTLDNLNSSVPVLTMHDMVLFT >Et_7A_050818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11193274:11199956:-1 gene:Et_7A_050818 transcript:Et_7A_050818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVDELKVDMDTMEMKIHRYPSSIRDLDKRFIGPTVVAIGPYHHHGRDDLTPTEKVKQVAAYHCIRDSKLSAHEVSHAVFSVAKDARRFYDKDLVARITNDEFLPMMFHDACFLVQYMRCMSEPADADMDSSLVRFFQSKDEEIFRDIMLLENQLPWMVVEAVMEFVDVNLFEFIENNRGCLQDRNHAVLAGAEPARPQYNFLPPEVEYSGSVVRSIIKLAEIGITLAANKTGELKDMELKIGILSAELRLPPLSLDDTRQSLLINMAAHELCVSTNFLGVDAHISESVVCSYLQLHGLPLGYHHRRTINHIEDYRFRRPMRTNVHVFFYRNGKTIATVFSAIGVLVGPLWKVLRRKIRDQDQARLSNRSQHWLKLSALKAPHVHLPCERLHPEAGTTMTTSIENSRDR >Et_8B_060530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19995783:19998829:-1 gene:Et_8B_060530 transcript:Et_8B_060530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPKAATNRRPTVTRKPQPRRGRAATAAEPASSSADIEDMAPTVPGPAAAPAALRAQLLRWYDAHRRDLPWRRASGGDEDRAYAVWVSEVMLQQTRVPVVVGYYDRWMARWPTVRSLADATQEVRGTNATGLCEKFKSLTRIGVALCWSQEVNEMWAGLGYYRRARFLLEGAKQIVEKGKFPRTASALREVRGIGDYTAGAIASIAFNEVVPVVDGNVVRVLSRLYAIADNPKESSTIKRFWEIAGQLVDPSRPGDFNQAMMELGATLCSKTKPGCAECPVSSHCQALAISRENPPVQVTDYPRVVPKAKPRSDFAAVCVVQISQGLEQEVNDRKNQDDLFLLTKRPEEGLLAGLWEFPLVLVDEEKTDLVNRRKEMDKYLKQLLKIDMGRKSAAILREDIGEHVHIFSHIRLTMYVELLILNLKDNIDPVRKTVHDSAKLKFVDESSVESMGLTSGIRKVYNMVKAFKSRRLCVSEEGKVPTRKRSRGQKQ >Et_6A_047627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8664478:8666060:-1 gene:Et_6A_047627 transcript:Et_6A_047627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGNVSMEPEAEAKPGFSVSRFVKVFMQGELVGRKINLTTHNSYASLSFTLKRLGNDFSMPSRELNGTVHNEEDGALDDKNFVIFHDNVAGDRFFFGEVPWDYKFIIKLDGNDNNELLLQDFHHFCQEDLHSSYYLIFSDEFITSLHNNRNLLLAIADNGEEEGESPDVPLDGDDAPDNDNGVVDDGDGAATASADDEDAAGAGSADDEDATAAASGNDDGTAEE >Et_6B_049626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7481627:7484936:1 gene:Et_6B_049626 transcript:Et_6B_049626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTNHWLAAAAFSGAGAAPHGEEPPKLEDFLGARQEETPAPATAGGRPFGAGGASSIGLSMIKNWLRSQPAPAPADSAALVTSPADVAALPVADGGEVVVAAMQKKAPAETFGQRTSVYRGVTKHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARAYDLAALKYWGTATTTNFPVTEYEKELEEMKQMTRQEYVASLRRHKKQWILSWSINVPRSYQAPPAWKVASKNWKGGRKQGSEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSIIESTVPIGSGATKRTKDVPEHVNMGLHSNGNVTGHLAASNLLTDGIGSYGSQHDGYGGWAPFTYLPAPLQQSNGHGQSGVWCKQEEHEGVAAARNLHNLQYFTNVSGTHNFFQPTALQDETGFTEMPSQSLDSNSAMYNGGVSYHGAVGRGGYAMPVARLASCYEDDGGTVPDLYNGQKMYYLSQDSLGDSSKDNTYVQGVEYENWVSSAPVMAQKATDTMASH >Et_2A_017497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34927755:34930787:-1 gene:Et_2A_017497 transcript:Et_2A_017497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSRGVGGGAEAATKADASSMRVVWRRGAVRLLLVSAIAWAMLVLLALAFHLWSCSSSVGFLSALCRKDSKVGLSSKPLHRCPIPVADDPNAVVIPKRTPNTIVKKLSYITVDKQDKDPSPLFGGRQNWKQREDSFKLNSTMKVHCGFMKNGGADMDSADVKYIQKCRFVVASGIFDGYDIPHQPSNISLRSQKLFCFLMVVDEVSLDFIEKNTTVKVDSAGGKWVGIWRLITLHRLPFDEPRRNGKVPKILTHRLFPQAWYSIWIDGKMELIVDPLLILERYLWRGKYTFAVAAHKHHRSIYEEGDAIKRRKRYARPLVDLQMKMYYYEGMEPWSPKKKLPSDVPEGAVLIREHTTMTDLFSCLWFNEVNLFTPRDQISFGYVVHKLGDALKFFTFPNCEYNSLFILHRHTREHSSKVEWAKTIDEIVKKGLKESRGGLGLWTPYPVDLSSVELPTVKRTSPAG >Et_7A_051941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:359301:361290:-1 gene:Et_7A_051941 transcript:Et_7A_051941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDATEEEEAPPTTVCGYELRERLGGRPPSTSVWRGVSRSTGAPVAVKQVRLAGLPARLRDSLDCEVRFLAAVSHPNIIRLIDVIQSESFLYLVLELCEGGDLAAYIRRNGRVEERVARNFMKQIGDGLQVLRRHHVIHRDLKPQNILLSSPDSEAILKISDFGLSRVLHPGEYADTACGSCLYMAPEVMLFQKYNDKVDMWSIGAILFELLNGYPPFRGRSNVQLLQCINRSTSLPFSRPLVSSLHPDSVDICTRLLCSNPVKRLSFQEFFDHGFFRTPSTTLQDLPDHVPLPPAAESPCVLNSETPPTRAQFTDYLRLRLSNLS >Et_9A_062637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2626922:2630627:-1 gene:Et_9A_062637 transcript:Et_9A_062637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAADSAPPSGSSQQEMASSRNKRKYRADPTSGELGPFGLEYPMTADYVGLEFMAAEKAAMAAAAAAAAGEGVSSLDILQNMCDTCSGGFHGTREEFLESQRYINWSDPNEVQLEEILLKSLDSTFDNAVSMITAMGYSEAAARAAVVRAARQYSWKESLAGFGEAAVDVLKTEGDMLPREGASVEDMRKIERAVLRSLVAVVGEAQPFYTKADVMFCLLMSDMNVASACTMDYTHAPLPAVGTQALAQSGNYEPGVSSDVSVSITNPQTSVIFRGKLTPVGPNSFNPLGRADSSTTPACPNVSRSKSSVSGNMQCVISNMKPKEHTVTTPDHSEGQPFVAAATHSSKDDKPFSSKRGSSKRDSSHRQKLMSFDKSSRVMGSKGSLRSGKHGSLGSAALDRKCRQLQDSSINGLKGSSKVGKGFSAVMTGSELSVDLSFSAAVSSTPSFDTNKAASSNSVPAASTDLSLSLSPSSDGSVPSSNQNSNTEAKDSSGKINFSYDEDQKAWIPQDRKDEMVLVLVQRQKEMQAHMQDWTDWAQEKVMQVTRRLAKEREELQSLRKEKEDAGRLQDERHTMEESTRKKLLEMESAISRANAQLEKADASARRRDAENAQLRIQLGAAKLHAAESVTKFEELSRKDEKTLKRSQYWESERAVLQDDLVAEKNKLSRVLQQLQNAKEKKDQLQARSKQEETGKIEAIACVTSERKERDQIEMSLRSEENLLHLKAENDMQRYKSEVRALERQISQLKVSLDTANVSAPKWGTDNKSYALRLSEGRKSSNAPILVQQDFNFDDIQRDQECVMCLSEEMSVVFLPCAHQVVCGKCNDLHEKQGMKDCPSCRTPIQRRVCARSADS >Et_2B_020207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1795519:1796410:1 gene:Et_2B_020207 transcript:Et_2B_020207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KETHGRLSEDEPLRLLVWVLEDYAAGEWVLKHAVSFPELFGRMACQFRVEYNVVAVHPDGNWIFLARHWDRKLAYDMDRREVRVIGDLGQGGDGCGDELPITPYVPLYSESSALASINTYVFWPQRSPPWLNVKSSGRLLMVSRNLDSTMSPAGDHCGQKTYAFQVFEAVFGGPGGERFHLHRWKKVNSLHGRALFVGSHCSRSVPANKDGVRENCVSRRIASGSTRRIPVLATPACTTCETALAHGSA >Et_2A_015576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15251611:15252881:1 gene:Et_2A_015576 transcript:Et_2A_015576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWTIEDTISADLFKQKVKKIPASFTSVENYMEIYSTLLLEETRAAIHIEMSNLARAKIYCAVSISHTSTPNVCYIDIGLNNLDGSHIAKDGDLFFLSTQPMGELDRVSGCFAIATGVGRNTLF >Et_8A_056535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11835604:11840196:1 gene:Et_8A_056535 transcript:Et_8A_056535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGLIHQGRGLPDAGGGDGLGLHGRGGGPSVVLTADPKPRLRWTADLHDRFVDAVAQLGGPEKATPKAIMRTMGVKGLTLFHLKSHLQAQNGTMLSSNIPTPDMKESQEVKEALRTQMEVQRRLHEQVEVQKHMQIRMEANQKYIDTILDKAFRIVSEQLNGFSISDHDLPGLSSAGIMCSPVDLLSPSVFQPLPVSSISLLSPELKRKAR >Et_4A_031978.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9187665:9188281:-1 gene:Et_4A_031978 transcript:Et_4A_031978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTSTSIFTFSESSLASSTRSYTSASFVTTAAGQSSAVAPPPTTSSFFALVLSSSSALTDAGVDFTGNPGGGPSGGPAARNSRRRRKWRHRDGCGWPASSSFLYRVLRLWTRFWHWARVRLVRRPAAAPWALTAVATARQSCKPRRPRRWWAGPHAPMRTDVSPSLQSAVRGSSGGARCLGMLGLGPAREL >Et_4B_039318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9934414:9938100:-1 gene:Et_4B_039318 transcript:Et_4B_039318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAQHVAGLACPPLSGASLHRPAAPRRTPSALVCGTFALTKDEQERERMRQLFDEASERCRTAPMEGVAFSPEDLDTAVETTDIDTEIGSLIKGTVFMTTSNGAYIDIQSKSTAFLPLDEACLLDIDNVEEAGIRPGLIEQFMIIDENPSDETLILSLQAIQQELAWERCRQLQAEDVVITGKVVGGNKGGVVALVEGLKAFVPFSQVSSKTTAEELLGKELPLKFVEVDEEQGRLVLSNRKAMADSQAQLGIGSVVLGTVESLKPYGAFIDIGGINGLLHVSQISHDRVADISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKADEMAQIFRQRIAQAEAMARADMLRFQPESGLTLSSEGILGPLSSDTPSEDSGEDLTDE >Et_3B_027636.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:7326077:7326478:-1 gene:Et_3B_027636 transcript:Et_3B_027636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMSMPSISIWPMVGSTIRKRACMRVDLPLPVLPTIPVFRPPGKVTVSPFRTNGSCGAYFSCMKLEVKGVFHPTESRSFSVNFYVCFICANVLIDPNRDRRVVTDDLVKPRSFRRKASFCKQHCNAGKTAQK >Et_8A_057096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21023430:21039887:1 gene:Et_8A_057096 transcript:Et_8A_057096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIDPQAAAAAAAACPPEIPEEIIAEILALVRDLISLFRCSAVCKTWRRLVADPAFLRRRWPEGGGSSLGGPSLLGFFVQRHRINTSALRKISRLFPSRAPAFVPAPDSVVGPERRFLTSFVRDDAGILDEAKPLVARGGLLSSSCASRLARRTSTASSACACTTCSLAGASTSLRWTRVRGYGLVTAADQDAGQPHQLVNGYSILFQVLLIGVAHEDSHLHLLKFSSAAAGLRCWNAYDCSCRTGLRMWWPKGCSTAAIGTVLMLYHSDPKCAYMLHLRSMSATKVAGWTQSFNYMTAVPFEINWPMFFLSRLGESLGFCGEMSEIDPEAAAVPCPPAIPEDVVVEILARVPDLVSLFRCSVVCKRWRGLVADPVFLRRRWPEGDSSLAGTSLLGFFVQRHRTNTSARRKISRLFPSRAPAFVPAPDSVLGPERRFLTSFVKPLVARGGLLVVRLQPRSWDKTSVLRLCVCNLLTGRREVLPPLDVSLLDGDGVQGYGLVTAADHGAGPHPLVDGYSTLFQVLLVGLAHEDGHLLLLKFSSAAARSRRWYAYDCRNQTGVAMSGPKGCSTAVIAGETAQWLFYGNNPENAERPSLYTLDVSIDTGHISATMLPFGASRHWLCTIDARLSLLRVDNNNRLVIWSPGYQQQDGALVWFPTRFVQVAVETGLFRTESLAPVCAGENNDTVLALYHSDPECAYMVDLQSGSATKVAGWTRSFNYMTAVPFEINWAMFFMSRLGGDSSLAGTSLLGFFVQRHRINTSARRKISRLFPSRAPACVPAPDSVLGPERRFLTSFVCDDVGLLEEAKPLVARGGLLLVRVQPRFSDKASVLRLCVCNLLTGRCERLPPLDASLLDSNGLVAAADHGARPPPPLVNGYSTLFQVLLIGVAHEDRHVNLLKFSSAVAGSRQWYACDCSRRIGFSMSMWGPKGCTTAAIAGGAAHWLFHGINPEQPSMYTLDVSVDTGRISATMLPFVNASSPWLCTIDARLSVLLIYNNNQLVIWSRYQQDGALVWRSARFLQFAVETGMFQTESLSPVFTGASCGTVLALYHSDPKCAYMLDLRSRLATKVAGWTRSFNYMTAVPFEINWAMLFLSRL >Et_8B_060292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8860340:8860788:1 gene:Et_8B_060292 transcript:Et_8B_060292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRVFLHQQQRRIQYCQFHYNYGDRSETFSFGVGDDCNLTRNVWFGCSTSSIGTFCADGLVGLGDGALSLITQLGAATAATTPTAMQPRVATML >Et_1B_012106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2947232:2953811:-1 gene:Et_1B_012106 transcript:Et_1B_012106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGMVVVTLRYFAGPGVPRYVVATVGYAWFCSLSFIILVPADIWTTLAGSEKGGIGFFWSWSYWSTFILTWAVVPTIQGYEDAGDFTVKERLKTSIHMNLLFYSIVGAIGLVGLILLLVMHRAWDGGIVGFAMACSNTFGLVTGAFLLGFGLSEIPRNIWKNADWSHRQKVLSHRVAKMAVKLDSAHQEYSNAIVIAQATSNQMSKRDLLRPYMDIIDNMVTQMLRDDPSFKPSGGRLGENDMDYDTDDKSMATLRRQLRRAHEEYYRCKSEYMTCVMEALELEDTIKNYERRDANGWKYVSSFRGSRSGTLGSLLDTMEFIWRCILRKQVQKAFAVILGCMSAAILLAEATLLPSGVDLSLFSILIKAVGKQEVLVQRMGNIDDAVPFFGRGFNRIYPLIMVVYTLLVASNFFGRVIDFFGSWKRFKFQREEENVDGFDPSGMIILQKERSWIEQGCKVGEQVIPLARNFNGVNTDIESQKVRLVENTVEMKTEATSSRIDGRVGQSKYANNRETIASKYSSVSEQSRQAAKKEVSSNSMSLLEERNPDLRSAGVPPGSSAGMSATWASMKIGFQNFKANMGSKKFLPLRQDPGYAPHSSVSSPESLDDIFQKLKRRPANIPVDYLDDDDDNIGDMDLHFQDH >Et_10B_003837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6620491:6624199:-1 gene:Et_10B_003837 transcript:Et_10B_003837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGGPRPEDDPFSDGDTSGSDSGDESLSARPRSGARRPGASTPILTRLAVSRNPSPLAAATAAPGVCLLRFAWESAAGSLVGAVVGYGKGLVTMKGFRGSFADAASSAKIFAVLAGVQSLVACSLRKLRGKDDGINAGVAGCCTGLALSFPGAPQTLIQSCLTFGTFSYIIEKLNKQQALALPPASGMKDKKGAAQGVLPPFTLPLPHDAMDGFSTFQNFLSKFRAN >Et_2A_015957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19757756:19760224:1 gene:Et_2A_015957 transcript:Et_2A_015957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAGGGGGGSKKEESLPPGFRFHPTDEELITYYLRQKLADGSFTARAIAEVDLNKCEPWDLPDKAKLGEKEWYFFSLRDRKYPTGVRTNRATNAGYWKTTGKDKEIYTGQQPATPELVGMKKTLVFYKGRAPRGEKTNWVMHEYRVHSKSALKSNKDEWVVCRVFAKSAGVKKYPSNNAHSRSHHHHHPYTLDMVPPLLPTLFQHDPFARHHHPHPHPYMTPADLAELARFARGTPGLHPHIQPHPGAGGFINHAAAAGSLFAQLPGLNLNLGAPPAVPSPPQPPQPVLHAMTSMAPGGHGAGQVMGSDHHQQQQMQPGLGGCVIAPGADGGFGGGADGVRYQNLDVEQLVERYWPAGYQNSEDRMLALTP >Et_2A_015317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1113072:1117947:1 gene:Et_2A_015317 transcript:Et_2A_015317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLMADQVPEWKGYYINYKLMKKKVKQYGQQLQQGEKDRRRVLKDFSKMLDDQIEKIVLFLLEQQGMLASRIEKLGKQRAILAEQPDISGIAELREAYREVGVDLIKLLKFVDLNATGIRKILKKFDKRFGYRFTDYYVTTRSNHPYSQLQQVFKHVGVGAVVGALSRNLAELQERQGSYLSIYDQPTAALKDVLVAMQDPIIDMINSSVDKLTRSTNFLRFLGQHAMIVGEESPSTAGEEEIEDQKYHFMSLMLNLVNTFLYMVNTYIIVPTADDYSVSLGAASTVCGVVIGSMAVAQIFSSVYFSAWSNKSYFKPLVFSSIVLFLGNVCYAMAYDMNSLTVLIAGRLLCGMGSARAVNRRYISDCVPARIRMQASAGFVSASALGMACGPALAGLLQWKFKIYMVTFNQSTLPGWVMAVAWLLYLVWLWFAFKEPNRAFEVNDTLQNPASGQRVDFGELENGLAQPLLRDSENKQNDDDDEDVDDSEEAVEDSRKPATSIGSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITNHYFSWNTSAVAIFLAILGLTVLPVNAVVGTYISNMFEDRQLLMVSQITLLVGILFSFKVTSTYSAIQYVGSALVTFVSAEVLEGVNLSLLSSVMSSRLSRGTYNGGLLSTEAGTLARVVADGTITAAGYLGIEKLLNVTLLPSLVICVASIACTFLTYNSLF >Et_1B_011429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22084514:22085075:1 gene:Et_1B_011429 transcript:Et_1B_011429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLHRYVVLHVVPEIELIQGNGVTEHQEPVDEHLEQSVTPHLGVCHGLERFACGVDGRHRAPLHQRPRHRRDSTQVCTTWRASRWERARRRTSSGRALIRHSSPGTPAAGTMSASVIGSGSKGLFVEQVVVGQLAAWINGESLSPKSLNRKEDRDGARNQ >Et_3B_030183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31160176:31161375:-1 gene:Et_3B_030183 transcript:Et_3B_030183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALDNTLPAAVEERPKKVAKKKKNDENSAPKATAAAGEQAVEYIPSEELEAATNPKARAAGLVATLDSKDWVQVCDALNDARRLAIHHSALLNPILEKVMLAIVKTMKNPRSAVLKTSVMACTDIFNSFGNLLSSASDEAFDKLLLQLLLKASQDKKFVCEEAEKAMRAMAASMSPLPLLKKLKAYVHHANLRVRAKAAVAIAHCVSRMDIENMKDFGMSALLKVSADLLNDRLPEAREAARSVVSSIHAAFAKEAAANEEDGPTVAASWESLCSLSLPPISAQAVAKIASSSQ >Et_5A_041145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18709281:18712726:-1 gene:Et_5A_041145 transcript:Et_5A_041145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAFSCAGARLHGSVGVGRAECRPSAAALVLQRGSCFRPAARRWNFARGVRADLLPPPRASADGGASAVVVPEAVDVVAADSGAQVSQPGVLPEMHGKAGAADVDDGAGGNGKFPPAGGSGGGDGDNGGGGGGGGEGDEGEDEFGPILSFEQVVQEAEKRGVSLPNLPADMVEAAKSVGIQKLLLLRYLDMQASVWPLGPAIRSCSLLRNRMLVDPAFLFKIGTEIVIDTCCATFAEVQKRGEEFWSEFELYAADMLVGVVVNVALVGMLAPYARFGGRSASEGFLGRVRHAYDALPSSVFEAERPGYRFSVQQRIGTYFFKGILYGAVGFFCGLVGQGIANVIMTAKRSVKKSEHDVPVPPLIKTSVVWGAFLGVSSNTRYQIINGLERLVEGSPIAKRVPAASLAFTVGVRFANNIYGGMQFVDWARMSGCQ >Et_4A_032093.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:16923831:16923872:-1 gene:Et_4A_032093 transcript:Et_4A_032093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYQDQVLGKRT >Et_2A_018117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11609207:11609791:-1 gene:Et_2A_018117 transcript:Et_2A_018117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQAQAAPDESTPGPSVYQPTVAADAPNGPAAQPLPEPATAPPPGAPTYNGQPNHSAGAGGSDLAGNMTLHGCGYLEHSYFCNAL >Et_4A_031979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9477182:9479345:1 gene:Et_4A_031979 transcript:Et_4A_031979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPAPALWYDWTTSTDAVVWKSLEARRSPMGPSSGHSLHSAIPAVSAGKPGTFPTRSRSFPSASSSLICATISLALNTPRSRYSTAAPPAECLVRSRKSRRHASSALRSLSESNSDAVKLPPFCTKRSTVRSTPGLRAIRAASATASRSSRPPKKSAATRRQQEEDEEDATRPRSTRTATVHGANRCTLALRDCTAGWNGSMPPSTRRCLWKGRRISAEFWFSAAAATSSCIDAYGETAANVRAEDTAPGFPSWYAATACAMCLYCVSTWLRASADEEDSADARPANSMRCSACTPTGEDAAAASRSARRPGTSRGAWTHASTRSSASGCCGHATVRRL >Et_1B_014067.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:29537700:29537888:1 gene:Et_1B_014067 transcript:Et_1B_014067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRLFLLLLLASASCVNVAVKEAAAAAAARPQWPVALAAYALWATACAALAVLLPRGRRAN >Et_2B_021995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7893974:7895328:1 gene:Et_2B_021995 transcript:Et_2B_021995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPRLLRRRFFIPAASVTCVSVSRDLFHGGASSSPSMARSLGEPEWETSVRRCWWFRQPVRCGSTAVTLNTDGRFARFAVGNTGSKQKAEQRQQLPAKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEIPGTPEPVHDPEILTEEEKFYLKRTGEKKKNYVPVGRRGVFGGVILNMHLHWKKHETVKVVCKPCRPGQVYEYAEELTRLSKGTVINIKPDNTIIFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDFQKHVALFKNRDEAASKKVSYEDTTVNDHATISDSE >Et_7A_051570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20493566:20495530:1 gene:Et_7A_051570 transcript:Et_7A_051570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKGRRVIGFWAAEDRISQRINQRRSNIKTNLKVVIWPGESTDVPRGWEIPTNRKKLKVGIVINDGYPSFIDANVDSVTSLIKASGLTIDIFEEAVKILPYALPYEYVVFNTTENVSSRYDDFVYQVFLKKYDIAVGDITIRYNRSLYVDFTLPYTESGIAMVVPVKESMNKDAWSFLNPMTPGLLFGTIVLFIYTGIVIWLLELLGDNKNVHRPVPQQILPTVKNIEELLKNGEYVGYGRGSYVKGLLEELGFDISKIKPHGTPADVHDALSRGSKNGGIAAFVDEIPYIKLFLAEHCNVYTMVGPIYKAAGFGYVSLILFIQLTLAQRGIIL >Et_2A_017853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7037414:7040827:1 gene:Et_2A_017853 transcript:Et_2A_017853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAQTLVSNVGQLVGEEFRHLRGVGGEVARLRNELATINALLRMQSEVDEGSVDHFVREWMKQLREVAYDAEDCVHLYLFRVRCRLGDRFFINCKRLLTTLLARHRLASDIRDLRALASSINEQHARYGFSLEPLRRMAASGPVQQALAQLVRPLRSADNTDDHHHQFVGNKAQAIFLASKVKALNGESDKQLKVLSIVGFGGLGKTTLAVEVCRQLETEFPHQAKVSVSQTFSSKDLQALLKRLLQQIAQPSNEQVDSLAGNIDTMPVDNLERELQKRVENKRYLILIDDVWSIAAWDAIRSKLPGSNCGSRIIVTTRMDNVAKACSDANDEYIHRMKKLDERDSEQLFVSKAFGSGNSCPQDLEAATRSILKKCGGLPLAIVSIATLLATYKPPEGKDMWEKVQKSIGSQMETNPTLEGMRQILTLSYNHLPHHLKACVMYLSIFPEDYMIRKDRLLKRWIAEGLVAEKRGLTKMEVAEGYFSELISRSMIDRATNQVTLREGREEGCRVHDMMLEILVSKSLEANFVTLVGGQYEGLSYDTTIRRLSIHDGAEAHKGRNMVAARRGTGNDINGMIVQHVRSLSVFDSEAHRLLGRLGKFTLLRVLDLEDCKGLVGKHMSCICQMYLLRFLSLKGTDIKAIPPKVGDLEHLQTLDVRQTDLKDLPKTVKKLEKLEHLLFSGKGESWSGWMLPQGINKMKALRQVNKAAVIYDPKVAEEIGELDQLQELAIYVDTRKEMGPEVVRNLASSLSKMYSLRWLDIGNFGCDKWPFKSIMKFLHDVESPPRLLRYLKICGCIGRLPDWLESLTDLVEFDIGWTYLNGVQLFDVICKLPNLKRVYLGPYFIRKGENMVARSSQSFPELKELTLGYSPEVPPVYIFEQGSMPKLETLVVYFGNQPKEIVGIEHLTNLKEVQFNGWRDKIKHALEELEELNKKRDVSEQITVRVRYEDDM >Et_7B_054443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21431861:21432480:1 gene:Et_7B_054443 transcript:Et_7B_054443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFMPTNDDDNAWSVLDHPATASSSAGSTADATCVRATPRRGSGRCFPNAKLWVRSDCLLHGVQILCWCLPRIRSCYFTTLSSVPDPSRAQEANDTSTPVCDGGGKGAAAATRAGQPFLPRLHLSEDGTLLASEETEESLFVEETNDDPYIFTEWPPASWTLNQCFLVRDYWSVGG >Et_2A_015409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12057500:12060904:-1 gene:Et_2A_015409 transcript:Et_2A_015409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAALPALLLLVAAALCAGPADAALGVNWGTLSAHRAPPSVVVDLMRANRIGKVKLFDADAGVLRALARSGIQVMVGVTNGELSTIAGSAAAADAWVQQNVSRYVGRGGVDIRYIAVGNEPFLTSYQDQFQSYVIPAMTNLQQSLVKANLASYVKLVVPCNADAYQSASVPSQGVFRTELTQIMTQLAAFLSSSGAPFVVNIYPFLSLYQNADFPQDYAFFEGSTHPLVDGPNTYYNAFDGNFDTLISALSKIGYGQLPIAIGEIGWPTEGAPSANLTAARAFNQGLINRITSNKGTPLRPGVPPADVYLFSLLDEEQKSILPGNFERHWGIFSFDGQAKYPLNLGLGNPVLKSAKEVPYLPSRWCVANPARNLDGTSDHMKLACSMADCTTLEYGGSCYGIGQKGNVSFAFNSYYQQQKQDPKSCDFGGLGMITYLDPSMGECRFLVGIDDSKSSAVASCSGPCCAVFWGITALGFWRADGGGVGGQQFSPQQAWPQVTFGCCTSQQH >Et_9B_065251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2869456:2870777:1 gene:Et_9B_065251 transcript:Et_9B_065251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMGGARGRAGGWVPGERWRSLFLALASVSFLLSLILLFLSAPRLRLPSLAPSVAAATGVRRGPDAPPCLAYLLTGRKGDGRRLLRLLLAVYHPRNRYVLHLSADAPDDERLSLAAGVAAAVPAVGAFENVAVVGTPTAGTPVGASGLAGTLRAAAVLLRLHPDWDWFLTLNAADYPLITQDDLIHVLSSVPRDLNFIDHTSDIGSKEYDSSELVKSINHQLL >Et_3B_031183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2064163:2065340:-1 gene:Et_3B_031183 transcript:Et_3B_031183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEATIVQATMSELPPKMESQELRRACSEISHKLEKLVMISTSNNPRPNAATSSSTTKASASASEQQQAVETVRCACCGVAEECTAAYIRGVRASFCGDWLCGLCAEAVKEIVRRDHPAGGVAAALVAHEAECRDFNATTRLNPKLSLAGSMRRIARRSFDRRAASCQERSSNGTAAAAAAAALTRSASCDPWFLSDVRKGPAGDRPFR >Et_7A_050631.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:8621768:8621920:1 gene:Et_7A_050631 transcript:Et_7A_050631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRYGILQLVNFVAEHCMWGSKQYISLWRALEKHSLDDNPLEIKTDEHLL >Et_9B_065765.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:10633034:10634143:1 gene:Et_9B_065765 transcript:Et_9B_065765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYQHPALFHHAVLLLRWRHPDDAKVIREAYCRRKGGGGGAGVRAHASVVVALLHVACFAQYALCGLYWGYTRKARPDAAETALVVVGTAAPALAGLYMYFSPLGRKRPSVQHQEDEPDDDRSVSGDGGVVAAAAEGGERRWAGGLLDVGGDPTACWLSCACTFCVFGWNMERLGLGSAPLHAVTFALLCVAPLWVLNVAAMNIRDDDVGGAVAAAGVVLCALGLVYGGFWRARMRRRYGLPGNSGGDYVRWVFCWGCALAQEVRTGNQLLLDVEAGGGVDSRRESGAGEASASQALRPLPRENGVKLPRETGDSELATVVVTDAACCGDESPLLRQEQNSSSPAGEMRPPVPPSMPERNTSASSVAE >Et_3A_027250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5814580:5815847:-1 gene:Et_3A_027250 transcript:Et_3A_027250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDGLGCFDRMHGGPHGAPREAGRHSRPSEACPQGPTDTTWRSLLNACWIHSTLELAEHALQELRLLCAANAGYCIIVADMHVRHSQEQGSRRSAPDRGLVQAPGSALSRCTRSIESLEMSHGIYEMLHQMEWQRGFDGYRPDNCPCVVFRPNRA >Et_1B_009770.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25937184:25938160:1 gene:Et_1B_009770 transcript:Et_1B_009770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYDENLICEILIHLVHASNLVRAALVSKSWLATASDPIFLKRFRERHAPCLLGYYIVAERMLHPRFLALVHPPELGTMIRRASSQFDVWVDVPVTIWGCKNGRLLIDICDRLEVRSHLSPPYAIAAYPQSPMKVHLDESFQYQYLEFLPEDGGDGHEYYRMVLGHRGNEIIVYFFLLHGHIWVVRKSEVMALPFSPESSSTPFLAPYGVLGHGMSYVMTSWRLIVAVDIESLELSVVELPKDLSEDYHYFDLCQSQLENSFCLFGLSKTDLRIWVCDLGSSSPCMWSSLHSVSIGDLFGHITIDDITLHGIGAGGI >Et_2B_020507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20876550:20878095:-1 gene:Et_2B_020507 transcript:Et_2B_020507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMLLVSPSQPNAVPRLTAMCSCIKIKQLREPTDHPTAYSDGDWVAAAHLEEGVGDGDEEGSSGPEEGPQRDDVGAVVPRRGVRGERVARGLHDGAIQRQRAEPRGRGVQRGADLPVHRRQQRLVGALHDGRQVHQHQRPPLVPRRRPHRSVENLRNCFSLSSSSLQLDAQLGLALRLRMAAMGEQEVTGDLGSSLGGSFILLLAFSSSTDLSSR >Et_4B_037912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24731756:24732198:-1 gene:Et_4B_037912 transcript:Et_4B_037912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YLPFSIFHHKHKLIVVHLPIAISIRFFDHLLNFLISHLLPKINHHCPQLRTPTRKRTSKASFNSSSPSPSCNQYRKQTTKADFSKFNSRNIKKEESIKGKGFTFIFLAIRLRNS >Et_7A_052663.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:11560434:11560718:-1 gene:Et_7A_052663 transcript:Et_7A_052663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGYNKSGSPEASGEGSRRAPAAAYYECSFCKRGFTNAQALGGHMNIHRKDRSSKSGTAPPAAPGGGEVHLGLSLGRSDDVDLELRLGSYPYN >Et_3A_025996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34413680:34415638:-1 gene:Et_3A_025996 transcript:Et_3A_025996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAGCRCFSFSSSHGGRSLRLLTATNTSIRLVFPSTPSPRRRLLLSAAPDPSPLDLAAAGEQDDDWSASEEDERYGFQIQVSKTGKLNRRVVRARVLVEAPLEAVWGTLTDYEGLADFIPGLAECRLLQQGHRFARLYQVGEQDLALGFKFNAKGTIECFEGEMELLPASGARRREIAFKMIDGDFKVFEGKWSVDEVDASSIDDEGGESSEDQEFQTTLSYLVELEPKLWVPVRLLEGRICSEIKNNLVSIREQAQRMYRLEHEEYSRADFEKR >Et_7B_055788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4564764:4565823:-1 gene:Et_7B_055788 transcript:Et_7B_055788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGPPLPAPEDEAVKPCAPLASEAAAAANAHATIELAAPMAPPCARKRFSARVPMTKEEEEMLTALKVQHLEGRKEFFARMEKRDELRKRFDELLFKRRCWAATLANQVFALRADIAHVRHQRRVGWKDGDPLPEELDDAERRSRCAYIMNQHIDKAMNMGLNAFVDEHFKFHLDQEMPSAVDAKGTSDEDGALLGPE >Et_5A_041508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23840966:23842693:1 gene:Et_5A_041508 transcript:Et_5A_041508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKYLGGPGSKKWILAMDGGARPAPSQKRPLPSCHLVPLARRSPDGELLELLWQDGAVVAHSQAHRRHRAFAGDTGASGVTGESAAWLPDAAGALGADVYAQLWHSIAHLEGRVDRDSAAASVWPPASSGAGEVGSSFCGSNLVAAPPALRVDDGIDDAALPLPGASTSGSSALLKRGRNEFDSRSEDADFDAVDEARPSRRPASSKRRTRAAEVHNLSERRRRDRINEKMRALQELVPHCNKTDKASILDDAIEYLKSLQVQVQVMWMTTGVAPIMLPGAHQLMTPMGMGLNSSSMPPTTQLLSQMQRVPPFMNNLFPNQMPQVPSAATNVPNVANKVQNNRTAEPRNPFLQPNDTLAAAPQLPNLFGYGSQMSQQNDIQELLAGSSAPAFGAEPPSSSDGTGTT >Et_9A_062075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19488575:19490931:-1 gene:Et_9A_062075 transcript:Et_9A_062075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPSGGKAAGEQPMSKGKRMSTPYSSGEKSHPPPAPCHRCAARGRWGRGRLPWSRLPVRAGLPPSSQQSVADEKTHISRRWTRSTCRVPVSSMALPSSDLRPCPQSPQHHSSMAGHGNDDDKHCKLSVSRLHYLPQQQSAIQFEVQHQLQQLSRPSYKHVLLHRRSPDLQAVAEVHELGKGEDGWQVVKNKRSRQCAEPWSDNLARQQEERHSLYLKRMKGLCFNCLSRDHKVASCRDPTRCWRCRRFGHIFTKCLSRRSQHPPSNLHSRNLKGTPTLPHEARGADAIVVVDDLLHIGHNLSLPACERSWDPMLLEAASSPHHHRRRLLQLRVLSAYTSQLKKSRLQSCFETEPNLPRKGSSDQTLNLKNRDDDIQPGEIKKRFGRCPHCRAMVYNNPDDATVFSCTKCHTPIRGASLTQPLSSFHVRAAALSPFLNLHCRQEFKADG >Et_6B_049274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2597249:2606152:-1 gene:Et_6B_049274 transcript:Et_6B_049274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DDKPMSSNSSPSHSPEVSSRIIDDETQETETAKSLNEKLVLVTASNGSSPQHGQSPQPEACQSPQPEACQSPQPEACQSPQPEACPSPQPEACLSVKLEASQSPETDVSPNVGVDNVQDSVKILNEKLSDALLTISAKEDLVKQHTKVAEEAVAGWEQAEAEVASLKRLLETTSKKNASLEDQVSHLDDALKECVRQLRQAREEQEEKIRGVAAKKSKELESEKSDLQNRISELSKQLEDVKRELASMHVQHDLQGKIHIVEKENKDLKIELVTLSKELKILARERDLSNQAAETASKLHLESVKKIARVEAECLKLRHLTRRTSLANDSKPINNNTCTESLTDSQSDSGERMLAIDDEMKNSDSWASALMAELDQFKNGNSGTRNLVNNPIEIDLMDDFLEMEKLAALPEVDCVSSSFGAETDSDQGVTRDESSKVENESLQCQVADLHAKVEKIEGEKRELEMALAEARDQLGTSCDALTVANGKLVDLQTQLDLANEFKRDVLGQAERLDSEKKDLTLQLELKHVQVEELQAMVASLEGGVNRKELELQVESTSAEAACLRKTVASLEEKIDAERSLSMQHKVNADMAEAAKESLDAQLRSANKEIGKLRGIMEILESEVRKEKGSRQELAKQIEAMKTESEITLSAASGKELLEAQLQVVNSEVAKLQGTVDALECDAAKEKAYSSDLQMQLEAVEGIRKVLESELESSHQETMKLREKVLSLETRLKDQTSLLVEYTAKSEDAVSRKKAMEGQLEAANLELAKLRNKVSLLQGKVEQEKLLSEEYEAKCRKLEAQLSRDSREAKLWRLANSNGDLKVKQEKELSSAAGKLAECQKTIANLGRQLKSLTDIDEVASEPEKLESKRDGGDGLISADLADGLYELDLPKSNGSCISPMPSNGSSSPRAEMSVFSGGLTSLSSYLSKTRNKTLLPTWLKDHQPFLCEHQLLHPSPHAAPPKLFVSYKQQHSTNSWQTATEAKTSGNTFSSFTASSIPSTMGIFSSAPKVYKPAAEVNLGPDSDEHYISPNVKAPRVAGFLVKIFAWVLEMPLIGWMVLSFLKRDNLVNKLVSEAEIPEPPLFTATHSWQDIAEQNVNLTKPGLSPAELVQEAVSCLPADLESTLVDPSSGFRRWTIRDFFRAYSSGQTTPVMVARRFLAAVKECSGPDLNMAMFISCDPEDIMRQAEESTLRYQQGAPLSAMDGVLVAVKDEIDCLPYPTTGGTRWLGEARRCGADAACVAPLRACGAVLAGKTNMHELGAGTSGINPHHGSTRNPYDIAKVSGGSSGGSAAAVCAGLCPVALGADGGGSVRMPAALCGVVGFKPTAGRLSNSGLLPLNWTQPELNLPLLTLTRSMSNIRLAKYAKWFDDSAEDIRSCCGKALQMLRTQYGWETVDVTVPEIEEMRLAHYVTMGSECTASLAKYLDDMDKSEIGWDVRIALTAYKSFSSRDYLNAQRLRCRQMYFHEKIFETADAIVTPMTGVTAYPLQDDALSTGELDYINGAALVRYSIAGNFLGLPAITVTVGYDRAGLPVGLQFIGRPWSEATLLHLAYAMQEACSKDCRKPKVHFDLLKKQ >Et_9A_060939.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19561529:19563921:1 gene:Et_9A_060939 transcript:Et_9A_060939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLLQEERSAIHAHSAMTVRYLQQSSATDGEGDEAIEAFNRECSTTTGSNVSDDSAYTAYVEKLCRSGNLLDAVRVLRHLGDEQRHVGLHTFNMLLQQTAEANNFALFAKVFRYLLLSKLAPDESSYKNVAKALQKVEDCELILKFIGEISKITHDRDPTVMNRILFATAKYGDIDKSLIIFQELKKDRSSLDVVTFNTILDVLGKAGRVDQMLHELKVMEEHGHSPDIVTYNTVINCLRRLGQLHMCKRFAAEMFAKGITPDLRTHTALIDSLGRAGHIAEALEMFEQMKKSHQPSIYVYRALISDAKKAGQYELAQKLSEEMNSRASDLLGPEHFKQKFKGRRTRNNR >Et_1B_011122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17833266:17835988:1 gene:Et_1B_011122 transcript:Et_1B_011122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGVLLLHPMGDYLEQELGRRFRLLRLWDAPPDGRKEFLRANAASIRAVVTGGVSGADAALIDALPGLEIIACYSVGVDRVDLTRCRERGIRVTNTPGVLTDDVADLAVGLAIAALRRIPQADSYLRAGQWKDKGDYPLTTRVSFVSVLSFVLKLSRLAVQRFFSGKRVGIIGLGRIGLAIAKRPELVAALVGGRLGGAGLDVYADEPDVPEALMALENVVLAPHMGSGTDETRTAMADVVLGNLEAHVLKKPLLTPVV >Et_7A_051232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15888872:15892769:1 gene:Et_7A_051232 transcript:Et_7A_051232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSRHQVTITLGRSGQVVKRRAISDIDNDDEVPISGKKRSVRDRLGNNPVDSDFIESQQRNKRRHTESDRSHGDDDRQVGKGDLRLKLMRKGLSQRNNGGVEQNGGDLREKLSRNHKNLPRYDPRGHVPESRARYDMRDKVPESRPRYSSREGAPDARPSAVAVSRVPSARSVDDLIQLDSSRKPYSSWVSDGSRHRSPEMPTRVRSDASPPRAYEHIRPMPSLRDVGPSRAPSRTTRDAPDTLRSQQYAGKSAISVDTVPRANGIVPSSAAPPTASVMAEATLTVNGLLNSLGLEKYAVLFQAEEVDMAALRQMGENDLKDMGVPM >Et_5A_042991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8979777:8981855:1 gene:Et_5A_042991 transcript:Et_5A_042991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYEEFAVSGPTHMMAKIEGGSSPKKMIEIDWDNQEQRRCITACLVKGTYVQERDRTKVRQDRRVALAPPWWESFHFRQHKILDCACNCVLCKIFGPSTWFTYGAVFEYVPPDGVCRHPSAPRYIVAFRGTMPRDSTIFGDMRLNLRIILNKQHCCARFKDAGENVGDLLKSMPDNPSAVWLAGHSLGASIALEVGRDMMLNGINLPTFLFNPPHVSLAPAADMLGVAEKAKQDVHIGSYFLKHAMGKTILRPQQKHMKKVFEQLSPWVPELYVHQRDIICKGFIDHFELREQAQERFPRIARSAATLAYRDILHPCAVINLFGEKKGRQHLLPSARLWKNASLEGNAHDLRQWWQPEGPELVLSSKIYSWP >Et_6B_048357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15606333:15607202:1 gene:Et_6B_048357 transcript:Et_6B_048357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQRSEACGLLLALVLTLQLTGGVAGSAGDITVYWGRNKYEGSLREACDTGLYKTVIISFLSAFGHGQYKLDLSGHPEPPYYIGNDIKYCKSKGIVVLLAIGGQGGEYSLPSSQAAAELADYLWNAFLGGSRWGVVRPFGNVAGGKEHYDELAKILHRLGVRLTATPRCGYPDHRLEAALDTWLFERIHVRLYGEDRNCFLTPVDSWKKWAAAYPRSKVFVGVEASKEAYPDTYISPPDLYYRVLKFTKQVPNYGGVMIWNRNYDKSTGYTQDLKRFP >Et_2A_017038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30451432:30453919:1 gene:Et_2A_017038 transcript:Et_2A_017038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTMTTAAVAAAITTLEQRRGGRCCTHGHGHSHAGGDDVVASAREEEEIEVATAAEGNKAEALPPLVTFEELPDYLRDNEFILGHYRCEWSVRDALRSVFAWHNETLNVWSHLGGFFLFLWLAIAGEAEKAVAAGTKAAPGIVTFVLASANASWETSNSTLTSSDFSILGSVNAVPRWPRTVFLLGAMTCLAVSATAHLFACHSRRFNRIFWQLDYAGIAVMIVASFFPPVYYAFIGRAATQLAYLGAITAQGLLVVGMLLAPARSSPRLRHLRAALFVSMGLSGVVPALHALWLNWGDRACHLALALELVMGLVYATGAGFYVSRVPERWNPGKFDCVGHSHQIFHVLVLVGALTHYAATAILISWRDGLAASAAALL >Et_1A_006167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18703200:18703671:-1 gene:Et_1A_006167 transcript:Et_1A_006167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRKEQEAQGAVGWMTVPAFGEWDVKNGAMPDYSMDFSKIREMRKQNKRELSRASLGGDEDILQQQQQQKAQPTQPSKAAAAVAAEDQRRPLHDDSSTVTEPH >Et_9A_061835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1771326:1775475:1 gene:Et_9A_061835 transcript:Et_9A_061835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLGVGRGATDDELKRAYRRLAMKYHPDKNPSPQADSLFKQVSEAYDVRTNPHILLLLHYRRHRRRREAHLSSPSSWLSPRSDPQKRAIYDQYGEDGLKAGAPPPSASAHAAGPGGHGFRFNPRSAEEIFSEIFGGAFGGAGPRGPGGGVPHGFPGFGSVSVGETSSGAAQRKAPPIERRLACSLEDLYKGATKKMKISRDVLDATGKPTNVEEILTIDIKPGWKKGTKITFPEKGNETRNVKPSDLVFIVEEREHPKFKRDGNDLVYTHKITLVEALTGCTVQLTTLDGRNLTIPVKSVVSPTYEEVVQGEGMPITKEPSKKGNLRIKFQIKFPTNLTTDQKAGIQQLLS >Et_3A_025875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33625231:33627772:1 gene:Et_3A_025875 transcript:Et_3A_025875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDRGNQQGLKECDEMEKKMDANVHDNQQSLQVCCGTATKVDSNVHDNQQSLKVCGGTANKMDANVLDNRQSLKVCGGTAGKMDSNVHDNNQSMKVRGGTANKMDANVLDNRQSLKVCGGTANKMDANVHDNSQSMKVRGGTANKMDASPSGTAERLSHLLNQPANKSCADCGAPDPRWVSLTFGVFICIKCSGAHRNLGVHISKVVSVKLDEWTDEQVDVLADSGGNAAVNMIYEAFVPEKDMKPTQDCSAEERTDFIRRKYELQQFLSNQQLLCLPKQNEKHRHHHHTGSNRHGLGHSFRNSWRRKEQDPKAVKKTIEVGMVEFVGLIKVNIIRGTNLAIRDVMSSDPYVIINLGHQSMKTKVIRSSLNPVWNERLMLSIPDPVPVLKLVRICRCCETGRQVNLYSGARHSLLMGFRVV >Et_2A_016382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24056305:24060426:1 gene:Et_2A_016382 transcript:Et_2A_016382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDSPAPPRPAELSDDDRLLVAHCAALCFPSSATPSASSSSFQVHHPSHPYPCAAFSFPPSWSASEWVEGGRPPFGETEVDPALFPSLRAVGSGVAARANAAFLAAFRGLLDGSTLQSEVSRAVAEEKRIVFTGHSSGGSIATLAAIWFLEDCTRQGSVNQAHPFCVTFGAPLIGDNIFNHAVRREGWSQCILHFVLPLDIVPCIPLTPLAPLREEIQAVLDWLSSQRPSHSPVGRSHGIPEYYETLLRSTLSITSYEACSFMGCTSSILGTLTSFIELSPYRPCGTYFFLTSSEQLIVLTNSDAVLQLFFYCLQLDPQQQLLDAADRSLTAHWQYEPIKQSMQDIVCVDYLGTISSALTGRQSNRTAIGSVELSKEAILHLSAAAQWEKQRQRNQTKIIDDNCKKIQEALKLLNEYKRTCEFHGVSYYDSFKFQREVHDFYANVRRLELAGLWDEIVEMLRRRELPDGFEGLEEWVNLGTLYRRLVEPLDIANYYRHSKNEDTGSYLSKGRPRRYKYTQKWHEQLQRIPFGSSLESCFWAIVEELQAEMANGRSLEDLREKVTKLESDAHGWLTSGSLGKDVLLSSSSFVVWWKTLPEQHRVELLISIPECQTIETWILPQHAKPRKKEGHILVLNDVSDDHKV >Et_4B_036515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27715082:27715879:1 gene:Et_4B_036515 transcript:Et_4B_036515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHMSGDRSGNRVLDALYFCVVTMTTVGYGDIVPASVALVGAFLSKAADYLVEKQEALLFHATSHYLHLHHHGDDGRKAALRDMEANKTRYKLYTAAALLAVVLASGVAFLVAVEGMRPVDAFYCACATVTTLGYGDRSFSSAAGRAFASAWITRQRALARWVLTRRTTSTDLEAADLDGDRRVSAAEFALYKLKELGKITQEEIAEFLEEFEELDADHSGALTPHDLAVS >Et_2A_016930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29619999:29626626:-1 gene:Et_2A_016930 transcript:Et_2A_016930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELMRRFSFSDRVSDGSVGGVPRGCVPVLVCGDGDSDGERFVVRVEALRHPSFAALLEKAAQEFGYRQEGVLRVPCAVHHFRDVLNAASSPQRKIHRRRTMKQLVRRLSFSDRARDGSGGGTVKKELMRRFSFTDRLRDTGGVPRGCVPVLVCDGDGGDGEGERFVVRVEALRHPSFAALLEKAAQELGYGQEGVLRVPCAVHHFRDVLNAASSPRAGTGR >Et_7A_051028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13843971:13848005:1 gene:Et_7A_051028 transcript:Et_7A_051028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ADCPLDFSWPNYNQIASVCSDENGHSKCCRYINAVLAVSSAMYANTTGTLGVPTQYSDACIGNFSDTLVAKGIVPTAASFCGLGIKILVSYQCVGMTTIVEMLQSPNFSDVTRSCATTLSDDVTCKRCLNSGLSYLRHLVGEEDNITLNTCRDAAFVAFMNQGNISTVDTASCFFTVQELSALQVNISGPSPAGLPAPNISPSPLTVQVPVVPPKHHRSYKVVLFPAIGALVTGLAVVLMIVLILLIRRKSRELEKIEGNAWSFSCVKKGQQGNSTIFGRFSYNEMKKATKNFSTVLGGENGTEFKGHLNDGSLVVIRRLENWPKQSQREFCKEMEFIGRLHHRHLVGLKGFCLTRFERFQVYEYMENGSLQDHLHSPGKHLLPWKNRIRIAIDVANALEYLHFYCDPPLCHGDVRPSNVLLDKNYLAKLAGCGLVRCSHAGDTSGSSTPVNAKVEASPGYVDPEYVVTQELTPKSDVYSYGVLLLELVTGKPVLLQDDDSRSLVDWSRELIGTDYRLHELVDPAVADAFDLDELQVVADVIHWCTHRDGAARPSMKQVLRILYERLDPLSGRFARAVEGEEGYYYYSHGGGGVKAGKAGEFFSGEAARSASWLPSSSSTTRSHCSRSVLLEQRDSPDQTTEAPARGGHGTFLV >Et_7B_053499.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:2654621:2655028:1 gene:Et_7B_053499 transcript:Et_7B_053499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QWVVVPVLQHLLLLDGDQLYRPLTRLSSPSNPHSRPRSMANLAPLAGKLHYLADAGELAPEGAARTCARRGLCRQLGADINGLGDDELQLDHPKMLIFWERERTCLKCSCKMFLLCFQNERSCTVLLKLACYEQN >Et_5B_044456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2586110:2588202:1 gene:Et_5B_044456 transcript:Et_5B_044456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSSVTVHVTGFKKFHGVAENPTEKIVTNLKSFMEKRGLPKNLVLGSCTVLETAGEGALGTLHKVLESAIADRENGSSTQGQTTLPVNELTKSLRKIGYDVMPSDDAGRFVCNYVYYHSLRFAEEHGIKSLFVHVPLFMTIDEEVQMHFVASLLELLACTN >Et_8A_056216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16169351:16169956:1 gene:Et_8A_056216 transcript:Et_8A_056216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPTGRFKTAFMEQLLLGLRAAGQASKSMGLRERRDAVRLSSDVAMALASSSARGASPPSNGAPTAAAPAAERRNEALLSRIMGGSGYEMAAAAAARGRRDHRSRRIVKRSRRVLTAGRKEKRTASGGGGAMAARRMVKARMQVLRTLVPGADGLRGFSLLSETLDYVACLKTQVELLQCLCKGSRSRLG >Et_3B_027503.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23164398:23164760:1 gene:Et_3B_027503 transcript:Et_3B_027503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNYRRRSESEDEFVFFVLPTIGDSSRPSSSKTPMHTSKLSGASRVNEILTGHESLSKRNFRMEASVFHALVDKLREKQLLADTRAVSVEEQVAIFLYALAKMQVMRHCSMSSSIVEKQ >Et_4A_034221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3091389:3095135:1 gene:Et_4A_034221 transcript:Et_4A_034221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQATLPFSCSSTLQTLSRTLSRRGPLHLRRGFLRLPSPAALPRFSRAPRPCCRHISASADASNGASAEGEYDFDLFTIGAGSGGVRASRFASTLHGARVAICEMPFATVASEEHGGLGGTCVLRGCVPKKLLVYGSKYSHEFEESRGFGWTYETDPKHDWSTLIANKNTELQRLVGIYKNIINNAGVTLIEGRGKIVDPHTVSVDGKLYTARNILIAVGGRPSMPNVAGIEHAIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQKKVLRGFDEEVRDFLAEQMSLRGITFHTEQTPQAITKSNDGLLSLKTSKETVGGFSHVMFATGRRPNTKNLGLEEVGVKMDKNGAIVVDEYSRTSVDSIWAVGDVTNRINLTPVALMEGGAFVKTVFGNEPTQPDYRAVPSAVFSQPPIGQVGLSEEQAIEEYGDVDVFVANFRPLKATLSGLPDRVLMKIIVCATTDKVLGVHMCGDDAPEIIQGIAIAVKAGLRKQDFDATIGIHPTSAEEFVTMRNATRKIRKNVAAQVESKDEAVTKQ >Et_2A_016274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22870657:22871782:-1 gene:Et_2A_016274 transcript:Et_2A_016274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKAAAAARKGRRFALLMAAHDSEYVLEKYGGYLNVFVAAFGDAGDGETWDMYRAVDGELPGGAGDLDGYDGFVISGSPNEAYGDDEWILRLCSLVRDLHARRKRVLGVCFGHQVICRALGGRVARASGGWDIGIREVRAIADARLPRQCRFLDALRELPPRANSAEIHQDEVWEVPAGAEVLASSEKTGVEMFRVGEHMLGIQGHPEYTNDILLSLVNRLLAAGSITVSFAEAVKRQLESTGPDREFWLKLCKSFLKADEASSIADDLYKKNMEAAVLDH >Et_4A_035055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9109947:9111717:1 gene:Et_4A_035055 transcript:Et_4A_035055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRKSVFASAPFAMKQAALGAGVAARRNGAPLSLAAVVFALFVFATFLYNEDIKSITDFQFGASAAIRAKAPDLHLLQEAQAAAHAAVDTLARRGEEVIVRVMDTAASSSSSGNNATSAATVVDVAKTNTNANAAAGNNAAVAVDVGKEKDRDVTLPTVAAGAGADEARRREDEEAAEKAASAKAAAATAALRAVVSVPETCDLYRGSWVYDEVNAPVYKEPECEFLTEQVTCMRNGRRDDSYQKWRWQPADCDLPRFDARLLLERLRNKRLMFVGDSLNRNQWESMVCLVQSVIPKGEKTLTKFVNGGSSNVFYAHAYNATVEFYWAPFLVESNSDNPKVHSVPDRVIQWHSIAKHAQNWVGVDYLIFNTYIWWLSNLDMKVL >Et_2A_016255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22589963:22590565:-1 gene:Et_2A_016255 transcript:Et_2A_016255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDDNAQVVLEMKMQFDCASMSAGEVCKALEVGKMPYTQNKSGSKERIFCVVTELCFLKSLLLEEENAKESGECVSDTAAMGCEHSKLLSHLLQDCAPVTHCRGHSALLAEAIYRCYKISDEHRACAASLCTVGAGLNDFLRAVQDAALSPEPEPTTDIHKREAAP >Et_1A_005484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10788531:10792794:1 gene:Et_1A_005484 transcript:Et_1A_005484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGGALDRRSSARWRVLLLCAFSFGLGMLFTDRCWTTPDTGNHIMSQRRRQDQELQLVSEDCSTKRKHGEDKDIMGEVTRTHEAIQLLDKSISTLQMELAAKRSTLERLRSSGTQVASETNQPRKKAFVVIGVNTAFSSRKRRDSVRETWMPQGEKLQQLEEQKGIVIRFTIGHSATSNSILDKAIDSEEAQHHDFLRLDHVEGYHELSAKTKIFFSTAVSIWDADFYVKVDDDVHVNLGMLATTLARHKSKPRTYIGCMKSGPVLADKNVKYHEPEYWKFGEEGNKYFRHATGQIYAVSKDLATYISINQPILHKYANEDVSLGSWFIGLEVNHIDERNMCCGTPPDCEWKGQAGNVCVASFDWSCSGICKSVERIKDVHARCGEGDSAVWSALI >Et_8B_059190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1513386:1515546:-1 gene:Et_8B_059190 transcript:Et_8B_059190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEASSSSSSPLPALSNGYQPLPSMYLGFLAIWAASGFSWAFSSWRNRHFQANNLQWILALVPLIKALQMALSFLFWYSCVHLQTCSLWMSFGAYVTGILFQTASFVSFMLISHGYCIMCERLSIRERRITAILGCLLYLSLIGYKAAVPYFTVFLLINYFASFYIIFQRTSQNLIVLQEQLSFVDEEDIHSLHGTLNMKYTMFKRFQGTMQVAAVAFIMVYMRADDTPDNYWFRVLVREWVQFCIFMYIGWNFRIPEASLHLPVIPLMKSAWEITRPPIYSVEMDAADFKGLVSDQWHVGVRTGSGCSAEPLLVLVQNPSPTVRVSKFQLDKDSQV >Et_3A_026744.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:18634799:18635146:-1 gene:Et_3A_026744 transcript:Et_3A_026744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVEALEGKRVAGYGAGLLLGIDTEDELSAVLVNPLTGESMPLPRLPDSFLDTYTYVFVLIYNLPTVQARSNVTLWRPGIDSWATIRSERFGLDMPWHRDHLVAHGPQLLQQFE >Et_4A_032126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19575935:19576666:-1 gene:Et_4A_032126 transcript:Et_4A_032126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSTGAAAATTTPTAAEMASYLQQLQTAADANNGTNNNGSARGEQCPRCASHDTKFCYYNNYNTSQPRHFCRACRRYWTLGGSLRNVPIGGSTRKRPRLAPSPASLLSSLFALGAGAPLLEGRGAGFDLGLGLPLPVAPAAAELGAQMQGLGLGLRGGLNGGPSAPLLWPAGLLDNDGVDAWKVPQSAPMWPEFSAAPAPGGLLHGGAQLM >Et_2B_022543.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25835832:25836644:1 gene:Et_2B_022543 transcript:Et_2B_022543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLSLLVLLALLFPAIDSSVAADAGNTSFNVTEILDKYPEFKLFNLLLSKTRVASEINNRSSVTLLATDNAAVDWLLRHSARVPHAALAELVSVHVVLDYIDAAKLAALPRGRPTQLTTLFQASGAARNRTGFLNVTATPRGGAVFASAAPGSLAGAVFKRAVTAKPYNISVLQISNVIVPRGIIARPGLPSPPAPRPKMRHMAMAPSPAPMPPRLAPTVPSLEEDENTEAPDEAPAPAPSHGHAVQATSWWSAAAAVVGMVRVLGYL >Et_4B_039126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8027192:8029181:-1 gene:Et_4B_039126 transcript:Et_4B_039126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLDMSLDDIIKNNKKSNPSSGGSSRRRGGSGSGSAAGGGGGVGGPTRRPFKRSGNRQGPYQPPKAPDAAWQHDMYPAAAGGGGGGGGGRFSAIETGTKLYISNLDFGVSNDDIKELFSELGDLKRSSINYDRSGRSKGTAEVVFARRADAVAAVKKYNNVQLDGKPMKIEIVGTNTPTAAAALPVTNGGLARNAPRSAPRGGPANLPQRRPHQRGGRRGGASGGRRGKERSQPKSAEELDAELE >Et_1A_008512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8310952:8311206:1 gene:Et_1A_008512 transcript:Et_1A_008512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGSGMWPRGRMDRLGPHPLSTMLFRIERHNTAPWGYKLILPQDGHQCHDLGLHVDAHGEKWLVVSNEPFMFMFKKHIGT >Et_5A_042025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5342842:5345564:-1 gene:Et_5A_042025 transcript:Et_5A_042025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARGGGGGVRLPPMNALEILRETVRVLRGDPHAFTSILFLLLCPASGCLLLSAAALDGAVVLPLARRLLVAAASSGLPLTHFVRQLAHHLAATLVSVVISFPALLTLLLAARAGVAYTVAAVYAGKPLAAADVTLLARRAWPRLAATYALGCAAVAAGLVAFLALLVTACSTLKSMLFPPDIVVLAGLFTVLAYSVVYAHTIIVCNLGGVIAVLEDVAGFNALRRSVELMRGQTHVGLLIFLGSTIGLSFVEGLFEHRVKTLSYGDGSSRLWEGPLLVLMYSFVMLVDAMMSAVFYFTCRSSNLDFLDEEGGSVEEVEMIVAGNSDAISRCFELQHPEVVFTYHMIRADKSTSRTVTNKGNQALIQVTEGTNV >Et_4A_034112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3033909:3037083:1 gene:Et_4A_034112 transcript:Et_4A_034112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAFAMDRELFRTVRQATANHARLLYHRLVGRVPHLLAVTLLVAAAQLAPPPWTAATPLLAGVWREARAHAAAVVAACAGLAAAAYAYAASRPRPVYLVDLAGYKAGPAHEATRAKSIRHFALAGRFSDESIKFQTRMLERAGVGEATHFPASLLTVPIDMSLRTAREESEAVIFGVVDEILAKTGVPARDVGVVIVNSSLLSPTPSFTSLVVNRYGMRHDVVSHNLSGMGCSAGIIAIDLAKHLLQVHRDTYALVVSTENITLNAYLGNYRPMLVTNTLFRVGGAAVLLSNRRSDRVRAKYQLVHTVRTHRGASDQSYGCVSQEEDDEGYVGVSLSKELMSVAGEALRTNITTLGPLVLPLSEQLRFLATVLLKRVFPRADVKPYLPDFKLALEHFCIHAGGRGVLDELEKSLKLTDWHMEPSRMTLYRFGNTSSSSLWYELAYCEAKGRIKKGDRVWQIAFGSGFKCNSAVWKALRTVDCAAAGESNSWAEDLDKLPVHVPKVVPIEFDDHEEPPAA >Et_3B_030731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6845150:6846172:-1 gene:Et_3B_030731 transcript:Et_3B_030731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFEHSKLNSSSWIVSVVGVPFLFTTSSRMLFAPSRLPCLMLPKLTRALCCRNSHGHFIDWESIPWNFSNHIHFYQWKQDTFLATFMHAKNFGDEFSRVRDFVMASCSPPPTPGSSRSTYSTRPQGIPSLSRTVTATTCNNVEKCGESLCYCADLGLDPRTGKYKVVQAFYRSMDDRDTDTYHHMGMEVFTIDGDNNVSGAWREIMDDPPYPPEGSQTRLTASSRPLGVSYALVWPMRRSLAESLFLDVVREELYVTARTNDISFTIWTLRIQDGGGQRQYWEQRFTVHVTDICHPLAFLPRGRIMLPRGHWLYQLRVVRL >Et_1B_013465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8603773:8609256:-1 gene:Et_1B_013465 transcript:Et_1B_013465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDESKLEQRLQLWALRIPREHASAVTRLLRSGYLLDKPRVKPVVEDPESEKNRLVVLSERIQKPDLSDMPEQVYDSLKQLCNVDVVPYSLTLGYSYWSAGHVAHLNISDDLLAYKDVIAKVIYDKNYPRIQTVVNKVGTITNEFRVPKFEILAGKSDMVTEVKQYGATFRLDYGSVYWNSRLEHEHIRLVSLFKKGDVICDMFAGIGPFSIPAAQKGCVVYSNDLNTDSVHYLRTNAKINKVEDYIFTHNMDARMFMQNLMSVPSERSDSQIAAENCYREEGVPANGNSSSNENCNDVQENGQNSISGSSVASTTAKKRQQPVKEGEPDCQDEDASQTKKRNNKRVRGSGPPQAKPWEHVDHVLMNLPASALQFLDCFDGLIQKKHWRGSLPWIHCYCFIRSSESEESILSEAQNKLNAKIAEPRFHRVRDVAPNKAMFCLSFKLPMECLKDDTENHFWSFTKEIATVLVVPWIPGGVRSESTVHVEQYYKSLATTDGAQSLYTVARNAASLLFEYTEEHKRESKVTSLFLLTEIRDEEVVVLVIGHLDPGLLALAHAGGGFARPVVRRVIVRLGAEQLGHLRRRPAGLGRLVLEEVDVGEPVQLLEPERRLLLHLQNTQSSSTAQPCGRNCGGEGTCCAAAELVVWEEGACSTAAAALGGGAWWSASCALSLQPEAAPKPTRMPVAR >Et_3A_026290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6461666:6466335:-1 gene:Et_3A_026290 transcript:Et_3A_026290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCGAMKGKGWFGLWQNCSDLPAHHYVDCFMEQMSLVYDPDSNEFRNIPGVETRVPNFGSTVFQRNPEHTDWCLEVLKRELERVGYRDGETLFGAAYDLRHTPPLGSTPARYFRQLTALVAASVATRRSSSSATASAGRSRAPRRRRGGKSTSDASSSSRRCPRPVRPGDHPAVPSPHVAHLRVRAGQLPVPGGVRERQAAGDHGAPQLHRARHGGAPRRRRSRRAVPAARRFRAPPPMVPVTCVNGAVANRTPEQLVYWDGDFDKDPEKVYGDGDGTINLIRMMAFDEEMRREPRQRRQYKSITLPGVEHGTILTEEWSLKRNCSDLPAHHYVDCFMEQMSLVYDPDTNEFLNIPGVETRVPNFGSTVFQRNPEHTDWCLEVLKNELERIGYRDGDTLFGAAYDLRHAPPIPGQPSRVYARYFRQVTALVEDASTKRGNMKVILFGHSFGGMVALEFVRAKPPAWREKYIKHLVLVAPLPASGFMEAVQYFVSGSQLLYVPTTTPLSLRPMWRTFESAMATFPSPAVFGDAKPLVITPRRNYTARDMADLLADAGAAGAVEPFRRRELPKARYFAPPMVPVTCLNGVGVDTVEQLVYPDGDFDAEPEVVYGDGDDAINLVSMMAFDEEMRRQPGQRKQYKSIKLHGAEHGSLLIQDWSLKRVIQEILEANRA >Et_8B_059533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18913895:18915947:-1 gene:Et_8B_059533 transcript:Et_8B_059533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPLHNHHHHRNRIDVSTAAAAASSSSIPIIYYYSSSSCPFHYKKHPRPYACRHRDGNPLLLVPEEERRYRYQHRREEEDAAGAGRWGPCHMPLWGTASAPPAAEADGSRAPSGSGGGAGAKVIRSLLPTRRRLRLDPPSKLYFPCRQKQALLPALALVIASDEPGKQVRSAVRIKNISKSHVAFKFQTTAPKSCFMRPPGGILAPGESIIATVFKFVEHPENNEKPLDQKCKVKFKIVSLKVKGPMEYVPELFDEQKDQVAVEQILRVVFLDAERQSPQMDKLKRQLAEAEAALEARKKPPEDSGPRIVGEGLVIDEWKERRERYLARQQIEMVDSV >Et_1A_005078.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:25576233:25576571:1 gene:Et_1A_005078 transcript:Et_1A_005078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLKKMPQPPHFGPLQKEWPVRREGIALGLMGSFANVVESIRKSNIEDSDESFEENSCILSQLKDNGFSVDKLQTCLNELIEMKSKHAKHIKEKDVVHAQKTVETRFLLQS >Et_7A_051899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:26120546:26136426:1 gene:Et_7A_051899 transcript:Et_7A_051899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGTAMYPLHRCKTIYLVRHAQGIHNVEGEKDPSAYMSPTLFDAQLTPLGWKQVDGLREHVKKCGLAKKVELVITSPLLRTMQTAVGVFGGDNYTDGVSAPPLMVENAGHSGRSAVSSLNCPPFLAVETCREHLIENDEDVLWEPDVREANEAVAARGMKFIDCFANCELRSMVLVDRSMLGSDSPSFNYPGKVPPGLDIPSDVRHAQAVHNVEGETDHSAYMKPEFFDAQVTPLGWNQVDRLREHVKKCGLANKVELTAAGVFGGENYINGISAPQLMVENAGNSGRLAISSLGSPPFLAIETCRERLGVHPCDKRRSVTEYRTLFPAINFSLMESDEDVLWEPNDRETYDSLAARGMKFIDWLWTREEKEIAVVIHSGLLWHTLRLYSKECHPTVRHEVSKYFANCELRSLVLVDRSMLGSDSSSCNYPGKIPAGLDLPSDAADKKHIEEAHEN >Et_5A_041316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21081895:21085678:-1 gene:Et_5A_041316 transcript:Et_5A_041316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLGSGQLQSKKVCVIGAGMAGLTTARELRREGHAVTVMEQGRDVGGQWLYDPRTDDADPLGAAAPVKVHSSMYASFLPRRGVAGRDPRRFPGHREVFFYLKDFCEAFGLMELVKLNTKVVRVAVAQPPSPPPSEGCRDMRWLVRSVRVEPDSGVEVAAVEEVFDAVVVANGHYSQPRLPTIKGMEEWRRRQLHSHSYRVLDTFRDDVVVLVGYGNSGMDLVMDLCGRAPDGQVRQAGHDSGHVQDAGEPRRPAPPPQIDCLCEDGMVVFADGTYVVADAVIYYTGYTYSFPFLDTDGLVTVNNNRVGPLFEHTFPPALAPSLSFVGIQTKVFVPCFFEAQGRWIAQVLSGRRTLPLADEMLRAAEDYCRAREAAGVPAKHTHDIGGVNPNETHEFVAKYTELPPREEWKRELTRAILRDINDDRENFRDLDNDCESVREGVKRWLCLSEAPHQAVLGDGASSSQVRMQFQAPSQL >Et_2B_020273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18503878:18506078:-1 gene:Et_2B_020273 transcript:Et_2B_020273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRQQLLPSFLYAPASGVNSGSDLARAPVVVAGAPSEPPFGKIEMFSPAYYAACTFGGAAACGFTHAAVTPLDVIKCNIQIDPAKYKNTSSAFGVVMREQRVRGFFRGWAPTFLGYSAQGAFKYGLYEVFKKQYTDLAGPEYAAKYKTLIYLAGSATAEVFADVALCPMEAVKVRVQTQPGYARGLRDGFPKIVRAEGYSGLYRGLVPLWGRQIPYTMMKFATYENIVEMTYKHLIPTPKDQCSKPLQLGVSFGSGYVAGVFCAAVSHPADNLVSFLNNAKGATVGDAVKNLGLWGLFTRGLPLRILMIGTLTGAQWVIYDSFKVMTNDRWSACPFCYPNGGTSGTESLCLIF >Et_1B_012693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34499979:34502815:1 gene:Et_1B_012693 transcript:Et_1B_012693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSAAHLLALLRRNAASPAVALRLFIHLTSAASPPPPRSTSFVASLLAAHPAAADALLPRLIRHLLSFPDPSPHFLALLSASSPGLPLRLALPAFRSLRSLASAPPPPTPVYNRLILAALRESRLDLVESLYKDLLLAGAEPDVFTRNLLLQALCEAGRMELAQRVFDAMPYRNEFSFGILARGYCRAERSVDALEVLNAMPAMNLVVCNTVIAGFCREGRVEEAEKLVERMRSQGLAPNVVTFNARISALCKAGRVLDAYRIFQDMQEEWERGLPRPDQVTFDVMMSGFCDAGLVDEARVLVDIMRCGGFLRRVESYNRWLSGLVRNGRVGEAQELLREMAHEGIQPNSYTYNIIVAGLCKEGKTFDVRRVEEFVKSGVMTPDVVTYTSLLHAYCSKGNTVAANRILDEMVQKGCAPNSFTYNVLLQSLWRAGRTIEAERLLERMSEKGYSLDTASCNIIIDGLCRNNKLDVAMGIVDGMWKEGSGALGRLGNSFLSIVSDSSRSQRCLPDHITYSILINALCKEGRFDEAKKKLLEMIVKDISPDSVIYDTFIRGYCKHGKTSLATKVLRDMEKKGCNPSTRTYNLLIQGFEEKHKSDEILKLMSEMKEKGVSPNVMTYNSLIKSFCEQGMVNKAMPLLDEMLQNEIVPNVISFDLLIKAFCKITDFPSAHMVFDAALRTCGQKEMLYRLMCTELSTYGRWIEANSILETALEMKISIQSFPYKQIIAGLCEAGQVTHAHSLLKLLIAKGHAFDPAAFMPVIDALIDKGKKQDADMLSEKMMEMADSDAFATSSHKITPGIRKHEREKYGESDWRSLLHRDDSAHTIMRITKRVRTGWGQRGNVYEHKQQQNGDFYVLENAG >Et_10B_004017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9286217:9293977:1 gene:Et_10B_004017 transcript:Et_10B_004017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRCFLIVAIAIVMLFAGGAALAPGQVCSTTNNFTAGSQYQVNLNTLISNLTSSTIAGGGFADSTVGNGTDKVFGLAMCYADRNLIQCQDCLRYITRVRDMQLECPFSREVKVCYDECVLHYSDRPFLSVADLDIAHYVRADSWVADMASMNATRWNLMTGLVPEAASSPLRFANGTKMYTDSQGSTLVMYGLAQCTRDLDANECFRCLNKFVPELSRSRPNNTYGTVKGYSCYVAYKIGQDLGITFLPMVQPPAPAPSEKEATVPLLNIRNFDHTFCVAGNPQLYHDEPSPPSGPRAALVAGTTVGSFALVVCAILVWTFFRKVREQKLEVSNDEPIEDEFEKGTGPKRFSYSELAVATSFFSDAQKLGEGGFGSVYHGYLKDLDLHVAIKRVSKTSKQGRKEFISEVKIISRLRHRNLVQLIGWCHDGGDLLLVYELMPNGSLDTHLHNQNNVLSWQLRHDIVLGIGSALLYLHQDWEQCVLHRDIKPSNVMLDASFSAKLGDFGLARLVDHGRRSHTTVLAGTMGYMDPECMISGSASTESDVYSFGVVMLEICCDRRPIMVVEEGPESTTRHLVQWVWELYGEGRILEASDDLLKGEFNGEEMERMMVTALWCAHPDRTMRPSIRQAVNVLRLEAPLPSLPAKMPVAMFMPPVDCFLTGSSAGTGCNGSGSGGANSTRSSVATETSVLLR >Et_4A_035086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9340056:9342703:1 gene:Et_4A_035086 transcript:Et_4A_035086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGDRKPGDGGDKKERKFEPPAAPSRVGRKQRKQKGSEAAARLPPVAPLSKCRLRLLKLDRVKDYLLMEEEFVVSQERLRPSEEKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSIGPEYYVSMLSFVDKDQLEPGCAILMHNKALSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDANSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRHIFQIHTSKMTLGDDVNLEEFIMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMYKKKEGVPEGLYM >Et_3B_028365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14263483:14268288:1 gene:Et_3B_028365 transcript:Et_3B_028365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHLSQQQQQQQQYGDPYRTLVPSPQPDHHPHALQYHQQQPQPTLMSPPQPQPTLMSPPQQQPQPMFMSPPQQQQQHHASLASHFHLLYLVTKLADAIGTGTRDQNFDALVEELTSQFARCQQLLNSISGTISSKSTLLQPMASTSALFRVCVLAKLS >Et_3A_023386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24777385:24778482:-1 gene:Et_3A_023386 transcript:Et_3A_023386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQSDGLKEVQKMLESLHDIIDGMAEFVIFLKSYSLITRQPYSKYLYLEKCMFGRQAEMEKIISFLLQPEPPGIEAFQVLPIIGPPRVGKSTLVEHVCYDERVRNHFSSIIRCGEDQIAPEGSDVLKNQIHGSHGRSLLIMELADDFFVDKRQCRKLHSSRSQMPPGKAYWYFFKVMAFGSTNPNEHSELASIAMEIAADMDGSFLTANFVSRMLRANFHARFWRKILELQRDHVQRHLFLFGEHPHTLFMENKPVYLWSMSDVMCIKVHSCDKLYHQNEAPKIKVLEVLTGTAEAQGKFEVTVWKSCIPPYHNFMMSCEAPRHMMAKKKRPYSMV >Et_7B_054418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21095343:21102932:-1 gene:Et_7B_054418 transcript:Et_7B_054418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPATDPPDFSPAVTAASQPTPEELVARAVAPVKPAFLRPPPVREAPKEDGKAAGVTAEKKSKRQLKRERQQEKRSTSHLCIEVGKSGNVDSCKYGASCRFSHDINAYLAQKPADLEGTCPFASSGMLCPYGLTCRYLSTHNNQSPENCPEGNREINSFNKDTQKLLWKNKYDFPKASAQIKLLGLKDIKKNKVNSANDDQKADIDSPDKSCELNGNDKSESPCNTSGNVEPESNLCKETDNSEGELLVAKSVQLVEPRPLKKSKVEVDETQNHGAGIHDNEAEPEDQKLSNGLEVPSNNQSSCRIDLITAPHLREKKIIDFREKLYLAPLTTVGNLPFRRLCKTLGADITCGEMAMCSNLLQGQASEWALLRRHSSEDLFGVQICGPYPDTIARTVELIDNECLVDFIDINMGCPIDIVVNKGAGSSLLTKPMRIKSIVQAASTVTEKPLTVKVRTAFFEGRNRADSIVSDIYDWGASALTIHGRSRQQRYSKLADWDYIYQCAQKAPDYLHVIGNGDVFSFTDWNKHLSDCSKISTCMIARGALIKPWIFTEIKEQKHWDISSGERLNILKDFVRFGLEHWGSDSKGVETTRYFLLEWLSYTCRYIPVGLLDVIPQRLNWRPPSYCGRDDLETMMASDSAADWIRISEMLLGKVPEGFKFAPKHKSNAYDRAENG >Et_2B_020823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2467296:2468124:1 gene:Et_2B_020823 transcript:Et_2B_020823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSPVAESNDWWVGEQSCDSGRMTRLVQLWICEQVTAECIGSRDEGNAVASLSLAVVARSSNRSHNAGRYSTTESKMIWVEYTVRKMAPILQQNYWRWMGMRWRREALAATTRDLRAPD >Et_4B_037466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2036169:2041738:-1 gene:Et_4B_037466 transcript:Et_4B_037466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAVSLASKARQAGSSARQVGSRLAWSRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRTVVIEQSFGAPKVTKDGVTVAKSIEFSDKVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFAEGCKSVAAGMNAMDLRRGISMAVDAVVTNLKGMARMISTSEEIAQDGNTLYNELEVVEGMKLDRGYISPYFITNQKNQKCELSDPLILIYDKKISNIHAVVKKQKPLLIIAEDVESEALGTLIINRLRAGIKVCAVKAPGFGENRKANLQDLAVLTGGEVITEELGMNLENFEPQMLGTCKKVTVSKDDTVILDGAGDKKAIEERAEQLRSAIEQSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKDLDKLHTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVIVGKLLEQENTDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTESIIVEIPKEESAAPAVSGMGGMGY >Et_4A_035206.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:12075417:12076124:-1 gene:Et_4A_035206 transcript:Et_4A_035206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGCLVVPRFCAALMSRGRSGRRAARLVVWGGESLAARHGKLAGQVMLDHAGCVVCRADAFYVGRPAPVLAIEDRLAAGRVYLVLPVDRLPQGRDALTAASLAALSYDKGRPPAIAGGARSPFEYVKGDDGRTVIKVTPEFLVAAVTARDGTTAATGGGEDAEACGALCSTPELRKHYEQLVGAGRGRPWSPQLDTIKERKGRRGLDFALAVSPGRLSPVAVRLLGLEAKGER >Et_4A_033271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20952057:20959633:1 gene:Et_4A_033271 transcript:Et_4A_033271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSLRAAAPDAAAVRLRACGGVVYALLPRGRPGRAGHGEVGGVLVARASTALCSRVLCPVSSPAVQSMASKIQFLSSIAITAFALLLYPVASTESRHELSSWSSGIATWYGDANGAGSEGGACGYQYAVDQPPFSSMIAAGSPFIYDSGNGCGSCYRVVCAGNAACSGIPVTVVITDQGPGGGPCLSQASDGSCLNEGAHFDMSGTAFGAMAKPGMADQLRGAGLLQIQYTRVQCEWPGVDVTFSVDSGSNPNYLAVLIEYEDSESDLWTVDIMQNDGATGQWVPMQHSWGAVWRLNSGSALHGPFHIRLTFNSGRVLIASNAIPAGWNAGVAYRSGGVAVTRTRPKGASCRAYEAVRSRSLLMYYLLMLFVMAAEATVTAAFSRKAIRQEVTMVSNPSYEHDVKASTPLSLATCVVLATPKEEEEGGDDSRALVAAMSMRLYALESEPVVGGMDSQRWVRRGGQCLNDRIMFLRSTTSFAVDAAQFAGAIGGGCAYFILHSQNASWSLRKIIGKSTAQLYAPVR >Et_6A_046472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15699007:15705927:1 gene:Et_6A_046472 transcript:Et_6A_046472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WERSRVYGGRELAWLVSSQHGLVYDEDQSNTSSVKCRRQVHTLQLSAYAESFQDEGTWINLVSFLHYNFAKKKKEEEFDQTAYSGTCNCITGLASPDPVAFLAFDTNHPPPPDASSGLFTFGGSGLLTIGTLGIAAVAATGDDDYDCDVDDVIDADNNSNDATADEEDDDVDDGAATPTFTYPPPPQPEAAAVETKAVVAVEAIAEKDDDTTTEDDLMVVSAELEKVLGGRSSGAAGDLVASARASFATAGVDCPLQGFLFGSPVSDAESRQERRDSGGRRTSLGELFLRTRFADEKVALVAVEEGEDGKADGDCREEAGDGRGGGGGGGKMMKRKVKDEKSAGGDGAPVSAGKSKFQKILQIFHRKVYPESTALARSLTKKSRKRWSTDGELPASPKLQCRKEPRLPGFGCCANRASFGGGTSPDVDDEQNGSKSGHWIRTDADCECACMHPRLNIPVRKHCIHSTAHFDPPP >Et_2B_022754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4461169:4461444:1 gene:Et_2B_022754 transcript:Et_2B_022754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSFMERNVDDLGQDHPYGGILEGALPSGEDGRASLAYCWVQDDEGATWKNLASTVTRPAWGLKKASTQGRMLKCANEEQTI >Et_5A_041239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1990371:2004109:1 gene:Et_5A_041239 transcript:Et_5A_041239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSNSGSRADSGGYNLNEKAEDEGAYESVRDDDADLNSRQWNLNEKADDAYQNEEQYETGEPGLYSSGNTSGQHAGRGGPWGTMFLKDSRSNQTAEVPLNSDRGRDDGSAASSHEYMDGSGEDDELNRGNGEVPAEEMLSDDYYEQDGEEQSESLLREDDPDDVDFEPDSETDKAADKDKFVVESENSDDDGNDELELSDDDFVENRRQPKRLKIGGPKPSKGRKLPIQAQRKRGMSLSDDEDSSGKDSDVPSDTDFSHKSKRTDRLPQKTVGRNDANPINSHNELRTSGRRRTVKKISYAESEESDDSEEKLAKQQKDEPEEEDGETIERVLWHQPKGVAEEAMRNDQSTQPTVASLTLDFDQQWDDVEFYIKWKGQSYLHCQWKTLSELQSVSGFKKVLNYMKRVAEEQRYKRSLSREEVEVHDVGKEMELDLIKKYSQVERIFADRMSKVDGDDLVPEYLVKWQGLPYAESTWEKDTDIEFAQDAIDEYKTREAATAILGKTVDFQRKKSKASLRRLDDQPEWLKGGKLRDYQLEGLNFLVNGWRNDTNVILADEMGLGKTIQSVSMLGFLHNAQEINGPFLVVVPLSTLSNWAKEFRKWLPNMNVVVYVGNRASREMCQQHEFFSDKKGGRHVKFHSLITTYEVILKDKGVLSKIRWNYLMVDEAHRLKNCEASLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPVKFNSKDSFVERYKNLSSFNETELANLHKELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFQNLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSIGDRNKVERIVMSSGKLVLLDKLLVRLRETNHRVLIFSQMVRMLDILAEYLSLRGFQFQRLDGSTRADLRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQETVNIYRFVTCKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKESKKGGSMFDKNELSAILRFGAEELFKEDKTDEETKRNLEGLDIDEILERAEKVETKGGEEEAGNELLSAFKVANFSSGEDDATFWSRLIQPDPADMVQETLAPRAARSNKSYVEDTQLNKNNNRKRRAVEAPEKPRRRSARTVDTVVSLPMVDGAVAQVREWSFGNMPKKDASRFVRAVKKFGNPSQINLIVEDVGGVLAKAPHEAQIELFDLLIDGCQEAVKENTDLKGTVLDFFGVAVKAYEMLARVEELQFLAKRIARYKDPVRQYRIQLPYKKPQWSASCGWTETDDARLMVGIHWYGYGNWEKIRLDPKLGLTTKIAPATLGERETFLPRAPNLDNRASALLQKEYAKFSGKSSKAKGSARQTVNNDSNSGARSLKGRQKDAKGKDDNKLNRDEIQKRKVVEVEAREEGEISESEAETKYRLDKEEKWLEWCSEVLDEEQETLKRLDRLQNTSVNLPKEKVLSRIRKYLQIIGDKIVEVVRQHSESYKQSRMTMRLWNYVATFSNMSGDQLHDLYLKLSQDQLEAGVGPSHGGNFTSVPPNKGGTSNQLHPSRNQRSTRSLHYTSDSFNNNENSGSSEAWKRRRRADPDNQFDTQPLYQPPPAIANGNRLQESSSSAGILGWGPVEMRRYGNDRPKRGVHPSHFPAGHGPLL >Et_3A_023799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12396953:12406425:1 gene:Et_3A_023799 transcript:Et_3A_023799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAALHSLPAVLGAGSRRRGAKRRAAARLGLRASSVETAAVAVSNGVVVKVAEPKKTREDDDKKEEDATTVVEKEEEEERPRGLQALYDDGFGGATVKDYFAAARAVSRDDGGPPRWFSPVECGGPAVDGAPLLLFLPGTDGVGMGLILHHKSLGKVFEVRCLHIPVNDRTPFEGLLQIVEKCIKHEHDVSPDRPIYLVGDSFGGCLALSVAARNPQIDLVLLLVNPGSNIICQDFVAANTAPFGSNTKRISFHSDPMKMASVSIENNLSPPESLQKLADSLTSMLPLLSELADIIPRDTLLWKLKLLKTGAAYANSRLHAVQAEVLLLARVRYFKDNGHTLLLEDGMNLLSVIKGVNMYRRGRQRDFVSDYLPPSLSEFKKTFDEDHKLFHLALGSVMMSTLKNGKIVRGHGGVPDQGPVLFVGYHALMGIELSPLYEEFLREKKTIVRGMAHPVLFGANFESSRQEISRFDTVSMYGGLPVTPINMYRLFERNQFVLLYPGGVREALHRKGEEYKLFWPDQPEFVRMAARFGVTIIPFGCVGEDDVLELVLDYNDQKNIPFLREWIESINKETQRQRMRDSVKGEEGNQDMYLPALVPKVPGRFYYLFGKPIETKGMNDVVRDRKSANEMYLHIKSEVEGIMSYLKRKREEDPYRSITQRALYQATWGVSAEVPTFEP >Et_2A_014572.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23972961:23973689:1 gene:Et_2A_014572 transcript:Et_2A_014572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWANYPTSISFRRHEAAWIADGTRPNASGVSDAERFWRTVKRCLLLVFPLLDRRPLPHARRSRGPPGAGTGSRRFRRRRCAACRERRVRRARHRGDGDGGGRPRAGPGARFLWALRSPSLLPDGFEARTRGGWVPQARVLAHAAVGAFLTHCGWGSVAESLRLGGGLPFGVDQGLIARMMAERGAGVELGRRDGDGWFGRDDVAQAVRRVVGEEGKVVASNARRRTAGAVRRRACGLVAA >Et_3B_030394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3477557:3478546:-1 gene:Et_3B_030394 transcript:Et_3B_030394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLARFLPLLLLLLPTALREYLPAASILRPSDAVGDELHPLVLLPGASCSELEAGKGWFGLWQNCSDLPAHGYVACFTEQMRLVCDPDANEFRNLPGVETRVRNFVTGASRSSGASWNALATLFGAACDFRHARAADPRPAVRTSPVYARYFRQLAALVEDASRRQQHQKVILFGHSFGGMHGGARVRARRAGGVARKIHQTPRPRRAATRVGRGFMEPVKYFVTGSELLYVPTATAPDPAPHVVAHLRVRHRDVPRRRRCSGTTTTRRWSSLHA >Et_6A_047315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3856430:3860606:-1 gene:Et_6A_047315 transcript:Et_6A_047315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGLNNSFLSRGNRNAVKGCLLFLALIYLDSLDVKNVKIPTGLPRVAAWSNILIKKVIRLDTNRYGSFGNLKLKASADTVTQECFLGRMEDIESLVAAKTGPGISKAKRRKLCHIVSNVCAGITEVVGNFVGQVSELLDDNEHGEAPAGTEHAQAAPEDEHGTRRSARVKGKRDYADEHDFEHVEDDKDFQDDEEDDEDVYSEDEDDGGEEEEDEEEESTDDEDYQDDDEDGAAQRNPSQENDEDVFSKVLSQPNIPKDIHSTSQGTSHQRTKLVVIRDEDDLEEEDEAPLVRNSSKQKSVRPEDHDIVQPKEVVNLDSKNDRHEDSPQAIGPMPFRHGTKQVVTRTPNTRERQVRWKRLKLPEPSVVNLVTPEYVVQQQQTFTHGAEHIEGVAHGTNKSCDTFIKAANAIRQMKIPPKRNSVDGTSAVLQTENDFDPPDCNLMKYLESTPPENIIPPEIQHARAHPPSQQYEDSLFDQMTEEELMKLEQDARRNYDRRVQMNNQRGFDEAAPPIETPSKTAGSLSIAPSTTPSTSITPAFQLAPRRPVKLPAVMRSPFVDYNVKQNFKCNAIVNKVYDAVIASSTRTSRRATRSSQSSHDEEVKILNYNGYHASLEHLVQSVTPRGELHNTVAEIGISTMSYDVKVKHVLPLRVATFLQSGQLKRNDVRRCFSRTRNHLDRLQLVMFPVLLEWQVDGLNKKVGHYFLLCLNLREQRFEVLDSMRTLADRNLKRCCNVLILAIKELWNQSKKKIDKYDLIQIEVQKQSTKYDSGFHMLMNCEYWDGRDYLDGHSVPKFREEDMPNIRKLLTYKWLTHKDNTSDSKEKLNINIK >Et_1B_011338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20640933:20642045:-1 gene:Et_1B_011338 transcript:Et_1B_011338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FPLSKNLWDSRTFVRQIRSLAYDIEDVIVEFTYHLGEDADGMLFFRAARRIRQAKIWYRLTDRLRDIKGPFGSLPQIRYDLKGIGSVIRLLGSSTNQTFQKGKETCRVKKEKVFLMEWVRGTDQDNMIASVLSMGGIGKITLVSHYFQGSLQHFCLVTVSQHFDVDDLLQQIVREFRKNDQRKEFPDDLEMMDCSIQQDIIRKYLKNRRYILVLDDVWNVIMQMWHDWLPNQTSFIWSHWKRMMLEFYFIRGFLEEQ >Et_3B_028865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:236746:237541:1 gene:Et_3B_028865 transcript:Et_3B_028865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNMKPVAFLLLLLNMCMYIILAVIGGWAVNVAVERGFIIGPELRLPAHFHPIFFPIGNFATGFFVVFSLIAGVFGIASGIVGFTHLRFWNYHSLQPAAAFGLLAWGLTVLAMGLACQEISFDRRNAKLGTMEAFTIVLTVTQFFYVLAIHGGSHGAVPVERRGNFAQ >Et_9A_062244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21047779:21050523:1 gene:Et_9A_062244 transcript:Et_9A_062244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLVVLLAAAALLLSAAAAQEETCSGVAPAPPRRGAWLSLASVGGRGDGRTLNTAAFARAVARIERRRGARGGTLLYVPPGVWLTGPFNLTSHMTLFLARGAVIRATQDTSNWPLVDPLPSYGRGRELPGGRYMSLIHGSGLQDVFITGENGTIDGQGSVWWDMWKKRTLPFTRPHLLELMYSTDVIISNLVFQDSPFWNIHPIYCSNVVIANVTVLAPHDSPNTDGIDPDSSSNVCIEDSYISTGDDLIAIKSGWDEYGLSFGRPSSGITIRRITGSGPFAGFAIGSETSGGVENVLVEHLNLFGMGVGIHIKTNSGRGGFIRNITVSDVTLNGARYGLRISGDVGGHPDASYNPNVLPVVDSVTIKNVWGQNIRQAGLIRGLRNSVFSRICLSNVKLYGAASIGPWKCRAISGGAFDVQPSPCTELALSFVIHLLMFI >Et_10B_002574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16551218:16551442:1 gene:Et_10B_002574 transcript:Et_10B_002574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDSRRRFDNKIYREVIIVATWTIWCHRNGIIWKKCFKEEFALVALRAKPSVKILLDNWFCNI >Et_1A_004897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14916935:14918242:1 gene:Et_1A_004897 transcript:Et_1A_004897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTGSCHVFTVDTNTGAQEVILSPEDTVIGECRGDHQFPTMGFFEESLARPMSNTAWSPSMQAWSHVLSRLPARTVWGLDQVCRSWRAVIKSERFAAAHVRHANRDKSPQVLFLNGMPYGFVGASCAPPPLVCVDWRIVCSKPCHGLNAGSFGNCDYVCHPLTGYFKALPLDNTAAAMFTGRLGLGYDQESSRHVLVRLAYEERNLVTREYKMACSMRYVEDMVWGEAEPPPRPIADVPPAHVKGKLYWMVDTQLGQRSPLGHGEIVVLDATTREFEVLPGPPFDREDGENVSLVELQGVVCVACSHRRMGIIKVWAMEDETAGVWSVKYDIELERFSPEYSPETTTPLAVDPRDGRILLSTGRALGYYDPRTAELETICRLGKHMDKGMKFVPVLYEESLVKPCDPIF >Et_2A_018606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34938370:34942574:1 gene:Et_2A_018606 transcript:Et_2A_018606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCGLYSRSPSPYRGRPKARSRSQSPARSQSRSPVPDPRSQARSRSRSHEREEDATNHGNTLYVTGLSSRVTDREIKDFFSKEGKVVSCHVVLEPHSRVSRGFAFVTMDTVEDADRCIKYLNNTDMEGRTITVEKSRRGRPRTPTPGSYLGHRYERRDRGRYRRGYGGRDDYYGNGYRRSPPPMYSSYRETRDYPSYRDSRDYHPYRDARDYSPPPRDPRDYYDGRGGRGYSPPYGGRARRERSISPYRMAERGYGGRRAGGGGYDREEQIDEEVQRVKADSSVFRAAYHGHAMVVSR >Et_9B_066190.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:6114073:6116568:1 gene:Et_9B_066190 transcript:Et_9B_066190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRSTLRANLPPPPSPSPPPTRTPTAILPRHRKPLAVTPAEHLALQPSVAASLLTAAARARDLRLGRALHARLLRTGNLLNADAVVANSLLTLYSKCGAVAAARSVFDGMPGDLRDLVSWTAMASCLAHNGLESEALRLFGETLEAGLRPNAFTLCAAAQACFVSELYRLASGMVLAFVIKMGLWGTDMSVGCALIDMFAKNGDLVAARRVFDGLVERTVVVWTLLITRYAQGGYAEEAVELFVDMLDNGFRPDQYTMSSILSACTELGSIRLGQQLHSVAVRLSLVSDSCVSCGLVDMYAKTHIGPSMGNARKVFDSMPKHNVMSWTALLSGYVQCRVQERKVMAIFCDMLNEGIRPNHITYSSMLKACANLSDQDSGRQIHTHCIKSGLASVNVVGNALVSMYAESGCMEEARSAFDQLYDKNMFCLSSDFNGDERSNTSQDYNIERTDVGISTFTFASLISAAASVGLLARGQKLHAISLKTGFGSDRGIGNSLVSMYSRCGYQEDAFRVFEEMNDRNVISWTSMISGLAKHGYAERALELFHDMISAGVKPNDVTYIAVLSACSHAGLVQEGKEHFTLMQKDHGLIPRMEHYACVVDLLGRSGLVEEALDFINKMPCKADALVWKTLLGACKVHDNMEIGEVAANHVIQLEPQDPAPYVLLSNLYADAGLWDQVARIRSLMREKNLSKETGLSWMHVENTIHEFRAGDSSHPKAEDIYLKLETLIREIKDIGYVPDTSIVLHDMPEELKEQCLLQHSEKIAVAFGLISCTSTTKPIRIFKNLRVCTDCHSALKYVTKATGREIILRDSNRFHRMKDGKCSCGEYW >Et_6B_048348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14699231:14700337:-1 gene:Et_6B_048348 transcript:Et_6B_048348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKPAGIKCEATLRFFFFCIEPADQQSNHGDRRSREEAASPSAAGHLVPRRPAASAAAVRRGTIRSRNAAGHHRRQPEPASQPERLAAAARPGLVHLHLRPRLQPEILHGVAAPPRGARREQVRPAAGPPVPPQIRRPLHRRRVQGADARGPGRRPVDVDQVTGEVFFTDSSATYTRAQHERVTATGDSTGRLMNSDRTHLVVALTGPCKLMRYWIKGPKAGTSEPLADLPGYPDNVRGDGKAVRINADGKVVQVMRGPKSVRPTEVMERKDAKLYMGSMELPYVAVIST >Et_5B_045057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:913791:914344:-1 gene:Et_5B_045057 transcript:Et_5B_045057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRWMDLGETTTMLATLKLNLKISTFSKKENECRGYTFDILGFHPYEEVVFLANLSGAATYHLNSSKIEYLGNLEPKNYRSPPRGRHESFVYTPCIIGVHRGGSLSGGSSKESA >Et_9B_065808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13358464:13359629:-1 gene:Et_9B_065808 transcript:Et_9B_065808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEVQQLTRAFSGLGGLGVDESTMVSALAQWRRQPEKRSGFRKAFPGFFRSHGEIDRCEEEFMLHLAAEFARFKNLMVLWAMHPWERDARLAHHVLHQAHPAAIVVEVACTRSADELLGARRAYQALFHHSLEEDVAYRARDKPYCNLLVGLVSAYRYEGPRVNEEVARAEAKALGAAVKSAGGVAGKLAADDEVVRILTTRSKLHLVETFKHYKEIHGRRIEEDLAAGKDDTLLETVLCLAAPATYFSRVMEAGLRDGADNHDKDALTRVAVTRSDADMDDIRAAYQEQFGAKLEDVVAAKAHGHYRDALLSLVGAGGK >Et_1A_005869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1535806:1540605:1 gene:Et_1A_005869 transcript:Et_1A_005869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSSRVDAPSRRRARLSLAGCFGAGSSAAAAADGDGGRPAAAASSSRAHEVQSQQAQRATNVMGFRSSVAAKDIHISSETDPRAHSSSSTISHHLRFNHLNCHENKEDGLGIENAQTSGLESSSRKDVLLRENFSNEAISIDRTSREAISSIGSELEIVSNTVSTNEIGGSICQSDFHPLMTSDRIIGDLGEVAHEGTSPTTVMSNESSDLPQSSLTSMSPNTSTASSSTGESTPDSVPTRAEITIFSGPHGQSGGSILHDDMMSIFSNDGLGRYRDSSSSETRRSHRRLLWDAFSRRSSRGHPESDTDDLGFYSTWLDLGDDLFGELEESRYSHRRRHGSIRVSQYSRSRIREHRRPVFDSGNEHSTAACPLGIHQTGRCTCDSFLIAEESSARASISRIVMLTEALFEVLDEIHRQPASLSLSMVSVQAPESVVNSLPCKSYKKTETPQCSDDMEQCHICLTEYEDGEQIRTLPCKHEFHLLCVDKWLKEVHRVCPLCRGDVCEVAS >Et_4B_039731.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2843593:2843805:-1 gene:Et_4B_039731 transcript:Et_4B_039731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAMARAVLVSVVLMQCCNVIVAARPLLETAAATTGGEGGWLGLLLQVLQKGGGNGTGSNPGGWHGGGP >Et_3B_027647.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:10022550:10022813:-1 gene:Et_3B_027647 transcript:Et_3B_027647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSYRTDSSILTSRLSENNFTVESGHWRLCPLLYEFRANTAGSATVIAKIARNKIRWLMDRLKKQSDRLNIQVVSSRVAIWLTAINAL >Et_3A_025448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:320095:323673:1 gene:Et_3A_025448 transcript:Et_3A_025448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNATSRRSSSATATAKRPAMAESAGGPKAAGHGAVQAKKRVALGNITNVAAGGGRAAANGKVVGPPGSTKLNSATSAAPVKKGTLASARNVSSTRGSAVKSVSTKPASAVSRHDRTTQKHNVPPPKVPTILHVPNAVPALVPCSNFVSPGRDSVSVDETMSTCDSMKSPDFEYIDNGDSMLASLQRRANEHLHISEDRNFEENKWMKNIPAPMEIDNICDVDNDLEDPQLCATLASDIYMHLREAETRKRPSTDFMETIQKDVNPSMRAILIDWLVEVSEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVACMLIAAKYEEICAPQVEEFCYITDNTYFRDEVLEMEASVLNYLKFEMTAPTAKCFLRRFVRAAQVCDEEPALHLEFLANYISELSLLEYNLLSYPPSLIAASAVFLAKFILQPTKHPWNSTLAHYTQYKSSELFDCVKALHRLFSVGPGSNLPAIREKYSQHKYKCAAKKPCPPSIPIEFFQDVAC >Et_4A_034553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4229234:4230573:-1 gene:Et_4A_034553 transcript:Et_4A_034553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQRVAPPPLVLVFLLLSTAAAPSLAQVTNGTSHHDSSKTAGGFTPTTVIVLVVLIGALVVLTLFSVYTNRCAPPRPPPPRRPAALDHYHHHQDHDHAVSRARGGLDRDLVESFPTAVYADVKARAGAAAGPLECAVCLAEFDDRDELRVLPACFHVFHPACIDPWLAAAVTCPLCRADLTAAAAAAESGGGDSSTVPEEDDVVVGAAFTPESLVGFGGMRPHELHHYRRTQSAMDVPDRHTLRLPEHVMKELAAVRRHRRAASLAGYPDAVERTTTPRWLPSFWRSVSWQRHSRTDTDAGEEHNGGSKRVVPITEAPAEESASGSGSGGDDKEKPVTDALNRKALIVSYYLLGYAHTAVVQLDIGDEARDTEDSV >Et_4B_039483.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:18550458:18551186:-1 gene:Et_4B_039483 transcript:Et_4B_039483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAPMKEQQSPERPQLKTRDVAGAVTWLELTLGVNASAPDQEPAATTDSSSSDDSEPPAKPPPSSSSSPAPGAPGAAAPHKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRSSSSAAASYHHHLHAQRMVMAGLPLEAHAAFMRAALRVNPASSVIHKQLSAGQQEPAARAAPRFHDGDAASATAATVPWAAPVVYEEAVSSAWPGSFRMRTQPEPPSCSEQQPTSEQSKKIDLVLRL >Et_5A_040133.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:14417811:14418894:1 gene:Et_5A_040133 transcript:Et_5A_040133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSGGPSSLVGSAAIRGALGGSGGSRADECSPISSPVVPAENTNTADVIEEFSDVDSDEGKKAGRKVWSKDDNKRLIQAWLSNSVDPIDGNSKKGVHYWKDVAAEYNMSAPKGHKRTATQLKNHWNTHSTIVAKFHGAWTTMKNTYASGQSDKQLMDKAQAEYMAIMQTDKPFKFDYWWEAVKDEPKWLNRDVFSDMNKRNKVSASGEYTSSSNRDTDEGLVADRPRPPGQKQAKAQKKGKEKGKSTLTDESIGQFNVLQERKSEAIETMAAAAREHAQAIASKAAAEKERVKLEKMKHLHELMKTDTSTYSQIQMSIHEKMMLSLSDEIYQED >Et_9A_063191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14847945:14850998:1 gene:Et_9A_063191 transcript:Et_9A_063191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAACARVSASGPVMGRLAVRAAALQADGRGDGAAVYKELGLYSLRKRIEDTVVRVETTASSALELEEARRIKQEEVLRQHNLWDNPAKSHETLSDLADAIRVVDHLKDLCYKAEEAKLISQLAEMDVINGELFKQAYRSSIDASEYLDRYQRYKLLKGPYDKEGACIIVTAVSEGVASELWVDKLFGMYKSWARKQGCKVGLVDKIASTSGHVRCAAMEIESEYMFGTLSGEKGMHRMIYPSFDNSGTYQAMSARVDIIPLFLDRPINIHLDENDLKISPSPDEHTKRGSRNVAAVKVQHIPSGVSAESSGDLPTGERSYFANKLKATSRLKAKLLLIARELRISDTKMISMQAIEDICNRETRRYTFGPQKLVHDLITGTQLSDLNSVLDGDIEPFIRARIVSKQ >Et_9A_062017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18904103:18910579:1 gene:Et_9A_062017 transcript:Et_9A_062017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGGWGVGVRRGGGAAIIVGGWRAGPASHLGTVVLALLRWGILCAAPVGFSSVPVTNKCYGLVARSSDPNNRSGHQIYRGRRPVVLASPLSNLSKLVLKESGTGNVDSTVRLTPMQLYQVSMNHHLVRVNFLQYVIDHIHAISSPILHKGFLKLFRFNKHHQDSKHMAQNRGTDLSCMWRAHKTTYRHFTTKENSIRLRNIWLKQRPVPKILSRTAANPTDPARVDENENCSDQVTQIKDRSRCRELTRLEEDGEAKIDGLEGGVLLLVGEEEVLGLEVAVDHAVAVAELHDLDDGAHDGGRRALRVVAPGDDAVEELPTLAELHDEVHGAVVLARLPQGHDAGALGQASSSRVPRSMHRYVTPNSPRPSSRSSAYFSSIPPPPTSHPSSPSTDSRFFSAPPPPPVPPPFRAWCGFFFPPPPPAEAGKCDDLTSSRAAAAGERQQLPMAARDREWGH >Et_1B_014176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34197729:34199731:1 gene:Et_1B_014176 transcript:Et_1B_014176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILDYPDLFERAWGWDKILPRQATAPWSRYKEYLSEYYSRNLKEADDADDECLEALARSCIDVEGHLLFLWDHCCSRDYPTDRGKIRGLSDMITNDAREMTNALKVEYPAAAVALKCITAEAELICLWLTSEATESIYYIRMSNEVRHCALSFMFYDGPERVAAMAVMMHSFHRDISYGSETKEVKKDNTMGRSNAGEFIFDGSGGINCSEKKLVEKDNGKQRCKIDENTEAGTSQKIGL >Et_1A_008683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9805230:9807669:-1 gene:Et_1A_008683 transcript:Et_1A_008683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCTDLAGLRPSPADVRIVTSDGSTIAAHSSVLAAASPVLEQMIAGAGHGWDAGCTVRILGASSDAVVAFLRFLYSHPREETAAEEERAAGAHGAALLALAHAYRVPWLKRRAEAAVAARLTAERAVDAAKLAALCDAPRLGLACARLAGKDLGAVERSDGWRFAGRHDPALRLDMLQLLHDADQRKERWVRERASQHVYRQLSDAMALLDRVFTEGSSLSPVRRGIEQLVRHLAACGGRARKPACPRCRRAFQLLRLHASLCDRAGAGGEPCRVPLCSNLKTKMQEEGVDKTWRLLVKKVTRARVMSTLSNQEVPEIVKKSWGKYSSRKTARLR >Et_9B_064723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18022167:18025169:-1 gene:Et_9B_064723 transcript:Et_9B_064723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPRRPAPARSPARPQGKAAAAAAATPRQASASRRGRRLRVQSPSLAAARRGPVPAPAPPPATPPLRWPGNATAPRGAGTAAASVRRIAAALWRAHPPPREPGEARRRFEPSPRHPHTPDHCNYYKAVLEGRTGTKHLGSDTIHEVGAYSPSSRIEMEVATKWDRRCLNTLGSAEYDFCGRQAAAADEDISALKEELMQARNRVHELEAESRSAKKKLDQLLRNLAEEKASWKSKEHDKIRSILDAIKGDLNRERKNRQRAEIMNSKLMGELSELKSVAKRYLQDYEKERKARELMEEVCNELAKEVAEDKAEVEALKNESMKMRDEVEEERKMLQMAEVWREERVQMKLVDAKLTLDSKFSQLNELQAYLEAFLSYHRGSTVDKETVRDGEMLKEAISSMKVHGKEFSYKPPPPSEDIFAVFEELRQREDTKEKETGQCNGDTPVSHATKIHTVSPETDIFLEKPANKYPNRPSARNEDEDDSGWETVSHVEEQGSSNSPDGSEPSVNGFGGGNDASVSGTDWEDNCENCRSNSDISGICSTTGEKYRKKGSSFARLWRSSNGEGGRKAGAQLLNGRLSSGRMCNAAPSPDFNNSEVYQMSPSVGDWSPDLLNPHVVRAMKGGVEWPQDAQKHNLKSKLLRSRTNGRKVQLRQALEQKI >Et_2A_016364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23917932:23920767:-1 gene:Et_2A_016364 transcript:Et_2A_016364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLELASGGEPLPSLGRRRIPRVVTASGIVPDLDCSDDDAASTASDHSSHAPRERAIIVANQLPIRATRRGGDGWEFSWDDDSILLQLKDSLHKHHGRADMEFVYVGGLRDEVPPAEHDEVAHQLFEGFGCVPTFLPPDLRSRFYHGFCKQQLWPLFHYMLPLSPELGGRFDRLLWQAYVSVNKIFADKILEVISPDEDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPVREELLRSLLNADLIGFHTFDYARHFLSCCSRMLGLKYESQRGYIALEYYGRTVTIKILPVGVHLEQLQSVLNLPKTGDKVAELLKQFSHRNRLLLLGVDDMDIFKGISLKLLAFEQLLMQHPEWRGRVVLVQIANPARGKGKDVKEVQEESDAMVTRINAAFGQPDYQPVILINKPLEFYERMAYYVVADCCLVTAVRDGMNLIPYEYIIARQGNEQIDRILGLGPSSRKKSMLVVSEFIGCSPSLSGAIRVNPWNIDSVADAMDSALEMPEGEKVLRHEKHHRYVSTHEVGYWANSFLIDLERTCNEHNKRRCWGIGFGLKFRVVALDPNFKKLAVENLVSAYRRTTTRIILLDYDGTLMPQTSFGKSPSSKTIDMLNSLCRDKNNLVFLVSSKSRATLNEWFSACENLGLAAEHGYFLRLRRDAEWETCVQVADCSWKQIADPVMQTYTETTDGSTIEDKETAIVWNYEDADPDFGSCQAKELHDHLESVLANEPVSVKAGMNHVEVKPQGVSKGLVAKRLLSTLQERGDLPDFILCIGDDRSDDDMFEVITTAVNSPSLKPEAEVFACTVGRKPSKAKYYLDDPADIVRLIQGLANVSDQMDGTSPTATDTALR >Et_6B_049391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4244871:4245789:-1 gene:Et_6B_049391 transcript:Et_6B_049391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLGGANRLSALPDEVLHHVLSFLPAHEVLSTSCLARRWRDLWKSAPALRVTGVADCDNPVWFVNCVHNLLLLRDPGSRLDSFAIDLDERDFGFTPLLPTYDSTVNLWFRHALLCKARALSLRTTLGIYNWEHGSLELPNVPIVSQHLERLDLETVTLNGSSVDFSGCPALVGTQDEEVQHRREHLVPVLETTQPYGLFHVERVEDRFIVNRDLELCPTFPKLKTLLLSEWCPGFAADLNMLARFLQQSPALEKLTLQISE >Et_9B_064509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1657611:1658490:1 gene:Et_9B_064509 transcript:Et_9B_064509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAALTVRSTSQCFAASAPAHGHRRAAAAVLRRSRRTPCGRLRALPPEISEILSPKLVPGSPSDTGDVSSLIPVRRVPLDLYIIQQPAVMLLFYIVSNWVVPELVMKRLQPKAEDDAAATASMSSSTQQGDAADGDGPRKTRMKAKKKKSRKETIIKV >Et_5A_041544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23985660:23991528:-1 gene:Et_5A_041544 transcript:Et_5A_041544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLDERMDPLGRLTTPATIGQSRCQSGMSLFNGPFYNTMTSPGKPNNGLMKFMDEAVPPPRFHEHLIDENVEISYTEYLDLMKAEVDKRLSKLNEDIRAFKSYNMACDVHADDSFSKACHCGKLIEIGEGFNSLKLLLTVVFQDIREMLRLVNTSIHDLQWEHELQLEVTGIIIGDCIRGLQDELERKLYEQTSIISSLRKNWRETVSQCAPIREDLIAISNMLLPPEDEPHMSHGTHESIGNRSDRWKFSFFGKKTCDDHSPSSGEVNMYSATQKSISPSEVISEKSDFRHLKGMARQEMLNYFRSEISKLKRLHELDLQERTEELFKFKREKWSLALKYDVEFEPLRKKFPEVISRFDQIMLNVTAAPTKCSGNDAIDESSLLKCRIDSLYSENQHLRGLLAKKTREVQELSCQISDANRKISLQYSLEKQLSRKVMNNEGEYEDFYVERTIRDEIYQTVTSQLLDNHWNILEDTMQNFHAKVTSFEATLLEKDKALYLANDENQKLKEKLSMLEKEYFIRNNQQDSELLKQDSEEMILRDIEMEPNVPPQRSWDISDQDMHYEELIKLNQTLEIASATLKEVEARKIDYSNILGNREQESQLDCILASIMDLSKEFVEIENKMSEEGNVKRTENLNNRCNHMVDILGNKVNALLSLVQKIYVTLEHYSPVFQQHPVLLDTFLKTCKLVAGIRSKQKEVFNKSSLDTGSEFTNRIVQDLVCYLITTFSRFYHNRKK >Et_2B_021051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26054364:26054995:1 gene:Et_2B_021051 transcript:Et_2B_021051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGAGVRVPSTSAAAAPAVEMWGRKAVTARRGGAASIRCSSVGAAGMGTAGGLAEEHYRTLRLRPGATRGEVKKAFRRLTLMYHPDVCKQSDGAADFQRISVAYQMLMRNMREAEERLEYWRLKYGLSDEDLDKYRHYVNDHDDDEWLDM >Et_3B_030905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8663271:8666615:-1 gene:Et_3B_030905 transcript:Et_3B_030905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEKPAPVRVLYCGVCGLPAEYCEFGPDFERCKPWLRANAPGVYPDELIASSSGGGDEKDVDKVGERLQGVGISDGSSSASGSASASKQEEVKRLPGGKLKKKDKQEVVIEKIVRNKRKCVTVVKGLELFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDISYDIVEFITDTWPDVTYLYTLPFIIDCMLYRCDNQFGFAKMFLNQPFSSLRMEGKSLLDVSMQEIKRSRTVVDGTNEKESWHSEGRPKPVEGSVDGYVQKFSYHHVRTATGTVQKPGWIMAEYTFKNMRPGDVVLCKI >Et_2B_019879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14839066:14842942:-1 gene:Et_2B_019879 transcript:Et_2B_019879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTAGHSYTCRCITGYDGNPYVPGGYRRVRAPKEHACYGECINTIGWYSCRCPRGTQGNHSRHNGCVPVQAHKFPTGSIVGITVGSTVATMLLVLVVIFMIQRFKHTRAIKLKQKYFKQNRGQLLQRTDIAERMIIPVDELAKATNDFDKARELGGGGHGTVYKGILSDLHVVAIKKPKITVQKEIDEFINEVAILSQINHRNIVRLLGCCLETEVPLLVYEFISNGTLYHHLHDEQGLRSLSWGNRLRIATETAKAIAYLHSSISVPIIYRDIKSSNILLDDTMTSKVSDFGASRYIPLDRTGLTTRVQGTVGYLDPMYFYTGRLNEKSDVYSFGVILVELLTRKKPFSYLSSNGDGIVMHFTNLLAGDNLTQILDPLILEEGGQEVEEVATLAASCINLASDERPTMRQVELILEGLQESKKSIHGNMVAEELENDGIAVTLPSTEEMQSTEESNRTYSIEQELLICARHPR >Et_1B_012051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28581028:28584014:1 gene:Et_1B_012051 transcript:Et_1B_012051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATFLLLILLSSLSPALAVPPRPPVRCGGAGCVLSNAYGAWSSDRADCPVSAVAYPASEQEVVAAVARASAAGTRVKIVSGFAHTIPKLACPGGGGGNGSTLLISTARLAGVEVDAAARTVTADAGAPLRAVIDAAEARGLSLPAAPYWEGVSVAGLVATGSHGSSWWGRGGAVHDYVVGLRLVVPAGEADGWARVLPLQPGDDLFPAALVSLGLLGVVSKITLSLEPSFKRSITYEYRNDSTLQDDFAAHAARHEFADITWYPSQHTAVYGVDDRAPPDAPGDGVNDFLGFQSTPIAVSAGIRALETSLERARSVRGKCAMAAAEIAAKRLVGSGLKNDGLLFTGYPVVGRQGRMQTSGSCARSPAAGLLSACPWDPRFRGLFFYETTAFFSPPARFRNFLLDVKRLRDAAGAERLCGVDAYNGLLVRFVKGSAAHLGQPEDSVVVDFNYYRASDPAAPRLGQDVWEEVEQMAFVKHGARPHWAKNRLVAFAGVRGKYPRWGKFAAAKRQLDPRGLFDSPWSDEVVSGREVEKGDGCALDGRCVCSEDRHCSPGHGHYCRPGLVFTEARVCRYSVSQNQ >Et_4A_033722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26124812:26128982:-1 gene:Et_4A_033722 transcript:Et_4A_033722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPAPVANGAAAGAVEGKRRRRRGRLWYAAAGAGVLVALLAVALSSGSFPGIYSSSSRGGCGCPAARKYTGMVEDCCCDYETVDAINEEVLHPILQDLVKLPFFRYFKVKLWCDCPFWPDDGMCQLRDCSVCECPENEFPEQFKKPYNGLSPDSMICQEGKPQAAVDKTLDSRVFKGWVETDNPWTNDDETDNAEMTYVNLQLNPERYTGYTGDSARRIWDSIYKENCPKYPSEDMCQEKKALYKLISGLHSSISVHIAYDYLLDKKNNLATDYLEQAEYNTGNPEDDLKTQSLVKQLLYNPKLRTACPLPFDEAKLWQGENGPELKQEIQKQFRNISAIMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGDSHLNQPLQLQRNEVIALFNLLNRLSESVKFVHEKGSSIEELIKQQSPSNVQKGPSKPNLKLKQQEICVCLAKMPG >Et_4A_033825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27095360:27100170:-1 gene:Et_4A_033825 transcript:Et_4A_033825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGVPNSGDDDTGHRSKRRRVASSGDASDSISAACGAGEGGGKKALYHCNYCNKDISGKIRIKCSKCPDFDLCVECFSVGAEVTPHRSNHPYRVMDNLSFPLICPEWNADEEILLLEGIEMYGLGNWAEVAEHVGTKSKPQCIEHYTTAYMNSPCYPLPDMSHVSGKNRKELLAMAKVQGESKKGTSFLPGDLTPKAESPFSPSRVKVEDALGEGPAGRSPSHMAGGANKKASNVGHTKDGANVSKVEDGHVDRSIGVKKPRYSADEGPSLTELSGYNAKRHEFDPEYDNDAEQALAEMEFKETDSETDRELKLRVLRIYLSRLDERKRRKEFILERNLLFPNPLEKDLTNEDKEVYHRYKVFMRFLSKEEHEALVRSVIEERKIRRRIQELQECRSAGCRTLAEAKIHIEQKRKKEYELNAQKAKESGQLIANNKSGQKMNRPVKIEVDGSLDPKKGGAGLDSGGRDSPKTTGHTSLKAWDDWDIVGLPGAELLSASEKLLCCQNRLLPSHYLKMQEVLMQEIFKGSILKKEDAHVLFKVDPVKVDTVYDMVTKKLGNHEEAPTV >Et_8A_058208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23997818:24005102:-1 gene:Et_8A_058208 transcript:Et_8A_058208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPSSSSATATSSSLQGPRPAPLRVRRDSHRIRKQQPVQQQQVRQPVIIYTVSPKVVHAQPSEFRAVVQRLTGASSAAASSSVQPPPPPPDTTSTHQQFLPFFVPPPPAPQFQLHDQQEVLPPPPPPLPSSVLSPVPGSLPAVPPSFFSPPPPPAGINLFGELVSPAFLAAAASATTTTAGAASQIYPPLPSPPGGMSKRNPYCKGSNVPYSFAEKMVNRAPQIAVLRKSTTYLRCHPSKKLDTLNEKSKLHEIGKWQSTKYEFTASRIIRNDDESIGLVHLEVSRVEDGSLVGVSALIKDPPVQQDVFGLAVDVVVDQVPLRQSLEVAVEAALEGGGGKRLFVLSRQAEALVDPLQQLVKLLLLRRHGRGPRRRGALARRLLALLPNTRRRRRRRRHLGICCDLLAVAPGEGIFNLVENVGISLG >Et_5B_043260.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20598143:20598325:-1 gene:Et_5B_043260 transcript:Et_5B_043260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSSLMAPHGSKAMPSTRIHSSSERHATQGAIILCLLHLCHLLCPTGYLWSWHCIPHC >Et_8B_059001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11985664:11990215:-1 gene:Et_8B_059001 transcript:Et_8B_059001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRRSVLLLLLALTVLSPLALYTSRLPASLSPIQPRDFPGGITNQGRGLKADKLNALPLETVSSLKEPVGVVFSEAGELAKESPESVSQELPSRKAGEHKNRVLSEVSTAPDVSGLKDGGVIEQVTTQEGQDGGSAGSASDEQEKNARSHQQSSSEGSLMDTTLKQGSAKVVVDSSQVAQTDGSTKSIVLPDARIRNIKDQLIKAKVYLSLGSIRANSQYLKDLRQRIREVQKVLGDASKDSDLPRNANEKVKALEQILVKGKQMQDDCSIVVKKLRAMLHSAEEQLNAHKKQTVFLTQLAAKTLPKGLHCLPLRLANEYFSLDPGQQQFPNQQKLVNPKLHHYALFSDNILATAVVVNSTVLNAKHPSDHVFHIVTDRLNYAPMRMWFLSNPPGKATIEVQNIEDFTWLNDSYSPVLKQIGSQSMIDYYFRTNRANSDSNLKYRNPKYLSILNHLRFYLPEIYPKLDKMVFLDDDVVVKKDISGLWSIDMKGKVNGAVETCGESFHRFDRYLNFSNPLIANNFNPHACGWAFGMNVFDLAEWRRQNITQIYHYWQNLNQDRTLWKLGTLPPGLITFWNKTFPLSRSWHVLGLGYNPHVSSRDIERAAVIHYNGNMKPWLEIGVPKFRSYWSKYLDYNQPFLRECNINP >Et_2B_020057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16462897:16465341:-1 gene:Et_2B_020057 transcript:Et_2B_020057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCFASCPASPPIHHHLCVRVLLVSFVVAHSLAPSCSATFFAGAATTPRRHDYLDALSKSILFFEGQRSGRLPPDQRASWRGDSGVSDGAAAGEGVDLEGGYYDAGDNVKFGFPMAFTATMLAWSVVEFGEHMPPAERRHAAGAVRWATDYLLKTLAHPGVGDPWKDHECWERPEDMDTARTVYNVSAARPGSEVAGETTAALAAASMVFRDDDPEYAETLLASARRAFEFADTYKGAYSDDPDLRAGGCPFYCDFDGYQDELLWGAAWLRRASKDDTFLQYIQNNGKTLGAEDNTNEFGWDNKHAGLNVLVSKEFIEGEALFLQSFKDYADSFICTLIPESSSPHITYTPGGMIYRPGGSNMQHVTAISFLLLTYAKYLSKSSHSVNCGDISVGPETLQLLAKKQIDYLLGDNPMKMSYMVGYGDRYPQRIHHRASSLPSIRDHPELIACKEGAPYFKSSGSNPNPLIGAVVGGPGEDDAYEDDRADFRKSEPTTYINAPLVGVLAYFVGNPDPGNSIH >Et_4B_039369.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:11990687:11991595:-1 gene:Et_4B_039369 transcript:Et_4B_039369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLLRNAGHGSLPRLAAPRRRLRVVAVALRTRPTTSLAVPGLPPAPATRPAPDPVLLPSPPVAADAAEVLLAAGVPPADLRRAAGMCPELLSVPAETISAALRFLTEEAGVPEEDLPRVLRRRPRLLVSPVAARLRPTLYFLRALGVPDLHRRADLLSFSVEEKLLPRIEFLESLGLPTRAARSMARRFPALFGYGLEGNMRPKAEYLLGDMGRDADELFEFPEYFSYALATRIAPRHEECAARGVRMPLPAMLRPGDAKFRDTLASCVGSTPPRRRSPLWYAAWVDDDDAGTLVKEAIA >Et_2A_018270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20885350:20889273:-1 gene:Et_2A_018270 transcript:Et_2A_018270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSRSSGGAGFDDDDGLAARREVSSSLKELTVRKKTSSVGGMSPHALMAPGAGSQWQPAHIHQLHAHLLVSGRLAGSSAVALALLRAACRVRSSPCLRPLARHLLDGILHPSPHLLHAAARLANRLRLPPLAFRYYLALRAHHPSFLPPPAAIADVLKSVPGRAAHAHALRVAAHAVDARFLDNTLIAMYFASGDALSARQVFEGMCDRDVISWTSLISGLVQNGFPLQGLHHFTTMMRCEVHPDFVLLVSVLKACMELDNFSSATAVHSLAVKGGFDNELDVVITLTAMYARFGCIVAARALFDRVPSPQVNVILWNAMISGYSKNGLASEAVDLFKRMRMVARSMTPDSVTLLSVILACAQLGSIELAEWMEDYVQGSEYRDDVVVNTALIDMYAKLGNIAHSHEIFQRIHVRDRDVVVWSALIGGYGVHGHVKEAFALFEDMKLTGVKPNDVTFLGLLSACNHVGDMEKGWSCFHSMKHDYSIEPRHQHYACVVDLLARAGQLDRAYQFIMDMPIKPEMSVWGAFLHGCKMHGHSNMAMAECAAQHIFELERSNAGHYVQLANLYASAGMWNHVNGVRVTMRARGVSKATGCSAVDIK >Et_10B_003621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3978865:3983910:-1 gene:Et_10B_003621 transcript:Et_10B_003621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAPERWIILAEIPKVVGDEEAGGMSPLDTTIAVDCNEPPCASILTVPRRVSFAPSMISYPYVAAVNPSGLILLTATQPHSTFSSVVSYRLCDAPTGKSVGIPRHNRPMRLHGSNAGLIRRDRDFMVAELRPTHDGSGRATLLCFMAGKHEWTVKELAYSPPLLHRRFFGEGVVSHAGMLWWVVLGLGPCSARHGTGPSCFVPFRAVPGQQPWRLQLLEGERWKAKVRDAPVVTLLALTDPACGAEWNHERSVPLMDIWTDPNYLDTELPWSIPALALLHPTDPDKVYFFLISYIFAVDLRLKKVVEFNGFVMPDPPSHMKRSSHFVHAWKYDASHRRGFLPRCFKEEKLSQDPKYKKYMKKYIKRVTAAQIRMTPATSVTTLAFPVTSTTPVVPVTTPVIPVTSLARTAVVRTEKLGGAQEEAAAAALAFVPQPKVFLLPRGTRAHSGGGGLGATRGKPRVLAVRVWLVRRPPCVHLSAQGKTWRGRNS >Et_5B_043600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12364690:12365753:-1 gene:Et_5B_043600 transcript:Et_5B_043600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPELNQLWFQKSVLLILCWFELHSSVLIHKTKSSHKLLQILTEAMARKRRPPRSKHSTAGNDIENPRNSAPKNNTSSADGDGNRNKEDASSGGQRITSHQVNVEETTVKEIQESFLSLTLVICDLWAREDDSHDDVQENDDHEQMFVRKLKTIVEENCQPTTPENLRIVKLCGQIATAMMRRNEYIVQFKDQEFVRSLTEASKAMPKLESCMLFAGNGLGQKKSTVRPLLGDLVREAQ >Et_2B_021776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5379937:5380678:1 gene:Et_2B_021776 transcript:Et_2B_021776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEDSLQSIMGGSPVQESLGAHCRASWMVRLSWRACCSTSSAALASIPYGLCLKGEHHRQECTCLKVALNNLQELEFYLEYVVYYFGQFSTVLVLASAFRFSATLRVVTISKCHILDDPRHLGLLSVMILEGSLQSIMDGSPVLESLWAH >Et_2A_018430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2855130:2857949:1 gene:Et_2A_018430 transcript:Et_2A_018430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLQQHHHQQQQRQQQQQLEEAEEEEARRQMFAGVAFPGALGYGHQAEEAGGLGDSDAGGSEPEPAPSRARGGSGSKRSRAAEVHNLSEKRRRSRINEKMKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGVYLNPPYLPGALEAAHASQMFAALGGGNITGPSSGAAMLPVNQSSGAQHQAFDPLNPPPQNQQASLILPSVPDKTIPEPPFHLESSQSHLRSFQMPESSELPESFT >Et_6A_047847.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20821868:20822353:1 gene:Et_6A_047847 transcript:Et_6A_047847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVLDNDDILEDVLLRLTLPTSLVRAALVCRRWLCLASTPAFLERFYKLHPSHTLGFDVQSSHLRLPKFAPMPGLPPELANSVRCASSVLDAYTQATSRASILCCQNGHLLVRLDDLNGRRNVMLSPLRPARDAVILPPLPSAIFDNNVTWLDNTFPMAA >Et_9A_061807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16703013:16709489:1 gene:Et_9A_061807 transcript:Et_9A_061807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRAAVDVDTSVTPRHAGHGPKRVGFGVVDLRALLRGHQQHLLLPLRRPVEVRERDDERRPLDPPRHAARQVDAVVQRPDAARLVVLQLPHLELARHVVAPADVARHAEAPVQLRQPCPVRRHPRRLPILILVQPTRVRGAVGRDERRLRGAAEGLVGEREGEDAVGLVGVQLVRPEAPVDAPVGEHRVDVPVDAGVVGQVAEPCRFMSSALHPLSSPSVKTPAACNATATCTTLYSRVGAWKPMPVTTRSEEPPPPITASWHGGRRRPWPVAGLHTETEQAVGLRCSTTTRPWQCTAPMTLGQQLDTRLGAAPRHQTCWCTQGTNANLPTSPGWRSRKPGDEVAAFQKRHTHRNRATDLVGSRRRISGIKIEEHSRLLEVFTLLGALIATVIAMVDQLGLRVLTPDHFQRLEGVVLDADEVVDEVDHLQRHDEPVVVVQLPTVEVVLQPLPAAARLVVHDGHEQRAEVASDRHGEPVERGRRAAQALGRLVVEELHASDVHERVGHAVDGVLRHEPEHAHGQHGALGRVEHPRRGGHGPAPPLDDGRRRVGEHGDGHADARALQVGDAVGVARAAAEEADQDAVVEGEGEQHGHVLEDEHGGRRDLEAARDVAVHGARLLDREAAVVRCRGHQQDPRRPDGKHADDRFQLLHAVHRRQTPQLRLARRKHVAVRHDGRLIKEPVTKHIRSS >Et_9B_064270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13485680:13490521:1 gene:Et_9B_064270 transcript:Et_9B_064270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQRPHQKPPAADSLPVSSSTSSSAAPSRPLPLLTLPYLFCLLAILLFAALLLPWGPGARAPTSPWRAYTLQEAAAFAAAAGNGTVLLAAVSGPYLPFLSNWLISVRRAGRADQVLVIAEDYETLERINAAWPGHAVLVPPAPDAQAAHKFGSQGFFNFTSRRPRHLLQILELGYSVMYNDVDMVWLADPFPYLVGDHDVYFMDDMTPVKPLDHSHELPPPGKKGRTYICSCMIFLQPTEGAKLLLRKWIEEMKEQPWSKQRKSNDQPAFNWALNKTAGQVDVYLLPQSAFPTGGLYFKNKTWVKETKGKHVIIHNNYITGFEKKIKRFRDHGLWLVDEHNDESPLGRI >Et_4A_032731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13156761:13157758:1 gene:Et_4A_032731 transcript:Et_4A_032731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGGGGAADGKKRKASVAAAGVEAPAKREPRRGMGVAELERIRVEMEMAETCYAIVPSPLSAAAAAALHHLPQPAPAPCFVGHLPGVAMAHHHQYSSSSAASAYQLQDHRRTQPLQLGQTRKVAFVDLVDSDNDDGGAVEELDLDLKL >Et_5A_042464.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1362256:1362573:-1 gene:Et_5A_042464 transcript:Et_5A_042464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGAGVWVFRNGVMQLEQPPAARGKALVYTPTNEVVRSVEALERRLASLGWERYYENRTIVQLHKRDGGADLITIPRDFASLRSTHMYDVVVKNRDHFKVVDA >Et_5A_040810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12920267:12925965:-1 gene:Et_5A_040810 transcript:Et_5A_040810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLRRVLLPLVLLSGLAFRGVDLDAGGGGPALLPLPAPPPRLALPGPGEDDGPARSTEIVAAAAPKTKPGELLVLPRPRRSEQSSVVSGEAGPLSRSEIRINNNGTIQLVDRQTNSPLWEVCTGPPPSEDVTTGDPAMSYVIYPVGGNDNELLEFYNGTSEILPWKLEDFVARTPYIRDSVVTIGSKVSTIFVIDADHGEIIYKHSIPAVLNELEGPGIERVPSKLTADTGDRQGNTVMVVRTDYSLSASDLGKHLFNWTRTFFTANYRVHNHPNTLDQPSYLQGDIPCIKAGGLPLALPDSSSANAIVLKDVMPIATKEAADAPKPHQTSRKSPQTDGKSQVALDGTQNQTYDGPRFISVEPEATNKFAKNAYGWLFPVLPLLLVIGFLLSLTSSKSCKQFVIKLMKPFMREKKPVDVRGRPEGTPKKRKQRKKDGPVSGHEILSASDKENSETGGSTEMPIKENSAMTSKGISDGLDGRQIGKLYVSNKEIGRGSNGTVVFEGSYDGRQVAVKRLLRSHNDMAIKETENLIVSDRDPNIVRLYGCEHDSDFVYISLERCQCNLADLIQKHSDSSSGESVLNPEVTICMKSKIPNVEGIDVDLWTHDGLPSAQLLKLMRDVVAGLAHLHSLGIIHRDLKPHNVLISTEGSIRAKLSDMGISKRLQDDITSVSHHGTGVGSSGWQAPEQLRQGRQTRAMDLFSLGCLIFYCITKGKHPFGEYYERDMNIVNNRFDLFVVDYIPEAVHLISQLLQPIPELRPSAIYVMHHPLFWSPEFRLSFLRDTSDRIEKTSETDLINALESVGPVAFGGKWGEKLDPALVTDMGRYRKYNFESIRDLLRYIRNKSGHYRELSEDLKAILGSLPEGFDRYFASRFPKLLIEVYKVMCVHCKDEEAFSKYFKGSSV >Et_1B_010675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12929934:12939197:-1 gene:Et_1B_010675 transcript:Et_1B_010675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTFPLRPWGQSCHFTAAASGPSAIPWRQRHTASSRSPQAHQSSAQTRHDHRDAVDGHEMSPGGFHPSIWGDFFLHHTGPASSDEQQNSMVKRAEVLKEEVRSNIISSLTTFSLHQRLHLVDTLQRLCLDYLFEEEINIVLAEVNNTACVSNCDLPTVALWFYLLRKHGYRVSPDVFLRFKDEEGRFLAHSPTDLLSLYNAASLRTHGEIILDEAALFARKCLETTLPHTKGSLAREIKCALEIPLPRRVSIYESKYHIFRCEEEAVVDEAVLQLAKLNSNIMQFHYQRELKIITRWWKDIHIESKLPFVRDRIVECYLWMLGVYFEPCYSRGRIILTMVIAITTIFDDIYDSYGTAEDCELLTKCIESWDPKAANNLPECMQFAIRKSFDAYKTMENKLAHEEKYRMSYLRNLIVDYVRGLNAEVKMRDYGYVPNSVEEHLQVSSRTSACHLLSCASIVGMGHIATKNSFDWVSSMPTMVLALCRIARLLNDLQSYEGEQLAPHVGSTIDSYMKEHHTTIEMAREKIHELKEKTWKDFNAEWLNPENSQPKQIVDRIFNLIRTMVFIYNKDDNFRNCHNMKDTIHSLFTWMVQRAEVLKEEVRRTIISSSAAFSLHQSLHLIDTLQRLCLDYLFEEEINNVLSEVNTANVSDCDLETVALWFYLLRKHGYRVSSDVFVRFKDEEGRFLAHSPTDLLNLYNAASLRTHGERILDEAALFARKCLETTLPHIKGSLAREVICTLEIPLPRRVSIYDSKYYISRCEEDAVVDGVILSQSSHLHEIEFWSATGGCLEYILNHVIHEDVWDTKEASDLPEPMNYALMKIFDSYQTIENELAHEERYRMSYLKNFTIDLVRGYNAEVKMREDGYVPKSVEEHLQVSLRTGACHLLSCTSMVGMGDIATKNSFDWVSSMPKMVQSLCIILRLLDDLQTYEREQMTPHVASTIDSYMKEHNISIEMAREKIHELKEETWKDFNAEWLNPENFQPKQILDRIFNLTRTMEFFYNKDDNFTNCHNIKDTIRLLVVDPIVVF >Et_4A_034157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30338611:30341816:-1 gene:Et_4A_034157 transcript:Et_4A_034157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGNPLLKATEAVMKRPRSVASRKPRSTEQLTSEYNGILRAQSHSSSHDDGAGVEAGGHRRKELFLHSPEMKGSTPHRSDVSRKSRKEDRAGDYDGHTRSSKSKDASKRGNGGVLALACSTRNAESPDNPHLIPRDATVPGENKVGKVKLKFKTKEAVDGGIPATLEGLSHQHRQKVRSVHVPQGIHDSSGRTNKDTRGNRIEGKHGDRHDISPSSDPVRKSKRVPKKKTFDSDSDDDDGELRYLEKLKGAKVAPEHPVPESYDDSLDDGFKKKKFSKVTKNKSAPYEVDDDFKMSRSGMKNLKLGDEDEFIEEEEAEMDEENGLMEVDSPSDAKVETPGLTTRQRALQGRGGNGENLIEFPDGLPTTSSRKQKEKLPDVEIQAKKAEAAQRRKMQVEKAEKEQQAEAMRKILGIDSEKKKEEKKQKEREEKEKQAKLEEYRKNCIRTVIGPSGTTVTFPENMGLPSIFNSKPVSYPPPREKCAGPSCTNPYKYRDSKTRLPLCSLACYKAVQGREAVQTSDEKPAT >Et_3A_026780.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:20353594:20354676:1 gene:Et_3A_026780 transcript:Et_3A_026780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLYTPAGLLPNGNFEEGPSKSELTNGTVVRGGHAIPQWETSGFVEYIESGHKQGDMLLVVPQGAHAVRLGNEASIRQRLSVTRGAYYAVTFSAARTCAQAETLNVSVSPEWGVLPMQTIYGSNGWDSYAWAFKAKLGAVDLVLHNPGVEEDPACGPLVDGVAIRALYPPSLVRGNMLKNGGFEEGPYFLPNASWGVLVPPNIEDDHSPLPGWMIVSSKAVKYVDAAHFAVPQGARAVELVGGRESALVQEVRTVPGWRYRLAFAVGDAADGCEGSMVAEAYAARASVKVPYESRGKGGYKRAVLDFTAIANRTRVVFQSTFYHMKADGTLCGPLIDDASVVGVRGGKPAGGRRLLNLL >Et_5B_044302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22425750:22434542:1 gene:Et_5B_044302 transcript:Et_5B_044302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRFVNLIATPQYRSTGVNYSLHRMEVAKHLFYPSTADAEAANAAKEEDGNGGGDSKAAKPTRMGQLRQLPAPIMRFEPFAIDRDYYRLSMGGVPVFALLNPRSSEGKILSISASDGDAVVYDVDSNSISTMPSLGDQRPFREPTVVTVDGGAGDEKKNRLYMLSSHAYTCNFHVLDFNQHPRKWQALPLPPFFAEEKELTCADVDSFAVVDGGRSIIMSFTGQGTYCFDTGEPRVYVPELDAWLGLSSCRPNHHLCASTDLCAAINAHQAPTLRHVWEDFNLPPDEEESIVLNRRYPFIVLEKMKRWCALQQDLVNLGAGRFCVARILMVSRRGTVGWDEFMSSDKKFVVLTGVEVIRGDSGEDGLRMVKHKSRRYKFTTSSGSSTLAGPSGGGLHPVVLPPGYSCSQLEARLTDEYQPPSALPGCGARKGKGWFRLWQEDDPTTDPCSADDLRLVYDPVAGDYRNVAGVKTRGTTGGFGSHDPANRNICMGGLVAALEGAGYKEGENLFGAPYDFRYAPRKASSGFSNLTSSLTRLVERASRTNGHKPVILVGHSMGSFTTLVLLARQHFVMLGVGTGGNTAMMQLVNPVDLSNVPPANALAYANTTRSFVSLITFQPSSKVFGHTPLVITPGKNYSAHDIAEYLAAVGFSDGEVARYRTRALPVILGLRAPLVPMTCVNGVGVPTVERLVYMDDDGGLVLQGGKPRVVYGDGDGVIHLRTVLALDTLIGDDPNQRYFKSILLLNVTHNGLVSDDSALKRVMIRRYVNLIASSKSRLGVYSLHRLDVAKHLFYPSTAEADVANAVSNGGVGDDKPNPARMGAQLRRLPSPNMQFEPLPLPNDEDDYFDTNREDDMFALLSPRTSEGRILNIRADGDAIVFDADSNTISTMPSLGGELSSIKSTVVAVDGIAGDNDEKVNRLYMLGNYTSSYGFYVLDFKQHPRKWQPLPLPPFFEEEDYYSLCADVNSYTVVGGGRAICVSFHEWSRAGDWELPFTDRAEYVPELGAWLGFSSCRPNHLCASMDLSAAIDAHQAPTLRHVWQDFHLPPNEEQSIVLNRRYPSFVLRKKTTWGSFCVARTFHVSRTASVRWDERLGPEEEFVVLTGVEVTRGNDGEEGLRMVKHKSRRYMFTTDSIEWVL >Et_4B_036331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18319643:18320296:-1 gene:Et_4B_036331 transcript:Et_4B_036331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKREDESGDVVATLSNGFGGDDVTVSAPVVLTYGRQEVGAARILLMLSGHSDMLSAAVEDCNEDYERDSDSAYYLHESEMGLDFDIGNQSGDDELMKPENCSPDGKMKFSSLSDVLKATTIHKCKLCNKIFAKGNALGGHMKFHKVERGSLSLNLPALGDSRNYSSRIAKSELNLLWGASSIRSERMLGVV >Et_2A_018598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34485603:34489703:1 gene:Et_2A_018598 transcript:Et_2A_018598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSAHSDGDLHPRPRRRDKSGSSGGLPLYVFLREGSDGEGKKVDPRCPNAPNPFHVCTDHCLAKMAEAGRSSEGGKSPLSIFSRHSRRSSSSSEEGSVKSGGSKKVDPKCPNAANPFHECSDYCATKMQQVEQQKGISMKSPRRKGGKDVAVIQNWKVDPRCPNASNPFHMCAQYCFDHLNDAAHADTTKSGVARRARRGCREKCGTRHQARKKGNDGFIRGNKDLRSRMRGVVQWQVSLRPGDEN >Et_7A_050805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11106589:11108391:-1 gene:Et_7A_050805 transcript:Et_7A_050805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQPQPIPALDSAGKALRDHILLHPYGYIGSMEKRTQKLWIREGVYMEERVVTYVPGLLRIFDEILIYAAENKQRNPTMNTLRVDVDVANCRISVYYHGKGIPIEIHPEEGVYVPEVIFGHLSHFDDNVKGEEDITGGRNGNGVKLTNIFSTEFVVEIADGHIQTKYRQVFSENMEKKSEPKITGYRKGVNWSWTMVTFKPDLAKFNMTHLEEDDVTLMRKRVFDMAGFLGATTQVVFNGQEIYVPGGFSNYGYQYIKPGSKHCFPDHPWVYEKVNDQWEVAASLSGGQFQQVSFVNKVATISGGTHVDYASNLIVAHTVSFMKNKLQMANIEEHDVKRHLMVFINVLMENPTFSSPNKEALTTPQEDI >Et_1A_004586.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21068084:21068602:-1 gene:Et_1A_004586 transcript:Et_1A_004586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSLVVTANPPAVTAMPPSSSSADEAFLRDRCATTKNATACYKALLPFAGSFNGSQIKISAAAITVAFGRVRAFLTELHRLQAAGGTGAGSRGDQALSGCTETMEGGSQGEEAALSYLHRLESLGSTKDKADALVWVRHYLDEPSSCSESCVDDFVEAGEPVVSSNVGR >Et_6B_049778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11072424:11073072:-1 gene:Et_6B_049778 transcript:Et_6B_049778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFNLGVLGLFLMIIFVQGSGTNGQQCDTSSVLVQTINMGTKVSGGDTVFEVQVTNQCPCAVRNVRLNGGGFATSVEVDPAVFRADDGDVYLVNGGEPITSMATVSFQYAWDHFFQLTPRSLEVDGQC >Et_4A_035105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9547149:9551411:1 gene:Et_4A_035105 transcript:Et_4A_035105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTRAPARRLRFLIDLPEEILSEILLLLPPKYILRCRAVCKDLLRVVSDHSFLVAHHRRQPPRRLFSFLRDVGDRSDDLGLFDYCVESFGLNNHEFQSVVRFTADDYSCLEDDSPLAIHAACDGLLLMSFENLLYLCNPTTRQWVSISRPSLRHDTIMGLYAHGSSSEYRVLYCRRNHGNPLFFISTVNSETERCIHPGLSSASMKKWMGKRSENAHLKEPFLFNGNLHWLPCLGRQKNNILVFDTLRDIFWWLRVPFRVRLVVSLLEVKGTLAMSNSHMGSSKVDVWFLLDYSNAVWVRKWRIVLPVLDIRRFEDRDWCPHVVSQERDFIVDGMEWQLHYDKNGKLLKKFKCNGHMLTITTHILRESLVSHAFFRVQDNRDMHEPPFFRVSTFHGLFEYSDLSFSGFTGFLSRRSGGLLKWPFLF >Et_3A_023823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12907611:12908260:-1 gene:Et_3A_023823 transcript:Et_3A_023823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKERRNLPLHTNHEFFSFLSYIVVTPLMIGFEKDFSCHSHLGSIRIPQLFPFPPEPFPRNDKESGTLELYYLSAYCLPKILLLQLVGHRVIQISRVFCAFPMLQLPYQFDRSRMDRLNILLGSLVLTLLCGIHSRSALGVTSSSCWNSSQNPTTSRTLLPPTVSRTSIETEGFHVLSSIGHSSLFVSLYPISVSISSQD >Et_2B_019665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1272180:1283591:1 gene:Et_2B_019665 transcript:Et_2B_019665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNSPAVLLEQQEKLRRHVDEWRFRSRAALSDLGAGSACPSNSASSAPVRLRVAPADPAGAGAASLLTAAAADDNVAVSKFVAVLSHSCVELSRLSDAASKGLYQQLLLFGHNAVDSSEALLEGEPQKIFAHSVPLFLELYEIINGLMVILGNLLRQLDAICSVRDKNVRPLNSFRSFDLRTVFGLLGEGISVFLLLDEILRHNGNVQSYLSLFSRMMSKVKSEVDIFDMSAEDVDFLDQVVHNLQRLFESGFFHRLVQVDSPLCSSISLVRSNQKFLDAFYSFFFEISSEILQRIGSLKEFLFDRKTVLHLVALLLFFASITGEAPNKKSMKLLVEIFQLVPVVYIEGGKRIVLSDLLRCHCPPALSLLPPIKEACESFGIIKNSYLTHLNEVHSRDTQAINDSLSCWSVSFQSAVHPSSQMLTEEWVGHLQKQILQGVVLADRIHMLVLSILDLHIHLEVPLRREKAKALCQMIVSLKAIGDLFTTRGPSIVRSLPHIINIIQSDIEQLIIPLKNKLQTEIAKGDQVSKTGFLSLLRRGGAEMETKFLDSFSLVLISMQLLEGGGSSPRQLTLSITMDILHSLGHLDVELDRVRKLLSKFRILSNFQSLIDERTNCSFLYWRKEMFSTWLSMLYGDACKLSWLQNIIDAFSDGMLFLKLANVGPVSLQSYEEEIENALKEEVVAPLCRDIETDLRLHVHSTHLKGTVAVNPTKTGVRNLSWCLRTRPLRLPFKFVDVKLLVENHLNSAFYTYSVMPNYDNKIYAEMHQLGALKYGVELEDFHLTADTQDQGFDLRKTVQHLDSFCEKYSYVIAKQMFIENDLDGQDRKHLRVLCVEHIASSTAMFNLKQISAALGSILAFLDRMFIDLNALLQSGTEIDLKDFKQSENTSVFNAHPSIQGELKFGLRKHGLGDHTLDFLEQVQAIVTRIGNALGLIRILAAGCTRYSNNISRYATKSNYDLGYSTSCKLVGWDDDIAEIGKMLDMALGNKEALEERIQTFTSLVTSFSQKLRSNNLQDMKNFFQIVPLLFANVVDNKLPYKDKLLRREHEVKSSIRTYDSFLLGVAFVMKVLQQENSFNELNWFASIKPKVEGATEDRDNKMDKSASRAAFTSLKLWRAAPSVMLEPHKGVDKEKRYQHEIELIECGLRLAKTILG >Et_5B_043288.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22118578:22118784:-1 gene:Et_5B_043288 transcript:Et_5B_043288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSFAAASASAGLAVFFARDTSYCGKMVIQKICGHYKISVILAFATWSFSAASAASLFWLFASLVD >Et_5B_045483.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:2856019:2856528:-1 gene:Et_5B_045483 transcript:Et_5B_045483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVFTARDRMPRAACRYQRLRGDLNGDGDDVMPPSTPTTGSVRVRRPLRLRRRACKAAAAGRWRATTTAGGGGKRLLRLRLLRLVLLVPARRMAALLAELVRRLAVAMAASAADAAADCPAIVFSSQWGLPVLSHSSSTGGGRSAARLRALYLERSLSARSTSGAPC >Et_6A_047439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:600319:601190:1 gene:Et_6A_047439 transcript:Et_6A_047439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEKEGAKPCVTKKRKGLWSPDEDERLYSHIRNYGVGTWSSVAELAGLKRSGKSCRLRWMNYLRPDLRMEPISKQEEDLIISLQKVLGNTRMPGRTDNEIKNYWNSRIKKKLRRTCADRYQSPETRQTAEKSAPFNTEDGNLDVTSSHNNSANEKPHSHFPIFACQLLTGEQNCEQAAPYSSLSKNNEVDLLVEDYVDFLMSLQDDIHADI >Et_1B_010895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15136869:15138556:-1 gene:Et_1B_010895 transcript:Et_1B_010895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPKSTCIALSFLLLAAAATASSPHFLNSSIPDPAAVVADFHSKVASSRRRMQESGGGVGGCMTGNPIDDCWRCAGTDWQQDRQRLADCGIGFGRNALGGKGGPLYVVTDSSDRDPVNPSPGTLRHAAIQEGPLWIVFASDMTIRLTQELLVNSFKTIDGRGTSVHIGAGGACITLQYVSNVIIHNVHVHDCVPTGDADVRSSPTHSGWRTRSDGDGISLFGARDVWVDHCALWRCADGLIDAIMGSTAITVSNSFFARHNEVMLLGASDAHGAASFNPGYGGSSFGPARGGPRNRGKTRGSRGGRNQGPGRGDRTVDIGGRGTAGQRRPQHPDPHVNANQIGMVQNKGVIGVKLALDNVCLHKFSRNLS >Et_4A_033557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24471197:24471877:1 gene:Et_4A_033557 transcript:Et_4A_033557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPMPSLSSMDDAEVIVSTYEELPRRRRSTSHGASSTRAKTRAPPNASPPRHHLAGYNRRALLLAYAQQLRRRRVVMGRQQNGASATPLLLEWGSWKQTDPVDLGAGGDDVAVRCTNLSTSARLPVQELIDYVRSWHCEEDREKKKLVLQVTVLRPALDQRVSAASNENQGERLVQERGSNRSLMKNVEVYIPATGHAQDKLPSGSP >Et_9B_065788.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:1247841:1249937:1 gene:Et_9B_065788 transcript:Et_9B_065788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRHTVIDIDADEPCGKKATEQLAPPVPYVLQFNDLSYGVKKGGALACLPLCLSNRLAPSTDPASPSAGNTKTLLDGISGECRDGELFAVMGASGSGKSTLLDALAGRIARDSLRGAVTLNGEPLHGRRLRAISAYVMQDDLLYPMLTVRETLQYAAEFRLPRALSPEKKRARVDALVDQLGLARAADTIIGDEAHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSASAFMVVQVLRRIAQSGSVVIMTIHQPSARILGIIDRLLLLSRGRPVFAGSPAELKPFFSEFGAPIPDNENPAEFALDTIRELERQHDGAAELAEFNANWQIAFADKGNDKKIMRATMPLELAIAESVSRVKLVAGSGEGGGGAVSGSVPTFANPAWTEVWVLIKRSFTNTRRMPELFIMRLGTIMVTGFIFATIFWRLDDSPKGVQERLGFFAMAMSTMFYVCADALPVFVQERHIYLRETAHNAYRRISYVVANAVVAFPPLVLLSVAFAATTFFAVGLAGGGASFAFYVAIVLASFWAGSGFVTFFSAVVPQVMLGYTVVVAMLAYFLLFSGFFITRDRIPNYWTWFHYLSLVKYPYQAVLQNEFGDGATRCFSRGVQMFDGSPIGSLPEGVKLRVLGAISSVLGSNITAETCVATGADILAQQAVTDIGKWTCLLITVAWGFLFRFLFYVVLLVGSKNKRR >Et_4A_035458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25391160:25393860:1 gene:Et_4A_035458 transcript:Et_4A_035458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRGHWRPSEDEKLKELVALYGPHNWNAIAEKLQGRSAQAMEPQEHSVIKELITENDKLVSGKSCRLRWFNQLDPRINRSPFSEEEEELLLASHRVHGNRWAVIARLFPGRTDNAVKNHWHVIMARRCRERTRMSNRCGAAAAAGAAGTVENENPRNAKKSRPDANSMASLLEKYRSEFAGPFVISHDNTEGHRSTTNEEMDKSVEFYDFLQVNASSSDTKCGSSIEEQDENRDDQAEGQVQFIDFMKIMGTVTRTPGNASMQCMHACGKRYCTART >Et_1B_011383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21325924:21327462:-1 gene:Et_1B_011383 transcript:Et_1B_011383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RQQNLEEHEPLSSAFDGSSPASGIVAVDTNLDTSTPDTYRAPPAPLPYDVVLAVPDNPVLEKSDVKSKINDQQESKDDQESLKADESCKKGVLEEKPDEEDVCPICLEEYDEENPRSVTKCEHHFHLCCILEWMERSDTCPVCDQITLVDEMFE >Et_10B_003763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5661654:5664994:-1 gene:Et_10B_003763 transcript:Et_10B_003763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREGQKLDLGGGGDGSGGPGSVGILVLSLDLLGQVLDRLVEPRDRKACRLVSRAFERAEAAHRRALRALRREPLPRLLRSYAALERLDLSACASLDDASLAAAVAGAGAGPGLGIRTVCLARASGVGWRGLEALVAACPRLEAVDLSHCVGAGDREAAALAAAAGLRELRLDKCLGVTDMGLAKVVVGCPRLEKLSVKWCREISDIGIDLLAKKCPDLRSLDISYLQVGNVSLRSISSLEKLEELAMVGCSCVDDEGLELLSKGTNSLQSVDVSRCDHVTSQGLASLIDGQKFLQKLHAADCLHEIEQCFLSKLATLKETLTMLKLDGLEVSDSLLQAIGESCVNLVEIGLSKCAGVTDEGISSLVAQCSHLKTIDLTCCNLITNSALDSIADSCKMVERLRLESCSLINEKGLKRIASCCPNLKEIDLTDCGVNDAALQHLAKCSELLILKLGLCSSISDKGLAFIGSNCGKLVELDLYRCSSITDDGLAALASGCKRIKLLNLCYCNKITDSGLGHLASLEELTNLELRCLVRITGIGISSLAIGCKRLIELDLKRCYSVDDAGLWALARYALNLRQLTISYCQVTGLGLCHLLSSLKCLQDIKMVHLSWVSIEGFEMALRAACGRLKKLKMLSGLKTVLSPELLQLLQAYGCRIRWVNKPLVYKDG >Et_8A_056204.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1578811:1579020:-1 gene:Et_8A_056204 transcript:Et_8A_056204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFLTLLVIVTAVVAARLLVCAVARCLCDDDDGAAAQHHHHHHSPDTSDVDEDVEAWHGAGLAIFGHA >Et_3B_030555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4933507:4938371:-1 gene:Et_3B_030555 transcript:Et_3B_030555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGSVIKADTIDAAAERIIDELKEDTAASTSRSIISRGNVIYFDGWDGLGASAVLQEVAQRLVVTSEAPPGLHFDQIIRIDCSKWESRRAMQRVIAEQLELPAEVMQMLDAQDEDDDYRGADHDFRAELPQVVREIYQRIQNRRLLVIFYNGSSEEIDLTNFGFAVQGLGFHLYSSNKVLWTFQGAFRLKSRMTIDWAMKSIAGTTTGVFLSAVSTDEALWSDLVRHEAAELVARKSIGRSIMEPAQVADCFLYTLNLCGMGRHFTMDYDLATHGSNYWVCDGIIRQLEGDADVDDSSWRAAEALQREMLLDMDYYPDHQYLPSHLVKCAGIVPCWSSPTYRTIQILDGGAITSRLDIFQHFNKLAVLKLSHCTFSFSSPPFICCHYLRFLWLDHCKDQEIISSDGAAGKEDIRRCFQRLWVLDVRYTCCDRILSAEMMDLMTQLREVNVMGAQDWDMGQLQRSPPNVCKFRVTKSTIHCSIQSQNDLFSGMYKMELLDFSGNRITSGMTSLSMAANSNISLETIIIDDGCVGLEMISLRGCANLKNVSLRGLFKKLVSLDISGTAVKTLDLSALEVQELDELIALGCDKLCAILWPPEGKRKKHLSKLRLETIEVRSTGVTVGTSPSSLSMKFGSQAPTMYNWYTFLSDSRLLRSLEAFKQYIDSNFIHVEISSPTRPSVDVGGSAEETRIIKRDSGNEQLEINNNASVYAGVADTLMDHMQQASQGGDGDASTITRIWPCPFAPKLEFDDCYVYVQDQPLVRSSESINNTTITVLLPDMICDGARILHVHDSKSITCAPVPAIPLVGWRWCWLRWCRVERCPSLDYVFSCPLAGNEAGGSDDLIIFNELTTLWSSQLPKARYIWNLTRPSSSILCLDGRSFGSVEFVHLDFCPRLTYVLPLSKTLIHGPCLHTLRTLEIVWCGDLRAVFPLETGDAENNHDAQELQQSTTMEFPNLNHIHLHELPMLQGICGHERIYVPNLKTIKIRGCWSLTRLPVVDSHEKVECDCEEEWWDGLKWDGMDAKHHPSLYNQTHPKYYKKILLRGSVLV >Et_1B_012028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28240576:28244687:1 gene:Et_1B_012028 transcript:Et_1B_012028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSIECVSYSDGMDEEDDVPAAPAQLPRPFLKSASTAGPAAAAAAALNVVVASDRCGGGAGPLMPPATGVHELLECPVCTNSMYPPIHQCQNGHTICSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEVFPYYSKLKHESQCSFRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHSGSTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYCYSLEVGGNGRKMVWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDSGACIPNLFS >Et_7A_052791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16415865:16418496:-1 gene:Et_7A_052791 transcript:Et_7A_052791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIKVRATMRLLVVAIYGSACGALFARTRFLCVWPPGTVEWMQVVVPAHPLVTHWVSVLRNRDTPTAAFSQYSDFIICDYLPWMAYTETGMAELGRTLTYEATKDWLPTVVREVQSPLGACLVESIDETQPIMIVPILRAGLAFAEHAPSLLPSTKTFHLGMSRDEKTLQPSVYLNKLPDRFPDGCRILLMDPMLATSGTMTAAIELIKDRGADVEQIRVISAITCHPAIERLRQKFPGICVYVAAVDPILNEKGFMIPGLGDAGDRIYGT >Et_8A_057245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22769574:22785545:-1 gene:Et_8A_057245 transcript:Et_8A_057245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDSQLLDLGLLDTLHELLIILILQCSPIILIAVVRVNLVVVLLHCIAPLQQINIHGTLLIPLLVGFFPLQGPLLPRLATCIHLRCRSPGVQQRLLLLAALLLPLLDAAPAALHLVLEAILLAHHVHLTRPVPPPLRTLLPGRRRRFRVPLVVRRPAAALATALAILLLLIRLPPPRSLPAFRILAVPLLVTPRPLLFLLPAVAIPVAVLVVAVVAGGGAVAVPVAGMAGGGAVAVLVAGVVLGGRGLGDGAALVVAGTHGAREASGPCCPRRADLGRTSRGIWNSGAAISNFPNYVVFGSARFSIKSSRSGNSMAVRGKDAITLGCVGPFLEPFPYGSLLRQRHVSGDPSAEDMRFPGDPLPPASSPGQALPPSPEHHLEWPLLLQINSRPHSTVLFLCQTLLLCRFNAGGAEMLEGLRGVDVDATASSPWASMLALPRPRWSPSSASSRHAAAAAYSLMAMVQGVAPATALGYSGESLSCRRAWHLPRPPIRLSSFTHLPPPQLLALAEAPGGCGRTKTSCPPCCLGGQREECSEPKLPEEIWCHIHSLLPMRDAARAACVSKDFIHSWRFRPHFTFNKETLGLDQIKHRNGDQTRHFTNIVDNILKNHSGIGIKTLKFDFGVSNDKEFLDHLDSWIQSVVKPGIQELELYMPLGYTLYNFPASLLSGGTGSSLRSFVLINCKFQPSVRSDCFRSLTVLRLNGVYIEDVTLSCILSNAPALETLDIRGCFKLICIRIPCLQELNYLAVSLKHNVKLMSEVPNLSCLHFEGEFNGIHPPGRTLQIKKLHMLSNNAIFKACMEFPSVMPNLETLTVHSNLEEIITPIPSRKYLHLKFLSIFAESNKHTYDFASLVSFLHACPSLETFIVEDNYFEQVICIIIGGSTKSGGIVLLLYQQWVSVVFYPPDLSTVPVFHHDKLKNVRIDAFTHAKQYIEFTYRILESATSLERLTLNTGYGMTRCSGECGSTTSWEREEEARRSAYAIKMFIEPKVPSTVELNVLGPRSRFLRHLTFNKQILGINQKKCQIEQMRDFINIVDNVLKKHSGIGVRTLKLNCGVGYDKDYCYLESWLQIVKPGIEELELRVSTIFALYNLPMSVLSGGTEASLRSLALSECKFQPSDGSGCFKRLTILQLNAVYIKDDELSCLLSCTPALERLNIMGCYKLICIRIPCLQQLNYLDEVNTPVPPSKFHHLKFLNTFVACGPAYDFLSVVSFLHACPSLETFILNVRLWDTHWVSIFSDPSPSDMSTMPVYRHDKLKCVRIDTFMYAKKYNEFVCHILESATSLERLTLDTVYGAPRCSVSKTRKCRLMTKETVMRARRSALAVERYIKPKAPPTVEINVLGPCSRCHAALL >Et_2A_018332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23400922:23406224:-1 gene:Et_2A_018332 transcript:Et_2A_018332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYGGAGAGTGGFKLFSEEELKKATDNFAADRVLGRGGHGIVYRGVLEDKSTVMAIKKSKVMEASQTKEFAQEMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGNLYHYIHGKKDQDSEITLDTRLRIAEESAEALAYMHSSASPPIIHGDVKTANILLDDKLTAKVSDFGASKLAPTDEVEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVLLELLTRKKALYFEGPEEDRSLVACFTTAAKAGRHGELLDGQVRREVRAEVLDEIAHLVLQCVSITGEERPTMKAVAERLETLRRSQQHPWAQADDDDLERQGLLGNEQQDNLPCKWWGWGV >Et_10A_001512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4431211:4435005:1 gene:Et_10A_001512 transcript:Et_10A_001512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILAGLLAVLSALFAAALRRLLRIRSQPAPAAGFFHPYTNDGGGGERVLWCAVRAVQELRPDLPCAVFTGDADASPEGLQARALDRFGVRLLRPPQVVHLSKRKWIEAKTYPHFTMIGQSLGSVYLAWEALSKFTPQFYFDTSGYAFTYPLARLFGCKVICYTHYPTISSDMVERVKQHNSMYNNNSRIAGSWLYGLVGSCAHLVMVNSSWTRSHIINIWKVPQHTKRVYPPCDTSALQMRPLERSTSPPIFISVAQFRPEKAHGLQLEAFALALQRLDPSFPKPKLQFVGSCRNKEDLERLQKLKDRSTELHIDELVEFHKDISYKDLVQLLGGAISGLHSMTDEHFGISVVEYMAAGAIPIAHKSAGPMMDIVLDEDGCQTGFLASEQEEYTDAILKVLRMPEPERQEMAAAARKRAQRFSEQRFHEDFTEAVRPILSATGQVQGAPQHVAVDAALLRDAAAAAAAVVPA >Et_1B_011867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26603035:26610463:-1 gene:Et_1B_011867 transcript:Et_1B_011867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTYGRRSRSLSDSGGGGGDRGTASAHDAFDFDGDDLDALGSSASQPLPLPPAPSQESSSMWDFDEDPPASPPPRREERRRGKGGRRGGGGWELEDALAAPTATLMEAEEYGEMMESMDEVTFALDGLRATAPRRTRRASLVPLLGICASAERRRMLRAQGLVQQIIDAILVLNIEDPPCAVAAGALLFVLASDVQDNHLLDSETCIQFLLKLLNPPVSVADAKAPSIGSKLLGISKFQMLNGSNKDADSSSEDILLKVEEILLSCKEIKPINRDEKRTARPELCSKWLALLAMEKACLSAVGIDETSDTVTKVGGNFKETLRELGGLDKIFDVMVNCHSELERLVKDTSTTARDLKEGTPLQSATLLLKCLKILENATFLSDYNKTHLLDMSRKVSPRGSPLPFVGVVISIIELLSALSLLLNSSTVSSESNTESSKVPLRGCSADKKDATLLDDNGRGKNSKRKSFLLNQRRQNHTSSKSGVSHITISSSSDVSLSQKAFSCSPSVSSNGPSNGSFDERQGNGISLKLNVRKERSKANPVRGSSGWISIKAHAASDENSREMAKRRRLSENSSCDLSSGGDDPFAFDVADQQPSNWDLIDPKRKSQQKQAKRANGKMADKCGTAEIGSQESCQPEDSHQPASQSNVEDESNILEDCLLTSVKVLMNLANDNPSGCEQIASCGGLNTMASLIIKHFPSFDLSTDNNYQMEERLSTSQDVSSSQNSEAHQVKTKQLRDHELDFLVAILGLLVNLVEKDSLNRVRLASARVSINPSHNPDGEEVQRDVIPLLCSIFLACQGASDSEAAGTISPDDEESLLRGAREAEMMIVQSYAALLLAFLSTESMKVRGDISSCLPNNSLKVLVPVLEGFVAFHLQLNVMTRETHSAVTEVIERCRQS >Et_1A_006001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16529834:16532993:1 gene:Et_1A_006001 transcript:Et_1A_006001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGDMDEEAMRAFFPMSFGKAPARSNAASSAAHSSTVRKPQNPSSKPSTSAAAEDDDGSAMVGPPRPPPAPAGEEDGDDGGGMIGPPRPPPPSSRGEGEDEDGVMVGPPRPPPAKEEDEEDDDDDDEMDDDGDAGFNRIPLSNEIVLRGHTKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSKLQSFRQLEPFEGHQVRSLSWSPTSDRFLCVTGSAQAKIYDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTSGEWNPKSKETILTSSEDGSMRLWDVSDFKSQKQVIKPKLARPMRIPVTSCAWDYEGKRIVAGIGDGSIQLWTVKTGWGSRPDIYVEKTHAEDITGVKFSTDGQILLSRSMDSTLKIWDLRKTKTPLKVFDDLPNNYAETNAGFSPDEQLIFTGTSIEKDGENGGLLCFFDRRRLELVSRVGISPHYSVIRCLWHPRINQVFATVGDKKEGGTHILYDPSISQRGALVCVGRAPRKKSVDDFEVQPVIHNPHALPLFRDQPSRKRQREKMLKDPLKSHKPEAPVNGPGYGGRVGTTKGSLLTQYLLKEGGLIKETWMDEDPREAILKYADAAEKEPKFIAPAYSQTQPKPIFQESDSDDEKK >Et_5B_044476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2777081:2782578:-1 gene:Et_5B_044476 transcript:Et_5B_044476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAWLVAAAIAAVVASWAFNALVYLVWRPYAITRRLRAQGVGSPGYKFFVGNLAEIKRLRAENASVTLDVNDHDFIPMVQPHFRKWIALYGRTFVYWTGARPNVCLADVNAVKQVLSDRTGLYPKNLINPHIGRLLGKGLVLTDGDEWKRHRKVVHPAFNMDKLKMMTVTMSDCARSMMSEWEVQLAKGGAVEVDLSTRFEELTADVISHTAFGSSYREGKQVFLAQRELQFLAFSTIFDVQIPFFRYLPTEKNLKTWKLDKQVRAMLMDIIKTRLAAKDTAGYGNDLLGLMLEACAPEHGESPVLSMDEIIDECKTFFFAGHDTTSHLLTWASFLLSTHPEWQDRLREEVRRECGDEVPTGDMLNKLRLVNMFLLETLRLYGPVSQINRKASSDLDLGGFRVPEGAILTIPIATIHRDKEVWGEDAGEFRPERFENGVTRAAKHPNALLSFSSGPRSCIGQNFAMIEAKAVVAMILQRFSLELSPKYVHAPMDVLTLRPRHGLPMLLKTLMGTGGVDGWWWWWVLSAAAAALTASWLFNLLVRLVWRPRAVARRFEAQGVRGPGYTFFHGSLGEVRRLRGAGAGVTRDVSDHDFTPIIQPHFREWIPRYGT >Et_8B_059539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1929808:1932739:1 gene:Et_8B_059539 transcript:Et_8B_059539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKMARAEEPDEPPRKAPRLDLLLTAAASDSGRGEEAAESDSGRGEAAVAPVVSNLREEGAEGTSDNKQCNHAPTDIAQMEVVRSSLFSERAGMCELCEHPFVGSSILMCLECGRHFCSGLGSVEYPYGHSRLHAQEKQHWVSVLSENPESAFCFKCDCVVDAPRGTISGLLAAAEPEESGGHEPCHHVPNDDAHMEMVSSLASEHGGKCVHCKTFRESSILVCLECGLHLCTGLGSADSRPSGHSRLHAKQKQHWVAAMSHEPESAYCFKCKYVVCVSVPPDDVETGNSGAGGRAPKLSDGALNLITELSNAYRAYLRGYAIRGIPNMGFTCYLSAVVQCFLVLDKLRERMLAQEAPYGLCATALKELFAGTSAAEDLLNPNKLLTCVRLHTKEFQKSSMHDSHELLMSLRSGLSEEEEITNHAVQNGAPTVMDSIFGFYMSETRTCKCSFSSSLYRHEFYSDLSLSLPPKGPPSESAASSKTNTCLKSQPKIGTQLCPANEQSKSEKIQTIVECGDSHLPGSELKDVVVKETTESLEVDSAKVQSIGQSKDVVQGTFQAQEDRVSCSKLSQGIFEVPAVGLLPQNVSDVKFEDMKETTADSIASIEDCLSLFFEKNLIEWSCDNCRKVYEELNADRSKNGEQTMANANEDATVGGDQTEQSDGTTFQNEQSSGFNSLSVAQSSTSRQLQGSVAQGQILQTVDTIAEGSNLGISCGEKDSAVRSTPKESEFHAGNLEAVSSCLPAEKQTTLHKQIKMYQDSRKQKGLDHSACQSKDDRNKQRDGNGDAIQTLHFNNLPHVLTLHLKRTDNFERKISGYVRYKEYLDVGPFIDP >Et_7B_055721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2747555:2750536:1 gene:Et_7B_055721 transcript:Et_7B_055721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDDEPAQPPPKRTRQDPQAEEDAEPTPRVELNPADCDLGLLPWCRLRFSPDFDVRGGGLQGQALHEGGFAYCWSGARAKVGVRGGGKYCFGCRVVAEQPVEMDDTDADQQHLCRIGVSRGDDPVGALGESDHSFGFGGTGKFSHQRRFADYGVKFGVGDTVVCAVDLDSKPMASIGFARNGEWLGAAKHFDAGEKGLGLVDAPVRPVHWESALFPHVLLKNVVVEMQFSREFDGYEPWASAFADGNAVFGPAFEQSECEVMMMVGLPASGKSTWAEKWVKEHPEKRSILLGTNLALDQMKVPGLLRKNNYGERFDRLMDRATAIFNTLLTRAANIPRNYIIDQTNVYKSARIRKLRPFSNYRKVCNAANSCMQQTAVVVFPLPSELKSRAAKRFSEMGKDVPAEAVNDMTANFVLPLSKDMPGSKEPFDEVIFVELSRDDAQRNLDEMKRYLNICWDCFFG >Et_10A_002138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23520232:23523474:-1 gene:Et_10A_002138 transcript:Et_10A_002138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQRRPPSRLLFLLRCYAAAAATLLLLLLLLHQPAVAQAQHHRHRPSGDGIIISQADYQGLQAIKHDLSDPYGFLRTWNDSGLTACSGAWAGIKCVRGAVVAITLPWKGLAGRLSESIGQLVGLRRLSLHDNAIAGQIPSSLGFLPDLRGVYLFNNRFSGAVPASIGACLALQSFDASGNRLTGPVPAAVANSTKLIRLNLGHNALSGEIPRDIVASPSLLFLDLSYNNLSGTVPDAFASSKTAPSSSALKESITGSYQLVFLSLAHNDLDGPIPESLARLKKLQEVDLAGNKLNGTIPAQLGSLADLKTLDLSGNALSGEIPASLDNLTATLQSFNVSYNNLSGQVPFSLAQKFGPNSFAGNTQLCGYSASTPCPSPAPSAPASPAQESTGGRGHGMSKKLILIIVGIVVGALLLLLLCCLLLCFLSRKKSSSSSSSRAGTRSAKQAAGKEAGAAAAGGRGEKPAAEAGGDVGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKGHKEFEAEAAVLGKIRHPNLLALRAYYLGPKGEKLLVFDYMPKGSLSAFLHARAPNTPVDWATRITIAKGTARGLAYLHDDMSIIHGNLTASNVLLDDQNNPKISDFGLSRLMTAAANSNVLAAAGAVGYRAPELSKLKKANAKTDVYNLGVIILELLTGKSPADSTNGMDLPQWVASIVKEEWTSEVFDLELMRDASAGNVGDELMDTLKLALHCVDPSPSVRPDAREVLRQLEQIRPGMEGGAGPSEEGHVPVSAGGDDE >Et_2B_019612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10991717:10992080:1 gene:Et_2B_019612 transcript:Et_2B_019612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHKTKEARVLFLVAIMGMALLLTPCTVRGHEDFSTTVCTKMPGCTADKCHDHCKHLDPKIMLVDTKCYPASPEIPVDCCCVYDA >Et_7B_055652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:196598:204639:1 gene:Et_7B_055652 transcript:Et_7B_055652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDAAAAAPPPEDEEALLARAQAVVARVLERETDPNPRLLHTLATLCEVHEDRYLQLCASNPVFNNINTRSSYTIGKLANLLRDNDEFYELVFCKFLSDTSYSVAVRAAAARLLLSCHSAWTPQYPHVFEDPIIENIKNWVTEDAESSNECEWKYLGRDKPTDADMLRTYAIGLLAMALCSGGALVEGVLNLGISAKLMRFLRIRVLGDASSSLKDASHPIDTKHTRARDENRGKSRLGQDSSRLDGPRVVGLGLFTDHAVGKDDDPGVGMRPDHADEDISETDRINGLSDGSNICDTKSKPEERHPVTRLGRDEDIGENCELMKRKLSRAGPRVKVKGKAGESLPDSEITPLSPTSGLRIGGRANRDRNAARVEDPKKATDADNSFAGLESVGTISREEYEDRFRDCIIGLKDISGIVLKAVRAAEAEARSANAPDEAVKAAGDAAAELVKSAASEVWKSGNNGDAVVLAAEKAASTVVEAAMSTSVSRSSNQVSEERAAEEPVQTSEDKELEDFVISDHGQLLQLREKYSIQCLQILGEYVEALGPVLHEKGVDVCLALLQRSINDKEGRSHFLLLPDVLKLICALAAHRKFAALFVDRGGIQKILSVPRVTQTYTSLSACLFTFGSLQSTMERVCALSSDTLDNVVELGLQLLECPQDLARKNAAIFFAAAFVFKAVLDLFDARDGMQKLLDILKGCASGSGGSAGGLGSSNVNQGTDRSSAEVLTSSEKQVAYHTCVALRQYFRAHLLQLVDSIRPSRSARSIARNTSRAGYKPFDIGNESMEAVFRQIQRDRKLGPALVRAGWPVLDRFVASNGHITMLELCKAHGDRYLRDLTQYAIGVLHIVTLMPSSRKWMVQATLSNNRVGIAVLLDAVKSFDYVDHEVICPALNVLVNLVCPPPSISNKPSTAATQQPAQASVGTSSEIRDKNVEKSASDKNLTVNQSESRERPGDSNTARQGNTTHTSTPVVPSGVVGDRRITLGAGSGGPGRAAQLEQEYRQAREVVRANNGIKILLQLLSSRMVTHPVAVDSIRALACRVLLGLARDDTIAHILTKLQVGKKLSELIRDTSAQTSGGDSGRWQTELTQVAIELIGVLTNSGKETTLAATDAAAPALRRIERAGIAAATPISYHSRELMQLIHEHLLGSGFTATAALLQKEAGLSPLPLTAAVLPAHQVPALESSCVQQPWPSGRVHGFLSDKTNVTMNQTSRVSDSVLASSKKKALTFSSSFSQKTQPPNLFSGYRTSGTPKSPVPTDTGDAEISHKTPLSLPLKRKLVDMKDLNSASMSKRPATTDQAFQTPAPTRRGLSVVVDSPTALYSGRTNFNNITESMDNSQGTPGVVTTTPHPGVNDQQSGNLERMTLDSMVVQYLKHQHRQCPAPVTTLPPLSLLHPHVCPEPSRSLSAPANIAARMESREISREFSGIQVPRRDRHFIYSRFKQCRVCRDESSLLTCMTFLGDASRVAAGNHTGELRIFDCNTADLLDTQACHQHHVTMMESTYSGGNELILTSSLNEVKIWDAFSISGGALHTFEDCKAAKFSHSGALFAALSTDGSQREVQLYNVHTYNLDRRLPDNSTHSGSGRGHIQPLIHFSPCDRMLLWNGVLWDLRAQDPVHQFDQFTDYCGGGFHPAGNEVILNSEVWDLRKLKLLRSVPSLDQTVIKFNGTGDVIYAILRRNLDDVSSAINARRVRHPLFPAFRTIDAVTYSDIATVQLDRCVLDLTTEPNDSLIGVVAMDDHEELFSSAPPKIPIDDEKGFHHARLNQ >Et_1A_006989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29981786:29986964:1 gene:Et_1A_006989 transcript:Et_1A_006989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSVCHHLLAQCKTLRELQKIHAQALAHGLHPGHQSVSCKLFRRYADFGRPSDAHKLFDEIPSPDLVSFTSLMSLHIQLDRYREAVSLFSHIVASGHRPDGFAVVGALSASGAVGDLGVGKAVHGLIFRHGLNSEVVVGNALIDLYSRCAKFNSAQMVFDRMIVKDEVTWGSMLHGHIKCAGVDSALTFFDHMPVKSVVSWTALITGLVQGKQPVQALELFGRMVLAGHRPTHVTIVGVLSACADIGALDLGRVIHGYGSKYNINKNIIVSNALMDMYAKSGSIEMAFSVFEEVQLKDAFTWTTMISSFTVQGNGMKALELFSDMLRSGVVPNSVTYVSVLSACSHAGLIEEGRQLFGTMREIYNIDPQLEHYGCIIDLLARGGLLEEAEALIADMNMEPDIVIWRSLLSACLVHGNDRLAEIAGKEIIKREPGDDGVHVLLWNMYASSNRWTEAREMKHQMLTRKIFKQPGCSWIEVDGVVHEFLMCSNDEIDGGGRVGQATCKDIRRYKCEFCAIVRSKKCLIQAHMVENHKDELDKSEIYNSNGEKIVYQVEHKCLECGACFQKPAHLKQHMQSHSQERLFNCPLEDCPFSYKRKDHLNRHMLTHQGKLFSCTVDGCDRRFGIKANMQRHIKEIHEDENTAKSDQQFVCKEGCNKSFKYLSRLKKHEESHVKLNYVEVVCCVPGCMKMFTNVECLRAHNQSCHQYIQCEICGEKHLKKNIKRHLQAHEEVPSSERMKCTFEGCEHTFSNKSNLTKHMKACHDNVKPFSCRFAGCDKAFTYKHVRDNHEQSSAHGDFEEIDAQLQSRPRGGRKRKALTVETLTRKRVTIPGEASATDDGVGYLRWLLSGGDGSSQNL >Et_3B_029774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27821784:27822285:-1 gene:Et_3B_029774 transcript:Et_3B_029774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WAAKLQCPTQQIVPLPCVCGRCLGPGDDGSNSLQGGVICTWAAKPNTTNCAIALCFVEGVSDPEMTEHGSNSLQEGVSTATDLMLQNFRLVTHCSNVVRSIQGLRMERQVWLLSPPDGVCTSAYLVLPVLK >Et_4A_033844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27331350:27361885:1 gene:Et_4A_033844 transcript:Et_4A_033844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGRAHVMVLPFPAQGHVTPFMELSHRLVERGFEVTFVNTELIHPLVLDALRANGGKEELEGIRLVSVPDGLAEGDDRRDLGKFFEGLCRCVPGYVEQLIREIEASGRAKVKWLVGDVNMAPCFEVASKLGVRVAAVWPASAACFGATFKGPQLIEDGWFDENGSPMRHGPFELAPGMPKHRPSQMPWSIDGVNEERTQKFVFQTVSQNAQAASRFAEIVVCNSFLDAEPVAFEQFPNILPIGPLFADGELKKPVGHFLPEDPRCLKWLDAQPDRSVVYVAFGSMAIFDPRQFEELATGLELTGRPFLWVVRPDFTTGGLSKAWFDEFLRRVDGKGMVVSWCPQQKVLAHRAVASFVSHCGWNSTMEGVRNGTPFLCWPYFCDQHMDQSYICDIWRTGLAVSHGEDGIVTKEEVSSKVEQVIGDKGIAERAGKLKDAARKCLGEGGSSHENFNRVMARPHVLVLPMPYQGHVTPLMELSHRLVDHGVEVTFVNTELNHALVLDALQADDGATGRRSLDGIRLVAVPDGLADGEDRKDIRKLVDGLMRHVPGCLEDLVRRLEASEGTKIGWLIGDLGMAWAFEVCKKFGLRCACFSPASAAFLATLLRIDSLLRDGVLDEKGWPTRQETLQLAPGMPPLDTSLMPWNKGQPVIFRLVTGNNRAVDLAEVIVCNSFREAEAGAFKLYPNVLPIGPLFADRELQKPVGQLLPEDARCVKWLDVQAEGSVVYVAFGSFTIFDPCQFEELALGLELTGRPFLWVVRPDFTTGLSEAWLDEFQRRVAGTGMIVSWCSQQQVLAHRAVACFVSHCGWNSTMEGVRNGVPFLCWPYFTDQFLNQSYICNVWGTGLAMVPGADGVVAKEEVSGKVVQVVGDEGIRERVRALKDTACRCLAEGGSSYENFKRFGHVIPMMELSHSLVEHGVKVTFVNTELNHGLILGALATRDINFGEIDMVSIPDGLCDDESRKDLGRLTDSFLKVMPGELEKLIVRIGAAAQEGEGGVSWLIADVNMAWSFPVAKKLGVRAAGFCPSSAAMFATRIKIPELIRDGVLDEDGWPKRRGAFQLAPAMLLPVMDTAEISWNRAGDPKGQPLIFQLILWNNAATHLAETIICNSLQELEPGAFLPGDGVVPVGPLPGSDKPVGSFWPQDASCAPWLDAQPAGSSCTSRSAASLASTPGRIVYFAGQFLNRSYVCDVWRTGLEVPVPSSPSAGVVGRDAVRSKVEELLGDAETKARALALRDVARRAIGVATPPRPRVVVLPFPAQGHVMPLMELAHRLVEHGIEVDFVNTDFNHDRVVKAMEGGGGGEAGPAVPAAAGINMVSFPDGMHPDGDRTDIGKLASGLPAAMLGGVEEMIVSKKIRWLVADISMSWVLELVAKAGVRVALFSTFSAALFVLRMQIRKLLEDGIIDETEINDIYGSDRAGNMRRNERIQLSPKMPAIDMPELPWASLGKDPESRRVIIQSVIKTIPAMALAETIVCNTFEEIESEALALFPKPALAVGPLEMPASTSAPCHFWPEDRTCLTWLDAQAPGSVVYVAFGSLTVFDATRLQELADGLVLAGRPFLCVVRPNFADGVGECWLDEFKRRVGGAGLVVSWAPQQRVLSRPSVACFVSHCGWNSTVEGVRHGVPFLCWPYFADQFVNQSYICDVWGTGLRICANERGVVTKEEIRDKVARLLQDEGIKARVLSLKKAASASVMDGGSSHRDLLKLLTHRLVKHGIEVDFVNTDFNHDRVVKAMEAGGEARAASPAGINMVSLPDGMGSDGDRNDLGKLAGGLPAAMLGRIEEMVVSKKIKWVVADVSMGWVLELVAKAGVRVALFSTFAAVLSVLRMQVPKMIKDGIIDEYGNVTRNERIQLSPRMPAIDAPELPWANFGKNPESRRTLIQSVIKTIPAMALAEVIVCNTFEQIESEALALFPKLALAVGPLEMPASTSAPCHFWPEDRTCLTWLDAQAPGSVVYVAFGSVTVFDETRLQELADGLLLTGRPFLWVVRPNFADGIGEGWLDELKRRVGGTGLVVSWAPQQRVLSHPSTACFVTHCGWNSTMEGVRQGVPFLCWPYFADQFCNQSYICDIWGTGVRIRADERGVVTKEEIRDKVAMLLGDEGIKAKVLSLKKAACASVADGGSSHRDLLKLGHVMPLMELAHRLVEHGIEVDFVNTDFNHDRVVKAMEGGGEAGPAVPAAAGINMVSLPDGMGPDGDRTDIGKLSGGLPAAMLGRILEMFVSKKIRWVVADVSMSWVMDLVAKAGVRVALFSTFAAAIFALRMHVPKMIEDGIFDEFGNVTRNERIQLSPKMPATDATKLPWTCLRKSPESHRKLIQIVIKTNPKIALAETIVCNTFAGIESGALALLPKPALAVGPLEVPASTSAACHFWPEDRTCLTWLNAQAPGSVVYVAFGSLTVFDATRLQELADGLVLTGRPFLWVVRPNFADGVGDDWLDEFKRRIGDAGLVAGWVPQQRVLSHPSVACFVTHCGWNSTMEGVRHGVPFLCWPYFADQFCNQSYICDVWGTGLRICEDEQGVVTKEEIRDKVTRLLSDEGIKARAMSLKKAACSSVANGGSSHQDLLKLGHVIPLMELSHSLVRHGFKIYFINTEFKYDRILKSMEDKGAIPEGVHMLPIPDGLGPDDDHTDIGKMVGGLPAAMFGPLEEIIRTKKIKWVIADVSMSWALELTNTVGVRIALFSTYSASVFALRMKLIKLVANGVIDESGNVKRHEMIQLMPPIDSTEIPWVSLGNTPERRGVNIRNVLKTNQLMTLAESIICNTFTEVESEALALLPNALPVGPLVAPMSRSTGHFLPEDLTCLSWLDRQAPSSVIYVAFGSSTVFDTTRFQELADGLELSGWPFLLVVRSNFTKDIEEEWFNQFKTRMNGKGLIVAWAPQQRVLSHPSVACFMTHCGWNSTMEGLMHGVPFLCCPYFADQFCNQSYVCNVWKTGLKLCASEQGFITKEEIRNKIAQLLGDEDIKARALMWKDKACASIKEGGSSHENFLNLPMGMVTAAPHVMVLPFPAQGHVTPLMELSHRLVERGFEVTFVNTELIHAQVLDAHALRPANGGAGGKELELEGIRLVSIPDGMSDGEDRRDLGKFVDAFVRHVPGYVELLIRETEASGRAKVKWLVGDLTMAMCFEAASKLGVRVAGFGPASAACFATTTKIPQLIEDGFIDEKGMDFVCIIVWATSL >Et_9B_064178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12258510:12281735:1 gene:Et_9B_064178 transcript:Et_9B_064178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVSPLHKVVDAGLWDAERPLGRLILVVHAAFLDAGFVPLPHPSLKRGPVPGSAGRTASALSLRYTAPELRHKRHAQASVVLSQQVYGPRNIVFYVRCGDGRPRGDGRPVASRCVLVDALAAGALLSGGLDATARALRRDARLAALWRGLWDALCRRALVDLCRGNGLVLEPTFLSLPDDVVLAILARVRDGASLVRVGVTCAALRRLVAEHDHELWKPRYDRRVVGRASSAEETNNCGSTATALSWKKWVRPPTGLSPRAARLISSYYDKLRIRSRRLARCKLLVLPADPFSQRRRKRRTAASGWRAAGIGRVPRTRAQEDLPRHGAGTVHAPLSSSRGQEKRRHGAGAVHAPSSRYRWKNRNLDKDNHPVDDTQAGTEASLTNFEKCINKRRRLRQPKNARVVVLRQQVYGRKIIFYVQRGDARPLASSWRPPVGWPGRHGRALRRDASLAGSGTRCACRSALVNQCRRNGVALEPTFVSARRRHGDDPGADVTRVAGTCAALVIDAGRWDVERPLGRLFLVVHAALLDAGFVPLPHPSRKRGPVPRSTGRTASALSFQYTAPELLRRRRGAQASVVLRQQVYGRKIIFYVQRGDARPVASSWVTVDVFAAGALLSGCLDATARAVRRDASLSALWRGLCDALCRRALVDLCRGNGVALYPTFASLPDDVVVAILARAEKIRVAESADLVRVERTCAVLRRLVADHDSELWKPRYDEAVATCASSLCFNDSCGGSPAALISWRERHERVSPTRVSLRLALLLSSNRSRHLGRCNPPADPDAERRRRRAAREGWRAAGIGRLPMSRGQHQDDLWPRHGAGAVHAPSSSRGQEKRRHGAGAVHAPSSRYRWKHR >Et_3A_025821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33096638:33099487:-1 gene:Et_3A_025821 transcript:Et_3A_025821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGKNVERRPREAVDEEDPGRLRAPVSEEDDANEKAPRKSRRVASLDVFRGLTVALMILVDGAGGEWPVIGHAPWNGCNLADFVMPFFLFIVGMAIPLSLKRIPDRGRAVRRVVIRTLKLLFWGVLLQGGYSHAPDELAYGVDMKHVRWGGILQRIALAYLVVAVLEIVTKDAKVQDQSSSGFSIFRLYFSQWIVACCILVVYLSLVYGIYVPDWEFRVRNVDSPNYGKVTCGTRGNLSPPCNAVGYIDREVLGINHMYQKPAWRRHRDCTDASPHEGPFKKDAPAWCVAPFEPEGILSSFSAVLSTIIGVHYGHVLVLMQSHRDRLKQWVTMGIALLVLGLILHFSHAIPLNKQLYTFSYICVTAGAAGIVFSILYFLVDILNLLFVFAPLQWIGMNAMLVYVMAAAGIFEGFLNGWYYEGTNNTLVYWVRKHVFVKAWHSTRVGILLYVLIAQILFWALVSGVLHRVGLYWKL >Et_9A_061421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11549689:11550039:1 gene:Et_9A_061421 transcript:Et_9A_061421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSYNPSGNTPQFCRFHPFFFVCRCHDDPKRYHELGDGVQPYAAKFYTCCGAKDPDAHWMHHRVCTHHHVCTVSLCK >Et_2B_020295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18751398:18755225:-1 gene:Et_2B_020295 transcript:Et_2B_020295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPAPPTNYPKTLSSIILCRRRPDADHQLHHSLQQPAPPGPGRDRDQVVARSSAVVAPPPLPPPLLLPEMPSPTIRRLDVASPVPADIDIANAVEPLPIADIAAELGLRPEYYDLYGKYKAKVLLSVLDELKEQQDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCVRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHMTGDIHAITAANNLLAAAIDTRIFHEASQSDKALFNRLCPANKEGKRRFADVMLRRLVKLGISKTDPNELTPDEVRRFARLDIDPESITWRRVMDVNDRFLRKITIGQGPEEKGMVRETGFDISVASEIMAVLALTTSLADMRERLGRMVIGNSKSGEPITADDLGVGGALTVLMKDAIHPTLMQTLEGTPVFVHAGPFANIAHGNSSIVADKIALKLVGKGGFVVTEAGFGADIGTEKFMDIKCRYSGLMPQCAIIVATIRALKMHGGGPDVVAGKPLDHAYMSENVALVEAGCVNLAKHISNTRSYGVNVVVAINKFATDTEAEMNAVRNASMAAGAFDAVICTHHAHGGRGAVDLGMAVQRACESQAEPLKFLYPLESSIKEKIESIAKFYGASGVEYSEQAEKQIEMYTKQGFSNLPICMAKTQYSFSHVPSMKGAPTDFVLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYEIDIDTTTGRVMGLS >Et_4B_036058.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:18313251:18314883:1 gene:Et_4B_036058 transcript:Et_4B_036058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAAVPGDPPATTVLDSLGEDITRIVSPVSACMLIVVLLVSLLSSPSSPSPLSASIAAATGGAAGEGGGDDIPTALITAVTFVVAVTAATFLLALLFYLRCTPCLRAYLGFSSLAVLFLLGGQVALLLISRLRFPIDAVSFAVLLPNAAGALALAALAPASVPIALHQAALVVVAVLTAFWFTLLPEWTTWTLLIAMAIYDLAAVLLPGGPLRVLLELAIERNEEIPALVYEARPVDPRHGRNWRLWREGRQSDENLDSSATVEVIEEALRRNLEANSGNMSTSRVDEASISPGIVNNSRPTATLVPAASSDSTSEQAGQASGLPEHRVAVAEMRVPLIQPQPESSGEEQDAEDGIGLSSSGAIKLGLGDFIFYSVLVGRAAMYDYMTVYACYLAIIAGLGVTLLLLAFFRRALPALPVSIALGVVFYVLTRTLLEAFVMQCSTNLLMF >Et_2A_017877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7422628:7426030:1 gene:Et_2A_017877 transcript:Et_2A_017877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIHSSVLIVPSNIFCRTSWIIDSQRFAKKIKGGSGSLDPSKQKWMSNPTMECKNCGHVIDNGDVVHQWPGLPSGVKFDPSDQELLLHLLAKHGKAGRKPHPFIEEFIPTVEEEDGICYTHPQKLPGVKQDGTVSHFFHRTFKAYNTGTRKRRKINTEDLADVRWHKTGKTKPVLVDGKHLGCKKIMVLYISPVKGGKAEKTNWVMHQYHLGTGEDEKDGEYVVSKLFYQYKSGEKNAQELTAADGVESVAAEADLPDLLPFPSEEDIATNQEVIPSPEHNPYQVNGTCEINMEENAAEETDVPAPSEKPGEPGDPENPQSQDPKLWEGDSQIDLLDSQQLAEGLALCDEFLLSQSQTSCGDGDEPKVIKPRLAVYAQLPAEDFKKDLEECQRLDPSDNGNLELDSANEFRLSQIEFSQDSFSGWAGGKMLDD >Et_7B_054795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4489832:4493243:1 gene:Et_7B_054795 transcript:Et_7B_054795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPFASLSPAADHRPSSILPFCRASPLSAVGEGASQHQQQQHAMSGRWAAAAARPAPPFTPAQYEELEQQALIYKYLLAGVPVPQDLLLPIRRGFVYHQPTLGYGPYFGKKVDPEPGRCRRTDGKKWRCSKEAAPDSKYCERHMHRGRNRSRKPVEAPLVAAPLSQQPAAPANLAGGFQNHSLYPAIAGGGGAGGRGGGAAGTFGLGSTVQLHMDNAAPYATAVGGGSKDLRHSTYGMRSLAAEHSQLISGAMDTSVDNSWRLLPSQTSTFQVSSYPLYGTLSGLDESTICSLPKTEREPVSLFGSDFATANAAKQENQTLRPFFDEWPKSRDSWSELADDNSLSSFSATQLSISIPMGTSDFSNTNSRSPHGIQSR >Et_2A_017317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33109300:33125637:-1 gene:Et_2A_017317 transcript:Et_2A_017317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKGDPAMAVLGWSSSWICLRKDGKVTVTSPCVQRTLIDCVNAALLVAYVSALVAACVRRRPAGRNSGGQRRRLVLVVVTVCCVAAAAGYGVTGFLDATDIAGTAPYFVRGLVWVALAASLHVQPTRLAMAVAVLWWALFSLLITAYNVELLFGGHRLDVPELVAWPANFLLLLCALGSLLQWRHGHQDTTADDNGLSEPLIDKDKTVHTSELYRSGLFSQLAFSWLNPLLRLGRSKALDLADIPLITGEDSAQHASQKFAEAWRHHVDDKARHRSRTSNNLALVLFKCFLGEIALTGFYALMRTLSIAVAPLLLFSFVWYSNQEERNLRTGLLLVGCLLLMKVVESLSQRHWFFDSRRTGMRIRSALMAVIFQKQLNLSSQGRKNHSTGEIVNYIAVDAYRLGDSISWLHMGWSCPLQLAFAVATLFWALQLGALPGLVPLIIFGFLNVPFAKILQGYQAKFMVAQDERLRSTSEILNSMKIIKLQSWEEKFRHVIESLRDAEFKWLRETQMKKAYGAVMYWMSPTVVSAVMYTATAIIGIVPLNASTLFTVLATLRVMAEPVRFLPEILTMMIQYKVSLDRIEKFLLEDEIKEEDVRKVPSDESGIRVQVQDGNFSWSASGANLSLRNVNLSIRRGEKVAVCGPVGSGKSSLLYALLGEIPRISGSVEVFGSAAYVSQNSWIQSGTVRDNILFGKPFNKERYDKAIKACALDKDIENFDHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAAVLFYDCVMTALAEKTVVLVTHQVEFLTETDRILVMEGGQVSQQGKYEELLESGTAFEKLVSAHQSSITELDTTSSQQNTVQGIQASDDNIMPSGLHATKQASDIEVSAKGPSAAFQLTEEEEKGIGDLGWKPYKDYIHVSKGIFQLSGMCITQVLFTCFQIMSTYWLAIAVQTNVSAALLVGTYSGLSIFSCCFAYFRSLFAATLGLKASKEFFGGLMDSVFKAPMSFFDSTPVGRILTRASSDLSILDFDIPYSMAFVTTGSIEVVTSVLVMGTVTWQVLVVAIPVAITMVYVQRYYVSSARELVRINGTTKAPVMNYASESILGVVTIRAFSETERFIRNNMQLIDTDATLFFHTVAAQEWVLIRVEALQSLTILTSALFLILVPPGVISPGFAGLCLSYALTLTSAQVFLTRFYSYLENYIISVERIKQYMHLPPEPPAIIPEHRPPTSWPQEGRIDLQDLKVIDTKLLFQTLSDFLINELKWFVLDEQIRYRPNAPLVLKGITCTFAAGNRIGVVGRTGSGKSTLISSLFRLVDPAGGRILIDKLDICSIGLKDLRTKLSIIPQEPTLFRGTVRNNLDPLGLHSDQEIWEALEKCQLKTAISSTPALLDTVVSDDGDNWSVGQRQLFCLGRVLLRRNKILVLDEATASIDSTTDAILQKVIRQQFSSCTVITIAHRVPTVTDSDWVMVLSYGWSSPWICGREDEGLSIASPCVQRTLIDCVNMALLVAYVSVLVAACVCRRTAGRSTGGQRRRWELVVVSVCCVAAAAVYGVTGFLDATDIPGTAPYFVRVLVWIALAASLHVQPTRLSMAVAILWWALFSLLITAYNVEILAGGHRLDVADVVAWPVNFFLLLCTLGSLLQCKDGHEDTAADEDSLSDPLIHKDETVHTSELYRSGLFSQLTISWLNPLLRLGRSKALDLADIPLITGDDGAEHASQKFAKAWSRHLNDRARHRSVSSNSLALVLFKCFLGEIALTGFYALMRTLSIAVAPLLLYAFVWYSNQEERDLRTGLLLVGSLLLMKLVESLSQRHWFFDSRRTGMRIRSALMAAIFQKQLNLSSQGRKNHSTGEIVNYIAVDAYRLGDAINRLHSAWTCPLQLAFAVATLFWALKLGALPGLIPLIIFGFLNVPFAKYLQGYQSKFMVAQDERLRSMSEILNSMKIIKLQSWEEKFRHVIESLRDAEFKWLRETQMKKAYGAVMFWMSPTVVSSVMYTGTAIIGSVPLNASTLFTVLATLRVMAEPVRFLPELLTMMIQYKVSLDRIEKFLLEDEIKEEDVRRVPSDDSDIGIQVQDGNFSWSATGATLSLRNVNLSISRGEKVAVCGPVGSGKSSLLYALLGEIPQVSGSVEVFGSVAYVSQNSWIQSGTVRDNILFGKPFNKELYDKAIKSCALDKDIENFDHGDLTDIGQRGLNMSGGQKQRIQLARAVYNNADVYLLDDPFSAVDAHTAAVLFYDCVMTALAEKTVVLVTHQVEFLSETDRILVIEGGQVSQQGKYSELLESGTTFEKLVSAHQSSITELDTNSGRQNKDQGTEASDDNIMPSALHTTRQASDIKASAKGPSATFQLTEEEERGIGDLRWKPYRDYIDVSKGIFQLSGMCISQVLFACFQIMSTYWLAIAVQTDNISAALLVGAYSGISIFSCCFAYFRSLFAALLGLKASKEFFSRLMDSVFKAPMSFFDSTPVGRILTRVVTTVLVMGTVTWQVLVVAIPVGITMVYVQLTHSSENMKRYYVSLARELVRINGTTKAPVINYASESILGVVTIRAFSQSERFIRNNMQLIDTDATLFFHTVAGQEWVIMRVEALQFLTILTSVLFLILVPPGVISPGFAGLCLSYALTLNSAQVFLTRFYSYLENYIISVERIKQYMHLPPEPPAIIPEHRPPISWPHEGRIDLEDLKIRYRPNLPLVLKGITCIFAAGNKIGVVGRTGSGKSTLISSLFRLVDPSGGRILIDKLDICSIGLKDLRTKLSIIPQEPTLFRGTVRTNLDPLGLHSDQEIWEALEKCQLKTAISSNHALLDTVVSDDGDNWSVGQRQLLCLGRVLLRRNKILVLDEATASIDPATDAILQKVIRQQFSSCTVITIAHRVPTVTNSDWVMVLSYGKLLEYETPAKLLEDKQSSFSKLVAEYWANTKRNST >Et_8B_060462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1778168:1780116:-1 gene:Et_8B_060462 transcript:Et_8B_060462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQRHHQPPVWFHHSEVKRVKTFANEMDSGNGSLRRLSTTAEINQYMELWALIQEVQLSINGTPSRGDSQPTANIP >Et_5B_043355.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6868794:6868868:1 gene:Et_5B_043355 transcript:Et_5B_043355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWPDSVPAAVSSLVATDLVLAIS >Et_5B_045064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9055115:9059013:1 gene:Et_5B_045064 transcript:Et_5B_045064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTAVESPGCPPLRAITTDILGLVKVVEARPKSAGAAKVVETWGAPNASRAIVAASLADRASDPVLAVARKNGVVELFNPLNGDSLAAVKTVGPAANDSSAEVDPLVALHLFSRQDSDSTLGTFIACTEKGKAHTRSVAKENDSSGEDAGPSSTWDVCSGGNVQFCSVDHGESYAMFGGKGIEVNLWDITSCSKIWSAKSPRANSLGIFTRPWFTAGTFLCKDDHRKIVACTNEHQVRLYDTALQRRPAISVDFRESPIKAVATDPNGHDVYVGTGTGDLASFDMRTGKLVGCFIGKCSGSIRSIVRHPELPLIASCGLDSHLRIWDTNTRQLLSAVFLKQHLTNVVIDSHFSVEEPGETKSKQPEPLVEAEVRKEKKKKKSRIIEEDEEQSEVVDHSDMEAEMCTPKRRKSGERSKGLKKKSKKQQVE >Et_10B_003451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19959381:19961744:1 gene:Et_10B_003451 transcript:Et_10B_003451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRNRPVTLSKTKKTQGLERKGKIVTEIKDAVDHYTSVYVFTYDNMRNQKLKDLREQLKSSSRIFLAGKKVMQIALGRSAADEAKTGLHKLSKGDSGLLFTNLPRDDVERQYSDLMLFREFEEHDFARTGSTATETVELKEGPIEQFTHEMEPFLRKQGLPVRLNKGVVELVADHVVCEEGKPLSPEAAQTLRLLGIKMATFRLYLVCRWSSDDFEVYKEGLAQLRADDSS >Et_3A_023945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1543140:1544796:-1 gene:Et_3A_023945 transcript:Et_3A_023945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVGWWRGALRRHCERRLAGSIRLVSSAARANVHVHTATRSLFPNGRCCGSLSPEYYNATCPSLSSIVRNGMAQAVQKEPRMGASILRLFFHDCFVQGCDASVLLDDVPGNFTGEKNAAPNANSLRGFEVIDTIKAQVEASCKATVSCADILALAARDAVNLLGGPTWRVPLGRRDARTTNPGLAESNLPPPFASLSTLLSRFSNKSLDARDLTALSGAHTVGQARCANFRRHIYDEPAGAARVCPPKGNDSSLAPMEPQAPDAFDNGYFRDLLARRVLLGSDQALYGSNGTTDRLVRAYAANATLFLNDFATAMVKLGNLSPPTGTRGEVRLNCRRVN >Et_2A_017837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:716684:718386:1 gene:Et_2A_017837 transcript:Et_2A_017837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAGVSDAAIAVRDKLRGKIGQTKVKRYWPGKAPEWADDAEEDLDIRTARVSLDKAFPKDEDGDVPAKDDRRLRRLAETRAENKEELRADHRRIRQAEIVSTVEEENERHEVDIDEEDEEAQEERRRRIRERQLLREQEEELLPQEEEELVDEEDEDEESEYETDSEDEQMGIAMVKPVFIPKSQRDTIAERERLEEEERQLEELVKKRLEARKIETKQIVVEEIRKEEHIEKALNEEANIEDVDTDDELNEAEEYEAWKNREIARIKRDREERDARLKEKEEIEKLRNMTEEERREWERKNPKQVRQTKQKWKFMQKYYHKGAFFQEGADDVIQSAGRDDIYTRDFSEPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNTPWATNDPLRAKYNAKMAGMNRPIAKPKGSKKLKDWDAK >Et_6B_049878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16056566:16064332:1 gene:Et_6B_049878 transcript:Et_6B_049878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRHHRHGRCRLLPKFRPFSTTTSALPQSAPASSNAASPDPAELAPDDAIAMLPSLADSAGSAAALALFRRLAARADMRRLMRLYATAATTFVARGNLPMAHEAMRTMVAAFAEAGRLQEAADMVLEMRSHGLPLCVETANWVLRVGLSHQGSFPLARRVFDGMAARGGDGEMRPDARSFRALVLGCCRVGPLEDVDALLSAMWARGFCLDHATCTVVVRTFCRQGRFKDVAELFRKMSAAGTPPNVVNYTAWIDGLCKRGHVKQAFHVLEEMVRKGLKPNVYTHTSLIDGLCKIGWTERAFRLFLKLIKSSSYKPNVHTYTVMIGGYCKEGKLARAEMLLGRMAEQGIAPNTNTYTALIDGHSKGGSFGRAFELLNKMTHEGFLPNIYTYNVIIGGFCKKGRIQEAYKVLRMATSQGLRLDKVTYTILITEHCKRGQITYALDLFNRMAGNGCHPDMETYTTIISMYCQQRQMEESQKLFEKCLATGLVPTKQTYTSMIAGYCKTGKSSSALRVFEEMVQHGCAPDSITYGALISGLCKESRLEEARALYESMLDKQLVPCEVTRVTLAFEYCRRQKMDVAVSILERLDRRQQAHTTDALVRKLSTLGNLDAACLFLQNVLDKDYAVDRNEKVRLSSTDQKFAHTHFSSMDGCELLDDKLEFAFAQTLREERSSSASRQLNGFLDIQGLFTEAKQLALLPHLMLLSSVELSQLPLLPALFRDKISFQHLHNLRHCGASIRFQLGAQQCYLVSYHGFKVPIKQDIGSLDITVYDLGIAVLMKVLRFASMKETTYISFRDGRFDKELGIFPLKVLMDVSTT >Et_4B_037522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20872258:20874793:1 gene:Et_4B_037522 transcript:Et_4B_037522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRNRFTVVAAALVAAVVGGLPPAAMALGVNWGTMATHQLPPRTVVQMLQDNGIRKVKLFDADAGPLDALAGTGIEVMVAIPNNMLGMMTDYDAAREWVHKNVSAYNFDGGVNIKYVAVGNEPFLSSLNGTFLNVTLPALQNIQHALNEAGHGDAIKATVPLNADVYNSPPDQPYPSSGQFRSDISDLMTSIVQSLNESGAPFTVNIYPFLSLYGNAGFPLDYAFFDGTSSPVVDAGTGVTYTNVFDANFDTLVSALGAAGFGGMPVVIGEVGWPTDGDARATAALAQRFYAGLMRKLAANAGTPLRPNRYVEVYLFSLVDEDAKSVAPGNFERHWGITRYDGRPKYAMDLSGSGGQNSLVGARGVEYLPRRWCVASPNATAAAPADLVGDSVTYACTHADCTSLGYGSSCNGLDAAGNASYAFNMYFQVQDQADGSCDFQGLAAETDQDPSANGCDFIIQIATSSAAGRSRPVAAAATTAVLLVSVLLALLVVAS >Et_2A_016557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2652994:2676088:-1 gene:Et_2A_016557 transcript:Et_2A_016557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICGSPICSKKDVASCALTELFDSSTCLNHLLVTGIAALLVIVLMVLLLVRVPKSRTSAESFLRLSSPLHLASVLFNGLLGLIYLGLGLWMLVINFSQDASVYPSHWWLVTLSQGFSMVLVSLAFSIRARLLGVTFIRFLSVLLTIYAALIFFSALVHMMADKAITMKISLDVLSLPGALLLLVYGFWKIREDEGIESALYMPLTDTTDDTAESESHVTPYAKAGFFSVMSFWWLNPLMKIGYEKPLEEKDMPLLGATDRAYNQYLMFMEKMNSKKQVQPHGTPSVFWTIVSCHKSGILISGFFALLKVLTVSAGPLLLKAFINVSLGKGSFKYEGFVLAATLFLCKCGESLSQRQWYFRTRKLGLQVRSFLSAAIYKKQQKLSNSAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSVQLCIALVILYNAVGLAMIASLVVIIITVICNAPLAKLQHKYQSKLMEAQDARLKAMSESLIHMNVLKLYAWETHFKKVIEGLREIEYKWLSAFQLRRAYNSFLFWSSPVLVSAATFLACYLLKIPLDASNVFTFVATLRLVQDPIRQIPDVIGVVIQAKVAFTRITKFLDAPELNGQVRKKYRVGTEYPIVIKSCSFSWDESPSKPNLKNINLVVKAGEKVAICGEVGSGKSTLLAAVLGEVPKTDGTIQVCGKIAYVSQNAWIQTGTVQDNILFGSSLDKQRYQETLERCSLVKDLEMLPYGDRTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMGALSDKAVLLVTHQVDFLPVFDSILEISIKDTSDIQGSRYIESAKPSPADQLIKTEEREMGDTGLKPYILYLCQNKGFLYASFCVMCHIVFISGQISQNSWMAANVQNSDVSTLKLISVYIAIGVGTMFFLLFRSLSVVVLGIQSSRSFFAQLLASLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDVPFSFMFSISASLNAYSNLGVLAVVTWQVLFISVPMIVLAIRLQRYYLASAKELMRINGTTKSALANHLGESISGAITIRAFEEEDRFFKKNLELVDKNAGPYFYNFAATEWLIQRLETMSAAVLSFSAFIMALLPPGTFSPGFVGMALSYGLSLNMSFVFSIQNQCQLANQIISVERVNQYLDIPSEAAEIIEENRPSPDWPQVGRVELRELKIRYRKDSPLVLHGITCTFEGGAKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSIDITKIGLHDLRSRLGIIPQDPTLFQGTIRYNLDPLGQFSDQEIWEALDKCQLLDAVREKEQGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCNMVLAMSDGKVVEYDDPKKLMETEGSLFRDLVKEGMCGRPICSKKDVASCTLKELFDSSTCLNHLLVTGIAALLVIVLVIRLLVRIPKSRTSAQPLLRLSSPLHLAGVVFNGLLGLIYLGLGLWMLGINFSQDASVYPPHWWLVTLSQGFSMVLISLAFSIRARLLGVTFIRFLSVLLTIYAALIFFSALVHMMADKAITMKIPLDVLSLPGALLLLVYGFWKIREDEEIESALYMPLTDTVDETTESESHVTPYAKAGFFSVMSFWWLNPLMKIGYEKPLEEKDMPLLGATDRAYNQYLMFLEKMNSKKQVQPHGTPSVFWTIVSCHKSGILISGFFALLKVLTVSAGPVLLKAFINVSIGKGSFKYERFVLAATLFVCKCCESLSQRQWYFRTRKLGLQVRSFLSAAIYKKQQKLSNLAKMKHSSGQIMNYVTVDAYRIGEFPYWFHQTWTTSIQLCIALVILYNAVGLATIASLVVIIITVICNTPLAKLQHKYQSKLMEAQDARLKAMSESLIHMKVLKLYAWETHFKKVIEGLREIEYKWLEAFQLRKSYNGFLFWSAPVLVSAATFLACYLLKTPLDASNVFTFVATLRLVQDPIRQIPDVIGVVIQAKVAFTRITEFLDAPELNGQVRKKYRVGTEYPIVIKSCSFAWDESPSKPNLMNINLVVKAGEKVAICGEVGSGKSTLLAAVLGEVPKIEGTIQVCGKVAYVSQNAWIQSGTVQDNILFGSSLDKQRYQETLERCSLVKDLEMLPYGDRTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMGALSDKAVLLVTHQVDFLPVFDSILEISIKDTSDIQGSRYIESVKPSPADQLIKTEEREMGDTGLKPYILYLCQNKGFLYASLCVMFHMVFLSGQISQNSWMAANVQNSDVSTLKLISVYIAIGVGSTFFLLFRSLSVVVLGMQASRSLFSQLLTSLFRAPMSFFDSTPLGRVLSRVSADLSIVDLDVPFTFVLSIGTGLSAFSNLGVLAVVTWQVLFISVPMIVLAIRLQRYYLASAKELMRINGTTKSALANHLGESISGAITIRAFEEEDRFFKKNLELVDKNAGPYFYNFAATEWLIQRLETMSAAVLSFSAFIMALLPTGTFSPGFVGMALSYGLSLNMSFVSSIQSQCQLANQIISVERVNQYLDIPSEAEEIIEENRPSPDWPQVGRVELKELKIRYRKDSPLVLHGITCTFEGGAKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSIDITKIGLHDLRSRLGIIPQDPTLFQGTIRYNLDPLGQFSDQEIWEALDKCQLLDAVQEKEHGLDSLVVEDGSNWSMGQRQLFCLGRTLLRRCRILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCTMVLAMSDGKVVEYDNPKKLMETEGSLFRDLVKEYWSYTSNEII >Et_5B_043216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18216091:18217815:-1 gene:Et_5B_043216 transcript:Et_5B_043216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSVRRYRSAFCLLLTKLAWFVSADNCQASPQHGWRSDHLCHGNQQRRTHIGGRDHQCPCSRNRHGILDKVEACTELPTRSSMEKATKWDRVYANHLDDIFLDHLHHHQPRPSSSTKSPHSMVTLLESELATARARVAELEEERRAAGKRLDRFLRKLEDEKSAWKRRARRAASAARLERRHRRALSAANARLARDLADARRGHAGERRARELMEEACAELTREVEADQAEVELLRGECLRLREEMEEERRMLQMAEVWREERVQMKLSDARLALEGKYAQLHRLQAEMEAFLLRGRDKDRDCSSAIREARAVIARQEEEEKVDVDAVFEHFRRREKEKEKARESGAAKPNGVAAGRYSTASSPSSNLESVSPATDLFLAKADDDGGDDPYSDGSAADGDDPCSWVGTSEPSVSVARATAGSRRSGGAKNTALIRRLWRSAITESRKRTGPAARMNQQRKQGTLPQRRGAQQYKQSLKEKLMEARMDDQREKPH >Et_3B_030719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6715802:6720029:-1 gene:Et_3B_030719 transcript:Et_3B_030719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSNKVSRNRHDRCCVVGILICFVDRVAFVQFKGQPDQIACFMATNNHNQLQLHEMDHIQPVNGQHNEPLHLGQKLLLRYGRDAALRIGPSSHGSMAPRLNDVPSSSGAAQFLSYRVGSSGNSHASSVHYPSGSSSSHLPGPSMSYPHRSEESVPPVSSHLENRRAAMKRKNPVVHPVDGTNTGGYYAGSSSNAQLSNYVQPNPIPLTEPQMPLSIGHSGWDGQQLLQQEGFERNVRARHNYNISMEPRPEITHTANNIYSPPLRSTASASLSTLVARNQPPISMQPRTLPSGAPGITGRAFIGRTHYPAIGSSNSSVAAAPTVSGSSGNATFGNGGYAPRTVNNVYSHPASTGSSGSRAVLPETVIQSCPPGFSAATSSTGPSGSRAVPLETVVRNYPPGFSAATSTSVRIGQPFPTRGAAPSRHARHVAVGHANSGRNRRARSAYYSFHPSMMEAEGLMLDQLIFYESRGVADPHRDMRLDVDNMSYEDLLALGEYIGTVNTGVAEDKISGCVREVVCCSSDPSQNDEDDGTCVVCLEAYKDKDLLGTLKCNHDFHAECIKKWLQVKNSCPVCKAAAA >Et_10B_003834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6628446:6631995:1 gene:Et_10B_003834 transcript:Et_10B_003834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQARSAPGRRETGRGRSPEPLDFFIWTVEDVGLWLEEINLGSYRQVFEENGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRRPWWAPSCLSVVFVRAAKRNRQSRVVSLKLEP >Et_4A_033723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26178979:26182819:-1 gene:Et_4A_033723 transcript:Et_4A_033723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPETSSPSAEAWTWENAAAGAAAGFATVAALHPLDVVRTRFQVTGGRGWSEIPPYKNTAHAVYTIARSEGLRGLYAGFYPAVLGSTVSWGLYFFFYNRAKQRYVQGNDDQLRPVHHLVSAAEAGALVSLFTNPIWLVKTRLQLQTPELHTSRYSGFSDAFRRIVKEEGFLALYRGIGPALLLVTHGAIQFTAYEELRKAMILVKSAPTRTENRGEESLNSIDFAALGAGSKVAAILLTYPYQVIRARLQQRPCSDGTPKYSNSLHVVKETARYEGVRGFYRGITSNLLKNLPAASLTFVVYENVVKLFRATKEKT >Et_4B_038495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29459306:29460558:-1 gene:Et_4B_038495 transcript:Et_4B_038495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGGFKAHRGFWCRYMEPMMSSIPMMVVEGNHEIEEQIHNKTFASYSSRFAFPSEESGSFSPFYYSFDAGASISSCLRHTPTTRNQIVGERFGKGGLVSDPMADKPVCTHPAHYREVECMRVEMEELLYSYSIDIVFTGHVHAYEQSNRVFNYTLDPCGPVHISVGDGGNREKMATSHSDEPGHCPDRLSTPDPFMGGFCAFNFTSGPAAGRFCWDRQPEYSTYSGQVYAFTWPAAKVPYSIGFANQYARLTGFANQ >Et_3A_023458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28818842:28819348:-1 gene:Et_3A_023458 transcript:Et_3A_023458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDVVRIVHLSGHVDEFSCPVAAASVLADHPNHTLTTAWSPSGAPGCASKKLVIVSPDSELKRGRIYFLIPSATLPADRRSKSKKSGGGKRSSSHHKSKTASSGHGHRRRRSGARVGVWRPRLESIVEEASSD >Et_2A_017576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3841551:3848514:1 gene:Et_2A_017576 transcript:Et_2A_017576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALCAALTELGFDGEDPLDADALEWPFQYEEARPLLAWICSCLRPSNVLSPSHLAQYEQLVEEGRLLEGEDLDSAFDSISAFSSKKDNQEAVFGSEETILDIREAKLAYRAEVFELQKQLARQQAQFDLLAGQASTLIQGRRARLSAMSTVSGQLVSLDEILSSRNLEMNAVLGRIAATTQELAHYHSGDEDSIYLAYSDFHPYVIGDLACTKELNRWFAKQFEKGPFRLVAEEGKSKCSWVSLDDITNCLIRGDSEKSHHHQRVSELQRLRSIFATSERQWIEAQVENAKQQAILSMLKSQVSSDEAHIHRDIHSLRRKSSELAGELSMLSQKVQAFVSETIPCLCSELAQLQGTYILQGDYDLKVMRQEYYINRQKTFINHLVNQLARHQFLKIACQLERKYIASAYSLLRVIEAELQSYLSAVNTRLGNCNSLIQAASEVREQGAIDDRDTFLHAVRDLLCIHSNVQATVPTYMSAHALVQQISALQSDLLSLQSELENTLPADRKRCIYELCTLIQTVEQLLFASSTTAEPILTPWPLMRALDDMENANAQVEVSVEEVTKARTQKIKIFENRAHEVGRERQIFIDFFCNPERLKNQVRELTSRVKALQE >Et_1B_013569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9580483:9590438:1 gene:Et_1B_013569 transcript:Et_1B_013569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKAEEDRPLPATGVPMSGPGGYYQGPPGGATAAFAVQAQPPVAAWSTGLCDCFDDCGNCCVTCLCPCITFGQIAEIVDRGSTSCGASGALYTLIMFLTGCQCVYSCFYRAKMRAQYGLRESPCADCCVHWCCECCALCQEYRELKKRGFDMNLGWHANMERQGRTAATMPPQMHPGMTRVRGRRAGPAATAHGHPHERRAPPAFAVQAPAPVASWSTGLFDCFDDCGNCIITCLCPCITFGQIAEIVDQGSTSCASHGAMYLLIMLLTGGQWLYSCVYRTKMRERYGMQESPCADCCILCCCERCALCQMYRELTNRGFDMSQGQHGEAGAWGSHRAAADARRDDPTDEGAAQAQQPPATGVPMSGAYYQAPAAFAVQAPVPVAAAAWSTGLFDCFDDCGNCIVTCLCPCITFGQIAEIVDQGSPSCACHGALYMLIKVFTGFQCIYSCVYRTKMREQYGMQESPCADCCVHCCCERCALCQMYRELTNRGFDVSQGQHGDPGAYGSHRAAADARRDDPAYEGAAQAQPPAMGVPVSGAYYQQALPPVTAWSTGLFDCFDDMGSCVVASLFPCVTIGQIAEFLDRGAPSCFCHGVMYTLIMVITELHCIYSCSYRAKMREQFGLQESPCADCLVHLFCEPCSLCQMHRELTNRGFDLNQGWQAHVERQQLTAASVPPMHTGMTR >Et_1A_006240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19550060:19553592:1 gene:Et_1A_006240 transcript:Et_1A_006240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IIPPHRHLRHATTTRPRPTDQCPSSSPLPSSRLKTIERPKHQCTTSPTRTPPPHSSASPPRTSQREREVRSEAMAGGGEKVDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIDHKSVKAQIWDTAGQERQAPFLIIMSCSTMLQLATSHAGYFNYCRYRAVTSAYYRGALGALLVYDITKRQSFDHIPRWLEELRGHADKNIVIMLVGNKSDLEEERAVSTEDAKEFAEKENLFFLETSALQATNVENAFQTVLTEIFKIHSKKNMAADPKANGAAPSLAGKKVLVPGPAQEIPKSKCCSSM >Et_2A_016061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20672811:20676371:-1 gene:Et_2A_016061 transcript:Et_2A_016061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARGATSPRQSHPTSSLGPSARLASRHARTQQLAVPRRAPMPPRLDAAASRLARLVPLAFFALLLLLASPCHCVNEQGQALLRWKESLRPAGGALASWRATDASPCRWFGVSCDARGDVAGLSITSVDLQGPLPANLQPLSASLRTLVLSGTNLTGAIPKEVGDYGELTTLDVSKNQLAGAIPPELCRLAKLETLALNSNSLRGAIPDGIGNLSSLAYLTLYDNELSGAIPASIGNLKSLQVLRAGGNAGMKGPLPPEIGGCSNLTMLGLAETGVSGSLPETIGQLRKIQTIAIYTTLLSGPIPESIGNCTELTSLYLYQNSLSGPIPPQLGQLRKLQTLLLWQNQLVGAIPPELGQCTQLTLMDLSLNSLTGSIPATLGALPNLQQLQLSTNQLTGAIPPELSNCTSLTDIEVDNNLLSGEIRLDFPRLRNLTLFYAWKNRLTGGVPAGLADCPSMQAVDLSYNNLTGPIPRALFGLQNLTKLLLLNNELSGPVPPEIGNCTSLYRLRLNGNRLSGAIPPEIGSLKSLNFLDMSENHLVGPVPAAISGCASLEFLDLHSNALSGSLPDALPRSLQLVDVSDNQLAGPLSASSVGSLPELTKLYLGRNRLTGGIPPELGSCEKLQLLDLGGNAFSGGIPPELGALPSLEISLNLSCNRLSGEIPPQFAGLDKLGSLDLSRNELSGSLDPLAALQNLVTLNVSYNGFSGELPDTPFFQKLPLSDLAGNRHLVVGSDGTSSRRGAVSSLKVAMSVLAVLSALLLAAATYMLARTHRRGKMVHGEEEGGGGAWEVTLYQKLDIAMDDVLRGLTSSNVIGTGSSGVVYRVDTPNGYTLAVKKMWSSTDEAASRAFRSEIAALGSIRHRNIVRLLGWAANGGTRLLFYSYLPSGSLSGFLHGAGGNAKGRADEWGARYDVALGVAHAVAYLHHDCVPAILHGDIKAMNVLLGPAYEPYLADFGLARVLSAASSKLDTAKPTRIAGSYGYIAPEYASMQRISEKSDVYSFGVVVLEILTGRHPLDPTLPGGAHLVQWVRDHLHAKRDARDLLDARLLTAGAADADVQEMRQVLSVAALCVSHRADDRPAMTDVVALLKEIRRPAAADDAKPPTAASAAPLPPPASAAALQVSPARSPLSSRGQSSSCSFNVSDYSA >Et_4A_034151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30312679:30319492:1 gene:Et_4A_034151 transcript:Et_4A_034151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATALSTSLPHLPPHRLPSLPSSSAVSIPSRSSRRREPRLAATASAASQVLESTNGAVPSAAKSGAARGYGREYFPLAAVVGQDAIKTALLLGAIDREIGGIAISGKRGTAKTVMARGLHAMLPPIEVVVGSIANADPSIPEEWEDGLADRLQYDADGNIKTEIVKTPFVQIPLGVTEDRLIGSVDVEASVRSGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTEGVNIVEREGISFRHPCKPLLIATYNPEEGSVREHLLDRIAINLSADLPMSFDDRVAAVDIATQFQESSKEVFKMVEEETEEARTQIILAREYLKDVAISTEQLKYLVMEAMRGGCQGHRAELYAARVAKCLAAMEGREKVFVDDLKKAVELVILPRSVLSDNPQDQQQEQPPPPPPPPPPQDQDSSEDKDEEEEEDDQEDDEEENEQQEQEIPEEFIFDAEGGLVDDKLLFFAQQAQRRRGKAGRAKNVIFSEDRGRYIKPMLPKGPVRRLAVDATLRAAAPYQKLRKEKERDKERKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKDYAEVLLPPSRSIAMARKRLEKLPCGGGSPLAHGLSTAVRVGLNAEKSGDVGRIMIVAITDGRANVSLKRSTDPEAAAASDAPRPSSQELKENTTTYQMLRMLSFLLQPRPHSLT >Et_5A_042744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3381021:3382514:-1 gene:Et_5A_042744 transcript:Et_5A_042744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERALPLLVAPRAQPATRPVASNRTSPASWSPSLSSSPSPVEHREQELLFLCLPAPPSPSSSASQAPSASPLQNHQQQHRWLVSSNWGPAGRDQPPAGVARPATHRSWATRPRLGGPGQRGGRATTAKSNGFLVNIFYEMNPASVEPAERSAFPPEYPAPTRRPACRRASTGWTAEAPTGSVVYVLLGSSGVLSVEQTAELAAGLESSGHRFLSVVRMPSLDGTNCSMGKKTTRGDDPLAWLPEGFLDRTREVDPAGDVPARVPGSGGGRRAGECGMAGPGSGTAGGGLGVFAGRRARDAVGDDGAKGFCQVLFTSERTMYCLGYARTMYCLGYAD >Et_10B_003536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2840764:2845536:1 gene:Et_10B_003536 transcript:Et_10B_003536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGPASPEKPPAVEPGAEAKGKGAAEAGEERVKEEMDAVKEEEDEGEEKEEEVRGRRGRRRGTAADGAVVMVKRELLARCMTCPLCHRLLRDATTISECLHTFCRKCIYKKLNDEEQDHCPVCKIDLGCAPLEKLRADHNLQDVRSKVFPFKRKKVNAEEAESPIMLPVKRKERSISSLVVNTPRITPVGSTGRRTRAVTRKAAALRGLGPIIVDPLKKDNDKLNKQADNSSLLGSLSKAPQTRRQILSNGDTSNHPSGKDKADDDDLDKAELWRPLNCLVELASKTKPSRTTAHSPAVKGDKPSESPSSEHSSRTKAREPLQKSKVQDDNIDDPEPIVLLRKKPGRKRKHPLPSANAASTAAGSQNEKTVNPVWFSLIASFDQKGDPPLPQIPAHYLRIKDGNIPASSIQKYIMQKLSLLSESEVEISCCGQSVNPAQPVRNLVERWLRVGPARPLQTVIGSSGGDYVMVISYGRPK >Et_5B_044981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:860650:865427:1 gene:Et_5B_044981 transcript:Et_5B_044981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSSDDRSSPAPSAVVEGLIGVRDRVVMLQTMLDGSPTPLRAAGTELTEGMMSSLSSALSALNTFGGGNGGASSSAGGRRRKKAAAVAGPHRRTSSSCRRRTQSPLVKTVTATKLEDNHAWRKYGQKNIQESHNPRSYYRCTHMTDQGCKAKKQVQVSETNPLEYIISYFGQHTCCDPSTIPLITDATTAAPANFISFGSTVNGAPTSSAHAIIPRHQAAVNPIMMLSRFSYSSSLPAQERCGSEEAISSFSPAGELSAVVGSAGKTSTTTVGSAPEQWLGGASHMAGGIDTAGSFPSSPSSHELMTGSFDGILDDDIYLTKDYARKRPGPRYKITIAITFNLDIGVDVDIVDSELSDKHERAEENFKTSREILSSQSAAESHYNRHLKCNI >Et_2A_017921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:849347:851131:1 gene:Et_2A_017921 transcript:Et_2A_017921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKQLHARALRRGVRLLQPLLLRVLAAGDLRYASLLLESYPSSSPPSAPLHNRLLHALASLRHPLLLPFFSRVNRLRLLSPLSFTLLFSASATAASSSSMRYSVCAHALLIKSGHFASGGDPFLASALVSFYAKNRLLDEARRVFEEIPRRDAAVYNALLSACTKGGLVDAAEKLFEEMPDRNVVSWTAMVSGYAQNGRHEQAVDTYLEMWEREGVQPNELTVSSVLPACAAIGAMELGRKVEEYARVNGLLKNVYVANALVEMYAKCGSICQAWEVFRRIGRRRDLCSWNSMIMAFAVHGLWREALGLFHKLRMTGLKPDGITFVGVILACTHGGLVDEGKLLFNSMLADFGLHPRIEHYGCMVDLLGRAGLLKEAYTLIASMPVEPDAVIWGALLGACSFHGNIELAEIAVDNLMRLEPQNTANLVILSNIYASRGKWDGVTKVWKLLKEKDHKKSAGYSFIELDGRMHKFLVEDKSHPRFEEVYATLDSVTQTIKLAGLENPDEVEAEGLFFPSLPAVSGTKGEKMIQHRGT >Et_8B_059251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15802552:15804731:-1 gene:Et_8B_059251 transcript:Et_8B_059251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWISHVLLCKVQALSIINHDDKGWPVTLELNPHPFTLSYLKRLHLCYVQVDSLFLRKLLSEFSALEDLEMMNCEILATEFCSATLKNLSIDYDEFPEFTTYNDFHDIVINMPSLVSLRIGSLLCPKLIIVEVQSLVTASISLGYPQRFTFADACDILASLSNVKNLELLFPQDVEGKYSLRSDMQLCQTVFTKLTTLSLSGWCLYDDCKVLMHMLKRSPNLEILTLKLKNWFPGSAAELKSPCDETTKPFHCVKLKKIVIFCPQRNKRVGMLVTILFVNIISPLKINIKPLPGMKGVRHGTTFPFRTAILEMISLEARRLGVPFRETDNLT >Et_9A_061965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18428362:18430718:1 gene:Et_9A_061965 transcript:Et_9A_061965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGYANLPTSHLLGSVPVTDAIPAPLPRLLFVPLEFLPPAPGGNGGGYQPPGAPADGDVENQANWKGYFNVASYTPYFNVDTDVVVDRLISSVYPMDGFFRKIDANPDMYGPLWITTTLIFMLATFGNFATYLIQKKNDLDIWNFNVGYFNWAASVMYGYAIIVPALFFFLFQYFGSRPSLVRFWCLWGYSLFVFIPASVLLLIPVEFLRWVIIAVAGGASSWFIALNLKECTEGADMMVLIASAAVLQFALALFIKVFFFA >Et_3B_030301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32094165:32096645:1 gene:Et_3B_030301 transcript:Et_3B_030301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVELIANVGVSGHEHVIDIPRDTGPSVSRSGDRENNEELNPTDRPSTRALVPALQAPSAIGAVSNAGHASGTRRSDNYVRRHRSPLNSGLWISIEVIVNVSQIVAAIVVLCLSRKEHPQAPLLEWVIGYTVGCFATLPHLYWRYIHRNIVNGEHETSHAPQGSSHNNTTETANAASVSERRRNAARNAVLANPRINALFDHFKMALDCFFAVWFVVGNVWIFGGRSSSADAPNLYRLCIVFLTFSCIGYAMPFILCAMICCCLPCIISVMGFREDTNNTRGATSESINSLPTYKFKTKKRRHGSGNDAEGQEGGTVAAGTDKERSVSAEDAVCCICLAKYSHNDELRELPCAHFFHKDCVDKWLKINALCPLCKSEIASSSGTSDTRHPDHNAIPVQEIEMH >Et_3B_027737.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16838849:16839379:-1 gene:Et_3B_027737 transcript:Et_3B_027737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVAFALRGSARDRYDVAFDGKRGVMEIPAVAVDDMDRPLLVNLIAFEQTQGAEETRLLTSYVALMSMLVRTAPDVELLRRRGILDNLLADDDEAARFFSHLGDGGAMNYDNQVFAELCDDVRRYCGSWWHRNRAALRRDYFGSPWSAISFVVAGLVVALTATQTYFTVFPRK >Et_3A_024984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25964549:25966561:1 gene:Et_3A_024984 transcript:Et_3A_024984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAAAGKRPRKRRRRLRRSTGARPVGPDCFTDLNDDLLRSITSRLPIRSAASLAGASRHFHAQVPTLLDRVDSLILHEPHFPKPLPDAPPLRLRRLAIAPHSAIPPSTFGPIFKTAAGHGLTELSIRLTRRARVPKCVLSIRSLVVLTLDTCAVPRWSTPTVPCLRSLRLNRVAIHQEIINKIIASASCLDTLDMQYCSGLGAGGCCTVDSSSLRNFIFRPPLKQAEVVVRAPRLRTITLYTRAKARSLELAPAPEVRKVYLHISKPRRPMNSFRVRSFLDAATKLNLLNLRGLAMKMLSSEYKDTPNLSVVFQDLRILSVSLDFSRERETVFLLKLLESCPNLQRLTLSDVNTDEVAEAKEDKADEANKDMALEADEDMSTEAGEDVSTEADEDMVAEIDEDDVVPCFTDHKERLTNIPCLTTSLVEFSFLGFKPEEYEKSLMVCLLTEAKNLKKVGVQFDESELATVKEIMSVRKAPAQRTYHRFASLYMESDYS >Et_9B_064393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14783158:14785807:1 gene:Et_9B_064393 transcript:Et_9B_064393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGAHVSASWFRLLVLLALLATTHGEDTVAADRPLSGDAKLVSRGGKFALGFFQPDGGAPGRWYVGIWYNKISVRTPVWVANRDTPVSDPAASRLTIAADGNLVLLDPSGSFAWSTNAIANSNTTATAAVLLDTGNLVLSPASNPSAVLWQSFDHITDTWLPGGKLRRDKLTGVIQGMTSWRSRGDPARGPYTLQLDPSGAPQYLLRWNGTREYWVTGHWNGRIFTGAPEVAASGGASGYSFAFVDDERESYFTYGFADNSTVYRFVADVSGQVKGWFWVDALQAWNLVYAEPKARCAVPRGCGAFGVCGGGGDAGGACACARGFSPRDAAGWTLGDFTGGCVRNTELQQCGKNGSSNGEVKAKEDGFFRMDDVRLPDDGRVVTGASSSSGDDCRRACLGDCTCSAYAYNGSCALWRNDLQNLEDNYGQGQPGAGTLYKYRDLQAFTKNFSDKLGGGAFGSVFRGQIPASTNDHAATATAIAVKKLEGLRQGEKQFRAEVSTLGTVQHVNLIRLLGFCSEGAADRRLLVYEYMPNGSLDRHLFGASTTLYALSWRARYHIAVGVAKGLAYLHDNCRDRIIHCDVKPENILLDADFAPKVADFGLAKLLGRDFSRVLTTMRGTVGYLAPEWISGEPVTAKADVFSYGMMLFEIVSGRRNVDHHGNKTDHSNSSTSSAGQTEAETAATFFFPLVAARRLAEADGDVKALLDPALGGDASDEEVARVCKVACWCIQDEVDARPAMAEVVKMLEGVADVQTPPVPRYLEMLAGRSADAGGTRHDYGS >Et_9B_065429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:505340:511907:1 gene:Et_9B_065429 transcript:Et_9B_065429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNSTFKGSITKQSPRSERSPLSPFSWSAAVAAFSIMADGAVSLLSRGQVPRLRCSLLKDFDEHKSHVLKDELAAMEPFTEEAKEKEEKRMQHLRKMAHYAKLRQRQSELEDRIRDYDPKQEGQYYTRLSSVDLTIFDLDKESPLGPMRFTDAVYKDRCDYKLCEAINIFSVKLSCSDVGFPFHVYGTVIARDSLDNECICLFRRDQDHCQIINSEDESLVLTGPNRGLALICNNYIETDLKIKDDQGQVREFSKGIMTIRGVARRSLKKCEVESESLATSDVCSSGDDGGGAVGGHRGPPGALQGGEGRGFLRHDGASASGGGREAEGASAGRGCALLSGTGAGLGSSTSTPSRRTASTTTFVDHATFDLDEESPIAPMRYTNRVSQPGQPPFTPCAGLNILSARISTSDVGFPIHVYGTVIARDSIDKKCVYLFRRDRDHCQLINSEHESLMLTGPRRGIALIDDSYVETDLKIKGHGGQDRELSKGILAIRGRRYLDTCQVERKSLATRLSTVDVLYSVVKDAVEATITIEVVQGVFYGEITAHTSSVQNRLSLYNSEVSGCMSVGANEAIQLMRPVISVYEKEMLVIVAKTDGKYEHTIHFTARRNGDDEDYITIGATEMHVKVIWSMIDL >Et_1A_006433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22087834:22088207:-1 gene:Et_1A_006433 transcript:Et_1A_006433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGPPPSTRYILRLWAAITIQEDTRKRMDKQTYPVDCRATSPTTQERDSLKSQGRGWPRGPTWRLGISAGNVKPADRRKRIKKFCSDLSRLNIGNTLTLGTGAYKSAYGIHPSIQRQME >Et_5B_045059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8984732:8989937:1 gene:Et_5B_045059 transcript:Et_5B_045059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRKQANKFKEQVAKQQQLRIVLARPPGCGEVAVRLWDLAVVLKLDLRAVIKQFSTTGYERSDSVVIDEVELQRHQQLEKLYTSTRSARDFQKDIVRAAEGLVSIGSKHIEVGTKFSDDCFRYGGENSASDEALAKAASLYGGALRNVEKEYEDFNRNLSSQTIDPLRAMAMGTPLEDARGLAQRYSRMRHEAELLSAEIARRKARVREAPIAENTTKLQQSEAKMIEHKASMAVLGKEAAAALAAVESQQQRVTLQRLVGMVEAEKLFHLRLAAILDDVEAEMSSEKQKRESAPPTLPSHKRAEKAQYFLAEAVHNFNGSTEKELSLIVGDYVVVRQIAPNGWAEGECRGKAGWFPAAYVERRENIPPNKVFPQA >Et_7B_055815.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5260033:5261958:-1 gene:Et_7B_055815 transcript:Et_7B_055815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGAPWRDPRQGYAAAYGLGSAMQIPLPPQQRPDAAGGVLKRSLGELERWQLHQNQQVAAQQALYLRAVRQRTAAAADIAALLGGAPPSHHEHMVLPGSSYGGGGGALAASPSSTLSSLTTASRAAQAVPLMQPQMQRQVQPLMASSPQQTQALGVSSRAPPPPQPAASSDLFLLQELEKQLLGDDDEPVAAMSGTGSAVTNSEWEETIQQLNSITAAPSPPELPAAATTPNHNTINNYAGMTRSPSNSSSSTASSSASCSPPAPGAPATRQLLSDAAAAIADGNIETAAIHLAALKRAANQRGDVEQRLIAMMVAALSSRIAPTASAPATQHLAEFCGAEQRNGSQLLHERSPCFRLALHAANVAIVEAVGDRRAIHLVDFDVSAPQHAALIQYLADRRVPGTSLKVTAVTDPTSPFTQQSLTATLSAVGERLKKLAERAGVEYRFEVVSCRAAELDASRLGCEHGEALAVNLAFALSHVPDESVSPANPRDELLRRVRALGPQVVTLVEQELNTNTAPLYARFTDACAHYGAILDSLEATLGRDSAERAMAEAALAKKAANAVGREGPDRLERCEVFGKWRARFGMAGFRPVALSPGIADQVVARVGPTPPGFAMKAENGVLRLGWVGRVVTVASAWR >Et_4B_036046.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:16748365:16748862:1 gene:Et_4B_036046 transcript:Et_4B_036046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSFILVATIVTYVAAMCTTTTATLQEWHPVQDINNPHIQELGAWAVTEHGKFVNCILEFKRVVRGEEMLVSGVKYHLIIEVSEINGADKHYEAILIEKSQTNSRTLLSFNQLI >Et_8A_057367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23997905:24003169:1 gene:Et_8A_057367 transcript:Et_8A_057367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPPPRVGEECEEASGESSPSSRSPSVASQQEELHELLERIHERLRLTGKHEQTLAAPSFKRRLYRHLQRLPQRYLVDHDVDRKAEDVLLHWGILDECADPDKRPVFHARYLESITVRADYDGSYQELDEPCQKLEDLSLERRKAGDANDSSLSISSRGDLKTLLLHEIIFSSLDRPKLLSRLTALLSEVDLNIREAHVYSTNDGFCLDVFLVDGWETEETDELIEVIKETLTQKNKLNFCRKSVNHENILQFYGACTRRPNYYIVTEYMPGGNLYDFLHKQNNYLDLLTILKIAMSISKGMDYLHQNNIIHRDLKTANLLIGYDQVVKIADFGVARLGNQEGQMTAETGTYRWMAPEVPYDNMTPLQAALGVRQGLRLDIPASVHPRLSKLIQQCWDEDPCSRPPFVEIIVELGNILHHVQKTPKGASRRSRAKVQKKSEC >Et_6B_049261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2453127:2453868:1 gene:Et_6B_049261 transcript:Et_6B_049261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTRALQRAMTCSGAVGYVAPEIWDVYSFGILVTEIVTSHRPSWLVKVDVHEKAEVDLVDWAREKIEPYQALEMLDCLINIEEQRNRST >Et_7A_050970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13064301:13065070:1 gene:Et_7A_050970 transcript:Et_7A_050970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSTVSGLAGAALASRPAFSTSFTRGSRVSARNPLMTRNLERNGRITCMTFPRDWLRRDLNVIGFGLIGWLAPSSVPVINGNSLTGLFFSSIGQELAHFPTPPAVDSQFWLWLVTWHLGLFIVLTFGQIGFKGRTEDYFEK >Et_10A_000407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:50564:54971:1 gene:Et_10A_000407 transcript:Et_10A_000407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGDSSPSPSSPPKHSRSPDDVQPDASPKRRKRHHHRHHNRRSHRHRSPEPVAANRMEEDEVEEGEILDAAAAAAMDVDADSQVSLAPEAFGNGDGTDSNSDATKLHAPVLLAHSSSNDERKSVPSTLESESGGILSSDAEDIKGYEQSQIISKSPPSRTEKGRKHRDVHHTSSSKDSHSKDHSRISPYPRHHSEAHAKYQSRSRGKGAEANGSSASPRDDSDPDISDRNEKSGRHSIRSRESERERSSSRVIRDVDRHGSSGRYRDDRRHSTNVLDRDKVDSREAAHSRHRERSSSRSRSDRRESAHFRDEGRDRERRSGSSRHKDHERRDSSKDRHRESDRVDREKMREDRDREWQSVKGSENRRAGEARDKVSNTDRHRDSTRSKYSTSDGYKGRPRSRDKIRDVDHKSRRPEEMKDSSFREEDEEEYQEKIEQQLAMQEEDDPEKIKEEARRRKEAIMAKYRQQQLQKQQVESVPSSNNEEERTIGRDETALLKENNDESYVANDEAEHKHDSSEVFSGEVEFTVGKSPASNNIIDSTGALGNERGVSGLGEGTPKSERSADMFCDDIFGESPAAIRKPGKDDGMHIERNALHDNWDDADGYYTHRLGELLDGRYEITAAHGKGVFSTVVRAKDLKAGKDDPEEVAIKIIRNNETMYKAGKQEVSILERLASADREDKRHCVRFISSFMYRNHLCLVFESLNMNLREVLKKFGRNIGLKLTAVRAYSKQLFIALKHLKNCKVLHCDIKPDNMLVNEAKNVLKLCDFGNAMLAGMNEVTPYLVSRFYRAPEIILGLPYDHPLDMWSVGCCMYELYTGKVLFPGPSNNDMLRLHMELKGPFPKKMLRKAVRRLILNIKPKDIGSLITNFPGEDPKMLAAFKDLLDKMFVLDPEKRITVSQALSHPFITGK >Et_4A_032222.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24869711:24869953:-1 gene:Et_4A_032222 transcript:Et_4A_032222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEDAAQLVSLLAPLLVILLVAAVIASARADGAVRGVEAQALLDAQAAEWAQYVFGSEVAEFDAPRYLPVACEGQHGC >Et_6A_047712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1043132:1044129:1 gene:Et_6A_047712 transcript:Et_6A_047712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMATSSPLLNCSNPTRSPHGFRSSLVLSRLPCNSYSTFSKKQQHLICLVAPTPRLNCKRSSFRSSSPRCSSSFTDGPSTLGASVRWFLDPAGDGDWRHIGYKVPRPGAFEIASVFAATIIDSVTVGRVADKADIVLPVATVSGTHARLEKKDGSLLVTDLDSTNGTYINERRLVPGFPVPVDPGSLLIFG >Et_4A_034717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5775884:5783731:-1 gene:Et_4A_034717 transcript:Et_4A_034717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRAGGGTAAPVTGDRYLNLLVRFVEQNAGALLDGSVTLRLHPVGLHYVASRLEALRELEAVGAGAPVDYLRAYVADLGDHRALEQLRRILRLLTSLKVVAAGPGRDPAPLSLVPFARLRVLELRGCDLSTSAARGLLDLRNTLERLVCYNSTDALRHIFASRITDIKDSPVWSRLSYVSCTSNGLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCTKLRNLDLGFNHLRSISSLCEVSSRIVKLVVRNNALATVHGIENLKSLVGLDLSYNIISNFSELEVLGTLPLLQNLWLEGNPICCARWYRAHVFSFFRNPENLKLDDKGMNTQEYWEKQVLFACRQNRPAGYGFYFPAIDDHEDEDTLNSKMRKISRLASIVEEERNLCDDGVDHQSTPCDSDSSKKDEVAAADHDIKIASLINTAEVLKKQKSSNWLREFKEWMDENSEKTEGDSLFANLTNGNGSCMREKKRQKAHRENSNGVSDLVHTSEGGSSSNLLESDLSFTDNAGANGIIEESSNELNADQDHVKMHLNSFRRPPPLQLVGTSHSDCSSELDDGSKSMLANGTPSNTMNKLMEPIPPDAYHSPLSPPQYKEDILHRRLFLEEEFLKISGDFHSVGFLDSGSSCSDDSSDDLCSCNSEDDCVAIQAKMDLALNGRMPSFPYVDRYREEKNGLSDHSLEDEQSCTDGRQFDNEEFHENGQINGQLGLNSGHVAKEKGKEKLKRRVLSIFKNHNSAKLGFLKSNGDQMEKHVLLEGNGHPSRSIPLIQGSEINNIMHKNNSSISTNKISRNRDEHKTIEDFFKVEVANNEESETCEQVACCAYLFQDASGLVQREVALLRSSQSKLYVLILDLDQDGEETEPRVLDSYRFESLEKVSVGLGLQALRIHMVDNTTHLFLMRTSKEAQDVLWLLSVLNFPESNPGMTLQSWENIQVKLLEKCICEGARMGIFFYSMLMFWKKDAEEHSLVIRSIIVIEGSILICIEDLSQFGDLVDDSNHPYFSLDVCCSIDSIQEVVLDKHNKGLTLVLDNHVQEGKFCSSIQNAHNNQSDEINSAHTWKLEWFSEEAHLKFISVLKALHSAAAASPLPVKCIS >Et_7B_053603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10113000:10113882:-1 gene:Et_7B_053603 transcript:Et_7B_053603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLASFIGTARVIYLVSSFHSGVCISITSLLPVSTLSLLLSTRLAFMLLLAAAIVRHPLTFVSLNAIVLLYRKAAPSGFLAATIVTATGGLHSEVARWKGLSALFWGMMATLVLMWQASFIGTARVIYLVSSFYSGVCTADVLVANVIAGVAVFGDAFATTLAI >Et_1B_009986.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:16943754:16944101:-1 gene:Et_1B_009986 transcript:Et_1B_009986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQAVLSLQMQEVLLLLLPTRHRWMRTRSKQDGASLGSAIASVMACRIASAVGIHMCRRIATRPRQSVGRSAPPATPFARHSNCHFIKPCIKLQCMFALRLNKLWKWIFLMLLYS >Et_3A_024425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20668939:20672293:-1 gene:Et_3A_024425 transcript:Et_3A_024425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAPHIHVEGERGVKGKPKFLPFNGEPLETTFQIFRTPHMHLGTVNILLEMEELCTLFTVCTQGGILDTTATANLYKSVHFKDAMKDILCTRRSTFPDEVMFGPDFHQSFILSPIMRVDVLMRVLVHAFRCGVLSKRITAPSIRQAVSKILKPNPELAFLDNENFRSLHSTQPGSMEPYLKKLRHYAVHVPLMSADYGASEGWAGSNINPTMAPEERNQKGEEIENNSSIHYIESDPVDLTEVEVGKIYEVVMTNFAGLYRYRLEDINTEKDLQLAVKEAEKLLASEKLEVVDFTSLVDRSSDPGHYVIFWELSSNASDDVLSSCANSMDLAFVDAGYVGSRKIKTIGALELRILQKGTFGQVMDHYLSLGGAVSQFKTPRFMSQSNSKVLQVLSRNVTRSYFSTAYGL >Et_1B_014417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8022046:8028016:-1 gene:Et_1B_014417 transcript:Et_1B_014417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSRAESVIHSSLGAAVAVATAVVVLVLAPLAHAEIRTTPVVSDPRPIILFEQFGFARGGKATLSISRATWRLRPDSRLTTVDSSLMGFVLVSGAQFPKINNETEYADADPSGRGGGFCDTLTIVCGSMHAPYSELLEATPTVRLNTGLTRTPPSFVSGDVIGLSRPETPVMTTVYAGDIMF >Et_6A_047145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25977725:25978863:-1 gene:Et_6A_047145 transcript:Et_6A_047145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSTWSEIIDHERACVIPGDKGYAYDTEHATIYVNSIFRLVKVELGGVEWPLQPLDEAQVRLVRRQMQEAYEHRLSRQKVNLTWKLAFKNQPRLPIHTGSRIVDATGNPLEIILVDAETMN >Et_1B_010917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15446247:15457185:1 gene:Et_1B_010917 transcript:Et_1B_010917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKSASRILGSAYSVPAAPAPDATLPFEHPTPPPTPSLAPCLAHESCAGGSVGTSATASVEPCDLNRSPWDLIADLSLSDPQVEDELVDKYFVSVTCRASWLTASMPVSSVKKEKVKQSEKQAVGDGKPRHEAPKKAPKKLAVSKEKAKETEPKKKVKEEEDQEMSPRTYMCKKNDGKRWHCRRPVNRPNSLCDYHLYQKRPHLNPEFASIVAAEAEAEIKVQQPAAVSKPATSSKPATSSKPRKKKPSHDFGATEGFYYYAGFGPFRSKRPCRSSGMNEPVPLQEQQEEEDEPAEDASHTNKQAPSAAADYKAAARDDGSSCDDIAGIAGGDEESSDDDYGLGISGRNTNGNGEHRDGKRKNGWKRWRKPVKARSLKSLM >Et_3A_026685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1465863:1467454:-1 gene:Et_3A_026685 transcript:Et_3A_026685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASADHSVSGRDAPARRRRRGFHLRRRRSPTPTSPDATSQELNPVSSAASVSQQLPFLPYPDTATVSSAVIVVPLPIFRGDPGECPDAHLGRFDRACRANDDATSATAARIFPASLDADAAVWYDLTTAGAVEDSSSSPPPWDAVRAAFLDFFRPPGAVDRARAEIRDLRQRPGEAVCRYHLRMQGILRRFPDRGDGADIPDAFLKDAFVGGLDGEFQDWVVPQRPATLDEAVSLAVTWERAESLRAARRAAKQACGGGGGEKCAFCGVEGHEEAKCEARSGMRELWRRSSSSARRGVMAANEDGEEAGGSMTLARLGSTRSAQYRCRKHRDSKKAAAEGEVAGGGGEGNGAAAAGQHLDSSEDTDRSDCEFTMTIWFSISILTKKMARVYYGIAGTMKFGIVN >Et_4A_032991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16587981:16589602:1 gene:Et_4A_032991 transcript:Et_4A_032991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQLLLQAFIICIVAYALVDIPKRILGPKVRHPPGPWKLPVIGSMHHLVNVLPHRALRDLARVHGPPMMLQLGETPLVVVSSREMARQVLKTHDANFATRPKLLSGEIVLYGWADILFSPSGDYWRKLRQLCATEVLSLKRVLTFRPIREQLMTCQVEKIRAAGPSTPVDLSALFYNLAISIVSRATFGDKQWNAEEFLKVIKVGVPWPVGLKSLTSSLRIDPCLPR >Et_1B_012369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31191700:31193155:-1 gene:Et_1B_012369 transcript:Et_1B_012369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTASLAAFTNPFPSASLTPRCWCPSERMKIRWAGHRRESALKAICRLSRRTAVSEMVALGAVASCIDLLALAMPAQAAKQEPDVIRYRKLDSGVKLEDVVEGEGPEAREGDLVEFNYVCRRANGYFVHSTVDQFSGESKPVTLPLGGKEMIRGLKDVLIGMKVGGKRRALIPPEVGYVDETLKPIPEEFGPRRSLLSHAKEPLVFEVQLLKVL >Et_4A_031771.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:12958684:12959211:1 gene:Et_4A_031771 transcript:Et_4A_031771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NSTFHFFKGLRNSPHGGRLAGAAVAVRVNAPRVAGSLATFWGLWSVFESALLVARGRKEDRWNSIAAAAAAAASGLGDIRRGAGFAARSSIITGALFALLEGASIFVNNRILVSPPAGPSYEGPDGAAPVGHRSKPPRGFLGIPPGPPIVVEEFPAADLEWHRRSQTRGGQRTNA >Et_10B_002729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10010418:10012799:-1 gene:Et_10B_002729 transcript:Et_10B_002729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YNCNQHQQKHQLDYCPVLKTTLHVRSIDDRHGPRSSRERRVGDRSCAPEREHRSPSAARSHSLCGRRRSPSPSRCSSSPATCGAPVAPPQQVVGLRQEDIDAIRVYAYRRKAGAVAEQCAPETTDDIQNRR >Et_2A_016952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29820561:29824345:-1 gene:Et_2A_016952 transcript:Et_2A_016952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YLVKLDAGISLQTFSPGNSDPQILLGQARAEMEPSSQPQAAMGVAAGGSQAYPASAYPPSATIAAAPAVAPAGSQPHQLVYQQAQQFHQQLQQQQQRQLQQFWAERLADIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFAKACEIFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIVPRDDMKEEGVGLPRAGMPPIGAPSDAYPYYYMPPQQMPGAGMVYGGQQGHPVTYMWPEPQEQHEQQAAEEQRSLHESG >Et_9A_062984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7867541:7868982:-1 gene:Et_9A_062984 transcript:Et_9A_062984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPIRLPSSMPIMAADLTTPNWVGTPVMGSANLYTEPLFDWVLRDTLQVELVLAFKEAITLALTSKKVTAKYNHRILNGIPMVAWPLYAEQRIIAAMLEGQLGVATRVTLGDGGLVCKEEVARAIECAMENWD >Et_3A_025188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27759749:27761896:1 gene:Et_3A_025188 transcript:Et_3A_025188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPPLPPLLSPARHSAASYPLLAAAASTTASLSLSPQCRRRHGALCRSGPNGRPLRRRRWGVAASVEQEESGSTETSFAPNAEAPSAEQEEASPEDLENIREVKRVLELLQKNRDMTFGEVKLTIMIEDPRDIERKRTLGIEDPDEITRDDLADALVEVNEGRIPENRVALQLLAKEMAEWPDLEVEAPKGKGKPGKSVYAKATDTGIDPEIAAKRLNIDWDSAADIDGEEEEEDETEVPSAVGYGALYLLTAFPVIIGISVVLILFYNSLQ >Et_7B_053380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14433667:14435850:-1 gene:Et_7B_053380 transcript:Et_7B_053380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAETLARSPSRDPSSDPPPRDASSEPPRGGTGDSSSRRRRRSRWEQSNDESAANSGGEGGAGGRKRKSRWAEEEPRPAIALPDFMKDFAAEMDPEVHALNARLLEISRLLQSGLPLDDRPEGARSPSPEPVYDNLGIRINTREYRARERLNRERQEIISQLIRRNPAFKPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGKLLQKRDLKPDPSENEDLHVLVEAETQEALDAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRTCGEPGHRQYACPNKGSTFKSDVQCKICGDGGHPTIDCPVKGNAGKKMDDEYQNFLAELGGGSAPESMNKSSGPMLALTGSGGSGGGTAGLGSNPPWSSGAGGVATGANGIKKEFDETNLYIGYLPPTMDDDGLVSLFSHFGEIVMAKVIKDRNTGQSKGYGFVKYQDVSQANAAIAAMNGHHLEGRTIAVRVAGKPPQPTVPSGPPPPASLYPPASGGYPPQPYMGAPPPPPPGSYAPVPWGQPPPYASYPPPPPGSSMYNPPPPVPGQTAPPPYGLQYPPPPPPAAAAPTPPPGTAPSSDGAQNYPPGVTPPSSGAPTQPVPAPVYASSGTPNVPPMYPPQPYSYAPYYHPVQPPPPPPPASVDASQSIATAPWATHNAPPPPSTSASDQHTYSADAEYDKFMSEMK >Et_6A_046871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21809947:21812893:1 gene:Et_6A_046871 transcript:Et_6A_046871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPSIPGLKRFSYSELKEATQGFSSEWVLGRGGFGEVYKGKLHDGKVVAIKNVYMPIMHSTKHIVDELILNSRLQHKNIVRLLGYAFDVQKTIKELNGKCVVEDVQRLLLVHEFMPHGSLANHMGGDVDWRTRFNIIQGIAEGIQYLHENMVIHSDLKPDNILMDSDMTPKISDFGLSTMFKEGMENSRIFTINIGGTLGYIPPEYLDRGIVSFKNDIFAFGVLLLDIVSGRGRGASVGWAWNVREAGRLKAEFNSSLLDESQLKEIERCVTIGLLCSQANSEHRPIMADVLKMIHGNKKLPNPKKPSYI >Et_1A_005497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10914942:10918151:1 gene:Et_1A_005497 transcript:Et_1A_005497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLNLSGDVPSNDLRMENKDRLTDHLGKLWDEWEIQVLVLVSFALQAFSSEDPCCFEQVAVASLPVRRLPGDICPWPSDSPHQWAGPRHGLTLFWAPFMLLHLGGQETITAFSMEDNALWKRHLLSLVTQDQVGLAAYVVGKQWQGDNRQATLWLPWCLCSSLAPSSTPGGHQHSCLLPNHYLTVAAVPLISGYERGWNLPRANNECFLSVVPEPGLADGNKTMHGIDKPSAQAIQSNENRVHDCYKTVEVQLSFVYDYFYTKLGARFLHEDTLNGRCLRFLTKGSTFTALVVFACKADHFGHQQHYSRADVAVSYILLIGAVILEMISVFIVTSSYCVYGEKAREEIAYPDMVYLCPRLRAATLFNIFKPQWSHKLAQYNMVDGAFNAAQSSCAGRVMRRIGIKSDTMRVALSAELKKLLLDKLLEFAPADATWDPASKFTGHWARSELRRQSSSGPMLEALLTTKSNLDPSGFMSTHGVMSGSEGDVLHCAAQTLLASYYEYTGSPEEKHHLLVKGIRYSESKTPVTVRQWRGHDNAIAAACVFIDALQLSEELNIKEAGDRWKIIMNIWMEVLCYMAAHTAPAEFHTKHLSEGGEFITHVKALMFNLGLATPLD >Et_4B_039883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5070394:5072879:1 gene:Et_4B_039883 transcript:Et_4B_039883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSVGTEVQIFGSSMGASTHPAVSDSHLDSVSKMVKICCLGAGYVGGPTMAVIALKCPDVEVCVVDISVARITAWNSDQLPIYEPGLDDVVKQCRGRNLFFSNDIEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVAKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGQKAVKALKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVAEVAYAVGKDSRIGPRFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETAAIDVCKGLLGDKAKISIYDPQVTEDQIQRDLAMNKFDWDHPIHLQPMSPTAVKEVSVTWDAYEATKGAHGICILTEWDEFKTLDYKKIYDSMQKPAFLFDGRNVIDPEKMRDIGFIVYSIGKPLDPWLKDMPAVA >Et_3A_025827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33134927:33137040:-1 gene:Et_3A_025827 transcript:Et_3A_025827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRAALVVAAASAMLFVLLVAAPCAAAQTTVVDPDSWAADRGGAACTGTVEECVAAAASARRKLGWGGYISYDAMSRGRVPCSIRGASYYNCRPGAPANPYSRGCSAITRRRRAVLAVAIAVVLVVVATPLAQATVVDPDSRVWNYGGTACTGTVEECIAGASGRRELATGSGSISYNALQKDRTPCSISGAPYSNCSPGGAANPYNRGCSAIARCRG >Et_4A_035623.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31374037:31377591:1 gene:Et_4A_035623 transcript:Et_4A_035623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVRAALSLEDDDDAVTSSSLSSGASSPPLSPSPPPSAPSSPQRERAAIIGAPRIAAQLSSSVEDDSSFDDSSSYGEDGELAEEVSNGIFFSVARVPPPPPPEDPSSVPGDVPVGGASASKSWNLGAADRSLEDSFVSTQSIFQSFDVAGLRSELEGGNGVIGNDKSTGFEDSLDGSFLSSRSIIEASDDSEAAVSGHSGDFVSVSDGSVLMDDKQGDQDVGSEAVNTVVPQSVMADAGVVDGGDMHIVDSVEGKDAAAEHQFVELPPVVDVADEEVSSESHNAESDANESTPKHDDVTGVEDASPEYVATGAGTPEFVEFCDNVNGQHSVADGGRHKVDEETNFDPEASDDPAFTPTSVSGDTGEFSGQEWEDDLPVSKGARFGLDDSDGVEIDGDDEGEVDGKEFEHFDYAALAELLRAANISHGQGKSKVFPFESSEPRHLPPTEVSIPQTDMKSNAVLEAAADPENGMTDDEKKLYRKVCAARIKYLRLIHRLGYDTDHRVPVQVLYRLDVAEGFKRISIANHSSELENAWKRASQLESEGIEDLEFSCNILVLGKTGVGKSATINSIFGEDKSTTNAFLPATKSVKEITGVVDGVKFRVIDTPGLGTSAIDQKSNKKVLNSVKKYLKRCPPDIILYVDRIDTQREDTNSLPLLRGITSVLGLSIWSKTIITLTHSAAALPEGPSGSAMNYEMVVTHRTNSIQQSIRQATNDPRIENPVALVENHHLCRRNMEGEKVLPNGLIWRRLLLLLSYSLKMVAEIDSFSTRRAPSASIFDRYLRVPPLPYFLSSLIQSREHPRLANDQNLESAISDLDLDELLNGGQEDEEDDYDQLPPFKPLSKSELAKLSKEQQELYFDEYDYRTKLLQKKQLKEQLRRKKEMKKREDDNNDVSVDDGPDDEYDTDRSPMPDWTLPSSFDSDDPAYRYRCLEPSPNLLVRAVPNPDGWDHDCGFDGVSIQHSLDVVDKFPTSVWVQVNKDKEEFTIHLDSSMSAKHGEYGSTLAGFDIQTIMDQLAYTLRGETKFKNFKKNVTTGGLSMTFLGNTMVTGAKFEDKLSVGNRLTLAANSAAVSMRGDTAYGVNVEATVREKSYPIGQGLATLGASLVSWHKEWTMAANLDSQFSVGRTSNMAVHVDVNNKLTGRVSIKASTSEQLKIALFGVCSATMYLWNKMHPGSDPDS >Et_2A_015955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19710494:19713121:1 gene:Et_2A_015955 transcript:Et_2A_015955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPRQVLAGFLTLSMFVMLGNMIKHDHFSGTEMGLEATGVEFNSVKVVDNAEMTDADRVGVDHLMEADEELKQCWANPRPSKQCHILILHLNPSLKKLVTDTNEVQPSKGFVTFSLTIGPEYHISQCPCIFCLPKLVEHVLTKFHFQITAAVVIARYLGAALVLPEVRGLELGNKRKFEEMYDVNKFMRSLDGVVKVIHELPDEVSSRKPAVIRVPDQVTEDFVSETIQPIFQKNNYLRLAVVFSSVSFKPKETNNRDLDSTACLAMFSSLQLKPEYSEVSKQMLDRLKESSKESEGVVLAIDMRKDMLGKKSCKTSGGLRRKGCYYPHEVLGFLRKVGFSANTTIYLTETRWHKGLNALKEAFPHTYTKATENKGEFLKSSNADLARALDLEICSQSDVFVPAIAGLFYGHVTGKRIPSGRTQILVPAPGSSASARDFISTYVSKKSHLAYKCYC >Et_3A_024487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21268773:21276194:1 gene:Et_3A_024487 transcript:Et_3A_024487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVKGLSLLLLLVLLALCSTIDKRQALEVKELAKLLPVKEERQRKEGELTPAIWQQPAEPQATGQLDTESWCWQRISESIPTKPKSPNPANGSRHSSPKPPAPSCGKGHQLPSPPPPAVSQGAVFNVVDFGAKGDGVSDDTKAFEGAWAAACKQGASTVLVPPELEFLVGPISFSGPYCKPNIIFQLEGTILAPTNAKAWGSGLLQWLEFTKLNGIVIQGSGIINGRGQEWWTYSEPDYEGDDDTQYNVELGRMPQIKPTALRFYGSFNVLVSGITIVNSSQCHLKFDNCQQVMVHDITISSPENSLNTDGIHLQNSKDVSIHHANMACGDDCISIQTGCSNINIHNVNCGPGHGISIGGLGRDNTKACVSNVTIRDINMFRTMTGVRIKTWQGGVGLVQDIRFSNIQVSEVRTPIMIDQFYCDKNTCRNQTSAVAISGVQYENIRGTFTIKPVHFACSDSLPCSGISLTGVQLRPVQIPQCHLNNPFCWQAFGELYTPTIPPIACLQIGKPAGNNLQTYHDICSIIKNETREPGEQWSPT >Et_5B_045040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8838382:8851333:1 gene:Et_5B_045040 transcript:Et_5B_045040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRARAAAFVEHFDAVHQRRGRSFVLDENVVLGGDLGWDDGPLRLPEGWVDAWRELRGGDDAAAAGGWTYDAVANKMLRGIKKPERRRADRFVCKLRDLTVDRVEMVGVEPIPGVTRFDDMGNALPVKFLTYNVWSCEHVAVYRRIQSICDIIERHGPDVVCLQLCKEEHEMFPGIPKHCIPDMTAAPRGLITATVTFRDDEAAGGYRSVRVSTCRLVSPTPTDVRSMDRGTKASLFLDTLGKCWEWNSMFGGEMNWDDDLDGPFPTEGRAGWVDAWWTLHPGAERNDACGGWTYDTVVNPMLGGRKWERKRSDRILCRLTDFTFGQHRDGRLGTDPRSQVLRRRRQDGSASPPQPPLRAAAHNVPEEKRRFYSDDEKMAIYAELLARTDPPVLHHGVSREVAAKFDKIWQKGQVGGIEALKNQLANNVGRKRIDIPLDAIKAIDLKDRRTLQDLANALGVKRSTLRRCFKKGATRFDEGNTLPVLPSQHFGLGHGNQLKFMTYNVWSREDMVLYSRMKAIGRLVEEHQPDVVFFQEVTPYILNIFESFSWWKDYQCSPVTPEELATKQHFCLLLSKLPLANFARWKFPNSPTGRGYLEADIYPEPMGVKPIHIATTQLEPPSPPSPIHCMERYLQAEHAVAAMSCAVNVVFGGDMSWDDRTDLPFPLPVGWVDAWNHISPRPKTPSSGADYGTTCDGHWVEQIGFAAGGCICPRKRSDRFVCKLPDYELSDIELIGSHHHQDAIGVQYYSVSRREHLQFMPSCHCGLILTIVPVS >Et_8A_057050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20559304:20563264:1 gene:Et_8A_057050 transcript:Et_8A_057050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRLLLAGLLLRSTTAASPIPPTPKNVCYWGSLVWSSCYFHSASDQTSRAGSRAKELPEPSAWVKNQVFHVTNRLRSMVVAEVPELTSAAGYFFRAGAEGKRTCPTVLLLMASAIGMDMTGPIIGLENETCATPMRVAEITEMIHISSLIHDDVLDNADTRRGMDSLNFAMGKKLAVLAGDFLLFRAFSAASSLDNTEVVSLLATAVNNLVTGELMQMSITSAQRCSMDYYLQKTYYKTAALISNSCKAIAVLAGQTTEVQALAYQYGKHLGTVTAPILFAMEEYPQLREIVEQGFDNPSDVDMVLEYLSKSQGIERTRLLAAEHAKQAADAIDALSESKDQVVLSSRQALKDLTQKFMRRTK >Et_3B_028438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15408652:15412601:-1 gene:Et_3B_028438 transcript:Et_3B_028438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLLRLLVSVISSLSYLLLWLIGHVKAVLFFRRRSGRKPRHLIPDFAALEWEITLRLMYCLLTVVTLLLLPRAIRVADFYLHRLNQLPGAIRAAAFSLHRRVNQLPRANIRAAASYIRRVNLLPLDAAFRAAAVYLGRVNLNLRLSWCYLKEQAVLLLVPELRVAVADDDDPARTQLPENLPRRLSFQELEAATGGFSDRNRLGNGSFGVVYRGVLSNGNVIAVKRLINLSVAGSTQDEQWQFENEARLLQLGHGNIVQLVGYCCEGEHRILCYEYMARGSLDGFLFGKCLILDWAARYKIIKGICRGLRYLHEECKLDQNMLHLDIKPSNILLDDAMNPKISDFGLSRLFDRGSTHTISNVIAPIGYSAPEYFMNGKVSEKTDIFSFGILLLEIATDRHNLAFQRTPLNDEFINYADTLANKRGRPPAYVKDDCLVQFRKCINIGLMCASIRPNDRPSAGELMNMLNTS >Et_4A_034721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5828117:5828727:1 gene:Et_4A_034721 transcript:Et_4A_034721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRPLLRPTHRSPRRSSAACYLQLLTLAALLASAAAKSSRRPITDIEIRQKKDACYTDVENGLWGWTCRSSPTEKENCVLRCLSPECYDLIYGGDPLEEGELDYVRRQEYKYCMHK >Et_4A_033303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21222662:21228798:1 gene:Et_4A_033303 transcript:Et_4A_033303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQIAPAPEHVCYVHCNFCNTILAVSVPGNNMLNIVTVRCGHCASLLSVNLRGLIQSLPVQNHLQESFKVHNISFTENCSEYAPSPSKYRTQTMFPTKHYQDHMLHVRAPEKRQRIPSAYNRFIKEEIRRIKASNPDISHREAFSTAAKNWAHFPNIHFGLGPQESSKKLDEAIGAAGRPQKVQGLF >Et_5B_044393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23773113:23778757:1 gene:Et_5B_044393 transcript:Et_5B_044393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARSLTLASAIAFLLAFSSRSPSSLAVGDGEQEADRVAHLPGQPGSPSVSQFAGYVTVDERHGRALFYWFFEAQAAPEEKPLLLFLTGGPGCSSIGYGAASELGPLRVASQGAALDFNQYAWNKEANLLFLESPAGVGFSYTNTSSDLNNLNDGFVAKDSYSFLLNWLERFPQYKNRDFYIAGDSYAGHYVPQLAELVYERNKEKMGKIYVNLKGFIVGNPATNYYYDSKGFAEYAWSHAVVSDEVYERIKKYCDFKNSSWSDDCNAAMNTIFSQYQEINIYDIYAPKCLLNQTSTSSTKRAFFADSQEPFSRKMRMFSGYDPCYVSYVEEYFNKEEVQRAFHANVSGLLPGKWHVCSDPISKSYNISVFSVLPIYSKLIKAGLRVWLYSGDADGRVPVIGSRYCVEALGLPIKTQWQPWYLDKQVAGRFVEYHGMSMVTVRGAAHLVPLNKPAEGLMLINTFLRGEQLPTRR >Et_3A_025659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31747058:31748242:1 gene:Et_3A_025659 transcript:Et_3A_025659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATLQVRCTMQQQAGNAAATAAVSEARPTSKKRAGSSAAAVAGMWRQVQGSDDWDGLLQPLHPVVRDEVARYGKLVDACYDALDMDPSSARHMCCKHGRESVLDGAGEAGRGYEATRYIYATPDVGGAAGGRSSWVGYVAVESGFLNLYTSSAGKQQASGGMGSCRDQLLREVSRLITSSSKDQPGTDMSVTLAGHSMGSALATLLGYDLAERGLLNRGASSGRRVPVTVFSFGGPRVGNAAFKARCDELGVKALRVANVRDPVTMVPGALINERTRGLFGAAWAGDCYTHVGVELTLDFLRLGDLAGVHDLGAYVASLQAEPSYSRRRLVPGGFFAQA >Et_1A_004545.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14792051:14792368:-1 gene:Et_1A_004545 transcript:Et_1A_004545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLEEIIHTKMDNIKMASDTPLFLTKVCELFIQELSFLAWKCAKSHDRCDIILESDITEAVAFNESYSFLNDVLLKNGEPSMTSMGEASTSNVTPQLFEVLKLT >Et_1A_008737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11010087:11014853:1 gene:Et_1A_008737 transcript:Et_1A_008737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTRSSLPSNSIINPKEYQRVIRPWEDSVSRLYDDEVNEGGSSWRDLGPDGLPIAVDWRKEGAVSQVTNQGPTGSCWAHCARASIESLNYIVTGEPTLLSTQQLIDCNCKANDGIQIGSVRGAFDYVIRNGGIVEESKYPSVGGQNLCKRLVGILDGPNYQLYQLNHFILMVAYDSELGIDYWVAKNSWGDSYGENGYIRLRRGTGGAFGITRHASETVLGLRVDELKKARVVIGLARKRVSKCASALVHVNAAPKVACQHQFVPRCTALQVLARPAGWHEVTASDPPEPAATVSLHSILPDDLERALGVPDFSHLLCAWGVQRDVVRFQLRRIP >Et_1B_012852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35562292:35563155:-1 gene:Et_1B_012852 transcript:Et_1B_012852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKARWLLAVVAVVSAMAAAAEAAGTASTVVAGMVFCDQCKDGARGLFDYPLYVGLIHHPTGEIGAGARVAIQCGGGDTPLTVRESNTNWFGGFSIRMEGSPDMNRCTARVVQGTGHCGAASSGAPRELTLAFRMLGLALYTVPPLLSQPEEAMDFCPNPAGSSSSSSSSSSPPMAPMSAPPLPPFWRRRPRRLPPIWRRPLPQEQPMPAEPRQQASPPPPPPAPAQGSACSYE >Et_2B_019229.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18774691:18775080:-1 gene:Et_2B_019229 transcript:Et_2B_019229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSYSGGGSSSGPEVPVHLCFFLLVLFVFLGFSWYTSYESAAESFANQARVLLLASPLALLLAVKLLSATGDGQRRVDELLALPMPERDSIHRAGGSPWGVGLLLMLLLVMVSYQSNFREKWFPLATR >Et_5A_041307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2121085:2124206:1 gene:Et_5A_041307 transcript:Et_5A_041307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGITPADGAAYWLRWQVFVCGALILLPGAVAAALLPRLRRAAPPLRATDLWVPCWARLHPGWLLGYRAFALAAAVALLVRLLIMKSFSVFYFYTQWTFLLVTIYFAFATAISAHGCWVYSKKSLRKADESHGFLNGDVENRDISTPISGERNKDEPNKMVSYYEQIVNEKRAGFWGRCMQIIYQTSAGATMLTDITFWGLLVPLFYRDKFGLALVTDGMHSLNAVFLIIDTVLNNMPFPWYRMAFFVFWSCTYVTFQWVLHACAGLTWWPYPFLDLSSSGAPLWYLAMAIAHIPCFFLYWAIVKAKHAYFPRMFPHAYARTS >Et_3B_030882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8216437:8218120:-1 gene:Et_3B_030882 transcript:Et_3B_030882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAGKKKLPLLSLIISCLVVLLLLLQPCAAARPAPETTTGATIDGSRSQHLNLRGGSLLRGPESVAFDGDGAGPYSGVSDGRVLKWNGDARGWSTYAYSPGYNPNACTASRRRPAEVTESKCGRPLGLRFHYNSGNLYIADAYKGLMRVGPGGGQAKVLVAKADGVPLRFTNGVDVDQVTGEVFFTDSSTRYRRSQHERVTATGDSTGRLMRYDPRRNAVTVLQSGITYPNGLALSADRTHLVVALTGPCKLMRHWVKGPNVGKSEPLADLPGYPDNVRADGRGGYWVALHREKNELPFGPDNHMLAVRIDKDGRIVQVMRGPKSVRPTEVVERKDGKLYLGSVELPYVEVADRWGMRAKPRFSSQLQPSSCSLISTAKSDQYQGSDRQKQMRNK >Et_6A_046393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14222824:14224351:1 gene:Et_6A_046393 transcript:Et_6A_046393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNEDTFKLFYELVGAQSKKAPTFRDELKWFQGEGKDRVDDDWLRRWLIFVISALLYPNAGEKLTVKGYHSVHDLTRIKGFDWCNLVIKGLVKGAKKGKKGKAASGCLLFLSILYLDSLKTGVSMNNRPTRRIAFWDKELVDKVIKMDRNKSNGKFGVLKLKENVQSFGQVLIGPQKVLEFATSSVPPQTSREIRQIISDALTSLNTRINVGASQLVTDILATGNPPQTDAILIEPDCIHEATGNPPQDASAELVHVVSDAIQKFISTINQGAASFVQEVVVGRAQVNQWEQ >Et_2A_014901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21068537:21069559:1 gene:Et_2A_014901 transcript:Et_2A_014901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVIVVLIAAFFFLGFFSIYIRHCYGDGPGSSTTPFPNGAGGAAARSRRQRGLDAAVLETFPTMAYADVKEHKAVKGALECAVCISEFDDDETLRLLPKCSHVFHQDCIDTWLASHVTCPVCRANLVPDPDAPAADVDAQPEVPGSAASEQGAVIIDVEETEEERIIREEAAELIRIGSVKRALRSKSGRAPAARFPRSHSTGHSLAASAAAGAGSERFTLRLPDHVLREIVAGSTRRGARGAAGAGEGSNRGGRSVRLGQSGRWPSFLSRTFSARLPTWGSRSTRRVDNNDGSSKGGKVAGTGGKSADCDDQACGLGQRV >Et_3A_025607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31148813:31151472:-1 gene:Et_3A_025607 transcript:Et_3A_025607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPHLLPPQLSPSPLLSSHFSPPAPGGSPWRRRLRGRTFYPPLSSLREPDKATLRKASPNVPFRLGGGGGSGSPKDRRPSADDEEAEEEGGASGSGALTGTLLAGALLVGVVGGFGAAGYVYKDQINSFLTQFSELIDGYGPAGYALFVLVYAGLEILAIPAIPLTMSAGLLFGSVTGTIIVSISGTLAAAVAFLIARYFARERILKMVEGNKKFLAIDKAIGENGFKIVTLLRLSPLLPFSLGNYLYGLTSVKFLPYVLGSWLGMLPGSWAYVSAGAFGRAIIQDESEIGLGGNGQLWTLGLGVLFTAVAAAYVTRLAQDAVKDIDE >Et_2B_021875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6351370:6361881:1 gene:Et_2B_021875 transcript:Et_2B_021875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEVPACRHAFHEACIRSACGRGRRTTARCAGRGWCRGRLWAGWRLPIDDMHVLGLTCFFCILPEPEERDETASAARPARETAIPSEEQAARPVQVQVPYFPYAAAQGRASETAARCRRAVTRSTGTASTRGRRARVPALQGDDCARIRRGAGCRRRHDGGEELRSPVVLAQFPYAQASRPPEPTPVCAICLDELQQGQMCSEVPACRHIFHEACIRVWTRKRNNCPLCRVRIVPGMTAGGVAAAHDMT >Et_5B_043816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16453352:16454871:1 gene:Et_5B_043816 transcript:Et_5B_043816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAVQEAIDGEMLRLRHVLVFPLPFQGHINPMLLLVDVLHAHSLAITVLHTHFNALDPALHPAFDFVSVPDGIPADVAATKSGNIIDIILAMNEAMEASSVDRHRRGPAVGDGGRGAAAPSVPGNLLAVQKAAARLGLPSWCCAPRALPGWDASFTTPCSTKRDICHAKYGVKGCKLL >Et_2B_021805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5641069:5645076:-1 gene:Et_2B_021805 transcript:Et_2B_021805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCAAIPFSPATAATSLPHLLHAATSFPPPLAYRSHRRRLCAGLRAPPRAVFSDGDAFWEEPDDGSGSDYEDEEDEAAEQRTISHLPSSSPFSRLEAAQQQEQELRREIELLLTLEERAILDQNETADPKWHPLHSYALALQIPLMDRLLDSGVDINLLDKDGFTPLHKAIIGKKEAVISHLLRKGANPHVRDRDGATPLHYAVQAGALQTVKLLIKYKVDVNVADNDGWTPLHIAIQSRNRDIAKVLLVNGADRSRRTKDGRTALDLSLCFGRDFKSYDLAKLVKVWNYSTITKIIQTNKGKTKTTRNHLVPELHADHERVGEQGREPDEQPAEPAPHIRKADPWRRRCCHLAVTLVRREVPWVVLR >Et_10B_002749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10498862:10502729:-1 gene:Et_10B_002749 transcript:Et_10B_002749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLAGRHRKGWCHGGEGAATWEVSELQGAAVLAVGVLNIEARQCTLQTSFFSGALVPKLGEKPRLLPASSAVTRATYADSRFLAPQNCTRGRCKHLAPSYSLHSQNSSEQLNHVSSSRFRHKRGSRFIVRAEADFYSVLGVSRNASKSEIKSAYRKLARSYHPDVNKDPGAEQKFKDISNAYEVLSDDEKRAIYDKYGEAGLKGAGMGSGDYSNPFDLFESLFEGFGGMGGMGGMGGRAARNRPTQGDDEAYNLVLNFKEAVFGVEKEIEITRLEGCSTCDGSGAKPGTKPTTCKTCGGQGQVVSSTRTPLGIFQQVSTCNTCGGTGEFSTPCNTCGGDGRVRKTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGPPGDLYVFIDVLSDPVLKRDGTNILYTCKVSYIDAILGTTVKVPTVDGQVDLKIPSGTQPGTTLVMSKKGVPLLGKSNARGDQLVRVQVEIPKRLSSDERKLVEELANLNKAQTANSR >Et_10A_000008.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:12763207:12763497:-1 gene:Et_10A_000008 transcript:Et_10A_000008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVILETDAANLGKAITSDAMDQSHLGGLFRKIRDLMISSFNSVVVSVCPRVCNKVADAFAARGVGALPDGEHVFWCQAPSFITELISGDLPDARG >Et_7A_050973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13089687:13091142:1 gene:Et_7A_050973 transcript:Et_7A_050973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTELLTKFLTLLFGYAMPALECFKSIEQRPGRADQLRFWCEYWIILVILVMFDEIAGALISKIPMYYELKLAFLVYLWYPKTRGTDIVYETFLQPLVMQYQPSIEARLQYLRANAGDILVFYLKNFTERGYDLFIRALDYVRSQASRGSRTRSFFSFRGDRTERPSFVEDYGVGGDRRDAARHRRPRGGY >Et_1A_006607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25214908:25216589:1 gene:Et_1A_006607 transcript:Et_1A_006607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFQRALSEPMCLEETAVQQGIERCPFLRNINEPTSFSFSSANFPVRGAKGPIFEDGPNFDTAFRVFHGRDGVVPLSEGSLAKIEKPLPKPNPEFNPLAAKAATISLSAFGGFFSFGDFSNKRNKKNSNKKNPNNLPQNKGQSNNHEALSNEWLENGQCPLAKSYRALGGIVPLVAKMMKPPAGMQLKCPPAVVAARAALSRTAFAKGLRPQPLPTKILVIALLGMAANVPLGIWREHTQKFSVQWFAAVHAAVPFIGMLRKSVLMPKTAMALTIAASILGQTIGSRAERIRLKRAAAARSTAEGHVDTADCIKAPMTLKTGNYNVVQFWDPLGLKVKSTIATGSSPVLVPAVGAFN >Et_5B_045598.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5183685:5185463:-1 gene:Et_5B_045598 transcript:Et_5B_045598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLVSLQASEQQQPQQQQSPSYNSRSTTSSGSRSSSHQTNASYNYYYHSNSSSGGGEQYSSYYGQQHHQQQQPYYLEPYQEECGNTHHLYMDEDFSSSSSSRHFHHSHGGGGAPPTSSTATPATAPTPPLSTTSSTAAGAAPAHALFEAADLSYPPDLNLDFSSPASSSGGGASSAAAVGGGGGGRWGSQLLMECARAVAARDSQRVQQLMWMLNELASPYGDLEQKLASYFLQGLFARLTASGPRTLRTLVSASDRNTSFDSTRRTALRFQELSPWSSFGHVAANGAILESFLEAAASSPSEPQRFHILDLSNTFCTQWPTLLEALATRSADDTPHLSLTTVVSSASAPAQRVMREIGQRMEKFARLMGVPFTFRAVHHAGDLADLDLDALRGAGDTTTALAVNCVNSLRGVAPAGPGARRRDAFAAALRRLDPRVVTVVEEEADLVAEEDGGDSSEAAFLKVFGEGLRFFSAYMDSLEESFPKTSNERLALERGAGRAIVDLVSCPASESMERRETAASWARRMRAAGFSPVAFSEDVADDVRSLLRRYREGWSMREAGVDDSAAGSGVFLAWKEQPLVWASAWRP >Et_3A_025653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31562043:31564331:-1 gene:Et_3A_025653 transcript:Et_3A_025653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATRAACVLVAWALLAGGLSGLSAARAPVAKPQREFDYFALALQWPGTICPSTRHCCAKNGCCRSEPLQTFTIHGLWPDYDDGTWPSCCRHTSFDMDKILPLKEKLDKYWPSLYCSSSSTCFSGKGPFWAHEKHGTCSAPVVQDELQYFSMALDLYFKYNVTDMLSSGGIQISNGKEYALTDVIDAIKHAFGGSPQIVCKKGSIEELRLCFDKDLKPRDCLTTTATNERLLKKKSCPRYITLPTYDPLVLANSIGALFDDFEVSASLYTA >Et_4A_035603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30815896:30817884:1 gene:Et_4A_035603 transcript:Et_4A_035603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGGTADFFYREAQRLGYVARSAFKVSSLLSPRRLPRRWSGIPISSEPRVLPPQLIQIQKQHRIITPGAAVLDLGCAPGAWLQVACQNLGPLEKGGVVVGVDVKKVKVPSAHCDSRVRTFCADVMTLMKRQARAMSPQERGFAVILSDMCPPVSGITPKDEAISCELGMRALSLAIGKIKVKEGSGYSEILEKYQNSTEPDPDDNGVLRRGGSLVIKFLENEDVPGFGKFCKEKFKKVSLLRPKATRSSSREIYMICEGLR >Et_3A_023779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12098009:12098560:-1 gene:Et_3A_023779 transcript:Et_3A_023779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVLMGMIAEEAAEEVEVTEQQSEQENNGGAVVESAVEEGEKEEEEDVLQCSICLDELVVGSRERSTAMLRCGHQFHLDCIGSAFNAKGAMQCPYCRRIETGNWIYPTGPRAAPADDWSDEDVAEFPQPEVFGVRVQIL >Et_8B_059037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12767465:12768606:-1 gene:Et_8B_059037 transcript:Et_8B_059037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRISSCIQFERKERNSRFPSNLLDVRRFSIPRMFPHMSGTDPDSEFSCRTRLFSLDKFLRLLGIGPVISQLDLRCRYVSSRIRPNSVGITPLRSKYTSRGREPTLGGIEPDRLLFPSHLTHYHPVQVYECCACSLAAPPTKIGRYGPNEASVLEVELDERREITNVWAELSLEGRVWLSLEGACLALATITW >Et_7A_050395.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:12757836:12758075:-1 gene:Et_7A_050395 transcript:Et_7A_050395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFTGIQSNVVIEEVNKGLNPGMIVLLVVASFLLLFFVGNYALYVYAQKTLPPKKKKPVSKKKLKREKLKQGVSAPGE >Et_3A_024702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23368054:23371224:-1 gene:Et_3A_024702 transcript:Et_3A_024702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISQRVAGPCGPATATRRPGRRAAVIAAAAAKDARRARALEGVSEELRATAAQCLDWAPARRRVRAAFAPVLPTLDHCLFKMAPQGIQMEENYETNSKGVEIFWKSWLPREGIAPKAALFFCHGYGDTCTFFFEGIAKRIAAAGYAVYAMDYPCFGLSYGLHGYIASFDGLVDHVIEQYAQIRGMKGVCDLPHFLLGQSMGGAVALKVHLKQQKEWDGVLLVAPMCKISEDVTPPAPVLKALNILSCFLPEAKLFPQKDIGDLAFRDPDKRKIAEYNVISYADQTRLRTAMQLLKATKDIESQLDKISSPLLILHGAADMVTDPQVSKFLYEKASTKDKTLKLYEGSYHSILEGEPDDRISTAINDIISWLDSRC >Et_4A_031808.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:17504145:17504698:1 gene:Et_4A_031808 transcript:Et_4A_031808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVHKAKSSCTFESDMWSLGAVMYEVITGSPLIKGRDPAGMITCMRSLFGTLSNEASTSLEAADGPQADPKWATHGALIRRQFSPQCLEVLHGLLKLDPSERLSAADALEMDWFAGH >Et_6A_047797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16796117:16803294:1 gene:Et_6A_047797 transcript:Et_6A_047797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTVTPKDEERLVGLMARERPRSAVLAAGGDLVTVGGGGGGAEGSDADSSGSLEEISADDFKKESSAAAAAGGAGAGVGAMGTSAAAAPRSRAWVAPHMGYMSRSYAPAFHSFAWAQAVQKKPLVPASAAADEDEVEHAVDVSDEEKEEGEIEEGEALEMSSSPPRAQQPETIDLDSDAPEKSESAALEGSGAAAPAAAGEEEVDFDQRVGSILEELEMVSIEEAEKSFEGACTRLRTCFESLKPLFPEDGSPMPMLEPLVQQAFVAIDTITTVRPGDLSVWLLDSRVRHLVFEEKDNANGLNTNRGTNATVVAPSGQVSSGSLPFESGAANPFSGSSSLPRLEIPARNKISPLLDLHAAYDENSLPSPTRDNAPSFPVPSPIGFGAFPMAPEKPAKNSVYPSGNDPLKAVSSYQQKYGHKSAFPCDDLPSPTPSGDDSKSADKGSDIFGEVSSFSVPKKDALPSTSQPSTSQMPASRPSSMGSSACGPPGYSKQVEQLAAGPNPVLKATSKSRDPRLRFLNRDSAGATEEVQRKHKAVDEPMVDGNSLKRLRTGTGDPRDSLVPTGNLIPPQTTVSQGSAAPSISLPAVLKDIAGNPAMILHLLQMEQQKMSASGTQLNVAATGGMSSGMSSMATAGTVLPPGSAPKTTEAQIPSVRPPPLNSQNDAAGVIRMKPRDPRRILHNNIAKTNGTTQPDCQGSKDHLTNSEQQLEQHQTALLPSQLGALQSNMTRASLINSKTVDPVSNSQLAATAFMAPARQTSGSNRSDPRLMIGQNGPNPDAATNGASATTLENVQPVAQFGSVDHLLDGYDDQQRALIHKERARRMEEQVELFRNRKLCLVLDLDHTLLNSAKFGEVDPIHDEILRKKEEQDRSFQDRHLFRLQHMSMWTKLRPGIWNFLKKASKLFELHLYTMGNKLYATEMAKLLDHDKKLFAGRVISRGDDSVGEPFDSDDRVPKSKDLEGVLGMESAVVIIDDSIRVWPHNKHNLIVVERYMYFPCSRRQFGLAGPSLLEIDRDERPEDGTLASCLAVIERIHQNFFSYPNLNEADVRSILASEQRRILAGCRIVFSRIFPVDYVNPHLHPLWQTAEQFGAVCSLQIDDRVTHVVANSLGTDKVIRRV >Et_4A_033859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27485485:27485887:1 gene:Et_4A_033859 transcript:Et_4A_033859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLVRVSLSSLRFQRCQCPQVLGYTVVAPARCIDRLRGRRLPRPTLWEELAKFVPERFEGGRSEGLFMSPFGMGRRKCPRENEPMSRVKIALVLGTLIQCFHWDRVDGLEVDRPRLTMPKAVPLEA >Et_7A_052641.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:10177461:10178669:-1 gene:Et_7A_052641 transcript:Et_7A_052641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSAADAPPVSAIGFEGYEKRLEITFSEASVFADPHGRGLRALSRAQIDSVLDLARCTIVSELSNEDCDSYVLSESSLFIYPLKIVIKTCGTTKLLLTIPRILELAEELSMPLAAVKYSRGMFIFPSAQPAPHRSFSEEVAVLNRYFGGLKSGGNAYVIGDPAKPGQKWHIYYATQYPEQPMVTLEMCMTGLDKKKASVFFKTAADGHISCAKEMTKLSGISEIVPEMEICDFDFEPCGYSMNAIHGTASSNIHVTPEDGFSYASYEVMGFDATALAYGDLVKRVLRCFGPSEFSVAVTVFGGRGQAGTWGKKLDAAFYDCNNMVEQELPSGGLLIYQSFSAAEGIYQNASEDAVVGSPKSVFHCFEGENVENAVPIKDGKLANLLSWEDDAVEDGVLDE >Et_2B_021428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29659657:29664815:1 gene:Et_2B_021428 transcript:Et_2B_021428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding STREGRARRGEPRAAVRGPPARADHKRFRLQFPVKFAPWRRGYSSPSFRKRAVFSSLTIMASSSTSSSPHRKLLHSLVYSAVQRCRMSKSPCRLTVSLKRPVEPSPLRISVSDTGVGSKLEEFLELDALAHETPVEKWDGTLLITNTGIDDEAIYRYQFNLQEEISSARFTKLATTYKNHATFSGTEVCLCLSNESDANDFISWLVDFIRKNLACELFIEQTGSAQTRTVCLPQDYDDANLSVMTSSIDRLVSGLKDYALSHGNTCDKCDTCSLNRDRLKIGTGAVSNLDRKKVKDLHVDLVIVTAHTASDLSCWMVKCSSTQVLNFEGFVPRTISQSSFDVLMNMDWQSYGFKLKGGFVDDEGNAILEWDNVTFAPTAVQEWQGSQQDRYLVRKALKSALSHLKADHAGDFLSCHGQRVREYVPDLAQSIAGLIMSSNDAEFQGECIALLGLGSDQDISEGEVESSIFDKMTRIIEMNDTKDNVEDSAPYLFECEKLDEDSELYEEDGNEEMVFDF >Et_2B_018931.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17499920:17502649:1 gene:Et_2B_018931 transcript:Et_2B_018931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AKASFEYFAEEGRQVTTTTTGRTNPPHRCEPPQLRADQASTSTTIEGMVSPASPWVILGSVPRVSDDDELQQLSLDLAPPPRVSIVTVPWRVALDPTTPANFPAFRAADPSAGLLLLVTTQGTLAAAGRTMGDDSSGLPTRVDHDIMLPAYFVCDLASATASRLPDPDVPVSYHRNQALTATPGGHYMVAHLGAIDADHATLLCFWSDTAEWVEKTLPLLHDGPLPRKFEPSDATITHDARLWWVDLSWGLLTCDPFADQPQMRFLPLPSEKSQAPSSKIHKYRCVKVSAGKLRFLDIDRRAAKVTMWTLANQEEWVLEHQLCFQHLRADDSYKAAGLEEDSDPVLAFVHPTNPDVVYFFLEEHLVGVDMRDIKVVDCDVCRLVLPPMKFVSSGFVTACELPPSISTTGGHIVGMLCISIQLQFFLSSGV >Et_5A_042385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9398271:9403504:-1 gene:Et_5A_042385 transcript:Et_5A_042385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGRFPILQANRDPESNWEVDVAKSLEEYLLKICSGEVTGEDGAHSVNFAEAALLLQGSVQVYSRKVEYLYSLVLHALEFLSQKKQDQQENGSAQANENDHRTIPNEDDDVFLGLDDVPVEARTSLDNNVDRDDLQRKVVRPPANLLVFEGDCLDSEASELDSYLLATCNFYGDFLLLDPCDAPAVFDFLQGKKSGKENSVTHRGSSAPSKSRKSAFTSPNGRSGGTGRKLNPEKGHGDPDPTEGNNLDQSQGMNDNETHENNGDFSLGGDHWSYDDMPTSDGAGEILDDGDDSDDEDPWRPLDPHEPGNLKIRPYRRVKGFARQVIGTPKKKTLASLFPMAKMDIVINPEQAKSFEVPESQQEEINVSQSPPPYEKLMRSLEFGEQENCHVFGDLKDDNGPNNGDNEPPLDETQGTQGSLDEHESLDDLCRSHLNALLASIAEVEQQSEMDARVSTWKERIEDALEEQDKNPPFDIGSYGEQILDTLSSRTDNKGIASFDEIVSGKPKYEVARTFSALLQLVNGRSVDLDKGQVTNELMCYTADNPFHVKLIGPNRRPEVEARFARKRVKSPLQNCGKGSESPPSQQKSPKKQSHRNGKVPVKTAIRLTPDGKRRRRSAHLAQPLNLDSSA >Et_10A_001528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4608141:4610244:-1 gene:Et_10A_001528 transcript:Et_10A_001528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSKRLARTSITDLDEDVISLILERIGSHVSLIRAASVCKRWCRVIADAAFLRRFRSLHAATIAGTYHNGTPTSAAERESKGPVFVPSPSLPIDGRRHSLDFLPYSDKAWAVVDSRGGLLLMRCHAVNPEATYVGGGFRGDVMAVCEPLTRRYIEIPPPPAISNRFDHYVNGSYLVDGDVLRRVSNARRRRPAHHRRGARRPWTTSWLQGTRSPRLLTLFFDEMRLFSRSRGGGDWRLEKSVRLLEATRCLPGFDPSFCRELPQAFKLLTRGPGFIILNTQCHRERWSTFSLDLETMEVAPAADDMGKVVYQSILFSWFSFAGSIEVENSLVLPLRTISMLLL >Et_1B_011123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17844474:17854488:1 gene:Et_1B_011123 transcript:Et_1B_011123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITVDIKRLTVMRPSQALIARGGGGGRTAPLTAFDRASTDGYIPIVFAWSAPTPDNGAIVDGLLATVARYPHLLGRMGVDDRGMKCFVLNDAGVLVVEAEADGDLADALAHDGPAHVNELYPNADKERADEPLFQAQLTRYRCGGLVIGTVSQHLVSDGQSMSIFFSAWATAVRTNGGTLPSPVTDRTAIAVPRTPPAPAFDHRNIEFRGEHSPSHSYAVLPMDRIVNLTVHFTEEFVAGLKARVGGRCSIFQCLLAHVWKKVTAARDLAAEDYTQIRVAVNCRNRTNPPVPMEYFGNMVLWAFPRMRARELLSASHATVVGVIRDAVARVDAEYVQSFVDFGEVADRAGGEELASTAATPGVSFCPDLEVDSWLGFRFHDLDFGHGPPCAFLPPDLPVEGIMVFVPSCSAKGGVDLFMALDAEHITRRAVLSAPPAMARGGGRREPLTAFDRASTDGYIPAVFAWAAPAPSNREVVDGLLAAVARRCFHLNDAGVLVVEAEADGDLADALRHDDVAAHVNELYPKADRERADEPLFQAQLTRYRCGGLVIGTACQHIVADGQSMSFFYTAWATNGATLPSPVTDRTAIAVQPRTPPAPAFDHRNTEFRGSDQPNSSPTISSHSYGTLPMDRIRNLSVHFSEEFVAGLKARVGGRCSTFQCLLAHAWKKITAARDLAPEDHTQIRVAVNCRPRTNPPTPVEYFGNMVLWAFPRMRARELLSASHATVVGVIRDAVARVDAEYVQSFVDFGEVADRAGGEELASTAATPGVSFCPDLEVDSWLGFRFHDLDFGHGPPCAFLPPNIPIEGILIFVPSCSAKGGVDLFVTLDDDHVQAFQHICHSMD >Et_1A_008055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40483234:40486506:1 gene:Et_1A_008055 transcript:Et_1A_008055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSRAAAAWARLISLRPHASAPLPHHHHLASRIVPPRRHLAFSAGGADRSSQQIPNERVVYELLAELERERQRDREERRKQGKGDDKEEEEEEEDYMGVKPLIEKLERRNAKEAAAGGEAFFEPTDSDSDEDDERYTPDAIQKRVDEFDRKCKRHEELLDSFEEAETLDEAHKWMTKIDNFEERHLKLPLEYRVIGDMMNRLKDSSGKERFVLLQKLNRAVRLMECKEAYDPGNPANFGLIQHKQVGSPEDLALNAGFDKEKQMIQGAELEEGDEEFNEAKERDDMLIEKLNAIEKKIEDKLAELDHTFGKKGRVLEEEIKDLVEERNSLSEKKRRPMYRKGFDVKVIDVNRTCKVTKGGQIAKFTALLATGNYHGVVGFAKAKGPTAKIAIQRAYEKCFQNLHYMERYEDHTIAHAIQAKYEKTKIYLWPGPMRSGMSAAGRTVETVLYLAGFSNVKSKIIGSRNPLNVIKALFIALNAIETPKDVQQKFGRTVVESYLL >Et_9B_065400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4709302:4710608:1 gene:Et_9B_065400 transcript:Et_9B_065400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTSPAAAPYFEFRSADRVPETHVWSGPHDYPTVEAAVPVVDMRDADVVRAVARAAEEWGGFLLVGHGVPAEAVARVQEQIARLFERPAPEKTRAGRRPGESTGYGVPPYALHFDKLMWSEGYTFPAAAVRAEFRRVWPDGGDEYDRFCEVMEEYHREMRALGGRLLGVFYRALGLTDDQIAAGEMERKVSETLTATMHLNMYPKCPNPERAMGLAAHTDSGFFTFIMQSPVPGLQLLRRDPDRWVTVPALPGAFAVTVADLFHVLTNGRFHSVLHRAVVNSERQRISVPYFLGPPADMEVAPLASAVLPGTKAAFRAVTWPEYMVVRKKTFGTDQSALDMLKVAEGEGELEPPQN >Et_2A_014600.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:2728582:2729091:1 gene:Et_2A_014600 transcript:Et_2A_014600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVMNYKDDPETKFKSFSNKGYRCAYWSYLVASGGRLLHVRRLVGVSPTVRAFCRVEETRTFAFEIFEADLNAGSSCGGRWRRVDALEGQALFVGTYASKFLPASECGAQEDCIYFLCDYDRRNSDADPFRDCGVFSMKTEVIAPLLPDTMVVRRRGCMGRPAWFFPS >Et_7B_053514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4416315:4416999:-1 gene:Et_7B_053514 transcript:Et_7B_053514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRRAGRAGAAAHRAAVALELQGRTTGDAAVRVRLRHRLRTRCTRPDGRTVFMSVRRYRPDPGRTIPFYGDRSSTYAFDVETREFTYVGEWVLPFRGQATTTYAELDAWVGLCHYNQQATDMPAWKLGVDVLFQHDDLHGGATLVYMGGGGDDSKFCLVGRLRMRATKVATSSLPHSLSSTTRTGICGLAAVVWVRVHVVPGRRVGTRPCCY >Et_1A_008157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4801638:4805893:-1 gene:Et_1A_008157 transcript:Et_1A_008157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPLKFPNRRSSLPAKLPTTTTGRPGAAPPPCPSTLPSSRPPLFTSLVTAPLHPLAFSLPSPPPLPPPPQPPSTWPKSLSARGQLASYHYAASRGAPGRLDLRSRGKEGERQLQLATMSSGRYMAYSPSPSTTPHSPRISGLRAPSAAVADQEKYLAELLAERHKLSPFIPVIPHSIRLLNQEILRVSSLLENASLLNQSGLEHGSPLTTGGLYSNGAATDMNGWTSAFQSESSPAYSWLGGSQGSSTGLIVKKTMKVEIPVDKYPTYNFVGRILGPRGNSLKRVEATTDCRVLIRGRGSIKDPAREEMMRGKPGYEHLNEPLHILVDAELPVEIIDARLMQAREILEDLLKPVDESQDFFKKQQLRELAMLNGTLREEGMQRSGSASPFHNSLGMKRAKTRG >Et_9B_064550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16416727:16417353:1 gene:Et_9B_064550 transcript:Et_9B_064550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVAEITLTEEPDKFIWKWTTDGRFTASKRNNRVFERKELQPVALAQEIMEEAHLWTTAGFSKLRSLIFPGRT >Et_7A_051788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24761627:24767945:1 gene:Et_7A_051788 transcript:Et_7A_051788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDPSGGKQYYFDKLCNTSIVYFATGEWNGKYRPSVPEMSGNAFATAEFVDAHEKTSCNIFAVCGPFTICRDNSLPLCTCMTGFSVKSPKDWNLNDRRNGCIRNTNLDCSRNKTTKELSDHFFTLSGVRLLYDVHAKKAVAIAQDCTQVCLSNCSSTAYSFSKGVCSVWHGELLNAKQYYGNTGTNGDTLYVCLASEEVQSGGNSDRIGMVIGIVVGAGVVGLILLVLILLTLIWRNKRNLCGHVNNVHNGGGVIAFRYIDLQRATKTFSEKLGGGGFGSVFKGILNDSTIIAVKTLDGTRQGEKQFRAEVSTVGMILHVNLVKLIGFCSEEGCLFTSIYMLNRSLDVHLFRSNATILSSNTRLWDGKTCWERLQSGSDHHERNYRCLALEWISGVAITQKVDVYSYGVVLLEIISGRRNTLEEYTRLTSNGGDDAVFFLVHAAHKLHEGDLFSLVDQQLHAEVNTEEVQRACKVACWCTQDNEFDRPGDVVQVLMGLVGLHVPPMPRLLQRILGSSAVTENKS >Et_2B_019575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10322727:10324211:1 gene:Et_2B_019575 transcript:Et_2B_019575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTISYLITPPALLRRCRSIASSSATASLSFRAVAAPRARSRAVSLRVAASSAVLEAPEAVAARKLYVGNIPRTVTNEQLRDMFAEHGTVECAEVMYDKYTNRSRRFGFVTMSTAEEAAAAVEALNGTEVGDRKIKVNVTESFLPNIDRSAPEPEPVFVDSQYKVYVGNLAKTVTTDVLKNFFSEKGKILSATVSRVPGTSKSKGYGFVTFSSEEEVEAAVATFNNAELEGQPIRVNRA >Et_9A_063426.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:2446106:2446507:-1 gene:Et_9A_063426 transcript:Et_9A_063426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGKVQLQWIADRKARQRTFLKRRRTLLEKARELSTKCNVPVAMVVYGGPDHEADPPATWPPAPETTAILQRYANSPESSKERVDLEDFLSQRVEKLRRKVDNMKLANRAREVNIVLDELLLGRRRNLDGC >Et_1A_008316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6344638:6349128:1 gene:Et_1A_008316 transcript:Et_1A_008316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAWRLSQRAVTSPLLARSQIRNPFSAMAALTPAAAASPKRLRVYSSAAADGDSLNGAGSGKRVGTHNGSFHCDEALGCYLIRLTSQFSGADVVRTRDSQILDTLDAVLDVGGVYDPSRHRYDHHQKGFNEVFGHGFNTKLSSAGLVYKHFGKEIIAKELGVSEDHEDVHRLYLAIYKSFVEALDAIDNGINQYDTDQPPKYVNNTHLSSRVGRLNPDWTDPDQSPEKENAAFQQAMMLAGGEFMESVRFHVKSWLPARSIVMECLLSRVNVDPSCEIMWKLHLFELEEELKVEPLTKYVLYQDERSKSWRVQAMSVAPDRFESRKALPEKWRGMRDDELSQETGIPGCVFVHMSGFIGGNKTYEGALEMARAALKC >Et_1B_011475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22702323:22705196:-1 gene:Et_1B_011475 transcript:Et_1B_011475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSSIPYSFPTNTLSRPRRLHSPRLLRFAALPFPPLRNLFAASAAPASRLRPLPRASASASLMAMERWN >Et_6A_047241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2851208:2854856:1 gene:Et_6A_047241 transcript:Et_6A_047241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNNGGVGGSGVEGAQRELQLQLLLASPLHLVPPGDQRLEVHEGGNSVSSLTISSVSSDNSPTSSRSVLVVGGCTWCMRFVMVPKRDFPICTNCKHPTLLDPLDCIGTGGVAGEKKRDPPMSDNNGGVGGSGVEGAQRELQLQLLLASPLHLVPPGDQRLEVHEGGNSVSSLTISSVSSDNSPTSSRSVLVVGGCTWCMRFVMVPKRDFPICTNCKHPTLLDPLDCIGTGGVAGEKKHDPRE >Et_7A_050597.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:4272250:4272369:-1 gene:Et_7A_050597 transcript:Et_7A_050597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KHAAARCTHSELFFRLLLKNTVCAPQIAAYANFWRGFSL >Et_10B_003865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6975827:6984869:-1 gene:Et_10B_003865 transcript:Et_10B_003865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GSTGAPLPIRLPDPGQYRHHGIAEGLLLLHHTFSDRICLLNPLTMALYDLTTMHAVNDELRPKGEYPDDAFFEYSIKAAGIAVDLDKLGQALSVPTVVLSLTMGNDTALVSVEPGENVDGDLTVSQDGLSVRGHFYVLTCAATRDAAKMTGGQIRSGFNESSYLMPSCDDQDSGMLLVRAHNPKGKLDCTMFGMDLSNGSLLLKEPSGITVLISAIGYNLWFCISFSVAECNLLEGSHEKISARRLYQIHLEARIDNLGQAVSKWVPMVVLSLMMGNHMAFVPATMSGAVDTSFTDDVDGDVPVIQGGRSIRERLLSGSTGAKSDAFSFGVVILEVAGRRQPIGVVQDSKEYPTVHLVQWVREYSGAMSDVFHFGVVIIEVACGWQSAVVVQDSVELATVHLVPWSTLYSGVSILSGKTLLYAKLGDHGWGTVDISCTLGDRDYFFHASTRLGDLLKVVLPRRPHLVYVARQHDSGCTFRMAASSYLVPSLDNDAVADNNDGMLLLLCYGMNEEVNVFGVHVTATYRIQ >Et_2A_016740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27816374:27820490:1 gene:Et_2A_016740 transcript:Et_2A_016740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRSSTSSSPSSSSSPTAASSPPSSSWIHIRSHLVAAASSSSASSSAAAGSTVAVASVAAAASSTSSPASSSPHSDRGGIKSPWSRRKRKKALSREQWDSLFSANGKLRDGGKKFLKKVRSGGIEPGLRAEVWPFLLGVYDLNSSEDERSAVKIKKRKEYEKLRRQCQQILNGYKGNSLKAITEVNNEECSVLEGAVEGSESPCFEDANILSASTSLVALKPEHNEAEQPDNMSFVECMDEDANEFFVDPCISESESSDSESSDEDDAGRISVSGEENSDPDPKFARSTSFKADFFKSSRTSEDFATWQRIMRLDAIRSNTEWIMFSRDQAEVSKEKAFQCAASVGLKDYDHLEPCMIYHASRLVGLLEAYAVYDPEIGYCQGMSDLLSPIIAVMEEDDEAFWCFVGFMRKARHNFRLDEVGIRRQLKIVSQIIKRKDSHLYRHLQKLQAEDCFFVYRMVVVLFRRELSFEQTVCLWEVMWADQAAIRAGIGRSTWGRIRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNLHDKI >Et_10A_001960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14732857:14735964:1 gene:Et_10A_001960 transcript:Et_10A_001960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGLQKSATFPTASGEAEQDHDSSRLIDEVMNDVPAYERAVSFPSTLKPISAMKGSRQKNGMASPTENRHVKWAPDVYDPPVTSVVGPSPASTEKKEKNKQKKKKQKTKSKKSHQNPSVLQTPDLGKEPRLLKPAPKMSQEASSSAPESDVTSVGFPLPPSPSPSSSNSSSDDDSLWDAMEEELEAELEAELLRDASPQVAAQLEELRRQAARSKEEARRAREEARRVREYSDLLDVDVSGFTDAQMAAYERELDRLSERILRGRD >Et_1A_007129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31096012:31104290:1 gene:Et_1A_007129 transcript:Et_1A_007129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVNGRKLFDSNTANSALVKKTHATALLRWGTVKACSRRSRRLVEYPWPHFHLSSASSSLRLPCPSLSVLLASCRLPSFPLQARPRQLTCGTQLESHTAGSCAAGWRTAPLLAPEHDQVVEGTLLLLHVIVLSETHLVAHQRVDCGHFDGMEQPEAHPNKLSEPENIPFRSQPTRNIWAWGDPLATTINGSNDAVLFSSSLPSVPGKERERLVQSMDGMLPIRKQNTYNRVTDTMNDVAQHLIGNLLPDDEEELLAGVINDFDHVKLQNQAEESEEYDVFRNSGGMELDVDPLETVSFSTAKASVINGTGSGSSQYGLQSGVGTVTGEHPFGEHPSRTLFVRNINSNVEDSELRSLFEPFGDIRSMYTATKHRGFVMISYYDIRDARTAMRALQNKPLRRRKLDIHFSIPKENPSDKDMNQGTLVIFNLEPAVSNEELLQIFGAFGEVREIRETPQKRHHRFIEFYDVRAAEAALRSLNKSDIAGKRVKLEPSRPGGARRSFVQHTNYEFEQDETRRNVFHFGSPSANSPPSLWSQIGSPTEHNQLNTRSETAFSGGMSPLSGNNIPGLSSGYPPMKLPIGRSSNRNIIADYMFHRPSSFHNSHSFPEHGGIVSPSTLVSSAASSASTASGFTAMARTPFIWGNKNTLREHSLPSAFHSPPLSYSHFPSSHIQRQASPYRDLDGSFGPSEYLSQHHVGSAPSVFPLQSKFGYYPESPDTSYMRSGKFGSIGPSQVGGSLMTNFGLRSHVNAQSALSVGFEGLLELGQSHTDGNLGGQDDSRMQYQLDLERIITGEDTRTTLMIKNIPNKYTTKMLLDVIDETHEGTYDFFYLPIDFKNKCNVGYAFINMISPAYIASFHKAFTGRKWEKFNSEKVVSLAYARIQGKSALVNHFQNSSLMNEDKRSHPMLFDPKHTENGYKIILDGTNISQEDVVLEGFELPGNPMKD >Et_8B_058888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10405620:10408432:1 gene:Et_8B_058888 transcript:Et_8B_058888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGPILCRPAMQAKLPAAVVSNTLTKSGQLGTAFFGAMSRYRNTTRPISPISLPSARSSGHVCCSFSSSSDGNGYTAGKFNESDEDYVNTTVLEAVEVKSGSEGYIIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKQMIDKMGYEVKLVRVNKRIQEAYCAELYLTKKPTVLQIDDPKESITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRPVEPARMAVASGLSEGLLFTELDRPDGQPCVEAQEFGLVRNMLIAAVEERYKDAASWKDKLMQLRSKRKNWA >Et_5B_045558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4302512:4304234:1 gene:Et_5B_045558 transcript:Et_5B_045558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRAYLLLLLPWVLAGSILPPLDPVQCSGVGGRLVNCTVTNTHGSFNDRKPCHVHGGGAGRGRSAGREGEAQGEGGHEALPQLPQAGVPRRRPRRLSTARLDRVVRVDAATRRVTVESGMLLRELVREAVAAGMALPCSPNFYGVTVGGLLATGAHGSSLEGKGGAVHERVDRDAGAVGKKAVVRELGEDDPDLDAAKVSLGVLGVVSLQLEPLFKRSVTFLKKESDADLAETVATWGRHHEFGEIMWMPGQGTVVLRKDDRVDVSTPTTTTAREQEDRLQDEGSDEALCRARLASNELRGFGFTNDGESFTAYPVVGYQHRIQASGLGEDDEGLRLLRESSSSISSCVWDPRIHGTFIHNPGFSVALSNATAFVGDLLRLRDRSGREAFCGLDMHGGVLFRYVKRSAAHLGLAEDSVSLDAMYYRSRRAEGGRPALHADAFGEMEQVALYKYGALPHWGKGPNYFFNDTAGRYPRLREFLDVKARFDPDGVFSSEWSDHVLGGRSTVAMPAPGCAVDGLCTCTEDAHCAPGHVCSSGKVYPEARVCSAAQPSTAQM >Et_1B_010154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26494074:26494483:-1 gene:Et_1B_010154 transcript:Et_1B_010154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLGLQAGAAQLGEAVSEESVFKLVGICANCRGGTKQHRSGSLCAALWLWRAVGSGLVLSFACGPASYFKLGSTIETILTCKLSNTTPSGTAQSFMIYKKKTIYT >Et_6A_048090.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:674340:674986:-1 gene:Et_6A_048090 transcript:Et_6A_048090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGNGAVLPTHTKPPPPPSRKRRCLCVCGLVTLAFLIALAVTILILSLTVFRVRDPTTRLESTRLAGVAPRLSFPAMSVQLNVTVLLTVAVHNPNAASFAYASGGHTDLTYRGRQVGSAEIDPGRIPSRGDGEVRLALTVQADRLAADLAQLLADVESGSVPMEASTRIPGRVSILGGLIKRHAVAYSDCSFVFGVAEMKVRSQECKDTTKL >Et_5A_042508.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1777078:1777719:-1 gene:Et_5A_042508 transcript:Et_5A_042508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQMVLLPVLLSFLLLPLASLALTQDFCVADLTCSDTPAGYPCKAGATAGDFAYHGLAAAGNTSNIIKAAVTPAFVGQFPGVNGLGISAARLDIAVGGVVPIHTHPAGSELLFVTQGTVAAGFISSGANTVYTKTLYAGDIMVFPQGLLHYQYNAGTGPAVALVAFSSPNPGLQITDFALFANNLPSAVVEKVTFLDDAQVKKLKSVLGGSG >Et_8B_058982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11926692:11928021:-1 gene:Et_8B_058982 transcript:Et_8B_058982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLLRLLPLLLFLPTVLFRRGTSPPDAGTGLHPVVLVPGNTCSQLEARLTDAYEPPSPQCKGNGVGRWFRLWKNATAEQRDPAAAACLADQRRLVYDPAARDFRNVRGVETHVVGFGSTRGFLADDPADKDLCMGTLVEALQRAGYVDGETLFGAPYDFRQAPAAPGQPCRAFAKFRRRLRSLIEHASTRNGGKPVVLVSHSQGGYFALEFLNRSPLPWRTRRVKRYVMASTGAGGFLLSLQALAARPDAALSSANAFTALPSPRVFGRDETLVVTRARNYTARDVPAFLAAIGSPPLAVELYETRALPVALNFGAPLVPTTCVNGVGVPTAEKLVYWDGDFGKEPEIVYGDGDGLIGTESIRALDTLIGGDPRQECYKSVRLANTSHAGVISDRTALERVVSEILGDV >Et_5B_043205.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:17440652:17441083:1 gene:Et_5B_043205 transcript:Et_5B_043205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLVQVLILFSVTALVVVSAAAQTCEPGRAFPHDPLPGCRAFPHDPLPGCRAYVLRRCAGDDPPGVRVRCCQQLREVTPPCRCEALRVMVDVLVEEEAAPPSCQKAAMADIAGALPSQAECDLEAPPAPLGGECPLDAAE >Et_1A_005745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13322515:13326847:-1 gene:Et_1A_005745 transcript:Et_1A_005745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGRSTPGGGGGGEGSERAESPVILADGNGESSANATMDEMLRVLQRQINEETARSSSSAPSSPSRFFPSTPSSLARGVARRLLGKARSSSATSSPTRRSPATSSRGEQASSDIVRTSGLALEAPARTGSLQESRPEQPARTGSLQEARPEQHEAAPTRSDFGAMMRSAVVKIQDDGREVQPVFNEMQQAMTGLMELTYGEAPNPPELPQEFATKWPHDDADPLGSVLMDDPVILPSGHSVDQSFHQWYCSQTDTCPVTDKTLSHSFTSPNHLLRDLIAAWRLDHSIHSSSSGVETVSIPMAPSEEQIENILQKFSGHSAFQEQALHEIQLLTKITKGEQPCLQKWSGLIQVLIDLQKNWKSTWTQNLEEWRLTTILNLSVHRPNKEILAGAPQLPDALKKIADKLRRLGWSASPLEKLASIVSSLSEFEMFRRRILDIGGMEILRDLLKIEDVVVRKEAVTAILGLCTDQEGEAQAQSCYVTDLLVECLTISDEVLLLLDRLPKDPYGVDKLSDKAVELVNIIMANQENVTPVATYSAISLVHTIVQQDASKMEAVKNLEDFMKRLQELSSGSLPMQTMLQVEKIINILLEEFPALASQDTFLGREDRPRDVDLEVDTSLHHGRMRFVMAVHMVAICTAYAPRRASGSGGGSPASANPPSHWRASDAASAAICLPAV >Et_1A_005534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11149300:11151383:-1 gene:Et_1A_005534 transcript:Et_1A_005534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSDYDDDWVLPSADMTLVLVGKLGYGKSATGNSILGREAFVSEYSHASVTNTCQMGSTTLKDGRTINVIDTPGLFDMSITSEDAGKEIVKCMNMAKDGIHAVLMVFSATSRFSREDSSTIETIKVFFGEKIVDHMILVFTYGDLVGESKLKSMLNNAPEYLQKMVELCKNRVVVFDNMTKDPRVQAKQLEKLLDVVDSVCSNNGGKPFSDQMFTRIKEVHEREKEVHAAVGYSEEQISELKKEIHRTRDEQLANITSMENEEFRRMAQSKKCPIL >Et_7A_051162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14997754:15003058:1 gene:Et_7A_051162 transcript:Et_7A_051162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEPSLKPEWLLRGPSTVAATAVRPVTSSRADDQGRGASSRNRSSGRDRDRGSQQSSSRRGPGSSGSRRNDRDGAGKSRGYASFGRHNRERGQEKDPDFRERESRLVQPEDPLRDGFESFSSCKPEKDRLNRTRSKVAVSNRAVGVSVDNGNVSRKDTGGTSFEREFPHLGSEDKNGKQDIGRVPSPGISTPIQSIPLVNAPDVWNSVLAEVPILGDAGNNPVSSSSSPAGLSKQTEVSNSGSALSMAETVMQSPLKISTAPQLSIDAQKIEERTMRQCILRPLTPSSSKISVSSSLDKLKPKGARAVESNGPIKVAPQLSVQPSGSAVRAPVKTELVKPSQSGSLQVLSREQNGIVNTKDISCNPVSPVLGRSSSMEPMRKPVNQKLKVASNGLPLHLLQGSFGERKASAKDKHKFFELLRSKSVNGSSTAIESPSSLIDDQQSCLDLSLYNAGVKYENGSSSCEEANSCEGSQRHLSDNEEIIPPSESHDVLDEGSLGILVDNRDATSSSAIADTEDVASKKPGSDMPTYIDGVSMMSNSTNNEAKLPFEPVAAEKVESYPAEELEHIEAGEEESYAAEEFERTGAGEEEELCFAQDQPSPEELAFLRSLGWDENEVVPPLQQEEIADCVRQNARLQQKLQECRG >Et_5A_042353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9026405:9035077:1 gene:Et_5A_042353 transcript:Et_5A_042353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAKMGGGAKAYAAVILIRLMYSGMHVMSKVALDEGMNPLVFVFYRHTTAALVLIPVTFLLERGKAKPVTFKIGCKMFVHALYGVTACGDLFNLGLNYASATSSSALYNVQPVVTFILAVIFGMETMKLTRFHGKVKFAGILFCIAGVTVLAFYDGPMFRSFNHHHLFQHGSSSGADTHSKNQWVFGIFLMTLSNVLAGLWTVLQGPLIEDTSKLMNTTLQISCASLQAFVVAVAAERDFSKWKLGWNVSLAAIIYSGVIVTALSYYMQMWTIAKRGPVFLAMSMPLTFVFTIVISSFIIGDAVSLGSIFAGVLLVGGLYNVLWGKNIEERDDLNKISAGKPGLELQLDTSKDQVPDDDDAEAKSWRFTQTKGDLAVAIYPAAMGNSKVYATVVLIRLIYAGMHILTKAAFNEGTSTTVFVFYRHAVAAVFLLPFAFFLEIRKRPAPPLNFKLTLKIFVHAFYGMAGTINLYSIGLNYASATSSSAIFNIVPVVAFILAVMFRMETLNLRSAHGIAKASGILLCVGGVIILALYQGPELKSLNHHQLLSHHSSAAAAQAHSKKDWALGILLMTTSIVIWSYWTVQQGPLLLEYPSKLLNTTLQCVFASVQSFVIALVMERDLSRWKLAGAVSLASVLFTGIVVAAISYYLQIWVIEKKGPVFLSMSMPLSLVFTMVIASFLLGEDVSLGSIIGSVLLVAGLYNVLWGKTREEAQVADHQTRSSSVADDDGDGHDDVEKRAPPLPAIGAGGGCDAASKV >Et_1B_010473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10950657:10954115:1 gene:Et_1B_010473 transcript:Et_1B_010473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPHLPPRCPPLGPQLTRRDDSLFTQSCRFPSGDPFFSEPPCWFDDLLADSGKSSNLTPLRRACSDSDAILDALSTFQSPIHPIEEGDLFSGAEEDSPDAVKGGESGSVVEASCVYGPNSPRQKSRLTTSESSMVNAVLENVPSNPLQYLTIDASSGVNGNMASGNADASDALSHPDQEKSFKRRSGQRSRVRKLQYIAELERTVDSLQGMGADLAVRVASLFQLRNALSMENKQLRLQISSLQHAKLVKDGQTQALKNEAERLKQMSARHRRSRSVTSCYDPSSFSADASAVNWQMLDMAKLSLNGSPAPPRGGYGL >Et_10B_002715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:110625:111777:1 gene:Et_10B_002715 transcript:Et_10B_002715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHTGGGATAGRQNKFFKVLLPGSFEISLCIPPKFAAGLACLERRGAATLRDPAGRPWNVEIDLHSEHRTCFTGSGWRGFVSGNGVSAGQMLVFEHRGGLNFAVDMFDPSGCLSDVVVDDKDIKATPREVTELIEITGDEESGNGRRRAEAETPCVEVAAAKRRRKLQASSSSCTATATGSSDKERRLEQRDDETLRQRIEKPYQLRFLDARKSLCDRLGWTTSRTVELCCDDDDDDERRWPVSVKVSGKGGMLCGGWTEFAQDNGLGLSDACVFLPSHDDVFR >Et_1B_010182.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:28553750:28554001:1 gene:Et_1B_010182 transcript:Et_1B_010182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKSSMFPEFILEGHEVHMEEDVGYATTVDDPLSSWMTRKATAIHRIVEGSDPEAGRLVMVHRLTNRWGKLDQVKPCEMRE >Et_1B_013984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26323524:26325386:1 gene:Et_1B_013984 transcript:Et_1B_013984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDRILVIMGENKWMGKRWEDMDTDVLVKIFKELNLVELSPVSQVCRLWRLACADPLIWGTLDFGLLKSNFIQTRASPYIWVDDRSDKRLAKILRLAMAISCGNVNCMIFHYNLYMKDEHLHFISERSPHLKRLVMPAWNRITKLGICQAIQRWQELESLTMPTIGHPPYIMEEIARSCKNFTELKIMGSFDLLFASAISQYLPKLKVLSLRCSKVTMSALICLLTSMEYLEILNISHCLLLDIAANGKRQVIHELDDQTLEKASRLREFLYCQSRSCIACQRMVVDEGIMRWYRYEDWFWRRDEVRSLDLHDYGKLFDAGCERLTSVD >Et_7B_053632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10556728:10559476:1 gene:Et_7B_053632 transcript:Et_7B_053632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRSGMGVVSAAVLVLLLGSGFAAGETAAEDKISGLPGQPPVGFAQYSGYVTVDAARKRSLFYYFAEAEADPAAKPLVLWLNGGPGCSSVGVGAFSENGPFRPSGNVLVRNEYSWNKEANMLYLESPAGVGFSYSTDPSFYEGVGDSMTAGDNLKFLQGWLDKFPQYKGRDFYITGESYAGHYVPQLAQRMVDFNKKEKLFNLKGIALGNPVLEFSTDFNSRAEFFWSHGLISDSTYNIFTTVCNYSRYVSEYYHGSLSTSCDRVMSQVTRETSRFVDKYDVTLDVCISSVFMQSTILTPQASRELDVCVEDETMSYLNRKDVQQAMHARLNGVQKWTVCSSVLEYKQLDLQIPSINIVGALVKSGVPVLVYSGDQDSVIPLTGSRTLVRQLAGRLRFNTTAPYRAWFQGKQVGGWTQVFGGGALSFATVRGASHEAPFSQPERSLGLFRAFLAGRPLPESFE >Et_1B_010440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10580117:10581185:1 gene:Et_1B_010440 transcript:Et_1B_010440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEATTKRDAPAQGGWSFRSVLAHADAADVALMVLGLVGAVGDGMSTPLRLFLASRIVNDVGSGPGHHPQFGSRVNEASRMRARYLQAVLRQDVEFFDLNAGATSEVITSVSNDSLVVQDALSEKVPHFLMNVSLFVSCCAVGFALMWPLMLLALPPVLLLLVIPGFLYARVVIGLARRIREEYTRPAALAAQAVSSARTVYSFVAERSTMAKFSAALDESARLGIKQGLAKGLVLGGNGISYAISAFAIWYNSRLVMYHGYPAGASFAICGLVITAGT >Et_10B_002989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15005011:15008885:-1 gene:Et_10B_002989 transcript:Et_10B_002989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WLLTYSLNQCEAVARVADIIQILAFLCRQTDLLLAAAKTGKIINIKKGQFCAPSVMVNSAEKIRLAGNPNVMVADVTHALQQPAGRKLDGGGVASGGLRELIPCIARTSVAVGVDGIFMEVPDDPLNAPCDGPTQWPLSNLEELLEELIAIARVTKGKQQFEIDLTPFKE >Et_1B_011565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23658703:23662764:-1 gene:Et_1B_011565 transcript:Et_1B_011565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFHSTARRQHPGYEDPVQLASQTAFSVSEVEALFELFKSISGSVIDDGLINKEEFQLALFKNQRKQNLFANRIFDLFDVKKRGVIDFGDFVRALNVFHPNIPMEEKIDFSFKLYDMDGTGFIERKEVKQMLIALLGESEMRLSDEIIETILDKTFSDADANQDGKIDKTEWENFVTRNPSLMKIMTLPYLKDITTTFPSFVFNSEVDDIVT >Et_1B_013965.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25578357:25579547:1 gene:Et_1B_013965 transcript:Et_1B_013965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWAFLETGLLHRVFIQLADADAFSFRRVCRTWRVAAGDGSAVFRPWFVVQPTGGTHHAYLQPAVGRGRFRVVSVDADAAVKGNIDGVARGASRGWLAVNEGKRLFLRDPTSLAEIPLPAIKSASRLFDIFLSDDPLAAPGTWTLFAFFRRSDSPYSEHELAFCRAGDAKWARIDAADDGDGQAGHQAHHHHHQIRFFRGLEFLRGRAYVLLGNYSVAVCDVDARRLVVSAVRLDVWHGNNGPDWHAECLVACGGDLLFAQVARSFEPYRRSPQCLGMVAYNHRFEPRVIRIELDAGGGGAPVGMSEVEEVGDHALFLAPHGHAFALPASGFPGVRAGCVYHFIPDLRSGMVVSDLRESPYRHEIVRKLPLAGPWRPLAWFCPRRPILGRPSRRR >Et_8A_056724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15977252:15981958:-1 gene:Et_8A_056724 transcript:Et_8A_056724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGIHPYHQQWPPAPAPPPAPGVVAPPPPDAAAARPGAADEVRTIFITGLPVDVKERELHNLLRWLPGFEASQINFKGDQPMGFALFSSAHHAVAAKTALQDLVFDAETKAALHTEMAKKNLFVKRGVGTDANAVDQSKRLRTGGDYTHSPYAPPPYHPPPPAVSMWGTAGYIAAPPPYNPYAAYPVPPVPMTSPSPVPGPTAYAPVQNTKDNPPCNTLFIGNLGETVVEEELRSLFSVQPGYKQMKVLRQERNTVCFIEFEDVGTATAVHHNLQGANPYGRRKDSAGGNVGTLNGAPAN >Et_8A_056770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16490034:16490997:-1 gene:Et_8A_056770 transcript:Et_8A_056770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDCHRRRRCDCEEPSPAAPDWAALPHDVLAAVFLKLGTSEILRGTEFVCKSWRRVALEEPALWRRIEMPAVRRGRRWDWRAMLCDAVERGAGQSVAFSGPCDDQSLLDLVDRAPLLKSLDLFDFVASNEVLTGAITRLHHLEDLEISPAHDCPQDTWNLFGQVCQACPRLKRLVLGFQVLDNRFGRNPAIPVMHELRYLELFNCELTLKALAVILDSCPQLESLHIRGTFIFRRKMNRALWAKCSEVANLTLPDYVLSDV >Et_3A_024740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23838611:23839459:1 gene:Et_3A_024740 transcript:Et_3A_024740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGLKPVAGLLLVLNFCMYVIVAAVGGWAINHAINNGFFIDAGLALPAHFSPIYFPIGNAATGFFVIFAVIASVVGAAAALAGFHHVRAWSPESLPAAASSGFMAWTLTLLAMGLAIKEIELHGRNAKLICMESFTIILAVTQLFYLLAIHGGR >Et_4A_032949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1597701:1599429:-1 gene:Et_4A_032949 transcript:Et_4A_032949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPATQPVRAYLSGLLLGLVGGAAPLVSKLCCFVGCATMDIDGLRESFDRVVEKRALSSAKAQEAVDQLLNELEQTIVKMQTMNTDSMGSVDHSAILAELKAKLNEMAPLNQLEVCQKELNVALSKYLKLIEKSFSPDISKAYRNVDFEVNTINNIIANHFYRQGLFDLGDLFIRECGESDGASLKLAFQELYAILEAMKVRNLEPALTWASKNRDQLLQNCSMLEWILHELQFLEILRDESAKLEAIDYAKTHLAPQEHRGHFTKLMGCLLWAGRLEQSPYCELISPEHWEKMAEEFTRQFCSLLGQSSESPLSVAVSAGFQGLPTLLKLTTVMAAKKQEWQVMKQLPVPIDIGPEFQYHSVFVCPVLREQSSDENPPMRMPCGHVVSKQSIMKLSKSSSRPFKCPYCPSEAVASHCKQLHF >Et_1B_011385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21348268:21350653:-1 gene:Et_1B_011385 transcript:Et_1B_011385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDDVLIDIFLRLPCQPTCILRASLVCKHWHSLINDHQFLHRLLALNGIPVLGVYTNSTSIPRFLPIGDPPNRVPDVAFFLPDLQWHVLGCRNSRVLLIGSCWKELLVWNPMNGNKHFIPSPPDADPRFNYGCVPESNAALLCAAGHADNGCCLSCPFVIVWVFTSVRYAYATRYSSEEGRWEMMACTSMPSDVDCRPSILIRNILYWPLKSKYILAFEVGTRNLYHIECPPETHDVYRRNVHIMKTEDGGLGLAALTMFNLRLWARETDAEGVAGWVLCKVIELNKFLLSEVPSVPSTDNRSRRRPPVRILGLVEEDDLFFIWTITGVFAVQLKSMQFKKVFEADVFATVYPYKGFLNAGAVVTNEDIGAEE >Et_3A_025548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30646516:30649111:-1 gene:Et_3A_025548 transcript:Et_3A_025548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAATTTATASSRLLRRTAGVPAPGQHQLRCSTRASPFELRHRAARLAPAQALIPDRVTPFNFDADGDDHPREECGVFGVIGDPDAASLCYLGLQKLQHRGEEGAGIAAAGTDGKLKSVTGLGLVGDVFRDPTRLAKLPGDAAIGHVRYSTAGAAASLRNVQPFLAAYRFGQVAVAHNGNLVNYQALRNRLEAQGSIFNTSSDTEVILHLIATSLSRPLLSRVCDACERLAGAYSLLFLTADKLFAVRDPFGFRPLVMGRRPNGAVVFASETCALDLIDASYEREVEPGEVVVVDRRDMSVSSACLVPHRPRKSCVFEHIYFALPNSVVYGHAVHERRTAYGRALAEESPAPSADVVIPVPDSGFYAALGFSLASGLEFQQGLIRWHYSGRSFIQPSQAIRDLAVKLKLAPVRGVITGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVVGSCLYGIDTPSEGELISNRMDLEGVRRTIGCDSLAFLSLDKLHSIYGDEAHELCDACFSRNYPVLPTVPEPVPELFPVSGVFVALGWANGEH >Et_5A_041278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20570472:20573221:-1 gene:Et_5A_041278 transcript:Et_5A_041278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLHCRTWRRTLPQLRAWEKLFDIFVGIVRGLKYLHKGCNAHIVHCDIKPHNIPLDRDICPKISDFGLAKSCALRRRALSQWSSPEPRGSMQMLARHLGSFFFPSKRKNIGSFHSMSKLPGIIGRAIDARAPLAPGVDCTCSPMSATVIWVINRRTSPCPQINHINDPAVARSLRGGN >Et_6B_049611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7258098:7259131:1 gene:Et_6B_049611 transcript:Et_6B_049611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVYGFKCIIIGDMGTSLTHSLIAPIGIFRLATLFAHQFLIVMPALRSGVGKSCLLLQFTDKRFRSVHDVTIGVEYGSRIVAVDGKPTKLQIWDTAGQEAFRSITRSYYRGTAAAILVYDITRIALNYVLTIINCIRRETFNHVASWLQDAKELASANQTRVLIGNKCDLSGHKRAVSYEEGAEFAKEHGLLFMEASAKTAQNVEEAFIATARTVVKKIEGGLIDQE >Et_5B_044657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4364386:4368579:-1 gene:Et_5B_044657 transcript:Et_5B_044657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPVQLRRIENKINRQVTFSKRRNGLLKKAHEISVLCDAEVALIVFSTKGKLYEFASHGSMEGILERYQRYSFEEKAVLDPSIEDQENWGEEYMRLKSKLDALQKSQRQLLGEQLDSLTTKELQQLEQQLDSSLKHIRSRKNQLMFDSISELQKKLMEAEKEKNNALLNVMEQQNGASTSSPSPPPASVPDSMPTLNIGPHQPNGAGEESEPEPSPAQANRGKLPPWMLSNVSNR >Et_6A_047685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9721808:9727476:1 gene:Et_6A_047685 transcript:Et_6A_047685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAPILSRIGLSLHPAAMRRTRAASSRLVRPDLNPVGVREVKRESSASFDISKSESVASVKRKRVKEELEVNGENSKKQVAIVPDIEDFRYDKNKVSTSSNKAAPSLIRLEKKVRVSSVVRVGAPENWEVVLKGIKNMRLSGQAPVDTMGCEKAGSLLPPKEKRFAVLISTMMSSQTKDEVTHAAVERLAENGLLDPEAIVRTDEVTLANLIKPVGFYQRKAQFIKEASKICLERFGGDIPDSLNELLALRGVGPKIAHLVMSIAWKNTQGICVDTHVHRISNRLGWVFREGTKQKTTTPEQTRMSLEKWLPKDEWEPINPLLVGFGQTICTPLRPKCGICGISSICPSAFKESSSPNPKQKKTRCILMKTLGRQADPVSHMASNS >Et_6A_047455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6021554:6024408:-1 gene:Et_6A_047455 transcript:Et_6A_047455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAVCQLCRLASITMSSVSASEIPQPFCKYLKEEPNRPVSLKGPSGNTWQVMLTSVEKGLGFTQGWKEFVADHSLQPGYILVFTYDGHSEFSVVVLSNSGVDDTSALDAQPSEERVVQAEVEEGAQDAEAAGSSEQEASPLPTVESNEKTGNRVRQGMVKSPAPNRHLTVQKKIEKRKPKAFVDTSKAGSTFPDSSKCKRSIILLKNFKLIEYCIFVILISFGLFKLLHFTDFSFMLYEYSSYNKSETRSKNMPRVGKVVSKKLRQPVVISQRRRITEEEKAHALKMAKEFKSKNPFTLQVMMECDVYVGFFMNIPCEFVREYLPKSGKKMTLWDPQAKPWEVQYVYNNEGSIAAFSGGWGKFAVGNNLEKFDVCVFELLKEDHIKVHIYRTVPHITPLLLNKSM >Et_7B_055526.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:13061065:13061316:-1 gene:Et_7B_055526 transcript:Et_7B_055526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLEQLELAYGLFSDLALDAILTKCPFLRTLDILGCWNVRLEGDIEERCCSLESFREPWEPEYSTDSSSGGDYSDNDSDSDD >Et_6A_045836.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:18559936:18560229:1 gene:Et_6A_045836 transcript:Et_6A_045836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFAASTALLATMSLVLLVSVRGCEPNCSSPAPPPPPTSTVTTCRYSTLEISACLNILTPTSQCCTLLHGVTDIEAAVCACLCVKGITVNAIALLN >Et_3B_030563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:534169:535525:1 gene:Et_3B_030563 transcript:Et_3B_030563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLWSKVLPRLNKIFDKDGKKAAALEFLKSFNKEELDKEIEDKKTELEPKVVEAYEGSPPEVKALFKDKKPVRVTKKNSAAVIKFLDELAKIEFPGAKLVSDAVAKSGTTPLAPAITFILDKAAPFIPKPAEPEPAAAAAAEATPREVAVEEEKKEEPAAEEAAPAASSKVVEEKKEEEEKKEEEKPAEAAAAPAEEEKK >Et_3A_024600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22491500:22495577:1 gene:Et_3A_024600 transcript:Et_3A_024600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKEGLNKVTARKTNTSRKGCVKRISFKSDAKSNSLQLHHLPTDILRCILSRLTFKESSQMVFVSHKWRRVWQSCCPKMIFTRATMFRPGSSSVLRTRTNFARRVNSFLDQPCSPPTLEQFGMRRKHAWHVNRWICFCSASRASHITIDFTPGVKGINWGLANDKYIFPLNVFSGRDSSNIHIKSLHLGYVCLNNASIGFVGFVNLKKLTLYKISFLRGIQCLMLPECTALEWLSISCCFLPALTSCKPLQRLRYLCLHYCNLEKIELEAPNITSFDFCNQPIPFALGGSQKVVEANIKLLCKGTLYGDNLDYIYTELPATLPHVQNLTITSNLYIFDESHMFAKTSARFINLRHLILFLPFYGDDQSIDGILRLAYLLELAPVMETLELHVLGDDISTGWALRGNMIPYPHYNLKRVLISGACKWEGLMELACYILRSARLECMIIDPMIRVKGHPPDGWMLDVARDMIKKLFEREEFQDIWTRGAVEAERLGDAAAADREEWQLTGTRVVAWTAARREHAEDERPRWYGGNLPWLSRRGL >Et_3B_030660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6017715:6018965:1 gene:Et_3B_030660 transcript:Et_3B_030660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGSFASAVEKTQLAVQDAVNSSSRSAAAFSDQVPQILVPRAAGRVVSLSTCTKVGAISFAVGVVVGFTLKRRLRRWAAKLLKRIKDDD >Et_4B_037070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14286315:14289206:1 gene:Et_4B_037070 transcript:Et_4B_037070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYAFDERQRVAEEKQFGELPDQGVSNISFEEKLFGSTIPPGHKFCQTNAGVTYDLSSIVVSIALFDGDKMLFACSGIPIGGGESKLRLTRFVTSARLIKAFKENRYKDDKLRIAVGLPDNTTADGLLGLYDDNIAIVTSIGIRAVHPVDMNFQTKLSHGPALVAGRTFSSRDLKTMDGSLIKKGRGTFFSGSQDLTEAVLGGPLIGDDNIFLGMIIDISSQGDVDVSCSDRALFIPSKKLYDRLKHFQIINHKVKDFRGYKLPEAFMKTIYRLKSYGYPLPPPLVLELNGELVNGFEEHFGECLAWEGCPFGEPPGYSLQCIWMQLPKRIVTNISRRVVSLASFHGCVRHFTCTGLLIEWPGSKTSGSVILTSASLVKSCGKDDIERDLRIEVYFPPKRANGNLEFYNLNYNVAIISVKKKFVATRPEDIFKEVEESRIDKVLAIGRDIRKGLLMASMGDLNHRKRSSKLDCKELWFSTCKIKKVGIGGPLINLNGSFVGMNFYDGSEVTPFLPQRKIAKVLRGRKYDRLPSEWWPVPEPYWYHGMVDVSMHHMPKLVGR >Et_5B_043159.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:13249005:13249712:-1 gene:Et_5B_043159 transcript:Et_5B_043159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TLDVVNSFHWPHGPKTLRRRRRTITLVTESWYPSSDHDYGLLLEDGVEVSRYYYLWVKYALLAYLYDPAVSLPELSSISLYAPPNLVKERNATQSFTKVPNTPYLAHQLGRRRLLPRALARVPLLHGRALRPGDGGRRQAKPRAETELAHHQRRMGRAPHRNDVPALVREPLPQLPQPDQLRHHHNTDLLEVTLVDRDFAPLLPMGKMPPTSELPVINLFNQAVSIEGLKVAGAN >Et_3B_027652.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:10850040:10850216:-1 gene:Et_3B_027652 transcript:Et_3B_027652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGVDRLPPQGPATDYLGARPLRLAPVFCRLPLPPPLHGAIAGGGFHAAPLGDPS >Et_7A_052311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6901888:6907979:1 gene:Et_7A_052311 transcript:Et_7A_052311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRGGASALVALCLLLQLALPPQGAAEPLVPALFVFGDSTVDVGNNNYLKNCTTNCTANYPRYGVDYRDSAPTGRFSNGYNLADQLAQMLGFKESPPPLLSLPDESRVPQMMSTGINFASGGSGLHDVTGKDLCHEWVPMSQQVGNFSTLAKSGNQTVADLVSKSLFFFSVGSNDIFEYVDSQYVNGQKDPNRNDTEFLQFLISSYSNNLKALYSAGARKFSVVTPSLVGCCPSQRLIANKTKEFDQHKCLAPGNNLSSQLYPMLDSMLQDLSQQLPGMNYSLGDSISMAEWSENQTAAGRVSESLFFISTGSNDLFEYIDFKAPKNRNDTEFLQLLVASYSDHLKGLYGAGARKFSVLSPSLVGCCPSQRLLALKRKDVDKYGCLGAANNLSRQLCPMIASMLHGLSLELPGMNYSLADSIRMAEFIFNNTPTPAYNFTVLDTACCGSGKFGAGGCDVSANLCKNRDNYLFWDDYHPSNAATEVAAKEIFGDPGIFVHPINVQQLGEPRPQRMII >Et_6A_045933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:10740979:10741515:-1 gene:Et_6A_045933 transcript:Et_6A_045933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGTHCVVCSRTYEDGGHLFFKCKTAKMMWRLANMEASQTTTKGVQRSSRRWEKPEQGTMKINCDGSIQQKHGKGGWGFIIRDSDGDPVCEGRGKLNYAWSAALRSN >Et_9B_063668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17673028:17678762:1 gene:Et_9B_063668 transcript:Et_9B_063668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RHRRHRRFGGRDVVERVRLKPDEAAPVDEPAALLLVVVGRYGIEGGAEAAVAVVSGEVPCALRHAVDNVHRRRQGGGPDGEHPRGRVGRFRLEVGHQRAERPREPRRLRGVLRARQPHHHRRVDDAEEARVGVPQQALSVDVHAPLLAWTLAGHVLRRGIEARAAVRRGDVAAALREAVRLVGCGTGDVLREPCRRGRRAWEGGQERGQVGFEGAAARVVCVAWALGKGWVVGVGRRGENERAEEEGGWR >Et_2A_017491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34911380:34912029:1 gene:Et_2A_017491 transcript:Et_2A_017491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQGSSRLLAACASLLLLLCRAGAVEHVVGDGDFGWDSGVNYVTWAQKETFAVGDVLVFQYVSSQHNVYEVTASTYRSCDATGDSGVVAKYTSGFDKVELAEARSYWFICEIPGHCLGGMKLAVNVSSAKGEEEGGAPSPNTNVPPPPSSDAAGVRRSWMAMGLALGVLLLVLMNGAT >Et_7A_050466.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:17384008:17384142:1 gene:Et_7A_050466 transcript:Et_7A_050466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQPGPLNGQFSGCTGPSKCLPAANFFAKRAPLTAKHHATVLL >Et_8B_060035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5216415:5220166:-1 gene:Et_8B_060035 transcript:Et_8B_060035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPFFITVLAMVLAAAILRKLKLSVYNLPPGPRPWPVIGNFNLIGALPHRSIHELSKKYGPLMHLRFGSFSVVVGSSVDMAKYFLKTNDVVFLDRPKTASGKHTTYNYADITWSPYGAYWRHARKVCATQLFSPARLASFEHIRADEVRALVRGLFATAASGPRAVQLNRDHLSTLSMNVITRMVLGKRFFGDGADAAAAEGPVSSLAEFKWMLDELLLLNGVLNVGDWIPWLDWLDLQGYHVVDEHGERRRREGASFVARDMVDVLMQLADDEDPTLDVQFGRVGVKAFTQDLIAGGTESSSVTVEWAMSELLRKPSVFATATEELDRVVGRGRWVTEKDMPNLPYLDAVVKETMRLHPIVPLLIPRVAREDASVGGYDVPKGARVLINVWTIGRDPALWDAPEEFVPERFVGSKMDVKGQDFELLPFGSGRRMCPGYNLGLKLANLLHGFTWRLPEGMAKEELSMDEVFGLSTTRKFPLESLLFLLQRQ >Et_10B_004324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3975930:3977789:1 gene:Et_10B_004324 transcript:Et_10B_004324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPAQERWVILAGLPNVVTDDEAKRVLPAGADIAVAFRDPPRAAVLTVPYRVSSPPCFDHPYVAAADASGLLLLCSTEPDGVYFATYTYHLCDARTGEAARLGDHDQAMGRHGRNAGLMVRDDGSFVVAELQVQPSTAGDRATTATLLRYAVGGKDDEHKHKWVEKTLALPPPLRRHWCGEGAVSDGATLWWVDLRQGLLGCDPFADEPELVHVPLPQITDLLLPLNTVDSEAHHCVRMSGGRLRYAAIHGSAADAPVVSTWALIDDRTSSTVKWNPERRSVPLADVWADESYLNTMLPWSVPALALLHPADPDTVYFFLDNHIFSVDLRRKKVVDCAESGMPDQPGEGFGIVRPSHWVHAWHYDPSSNRSDFESSYLRQEKEFAARNRMIGLLRATREQAKELKKFRDDLIREQQEAIRTKEL >Et_6A_048149.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7694563:7694898:1 gene:Et_6A_048149 transcript:Et_6A_048149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRGPDCSGLTALAHRLAKHLAEAKAAEGRNLVFSPLSVYAAVALVAEGARGATQRELLEALGAESRVDLAALVRDIADRALADRSPSGGPVVAFASGVWHDAAWALEPA >Et_3A_025143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27294793:27301536:1 gene:Et_3A_025143 transcript:Et_3A_025143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEKRGYKLRILLLRLPVAFLFLDLLLFARGESGHPVKQSWPAFAQTEFVAHDAEVRSLAIGKKSSRVFITGGSDRKVNLWAIGKQTPLLSLSGHSSSVEAVQFDSAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTGVEFHPFGEFFASGSSDTDLKIWDIKKKGCIHTYKGHRGAIKTIRFTPDGRWVVTGGEDNIVKVWDLTAGKLLHDFKFHSGQIHCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPEDTGVRSMVFHPDGKTIFCGLDQSLKVFSWEPVRCHDAVDMGWTNMADLSIYEGKLLGCSYHERRVGLWAADISLIGPYALGVLPKANFFAELVHSIDDTPVKSIDNTSNSGTALATSHPKSSYKVKELGIAESRVQGSHLTPSSTDKIKKERSSGITRRPNSSLKSSVQSATPMRRMKLADSPSTNPRTVQRNSGQRDVSLTSRTGIVNNSLTTKKGHFTESALVKDIYTTTQAFSAPIVVPRDILEDKATDSVCSGTTADPDDFRDLVHTRKLPLSAGATDSDTSVRSILAEPDVCSEGLSGLKFSFGISPYHKKEEYGSVDKGHITQMAEHMDRAMLLEHPVQSNDDKFAVPLGKTKSLVERFEKREPSSIDCSRQTDSCGDRAVRTDSPSSHPAESNQIYEKDLSTVDEVMTPINLTRNHDEFINSMKLRLTKLEMMRHVFEQSGVKGAIAAVTKLPDNAVQADLVSALKGKLDLFNLEIFSSFLPVLAGLLSSKTERHATVSLEMLLDLIKIFGPVIQSTLSANSAVGVDLQAEQRLQRCTRCFNHLQKIQQVLHPLIMRGGQQAQLAQELNLSLQDLVVI >Et_4A_035572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29745442:29752932:1 gene:Et_4A_035572 transcript:Et_4A_035572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKQRSGDGEATAGGNAGASGPSGGHCPSTVFVSNLPYTYKSSDLEAVFSEVGPVRRCFMVAEKGEGTDATVQDAERAIQQKSGSAVAGRKIRVKLAMNRAPLKERLQKKENRQMKDSDVKDEVDDTPALKDSVAKDEADDTPATTSHQENSHKTDPVQPRLVTKAATISSSGDADKGKSSEKQRVAKTVIFGGLRDFAMASEVFRQAGEIGSVVSVNYPLPKEEIELHGLARDGCTSDAASVLFSSVKSAWDSVVRLHHKEVKGTIVWARQLGGEGSKIRKWRVIVRNLPFKITEKEIVDMFSSAGFVWDVSIPHKSDEGVSKGFAFVSFTRKLDAENAIKNVNGKVVAKRTVAVDWAVPKKVYTVAATSDVKDNDVSDNGSDDDTSEDLVGEDDSSELDQETSNRPSEDDFKAEADISKKVLENLIKSSEKSETSAVTDSDSDSDTETEKDTPEKKKPDLPAASTLSKSKRVTDEKNTDPASIHKKNEDLDRTIFISNLPFDISNEEVTARFGVFGKVESFFPVLHKLTKRPRGTGFLKFSTAAAADAAVSAANAAPGLGIFIKSRSLNVMKAMDKDSAQKKALEKSKTEEGEILPGTPAAEGVSDADMNKRSWLARRKAEMLQSPKFHVSRTRLIIYNLPKTMTINDVKKLCREAVISRATKQNPVIRKVNILKNEKKGKVSVQKHSRGVAFVDFQEHEHALVALRVLNNNPETFGAERRPIVEFALEDVEKVRLQKIWKERRHKPKGGADDQEGPSGDQPVSDGLHADNRRTLRKGNKRKSQDRPSKPTTEVPDKDFSAAGDRNAGEGIRKERPAKRARKSNERTILPGRDGKAAIPNTSRNQAVSSERDQAAAPKKGNKKDGQVEQKRGRAARRAKKEPTAEGGVDKSLVERYRSKFLQHGLSKAKES >Et_5A_041777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2769391:2771321:-1 gene:Et_5A_041777 transcript:Et_5A_041777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVERCGEMVVSMESSPHAKPVPAPFLTKTYQLVDDPCTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFRKGAKHLLAEIHRRKSSQPPPTPLPLHQPFHHHHHHLHHHHVNPFSPPPPPPAHPANHVAPVSSPSSAATHAQLPASAAGGAGAASSCRLMELDPDSPSPPPRPEAVDGTVKLFGVALQGKKKRVHREDGSDDDDHDQGSEV >Et_2B_021388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29241222:29246965:-1 gene:Et_2B_021388 transcript:Et_2B_021388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLDPTSEAPRARRPPPPPPDSPEDRSQALPPPPPGGPPPSRKRSRSPPSSSLPPPPPPPPVGSSRPQRYRDHHRGGRGGSSPSPPPYRGGRRHSPSRRSPSPPFKRSRRDDGYDRRGGRSPPRYGYDDRRRGYDYERGGGRGGYDDDRHHGRYPNRAQDWPDSGFGASNDGPGNTQREGLMTYKQFIQVLEDDISPTEAERRYQEYRTEYITTQKRAYFDLHKNEDWLKDKYHPTNLLSVIERRNERCKVIAKDFFIDLQNGTLDLGPGVTAGAVSKSGNGSDGNSEDDADTDKRRKHGKDSSKGTDSLYGASKAHSISSEPRRIQVDIEKTLSLVRKLDAEKGIEGNILLSGDHDKSDVDKSHIGSMGPIIIIRGLTTVKGLEGVELLDTVLTYLWRIHGVDYYGTSESLEAKGLRHVRADNKTSSTSDISAADWEKKVDSFWQERLNGQDPMVLLTAKDKIDAAAVEVLEPYVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVHKHLRLKHADLVLELTSKVREDLYFQNYMNDPNAPGGTPVMQQPAPDRTRRRPGMDSRLRFDDSRRENDRPERDGGRYGRGERSPSRDGPDDQMFDAFRGRGANAPFVAEFPPPPILMPVPGAGPLGPFVPAPPEIAMHMMREQGPPPPFEPNGAPHGNTGVLGPMLGGPAPIITMPPNFRHDPRRLRSYNDLDAPDEEVLSFRTGNFAGSSITKVLLYPRLRIWCSFPRRQRCKGHKEVDQSFRSPVIF >Et_5A_041844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3363243:3368401:1 gene:Et_5A_041844 transcript:Et_5A_041844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEASTAPENGTAAAGGGAACNGAGAVSNGGGVERRLRSSTVSASWAAHLPLEVGTRVMCRWRDQKLHPVKVIERRKGLSSSSPADYEYYVHYTEFNRRLDEWVKLEQLDLETVETDVDEKVEDKATSLKMTRHQKRKIDETHVEQGHEELDAASLREHEEFTKVKNIAKIELGRYEIDTWYFSPFPPEYNDCPKLFFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLEILKKHKSNISIKELSDMTAIKADDILSTLQSLDLIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDVSKLIWTPYKEQG >Et_3B_028704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18223020:18224511:1 gene:Et_3B_028704 transcript:Et_3B_028704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEESALAEPLLVPGKDAGDDAAIMESHLLLPCDTGASFSRSCLNLSNVISGVGMLSVPYALAQGGWLSLALFALVGAVCYYTGDLIARCMRAGGGAVRGYPDIGQLAFGRAGRRAIGAVMYAELYLVATSFLVLEGGSLDKLFPGAGADLLGCRLRGKQLFTVAAAAVVLPTTWLRDLSVLAYVSAVGLVASAALTASLVWAGVDEHGFHANGGNVLNLAGLPTSLSLYFVCFAGHGIFPTVYSSMKKKRDFTKVLLVSSVLCSLNYALTAVLGYMIYGDDVQALVTLNLPSGKTYTRIAILTTLITPLAKYAIVVQPIATRRSCRSPATAVISTAVLVSTAVVACTVPFFGYLMSFIGSSLNVTVAVLFPCLSYLKIFMPRGGIRRAEVAAIVGILVIGVCIAVVGTYTSLHQIVGTF >Et_4A_033698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2600187:2607329:1 gene:Et_4A_033698 transcript:Et_4A_033698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAVAGGGPGETRPVPAWLRSLPRAPEYRPTESEFADPIAFLSRVEREAGAYGICKVIPPYQRPSRRFVFAHLNRSLASAGSDAANPTTSASPNPASSRSDSTAVFTTRHQELGTPRRGRPPPQVLKQVWQSGEQYTLEGFEAKSRAFSKSYLAGLRDPTPLEVESLFWKASEERPIYIEYANDVPGSGFAAPEQSRRQKKRRREGEPDDGEKGSGSRLSGSPWNLQAIARAPGSLTRFMPDDVPGVTSPMVYIGMLFSWFAWHVEDHELHSLNFLHTGAAKTWYAVPGDRAAELEDVIRVHGYGGDSDRLASLAMLGEKTTLMSPEVLVAHGVPCCRLVQYPGEFVVTFPRAYHVGFSHGFNCGEAANFATPQWLKFAKEAAVRRAVMNYLPMLSHQQLLYLLAVSFIPRTSSLLSGSRTSRSRDRRKEQRELLVKQEFLHDMIGENKLLCSFLKKKPIKNVVLWEPDLLPSLHSCSSGSKVLDKKSEGGCRIESQQCNHKDNISSDGTACMTGTQSESMSTDSKPSYATAASEGKQLEGVADDEGDLPFDLSIDSGSLTCVACGILGFPFMAILQPSREALEGCSSIPSEPSIRAEHDTFSYQHVNSQRNNVCLTVNELDGPLLQHSNCLHSCSIANALHSCSSREKSGNVPADSLSSDVSKQIDRCDIDAKGLDNCENTSTWNTSCTFARPRIFCLQHALEIEELLEGKGGVHALIICHSDYTKLKALAISLAEEIEFQFDCTDVPLTNASKSDLQLINISIDDEGHEEDGRDWTSQMGLNLKYCAKLRKERSGNQEKPPLSFWGLFSNEPATSDAPNLKWLCRKARTPYKVIGIISSSSATTTAAKVEPEDTKPKGGNFENVYEEENSQQALEQFSPLQSSIQQDSDDIANMQLCTDKEDDKKQPLVDIPIAIAEYPMMQQVSEDPANVNTCNQAICPPDSQDSLLHADSTFEVVRDQECVQSTVRSCSTAVSVQQFVDDESVSVEGSMNCLSSHEYLESGNNTLRCRDEQLQVQQDQERTALCDNLNRKAVGPCLIDSEVKCGDAVSSILANEEDCAKTSCCLDDVTENNKSLSDNEPEARDRSADRECRSTFSCLGSADAPSSTEPLSPHDLMSDELQVDTSHSIVKSDELKNISASDESSQKETVQAALVSVIPKEDGQSIRSNTFDILLGALAEESKVSDAPGKDEVGKASLTLMTLASNDQTSDEVTERKVVEMTKRDTTLGVTEDCRQVDQSHDFQLSDAVSRSIGRSSRTDIICYVRRKHKRKREPGANTDSSHSVGSFVRSPCESLRPRTRPAVVEEMITAEASTARKGKRAKMVSFECDIDLCDMKFDTRAELNAHKRNICTDDSCGKRFSSHKYLKRHQVVHSEVRPLKCPWEGCGMTFKWLWAQTEHVRVHTGERPYKCSAPDCGQTFRYVSDYSRHRKKFNHY >Et_5B_043823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16567624:16568393:-1 gene:Et_5B_043823 transcript:Et_5B_043823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKDMNNQSVIVTKKLLGFYASFEGVNTLVDVGGGMEATLHPITSHYQHIQGINFDLCHMRAQEPAAALPENHAAPQSLLGCSRALLDMSMLSISSASIAYLLMDTYSVMEKYYPEAAFLALILG >Et_10B_003369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19184297:19187679:1 gene:Et_10B_003369 transcript:Et_10B_003369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGRTFLLPLAAATVLVASTIFLFAAAGARWRPADSGLPLPTDAATSTDFSTTAVPETVTSSSDSSSGKGLSFLDENGQPDDPGSGSGSAAGRCDPRGAAVRVFMYDMPPEFHFGLLGWSPPAGAGDVWPDLTNGAAPPPRYPGGLNQQHSVAYWLTLDLLSSSSAPCGAAMRVADSRDADLVFVPFFASLSYNRHSRPVPPEKVSRDKLLQEKLVRYLMAQPEWNRYGGADHVIVAHHPNSLLHARAALSPAVFVLSDFGRYHHKVASLEKDVIAPYKHMAKTFVNDSAGFDERPTLLYFRGAIYRKEGGSIRQELYYMLKDEKDVYFSFGSVQDHGASKASQGMHSSKFCLNIAGDTPSSNRLFDAIVTHCVPIIISDDIELPYEDVLEYSKFSIFVRSSDAVKKGYLMGLIRDVSKEQWTRMWKRLKEVDKHYEYQYPSQKDNAVQMIWQALARKVPAIRLKSPVTDSLNLNLEAGTRKNNEPSKRFSSLRNLEF >Et_3A_023362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23101839:23102859:1 gene:Et_3A_023362 transcript:Et_3A_023362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAAVRQLRRAAGRVGGVQPAVPVLLRARRPQPLGRHAGAVGRLPRPPRAHLPRTAAGRAAAAVHVVHAAPARGVRGHRRAHGREQPALLLQLLVPSRVHLVAAAVHAAGLHAGPGRGHRAPPAHLLQPQCRGAPHAQLRAPRAALVRRGGAARRREPGPLLRGLRRHARRRGPLRGLPARHGARVPAGRVRRLPHGRGGAGGHAGRGHGAGRGRDCRRRRVAGGDGAVGPVAGGLLGRGGRAAGMVYLTSSLHSDVCMTAVLTANVVGGVLVLGILILPLRRVHHAAEGAGGRRQGGRRRRGQRIGRRSAQGRRYRWRRGRRRRRCG >Et_6B_049804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12980639:12981032:1 gene:Et_6B_049804 transcript:Et_6B_049804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCGSSCNCGSSCNCGNMYPDLEEKSTGAQATVVLGVAPEQKAQFEAAAESGETAHGCGCGSGCKCNPCTC >Et_1B_012242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30050022:30052751:1 gene:Et_1B_012242 transcript:Et_1B_012242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAVPPPTELYRHRRLLHGVASGKEKTRLAFVCPEPTCRRHDPARAMDNFPRIKKHFRARHAHGHGHLWTAGPAGHGGSASSSSGQAAARSSMEHQETRDASQPPRSPGEGVTTVAASQQLLHPSAASSASPSCGDDLGASATTAAAGAAVTALRLHGFDPVVFAPLTPPERRVARDNVEELQLMPPRGSCAAPAAAVWWPAPPRSHAVIPQLELSLWFGGGGGGNQLQLAPAPSASAAARLNHEAREQLRLAAAELARAQARRQAELAGHELAIARRVRQQAQAEFTSAHAFRRDAARQVDAMLLQATCGCGICRHKFGATAAPAATTTMTMSSKVASYVPSVVAAEVGNDCGHLRADRGHRQEEAAASRHSW >Et_2B_019537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1001193:1005559:1 gene:Et_2B_019537 transcript:Et_2B_019537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRTLADTFSSLLFSSGGGAGAPMDAAAPSPGAVVGERVALKLKGYFELAKEEIDKAMRAEEWGLPDDATAHYRNALRVMLEAKAARVPDAVSSSERGQVRVYQEKIAKWRTQVEERLRVLGQRGGQGTAAASVAPKKVVVSNPINRNSKPAATSTQKSTFQNSPTFNRGGQASAPQKNIIGGSRPAQRAGGSNDDKLVEMINTTIVDRSPAVRWDDVAGLDKAKQALMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAIERQPSVIFMDEIDSVMSTRLANENDASRRLKSEFLIQFDGVSSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDRNVRRLLLKNQLKGQAFKLSIRDLERLAAETEGYSGSDLRALCEEAAMMPIRELGPQNILTIEANQLRPLRYEDFKNAMAVIRPSLQKSKWDDLEKWNEEFGSS >Et_3B_029009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21293974:21294341:1 gene:Et_3B_029009 transcript:Et_3B_029009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSLRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQ >Et_3B_030755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:744752:752133:-1 gene:Et_3B_030755 transcript:Et_3B_030755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRQEFIVKLVDQRRIYKQGVQMERSAATRTRSLEAVAEPDDKPVEQQCNHFSIRDYVASLQKKDPELYSLSRIFGKQQQQDEHRNLCPISVPKFRRWNCSGCLDKVKVGDRATSRAVSTKRDSNNNGCSISFVRSVRPTSVRYTRLLPCTQRSSQGNEAGGSDFPKSTQECNSNCNSLSGSRGALTDMDVDPATKESQEAPNNLDAVENRSNDAYVDVSVLPDIPQMISSTTGDGTKELQEPANNLDVMENISNGASVGVSVLPDISQMISSTSGNGTKELQGSPGDLVGDTLEAPQMLSSKSENGTQNLSSSKSYEVRNEDENERVDDVLIGDSSVPNVSKPAEHKGSESISGHNGSQVHNRGSRQAASKRNAGSGSKKKKNISTNLSGISDPKFCQRKRKRTRLLSELIETDQMGGPTNSIDVYHARVGDLCESDRGKMSLEVEMGNDSSVSQQKAEEIESRPIQNNAKLRVDDVHDQSSLMNWQKRSHKKVRTEKKDSGDRNVDSFAVSNSSPGTPSDNLHQDSLASAGDWSKEKVPSTTNAKHGSGNMQNHSQGPNMLKENALCQDEPGNSKQMFLPMGESETLLKRKVPPTVNAKHGGAKYGNIIAKKKMCKADHKGQTASENTQRRCLSKASLGKRDSHNVSGTHDQKMATDKKKQKLQVLEKQAEIDDIPMDVVELLARHQRERQLMTDADSLETSHTRPTAEDCAQMAANKDGSIDASTVLDTNFPESLTSQRKKKLPGHASSSTKAANLHPLGESYAQMSVQGHAVSNTQASDRQMQNSLLVHAASIADAVHVYPPKLRIPDILMCTEEQQTHSHMDKEVTIACASPTFSHHGISEVPTRSLGANRTKKLMWDSFKTASRNSVASSYGAPFRSGFEEVGSGSARVFGASNNYPTYSPATVEHYTKKAVNQAPLRNQAMEAGRLYDQKIAGQSGLYPRETMPASHLLRLMDSSTASGFTNRNRMAFEAYGSQYVQNQYKASPSRSYGVEKFPLTMEDLSQHQVQQSLHRPFRPHPRVGVLGSLLQQEIANMSEHRGIHAGYRVGVSNGIAPMDSNRKENCEALNSGILSARWNALQSAANPEYLSPRYSEAQSWSRGAGKVVHPLDKLVRQDICQTNRNPADFTTISDKNELEVDLQTSTQVLLKLDTDQLIY >Et_9A_063270.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:18247076:18249124:1 gene:Et_9A_063270 transcript:Et_9A_063270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQLDPPAPPPRRSVSTSCDLHPGETFTSFCAACLRERLSGLESSAAAAAAPGRKSTSAIRSLFSRPFAAAAAGAPSSSAAAPPDLRRCKSFSCGRGGDALAYAAANEPQRRSCDVRGRSTLWALFHQDDRERVRDGTAFGAFPASSSAAAAALAAEVQPPPQPPPPVCVPEEFLEEEIAVAEDSDEITPVVEVEPVLAVDTSGELDAEAAAGARDIRAMKDHIDLDSSQSHPKKPPPKDLKEIAGSFWLAASVFSKKWQKWRRKQKLKKQEASASAAGGSSKAAAAAMPPPEKPSKPSFLLRRSSRFRGGGDAAGSEFAAGGRRSCDTDPRFSLDAGRMSVDDVGLSWDEPRASWDGYLFGGAGAGVGGLGGRAPPPVSRLPPILSALEDSPAGVVERSDGQIPVEDDSQPEPPDSDTNVPGGTAQTRDYYMDSSSRRRRSLDRASSVRKSFEFATDPKPAPVPVPVTNAVPIPVIKGSSEFYHFHHAEDLLDHHRFSTSSLVEDFSASLEAAFHAAPAMKPLRRWRTKAWSLWGLIHRRAAGRRTGGGAASDVGDRAFSEPWMPETRAAAARGYNGRMVMMQRCNSNASARSSFSSNSGGGLGSSRRSFVLDAHGHAKRRREECAAAAALERNRSARYSSPGHADNGMLRFYLTPMRSASGRRTPGRQQSFARTMLRLY >Et_4A_032439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10005125:10009242:-1 gene:Et_4A_032439 transcript:Et_4A_032439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLVSPAASPHIFPSPGSKAPACAAAAAASFSLRLRRARAAAVAGAAAAGGPDRDGGRFEGEAMGGAFDRGLADIAKKVPLFEPAGDGELAAAAGEKPLPINLELWLYRVKVHTRKFEFPEAEKLLDKCISFWPEDGRPYVALGKLYCKQSRFDKARAVYERGCQATQGENPYIWQCWAVLESKSGNVRRARELFDAATVADAKHIAAWHGWAILEIKQGNIKKARNLLAKALKYCGGNEYIYQTLALLEARAERFEQARTLFEQATQSNPKSCASWLAWAQVEMRAGNNTMARKLFEKAVEASPKNRFSWHVWALFEANEGNIDRARKLLKIGHAVNPRDPVILQSLSLLEYNYSSANVARVLFRKASQIDPRHQPVWIAWGWMEWKEGNARTARTLYQRALSINSTNECAARCLQAWGVLEQRAGNYTAARRLLRSSLNINSQSEVTWMTWAALEEEQGDPVRAEEIRNLYFQQRTEVVDDASWVMGFLDIIDPALDSVKKLLNLDPQSGPARQNNLRTTTEPGRSTINSSADEEYSGTSTTDSDEAAETPGSNFDLDGFIKKRLGLDPSELDAVLEGSDPGGVVTQRRKRRLPRKPLPLLPVP >Et_9B_065079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20986264:20988898:-1 gene:Et_9B_065079 transcript:Et_9B_065079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAAAARRLASCTRQQSLVLGSQAEAPHLLAPGMAAGSPRAAAAAAFLHSHATSFGYKQVREEEKSKLVGNVFSSVASSYDLMNDFMSAGLHRLWKDRLVSQLSPFPGMKHLDVAGGTGDVAFRVLDSIKSVSHRAMGGAISDIEEDTHIYVCDINPNMLNVGKKRAAERGYNEEKCLSWIQGDAEALSFEDGSMDGYTIAFGIRNVTHIEKALSEAYSYDVYSFSVIPAVGELVAGDRQSYQYLVESIRRFPNQEKFARMIQEAGFERVEYENLVGGVVAIHSGLKL >Et_5B_045255.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1502325:1502873:-1 gene:Et_5B_045255 transcript:Et_5B_045255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPDGALVEVFSRVRDVEALFRCAATCTRWLRLFTDPDFLRLIWPETDRARLRGLFFKGPDANMRRIFVPRLGFEDCGRRITSLVLNNHHEDERDLLASSRGVILKHIFTSTCCMGSHTDIVLSNPITGAVDKLALPPGCRCLNVCVAGHAILTAAAHRHVCTPRSPSCSSSAVTRTTSA >Et_8B_059013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12208946:12213612:1 gene:Et_8B_059013 transcript:Et_8B_059013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPAILLCPAPAPTAAALARHQSSPFPAASPSCSASTASTANSLATPGRCQGSGRGRLVAAVQQSPSRVASPTIADTEDKTESEVAMGYTMTEICDKFIEFFMHKKPETKDWRKVLVFREEWQRYREHFYKRCQVRIDMETDSSMKQKLVVLARKVKKIDGEIEKHMELFTELRESPSDINAIVARRRKDFTGEFFRHLNFLLNAYNGLDERDGVVRLGAKCLSAIHAYDCTIEQLDIESAQSKFDDILNSSSLGDACDKIKSLAKAKELDSSLILLINRAWAAAKDSTTMKDQVKDIMYNIYTTTKESLKIISPPEMKLLKYLLNIEDPEERFGALASAFSPGDEREAKDEDALYTTPNELHKWIKMMLDSYHLNKEETDFMDARKMSDPVIIQRLILLKETIEEEYMKQYIHPEEQESMGDEESEE >Et_5B_044532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3198770:3199904:1 gene:Et_5B_044532 transcript:Et_5B_044532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLEYLSGLLGGSGSHGHNKKKKRKQLQTVELKVRMDCEGCELKVKSALSSLKGVQSVEISRKQQKVTVVGYVEASKVLKKAQSTGKKAEIWPYVPYNLVSQPYVAGTYDKRAPPGYVRTAEPGYVPSQAMSRQDDQIANMFSDEDPNSCSVM >Et_5A_040373.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:18063806:18063859:1 gene:Et_5A_040373 transcript:Et_5A_040373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWPSATSSPSWSSPS >Et_3B_028366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14216544:14224453:-1 gene:Et_3B_028366 transcript:Et_3B_028366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGRKIDREGQRSGRVAAIGIGGGSEIEIEMVPATVGGEASSSGQQDDVEDEHLQRPAWKRFLTHAGPGFLVSLAYLDPSNVQTDLQAGASHKYELLWVLLFGFIFVLMIQSLAAKLGIITGRHLAELCMCEYPKYVKYGLWLLAEVGVIAATIPGGTALAYNMLLHIPFWAGAMICGATTFLLLGLQCCGDTSAFYMIENACALFLVLLVNVAIMSITGTICADNQSVDDINTCSGMMLNSTSALLKGFSGMRKCVIYLIAPVFTMIPSLIVCSIGGVFRVRGLINIAAIILAFVLPFALIPLLKFSSCSMMIGTCKNSHSIVRTTWILSTVIMGINVYFFCTSFISWLVHSELPRIVNAIISTLVFPFMAAYIAALIYLIFRKVSVTGPFPSMSVSCASEGDEGRREDDKHDNLSVC >Et_10A_001454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3552664:3555120:-1 gene:Et_10A_001454 transcript:Et_10A_001454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGHSSSGDLFEIVRRGAGGNCGGMATATATLSQSPELPLELPPTEAEMAAWLCQIVRGDEQDSTAAGRTLVDDNKLMAHGKQKTKKVQTSAEGKRVEDTTRSTSSERKKNTTAEARRSHYAETHSLTEKKRRNKINERIKTLQQLVPGCNKQCKQASTLEQTIQYIKSLQQQIQAMSLGHNMEPASAAVYPVGLPPRLTRTVAAAAPVALVSGHARPAVVLGPPPAMVPLAPLLPLAHYPAIILPAAPMLYPNAAPSPARGAPPPASFQQKE >Et_9B_065094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21156011:21159054:1 gene:Et_9B_065094 transcript:Et_9B_065094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDKSPRSLSPLGGRDRDRELLIPVSGGGSGPGDGDEDGDRPATSSASAALSSSSREAFHKVVRSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGINIFGLGFITSVTFIFLIGVFMSSWVGASVLSLGEWIIKRMPLVRHIYNASKQISAAISPDQNKQAFKEVVIIRHPRVGEYAFGFITSSVSLQSYSGQEDLYCVYVPTNHLYIGDIFMVNSKDVIRPNLSVREGIEIVVSGGMSMPQILSTLDPQMILADRTGPSRS >Et_2B_022026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8143605:8151162:-1 gene:Et_2B_022026 transcript:Et_2B_022026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGKKRPCGGGELSQVAEMVMVLSAAGEVRGGLQPTAAERALAAEARCKLTAAVDKVARPRELFPREAVRAAVQDLGLGCARDPTVMGYRPRRASIADRLLLTKRMVCHRLSPLVFCIPAAALLVFLMEEVKESCVHSTTNVPKMMAPGTLNGSPQIASRSTGSPRSLSTSMTIPIISKHLLPNGTIAGASYVKPANTPPIVSLLPVGSADIKVGKGVIGSHVSQSGGATIDRAKNSYHLTATGLNQTSIQNSSQARESLDKNESAIRSGKGSIVMGHQTPSVELYVHKKSVLSHHKEIANQVEWILYQSSDHPSWAVPSTEYMSTRLDCQICKVAIADMKSLIVCDACEKGMHLNCLNHSVNQGRTRTEWHCPLCLVRGNGKVLPAKYGKVIRTFIAPKTSMTSDAAQPFSQVATKKDCNKKAAANESATNENSSEGGSTVHKSSTLALDTASLKPLSISGAGLQKENVKLDGTSFMEKEEAARPCGGIHTETAISCNEGQSSGPSAYGSSNLSGGSHMHIDSSSVNSVEDSTLQATAQSGFKHSDNSSVMSSSTMPTNEHQADGIVKDGIRKPHKEEIMAKGAISDHGNVHQVNSNGHIFPDRETMGDQKDGYIGCCTSSIVDWVGGALKAVNNKTYYKSCNIDGIIYNLHDHILIAIEGSKPGPCKLQSLWQDHDSGSRLAMVNPYFFRSDIPESISKPCIDEENEVYGSNDEITVLLTAICGPCEVVHVDRVREEAKRRCQLIDSSGPVKLGETRGEG >Et_2A_018477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29163474:29164912:-1 gene:Et_2A_018477 transcript:Et_2A_018477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMWWTALKKSLNCKSKDSCDVIKREDSRGGSARGLKKSFLRSPSSLSAASLLRRSSAGCSRSISNLRDVVIHGSSGRQQQQKEDAPAAVASGCESPRSIGSNDVVSAATHDALLAAGARGSASGRDLGGGTPGRGAWAGGGMPFAHSPFLMRCSTTPLSQRKSPRAMSPLRPREGGAGEVWSPTPARASCEIGVRCRRCGCRVANDDALEWHHLSYHAVTELVEDDSARGVVEIICMAGWPKPESALDRVERIVKIHNLERSVSRYEEFRKAVMGRASQLAKKHPRCIADGNELLQFHGTTVSCALGAGGSSSLCASGRCGVCRIIRHGFSATSREAGAKDGVGVFTTSTSKRALEYCIQETSDGDSGEAGMEDGVRHALLVCRVIAGRIHRPMGNLQDVAAQPGFDSFAGKVGADSSVEELYLLNPRALLPCYVVIYKS >Et_1A_007778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38144312:38147498:-1 gene:Et_1A_007778 transcript:Et_1A_007778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGLCELRHVSDAEDLFSILETWEGCMNGAPAGGGGGAAVAAAFCQNCTGGGASVAASSRPTANSRRRSADEAAKGAPVHKKQKSSSAPAPDSADDDGEAKMSHIAVERNRRKQMNEHLAVLRSLMPCFFVKRGDQASIIGGVVDYIKELQQLLRSLEAKKHRKTYADQVLSPRPGPATAASPRPLIRPTPPLSPRVAVPISPRTPTRPGSPYIPCGGGGGRPPHHPAAAAAYMASPAMTPTTSSSSSSYHDQQQHFPQPYLPTLDSIMTELAAHAARPGALAIPDVKVEFAGPNLVLKTVSRRAPGQALKIIAALESLELEILHVSVSTVDDTMMHSFTIKIGIECELSAEELVQEIQQTLL >Et_7A_051155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1498461:1502003:-1 gene:Et_7A_051155 transcript:Et_7A_051155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDASPKKWTLKDQRETYLKWFSLADDDGDGRLTGNDALKFFAMSNLSRSDLKQVWAIADSKRQGYLGFYEFMTAMQLISLAQAGNEIGQDTIENADLERLQPPVMEACHSSESLITATWFNSKSGKKIPLKSVTSIIDGLKKSYIERLRPLEKTYQFHEFVSPLMTSSDFDAKPMVMLLGQYSTGKTTFIKHLLKTSYPGAHIGPEPTTDRFVVITSGPDERCIPGNTIAVQADMPYSGLSSFGTAFLSKFECSQMPHPLLEHVTFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRIVLNKADQVDAQQLMRVYGALLWSLGKVLNTPEVMRVYLGSFNDKPIKETAAGPLGMELFQKEQEDLLSDLNDIPKKACDRRINEFVKRARTAKVHAHIISHLKKEMPALMGKSKAQQKLLDSLDDQFATVQKELRLPAGDFPSVEEYRQILSAYNFDKFEKLRPKMVQGVDDMLAYDIPELLKKFRNPYD >Et_1A_006244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19576271:19577343:-1 gene:Et_1A_006244 transcript:Et_1A_006244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVRSFASLYCQKLRSTTRLGPAMAPPPRFQALERPRFLASGGAGDTRRPPALPRQQYEQERTPIFFTWARLAIGSVLAAATPFLHSKWASFLRIQSEVEMVKDAAEVVAEVVEEAATLTEKKSSEVAEQLPEGGRLRPVAVLVEHASKEVAEEAHLAKDIIHKVDEIEEDVKAIIEPFVNHGNHEQGKAQQRSNHSGK >Et_10B_002397.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17422416:17422733:1 gene:Et_10B_002397 transcript:Et_10B_002397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFGAAAPHASTLMLHVYGGRLVYYRDETRVVDASIYDRWFRLNVVHDVDAAELAVFVDGEERLRVGGRGGEVHYFKFGVYTQKGPSHRMESRWRDVKVLTKAC >Et_9A_061484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12493131:12493423:1 gene:Et_9A_061484 transcript:Et_9A_061484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVTKYVQHTKSMGSPAPSTLRSLHLKLS >Et_3B_029920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29016996:29018228:-1 gene:Et_3B_029920 transcript:Et_3B_029920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAVDDFKVMVTRKESFTGLAKAVVFLVVFGLGVAAGLWATAVPGGSNAYPRIVYVDPGRVCCRSDPDPDFAEFVAPTRLMHGMTDEQLFWRATMVPAAAEYPFERTPKVAFLFLDGSGVLPMAPLWERFFRGHEDRFSVYVHAPPGVAINVSEDSPFYGRQIPSQITSWGSVSLMDAEKRLLANAMLDFSNERFVEVYYRSNKQCRGRYSRRMAPDITLQQWRKGSQWFEMSRDMARSILTDARYYPLFRRHCLPATSCYPDEHYVHTYVNMRHAARNANRTVTYVDWSRGGPHPAMYGARDVTAAFIRSIRMSPEPCLYNSRLTSTCYLFARKFAPSALAPLLNMSSTVMQY >Et_8A_058114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20698586:20699816:1 gene:Et_8A_058114 transcript:Et_8A_058114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQGPFDTRDRSELPLDALTLVFAKLGAIEVLMGAGLVCHSWLRKSVCTLKQTYSHASLPYVCPTMLRCMARVQTAPSSLRRPVGLRLSLGFIKGFEFRVY >Et_1A_005697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12916315:12919394:-1 gene:Et_1A_005697 transcript:Et_1A_005697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRDVDQATKIRKRCALSPSSQDPRRTTRRRLRLKRGVRLIGRSGCGGASSPCKISGGGGGRRRKRMSESSWSRHHRRVETRPTSAASARKLVSALWQLDKGPGACGEEDEEGEVGWDAAAARRSSDHRRSASVEFSKLSRRKSRALEGDGERSWHNGHAHGHWFSDVMSNGGTMEAHACAQGLASPCPGDRTAPLQELHNSLTASKELVRVLANVLAPSGALNPTAASLLAALRSELDAARARARRLVREQRRFCGGGSGDEDVRTQLAEEMRAWKSRHREKAAAAARLVASELDAERRSRQRAERVGKKLGEALAAAEASLREARRELELERESRERLEKVCDELAADVGGGLEEEEEELLRREAAREELEREREMLQLADELREERVRMKLAEARVQFEEKNAVVDRLRQELEAFLGHSDKDGHGSPPARDDDDRRLQLVLASSEPVVDGIDRVAVHEKNRGDGDRDGSAGGGEVDDDDDSDGSDIELNMDGNSWSYSTAASNKETAAAMNNHAASRSSRHGSFSDRGTDDRRSQGLGEEALEQQNHHWDEGRCSDDDDDDDRTTARDADEDAERYEAIKNLREQMLAGHGFVFLSQGGADADDHRDDRHRHGLACQVQDTGLW >Et_10A_002324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:927686:933487:-1 gene:Et_10A_002324 transcript:Et_10A_002324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAQDDPTTNEMIQGYALLYNFGLAHIKSSALTCAVGLGIPSAIHRCGGAATLSELINETGVLPAKLPYLRRLMRLLAVSGVFDESKPPVGEESEAEEAIYTLTPASRILIDASYNDALNLACVADSNFVMDIVLKKAGGVFQGLSSLIDVGGGLGTAAAAIARAFPHISCSVLDLEHVISKAPERGQVQLLVGDMFKYIPPADAVLLKSVLDCGNDDSCVKVLRQCKEAIPARDAGGKVIIINTVLGHGTLDKEAEEAQVLFDMFIMRSCGHQRDEHQWKKLIKQAGFKDYKIMPLLITLSIIELLLPLHAWVFTSLGWWYASGQPESLHCSAAPVLQEKSMATLKPSITEMS >Et_10A_000216.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18160557:18160784:-1 gene:Et_10A_000216 transcript:Et_10A_000216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCGAPQGPVRLEPRQVGRGAAAHRAELRQGGERQGAGRRGLRQQHQPHLRAHHRRVQPCCRRKQQVPDRRDRD >Et_3B_031566.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:4804933:4805361:-1 gene:Et_3B_031566 transcript:Et_3B_031566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDSLSVPHAFRQYGYAEMIPYVEKKDHITSCANALCHCPIASRAGGYDRPSLCDHVALDHAALRCSRVTPGCLAVLKLRVDPTLFLADQVNVLVLTDPTLLLSGFLVVKPNLREIGFSCAGRRSNKERVGAQNEAENGP >Et_2B_022747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4232758:4233637:1 gene:Et_2B_022747 transcript:Et_2B_022747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKACCAKEGVKRGAWTSKEDEILAAYVKAHGEGKWREVPQRAGLRRCGKSCRLRWLNYLRPNIKRGNISDDEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSTLGRRAGAAGGGVSTPDAGYHSTPTASASNDAGQASAPRGDAASGSAGTATDSAAAVWAPKPVRCTGGLFFLRDARPEDETQTRTGGGGEGSDDCSSSAASTFAGAVDEPCFSGVGGDWMDDVRALASFLNSDEEWIRCQMA >Et_1B_013278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:707451:715131:-1 gene:Et_1B_013278 transcript:Et_1B_013278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSAAVARLRELAPAPRAELSAAGAAALAECCAGLLRHGGGDAEAVRSALDALCAAGGDAMRRHADGLAPLVVGRLGDGDAAVRESARRFLVLLMEMKEANARMENTEPNMSDDQHDHCATIEMESSDTSQVRKSSKEKTSTRHMSLSTGEGDITRNSVEPIKVFSEKDLQREIEKIVSTLQADNEWSIRISAMQRVEGLVLGGAADYSAFPMLLKQLVTPLITQLLDRRSSVVKQACHLLSFLSTELLRDFEPCAELLIPVLLKNVVITILVISEPADNCIKEMLRNCKVARMLPRIIEFAKNDRSAVLRARCCEYAILMLEYWVDTPEIQRSAELYEDLIKCCIADATSEVRSSARACYRMFSKIWPERSYHLFSSFEPSRQKMINDEDAETHQRHLPPVEKVKLRQSQPSSCIPAVMDKVVKVDSGTSFSSGDLQPLQKPCLQYDEMTSKVTGEGSKDDSSATGSSLEDRSILGKERNKDTVIEKCDADNNAGIYSSGCDLPSATPLATEPLSEMSLPDATVVTIVHDKAECKPNIEEKSRQVQASEDPSDLSTMSPNINMKGSGRLLKQNAVTVNSDASSLGPQQVRKHSVSTPKKSVASKGPHNSYTPNFRRPLLSKQMTNWFYASTRSDLDEKQLILGEMASNMDVPSSLTEALSLGLNPRSDWMMRVYAFNFLRQCLVERGPKGMQEVAQNFEKVMRLVCRYLDDPHHKVAQACLSSLTEIMPAFKKPFEHYLDKTLSHIFSRLNDPKESIKQQCLAILKLASEIYSIDSLLPACLRSLDEQKSPKSKLSVLQFANASFVKCTVNSECHSSSSFLKPWLGKLALLFKDKNNKLKEVAVVGFSSIYSHYDPASVLSFLVSMSMEEQKQLRRAMKQLIPTMESDLEDFLKQRRHMQKAPSFDPFTTKSPLHPAYQSAKSPLHPAYESAKSPLHPAYMPAKSPLHPAYQSAKSPLHPCLPHISLELQECHTGKIEPESSNESYGHKAEMIDNKSNTKSSRNGLPRGSERSAVSQNRGRSVSRDPRNIKKFDEPNASEPSITFRNNDAVRNNCQDNENLHQMSSSLLDMLDDPDEPTRELALSLLVEVLEKHVVNQAHICLTTVVTQFDPLRCLQAIASQLSCQDEKILLICINSLSKLVIRLPQENLMAQLPTFLPALLDAFENHSPYVRKAVMVCLVDTYLKLGPSFLPYLEGLDSAQLQLVTAYANRLSQARVIAVDG >Et_10A_000688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15571384:15571833:-1 gene:Et_10A_000688 transcript:Et_10A_000688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMPEETSRSSWPQTGRLDRKVEFGLPDLEGRTQIFKIHTRAMNCERDIRFELLARLCPNTTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVVKGYQKFSATPKYMVYN >Et_4B_037767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23131735:23143569:-1 gene:Et_4B_037767 transcript:Et_4B_037767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSDSSSPDGSNSPRTPTSAPTSATAASPASSSSSRSDKKKTKSETPRKRDKLFGSASVAAAAAPRSAASSASSSPAGKGPSAAQLRDAGGGASAAALSPILASSLGLNRIKTRSGPLPQEGQRIAAALGSSNLSRGQAQAEPSAASAGGRKGGSSWADSSTGSSSRGKGKVPELPMRSASGASLGTEGKSAVKAKSSALRNHSGDLRTPTHIPEAARAYDPCETPKESESPRFKAIMQATSAPRKRGPGDIKSFSHELNSKGVRPFPFWKPRGIYNLKEVIKVIQVRFEKAKEEVNSDLAIFAGDLVSIMEKYADSHPEWKETLEDLLILARSCCVMTPGEFWLQCEGIVQDLDDHRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVVIDQRDKIIQSADRQILPQPDDTNRACKSEARKSYSQEQHNLKWKRSQEIKPVKLLPPLETDAKKEVDSPPKERISSWKPFPSPVTKSPKESTPTKEESSNKKPDAVSTVSNRVELTSPVESTPQPLPPKHQHKTSWGHWSDQPNISEEGSIMCRICEEYVPTQYVEDHSRVCAVADRCDQKGVSCDERLIRVAETLEKMVDSYSQKDTPNAAGSPDVAKVSNSSINEESDGPSPKLSDWSRRGSADMLDYLQEADSTISLDDIKNLPSMTCKTRFGPKSDQGMATSSAGSMTPRSPLTTPRSNHIDMLLAGKNSINESDDLPQIVELADIARCIANTPLDEESALSQMVTCIEDLQEIVNRRKHEALTVQTFGTRIEKLHREKYLQLCDSVDMDKIDSASTIMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYLAEVVLALEYLHSMQIVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTVTDDLSGPAVSGASFYGDDEPQMSEVEQVDHRERRQNRSAVGTPDYLAPEILLGTGHGASADWWSVGVILFELIVGIPPFNAEHPQIIFDNILNRKIPWPHVPEEMSFEAQDLIDKLLTEDPHQRLGANGASEVKQHPFFKDISWDTLARQKAAFVPSSDSAFDTSYFTSRYSWNTTDENLYEAYEMEDSSDNGSLSGSSSCVSNRQDDMNLSQLASINYDLLTKGLKDDPPPKSET >Et_3A_026153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4949763:4952252:-1 gene:Et_3A_026153 transcript:Et_3A_026153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSNHERDCVWPSPLPRASLKHIKNWSVEEFLEGSLPCQGREEGSDELQVKGRKGDSRAGDDEKMGGDQGKLMKSLRGAGQKYLGVGFLLGFFLVLLTYFTVSEQFAISAPNAIRRSSPGHRSPTTPAVAEKREELPVIEQKPPKAEHVEAAQTPPIEEPHTETELEQKPVTDGAAEESAPAKKPACDIQGPWASDVCDISGDVRIRGSAREVLIPPSIKSGGANPNPQEWRVLPYSRKHMAGIKEVTVRELASAGDAPACGVRSPVPALVFAMGGLTGNYWHDFSDIMIPLYLQAVRFEGEVQLLVENFQPWYAGKYRAIFKKLSKYEMVDMGKDDDVRCFPGAVVGIRMHKEFSIDPAKEPLGHSMPEFTKFLRETFSLPRDAPLKPVGEETKKPRMMIISRRHPRKIMNVGDLVALAERVGFEVVIGDPPFNVDVGEFAKEVNAADALVGVHGAGLTNSLFLPTGAVFVQINPYGKMEHIGEVDFGVPAVDMRLKYIAYSAGVEESTLVDTLGRDHPAVKDPESIHRSGWSKVAEYYLGTQDIKLDLVRFEPVLRQAMQLLRE >Et_2B_022427.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:21478679:21478933:-1 gene:Et_2B_022427 transcript:Et_2B_022427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERALVVGLWCAQLDPAERPSIAQAMQALQSEDAKLPVLPSHMYKLAALPSFVSDSGVSGSSFSSGLRSSATTGATHSSESFPN >Et_4B_037563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21147661:21148913:1 gene:Et_4B_037563 transcript:Et_4B_037563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMGAGGSSVLHGQGQGDEEEARNAATAGADALTTVVASREYQRGNWTVPETMLLIEAKRKVHSERRHPGEQGLARWRWVEDYCWGAGVRRSQNQCNDRWDNLMRDYKKVRAYELAGHGAGGYWEMGRAERKVKGLPSNLLREIYDAMTEVLERRASVPGCSGGAFLGASSSGLHEHDVPMQASPLAQVLPPALHHLDPGTYGGHGVPRCSSDSESPERKRRRPSSLDELTPGGSGTTPASGTQLHHHQEHGTPASGTRSFYHQAEHGRRREDDRSAGEEDAVLGGAIGRCAAILSDALESREAAEERRHREVMAVEERRGRAAHARRKAGEQCVAGLAGAVNQLAGAMLALAAAKRKDAAGGQGHGPAAPK >Et_1A_007174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31605059:31605654:-1 gene:Et_1A_007174 transcript:Et_1A_007174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLCKWQVKSRNEILSRGQDTLSLAAVCWAMWKDDLVIGADERLQAVAQLNVAQRRRATKFQPPLLLPSNC >Et_8B_059939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4266769:4271437:1 gene:Et_8B_059939 transcript:Et_8B_059939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSCANGEHNGHPAVLSTGTGGRGRNDYDSGIPVVEFDVLVHGTADQRSQAVRDFGRACEDWGFFMVINHGVPEDLKKELVEACKKLFSLSDEEKAEHLEAEPMAPIRIGSGFYAVVDGARYLRNYLKMFAHPELHCPAKPAKLRYSAFRLVWCGCNSSYSRVVVRDVAAEYTAKTRDMLQQLARAISESLGLDGGRVSEALNLDSCFQILVGNHYPPHTGPGDLGVGLPAHSDHGLLTLLFQDGVDGLQVEHDGQWVLAKPLPGAFFVIAGDQLEIVSNGRYKAAIHRAVIGAEQERMSFVSMISPCMDTVVEPVPELAREGKSLEFRGVKYRDYMAYQQSNKLEAKEALNIARVQHVMAAASPAPHSPPKLANGEPNGHPTVLFTDTGARCGNDDCSGIPVVDFDVLVNGTADQRSQAVRDLGRVFEHWGLFMVTNHGVPEDLKRELVEACKDHFSLPDEEKAEHLEAEPLAPIRIGSSFYADIDDVRCLRNYLKMLVHPELHCPAEPAKLRYIAVHLCTCVILTSSIH >Et_3B_031583.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:5418175:5418747:-1 gene:Et_3B_031583 transcript:Et_3B_031583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHAAEKQAQQQAQQQKAPAPAPVAAADAEIQKVFSRFDADGDGRISPSELAAVSRAISPPPSSSHGGREVAAMMDELDTDRDGYVDLGEFAAFHGRGRRDSELEAELRAAFDVYDVNGDGRITAAELGKVLARIGEGCSDEECDRMIASVDVDGDGCVGFEEFKKMMSRDGAAPTAAAAGQDAKAKKE >Et_1B_010269.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:33491076:33491510:1 gene:Et_1B_010269 transcript:Et_1B_010269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNAISLKDPVAARLTVVADVSVRNPNAASLRYGPTETRVYYRGRLVGQAAGPPGNAPARRTVRLNVTVAVAVGSLLGEPAFLRDVAAGAVEVATATRVRGRVAVLGGAVRRGVTLEMNCTATVAVADMSIRDQSCLQRVSLQ >Et_10A_002052.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20566821:20567459:1 gene:Et_10A_002052 transcript:Et_10A_002052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCCCDFACWCCKLICALLSLLFTAGVVVVIYWAIFQPHQIRATVDSAALSNLTVVSNTSSVVSYNLAVNLSFYNPSVRVNIYYDAIDAQLRFRDAVLSPVAATASPTEFYQRRKTGEVVKLGFAATSGVEVAGDVAAELEKEAKKGEGAAVGLELDVDVRVRYVFRIFKLRQKPRVQCWLTIPVKAEGSGAGAGVGGVLESGDRCRVKY >Et_3B_028349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13898929:13902163:-1 gene:Et_3B_028349 transcript:Et_3B_028349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKVVCVTGAGGFIASWIVKLLLQRGYTVRGTLRDPVDPKKTEHLRALDGSAERLQLFKADLLEEGSFDAIVEGCECVFHTASPFYNDPKDPQVELIDPALKGTLNVLGSCKKASSVKRVILTSSLATVVFTEKSLSPDVVVDETSFSIPEICEKAKEWYVLSKTLAEQAAWKFSKDNGLDLVTINPAMVIGPLLQPTLNTSAGIIVYLVSGSPVYPNYSFGWTNVKDVALAHVLAFEAPSASGRYCMADKVVHFSDVVKIIHDLYPSLPVPDKCADDQPFAPTYKVSRDKIRSLGVELTPFEASLKETIECLKEKGFSPDV >Et_10A_000775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17072420:17077639:1 gene:Et_10A_000775 transcript:Et_10A_000775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGTDTPTKASKPSATQEQQPPATSSTATPAVYPDWSSFQGYPPIPPHGFFPSPVASSPQGHPYMWGAQPMIPPYGTPPPPYVMYPPGVYAHPSMPPGAHPFAPYAMTSPNGNADASGAAAAAGETDGKASEGKDKSPTKRSKGSLGSLNMLTGKSPTEHGKTSGASANGAVSQSGESGSESSSEGSEGNSQNDSHHKGSGHEQDGDVRSSQNGVSRSPSEGKLNQTMAIMPMPSTGPVPGPTTNLNIGMDYWGANTASSTPAVHAKGTLTTVPGAVVPGEQWIQDERELKRQRRKQSNRESARRSRLRKQAECEELAQRAEVLKQENSSLRDEVNRIRKEYEELLSKNNSLKEKLGDKQYKTDETGLDNKLQHPGDDECLNSEDSLLQVAGQVLMRSLCWEMVEVMCPRIGLQWKVYLVVPK >Et_9B_066267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9268037:9273520:1 gene:Et_9B_066267 transcript:Et_9B_066267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESCDLNKDEAEILKPSSSSSSSPSPTAAAPPPPTTQIPELPPPQQSSPPTLPAAAPQFMPKPCGEVPIEASKKRKLADTTATDAELSMVAPAEPLSPVLFVNRCNICRKRVGLTGFRCRCGDLFCPRHRHSETHNCTFDYKTTGREEIARANPNLVLEMRTMSEGGKVGIDTYSPNIPMNIHVRNITAGIAQQSRSITLVRDVYWYTSGTETVGGMKTAEKDRKL >Et_5B_043648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13423280:13427319:-1 gene:Et_5B_043648 transcript:Et_5B_043648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSVVADAVVALFSLIMAVAAPLFDSQVVLPLTFYPQPLVNIFRWFIAEFDHYLAADPPPFFRGLVWLDLALLWPVSVANLYGILTRRPWSAATSLMAGVHMLTYLSVIPHSLFPAQLLDLKRWYAAEFGDYLVARPPGFFRGLLWLELVFQWPLAVANLYGLLTRRRWASTTSLMAGVSTLTSMSAVLGEIFASGKATPKLLQMYVPFAVFAVIAILRGLCSCSQRTTASSTLGPSARKKRV >Et_5B_044869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6732485:6761711:1 gene:Et_5B_044869 transcript:Et_5B_044869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKNEDLRRKNLGVFICWLLGIGCLMGFNSMMIIEDYYISLFPKYHPTRLITISYQPLVLVTTAIYTYHEAKVNTRVRIVAGYMIAFLCTFAMIVVDVATSGSGGIAPFVVICIIAGGFGVADGHVQAGLTGDLSLMCQEFLQSFFAGQAAAGAVTSVLRFITKGAFESTHNGLRKGAMLFCSIACFFVLTCVLLYAFVFPKLPIVKFYRSKAALEGSLTVTADLAAGGVQGHQNPMPDEDPTLPERMSGKQLLLQNVDYALGVFLIYVVSLAIVPGFVAEDTGSHSLGSWYALVLMASFNVYIPLIEYIKLTSRKGLLIACVLRFLFVPAFYYTVRYGDQGWMIMLTSLLGLSNGYLTVCVLTEAPKGFKGPEQNALGNILTFFLLAGLFAGAMLGWLWLNYHPTRVITLTYQPFVLTLSGIFAYHEAKVNTRLRNLVGYMMFFLSSLALVILDLAISKRGGIAAFIGVCTIIAVFGITQGHFEGAVTGDLSLMCPEFIQSFSAGMAASGAITSALRLVTKASFDNSREGLRKGASKDKHQGTQLGGEKVALQPLLACVPLLQYLASQKAMSKGNGWGPVLDVPRSFWAGLAASGVITSALRLVTKKAFDQSRDGLRMGAMLFASISCIFELLCLSIYTIVFPKLPIVKFYNSKAASEGSMTVNADLAAGGIESNSNPLAEERPVFPERLSSKQILLQNIDYSLDMFLIYTLSLSIFPGFLAEDSGSHSLLTYGDQGLVILLTSFVGLSNGYLTVCVLTEAPKGYKGPEQNALENLLVLSLLAGITFGDLLDWLWLIGKGCVEYDDEEVGGKYWGIFICWLLGNGCLFGFNGMLTTEDYYMFLFPNYHPTTLTYQPFVLATTAIFTYHEAKVSTRVRNLAGYILFFLSSFGVIILDILSSGSGGIAPFIGVCIIAAIFGIADGHVQGGMTGDLSLMCPEFIQSFFAGLAASGAITSALIFFTKAVFENSKDGLRKGAMLFSSISCFFELLCVLLYAFVFPKLPIVKFYRSKAAFEGSLTVTADLAAGGIKSHSVPLVEESPAHAERLSNKELLHQNMDYAADLYVLVLIASFNVSDLIGRYLPLMEQIKLTSRKGLQIAVISRFLLIPAFYYTAKYGDQGRMIMLTLFLGLSNGHLTVCVLTEAPKGYKGPEQNALGNLLVLSLLAGIFCGAVSDWLWLIGKGCMAYEKEDFDGASSQGKRLGIFICWLLGNGCLFGFNSMLTIEDYYNYLFPKYHPTRIVTLTYQPFVLATTAMFTYHEAKINTRLRNLAGYLLFFLSSFAAIVLDVATSGRGGIAPFVGICIIAAVFGVADGHVQGGMTGDLSLMCPEFIQSFFAGLAASGAITSTLRFVTKAAFENSQDGLRKGAMLFSSISCFFELLCVLLYAFAFPKLPIVKFYRSKAASEGSLTVAADLAAGGIQNRANPSSEEDPLSAERLSSKQLLLQNIDYALDLFLIYILTLSIFPGFLAEDTGSHSLGSWYPLVLIASYNVWDLIGRYIPLIEQIKLRSRKGLLLAVVSRLLLIPAFYYTAKYGDQGWMIMLTSFLGLSNGYLIVCVLTEAPKGYKGPEQNALGNLLVLSLLGGIFNGAVLDWLWLIGKAGAEAPQVKGKFIGIFLCWILGNGSLFAWNSMLTIEDYYVTLFPDYHSPRILTLAYQPFAFGITLIMTYYEAKMNTRRRNLAGFSLFFIGSFALIILDLATKGQGGLGVFIGVCIISAIFGTADANCQGALVGDLSLMCPEFIQSFLAGLAASGILTSALRLITKAAFENTKDGLRIGAILFFSITCLFELACLLLYALVFPKLPIVKYYRAKAAAEGSKTVASDLAAAGLGTDQQGQIEEDPQKQKRLSTKELLMENIDYAVDIYLIYVLTLSIFPGFLSEDTGSHSLGYALVLIAMYNAWDLIGRYVPLIECLKMTSRKGLTVSILARFLLVPAFYFTAKYGDQGYMILLTSFLGLSNGYLTVCVLMEAPKGYKGPEQNALGNVLVVCLLGGIFSGVVLDWLWLIGKGWGNTLACSSASYWGMDASSHGTSYLAGLAASGAITSALRLTTKAAFESSRDGFRKGATALARFLLVPAFYFTAKYGDQGYMIFLTSFLGLSNGYLTGPEQNALGNVLVVFLLIGLFTGVVLDWLWLIGKGW >Et_10B_003219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17952883:17954468:1 gene:Et_10B_003219 transcript:Et_10B_003219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPFLVMVVLTLSYMVPRCMCTGVCSSSTYDSSPKLRDGAFHFPVFHREHPCIDEQYVAASVSDAGTVIGDDKIHKGKYFMAISLGTPPVFNLVTIDTGATLSWVQCRGREISCHGQAEEAGKIFEPGNSTTYRHISCSNEDCIDLHESYDVPYGCIEETDTCLYNLQYATSQYSAGKLGKDRLALGISNNNTSVVADDFVFGCSEDDLFGGSEAGVIGFGNKSYSFFNQLAKRTSYNAFAYCFPSDHKSEGFLTIGTYPPKLEVSTPLVMGYGSRWYSHVYSIQQVDMAVDGRRLDVDPSAYTSQMMMVDSGTVDTFLSPSVYYAFDDAMAVAMRDKGYVREFDASVSNKVCFRSPNGGSVDWSGLPAVEMKFLRASLTLPPENVFHQKSDDRICLAFQPDVSGVGGVQILGNKATRSFRVVYDLQAMKFRFQARAC >Et_4A_035121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9707711:9708743:-1 gene:Et_4A_035121 transcript:Et_4A_035121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRAGHSRCRWCGRVAAVLCLCVTFCKPEPDAVVPLDPLPNLPSRSLQCFEDGQVYSCCKDALRLSPWGLIPVPAYAVDEYCGGACVVETEDVLNCVASALDGFTFYNGASVEDVRYALRRGCSHTPSGEVTSTIWSRMWVTTRTSTATTTEAIAARLQLLQSCSCSHFSAAPGFCSSSHKIIRCK >Et_4A_032806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14002264:14003162:-1 gene:Et_4A_032806 transcript:Et_4A_032806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DTMEVGSSIGWTNEKHMRYITSLEESFVNQLYNGEVSSMGLLCQYPGAWHKTSYNGNGRNTEVDQDYWGMPETDGAESRSSQAEYPGSPSCSGYQENGIACFMNDDTSTNCPQQAGTINHARSNNRGRFAASYLRWHGRSLPRRTESSGQNFTDGETEGSGEYNRGCRERRQKQKAGSASSSRE >Et_10A_001045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20582503:20582773:-1 gene:Et_10A_001045 transcript:Et_10A_001045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGRRRCVVVVGESAAAAEEVVKSVMDTFVPLSFASFRRAPREDEVEAGAGARARARGPRRRRVAREK >Et_1A_009032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26301185:26302076:1 gene:Et_1A_009032 transcript:Et_1A_009032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHTTHSPDFLGLKSAGGVNGAWDGVVGRKGEGVVITVLDTMVNPKNLSFRYGGMSAPPPRAGIVGGRTILRRKLRRRRRRAGQVCGGDTSCDATDVLSGMDGAISGGPDVHSLSLGGISSSAMAKGVLGKDAPWILTVGAGTRDRRQARQRPTSPTTLCLRRHWLLPSNMTANLACPASPSRGHRCADAHVLPLVPSLLSPRASIPTGRSPAMIKSSVMTTADTARSDGKPILDENAAAAFAMGAGHVNHSEPPTRVWSTTSYIDEAQYVTYICGLGNTDDQAGGDRHKQ >Et_2A_018516.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31351252:31351887:-1 gene:Et_2A_018516 transcript:Et_2A_018516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGLSSDHRRSRASRHQPPAQAPARVIGADGSLREFSPSTTSSPSVSVSDVLGGNAGRFFVCSSDALYFDADVPALGSDELLRPGQIYFVLPAAMLARPLSSADMAAMAVRASDALALRASRPRRGLGMKKVRVVPARAASGYDDDGGEVNEKLNQRTLGGFETAPSTSPAKNAKKSATVARPAMKRVLSTIEEATEGSNGGVNSHRREG >Et_2A_015647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16416582:16426608:1 gene:Et_2A_015647 transcript:Et_2A_015647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLLVLLLLQVAVVAVLPEGARGAGTIGKTNISAVFFFGDSIVDPGNNNHRITEAKANFPPYGQDFPGGIATGRFSNGKVPGDLFASKLGVKELLPPYLGDNIQLDDLLTGVAFASGGSGYDPLTSKLATTLSSTGQLELFQDYKEKLKALVGEEEMTRVISQGIFFTAMGANDIANNYFTLPLRRHQYDVSSYVDFLVSSAINFTVTLNDMGAKKIGFVGIPPLGCCPSQITLAGVPSGECEPSRNQASALFNTRISKEIDRLNAERNVYGSRFLYIDIYYNLLDLIQNPALYGFKEVSQGCCGSTVLSAAIFIAYHSACPNAVDYIFWDGFHPTEKAYNIKLNQMGAKRIGVISIPPVGCSPSQRTSTNECDPLRNQASEMFNSKIRKEIDQINADLNSYGVKLSYLNLYLYLFDLVQKPLHYGFTETTEGCCGSTMFDAATFIAYHNACPDVGDYIFWDGYHPTQKAYKFVVDKFIKHDVMYLF >Et_1B_009886.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:8338245:8339435:1 gene:Et_1B_009886 transcript:Et_1B_009886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSDLPIDILLSVLQRLELPHAIAFASVCNTWRTAATIAGIPRICTPWIISWANHLEKKEAQGKCSTAVTCNSHHLDVKNEYDISIPQSYFVECCGASHGWLVLVNELSNLVLYNPFTTNMIPLPPITDFAGVEAIYDSGGNLKYYRKNKFGKLYAANCLGISFYAKAVLSCSPSKHRDYVVMVIHRDDSWLSFVKAGQSKWQVASTHAGRMADRYLDCAYHDESFYTVTFYGLVEK >Et_8B_060002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:536537:541797:1 gene:Et_8B_060002 transcript:Et_8B_060002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAPTVKVNNLIYIRGEMVREGQLTKLCHQTDPGAIGVSFASCNPLFLAFCKICKRVATTNTGTTLEISACSCRFIVSTTKLIKLDHSSSGNHKQLCRRQWPHLSSSVSSFTQPGPHSGNLSSTLYAGELGSEPAEPGESFIRVVKSRRCGDARTSKDTVAAWASCGADEPRRRCAGGGEAWQTAARTSRGVGAQTGRATAAGRTEKWLCLFLLETLRKMRAYERVSRASAQGAPPQPPPLLSSSAVAALQLSSPAVVAPAPSFSRDPAVLRGPPLSARGLAARSALHQRMDTFLVPRVTASAAPHKSRPDASCVLELFRRLPG >Et_1B_012632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33974722:33976651:-1 gene:Et_1B_012632 transcript:Et_1B_012632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDAKHYDSKMQELLQQGEGDEFWTSYDEVCESFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGVVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDNIRMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQKFYNVTVEELPANVADLI >Et_10B_004286.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:301254:301781:1 gene:Et_10B_004286 transcript:Et_10B_004286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLLSDPALEAFLADIGFGLVMPEDHQSEPSPPSSEKDTTTTTVAVTSPPAEEETSATTADEERRRLRRKISNRESARRSRARKQRHLEELRGRAARLRAGNRELAARLRGLQARTALVRLANDQMRAEGTALARRLAAARRALALRHLYAAASSSGAGAAGFELQTLASLIV >Et_5A_041311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20991685:20992619:1 gene:Et_5A_041311 transcript:Et_5A_041311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WPASHCSGAESADCCYVPVNDKPPADFTIDGFRPNYASCRHRRDFLTPAPLCWPESCNVTDSLHASLIRDLNRGLLNNWPSLSCENRNPMDFWSEEWNEHGTCSNMDQHSYFRAALDFKARFNLTRILLNAGIVPSNENMYELSRIRDAVTEATGSAPNVECNQNEEGELQLYLVHQCVGIDGRSPVHCPRQLETTCTDEIKFPEFQINDDYYG >Et_5B_045301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16992575:16993107:-1 gene:Et_5B_045301 transcript:Et_5B_045301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQANDNAWSIFGQHANSKDRRQLTAVDLVVVDGIAGGGTVATSRTGRIELEARFIGAYPGDIKEEITGILYREVAAVWQADGQGRANASILNGVADVARIRAGHVPAGWVQSGAGTAWNEHGLGITAR >Et_6B_049267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2497537:2499036:1 gene:Et_6B_049267 transcript:Et_6B_049267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPPMDAVKKQGKGAAKEMSRRDGKKKPSRSSWMAMGLYYPSSSSSSVKSRGQPAMASAEAKNIDDEKSNSKKKRSISISRSMTCAGSICSTKESSVMSRGAGDAARSASSRSLRAPTDDAASAAAISATSSFNSDTTAATTVTSSSSPLWSALSSPLSSFGASSFRDVHQIRKLSGCYECHSVFHPKNLAAAAAFPCTDCDEVFAKAESLELHRATRHAVSELGPLDTSRNIVEIIFQSSWLRKQAPVCKIERILKVQSSDRTVKRFEQYKESIKEKASNGEGKKNPRCVADGNELLRFYCTTFTCALGAGGGTALCQAPSTQCKLCGIIRDGFRVDGEGRIATMATSGRAHDMAQEQSDSGEKRAMLVCRVVAGRVKRDADTKSSEDSDCDSVSPCSEGVYSDLDELFVLNPRAILPCFVVIYSGY >Et_6B_049930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18511161:18511800:1 gene:Et_6B_049930 transcript:Et_6B_049930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEDRANKILCFACRNCDHQEVSDTNLVYRNVVDHAAGELTQVLYDDVASDPTLPRTKSVRCAACGHGEAVFFQVRDIATNSFSPVWFRVQL >Et_5B_043314.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:23999397:23999738:1 gene:Et_5B_043314 transcript:Et_5B_043314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVAVVVLSCLAMAPVDVAGDFAADRAECSDQLVGLATCLTYVQEQATAAAPTPDCCSGLKTVLGTSRKCLCVLVKDKDDPNLALKINVTRALGLPAVCHTSANISDCPSN >Et_3B_030965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9409181:9415647:-1 gene:Et_3B_030965 transcript:Et_3B_030965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPFSKSFIAFYRFARRRLGNPSGHNHHRQNTSGIQTCPKIIHRCPLVSHDDDHLQNQALILDIEGGLLRSPSTFPYFMLVAIEAGSFLRGFILLCLYPLLCCLTQEVQSKVMVMVCFLGLTEEKVMRVAKGTLPKHFLENVGKEGLQVVKRVKRAVGFSRMIPRVIVEPFLKEYVGLEMVVCREVKMVRGRYAGLLEKESKGRLEFAELEGTKMTWFGSSSNYYSHDHHPIFSCCKEVYLVTSEQKRKWSPLQRDQYPRPLIFHDGRLAFRPTPEATLAMFMWLPFAILLTVLRTLLFVNLPYSISVPIGSATGVTTRVINSPGRLYVCNHRTLLDPVYISATLNKQVSAVTYSVSRFSELLSPIRTVRLTRNREEDRRRMEKSLQQGDLVVCPEGTTCREPYLLRFSPLFVELVNEVYPVALVNWSRMFYGTSTGKSKYLDHFYYFMNPHPAYVVAFMDKMPTSIIIDGRKCESYEVANLVQGEIGRVLGFEPTMLTRKDKYLMLAGNEGVVDAKHMIIPFEGHMNDDNDNIKQIKIVTKTNKMKPSNYKANLASSTFLCILQAPSNAPQTDSSGANGIV >Et_1B_011897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2698233:2698950:-1 gene:Et_1B_011897 transcript:Et_1B_011897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGRPLIGGGVGGEKRRGPAGPPRVGGLQKQNSWSPDIERDEAWERRRRGMHPGVSALRRVRSVTDDDLDELRGCIDLGFGFEPAAGCAVCGAGRSRLVETLPALDLYYAVHGGGGGGGAEGSACTCGAASEVSSEESPLGSPMSILAPGESPETVKMRLKQWAQVVALSVLNRR >Et_3A_023646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10380042:10386371:1 gene:Et_3A_023646 transcript:Et_3A_023646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEPEITPRITELHVRMDCNGCEHKIRKTLRAIEGVSEVYVDQANHKITVVGIADPHRIVKAIRKTKRVPTICSHTDPSAEAQPPPPPADEGEPPPPADPPGDAPPGEAPPAEPAPENKEEAPPAEAPATDATAEIHTVHANPYGHDHHLYREHWASQPIDMRSSPYHVTHSYSHHRPSPYVAEYGYGGSPVQEGRYYSHDYYPARGKGDGSQITSMFSDENPNACSISMDDYQTLQN >Et_4B_036356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19770236:19771303:1 gene:Et_4B_036356 transcript:Et_4B_036356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCKRPGTSTPERRNIPFDLVLEIVARSDDVATVLRFAATCRTLRRDILSPDFLHRRVFGHGAAPPPSCVLGFLHAPYSLAHPATPGAASFAGQLARFVSRSAADLLDHYDPVTARPQKPELCVYDPMTSGRAFVPAGPPIIARGSKHGVDHTYVLLTAADDGIGCPWLLLVADFTGRDDGTNSIKVQTVSSEAKGKWGLSPKLSILDIAESTLQRRCNAVVLGGVVHWLTRWFHTVDWLNLLIRGVDVLTYDVGTGNAGVIPFPQEDLPDGCKPSHLHLAASPDGKLLSLLVADKLTISVWLLLQQPDGDGRGWSRHAVIDTTATVN >Et_5A_042194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7268834:7269344:-1 gene:Et_5A_042194 transcript:Et_5A_042194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESRAVIGDSDMLKVMQQHALQLAGKALDDFDVVDSTDIARFIKKEFDRSYGPGWQCIVGTDFGSFVTHHNGCFIYFGIGNLAILLFRGGGTAPQDITAPAKARLSAKAVEA >Et_1A_006785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27561189:27565836:-1 gene:Et_1A_006785 transcript:Et_1A_006785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGVASHGALLAAAPLADRRARLPLSPPTPLPSIQIHNRLYSISSLPLTARPARRCGASVTSNYAQMSESVDLDWENLGFGLVQTDYMYIAKCGPDGNFSKGEMVPFGPISLNPSSGVLNYGQGLFEGLKAYRTTEGSILLFRPEENALRMRTGAERMCMPAPTVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFIIFVSPVGNYFKFHRATPGGTGAVKTIGNYASVLMAQKIAKEKGYSDVLYLDAVHKKYLEEVSSCNIFVVKGNVISTPAIKGTILPGITRKSIIDVALSKGFQVEERLVSVDELLDADEVFCTGTAVVVSPVGSITYQGKRVEYGHQGVGVVSQQLYTALTSLQMGQTEDWMGWSVQLN >Et_10A_002077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21640488:21642871:1 gene:Et_10A_002077 transcript:Et_10A_002077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTSRPTATARACANAEELPRQCSRVGGSGSTAVSASGDDASNWISARAKVLPWDGTETATRVGRSRPCARRRWRKASEARRDAKRRQTAGSGGREARGTRARHSRRRSSGRAEMGDSSAESEAGWVELRLVEAAGMGSGTAMWRPGSAGMGTGTATWRAGSAGMQDLASQERLREWQLSAAMPDRELGAHCHNNRIASLSPCCIGPLHGSRIGHGGKEKPEDDQGSSEKEPLQPAEWAPKI >Et_3A_023498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31147726:31148571:1 gene:Et_3A_023498 transcript:Et_3A_023498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGCRPDYVTFIGLLFACSHAGLVDAGRAHFRSMTADYGIAPGPDHYACMVDLLGRAGRLEEAMDMLNRSATELDATVWKALLAACRVHRNAELAERAAEMVWRLDPTDAVPYVMLSNLYSRARRWDDVARVRSLMKFHAEDRGHPRAAEIYEKVEEMTERIRDEAPEGRERGLAYHSERLAVAFGLLAVPEAAPIRVFKNLRVCGDCHAAIKMVAQVYGREIILRDANCFHHMKDGVCSCGDYW >Et_3A_026111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4394480:4396222:-1 gene:Et_3A_026111 transcript:Et_3A_026111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDFSSPWVNVPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHAIKRCKHFEIGGDKKGKGTSLF >Et_3B_029801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28079896:28081336:1 gene:Et_3B_029801 transcript:Et_3B_029801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKECGAELWLPDEFLDDDFFSEEEKAAVAAKSESDEEDGLDGLSRRLAGLVSGDRAGDDARSPPPQKAEVAVGSPQSTLCGLPASGEDSPNGAASQVSSPPSSPLEQPPADPWDLLYEAAGQVTRLRTTNIPVPKNAAAHQGRAVAPPSAKKPSPPGPDPKAAGVGPYPRSQLQQQIQVARFHALKQQRDQQLRAAAAVAWGMHQTEAQRTPGFGAPLGLNSSAFLPLQKPQQQPAGMRAVFLTPPGAKRECTGTGVFIPRQAGAPVEPKKMPACSSVLLPARVVQALNLNVEDLGARPVYPGCFVLDHDALVSRSNALFANQQRESQHRGAVAREANLPQEWTY >Et_2A_017397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33972644:33975139:-1 gene:Et_2A_017397 transcript:Et_2A_017397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSRSSSRRPLWIIILISFVCAVGLGAYLYTPRHYTACYLVSSDACSSRPPPEPARVYTDDEIAARAIMRDIIRARPVPSKNPKIAFMFLTPSSLPFEKLWEKFFMGHEDRYTIYVHASRDMPTHTSPVFTGRDIRSEKVIWGTISMIDAEKRLLAHALEDPENQHFVLLSESFDDPGPHGAGRYSDHMLPEIVKQDWRKGAQWFTVKRQHAILILADTLYYGKFKRYCKPGNEWHNCYSDEHYLPTLFNMADPTGIANWSVTHVDWSEGKWHPKAYRAVDTSFELLKNISHVVQRRPCMWNGMKRPCYLFARKFYPEALDNLMNIFSNFTII >Et_4A_032704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12716372:12718029:-1 gene:Et_4A_032704 transcript:Et_4A_032704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METRDEAVATASASSSSPAIVASGSAAGSSSSSAAAAASALAGLKFVLNLPPGYHFAPTDAELVVNFLRPRLENKPPPLPIFIDERILDYHPEQLIEKYRIYGEDRWFFFTRKERKHAGGKRPNRTTPGNGHWNATGSQRPIYSGGVLVGCVGTLVFYEASRKKKKEDAAAVSAEPAAPEEDNNGKTDWTMYEYESLTSEAEFEAKRNGEGKIDELVLCTIQKKKHCEQSEEKGKKKRKRKEDQEGTPCDGSGEKKGRGVGRKHKDQESSHDGGSTEEKSSPVNGTNKKGGSRTKGRSRKELAQEQEAFVKRFLMATPQQELMQAPLGGQTANTDPNMKMSHCSVTTSTMLPSSSQEMMPVPAALAQSNPWRFCCRRGQHHENIFRMNRPTVRSIRRSTQWRLLKFTTAAWVWNTPTLNSAIPITFLGWAWITRISRAFSSQHSHLMELEAAPARKERFCGHWDLKLSVMVRPLGSVYAGVP >Et_2A_017790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6256451:6267966:-1 gene:Et_2A_017790 transcript:Et_2A_017790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNFLQTYQLQNHQFILPKKQFVTYALYALIALALLHYLLFYPAPAPEKSLVVPRVQEELETVVSAQLNAREKLPPPPPAGQQGDELSVNQQVEASAPPPLPMPCDYSDGEWVPDARPPLYNGTSCGTIKDGQNCMAHGRPDTGYLYWRWRPRRCDLPAFSPDALLRWLRNKHLAFVGDSLARNQAESLMCLLASRSPPELVYRDGEENKFRRWVFREHNATVSVFWSPFLVKVTEKAERAGVRHNNVFLDAFDERWMSQLGAVDAAVLSVGHWFLIPGVYHDGGRVVGCHDCADLNRTETGFFGAFRDAIHRTLAEVARRHGQGAGRSKVVALTTFSPAHFEGDWDKAGACPKKRPYRNGEKELGYTETEMRKTVVEAVQAVAANATAGSGLRFAALDVTTLANLRPDGHPGPYMHNDPFAGGVNDRVQNDCVHWCMPGPVDTFNEILLQTILRSCSRISLNVSTGPGRQKWRQSLSSPLAVPRANGSRHMYTPGCPSGRIAASFVTSSTLIVVVIPPFLAAASSFTILLSSAASLPTSFSPSLYGSVLAHVVGSFHAFSKCDGEKVDSTTVRRPPPPELSRSTDFRMAARNGAKKPMSLWLDSGTSCDYSQWQRILEAGHAWRYNSMECNVNNSENCVRNGRPDQPAAVGCYLPPFDAAAFLAAVRGKHVAFVGGSMARHQADSMLCLLGGVLPPGTRKFRRWALPSYNVTVSSYGTPFLARDATGKSENHMPYNSVHHDALTKRWSADADTMDVAVISTGHWFWNCGVYYNGSDMLGAHLVERFNHTRIGFFSLYREAIRMSIQRLSSGRAGRSVVVTTISPTHFEKAWDDPATCARKEPYKEGEMKVASLAAELKRIAREEAGAAAVASNGGEMAVKVLDVKLATMRPDRHPGVYMHLRNPLARGVPGRMQSDCLPPRTGGHMGAFHSHKHKTSSHKGGNPGYFVPKPVCAWLVCGFLSLALLHILCCSPSGSQESVLSPFIQYLDDTYSFVSSEPKNCSYSEGKWVFAPGHARRYNATLCNVKESHNCIRNGRPDTGYLDWRWQPAGCRLPAFNPREFLSATRGKHVAFVGDSMARNQVQSLVCLLSSAYPVRVVYRDRDPRKYYFWRWAFPSHDVTVSFYWAPFIARATGKARNDSMGQTMNYVDLETLDERWAADADTMDVVVFSIGHWLLNGAIYYNGTQMIGVHGHPEITNSTYIGYATPMRLAYRKAFERLSSGGRQRNVVLATFSPAHFEGKTITTMCPRKEPYKEGEKELRPIEEELRTLLFEEAEAATRKSGEGGALSVDVLDITKLAIMRPDGHPGPYMHRDPFANGVQEWMTADCLHFCLPGPVDTFNEILQQMLRKRRP >Et_10A_001065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20841318:20844240:1 gene:Et_10A_001065 transcript:Et_10A_001065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGKEAARAPSSPGGRCAACELLDRACESGCVFAPHFPAGDDPARFGAVHAAFGADNVARFLGCVPPDRRRADVARCLVLNAPRRLAGAASASGRTPPPCAACQHIVFAPNFPAGVDQARFAAVDARFGADDVVLILGCCLSAEQQEEAVRNFVRDARQPAPPPVEGRPPCATCRHLRRPCVPNCPLWSYFPPEDESERFAAVHAAYGASNLDTPAEPRGAAAATCVYEARRRQTAPVFGATGDVLLPQTAIKMTEGQLAATHKELAAYVGEEPSQGIQVSFLSASTVPYYIISRLSSVFDCCHSRSLKQLITQDPGSWLLEGLEDAGDEPSQVNLCLKELFVRSSALKELLPNGLARVDPPTYTLARPRIQASRWGNKVQATSLLKISAVVCVDCLVPFMFSERLISA >Et_1B_013890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22575075:22578198:-1 gene:Et_1B_013890 transcript:Et_1B_013890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGNKEMRYKNVVFTVWDVGGQEKLRPLWRHYFNNTDALIYVVDSLDRDRIGRARAEFQAIINDPFMLNSILLVFANKQDMRGAMTPMEVCEGLGLYDLTNRIWHIRGTCALKGDGLYEGLDWLATTLDEMRASGRITSTSSSS >Et_3B_031133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17407570:17415638:-1 gene:Et_3B_031133 transcript:Et_3B_031133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGGEQGQGGLHGAPPDRSSPPPAPLDHDVAANRRRRPISEAGGMEKKLKGCYQTQDYSAYDNTTSSTSPLGFAEWRMYNNPLMSFAQSAPFGSSSIINNCNISVNGNGFYQNPSPYPTSIWANYATRNHPYCTNYGPATNDHVLQAPSFHNNNNSDHNKDLGCYQTQQTTPFSRSIIINNCNINVNSNGFHQNSSPHPTSIWSNYVPRNQPYCTNYGPTTNDHVLQANFHNNNNQLDKDTGRFRGDFARGNEVLRHSLRVEVSSKGNGGPYGTDKGTRTKKMECAGFATSFGAAAPLVSASPIELITQRPTNCSSAQLFQDVKNLDLTSRVFGSGDIEGSDTSKESDPAPELEAQNQLGLGTADIKAMDTTLNCKDYRTVLRKDLTNSDCGNIGRIVLPKRDAEANLPALVDKDGMILEMEDFELPDVWKFKYRYWPNNKSRMYILETTDVDELHDVSSGEFVKRHSLEAGDILILYKHKRTDRHVARAVKAIDLSSTMKMLECQCTKAGNSAEECGFASSNMQQSNGNPTCKNPFSAPLHPMACIGAEVQREFQHCIFLPLWVVLRDSTKVDANNTDN >Et_8B_060634.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3762411:3765242:-1 gene:Et_8B_060634 transcript:Et_8B_060634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLRGATSSSKARRLLALLVCSALLLLPAPCHCVNEQGQALLRWKNSMDAAGALGSWAASDASPCRWLGVTCDARGDVASLSIRSVDLGGALPSSAADSLRPLRASLKTLVLSGTNLTGAIPKELGDLAELTTLDLSKNQLSGAIPAELCRLGKLQSLSLNTNSLRGGIPNDIGNLTSLTSLTLYDNELSGVIPGSIGNLKKLQVLRAGGNKALKGPLPAEIGGCADLTMLGLAETGMSGSLPDTIGQLKKIQTIAIYTAMLTGPIPESIGNCTELTSLYLYQNALSGPIPPQLGRLRKLQTVLLWQNQLVGAIPPEIANCKELVLIDLSLNSLTGPIPSSFGTLPNLQQLQLSTNKLSGAIPKELSNCTSLTDVEVDNNELTGSIDLDFPRLRNLTLFYAWQNRLTGPVPASLAQCEGLQSLDLSYNNLTGPVPRELFALQNLTKLLLLDNQLSGFIPPEIGNCTNLYRLRLNDNRLSGTIPAEIGNLMNLNFLDLGNNRLVGALPSALSGCDNLEFMDLHSNALSGTLPDELPRSLQFVDISDNKLTGLLGPGIGSLPELTKLNLGKNRISGGIPPELGSCEKLQLLDLGSNALSGGIPPELGKLPSLEIALNLSCNRLSGEIPSQFGELDKLGSLDLSHNQLSGGLAPLARLENLVTLNVSFNAFSGELPDTPFFQKLPLSDIAGNHLLVVGAGADEASRRASVSALKLAMTVLAVVSALLLLAATYVLARSRRGAVHGAGAWQVTLYQKLDFSVDEVVRSLTSANVIGTGSSGVVYRVALPNGNSLAVKKMWSSDEAGAFRNEICALGSIRHRNIVRLLGWAANRSTKLLFYAYLPNGSLSGFLHRGGVKGAAEWAPRYDVALGVAHAVAYLHHGCLPAILHGDVKAMNVLLGPRNEPYLADFGLARVLSGAVASKPRIAGSYGYIAPGNNFFFLGS >Et_1A_008067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40497318:40504680:-1 gene:Et_1A_008067 transcript:Et_1A_008067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPSTIRRNPPPRARPPPTPLASAKPKPSSLSRLLDDEAAAQEERLQVFLRIRPLPDQERDRRKDLSRPMAPKDHRRKPKPAAAGGVCLVATGLNSVALTVPQSKLDSKRGRTEVFDGFSAVFSPDSTQLDIFNQVMNPLVDDFLGGKSGLLVAMGPTGSGKTHTIFGSPRNPGILPLALRQIFNAANESQVCKQPARSFSLSMFEILSEGKGERILDLLSDAAECVLQQSTIKGLQEVAITDFVDAESLVSRGMMKRSTAATNANSNRSQCIITIRAVHKSIDVESEHLPSSAVLTIADLAGAERERKTGNQGSRLLESNFINNTSMVFNHCLRSLLEHQKNQKKPLEKHFKNSMLTRYLRDYLEGRKKMTLVLNVKPGGDDYLDTTFLLRQASPYMKIKYTSLEDSSDLVSQKRSNASLICQENKKKRKVHKPEGIVVERKENVDMDDITKVSERDKAQLKFLNSELQRVSRSEKIMTSFAMVLWTVLKQYKHKLLDSENAAENMKESIRDKDIQIMKLKKELEVLNSCCSCKNFPIVGDTPRAQDDAISSGEAVRSLVSQSNKPDSSYAAADNVHPEKSCSPGASGPMVDPESGNNKAGLQVVLKELHSSGNVSVETSSHSIIKPACSHSDNPSDKGLTGHHTIPCLRTERISQSPQFSSCNKESTTKQSKEESEELSTTTVDDIADVMRPDSVSSSQHVKSDTEDVSSSQSVQGMVASQKKAEELDTEFEICEPAPAVIESLVNHGKASACCLCMVVL >Et_6A_046590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17938841:17962431:-1 gene:Et_6A_046590 transcript:Et_6A_046590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METREGARGRRILPGVEEASGAAPSEEEATGRGRERGGGDRRRAERGGDRDRREEGVDRRIRRGSRGRNLTDEGIIEAACNTIRQFLCDDESTSSRKRKRKESVNMPTPKVGVSDGAGDSKTTTRFNVTYYSEVIDGLSDAKKKITGLFDHCFISHPFARWIADHVKINTCDIVVNNQSIPLSPESLTLPKITEFGDKLKRNDLSDDEVVRSFLVVAWSCFLCPNSNTIISPDYLSPLVDVNTAIGWDFSGLIFGRLMNSLKKYHKLSKSGVRSSVVLGGCMYYLCVVYLDHLDFAQRTLPPPTLPRIMVWKGDMIKKFSELDCSSGHIYGERLVKEFKATCYHKISSHGVFPPTSAAGSVPNAESFKAMLDETVGHSFSAKVLNEIAAIYMRHAGSVNVNQPENVQHVMLDVIKYFYDISRRPNSDDSSHQENGVADSERGSDHSEHERVHGDLYYDQNDNLQNSSGFDHDDRDDPATATRFHPILNSEAKGAVVGRDEVNAVNVNAPVQFNAPSRADTEVLSSSGNDNEVNDGGSAQSQIMPSSAEMASQDLNSNEGRTRSLPDSPAVENELYATKSKEFIEQMKMWMDFGPSHRLCSSMDDFGNYIEDPTKRRRVGLPCDRLRVYDDWNPADTSKEEADAYMAQKGGDESLSEPIPSSSPKLDRPGNKQRPFVPPAEDVAQPATSSVEPVACDTAVKDNESVPCCGKDVQPPSMKDTEPGPSSATHVEDNELGASCGVAAASIGVAAKIPVSVNDHLSGAFQRSQDSPEVTITREIRANASRSVLFRASIANSPEVQITGESKFADSSYKTSQAEASGSRFKSTLNSMGSMADGIYNEELNLSNYKKCERLHFDFGSTSQGKMARCRRRRIGHPNTFYRDFVTNECTARVQYSKYDRLYYNDVMFYAQDPRYSNTFIIDYGGVRVKWAEFANSMSRSPPKASVSQYVINAFIQKLFKDCHPTRPRKHYLFSTVGDYLMRSYPVGLHEKYHESAKKSFKLANDVLDLRAAEMVCHKTYLFKFRSFQIDLLKSRSLHTMEGFGEAFENLSVSRVVAAQLGVWPGLQERIVAAYFFQDFRDRAWTTAVVVKRILGHINFRVVVDHMGILLFVKVYSAADVEKLHGHCWQWWTERVVFKRVNRLSLLENDPEGKMLDLEKKYADGNVSMPVSVANYLGVMFGEVKNVLYVPTDGGWETNLVFRVIRVTYAILANGDFKVAVVPNGEVFCSCSSVSDAEKLHNQIKTFNSGTIGYILVKEIFPSFVDIENLTEVEDVVVEVLVPEVVPPVDDDKKKKRKDKMSSSRAKVGASGSGFDKGKGKAKEQAGSSKDKRKLAEVKVEPSGNTVVIYDLDSTDPVREYLGVNFKKGSNKLLHVTVSNISRAISANKVYCVTCVTKVLLGHCDFKVSCSAHGTIYVITATEADANKLDDEGGAVAEGAVIDSVAMYDGAAELPSPTPPLKKLRQAIIILSASRRPRQQNKNRDPIQATLKKRGVIVYTPKDPET >Et_9B_064405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14958545:14965366:1 gene:Et_9B_064405 transcript:Et_9B_064405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDSLVISCAPAGFLNPFVCCGIASKVALNNNELVTQYKMATEVNQNYFSWSQEESSVQDISQGTTRLFGHGSISFGRFDLESLEWEKWSVFTNDRRNEEFGKFNGLVAKKKAYFEEYFKRIRELKALQQQNQQTELNLDYSGDGSDSSQTGEDEPAADHGAPAGSGALVDDSREQKIPTTKFEHEVEHLDDHENGSLVNEISASTHSSSIGGLQQIGKQVRGNVSGSIDMSLQNAYSSQDDPGMVDKTSMTTPKRTIEKESRIGQASKIIPKTVKMTSSNGPAQTIAPKVPCSGKPGVINQVAKPERRPGGATCDLVCTTGGSGITGLRRPSTASQRPPSRERRPISRNASRKPAEVTTPCRPSTSKRRSATRESSLKHANNTTPRRPSTADRRPITKESAPKQSNIATPSRSSTADRHVITKESTPKLSNIATPRRPSTAERRPTTKESAPKLSNVGNLHRPSTGERRTTTSDMAKKRVGIATSCRPSTDKQRPVSRESARKHADVVTLRRPSTAERRPVTRDVASKHAIVPPPHRPSTSERRPIGRDLAPKHVPLCRPSTAERRPVSRESALKHANAARLRWPLTPDRYLSKKSESSTPQRPSTGERRPITNDNTLKSDQKTPVRLRAVPNYSNGAMFAAVTPRKAVTPNFVKSSKPENISYVKEKVELHADGKPKSSCFNLRPRQMLTSSIRDERVLDNFRKPNKEGFQERVQAQAFASNNTTPSQTRSAKMGASIPPPPPPPPRRPSDIERTANVNNLPANGRKPKLAGKGPTKH >Et_7B_054103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15421061:15422450:1 gene:Et_7B_054103 transcript:Et_7B_054103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPRRDLEREADGGETTHGNEGGYEDCSILWLPEACLAHVISLLTPTDACCSSAVSSTFHAAASSDTVWECFLPPGYRSILARADHPVDFTSTKKELFLSLAQDHILLDQGTKSFWLERTSGAKCYMLSWRSLGITWVDNPVYWRRIYLPNSRFEEAGELLAVCWFEVAGSIECKELSPNTKYAAYLVFNLAEETYGIGYCPTQEAFIYMGDQMVSAKRVVSFYPPTASQGDVDEGGGPAEDETIPVSYPRDRDDGWMEVELGEFYNHHGDIGEMVSICLKEVVQLHWKKGLVLEGMEIRQKN >Et_9B_065436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:548557:549129:-1 gene:Et_9B_065436 transcript:Et_9B_065436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVHGGDDRAIKGARSFLSARLEEAEKLAHPRLGPQAERRVLQPTPLRRGHHHVRPRRGVAHVYMLHDCTCRLDDHDENNSLLFFLFGLIFPLSPINCLRAAPLGPMRYTDRVFDHEKDAYMMSEGLKIFSVKIAFSDVGFPIQVYGTVLARDSLDLKCVYLFRRDRDHCQLINSEI >Et_9B_064188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12433866:12436254:1 gene:Et_9B_064188 transcript:Et_9B_064188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSWHSSTSGLVPLILPLIFRGAFPFGYLWARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKARECLPLILILRNRLKYALTYREVISILMQRQVMVDGKVRTDKTYPSGFMDVVSIPKTAENFRLLYDTKGRFRLHSIRDDEAKFKLCKVRSVQFGQKGIPFLNTYDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGGYDTIHVEDALGHQFATRMGNVFTIGKEKKPWVSLPKGKGIKLTIIEEARKRNAEAAAES >Et_4A_032566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11460495:11461643:1 gene:Et_4A_032566 transcript:Et_4A_032566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARRRERAAGKRPPRVGRSVIKLNGRKLGNKIYQDRGAVVSQIFQSTSRHNVVQISRGVEEIQACFLQTLAMELFS >Et_3A_025135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27200220:27200712:1 gene:Et_3A_025135 transcript:Et_3A_025135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHDRRYGYSYPPPQGYYNNGPPVMAPPQYAGPPPRREPSFLEGCLAALCCCCLIDECCCDPSVIFVS >Et_5A_040417.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20619382:20619507:1 gene:Et_5A_040417 transcript:Et_5A_040417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFCKNPLLFNFLGRSPKQRAGQAEDPARCEAHEEEGKGD >Et_7B_055796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4804257:4804669:1 gene:Et_7B_055796 transcript:Et_7B_055796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLADERYIKMNYVNTCNGVVLIAGPTKPPTTCVLWNPAVSDDEKKLTIPTVDTSHYQGDYRIRILGLGYGRRTESYKLLLLLWQKPSNSHVRISHSGEIRAAGLHTRHGYGANATSRLALTANV >Et_4A_034354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3237333:3238958:1 gene:Et_4A_034354 transcript:Et_4A_034354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTRMMQWAAVVAVAVAVALAASVAGGDMSADRTECADQLVGLAPCLQYVQGEAKSPAPDCCGGLRQVLGKSPKCLCVLVKDKDDPDLGIKINATLALALPSACGATHANVSHCAQLLHIPPGSKDAAVFSPGGDKGTTAAPAKDNSTTTDDSRAQQATNDGGISSAATAGVALTALLAGYLLLLVPELSPSSF >Et_10B_002516.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:12758062:12758136:1 gene:Et_10B_002516 transcript:Et_10B_002516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFSMEESFPSPGGSKSLEICLS >Et_2A_018116.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:11601931:11602332:-1 gene:Et_2A_018116 transcript:Et_2A_018116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPTRRCGWGLTTPARRPPAPTTNFPEPAGEEEKRRAVVLAHVGQVKRRREDKMQQKEARRKMDANIAAATAAVAAVRDDDMQPSWLWCVSLPACTGSGGEAFESQTAMLGVSSIRLFGHVLTPGHRSCSA >Et_3A_025888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33752022:33753277:1 gene:Et_3A_025888 transcript:Et_3A_025888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATTAAAAQAETVLRVAAISGSLRRASANTGLIRAAAEICMESIPGLQVDHVDISELPLLNTDLEVDGGFPPAVEAFREKIRGADCFLFAAPEYNYSISGPLKNALDWGSRPPNCWADRAAAILSASGGSGGSRSQYHIRQVGVFLDIHFINKPEVFTKAHQPPKKFDDDGNLIDPETKEQLRKMLLSLQAFALRLHGKSANSLQGN >Et_6B_049538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6225492:6235356:1 gene:Et_6B_049538 transcript:Et_6B_049538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSMDLNLNASPVPDEDEQQPYEEAAEVEYAQEEHVESAVATMRREREERRRRLKREHQDEGSRPHPQQIRNDYVPQTKRHGRIKEAPQGWLDCPAFGEPIDKIIPSKVPLDETFNESVPPGKRYSSKQLVTKQRKAGREIGLVIDLTNTTRYYSPAEWIKQGTKHVKIPCRGRDAVPDNESVNMFVYEAMMFLDRQKQSKNPKYILVHCTHGHNRTGFMIIHYLMRTHVSCVAEAINIFAQRRPPGIYKRDYIEALYSFYHEVPENMMVTCPSTPEWKRHGDLDLNGEAKQDDDDDNGDLAPTHNVPEDKVITNDDVLGDAVPYDQQEALRVVCYRLLEMPLVRGHTQFPGSHPVSLNSDNLQLLRQRYYYATWKADGTRYMMLIMRDGCFLIDRNFCFRRIQMRFPHKTFEGLHDMTLIDGEMIIDNVPDSGLRRRYLAYDLMALDSVSKTKLPFSDRWKALEEEIIKPRSREKMHFESGSKSNPVYKYDMELFSVRRKDFWLLSTVTKLLRDFIPKLSHDADGLIFQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFELTNDNRQLVFLYERGKKKLMDGARIVFPEEIDPPSVAGRIVECSWNKEEQCWVMRSITDNITEEKLLEEIYEICRLPMYAERIAQQQAKMAQLAKMAQQAKMAHQRRKL >Et_1A_004500.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:1076314:1076802:-1 gene:Et_1A_004500 transcript:Et_1A_004500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALDPRAHEAAVEPLEVLLVGDGDAVEGKVAAPACIAAHAHGRPAGGDLQRRRAGRARGEVPDAADHLDRVLRGGAARAAAVVGVLRGCGREVEPVLGGGRPERRRHRPLRRRWRPRPRRWRGGCHGWWWLSRLGFDRWIGRRRSLGFAEIRGEERKETRGCE >Et_3B_031161.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:19383482:19384966:-1 gene:Et_3B_031161 transcript:Et_3B_031161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHAHAPPHVVVLTSPGTGHIVPVAELASRLAARHGVTSTVVTFANLSSPAHSSPLASLPRGVRTIELPEVPLDDLPADAHFVTRILTVVRRTLPHLRALLRSLADAAGGGVAAFLTDMLCPAALAVAEELGVPRYVFYTSSLMSLASLLHNPVIARTCTACECRDLPEPVRLPGCPPLHGADLVEPLQDCANPVYALVVGLGIDYLRADAFIVNTFDAMERETLVAFKEQFSDRGVYPPAYAVGPFVRSCSDEAAEQYECMRWLDEQPDGSVLYVCFGSGGTLSTRQNAELAAGLEASGQRFLWVVRHPSDKDCSAGYFGTAAKQYGGDDPLSYLPEGFVDRTKDIGLCVPLWAPQVEVLNHRAVGGFLSHSGWNSTLEAVCAGVPMVAWPLFAEQRMNAVKLSSSSELGGVGVALRVRAREEDGVVPREEVATLVRELMAGEKGAAARKNALGLREEAKKASAPAGPAHQALAAVVRKWIRASGPALGAA >Et_4A_034876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7385939:7386826:-1 gene:Et_4A_034876 transcript:Et_4A_034876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIHRTSKQTGKVKNLLGLALSRLAVARRPRLARKSISRSDVGQLLAQGHHDRALHRAEQVIEEENMLEAFDMIELYCNRLIEHAAQLDKPHDCDEDIREAVAGIVFAAGWCGDLPELLLARTILANKFGSDFAATAKEGTGIVDTVLVWKLSRNKTNMDLKKKVIKDLAAENNIMVDLSELPEVVTQDSSKGVPHHHKFDH >Et_6B_049489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5665569:5668219:1 gene:Et_6B_049489 transcript:Et_6B_049489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYRPESNTLLRSDSILEYVLETTVYPREHECMRELRLLTLQHPWGFMGSSPDQMQFFSTLLKMMGAKNTLGVGVGTIELGRPLIDKAGVAHKVDFREGDGVAVLDRMLLLAGDDEAKLFDFTYVDADKQRYAAYHERVLRLVRVGGVVAYDNTLWGGSVAMPRDTPGSSDLDRTVRDILLEFNAAVAADDRVEACLLPLADGVTLCRRFK >Et_3A_023059.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:22650106:22651187:1 gene:Et_3A_023059 transcript:Et_3A_023059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQTSLSLSLMARLPYSHDGWKKRVPITHAYYYQTTAAVTLYRVNKTRVYFSCFLSPYRKIRVLLEHIRAATLNLIQRPGLNYDAIIISEKKRKKRKEVNKRVIIMELEPEGTGLLEDGHELAGDVDGHEPVEPAQELPADEHRRERGGLRGYAGERGLVGDLVHRRVDPESLQQPLHDVAEAAPAPPHHQHRALRHGPPHLRRCLAVVPRRRRRWLRGARLLLLRAEGGDGDHRLRLHDDVRRRHQPRPGAAVGDRLVHCCRPAALLFSSSSYVLRFAFDVPSLLLLTFFLCSLSSFFSRAWFVWVAMVGALV >Et_1A_008394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7221761:7228803:-1 gene:Et_1A_008394 transcript:Et_1A_008394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARAKSNVTWDSRSRALAVRKDKVERNRNPTPFILHKCRSQLKEKFLKPAPKFTKSRFLIQEATMFSSASFRWTKMSPRQNNSSEISPETSPRSGQTIIQLAMQVAACWVSSMLGDEEPSVTALKRPQQWREGLLSNNWRWQARSGKKNNNSCEACPVCGGRGVCLLLREAAEREVRDVREKAPFFAKSMKILESTAEDEDPDFVPGNPGFVPEDPIIGSLVADGADLLGFPEKAYKEDSILHCFSILELKSIIYNFSPSSVYISGLEKLSSAKIVWEEITSVGFGSGWKFLTAKTVQELGNLNLKIDELRQMLRKVSKTRHKEQLKILKCSRSISKDAKELKRSSVQSTIASRICKLIEKCEPRELAKRSEELLKMIESELAKPVWFKPYQFALHVVLSSALLAASAMHDHDAVRNGSNMGEGVTIGLLDDGIDAGHPSFGDEGMPPPPPAKWRGRTPANKLVGAREFARHLPSSLARAGTHGTHASSVAAGAFGRRADVPGPRRGAARAPRVLPGVRGAGVRQGPDHARRRDGPRRHVDILSLSLGDDDDDGLGFHEDPVVAATFSAVMKGVFVCAEAGNKGSTPGSSVQAHNNRALLITLSPSRRSPREVHVGAAPQRRHGAGEERAPNLVSRGHQVGDHDNGRHVGHGRATGAPASYFAMGAGLVNPAKAVDPGLVYDISPKEYIPYLCGLGYTDDQVNRIIFPAPAVQCAGMENTKAKDPNSPSIMVELQLMEERPAVMVRRTVTNVGAARSVYRVEMDAPDGVSVTVSPGELQFSEVNEKASFTVTVERALGSASVPGVWEAATASLPPRRLPPPPLALRRPPPSHNNDHGVHKNYLIILRTPYEYDRNVYKTVSSWHASLAVVHVRQKKEFSHQYN >Et_2A_015058.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28010947:28011297:1 gene:Et_2A_015058 transcript:Et_2A_015058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLTRPQLAAATTASPSSSICSRTRRNASASHGSASGPAAAYPNASSASSSSARNSGWFTHSVRTTNRSLPSPTHTVKHPSGAGFLRQLRHRSSVFMISPSFLPFSMTIVSLFSIKR >Et_4A_034884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7487355:7491549:-1 gene:Et_4A_034884 transcript:Et_4A_034884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGDRHRHHHQEVGVLVDDEDEELEQQQGRRGACGGATSEGVGDGQEGGGMVFEASSSVGSVSATMASSQILSWPPQQQPQLHHHHHNIGQHQQGSSFFPLLPPLPPPQPPPPPPFFADFYARRALQFAYDHHSGGGGASSSSDPLGLYMGHHHGVGSGMMMPPPFGSPFGDFGRMTAQEIMDAKALAASKSHSEAERRRRERINAHLARLRSLLPNTTKTDKASLLAEVIQHVKELKRQTSEITEDACPLPTESDELTVDASSDEDGRLVVRASLCCDDRADLLPDLIRALKALRLRALKAEITTLGGRVKNVLVVTGDDTAGDGDDEQQEQPPMSPQHTVASIQDALRAVMERTASSSSAAAAEETGAASSGGGLKRQRTTSLSAILENRQLGRQASITASGVQNTDGLSVTPSQRPGRGELVNHKFAVVKHTIRNRGQFIERKINAAQLYHDALLWPSLLVSETEDCTCTALGTVLDVA >Et_3A_025533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30493978:30503805:-1 gene:Et_3A_025533 transcript:Et_3A_025533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDASADGAGSGRRTRTRGAEAVARSAALERLRAIRGGGARAAAAVQVKIDAPIYDTVAEEEYAALVARRRKDAGAFIVDDDGLGYVEDGREEDWSHRALPSSSDEGSDGEEGAPRKRKQPRPQSKRPPQQSAAAASLSAAAAMMGKQRLSSMFTSAVFKKPGSDRSKGSSLAADSIVDDVIAEFAPDENDREERRRRVGRVCAQQIPPPVAHIKSQEAVLGVEMPDAEMKPKLEGATGSGAELVTENKSAEEPNQEANGEVKIEKVHRLNAKIKAEDNRNGDVTSATAGWMKICGDGGNGGGEGGVAADCNTVVDESSEFELKDGSLPFYILDAYEEPFGANSGTVYLFGKVEVGKRFHSCCVIVKNIQRCIYAIPNSSVFTMDSISSIERNSTSADYLPSLRATLHELASGLKSEIADKLSDLNVSNFVMTPVKRNYAFERTDLPNGEQYVLKVNYPYKDRALPADLRGEHFHALLGTNNSALELFLIKRKIKGPSWLSVSKFATCPSTQRVSWCKFEVTVDCPKDISVLTTSTSLEVPPVVVAAVNLKTVINEKHNVHEIVSASVICCHRVKIDSPMRSEDWQKRGMLSHFTVMRKLEGSIFPVGLNKEASDRNHKAGSNVLALESSERALLNRLMIELSKLDCDVLVGHNISGFDLDVLLHRAQTCKVPSSMWSKIGRLRRSVMPRLTKGNTLYGSGASPGIMSCIAGRLLCDTYLCSRDLLKEVSYSLTQLAETQLKKDRREISPHDIPPMFQSSGELLKLVEYGETDAWLSLELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHAFHAKKFIVPDKFARNKELNSTKRKMNAETEGANADDGPADTSIDDEVHHGDQGKARKGPSYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEYNICFTTVERSSDGNVPNLPASKATGVLPELLRSLVERRRMVKSWLKTASGLKRQQFDIQQQALKLTANRFYAKPLAELITLQGREILQNTVDLVQNNLNLEVIYGDTDSIMIHTGLDDISRAKAIAGKVIQEVNKKYRCLEIDLDGVYKRMLLLKKKKYAAVKVALDGSLRENIERKGLDMVRRDWSLLSKEIGDFCLNQILSGGTCDDVVESIHSSLVQVQEQMRSGQIELEKYVINKSLTKAPADYPDAKNQPHVALRLRQNGYSGCSAGDTVPYIICSPQDSDNSHSGGVAQRARHPDELKRDPDKWMIDIEYYLSQQIHPVVSRLCASIQGTSPARLAECLGLDSSKFQSRLTESNHQDTSTMLLSVIDDEDERYCGCEPLHLSCPSCSSTFDCPPVSSLITSSSSANISDQNEGKDATANFWRRMRCPRCPDDVDDSRISPHVLANQMKRQADNFINLYYKGLLMCDDEGCKYSTHSVNLRVMGDSERGTICPNYPRCNGRLVRQYTEADLYRQLSYFCYVLDATRCLEKLDQKTRLPFEKEFSAVSQSINLALMEIQKIRDRCAFGWVQLQDLAISI >Et_10A_000006.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:12359196:12359477:1 gene:Et_10A_000006 transcript:Et_10A_000006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFYFVIMMVASIRLLAKSKFPFQTNFDSWSRISIEGVFGSLMVRIPFCCRLDIVWVAIYYLQPCSYHYVLHMLFIHCNIPAEQEFFLGVI >Et_5B_044113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20116827:20120281:1 gene:Et_5B_044113 transcript:Et_5B_044113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKTYARIGKMPSTVNITEGATSSMSSLGEVLFLETKSTSHFGRLTPSSNMTGKNFLSMIDAPSHSASNTVLMEMVDNSLGFVYIESSCLYLWSRKANSEGAAEWAQFRVIELEKMIPVSSPDDNAYVVGCAEGVGIIFVSTVAGLFTINVNSGQVKKIGEPEFYFSISPYMSFTLLVLYKSLPICLLETTQAVEEGRAVRLALLDSSTFPNTNPRRRLVPPTTAERTMAPPPELKLIDDVAAEIFLRLPPDEPEHLIRASLVCKPWLRVISDPAFLRRYRAYHRTPPLLGYLQRRCVIEGDPEARLSPTTAAPLAPNPYFRRALDCHHGRVLLHASDDDWYLIVWDPVSGGRQRVPEPDIDWLIYSAAVFCAVSGCDHLNCHGGPFLVVFVATDDRDELVKASLYSSETGAWSTPVSLGDDCETFVQHKQDPQNSMLGLFYTPYVQPR >Et_6A_047468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6197612:6209484:1 gene:Et_6A_047468 transcript:Et_6A_047468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVQVLRVLVNSRNRPLLRVDTALVSQHLRTLVLHSAVLGGFFMDFSSCPALEDLELTSCLIMVREIGSQSLRRLSVNNCDFSGDICTQILTPNLLSLCLDVGGGWVPFLQSMPLLVTAYVKVVPFCTDRCRGRNNFLPCGFDTCVRCYGNHHQCVILGGLTNATNLELAAQFLFHSWHLGAAIFKREMRMCAAFSKLKTLVLYGWVVVCEIDALICFLQHTPILEKITLQLYNELRYEVYMEENCISKRLIVDPHQCVARYAKAWIQYALMRDVQVLRVLVNSRHITWLLNTPLISHNLRTLVLKTVVLDGCSTDFSGCPALEDLTVRDCQILVPRVSSQSLRRLRVNSCDFSSEICTQILTPNILSLRLDARTGRVPLFQRMPLLVTAYVRLGDYSKDRCWHSNFGQCGSDACVDCYGNPYGSDSCVLLRSLSNATDLELAAELRSPHHGVAIFKKDMQSAPTFSKLKTLVLHGWVIASEVHTLIPFLRHAPVLEKISIILYEEQEYITEIEESYRLLEEQLVPSDHLKIIEVSCTSGHLGRVDKLVKFLDTSSTTVQAELLARAVAAVAMTAPLLVIVLLGGGIGAPAVWIKTAMAAVGQGSSHVDLPLDKQLHGGLLVEGFDRGSCLSRYQAAVYRRNPGKQPSKYLVSKLRRQEALQRRCGPGTAAYSSALEQLKLKKSGNGKNASSPPDDESCKYLVSVSYRGLGNRLLAAASAFLYGMLTDRTLLIDPDADMDELFCEPFLNSTWLLPQGFPLWAFRSFYLDTPERYGKMRESGGSLESSGKVWAYIHLDHNQTDHDRLFFCDEDQRLLSRFQWLVLRTDQYIVPGMFLVRSFQEELATMFPEPDAVFHHLGRYLFHPSNHVWGLITRFYQARRLASARRLVGIQVRVFPWEPNSPELLDRIATCTQKEGMLPGLRHTQEPEPEGTTARRGGGGGVNKPTSTTTAIIAVVMTSLKSWYSEHLQGMYWENATANGELVVVHQPSHEERQRYGDRSHEHKAWAEVYLLSLADALVTTAGSTFGYTAQGLAGLAPWVLPRDGRPPLATGAACARAVSMEPCCHVAPMYDCKRRVDAGKVVPHVQHCDDVPAGLKLVNRKECTGAHH >Et_6B_048276.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:636724:637175:-1 gene:Et_6B_048276 transcript:Et_6B_048276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SDRHSASITPRPQHPLDLPLHSCLCSQATAQGSAEMKVLRGSVILSAMLLLHLLLLCNASTEASPTPTLLSHGNNAEQGCVLRRPGARRLLRLQPAAAATATNTFHVKDNGVHQAMNAPDNVKPNVEFNASMRPAPRSKSNPRQN >Et_3A_026251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:683424:689473:-1 gene:Et_3A_026251 transcript:Et_3A_026251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSSFSNPFHSLASSFPFLSSSPSSTPASSPSPAAPHLAVPLLLPVSSATTSSSPASPDESRRGPQPLPGSRMAGAGAESGGKGGGGIRGGGGGGPAFVGQVFTMLDPSGNGLMAVSTRFELPHFLTNRTPMWFKKILSPLKKSENGPVFRFFMDLNDAVSYVKRLNVPSGMVGACRLDVAYGHFKEKPHMFQFVPNEKQVKAANKLLKSLPQRGRRKRLGVPVFSAQNINIAVATNDGIRWYTPYFFDKTLLDNILEASMDQHFHSIMQNRHTQRRRDIVDDSLTSEIIEETADSLLEPPEVQELMNEIGPAGIPFSVVTKAAEIQFHDVVDKVLLGNKWLRKAAGIQPQFPYVVDSFEERTAVSIANIATTSSSATTSQDDNCFQDSQQSLSLDQRVDSSNHGNQTDPDHNQFRFPFGNLLPNILRRDRKLKTQEKDKFSRYDADINNGLQGNPLLPKITMVGISMGEGGQMSKASLKKTMDDLTKELEQSGEKATFSEEKDPLFVANVAAAAASAASFKPIFCSAFAKPSTSVGAILYGV >Et_2A_015934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19343871:19348862:1 gene:Et_2A_015934 transcript:Et_2A_015934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METDEKRRGKDAAALSGGHLCHVCGYQYPNPHPSAKLRRSHRKHCGKAPPAEAVVVEEEEGAVEGLRVSEREERNAGDGTLLGENGGRVEGKTEANGCTALLGSAGEAGESVGDKAIAENSSSNGIGVHQVTRELNENGHINCMISENVSPDDKSINGEIFRNALVDCSSNSEHVSKGNGVELPIPCTSGRQDEVAKHCLELTSVAVPNPAEREDSFDEYQDASPFLPQSDSEDGAAPSLEFPIETKNLKNVLSGSSVAANEISLGTNGLCKDQASRELSLNDLSAGSKVGNDLENGTLSMAGPQASLKVGLPYGSYVNVGSNHADIVDNKPDKIFHNSESIGDPDASPLQEAQSIDTDSQSTCTRKMHGVMENAVHALHNLYEALTRPEAAESSNVQLETVTGSSTSTMPLGNELKVVHTENNPKQNWSVMDTSDVQQPVEGLFRNNLCSKAGFQNNLPPATNVDDTLYSITKNCCSDMDFTFEARTQPDIVKQVSESEENPFVQKTSGSTEAEVCNKQIDPEVPTEDQFPKSHKHDELQVDQTSCVKNPFDLDDPRNDDLFELPTDSCYLEVPSAGVSRQQLDSASVTLAQLTVSDQTTMADAQHNHNSNEYILPASSSGENGHPVGPKDTPVCSSVELVTDTHLADGPLNHLVQEDDVHANDIPFVLSQVAPMEFRTVCTQDTSALSTGVENMQIEDVTAKIMTAVPTIDNTEMEQADDTTATDAYAIKVETKKLTEDTAAKMNEVQHCDFEEKQAGSTKVSAVQSISNLDENKPTEGTNMKEMNAQVKGNDLDDKQQTDDTSAEKMNDIGCPYNFEEKICVQATSTKETIAAQSTENVEENKQENTTVHQEGNNQKEEIAVTGGQLNSGRIHVPLKVLLAEASAENKVHKPSAKERVLSFRRRVSKNDSSPKPGSPKSGSDGYQWTSPAKLPRKDTDKNKGKKQPWMPFICCHSVR >Et_4B_039037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7249146:7253248:1 gene:Et_4B_039037 transcript:Et_4B_039037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTLTLEVEDGDTVATVNAKIQDKEGIAADQQLLIFAGTQLEDERTLADYNIQKESTLQLELRLLGGRRGGTCYARLPPKAKNCRKKKCGHSNQQLVFAGTKA >Et_8B_059125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14119879:14122665:1 gene:Et_8B_059125 transcript:Et_8B_059125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAAVSVPAAVTPLPPLRRLLLSTSSFSSSSSSSPRAAARRLARISAAMAATAVQPAVVVGGGRVGRALLAMGPPGADVLVGRGEKVPEDAPGPILVCTRNDDLDAVLENTPRSRWRDLVFFQNGMLDPWFESKGLVDANQVLAYFAISKLGEPPVDGITDTNPEGLTAAFGSWAPEVAARLQNGGLTCKVLEKEAFQKQMLEKLIWISAFMLVGARHPGATVGVVEKDYRSEVASLIAELASAAAAERSLTFDEGIEERLCAYSRAVAHFPTAFKWRNGWFYSLTEKALAAGKPDPCPLHTAWLKEIKVV >Et_3B_028672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17983579:17985345:1 gene:Et_3B_028672 transcript:Et_3B_028672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHRKTLLPFLLLALLLAPQHLLAGAAFVSRGLSADDEAHIRRRQLLQYTTNNNGGHGHDNGVDPSSYSFPNPRLRDAYVALQAWKRAILSDPYNVTGSWSGPDVCAYAGVFCAPSPSDPYLTVVASVDLNHADLAGHLPEELGLLADLAVLHLNSNRFCGLVPRSLDKLHALHELDLSNNRLVGGFPDVVLRMPALKYLDLRFNEFEGTVPPALFDRPLDAIFINSNRFRFRIPDNVGSSPASVLVLANNDFGGCLPASVANMSATLNEIILINTGLKSCVPPELGALHALTVLDVSHNSLMGAVPAELAGLHSIEQLNLAHNRLVGDVPEGICHLPNLQNFTYSYNFITGEPPVCLHVRNVDDRRNCIPDRPGRPSNAKSPPPPPSYEVSPEDRYLSPPPPPASVPKYDYSSPPPPRTLYKLPTWNYASPPPPAAWKP >Et_2B_022036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8361445:8362771:1 gene:Et_2B_022036 transcript:Et_2B_022036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAIEETWKSAFCMDCYEEPHKCGREKLVTMSYHVEWICKSLNPSPPYCSKTNPMITFHSVSIHFLFHYNENYSAKLKLFLELLYKSFKTFTSLGGKMAWPELLGKKGKEAKAVIEKENPSVNHAIYAPQDAIVTDEYCCNRVRIYVDCKNTCDYANSVVVQIPKVG >Et_1A_005828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14453597:14458836:-1 gene:Et_1A_005828 transcript:Et_1A_005828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDNTVLYGKIESILELEVDTENSKKYENVKNWSKDDMNAGSPPLDSTGVALAPGESRSPLGPHALLHRGCHRPVSLRHRRLRLRPAGLRGTRSRAARYPGRVHARRPRRHGLRRLQPRRRVHPAHARGAAERGLGEQLCVPTGCVADLSSACPAELRVASADDGSGVACKSACEAFGSAKLCCSGEYGNPSTCRPSQYSQFFKNACPRGPTATPTTTPPPPSPAAVATPPTPSPSAPARPVCVADRSAFVGSVKSVGSDPQVVLYFGGGLYGSSSSRHAAPGLGTGLMRVHGAAGDPLQRWIASASRLRRASLLWGRTL >Et_9B_064765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18431870:18432103:1 gene:Et_9B_064765 transcript:Et_9B_064765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKQSASKDQSVASIISGGFRVLNGWLVNQASLSVLLIGVRAVIVVIACGAVFLNNR >Et_7A_051425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18358646:18375868:1 gene:Et_7A_051425 transcript:Et_7A_051425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVTVPRIKLGSQGLEVSGQGLGCMGMSMPYGERKPEANMVALIHHAVAAGVTLLDTSDCYGPHTNEELLGKAMKDGVREKVQIATKFGITPELTDIRGDPAYVRAACEASLKRLGVDCIDLYYQHRIDTRVPVEVTIGELKNLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDTEQDIIPTCRELGIGIVAYSPLGRGFFSGGAKLVNELPDDDFRKTLPRFQPENLEKNATIFERVSEMAARKGCTSSQLALAWVHHQGSDVCPIPGTTKIGNFDQNLGALSVKLTPAEMAELESYAAMDDIQAVAHPATPAMASAPATVPRIKLGSQGLEVSAQGLGCMGMSAVYGERKPEADMVALLRHAVAAGVTFLDTSDCYGPHTNEVLLGKAMQGGVREKVQLATKFGITPDIENVRGDPAYVRAACEASLKRLGVDCIDLYYQHRIDTRVPVEITIGELKKLVQQGKIKYIGLSEASASTIRRAHAVHPITAVQIEWSLWSRDVEQDIVPTCRELGIGIVAYSPLGRGFFSSGANIVNELPDDDFRKNLPRFQPENLKKNAMIFERVSEMASRKGCTSAQLALAWVHHQGSDVCPIPGTTKISNFNQNLGALSVKLTPEEMAELESYAAMDDVQGERYHSTFLNTWKDSETPPLSSWNGNHCLRSKIGTREIRGDPAYVRAACEASLTRLDVDCIDLYYQHRVDTRVPIEVTIGNFNQNLGALSVKLTPEEMAELESLSAMDNIQAPVTVPRMKLGSQGLEVSAQGLGCMGMSAYYGPPKPEPDMIALIHHAIASGITLLDTSDVYGPHTNEILLGKALQGGVREKVQLATKFGIHLDADGTREIRGDPAYVRAACEGSLKRLGVDCIDLYYQHRIDIRVPIEVGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQMEWSLWSRDVEADIIPTCRELGIGIVAYSPLGRGFLSSGPKLVDTLSDQDFRKNLPRFQPENIEKNAPIFEQVNAMAARKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFNNNVAALSVKLTPEDMAELESYASADVQGDRYHDFLNTWKDSETPPLSSWKAQ >Et_6A_046453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15341635:15343926:1 gene:Et_6A_046453 transcript:Et_6A_046453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTELGTTLITLAFLMHFTTSSSSPIAQPPWLFSLLSLALAAAAITLPFLVSASRRNGAAAIPGPRGWPLLGSLPAVSGPLMHRRLAALADAHGARRLMSLRLGSTPVVVSSHPETAREILTGAAFADRPPKAAARELMFCRAVGFAPAGKYWRRLRRIAGAGMLSPRRIAALEGLRRRVADRMVAAVRGELQMNRELAVRGVLQRAAMESMAGSVLGLEGDDVSEELGEMVREGYELVGTFNLGDHYYTSLWGPLLDLWGVGPRCRRLAERVRGYFGKIIEERKALGDCHQEETNDLLGYMLALPKEERLEDSDVIAVLWEMIFRGVDVVAILLEWTMARIVLHPDIQSKAQQELTTVVGARSIADSDIPNLRYLRSIVKETLRMHPPGPLLSWARLAVHDAQVGKHVVPEGTTAMVNMWAISHDESIWGDPWVFRPERFMEMEGGEDVSVLGSDLRLAPFGSGRRVCPGRVMGLATVHLWLARLLREFEWAPAPAQPVKLTECLRLSMEMKKPLVCRAVPRGEAASDRSS >Et_2A_016641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26856757:26862314:-1 gene:Et_2A_016641 transcript:Et_2A_016641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNVLVERATSETLIGPDWSLNLEICDILNHDPPQAKDVVKTIKKRIGHKNSKVQLLALTLLETLIKNCGDFVHMHVAERDVLHEMVKIVKKKPDYHVKEKILVLIDTWQEAFGGSRARYPQYYVAYQELLRAGAVFPQRSESSVPIYTPPQTQPLRNYPAPALRNTDYRQDAPESSSAPEVSTLSLTEIQNARGVMDVLSEMLNAIDPGNREGLRQEVIVDLVDQCRSYKQRAVQLVNTTSDEDLLSQGLSLNDDLQRVLAKHDAIAAGIAVRVEKPKSIQARAESSTPTKPEGTKEADQRSSKDAKNLTPFDQLALPAPPSSSSPKFQAAPAVNTNIDLLSGDDFFKAEPVNSQALVPAGSTPAVSASSGHNTLDLVDMFADSSVSNNNSQNPAISSTLNANANLPPPQPYPAPQHPVQPQQPSPYSNGLNSNAMTLYDQSSNSASSWNGQFAQGMVPPQQAPNFGQDDQSNDLPPPPWETQNAESDPFQAGHPGGLSVPSGQLGVGQPQPVQITQPGLGAQQSQPMLTGQLGGMQFQPGLGAQPSHPVLNTQYRGMYPPVQGNQQGGMYPQQMAGDFYQQQMYGGQMAGYGYSQQPGGYYVPNAGYAYSSANELSQRMNGLSVQDNSFYGAPASSSLQQRSRPSRPEDSLFSDLVNIAKTKPSKTGI >Et_1A_007359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33828872:33831637:1 gene:Et_1A_007359 transcript:Et_1A_007359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDAAYERADEPRQQRGFVVPQIRNHRFRAAAYVAVWGAAGILSTTAPGVAANPDHVMLCFLFVMAGVLLLFLAIVAQEHPMAERAAARLEEWPDLRRGFSGPKTMAKASASMTTAARRASGSGTTDAVALGDDAGARPSATVRHALNDVVYRY >Et_6B_050116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6740355:6742594:1 gene:Et_6B_050116 transcript:Et_6B_050116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLGCFGVASGGRKVSPAARQSGEVPEAKEQKRVDKKEKLQQQGEAGQVAAGRKEKKRDHQQASIVMQHQFPFHSRPGAKS >Et_7B_054490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2186919:2189866:1 gene:Et_7B_054490 transcript:Et_7B_054490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLLLNRVVSNQREMERRRHVQKLKLLNPGLFKQDRNDLPLHSQCSTILFMLLQEIWYHIHSLVPLRDSARAASVSRTFLSSWRCHPKLSFTKLTLGLKGRMSDIAKSFTSTVDHILKNHSGAGVKKLKLEIYDHYNVNTCLLNSWLQKAITPGIEKITLRLDTKHYEEYSFPCSMLVDDCGNSIRCLSLTSCAFRPTDGFDSLRNLRKLYLRAVHITGDELGFLISNSFALEQLELLQLLLGESSQVKNLHVESSYEANFVRYGITMLPSIVPYLETLTITSLGENVNTPMAVYKFLHLKYLKIYLVGDYESKFPAYDYLSLASFLDACPVLETFMLGSMVELTCHILENSTALESLTVDTNGQCRPLHKNMILDAHKALRAFKTFILGRVPSTVKLNVGEPCNRCHAINSSLASRHGPALAATQFSFYRLMG >Et_10A_001850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9034428:9042320:-1 gene:Et_10A_001850 transcript:Et_10A_001850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQQFLFLLLLWLVGASVVADALVYTPIFPNCSTAGNFTNDSPYLGNLVDLMSQLPSSAISNGGFDTATAGEPPNKVFALTMCYADRNWTECQKCLRDTIFYAHQVCPFSQDVRACYRACIFRYSNQSFFSVADLTVAFYVYKGVGKAYEVIDTASMNRTRLKLMSQLAEQAAGSSRLRLANGSEPYTDSQGRSQVMYGLAQCTRDLDASECSRCLTTFMARLWRSHPNSTYGAVKGYSCYMVYSVGEALNITIPPAMLIASPMLSSGARAPSVGLVVGVTVCSVVLVICTTILVFLLRHRRRTAREHQLVCDDEPLDDELETVGTGPKRFLYSELAAATNFFSDEEKLGQGGFGSVYRGYLKDMDLHVAIKRVSKGSQQGKKEYISEVKIISRLRHRNLVQLIGWCHSGGELLLVYELMSNGSLDTQIHNQNNVMSWELRHEIVLGIGSALLYLIQDWEQCVLHRDIKPSNVMLDASFHAKLGDFGLARLIDHERESHTTALAGTMGYIDPECMLAGRASAASDVYSFGVLVLEIVCGQRPIIVVQDSEEYATVHLVQWVWEFYGRGSIIDAADARLNGEFEGGEMERVMVTGLWCAHPDHAVRPSIRQAVNVLRHEAPLPNLPEKMPVAMFLPPVVGCTPSESSTGTGASSGSGSGGATSHAAAGSRHQRSRAVTGLWCAHPDRTIRPSIRQAVNVLRHEAPMPNLPEKMPVALFMPPVSCSISESHAETASGGTTHSSIVMEASTLLR >Et_3A_023006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15824142:15825143:-1 gene:Et_3A_023006 transcript:Et_3A_023006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LSNIYRVEFELDDSPLSSKHLTRLELDGIVLKNSFCDFSNCPSLEHLEMDTCYFWYTRKISSKSLKCISIINCGFSEEFRTLFDVPGLVSLRLDGHLYRAPVLESIPSLHEAFVRVIHENADSGDCDDYSGYCDVDDCYSCHGVLDGNKCVLLEALSEAENLTLVSESRTFAFERDLKQCPMFGKLKTLLLNESWCVAPNFTALTCILKHSPVLENLTLLLYFKGPKHKVETIGRYHPVDRTAALSEHLKAINVNCEVVDEKVNKVLTFLCAFNICK >Et_5B_045210.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1198317:1198535:1 gene:Et_5B_045210 transcript:Et_5B_045210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPSLAAVALAPGSDRSPPRKEMPASRVVFEVLRSASRDAFQVAFSFAARPPVSTMIKPVITRSFHQDQNK >Et_7B_053202.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:1127436:1127720:1 gene:Et_7B_053202 transcript:Et_7B_053202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CPPLPPPLSHLSLSTARARRAAWLRGREAPVWGRRRRRGASPATTGFGYERGYSCGADHNQGHLRRLHGRPPHLRLLPLASYTWRPRQRVINRL >Et_1A_007062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30506455:30522968:1 gene:Et_1A_007062 transcript:Et_1A_007062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKAAAPAAATKEDYASLRELYRPHVESAGKQGFHIMGNLKWTYVSSVMMVEQLSAKPSISSKLCHLRDADPHKLVFHGEEATEMGGYFICGGMERLIRILILQKRNYPMGLVRGSFLKRGAGYTDKAVVMRCVHDDQSSVTIKLYYLQNGSARVGFWLGGREFLLPVGIVLKALIDTSDREIFTSLTCCYSGKNGKEKGDVSTQLIGERAQIILDEVRNLSLFTRTQCLVHIGQYFRSVMEGFEKDDYETVAEAVLKDYIFVHLKYNYDKFNLLIFMLQKLYALVDKTAAPDNADALQYQEALLPGHLITIFLKDRLQDWLRKSKRLILEEAAKDKSFDLNDSHEIRKFLGKNTTSVGRAIESMIKVGKVNSQSGLDLPQRDGMTIQAERLNFHRYISHFRSVHRGAAFAKMRTTSVRKLLPESWGFLCPVHTPDGEPCGLLNHMTITCRVSSFYNSEGSIKNFETIKSSLSAELVRVGMNPLSPKIERTGPPAVLNIHLDGCIVGTIPSAKIEEAVNYLRTLKLLAHSGIPEDLEVGYVPLSLGGAYPGLYLFTNPARFVRPVRNLISLPDGKKNIELIGPFEQAFMEISCPDGGNGGRKEIFPATHEEIHPTAILSVVANLTPWSDHNQSPRNMYQCQMAKQTMGFCGQALKFRTDVKAFHLQTPQTPIVRTATYNKYCMDEFPSGTNAIVAVLAYTGYDMEDAMILNKSATECIDLSTKSRDNVPEMFAKSALSRDTSTAIDSDGLPRLGEQVHPYDKYYSVYNSLTGAVRPVRLKGTEPAYIDYVAVNGASSKGSLQKANIRLRRVRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSANTGMRPDLIINPHAFPSRMTIAMLLESMAAKAGSLHGKFINATPFANSVKKEDKDPKKPSVVDELGPMLASYGFNYHGTEVLYSGLFGDEMTCEIFIGPVYYQRLRHMVSDKFQVRTTGRIDQITRQPIGGRKHGGGIRFGEMERDALLAHGSAYLLHDRLHSCSDYHIADVCSLCGSLLTATVIKSDPQKKAKREMLGLPTVRPQKNFACQACKTSKGMETVAMPYVFRYLAAELAAMNIKLELRLSNKSELPASKE >Et_7B_054548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22995557:23000375:-1 gene:Et_7B_054548 transcript:Et_7B_054548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGKHGYKRRQAYGRGTPPESGSTWGFGHPGYVQSTFPHPEYAENDDYVNYEGDDMEYDHYLECERQSDWHGGRAKDSFLSPYGGGYGGVGNFGQQNSDLIDGVGVFGEGNSHLADGLGDVRQGRSHLRDDRKCPRHAYYDRQYNGHKSYGMRHKKMKSAIVQVQERKGKCFAHKGNAPWSGHKKWKRPIENFNMSARKLEIQQIGNHKFEALGTALVNNLIEDKKGYNMTCKSENSLNALQKLESDIKDMKDKLEVMKCKQQNFSIGEKNVELSEQLEDKIEELDVVESCNQVLVTKERISNGELQEIRKELINAGLLEHGGPRAHIGIKRMGELDPKAFSNACRQILPAENADVNTAILCSKWDADIKTPEWHPFEVVMVDGKEMEVIKEDDPKLKELKEELGGDAYSLVKTTLLEINEYNPSGRYPVSELWNFKEGRKATLKENVRSTYFIKKKRKARNVASAQSPKLLGKI >Et_7A_051904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:26251315:26254031:1 gene:Et_7A_051904 transcript:Et_7A_051904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRRLGALLLRSSSSPPSCQRQRRHLGEVTCDSSSPIPSLPSFKHCTQHLVKHLVKIDLLDLCKLLHEPLALNHLVRLFTSQAGSGSGNFQKPFIAFVLGGPGSGKGTQCTKIASNFGFTHLSAGDLLRHEISSGSEKGESILEIIKEGRIVPSERTVELIRKAMEMSNAKRILIDGFPRCEENRIAFERIIGSEPDVVIFFDCPEDEMVKRLLGRNQGRVDDNIETIKKRLKVFESLNVPVVDYYSSKGKVHKINAMGTADEIFEAVRKLFSSLR >Et_4A_032308.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29256500:29256655:-1 gene:Et_4A_032308 transcript:Et_4A_032308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SAVQLCSTISRTLRLYSRLFSLNSLAASELAGEFGFGSQSRDCKEKHDNRK >Et_1A_005184.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31186143:31186538:-1 gene:Et_1A_005184 transcript:Et_1A_005184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDTRRGVHVKVGLQTHVPRFHGLPRSSERVEHMVPTESKILCLAGAPRKTVDLRTPKTTQSPERRYMLSMQPGAENGGSSAPTLQLLQASLVAYPVHLQNRLHQYRQYWPTRLVAAAAEGAPNEAGEGH >Et_4B_039078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7567347:7569916:-1 gene:Et_4B_039078 transcript:Et_4B_039078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNTERRSSFMKWLCTFLKGTKPGETNRRRPRVTAGAENTLWQEPVRPKDDPPRPDNEELDRAIAQSLAEDVKPPKEPARKGDNNHDEDLARAIQDSLNMNPYMPYNPYASSQPQPRGYRVCGSCKHEIGHGHYLSCMGMYWHPQCFRCSACGHTIRETEFTLLGSDPYHKLCYKELHHPKCDVCLQFIPTNRSGLIEYRAHPFWGQKYCPLHEHDRTPRCCSCEKMEPRNTKYMSLGDGRSLCMECLGSAVMDTGECQPLYHSIRDYYEGMNMKLDQQIPMLLVERQALNEAMEGESRGAHHMPETRGLCLSEEQTVSSILRRPRIGGNRLLDMRTQPQKLTRRCEVTAILVLYGLPRLLTGSILAHELMHGWLRLKGYRNLTPEVEEGICQVMSYLWLESEILPASSRHAAPSTSYASSSSSSYPPTSSKKGGISHTEKKLGEFFMHQIANDTSTAYGDGFRTAYAAVNKYGLRQTLNHIRLTGGFPL >Et_2A_017021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30121370:30124469:-1 gene:Et_2A_017021 transcript:Et_2A_017021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGRDIYDVLAAIVPLYVAMFLAYGSVRWWGIFTPDQCSGINRFVAVFAVPLLSFHFISSNDPYAMHYRFLAADSLQKLVILAALAIWHNVLSRYRRGAGAASLDWTITLFSLSTLPNTLVMGIPLLRAMYGDFSGSLMVQIVVLQSVIWYTLMLFLFEYRGAKALISEQFPPDVGASIASFRVDSDVVSLNGREALQADAEVGHDGRVHVVIRRSASASTTGHGAARSGVSLHRPYGSSAMTPRASNLTGVEIYSLQTSREPTPRGSSFNQSDFYAMFNGSKMASPLAQPGARAQGLDEQVANKFASGKGSDATAYPAPNPGMMPPPRKKELGGSNSNSNKELHMFVWSSSASPVSEANLRNAVNHAASTDFAAAPPPAAPVDGATPKGVSGSVTPVKKQVEPAAPNDLEIEDGLKSPATGLAAKFPVSGSPYVAPRKKGADVPGLAEAAHPMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLVSFRWNIQMPSIIKGSISILSDAGLGMAMFSLGLFMALQPKIISCGKRVATFAMAVRFLTGPAVIAATSIAIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNCHPQILSTAVIFGMLIALPITILYYVLLGI >Et_8B_060782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7437613:7438174:-1 gene:Et_8B_060782 transcript:Et_8B_060782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANNVEADGALACALDRKLERRAKPANCATEPLDTKQADAHESLAEMAPPDNVAATKQMETDVVSGPKATTSEDSAPLNSEEMERELKRMNQDDEVQSEEVSLEALAAIPEAFPAHSATRKSKRRASTVDGVMQDRAEKVKAIKNEVLLAQ >Et_1A_006617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25217507:25220884:-1 gene:Et_1A_006617 transcript:Et_1A_006617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSASATASLSVAAAGALRIRQPSARAPAWAASSQRQQRPLLKLKPCASLSAAAPPMWRADSDGGGGGAGAGDVMGLLLQERIVFLGNEIEDFLADAVVSQLLLLDAIDPESDIRLFVNSPGGSLSATMAIYDVMQLVRADVSTIGMGIAGSTASIILGGGAKGKRFAMPNTRIMIHQPVGGASGQALDVEVQAKEILANKRNVIRLVSGFTGRTLEQVEKDIDRDRYMGPLEAVDYGLIDGVIDGDSIIPLEPVPERVKPKYNYEELYKDPQKFLTPDVPDDEIY >Et_9A_060908.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16143669:16143962:1 gene:Et_9A_060908 transcript:Et_9A_060908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKTEAGRVHRLELGTARNLKARGLNTNNTATALDESKLYLIFCEESECQDPIRGCFCCWNKQPEPLCYDDMDTCRTVCPVCDPLCPSRLPNSTTA >Et_5B_043876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1780965:1783694:-1 gene:Et_5B_043876 transcript:Et_5B_043876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGNTHTNRHADERATTLSVNEKITSSKVLEHSCEYMQNVPSFDFIWTIEGFSLLPKLGRHFSETFDAMDLKWGLLLAPWYRRSHKRYLSLTLYLEDGPRDLEESGDLKVSYRITLFNQGCGDDKKFRDTVTGVVARRLACKWESIGLVSLDVFLDPSYGFLVDDTCLFGVEILDVTPTCRRSLPASDTGRFRWTIRGFSAEQKRKAFICKRFSACGYNWDVFVLTGRHHSLGSESLHIHVCLSSSHTFCQLFGRLRIRLVNQLTGNHIDKSYCSIFHFGKFHGCASVVPLRTFCNEEEGFLVKDCCIVEVEVAVLAERLPQQGINFYRE >Et_4A_035388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22838478:22842709:-1 gene:Et_4A_035388 transcript:Et_4A_035388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVINNKYTGASRGFGFVTFEDPWEAANAVKEMHYQEVDGRLIEVYYAKSGYSGGGGGRGHCHGRHCGHSCSVPSPSMVYCFACDGAGRLSRDCPVAGGGCFDGFSPSFGGDGWGNRFSGSHYNGGHYG >Et_2B_019340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24679099:24679557:-1 gene:Et_2B_019340 transcript:Et_2B_019340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVCFKKHLLATGEPQAATSTAAAASKAVVAPSAVKTTGDGDAADASSAVAGAGTSAEEEEALTAAELRQKAWSERCSHCLKKMTLVGRFTCRCGRTYCPTHRHSEAHGCAYDYQRAGRISIIRNNPLVEGEKLRDRI >Et_2A_018817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8173041:8175931:1 gene:Et_2A_018817 transcript:Et_2A_018817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKDRRRIAGQIQNLKSRVQEVSNRNTRYNLIRNELPDTSDDMDSLLEDICNLSGTNIDEADLVGFATPKKKLLRLIDVQANNGQDRVICVVGMGGLGKTTLVRKTYEAKEDIAKNFPCRAWITVSQSFDQFELLKKIISACFGEDKLQMLEGKGIQEHASYLTEQLKEMRYLIVLDDLWTIDAWTWINHTAFPSNNTKGSRVIITTRDVGLAKQCTSESLIYHLEPLKIDDAIELLLRKTNKEHKDMQKHQDITNGENLWETVTRIVKKCGCLPLAILTIGGILASKDIAEWENFYKELPSELESNRNLQALRKMVTLSYNHLPSHLKPCFLYLSIFPEDFEIQRRRLVDRWISEGLVRPMTGKTIDNVGKSYFNELVNRNSVDIRRTSCKAIKELGELTQLRKLEVATEGAAKKKRSVLKASIQKLTSLRSLSVNSCVHKDSGGLQWLVSSSSSPSSPPPNLRSLMLTGYIGEMTDWFKNLTQLVKIHLQFSQLKEDKSIDILGALPKLMQLQLFSRSYVGETLVLRNRRFLQLRKIEIEELGQPKEWKVKEGVFLHFKESIGRLNEIRFEEGASPQLESIYIGSCSFASGIIGIQHLRRLKEIQLYECEVARLDRLKEEVNAHPNRPVLNVHRNSASDQLGVEDVEGSVVQVEAASTSHPEQTGEISPVITLPTSDSEIQAISS >Et_3B_030578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5038315:5039430:1 gene:Et_3B_030578 transcript:Et_3B_030578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRVASILLMLSVQALLVVAGLCAAAGSGDTDIIRLPSESEGNNERPPWRCCNDAQCTKSWPPICRCMDKVERCSDACKKCVDVEGSSKACADWYRGQLPPRCDHDQDNNVVHGDVVTGARKEEDEETPPWKCCNNALCTRSWPPVCRCMDKVEHCSDACKKCVDVEGSSKACEDWYRGQLPPRCDDDQDKNVVHFVVPGASKESDGERPWKCCNRPVIGPTTSETPVWYCLDKFQHCDCEHCSKVHSGHGYHCLDGYKGSNPGPSCTHDG >Et_9A_063340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20712615:20717975:1 gene:Et_9A_063340 transcript:Et_9A_063340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKNVAIDDDEYSFPQDDAADPAPPPPAAEKEKPKKGGGKKGKKGGKAALPDDDDYEPPPPPPPAADEDDEEEPINLVLTGKKKKKKGGAPLSSFSAFSALGDGDGDEDQDEDEPAAEPESASHPAEDDDLDLDFSKAKKKKKKKDKGAHKDEDLDLDEPAPPPPDVAEEEEEPPAATAAKKSQKKKKKKGAFTVDDEDLDKLLAEMEEPPQPANEADEPKEVKGEDPVAVPDVDDAAGKKSKKKKKKGGFTVDDEDIDQLLAEIDGQTPPAEEPEPKVEKDEVSASAATTVGDAEGKKSKKKKKKSGRTEQEEDELDKLLAELGEAPPVEKEKEKPAQASQSAPVPKEDVEAAEDANAEEKVGEGEVESAAAKKKKKKKEKEKEKKAAAKEAEAKKEEKELEAPKGKVDMKKLPKHVREMQEALARRKEAEERQKREEEERLRKEEEERLRREEEDRKAEEAKRLKKLREKEKLMKKKQEGKLLTGKQKEEAKRLEAMRRQFLEQSELQKAEGAVPQTKKKPIYDSKKKKNQPKTTETAKVVEEQQPESSEANNDEEEYVLVDQESQSQVEESEEKTEPDQEIEEPKPEEEEEEDEWDAKSWDDIDVNLPKTSAFDEEEVKPAIKKAAEPVQKQEGSKAQLAVQKDVPHAANTKKSEMHDGIASNGNVKKNKGAKKGPAKEDSTKNGSDLRSPICCILGHVDTGKTKLLDCIRRTNVQEGEAGGITQQIGATYFPTENIRERTKELKADATLKVPGLLVIDTPGHESFSNLRSRGSSLCDIAILVVDIMHGLEPQTIESLNLLKSRDAVFIVALNKVDRLYGWKRCTNAPIVKALKQQNEDVKREFNMRVTDIVTQFKMQGVNTALYYKNKEMEDTFNIVPTSAISGEGIPDLLLLLVQWAQKTMEEKLTFVDEVQCTVLEVKVVEGHGTTVDVVLVNGILREGDQIVVCGMQGPIITTVRALLTPHPMRELRVKGTYLHHKEIRAAQGVKISAQGLEHAIAGTALYVLGPDDDLDKLKDAVMEEMTRIGTPLCIPTKEFIDIGKIASIEINHKQVDMATKGQKVAIKIIANNSDEQQRSFGRHFDMEDELVSRISRRSIDILKQNYRASSKFGTLFQDDLSMEDWKLVVKLKNIMKIQ >Et_9B_065460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5262686:5265279:-1 gene:Et_9B_065460 transcript:Et_9B_065460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGHPGSKISSSCSSELTDGKLEERRNSISRSCPSCGHEIDCNTDMIGMPAGVKFDPSDQELIHHLQTMVDEEGSRAHPLIGEFIPTIQGEDGICYTHPENLPGVTRNGLSKHFFHRSSKAYTNGTRKRRKIHAQRNLNSSDNAIEMRWHKTGKTRPVIVGGRQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGDQEEKDGELVVSKVFYQTQPRQHTATAAEQRMINGASALLVGIGDQDSSDEGEQNDDHNIPPQHQEEKQQHEEVERRFAGLEELIQGASTQSETHRPYRYWPPDRNQDQHG >Et_6A_047936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24633692:24636118:-1 gene:Et_6A_047936 transcript:Et_6A_047936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNPRLPFLLLAAALILLAAAAEATLHPVDYLALQAVRRALSDLPGSRFFSTWDFTADPCASFAGVSCSGDGRVVSLALGDPRAGAPGLAGPFPSAALASLSALSSLSLVPGRVTGRLTPSVAALPALRFLALSGNLLSGDLPAAFSPALRTVDLSKNAFSGRIPPSLLRLRGLRTLVLSHNALAGAIPSSVAAPLVHLDLRSNRLSGGVPPLPSTLVYLSLAGNRLSGRVAPVLRRLPRLSFLDLGRNWFSGEVPGEVFAFRITYLQLRKNAFSGELRPAGRVPPGATVDLSHNALTGRVPPELATAGAVYLNGNKLAGEVPREVAAAAEGGRMRVLFLQDNFLTGIGVGGVPASAAVCAHWNCVAPPPAVVAACPAKGGRGRRRPPAQCGGHRGI >Et_4B_036103.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:23735098:23735641:1 gene:Et_4B_036103 transcript:Et_4B_036103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSASMLFLSYHQLHGPAAAEAPRKQETEAAGGGGFRFSVGSVFSLGAFARRREEAAPAPVAEPKVGYKRDAVAEKSAAAAELDDKFEEALRLSCWSI >Et_3A_025946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34134516:34136540:-1 gene:Et_3A_025946 transcript:Et_3A_025946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLHNPAAHVSILLDDLACVETTAGATPSLQIEEPSSIMSKIFFYCRPLNSTTDSYDEARVIHTEGPFILVRAQFGSQPKQVEYLLFKGNGRSLDRVPHPSDLDQNNMTFGILPCGPPNQGNYLLVALGFANHRRDYPLHIYSSEDKTWSTKHLVNPCPSMRTIRADKVITLGDGKLGFVDLHGGMLLFNPCLRDANFIPCRKIEDESCWPARCRASWFRDLSLLSNGVIKLVEVEHFLSVTKIFPDEAPSNIPIYDSDLMARRGTYIAQYHELPSVDGWRVVTWTRSATSSNCWNASLTLIMSGIKNSPRNLYPYFPTLSADGDVAYFQAVEKYGDEDGWVLALHLGSKTLKALGRCSFERHESSERAFRYYAPSEFLLKSAASTIESSSQMREFQWLERDLCLIQSMISGNVFLKHGSEVRLIPRDRVGAVVAQGKALVEDKFSPQLQDNDQLPDKPRPSCGFGQAFPSSPSSILQETRCMACQ >Et_10B_003046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15886450:15887243:-1 gene:Et_10B_003046 transcript:Et_10B_003046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFIDVTRNESTIGVKQMGQLDEEVFLSACKWKGATSDMEREAALLCSKWQNEIGKPDWNPFRITVIDGKAKEDIVDDEKLVALKEEWGVETYNAVVRALHEMNKYNPSTRHPMPELWNFKEDRKASVSEVVGHVMKDMTSI >Et_4B_036576.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:4830134:4830370:-1 gene:Et_4B_036576 transcript:Et_4B_036576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNFQEQHTFTIRKTKNLENFTTDDYQPVDPSPSTKASIRAGPIEHGAPILPYVPCYPPPSGDQKDVPPAQSPTPPFR >Et_7B_053602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10186080:10188236:1 gene:Et_7B_053602 transcript:Et_7B_053602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GTMNRLASTALRGLVRSCRAPTTAVNCFAMLMFRESLFAWSLKEQAVSRPAFQQFMNYSSGHGSDPNANADPGASRIAADPDTHQDFEPKSKTSGMSLHDIVAQDIKENPVLIYMKGFPESPMCGFSALAVKVLQQYDVPISSRDILGDLKLKESVKAHTNWPTFPQIFIKGERVNLRIYSVTLQKKVDKMQANES >Et_6A_047955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25737636:25743108:-1 gene:Et_6A_047955 transcript:Et_6A_047955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRRRSTHVADEAPQAKRSAAAAAADEVSLKTEEEEMPSGEEPQEFYRLRSGRSRPPKRRAPDSSKEVAAPVEQPQEAAPAEPVKQQPKPEESMAGAPTGEVVPVTGKGKKQRQEEALPEEPVEEQQQQQAEEEEDMEAATPIGEPVMVTGRGRKKMKQYKSFQYNGLTFELGDSVMFLPAEASEKPYVGILKDLTDKEHEEDRQKDIDLLLRKTLDRIGELPDIEPEDTPSHSTNQPSDNLILNNKDVIPTDVTRNLGDSTNQFSDKLSLHNKDVNRTDITRKPPVGKPKAIVESDKPKNYAILARYKALTGEEHRDRWLDKPLESIPLTWSKAARVPHADSGTAAKSSSNWSSAKIGSDDNKKLYILDDVVPIIVSIERSAHEALGNDFKKYNQKLRQLSINIKHSPKLCRRLMGKELDPPALLTMSPDELKDGLTTAEKTTEPEQSRQLQLECISCGNTWFSCYDDIVWPTVDGPSTAAAGSVAAGTNPRAKAHEKQKRSAQPARTTAGPS >Et_3B_028930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20583403:20588595:1 gene:Et_3B_028930 transcript:Et_3B_028930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAAAAVAACPSPVGLTRPLCRAHAHPRGQRRFRLEASSSAPSTAAADEGAAAGPCPVVRFDMDDFAVADRVSVGLHGRSDEMIFEATVRDTSSELYGSTVVLRQLKSSQAKRRGRRALEVLKKLARRQMMYDSYALQVHGYITSDNATEEEDVPFILVHGYHGSYSLRHWLQLSDWLPTLEATLALDEEQVRRVGDDSVGGSAVTRQLRLIRILMRDLLIGVNYLHSHGLAHTELRLENVHVSPIDKHVKVGILGNAADFHDGDPSSSTISSNSERRKMMIAFDMRCVGFIMAKMVLRELLDPSTFFKFKSFLTKGNDPSCLREFLLPILCQNSPSGNILDRQWGAGWNLLSLLLATKPEKRISCVEALRHPFLCGPKWRINPSVNIIRWGLGSTAVRMAEDYIYGRHQNWLQYLPGRWRLLYCTGRHIGLTLRQPTPRILISDVFLTFAQTPESVEPIFLLKSDIGFRIMPESNWPHDKSGTEGTLSATASARITSGRIYINEQDSKSRIASSRPSRRYLRGKWKKVSEMKELPASLPTVSIAMDDIDVSMSCNSTLNVSSAQNVLQEIRTQTPPEMFDLSKIVCGTYIDARLMILRGVNGSALLFVRSNPTSDS >Et_5A_040911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14682939:14687801:1 gene:Et_5A_040911 transcript:Et_5A_040911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRKQSKNIAAAARLDDEFDRISALPYDVLRRLLDLLPAMEAVRTCVLSRRWRHLWKSATVLRVIDKDKKLGTVEKLREFVYHLLLLRGDAPLDRCEFWFYGFDDEDVPRVNLWFRRAVMCKVRKLRLEILRKAGPNRSPLLELDDLPLVSQHLTSQTFLSAASKSFPGLLDLGEADLVGVTKIFGLLWLLFRHEFPNSCSDPKSGFTTTTRIFGEDPVLETMPKLMAASVQITRHCNDYCISENYEDCTCANCDNSNGIGDGSNNCVLVEGLCKAENLSLISESKMIELCFMHALRPTMVLQLNAHGSFHLFHLRFLQFIFKRDMRWCPTFSNLKTLLLNDCWCVPDDFTSLACILQHSPGPKHKVEIRGIVGLLESSAAISKHLKSVEIKCEVVDERVLKVLKFMCTFNISKQSCYFLYASVFLRFVVRFQILVEELMNCVSMQFTGFQKREALFTR >Et_5B_043812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16431864:16432214:1 gene:Et_5B_043812 transcript:Et_5B_043812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGKYSSPEERMRTAARDARSVGAVHRADDGEAEAGALGQGAHTLCYRPRSTRSLQPRVLNTPETTAQVHPGLLTKGVLAASGAEIAIVEWSATAVSPPSR >Et_1B_012458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32154799:32157496:1 gene:Et_1B_012458 transcript:Et_1B_012458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDWSLPAVAATALLFLSATTELPASSAANIEHTFDVSQMNLTHLCKDTLVTVVNGQLPGPTIEVTDGDSVIVHVVNESPYNVTIHWHGVKQRQNCWADGVPMITQCPILPNHNFTYRFNVTGQEGTLWWHAHVPGLRATLHGAFIIRPRHGAGSYPFPKPHREVPIIIGEWWEMNLAQVATDLSNNSLLDFNSASTINGKLGDLYNCSGVAEDGFVLEVEPGKAYLLRLINAALFMEYYFKIAGHRFTVVASDANYVNPYDTDVIAIAPGETVDALLIADARPGKYYMVAFPYQAPQPSIQGPEYITRGTIQYSSGNGTTAEEGGGPPIDVPVTPEMPDHNDMVTSLYFRGNLTSLRHPQLPTLVDERLFITLALGSVCRRGQVCERGSTNDESIAVATLNNVSFELPIAMKTPLLEAHYYNSGSMDVFRELPTRPPRVFNFTDRALIPYGPEEARLEPTSKATLARRFRHSAVVEVVFQSTSLMQSESNPMHLHGHDMFVLAQGLGIYDAAKDVARYNLVNPPVKNTVLVPSLGWAAVRFVADNPGVWLMHCHFEFHLAMGMAAVFIVEDGPTVNTSLPPPPADFQTCSHDESLMLQKIGMDKRRVKSADARS >Et_6A_046061.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21258946:21259359:-1 gene:Et_6A_046061 transcript:Et_6A_046061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSMASAALLFLAMGLNLATSSALAQAPAPVPAPSQARCPPSFPNLSAFTRATGHLALRGTLLFPVPASQKPNVGSIIDRLPRTGAGITTICICYFHSSMSHPVVGPFNLYASRWPDDQEGEHSMHATCWHSPGK >Et_10B_004274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2526797:2527887:1 gene:Et_10B_004274 transcript:Et_10B_004274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSTSPHRVPATAVDIVVIGRAPLPRKAHRGRLRLHVVGAWRRPSMTRRACCQGHDEPFPYALFQCHMTGRSATKVYMITLQSGLHGNGATATTVGVVALCHRDTSSWNKAFSKLVTHHSRATASVVPTFILVKGNIAFGGSSFI >Et_4B_036362.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:20081798:20081992:-1 gene:Et_4B_036362 transcript:Et_4B_036362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSARLELCRRTPRSAFAANRETSMVVLRLLDVPSSPSSSSSSSRRRSSAALRLRCRRHAACM >Et_1B_013964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25551854:25555600:1 gene:Et_1B_013964 transcript:Et_1B_013964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDVVISEHAAASASPASSSSFAETRVICRVCQKQFAQYTCPRCNSRYCSLPCYKGHSSQCTESFMRENVMDELKQMQPEDESKKKMLDILKRLHMEEEMESDGEDESILSDELIQKVMSGEEIKLEDLSDDEIKRFRQALASGELSKMIEPWTPWWTKPASRSISLSPDGSQLIREVTAEDTAISDPMTGEEPSINEIPEGPESPLPSLKQLTKAEPSPLLAVHLVDILYSYCFTLRLYNGDWRSDPLGASTVAMSMSKVMGEDAKPETVPEALSACIEETCSPAYRHTGGFRFAIGLVDDIITLLSLGHNALLCALCDFRRLIQAGESMLKAAKVGKAEKTQSSLKLRGATRKLYFMTCWVHEQPNETWPSLARIVELHKASLEELDSGSRKASRKSNPQSKVIIEEL >Et_1B_012224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3048778:3052896:1 gene:Et_1B_012224 transcript:Et_1B_012224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGLQAQLVARLTRVATEELQQLLPMLQNVTQERDKRISPFIDSENKLRTGQIYKMIERIPSRSNLIFKKIVSDDICEESFAMSFRQLWAGSAPLHSMYLPNTMTASRNGAAARVPARVRRRPAGHVRARRAGRDRREPLEGRHGLPRVAAWLDAQPPGSVVYVNFGSITVMTPAQLAEFAWGLARCGRPFLWVIRPDLFSGENAMLPEEFVRDTGERGVLRAGARRSSSCLTPPGEAQRRARAAAGDMRDTGRRDDLCAEGGKRDGPILALVFWTTSACGFMGYLHFKELMERGYVPLKDESYLTNGYLDTVLDWIPGMPDVRLRDIPSFVRTTDPNDVMVHFDSGEAQNAHHAQGLILNTFDAVEQDVVDALRRIFPRVYTIGPLLTFAKAVARPEVAAIGGNLWKEDASCLPWLDAREPGSVVYVNFGSITVMSSAQLAEFAWGLARYGRPFLWIIRPDLVTGEKAMLPEEFYAETKERGLFLSWCPQGQVLSHPSIGLFLTHSGWNSTLESTCAGVPMICWPFFSEQMTNCRYACTKWGIGLEIDSNVNRDDVARLVREAMDGEKGKEMREKSMMWKEKAVAATEEGGTSSVNIHQLVEFLLQGSDPASGTLHLLGLAELIEGLKRYGSSSDKHQNLNGKSNVPDVSSDCLETA >Et_2A_018018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8998460:8999304:-1 gene:Et_2A_018018 transcript:Et_2A_018018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNSGKVVEADNGSRNAHEDMNASINNSNAYVKEIHTGRINTLKIKTQLQKRLGPERAMRYFRLLSKFLSQQVAKTEYNEAMNQIVGRENIPLHNHLIRSILQNAMRS >Et_1B_010764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13846138:13849870:-1 gene:Et_1B_010764 transcript:Et_1B_010764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWALTPVDTVPGTPPSLYRPGLSAVFPMSSLPGTQKHYISAAGTYKVGRKGCDVIVQTDTSISRVHAEISIEKMVAWDTRSGAPASPSCVRVVDRSKYGTFVNRVHGTQGSRLHKDEDVMLSDGDTVTFGSGNGTFRLSFVPIVIFFAGMKSARIDPSLQTVITSIGAYATRKWSDQCTHVLVDESCSLTPELLDAVIAKKQIVVGEWFKAIAEKNMHTEIPSCTQYTPNLTLDGTMIKMVDVNLIQNCLAGYTFILGSSDKVYKFGEKLHDLLELAGAKYIDIDEYCANSQDSGAGDSDQQILVVPARYPLEFSEIRLLFPLSKISDVKLFAAVLSGRLEASVIEPPAFIVTSSNSTDETIVADSDVEMETATSAPTADASKSQHVVPDSDADDEIANTTTEDVVCVGGNKVNVHQKDLEKVEVSKPMENDVQAIEKTVIHRFQGRDENVRTINKVLKDENMETSRDGTCDVIFSQGLVVRSFPPTGPSTPTETEGVNFKRFRKRETMSGNSFKDLIPFAQSDYERGTTDFMREEKQRKQMEAIADDLFNNAKSKKRAAAGSSIQTLLSGCR >Et_6A_046570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17208976:17214114:-1 gene:Et_6A_046570 transcript:Et_6A_046570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLEALLPYVKKMITDMAEEEVGLLLGVHGEMEKLVRNLGNVKAFLADAERRRITDELVQGCVRMLNGVMYDATDVLELGQLKAEERRESKLGRSMEKMMPGCCQPFLFCLRNPVFAHKIGSCIKELNQRLEEIHKEAVKFKFTANFSPYTVQMTEADECSSRQRMTSEFIPSTVVGEKIERDTRLLVQELITTDENHGTLKVVSIVGMGGMGKTTLAQKIFRDTTIDEHFKTKIWLSITQQFDEVELLRSAIKLAGGDHGGEGNNNFLTQALTNTLAASTFLLVLDDMWSARAWESILGVPVTNASDKQPGSRVLVTTRFQDLAPQMHQLFYLHRLNPLDEDDAWSLLKNQLSQPPNQVARIDHLKDIGMKIIKKCGGLPLAIKIMGGLLRTNTQTKREWAAILNHHAWSSDGLPEELDHRLYMSYEHLSPQLKQCFIYCSLFPKSEPIKQGTATSMWISEGFIQPQGGSTSHDDDGLEKVADEYYQELIKRNLIEPVQGFATVYSCTIHDVVRSFAEFIAKDESLVVVQDKSGIVTCGYKGPLRRLCIRQSIITEAEWAILQRQRSLRTLVAMSCTNRKLGEALGNFSCLRVLSIQSASLDSSVHLLCQLKHLRHLRLWDTDISRLPDDISKMKFLQHISLIDCQNLDHLPSSIVKLQQLRFLNISGSNVLIFPKGFGGLTNLRTLHSFPVNIYMDGDGVWCNLEEIEPLSQLRLLSIQGLENVFDHSWAEKAAVSSKGHLSCLELKWSNSQFIGSMDELEKQPYHKEMEEVFERLCPSTCVEQLFVEGYFGHQLPHWMAIPATSAFKSLRYLTMKDLPCCTQLPDGLGQLPSLESLVIQDAPAIKSVGPEFQASSFSVAVGGGVADTSAAFPSLTNLVLMDLCEWEEWEWEEQSEDVTEDAMMAMPALKRLTIYNCKLGRLPTGLTSRKRHALRKLGLNYLNNITCVENFSLVLELDVFWCPELKRIRGLSRLQRIRIVYCPNLEVLDGVPALDSLVLEDSNMETLPEYLRGVNPRHLKLTCSKKLFESISAGSSEWDKISHIRTHAIYNWNSDLNSWSQVILRSCFTSTLSGYSPFRVSILLYY >Et_2B_020173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17656184:17663711:1 gene:Et_2B_020173 transcript:Et_2B_020173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPVALVAASCVLLLLLAASAAAPPPSLHVVGGEKGWTVPASGNGAAETSLDQWAESRSFLVGDALGFEYSNNDSVLLVRRGGYEQCATASAVLRFDSGHTNFTLDRPGLFYFVSGAPGHCQAGQRMAVRVAASRSSAPAATLPAPAAVPATPGTPPPPAGSTGLTTVVLKLTPSVLLWIATAFVFFFLLMFIVIFCLHQQGQLVDTSERELHPPHGMASLHLALVAASCVLLLAASAAPPAVHIVGGEKGWTVPPSGTGTSSSFDQWADSRRFRVGDVLDFKYPSNDSVLLVRRGEYEQCATAAAVLRFDSGHTNFTLDRPGLFCFISGAPGHCEAGQRIAVRVAAARSSAPAAAADAPAVVVPATPGTPPAAEGSASQTIVVLKPTTPAIVLHLALVAASCVLLLTASAAPPPQSLHVVGGERGWTVPPSGTETSSFFDQWAERRRFVVGDVLGFKYSNNDSVLLVPRDGYEQCATAAAVNRFDDGHTNFTLDRPGHCQAGQRMAVRVAAAPASAAAAPAAAKANPGGTTPPAAAGSTGQTVVVLKLTTSAIVWVATVSLFLFILICVLFYCNHQQVKMSRDFIRCRGEIRQ >Et_9A_063060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9198300:9200958:-1 gene:Et_9A_063060 transcript:Et_9A_063060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KINAVICTVNRQPLTNLNKDGDTTLVKDRAESATEEVSRLELIFDAVSAEKDKPTEDHTMLTKHEHRDADGRSLKEMLSDQGQMDVARELERTIEEMNELFTEQCSKLNMMNDRLSFERGKVASLERELEQLRSQVESKLGYGDYSAPDTEVPHMVNTLAVDSEAKPEVQKTSEWLQAVEELKGQAGSAKKQPVLIEICDDEETSMWYDDDKNPSNPSSSGEPGAESWFFRGNKLMLSSGMKKHLKEICGYVPPEIPFYLYQMNKSNLKRKGRMRISAKYTSEHLRSCLHKKEGCIRFEVDGAECGTVRINLLGDGRASISWGWEAVVEARSMKVGDICAFQFKVSNGDLKLAIHMFHVARYLVSLK >Et_5B_043316.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:24006440:24007285:-1 gene:Et_5B_043316 transcript:Et_5B_043316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAPPPAELDQCPPDSREWCFFKKSYAAGLSTCRGRKLLDAGEVVHYRFPSQSRLHGKVSYRQAVALAEIVRFSTNRSGEVRFVQLSLSLSLSLADDGCIYAELSVAATSSLATEPAISPRRGTSRPTRRAVRPWSTNSGSITSGWPNRKLSTSELRPACVMKAPTASCACGTHPPRTVVREQVAAAGIIPERPEETRGGDPRRWDGEVLGLRPRLRVALGRSGTARTAPPCAGRRWAGPSGATSPRRSTRRGHDRSASAVVGRPSNAFFRSSIFDGWQG >Et_8B_060354.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:158140:158631:-1 gene:Et_8B_060354 transcript:Et_8B_060354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMTSLPPNVAAAVNGVAFVGTLSGQLFFGWLGDKLGRKRVYGMTLMLMVVCSVASGLSFGHTPTSVMATLCFFRFWLGFGIGGDYPRRSCRSTPTRRPGARSSPPCSPCRGSASWPAGWWRSWWRRRTTRHGLHLVGTASCWFLLDIAFYSQNLFQSRRTS >Et_1B_011933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27307179:27308679:1 gene:Et_1B_011933 transcript:Et_1B_011933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTGRELDRISALPDDLLHLILASVGDDDGAAAVARMAVLSRRWRHVWLQAPDLSFADAAAGEPGQFASSVDRALAQRGHDADVRTLRIVHRHSRASPEQVNRWLRYATERVVKSFHLQLTTTRYGSPDQDDEAPAAPAPAIVELPSHGRAASIRLEWLTYTRLRLPTTAAAKYEALTELTLHAPWFVGEEEGAALGAFVPSCCPRLRKLDVARPKGLLHLVLRTEALEELRLTGARGLETLDVAAPNLRVLSLHECFHAAVDIRGDLRGVRRLGGVRLGMHGRHCDDRRRGLCLLENCPCAEHVDLWLDEPDPDCYRDDGGVDGREAVDFTAEGAAPFASVRSLVVETRRFYGRHLLATLSSLLLRFPCLRSLCISISGGTESGDSRRGCLCDIDELDDHPNISLRYLEDVKISGFMGTEEEMGLLNLLFESSNSLKSMTVTY >Et_1B_010886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15069219:15086001:1 gene:Et_1B_010886 transcript:Et_1B_010886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLGFGREHVETAERATRSPVMQVLQACLQCLHRIIVVVWKFLVGATLLRRRPSPPAAMDLLRSHLHKVRIPEPTNRIHKDECCVTFDTPRSEGGVEGGFSDQEPEYDETFEIVILPEFISLPFPSVDLPEKVRLAVDKVILAESADRKQQLAAWVADKKNISAYAMDLQQLDNGVIVPPTGWKCSKCDKTENLWLNLTDGMILCGRKLWDGSGGNNHAIEHYQETKYPLAVKLGTILLIWKQQTEMTTAERELDCNTNYDWNRIQESGKDAELLFGPGYTGLVNLGNSCYMASIMQVMFSTHPFISRYFEKQSLKAAFATAPAEPTLDLNMQMTKLGHGLLSGKYSTPAKEDALDFFLHLIDRVEQANPGNHELNPCTGFKFIVEERVQCPSGKVSYNKRSDYMLSLGIPLHEAINKEELEAFNEKKTAMDLDGRKSTEEIVRPRVPLEACLASFSGPEEIPDFYSTALNSKTTATKTAGFKTFPDYLVLHMRKFVMEAGWVPKKLDVYIDVPDTIDISHMRSKGVQPGEEMLPEGASGNDNADPALPIANEDIVSQLASMGFNYLHCQKAAINTSNTGVEEAMNWLLSHMDDPDIDDPISKDSLGAEQSVDESSVQTLVSFGFQEDVAIKALKASGGNIEKATDWIFSNPEASSSASADSSTSNIKADDEDIPDGSGKYKLMAFVSHMGTSTHCGHYVAHILKDGRWAIFNDSKVAASVDLPKDMGYLYFFQRISG >Et_7A_050603.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:5732107:5732247:-1 gene:Et_7A_050603 transcript:Et_7A_050603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWKHRNAFIFCSEPLSLQRLLRSCREEARLWRYRLPRDDASVADA >Et_10B_003012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15353220:15355658:1 gene:Et_10B_003012 transcript:Et_10B_003012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSWWWPCLLAAVLCAAGGAAAQETCSGAVPAPPRRGARVSVADFGGAGDGRTLNTAAFARAVAGIERRRAPGGATLYVPPGVWLTGPFNLTSRMTLFLARGAVIRATQDTSSWPLIEPLPSYGRGRELPGARYISLIHGNGLQDVVITGENGTIDGQGSVWWDMWKKATLPYTRPHLLELRSSSDIIVSNVVFQDSPFWNIHPVYCSNVLVRNVTVLAPHDSPNTDGIDPDSSSNVCIEDCYISTGDDAVAIKSGWDEYGITYGRPSSDITVRRITGSSPFAGFAIGSETSGGVENVLAEHLNFFGSGFGIHIKTNSGRGGFIRNVTVSDVTLDNVRYGLRIAGDVGGHPDDLYNRSALPVVDSLTIKNVQGQNIKEAGLIKGIANSAFSRICLSNVKFNGGSTVRPWKCEAVSGGALDVQPSPCTELTATSETSFCTNSL >Et_3B_030982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9670794:9672750:-1 gene:Et_3B_030982 transcript:Et_3B_030982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLYVPNGAQNGKLRKAYHLLLFHVHPFWIQLLYFLFLSLFGFLMLKILPMKTSEVPTPSALDLVFTSVSATTVSSMMVIEMEAFSNIQLLLMTLLMLLGGEVFTSMLGLHFTYTKLKKRETFHVLEGKPPPPSKNNLELTPMDAAVALDQMELGIKNQCSSYTSTVRLLTLVVLGYIVVVHLAGYTLILIYLSAVSGARTVLSGKNISLQTFSIFIVVSTFANCGFVPTNEGMASFTSFPGLLLLVAPHVLLGNTLFPVFLRLAIRALERVTRRREFAELLRDDDDGHGGEERRPTAAARGCYYDHLLPGAHARFLALTVAALVAAQLVLFCAMEWRSDALRGLPAFQKLVAALFMSVNSRHSGEMVVDLASVSSTVVVLYVVMMYLPSYTTFLPLEHHQQQQNGEQSDDKGTSSSNSIW >Et_6A_047393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4990017:5000059:1 gene:Et_6A_047393 transcript:Et_6A_047393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWGWPWGRRGPSGFSGRSTAEEVTAGVDASNLTAIVTGATNGIGKESARVLALRGAEVIIPARTLESGLKVKESLADQVPASKLHVMEMDLSSLSSVRSFAESFKSSHKHLNLLMYIAFVAYSHSKLANILHANELSRRATNGIGKETARVLALRGAEVIIPARTLESGLKVKESLADQVPGSKLHVMEMDLSSLSSVRSFAQSFNSSHKHLNILINNAGIMACPFQLSKDGIELQFATNHVGHFLLTNLLLDKMKETARETGIQGRIINVSSVAHKRSDGSCFDLNKLNDKARYISFIAYSHSKLANILHANELARRFQEEGCNLTANSLHPGLILTNIVRYVASNRLLDPLRPVAELFLKGIPQGAATTCYLALHPDLKDVSGKYFADCNEATPTAVARDAELAKKLWSFSEELVGTSAEPSSK >Et_1A_008492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8163701:8166089:1 gene:Et_1A_008492 transcript:Et_1A_008492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHLAVAVAAPVSTPPLAASASRLAPPRVALRGLTPCRALRASGRSRGAAVVCQAQGGQGTAIEVPDVTKSTWQSLVVESELPVLVEFWASWCGPCKMIDPIVGKLSKEYEGKLKCYKLNTDENPDIATQFGIRSIPTMMIFKGGEKKDAVIGAVPESTLVTCIEKYVGR >Et_9A_063583.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:8328208:8329128:1 gene:Et_9A_063583 transcript:Et_9A_063583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSRNGGWRLKDRLARLLRPANSLLRSPCSSSSSTATAAFTATPTTTAATTISTSSSSAGTTAANYTTTAAAGARLPRSEPFSAALDRLRRPPPPERVRRSMAPRCKAGSSSSSSRHGSRHRHFKNVNVGDLRALSTNPYGFTTSDDDGGSGGEDDGDDETEAFFSSRSLMSIDDSSVFYTSKHPPPSNKIHRRRQRRKRRRPASSCVDRCGGGGASEPGFRPLVVAATAAAAEEVRRGMAVVKRSRDPYGDFRESMVEMIVGRQVFGAAELERLLDAYMALNAPCLHPVILQAFSDIWVVLHGG >Et_1A_005430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10279878:10298104:1 gene:Et_1A_005430 transcript:Et_1A_005430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHTHIELPILLYFASATSTSCLCSEFRLTDVVVQKIVIKVQMTCDKCRSKAMSLVAATSGVDSVELAGDAKDQVVVVGDGVDSIKLTSALRKKVGPAQLVQVADATKKKEEEKKPAAAVVSAEYPWPQYYYPPYGHPVVYEQRPDNTCSIMIVIQVQMACDKCRSKAMALVAASRGVDSVALAGDAKDQLVVVGDGVDSINLTSTLRKKVGPAQLVQVADAGKEEEKKPAAADAVAVYPSYYNYYQQPASVVYEYPPTGYAYGYGYQSRPGNTCSIILLASLIQRIVIQVQMTCDKCRSKAMSLVAATGGVDSVALTGDAKDQVVVVGDGVDSVKLTSALRKKVGPAQLLQVADAATKKKEEEKKPAITTTPTGYPSYYQYYQQPATVEYEYHPAAGYTYGCEYPSRPDNTCSIMLLVRENYTFTLAYMENVVIMLQQKIVIQVEMTGDKCRSKAMALVAATGGVDSVALAGDAKDQLVVVGDGVDSVKLTSALRKKVGPAQLVQVADAAEKKKQENNPAIPDTATVYTSCYQCYQQPATVVYEYPAAGYTYGYGYESWPDNPCSIMRQVTVQKKGNPVTSGQNVPRALAGMLNDNFLADLEITRCPIQRNFAINFNDKEDELKSSLLHANRTRISSVAIEVLRQEFVLLMFGLFFLLVLQTEMVIRMQASSEKGHSKAMKIAAETDGVDSVTLAGKDRNLLLVIGDGVDCNGLTTKLRRKVGHADIVELRTLHDVGGGGGYYPSRVGLPRDAGYGNYSYPVASYAPPASEYYGHRPPSSYEYYNPLPNPGAVVFHEYSPADGDQNSCSIM >Et_1A_007021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30119924:30122537:1 gene:Et_1A_007021 transcript:Et_1A_007021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAELWETLKHAIEAYTGLSPAAFFTAVAVAAALYHVVSGLFAPPPPPPPRSREPEPEPLPPPVQLGEVTEEELKQYDGTDPKKPLLMAIKGQIYDVTQSRLFYGPGGPYALFAGKDASRALAKMSFEPQDLTGDISGLGPFELDALQDWEYKFMSKYVKVGTIKKNVPVEDNTASTSPSTDEATPAANETTVETEAENVPATEEKPKAAVAEEVKEKEAPADKEAAADEGAKES >Et_7A_052881.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:22954521:22955336:-1 gene:Et_7A_052881 transcript:Et_7A_052881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQGALHSFLDRISAVLANEEQLLGGVRGDVEFIMEEMECMNSLILQLTDAQHRDYLVRAWMRQVVGVTRDCEGNVELYIHYVGGSHHGNGGVLRHLRRAVRFLRTVLVRHRIAHVRNIGDRRQRYGVTVPASAIDDDAGWRPKDEKERIQRRSVLLDAVAEPPADHVIISNGIASLIKWWHEEPPPPARDQQHQVRVFSIAGWDLALEITKGLYQHESIVTLFSCKVLVKVLYQENAHTTLAKIVKEVTGVQPTGPEGEQHIPEISRTL >Et_9B_064346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14215077:14220042:1 gene:Et_9B_064346 transcript:Et_9B_064346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVAGTTRSSLVVLLVLFAVAVATAEEAGVRLVLPSDGVGSSRSHHPCLDNPPDMTATGGEAGHVVRDYYGLDAYLTGSFGAGRAVVLASDYYGFKAPKLRKIADQVADLGYFVVVPDLLHAKVAVDLAKTGEIQAVVISHPALVTVDDIKEVKCPIEVLGGEYDTISAPKLIHQFESLRTDHLVKIFPRVAHGFACRYNSSDPFAVKTTVEARIDMISWFAKHLTT >Et_8B_059985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4757573:4759036:-1 gene:Et_8B_059985 transcript:Et_8B_059985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGTAGKLTRTPSSLLRSPTVRGAATSFHALEDPEPDDKKSQAPPQLGRARRRLRPGHAAQPALLLLALLLVLAVLLLLGGGGHLALLAGAAVAALAAAAAVARLRRRPSPAFAASVQWFIGEDSGEEQQRKNKKGHAELEVREGVEFYSNGDCYEGEFHGGRCSGSGVYNFFGKGKYEGDWVDGKYDGCGVESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAAGQSHGIGAQTCSDGSSYAGEFKGGVKHGLGCYHFRNGDRYAGEYFADKIHGFGVYSFANGHSYEGSWHEGKKQGFGMYAFRNGDERSGEWDSGILKNSLPLSDPAVQRALQAARRAADSAVHLPRVDEQVNRTVMAANRAATAARVAAIKAVQNRIEGKICFIDV >Et_4A_034935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:862393:864274:1 gene:Et_4A_034935 transcript:Et_4A_034935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGARLVAAPFPLPPGAVAPSRVRTPPAARLDFAPLSMRATARAVRRAAVSARVAADDKAARAERAARKRSERRTYLVAAVMSSLGITSMAAAAVYYRFAWQMEGGEIPVTEMVGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPRDGPFELNDIFAIVNAVPAMSLLAYGFFNRGLVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHQIHHMDKFDGVPYGLFLGPKELKEVGGTEELEKEIKKRIKRKETLDAVQ >Et_1A_006710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26592502:26596862:-1 gene:Et_1A_006710 transcript:Et_1A_006710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMLIDCSGCRTPLQLPHGAPCIRCAICGAVTHIAAPSRGAGAVQPAWGPPPPAAHGRRRAVVCGISYRYSRHELKGCINDAKCMRHLLMTRFHFPDDSIIMLNEEQTDPYKIPTKHNIRMAMYWLLQGCQPGDSLVFHYSGHGAQQRNYSGDEVDGFDETLCPLDFETQGMIVDDEINAALVRPLPDGVKLHALIDACHSGTALDLPYLCRMNRSGQYVWEDHRPRSGVWKGTSGGEAISFSGCDDHQTSADTSALSKVTSTGAMTFCFIQAIERGQAATYGSILNSMRSTIRSTGESMGAGGGAVTSLITMLITGGSLSSGGLKQPESGSEAFQPHRHARPVTGQPRDIVDVLAEAPPHHVDEPGQVVGELAAAAGDHLHEVGDVVGARVGDPRDIVPVDQAAAAERRGEPRRGDAEPAVRVDVEAAAGEVGDGVGGAGVRGRVEVGEVELPRGEPAVAGADGEAHLQRLERVHVRLHGGVPRLGGEHVVVDGLACPVDDDARVVDVHGDEARARREVAEGAQLGVEVVRPHRADGDARGWWRWRRHGRRGRGVPVASRRVGWHGERRPRRVERAGWVHGAPPPAGAAAL >Et_2B_019447.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:30216261:30216473:1 gene:Et_2B_019447 transcript:Et_2B_019447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAHGGTTYKGYTIPHNKRWHTVAGKGLCAVMWYALPLPPRPHPPIHPSVCLCRIWWVYFDSTLGSV >Et_9A_063043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:970978:975657:1 gene:Et_9A_063043 transcript:Et_9A_063043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAMGLPVAAGASGKDKDKEDRRRWVVRCAFAVAGIMSTLLVYGVLQEKIMRVPYGAEKEFFKYSLFLVFCNRITTSMVSGMVLLASKKSLDPVAPIHNYGVVSISNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTIIMRKKYGGKDYMFAVIVTLGCSLFILYPASMDVSPFNKGRESTVWGVSLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTMCSCLLSLTGLILQNHLIPAVDFMFRHPDCFSDVIILSSVATASQFFISYTIRTFGALTFATIMTTRQLVSILLSCVWFVHPLSWMQWVGAAIVFGALYTKSFLRGKPQRPVAGSPTHGSSPSSANI >Et_4A_031996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11066384:11066844:1 gene:Et_4A_031996 transcript:Et_4A_031996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCGVLDVVRVVHLSGHVDEFAVPLTAADVLAAHPNHALTAAGSAGGPRMIAIVAPDSELKHGRIYFLIPAACSAPAAEGAGAAGGGGSGARKKERRKEEGRIKYYGSGDRRR >Et_4B_036040.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:15783497:15784376:1 gene:Et_4B_036040 transcript:Et_4B_036040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RINPSSSSNINGVVVDASRLLSRLPDHVLCHGGGGGEARGRVIHLHFYMHDITGGPGQTAVQVVKGPGAAHPVMPGSHFGDTTVIDDPLTEGPGASSRLVGRAQGTYTLASLSEPVLTVSMTVAMTGGAYNGSTIAVVGRDDVAAAVRELAVVGGTGAFRRATGHVLWRTARMESRDHMVLELDVYATVPAASPPPPIGRTRQVT >Et_3A_023117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2899729:2899991:1 gene:Et_3A_023117 transcript:Et_3A_023117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRPHHVDSDPGHGEILERLLLALFLLPPCPGRGRAVRRWPARPSRRGGTPPGSGRTCSGSPPAWSRSPRPAAPTAAARWTP >Et_5A_040352.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:16168082:16168414:-1 gene:Et_5A_040352 transcript:Et_5A_040352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EAIAAQDGLQLAVNQGIQQIQLESDCQELVKLWEHSNQQCSRIHHTLQQIDVLSRSLVEFRFVFVNRLCNKVVHECARLVSRSSLMEEWQNDVPPALLRFIEPECNLAYG >Et_8A_058134.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:2101814:2102203:1 gene:Et_8A_058134 transcript:Et_8A_058134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGLAMRALLDRWLLPSSSPSKKHARGGREPPPEGCLAVYVGAARQRFVIRTECVNHRLFRALLEDAEEARGHCYAPDGPLQLPCDAEAFARVVEAIEREMADERIGCGRVRGHSPAVVRSRQVTVGF >Et_4A_033588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24839079:24839913:1 gene:Et_4A_033588 transcript:Et_4A_033588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAIVVQVASPSSVTLRASSAAAPRPSYVVAEWGGVLGAEKEAGALPRRRSHPAPPPSPAPRQDEPSVARPALPRIRFDAPPSPHSRPAPPPPSHARRKTWTPPDSSTELEDLGELAGLVGAGAPGARRSTRMAGARVGAEDGAAARGEDGRLAVGSAVAEEAVPAVVQARTAAADSEDGLPLGPQQREARRRGGEGESSAGRREKIESTASRGVAASFVRRCVDEEKRLALSLEKCFVCLKTYCWH >Et_1A_009604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9214995:9220753:1 gene:Et_1A_009604 transcript:Et_1A_009604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFKVRIHPNPNQASGFPRRHAHAACVPFRLQDPNKLSAYRDRRFPGTQEEYEAALQASTTVYIGNMSFYTTEEQAYELFSRAGEIKKIIMGLDKNSKTPCGFCFVLYYSREDAEDAVKYISGTMLDDRPIRVDFDWGFEEGRQWGRGRSGGQVRDEYRTDYDPGRGGYGKMVQKELEAQRELVDYGGSFQPNAPPPYYQRKRYRNDDRSAQEGSRRAPDPDSAEKNPRFREKGDSDEEDDDYDKRRRR >Et_6B_048729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13049238:13053738:1 gene:Et_6B_048729 transcript:Et_6B_048729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAAAAAAAREREAEIEKAIRARVPDFKKQADSLTLEGVRRALEKDMGLETYSLDTHKKFIKQCVDKVFNDSDDEKMAEDKGDHLSKEGSEDVQAMPTSKKGSSSADEQVVRSSEKENNPNGEKDQASGNNINEDMIKEAIDKRASYFRENSETLTLQGVRRTLEEDLKLAKKALDAYKEFITKELDRVLQEPANGTKKSSKQGPRKDAVQKSSKASKRAREDSDTSELNDSQSEMEDSDEDARPRKRKSEKDKVMKRQKKATDEKKLLTPKGNKKVLKRESDRSTVEQDGNSEEEDNSRSSDEEDNKRKRQPAPAYGKQVEHLRSIIKSCGMSVPPHVYRRAKQAPENKRDAWLIKELEDILEKEGLSKNPSEKEIKAVKKRKERAKELEGIDTSNIITSSRRRNASNFIPLPPPPKVVADSDDEDDAEDHDEEIIEGAEESDNDDAEGDDGSADGTISIISYI >Et_7A_051705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23304730:23305363:-1 gene:Et_7A_051705 transcript:Et_7A_051705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQIAKEDAQGAASRMGSGLLREEGFGEGAFVKDIKIVNENNELVIRNTHTSFAKITREACYTVEKLGYNPEGMHVYFGGPRNCTS >Et_9A_062477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23326309:23329691:-1 gene:Et_9A_062477 transcript:Et_9A_062477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRGAMRPSSMRAALARSPATSFLFAAAVAALLVGGLYFWVVVSSFRLPDSGAVGCRPDGEGSWAIGVFYGKTPLELRPIELEGRSNGNSSAWPVANPVLTCANPTEAGYPSNFVADPFLYVEGDTLFLFFETKTTTSLQGDIGVARSLDQGATWEFLGIALDEAWHLSYPFVFKYENEIYMMPEGNKKKELRLYRATKFPLEWTLEKVLINKPLIDSSLIQYEGNWWLFASDFTRYGVEKNAELEIWYSKSPLGPWTQHKQNPIYKSDKSVGARNGGRLFMFEGSLYRPGQDCSGTYGRKVRLYKIEKLTKEEYKEVPVNLGIEEPKKGRNAWNGMRYHHMDAQQLPSGRWIAVMDGDRVPSGDSTGRSLFGYIGFLLAIAIVIFVGFVKGAVSCYIPPSFWAPLTRRNELNRILPVHRFNQKVRRYSTSIGRYISATKTRLNEKTWSNRLFFCVVALIAIVNVCIAVHFLCSGNGAEEAYTYQGQHSQFTMVTMTYEARLWNLKLFVEHYSRCESVREIVVVWNKGNPPSSDAFDSTVPVRIRVEEINSLNNRFRVDPLIKTRAVLELDDDIMMTCTDLEKGFRVWREHPERMVGFYPRMIDGNPLLYRNERYARGKNGYNLILTGAAFMDSDFAFKKYWSEEAREGRDYVHGHFNCEDLLMNFLYANASSGSSRTVEYVHPAWAIDTSKFSSVAISRDTQKHYDIRTDCLAKFSSIYGSLPQKWEFGMREDGWDK >Et_1B_011139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1793873:1796068:-1 gene:Et_1B_011139 transcript:Et_1B_011139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDAKLKAYIEEHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGDFTEEEEHIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGKRAPSRRARANNLQDPYQLHSTAAAGGNNMCSTSNNGGSAMASQALSASALERIQLHMRLQGLYGAFGCATDVSVGAASAATPWPKLETLLASSKILPATEPVDAVATTVTVHQPAQHVVDQGLATTGPEAVEADQLGSTAYMPCSFERPNKLGFCSPVATESDVASSLMVNGGYGAGGFAPHHDELYDFLYNKYGSVGAGAHIPSLPELQCPDGAAIVGADEKFSAWATSACEYGVAGQIQGNSIGSLHDYALGGYDQ >Et_4B_038581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3036155:3038831:1 gene:Et_4B_038581 transcript:Et_4B_038581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVGRAFHVKASSRVDKFSKNDIIVSPSILSANFSKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTELPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTVNQIKSLGAKAGVVLNPATPLSAIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKIADLRRLCAEKGVNPWIEVDGGVGPNNAYKVIEAGANAIVAGSALLKESRPAKDL >Et_9B_066021.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:20745401:20746546:-1 gene:Et_9B_066021 transcript:Et_9B_066021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFGWRTQYAADPCPPNDDGLLSAFMSFHDGHRSDHGSLDLPSLHSIPNQVQVHCHHGVPFTGLDTAAPVLPTGVTGASVGDSLFDALAYVVESPAQATVSNSNTVFSAGYSSSTTGGNNGNISSGESNTCGGGGHDIEVASSPCAASAASKRKLLDRYPAASTCASATTATTRMAASAAAEPERRGSKRAAAATSSTSITFGRQVSHGHHQVCSSSGGYEPDAEAMAQVKEMIYRAAAMRPVHQLLVRSSSRTSNKRKNVRISSDPQTVAARLRRERVSERLRVLQRLVPGGARMDTASMLDEAASYLRFLKAQLKALESGGGGNPSSNGGGGIYHCNGGSLQLQNYAGNIGGGSGAVLAFGSRDGIGGYVKSNRNMPL >Et_1A_009253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35468490:35469991:-1 gene:Et_1A_009253 transcript:Et_1A_009253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDRSTTQMGSMRAMARAHALRAPPVWLKLLLATVFFEVCPVHPEASRATRSGGCNLFCTTCTGRALCSGCIASGEHDGHIVIQVRKSSNHNCVKLKDIQSLLGAGKVQTFLHNDELVVFLNKRTEGRKRKGREYRCIGKNCNWALLHKDYRFCSLGCKLECMKDDRNISFAVPKDESESSKDGPGFAVTGVIPPHAKRRRGS >Et_7B_055908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7228566:7231543:1 gene:Et_7B_055908 transcript:Et_7B_055908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFTHVPPGFRFHPTDEELVDYYLRKKVALKKIDLDVIKDIDLYRIEPWDLQEKCKIGPEEQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYVKNCLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNKNGIPHEEGWVVCRVFRKRLATVQRMAGDSPYWFNDHGGFMAPELGGSPRQAALHRQQSGMVYHRHPSFPACKVELEYHHLLPQEHLLQLPQLESPNKLPDLIGSVATLPPCSLTQEQIASRYTEQQLQAEQVVYMTAGDASGTDWRDLDKFVASQLIHGDATPKESSSYSNPVQMFQQAEEKEEALDYVSTSASCGGENDLGSRPSMRELKLEAMDGDVREAQQ >Et_5B_044332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22847997:22849273:1 gene:Et_5B_044332 transcript:Et_5B_044332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRFPAKNAAAMEGAGRPAAAPPSRVSVFRRLLVRVTPAAAAGDGGGGKEIRDKLDEMSRPPPVGVGEAEVGSAALDRMVLSFMEDAGAAAVERPPRGRCSNCFNGSHHDASDDEDFDFLPSSSSVPAAGDDLDLLKGLVQCASTAERNLLADASRIAERHRGGGRNKKADVRRAVAAGLRALGYDAAVCTSRWEKSATHPAGEHEYIDAVVDNGATRLVVEVDFRSEFEVARPTKAYRAALQALPPLFVGSPDRAGRVAAVVAEAARQSLRKRGLHFPPWRKPEYMRAKWTAPHARAAAADDKSPPLPAPLPTPVSAASFSGEFELRFDDKLAKATNAEEKITVVVSPSPLPWRPVVPEASKEQQSPLPPVGSKGKVVTGLASVL >Et_4A_033020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17040564:17044545:-1 gene:Et_4A_033020 transcript:Et_4A_033020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPPRRGVDHLLLLSALVVLLLPPAAADTVTADAPLTGNRTLVSGGRGTFAVGFFTPDPGTGRTYLGMWYNAIPARTVVWVANRASPVLGSAGTLRINANGSLVIEDADAAAAVWAAPTSSLAAADSRNATAQLLENGNLVVRVPGAGVVWQSFDHPTDTLLPGMKLGVDLRAGLDRRMTSWRGPGDPSPGEYAFRLDPRGAPELFLYRWSARTYGSGPWNGYQFSGVPNQVPNALLRFRFFDSPAGDDAYYSYDVSDVEGVLTRFVMNSSGQIQRLVWIDMTRSWSVFWSYPMDECDAYRACGPYGVCSVERSPICGCLPGFAPRFPAEWALRDGSGGCARRTGLNCSTDGFAALANMKLPESANATVDMSLTLDECRRACLANCDCRAYASANVSSPGAKGCFMWTGDLLDMRQFGNGGMNLFVRLAAADLPVNTPSAKTHSKIARLLKIIIPSLVGPMLLLFAIYICVMNLKRRTKELPLPLRNRQSTPFGRRNQVAASSDGQDTSVHNGQGNQQDCDLPTFDVVTILAATDNFSDGNKIGKGGFGHVYMGKLDNGQDIAVKRLSRRSTQGLREFKNEVKLIEKLQHRNLVRLLGCCIDGSERMLVYEYMHNRSLNTFLFNEEKQSMLSWEKRFNIINGIARGILYLHQDSVLRIIHRDLKASNILLDKDMNPKISDFGVARIFGADQTTAYTKKVVGTYGYMSPEYAMDGVFSMKSDVFSFGVLVLEIVSGKKNRGFYLAELDLNLLRYAWRLWKDGRSLEFVDPSIADTSKATEVLKCIQIGLLCVQEQPKRRPTMSVVTTMLASECSTLLPEPSEPAFSTGRNLEDDDDDKAEPEVKACRSDSASSWTVTVVEGR >Et_10B_002408.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18399571:18401070:1 gene:Et_10B_002408 transcript:Et_10B_002408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGCHQHNSGSSEGHHGHHHFLIVVYGIQSHINPARVLAHRLTRLGVDGSVRATLSLPVAAHRRMFSSPDGEATEDGVISYVLYSDGLDDGSLPKDANERARRRRAGFESLTAIVASLAVRGHPPVTCIMCTMVVPSVLDVAVELGIPLAVYWIQPAHVLAAYYHYFHGYGELIASHAADPDYKVSLPGLSRPLRILDFPSFLVDTTGAELVKVVNESLRELFDYMDQWRSKVLVNTFDELEPTVVAEMKTRMDVVPVGPMVGSSTEARLHLFKHDDVDRKRYMDWLGAQPEKSVVYVSFGSISKYKRQQMEEIVQGLKQCGRPYLLVVRKDGLEEEDVRYMLEPVENQGMVVDWCNQLEVLSHSAVGCFVTHCGWNSTLEAVVSGVPVIGVPNMFDQPTNAYLVEEQWLIGTKVERNSEGILTGMELARCIELVMGEGAKASVIRGRTKALKGIAQEIADAGGHSEINLLDFVKTVQPHDTNCVNNASWHVKSAKS >Et_2B_019974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15757109:15758702:-1 gene:Et_2B_019974 transcript:Et_2B_019974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSATLLLLLVLGVSTWQAGGTANGTVAGGRGRPLVPAILVFGDSIVDTGNNNAVLTLTRSDFSPYGKDLNGGVPTGRFSNGRIPPDFLASRLGLKDLVPAYLGTDLTDYDLLTGVSFASGGTGYDPLTSTLVAVLPMEEELKMFAEYKEKLVGIAGDEGAARIIAESLFLVSAGTDDIANNYYLAPIRPLQYDISAYVDFLVQKASDFLKQLYQQGARKIAILGLPPVGCVPLQRTVAGGLARNCNPARNHAALLFNTKLKEEISRLQNELQCQRIGYVDIYDVLQDLVANPCKYGFDVSTTGCCGTGDFEVSLLCNRLTATTCPDDRKYVFWDSFHPTERAYEIMVDYLYPRYVEKLL >Et_1A_008375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6991276:6993640:1 gene:Et_1A_008375 transcript:Et_1A_008375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHAGGAVHLQYFMPQHHPEAATDLRHSPVCSSSTSPPAATMWEYHQAAALHSSSSSPSFPSWSPYAGTTTTTALLGPGSAFAADSSPSPDLRLPAAGEHVHGHTWSQHGEQSNSGTCYRENFLDLLASKNVTQEMFEDVPAGHYAAPALSGRFGAGSDVSPVKYEMGGSPLFAGSTTGMHQGMNVMGSMPRYAYADNRQMKEGGNHHHQQQQELATPGMVSFLQQLSTNASVGLHGGMDYSGMVLDKICQESPFSMRSLPDLSSCEGYRSTAESTTGVQPYMTRADLSQSSKQEQDIAPARSNSSGSGAVSDRKKRPSEEKTSNVKKSKQEGSKASPPKQQVPKVKLGEKITALQQIVSPFGKTDTASVLFETIKYIKFLHEQVQLLSEPYTNSTRNKGNLPWGDHAEASSNQGEVEHDLRDRGLCLVPVSWTPQVYRDGTAMDYWTPAYRGCLYR >Et_2A_016906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29274432:29279229:-1 gene:Et_2A_016906 transcript:Et_2A_016906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVQLMSLFLVVLAAARAVSAAGARPREVAVGALFTYDSTIGRAARLAIELAVDDVNADRTVLAGTTLRLMTQDTNCSGFLGTIEALQLMEKNVVAVIGPQSSGIGHVISHVVNELHVPLLSFAATDPTLSASEYPYFLRTTISDYFQMHAVASIVDYFQWKEVTAIFVDDDYGRGGVSVLGDALAAKRARISYKAAIPPNSNTDVISDVLFRVNMMESRVIVVHVNPDTGMNIFSMANKLQMMASGYVWIVTDWLAAVLDSSGSRGLQDMSHIQGLVVLRQHTPESNTKNKFISKWNNAAHNRSITSGLNSYGFYAYDSVWTVARAIDQFLNSGQQISFSTDPRLVDSNDSTLHLSTLKIFDGGEQLLQKLLLTNFTGLTGSVQFDSDRNLVHPAYDILNVGGTGYRLVGYWSNYSGLSVAAPEILYQKPPNTSTSAQKLYNVVWPGDTTTTPRGWVFPNNGQPLRVGVPIKASFKELVSIGRGSDNVTGYCIDIFNAAIKLLPYPVPCQFIAIGDGTKNPNYDDIVNMVAANSLDAAVGDFSIVRNRTKVAEFTQPYIESGLVIVAPERKATSSAWAFLKPFTWEMWCVIGALFIFVGVVVWILEHRINEEFRGSPRRQVITIFWFSFSTMFFSHRQNTVSALGRFVLLIWLFVVLIINSSYTASLTSILTIQQLATGITGLDNLISSALPIGYQAGKFTRNYLIEELNVPESRLVPLNTIQEYADALNRGPKDGGVAAIVDEMPYVELFLSKHCNFRIVGQEFTKEGWGFAFQRDSPLAADLSTAILQLSESGQLQRIHDKWLSDPSCGSDDSEMGATRLDLGSFWGLFLEEEVRRTMKRRSSDKDNQAAGSSDAHSLASA >Et_5B_044834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6325447:6327031:-1 gene:Et_5B_044834 transcript:Et_5B_044834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPRIFLLAFALFFVTILATKIARRQPAGPTTKLPLPPVATGVPFLGVLPALLVKGPLELIGDYHRMLGSVFTVRLFNLKVTFLVGPEVSSHFYQGLDSEISQDEVSQFTIPTFGPGVAFDVDYATRREQFRFFGDAMKPVKLRTYVGLMVREVESHFARWGQSGTVDLKRELELLVTLMASRCLFGPAVRERMFGEVAALLRDLNDGMRLVTILFPHLPIPAHRRRDAARARLGEIFSEIVRSRKNSGADEDDMLQCLIDARYKDGRGTTETEVAGMLVSALFAGQHTSSRTATWTGARLLTRTKHLRAAVAEQDRLAARPVDYDALQEMDVLHRCVKETLRLHPPALVLLRHARRGFAVRREYEVPAGHAVASPLVIHNRLPEVYEEPDKRAEDRAGGAFAYTAFGGGRHACVGEAFAYMQIKVIWSHLLRNFEMELVSPFPTTDWNVVMPGPNGKVMVSYKRRQLHTA >Et_5B_044603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:445694:448069:1 gene:Et_5B_044603 transcript:Et_5B_044603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRTDTSTVLDDNDKNQRMEHGQSEAAMPSNSSDRSDRSDKPLDQKTLRRLAQNREAARKSRLRKKAYVQQLESSKLKLAQLEQELQKARQQGIFISSSGDQTHAMSGNGALTFDIEYARWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFKVKGVAAKADVFHILSGMWKTPAERCFLWLGGFRPSELLKLLANHLEPLTEQQLLGLTNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQASILADNLRQQTLHQMQRILTIRQASRALLAIHDYFSRLRALSSLWLARPRE >Et_2B_022055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8543983:8549719:1 gene:Et_2B_022055 transcript:Et_2B_022055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEDSGFVPEDTKKRTRPTRSITRLIVYLIFVLTLRSAKEEASAGIPGVGAWQMGSVAMESDYGAARELSPLQKSRALYPPGTSVLVQLTTLPRHCPAPSRSEARIGAVRSICFRDGSVGVGVAVVFSGRQSPGGHNVIWELYDAIKAHNSNSTLLGFLGGTDGLFAQKTMEITDEALSCYKNQGGYDMLGRTRDQIRTTEQVKAAMNTCQDLKLDALVIIGGVTSNTDAAQLAETFAESKCTTKVVGVPVTLNGDLKNQFVETIVGFDTICKVNSQLISNVYTDALSAEKYYYFIRLMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARSEKDKHHGVVLIPEGLVESIPELYSLLQEIHGLHKKGVATENISSQLSPWASALFKFLPSFIRKQLLHPESDDSAQLSQKLVEDEMNKRLKEGTYKGKKFNAICHFFGYQARGALPSKFDCDYAYVLGHVCYHIIAAGLNGYMATVTNLKSPVNKWKCGAVPITSMMTVKRWSRGHATSQIGKPAVHMASVDLKGKAYDLLRQNSSSFLLEDIYRNSGPLQFDGPGAETKPISLCVEDRDYMGRIKQLQEYLDKVKSIVKPGCSQDVLKAALSAMASVTEMLTIMSAPSFGGQATI >Et_2B_022700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3322978:3325484:1 gene:Et_2B_022700 transcript:Et_2B_022700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLPAFASPATAAARAEALTRWLAGFDVGWVVGASASLPRREVARKVRAWAQALSTMERLFLLWKQPELAASEMATAALGELAAASAGAMLGLVRAVSALEDSPSKLLTALDVYVPVWETYPVLARLFSWGPSHPVLAAAEAALADLVDAARRCRRDLGAFIRAHYPWQMPQGGEVHPCVGFWMGYFRCMLRHRVSLYFVLGNDAAEAAPPRREQSAEEGGLLNHLVAELISCLEAVLEEKSAALAAPGLRQVFMLNNTCAVVLHAVGSDLKLFLPPEWVNDHEERMEGYVKGYIAASWAPVVSRLLDGGGRARPSSIIVSGRQNRLNTFCSALENACSAQRCWKVPNPVLRGVLRKNVSEKVVPAYRRFLEDHPEVQVATGLATEELEQQLLDLFEGASFTKMGK >Et_4B_038837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5133420:5137817:-1 gene:Et_4B_038837 transcript:Et_4B_038837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QIPSGGSYSKPDARVKLIPAEEITFVQHQRPNCRTVGSAGLQKRQRRRSITPPPSSLKVSILPVPPAASHKLSLSPNRLETAENGHSLIGEYITSSATNHIASLKNPALPSCKSSQPSSTSLNTDAFARDFGRMDIMLEFSRYYGSHILHSHGQSSKWPASLTKEAAINSDSLIPKQVIAPQSSEDHLGTKAGPSSKAVCAPENGKLYGRTCNLLPRSTGSPVLQPPIEESALLSPTSVVRQQSTVVYPERIRKPAPHLRKHVLPIPSLEVYPNSNAGPKEAAINTVSSSPKRVFGPPRSSDLHLAAKDGPPSKSVCTPENGNPCTTSGKIAARITAAPIWQSSVVESASLSPAPVLGERSTELYPDATRTAAPIQKKSILKNVFQQKPILKHVLPSQTSLLSERSADVHPNSSTKLHRSNNLLNGKCDLVPLQHKRFPQTHSPQPMDSVQCSASIISDSSSEFHRKGVEETGAPAILHTKLHKKHYQSEALWKGNFHVTGELKHVCERLEAQFPFQLFIRLYEASKKMSEILKLETVALSQLWQKKFKMKPPDGQEIGKAVALFWPHRSYNHLLESISSHIGLWINIGDTELSIFSSKLLSTDYQNQSHRRLPTITPTEPETAIPMAEKKPIIRFSLNVPRLVKKEMPPGFTTPNAVKKEPESLAVDKATEKLTPSPLAYGAFPVRKITVNEVRVEDDENSEEREVPKIRLLSELYGRARSCTQVSRSVCSNLADNFQAMEQPEKQKHHGKRGMQGPSEPSLADTVKRLKVNGRVALCSDADRQTVNSNQGQENGRV >Et_9A_061513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12866427:12871359:-1 gene:Et_9A_061513 transcript:Et_9A_061513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHLLAAAAASSSTSFRPPLRLVSPRPSPHLRLNRTGRRPFPVVRAAETDAKDAKAKGKAPDKAPAASGSSFNQLLGIKGAKQESDIWKIRLQLTKPVTWPPLIWGVICGAAASGNFHWTVEDVAKSIVCMLMSGPCLTGYTQTINDWYDRDIDAINEPYRPIPSGAISEKEVITQIWVLLLAGLGLGALLDVWAGHDFPIVFYLAIGGSLISYIYSAPPLKLKQNGWIGNFALGSSYIGLPWWAGQALFGTLTPEIVVLTTFYSIAGLGIAIVNDFKSIEGDRKLGLQSLPVAFGMETAKWISYLWSTGKTYYALALLGLTIPQVIFQFQYFLKDPVKYDVKYQASAQPFFVLGLLVTALATSH >Et_1A_004912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16039664:16040302:1 gene:Et_1A_004912 transcript:Et_1A_004912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSSSSLSSILGDDERRQSPIPYRVKPLEYEPPIYCKCNQKAAMWISWSNHSPGCRYLKCFKARFIQIQDGGCDFIGWFEGPHHPFVQTLLVDLRNAVWSLKKQKASLREAVGELVVRVEELEDKVDELKEENARLDGFEGEKEYLEGKVERLELEKKLMRVLCVFLFVVAMFLRFG >Et_1A_008324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6423604:6426483:-1 gene:Et_1A_008324 transcript:Et_1A_008324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASSARKASAVLYHYPCPDGAFAALAAHLYFSAAALPVRFFPNTVYDPIRSDALPFDEIKDVYLLDFVGPPGFVHEIAPKVERVTILDHHKTALEALGGNTRLGENVIKVIDMQRSGATIAFDFFRNKLLTEASTLRSNGIGTDVAEVKYIPDNKVEMVHKLFKFIEDGDLWRWKIPNSKAFSSGLKDLDIEFNVNANCKLFDQLLELDPDHVISRGQVTLLEKQRLIDDCLEKSYEISLGYGQFGNCLAVDADAISTLRSELGNQLANKSRIGVVVYKVPELNNDQMLKISLRSLEQEDTTSISQEYGGGGHRNASSFMLSVTEFERWKVRAEPSESKDA >Et_10A_000964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19673378:19674316:-1 gene:Et_10A_000964 transcript:Et_10A_000964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGIQRRLMEKIPEIVAVESTTDKETGLELNERNVLFEIRPYLAGTGGGELKFIAIMGSILKVRLRGPAARVTTVRVALSQKLREKIPSIAAVRLLS >Et_8B_060111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:686360:688175:-1 gene:Et_8B_060111 transcript:Et_8B_060111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWFGPRSSPISACSRRYKRVLKKTATAADQENCRVIELWYEAIDTTISSGLLSPIQKRRAVYEEEQLQELEFDIVELEGEAEGSGHRSGAEGKPRQRIDTTEAVCLLSSSIHFH >Et_6A_046504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16263212:16278867:1 gene:Et_6A_046504 transcript:Et_6A_046504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVEIIKENGGAAAQQEKAAATAVVSVTRGEPTLVAPAEATPTGEQYYLSNLDQNIAVIVQTVYCYKAKSGDNSVSGEGEDAVATLRDALARVLVHYHPLAGRLGISPEMKLTVELTGEGAVFVEADAACDLADVGDLTKPDPAALGQLVYSVPGAKNILEMPPMTAQARVPPAISFDHHEFAEIPDVSDTAALYDGQDLLYRSFCFDPDRLERVRSLALAGDGELERCTTFEALSGLVWRARTRALGLAPEQRTKLLFAVDGRRRFSPPLPKGYFGNGIVLTNAIAAAGELLSAPVSRAAALVQGAVRMVTDEYMRSAVDYFEKTRARPSLASTLLITTWSRLAFHGADFGWGEPVVSGPVTLPEKEVILFLAHGEERKSINPAVLLLLAVLLLAPPSPASAARAMGRRRGLLRCDPVRDVVVSQTAGAPQPDGTRRYDVTVTNAARVTVWDVRLDCGYRFRYVRPVDPDLLLQVGPADCQLIDGGAVAAGGTVSFSYDSYVRYSMAVVNASCPPRRRPAPALQSIIQAAEIKKNGATAPQLTSVKRGEPTPTGEQYYLSNLDQNLAVTMKTVYGYNKSGGKEKDGDPASAIRDALARVLVHYHPLAGRLGVSPEKRLAVELTGEGAVFVEADAGCDLADVGDFTKPDTAALGQLVYSVPGAKSILEMPLVTAQVTRFKCGGFTLGLARNHCMFDGISAMEFVNSWAELARGATDLTTPPFLDRTIIRARELPAISFDHHEFAEIPDVSGTAALYADQRLVHRSFIFDRDRLGACTTFEALSGLVWRARTRALGLAPGQRTKLLFAVDGRRRFAPPLPRGYFGNGIKLMNAIATADELLSAPGTSRAAALVQAAVRKVDDEYLRSAMDYFEATRARPSLASTLLITTWSRLDFRGADFGWGEPVFYGPVTLPEKEVVLFLAHGKDRKGINVLLGLPASAMDAFQELMDEI >Et_4A_032759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13495405:13504532:1 gene:Et_4A_032759 transcript:Et_4A_032759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RDVIAFFLVGETKLERAANGPDPNIARSGLGLSQNRPKIKQRFDTSRPSIVEDIPSSKPQYEYVIDVTSIVTEATSAALGKVSAVRTDALAIQLFCLIITQASVADQGGHLWSRKKMKRGLLILWSLFYFCVANTTTTTNTKQLLEFDQLKISRKYVQGKQDATQKDGTSPSVHRDPKNNLREQDKIISLPGQPEVAEFDQYAGYVTVDAKAGRALFYYFVEAPQDPLNKPLVLWLNGGPGCSSFGSGAMLELGPFSVRSDNKTLYKKTHAWNRVANMLFIEIPAGVGYSYSNTTSDYYNTGDQRTTDDAYTFLVNWLKKFPEYQDRDFFITGESYAGHYIPELANLIISNNKATNAFKVKLKGVAIGNADLDDNMTLRASYDYYWMHAMISAKTYRDIQDKCGFNGTYTKDCLNTMDLASQEKGNVDDYDIYAPTCHDASNPSKLSDSLVFGDPCTSHYVYSYLNRHEVQRALHANTTGLSYPWMDCSQHVFDNWKDSPETMLPSINKLISSGTRIWLYSGDLDAVCSVTSTQYVLDILRLPIEKAWRPWRVDNEVAGYVVGYKGLVFATVRGAGHMVPYYQPHRALELFSSFLEGKLPPE >Et_4A_032788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13942360:13944707:1 gene:Et_4A_032788 transcript:Et_4A_032788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINKSSSTMSKAALMVVLLVASQVTTSHGTPQIVNRRNLLQAATTTSTAKGMIEGTTTPTESGGLPGVTDDNRPSERGHSPGIGHAFTNNRMGRKLLTASLEGYPYRHIVHREPKSGFST >Et_4A_033628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25163199:25169132:1 gene:Et_4A_033628 transcript:Et_4A_033628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSSGRQLSYELLAGDLSADEADDFSPRSLPDTTSNGQRRRRKRSKRKRGFRSPPIEEAPAEGEPHEGGGDASTFRITDLRSATETVCVSSDAERSAASCVTYVGVELRQRSVAGNGRVLGASAEDGTSSCGSTRESTAAAAAVADVAAAAWPPEANGGVKKKLEKEESLDWEKFMKENGNILGEVERLDNSPFRYFLGELYGGNSLRSTIAVGNDKKRQRVYNTMFHVPWRCERFLRPNAADLSDYGCFVVLALGVASLQMIDISLIYHVIRGQGTIKLYVVYNVLEIFDKLCQSFGEDVLQVLFNSAEGLSTCSADNVTFELMRFLLDEAIAVAITLSTCIIAHNNALLALLVSNNFAEIKSNVFKRVSKENLHNLVYYDIIERFHITAFLLFVLAQNILEAEGPWFDSFLVNALLVFLCEVLIDAIKHSFLAKFNEIKPVAYSEFLEDLCKQILNDKPDDRQKDLTFIPLAPACVILVGLILRCLANWYVNLRLKRKQHVD >Et_4A_033751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26522717:26524599:1 gene:Et_4A_033751 transcript:Et_4A_033751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDSFYYSGFSDDVPGFFYPHGAAPASPELPFGLAASPSLEPPCAPESMTMCSAFQDYSGPTGAEPQQQGSGGQMNVHSRMIEALGTIQADRDQCQAMEEVEQQRRRQQPGAVESSRGFRHMMRERQRREKLSQSYADLYAMVAARSKGDKNSIVQSAAMYIHELKGAREQLQQRNEELKARILGHDARQPTVKVDFEVNDPAAGVDSMIGALRRLKSMGVKARGIRSSMGGGRLWTEMNIETTIAACEVERAVEEALMEEVERNQQQPDSGAAPFPGTNSSSWGHQASSHVQNVF >Et_5A_040942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15377085:15382754:1 gene:Et_5A_040942 transcript:Et_5A_040942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANAGLVAGSHNRNELVVIRRDGDPGPKPLRHQNGHVCHICGDDVGLTPAGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRYKRLKGCARVPGDEEEDGVDDLENEFNWMDRHDTQSVAESMFHGHMSYGRGGDMPFHPNPNVPLLTNGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERMQHMRNDGGGKDWDGNADDDDLALMDEGRQPLSRKIPLPSSQLNPYRMIIIIRLVVLGFFFHYRVMHPVHDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFEKEGQPSQLAPIDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRYNIEPRAPEWYFQQKIDYLKDKVAANFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDIEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLLGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKSKKPPSRTCNCWPKWCFCCCCGNRKQKKKITKPKTEKKKRLFFKKEENQSPAYALGEIDEGAPGAENEKAGIVNQQKLEKKFGQSSVFVTSTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRAAFKGSAPLNLSDRLHQVLRWALGSIEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELNNVASLWFMSLFICIFATSILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGVDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKDDGPLLVECDLFEASDIAELCWPRL >Et_1A_009001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24611077:24616997:1 gene:Et_1A_009001 transcript:Et_1A_009001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWSALSPRQRNELLRLDKQTLIEHARKNLYCSRCNGLLLESFAQIVMYGKSLHQECLGEPRIQEVEAEEVQDPSVHPWGGLSTTKDGILTVLDCFINAKSLHVLQNVFDNARAREREREMLYPDACGGGGRGWISPVIPNYGRGHGTRDTCALHTARLSCDTLVDFWSALGEETRSSLLRMKEEDFIERLMHRFDSKRFCRDCRRNVIREFKELKELKRMRKEPRCTSWFCVADTDFMCEVFEDSVRVDWHQSLSEENGSYHHFEWAIGTDEGKSDILDFENVGLKAQVHREGLDLDQFEDYFVTLRAWRLDGRCTELCVKAHALKGQSCVHRRLIVGDGFVTITKGESIRSFFEHAEEAEEEDEDDAMDRDGNDPDSDAAHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGTARQNAHSVFVSLALKLLEERVHVACKEIITLEKQIKLLEEEEKEKREEEERRERRRTKEREKKHRRKERLKGKDKDKEKTVVQSKVSDDIPPSSLSKLAVSTNNQSQDIEDSRYSSSEDEDKDLVVDNYCPDTFVDQSPSRDIDEQSNEYQCDTEADFVPTDCNDSFLCEQSKSSRQNFRFRRDFTQEQDGNYWYEDCQDESGDMGDIHQQSRERTRNCTRGYNSVFSANNRTRDRYNPCSCGHQEDYRYFSATGRPSREMKMARKTVVEKPRLQYRRCYPLDSFIVSKGGRVSGTPNKNAGLKQVWEPMDARKKASIGTPNNACEAANVADQSNQLECSKDINACQKHETGCESISEVCSKRSEESCHSDTDQACNEGEKNQVACNNEPHVVDKPDCCLTNDTGRTANLTSSDSSSCLSEGDRDSSMSSMTSLSAQNAESSSTSDSEESSERNNSSPGDPPTKNGSRSLLEMCAGNGFREFKPKSIQPPDSNQIGFGMVAPFQDQLLLHQKVQAPSYSSAFVGFHSHPLPIPTNGYIPYPQPGHFYPSPVTPVGYGVAGSQCVDCPVPYSNNIHPYSGSEFGYVPPQPVHKAPVTFHAMPPALLCRNGAPVIMNPDRQQSHVLLPSLNVAVAQNGCSEDNTTQKDDNSTPFSLFQFNLPIAPPAPAASKEEQCGGAMASRPPIAQAQPCSREETNIKEYNLFSGGCTGVIFPFD >Et_1B_010025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18966570:18967280:-1 gene:Et_1B_010025 transcript:Et_1B_010025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FLLPDVQALPDQEDVDDVRHQAQASSALQQPSPLKRLQLQSPVVVGGRRGAGHRPGLAVVPRAALLQRAAREERSRAGEDRRQVGAPAGGTGEGVPPDRDGEAGRLAHLRAHGDPAPVRRRAGPRGRDPEPAVAHVHQGSAVHLRRRRRHRRRLLGPAALLRRPAGGVLLPSGAPGGGRRRRRGGADGAVR >Et_1B_013051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5075229:5080126:1 gene:Et_1B_013051 transcript:Et_1B_013051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCGGDLLARRLHQETVEGLCQGLLDLDDAKFGAMCSAFGYLQEWPDLSAMCGTNIGAPGMAAPDAGNGNEDSSCSGSFRKRKPDACLDAKGDRGDSCKRPRGKQQQPSDLGEIAGKGKQERPKAGSKKKAEVASPADGQKTDYIHVRARRGQATDSHSLAERVRRERISERMRYLQELVPGCSKVTGKAGMLDEIINYVQSLQKQVEFLSMKIAASNPVVSFDIVEDLFGRQLKQACNSAAPPAMALTAGQLDPSYLQMSPMQPMQLPAGCPGYGLEMVLNSPYTPAQGGQMPAASGASNAPCLNANGAAAWDIGSQNLFSGFDAQFQSEESRFPEVINRPAEGNKGGSTGDGVDEVDRVGEARKVRERPVEGMLGVGPEVDGDEDIPAAAALPALALELRRRVGHHGDQVRQHHLPPAVLLHVLLHRLHLPLASCCSSSSNQVAALAAGRAQVHDAFPFSDMDGDRGGRRPGPH >Et_8B_058682.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:15713612:15713881:1 gene:Et_8B_058682 transcript:Et_8B_058682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPGHWRLRPLLYEFRANTAGNATVVDKIARNSNSLAHGLAQKAIRSTQHSNCIFTCSHLAHDNQCPVIEAFAHVNWGFFTPIAVNCR >Et_7A_050481.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:18457937:18457972:1 gene:Et_7A_050481 transcript:Et_7A_050481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSLVYALCN >Et_3A_027232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5543789:5546995:1 gene:Et_3A_027232 transcript:Et_3A_027232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATPPSILGGGFRGGVTGGGGGDPYLPFRPLHPRLSPPSPYHYLYTAPPSTLHPMSYPATYPGPPRQPIAGDYVIGQAVSAGDALLQQPPPHRGSFSCFGAPLTAPPAAAAAANVQADKVNCNCSFACGGHSRNNNNPNLKGSLLQAGSPQNI >Et_2B_020357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19300334:19303526:1 gene:Et_2B_020357 transcript:Et_2B_020357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSNSVAGGGGGVGGGGMGPGMGGPAGGGGDGRHDDEAALTEFLSSLMDYTPTIPDELVEHYLGRSGFNCPDLRLTRLIAVATQKFLSDVASDSLQHCKARVAAPIKDNKSKQPKDRRLVLTMDDLSKALREHGVNLKHPEYFADSPSAGMAPSAREE >Et_6B_048378.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:17222594:17223181:-1 gene:Et_6B_048378 transcript:Et_6B_048378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDAAIECKDAAIGCKDAAIGCKDAAIECKDAAIGCKDAAIGSQESEVVDPTLTEERLAAKRAEEKMAGGCHGELFVAKRPRLARQIVLKEAPVAEEVKPTAKQAGDAKKPKMGRVPQAYIDMLLARPRVTVRPLSDELINKMTDLELREEIRAVFGGAFARVQAAQAKDDDILKQYHLNGYAEEEITDDEEEM >Et_9A_062097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19756036:19758574:1 gene:Et_9A_062097 transcript:Et_9A_062097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMIQAMASQTGGGTGGDASSVHRGQGQGPSRQGSLYNLTLNEVQSHLGEPLLSMNFDELLKSVFPDGVDPDGTVAGNPELIAGLQRQGSITMPPQLSKKTVDEVWKGIRDRPEIIAEEGGQRRKERQPTLGEMTLEDFLFKAGVVTEGYVKDPIDLPVNMETVGSSVVGGTSNSTPGAQWLQQYKGQALKPHQPNLADPYMANQLPPQPLSVAPGAFFDSMYSDGPVTSPMLGAFSDPQTPGRKRGASGEVADKVVERRHKRMIKNRESAARSRARKQAYTNELENKLSHLEEENERLKKQKELDEILSSAPPLEPKYQLRRANSAVF >Et_6A_047728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1191410:1193056:-1 gene:Et_6A_047728 transcript:Et_6A_047728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSMLGSTAAVALFNRGDFHACHDVVEELWYGAEDPARTLLHGILQCAVGFHHLFNQVPLLMELACSAVLMELGEGICKLRKLRLDDANHPFSRFRDEVAAVLQFLYRTQKELAACTDEICLTMDGSPTSYQLLGNFAAGQQLYRLEADADGASNRIFSASASSQSLPLMVKLPALQATEQHLTALQSPIRVQYLPVGTLAVTTKKPISSLWYCLGLGIINRVVVMVRGCMCQPLKNPLYALEMSRAVELRAGNSVLSVCDF >Et_5A_042189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7259182:7259883:1 gene:Et_5A_042189 transcript:Et_5A_042189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGQACTRKGALTTCLSFPSTRSSTRILGRKRVAVSPAPVFPGPHSPVRALRKQRSTKLHMDDAVSLLESLPEDVLIKVLCKVNHSDLKQLLLVSKLVSEATIVAKELHFAFATPSSKACFRDEECSEDDEVHWAPKQRRVAGRSRLSGKDMAGVAVNLAAAFDSLLSEV >Et_5A_041168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1909118:1914839:1 gene:Et_5A_041168 transcript:Et_5A_041168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSIPALCSLSTQLTSAPLSTKHSCQCACVRMAGGHGPEQALSVETEQTEEGEAPRFRWDAFGSDLSESEKQAIRGMSPKLPNRCKALMTRVVCLSPGDENLVALLAFWVKAMKPKRADWLLVLKELKAMDSPLLTEVLEHALQEDSFEANVRDYTKLIHIYGKQKLLQKAENAFHAMKSRGFPCDQVMLTALMDMYSKAGDLTRAKEIFQEIILLGLPLDKRAYGSMVMAYIRADMLDKAEDLIKEMEDQQIFAGKEVYKALLRTYSYKGDSDGAQRVFDAIQFAGIVPDTKLCALLVNAYCLSGRIDEATCVIRNMLNVGLKPCDKCVALVLGAYEKVNRLERALAFLTELEENGVEIGQEPSQLLAAWFTRLGIVHEVEQVLKGLSEDTSSKQKAVTHSSEQLLDELIALAGDPGGLRNVGPNLGLHDSDAVLGLLPLLHLGQVELQEIPELVGHDPCTGQTPPTIQ >Et_2A_017590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3923743:3934177:-1 gene:Et_2A_017590 transcript:Et_2A_017590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNATPSTADGSPIADSTALTLRSFSGLFVITGCVSTLMLFIRIGRSIYAKCTRVPGSGLQNAGQDGGSTRLGGESRALQDGIGYGSVPDQSHREVVPDQSHREVRSEHSIGARGSGRIVDNEETGQIQNGMQNGSEPAFSVQIEILAAAFSYGVASMAMVFVNKSVLMQYAHSMTLLTLQQIVTALLIHFGQGLRMSKRKDFSLSTAKKLLPVSLFYNANVGFALASLKGVNIPMYIAIKRLTPLAVLVGGCMRGKGKPPTQVTLSVICTAAGVLIAALGDFSFDLYGYCMAITSVFFQTMYLVLVEKSGAEDGLSSVDLMFYNSLLSIPFLFFLIIATGEFPHSLSLLSEKTASLSFSVILLVSLVMGIVLNFTMFWCTLVNSALTTTIVGVLKGVGSTTLGFVLLGGVEVHALNVTGLVINTFGGVWYSYAKYMQKKRTPRKLEPDEESHGHNDVGKKSLTCISMALDDFYAAHANATRRVDLRVRSSRGDVVTAANVVDDLIRNDRVQSIIGPLTSAEAEFIAYLGNHTLTPILSLAPVSAAPKTSTTPFFLHTAPIGSCQAELIAAILDMFTWRTAILVYEDSPYGSAILPELAYTLTGYNTRITDSIALPVDVTEDHLDTVIHNLKGQATRVLVAHMLSDLAARVFNRAMVAGMLSDGYVWIATSGIGSAVDSLSPDRIDNMQGIITFRPYVQTTGRVMNFTARFKERFQQENPGIRDVRDPSVLLLWAYDTAWALAAAVNTYGLSNSTTGRTVLGSVLNTTFDGLTGRFRLVNGQLQLPVYEVLNIIGKGARTVGFWMPESGIFKNLKAKNEKGLKQILWPGDLAVAPKGWDVSSNGRPLRIAVPSRAGFKQLVEIFYSPTSNTSTVTGYCIDTFSTVMKNLPYPVSYQYVPFHPRDRTYDSLINLVYEKKADAIVGDTTITMSRMNKVAFTMPFTDTGLSMIVVLKKETSGSMWIFLQPLTSTLWVISLAFFFFTGFVVWVIEHRINPVSWHTVTATRHHILFCLLNSCLLPQHNLKFNMLILEEKLKSNLSRSVVIIWVFVVLILTSSYTASLASMLTVQRLQPTVTSVQDLLRNGERVGFQRGSAVELWLEEMGFHRNNLLGYDTVEGYADALERGSENGGVSAIFDEIPYLKIFLSKYCEGYTMVGPTYRLGGFGFAFPIGSPLVHDVSQAIVTPAVQEEMAQIEKKWFGDPGVCQSKNVGASSSRLGFSNFSGLFLISGITSGLALFIYFAIFVYQEQDWVRAEVSRTGSLSLQRLHAWLEGFVSSKHQESPIINGQEAESEKNGQRANQDQAP >Et_7A_051849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25555670:25563534:-1 gene:Et_7A_051849 transcript:Et_7A_051849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPSPPPADGLPRRQGPKRKAREEAAALSPPPPKRRARERKPSDLPALPPPPRARAAPNPRRKPARKKARRRSAKPQRKKEASPPPPPPPTPPPRPSLEQEVEAVLSRGAGVHVVPTFAGWFSWKEIHPIEKQTLATFFDGKSEKRTPKTYFGIRNFIMKKFHFNPQVHLESKDLSELSIGEMDARLEVLEFLAYWGLVNFHPFPQDEQECKLVESKINADAEEKSSPVEKLFQFESVKSYLVPVPNKADSASPAQAVYLLSEPTLAEDVVTSAESSVEYHCNSCSVDCSSKRYHCRTQADFDLCSDCYKEGKFDEGMSQVDFILMDSAEVKGSGGTSWNDQETLLLLEALEIFNGNKWDDIAEHVATKNKAQCMLYLLQVPILDSFLDDDFFNQTSQQIVEQASAENVTKVPETMEVHDSAEGKRSTSDKISKKANANSEETEMNLSNKNASAKKDTKNSGDSDLVAASIVDELYKSSITDPGNKKSSSDVNVSGEYASSFVINVLRSAFEAVGHFPEKEGTNSFTEAGNPVMALAAFLSGLVEHDDAVTSCSTSLRAILDISPALQLATRHCFVLSDPPSELEIPTFSASDKDRSEKVQDAAFTSLEEYQELSHTNGSSVHVPQADAESYSTKDSDNQIDMVDNSDASEKISAGRNSISHSADPNDTDRNEARCIASQEDSKITTEDSKERNSNTNIASHDDSVRRLQRAACTGISAAAVKAKFLADQEENHIRQLAALVIQKQFQKVEAKMSFIAEVEHMSMKAREITKAYRNKLLMERNAIIASRRVAAMQSWPNQHWAHGTRLPLGGAANQHLT >Et_9B_064949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:261265:271467:-1 gene:Et_9B_064949 transcript:Et_9B_064949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAELSSRRRGGTNWSIRMAEVPDPPEAEIETGIGTSIRKDAIAGRDLGSSYQLIIKYGLQSPTMLLTLDRMHILRNHCHWEENVTARGLTFLIQHLLGKNFFRSSLNPEAFRHAVRNKTNAPSARPGYLASCPTAAPDMRKYPAAINADFDLDDSEYRYQGSDQMAALSSRYGRSMEHRNKMVGYARGSHHIDDEITPLSSLWCFDDGGPSLPRGVQYGDEIPSLSRRKYNQVPSRSSLWRYGSEIASFSRGHDYGDEIPSLSRNWRYRDNNPLRSGHWYHDADAYPLTRYRQGASHGNGHSRHNFARTNTNGQVKITTSRHTFTKPRSNRVLNSNDNYRINIKDKPTIRDQVRGPRANKFYDTSGSSTEKAILSPLVRRDQFNKPDFTIHYEHAKFFMIKSYSEDDIHKGIKYNVWASTPNGNNKLDAAFHDSQILMKEKGKRCPVFLFFSVNTSGQFVGFAEMLGPVDFKKTMDFWEEDKWNGFFPITWHIIKDIPNRLFKHIVLENNDNRIVTFSRDTQEIGLPQGLEMLRIFKGYCPVTSILDDFDFYEEKDNARGAQKKGNSESIHQATFSDDYRSMENLEASMENWGLDETWN >Et_2B_020258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18433396:18436547:-1 gene:Et_2B_020258 transcript:Et_2B_020258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAETATAAAGQPSAPKGGGRGGGGGGPAPFLTKTHQMVEERATDEVISWAEQGRSFVVWKPVEFARDLLPLHFKHSNFSSFVRQLNTYGFRKVVPDRWEFANENFRKGEQGLLSGIRRRKSTTPQSSKSGGSGVNVAFPPPLPPLPPASATTSGGNERSSSSASSPPRADLTVENEQLKKDNHTLAAELAQARRQCEELLGFLSRFLDVRRLDLRLLMQEDMRAAGDGQGQQQQQQCRAAADHRERGGEEKSVKLFGVLLKDAARKRARCEEAAASERPIKMIRVGEPWVGVPSSGPGRYGGEN >Et_7A_050234.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14192987:14193785:1 gene:Et_7A_050234 transcript:Et_7A_050234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVATSLFAALDKDGDGKVSAAELRGGMAAALGVGEEEAAAIVAAADADGDGLLDHDEFLKLAREAEQAPDEDCRSRCLRVAFGMYAGATTSEAAAGEQYITPESLKRMLSQLGAPQAQLGLEECKAMICRFDLNGDGVLSFDEFRVMMHDGVI >Et_9B_064564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16554838:16559431:1 gene:Et_9B_064564 transcript:Et_9B_064564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKETRAATARVLVLLSQQHRLHGGVCSVQGGGRLFECKTCGRRFPTFQALGGHRASHRRPGGPYSLGRRKLEAAHAHDGECAAGPRVHGCSLCGVEFACRAGARRTHAAAPCRRGRPEACISESTASPKADDDGGDCVDGICLELNLTPSASCARCQKNAGHGAYGTRRAQVCVRLHSLTSGHLRDNFLMGVREDEIESIFYKIRKIYSGLMPGEEDLLDGGFCFGVMVPATNILISRGLTIGMGLSSKSWGNAEEAVLDMSKRSLDGLIAFLARLFPYLPDAEALRFLAAGDADPLVAACFIVSRRGMTRDFDVCSSAAAAEVEAALLCAAAAAKHPHPKELVRRWKMLSPHLDNIESLKSPATTVLSTMHVFQTIAFTAGPAQFELSKSWLSARRRQGILANRIKKQVDDVLPPSRGAVKRMLLTTIHGFYLQVLARLPTGDPSRYYCSMLMGGFCYGPLDPVSNIIINTVWFELNFPEGSNPTPPHIMISTDSLWRAASRTLYGLISFLCTRYPRLTPELAMQRLLAAGADLRDGPIQERPVEASTPSTSAQEAYAAAAIAAHHPNPAAQQEFLGSSDSLSKLKAAFDVVLKDVDRALSVEDLDHLLFLLKWHSSSGLHLQQEPEKLSKRMHARISRFKYKLLSKQKRVRRMVEAALSAYNRDQVTPYVLHAICGVNELVSGPEFCLDKTIRAYNPWSPNKYHHSHINFLATQCVGAPATLFFAECSNHGTQRPWCVPVSLQHPQAGKIRCLYCELECDRILHPAMTVFQGCGEEFVKVLSGEPPIRTDKCTTDEVIGMTVAHVDRVGEVEDDSIYFTSYAIDEDGDEEDWHHQLPGEMTETGAATARILVLLSQQRRGGVGVGSVQPGGRLFQCQTCGRRFPTFQALGGHRASHKRPGPYAAGLRPGSRKLEAECTAGPRVHGCPVCGVEFAVGQALGGHMRGHRSASAAAQGSRAPTSHACSSGSTASAKADGNGEDCVDGICLELNLTPSASCAKCQKNAGLGATEPGAHKTFVLDCIL >Et_8A_058227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2917606:2919836:-1 gene:Et_8A_058227 transcript:Et_8A_058227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYLRSALRAAHLRWVPRTRLVAEHGEPHRAPHPCAFNAWQFQGSATSSSWGIIPIGQRYQLRSYSAAPACRGDRILTEEEEEDDVEGDKKLSRMEKRRVFRAAQQVFMEYLHVTRGLSFGDAEHISKNTPIFVNKLLEMVKDAMKDPEEGSEDVMFRSMVKRKEMRDQRVSKALMRLFNYHPINEFEPFMESIGLKPSQYESLLPRDLIFLADNETLLNNYHVLCNYGATRTKIGKIYREAAEVFSFGENVLLSKLQALEDLGFSKTSVIKLVMSSPAVLVRDPNAEFKVLQWLDDVGIEREWIVRFLHVTKLYDWRKMVEVPLFFTELGFDKKAIGNLIRKNPDFLLDGSGKALLRAVNIMIKAGFGKQDLFNLFLNFPNVRARNFTRNLTRGLLLLADLEISEEDVKRYVVSNALILGSAPVKRANSLVTSLSVGKRRLTKIIMEEPHQLMEYTVGSKLKRLPSPNRSEPSLIERVKFLKSIGFVEGSDDMNKALKAFRGKGAELQVRYDFLVKAGFDPKDVVVMIKTAPHILNQTINVLASKMSFIVDEAGYPLSAVLRDMFYDEIVK >Et_3B_028294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1290637:1294905:-1 gene:Et_3B_028294 transcript:Et_3B_028294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRPPPPMPDTLSDAFAAAVLLSSTDKPDTLPPGRLSPVSPLPHSSKQGHPTPSSSSGSSGSVSRAPPASALASRRSHSGEIPLSSDAPPRRGHRRTGSGPLIFTSGASACSSSATSPLTNALPAGNICPSGRIAKPLTSSCSAATPPPPPPPRASRHDVKRAGNEQYKKGCFEEALRLYDRALAMCPDNAACRGNRAAALTGLRRFGEAVKECEEALRIDPSYGRAHQRLASLHIRLGHIEDALKHLSLASPQPHLLELHKLQTVEKHLGRCLDARKVGEWKSVLRESDATIAAGADSSALLLAAKAEALLRLNLLDDADSAISSASKLDYSISCSSDTKFCGFLANAYLFYVHAQVDMALGRFDHAVSSIDKARITDPGNSEVVTMHNKVKAVARARSLGNELFNSGKFSEACLAYGEGLKQHPTNKVLYCNRAACRFKLGQWDKSVEDCNEALKIHPNYTKALLRRAASYGKMERWAESVKDYEVLRKELPGDTEVAEAYFHAQVALKSSRGEEVSNMKFGGEVEAITGMEQFRLATSLPGVSVVHFMTPLNQQCCKISPFVNILCTRYPSVNFLKVDVNESPAVARAEDVRTIPTFKIYKNGIRVKEMICPSQQLLEYSVRHYGI >Et_4B_039783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29383953:29388752:-1 gene:Et_4B_039783 transcript:Et_4B_039783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVFVARADRERRLDIAVADQRRSALDIARPASPPPSVSSCNSCPRRGSSNSANRRRDRDRGHELDRDYAIRRDRKRGPDDRDTDRLLEMKMAAREREKEMAAIRDQYMGSNSKKPRRQVDKKSRFCFDWDDAEDTSGRDANSLYQSPHLLFGRGFLAGIDRRDQKKKNANTAHAGKNNNSNHAAAASSDNNKKKKKKKAAAASDTRHWSEKAPEEMTERDWRVFRDHFDISYKAGGGSRLPRPMWRWSESSLGAELLDAVEEAGYRDPSPIQMAAVPLGLQQRDVIGVAETGSGKSVAFVLPMLSYVARLPPITDDNKDQGPYALVLAPTRELAQQIEAETVKLAARLGGVRVVSIVGGGGEAAVHEQGAALANRGWEVMIASPGRLLDLLENRYVVLNQCNYVVLDEADRMVDSTFEQQVVRVLDAMPATNLKPQNEELDDDGKRIYGRTTVMFSATMPPVVERLARKYLRNPVVVTVGTAGMATHLVTQNVVMVTKEAEKMSRLQKILRDIGVDKKAIVFCNTRNAVDKRAEDLYKAGFRVATLHGGKSQEQREINLDGFRNRRFSVLVATDLAARGIDVPDVAHVINYEMPGSIESYTHRIGRTGRAGKKGVATSFLTLQDTDIFFHLKQMLVQSNSHVPPELAQHKAAKSEPGSFPDSLALTRPDSCVLIIPPAHPGPTRRVAFRSLRLRRNILHYDAWFRLPLLDAIQELVLCFRHDIGYPQLPVSALRFAAALRVLDVRHCRFPAAGSVSPAFPCLTDLSLHEVDEELLEGIFSNSPEIDTMKLLNNFGHRRLRISNLPSMREDEEIELDELVVEDAVSLEQLVLDQIDHGPSVDITGATKLKVLGYLQTGFPVFDLGNLVFNKGMLPVSPGKQLSTVRTLALDVAKLNLKQVAESLRCFPSIEKLHIKIESRWMSMESTGVFYAPVTPIECLDRSLKIIELQPYKGYVSHVEFAKFFIERAKYTSLVDPEKCIMPRKNYA >Et_6B_049824.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1404523:1406157:-1 gene:Et_6B_049824 transcript:Et_6B_049824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSVPRGGGEKLGVLAFEVAALMSRAGSLWRALGDAPLARLRGEAIRLEGVRRLVSDDDAALQALALAETAAACGSLARAVSRLSARCADPLLRRFDALFAALVDAGGDRHALRYAAEEKMDRKARKMQRLVAFTAHLGHELDVLAELEQGAKLRRGRHHGAQQRSAAAARRVARQRQEVERLRAASLWNRTFDYAVRLLARSLFTIVAKIIDVFDLEPANASVSDHSSSSKVLRQSWSASFVGNSTHSTVYPSDVTAAADTARRTVMLRAKSGKPAITGGDARRFLVSRSKSLKEQLKWPGAAGKHLLIGCVVAGGKSSPTVRERWIHGGHGDADLPLSFSYAASNDEYYYSSSSINSFQFQPDHRHHTNAKLSASVFLSSRGLLTNPPESSLGAAALALHYANLIIFVEKLAVSPHHICSDEREELYGMLTARIRASLRACLLPFARSSSTCDPVLAAEWADTVRGILGWLAPLAHNMVRWQAERNFEQRNVASSGSVLLLQTLHFADQEKTEAAVTELLVGLNYLWKSGREMEARQGLN >Et_1B_013563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9574603:9578162:1 gene:Et_1B_013563 transcript:Et_1B_013563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPASASSCGEGVNPSPPAAAAAGVVGASSAGAAPWPRRHCRDVFWLVVFLLHLLVFGAALALFGLNRFRQADRFNMGRFANQTALPWGTTAGAPEPAPAPPPPSVYRAEDPSAPASELTETYWKYYGAAGAVGAALAWAWLATAAARKDGGKVLMRTAVHSLTAYLAVVSVLCFWGKHFFWGVALGVGAGLHFLYVMSVLDRFPFTMLVLQKAVRMVWELPDVMRVAYAFVLVMLCWMALWSFGVSGIVAFGMPNGGQWWLLLIFSVSLFWTGAVLSNTVHVIVSGMVFLVLIHGGPAAASMPPKPLLKSLQHAVTTSFGSICYGSLFTAAIRTLRWEIRGIRSKIGSNECLLCCIDFVFHIVETLVRFFNKYAYVQIAVNGQSFNRSARDAWELFQSTGIEALVAYDCSGAVLLMSIILGGLITGTCTGVWVYFKQSDKAVMVGSTSMLMGMILVGLTVVVVESAVTSIYICYAEDPLLIQRWDPEFFEQISEALHQRLQYRSSRARQVLNGRLDHLPHSSSI >Et_2B_022651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29256124:29259444:-1 gene:Et_2B_022651 transcript:Et_2B_022651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHDGSKPYQPRRGPERPPQPLGDAAPPPAVVAPAVDHLVAAAAEAEAMSRYAEEQQQLLQQQQQQQHQGHEQEVGEEEEEEEEEEMEEEEDDGAEGDGQEGGVAGEHVPMDAEAAAAAAAAAAAGGMHMDQHGAMVPTTVPPVSSNTLTLSFQGEVYVFDSVSPDKVQAVLLLLGGRELNPGLGASSSSSAPYSKRLNFPHRVASLMRFREKRKERNFDKKIRYTVRKEVALRMQRNRGQFTSSKPKADEAAAELTTADGSPNWGALEGRPPSAAECHHCGISAAATPMMRRGPDGPRTLCNACGLMWANKGLLRDLSKSPVPLQAVQSAPQNGNAVAAPGVEQENPAAAMANGHELST >Et_7B_053245.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:14407706:14408837:-1 gene:Et_7B_053245 transcript:Et_7B_053245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLHAGVAGDLERGGGGGGRADEPPGRDLQLHALHPLHLLARAVPDRPGARELPLGHLQLPPRQLAHALRVRALRRRHPRLLRRLQLHRAHVRRRLMLMLLPRDGRRRPHVVLGCSCRRRRSRRVPRPDAHGQVEVQLRVVRGAAGDRGRRHDVVEASSGRRCRGQQLQLELSLALLLHGRSIAKKGGSEEVVRTRRGVRSLPAFFLFGFLLSEV >Et_4A_032495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10708835:10710132:-1 gene:Et_4A_032495 transcript:Et_4A_032495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSGINQAEVEDQAAGQLELPPGFRFHPTDEEIISHYLTPKALNRLFTSGVIGEVDLNKCEPWDLPAKAKMGEKEWYFFCHKDRKYPTGTRTNRATESGYWKATGKDKEIFKGRGVLVGMKKTLVFYRGRAPRGEKTGWVMHEFRLEGRLPHPLPRSAKDEWAVCKVFNKELAARTAAMVVAGAELERVGSLGFIADFLDAAELPPLMDPTFGGDVDEVASGQTTSVLSPSRDTGLSTDPNAGGYAEISSAATSHHQFLPSTELDEDSVLNLADIWKY >Et_4A_034113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3071009:3073617:1 gene:Et_4A_034113 transcript:Et_4A_034113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPCDCFLHTSVRPLSNTTASVCRDRSATFQINAVSAPVRAIHPKLSVPCHKPILTQRKKKGNWEQYGGSLPAVLEALECFEDVGEALGPWKDTLSNRERTIILKEQKYWRRAVKIFDWFRRERGHEVNVIHYNVVLCTVGRARRWNLVLLLWHEMHSSGVEPDNSTYGTLIDACYKGGKERAAFLWLGDMCKRGLVPDEVTMSIVLQAYKKTGEYEKAECFFKRWSLEHDRTAEGHPRYSLYTYNTLIDTYGKSGKLEKVSDTFDQMLRDGVAPSVVTFNTMIHVWGKHRRMERVASLVSMMEEYQCFPDTRTYNILISLYRENNDIDVAEYYFEKMKAANLVPDVVGCRTLLYGYSIRGMVTKAEALLKEMDGWGFSIDEYTQSALTRMYVNAGLLQKAWHWFETFHYRMNSECFSANIDAFGNEKYIVLAEKAFMCCIKRNMLSVSVCNVMIKAYGLVEKLDEACEIADGMEIFAKHRDLSMVECLFSEMTASGIRADAFVYSIIIDAYAEVGNVHKAAAYFGFMKKDGLCENATICNSLIKLYTKVGHLVEAREAYKLLRTLNTDANLYASNCMIDLYSDHCMVKEAREVFENLKTRGSANEFSHAMMLCLYKKVGRFDEAHRICKEMQCSGLLTQALSYNSAIQMYVSGGRMKEAFKIFKKMLASNTLPNDATFKALKVILVKGGATKAEIKKLELLRRNMTHDCLYQWYMAVSSAVRSSARYTLLTLIILEKVQESKQLQRRFQMVEFLEGMDPVRFQE >Et_3B_030465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:388786:395106:-1 gene:Et_3B_030465 transcript:Et_3B_030465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAAAEGLEDDEKLATKNPSSPPVALRSYLFQWGRMKLKMISRSTDDFTRERSQDLQIFAKPFIGAMDGHIDAVSCMAKNPNYLKAIFSGSMDGDIRLWDIAARKTVCQFPGHQGAVRGITTSTEGDLLVSCGVDCTVRLWKVPMLKMPSAVYTWKHAFWGVDHQWDGNLFATVGAQVDIWDPNRSEPVNSFEWGKDTVLSVRFDPGDCNLLLTSASDRSLTLYDLRMSSPARKLIMKTRCNSVCWNPREPMNFTAANEDTNCYSFDSRRLDEAKIVHKGHVSAVMDIDYSPTGREFVTGSYDRTVRIFHYTGDHSREIYHTKRMQRVFCVKYTYDGTYLVSGSDDTNLRLWKSNASEQLGVLLPRERKRQEYLDAVKERYKHLPEVKRIVRHRHLPKPIYKAANLRRTMIEAENQKEERRRKHSAPGSMPVQPFRKRRIIKEVE >Et_4A_033868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27583122:27584472:1 gene:Et_4A_033868 transcript:Et_4A_033868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSRTVLLVAVVAAVASFASLGAADLKTGYYASSCPNVETIVRGVVQQKMQSTIRTIGSTIRLFFHDCFVEGCDGSVLIQSTPGNQAEKDASDNLSLSFEGFETIRSAKAAVEAACPDTVSCADVLALAARDAIAYSGGPFYPVELGRLDGLSSKASSVPGQLPQPTDSIDQLVAIFKAHGLSNISDLVALSAAHSVGLAHCAKFAYRLYNFQPGQPADPSLNPKYAAFLRSKCPNGGPDWMVLMDQATPAAFDNQYYRNLQDGGGLLGSDQLLYADNRTRALVDALANSTPRVLSGVRRRRHPARPRRGQVRQAGQHQKAVRRVQLKGTRAYVRQPIQGEACVYTKCVCMSTCILLPETRKGFIRLSVLILVSRLYFSLV >Et_9A_062517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23712054:23716622:1 gene:Et_9A_062517 transcript:Et_9A_062517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLEASWVGVQRHGQDLADRLAQGFSGLLHAQPPQLPPWSPPPLLPPKVGDRESLEVAAAAAAAATGSAAAATASGIGAGGEDGFDEEEDGFDRDIGTLGDFKKAKGTVNISAAYSTRHHELESSVVARGDLWRLESSRGSLTSGNDNAPLFLVQLGPLLFVRESTLLLPVHLSKQHLLWYGYDRKNGMHSLCPAIWSKHRKWMLMSMMCLNPVACSFMDVQFPNGQVTYVAGEGITASGLLPLFGGLLQAHAKCPGETRVSFSFKSKQGTRFTPTFQWPDNSLSLGVAQGVAWKRSGLMVRPSVQVSVCPTFGGSDPGIRAEFVHSLKEELNVMCGFSCSQHPSAFTAVSLGRSKWNGQVGSSGLVITLETPLNNVARPSLSVQLNGGFEF >Et_7B_055832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5564645:5565473:1 gene:Et_7B_055832 transcript:Et_7B_055832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLQVLAAVVLLLAAASTSSASVLEDACKSFAASHTDIGYDFCVKFFQADKGSATADKRGLAVIAARIAGQDAGGVAKHIAALRASAKDKRIVQALSDCAEVYSSAVSQAGTAARGVASGSPAGLQSAVTALSAALDAPDTCEQGFQDLEVSSPLSNEDHAFSKEAAIALAVTSALLRQNQAEQR >Et_7A_051200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15487699:15491804:1 gene:Et_7A_051200 transcript:Et_7A_051200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQKLLCFAALVSFVILASGSRSPAEMVAPLQGSMGSSYDCVYSIYVQTGSIWKAGTDSVIALTLGGSDGHGFTIKDLAKWGGLMGAGYDYYERGNVDIFSGRAPCLSSPPCRMNLTSDGSGDHHGWYCKSVEVTTTQQHSSCAKTEFGVEQWLARDAPPYELYAQRNLCAKSKGRDAQDAAFVAIPERRRTRTQVQNPPTRNRANCKPGKDTRMLLELPVIDLRLAGSRPEESARLLDAARRLGCFRVTGHGVPRALQADMNAAARALHELPGDTKRRNADVTLGSGYRAPSARNPLFESLGVYDAAAPANVDAFCGLLDAPANIRDTVTAYTAAMKKLCLLLAFFAAVAHASSSRAAIATGVGAVSSSDPSNQCVYTVYVRTGSIWKGGTDSKIGATLVGSDGTGIRIADLERWGGLMGSGHDYFERGNLDIFSGRGPCMSRAPCRMNLTSDGSGAHHGWYCNYLEVTVTGPHMGCKQTLFTVEQWLATDASPYRLYAVVDNCGRATKRREGDGEAAEGVTAM >Et_8B_059789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2788064:2791363:-1 gene:Et_8B_059789 transcript:Et_8B_059789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPGLEALVERDLCSETPRQGHLSSYLQHRHSRSAISTYGNVPDISPRLSHHVPVGNLICFSFPSYASNMQLKYCPVTSFLLNFASATFFLIVQTTNCDKMLRRRYSLNLPEQLPEHRMITTAERTERTISKPVADLVWEIAVLEEEVVRKELHLLSLYRAAFDQYLGISPRASAQVDQELHRQCSKKKADEGALRLRDIKESASYNLPTLSDSKRDADSETSSTPSFSSSSSSFSLTYPADNWSPRCHYSADAGSDKYGSSDGNSQQYPGMIMFPRIHIDEGKFEYASKMLDTIRMLIKRLEKIDPTKMAREEQVCFWINIHNALVMHAFMAYGLQEKRMKNTDMILKAAYNVGGHSVNSQIIQSSILGCQSHRPSLWVRTLFTPMKKSGTGSSNHPYALHPEPLAHFALSTGAYSDPPVRLYTAKKIYHQLEQARTEFIQANVMVRKQIIFLPKVLHYYGKDAALELPDLIEMVCESMPELQQQGIRQYLKRRIDKCVEWLPYKSSFRYTVHRSLAE >Et_1A_009429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5211328:5212910:1 gene:Et_1A_009429 transcript:Et_1A_009429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGNKNKAKKVSLGTFLRELDDSSPSRNQVREVEELLASNGGAVLWMECRDCGSEDDHWSFKCPDLSPQTETFEDMSSTEEPPRCPVCHREQPVDVVERSPEDENSVRVSNLPKDTSESDLVDLFRLSGSLARVHFAMDEKTDSTRKFAVVEYDQRENAENTIRVLDGFEFDYDDDAILRVEWAAPRPKPTYVPAVVCESCTRDFESSIRVTNISEHITGPIFLELFSLAGLRLTVAYLAADKVSGSCTRFGIVEYARRESAEKVIEKLNGFEFYNDLRVEGPFRLQDLKIWESRFHMNLSFNPANIVELAMDP >Et_4B_037497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20483521:20485773:1 gene:Et_4B_037497 transcript:Et_4B_037497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRACSPRRRRSPSDREYRAVSAGEDLLDKNGDEEEEDFLLLDGEGEDGDGCYYPELRCYDGEYYYVDEKEEEDAVAADLERRICRLENNHETNLLEPALEEEEGTRLFTEEVQPESPGPEGGILYANQMLPDVAVEERNRLYNDEELPESPDADCGSEEEGVSESEGAGSASGSGSDRLYTIDKVHLGVSAPIARVPEKYRDDAVEPDIKKLYMRLEALEADRESMRQALVSMRTEKVQLVLLREIAQQLTKDAAPAGKTCCGHCGKEVYGGQEGGTR >Et_7A_051703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23317391:23318501:1 gene:Et_7A_051703 transcript:Et_7A_051703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKVLLIAIIGTCFCSSVLAARDLSDDSAMVARHEQWMTHFGRVYKDDAEKAQRFEVFKANVKFIESFNAGNRKFWLGVNQFADITNDEFRVTKTNKGFNPSMAKVPTGFRYENVSMDALPAAVDWRTKGAVTPIKDQGQCGCCWAFSAVAAMEGIVKLSTGNLISLSEQELVDCDTHGEDQGCNGGEMDDAFKFIIKNGGLTTESNYPYNAEDGKCKAGSNSAATIKSYEDVPANDEASLMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGTDSDGTKYWLLKNSWGTSWGENGFLRMEKDISDKRGMCGLAMQPSYPTA >Et_3B_028593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17124124:17125913:1 gene:Et_3B_028593 transcript:Et_3B_028593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSSATARSSITSSESSCFSTASYPWPSHPACAGCRHQRVECPPDCPLAPYLSADKPDIYNLKWLMEENLLDLGRMAISLRKANPRSRERIVQCFVFEAALSYPVVPRRPSQDDIGEPRRVFVPSRLSSMDNFRAAPPILAGDSSYWVQPHTDQAVRYNSVSNHTGFLGLPHRLADGTQNRCVGAGVIDGVRYVGDRGVGGRGDGRRLMELNPEAAAFMSDKNVLTPVGFHPNYETIVLVALPGAVFALCTESCRMDLWCTHDCLATPQHVFPYQHPFVMKRIPVIKNSIPIYVSDSNNGGRQPFSAIPSTNGCTVRHADGVRDPPAAAARVPGAPRRRLGAASRRYNALRPDFAARYWPRAGVLVQPLEKPKEAVPVFLTGRQAPRPTSS >Et_4B_038838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5163486:5180820:-1 gene:Et_4B_038838 transcript:Et_4B_038838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPLPRLTSALRGNYDADQAYLLRKPVLQALTLRRPHDEWELARKIVPGWDDAPTEVRQAYKQFIGAVVEVLNGEAVSEELQQVAQAAYSMFGGDDTEYDATKIVLGKRNELESLVGYTIQDSILKKIAQLAQKLGSLQRTSTHEFVQRKAEDADENDRSEFGADFDFKPPARFVVDVSLDDDISLEIGGLSNEPFEKGQYDAFGTSSTHDSTASRGSLSLRWLKDQCALITKSGGSMLSGDELAMALCRVLISNKAGDEIAGELLDLVGDAAFETVQDLLLHRKELVDAIQHGLMILKSEKMSSSNQPKMPTYGTQVTVQTELERQLDKIRRKEEKRAKRGADNGTNDISIDDFSSLLLASERKQPFDDMIGTGDGVDNLATSLPQGTTRKHMKGYEEVKIPPTPTASLKPNEKLIEIRELDEFAQAAFQGYKSLNRVQSRIFQATYYTNENILVCAPTGAGKTNIAMIAVLHEVKQHFRDGILHKNEFKIVYVAPMKALAAEVTATFSRRLSPLNLVVKELTGDMQLTKNEIEETQMIVTTPEKWDVITRKSSDMSLSMLVKLIIIDEVHLLNDERGSVIEALVARTLRQVESMQSMIRIVGLSATLPTYLEVAQFLRVNPETGLFYFDSSYRPVPLAQQYIGISERDYTKRNELFNTLCYEKVVESIKQGYQALVFVHTRKDTGKTARTLIDLAAKAGELELFSNAEHPQFELIKKDVSKAKSREVVEFFESGFGIHNAGMIRSDRSLMERLFADGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITTHDKLAYYLRLLTSQLPIESQFLGSLKDNLNAEVALGTVTNVREACAWLGYTYLFIRMKTNPLVYGIAWEEVYGDPSMGSKQRAFIVDAARALDKAKMMRFDEKSGNFYCTELGRIASHFYLQYSSVETYNEMLRRHMSESEVITMVAHSSEFENIVVREEEQDELENLARKACPLEIKGGPTDKHGKISILIQVYISRAPIDSSSLHSDAQYISQSLARIMRALFEICLRRGWSEMSSLLLEYCKAVDRKIWPHLHPLRQFDRDLSPQILWKLEERNVDLDRLYEMEENEIGALIRFSHQGKLVKQYVGYFPYVNLYATVSPITRTVLKVDLNITAEFVWKDRYHGMSERWWIIVEDSENDTIYHSELFTLTKKMAKGTPTKISFNIPIFEPHPPQYYIRAISDSWLHAESLFTVSFHNLTLPQTQITHTELLDLKPLPLSALGNKIYEDLYRFSHFNPIQTQAFHVLYHTDNNVLLGAPTGSGKTISAELAMLHLFNTQPDMKVVYIAPLKAIVRERMNDWRKRLVHQLGKKMVEMTGDFTPDLMALLSADIIISTPEKWDGISRSWHSRSYVMKVIVSRMRYISSQTERSIRFVGLSTALANARYVQEGFFTMRFFSTYTPLIGDLADWLGVMDDGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAICTHSPNKPVLIFVSSRRQTRLTALDIIQLAASDEKPRQFLSMEDNSLDMILSQVSDTNLRHTLQFGIGLHHAGLNDRDRSLVEELFSNNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYIDYDITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESNLREHLHDHINAEIVSGTISNKEEAIIYLTWTYLYRRLVVNPSYYGLEDTETSTLNSYLSRLVETTFEDLEDSGCVRVDEHSVKYLILGKIASQYYLSYLTVSMFGSNIGPNTSLEAFVHILSAAAEFDELPVRHNEDNLNRMLSAKVPYPVDQHHLEDPHVKANLLFQAHFSRAELPISDYVTDLKSVLDQSIRIIQAMIDICANSGWLESALTCMHLLQMIIQGLWFERDSSIWMLPSMNANLLDHLNGRGVSTVPALLNLSREELHRLLQPFAASELYQDLQHFPRVDVKVKLQDEQEGQSKPRTLNIRVQLKNSRRSTSRAFAPRFPKAKQEAWWLVLGNATSSELYGLKRISFADRVVNTRMELPQMFNIQETKLFLVSDCYLGFDLEYSLEHLTKG >Et_2B_019483.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6818948:6819046:1 gene:Et_2B_019483 transcript:Et_2B_019483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVDHPHGGDERKAPIGREKPTTPGGYPALK >Et_4B_038122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26418123:26418859:-1 gene:Et_4B_038122 transcript:Et_4B_038122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WKDNNKPWSDMLIHHTPTEINLFRACTTITLIMDNVLFYGMIYGCKGKFPKKIAPDLFKLAWHKNEKVSIALTNGGKGACDGCQPQAVQLGDQPDDISWQFSADNKYIPPALLVLNAFALIGIVPQQLPPTSYRRLKQWWTDMIGPAGTQNAQAIEQAVVYITWNI >Et_5B_045629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5690484:5691657:-1 gene:Et_5B_045629 transcript:Et_5B_045629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPADKASAPVMEFVSPTVHDDKGHEITRTMRPTDKLRVQMDFYYDMVTTVPRGTGVFLYKRMRVEGDHTLADYDMRYGVWIDFFSEMKPDIFVTLTVHDDEGRKVTSTMRRSDEMQVLMDFYCSTVSCTRDKGVFMYGGKELMTFQTPEDLNMKDNDRIDFLSKPETSMVTLTLVDSEARRIVHTIRSNDELQVLMDLYYSTVPIVECDGGSFMYAGRLIETYKTPMELGMEDGHEIHLVGRRAQKLNLTR >Et_3B_030520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4541680:4545325:-1 gene:Et_3B_030520 transcript:Et_3B_030520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRAPSPAALLPVRAFYSIRAKAQEADNICMGIGMSLATTTQPMGRVDISVSGFTRYQNWLALFLRLKQELGPTTSSWHQEPGDPGLCHAQNTITANQVHHMMEGGLKAGTGTGSLAHACCIDAMSKF >Et_7A_053043.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:5498243:5498602:1 gene:Et_7A_053043 transcript:Et_7A_053043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSAGETAGQDMAARKRKSTDDDAPGAAICDDVVDDIFAQLPTRTAVASTVLSKRHHRLICSPGFRSLHFRLSPPAPLPHPHIAYVLTAPTWEGTTSPSAGSTASMSPVAASKEATPR >Et_9A_063590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8554793:8556185:-1 gene:Et_9A_063590 transcript:Et_9A_063590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMVNIMELSIKGFMCWQFTSQLEGRLPNLERLRIVKPLRPAEAAKDIDNSFRGMKKLEVHDLSGNNDIENLPTSLSKASNLQVLVLDGCDGLENIVLSNPLLRSFSFDGHGPASNWSSMVELPPESSRPKWDSSADKKDVKTYVISLVGCTELENLFLRGLPNLEELDLSGCAIKILDLKTMVVDVPRLKRLFLLGCEHLRAIIWGTEQLELELLCIDTRPGRVPGCDRSSIAHSKSVKLQVHVITADARLVRSLFTLINGASRNAICNIHITSSFVCGESSNDEISKTCHQQHNVVASASQYNDIFTDINADALTPMEGLFPQPPTSELDDNIHIEIGGGSCNVEMVSCGEVPALGLCVSSKICLPEPGDDLGITSPGGPLHLEQRPKIFEFLFSMFATSAPALLPKPAVRAPCVVLFF >Et_4B_039734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27998944:28002062:-1 gene:Et_4B_039734 transcript:Et_4B_039734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSCSCKFADPDSVVSSAASAAARRVRPDTMSCGSDGCRDGSSGGSEEFRRPRPSKVAADDLVAPARCSDAMTPARNSDATAPASWIDRKLLVDPKMLFVGDKIGEGAHGKVYKGKYGDQIVAIKVLNRGSTPEEKATLEAHNLLLTANRKKLKLTDFGLAREETVTEMMTAETGTYRWMAPELYSTVTLRRGEKKHYTNKVDVYSFGIVLWELLTNKMPFEGMSNLQAAYAAAFQQKRPSFPEETPQELVFIVQSCWVEDPGMRPSFSQIIRMLETFVMTIPPPPTSESVEDEESEETKSSLSGRSSSGSSLVSRATSKLSVVRHLFASKKAGNGKA >Et_5A_040297.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:11695776:11696435:1 gene:Et_5A_040297 transcript:Et_5A_040297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCRHCRSEQKGCCAGGRHRRKRSRIDGADRISALPDDLLLHILARLDCTRAAAHTSLLARRWRGLWAHLPDLDFHHITPDEIDAALAKSACPSLSLVYIRDPCWHVTIEPARVSAWMRAAARLRTAGLVITDVVLRGGSGRGVELPCFDRATSITLELFGARFVLPPAGGDFRWCDVDLEDLLPRCPRLRKASDCCQRRDRLAPAQVPLANARRTRR >Et_4B_036677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10369869:10382187:1 gene:Et_4B_036677 transcript:Et_4B_036677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVAAMETAAPGEGQRFKRIPRQACAGNLELDPLFNENLDQWPHLNELVQCYKADFVKDECKYGRYESVAPPSFQNQIFEGPDTDIETELQLSNARHSKPDDATEDDTPSTSGRQIYETEPSTSSSKVHCSLSPLPAYEPAFDWENERSLIFGQRVPERLPAINNSGLKITVKVLSLSFQAGLVEPFSGTICLYNRDRREKLSEDFYFHILPTEMQDAQVSLDRRGVFSLDVPSPSVCLLIQLEKAATEEGGVTPSVYSRKEPVHLTEKEKQKLQVWSRIMPYKESFAWAMIPLFESNHSGGVGDAASPSSPLAPSISGSSSQDSIVEPVSKLTLDGKLNHYSSGSSVIVEISNLNKVKESYIEDSLQDPKRKVHKPVKGVLRLEVEKLHNGHNDVDNASEAGSMANDLNDAGELNNGRCNRSGFDGMRSSLNSGAVVHKESHHNGQIFNAENGDYFHAFDFRMLTRSEPFSQLFHCLYVYPLTVSLSRKRNLFVRVELRKDDSDIRKPPLEAVHPRDRNTMLQKWGHTQITVGTRMACYHDEVKISLPALLTPQHHLVFTFYHVDLQMKLEAPKPVIVGYSVLPLSTHIQLHSDVSLPILRELVPHYLQESGKERMDYLEDGKTVFRLRLRLCSSLFPVNERIRDFFVEYDRHTLHTSPPWGSELLEAINSLKNVESTALLQFLQPILNMLLHLIGDGGETLQVAAFRAMVNILTRVQQESSDGAERNKFLVNYVDFAFDDFGERQAPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMGLEQSRLFYHNLPLGEDVPPLQLKEGVFRCIMQLFDCLLTEVHERCKKGLSLAKRLNSTLAFFCYDLLSIIEPRQVFELVSLYMDKFAGVCQSVLHECKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTLDHDDLSQRAKAARILVVLICKHEFDARYQKSEDKLYIAQLYFPLIGQILDEMPVFYNLNAVEKREVLVVILQIVRNLDDTTLIKAWQQSIARTRLFFKLLEECIAHFENNKTGDSLLLGSSSRSPDTERPGSPKYSERLSPSVNAYLSEASRHEIRPQGTPENGYMWNRVSPQLSSPNQPYSLREALAQAQSSRIGSTARALRESLHPVLRQKLELWEENLSTAVSLEVLGITEKFSVAAGTRSISTDYAKLDCITSILMGLLSRNQPLAFWKAFLPVVYNIFNLHGATLMARENDRFLKQIAFHLLRLAVFRNDSIRKRAVVGLQILVRNSFNYFKNTTRLRVMLTITLSELMSDVQVTQMKSDGSLEESGEAWRLRKSLEEMADVRSKDLLKECGLPVTALEAAPEGSTDNRWSWVEVKHLSKCLVQALDAGLEHALLGSVVNVDRYAAAEGFYKLAMAYAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVIMQALVGRNDAVWSKEHVASLRKICPIVSTDVSAETSAAEVEGYGASKLTVDSAVKYLQLANKLFAQAELYHFCASIQELIIPVYKSRRAYGQLAKCHTSLTNIYESILEQEASPIPFIDATYYRVGFYGERFGKLNKKEYVFREPRDVRLGDIMEKLSHIYEAKMDGNHTLHIIPDSRQVNADELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL >Et_2A_017608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4034023:4037228:-1 gene:Et_2A_017608 transcript:Et_2A_017608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGCLKRLQKEYHALCKEPPPQIVARPLPNDILEWHYVLEGSKGTPFEGGYYYGKLKFPPDYPFKPPSISMTTPSGRFAPHKRICLSMSDFHPESWNPMWSVASILTGLLSFMMDDALTTGSIKTSDAEKRHLAKASLAYNCESKNCPHFRKLFPEYVEKYNQQQQMENAAAEPEPQENPAVAPLPAVQQALAVDNRAKPVAEARREKNQKKPVPFWMVLVMFSVFGAVMALPLMQL >Et_7A_051757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24080371:24083397:-1 gene:Et_7A_051757 transcript:Et_7A_051757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IDVLLISIYKSHGAFLNTKFGIFLNIDIASRRHIPKIHQRDGEFQTSLSTDIKGLLKLHDASHLNIFFLRWTSHLNMGDEELLCNHHEFTSRQLKSSLNYLQPSLSDVVKKAMEHPYHLSLQKYNAKNHLTHFHHMFRRNPAIEDLALTEYHDNQIQNQEELVVEGPGAGQELQFVRDQVDKWYMCATAILTHSQAFSKYIIEMTKLVSVIYVVADIFDLAGSPEDLSLFTDVSCYFALFSVTNEMAKMVEKAHSQNPIHHFRNAWEKLFDAFMLQEAKWFACHEVPTSTDYLKNGISSGVHVVLVHAFFLMKGSVYLMALRRNTIRVKTSFKLP >Et_10A_001806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8342215:8344608:-1 gene:Et_10A_001806 transcript:Et_10A_001806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVELILWLFSFASVMLICLSDLEYDYINPYDSSSRINAVVVIEYALQGALCASFLLTLHWFPFLIMAPVTYYHVKLYMARKHLVDVTEIFRQLNGEKKYRMIKLAFYFCLFIITIY >Et_4A_031992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10967353:10967766:-1 gene:Et_4A_031992 transcript:Et_4A_031992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALDTLGLGADRSGLEAAVGGYIPAGAAGLRFDDFENLHRAVEAEAEADDEGDMKEAFRVFDEDGDGFISAAELQAVLKKLGLPEARNLATVQEMICNVDRDRDGRVDFGEFKCMMQGVTVWGA >Et_3A_023473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29613419:29615896:1 gene:Et_3A_023473 transcript:Et_3A_023473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRTGRDTGGDVPPSVRLDVRDHEHRVNRGGGGSTSFFEPRRERTPGAHGSSGRGGGRDPPEKRLTLFALRLAVLEKAASGVGKLDFVWATVVLLGGFASSLRTTDFWCVTVILLGEGARVFSRSHELEWQHHATGWVFVSRKIGRLLGWLQVLSALSCVALSLTRLWTRDFGAGDGAKNKRPALLLFYTLALLEASLFLLEKAYWSWKLSFRALVREVSAECGLGAYGPAALTRFFYDAYSRCVAGSIFDGIKMDLVAFAEDLILSDFLDEQLIGARILQQLASDDTLREVGASPRSIDRLVEMLNWTRHDEEEVRRCAAEVVARLAGRRQNALRVSAIPGAIESVMSLLHTAVAPAASHGLLILNRLAMDHDNCGKMGSTRGLLAKIIDFTQAPPALLRNPHAAESQVRAVKRALQLVKMLVSATGATGKALRQEVAGNVFSVSHLRGVLQHGRRHGELRKLAIDVLTGLAMEEHGKAAIVSTGGVVRLLLSVFAGAEDRELGAEAGEALAMLALESKAGCAAIIQLKMPSPGSGGDGDVVGRLLPALRDGDARRLNATRLLRNLCAYAGPEHRDSLRAATDQALPAALNATVAPETSDKVLEASVGLTAEICRFVDGERFGAELRGAGVDERAYVECLASVLRRYRYPEIRVPRMRRFVVQQVIWLMTTSSSAGGYVELLREAGMERLLESVADTTSGLECYHVFSGSVGIGKHRDDFSSMVDTAIELLGGRAGSRAQE >Et_10B_002811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11814324:11816787:-1 gene:Et_10B_002811 transcript:Et_10B_002811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSHGAPSSLPLHLIVDETLALVSPLQQSFQRVQRHCFGDSAPGEFPLAANPSIVLHATCTFFRKPANFAPDFQLSMSELAALDMCQKRAIFKPMTAHERELFKQRCGGSWKLVLRFIMAGEACCRREKSQAIAGPGHSIAVTTSGAVYTFGSNNSGQLGHSNLEEEWRPRLVRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEVEYGVQGSKVVTTPQMVESLKDIYVVQAAIGNFFTAVLSREGLVYTFSWGNDMRLGHQTDTNDMQPYPLTGALENIPVVQIAAGYCYLLALACQPTGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQALNIQPVVVAAGAWHAAVVGKDGRVCTWGWGRYGCLGHGNEECESVPKVVEALSGVKAVHVATGDYTTFVVSDKGDVYSFGCGESSSLGHNTVTEGNNRHANVLSPELVTSLKRVNEKMVQISLTNSIYWNAHTFALTDSGKLYAFGAGDKGQLGTELVAQQNERGTPERVEIDLN >Et_1B_012096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28977806:28982207:1 gene:Et_1B_012096 transcript:Et_1B_012096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLASRPLPAHHRHLFFRACPSLPGNSLRRLVPPLSCRARELLDVMSQRDGGASSRTPRPEAGAGSFGVPSGVNRATERKYEVRQHGITARQGGSHGAPRPWRKGDKVVLQERADADSEEKDWRGRPTRTWEQEGRREGKHWSRGGTGMRTNDSGKARNLATGEDRGRGNVSKKKKAKGGERGGKLRVELDMCSKRGDVMGAIALYDSAVKEGIRLGQHHYNVLLYLCSSAALGFVQPAKSGTSGSGVASIGLACRFDSSPKVSLGELDNGDASEDHVQDQWEDKSEFLPSDDQKVQTDSILIGDELREYARTRGFEIFERMCSEKERVQMSEAALTARARMAMSMGNGDMAFEVVKQMKDLGITPKLRSYGPALTSFCNSGDVEKAFEVEAHMLESGVKPQEPELETLLRASVVAQRGDKVYYLLHKFRTTVRQVSPSAAGLFEAWFRCPTAPKVGKRKWDAGDIAKIIEDNGGGWHGLGWLGRGKWTTARSNIDRNGVCLACGEKLAIIDLDPEETEDFATRVAKLATKRERNFNFQNFQKWLEKHGPFEAVIDAANVTAVADAMRQMFPSRKPPLIVLHNKHLSGEHMKKPANQRFVEKWKKANCIYATPTGSNDDWYWLFAAIKCKCLIITNDEMRDHTFQILEEDFFPKWKERHQVRFTFEDSSVTFQMPPPYSVVIQESDKGHWHIPVSEEGLLERERTWLCVTRRSSLAQ >Et_4A_035225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13154545:13155228:1 gene:Et_4A_035225 transcript:Et_4A_035225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTINSELQVIVKVNSYFALPDGEPKTYCGRKTLPPLAIDKHNFGILQLVEYIGEKCIWGSKQYVSMYRIAPDPMACEIKTDEQLVEWCDLNLEKSVVHIKAEIHDFSRPLQFSPTKRRCHPKVRKRLLETPSTPPINADPPIEPSQSTQEKTNFTNECVTNKDKTPKSAAADDDELKVLSDSDYDSDLAASSESGP >Et_2A_016456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24911573:24916987:-1 gene:Et_2A_016456 transcript:Et_2A_016456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPRGPASFTTQANALLRKNLCLQKRNLKTNIGITFFPILICVLLIVLQNIINNELDTPKYKCGCVCVEASVDGRCARKQCGIQYSTLDQVASCPIPSPPRWPALIQVPRADFRAVRSSSQPFSDLPDPSCRDSWSCPATVLVTGKDPAIAEIISRRLFPALSPSLNATDFLDVLSKIVAGSDTQPWYTQLLEPAFSFGRTLYLVQPMCLPYMSQTITYNTGGIPFLINVQCVEGAPLWRESASIINNEFFRGYRQRGGNLNEFIAGYDFLNTTEYGLGVNVWYNSTYNDDTAYSFISTLRVPRLVSNAYLKSIKGTWVEMLLEYVKDMPKVGTSFRLDLSSLLSALFFTWIIELLFPVMLTYLVYEKQQKLRIMMRMHGLKDGPYWLISYSYFLALSVVYMLFFVIFGSLIGLNFFRVNEYRVQFVFFFICINLQIATAFFAAIFFSSVRTAIDGWILVMETVPGFSLYRGLYELGQYAFSGGTMGTTGMTWENLKDPINGMRDVLIIMSVEWALLLMLAFYLDQAFMCLQKKLTPSMHEPSFVQQDSKIILDMEKPDVVQERAVVEQLLIDPNANQAIICDNLRKVYPGRDGNPDKLAVRGLSLVLQKGQCFGMLGPNGAGKTSFINMMIGLVEPTSGTAFVHGMDINREMSNIYTNMGVCPQHDLLWETLTGKEHLFFYGRLKNLKGAALVKAVDDSLKSVNLFHGNVGDKKVQKYSGGMKRRLAVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKRDRAIVLTTHSMEEAEVLCDRLGIFVDGGFQCLGNPKELKGRFGGTYIFTMTTAPEQEREVEQLVRQLSPSANKIYHLSGTQKFELPKQEVKIAQVFQAVENAKRRLTIHAWGLVDTTLEDVFIKVARGAQAFNEFA >Et_2A_017193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31944248:31947543:1 gene:Et_2A_017193 transcript:Et_2A_017193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKDSKLSYNNYSYDYGNASSGYNSRYAGNTSSSYNARYAPSSENNVQPETHARLQRKYSRIGDDYRSLSQVTDALAQAGLESSNLIVGIDFTKSNEWTASTHDQEVFSFYPENRPCNGFEEALERYREIVPTLRLAGPTSFAPIIETAIGIVDSTGGQYHVLLIIADGQVTRSVDTQSGQLSPQERDTIDAIVKASQFPLSIVLVGVGDGPWDMMHQFDDNIPARSFDNFQFVNFTEIMSKSIAADRKEAEFALSALMEIPTQYKATLDLQLLGRRQRITPRIALPPPTRTAYSRSTSFQSGVYSRSSSFDQQTSGTQQRSGSFKQQQPAATRRPDTYAESNLEDRLLCPICMYKSKDLAFGCGHQTCYECGQTLERCPLCQQNITTRIRLY >Et_7A_053003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4454239:4456646:1 gene:Et_7A_053003 transcript:Et_7A_053003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPEEETPDMVFLRNITVPSALVTKSFGDALRLAASASGKEEEVVVRLDWRESMPHPDERVEYEFWTNSNDECGPRCDEQAAFVAAFRGHAQLLEKRGYALFTPHYITWFCPDEFLQSAQCKAQCINRGRYCAPDPEGDPEGAGRRRDMTELSLHAREKLACSCPHCSCKNTWGGFDCKCSSGLMYTKSEDTCIAKNMSAVGWLITALVLSCLAGAGIAGYVFYKYRLRRYMDSEVMAIMAHFWLRKCQQGALP >Et_1A_006809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27818108:27822938:1 gene:Et_1A_006809 transcript:Et_1A_006809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAELGHLLVFAFLFFFASYMVAPVMTDVTMEALCPGRDECSLAIYLTGLQQAVTGLGALVVTPIVGNLSDRYGRKALLALPAMASIVPLGILAYNRTKAYFCAYYITKTLTAMVSEGGMQCLSLAYVADKVPETRRATAFSIFSGVCTAGFVGGTIAARFLSASSTFQVSAVAAVAAAVYMRAFLHETDGGASRSDEEASHPLCLASSSSEEVSPRLPPLRKAPSLSEMGAFLASSSTFSRAAAVTFFHSIGETGLLTALMYFLKAKFHYSKNQYANLLLIIGITGSFSQLTVMPLLVPKLGEQKLLIIALTASCGHAFLYSVAWSFWVPYLAASLVLLSFLVTPCIRSIVSKKVGPFEQGMVQGCITGISSTANVISPLVFTPLTAWFLSETVPFNFKGFSIACSGFATLVALAISINMRPTGVQQPERK >Et_3B_030130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30737113:30738601:-1 gene:Et_3B_030130 transcript:Et_3B_030130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSGSLYSECAAAAMVGQKRKRASWSAAQSAVAGECCGGGRRKRLAGGPDYLDDLPDDLVLSILSKVAASASAPSDLLSVHLTCKRLNGLGRHDLVFAKASPASLAVKAAAWSDQAQRFLKRCADAGNLEACYILGMIRFYCLGSRSSGAAMLARAAVGGHAAALYSLAVIQFNGSGGAKSDRDLRAGAALCARAAALGHVDALRELGHCLQDGYGVRRDPAEGRRLLVAANARELTLALAAAASHRTFAALPLGAAAAGCPLLSDFGWSLPEAEPHAANQFMVDWWASHGTTAPAKKSDGETTDGDAVELRLCSHVRCGRRETRRHEFRRCSVCGAANYCSRACQALDWKRAHKAQCVPMERWLLADGEAQ >Et_4B_039510.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:19949875:19951392:1 gene:Et_4B_039510 transcript:Et_4B_039510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLFSLVVLLLHAAAVPTARAQVAGGDAPAPELPRSELAAVFRVMAELLGDPTWPQLHPRPCTDTPWPGLQCELAPDDARRLRATRLHFGPDVATPPCRPGARLGADSLRGLPHLKTLSLFGCFAGAGAGAGAAVELPPALFANASSSIEQIVLKSNPGLTGAIPATLSGLPSLRVLSLSQNGFRGGIPRELGSLAALQQLDLSYNNITGEVRTCQTREISLRACRFINKRLFGYAPAVQIPEEIGGMASLTILDLSWNSITGGVPATLGKLQKLQKADLSYNRLAGRVPAEVGSLRELVFLDLSHNALAGPLPPSLAGLGKLQYLLLQDNPLGTAVPAVVGSLRRLQVLGLSGCELTGPIPRAAFAALGSLTALSLDRNRLDGPIPATLAALPHLGQLNLSQNRLAGEIALPGDFVARLGRRLDVRGNQQLCVGSGLRGTSTAYLAAPPCANGGDASLARARAEASSGAAAAAGAWRRCYEAVGTPACSVLLVLSSLVVFQL >Et_8B_059862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3387374:3393491:-1 gene:Et_8B_059862 transcript:Et_8B_059862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMLIRRHDAIDGTRAARSQNQPRPVTPRVVSTPTTRVAAVAAAFSNVPVGYKYPSTKAGDADVAHRTRLIRKRPVLLFHHRLVRVRYAGRSICLDSNVTICGRVINETDSIWFSCIRNKMEENRIARISVAWRGRQLDVDADPSCTMKEFGQLLQDLTNVKPDTLKLIVPQSTNKGSKLIAPYSDSHSGLTLNEAAICEGKPIRMMGVFEDEIEEVSDNGKRPDLRIIGFDEEEQRLRQRSTGRPQISLKLPQGQYIFCDFRTLHLPGIELNPPPSEALKRMHMLACDPGIIAIMNKHKWRVGIMTEMAPVGYVGVSPKCILGFNKNMGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVHSEHDAHFFALNKQLNEEAASLDWTKSGGHTLNGRKIVDSYEDEFVLETGTIAVGHRLGGDSSSLAGARALAGAAAYNRLLNAPPATDFESCPHKVILSSTDDGVPDTEVTRQSFIQENVKLEPDPDDNDSMHVDATIGTSGSLGSRSCTGGHTVGCSEPDPDDSSNVNILNKELMFDGHRHRETDPDDGTSEFGNKMEVECGQQSNIKSVSKSEPDPDDTVCRAVLESGIVMEVTTAEQSRTPTVLELDPDGQPGDSNTNELQRIEEPVAALCSRLQKAIEMLRLQATPAEADSAIQTLFKIIKNVIEHPNDMKYKRLRKVLLVLISAFFHVMVYHSFAKSNPHFQRSVGNYKAAMEVLELIGFCEDVISDEIGRVETYLILKRNDPGLLWLAKSSLELFLAILRAVEEGNGVNDSHS >Et_4B_039714.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27523652:27525109:-1 gene:Et_4B_039714 transcript:Et_4B_039714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEAAGAGAAKLNVVLFPWLAFGHLIPYLELAKRLAARGHAVTFLSTPRNIARLPPVPAHLSPVIRLVALPAPKVDGLPEGAESTADVPPEMNELIKKAVDGLATPFAAFLDAAVAGGTKPDWIFNDFCHHWLPDIADAHGVPCATFLIVQPGTIAFLGPRAANAAQPRATWEDFTAPPDWCGGPSKFPKAVAYRRHEAEWISTVFRPNASGVSDMDRMWGIIERSRLVIYRSCEELDPGMFTLLTDVFQTPAVPAGVLFQPDFSDDDAGDERSEVLKWLDDQPPKSVIYVALGSEAPLTANTLHELALGLELAGVSFLWAFRKPAGMSAPDSDVAALLPPGFEERTRGRGVVWTGWVPQTRVLAHGAVGAFLTHCGWGSTVESLAFGHPMVMLPFVVDQPLVARTMALRGVGVEVPRDDTDGSFVREDVAAAVRRVLVEEEGKVFARNAAKLKEALGDQRRQDGYMDALVDYLRRYKGNDCF >Et_5A_041978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4788816:4792142:-1 gene:Et_5A_041978 transcript:Et_5A_041978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRPAFPRLCASVALLVAAFSCLVPSSEAYDPLDPNGNITIKWDVMQWTEDGYVAVVSLYNYQQYRHIQAPGWKLGWVWAKKEIIWSMSGGQATEQGDCSKFKSNIPHCCKKDPEIVDLLPGTPYNMQIANCCKGGVLSAWAQDPENAVASFQVSVGQAGTTNKTVRVPKNFTLKAPGPGYTCGPAKLVKPTKFVSQDGRRSTQAHMTWNVTCTYSQFVAQRSPTCCVSLSSFYNDTIVNCPTCSCGCQTNSTAPESCVEGNSPYLASVVNDPHKNSLAPLVQCTSHMCPIRIHWHVKVNYKEYWRVKITVTNFNYRMNYSQWNLVTQHPNFDNLTTIFSFNYKPLNPYGQINDTAMLWGIKYYNDFLMTAGPDGNVQSELLFRKEPSTFTFEKGWAFPRRVYFNGDNCVMPPPDSYPWLPNASPRLAASLLFPFAAVCAVLAVLLIHV >Et_2A_017509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34990285:34992779:1 gene:Et_2A_017509 transcript:Et_2A_017509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDRAEHKAKNKSLHGHLLLEVDGRGELGRAVVEGLCADHGGPLGRVHPVPEEVAIAVGAERGVGHAVRLRAQAAGAAAGAVRVHEADGGRVGVVDQAVGVGQGVAEARELLRGEAVLEGCVEAHGELVHAVGARARGAKHANSRHAPHKTNVDDAEFPRAQLVQLLQPLEMRLLLNAATSLFVVDPFFCDCAVVKANKSLLPTPTRKKDLERRSLTARGPTVATISALVDSK >Et_3A_023243.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:13546738:13547040:1 gene:Et_3A_023243 transcript:Et_3A_023243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQVLRAHNIVLPSHKIRAGHQKPNPAARKPAAAGRRHQGGRKAAAAAPVAEVYAGPAFSTSPEPSALPLPQFPVKKAAAGVAVDDAATRDLRRILRLE >Et_5A_041206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19417704:19418964:1 gene:Et_5A_041206 transcript:Et_5A_041206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNLGRPPRPHSAVPSRLKPMKDVLETCPDVGSNDVCLQRLIQERPRVPDAFKNLSHSEDYRSESITSVEDIYKDLQHVRPLDVICPSTCGQESDDELLQRVKEVDSRFLLSSGNKYELSMLRDKPLSSRDMFQVIQHLTEDRKKLAHELFSQIKARVTGGSLKKRELAEQNISFQREVTFLEANKADASAKVASLELQNKKLNDELEKNSDASTMISIIHQQICMLVTEERDHLGGYLKDKEDENRALHKVIARLQVTCNDKKRTITSLRQWYRSELDKSVECSSDKMNKLQMELIRLTGVERKLRGEVRSCHLEHYKKPGLLRRFCRDD >Et_1A_007475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3497187:3500876:-1 gene:Et_1A_007475 transcript:Et_1A_007475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPAASFTASWMSNHSAPLDIPKPASVDDFVKNGKTKKSFMSSIFRKKGRSSDKKLLARRDVVFDLGEKCSDRMELPDESPAVRKSFSDRHCTTRIESLSLSCLDSPRRPNIDTREYRVFVGTWNVGGKPPDSNLNLEEFLQIEGLPDIYVLGFQEIVPLSAGNVLVVEDNEPAAKWLALIYQALNKPPAQDGPSSGDELSPPESTSSQTQSRQGGRDAATAIPKSSSGGLLFFQKPSLKMLSKNYRVDSALVKTCTCMSDPSAMQRRAREMREFIYRVEATASSSPGRAAADDEPPPGDCGDQRSGAGMNYCLIASKQMVGIFLSVWVRRELVQYVGHLRVDCVGRGIMGRLGNKGCIAMSMTLHQTSICFVCCHLASGEKEGDEVRRNSDVAEILKSTQFPRICKIPGQRIPEKIIDHDRIIWLGDLNYRVALSYEETKMLLEGNEWDTLLENDQLVIERQAGRVFKGWKEGKIYFAPTYKYTRNSDSYVWDTAKSKKKRRTPAWCDRILWHGQGIEQLQYIRGEFKLSDHRPVCSVFVIEADVDNGSKIKKGYSTLDARIHCESPAIPKRHSFYDDF >Et_3A_023257.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:14685794:14686075:-1 gene:Et_3A_023257 transcript:Et_3A_023257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAPIMWGLCKLRNNLYFQNGLWRDMRLLWSQIPDTTQELGTALSREVQEELHLEVPPDGGKINKARKIMLSNLMKEQEAWLALTPKIGVIG >Et_2B_019082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3782951:3783400:1 gene:Et_2B_019082 transcript:Et_2B_019082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRVSRLGARLLREARAEARAGSLHSSRGSLYQAHVNRSSTPLISPTVVSLVRNEVLLVKLCCMKISDIILCF >Et_1B_011428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22068645:22072840:1 gene:Et_1B_011428 transcript:Et_1B_011428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAMVSVATGAMNSVLDKLTTLLGKEFSWLHSGVKRDIAFLKDELSCMNALLEKVADMDVVDPQMKDWRNQVREMAYDIEDCIDGYMLQQTHNGIMAFFRDYAQRVMELVGHHGVAQQIKELKDRIVEANHRRKRYKLDTEADPGTTSIDPRLPAVYVDSSDLVGIDIPRENLIKLLDDGEPSLKVISIVGFGGLGKTTLAKEAYKKICVKFDCHALVSVSQKPDVRKILWSILSQVRKPNYANTNPGDEEWLINALRGFFKHKRYFVIIDDIWDTQVWKIIKCALLENNCGSRILVTTRIASVAKSCSSHHHGTVYELRQLRETDSSNLFYKRTFGSEDLCPNNVRDVANEIIKKCGGLPLAIITVASLMTTKSNRREEWVSVRNSMGLGLKNYNVEGMERILSLSYSDLPYHLKTCLLYLSMYPEDYEIGMYQLVRRWTAEGFIKSKSGRNISEEGESYFNELINRSLIQPASIGLDGQITSCRVHDMILDLIISKAVEENFITFMGEPTNTLVSQEKVRRLSIDYRGQENLMFLSSMSSSHVRSLCIFGYSEKMLSISNFQALRVLDLESNEKLQNCHIQKLGDLFQLRYLRVAGSKITHLPEQIGELQFLETLDLRRTWIRKLPASIIKLRHLNFLSVNGSQLLDGTGNMQSLEELSGVSVYDACSIDSLQELGSLASLRTLRLTWHISGARNDRTMYTDILVSSLGKLVSSNLRCLRIIRGHGSVDIPLDSWSSPPHLLRELDIPGCCFQRIPEWMSSMANLFRLRIRFKQVTQEILHILGNLPSLLDLELRTEAADDPMEMLIVCNSRFQCLKIFRMYGPIMALMFEAGATPQLEQFSLEITPSKARPALADNADLGIHHLASLRDLNVWINCRGARMEEVEVLEAAITDATNQLPNQPTPHFYRENQDDVVTDEPLVQGE >Et_1B_013187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6234737:6238719:1 gene:Et_1B_013187 transcript:Et_1B_013187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEEAAKPEAVTTSPAQEPPLDSQPTDAPSAALVAAQEMQPWEQHAAVINLPRYDYRASGSLLLRSCSGFLITCPIKREKSATKEAISILDEYIGRVNVLENSEACDTKIAAKKRKMCSETSENIVEAATNGHNDASESTGSIGNSNSPQSKTSEDVDKTSKLSLVKLSRSGLLFFKFPSGGFHVVQMLTEILHSLKSGKLKSPQWCHRIFPIQETCVLSETELHTTVAKLFGDFFKSKKDQDEPIKFAVAYNRRGIDETEMRLPKSSNEGSNQQALMDRDQCFKVVASAVKSIADKSVVDLRSPEVAILVEMLPLSGVPLGSSVAGVSVLPAELISTKPRLCVRSLVSDPKATSKK >Et_9B_066068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2347180:2352152:-1 gene:Et_9B_066068 transcript:Et_9B_066068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGSAKAGTNMSNELPVEGAVRVRKVERIEAYNVATRPPATTTTAKMARSATVQVVRVGDVDENNDGVVTAERSRQASLCASARVAAGGIWWELAGHGRGGGLDRARPWRANVSTAADAGASAHNGGRLACVQPWRADVRGPWWRVGSVGSKRHRGRRRLGRERDGRARARQRAGVLARGLQAGVSWARREEQQCGEPGGAACFQPIFSIGWIALMLKGSFDGGARRPWLIKQHPLVPLRTIYKWKPSPALNGKANKLNNNMSS >Et_2A_018235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19883035:19887305:1 gene:Et_2A_018235 transcript:Et_2A_018235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRETGYYDVLGVSPAATEAEIKKAYYIKVTRAHARRSPAELISCGFGVSFRLILARQVHPDKNPDDPLAAAKFQASARSELGEAYQVLSDPTQRQAYDSYGRSGVSTEAIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDVFGDEEYIDTRILEEKMEEKMRVVQKERREKLAEILKNRLHLYVQGNKEEFIQLAEAEVTRLSNAAYGIDMLGTIGYVYSRQAAKELGKKAIYLGVPFIAEWFRNKGHYIKSQVTAATGAIALMQLQEDLKKHLSAECNYTEEELEAYMQTHKSVMVDSLWKLNVADIEATLYHVCEMVLQDNSVRKEELRARAKGLKTLGKIFQRVKLNATEGEQATTNNTINNSDDNDGSSPDSSPGSPREHSFDPNPPYSQSPYVEAPQLGGAYYSFFPMPAAPPGAQRDPVP >Et_1B_010829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14574010:14576331:1 gene:Et_1B_010829 transcript:Et_1B_010829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCMRKKKASATSMEVTVTPLGVCTRSRTLMKKCTREGENVGREYLELRNRRLERISPRKLEERRGGRKKMVVEEGTEESFKENMLDSEDIARRDRETTPCNLIMKSNMIASPRSTTRPIYYNYQGNVQTLICSNDSNLAKFNEHSLLKHNMINRYNFDPVNDCPLPGRFEWVKLK >Et_10A_001129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21426897:21430865:1 gene:Et_10A_001129 transcript:Et_10A_001129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFAVPSPQSSVTVGSAESTAAQAPRAPNGVAAPVSCISSMIDRGDAESHRLFLARRTVLEMLRDRGYSVQEHELARTLPEFRAWWADKPDLERLSITTTLASDNSNKLKVIFCPPEPVKKATIREIYNGIKEENLSRLILVLQGKIMSQARESLKDIFTFKVDTFQITELLVNNTKHVLKPKHVVLTEEEKTKLLKEYNVQDSQLPRMLETDAVARYYGLGKGTVVKVIYDSELTGNHVTYRWMFSDDMITTGISRRDDDS >Et_4A_033505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23938811:23945291:-1 gene:Et_4A_033505 transcript:Et_4A_033505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSSSACRRWRAGWAFRPWRSRPSRARRSGRQAGEARRATGGCVLKSEALEGLPEMERTEFPSFVFDDGPYPMLTELASNQLIRTCKDDLVLFKELEGRQPSEPGRLLHQVAGYTKAPNTVAYVSFGRFASLVATQTEELAYGLLAAGKPFLWAVAGSLANLPRGLLDEATASGSALIVRWSPPAAGARAAVAPGGSSDRRMDEFVPSWCSCAPGLRRSGRRRFCRAASLQGPRCEDARIGKLSGWCFALVYVLVSGYIRNLSSFT >Et_3A_025570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30929585:30935448:1 gene:Et_3A_025570 transcript:Et_3A_025570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGGCARADHAAVGSDGKIRERDGSLASKNTVDLLLQLSCDEDCTMKMRNKQRKSSALNFDAGYRSSISFVLWSLVGFGLIVSFLSFKHHEDSGENRSYFSHLPSTRELEEVEEEHFRLPPPHKVNPRAVKRRGPRKQPKVIEDYLDESSAVHALFFPDQSTAVDPRKGGNDSMYFYPGRVWLDTNGKPIQAHGGGIMYDHKTARFYWYGENKDGPTYQAHSKGAYRVDIIGVSCYSSKDLWSWTHEGIVLPGEPSNVTHDLHKSKVLERPKVIYNDRTGKYVMWMHVDDTNYTKASVGVAVSNAPTGPFKYLYSFRPHGFESRDMTIFKDDDGTAYLFYSSRDNTELHVSPLRQDYLHITTAMKRILVRRHREAPAVFKLQGTYYMISSGCSGWAPNRALAHAADSIMGPWETLGNPCVGGNRFFRLTTFLSQSTFVLPLPGLPGTFIFMADRWNPSNLKDSRYVWLPLFIGGLADEPLDYSFGFPLWSRVSIYWHRTWLLPEVDWSSVEESLLSSSSSSSTSESEASDTDRCHLVKLGAGEKALSFLGGPHERHRLGVGKKAEVRVPRRVFSARALVHDSDGEREAAAELGSLDGRRGARGARCEARAPRDCGNQVALSYRRDGAHVEATGLAGVKAERVAADDAVVAHPPLEGAKATAVEAQRANGIRGRSESDYQGGDRARGSHRAAAAASMAAAAWVSVLLVRLDRFVSEERRARPVQK >Et_2A_018600.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34531611:34532609:1 gene:Et_2A_018600 transcript:Et_2A_018600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSPPPAAVEEEAATQPDPLPPHPDHAMLRPPSPPRAFPTLDSLAAFLRPRLPPQALASWGTAPGTKTLLNLFLELTHGDCTLDLPAAPPPQVVRAVHVATVNIRNRRGARLVETRQLLSDGRLRSRGPRPLSEKMRPGESPEAAAVRAVREELGESARVRILGAVDARVEERESASYPGLPARYVLHAVDAELVDGVPEDGEFETEETGEEDEADGGAITVKRHFWEWVNDDDDSEHKDIVAAAGSSAH >Et_3B_028452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15548684:15551581:-1 gene:Et_3B_028452 transcript:Et_3B_028452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRLTDSFGSEFKTPESWKEAWGGVASWDPSRRGGAASSLSNFFLLATAPRKNAINANTWILSDRSPSLNTDHNAYSTIPCNVTKTSSSEAAATRGEHPLVVEAGEVGHAGVAEPPGPLLGPAEHVAERGGEDVEARGEQPGAVRVEAREGLERVLVPAVARVEEGRVHPRRRRRSLLLVAAAAAVRVRGLDLRGEEVAALAECLRAQGRVAERGGEEGLRGVDEEVVLGEHRVELVEARVCADDGEVAVAGVAAAGSGVNGQEEGGKSATGEEEEVVHQAAEDRPPATAAARAARRRKRDMAGANAETRRLELSLCVLAALDFCNCNGT >Et_7A_052103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4670217:4681380:-1 gene:Et_7A_052103 transcript:Et_7A_052103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQATTNGAGHGDYEVKVMPASTTVAPALPVQEHRLPLSNLDLILPPIDVGVFFCYADPGSGGHPAATLKAALAKTLVAYYPLAGEVVANAAGEPELLCSGRGVDVAEAYADGTELRELRLGLPDESVEKLVPKKKTGVMGVQVTKFRCGGVVVGCTFDHRVCDAYSFNMFLVAWAAAARGSPAPPAPSFHRSFLAPRHPAPPCTGTLADRLFVPVSRAPAAPPATAAANRIYRVSAGDVAALQAAAGPGRTNLEAFTALPWKLHARAAASSDRRQRSCCMGVVVDGRGRLRRDGAMASYFGNVLTIPYGVAGAADLRGMALADAAGDVHRWVAEAATGEHFRELVDWVEAQRPEPTVARAYLGRGGDGEDATACVVSSGLRLPFADVDFGWGRPAFASYHFPWPGGAGYVMPMPSARGDGDWVVYVHAAPEVVEVMEEEPTITKEHGGHEVTITSVTMMTVVPALPLQEHRLPLSNLDLLLPPLDVSVFFCYPDPAPTAAALKEALAKTLVAYYPLAGEVVTNSDGEPELLCSGRGVDFTEASAGGAVLRELRLGLVDEGVEKLVPAKKVGVMSVQVTRFKCGGAVVGCTFDHRVCDAYSFNMFLVAWAATARGGSSSPPAPSFRRSLVAPHDPPPLRTPSTAALIDHLFSPRSAAPPPPPTAAVAVNRIYRVSAADIAALKAAAGPGRTKLEAFTAHLWQLCSRAASPRQSACCMGVVVDGRARMSPDGAMRAYFGNVLTIPYGVVGSAELRGGMALADVAGDVHRWVAEAATGDHFRGLVDWVEALRPKPAAARAYLGGTGGSEAMACIVSSGMGFPVGKADFGTGRPAFASYHFPWPAGAGYVMPMPSARGDGDWVVYVHVAPEMAEVMEAEPTVFQALENSYVFG >Et_9B_064498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15881969:15883671:1 gene:Et_9B_064498 transcript:Et_9B_064498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATMAPQTRRSSGQAVAADAAQGPATDLAMNEGQEHVAAEPIPGGRQQQRRGRGVTINEKLAKLRARGTRLEIHFASQFGKVCGRHASVFKSEVTVCIRQEAPLRLMKWREMDNASISAMWNFLRDKFPEISAEDEQIIMRQVERQYKVWRHRLYKTYCTTGQRPSDVAPEDWQWLIDNLWSNEKFLNKSRQNSQNRAQQEMKSLVGTKSIVQIAYDLTNLHAVAETEQERIASAVVPLAEHFALVLGRKPNQSRGVGVAAVNQGAQERHRLHAQAQIAREHADNAREHAAALEEEVQRLTQANMQLRDDMECQREELASQRRTVEAQNADMER >Et_3B_030779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7266959:7270092:-1 gene:Et_3B_030779 transcript:Et_3B_030779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRGGGGGSASRVGDLDVDLRDRWDWGSIPRLLSSACLFFCSGGCFGCCDKTVKKLGELSKTLITHDQLTVGEPFWSTTTIDVSQSDLKGFPPIETAKWSFEPPGGGGSSYRLPLFESGSRRALWEQTREEWTEIRRLRPKVKEVREPVLSWNAAFQTVLITNKPFPRPVPLHEVVDFLVDMWEEGGLYD >Et_2B_019767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13703422:13705913:-1 gene:Et_2B_019767 transcript:Et_2B_019767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYSNFMDMKPHIDFGFKLLGRDIMAIPGLYQFIQKPAGILHVKVIRAMNLMKADFLGKSDHPEWQEDALKTTSIKMNLNAECNEHFRFIIKDLNTQVLELQILKSMIIKLGIQVILLRILAA >Et_10A_002266.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:6275054:6275752:1 gene:Et_10A_002266 transcript:Et_10A_002266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMRPPPQLATCLLLLLAAAAVAPPAAAVCVPRKPGKPGHPATPSPGKTTPPLPKPKPAPPAPSPPKPAPFLPGADIVKSLCLKTDYPDLCLSSIAKQPPPQLPGGKRLDATGVLRLAMGAVRSAAGAAKKSAAALAADPKTQPLARGPLNDCVESYDDIAYSLDNAEKAMAGGDRDTTGTMLDTVRTDVDTCDQGFEEREELKPVMAKEDAELAKLAGNCLAIASAAGLR >Et_9A_061479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12460589:12464154:1 gene:Et_9A_061479 transcript:Et_9A_061479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGAVVFSCLPAPAAVRSELDPGHPALSAAGDDRISTLPRNLLSNILTRLPIKDAARTTVLSKPWRRIWPSTPLVLDDRHLLPCSERHVVSTVSRILLSHPGPFRSVTLTLGFFDFHREEMEIWPRLLAEKKVENFVWVNRPPPIDLPLPCGLLLCENVRTLCIGFWKLPERLSQAGAPHGQSHMFKSLTELMLCHCLTNSRGLDLLLQHSPRIEKLSFVCSYGNAKKIELQKKEVLGCVILWFSLADEGCTKASPGTTVPSVRVLALRVSFRIESLAMMAAAFLRCFPYVETLHIEAIHAGVAKGKSFCQWVDPIECISNQRGDRNEIAFLRFVAETGQNLQKLVILLSSQCPVSIEAAKAKVDATIGCSNWANAGCELLFCTFEESEADYSFTYHDAADILAIDLFFELPIMLQQPSTGDEGPQLSKKAKKRKEKKKKKNLEQCRHEEENKIKATSPSANEPQNALSAATAATATTTSEPLKASSAAAASCEPHKASAATDVRDYTLYYENADMRFDLIKDLVKDMGLYPHDPIGVIINKSISSPKLCCYDLFGYGEMVQVMAEARNSDLEGAEFSKYHSGVKRGDIVSICGYPARGGSGRITIFARKFVVSPQE >Et_1B_010715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13328193:13329682:1 gene:Et_1B_010715 transcript:Et_1B_010715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLQRRQRVLEHDLNEAPVPRVDDGRPEPRPPPCLRLARDGREDAGEAEAVCQLDRRGGVGERDAVDRAPPAAGDLVLAVAEQQRARDVDPAVPEGALRVGPRRAAREVGPHRPRLLHGPRVAVVRRRAVQRRLPRRRTLAGVVGVEDVGDAEELGLDEEGADHHVVRSGLLVGGDEHGEGLAGVDVQRGVVPLLRKLVALDPEAQRRPGAHVRHAQTVRAPWLESDVGLVGAQAVDEDAVRGRQVAASVQQHPDGTYLGFHVVPVPDEDVEVAAVGPGERHRDGGPVVDADGAVPSRGGVQAQRGAVEEAAHLVLGLEHFCPGGMPRLVPATPSFHELRRICSPFHVDVEGVHHVDVGHVVGGQVQQRPREPPVDGDHPLRHAQRGAHRVGHLPVQEDVPVLRVGAVDAAAAQYHHENGGKGGGAAFRVHGGDGHWPRTRSGGGGAKSRVEWN >Et_7B_054245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18083792:18097828:1 gene:Et_7B_054245 transcript:Et_7B_054245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELLSESLGLNPSYLTDIECNQGQIILSHYYPPCPQPELAIGTSRHSDSGFLTILLQDDIGGLQILHHDRWVDVAPTPDDTMSAKVASVRGLVASGATHVPRIFRVPDPEDETLPYASSVPGQEPPAATVPVIDLGGHRAAVVDVVCWAAAEWGSHASRLPSTKRPHLDAIVTMPATAATGYDRAAELRALDATLAGVRGLVASGVTHVPRIFHVPDPEETLHDAASGGVPGQESLTATVPVIDLGGDRAAVVDAVRRAAAEWGFFQVTGHGVPEEAMAAAVAAVRAFHDADGGEGSDKARLYSREPGKAVKYHCNFDLYESPVANWRDTLYLRMAPDPPADDELPETCRDALFEYAKKVRNLGDKLFELLSESLGLNPSYLTDIECNQGQIILSHYYPPCPQPELAIGTSRHSDSGFLTILLQDDIGGLQILHHDRWVDVAPTPGAFIVNVGDLLQLISNDRFRSVEHRVVAKNRGPRVSIACFFSTHFNPASTRMYGPIKELLSEQNPPLYRETLVRDYLAQYYNIGLDGERKLLFLIFGYEL >Et_10B_003142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1772176:1779097:-1 gene:Et_10B_003142 transcript:Et_10B_003142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQRRRKSDKASAVDAPAARVYELPDDILLRLDSPLWLIRAASACKRWRRAIVGADGGAAFLRLAQSLHPPTVVGRYNLTIGGAQVLFAHSSPPPRPGRPGLGLGLSVRNCFSLDFLPITDWSNCWVADSHGGLVLVLLKRNHLAPPSLLVCDPLTRQYQGASEPPVETCSYSFADAFLLGGEDGHISMSSFRVLYRFWLYLYTDQKLGVIVLDNASLEFSKVDVPIRAKKYDYGKLSTFRVVHGAGDYSSASPPTSRIVHIYGIDLQVFRRVDVSGKWMLEHNILSLSCYLKKSLQCAAEIVAVGTGFFVLSVWDVSKRRWFFSVDVERMESAVLPDHLNYH >Et_1A_006293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20023716:20025403:1 gene:Et_1A_006293 transcript:Et_1A_006293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVGAICPWEVIRHSSGVPKWKIEKTKVKVVFRLQFHATNIPSTGWDKLFLSFISADTGKVTAKTNKANVRNGSCKWPDPIYEATRLLQDPRTKTYDDKLYKLVVAMVRSSNWSLFLISFDISLHVL >Et_8B_060126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6170381:6170983:1 gene:Et_8B_060126 transcript:Et_8B_060126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTEVRNFNLTEQPDSIQWRFTAQILPLPTMRFKRLKQWWEKMTGPISAEQHRFRCQVLIYTVWNIWKESCRRFYDNRAMTVAQIVALIKQDIQNWRAAWDET >Et_6A_047165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26213980:26220338:1 gene:Et_6A_047165 transcript:Et_6A_047165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDYSNCKMHDLLRQLAHHLWGGEYYCGDPQSLQTKSLSKLRHVAIVGGKDFLISSVVQKEQIGIRTLVIISKGLRVENTIFKRLTKIRVLDLTHSVIHNIPNCIGSLIHLRSLDLNGTDISDLPESIGSLLNLQLLNLSGCEALHCLPLGITRLCNLRHLGLKGTPINQVPTGIGRLKFLNDIGGYPTGGGKENSAKMQDGWNLEELGPLLQLRKLDLIKLERTSYCSSGSLLVDKKHLKKLTLRCNKRRDGPNSEEDGINNIEKIFEMLIPPQNLEDLFIIRFFGRRFPTWLGTTTNLSSVKYLQLVDCKSVLHLPPIGQLPNLKYLKIKGATAVTKIEPSFFGCGVSNPGSAEVVAFPKLEELVIRNMPNWDEWTFVVEEEQTTAIGKEGGEGGGATNPKGEAPPLQMRLLPSLKILELVRCPKLRALPPQLRQAATSLKKLRLRGSRSIKVVEDLPFLSQLLLITGCEGLERVSDLPQVRELRVARCPNLRRVERLDNLQQLFLTEDMEATSSLWVSGLQQQCQQLHDEDLDIYTGKCCKISLQRRPY >Et_9B_065125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21427188:21433015:1 gene:Et_9B_065125 transcript:Et_9B_065125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEVSSAAAAGGGRLRNAFGGVLCAFTLLLIGVLAFSIRLFSVIKYESVIHEFDPYFNFRVTQFLSKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWLLNSLNIPLSVETVCVFTAPIFSANASWSTYLLTKEAKGTGAGLMAAAILAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVVLGTLLAALVPVVGFNAVMTSEHFASFLVFIILHVVALVYYIKGLLTPRLFKVAMTLVITVGLALCFAVIAILVALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLIPAGIISCFLPLSDASSFMVLYLVTAVYFSGVMVRLMLVLAPAACILSGIALSAAFDVLTRSIKFQLSKLFDDGPAASGDSSPESSSAGTVNRNSTKSESRTEKSETAPKEKPSKKNRKKEKEVAESVPVRPKKEKRLLVLPFELSVMGILLLIVLGGFYVVHCVWAAAEAYSAPSIVLTSRSRDGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEADYLRDGNYRVDAQATPTMLNCLMYKLCYYRFVETDGKGYDRVRGYEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPQKNRVRGKLKKLKSNFKTTSTLAAGQKKNPWQ >Et_1A_009017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25539126:25540382:1 gene:Et_1A_009017 transcript:Et_1A_009017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAKRKGNDNCEVIDLDEDLKKLAAIQETTNKRHEKVIETQERLAAAKIEAAKLRKEAAMMEAYKILLSTDTKDMTDEMKAEHSQLLTPPLSTL >Et_3B_030961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9407368:9409577:1 gene:Et_3B_030961 transcript:Et_3B_030961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKHATTLIRSLCARGAIGHARAMFDEMPDRDVVAWTAMLSGYASNGRHREALDLFRRMAAAGVAPNEFTLSSVLTACRGGGGGAAVHRYGASIHAVAVRRGLDHMPYVVNALVDAYASCEEGLMEARKLFDALGGGRTAASWTSMIAGYARWGQESTGLHLFQQMIQDGIELSPFTCSIAIHSCTSAVNLCVGQQLHVLSIKKALQVNLAVANSLVDMYCACASMLDARRLFDEMPERNLVTWNTIIAGSSRRDPLMSLQLFHDMDIKPNFLTLTSITSACADLAALRCGQQVHGSVLRRNYGEDLKISNALVCMYSKCGSISKAKKVFCMMSYKDILLWTSMISGYGMNGCVNEAIELFNSMVQAGVYPDHVVFMGLISACSHAGLVDEGWKIFRSMILEYNMQPNKEIYGCVTNLLARAGRLREAFDLVHTMPFAPDESVWGALLGACKMHKNVELARLAANKIIEINPDGAKTYILLANIYAADRKWGDYALTRRLLRDKGSRKEVGISWIEVMNKMYRFSTADSSSPQVSLADEVLQILVHHMDEGGNDFAVNICVVP >Et_9A_061111.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16427946:16428164:1 gene:Et_9A_061111 transcript:Et_9A_061111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AARGDQRERDRQRAQARRPVAKGRDDGLTPEQRRERDAKALQEKAARKAAQAVGGGADSKGGKGPAKNPGKK >Et_4B_036855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12086074:12086836:1 gene:Et_4B_036855 transcript:Et_4B_036855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RTAYQHWDLQKARHGRRRQAAALDLRQLQGDGARPRGRRGAGEQERMRAAILQRAEVLNQLVSALQAGDVHHLNAARVLRNLCAYSAPQPRELLRAAAKAFPAVLNATMTESDKILEVSVGLMNEICKFFDGDEFGAELRASAIEERAYVERLASILRHFKNPEIMVPRMRRLVVQQLIWLMTSSIGRRPLQVGMKRLLENVADTTSELECYHVFSGSVGISRHREDFSGIVESAIELLAGRGRDAPEG >Et_1B_012770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3516455:3519571:-1 gene:Et_1B_012770 transcript:Et_1B_012770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEAAAPPAPAPDDDGLDERSVALYLPRLLAGVVSGALTGLFALAGAVTGAVTGAVAGRASDSGVLRGAGMGAFAGAVLSIEVLEASRAYWCSNRLGPHGASSMADFIEQLLHARFVQEQSTPSAYTSYRWQVSLSDFGHDDLYDFFGDFSTKGISQESLKTLPHFVVTDQMRDTIGEILSCPICLQDIVAGEIARRLPNCSHTFHQPCVDKWLVDHGSCPSVFTMSLLARTNGKCLLVH >Et_8A_056062.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:15178944:15180263:1 gene:Et_8A_056062 transcript:Et_8A_056062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KIFALVTPPRLCRRRRHPCFPRTLDRSLAFLGRRRMAPLQASTTAEATARSGAHMLEVPEYSRCCTGAYAIHCVASAAFGAGGYDWAVRFFPGGSASAFVSAHLVLVTGRARVRASFNLGLVNRATGLPAFAPEDATAVFDTTVAVGGVPGHASHGRPRLMKRDELEASPDYLGDDDAVAVACVVTVLGGGTVSDAEAAAPPDMARHLGELLRTGDGADVRFYVDGEEFAAHSIILASRSPAFEAELSEEPMSDEAHTHRVTVHGVRPAVFRALLHFIYTDSVPAMENDIDVGETREFFQHLLAASDLYAMERLKAVCEVALVRMLDAEIVDVTFALADRHGCKGLANACVDFIISSHKVDDVGRSTTEGR >Et_1A_007498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35267533:35271502:1 gene:Et_1A_007498 transcript:Et_1A_007498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIMSAFRIVESRCATTIVVLPTEARSSASCTTRSDSVSSALVASSSRRILGDFRIALEIAILCFCPPDIWTPRSPTYFLRHEISSDRKDLNLTISIKASLGMDLCFHSYPCGSWEMKLWALAAFAAATTSSSVASSLPNSMFSLIDVANSAGSWLTSPICERSHLSRSRRMSTPSRVTSPPDGS >Et_4A_034368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32066776:32073089:1 gene:Et_4A_034368 transcript:Et_4A_034368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTTVVQGSPILSSCSSNPWQLFLALVPLLVLFAIRSRKAWLHLPPGPPRLPILGNLHQMGALPHQSLRDLARRHGPVMLLRLGAVPALVVSSPAAAREVLKLHDADCCSRPDTPGARRLSYEHKDVAFAPYSEYWREMRKLFVVELLSARRVQSTCYAREAEVDKLIGRLSSAQGKPVYLEDHIFGLMDGVIGTVALGNIYGTEQFTDKKHFHDVLDEAMSAKASFAAEDYFPNAVGRLVDVLTGAASRREKVFRDLDAFFDVIIDQHLDPARATPGNGPDLIDVTVGLMKERYQLGSISFTRDHIKGLLSNVFTASVDTSSVTMVWAMAELIRKPSLLNKVQQEIRAVVGNKERVQPEDMSKLKYLKMVVKETLRLHPAGPLLLPRETLRHVKICGYDVPAKTRLFVNVWAIGRDPASWDNPDEFDPDRFDGKDVDFNGTHFELLPFGAGRRMCPGMAMGVATMEFTLANLLHCFDWELPEGVRSEDMSMQEAGGLTVHKKVPLQLLASPQQWPQHLAVTTTLILLLLAMVTRSRWRKNICRSPNRGGLHLPPGPRRLPILGNLHQMGSLPHRSLREMARRHGPVMLLRLGTVATVVVSSAEAAREVMKTHDADCCSRPDTPGPRRMSYGHKDVSFAPYNKYWREMRKILAVELLSMRRVKATWYAREAEVDKLISRLTSAGGKPVLLEDHIFGVMDGIIGTVALGSIYGTDQFAHKEHFHDVFDEAMTAKASFTAEDYFPNLAGRLVDRLTGVVSQRERAFKDLDEFYEMVIQQHLESSHATPDNGPDLIDALIGLIKEHQGPLKFTKDHVKGLLSNVFGGAVDTSSVTMVWAMTEMAKNPTVLKKAQEEVRVVVGNKNRVQPEDIPKLRYLKMVVKETLRLHPTVPLLVPRETLRHVKICGFGVPAKTRLFVNAWAIGRDPASWDNPEKFDPDRFEGNDVDFNGTHFEFVPFGAGRRMCPGIAMGVATTEFTLANLLYCFNWELPEGVKKEEISMEEAPGLTVHKKTPLVLVPRIYQWQH >Et_7A_052733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14764813:14766781:1 gene:Et_7A_052733 transcript:Et_7A_052733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEEEGLDLSLSLQRSPSSTPRFQAVFACCYCPRKFRSSQALGGHQNAHKLQRNLARRGARDVASAPPPLPPPAAADQSGNNNKAAAGSESAPPPPPRSTAELIGVDAWGEGRHRRHHLFHDQGGSSGDASSASSGARGGTGVAAEDMIDLQRTKERT >Et_4A_031889.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:26830285:26831801:-1 gene:Et_4A_031889 transcript:Et_4A_031889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRSKVQEMILRRRSRSMNGASAPRSHVSDQPASSSTVPCDNGNSGSGDSKSVTARVLFAASPKLSHSSSLPAGGVFGKNPAPDGEPETAFSMSPTSVLDAASSSCSDVAGKQRRPWREAAVLHGLAGALDCTDEQQERSVLAATSPSLLLRSCSLDRRVEFGVKNKSSWLPLRGEAASTDQPAGEEAEMEPSSEDYTCVICRGPNPRTVHIFGDRVVESSPRPINLPARGEGAFLSL >Et_3A_025799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3328247:3349806:-1 gene:Et_3A_025799 transcript:Et_3A_025799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRLRLALLLLVAAAVDADAAGTVEGSPAPAAGSGDLRGGGLTRDDFPPGFVFGAGTSAYQWEGAVAEDGRTPSVWDTFAHAGHFPGDGDVAADGYHKYKEDVKRMKETGLDAYRFSISWPRLVPNGRGEVNPKGIEYYNNLIDELLNYGIEPHATLFQYDLPQVLEDEYNGWLSPQIIADFTAYADICFKEFGDRVTNWTTLNEPNALALLGYDAGTGPPGRCYEPFGNCSKGNSVYEPYIVAHHFLLAHISAVSLYRRKYQEKQQGLIGMNIFIYDFLPLTNSTEDISATERAQAFYTGWFLDPLYYGDYPSIMKKIVGSKLPKFSRDQSEQLISSIDFLGINYYAIMYVKDDPQAAPTTKRDFLADTSVKTIYMNNSTTQYYMPGYGLQEVLEYLKQSYGNPSVYIHENGYPMSPDILFDDGPRVEYLSEHLKSLLDSVRNGSNTKGYFVWSFMDLYELLGGSRTTYGLYHVDFADKDLKRTPRRSALWYEDFLKGRRDAILGRSSSDSSQRISRSQAPAAAGSGDLRGGGLTRDDFPAGFVFGAGTSAYQWEGAAAEDGRTPSVWDTFAHAGHLPGDGDVAADGYRKYKEDVKLMKETGLDAYRFSISWPRLVPNGRGEVNPKGLEYYNNLINKLLDNGIEPHATLFQYDLSQVLEDEYNGWLSPQIIADFTAYADICFKELGDRVTHWTTLNEPNALALLDDINATERARACYTDWLLDPLYYGDYPTIMKEIAGSKLPKFSREQSERLISSIDFLGVNYYAILHVKDDPQAAPSTKRDFLADTSIKIISMNNSTTQGVLEYLKKSYGNPIIYIHENDVVFDDGPRVEFLSEHLEGLLDSVRNGSNTKGYFVWSLMDVYELLGGSSTTCGLYYVDFADKNLKRSPRRSALWYADFLKERRGAVHARSSGSSQRISSAKPRRSMMSLPPLLLLRLALLFFVVAAKAAGPSAAEGFSRDDFPGEFVFGASTSAYQWEGAAAEDGRTPSIWDTFAHAGHYQGNGDVAADGYHKYKEDVKHMKEAGLDAFRFSISWSRLIPNGRGEVNPKGLEYYNNLINELLDYGIQPHVTIFHYDLPQVLEDEYKGWLSPQIIGDFTAYADVCFREFGDRVTHWTTLNEPNAFALIGYDAGVGPPGRCSKPFGCCSDGNSTAEPYIVGHHLLLAHSSAVSLYKSVYQEKQKGFIGINIYTSGFVPYNNSAEDIAAAKRTQTFYMGWFLDPLYYGDYPILMKTNVGSKLPKFSRDQSKQLINSVDFLGVNYYNLTYVKDNPQDAPSNKRDFLADASALAIITNHTTKIYVPSYGLQEVLEYFKQYYGNLPIYIHENGYPMSQDVAFNDDLRVKYFNEHLRRLLSSVRNGSNVKGYFVWSLVDLYELLGGNQNSYGLYYVDFAADDLKRYPRRSAVWYTDFLKGRRDPASRRSTNASQL >Et_1A_006831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2877326:2878405:1 gene:Et_1A_006831 transcript:Et_1A_006831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGDAEQAIPRPAPATRGGCFSCCWCCAAVCKVVNAKCVSVLLLAVGGFLSALFLLFHLRASGAMPDDPGTLAGKASTITPFVEKKSNSISEIQGGFILLMPYSELASHGGMLEKEIYSEIGVPNSKVLVSMSPYTHKNSTYVTFGILPDPSNSSINPTSMSKLRSSLIQLMLQQLNLSLTPSVFGHPFCSEILGFPGGITVFLPHSNFLPDLVPLPLFNITFDLTIHQIRDFLEEMKIELGSTLQMPDEVHSFLSLECSRITYLEHLFSSKILR >Et_3B_030530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4702377:4705368:-1 gene:Et_3B_030530 transcript:Et_3B_030530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAPARAVGPTRLVYFDDMWALRSDAIVLAVHQCWNANKPPEAEEGGRRAVVLDATVFHPQGGGQPADTGVISAGGVRFLVEDARTKDGVVFHYGRFEGSEGHVFNEGEKVALEVDAERRSLNSRLHSAGHLLDICTRNVGLSHLEPGKCYHFPDGAFVEYKGVIPPDQIQDKKNELEREANKLISEGEKVLVSVFPYDEAANLCGGSLPSYIPKDSTPRIVKFGDHPGCPCGGTHVADFSDINNLKVTNVRVKKGLTKVS >Et_2A_015277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10201972:10206237:-1 gene:Et_2A_015277 transcript:Et_2A_015277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTHSHAHISTAHPMASIETPNHHSRALHWYSLRYPGTEEVVPMVKFSKQFEAQLVPEWKEAFVDYWQLKKDVKKLQSAGDGVASTVVASPSLCQTPTAAHWVHKKLAANGSTDGAVAGEVYQTEVAEAVEFADTEAARTFFERLDQQLNKVNRFYERKEGEFLERGESLRRQLQILVELKAAVTEQQQARRCGGSSADPEDPSVSCSILHGDQSLRGIAEHEQEDEEKHTKDAFATTNDDGGEDQQAVPHGLGYSGRLTKPREEPASKLRTYSGRVVTCQGRSVRINIPVTTPSRTVTAIRELLFEDMLSISKRIGAHGGDGGEKLSITKTKLHQAEKMIRGALVELYKGLGYLRTYRTLNMMAFVKILKKFDKVTAKEVQPIYLKVVERSYFNSSDKAVRLMDDVEELFVRHFTEGDKRKAMKYLKPNQREESHATTFFIGLCTGGFAALFIGYCIMAHIAGMYTQQSDKVYMSTSYPVLSMFSLFFLHLFLYGCNIFMWRKTRINYAFIFEFAPTKELKYRDVFLICATSMTIVVGVMFAHLTLIVRGYSSCAVQAIPGALLLVFLLILVCPFNVIYRSSRYHFLRVIRNIILTPFYKVVMVDFFMADQLCSQVPMLRSLQYLACYYITSSYKTQDYGYCTRVKHFRDLAYAVSFLPYYWRAMQCARRWFDEGDINHIVNLGKYVSAMLAAGTKVAYENNSSAGWLSLVVIVSSIATTYQLYWDFVKDWGLLQFNSKNTWLRNDLILKQKYIYFLSMGLNLVLRLAWLQTVIHPNIGSLDSRVTLFLLAALEVIRRGHWNFYRLENEHLNNAGKFRAVKVVPLPFHEVEDG >Et_5B_044085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19862554:19873152:1 gene:Et_5B_044085 transcript:Et_5B_044085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSVCLSSASATRNGPCMLCVYSSEARAWGTPVSLHLDMDSWFGVTSGSALIGEELYYSTLHGKILKFDFGKHRLSSIDLPEATPNMNDCNSIIPMIMEDGSLGLASTRGSNLHLWSRKVKPDGVVGWVQVKVIELELEKLVPTRSKKKGKLEPRLIGSAHGADVIFMSTDVGIFMFEFKSGQGRKVGERFTSYGDVLVPFISFYTPAMTQPELNHDAVTEILLRLPPDDPACLVRASLVCKPWCRTLTDPAFHHRYREFHRTPPLLGFVHSSFNKDLNRLIPRFVPTTATPPFPNPPPDCYTSLIEDCRHGRVLLLGPKGYFVVWDPITGEWEQVVRSPGIDCMSYAAAVLCATAGCDHRDCHGGPFLVLVLGLGRRLGPVHARVYSSKTRTWGTPVSLDTNTDSWFELEGGALIRDELYYRILEDKILEYDLGKSRLSFIDPPNLEMHDDWSSFVLMLMEDGSLGLVGARGSSLYLWSRKVKQDGVVVGWVQLKVVEVELEKLVPARSEIEGKLEPKLIGSAQGVDVVFMRTDLGVFMIDLKSGKARKVCDNYYGTPRNLIDDATAEILLRLPPDEPAHLVRAALVCRPWRQILTDPGFLRCYRAFHRAPPLLGFFNNFAAVDDVCLPRFFSTLEAASPFPWRAFDCAEWRVLDCRHGRVLFGLFAETVNLVVWDPVTGDHQELPDPASDSGYDYTTAVLCAVRGCDHLNCHGGPFRVVLAGSDHFPWTEVLMRLYSSEAGAWNASAHLAIDAPAVKPSALVGMISTSKFFPTR >Et_1B_013292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7001861:7002715:-1 gene:Et_1B_013292 transcript:Et_1B_013292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGDCQFLVPRPPPLAAHQSYEEHGGSQFFHQLMMVGDHEPAGDAGSAGGGGRERKRRFTEEQVRSLETTFHARRAKLEPKEKAELARELGLQPRQVAIWFQNKRARWRSKQLEQDYAELRAQYDALRERVESLKHEKLALAAQLDELKGRLNERQDQSGSCDVNGGTASEVMDDVKRNSNNNNSVSSQDDAGAVAPAVATSEDSTAAAGYYDDHVAYGGLPDPFCATPELWDTWPLMEWNAVA >Et_9B_064391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14738726:14742124:1 gene:Et_9B_064391 transcript:Et_9B_064391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARPLALLASASAALGAGRSRLAACSGSGLPRAVGVALRGRRSLSAAAGGAVMGKTGVVDADAGMDAVQRRLMFEDECILVDEQDNVIGHDSKYNCHLMEKIESGNALHRAFSVFLFNSKYELLLQQRSSTKVTFPLVWTNTCCSHPLYRESELIEEKCLGVRNAAQRKLFDELGITAEDLPVDQFIPLGRLLYKAPSDGKWGEHELDYLLFMVRDVKMNPNPEEVADVKYMNRDQVKELLRKADAGEDGVKLSPWFRLVVDNFLMQWWDHVEQGTLQEAADMKTIHKL >Et_6A_047841.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2058710:2059285:-1 gene:Et_6A_047841 transcript:Et_6A_047841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGTPLEDAIRAGHAHGRALSEDDVRVIMKSLLVGLKNMHEKGIIHRDLKPSNILIDSNGRHVEGKICDFGLAIYYDQAVATWSRTPRSTYGYMAPEVHKAKSSCTFESDMWSLGAVMYEVITGSPLIKGRDPAGMITCMRSLFGTLSNEASTSLEAADGPQADPKWATHGALIVVNSHRSAWRFSMDY >Et_3B_029614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26542405:26544311:1 gene:Et_3B_029614 transcript:Et_3B_029614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVDRDPGCAARTSHGLIALRQRQGRDKTSHQHPAAARHRRRSAGRRPSMANPRRAIALHIQTQAPPYPTAAALPPQSSLTSSLLHFLKRPASFPFLLSLFVLLTWLSLRFHRPSPPPSINGRPAVVHEPQANLVRFPAELHPTPIAIDGRGWLLNPIAAASEAGLPGQIQPGGLRGNHRHHTCNETFVIWGAKTKFRLENADLDKGYGEAMIAADEVAIVASARSTAHALINMDVRPTFFLGCQDTPINPNSSNTDYKVWKDL >Et_6B_048630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11899576:11902915:-1 gene:Et_6B_048630 transcript:Et_6B_048630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAHSSHSSVSTADEDGDDDDPSTAAAASIASTARLPAPAPQLPPVRAPPASASKVLEQEPEVLPCRAADSPLSPQPSAAGTPRLLGGPGIKVWDPCHVLLPPPPSSQSPHPQQQPPAVEVVVVSHGECASAMRPDLVGGRWPAAALTARGERQARALAVFLRSRGARLAAAYASPLDRARATAALVCRELDFPEEQIQLSDALTEMSQGQWEGCPKSEIYTPGMVNLMESTQPDFSAPSGESLRQVQFRMMQFLNRTILRLPEKVAMGDTLSQQNEPKGFSRQSSTNSVQDGPPWDVLYRLNRHSLQRKKSGKSRLQFVTSVDNETEDDFSPREINHRHVLHEGSLGSSVTTTIAIFSHATPIRCLIAGLLDCNPTTSQRLCIDDSSVTVLEHSLRTGWQIKRMNDTAHLRLL >Et_2A_016242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22529374:22534931:1 gene:Et_2A_016242 transcript:Et_2A_016242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIQSFSKHAVLLAVLCGKHAEKRTSAARSGPEAKRLRPSYPFPELSSAGRLEVHTLFNPTPEQFLEAQRVVQPNFLYVQGQQQEDEKEIGSLVWGDADVSDPQAFSSLISPPFPTIVYLEVPIGEKLAQAVHSKGIPYVIYWRNSFSSYAASHFRHALMSVIQSSVSHTWDAFQLAHASFRLYCVKNNHVQSVKLGPRLLGDAPKINISPPENEMAEEEGSSEVFPAVKIYDEEINMKFLLCGVPCTLDACLLGSLEDGLNALLNIEIRGCKLQNRVSASPPPLEAASLPRGMVTMRCDISTCSSSHVSLLVSGSAQTCFDDHLLVSHIQNEIIEKSQLVRALPNSEDKLSSSEPLTSMSTACGASTFEVWMTLPKWAAQVLKHLAPEMSYRSLVALGIGCINGTPVASFERQDADRLLFFCTSQRKDFATENGPYFHLPRWSASLTKDRTKVASESKPNGVLEDKKRLMEGPSSFPSRSKLKPATMRPIPHSRKQQMHPFMGFLEATVQDASQIKPNLPAAPPAKHSLAPAVPTTHRKSTSGPSHTQSVIQLNPLPMKKHGCDRLPIQVCSEEDFLKDVMQFLIQRGHNRLVPHGGLAEFPDAILNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMRNHTATNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPHCSLANYKKKPPPPKVANGFANAASVSRNG >Et_8A_057281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23127900:23129956:1 gene:Et_8A_057281 transcript:Et_8A_057281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPRAMPAILLLLLLVGLAVGSPPPEPVSCTRGTSDCTVTNVYGSFPDRTICRAANATFPRTEQELVAAVAEAVAAKRKVKVATKHSHSFPKLACPGGRDGTIISTERLNRTVSVDAGKRLLTVESGIVLRDLIRVAADAGLALPHSPYWYGITIGGLLATGAHGSSLWGKGSAVHEYVVGLRIVTPAPASQGFAVVRELGVGDPELNAAKVSLGVLGVISQVTLELQPMFKRSVKFVTRDDTDMAQKLSTWGTLHEFGDVAWLPRQGKAIYREDDRVDVSTPGNGLNDYLGFRASPTLGLLTARAGEEHLEKDGGDVARCLSARLPAALFELQAYGFTNDGAFFTGYPVVGFQHRIQASGTCIASAEDNLLSACTWDPRIRGPFFYNSGFSVALSKVPAFVADLQRLRDLNPRAFCGLDAKLGVLMRYVKASSAYLGKAEDSLDFDVTYYRSYTEGEPRAHADVVDELEQMALRKYGALPHWGKNRNFAFDGVVAKYPNAGEFLKVKDKYDPDGIFSSEWSDQLLGIRGGTTNVVGKGCAIEGLCVCSDDSHCAPEKGLFCRPGKVYTEARVCAPGGSATTTRLNDEL >Et_3B_030570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:498245:499137:-1 gene:Et_3B_030570 transcript:Et_3B_030570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLWLPPLPTRSSLFLLPNNRSSSAGPPLCNKQAGRGNLLLCSSSGVSSSSSVVTKEQEEAATAPSEEVSEPALLTFKDDPNFRGCKGCGRDEVERGCNGAGRIQGGIAAVPGFGWWPIKAYRPCPGFVASGGRYRRQGQSMDDVASGRGKRVTSGKKKR >Et_2A_016275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22878778:22882679:-1 gene:Et_2A_016275 transcript:Et_2A_016275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EYFCLFLQILGRFNRARAARLTLPHFTCQTPLFMPVGTQGTIKGLTTDQLEEIGCQIILGNTYHLELRPGSRLIDDLGGLHKFMNWKRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIHIQNNIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKKPDVQNLFGIVQGGLDPVLRDICVRGLVERNLPGYAIGGLSGGEDKESFWRVVAQCTAGLPDNKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKQNAMATDERPIDPTCSCMVCKKYTRAYLHCLVTKDAMGSQLLSYHNLSFMLRLSRDLHTSIIEGRFPEFVRGFLRTQFPKGDVPKWVCNAMEVAGIDISECCASTKCMVPSLDPPLYVPVQANEAVPN >Et_4B_038347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28328210:28331167:-1 gene:Et_4B_038347 transcript:Et_4B_038347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLACFCCAGGAAGRRRHVAPAALPSDPAYDEGLGHSFCYVRPDKGLMGVPFSADDLVADAKAAAAAEEATTFRAISGAALSANVSTPLSTSVLLLLPYESTASSAAAMSSGFESSESFAAVPLQPVPRFPSGPICPPAGGGFLSGPIERGFLSGPLDAALMSGPLPGAATSGRMGGAVPALRRSLSHGGRRLRNFTRALLARAEKFQDSMDLGSPDAAVAACGGDAAGLQWAQGKAGEDRVHIVVSEERGWVFVGIYDGFNGPDATDFLVSNLYAAVHTELRGLLWDQQEQEEEQDNRSDQPTSTTASDHHQDQSARRRRTRRSRPPRGSDDDQRRWKFEWERDCSNLKPPTQRPPRSTSENDHIAVLKALARALRKTEEAYLDVADKMVGEFPELALMGSCVLAMLMKGEDMYLMNVGDSRAVLGTMDSADLEQLSAGSFDGLMGDCPPCLSAVQLTSDHSTSVPEVETFIATTPEGDPAQHLVEEVLFRAANKAGMDFHELIEIPQGDRRRYHDDVSVIVISLEGRIWRSCV >Et_6A_046126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26882423:26882788:-1 gene:Et_6A_046126 transcript:Et_6A_046126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEFQCRTCGRRFATFQALGGHRTSHKRPRARPGKGAAAAKDVHRCNTCGAVFPTGQALGGHMRRHRAAIFHVATTALKTMPTMTTSGLSEDSDDAEELLPTRTLFHFI >Et_1B_009780.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26425220:26425516:1 gene:Et_1B_009780 transcript:Et_1B_009780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEVSVFRALGPLMYLLYLGSLAYSHRHPCCACRLVQHSAVGFDPLTSDGRHGDSVSGWQASAHAPPALIAATTTSATTSAAIFFAITTNFSSLLRS >Et_3A_025203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27937419:27938454:1 gene:Et_3A_025203 transcript:Et_3A_025203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDTDRSAGEEAMRQRRSAMEAEAMCWVVGNIRDAPGSENDPSVIDLARFAISEHNNNNSNAPLEFEKLVKVRRQAVAGTSYYLTIEAKDGEAKKLYEAKVYDRWDSKRRLMDFWPAEDGDSDQEDPRSRRGNYLLCSFLVKSLRRVL >Et_10A_001962.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:1556264:1556680:-1 gene:Et_10A_001962 transcript:Et_10A_001962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRSAAPATATAAASSARRREEDVDVEAGLDEAALKALPKVVHGEEPGAGKKTTATKTASCAVCLGEYTGGDVLRVLPECAHAFHQLCVDRYGGSGCARRAPSAARRWRCPAPSGRRSPSQPSPDYYEFAGNSQSAV >Et_2A_015051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27750343:27751661:-1 gene:Et_2A_015051 transcript:Et_2A_015051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGAAAVEAAASEPPPPAPAPVPAPAVAADASGQRTLPTPFLTKTYQLVEDPSVDDVISWNEDGSTFVVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDCFRRGEKRLLCDIHRRKVAPAPAAGLAAAAAAAIPMALPVTIPGSPGLSSDEQVLSSNSGSAEDHHHAPSGSGGGASASASGDTGEENERLRRENSRLTRELSQMKKLCNNILLLMSKYAASQQLDASAALSSVVNNSGESSEAAPSPPPLPPAILELMPSCPALASAAADLVADAEPEAAARLFGVSIGQKRSRDDDGGGSEVKPAVSDPRPGSKEPSPSPSPDQNHWPIYRPTPVYHSTRTCNGRDQGPGSDQDGSSSR >Et_10B_003728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5309521:5316493:1 gene:Et_10B_003728 transcript:Et_10B_003728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSKVVEAASVLFSLTIAVSVPLFDSQVVLPRRLYPAPLVSVHRWFAAEFDHYLVADPPPFFRGLVWLALVFLWPVCVANLYGFVARRRRLVAATSLMAGVYLLTYLSAMFGEMLGSGRATPKLVRFYIPFALLAVAMVLRGLCSRSELPDTAVSSVASSAHKKTALDAASVLFSLIIAMSAPLFDSQVVLPRPLYPALLVSVHRWFAAEFDHYLVADPPPFFRGLVWLAVTFLWPVCVANLYGVVTRRCRLVAATSLMAGVYLLTYLSAMFGEMLGSGRATPKLIKFYVPFALLAVALVSRGLRSCSQRQPDTAVSSVASSAQKKTV >Et_1A_004859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12399304:12399759:-1 gene:Et_1A_004859 transcript:Et_1A_004859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQRQLSMTKLSDAARDLLVLMARTKCRELWERLVTHGGQRARPADDYFRWNYEFSCTTTPVNAPAVKGRRRRRLPPCVGGRQAREMMLASVVPRDEAWSPESERSPGPGAAGHEIDCLAEEFINRFREQLRMQGVA >Et_7B_053972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13924207:13928996:1 gene:Et_7B_053972 transcript:Et_7B_053972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSRHKVTITLGRSGQVVKRRAISDVDNDYEVPISGKKRSVRDRLGSNPVDSDFIESQQRNKRRQTETNRSHGDNDRQVGKGDLRLKLMRKGLLQRNHGGVEQNGGDLREKLSRNPKNLPRYDPRGHVQESRARYDMRDKVPELRPRYSSREDVPDARPSAVAVSRVPSARSVDDLIQLDSSRKPYSSWVPDGSRHRSPEMPTRVRSDASPPRAYDHVRPIPSLRDVDPSRAPSRTTRDAPDTLRSQPYVGKSTISIDTVPRSNGIASPSAAPPTASVTTEAQITVNGLLNSLGLEKYAVLFQAEEVIRIDFFHVDMAALRQMGENDLKDMGVPMGPRKKILLALGPNLKQRQR >Et_2B_019216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17748703:17749437:-1 gene:Et_2B_019216 transcript:Et_2B_019216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTGRRPAGVDLRRPKGYPAPAPGGDPCPRCESRDTKFCYYNNYNTSQPRHFCKSCRRYWTKGGSLRNVPVGGGTRKSSSSSSSSSPGAAPKSPKRSSKRRRVAPATDPAAPRSTDDDASSNADDVADNRAPPTTPAAPASAEAAQTVAAEDHRAATPAAEGGLIKSTSASVALGLGVSTDVGGVGGEKQEMQLPDPGQFEWPSSCDLAGSFWGSTTGVFADTDPALFLNLP >Et_5A_042556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20182772:20185839:1 gene:Et_5A_042556 transcript:Et_5A_042556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEVVFVSDDQDEEFFNAYFAKMPWLVIPFFIPEGCENLVVRTLCAGATGLGMLIVGRVCLGAGVGFGNQAAPLFLSEIAPAHIRGALNILFQLNVTVGILRRQLLRLGRAPARLALRARRAAAPAAVLFLGSLAITETPTSLVERGQPERGRATLERIRGTRDVGDEFEEIRDACARAAALREEERPYRRLARPESRPPLVIAVAMQVLQQFTGINAIMFYAPVLFQTMGFESDASLLSAMVTGGVNVLATGVSIALVDRVGRRKLLLEACAQMLVAQAAVGGIMVTHVGGIMVTHVGSSSWACSCSRCRRRKACPSTIWSTLSGDATGSGRGASPTPTRQRSTTASLPCSTSRTYFCA >Et_9A_062703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3417745:3422625:-1 gene:Et_9A_062703 transcript:Et_9A_062703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENGLGPDDLTVACAQAAKRARLVEEASSSASPAAPARARPDSAAPGDLTVDDTDLLDCGLCCLPLKPPIFQCKGGRGVFEVP >Et_4B_038563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29883188:29884790:-1 gene:Et_4B_038563 transcript:Et_4B_038563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGELDVSDPVDGINLPVEVLHGFDGVRIRNLGCCVNPQAACRKRIAISQSRRVGRRIQFVESRGGGPVIGDGQERPSMRLMPCTSSDAQGWCIVRQGFEYRPLLLLSRAPPRCMLPEGRSVGLVGWGSPPRLLLPPPPEKRERSWLRPGPERPRLTTDRLLSFTLPFRISMGRPHQVVVVVSSGKGPEQVPVPGRPEHRDGVPAAGLQASSSSAATTSAPPSTAHLRLLRRVQAPLQRPALAQLHRLLQLPRRRPRLLRPRRGISPHLLRNLDQIRALPRPTDQRQRGWAANEERGVSCTDVLADLDLVCRAHQFFADRRLVTVFSAPNYCGEFDNAAAIMAIDANLQWHVGFLNLLLSTCHDISRKRKGASFSVPLRCVEAERKTVRRHIRFVCFLPLFC >Et_2A_015456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13181089:13182025:-1 gene:Et_2A_015456 transcript:Et_2A_015456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQWPSLQPVRHDLHRKMPGGKATGGRAILTEHEWWDLRAEALPQYIQKTQLNKLRVDCSPSLLKRISLKDDSEWGKISHN >Et_4A_035935.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8852948:8853136:1 gene:Et_4A_035935 transcript:Et_4A_035935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAMELQQQQQAKRTLPRRGQVKARIFASLFRCFFPKTTPRKGESGTKGKEAGGSRVNPGG >Et_5A_042240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7949041:7954232:-1 gene:Et_5A_042240 transcript:Et_5A_042240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPQPPSLPDELLEEILLRIACPADLARTSAACVAFCRLIANPDFLRRYRCRHLPPRKTISEDEKFRRRPTPTLRRPAPSPTSPSTSSLRHWSTGSSATSVTAASSSKASNMMMMDLASMRTSRFGILHRAHGKICFCLRYLTTKISNILKLPLTHVHMKTMTHYSE >Et_6B_049271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2588641:2593011:1 gene:Et_6B_049271 transcript:Et_6B_049271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFIGFVLPFVASLMFTKRKSQKKRGVPVDVGGEPGHAIRNHRFQQPVETHWEGIYTLAELFEQSCKQFAYMPLLGTRKLISRESEVAADGRSFEKFHLGPYEWKSYADSFKTACNFSSGLLQIGHQRNECVAIFADTQAEWQIALQTEVTTVVCGRKELKKLIDISGQLDTVKHVIYINEEGVSTEVSLAAKCTSWTVKSFEELERIGLERPVEASLPLPSDTAVIMYTSGSTGMPKGVMMSHRNVLATVSAVMTIVPALGNKDVYLAYLPLAHILELAAEAIITAVGASIGYGSPLTLTDTSNKIKKGTQGDASALKPTLMTAVPAILDRVRDGVRKNVDAKGGVAKKLFDIAYSRRLAAVNGSWLGAWGLEKHLWDMLVFQKVRAILGGRIRFILSGGAPLSGDTQRFINICLGAPISQGYGLTETCAGGTFSEYDDTSVGRVGAPLPCSYIKLIDWAEGGYLTTDLPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDERGMRWFYSGDIGRLHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSSSPYVDNIMIHADPFHSYCVALVVAAHSELKDWASKQGITYAGFSDLCQKQETVKEVLQSLAKAAKQVRLEKFEIPAKIKLIPEPWTPESGLVTAALKLKREVIKKEYEKDLAQLYS >Et_9A_061424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11543607:11545939:-1 gene:Et_9A_061424 transcript:Et_9A_061424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPKENQRHVDEVSAPGDRTLTTTSHTVVTTTRRLSRSRTVTFPGNTVRAVSGTFPAPAPTRSPYSCGARIARRSASTVGRTVRASAPPAGRSASAASAPWLYTSARPASCAKALELGPVPARGRGLGPRRAHAHHDVAHRGDHRAAPEDAEDRDFPRHHRPHGERHVPGAGADEVAVQLRRERRQSVGEHGEPDGHGLGAGGGDAAGGEVGVGGERAAVVHLGAAGAVRERGDGARVADGEVGDERGVERRRWARGGGERGEAEALERRRHGARAERRDDQRGGGDGDEEERGEGDDGADAAAAAAGRRGVAVVLAERVGHDEGAFLVLGSEVLELLVVCEAPRVTDHSARLGVLIGEVTGFK >Et_4A_035742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4984937:4988727:1 gene:Et_4A_035742 transcript:Et_4A_035742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEALFMSNSTPAAPPRDAGRKPAVPPFRQEERVLDPKKAQNIAILLRALNVTREEVSDALLDGNAECLGSELLETLVKMAPTKEEELKLRDYNGDLSKLGSAERFLKAVLDIPFAFKRVDAMLYRANFETEINYLRKSFDTLEAACEDLRGSRLFMKLLEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEDAKSEKDSAMINSSKDEQLRKQGLKLVSGLSSELGNVKKAAMMDFDVLHGYVNKLETGLEKIKSVLQLERQCTQGQKFFTTMQNFLKEAEKEIEQVRGEEKRALVRVKDITEYFHGDTSKEEAHPLRIFMVVRDFLSTLDHVCKEVGRMQQDRTVIGSARSFRISATTSLPVMSLYGQRREDTSDDDSSFS >Et_1B_012768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3579553:3583902:1 gene:Et_1B_012768 transcript:Et_1B_012768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSSASKRNKRKRGRKSKASAASPERSSPSPPLASDPVPAVGGRRGRKSRRQEAPADVDASRPVSPPLRGELKPVANGGDAIAVVEAGPASWEEVVRVVPCMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIISGRRVLTNAHSVEHHTQVKLKKRGSDTKYLATVLAIGTECDIALLTVSDDEFWEGVSPVEFGTLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFSDRGKCVGIAFQSLKHEDAENIGYVIPTPVIKHFIQDYDKSGEYTGFPILGIEWQKMENPDLRKAMGMKPDQKGVRVRRVEPTAPESGCLQPSDIILSFDGVDIANDGTVPFRHGERIGFSYLVSQKYTGEKALVKVLRNSKIHEFKIRLATHKRLVAAHVKGRPPSYYIVAGFVFAAVSVPYLRSEYGKDYEYDAPVKLLVKHLHAMAESPDEQLVVVSQVLVADINIGYEDIVNTQVLSFNGQPVKNLKNLVTMVENCKDEFLKFDLEYDQIVVLETKTAKAATQDILTTHCIPSAMSDDLKS >Et_6B_049228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2000718:2003976:-1 gene:Et_6B_049228 transcript:Et_6B_049228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKDCGNHGDDDIKDTCRRLLCLLFGLAIIVGIIALIVYLVLRPTHPRFYLQDATLKQLDLANVSGVLSTALQVTIASRNPNSRVGVHYDRLDVYASYKYQQVTLGASLPPVYQGHGDVDVWSPVLTGPNVPFAPYLADALGKDVANGYLIMEVKIDGRVRWKVGSWTSGHYHIFVKCPAYFITSGGNGVQGANGLKFQTATYCHVEIIIDEITQTTIKFG >Et_1B_010639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12336708:12341109:-1 gene:Et_1B_010639 transcript:Et_1B_010639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGRSTPDGGGGGEGSQRPESPVILADGNGESSANATMDEMLRVLQRQINEETARSSSSAPSSPSRFCLSTPSSLARGVAKRLLGKARSSSATSSPTRRSPATSSRGEQASSDIVGTSGSAHEAPARTGGVQESRPEQPARTGGVQESRPEQPARTGSEQEARPEQHEAAPTRSDFGAMMRSAVDDGRDVQPVFNEMQQAMTGLMELTLRRSAESAGAASGADPLGSVLMDDPVILPSGHSVDQSFHQWCCSQTDICPVTDKTLSHSFTSPNNLLRDMIAAWRLDHSIRLSSGGVETVSIPMAPSAEQIENILQKFSGHSALQEQALHEIQLLTKITKGEQPCLQKWSGLIQVLIDLQKNWKSTWTKNLEEWRLTTILNLSVHRPNKEILAEAPQLPDAIKKIADKLHRHGCSASPLEKLASIVASLSEFEMFRRRILDIGGMEILRDLLKIEDVVVRKEAVTAILGLCTDQEGEAQAQSCYVTDLLVECLTISDEVLLLLDRLPKDPYGVDKLSDKAVELVNIIMANQENVTPVATYSAISLVHTIVQQDASKMEAVKNLEDFMKRLQELSSGSLPMQTMLQLEKIINILLEEFPALASQYYYWKSLRIYILLGVIIRDKLHSWEGRPRDVDLEVDTSHHGRMRFVMAVHMVAICTAYAPRRASGSGGGSPASANPPSHWRASDAASAAICFPAV >Et_3B_029964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29427721:29429610:-1 gene:Et_3B_029964 transcript:Et_3B_029964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLLRVTRYPLLPSTPRPAPRLVPQLLARHAPSPLRFLSLSTSSVSTSSDGPSDGGAGRKGEEEEAGKEPADYLGMNDDELMKQCEMGTFKVSGPGGQHRNKRESAVRLRHRPTGIIAQAVEDRSQQMNRASALARLRTLIALKVRKPINLEGYTPPVELLQILPLKSTIRGKDVGPQIGPKNSKFSPGMQALLDLLYAVEGSVSDAAKILGLSTGALSRLILSDDSLRAAANELRASKMPNIIPHIFLCCLFATLIEVIVITAYPSLQGLKPL >Et_10A_000753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16569316:16572336:1 gene:Et_10A_000753 transcript:Et_10A_000753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEKVALPLSMVVIQLITVGSALLSKLALNGGTSPFIIIVYRNLIAAVVVAPLAVIYERQMWQQINLAVWGWIFAKAIFGDALAMGLYFYGLQETNASYSAGFQNLIPIATFIIAVALRVEKMALGTWLGKMKLIGALLCVGGTMVLSLSKGRLLHPWRTNLLNYSDAQEAESPAGSHHNLVTGTLFLCGSSLSYAIFFIVQEKLVTVFPSRYLMPMLTSILGSMQAFVVAIFLSHDREEWVLKRDLKLLTVVYSGVFHTGLAFVLLSWVIRRRGPVYPTIFNPFSLILTTIIDSVLLGTNIYLGSVLGALLIVVGLYANLWGKDQELKVAAAAAQSAATATAAAQSATAATQSAAAAAETAPAAAQSAAAAAAAAETATAAAAIAQSAAAAAQSAAAAAAQSAAAAAAAAQSAAAAAAAAQSAAQSAADGETAQSEGAAADAAQDGETAQSKDKVRQDLEETTQSEGAAAQDGVTTQSEGEARKDHEGSRDEDTNN >Et_7A_050438.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15364120:15364287:1 gene:Et_7A_050438 transcript:Et_7A_050438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGYVTAAEGSALDYGEGITFSVVVTCLMAASCGLIYGFDSGVSGAYSIDTDC >Et_2A_015783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17829372:17832485:-1 gene:Et_2A_015783 transcript:Et_2A_015783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLARLSGVLAAVNVHSSRERLHRVFRQEIDTLLHVRHPHIVRLLAFCDRQGVCSALPALLCSVFSSGWHLPEKLVLNKHAMALVGILKPEKAIPDAILKQAKGLAMVARRADGSWSPPISTCDMAYGAPSRLHAKPNIAPACKS >Et_10B_003843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6676346:6685246:1 gene:Et_10B_003843 transcript:Et_10B_003843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDAEAPLLAAGSKPDDAAPPPKRNKYPFFCAIEVLSGVINIYSLVGALLAGWTSDLIGRRLTIVLANAFFLAGPLAMAVAGGYAVLMAGRFVAGVGVGYALVIAPVYAAEIAPASSRGLLTSLPEIFINTGVMLSYVSNLAFSGLPTHLSWRVMFAAGAAPTVFLAAGVLTMPESPRWLAMKGRLGEAKAVLDKTSDTGAEAEQRLLEIEEVVNGAGGEDRGGAWKEVATKAGVRRVLAIVLTLQFFQQASGIDSVVLYGPRVLAMAGVTSNTLLLGLNVLFGVAKAGSILIAMALADRVGRRPLLLVSTGGMTASLLVLGSLFAAFAGARDDAAVAAVSVAAVVAFVVAFSVGFGPLAWVYSSEILPLRLRGQGAGLGTAVNRVMSGVVTMTFISLYQAVTMAGAFYIYAAVAAAAFVFIYACLPETRGRSLEDMEELFHTKRPSSPPAPSPMARLLWPNATNVGVMSGAQIFLAEDLGLSDAQIEVLSGVINVYSLVGALLAGWTSDRLGRRLTIVLANVFFLVGALAMAVAGGFAVLMAGRFVAGIGAGYALVIAPVYVAEIAPASSRGFLMSIPEILINCGLMLGYVSNFVFSGLPAHL >Et_8A_058261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3862755:3866327:1 gene:Et_8A_058261 transcript:Et_8A_058261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAQLARSSYGDGAALLQCGRKPFRLRAAAAAGGRSSAREGRRLSADEAVDWQSRRGVEPEYIVASVLLRNLHLLMEINIATAAYMGRTPTRRVLFLAVTALFLVPPASACSSRCGNISIAYPFGIEPGCYKDGFNLTCNRTQHPPKLFLGDGTVEVLEVSIPNGTVQINYTGIATDSNSSRTWGGLRAGGPFFLAPHKNKLLVFSCNSTQFTLMGEDNSTISACSTFCPDMGSRKMSILQLQLLVEDCAGIGCCDAAILKGYTSYNIKLQPPDSPGLTLGLSVGGGSILMLLGLGLPFIASKIKLYKVQKIKEKFFKQNHGLLLQQLISQKADIGERMLITLAELEKATNNFDKTREIGGGGHGVVYKGILDLHVVAIKKSKIAVQKEIDEFINEVAILSQINHRNVVKLLGCCLEAEVPLLVYEFISNGTLYRHLHVEGPVSLSWEDRIRIALEVATALAYLHSATTVPVFHRDIKSSNILLDDNLTAKVSDFGASRYIPIDQEVATTAVQRTFGYLDPMYYYTGRLTDKSDVFSFGVLLVELVTRKKPFVYRSDDDDSLVSHFASLLTEGKLAEIIDPQVMEEDSREVQEVAVLAASCTKLGGEDRPTMREVEMTLENLQARKKPSPCNASSNRYDEDQIAAHYKAVEDLLSENALSVEQSTAEASMMHTTGDEVLLEARLPR >Et_2B_022915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7973033:7976869:-1 gene:Et_2B_022915 transcript:Et_2B_022915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEEWAGKARCGSDDLLHLLANNGLDVRACLAVVAFDQLSRCRRAACEKQECHRKEQLMT >Et_8B_058600.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5857596:5857872:1 gene:Et_8B_058600 transcript:Et_8B_058600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHAKKLLMVLLCLCVLAHLQKVHSLKSIPSLLRSQEDKVPKKKPRMLAERNVASLHN >Et_7B_053896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13055731:13057287:-1 gene:Et_7B_053896 transcript:Et_7B_053896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTCRHGVDQPRNPWFDGPEYITQCPIQPGAIFTYRIIFSEEEGTLWWHAHSDFDRVTVHGAIVIHPMRGAHYPYKKPHKEIPVILGEWWNEDVNHLLEEAKRTGGDFKPPSEANTINGQPGDLFPCSKKDTFKMDVEHGKTYLLRIINAGLTNDMFFGVAGHRLTVVGTDGRYLKPFTVESIMIAAGQTMNALLVADRAMDGSCNSRYYMAARMFVSNTVIPFNNSTATAIVEYSDAPPFAGPPDLPNLPAVEDIGAATAYTAQLRSLVTAEHPVDVPTHVDEHMLVTIAINVVPCPHNQKCEGPNNQSLAASLNNVSFANPTVDVLDAYYRSMPEVFKADFPNKPPVLFNFTNENVSPAFWVTKKGTKVKVLEYSTVVEVVFQDTGILGTESHPIHLHGFSFYVVGRGFGNFDGNKDPASYNLVDPPYQNTVSVPKAGWAAIRFRAANPGVWLMHCHFDRHAVWGMDTVFIVKDGKDPRLK >Et_1B_011849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26554187:26558385:1 gene:Et_1B_011849 transcript:Et_1B_011849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIQSVKARQIFDSRGNPTVEVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVNNVNSIIGPALIGKDPTAQVEIDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLAVCKAGASIKKIPLYQHIANLAGNKQLVLPVPAFNEFMILPTGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWVHYAKMTEEIGEQVQIVGDDLLVTNPTRVAKAIKEKSCNALLLKVNQIGSVTESIEAVKMSKHAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGAAAVYAGAKFRAPVEPY >Et_2B_020911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24761415:24763644:-1 gene:Et_2B_020911 transcript:Et_2B_020911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFVDSAAMERERESDKCLDPQLWHACAGGMVQMPPVHSKVYYFPQGHAEHAQGPVELPAGRVPALVLCRVAGVRYMADPDTDEVFAKIRLAPVRPNEPGHAGDADDGIGAAAAAAQEDKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFMRTENGDLCVGIRRAKKGGIGGPEFLHHHHPPPGGNYGGFSMFLRGDEDGNKMMATRGKVRVRVRPEEVVEAANLAVSGQPFEVVYYPRASTPEFCVKAGAVRAAMRTQWCAGMRFKMAFETEDSSRISWFMGTVSGVQVADPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLAPFSPPRKKLCVPWEVPLDGQFPTPMFHGSPLGRGVGPMCYFPDGTPAGIQGARHAQFGTSLSDLHLNKLQSSLSHHGLHQFDHGMQPRIAAGLIIGHPAPRDDISCLLTIGTPQSKKPAVKKAAPQQLMLFGKPILTEQQISLGDALATAKKSPSDSNAEKTVSNSDISSPGSNQEATTENLSSGGDNRALDLGLETGHCKVFMQSEDVGRTLDLSIFGSYEELYQKLADMFGIEKAELTSHVFYRDTSGALKHTGDKPFRFVCFRTTFVHSSVLKFCFRNQLNIVFHIIFPYRSMIALF >Et_2A_015876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18620277:18623762:-1 gene:Et_2A_015876 transcript:Et_2A_015876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFVVTTGRWSLQGKTALVTGGSRGIGRAVVEELMALGAAVHTCSCDEVELRERLADWEARGCRVTGSVCDVSVREQRERLMQEVAGRFGDRLNILVNNAGIAIRKSAMEHSVDEYSLLMATNLDPAYHLSLLAHPLLKASGSGSIVFISSIAGIVALFSGPIYGMTKAALNQLSKNLACEWAKDNIRTNAVAPGYISTSLTEGIFSDKGLKDSIMRRTPIRRAGEPEEIASVVAFLCMPGSNYITGQTIAVDGGMTINGCYPTPKIN >Et_7A_051898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:26154196:26164045:-1 gene:Et_7A_051898 transcript:Et_7A_051898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRVGDSPTPSDARRSGQDRMESDRPLPLDLCEAEKGRAGLARINCARKWGRPQSMNRGQRDLDTTRSELTGEQLKCYHFFRNMVTCNPVVTPELFSTSSSLPGEEVAEKRGTRKTNQRKGKRGMGGSNSTGRGAKSPRAMTPVEEVDIAAVRYRSPTLQAPHLTGFSLRAFVWLMESPLLGPLVTSLLKSQNNMPQMLQQTVIPERPMYYPEYPPQEPEPGVVLVDEDRHPVERVHEALQCLPPYDPSVHWSAEEKAPFLYWKIRDFAHAYRSGITNPSAVAEHVISGVQEWNNKKPPMPMLIHFNEDDLRKQAEASTRRFEQGNPISVLDGIFVAIKDDIDCLPYPSKGATSFFDQIRTVEKDAVCVARLRKCGVIFIGKANMHELGLGVTGNNPNYGTARNPHSIDRYTGGSSSGPAALVSSGLCSVAIGTDGGGSVRIPSSLCGIVGFKTTYGRTDMTGVLCDAGTVEVASPLAASVEDAMLVYSAIAGSRHIEKLTLRPSPLYVPNLVSPDSSNILGSLKIGKYTEWFHDVSDPEISNTCEDALNLLCSSFGCQIEDIILPELEEMRTAHIISIGSESFCDLNPHYKAGRRTEFTLDTRTSLALFGSFTATDYVASQCIRRRVMYYHMEAFKKVDFIATPTTGITAPKIPPSALKSGESDYVVSAYLMRFIIAGNLLGLPAITVPIGHDKQGLPIGLQLIGRPWGEASLLRVASAVEELCLKKRNRPSAFYDTLKA >Et_7A_052617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9907833:9910471:1 gene:Et_7A_052617 transcript:Et_7A_052617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMGVAQGGKAGNKVAVDVAAWVFNIVTSVGIIMVNKALMATHGFGFGVAVCTVTDVSVNTKGLIAAAVAVCSTAFQQHYIHYLQKKYSLSAFNLLGHTAPAQAASLLILGPFVDFWLTNNRVDTYNYNNMVMFLVALSCVIAIGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFILFGTEGLNVHVAFGMLLAIVGMIWYQYASSRPGGKERQNYHEPVEEDIEQGTLSSQSKPGGKF >Et_6A_047483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6296727:6316206:-1 gene:Et_6A_047483 transcript:Et_6A_047483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLMLSSTALLQLEIDDSCLCPEEIWSPSLRHLKIIQSSFCLTARTRIRTPSLVSLTLQCALMTPALERMPSLKYAAVEIDYDHACDQCDNSTIHGCGDDYCDGCREYYGPRNDRTRSLLLSGLSEATYLKLSSRPKVFVSSRDVKCSPIFSNLKTLVLTDWFVADDFSGLIWFLQHSPILQKLTLKLSVLVHDTCERTEGRYKSLEQPVVSDHLKTVKIECYEVDKMVGQILKILADSGIQQDQINIKKDRISALPDGVLQLILSLLPAHEAVRTSVLARSWRDLWMRSPALHITWWGTTSKFSDFISGLLRRRSLRNPDIAWAPLDSCHFDICDSDTEEEITTIDKEPVGDWIQGALRCDVRELRFSFFQESDGERLNYQPHNWTLASEHLTKLELSGVSVFDEHLDFSGCPALLDLEIHNSYVVSEEIWSTSLQHLSITYSDLYLNVRTRVRTPRLVSLTLLECGGRTPFLGRMSSLESAVVELYRSHYMDQCFDTSTIHGCGEEYKCEGCRDYYGPSNDRTSCVLLSGLSEATNLTLSAPPDVYVFSRDIKCCPTFRNLKTLVLKDWFVADDFSGLTGFLKHSPILEKLILHLSELYVLLKLPSVFDSFSKMCINVLQVRINSVKSEGRCKPREPSVVYNRLKKAEIKCHEVDAMVIEILNILAASGVPLEQINIQSSTGSGRDDGMAKNRISALPDGIREHVLSFLPAHEAVRTTVLARSWRDLWTRSPALQITFWGTAANFSEFVSRLLQLRRLGAPDASWAPPDSCRFDFDADDDDEEEEQQQLDSTLVNGWIRSAPRCNVRELVIDFYHRYWSGDRLELEPGALSSEHLTKIHLVGVDVCDDLDFSGCPALLDLGINSSDLVPKEIWSSSLRHLSITNCCFCDVKRTRIRVPNLLSLDLKDCLNRAPLLQRMPSLISASVQLDKCYASDQCDIPSIHGCGICEGCEAYYGPQNDNRTCLLLNGLAEARNLELLAHPNLVIIVLLLLSAPDFVFRRDIKCCPTFFNLKNLALTDWFVAEDFSGLIWFLQNSPILEKLTLQLSEVQRDSVTTEGKCKPLEPSVVSDRLKTAEIKCREVDAMVIKILNILAASGSMICGGGVDRISDLPDGVLQHILGFLESLEAVRTSVLARRWRDLWRSMPVLRLTRPRVTVDKLCKVLRSLREPASRLDMFLLNCYAELNDDVSIVNRGIRRALSCRVWLLSVSMAFSTTAQKENLQLDPISSTYLTKLELDGLDLNDKFLDFSGCPGLLNLKLDKCRIDAGRISSPSLKHLDINECEFSQVRRTPISVPRLFSLELCFNVGRTPLLEDMPLLKSAEVYVDSASMDVCDNDSPGYCSNDDCVNCYGIDDGSTGCVLLNGLAAATTLELIAYPEEVFLWALLIHCFSFSQFQHYPDLVLLNLQFILKRDLRFCPTFSNLKILEVTTWCVDVDQCALICILQHTPVLENLKMDLLDFQKVQFSTDYKYLVLELELIGVTNKRTEGVVINVTKHVILIVEEPKGVVPPKEIYNSVGTTFELENIKGIELTCCDIDWRVRNIMKLLITCGIPIEKISIDCRNEYKSTDCKLTNFALIYNS >Et_3B_028758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18902906:18909366:-1 gene:Et_3B_028758 transcript:Et_3B_028758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASSAFRRRAAAMSSSSYGRGSYPRRDRGRGRGRGYSSRSSRPPYPNPDPEFVSGDSHLNAVRAANHSLRRGGFNGPPPQYRQGPHQPPPQYWQGTHQQPPQYRQGPQQQPQYGHSYGNGYGQPQQPGPLYGPVPYNYGHLQQPPPPPPGPQYGYEAPYPYYHGHPHPQQYGHAPGNAGFGPGAPQLTPRLADYRRRWRHAKQHPPRQAERFTVLSYNILADYLAQEHQFLYERIPRCFLDWNWRKNKLVFEFGLWSPDILCLQEVDKFTDLEQELARKGYNGIWKMRTGNAVDGCAIFWRTTRFQLRHEEDIEFNKLGLRDNVAQICVLESVVPRNSPTDSTASHPGQAKQVVVCNTHILYNPKRGDIKLGQVRTLLDTAYNVSKMWNNAPVIICGDFNSTPKSPLYNFVLEQKLNLTGLAKSAISGQVTTLQRVYTGYNVSRNPPSTNSKAGNIALPEGHKPQIDTGRMVNNWRPVLTDASSECLNTESSNSCGNMIPCSESTNLDKQVLLRGLEGPGDDRFTSDADARANKTEGEESTAVDKSSEVQSEGCTGTIKTESGEEPEVTGVPSAPARVCGEIPQSDSSEIVNSTYLLSSESFGLKDSFEEKKVSHIQRDLPDDVFPDKVTCVFEENGTRPDEPLAVSKDNPDEKEKALESMLPGQDNCMTNEPESCNSSGSQIPDSVRQMSNMRLEGESSTEATHLESPAEPAHQSNGTVPDASGNQCTSGVINKHLVSSRDESEDNAGAFEDETTRNEVSCSDVNSDPTFFEELTGIKDHLLEGEEDQLPAISDASPSSQQMVNSNESYYIYDPFKWTPDEFRAATGKDECTFVEHNLKLRSVYTDVELLLVKQDFEGTKDANKEPLVTSYNRKFMGTVDYIWASEDLQTDKVLDTFPKEILKQTIGFPTKFLAPGGHTNGGYSFQQKWGSDHIALASELAFTK >Et_1A_009007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2559499:2568480:-1 gene:Et_1A_009007 transcript:Et_1A_009007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLLAGSCLLLMLSLLPLGALLTLRGVGLLNDASSPASFSFWFISFVTSSDNAFNSTPAAEAKPFAYHNISSSVRQSSFNRTSFSAVLAVF >Et_2A_016481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25179400:25180335:1 gene:Et_2A_016481 transcript:Et_2A_016481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRAPHEVMMRAPHEMMMRSPPSPPEPELDASDFDWISDLGEGGFARVIKVRHRRTGEVFALKEAEVLRRAAWGPSPHVVRCHGLFPGPHGGPASLLEFMDAGSLRDVLRRRGWRGFPEPALAEVASRCLLGLAQLHSRGVAHLDVKPENFLANARGDVKINDFNVSRVVSGIAGAERVLVETNMGTTPYFSPEQFAPRAVADARGAMAADVWGLGLTVLELFLGRPSIVPDAEEPKAEDWREEICDREPPSFVAACLHKDPTRRARVPYLLRHPFVTQRDVQASSRALHQIIVENL >Et_3B_028002.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:30896437:30897459:1 gene:Et_3B_028002 transcript:Et_3B_028002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKNPSSFPSPALLTADELFSGGVVLPLHTLQASDVAGGEDGEGGSESADLEPPAAEAEEEAGEEEAAQPLAESGITPTPDLPAVTFKWKDIFKAGGGGEAKERKKMERRVSSVSGNAELININIWPFSRSRSAGALSRAKPTPPSASANANANANASVVVNGNGAAPTVSSAPAAAAPAPAARKVSSAPCSRSNSRGESGPEPVVAIPAAAVGAAVAEAATAGQAAPVAGATTSMLRRLVPGHNRNNNNAGGGGGATGIRLGRPSPVWQLRRNKLQQTAAEQKQAGAKKKAAAAATTSDDKAPGAAGCRNNAEGGEEGGPNPPQGLFGLRTFFSKKVY >Et_7B_054600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2719780:2725906:1 gene:Et_7B_054600 transcript:Et_7B_054600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMPVIGYVAMTNKPIYQVRSPAFMGSRSKSTNASQKSSAQNTAPGPQQEPSKSRSNVSRLVLGTLFVGAATMGAYQAGFIDLQFKDMKFPFSIKKQDDLKMNEDLKAPSEEKVEQKQIMSEPNVVIVQETDKEVFAPKNVPDEVVNNPETPTEQSIPAEEKEAKPLDHNTHSVPDEHGSDTKKPLQDTPAVEIKPLVAGDKVIDESPHKEQIDSMVSPVQSSPTTVKPHHDSLTVADELKDTSRADAVEHKSLADTYLLQDEPDVSKDMSAKETKTDEVVHKKASEDGKIVLDIIEAIHAAEKKQADADAYMFSEEKRKLKEKYEKELKDTRARELMYAEEAAILDKELKKEKMKHAAAIKELQEKAEQKLRDELHMKEEETSQQIEKLQELAKAELAAAVAKEKASQLEQIAEADLNFNSLKETIRHFSLIPSGGGGILTHAVARVASSLKIKEDTSGDGIESIINRVESLIVDGDLSTAADTLEGGLHGSEAEEIATEWVKQARKRAVAEQTLRLLHACASSITSA >Et_3A_023411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26176543:26177911:-1 gene:Et_3A_023411 transcript:Et_3A_023411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGSEGPQRASPRAPLHLKTTACSEANGAHHRPVVDRASPKVGDRHSPRSPLPEKKRAGTKVAELEAKLGKVQDELKKLREQLASAEAAKKDAQVALEEAKKRVGPKGGSPASGSASLRSSPSVGVRSEKKKAEVVKVAPEPAPEADEEVESSSINSPATDVFEVVRTDKENQRAEDCEAVSCGVKAALAEKEVEEEETKKMIEEEETNAAAVETDKEESPEVTELKAKLAEKQTEIAALAAENAELKKQAGEAAEAARNAEEDAAAKASLVEQSLKEGLAREARMGEQLKASEAAREALDAEMKRLRVQTEQWRKAAEAAAAVLGGDNHLAGLHGIAGGGNGWGAPATMPDDGDDDFGGKRKGAGIRMLGDLWKKKGNK >Et_4A_035231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13618270:13631074:1 gene:Et_4A_035231 transcript:Et_4A_035231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDAGHERADDGLVDLVVQDGALLERVHGADGLVVAVHLVAVVVAHLRAHPGEVEHQGVPVAAALHQPVHRHPDVVLGRQPERVPLVICHQHVVSGLTQGIHGVPVSMMMHSPGKPYRWQRSCLMHLTSLMGPLSWPQPRRMPAYVMPISTARLLPRVRGKHIGGGAGMWGRWDRRMRVTPLHWVHWMARSPGVTTRLVLQYLQRTDPEGLPPPKKLMANQAGLLAAMFPESPNYEEYGVYILRHDEKCEVENKYLLAYSTDFVI >Et_5A_041152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18879501:18882599:1 gene:Et_5A_041152 transcript:Et_5A_041152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIIKGTLVLLIISSSFFIASGFQSGRPAGHRDDGDGKKVYIVFTRRQPAASSKVVESDVGATIESFHHGLLNDALEDTSSSSAPERVVYHYTRSLHGFAARLTEQEKKNLAGMDGVLSIHERVVYRPQTTRSWDFLGLPLHQHNRSLPFEQDVIIGILDTGISPDSESFSDEGLSPPPAKWKGRCSQYAKCNKRPVISIWNSEALFDAEAPLVASFSSRGPNLLTPGILKPDISAPGVEILSAWSPLTVLQSLREDDHRRVPYNIVSGTSVASPHVTGAAAYVKSVHPDWSPAAVMSSLITTATPMRSDTTQEAEFAYGAGQVNPAGAVDPGLVYDTSELDYLHYACRQGYNATQIAALTGTSATCASLPVVADELNYPSIAVPVQNYGVGFSEVIARTATNVGPADSVYRAKISSTSGIQISVEPPKLAFSAAKKKMSFTVTVSGTLSHGVHRSLGASASIVWSDGKHHVRSPIYVFPQHLSSYTSTESCRYVAVSSTLRNRTVSHHAKDGAGEARGGGHSVVARPIHDGVVLHTCSFLSLLCSHWQRRCNIGTH >Et_7A_050504.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:20250265:20250573:1 gene:Et_7A_050504 transcript:Et_7A_050504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPEPFVFIDPSAAVPDTSRRSKDPQELERCTDVIVWYLYTSLPDLPVCAAAVLSALAPAPGEEDGGADRISKLPDPILGKIVSRLPVKDAARYMFFNVV >Et_1A_008143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4733407:4737338:1 gene:Et_1A_008143 transcript:Et_1A_008143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSRSSPTAGDAPVAEPVPAADAPDAALAAATPDPDVEYGYVVPDDVPVLLHKHIGQGEIVDHLWRGQMGLSEEQQKKALELRNMTNGGSGAKESLEATGIDGASCNPAPAGGCCQGNGGFTCCQSDLPKENKDKSVPAEQNQKSSGKENGKESSAGSKKGHTKICSMPTWFETWERADTYAALAVVAAAASVFVAFRVYKNLN >Et_4A_034128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30121685:30122920:1 gene:Et_4A_034128 transcript:Et_4A_034128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVQMMPAAAAVDVRAEGSMRTTRVGARGGTSLFAGDWRRRPRRASCSVRVLRQQGRSSRSRGGLGIVCNLGGQYEDSFEDVQLQLMNYFTYKAVRTVLTQLYEMNPPSYRWFYNFVAVNKPTDGKLFLRALGKERQELAERVMITRLHLYGKWIKKCDHAKMYEKISDENLALMRERLMETVVWPTDDADTEKIG >Et_3A_026515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9029557:9031804:-1 gene:Et_3A_026515 transcript:Et_3A_026515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKWVKSLIGLKKPEKEDCKDKLQLPSLHGGARGKGRKWKLWRSSSGDHGSLWRGSRGSHRSAASEASDDTSVAAAADPFAAAAVTVARAPARDFMAVRQEWAAIRIQTAFRGFLARRALRALKGLVRLQAIVRGRQVRKQAAVTLRCMQALVRVQARIRARRVRMSTEGQAVQKLLEARRTQMDILREAEEGWCDSQGTLEEVRVKLQKRQEGAIKRERAIAYAYSQQLDGAAKCNPPKLTSSGLVNHSGMLLKHQHLEKNNGNWSWLERWMAARPWENRLMEEHNQTNTSSPDLRLSKNCEDSFGALGNFSEPNSVKVRKNNVSKRVCAKPPGAAHSNTHHQRLKAQSISSLSTELHNDESSASSSSCFASTPISFSTFVASEKTEDCVRARPNYMSLTESIKAKQKACNAQRTMALKQSDDRKATGTELKVAQV >Et_2A_015108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30095436:30096201:-1 gene:Et_2A_015108 transcript:Et_2A_015108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCEGAERCELCGAPAAVHCAADAAFLCAACDAKVHSANFLASRHRRTRLTTASAAVEEEDGYESATSSCVSTADSTAAPRARQGPGPGRRRPRAEAVLEGWAKRAGLAPGTARRRAEPTSPPRASRCASRWRPRFGARLKPPEALTGATRCGGWRRARTCRRGWSWRWRRPWRRAVAPRGGPPRKAGTNARGPGPNPPQHVLDCRRFLLSFLLHKLARKWLETIHLALVWLLELNLVATTRGK >Et_3A_027329.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7781197:7781508:-1 gene:Et_3A_027329 transcript:Et_3A_027329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKCLKILVLVTLIPLALRASSSLLLGGQPATSQYQSWHVGRRRSAGAGATGLSVSTASVHKVYARRLRRVDVGVVGGDDWFEDDKRVAPTGSNPLHNLRRR >Et_6A_046984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23657223:23660060:1 gene:Et_6A_046984 transcript:Et_6A_046984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNALASYLGCLLNYIIGAFKPPCDIFVTFSDERSRKQVLIKKDNGKTAMVPAFQSLETIAGEVSIAPVPGKRLEHTGVKIELLGQIELYFDRGNFYDFTSLVRELDVPGELYERKTFPFEFSTVEMPYETYSGTNVRLRYILKVTIGRNYVGNIVESRDFCVRNYSPVPTINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIVGKIYFLLVRIKIKNMELEIRRRESTGSGANTYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRLLETPQSS >Et_2A_016679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27140747:27143112:1 gene:Et_2A_016679 transcript:Et_2A_016679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGSANAVFYADKYHPIQAGSIDGTDVAPHDNAVLRALICSQAGLYDPFGDPKAAGDPYGTVFVGHLSRHTDEETLQKAMSRFGKVKSMRLVRDIVTGASRGYAFLEYETDREMRRAYEDAHHSIIDGSEVIVDYYRQQLMPGWIPRRLGGGLGGKKESGQLRFGGRERPFRAPLRPIPYDELKKLGIPPPPEGRYMTRFQVPPPPRRKTSSNSMEDSPPRRRSRERVDDSSRRRQRSPTEDDGYRRKSSRDRREESQSRRSERSSNTREETRYSRQRKPMGEDDGHRKRRRSKEPGEVSPTGEDGGYKREKTSTEDGSSPDRYHHYRHHRERTHESSHSQNRDRRHYGHHSRRSVDHRR >Et_9B_064923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19834067:19835250:-1 gene:Et_9B_064923 transcript:Et_9B_064923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNGFNDVRAAVDNGLSPAGVVAAAGKKAAASLAVLVKMCPSCGRRAQYEQEPTTIQDLPGLPAGVKFDPTDQELLEHLEGKARPESRKLHPLVDEFIPTIEGENGICYTHPERLPGVSKDGLVRHFFHRPSKAYTTGTRKRRKVHSEEEDGGGETRWHKTGKTRPVMSNGRPRGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEERDGELVVSKVFFQTQPRQCGSNTTAMAKVPAGAAAINNPVVADGHLQGGTVVLREVNNVAAEFYNPAMLGYGQGVPNNRADGHFMPNFAVHAARASFGP >Et_7B_054725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3861525:3865521:-1 gene:Et_7B_054725 transcript:Et_7B_054725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLALDRTDDVLFSLHRWRGRRCGKRFRATKQSAAAPHLAAAVTQLSTTSTADQPTAAALRPGARRDQTEMAPAESSEQQQRKQVLALAAHDASGRVTPIRIPRSNYSVRSPRCNLKFEEPTCLSKAVFLARAFKQIQWQNRLLHDDVLYRIICRDTGDDDVAIRIPYCGICHSDLHTIKNDWGISMHEITGLVTEVGKNVSKFNVGDRVGVGCMVNTCKSCESCKDGFENYCSQIVFTYNSRDGDGAVTYGGYSDAIVVNERFIARFPDGMPLDGGAPLLCAGVSVYALMKHHGLNAPGKSVGVVGLGGLGHVAVKFAKAFGMRVTVISTSPGKKEEAMEKLGADAFVSVMGTMHGIINTASASMSIQLYLGLLKPQGKMILLGLPAKPVEISAFALVGGESVPEFLYAWLATLVTLVHGVKTLAGSCMGNIKDTQEMIDFAAEHGVTADIELVGAEDVDWAMERLAKGEVRYRFVIDVGNTLVAA >Et_6B_048920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15536759:15542587:-1 gene:Et_6B_048920 transcript:Et_6B_048920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPSSQGSNSSCSNCVLSSIELPLLPEEPRCTFYSRYGMCKFGLKCKFDHPMQAVMSILASSPTSEAGSVPVGLYVVQRENVFLDRLECRFYMKTRDFQSNYPYTRESPYALSRPSTCKTLVKKSDGGTKNKVVRASIFTTPVQFLGQESVENTCTRVCAHTHTGILVLRRLVPHPQTTNNGRLDVPNTTLAHGGMKQLERASSVPVGFYAVQRENVFLYRLECRFYMKTGDCKFGVLCKFHHPKDRTVLIPNFQSNYHYTRESPYGLSRSSTCKTFVKKSDGGTKNKIGSSSLDDQQRTTGGAQYYTSSCWSETTGKGNPRMFSSFKLALFQLDLIQYRRRMYF >Et_8A_056788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16882158:16885675:-1 gene:Et_8A_056788 transcript:Et_8A_056788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLKMRMASSSDPWVKEYNEASRLADDINSMIAERGSLPQSGPEIMRHTSAIRRKITILGTRLDSLAALLARIPPKSLTDKELHKRQDMLSNLQSKAKQMATNFNMSNFANREDLLGQGKKADDMSRVAGLDNQGIVGLQRQIMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDVTNSRLQRVQRRLAILNKRTKGGCSCMCLLLSVVAIVILAVIVWLLIKIPTDLLTMAVTFQIGITAALATAVMLWSLKVCGIQPRNLLFMSFEAAIRFSMYYDSSSWSLNRKVTTTPRTMVSARDKAFRRESEDDGAPVLVKK >Et_1B_009795.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27282310:27284796:1 gene:Et_1B_009795 transcript:Et_1B_009795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSRASLHPPAHDGDGGAADLANGPRPSWILLDLKAYVANEINSTTATCPFKGDKDKKIQVTFFPAHPPRVSYFCVFCSGLKSSAYALEPKIVATEANLVLLRIALGDRIDSFDPRSHDYFIYKADGGGPNGKPLLEQLPHPHPYIFHDEQVGLLSYNTGYTVVALRDDSSALYRKSPAGPGHYEVCILESQDKDKGWIIKDVSVPPEQQQQQSDECKFRHATYKTITIGGRRGTMAFVDLWRGILQYDVLGGSPLLRYTLLPEICPGRKLYKVNPLSTRDIALVEGRIKYVEMVKAEMITGSAGWKAVTWSMPVSSTSKLEDRWLPPCELEACNIHDEGNLVCSELLPKLNCKEGEPKPTFASLDTDYPILSLCDDDIVYFMTKVAPVDTKACMIAVDMKSRKLERLAEFSAERMLDTAFACMHSRISSYLKMAPGKCSQSLSCMTTYTYLILLGVLLDC >Et_4B_037218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16770061:16777595:-1 gene:Et_4B_037218 transcript:Et_4B_037218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSLESTLVPCVLLLLCVGFLAELVHGSMVPALYVLGDSQADVGNNNYLPSLLKANFPHNGIDYPGHEATGRFSNGYNFVDFLAGSLGLASPPAYHSICNTTGRYSIFLNGVNFASGGAGVSDLTNKGQCFSFSEQIYCDFFNVSSELVKQLGQPHALAHLSKSIFTVAIGGNDIINSVLLPVNRLPILSSSQHFIDSLAETLKCQLERMYELGMRKLFFVGAAPLGCVPLLREQSLTKQCHAEANSLSVKYNVAVASLLRDMSTQHPDFRYSFFDTSTALMKYIQEPEANGFAEVKAACCGLGDNNAMFTCNRASKYCANRTSHMFWDVVHPTETTARKLMSVAFDGSGPLPCSMAMAVAFLLAAAASFMVASAGPVPAVYVLGDSLADVGNNNHLLTLLKADFAHNGIDYPGGKATGRFSNGKNFPDFLAEKLGLATSPPFLSLPSSNANYLNGVNFASGGAGVSNGTNKDQCLSFDKQIDYMASVYASLAQSLGQARAAAHLSKSLFAVTIGSNDIIHYAKSTTARSSSSSPPTQQFVDALVQTLTGQLQRLYNLGARRLLFLGTGPVGCCPSLRELSADKECSAVANDASARFNAGAAALLAGMAARSHPDLRYAVFDSSAALQRYIDNPAAYGFAEVKAACCGLGDMNAKIGCTPLSFYCADRTAHVFWDFYHPTETTARMLTDTAFDGSAPFIFPMNIRQLSAV >Et_10B_002383.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:16327990:16329120:1 gene:Et_10B_002383 transcript:Et_10B_002383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLAHGLVVRLGLLVDVVVATALLDMYAKCVRVADARRVFDDMVVRNVVSWNAIVVCYGRNEEGKEALELFRLMLRDGFCCPDELTLASVLSSCANMAAANEATQVHAYAVKRGAQRFLQVANALIMAYGKNGFVQEATQVFTIMGNPDIITWSSMISSYAYLGHAQDAVHLFERMLQKGVQPDGVAFLGVLSACSHAGLIEDGLHYFLLMTRAYRIDPSPQHLACLVDLLGRAGRIEDAYNVLVKLACEGNADVIGAFLSACKMRGEIELAKWAADRLLCLEPSEPVNYLLVSNAFAAAGAWNELAKVRGVMRNSCGNKVPGCSWIEIAGNVQTFVSNDILLHQSMEMQHMMELITMLVPKEHDEDTFYDLFRC >Et_4B_038104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26287889:26289771:1 gene:Et_4B_038104 transcript:Et_4B_038104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANSERVSAIPSEISHALVIGSTAVHWLFSDPLKDILTIVALDVATARATLIELPPQDALNLRTFQRRNKALQMAASSDGRLCLVVAGAYAITMWRLSSEASSTKEAGSSTASASARWTRQMVIPRHTICKDPLFLGFGEMSGSVVLQMDEVGIVQVNLRSKEAIVLSRDLRGLGSRLSTRQVNIRLCLHEADSPSLLQAMKPLATRRRPANKSIQIQVYCTGVACTSGALAERDGRHVDQLQRDSACRFVPAIPPSRSPPRDPGALGHGNHASSAAPPFASSSAERSSTQASNAKSPSAPMRMSPSCSASPTRSSTLHTATRRSSASVKHPGKTLASIRPYSSRSSFGLVILRPRRAESFSRPDLRVCNTLTGHTSSIPSPGTVHVDYPLALFAVGDSGRSFKLLAADKYLRTQTFSTDDGQWGPVVKACRPRDIAKLTPFHDLGDPVVLGDTVIHWMYTSRKEVVALDVSIANAKLIELPYMWRAGWTGEHRNRAFRLVASADERLSLLIAEWDVISMLTLSEAGGSSSEPRWTRQVVITMEAIGWEPGEDMY >Et_5A_042598.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:21433216:21433980:1 gene:Et_5A_042598 transcript:Et_5A_042598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSASSGVEVLDMGTEVDSWSGAEKVTSTLSGTRKELRALCGKFGVPDVYTRGTARGGSRRARRPIEDNAICVYVDAFDAEILRHYGLAPSQLVPNAWRYMAARVRAALRRRRRGAAAPGVPELLHVRSTGTRATPVGSIISPTAGPRSSAVGYPRTRSAGSPGSSSCGRRGRVHSSGENRARRPPGRLPTLSTALEKAVAQKLLDRAAAIAGRPGKDGIHVVPFISQRALPVGRHVPLDPQQVDAVEEEA >Et_1A_006430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22078018:22078798:-1 gene:Et_1A_006430 transcript:Et_1A_006430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIEKPDPSYFQDVLVSNLTFYLKRLNELGARKFVVSDVGPLGCIPYVRALEFMPTGECSASANRVTEGYNKKLKRMVEKMNQEMGPESQFLYVNMYDIVTEIIQHYRQYGFDNALDPCCGGSFPPFLCIGAAKLLTLPRQFHCLNGCSLIPFFDKWSRVTAQVVA >Et_7B_055981.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8602238:8602567:1 gene:Et_7B_055981 transcript:Et_7B_055981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGADGTPADARRCICSPTTHPGSFRCRLHRGGGGGMPRSASCQQFGVPSAPGSSGHMRRAASHQQLVRPNTCGDGGGMTRSASEQQLLRFAGLPRSASWQDLAPKE >Et_4A_033175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19543380:19546267:-1 gene:Et_4A_033175 transcript:Et_4A_033175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NGVPGSSSKACAGVMLKTVRKVGLPSLRVRASVASSPQKQALIVKSGDEVRIAVLGASGYTGAEIVRLLANHPQFHIKVMTADRKAGEQFGSVFPHLITQDLPNLVAVKDADFSDVDAVFCCLPHGTTQEIIKGLPQQLKIVDLSADFRLRDINEYAEWYGHSHRAPELQEEAVYGLTEVLRDEIRNARLVANPGCYPTSIQLPLVPLLKAKLIKLNNIIIDAKSGVSGAGRGAKEANLYTEIAEGIHAYGVTSHRHVPEIEQGLSDAAESKVTISFTPNLICMKRGMQSTINVEMASGVTANDLYQHLKSTYEGEEFVKLLHGSSVPHTRHVVGSNYCFMNVFEDRIPGRAKIISVIDNLVKGASGQAVQNLNLMMGMPENMGLQYQPLFP >Et_10B_003246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18182474:18185159:1 gene:Et_10B_003246 transcript:Et_10B_003246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGMSASMAFTLLGFCVSVIFIVYICARLVCAIARRRRRRRAPPLPQFHPYAFAVHVARHPTAGGGGGGLDPAAVAAFPTRAFAGGRRGSDASDSSSSSSDADAQCVVCLAEYEDKDMLRFLPYCGHNFHVACIDLWLQQNSTCPVCRISLRGDLDNTDVTPPPPLSVVISPPCSPSASGSDPCRCLFVSTGNASRTSEVPRQEPDQENQIAPSPSVDGAGNNLPLSEVNSAAENNSQTVAKQVERSIQLGPCK >Et_10A_001999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17754458:17756460:-1 gene:Et_10A_001999 transcript:Et_10A_001999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQDSVGAMGGGVASFWKQWNIQILEILSFALQVILLSCARIRRRQGSALLRILLWLAYLMADYTAIYALGHMSISMSKSESDHQQMVPFWAPFLLLHLGGPDTITAYAFQDNQLWLRHLLTLAAQVLGAAYVITAAGANTPPAGTLLAAAALMFVTGCLKYGERTWALRCGGIDSIRSCLDDDGKSSSFDASGPYLWKREGREEEKLDSEVVLLGAHYMLNCCKGLLADRYVMQSLFYEDVQRGIWLNGGSTYLFDLAAMELSLLYDILYTKAAVIHTWHGVCIRIVSPLSAIAAFVLFQSSSKEAYTRADVAVTYVLLVGAMALELVSSLGAAGSSWACASYHARGWNRLCGVVMRLRRMAKAGARRSACLDSLGQYNLLDLCTDPNKDDHLRGKIAKKIGLGDRWKKLHYSTTVPISDGLKELVLKEIQKRKTDDLRNARGTGGSSSRKICMGTSRGSPTTPSSTVASWCGSRPDKIKGPVRESIVRPSNILDPS >Et_5B_043344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5890345:5890583:-1 gene:Et_5B_043344 transcript:Et_5B_043344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSWNKFLWPFLHFIVIRSDYDGGLVRSKGRKRRHKKEKDYAQFCLRECWLPLTEHPFSVLLLIICM >Et_1B_013011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4745444:4750991:-1 gene:Et_1B_013011 transcript:Et_1B_013011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQQQQQAGSASGSASASSSSSGLHLHASSPAPFGDTTLTKVFVGGLAWETNSEKLRRFYERFGDILEAVVITDRHSGRSKGYGFVTFRDPESARKACEDPTPVIDGRRANCNLASLGRAQHPVTLGRPRSAGPYFGLSAPRGFYVGGYGQHRPLPLGYYQGFPVPQYNYTSYGTEYIYPQGPLNPYVGQQYLPIYGVSPGTNTANPPFGQLSPSLSGGGHGYVSVHGYNMPGNQYVQLTGSNFSNASVTARPSVQTPFLIAAPVHAHAQLVIPAHSPQVSQASGCRYHGQQMYPRYHGIVPMDRANDPRAMKSNLTIARIGGYSVNIQAV >Et_2B_022285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1766258:1767885:1 gene:Et_2B_022285 transcript:Et_2B_022285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDSRAPAMMQVRCLLLLALFLLVATYGGVAQPLVPAVMTFGDSTVDVGNNDYLHTFLKANFPPYGRDFKNHVATGRFCNGKLATDITAETLGFTSYAPAYLSPQASGKNLLIGANFASAGSGYYDHTALMYHAIPLSQQLEYFKEYQSKLAAVAGSSQAHSIITGSLYIISAGASDFVQNYYINPFLYKTQTADQFSDRLIGIFSNTVSQLYGMGARRIGVTSLPPLGCLPAAITLFGHGSNGCVSRLNSDAQSFNRKMNATVDSLSRRYADLKIAVFDIYTPLYDLVTSPASQGFTEGRRGCCGTGTVETTVFLCNPKSIGTCPNATTYVFWDAVHPSEAANQVLADSLITQGLNLVT >Et_5A_042990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8886255:8889559:-1 gene:Et_5A_042990 transcript:Et_5A_042990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLTDSFERDEKPKRERDIEMGHGNPKNSDYGLKNFFEEVKEVEMLLDKMSSIVHKLQEANEESKAVTKASAMKAIKARMEKDIDEVGKIARSVKVKLEQMDRNNLENRKQPGCGKGTSVDRSRMSMTITLKKKLKERMNDFQNLRQTIQQEYREVVERRIFTGTKPSEEVIDRLIETGSSEQIFEKAIQGTGRGQERHDAVMEIEKRLLELQQIFADMAALVDAQGEILDNIENQVQSAVNHVVTGTEALRTAKSLQKKSRKAFLSSDPGFGNNL >Et_5B_043808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16361970:16365325:-1 gene:Et_5B_043808 transcript:Et_5B_043808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGGSSYAIAAVLALALASSVAAEVFFQEKFEGDSLDPAPYLAIWRDSSSFYWLNLPSVFCACILHCLNRFSLCSDGWESRWVKSEWKKDENMAGEWNHTSGKWNGDAEDKGIQTSEDYRFYAISAEYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLMGGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHAILTKDGKNHLIKKEVPCETDQLTHVYTLVIRPDATYSILIDNEEKQTGSVYEHWDILPPKQIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTAPTIPNPEYKGPWKQKKIKNPNYQGKWKAPMIDNPDFKDDPYIYAFDSLKYIGIELWQVKSGTLFDNIIITDDPALAKTFAEETWGKHKEAEKAAFDEAEKKKEEEEAAKAGDDEEDDLDDEDDEKADEDKADSDAEDGKDSDDEKH >Et_4B_039606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23700177:23701589:-1 gene:Et_4B_039606 transcript:Et_4B_039606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAGVSVDGAIRSINMLIFIELVAPASFKMDSGSTQSAGSAAPSLPKNPAMTSCRKKKSEEATFLEDVKDHIDEFIHASMDEHKTCFKNTIQKMFGMSKVVAERAAEAKEAEVESALPLQTSVSQ >Et_3A_026064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:428107:432277:-1 gene:Et_3A_026064 transcript:Et_3A_026064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TIASPTYYIRGGRQQLLHTSASNKHPPQDQSANPCHHRLTPSAQLPQASMAKFALGHHREATDAGCVRAVLAELILTFLFVFAGVGSAMATGRLAGGADSVVGLTAVALAHTLVVAVMVSAGLHVSGGHINPAVTLGLAVTGRITLFRSALYVLAQLLGSALACLLLTFLTGGVATPVHALAAGVGAARGVAMEVVLTFSLLFAVYATVVDPRRTVGGIGPLLVGLVVGANVLAGGPFSGASMNPARSFGPALAAGVWTDHWVYWVGPLIGGPLAGLVELGHRGEASEPDFLRGVLGELVLTFLFVFIGVGAAITAGKSPGGDAELTAVALGQALVVAVIATAGFHISGGHVNPAVTLSLAVGGHITLFRSSLYIVAQLLGSSVACVLLRWLTGGLATPVHMLADGVGPVQGVVAEVIFTFSLLFVIYAAILDPRKLAPGIGPLLTGLLVGANTIAGGALTGASMNPARSFGPALAAGVWTHHWVYWVGPLTGGPLAVLVYESGFMAAPAETHQLLPQQE >Et_7A_051414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18119228:18128130:-1 gene:Et_7A_051414 transcript:Et_7A_051414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKMAALLALAVTLCVVLSSTAVAAGKTGRITVYWGQNGNEGSLRKACESNLYSTVIISFLTDFGNGNYKLDLAGHSWSDVGPDVKYCQSQNILVLLAICGGVGKYSLASKADAKAVADHLWDVYLGGNSKTRPFGDAVLDGIDFDIELGSSMYYDELATYLKEYSNKGIRKVWIRAAPQCPYPDRMLGEALQTGLFHRVHVQFYNNPVCSYRAGNEAAFTSSWNKWTSSLPKSSVYLGLPAAPAGAGSGYVTPTTLTSELLPILQRSTNYGGIMLWSRYWDLQTGYSGAVKSAIPSIAMDKTAGLVASAVTFCVLLISPSVVAAGKTGQITVYWGQSGNEGSLRQACETNLYSTIIISFLNNFGGGKYNLNLAGHSWSAVGPDVKYCQSKDILVLLAIGGGIGKYSLVSKADAKAVADHLWNVYLSGHSRTRPFGNAVLDGIDFDIELGSSRYYDDLARYLKAYSNKGRKKVWITAAPQCPFPDRMLGEALRTGLFDRVHVQFYNNPVCSYRAGNEVAFTNAWNKWTSSLPRSSVYLGLPAAPRAAGSGYLLPATLKSKVLPIVQRSKNYGGIMLWSRYWDLQTGYSRAVKSADESHTSTNTTRTLLKANKQVVSSIAMGKMATLLVLAVTFCALLSSVGTVAATGKTGRITVYWGQTASEGSLRKACESNLYSTVIISFLTNFGGGKYKLNLAGHSWSAVGPDVKYCQSKNILVLLAIGGGIGKYSLVSKADAKAVADHLWDLYLGGSSKSRPFGNAVLDGIDFDIELGSNKHYDDLARYLKGYSKKGKKKVWITAAPQCPYPDRMLGEALQTGLFDRVHVQFYNNPVCSYRAGNVAGFTRAWKKWTSSLPKSSVYLGLPAAPRAAGSGYVKPATLTSKVLPIVQRSKNYGGIMLWSRYWDLQTGYSKAVKRAV >Et_7B_054957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:676246:677920:1 gene:Et_7B_054957 transcript:Et_7B_054957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCLGKRVGVKDAVARSYVPLEESSFHEEMHPRVSKGSGCLDFLEMKLSDLELDHGTLGYPKLSDFMRSLPGICRMCAVSVGNGPATHMVLLPPFSRPKYVPLLEPESFDLSSQDQCQIITPQYLHLTPTLDRTPLITLTASRVMHAVTPMFRAIRVMRAAGASLKACRMKVRLGAYWMILHNIKADLVESEPTRNPDLFVSVPSRKPDLSGPTRNSKLIESVPTRTNLIEFSPLPQRNECELILKLNLLESVPTRKLELMESRPTTCFIDCPVERPAVTPSSHETDTRFSFFQSRSESCIISRSCETAMQFVPCLHKICVACMMRYNVRACMTCGSAVSGVKSSPAVDATYRYMVLDAWWCFFALLDELDFSDQLLNMQGFMERVLDQSGAEAIVRCSPFMHTIA >Et_9A_062505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23585362:23588647:-1 gene:Et_9A_062505 transcript:Et_9A_062505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAARRLLSARARAWAAATWAPSSSYAAVSRPRFPTPKEIRRDLDEFVVGQDKAKKVLSVAVHNHYKRIYNESSNKRSAKNLARGGVSTSGDEEIELEKSNILLIGPTGSGKTLLAKTLARFVNVPFVIADATAITQAGYSGEDVESVIYKLLVAADFNVEAAERGIVYIDEVDKLTKKAEYREDRRDVSGEGVQQALLKIFEGTVISVPRKRSQDNKSNGYVEVDTRNILFICGGAFSGLEKIVSKRHQHCPFGFGIPICHDLRDCGWKTALQESCSADAAENDDLITYGLIPEFIGRLPITVGLMNLSEEQLVQVLTEPKNAIGKQYKKLFKMNNVKLHFTEDALRLIAQKAAARETGARGLRSIMEDILTEAMFEIPDAREGKEKIIAVLVDEESVGPVHSRGCGAKIFRDDGALELYISQNNIKLPGLIQSNPRRSRISSLCLLVALSATKLWVYHTFHCFSSMYDWIVLMLFKANIFTQ >Et_1A_005261.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:36051506:36052198:-1 gene:Et_1A_005261 transcript:Et_1A_005261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFALGAAGTWPEFVVQCLLFLLAAGMLVALHSLPRRVAQHRLRRAGGTAAAQSRRHFAQGAQLLARARAAAPKPPGQLARAAVAEADRAIALDPRDAAPLILKALALDLQGHRLPALRTLDAALAPQLARSLEPRERGDALAKRAEIALALHRRRRLDQAAADLAEAVRLSPENARAHALLAECYERKGLAAEARDAFKTAASIDPKLDVALDGLRRIDGGNQGHESD >Et_8A_056131.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23765972:23766556:1 gene:Et_8A_056131 transcript:Et_8A_056131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVHLTFFSPRLGNLDYYGFVRSVVQIFAIQGPLPEDIRQWLELINEGVNGNEYLIRYSTALMEPRQAFGFVMAARDTLERIRAHPSTSRLYHMLIAMNPELLNWTLRLCTNMLLAKAQLYQDPRPNMQIVLDPTLRGALGLWRNARHHPAKMFLEFMILIIEDDFPGAIQRLQRSFFRAGLLGEFNLPATMG >Et_8B_059026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12562309:12569618:-1 gene:Et_8B_059026 transcript:Et_8B_059026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLVNMFAVLNLDAEDDREEVENPAPSKAEAAVVAPNSKLERGSKNKAMIVNYDGENLASSSCDYKMPLVWIDLEMTGLDVSKDRILEIACIITDGLAEQVLKSETSEQDAEKQVLDFIRRYIGSANPLIAGNSVYMDFLFLKKYMPQLAGIFSHVIVDVSSITALCIRWFPKERKEVPRKGKNHRAMDDIRESIKELQYYKENIFKSRRSNDLQKTVPAEGYVVDEAAANGARLLLADVYLLHVQRVGLRVPPRLEHPPHADVQKPG >Et_8B_058716.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:18197895:18198116:1 gene:Et_8B_058716 transcript:Et_8B_058716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDHASATPDTLTLLCLWQLWKHRNEMEFKEVAPCVSRLLHNCREEASLWRCRLRRDETPISSSWRSLFAQM >Et_5B_044680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4488373:4491671:1 gene:Et_5B_044680 transcript:Et_5B_044680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQPSGGVLANNSAAGGGYNIHKLDADNMEPSGHLPEFAAQRKAATAHGPMAFAAAGTTIFIDTSPLRSRGRAPPTLVYDTEAAALAVGPRIPDQLHDLGVAMAVGGERLYAVTTVYVVLSSTSPPHCRSCPGPPSPSWSRGTPTRGGPGPACPRRRRRSTGSEIVAYAAHPDGHTVFVSTHEMRTRTPRTPARQGVWRGLGDWVLPFRGQACFDADLDAWVGFRSAARRTDTSVAARLPPALAAPPPPRRSAGRSRRSCVAAVVMAKRAARPGPSPKWHLKQGRHKMAQRDLRDRRRRIWCTAPVAERVLEGGEQADGCVRRGKRSHREGELADLVRRTTRSRETRGKCGARAGADVFAASFRRSRWQLPRQDRRPAALTWRAGRPRLLSCSNWTLTIVLAVRTLSAA >Et_7A_051830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25362115:25364108:1 gene:Et_7A_051830 transcript:Et_7A_051830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTPGPYSGVSTLALVARASAFGVGVVYGTIKLSILKVTRLLHPDVSFVKLVNTPASGLWAMATKPKKEEVHAANH >Et_10B_003236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17990057:17993567:1 gene:Et_10B_003236 transcript:Et_10B_003236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTAHHRCLVVPAAAASRHLPRGFLRFRASPSPLAAAHSVLDQEIVVGGRICCQCINSADVLGASSATSDDGVPVPVVMIDQESDRDATIVQLSFGDRLGALLDTMKALKDLGLDVTKGNVSADSAVTQSKFHIMRSGRKVEDPDMLEKIRLTIINNLLKYHPVDIEIATHLHVEDDGPKRSMLYIETADRPGLLLEIIQIINDTNIDVESAEIDTEGLVAKDKFHVSYRGAKLNSSLSQVLINSLRYYLRRPETDEDSY >Et_8A_057792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7267163:7271811:-1 gene:Et_8A_057792 transcript:Et_8A_057792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASTAPDLSLHISLPSGSNAASPSPGLVGAGVGGRGAGAAAAAGGGDPWRRLNGSTASTELSLSPPPPRLEQGAPPSRLRPSTAANPAAPSSSFFPVTVPRLSSLDAAASAEAARARPINGVPVYGAPAAGSPFLGGAGAGGDYRHHHQRHHHPKVGLYNPYHPSSAWAPSSLRSSSSSTTASSSSSDAAFLSPSAYHRMLSGTGRLHLHGVLADTLRGAYGHHHQHHFGSSLASSRYMMPRLPASRRGMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHMYRTVKSTDKPATSSGPIDGSGSGDDELPNAEQAQSGGDLNQQPFAGHRSASSDGATSQAGGGDVECSSADDSHGCRARSTSSRDQGLPSRACNGDNHRSAGVSSTIEDDTEPCRSASLQQISNQEMGNPSLEFTLGRPNWDGAEND >Et_7B_053714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11327358:11332216:-1 gene:Et_7B_053714 transcript:Et_7B_053714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRATPRPPEAVSAGGRPAASQKIVQLCAPLSKARPPFGAVVDDYHRFPRTPSPAAAGTATGAAGRGGIEEGIVVSTPLKRKSPHGERDTAESTELMIPTPGFTKGVDSPLGTPISGKTARTYKTKAKCSEAGPHTPIPNAGNSCRYDNSLALLTKKFINLLKEAQDGILDLNIAAETLDVGNISSFKLVKKRRIYDITNVLEGIGLIEKIQKNGIRWNMLTSQIYRGLDASETNLDNEMSVLEKEAENLNLQEQTLDEHISEIREKLKQLTEDESNQRWLYLTEDDIKGLHCFQNQTLIAIKAPHGTSVEVPDPDVKAGNYYQRRYRIIVRSSMGPIDVYLVSKFEEKPEAQQGGVATPSRKTNSAKHVTVEASRIIEAGERSSTKEVLLNAQRFQKTTDLKASYDFQAAMMKINPSDVDSDADYWLLTDDDVSITDMWRPTPQVQWDQIDPRDFSDEDVSTPRALDQQPAAVGAPKAHQSPPFLILPIQLATTGVSATVGGNLIGDAALCAA >Et_9A_061354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10414509:10421974:-1 gene:Et_9A_061354 transcript:Et_9A_061354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGSLAGAVAARSYAPHLLRRRDPPSLALSVSASAAADGARLFCGRQLRPAPVLPSSSFSLSPPARRQFLSAPPAAASAASGSSGEVKPKGFAEKYPALVTGFFFFVWYFLNVIFNILNKKIFDYFPYPYFVSVNHLLVGVIYCLISWSLGLQKRAPINSTILKPLIPVAVCHAIGHVTSTVSFAAVAVSFAHTIKALEPFFNAAASQFILGQPVPLPLWLSLLPVVIGVSVASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNLYAYISIIALIVCIPPAVIIEGPQLVQHGFKDAIAKVGLTKLISDFLLVGLFYHLYNQVATNTLERVAPLTHAIGNVLKRVFVIGFSIIVFGNKISTQTGIGTSIAIAGVALYSFMKAKIEEEKRLPRFHYGENVPTFGLALRVLK >Et_10B_004053.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:80946:83624:1 gene:Et_10B_004053 transcript:Et_10B_004053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFLVVPLVRAACLLLFAVGAAARIVPVEYLYPPFNLTYFHFIDTNGVFLLSPNSNFSAAVYNAGSIAASDTQSRFFFSVLHSASRTPVWTATAAGSTILESVVLSLSAQGLSLFDPSAARPEPAWSTPRLRRPAAALRLLDTGELRLIDDGNATVWSSYERPTDTLLPGQELRVGVPLTCSTSDQDLTPGAYRLVLTANDALLQWANSGGDQVTYWALSSDPASVQESNQAVVSMAVNASGLYLLAANGRDAVYRLLLPNEGGESRILKVDPSGRLRAQTLTAGAGVPTAWTAPGNDCDLPLPCRSLGICTYSSGGNNGSTCGCPDAFSTYSSGGCAPADGSALPAAEEGGCGNKAYSYVSLGAGIGYLPNKFAVPETSGESLPACRDLCSANCSCLGFFYRNSSRSCFLVRNQIGSVFRGDVAAVGFIKTHPKGSSSSSSSLSFITIVFGIVLPTVAAVCIAFLLYAMGAQWLKRRRPDLKSGSGGGKNGSSWFKLAAMLSSRASSPRADDGDDQEEEDVLIPGLPTRFTYGELDTATDGFKWQIGCGGFGSVFRGELPDRSTVAVKRMNGLQGRREFLTEIAVIGNVHHVNLVKLRGFCAEGARQLLVYEFMNRGSLDHSLFRALLEWPARLRVCIGAARGLAYLHAGCDRKILHCDVKPENILLDDHGGVKIADFGLAKLMSPEQSGLFTMMRGTRGYLAPEWLMNAPITDKADVYSFGMVLLEIVRGRKNSKKEEEQMTSSSSSSSSSSSSLETKGGGSSYFPALALDLHEQGRYGELVDPRLQGRADVEQVARVVRVALCCLHEDAALRPNMTAVSAMLDGSMDVADPRTELLRYLKMYGRGLVDVRPLPLGWMDDGGKKGSDTTASSWSPPSCVSAQQLSGPR >Et_3B_029571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26025483:26030254:-1 gene:Et_3B_029571 transcript:Et_3B_029571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFSKILNFLCIQVADALAKLGANLGLGAVQLWPDCNPAAVNHLVAADQASASADEQAVETSETDIDRISSLPTEILHNILSLVWMRTVVRMRRLSKRWRHLCESLQFICLRTQDFESWSNVKFTRFLNNLFLLRAKVDLHTFQLHWCCSLKHNVKVLHVEVAGYDRSFLPHCIFACPSLQELNLQLLPDSYGYRPMLPCTINLPSLRKLTLADVEISQVSLNQIIAQSPGLEDLDLTNCARYFNLIDSKVLKRLSLNRFYDCIESFTVAAPQLIYFKCIDFPLEDIAWRGQPSLESAHIETNGDTYDAQSGFTGIISHAKRLELNFDGSDVKVMLEKELPTCSVFENLEFLQISDWCMTDNLYIILRFLQLSPRLQKFVLKHGKLPKAGKRAGTEAMLSSEMTFQCPHLQKVIIHCSKGDEGIDTMVNAMVANGVSLEKIQITFYEDIEAKCCQKRCAIE >Et_4A_032437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10066402:10066643:1 gene:Et_4A_032437 transcript:Et_4A_032437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFKTRVRKLSPSLGTIQPTRSRLEKTMLSRQVHIHQNAANSLLGAQCISSFIQSTLIEQDLDF >Et_9B_066119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4280272:4284021:-1 gene:Et_9B_066119 transcript:Et_9B_066119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKASQQALQLGDGEEGDRAFVPLMKKTPETWWWDSHISPQNSKWLSENLEEMEEQVKEMLGFIEEEEGEFSAEKAEVFHQKRPLLITHVKNFHRMYRALAERYDSVTRELRKNIPSSLQPHGSLGMTESDSEAQSSQSPDPGMQEKPRPDCSDVSAGSGVSSDVSKKGSEGSSSSSESDSELEQNKEQNGSIFYALSQKVIELENELHEAKGKLEALEEKNMHYEERLQGSDIESNNFQNDLEETSSALESLTEVNNEKEALQAMLMEHKTETEVLKGAMASASKQFEVELAHRDLEIDKCKHELGTLSEKYLHDKSTLEEEVRNLQEVIKNLEGDLAKISQEKSQLESRIEELEQAAQNLEYSASEVVKLQEVIKNTQAELENVAGEKEVLKERAIEFEQHFRNFEASGIDVAKLPESVKSLEAQLERALEEKSTLQDRIKELEQIMCDSSEKHSKEQSSLRADLLKLSEANASLEGKLSSVETELMRVYVDKEEESLHTEKQISALNQDLASLRSELALLSSEKATVDDKLSNLLTDIAARDEKMKQMDDQLNQLQLEHAKVMAECDAARKSMSELHARVSELEEEVEKQKLVISESAEGKREAIRQLCFSLEHYRSGYHELRQLLHGHRRPLVMAT >Et_3A_026529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9374166:9374958:1 gene:Et_3A_026529 transcript:Et_3A_026529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSCTKVRIIARRLACNGLATQCKRMSQTLATSATCEIWGLEDKTAHHAVVRCTKAVTLLQAMRQSNSCRATERCNIQHNPKASELDTKEKISIDITGIKKKERSEVVYRWEPKPDDWVKIDINAGFRADAGESTSRVVIRDSRIYVMLTAWPILRQYTSACKAEAESCLEGVKLTNKRVRQPIIIESDCWDL >Et_1B_010291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35152507:35154417:1 gene:Et_1B_010291 transcript:Et_1B_010291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLTSGIVPSLNMSAGLLAFFLMKTWTSCLERCGVFPKPFTRQENTVVQTCVISCSSIAFSGGFGTYMLGMSKKIAEGFDEAKTSINVEEPSLGRLIAFLFLVSFVGLFSIVPLRKIMIISYKLTYPSGSATAHLINSFHTPMEAYRRRFYFDFSATYVGVGMICPYIINFSLLLGSVVSWGIMWPFIESKRGMWYDSRLPRSSLHGLNGYQIFISIAMIIGDGLFNFLTILVRTSYDMYLKRRRRPGSNERQAPSFDDRRRTQIFLKDQIPTSIAAGAYVLLAAISVVAIPHIFRQLKPVHVVWAYAVAPVFAFCNAYGTGLTDWSLSSSYGKLAIFIFGASIGAENGGVVAGLAACGLMMGIVSTASDLIQDFKTGYLTLTSPRSMFVSQVMGTGLGCIISPVVFWIFYKAYDVGLEEGYPAPYAKIYRGIALLGVNGWNQLPKYCLRFCLAFFLLAMAICALKEVAKQRGWWMQDYIPSALGMAVPFFLGSFFTIDMCVGSIVLYLWTKADPMRAHMFAPAVASGLICGDGIWSLPSSILSLLNINPPMCMRVFSAETNYHVEEFLWTLRNPDAT >Et_6A_047195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26589710:26592907:-1 gene:Et_6A_047195 transcript:Et_6A_047195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLGPINSLLVKLPVEPEFTDLQQSLGDLKKQLLRFSARGAVRSKLVQQWTHLLRELAYDVDDWMDSLLIHSWGRFKLKWWPSRQRARIQEFKDKIRNMLDYGSSFGIINIPGVDHPGDDEFVSRNLSSLGWMMDEHKTHLVGLDGPRSEIVQHLMDDEQTVKVVCLLVPGGLGKTTLAREVFGRQRSQFDCGAFVQLGRTPSVVATLIDIARQVMPKSLFPCDEKLIARKLWEFLGSKSLDLTLCIFTNLFYQKIWCVSAFIVIEDIWCVSAWCNSRGVLPENNLGSTILATTEFKHVAKSLSVKSTDFIYLLKALSDSESRSLMLSRIYVSDEDCMVDSKIIQSLVKICGGIPLAIAVAGGLLAAQSVTLIRSGVLEKATLLPLNQDSTSQVMEKLLEISYVDLPLHVKSCFLYLSAFPENKTIKKDRLIQRWTCEGFIPASNEETSLEIGERCFSELAIRRLIQPVFDGNDDGPIGCSVHGMILDFISSLSGKENFVTLGSTLVMDHIHVTLFNDLHMTATSKMKPMHWPQTLVRSFTICGNAKERMPDLSAFKLLRVLDLEDTEILEYHQLRSIGNLPLLRYLGLQGTGIAKLPKQIMALENLNTLDLRRTMVTQLPTFRTVKLASLLVDRLHLQRGMGEMRCMEELSMVLVTPIRSAKDPSKIPVDGSDSLDDAAQLVRKSKKLRMLAVRFDSLFGGTKTYRQEGVMHFLDEVAKSSIQSLILHDYPCSLVDLLVDCWSCTRPDHLRKFDHRIDGHFSKIPQKIASLVYLTHLHIKVYEVEAEGLYILGKLPNLILLCLISEFGSQERLTITRDCFRCLKVFLYNCQHHGMGLQFEPGAMPQLQRLRISFELLQTKLDYGDFSFGIQYLTRLAGVHAAISCWRATTLEVEAAKAAIREQVSQIPNAHILEFSREDELDMLNG >Et_9B_063907.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:20675257:20675361:1 gene:Et_9B_063907 transcript:Et_9B_063907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHANRALTELQDYDYGGSNSKHDPRRKPGGGH >Et_9A_061483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12441891:12447729:-1 gene:Et_9A_061483 transcript:Et_9A_061483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCNLRQDFTGVLSAFWDPSHHLTNYSTVYPTAPLYKAAASLQLSIHHSLIHRQPRASLSHSLVLRAVADTAELASDKRDAVVVGGGEEVAAASGSKAVVEELYRALERGDADAVRRLLNPDVDWRFHGPRAHQHLVLMRLLTGAGGSGAGGGLPFKVRSVDAFGATVLAEGTDATGKLYWVHAWTVGPGGRVTEVREYCNTALVVTRLGGAGGGGADAPASATTAKAVCSQSQSQQVWQSRLPDRARRNLPGLVLAI >Et_2A_016513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25505209:25512661:1 gene:Et_2A_016513 transcript:Et_2A_016513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELGKLDLPRCFSRPVAPLGHSCSLQASLLPFQTQSPPEPSVQFYQLPRVYWAVLMGGSSRQEPGVNQADGWLQKPALGLNSLMQVPEKIQNSLKVTVPDGSLCNLNLKFKAGLPPDAVYNIIIDPENKRVFKNIKEVVSRKVLLDEGPRQIVEVEQAAIWKFLWWSGVLSVHVFVDQNRNNHTVKFKQGRTGFMKKFEGCWKIDPIFVDKEVCRPLDPCTLGEYDSCTDGRGRVGSSITLNQLIEPALLPPPPISWYLRGITTKTTEMLVNDLIAETARLRGIADNASCKKDDEEKCIDDNRNPTKESGDIKERWRQRRKSGRHGNSHRLTSQLM >Et_9B_065456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5173525:5176450:-1 gene:Et_9B_065456 transcript:Et_9B_065456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLREFEAAFYNSLPPISRAFGTLCVLTTALVQLQILNPAFLALYYPYVFKKFQIWRLLTNFFFLGKFSINFGIRLLMIARYGVQLEKGAFERRTADFLWMMIFSAISLLVLSAIPLLESYLLGIPLVSMLVYVWSRENPNAQINIYGLVQLRAFYLPWVMLGLDVIFGSPILPGLMGIMVGHLYYFFTVLHPQATGKNYLKTPKWVCRRTLQSGQPTPAPVSSEEEAIDSTKIDLERAPCNVMPLQSCSVETVLRTY >Et_1A_005569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11597778:11598202:1 gene:Et_1A_005569 transcript:Et_1A_005569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASHVVPKLSFIDFLHLKSRLARHLDADPMHQGVAIACLTPSYAQQAGGTKRGSFDVFDPVSKNMYTLSVQVPGVTPVQEHDSSAALVSRNGWVLVSRGRRSFFLVNPFKRGATACATYICSKGSRSAPRRGLRTSP >Et_8B_060325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9589452:9590489:1 gene:Et_8B_060325 transcript:Et_8B_060325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADFFSVSECKGQKTIDGEQVPLVLTPSARADDGYEALAAALKENREWVEAKVVANSGVLLRGFDVRDAAEFNALVEALGWPDIRYVGPAPRTHVHGRVWTANEGPLEEFIYYHHEMVLIKEFPGKVILFCETPPPSGGETPFVPSFRVTERALAEFPEMVEELDSRGLRYTFTALSKNDTKSMRGRGWEDAFATPDKAEAEARARALGMDVEWLPDGGVRTILGPRKLTRVFPGRKGRRMWFNTVVGMHGKELSSATFADGSEIPAEFVRRCGEIIEEESIQFRWEKGDVLILDNLATLHGRRPSLPPRRVLVATCK >Et_2A_018142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13397257:13413899:1 gene:Et_2A_018142 transcript:Et_2A_018142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYPVNPAKFLPSGFHLEAWPPLEALERPHRRLRICAGPVQRVHESVGIAILNPQVDPEDFRSMAPVLREYLYRERNAPGGVNAGGSWGLSIDVPEDGLMGGNQAPVTAPQSPGVQVDAGDGITPAPALVVSSPVTVSLGIGAAPASPMAVLIPAVEAGTEDVAAAMDGSAPASPLAVPSPVLLGTDEDEEPVTPMVKPLTVADVEELLMNEEEGPNVHAGQAGQAICNPMLPAFQDFFNCIAAAPVNLAGLDMEKNKITDISMGSVLVESGDQNLQQRFVMMVTTEPKKNSSCIIEEVVEDPAPRRRARKAKVPIDRTTLPQEVNGTSLNAKDLPAVLLRRQPLKNPINLLRRKCIY >Et_5A_040563.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:9953722:9953982:-1 gene:Et_5A_040563 transcript:Et_5A_040563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAWQMNLAFQGPGVLRRRAGCLGGTLLSQGRCWVHLLVRCCAGGRRLPEPSVVEARQGSAVRRGLQEAPWGDSCVHGRLQVLPG >Et_1A_005027.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22216532:22216828:1 gene:Et_1A_005027 transcript:Et_1A_005027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLEPDTPETTTRDWAALPGDVLCEIFRRTRHADILCGSGLACTAWRGVAVDEPTLWRRIDVYEEDYRDELDYWTGRQCESYRGPADRYLLAYIAAR >Et_5B_044585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3812974:3814605:-1 gene:Et_5B_044585 transcript:Et_5B_044585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDVSMEYLSSAMLVFTAGEYNGSTLSVKGPLRGPNDTIERAVVGGTGKFRLARGYSLTKTLGKTRTSSCLMCSCSRITGILRVDCHNCMLDASVRLAPPSDLCSYSACSMY >Et_3B_030924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8985585:8989340:-1 gene:Et_3B_030924 transcript:Et_3B_030924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSVRALTTILSALRRARHPIPARLAAQLHARVLVCPHPALLTQLVSLYAAAGRLADALAAFRAHLPSANLRTYAAIVSALARPRPGLAFTLFSCARCGLRPSPHVISAVLAACAGLPPLCGRQVHACAVKVVPPVDVFVYTGLVDVYAKGGDMAASRKVFDEMPIRGMASWNALLVGCARNGMPLDALSVFKELASQGPEVPLDQVSVSSALSACTGAGAVDFGRQVHAYAAKVGLELSALCVSNALLYMYTRCGCSREALALFDAVDCRDVVTSNIVIRGFIHGNRFKEACVQFKSMVRDGVLPDDVSFATALQASASMPAWVLGASIHASVLKTGFLDSRGLASSLINMYSKCGRLDDARRAFEVAKDHLCVLTWTAMITALQQHGRGMQAIDMFETMLRKGISPDHVTFVSVLSSCSQSGLVEQGRKYFNLMTQVYKITPWSEHYACMVDMFGRAGLLGEAKQFIDQMRVKPDASVLGALLTGCVNCKDLEMGEEVAKRLFEIEPGNTGNYVLLANIYTSHGRLDEANKLRKRMISQEMKKAKGCTFVSIENQTTMASNQSEVYDVSGMTEELLGKKSLLRNSKVGVFNLDNNQFQLTSRNILPWYIANHHPVSVLVFVGDRGLKHVAEFGEEAFIPIQQKQV >Et_3B_030186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31171207:31179381:-1 gene:Et_3B_030186 transcript:Et_3B_030186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQPLPVTSSAGECQSPQLQKQAVYTVWMKSLVFSGNGCTVYGADGSVAFRVDNYGCRGGREVFFMDRAGNTLIRIQRKSFGMFKRWEACRYVDDGEEAKPWFSVQKAQKNGATVKMHGNGRTYGIDGCSCKSDYKISDADGAVVAAVERKQTASGVVLGEDVLTLTVGSEVDHLLVLGFVVVCGLMNRCFNKTSSSTISMAKIQPLPSADECQSPQLQKKAAVYTVWMKSLVFHGNGCTVYGADGSVAFRVDNYGCRGGREVFFMDRAGNTLIRIQRKSFGMFKRWEACRYVDDGEEAKPWFSVQKAQKNGATVKMHGNGRTYGIDGCSCKSDYKISDADGAVVAAVERKQTASGVVLGEDVLTLTVGSEVDHLLVLGLVRPLPAATSSSPSSAEQRLQGLLGRRQGRQAVYTVWMKSLVFNGSGCTVYGADGRVAFRVDNYDCRGGREVFFMDCAGNALIRIQRKSFGMFKTWEACRCFDDGEGLGVETTRPWFKVKRARKNKAIATMHGSGTTYIIDGYARKSDYKISGADGAVMATVGRKHTPSGIVLGDDVLTLTVGSEVDHLLVLGLVVVYGLMNCCL >Et_4A_033995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28934030:28934652:1 gene:Et_4A_033995 transcript:Et_4A_033995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGNGQLICVIMKIYHSVRNRVEGRVIHFRNTLPIVLHVMWYDLAARMNEVVLNQNDHMPRWIWTASNKYTIKSMYEHLTKDDNGNSGFGSNKHFRGGEKYILWASLAFAGQHGKPEIGLALIRNGLSTLMT >Et_2A_017445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34411646:34415998:1 gene:Et_2A_017445 transcript:Et_2A_017445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGWRRSVRLCLWLAVAAALTLLQAHGESDAEKITKKVFFDIQINGKPAGEKGIGRHGEALHYKGTFFTRIEATSSTTTEQAVTPSTGISSRMKTSSSSTPDQMATSGWKRSAAARSVSRHATLFLWLALAAAALTLAQAKKSNAELTEVTNKVYFDIEIDGKPAGRVVMGLFGKTVPKTAENFRALCTGEKGMGKSGKPLHYKGSAFHRIIPSFMIQGGDFTLGDGRGGESIYGLKFADENFKIKHTGPGLLSMANAGKDTNGSQFFITTVTTSWLDGKHVVFGKVLSGMDVIYKVEAEGRQSGAPKSKVVIADSGELPM >Et_1B_013594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9786890:9792955:-1 gene:Et_1B_013594 transcript:Et_1B_013594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQSSMSGAGEAGVRTVVWFRRDLRVEDNPALAAAARKAGEVVPAYVWAPEEDGPYFPGRVSRWWLSQSLKHLDASLRRLGASRLITRRSADAVVALLDIVRSTGATHLFFNHLYDPLSLVRDHRVKELLTAEGITVQSFNSDLLYEPWEVLDDEGCPFTMFAPFWNRCLCMPDPAAPLLPPKRINSGDLSKCPSDELVFEDESERGSNALLARAWSPGWQNADKALTAFLNGPLMDYSINRKKADSTSTSLLSPYLHFGELSVRKVFHQVRMKQLMWSNEGNHAGEESCTLFLRSIGLREYSRYLTFNHPCSHEKPLLSHLRFFPWVVNEAYFKVWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQFEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPGSVLQAAGVELGSNYPRPIIELDAANARLQDALSEMWELEAASRAAIENGMEEGLGDSSEVPPIGFPQELQMEVDREPVRNAQTPMMNGQRREDQMVPSMTSSLARAETELTADFGNNSEDSRPEVPSNVHLQPQTEREETVDNGTGNAVRMNGIHQQYNFQNTLHRMQGAMPTTSEASSSWTGREGGVVPVWSPPAASGQSDPYAADEADISSRSYLDRHPQSHTMMNWSQLSQSLSLKVSTSGCTEGPSAPQGSKLTLLDPRALS >Et_1B_014070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29625742:29628428:-1 gene:Et_1B_014070 transcript:Et_1B_014070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPTTATGGAAAPVPADQAAAFRLVGHRRFVRANPRTDRFHALAFHHVELWCSDAASAAGRFSFGLGAPLAARSDLSTGNSAHASLLLRSGSLAFLFTAPYAHGVDAATASLPSFSADAARRFAADHGLAVRAVALRVADAEDAFRASVAAGARPAFEPVDLGRGFRLAEVELYGDVVLRYVSYPDDKDHPFLPGFEDVSSPVALDYGLRRFDHIVGNVPELAPVAAYVAGFTGFHEFAEFTAEDVGTAESGLNSMVLANNAETVLLPLNEPVHGTKRRSQIQTYLDHHGGPGVQHMALSSDDVLRTLREMRARSAMGGFEFLAPPPPTYYEGVRRRAGDVLSEAQIKECQELGVLVDRDDQGVLLQIFTKPVGDRPTLFLEIIQRIGCMEKDERGQEYQKGGCGGFGKGNFSELFKSIEEYEKSLEAKQADMFEDYVQGQRNRERGSTPLCQEQNSLVNM >Et_10A_001085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2153516:2155742:1 gene:Et_10A_001085 transcript:Et_10A_001085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAGEARRRWCCGGGSLFPEESFRSWSAYGRALLETGPRLRDRATARSLDATELHEVRGRSGAEMKRSLTWWDLIWFGIGAVIGAGIFVLTGQEAKEAAGPAVVVSYAVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNILLEYCIGGAAVARSWTSYFATLLNHHPNDFRIHASSLSPDYSRLDPIAVVVITLICLFAVLSTKGSSRFNYVLSIVHVAVIVFIIMAGLTRAKLANLTASFAPFGVRGVFAASAVLFFAYIGFDAVSTMAEETKDPAKDIPVGLVGAMTLTTAVYCVLAVVLCAMQPYGDIDPDAPFSVAFTATGMDWAKYVVAFGALKGMTTVLLVSAVGQARYLTHIARTHMVPPCLARVHPRLGTPVNATVVMLAATAAIALFTDLGILSNLLSISTLFIFMLVAVALLVRRYYVAGETTRSDRNKLAACVAAIIASSVATAAFWGLEISGWAAYAVTTAAWLAATTYLQLGVPKARAPKKWGVPLVPWLPAASIFINIFLLGSIDAKSFMRFGIWTAALLAYYFFFGLHASYDTAKALAAEAAAGKVEEGAKAVVDGGN >Et_4A_032345.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3147309:3147935:1 gene:Et_4A_032345 transcript:Et_4A_032345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVIRGINIKDGVKAKFVYNLLSLSEAAGEKVLVFSQYVRSLDFLETLVTRMKGWRSGVDTFQMDGGLTNDQREEAVDRFNNSPDAKVFFGSIKACGEGISLVGASRVVILDVHENPAVMRQAIGRAFRPGQSKVVYCYRLVAAGSLEEEHHRTAFKKERVSKLWFEWNEHSCNEELELAEVDVSDTEDRFLESPVLREDIKSLLKR >Et_3A_023416.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:26320474:26320563:-1 gene:Et_3A_023416 transcript:Et_3A_023416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLFCEIPNGKELVRKNLLYKVRNGELR >Et_1A_007441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34551444:34555777:-1 gene:Et_1A_007441 transcript:Et_1A_007441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPRPIQGIYLSFCSSSFFERSTSFAPHVHSYIAASVFEASCRLSIVEESFDTFRHYLLFGPLVWKVFEEWREQGGMPLGSWWLHLLVLFAVRGLTYQFWFSYSNMLFFTRRRRVVADGVDFRQVDAEWDWDNFLLLQTLIGATVVNSPLLPGLRQLCLWDPRGWAIALLLHVGFSEPVFYLAHRALHGAPLFSQYHSAHHFSGVTHPLTAGFGTPLEALILTLAMGVPLAGAFLMGSGSIGLVYGHAFVFDYLRAMGYSNVEVVSPRVFEAFPPLRYILYTPSYLSLHHREKRSNFCLFMPALDALGGTLDARAWSLQRAAYDGERGGGAMGAPDFVFLAHVVDIMSSMHVPFVLRSLSSTPFANHFILLPFWPVAFGFMLLMWCCSKTFVVSFYYLRGHLHQTWTVPRYGFQYFLPAAKKGINHQIELAILRADRMGVKVLSLAALNKNEALNGGGTLFVSKHPDLRVRVVHGNTLTAAVILNEIPNNTKDVFLTGATSKLGRAIALYLCRKKIRVLMLTMSSERFLKIQREAPPEFQPYLVQVTKYQAAQQCKTWLVGKWLSPREQRWAPPGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVEGLSSCEYTMERGVVHACHAGGVVHFLEGWEHHEVGAIDVDRIDVVWRAALKHGLTPV >Et_1A_006998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:302868:305550:-1 gene:Et_1A_006998 transcript:Et_1A_006998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEERKRVLVVGGSGYLGQHLLAALASSCHGLDVAFTYNREAPPKPLLDALPSLRAFRADLRSGQGLDAISASFGEPHVIVNCAAISVPRACEMDPHAAMATNVPSSLVNWLLAFVSCDTLLIHLSTDQVYEGVKSFYKEEDETLPVNMYGKSKVAAEKFIIEKCSNYAILRSSIIYGPQTISPVTKSLPIQWMDSVLSQGQQVEFFNDEFRCPVYVKDMVDVILSLAKTWLSDGKRIQVLLNVGGPDRVSRLQMAESVADVRGYNHSIIKSVSASSVDRGVASPSDISMDISKLIQMLGIKPISFQDGVRATLDTESILGKLGDGTPSYASVI >Et_5A_041903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4020340:4022021:1 gene:Et_5A_041903 transcript:Et_5A_041903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHAKESLRRMYSAAKSYYGGLVPSSALSASGLRHSLSFSNKASRHVILCSSRPLTQSSLGGSSADQEIVIAMGSNVGDRVSTFDRALQLMKSSGVNITKHACLYETAPAYVTDQPRFLNSAVRGTTRLGPHELLEKLKEIEKDIGRTGGIRYGPRPIDLDILLYGNCQIDSETLIVPHERIHERPFVLAPLVDLLGTSPDDGIETSWHSLSKCSGGFFELWNKLGGESIIGTEGIKRVLPVGDRLLDWCERTLVMGVLNLTPDSFSDGGKFQQVEAAIYQVKLLISEGADIIDIGAQSTRPFAKRLSPNEELERLVPVLDEITKIPEMEGKLISVDTFYVEVAMEAVKRGAHIVNDVSGGQLDPTILKVVAELGVPYVTMHMRGDPSTMQSEQNLQYGDICKDIASELYARVREAELSGIPLWRIVLDPGVGFSKKSEQNHEVIMGLDSIRREMGKMSIGASRVPILLGPSRKRFLGEICNRANPVDRDVATVAAVTAGILNGANIVRVHNVGYGVDAAKVSDALLKVRR >Et_9B_064551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16425266:16431033:1 gene:Et_9B_064551 transcript:Et_9B_064551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLASLARALSRSARSSRPRQGFQLGGLGGLRSTPAPPLPQPAHGGDGIGIGFVRGYLTAASAAALGRPAAGKAVDWRALLANPQFRRFFSDGSKKNYENYYPKGKKEGPKGNGSKKAESKQESDTEGQWNFQETFMKQLQNFLAPLLILGLMMSSMSSGTAEQKEISFQEFKNKLLEPGLVDRIVVSNKSVAKVYVRSTAQPKGQGQNSDTHISTSEVPGRAAPSRCKYYFNIGSVDSFEEKLEEAQEALGIDPHDYVPVTYVAEVNWFQELMRFAPTAFLVGLIYLMGRRMQSGFNIGGGPGKGRGGIFNIGKATVTKMDKNSKNKVYFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDIKGRDQIFRIYLKKLKLDNEPSFYSQRLAALTPGFAGADIANVCNEAALIAARNEKTQITMQHFESAIDRIIGGLEKKNRVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPSENLLMTKEQLFDMTCMTLGGRAAEEVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRDDGFEMSKPYSNQTASIIDDEVREWVGKAYKKTVELITEHKEQVAQIAELLLEKEVLHQEDLTRVLGERPFKAAEPNNYDVFKQGFQDEDNKSQSPAKDAEVPDDDVSPSLGEVVPTWISTGGNPVGQSASRAINLSLSAMKKEHSTEFLHLGIWLIVKAVAYQGLRSPGGPAVQLSTICPGLTKTSPPCGSLRASPLSIWWNASPGSAGCGNSTARFMAGLASSRCFRSTTSGGMEVSILSSLGTSSSATNTPMSSQGMASAKGSTMSSATITGTQPNTTASTSRGLHGAHPSGHRQNTARCRSW >Et_2A_017403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3392098:3395495:-1 gene:Et_2A_017403 transcript:Et_2A_017403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCGRGAAPLVLIALSAAFLTYNALISSRSFTASFPSSTASFPGATASSRRVGAGGTGRRRAFHTAVTASGSVYNTWQCRVMYYWFKEARRARGGAEMGGFTRILHSGKPDEFVDEIPTFVADPLPDGDQGYIVLNRPWAFVQWLQKADIKEDYILMAEPDHIIVKPIPNLSGDGRAAAFPFFYIEPKKYENVLRKFFPEYEGPITKIDPIGNSPVIIGKESLARIAPTWMNISIAMKKDPDADKAFGWVLEMYAYAVASAHHGVGNILRKDFMIQPPWDLEVGDAFIIHYTYGCDYDMDGKLTYGKIGEWRFDKRSYESKPPPRNFPLPPKGVPQSVIQMLLEL >Et_2A_016773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28078645:28080234:1 gene:Et_2A_016773 transcript:Et_2A_016773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPVTAAAAARDGAVAVQAPRQLPPGFRFHPTDEELIVQYLRRKALSRPLPAAVIPVVHDVASLDPWDLPGAREGEAYFFSQRRAPATGGGGRRRRARSGYWKATGKAKPVFVQGAGCGGKLYLVGVKTALAFHRGEPLTRAPASSSRTGWVMHEYRLAVPGGVAEQRKHASHGSVVQPGEWVVCRIFLKNSSSRRPSRDAACETPGNLASVAPLRHQGAGQLRLVLPSSPQVSSSSCVTGVTESSDQDEVSSARSIGGAAAASQRES >Et_7A_051355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17335081:17338280:1 gene:Et_7A_051355 transcript:Et_7A_051355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMVVGTIPPPSQKCQRRCGAVDIPYPFGMEHDLYDHCAMPGFSLTCKDAGDGILRPFHNNIEVLSISLLEGQARMLNEISSACYNTSSHVMNYKDNMVMNLMDSPYRFSDSKNMFTVIGCRALGFVRDNDMDAKFMSGCMAMCRDGYIESQPEGMCSGVGCCQARIPKGLKYSRVWFDTLYSTRSIYYTSNCSYAVLMDSENFTFETSYATSPEFYNTNGGRVPVMLDWAIGNESCQLARKMEGSYVCISSNSQCLDSTNGPGYICKCSKGFEGNPYLLHGCRDIDECTQSDICPEICLNFPGGFTCKCRGNVEYDPLRKQCIGNHQSHLTGIAIGLGIGIGMFLLCVVGVFLFHKWRRHVEERLRRNFFQKNHGHLQKQLILSDNNASDRTTIFSLKELEKATDLFDAARIVGSGGNGNVYKGILSDQRVVAVKKSNKIGESRIKQFINEIVILSQMNHRNIVKLFGCCLETEVPLLVYDFVSNGSLFNILHRDKSIEQLSWEDCLRIAAESAGVLHYIHSEATISVFHRDIKSENILVTSDKTAMLADFGISRPLPINQSIVQTEVKGTPGYFDPEYLQTGLLTEKTDVYCFGIVLLELLVRKPSNFISDSGEEEHLPHYFLEAMKKKTILQRLLPLKCAWRRLKWR >Et_6B_049359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3879499:3880258:1 gene:Et_6B_049359 transcript:Et_6B_049359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMSGTMGFTFCTFLLLPPISWSSSPRLSALSPAPPPQYRSLRTTMDATSVSPPMLRRAAAAAVLPFISASLDRPRWSCAFRLATAISISLLRFLSCATSCLVSATSASSFSIPAADAPSGPPSSSLHVLFGKGEDASDGGEDGAEYMGTTAGIASASDSTHWGNGAAAAATASLMLGHGTGTGIGIGGGNGERAGVGPGVALADED >Et_4A_035270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1601793:1603073:-1 gene:Et_4A_035270 transcript:Et_4A_035270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASRRSGVPMTPCLVVDPDEITKEGHTVLVDSKGDCLTCDIEALGITKSFALTWQGWILSSDPDDFRTFMCNPHTLDKIELPRLAHQLPRQFKCAMSDKPTSDGCIVVVLHPDEPMLWYCRVGGDEWIKYEYNVGNQRRDFEGLVMGKIVIDHLTACSGRFHFDTSSVTHDILEFTPHPIIQLINVHGIPRIVPPNPKGFMCHFCCFEMDGQPFRLLAFYYEDSSIITSVALYKMDVANKRWREVDALADQALLWSGYECLPVLSMRLVIMAMREDEWRCRDPAESFPAWRRPPASPSPPFDQYLVSGHEGAPE >Et_3B_030278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3222360:3223774:1 gene:Et_3B_030278 transcript:Et_3B_030278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHQKKRGSMEEEEVVDRLSSLPDDILHSILRGLRLKHAARTSALSRQWAHHWLRTLAASCVVDFTDRDFARGQSPEHAAATVSRCLRLQAEHGPPLEAFRVALDGTLGAGAGAFARDVVGWVVAAVARGAREVAVDLTPSTQGSRAQLDADEEVSATAFMELPRDLFLTETSLARLSLDQFSLRAVPAGAAGLAGLRSLSLSRADVTDETIEAVLSTCRLLEFLSLSSCHLLTSVRIAGDNLRVLELVGCPAMRELRVNAPALESLAFHGNILCLDDSEDDDYAAAVDLGATPALRDAYLSHLGFATEDDVHDKEFAYADFLTCVARARTLTLCSIGLQHMWAHVGYDPTVNIDMTNVQELQLLMASLGDDDIEAVSSFFQLFEFPLLDRLFIRVNLDLSRSFNFMEKTFIKLLSLPLCFCPKSNSAN >Et_8B_059061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1346255:1346708:-1 gene:Et_8B_059061 transcript:Et_8B_059061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETASANLNQSLSLTLAAARRAEGEQGVHDRGGGRHGRRGGGVRDGFVGAGEVEAKVRLVMEESEERGEGSGRAWRPGRRKRAAWEKGGSSRAAFALFLSDVERLHEQIADE >Et_7A_050333.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:3549208:3549720:1 gene:Et_7A_050333 transcript:Et_7A_050333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSRSSQTTAEAEDKVKGGLLLDVIVGEGATILKLLAGEDEALLVRGDALLVLDLGLHVVDGVRGLNLQSDGLASEGLHEDLHATTQAEDKVKGGLFLDVVVSESAAILKLLAGEDEALLVRGDALLILDLSLHIVDRVRGLDLQGDGLASESLHKDLHLKYGNNGLAS >Et_6A_046618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18288422:18292658:1 gene:Et_6A_046618 transcript:Et_6A_046618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEELVSNSGSCRAEIEREKMSKHSYQSMEMLMEVEKAGSRSWVVEMEKMIEDTSLSAEKERWKKVSIYRVPEWQKNISNRKAYQPSLVSLGPFHHGEPDLLPMEEHKRRAVMHLVKRAERPLGEFVAAVEEVADELLDAYQGLDDKWRGEKRDRFVEMMVTDGCFFLEIWRQTAELISEAQADAQADDDYSANDPVFSDHGLDYLFPAIQADMVVIENQVPLVALERILAVQYGTPPRSLWINNLVLCTMSGLDSPEMENLGLHPLDVYHNSLCGGRRQHEESDEEDVILLPTAIELSEAGVHFQTSKTKWVHDIDFDNGMLSLPAVSVDEDTERVFLNLIAFEQLHAEVGRHVTNYLVFMDHIIDSERDVALLRSKGIIQHACSDKEVADMFNKLCNGASPNPTVKIQEVKYKVKGHCAKRRNKWRAFFVQAYLSNPWVFISLVAAVILLVATLLQTIYTVVPFYTKN >Et_6B_048278.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6462134:6462969:1 gene:Et_6B_048278 transcript:Et_6B_048278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVYTACTHGRFALHGNYASTVRSLQVEVHVNPEHGVVGAGVVGVRPQQAVPGGPAEPAGAADDGELPDLVEDGVPGQHRDGAAVVLPRREHQVGPEQQGLVGPGREDVEPLRAAHGRRRRVWALRQRVVDDVLVAEAVHGVAGQRRRAPRHARRRRGAPRHRVVHVEPEVPGGRGAVRDNDDRREGERDQE >Et_6A_045856.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2027880:2028559:-1 gene:Et_6A_045856 transcript:Et_6A_045856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLLGLKHMHEKGIIHRDIKPSNILIDSDSDCVVGKICDFGLATYYDEAITTWCGIPHGTYGYMAPEVYELKSSCTFESDMWSLGAVMYEFITGCPLISGRDSTGTVTRMRSLFGSPGKGAAHDEPNPADEEKNSGANYHR >Et_3B_028513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16383139:16385139:-1 gene:Et_3B_028513 transcript:Et_3B_028513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKREAVACQQAREVLPIVKNLASENQTVKVAEISGEIKVNINDQMYASLRSLQKLRDDVVREYNILGDRRAQLDMDVQTILKEGKMTPRVSSIIRKYEENSSNGEASQAIKIKKKRLTEAVLPRTKCQARNLIHEAMQELDEICRENNWILPRYSVLPSLIDGLYEATVYLVCPDLELNADGGVSTTPSEARDSAAAAMLHQLHKKAKEKLAKLDSSTPDAVLLDKIHEKTGSKHAEP >Et_3B_029121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22272543:22273573:1 gene:Et_3B_029121 transcript:Et_3B_029121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKQQTAARAGGAGAAGPALKRGPWSPEEDELLRRFVEREGEGRWRTLPRRAGLARCGKSCRLRWMNYLRPDIKRGPIAADEEDLILRLHRLLGNRWSLIAGRLSGRTDNEIKNYWNSHLSRKLVAQGIDPRTHRPLNKASPHDNPNSNAAATAASENKKPPVVELPSASPPPLAPPSSSSGAGVVAGDNDGGSDFAALVGLGADDDGFEGFGDQLFRAWDATRGGFGFGCTMVDDDGTFSSFLDSLINEDQFVVGYFGDHNNAGGGNRDQGGAISED >Et_7B_054444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21437564:21441516:1 gene:Et_7B_054444 transcript:Et_7B_054444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLARSAAAVARSALRPAPLAGRVLSAPLPPLASPSPARAARILRRSAAASAGLETLMPLHTAVAGARLRSCIAVDSSCWSSLSQGNHSLSDSNGKHILRVVCICEHSAVLPLIWIWFGWGARFGKFLPCVQFFFFFWFCFQSLARYAVLSTNRPHCHKNINRGRMLLDSDNVDMWLMTSSRFHKHDSGAKMDVDDDLWQNPQT >Et_1A_004666.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:29363306:29363723:-1 gene:Et_1A_004666 transcript:Et_1A_004666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYRYRSGVDTFSVPVAAPTISVGDLKRLILGTSRHGHGRTRGRGPRESVARTGEEYADDDARSGSSAQDDEDRAIGAVIDAAQLTWEGHGRASQGGGRRGAQVQRTPPPAGYVCHRCRVPGHFIQHCP >Et_5B_043586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12130843:12132923:1 gene:Et_5B_043586 transcript:Et_5B_043586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGIHKAESTEFRDLLYLTSKQPFILRLAFSAGIGGLLFGYDTGSDGIPTLLCCTVLYCSSERKRMYESVISGALLYIRDDFEEIEKSTVLQETIVSMAVAGAIVGAGAGGWMNDRFGRRPSIIIADLLFLAGALVMATAPTPAVIIAGRVLVGLGVGMASMTSPLYISEASPARIRGALVSTNGLLITAGQFLSYLINLAFTRVRGTWRWMLGVAGLPALLQFLLMLALPESPRWLYRKGRKREAEEVMRKVYPLEEADAEIEDLRISVEADDAAREGEGSLVKALAGSAVVRRGLAAGVLCQVAQQLVGINTVMYYSPTIVQLAGFASNSTALALSLVTSGLNAVGSVVSMFFVDKAGRRRLMLLSLAGIVACLAMLAGIFYAVDSHAPDVGTDETAFFGANATCPEFARAAATFGAEKWTCTHCLKAPSECGFCANTQKLLPGACLAMSETARRTCRQAGGRREWYTRGCPSSFGWVALLALGAYIVSYSPGMGSVPWLINSEVYPLRFRGVCGGVAAVANWSSNLLVTQTFLSLTQALGTAGTFLLFCGVSAASFLLLFLVVPETKGLQFQEVEQMLGSKDYKPWKKFKPQT >Et_2B_020510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20885602:20886086:-1 gene:Et_2B_020510 transcript:Et_2B_020510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMVTPTAAPRTPSRRKATRQPGNPNNVVGANRRPTEAPAMLPAVPATASHPNAMPLLAPSTDSATKAWIAGICSASPTPLNAAVTAIYIAPKQSSSAGKAGEVKVTTKQLALAKPGRPTCGKVVEKAMRKVAVAQRNAPRAMMVSRSCRADRYAASG >Et_7A_053095.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6477298:6477651:1 gene:Et_7A_053095 transcript:Et_7A_053095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAKVKMEDMASSAKAKMEEGKAKMQGKTGEATARTHGDKEMAKEEARARKAQADANKHQEKAEHRANAATGHHGTTTRVPLTGHHHGTGATGTGTGTYPTAGTGTGTYPTSEKYI >Et_6B_049553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6565916:6568069:1 gene:Et_6B_049553 transcript:Et_6B_049553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHVLSNAEQDSRANFTLMLWRTCYAESLFMWMELSPKETRRVAREQRKKDQARDSRSLGTPGWIKINVDGAFSQDTDRAGIGIIIRNDTGAALLSSWRAPRRESNVIAHELAQLAKCTTHSISQLCGVRRFPCVLSQWLLKMLH >Et_7B_054638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3082101:3085371:1 gene:Et_7B_054638 transcript:Et_7B_054638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTIKTYQTQMAKACCGLSAKRRWCLSGTPIQNSIDDVYSYFRFLKYEPYCKFSSFCSMLKNTLSRDVNHGYKKLQAVLRIVLLRRKKEMLLNGEPIIKLPPKTIQLSKIDFTQEERAFYLLLEESSRTSFKALAKAGIHKNYVHILALLCRLRQACNHPFLLNGQHSIDRSIEMVKRLPKKTVTDLIQNLERGAAICSKCREPPNDAVVASCGHVFCSNCMEGIFNSTKDVSDGENVSTREDETVLPCDDEDVLASDENILMSHDESDLTSDAENVYTKVCTAPHCRKELSYASVFSHQVLKFCIWPKLESEATTNCSAAASKPSSVRERSYVSSKIRATIDTLNSIIKTPSIKGDDTIGSISSDVAPAKAIVFTQWTSMLDLLEVSLKRNHIEFRRLDGTMSLNIREKAVREFNTDPEVRVIIMSLKAGNLGLNMVSACHVIMIDPWWNPSAEDQAVDRAHRIGQTRPVTVSRLTVKDTGEKREMIQSAFGEDESGGNAATQLTAEDLRFLFKV >Et_4B_039820.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3343287:3344165:-1 gene:Et_4B_039820 transcript:Et_4B_039820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRYADQPSFSSSLLDAIYKSMDETDDGAAEASKAKKRDEALHYGYYYKPSLAGSYRASSRAPATTSSSSECSSYGGFSSSEAESSQQHRRLRPIRTSVAAGGGGRAPAPALAPEKKAKLRDLRKPASPGARLAGFLNAIFAGKRGPATAPPSSASRAAATESACSTASSYSRSCLSKTPSTRGQPRRTVRFVDTDVEAAAPASAERRRVQVGAVEQMLLRRMEMESDDDDSSDASSDLFELENLAAVAPAAAAYRDELPVYETTRVVLNRGIGHAHGHGYAHGRSTTTVV >Et_5A_042987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8862285:8865533:1 gene:Et_5A_042987 transcript:Et_5A_042987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLGEGLTRMPLQPSRCDVFQFPGSMAGVNQGERNHRACSDTRTPLASSIPPFQAPKSGAAFWAVGGTIYALAGIARKGSDRFERLGREPRPGHGKWHWETLTSSPFSIPSVVLSHAVHPDGATVFVSVYRTGTFSFDGERLAWARHRDWLLPFDGKAFFVSELDAWVGLCSRHTGRIAACRVIEVGRHGPGERLYYKKWKRHLDHNLTYMGNAEFCLQETLTREGQDLYNTFGQKVRMLVRVVTFRVEFSGDGELCAVNRRARIYKLPGDSSQRQPFAFWI >Et_4B_039401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13695016:13696640:-1 gene:Et_4B_039401 transcript:Et_4B_039401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAITCVGRLYTIGLVPQLSVDEVAIDWDVGRVEEEDEGFWNSNESLMDWFVACGDNLLMVDLLREFRWSEGIFDYWGIFEVFRLDFSVKPAKRVKVENLGNFSLFVSFSLRSPAFSCINPERWGGKSNCIYVANPPDADEPWTVVELGQVAADGHGPEHDHLVQPVDELGAEATSARTRSRRRSSGGQPVEDSSSSATTRWRAPRLDVMMMMVLVKSATRPCASVRRPSSRIWRKRLNTGAAAFSTSSNSTTLWPPSSKPTVPGAAPTRRATELSLTYSGHVDADEHLLVAKELLGERLGQLRLADARGAQEEEGARRAVRAGEARARAQHGVGDGADGLVLAHDAPAGRASSRVAGMPVHVLTTCATWSGAPFVVGGLVQRVV >Et_5B_043656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13609828:13611508:1 gene:Et_5B_043656 transcript:Et_5B_043656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSQLIAAATNAANNSAIHLSQWSSSQQSESSVHGNLRTVVACVLSFLAAAVSSAGGVGGGSLYVSILNIVAGLSLKTATAFSTFMVTGGTLSNVLYTVFVRGAGAGTDGHPLIDYDIAVVSQPCLLLGVSIGVVCNVMFPEWLITALFSLFLAFATVKTYGTGVKRWRAETPVMGGATVEAGTGGGTEEPLLGRSGGGSRQYPWVDLGVLVTVWLCFFVIHLFIGGEGAKGAFDVIKPCGIAYWLITVAQIPVAVAFTACIGHQKRKSNAQKGGDVDQSISAKSKLDVLPAYVFPVAALLTGIMSGLFGIGGGLLLNPVLLQIGVPPKTASATTMFMILFCVSMSMVQFIILGVQGIANALLYAATCFVASIVGLVAIEGAIRRSGRSSLIVFTVAAILALSAVVIACSGVVRVWAQYTSGQYMGFKMPC >Et_1A_008593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:956124:961137:-1 gene:Et_1A_008593 transcript:Et_1A_008593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMLKDGDVPMADGEQYDYDLFVIGAGSGGVRGSRTAASFGAKVAICELPFHPISSEWLGGHGGTCVIRGCVPKKILVYGASFRGEFDDSKNFGWEINGDINFNWKTLLENKTNEIVRLNGVYKRILSNAGVTMIEGAGSLVDAHTVEVTQENGSRQRYSAKHILIATGSRAKRINIPGKELAITSDEALSLEELPKRAVILGGGYIAVEFASIWRGMGAEVDLFYRKDLPLRGFDDEMRTVVASNLEGRGIRLHPATSLSELSKTADGIKVVTDKGEELIADVVLFATGRTPNSQKLNLESVGVDVDEIGAIKVVDDFSCTSVPNIWAVGDVTNRINLTPVALMEATCFAKTVFGGQPTKPDHRDVPCAVFSIPPLSVVGLSEQEAVAEAKSDVLVFTSSFNPMKNSISKRQEKTIMKLLVDSETDKVLGASMCGPDAPEIIQGIAIALKCGATKATFDSTVGIHPSAAEEFVTMRTLTRRVSPASKPKM >Et_1B_014293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5283156:5285396:-1 gene:Et_1B_014293 transcript:Et_1B_014293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSHSISSEKSRAAAPRPPLQEAGSRPYMPSLSTGSRNPSAKCYGDRFIPDRSAMDMDMAHYLLTESKKDKENAAASPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVSADAASSHLQAKPAKQRRHIPQSAERTLDAPDLVDDYYLNLLDWGSNNVLSIALGDTVYLWDASSGSTSELVTVDEDNGPITSVSWAPDGRHIAVGLNSSDVQLWDTSSNRLLRTLRGVHEARVGSLAWNNSILTTGGMDGKIVNNDVRIRNHVVQTYEGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSVPSAGRNQWLHRLDDHMAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFSQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGAPEAPKPAAKASYTGIGDLPTLANQAREGAMRSYIITKEGWKSMAEFASLTSSSSGTHCLQAPEPQIAESQRLKCTEL >Et_2A_018319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22886524:22890009:-1 gene:Et_2A_018319 transcript:Et_2A_018319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRKNSTAAAAAANSNSAGAGDVGVRVKPKRTRKSVPRESPSQRSSVYRGVTRHRWTGRFEAHLWDKNSWNESQNKKGKQADAGAYDDEDAAARAYDLAALKYWGPDTILNFPASAYEEELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIKWLRPGADGVAAAAAQNPHPMLGGLAQELQQVDLDSAAFQQEHHRNQGAEAHFPLPARTSLGHTPTTSALSLLLQSPKFKEMIARTTAAESNTTSSSPPPTPSPPRPSPSPSPTPSQEMQVQAAKDGGGASPQCSFPDDIQTFFGCEDVAGVGVSVGYTDVDGLFFGDLAAYASPAFHFELDL >Et_6A_045926.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:8985742:8986344:1 gene:Et_6A_045926 transcript:Et_6A_045926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSLGPAPASDSGLICSSCHSTSRASGLTDGDGSTQRSARLSVPVSSSAKESHCSQSPQSNNHLVCPFVILDATHCGRFMPSSLNAGDLPVRSPRMMTPRLYTSVLPFAFSSFDNSGARYPSLPAAASAAEFASAVIIRYSPKSATLELHCSSRRTFLAVRLPWLMLKSSWRYASPRAIPLAIVNSVVHSTVLSRARPEWH >Et_9B_064871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19421748:19427240:1 gene:Et_9B_064871 transcript:Et_9B_064871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVLLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVINKERRGDYLGKTIQVVPHITDEIQEWIERVAMNPVDGTENPADVCVIELGGTIGDIESMPFIEALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQPLEENVKVKLAQFCHVPIQHIINLHDVTNIWHIPLLLRTPDAYQKAWGLLKDADGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLPGANSTEFDKAAKTPCVIFMPEGSKTHMGATMRLGSRRTYFKVNSCKSAKLLLNYQLIGLIAASSGQLDQLLQRPCSIISQPGRCVSSNGPTKLKLCQNGHVKKPLNGLVNGYYANGNGIHI >Et_9B_064337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14163637:14167565:1 gene:Et_9B_064337 transcript:Et_9B_064337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GLNGDDALDVSEVGEVQNIGESSTLWDSEGSPDLASNHQDLDLSYPQLPAILEEPDNYSLPGLNGLRITGLAFPGQELQASGYSINGTIGYNFKWVRQLDDGSVNFIEVAMDPRYLVTADDVDTLLAVEVVPLDSRERKGDCQKVYANEQRKITCDPETKELIKKTLEIGHVIYEVEVQMPQARNFHLWEPAVLVTTREGYSIKRNGQRGVMITEKFQQATTVCHCSLDTFHFRK >Et_2A_018821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8224293:8226041:1 gene:Et_2A_018821 transcript:Et_2A_018821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNVQEIVSGANATGWAPFGSGTMLSLHDEDDATKLPTSAVGHTHKPSGRYVVAAGRSGEEDGLCQAITAGTLQSRVTYRVAGWVSVADGSHPVRVGIRVDDGSHVVNAGAVCAEAGRWAEIKGAFRLKESPRGAEVYIHGAPAGVDVKVMDLRIIVADRKARFAELKEKTDKVRKRDVVLSFGSSALGGAGEVSGAAGASVRVVQLDNGFPLGSCINGSVVQDPGFVEFFSNHLDWAVFENELKWYWTEAQRGQLNYGDADRLLDFCDRAGKPVRGHCIFWAVDGAVQQWIKDIGNDRGQLMCVVQDRMHSLLTRYAGRFPHYDVNNEMLHGRFFRDRLGDDVAALMFREAARLDPQAKLFVNDYNVECANDPNATPEKYMALIDDLRRGGANVGGIGLQGHVSNPVGEVICDALDKLAAADLPVWITELDVGEPDEALRADDLEVVLREAYAHPAVEGVVFWGVMQGHMWRQDAALLNADGTLNVAGQRFVDLRSEWMSNARGRVDADGQFKFRGFHGTYVVQLTTPAGTKMLKAFTIDKGDAPLVLDVDNL >Et_2B_022295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17166616:17169705:1 gene:Et_2B_022295 transcript:Et_2B_022295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSHAPAAADASAGVEADGDAYRIRPLELADLSRGFCDLLAQLSPSPPLIEDAFRARFAELAALGTDHLVLVAEDTATGRLAGAGSVLVERKFIRRCGLVGHVEDVVVDAAARGRGLGERLVRRLVEHAREQGCYKVILNCTQELRGFYAKCGHRITPASGWITMIRSTLECFIG >Et_4A_035482.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:26287900:26288969:1 gene:Et_4A_035482 transcript:Et_4A_035482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAPPVRGYGPAASSSSVALSRATRMPASFVAVRNTRRAASVRLRAAVADAPGALELQRREVPLLGSAFGSGEEEEENDVVEKVGEETVEGWMRESIAEIVRNIGEAPFLVHLFSSDDGGEERVTVRREPAAPESWPDVRRRWGPGGQRRPDGIILVEQLAAAAVDGGASEAARQVWGLVVQARGMECASCYVLDTCRVRSSAGFCTHFCLARAQCFGDPVELQLRNAWLNRLSGRR >Et_2A_014684.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34667620:34668636:1 gene:Et_2A_014684 transcript:Et_2A_014684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLFVYQAEEGGSGSSLTLIPNPGSRCLLGLSEFGILRREVTAGFFLRPHGVSQQQYIVAVLSCDPGSSYPGEPEVYHLHRFRSGDTEWTTTVLRFTPTKPSPGLTFFCHITNKVITLGGGFMGWVDLRQGILVCNVLADEPELSYISLPRLLKYDKEPSNADVKDVRDIAVVKGHIVYAEHKTIVVPMSYVNGTYASDNWKLATSRWKIDLSCWKGEWEDKRECNGSQISGSLSDLLSHNYSTPLPRLRRLHTGLPTISLEEEDVLYLLAKVDHRDDSGFILAVDMKKETLREAANFLCERMVGLSFTYRPSRISRYLTAGMYCCVFKWVEFLLST >Et_4B_038906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5908383:5911383:1 gene:Et_4B_038906 transcript:Et_4B_038906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSALRLILGSSSSSRRQILSEMGYQFTLLSADIDEKAIRKEKPEELVVTLAHAKADAILEKMQNNGMMKEIVDSQETTLLITADQVVVHDGVIREKPSSPEEARKFIKGYSESHAATIGSVLVTNVKTGARKGGWDKAEVYFHKIPDEVVESLIEEGNVFYVAGGLLVEHPLTSPLVEAIVGTIDSVMGLPKALTEKLIKESLSEP >Et_2B_022411.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20907860:20908798:1 gene:Et_2B_022411 transcript:Et_2B_022411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRRTLPALRSVKDGGVADAARQAVKGDAHFPSLKGHPAARVNAREAAEGQARLAAAEEERERSGGKPATTVKEFQVYRWNPDSPGRPFLQSYFVDLSSCGPMVLDVLQKIKLEQDSTLAFRRSCREGICGSCSMSIDGVNTVACLKPVDADTSRASMITPLPHMFVVKDLVVDLTNFYQQYKSIEPWLQTKKKGASELAGREHAQSPAERKKLDGLYECILCACCSTACPSYWWNSEAFLGPAALLHAYRWVSDSRDDYGKERIQSLSEGWDKMYRCRMIKSCTATCPKSLDPAAAISAMKALHQLRKA >Et_10A_000380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6729525:6729802:1 gene:Et_10A_000380 transcript:Et_10A_000380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPYVLCIAGFKFSYGKVNSWVWNLGATPGGADTPARITGDGYKNGGEVKSTRRHSGMNVDPSGRDNHSGPGRGGDTSLS >Et_9B_063727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5762965:5763163:-1 gene:Et_9B_063727 transcript:Et_9B_063727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRQPTNRCRATSAASPTTPTARRRSPPTRTAGDAPPSPGANATPTDPCTMAVH >Et_3B_027841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22010056:22010430:1 gene:Et_3B_027841 transcript:Et_3B_027841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLDMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGSDAFRKGKYPRCTQ >Et_6A_048099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6360005:6361439:-1 gene:Et_6A_048099 transcript:Et_6A_048099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNNGSSQEGEVHDDRLSLLIDDIILSILGRVDITTAAKTCVLSKRWKNLPWLLHELTIDVKDFLRADRHPNPIQAQHVDAAMASVAQERSPGRLMHQIQILMCFMVKLEVSRLPKLEQLRWDGWLSASIPLLLGVVPSLKEVYLLSGATVYLKEFKLSEALGDATAIEDLTLSFQGRRLWIQPEGEELCSAFSKLRKLSLHDIFFEFDLFWTMALLEAAPSVEVFDIEIWEHPCTVERKQFFGERTKPSWKVAEFTNRKEWNLKEVKITGFSSIEQQITFLKAVMERAPNLRAIFLRDHQRCEDCEKLLPPSERVPKERRFPKVKDEHDMVVNQLTGDVAYSHVQIIFGN >Et_3A_025455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:303347:308314:-1 gene:Et_3A_025455 transcript:Et_3A_025455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALMFEALVREFRPSYIFMHGELLRRRWSSLRCWRQVSEEDLDRKRGRPAGSKSAKSKVERKMDLVKERLAMLDSTDSSSSSSSSENDNDEDFVPMDDELAIVVDHQPIDIHYDDTGDEKKVIPKKMHLHFLTNSSFRGDCGSAMARAKELQSKLPQQHPSFVKEMLKSHVVHGFWLGLPAKFCNKHLPMYDTVIVLEDENGDNHDTNYLGAKQGLSGGWRGFAMKHVIKVGDAVVFELMGSTILPGSKEPPKFKTYIVRANEFTTTDGAVSLLNLDVCKEGNLSSSPEEESAGEFKSEDLKVNTNRVHGEVPLIDANGLVSEAIDGIRMLDYDIEFEDVTSLSKFKIVVDRLVIDCKFDKDLRRTYYDLCCSQKAFLHKHLLKQLNLTLVVGVIMETINIAEGIRACKTEGSSREDLLIWKKTLESFELLGMDVAFLLKRINALLGLLQTPSGGLSKLRLECAHAVEKAKALELELSGVKDVLRRMDAEMKDMESSVKKSDAALKQLATAPW >Et_1A_006081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17526092:17526776:-1 gene:Et_1A_006081 transcript:Et_1A_006081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVRRPRVLAEIDPHSEWVPGREFDTLVVDVTGFTKDHLKVLVEPSGSLKVSGERAVNGDGRQWCHFLRRFDLPAGCDAAAIKVQLDKGMLYVQVPHPGATTGAESGEPYPAAEIHEGAFQGGHEIGDGGGWIGRAVVAQRDEHPVRRLARGLSKHRHVVLNVVLAVVLLWLVAFAKDKPSGGGGELVKRH >Et_1A_007781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38183214:38187696:-1 gene:Et_1A_007781 transcript:Et_1A_007781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEGSCSAPAAATGAAQQQQIRVVRCPKCDKLLPELPNYSVYVCGGCGATLQAKKSSASDTSSEKSDGEHVKYLEVLESLPDRKGLPSEASSVREAETNKAEARPLERFAPNRMAAAPGFSFDDNQMTAAPSSTKVEPAIRDDSREIREAKYRRIRHEDKGEAKLPPRVRDRSPRSVVNSISSNAYPEESPPEYVVKPGFRHANWEHADRRILDGPSRVNGLEKGRSELLRMLDELRDQVQKSCEISEKPSASTNRVVDAAGSYNPHERLSRLRYGSPQLQRNGSQHSPSLNAQTPVIPHAYTSVPAQQDLHGYGEPVVHMGAPSYPVGPYPWRNFDNYLHGQCDPDPLISYHHDGFYHQPACSCLHCYHREFLPVHGAPLGFNHHRPPYVMNNTSLYPVEGPVMFGGQNYNSRGVNALMRRNQLRPTPNKKPAQTCEPIANAAPFTICYNCYEVLQLPKKSSLLEKDEYKLRCGSCSHAIVVKLDGSRLYVSAPTPVSHLSPGNCSNDGQGSNGHNADDRLLPSYSSHCSHEKDLPSNSSEADKMQSLSSASSISEDDNSPARSNSQKHSSGSRDHPPESQVPTRVPSLHLRDHFEYSPSERVVDGSGKGSRSTRSEHEKGVLTESFKPNTVKDVPVASVLDLSDDDGYDDPEYNQDPGDAAKYDYRAGFWGVMGQSCLGMIPPFIAEFNYPMPKNCAGGNTGVFINGRELHQKDLDLLVGRGLPDSPGRSYRVEMSGKVSDEVSGEELYCLGKLAPTVEKMKRGFGMRVPRIIQ >Et_4A_035363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21703772:21710926:-1 gene:Et_4A_035363 transcript:Et_4A_035363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVHTDSTVSRSLSRKSGFSKSLSVTRSCDEDTSSCSRRSFDIRTDEEISKTAMDKLPVLEPLSPHANSTRGDHNHFIS >Et_3B_028739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18652714:18654848:1 gene:Et_3B_028739 transcript:Et_3B_028739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSNHTMTMSPSSGVAARHTPHTMNHELLRAAATGDKALLEHVLGLSSASNGVSSRSCLKGVTSQGNTALHTAAGRGYLELVRIICDQDASLIVVRNNLLNTPLISAAKAGHVDVVRYLIDRAPAAAATPDGESMLRARNSEGATAMHEAIRNGHEAVLQALMSADGLLAAVVDAKGFSPLYLAAALGRGDMVDILIGGSPDGVKSTAYYAGPDGQTALHAAVLVSEEMSKKLWCWERTLAKKEDNFGNTALHHAASAGKIGVVKLLLQEDSSLAYIPDADGLFPVHTAAKMGKIDVIDQLMETCPNCDELLDNRGRNVLHCAIEHKKEKVVQHMCRNPRFGRMMNARDSGGNTPLHLAVRHGHERAAMMLTQDARVNLSIMNNDGATPLDVAIGELDQGYTYPMNPEVLIAQCLVWCGAHRSPRRRDEYLKNSKRGGGGEYSSSEKELSKYTNLTQNRAIGSVLIATLTFAAPFTLPRDGSGDEGGVAGRPAFKAFVLCNAAAFLFSTVATCLLMCAGLTTVHPSYRSRYHRWSSNLLHVGVLLVIATFALGVQLTLGPPHRSRGLNVAVCAMACVSVVFTHPGTWWPMVLARPIWARLGLKGLLGVLLGPRPIPCQKLLLSRTPWLNLFKMLVTLLILVTIVVTFLWDTAHHRISHTLLQHPHLSSSSSTCYPT >Et_2A_017909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7886354:7889732:1 gene:Et_2A_017909 transcript:Et_2A_017909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTSVDSLFPYPAEGRAHLAHLSGRVMCAVWIGVNIRCKCDAKQARVTTFRVKGGFDRQRQQFVPKGVEVEVCVPPAVRWTWRPASPVNATATSFSYSNWTFFIKKIINFSAACSSFGITLFNQSGLLYVSFFTSTREYEELNHEDATPTAKKKRADATPPSMLPEATKTPASSNVCNLLSPKLFNICMFILISETFQGFFLCSCLTLSPVNSLDDVRDTCRKFLDDARLSDTIAMCERSLVKNNITLLLGCQDQTFTPHCTLETFSYHDEDDMLDQPIPTWHFFCDGKLIYAAPSTEGDNVYTCGVLTGCTGQIVSRRPVGVVFHHVLQVGLKTIALSHSFHGVYHLDSATREWIFHETFAPSREQREVNFTGYAVLDFETFIVYEGYSSCWFMLNISDHDGAWKIVMPYAEYETSSQDETSLSPTLMHRSGYLNGRSLFANVAFSTLPDDHGCQPGHYNTPLPITTVQVKTERMHSGMLKPKKIGHVDMVKSCVGWSGSVCIRSCFPV >Et_4A_035536.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28089176:28089790:1 gene:Et_4A_035536 transcript:Et_4A_035536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWRRTTYDATTRVLVLALLLTCSLQHAASSGDDGQFVYNGFAGASLVLDGAARVGPNGLLVLTNGTVAMTGHALHPSPFRFRDPAANGTVRSFSASFAFAIVSPHLHLSSHGMAFFVSRSRSLSSTTMPYQYLGLLNTTDGAGAATNHVLAVELDTVLNYEFDDINNNHVGIDVDSLRSVAAAPAGTTKTTAPAATNPCSAT >Et_3A_023936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1485589:1502063:1 gene:Et_3A_023936 transcript:Et_3A_023936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESIVPCLLFAAVVLTVTLLPISNASPHKLRLSASETAALEAAAPPPPLDQPTTFFEVDRPHRPPPGSFGPCSTLLLSHSFAYTYTKPPVTVAYSPPACLSAAGGRASAISLAVLEWRATCRGVQYDRIFGVWLGGAELLRGCTAEPRPNGVVWSVSKDITKYGSLLAGRNNSTLAVYLGNVVDEQYTGVFHANLTLHLYFRHPPPIQQPGLGPADVIVPVSQSLPLNDGLWFQIKNGLDVGSASLAVPANTYRAVLEVYLSYHTKDEFWYTNTPDGNGPFREVTVMIDGDLVGAVWPFPVVYTGGINPLLWRPVSGIGSFSLPSYDIELTAFLGKLLDGEEHEFQFAVTSAQDVWFVDANLHLWLDPRTTGAAATSAGVISYDAPPLDRTIAFRPEGPGNDFYYTTAFRRVSASGWVQTPSYGRLTATWTQRLGYENTNEIQGNFQQVVNQTTDAYSGAHVTDRAGVVYAQEAQQSFSLYMFVGVVNQTSNSSYTSATEVRLGLAEERVAAGRAGFWSRSISNDQDCAVNVDVEDGDAVGVAWGARQTYRYEATDACYFRNVTSSGYTVVSDHADEACVRGSQAGRIAELPAAAGAAPAWLSSHISYNTPDLAANTTTSRVSIWRSRCDEIEHRTTASQHISGTCRRRRNITTNATFTFENVITYETVNHTTVAPTGSNATATDDHSGAGEDTGLTTFRGRYKKLAMPTSSASRVLCLFFTLLSHFAAASHHDLRISVADLAEVEAALPRRKSAKNTFFEVDRPLRAPKGSSGPCSTQLFSHSFASTLTKPPVTAAYSPPSCLQEQEVSLAVLEWRADCHGVQYDRVFGVWLGGAELLRGSTAEPRPGGVTWTVSKDVTRYASLLAAGNATLAVYLGNLIDDTYNGVYHTNLTLHLYFRRAAARSRSRAAKAQQSPPADLVVPVSRSLPPSDGLWFVVQNATDVQSTRVAVPANAYRAVLEVYVSSHYADEFWYMNTPEQNGPFREVTVLLDGDVVGAVWPFPVIYTGGINPLIWRPITSIGSFNMPTYDIELTPFLGRLLDGEEHEVGFAVTNAQNSWYVDANLHVWVDPKSAKTTGGLTAYDAPKLAGSIVSNSADGVDGEYEATANRNITATGWVSSSRGNVSTTFTQRLTFANTNVVSNHGSAQAINQTTDARTDVNAGEQQVHQSFPLYIFLGGDGSGTSSQRLMRRVQIGFEETRARGGATTSTLRNEQAAAAEVVLRDDAVVGASWRMHQTYAYGAADGGCYLRNVSSVGYDVLFDHYDASCTAVYLSSSASVPTPPLVLAAPTMASATSVLLLFAILLPASAAAAVVPHRHRLGASLHLASLNVTEPPTTFFEVDRPIRPPRGSAGPCSTLLLSSSFGATYGRPPVTAAYAPPACLSASGGGGGSLALAVLEWSADCRGRQFDRIFGVWLSGAELLRSCTAEPRPNGILWSVSRDVTRYAALLAQPGEVAVYLGNLVDKTYTGVYHVNLTLHLYFHAAPPPPTPQQLQKADLIVPISRSLPLNDGQWFAIQNATDVQSKKLAIPSNTYRAVLEVFVSFHSNDEFWYTNPPNDYIQGNNLSNVPGNGAFREVIVRVDDDVVGAVWPFTVIYTGGVNPLLWRPITGIGSFNLPTYDIDITPFLGKLLDSKEHAFGFSVTNALDVWYVDANLHLWLDHRSKKTTGGLISYDTSRLDINVNSQFSGLDGDFVTSASRQVSGTGWVKSSYGEVTTTFYQKFGYENSNVYSKNGTVQIVNQIIDAKSGVFAKDASAVLLSEEVHKVFPLYVYTGTSDQVGDEYNLVSVVKLGINDKRISGGKLGFLYSSLRNAESAHGSMRVKKNLVVSGLGQTHQVYKYVGTDGCYFRDVSSRNYTILFDHSEDSCSKGPFNRAKFSSTKVNNQSARRKLLQNPSDALVLKTELYLRKSWPMDSNPVS >Et_2B_022627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28231489:28237464:1 gene:Et_2B_022627 transcript:Et_2B_022627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHSGAGNKNAFKGLIAAEYSGVKVELVKNFEMGVSNKTPEFLKMNPLGKVPVLETPDGAVFESNAIARYVARLKDDNPLLGSSRIEQAHVEQWIDFAATEVDPGVAWYLYPRLGYIPYVQVTEETAVNALKRSLGALNTHLASNTFLVGHSVTLADIIMTCNLYHGIARILTKSFTSEFPHVERYFWTMVNQPNFKKVMGDVKQADSVPPVPKKTTPAKEPKAKDVKKEAPKEAPKPKVVEAPEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSEPPFKVKGDPKFVMDEVYDMELYEWTKVDISDEAQKERVSAMIEDQEPFEGEALLDAKCFKKFMFAILVLTDKVQFQFGEL >Et_7A_052358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7113747:7115429:-1 gene:Et_7A_052358 transcript:Et_7A_052358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSATSVHDFTVKDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELAQLYEKYKDQGFEILAFPCNQFGGQEPGTNEEIVQFACTRFKAEYPIFDKVDVNGNNAAPIYKFLKSNKGGLFGDSIKWNFSKFLVDKEGRVVDRYAPTTSPLSIEKDIKKLLGSS >Et_2B_020164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17466932:17470457:-1 gene:Et_2B_020164 transcript:Et_2B_020164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARGRRRFRAALLLLLLASVLAPLVLYGGSPVLVSHLPDSTVSSAFDREDTSNLVWPQMAASEVSLAKDLTIERLGDHKNRVLSATDHWRVVEATSRNRVSGKSDDSVVREESESRNEDEIVEGNDNSQLGQDGMIREVVGRERRGDGSDQAVDSKAESQNAIGVGTELPHEIGVEKKDGLDEAGQNHISGTRATGNLDSSSNEESTDHRLSEQARHAHPRDHQTRASDAVVHSTNSSAGQSATPPDATIRVIKDQLGRAKAYLGFLASRGNHGFGRELRTRMRDIQRALGDATSDRQLPHNVHSKIRAMEQTLVKVRKIHDSCSGAVNRLRASLHSTEQQLQAHKRQANYLAQVAAKSLPKGLHCLTLRLTNEYYSTNSNNRNFPYMEKLEDPKLYHYALFSDNVLAAAVVVNSTLVHAKKPGNHVFHIVTDRLNYAAMRMWFLANPLGRAAIQVQNIEEFTWLNSSYSPVLKQLESRFMIDYYFRSEQARRDENPKFRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDTVVQRDLSALWLIDLKGKVNGAVETCRETFHRFDRYLNFSNPLVAKNFDPHACGWAYGMNMFDLSEWRKQNITEVYHTWQNLNENRLLWKLGTLPAGLVTFWNRTFPLDRSWHQLGLGYNPNVNEKDIRRASVIHYNGNLKPWLETGVPKYRKYWSTYVNFEQVFLRECNINP >Et_2B_022013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:835927:838981:-1 gene:Et_2B_022013 transcript:Et_2B_022013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDKEVREPLLPVPSAAGQGEAEDEQQGLVAAEAKRLVRLAGPIVASCVLQSVVNMVSVMVVGHLGELPLAGASLATSLANVTGYSLLTGMATALDTLCGQAFGARQYHLLGVYKQRAMVVLGLACVPIALIWARAGDILVFLGQDPDIAAEAGAYARWLIPSLLVYVPLQCHVRFLQTQSVVLPVTATSCVTALCHLAVCWALVYKAGLGSRGAALSNAVSYAINLVMLALYVRMSSACKSTWNGFSKDGLLELRRFTKLAVPSAMMICLEWWSFEILVLLSGLLPNPQLETSVLSICLNTGALLYMIPLGLTSSISTRVSNELGAGKPQAAKLATRVVINEPELVAYIAKMLPILGISFFIDGLHTSLSGVLTGCGMQKIGAAVNLGAFYLLGIPMAVLLAFVFHLNGMGLWLGIVCGSFTKLLFLVLISWFIDWEKEAGKAKDRVFSSSLPVA >Et_3B_030901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8518722:8520961:-1 gene:Et_3B_030901 transcript:Et_3B_030901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKWVKSLIGLKKPEKEDCKDKLQLPSLHGGGRGKGRKWKLWRSSSGDHGSLWRGSRGSHRSAASEASDDTSSVAAAADPFVAAAVTVARAPARDFMAVRQEWAAIRIQTAFRGFLVLPFPDHLILDPSCFQRDDTDFVCALVQARRALRALKGLVRLQAIVRGRQVRKQAAVTLRCMQALVRVQARIRARRVRMSTEGQAVQKLLEARRTQMDILREAEEGWCDSQGTLEEVRVKLQKRQEGAIKRERAIAYAYSQQLDGVAKCNPPKLTSSGLLNHSGMLLKHQHLDKNNGNWSWLERWMAARPWENRLMEEHNQTNSSSPDLRSFKNCEDSFGALGNFSEPNSVKVRKNNVSKRVCAKPPGAAHSNTHNQRFKAQSISSLSTELHNDESSASSSSCFASTPISFSTFVASEKTEECVRARPNYMSMTESIKAKQKACNAQRTTALKQSEERKATGTELKVAQV >Et_5B_044448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2502969:2505187:-1 gene:Et_5B_044448 transcript:Et_5B_044448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTPPKSGDALFASVDRVNAELFTLTYGAMVRQLLTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSQCVDFKETADVIAKLGFKMFLGVTATVTNWDAEGTSCSFILEDNPLVDFVELPDTCQGLQYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLIKQVPEEYPYKDDD >Et_3B_027865.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23396820:23396879:-1 gene:Et_3B_027865 transcript:Et_3B_027865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSFCRSPCWCAYLRLSG >Et_3B_031751.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:9630261:9630665:-1 gene:Et_3B_031751 transcript:Et_3B_031751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQRPARDDPFRPSSTGSVPAAAALPRLPAKLGGSAPPKIPFPLGLHTTSSPPRQQFAMAPPGPSTAPIDFGRRRCGGGIRGVRVCCFGDPEMKRRRRVAGYKAYAVEGKVKASLRRGLRWFKRKCSSILRL >Et_10B_002494.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:11550215:11550589:1 gene:Et_10B_002494 transcript:Et_10B_002494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKAHRAHDHPLHAKALALLLASRINTIIDPVGVNYRTDSQVLATTLCSGNFVVKLGHWFLRPLMYEFRANTERKAAARRSTTLADKDNKVAHNLAQEAIRAHKHTQIVSSRINTWHTMTNAP >Et_2A_014750.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:11912453:11912947:-1 gene:Et_2A_014750 transcript:Et_2A_014750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VDFIREILNAAFLHAGSVSSLLERFDGSSILDPCILEELNLNVRLVLGEDEGLSFRDKTKFVFDRVNELLSEKCAHYFNAGYDMWLLGILVMQKLSAEEIYKEMTKGIIRRGSESLDRVVSQDIKDWLNDFKLESYATESDISTFLLDYLIDEMIFDLLTDYTA >Et_10A_001042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20522618:20528439:1 gene:Et_10A_001042 transcript:Et_10A_001042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPHRSGIFGKGPCRGSPPKGGGMGDPRKLPQTLEDMVRALRDAVEKSEGNTEGMVAALGSFENRVSAIDAAMRPAQVRTHAVRMAQENIDRTIDVAEDILIQFEIARRAEPTILKGPHEDLTRYLEAMDQLKGIIRFFSLSTNSKSGEGVLNHVNNLLTKGTLLIEDEFRQLMGTYSKPIEPDCLFDCLGNPTHASKGVLEEVEEQPSKSSTTYKNPTLIPPSVLSLLHRIAHQLVQDGNHQSCYKIYRDARVSALELSLRTLGIDKFNKYGIQRIQGEALKTKMDSWNQIMKIVVKVLLAGERENCDQIFDGITFSKDQCFGELAGISIKTLLSFGDAVAKSKRSPEKLFVLLDMYGVMHELQSEVEVIFQGRFCSEMRDAALNLTKNVTQTAIETLFDLEETVEKNMENIIHDENVHPLTVHVISCANSLYDYQSVLKILLQPFETGSVTESQLTIVMMGIFQALQNNLDRRSKQYKDSALSHIFLMNNIHCMVVSVRRSEAKDILGDDWIQRHRRIVQQNANQYKKIAWGKIFETLSVPVAGGTGSSASDLRSTGVSRAMIKERFKSFNKQFEELHATQSQWNIPDQELREYLRLAVAEVLLPAYRSFINRFRNLVECGKNPHKYMKHSPEKVEQMLGEFFGGKKNWLTIGGRLKPAL >Et_8A_057799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7464566:7469293:1 gene:Et_8A_057799 transcript:Et_8A_057799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPTNYRGEMAERSRQQRLIALLASEQEMLRRIPWGNMDRVLEPLRSVSAELSDPGIANGASDAKQWVQQVTEIGRDVEDMLDETRSISSSGGGGVLSTLAPTINVFRARRRIAKQVKHINSRIDAIKLRLSLLANLDDRESPANATRYRMDDRQLDDVLSFEEVEALGVDSYRNDMAASLLDSGDAPGLRVISVVGIAGVGKTTLVRSVYNEPRVRGRFRCHAWITVGAASSSAANLLKRIMLQVFLERPEMPANADSMDEMQLADTVGGYLRDKPYLVVLDDIWSSDVWDYLSVALPDNGLGSRIVVSSRVPDIGRQCRWASAGGQVFRHGPLAVDDSLRLFLRKAFRSSQQATEECPPELESIAARIAGECKGLPLLLVAMGGLMSTKEKSVPVWNNVLDQLHKTKKLQQQLQLTLPSVLWFAYDDLPNRLKACFLYFVLFPRTYCAKRTALIRLWIAEGFVHKEDGKTLEDTAEEYLLELVHRNLVQVMEYYDYGKVKSCSVHDMFREIIIHKSEEQNFGTSVTGDVVGSKLGANVRRLSTVDAKDQDLLQDVRAGNVRTLFMLGASAVSVSSSFISEFKLLRVLDLEGAPVDRLPEELPDGLYLRYLSLRNTRVSQLPKSLKKLTHLQTLDLKGTYVSKLPSGITKLQNIRHLLAYRYYSGRHPPYYYAIGVTLPQGIGQLSELQKLTYVEADKENGTLAELGRLKQLKRLGIVKLRERDGPCLCSSIAKMTELLSLSASSISIDEPLDLDLPFENPAPQRLERLYLRGHLPTLPRWIFSLRSLVRIRLRWSRLSEDSIKQLQSLPVIELALIQAYDGVALHFGEGFSRLQILEIDHLTNLEHMSFGAAMPNIQKMSIRSCGKLNTIPDGVEGLKYLKEIHLFAMPEVLVSSLKEGGANHDKVGHVPFIRVYNEHRDISSINL >Et_1A_007130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31150049:31153255:1 gene:Et_1A_007130 transcript:Et_1A_007130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPNPWGDLHQDLLGRIYARLTSIGDRACFVGVCNHWRFVMEQQEAAQPLLPWLLRPSAAGASYFILFSGDITEQPAIPDNALRARFCGALPGAWFVVARHQWRGYALLNLRTGEQVPLPDGVREHQIPYALRGGAHPGRGLVILAATISAAPTPHGHYIVAAISSGQSKIAFWVPGMARWSPGLDEGPEEDEEDQAFWRRVTQEMNYEDVVYYSCVQQQGFFFLTTEDHLVVFRPEYNFAEEELSMDCLIYKMPSTETTLPEDDRVVAGRYLVESAGRLMMIKRFISPGHGTVSFQVFRLQWRNQNPYWHGSATATVLTGQVIFLGRGCSRAFQTGRRYPGFIYFLDDTEGIRDVWSIVRAEKRYRCSDTGWCSYFPEPQYIEKRWPQGPCAASCEQGVSRTAAALRENFRPLMSRGGLVLLERRRYTRSDMCVYDPITIYQRPRLHPLAVSGEYEHRGLPRRHGEPDHLNTHVLLTAADGIGCSFRVISMDIVTGVSESLPSRVQTVSSDDGGECSPIVYVRNPAPPGSKPVERENGAVFMNGVVHWPMVSSKHVLTYNWDT >Et_3B_028981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21001427:21005705:-1 gene:Et_3B_028981 transcript:Et_3B_028981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRVALVILFSAALLQALFPAPAEGLVRIALTKQPAIENEERRRGFLGNSNDAAASKEKAEEAASEGDIVALKNYLNAQYFGEIGVGTPPQRFTVIFDTGSSNLWVPSSKCYLSVACYFHSRYKAGQSNTYKKNGKPASIRYGTGAISGYFCLDSVKIGDVVVKSQDFIEATKEPSITFMVAKFDGILGLGFKEISVGNAVPVWYNMVKQGLIEDPVFSFWFNRHAGQGQGGEIVFGGIDPSHHRGNHTYVPVTRKGYWQFDMGDVLIGGKSTGFCAAGCAAIADSGTSLLTGPTAIITQINQKIGAAGVVSQECKAVVSQYGQQILDQLLVETQPTKICSSVGLCTFDGTHDISTGIRSVVDDEVGESNGVFSNAMCNACERAVVWMHNQLAQNQTQDLVLQYVNELCERIPNPMGESTVDCSRLASMPDIAFTIGGRKFVLKPEQYILKVGEGRATQCISGFTAMDIPPPRGPLWILGDIFMGVYHTVFDYGNLKVGFAEAA >Et_7A_051376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17630888:17638002:-1 gene:Et_7A_051376 transcript:Et_7A_051376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFSRGHARHPTTPPPPPEKSGGAGETPPRRRTPKENVDPAAYSTPAQHHDHASPFRSPSSAARPLSAARTNRLPPRPPSGNPLKRKLDVSSAAAAGPAPDAASASDSGVQVVVRIRPPCRADEEEVGDDGRGPDACVRKTAANTVAIQGQDFTFDAVADAASTQEDIFNLVGLPLVENCLSGFNSSIFAYGQTGSGKTYTMWGPLSALSEGSTSSERGLTPRVFEQLFSRIKEEKLKHADKELTYKCVCSFLEIYNEQITDLLDPAQKNLQIREDVRTARVYVESLTKESVSTMKDVSQLLVKGLANRRTGATSANADSSRSHCVFTCIIKSESKNPEDGSSSTRSSRINMVDLAGSERQKLTHAAGDRLKEAGNINRSLSQLGNLINILAEISQSGKQRHHVPYRDSKLTFLLQESLGGNAKLAMICAVSPSQSCKNETSSTLRFAQRAKAIKTNAVVNEEKVEDVNALRAQIRQLKDELRRMKSNGGSEGNNGWNARQSLRLLKMSLSRPATFQAINEDSDEEMEIDENDIEKPCARNSMTISPIGDKASEGLQSPMDICAGTSHAEALEVDKSFMPTKRSCSDATKFMAGTEGGDCRSNVNLAASIQRGLQVIQSHQNNSAWRRASVGLNARIMDFQTCKVDVAIQTDPEEPEARDNPLALIPSCLLEASANESRDTNACRDLQIVPADGAVPADEHKQQHFLKAVEKVLAGAIRREMARDEQCAKQAAEIQQLNRLVQQYKHERECNAVIAQTREAKIARLESLMDGTLPTEEFMNEEYISLMNEHKILQKQYENHPELLRAEIELKRVQEELDMFRNCVDEKEVLQEEIQDLKNQLHYMLSSSASIRRVLPPLRLSHRTNCSPGTKDNDGDTNVGDAPDWTEAESKWITLTEELRADLEANRSLVGRLQAELDSEKKCHLEVNEALQTAMQGHARILEQYADLQEKHMGLLALHRRMRDGVEDLKTRAAKAGVKGVELRFINSISAEISALRTENEGLQGQLRDTAEAVQAAGELLIRLKDAEEAETLAKRRALLAEQETEKAYQEIDNLKKNYDKEILALNQRLAELSEHKDAILPAEPTDIEPPRYDTAGSPSDQQWREEFNPLQQGGSFEVSKSTDLNSWFSGYDKCNI >Et_10A_002188.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:3809343:3810173:-1 gene:Et_10A_002188 transcript:Et_10A_002188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGDVAHFSHPQHRLRLEHLDKPFRCDGCREVGIGARFRCPVRGCDHDLHRQCALPLSPPPPPLRHPFYPRCAFVFLPRPPPGPPGSSRYCNACGRGVAGYVYHCRACGFDLHPCCAALPHALDTSGGTVRLYLCPDSRSAGAAACHRCGHRGRSWSYRSQCGSYSLHVACVVDMLVESWHGVGRHKSGGVGVGGDIYSGGGLVAGSGGYRVPVIRGAAKSSHASRGGSSYWGRRKGKVKRCCEIAGFASQVVISAVLGDPSALIAGVIGSLIAL >Et_2A_017659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4686780:4693594:-1 gene:Et_2A_017659 transcript:Et_2A_017659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIGAHGVETLKRYKYSGEDRSVVAKYVLQPFWSRCITLTGFTFLVISALLGYTFDAVDGKQARRTSSSSPLGELFDHALALGSTLMCGRLTIFFWMVAAVPFYLATWEHFFTNTLILPEINGPTEGLMLIYVSHFFTFFTGAEWWAQDFRKSLPLVSWIPLPFPETPLYVIVLILMILFAVVPTVRSNIRNVGAVVEARKGSMELALAMILPFIALLFGVSIWCLLSPSDIMRNQPHLLVIGTGFNFGYLVGRMILAHLCDEPKGLKTAMCMSLVFLPLALANALIAKINDGNPLVDEVVFLILYCAYTVGLYMYLAVSVVHEIKDALGIYCFRITRKEA >Et_5A_042354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9060625:9067155:1 gene:Et_5A_042354 transcript:Et_5A_042354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKMKRPVLIFCCVLLAVVVAIAIVLITLYFTVFRGRQPQVGTAAMHTQLNAFNLLPPVLNLSLAIELRLHNPNYARFRYGEVVTTVTYHNKVVGKSTAPAGAVPARSTAAVGEPVQVDGDKVILHTLYPVDVLAGKLPFEAVMAVAGKAAVLRPFKVSAATQVACDAVVLPFRRESSTLFDLMGSEYEDINNKTTDWDMKSSLSF >Et_8A_056573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12644645:12653567:-1 gene:Et_8A_056573 transcript:Et_8A_056573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDDDDGVEPQFKAVDDYHFEYSRDNPVCFSILPFQFDENDEVQDCDSEKKVYLCGVIDKGLYQVHKKVVAWRLRLDCDQPNILILLSDGNWIRLLKPQKCKRHTKLAMDDLKKHRSLIKLFLRAEPTLMKSKCKRSFHPRKKDGRESKCKTLGYTSEELKGIGSYLCKNCKYKQHQCFKCGGLEPSDEPNAKVFKCNNPSCGHFYHPECVAKLLEPDDSDGDENCELAKRIMDGMPFTCPVHWCFECQRMEDRTQRALLFAVCRRCPKSYHRECLPRGISFDTRDKHVKQRAWELSKIIMIYCLDHKICKATGNAKRDHIKFPTIPRISKLRDLRKKKDKLTGKRKRSIVQCSTKSIRISNSLSIEKNGHARKAAADRSFEHMVLKPESPAVSLQVELQIEPSMVGDAGLSMLEAQKGQVKQLAKSSSSVLGPRMPSSTVGGKRGKRRRSRLQKETSSQASRNIAKKCVVQSEGIFDHSVQKDILLEKPPSDNDAELENEICQIAKDKDCNEKEKACEHYSGKGDATIKVTSRENEEQNDVPGKHFVDKHAERNGSNLKSGEKRVMAWGENADGCNSVSMQDGDIYRRENQLCQRPCEHDSRSEEKVARSGSTTSIPGNVGVTPDHVVENPPEKQLIVRHVHRTADKIGPHPDYGCDQDGETLNQGYACQSEPKSSHLKEPDRDVSGDQLSEIRDNKRKATDGNNADLDKNSRCVYIEERRETHYDHSSHQCPLSLKELDYLDCSYGNSGDNSLPCDDENRVTENSECNTRQGTGSSKREDLTRCINENTSNSPMDGNNMKKSTSWTISWKQPPIQYPGSNYQYRYEQQHHVNSSSNDCYNSGQWGSTQSAFPPGFDAGRRNGPLQYPRGPEHGTSGWQSPPFYPRNDGYFTSRWHNPPCYPRRPEHRDHTMNLNNFPNHSAYHAVGNDPVGRHSLQINNNGPYPPRTDTSMYGHGVAYGGYNSEHGGRSNYTFGMRPRTPVAGSVTDRYAPRLERTNSRPMF >Et_1B_011802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26177103:26181327:1 gene:Et_1B_011802 transcript:Et_1B_011802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPRVSYQEFQCKDKFLVQSVVVSDHLSAKDISSQNFTKGNAVEEVKLMVYVIPPEQTSEIAERLDGSAFLVPPMQQTVDNGRSNSYTSDLSSGSVSLRSAEMGTVVGTPVGEIIQLPLLSSLDAKLAEGKNFVLEQNRELRQELHTSFKSPAHYTMTIPASPCPPASKNNKHSDDHVTEAKGQALITRRNVRPRRCYLDERPRSACLSFLPAAPGNARSRSFSFASLFLLSSLSSSMLFFPGPLLFPPYGGRAGHVPRRSHALTGKAVVQPVLLLVGPHGPDLLLLLPLLRVRLRVRLGLPLPRSAPAAFERGERREVGAAEEEVDVVAVGGGRRREGVLLRIRCAGGDRRGRGGRGRLRMDEAAVAAERGEAEVRLAPALRLALELLLAGRRGLVVAHLLAVVEVVDVPPLLRQDVQPSVTAHSCLRGEGVGCLDTLRRRAPPPMVGSATEREKIGDLSKTREF >Et_7B_053548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:75016:79042:1 gene:Et_7B_053548 transcript:Et_7B_053548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQSFRERGRRALDAMKALGFSKKEAAPVLKSLLNLFDNSWEPIEDESYRALADAILDARDRSRNNGEQEQCGRTTSLVPLPPEDDHNLFSTPLAVVDTSCDLDRETDAPRIKRPTNFSTGPPLLPSTTEAQNNTTMSISPLCESNRLQTRVPTQSRQSMADGMSSSDPAHKRARQMLDEDFQHAVFLKEPKPEPEMEYSAPSSSCKNAAQVAIVSHPLNISSSSHAADPIALYRRTTAAPTSFGNPINIKTKQPQIRENGLDHRAVMQNAGTGSAVKNTQKTPSLHTVVASSTTGDVEMSIKCSLDPSNFHMPDLEAVFKMVEDKCLRSCKVLPPDFSIGSLMNEICHGVVEMVNYHSGEHNIQSDAVDNGRNSQNESMSRNALFMEPVACMSNGGEKDQSIEEALVLEASQSGPPNATVAQQPHLALSHLRPTHDVSDISKGEEKMRIPVINEFGGAKCPSFFYYTPKNIVFSKAHVNISVARIGDVDCCADCFGNCLSAPVPCACARETGGEFAYTPDGLVRTAFLDECVSMNRFPEKHHKFFCKSCLIERSRNEASPEPCRGHLVRKFIKECWTKCGCNMQCGNRVVQRGITCNLQIFFTHEGKGWGLRTLDELPKGSFVCEYVGELLTSTELHERTSRNLDNGSCMYPVLLDANWCSEGVLKDEEALCLDATFYGNVGRFINHRCYDANLVEIPVEVETPDRHYYRLAFFTTKKVEPFEELTWDYGIDFDDDKTPVKAFECLCGSRYCRGRKHLRKRGKAAAK >Et_3A_024339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19855448:19862296:1 gene:Et_3A_024339 transcript:Et_3A_024339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIQEVVFDVNATGWAPSGSGTALSLHHEDPERLPAAATATVTEHGHDRPSGRYTLAAGRADEDAGLCQAIAPGKLKPRVTYRVAGWVSCVDVIAVATTEGRWAVPAVRVSVRVDDAVVDGGAVVCAEPGGWAEIKGAFRLREAPRGAAVVHVHGPPAGVGVRVMDMRIFAADRKARFGLLKDKTDKVRKRDVVLSFGAAPCSVAGASIHVVQLDNAFPFGCCINGMAIQDPTFVDFFSKHFDWAVFENELKWYWTEAQRGRLNYGDVDRLLDFCDRAGKPVRGHCIFWAVDGGVPQWVKDIAGDRAQLASAVKARLRGLLTRYAGRFPQYDVNNEMLHGRFFRDRLGEDAAAFMFREAARLDPAAALFVNDFNVECGGGGDPYATPERCVELVRELRRGGARVGGIGLEGHVANPVGEVICDVLDKLATATGLPVWFTELDVGEHDEELRADDLEVVLREAYAHPAVEGVVLWGFMQDHMWRPDAHLVNSDGTVNCAGRRFIDLRKEWTSEARGRVDGNGMFRFRAFHGTYVVQVTTAKGKMLKTFTVDKGDAPLQRSLGSSHYVLIASSSLCLHVDKIIDQEVVFDANAIGWAPSGSGTSLSLHHEDPERLPGQYGHDDRPSGRYVLAAERADEDAGLRQAIAPGKLKPRVTYRVAGWVATEGSSCIRSAAVVRVSVRVDDRVVGGGGAVVCAAEPGKWEEIKGGAFRLREAPRGAVVVHVHGPPAGVDVKMMDLRIFAADRKARFRQLKDKTDKVRKRDVVLSFGAAPSSVAGASIHVVQLDNSFPFGCCINGPPIQDPTFVDFFTKHFDWAVFGNELKWYWTEPARGQLNYGDADRLLDFCDRAGKPVRGHCIFWAVDGAVQQWVKDIAGDRAQLMSAVKERLRGLLTRYAGRFPHYDVNNEMLHGRFFRDRLGDDVGAFMFREAARLDPAAALFVNDFNVECGGGGGYDPNATPERYVELIRDLQRGGARVGGIGLQGHVTNPIGEVICDVLDKLAAATGLPVWFTELDVCERDEELRADDLEVVLREAYAHPAVEGVVLWGFMQGYMWRPDAFLVNSDGTVNGAGRRFIDLRKEWTSEVRGRVDGNRQFKFRGFHGSYVLQVTTAAGKMLKTFTVDKGDSPLVLDMMGL >Et_1A_006536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24069984:24070914:1 gene:Et_1A_006536 transcript:Et_1A_006536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRGPRRPPRHGLARRLPLRRLPALRSPATTRPEATTGRPIRIGVSLRWYTAPSGSLIARSLADGVCSGLLVDLCRKNGLPAASFTTLPDDATVEILRRLTDDGGDLASVECTCRHLRRLVAERDGELWKRAYLDVTDWRLKRHFRAQDEGELRETANYNPPQQPRRRWARRRVLPVAAVTPKEERDLRRSKYIYWPAPMSGGKNPRVSSPGRRSTSKAMQPMESLSPVSIPRTGSTDSSFVTRRLRYKEEPTMAARCKKTSDPRRSVARNGSRSNKTPHGGGAIHSPSSRYRWKH >Et_5A_042689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25894187:25897194:1 gene:Et_5A_042689 transcript:Et_5A_042689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPLMRSGAGPLNASASQSPVLAFASFAWALTTMARRRGASTAAGDRLARTLAAKAREVMTVAAIGKLEAHLVKLKREPVTTVLGAAGATSAAIHGRRWLREMSLVHVRDVEGSVVSGHREHISLEVICLSPCIGVDGQATVHSVTEKVAERAGFAGKVAKDSGTTRERAGRRVVSTKQKSGAPVRYSVTASATSPSMARRPFQSSALAVMMPLLLPSTLSPWSSGTMDATDSAATM >Et_9A_062322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21837983:21838486:-1 gene:Et_9A_062322 transcript:Et_9A_062322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPANQLSVQPSDYAYINAQGLLLAGGEGLDGESLGDAGEALEVDLAGGRVVEVDLVDGRPEHELLGLDALELLDALGLDVAGDLDVVAHLLADLLELVLHLLALRDPHVPRGAHEAHLDHVRQRQQPQRQAELLKQRHRHLQALVVALLHHATFPLSHGYC >Et_3B_027525.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24988464:24991627:1 gene:Et_3B_027525 transcript:Et_3B_027525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAELVKQFSNITLGETSGICDIKHELKALRKKILSLDFDNSIHVHDPQDSFEYLEVLCKIRQLSERLRSLEPRGETKQLGELTVYADDLSEMAIARLEEEFVYLLTHYKQPLDHEHLSFRSTEDGSGEDFSSSSFSEEHTDGKTTQSDTSGESEYFVTDRIQPGALSAVKSIAKFMFLNGYDKECFQAYINTQQNSIDEYFASLRLDKLSIEEIMNTNWNKLSSLVKRWNRAMRVFIRVYLASEKRLSNHVFGELSDSAAEKCFHEISFNPLMQLLSFYQSVAIGPPKTEKLFRLLDMYEVLDDLLPEAESLFQAGFDNMISNEYHEVLHQVGESAKKSFTEFKYDIQSYTSSSAVARGAVHPLTKYVMNYIRALTVYSKTLDSLLKDTDRKTQPFASDIQLMANAYPHFTVTALHLQSVAAVLESNLEAGSRLYKDDRLQNIFMMNNIHYMVQKVKNSDLKSFLGDDWIRVHNRKFQQQALRYERASWNHVLSYLSDDSLCSAGDAASRKTIREKFKNFNMSFEEVYRVQTAWYVPDDQLRDDVRISISLKVIQAYRTFLGRYSGHLDSTKHRDRYIKYTPEDLEVLLLDLFEGIPKSLHHSGRV >Et_7B_055458.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:11118091:11118384:1 gene:Et_7B_055458 transcript:Et_7B_055458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNAKLYLQNCYIMKENERLRKKAQLLNQENQALLTELKQRLARTAAPNNKANGNGNAAAAGNNRAPVPDLNAASPQARGGHEKAAPKSKKAVAN >Et_2A_017276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32698296:32698559:-1 gene:Et_2A_017276 transcript:Et_2A_017276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTSATSTNPNASPAKNAFSPSSPSRFPNTSSISLRACACASPLSFHSRVPISYTLSAAQNLACARAYGSAGSSGGEDGKASSR >Et_7B_054791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4446839:4449897:-1 gene:Et_7B_054791 transcript:Et_7B_054791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRCDIADRCCSAVCGFMISAIYTLLDTPTTGGIVGALSSSPRPLLSLSDRLAIYATPWLPAAAKQLERYLIGEEALVLHLQDGCVPAMAGLHGGGSGVELRGGGGWWAGGCGHGVPEERSGQRRSAPVYHFSPGSGSGSNNWLIHMEGGGWCRNADECSVRKGNFRGSSKFMRPLSFSGILGGSQKANPDFYNWNRVKIRYCDGSSFTGDVEAVETATNVHYRGFRVWRAIIDDLLAKGMNKAQNALLSGCSAGGLAAILHCDRFRDFLPATAKVKCFSDAGFFLDGKDISGNNYVRTFYKNVVNLHGSAKNLPVSCTSKQSPELCFFPQYVVPTLRTPLFILNAAYDAWQIKNVLAPSTADPKKTWNQCKLDIKSCSSSQLAVLQNFRKDFLAALPKPGQSPYLGMFIDSCYAHCQSGSQDTWFADDSPSIQKTQIGKAVGDWFYDRRVPQLIDCPYPCNPTCKNREDD >Et_9B_063716.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21757147:21758707:1 gene:Et_9B_063716 transcript:Et_9B_063716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFTSLYIFLLCAVFNSHAEETKPHGKSSLVVGLSHVRSLFTPSMGLLNSTSYDFLDIIEPVTAYTDGYLLSLNLGTPPQTFQVYLDTGSDLTWVPCGTSSYQCIECDNSERSSSKPTPTFSPSQSSTNTRDLCGSRFCADVHSSDNRYDPCAAAGCSIAAFTGGLCPRTCPPFSYTYGGGALVLGSLSRDAVTLHGSIHGSSSSSSSSSGPLPVQFPGFCFGCVGSSIREPIGIAGFGKGTLSLPSQLGLLDKGGFSHCFLGFRFARNPNISSPLVMGDLALSATDGFLFTPMLKSVTYPNFYYIGLDGVSIGGDGTAAAVTNAAPPSLSSIDPGGNGGVLVDTGTTYTHLPDPFYASIMSSISSMVPTNYSRSHELEARTGFDLCYKIPCARGPCTEDELPLVSLHLAGGDNNKLLTLPKQSCYYPVSAPKDGVVVKCLLFQRMDQDDDTTSGPGAVLGSFQMQNVEVIYDLEMARIGFLPRDCALQA >Et_9B_064004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:170354:172983:-1 gene:Et_9B_064004 transcript:Et_9B_064004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGNVAILLGSGFLGTVLSDGKIPSAGDVLSGAAKFVKKHSKEGGGGKSNTGPAASQLLSESQRGTALSCLESGPGAYTITAVVVAGVIGYVYIKWKGLKLSDMMFVTNRGLSDACKVVGGQLDQVSDSVIVTRKHLAGRIDRVDATLDETHEIIQGTKDEVDGIHGDLSAFQKDLQSVNVVVRTLVGIFHWKTSESRLGRLSYSQVKRSRCTTAHIRPPYQIRHAPNIILLESCQDQTADGIHHLCQFTQKMEGGQNANVSSSIPAAIGLSSERVVRAPLLQATCLPRPAPRLALEDISPIAESPRAETSNVSSAAECPRAEVAQEQQGVVTQTSWTREWPSESSASSTEAPSSGLFGGLRLPGLSSFLRTSA >Et_1B_010185.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:28770396:28770614:1 gene:Et_1B_010185 transcript:Et_1B_010185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHPSMHRQFSSAPAAQAQGLDLYAAAQDQTPSLIFSFVCCLTHPTRLLSSPNSSCLWISTLIARRDVSMI >Et_3A_024900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25088899:25092891:-1 gene:Et_3A_024900 transcript:Et_3A_024900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGALALLSLLFFLVLSSSSIVSASAKQDQVYIVYLGEYDGAKATEAVLENHHSLLLSVKGSEEAARASLLYSYKHTLNGFAAILSEEEARELSGRSEVVTTFRSEGRWAPHTTRSWEFLGFEEGRRGPGDSDWLPSLDKSGGDVIVGMLDSGERECISSYVPRMSSASLQLRMPWIWPESRSFSDEGLGPVPARWKGVCQGGDSFSPSSCNRKIIGARYYVKAYEASYHGLNTTYAYRSPRDHDGHGTHTASTVAGRVVRGASALGGFAAGAASGGAPLARLAVYKVCWPIPGPNPNVENTCFEADMLAAMDDAVGDGVDVMSVSIGSVGAAPRFADDGIAVGALHAARRGVVVVCSGGNSGPKPATVSNLAPWLLTVAASSIDRAFDSPIKLGNGIAVMGQTVTPYQVPGDKPYPLIYAADAVVPGTPANVTNQCLPNSLLTEKVRGKIVVCLRGSGLRVEKGLEVKRAGGAAILLGNPPASGNEVPVDAHVLPGTAVSAADAITILNYINSSSSPTAILDRSRTVVDVRPSPVMAQFSSRGPNVVEPNILKPDVTAPGLNILAAWSEASSPTKLDGDHRVVKYNIVSGTSMSCPHVSATAVLLKAAHPDWSSAAIRSAIMTTV >Et_2A_015701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17058506:17060796:1 gene:Et_2A_015701 transcript:Et_2A_015701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLGVHVAGSGNHPGSIKMSESQETDRNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQIARVAKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPKGLVLYTGTIVTEDGKEKKVTIDFEPFKPINVSLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDINRYVLKNSATQEIVIKHLNKEQEADQSNFRDASANAELEVQEKMSLLEWFANEYKKFGCSLEFVTNKSQEGSQFCRGFGGIGGMLRYQLDIRSFDELSDDEGLYEDSD >Et_8B_060321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9442184:9444751:1 gene:Et_8B_060321 transcript:Et_8B_060321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIVGVTTIKKLINVFFLVGIPFHLNQAVVRSLGESNIMVILDNHVSKPGWCCSNGDGNGFFGDAYFDPGVWVRGLTEMARMFAGVPNVVGMSLRNELRGPRQNTDDWYKYMQLGAEAVHAANPRVLVILSGLSFDTDLSFLRDRQVTLSFAGKLAFEVHWYSMSATSAWRAGNPNEVCARAAAGVARRALYLLDQGWPVLLTEFGVDNTGGTRPNIRYWGCVAAVAADMDLDWALWALQGSYYTLHGVESHDEKYGILDKNWSGPRNRTALTRIRGLQRPFRRPVLFHPATGLCVVVVQQRSSTTQQRVELGPCDETAAAWSYTQEQRLELRESSMRPCLRADGAGRPARLGAGRAGCDGELAQWSLVSDSRMHLAVNMSSSDSDGHGGMLCLDVGADGQSVVTVPCRCLSGEGECDPESQWFKLVNTTSAL >Et_1B_012966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4478975:4480599:1 gene:Et_1B_012966 transcript:Et_1B_012966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARVPSKPPMRVRGTEMQNHRARRVKNSEMGRAPVLFLPHRMEFNTVRMMKTIPGKKQEVSQATDFHPSEVPLIVLQRRTPTYPAIMPRKRYRMIIPVSSIPLEAGERNPRAANTIVTTAIPSIWIPSATITHNSFEQQGSLKTSPTTSFQPESSSSLRTVLKNMTNTIPARKKTTIIEFMIENQCTLAPSIFRANRVIYLELGHSLWIAPYTARHNFKPNNLKSALAVRLNHCNTILTCDHNITLCLVLMYQDPELEMVNDIILIAPCRVVPNWEPSPLDCHTILLREPHDWEIVQDHVDLVQISRPLLEQLGLLLGERVCGAKVHGLVDVDAEAVRRVVDLITHENLTEVLGALLDTLRGLAVRQAVVTEGHLGLNGGERVDLGRDRLPGCVGVHEGAGEVEGVDTRVQAQEQDEQGRRDPLGRRHLGNHCRYNSSRSLDQELTTCKQMRAKITNQSNSAALCNNINNNQSMHSILEEK >Et_5B_044667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4403020:4406302:-1 gene:Et_5B_044667 transcript:Et_5B_044667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRPAFPRLCASVALLVAAFSCLVPSSEAYDPLDPNGNITIKWDVIQWTEDGYVAVVSLYNYQQYRHIQAPGWKLGWVWAKKEIIWSMSGGQATEQGDCSKFKSNIPHCCKKDPEIVDLLPGTPYNMQIANCCKGGVLSAWAQDPDNAVASFQVSVGQAGTTNKTVRVPKNFTLKAPGPGYTCGPAKLIKPTKFVSQDGRRSTQAHMTWNVTCTYSQFVAQRSPTCCVSLSSFYNDTIVNCPTCSCGCQTNSTAPGSCVEGNSPYLASVVNDPNKNSLAPLVQCTSHMCPIRIHWHVKVNYKEYWRVKVTVTNFNYRMNYSQWNLVAQHPNFDNLTTIFSFNYKPLNPYGQINDTAMLWGIKYYNDLLMTAGPDGNVQSELLFRKEPSTFTFEKGWAFPRRVYFNGDNCVMPPPDSYPWLPNASPRLAASLLFPFAAVCAVLAILLIHV >Et_3B_030808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7598908:7600284:-1 gene:Et_3B_030808 transcript:Et_3B_030808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASHLQNPHYFDFRAARRVPESHAWPGLHDHTVVDGGAPGPDAVPVVDMRAGDGAAVARAAEQWGAFLLTGHGVAPGLLARVEDAVARMFALPAADKLRAVRRPGDACGYGSPPISSFFSKSMWSEGYTFSPASLRADLRKLWPKAGDDYANFCEVMEEFHKEMRALADKLLELFLKALGLNDEQVGAVEAERKIAETMTATMHLNWYPRCPDPQRALGLIAHTDSGFFTFSLVPGLQLFRKGPDRWVAVPAVPGAFVVNVGDLFHILTNGRFHSVYHRAVVNRDLDRISLGYFVGPPPHAKVAPLPEAVPPGRSPAYRAVTWPEYMGVRKKAFTTGASALKMVAVVVGDSDTDAGDAHLLVS >Et_4B_038522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29651288:29652960:1 gene:Et_4B_038522 transcript:Et_4B_038522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKIKTVVVLVQENRSFDHMLGWMKSLNPDIDGVTGEEANLVGERAVRFSDRSEYVDPDPGHSMQAIYEQVYGTAFVDASATPITPPAVPTPPMSGFAQQAENERPGVGMAETVMSGFRPDAVPVYRELVRSFAVCDRWFASNPASTQPNRLFVHSATSHGLVSNDSKILVAGLPQRTIFDSLHDAGRSFGIYYQYPPSVLFYRNLRQLKYIGSFHQFDLAFKRHCREGKLPNYVVIEQRYFDLKLLPGNDDHPSHDVAEGQRFVKEVYEALRASPQWEETLLVITYDEHGGFYDHVPTPVGGVPSPDGIVSAAPFFFNFDRLGVRVPAIFVSPWIEPGTVVHRPPDGPYPTSEFEHSSIPATVNKIFNLGSFLTKRDAWAGTFDCVLTRDTPRTDCPLTLPEPVKLRSTDAVEHTPLSEFQEELVQLAAVLNGDHTKDAYPHELVQGMTVADAARYCNDAFKAFLDECDRCKKCDEDGCYIPTLKPSSPPDKKKKKNNTSFASKVLACLACGHAHSWSST >Et_7B_055802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:542954:544495:1 gene:Et_7B_055802 transcript:Et_7B_055802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQLPFRLQHLLKDCSRKQLDQIHGLLLTSSSLHRLPGLPALLVRRATELEDMAHADLLFSWFLGNPDVALYNAMIRGCAYHGPHERALELFDEMPSRGLSPDSYTYPYVVDACTRLRLWRLGDAVHCRVLKEGIDAVPAVGSSLLAFYVAGGWLSNARKVFDGFAVKSAGLSNRMMSEYIKVGDIDSVRELFDAMADKDVVSWNSMLVAYVKAADIVAAKELFVRIPEKNIVSWTTMLRALSDAGDFIGMRSLFNRMPERNLVSWNCILSSYTRHGKFWQALRMFPSMLIEGLAPDSYTVVSILSACENLGKLRMGRWIHVNLVTPALQRDYSIQPSLQHYRCLIDLLCNNGLVDEAVTVLQEMPCQPDSEIWRLLLGSCRIQLGLGSAEDSTVSGRVWIDAITRTRHATSVAAM >Et_7B_054263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18795074:18810881:1 gene:Et_7B_054263 transcript:Et_7B_054263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSTSIPQESTQEHEQEDRLSKLPDDLKLISDAVRTCVLSKRWRHLVGLLSEIVLDVAHYQPREVPSNYTLEELVQANVSVVNSAKSILAHNSQHAITYLSIRFYLRDESIDIVHSVDSAMAGRGVDRAEFIIISEMGDESCTHHDKITYGRRFMTFLDSYPRAFGGLTDLIIYNLRLDVSDIPNVLGTCKKLEYLYLQNCDAGVRSVIQIEHSQLAELVIVACPFEGIELKWLPQLTHLTCQTWLPSRDSSCPLSFGYVPQLWDLILTNMASTFHHNVKLSELLRNSVLRKLELDFQSKEIYIQPEGPKRLGPLLKNLQTVILRFVHENWHLTWTMFFLEAAPLLKEINIHVWGHDCNSNEGDMYKLLFQKTSDDHLIWEAHNDFKHYNLSKLIIEGYQVEEKFTRYIRQVMESAVNLVLLSLIQSRPCANCGFCPSTAYPQTDQEREGIKKQISEWRFPSVPTSIKGTSPSRRLRDQLNLHTKRTRAHHLRASTARRLRAAGKTRLLMASPSCRRLVVPPAGHRLVAHRAGFAGEAPAGRRRPLPSRP >Et_2A_017670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4971301:4974424:-1 gene:Et_2A_017670 transcript:Et_2A_017670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDSLSKFKQQQERCQSSLASIAHQASTSKPKHRAQPINAPSVPARPSQPIKFSNDTERLQHINSIRKSPVGAQIKLVIELLYKTRQAYTAEQINEATYVDIHGNKGVFDSLRNNPKVHYDGRRFSYKSKHDLKGKDQLLVLIRKYPEGLAVVEVKDAYPNVLEDLQALKATGEVWLLSNMDSQEDIVYPNDPKAKIKVDDDIKQLFRGIELPRDMVDIEKELQKNGHKPMTNTAKRRAAAQINGVKPKGKPKKKQREITKRTKLTNAHLPELFQNLNT >Et_1A_004599.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22028553:22028903:-1 gene:Et_1A_004599 transcript:Et_1A_004599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYISGARLLPDEQVRIASTKMDGIGPKKAIQLRYRLGISGNIKMNELTKYQIDQIEQMIAQDHVVHWELKRGERADIERLISISRYRGIRHQDGSPLRGQRTHTNARTARKKIRK >Et_5A_042981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8665519:8669169:-1 gene:Et_5A_042981 transcript:Et_5A_042981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALAIVLLSLPTPSAGDRSFCSDAGGTYKRNSTYMSNLRSLAGALIGDAARLHSATGDAGEGPDRVYGAVLCRGDSRELDCANRLREAFGAIADDATDGSAACAHHRDVAIYSELYQLRFSHQDFLSGFSNAPEWINITNPGTVPHAATAQFNKLVTKLLSALADTAARRPDRSAAGEAPWSWSQEKERTVYGMAQCTRDMPLERCRSCLHGILAERRQMIGGGKMGGAVFGARCNLRYEMDLQFFNTTGNSEMLSLRKINSREQLENFEEVLRLWRIEDAGLEFSLYDISQIANATDNFSPNNILGEGGFGPVYKRGVIKGEWERHRVVVPVVLAKEGINLLPSIYFSVAL >Et_8A_056240.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17670580:17670684:-1 gene:Et_8A_056240 transcript:Et_8A_056240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKCSNLPTIYTATGVLKGVALSLWSQTTEWTE >Et_2B_019251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20139024:20139821:1 gene:Et_2B_019251 transcript:Et_2B_019251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALGGRRRVAKVMTVDGATFRYKLPATAGAALRGHTGHQLLESEEVRRLGVRARPLDRDAPLKPGKLYFLVQIPRGGVVIGDDDARAPRKTWSGALHVGARERLESLMLSRRTVSDPPSSVEVGMDGAVRLRMRLPKAEVARLMKESRDAAEAAEKIMQLCVARDQAARQPGGGGGAADLLPPSALSGRKTGLMKKEVRAYAPSPGPSRPFSQLKSRGHVASLSYSS >Et_1A_007276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3371898:3373204:1 gene:Et_1A_007276 transcript:Et_1A_007276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGDQLSKLRNRRKFQGPRRDTACLPPSQLHHTTPVPSHLSMGRSPCCDENGLKKGPWTPEEDQKLMDYIQKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFTQEEEQTILKLHSVLGNKWSAIAKHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHRPRTDFFAALPQLIALANLRQLVEQRPWDDHTARLQAEAVQAAKIQYLQGLLQSAASIATSPSSSSINTIPSGLEQIGLLSPPQMSSLSSLPSPSFLESISGQDIAAGQVPDIQMPSSFFEQPISNDANQNPDYTAKGSVEEYNDTPKTLLMSENSLPPLADFPISNLGDACSTSSCGGTNTQFPSWPELFDEQFLSEFA >Et_1A_007229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32350942:32356663:1 gene:Et_1A_007229 transcript:Et_1A_007229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGIDTLSPLLDQLALVPQCLLRSGPPDSILIFLAMPGMPPVPMRLQGSESIAAIKLRIQRLKFKGFVTTKQRLVFGGHELSHGRSCVRDYGLDDGNVLHLVVRLADIRAITIETTDGKRFRFQVESGCKVGCLKNKLAAQTGEQLTTLKDQKLRSSNEQLVTDIDNKGDAVIHLFIRHPAKVATQQLERETLVTVTTPKQKENLQIDASGGGSALVEPIIVNRKVKISPFVMDMIGMTVAGLENGFLPDMSTEGSGGVYFMKDHSGRSNVAVFKPIDEEPMAENNPRGLPLSVDGEGLKRGTRVGEGALREVAAYVLDHPVDGCKSDGTTGFSGVPPTAMVRCFHMGKEFKIGSLQMFVSNFGSCEDMGHQAFPVNDVQKIAVLDIRLANADRHAGNMLVCQDGDHLKLVPIDHGYCLPEKIVRLSGSTGPKPGKIVAYIRSLDAEKDIALLKFHGWELSHQSARVLRVSTMLLKKGAERGLTPYDIGSIMCRETVKKESEIEVIIEEAEDAVLPGTSEKTFLETISEIMDRHLDNMLSTNKFPTLKVLA >Et_3B_028954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20886824:20888642:1 gene:Et_3B_028954 transcript:Et_3B_028954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PSLIIGPARLPEELDGIFCFSFTLTGNRCSVERSSRQREEEMQTTAPSPSPAPPSPLPSSAPPKRPPHGVFPSLRRRDILLLPAAALALSLASAPAPPPASARGLFRMPPPRLANRYYLVRAGESVYEGQGVLHTNPVSKTSVDSGLSPAGLRQTARAALELQRIGACEDDCWIWPSITQRAYQAAEIIAAANGINRSKIVPEYSFLDARGLGAFEGKSLDALPELYASDSISPDIKPPPISDGTPNESVADVFVRVTQLMSILETQYSGETVVIVSPDSDNLSILQAGLIGLDLRRHSSLYFQPGEVRPVDPSSIPEYKQPASTVFKCANPPSCK >Et_1B_009856.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:33855297:33856376:-1 gene:Et_1B_009856 transcript:Et_1B_009856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSKAPTSALTLLPCRLARNLVHASSITSLLRATSRRRGISDSPRASIAVLTRLPWNLAIASFFVSVSSSCLFIASFTTTTNLSAMSASATASGSGAAPFFGSSSFSSSAAAAAASPFSFSSAAFSHASASSTTGSGSWWCATCMIFSGTGTASSTCCCCGSSSSCWILSTAAAAAAPPLLPSLLPCSTSMDPPLATSEALPGITGTPGPGSSPFILRRDLLLLMRNARAARCMSLLGDQSDANDDIAAGPVAAALALLLASLGVAGGVSVGVAVMQPKDDAAAAEAAGVAGVETGWWAGLELNGDRKSALALALRSSDRSGIAMACSGIHKQQLMEFFFISLIYNSSSLYIGYLQDS >Et_8A_056168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11473604:11474131:1 gene:Et_8A_056168 transcript:Et_8A_056168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGGGVDRISGLPDELLHSILLRLPHAADAARTSVLSRRWRRVWAHLPGLHFLYDDASAATLDRIDGALGAYAAPSLNHLLHLRHVEPPRVPGHRISAWLRFASQRLVGGSLRIEVPLNSIVMSNILSFFVK >Et_1B_013129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5705314:5708317:-1 gene:Et_1B_013129 transcript:Et_1B_013129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSSSGGGGGDGGSNREEVQIQIAGSSKPATSSSAQSAPQNSPTKHWHWWLMVALNIFFLIAGQTASTLLGRFYYNQGGNSKWMSTFVQTAGFPMLFIALYLFRSKSPSSPRTISVPETPVAKITLIYIALGLIIAADDLMYSYGLLYLPVSTYALICASQLAFNAVFSYVLNAQKFTPLIFNSIYTALVATLASLVGLFASGEWKTLQGEMHAFGTGKLSYVMTLLWTAIAWQIASVGVVGLIFVVSSLFSNVISTLALPIIPVFAVIFFHDKMDGVKIIAMLMAIWGFVSYGYQLYVDDKKARKTSTIRGQELRNITSLLEKYLKYFKAKKVKDGLICQK >Et_10A_000451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10592184:10593257:1 gene:Et_10A_000451 transcript:Et_10A_000451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAAQQGSSTPLATEGTEILRLFTNWKMLLVLPAFWDSNFFYTYQFNNVNAVLFTLRTQGLNNIFYWGARMIGSVCIGYLLDFGFSSRRKRGLVGIAVVAVLGTAIWGGGLANQLRYKDGKWDNLIDFKQGRRYVGPFLLFFSYGLLDAMFQSLVYWIIAALANDSQVLSRYVGFYNAVLSAGAAVAWQIDRQKTPLISQLIVNSGEMTVSYPLLVLLVLFAVKDEDNY >Et_7A_050571.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:25490896:25491048:-1 gene:Et_7A_050571 transcript:Et_7A_050571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMKTQQSVRLRRTSAQATSSRTRSLTRNYHVDDGWGQEAQAAHIDASE >Et_2A_016642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26866373:26868689:-1 gene:Et_2A_016642 transcript:Et_2A_016642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAADDHHACSRGNDGAECRVKVPAADDDVAAVAVAGEEYENAKAAGRRRACCFCVPAARLFAWTAAPRDWALGVAMAAWLWLASRAAAARDGVAGFARTVWRVGADDPRKAVHGLKVALALTLCSVFYYVRPLYSFTGESAMWAVLTVVVVFEYTVGGCFYKGINRAMATVTGGALALGVQWVASKSGKDFEPYILTGSLFIFAAAATYSRFIPTMKARFDYGVTIFILTYTLVAVSGYRVDEVVALAQNRLTTIAIGAAICFAVCALVLPVWAGQELHSQVARNMDKLADAVEACVHDYFFSDEATTQVLSARASGYRAVLNAKASEDSLVNLATWEPAHGDFGFRHPYAMYQKVGAAMRRCAYSVDALAASVAAEVQAPARVKKTLAAACAALSRNCAVVLREASGSLESMTRSGHLVLLVDDMNAAAADLREQLTRLAVALEDDEPTEHEQSTAPTPLIEVLPLFTATSLLLEICARAEGVVGAVDALATAAKFKKADHKTVDVEAAVPVATTTITTTLAADAPQETHAKAAVDKEKATTDQAPRDQVGELIKVLMRRRSTKKWAPKVCPQPPLDFAAHVVPSPKSWSMELTTSHAQVVPSPKSWSMDLTAHPPVAPSPRHRSVDLAAGHPPVAPSPRHRAVAELAGGHPPIAPSPRHRAVDVAGHGAVVINSPRNRSMDLANHGPVAPSPRHRSILATA >Et_7B_053889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13051787:13052650:1 gene:Et_7B_053889 transcript:Et_7B_053889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISESSRNALLPGFLYAAPGAGGSSPFPAAAAATAGVGGRAVAAPSAAAAAAGPAVWARAPSEQRRGIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQINPAKYKSISSGFGQGARGFFRGWVPTLFGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKT >Et_4A_032017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12071230:12071604:-1 gene:Et_4A_032017 transcript:Et_4A_032017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSPPSLCEFIEYIDTEQTSENIAHVYRVAERARRHWFDMEAEERREEERRKMRQKEEERRREYEAEHKAREAERERMRERARRARATGPDAFRKEKYPRCTQ >Et_2B_019492.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:7606996:7607286:1 gene:Et_2B_019492 transcript:Et_2B_019492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRASSHWYEHLEDILLTEALAVRDGMATAKEVGATRIVVESENAAVVKLLKAPEGACSILASIWHGVQELSRSFISFSLCFVGRDTNRASRKSLY >Et_10A_001521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4499619:4501507:-1 gene:Et_10A_001521 transcript:Et_10A_001521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKENAVPAAVAVVPRVTRAAAKRRAAAEAAAGGGGGGGRPAKKKRVALGELSNAADRPAPARPAKPSKPAKKEARKPAAAAVPAPPSPPPAAAVVPEADSSASSSSPPRAAAAVEDCAASSSPPRAAAEPSPSAVSSSPPRPAVAAAAADPQLCPAYASDIYSYLRSLEAEPLRRPRPDYIEAVQKDVSANMRAILVDWLVEVAEEYKLVADTLYLAISYIDRFLSANPLGRDKLQLLGVAAMLVAAKYEEISPPHAEDFCYITDNTYTKPELLEMESDILKLLKFELGTPTIKTFLRRFTRVAHEDKKRSILLFEFMGSYLAELSLLDYACLQFLPSLVAASVVFLAKLTIDPHSNPWNNKMLKMSGYKVSELKDCIIAIHSLQLNLKCPSSTAIRDKYKHHRYKCVSTLLPPVVIPPSYLEDLTE >Et_8B_059364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17098823:17102040:1 gene:Et_8B_059364 transcript:Et_8B_059364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEDFEILGAIPGLISLKLETVCGTKGRIIVNGNKGFGNLKYFSMVSFDCGIPLEFDAGSMPKLKHLDLHFSVHKTEHLHGASDLGIQHLSVLNSIEIYIDCSFRHNDDEDVPLAISEDCVVKGVACLIKTAIETLPSRPSLRLSTDCSSCPPKSAGLRILSFAGLTMTIFNVKNASPGQRPGFLLVSYL >Et_1B_009860.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:34643955:34645309:-1 gene:Et_1B_009860 transcript:Et_1B_009860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPERAVVTETTTQEEEANNKSLDAWLPITSSRNAKWYYSAFHNVTAMVGAGVLGLPFAMAHLGWGPGTAVIAASFGVTLYTLWQMVEMHEMVPRRRFDRYHELGQHAFGERLGLWIIVPQQLIVEVGTDIVYMVTGGQSLKKVHDLLLLLANGGIRLTYWIMIFASVHFPLSQFPNLNSISAVSAAAAAMSLSYSMIAFLASAAKGAAVDYGLKAATGAGKVFGALNALGAVAFAYAGHNVVLEIQATIPSSPERPSKHPMWRERRGRLRRRRALLLLRRLRGVLRLRQRRGAQRAHQPRPPPLARRRSQPHGRRPHRWRIPGLRHAGLRHDRDAARQEARVQAQLLAPAHGAVRVRRGHHARRHDVPILRRPAWILRRVRVRAHHLLHPLHHLAQAAKAQEVQRHMDRQRCLHCHGSDAHARRTHRRPPADHPRRQELQVL >Et_7A_052620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9962841:9967118:1 gene:Et_7A_052620 transcript:Et_7A_052620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACSRKRGQLADEDVLYSARFSKSGSFKWLLHTLPRSSSDVQRKAQGPVPGRRPSLVELCVAKVREDMSRYSDFSLLPRDLSQQIFNELVESSCLTEESLVAFRDCALQDICLGDYPGVTDAWMEVVASQGQSLLSVDISCSDVTDSGLNLLKDCSSMQSLSCNFCDQISEHGLETLSGFSNLTSLSIKKCAAVSAEGAKAFANLVNLVNLDLERCPKIHGGLVHLKGLKKLETLNMRYCNCITDSDMKYLSGLSKLAHLNLEGCTITAACLGVISGLPSLVLLNLSRCGIRDEGCEKMKDMINLECLNLDSCKIGDEGLVHLKGLLQLKSLELSDTEVGSTGLRILSGLRNLQSMNLSFTLVTDISLKKISGLSSLKSLNLDNRQITDNGLAALTNFKNLRSLELCGGFITDTGVKNIKDLKALTLLNLSQNGNLTDKTLELISGLTALVSLNVSNSRVSNLGLRHLEPLQNLRSLTLESCRVTATEIKKLQLAALPNLVSVRPE >Et_2B_020490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20763635:20772548:1 gene:Et_2B_020490 transcript:Et_2B_020490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVLRCLFLIPPWYTSFKVNMDSEPSSQNPTDMTAFVSSDATAGSKPPWPDAIYTSGPQSSVILNYIALDEMGSKIDELEQSINDLKAEMGTDTPAKKPDEAKPSDSALSCGHILCDFSPELRRPSATSTSPTRRAARAHVPNTCSALSSTHALPVCHRPPPPNSRAKKANWPNHRRPGRQQPSIDKQAPSRPSGSSPSPTPRLLQKIYSRKPLATSPPPPDEQPRRPPPRRRHRRRRYIRQSHTPSSPSRHSPQPQMAAHHAVLPCSKPLLRGAATPARHGARCPNAVAVRAALSTSATAPAAAAGAETVRSIRARQIVDSRGNPTVEVDLVAGDGRLHRSAVPSGASTGIYEALELRDGDKAVYGGKGVLSAVRNINEIIAPKLVGVDVRNQSDVDAIMLDIDGTPNKSKLGANAILGVSLSICRAGAGAKGVPLYKHIQELAGIKELVMPVPAFNVINGGSHAGNNLAMQEFMLLPVGATSFAEALRMGSEVYHVLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGKIKIGMDVAASEFLTKDGSYDLNFKNQPNDGAHVLSAQRLGDLYKEFVKDFPIVSIEDPFDQDDWSSWASLQSSVDIQIVGDDLLVTNPKRIAEGIDKKACNALLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLAVGLASGQLLRIEEELGSDVSTDPSQSAPSRSSTSLTVAFPSPRSASAAAPSSARTLTAATDRGVVPSAAHDLSRLSATQYPSRPSSRRTLSGYPGRSAKRSVRPARGPRSQCRSRRHDAVSATTTWDPSALSATPLGKARPDASTVALPVDGSYRSSRPVASPVRMIIM >Et_2A_017920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:817010:819649:1 gene:Et_2A_017920 transcript:Et_2A_017920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAARRLLSRRASSFSASALLRRGPAGATTEKLLRPAAVAAYRLGFPRGMARRPGGDGYPPMRGGGGGDRASTEMAPLFPGCDYEHWLIVMDKPGGENATKQQMIDCYIQTLAKVLGSEEAAKQKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRPPERQRRVEPVPQRAADRPRYNDRTRYARRRENQLSVIPSPDVEPLRGGKLPHPLLHLLCPHPRRVEQVAGDLGGAVAVHEPHRLHGPEPAAALADCSRDPLRRPDVGVVHGHVVVVDPRGGADDHHALGPRGRPGAGVGGDGQGRGVRRALPLVAWRVGREVAELPPDGGQRPSFAVSCSV >Et_1A_006920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2941791:2942502:-1 gene:Et_1A_006920 transcript:Et_1A_006920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGRHLIGGGVGGEKRRGPAGPPRVGGLQKQNSWSPDIERDEAWERRRRGMHPGVSALRRVRSVTDDDLDELRGCIDLGFGFEPAAGCAVCGGGRSRLVETLPALDLYYAVHGGGGGGAAEGSACTCGAASEVSSEESPLGSPMSILAPGESPETVKMRLKQWAQVVALSVLNRR >Et_4B_039961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6870571:6876900:-1 gene:Et_4B_039961 transcript:Et_4B_039961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTTITGTPLGAGGMPESMNSPSRLLSLTLALSPSYTEMRMLTCLSYMVVKFLLIFMGMVVFRGITTANISSKLTSTPSESGVTSCTTIANFLPSSLFPPLSLDGRAVSNSLVGVDGPAKLLPIEELLEHALHLGDAGRATHEDDVLDRALIHLGILEALLQSIHALDKDALVELFKTGTGNGCAKIDAVMERVDLNGDSGGGGLIDDAHDVQSGNGAGIDGGLALGVAEIKFFLGYILTFDCSPVWPSNPRAHAARSSEGHGMVRSYRNGVNGKILAQFGTETTVHQVMQ >Et_8A_057718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6444235:6448904:1 gene:Et_8A_057718 transcript:Et_8A_057718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDVASAGAPAAAVQPGNGQTVCVTGAAGYIASWLVKLLLEKGYTVKGTNAHLKALDGAAERLILCKADLLDYDAICRAVEGCQGVFHTASPVTDDPEQMVEPAVRGTEYVINAAAEAGTVRRVVFTSSIGAVTMDPSRGPEVVVDESCWSDLEFCKKTRNWYCYGKAVAEQAAWDAARQRGVDLVVVNPVLVVGPLLQPTVNASIAHVLKYLDGSARTFANAVQAYVDVRDVADAHVRVFESPDAAGRYLCAERVLHREDVVRILAKLFPEYPVPTKCSDEKNPRKQPYKMTNQKLRDLGLEFRPVSQSLYDTVKSLQEKGHLPVLAEQTEPEKETPAAELQGGITIQA >Et_3B_028207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11829142:11832456:1 gene:Et_3B_028207 transcript:Et_3B_028207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AMMDVYGHKLERGQWSSTGVCVTHPGDYAKSTLNSVDVGCHIFPEKYGDSRPRFFTSWTRDGYQSTGCFNEDCPGSVPAKGAMIAPGAVIDPVSDDSHQQSIIIKVFKEKSTGDWWVYYGFNSVPTAVGYYPKSLFTYLSQKATIVSFGAFVSANRTLPTPPMGSGIPPNGQGGSVASFRDLQLVDFDGISSPIMNDLPSNEGNKQCYSISPVVSAECFYGGPGGCFMQQIVLHERVVSLGIPLILKQITSSDYKSIPINTNTIDGRQETLKEP >Et_5B_044631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4166402:4166695:-1 gene:Et_5B_044631 transcript:Et_5B_044631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRTASLVGRKQIVSSQKKEEETEEENKLVFSFSFGGKSGKSVTGEFLSTRFAPPTRLLY >Et_1B_014320.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5943185:5943784:1 gene:Et_1B_014320 transcript:Et_1B_014320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYSETMLPRALLQVLLLLGHLHRFLLWAFHAVGLGDLIDLNYPLPEHQHEPQQQGSAAHGGAAPLHHRRPEFRAVPAVVIDEALPVVRFDELADAACGDGDCAVCLSGIAAGDEVRRLSNCRHAFHRGCLDRWMAHDQRTCPLCRAPLIPGDLWPAAAAPWAMDAADYDLSYPSLLPTLLRPHELLLNGLGGFQ >Et_9A_061183.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:20976878:20977255:-1 gene:Et_9A_061183 transcript:Et_9A_061183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHLLGRVSGLVAACTRRVSRATRRLLRHGGRKSKQPAARVAALSARVEADDAEGGGAALWSRRILMGERCQPLDFAGAIHYDSFGRRLARQPTPRSASSLSCRSSDSIAVSEAISYLENDVV >Et_10B_003192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17480085:17486031:-1 gene:Et_10B_003192 transcript:Et_10B_003192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQILLRLLSLHSCGALGCRRLGSAGGPRYYSGGERRRGPPQDDESRAVRVSVWWDFENCQIPNGVNVCRVAPRVAAALRAAGIRGPLSITAFGDVLQLARSSQEALAATGVSISHVPRSGKNSSDRSFMADIVYWIAQNPPPVHFFLISGDRDFANMLHRLRMSNYNVLLACPSKVTSVLCNAATIMWPWEALVKGDDFSPKHFNHPPDGLSGSWYGHYKGALDDPFMEPIAIPSSDSKNCSVPKYVTTAIQEILSSHPQGMKLAMLRVALQKSNIYLGTDFFGHKKFSFLIQSMPDIVKFIEPPPGEDQLYVTAVKKRLLRPGEQTKYAVKEGNLIQAEQNDGVHPSFTAPDVSKLDSEPLSSSRSIDMKKSLAETVNEDSPTYAVSSSRSSQSIDRNQCFPETVNPPTFAVSPSPSEALPEDQKEYPIVDANSKPESSANHREVDERTTPETPSPSLVENADNKDGLFKRMWILWNGPENAKCEGSQNHETTSAEVVDELQEPNAAHRRKLLKRINKNGRSDGSDSTAAASPNLPALSDDDHSENMKQDPSILENSEPYNGPASLPLSKAGEKDDTSRMHKGLFSWASRLWRFGKSDADNSTTKHNAIDEAMTDSIEGSESSNTSTCGSGQHVANEIFTKSYFWDILEQQLSKPFGSDLVSKAKTREELVHGLQKLGCWPLKGLVDKDLHQLVNLLVSEKKWIEETPSRRFPFRVTLPHKRTCVPSNSSKHTGLSSVFSNVKPLQQGKYVTGNSKTNRPLDREEILSDCHKLLKELLSQYEYGFNINIFKNRFAQKHGYELDHQKLGYPDIESLLQIMPDARVKFPRVLPAESGNGQGRSKGIGNQNNGDLWEELGPVSATTETTAGSDKEMCYLPPTPSDDEFSDSDNPADRQPRRDAEQSSLLQIIDSWNSSKDGGSRKKPEDIDGLVDCSRTRLGNIDNLTSGSAQRPTRQSRKQYFFVSDSEEDQEKDKLVESVLGSLQKARGSARLGDLKYAYGTACVTNQPAYD >Et_2A_018115.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:11600814:11601026:-1 gene:Et_2A_018115 transcript:Et_2A_018115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGGGGRGAPGAELRRWQVGGADDIQEPSTHERVWLRSYDTREEAACAYDAASIADLAAARPDSLPGV >Et_7A_051739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23912303:23915386:-1 gene:Et_7A_051739 transcript:Et_7A_051739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRILPRSLAACRRFRRAFSAAAGSPLLASSKLLPHSVRGIFNNYCDHGRPHFFARPRGSGPSIDGTFSSMPDNEYEQWDTILDHCNGLLLFDNGDEKIYVCNPATRRWALLPPSLPSDPSLGHSLAYLAFDPRVPALQDDTARDLRTEWPPLHYKLQVFSSATGEWEHKVFLRQGSPVGVVADVQLDSWEPVYWGPERRYAECWKGSLYVHCRGAYVMRLSLTEDKYRIIKTPTNIEENKDIAPFLGLSVNGVSYAALKDHELRIWALDDSGEELRWVLKHHVNLETLCRQILWKYNDETKRPWAIINRDGYKAYDDEVVGQDEVCEWNSDDDDVIDNKESNLDSCHRTFKFIGFHPYKEVVFLSKGFDMVTYHLSSKKAQNLGNAFPLGYGGHCASFDESFIYTPCLIDSLPQMDMLRGASRSGPRNSKVTRTVADIYKPTQGVQGQRCSLTAFNCMVLVQMLREGTHTAADTSQFRAFNCA >Et_2B_021469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:315053:326444:1 gene:Et_2B_021469 transcript:Et_2B_021469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASEAEVVWRGAVQFEMEMNGEGESLSNGLLERRQHATASLTDRPAREHGDQADLTPEHPRSAPPTMEWATVQHLDLRHAGGRRGASARPMQPHAAAFRSSQAIVTVAIGTHVVEFDALTGSKIASIDLGARVVRMAYSPTTSHIVIAILEDATIRSCDFSTEQTLVLHSPEKKSDHVSIDTEVHLALTPLEPIVFFGFHKRMSVTVVGTVEGGRPPTKIKTDLKKPIVNLACHPRLPVLYVAYAEGLIRAYNIQTYAVHYTLQLAVDSTIKLMGAGAFGFHPTLEWIFVGDRGGTLLAWDVSTERPGMIGITQAGSQPITSVSWLPTLRLLVTISKDGSLQVWKTRVIINPNRQPMETHFFEHAAVETMDITKILTLQGGEAVYPLPRIKNLAVHPKFNLAAVIFADMSGTEAAKNKAAYTREGRRQLFALLQGARGSTAAVLKEKLLALGSSGILAEHQLQAQLQEQHLKGQSQLTISDVARKAFLHSHFMEGHAKSGPIPRLPLVTISEPSNLLRDVPVCQPFHLELNFFNQENRVVQYPVRAFYLDGFNLMAHNLSSGADNLYKKLYSTIPSNMECHPKYMSYSPKQHLFLVVFELSGPNGVVHEVVLYWEQTDLQTVNSKGSSMKGRDAAFLGPDDNQYAILEEDRTSLNLFGLKAVATKEALENNAAVLEENTFADNAANSSERQGPLQFTFESEVDRIFSAPLESTLLYVISGKHIGLAKLLQGYRLSTDNGLSITTKTDGKKFIKLKPTETVLQVHWQTTQRGPVVGILTNQRVLIATADLDVLSSSSTKFDRALIFSSATAISMLGWDNKVRSILSTSFPRSVLLGALNDRLLLVNPTDINPRQKKGVEIRSCLIGLLEPLLIGFATMQQHFEQKLDLSEVLYQITSRFDSLRITPRSLDILARGPPVCGDLAVSLSQAGPQFTQIMRCNYAIKALKFSTALSILKDEFLRSRDYPQCPPTSHLFQRFRELGYACIKYGQFDSAKETFEVIADHESMLDLFICHLNPSALRRLAQKLEESATDSELRRYLERILRVRSTGWTQGVFANFAAESMVPKGPEWAGGNWEIKTPTTIKSVPQWELAGEVMPYMKTTDAGIPSVIADHIGVYLGVMKGRGNVVEVSEKSLVKAIAAASSENAQPTSSASAEKNKVNTGGDSVGDTLARQLGVQIASADEQAKAAEEFKKTLYGVVDGGSSDEDESTSKTKKIHIRIRDKPAAPTVDVNKLKEATKQLAGLGPPLSRTRSLSGTPQEFNQAPMQPAGPAPAVSPAMPNAAMDLFGANALVQPQAPSGPTGPVIAGMGVTAGPIPEDFFQNTIPSQQLAATLPPPGVILSRIAQPAPGMNQGQPVPNQNMMANVGLPDGGVPPQALPQQSQFPQQPGMPMDAIGLPDGGVPPQSQPLPSQPQGLPSQAQGFQLQPAIPAPSQPIDLSALEGPGAAKQVARPPAPTAVRPGQVPRGAPAAECYKMGLAHLEQNQLTDALSCLDEAFLALAKDQSREADIKAQATICAQYKIAVALLQEIARLQRVQGAGALSAKEEMARLSRHLASLPIQAKHRINCIRTAIKRNMEVQNFAYAKQMLDLLYSKAPPSKQDELKSLIDMCVQRGLTNKSIDPFEDPSQFCAVTLSRLSTIGHDVCDLCGAKFSALSAPGCVICGMGSIKRSDALAGPGPVPSPFG >Et_2B_020950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25083936:25086762:1 gene:Et_2B_020950 transcript:Et_2B_020950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEVEPVDELFLRRLLVSPPIDSDHRDPPPDSILLDPFGFISSRENNTTAEGKTRDGRTIRVSFWPAKPPRVSCFTVHCPDLPNEAFGDFPKIVTSEADLVLLRVPICRRGDHQYRENSDYFVYKVGKMGPSLDLIPRDPEPLPNFTDSNVVLLRCRSRNMYFIAKLGNWEFRGDGKQYNMYLYNSKKRSWSTKLMYASKDFNYCYPTKVITIGGPLGSVGWVDLWRGILICDLQQEGSQLRYIPLPSLTVPKEPEGPPTYIRDIIVVQGKIKYFDMHTYIKVDPESFCCVFDGWVAVTSERDPNKAYKNWNGHCRMEISEVTVTDLTCVQMLPNQQGEDDTVTILKKLDAGCPSLSLQHDGVVYITNKLEFHDPEAWVIAVDMKRKTLHDVAYYHVSGRRLSYGSAFFESGITKHLALWYATRHGHTAAVTRKNRVAYAGKK >Et_5A_041205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19401327:19404631:1 gene:Et_5A_041205 transcript:Et_5A_041205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTRCHDELTEAVPVPPSTSTARGSPPAGSELPAGGERSTDSAKLHHAIERPSQRQPAPAPQASVRASTKSHRHLLAPTCVARSPLPQAHHVEDLVLSHALPHPCRSRTPPPPRRRRGSDVSYSTPSPLQGLLRRLTNAASRSARPPRPRASQTSPTPRRCCCKDLSDLFATATVTAARSTPTSPTPRHHRCKDLFTTVTVAAARSTHHFKDCSATMPTKVAVKEPCPETPLHPYEALRLQQCMGNAARLKQLGIPNLVNSLANSRSTTVDKNQRNHRSSEASDDEYDPSHDDTTEEDLFGDHRPKGLKDPKKTCKKSN >Et_2A_018110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11480760:11482083:1 gene:Et_2A_018110 transcript:Et_2A_018110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACFSPRPITTLKSPLLGSHNPRRQEFMPAATCSSLLVLTEVKNKRLRPVFVLETGGSPSPDDQDFEDDSGFLGRTRLGRLIQAAGRKLVQKLNSARTNSPTKIFLVLLGFYTANALATILGQTGDWDVLVAGLVVAAIEGIGMLMYRKAFARLPARFQSFIALVNYWKAGVCLGLFVDAFKLGS >Et_9B_065300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3425739:3429234:1 gene:Et_9B_065300 transcript:Et_9B_065300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTRRRLLALASRLQTAPPPAHTWRRSLPRYLSSAASSGALDRLRSPSFARPAAHNPTFPWDRFGGGQKRTMFIQTQSTPNPQSLMFYPGKPVMEVGSSDFPNARTAMTSPLAKALFAIDGVTRVFFGSDFVTVTKSEETSWDYLKPEVFAAIMDFYSSGQPLFLDSNTAASMDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFDPESGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQEFDGDEEAELAGQME >Et_2B_019757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13638372:13644217:-1 gene:Et_2B_019757 transcript:Et_2B_019757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNRDSSMQQLVPIAPPGKVSGGDSGKELVVVEAPSKSSGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRIARMEADYQKRKEMAEFELRREERLKAAEERTAKKRLKRQKKKQRKKEKRPKTSSGGEETNRAESSDDDEGLDDDDKSKQ >Et_9A_063196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1548349:1556280:-1 gene:Et_9A_063196 transcript:Et_9A_063196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPVMKDLGAAALVGVPKNSSFGEGSSVRRPGRLRVVHPDVAEFLRTSPRLWRPRRPTPPSSPRAVAGDGDDGEEEKGGARARYDCAFEDDKEEARGFAPPRLVWGKVKSHPWWPGQVFDPADASELAQRQQRRRGAVLVAHFWDKSFAWTDPAALRPFRAGFRRFAAQSAHSTFAASVDAALDEVARRVDVGLSCCCGVVGNNNMAVAKKQVIDNAGVRDGAYGAAVDAAFARRAFRGEAFVGYVSALAAAPMAGADRVDLTIATAQLKAFSRWRGSRDLPEYTYFYGIDGVAMDAAPARAKKRRRSRSGGEFGDDSGRGKMSRLGASWKNNAACESVDDTLELVDLEASPQPKTSQQTPTRMGMLMSRAAQQMSRSPVVLRADGNAPEVVSHMEKCTSAVDELPPVNNGGLKDAHTSDAPLVNEQAGLVLNFSSASAVPSTTYLTMIFSRFGPIKEASAENSAALVIFRKRAHAEEAFARTGKIRAISASLISFRLTYSLPPATMDSPESEPDSLPVEPVMKRRGSSPEKDGSIETSDCTLFVRRRIDSKNVADGWSIVPRFMIALSSIRHASSVEEDSESRDRGNICKQPKDILSRTTQSLILTC >Et_3B_028329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13537269:13545059:1 gene:Et_3B_028329 transcript:Et_3B_028329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSRTTPRKPPGRGLPKSKRTDELVGMSGSDDDEIDAFHKQRDMIPLDSDDDRESEDDDLEQPVFDLEGVSESGSDDSEGEENGDMDKANYEDWDSAYVAKLKRAQKAVKQIAGGDDSMDEQEEEEKDKNVWGKGKKSYYDAGEHSGEDDDYEEAQRIKKEQDTKLSMKDFGIEDDESDEENKTTKAYCMKSYEKLKEDFDILSGDDKMGVLYSSAPELVGLLSELKEAHEELSTIGQLTSEMTAGHGKDKGRMQPLDVKKACLLAYCQAITFYLLMKAEGLSVQDHPVIARLVETRNMVEKMKQVTVNLANQNGGTDDYSKQSSTIQADKVVSLDKEGGKRSTAWRDKTEQGAELFELSKGGRSNNDQHEVGNLESKDEHMGLQSLEMLKVRANLEERLKKKGLYNLTRTKSDKLSQIRTTSNKRELQTLDDFDDEVQKNSQMMKSSKLLVAAAKTNKSKFVSGDDDIPKRDNIGERRRKHELRVLSRVGANSHENDHELPKDDDHSESSQFSDDDNNSDQLHSEDEFYKDVKRRRIEKISTKEQKYMPTTGIQQLEEEIEGDGKRKISYQIEKNRGLTRSRNKKKKNPRKNYRDKHTNKVKKRKGQVRDIMKPTGPYGGEMTGINPTVSRSVRFKS >Et_8A_058279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4120064:4123518:-1 gene:Et_8A_058279 transcript:Et_8A_058279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSQIGHGSEAAGSSFVVFEVEVLPQLCNSYSFQALACFVLRSKIINRAIAAAVPGIAVKIHAAALVIVDAGYNKQGRPNFVRWTIAMYEGKRAAWERVLQHKLQTANLLEEEVGCSSHVVLRVRFQALTSLQALSNSKQKMKKSTHKECVAHRSDDTAAQRDAVGDRDLHDLDALVGGDLGVELPDGVPDLPVVIRERRVVGHGHGASPERVVVGEHAADAHQTQQLLVVVAVVLLVRVHEREVVLPLAPLLHTKFTKQSVIDDHGGVNLADKRKTPRQRRTYIGDHLVEDGLRRALAEVDLVRDASLLDDGQADVEVLAADVDGHDLTVVRDGERGGEHGAAGEDADLDGVPGARQLEQQRQQLRLVRRRRHEPSDR >Et_2B_022376.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19553359:19553655:-1 gene:Et_2B_022376 transcript:Et_2B_022376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEVMIVYNEPKVLLRYCWAVVMQTLDTTAACDPCGICLDELTEPSKTPARNLPCGHAFHAHCIRKWFFKGMACPMCRYDLRGLVGAPWTEKFAETL >Et_3B_029067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21848974:21858882:-1 gene:Et_3B_029067 transcript:Et_3B_029067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSSPDAPKLLSITTSDLFRSSLPSPNTHPLLTSASSPLPPVTTSVPATALIPGSRFLVDAFRHAGDFSVAYFLSHFHSDHYTGLGPSWRRGLIFCSAPTARLLTSVLSVPPELVVALEIGVRVTVDGWCVTAVDANHCPGAVQFLFASPGPNSERYVHTGDFRYTEAMTRDPNLLEFVRADAVFLDTTYCNPKFTFPPQEESVDYIVNAIERVKEESAAAGERVLCLIATYVVGKEKILLEVAHRCGCLIHVDRRKMDILTVLGFGGDDGVFTEDAAATDVHVIGWNLLGETWPYFRPNFVKMKEIMMEKGYKKAVGFVPTGWMYETKKEGFAVRVKDSLEIHLVPYSEHSSYDELRDYIKFLHPKRVIPTVGVDSGKLDSREVIAMQKHFAGLVDETANKQEFLMAFHRRSTHGCDGEVAKGSNQDDDKDAGLLPVTCSASEQSDSLREKITEEMKKELSDFLPSWVSQEQIVDLLMISGGDVVKAASDFFERERDLFEEANVPCSQTPKSAKNHSSDHGSSADASCQQECPSFSQKPVEPSAKLINLTPVRLNSNIHKKGRKRGSSTTNKPKKKGRLSSSTESGGRKQSTITNFFVRATTTTSNSDIADKVTVDADQNNGENDDKLSDIAKTCNQNVDQLLQIVNDGMSREYAVSLLKKAKGDVTVAVDMFYSASEDNNTIDIDTNIVLQSTQNEIISNPDMACDSSQATPKMANLHVQTSLAQADSINISLPIEKYLPVEHACWTAGQPAPYLHLARTFDLVEREKGKIKTIGILCNMLRSLLALSPDDVLPAVYLCTNKISPDHENIELNIGGSLVITALEESLGTSRLKLHEMYKTYGDLGTVAQECRQNQTLLAPPRPLSIQDVYSTLRKLSAISGSGSAGRRKVLVLHLIRSCREMEVKFIVRTLVRNLRIGAMMKTILPALAHAVVLDRKHTSDPVVSMESVKSELQSLSTEVANAYNVTPNLDLLIPSLLREGPAFSAPSLAMVPGTPIPPMLARITNGLAQSLKLFHGRAFTCEYKYDGQRAQIHRLLDGSVRIFSRQMKESTARFPDLVNIIKELCRNEVSSFILDAEVVGIDRKKGNKLMSFQELSSRERGNKHSSIVIENIKVDICVFVFDIMFCNGQRLLDCPLRQRRKHIHGLFQEKPGYFELAQQLTVEANEASTDDSSTLDKMNSFFIKACQSSCEGIMLKTLDVDAGYSASKRCDSWLKVKRDYVEGLGDCLDLVPIGAWYGNGRKAGWYSPFLMACYNPETEEFQNLTLSPVHHAAIGLVHPSRGISVRMPRYIRSVPDRNPEDCSTATDIAAMFKAQTRKMEVSSEGQK >Et_3B_027928.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27098484:27098891:1 gene:Et_3B_027928 transcript:Et_3B_027928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELWSLTSLYILLGVLAGLAILGAVVWAARRAAEVEAAAEGDAKRKGLLNKDVVVHVVPAPEQPVVVNGGGDGEPCAICKGRLAGAEGPCRRLRPCGHVYHAECVDLWLDRKRICPLCRAAVVTWRTETVDAMV >Et_5B_043522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11403103:11413603:-1 gene:Et_5B_043522 transcript:Et_5B_043522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTWSARPLLTPASSSRAAPTPSHRRRLPSPAAAAYAPPTLDAAEAAADVAAAISASDAVTWAGVWALLSPHRVRLAASLAALLACTTCTLSMPLFSGRFFETLIGRGSEPLWSLLSKIAVLYTLEPIFTVIFVVNMTVIWEKVMARLRSQIFRRILIQKMVFFDRHKVGELTGLLTSDLGSLKNLITGTLCILFTLSTELAPVLGLLMISVSVLVALFKRSTVPTFKSYGIVQARISDCASETFSAIRTVRSFGGEKRQISLFDNLALAYQNSGTRLGVLKSANESLTRVVVYVSLMALYVLGGSKVNAGKLSVGTMASFIGYTFTLTFAVQGAVNTLGDLRGTFASVERINSILSAKDIDDSLAYGLAKELDNKELEDPSSGAYGNGSVNKHFMSALKSSSSCGELAWSGDIHLQDIYFSYPLRSDVEILNGLDLTIECGKVTALVGPSGAGKSTVVQLLARYYEPTQGCITVAGEDIRVFDKREWSRVVSLDPVLFSVSVSENIAYGLPDDVVSKDDIIKAAKAANAHEFIISLPQGYDTLVGERGGLLSGGQRQRIAIARALLKNAPILILDEATSALDATSERLVQEALDHLMKGRTSLVIAHRLSTVQNAHQIAVCSEGKITELGTHAELVAKGGSYASLVGTQRLAFE >Et_6B_048252.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18726958:18727290:-1 gene:Et_6B_048252 transcript:Et_6B_048252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEISRIVLETNALMGKQAVESFSHKDCLYGGLVTELRNFLELNFTSPRIEFRLRKCNRVAHSLAALGVACPVSADPLLDTLPICIQELVASGLAVPVS >Et_2A_014732.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:10882100:10882372:-1 gene:Et_2A_014732 transcript:Et_2A_014732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RDALRPRYLAFYYLNATAFVTSIVIVALLIVSKRFYHTEAKVVTLMLTTVVDLASLIGAYIAGSTRYASSCVFLVVIICIAFVGVMYMAR >Et_2A_017860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7129101:7144110:1 gene:Et_2A_017860 transcript:Et_2A_017860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVINVIVIVLLVLPLVPPALMMCAYLVFGEFEDDDKDLRSPPVALPRFPYAQARSPEPPPVCAICLEELRQGQMCSEVPVCRHMFHEACIRVWARKRNNCPLCRVRIVPGTAGAVAAAAADDMPPRAPALAEGQGAALVMLAALADSREAAAKLAARPAVLPYFAYPAQEVSRALSLRSVVLPFFPYAQGSDLVECAICMEPLQQGQLCSEVPACRHVFHKDCLGAWARRKSTCPLCRALIVPGSIACSCRRWHGGALNQMLLPYWDLCYSYWNEQPPRAPVALAEGQGAALAMLAAMAESREAVAKRAARPAVLPYFAYPAQEESRASETLCAICVEPLRQGQHCSEELPRRLGGKGTCPLCRAEIARGSDGVRVPLLVAAPVLSPETQGCAICLEPLRHGAHCREVRTCGHVFHRDCVVIWAKRSKTCPLCRARMVPGSGGVSAAEDM >Et_4B_038731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4429213:4437522:1 gene:Et_4B_038731 transcript:Et_4B_038731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPTRAELDFADVFGGPPRRSSSFESSAPRARSGGPETPVFGDRGSGDRRRQLGEEFYRDIFPGSEAASPRRPGAGDWGDVFGGPASPGSTARQRSSFSMKFNRGTDSSMPTSPSWQTSYRNDDGTSYHYSIPTSSPNSHLKHFLAQEEPQDSRKNPFSWHPPFLSRFRSQDGNKRNPSNHASSMETEGEGTPVSFESIMATNKFHFSFYKWAGKGTLLVLPATVEDKDAAIIGLRSFPQVVVQEFDLIDEEDSMSTATGASKSQTDYEDSKSGKHSTSPASKEGPVPLFFDDYMQGRKHSAEYSKNDVSSDSPGAKSSQSPSGEKRRSSRVKRKVKDFMKIFSLEDSPKRKGAPEAKDQTSSVKKESKTELQDKFTVSSLEANEDVITTQMNNQNAFMPSLLTTGGREDKIDNTVDHGERYIEDFDGCLKSHSGRVKGKVKGFMKIFSPKGSPKRKGAPDAQDHTSSVKSESKTELQDKFTVSSSEADEDVKTTQMNNPNAFMLSPMSEGQEGMEKPVLISNSNMDTNSERNDAVPNELITGGINDNPDNIIDLGEIYVEDLDGCLVEHISEDPVLHNDQEKEQIKIAESKIREWSRGKEGNIRSLLSTLQYVLWPESGWKPIPLVDVIEGAAVKKAYQKALLCLHPDKLQQRRAAMHQKYIAEKVFDILQA >Et_1B_011221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1942301:1945179:1 gene:Et_1B_011221 transcript:Et_1B_011221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASSSSTPPGAGVAGAAGAAARRRPPEELKLRRKTLETVLEQCQRALEMMHEDDGQEESAAAVDPPEEDGREVGGDGRDDEGAQPPPPSDADYETDELCDLLQSRVQSPEFLEKLDSIQKSVYQHGADETISWDLVSAAEIWDDKSMNVSDDSEDGYVLVKQEDIVDGIACFMAAYLLSLKQTKDLTPNQLQQALSKTFSAKKRKSKLQKAWDGTKVVYNIASWSATAIGIYQNPAIVQAATAAFWTSCRVISKFL >Et_6B_050135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:754338:757575:1 gene:Et_6B_050135 transcript:Et_6B_050135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTRPPAGTVQCFGRKKTAVAVAYTKPGRGLIKVNGVPIELIRPEMLRLKAFEPIMLAGRTRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVAYYQKYVDEAAKKEVKDIFARYDRTLLVADPRRCEPKKFGGRGARARSVHQVFAEASSFHYAITSDRHRYQPVLAVDVLAAVGVRVQSSVKFV >Et_3B_030780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7271597:7274879:-1 gene:Et_3B_030780 transcript:Et_3B_030780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGTLHATIFEAEALSNPHRASGSAPKFIRKFVEGIEDTVGVGKGTTKIYATIDLERARVGRTRMISNEPVNPRWYESFHIYCAHLAADVIFTVKIDNAIGASLIGRAYLPVQELLSGEEIDKWLEICDENREPIGDSKIHVKLQYFDVAKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFIPRIPLADGVYEPHRCWEDIFDAISNAQHLIYITGWSVYTEITLIRDTNRPKPGGDVTLGELLKRKASEGVRVLMLVWDDRTSVGLLKRDGLMATHDEETANYFHGTDVHCVLCPRNPDDSGSIVQDLQISTMFTHHQKIVVVDHEMPNQGSQQRRIVSFVGGIDLCDGRYDTQYHSLFRTLDTVHHDDFHQPNFETGSIKKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLVNLRDLADTIIPPSPVMFPEDKETWNVQLFRSIDGGAAFGFPETPEDAARAGLVSGKDQIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSYGWKPEGIKPEDIGALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGVPESASVQAILDWQRRTMDMMYTDIIQALQAKGIEANPKDYLTFFCLGNREVKQEGEYQPEEHPEPDTDYIRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLSTRQPARGQIHGFRMALWYEHLGMLDDVFQSPNSVECVRKVNKIADKYWDMYSSDDLEQDLPGHLLSYPIGISEDGTVTELPGMEFFPDTRARVLGGKSDYLPPILTT >Et_2B_020834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24080464:24083675:1 gene:Et_2B_020834 transcript:Et_2B_020834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATALPFAAVVAPAFTATSARAAVAVGRARRPRRSASVVAKLEGGLGKGVPTTNYVVPLDKATGMTRPLVEILRDLNKRVPDKIIDPDTNTVPWYHANRMLSFYAPGWCGEVRDVIYCNNGTVTVVYRVILKGTDGEAYRDATGTAQVHEGRREDAVAEAEEAAFCKACARFGFGLYLYHQDDIHRDEYSFH >Et_3B_027515.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24184751:24185524:-1 gene:Et_3B_027515 transcript:Et_3B_027515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHLATSSPALCAYTMPSTSPTMVDAMAIWLPSFARYLAPGPPMYSAFPMSHVAGRAGAGRLATHEASRKSSTRALWEPATLVQFFFKPLLLNTTVVSVRMHAYTLTHSHPNAHLHTHTHVQPYNYTQCHRILRSSRDRQRPSGSSARRGASHFLCVTTHEEAPARLLYEHRNEQYHYRHCSLQQCPRVPCLEPGLAQCNPVPLAIRPGERRRTSTISPYSDGRLRPTVAGQATAIALLPLKKEKRPPLLAMAGN >Et_6B_050071.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:5380813:5381856:1 gene:Et_6B_050071 transcript:Et_6B_050071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMRSKPKLPMSRIMRLTLRVVDMVTDATRRPDGTLNRCALSVLDPRVPAFSSPCRGVASRDVVIDRGQRLRARLFYPEKSAASGAAGPLPVIVFFHGGGFAFLSAASAAYDAVCRRIARYATAAVLSVDYRRAPEHCCPAPYDDGEAALRFLDDPKNHHTVLGRGAPPLDVSRCYLAGDSAGGNIAHHVARRYAAAASFRNVRVAGLVAVQPFFGGEERTPAELRLDGAAPIVNVERVDWMWRAFLPPGADRTHEAADFASPAAAAGVDSPAFPPVLLAIGGFDPLQDWQRRYGDHLRSKGKDVRVFEYPNAIHAFYVFPVFDDARDLIIRIAEFVAESGGDHSQ >Et_2A_015808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18046248:18053866:1 gene:Et_2A_015808 transcript:Et_2A_015808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIKRLPQNVHSSLRSSIILSDLPRVVEELIYNSIDANASKIDIAVNVRSCYVKVEDDGCGITRDELVLLGEKYATSKSHNVMDNVELNPRSFGLNGEALASLSDIAVVEGSKCLHLGIDDQREVVGTTVVVRELFYNQPKRELHHVKKCILQTALIHPLISLRLLDIDSQDELLCTASSTSPLPLISKSFGNDVSRCLHEIAASEQDWVLSGHISGPTDVLCTKVKSTIFVSRNPIHNMLNNLAASFQSSIRRNEEIDAQSTKRQKIDIYPAYLLNFCCPRSSYDLHFEPTKTVVEFKDWQTVLFFIEQTVTNYWKKHAAQSPKGKLNQDIIKHRNLQIKEDCAAFQCTQQKNAVRATNVDTSATEAIKDSCFLSFDVEPSARHASFSGRIANASQHNNDVSSIDYKLGHKQMHSPEAFSYQWLEDGPSHFDDDISSVRSTSWKVQKTDGKFQEYAYTDNFGMPGDVPTEGFLAVEQGSELIGPEIEIQEPCFRTPKRSNRMTCNQTNMLMHTSGWNEFCVDFDKLNENFLVNEATETVTDISYPEMLHFNDEFYRDYGSTSRGFCGVLRKCSTSNKLETANPIAEGLEADSTGQMSFHDIYPVWNSDFMDRSTVKDIFHHFSHPFSLLDTPFSQARTDLKCHRRSNKSFGFCNCENVGSEFRVTWDRFNNDSSMICEGIKQLDNFVRDPGLPRIVDLGVVPLHHFIEKFTRLSEPLSKSTTDGDKDICSNNQEDNVHTPMGISRMSATQPIPETDSSEFPDLNSSSNGHVTMFENACYDGLEDTTVQITKWRDDSGQQTALDLPQDPSECCDDVLSISSGTLHISSSLLVPECVDRNCFEEARVLLQLDKKFIPVISGETILLVDQHAADERIRLEDLRSKILSEEGRGVTYLDSEEELSLPESGFQLFQKYGEQIQKWGWIINNGSNSSESFKKNMNILRRQARLVTLVAVPCILGVNLTGRDLMEFIQQLEETDGSLAIPPAVLRILNFKACRGAIMFGDALLPSECCLIIEELKETSLCFQCAHGRPTTVPIVNVASLHEQLGRFRMQSGRQTETWHGLAPQGPSLVRAQTRLKQVRKLRRGL >Et_6A_045948.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:12923248:12924858:-1 gene:Et_6A_045948 transcript:Et_6A_045948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRTSPWHRLSEAEAAVNRAVAPSRVGRYFKLDARKSSFTKELRAGAATFLTMAYIISVNAAILTDSGGPCTVSDCTPAGNSTAVAGPECTVGPNPGYEQCLARTKSDLIVATAVAAMVGSLAMGVFANLPLALAPGMGANAYFAYNMVGFHGSGPITYRAALAVVMLEGVVFFALSAVGLRSKLARMIPRNIRLASAVGIGLFLAFTGLQAHQGVGLVGASPSTLVTLTACSEVDPATGACLGGTMRSPTFWLGAVGFLITATCLARDVKGSMIYGIVFVTAVSWIRGTSVTVFPDTAAGNAAFSYFKKVVDFHLIKGTAGQLSFGAFRHGHVWLALITLLYVDVLDTTGTMYSMAEYGGFTDAATGGFEGEYRAFLVDAGSTVLSAGLGSTTVTTYIESTAGIREGGRTGLTAVTVAACFLASLFFGPLLISVPPWAVGPSLVLVGAMMMRVAKEIEWADMKEAVPAFVTMALMPLSFSIANGIIAGLGVYIALHWYDWARHGWRKASQVLDDRRNQVAAAGGEIGPAAQDVV >Et_2A_017395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33953595:33960470:-1 gene:Et_2A_017395 transcript:Et_2A_017395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDANGAGGASSASPSEPAPPPPQQPKGKGKKKDEKKDDDLSEEDQALKEKLELYVVRAQDSDPGVQKLALESMRNLAGEIAQEFQKLQDEDVPIDKSLMELVQQIVSFHMKHNAEPEAVDLLMEVENLDLLVEHVDATNYKRTCLYLTSSSKYLPAPDDMLALKIAYEIYLKFGDLASALRIALLLDNKSQYVKQVYTATDDLLLKKQFSYIVARHGLSMEIDDEIAADENDKEALQEIVYNTKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSGGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDTHVVAGALLGIGIVSCGVKNDCDPAFALISEYISRDESIIRIGAILGLGIAYAGSQKEELQAQLSAILADSQTPLEVLVFSAISLGLVFVGSCNEEIAQSIIFVLMDRSEAELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRKYCDVTLMSLAYAGTGNVLKVQNLLGICSEHLEKGETHQGPAVLGIALIAMAEELGADMAVRSLERLLQYGEQNIRRAVPLALGMLCISNPKVNVMDTLSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAGHLFCVRIAQGLAHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILVLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGKPKTITGFQTHSTPVLLAAGERAELATEKYVPLSPFLEGFVILKKNPEYHED >Et_1A_004608.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22148266:22150215:-1 gene:Et_1A_004608 transcript:Et_1A_004608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVEDGPAGTVGGVGGGHDGRLDGRRRPVRVDALDGRRDAAEVRRRHGRAGDDVEGRPSGLCQGRADLRRPGGEHVDAGARDVGLEDAGVLEARPAGGEVCHRRSGPRAQHRAAEDDPRHGARRGDDVGHDGQAGGVVHVGRRQDVGLGERGVAGGEPVGQYHAGAAGAPDHLAFDDRRDVAPRPSAELADHDLAPDVQPVERALAAELGERLPARARVDQRVHHGCRPWRPRLVDGLAVEGSPVPQPDRRADRAVHGAGGDGEHPRRLVRHGAHVRAVVAGRHADEDALLRGPERGDGDGVLVERERGAAERHGDDVAAVGDGGVRAGEYVRGRADARRVAHLVRGHVRARRHPDGHARGLAQHVRAADGRPRRRAGRVRAVPVLVQRRRVVVGRRRRRRGGVAWHGDEGAGADELVVARRRDVELAATLPLGRRRRHAVIAEGGVEREHAGVEHADDDAGATVRAVGAVASLGVQAQELRRVRRLQPVHPVGDSGHEPGHRGHLVQLLQRQPGGEAGGDVVVRVHEPALLREERHVPCLPVVAIVLLVGLHMDDEGGELVAGYDLGDGRKEKKEDQEEHGVLQAFVHGCFAAYALMFRAMSLYSFPGCSNADKREPTLRIELTLTHAGLQAAVVGACCRREKKTS >Et_9A_061603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14294022:14301528:-1 gene:Et_9A_061603 transcript:Et_9A_061603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTLIFLGTKGIFACFHRMNEPCPVDKSTVNDDIKIYRLMEGFYEEAARRLPLDEMPEFDGCVSAGGHCFGLADPVTNIILNAIGLLLHDQQGDHHPYPRKKKFKGWSDIASRCLDGCCAFMTAYFRYLSTIQARRYLHLASYDLCLAIKLVHRDRLNASKRRRPPLPDGGKIKVALRIAALDARHPTPDVLARLMTANYPSDLLDSCDKLRGSDLLTTSGVCKIRQLLASQWPPNPQPANFEFMCRPNGNTCVDGRDGTLLLTFDNSDNLESKLHKCLKEAESFKALHGTSDVNYYDSSPCQHILSLELCLLDTIHAFYIDALALMPTSPRLLRALLVAGHCYGPMEPVTNIILNSFWYDIAFPLAQDVEVELPQGILDTKLMARLGSRSLHGLLGILYAYCYSSKHQALEYLNDLDCNLTKSSVVFPVIMGVRHHENIPFADVARFARHPQGTAYGSFIMSQSPEKINLLQSLICNAEWDQLITILREESCGAVPMPVRLVCPFISLQLSGKKLSLISKLDLLRTELNNVLRRYCNQRPWEPNYEVYVICRLIETKSSRYLNLCHANFLATRCDAPASDASSLHHAARVLFFAEFWMSQSSKVVRSKSSICCRIYDHNACIGKPLIIHPPSGGHSGDIDGLTILYHPAHKVHRDDFSGLLESDSIYFDPDRDFELATVITNDFCKDMPVMTKIPGQGYLF >Et_4B_038712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4142225:4145595:-1 gene:Et_4B_038712 transcript:Et_4B_038712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESFRVESPNVRYGPSEIESEYRYDTTELVHEAKDGASRWIVRPKSVKYNFRTSTAVPKLGVMLVGWGGNNGSTLTAGVIANREGISWATKDKIQQANYYGSLTQASTIRVGSYNGEEIYAPFKSLLPMVNPDDIVFGGWDISNLNLADAMTRAKVLDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGSRANNVIKGTKKEQMEQIIKDIREFKAKNKVDKVVVLWTANTERYSNVSVGLNDTTENLLASLERNESEISPSTLYAIACVMEGVPFINGSPQNTFVPGLIELAIKNNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNGILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEEEEKFHSFHPVATILSYLSKAPLVPPGTPVVNALAKQRAMLENIMRACVGLAPENNMILEYK >Et_8B_060578.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:2774220:2774647:1 gene:Et_8B_060578 transcript:Et_8B_060578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHHTGAGVVTGDVNSRKKCVELLEELGLPKGLLPLEDIQEFGYNRATGFMWLVQGKKKVEHTFKKIKQIVSYASEVTAFAERGSLGRSMASRPKS >Et_4A_035042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:920103:923668:-1 gene:Et_4A_035042 transcript:Et_4A_035042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQGGDQGGGGSLAMDMGVGQFTGGAECSSSSVTVAAAAAAAAAAEAEERQLLKGEIAVHPLCEQLVTAHVGCLRVATPIDHLPLIDAQLSQSSGLLHSYAAHHRPFLSPHDKHDLDSFLAQYLMLLCSFREQLQQHVRVHAVEAVMACREIEQSLQDLTGATLEEGTGATMSEDEDEPPIMDGPMDMGSDAHDMMGFGPLLPTDSERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTSILKQWWQQHAKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNNSQTSTLKSKRKSIQRLLDGMESLSLWAS >Et_4A_031920.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29708055:29708717:1 gene:Et_4A_031920 transcript:Et_4A_031920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHAGKVFWADLSLGVACSDLRAAEGSDAVDEPVFIDLPHGYTIRLADSPVNGMCEPAKLSRTMGCVGSRIKFVCIHRPSSRRRGNEFVKIWTLDMERREWNEEEGSPYPWKELWKNVRFMDDDVEPQYPVLMPDGDLCLVLCNTRVNRRDFLGPDYICSFDMRSMRPSWFGLNRSCNVREPFVLPYVGFEKCFPPPPPRHERELPSISCQTSKRCRAV >Et_6A_047418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5422820:5442443:1 gene:Et_6A_047418 transcript:Et_6A_047418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFQATKQSSGSSTAAYPPWVLLEPYADVETTGSYATADTNTLVVARTSDGQPIGVSLRLASPPAESRVRFHFPHDAEPGRHTNEVIAAYGESVLIRVVREHQFDYFVYNAGTTGAGSPQPPSLSLLPPCRYMTKESTGILRHGEDEIVVAELQRVRLRDETPEKHVAELLLFRSGAWYTRRPRISGLGNGIDEEQFVSSWPSSRSVIPVGDDMLCWVSMHRGLIFSNVYDERVWLRYVPLPEDAHCTENFSSSRNVCVTAGDTVKFVNIFARCCCGAAGTCKCQHSQHAYLIKTWTLRMDSMTWVVDGMMDATELWALDAYKSLPRVQVGFPILSIDEPHIICFVVCDRLIMVDMRSKMLRSVYSYPKREHRHPGKLFLSSRVSFYLNSRPGSDRQTDIEPPPVAILDKQLTYNASNSKLFPSGCNTSSEPAGVQASEILAALEEICSYGLDGDDMRKAISILSRGNGGRFRSYLGIPKRLRKDWLRMEINACILLPVATRLLSLGRMHRRFLQHFKRYLVMACSSSAAYPPWVMLEPYADVETTGSYATADPNTLAVARTSTGHPIGVSLRLKSPPAESRVCFHFPQDSTPGRHSNEVIAAHGDSVLIKVDREHQFDFLVYNAGTTGAGPPRPPSLSLLPPCPYLTKDETGLLRRGKDELVVARLDMVPLKDEKPTKHVAEVHLFRSGKWLTGRPRISCLGKNTKEEKLMKRFSSSSVIPVNHDMLCWVDMSEGLIFSDVYDESPGLLHVPLPADASCIEHFDESRNVCVTAGDTVKFVNIFARCCCGAAGGCECKHSQHAYVIKTWTLRMDDMTWVVDSMMDATELWALHAYKSLPRKHVCFPVVSMDEPHIICFVVRDWLIMVDMRIKVLRSIYSYPKGESEHRYPGKLLLPSKVSCYLNSKNPGINSQIEIEPQPVAILDNQLKYDASNSKLLPSGCNISAEPEMHASEIFAALQEISSYGLEGDDMRKGISILSRGNGRHSSMAAYPPWAMLEPCADVETTGSYSTADPKTLVIARTSTGNPIGVSLRLASPPAESSVCFHFPLDAKPRRRHTNEVIAAHGDSVLIRVDGEEDYFVRTPNSYRRCLYSHDATFTWIKVQPAGLLRRGEDELVVAHLQMVQLQDETPRKYIAGVHLFRSGKWLIRRPRISGFGNNMEEEKFMSSWFYSRRLIPVGEDMLCWVDMSDGLIFSNVYDESPGLRHVLLPADPRCSQNFSSSRNVCSAGDSVKFINIFARCCCGSAGGGKCNHSDHAYLIKTWTLDGHHDVGDGRTA >Et_2B_020259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18453922:18456485:-1 gene:Et_2B_020259 transcript:Et_2B_020259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKSGGSSSSQQRRPAAEERAPLARQGSVYSLTFDEFQSALGGGGGAAAGGGGSGVGKDFGSMNMDELLRSIWTAEETQAMTSAAPAPLQRQGSSLTLPRTLSTKTVDEVWRNLVRDEPQEGAAASGGQQQPHHRQSTLGEMTLEEFLVKAGVVRENPITAPALPPMPPPPPPPRPVPVTPKSAAFFGNFPGADDAAAAAALGFEPVGMGNQMALGNELMPPRAPVGMGGGALAMQTAVNHLDACGKGNSDLSSPSDPLPYSFDGMIRGRRNGAGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKEQNQELERKQVPEMLKDPFGRKKRLCLRRTLTGPW >Et_5A_041448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2371261:2374153:1 gene:Et_5A_041448 transcript:Et_5A_041448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSFFRGYTKLCKGLAVVLLLVHLLVQLFPSSLDFLALIPSRTIPFAWNLITAGYVEQTIPGVIISIIGLLLFGKLLEPLWGSTELSKFIFVVNFSTSACVFITAIALYYITQQESYLYTPLSGFYGVLSGMMIGIKQLLPDHELNLLVLKIKAKWIPSLVALISVAVSFFVKELVSHLPLLLFGIYMSWIYLRYFQKRPETGQKGDPSDEFSFSSFFPEFLRPVLDPIASVLHRLLCGRFDRSDSRGQALDTSPLPGSDTIEANRRRERGQRALEQRLAEKLAAVRSSEGPSEDAGDKV >Et_9A_061461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12242691:12245903:1 gene:Et_9A_061461 transcript:Et_9A_061461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRTLAASKKTLSLALGGARPLAAARGVATTVSLPDLPYDFGALEPAISGEIMRLHHQKHHATYVANYNKALEQLDAAVSKGDASAVVQLQGAIKFNGGGHVNHSIFWKNLKPISEGGGEPPHGKLGWAIDEHFGSFEALIKKMNAEGAALQGSGWVWLALDKEAKKLSVETTPNQDPLVTKGASMVPLLGIDVWEHAYYLQYKNVRPDYLNNIWKVINWKYAGEVYDNVLA >Et_7B_054005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14131201:14134600:1 gene:Et_7B_054005 transcript:Et_7B_054005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALSRVALMAAADGNLRLLKKAAKQVDLRVITGDDGQNALHVASAKGRLDICRFLIEDKEGPGLDVNSRAATNGRTPVLVAASERQLPILRYLLTHGGDPEMPGDGGLTPLHAAAMDGHSDAVRLLLSKGAPVDPLAGDYTPLHFAIAGDHHQALGVLLDHGANALCATRGGMRISLLGMHQATGPALDDNLANIVKFLLEAGADANIPHEHGKIPIMLAAAWGPRKLVEILFPWTRPIPSLPDWNVDAIIRTMKLKAKDAVSVELEERLRNSKSKGKEAFTNGDYFAAVYFYNQAIGIDPLDATLFSNRSVSYLRMGKGQAALVDAERCRMMRPRWAKAWYRLGASLSLLKNYKVAVHAFEEALKLDSASDEIKKALRQMLLFPSTPIFSYWCNEHIIHRILILETCREAIQAMGSSEHDP >Et_1A_009119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:361984:363492:1 gene:Et_1A_009119 transcript:Et_1A_009119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAQPSPGFFPSKRFRGGARPFYRDFHSLWGAQHQSPAQGGAGVTIWLDATSGSGFKSRRSFRSGYFGASIKLQRGYTAGVITAFYLSNSEAHPGFHDEVDMEFLGTTPGQPYTLQTNVYVRGTGDGGPGRVIGREVKFHLSWFDPTADFHHYAILWSPTHIVFYVDDVPIRRYPRRSGAATFPRRPMWVYGSIWDASSWATDDGRYKADYRFSPFVARFSGLLLRACAARAPPRCHAPPASGGGADELSPQQEAAMRWVQRNHMVYNYCLDPKRNHALTPECLHASQASRTTN >Et_4A_035018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8826769:8830806:-1 gene:Et_4A_035018 transcript:Et_4A_035018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLFALARGARSRSRTELQAAQRRRHSDLAHRFSHSTAATARPSFGIAFDIDGVILRGRSSIGGSPRAIRRLYSEDGNPKIPFLFLTNGGGVPEHRRALELSELLGVNISPEQVMLGHSPYRELVNRFENDLIVAVGKGEPVAVMSEYGFRKVLSIDEYSSYFRDIDPLAPFKSWKVGKTDSNLSAKVHPSYDVYAERVKGVFVVSDPVDWGRDLQVLCDILSTGGLPGSGKRDQPPLYFAADDLEYQAAFPSERLGMGAFRIALESIYNQLNDHPLKYTSYGKPNPFVFKNAASILAKLVMSMYPNSQLSKEVNDCQFSTIYMVGDNPKVDINGALKAGHPWSSILTRTGVFRGKDNDPVFPADVVVDTVEDAINYILEKECIRLVMTV >Et_4A_035720.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:4362849:4363688:1 gene:Et_4A_035720 transcript:Et_4A_035720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRAAAAALPPPVPPPASPDPASSSGAVSTAFGSPLVPVAALPPPPHDTYVVKVQKDQIYRVPPPENAYLAERYRTERAGGKGASSCTPCVLRTLGALLAAAVLVAAAVAISVVVLRPGLPSFSVDGLSVSVHNAASPQQQRVGYDVFLTAVNPNKMSALWYRNGGGTARLLHRGAVLAKGVLGDPADGGEDATDFTVALRGPLQHGVTPRAVEKAIHGARDAVALQLTVEATVQVHIGALGFGQRRLAVDCHITAPGLRKDVHVSSQECKSTFLKN >Et_9A_063365.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21754137:21754661:1 gene:Et_9A_063365 transcript:Et_9A_063365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEATQLPRIDFSGVDPSLPGAGSWEAVRAQVNKAKFGFAASSPCRNAVTATRAAAPAVSGRRPREGGGSRSLGRSPWWKSMRWPCSVTRRQYEVRAELGGAATERPRSRGEAARLDEGANDPGICVHGGPTGASGVIGKGLEDDLRVAALRRAAALRAARRWMRTPGGRYSK >Et_9A_062723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3736261:3736673:1 gene:Et_9A_062723 transcript:Et_9A_062723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NAMRTNHLKLAALEQQKASEDVLKLMEKQRLDTKQSLELEIEHLMGKLQVMESTPAGEATGSGKKIDEVKEELNEKISELSDMESFNQS >Et_3A_023218.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:11845703:11847070:1 gene:Et_3A_023218 transcript:Et_3A_023218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKLPRALIPSPKARAAAFNKRRESLKKKAEELSILCGVRVAVVSAEGGGGGSSSAAAAAADVWESEAGVLDAYRELPAAARAAHTHRAYAAADLGKEAAKLARVRQGGPAALPRWDKALDGVSLEEATRVLESVDAALAAAAERRRALGMPEHDVDNDIDDVVGIGGGDGGGVVVLEDVAPLGRAAQPPCGGSNFHVVGEGYEQGDASGGGMYEQAVWGNGGVQPAMAHPGYGFHHQYTAVGGGAGMGGFHHHQLQMAAGMNMIGHASDARLAWNNNAFQPRNAVPTQHQQPSSYAAHCYGGGGGAMSGGYNSSAQQGPSNNGGFLPHQLAMWSADDHPRSFDGGYPSLDLGLSYFDGPPAHSHNGGSFVTNAPMPPATGDNFTNPAPAQPLAACYGGGGGDLINAGGYGTTQWPAQQQLPSASSSQQSDIEQLNYFSDDVEDAQLQLWGNN >Et_7A_052781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1620694:1623271:-1 gene:Et_7A_052781 transcript:Et_7A_052781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVAARKRSRPETANGGSVGGKRSKDTEFQTGLSSKSKPCTKFFSTIGCPFGEGCHFSHFIPGGYPAVAKMLNLGSPTVSAPSRGPVDHAAVANSHPASTGKTRMCTKYNTAEGCKFGEKCHFAHGERELGKPAYMSHHEGPPMGGRFGGRPEPRQPSAMGPPAGSFGASATAKISVDASLAGGIIGKGGVNTKQISRVTGVKLSIRDHESDPNLKNIELEGNFDQIKQASNMVGELIATISANMPVKNPSGPTAPAGRGGGGGGSGGRSNYKTKLCENFVKGTCTFGERCHFAHGESEQRRGPV >Et_9A_062651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2805621:2811668:-1 gene:Et_9A_062651 transcript:Et_9A_062651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDLDGMPVHFPYAAIYPEQHAYMGELKRALDARGHALLEMPTGTGKTAALISLIISYALANPSRPLRLIYCTRTVHEMEKTLAELRLLFSHLPPAASRSLLALGLSSRKNLCVHPQASAATARDSVDTACRRLTASWVREKAASDPESTPLCEFYETFDRAAAGGDLASFMPPGVYTLADLRALGRERRVCPYFLARQMVKYANVVVYSYQYLLDPKVASIVSREMQKECVVVFDEAHNIDNVCIEALRRFKATDANRLRAEYNRLVDGLAQRGNLPISDAWLANPALPEDILKEAVPGNIRRAEHFLAVLRRLVRFLDGRLETENVENEMPVSFVASIHSQAGIDQKMLRFCYDRLHSLLLTLEITDTEEFMHVQTICDFATLIGTYTRGFSIIIEPYDERMPDIRDPVIQLSCHDASLAIRPVFDRFETVVITSGTLSPIDLYPRLLNFNPVISRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGRLLLEMASAVPDGIVCFFVSYSYMDGIVNSWNEMGILQDIMQHKLVFIETPDVVETTLALDNYRKACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSRILLARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLHDAHLNLSTDMALHIAREFLRRMAQPYDRTGSGGKKTLLTEEDLLNMAQDSMEIELTKHKDKLRKARKRTYIVHEALVLR >Et_4A_035798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6102358:6102755:-1 gene:Et_4A_035798 transcript:Et_4A_035798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSSKKPQATLFLAAALLFLICTRGLAARPVPGSNGHMSQHDLPTSTQEKSGSGPGKEIGHDEPEAMRDCEGGEEQEECLMRRTLVAHTDYIYTQGNHN >Et_8B_060502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18869728:18876753:-1 gene:Et_8B_060502 transcript:Et_8B_060502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARTTSCSLLLVFLSLLLFLLLPARAGGGGPCPRVPSMTAARACRAVCGTRHMRELCHSTLLPPPAAGAAAAAVPVTGLAAAAVRGALDAYAATTAAAESLVDGGAVRDGEKAAYGDCMVGYGRARIAMARVADDLAAGGCDGGRVVDLAADYTEGLRGMDMCGRGMFNYPASPLYAMNLADRNKTLLAALLCSLVAPPTGERQRTSKNAEYSMKCNNGINLFKLQSSLSCHECNKNCTTRYSVVAVEEKELPTLATILVQTSECVNFLIPKHMRKHHGHLVQQPKPKPLYCPKLLLRQLWVKHNTPTLEHPKGDGAERHISLEHLPTFHGDTNSILSMLNSFHRLLIQHWNTFAQCMHEPGIPSS >Et_10A_000728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16076305:16080495:-1 gene:Et_10A_000728 transcript:Et_10A_000728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SYMHKAMARPWLLPFGLVAFFATVAQAAIVEHTFNVGNLSISQLCQPARIITAVNGQLPGPTIQAREGDTVVVHLVNLSPYNMTIHWHGVFQRGTPWADGPAMVTQCPVKPGGSYTYRFNVTGQEGTLWWHAHISFLRATVYGALVIRPRAGRAYPFTPKPRREETVILGEWWNANVYDLERMAFMTAIPAVHADAYTINGKPGDFYNCSNANQTYKFRVRRNETVLLRIINAALNTPLFFKVANHSFTVVGADAAYTTPYATDVVAIAPGQTVDALMVAGAAAGTGRYYMAASPYDSAIPQGPPFSQTTATAVVEYAGTTTTAPPVLPPMPAYNDTPTAFRFFSNLTALVLPGNPTVPLAADVRMFVTVGLGVSDCQPDQLLCNRSTTHFSSSMNNASFVLPKHISMLQAHYGNAAAGVYTRDFPDRPPVIFDYTADASDNATMQYTTKSTKVRTLRYNQTVEMVLQNTRLIAKESHPMHLHGFNFFVLAQGIGNYNAATAVPKFNLKNPQERNTIAVPTGGWAVIRFVANNPGMWFMHCHFDAHLDLGLGMVFEVKDGPTKETYDGKSVIGPLKSTMRVHRLYLYV >Et_3B_029093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22035110:22040817:1 gene:Et_3B_029093 transcript:Et_3B_029093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRHRLPLPVPLLLAFLLAASSHGAPPGNESYDPSLCLWQPSTCGNLSIKYPFYLYGKTADILGNPISYCGYPGLAIQCEDDKAVLQVGGEKYNVSDIDYRNLTVSVVDSDVISDDDESCPRVDHNQTLPVWATSWLSYPNDTVDFLFFFVNCSLTNTEARQYNIDPITCNIFGGMMAGLLSFVLPWRDEWQNNQVWWQACQRVFKVPILRSFLPSNPSDYKWRDGGYGNALRTGFQLKWENKNSSCNQCEQSNGQCAYNQAGDFVTCLCSGGRVDAHKNCSSSEPYEASSGKLNNACYSELQIAIVFLLVVHVPALHGSPLPSTYDGSICSESFRCGGVEIRYPFYLANAIRAAPDYGPLYSCGYTDLKIFCHDDGAGAKTTPLIQLGQFNYTVKEISYASSTFRLVDADAFSGGNIGCPNVRHNVSFGPDLLRYTGSFDNLTFFSSCDSNEDVRSGLEAYQIGCPGFGGGASFVFSSDQHDASGQHDLADHCHDIVVVPVLRDSLAPARNRSTLRREYGLVLSQGFELAWKETTAGDCYRCEQSGGRCAYTQNKLFVGCLCYDGNVRFPDCSTRPPRNSLAMPSCDADVVASTSCLLLLCLIILALYLTHKYGSLRCKTKDAPRIESFLQKNGNIHPKRYTYAEVKRMTQSFAVKLGQGGFGAVYRGNLPDGREVAVKMLNDNKGDGDEFMNEVSSISRTSHVNVVTLLGFCLQGSKRALIYEYMPNGSLERYAFNSNLLSESSLTWEKLFDIAIGIARGLEYLHRGCNTRIVHFDIKPHNILLDHDFCPKISDFGLAKLCLNKESVISIGGARGTIGYIAPEVYSKQFGTISSKSDVYSYGMMVLEMVGARDKNINASSESSSQYFPQWLYDHLDDYCISASEINGETTELVRKMIVVGLWCIQINSTLRPTMTRVVEMLEGSTSILEPPPKILLT >Et_5A_042562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20462785:20464429:-1 gene:Et_5A_042562 transcript:Et_5A_042562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKQHHQPTGSSFVDELFGPKDRRDAKPTPGGGFFSTVFPPPTATTRNDGPRGVHGGEGKAAQGNGSNSGYAATGTTSESPYFGPSSVHYGGRDYVYVDGHGQQDHCSHSQTTPPPKKKNDDSKQEPDGSAATRVCEEDHIDKATCRPLCSGLWRQLGVTKPI >Et_2B_019519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:74052:78382:1 gene:Et_2B_019519 transcript:Et_2B_019519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAAEVDHLAAERATAQFDVEEMKVAWAGSRHAVEVADRMARLVASDPVFRKDNRTMLSRKDLFKDTLRKAAHAWKRIVELRLTEEEANLLRLYVDQPGYVDLHWGMFVPAIKGQGTEEQQQKWLPLAYKFQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVIHSPTLTSSKWWPGGLGKASTHAVVYARLITEGKDYGIHGFIVQLRSLEDHSPLPGVTLGDIGGKFGSGAYNSMDNGVLRFDHVRIPRDQMLMRLSQVTREGKYVHSDVPKQLLYGTMVFVRQSIVADASKALSRAVCIAVRYSAIRKQFGSQVGGPETQVLNYKTQQSRLFPLLASAYAFRFVGDWLKWLYTDVSQKLEAKDFSTLQEVHACTAGLKAVTTSATADAIEECRKLCGGHGYLNSSGLPELFAVYVPACTYEGDNVVLLLQVARILMKTVSQLTSGKQPVGTMAYMGNIQHLLQSKCAVSTAEDWLNPAIIQEAFEARALRMAVNCAQNISQAPNQEDGFYERSPDLLEAAVAHIQLIIVTKFIEKLQQDIPGHGVKVQLQNLCNVYALYILHKHLGDFLATGCITPKQGALANEMLGKLYALVRPNAVALVDAFNYTDHYLASALGRYDGNVYPALYEEAWKDPLNETVVPEGYHEYLRPLLKQQLMLSRL >Et_10A_002254.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5757032:5757379:1 gene:Et_10A_002254 transcript:Et_10A_002254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLVEALVGERRQWVPAVRHAAAWAAALALAVSVASFAPEAAFVWSLAGGRACAAGTVRVPLDGGGDHVCVPARMAGRSCADLLVPPAFAGLAVAASACFVRALAIGRRNDEYY >Et_1A_005817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14227731:14228476:-1 gene:Et_1A_005817 transcript:Et_1A_005817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVCNRLTFLSMKLQQQPRWSSGRLESALIASDVASSNRVLLLPRCPGRSPSSWSQRLSAHASDSAATDTDNPTAQKKQCIEDTGMDSDSHNLSNFEPSIWGDFFLTYSSPLACSAQKIRMIERADQLKKEVSKKIASSSNCFLYERMLIINVLERLCQPA >Et_7B_054987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6100950:6101364:-1 gene:Et_7B_054987 transcript:Et_7B_054987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLWNKFLRPLLRFVIRSDHDERLVRCLRFVFHLLRRQKEKERRHKKEEGYIQFRLQESGCTSARVRRLFGECLSMQSSI >Et_7B_053339.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:1166324:1166869:-1 gene:Et_7B_053339 transcript:Et_7B_053339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVFTGRCGTSLDHGVVAVGYGTDEDGKDYWLVRNSWGADWGEAGYIRMERNVTSRAGKCGIAMEASYPVKTGPNPSPAPPTPEPEACDGYSACPAGSTCCCSYRVRNFCLVWGCCPAEGATCCKDRATCCPADYPVCDVRSRTCAKTRGSPDTVQAMLRFPAKRLPSLAEEIVSSIFFQ >Et_10A_001122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21308618:21312207:1 gene:Et_10A_001122 transcript:Et_10A_001122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGKKMNPLPAPASRLWEASIRKLQTIRRAGSVVPAALPGDAVAAAAAGDPVMTSLLSVSSSASNTIYRYNDDEAAGGGGGDSDSATEGDDDTDAGEDDDDDGDASAAALGEASHAEQLLPSGEFYQGDLRGDLPHGAGKILWTDGSMYEGAWRRGRASGRGKFSWPSGATYEGDLAGGYMHGHGTYIGEHGDTFGGTWAHNLRHGRGTQAYANGDVYDGHWREGMQDGHGRYIWRDGHEYIGTWRAGEMHGCGTVIWADGDRYDGAWEDARPRGQGTFRWADGSMYIGAWCLEDGAMLAKGVYYPSSGGPPVPASAREPCDAFTALLKELEVSEGKTASLMPWQKVLTWPGVEGVLKKPVWRPPEVSAAEQGRRSSAHGRSSISSDIDGLVVEGEEGGEETRADRSCLRTPSCMRTPPPKPGKKQGETISKGHKNYELMLNLQLGIRHAVGRQSAPTSLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCEFRWKDYCPLVFRTLRKLFDVDPADYMISLCGDDALRELSSPGKSGSFFYLTNDDKYMIKTMKKSEVKVRFVIMGNLFCSNYSIHRRFDLKGSSHGRMTDKPIDQISEHTTLKDLDLNFIFRLEGSWFQEFCRQVDKDCELLEQERIMDYSMLVGIHFKDRCKGADNGTTPTSAEDSEQNRKASLRLGISMPSRVENVVKNPDSESLLIGEPTGEFQDVILFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIYKAFTEDVQQLIH >Et_1B_011332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20547371:20566090:-1 gene:Et_1B_011332 transcript:Et_1B_011332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLPWLPWLTVSLLAIYLLDLLLHARRHNLPPGPRPLPIIGSLHLLGNQPHRSLAGLAKAHGPLMSLRLGTVTTVVVSSPEVAREFLQNHDAVFATRSVPNGVISHAKNSVVWLPNSPRWRTLRKILGTELFAPHRLDALQHLRREKVQELVDHIGRLADEGSPVEVGLVVFTTMLNLLSCTIFSRDLTALDDRQSKEFHEVVLEIMEAAGSPNLSDFFPALAAVDLQGRRRLLEKLFARLHRVFDKEVDQRLKSRDAGQPQKNDFLDLLLNAAVGENGALVLDRDTLRSMFSDLFAAGSDTSSSTVEWAIVELLQNPFAMAIACDELRRVVGLSKNLEESDICKLPYLQAVVKETFRLHPPAALLLPRQAQKTTKIMGYTIPKGSRVLINIWAMGRDANIWAEPEKFMPERFLDKTFDFKSGDFELIPFGAGRRICPGMPLAIRMVHLVLGSLLNQFKWNLPDEVERNGVDMTEKFGVTLVKAVPLCAIPTHALSAMAAAALLPWLAWLLVSFLAVHFLNLLKRSRRGLPPGPRPLPIIGSLHLLGDQPHRSLAGLAKAHGAPLMSIQLGAVTTVVASHPDAALEILQRHDAVFSNRSPPDATGLHSKNSSVWLPNAPRWRAMRKLMGAELFAPHRLDALGRLRREKVQELVAHVGALARERRPRRVRHQPQPGGAHHLLARPWTTRARRGSSRRPWAAPNVSDFFPAVAAADLQGWRRRLAKLFARLHVIFDQEIDARVRDRQNGEPRKDDFLDLLLDAAARDDDASVNVHGKRNELADTLLFHFHDTLICSLLAMTELLQSPHSMAKACDELARVVGSGKDIEESDIGQLPYLQAVIKETFRLHPAAPLLLPRDTQVDVKIMGYTIPKGSRVFVNVWAMGRDKEVWTEPEKFMPERFMGRTVDLRGGDFDLIPFGGGRRICPGMPLAIRMVHLLLASLLHKFKWKLPADAERNGVDMTEKFGVTLAKAVPLYAIASPKIILSIPRIHQIMRIETCLINKKALSPEQQQVVLALRKIKKMK >Et_2B_021452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29870458:29873228:1 gene:Et_2B_021452 transcript:Et_2B_021452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRSSRGGGGGGAKRGAAPLSSATASACVYYATTGLLVALCVAGAYFLTSTSAASVADGDAAAGTVTAYRHTTRSSFAYEVARDKAARSPPREVEAAGKAGDASARGSGEEERKSGGAAAAGALDDPHAKPDDREQQQHGSDEGSSAVEDERTREEGDDAAAGEANATGTSAQGGGEEEEEEQRSVLEEPGNELQMPHEETVRQAAAAVEEKSLDGGIEEESNAGQRLREEEEMARDEQSGGGRLLRREAQEEMQLQPEEERLPAEEERSNEQPQGEEDGGMDKAAVSEDVDGNNIGSQDDHNGTEEQDESLREKENNNEESSSLEWRLCNVKAGADYIPCLDNDRAIKKLRPENYRRYEHRERHCPDDEGPICLVPLPAGYRRPVEWPRSRDRIWYSNVPHMKLVEVKGHQNWVKVSGQYLTFPGGGTQFIHGALHYIDFLQKSSGIAWGKRTRVVLDVGCGVASFGGYLFDRGVATVSFAPKDEHEAQVQMALERGIPAISAVMGSKRLPFPARAFDLVHCARCRVPWHADGGALLLELNRVLRPGGFFVWSATPVYQKLTEDVQIWKAMSALTKSMCWDLVSIKKDRLNGVGVAFYRKPTSNDCYDSRRRQQPPMCSDDDANAAWYIRLNSCMHRVPTGTWPPEWPRRLRTPPYWLNASLPGVYGKPAPEDFAADHDHWRRVVEKSYLNGLGIDWARVRNVMDMRAAYGGFAAALKDKNIWVMNVVNVDAPDTLPIIFDRGLFGMYHDWCESFSTYPRTYDLLHADHLFSKIKDRCAVLPVVVEVDRIVRPGGSIILRDEAGAVGEVEKLLKSLHWDVRLTFSKNDEGVLYAEKSDWRPDLLEEPS >Et_4B_039551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21658634:21664199:1 gene:Et_4B_039551 transcript:Et_4B_039551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDEGNLRRVRALVRSMDEDDRESLASVKMEGLGALHGAAMKGPLVCAVPGRHVAAIIYLLDKGADPNMQDHDGFAPLHEAAREGFDEIAQLLLSKGASVDISSPEGTPLHAAAAYGKFSIIQILLEHHADVNMVSAKLCTPLAETLLATPERMNESTCLKCMKLLVEAGADLNSRNPETPLVIATIKGLTECVEYLLKAGADANIPANDVGTRPVEIAAESGRRKLVEILFPFTSPIHAVSNWSVDGIIAYAKSRNSKDKVNENDKGSKVQLKLPAVKQSRSRTLGKISGKDRKAKLKSQGGKAVEEKDYTSAIKFYSEAINLDPADAVLDAVLYSNRSLCYLKMGQAQDALRDANACIRLRPEWAKGYYRKGAALMSLKEYKQACDAFMAGFKKDPTNEELEKAFWEAAEAMKKEHSTTKSLNSFD >Et_5A_040218.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:23783069:23783539:-1 gene:Et_5A_040218 transcript:Et_5A_040218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMIRSSAVGVARLRSTSSITVAARLFRSTAADPSSFASLACAGAPASRTRRPQRTSPPSFPPPPPSPSPQLFPFSGLHTRTDRSERSVRSAFWIQGQHRLKTASMQDGQGCVETSTGRRIAGGAEKGSGASDWGSREAGVVRDDWRGLRDGSR >Et_2A_017743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5694727:5696444:-1 gene:Et_2A_017743 transcript:Et_2A_017743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYALKTKTKLDFQYFIYNKKRLEPDRTLLFYGVQRDTTVHVCSGLLGGVVTLEEYVEANRSRFTVVVTLPNGKESLKMPVSFFMDELFHTAFSKGLSWNGDFKLADFKLVEGHIRKHKKPKGYHGFGAMEEDLREFISHVKKIFCADVESLISKYPPYLEHLITFLNSLVIRYVLPKETQLYLDTAVCFMTSYARERLIIELYRRYEGLDENDTNEWNEAIKKSHCSDTWYTDMLRIPFFNEIISQAINEGRTYPKTKIGAFTFLRDIVMHGADYRKVSCSLLFFVS >Et_4A_032169.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22012259:22012867:1 gene:Et_4A_032169 transcript:Et_4A_032169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQHRQRGFSLLIIRPFLAVAATALICAASTAAQPQQPPSLPKPPNVPACPPPQATLFPCISYLIGNSSSPATACCSQIQAMFESQAPCLCAAMAAAPSQLGSAFGAAQALLPSACSLPPNACSGSTGAAPAGPSSTTPASAGTGADAPAPATADLDPTAAGGGGLKSVPGLLGSAAAAGYGRGISAAAFLMISVIVACFL >Et_6B_049378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4134415:4141212:1 gene:Et_6B_049378 transcript:Et_6B_049378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRKAKKCEKASMPSGEDFLGALPDAVLQHVLSFLPSDEVVRTHLWKTTPALRITDTFDKWKNADDMNEFVNHLLLLRGRLPLDVCEINSYPEYDSVDKPFQYIELWIRYALSLKARVLHVLIYTEYDHMKFDGPLISQHLTVLELEMVELGKGVLDFSSCPVLKSLKMVEGIIMARKISSQSLRHLIANDNIFAWYSRTRISIPSLVSLQLHGFSGRTPVLRHMPLLVTASIDLDVYCNDCCDRKSSGDCGYDSREGCHDNPDGSDKCVLLRGLSNATCLELLAEPDVFILKKDLNLCPTFGKLKTLLLNEWSLAGDLHSLVCLLQHSPVLEKLTLQFLEVSEHLLEMKENQYPKEQPHTSEHLKIVVVECRDVDGDRIHKFLKCLSLYGIPIDATKIEKPRNSYSSCKLAIDNPPQLLILLQLSYKAQGQGEQQQRPPVRAQGQGEQQQDLLSERREQLECPPGRAPPARTLLPALRRGRADVRVSRRWRAATSGSPPLRCVSRTPMIDGRTPRTSTSSSTTCCCSVTARLSTFLRSISSQALSDTRVTSRSAISRCGSVGALMWKAWVIRVLIYTEFGLLSLLMPLSSQRTSVLVLLELELVELGKLVHINISEQSSSSEQLKTVEVKCQKVDERVHNFLKCLSFYGIPDDLIEIKEPRWLWLNISHRNLYDDD >Et_1A_007112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3168516:3171476:1 gene:Et_1A_007112 transcript:Et_1A_007112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVELETDAANLGRALTSKDFDQSPEGSVFRRIRDFIASSFDSCLVSCSCLFFFFKKICNKVADCLAAHGVSALPSGEHVFWCQAPSFVTELVSGDLPGTSG >Et_8A_057397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2671569:2716790:1 gene:Et_8A_057397 transcript:Et_8A_057397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVGDEAAGGSGGPSSSVSGRQQIHRYIRILLSRPRSDPTRLGDFASALGAVAPRLQVGARAPSGTGKRSAEPHPHPRAIPNKTRTSGPEAQTTMMMDSSSAVLKFKVDYEQTKHLAIGKAVHSDAISAGGHMWRINCYPRGAQAVVSGKGEYLSLFVELLSKSRSVKAIVEAFLINKGGEPLLNHAKKTGVHVFQMDNKNFGWHLWPDHVYVLHHGGLVLEDNSIPVPPSNIGKHLGHLLDSMDGADVSFKIDGEVFHAHRAVLAARSPVFRAELLGSMAEATMPSITLHDVAPATFKAMLRFMYTDSFTGDNELEDTPSEIMQDLLAAADRYALDRLKLMCAQNLLDSVSVDTVAVTLACAEMYNLPELKNRCMDFFAKEENFKKAVLTKGFLELGLQFPLIIDELRKKDAEMWESGFLGFKLDYARVISITVIGDFISSDAFAAGGYLWRVRCYPRGFKCEGNNSEYIGTYLQLVSKAKNVKAIFDVFMMGRDGKPSAIAQRCMKVFPSEELKYSCWGLPQLVKRSDLAHYVINGSFTVACGVIVVRDDPIPVPPSDLGIHLGHLLDSTVGTDVSFIVGGEMFPAHRSVLAARSPVFKAELFGSMADATMPSITLHDIEPEAFKIMLRFMYTDALPADDELGDYTVEMMQHLLAAADRYALDRLKLMCAAKLWEIVTVDTVASVLISSETYNIPKLRSNCIDFFAMEKNFKKAAFTDDFAMLLQKFSALVAELKGRTLGSGFLEFKLNYSEMTNMAVGDFVSSEEISIRGHRWMVNFYPRGHGATGKGEYVSIFLKLISHSRDVKTIFNVFFLGRDGEPSFSYEGRFVHVFSKGKILGWSQFMNRGLLESLYVTNGWVTLVCGIIVVGDNAIAVPPPEIGNHLGLLLDSSVGADLSFLVDEETIPAHRAVLAARSPVFKAEHFGSAPDGISTSIPLQDMKPLTLKAMLRFMYTDELPGDHELGESPTETMQHLLAAAERYALDRLKLMCARWLWDNISVDTFACTLACAETYNCLELKSKCMEFFVVHNNFKKIAFTYGFMWLVEKFPSLAAKLKEMMLDSCFLDFKFSNSETKNLAIGDFVSLEDISAGGHQWKIHCYPCGRKEAERGEFVSVFLHLISYSKDDKVIFHVLGMGKDGEPSFSSENKLVHVLSSEGSTSLGWSLFMKRSILEANYVIDDQITLICGIIVVRDNTIAVPSSEIGYELGLLFDCKVGADVAFIVKGETILAHRVILAARSPVFKAELFGYMADATSPSITLQDIEPATVKAMLWFMYTDELPNDNEIEDSSTEMMLHLLSAADRHALDRLKLLCARRLWDDISVDTFASTLGCAELHNCPELKCKCIDFFVVENNFKKIVFTDGFRWLVRTFPPLAAKLKEKDAEMWESSFLGFKLDYAQVNSAAIGDFISSDDFAVGGHLWRIRCYPSGHKKEGKNEHLAIYLQLVSKSKNVKAIFDVFMTRRDGEPSFAHCQRGVQVYPPVGSKLRCWGFPELVKRSELESQYVANGSFTVACGVIVLRDDPIPVPPSDLSIHLGHLLDCTVGTDVSFIVGGETFPAHRSVLAARSPVFKAELFGSMSDATMASIRLQDIQPEAFKIMLRFMYTDALPADNELGDYTAEMMQHLLAAADRYALDRLKLICAAKLWEIVSVDTVASILISAETYNIPELRSKCIDFFAVETNFKKAAFTDGFAVLLQKFPTLAAELRRRLGMKHPDAKMLGSGLVEFPLDFSEAKNTAIGDFVSSEDISVGGHVWRVNCYPRGVREDDKGEHISIFLALVSKSKYVKAIFNVFYMGKDGEPSFSTEKKSVNVYSSEGPKSWGWNQFASRSSLEVLAVTNGWVTFLCNIVVVGDDTIDVPPSDIGSGLGHLLEGNVGADVLFMVNPVFRAQVFGSMEDATSPAITLKEIEPSVFKAMLEFMYTDEIPEDDELGDSPAEMMQHLLAAADRYHVDRLKLMCARWLWDNISVDTFASTIVCANMYNCPELKEKCISFFALESRRLCSRMVSCGWCRNFRYLLLSCKRRRHHDTKMLGSGFFEFKLDYSETTNLAIGDVISSDVISAGDYLWSVCLYPRGKTETDRGEYFSVFLHLISDSKDIKTIFHVFCLGKDAQRSFSNEKRLMHVFSSEGKGIGWTQFMKRCILEANYVINGQLTLICGIIVVGDNNIAVPSSEIGYDLVFLLKDKVGTDVSFIVKGETIPAHRVVLAARSPVFKAQLFRSMADATSSSIPLQEIEPVTFKAMLWFMYMNELPEGDVFGDSPAELMQHLLVASDRYALDRLKLLCARKLWDNIYVDTFASTLVCAETHNCPELKSKCIDFFAVENNFKEIVFTDGFTWLVQSFPSLAAKLKEKATAASSSQIDSPSLKAALAMALIHYNRLPGKAAAAAAATSAGTSSPPLNHWKRKAKDRKREILRLREELKLLQDGAPGEEMEPPVASCRCHFFEGCGDLPPQPCGSGGEHWVDEVLRRRFLRLVRWKEKRRRVDRSLPRSSLIDYNSGDEMQQLSMSTDFLVELSDGIFAKKEACSSFATFSHQAVDFILASLKSILSSERDKDLIEEIINGLVTRLMKRMLGNEEFIGQRIILAVSQKISNVSERLLLADPFDDAFPDMHENIFIMIQLIEFLIIDQQHYYSGLFEECARSILKARMDLQVLENMNELYVVYIERVVGRLAREVAPAAHQGRLDQEVFSKLLSLAVGDAACWEEVAVGGHVWRIACYPRGYDEEDEGKYLSLFLELRSESGASHRIRAIFEAFLEDLYGDPSVTHAQRLAGECPAGGQYWQGWTRFVRRHDLQSYYVGDDGCFRISYAVFVLPPGGDAISVPPSDMRSQFARLLGSDAGTDVSFSVAGEAFPAHRAVLAARSPVFYAELFGDTSDATSSSITLVDIDAATFKLMHYFIYTDDLPGDGEIGDSPTETMKHLLAAADRYALDRLKLMCAQRIWEKVTADTLASTLIFADTYNCPELKNKCIDFFAVERNLKRIIFTADYNSLLQKFPSLVAELERRFSAADNVDGQHLLAAADRYALDGLKLMCAQKLWENVTVDNVASVLALADTYNCPELKSRCMDYVAADANLKKVIFTDGFPWLVQKFPSLAARLSPPAATAGSSGATRAGSMTTTTVTASRSSSSCAAPSPWCSRHVYRPGDSWGWRHFATRADLGSGFAGGGSAVTLVCGVVVVGDAIAVPPSDVGAHLGRLLDSAAATSDVSFVVCGETFPAHRAVLAARSPVLNAALFPSTTPSSTITLQDIEPATFGVMLRFMYTDALPEDDELEQETLAELMQHLLAAADRFGMDRLKLMCARKLGDNITVDTFASTLACTETYNCPELKRKCIGFFAMDRNFMKIAFTDRLMWLLEKFPALEAELKESIGAASSGVTYTDTLPDEEELGGERSGTVPARRRYGKASRCTVASALVFDETYSCPELKSKCVEFFELDFAGTKKLGIGDIVYSDDFWAGDHVWRVRCYPHGHRGAVNGDYLSIFLQLVGKSSNVKAIFDVFLMDNDGTPSLAHAKRCVKVYPPEGFEAWGFGEFVKRTDLEVDYMVDGHVTFMCGAIVLCDNPIAVPSSDIGDNLSCILDSGDGSDVTFQVSSNEFRAHRAVLAARSPDFKALLLGAMKDAKTECIKLHDVKPATFEVMLQFMYTDSLPRDEEIQSSSIIDLFQNLLAAADMYHLDRLKMLCTKKLWEHLSAETVATLIGCAEKHNCAELKNSCLDFFVVEKNFKNAVLNKDYAQLMQSFPSVIDEIRARVQKCLSVGMERHFFRSDDILAGGTSGGYVATPMDTGRMAMASTSLFI >Et_9B_064889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19637089:19640543:1 gene:Et_9B_064889 transcript:Et_9B_064889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLALRLIAPPPCLSRRSPSPLAFLPPYVLHGSSVRATRLVRGVGVAFNAMPYSGMEEMLEEEVEEEEEEEEEEKEAEPTVSMRPRLELIEKPDRSLALLDEYESEELGTSQCPNHRSGYVAVLGKPNVGKSTLINQIVGQKLSIVTDKPQTTRHRILGICSEPEYQIILYDTPGVIKKEMHKLDSMMMKNVRSAIGSADCVLVVVDACKMPEKIDDMLEEGVGNKNTELPVLLVLNKKDLIKPGEIAKKLEWYQKFTNVDDVIPISAKFGQGVDDIKEWIVSKLPLGPSYYPKDIASEHPERFFVGEIVREKIFVQYRQEIPYACQVNVVSYKSRPTAKDFIQVEILVEKESQRSIILGKDGKAIKMLATASRLDIEDFLQKKVYLEIFLHRKKHSSTVMVVYMV >Et_1A_006844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28220444:28220755:1 gene:Et_1A_006844 transcript:Et_1A_006844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENTVTAMDVVYALKRQGRTLYGFGG >Et_8A_056979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19727107:19727612:-1 gene:Et_8A_056979 transcript:Et_8A_056979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAQLKNKFFGLVGRITSCGRAGAGHKDSAGATEPKSAPPQHTEIRSRGGAPNVSGGSKPRSN >Et_4A_034352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3210244:3212036:1 gene:Et_4A_034352 transcript:Et_4A_034352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQLMEQVDSDISAPLAENQGEMSMITQVQSTNEWSNFRDMKANEINHQGAMFLGTMRWTSLLSTSGFGWDWDKNKLKVDSDSVWDDYVEKTKERKAIGMKS >Et_9B_064491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15740057:15740861:1 gene:Et_9B_064491 transcript:Et_9B_064491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KRTQFGLAAPSFGVLSFVLAVVAELKKPPYGTPITGRDDVVVCQFPRDPAVALARCPRSPPRAARGSAPSPFSSPTVAGASRGTPCSATPALRLLPRRRLRTSSSTARHCIASRIMRIFDNLTRSLAPFFFFFSFCWCRGVTVAGVGITVWPTVGEAMHLARNVHRDPGYACPTAKTGVLGGAAFLNLDAMLFWIICLMLAGNVREDYFDDGDGGEAEGGATCCATGD >Et_2A_016999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29990339:29990675:1 gene:Et_2A_016999 transcript:Et_2A_016999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFFSSCTTCRVLFIALVIVAVLSSNAAARYVCRGKCSAFADCYTSCTTVWNYPRGGQCMPPLYQDCCCIE >Et_2A_016480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25161768:25165587:1 gene:Et_2A_016480 transcript:Et_2A_016480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGRRWQPHALAALDVAYAATAAAVVAADTLPSSGDGTGAARNLTQSDGNGSSYHHVWPPIEFGWRIVLGSLIGFLSAVFVGGVGGGGIFLPMLALIIRFDPKSAAAMSKCMVMGAAVLTVYYNIKLRHPALDMPLIDYDLALLIQPMLMLGISIGVIFNVIFPDWLVTTLLIILFLGTSAKSFLKGVDTWKKETMMKKDSEGFIFSVFHSLYFPRRLQNGQEPVHATTVPIGPDDTNDGGDSIIDKLPTSQTSFLKNVYWKEFGLLAFVWIAFLVVQIAKNYTATCSAPYWVLNSLQIPVAVGVSMFEAYGLMSGKRVLSSKGTQQSTFKPCQLSAYCMFGMVAGLVGGLLGVGGGFILGPLFLELGIPPQVSSATSTFAMMFSSSMTVVDYYLLHRYPVPYAAYVFAVAFIAAIIGQNYVRRLIDWLGRASLIIFILASMIFISAISLGGVGIVNIVHKMERHQYMGFESLCN >Et_2A_017894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7500380:7504666:-1 gene:Et_2A_017894 transcript:Et_2A_017894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESGRGGARAQKKSWRSELVLAYQSLGVVYGEVATSPLYVYKSAFAGGDIEHTEGNEEIYGVLSLVFWTLTLVTLLKYVFIVLRADDHGEGGTFALYSLLCRRVRAGILPCRGDDDLMEQRGGAAPAPASSVRAALQQRKGLQWLLLLFSLLGTSMVIGDGVLTPAVSGRSDFPPPLNCRCIVLSAVSGIKLSMVNEQHQYVLLPVTCVILVALFALQHYGTHRVGFLFAPIVCLWLLSISIMGVYNIMHWNPHIAFTMFVYPSLILAYMGQAAYISRHHSFEKNNHIGFYVSVPEKIRWPVLGIAILAAVVGSQAVITGTFSVIKQCCSLNCFPRVRIVHTSSTVHGQIYIPEINWILMILCVAVTIGFRDTRHLANARGLAVITVMIVTTCFMSLVIILCWNKNIVFAIAFLLFFGAIEAVYFSATLVKFHEGAWVPITLSLIFLMVMWVWHYGSKKKYEFDVENKVSISWLLNLSPSLGIVRVRGIGLIHTDLVSGIPAIFSHFVTNLPAFHQVVVFLCIKSVSVPHVQPEERFLVGRVGLKQYRLYRVVVQYGYRDVQQDSLEFEKDLVSSIAEFIRSGDSDKNGHPEGTDSPYEMLSIISKGLPFQEDGELDGLSESSDHKETKLDALLSKSKRVRFVLPENVQVDSEVRAELQELTEAREAGMSFIMGRSYMKAKSGSSLIKRIAINFIYEFLTRNSRGPAYAANVPHVSTLEVGMVCQV >Et_1A_005313.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:430773:430898:-1 gene:Et_1A_005313 transcript:Et_1A_005313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGFDAVVVITVWKIWCQRNDRIFNGKTMQPLRYTISCKK >Et_2A_016023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20211395:20215583:-1 gene:Et_2A_016023 transcript:Et_2A_016023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQQEDGARSRRRDATQMVVALECVSGSCKAEEWGGGAGVVQEGDVVEAVRVGCAAGARAAGAAALELEAPFKGGRAGLHKAMQAAFKRGDTSVEVRVRGGRELQACVVPHRPAGGGKKQYVLRSMHDPNYLLGFVDRLESECLVLQGTRSTRVASALSKAQLQDGYVAYPWEEKMCDMLRMPNSSCYLSMLVLPKALDSNACRYESFEDTLARANAWLYSSQASGVPIDFTNVQSEALLTKISGETASATVNSGSLSDLSNVTNATLYGFEDYHGVDIGVVKAARLWYSSVAGELLLQIPLEEGDTRLGFAISRTDEGFIFVSSVVNDDKENETPSTRSGLRDLFNRAKEASKLLVISRVSNEKVLPWIISSSGAVRCSDTISLSQKLSLHRLAVRPIQLHLLAWEKPTGPVERTLRSPKLPPPSVFPQVHQNLKESVEPRVDVDDAYVGDLSFRIDDLSFESSWIRTKSNKGAHWDR >Et_2A_017727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5507405:5511244:-1 gene:Et_2A_017727 transcript:Et_2A_017727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPSSSASPGGGGPRPFRSRFGDTTLTKVFVGGLAWETPSEGLRQHFERYGDILEAVVISDRHTGRSKGYGFVSPNSPVLCFSRPNSPVLCFFFVPEICLPAVTFREAEAAQRAVQDPNPVIAGRRANCNIASLGPPRPAQPRGERSITTHKENTPRLAYFSSRFLSGSSRVSPSPAPRFPDQPVVSMATPISHCSSKPAKASLFFIFFFSHFCCAGGWPRSGPICRSRRQWHRARSSSPGRRPRWSHSTVVLPRSTLLNSGLGQPSSDAELLRSAIRDGFAVSSTLPAVHGVHAVSSFNAEGSTPAGAGAGAAGRSAGLGSSSDDAADSGFFLAHAFVSSGFQAPVAFSCCVNTASQCNSQLIRPPLQLERQAQTMLQGPEQDGHR >Et_4A_032059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14522718:14524310:-1 gene:Et_4A_032059 transcript:Et_4A_032059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHEQELGANGLDWLEDSISFLTADVDIAGWWSTSTSSIPAVQQDDIGSVVAQTLSPPAPVAAHASPSIASPAVSSPSTDPPNSSSKKRKSPGHRASGGQNGGGSSNQRRRGEKGGGKGGGAGSDREMRWAEQLLNPCAAAVEAGNLSRVQHLFYVLGELASFSGDANHRLAAHGLRALARRLPAAVGPAAAASVRVPPFDPPAAAAFASAEPRLFRAAMIRFHEVSPWFALPNALANAAIARHAAAAARPLHVVDLGVSHGMQWPTLLESLTRLQQPAQRGAPPPSVRLTVVAAGGPAPAPFAASPPGYDFSQNLLRGRAASLDSVRDDLIAVAPRCEEALVVCLQFRLSHAAADERADVLRKVRALNPELVVLSELDAAAAAAGAFATRLELLWRFLESTAAAFKGRDGDERRLLEAEAGTSVAAAEGAPPPGEGRDAWRDRMAAEGFEEAPFGDEAVESAKSLLRKYDGGWEMYAPASSGAAVALRWKGQPVSFCSLWRPACGAA >Et_1B_011300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1998940:2001919:-1 gene:Et_1B_011300 transcript:Et_1B_011300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEISCSVSAIVHQHIVVLKNAVTGFAARMTRSQAAHLRAQPSVLAVVPDVMLQLHTTMTPSFLGLGASSELLVESGGASDVVIGVIDSGIYPKGRASFAADPSLPPPPRTFRGSCASTRSFNGSAFCNNKLVGAKFFNKGYEALLGRRMNETEKYCLAAGHHRTRHAYGLHGCRFCCRGRYGKGTAVGAAPGARIAVYKACWEEGCGPSDVLAAFEEATADGVDVISMSLGGKGPPEFHEDPTAVGAFNAVRKGVIVSTSAGNDGPREFTAGNLAPWMLTVGASTINRRFPDTVVVGNGDTFTGTSLYAGPPLGATEIPLVFGGSAGSPFCEAGKLNATLVSGKIVLCGIGMNTRAEKGEVVKLAGGAGLILAEAEESGQEARTISHVLPATAVTFTPPRRS >Et_7B_053346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12154213:12154866:1 gene:Et_7B_053346 transcript:Et_7B_053346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPASSSPSPLPPPAPSATRRRRLPTTSSSGSGSSSSSSSASSSASSALSFPSFSPAPSPFHHRFLSPLRASAVPFSWEHRPGIPKTPARQAARGKKTAPLPLPLPPSLLLSGKDAAGDYFTISEDAKTASRRRRRMRKHQQQPRRRPPALAATLTDWLAVLSLYRSCTRSRDCLAAGTPSPRPRSPAKPTA >Et_4B_038971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6519864:6524950:1 gene:Et_4B_038971 transcript:Et_4B_038971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFELLLTAVVTLLAAFLLATFFAANDPRREPDRAAAAIDEEVVKEERIIEVDEVKRSERTAEAEAEGWVEVEKVPAAVVEEAEEPECSPEPDKEVVPVKVALAVRPGAGVEEEEVDVGEKRCDLTAAAATTGVVVEADSHAVVAEAVPREVVDVAGSESGRVQDVGAKQHDLAAEAAPSEVLDAQPEKQGVEVAEVLPIKLEAVEAEQHHLVSEAAHVAEVLDAGLEEEEEESVQAIEVRPDELAAETAPEEISDVVSEKKEEQTVEAQGNELTAEAVPQATLDVPLAEKEEPKVQHPVEEAVDTHEEVQSREEAKCETHSVAQQKELVPEEELVATKTGDAEVSHEGSSSDKVVAELPVKAVTLQGPPEDVAEADMDFGEWEGIERSEVEKRFGGAAAFAASEAGAAALSKLSSDVQVQLQGLLKVAIDGPCYDSTQPLTLRPSSRAKWVAWQKLGNMNPEIAMEKYMNLLSEVIPGWLGNETSDTKKHETDDYSEGTILTMTAASDQQRNQGNEESTGIDEGHLTASPNPEKGNTKS >Et_3B_030512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4522075:4534166:1 gene:Et_3B_030512 transcript:Et_3B_030512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVVKVLEGNMNAESNIDHNFVATNAKMSIAVENISSSDPPLASDISGPRLLLSLLASASYSATSGDDEDFFKTCSLHRCSKHGPDIRFPFRLSSNPPSCGAPGLLLSCSGHDTILDHPVLGACKVTEIYYRFGIINAIPLADSSSQCPLQKLVSLNQSTDVYKPMALEDSVLLGCQTDFIAANQEEVVGPRPCLSFSNNASQFWYLVNPETDMSTLPMGCVVVASDIPIPYSCDKNGRRYHTPFFGRSLFKEKAYKSIHFGETSFNWSINNITSVCQRCEQDGQHCGFSSNRGQAFCQRHGSHVVLIAATTSAATLVVLVATALYLSLKRRYSEAIHLKVEMFLKTYGTSKPIRYTFSEVKKIARRFKETIGQGGFGSVYKGRLSNGVPVAVKMLESSTGDGEEFINEVATIGQIHHANIVRLLGFCSEGTRRALIYEYMPKESLERYIFLQDSNISQELLLPEKMLDIARGIARGMEYLHQGCNQRILHFDIKPHNILLDYSLNPKISDFGLAKLCARDQSIDIILNGQTTEEEKEKVKQLAIVALWCIQWNPKNRPSMSKVVNMLTGRLQNLQIPPKPFVSSESHSMVNHIASNTSLMMLLMSLDMVADLNPSYRQNHKFSLMKRMSKI >Et_3B_031430.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:29251002:29251658:1 gene:Et_3B_031430 transcript:Et_3B_031430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKDEYKVLIEQASKDVADPQHEENDDDDDSSSFILLLNLVLSGTARLNVLLPTATILAFAIFAPILTDDGKCARVNRILTVAFVVLCAASCIFFTLTDSFRSASGRLRYGIATPTGIRTFCGSSHRKKGPREPEKYRLRWSDLFHTTLALVAFVTFAASHHDIVQCYYPRVPRKVVNTVPLVIGFVVSLLFVLFPSKRRGIGYPFLLRTDLVYLRR >Et_2A_018373.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:2563581:2563931:-1 gene:Et_2A_018373 transcript:Et_2A_018373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANHCLRRLASASASALSRPSQPPPPAAALLRHALSSSAPSTDPPAEAEDAKRREGDEAAADAKGGDAGGPGKEEDADGDGDEDVNKKTGEIGGPRGPEPTRYGDWERGGRCSDF >Et_10A_000454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10609285:10615192:1 gene:Et_10A_000454 transcript:Et_10A_000454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAIGAARWVVGRALGPVRDGLLESWAASSELGPNVRALKLQLLYAQAVLDNARGRELRSPALGQLLLELRHHAYKADDVLDELEYFRIQDEVHGTYETNDDRGLLGHARQTARAVASKLKIPSSSCATVCQHLRKPKLKFDRVDLSKRMVQIVEQLKPVCAMVSTILDTELLGLIASNSTTSLQGTALNETRNTTPQIIEPKLYGRDDLKKDVVDGITHGGLGKTTFTQHIYEQVKSKFQVMVWICVSQNYFKNEDVKDRATFENRKMDFDAFGKRLKADSLRTLILFGDYHGSFCKPLGDVLRDAKALRVIILFGVSYDVEDLLPNLSQFVHLRYLRIKGNISNERSLSSSIARLYNLLVLDMKQCEKVISTREMSNLVKLRHFVVDNGMCHSGIYEVGKLKSIQELKRFEVKRENHGFELNQLGQLLQLQGSVEIRNLEKVEALTEVDEANLVQMHHLIILEGCALRDMEGAFFPSWLGTDNSIENLEGLYLNGVAWKSFLHLGELLMVGEELPCIGGQIFKNLKKLNLMNISALQKWSTNSPFSHLEKLAIRGCSELVELPFTHYTSPQEQQEKNRTMFPKLQHLRISKCEKLVLVPQIPWNSALCSVVLSKVGTIIGNIHSSKNRQYISVRFGMDTFGRELWNLLSFRNLSDIMEFEIHECPLVPLAHLQLLSSLKTLSMYKCSIFLWPTEAENDAQLKFPVEHLRVGECCATGKELARLISYFPNLSTLQLWDFDKQKSGAEETEAAAEGLLLMPLQINELSIVRCPDVRLCSSSFQSETSLTSLIILDCPKLLSTSSCSSSYCPFPTSLQNLDLGGVMDATFTLSPLSNLTNLELSDCEGLRSEDICISSPRIISEN >Et_7B_055405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:118665:121016:-1 gene:Et_7B_055405 transcript:Et_7B_055405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATAVPPACKRGRQQQLLLVHTTKPPLPLLLPLPKRRSVSVRVAPPRQEQRRRARQRPPPPPRRQPPRGRIRPPAPLNHYDDDDDDEEEEESRFAGGTRAAAMPKPPAGFVLDDQGRCIAAASKRIVTIIDDTNNRPLECIIRRVFRSSQDHECMLLCPVDIFCYTARGGFCFPEEAIQEFHDSGDGGDGVPFEGVEICCFNLVSKQDGAHYMIYTPVDPLLFVAVKDKDGILRVAEDELMDDPAIVSAIDEETEFTALVEEEEALLETVLGER >Et_1A_008406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7406330:7422377:1 gene:Et_1A_008406 transcript:Et_1A_008406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRAAGIAAELVPYLPCGVEPSPRTRMVRGLLATLSACTRNRTMCSASGLLAVLISSAEKLFVGMGQDRKWDGTPFVQCIQVLAGHSVSVKDLHAWLLLIRKTLGTPWATPLTIALEKAVGSNEAKGPAVTFEFDGESSGLLAPGDSRWPFTNGFGLATWIYIESFSGSLNTTTATAAVAAASASTSGKSSPSAAAAAACTLAGEGTEHMPRIFSFLTSDNHGIEAYFHGQFLVVESGAGKGKKASLHFTYEFKPQCWYFVGLEHTSKQSLLGKAESDLRLYVNGDLHESCPFELPRIVKPMAFCCIGTNPSPTIAGLQQRRRQCPLFAEMGPIYIFMEPIGPERMSRLASRGGDALPSFGNGAGLPWKSTNHHIREIAEDRYTLDIEIGGSLHLLYHPSLLSGRFCPDASPSGSTGTHRRPAEVLGMVHVSYRMRPAESLWALAYGGPMALLPLTVSNVEMDNLEPILGDLSLSLATASLSVPIFRIISLAVQHPGNKEELCRTHGPELLSQVLHYILETLSKLESGNKEILSDEELVTAIVSLCLSQSNDHGQKVLFFSTLLLDLKMWSSCNYVLQKKLLSSLADMVFAESTCMRDANALQVLLDGCRRCYWVIHEADSIDTFTLTGSERPIQKVNALVDELLVVIELLIGAASPTLASDDVRCLVGFVVDCPQPNQVARVLLLIYRLIAHPNISRANMFAQSFISRGGVEALLVLLQREARSGDNNIFHSCDMPENTALWNGSCNSTNRDLDLKAASSEGNCKDHGIQSVKQHEPTSHESSTGVGSTSKWGLLKGQFLNNVQSTSDHVENNIYNIDNGDGVLVGIVHILGSLVASGHLTIASPTARPKLPSGFLTTSNGEGNTMFEDRVSLLLFALQKAFQAAPMRLMTRNVYRALISSVVDASSSSDNQKNLYDSGCRLKHIQLLLVLLRSLPYASWAFQARAIQDLLYLVGSHPKNRSTMTSIREWPEWIVEVLISNHEMGDNKDSDGGSMCEVEDLIHSFLVIMLKYSMRQKDGWMDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGSLLDFSALELQVQQTEGIAAGVAAEGLIPKETRIQAEKAANLSATVAENAIVLLMFVEDHLRSRSQHFFMSCSIDNAASPASMASSVASRSNSLSRTGSEHLEAGGSRQSLSSDAGGLPIDVLASTADMNGQISAEVMERVTAAAAAEPYGSVRHAFVSYGSCISDLSEGWKYRSRLWYGVCVPPKSNVFGGGGSGSVSWKSVLEKDSTGNWIELQLVKKSVAMLHALLLDSGLATGVGSGPGVGVMGALNQLLDSEQPFFCMLRLVLVSMREDDNGEDDNFMRNISMKNIISGGLGYQTGSMMPLAGNSCPSIRKRPAALLWRVLGSILNMPVSDSKRQRVLVASSILYSELWHAVSSDRKPLRKKYVGLIMPPFVAVLKRYRFILAGIHELTSSDMQNPLLVDDWASAADASPVEAGISMISPGWAAAFASPPVAMALAMIAASASGTETIVPPTNKLRRRDTSLLERRSAKLHTFSSFQKPTDTTPSLPTSAPKDKAAAKAAALAAARDLERSSKIGSRRGLSAVAMATSGQRRAAGDVERAQRWNTSEAMGAAWMECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEMERRTQVEILNHRCMSIGVRAWRHLLHCLIETSRLYGPFGELLSTPDSIFWKLDSTESSLRMRRYMKRNYNWLNHLAAIANYEQRFLCAGAESNAYHTEDGDSSLENILSTSSLITVTDAVSVHKGHGVTVQTETENICSSVDDQLTNSSPRDPSFTGSVDSRSSDFSGVRNLVRSAVVAPCYKPSEDDERITVELPSLMVRPLKVVRGTFQVTSKRINFIVDENMSDSYMDDVASTSGQCDQQDKDRSWFLSSLHQIYCRRYLLRQSALELFMVDRSNFLFDFEDTEARTHAYRAIVHTKPPYLNDIFLSTQRPNQILKQTQLMERWARWEYPVFPWLIANYQSKTLDLECPSTYRDLSKPIGALNPARLEKFREHYNSFKDPIIPKFHYTSHYSSPSTVLCYLARIEPFTTLSVQLLGAKFDHDDCILSDITRTWSSVLEGMNDVKELVPEMFYLPEVLTCVNPCRRLGPVILPPWADNPVDFIHKHRKALESDHVSAHLHEWIDLIFGYKQRGKEAVIANNVFPHVTYEGMVDVDKISDPVQRRATQNQISYFGQTPSQLLTVPHIRRRPLADILQCQTIFRNPSEVTSYVLPSPDHGNIPASALLVSGDCIVVIDSNVPAVHVALHHWQPNTPDGLGAPFLFHHGRNAINSSGGAIFRIFKGSTGSAEECHFPRAIAFAATVVQNSSAVVVTCDKEVITGRHADNSVKLISPNGARTIETAYGHLAPVTCLALSADSNYLVTGSRDTTVILWKIRQMGLSHHKNAPEPPPTTPTTPTSPWPTSSTQNKILETCRRRRIEGPMHVLRGHLGEVTCCSVSSDLGLVGSSSSASGVLLHSLRTGRLIRKLDAQEAHSICLSSQGIVLIWNESAKRLSTFTVNGIHVATSVLSPFSGRVSCIEISTDGQFALIGTCLSSNNRGSCTATNDDYEFDRPDGDEGVQASKEKRLSVHVPSICFLDLYKLEVIHTLKLGRGQDVTALALNKENTSLLVSTADKQLIVFTGPATSAPSVL >Et_4A_034025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29274718:29276447:1 gene:Et_4A_034025 transcript:Et_4A_034025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGKKCTSYEDRISSLPDEILIMILDKLDARTTITTTILSKRWCNLPRCLLTCYDLAVNDMLPPRYLRLKHLNMKAKAGYEAEKNAQKLTCIYAIKAQHERWMTKIRPLTAILERYERRAMRRYVKQVNAFLLAPKNVRQHRSVQKLRLQTVGNIRDDLVDEWITAANVKWGVEDFELLVGGDCLSYDLKQLDGYWNVRLKRLILSNCHPVNAWDCLTMQRLTKLALGEGSYMGLVNDILRNCVQLRDFRITSSRYYRAAFRINVPMSKIRNLQVERCSFGKIYLISLPCLETFVCRGCATKLYYGEVPQLRHVRLDYSLAEDNDKDHSGTNKTYPLSKFLKRIPAVDCLVLQFKGPHMWIEPLAAPGPFNHLMKLFIANVPVNWDTLWILLLLDAAPALESFHDHFDTSEEVRSTAVVCSSLDADVQQQRQYHRLKELIVAGFDGVGWQTGFVRLIMKRSPLLRRIHLLDGQVMDDGQELGSLQIVPRCREWHECERSEVIEDLTAGIRWPPKIILE >Et_10B_002571.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:16339247:16339468:1 gene:Et_10B_002571 transcript:Et_10B_002571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGVFLSTTRPSPRAEREEVPSQGNTTIRPVQVPSHVPDRRHTRGARRQVGLEQARQRPATRSGSASPMSRG >Et_4B_037753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23065450:23067178:-1 gene:Et_4B_037753 transcript:Et_4B_037753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFRSQMPWSSAPAEPLCDAAAAVVAARRGMETPLTAVAEAFEELARGMAADGGELRLAPFGDTCALVSVLFSSLGMAFKFAEIEYVAKVNDLIGAGKSYRTLNDILDKDVENDSVKKQGSHSRNLRRVRLGLGLIKALFEQFLATEGCSLYDAATTAYGQVCAPFHSWAIRKAVGAGMYTLPSREQLIVRLNETDCSVQKEMRRYINASSPIIEYIDKLFLSRNISLDW >Et_7B_054816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4684232:4685232:1 gene:Et_7B_054816 transcript:Et_7B_054816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DIKDPEHPYSLEQLNVVTEDSIELNDELSYVDIRVAPGSHATEAAVNKQLNDKERVAAALENPNLLDMVEECLSPTFA >Et_5B_044461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2675712:2680352:1 gene:Et_5B_044461 transcript:Et_5B_044461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATREAPIPGRGGRAAAPVTDPLRPLLLAGDPWSEIVASGGARHQIGVVYGRRAAREASGRRNLETRGSFVGEQRPSFAPSKRTSWNRSLSIRGRESIFVAPGTNLQPQQKPSRALKRPPKPGNRVKKTFGGPPDLRKEKAYFEEVDAFELIEESPSPKNFGTWVRGMEQDHIDHDLPAILERWKISKLARRASEPLFDIMETPILPSVLSNISTCYSYRTPEKNRGSATHSTSRTIPAECTNSLKSITEETSMVSSFGKLKIKEEPIEVSGEALTAFEQLLMVCRQSSPITLAEVFSAYCELSSIKKLGEGTYGEAYRAGRTVCKVVPFDGDLLVNGETQKRSEEVLEEVLLSLTLNNLRSDRGDNEKEHSCDGFIETKDFRVCQGPYDPYLIRAWEAYDAERVSENDHPKDFTSEQCYIVFVLADGGTDLESFALVDYNEACSLLVQVTASLAVAESACEFEHRDLHWGNVLLAQDETSDTNRTVNYTLQGKRMHARTFGVNVSIIDFTLSRINTGDAILFLDLSADPALFQGPKGDKQAETYRRMKEITEEYWEGSFPKTNVVWIIYLVDMVQHKMKSLRLGTKVDRELRSFKKRLASYESAGDCLADPFFSDLLLDEDAQLSPMPPL >Et_2B_022506.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24129607:24130242:-1 gene:Et_2B_022506 transcript:Et_2B_022506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRPRLMDELIEEILLLFPPDDPASLVRAALVCKSWCRIVSNPRFSRRFRELHNTPTMLGVFVNFVIAGDGAGADICRFVRTASSCPSLSDRRAFRVLDARHDRVLLHNKHAPQDQFLVVWDPIADEQQVLPDPQLHRQSRGWNAAVLRPSSAAAPGCHAGSGPFLVVFVCITHLAAHLCTYSQDAAAWSEPLHLDPLDVRAGDVVGRA >Et_10A_001740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7513496:7514280:-1 gene:Et_10A_001740 transcript:Et_10A_001740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDLHALLYPSRDDRSTSTPRCITLAQRLSIVADIADALEYLHHNKQGTIIHCDIKPSNILLDENMTAHVGDCGLARFKVDSAASSVANSTSSSSIAVKGTIGYLALECATGGNISCASDVYSFGTVLEIILRKRPTDGMFKDGLNIVKFVEMNFPDRIPHIIDPELQEYLYDDLSQKVSVTMREGTFASLLSVLHTGLQCTKTSASERIDMREVAARLHSVKEAYLRAI >Et_9B_064811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18847988:18850776:-1 gene:Et_9B_064811 transcript:Et_9B_064811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKRGRKDEGAAAASPPTEASRDRISALPDDALQHVLSFLPAEDVVRTCVLSRRWRYLWKSTRGLHIITPGSIAEIMEFVDHLLLIRAGSRIDTFELTTNQGLSEDDIPRVNLWIRNAVACKAQVIQLKTYGSRTWLELEDLLLVFASQHLTRLEFAFVDFKDTLLNFSSCSTLQDLLIRNCILVPVKRISSHSLKRLNITSCNSSDSFRTRIHAPNLVSLWLNDAFERAPVLESMLFLVEAVVTMIRRFDSDCCRHSDSGNCDDSDCQGCYGIQEEEGSCFLQGLSMAKNLTLLAMPGTFIFRRGLNFCPTFRLLKNLLLNENWCVPNVDALACILEHSSALEKLTLELFSEGPKHNVEIKGSPYHRGTSAATLPHLKIVEVKCEIVDEKVLNVLKFLSKHNIRKLTNASIRACFNVGMLNDLEQMRRRFGLPPDRSIPISLSNMCGCSARWRRR >Et_6A_046703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19612761:19615855:-1 gene:Et_6A_046703 transcript:Et_6A_046703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGFSSTCSAFALETLDFEFKVFGFVTTGFVSALAVLQDKWKGIAWFEGAYVKVTDHSRTLLYHRPACNRRPSRIRLLLHHPACKQTNFHPQFSKTDTTASSVREQTNDRVEGMIQPAGPDPLGTCFACLGVVTATAAAAAFLAPDGPRAGKEALCESTPGFFALAEDAAAGALPFCCGLAFLAPAASPDPPFCGRKPNQHCQ >Et_3B_031235.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:22165970:22166425:1 gene:Et_3B_031235 transcript:Et_3B_031235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRSSVVGFSVTASACSLACVAIPQGAAWRMAAELVSFFATCTAYNVILVYSMELFPTSVRSSAVGLVRQAMVLGGVAAPVLVALGRDRSSLWSFGVFGLVIGCSGLFAACLPETRARTMSGTMQEEGERHDADVVAATKTNNTSDCGHV >Et_1B_011863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26669568:26671132:1 gene:Et_1B_011863 transcript:Et_1B_011863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLFRRARAPAADGAVPAPMPMLTFPGKKALPFAGDTSAAEWEPDLASLMLARAYVVALAASDGAASKKPQASAAEAAAALRKYLRNIYASEMKGASRHQLLRFAWRDASWPPGSVSGSKSKASSSSSSSASSSAAAAKGGHTSLATEHAVALFALAAELARAAAEEDRRGADGIRRTCRALADAAGALSSAASKGRGAAEASGLAHMTDACLAAFGRLMLAQALECYFELAVAGGKPAALCAKIARQVSLDYQQVSIALDSLHQQPIDKSWTPHAQAKAAYFHAEACLLRARALRDEGPGCVGEAIARLRYALSAVLDGSVGKAAIKKSAQPVRDAAAWLRREVEAELAAAEKDNCQVFFERVPAADALPALQGLPEPLVRPTAVEKVVSDPDD >Et_7B_053964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13790393:13791432:-1 gene:Et_7B_053964 transcript:Et_7B_053964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGVQGRPPLGAWSTGLFDCCDDVGGCCLTIFCPCVTFGRIARIVSQGGTSCCVSGTLYSLLLSLTGTACFYSCCYRARLRAQFGLTEEPCADCCVHWCCEHCALCQEYHEIKNRGFDVSIGWHANMERMGKGAPTTAPPQMYQGMYR >Et_6B_048290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10165824:10166538:1 gene:Et_6B_048290 transcript:Et_6B_048290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EVDWRQKGAVTGIKDQGQCGCCCWAFSAVAAIEGLHQITSGQLYVVVNGGISTEEAYPYTAEQGMCQSVQPVAMISGYQDVPTEDEDALAAAVANQPVSVGIDASSSEFHLYQQGIMTADGCGENINHAVTAIGYGTADDGTQYWLHKNQCGETWGEGGYMRLQRGVGACGIGKQSSYPVA >Et_3A_025176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27662882:27665613:1 gene:Et_3A_025176 transcript:Et_3A_025176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPVGHRPRRHPPPSSPVQRAPTPPRPGSAASRRRGRRVRVQSPSLAAARRGAAPHPPPPPPPDTPPVRWPLGAGDAGAPSSSAGPGASVRKIAAALWRMQPPQAPPPGPAKPRRTAEVSLPRDLFQSACSPVESSSKRPHTPDHCQHYKAVIQGRLGNRTTSNAPHEMEGHSAARQIETEVATKWNHQFRRASQSAQYDRFEHDVVDNSGEIYSLKEELMVAQDRIHELESECRSTKKQLDRLLKNLAEEKASWKSREHDKVHHILDAVKEELNRERKHRQWAEMMNSKLLNDLSEMKFAAKRYLQDYEKEKKARMLMEEVCDELAKEIAEDKAEVEAFRSESMKMRDELEEEKKMLQLAEVWREERVQMKLVDAKLALENKYCQLSKLQDELEDVLRSQRGTNLEKGTIREAEKLREAVCSMKTNGVKEFSYVPPPSSEDIFAVFEELKQREDTSEKVIEQCDGNRPKSRASRNHIASPETDVFLENPASRYHSHPRTSNKEAEDDSGWETVSEVEENCSSNSPGESEPSVNGFCGENGASVSGTDWDEYCDNDQAHSEISEVCSTTSGKYRSKRSFAGLWRSSNSADQKKVGAGMLNGRLSNARMSNVAESPSLKNGEVCDSSPSVGQWRPELLNPDIVRAIKGCIEWPRGVQKHSLKPKLLEARTDGSKVQLRQALKQKI >Et_2A_017449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34396683:34400411:-1 gene:Et_2A_017449 transcript:Et_2A_017449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSEFVALAAPWYRMDSKRRRSHSPVEHKEGRDKDYGSSGRNNNSRNLEDPTRPVRGYDSRQTDRNSYGASHESRRHDDYRRYHDKHADDHGRSHSRGSRSDRESRADTYYDRSKRDGMSGRARGDQRDADSRYGKQATARDYQRHDGGECDRYTDARKVVEKRDYRASDKDKEIKEEGVVKKRNGKEIEKEAEVETREKKRSLFSSGPNVGCPEDAKLTSAANEALDNSGGTLDGVNAAKVAAMKAAELVNRNIASFGTGTGRLSTDQKKKLLWGNKKSNPSEETSKRWDLNLFSDRERQEKFNKLMGVKSSAPVQETKVENIDGNSAEAKKLEELDTNLEKHYIAGLRRRDGRTVGLGNSSCKCYCIGKFEGLLCTILSGLFILVARSLTGFFTLPVLSCIMYS >Et_7A_051318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1688438:1693122:1 gene:Et_7A_051318 transcript:Et_7A_051318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQEKATSGVLRNAAALLDEMQLMGEAQGSKKVMINSELWHACAGPLVSLPQRGSLVYYFPQGHSEQVAATTKKTPNSRIPNYPSLSSQLLCQVHNITLHADKETDEIYAQMTLQPVHSETDVFPIPTLGAYTKSKHPTEYFCKNLTASDTSTHGGFSVPRRAAEKLFPQLDFSMQPPNQELIVRDLHDNMWTFRHIYRGQPKRHLLTTGWSLFVGAKRLKAGDSVLFIRDEKSQLLVGVRRAIRQQPALSSSVLSTDSMHLGVLAAAAHAASSGGSFTIYYNPRTSPSPFVIPLARYNKATYMQPSVGMRFAMMFETEESSKRRCTGTIVGISDYDPIRWPNSKWRNLQVEWDEHGYGERPERVSIWDIETPENTLVFSSPSLNSKRQCLPSYGVPGLQVGPANMSLMPRATGHLYGNLQHMPTIGSELALMLLNQSGQNLGNPLNCHQSSFSSIIQNVKHGYIPPSTFGTSIGSIKQEARPSNETQQQLSTPNIQRSDQESYDVQPGIDSISAQELNVAREQRNADSYSSQSISGQNSKGEHRNKTRRSKKGQSQKTISDKSELSSVPSQICDDQQNDSEAKLEAEHANCGNNEDSSGTLTKGNFAGDLQVQHVEQHELMSAPKLESSKSPDEGKSVSSFPNQGCFSQFFEGLDWMTQSSYYQDSNGIQSVSTSENIFDPSADMPSTINGDNMEAFQNSCLSECFPSSIQEFVSTPELNSLTFLSPEMQHLDVQHDGSNLPSTSNSYVQMSFSEESGNQSASLSGLHMEAIHISNTSCSHPMTTEGFDAGMFSKLPNLKESQVLPLQEIHSSSMGTPSCSMDVAEYSIDRSVKPMKPPVRTYTKVQKVGSVGRSIDVTRFRDYHELRSAIASMFGLQGKLEHPGSSDWKLVYVDYENDVLLVGDDPWEEFINCVRCIRILSPSEVQQMSENGVHVLNDCIQIA >Et_2B_019118.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:11305311:11305343:-1 gene:Et_2B_019118 transcript:Et_2B_019118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLQLRDLQ >Et_4B_037602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21745075:21765793:1 gene:Et_4B_037602 transcript:Et_4B_037602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAKFFSVFLAVSVVGWVVFTFAARLLAWFLSRVLSASVGFRVAGFNCLRDVTIRFHKGSIESVSVGEIKLSFRKSLVKLSFGVISKDPKLHLLINDLEIVTRSSSQNKKISKSARHRSTGKGKWLVTSSMARLLSISVTDLMIKVPKGAVDIKELTVDTFKIAGSNHILGVKLHLLPLNLHFGDLGFPADSMGSCNLHDALQSDQASVSNSEKILAPFVCEDLLVTCDFGHEKEKGVKIVNLELKCGSVIANIDERMFHQKLTNSENRNGSVNTGDSVVNTSGIKQASRSKSVLPALKKQMLAFPDKVSFSVPKLDVKFRHMYEGLSVDNNVTGIQFTCAKSMPQEDPDEATPHFDVHIDLSEIHLVREGSSSLLEVLKVAAVASLDIPMDSFLPIRAEIDAKLEGTQCNVMLSRLMPWMRLNSLRSKGMKLSKRESCHEISQTMEIKPILWTCTVSAPEMTVMLYSLDGLVLYHACSQSSHLFANNIASMGIQIHTELGELLVHMEDEYRAFLKENIFGVDTCSGSLMHIARVSLDFGYRDDAQDITETRKLVFSIDISDVRVKFGFKHLESLVLNWMSFRTLFKSLQPFGERSKEKNSEHRGKKKTKGVEILKICLQKFSVTYCGEANIVNMPIADPKRVNYGSQGGQVIISVSADGTPRRATITSVLPGGNRYLRFSAALVISQLSVCIDKEKKTTEAELERAKTIYEEFPEDDSSSVRKSDRRGSIFAIDVETLRVSAELADGVEANLLVQSIFTENAKIGVLSEGFSLSLNGARVVRSTRIQVSRIPLSTGSLHEVEPSSKRDWVVQGLDVHICMPYRLPLRAIEDAVEDMIRGLKLVASAKASILFPDRKEKSKKVKSGATKFGSVKFVLRKLTADIEEEPIQGWLDEHYHLMRNKVCELGVRLKFLDDAISGSLDPNNRSSERKILYDGIEIDIHDTAALQRLQEEIHKQAFRSYYTACQKMEPAEGSGACAEGFQAGFKPSSRRASLLSLSASELDITLTRIDGGEIEMVEFIKGLDPVCQEQNIPFSRLYGSDISVLAGSLVVQLRDYTSPLFSSVNGTCQGRIVLAQQATCFQPQIPQDVYIGRWQKVTMLRSASGTTPAMKMYSNLPLYFQRGEISFGVGYEPSFADISYAFQVALKRANLSTRASPAIQPPKKERSLPWWDDIRYYIHGKIVLYFNKTTWKLLATTNPYEHVDKLQIVSEYMEIQQTDGHVDVSAKEFKMYISSLESIMKNCSLKVPSGVPRPFIYAPSFSLNVIIDWQCESGNPLNHYLHALPFEGEPRKKVCDPFRSTYLSLRWNFSLRPSQAQCDNGSSSSCYGNNPMLCGSVSSSSSKLADAEFPTMNLGAHDLAWVFKWWSLNYNPPHKLRSFSRWRRFGIPRAARSGNLSLDKVLVEFFLRVDATPCCIRHVTLTEDDPANGLTFKMSNLKYELCYSRGKQHYTFDCKREPLDLVYRGFDLHKPEVYLIRDNNLSSVDNVSKVKTTAQQSTGKFVHDRFNLGNLQEKHEDGFLLSSDYFTIRRQAPKADHDRLIEWQDTGRNLEITYVRSEFENGSESDHNLSEPSDDDDGFNVVLADNCQRVFVYGLKLLWTIENRDAVWSWVGGISKAFESPKPSPSRQYAQRKLIEERNAEGSRLVQDATSSIHDSSPSAQHAESSMKHGIFDDFNDEGQLQFAVNVIKPQFNLHSEEANGRFLLAAASGRVLARSFHSVVHVGKEMLEQALGTSNLHIPEIQPEMTWKKFDLSVILEDVQAHVAPTDVDPGAGLQWLPRILGSSEKLKRTGALLERVFMPCEMYFRYTRHKGGTADLKVKPLKELRFNSPDITATMTSRQFQVMWDVLSNLLFARLPKPRKNSLQYPSDDEDVEEEADEVVPDGVEEVELAKINLEQKERERKLLLDDIRSLTGNGDIQSDQCHSAEKGDCLWMINSGKTKLVDGLKIDLINLQKSRRSASSALRKALQKAAQLRLMEKEKNKTPSCAMRISMKIKKVLWSMLADGNTFAEAEINDMVCDVDRDYKDIGIARFTTKYFVVRNCMANAKCDTLLSAWNTPPEKGVMLRVDAKQGAPKDGNSPLELFQVEIYPLKIYLSEAMYRMMWEYFFPEEDDSQRRQEVWRVSTSTGPRRTRRLSSGVDAVTSSSYSVREHDLSGKSGIAVHASTNVSSWQGLHADNSQVSKLQSLKANIVCGSHQELRRTSSFERTWEESAAESVTNNDVVSLVNSAIIPSKGDGNNSMAESTVAGTEMLRSKMKDSKPAKSGRLSHEEKKVGKSSDEKKTRARKLMEFHNIKISQVELLVTYEGSRLAINDLRLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSGKKFSNPRETHDGVVPENDLNLSDSDVGHHGRPDQFTASWLKRPGDGAGDGFVTSIRGLFNSQRRKAKAFVMRTMRVDGNNNEYQDEWSESDGDYPFARQLTITKAKKLIRRHTKKFRPRGQKNPGLALQDSLPSSPRETTPYQSDSSRSSYEDFHD >Et_7A_052568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9398541:9408525:1 gene:Et_7A_052568 transcript:Et_7A_052568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTMICAALQPVCGFINQAGVPAATAKRVSSFTCIKRNLRDLIKAMEDLQAIQKVVRGQVDLETNNLNECHPQVSLWLTRVAIVLVDPIVQECDQLLQPSCICRSTLSLGKRYSLGNRVVETLEYVDRLIKEGSQFETFASKRSPDFVEERPRTQTFGIEPILRDFRKSFDRDDVSTIGVWGPGGVGKTTLLNTFNNELKAWSRDYQVVIMIEVSNSETLNKEAIQRTITERLGLPWNDTETEDARARFLAKALSRKKFVILLDDVRNQFQLEDVGIPTPDSENKSKVILTSRYENVCYQMGAHQSLIKMEYLEKEAAWELFKSNLSTPAIAAIDSPEPNNVVRKYAETIVQSCGGLPLALKVIGSAVAGLTEPKEWSMAMQAAKDDINDLDGIPEMFHKLKYSYEKLTQIQQQCFLYCTLFPEYGSINKDQLVEYWMAEDLIPQNPNKGHRIIRSLLSVCLLESCDSDSEVKMHHIIRHLGLSLAVKQNIVVKAGKSLKKSPPDREWQTARRISLMSNDIRDLGTSPECKDLVTLLVQNNPNLDKLSPTFFQSMNSLIVLDLSHTSITSLPLCSTLTKLRYLNLSHTFIERLPEEFWKLKELIYLDLSVTKALKETFDNCSKLHKLRVLNLFRSNYGVRDVNDLNIDSLKELESLGITIYAEDVLKKLTETHPLAKSTHRLSLKHCGKMRSIQISDFTHMVHLRELYVESCLDLKQIDADPDKRRASCLQVLTLSELPSLQTILIGPSPHHFRNLLEITISNCQKLHDITWVLKLEALEKLSICHCHELEQLVHETVGKDVHGMIEDAYDEYINGYKNMTKSGQIKTIHLLDFPKLKSLVLTDLPKLMEICNPRNFPCLEIIRVEGCPLLKTLPMGQMYDCPKLKQICGSYDWWERLEWNGKETVDNKSKTTTLMKLFKQPFTLAHQNGLPCCLIRFGDCVTSLLCSASACLLISPAFCRFHVSLAACSLPSPMADAIGAAGSCLQPLWECLEGTGMVDAATKEVASFLRLKSNWGDLNKARDSLQAVEMTVRARVTKELDKLNVCDPLVQLWLKHVEELQLDTTIDEDYSQFMKYSCICQCMLLARVVEALAEANKLTEEGRQFKKFGFKPLPEVVERLPQIETFGLESMLTRLHDLLEKGDSNIIGVWGEGGIGKTTLLHAFNNDLEMKAHDYQVVIFIEVSNSETLNTVDIQQTISERLNLPWNEAEGAEKRARFLVKALARKRFVVLLDDVRKKFRLEDVGIPTPDTKSQSKLILTSRYQESSNNAVREPAMKIYQSCGGLPLALKVIGTAVAGLEEPKDWISAADAICKANMDSFDGVDEMFAQLKYSYDRLTATQQQCFLYCALFPEYGSISKEQLVDYWLAEGLLHDDCENGYQIIRSLVSACLLQTSSSMSSKVKMHHVLRNLGLWLVNKTDQKFTVQAGMALDNAPLPVKWKESTRISIMYNDIKELTFSPKCRNLTTLLIQNNPNLNKLSWEFFKFMPSLKVLDLSRTAITSLPDCETLVALQHLNLSHTNITILPERLWLLKELRHLDLSVTVALEDTLNNCSKLLKLKVLNLFRSHYGIRDVNDLNLDSLKALQFLGITIYSEDVLKKLNKTNPLAKSTHRLNLKYCGDMRSIKISDLNHMVPLEELYVESCYDLNTLVSDAELTTSCLQTLTLSVLPSLENVIVAPMPHRFRYIRKLVIMSCPKLQNISWVLKLEMLERLVVSHCDEMQQIIEEVDNEEGSGAQQLKIQAHPYEEQEDGATVEKSPGEQDTNFPKLRSITIRVEDCPKLRDIPLSSTNNFGKLKQVCGSVDWWQKLQWEDREEATHMESKYFIPI >Et_4B_037590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21524196:21526416:1 gene:Et_4B_037590 transcript:Et_4B_037590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEFFSAAAVAAMELGEVMGLQGLSVTVPSPKDGDMGLLRRGGFNQGAAAASYPSPFLDEQKMLRFSKAAAAAQTLPSGLDLGRPNEQAFLLSRTKRPFTPSQWMELEHQALIYKYLNAKAPIPSSLLISISKSFRSSNRVSWRPMYQGYTNAESDPEPGRCRRTDGKKWRCSKEAMADHKYCERHINRNRHRSRKPVENQPRKTSKEAPPAGSLSCAVSQGSLKKAKVNDLKPGTVSYWTDSLNMTMLSREKANKQTEGNTTLMDSMSMQPTLSLLTQLKQQNRPDKFSVTGDSESTSSDTTLKAWGSSNHESNKNISSTQLHDADCLQSVLQNFSLANNEKMESGKNKYPDSMLISSTFYSAEGPRTTCLSPNMTQVQEDCISSSWQMPQGGPLGEILTNSKNNEDLAKKCESRSYGWLLNLDHAT >Et_4B_036128.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26481335:26481812:1 gene:Et_4B_036128 transcript:Et_4B_036128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLARLRLKPPPPRRRPRLPAAFFTAAAVTSAPSADRLLSLLRGCVSASQLPLGLQIHGRAVVSGVLDADPALQTRLLGMYVLARRFRDAVAVFSSLPRDAAASALPWNWLIRGFTAAGHHRLAVLFYLKMWSHPA >Et_3B_030934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:959676:963627:-1 gene:Et_3B_030934 transcript:Et_3B_030934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAESPPPPPPPAAERNMTFAERAVAAAGAAVMSAVLVNPLDVAKTRLQAQAAGVVYNPIWSDFRCYPSCNTGGINGLGPSCSPECFQYRGTIDVFSKVTRQEGIFRLWRGTGASLALAVPTVGIYLPSYDLLRNWIEEYSDHDCSKLRPYAPLISGSIARSLACITCSPIELARTRMQAFKESNVGGKPPGMWKTLLGVLSSRQTINSPQNSRGYHLLWTGLGAQLARDVPFSAICWTVLEPVSYSAVIRRHLTGLVGEKSNAAVILGANFSAGFIAGVISAGVTCPLDVAKTRRQIEANPERVLSMNTRRILLEVWRKEGINGLFRGAGPRMARAGPSVGIVVSSYEVVKHIIHRKHSEL >Et_7B_053538.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8685114:8685281:-1 gene:Et_7B_053538 transcript:Et_7B_053538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKSAIGEFSSKRNKHSQGWHKSSKMISISCRWRYLTTVLMLSSNDMPRCSCSF >Et_2A_014907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21411586:21412110:-1 gene:Et_2A_014907 transcript:Et_2A_014907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGSNICLQKTLYEILSVTEDATYDEIRAAYKSAALNTHPDKAQTTVEPSVPSNEQQEFLSVQKAWEILRHPNSRAEYDKQLQSSRCGDYFSITSCELSEMGVLITKDGDVELQTPDSVSALIVLGCGSCSLKTRLVVSNAS >Et_6A_046085.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:22954473:22954868:-1 gene:Et_6A_046085 transcript:Et_6A_046085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVEYILAYKELPQFEIDLLLELPVERVPFADTELFKTMAADPSVTQDDIDATAAEHEERLDRRVRFREWVRQEYEAKGYVAVSDEYIARRIELEAFSKKLWEQGFADTDEDDDDSNEKEEAGEKGDIAQ >Et_8B_058582.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:21142528:21142992:-1 gene:Et_8B_058582 transcript:Et_8B_058582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding APQVLITRSQVYLVIELAPSGDLVSRITSLLAHMLPERRAAACSCSSSQPSSTPTSAESPPTTIRSRRTCFSTPTATSRSAPSASLHDEGRVHMACAKPAFMAPEMLGRNADAWSCGVVLFALLAGALPLDNANIAHIYRRMQHRLYPSNLKWP >Et_10A_001672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6665249:6667449:-1 gene:Et_10A_001672 transcript:Et_10A_001672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGASYAAQEPREQRQRQGGEEEEKARRADGGKGGGIKFRVSARAPHGVGALLLIGGAVLAGAAVVAWRHARRGKRGGGDESRRGRDRQPAKQEALDGGVVEDGKGGASAMMIDRPDDNPRGEEEKTEIASNGLVNGGVTSEIEEVHDDGEIVADELNSERVEEKIVLDPGTSPIEISIHEDVDSERVEKIDQNSSSKLSEATTQDTDNVEVEKGDENSTKDDPENDDNSTKNNTDNDDNSTKNDIQNDDDSITTHIENDNSSKNDLKEDMIPNDNEDVEASDQSSLSISRPVIVLDKQPSLHTDCAQEAESMENSPTAQLMMHQEQLLDDMTVDTEAETAEAKPTEGTVVDKDVCKKEEQKEIEEPVGLAGSRALPSLAKPAEKKEPELPARIETGMKIEQDYTNGGLKEHGRISKGGALPGGAVTTMDRRSPSMAILVLLFVLTVGIAIAVRIFAPPRATKLQIDLQ >Et_6A_047303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3577896:3607364:1 gene:Et_6A_047303 transcript:Et_6A_047303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEKKLQRPVGAGGRAPSGASSSPPHGAVRVVDTTLVRPSSGGSVPLPPEETSLPLTFLDVFWVPKPPVQRVFFYRLSPDADADAMVANLRDSLSHAVRAFYPLAGRLRLTPGTANRYEPYYRQGDAVAEYDGDHDFDSLVADEPREVAATAPLAPPLPDGGAVLAVQATLLSGGLALGVTVLPAHLGGGCVPACSSGGSSPSPAPPLIDRTLISDPSGLYGVLCPLATATPDNNVKTMPGDKKLLATFTLSRAHLQRVKDAVAAAVPRCTSLVAALGFVWCCYQRAKPRDDDSGSNRTSSLLLPVDHRSRMRPPLPDKYFGNCVGFAFAVASRSKLAAAGAGGLLTACAAVAAGINEAVSGDATETTGVLMERVREAVAMGMLPVAGSPRFRVYDLDMGFGRPAKVHIVSAARTGALAVAESRAGDGGVEVGVCLLLTAGGHGCVPEMTMGAAVPEQVRRPAAGGGTSSAPSSSPAVRVLDTSLVHPSPSGPPEETSLPLTFFDAFWLPTPPVERVFFYRLAPDVDNADAVLANLRGSLSHALGAFFPLAGRIRLAPGGTSANRYELHYRPGVDGVAFTVAEYDGEHDLESLVADEPREVCKIALLGPPLPAGGAVLALQATLLSGRRGLALGVTVHHAACDGAASTHFLHTWAAAARSRLPPPPPSPVIDRTLISDPRGLYDVFCPAAGKSAEEMGFLKMPDGQRLATFTLSRTHLQRVKDAVAAAAAASQGVAPPPRCTSLVAALGLIWSCYHQAKPSGVVGERTCLLFSVDHRSRLKPPLPAEYFGNSVAPAFAIASRSKLAEAGALLTACAAVAAGIEEAVSGGVTEVLMERIGEAVAMGMLPVAGSPRFRVYDLDFGFGRPAKVDIVSVAKTGAVAVAESRAGDGGIEVGVALQPEGMDAFRSCFADALAALDMELHQPGVGGAAAASSSPPVIRILDTVLVHPSPPASSAPPGETSLPLTFFDFLLLHFPPVQRVFFYRLAPDADAVVANLRDSLSHAVRAFYPLAGRLRLTPGTNADFLSLAIAPHPYPTETTATSSTTYDGDHDFDSLAADEPREVAAIAPLAPPLPAGGAVLAVQATLLPSRVGCGLALGVTVHHAACDGSASTHFLHTWAAAACSRSPPLPPPVIDGTLISDPTGLYDVLCPPATATPDDAKTTPGDKKLLATFALSRAHLSASRARWPRRAASGAPRWSPPWASYLRAIPRGDSGNGSSDRTSLLLAVDQRSRLRPPLPDKYFGNCLGGAFATASRSELAAAGAGGLLAACAAVAAGIEEALSGEASMARVGDAVGAGVMRVAGSPRFRVYDLDMGFGRPAKVDMVSVAKSGSVAVAESRAGDGGVEVGVSLPPGGMDAFRNDAVAFTVVECDNNYDILAAEEPREFARLVPLAPRLPAGGALAVNGRGAGAAAAISWSWSWSKSILRFLTTIVSRRPRPGDDSRAPVVVAGRDLPPAHLLGRLLAPHPAIPARLILPPRPRRDVDAVVGNLRDSLSRAIHAFYPLAGRLRLTPGGTYELHYRPGVDGVAFTVAEYNGDDDFDSLAADEPREVARLGPFAPQLPAGGGGAVLALQATLLRRRGGLALGYALHHAACDGAAFTHFLHTWAAEGRRRSSNVDEAPPPPPVIDRTLISSVPRGVYSVLHAAATKGKKVLVKTPDDDQLQLLATFTLSRAQLQRVKDTVAAALGVRCSSLVAALGLVWSCYHRARPLAPTTCGGDRASLGLPVDHRSRMRPPLPDKYFGNCVGFAFAFAPKSELAQADPRAGLLTACAAIAAGIEEAVAGADTETAAMQPSAMRGFLTVASSPRFRVYDLDFGFGRPAKAHLVSAASTGAVAVADSRAGDGGVEVGVALPPERVFFYRLAPDVAPTPWSPITAGLESPSTLRASITKMSGNLVHLVELKRPPPPPAPPVIDRTLISDPRGLYDVFCPPAGKTAEDMGFVKMPDDQLLATFTLSRAHLQRVKDVVDAVVAAAQCMPMAAASEKLLQRPAAGAGGRVSSGASSSSPAVRVVDTALVRAPSSGPAPPEETSLPLTFFDRVFFYRLAADAAVANLRDSLSHAVRAFFPLAGRLRLTPGTGNRYELHYRPGVDAVAFTVAEYDQDLDSLAAGEPREVSKIAPLPDGGAVLPVQATLLLSGGLALGVTVHHAACDGAAAATHFLHTWAAAAAARDRPDSHLRSERPLRRPLPPGDHHHRGRQQDARRPAFRHVHTVQGAPAARQGHGGRFGGAPVHLAGRRPRLRLVVLPPSQATRRRPWAANLPVVSVARTGDGGVEIGVSLTPEGMDAFRNCFADAVVALELLLERP >Et_3B_027989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30068835:30069984:1 gene:Et_3B_027989 transcript:Et_3B_027989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSAWRRASCRAWRTVWRWPTRRRWAPRAWRSVSRRRAPGGVRGRAPDAARVPGRGPQPGEPARGRPRRGPRRGRGHQQRPGAAPRRQGEPRGAQLGAPDHPQAVAQGVRAGGAGRRPQRALLPGPVHGGAALLRGAVRRAGRGAPALRRATRARRAVPLRRRDTERGRVRGRGARGAARARGPVAPAHEPRGIPVHAHQDGRQGAGVAGRERRRRRLHGGRGEGVPRPRMEGQARHRRVMLEMLEATPRHAGRRSGEVYHPALPRECIARMHIIQYCVHAGHYYIA >Et_4A_033743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26297140:26304246:-1 gene:Et_4A_033743 transcript:Et_4A_033743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NALEQLASIDLIELCKEARIEHCRATRDLSSCGRYVHHVLNSCGHASLCAECSQRCDVCPICRTPIPDNGNRVRLRLYYKCIEAGLISKQHDERFQEKEDQINPVNMDVQRLHCLFDVALQNNLSSLICQYITDVCLDESAVSSDPLLAFLLDEVVIKDWCKKAVASLISEMGVIYGSGLEVMESKSSQLQKFAVQLAGISSVLEAMISSFTEAVSAHVNDLHQLFENTLKAKQHVEAMIWCIRHRFLEHISSRYTDFASWSSDVIERKESANKRQWPEIADEAAGFNETNRCTLFIEQALQNLGIEQSYIDNEEEVAIKCLQNEASSSMFSSIITTNQFSINRYPFRNLREAVDMLFLHGASDMVIAKQAIFLYYLFDRHWTRPDSEWKYLVDDFAATFGISSRTVFECLVFCLLDDHSSQALEEACSLLPKICSKETHPKIARVLLERHRPDMALVVLKCTWRDRFSAAETVENDGMASLSEAVTAVRVRIEYGHLTEAFMYHRSYCSRVKEQISADTSHVEDAVKSSWIYHVEVMMTEFCNICIERNYVDKMIELPWDSEEEKHLHKSLFDCASEMPSEPYGSLLVVFYLRRYRYLEAYEVDRSLQRIEQRILETATEEIASKIRTIAQWRECLVAKCLDMLPEVQKETVKAINSGEQSQFARTTKISSPVSAVVKPQNSAIELSSSFTSALQNKSNFHSKNINALTDSGSLIRSNRLEFGPKIPSLLQSRAVSPGTPASNTRSTAGGIFPSVGQNGDSPFLRGTKGISSTKRESGFIKGIKPDHKSLPMYFNLSSGDTPMKEYQTSLMKTEVNRTTLFQGKESVGKGEFQFGSRAEKPFIISGTGVSQNGVSKVFGNAGLQDHIHKSKVPTMENIFSFAKKSSANEATDGKGGSRWRSDESSEDEDEKRTYLESGASLSTRRRPRFSRR >Et_3B_029868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28677017:28678925:-1 gene:Et_3B_029868 transcript:Et_3B_029868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADEEIAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEVVTTVEIDDETYEEIVRTTKRNIAFLFVRGDGVILVSPPLRTA >Et_7A_050963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1291195:1294720:1 gene:Et_7A_050963 transcript:Et_7A_050963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSDVGMGGGGVTGGMVMAPRSVSGTSSTAGPFLSSNTGVISNSSGVGPSRSSSGDVFGSSGTPKYKFVTGSPSDWSDRELSILKEGLVRYAREPNIMKYIKIAAMLPNRTIRDVALRCWWTTGKDRRKKSEGFYSGKKMREMKQMQEKMVTSSPMTNFHMAPANNVTPFSISMQHPNQQCQVPKEAPIVDSATQHLLEENNQLLNQISANIDTLKAEENTNLFLRTSNNIKTILNRMSETPGIMGQMPPLPVPVNEDHLNSLLQLNRMVASYGTTRTSHPAKQEPRS >Et_1B_011852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26504206:26521494:-1 gene:Et_1B_011852 transcript:Et_1B_011852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSAPPNPSPVPVPVPTPTPAANGTAPAASPPKDQQQPQQQQQQGGQEGPAAGEAAEVVDGGGAEAAEAGVAAAGDAMEVDGGVGAADAEAGGGSGAAAGAQQQRSPATVFRIRLKQPPASLRHKMRVPELCRNFSAVAWCGKLNAIACASETCARIPSSNSSPPFWIPIHILNPERPTECSVFNVKADSPRDFVQFIEWSPRSCPRALLVANFHGRITIWTQPSKGPVNLVRDASTWQCEHEWRQDLSVVTKWLSGVSPYRWLPTNSTSSNLKTFEEKFLTQQPQSSGSVQLHWSQWPSQNSAQPRWFSTSKGLLGAGPSGIMAADAIITESGALHVAGVPLVNPSTVVVWEVMPGLGNGIQATAKINATSSVPPSLSPPSWPGFAPLAAYLFSLQDYLVSEGTQTKKLTDNETTEAASIHCCPVSNFSAYVSPEAAAQSATTTTWGSGVTSVAFDPTRGGSVITVVIVEGQYMSPYDPDEGPSITGWRVQCWESSLQPVVLHPIFGSPTSFGGQPPMQTVWSTRVNKSIPPTEDLKNPQTYVPMPTTSDERSSSECSVDRANRLSFDPYDLPNDVRQLAQIVYSAHGGEVAVAFLRGGVHIFSGPNFDQVDSYHVNVGSAIAPPAFSSSSCCLASVWHDTLKDRTILKIIRVLPPGILSTQTKVNSAAWERAIADRFWWSLLAGVDWWDAVGCTQSAAEDGIVSLNSVVALLDADFHSLPTMQQRQQHCPGYVDAVLDLASHFITRLRRYASFCRTLASHAVGASSTTSSSRNMVTSPTNNSPSPSNNQSNQSGATSATGNSQMQEWVQGAIAKISNNSDGATTATPNPASGRSTFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCLLFRRRQAPRLLAQKTQDSAIQKVQHMTNGKTEDNSTTLRPGLGATKVEDGSATRGGQFVLGAKGPEENPVGKSVRIGSGNAGQGYTSDEVKVLFLILVDLCRRTSSFQHPLPASQVGSSNIIIRLHYIDGNYTVPPEVVEASLGPHMQNMPRPRGADAAGLLLRELELQPPAEEWHRRNMFGGPWSEPDDFGPLDNMPRLKISGSGNPHLSDMEEDGNTSFGVQSLWPRKRRLSERDAAFGLKTSVGLGAYLGVMGSRRDVITAVWRTGLDGEWYKCIRCLRQTCAFAHPGAPNPTNEREAWWISRWTHGCPMVLIKWLSGLWAPSFCWKLGPIMGERDNGRKNTVVLFKLVNLKRQNRTISIRQRSSDSRTSVEQNGVGSKVCQSGPEQKEQQLVQARKEAAEL >Et_1A_008039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40283872:40286526:-1 gene:Et_1A_008039 transcript:Et_1A_008039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSAQICWFKEDGVVDFVTGELQTRYRILLPEDTDEALKNDAKYKKDTEDYFKSIRELNDSNSESKGFTAVTMLPRSPSPRCFHLWHQWFRMTNTNPTFQASKLFTAPRPYEYISNSLLQFKSMKFSGDLSRGQTLKIHGFIAVRDNIDKLRNYIFNRSQEHAQVVTQDSPDIWLTSAARGITADCPLLVAYSLKVVKISDESGEEEVLEEVLVDGCFRFEQSPVIGPFDIRFNFLRYAVEATVEVKVNRAVPGYSLTSVTAATCGCGSREEIPLPMSAAAVPPPGRVAALSSSVVAVAKAVVAVELGCQLKLKFEITNISRDEKEGSTGGSSRRSSSQHELLFTSQKRHHTKGAVVMGRMFKVAAKVTWSTMGTAYVPFLHSRRALLGDSDDPCSFSDD >Et_5B_043338.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5052390:5052506:-1 gene:Et_5B_043338 transcript:Et_5B_043338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYYSLPISFRNFPREKSRIGSKIGDNLAEREHRHKN >Et_3B_029157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22573637:22576497:1 gene:Et_3B_029157 transcript:Et_3B_029157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLRASCSPPATVAAASRTLRLPIRGALYVHPLHRRGLSSAAVKTAACIGRSFRTHCLKRVDHLPEPEPEREDDDPDTAASSETDVFDLVEKQLRHQKSFRAMLGVNLNERAVAQIVCARARAALDVASEVMDVAALGLGRIKISQHTTNQMVRTYASIFCDVAEDAYRMKIGTETTISFLVTKLKDGPLKSGIIRRTDIQSQEFDRNVNYLEDETLNIKAQKTVTWMLFDGLQYVRSYIYHLVECLKTALHYLPLKE >Et_5A_042782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4174094:4176210:1 gene:Et_5A_042782 transcript:Et_5A_042782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGASRALSQREQDIQMMLAADVHLGTKNCDFQMERYVYKRRTDGIYIINLGKTWEKLQLAARVIVGIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWDVMVKLHISKLNVADWVDLFFYRDPEEAKEQEEEEALAAPEYGNTLLRQVIPGVVNGELVMPLLHLHQLGLNGLLLQLRLRVYGILLPPLLSRHPLDGNKALPLSRRHPLLTGSRRLMT >Et_1A_009124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3051328:3052224:-1 gene:Et_1A_009124 transcript:Et_1A_009124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKCRGAAGEEVAAVEVTQVVGVRTRSRAASAAGATAGGVAKVVPKKRKALPPATEVAEEPAAVGSGGDGGSCYLQLRSRMLFKAPPPSSPAQRAPTAVEAAGAGQGAALAAVLSRCSSTASSFDASAQERNAACRSDAAEVGGDHVPEGSASNSGCGRDRERRESTPSSQAHGELSDLESDLAGRKSGRSLPAATSPVQRVTPPAAEIEEFFAAAEAAEAQRFASK >Et_9B_065792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1228653:1231828:-1 gene:Et_9B_065792 transcript:Et_9B_065792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGHAVIDIDAAADDGDVPLRPVAPPVPYLLSFTDLSYSVKKRGGLGCLPSRPSNRLASTDAPPSGNMKTLLDGISGEAREGELFAVMGASGSGKSTLVDALAGRIARDSLRGAVTLNGEPLHGRRLRAISAYVMQDDLLYPMLTVRETLLFAAEFRLPRALSPEKKRARVDALVDQLGLARAADTIIGDESHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSASAFMVVQVLRRIAQSGSVVIMTIHQPSARILAILDRLLLLSRGRTVFAGAPAGLKPFFAEFGAPIPDNENPAEFALDTIRELERADQQDGAAALAAFNARWQQQAMASAANNREKKVVETMPLELAIAQSVSHGKLVAGSGDGGGGGAVSGSVPTFANPAWTEVWVLIKRSFTNTGRMPELFIMRLATIMVTGFILATIFWRLDDTPKGVQERLGFFAMAMSTMFYVCADALPVFVQERHIYLRETAHNAYRRISYVLANSIVAFPPLVLLSVAFACTTFWAVGLAGGGGAFAFFVAIVLASFWAGSGFVTFLSAVVPQVMLGYTVVVAILAYFLLFSGFFITRQRIPDYWIWFHYLSLVKYPYQAVLQNEFGDAARCFSRGIQMFEGTPIGGYPEAVKMKVLNAINGVLGNNLTANTCVVTGADVLAQQGVTDIGKWKCLLVTVAWGFFFRALFYVVLLVGSKNKRKRVFFFTMIKHDRVNGYILACTVNNM >Et_8A_057909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9255968:9256733:-1 gene:Et_8A_057909 transcript:Et_8A_057909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDQEVAGKFWSKVSESEGEDEDLGFADPVPKPVEPVVIPEVIASSSEPKWSTVTRKKGRRKEVFPRQYGITWPWGRAEYRHRRLVGLPSRIQRRRRIDFKFRIRNLLQGALDRLGHQQAQLSQAVNTRARRSRCVCLLREFPVESLSPETDNNLTYRRRWLAAALGVLRLGVLGAMVVEKSGGMSIQAFEASSRRGVAEARGHRPRWEGMLIPGAEGAEH >Et_7B_055767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:402886:403761:1 gene:Et_7B_055767 transcript:Et_7B_055767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAGGRSRRGADKKAPSAAAPSPPPPAKTILKRLPPTDLASLASAAPPLAVFGGWDYEAQLRELQRQREWYLLNTATPDPYFPLLAPDMEDPQRRLWSPRPSRCRDCEAAAAAMREPAFHWCVRDAVVTVGFRSHVGPIERPVKKSPSPPPQPTMPAFLGMPVVY >Et_2A_016962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29947967:29949014:1 gene:Et_2A_016962 transcript:Et_2A_016962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IRSLSSMSLLSKLRLITVDVTGTLIAYKGHLGDYYCMAAKSAGMPCPDYKRVHEGFKIAYTEMARQYPCFGFAAKMPNIDWWRTCVKNSFVKAGYDYDDETFEKIFRRIYSAFGSSAPYSVFPDAQPFMRWAREKGITVGIVSNAEYRYKEVILPALGLNQGSEWDFGVFSGIVGVEKPDPKIYKIALEMAGNVAPEEALHIGDSMRKDYTPARSIGMHALLLDRFKTADAESWRQSGATVLPDLVAAQELLTRNEKEDSPKKNEGEETIASQVLKRMSERL >Et_7A_052562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9288802:9290872:-1 gene:Et_7A_052562 transcript:Et_7A_052562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLACFVFLLCASLGAANGVYGRVGAAATEESRRLQLHTDGSRGAAHAWPGYLYTRAVGRCTPQFWSSGAEAWPNIAPQEAAVAKVFGSRSVERYGPRLTLLEATMRTDDVGGGSFVKLVKQGSAALLNAYTRRGFPFDSWEVKALLLEALVSEEAAAVQAERFEQANESCI >Et_8A_056281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19537770:19539281:1 gene:Et_8A_056281 transcript:Et_8A_056281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQPFVRISVGQLGLKLPGGGDATKASRLCHCEIRLGTFPAQTAPVPLIHAPDFISLDPFTNAAVFSLDESELRALATPGCFFRPPPRPCLEVAVYMGRQWRGGRCGVAVVAGRRRRLVGVFRVEVGSAEWRDGKPVLLHHGWTGVGGGKGETRPELHLRVKMEADPRYIFQFDDEIALNPQVVQVHGSVRQPIFSCKFIRDRRPSQSDALGGQYWSSSGEEKETEMVRRERKGWKVVIHDLSGSAVAAAFMATPFVPAPGGDTVARSNPGAWLIVRAGTTTAAAGPSSESWQPWGRLEAWREPPASPAKSNKEDTVRLRLHLLPEHRDDCVLVSEAPLSSDRGGEFSIDMDRQAPAPAPATGAAAAAADHCAASLGAACAGGGFVMSCRVQVQLATRHVACMEDAAMFVALAAAVDLSVKACRPFRRKTAAKKKIGAPCPDPLELDT >Et_9B_065644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8582271:8585495:-1 gene:Et_9B_065644 transcript:Et_9B_065644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNHEPGAISMDGFKVVVPAHPLISHWVSVLRDQSTPTHAFRSAMGELGRLLVYEATRDWLPTVIQEIQSPMGAAIVKSVNQMEPIMIVPILRAGLALADLATSILPSTKTFHLGMARDETTLQPSVYLNKLPDKFPKGCHILLVDPMLATGGTVSAAVDLLKERGAEINQIRIISAVAAPPALKKLNQRFPGICVYTGAMDQIVNEKGPRTWGCWRSQLWNMTQTGMEAKQRQRIDMSL >Et_1A_005377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:170230:171736:1 gene:Et_1A_005377 transcript:Et_1A_005377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGMGNPVNVGIAVQADWENREFISNISLNVRRLFDFLIRFEATTKSKLASLNEKLDILERKLEVLEVQVSSATTNPSVFN >Et_8B_060248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:811515:815009:1 gene:Et_8B_060248 transcript:Et_8B_060248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVDAEYLRQIDRARRELRALISVKGCAPIMLRLAWHDAGTYDVNTKTGGANGSIRFEEEYTHGSNAGLKIAIDLLEPIKAKNPRVTYADLYQLAGVVAVEVTGGPTIEFVPGRRDSSVCPREGRLPDAKKGAPHLRDIFYRMGLTDKDIVALSGGHTLGKAHPERSGFDGAWTKEPLKFDNSYFLEMLKGESEGLLQLPTDKALLTDPEFRRYVELYAKDEDAFFKDYAESHKKLSELGFTPRSSGPAKSELPTGVVLAQSAVGVAVAAAVVIVGYLYEASRKTK >Et_3B_030318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32179274:32181020:-1 gene:Et_3B_030318 transcript:Et_3B_030318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSYSAVAGETAVAAWDDQLQLADVQAVVGDHDEQEAGVPVIPPEEGFAVEEPPVDEAAAAERQAEIAGLLSRFGQHLHDRTQHHLGYPYNLDFDFSLLARFQSFCINNLGDPFIESNYGVHSRRFEVAVLDWFARLWDLNKDQYWGYITTCGTEGNLHGLLLGRELFPDGIMYASGESHYSVFKAARMYRVECVKIDTLVSGEMNCTDFKSKLLQNTGSPAIVNVNIGTTVKGAIDDLDRIIRTLEECGFVGRFYIHCDGALAGLMMPFIKQAPKVTFNKPIGSVSVSGHKFMGCPVPCGVVITRQEHVNVLSTNIEYLSSRDATIMGSRNGHAPIFLWYTLNKKGYRGIRKDVQRCLRNANYLVYRLKEAGISASLNPFSNTVVFERPKEETFVHKWQLACEGNIAHVVVMPNITIEKLNDFVEDLAIERAIWYQDEGCAAPCIAKDIGHENCLCGDHNKKARMS >Et_1B_010007.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17868074:17868691:1 gene:Et_1B_010007 transcript:Et_1B_010007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGASAAAAAAPAGGTEGGEAFWTACPHCCYVHSYPRLYLGRRLRCPTPACRRAFPATELPSPPPIVPGSDMYFCTWAFFPLGPPSGPDGRATFTPFHPFNPPPSPSPAPNPVAAAAAAATTPVRAGPTSRRKVGVCLKGRARVEAEEEEEEEEQAAAINAEAEAEADALGEKGGCGFDINEAVDLSELGLRVDEMGVLHDLP >Et_1B_014105.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30900950:30903016:1 gene:Et_1B_014105 transcript:Et_1B_014105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRHAGLPAAAALALLLLASTARAQQEYEANKQNACYATNGSSVLGYACNATTASAPSCDAYLVYRSAPPLYASPVSIAYLLNATPAAVAAANSLAAVSQLAATQLALVPVPCACTLGGYYQRNASYTLLSQGGGPGAEQETYFIIANITYQGLTTCQALIAQNPRLDSRSLVPGDNLTVPLRCACPSPAQAAAGVRHLVSYLVTWGDTVSAIAARFRVDTQAVLDANSLDDTENIYPFTTLLIPLKSPPTPDMLAAPAPPPPQPASPPSGGSGTGKWIGVGVGVGCGVLALAAIFGLLLRSRRQRRQRSGDGESGRPAKVATDFSSSAEYGALASGKQTTTTTTTTSSSSWGTRPLAASDVRGAVESLTVYKYSELEKATAGFSEERRVPGTSVYRALINGDAAAVKRVAGDVSGEVGILKRVNHSSLVRLSGLCVHRGDTYLVFEYAENGALSDWLHGSGGNGNTNKTLRWRQRVQVAFDVADGLNYLHHYTNPPCVHKNLKSSNVLLDADLRAKVSSFGLARAVPEAADGGAQLTRHVVGTQGYLAPEYLEHGLITPKLDVFAFGVVLLELLSGKEADGAGEEEGRLLWEAAEEALAGDGGGEEDVVERGKVRAFVDPRLNGDYPLDLALAVAALALRCVAREPRARPSMDEVFVSLSAVYNSTLDWDPSDYGTTGGSSIAGR >Et_3A_024633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22809767:22815297:-1 gene:Et_3A_024633 transcript:Et_3A_024633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEPSVTRWTFEDFEAYYEALLGLRREPDGEDDGEDRNVQPRGSVPAAGSSRTAAARANGGADVAVFEQFERMERKVELRNGAMEDGPPQKSLLPSFESAETRNLAETLLRDIIRGSPDVKWESIKGLETAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTDDLVFVLAATNLPWELDAAMLRRLEKRILVPLPEPDARQAMFEELLPSTPRMEIPYGVLVEKTEGYSGSDIRLVCKEAAMQPLRRLMAVLEGRQEEVPEDELPEVGPVTTEDIELALRNTRPSAHLHAHRYEKFNQDYGSHVIS >Et_1B_009830.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30668895:30671121:1 gene:Et_1B_009830 transcript:Et_1B_009830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASDDPPGATAARDASLHFLALARIASASGPATTPSTASRTASRVSGRARRRTPIRSSSTRNLASVHWSPHCGNATTGTPAATVSSVEFHPQCVRKQAVAGCASTRSCGLHGTTIPRSPTAPSRNPSGSRGRASGRTTHRNGRPVDASPCAISATSSADSTTTLPTDAYTTDRGARASSQPTQSSAALIRSPRTAELLCSSSSSSISGPTGMSCGGRGFTVGSAATTSGSSSAKELTSTHDALSMVRNCVMIVSASSLNGLDGSRNDGTSATDIGDGSPGSANLASTSSSRGNSTRPCTWWWYSENTVHDCTHSTAEGTPAPAATSAAHGMNGFTTTHATGRPSSACRARSFSNSSLHVVSR >Et_2B_021395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29306851:29313763:-1 gene:Et_2B_021395 transcript:Et_2B_021395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDANGAGGASSASPSEPAPPPPQQHKGKGKKKDEKKDDDLSEEDQALKEKLELYVVRAQDSDPGVQKLALESMRNLAGEIAQEFQKLQDEDVPIDKSLMELVQQIVSFHMKHNAEPEAVDLLMEVENLDLLVEHVDATNYKRTCLYLTSSSKYLPAPDDMLALKIAYEIYLKFGDLASALRIALLLDNKSQYVKQVYTATDDLLLKKQFSYIVARHGLSMEIDDEIAADENDKEALQEIVYNTKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSGGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDTHVVAGALLGIGIVSCGVKNDCDPAFALISEYISRDESIIRIGAILGLGIAYAGSQKEELQAQLSAILADSQTPLEVLVFSAISLGLVFVGSCNEEIAQSIIFVLMDRSEAELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRKYCDVTLMSLAYAGTGNVLKVQNLLGICSEHLEKGETHQGPAVLGIALIAMAEELGADMAVRSLERLLQYGEQNIRRAVPLALGMLCISNPKVNVMDTLSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAGHLFCVRIAQGLAHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILVLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGKPKTITGFQTHSTPVLLAAGERAELATEKYVPLSPFLEGFVILKKNPEYHED >Et_5A_041238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:252753:257850:-1 gene:Et_5A_041238 transcript:Et_5A_041238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDGEYKQMIDQCIGNIQCEMERDSEFTLDIKMMNWQNSNGHAYQEEDFTWPSCAHSEEEKDEHEELWKEMDYALATLAFLEQNQVLDSEAVNEGDADLANKGEEQCRHDCILYEKLGLTCRLCGVVCIEAKHMFPPMFFGKVCDRPAWSNLGQDGDVLDSSFLQICEPEFSQFKGSGNVWDSINDLKPKLYAHQRKAFEFMWKNLAGSLQLEEMDNSTANRGGCVVAHAPGAGKTMLLISFLISYLKVHPRSRPLVLTPLAAIHTWRREFEKWDISLPLHVLHHSDCRAKPMGTLDLKLQAVLKNFHQPSRKMMRIMDCLDKLRKWHEQPSILLMTYSSFLALTKEGSEMQHREFMANVLMNNPGLLILDEGHNPRSKISKLRKLLMKVKTEFRILLSGTVFQNNFEEYFNTLSLARPRFVNDVMTTLAPEKGEKDICIRSGKHQEALARRVFVERVGQKIESSSKHDRIDGISLLNKLTCGFIDSFEGTELNGPPGICVYTLFMKPTDIQEEVLAKLTMPVSGIARYSLEVELLITIASIHPWLIKTTKCASTYFGEKELARVERYKKDVTVGCKAKFVIDILHKSSFRGEKVLIFCHNVSPINFLVKLIEVVFGWRLGEEVLVLQGDQELPVRSDVMDKFNGDRKGKRKVLIASTTACAEGISLTGASRVVMLDSEWNHSKTRQAIARAFRPGQERMVYVYLLVASGTWEEDKYNSNRRKAWTAKMVFFGRYFDDPLQDPVTEIDDEVLKELADEDKSNTFHKIVKQD >Et_3B_028028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32372279:32372905:-1 gene:Et_3B_028028 transcript:Et_3B_028028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QAAAESGGGLVGEQAAAAAAQGGRRQEAASGVDAAAAQAVRGRGGAPGDQERGAQDDHAADERGRPHQGERRQPPAKVQAVREADAGPLQRGAFPVRPHLRVHAGAAQPRPRAPGAGARAHALRRHGAHESASSSSSSERRRRIQQWPLLLLLPAAVPPRQQITKSLLRSPTNRRNGTACSFTSPPSGAE >Et_7B_054297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19473820:19477066:-1 gene:Et_7B_054297 transcript:Et_7B_054297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCRSTTTRQGDVTQLRDPRTCGGGRRGGMGLAQPIFPFLKKIYVVLAVVDVSSAIGGEFRPLLKMGYAWVDGRLTDEQMQVSKLVVAKCGGFFEAISAIAKYCDGRFYEVIPAVAHCCNDYMVLMFEMLKHVNDHFMYTLETDVKGFKNLRGLLYWIQSYLETCKDSLKPCIFYLPVFPTDHIIRRRRLLRRWIAEGYSRDTPGGTAEENGERLFSELVDLSIIQQPSSKSLCRVNGFFHEYITSRPMEDNLVFALEGHCEQNSQRVGQHLSVRRSWDRNSSVFESTDFSRLRSFTIFGEWRPFFISTNIKMRLVRVLDLEDTEGVTDDDLEHIGELLPRLKFFSLRGCREITRVPNSLDAMKQLETLDVRHTSIATLPQAIIVKLRKLQYVRAGTTAPWDEGGIMVTRQPAVTPAEDSITGAAAPVVPPAQDSTTVPAQDVATSAAAPRSRPHTLLRRWLSKTCYRRRLDQDTTNDCVVVSEGFGSLTAMHTFGVVNVGAGKAIIKEIMRLTQLHKLGISGIDHDNIHEFFSAISGLSHLWSLSVRVQPDKNKQGLFASIDDTMSPPPKTLKIFKLLGHVRLLSGNWIKQLGNLEMLDLEAVIQTQEDVEILDAVPNGKVLYRRMCVRPIHVSELDVRNCQFNVLEIECTSELKVILGHRRSHFRVEVLKIHCTSGSPLQLSVGNLYFLKEVWLKGSYGDALKQDLQRQLSYEYRFEQKPVLKLLEPRSS >Et_1B_012697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34541394:34544213:1 gene:Et_1B_012697 transcript:Et_1B_012697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAARLVSRSRQAGPSLSVDLIRWRLPRRARVRPSLRCVDVGSMLPMWSIDRNLCSAQAVLVNGGANQVRSYAKEAAPSDRPPVNGDDLLKGIFFEVKKKFETALGVLKKEKITIDPDDPAAVSRYAQVMRTVREKAGLLSDSERIKYTIETFTKGIPDARTYLNTLQEIRVKSGLIDHLGIEPLMMEALEKVEKDIKKPLLRSDKKNMAILLEEFDKINKKLGIRKEDLPKIEEELEMEIAKSELTELKKECVEAMETQLKREEFKDEQMPDVRKLDIRNFL >Et_1A_009026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25924354:25926088:-1 gene:Et_1A_009026 transcript:Et_1A_009026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMTLPPGFRFHPTDDELVGYYLKRKVDNLKIELEVIPVIDLYKSEPWELPEKSFLPKRDLEWFFFCPRDRKYPNGSRTNRATVTGYWKATGKDRRIACDGGVYGVRKTLVFYRGRAPGGERTDWVMHEYRLCQDLAHGASNFIGGYALCRVIKRSEAGLLQGEPKAKASGAARAQMSKVPSNSSLGSIDQLSTFTSANSGSPPLDSSRGMCTVAESSNNFQNSFSYGGDLCDMTAATNGIMPPAPPLFAPSHSSHQMWAPPPEDMFFLGGDDLTAVAESRPHDAPFFDMGSVSEHELKWDDLTTYGTNTFSTAADMLCRQASDGVDDLAAFYFSEENRFVF >Et_6B_048277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:611700:612182:1 gene:Et_6B_048277 transcript:Et_6B_048277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILRRRHVASSLVLVLRLLVLLGRRRKKRAAAERADGVAAEPGDDAVVMEGVVAGHGAELVVGVQVLEADGALRLQQLGGRHLPQAPMDGGVGDGDDASALVGRGAIQLR >Et_5A_040250.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:5115736:5116031:1 gene:Et_5A_040250 transcript:Et_5A_040250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAARLLEEAAPKEEYPHPEVPELPKPELPPHPEVPELPKPELPPHHEVPEEPKHEEPHPVMPELPKPELPPHPTVPELPKHEEPHPVVPELPKPEE >Et_4A_034234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31084171:31087259:1 gene:Et_4A_034234 transcript:Et_4A_034234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKDFRNHLEETLPAWRDKYLAYKALKKLIKRLPPSADVPPPPPPPPPPPPLHDPAAAEGDEGGGHGVGQGNVALGDWFARILDMELNKLNDFYMEREEWYVIRLQVLKERIERVKAKKNDAFRSRSEFTEEMLEIRKDFVIIHGEMILLQTYSSLNFAGLVKILKKYDKRTGGVLSLPFTQRARHQPFFTTEPLTRLVRECEANLELLFPIEAEVLEPGSSAKLESHDDAGGCDPTSSCDAETSDVYRSTIAAMKAIQGLRRASSTYNPLSLSRFFNGEDGEACSGAVTSESSLSDSSTDSQIQDAEKDDKEVQSREQNPAQRERNAGGEPRDE >Et_5A_042033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5477553:5478348:-1 gene:Et_5A_042033 transcript:Et_5A_042033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEQRGEWTVAGMSCNGCRVLRKGCSEECVLRPCLQWIEAAEAQGHATVFVAKFFGRAGLMSFLTAVPEPQRPAVFQSLLYEAAGRTINPVSGAVGLLGSGSWHLCQAAVETVLRGGAIRPLPEFDVGGLSAAADERLEPFAFTARRAVGCSTFSAAKRVTTRNIVAAHHDAVAAPVPEPSCDLGLWLSPESPPALVERRPRRPGTPSMNSEDSVTTTTTSSGGGREPELLNLFV >Et_10B_003680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4808762:4811005:-1 gene:Et_10B_003680 transcript:Et_10B_003680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLAPLGVLLLLLGLAAAATASDAPFVVAHKKVALSRPKPGVERLAVSVDLYNQGSATAYDVTINDDSWPTEAFELVSGEKSKTLERLDPGATASHTFVLETKTQGRFQGSPAIIRYRIPTKTALQEAYSTPIFPLDILAERAPENKFEWRLVAKYGALVSVVSFVGLFIYLVASPSKSSGKSSKKRR >Et_3A_025521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30400245:30402030:-1 gene:Et_3A_025521 transcript:Et_3A_025521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEERDRRVLLFACRNCEHQEISDNNCVYRNEVHHSAGERTQVLQDVASDPTLPRTKTVRCTQCDHGEAVFFQATARGEEGMTLFFVCCNPDCGHRWRE >Et_6B_048735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13045012:13048797:-1 gene:Et_6B_048735 transcript:Et_6B_048735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDLSRSRSPPRRRRRSPSPRYRGRMGRRDRSPSPVRSRSPYRPSYRRKSPSPSPRRHKSRSISPRRRKSRSISPRRRKSRSPTQRRYRRKRSRSITSSPIRKSQSPHHGSAENKNAIDKQRLEEEKKRRQKEVELRLLEEETAKRVEQAIRKKVEESLNCEEIKQEIQRRIEEGRKRIHVEVAAQIEKEKEAALIEAKEKAEREKREREEQEKRLEEERKKAEEALMKEAMEQQQKELERYQELERLQKEREEAMKRKQMEEEQQRQNQMKLLGKNKSRPKLSFALGMK >Et_4A_034263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31241126:31247366:-1 gene:Et_4A_034263 transcript:Et_4A_034263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAATADGGAFLEFVDYAISVISSSSGDGDGYESPGDGPAPARPPWGWAVAQVLKSCRAYSSGVTAAILLSDLFQFWTEQRKSLTSKRKVELTNLLNTRSKRRRLPNTITIDSIHEKNFLSPKSVLEAVVIDVFVLPGTNIYMLTLGDMWSASTIDLYLHRRYYDYIGEHGILKKGREVMLTGCCLRTAMEGSGHARILPTEYVVMLLDEDKDEDAMLLAAQFCTYSFSSMVLEESRNDVPYSFYARIEKIESLEPFRCTERKQIILVDDDDAKMKFILWGEQVSLANLFSVGSMLALDRPFIANVVDNDHEESQEPCLEYGSATQVYMVPIAQQEEQVLLTPTQVRSQGPRLSCVPMDSVGSQITLPRDMHGSVDFSKYPFRGYVSDLHDKMVGVSLFGTVTSVCKASTSGTTFYLELEDITGVVLMKLIFTGPWSLGRVGVGHMVYISGLTCTLSSTRIREVSWREKEPGSLFVNLSLLPALLNSPCLHKLSLLSDLPHSSNRTHICRVRLDHIDCNSLKLLLLHNVCGCIVNEHSGGRQCSFCKCDCQRGCIHGFRVNLTIADDSEKIFAWCVGQTAVEFLQISPDEYLELPEDERVMYLYTLQNESFVVAIANTSKRVDECATDDETLLVWEITRAQKCE >Et_3B_027780.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18941753:18942001:1 gene:Et_3B_027780 transcript:Et_3B_027780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWWNMLSFMQLPQRFFVDKLGIFDTWTQLRHELSSPGKASTQCSCSCLGSCGRNGTTEYSEPKPPPWTTSCEASRRGRGLG >Et_4A_035652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32495304:32497640:-1 gene:Et_4A_035652 transcript:Et_4A_035652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHGTTIPRMAAGVTKTKPDNFTPRIVEKTDDYIRVEYESPIFGFVDDVEFWFPPGNKPIVQYRSASRSGFIDFSANKKRVKTLQHTVILLNFVSCHNAVSVVRQERPRGANRRIETGNEIS >Et_4B_039030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7122629:7128702:-1 gene:Et_4B_039030 transcript:Et_4B_039030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPQGAMATGAGIHAVPCSAGRSKRREQRRGSASLSVRASTDANTVTLLDYGAGNVRSVRNAIRHLGFDIRDVRSPEDILAADRLVFPGVGAFGSAMDVLNSTGMADALREYIRQDRPFLGICLGLQLLFDSSEEHGPVSGLGVIPGVVRRFDSSQGLIVPHIGWNALEITKDTQLLQGADGHHVYFVHSYHALPSDANRDWISSICNYGGSFISSISMGNIQAVQFHPEKSGATGLSILKNFLSPNSSAKVPARRKASKLAKRVIACLDVRSNDNGDLVVTKGDQYDVRDNTSSKEVRNLGKPVDLASQYYIDGADEVSFLNITGFRDFPLGDLPMLEVLRCASEKVFVPLTVGGGIRDFKDANGRYYSSLEVASEYFRSGADKISIGSDAVFAAEAFLQTGVKTGKSSLEQISKVYGNQAVVVSIDPRRVYVKSPDDVPFKTVKVSSKGPSGEEYAWYQCTVSGGRDSRPIGAYELAKAVEELGAGEILLNCIDCDGQGCGFDIDLVKMVSDAVTIPVIASSGAGTVKHFSEVFEKTNASAALAAGIFHRKEVPILAVKEHLIDAGVE >Et_1A_008358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6935758:6937656:-1 gene:Et_1A_008358 transcript:Et_1A_008358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPSCGTLLQIDPGTGSHRLRFFCPTCPYVCAIQNKIVRKARLVKKEVEPIFSGDDAMKLAATTDASCPRCSHGKAYFMQRQLRSADEPMTVFYMCCKCDYNWRED >Et_5A_040441.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:22584597:22584869:1 gene:Et_5A_040441 transcript:Et_5A_040441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQTLHTREARKRYAGSSGGMRMRISAMASALSSGGGSRGFGWGFGGEWPTSFAARFGSFVADCIRGPLGLFERWNLDGWGPSTSAVFP >Et_7A_052537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8989463:8990305:-1 gene:Et_7A_052537 transcript:Et_7A_052537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGVELKGCVCRIKNCAVELFSMEEDLVMDDEDSWDLVGQDLRLKATFLYIDLSRVISCYESEEHKKAVSALANRFFYSMDELGDAVKSRSVPLTQVCYSDTTDALREVVAALVPTLPLGPHLEE >Et_4B_038507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29560158:29560914:1 gene:Et_4B_038507 transcript:Et_4B_038507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPAAGSLAGASLPLHLSARPQPSSRVTLLPRASLPPRRLSLGRPAQLSARSRSVRCLASLSPEMRTTLDKVVGSHKVVLFMKGTKDFPQCGFSHTVVQILRSLDVPFETLDVLANEALRQGLKEYSSWPTFPQLYIDGEFFGGCDITVVQYLYANAKMAAAMSFSALMSALSPLRSAGLSRRLTGADGPAAASC >Et_1A_007117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3128777:3137025:-1 gene:Et_1A_007117 transcript:Et_1A_007117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDRDALKWVREGQGAALHSHDRMDAIRAVRGAAGRGSLGMPPPEKFRSGHIPRAAVPLSRGSLRSDDGSAASGSDMDESSDTDEVEVCSGRYSVDSSPRLDDITRRTAVPLYRYATVPGQQHYYSTDDGYSDLSSSRDTALPRAKTQQTRGAQARIVGHVEEEYSDSAGSSEFSSQVEGRSNGVTSKGGYASEYSHTGPARREVNNVVPKARAPAAENYRSNAPLNSTNHQPESYSAHVPVRVDGKSTPKTDGLSDVPSAPPIHDYDQVHSTATHPNTNLSDGLAAKKDEHHEANDGANLHDKNKSTVNAGHTGRPSSSIPLRIPTFHASLQNVLLQSEEELMAKRTSELVSEGAASKPKKTIGKMKVQVRKVRMSVDMPSGCSFSSLPVVKLDTVRHRLSNVQSTLSSGWESVRRVRVLPQLPANSSFSKHSLAYMQASAQYIKQVSGLLKVGVTTLRSTSTNEAPQETYSCQLRLKSSPEDDVVPLQPGSGETHVFFPDSLGDDLIIDVSDSKGNPCGRVVAQVVTMTEDPADKLRWWSIYREPEHELVGRIQLYIHYTTASDENNMKYGSVAETVAYDIVLEVAMKAQHIQQRNLVLHGPWKWLLTEFALYYGVSDAYTKLRYLSYIMDVATPTADWLNLVHELLLPILMTNHGTATLSHQENRILGEVEEQIEQTLAMVFENYKSLDESMPSGLIEDFRPPTGLAAAALEPSIKLYTLLHDILSPEAQLRLCGYFQAAARKRSRRYMLETDEYVAGNSEGIRMDVVTVTTAYQKMKSLCNNLRNEIFTDIEIHNQHILPSFVDLPHLSAAIYSVELSNRLRAFLVACPPAGPASPVSDLVIATADFQKDLASWNICPIKSGVDAKELFHLYIVLWIEDKRRMLLENCKLDKVKWSGVRTHHMTTPFVEQMYDLLKNTLTEYEVIICRWPEYIFVLENAIADVEKAVIESLEKQYADVLTPLKDCIAPKKFGLKVVQKLTKRNSTVPYSVPEDLGILLNTMKRLLDVLRPRIENHLKSWSSCIPHGGNTAAIGERLSEVSVTLRAKFRNYMQAVVEKLSENTRMQNTTKLKKIIQDSKDLAIESDIRSRMQALKDQLIEAINHMHKVSEVHVFVAICRGFWDRMGQDVLSFLENRKENKAWYKGARVAVSVLDDTFATQLQQLLGNTIPQKDLEPPRSIMEVRSILCKDAPRPKNSSFYY >Et_2A_017887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7500477:7504500:1 gene:Et_2A_017887 transcript:Et_2A_017887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIAIRFMRLDPLFAFIYERPIMNDMPASLASVSSCSSARTSLSTCTFSGSTNLTLFDLDNNASNFVSLWSDDSDNPSKSPERMNSAILLTKSFSNSRESCCTSRKWKSMTRYLMKCWKIGDKVGENGRNPRYQCHTHITIKKMRERVIGTQAPSWNFTSVAEKGEHAVADDHGSSEQREQQQQPLQALTLLQRRAHARRRRRGRAAALLHEVVVAAAGKDSRADATAQERVEREGAALAVVVGAEDDEHVLEEGDEGERPEHEGQHAVDLLVALRVLDVAAGEGALVHVQRRRRHLAVHHAEALVGQHQLRPP >Et_7A_050472.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:17545617:17545805:1 gene:Et_7A_050472 transcript:Et_7A_050472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATMVKAVLLLLFVVQILSGLAVAARPLERAGLTAGNGIGMVTDLLHAAKSGPSSHTHCC >Et_1A_008554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8792296:8797122:1 gene:Et_1A_008554 transcript:Et_1A_008554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQSISPASASAQFTYPAAAVAAASTPSYFPVPFHLQNAQYTTWPATTPAVPAVAPVPTYNAVYPMPQVQQAQQLFQKDSNIITPEALATVKAAIANSDKDKKAVTEATKKAVPRKAAGQSWEDPTLADWPENDFRLFCGDLGNEVNDDVLTKAFSKYPSFNMARVVRDKWTGKTKGYGFVSFANASDLAAALKEMNGKYVGNRPIKLRKSTWKNRIDFEALEKAKTQPQKKIKVQKRSVLHKKQLYEAFISSRPTMACKNSKGYTII >Et_2B_022924.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:8443542:8444006:1 gene:Et_2B_022924 transcript:Et_2B_022924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKHAVAAVVGASKEKVAAKLEVFKETLGCSETQAATVVSRLPAILGVSEAHFRRKIRFLVNRVGMEPRYIVERPVLLGLSLEKRLVPRYCVMNVLQAKGLMNGNMSFYTIAAMKEETFKSKFVDSHKESVPALSDIYAAACSGDVPPRSPTLT >Et_6A_047203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26780810:26783810:1 gene:Et_6A_047203 transcript:Et_6A_047203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLREHLKRLAGIDLQILSAQITQSTDLAELLNQQPWLSTTKLVVKPDMLFGKRGKSGLVALNLDFDQVKEFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTIFLPTEKPMTPDACAPLIATLPLEARGKIGDFIKAVFTVFQDLDFSFLEMNPFTMVNGEPYPLDMRGELDDTAAFKNFKNASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPKEEEVLHYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFNGIIRALREKESKLKASRMHIYVRRGGPNYQSGLAKMRKLGAELGVPIEVYGPEATMTGICKQAIECIMAAA >Et_5B_043418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10158114:10161342:-1 gene:Et_5B_043418 transcript:Et_5B_043418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAGAGDTSDPALAPPPEEPSPVESSPSSSAAAVPQGPAAPPGAREVAAAMEAVERDAAAIADSYASLFASLRAALSNVTSTSSENMECLSDVVGRLQESGEALDDLFKFSLVSSFTKNWCKSSLLKKFMGAAGTLWRNRGCLIQKNPRQSAITWNGVTYNITAVIKQNNKNIAVALGSLQLSSDCSLSP >Et_5B_044785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5791114:5793636:-1 gene:Et_5B_044785 transcript:Et_5B_044785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEMVSDADNGPLSLQLIMQAPGIRSAVHHTGSGHKAPMDKKAHVNYLAPGNEARAQRVLHDGGELALLLEAHLVPGRLLPLELLDHVGDEVLEVQHGDAEPGADPAADAERHHPDPLGARHVDAPAVAAVQEPLRRELHGPVPLLVVPAHLGHHEVDRGALGDEVAADVHVGGGPVRQHEVARRVLAQALQHHRLQVRHPVDGVFRDFVDVVGGCGVLGDLGVELRLDGRVLDELGHDPLQPRGGGVGAGGEELGAERDDLVVGERALAVLLVRERDVEERVHVRVVERGLACRWRLAASFLELPLVVAAGVDERQEELPLAALQRARRVEAAAEEVLGDGREEEEDGHLAGDVEQPGALGVLDGAHGRLVETLAEAHEHQQAEHAVLERLHHVARRLRVPFGGLPTQLVHEDAAHPGARGREEADARRVERLGDEVAAEEAPHGPVVRAGDDVVGDAQERAGGGAGPVRQRDAAARADERRVGEAPVGHEHGEAGAEAERHHGAVRLEEAEEEGLHVRGRVAEPQEVAEQRHGRRAGRQPAVPGGVAAKQEQEEREQDRRGEEEPAGLHGGDRRYTIRIDVRVD >Et_4B_039671.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2652668:2653000:-1 gene:Et_4B_039671 transcript:Et_4B_039671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVECAKCECCGLREDCTRDYIVGVRAAFGGRWLCGLCSEAVRDEAARGRTKQRGGGGGGGGGGGGGGAAAAGMEEALRDHMAFCGKCRRSPAFRVADGMRQMLRRRSK >Et_10A_001628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6204652:6206459:1 gene:Et_10A_001628 transcript:Et_10A_001628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKMALASAHEVLTALLAAGTAALRRPPARRPTSSNRPVHRRGGVPPAARLAATTLVVDVDGALLRSGSLFPYFMIVALEAGGFLRGLTLLLLYPAVACLLALGGAGGEIAVRAMAAVAFCGLRAGAFRAGRAVLPRWLLEDAAAEALRVANGGGAAAARPARVVWASAMPRVMVEPFLREYLLQAPPAAAVSAPEMRTAWGFYTGLMAEAKVVSELRKKKTAAGGVHHDDGDDVVGFSAGDASSVAFLRSPLASMCKEVYVASSEERSKWRPLPRRSYPRPLVFHDGRLAFLPTPLAAAAMFTWLPFGAFLAVLRLAASLALPYRYATLLHAATGQSWRLRGTLPPPPPPPLAGAGELYACNHRTLIDPVYVSMALDRPVRAVSYSLSRVSDLISPIGATVRLARDRARDGAAMAKLLAAGVAVVVCPEGTTCREPYLLRFSPLFAELAGKGVVPVAIAAETAMFYGTTAGGWKAVDPFYYLANPRMCYTVEFLDRVDAAPAPAMGNEEGKAPATSVDVANRVQRMIAEALGYECTMLTRKDKYLMLAGNDGSK >Et_5B_045607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5347368:5350996:-1 gene:Et_5B_045607 transcript:Et_5B_045607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLLHPALAAARPSHFHPSPAAPTGGATATAWRARARPGVAFSLQTNMRLLKPNRRVRRSRDPYYDFDEDDDEEDEEFGFEEEEEEEEEEEEEEGDDDLSGLEYPGVLYSKSTRAPSKKPGLLSPLLKESWEGRQPKTRDKYGSPEKSDSRSKAGRSSLGLVDMGSEVELKNESISRNLFQKLQEEYDFDDKWLPLIDYLCAFGLKESHFVYIYERHMACFQISQASAEERLEFLLSAGVKTKDMKRILVRQPQILEYTLGNLKSHVDFLASIGVPSVRIGQIISAAPSMFSYSVEQSLKPTVRYLIEEVGIVESDVGKVVQLSPQILVQRIDSAWKSRSLFLSKELGAPKDSIVKMVQKHPQLLHYSIEDGILPRINFLRSIGMRNSDILKVLTSLTQVLSLSLERNLKPKYLYLVNDLKNEVKSLTKYPMYLSLSLDQRIRPRHRFLVSLKKAPKGPFPLSSFVPTDERFCQRWAGTSLEKYHTFRQSLLLTGFTENSGRKTLISRRLRCKVVFIGFLPSVANGWLSLSKRTACSLILTVEAVK >Et_7B_055881.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6770116:6771018:1 gene:Et_7B_055881 transcript:Et_7B_055881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNPASEALVLDTIRQHLLDEPPATTEAAATVYRRSASFGSLVADQWSHSLPFRPDDSDDMVVFGALRDAFAYGWLPDGSFAAVKPEPLPPSPADSSSCCSYEAGFVSEPEPMTPGTEATAATPNRREEAARGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYDTAEDAALAYDRAAYRMRGSRALLNFPLRIGSEIAAAATAAAAAAAAGDKRPSPEPATSSDSSLSSTTTSSSSTSSSSSGSPKRRKRGEAAAATMAMPLLPPPGQLSRPAQPWFPAVPAEQVAMAPRVEQLVS >Et_5B_043578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11995458:11999211:1 gene:Et_5B_043578 transcript:Et_5B_043578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIAVEMLTVEVQDTSWRDLKRRGGAVSWGGGSLPSDDVSWGEAAAARRVVGRGGRRSASHWDGEACRRRPRLAGRGRPAGIEFRREGTHLPAR >Et_5B_044735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5214946:5220652:1 gene:Et_5B_044735 transcript:Et_5B_044735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GFERPHLYPKTRVSHNVLRSAGLAVAATPPIHRPRARTMLQQQLAAHAHHSLLHPVTAVIRYSRGHRRRRPRPKRVAFPPPHVRRLVSSLRRLLPRPRPLIVLPGVGGGGWFRRRRKIPAEEALTLALSLALGGDRLAGLAEAWNASRLGQVLGIWAALCGGRGRRGGVLRRLAAFLLGVAFCALVCHFRGTAFLEGLRKTGGGRKLVRILLLSLRFVPDFADISSAVPSTSSTLDEDGIDLFSPLLRKRSNSLGAPFERNQQNSVSSCPGKGISNNMS >Et_9B_063758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12022234:12022929:1 gene:Et_9B_063758 transcript:Et_9B_063758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGHQAAEAGALVQVHAPAASSVSGGGGMGGGGKEPGGLPCPRCESTDTKFCYYNNYNLGQPRHFCKGCRRYWTRGGALRNVPVGGGTRKATPAARRHKQRPSSSASATTTTFTSPQLLPSPAPLSMSAPAYDLQSFMPSPMAAVDPDRRLLDLGGSFSSLLAPPPAPDARFSAGFPRMMPPPASSAHAHHALLPPPPPMSQALPEGLIWSMGWPDLSI >Et_1B_010931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15569739:15575586:1 gene:Et_1B_010931 transcript:Et_1B_010931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGIIAKRCVQFRSADRPSMDIVARETVSVLSPPSILCSAPPRSLNRRPFLCAGDGVGAGHEGGFVRGRWCPPRLHAPVREHDVRCFSGSSCRTRYVKLGALLHVCVCLICVGVLLEKRSCYLCSCLVYIKKKTHRSQLPVGLLLVICHALGNSTVDDDVLEVTLRELRKATRSFSSKAPVGEGRYAVVYMASLRRGGGRTVAAAKRLGSPSPDRERASDVAFLLGQASAASGLRHDNLVRLLGYHITSDLRVLLYEFAAAGTLHDALHGTCTTTRTIL >Et_8B_059923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4000745:4002526:-1 gene:Et_8B_059923 transcript:Et_8B_059923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFAWASVSQGAGLTGGNAVCDARGGVLLPPMPGFHLTPPAGAEHFPVDSGIVDRAVRFGAGNGTATSAGHQTKLATGGCGGDDNAEPEDAAGVCSSGRQELDSKKRKSSNEDVLGTDQGKEPDASTGSAQGSERSNDARGGENGQSAAAGKKKGKSAKEADEAGYIHVRARKGQATNNHSIAERLRREKINERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPQLGLSIEGLLSKDLVRFPGAPPSAPIGLSFSQEMMPKLPLVSESGMLQGGFHSMGNSNVFRAVMQDQLHEKDFRDHASQMHHTLDGSFHHAGQLAYRSAVGPEHLSIKPDQDGFHI >Et_9A_061675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15200404:15200819:1 gene:Et_9A_061675 transcript:Et_9A_061675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHKTTPASTVGVISKKHTRSRGRAKEPVDPYSSIYGVVPFLNKKTRAILVLLDDSSTVPCVIDTGADEGVITTRMVRFAVTLQRGTPVDVEGVVLPCSRQSPIATTQKVEIQVTKLHSIGLKTRASQNVAGQ >Et_7A_051736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23805458:23807910:1 gene:Et_7A_051736 transcript:Et_7A_051736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FPVEDVHNILRLEIKGNDVIQYINDKVKSEDETVHSELFQKFANGNNKLELQVLENMLQKDRPADEDFVRAFVLFTIGVLLASNTGSTVHWSYIEAVRDIAQIPLFNWGQFTLNHLLKSCTSYINRSEKTLKGNLFWYWERLRVANHYGIKYEERITRPPVMVFWNEENAKLRQAALEKDGLFCPLLQTPNNKEKQQCQKQNTNPEGPSNQPVQNLEHWKKVTIMELKLDLEQKLLELEHKQDIKFLEHKKELASFRNDSILEDRINTLEAKVDAEFKETRTKMQEIHELVRILIDKPISQTGHGQHHVQVTPRTRFHQAKDRIYNESPSAGNDTMEMTMEHHSDPAITHIKMREPIIDEDYNTGSIDREVAVFLLQSYEDAWVVDMDGIRIKAGQLRRNVSHENIYGEVINAYVKLSDVENDTTSFISTFDAQRLADTRWDRTKNYMKRIADQCKGKHLVFVPMNINGNHWGLLVLNFIKQEVQILESLSCRDEELEMTVVESIQRCVEFLIMEGLVNFEDQFNVREWDIIYMCSICNTIYSGMGWRENGS >Et_5A_042905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6781300:6782170:1 gene:Et_5A_042905 transcript:Et_5A_042905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRDRNLVRPDLTEFMKVSGAGLADGDSTVAVHFGAISTVAVARPGDARWTVVDRGTYVLPAMSFAGRFYCATRKAVMVVEMGGGGAGVHNDGELVLVDRRRNAKKHRVYRVDLEAGKIVPVDGLGGCAVFMGISRAVSVSPSHLSVLGTSNTRILQPSD >Et_4A_032819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14272379:14273946:1 gene:Et_4A_032819 transcript:Et_4A_032819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFMKKEEPYLGWTGPTRPHIPPFGNNCHPENYCNKFDSTFSNTGGWIFRKSEGTLYATTTDLSVITVDTECKVWRKIRMPGSYIQHYIMPDEAFIAHSQGHFYTMHIDCYKNNRLSVWALEEDCSAHWILKHAAIILELFGRKHRRKDEFYTLIALHPECNFIFFAGGFDDEYKLLSYNMDSGRVHLICHMQEYFLGPCLPYIPCFADWCTDVLILPSINTTPHVHCSGGPVNT >Et_7A_052855.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:20084202:20084543:1 gene:Et_7A_052855 transcript:Et_7A_052855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWPVPLRDLAADELVPVLCAVCNDAATCSTENIREPLDLARGIDGVDTLSCTGTGGNITAGELSATGKRNARTRVAPAVEERHEPPQVVLADHVAERVEAVRGDRVPERTSE >Et_6B_048246.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:17295493:17295903:-1 gene:Et_6B_048246 transcript:Et_6B_048246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PAELCPRHLPPWQQRRRLRADEVLRALFLPPARKLGRLADFLFDFSSARLTTRASGGKEQKGGGETMGEAARQPAGGGALRWRARGGYSHTGHPQNTNLGAILAARLRRGAPAMLRKLFCAQESGEARRLMTLAPS >Et_2B_022647.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29029557:29030012:1 gene:Et_2B_022647 transcript:Et_2B_022647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLIGDHRSGAEVHAGNELCQRKSRELLVELGLPDGLLPLASLEEVGYNRSSGFVWLRQAAGVTHTFDSIGKQVWYDKEVTAFVEPGRMHSLTGVKSKELLIWVTISEIVVSPSGTKIVFRTPAGLGRAFPVTAFQLEKKEDEAAAAAN >Et_7A_050550.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:24469199:24469762:1 gene:Et_7A_050550 transcript:Et_7A_050550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIVPKLPKNFFVLRFVLRWCRVERCPKLQAVFTLLNNGRDGHRFDELEKIWASALRITHCGDLTQVFFRWDDYVPQEYSKEGAVKEFPKLKQIHLHDLPNLQEICAAKMSAPMLKSVWLRGCWSLRRLPAVGRRGSSHRPVVHCEKDCWESLKWDGLKDDHHPSLYEPYHSSYYKKRLLRGTVLR >Et_5B_044561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3479783:3480512:-1 gene:Et_5B_044561 transcript:Et_5B_044561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCPSPRYIIMTGLLKRAAERTCTDAALKARRNPPLGGRRHRRSLLRAAPLSGERDLARAVEERDASSAAGLRLLAVRDGHLADAMERYAEVARDDQSDPWPRHRLCLFVGRKESDKWEASSFESLDPSRTSWWSRSKQCPARDLQNHRPRCRQAGMVDAALIYACCRTRKCPSVLKWPEVRAIRTFFNGWARLMRIAEGAEGQQDRQWQWSEAEEGGGDDAQQRQPAH >Et_8B_060623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3616050:3617503:1 gene:Et_8B_060623 transcript:Et_8B_060623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQRLTSTQAKDLCFSFALFKLLRCRFAKYTVVGAGFIKARKFFRDTLLKGADYERIFGIIEYELSFIHDYYYSSLPIYNTHHVLAVFSILLSLCSIVVLLAEIRDIVSFICSNWTKVALICHYVNQASWQQSPAVRKRMGLVFKYCRCKLVKSWDDKMKLCSILVHHPRKTPLLRLCDPNKKVVRVQSMVKAAIFEALKGETLTCEQDRGLEHVRPPSPPIAVAIDGKLRPAYTILVWHVATSIFEVGRPQPSDPYQDGHKIAATHLSCYCAYLVACCPELLPDDDEWCKSLYNAVKKDAKRVLSAAPGAADHYQRLIELLGAESNHCVLKDGARLGKQLVESMTGWQALARFWSEMLLYVAPSENMEGHAEAIARGGELITLLWALLAHAGIVDRVGADSDHY >Et_6A_046511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16290985:16293742:-1 gene:Et_6A_046511 transcript:Et_6A_046511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQETTTTSSTAAAAATGDDKVRHRPRRHLIKMVYHHAISNVPYLLLAAAATALALRFSNLTASDLATARDTVAGNLPLAVTVVGAAAVLAAAYLARRPRGVYLVDFACYKPGPEHVVTRETFMRQSAATGVFSDENLDFQRRILERSGLGQGTYSPMSMLRSPPDPSSMAEARDEAEAVMFGAVDRVLAKTGVKAKEIGIVIVNCSLFNPTPSHSATIVNHYKMRKDVASYNLGGMGCSAGLISIDLAKKLLQVHRNTYALVVSMEIMTMNWYRGNNRSMLMTSCLFRMGGAAVLLSNRGADRRRAKYQLVHTVRTHRGADDRAYRCVIQEEDDAGCVGVALSKDLMAVAGDALKTNITTLGPLVLPISEQLLFAASLFAVKVLKLKNIRPYIPDFKTAFEHFCIHAGGRAVLDTIERSLDLSGWHMEPSRMTLYRWGNTSSSSLWYELAYTEAKGRVRRGDRAWQIAFGSGFKCNSAVWRALRSIDPAKEKDGGNPWVDEIHQFPVEVPKVEKVAVE >Et_1B_010967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1606491:1613607:-1 gene:Et_1B_010967 transcript:Et_1B_010967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPEERLDVLTAAGEKTGVSKPRSEVHRDGDYHRAVHVWIYCESTGELLLQRRADCKDSWPGQWDISSAGHISAGDSSLFSAQRELHEELGIKLPVDAFELIFVFLQECVINNGTYTNNEYNDVYLVTTLTPIPLEAFALQESEVSAVKYMHYDEYKNCLAKESGEYVPYDVNGQYGQLFAIIEERYKNDMESRSLTLQKQISCYAPIHLEPDLTSLSEGDREALEYILKASIVIDDIFYEQLWNSNRVLRDWLKAHAESSSFDKLKWTYYSINKSPWSCLDENKAFLSTADSAVKLLTDATKPVSGWKGIEYRAAFPLNKPPGANFYPPDMDKKEFELWKSGLTEKEQKDATGFFTIIKRHDSSSSSSEAQTDGSDQIKGSDDLFVVPYSKEYRSSLEKASELLQKASEYSDSPSLKNLLRTKANAFLSNDYYESDIAWMELDSKIDLTIGPYETYEDCLFSYKSTFEAFVGIRDDAATSQVKLFGDQLQDLERNLPLDDVFKSDNVSAAPIRVINLLYNSGDVKGPQTVAFNLPNDERIVNERGTSMVMLKNISEAKFKHILKPIADACIREEQKIYVDFEPYYTHIVCHECCHGIGPHSIVLPCGKKSTVRMELQEFHSALEEAKADIVGLWALNYLMKKELLPQSLSKSMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWLYDKGAFILHSDGTFSVDFTKVEDAVESLGREILTIQAKGDKHAAQSLLQSRATLTQPLRVALEKIEHMQVPVDIAPIFGTANKLFATFN >Et_2B_019138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13472789:13473138:-1 gene:Et_2B_019138 transcript:Et_2B_019138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFRKEKYPRCTQ >Et_4A_031989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10503853:10504350:-1 gene:Et_4A_031989 transcript:Et_4A_031989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCAAKPVVGAGCFGGGGVARCQPRRTRARVVCAAAALKTGTMYEVLAVDETAGPEEIKAAYRRAARRWHPDACPGGADQFMRAREAYEVLSDPERRRGYDIQLRYGGGGGFADWEAQLAGLQWRAADRRAAGQETWGSRMRGRATTPSW >Et_3A_027214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:500498:502247:-1 gene:Et_3A_027214 transcript:Et_3A_027214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARAWLEEAGAKGDGSAGRAFNAMPLSGVRVALAERGRALCSLRVPAHLTDAEGNWHTGAIAAAADDVCAAAIMSVEGIIKVSVHYDISYFSPAKLHEEVEMDGRVVEHKGRMTAVTVEIRKKDSGQLVAIGRQWMTASRPKGSQSKI >Et_2B_022261.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15805464:15805868:1 gene:Et_2B_022261 transcript:Et_2B_022261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLQQPAKAVVAPGPSRRAASPPPGYDFTADEVYAADVLVFLREGSTSTGDGPSVGSALSGSSSPRSVNAPPAPAPAPPQPALPRGVGAGGDAEEEEDEQEVPGSPRRIKRCRPIAEIYRATRRIGRRNFNME >Et_3A_024326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19593706:19596401:1 gene:Et_3A_024326 transcript:Et_3A_024326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSVVVDFPSMGTAYCFSSFETLLRDSASGFLAAVSASPAPGAADLTNFHRVFSRVLSAYPDPPLEAVWFFSALSFHDHPDDLRSLLQLLSAFTASSPGAAKPIALLAPVISELFHSDKRRRETEALVEAMLSYISICSSRTPVAGDGVDSGRLLPAFEELVKVWSVRHSRDRCPFQVLFPLAGEEARRELMKEGCSVEYLAGVVVAEAFLLRLCLKVQNATGVPRAELQKELRIWAVSSIPVFRNQHFFGILLNMLLNRPLPVYSLLSADDEILVRDVLYDALILVDYSFINNEAGVDQADSSLAHIFVSRLIITHDAINEARSKGDQGRAISFANAFSTSNVPSYLIKWATSQAGFGQLSKPVANTPQALLKWLVDLEDKGLKVFGENGSLIKGRLIYDEVKNGYGNRMVHSDAELFFIDKQNGGEVMDAKANGDEEAVEMETADNAFMAAAQSMKVAANGLRKRKGCENEDATAVKFVKYKVEDSSAKEYFTSAANGMSSGSEVENPQSDDEMEETD >Et_4A_032379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3962408:3963316:-1 gene:Et_4A_032379 transcript:Et_4A_032379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREAFPNPYRRRLLNLCTGQWVLVDLPEFRDHRVLRSTSEGLLVLLHDATHVVRLLNPLTRQVADLPPITTTLGSEFNCNSPDNAGLLEDGGGATTTVVLYFSRISTLAFAKPGDEHWTLVKTDHYLMPTMSFAGLETRENLPPRLVVAAQLAKPFSRMVDTVPLVDNGGELMLVHRKVRPVRDAEDCYRRKYTVYEVDLRAGKMIPARRSLGGLAVFIGLYRTLSVSPRVFPFVRADAVYPGWNCHERSEIGAYHVADGSVEAANCDMRSGLARPWSIADFLSVYVSG >Et_2B_020611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21944011:21950466:1 gene:Et_2B_020611 transcript:Et_2B_020611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPALLPIHGSELPSPPASITCSLLLQLRQRGARACGFCFRISRENLVSDLQDSVLQLLPDQESVSRLIKAKGNNPIVNQFGIWKLRAKMMPSLRKVRIFCSDPDATDSSDDEDNQNTKEKKMIREVLIPLNPKAMLVLAKKSKAVPVPAKNSKAALVPEKKSEASTAVKTLAPCETEDLKGPDKKEASSRFRGVRRRPWGKWAAEIRDPVRKKRKWIGSYDTEEEAAAAYEAQAREFRAEVLAMKAQLPVSQPAALSSSSSVSCVSSSVSCEQITQPAENRAFTEIKSEEAVDEILPDFSETPKAKEISMDVLLGRMDVLLVGDSVRRADELRHDDLTSPEDGFPISGFVGDDDYIGLADISHLPLPIKDPEFDLDAELDWSGFDFASMEHELGNLHYKMTPPMRKVRIFCNDPDATDSSGDEDDQNRKKKLIFEVLVPFKKKPSSSYRGVRLLEWGSWQSEIRNPFIKRKESTTHDTEEEAAVAYQEKRKKYDAEKLAKKAQLPVLRGTALSSSSSSSCVSTSMSCKQKSQEVHTGVKVTMDTESTDESILNFSTPKVTSVDASLGQIDEPVSDPVVHADKPSPDDTFPVSDFVSVMHESLDCDYIGLADISHLPLPYENPELDLDAEPDWSEFDLGSMERELDDL >Et_9B_066273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9409975:9411077:1 gene:Et_9B_066273 transcript:Et_9B_066273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNKENDPLPPSSPVVQEAFPFAELASVGENLFYRQQQIQRPQDVNHCILHHGRGGPAKQEAARRPGLRPDGVRSPPPPAAAASRSTSSSLRSRSTPRWPLWRPDELLALLGAASVHELADFVRGVAERALADRTGGGGPRVSFACGLTLKSVHRRGPWLQGAQATLQVDVHVGNQFFSMCIFLPDARDGLPNLIKKMASSPSSFLWDSLPSRDVEVGKFRLPKFKLSFASRINDALEAMGLKDVFEPGKADLSNMLEEGGRSLVLEHVFHKAVIEHECDSRPRDFVADHPFAFFVVEETSCAVMFMGNVLDPNRSE >Et_8B_058703.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:17366567:17366626:1 gene:Et_8B_058703 transcript:Et_8B_058703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALLLLGISLITIGIRGE >Et_1B_010780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1394567:1397871:-1 gene:Et_1B_010780 transcript:Et_1B_010780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAFSVFSPAAPAVAARSKVQRAPSLIPSPCSSVGFSDRCLMTDVSDNSRRFIGACRFSEVERVRGGMAAVPGSRGRFLPSHAPDLQRSGASALNFGRVMMALAVDVTRFDGVPMAPPDPILGVSEAFKADTNDLKLNLGVGAYRTEDLQPYVLNVVKKAENLMLEKGENKEYLPIEGLAAFNKATAELLFGADNPVIKQGRVATLQSLSGTGSLRLAAAFIQRYFPEAKVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMIADIEAAPEGSFVLLHGCAHNPTGIDPTPEQWEKIADVIQEKKHTPFFDVAYQGFASGSLDEDAFSVRLFVQRGMEVFVAQSYSKNLGLYSERIGAINVVCSAPEVANRVKSQLKRLARPMYSNPPIHGARIVANVVGDPTLFGEWKQEMEEMAGRIKNVRQKLYDSLSAKDKSGKDWSFILSQIGMFSYTGLNKAQSDNMTDKWHVYMTKDGRISLAGLSLAKCDYLADAIIDSFHNVN >Et_9B_066154.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:5009204:5010616:-1 gene:Et_9B_066154 transcript:Et_9B_066154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGEVRPHTSPTSVVITKSPSVLVGPATAPPAAARHITLSSFDKALAFFPVKSYHVFIRAIHEPAETVRRALSRALVHYYPLAGRLVVADDGDGDGELRIACTGEGVAFVAASADRSLADVRLLDPPFGPALLTELAVGIGGGGGGLFRPLADPLLLVQVTEFACGGFVVGVTRNHAAADGTGFAQFMRAVGELARGAPRPAVLPVSCGDRDLPALPPRVAAMERKLLTLPPRDFAYLDVTVPSGCISRIKAGYFESAGGGDEDGGGPCTVFEAVMAVLWQSRTRAVMTDPAAPAPLVFAANVRRLVGAREGYYGNCITSAVVVPTSGEVASGTIHDVVRLIKRAKRPIPLQFRKKKKNRDVAGEEEEGQGDDGVSSTVEAAPPLADDDEVMFGYNALDVTSWRNLGADAVDLGGGRPARVMCVMDRLFVPHCVACLPSAGKDGANVLARCVREEHVDAFLAEMTATTL >Et_7A_051319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1697371:1700032:1 gene:Et_7A_051319 transcript:Et_7A_051319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASASTSNPSPEAHEQREQETLASAALAIPLLRAAHSRTTAASSNALPDALSPPRAAFRLPGSSSPPPHLDALLARLGPAIASLFFGRGGEEGAADASWVGFLKGFNRCCARVPASQSLALLLRVYAAACADAVAPCGVRFQPGDGDEGKVEGELAPEEIAVFLWMCWVMAWSGSAPRVAGGDGGEKSEPVAVLLPDVSHLVLSALVSAGAVADDAGVWAWEVSGGGKGVKVQEFTSWVLSTAVGLGSCLSRYVQERFRSLAADPVEESSVSTANADFDTSDAYLLTHGCAWAISLSLRSKLSEKFLLASVVGMDTDDLLYRSSVHGKGLSRFWSCVEGYKGPTLILLSAFSNGGADNVDADRRWGIGVLTEEGFENKDTFYGSSGFLCATNPIFRMLQPSGKEKNFMYCHMHPQIRVYEAKPKPVGLAFGGTIGNERIFLDEDFSKVIIRHHAVDKTYQHGSLVPNQGYLPVEASVHEVEVWGLGGETAKRQQDVYKKRENIFSEQRRKVDLKTFGNWEDSPEKMMMDMISDPNAVRREER >Et_4B_036732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10981773:10991960:1 gene:Et_4B_036732 transcript:Et_4B_036732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVSRDDELAAAAMCVGMGSFSDPANAQGLAHFLEHMLFMGSSEFPDENEYDSYLSKHGGASNAFTETEYTCYHFEVKREYLKGALDRFSQFFVSPLVKAEAMDREILAVDSEFNQVLQSDSCRLYQLQSHTCSQGHPLNRFTWGNKKSLVDAMGSGVNLREEILQMYMTNYHGGAMKLVIIGGEPLDVLEGWTLELFSKVKAGPSLDISPKTDIPFWKSGKLYKLDAVRDIHSLCLSWTLPCLHKEYMKKPEDYLAHLLGHEGKGSLLYFLKAKGWANSLSAGVGTGGSQRSSYAYIFEMSVRLTDSGLKNLFEVISAVYQYIKLLKQSEPQEWIFKELQDIGYMEFRFAEEQPPDDYAVDLAENMLFYSEKHIVCGEYIYEDWDPELIKHALSFFNPDNMRVDILSKSFDKQSQAIQCEPWFGSQYIEEDIPSSVIDSWRNPVEIDADLHLPRKNEFIPGDFTLRNANSPKSSNEDNPRCIVDEPFIKLWYKMDMTFNVPRANTYFLISIKDGCSSLENSVLTDLFVNLLKDELNEVLYQAYVAKLETSLSVVGSKLELKLYGYNDKLSILLSNILSASQSISPKEDRFEVIKEDLERAYKNTNMKPMSHSTYLRLQVLREVFWDVDEKLEVLMKLSFSDLVAFVPKLLSQLHIEGLCHGNLSEEEAMNISKIFRNTLSAQTLPEDARHGERVICIPNGANFVRSVRVKNDLEENSVVEIYFPIEQDIGKEATRLRAITDLFSSIIEEPCFDQLRTKEQLGYTVDSSPRMTYRMLAYCFRVMSSKYSPVYLQSRIDNFINGLSTLLDELDEETFEHHRSGLIADKLEKDPSLSYQTGDFWSQIVDKRYMFDMSKLEAEELRAVRKDDVITWYNTYIRSASPKRRRLAVHVYGCNSDIAEAAKLQEQSWTAIDDVKSLKVSSQFYSSLC >Et_3B_029141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22409108:22412780:1 gene:Et_3B_029141 transcript:Et_3B_029141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCPPFQATSSILFTVAALVAGTFLIYFYLPSWRLRRIPGPPALPLIGNLPLFGKHGLEVFKLLANRYGPIYRFQIGRQPLVLVASPELCREVGIKKFKSAPNKSLPISIRSAPIHSKGLMFTREFPRWQSLRNLVVNIYYQPSHVANHIRAVQPYVERAGRLLHPGDEVTFSELALKLFSDTIGQVAFGVDFGLTNDTAQRPGVVDSVTDFIEKHMHITTALKMDLSAPLSIVIGLVAPFLQEPVRQLLLRVPGSADRRLEDTNAALGGLLDNIVAERAAMGDRGQNDFLSVVLNASETMDDVKELLAPDTVSALTYEHLIAGSATSAFTLSSLVYLVAMHPEVEEKLLREIDDFGPKDVVPTSEELLTKFPYVEQVLKESLRFFPAVPLVAREASEDMEIGGYLVPKGTWVWLGTGVLAKDPKQFPDPDVFRPERFDPESEECKNRHPYAFIPFGIGPRVCPSQKFAMQQLMLAVIHLYRHYVFRHSPSMEFPLQFQFSLLAYFKHGVKVQVIQRNN >Et_9B_064307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13889220:13902605:1 gene:Et_9B_064307 transcript:Et_9B_064307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPCCSLCHVRYDEDERAPLLLHCGHGFCRACLARMLAAAPGAVLPCPRCRHPTAVGNSVTALRKNFPILSLLSASPSSPSFLHSDSGSSSDGSDDEGDFFARPCRQSAPPAPSAAPPGCSSVDLASHPDLKLARRIGSGPPGPAGQEVWAGTLSRGSGAKRCKHQVAVKRVPIPVGDGLEGVQEEVERLRRASTWCRNVCTFHGVVRNGGHLCFVMDRHVGSVQAEMRQNGGRLTLEQILRYGADIARGVAELHAAGIVCMSIKPSNILLDANGHAVVSDYGLSAILKNLTSRRVPDDSNMAGMDATLLSPNYIAPEAWGPLKKSLNMFWDSANGVSPESDAWSFGCTLVEMCTGAVPWAGLSADEICKSVVKEKKPPPQYSRVVGVGLPGELWKMIGECLQFRASRRPSFQDMLKTFLRHLLDIPRSPPESPESDFTKESLPNGMEQPTTSILEMVHDNPNALHHLICNGDTSGVRELLAKAAERNGSLVRSLLEAQNTDGHTALHLACRRGSAELVEAIVAYQENVDILDNNEDPPLVFALAAGSSQCVRALIGRSADVSSRLREGLGPTLAHVCAHHGQPDCMRELLMAGADPNAVDGEGESILHTAVARRYTDCAIVILENGGCRSMGVPNSVHKTPLHLCIETWNTTVVTRWVEVASEEEIAEAIDIPSTAGTALCMAAALKKEHEKEGRELVRILLAAGADPTAQDYPHCRTALHTAAMINDVELVKIILEAGVDVNIRNAQNTTPLHVALNRGANLCIGLLLAAGADCNVQDDDGDNAFHIAADAAKMIRENLIWVVQMLQQPSPAVNVRNHRGWTLQDFLERLPREWIYEELMETLEDKGVHLSPTIYEVADWVKFRRVVTSPAFGWQGAGPRSIGFVQSIVDNDHLVVSFCTGEARVLTSEVIKVIPLNRGQHVQLKSDVSEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWRADPAEIERVEEYKVGNWVRIRPSLTVAVHGMESITPGSVGIVYSIRPDSSLLLGLCYLSNPWLCEPEEVEHVDPFKIGDQVCVKRSVAEPRYAWGGETHHSVGKIVDIESDGLLIIDIPNRAESWQADPSDMEKIENFKVGDWVRIKAAVPSPKYGWEDVTRNSIGIVHSLEEDGDMGVAFCFRSKLFLCSVADVEKAQPFEVGEKVHMSPSISHPRLGWSNETAATVGAISRIDMDGTLNIKVSGRKSLWKVAPGDAERLSAFEVGDWVRSKNNVSRSTYDWSVGKISIAVVHSIQDSGYLELAGCFRNGKWLTHNADIEKVQPFKIGQHVRFRPGISEPRWGWRDTKPDSRGIIAGVHADGEVRVAFFGVPGLWRGDPADLEIEKIFEVGKWVRLRADADHWKSLKPGSIGVVHGVGYQEDVWDGTIHVAFCGEQERWVGLSSQLEEVNKFVVGQRVRISSCIRQPRFGWSNHNHSSIGTISSIDADGKLRIHTPAGARAWLIDPAEVEKVEKEEEVCVGDWVKVKDSIATPTYQWGDVNHNSIGVVHRAEDGELWIAFCFCERLWLCKGWEVEKVRPFRQGDKVQIRPGLVSPRWGWGTETYASKGEIVGVDANGKLRIKFRWSDRLWIGDPADIILDDVQSLAESSNEFN >Et_2A_015184.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34490655:34490891:1 gene:Et_2A_015184 transcript:Et_2A_015184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSISTLTRMYSKMAPSRLLRLEAYSVCGVCILYHPWSCPSWTVWFVGIWPSSAALEGEPLNHTLLIFLSDICRLLGI >Et_7B_054497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2241206:2245315:-1 gene:Et_7B_054497 transcript:Et_7B_054497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASAQLLLTTATQTIKFGACIAYATPNAVHAKTATVTETKNIRDATTDQLVSCCRTLDRIPLMMQGRRNSVEHFTDVFGLDIRSSSGNPVMDQQAYWNNFLGSVESQNLHGYQINHSDATIPYGNDAQQDGTFRGFWESGEASSSGSALNYGGSNNVKTDHLNINGGLRIGERRQVADTNLSLDVDINLNGNINELCSQSSNVNRVSQGPGHYGGCDRNGTNAQPTDLRLHPYGTFLFGSEQTDSFGSLNPNENPLGDFSLMPEGIASRPGSSLDGRRLACKRKNIEGANGQSSAGASTSVSHRNDFSFPTIASSSYTAAPVRNSSSPSCLLIPSSIEDQPPLYGTDAGLAHGSYDSSAGINNAGNSQRSFRPRTTTAQQIAPSSVWPSSNTIRFSNSWNLQPPHLPGTFDESQEVIPVVNSLNLHQHPLNVPGVPQVANHFTGHRASSSRAGSLENRILGSEEVTRRHVVPTSFSDLLPPASVEMRRLMPEPSNWSSDARGTAVSGNVPLVSRANTNSTANAPAGFTQQNLQRRHPRNLSEEIGRLSGALRAHQSPRLRSGYLLEREGEGVWGAPLSMRSREGRRLMEIRNALQMIQRGENVRLESIFYGGIDIQDRHRDMRLDIDNMSYEELLALEERIGNVNTGLSEEAVTKLLKQRKFSSWRLKASSDPEPCCICQEEYVDGDDLGRLDCGHDFHAGCIKQWLVMKNLCPICKNTALKT >Et_1A_008153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4792201:4800130:1 gene:Et_1A_008153 transcript:Et_1A_008153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTGNPNPNPNPPFELGKLFRPPQNPMPTATAAPIFPGAAGGPAGPPPPSGPYSYPPVTPPFHRGPYLHYPPDPHAMPRPVVSFPMPSPNPNPNPSAIPNTAAPGPNPGARLMQLLGNSGPTQLETAVSMPPPTSEFAPPPPLPAIPSAPPARMLSSSSSKVPRGRLLGGGERAVHDVDSRLPGEAHPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYMWRIDEGPDEENKPQITGKIEIAIQIVGDAETYHPRICWHSHKQEILYVGIGNCVLRIDTTKVGRGRDFTVEEPVKCHLEKLIDGVRLVGKHDGDVTDLSISQWMSTRLASGSKDGTVKIWDDRKAAPLSVLKPHDGQAVCSVAFLTAPERPNHINLITAGPLNREIKIWASTNEEGWLLPSDSETWHCTQTLELVSSLEPRVEEAFFNQVAVLPQASLILLANAKKNAIYAVHVEYGPDPASTRLDYIADFTVAMPILSLTGTHESQSDGEQVVQVYCVQTMAIQQYGLELSLCSPPTADTTGFGRDPAISRVCEAPLEVVGTESSTGTSFTDSVSALTKPSTLDQSTEFDPKPSAPPLAYSEADCSIHLPSAPLASKTDQPGSGATPGNRDIDQPVFDYTPNRNMERDALKRQDTPMPMRRDVLGKDAPRDGHSDVTMLPNPRLMFGGNATHLVTPSEIISGTLPSAENHDVSDSEGGKLQDVSSSSSHIAELEPKHIDESKPDQNSELEAIKETQVVCENTGKTQSSLEQTVEMISERSVTTDKYSVEESQPPSDRPISEHTSAADDNILKKVVEPEKINGSSASREQSSSYAKEERVLHPQTSGQQSPSASGFNSTESHEPLSSAYPPIDSFPEVAATQGMLQQLIAMQKDMEKQLGALVSAPIAKEGKRIETSLGRTMEKSVKANIDALWARVQEENTKREKAERERMQQFITLITNSLNKDIPASLEKSLKKEVSSLGPVVARAITPIIEKCLVSAVSDAIQKGLGDKVCNQLDKSVSGKLEATIARQIQMQCHTSIKQALQDALRASFESMLVPAFEQSCKTMFEQVDGAFQKGMSEHSIAVQQQVVAAHTPLALTLKETVNSASSITQNFSSELLDGQRKILSVVTSGNVKAHSTNALQPSNGPMGGLPEVEAPLDPLKELGRLISERKFDEAFTMALHRSDVSIVSWLCSQVDLRALCAMVPLPLNQGVLLALLQQLAIDINTDTSRKLQWMTDVAMAINPTDQVIAAHVRPIFEQVYGQLVHHRTLPTTSAADGTSIRLLMHIINSVLLSYK >Et_4B_038410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28811407:28814537:-1 gene:Et_4B_038410 transcript:Et_4B_038410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPLLRLLSSCGGVWPTSPAPAAAGDASASSEGRDGLLWWRDLARCHAGDVSVAVAQANQVLEDQCRLESAPPLGTVVGIFDGHAGPDAARFACDHLFPNLREASSGTNGVTEDAIREAFLATEEGFVTLVSRLWETQPDIATVGTCCLVGVVHNRTLFVANLGDSRAVLGRKVGRTGQITAEQLSSEHNANQEAVRNELMAQHPDDPQIVALKHGVWRVKGIIQVSRSIGDVYLKHAQYNTERIKPKFRTSEPFSRPILSANPYIISRDLQPNDCFVIFASDGLWEHLSNQEAVEIVHNHQRSGSARRLIKAAMQEAARKREMRYSDLTKIDKKVRRHFHDDITVIVLFINYDLLVKGSAQGQPLSIRCALDY >Et_7A_051440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18566888:18571641:1 gene:Et_7A_051440 transcript:Et_7A_051440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FEILVKTGSRRNAGTDARVSLQVSSDNGPTLVIPNLESWGEMSAGHDYFEKGDLDRFDGTGPCMPSEPCDMTIKSDGSGYKPGWYVDYVQVTQLGIGSISSMTHKWDVDQWLATDEAPRLLSARRNGLADLADTSRRRPAHKRREVHKINAHDVPDAGRVELVHDLPCAGGDEEDKGGPDASLFANDLAPSCPTSMLVFHGWAEMLYHAVMVLRECYDDQAVYTAVEGALQFEHTAAIMELSVKQQDGI >Et_9B_063955.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:5846881:5847081:1 gene:Et_9B_063955 transcript:Et_9B_063955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSDLHLVHAGETGATSSSTSQDSHHQQVFMAPLALSRKLEIAAVERRQTIQVDGSVPSPGVGHH >Et_6B_049566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6649752:6650342:1 gene:Et_6B_049566 transcript:Et_6B_049566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLWRRRRGRRISCNMYHLIIILQFGGYPEELLQVVGAEVVELHNALPLWLEVLARRGELAPARPPGVSPLQREDRRPLCGHWDRKNLQRLPDTLDGEVEDGGVAAGGESGVVVRECRGLGGVEGAEPHACAFPRGVADLRGELAPWTRSHADERRWPWPLRRHRRR >Et_2B_018942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1796821:1798206:-1 gene:Et_2B_018942 transcript:Et_2B_018942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASLRRGAEPEPRGGGRPEHGLRVRVHGVGQPRPQPGAHHPRAVLRRVPGHDHARRSQPAGPHPRHHQPRLPEPEVAFHAERQERRHHQRGAPRAHAHRLRPLAALTEHPLVRLHPVHQLLEPLRPEHPRDGRARRRRHVGRRGRRDGLHGRLPRRVRDHVAEVASQGVPVVLGRVEAGPAVDEPREAAPGGAEGRRAGRGTEAIEVGGRDNVHPAHEGGHEEGVGEAGRRVPRELGVQRRAVPRRRARPEQGGPLVVGLPRDAARAEQLRPRVGQRREAVAVHEQVVGAPRHREPAAREPEELQRQREALLERHHDAPQRAVGVGEVDVRELRRRIGHHELGAGVVAGDLGHARGGVGDDAAGEGEETGEERGQGGAYCREHGGETGGRGRVHGDEVDVERA >Et_2A_016133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21335206:21338706:1 gene:Et_2A_016133 transcript:Et_2A_016133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSRPPSSVPARIAMLCAMLAPALSPARKRREKSPRSRSHGSVPPDPAAAACLATQLSARHESSLAIDLASDGSSRGSGQCQRLIRRLSHSLSTGSGHTEIHRPPVLRSLSATNRRTDRQKMPELSRPVLCAALLVAPLAALFFGPSIRSLLNPKAAMDPDSEIEFQMPGVIRAYKNGRVERFDGTETVPPSPAGDPASGVASKDVVLDPAANLSARIYLPPGLEPGKKLPVVVFFHGGAFVVHTAASPLYHKYAATLAAEVPVLVVSVYYRLAPEHRIPAAYDDAFAAMKAVVAACRAEPGNSEAEREPWLAAHGDASRIVLAGDSAGANMAHNVAIRLRKEGGVEGLGDTVSGIALLHPYFWGKEPLGAEPTDAGYRSMFDPTWDFVCGGKFGPDHPYINPLASPEEWRQLGSRRVLVTTADQCWFVERARAYAEGIKKCGWEGELDFYETKGEGHVFFLPKHGTDNAVKELAVVVDFVRRC >Et_6B_048764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13328954:13332658:-1 gene:Et_6B_048764 transcript:Et_6B_048764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFSTGQLLVILGACSVMMKPSDMVKIARTAGRMTGRAVGRLIVARRQLDEILGQSAATKVHKELKDAMTQLDTIRYEVQSLSRLTPGQFTTRQHDTGMAEAGKSDSSDGSVTKLEEFRHEIQSIIRDEIESFSSSTEARKLDVSADPMPLKSKDMKMANTGSADLHSQAVTYARLSEAPGINMNSSLSGNYQEQFKESGGLLNVLPISAESAGLLPSRSEVVS >Et_3A_024133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17744520:17745179:1 gene:Et_3A_024133 transcript:Et_3A_024133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCNWYRDFIHNDEDDLFYDVRANGEIHTIDLRGPSPEVKVVYEVESRIMSYNRYLVQSPWGDLLQEEDTLLVDKDSKQDDGGTANGEEQDYRPLDELEYERDATGELTVYKVDLAEQKVKEIKSLPGHALFIGFNNTFILQTRDYPNLVSNSVATPMMTRSVFSAIHIVVNDNWHVLTWRMAVSLIIRFLIHC >Et_10A_001314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23287422:23289197:1 gene:Et_10A_001314 transcript:Et_10A_001314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSPHLISASILPLCSLSCPSPWFDLPPRWRRSGSSCCRTGRGRRGWPSTTSRSRTPRSTRSSMRCTGSWSTGTPSSPTSSSSAHTKLSIGDMQDCFSPCVWI >Et_5B_045313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1852296:1853878:1 gene:Et_5B_045313 transcript:Et_5B_045313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVAVPSPVPTPSDDAESLKKALQGNASRFATGSIALIAAGWRADKGALIEILCRRTAAQRAAIRRAYVFLHREPLLNCFRDNFSRHCQLSVDFWVLALFAYPRFTKAMLLWTMDPAERDANLVHEALKRQKDENYILVLIEVSCASTPDHLMAVRNFYRDLFGCSVEEDVASSRALREPLKKMLVSLVSSYRYAGEQVDMDVIKLEAAQLSEAIREKQLHGNEVARIISTRSKSQLRATLQCYKEEHGMDIVEDINSHCKGQFARILKSAIWCLISPEKHFAEVIRHSVLGLGTYEDMLTRVIVSRAEIDMKQIKEEYKVRYKSSVICDVAGDTSFSYRNMLLALVGSESEESDDVPSPSAKTSCKCMHGV >Et_4B_037805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23489490:23493002:-1 gene:Et_4B_037805 transcript:Et_4B_037805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEREQGDRLEQGLLAPEEPNQIVTYTGDGSVDFSGNPVVKERTGRWKACPFILGNECCERLAYYGIATNLGMAILTLSASVPMLMPPPCEGSFCPPASTGGIKPCVSSFGADQFDDTDPAERIQKGSFFNWFYFSINIGALIASSFLVWVQDNVGWGLGFGIPTVFMGLAIISFFSGTSLYRFQKPGGSPITRVCQVVVASLRKWNVHVPVDSSLLYELPDGVSAIEGSRQLEHTDELRCLDKAATVTDIDVKSDGFTNPWRICTVTQVEELKILVRMFPIWATTIVFSAVYAQMSTMFVEQGMVLDPSLGSFKIPPASLSTFDTLSVLICVIIYDSVLVPIARKFTGKEKGLTELQRMGIGLVISIITMAVAAILEIKRLATARESHLVDQNVPVPLSIFWQIPQYFLVGLSEVFTFIGALEFFYDQSPDAMRSLCSALQLLTTAFGNYLSTFILTMVAYFTTRGGNPGWIPDNLNEGHLDYFFWLLAGLSFINLVVYVICAMKYKSKKTS >Et_1B_009783.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26689061:26689630:1 gene:Et_1B_009783 transcript:Et_1B_009783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVGSPLPWPGRTCAPAFDPAGSWSGSLGNASALGASTPRTHSNCSANCFLKRGRPRCSPSTCSSLCSHARGRNPLPPCAMALRSSSLSSTVWLEPAPTRWLPADTPTAYSIVIGCCCGVDRLDLAFAVFGKILKSGCKVTIHVLNQLAKGLCDVKRTSDAMDPVLRRMREFGCMPDLISYNILLKGI >Et_4B_036852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12001847:12004137:1 gene:Et_4B_036852 transcript:Et_4B_036852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADSKQRSEEEWRAVLSPEQFRILRMKGTELPGTGEYNKFYGDGVYNCAGCGTPLYKSTTKFDSGCGWPAFFEGLPGAINRTPDPDGRRVEITCAACGGHLGHVFKGEGFKTPTDERHCVNSVSIKFTPGS >Et_4A_034711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5724276:5725878:1 gene:Et_4A_034711 transcript:Et_4A_034711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITLLSLAPTATFLHIPASTSSSFTAAPGPLTARRAAPRPLSLRARPPRRITVVCSGAAAAAEASNAAPAEKFRLDNLGPQKGSRRRPKRKGRGIAAGQGASCGFGMRGQKSRSGPGVRRGFEGGQMPLYRRLPKLRGIAGGMHIGLPKYVPFNLKDIVRGGFKDGDELSLESLKAKGLINPSGRERKLPLKILGDGDVSVKLNIKAGAVSASAKEKLEAAGCTLTLVPKRKKWLPEAYLKNQARAEEYFAKKKGGAGESDGTSA >Et_3B_028193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11516580:11518342:-1 gene:Et_3B_028193 transcript:Et_3B_028193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPSSDVGEESSEAPNRRGEQRRRSREQAGAPLESILRGTDLSPPADFLDGQNINAEPVALSEPGTNLLAEYLAYLLPSAPPESSSTFLVVERDGQPLQIVHPVEFQRNLWEHMTHSVSPVDNNNGVAEQSRLPAGETRIGGVDSGSQQGWLLPHELYGNGAESPRSLSVPPTDRPAAVTLPEYNPFYLFPPVTSGSRSEEAAESGGGNRFHSLDQGHFGPHSPVNNEGVDWPSSSQQHPPLYAARRLFGLAGTGRAPPPENSSADDESFPDAFPRN >Et_2A_018215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18377508:18390965:-1 gene:Et_2A_018215 transcript:Et_2A_018215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRAALDEVYRLDKFPLRQHRMRVELESDGSEMEEFDICTAGITEDAAEDKVQELIADAITKLEGRPKFYAQYIRRKIEIARAIGCFSLGSYISN >Et_4B_039015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:738122:742228:1 gene:Et_4B_039015 transcript:Et_4B_039015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAKDVGILAMDIYFPPNCVLQEELETHDGVSKGKYTIGLGQDSMAFCTEVEDVISMSLTVVKSLLKNYNIDPMRIGRLEVGSETVIDKSKSIKTWLMQIFEECGNTDIEGVDSSNACYGGTAALFNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPFSFESKYRGSHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYNMFCKKYEKLEGKQFSIFDADYVVFHSPYNKLVQKSFARLCYNDFLRNCSTVDEETREKLAPFAGLSSEESYQSRDLEKASQQVAKNLYESKVQPTTLIPKQVGNMYTASLYAAFASVIHNRHETLAGQRIVMFSYGSGLTSTMFSFKINEGQHPFSLLNIANIMDVSKKLEARDVVPPKKFVEALKLMEHRYGAKDFVTSQDTSLLASGTYYLTHVDSMYRRFYAVKGDSVTSAVSNGH >Et_4A_034407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32304968:32307354:1 gene:Et_4A_034407 transcript:Et_4A_034407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRLRSAAPLRGILLRHFTVGHPASPPCSFSRVTDIQVPQCIMWRHLSTWKPSYPAKQDNFGLVACLYGQTRWASQAAAMKQTEASGTKISIGPKPKQIKEDDKDDSLVYEGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPDMNVILKGAVASTVIFLSATTTAALHWFVSPYIHKLRWRPGSDSFEAEMMSWLATPLKKTVKFADIRPPETNRPFVTFKADGNFYFVDAEHFSNKALLARLTPTKQHHESAFKNL >Et_1A_004744.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:36250277:36251235:-1 gene:Et_1A_004744 transcript:Et_1A_004744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLIPGLPDDLARECLVRVGFEHLPVARRVSRQWKAEVESPFHHRLRRTRPLLVLAQARPPLAASGPAHKYAASASASYRLVLHDLAAGKWSAMPPPIPGRGLPLFCQLAAVGEGPKRKLVVLGGWDPETWAPTAAVHVYDFLAGTWRRGADMPSPRRSFFACAADGGGRVFVAGGHDEEKNALRSAAAYDAEADAWAALPDMARERDEAWGVCVGGRFVVLGGYPTEAQGRFAGSGDTFDPAAWAWGPVTEGVLEDGACPRTCCAAPASGDAGSRMYRVRDGHVVARDADGD >Et_2A_015484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13588544:13594686:1 gene:Et_2A_015484 transcript:Et_2A_015484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILVVATASDPASIGPASAFLAMPGWSPGPPIAEGMESFINGDVRLLKHERSIIAEDHLDHRWQEATGEVVSEVIFLSKHTAVSNRPALTVHPIGVPHLRDDETPPQGGIPGWAAMPNPRIGPWLRLMQKVAADQGLVPEFEITLEATHHGPVTNTPTMFVEIGSTEEYWGRQDAAQAVALVLWKGLGLEDGTAVGSWQRNGQKVLLGIGGGHYAPRHMDIVIKDGVWVGHLLSGYSLPMDTPAQVNGKTSGEVGGMWKHSIKTSYEATKAAFPEGEIIAHLDHKSFKGWQKNAITSYLQEQNIRVGKPNDFL >Et_5B_043731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14944661:14950714:1 gene:Et_5B_043731 transcript:Et_5B_043731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQARRGRDAAAASGAWGRRVCSKWGKVAAGVHQARRGCSKRSLVMGSGFTNIYGQLPLIGPSAHSSATNADGADAGDSTPDPICPRFLFPFFRCQAPGSPASWPARLPSIGGSPSAARQVSNMMVGQQKKHRILMVSDFFFPNFGGIESHIYYLSQCLLKLGHKVVVVTHAYGKRSGVRYVTNGLKVYYVPWMPFLMQNTLPTLFLTFPIVRTIIIREKISIFTLADIDQAICVSHTSKENTVLRSGIPPEKFFVVPNAVDTAMFTPSPKRLSCDEIVIVVISRLVYRKGADLLVEVRFIVGGDGPKRVRLEEMRENFPFRTELKCWELCLMIKCEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPAPEDMVGAVKKAIDMLPEIDPQVMHLRIKQLYSWDDVAKRTEIVYDRAMQSPTINLLDRLPRYLTCGAWAGKLFCLVMIINYLLWHLLEFLQLGKSGDFVVGDVPSTTDHIC >Et_2A_015198.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:421910:422173:-1 gene:Et_2A_015198 transcript:Et_2A_015198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGRPQQLVTDGTERRSYPYVLTEAITRNNNATLDENKLKLIFCSRLHCAPNVPCWCCMNEKPKAYCYDTENECRHECVVCQPHCPPS >Et_7A_053165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8716335:8717072:1 gene:Et_7A_053165 transcript:Et_7A_053165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKAGCEHVIGIPVSNKAFGIEEPEFSSKAAAYHGDATNRTATRRVGKLGKAGERVAQGLKEHVTLGPKLYETVKGKLSLGARILHAGGVEKVFRQWFTVEKGEKLFKASQCYLSTTAGPIAGMLFISTEKIAFRSDRSLALTSPKGDTVRVPYKVAIPLSRVKTAKPSENKHRPEQKYVQVVTDDGFEFWFMGFVRYQVSLQELEKAIARAQ >Et_2B_019868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14764846:14766853:-1 gene:Et_2B_019868 transcript:Et_2B_019868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHLKYLRTLIVEGEFKDQNTENVFGEILKGLNFLRLLSLRRTSSSAILNEVFNLTQLRYISLFKCGRADLCKIFKLYNLRVLKIHYLLAEAMDFEGITNLEHLRYLEIPENCHPNVRKVGYLSQLQELDNFVIRKTEGCGVSTLENLLHVRRLGLQEMENIDCQDAMNLNLKHKTELKSLSLAWSTHSVDALDDQILNNLEPPTGIEELRVMGYSGHIPVWMVKGSLLQLVYLEIKDCMRWESIPSLTPLQFLKHLRLEHLSNLRHIGDEFEVHICPKLKRLPYLPLSLSQLALDNVGLEAFPKLELVMDSQPNQSSSSLVQSNLVFLHVENCSDLGSLNEGLLQQQEHLGSLQKVVFKNCENLEHLPLDGFSALAQLKHLRIISCPMLRMRRDTENNLFPLSLKYFDVRFCSDVEVSMLKSMQRIVALRRLSLFDCDNLKKLPSEGVFKALGMLDEVAIVRCKNLLSLGGLGAAESLRFLTIVWCEKLEVSDAVSKESCAGCFFELDRLKISHQNLLLVEPLMKLQSTKELHICNDDRMTHLSKNWSMNNRASLRSIVIGVADSLLSLPSWLVELEKLQFLHVARCFLIDSIPEMPSSLRKLTIWGCHPLLLDRCQKDIDADWPKIAKIDADLQASTGNSFYPVFT >Et_1A_004712.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:33051365:33051634:1 gene:Et_1A_004712 transcript:Et_1A_004712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMAALSRGSGQDALATTYAATTACVTMLSRWRPHNSLSCFEKAVMVSCPPRWTSTKGAQKDMSWWRGSLAWTARLPSTKMATTSADR >Et_4B_037404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19445149:19452165:-1 gene:Et_4B_037404 transcript:Et_4B_037404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSLCCCKIALCRESNCDISPRYFAGKMATTSPTNIRRKYSWWWDSHICPKNSKWLKENLSDMDSKIKLMIRIIEEDAESFAKRAEMYYRRRPELMTLLEELYRAYRALAERYDHAAGELRQAHRKIAEAFPDQVLMDLDDDLPADTASIETDMENPDMNQYFLSFINASDSRRHAKDDQDCEKLHNELASLSQENQDLKNRISPILEQSNKAECEILRLKESLAQQEAEKEAAVSLCQQSTARLQNLKSEILHTQEKFNRLKEEMQSGRQSFNKADELSLLLERDNQDLRLELDNMKVLLKQKQDELNEKQAELEKLNISTEEEHLKRMQAEMAQLSLEKQLLVVQDKLRHLALEKQSDISKIKDIEASKSVLQKELEKILEDNQKLNDQGHSFSAVIIHLQNEIISMKNAQQRLEEQVYRHVEEKKALQHELANLKEDKSDLERKHISIKEQIQSVNSNVESLQSLAQELRDGNVEMKDIIKNHESIELLHIDSLRRLERMSETNAHLEKSLSAATTEIEGLRVNKVSLEESCMDLKSKIHTHESERAMLVAQIEAISQTMEVLLEKNVFLENSLSDANAELESLRRKLKELKESSEALRNQNSILISEKRTLVCQVDSVTFTLLNLEKQYKELERRHSDLQKEKDMVLDEVIKLQEQIRLERKEHEDLAQSSNTRFDALQKNISLLLEQGRNREVQLGEEELKIVKAQIEIFVLQQCLNDILQVNSDITAQLQKKEVICKVQEGKMNNLSQYNQKLTEGIDSVVRVLHLERKYESLDQMKLEIIVQLILSEINCLLNTISDAQDVKQNELVEKSLVVTLLEHFGQEVADLRSERNILKQDQEAKNEKLLQLQREKEELVKISDEFMEQVEVRNHKVDELKAEAKFLVGRLSELQESRKSLQSEITKLLQANTLISNELNDSIEKRKMFEQDFSNLVTEAVSKDILSVIFRSLHQERTLQLESLHNNFGCLQTAGSELYQEIKMMNKKLGNLEIENNYLGKELDRTMSVYGVPLVQTAAEKGRPWRDLLEVEQHKEVGDADFQESNEMLQEEVHKLQSEVEMLRSKERTVFDIKSCDEEIAKLLANMQTAIMNAALFKEKVLELIITCESFEISAMVQKEVLKEEITRRNSYVDELKDKLNAVEIENRRLKVDLNGDFTVLGSLQTEVNTLEKQTLSLANDCLQPNMLQMEPLKNTIRTGDNDNAMRMVKNMELQKLHGTIKALQKVVTDTGVLLEQERLDFSANLQEAKKQIEVLKLKEMLDDDIMEMNYEKMLKDIQLDLIQTSSGRRTTPFGQEKKSVAPVDDKVVNFRGIVGPSNGRMTDDFRPPQCESFERDNNKQVSFDLTVVKELSIDKQELPRSITSEPHQEWKNKVVERLSSDAQRLNALQSTFQELKTNAETSEELELESIRYQIREAEDTIIQLIDTNGKLSKKAEEFTSASGPDGDNIDLRSRHQRKILERARKMSEKIGRLEVEMQKVQQALQKYEEEQSSRKTSKALQRRSKVQLVEYLYGRRRDSRRQKRSSPCGCIRAKTTDD >Et_7A_050846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11675231:11683395:1 gene:Et_7A_050846 transcript:Et_7A_050846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGKSIDDKFSKLHPSWPVDTRIGIVGAGPSGLSAAYALAKLGYRNVTVFEKCQTVSGMCESVDIEGRTYDLGGQVIAANSAPVITHLAKELGSEFEEMDSHKLALIDSQTGDIQDLEVAEDYVSMVSLTLKLQEEANRSGRVGIHAISGLASDPTLEFLKQHGISSVPKSVAYGYTASGYGFVQDMPYAFIQEFTRTSMAGKIRRFKDGYMSLWEKLSESLPFEVLRGTEVLRVKRDSCSASITIKSNSGDMEVREFDKVILSGALAFKNGKTYRSSNLTDGENEVVELNDLEKELFSKVQTIDYYTTVVKIEGFENTPKGFYYFGEYMEDPTTIGHPVAMQRFFADTNIFLFWSYGNSADIKGSYVAKCVTDVVTSMGGTVQKVLLQRRFKYFPHVSSEDMKNGFYERVESQLQGFQNTYYVGGLLAFELTERNAFYSISSICKHFATDSELPMTPYVKRLFPLSRSNPSPPRDIGELEGVQFPDLPSLDGYLQYWGTHTVTEKKIIYTWINEEGKIMNRRTYQELHHNASHIAYKLLTSTKPVIKPGDRVILIHLPGLEFVDAFFGCIRAGIIPVPVLPPDPMQRGGQALLKVENVSKVCNAVAILSTSSYHAAVRAGYVKNIVTLAKSAQKCSAQWPDLPWIHTDSWIKNYRRSPGSFNVEDVASMISKPRPSELCFLQFTSGSTGDAKGVMISHGGLIHNVKMMKKRYRSTSKTVLISWLPQYHDMGLIGGLFTALVSGGTSILFSPMTFIRNPLLWLQTINDYHGTHSAGPNFAFELVIRRLEAEKDKSYDLSSMVFLMIAAEPVRQKTVKRFMELTQPLGLSEGVLAPGYGLAENCVFVSCAFGECRPIFIDWQGRVCCGYTDPNDTDVVIKIVDADSLTEHQEDGAEGEIWISSPSSGVGYWGNTEISQKTFCNQLENYPDKKFTRTGDLGRIIDGKLFITGRIKDLIIVAGRNIYSADVEKTVEGSSEVLRPGCCAVVGVPEEVLTQKGISVPDSSDQVGLVVIAEVRDGKKVSEEIVENIKTRVAEEHGVTIASVKLIKPRTISKTTSGKIRRFECMNQFVDNTLSLANSNHTAKKKSLFRSLTTGAGMERRHFFKQTGDPTVRPQLRSKVKSSTEIIEFLTQLVSDQSGVPKDKISPTDSLPSYGFDSIAVVRAAQKLSDFLGTPVGAIDIFTASCISELADFLVNLLHKSQPQPQPIPQLRSKVKKSKEIVDFLIQIVSDQTGIPKDKISPTDSLPSYGFDSITVVRAAQKLSDFLGVPVGAIDIFTASCIAELASFLENLVLKSQPQLELEESCYPEDESLVRPIDAISSDLSVFASGTLQLLGLTYVCFILLLPAYLVSLTYSSMLSSVSLVKSSLLSYVSSLVMAPIAWICYALFTSLSLSILGKSFLQPNYVLTPDVSIWSVDFVKWWALNKAQALAAKVLAVHLKGTIFLNYWFKMQGARIGSSVVIDTVDITDPSLLTVADGAVIAEGVLIQGHEVRNEVLSFRHVRIGQNASIGPYAVLQKGTTVHNGVVIPPLQKAEKGKSLYQTNNSSTHMKEEARAANMPFEHLVSIYAVGFLGALSSAIVFTLYTHFSGTKTSLQLFSFACVAGAFHWLPAVITAYAVIVRETPTSPMEFSLLIALAYLSYGIVLSILTSIANKTLATRTGTQQKDMTTGLIQRRITIAVHLRFAKMLSGTEAFCMYLRLLGARIGRHCSIRAINPLANPELISIGDGVHLGDFCNIVPGFYSKGFTGAEIKVQDNTVVGSGSLLLPGSVLQENVILGALSVAPQDSFLQRGGVYVGAQSLTLVKNTLLVEDERIEEMDPLYKKIVGNLAANLAITTMNVKSRYFHRIGVSGRGLLKMYQDIPSLPKHKIFGAGKSFPVIVRHSNSLSADDDARLDARGAAVRILSDDGEVPLLDLTLKSGKAFYARTIADFATWLVCGLPAREEQVKRAPHVRDAVWGSLRNTNSYTELHYYSNICRLLRFDDGSEMYAKFKLRPGDQNISEESGQVVPQGILPPETGAIPRDESDTRPLLFLADEFHRRVESPEGVRYVLQLQLRDVPTDSTTRDVALDCTRPWDEAEFPYIEVGEISIGHNVPTSETEKLEFNPFLRCPEVDVISATSCTQSASIDHGRSLVYEICQRLRNGEPLPASWRAFLEQSDTKIDLSGCPVIAATRSSSNIFDAAKVTLARAWYQALWATLCQPLLQTFVPYFAMGLAIFLPLRGLLAVSAAIDIPLYWLLPIFWATSGVAAMATCAAAKWALVGCRADGDTVHIWAPAVFLDTVWQAIRTVTTEYFAELTSGSVLFVAWMRLMGSSVAVSDGVYVDSMGALLNPEMVHLERGASVGRDALLFGHVYEGEAGKVKFGEVHIGEDGFVGSRAVAMPGVRFEDGGCLGVLGLAMKEEVIKHKL >Et_9A_062484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23478559:23479955:1 gene:Et_9A_062484 transcript:Et_9A_062484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMLRVRLASFFAGAAAAAAGGGYFLYKDYKLAHDSMALQVKGLHDSTDARYKALEKRLAALEGQQTTAVAPEVETPSN >Et_2B_020952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25073941:25079412:-1 gene:Et_2B_020952 transcript:Et_2B_020952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDGGLSFDFEGGLDSAPAVGGHAPSSVDPGAGGGGGGGGGGGDGQGYGRGRGRGSYRQTVCRHWLRGLCMKGEACGFLHQFDKARMPVCRFFRDFGECREPDCAYKHSYDDVKECNMYKMGFCPNGPTCRYKHVKLPGPPPPVEEVLQKILQMRSFNKFGPHRNNNYNQMERLHPPQAQAASVQQAQTMNQQTPQQQQKPNSTDQAQGVSNGLSNQATRIATPLPQGPSRYFIVKSCNRENLEISVQQGIWATQRSNEAKLNEAFESIENVILIFSINRTRHFQGCAKMTSRIGGYIGGGNWKSAHGTAHYGRNFSIQWLKLCELSFQKTHHLRNPYNDNLPVKISRDCQELEPFIGEQLASLLYLEPDSELTAMLTAAEAKREEEKAKGVSADEAADNQDIVLFDDNEEEEEEESEEEDESNGQESQGRGRGRGMMWPPQMPMGRGFVPMMGGRGFPPNMMGDGFGFGGGFGMPDPFGMPRGFPPFGGPRFPGDFPRGPMPGMVFPGRLPQPGGPFPMGLDMMMGPGRGPLMGGMGMGGPGRPNRPMGMAPFMPPPPPNNRGAKREQRKPGGDRNDRYGRSTLRDDDSESEEEAAPRRSRKR >Et_4A_032697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12697019:12698092:1 gene:Et_4A_032697 transcript:Et_4A_032697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLRLAVVTACVLMLASACQGLQVGYYATTCPRVEAIVRDEVKKFIYKDAGIGAGLIRMLFHDCFVQGCDGSVLLDPTPANPQPEKLSPPNNPSLRGFEVIDAAKDAVELACPGVVSCADIVAFAARDAAYFLTRLTLKIDMPAGRLDGRVSSSSEALNNLPPPFFNLNQLIGSFAAKGLDVDEMVVLSGAHTVGRSHCSSFVSDRLVVPSDMDAGFANTLRKTCPANPTPANDPTNVVDKKVLFTSDAALMSSPTTAKMVQDNAFVSGLWEGKFKTAFVKMAGIEVKTGLQGEIRKNCRLVN >Et_1B_014429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8497447:8503613:1 gene:Et_1B_014429 transcript:Et_1B_014429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIIRRRKHLLDHVNSPILSSSYFSTSQHGRSGVEAEPRIAQRFLEQRFQDSRCEKERYSVNLTKGDLTALRNGFLRRPSHGIPLSGCGIGSNEFVFPLGARSLLQSVRTVSTATAGQPKLDINDEQSEDQKQSKKKKEASPEECDQAVEGLSTAKAKAKAKQVQESLKADQSVMQKFWARLLGIGPALRAIASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLASGKSLSRRERQQLTRTTADIFRLVPMAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEQMKRKLKARIEYAKFLQDTAKEMAKEVQTSRSGDIKQTAEDLDEFLNKVRRGERVSNDEILNFAKLFNDELTLDNMSSIKNDDKMIQAEGVESLSEEELRQACRERGHLGLLSTEEMRQQLRDWLDLSLNHAVPSSLLILSSLILGNDVRAFTVSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRRKLEFLEMQEELIKEEEKRKEKEEKAKQEKEEKAKLKEVESAEEDLALKEMTEATAKEEEELRKAKQHDKESINRISRALAVLASASSVSKERQEFLSLVNKEIELYNSMLEKEGTEGGEEAKRAYLAAREESEHDAEVAAEEKVSSALIEKVDSMLQELEKEIDDVDAQIGNRWQLLDRDHDGKVTPEEVAAAAAYLKDTIGKEGIQELISNLSKDKEGKILVEDIVKLASQTEENNEEEEGARQ >Et_8A_057030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20345946:20349485:-1 gene:Et_8A_057030 transcript:Et_8A_057030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLPLWRRPTHAQALARRHLRSTVPSDIDDDPPFTRIPKPHPPRPPSPPPKPKAGASKIRPDEPASSDLPFDFRYSYSETDPAWRPIGFREPTRFSPFGPGRLDRPWDGVAAAAGGDCADAKEFGVGGRSREEVLGEPLSEEEVAELVERYRHSDCSRQINLGKGGVTHNMLDDIHNHWKRAEAVRIKCLGVPTIDMDNICFHLEDKTGGKIIYRNINILILYRGRNYDPTQRPVIPLMLWKPLAPIYPRLVQNVAEGLTFEETKELRNRGLKSSPLMKLTRNGVYVNVVDRVREAFKSVEVDLVPCVPLLFKDEQIILWRGKVNQEKSDSSQSDSKPFDSGGRGINGGDLLPRGVRLVPRPRADAGAEVDDHLERLEHPVPVEHPVPHAADDAVVGVVRLPVVRGVLRPRHEHAGVLQRLDARRQPAPLLVRPRVELLAEHDERRERAGERVRRGGPARGGDGGVGGEEGDGGGAGQRVQGSRNVMVDGWRWWPWNAAIWAPNTGVEASP >Et_1B_009718.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:19971053:19971340:1 gene:Et_1B_009718 transcript:Et_1B_009718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCLRRIGLSPSSPQLPPFVDWWLRSSKRVPKLSRKGFDTLVVLVAWRLWKKAEQQNLGIPRATTGCVGSRNNRRGFSLGVGRILQIEGPCLPL >Et_6A_047244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2839626:2842007:-1 gene:Et_6A_047244 transcript:Et_6A_047244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVISAAAAFLLLLLCHASTAAAAAGRRPPPTLARHLSSSSALLSARQAAATAGGEVDPSSAVRYETRWYAQRLDHFNSAPASYATFQQRYIVNDTFWGGKTAPIFLFAGGEADVELFSNYTGFMWEAAPRFRAMLVFIEHRYYGRSMPFGSREAAFTDASTLGYLTSTQALADYATLVLSLKANISAASAPVVVFGGSYPGMLAAWMRLKYPHIVVGAVASSAPVLGFYGLADPYAFYDVVTKDFKDESKNCYNVLRKSWNELYDALATEAGRAELNRKFKNTCNGNVDMIPELLDAALVGSAMMDYPTKTAAGGFRAVRDMCRAIDHPTSSSGSGSGADAFSRISAAVNVYYSHYAADAADAACFGARAGAAAGEPYGVGLFDGWGWQSRTEMILMTAGVREDGVVRPRAFNFTEMLDEQREYTGLPLRPYWIETEFGGFDIGNVLKRSASNIVFFNGLRDPWSSGGVLKSISDSIIALVEPQGAHVVDLKFSEKDDPEWLKQVRVKETRIIARWLRQYYSDERISA >Et_3A_026149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4909343:4914155:1 gene:Et_3A_026149 transcript:Et_3A_026149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTTEVNGHKSQKQYNGRACNGKPVNNFGDVDPWTAWAYKPRTISLLLMGTCFLIWASGVLDPEGSFSADRVSSVKRGVFAMIAVFLAYSFLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQTRDDARLFMKYIHPDLGVELPERSYGTDCRIYVPDHPKSRFNNVYEIIFDEFVVAHILGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMKTVRYFDGRTYEWVGLSRQPNIISKVKRTLGQFTPAQWDKDEWYPLQGPWRFIQVLSLCIVFMAVELNTFFLKFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDSKPFKKVGSFCWLSLAICIVELLICIKFGHGLFPKSMPSWLITFWTAVASLLVIFLLVFHCDV >Et_10B_003983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8973129:8975650:1 gene:Et_10B_003983 transcript:Et_10B_003983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVVSVSTGVMNSLPSKLYTLLSEQYKQLKGIRRDIEFLSSELSDMNAALEKLADLEKLDGQTKVWRDKVREMAYDIEDCIDIFMHHLCQGSDKDGLIDKTSAKVRKLRVRYQIASKIQELKARVVEQSERRYRYKLDEATSHLAVVAVDPRLPALYVEETELVGIDGPQEKITRWLMEEESLGKTTLANQVYTKIRNNFRGSVKKPDMLKVLKDLLAGVGYNDTQMPDDLQKAIDILREHLAEKRYLIVIDDIWNIEAWDIIKCGFSSTSFCGRVITTTHIESVAKACCLHCYGQVYNMQPLDDIQSRRLFFRKIFSSEDVCPEQYRSISEDMLRKCKGVPLAINSIANLLASQGMRVEKWEKIQNSLCSELETNPALEWMRSVPNFSYNDLSHELKTCFLYLGNIRKIIPLKILIC >Et_4B_040060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8797312:8799845:-1 gene:Et_4B_040060 transcript:Et_4B_040060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRVGSVDGTSPAASNGAVGCPASAPGCPMTSAARPAAPVSAGEASLGRHLARRLVQVGVGDVFAVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIAGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCHQAVVTNLDDAHEQIDTAISTALRESKPVYLSISCNLPGLPHPTFSRDPVPFFLSPKVSNKMGLEAAIEATVQFLNKAVKPVTAQDVSTMIRCAQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTSKVKCEEELTAAIETALGQKKDCLCFIEVIAHKDDTSKELLEWGSRVSAANSRPPNPQ >Et_1A_005607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1239920:1245958:1 gene:Et_1A_005607 transcript:Et_1A_005607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVRQPVLCAVTGGGGSGKEKWAPQPQRPWWGRNKQSLPHQPRQWGGNGGGGGALDQVLGVLRRDSEFLQAAAGAPLRDAIWLRFLEKQQQRKQLKSKPKPSQQQQILQQRQEEEPARDAPAFPPPSYPPGLSCTELMMADFQALKVYVGSSQNAIARRFLGSKRQPKSQQQPKPKPLEHQKEQQQILQPPAFPPPSYPPGLSSMELMMADLESLKLYFDYFSTILTTPLPQHYDPDLLAQYFVSRPHILAFRTIQILFAFFSAAVKLQISKRLKLTTDATNNSGFDASQYMVGQLLKETFLDLGPTFVKVGQSLSTRPDIIGSEICEALSELHERVPPFPREEAMEIIEEEFDCPVSHIFSYVSDEPVAAASFGQVYQGRTVDGALVAIKVQRPNLLPSVLRDIYILRLGLAFVRKVAKRRSNISLYADELGRGFVGELDYNIEAANATKFLEYHSRYPFMLVPKVLKQFTRKRVLTMEWVAGENPKELLSLSRGISNKITEASEKQKLEAKTRLLDLVNKGVEASLVQLLDTGLLHADPHPGNMRYTPEGRVGLLDFGLLCMMEKKHQRAMLASIVHIVNGDWASLVYDLTQMDVVPPRTNLRRVTMELEEALGEVAFVDGIPEIKFSKVLGKIWSVALKYHFRMPPYYTLVLRSLASLEGLAVAADETFKTFQAAYPYVVRKLLSDNSPETRRLLNQAVFNKRKEFQWQKIVVFLKLASARGNFKYNNGVMPDTKDTNVASLAEISDSSSLDRATPEMALRTANLCLRLLLSRDSVVIRRLIMTANAKSLVRDMISRDARMLRVLLSKVVADIVCEWMLNAALRDRRLQVIFAKFMRELKEEPLLMVRVSWNMFVISVASAAMGLHRFMVCLSEEYLPTLSPHVPPPRLVQIQSL >Et_3B_031256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22939802:22941136:1 gene:Et_3B_031256 transcript:Et_3B_031256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPRGAICLLAASVVLCTAGLRSDASRSAADISFDDYEDNGGDDPSSFSFTFPDTGSRGLAFGFYDEACPDAEEIVSSTVRRLYHADPNVAAALVRLFFHDCFIHGCDASVLLGRADGRKSERDAAPNQSLRGLGAVEQIKARLEAACPETVSCADVLALVARDSLVLVGGPSYPVLTGRRDSARSFYDEVDAHFPGPNATYAVTLDAFARRGFTERETVALLGSFSFSNSLAPRALAGAHSIGKVRCKFFRDRIYDFAGTGEPDDSIDPDMVGEMRAVCGGGGDGAAPLEMGYYRQGREVGFGAHYYAKLLEGRGILRSDQQLTAGSTVRWVRVYASGERGQEVFREDFAHAMVKLSVLSPLTGSAGQIRITCTRPLGDYEP >Et_9A_062189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20559309:20562270:-1 gene:Et_9A_062189 transcript:Et_9A_062189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADAGFGFAAAYSPAPAALSSSQPLDFSSFSSAPAPALHQDACIPIPMDDTYAALPLIADASEIAGAHLGNMVQPSLVSEYDLGGEGDLFKAPEPIINEPLLSLDPVAAAISMMSGSETAMDETLKAADIGNIQNDPLLSEVLYECEKELMEKSAIEETISELLDVKIPMLQVEEMPRQVDEALVQVELSTMEKEKSSIPECSLQKSVSSGCLNSADWINGPVRPNFLDFQGLDFETAFGMRRAYSEGDIQNLGANTPRPANSASVQTSCERLVTISDLKSEERKQKLSRYRKKKIKRNFGRKIKYACRKALADSQPRVRGRFAKIEESDLLKPK >Et_2B_019526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:71686:73023:-1 gene:Et_2B_019526 transcript:Et_2B_019526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTANRRPYGRGGPPTTGYVRRGSVPANNAKPRAAAPVALRKPVFTTIEQLRPQTHGHTLVARVISARSILDKPSPHLGRSRLAECLVGDQTGTILFTARNDQVDLLKPDTTVIFRNARIDMFKGTMRLAVDKWGRIEVTEPADFTVKEENNMSMVEYELVDVVDEE >Et_7B_055476.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:11355125:11355918:1 gene:Et_7B_055476 transcript:Et_7B_055476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPPPTAAPAEALPWPPPPTYAGATASTYASHPSRASSSYSSSRHPSPPPAPPQAAPPGPPSCAACKHQRRKCTPDCVLAPYFPSSQPDKFRNARRLFGVKNMLHILQAAGPEKRELCMRTIVYESDVRRQEPVHGCLAVIRDLENQLRDTYIELAAVQEQLAPYRQQAALGLLQPPESSHGAVVRQQQTTGWQATPETQSSSRSPHGGTALSLAARELTVRQPATMQLQPAAMPGQSASDMLQLATSPHPPMMPDEEP >Et_1A_007903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39356672:39365983:1 gene:Et_1A_007903 transcript:Et_1A_007903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPAGSGGGGSISCRVAVTAAALILLQLCSCAAGVGGDGKYGGGAKYKDPKQPLNTRISDLLKRMTLAEKIGQMSQIEREKATPDVINKYFIGSVLSGGGSVPAPNAPPEAWMKMVSGMQGGALSTRLGIPMIYGIDAVHGHGNVYKATIFPHNVGLGCTRDPELAKRIGAAVALEVRATGIPYVFAPCVAVCRDPRWGRCYESFSEDPKVVQLMTSIISGFQGEIPAKSPKGVPYVAGSHSVSACAKHYVGDGGTTNGTNEGNTVATPSELFGIHMPPYYNAVAQGVSTIMVSYSSWNGIKMHSREDLITDFLKKKLNVLSGGGSVPAPNAASPEWVKMVKEIQRGALSSRLGIPMLYGIDAVHGHNNVYKATIFPHNIALGCTRDPELVQKIGAAVALEVRATGIPYVFAPCIAVCRDPRWGRCYESFSEDPKLVQQMTSIISGFQGEMPAKGRLGAPFVAGSRNVAACAKHYVGDGGTINGINENNTVASFHELLSVHMPPYYNAVIRGVSTVMVSYSSLNGVKMHANRPFITDFLKTKLRFRGFVISDYQGIDKLTTPLHADYVLSVKLGILAGIDMVMIPYAYTEFIDDLTALVQNGTIPTSRIDDAVRRILRVKFTMGLFENPYPDASLAGELGKQEHRDLAREAVRKSLVLLKNGKPGDKPMLPLPKTASKGILVVGSHADDLGSQCGGWTITWQGLNGNNLTAGTTILDGIKRAVKPGTDVVHAENPDASFFQQNKAKFDYAIVIVGEPPYAEGFGDNLNLTIPSPGPSIIRNVCSSIKCVVVLVSGRPLVVEPYLNAMDALVAAWLPGTEGQGVSDVLFGDHGFTGKLSRTWFRKAEQLPMNVGDKNHDPLFPFGFGLQTQPSKGY >Et_3A_026850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23736356:23738044:-1 gene:Et_3A_026850 transcript:Et_3A_026850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPKCFQRVHSSPSIFTSIKEAPYDDELDGPSHAVEYTPSIARQAIVSIILYISIGVFVYMTNVEGFKGKSTFKLVDALYFTIISLCTIGYGDIVPSTNFTKVFTCLFLLIGVRFLDIVLNELLTNVLDKQRTVLLSTMDDNKLNKVFDTYMIDAERKRSRGRTKVVLALAVVAGAISVCTVIVHEVEGLSWIDSFYLSVISVTTVGYGDYSFSTTTGRLTATVCLLVSTLAVAKAFLFLTDLRMDRRNRRTTKWILQKKRDNEPLVASLDSDAAVSHIQIKNPCNPENN >Et_9A_063385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22354703:22356963:1 gene:Et_9A_063385 transcript:Et_9A_063385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPFQKIGHGLDRFSFRRRRSASSSSPLSLASDDGDSCPMEAPAGAAPSSRRALARSCRSKGRLLLDPPAPLAGGPSDKAAAAGSSSPPPPPPKPAPREGPPSDAEMVREKFSKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEQRRLQPMAAEQKARWGTEIDWLLSVADHIVEFVPSQQVSPDGTSMEIMVTQQRQDLQMNIPALCKLDAMLLEYLDGFNGEQEFWYVKKGADESEKGNTPRQDDKWWLPTVRVPPKGLSESYRKWLQNQKDLVAQVLKAAMAINANVLIEMEVPEAYTESLPKNGKSSLGDSMYKLITDDYFDPEELLSSVDLTEEHNIVDLKNRIEASVVIWQKKMTLKDSKLSWGHGISHEKRGMFEGRAENVLLLIKHRFPGIAQSALDISKIQYNRDVGLAILESYSRTLESLAFTVMSRIEDVLNADSAAQDHMNVESMRIPILSTDETDKVVSDAKAEVDKFRRMEPVSPTLFDFVGPRDQDLNSYTSKEDTNGPKLTKVTSIATRRFSYLEKLEHLGGTRSPVSRH >Et_10A_000098.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:23241554:23241964:1 gene:Et_10A_000098 transcript:Et_10A_000098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHAGTLAPPLLLHRQPRPLLHHRLPNPATLSSLRPRGSRLTVARAASGGNGNGDGDGSGPLAEGEDTERQGIRLGDLLSPEPSNAVAVVLTGALAWAGGSLLLQLTLIFFSIFTAAIKYSFIAAILLLILLALL >Et_2A_015898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18951882:18953769:1 gene:Et_2A_015898 transcript:Et_2A_015898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADEPAAAAAVSSSSPITGDDTFFDALDFLPSSSPSPSPSPPPPRTPSSTLRRRPRRAKSLKHQESILSPSPSASAATSTVTTVDDEPPLKPDSSEAPLKPDSSEAPLKPDSSEATSAPPLSPEEEAPEKNKDVEVEDRAPTPTPAPGILEYLAVLVIKAVVFQVSALISCLSFPVRLLQWWFLFVTDPLGLARRVRSWALGVAGEAVGMVTARLGGGEGVGMVARRLVWGSLWAVYVCVVLCALLVMAFLGGGLLVGRMVEEPVQVMETLNFDYTKPSPVAFVPVPRLVRPNQRMQLEVSLTLPESDYNRRLGVFQVYFLLVHVKVKAEFLSADGKVISTSSQPCMLKFKSVHMHFIETFLRSVSLLSGYSSESQVIRLKLRGITEGLEPTTGVRIILEQRAEFGPGAGIPEIYAASLKLEAELPLFKRILWNWRWTLFVWSSMGLFVFELLFSLVCCRPCIFPRSGHNVAAP >Et_2A_015324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1132894:1141424:-1 gene:Et_2A_015324 transcript:Et_2A_015324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLSSLAASAALCLLSAVAIALLLVTLYILGVVASFAVFCVSEFAQKAQDRPPLIGTLFRMLKEFDRLFDAHVQYALAHRTSRLVFPGHSEIWTADPAVIEHILKNSFSKYSKGDFNYQIMKDLFGDGIFATDGERWRHQRKLASHEFSAKVLRDFSSGIFRTNAAKLAEKITYGAANRITINMQSLLSNSSQDLLMKTTMDSIFKVGFGFELDTLSGSDESSIQFNKAFDEANSLVYHRYVDILWELKRFINIGSEAKLKRNIEIIDNFVMKLIHKKRAQMKNELDQIVREDILSRFILASEKDPETINDRYLRDIVLNFLIAGKDTTANTLSWFFYMRCKNPIVQNKIALEIEESLEWAKEDNIENFTARLNEGAIDKLQYLHAVITETLRVYPAVPLDGKMAEEDDTLPNGYRVIKGDGVNYMIYAMGRMTYLWGEDAEEFRPERWLVNGVFQQESPYKFVSFNAGPRICLGKEFAYRQMKITAATLLHFFRFKLSDESKEATYKTMFTLHIDNGLHLFAYPLLSLLLASAVALLLVVLYILGVVASFAVFCIKEYAQRAPDRPPLMGTMLRQLKNFDKIFDENVQYAQQHRTCRLLYPGHSELFTSDPAIIEHVLKTNFSKYSKGTFNIGVMKDLFGDGIFATDGEKWKHQRKLASHEFSTKVLREFSSVLFRTNASKLADKISLAAANGTIINMQELLMKTTMDSVFKVGFGFELNTLSGLDESSIQFSNAFDEANSLVYHRYVDLFWELKRYFNIGSEAKLRRNIQIIDDFVMKLIHQKRKQMDGQDDKAREDILSRFIIASKKDPETMNDHYLRDIVLNFLIAGKDTTANTLSWLFYMLCKNPIVQDKVALEIKDSVEGAEADNSTANFTSRLNECVIDKMHYLHATITETLRLYPAVPVDNKMADEDDVLPNGYRVIKGDGINYMIYAMGRMTYLWGEDAEEFRPERWLVNGVFQQESPYKFVSFNAGPRICLGKEFAYRQMKIVAATLIHFFRFKLADESKDPTYKPMFTLHIDSGLHLYAFPRSA >Et_4B_037465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2031167:2035720:-1 gene:Et_4B_037465 transcript:Et_4B_037465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTLPQLYLFNSMTKQKEPFQPVIEGRVGMYVCGITPYGFTHIGNARVYVVFDPSPLNPRTMAERKTPPQLELFNSMTKKKEPFQPRVEGKVGMYVCGVTPYDFSHIGHARAYVAFDVLYRYLQFLGYEVEYVRNFTDIDDKIIRRANENGETVTSLSSRFINEFLHDMADLQCLPPTCEPRVTEHIEHIIELITKIMENGKAYAIEGDVYFSVDTYPEYLCLSGRKLDHNLAGVRVAVDTRKRNPADFALWKSAKEGEPFWESPWGRGRPGWHIECSAMSAQYLGHVFAIHGGGKDLIFPHHENELAQSRAAYPESEVKCWMHNGFVNKDDQKMSKSDNNFFTIRDIIALYHPMALRFFLMRTHYRSDVNHSDSAIEIASDRVYYIYQTLYDCEEVLSKYREEVVSVPVPVEEQKLIDAHHSNFLDYMSDDLKTTDVLDGFMDLLKAINSNLNDLKVLKQLKEKALKRAGHTEEQLQELIEERTAARKNKQFDVSDGIRKKLAALGIALMDEPTGTVWRPCEPERES >Et_8B_058981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11912145:11917054:-1 gene:Et_8B_058981 transcript:Et_8B_058981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCNGVGQVASVAQLAGVDAYGLIKMIVEAVQTVRRNKETCQKLARRAEMIRDLLQQLQEAPLMQHRDTRKPMEQLELTLQRAYLLITSCQGSSFMYHCFTGANKSDQFREVENDIAFFLQLFPLVDYVDTTRTAEAIESRVQGVMKHGSDNEDQVARNGIFYEQPKRKLKMQSIVNKELGKLPDGIEIAVKRHAAMSSQGLQEFTAEIDVITNLQHKNVVRLLGFCIQKQKDILGYQIQGLKMILVYEYMPNKSLASFLYSCNHSHFNFHCTLNLLGYYNFFIWFSDTKTEDALNLPMRLRIIEGVAQGLVYLHEHSHQCVVHMDLKASNILLDYEMAPKISDFGMAQVLASSSSEETSTIVKGTNGYIDPEYVKSGKYSVKSDVYSFGILILEIISRKRCCQLLPSGDMLDLPTCVSRSILT >Et_1A_009188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32377322:32378209:-1 gene:Et_1A_009188 transcript:Et_1A_009188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAALLAPTGTEKRGGGEEESLVVVPEVKKQLYLAGPLVAWCLLQNVVQMISVMFVGHLGELALSSASVATSFAGVTGFSLMAGMSSSLDTLCGQAFGAGQHRLLGVYKQRAMLVLALVCVPVAALWACTGEILARCGLDPDIAAGAGSYIRWLVPALLVYGALQCHVRFLQMQNLVVPVMLSSGATAQFHPAVCWLMVRRLGLGANGAALANAVTYLANLSILAVYVRLSPSCKRTWTGFSSEAFRGVPDFLKLAVPSAVMVW >Et_9A_062598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24312432:24315823:-1 gene:Et_9A_062598 transcript:Et_9A_062598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQASAGEAFAAQINAMSRSEMYEMMSQMKKLIDHDQETVRRMLVDNPDVTRALFRAQVVLGMVKTPKTAQSSEVTQPTVASTAPSSAKASAQDRVSLPQHPLPSYQQGVAHHSGPLPSAPSNVASTMDLPTMPANPPQSAQAKGYPMHQMPAASVPQSSQHPNMALPHAPLQYSNLPSHMPIVHSQPQQPLQNPGIYNQQLQPPLPPMPRPPSMQTFAHQMHPQVSNSFGLAHANAPQHILQQSMFHPGGNPPQSSFLAGQPPLPNQPPPQLYQATSHYTSQSTTPMQVDRSTPWGRGPEGSTGGSHFPAQLPGLPGQMAQGIGGIQTGQAPLTPEMEKMLVQQVLGMSPEQINMLPPEQRQQVLQLRDMLRQ >Et_4A_035960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9551810:9554031:1 gene:Et_4A_035960 transcript:Et_4A_035960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSRAAEERSELVLPELVFANIFARLPAKSIARFRAASKLWCTRASDSDLLLDHHSRQPALPLFYNHRNVNDKGEDAFLMALYYYCLEAVDLRAVLPHRMLVRFEDEETMMFETLAVHGSCDGLLLLSYLDAHFVCNPATRHWARLPPLPPLKCNIVGFYAHGASGEYRVLYHRSDDDDYIEYYVLTVGSQQPARCIELRVSSESVGEALGGLVSSDVSPPVFLHGSLHWPPRPFGKDKILVFDTAAESFRWMRPPAISEYSKCFLFGTKEGKLALSTWDQNGWMAKLWIMQDYRNEAWTFRYDIQVPVDNRVGEVVPLSVAIVSQYGDMFVEVRGKVLHYDKNGILLQTLKSDGPVIRFTRHFLKESLVQHPFLRKRKDDDPEGPPFFKGLNSVWHFKEYKIGSWGFDVADCHFA >Et_8A_057608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4999342:5000244:1 gene:Et_8A_057608 transcript:Et_8A_057608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSPHILVVDDSIVDRMYVTRVLNKSCVRVTVVDCAQEALNFLDLEHDVNLIFTDYSMPGMTGYDLLTKVKESPKLKHLPVVITSTEGCPEMTEKFMEGGAKGFILKPIKLDDVPHLLSCI >Et_1A_005842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14596674:14597483:1 gene:Et_1A_005842 transcript:Et_1A_005842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLPWPQRSAALFALIFFAVLFSTSFAGRQCSFSTDKDALRQQTEAAEAEQKEAPPLVHARMLTVKTNDYGGYDPAPSMEKPRSKIIPN >Et_3A_024411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20518856:20521086:-1 gene:Et_3A_024411 transcript:Et_3A_024411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFLLNKNLRRGIMANSSAAGGSEISIQMPAAAVDPFQPEKCLNRCVRVVAFGEWAGDAFGTMASLWATLVLLAGYRSLLANEDFWLATVIIFQQAFRIFSRSYKLDNQSLFGTTKAVRWGQVRLSLAC >Et_9A_061697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15480512:15483418:1 gene:Et_9A_061697 transcript:Et_9A_061697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEIIRSTLLLLVVSSFFFLVSGIQNERLHAEAALAAAGNRDGDEKKVYIVFTARQPTSDDGDNIESFHHRLLSDALDGTSSSSAQERVVYHYTRSLHGFAARLTEKEKNRLAGKGGVLSIHERVVYRPQTTRSWNFLGLPLQEQKSLHQLEQDVIIGIIDSGIFMESQSFSDDGLTPPPAKWKGRCSESVKCNNKIIGAWAYSGDLPDGQVTPEDYEGHGSHAASTAAGRVVMNASLYGVANGTARGAVPGARLAIYKVCWDDGVCNSEDVLAAMDDAIADGVDVISASMASRFVNEYHEDALAIGAFHAIRRGVVTSVAAGNCGPTLGTVTNLAPWMISTAATNTDRKIVSKVVLGNGKHFQVNAINTFPDIVRQALILSIWYSGQAQPESSSQNGPDISAPGVEILAAWSTYNVVSEKMDHERRVPYNIMSGTSMATPHVTGAAAYVKSVHPDWSPAAIMSSLVTTATPIRSSSSIPEAEFAYGAGQVNPTGAVDPGLVYDAVEADYINFLCAQGYNATQIAAVRGTNATCSPPASSGQAELNHPSIAIPVLNYGVDFRETITRTVTNVGPVGSVYLAKVISAPGDITVSVEPEELVFSAAKKKASFTVTVSGTLSPAVGGRLGASASVVWSDGTHHVRSPIYVFPQQLGSFTSSESCRCKACGFGLPDTTSLRL >Et_6A_047700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:67840:69424:1 gene:Et_6A_047700 transcript:Et_6A_047700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSFVPRTIMGEDIKTFKEESEWPPSTFATHIFSSTKWKWEDRSFVRQGKAAGTIADMCSDRQSYQERVTFLGKSEKGVYSALLSQGRNRWPRFRVWSLNESCGQMVWVLKTDTSLQAMVENLHMDYTGTPWIVHYERGDASAEGDESEWDFDSGIALHEAQDKPTTNSSTPHLGQVAVGLLLATGRTVSCHELAPLQARGRPALSRAAVRHSRRFESPFCAAVVFVGQSKPELERLCRSLPLPASVLSRLGGVLAGEHPGVHLDIHLLVLGLVGCDASVLHARHDLGRPDADVGQRFQQLLVGVRVSFLDLVPQQQRLPRLARHRDALGQAAVRGCVGLKAAVLHLLDELLGRVELPDAAVCFD >Et_10B_003939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:855432:862351:-1 gene:Et_10B_003939 transcript:Et_10B_003939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFAGVGGGAAATEAGPSGGGSVLLELTPHRIAVCQLVHVFAPPAQAGLGVGLPFPFESVAHHNRLGLFLFTLTRSCEDFLEPPLEELLRQLKAVDDLTNDWLCEQLTSTLTALTSPDDLFNFFDKLRGVLAAPEGAGEDDVYLDPNSQLGVFLRCCILAFNSMTFEGVCHILANLVSYCNAADASYDLAEDEDYDTEMSNLMDADIGARAGVFDKYNQGYSTESHIGESSSSLAHAPMSLHDFDDANALKTDDGPTCLRSRWQLEAYLNQQADVLEKDPSSVPLNSFNATMTQLQTLAPELHRVQFLQYLNALCHDDYVASLDNLHRYFDYSAGMQGLFTRSVSPSQDIVVGKYESALLCLGNLHCHFGHPKKALEVFVEAVRVSQMNNDDSCLAYILGAISNLLSKIGMSNTVEIIGSPYSLGTNIGLGTPLSIQQQLLVLLKRSLKRADVLKLPSLLSFDHLSLAKFDLKHVQRPLVSFGPNASTKLKTCPADVCKNLRLSSRGLTDFGTDVLSTSNDNGSFSTSWLRNLSAASNSLRSSSTKPRKLHKNDFDNFYYHAQPSPIPAPVLQLAGSTYLLRATAWEHYGSAPMVRMNALVYATCFADAASQSDLSLAYVKLIQQLAVFKGYSAAFCALKLAEKKFPSSTSSHIQLLRMQLLHERALHRGHLKVAQQICDEFGVLSSSVCGVDIELKTEASLRRARTLLAAKQFSQAATVANSLYSTCYKYNMQVENASVLLLLAEIHKKSDNAVLGLPYALASQSFCKALNLDLLEASATLTLAELWLALGPSHARRALSLVYQSLPMILGHGGLELRARAHIVLAKCHLFDPNFLVSKDPYAVLDPLTQATEDLQVLECHEMAAEAYYLKGMAYNNLGRLDEREEAATSFKEHITALENPINEEDSLAY >Et_4A_032015.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:11960162:11960506:1 gene:Et_4A_032015 transcript:Et_4A_032015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GQCCRYQSVQFCPHLIRASIASKKRGTISLFGVFTAHFTPGTPTAAVTSIRNGIPRGIFADIYRTSMFAFFRLAIKNTFAAARRSMVASMLLMTELGYSFVNSLSSGKDFQVCG >Et_2B_021899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6701046:6708397:1 gene:Et_2B_021899 transcript:Et_2B_021899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTEGITAALIEDSEPSIPPGFGPFAAVALQEIQNDVKPADIHSSSVQVLQRIDDDVEILECLSSSSNCQSDTTCSTSWTKSCRKSLRNRPPIDYSRFDHIADEDSDVEVTEKGVSSVRQRQQFPKGVLRGCPKCADCQKVVARWNPSGARRPVLDEAPVYRPTEEEFQDTLKYIESIRPKAEPYGICRIVPPPSWKPPCLLKEKNIWEYSKFSTRVQKVDKLQNRKSSKKSRRGGMMKKRRKLSEPEENSSINHNQIGMQQNHERFGFEPGPEFTLQAFQKYANDFSDQYFMKDTSGNSPPSVEDIEGEYWRIVERPTEEIEVIYGADLESATFGSGFPKLSPEMESDVEHKYAKSGWNLNNLPRLQGSVLSFEGGDISGVLVPWVYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGKDAVNLEAAMRKHLPDLFDEQPDLLHNLVTQFSPSLLKSEGVPVYRCVQREGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPIGQNAVELYREQARKITISHDKLLLGTAREAIRAQWDILFLKRNTAENLRWKNICGPDSTIHKSLKARIEMELMQRKDICSPSQSRKMDAEFDSIDRECALCYYDLHLSASGCPCSPDKYVCLLHAKQLCSCDWDKRFFLFRYDVNELNILADALGGKLSAIHRWGVSDLGLSLSSCVKREKVQDSKIVRRASDGPRRSYMSQASAVSLVPSVVCTEQKTNGNKALDVASPGTNSLPENSGANNVCPSLGQVKSGNVSPVKEPCVKNELFCPTNNVSNREPQGIGGCKGLAPSSTVPSQPFSSNAVTRPLTTSGESMRTAHGSVEFIDSRESFSQIGESSSSLVEHHKRPPIMVGNEANMNPGFESANNRRSLMASNSNATLSHSFKDRAVITREANSAFVTKNDTSQPRTGSHQLVNSVSRTQSVSQGTLPKPQMDPSVVRNSYGVFGSGSGHLRHPSSVNQHLNDRWNQRKSESVSGVEVRAIGHSAVIAHSALENQNKNGVAQRGPRIANVVHRYKCSVEPLEIGAVVSGSLWSSSQAIFPKGFRSRVKYFSIVDPSQMAYYISEILDAGLQGPLFMVTVENCPGEIFVNVSPTKCWNMIRERLNLEIRRQLSMGRANLPTLQPPGSIDGLEMFGLLTPAIVQAIEAQDRDHICTEYWRSRSHVVIEDRDSRHVPPQGPLHIELRGLFQRANCDELRALRSLLVSNSTLDDSSRQQAIQIIDEEIAKQRR >Et_4B_039647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24995305:25003876:-1 gene:Et_4B_039647 transcript:Et_4B_039647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSAVQPFLPWRSENRRYAWRETAISIEIRDGLEELGGPEFESANTQSEEMLPQTDTVAIESVCMLLQKEVKLRVLSQQWRWLHIGWVDVKHQSGYIIQGYWYAFLAEWDQKPDILLTVQGVRPSVPDLPPMNARYLMSIFVVSVLPAPLSPLTKMDWLP >Et_2A_015972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19837413:19839563:1 gene:Et_2A_015972 transcript:Et_2A_015972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAPPALALPGVRVAAAPAPGAPARPLPVRPAGARLLLAARTPPRPVSVASPTTCSLVTPPSRLRVSVANHGAPRAVQRCAPDPVDVHGPAVDALRGAAVDAFRPLMDNFGHILSLDNVMDVNDYNFGMPLGVAMAGVGCYQLFKTNPSAFLDAVLGYAFYKLSVLSSQVHRQGFVNDFITKIKVSKSLIFLSSSALQKAYKARVLDEKNMHTKVKINNYIKYLEGT >Et_1B_013576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9657684:9667430:-1 gene:Et_1B_013576 transcript:Et_1B_013576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGTPAGEKPHAVCMPFPAQGHVIPMMKMAKVLHRKGFHVTFVNTEYNHRRLVRSRGPDAVAGLPDFRFATIPDGLPPSDADSTQDAAAICVSTMTTCLPHFKALLAGLNASAGVPPVTCVVADASLTFSVDAAKELGVPCALLWTASACGALGYRHYRLFIEKGLVPLKDAEQLTNGFLDTPVGWARGMSKRMRLRDFPSFLRTTDRDDPMLNFTMHEVEHSDDATAIIYNTFDALEQPALDALRAAHPPAAYTVGPLNQLAERLVPAGGPLDALGSNLWKEDRACLAWLDGKPPGSVVYVNYGSIAVMSNEQLVEFAWGLANSGYAFLWIVRPDLVVNGDASAAAALPPEFLEATRGRGLLASWCPQEEVLRHEAVGLFLTHSGWNSTLESLSAGVPMLSWPFFAEQQTNSRYKCMEWGVAMEVGDDVRREVVEGRIREAMAGEKGSEMRKRATEWKEAAARAMRPGGTSFDNVDKLINDVLLSARKTCRSLQLSCAKKKQSSLCSGLPVSEMAANNTVPTGDKPHAVCVPFPAQGHVTPMMKLAKVLYCKGFHVTFVNTEYNHRRLIRSRGPDAVAGLPDFRFATMPDGLPESDADATQDPAALCDSTMRTCLPHLTSLLARLNGTDGVPPVTCVVADNVMSFSMDAAREIGVPCALFWTASACGYMGYRHFQFLMDEGLAPLKVITDQQQRSHNHTNTRFNCNKKLHVYCICDAGADEEQLTNGYLDTPVSWARGMSEHMRLRDFPSFIYTMRRGDILLDFMMREVDRASLASAVILNTFDELEETALDAMRAILPPPVYTIGPLTFLLDRLVPDGAAPIGAIRSSLWKEDLTCLRWLDGKAPRSVVYVNYGCITTMSNQELVEFAWGLANSGYAFLWIIRPDLVKGDDAVLPPEFLETTRGRCLLASWCEQEAVLRHEAVGVFLTHSGWNSTTESLSAGVPMLCWPFFAEQQTNVRYACVEWGVGMEVGGDVRREVLESRIREAMAGEKGKEMRRRAGEWKEIAVRATQPGGRSLTNLDDLINNSVRGSSQTQATMGASAVPTTGEKPHAVCLPFPAQGHITPLLKLAKILHGRGFRVTFVNTEYNHRRLVRSRGAAAVAGLPDFRFATISDGLPESDADATQDPPTISLATKHNCPAHFRALLAELGGVTCVVADNLMSFSVDAAREIGVPCALFWTASACGYMGYRNFRPLIDRGIIPLKGMLCSALCSSSTPIASEKFEPFTLKILDEEQLTSGFMDLPVDWAPGMSKHMRLKDFPSFLRTTDRNDTLLTFQLHEVERSEDAAAVIINTFDELEREALDAMRAIMPAVYTIGPLAPLAERVVPRGGALDAISPNLWREDDACLAWLDGREARSVVYVNFGSVTVMSGEELAEFAWGLASSGHEFLWIVRPDVVRGDGAGAAASSALLPPGFLDATRGRGLLASWCDQEAVLRHEAVGLFLTHSGWNSTTESLSAGVPMLCWPFFAEQQTNVRYKCVEWGVGMEVGDDVRREAVEARIREAMGGEKGREMRRRAAECKEAAARAMATSLDNLDKLINEVLLSGATGR >Et_2A_015597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15824758:15833156:-1 gene:Et_2A_015597 transcript:Et_2A_015597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEHGEDCCVKVAVHVRPLIGDEKLQGCKDCVAVVPGKPQVQIGSHSFTFDHVYGSTGTPSAAMFDECVAPLVEGLFQGYNATVLAYGQTGSGKTYTMGTACKEGSYVGIIPRAMAALFDKIESLKSQVEFQLRVSFIEILKEEVRDLLDPATAVVGKVENGNGHAKLSVPGKPPVQIREASNGVITLAGSTEVHVTTQKEMTACLEQGSLSRATGSTNMNSQSSRSHAIFTITLEQMRKTDPIMTSDGVPIEDMNEDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKRRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRNPIADEMKRMRQQIEYLQAELVSARGGTGSDDVQSLRERISWLEQTNEDLCRELYDIRNRSQTDPCEPEMQKVSNGFTKTEGLKRSLQSTDPFDVPMTDPGRGNTKDIEDEVAKEWEHTMLQDSMGKELNELNRRLEQKESEMKMYGCDTVALKQHFGKKLMELEEEKRAVQQERDRLLAEVESLNADGHSHKLRDAQLQKLKSLESQIQDLKKKQENQVQLLKEKQKSDEAAKKLQEEIQFIKSQKVQLQHKIKQEAEQFRQWKATREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKEILEARKSSARDNSGGTNGTSPGSHMSEKSLQKWLDQELEVMLHVHEVRNEYEKQSQLRAALGEELAILKQEDIMAGASSPQRGKNGNSRTSTLSPNARQARIASLESMVTISSNTLVAMASQLSEAEERERSFSGRSRWNQLRSMGEAKSLLQYIFNIAADARCQVREKEVEIKEMKEQVTEFVGILRHSESRRREIEKQLKQKEQTAAMTTTPPRSGNGSAKHCADDPSTPLSPVAVPAQKQLKYSAGIVNSPSKGIAAFNKEQLKMIPIAQLSVGKKVSISGQSGKLWRWKRSHHQWLLQFKWKWQKPWKLSEMIRHSDETMTRARPRPQLLPHKPHRVM >Et_7A_052341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7061327:7081230:1 gene:Et_7A_052341 transcript:Et_7A_052341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPSAPPVVHEKTPPGKQLKNQLAAAVRSINWRYAIFWSISNSHPRVLTWKDGFYHGEVKTRKIANSMELTVDQLVLQRSEQLRELYDSLLSGTCDHRAERPSISLSPEDLGDMEWYYVVCMTYAFRPGQGLPGRSFARNEHVWLCNAHMADSKTFPRKLLAKVLEDSDLLNSATTYFNELKFPRCTENSLANQTRETNYNIIVHDDLDQNATETITADGNELDEVDCLFNANHDQITAVVDDFYGLWEELLDIQTLHDTNLIMDGSSLVAAPEAKDAATSSIPEDSSCVTHFINWTRSDSYERVVPVIEEPQKLLKKVVAAGAWMNNTVESTARTTHERGIKNHVMSERRRREKLNEMYAVLKSLVPTTHRMDKASILAETITYLKELEQKVKELESRRESISIPDDKRPTCHENEITAKRIPARTKRKKASKLGNGMEMEHHWVFSKDGPSKVNVTVIGTEVLLEVQCQWKELLMTHVFDTIKSLDLDVLSVEASTPNHVMGLKIRAQFASPNPFQPGIISEALRRAITNNSRDTSSQLQEEPQQGNQLTNQLAAAVRSINWSYALFWSVSSTHPGVLTWMDGFYNGEIKTRKISHSAELTADQLVMQRSEQLRELYRSLLSGECDHRAARPVAALSPDELGETEWYYVVCMTYAFRPGQGLPGKSFASNEHVWLCNAHLPDSKTFPRVLLAKTVVCIPLMSGVLELGTTDSVTEDPDLVSRVTTSFWDRQFGTISVERSSSPSANVTSEDDDRIVFEDLDHNAMETMIAGAKELGDVEILSNTSLEHATKGIDEFYSLCEELDVQPLEDDWIMDGSFEIPCSPVVAASGAAINDANNVSTPVDRMTSFMKWTGAADSDEVAVVPVVGEAQKLLKKVVAGGAWESSGGGGSTTRSATQESGIKNHVMSERRRREKLNEMFLILKSLVPTIHKVDKASILAETIAYLKELERRVEELESTSREPIMQPSGTARLRGKGHINGSTGKRVCEAKRKAMDTEHQLHHCVPSKDGSSNVTVTVTDKDVLLEVQCRWEELLITRVFDAMKTLHLDVLSVQASTPDGFMGLKIRAQATSSAAIVPWMISEAIPHPLVQEEQHPDMHLRSQLAAAVRSINWSYAIFWSISSSHPGALTWKDGFYNGEIKTRKIANSMELTAEQLILQRSEQLLELYESFLSGRCDHRMGRPVAALSPEDLGSTEWYYVVCMTYVFSPGQGLPGRCFASNEHVWLCNAHLADSKQDFPRALLAKVPEDPDLLTRAITYFKELKLPRCAGNPSTNHVEATNVIVLYDLDEDAMETTIAEGREIGEVDCLFSGSLDQITKEIHDSYGLWEEVDVQPLQYSDWIMDSLLAGQEANKDDDATLNTHGDSSRATSFTPWTRFQSDELAVRVIREPQKFLKRVVAGGAWTNNNGGESTARTPQESGIKNHVMSERRRREKLNEMFLVLKSLVPTIHKMDKASILAETIAYLKELERRVKELESRREGISRPGETRPRSHDNFPARAKRKKASELSGDMEMEHHWVLSKDGPSNVNVTVMGTEVLLEVQCRWKELLMTRVLDTIKSLSLDVLSVEASTKDCLMGLKIRAQVIVRQLMIQETAIACVHNFSRHFACHDQGSSLESYSQPLKGQLK >Et_2A_015146.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32695667:32695696:-1 gene:Et_2A_015146 transcript:Et_2A_015146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQYFPED >Et_2A_016700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27291149:27303005:1 gene:Et_2A_016700 transcript:Et_2A_016700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLAKSVLDVVLSSVRSTVADEVARLLGVPSEVEFIRNELEMMRSFLRAASAHPEAGPALTDQVRTLVKQVRDLAYDVEDCLLDFALYAARTSSSRAGSTLLPGAVAERRRIADRIRDLKASFVEMNQRYQRYNIAVHQPAAQAEEDAAATLIPKHDVNSAELAFQESEIIGRLNEKAELIKLISRPEPEPEPEEGQVLQRSSTSWLVTLHSWIDYIVPSLWEASRPRPLPTALLSLRRGDGALRVVSVWGMGGMGKSSLARMVHNDSVLLDEFDCGAWITVPHPLDNPEVIRRRLRKELGLAANQNIELHLRDKRFRIVVDDVNTQEEWDEIWKVFQFHNNKGSRIIVTTRREDVAKHCVREGNEAEGHELIYKLEPLQEKESMNLLCRKIFKKIEYTLSEDMKEQALHILNKCNGLPLAISTIGGLLANRPQTSIEWRNLHEHLAAVLESDLCDIPNAIVSSYDGLPYHLKLIFLYLSIFPENHEITRTRLLRRWMAEGYIAKHRDMPVEIVGERYYSELINRSMIQPSKVSPGARAYRCRVHSIVRQMILSKSIDENQLFVIEKQPYEVPQSKIRHLVVSRWKRRDEKLQSIDLSYIRSLTIFGEYPASLNSPQMRLLRVLDLEDTINLKNDDVKHIGELRHLRYLGLRGTDISNIPSSLRNLRYLETIDIQDTHVTQLPCGIAKLERLRYLLAGFNFAKDLLQKMRESGTKNHEAVHFGNIEACRCYNSSESCKVFNADEFSLRAPEGLEKLKNLHTLEVVNFGSGKGVAGRLKKLTKLSNLCELGVTGLTENEGIELCNSIGELSRLQRLELRSDSLKFLSRWNEPLVPRHLVSLRLCGNLSRLPEWISSLNNLAKVKLLATRLEQGDIMRLENLRNLTLLGLWENSYVGDSLRFFAGTFPELKFLDIEGLDKIKKVQIKKGAMPKLEQLWVNKCPSLHDNSFGLSGVPYLQNLNELLLKKCGDKEDLINILQRQVNRHKKRPKFLIGKSIVRTNPVLPLRTTELGREMEATAVSLARSVLDVVLRSAQSTVADEVALLLGVPSEVEFIRNELEMMRSFLKAASAHPEAGPVQTDQVRTLVKQVRDLAYDVEDCLLDFALYAARTSSSRAGSTLLPGAVAERRRIAARIRDLKASFVEMNQRYQRYDIAVDQTAPARGAEEQAATLPEQDANSAELAFQESDIIGRLKEKAQLIKLISRSEPEPEPEPEEGHQVLQRRTSTSWLVTLHSWIDYMVPSLWEDSRPRPLPTALRSLRRGGDGALRVVSVWGMGGMGKSSLARMVHNDPALLDEFDCDAWVTVPHPLDNPEAWFRRRLKKELGLAHGQNVQDYLRDKRYLVVVDDLLDTDEWENIWQVFPRDNRKGSRIIVTTRREDVARHCAREGDVAEGNELMFMYKLEPLKEAESMNLLCRKIFKTTEYTLQEDMAEQARHILNRCNGLPLAISTIGGLLANKPQTSIEWRNLHEHLGAVLESDLQDIPNAIVSSYDGLPYHLKSIFLYLSIFPENHEIRRTRLLRRWMAEGYIAKNRDMPVEIVGERFYHELVYRSMIEPSKVSPGTRADCCRVHSIVRHIILSKSTDENQLFVIEKQPYEIPQSKIRHLAVSRWKRRDEKLQSIDLAYIRSLTIFGEYPESLNSPKMRLLRVLDLEDTINLRNDDLKHIGELRHLRYLGLRGTDISNIPSSLQNLRYLETLDIQDTKVTQLPRGAAKLAKLRYLVAGFKFTRDLLQKMRESGTKNHVAIRFGNIEACLCYNSSECCKVFNADEFSLRAPEGIEKLKSLHTLGAVNFGNGSGVAASLKKLTNLSNLGTLGVIGITEKEGNELCNSIGELSRLQRLELRSDSLKFVSRWNEPLVPRNLVSLRLCGNLTRLPEWIRSLDNLVEVKLLGTRLEQGDIVRLENLHNLGLLGLWENSYIGDSLRFFTGTFPKLKFLDIEGLDKIRKVQINKGAMPKLEQLWVNKCPSLHDDSSGLSGVPYLPNLNELLLKKCGDKEDLINILQRQVNRHKKRPKFYIGKSILQTNPALPS >Et_4A_034602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4688683:4691680:-1 gene:Et_4A_034602 transcript:Et_4A_034602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPDELQSFEATGIYRLEGAADTGAIFLDPVRLLNESYQRFRVVPSAYYSRSFVPPRLGGDSKTQQPEECRKRKRNRKPKPKELNAVEQIAEARHQEARPLLLSAHDSLFKAKNLLEYLFKATKVEGHTLDVETSSENNFVDLGSSWRAPFHEITVCLQKPHGLGNEKATDEAEGEFQNRRYILPQRSCFSMADIKHVRTLIPDNPNQGYNLIVVDPPWENGCVRQKEVYPTLPNKYFLYLPVQELAHPDGALLVLWITNREKLRVFIEKELLPSWGVKDARVFYWLKVKPDGSLIGDLDLFHHRPYECLLIGYINVDTKAKHGSDFKVLKGSPVIMSVPGAHSRKPPLQSPTPPRCIELFARELSSGWTSWGNEVLHFQDSIYFSEK >Et_4B_038864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5387135:5391798:-1 gene:Et_4B_038864 transcript:Et_4B_038864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFSVSAPSSVEFEAKITPIVIISCIMAATGGLMFGYDVGISGGVTSMDDFLGKFFPEVLRKKNQDKESNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLIAGVLFIVGVIFNGAAQDLAMLIVGRILLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNYGTSKIHPWGWRLSLSLAGIPAVLLTLGALFVTDTPNSLIERGRLDEGKAVLKKIRGTDNVEPEFNEIVEASRIAQEVKHPFRNLLQRRNRPQLVIAVLLQIFQQFTGINAIMFYAPVLFNTLGFKSDASLYSAVITGAVNVLSTLVSVYSVDRVGRRMLLLEAGVQMFLSQVAIAVVLGIKVTDHSDNLGHGWAIMVVVMVCTFVSSFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFVIAQAFLSMLCHLKYAIFVFFSAWVVVMSLFVLFFLPETKNVPIEEMTERVWKQHWFWKRYMDDDNHHIVNAKVNGTSV >Et_6A_046925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22358440:22360168:-1 gene:Et_6A_046925 transcript:Et_6A_046925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLRVAVIGAGAAGLAAARELRGEGHAPVVFERAGDVGGTWIYDAEAGGGHSSLYASLRTNLPREVMGFLDFPFAAVPGSDSVVDARRFPSHREVLRYVQAFARRFELRGLIRFRAEVLAVRRRQQSGWTVRWRRETASDSSSSSEEEEEEEAFDAVVVCNGHYTEPRVAADIPGVADGAWPGKQMHSRAYRVPGPFAGQVVVIVGASNSGADISRDIAAVAKEVHMANRAAPADTCRRLPGYPNLWLRSMVERAEEDGSVVFRDGSSVKADIIMHCTGYKYSFPFLGDDSTITIDDNRIHPLYKHVFPIQAAPYLSIGLPFKAVSLPCKILKSPDITASRWVAGVLSGRIQLPSTEEMMDDVRALYSEMEAAGTPTRHTHCLKYNQFEYDDWLAEQSAHAKVEEWRKQMYDQVSQKKDCPETYRDQWDDQHLLEQANQYFQKYL >Et_2B_019747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13453199:13454832:1 gene:Et_2B_019747 transcript:Et_2B_019747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLGTSIMASQQPPQPSLPSIDTGRAGLSLETDDEPFESHGALISGYPHSNGLATPIKICDLRARLEATLVQHPRFSSIRVCNGA >Et_1B_012040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28293974:28299431:-1 gene:Et_1B_012040 transcript:Et_1B_012040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNDGLKSLLARLSSKLYGLRYSIADFYGFSNATFMNPSAAGFVDTVKACCKGSCNPQAGPPCQNRKQYWFWDDLSITQQAANLATAAFYDGPAHFTVPINFKKNSSTFKSSPVASDELTMQHFAMKGCNAAILTTIMMSFQVLAIAGAMELSVPQAIFVFGDGALDVGNNLYVPGGAEVGEPTRADHPYYGMDFPGGTPTGRFSNGYNLADFIAKAFGFEMSPPASESLPKPIPTKVEGFNGANYATGDAGIRNFTNGDITNPLLYQIGFFESTRTQLKTLLGGRKPLNYFLSKSLFVVGIGTMDLFPDYNPYWDNPENDNQTEVQRLISLYGEALTTLHAMGARKFGIINISPIGCSPIVMAVTHGDDPCNTGMNNVAQEFNRALAPLLSDLRSKLRGFRYSLADFYGFANATFVNPSASGFMNTMGACWPGYGTPCSNRTEFWYWDIYGYMTEHAANLTATAFYGGRKFTTPFNFTRLFATNI >Et_9A_061250.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:24305817:24305990:-1 gene:Et_9A_061250 transcript:Et_9A_061250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKRASIFIRLVSAAGTGFFYVKRKNPRRITEKLEFRKYDPRVNKHVLFTEAKMK >Et_2B_022113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9302917:9305961:1 gene:Et_2B_022113 transcript:Et_2B_022113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARAFSVLLFILATVAAFLTASASSTDDAIGLGSSISGNQTLVSAGKIFQLGFFSPDGADGRTYLGIWYASIPERTVVWVANRESPVVNLPVTLELSAADGRLAIVDAKNATVWSSSAAPATTTTTTSRATAARLLDSGNLVVLSSDGKSVAWQSFDYPTDTLLPGMKLGVDIRAGLTRNITSWRSPSDPAPGEYTFKLVIGGLPQFFLVRGAGPAPGTRVYTSGPWNGDILTGVPNLKSRDLTFEVVASRDETYYRYSIRDRSLLSRFVIDGGTGKLRRYQWDNGRWSSFWFYPSEPCEEYARCGAFGYCDSDRSPMMCSCLPGFAPRSPDQWRRRDASGGCVRRLDLSCGGDGGDDGFWVVDRMKLPEATNATVFAGMTLDQCRQVCLNDCSCRAYAAANYSGRAGHGCVIWPVDLLDMRQYSIHVQDVYIRLARSEIDALKAAVDRRRPSTTTVIAVAATIAGVLLLLAVVLCCFWRNKVRRRKPQSETVSAAAPTGGARKKRLDADWKCTEKDGDLPLIDLEAIQDATNNFSVENKIGQGGFGPVYKGKLEDGLQVAVKRMSQKSSQGVEEFTNEVKVIAKLQHRNLVRLLGCCIDDDERILVYEFMDNGSLDSFIFDEGKRKLLGWKKRFEIIMGIARGLLYLHEDSRFRIIHRDMKASNVLLDGYMVPKISDFGTARMCGGDETSVNTLKIIGTYGYMSPEYAMDGLFSLKSDVYSFGVLVIEIVTGKKNRGFYDPDQDLSLLGYAWMLWKEGRTVDLADEAMGGDFSTSEVRRCIQVALLCVDVLARNRPLMSSAVMMLASENAMIPEPNEPGVNIGRNTSDTESTHGFTSNNATTTTSELDPIASNSTR >Et_7B_055340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9558967:9567098:1 gene:Et_7B_055340 transcript:Et_7B_055340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQKKPGKGKEKTERKTAKGEEKRARREARKVGEEDDIDAILKSIQKEEAKKKEVHVEENVPAPSPRSNCSLTINPLKETELILYGGEFYNGNKTFVYGDLYRYDVDKNEWKLVSSPNSPPPRSAHQTVAWKNNVYMFGGEFTSPNQERFHHYKDFWTLDLKTNQWEQILAKGCPSARSGHRMVLYKHKIVLFGGFYDTLREVRYYNDLHVFDLDNFKWEEIKPRPGCFWPSPRSGFQFAVYQDQIYLYGGYFKEVSSDKEKGTVHADMWSLDPRTWEWNKVKKTGMPPGPRAGFSMCVHKKRAVLFGGVVDMEVEGDVLMSMFMNELYGFQLDNHRWYPLELRKDKPAKNKAKDSKRKESTNDFEANLANDEDEIMEDVEEATDRQSEVHGVSNHLTKTLTITKAGSSKSIDVLSDSTNQETPPEAVKPSGRINACMAVGRDTLYLYGGMMEVKDREITLDDLYSLNLSKLDEWKCIIPSDGDAEKNVDMSSAVSLLKGESKTMRRKEKRARIEQIRVILGLSDSQRTPMPGESLRDFYKRTNMYWQMAAYEHTQHTGKELRKDGFDLAETRYKELKPILDELAVLESEQKAEEEASAATSSKDTKKGKQKSAGR >Et_4A_033971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28663612:28670943:1 gene:Et_4A_033971 transcript:Et_4A_033971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGEYCTKSVFTRRPQLTQQDPSPSDASPITSIASRRSRRATACGARGRWRQGGGRREEGAPGRSGRSASSGGARGVRYRNPNITGPANFAPGLQQNMPQKPSPKRRPENPPQPYFPTPIGSSQTEAPTVPLPYPSRSLHAAGARHRAGAKGPGSAAPAWLERGGAFTCYRGTMKMTCDERFAVLKSIGEDCIYEDELCLLLKKRPNLVCYVWFEPSPVMNIVQGIMKTIYVNKMIRAGCTVKILMADWFLQRHPKIGSNLDKIRSIGCYNIAMWKAAGMYLDRVEIIWFSDELDHHAFDYWPIAMDVSRKNSIREIARYSLYMSPYGPSRMPAAELIYPCMQVDMWLFSMDQRDTILLARDYCEDINKGNKPPILLHGLLPNLLEDPDFEDERHPGRTIFMLDEEDDVNEKISCAFCPPKVAASNPCLEYIKSVVLPWFGKFEVVQKEGDANNNKTFLRIEELIVDYENGKIDPTDIKLALGKGINDILEYENQITSDVLKIQMQNKEMFNLLGPTAAQDDFDLVSLLQGTLETEQAEEDEAQDETDSFAFSPSNKQIRKGPLLQLHSPGRQSG >Et_7A_052173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5286654:5289247:-1 gene:Et_7A_052173 transcript:Et_7A_052173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDYFLQRMEGDQQAAGGDLTDIVRAGGAAMPGNAAEWQHQGEPLLFPPPPSSSSGADVFGDPFSGLGDPFSSDYSSGADFLDAMPDAMAKVGFDTAVGGGQLLDMSGRKPPLLAPRGLQMPSAVGFQLGPSGRVMPSPMSPRAIRPYPGGAMAAGDMVKLGITAGQVAGCAIDAAVVGMQMSSASSPRSAGGIKRRKNQARKVVCIPAPTATGGRPTGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRNHHGKNGSGSGSKSSQNEKQKQQNVKEESKDQATTTTTSTITTMTSTSPVTAVKEEALAGSEALGRPMDTTGAVNHDNIALMDHVFSESYKPMIPEAGQPDDFFSDLAELESDPMNLIFSKEYMEAKPGGVDHAKAKAITKDLDPFEMLDWSNTSSAGSSFEQGKI >Et_1A_006087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17633589:17634713:1 gene:Et_1A_006087 transcript:Et_1A_006087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FPSVSKEHCLDSQFGLSVGSERNRFEGPKRRRFAAVIMRIREPKTTALMVCTGAKSEQHSKLAARKYARIIQKLNYPARFKDFKIQNMVASCDVQFPIRLEGLAYSHGAFSNYEPELFPGLIYRMKHPKIVLLVFVSGKIVLTGAKTREEIYTAFENIYPVLAEYRKRQCW >Et_5B_044327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22689373:22691220:-1 gene:Et_5B_044327 transcript:Et_5B_044327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSPDPDDSAAPAGAPPAAQEEAASPAYGSVVLGGTFDRLHDGHRRLLKASADLARGRIVYAELIEPVEKRIKAVEDYIKSIKPQLIVQVEPIEDPYGPSVTDDKLDAIIVSKETFNGGLAVNKKREEKGLPLLKIEVVDLLSGGVEGEKLSSSALRKLEAEQAQQSKAETANCYL >Et_8A_056830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17605571:17609967:1 gene:Et_8A_056830 transcript:Et_8A_056830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGELDADCVVDHFRGKNILITGSTGFLGKVLVEKILRVQPDVKKLFLLVRAVDVESAKQRVQTEVTGREIFEILKDKHGNGFEAFIQEKLCPLPGDIMYENFGLGNTQLTGLYRDIDIIINGAATTNFFERYDVAFDSNVLGAKHVCRFAKYSYVAGEQEGLLLERPFLMGETLKGGTHLDIESEAKLIEDTRRELKANCSSEKAEKKTMKELGLKRAREFGWPNTYVFTKAMGEMLLGHMRGELPVVIFRPSIITSILREPLPGWIEGVRTIDSVIIAYAKQALSFFLVDLDSIMDVIPGDMVVNAMMVAMAAHSQEQAQTIYHVTSSLRNPAHYSHLADSGHRYFLHHPLSSGKNGEPIRVGRMRFFRTIPRFRAYMAVRYKLPLEILHMVNIASCGLLSRRYQELSRKYNYAMHLIELYAPYTLYKGCFDDMNTERLRSRMKNKEQDRNGGYDFGFDPKSIDWDEYFYRIHIPGVVKYLSD >Et_4B_037324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18315983:18318722:-1 gene:Et_4B_037324 transcript:Et_4B_037324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRRRGGGPSPRPCTYSGDRSERLTQNRSALLGVINGLYAAALERLPVDEMPALVPRLLKAGLCVGFSDPVSNIIVNTVSTYSRRVPERRREPTASSSPEAAASRRRKRRRDKALSRAVADAGGVTHFSPRRSMLRDMPVAARSLEALVAFLTYYFRYLTVSEAIEYLLLAKADVLAAVRLVEADRNSSGGFSLASRTTKTAFRCAALASCHPKARALVNRSYALAAQMEQVSQLLGTEGCLSCNTIEAITALLTTTKRREALNDVAIVTPPQFLQELSQHPPFFPTKSLKSVLLDRIYRLYLDALARLPRDALRQRYHRGLLRAGHCYGPLKDAVSNIVLNAVWYETVFPPQKKLSVSMISSRSLVRVACRSLRGLVEYLRASFRMVSEQQAMRYLLFAGANLWEAMDLARREGHVERTGLGPDGAYKAAAIAAVHPDPDAVAGFFVSTFPASMSLSLQITEASLFDVQLLSQMLMPYRSATNSTVQIAPALSEGGSKVLSWVQNDFKQEELFVRGKVTAALKKYTQQAGGPDYELHVICGLNRNVANSYGWGLEYGPGHMRPRKTQYSHVNFLASPKDLQSSAPVLFFAECSNNEDVIGESSCWPVTGDPAKLRCFHCEKEGAKIIHPDTKYKDRDIYFERMACKDLGVMTVDDTSERLITNSMDICVVDCIYFDANRDVKCANFLNARARTFERSFLVYTTLLLGAKPRK >Et_7A_050508.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:20539856:20540494:-1 gene:Et_7A_050508 transcript:Et_7A_050508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPPANPSTPRPKGLKGRCWIGGKDDWLVTTNAEFNVELLNPVTGERERLPSFTTIPGVTTGKDNFCVDVAYGHKSPQPLQRIALCQTPVHQDSYIAVSMFSSDLLAFTASGDKRWTALKKPATKRWIRYTDTIVHERKVLAASIAGGVYSWEMDGTETEGPAVVREPDLVSPQCYKFHLAMLGNDGQILLICMYCYREWDSETRRKMTMT >Et_3A_026168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5063928:5067645:1 gene:Et_3A_026168 transcript:Et_3A_026168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATLAAAAAEAEADARMSVCIVDPWKGIGEETLFWGPTTEASRQWMEKRARRRRRPPTWSSLRQEYLSRNRFFLQKAMMEQGLKDPDDVDWEEEEDFVGERSPEDEEDLREMRLYRRSWIRCYGLNYGSFEDNRPYVYYISIAKVLSSPTFCPMRYTKGAVPINARTERVLEIFSIQVTEIKNGLEWPLHVYGHVAVRDIVDNNRNLIFNRKRDNCQILTQQDSYLLLTGPSRPVMIIDTVTFEVDLKVRGRTKSEDKILILEVFPKRFNDMNLGWCSSSRSTVHLTFSVISEAVAATVDSVKVISRSWPDHLPGRVVCRTTSIAQKNIVLLDSRYGRMPITSDGQIELSRRVVAGEVGGELTICVEATEDRDSKVVSFKTLKAGCTRATCHLGFCVVEINVSWSLFTIKKNRHSLEAHSIAAASSILFRLQDSYLLLTGLSRPVMIIDTVTFEVDLKVRRRTKSEDTILILEVFPKCFNDMNLGWCSSSRSTVHLTFSVVSEAVATTVDSVKVIFGSWPDHLPGRVVCRTTSIAQKDIVLLDSLYERMPITSDAVTEGGELTICVEATEVEDSKVVSFKTLKAGCSRATCHLGFCVVEINVSWSLFTITKDHEGS >Et_8B_059912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:416188:419195:-1 gene:Et_8B_059912 transcript:Et_8B_059912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAGAGPATALPLPRAPLLLSRRAAVVVRRAQPDTAASTSAPEPASEFKAPPGFKAPKPQRFGVKPGQQGSVLGASLGIPFRLGTGVFVLGYSPTVVSPAEVPSDQYALELGAFKVKESSKIDQCPRPEKPIEIYEFEGCPFCRKVREMVSVLDLDVLYYPCPQNGPTFRPKVSEMGGKKQFPYMVDPNTGVAMYESDEIIKYLADKYGDGTVPIMLSLGLLTTITAGLSTIGRLGKGSSYIASKVPPQPIEIWAYERSPFCKLVRETLVELELPHLLHSCARGSPRRQEFFQKNGTFQVPYIEDPNTGVKMFESAEIIEYLKTTYSLYSPSS >Et_6A_046854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21512944:21518630:1 gene:Et_6A_046854 transcript:Et_6A_046854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFAHSPAEVAKVELVQFGVLSPDEIVTSLPSRRCPPLFGLAIQAGLLGWRVRGLGDSCGVVCTSRAGDELDSGRLIQLWSTNFRQMSVVQIEHAETMVKGKPKPGGLSDPRMGTIDWKIKCETCMAGMADCPGHFGHLELAKPMFHIGFIKTVLSIMRCVYFNCSKILVDEDDTKFKQALKIRNPKNRLRRIYDACKSKKVCAGGDELDVQGQQDTDEPLKKRGGCGAQQPHITVDGMRMILEFKATKKKNDNQEQLPEPVERKQFLSAERVLNVLKRISDEDCILLGLDPKFARPDWMILQVLPIPPPPVRPSVMMNTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIISEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGWIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPEIVTPYNIARLRELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSYETRAEVLELMMVPKCIVSPQSNRPLMGIVQDTLLGCRRITKRDTLIEKDVFMNILMWWEDFDGKIPAPAILKPRPIWTGKQVFNLIIPKQINLTGFSSWHSEEGGLATPSDAMVRIEKGELLSGTLCKKILGTSTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNSFSIGIGDTIADVATMEKINETISKAKNDVKVLIKQAHDKKLEAEPGRTMMESFENRVNQVLNKARDDAGSSAQNSLSECNNLKAMVTAGSKGSFIISQMTACVGQQNVEGKRIPIGFTDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAAWIESQKLESLKMKKAEFDNIYRFELDDENWRPNYMLPEHVDDLKTICEFRSVLEAEFQKLEADRLQLGTEIATNGENTLPVPVNLKRLICNAQKTFKIDVRKPSPMHPMEIVEAVDKLQERLIVVPGDDAMSIEAQKNATLLFNIHLRSTLASKRVLNEYRLTKEAFEWIIGEIESRFLQSKVAPGEMIGCIAAQSIGEPTTQMTLNTFHYAVPKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVNQDKKLAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIDEDVEFVRSYYEMPDEILTRIRFPPGFCVLSLIVI >Et_7A_051073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14156715:14157514:1 gene:Et_7A_051073 transcript:Et_7A_051073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSNLPPGFHFFPSDEELVVHFLRRKASHLKCQPDVVPIVLLNHYDPWELNGKALEAGNQWYFFSHATKSRVTPNGYWNPICADEVISSGGCNAGLKKTLVFSIGQPSEGIETNWVMHEYHLLDGSKGSGGNTSSSSKRLHKKKGHSKTECNNWVICRVFDSSCGSQESFHDEGMELSCLDEVFLSLDDYDEVSLPNE >Et_4A_032375.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:32606732:32607835:-1 gene:Et_4A_032375 transcript:Et_4A_032375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFRWTALRSLLLLAVLHRLNCLAFLAACAPVLLLTAFLLGVVLVHSDVPLEEEDEVDRHLYKKTRHQQGVIISDHEEPFSSSSPSSSCGGASSMEEEEEEDLVEEEEEEEEEVVKAAMAWTADDERSIQSIGSLELERDARLEKLMSRRSSSSRNLIDLDIHIPARNNPYNDNDPGSAPSSSLLLLRNPFGIQRAPEEMLTRHGSFAAPAASRASRFRPYFVANDKTMLEGACSDNSNSKKSSSSSSNSSSGTSCDHQKQQQQILVDKVQEEEAAPSCKWDGNRNGMAVDVELISDSSDDDMSMPGDEATISMAVEAREQSDEDDSFEVASITQQVVAAGPIIISISSAHTTMQAACLIDRLLD >Et_3B_029855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28532152:28535316:-1 gene:Et_3B_029855 transcript:Et_3B_029855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPERSPSQSPRSPAGATAGAPFLSICVTDPVKMGTGVQSYISYRVITKTNLPEFEGPEKIVIRRYSDFEWLHDRLAEKYKGIFIPPLPEKNAVEKFRFSKEFIELRRQALDLFINRIASHPELKQSEDLRTFLQADEERMDRARSYETGIFKKPADFIQMFKSKVSDVVLGKEKPVEESTPEYEKLKNYIFELENHLAEAQKQAFRLVKRHRELGQSLADFGKAIKLLGACEGDSLEKVFSEVGSRSEMLSIKLQREADNLLFNFEEPLKDYVRAVQSIKTTMVDRANAFRQHFDLDQERKYKELNLYVLPYLIPVHLQRIFTNQENYSDQASPVVYT >Et_6B_048599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11286592:11287525:1 gene:Et_6B_048599 transcript:Et_6B_048599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSPWSSRYPLIAKSWFHCNPCIPWRAIALSSPMSGVVETASLLMPPFTCTCNLHVSEAARAIRRVYMSSATTWSRLNLICHGQGHRILLLSGLGLRSPTVSGLTSEAQTQDHQCLWHDLLHQPRRQRRSVDMFHCTNKLKYQYCIE >Et_4B_036483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26494290:26494850:-1 gene:Et_4B_036483 transcript:Et_4B_036483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKLSVVLGLLFLCAGSGHSLRLLHDIDAGREFAFGAKAAAAETEPLDPTFDDDYENEISHVEFEPELGSAPPATVTAASSSGPVPVKARNAAAAGRSMKWWLPPSTMPSFPLFPNPGGMPGIPGLPMPGLPFHPIGGWGAPAPPRQAQPSPPASASGSGSGGSGNDPNTNGGAN >Et_2A_015329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11005675:11007105:-1 gene:Et_2A_015329 transcript:Et_2A_015329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSADGHPCAVKAQQQHFLFVTGPLHGHINPVRRLAARVLAANPGARVTFSTAVSGHRRMFPSLAQLPDEEAVDGDGVLHAPHSDGYDDGFDPSAHDARLYRTRTRDAGRASLAAVVARLAEEARGPVTRVVYTFLVAWALDVARDAGVPAALYWIQPATVFAVYHRCFVGGHGDALAAAASGSVELPGLPAMTADALPSIVWAASPEHPMHGAFQAFRDLFADINEHRPMVLVNTFEALEPEALHAVPELEVFAVGPAVPDEASLSPRTTGDATEAEGAYMAWLDSKAARSVVYVSFGSFVPMSAAQEAEMRRGLEASGRPYLWARTGAGATEQGDAEKEEQVRVLSHPAVGCFVTHCGWNSALESVACGVPVVALPQWTDQPTVAWLLAERAGVAVRARPAAAGEGGVVEAAELRRCVEAVMGDGQCAADIRARVERWRELGREAVASGGSTERNLRAFAQRL >Et_3B_029867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28675775:28676275:-1 gene:Et_3B_029867 transcript:Et_3B_029867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTKEDVEVAITSALSPSHLVVTDTSGGCGASYEIEVVSEKFEGKRLLERHRMVNTALAPHMEQIHAVSIKKVLTPAQAQPQPEPAADKPQA >Et_1A_008187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:580040:581200:-1 gene:Et_1A_008187 transcript:Et_1A_008187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEQQAVVDNVVGASVVMGWSAPEARILSAPPLMIGATRRAHRGNMTKLATTPTPCWLSTGRDLVVSSKTIETKSLHLSKEEANAARLAADKRPLVLNPWDLMLDEKLHISFVSPRGAGGLQARYHGGWVDVVPLPGAFVVNFGHQMIHLIREAPTMTTMLIKASVSSIWADACAGGAATNARAARTSVATFVMPEMGCPVAPAPEMVSEGRPPTCRPYTYEEFVGVYTSETGDRDAVLAHFQSKRG >Et_1A_009068.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2833547:2833895:-1 gene:Et_1A_009068 transcript:Et_1A_009068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEEKKGKVKKGWLAVRVGDDGSRRFVIPIAYLYHPLFRRLLEAARDAYGYDYSGGPLRLPCSVDEFLRLRALVERETQAASSGGSSASSSHHGHHLVASFSPCTRAKVSS >Et_4B_037780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23234569:23238025:-1 gene:Et_4B_037780 transcript:Et_4B_037780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFLTVKTVKVSNLSLSALKREITEFFSFSGDIEYVEMQSESEWSQVAYVTFKDSQGADTAVLLSGATIVDRAVVITPAENYQLPPEAQKQLSGGSPATESAVRKAEDVVSSMLAKGFVLSKDALNLAKSFDERHNILSNATATVASLDRQYGVSEKISLGRAIVGSKVKEVDERYQVSELTKSALAVAEQKASIASSVIMSNQYVSAGASWLTSAFGMVTKAAGDMTSMAKDKVDKAEEERKAIMWEERNGLVSEYAKIHLDEPSSWEPAVLPVESVDEQRLQAV >Et_8A_057752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6817304:6818272:-1 gene:Et_8A_057752 transcript:Et_8A_057752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTARAPVAALRPSASLNSSFLGHSSRLGRVSSATTRRSLKAEAKGEWLPGLPSPTYLNGSLPGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLIPEVLTKAGLINAPQWYDAGKSEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKSYSLPPHECGYPGSVFNPLNFAPTLEAKEKELANGRLAMLAFLGFLIQHNVTGKGPFDNLLQHLSDPWHNTIIQTLSS >Et_1A_007275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3350775:3352428:1 gene:Et_1A_007275 transcript:Et_1A_007275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRPWRTALLLPFLLAAVGASAAALPPADSCRVPNVLDFMLSPPETCSTLDRSLGDPVGVIEGDEVTLAKAVNLLHMNKDDYIAVLFYASWCPFSQECKPNFETLASLFPTIRHFAFEESAIRPSIISRYGIHGFPTLFLLNSTMRVRYHGPRTVKPLAAFYTDVSGINASVKSAGDAMIHSLDDIEGKKDADPENCPFWWARSPEKILQQDTYLALATAFVILRLMYLLYPKIDSFARWTWRRHTLFANLMGVHEYFLTYLEQARQKFHRLYPSKRGNLQEGAMNATAWASKSLASVSIGEPSAIGRTNSTSELR >Et_5B_043941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18071029:18071994:-1 gene:Et_5B_043941 transcript:Et_5B_043941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGNNGSGVVALYGGSNNGAALSEPSQQKSATFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIRDIKRAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTLADDAHHINKHNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMADVFSYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPKILAEVSSGLGEAMVGINLNDPKVERFAARSE >Et_4B_039739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28101020:28102571:-1 gene:Et_4B_039739 transcript:Et_4B_039739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKARNNEIEAAPRKPATRKRKSDDIDQVAAPRKPATSRKRKRKGGASTAASGMCDDVFRSIFAGVPARTAVASMALSTHHRRMILCPEFRNLHCRLGPSLPQPHIAYIATAKIKDSSGKYLVSGFHGFHVAGGGHTSMRSLTGPSYLRMRYVNTCNGIVLLAVLSKHSTCVLWNPAVADGEKQVTVPDNCVILGLGYGRRSQTYKLLVSRRGSCQYTASGLYAKDLLAYTLQAGAGGEQQQLRTVLGEETFSRKMDGEIFNKSLYLDGTVYLLYLGNRMVLAFNVDDETVTTISLPKGYVIEPSLEMSRLMEMCGRPCLETGDYSCKPCGCSRRITSGSRAGVWDCGGVILLYVQRGGLSNHHNKLYLFDPSTNKMYKANLPSNLMPDLSNYAFCWGYKPTLVSPGSIVGEDEGRCSDHEKDIMEIIEPLNEREKRKGRKATMTTVCFVKFLVGIMRKLPENMQNVIEMKMLNSDPYILFKDAIEFVPKK >Et_2A_017922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:854140:855673:1 gene:Et_2A_017922 transcript:Et_2A_017922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGQPGASAMRRITVHYANSPTRNRGEENIEDLDDDLLQFVLGQVYMFRIISFFFSLNKTQKTFASFSSTSFFYLRTLVSTGMIWSKKMFLFKIILHSQEGLHQSILDEAYSNQNHMRGAGPTDHSEAQYCQGESSTGTAETSGVDEQIASDFEYAKQLQAEMEDLSIEDDDISCVPSPSDTDDDDDDHEHNEEEADRQDDDDPDNMTYEQRQALVESVGTEARGLSDELISYLEPWKYKSSGFFSRKTSHEDCTICLSTFKHGENLITLPCKHNYHAGCVTRWLKIEKTCPVCKYEVFGPS >Et_5B_043534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11561447:11564320:-1 gene:Et_5B_043534 transcript:Et_5B_043534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLLSLHVVAIAVHWEIGVSEEQVMKLKEALKHAADVHPNHPVISTHKGQDISNLEGTPLQHVTMCYNIKSMIRRTPFAQSAINQ >Et_2A_018206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18078080:18080326:-1 gene:Et_2A_018206 transcript:Et_2A_018206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKARSSNGDSRLSVRKGKAEKDPNKPKRPPSAFFVFMEGFRKEYKEKHPSVKQVSVIGKAGGDRWKSMSDAEKAPFVAKAEKLKVEYTKKMDSYNNKLSGGPATSGDSDKSKSEVNDEDEGSVEYIPKTGKLLVS >Et_1B_013558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9484969:9489238:1 gene:Et_1B_013558 transcript:Et_1B_013558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMVAAEQLLRRGAVDWEHEAYPAYDDFLALPVFVIFFPTVRFFLDRFVFERVARRLILGKGPHKADNETEETRKKIRKFKESAWKCVYFLSGELLSLSVTYNEPWFTNTKYFWVGPGNQVWPDQKIKLKLKAVYMYAAGFYTYSIFALMFWETRRSDFGVSMSHHVATVVLIVLSYVFRFARVGSIVLAIHDASDVFLEVGKMSKYSHCDWLANIAFLLFVLSWVALRLTYFPFWILRSTSYEVLLTLDKKKHNFDGPIYYYVFNSLLFSLLVLHIYWWVLIYRMLVRQIKTRNVGDDVRSDSEGEDDHED >Et_3A_025220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2841340:2846643:1 gene:Et_3A_025220 transcript:Et_3A_025220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHVPSTTSDDGEPYYYPRCPGCRTGGTRSGRVCCPTRSSSSSGSSPSAQGYIPMMMASYLQIRDLHIAKDEKDIGFYAGFVGASYMFGRALSSVLWGMVADKHGRKPVIVITFVAIIIFNTLFGLSLNYWMALTTRGLLGLLCGMLGPIKAYATEACRKEYNHMGLAIVSSSRSIGLIVGPAIGGYLAQPADKYPGIFSDKSIFGRFPYFLPCLSISLFAVIALVSCFWLPETLHKHHEDKVLDDSIDVAYAEVFSLWAISDRKYGGLSFSSTNVGNVLAISGLFLLFYQILVYPSVAKSVEPVTLVSTILTVPLLSSYAFMPALSGFTVQLVVNGASFLKNAFTVTNITVFNILMNDAVTQAVRAQANGIAVTLMSISKGIAPAVAGIIFSWAQRRQTASFLPGDHLVFFLLNVCTVVGLVFTFRPFFIRRSKEH >Et_1B_011876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26813972:26815323:1 gene:Et_1B_011876 transcript:Et_1B_011876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGSSNIGFQLLKKSGWKEGTGLGAQEQGRLEPVETRVKNNKRGLGSKEPKAKPKPKVEDDDVEKDPKKPKQDIPTKRKTKLAAKRIRKIQEEEKRLQEKEFEMAFFREFWPDNV >Et_1B_013155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:606581:609181:1 gene:Et_1B_013155 transcript:Et_1B_013155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHERLNSPSTSAISLEVMGHRLHISQDPNSKHLGTTVWDASMVFVKFLEKNSRKGRFCPSKLKGKRVIELGAGCGLAGFGMALLGCDVVTTDQVEVLPLLMRNVERNKSWISQSSSDSGLGSIKVAELDWGNQDHIKAVEPPFDYIIGTDVVYSEHLLQPLLETITALSGPKTKILLGYEIRSTTVHEKMMEMWKSNFIVKTVSKSKMDAKYHHPSIHLYMMDPKAPLTTEAVVSTDGNDDDDEDVSNPGEEEDPGEKNDESYSGLQESKSGNLNDWEIRRCGAMAARLLKDVKI >Et_4B_039170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8390957:8399872:-1 gene:Et_4B_039170 transcript:Et_4B_039170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMTSSSPQPPPHSHRRRRRSHPATPAPNAKSKPRAKALPLLSDVGVGRDPAAIKYYARVASNLAGAGRLRDFLLAAEGLRAATGDDPGFATRISSRLLSRGVVAALRDCGLPHVLEFLRDAERVGVPAAGMLDSEASDAIADACRTLLDERRMTEFVEVVEALAQYGFFVQGIVNPMDVLKIFVRKRDPDMAIRYARIFPNSQLLLCNTMEAFGKRKVLKHALTVFGAIKNQLGGINMFACRSIIDICGHCGSSVQARIIFEGLLADKITPNTYVFNSLMNVNAHSFSYNFSVYKHMQKLGVPPDLTTYNILLKTCCNASEYNLAQEIYEEMKKKECDGLLKLDVFTYSTMMKVFADAKMWKMATNIKENMQAAGVRLNLVTWSSLINAYANSGLIDRAIVVLEEMIRDGCQPTAPCFNIILTACVKSCQYDRAFRLFYSWKESGIKISLSPEEKGCIDGSFTFCGEHPNNGGIMLVVPFRPTVTTYNILMKACGTNGERAKSVMNDMRRSGLCPDLISWSILMNIYGTSQNRDGAIQALRRMQRIGIKLNVAAYTVAIKACAESKDLKLALHLFEEMKTHQLKPNLVTYKTLLTARSKYGSLQEVQQCLAIYQEMRKAGYEANDYYLKELIVEWCEARIVVLSVLRKIKENYIIGKIINDDVVIITGNEKASSIEVQTSAVDVEHAIVAVLTDDLGLEVLIGPGSFPPISSTTNASAKSKSNLVQASKNLARRPQGMIKITINSLNHWLRKKVVRLERQIDLGEVLEPKRAEGLYLSLLPGAQHRLQLLHPTFILLHEVFLKQLLSHLDIVVSHGEPALLRHPVLVKVAGPDAKPLDIGRRQIGTVIRHVLGTTHPVWVGVHQREHRQLQLHLEPPQEKPGGNIRLPLRRQYDAGEAVVEPVFGKAHECGVLLHQQDEERIALDARDPVDVGRDGLTADAHARTVDPVPVVGEDETANDHALLGCDDVEGGPGAAEHAGDVVEDGIGLGLVAEELDVGVEEVTLGDVDAPAEDGVDEGEDARRDGGLARGGDAGEGARGLAAQEHDAVEVREVDLVGGGAGGHREREAPAGEDAARGGEDEAVDAGGDGGGVLVGHHDVGGGGVGGGVLVRWVLQGGLGFGGGGGGGGEGAE >Et_3A_024073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17000816:17013348:1 gene:Et_3A_024073 transcript:Et_3A_024073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGMDIGGHVKVMEKVEWVDGLSLTYAEFVDRFMAPNRPVILTGLTSSCSYLVILTAQVADCSSREFTDHKRLEMSIRVKVVGQVERVDGRSLTYAEFVDRFMAPNRPVVLTGLTTSWRSCKDWTLPGPGDRRRPNLGFFARNFPSPLVPVADCSSREFTDQKRLEMSMQDFVDHWAGNSDDHDGSLLYLKDWHFVKELGLLYMLMFLDLTAGRQMYMRSSVYNINDDVSEKKFPEFNKTEWLECIQEQNETLFVPSGWYHQVHNLEDTISINHNWFNAYNLHWVWNLLYEDYKVAKEYIEDIRDICDDFEGLCQRNLAANTGMNFYDFFIFIVRFTLANAIELYHIRQLEDAATPAEIAHHFVFNLTSIRNVALKMIGTDAFTTGNLLHISEDNRSAFSNIKQILEDDSFRRLLTALSKAYEHIGSAQRTCLKTRVSNQKGCSSVSCLKSDCSVADEITTLSCEVHGPEDLLPIPQKIKLFAWKLYHEGLATKSIRNSSNMELVDTCVLCGMEAEMGFYALICCDHARNLREAMRECWKLPMEEKLLDAGQSGYYCCFCQLTKKSGRNFSCYSGESESCMKASRPPFRHRFTFFSHSGMNC >Et_4B_037078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14307083:14309214:-1 gene:Et_4B_037078 transcript:Et_4B_037078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMTDMPAATALRAPAPAAASGSDKDAEKLRFIEEMTSNVDAVQERVLAEILGRNAETEYLARCGLAGATDRAAFRARVPVVTYEDLQPDIQRIANGDRSPILSAHPISEFLTSSGTSAGERKLMPTIKEELDRRQLLYSLLMPVMNLYVPGLDKGKALYFLFVKSETTTPGGLTARPVLTSYYKSEHFKNRPYDPYHDYTSPTAAILCADAFQSMYAQMVCGLCQRHDVLRVGAVFASGLLRAIRFLQLHWEQLADDIESGTLTPRVSDPSVRDAVSGVLPRRGDAELARFLRAECGRGDWGGIVTRIWPNTKYLDVIVTGAMQQYIPTLEYYSGGLPMACTMYASSECYFGLNLRPMCAPSEVSYTIMPNMGYFEFLPVDEASGVASGEGDEAVDLARVERGREYELVITTYAGLYRYRVGDILRVTGFHNAAPQFRFVRRKNVLLSIESDKTDEAELQRAVERASALLRPRGAAVVEYTSHACTTSIPGHYVIYWELLTCSSGKKEASVEVEEEEDTTGRLLDRCCLEMEEALNTVYRQSRVADGSIGPLEIRVVRSGTFEELMDYAISRGASINQYKVPRCVSFPPIVELLDSRVVSRHFSPSPPHWAPDASRAADH >Et_6B_048605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11320897:11322659:1 gene:Et_6B_048605 transcript:Et_6B_048605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSIQLASRPSIMLPAGFKFVPTDEEIVVDYLRCRAVNQPLPSAVIIDKEILDQNPWDLVPVRRWDTCPRWKRAAKDGFWKASGKEVPIFCRVSLQVPLMVGIRKTLVFYRGKPLAGERTDWKHDGPVDALRGTAARIKNSTIMLKPDESWVVCHMYKKKGSTAHVVEQNNSSGSEEKIPFFDFLGVGNQQTGTAPHTDGKL >Et_10B_002646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2697251:2697788:1 gene:Et_10B_002646 transcript:Et_10B_002646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDQWEALVKLWSSPQHKKTCLANQQNREKVQMNQRTGSRCYVAQAHALVTNYAVDAFDFFLLDAD >Et_2B_021100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26464262:26469630:-1 gene:Et_2B_021100 transcript:Et_2B_021100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIADRAAAGELPEEARPPSAGPEDEDEEEEEGDVCRICRNRGDEDHPLRYPCACSGSIKFVHQDCLLQWLDHSNSRQCEVCKHAFSFSPVYADNAPSRLPFQELIVGVGMKACHVFQFILRLAFVLSVWLMIIPFITYWIWRLTFVRSLGEAQRLFLSHISAQLILSDCLHGFLLSAIIVLIFLGATSLRDYIRHLRELGGHDAERDDGGRERHGARAVRRLPGPNNRVPADGNIDELAEAQGIGAGELLRRNAENVAARLERLEAQVEQMLDGLDDADGAEDVPFDELVGMQGPVFHLVENAITVLASNAIFLIVVIFVPFSLGRIVLYYLSWFFSSASTPMFAKMMPFTETAISLANDTLKTAINVVKNLSSDSHNEGVIGHVIEVVTQSLKINATGLSAIQGTGKNSLIKGTTIGSSYLSDLTTLAVGYMFIFCLVFLYIGSLALLRYARGERFTIGRLYGIATILEAIPSLCRQFFAGMKHLMTMVKVAFLLVIELGVFPLMCGWWLDVCTLKMLGATIAQRVEFFTISPLASSSIHWLVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRVAPKIFPLDIIIFDPFTEIPVDVLLFQICIPFTIEHFKPRATIKALLHHWFAAVGWALGLTDFLLPKPEENGGQENWNGRAERRDRVNGGREMIAPQVEQRMIQHAAAEDNGRGNANEANDIAEESDVDDQGDSEYGFVLRIVLLLVLAWMTLLIFNAGMIVIPISLGRLVFEAVPRLPITHGIKCNDLFSFSIGCYIIWSAAAGTRYAIDYIKSGQLGFLVQQICKWCSIVLKSSALLSIWIFVIPVLIGLLFELLVIVPMRVPIDESPVFLLYQDWALGLIFLKIWTRLVMLDQMAPLVDESWRAKFERVRDDGFSRLRGLWVLHEIIMPIVSKLLTALCVPYVLARGVFPALGYPLIVNSAVYRFAWLGCLIFSALFLCGKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEDSPRSSDSGATSGSDDQDQALILRDQEGEQGLGMRRNNLRANQPGLAV >Et_3A_024420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20582885:20594582:-1 gene:Et_3A_024420 transcript:Et_3A_024420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQAINHTKRIVSLLELTLFLLLKQVVIPKRWSLKHERREAQLFVRSSCRHYESVSLPSKRYSNEIEIEDELLSLEASISSPSATIEAMCDGLRSLGEVYSHIEEIINLPDNQVCSIQQRARSMLDREMESSLELIDLCNAMQEIFAELKITIQDLQVVIRRGDASSQAKIQCFVGLVKKAHKQIKKCGKKTTLDKDDCKLVRLLFKARLLAISLLESTLCLLSKQVVMPKRSLVSKAFQKSKAVFCEEDQLQALECIAGDLDNGAELLFRRMIQSRRYSNETEIEDELLSLEASISSPSATIEAMCGGLRRLGDVYSHIEDIINLPSNQVCSIQQRKMLDREMESSLELIDLCNAMQGIFAELKINIQDLQVVIRRGDASSQAKIQSFAGLVKKAQKQIKKCGKKTTLDKEDCKLVRLLFKARLLAISLLESTLCLLSKQVVMPKRSLVSKAFQKSKAVFCEEDQLQALECITGDLENGAELLFRRMIQSR >Et_9A_062086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19652861:19664920:1 gene:Et_9A_062086 transcript:Et_9A_062086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRAPFFTDLMNRDNFQQLETSLTKQLALRDGSVYVSDIHFNSDNYLQILVKLFPSSEVSFNLSELVRIGFDLSNHTYQQPAIFGPYYFIADTYIPLAADAGGKKSQRGSGAIAGIAVTVGLLVISLIATVIYVLWPKKKKPTRATVPFGSWVVSQKDNGEAPQLKGARFFSFEEVKNCTRNFSDSHEIGAGGYGKVYKGTLEDGTVVAIKRAEPGSLQGVAEFKNEIELLSRVHHRNLVSLIGFCYEQVEQMLVYEYISNGTLRKNLQAIGTNLDWKRRLRIALGSARGLAYLHELADPPIIHRDIKSTNILLDDDLKAKVADFGLSKLVADTEKGHISTQVKGTVGYLDPEYYMTQQLSEKSDVFSFGVLVSGRLPIEAGKYIVREVRLAIDPSDRNHYGLRGLLDPAIMDAARTAGFRQFVDESAAARPTMGEVVKEIEAMLQNGPFQQEPVKGLFSSNMTLIHIMSSISFTTSPMVGCTGADMHRIASFTNRPSSAEHAASSMIVGLTSSNITTPKLYTSLFSDSFCVITLGPNNPVKHQITMFMRAHTLPNASSNSLAPSGPGGLVLQHGLDILAHFPHGRPHHRRLIHAPHRQLREPPDRRVEEALPPVLVAVARVDGKPNHAHDVFACLDRQPPAPPNSSITTPKLNMSLFFDGRCVMQYAGLLFQLSWIGDSDDP >Et_4B_039645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2557213:2559551:-1 gene:Et_4B_039645 transcript:Et_4B_039645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKASDSESPSLESVPLPPPDADDDLRRVTEFGIVPRGNGGHYLLAALSEAHDAPLDYKLHTYSSERKTWSSITLLNPCTEVKRIIPEKVITIGEGVLGWVDFSCGLLVCNLNEGPPNANFIPLPLPLPGNKDKLRASLTSGRSPRLFRDIACVDGVLKFIEVEHRVIDIMPEKSSDPSDKGLLYDSDLIMSLKRKDMDEKPKRQHSGYRWRAVTWSRMILSNCWHKERTIDVSDILSIEFSDILAAESTYSSVLSGLGVKFLEEWKLYSAFPLSAWMSTVEPNDHDGWVVAVDLENKRARLFGAWSFAKPKRGYFLQAFRSCTLSNHLKMTPGIKASAYRNITQAGSCADDPNKTPFTNVEGFFIYKLDLHHRSSAKEVNRARNEAPIVAQNIRVSGAVLNPVEDRRPPQQSISNWNWPHNNEYQPVLQQPLSSQQQQMPNQLWNP >Et_1A_009250.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35250058:35250672:-1 gene:Et_1A_009250 transcript:Et_1A_009250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGPAAAADGDRLTRFRGVRKRPWGRFAAEIRDPAKKARVWLGTFDSAEDAARAYDAAARALRGPKAKTNFPLAGVHHHQRHVRANAAAAYTPDTDAAATTAAVAAARPASSSLSSTVESFSGPRQRPLLPPRPPPPPIPDGDCRSDCGSSASVVDDDCTDAAASPSCRLPLPFDLNLPPGGCGFVCYGDEEDELRLTALRL >Et_1A_005372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:120271:122692:1 gene:Et_1A_005372 transcript:Et_1A_005372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMYYPPPLAVVSSAAPHLPSFPALRRRLSLPPASLLLRCRSFSWRRTRERRAIAAAAVDDDDFFTVDLDPDEYPGELEDDGSPCPWEGALVYRRDAAVQHLEYATTLERLGLGDLSSPDSRSRAAAMGILSSSEAAETPVLVSLDVTRRRGRLRLDGIVRAVITLGCFRCAEPAPEGIFANFSLLLTEDPVEEPDVVDLGTIYEEDIAKGPSVTSTQDEDDQDIDWDDRLHFPAGDKEIDISKNIRDVIHLEITFDAFCSPTCKGLCLVCGTNLNTSSCSCGKEKTREPKDVNRRGPLKELLRPLQQRKTNWMQYGACNRDHHTHFSRKVVVVLKIIIVFVVLVVIILVGVDISLLILRLLQVFTCIFGSVLISRIEVIVVCHIVFRMEGIFLFFFFSFSVGLHVHLNLITLKDLEPTLRFSVHSYSVFFLYAARIDNIFRSMTLNGFGPSNGTGSEESLLTTISGVL >Et_10A_000525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12050244:12052156:-1 gene:Et_10A_000525 transcript:Et_10A_000525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRRVKSSNKGRKGSTAPLQNGEKKIDGGVSTNSRQVAPETRLGSADYGSSKDDTFYEAYPWLDSDCDDDFYSVTGDLTPARSITSQSSKTIPPASPMLPTLGAILKAEPLKPPKQQRKLADFLRESQDGDSAVGGPDDLSRDDSFRYGQEKGRCCAPQFARAISCNGRGRRSK >Et_3B_031091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1576552:1577616:-1 gene:Et_3B_031091 transcript:Et_3B_031091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLCTLHATVTGAPAAPVLRRRGGTARPPHWRARAARAQGAAPEQDDDHLQAPVVKGGGPTKTTALKVGAGVALALALGGASWTARGAGAGRTLHVQPAAVCALNAVTDGASRGSRTRCSGVRTRPGTAPRSWTSSSSKSPRRHSATHTRISTYCSLFIWESADAMEFHITDRGKLTSLLQKEFSASRDSERKLDLGLLLTDVLINQREWQRAKEVCQQITGRYQRDSRPYLHLAVINMMMAVETMLSPDTATTDDIEKMTKSAIDAWKEFKNKNELAKGSTDSST >Et_4A_034577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4403039:4406406:-1 gene:Et_4A_034577 transcript:Et_4A_034577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESFRVESPNVRYGPSEIESEYRYDTTELVHEAKDGASRWVVRPKSVKYNFRTSTAVPKLGVMLVGWGGNNGSTLTAGVIANREGISWATKDKVQQANYYGSLTQASTIRVGSYNGEEIYAPFKSLLPMVNPDDIVFGGWDISNLNLADAMTRAKVLDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGSRVNNVIKGTKKEQMEQIIKDIREFKEKNKVDKVVVLWTANTERYSNVSVGLNDTTENLLASLERNESEISPSTLYAIACVMEGVPFINGSPQNTFVPGLIELAIKNNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNGILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEEKFHSFHPVATILSYLSKAPLVPPGTPVVNALAKQRAMLENIMRACVGLAPENNMILEYK >Et_8B_059557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19204942:19210083:1 gene:Et_8B_059557 transcript:Et_8B_059557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQASAWALLRRLRRHRDPAAALLRRRLLSSAPASSSPGAHTGPHQLNGYSGSRGISAWVGSHPRPRLPRRQHTAGTATRAAHQAASSSNSTVATASSEADTVASHSEAVRFIKSAFGKLEGDHHCWLNATNGTWRTLNEEGIYLILLYRCCDTLDSNNKCPAAFEKLKYLQRRYPRLNALAVQHGSDTSSLDAESQAVHTVVNEFITFPILKTDKDFSNMAGACYLLLEGSKDPLLFSNWHLEPEVMIKAIEELNALKEEPSENVLSRVLWQKEEVVKEPFVGSFRNLLLYHPACISVDEDGDRIFISDSNHHRVIISDSNGMIMDCIGSSPGFEDGEFESAKFLRPAASFYHASEDCLYIVDSENHSVRKADLGRRILETVYPVFNKSSGVLSWIMDTLGLRKEVAPTVQDFDADSVTLPWHLIQISEDDLLVADRNFEVPWILRISTGERQDIGRGRAEIMESYQQIVNERCGLLKDIHTYWSSRAREHSDSLEKISNKELVSSVSRFQNYVVFCDKDGQRVLKHNLDTKKTSNIHFSNCEVLGLPYWFVCNLERVSTWGHSTGQFQEHIRHVDVLPGKCNITVCIDIPADTELAAPLAENCIWRQVRGSGAEISGSDGPETTTEKVGIAQQWYDELDNLAFSEVAEEPTAHEGDDKPADQSYQDQRTVQFTCRVNVSPGTCELVASAALYLKIARARADNEDQKALVKRIIGCQSREEHAGVELLMGRSCADARDLVVAKPVHLRLRLQCADHPAGATNKETINTESSLKINVSLD >Et_7B_055058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6915873:6918759:-1 gene:Et_7B_055058 transcript:Et_7B_055058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFRQAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFIGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVAAVPYGGAKGGIGCSPGELSRSELERLTRVFTQKIHDLIGTHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLAEYGKSIAGSTFVIQGFGNVGSWAAQLIHEKGGKIIALGDVTGSIRNEAGIDIPSLMKHRTEGGALKDFHGAEVIDSSELLVHGCDVLIPCALGGVLNRENAPHVKAKFVVEAANHPTDPEADEIFAKNGVTVLPDIYANSGGVIVSYFEWVQNIQGFMWDEEKVNMELHKYMNSAFHHIKAMCKSQDCNLRMGAFTLGVNRVARATLLRGWEA >Et_5A_041929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4430161:4433366:1 gene:Et_5A_041929 transcript:Et_5A_041929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSGGSGRDTAAPYDRRVTIFASDGRLYQVDYALNAVKLPGLTSVGVRGADSVCVVGQRKAKDKLMDAASGSSLFPITERLGLLTTGNAGDGMTLAHQAKNQAAEFRFKWGYDMPPDVLAQWIADGAQICTQHVSKRPSGVVAMILGIDEERETPQLYTSDPAGYFLGHKAVSAGFKDREAINFLEKKMKNNPSLSFEETIQMAISALQYALNVDLKAKEIEVGVVRKDDPVFRMLTASEIDEHLKAIKQHKQN >Et_2A_014734.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:10957683:10957832:1 gene:Et_2A_014734 transcript:Et_2A_014734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIVDEPAQEGQAPKTPAEAVAQVLPSRKFLQNVGIKTAMPKRNAKGC >Et_4B_036527.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28251944:28252300:-1 gene:Et_4B_036527 transcript:Et_4B_036527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LQDSSSGNWHVHCGFNGPAQSVGYFPKEVLPALSAGKELNISFGGFTGCTKNDSNIPMGNGNVPPNNAASMNHLQFIDIKGGNHVIDKDLPYISTNNCYRVSPIVGGQFSYGGPNNCA >Et_6B_049207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:204714:212859:1 gene:Et_6B_049207 transcript:Et_6B_049207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVDCPTSPGSKGAELIEEIDCPVSDDEVPEKFTYDLGKDSDDDVPVDTVTTCPGQRFTKEQAKEIRRKWLKGYTDLCAKYADLCNELLSDEDEDENSQKNSSLPPGPLKVLPETTPSCIERGYCYHREFMTNSTDETAKNLGFYEPHDMLQVLSLRLSHTESCSISDSFALPLCSPCRGMYVLDRALLEVDLWIKKEGDKSTDERLLSVYVEIDLSSFLDEKLIGRIHGDRCMLDMSYMFLAESLEATIQISALADNPSDVRFIAFSSCFDDEIILFKGKGVKKGELIRHIVAVKAEEKLCVRLELGNSVFEWTFQDEAGVSSSPDDLITNQFHVKVLFAPNNLAPIRSRYHEWKR >Et_9A_063530.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:6115736:6116011:1 gene:Et_9A_063530 transcript:Et_9A_063530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAASASASVCSAAYHHLSTADDGGDSNGGEQATTAQQQQLARRRRKQAGGSAGLRRRCYAVLKQQRTRLYILRRCVTMLLCWHEHDLSD >Et_10B_004144.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:15660531:15661466:1 gene:Et_10B_004144 transcript:Et_10B_004144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGTQGELPMAMHGGGGGGSPFLGLHHEHQHQQQREQQYQHHRHHGANGRHASPPEEEKNRQALVVVPVSSSGGAAAAAGGGGGVRYRECLKNHAAAIGGSATDGCGEFMPAGEEGSLDALRCSACGCHRNFHRKEPPPGGAGDGGRPLHLGHHHPLAGGPLSPLHHRGLMVAALPPAPTRMVMPLSAAMHHHAGAATSAESDDARQPAPARKRFRTKFTAEQKARMLGFAEEAGWRLQKLEDAAVQRFCQEVGVKRRVLKVWMHNNKHTLARRHQPLAGDMPEHLPEPGRSPSRSPPPPQQQQQLRLE >Et_5A_041735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26573917:26579423:1 gene:Et_5A_041735 transcript:Et_5A_041735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTFASATATRFAALWVIDALAGDETLDFSILKALVGASPECLTGAPEATRERVALRCLQEVASLASKGDAAVTAGVLRIEAARSCEDVLLELIGQVGRSGTMDKDMLPPFSQDIQNIISMKKHALPETSFELLKEVDPDIASVVSPSQLEQNDTNPNDNDQSLCSSHNHVNIDRLRFPKDNVEFQQEASANLVDETESRNLENQPCTSDSKSCDHQQADDADAVGNSPPENSPSLDENVPLGAEHASAGCDVALQGSTTEPVSKKDTEVHAAMVQPQSPREHSPNPPPHYNDGERLHDDDQSMKNLSHEGLDTYAAVAPAFDRNSDALPTNAAEPGHVPDFVSPEDTTVISQPHSSKTDPNALQHESGKKKVNRDLDDVSATIQSVEKDHVHEETTLQAASTVPPVSCNDAIQGDQSETSRLPGNATKHTAVFEEQNGDKPHIESSGVDKVNHALHDDASMLGKNTVHDRLNKQDAPLSQNCNSVLHDKTSEDNNSCEHNTGKSKTDLQKNDCGTSVPNSIEHGNGTSTMNASNKTNLGDTSAGIPHVSSSYDSLPGIAAAGLLSMTNKMSFCPEDQDVNDSLEGLSQQDLCIKCGKGGQLLKCSGCLLAAHNSCFGSSVTFQETDLFYCPVCFYTKATEAYQKARKTYCEARKNLATFLGTTQMISQPDEQQTGVLPTAPNRESQCNVSDTSKRKNMNQNEAEAANVAHQDKEPDHQRKKQKIYATENGYPEERVTEKTSLVRNSNVLTMSKHSVLKNNSSDKVQDAERQQRRESKEDGNGNSSHETRSPSQNRCGPPANQEVEADKEDGPSKSRSHQSNDSDEIEASSSNDSGKRSSPPWRKMKHRKSKLRQKETMVSSGSRKTITRQDQQMSSPSTKRNYAPHKRYSNPVAPPGRRSKLCWTEEEETTLKEAMAKFAPQDDGPIPWVQILEYGRDVFHKTRLPADLRVKWRNIVKKGGL >Et_5B_045708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7957499:7959770:1 gene:Et_5B_045708 transcript:Et_5B_045708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMNARLFRHLALAVFLLLAQLTDAALVPKIKNHHELKPHASNTYIVHANHLAMPPNFASLDHWYDSLVATHSPRAAANTSGRILYTYDTVMHGFAGDEARRMSTAPGVTSVHKDRVFRLHTTRSPGFMGLDPGYGAWSETDFGDGVIIGFVDGGIWPESASFNDSGLGPVRPSWRGKCIGDVDCNNKLVGAKAFYAAARGCGTVYSPRARHARGLDGGRRGGGRRWHVHVLAGKSAGNGAQGKDRRVHGDDCSNADIVAAVEAAVKDGVDIISMSLGALPSPFYDDDLAIASFGAERKGVFVAMSGGNVGPGASTVSNTAPRMSTVGASTIDRLFPANLTLGNGVVLVGQSLYTMKAKGTGMIQLVSSESCEVEADSWTPDKVMGKIMVCMDRGDQEGVALQNAGGAGMITVDPSELSREGAGAFPFTLPGLTLGVDAHEKLKAYMNSVPNPVASFSFGCETIVEENRAPVVAGFSSRGPNPAAPELLKPDVIAPGVNILAAWARDAPLSLDPDVDDGRRADYNIVSGTSMAAPHVAGVAALIKKKHPAWTPAMIRSAPMTTAETVDNMDHDILDNGATDGRRDSVRSATPFAAGAGHIRPNLALDPGLVYDAGERDYVDFLCALNYSVEQMRLFVPDFVKCTRTLPGGAAGLNYPSFVVVFDVRTLTRTVTAVSEKAETYSVTVAAPEHVMVTFSPTTLEFNKPNEKKSYTVEFRSLAGGNATAGWDFGHIAWENEDHLVRSPVAFQWKS >Et_4B_038844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5199454:5203647:-1 gene:Et_4B_038844 transcript:Et_4B_038844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASHPQVEAPAAGAWVTVEEWSGSSASALSRTAVLTASASSLTSRRFGSRWGRIGGRLLGAFVPEGFPGSVTPDYVPFQVWDTLQGLSTYIRAMLSTQALLGAIGVGEQSATVIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADFMNDLGMLMDLLSPLFPSSLVAIMCLGSISRSFTGVASGATRAALTQHFALAKNAADISAKEGSQETLATMLGMGLGMLLAHITRGHALSVWASFLSLTMFHMYANYKAVQSLSLVTLNYERSSILLQYFMEYGEVLAPQQVSQQEHILPFWSSWRKLIRMKLPHECVNLANYFLLDKEDIVHVFIHKKATPVDVLKSFIHALVLASSMQKGRTQHAEARKWMEEKYNIFITKLQMEGYSTERLLSHSIAWRAHWLRDALDEKLK >Et_5A_041827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3154185:3157427:-1 gene:Et_5A_041827 transcript:Et_5A_041827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRSTTFANAQQEELKSRENTSTDTPTQKITCGSKRKSGNKIQRGGMRLLFGFEEEFAEDIGNDLPKAVFDASQCVVSLASFEGCHESTTRVLTSASLVTTSDTNDIAGNVEIQVYLQDNQNTIGTLQWYSLEYNIAVVSFANLHCDESVKFNNEVQIKPYRDVVVAIGRIWKTGKLMATRGVAGIGGPLIDLNGNFIGMNFYDKEQTPYLPSNIILRLLSQYDAKGTVVDEVIAHEAMKDDVIADEVIGSTERNSPMCFSVQFTSGFDQTANKNKTGNAISSRMQSAPRAPDSKLSAPSRGTSAPLGPPKDDPFPKPPAPGVNDDGGLKPVLGAFADGVVLELPPDMEL >Et_1B_011369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21180150:21184064:-1 gene:Et_1B_011369 transcript:Et_1B_011369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSGGIADEKAPEAFGLSRHALEAEEEEEHHGGESSGVKSMISGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFAQLGMLSGILFQLFYGLLGSWTAYLISILYLEYRTRKEREKKADFRNHVIQWFEVLDGLLGRHWRNAGLAFNCTFLLFGSVIQLIGCASNIYYVNDRLDKRTWTYIFGACCATTVFIPSFHNYRVWSFLGLVMTTYTAWYIAVASLAHGQVEGVTHSGPTRIVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKSIYLLATLYVLTLTLPSASAAYWAFGDALLTHSNALALLPRTPWRDAAVVLMLIHQFITFGFACTPLYFVWEKLIGLHDCKSLCKRAAARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMVTFRSPQSRENAVEPPPKFAGGWTGAYVINSFVVAWVLVVGFGFGGWASITNFVQQVNTFGLFAKCYQCPPRPPAPLAPLSMAPAPSMPPIMNATGFFSPASSPAPAPSPLMHFFLRHHHRHHRHGL >Et_6B_048740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13104875:13109666:1 gene:Et_6B_048740 transcript:Et_6B_048740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRLAVLVAALAAGGGWWGGRGAEASMHTYDREPFREVGNAFLLSGGSEGIVADGADPAAPASSFIKFVNVTFWRTSESAESHAKMAHSTGLVQAILFEAADRDNIGGSAYGGQRSICCTPDLAKLEGCKQGEVIRRPSSDDPDWPVVLDTHFSANYLSVKLEDEEVRITKTGMYNLFFISCDPKLRGLTMSGKTVWRNPGGYLPGRMAPLMKFYVLMSLAYLLVMVVWFSQYIRFWRDILPIQNWITLVIALGLFEMTLWYFEYLNFNSSGVRPVGITTWVVTVGAIRRTISRLLILSISMGYGVVRPTLGGLTSKVLLLGLTYFLASEMLDIAENVGTINDISGKAKLFLVLPDAFLDAFLILWIFTSLSRTLEKLQARSSVKLDIYRKFTNALAVSVIASVAWIGYEVYFKATDPFSERWQSAWIITAFWDVLAFVLLVVICYLWAPSQSSQRYAYSGEAADDDDEESQSLTKGTDGEVGMVKIDKDRSAGVSHAFSLDDETEEDKREYLK >Et_4A_033578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24717570:24721398:1 gene:Et_4A_033578 transcript:Et_4A_033578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLDSHFLALTAIVTVGYQLLFFIVTALFRFDKVTDFAGGTNFVIIAILTLALKGAWHFRQIVLTVLVVIWGLRLGLFLLMRIVQWGEDRRFDNMRNNLGKLAVFWIFQAVWVWTVSLPVTVVNASDRNPSIEARDIIGWIMWLVGIVVEATADQQKLMFKNNPSNRGKWCDAGVWKYSRHPNYFGEMLLWWGVFVASTPVLLDAEWLVIFGPIFLTLLLLFLSGIPLLESSADKRYGQLEEYRTYKNITSPLIPLPPVVYGALPAWFKVAFLLELPLYNPGPGGDPNSVEKCSETGTMLVVLLAASERLSRRRDGHLIDLHDVLERGPGRDLRAVHPEVGAVVAEPEVGWYDHPPLAAGLHGPEHVLDAVAHAPGVGPGPHHQRQRPLALAERVHERGLVASGAGDAALQVDHHQVAGARDVAAADAQLVVPDPVGQDHLLLLLHVPAHDRHKHS >Et_1A_007978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39888035:39890308:-1 gene:Et_1A_007978 transcript:Et_1A_007978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRDALLWLHLLAVAVAASRAAGSKVPAMFVFGDSTADVGNNDYLPGSSARANFPHNGVDFPGGKPTGRFSNGLIGVDFLGANFASGGSGVLDSTGTTINMTKQIEYFSDLKHQMTTRLSANRVSALLSESIFLISAAGNDAFEFFSQNRSLDSSGVQQFSEAVISTYDSHVKTLHNLGARKFAVINVPLIGCCPYWRSQNPTGACMEPLNQLAKSLNDGISDLFSNLRSEMQGMKYSIASSYELLSSLIENPQVAGFEEVKSACCGGGRLNAEEGCTPKSSCCSDRSKYLFWDLLHPTQATSKFAGLAFYDGPAQFVNPITFKQLVEA >Et_3B_030715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6767089:6771462:1 gene:Et_3B_030715 transcript:Et_3B_030715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPKQRWTSEEEAALRAGVARHGVGNWRTILKDPEFSSTLCYRSNVDLKDKWRNMNVVVTASSSREKGRTGVKKTRPAPKNNDQSMTLSTVTSDVDDEIVDAKPIASVSSEAWNSSNPKKSNSRLDNVILEAIKNLKEPTGSHRTTIANYIEEQYWPPSDFDHLLSAKLKDLTTSGKLIKVNRNPKMLLLEDVKKEPVKGGNDDCKTLTRAQVDAELARMATMTAEEAAAAAARAVAEAEAIMAEAEAAAREAEAAEADAQAAQAFAEAALLTLKNRNAAKLVITLARESSCAILSFYIAQLIGYLLVTEKNPENRKNPYIHWLYAMKITISSGTYAEITATHPTHLYDCIMGQA >Et_6B_048467.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:7841542:7841652:-1 gene:Et_6B_048467 transcript:Et_6B_048467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAQARARQPARRHGAGGLPCAWPNTAARTPSACT >Et_1B_013133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5830847:5833093:1 gene:Et_1B_013133 transcript:Et_1B_013133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCMESEEQETMMATDENAVGDRAGGENAAAHVHNPPRPGGMDLSGDEQVPKARKPYTITKQREKWTEDEHKRFLEALQLHGRAWRRIQEHIGTKTAVQIRSHAQKFFTKVVVRGSSGSSTGSGAAPAIQIPPPRPKRKPAHPYPRKVDGAARKHAPALKQLEKPPALRMQSLREQDDGSPTSVLTAAQTVMRADALDGAFSNSSSGGGRSVAGSDERGNGGGSSVDREDGCLSPSIPAAELASRAPNTKAFGDAKDCTASEAPEFKLFGKKVAVKDSYQNLKNRRDLKIDAPPASVDQATRNAVPFAAANSWNPWPSNMQQLMYFVPHPDGFPAQSVVPWLGYNGSLPCSLFYPRSVASNQQHHQPSEASDQREGSLTGSNSGSSAAPASAARNSDAAESHAGQGNASESGTAPSVPRLSKCLSSASTNRRGFVPYKRCAAESEAPRSAAPGEEADGELTRLCL >Et_9A_061881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17402950:17405253:-1 gene:Et_9A_061881 transcript:Et_9A_061881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGSSEYFLRQLSSSDFGAAPEYDQPPPQEWEQECGGGRRGSRRWSGRKKARTRGHRRGGGFSCRTREAEAAAAGRKRVMVVVDQSSGAKHTMMWALTHVANKGDFLTLLHVLPPTSGSGRGCSAEASALANSLGSLCKACKPEVILRSRTACGNLPPVPAFMRSSSEEFVEECINRADCLTLAVRRQSKGVGGYLISTRWQKNFWLLA >Et_1A_009006.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2570096:2570563:1 gene:Et_1A_009006 transcript:Et_1A_009006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVGYYHDQGPAYRYSYAPALAPPRATSFHLCVFLATAALLGGTSLYSRCESAMESLVDQVRIAVVLSPLLFLLAVQYWAATSGRRASYGGGGGLSSLLVWPGVGEQPIWYDGRGQQRDASSPWGVALVLALVLLLVSYQSCFQDLWFPLTRRR >Et_6B_049871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1642667:1645574:1 gene:Et_6B_049871 transcript:Et_6B_049871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKCYARPRTGSAAWHLGLELDGEPQESMEPIERDVRHVLHVRRQANLHPTAAAFAFGAAAHRPAAEQRLPQQLKQQRQERPPLLFLLLLLRPSRLSPSSFLELKTSCRSRRVLRAAASWEHVAVGIG >Et_4B_038478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29294894:29298999:-1 gene:Et_4B_038478 transcript:Et_4B_038478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPASGLAARGDLRSALPYLPVVLRGGALFWPPAAQEPLRALALGPDVSRVVSGDVLADALTDLRLALAQPPLPNRAADGFALFFDDLLSRAHARGWFAEVLPSLARLLLRLPKLLEDHYAKVADGASGLRILESQDAGIVLLSQELVAALLACSLFCLFPTEGRIETSLPMINFDGLFSALVHHNSRQSQEQKLRCLIHYFERVTDSTPTGSVSFERKVLPSHSVSGGITYPDCDMWMKSSAPLCQFRVFSSGYIEDEVQEALEVDFANKYLGGGALLRGCVQEEIRFMINPELIVGMLFMASMEDNEAIEIVGAERFSQYMGYGSSFRFVGDYLDTKPFDSMGRRRTRILAIDALDCPTMSQYESSGLLREVNKAFCGFLDLSKHQLDVKLFEDSNSKDNCPSTSSSEYIGVSTGNWGCGAFGGNPEIKSMIQWIAASQALRPFVNYYTFEAASLERLEEVIQWVLRHGWTVGELWHMLVDYSSQRLREETRMGFFDWLLPRDNHSSDLHYMSE >Et_3B_029281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23700447:23706486:1 gene:Et_3B_029281 transcript:Et_3B_029281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWGPSAERLIPGGFGASQQYWSTCPPSGSVSNQAATPRELSIHSLSRHRRRRRGARMKAIGSGGEWWWNLPSLRRKHDSRRRGRRNTDPRGRRRGPPREPLSSSSSESIGQSPGWPIEFPIRQAVTAACLTLTGDTIAQVRSRIVDRRNRPAESDSKGLIEDILLNHDWLRALRIASYGFLLYGPGSHAWYHFLDKCMLKQTLANLSAKVILNQIVLGPCVIAVIFAWNNLWLGKLSELPSKYQNDALPTLLYGFKFWIPVSIVNFGCVGRVNLKSVDDITLPQLRKIELLASRQDNVRKLKVGTDEDKHNTTRRPVHNF >Et_4A_035074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9283793:9284476:1 gene:Et_4A_035074 transcript:Et_4A_035074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PNPCQPTFQSSLAPNPKFSLSHRKPKNSHAIFHHPARCRSKRHAPPIRDPASPPIRAKPATSDGLDIPSRLSHGSCSIQRRPAHLPPLHNRPPPPSPSLHPLPQLPKNSPPNSFEAREKGRRAAAMSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENTVTAMDVVYALKRQGRTLYGFGG >Et_5B_044902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7118270:7123480:1 gene:Et_5B_044902 transcript:Et_5B_044902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAVLLVVLLLAHDAARVDAAALGPTLSPGQSLGAKDTLVSANGTFVLGFFAPRGGGDPGRRYLGVMYARAAEQTVPWVANRDAPVSAAASSKYFATVTASGELQVLEGDRVAWRTNTSLSSSSPGGNVTLTLLDNGNLVLASGSAQQVTLWESFDHPTDTFLPGMRVALYWQNGGGGGLPVKRTLYTSWRGPGGPATGDFTLGLDPLGSWQLYIWESRRNGTNVNTTYWRSGQWPGTGDFVGKFQVYAGTSQTDNFTYYTYVSDSFDRLMIQPNGTWAYNSLLDDTGEWEAVWSQPTFRCEAYNTCGANAVCATGDNGNCLKACERVPFTGPLSQHLKLIRAMTVDDAGFEPRSAAEYSIGNWTQGCVRSAPLTCQQNASGGYQFVVLPGVKPPNFATSVTTVSDKDQCRRWCLSNCSCGGYSINSGDGCMTWGLELLDIYEFPNGDGYDLSIKVPAASVSGFLRVYEEGENGKKCELPLFPFETLAAATGDFSSTKKLGEGGFGQVYKGTLPGGEEVAVKRLSRSSGQGLEELKNEVILIAKLQHLVKLLVCCLQGEEKILVYEFMPNKSLDAFLFDPAGRELLEWKTRLHIIEGIARGLMYLHRDSRLRVVHRDLKASNILLDRDMNPKISDFGLARIFGDERNGQVNTNRVVGTLGYMSPEYAMKGLFSVRSDVYSFGILVLEIVSGQKNSSFHHMDGSLNIVGYAWQLWNAGEGEELIDPAVRAACPAREALRCVHLALLCVQDHACDRSDIPYVVMSLVNDSAVLPVPKPPTFTLQCTSWEREVLSGSTGPTSHTPLATSQSPCRKADRARISWQFSCSMNSRKTDSTIRMEKQRDQGNTATGAGAISTISFM >Et_4A_033485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23581120:23590437:1 gene:Et_4A_033485 transcript:Et_4A_033485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPPPPAPRARASLPGRLLLLCCCLAACWSPWPLVPVARALPLCTDGRAPVALNRTLGFCSSYGGGNGSSCCDAAADAALRKQFEAMKVSDAACAAVVKSVLCAKCSPFSAELFNSSSEMVRMVPLLCNNTSATSAQSKDSTQDYCKLVWQSCKSVTIVNSPFQPSLQGKARLPSSSSSKLTDVWQTEEDFCASFGGSSDKQSPCFNGNVISFNAKELSPSPKGICLERIGNGSYLNLAPHPDGSNRAFVSSQAGKIWLATIPEQGSGGALEIDEANPFLDLTDEVHYDSEFGLMGLAFHPKFATNGRFFVSYNCDRTRSPSCAGRCSCNSDAGCDPSKLGTDNGAQPCQYQVVVSEYSAKVSSSNISTATSANPTEVRRVFTMGLPYTAHHGGQILFGPTDGYLYLMMGDGGSKGDPFNFSQNKKSLLGKIMRLDVDGTQSQSQTVNQSLWGNYSIPKDNPFSDDSDLQPEIWALGFSNPWRCSFDSEKPSYFYCGDVGQDVYEEVDLISKGGNYGWRVYEGPSIFHPQQSPGGNTSVDSINAIFPVMGYNHSSVNKNIGSASITGGYVYRGSTDPCLYGRYLYTDLYSSAMWTGTETPMGSGNYTSSVIPLSCSKTSPIACESTAGSHDPSFGYIFSFGEDNSKDIFILASKGVYRVVRPSLCGYTCPTEKAATNNGTTSPGPSSFAPVTRLGRSMAAALALFKCNPFSAELFNSTSKIRMVPLLCNNTSSASSTQSKDSTLDYCKQVWEICKNVAVVNSPFQPHLQGKARIPSSSSKLTDIWRTEKEFCTSFGGSSDDQSICFSGNAVSFNARKPSPPPRGVCMERIRNGSYLNMAPHPDGSNRVFLSSQAGKIWLATIPEQGSGGTLEFDEANPFLDLTDEVHYDSVFGLMGIAFHPNFVTNGRFFVSYDCDRTQSSKCAGRCSCNSDAGCDTLKLGTNDDAKPCQYQVVISEYSAKVSSNISMSQSINQSLWGNYSIPKDNPFSGDSDMQPEIWAIGLGNPWRCSFDSERPSYFYCGDCGQDVYEEVDLITKGGNYGWPVYEGRYIYDPKRNMSLDSTNVIFPIMGYNHSSVDKNSGSSSIIGGYVYRGSTDPCLYGRYLYTDLYSSSMWTSTETPEGSGNYTTSVIPMSCSKTSPIACESTVGSPDPLLDNNKDIFLMASKGIYRVVRPSLCGFTCPAEKPATNNGTTPPGLSSLASGTRLEKPVTIALAILV >Et_4A_034605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4792525:4794294:1 gene:Et_4A_034605 transcript:Et_4A_034605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKTVDPFAKKDWYDIKAPSVFSVRNIGKTLVSRTQGTKIASEGLKHRVFEVSLADLQSDEDQAYRKIRLRAEDVQGKNVLTNFWGMDFTTDKLRSLVKKWQTLIEAHVDVKTTDGYMLRLFCIGFTKRRPNQVKRTCYAQSSQIRQIRRKMVEIMASQASTCDLKELVSKFIPEVIGKEIEKATSSIFPLQNVFIRKVKILKAPKFDLGKLMEVHGDYKEDVGVKLERPAEGDETMATPEVAAE >Et_8A_058325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:547296:551316:1 gene:Et_8A_058325 transcript:Et_8A_058325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNGTKKQGMQRSYCQLSVSLNKGDGQQNQGLLDESFMELRRLQDAKDPDFIARLLTNFLNDGDSMFGELTQLLERPFIDFKALYEKVHELKGCSASMGARQIRLACIQIEQFTGQQKTRDDWSARSMASKAESKSGAADWRRKMMEELARADCGGGRGADEETGKRYGDAGWKKQVEELARRRKVWK >Et_10B_002804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11486339:11502618:-1 gene:Et_10B_002804 transcript:Et_10B_002804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSRPQIDQFFPAKKRRPPSRKEGRSSGSQNWSPGGVKGSLEPYLVRSPTNVAAAAAAPAGSPRGGDGGARRSLSAAMDVDIASSAPAAAATTADDIVDLEFKRLTGFLSHSFSASTKPLIDDSRDGDQLEKKQKLSTSQPFLVPCGNASVKRQRVAHCSSLDGLKESGDNAAFKKQRVNHYGGSEDLEELVEGAKVIGEGSMALQRCNLTPNTAQKKTGFSLAVGETPKSVSRNSLTSPGEDFWNAAIEFAEGISAQADKARGRPEFDAAEDKSSCPVAVSSKTLPRSGKGEIGSPNAVGSNDTHQMEKSSYKELLAANSQNIVGSPLPVKYFDFCYDDDNQVSSSKCKENCSSDAGTVHMDHIQSKNNDFKAVENLIHPVDGMKKTTVDLHVGSADMIPSQGPSRSKIEDQVHLIQDADENSHLSKRDLNQLTHIEDSSLGDYSNSSKPNKNSKSKLVSNEMEASTPTSSLPLKDYSKLSSWLPPELCAVYYKKGISELYPWQVECLLVEGVLEKRNLVYCASTSAGKSFVAEVLMLRRILSSGNMAILVLPYVSICAEKAEHLEQLLEPLGRHVRSFYGNQGGGSLPKDTAVAVCTIEKANSLVNKLLEEGRLSELGVIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSELSSGETSGSSSGKIATQGLQIIGMSATMPNVAAVADWLQAALYQTDFRPVPLEEFIKVGSQILDKHLNVVRVLPKAADLGGKDPDHIVELCNEIVLQGHSVLLFCSSRKGCESTARHVAKFLKLASVGSSSVGSEFSDAASAIEALRRCPAGLDPVLEETLPHGVAYHHAGLTVEEREIVESCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYRQMAGRAGRTGIDTKGESILVCRPEELKRITGIVRSNCPPLESCLSEDKNGMTHAIMEVVAGGIVQTANDIHRYVRCTLLNSTKPFEDVVKSAQDSLRWLCHKKFVEWNNETKIYTTTPLGRAAFGSSLNPEESLVVLDDLSRAREGFVLASDLHLVYLVTPINVGVEPDWELYYERFMQLSSLEQSVGNRVGVIEPILMHMAHGATMPVRGRPQGNTSTHSKPPAQGSGGNSLINEQTLREIPVADVCEAFKVARGMIQALQENAGRFASMVSAFCQRLGWHDLEGLVAKFQNRVSFGVRAEIAELTSIPFVKGSRARALYKSGLRTPVAIAEASIPEIAQALFDSSTWSGQDDSGLRRMQFGIAKKIKNGARKIVLEEAEAARLAAFSAFKSLGVEVPQFTAPPLPTIEDSPTRDAMVFPSGDQANYNKVPLVTHGGDDKNKCSDYAASQRASKYSFRENNCPDSFIQMNYNTGIPINANISSQEAASPLSTQITDKSSSRNVADKGPVNACYIPGGFDCFLDQWSAVSEFTFDLHFVKKSTKLSSTLFEVIGLAVCWENSPVYYCNFPKDLATSCNNDSSEVWVNFLRRWNKIGEIMQQKSVKKMTWNLKIQIQALKSAYFSCQRLARFHLDHKTLNNIEVRDNSYVLLPAISVYNGLDICLVAWVLWPDEESKTVPNLDKLVKRRLHSEATAAANRDGRWRSQMHKAAHNGCCRRAALTRALFSVLKKLLVSQNLNGLVETIEGPLVNILADMEIRGIGADMDACLNARHIIIKKLKELEKEAYKLAGKSFSLNATADIADILYTHLKLPVPKGCEKGKLHPSTDKQSLDHLRDQHPIVPIIKEHRTLAKLLNGTLGCAINRKGTSYMEIGFKHQLPLENWLLVTADYSQIELRLMAHFSKDPTLIELLSKPDGDVFTMIASRWACKEEALISPKERESTKRLIYGILYGMGANSLAEQLECSTDDAAQKIQSFKRSFPGVSSWLQEAVASCRQKGYVETLMGRRRFLAKIMDGNNKEKAKAQRQAVNSICQGSAADIIKVAMIKVHSVITNSSTAIASTNEIMRNLSEIRGHCHLILQVHDELVLEVDPSMVAQAGRLLQICMENAASLLVPLRTKIKVGKTWGSLEPFYPEPS >Et_3B_027546.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26593213:26593485:-1 gene:Et_3B_027546 transcript:Et_3B_027546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSISVCACFLCALLLLHCFLLPCDDVRVSKEVIRSRKLLQNVFHGSATAATSASKAAASVEVSENLKKQVPSRSN >Et_3A_024733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23732307:23733276:1 gene:Et_3A_024733 transcript:Et_3A_024733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKQQTAAARAGGAGPALKRGPWSPEEDELLRRFVEREGEGRWRTLPRRAGLVRCGKSCRLRWMNYLRPDIKRGPIAGDEEDLILRLHRLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSRKLVAQGIDPRTHKPLNNASPHDNPNSNAAASPAAASDNKKPPVVELPSASPPPLVAPSSSSGAGVVAGDDGGSDFAALVGLGADDDGFEGFGDQLFRAWDAPRGGIGFGFGCPMVDDDGTFSSFLDSLINEDQFVCYFGDRNNADGAS >Et_8A_057473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3365270:3366605:-1 gene:Et_8A_057473 transcript:Et_8A_057473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTSTASLCPTGLSSSSSSSRLKPRSQRKLLATTVCQCQPSRAEGVSRREAVLSILLSGAAAAPVLAPADAFAEAEATGTVESQDGFSTYEDEANKFSITIPQGWLVGAGEASGIKSVTAFYPEQAPDSNVSVVITGIGPDFTSLKSFGDVDAFAENLVNGLDRSWQRPPGLAAKLIDSKASNGLYYVEYTLQNPGESRRHIVSVIGMAFNGWYNRLYTVTGQYIDGEDSDKYRPQIEKAVKSFRLT >Et_1A_008385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7086481:7089890:-1 gene:Et_1A_008385 transcript:Et_1A_008385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPPSPPHPKRPRMSSSSDPEAEPTSPSAAGEGADPGQPRRRYKRRKVAILLGYCGAGYQGMQKNPGARTIEGDLEEALFQAGAVPEADRAAPRRYDWARAARTDKGVSAAAQVVSGRFYVDPPGFTDRLNAQLAPQIRAFGYVRVTNSFSAKKFCDRRRYVYLLPVFALDPSAHPDREAVMASMGSGSELAKCVECSERGRKVPGVMGREGKVPSPGGSGVDATMEPAEASLDESGSIGDAKCDIVSSGGGDANGDGEIVLSGNEKHDLPTSGDGTEAEKSELGLNGGGCDVIPSGSTEASVANDDHKLETSVIEEEKLQAMDFEKSNGEEMPPKKSTFSYTDELKERFNRILKYYVGTHNFHNFTTRTKAEDPAAKRFIISFDANRVVSLDGIDFVRCEVIGQSFMLHQIRKMIGLAVAVMRNCAPESIYDVAFRKDINLNVPTAPEVGLYLDECMFTSYNKKWQDSHEAVSMEPYSEVAEEFRVKYIFPHIAAMEHKEGSVALWLHSLNHRNYPDLRYMDKAGVEAKVVAEAYSIEEVPMPNDAVSELRLDAMVLASGNYDMQRSECKDAEVSMDAASNMHRPSVASMP >Et_6A_046773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20437622:20442397:1 gene:Et_6A_046773 transcript:Et_6A_046773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEASQPGLLSFMQPNAMSGTTATAWPWPVGAEPNVKFSTPTGPEPHPSAYVWLTVHGHPGGVFFAEAALHSSGLSELLQGSSVNDVEDPQCVMMLLLPVSMVAGNSTGGEPMERVMTYDPPPTMSLRVAAVRVSPNDPRFLPDWDWDMSRRMSSDAVAPGSSEWTRLSSTRRPAALEASAAAQASIISTERYAIVAVGWIQKRRFVVIVFVHIKPVNQLKRKYLVIPVIFTQRKMNGNSCAAVELKHVHSNPIATTSELMRRDMAQHARCVVVDMVTLLLRV >Et_9A_062093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19707345:19707874:1 gene:Et_9A_062093 transcript:Et_9A_062093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKKPLLPFLALCCLVLLLLPLASAVPMSRSLRLRNHQHPPSLKLTHQGMMLAAARNLGDVGRAAPARMAVEVNDYPGSGPNNRHDPPKGPGRA >Et_5A_041781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2798591:2800747:1 gene:Et_5A_041781 transcript:Et_5A_041781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSAVTVHVTGFKKFHGVAENPTEKIVSNLKSFMEKRGLPKNLVLGSCTVLETAGEGALGTLHKVLESAITTLPVNELTKSLRKIGYDVMPSDDAGRFVCNYVYYHSLRFAEEHGIKSLFVHVPLFMTIDEEVQMHFVASLLELLACTN >Et_9B_064760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18368173:18370925:-1 gene:Et_9B_064760 transcript:Et_9B_064760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVICQAKSGMGKTAVFVLSSLQQIDPVAGQVAALVLCHTRELAYQICHEFERFSKYLPEVKVAVFYGGVHIRKHKDLLKNECPHIVVGTPGRILALAREKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPSICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNAVQERFEVDIKELPEQIDTSTYSKCHFLIVTLQSLVITCNNMPFCRGSQCHHKLQGCSTSVPRFNCDQLSKGGRRACGFVYHLEMFSEILLELMRMEDLSYSFKMQSG >Et_9A_063473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3654057:3654455:1 gene:Et_9A_063473 transcript:Et_9A_063473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKRQHTETEKEKMTVEDAKALDCGVCFNPLKPPIFQCDNGHELCPSCRDKLAPAGKCHVCGITTRRGYRRCRAMERLVESVRVSCPNAAHG >Et_3B_031411.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28500010:28500837:-1 gene:Et_3B_031411 transcript:Et_3B_031411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDLESLVCGVSGAGAGDRKVSCETVIAGGSGDASPPRMPPPPPPPDPDFPPESITIPIGDEAAFAELNPIYERDDSTKGSTNPKFAAAGAANPIAAKTRSNSTRAAGAPAPAGTTFFGLPAKIRPAFSRRQPSQGRILPDKRSGGGGKGDGEAEPRSPKVSCIGKVLSDRERHVRRRRRRRGWWHGVVAMFRCDGCCRAGSGTTKKTTVEDDDEQEQQPGIAGMRRFKSGRRAASWVDEALAAAAAAGEDEAEKEHRPDDHEAEQWARRQVN >Et_3A_025465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3046360:3047404:-1 gene:Et_3A_025465 transcript:Et_3A_025465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAMMEGPQDISSSGTMVSWVASGVVLWSTAFVLVRGLFPKRSYDFCNRAVSTMHAVAAVCLACLSVADWSRPVRPLAAASTPSQMKALAVTLSYMVYDAACCHLHGDVRLDNTVHHLVSIVGIGAGLAYRRCGTEMVASLLITEISSPLLHLREMLKEFGVRDTDLNLLVDVLFAVTFSAARMCVGPYLTYVTVTADNPLLIKAMATGLQLVSAYWFLRILRMVRYKLGKKKPLPPPGKAN >Et_2A_015815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18084877:18085459:1 gene:Et_2A_015815 transcript:Et_2A_015815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYSGYMAPEYAMEGVFSVKSDVYSFGVLILEVVTGIRRNSINNIMDFPNLIVYAWNMWKEGKTRDLALTDPSIIDTCLLEEVLRCCHIALLCTQENPDDRPLMSSIVHSLENGSTPLPMPNRPAYFAHVNGEVKPLRGNIIEDSVNSCTLTNIEGR >Et_7A_053035.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:5304137:5304445:1 gene:Et_7A_053035 transcript:Et_7A_053035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLTSVFGGGNGGPGYSNTLVSDAVTVICLDDYHSLDRAGRKAKGVTALDPSATDFDLMYEQVKAIKEGRAVEKPVYNHATGLIDPPELIKPPKILVIEGL >Et_10A_002242.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5351892:5353001:1 gene:Et_10A_002242 transcript:Et_10A_002242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWRPEEDAVLRSYVRQFGPREWHLVSQRMNVALDRDAKSCLERWKNYLRPGIKKGSLTEEEQRLVIRLQAKHGNKWKRIAAEVPGRTAKRLGKWWEVFKEKQARELRDARRPPPEPSPDERGRYDWLLENFAEKLVNERNQPPLVMAAPVLPPWLSSSTTTVVAQPPPPPSPSVTLSLASAAVAPPAPHGGGGAPWMPAPEREAFGLPSPGQQQQTAAATGGGMMPAAVVEGQALAELAECLRELEEGQRAWAAHRREAAWRLKRVEQQLEAEREMRRREAWEEYEARMRAVRAEQAAAAERVEREYREKVAELRRDAQAKEEKMAEQWAAKHARVAKFLDQVASSGGCHHRPRGPSWSSADMN >Et_7B_055497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12087034:12091981:1 gene:Et_7B_055497 transcript:Et_7B_055497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACFPCMPYTKKGEEKIRLILFGPTADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIMSIQYKIPEYVHVSQDCKDMLAKIFVANPAKRITIREIRNHPWFMKNLPRELTEAAQAMYYKRDNSAPTYSVQSVDEIMKIVEQARTPPPSSTPVAGFGWAEEDEQEDGKKAEEKQEEEEDAEDEYEKQQSIKARSNLRVSSSSDTETPSGADFDFGKTITGMPLLLACSVPPQPATRFQSRIKTSTVPARTPQSQDTQVPLTWLIGDERDDVPVHRPAERGLVDRPEVGAAAGHEHRQPLPPASGPRAAATFRRNRAVERARLGSPRRLASDGGRHVAPRRTWFRAPTRGARGAQAGRAKRARGGWSDRGGGFHHRGCGRNEGGVQEQRWGLAFGGGGEWWWDWGVQDSKPGGE >Et_4A_033874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27511707:27513533:-1 gene:Et_4A_033874 transcript:Et_4A_033874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVARCCGHWPPGPAAADGMLWQTELRPHAAGEFSMAAAQANLAMEDQAQVLASPAATLVGVYDGHGGPDASRFLRSRLFPHVQRFATEQGGMSTEVIRKAFGAAEEEFHQQVRQAWPKRPRMAAVGSCCLLGAISGDTLYVANLGDSRAVLGRRVVGGGVAVAERLSSEHNAASEEVRRELAALNPDDAQIVVHARGTWRVKGIIQVSKSIGDFYLKEQEYSMSPLFRQIGPPISLKRPALSAEPSILVRKLKPNDLFLIFASDGLWEHLTDDAAVQIVFKNPRTGIANRLVKAALKEATRKREVRYRDLRTIDRGVRRHFHDDISVVVVYLDRHHGRRHTRVIDSSSNCTSAPVDIFSSNSHQSAKPFVPYKSSG >Et_3A_027204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4705771:4709050:1 gene:Et_3A_027204 transcript:Et_3A_027204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSCVPSSMKKMCAMAIVLAVLVSCSVVDCSDVVVAGAHPDGGREGWSPPAPRPGTVQHRCPDLEPECNRTGTPPTATAPPHGKIHPETSKNLRDKNVKWTEKRRHEETACVHAPLDCPFAGCGYRGLQLCDHVQGDDHAPDVAYVRDSSAVVVIKLHKATPFVVLVQPGRGLVCVLINGGDVLAGRSLSLLCLGPRREGNAELEYKMEVSSGDEPGALSLSAAGAVPCARRLDGFQAKQFLFLPDACWGASGSVSVSVKVGV >Et_6B_048384.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:17621264:17621302:1 gene:Et_6B_048384 transcript:Et_6B_048384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRISVGTCRR >Et_4A_034849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7113218:7114890:1 gene:Et_4A_034849 transcript:Et_4A_034849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLSFHALFTPKFTFHALFTELYHSYKPNIVAFLQGNEKQKSPANSNAKASKVKKEDSDSEDDLKPLAQKKSGGAAASARTSKDEKKRKKSSVKDEKIGTGKKDKEKVKKERKVYELPGQKHDPPDERDSLRIFYESLYEQIPTSDMAATWLMEWGLLPLDVAKKVFEKKQGQKQLKSPVKTTSVKRIPASPAKKITLSSAKKTASAANNAGKTTSQKKRKANSDSDDDDDDDFVSPRANTKRQKASS >Et_1B_013651.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10865426:10866715:-1 gene:Et_1B_013651 transcript:Et_1B_013651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRLAAEYQGLEVKVPNLFRCPISLDVMRSPVSLCTGVTYDRASIQRWLDSGNTTCPATMLPLPSTDLVPNLTLRRLIALWASTASPTSSSPQPSAVGPTPAAAAAELLRRVGNPELDPCPALRKLAAFLSDDDVDEFDKNALARAGGAAETVASVLRRGEKESGLEAAEAAVRVLAAIASSECIEEENKKRLAAALAADAASTAAALARVMRSGSGLEARVDAARLAESLLLRNSNAAEAEELVAELVRLIGPVDDEKGGNLDARAVSAGLSCLAAIAATRRARSEMVRLGAVLAAVRVLAADAGSPGQALRVLEAAVGCAEGRAAICEAAETAIPAVVSKMMKGGMAGAEAAVSVLWAVCHRYRDRRAVDAAAACEGGLTRLLLLMQSGCSPAARQMASELLKIFKVNAKSCLAGYESKTTHIMPF >Et_1B_014153.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:32969440:32969742:1 gene:Et_1B_014153 transcript:Et_1B_014153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLNQIEGGDDYAMEEYCGHVDLDQAMDLSEVDESLLMELMEDLPPSEMLDNDTGRLSHVIRSLEAEIGGGTAAVMADDDSMAGPSSEEDGRLLEEML >Et_1A_006276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:242601:244232:1 gene:Et_1A_006276 transcript:Et_1A_006276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPAKTLLPSRFPPPPLPARRRHPSLQFLAVATAGGESSSTASGTTARGRRLVKVREERRRREYDREHTYPGWAKVLENACRDDEELRAILGDSIGNPELMKQRVIQAWYVMGRLGAYNSSNLQLANSMMEYDPSYDSEEASAVMPSSFHDISDVEFQDNWARVWVDLGTSDYLGLDVLLNCLTQLSSEHLGIKQVIFGGKKMGDWEEGMASSDYGYKHFKI >Et_7B_055651.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:19945272:19946546:1 gene:Et_7B_055651 transcript:Et_7B_055651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLTKSLPFLVGPSEATPGGAVLLTAMDTALASLPMTTLFIFDRPMDQAAETIRRALSRALVPYYPVAGRLATSAHGLTIECSGEGVAFVAARASCTLEDARLTDPRPAVAVAELTPTYAGQYTKDRPLVLMQVTEFSCGGFAVGVTWDHVVADGVGMAQFLQAVGEFARGSSSPSLAPVRLDYCLPDLPPPIISMTKALVSSPHNEFPSSYITVPMSFINRVKSEFRRRSQGEHKVPCSAFEVFVAAIWKCRARATMSGDQADDDNAPTALAFTANARKQADAKDGYYGNLFAFGLAVATRAEVANGDILDIVRLVREAKARVPYTFTDGAAYIAGEMGGRLQSLSGYDTLYITSWWNLGFDDVDFGTGGPTRVLGDMEREVVPACILCGRKDKADGVAAMALCVREEHQEAFHAELRNLQ >Et_3B_030631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5735340:5740291:1 gene:Et_3B_030631 transcript:Et_3B_030631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQRRAAAMAGDAGERTLGAVIREKDEELALFLEMRRREKERGAAAAEQLSLSGDGGAEGDGLLLVDPTPHAEPKAAAYKVTGVFRRAPGGADGFLNADGGDKNDYDWLLTPPGTPLFPSLDAESKRSPVSQAGTPKARPTALKSRLANHPDPPSRTNIPLRTASSNSLNSAATIRRPSSSGGLTSNASRPSTPTGRSALTANSKGSRPSTPTSRATVSAKTGASAPRSSTPTSRSTLPSARSTTPLTRAAGPASRTSAPSGRASAPASRSSTPTSRSSIPATRSATPSSRPSIPATRSSTPSSRPSIPAQSKPTSRASTPTRRPSAPSAQQGNLAAPVRSSSISKSGSTISKGSSPAKSAAPTPSRGSSPTVKSRPWKPSEMPGFSLDAPPNLRTSLPERPTSATRGRPGAPSSRSSSVESGPTARPRRQSCSPSRGRTLNGSVPSGSSMPAVRRSHHNGGDSVNPVQMGNKMVERVVNMRRLVPPKYDDQRSSLNSLSGKSSNSPDSSGFGRSLSKKSLDMALRHMDIRRSIPNNLRPLMTSIPASSVHSARSGSTRSRPISVSDSPLATSSNASSEPSVNNNLMCLDSIDIDDELCSGRAGPYGRSMHGALIIRLHPNSYGQKWAKMTEQSTQPLGYISDIQLEEEKPVI >Et_1A_005729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13115946:13119794:-1 gene:Et_1A_005729 transcript:Et_1A_005729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASRKRPCAGGSSGSASGDRLSDLPDALLHAILAFLKARQVVQTSVLSKRWIYLWRSVPCLNIDQRESSEFDDREKIEDFVDNLLAHRNEMRPTEARALPEGASEPCDRLSALPESVLHVILSLLPARQAVQTSVLARSWRSLWCCVPCVAIDAREFGVGASRRDQPNWGRFERFATNLLARRCGSLSLDSFRLFAHGHDAPVDDWIRRAVECSPSVLEIATPFRASSVPGPPPSIVFPHLGSSPLCYRRLKRLRLEKVELDVAFTDLLRSCPALVDLELVRCDNCFWQLESDTLERIAITSCYDCFDPGRPTVITAPSLASLELGIHQDSFVHGVPLGDFACLVRASIYIGCGACFPERPRRRLLGSLFNVTSLQLTGFETLVYTLSPLTCYIVLAVFLIGCNVQNNLEDIGRFLQNAPRLEKLTLQKCT >Et_7A_052670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11857758:11859626:-1 gene:Et_7A_052670 transcript:Et_7A_052670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLVGNKCDLSHRRAVSYEEGEQFAKEHGLIFMEASAKTAQNVEEAFVKTAGAIYKKIQDGVFDVSNESYGIKVGYAVPGQSGGAGASSSQGGGCCS >Et_3B_029941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29284416:29284669:1 gene:Et_3B_029941 transcript:Et_3B_029941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKQYTPQDTFIKFTYKRFTTLMKQLHQSDFGGKYTLNLINVLLVKYAFVYAGGRGGQSENPQIKYCLWRC >Et_5A_040473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24744910:24745932:-1 gene:Et_5A_040473 transcript:Et_5A_040473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAATTTPASSSPTWRRRVDELEAAVARLRAEKEATERAAAALRAELEAERGAAATATSEAMAMIGRLQREKAAAMIEARAFRRVAEGRACRDRELQDQLAAAAALAASYHALLRAHGIDPDDEDDDGEYGKEDVDSRGGDTDAKGVVVVEKPSLSPSPRPPPSEEDDFEYKYPVDVRCAAVAARALCARVEALEADSAAMRREVAALRADRAQVAVLAREVARRLCREAAAARDHVVITTTAEGQRFSVPAIFKVRPLLLEYDDRSEIMLVLVDSCLSGRHTTVQDAKKKKSRVSDGKLDFPAVVLLHNLMGNESFCM >Et_8B_060813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:868899:872474:-1 gene:Et_8B_060813 transcript:Et_8B_060813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANRRRSRSPSATAFSSRSSVDIATAPYFPPELIPEIASRLTSLQDFFALRAACRAYRALLPLTSANLASQAPLLLVDAHAATESEAATYSSYDAYTLGCRLAICDAGRRELRIVHILTGEEARLPNPPSHFISVLVFGDLVVAWKLRGIQYFRLSSPDWREASIREPNEFESLIFVKGTLYALVNPGYRLAVVELSDQSSHLQLMFIGNVLDAQEQKALRCLAECGGELLLIKVLPDGFRAFQWQSGEGKWARITILGGRTLFVSHFWFVGCLGPDHPGVRGDRVYLSGDAAGVWYECRLNDRYADRRVVEYPGEEPSNLRAPVWVFPSMCMIIVELSFVFKLVPGNVLAQQYSKEM >Et_10B_002970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14819827:14820589:-1 gene:Et_10B_002970 transcript:Et_10B_002970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGQEEVPNRRVILKRYVTGFPSEDDMEVVAGTARLAVPPGSAAVVVKNLYLSCDPYMRTCMTKARGAQLHTRLRPGEGNHQTRPSFLRQTQEAATAFSSSGTRLSWPMVRTLQVLMSNGVSRVVTSGHPDFKAGDLLWSPTGWEEYTLITNTYIHFKINHPEMPLSYYTGVLGEFKQISRFIYRDVISFLEETTVGELPT >Et_2A_015970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19807216:19808951:1 gene:Et_2A_015970 transcript:Et_2A_015970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVAGLLFPGTGARAICTASRALRLVAAPARVRLPPAVRRTARVHLRCATSDNADVDAMTEEEKEFIASLPAWAPSLEEIMEYGSTDFSPAAVHERFVRDSKAAAAAMVAAADGLLLRPLRELVDDVRKLKSVYDTEEFHIGLPVGAFMACVGLYHLWKAAPSACLDFALSYAFYRLSVMAAEVRRRGFATDLIIRLKLAKRLPSWIMCVQGNFSLISVSMHFSIRSFKLHGFTSSFWMGNKRLEEIWEICVANPHRLLYDPKRKTGGHYLI >Et_3B_027435.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16831832:16832488:1 gene:Et_3B_027435 transcript:Et_3B_027435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVAPPPLEASVDARLSTLRAQAPQWKSQPYTMFRVPAYVRESNRTAYEPRMVSIGPYHHGSPSLRAMEDHKWRYLHDFLLRNATLGSSILIHEMRSLEARARACYSERPDDLDSDDLVLMLLLDGCFILEFFFKWHEKEPDALCDVGWGLTLVTADLLLLENQIPFFVVERLYDLVAGAQLGGRDNLVSLLLEYISDEEPIARPAATDEINHLLHL >Et_7B_055609.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:16844094:16845593:-1 gene:Et_7B_055609 transcript:Et_7B_055609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPPEERSPPHAVCMPYPAQGHVTPMLKLAKLLHARGFHVTFVNTEYNHRRLLQSQGAAALESVPGFRFAAIPDGLPPSDADATQDIPALCYSTMTTCLPHLLRLLGKLNDEAAAAGGSGSAAPQVTCLLFDAVMSFGYDAAREIGVPAVALWTASCCGYMGYRNYRQLVDWGLVPFKDAADLADDGNEGQLATVVRGARGMCDGVQLRDFPSFIRTTDRDDIMLNFLMRESERASLHDAVVVNSFDDLEGPTLDAMRAVLPPLFAVGPLLLLERRLVSPSSPLGSSLWKEQAGLLEWLDARAPRSVVYVNYGSITVMTNEQLLEFAWGLAASGYPFVWNIRPDLLKGDAAVLPPEFAAAVDGRALLTTWCPQEAALRHPAVGVFLTHSGWNSTLESLCAGVPMLSWPFFAEQQTNCRYKRTEWGVGMEIGGKVRRDEVAAIIREAMEGDKGREMRRRAEEWKDKAAQAALPGGPAEANLDKLIDEVLLAKTTKGQA >Et_7A_051303.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16798158:16798764:-1 gene:Et_7A_051303 transcript:Et_7A_051303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDITKPSLVQKKQRLIELLQEKQHYDDEDDCATDVSSYLEDSAVHAEEHLKASRKGGKVKKSSKSGCFPRSIWKHSFKMCMDTRKEQVHK >Et_2B_021249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27949315:27955935:1 gene:Et_2B_021249 transcript:Et_2B_021249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSAAAVASRPPSFASQTNALLRKNLIFQKRNRKGTIRLIIVPIYLCVILSVIQRVINNELDKPKYRCGCKCVDVNGTGSCQDVCGIQYSTLDQAASCPILNPPKWPVFVQVPLPEYRAVQDSSSLFTGLPDESCRKSQTCPASIPFTGANRTLSTSVMQNLFASSPLSNLSDYTSISSLLLGTDVPGTSTSFIEPAFASDRPLYVLQPQCISTDSVTVPITIGAVDAQKEIKCLQGLPLWRNSSTTINKETFKGYRRGKTAEGINEIAMAYDFQDSNEKHFNVLALYNSTYQNVSYVPMPFGLLRISGSLNAISNAYIQFLQGSSVKMLLDFTKEMPKQATRMTFDFSAVAGPLFFEWVVALLFPVMLTYLVYEKQHKLRTMMKMHGLGDGPYWIIYYMYFLVLSTVYLILFVIFGSIIGLNVFKINDYSIQFVFLFSFINLQIVLSFLAATFFSKVNTAQAIAYLYIFGSGLMAGSLIRNFIEGGKFPRHWITVLEIIPAFSLYRGLYELGQYAVRASETGSPGMRWSDLNDHTNGMRDVLIIIILEWLVLLPFAYYLDHAASVGHKSSPLSVLKRLLKKDPTRRRITVNGIADNDVQIEMEKPDISKERETVIQVLQQQSSGYAVVCDDLKKLYNGKDGNPDKFAVKGVSLALSNGECLGILGPNGAGKSSFISMMIGFVKPTSGNAFVRGFSIQNDMEKIYNSMGVCPQNDMLWETLTGREHLQFYGRLKSLSGSSLDIAVEESLRSVNLLHGGAADKQIKKYSGGMKRRLSVAISLIGDAKVVYMDEPSTGLDPASRKSLWNAVKQAKQDRAIILTTHSMEEAEALCDRLCIMVDGSLQCIGRPKELIARYGGYYVLTMTTTPEFEQDVDNLVHKLSPNARKVYHLSGTQKYELPKQDTRIADVFMAVENFKKRVEVQAWGLADTTMEDVFVKVAKGAQSSEELS >Et_2B_019753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13510318:13527994:-1 gene:Et_2B_019753 transcript:Et_2B_019753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTCLLAGVRAASPSLPSSASLTRRQHNRPLAASVVPLPPSKRWRRGLRFCCASSSSSSPPPPVPPEDSSDYEYFEDNYKPKNDLRKALTIFATALAGAAAINHSWVAANQDIAMVLVFAIGIIFEESLAFNKSGVGLLMAVCLWVIRSIGAPSTDVAVQELSQTTVEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNISTRNSKTLLWVIGIVTFFLSSVLDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQITTLKIIQGLFIPSVVSLAVPLALMSFTSEANGSSQKSSSLVSSEQMAPRGQLVLAVGVGALVFVPVFKALTGLPPFMGMMLGLGILWILTDAIHYGDSERQTLKVPQALSRIDTQGILFFLGILLSVGSLESAGILRQLANYLDANIPNADLIASAIGVASAVVDNVPLVAATMGMYDLTSYPQDSDFWQLIAFCAGTGGSMLIIGSAAGVAFMGMEKVSGYALAGYAAGIFSYLAAQNLHLSLPTSLAEIPFISGS >Et_6A_046461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15553337:15555252:1 gene:Et_6A_046461 transcript:Et_6A_046461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGKMEHIHMEHKGLLGGEFKDGICAIPKPPPSSNLRPNSMVVKKVCPREFIPPHIVAEAISTLHGLDLRWSGPITPTERQYVEQYVQAMYPQYSHGLIEDGSCDKDDLYSTYYSGGGSTATSPESGGAGERRRSSPVGSPSSGRPEMVDMVRLEPSRLLDILTKKSSFPGSFISIPEIQARNRVLRHCGLTDDEYLVLFAPTPRDAMMLVGESYPFFRSSYYMSILEEDVDCIRAFAAYKEAKVIAAPESWLDLRIKGSQLSQYFRRKSKHSPKGLFAYPAVSPSSSSSSADSSTAAARYSLHWVSEAHRNAWHVLLDATALAVGEDRLPLSLHRPDFVLCTLGDATRASQQQQQPVAAARVTCLLVRKRSFDTSLPQKSQQ >Et_3A_024397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20429950:20435769:1 gene:Et_3A_024397 transcript:Et_3A_024397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPEMDALRQIAAMHSSPSPPSSPVLPSAQEKSLTTAPPPEPAHLDHTSPTPPPPPEHCTAESSDLGESSLPTDGGDPAPSLPVSDTSPSASPALEAASPEKPASPPCCPPDAPVELFPDVSASAASPPPCPQIGEDPPEDAALRLSPPPTPPATTSPRAALASSTIDTAAMPSQEAAPPPTVSELDHNSAPAPLTPPLESGPEGLSLQVCENSEPERLSPPQVGNAEVLSDAAPDDAVAVASAEAAGSPPTMEAMDGEKDIVPDVPPDLESGAKGVLPQQQLQPPHPDMAPPRCQNSAPAESTYCSLDAAVNEVTAMGSEEAAGSPPALDATGGEVALESQSEGPLQAPMQTSSSPKMEAEPSSSDMAPPGFESFKSKWLPLPVPTLTVESAHYSVEASAAASVMPEGAAGSLPAMEGLAMEMEISPGQLPSSKSAEEGLLHQPLKVSSSLPIEAAPCSPDMPPPGFENFKLLWVPQPTIPCSAETTYLPDVPTTKEVLVEKACSMSAQKTTKKETDKAWNLLSTFERGAGGLQPTIPHLAETTYSSDVPTTKEELVEKACSMPAQETTDMETDTARNLLPTLESGAGSRLQEPLPTSPSPKMQTAPCSPHMPPGFENLTLPLPAMEALAMEMEISPGQLPSFKSAEEGLLHRPLKVSSSLPSEAAPCSPDTPPPGFENFKLSWVPQPTIPCSAETTYSPDVPTNKEELVEKACSMPAQETTDMEKNTARNLLPTFEHGAGDPQPTIPHSTETTYTLDVPITKEELVEKACSMPTQDKTDMEIDTARNLLPALKSGAGGLLQVPLPTSSSHKMQTAPCSPHMPPGFENLTLPQLQIPSPLAQTSHISQDSAATGDVTTCSLEMVPSGSDNLKSLADGPDALADEVITTATVESMSHPLSVAEETGEAKGHILPPVLENGREGPLPCLEIKVSSPVAQVAPSSPEVTPTGFESFESLQPTSPRLAERIYSPSHVPLTKSLTVTPEKTAQPLSPSQATDTDMENATVLQSLLKSEEKSLPQPQHQPSPSGKDAPCSPGIAPPGYGNLDSSEELPPPPPLYPNFEMGQMVCGCCRDLIAYPRGATHVQCFGCWTINLVLEAHQVGKVHCGQCETLLMYPFGAPAIRCSTCLFVTEIGLSLPAILVLLTFFYAKF >Et_2A_015133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31575076:31575382:-1 gene:Et_2A_015133 transcript:Et_2A_015133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGSRRWAYVRVMAGTIFGGALGFYVMHRIETSYKARMEERLRRYEAHMLAKAKEAQQLNDEAQPDDKAQLLPDS >Et_2B_020707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22956604:22958343:1 gene:Et_2B_020707 transcript:Et_2B_020707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYQTSTGGLLSQHRFAHRLPFLACSMMFNKQSSQRTNRKVCSSLQEVSPLQCTQIPMQPSVSFKDFCVSVSSEDDSVIKFSQRKLPQHSHFQAFDERKEIPKEIALHLIGPSKVKRETIQKIINCTVAEYVQKEGLNALKNLKVQQSYEELEAAFEPGKEFRFDAVIHLE >Et_3B_030959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9327338:9333644:-1 gene:Et_3B_030959 transcript:Et_3B_030959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLPSLSPPFSRAATAAAFLLRRHASSKRLPSHPPPTLCRFLASSPSPATVPPGLRPIATMPSAEVSTRAFPARPDVLMLGIETSCDDTAAAVVRGDGEILSQVVSSQADLLARYGGVAPKMAEEAHVLAIDQVVQKALDDANLSESDLSAVSVTIGPGLGLCLRVGVHKARKIAKEFGLPIVGVHHMEAHALVSRLVNKDLDFPFLALLISGGHNLLVLAHGLGQYVQLGTTIDDAIGEAYDKSARWLGLDIRKGGGPALEELALEGDSEAIKFRVPMRQHKDCNFSYAGLKTQVRLAIESKNLCTEDIPISSASEEDRQSRADIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNKYVRTRLTHVAENNGLQLVTPPPSLCTDNGVMIAWTGIEHFVAGRFDDPPAADEPDDMQYELRPRWPLGEEYSEGRSVARSMKTARIHPSLTSMIQGSLQK >Et_4A_033498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23951175:23955086:1 gene:Et_4A_033498 transcript:Et_4A_033498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCMLSLLLKKTAMDAATTNADDAAAMQAIANSTGAAKSLGWGAHSPDPCGVTWFGVRCDVDTDRVTAINASRGGFSGVLNAVDLGWLASLSVLDLSFNALGLGGVKLPLLRRPLSGLRTLDLRSNGLIPRRRRPGGNAPRSRRRRRCRRRTWRGW >Et_7A_052810.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1785946:1786182:-1 gene:Et_7A_052810 transcript:Et_7A_052810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSFSWPFRRRGSGASASKSAAATPGDEELGVTPQLLDFLRTLSPDAFKSAALQLQGRQPTPHHPHPSRFYFPTRS >Et_5B_045154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10118969:10123534:-1 gene:Et_5B_045154 transcript:Et_5B_045154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRESIECGKSDLGFADKKKAFDCLPILIAKICMGSYTNCSEYAHDDPVEELKEMGSPLKLMPLHANGWLNEMKMREVVRNAASHLPTAIISGRSCDKVFDFVKLTELYYAGSHGMDIMGPVGKSDCSTNHSNCTNSSHKQGKDVKIFQPASEFLPMINEDWPLVAQYTNDVLKAYPHLRLSHGRKVLEVRPVIDWNKGKAVEFLLDSLGLADCKRVLPIYIGDDRTDEDAFKVLREDKRGFGIVVSSVPKESYASYSLVDPSEGSAMTCGLKSGFKYSESKEAYIS >Et_2B_018983.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:21224410:21224793:-1 gene:Et_2B_018983 transcript:Et_2B_018983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDTGIVGGSRATSFFQYQRLECRDDVAAPRPRWRWLPALGGKAASSCFVHVKKLKWSRITSALLPKKVAEFSSKIRHTGATTDANICPAIIFMSPWGLPVISRPLLARNKGRYPYPHGSAGISF >Et_9A_061512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12843464:12846469:-1 gene:Et_9A_061512 transcript:Et_9A_061512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEVREEKELDLSSNEVVTKYKAAAEILNNALKLVVSECKPKAKIVDLFCHFSPLATDDSVLEENDMVKIDMGCHIDGFIAVVAHSHVITNGPVTGRAADVLAAANTAAEVAMRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVSNADTKVDDAEFEENEVYAIDIVTSTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEISQKFPIMPFTARALEEKRARLGLVECMNHELLQPYPVLHEKPGDLVAHIKFTVLLMPNGSDRITTHPLQELQPTKSIEDNAEIKAWLALGTKSKKKGGGKKKKGKKGDAAEADTPMEEATNGAPSQE >Et_1A_005316.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:40039295:40039432:1 gene:Et_1A_005316 transcript:Et_1A_005316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIVDPQPPGKPRTLSRRKFDLPEGGAIPKEMKNGVNNVIKIVE >Et_2A_017742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5696451:5702914:-1 gene:Et_2A_017742 transcript:Et_2A_017742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRMREGRAQEPPPWRAAAVEGTPDVDESRGQRLLDALVREREPQSLPRLHAVHGGLVMELVAVVFFRRGAVDEQGEVDAVHHGVTAEHAVARTDEGSSEGTILPSSALGSFKKYRALHQICMGSDASTTLHQQMSMRLHHLACRVHEPMDCARLLLEVEGGHRVTAVPDRGRVQLSKEPLCVLVQTQSASHTKLLLSEHSHTNACLLDGWPPRGFEIAPVGRVQVKWSLRRSTEDLWSYRQASRDPKAIFLLEDKKIRDIWVMAGRDAMEVEDMNLTSKFMMVNVDDDEADPDQNTAVYLFLTTTSRTQKPRVMGILLMNGVSIFHGNIHGLKTVHNATAKRHYKSLQDCVAVSCVKLPARFEVCAELQNLRTSLQKNQVVNKESGNWLLDDGLDDFRESFGGPNASGRHWCLASNKWSAKVVKINSQYALKNDSKFLCQVMLRLDTEENMLAPADIVVEGIRTKRSMDSTVVDEALSIDDASIRDYNGRMMALVCKIKLLILLVKPAKLFPILFNGWSLEYHRDAGGGRDINEAEPRKTGAKEYRSQNFELCMWRLSVSSGKQEPRIVWHLLKSGVEISQGNIHNLTPLYSTAAKRSYKALQDCIAVHNCFGSFVKLHLRFEAGLEVQNRSSAWPSTSAGYWYSVSSNFSAQVATIKSQCMKQDSMPMPQQGAASYENFIKQNHWQKVDKMRKKKPLSASHLPWNLFHCCSEYLSISPPEGNFSRATCVMNLVHGVHGYHMLRDTLHSTLGTCRMCVVPTSNGPATHIVHLPLLLHPKGDPVGSESDIQYPMSPLTTSITGDLPRDTDAQKVDACNQNVNSQQGDECSMSSDIQHNVDTVDNDRLLTPIFVKHISGELVLNVNVNQSS >Et_8A_058175.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:22908973:22909269:-1 gene:Et_8A_058175 transcript:Et_8A_058175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLGQLMTRLHLASRSWSTAAAATRSDVPRGHMAVYVGEGRKRFVIPTACLSHPTFVTLLKRVEDEFGFDHRCGGLTIPCASESDFADIVVGMDVHH >Et_8B_059759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2478152:2480960:1 gene:Et_8B_059759 transcript:Et_8B_059759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLYAPHLVEQLVETVTLLELRKLRLEPLALNHLVRRFTSQAGSDSGNIQKPFIAFVLGGPGSGKGTQCTNIASNFGFTHLSAGDLLRHEISSGSEKGESILEIIKEGRIVPSEITIELIRKAMEMSNAKRVLIDGFPRCEENRIAFERITIKKRLKVFESLNIPVVDYYSSKAKVHKINATGTADEIFEAVRNLLSSL >Et_9A_061973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18462771:18463375:-1 gene:Et_9A_061973 transcript:Et_9A_061973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLMVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Et_3A_023513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31877410:31878550:1 gene:Et_3A_023513 transcript:Et_3A_023513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSAWRRASCRAWRTVWRWPTRRRWARRAWRSASRLRAPGGVRGGARDAARVPGRGPQPGEPARRRPGRGARRGRGHQQRPGAALRREGEPRGAQLGAPDRPQAVPEGVRAGGAGRGPQRALLPGPVHGGAALLRGAVRRAGRGAPALRRAPRARRAVPLRRRDTERGRVRGRGARGAARARGPVAPPHEPRGVPVHAHQDGGQGAGVAGRQRRRRRLHGGRGEGMPRPRMEGQARHRRVVLEMLDHSSPRRTPERYTTQHRRACLPRMRIIQYYVHAGYYSIA >Et_1A_006546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24247117:24249376:1 gene:Et_1A_006546 transcript:Et_1A_006546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLFIADYTFQLVQDNDASSLQDIQHIIFSKLDFKEVVRTSALSSKWRYVWLVSSKLCFDGITMCGKDICGKQQYLRKFISNVYAVLLQCRGRVVEDFAIKFDFDTSLVGHLNNWVTFAVTSQAKFLAFDLAPEEFRGCNDRYMFPFKLLDNGSVSRLQKIQLSFVSLQPPTEFSGFPNLRKLDLNLAHVNGKDLPKMLSNKLRIVRCHLHDELKVNSPLPCLIYLNVSYCEISKIELHALNLRTFVYNGSPVPIDFNSPSELENVGIYFSQITLEHAITELANAVTNVKYLIFNASCKPTKMPCLLDNPYKFSRLKHLKLTLIFVDDVDNHSMVSFLKSALLMENCELHLGVFPLLSLGSGPIRRHSARPYMHLKSVSITAFIGSTGQLEFLVHIVENSPALEVLTIDWSNKLVKKYPWGDDKKKPEYVASIHR >Et_4A_032295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28720549:28721598:1 gene:Et_4A_032295 transcript:Et_4A_032295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGAAGDGAPVRSQGGGGAKRSRGGGVRGSEEAAWGLGAAASRICRMAGSKGKDRHSKVLTAKGIRDRRVRLSVQTAIQFYDLQDRLGFDQPSKAVEWLINAAAGAIDKLPALDPAAFAAFPSDAAKVKQQKSGGSSTSETSKGSELSLSQRSDGRGDREVTVASTSAQAASFTELLTGVAEHRQAWQQQQPNASAAAADCVGVAHSEKGANNGPYIKFGNAPPFGMVPAQPFDFPTSVEMPRFSLAPDAASAPAGDYNLNFSMSSGFLGAANRGTLQSNSQSSFSGHHHQHQQQFLFGHVAAPASENQLTASAALQLWDGFRHSGVKEKSEN >Et_2B_019402.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27446375:27446710:1 gene:Et_2B_019402 transcript:Et_2B_019402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIEEAAREGGPQHEREVGGGGKASAGGRKGKKLVGRYEVRRTIGHGTFAKVKLAVDADTGTTVAMKVLDKDAIVRHRMLHQVRPRTRDEIARRACPYANLFLFLSFSRG >Et_1B_010212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30215378:30215788:-1 gene:Et_1B_010212 transcript:Et_1B_010212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALALFLLFAAAAAVLLLHLVVAARAFRHHRHSRYRVPSLDPSPPAKPLRAGLSPAELRRLPSFAFASPRGDAHLCAVCIETARAGERWRALPACGHAFHAACVDRWLAKSPACPVCRAVVTVS >Et_4A_033706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2649668:2651930:-1 gene:Et_4A_033706 transcript:Et_4A_033706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAALSTASSSLPRSLVSRRPSPLHAGVAHSRLCRFGSRFHRLRARARKDDPEDIYGPYPWEQPMDITTGSDIQWVPEDRVTLFTSDGLIQIGGSLVPRRVRASEKRQRKVKGGQKLRRFQESSYMDPNQSLCLGALFNIAATNGLDMGRRLCIFGFCRSVEMLSDVVEDTVLEHGGEIVTAEKASNDGLQEKLTMSVAVPLLWGVPPASETLHVAVRSGGGIVEKIYWQWDLF >Et_3A_023758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1231692:1233290:1 gene:Et_3A_023758 transcript:Et_3A_023758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAAGAAAALLSFGGGHHLHQFTAQASRSRGAGAGGGEIVAASQASRVRSGGGGEIVAVQGGHIVRSTGRKDRHSKVCTARGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKNAKDAIDKLEVLPAWQPTNASTAAQPPSSSTQHPDSAENSPDDQAQAITVAAFDYPGAAGGGGGANTNTGGFLPSSLDSDSIADTIKSFFPMGGTGGGEASSSTAAAQSSAMGFQSYTPDLLSRTGSHSQELRLSLQSLPDRMFQHQQQEHDRSSHAHDGNGGSAQQALFPNYSFGGGAMWAEQAQAQRMLPWNVPDPGGGYLFNVSQQAAHMQAAALGGQSHFLFQRGPLQSSNQPSERGWPETVEADNNPMQHQHQAGLSSIGFAPGIGFSGFRIPTRIQGEDEHNGGGNGDKPPPPSVSSASHH >Et_4B_036198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10015112:10015600:1 gene:Et_4B_036198 transcript:Et_4B_036198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKLSVQHHNGGVSKPPVHHKRGGRQQGKGGGGKGIKVVYISSPMMLTASAEEFRAVVQEFTGRDSNIADHDLGGAAHSGAPSSSSNNSWSSSSSYSSFGRASPSTTTAAAGAGRALPQTMAAPPPFQGVYDQTGSLLYGQDYW >Et_9A_061822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16902483:16903040:1 gene:Et_9A_061822 transcript:Et_9A_061822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLFICFALSTFSYAFMGVIGYLMYGDALESQGKVSSKIAIYTTLVNPLTKYALVVAPIAEAVEDALGLGRAAAAGEARLLRVLVRTALVVATITVALAVPFFGDVVSLTGALLNCSVSMLLPCLCYLRLRAKVMVDRSSEKMYRLETAACVAIVAVGTGIAGLGTYSSVKQIIQKL >Et_3B_028921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20450081:20454407:1 gene:Et_3B_028921 transcript:Et_3B_028921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFQRSPKPPPAPPPESPSRTPARAPSTCSAPSKASALARSFGSYFPRSSAQVRPARAPPEVAELLRAIEQLQERESRLRVELLEQKILKETVAIVPFLEAELAAKSRELERCRETADRLDSENARLCVELDAAVLEATSRKQRILEMEKEMAELRKRQEAADADDCSSSASATNGHVEISTSAAPPNHASLARLEAERPYIPPPPPMPPPPPFKSRSYFSASSHASPEVSSSASPSSSTSNSPTRSSSSSDTATAPRTRVLDLSKLPPIPPPPPPCPPPPPPPVHHSKRPSPSNTAAAPPPPPPPPPPARRPFGASPAPGASGQCVRRVPEVVEFYHSLMRRESKRDGGCGAEAANGGGVAAARHMIDEIENRSAHLLAIKSDVERQGDFIRFLIKEVEGAAFTDIEDVVTFVKWLDDELSRLVDERAVLKHFEWPEQKADALREAAFGYCDLKKLEREAVSFHDDDARQPCAVALKKMQALFEKYARVLRPAARPRLEHGVYNLARVRDAAASRYTRFQIPSEWMQQDTGVVSQIKLQSVKLAMKYLKRVSSELEAIEGGPEEEELMLQGVRFAFRVHQFAGGFDVDTMRAFQELKEKASMCRIQRQKQNRHMRQQRLVTRT >Et_1A_007888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39194456:39196109:-1 gene:Et_1A_007888 transcript:Et_1A_007888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRSRQEPRRVSNAVIIGAMLLSLCVLSIVKARYCATPFGTCCFASLPLRSVWTRVGGELGLVAHGSVAVCVGVAAAGKAEDQLQEQMNSSIRMETEEESPARTPGEEEEDEEEEASSSAPATKVTASTAAVGKKGKPTCYMTSKRSERCDVSGDIRVDGNRSAIYVSGIDREWKTKPYARYHDPVAMEHVRQYTLKPLLVDAPACTKNHSVPGFLFSNGGFSGNLYHDYTDVLVPLFISTHQFKRRVQFLLSGMKPWWVGKFTPFFRQLTRFDVIDVDNDREVHCFPRVVVGATFHKDMGVIPAKSPGHVSVVDFKRALRAAFGLEREAASRRGGAPGTNGKPRLLIISRRNSRRFLNEREMAAAATAAGFDVRVAEPDQHTDMATFAKLVNSADIMIGVHGAGLTNMVFLPRGAVLIQVVPFGGLEWLTGVTFKDPAKDMEVNYMDYNVKLEESSLIDQYPRNHQVLTDPYAVHKQGWDALKAAYLDKQNIRMDLDRFRAALQEALDRLP >Et_9A_061795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16545354:16547090:-1 gene:Et_9A_061795 transcript:Et_9A_061795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEGESLMQRCKPYVAMISLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATLSIAPFALVLERKIRPRMTPWVFLQIFVLALLGPVIDQNFYYAGLRFTSPTFSCAMSNMLPAMTFVLAVLFRMEKVNLKKARCVAKVVGTLVTVAGAMLMTLYKGRVVEMVWTRHMHLHGPHPDAAAAAAADKDWFTGSIFLIIATLAWASLFILQAATLKRYDAPLSLTTLICFVGTLQAIVVTFVMEHSVSVWRIGFDMNLLAAAYAGIVTSSIAYYVQGLVIKIRGPVFASAFSPLMMIIVAIMGSFILAENIYLGGIIGSVLIVAGLYSVLWGKHKESLEKKEDEAMEIPVAIKAVDGNGRVMEIVELDEVQLEKAQANAKAVVAHEHAVPVEEARMQGKDEA >Et_1A_009109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29545164:29548796:1 gene:Et_1A_009109 transcript:Et_1A_009109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSDGSSLPPELLEDVSGRLSADADRLHIHQVCTHWRASTSKLANCRPWIIAGREPWQEIGPIGEYSFWLRRGGRGTCHGAPAGLPYCCGASHGWLALADCARSPTRLILWEPISKAEILLPPLPAVAQIFLSGDPLASPSGWVAVASQKAPGSCTAQKLFVLRPGTPPAGRVYFVHKSWQLHIFDLEASPPRLIRDTFLFLSAAKVFRAPERSRRHVLRTLHVVPCGGECLLVVTYGGRRAPPAEVYRVDWEAKPLVEVGERVMDLGEHSLFVGRGDAFVLSAREFPAVRRNCLYYVDHDEFMPEPWATIFDLGSKTVERIPYPEELREDGSEDQCLMSIELVFGIGKFKFCNKALVLGAEVLGVVLWEWDVESMEVVARCCYNVHASHLKIVVMYGSYR >Et_4A_034326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31779147:31780778:-1 gene:Et_4A_034326 transcript:Et_4A_034326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVLNLVMDLVVPPASMVMLAFAWPTLSFLRGVEWVLKTLTKEAMHGKVVVITGASSAIGEQIAYEYARRSANLVLVARREHRLFGIRDNARLLGAGQVLVIAADVVKEEDCRRLVSDTVSYFGELNHLVNTVSLGHDFNFEEAADTTAFPHLMDINFWGNVYPTYAALPYLRRSQGRVVVNASVESWLPMPRMSLYSAAKAAVLDFYETLRYEVKDDVGVTVATHGWIGGGASGGKFTLEEGAAEMQWKEEREATLTGGQVEAYARALVAGACRGDAYVKHPSWYDVFLVFRVFAPDVLAWTFRLLLSTPAPTTSPATTPRRPTPPAALPAPPVRPLLEYPVSAAAARRPAAVQLQKLE >Et_10B_003132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16980940:16984786:-1 gene:Et_10B_003132 transcript:Et_10B_003132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFGRFILRRHQAAATAEEVTAGIDGSHLTAIVTGATSGIGKETARVLALRGAKVIIAARTMESGLKAKQSLTEEQHIPSSRLHVMEKDLSSLDSVGRFARSFLSSHEHLNILINNAGIDQLTFQVSQDGIELHFATNHLGHFFLTSLLLEKMKITAKETGIQGRIINVSSMGHKLSDGSYFNLDKLQDESKFRRFFAYAHSKLANILHAKELSMRFQVEGCNLTANSLHPGLIYTQLSRNSTILGLVFFLLKPWQKSIPQGAATACYLALHPSVIGVSGKYFEDCNEATPSALAEDVQLAKKLWDLSEEIINRWQNSST >Et_10B_003117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16851336:16854726:1 gene:Et_10B_003117 transcript:Et_10B_003117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDKDAFFHCLDRVPSGLHLDADFASDEDDDDDEDVRVSFASAMGDHQNQSFRRYQAAVLEEEDDDQEEAEDPSKYDMWMSDDMSIQERRRRLHQGLGMASSRDLALRRHSMKKRSVDVPRSASRTQRPPSPTPAPPPVAAAAPAPAVAAAALQTAKAITRRRSASSLAVRDGGASGSGKPLPSPSQPLRRVRSLPARHAAGDCAPIEKFQGVATRDLSVVPLPASSADKSGDGEGSDEIKNQGGVKDAAAVVAASKDAPANAQAGVPGLDDFEKFIGNTPIVKHLVRRGTSQHQHAPPPAGAPPKAEKAAGKKKGGWLKNIKSSATAGNASAAAPGASSSERLKVHQYGKSSKELTGLYMCQEIQAHEGSIWSIKFSADGRRLASAGEDHVVRVWQVVETSAPPSFLQAQDGSGSGAAPPGAAAADAPALAQLSKKSVKGKSSGRDTLPEHLVVPDKMFALAEQPACVLEGHKDDVLDLTWSKSDQLLSSSMDKTVRLWDTESKVCLKTFTHSDYVTCIQFNPVDDRYFISGSLDAKVRLWSIPDRQVVDWTDLNEMVTAASYTPDGQGAIIGSHQGSCRLYKTTDCKLSPEAQIDIQTKKRKSQAKKITGFQFAPGNSSEVLVTSADSQIRVFDGVTMVQKFRGYKNTSSQIAAAFTSDGRYAVCASEDSHVYLWRTTRVAPASGAMGIGMKPKTWCTVRSYENFFCKDVSAAVPWPHTPSPPGSPSSQQQQQQQQGMSCNEESCSMASHGPGKPEAGKRRHRECVGVGGGDGELGRGDQGVPELWDAVQDQRPGQSLPLI >Et_4B_040014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7747778:7749742:-1 gene:Et_4B_040014 transcript:Et_4B_040014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHFLAILVLFVGTLLALPQPSLGSTRYYTFNVVMQNVTRLGTTRAIPTVNGKFPGPKIVTREGDRVVVKVVNNVKDNVTIHCDALRGGNGGLLVLAFVRGFRHGVRQLRTGWYDGPAYVTQCPIQTRQSFVYNFTVTGQRGTLFWHAHVSWMRGTLYGPIVILPKRGVPYPFPKPDKEVPIIFGEWFNADPEAIIAQALQTGAGPNVSDAFTINGLPGPLYNFSSKDTFKLKVEPGKLYMLRLINAALNDELFFSIANHTLTVVDVDAAYVKPFDTDIVLITPGQTTNVLLRAEPPHGDGYCPPGTHLMLARPYSTANPGTFDNTTAAGVLEYAPPGHIKSRPLFRPTLPPINDTAFTSNFSARLRSLASPEYPANVPRGDTVDRTFFFTVGLGTNPCPANQTCQGPNGSKFAASINNVSFDMPATALLQAHYANNVAGVYTADLPFAPLEPFNYTGAPPNNTNVAHGTKVVVLGYNASVEVVMQDTSILGAESHPLHLHGFDFFVVGQGFGNYDPHKHPAGFNLVDPVQRNTVAVPAGGWVAIRFFADNPGEFPYLR >Et_10A_001523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4581566:4583853:-1 gene:Et_10A_001523 transcript:Et_10A_001523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIASKLVYFQRRPSPAPPDPEPPDPRRRPCRDRRRRSSLSSHNKPSACETEKKIVNLRGENGCGSDLPRKETGIREGQDLVTGNQRDATKPLGLAGTVTEHGGGIASSARLNRSVSDHGRLPDAVQQARQRLLQRLNSVDLTGRRQKTWPSESIWTGLTRPVDLDVSTSTDSILGSLTNCFQPSESVASCKVQESAEEPVGNADNCMPIILFPEPVPGLQHTACRGGSEEGEHTEPSAECSICLERCNDADGLIQLRCKHIFHSTCLEQWLRSRGDCPYCRASVLLTA >Et_1B_012840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35488891:35490825:1 gene:Et_1B_012840 transcript:Et_1B_012840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTAAAVSLSKGAAAASSLTACSSHFLAFPRVSATPTPRGVRAQVSTTETAAEAAAPAKKEKISKKQEEGVVTNKYKPKEPYIGRCLFNTRITGDDAPGETWHMVFSTEGELPYREGQSIGVIPDGVDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNDQGEIVKGVCSNFLCDLKPGAEVKITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKMFFEEHEDYKFNGLAWLFLGVPTSDTLLYKEELERMKEIRPENFRLDFAVSREQTNAAGEKMYIQTRMAEYKEELWELLKKDNTYVYMCGLKGMEKGIDDIMVDLAAKDGIDWLEYKKQLKKGEQWNVEVY >Et_1B_011719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25295511:25298139:-1 gene:Et_1B_011719 transcript:Et_1B_011719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGELKLLGMWASPYVTRAKLALHIKGLRYENVEEDLGNKSDLLLSSNPVHKTVPVLIHNGTPICESSVIVQYIEDAFADVGPSLLPADPYERAIIRFWAAYLEDKLVNQWVRVLRVKTDEEKAEAMRQTLAAVEVLEVGLKECSGGKGAFFGGDRVGYIDVLLGGMVSWIKANESLFGIKLIDVAKTPLLAAWMERFCELDIAKAVLQDVNAVVEYAKAVQARMTADGSFIDRTLTASQSRKPPSPINNPTQDKL >Et_8A_056649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14443591:14443922:-1 gene:Et_8A_056649 transcript:Et_8A_056649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVMVRRTFEVAGYAPTRGVLHVAPFNTRSAIFDAGGFDWSITFQADADGEGKIVGIFLWLETPYPTAMAQPAGVESVGNYAAIFVRRVHKTLRMDCG >Et_10A_001370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23801393:23802206:-1 gene:Et_10A_001370 transcript:Et_10A_001370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHYQSSSACHGIDDADPSFQLASRPDALLASGGAFRPSSSGYALHHQSQQEVQISSRQFNPILSPTWSSSSRAMSTGDLTHREEEQTAMVAPPGRYSAEERRERIDKYRSKRNHRNFQKKITYACRKTLADSRPRVKGRFVRNGGDYTEADGAAASESSHDADAQHGCIVSNDTNAGGVLGGPEWWPAMQQALELPTNIDDLCNEEMLAAYLGVSSSASTPPATTDDQLISEDLIVTFS >Et_2A_017000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29999551:30002761:1 gene:Et_2A_017000 transcript:Et_2A_017000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKVRPLTATAAKGKMLPAVAASTAAAAAAAEGEEHVHYKHTDACKHLRWTAKESYEYMYARPWSRVVDFYAELVRTGTGATGLAKLDYTLDTTREACLTPSEKQSPTISSKDRGGRWERVTFKIVLSYHGGSFDGWQKQPGLNTVQGLVEKQLGKFVDERKAKQLESRSLPIEGCAVVAGRTDKGVTALQQVCSFYTWRRDVTSGDIKDAINEAAPDNLKPLHVSEVSREFHPNFAAKWRRYLYIFPLDEDDKLVLGEEQSPETLESSEQSIIPQSFDVTKVDEIVKRLSGKTLSYRMFARDTQASRSIGPPTECFMFHSRAAVAKLYSSGESCKEGMRVMCVELVANRFLRKMVRVLVATAIREASAGAGEDALLKLMEATDRRATAPPAPPEGLCLVDVGYEEFDRQKCFIVD >Et_4B_038098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26273189:26276066:1 gene:Et_4B_038098 transcript:Et_4B_038098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGLAFEAARKIIMHPLYAPRSSPWLDLKVFYVRVSNCVVNESAPEHLTLNHIPLSPDTVIEVNGQRSSMHTEFISSSLRRDRVDRRTEEATFVSTDNIRMTGSVRFQVFDKSDLLLTGDLELCNASGVVGESKSSSKKWNMKCQSATSGNGFLKGKLSAGSESVHPAIEVYIAGTFSGTPIILTKTIQLISRRKSEMKLKLDSIPENEATEEQKEVSHENSLKVSEFQDSKAETDVDVDYNSLYSRQDFIEGEDGELSWFNAGVRVGVGIGLGVCVGIGLGVGLLVRTYQSTRVNWGCNIAASCQGWDV >Et_2B_019159.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1575870:1575968:-1 gene:Et_2B_019159 transcript:Et_2B_019159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNAPPPPQDMSYYDHCHKRHEEKGCLYAWYL >Et_1B_011667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24712318:24722857:-1 gene:Et_1B_011667 transcript:Et_1B_011667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSFAVAVQCATAALLLAAWAGGAAAQQGVGSIITRSVFDNMLKQRNNAACPAKGFYTYDAFIAAAKAFPSFGNTGDLATRKRELAAFFGETSHETTGEHLMLVADRGTRGAADQFQWGYCFKEEQSKTDPPFYGRGPIQLTHRYNYEAAGKALGVNLVGNPSLVATDAVVSFKTAIWFWMTAQSPKPSCHAVATGRWTPSAADRAAGRSPAGYGVITNIINGGVECGMGPNPSGADRIGFYKRYCDMLGVGYGSNLDCYNQRKFALAAAALVLAVAASGAAAQGVGSIITESMYNAMLPNRDNSLCSGKGFFTYNAFITAANSFPAFGTSGSADQVKRELAAFFGQTSHETTGGTRGAADQFQWGYCFKEEISKATSPPYYGRGPIQLTGQSNYQLAGNALGVDLVSNPDLVSTDAVISFKTALWFWMTPQSPKPSSHDVILGNWTPTAADSAAGRVPGYGVITNIINGGLECGIGPDPRVEDRIGYYKRYCDMLGVGYGDNLDCNNQQKFVTTNMAMRFALAAAELVLAVAASGAAAQGVGSIITESVYNAMLPNRDNPLCPARGFYTYDAFIAAANYFPAFGTSGSAELMKRELAAFFGQTSHETTGGTRGAADQFQWGYCFKEEINKSTSPPYYGRGPIQLTGLSNYQLAGNALGVDLVSNPDLVSTDAVISFETAIWFWMTPQSPKPSSHDVILGNWTPTAADSAAGRVPGYGVITNIINGGLECGMGPNDANVDRIGYYKRYCDMLGVGYGDNLDCYTQQSFGN >Et_1B_012982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4522714:4526489:-1 gene:Et_1B_012982 transcript:Et_1B_012982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRLIALSLLLAVATPIRDITDACSSEIKDFPHLNSSGLHLTLHHPRSPCSPAAPLLADLPFSAVLTHDDARIASLAARLAKTPSARPTSLLVDDASFPGTAAASLASVPLNPGTSVGVGNYVTRMGLGTPAKSYVMVVDTGSSLTWLQCSPCMVSCHRQAGPVFNPKSSSSYASVSCSSSQCSDLTSATLNPAACSSSNVCIYQASYGDSSFSVGYLSKDTVSFGSTSVPNFYYGCGQDNEGLFGRSAGLMGLARNKLSLLYQVAPKLGYSFSYCLPTSSSSGYLSIGSYNPGQYSYTPMVSSSLDDSLYFIKLTGITVAGKPLSVSSSSYSSLPTIIDSGTVITRLPTSVYSALSKAVAAAMKGTPRASAFSILDTCFQGQASRLRAPAVDMAFAGGATLKLAARNLLVDVDDSTTCLAFAPARSAAIIGNTQQQTFSVVYDVKSNKIGFAAGGCS >Et_7B_053543.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:9523972:9523986:-1 gene:Et_7B_053543 transcript:Et_7B_053543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQ >Et_8B_059145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14290962:14291920:-1 gene:Et_8B_059145 transcript:Et_8B_059145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDRRNRRRCDCEQPSPAAPDWAALPHDVLAAVFLKLGTSEILRGAEFVCRSWRRVALEEPALWRRIEMPAVRRGRRWDWRAMLCDAVERGAGQSVAFSGPCDDQSLLDLVDRAPLLKSLDLFDFVAGNEVLTGAITRLHHLEDLEISPAHDCPQDTWNLFRQVCQACPRLKRLVLGFQVLDTRYGRSPAIPVMHELRYLELFNCELTQKALAVILDSCPQLESLHIRGTFIFTRKMRRELWAKCAEVANLTLPDYVLSD >Et_8B_060701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5111589:5115119:-1 gene:Et_8B_060701 transcript:Et_8B_060701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDVERASVFEAAMPPPASACSSSSIGKDSDEFSPPGKEDEEVQSAYMGERGGAGGGLVGLEALEEALPIRRSISEFYSGKSKSFACLKEAITSSGSAKGITKAENAYSRKRKNLLAHSIMHENSNKTASVEVYETGPPKRATSLSRSSLETMASSSSRSSCSSFSIEENELPEQLHYARSPDDSMNYAPPKIPTPRPGSCTPKTPSAPMRSFSMMDLQGLHRPSSPYRRTPFYELLATVVHVVREQVVHEHVAEDASSTISRRQAPVLHATFLAVQIVLSGINGGGDGIIISRASLQASKTSCAVVHWHLVRAQKSCQREAEVVLVLNRRIEHCKRSICHDQLVHHVRLRAEPLRRHEISCCISVADDVVECAAAACFHCRVVHAVGNHGEDLLMRRHERLSVFSGATSTCWAWGAMC >Et_2B_021604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3509577:3515609:1 gene:Et_2B_021604 transcript:Et_2B_021604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSATPSRSKSKPKSSPAKPVAASAEAQMDLCTPSKATPKRKSKSVTSPPPMTPATPSTVRRSRLLLDTPTKATPEAPVKATPTPTTKGKRAAPSPKTPAPREPKRQRRQPKKRSYYRKVVYDGGEFEVGDDVYVKRREDAESDAEDPEAEECRVCFRSGGGVMVECDMCLGGFHLRCVRPPLRRVPEGDWSCQYCEAERAGKAVERPKPPEGKRIARTAKEKLLSSDLWAARIESLWREPDGTFWAKVRWYIIPEETAAGRQPHNLRRELYRTNDLGDIEMETILRHCFVMNPKEFKDANDAGDDVFYCEYEYDIHWHNFKRLADIDDEPETKEDPSDEPYNANTHYISDTDEDSEYDEEEEATSCSLARRNQSHELAANSRKGRIYGLQKIGIRKIPEHVRCHQKTELEKAKATLLLATLPKSLPCRDKEMEEISTFVKDAICNDQCLGRCLYIHGVPGTGKTMSVLAVMRRLRSEFDSGTLRPYCFIEINGLKLASPENIYKVIYEQLSGHRVGWKKALHYLTEHFSGGTRIGKQANQPIVLLIDELDLLLTRNQSVLYNILDWPTKPNSNLVVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNFRQLQEIIISRLKGINAFEEQAIEFASRKVAAMSGDARRALEICRRAAEFADYRTKQSGQASLSGNRGDGVVCMGDIEAAIQEVFQAPHIQVMKNCPKFGKVILVAMVHELYKSGLGEVMFDKLASTVLSWCHVNRELLPGYDTLLKVCCKLGESKIILCEEGSKHKLQKLQLNYPSDDVTFALKESPDFPWLSKYL >Et_5A_042618.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:22341135:22341431:1 gene:Et_5A_042618 transcript:Et_5A_042618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLAVLTALQRGGSLAVDEARYLLGISDKLESAKKQLMSMQAFLTDLDAKMLRGGAMARNLVFEVREVAQDVEDIIDTANILRRQRAISSFLPQLSL >Et_5B_044236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21849671:21853759:-1 gene:Et_5B_044236 transcript:Et_5B_044236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTNTAPAAPSERHGFFDSVSVAVLWRPAAARAQPSPPSASGPSDSSSTSSRAADPSAGLLVGSVLKRNSERLKDLYTVGKKLGQGQFGTTYQCVEKATGKQFACKSIAKRKLVSEEDVDDVRREIQIMHHLSGHPNVISIVGAYEDAVAVHLVMELCAGGELFDRIIQRGHYSEKAAAQLARVIIGVVEACHSLGVMHRDLKPENFLFVNHKEDAALKAIDFGLSIFFKPGETFSDVVGSPYYVAPEVLMKHYGCEVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLRGDLDFSSEPWPSISRSAKDLVRKMLNRDPRKRLTAHEALCHPWVCVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAENLSEDEIAGLREMFKMLDTDNSGQITLEELRSGLKRVGANLKDSEITTLMEAADIDNSGSIDYGEFLAATLHLNKVEREDNLFAAFSYFDKDGSGYITQDELQKACEEFGIGDEHLEDIIRDIDQDNDGRIDYNEFVTMMQKGNNLLGKKGPGQMSFGLREALKLVIVNQCGECHSTDK >Et_8A_056347.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23905878:23906786:-1 gene:Et_8A_056347 transcript:Et_8A_056347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFYSAMAHGLDGLHRSLASSSFMSASFLQQAAALLRSLHSQLLHLVQRLHLPAGESWLDEYMDETSRLWDACQLVRAGASALDAYCASAARIHDCHGDRLARSLHRAINAPRRHAVALEQDNRALADARLDPASLLLDDRSPLEFKLNAFNGFRGVLYALRNASSFLLMLLISGTVTCLPDLLLTTGAAHQGGGAQQQLFYVSSMARLRQRVAEEINLHASSSGTGIMMYEFRQARAAIDGLKADFDARRLETLGERVESINGWVGMLRSGAESLIGELDDFFDEIVEGRKMLSDLCSHR >Et_7A_051529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:253059:254607:-1 gene:Et_7A_051529 transcript:Et_7A_051529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAKTDSEVTSLAPSSPPRSPPRGGGRPVYYVQSPSRDSHDGEKTATSVHSTPAFSPIGSPRHSVGRDSDSSRFSGHPKRKVGDKSGGGGRKGAPPGKGWQEIGVIEEEGLLDDEEHTRIVPKRCYYFLVFVLGFVALFSFFALVLWGASRSQKPQIAMKSITFQNFIIQAGTDASLVPTDMATTNSTVKFSYKNKGTFFGIHVTADPFELSYSQLKLASGDLKKFYQARSSRRTVSVSVTGNKVPLYGGGPTLTAAPAAGGKQASTAVAPVPMVLRTTLHSRAYVLGALVKPRFTRTIECKVVMNPAKLNKPISLEKACQYSLIRLLLAVLVRSFLPAPQFGGATSN >Et_5A_040857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13626476:13630957:1 gene:Et_5A_040857 transcript:Et_5A_040857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTSRLLLLARRCDGRRVPCLLVPRSVHAAAWDPSLTAPPTLPAWSPVRSYSSGFTSVHGERPSSEYAKIRKESLETQFGRILGSSSRRLFADRGFGPFLALYRAATISFHVVKLTIWHLYLDDMRKRAEKFRETLIRLGPFYIKLGQALSTRPDILPSAYCQELAKLQDQIPPFPTRIALKTIESQLGSRISDLFADISPEPIAAASLGQVYKAHLHTGELVAVKVQRPGMAPLLTLDALLFNMIGGQLKRFAKARKDLLVAVNEIVRHMFDEIDYVLEGKNAERFATLYSHGSGVDNSEVGIKAPKVYWSYTRKSILTLEWIDGIKLTDAERISKANLNRKRMIDELLEEGFFHADPHPGNLVATKSGSLAYFDFGMMGDIPRHYRVGLIQMLVHYVNRDSLGLANDFHSLGFIPEGTDLHAVADALKFSFGDSTSRRQSNDFQVSYFFLSFQYIC >Et_6A_046114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25812112:25812524:1 gene:Et_6A_046114 transcript:Et_6A_046114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVHMYELLSECYQNGRGSCERRHLSGTYGGPCLGFDDSCNETCLAESSENISGACDINLQCACFTNCPSPSSETVAVAGAPIQP >Et_3B_028860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19948212:19954665:-1 gene:Et_3B_028860 transcript:Et_3B_028860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAAVPPRRHRERRHRRKASDAAAAALASSFGDVFGGPPRFGGSAPTDYADVFGGVAASCSIPYLDLPEPAAAAAPGAGDGEYGEIFGRFDFGEFAAPYEDALAGPEEMMEEIGSTSGSSRSSIRKEPGQLYAEPSALNIHYPDSGCDKRFGEEQFYPVSSPPNGEQKFSMSYNKATRGRPDDLVEMTTCIVEPSISYVVDSCNFSNDSEMDHIPVIDNVDTLANGIKGKASPPNATSSSMKNDDSACVVDQLQHIPTNVDTLANGIKGKASPPNVTSSGMKNADSASVVGQLQHIPTCLPTTTATTPTGLPVFENIYEDENYDKRSSTHSVSSEEAPSPDYPFLRASNISLPAAPIKVQPPPMPPSKLLNKRESKENGDSGVNPNSAAAAAAMKEAMEFAEARLKAAKELMERKGDSFKLRKRPGHHRSTKSTEIKGSKIPEEVRLFERKPSMNRLAKEENQNKDLPTEIKGSKIPEEVCLFERKPSMNRLAKEENQNKDLPTEIKGSKIPEEVRVFERKPSMNRLAKEESQNKDLSLADNNRNESAVKSIHCDPDKNVVVPVGKPQEPQNTSKLEESGKWTSDAEFYELISHDQICKPNAALSEENNGAKSSCTKLYQTEKEKAGAFAGELKRARKLWDTTGLRRETVNLVKDGTSSVEVEHEAPTPPEVLVCEERATYQERADSHFKQCSEIDLEVHDDGGRVEISCMSNTPAKLHADVQMSEQEAGVFSGEPKRVRKLWDTTGMKRENVNLVKDVTSSVGVEHEPPRPSEVPFCKERATYHEPSNSHFEQCPEVENSIEGHDDNDGIIEISCTNNTPSEAQPDPEISSSILEDSISGGWHDSKNHSGTSAEETLSVGQSKQGDNTDEIMCNSVMSQILCKHPDILNFDEFKDARVKISNAEESGESHETCEKEKLLDFVYEAHVQHESVRENEAIPGTHIHEERKKFGISENEDTDEDFQERDVYEDAGSPEKETNVTLESANASQNGNEESKVLNTFVEHGKFMEANARTCDSLDKDPYQLQELQGSLGPRDLENRIDIVEDIVSAGEEKEAKESVLESADMTLVEEVLNHDSNEGQISMETSIHERPEVYAEVNARNDRDDNMFHSVREVRDDDSDYAAKMNTWSNSLQASFSEACTRVQHLFQNAGYSSAEKAHKSTPVKDLLEEDCREADREIRTEKCTPLEEGQTTGEKVEERDREDSISNVGLKDQRLFHLDSDTTSNFAEDAAADIAHKSRDGELDAQRTKERDNIKDTEGETEVEVLVRIDEEKEKECKIEKEKEDKERRRRELEEEKEREMERAKDRLAVQRATREAHERAFAEARAKAEKIALEKITSARQRASAEARAKEERATAEAASEKASREARLKAERAAVERATAEARERAIEKAKAAADAKDRMERFRSSFKDSSKTTNQVADVIIYTGFVVAHFKLNTPDFILGEAYNYYLKRFLICIPLTGDTISEDGL >Et_3A_026170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4985579:5006048:-1 gene:Et_3A_026170 transcript:Et_3A_026170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEVKTGRSQKSSAARHLNVAVIVGVLLVLLTYLVVSQQFAFRVPYGNDFIPHLSNPGESFATEESKVVCSSEGQFSESCEITSDVRVNGTSRSVFLVPSSSRSERREWEIRPYPRKEVESIKKVTVTQLPDRSAAAGAPACTATYAVPAVLFALGGLTGNIYHDYADVLVPLFVASRRYDGDVQFLVANLGDRPWWPGKYRTLLRRMSRYDVVDLDADAHVRCFPHLTLGLHMYKEFTIVPDQQQRLTMQDFTRFQREAYALPRHEAANLAWDPNAKPRLMLLHRSHYRKFVNEDEVVRAAEAAGFETEVVELRFEMPIEEQAGLLNSFDVLLGMHGAGLTTEVFLPPGAVLIQVVPFGKLEFIARVEYDEPAKDMGLKYIDYNIGLDESTLPETLGPDHPAVRDPDSVHRSGWLKVYEFYLQKQDIRRRRRQQKEVAMSSAEVKTGRSQKCSAARHLNVGVFVAVLLVLLTYLVLSQQFALRVPYEESKVACSSEGYFSESCEINGDVRVNGTSRSVFLVPTSRSERREWKIRPYSRRIIEDIKKVTVTQLPDRSAGAGAPACTATYAVPAVLFALGGLTGNIYHDYADVLVPLFVASRRYDGEVQFLVSNIVQPRWLSKYQTLLRRLSRYEFVDADGDAHVRCFPRLTLGLHYYKEFTILPDQQQALTMAEFTRFQREAYALPSQEAANLSRDPNAKPRLMLLHRGHYRKLVNEQEMVRAAEAAGFETEAVELRFEMPIEEQARVVNSLDVLLGMHGAGLKTEVFLPPGSVMIQVVPFGKLDFVARVEYGEPAADMGLKYIDYHIGLDESTLPEMLGPDHPAITDPESIHRSGWLQVSDFYLKKQDARAMCCCEMQPGKSPKSWAQTHITVSFVLGVLLLLLTYLAVSQQSAISARDVVTTEARRITDQKLSKASGETGNGKEACSTEGPLSDTCELDGDVRVNGTALSVAFVPSSPTPSRSEGSHRIRMWRIMSYSRRNVSDAKVVTVTRLPDPGAAPACTSIHTSPAVLFALGGYTGNYWHDFVDVLVPLFAASRRYAGEVVFLVTRSNTWWLAKYETLLRRLSKYDAVDLDRDDARTVRCFPRVAVGLDLRKEFTIVPELAPRLTMADFTAFLRETYALPRAAPTPTGAPGERRRRPRLLLVHRGRYRRLVNEAEVVRAAEAVGFEAAVMEPRGDARVDEQARAVNSFDALVGLHGAGLTNAVFLPPGGVLIQVVPYGKLEPMATREFGEPAADMGLKYIDYSVAAEESTLLEMLGPDHPAIRDPDSVHRSGWDKVAEFYLGKQDVRINVTRFAPTLQQAINHLRRQHKPTENVQSLFYFLGVQTEKGKVACDTEGLYSDICELDGDIRTNGTAQSVSIVPAGRSEPHREWMIRPYSRRTVSSVNKVTVTQLADRAAAAPCTVTHDVPAVLFALGGHAGNFWHAFSDVLVPLFVASRRYDGDVLFLISNNQPWWLVKYDALVRRLSRHDPVDLDRDAEVRCFRHLTVGLRIDKWFSFVPELAPGPDGGRLTMADFTRLVREAFELPRDAPTRPEPGRKPQLLLMHRGHSRRWLNEPEVQRAAEAAGFEVEVREMPRYGPVKEQAQTVNSFDVLLGVHGAGLTHQLFLPPGGVLIQVVPFGKMENISRSEYGEPAADMGLKYLDYSVSADESSLPEMLGPDHLVIKDPDSVHRSGWNMVNEFYLQKQHVRIDVARFAPTLAQAYDHLRQLLYSDACEVDGDVRTNGTALSVAVVPNGLSEHLEWRIRPYARRTASSIKEVTVTQLSDRDAALPCTVTHTTPAVLFALGGHAGNYWHAFSDVLPWWLVKYAIAVRALSKYDAVDMDRDAEVRCFPHVTVGLRIDKLFNIVTELAPGPGGRRLTMADFTGFLSEAYALPRGAPTRQTPAGKKPRLLLMRHGHNRRFVNEEEVARAAEAAGFEAAAVELPRYGRVDEQAPVVNAFDVLMGVHGAGLTHAVFLPAGGVLIQVVPYGKMEGIARAEFGEPATDMGLEYLEYSVTAEDSTLMEKLGPDHPAIRDPDSVHRSGWDKVNEFYLQKQHNPPRADAGAGLCTEARSTSRKTDAMRCSEVKTGKSPKSPKSGAQRHLLLGFLAGILLVLLSYLVSQQFAISAQYGNGN >Et_5A_042180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7092282:7094659:1 gene:Et_5A_042180 transcript:Et_5A_042180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTGDPANLESSVAAKQEGERTSLVKAEPNWVMADRWGDEEILRFSDLLWDKKIGELKLMKKRREDIKMERAAEMDDDDENFFAFQSRWMGEWSRQWGSLDNVTRILAMPFTCDNPPRCAYPCDTIQIYSVRVEDLLGGLEWPLDVFGMVAVRDSIDRKLNLVFYRTRENCQTLTEKDLYLALTGPTRAVCLDSKTDVIVEVELKVRGKVESEDRYLSYVAAPLECNRDMSPNISNYTSYTSKRSLLMFKLGRVESSVEATIFVRSIEGSWPDGVHGQITAHGAECEKVVLSLEVTKCLLMAVVMWSSLARLFLLSMLDVSCNAWKDNRIIAKQSVVFKVAAVGRNNATLELGTCKLEVIVAWSLVSPEPDGTMI >Et_1B_014390.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7446728:7447363:-1 gene:Et_1B_014390 transcript:Et_1B_014390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDLSTAAAAAMFHVYHPMQVPSTAAPAPAPAASDVAVAATAGKKKAAPGGKDRHSKVNGRGRRVRMPIVCAARVFQLTRELGLKSDGQTIEWLLRQAEPSILAATGSGTTPAVFVSSSAPSTSSSSNYHHHHQTVLGKRPREEGEAAVSAAASAFWSALPAPRAEAAWGFSPLEAQAAATYVPMAQAHHLNLLAALSGAARRAEEDTR >Et_6A_046573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17572366:17573725:-1 gene:Et_6A_046573 transcript:Et_6A_046573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRIASYLLGNNLNHHEVRRVFRREENHLDRCDMIMFPVLQTLTPKGLTQGVGHYLLLVLNLRDQQFEVLDSMRSSRDKDLQDCCNKLMDAIKKLWEIHYPNSRKKIQNYDIEGIQVPRQTNGVDCGFHMVMNTEHWDGRNIPSFQESNMPNIRKLMTYKWITHELNDVQDWREKLNLRYKCLSINHYCRHPVERGRHAMNEVNLRTRRGFILVQASRMITTLRPVCFYV >Et_8A_057112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21247689:21251158:1 gene:Et_8A_057112 transcript:Et_8A_057112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLRVLRPLQNLTCSPTPTARTPLRLLSSSTSAAASSDSDSAPTAAPPADADFDSAEYDLPTPGPAPARKNPAAALKKLRFDPSLRARADEALLGKKVESWLVEPVTEEEEERSRDVAVALLEAALEPPDEDDDELPGEVREEDQMSLSVGIVGAPNAGKSSLTNTMVGTKVAAVSRKTNTTTHEILGVLTKGKTQICFFDTPGLMLGHHGFPHRDVTVRVESAWSSINLYDLLIVMFDVNRHLNMPDSRVIKLIKRLGAEVNPNQRRILCMNKVDLVEDKKELLKVAKEFESLPGYERYFMVSGLKGKGVKDLVQYLTEQAVRRPWDEEPTVMTEEVMKTVSLEEIPYVIEHRLMDWKELKDGSLRVEQHFITPKQSQRQILVGKNGSKIGRIGIEANEELRSIFKRDVHLILQVRVAKRRSA >Et_9B_066246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8557858:8558304:1 gene:Et_9B_066246 transcript:Et_9B_066246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFVMLALALVLAVAGVALQGAAAAGECGRVSADETAQRLAPCISAANDPNYKPTSSCCSVVHTIGQSPSCLCAAMLSRTARDAGIKPEVAITIPKRCNMADRPVGYKCGKYTMP >Et_9B_064196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12515930:12522347:-1 gene:Et_9B_064196 transcript:Et_9B_064196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSQSILFLLLIIRFSAAQNTTTSCRPGEFHVGVILDMDSLVGKVSRTSVSLAMQDFYSVHQNYSTKLVIHFRDSKGSNVQAASAATELLENYKVQVIIGPQKSSEAAFISNLGNVTKVPIISFTATSPSLTSASMPYFVRATLNDSFQVDSIVSLIKGYGWREVILVYDDNDYGRGILPHLIDALQKIDARVPYHSAIPLLATSDNIMQELYKLMTMQPRVFIVHMSSTRASLLFTKAKEAGMINKGFVWIITMGVANIIDSLSPSVVEAMNGVIGVRFHIPKSQEHDTSFLLRWNKIYERDNPNELALNKLSIIGLWGYDTVWALAQAAEKVGVPSNENSKRLQSSKKCKCLELLTVSTKGPELLAEIVQNKFRGLSGNFDLTDRQLQVSSLQIINVVGGRCRHIGFWSLGNGLSRQLNQSVIWPGESTEIPRGWETSASGNKLRVGVHQSACPEFVKTSRDPVTNATSMSGLSIEVFEEAVKRLPFALNYEYQALGTADAGSSRNNDDLVYQVYLQRLNGNGYLHGPFSLKQLGMLMFFSISEEKEKLEFFLSRVVLLVWMFVLLVVTSSYTATFASMLTVQKLSPTLTDIRELQQQGGYVGVSRGSYIESVLQDLGFEKSKIRRYDTTDDFHSALSNGSENGGVAAMVLEVPYIKLFLSKYCKGYTMVGPFYKSAGFAFAFPKRSPLVAEISRAILNITGGDSIIQIEKKWIDRENSCQPEENTYGSDIITFGSFGGLFLLTGCVTTCSLCVALLMNRYKEDQPKASTKLDDTNQKEYGRQGEINAGTQDGDQRNEENGGCNDMENQTTLISAPHSLKTNSYLLQDCTQNDMAASLFHFGSQVIRRVNKVSTSPNSSTSEPVEAGTVNVTSEVPADSLVDLGSNRNLLQK >Et_1B_009655.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11822402:11822839:1 gene:Et_1B_009655 transcript:Et_1B_009655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTAPAGIIESSEPERAGLLEKRDERSVDVRGHDAVHGADELAADEDDGDDGRGAVAVAEEAGQRALQLAAARVEVQLVHRRVHAHSAEEALHGVAHAAAAHAEHHHRALRRQTLHARRRALHRRRRRRRHVPRLLRRRVLHCR >Et_8A_056253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18462047:18465124:1 gene:Et_8A_056253 transcript:Et_8A_056253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLFDTSGSLSSWNSTNTNFCQWAGVICSIRHPNRAVSLSLPSRGLAGAISPAIGNLTFLRTLDLRSNMLSGEVPRTTGRLHRLCQLLLQNNSLHGEIPQELGSISRLQYLYLSYNNLVGGIPASIGSLKHVARLDISRNNLSGNIPEAIGNCESLVYLTLDSNLLTGSIPKSIEYGEGGQVSAAGDSYSFGITLLEMFTGRAPTDDMFSEGLSLHLFAEMAFPDKISEIIDPTLLQAQPFNRDGRLDIALACITSAISVGVSCSKQAPLQRMSIKNAAAELHRTRDLVLEYFV >Et_8B_058613.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:164125:164178:1 gene:Et_8B_058613 transcript:Et_8B_058613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASSHLYRGTHRPSL >Et_3B_030696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6538755:6549598:-1 gene:Et_3B_030696 transcript:Et_3B_030696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGSKQPALKKKGLSLPHFNIGIGSACHCASCQAAQAQGTTGFVRGMVTYTVMDDLTVTPMSNISSIALLNKLGVEDLGALEERTVKIGYQEGLEILKASLQSTTVLTDVFLAKKKRVRTSAGDKSGACQQHQEKRARIDPATGNDCNLSPNMSGRSRPAPPTTATMKLLIDTGAQRVLFAEAGKDVVDFIFSLLALPFGAIVKLLADVDTLGSVGNVRASVENMDDAYMECLSPRSTLLPNPRLPARSPPTAVASDLYYSPAPPPPSGLLSPPLYPSLSLPKDGFVVSVSMYTVTDDLTVAPASGMLLHNLGIKDLTGLEERTVDVSHEQGLEILKAALHSKTVLTDVFLAQKKKARTDGLEILKASLQSTTVLTDVFLAKKKRVRTSGDKSGACQQHQEKRARIDPATGNELPIQHAATTPSAAAPPSMKLLINTASQRVLFAEAGKDVVDFIFGLLAMPLGAVDRLLAGEGVLGSIANVYASVEKMDAEHLQSASARDLLLVDLPPAPEQSSRSCTCAAAGRDLVTTPTPSIFAPPAPRHQQPQFKLVRPDPNHALPLFLYRCDTCRSSPYLQGGSSGLVQGVSTYTVMDDLTVTPASSVSSVALLKKLGFKDLDKVEERTVNIGRNEALGILKAALHSKT >Et_4A_034279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31400419:31401628:1 gene:Et_4A_034279 transcript:Et_4A_034279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRVNGGSICNACRSHIAPQSFKMLIGTFTASCSLLSNVRTQAPQTVVKSPSSLSFFSQGLHVPSLKTSKKLDVSAMATYKVKLVTPEGKEHVFEAPDDTYILDAAEDAGVELPYSCRAGACSTCAGKIEAGEVDQSDGSFLDDGQQAEGYVLTCVSYPKSDCVIHTHKEGDLY >Et_8A_057338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23876192:23878822:1 gene:Et_8A_057338 transcript:Et_8A_057338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSSLKMGCSKGRRSFSFYLFPMFCLMAQLGACNVVLMANNTTLSFDDVEATFTPAVKGSGLNGVIYAAEPLDACSPLKTKADDSSAIPFALVVRGGCQFDDKVRNAQHAGFKAVIVYDNDDSGVLVSMAGSSSGIHIYAVFISKASGEVLKKYSGQSEAELWIIPTYENSAWSIMAISFITLLAMSAILATCFFVRRHQIRRDRGRIPRAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSTCAICLEDYSFGEKLRVLPCRHKFHAACVDLWLTSWRTFCPVCKQDANAGTSNPPVSESTPLLSSAIRLPSGSANLASFQSTVPASPPRPISRHPSSQSISRTYIPGSGIRRTPNPHRSYANSPPICTSGSSVDLANMSSPCSRSSHLASAHSLCGAVSTNQYHVYFTPFWLWIPQPQQHPYLRHCTLSGPSLFTMVPQSPQQTHLQHGGDSETSLSAAASTQSFHQFYQQHCPDSDTSAQSLPGC >Et_10B_003172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17279799:17284025:-1 gene:Et_10B_003172 transcript:Et_10B_003172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFVGFLVPVAVSLLLRKRRNGERKRGVPVEVGGEPGYAVRNYRFEQPVETHWEGVTTLAELFEQSCKEYVDMPLLGTRKLISRETESGPGGKSFEKLHLGEYEWKCYAEAFKSVCNFSSGLVRLGHQKGERVAIFAETRAEWQIALQTEVTTVVCGQKELKKLIDISGQLDTVKRVVYINEEGISAEVSLARNSTSWVIESFEEVDRLGNEAPVDANMPLPSDVAVIMYTSGSTGLPKGVMMTHRNVLATLSAVMTIVPQLGKKDIYLAYLPLAHILELAAEALMAAVGASIGYGSPLTLTDTSNKIKRGTLGDASALKPTLMTAVPAILDRVRDGVRKKVDTKGGVAKKLFDVAYNRRLAAINGSWLGAWGLEKLLWDKLVFGKVRAVLGGKIRFVLSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGTFSEYDDPSVGRVGAPLPCSYIKLIDWPEGGYLTTDSPMPRGEIVIGGPNVTKGYFKNETKTNEVYKDDEKGMRWFYSGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSVSPYVDNIMIHADPFHSYCVALVVPARNELENWATQQGVKYNDYSDLCQKQEAVKEVIGSLAQAAKQARLEKFEIPGKIKLIAEPWTPESGLVTAALKLKREVIRKTFENDLVQLYA >Et_8B_058884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10361467:10365894:-1 gene:Et_8B_058884 transcript:Et_8B_058884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQCTAQAVRALAALPAPTASPRRLVSRVYLSSPYQAARASAVLVRAADSSSPPAAAPAAQPSGAAAGKTVVPDNEFSLAKYAELKPVPCITYSDALALREKCATPILKQVRSDVTRFRYGDEQHLEEALQRIFQYGLGSGIPRRSAPILQKIREEVTEDGKYSLVLEFEAKALELSDFEKRQAKFTSFFGPGIKAEIGKGGDNIYEVRLISETT >Et_3A_024747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23868773:23870423:-1 gene:Et_3A_024747 transcript:Et_3A_024747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATAPANLLYAALLLLAVLYLAVNWRRSRHLPPGPTGLPLVGSLPFIDLNLHTYFAGLAEKHGPVLSIRLGSKVEVVVSSPELAREVLRDKDAVFANRVMPEAGRAVCFDGEDNMSGSQVGPKWRLLRRVVVHEMMSPAGLDSVHDLRKREFGSTLRYLHSKSREPVDVGEQMFLNTMNVLTSTMWGSTIGSENERAAVGREFRILVGEITGLLGSPNLSDFFPALAPFDLQGIRRKMEGLRVRFDEMFARIIKQREQDGGRTAVDLLEIMLKMERQGGDGKTPFNMGDVNNLLLEMVVAGSESTSITVEWAMAEMMQNPEVLKKAQHELDTVVGRDVIVQESHLSQLRYLRKVIKETLRLHPPVPLSKRKLDDRWIPRSSGHPRRNPQVWNKPLEFDPDRFAGDDARKWDFLGNQFDFFPFGSGRRICAGVAMADKMTAYSLAMLLQAFDWTLPQGVQLDLSEKFGLVMKKATPLVAIPTPRLSYPELYNSLFEGTRRSD >Et_5A_041460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23170683:23177083:1 gene:Et_5A_041460 transcript:Et_5A_041460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSKLACLALVLVAAAAALVAPSAAQNDEQDAFVKLHNAARADVGLGQVRWDNNVAAYAQSYAAQRQGDCALIHSTNRPLPGPGSRRRRCCLGRAVRGNEPQDFVTLHNAAREAVGVGPVSWDDTVAAYAESYAAQRQGDCALVHSGWQYGENIFAGGAGADWSAADAMESWVSEQQFYDHDSNTCSAPPDKSCGHYTQIVWRDSTTIGCARVVCDDGGVFIICSYNPRGNWEGEISYLLTE >Et_2B_021597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3383756:3390122:-1 gene:Et_2B_021597 transcript:Et_2B_021597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRGVGGLSAAVSSRLRRGLSTAAASRPQWAMLYHAPLVRSSAPSPSLQLLEPPCAFRLLVPFHLVDSRPGPNLNSDDATRRFYGGLVHAVSGDGLLLLDFTDIHGMDPVGAGDAFDRASHFRVDMGPQEPDVMRFVCNPLSGEMFRLPDAGGTKKSPGWRSHGLLTHSTRGHGPPDRYAVAEVRVEHRGEALIYTMRRFLSQTGKWEKLIGMWLPLDRGMRWDQEILAFAGRLWWVDVTWGAFSIDPFSDRPDFRFVELPRGSVTDHVEMLAEVGRYRRMGVSEGRLRYAEVTREEPFVLSSFALDNDTGCWTLEHQMALSNLWLRGDRQWVEDAPHIAVVDPLNASVMHITIGNVAFAVDMDKEKVLGCSTSPIDDGDDDPLFLKPCVLPPWLESSRIPSAGTLSSLKAHVKSNTLSDVLMLLRRVGGLSAAVSSRLRRGLSTAAAPCPRWALIFDAPLDRSSAAPRPSLQLLEPPCVSRLLVPFHLVDPRPGPDAGSDTTRRFHGGFVHAVSGDGLLLLDFMDFRGMDPVAARRAFARAGLSADMAPQEPDILRFICNPLSGEMFRLPDAGGTKKAPTMHSHGLLTHSASGHGPPDRYAVAEVRGEIDGGAMTYTMRRFLSQTGEWDKLVGMCPTRGFPLDRGLELDHEILAFAGRLWWVDLSWGFVSFDPFSDRPGFRFTELPRGSQRDPVEMKRGLGRYRRIGVSEGRLRYAEVTREEPFVLSSFALDNDTGCWTLEHRMALSGLWLRGDRQWQQDAPRIAIVDPLNASVMHIAIGNIVFAVDMDKEKVLGCSSSPINEETGGTRGVFFYCKPCLLPPWLESSRIPSAETLSSGKANVKGNTLSDVLTGARRTRMKKHCVT >Et_3B_028992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21164461:21165762:-1 gene:Et_3B_028992 transcript:Et_3B_028992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTVPDKNAGQAAAAAAADSFEFHVYGPRNLSPPSWKDLLRSSWKDPNYRRMVIACFIQGVYLMELDRQEKRDERTGLAPQWWRPFRYRLAQALVDERDGSVYGAVLEWDRQAALSGYVPFRPAGAPAAVVALRGTLLRAATARRDVTDDLRFLAWDSLKGSVRFAGALAALRAAAARHGAGSVCVGGHSLGAGFALQVGKAMAKEGVFVECHVFNPPSVSLATSLRGLAEMAGDVWTRVRAWLPYVGSSASASAQDAGGRQDAKQAAAATCKWLPHLYINTNDYICCYYTDAASGTATVTAGGGSGGGAAGDGGSTGKTELAKIVLVSKGPTKFLDAHGLQQWWADDVELQVALNHSKLIDRQLRSLYAAPPAAAPQARTPDNALANKGKTSWRW >Et_7A_051043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13909748:13918072:-1 gene:Et_7A_051043 transcript:Et_7A_051043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFFMPGWRPSKAGSRRMLQHPLILMVIQVNGGQLRERHALDCYLLWVCFVQYKEVLEVAAMDDVDGLEVGEGGDPHRQHELEPWAGAQVQVLKISKGRTFSGTFAPYAFGL >Et_3A_026878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24802869:24804586:1 gene:Et_3A_026878 transcript:Et_3A_026878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METIISAVLGDLLTRSISFIIDKYCRQQQGAEEIQQQLCRVLLRIQAIVEEAEGRRITSQAMLRQLQMLRVAMYQGNYLLDTVRYRIRQQESSRDKEGTGSSTLTRFSSAKRLCFSSRRMNKESHGDGVNEVPTMLRSLHNIIADMAEFIIFLKSNPPNSREPYNKYLFMENCMFGRQEEMENIISFLLQPEAPGSNSLQVLPIIGPPRVGKSTLVEHVCHDERVRGHFSSIILCSGDPTSPEGSGVVKNQTHGSHGRSLIVVELADDLVRDERQCREFLTSRSHMPPGSKVIVTSRSENIVKLGTAGAVKLNFLRHEAYWYFFKVMAFGSTNSEEHPELVSIAMELAAELDGSFLAANIISGLLRADIQTRSWRKILELHKNYVERNIDLFGEHPHKLLYKKKQVAYAWCLSSISIRLKVHFCEKLYHPNEVPKMTLPDVMAGSAKAHGKFYVVACKSCIPPYLNQLMSCEIEARQDMKEKKKRPHFMPNRCVKDVFLLSVGNNQ >Et_5A_040587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1012470:1013035:-1 gene:Et_5A_040587 transcript:Et_5A_040587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTDEIVESDIGDDCPSVEAGSGDEESENFESDSDNAESDSDESVESDTGDDCPFVEAAGSGDEESKNFESDSDNAGSENFSADSDDDDVGNTETESEDLDVLEEEDEYTFDILGSILTRSSKIKYLGNLQPKSYRSPPRGRHESFVYTPCIIGVHHGDSLSGGLSKGSA >Et_4B_036451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24865381:24866220:-1 gene:Et_4B_036451 transcript:Et_4B_036451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNLHVLNLSFCFTHRLLIIVAPKLETIGRFYYDRSRPADLDIHGLTSVRRLAELYLDMHGKYHPDTDIGFWLLESCTSVEHVSLWLEHDSRDYDAMGELVDLTSEGKAPFANLRSVSVCTMGFPKRHFVASMSALLVRCPHLTSLSVQMDSSGQEGTAICMCDEFLTDKWEIHGKIALESLEEVEITGFRGTDEEMQLVRLLFESSSSIKRMAIAHASLAKEQPDGGKDIETIYNELLTTVPCADRGLWCPSEKVFTWTYEATL >Et_4B_037062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14081466:14087289:1 gene:Et_4B_037062 transcript:Et_4B_037062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKAVVKETLSTCPARRGCYLNEHANAIRKMSVLYVCMPIAWKLVAELRERILQLAGHDCHEEEKKSQTKLQEDLNMCKRDTLIELCHSFDMAGSRANRKVDPAKYLDELVSFLMKFLKGDCSRVHVTDLREQILQLSESTCHEEEKSQTELLERLNVCKRDTLIELCRSFHIGGSRANRKEELVSFLMKFVKDHSSLTDGTIHDKKIKKRRHMKEEENLSSGKPLKKKRRAALETQDEKETIGWKAVEDRTNYSECDQKDNRYLCADNKNDKAPNEEANLEPTVRIDGFLPKNVDAVTQNKVQVHTNEQPLTTSSSAKVAEVDSTIVKDSKKKISSVNKKKATPKKDHKVHSCGKKGSKGDVNPRKLAMKPSRDELREAIVVILDATDFATMTFGDVVKEVDKYFGKDLFERKPLIRSLIEEELFRLSEQAEKKELEEEEAAEAEARAEQAAKEMAQVRATETSIDKRSKHKAGQNCKTKDGAKNANGGGNEKDIKGVSSVNRNSSDADEGSQIVKVNADTKITDKESTEDGKCEKTSPNVIDDFDVQGYKNGEVATVNVKNNNVDTLEGSKVGRPKDACNLENDRTEGGRSENGGNNAKGINGCETEEPQIRGDDERVKRAGDGQAQKAGNESHSDVAIRGDNDGKGKEGNLHAKKGPTDYGGDGKAEDADHTASSKVDVDSGKNGAAENGKMGDDVRADSNGVAREIQT >Et_5A_042371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9192403:9203859:-1 gene:Et_5A_042371 transcript:Et_5A_042371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMPMDLSSVLASSPSYGKAAETYKKAVTVAATAAAYAMVAHSVSRKLLPDEHRAAARWAVAFVLDRLLRAAKLQRRTKTIFISPGDDYILFNRLHTAARAYLATRIEPHAASRLCLAVHDGQVLSVVPGDSTTDVFEGVKFTWTLAGASRRRRRGSDDSNSDDDDDDSRLKPGSLVLTFDAEHTDLALGKYVPFIMATAEEASRQRRTLRIYMNEEDSLWRSITHRHPATFDTLAMDPELKRSLVADLDRFLKRKDYYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFDLFDLDLAEVCNNAALSKLLMGITSRSILVIEDIDCCNSAASRGDDGTSRAQPSSDKAQQRDNLTLSGLLNFIDGLWSTSGEERVIVFTTNYKDRLDPALLRPGRMDMHIHMGYCCWEAFKTLARNYFLIDDHQLFSEIQKLLSGVEVTPAEVSEMLLRSEDADVALQGFVDFLQDRKKGVKAQCRTMELELEISISEDLLHKKACHCFFLLDATTLITFKHCLLLLPEELNDAQERSIGILTPQQDFRHLSRCCLHLLKQLVYLWEHRVAVDEEVVVSQRLERLPAAVAHVDFPVHAAGSEQRRVETFLVVGGEDDDALLATGGPQPVDEVEQPGQTAASAQQGVSDLVTIADAILIFVLLPGCGAEAAVNVLNNEQPLQGHVGVHVGEVEVVEVEAEVDGDGGDQARLAGAGRAVQEVPTLPRLADPPVVLPALEEAVEVVDDGLLERRVHGERVERGRVAVGDAAPRRALVHILSARSRSLSSSTVAAMNGTYLPSAISVCSASKLSSSDSSTPYLCRPSAATDAQVNSTPSKTSVMESPDSMDSTFLAQQAVLAVEVVVVVPRGPLDDEGAALGGEGLEAGAEEGRRPGRRVAQVVGEELPRHAARQHD >Et_2B_022438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21686071:21687796:-1 gene:Et_2B_022438 transcript:Et_2B_022438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQVSATEVFVPCCDDKFIDYGSEYYAIILDANLYEGNQDILDRSSSKSQCLPLQTLFRLLLCTSLLEKRVFLRLGSSAHLVRAASACKRWRRVVADRDFQARFRSLRAPLVAGHYYHTVDPEQPLGGRPARPRPVVDADHRRRFALDFLPVSAEWEWGIEDSRGGLLLLRSKAWRRWKRPTGFYPDLVVCEPLTRRHQAILLPRCLAGYGHLAFFLVNGDTPGVRGSISMSSFKVLADSRSRGSLLLLLTYEKWTRWNPPTYFFPGLVVCEPLTRRHQLILLPQCLKTRHLGFFLLVRRRHRHVQLQSRGRAVQAQRRAVPVRAALAAGLGGVPCSC >Et_2A_017638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4564828:4568173:1 gene:Et_2A_017638 transcript:Et_2A_017638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFCRLGKSLDGLQLNKDDPAAAATPNEGDEEGGGFYNNNLDHVCQDLLSLPKQAIAEPRHVAS >Et_8A_057531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4007734:4010913:1 gene:Et_8A_057531 transcript:Et_8A_057531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSDPAAAAGHAPAPASASAASSSSGLTFKLHPLVIVNVSDHYTRVKAQAACSGDSSSSSGAATGQPPRVFGCVIGVQRGRTVEIFNSFELVLDPVSGTLDRAFLEKKQELYKKVFPDFYVLGWYSTGSDVQDTDMLIHKALMDVNESPVYLLLNPAINLSQKDLPVTIYESELHVIDGSPQLIFVRSNYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRVRVIQQYLVAMQKGETPVDNSLLRQVSSLVRRLPAMESQKFQDDFLMEYNDTLLMTYLAMFTNCSSTMNELVEKINASYERPATRRGGRGAFM >Et_3B_029002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21221268:21224842:-1 gene:Et_3B_029002 transcript:Et_3B_029002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNNRHWPSMFRSKHAAQPWQPQPDVSGSPPSLVSGGSTTTTGSSIKHSFPGRVVTTTARAPPARLQPVAHALYTPPPLQIHAQQVQLLASPVPVPAPAPTSSSSSSSDRSSGSSRPASAPQETTMSATEAMDLLGPLAAACPQVYSSYHQSQPAAPAPAPKVQDHVVAAADDTIYLPWPQGYCLSAAELAAILGAQYMHVPVQQQHHQPQMQALPAVPFLGLCNEVAGPDEGVAVLCIGDSGTGKSVVREVAARHLDVRAQFGEAAVLFRYVGDSERPVHVPVDAASGCTVEPLQHGVVYWVLV >Et_2B_020936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24965773:24970684:-1 gene:Et_2B_020936 transcript:Et_2B_020936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDVGNDTLVAAAARQRGIDVLLNAESKRESPAAVAFSHNARLLGVHAAGASSSHAPFSSVKRLLLGASRPAQLLRDISRLPFPVSAGDGADEALVHVDHIGRRIALSPTHLLAMLLAYLKQLAEADLEAPVTDCVISVPCYFTQAQRRAYLDAAAVAGLRPLRLMHDLTATALGYGLYRSDLGVAGAPPCVAFVDIGHCDTQVAVVAFDALGMKVLSHGFDADLGGRDFDEVLFEHFAEEFRERYNIDVLGNVKASMRLRAACEKAKKVLSANAEAVVNIECLMEEKDVKGVIRREEFEKLCAGLLERVVEPCKRAVADSGIGLERLHSVELVGSGSRVPAIARVLAGFFRREPSRTLNASECVARGCALQCAMLSPTFRVRDYEVQDAIPASIGFGVNGGPISTLSTNAVFRRGQPIPSVKIITLQKNISFNLDAFYVDENELPPGTSTNIGSFQICPFQAHTENSKVKVKVRLNLHGIISVESAALIDDDQRDANAADYMEVDSSNDMGDKSRSERSIQRQNLPIVEYIYGAMNKQELLEAQEQEQQLAYQDKLMERTKDRKNALESYVYDTRNKLSERYRSFATDSEREGISVNLQQTEEWLYEEGDDETEAVYSSKLEELKKLVDPIESRCKDDEVRPQAVRELLKCIVDQRTAAKSLSAAERDAVDNECTKAEQWLREALQLQESLPKNVDPVIWSHEIRNKEEELNMFCRSITRHTGSPARTDTSRGSDHMPTPDRD >Et_6A_046397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14287128:14287864:1 gene:Et_6A_046397 transcript:Et_6A_046397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAPSRNERDADGLGQGRHLDRRRTVADAGAGTVDMGVDAGCDSGNGGANATAGAGIRPFKLTGIWSMYGLTVILLVLDLRGNYCNKQIKGGGATRFREHLAGIPGNVTECTKVPTDVRQMMKSTRLAGRAKRRANKNRRLRVEDDIA >Et_4A_034177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30537969:30543006:1 gene:Et_4A_034177 transcript:Et_4A_034177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHARLPLLLLPFLVGALLAAAPLPSAAGADAFDVRRHLSTVTRYDAARGSNSAVSSPSMSGGCRVIHLNLVARHGTRAPTKKRIKELDRLAVRLDALINEAKQSPESDSLNKIPSWIKGWESRWKGRTKGGELVSEGEEELYNLGTRVKERFQDLFEEEYHPDVYSIRATQVPRASASAVAFGLGLLSGKGKLGPGKNRAFSVLSESRASDICLRFFDTCETYKAYRKRKEPDVDKQKEPILEHVTAALVSRYHLNFTTQDVSSLWFLCKQEASLLNITNQACGLFNEAEVYFLEWTDDLEGFVLKGYGESINYRMGLPLLKDVVQSMEEAIVAKEENRPDGTYEKARLRFAHAETVVPFSCLLGLFLEGSDFEKIQREEALDVPPVPPHGRNWKGSVVAPFAGNNMLVLYQCPGKTSDDRTTSGGQNNSYFIQVLHNEVPVSMPGCGNKDFCPFEEFKEKIVKPHLKHDYNMICKIKPPVAKEEPASFSSMVSKYLSGLFSGYRVVSAEEIESEL >Et_6A_046669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19121125:19122725:-1 gene:Et_6A_046669 transcript:Et_6A_046669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWNTLRMLRKVQNGGLEKTSWGFNKGTPNALQKVYKKYASIVISDAVAQGTKIMGIFSRFQSGNFNITRYYTREDLNVITQNYSHSLGCRPDAVNKGTFFHKGTLKDNTLVAVNKICDVPVSLWNNCIIAERILNKIVHSNIIKLIGYGIDGGLIFLYEYAAKGSLSEILHSEKDFPLELRIMIAIKTAEAIAYLHSSEFGVIQHGNIKPSKILINDSFMPKISGVSISRNLTMDGDEGSVAGKSKLLYLDPGFRESGHLTVESDAYSFGIVLLELICRKKPISNSGPLLRKYIEAYKTDKGGKSMFDKDISVDEDICILEEIGKLALMCVSLNVNERRTMKEVAEHLRMLREEV >Et_4B_038352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28376220:28378881:-1 gene:Et_4B_038352 transcript:Et_4B_038352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPALFLLAVAMMAAPAAARIPGVYTGGAWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNNAALSTALFNEGQSCGACFEIKVPCRKSGGVRFTINGFRYFNLVLITNVAGAGDIVRASVKASSTGWMPMSRNWGQNWQSNSILVGQALSFRVTGSDRRTSTSWNAAPRNWQFGQTFEGKNFRV >Et_2A_017571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3773336:3788368:1 gene:Et_2A_017571 transcript:Et_2A_017571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLFYKTQQKPAETITSKPTADRGTTTTCTLHEAVKHTSTGAQRKTAVLKSGPFDAPMATVELLTTELVVPAEETPSGAVWLSNLDLAARRGYIPTVYFYRSNGNPDFFEAEAVKDSLARALVSFYPLAGRLGLDDTGRVQVDCTAEGAVFVTARSDYVLDDLLNEFVPCNEMRDLFVPATPAPNPPCALTFVQVTYLRCGGIVLGLALHHSVVEARSAAHFTETWASIARGSTGDAPLPPCFDHRLLAARPTRTVLYDHPEYKAEAEPVHPVTAANYASAIITLTKAQVTSLKARCAGASTFRAVVIANPVGYEARRALAATSQGDDYARSLVDYLEGVDAMNLPRSGISRAHLRAISWMGMSLHDSDFGWGAPVFMGPALMYYSGFVYVMQAPGKEGAVSLALSLEPESMPEFRKVFTDEMARLELGETQTHSAKPHTAQEPTGDVQMATVERLTSELVVPAEETPAGAVWLSNLDLAARRGYTPTVYFYRTNGKPDFFETEAVKDSLARALVSFYPLAGRLGLDDTGRVQIDCTAEGAVFVTARSDYVLDDLLNEFVPCNEMRDLFVPATPAPNPPCELLFVQVTHLQCGGVVLGLALHHSVVDARSAAHFTETWASIARGSTGDAPLPPCFDHRLLAARPTRTVLYDHPEYKAEAEPVHPVTAANYASTIITLTKAQVTALKARCAGASTFRAVVALVWQCACRARSLPPDAETRLYSMIDMRARLAPPLPPGYFGNAVIRTSALATVGEVVANPVGYAARRALAATSQGDDYARSLVDYLEGVDAMNLPRSGISRAHLRAISWMGMSLHDSDFGWGAPVFMGPALMYYSGFVYVMQAPAKEGAVALALSLEPESMPEFRKVFADEMARLEL >Et_4A_032362.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31810930:31811046:1 gene:Et_4A_032362 transcript:Et_4A_032362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSRGLATSSAMTRSLLVSASGSGRLIRMHSPRRES >Et_9A_062564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24019374:24020839:-1 gene:Et_9A_062564 transcript:Et_9A_062564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAECANVARAKKEADLFLVDDLLDLPCDDEEKEEVLVEGEDTKVGAVGGGEEGAAGNASNDSSTVTALDSCSNSLSGLADGDFSGGLCEPYDQLAELEWLSNYMGEDNFPTEDLRKLHLITGVPPAAAAAATASAPAPAVAPAQPAGGGVLPPEAPVPGKARSKRSRVAPCSWSSRLLVLPPPPASPPSPASAAISPSESGTGAAPAFPAKKPAKCSKKKEAPAAPPSPAAAAAGAGEGRRCLHCETDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSKHSNSHRKVLELRRQKETHGQPHHPHQPPMMAHVGAAAAAGGGGLVHVPSPLLFDGPAAPLLGDDFLIHNRIGPDFRQLI >Et_5B_043738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1570619:1571774:1 gene:Et_5B_043738 transcript:Et_5B_043738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVAVLSGTEGVKGTIFFSQEADGPTTVTGSISGLKPGLHGFHVHALGDTTNGCMSTGPHFNPTGKEHGAPEDENRHAGDLGNVTAGQDGVANVNITDKQIPLTGPHSIIGRAVVVHADPDDLGRANALMHCSHLNCTFGGHELSKSTGNAGGRVACGIIGFQG >Et_4A_032079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15885743:15887291:1 gene:Et_4A_032079 transcript:Et_4A_032079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRKQGFFSALKGEVVRGLSPARSRGKSPARMLLPRSRRTAAAEAVQQPTPEQVDHLRPGGQFLAPLMEGPDMAEGEIASEDCSGRRDGFGQWVRGHLSRNPSVAGGGGGDGGSCRRSDLRLLLGVMGAPLAPVPASAGEPLPHLSVKSTPIESSSAQYILQQYVAASGGAKLLRSVRNAYAMGKVRMVASEFETATRVVAAKTRGSGSAVEQGGFVLWQMSPDMWYVELAVGGGKVRAGCDGRLVWRHTPWLGAHAAKGPVRPLRRALQGLDPLATARLFAGARCVGEKTVAGEDCFILKLSAGAETLRQRSEGPAEIVRHVLFGYFSQRTGLLAHLEDSHLTRIQPHAGAGGDAVYWETTISSFLEDYRAVDGVAVAHAGRSAVTLFRFGETAMSHTKTRMEEAWTIDEAAFNVPGLSTDCFIPPADIRRSSSVVAEPCELPQQRAKAGAVHPARVAAMEDKIHWRMQV >Et_3B_029192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22886885:22887206:-1 gene:Et_3B_029192 transcript:Et_3B_029192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHMDNGHTIYLNHGGGDVVVSYAGVPLAQGRTPSFQLAPEEVAALPVKVTGAGVGVPGGSERKRGVAQFRIEFDLAWDSFTCDVDLDGQPRVSECYKPTS >Et_9B_065030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20649428:20656444:-1 gene:Et_9B_065030 transcript:Et_9B_065030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSETFRRSGSSGLVWDDKNFSGEIKPADRGADAAVERSRSTGHGHGGYRAAGRVPPAIDPPSPRVAHTVVGARMGQAVALRLALAAVTAALLLLCGGAEARVLLTLDDFGAVGDGIANDTQAFVDAWAAACGSGEQAVLAVPAGKAYRIWPVQLFGPCRKKLKLLISGAIVSPGSPDAWAGRDPMKWLYIYGVDGLSLSGGGTIDGMGQEWWATSCKRKKTQPCYSGPRPKGLHFEECRRVSVQGLTLQNGPQFHLIFTRCTDVKANFLRVIAPEDSPNTDGIHLNGSSRVQIMDNLIMTGDDCVSMVGNCSDVRVKDISCGPGHGISIGSLGKNRTADMVEKVKVDTCLLTNTTNGVRIKSWQGGMGFARDLRFESIVMKNVSNPIIIDQYYCDQPTPCANQTQAVEVRKVEFVDIRGTSATKQAINIACSDTVPCRQLELKNVNLTLVGGGQTSAFCYRASGKSAGALLLVRPLRSAMAPGGVLLFLLAGVVAALLLPGAVESRILLTLDDFGAVGDGIADDTKAFAAAWNATCTAADNVILNVPAAKSFQIWPLTLSGPCSNEIKLLMLPFLIAWPSPDRLMRVASHFRQISGNIVAPENPADWGNGDQSQWLHFHMVKDLKVTGGGIIDGRGQQWIAPSPLPR >Et_8A_056624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13847308:13847714:-1 gene:Et_8A_056624 transcript:Et_8A_056624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYQILILTLALAVLSSNEVAVASVESTIETSSPKCQSEILQLGDNCYPRVCMKKCNAMGGVKGTCIPGPGCNCLFCGPHGAPPPQQIE >Et_6B_048692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12664456:12670641:-1 gene:Et_6B_048692 transcript:Et_6B_048692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTPPKPPTVEARLVDLCKELGVGEGVAGEAAVLLEDGKGALLALPSFASKSQDDAVRLFLAFVLYCAEKLKGRKEGSGVKLCEILKGCKLKYDDFFKEAQQLGLKTEKLLESRYGRDWEGRLEIKQLESLVNLLTDASRFYRKAYNELFSSATTNQDSRSTATTPDYILFGWLLFLMLRSRSPELFKDLVSCIHGLVAVLAILLIHVPAKFRSFTIEGSSHLIKQTEKGVDLIASLCHNYHTSEDRLGEMMSKSHKAIEDLFGIKALNGLMYFRGLLDKGCFDSNLKKLELLCNSNTWEQELDLKLFLTNNDSSAENTSVGSTGLGCSKRVFETLASPTKTIKSMLTVPSSPSSPGNVGSVRIAQMTPVTSAMTTAKWLREVISSLPQKPSSKLQQFLSSCDRDLTNDVTKRVNIVLEAIFPTKSSADWGGSIGLNCANAFDISWAEARKMEASKLYYRVLEAICRAEAQNNSVQNLTPLLSNERFHRCLIACSAELVLATHKTVMMMFPAVLESTGLTAFDLSKIIENFVRHEETLPRELKRHLNSLEEQLLESMAWEKGSSLYNSLVVARPSLASEINRLGLLAEPMPSLDDLVARQNIHVETLPATPSKKRAADPVGNMEPQSPKRLCNESRNTIVERNVQTPPPKQSHMVSSNLEAKCQPLQSTFASPTVSNRGTEKCADSTVQIFFTKILKLAAIRIRNLCERLQHVEETEHVYNVFKQILDQRTSLFFNRHIDQLILCCLYGFAKVTQLPLSFREILHNYKKEPQCKPEVFLSVYVGTRNRNGSLGSRHIDIITFYNEVFVPAAKPFLVVLAPSGTRTEDKKNANSQIPGSPKPSPFSNLPDMSPKKVSSSHNIYVSPLRQTKMDALLSPSSRSFYACIGEGTHAYQSPSKDLAAINSRLNYNSSSRKINFDVVSDSVVAGSLGQRNGGSASFDPAAAFSPLSKKRKTDP >Et_2A_015634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16243077:16244317:-1 gene:Et_2A_015634 transcript:Et_2A_015634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGPPKIKQFVSRLAQNSLPLRDGMKTTNEVIHRASSLATEVLTMKHTKEKCPRRKENTKERRVWGFVVRDNEGKAILVGGGNLSAVHDAACAEPQAWVAALQVMSNQGISRVQLETDSSNLVKALQSCDFYHSPGSVLYKEARDLIRLYFDLVEVMYAPRSCNSCAHELARSSLSWDLEQSFVWLHPLPSFVSDLVVRNIAEP >Et_1B_012937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4203621:4208379:1 gene:Et_1B_012937 transcript:Et_1B_012937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQTYKLPTDDIFQASLADSFLADLDDLSDNEDYPEAECAEAENMEVDGNSSISEVKSLSCDDLDSFSELHKTQRYNDILQKVEDALETGKSDSSQGSIPEDTEYQLIADCNALSVDIDNEITIIHNFIRDKYKQKHPVLDSRVHHPIDYARVVQKIGNEMDLTLIDFKGILPSADIMWITMAESTTSGEPLSEENLAITIAACDRALNLDATKKKILDFLERRMIHIAPNLAAIVGSAVASKLLGTAGGLEALAKMPACNVLLLGAKKKNLSGFSTATAQFRVGFLQQTEAYQSTPPSLRPQANSVPKKKRGGRRLWKMKERYAQTNMMKLVNRMQFGVPEESSLGYGLGKGYGLLGQAGSGRLRVSTVESKPISKISKRLKEKNCGSGRSIAGLTSSLAFTPVQGMELSSPFTNGNIPGRGTGSTYFSDTGTFAKISKI >Et_7A_050792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11083915:11084525:1 gene:Et_7A_050792 transcript:Et_7A_050792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHRRKFARDESSFMNDESTIGKGTPYIDSPRPIGYNATMSAPHIHATYMPERTQKERR >Et_6A_046250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11193726:11195173:1 gene:Et_6A_046250 transcript:Et_6A_046250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVANVTVEEVRKAQRAEGPATVLAIGTATPTNCVYQAEYPDYYFRITKSEHLTKLKEKFKRMCENSMIRKRYMHLTEEILQENPNMCAYMAPSLDARHDIVVVEVPKLGKAAAQKALKEWGQPRSRITHLLFCTTSGVDMPGADYQLTKLLGLCPSVNRIMMYQQGCFAGGTVLRIAKDLAENNRGARVLVVCSEITAVTFRGPSETHLDSLVGQALFADGAAAVIVGADPNEGVEQPLFQLVSASQTILPESEGAIDGHLREAGLTFHLLKDVPALISKNIEHVLEAAFSPLGISDWNSIFWVAHPGGPAILDQVESKVGLDIARLRATRHVLAEYGNMSSACVLFILDEMRRSSIKDGQATTGEGLDWGVLFGFGPGLTVETVVLHSVPVTIGATA >Et_8B_058749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20344539:20345432:1 gene:Et_8B_058749 transcript:Et_8B_058749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAEGSDSKSPSEVALSSERHSDLVSKLPRRKFLSQDLVLYKNYWFYPRYLESTMLLQDSFRARSDDTILATNPKDGNHPLLTHHPQQLVPFIEFPLNTNITSVEALPSPRLLATHVPLSLLPESICSKGCRIVYICRDPKDALFGHVVDLDEAFNMFCEGSWLYGPFWNHCLEYWKESIAQPNMVLFLKYEEVMSDPVKSIKRLATFLGSHSTVKKRIVGFPRRWRSFAASRCLVDCRLTKEENLVEIKMGTWSMRKQHTLGVER >Et_6A_047561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7336019:7338234:-1 gene:Et_6A_047561 transcript:Et_6A_047561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPSKILGTRLNFAGSSRYATVAPTAGAQKIVSLFGKKPPAPQKPKPAAATSSGPDISDELAKWYGPDRRIYLPEGLLDRSEVPEYLNGEVPGDYGYDPFGLGKKPEDFAKYQAYELIHARWAMLGAAGAIIPEACNKFGANCGPEAVWFKTGALLLDGNTLSYFGNSIPINLVVAVVAEVVLVGGAEYYRIINGLELEDKLHPGGPFDPLGLASDPDQAALLKVKEIKNGRLAMFSMLAFFIQAYVTGEGPVENLAKHLSDPFGNNLLTVISGAAERTPSLAFGICSATNSRGQG >Et_8B_058599.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5751881:5753874:1 gene:Et_8B_058599 transcript:Et_8B_058599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METATSHDGGGGRLRLGAPDNVLERRPELLAAERAVLERVAGVLLDVDHEHGRRDAEDVRGHREAALGPAALVRALEPHAAGAALGHPHPPAAAGRHLLEAGDDAAGRLPRREPHAALPRASRGVPLEQRREVEPRGEGDQVERVERLRLVLVAAVLGRLGRLLGRRDGPRDGRLAEPRRLDELGDGDAGVGVGVEQPGDEPARVRGQPRRAPVVAAAHLPEHGRDVVVRERERAGEEHVEDDAARPDVGLCSVVPLVPEHLRRGVPGRAAERVEEAVAAGVVGERAEAKVDHLEVARLVDEEVLGLEVAVEHAPRVAEVDGGDELAEVAPRHVLPDAAGAHDPGEELPAADELEREVDLGPRGHHLVELDDVGVGDPLHDGDLALDLLHHPGAHHLVLGQHLHRHAAARPQVPRRVDAAEVAVAQHATQLVPPLQHTLLARLRIASARSIAASLHVFDLDSQPKTTELSRKGIPLENYANTMATRRIDLPVLDVLAVAGAAAAQLTLAGDVSA >Et_4B_037332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18530253:18533988:-1 gene:Et_4B_037332 transcript:Et_4B_037332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHAAAGEPAVSSPTASNAESRALLRRSSFISAISDDDEALKERAFEPSEKVIVSVTGDPDADYERFFASGGGAPPFSWRKLWLFTGPGFLMSIAFVDPGNIEGDLQAGATAGGSLLWLLFWSTAMGLLVQLLAARVGVATGRHLAELCRDEYPDWARRALWLMAEVSLVSADIQEVIGSAIAIKILSNGFLPLWTGVIITALDCFIFLSLENYGVRKLEAVFAVLVTTMAFSFAWMFAETKPSGKDLIIGILIPKLSSRTIKQAVGLVGSVITPHNVFLHSALVLSRKIDTEKEYEVREALRYYSIESTMALIVPFMINLSVTTIFAKGFYGTKEGGNIGLENAGKYLQEKFGGGYFPILYIWGVGLLAAGTSSTITGTYAGQFIMGGFLNWRLKKWIRALITRSFAIVPTIIVSLYFNASDSALDVLNEWLNVVQSVQIPFSLIPLIAMVSNEQVMGMYKIGPRMKIATWIVASLPMIINSYMLLDFLSSETDGVLANSVLCMAVIAYALFILYLILRGTEFPNSLATTVLKKSFTTQKA >Et_7B_055385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9787478:9791370:-1 gene:Et_7B_055385 transcript:Et_7B_055385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAAATSGDALPPSPRELYTIPASSGWFRWDAIHETERQAMPEFFGGAGGAGFGTATRNPRIYREYRDFIIGKYREDPARRLTFTEVRRALVGDVTLLRKLFAFLDESGLINFSATSSRPGGQQEAGVVVEAPVGLQVTPRPPVSYFAEEKKGGGGESGFRLPPLTSYNDVFGEWVPGKAPICGFCGEDCKDGEFETLEDGFKVCLKCSKTNNDNKEDVTECPSDKKGGVDSHASIGWTDAETLLLLEGVLKHGDDWDLIAQHVRTKNKSECIARLIQLPFGEHMLGTISGKSVNRLHVNQTTDGKLNQQVVKESSSQSTEMVDGMQIDVKEDSADKSADEHPTKRRRLFSSVDAATSLMEQLALLTTATSPDVLAAAADAAIKALGNENPQARKAFRLNKTRDKKFIATAYQVRAAVATAIGVAAARAKMLADQEEREMELLMASIIETHLRKIQYKIKHFEELDSIMDQEYTTIQEIKGSLINEWLKVLGQAFQAGVSLPRDEVLMKLFLNKPTT >Et_1A_005631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12153454:12154866:-1 gene:Et_1A_005631 transcript:Et_1A_005631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PKERVTNEACLAGFTKRLQQLAFVVSLLALAYRQLLQAPPPKIPGTPSGPPVTSPRITLKDGRHLAYYESGVPKDQAKYKVIFVHGFDSCRYDVLRVSPELAQELGIYLLSFDRPGYGESDPHPGRTVDSIAFDIEELADGLELGDKFYLTGFSMGGEIMWSCLKNIPHRLSGVAILGPVGNYWWSGFPANVSQAAWNVQLPQDQWAVRVAHYAPWLTYWWNTQKFFPASSVISFNPAIFSREDMAAIPKLADRPYATLPWVKYHELPTAGHLFPVYDGMADVILKSLLLGD >Et_3A_025432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29932593:29936437:1 gene:Et_3A_025432 transcript:Et_3A_025432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METVAAASYAGRAGATRSPAYCAAMSFSQSYRPKASRPPTTFYGESVRVNTARPIAGRQTKAASRAALSARAEIGDSLEEFLTKATPDKNLIRLLTCMGEAMRTIAFKVRTASCGGTACVNSFGDEQLAVDMLANKLLFDALEYSHVCKYACSEEVPELQDMGGPVDGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGGDQVAAAMGIYGPRTTYVIALKDCPGTHEFLLLDEGKWQHVKDTTSIGEGKMFSPGNLRATFDNPEYDKLINYYVREKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPTAKAKLRLLFEVAPLGLLIEKAGGFSSDGKQSVLDRVINELDERTQVAYGSKNEIIRFEETLYGSSRLTAGATVGAAA >Et_7B_055943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7903078:7909344:1 gene:Et_7B_055943 transcript:Et_7B_055943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPSATILQLYHSGRLSAALRAFESLPASPAVAPLSAAAYAALVTACSRLRSLPHGRLVHRHLLATEDVRLTFNTVLSNHLITMYGRCAAPDSARFVFDGMPHRNPVSWAAVIAAHAQNSRCANALGLFSSMLRSGTAPDQFALGSAVRACAELGDVSLGRQVHAQVLKSEMGSDLIVQNALVTMYSKGGSIEDGFTLFERIRDKDLISWGSIITGLAQQGSEMEALQIFREMISEGTHQQPNEFHFGSVFRACGVIGNLQHGEQIHGLSVKYRLDRNSYAGCSLSDMYARCNKLDSARKVFYRIEAPDLVAWNSLINAYSVEGLLSEAMVLFSEMRDSGLKPDGITVRGLLCACVGSDALHHGRAIHSYLVKLGLDGDVSVCNSLLSMYARCLDFLSAMDVFDQTNNRDVVTWNSILTACVQHQHLEEVFKLFSVLHRSVSSLDRISLNNVLSASADLGYFEMVKQVHACALKVGLVGDTMLSNSLIDTYAKCGSLDDAKKLFERMGTGCDVFSWSSLIVGYAQSGYAKEALDLFSRMRSLGIKPNHVTFVGVLIACSRVGFVDEGCYYYSIMEPEYGIAPTREHCSCVIDLLARAGRLSEAAKFVDQMPFEPDIVMWKTLLAASKTHNDAEMGKRAAEGLEQNQTCETGRASFVDFFLLSFTEKKIVSSSTVKGNYTQLKVYLVESNGIKS >Et_1A_007935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39616879:39621913:1 gene:Et_1A_007935 transcript:Et_1A_007935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISGAAKASAAFAHKKELSAAAPTPTQHRAGASCRTKPCRVRAVASPARAPRAPASTGSVKSAMTMTEKILARASERASLEPGENVWVDVDVLMTHDVCGPGTIGIFKKEFGEDAKVWDREKVVIIPDHYIFTADERANRNVDILRDFCTEQKIKYFYDIKDLSDFKVNPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCNAGAFGQFATGIGNTDAGFVMGTGKALLKVPPTIRFILDGEMPPYLLAKDLILQIIGEISVSGATYKSMEFGGSTIESLNMEERMTLCNMVIEAGGKNGVVPADETTFKYLEGKTSVEYEPVYSDAQARFVSDYRFDVSKLEPVVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFLAAAKVFLASGKKVKVPTFLVPATQKVWMDVYSLPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPRDTYARMNEPIVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYVTDPRDFLISIKRVIVHIAGDVQWPGATIIQASASPDSSNGGRPLAVAVTS >Et_2A_018436.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28047436:28048863:-1 gene:Et_2A_018436 transcript:Et_2A_018436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDASKSSHKRKAAVACDAFPHGSSSKRRPAAAAEEEQRDWTSLHQDVTKLIADRLLAEDVTEYIVFRAVCAHWRASAPSPRDPTLADRKFHPRGWVALCDGTGVRPVDAEAIAFLHASTGRVRRVRLWEELQGQRVVGFTDGLVLLLDAGSAVVRVLHPFTRVIVQLPSLAGFFHNALSKQAWFKMEYFVSLSAAVVCVSTSSIAVVVWFPDMPVVICAEPSSEGWAVLHTNIQFTNTLPFDGRLYGVTRVGRQLVQVYPVHTHVDAVVAEVPKDLGHPMSCRYYLVESMGAMLVAVLHSVPGKPPGAFTLFKVDLRGHKLTRVPNLGDQALFLADDRCLSVSAKDLPSISSNSIYFATPKSHKPTVHSLDDGSFESFIPTICILERDKPVTRWRHVRPFTLAEQLLTYCHHREWTRGLMFPVPGFSDRLKKWFDAQDTEVVVPRLREPAGQLDKVVIRDLSYASALARITGTN >Et_3A_024053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16790642:16796474:-1 gene:Et_3A_024053 transcript:Et_3A_024053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEVPPEESNKCVRGCCRSAAIPLHLPPASYSLLSPIARGSESTVYEARLGEGRAAAKKPVLSTADDLDKFHYQLQLLCELDHPGLAKLIAAHAKPPNYLLFFDFFDPPNLADKIHVEEWSPSVQQVVSIASDLAKALQYLHVLGIVHRDIKPANILLDKDLHPHLADFGLAMFQKDIKRISVENWKSSGKPTGGFHKKNMVGTLIYMAPEILTKDIHTEKSDVYSFAISINELLTGVVPYTDLRAEAQAHTVLEMTYTEQQLTAAVVSQGLRPALALPESGAPPNLLTLIQRCWDPHPEQRPSFEDVIEELNIIQKHLDAIPCIPSTPCASNSQNGSIGVHHYQEALNWFNQGEQLVKGSNKSDRTKKLWSGSFDHSAEYHPTLSWGSFATCGRRETMEDTHFMLPHMSEEKDVFAFGIFDGHRGSAAAEFSVRAVPGFLKQFCQDTSPTNALQEAFVRTDRSFREELIIHQKSKRIIKKEWHPGCTAVTTLIVRNKLFVANAGDCRAILSRAGKPFPMTRDHVASCPKERERVVKAGTEVKWQIDTWRVGAAALQVTRSIGDADLKPAVTAQPEIIETALSVDDEFLVMASDGLWDVVSNEDVLSIIKDTVKEPGMCSKRLATEAAERGSKDNITVIVVFLRPVSTAERIY >Et_3A_026574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9867181:9870067:1 gene:Et_3A_026574 transcript:Et_3A_026574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGKDYLPAIAMVMVQLGFAGMNVVSKLALDAGMSPYVLTSYRNLIAAVFIAPLALAYERRSGVNMINKKVLLQIFLSSIFGATLNQVLYFVGLKTTTPTVACALSNTLPAMTFIMAAALKMEAWRPNTPAGQAKVVGTVVCVGGSMIMPFYKGPLLRIWASPIHWRFGEHTSAAPAAAADGHAAVLGDVLIIGSCVAWAVWFIIQSKMSSEGFSAPYTSTTIMCLMAGVQCGGISAAMDRSVAAWKLGFDIRLYSVLYIVSDSPSISSSLSSLNARTINNNPERNDPVFDRFLHTRMMDGWMQGIVGSGIAFALMSWCIQVRGPLYVSMFSPLLLVVVAIVGWGILGEKIHVGSAIGSALIVAGLYMVLWGKGREEMDGRTFDDDEEAAVGAGLDGKQGTTAINLPVFGPATTTTPPKHDATRNGNY >Et_7A_050586.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2854593:2854724:-1 gene:Et_7A_050586 transcript:Et_7A_050586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPTQMFVLPDVAEMIESTGEAFGKHYSYLEPLSLTDDGCDP >Et_9A_062925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6911458:6913414:-1 gene:Et_9A_062925 transcript:Et_9A_062925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IYTSVEDVYILSHLCYNGSLWLDWLLKALPFLHQEHLVETRWGSISVAVYGDEDKPALVTYPDVALNYMSCFQGLFFCPEAASLLLHNFCIYHITPQGHELGAAPIPSDVPLPSVDDLADQVADVLDFFGLGSVMCLGVTAGAYVLTLFATKYRERVAGLMLVSPLCKTPSWSEWLYNKVLLNLLYYYGPQSLVKETLLQRYFSKKVRGDAQYPESDIVQACRSLLDEKQGRNIWRFLHSINKRHDLTDSLRKLQCRTLIFVGENSEFHADAIHMTTKLDRKYCALVEVQDCGSLITEQQPHTMLMPMEYFLMGYGLYRPYQFSSSPRSPLSPCCISPELLSPESMGVKLKPIKTRVAIDS >Et_9B_065605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7808149:7808685:-1 gene:Et_9B_065605 transcript:Et_9B_065605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKVKVQAQKLHKWKVQVRCTFEGALLINATCQCRMIESEAIAWTNKQKRHFRQNSTPTRRCDRNRLTLRNKGNCALFKASKSAAETERVMQFFDDILSNKKIENNQSAHADILWSLANSLF >Et_10B_003848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6838927:6848646:1 gene:Et_10B_003848 transcript:Et_10B_003848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGIIRIMADSKKASDDSAWDDVMVINGYAVFMGYLLMLVKGLSVMIVTWSGAVLLGGFVGSLQPKDFLSLTLITLIQAARVFDFLLFETVYDIVNIGRGLLHAIARISLGQSDEKNIQRDEKKKKPTVSRLALSYGLSVQTLVFALLSCPLAVFYMLGPHISTGISVWRLIEHDYYDKAKQEKGDQNKRLALEVLYSLALAQGIVFGFWVLYALAPTKKIAKEVADAYGLSDNIVLKYMEKTVRACEKEPAFAKDWNLVRYAVHLMMEAKSHDDHTTGVIILGTLIKQKVRRQQVLAKQMLTESASFGHIVRKLLMTIGPMSPHSTEIKIHAARILALVGGSISLWQFPGGIQCISCLLDTFEEYKWRLEGYEQEGDPIKDCQRHWLLEEDESTGATHGYGKESDLLRSYKMLVSQGMRILQNLALDEDNCRVISNKKGLLSKIIMTPLITDQLRMDQNHNDDWCSMAKASLELMSRLLAAPGRTGTKLRREISNNREAIIRSTEIILECNECTVLLKTQAVVILLDLHVDTSSIVASGSRKTTFIWILLYISLLTDKCFGRMCGSILWEKKSSKVRKFAGEKLQDVLSLQNERNSPVVLQSVGAVIGDIGRAIVDGDNSAYRVHAAQILVDLCCHYKQDRYCEEVKKVSLDIMPKVIGQIIDCGSTREEIQAVTGTSNFQLRARSTDLEKGGTSQDNGQENTSSDQQKCLQNGDNELREALVSLCWCWWVFAEPDLVEQFKKMASESFITI >Et_9B_064254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13345248:13345656:1 gene:Et_9B_064254 transcript:Et_9B_064254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLEKLLFKKNRVDLASQKRRIRLILLTVFILRLRRHGAPRAKPKTTTAHCTHPDPFLDSTSEFVRNRRHFFHWYTDMHAPRGAVEHSRGVACRRSLHSAARRRRAPLPRLVHVVKQQTSKC >Et_10B_003473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2012674:2018599:1 gene:Et_10B_003473 transcript:Et_10B_003473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVALIICMQASHHRCHRQPVLPDDVLEDIFLRLDLAADLARVHAACSNFRRVVSGGRFLRRFRSVHTPPVLGFLDIIGRNNAHSNGVLQPAQPPHHSAKGTRALEQAADFTFSFVPDHGASWRVRDARDGRVLLSRRTDMGFTAEDLVVGDPVHRRYVRITAVPGDLAASTGHRATDDFVLFLDPAGDEEEESSVRVICVVQSKSKVGTFIYTSLTGTWQYAASFSAATYEWLKLPKLMRRHYAHRCFYWADFYWKHMLVLDAQQMKLSVIHLPPEIKGEYKTIVEIGEARLGLIVLVDRVLHVYCKTLEDDGVGANDWWHVREISLPDFHWSITAGAKGYILLRGILRDYSQFWSFLENKPDAYYFTLDLKTFAIERLCMLNFDTLPDCLYTSFPPPFQTEPYPCRRRTGRGMAPPAAEPSLPDEILEDIFLRLDEAADLARASAACTSFRRLITARRFLRRFRSLHRPPILGCLTSEYPLFHAVDPPHRSAAASAALAQAADFTFSFINPRNNWHVRDVRDSRVLLSTRYDPPDSFEYLVVCDPLHRRYATIPAIPPTCGPLRESDAFARKNSSPSWMREKEGEEEEDLPFRVICNVMYKSKFVTLVFSSVIGKWQAAATFDYEYAASFVRHYALNCFYWTALSNVLVLDPSEMKLCVVNLPPSFHRGWSPLQYDRAIVDVGDDRLGVLTFGSEKLSLELFSMTLRNGGVGTEDWQHDKTIPLPNCHWSMCDQDDGCLLLHGISKHDRERQYFLVDLKTMLVERICVFDPIIDPAFAYASFLPPLSLPSI >Et_6A_047164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26111746:26116954:-1 gene:Et_6A_047164 transcript:Et_6A_047164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLLAVLLAGAAALATVASARAPFACAPGGPATSLPFCRQSLPPRARAKDFVSRLTRAEKVRLLVNNAAGVPRLGVAGYEWWSEALHGVSDTGPGVRFGGAFPGATAFPQVIGAAASFNATLWELVGRAVSDEARAMYNGGQAGLTFWSPNVNIFRDPRWGRGQETPGEDPAVAARYAAAYIRGLQQPYGPSNSPSLKLAACCKHFTAYDLDSWGGTDRFHFNAVVTPQDLEDTFNVPFRACVSRGGAAAVMCSYNQVNGVPTCADEAFLKGTIRRRWGLDGYIVSDCDSVGVFYNDQHYVRTVEDAVAATVKAGLDLDCGPFLAQYTESAVAKGKVGDADVDAALVNTVAVQVRLGMFDGDPAKGPFGRLGPSDVCTPAHRGLALEAARQSVVLLKNDQVRGKRGVLPLAGKARRGTVAVVGPHAEATVAMVGNYAGKACSYATPLQGISTYARTLHQAGCTDVACQGSGQPIAAAVDAARKADAVVVVAGLDQREEAEMTDRTSLLLPGRQAELISAVAKAAQGPVILVILSGGPVDVAFAQNDRRIAGILWAGYPGQAGGQAIADVIFGHHNPGGKLPVTWYPQDYLHKAPMTNMAMRANPARGYPGRTYRFYTGPTIYPFGHGLSYTRFAHSLAHAPAELTVQLSGHHRTTSTTASLLNATRSAHAAAVRVEHARCEGLAVPVHVDVRNVGDCDGAHTVMVYHAAPASEEGAPVRQLVAFEKVHVPAGGVARVQMSLDVCEELSVAGKDGVRRIHAGEHSLMIGELTHSVTLGVEQLGV >Et_2B_022256.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15551713:15552562:-1 gene:Et_2B_022256 transcript:Et_2B_022256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMAAISSPAMAGTPIKVGAFGEARITMRKTAAKPKVAASGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWAVQVVLMGAVEGYRVAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWSYATNFVPGN >Et_4A_034165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30462109:30462531:1 gene:Et_4A_034165 transcript:Et_4A_034165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNQLALRPITPNKDGSFGGKSAVARERSSLANASFRVYYSLRAGAVPFLWEAAPGTPKSGAMACAAAELLPPISPPPSYLLSELKARRRRRCRARSSWPAAAAGGVLRALLGVLGLRKRSHGRPSPSS >Et_2A_017196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31898109:31899088:-1 gene:Et_2A_017196 transcript:Et_2A_017196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQALLSGRQLLGRPVQSAVSRSSSSRKAPFIVRASSSPPAKQSDRQLWFASKQSLSYLDGTLPGDFGFDPLGLSDPEGTGGFIEPKWLAYGEVINGRFAMLGAAGAIAPEVFGKLGLIPAETALPWFKTGVIPPAGTYNYWADPYTLFVFEMALMGFAEHRRLQDWYNPGSMGKQYFLGLEKFLGGSGDPAYPGGPIFNPLGFGKDEKSLKELKLKEIKNGRLAMLAILGYFIQGLVTGEGPYQNLLDHLADPVNNNVLTSLKFH >Et_9B_066276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9688875:9689976:1 gene:Et_9B_066276 transcript:Et_9B_066276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCWPRNHGAQSVVLSGCHQDDEDHGDGGRDLSGNKRTNYKDKPSDQKFMKLNAALRLSEHGYRPRPKCKSSWLQLI >Et_9A_061545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13471260:13476065:1 gene:Et_9A_061545 transcript:Et_9A_061545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPASPPAEIPGAAAVAACAAMAVSYVTVLYAPTALLRMPPPTSLRSFLHRRFACAAVASVASALATAALLRVWSLREVSDMLAVFGIRKDHLLEAVMIPLLLTSLVYSGSFVAKLCLLSSSCGGDGDQVGICFWQRILHRIEAAVGDVMVWRNFVVAPVTEELVFRACMIPLLLCGGFKMYNIIFLSPVFFSLGVQLGYTVIFGWYAAFLFLRTGNLLSPIIAHIFCNMMGLPVFSSLRTRGLASVAFLVGSISFFWLLFSATSPELYNSSGKYFPLSYAEL >Et_2A_018652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4199990:4201016:1 gene:Et_2A_018652 transcript:Et_2A_018652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADLEERADRVVKNEMFAKAIIKRSDKCPCFDHSGKVRAQNVRQAANRKGLSDNYLYCQVATGIKEDDLAHFQIHWEKGEPEEFRVHADREVPTMDDKIDYEDPSGK >Et_7A_050567.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:25142748:25142852:-1 gene:Et_7A_050567 transcript:Et_7A_050567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIANRFWSQIFGVAFSNKCWLHFFMLFVPVTGL >Et_3A_025633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31426889:31429476:1 gene:Et_3A_025633 transcript:Et_3A_025633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIVCLLLISAGSLSFCLGSVSDMPCLRTFYQSLIDPNNILKSSWDFDNGYICEFMGVVCWHPDEDRVMSLHLGNLGLQGQFPKGLQHCSSLTWLDLSNNNFSGPVSSDIARQVPYLAYLNLSNNRFSGEIPVAISAMTYLKVLDLQNNQLRGQIPGQLGVLARLTSFQDLFRGHYRSFRLQTLQATKGFADYLWMIASVTGIGEWQQFGFRESTVSPALAQLSGLLPDWLWPSIALPAALLQRIGSMLATFGHDGFARNFLSPTSEVELERWRVFDAPDSHCLRTAKV >Et_7B_053958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13761267:13762774:1 gene:Et_7B_053958 transcript:Et_7B_053958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMAVHPATTPALTARPHASAPRPSTSLAATSSSCTRISSFKSRRLSLRSLRSLAAAAAADAVEAEDSLGGDVEEFVEEAAEEYKVTVPEKQDPMLVLKFIWMEKNIGIALDQLVPGYGSIPLSPYYFWPRKDAWEELRAKLEEKEWISQKQMIILLNQATDIINLWQQGGGSLST >Et_3B_031074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14004579:14011354:-1 gene:Et_3B_031074 transcript:Et_3B_031074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASWIPELDYAFETVCFVWPIVQQRDKLLVTEVALTRLTEMKMKPQKMIHQVEEKAAAAHRGVCFTGAPAPLPLRRPVAIRLAQPAPEPRHVQPHVLAVQVNLLGDLPSLGVDGVRVGEHGVVLVVLPVERALGRLDLVGHVAQAEAARRLAVVGLGGPVGAERHDLDEDGARAHEEEVRHGGAVHAHHDVGRVEGAVHLGELRVLARPQDGDAEPRGGRGAHQLGLVLDGARAVPGHDHEPRLLNVLLLEGLRLLRLRLGCLLLLLLLDDGLDAATVRVVEHPAEVLDGVVARHPRGVDGELVVDAQPDHGLREAMGASVVGEVNGRVVGELLHDVAVLGDPPVVVLEHDEHHLPVVLARGDVERDDAVVELVVHVAGVPAGGEYDGGDVVADVASRRRGGGALGHGAEAELVDGGHHARLPLARVGPDALVGGGRGRVGAHEQEERVGRGLGSDVAAHHAHVGAQRGAVAAHRAVGHVRAGQRRLDLDLLVVPRPPAQLQQLVHGRGEGAAREKQEEARDEREAGEHAEQRVVAVLPVLARVVGQAPPELLHPAAAGSGLDVPRVSLSGLPLLAVVHQQAGPVVVHHLHRIDQREQASRTKTEQEAEEERGILPSEQSKEASWTMDGVVWWRSALVVASFK >Et_4B_036932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12688722:12692886:-1 gene:Et_4B_036932 transcript:Et_4B_036932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WAAWREAWVRVRSRAVAPALQAVVWACMVISIMLVVEATYHSAVSLGVKLLGWKPERRFKWEPLAAGSDEEEGKGDAADYPMVMVQIPMYNELEVYKLSIGAACELKWPKERLLVQVLDDSTDPFIKNLVEKECESWASKGIHIKYATRIGHKGFKAGALKKGMEWDYAKQCEYIALFDADYQPEQDFLLRTVPFLVHNQNVALVQARWVFVNDRASILTRIQKTFLDYHFKVEQEAGSATFSFFSFNGTAGLWRTEAVIDAGGWKDRTTVEDMDLAVRATLKGWKFIYLGDVRVKSELPSTYEAYCRQQFRWACGSANLFRKMTWEVLTSKQVSPLKKFYMIYSFFLVRRVVAPTVAFVLYNVIIPVSVMIPEIFLPIWGVAYIPTALTIVTAIRMPENLHIMPLWILFESVMSMHRLKAAVAGLLELPEFNQWIVTQRVGNNGIEENGEVPLLQKARKSLRNRVHLPEIVFSVFLFICASYNLVFHGKTSYYNIPVHNGYSHRSIRILYT >Et_8A_056548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12155409:12156242:1 gene:Et_8A_056548 transcript:Et_8A_056548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRLSHTTNHLVPAPGGITGAATTRKALLLHALPLLAVPFLPTPLAAVVALSSLASPVRAGHLSTNATCSVRDREYATCTVYRYLPGGCADRTQPFAGLRKVCSDERAPSSSGQRQGRTFHSYCRVRTLELEDGPAFRVLPGHQTPIDDPDAVVASGGEVCYVEMEGWDYREGYYILCPVRECPARLPFLCCPEFPHGH >Et_3B_031717.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:8693839:8694258:1 gene:Et_3B_031717 transcript:Et_3B_031717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPFSAHRQSPSQSPPPTAPLLSGSTSRAALASQASAASRALLLLTAALAATTAFLLIRPPLSVASVASSTARPLAKLPKPVVLLISSDGFRFGYQFKAANPHIRRLIANGTSAAEGLIPVFPTLTFPNHYSFVTGL >Et_1A_006475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2327114:2329836:-1 gene:Et_1A_006475 transcript:Et_1A_006475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDWSIFSKLDHNGGYLNKFPIGSPISHDIGLGLISQVGNLVECSFQHQRHICASGSGAVQEAFSCFNKFAGAFYFWFSRASNPNLFHKLSAVAGSSSRACRSHIKQVTSCLQHFPGLRFGSQVREEHAIQLLLARLASATVGRLWNEVEERHAYNVLMLAAATVVPPFENISPKMLAESMALGKDGCHIQEPVEQPYLDEQRPGCACVAVPRSILSEDATEPKTGIRFPTLLEDTSNPTAEVLVGMGFRSMRIMRVKNLNLYAFGLYIQPDSICKKLGPKYSSIPDAELKDHPDFYEDLLRENIDMTVRLVVSYNGLSISTVRDAFEKSLCFRLQKMNPDTDYRCLKTFGSCFTEDIRIPAGTKIDFRQTSDGRLITEKAFFDMYIGDPPVSVETKQDVAQNVAGLIRRC >Et_2A_017867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7322398:7325229:1 gene:Et_2A_017867 transcript:Et_2A_017867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTEAAMAMEETAVEETKKTDRKKGGLRTMPFIFANEVAEKLAVVGFQTNMLTYLTEQLHMPLAKAATTLTNFGGTSAATPLIGAFLADACVGRFWTIAGASVVYQVGMALLTVSAALPQFRPPPCKPGGAATCQEAAPWQLAVLYVALLLNAVGAGGYRPCVVAFGADQFDESRAAERARSWGFFNWYYFCNGASMLLAVTAVVYVQDNVGWGWGLGVPAFFMGVSVAAFVAGYPMYRRLEPPGSPFTRLAQVVVAAFRKRRVPVPAAEIDAGRLYENDEMDKPISLYGKLLHTDQLSFFDRAAVVTDGELVTPTDASSGKPVPNPWRLSTVHRVEELKSVIRMGPIWAAGILVITASSNQGTFSLQQASTMDRRLFPGLSSFAIPAGSMTVFALLAMLVTLFAYDRALVPLARRRTGLDRGISYLHRMGVGFAVSVLATLVAGFVERRRRDAAAAAGTTDAGTAPLPAYWLVPQYALHGVAEAFTSVGHLEFMYDQAPESMRSTATALFWLSISLGSYASTALVGAVHRWSAGADGSNWLPDNINRGRLDYFYWVVTMLQVLNLVYYVICARRFTFKPVQLHKKEDEEDGKSLVELQEKI >Et_5A_041799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:349770:351737:1 gene:Et_5A_041799 transcript:Et_5A_041799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYSDEEGSDPHPFAGIRFALVGFDDVSGPQYRLELERAGGTDAGDWKGDCTHVIVFDRLYDDQVCVAARNDGKKVVTEQWVDDSLDLGELADANRVLYEPLRGSGAIPGSESLVICLTGYQKDMREYIMKLVYLMGATFSRPLKANEVTHLICYKFEGEKYDIAKQVNVKLVNHRWLEDWYVHLSILPKNKGLSHHFMVILSKLLHMLLLQLEGMGNSSD >Et_2B_020634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22174593:22180509:-1 gene:Et_2B_020634 transcript:Et_2B_020634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGRGQPPYLASGRILETIRNTRNMINLFKIKDQKKDDAAAAKGKPAAKKQSPGELRLHKDIAELNLPKTTKISFPNGKDDLMNFEATIRPDEGYYVGGKFTFTFQVPPAYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIVYGLNLLFSQPNDEDPLNHEAAAVLRDDPKKFEKNVQRAMAGGYIGDTHFPRRKETKKENERADGVGRASYVFLVL >Et_7A_052250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6151332:6154536:1 gene:Et_7A_052250 transcript:Et_7A_052250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLLALLLLLPALVAGHQHPTSYGSSALSEWRSAKASYYAADPEDAIGGACGFGDLGKHGYGMATVGLSSALFERGAACGGCYEVRCVEDLKYCLPGTSIVVTATNFCAPNYGLPADAGGHCNPPNHHFLLPIQAFEKIALWKAGVMPIQYRRVKCLREGGVRFAVNGRHFFFTVLISNVGGAGDVRSVKIKGTESGWLSMGRNWGQIWHINSDMTGQPLSFELISSDGKTLTSFNVVPKDWEFGKTYTGKQFLL >Et_3A_025086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26852696:26854143:1 gene:Et_3A_025086 transcript:Et_3A_025086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRWHDDDGRGDGGRGLGDVPDLAAGSGGEGEQCATRRVVQSRCHTEEVEPGRFVRKCEKAEQLLRDCVGRPSELVESRTEKTEEDVTDEMKNGSLSLGFPSNEPFAFPGLRSDIEALEKGFFGSLGSVLDEAERMTNDFFKSFGLPSTHDRESSPFPRGPTQRQIEEGTVKKTKENDYSEFKGQITDV >Et_9B_063835.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:16984084:16984362:-1 gene:Et_9B_063835 transcript:Et_9B_063835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALCARNSASVSCSTGGGFVATNPFTTVCFRSLNIPNKCRPDFACTGGAAAFAVAVQYRGGSPSKAGMAPGWVRNMRRSELLPMTGCAARRQR >Et_8A_057664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5791458:5795794:1 gene:Et_8A_057664 transcript:Et_8A_057664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEMTTLYPTGVRVLLVDDDVRFLKAASMLLSLLNFQVSTCHSLNSALRFLTAGDKFKEIDVVLADAAKATTCGFDFRAIVEPDLRVPVLYCKHTHAPDHEAKTRNQFLTICYFFSPTIREVLSTKHRASGEEADALLRILDLATFILKKPLEGESVCCLWRNVAWRKLQLKVRASLGAGADDSGQGSSSSGSGSSGGGGVRMLPRSPWADRDDEEEERVHYRMVTGVSSGRKRRAVSGGAGNPGSSSGSGPAAPRRGRPAKKQEQAAVVAVAGQQETDQVAKPAPRKYRPRKDNNGAGPSMPVIPETVIDVETYQPTRRRSFEKQQANKPKKKSRYVQDVLKTLADVPPHNPSAFAAAVDPSTNLINFSSAGETGSSIISFSSGSGEPAAGNILDFSDAGKTTSDGARAPSPAVSLAPTAPASPAFVNRAPTAPASPAFVNRAPTAPASPALVNRAPTAAASPAFVNRAPTAPASPALVNRAPTAPASPALVNQAPQAPASPGLLPVVQAPPAPPAPPLLPVVQAPPSPAPAVNVVAPPAAGTAVSAAAAAVTEKQLCASGSQEQSQEEKEANLPLLLRGAFGPFPYQGPQQLPVMQQDMFGGAPPHNFAAGNVELPNQQPPAFAGILAGGGAAAIGGAANAAHAGSSETTVDTTQLQPLPLSIAAHAGSYVSSETTVDTTKLQPLPLSIAAATDNELEAMLAMYATGSDAHMAPQARHVDVAPLNYNNNNLNNEAMFYNNNNPAEAFTAPPRQVLGMNDDAAMAGGDAFNNNNAEAFTAPPHQVLGMNNDAEMAGGHAFNNNNNAEPFMAPHQQVLSMVPYGVNNPAMAGGNAFNNVNGNAAALMAPQGFGAEPNMMNQLVAADAPLAVFDPSVENEDDFSFPLSALLGTDEVPVYDGAGGLAGLQGGATDNAAAVAAAVDAADKFLNGGEGGMEDWGLAPADDGAARIFGDMLMEYMNNGRRE >Et_5A_041405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22189345:22201764:1 gene:Et_5A_041405 transcript:Et_5A_041405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIGGEVAAKRRNPSSSVEDRLSALPDDVLLLILLRLETISEAARTSVLFVRWRRIWTLLPKLAFNLAPDYHHIRQVLTAAEASALRGIFVVTKDDAPDFVAAWLPLAARRLSGDLMYQNMVSEHSEDDEVEVDGAIPLPCFGNPTRIHLDLGFLALSLPSSGAFTGITVLSLKSIRFQGPCELGDVISSLRCPGLRKLRVRDTRGLDRLAVQSKSLLKIDLGYLNGLQNLSIDASALKKLTLLSNILHYQPVANISAPQLVFLRWRDAYDPSSVQLGNLGQLQRLSTYFIRVYGQHVDAYNRGILQLLHQFQDIHNLNIMLSSPQDIGELQYLMEDITRLPRVTFLELVVFSKGHAFGASSFHVLRLCTGIRKLSLVLHTPRDLEAHECPSGCPCDELANWKTGELVLNCLEEVELTNLKGSEFEVTFVEQLFGWATMLQKMQITIASWATESMASKLRERLLSFSWPEVRVEFKTQCSAHELPLLVVESLGTGVEYHLHTHGLFLGQKQQHLHLLHALAHGRSTHFPQPIYYGEIDQPIYNYKAFGNWEMEMGIGIRK >Et_9B_064505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15958474:15961202:-1 gene:Et_9B_064505 transcript:Et_9B_064505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFGRWESDPLFPAAECVQDSADRMEGVYRLLLHERKVMQDADTKFHAPIHCERDVITALGTTKWQLEQFEREVNAAAFSDKSKSRENAILKFRQFIRAIAEQISQVEESVESLRIDSNRTPKHLYSSEYDGDGLASFLSVNGYHSTQEHTTFQHRYSEKDLEGAVNLKCSLGESSCCGDQNNSSICGFNADNSTSRILFCVNKLSRQYRSFVRNLWFTKRGHESFTKRRKDGEDIDSLRNGNTLPSFNPPPSGRAMYFWPELIKRRLSRTEGFMHHDHPQIRFAAAVLIAFAVLCELFSLLILHILLAGIVSEIID >Et_7A_052514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8750364:8756565:-1 gene:Et_7A_052514 transcript:Et_7A_052514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPDDAQDAQRVVVVVAGSPVDDPDSVPPPPPAPAAEGKPELPAVEPKQEAGAPGEPAPAEAKQGVQAMAVTVARDVEAGADPSSSNGGAAEKPSWFTPKRLLVMFCIINMLNYVDRGVIASNGVNGSQKNCSGGTCSSGSGIQGDFNLTNFEDGVLSSAFMVGLLVASPIFASLAKIHNPFRLIGVGLLVWTIATAGCGCSFDFWSITICRMLVGVGEASFISLAAPFIDDNAPPAQKTAWLAMFYMCIPTGIALGYVYGGLVGNSLHWRAAFWGESILMVPFVILGFVIKPLELKGFAHNTTKEYGTVNSEVQAPVLAKE >Et_9A_061014.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:857727:858034:-1 gene:Et_9A_061014 transcript:Et_9A_061014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVRIFMSMSTQKIWRVMRNQMMKIVLIIKLVLLMNCVDKEKRKWRKMTRQAMKKTKLCINTLVMRKTRQSLFVMMMMMTAISFVWALLGLILQLN >Et_8A_057119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21282011:21294739:-1 gene:Et_8A_057119 transcript:Et_8A_057119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVASEAAAAAGATKQHTGKAVGLAARDASGHLAPLTITRRSTGDDDVAIKILYCGICHSDLHSIKNEWKNTTYPIVPGHEIAGVVTEVGKNVTKFKAGDRVGVGCMVNSCKSCDRCADGFENHCPGVVLTYNSVDPIDGTVTYGGYSSAVVVHQRFVARFPDAMPLDRGAPLLCAGITVYSPMKYHGLNVSGKHVGVLGLGGLGHVAVKFAKAFGMKVTVISSSPGKREEALDRLGADAFVISKNADEMKAAMATLDGIINTVSANIPLAPLMGLLKPNGKMIMVGLPEKPLEIPPFDLIMWNKTLAGSCIGGMRDTQEMLDLAAKHGVTADIEVIGADYVNTAMERLAKADVRYRFVIDIGNTLKNAASASTGDDDVAIKILYCGICHSDLHSIKNEWNNAMYPMIPGHEIAGVVTEVGKNVTKFKSGDRVGVGCMVNSCQSCDVCAEGFENHCSGIIFTYNSVDSIDGTLTYGGYSSAVVVHERFVVQFPDAMPLDRGAPLLCAGITVYTPMKYHGLNEPGKHVGVIGLGGLGHVAVKFAKAFGMKVTVISSSPGKREEALERLSADAFVVSTNAEEMKAVMATMDGIINTVSANIPLAPLMGLLKPNGKMIMVGLPERPLEIPPFNLIMGNKMLAGSCIGGMRDTQEMLDLAARHGVTADVEVIGADYVNTAMERLAKADVRYRFVIDIGNTLKDTASA >Et_2A_017619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4133187:4141443:-1 gene:Et_2A_017619 transcript:Et_2A_017619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADSCLQSFELYEAESVSSSRPDHNALLLPANLVVVSWNYVVRGVARLVLLLCDSAEARAMSSRVAMGMIKLSGFLVRDKTWAVSRLKFYILGTNTDKTLWRLLKIDRMEPSELNVDEDSTVHSQHEYHDLLKVLDEDHKSTGGVKFVTNCFGIIGFIKFLGPYYMLIITEQRKIGDIFGHPVYQVTKSAMIELSNSKTRPKLINSKDENRYKKLLQTIDLRKDFFFCHSYHIMRSLQKNFNDPQEGWDLYDTMFVWNEFLTRGIRSILKSTLWTVALVYGFFKQDKLAISGKDVMLTLIARRSRHYAGTRYLKRGVNEEGKVANDVETEQIVYEDMLGQRQISSVVQNRGSIPLFWSQETSKLNLKPDIILHEKDNNYEATRLHFENLRSRYGNPIIILNLIKTREKRPRESILRREFDRAIKIINSGLPGENHLRFLHWDLHKNSQRKSTNALQVLLKVAFEALNLTEFFYCQVSQDRRGDDSLNLGALLGNCFGPHVCEDNNNCGNVDCMDDLDDISQEETCGSSDTGNVVAEDKAEANRSTAIKPLKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGSVESPELDLDSPLARLLMHFYERMDILCKERALEVRHSISRVLQDTATILQFLGYFQLELGQPAIWELESGEENVLDDNTSNFMKRVGSDGSILRKRPMSSNGRNGMFGTAFTGSEKEMQCSSGSVHGISSTSDDAVAKASRYTPTMSHVKHVSCELDYCNGSGDSNFLDLDWLSASDNERSKAISTPDVNISTDTVNDLNSGTTEDHAAEIQAQGLSEHFVQWVNLGEAFWY >Et_1A_004956.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:18969933:18970319:-1 gene:Et_1A_004956 transcript:Et_1A_004956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSISFLVLLLAARAASGVPPPLGGAAAFAVDERREHEEPGGDAFYLKVGKFAVWIYSLHKGISPVLQLERVVSAATRPARDGRGVEYLLVLRVAKLGTCEALVWGVPGEGSQEWKLKEFKPVGGA >Et_4A_032614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11940259:11943578:-1 gene:Et_4A_032614 transcript:Et_4A_032614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQVAMVEELASLIKDNLHSKHLVLSTEEALIAALQQQCRLDDDGRGQDDDAEDTIELQPTSSYHRLLLHRLADIYGFAHESVGEGDDRHLVLQRCSETAIPPVLVSDMLWKYDNCDDSASVVLTRNDTDLRDSWKAEIVQEDVYVESSHLKADADLKPVKQSVILPTASLKEREAAYRAARERIFSVDDAKERNASSIKSRQVPVVAQRMIAHALGKNVHNLTEATASREDRGKHLMNGPNISTSSRNNFYPVSPDNREVSYVRNSNSNAVARNSYQTTSSQKCRTVNRRAVSAESLKKEQTGAAKRMFANALGLPAVQGINGAGSKPSD >Et_1B_009910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10516164:10516499:1 gene:Et_1B_009910 transcript:Et_1B_009910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLIAEACRAGVQLLAWNPGANVIVETDSKTLVDLWHSREKNNMSQMYVKRSANWAAHLCAQHAMHHRASFSWLDPPNFLLQCLQYDCNP >Et_1A_008097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4325853:4327389:-1 gene:Et_1A_008097 transcript:Et_1A_008097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNCLRNSRSVLSRLLLPRKPSAVHPPRPRPLPAQAPPARYYNHASRYSRPPPQEESRWCFFTWREESLLFRMLTAPSVVVAGAGAFAIYLSNLEAVPYTNRLHCVLLPLKLELRIGELMLDELKEEMKSSDQAILPPLHPDSVRVRRIASEIVGAVDRGIADIRRRNRRSMMLGAFPHEDAGAGAVAELDDDEETRDSEEGKQPQTSSLLLGGWEVLVVRNKEINAMCAPGGKIIVYTGLLDKFRKDAEIAAVLGHEVGHAIARHRAEICTKLLGLLILHLVTLKFLYRPGLERALSMPFLETRLEREADHIGLLLLAAAGYDPRAGPLVYEKMGKIVGDRRSCRGSKKDTHPSAIERVRFLSRADIMNEALELYRGVQS >Et_3A_025542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30609227:30610758:1 gene:Et_3A_025542 transcript:Et_3A_025542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVRAQAVDTSISPRLNNLMLNDYTDENGISYSPDQVLVSNGAKQCITQAVLAVCSPDDEISWCNSSDPSYKHIRELLLRPEFLAEKISEKLRLLILCSPSNPTGSVYPKELLEEIADIVKKHSRLLVLSDEIYEHIIYQPAKHTSFASLPGMWERTLTINGFSKAFAMTGWRLGYLAAPKHFTAACGKIQSQFTSRASSVSLL >Et_4A_034788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6519075:6521917:-1 gene:Et_4A_034788 transcript:Et_4A_034788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NTREYTGDGSICSRGYPASKNHTGKWKACSFALVCSFCSYLAYSSIAKNLVSYLTKVLHETNVAAARNVSTWQGTSYLTPLVGAFIADSYLGKYWTALISFTILITGMMILVLSVTLQLISITPNLLPAWTDTGSFQHIVFFVGLYMVAIGYGLQSPCVTSLGADQFDDTDEEEKTKKISIFNWHHFTVNVGALISGTILVWIQDHKGWLWGFAISTLFVVLGLGGFLLGSTVYRFQKPGGSPIARVCQVFVAATRKFNTDLPRDSSLLYEVPGQVSAIKGSRKLEHTTGLEFFDKAAIVRSSNCESADLLNTWRICTVTQVEELKILIRMLPIWATMVLSATVLAQMFSTFIEQGMVMDKNIGSFEIPPASFQSVDVITVLALVPTYERILVPILRKFTGIQNGITPLQRIGLGLFFSSLSMVSAALVERNRLQIAQGKGLVHQDVAVPMSILWQGPQYFLVGASEVFALIGLNEFFYEESPDAMRSLGVAFSQANLSAGGYLSSFIVSLVPVFTSGGGSP >Et_2A_015656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16683204:16686352:1 gene:Et_2A_015656 transcript:Et_2A_015656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKEPSTLAAGGTPWKGRLRSHHATPQSLPPPRLSSRAKSREAAEEAQTSRKRAAPRITRRGGSQDEDAGAARPLGAPLRRSPRLAGKDPEHHIVIDRADKECKARDDQSAVAPLRRSPRFHTENKNPVTPLLPPNPQQIACNRKTRNASREDKNERNLRKTYRNAAANALPRARSLKEPSLLRQTSQDIPSQQKSGDVSCKKGEEKKLKADHCGVLTKKRKRGTEGRSLSESRSCQKPRSTPPDCQEVALGNGTRKLTCKKKGKDASFVVQPNIGDVKFMNVKKNYEEPREIEREEKEPFCGQDDWTKEQDMALHQAYFTARPSPHFWKRVSKLVPGRSAEECFNKIHADLSTPTPIAPRPRRCKAKFSPLGKFSLSDPELPNLLEPTVGKQKTSRQTNLAAQKTVRHLLQKHCLIDQAQEADHFSIFESSPSALQLNISFEDSPGTPHSCMNSSSASSSARKKPFLRLKSKQDGPSPAVLKPVKNVVLHEKYINQLFRREGAKGPRRRARGSKAADNMKTHPEQQAGNLKDAKNALISEATDFISQFKKLQANSLANIVENSEDDENENRSPY >Et_10A_001142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21516219:21519779:1 gene:Et_10A_001142 transcript:Et_10A_001142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPPPGSGYPYGPSGGYGAPQPYGSAAPSAPPGGYGAPPGGQQYGAPYGAPQHSSAPAYGAPPPSYGAPGGYGSPFAVLVPSQFPPGTDPNVVACFQAADRDGSGMIDDKELQSALSGYNQSFSLRTVHLLMYLFTNTNVRRIGPKEFIDVFYSLQNWRSIFERFDRDRSGKIDASELRDALLSLGYSVSPTVLDLLVSKFDKTGGKSRAIEYDNFIECCLTVKGLTEKFKEKDTAFSGSATFTYEAFMLTVLPFLIA >Et_2A_016870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2949675:2953209:1 gene:Et_2A_016870 transcript:Et_2A_016870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKLPRAARRLLCCGGAAAGEDLSDEGSGSLRWVFSLRELRSATNSFNYDNKIGEGPLGSVYWGQVWDGSQIAVKRLKNAKNGTEVEFASEVEILGRIRHKNLLSLRGYCADGPERILVYDYMANSSLYAHLHGTHSAECLLDWRRRASIAVGTARSLLYLHHHATPQIIHGSIKATNVLLDSDFRAHVGDFGLIRLIPDGMNHEKITSENQRGYLAPEYIMFGKPTAGCDVYSFGIILLELASGKRPIEKSGSVKTYGIRNWVLPLAKEGRYDEIADSKLSDKYSESELKRMVLIGLACTQSESEKRPTMLEVVPLLKGELKEMLLKLEKDELFRPDLTASSHGTSTPDGSTDSVPKKDYESVNDSTGPHCAETRALQLAVAICKTASYCNIRDSGRHILSSK >Et_4A_034393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32189790:32191791:1 gene:Et_4A_034393 transcript:Et_4A_034393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSEPEVIRDKAAMRAWSRRRRAEGKTVALVPTMGFLHEGHLSLVSAAAAASTGPVAIVVSIYVNPSQFAPTEDLATYPSDFAGDLRKLADTSVVAAVFSPPDLYVRGSARRSADASRGGAASCVEEADGNGHETWIRVERLEKGLCGSSRPVFFRGVATVVAKLFNIVEPDIAVFGKKDYQQWRVICRMVRDLDFAIEIIGSEIVREADGLAMSSRNVHLSREEREKALSINRSLANAKTAALSGNNYSQQIKDQIVQALTEAGGQVDYVEIVEQESLVPVERIDRPSVICVAAWFGKVRLIDNIEIGTPTR >Et_4A_035183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10974647:10978327:1 gene:Et_4A_035183 transcript:Et_4A_035183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWTLLEGFLLLANAFAILNEDRFLAPRGWSMSEVSGNGQTKSFKGQIVGLIYATQFLRMPLIALNDLELSHSKSLAKAGPSPSAKRQNQCADLFLKLPCLLVPHCGKEPAMMRMTSSYLDLVQEELWGDDLPLVQPGLAVGLEDPAAEEGLVRRLEV >Et_7B_054134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1592645:1594076:1 gene:Et_7B_054134 transcript:Et_7B_054134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSAYPPPPPYYRLYKDYEQDPSSAPEPPPPIDGPYQLFGATYTTDVVLPSLEDQGVRQLYPKGPNTDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFKNLHHLLNSLRPHQARATLIHMLESQIQRRKQAIEDIKQRREEAQKLLAESLLVLDGSQTS >Et_7A_052854.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:20045458:20046078:1 gene:Et_7A_052854 transcript:Et_7A_052854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLPCPRRQLPELEDDTIRDILLRFRPIPLPLGSSKDLIRVSLVCKRWCRILLDPAFPRYYRELHDPPPVLGFLCYRWGWDGNDFFFHERFAVVEGNSLYLWSQPAGHERPSVLRVVDLKPMLPVDAPSFSPIKFGLPEDAQSICLTTDAGTFMVELGSGLVTRTGERGCSSVDFVPPYLSHVTKDFLAATLQDNRSDEKEEQSL >Et_3A_024500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21362865:21364634:-1 gene:Et_3A_024500 transcript:Et_3A_024500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPNRTPAPDRPPPPVPPPPPLETPPPGLSPSPSPSPPGEAYHTPTPSLGEASPRAEASPQEEAIDETAAVTKSPQLSPMHFPSPHRLPPPGSPASNNNGQEGRSAAASRARPQLRLATGLLRTPSQGSVATNSPSQSLTPPSPLTPAPAPAAKSPSSSSSPSPPSPLTPAAKSPSPSPQTAAPKPVAKSPSPSPSPPSPLTPAPPPAVNSGKFTPKHAEAWKPQPPPPHTASPAIATQFDADEEAASSPLRMGKPRLDQQRRTPAAAENGGAAATGVPPDVAAVAKVGDRRALSVALRLATAVLSLAAFSVMVSARTTGWAGDYYGRHLQYRYAVGVNVIVCAYSIAQSFGEIRRLISTRFIFRSMSSYYFSLFLDQVLAYLLMSASSAAASRNDLWVSRFGTDPFNKKINSALWLSFIAFMTLAVNALISTANLFSMV >Et_2B_022822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6004217:6018993:-1 gene:Et_2B_022822 transcript:Et_2B_022822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRGVAAVSLMLLVLMAFMSTFGTTRPLGGDVWVLAREAVSSDGVVHILRQMYLQQLAGAGPSCGTNSANGFFYGTRI >Et_1B_012240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30034966:30041481:1 gene:Et_1B_012240 transcript:Et_1B_012240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSQSWQRSSKDMTAMPPLRQRGAAKKPMWIIVLLSLDWLPAVAQRERTDEEIISSVVIRDLLSMPMPVSKNPKIAFMFLTPGSLPFEKLWEKFLQGHDGRYSIYIHASREKPVHSSSLFVGREIHSEKVVWGRISMVDAEKRLLANALEDIDNQFFVLLSDSCVPLHTFDYIYNFLMGTNTSFIDCFLDPGPHGSGRYAPEMFPEIEHRDFRKGAQWFAITRRHALLILADNLYYNKFKLYCKPAEGRNCIADEHYLPTLFNMVDPGGIANWSVTHVDWSEGKWHPRSYRAADVTYELLKNITSANEYLHVTSDDKKVVTMTPCMWNGTKRPCYLFARKFYPEAQNNLLKIFSSYTLIRRLLFLLFRLLFGFLWSFLV >Et_2A_018284.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21421375:21422802:1 gene:Et_2A_018284 transcript:Et_2A_018284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAKSIQRLAPRLVVPAEPTPAGHLRLSWLDRYPTQMALIESLHVFKAKPAADKSVIAASPARTIERALARALVHYYPLAGRLVLSDAGDAQQLAVDCSNAGVWFTEAAAACTLADVDHLEAPLAVPKDELLPPTPTPAQEEDVRRLILLVQVTAFACGGFVVGFRTSHAVADGTGAAQFMNAVGELARGDGTTTVSVAPQWGRDAIPDPAGAVVVGALPTPEGAKRLEYLQIDVSARHIAHLKAQYNAAHGGLGGWCSAFEVLIAKAWRSRTRAARFDPDSTVHVCFAVNARPLLLPARGAGFYGNCYYITRVSAPAGKVAGSSVAEVVRLVKDGKRRMPAEFARWAAGEMAGDGVDPYQVTSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYVSTCILVKPWADKPGARLVTQCVTADRVAAFHQGMLDMMSS >Et_5A_042250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8031546:8055162:1 gene:Et_5A_042250 transcript:Et_5A_042250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLLRSCTSTRKLSIALYCSDGYDMMYPCPLSCPCRLAKNCKVDDIILGSLEEVEIREFTSCQEEMELVEQLCRCNAAVLKKIVIYLRRRPGVDRLSGLPDELLHGILVGLGSVRAAARTSVLSRRWRHVWTQIPKLFFFSSDEPSPDSFLDYVDAAIASHSAPAVEILSIFLPDRVPACRVAPWLRAAAQLVVGTINVHVPWEIRLCAAEEEELEIPACGGATTLSRLIIESARVEGSDLSRLVSMQCPCLVSLFVGVTLRTVSDVSMGSKSLQSLWFYVDNTRRLEVIAPRLQKLTVCDVESHMVSAPKLAELHWNGNAHDPRRHEFADVPRHLQLLKVNGKSVVASLLQRFDSVDELKLDIIIPQEIFGYQSFLNETKMLPKCEILSVSVFRNHHGLVPVMLHILMSCSTTRKLSVALSNSYCYPMCILARRPAHVVWPRIESLTTLLSVEEVEINYFTSSEEEFELLEQLSRCNAAVLKKIAICYTPWPRTPLTKVVNEKVRSKCRSNLKVEFYVTSSDMLRRILMAEDDGSGGGADRISGLPDNILHSILLRLPSTAEAARTSVLAKGWRSVWAHLPELSFGWGRRVLDALDACLAPTVSRLEVNMWGRSSSVPADIVSSWLQFASLRLAGELRISLGTFSLGPKGHDVVLPVWLRLSSWGSEATRCGSLAPHPGGVFSALETLTIALACVDGRGLESILANHCPRLKQLVLDSITQLDGTRALSIHSDSLQRLEIYIIPFTNSVLHPATVEIVAPELQTFYPHFLPQFDIPASPKLSDLNWSHSHVYNPLRHSFGVAGHRIQRLVLVTDISIVPLMQRFDTIKELVLTIQVRQGAQEYKRFLQDTNIVTKCEVLVLKFFVTEHAFKPIILHFLKRCVGIRKLVDYPCKSVSNCPCGWIESRKTNNIVFDAHALEEVVVKGHEAADQVVSPGVDRISSLPDELLHDILVDLGSVRAAARTSVLSRRWRHVWTQIPKLVLFGCDEPPAALFLNSVDAALASNSAPVVELFRIDIPSDGPRVPACRVAQWLHGLSQRVVGSLIVNVPWPMSQRPRLVVDDEEELEIPACGGATRIFLNLDQRWRLRLPTAGLFAALNVLTIKSARVEGSDLTALVSTQCPRLKNLILDIMILCTVSDVSMHTDTLEVLFFNVANTRRLDVIAPRLEQLYVYQALIGAHICAPKLAKLDWGSDVVYDPRRHKFADVGRRLRLLNINQTSTGASLLQRFDTVDELKLTILIEQKTFGYHNFFNETKKLPSCETLLMYPCPSSCPCRLAGNKIDDITLRSLEEVEISYFTSSHKRTGIYGATFQMQCSSPKQDSARRRHGEDHISSLPEDLLHVILGRLDSVPAAARTGVLSRRWRHVWTQHPELFLGNDDKLPYASFLDSIDVVLAAHTAPTVDLLCIYLPHIDGDAPPVTACRVAPWLLFASQRVMGELHVFVPLQMGSLPTPEAEEEEEELEIPACARATRIDLRLDERWRLRIPTAGLFAALTSLMISAARVEGSELSALVTMRCPRLKDLDLCVTLSTVSDISIRTGSLESLWCRINNTSRLEVIAPRLKQLTVHCIESHMISAPKLEELDWDSRTIHDPHRHVFADVGLGRRLRLLRINSKSVTASLLQRFDSVDVLNLIISIPQEIFGYQSFLDATNKLPKCENLSASVLVNHHRLVSVMLHLLRSCSTTRKLSVALYNSHGFNSMYSCPLSCLCRLAKNCKIDDITLGSLEEVEINQGDVVDDCLRAAAAAGGEVDRISGLPDELLHEILLRLPSTADAARTGVLSRRWRRVWAHLPDLSFRHHDGDRVGDALAAYSAATLRRLHITALLGRVTVGHVSSWLRFASRRLAGDLRLSLERYGPKKKNGDEDVVLLPLCERVASISLDLYDSALRFRMPRAGAGEFKALATMWIAKLFFNNYQWEDDDFPCKWLSGCPCSLLESRKADNIVLDLLEEVEIKGQADADHKVVLLRILCNHSIMFQKRVVITVLEESRVEYIGRKVCSILPPNRNVEVTLRSTG >Et_6A_047225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26992071:27007733:1 gene:Et_6A_047225 transcript:Et_6A_047225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAIAPRDNDQRLLVERDLFGRCIMNLIACEGSDRVERPETYKQWQMRNHRARLKQLPLDSGVVKLVGDKANEQFRTDFVIVAPGRVEGPHTLCHVDGQGAFLAEPFSPSIFLDLPPTPRPDSDGEDLASSADLVLPFISRMLMEEDIEDEFFYHYPDHPVLLQAQQTYAQILSDDSTTSASSDSATTNTDKSGVFTLLPSSCDASFSNATWPYNPVELSQLLLSTPYPNTGVGVNSFIAGDANRRGSIHENADEATALCKEDRMGIQSSGFLNEAGKDTDAVITNLAAGAGGGMHGVVTSAFFSGQNLVNMDMLNQSFLMGMEEAKKFLPPNNILLMDPRSTSTEQRPRDSNLFQGIAAGQLKEDKLLKFQGSVYGRGRKNRRNWDDLEAEMGKNKMMVPEPEETGDVVDKMIIHGYAMCLEKMKSLSIIMSDEAEKNARKGDGKQSSYEAVDLRTLLIHCAQAMSMDDRRSATELLVQIKQHTSPRGDANQRLAHLFAVGLEARLAGMGSEVYKSLMTKHTSVVEFLKACQLYLAACCFEMTAFRFSNMTICKAIADRKKVHIVDYGVQYGFQWSSLLAHFATWEGGPPEVRITGIDLPQPGFRPASRVEETGRRLSNCAHLFGVPFKFHGIAAKWDAISVDDLDIDPDEVLIINSIMQFGNLMDEGVYMHSPSPRDVVLNNIQKMRPDVFILCVVNGSYGVPFFVTRFREALFYYSAMFDMLDATVPRDNEQRLVVEREIIGRYAMNVIACEGLDRAERPETYKQWQVRNHRAGLRQLPLYPDIVKILREKVKNQYHKDFVIDVDHNWFLQGWKGRILYAMSTFSTIPATSLPAMATIPEEFLFIDPEPPSPSVFLDLPPTPGIDGDDPAVFDDMVLPYVSRLLMEEDIGENNFFYQYPDHPVLLQAQLPFAQILSDATTTSNSSASPDSPDTESTFAGGGVNSDSDHSGMASSGFVKPLSPAGDWDMFTSAFVKGMEEANKFLPTNSALADHKLDRGFSIEKEQVDGMLGHGRGRKNKHGCNDDLDVKEHRASKLIMMETEDAAARQMFDEMMLHEQDICMKGVQHLSISTERAKGSGKKARGRRQTSGSEDVVDLHTLLLHCAQAMAADDCRNAHELLRKIKQHASPSGDGVQRLAYCFAEGLEARLAGTGSQLYQSLMVRRTSVVDFLKAYKLFMAACCCKKVAFTFSNKTIHDAMTGRTRLHIVDYGLNYGFQWPGLLRGLAAREGGPPEVRITGIDLPQPGFHPAYQIEETGRRLSKCAQEFGVPFRFHGIAAKRETIRPEDLNIDPAEVLVVSSLCHFRHLMDESAAPGQLSPRDQVLNNIQKMRPDVFIHGVMNGGYGTTYFPTRFRELLFFFSAQFDLLDATVPRDSEERMLVERDIFGQAALNVIACEGTDRVERPETYKQWQARNHRAGLKQLALSPEVVKVVLDKVKNNYHKDFIVDEDQRWLLHRWKGRVLYALSTWVAAGEMALPFVFPGDLATAADVGALAATSSYIARIYLLMEEEDVHHDEHPDHPALLHAQQPFAQILSSASLPDHAAAALPTDTIDNPDMFTAVFFKGVEEASKFLPADTGCKLVSGGQLKDKSGDKVRRGRHGAGHEELEAQVGRTSKMSTAELEEAGARDLFDEMMLRGYDVCSKGVGGLRIDVDKDDAAKESKKTTRKKNRARWERRGTKVVDLHTLLLHCAKAVTDDRTSAGLLLKQIKEHASPMGDATQRLAYCFAQGLEARLAGTGRQVYRSLSANRTSIVEFLEAYKMFMSTCCFRKVAFAFANKTIFDAAMGRSKLHILDYGLHYGFQWPELLRLLGARDGGPPEVRITSIDLPQTGFRPANHMAEMGHRLSKCAREFGVPFKFHPMLAPWETVCAEDLNVEPDEALVVNDLFNFRTLMDESIVIDSTSPRDVVLNNITKMKPNVFIQGIVNGSYGTTFLSRFREALFDHSALFDMLDATMPRENQLRLVIERDIFGWVALNAIACEGEDRVECGETYKQWQVRNQQAGLRKLPLNREIVKMATDIVKNHYHKNFMIEEGQQWLLQGWKGRILLAHSTWVADDSCSGCYTKSLALYHNMATTPEEFFVEGLMEPAPPSPSVFLDLPPTPDGNSGDPLSPDDMVPPSILNMFMEDEIGGELLYQRSNYPALLQVQQPLVQILSSPSFTTRNYNTGNTEENKDLLPGGSDDQSTLSAPFFKGVDVAGAFLKGVEEAIRFLPSDNNIRRDYLVTEIFSESRNLKAIKKRYNRDNYLEDEARRTRKTMLMMIEEPEEVGIHEILEEMMLRGYETCIKEMEKLQIALNNEGKSKTRGGSKTTRDVVDLPTLLIHCAQAVAANNHMIAIELLKQIKQNASTTGDARQRLAQCFAEGLEARLSGTGNQLWNLHMAERPSVVEFLKAYKTFMAACCFNKVAVMFSVMTIMDAIVGKKRLHIVDYGMNYGFQWAGLIRWLAERNGGQPELKITAIACSQHMFCPAQKIKEQGRWLSKCASEFGLPFKFHSITTEWEKVSIEDLNTDVDEVLIVNDLFNFRSLMDESLSFDNQSPRDTVLNNIRKMRPDVFIQSIVNCTYGSSFLSRFREAMFYYTALFDMFDATMPRESESRLVLEQSWFGRHVLNIIACEGADLVDRPEKYRQWQVRNQRAGLKQLPLKPDMVKVLKDKVKKHHKDFMVCEDGQWLLQGWMGRILFAHSAWTKPKRR >Et_3A_024986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25902388:25904774:-1 gene:Et_3A_024986 transcript:Et_3A_024986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTLLKVIILGDSGYVNKKFSNQYKATIGADFLTKEVQCDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPDNFPFVLLGNKVDIDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGTNVEDAFQCIVRNALKNEPEEELYVPDTVDVAGGSRTQRSSGCC >Et_6A_047299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3507800:3510325:-1 gene:Et_6A_047299 transcript:Et_6A_047299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRPRLAPAPSPPAPVLRRAFRSAAALEAIRSHALPSTTSSSSLDADDHAGPDNYPTFAGAYAALAARLFHRRARRRLLVLPFSSVEPFRFRGRGVPDMLSDFVGPNNFAFELSRFVSSVIAFDHRQSTLARLPQFGPCPSNVELHIDTTKTSARAVFDYFSKKLAETKSDAVKQANEDVILIGTRRSGESFEYSEIHRGQLLNTKEFQTALRDDRAKLNCITNLMFLSRFRSTCCHHACFFLYPDMVNNCIFWYPKRLLQLDVGDLLTRGKSLAHDRLQAATEFKHEPFRIKIGRGSYGECLAIKADGHSELSHEIGLELSRRSAAAGLRPIGAVVFMQRGILKICLRTTDSKTKTSEIAKACHISDYLFKSPRLYAEFDCSCLAYGGGGKPSSSSFALTMDELNSWTSVNS >Et_6A_047978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26923256:26925120:-1 gene:Et_6A_047978 transcript:Et_6A_047978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWISEGFIQTRDGSKSPEEVAAEYYQKLIMRNLIEPTHSAQYECTMHDVVRSFAEFMARDELVVLRGEKVLSSRYMGSGDELEKQQEQKATEDVFEKLCPPTCVEDIWIEGYFGRHLPHWMMIPATSAFKSLRYLSMKELPCCTQLPDGLGQLPSLESLIIEDAPAIKSVGPEFQASSSSVAVGGGVACTPAAFPSLTNLKLEGLCEWEEWEWEEQGEDVTADAMMAMPALNKLTIDNCKLGCLPPGLASSKRHALRELNLYELSNLTCVENFPSVVELDVFDCPDLKSISGLSRLQRIRIIRCRNLEVLEDVSALDSLVLEDTDMETLPEYLRGVNPRHLKLLCGWELYESLSPGSSEWDKISHIRTHAIDYVEDSSEDDSDEDEDEDEELEDADEDKDEDEDGVSEH >Et_4A_034778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6431074:6437063:-1 gene:Et_4A_034778 transcript:Et_4A_034778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVVEPKTAARESEDVMPGVASASGSGSGIWSRRRDEITFDRLQKVPSRARSVGRRLVSIWVVWIRGGWVLFWNDLPPQARQELLKLDKQTFIEQARKNFYCSRCNGLLLECFTQIVMYGKSLQQEVPDIDYLRLTADSRVSQGEQDVPQDPSDHPWGGLSTTKDGILTLLDCFVKAKSLHVLQNVFDNARARERERQMLYPDACGACGRGWISQGMASYNRGYGTREVCALHTARLSCDTLVDFWSALGEETRSSLLQMKEEDFIEKLMYRFDSKRFCRECRRNVIREFKELKELKRMRWEPRCTSWFCVADTAFQCEVFEDAVLVDWRQYLSEEDVSYDRFEWAIGTDEGESDVFGFENVGKKAQVHRSGINLDEFEDYFITLRAWRLDGNCNEVCVKAHALKGQSCVHHRLVVGDGFVTITKGESIRSFFEHAEEAEEEDEDDAMDRDGNDLDGDGFHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGTARQNAHSVFVSLALKLLEERVHVACKEIITLEKQNKLLEEEEKEKREEEERIMKRRTKERQKKLRRKERLKEKGNDKGKRLPDGMPSSALSSPSTNDESTSTLDSKDSASEEEGNTDRHVDQSSCWDFSEENNMDRCHAVMETSPMDSSDCCTSEQSRSSKQSPSLEKDFPHVRSCCYGDSQNEPGRTADMQWQSKERTRTSGTNCKLVSSTNNRTRDRHTYNSCGCNNQEDCRDMGSCFLSANVSGREMKLARKAGVDKTRVLYRRCHTPNSFIGSTQKNAISKQVWEPLEKKTNLDNTDNASGKPVDCGAGGCQNIGAGYEPHALVSESPSNPCKLEKDQTRESGERMHAASCDGTQYCYSTNEVSRRDEELMSNSASSDSSSSCISEGDGDSSSSIMTSSGTQNPESSSSDSEESCGRVKSTINTPSSGTASRSLLETCAGKGFREYQPKATRPAHQGKFGFNTSPFQDPLLHHQSMHASPHSSATLGIHNHSWSAPANGNFQYARPSHFPSSFVFGAAGNHFVDYPVQYSNVNPYLTPAFSHMPRALVPKTAASFRAMPPPPPFQNGGQQGACHPPRDMNLDGNPSKPNPLGLKDFPEDKNKPQDADASFSLFQFNLPIASPAPPSSKDDTSGEFAGRTPLAQVQTQLCSREQKDVKEYNLFSTKDTGIFSFMQII >Et_5B_045035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8652441:8655942:-1 gene:Et_5B_045035 transcript:Et_5B_045035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PMSMVLPRVVGFKLSGKLRDGVTATDLVLTVTHILRKHGVVGKFVEFYGEGMRELAVANRATIANMSPEYGATMGFFPVDHVTLGYLKLTGRSDEKVEMVEAYLRANKMFVDYNETQTERAYSSYLELDLEDVEPCVSGPKRPHDRVALKDMKADWRACLGHKVGFKGFGIPKEQQDKTVKFSFHGQPAEIRHGSLVIAAITSCTNTSNPSVMLGAGLVAKKACELGLEVSPWIKTSLAPGSGAVAKYLQKSGLQKYLDQLGFNLIGYGCTTCIGNSGELDEAVAKAVTDNDIVAAAVLSGNRNFEGRIHPLVRANYLASPPLVVAYALAGTVDIDFESESIGKGKDGKDVYFKDIWPSNEDIAEVEQSSVLPDMFRSTYEAITQGNPMWNQLAVPNAIRFPWDPNSTYIHEPPFFKDITPTPPAPRSVENACCLLKFGDSITTDHISPAGSIPKDSPAGKYLLERGVQPRDFNSYGSRRGNDEVMARGTFANIRIVNKLIDGEAGPKTIHMPTGEKLFVYDAAMRYKADGNQTIVLAGEEYGSGSSRDWAAKGPMLLGVKAVIAKSFETIHRSNLVGMGVIPLCFKPGEDADSLGLTGHERYTVKLPSDVQDIQPGQDVQVVTDSGKSSSANSGLTLLLAYFDHGGILHYVLRNLAKQQRQ >Et_1A_007963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39833958:39838223:1 gene:Et_1A_007963 transcript:Et_1A_007963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTLLMGSPWLRMRLLPDVRPGRHLHLRRAFSARASASASAADGAGPVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGRFVLRIEDTDLERSTKQSEEAVLADLSWLGLEWDEGPDVGGEFGPYRQSERNSLYKQYAEKLLDSGAVYRCFCSNEELEQMKEVAKQRQLPPVYMGKWAAASDAEVQQELDKGTPYTYRFRVPKEGSLKINDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDATMQISHVIRAEEHLPNTLRQALIYKALGFPMPSFAHVSLILAPDKSKLSKRHGATSVGQYKEMGYLPQAMVNYLALLGWGDGTENEFFTIDDLVEKFTINRVNKSGAVFDATKLKWMNGQHLRTFPPDELIKAFEDRWKATGILQESESSFAKEAAELLKDGIDLITDADAALSNLLSYPLHATLSSDEAKPVVQDKISEVASALISAYDSGELTQALAEGRDGWQKWVKSFGKSLKRKGKSLFMPLRVLLTGKLHGPDMGGSITLIHKAGICGAVTTQCNFVNLDERFRILKEVDWESLAKEQESPAESAVPAAS >Et_1B_010632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12323879:12327628:1 gene:Et_1B_010632 transcript:Et_1B_010632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDDDMMGGFGLPGYGGMGGLGMPGYGGMGGLGMPGYGGMGGLGLPGYGGMGGFGGEEADAPPAEMKEGEEREIGKEGLKKRLVKEGEGRARPGDGDEVEVHYTGTLMDGTKFDSSRDRDAPFKFNLGQGQVIKGWDLGIKTMKKGENAIFTIPPELAYGEDGSPPVIPPNATLQFDVELLSWVNVKDICKDGGILKKVLAEGEKWENPRDLDEVFVKYEARLEDGAVVSQSDGVEFTVKEGHFCLAISKAVKTMKKKEKALLTVKPQYGFGEEGRPTSGEEAAVPPNATLHVDLELVSWKTVTEIGTDKKIIKKILQEGEGYDRPNDLRLTGKLEDGTLFVKKGHDGEEPFEFKTDEDQVIEGLDKAVISMKKGEVALVTIPPEHAFRANETTQDLATVPPNSFVCYEVELISFDKEKESWDLKENTEKIEAATKKKDEGNVWFKIGKYARASKRYGKALSFVEYDSSFSEEEKQLSKALKISCKLNNAACKLKLKDYKEAKELCTEVLELDSTNVKAFYRRAQAHMGLVDLDLAEEDIKRALEIDPDNRDVLMGYRRLKEKVKEYNRRDAKLYGNMISKLSKLETQEANDQGSHAPSKKHGLWPLAALLRRFFTTDGSKGSTLWLVLRLFALVMLVVAVCVGYYMQSSVQEIDCINC >Et_3B_030125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30711965:30714765:1 gene:Et_3B_030125 transcript:Et_3B_030125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPGSRAVALGAAFLLLLVALPSAFLYLTSSAAGPAAASRSALLNLRPFSARCPPAAAPPLRVFMYDLPRRFHVAMMAPDNGTSGFPAWPPSSGGIRRQHSVEYWMMASLLEEGGAGSAEGREAVRVRDPDAAEGFFVPFFSSLSFNVHGRNMTDPDTEADRLLQIPARYDFGRYKKELASLRKDVVAPYVHVVDSFLDDDPPDPFEARSTLLFFRGRTVRKDEGKIRGKLAKILKGKDGVRFESSYATGEGIKTSTEGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSTRIELPFEDEIDYSEFSLFFSVEDAIRPDYLLNQLRQIPKKKWIEMWSKLKNVSHYYEFQHPPRKDDAVNMIWRQVRHKLPAVNLAIHRNRRLKVPDWWG >Et_3B_028017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31813794:31814435:1 gene:Et_3B_028017 transcript:Et_3B_028017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLAPCLHMPVAAATVRLVYWGGQARLITEDDVVTAGDVAAEIPAAEHVVCPADSFFVGLPIPVMSAGEELLPGRTYFVLPAGRFSCLKVLTAATLAALSPAPAKVALAGPGQCPFEYVKGAGGAALIRVLPEFIEKVITCDGGGRRGAASSELCSTPELKRHYAQLVGSRNQQWSPRLETIAECDKSRWLKSPARLLSSR >Et_2A_015084.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29168591:29168743:-1 gene:Et_2A_015084 transcript:Et_2A_015084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIILPLIKMNAQAVNFHWVLGWMNYISTKQESMKLRRLSTPLVWMQCQ >Et_3A_023434.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27398649:27399014:-1 gene:Et_3A_023434 transcript:Et_3A_023434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLVSVDKFSGGSQAYFLTHLHQDHTRGLGAAGGWRHGPLYCSPTTARLLPTRFPGIDASLLRPLAPGASASLSLSSPTSDRPLSVRVTAIPALHCPGTFPFHSSVDSLASLHEPTLNY >Et_7B_053606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10267462:10269178:1 gene:Et_7B_053606 transcript:Et_7B_053606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAADLLSALPDTLLLRVLCFLPAGDAARTSALSRRWRGLWLQADAVNLDTRSYFRHDDHDGRIAGRWLFRDAMAAVTAAGRRRTVRTLSVRVVSSNHMDYCEAVMRTTSPPGGMDAVLAAPAARELEELRLSLRAEFDWWCGYELPVTSRRMPCAASLRVLELAGCGLGWSSAGAGGAAVFTRLHTLSLTLCHSPPESLQALLDAAPSLAALRLEAFTFTAADEEGELGGRRGGEWYEVMRRRRLLLRCPKAMSAVTLLHCHDTGGLDLDAPGVRFLRYEGYLEHFPFTSAASSGIPANLLERAELSFCTARRCCNPPPSEIARSLGEKMRGSSTAARRVLGIDWEIRPSTLKLLNINDIAVHPEQESTFLEQFPDLKFLQLKGSYEKDKHGAAVAIANFLHCCPALQELRLKFKVQGDPYAWRNRIKLSKGREAQLDLDNSIELLKRLRSETSSSSAGNRVGVDDRCCHDVDLSALQARRFPCLERSLRKVRLKFELEEDFNCFEVKIAKFLVENAVVLEEMQVQDGDQRVHDHIHRKLAMWRADSSNSKIDIVGEKVKTRRRYSR >Et_2B_021525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30320724:30322513:1 gene:Et_2B_021525 transcript:Et_2B_021525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVLATLAPHVMRQDKRTGEKSCGSVRKFKLGVGCGPLEIRGNRRYALEEGEGALLIPSLSCLPLSSSSSFLSPSLPAQARLLPSPSSRLAVKSKGAEKPAARGRKGKAGKDPNKPKRAPSAFFVFMEEFRKEFKEKNPKNKSVAAVGKAAGDRWKSLSESDKAPYVAKANKLKAEYNKAIAAYNKGESTAATKKAPAKEEEEEDEEESDKSKSEVNDEDDDEGSEEVMHTSVFENHLLNLLHDVSCLKVDDDKNAQVLLYNQLKMDNLRKTMTSELAQDAPLKNGAVTQGKALWREL >Et_5A_042609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2240920:2241850:-1 gene:Et_5A_042609 transcript:Et_5A_042609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLDKWGATTHYAGEVEVVLGPAIVEARPPGTRIVKVQTGPRSRSDRRAARPPRPVFVPPSPLETAVLDAIHGHVVRALARLPRRAVREHGRGVVLAGHCYGCLGDPASNVVANAIWHDAALFPPPYTATNVVELALQRHAALTGEELSLHFICGKHKFYKDSAIHHHINFLVAGGEAAAPALFFAEVDALARDADDVTLCCPVPVSQGPGGCSACECGGTRLVHPAGDGVEFQGRVGFTDGDDPAMVKLFAKTPEEEISVPLDEDYVFFDAGLHPEMVRYLERRYSAMDDDHVDLSFIQLV >Et_7B_055185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8130543:8140613:1 gene:Et_7B_055185 transcript:Et_7B_055185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREPFRELQEPKFKGHFPPIPSLGPILNSQVPDSIFGVCGSKIQSFRPLFSVHSPFSRTTPSPLVPTAFMADPTMICAALQPVCGFINQAGVSAATAKRVSSFTCIKRNLRDLTKAMEDLQAIQKVVRGQVDLETNNLNECHPQVSLWLTRVANVLVDPIVQECDQLLQPSCICSSTLRLGKRCSLGNRVVETLEYVDRLIKEGSQFETFASKRSPDFVEERPRTQTFGIEPILRDFRKSFDRDDVNIIGVWGPGGVGKTTLLNTFNNELKALGRDYQVVIMIEVSNSGTLNKEAIQRTITERLGLPWNEIETEDARARFLAKALSRKKFVILLDDVRNQFQLKDVGIPTPDSENKSKLILTSRYENVCYQMGAHQSLIKMEYLEKEAAWELFRSNLSTPAIAAIESPEPNNVVRKYAETIVQNCGGLPLALKVIGSAVAGLTEPKEWRMAMQAAKHDINDLDGIPEMFHKLKYSYEKLTQIQQQCFLYCTLFPEYGSINKDQLVEISLMSNDIRDLDTSPECKDLVTLLVQNNPNLDKLSPTFFQSMNSLVVLDLSHTSITSLPLCSTLTKLRYLSLSHTFIERLPEEFWKLKELIYLDLSVTKALKETFDNCSKLHKLRVLNLFRSNYGVRDVNDLNLDSLKELESLGITIYAKDVLKKLTETHPLAKSTHRLSLKHCGKMQSIQISDFTHMVRLGELYVESCLDLKQIDADPDKRRASCLQVLTLSELPSLQTILIGPSPHHFRNLLEITISNCQKLHDITWVLKLEALEKLSICHCHELEQVVHETVGKDVHGMIEDAYDEYINGYKNMTKSGQIKTMHLVDFPKLKSLVLTDLPKLMEICNPRKFPCLEIIRVEGCPLLKTLPMGQMYDCPKLKQICGSYDWWERLAWNGKETVDNKYFIPIQEFYVSSAACTIPFPMADALGAAGSCLQPLWECLEGTGMVDAATKEVASFLRLKSNWDDLNKARDSLRAVEMTVRARVTKELDKLNICDPQVQLWLKHVEELQLDTTIDEDYSQFMKYSCICQCTMHAARRASIGKRVLEALEEANKLTEEGRQFKKFGFKPLPEVVERLPQIETFGLESMLIRLHDLLEKGDSNIIGVWGQGGIGKTTLLHAFNNDLEMKARDYQVVIFIEVSNSETLNTVDIQQTISERLNLPWNEAEGAEKRARFLVKALARKRFVVLLDDVRKKFRLEDVGIPTPDTKSQSKLILTSRYQELSNEACTAVESQSSNNAVREPAMKIYRSCGGLPLALNIIGTTVAGLEEPKDWISAADAIKANMDSFDGVDEMFAQLKYSYDMLTATQQQCFLYCALFLEYGSISKQQLVDYWLAEGLLHYDSENGYQIIRSLVSACLLQTSSSMSSKVKMHHVIRHLGLWLVNKTDQKFIVQAGMALDNAPSPVKWKEPTRISIMYNDIKELSFSPKCKNLTTLLIQNNPNLNKLSWDFFKFMPSLKVLDLSHTAITSLPDCETLVALQHLNLSHTNITILPERLWLLKELRHLDLSVTVALEDTLNNCSKLLKLKVLNLFRSHYGIRDVNDLNLDSLKALQFLGITIYSEDVLKKLNKTNPLAKSTHRLNLKYCGDMRSVKISDLNHMVHLEELYVESCYDLNTLVSDAELTTSCLQTLTLSVLPSLENVIVAPMPHRFRYVRKLVIMSCPKLQNISWVLKLEMLERLFVSHCDEMQQIIEEVDSEEGSGAQQLKIQAHPYEEQDDATEEKTPGEQDTNFPKLRSITIRVEDCPKLRAIPLSSTNNFGKLKQVCGSVDWWQKLQWEDREEATHMESKYFIPI >Et_7A_051752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23982995:23992330:1 gene:Et_7A_051752 transcript:Et_7A_051752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQKDFITCIFFPTMLPLQRRSPSSPSPEFDNLIIILTMAIIIGHELAIQNRNTGNIAFLKKMLSEENDRTRAMITIDSLRRLCIDYHFSEEIDVLLTSIYKSHGAFLNTKFGLFDAALSFILLREGGYDVSSGIFQRFINVNGEFQTSLSTDIKGLLKLHDASHLNMGDEEVLCNAHEFTSRQLKSCLNYLQPNLSDFVKKTMEHPYHLSLQKYNAKHHLTHFHRMFRRNRAIEELALTEFHDNRIQNQDELMQFTRWWKDLRLAQELPFVRDQVDKWYMWATAILPRGPAFSKYRIEMAKVISLIYVVDDIFDLAGSPEELSLFTDVVKRWDITDAHSLPCYMQSCYFALFSVTNQMAKLVEKDYSQNPIHHFRKAWEKLFDAFMLEAKWFARHEVPTATDYLKNGIISSGVHVVLVHAFFLLGQGVTKNRVNLLERNPPIIASPAKILRLWDDLGSAKDEGQCGLDGSYKDYYMSENPSSSPDSVNQHIMSLISKAWEELNKECFSTKSFPPIFQEVSLNFARMVRVMYSYDEKKRLPILEEYANHLLL >Et_2B_020655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22440671:22443247:1 gene:Et_2B_020655 transcript:Et_2B_020655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVDSIECVSSMDEDDAVSSSHLPRPFLKTSSAAAAAVAAASIAVVPGGGGGSGGGGGAATVGPGGGIPGALISPATSVYELLECPVCTNSMYPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYFSLGCPEIFPYYSKLKHESQCNFRPYNCPYAGSECSVVGDIPFLVSHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYSYSLEVGANGRKMIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDSGACIPNLFS >Et_1B_011943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27482743:27485813:1 gene:Et_1B_011943 transcript:Et_1B_011943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPLRLLRSAAAAASSVRGPATRRGGWIVPPAPHPLAPTAAEADLARWLPRRGYSKFATGFTPLQRKPLESILDVERAKGLSPEHLVAAWDDYHLGRGHIGASMKAKLYHLLEQRSDTCRHFVIPFWRGSGYITMFMQVQMPYMIFTGLEDYKARGTQASPYYTITHYTEFAETKDTVLIRGDVVFTSKLTDEEAKVLLETAHSFYLNDVRYRLVERFNKETHEFEFKDVLQALDMPTM >Et_1A_006176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18788884:18791494:-1 gene:Et_1A_006176 transcript:Et_1A_006176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAGLPLRVHLLLRLLLLFPLGSLVAADVPFPAARSPGCATKCGDIDVPFPFGLDPQCAIHGGFQLQCTSENRTTKLFHGSLEVIRLSVQDGKSWVKTLNSRQCYDPTAPDGMLYNNAWLNITDLPYVLSADDNKIVVLGCKSLAYMLSDSYIIGCLSTCDDRGALKNGSCSGAGCCQADLPRGVRYYQAFFNPTYNNSSPELRNTTPCNYVSVMEAAAFTFSTTYLTTTEFYDADESRRPVVMEWGISQKTCDQAKLDRATYACVSDNSECVTNDAGYLCNCSSGYKGNPYLVGGCSDIDECQDKIAHPCAGICKNEPGYFSCSCPQGESMINGLCVKNNKSIWVAPVVGGSVGLVVLVIATTCVYLIRERRKLHRIKKKYFRQHGGMLLFEEMKSQQGIAFKIFPEEELQHATNMFDEKQVIGHGGHGKVYKGVLKSDVHVAVKRCMTIDEQHKKEFGKEMLILSQVNHRNIVKLLGCCLEVEVPMLVYEFVPNGTLFHLIHGDHGRHISLATRLRIAYESSEALAYLHSCASPPILHGDVKSTNILLDGDYTAKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTRKKAFNLEGPEHEKSLSMQFLTAMKNNKLNDILDDQIENDENVGLLEEIAELARQCLDMIGVNRPSMKEVADKLDRLRKVMQHPWTHENPEELYSLLGESSIANTGVVTTENFSMAKKAALGLESG >Et_3A_023532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33063647:33064261:1 gene:Et_3A_023532 transcript:Et_3A_023532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVESSSSGREAEPVLCAPRPRRVQVHPCSADLILGPPPFLLNISNKIRLFQQRESKAKAPEVEIDGDEDGGWALFGGSPPARADNPLVHDPHFLLNQRHRLPDSPRNSHRPTYISSSSSSNNNSFAPSFAPTVRIQGFDVASCRSSHSNSGGRVLSARA >Et_2A_015618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16018563:16023735:1 gene:Et_2A_015618 transcript:Et_2A_015618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKYLQALGEERRPELHRQVGCVTGILQAFDLRHPLAAHKRLLPPVSLPPTGPVLSSSPSVGGDRPRYSPQITLDNNLSKTWSDNQRIPGAELSQTSYSSSPSSSFSSLDGNRSTQQDLSATDRMLFPERPFKSSLKLKSSFDSDNGLDYTDDALTNPDNMSAADSSLPILGIRNLVKDSIYKDSCDSSVRISTKEEVKGRPVSCGDAPRQLDGPPSDCMQGKSKGLMDINESLRMLAKLREASRTPPESGHHARLSYDAPRFSYDGKDAASKLREVPRLSLDIKEGHLWNREMDLRSKPSMNISGRSSSTINETQQEQPACKRLPSVVAKLMGLEDLPEKNEKAAASQAGKAVDENKREPMLSPSSISSHKEPAPRQQRIQESTVRNIPNSKFPVEAAPWKQQERIVLPRRLPKGSKGAHGREQAAVSVFSDLEKRLKDIDFHQSNKDFRALKQILDSMEAKGLLQNKKREEASVKSYDGSNDIKEITNANMGLNSKSNTMWVAEENKTESSFRSPIVIMKPSKFSNHLSEVDSSVTPLRHPSDLPQLETGNSTDKRKASMINRTAKEQHAKSSPRVPATQSFTSYDRRSNGRNEESSSKQKPSSLLVSDSSSRGQQQPRDNSIGLQKNKSSTSPRLLQKKLDSERRARPHIPSAESNKSQRRTDRNNLDTVSPRSKIRRKPLHAQEGDDGMHNVSNNRTRSLNHLGNDMSTRSDGNMSVASEFDLEVTSTHKSAEMDISNFHQDIRTPSGRNPQKVKTSYDASKDVPSADTSAAIVERPSPVSVLDSSFDQEDPFPTSKTSNSPSFDDERHVSEETWKQSDTQPANQVRPSKNSKLANVASLLEKLQQLSVNKDDDAPPVDHIAFLCETESPDQRYLSEILLASGLLMKDLGSAQTGLQLHSSGYPINPDLFLVLEQRKAGWVSKPGGIHQSRNGAESDPKRAHRKLIFDTVNELLFHKFEKETAVYSLGSFTRSKDPTGQVLSGQQLVKFLSSGIQDLEKERSRICQMDSSVIPDTEILHKLQGWTSFGRELPGMVLEIERSIFKELVDEVVRGESADGPQMKVAVRRRRRLFA >Et_3A_024376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20160844:20165178:-1 gene:Et_3A_024376 transcript:Et_3A_024376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WMNKTEAMIAAYRATHTIIPDRTPQVASDAFFNILRRLEPILEKDSVRLFLRLFDQQNGFGMAWGFVITPQTLNQIVKQNAVRCAKVVLEGKAPELRGHRANPNYMNRHGYFPLHQAAEIFSVDMIKLLFSYGASANLRTAGPDVTENLLPLHVAVENTCLHKFLEDNLFPYQEKHLDYNEADVNNIYKLIHLLCLPEMKIFLDTTRLLAEKTDSLVDEIWKYIEGEKLAQAAVLLLAAQRKIRGSSCKGNGTNKMNGFSIIMKHIMDHTVALDATLAQNRRAQKQLKAKRKHFSNSLMLVHVISQAGGFLDAYIQAHPEAGRKKQPRGWDLKYTRSSFFPYWRSILSPRLVRIMPQMLSLEQIDNVRNESAGNGFPLISNRDLDFVGRFPQLRSYQPIKPFGAAGALTAMKILKNA >Et_1B_012661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34188037:34190264:1 gene:Et_1B_012661 transcript:Et_1B_012661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQSVVAVKQFGGTDRMAHICTAPQPSAHKLLNANSDQCGSTHNPESSNIKTEICTSNPPKILPSDLQKCSPSSNPENPISGISQTNFSEPILSSSSTFCTSMYSTSSTNSMWCQQTRDLPFLPHPPNCEQQQQQQTSSGQPSSPSSMFIGDPSSGGHDDAEPSDNPGDFLNLSGDASEGSFHGERNVMAFNDQMEFQFLSEQLGIAITDNEESPRLDDIYDGPPQLSSCPVLSYSDLKGSRSTGSPIKVQLSASRAASCNKPRLRWTLELHERFVEAVNKLEGPEKATPKGVLKLMNVEGLTIYYIKSHLQKYRFAKYLPETKEDKKPSSEDKKSKSVTHGDCSSKKKSLQLAEALRMQMEVQKQLHEQLEVQRQLQLRIEEHARYLQKILEEQQKERTSLSTRRRQLKKKNLNPQGTKILSQKRTLLQVHCPMAKIRILIWNATHMRIKKNAKPQVNLEKESLCS >Et_7B_055301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9102534:9103684:-1 gene:Et_7B_055301 transcript:Et_7B_055301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTVTGENAIPRWKSSGRVEYPVMIPVQTVYSSAGWDSYCWAFRAQSSGDVSFVIRNPGQEDDPSCGPIIDSITIKTLNPPQATQNNLLKNGDFEEGPYISQVSQWSVLVPPTNENDVSPLPGWMVMSYSKSVKYIGSAGNFAVPRGGRAVELVSGVETALVQEVDTVEGAAYRLEFTVGDAEGACSANDSPMEVQAYAAQGTTSVPWGSSGRGFTRGALEFTAVASRTRVVFVSSGYHTRSDGSGTLCGPVVDDVSLVSLSPARKLLR >Et_1A_008896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17854590:17858013:-1 gene:Et_1A_008896 transcript:Et_1A_008896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSATSFVGGGQRCSKPPSSSSSITAAAATGQHLLKIDSYSLTKAVPCGGHIRSSNFQAAGHDWHINFFPNCGRPGRLIQCARGYVSLQLVLDSAVAKPVTAQFTACLLGGAAWGTSRKSPIGTNLKGGWWLFKFIKRKDLEKQERGLLREDDSFTIQCDIVVFDGFRAVKAAPFVAVPPSDMHRHFGELLSSEICADVTLEAGGWRFEAHRCVLAARSPVFKAKLLGPMKEGVGSSSIHIEDIEPRVLRDVLHFMYTDTVPEVSKEEEAAMSQHLLEAADRFNLQRLKLICEDKLCGCIDTSSVVNTLALAEQHNCQGLKQKCFEFLKATNLKTAMATDGFNHLAKNVGSEWSKPVSCLRVRLGVRIVSDSEQNGSERFQTLELGALGIQEDTTFCCRDSMHLLCTREASGNL >Et_6A_046373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13886395:13888230:1 gene:Et_6A_046373 transcript:Et_6A_046373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDGFMGAFHRDLPLPWPLAHAAGAAQFEAMQVQVPHAFVSNGAGAGWEHDGAAMSSLASSPASTAVGEAALMEQLASRLGVSVPSPSRYASCYSTPVGSPSKPVAPALLAADAARLSCLAGSGGKLSRVASSQSLLGSPAPSPSPGGAGNLHGSDGSSSDGPSRKRKAPAGGGKARAKDAVTTAATKSPEAERRSKKSKLSADAGAEDDDELSPAGGNGKGKELVVAEAPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDIVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPRLEVDVVSLVQKDANQPCVPAASTLAPPPPPVYSLEGSSSAFRYASSPATAVQSAVTNDKSFDTRSSFVNHGIQDHSLEGFQNANPQIGSLWEDDLQSLVQMGFRGNT >Et_9A_061157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19235545:19238226:1 gene:Et_9A_061157 transcript:Et_9A_061157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDNINCYVVPQTTGTGRNIFQGGSPLQESLPLLGVQLVLIVAITRVLYYLLRPFKQPRVVSEIMGGIILGPSMLSRSAAFKDVVFPPRGEPVLNTVATFGLMYVIFLIGVRMDPMLVVRSGKKGVIIGLSGFLLPLAMTYSASSGSAFDEEPEVTRRSTFLFALATSISSTSFAVLSPILSELNLLNSDLGRTAMSASMTTDGVAWLIMVGYILAEAYLVSPLTSLWAFLSVAALAAFILLVVRRIALKVVERTPPGKPVDEAYVFFFLLVVLLVGFYSDVVGTNSFHGALMLGLAIPEGPPLGTALGEKIDAMVSGLILPLYYAMTGLSTDVWELHCGRLLLVVFLGWFGKLVGVMVPSLYMEIPLLDAVSLSLFMNSKGIVEVITFTFFLTNKLISKHTFSILVCSSVAITAVSAPVAALLYDPARRYAVYKRRTVQHLKADADLRILACVHDESHVSGTLSLLEASHATPQTPIGLYLLQLVEIAGRSAPVFIRHKLLRDAARDSGPSAPPTDSNRIINAFFRHELRHPEGAVSVHPYTTISPYSSMHDEVCRLAVEKRTSLILLHYHKRHMLEVRVAVGLRVVNRKVLEVAPCSVAVFVDRNAGSAASGPMFHAAVAALFFGGGDDREALAYAARMARHPGVTVAIVRFLPTRGIKDDPADRRVDNRAIEEVKALASRNRNMKVQEELVGDMERIAEVLRGLDKAGYDLVIVGMRHRWYPVMSANGLSDWSECPELGVIGDLLASSDFDSPYSVLIMKQQDQGGLNAAVPGAQDVWHGNPGSSGALPLRTMSTTGSGKLMQ >Et_8B_060406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13942300:13945220:1 gene:Et_8B_060406 transcript:Et_8B_060406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEWRARVRDRASEAAGHCGHARGLLAVAAGRLAQPMRAAHAPVDRVRSLVTEDLLVDASGNLAVAESLMAAAKLAALRGAAATPTEPLRSIDEISLDAEPNLRLALGWLRAATTLAGRACLAVERGRGHLWTAFQLLAFERLPGVDGFLDAERAAAHHELDAARGLAEECATLARDACHLQLDYFGLIGSFFSLKVLRQESVGTSVI >Et_9A_061286.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:6571535:6571780:-1 gene:Et_9A_061286 transcript:Et_9A_061286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLKQPQVQRSTLMQVFEETLFLARQLPGFVVDHVRREQNTIANEFAQSAKRTKHSSVWRFQAPMCIQSLVIQNCNPPSN >Et_7A_051872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25891020:25892978:-1 gene:Et_7A_051872 transcript:Et_7A_051872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEEVLEVSKRGGLLRLNSPLSQVSLVGLICFCCPGMFNALSGLGGGGQLDPTTADNANTALYACFAVFGVLGGGAHNLLGPRWTLLAGGLTYPLYAASFLYYNHRRSQAFPVAAGAVLGAGAGLLWAAQGAVMTSYPPPNRRGSYISLFWCLFNLGGVLGGLLPFGLNYRRGNDAGSVNDGTYVAFTAMMLLGAALSLLVLPPRRIVRDDGTVVTSWVTYSSPATEGAEIVALFANWRMLLLLPATLATSFFSTYQFNNVNGILFTLRTKGLNNVFFWAAQMLGSAAIGYFLDFGFASRRKRGLVGVLIVAAIGTAIWGGGLANQLTYTRDRLPAAGLIDFKDAKRYPGPFLLYFSYGLFDAMFQSLVYWTIGALTNEAQILSRYVGFYKGVQSAGAAVAWQVDTHKTSLMSQLLLNWGLLTLSYPLLALLVFLAVKDEDVSASLVDDGEENEENN >Et_2A_015989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:212987:216892:-1 gene:Et_2A_015989 transcript:Et_2A_015989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAALLAALAFLAGAALAADPFAFFDWDVSYITASPLGVPQKVIAINKQFPGPVMNVTTNYNVVVNVLNSLDEPLLITWDGIQQRKNCWQDGVLGTTCPIPPGWNWTYNFQVKDQIGSFFYFPPLSMQRAAGGFGGITVNNRAVISVPFDTPDGDITLFIGDWYKKNHTDLRKMLDDGKELGMPDGVLMNGKGPYRYNDSLVPDGIEYETIKVEPGKTYRFRVHNVGVSTSLNFRIQNHNLAIVETEGSYTMKQNFTNLDIHVGQSYSFLVTMDQNASSDYYIVASPRFVNESVWARVTGVAILQYSNSKGKASGPLPDPPNDEYDKTFSMNQARSIRMDYGEWTENSRGTYNKWDGVSRCTTQVFPGAWTAVMLSLDSPGVWNVRTENLDTWYLGQETYIRVVDPAGGYNVTEMVAPDNMLYCGLLKDKQKAQKPHGSSSSSTFAAKLNGYLVAVLVLLALAFIH >Et_5B_045341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18983263:18984056:-1 gene:Et_5B_045341 transcript:Et_5B_045341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKRKIEELGEHIVSTAVGEHIVSAAVGDMVTRTISAAVGKLEEREDLERQVERLDTLVARVHSAVAAAEGVHIRNWWLRRWLWKLREAAFEGADAVRSFRRRAAEEAAGRRDNAGHGRSWWARILRTAKSLFFFRDGGSAVLVRCSVARLEEVASGLGDFLKLLDMEIRRAPQQPPPAADRTEVEYSDWELLDATDSDTGSVEHFACNGGAVLHDHCSVMGPESPTPSWSNEPEKVMAGRITGVISRSQCDLVGVPGRE >Et_1A_007469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34909760:34915892:-1 gene:Et_1A_007469 transcript:Et_1A_007469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEIEVVEDAAAATAPAPGAGGEAGPAAVAGAGQEEDALKDDVYTGAAYGDLEKLHRLVEREGHSVTEPDGLGYHALQWAALNNRVAAAQYILEHGADVNATDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQHNMVRQHLFVTLLQNGMPILISLITMEEALFTGCTPLHWAAIRGNLESCTVLVQASKKEDLILQDNTGLTPAQLAAEKNHRQVAFFLENARRVHERGCRGNSYFEKLSKFGLAPVLWCIIIGLLFIYIHSIILGQYNANMTVIFGLFSWLGVFLATTGLVMFYRCSRKDPGYIGKNIRDTQNQRDDEPLLKRGLDNPELLAGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKWNKWEFFMFLILEVSAMIITGVTAIIRSLEDPACPGSFGGWLSYTAINHSWVVSFVIMDCFLFFGVITLTVIQASQISSNITTNEMANALRYSYLRGPGGRFRNPFDHGVRKNCSDFFLKGYHEDIERVEQTVHHDEEMGTIQMTRSAISQNASSKSHRQLSPSKCCDHSKKTDSKKADKTPLGLGLGLGRNNPSSRYARSLLPL >Et_1A_008407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7424991:7427066:1 gene:Et_1A_008407 transcript:Et_1A_008407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTKPPGIHLFESVRGNPPSLQSCQALVLILTFISYASYHAARKTTSIVKGVLDPKTSNLGLLHWPRCLYLDKCNTADKHTVLNGGWAPFDSRDGTALLGDIDLAFLAVYAIAMFFVGQIGDRVDLRILLTVGMVGTGLFTAAFGAGYWLDIHSFFYFLGIQLIAGLFQSTGWPSVVAVVGNWFGKRRRGLIMGIWNAHTSVGNISGSLIAAAMLKFGWGWSFVVPGLMISLVGLMVFLFLPADPRAVGTEDDHLEESSKNEIVTPLLDGSTDAAGKAVGFIEAFRIPGVLTFALCLFFCKLVAYTFLYWLPFYISHTAVGGKYLSDSTAGALSTLFDLGGVLGGILGGHLSDRLDARALTAATFIFTAIPALFFYRMYGSLSIYWNVILMFITGMLVNGPYALITTAVSADLGTHSSLRGNSRALATVTAIIDGTGSAGAAIGPLLTGYISSKSWTAVFTMLMIAALVAGMLLSRLVMAEVSAKVEAWRSARASDLC >Et_5B_043867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1701624:1716466:1 gene:Et_5B_043867 transcript:Et_5B_043867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSVHCYPVLKLGISPHSVSTRTSVLRKARGARRLQRCLCSQYADERQPPESPQQLDRLFSNLNQATMKHEPGSIIGSIFLVAGTTVGAGILAIPAVTQEAGFLASAVTCIFCWSYMVVTGLLVAEVNVNTMCELGSGGVSLVSMAMRTLGIFGLVISIHTLCTSYCICGTIFRYHNKYIGHSIMGECYPVFTGFRGICYFGSQRLIGVVNGLLVTGILASFTGLVVVASGNLEWSSLLEANFAAAPQSIPIIALSFVYQNVVPVLCTNLEGDLSKVRTSIVAGTAIPLALFLVWDAVILGTLPGFAVNGTISDPLEQLRSSNGVVGPIVELFSFLAIGTSYIGFVLGLSDFIADLLFGVLPAAMSWSERYSDELEAPVPRIVPGGKLTLSIVMGGALLVIFSEIIKDIMQLQ >Et_4A_032386.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:5381121:5381273:1 gene:Et_4A_032386 transcript:Et_4A_032386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARLNRVLNGDGAEARRSRRAAGSWLAAPKVLFRKIKGAFLGNRRGGVH >Et_3A_026364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7274208:7280297:-1 gene:Et_3A_026364 transcript:Et_3A_026364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSYAAAAAGSSSRKPNRITTASVPTARPPAPSPAPAHTVAKPSVVSDSDPSSYSSSSGDEADLTGCDSATASVVSSYLSVAGEGANLSKVGIFLSSAARRRSPPCLICFDPIRPSDPVWSCSSSCFAILHLPCIQSWAHQSSTAAPNPTWGCPKCRFPYPKSQTPTSYLCFCTKTLDPAPDPWILPHSCGDVCGRRLNDNPDSGCEHTCLLLCHPGPCPPCPAVVSNARCFCGAHREPRRCAHQRYSCGGKCNKRLSCGLHRCLVDCHDGNCPPCVVQGNHKCECGETMEEKLCSERVFQCKRECGGMLDCRKHRCERGCHGGKCGECPLRGRRTCPCGKKDYPKLECDAEAATCGSTCEKVLGCGRHRCPERCHRGPCDETCRLVITKSCRCGGLKKEVPCYQELTCERKCQRLRDCGRHACKKRCCAGDCIPCSEICERRLRCGNHKCLSPCHRGACSPCPLLKTISCACGKTNFEVPCGTEKNQKPPKCSKKCNIARLCRHKLECRPHKCHYGACPPCKLTCGEELSCGHKCKERCHGPISPPNPEFTLKPTKRKIEKHIECTPGTPCPPCQEVVLVPCLGQHLGQERAMLCFNWRPFPCQNLCGNLLNCNNHYCTKSCHVLETPPEGDTTGFVNRGKIHAEPCEECNLPCQRVREPPCSHPCPLPCHLSDCPPCKVLVKRPCHCGAMVHAFECVYFNNLNTKEQLKVRSCGGPCHRKLPNCSHLCSEVCHPGKCPSIEQCMKKVNVRCSCNTLKQEWLCQDVLKEYRKLGRDPKEVPKNQFGVGLLACGRDCIKKVKVPDSEFHLRKSQENKSPVVEVANVPKRRKRRDRGQEAVEISKFQEVKTYVLRVLLIILLLITVAAGLYLSWKGIFCLSDWMNEMEEQRARQRHPRGAMFTFSIDQSTVILGTFTLAASFSSPSTPSGGWRKTIEPYPFDLDQMNSLR >Et_4B_039382.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12595026:12595601:1 gene:Et_4B_039382 transcript:Et_4B_039382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLGQPDPRPRRGPSTWPSTSTYFRVVCKPWRALTDDPRAAGSALDWRFRPRNWATLSITTDPGPHRRLLNLVTAASVVVELPAVPAHCHLCAADGLLVLYHTATKAVHLLNPLTNAFTEFLALSVSFIVGAELPTMNNLRDSLQRPAVFRDPVAWLPDQVDGAGFDHSTSPPILVLCLRGDALSNVYFT >Et_7A_050657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:102423:104829:-1 gene:Et_7A_050657 transcript:Et_7A_050657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAAAAGALRPTAATLLLLFSPPQLRHGRRPIQHLPFARRRRHSSTATVAAPTLDDGAQGKTAAPPPDEGKAARRRRARESPEGLLRHQLDMCSRHADLTTALRLYDAALSPASPVPLSLHHYNCLLYLCSNAAASDPDAAQRGFDIFARMEADGVQPNEATLTSVARLAAATRDPAMAFSVVRRMAQAGIPPRLRSYGPALFAYCDAKDADGARQVEAHMEASAVVPEEPELAALLRVNADNARAHEVYRLLHRTRALVRQVCETTAQVVEAWFQSNAAAEAGVDKWDASKVKEGVLKGGGGWHGQGWLGRGQWSVGRSHMVKDGTCQRCRERLVCIDIDPSETENFANSLIELASKREVKEDFLTFQVNSVVNAIQRITKSNKLPLIILHRNRVNNGPAKAPHNQKILDSWRNAGALYATPPGSNDDWYWLYAAVSCRSLLVTNDEMRDHLFQLLGTSFFPRWKEKHQVRLTFSGRGPTLHLPPPYSIVIQALVGRVSLP >Et_9A_062991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7960789:7963062:1 gene:Et_9A_062991 transcript:Et_9A_062991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEANGGKNGAVPPLLAEVEVSNLPGFDVIPSPRPPPSPKPPVSVSPPPSTRRNSTLLAGHGRDRFFPHVPAPLDRVSRRSEVVLPPLDSPFQAPGYRSVQPHSISLPASPSGFGPAVAVPVPGAGNGDPHDLRRQAMVANAAREQQQQQQQGSAPLEKGSVRFEQQQQGAGKVVFRSQPNPGGQPPARSGSSVRAGRGGRAMSRDKRYDSFKTFSGKLERQLTHLAGAPEAADEGEEEGGGGDAISGHRTASLPKVDRFFAALEGPELDKLKSSEDLVLPSDKTWPFLLRFPVSAFGICLGVSSQAILWKNIATSAPTEFLHVTTRVNLVLWCVSVALMCAITAIYALKLVFFFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPASVAAEPLPRWLWYALMAPVLVLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLREGPVFFFAVGLAHYAVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWAKITGEFGLGSRVAYFIAMFLYASLAVRINFFRGFRFSLAWWAYTFPMTGAAIASIRYATVVDNAFTKALCVALSVISTLTVTALFGTTMVQALVLRNLFPNDISIAITERKMKPIMELHESSCGGDDGSSGRSNNDIETGAAPQHKIDA >Et_7B_054336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2004957:2008659:-1 gene:Et_7B_054336 transcript:Et_7B_054336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HPSRLSHALFAVHIPAPLRYIVPLLAPFFIFKSSHNSQSRDPREDKLVEMDLLERNIKKGEKEEERREEERKEENNQESPQGQGLDLSLANGSSRFGMLPMPTPKANPAQLTIFYGGSVCVYDSVPPEKSTSVATGQPQVVADPSSICRLQADIPIARRHSLQRFLEKRRDRIVNKAPYSPAKSSEGMEASGMDVTAEGKPQ >Et_3A_025694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3175794:3179666:1 gene:Et_3A_025694 transcript:Et_3A_025694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGALASGIVKEVLAKIGPAIWSEFALLRSFRADLKALEDDLRTIWDVLFDAEARGGGGDSAVRDWLRRLRDVAHKIDDFLDECRTDLCAARRRRSENTTCGVSTNLCFLRSFAMAHRLRSLRRELDAVAAGRDRLRLNPGAHPSAHPSTPPSHETFSKVDESKTVGRAADKEKLMRLVLDAGSEEDVSVIPIVGFGGLGKTTLAQLVFNDRRTNEEEFDPRIWVSMSVGFSIRTLVQPIVSATKENCDIANLEAVASFLSRTFTGKKYLLVLDDVWSENQEEWEKLRLLLKDGKRGSKIIVTTRSRKVGMMVRTVPPFMLKGLSEDDCWDLANDCFEHLLWMSFLQEVEEHDLSKKELEEDGNAKYKIHHLVHDLAQSVAGDEVQTINCRQVNGHAEDCLYASLSDDMGMPEGIRSKLRKVRALHSWGCDLDIKLVLHASRLRVLDLRSSPIIELPQSVGKLKHLRYLDISSSPIKTLPISISSLHNLHTLHLSNCSNMSILPMSICSLQNLETLNLSACSLHNLPDSIGHLQNLQNLNMSFCNLLETLPDSIGDLQDLQTLNFKGCSKLKSLPDAICKLQNLQFLNLSQCGILQALPENIGNLSNLLHLNLSQCTELKSIPDSMCRITRLHMLNLSHCSSLSEISVSIGGLKELQILILSHHSSCSALPISIGYLPNLQTLDLSWNIDLDELPESIGNLRNLKILILFQCWNLLALPDSISNLAMLESLNLDGCEQLAKLPDGIISISNLKHLRNEQCRTLEKLPHGFGQWSKLETLSLLIIGDKKSSIAELEYLNLLTGGLRIECWSNSKDLTADGRRANLRNKKKLSSLWLLWTSPKSWDDLTGAETFIEVLVPPENLEVLEIDGYMGTKFPSWMMEGMELLLPNLVSLSLTNVNNCSCLPPIGHFPYLQSLQLRHMTGIRSMNSEIPVERNKRTIYRSLKELHFENMPNLKIWPTSSEMDHKDKQLELLMFPVLKTVTITECPKLMPMPCLPNAISDLSVSRSSEMLSTRKIFATSSSASASLLRRLWIKSCHASSKEWYLLQHRPKLEDLVIEDCETLHLLPEAIQSLVTLRSLRILNCTELEALPEWLGEILTLESLEISCCPKLVSLPKGMQCLIALEELTITGCSSALNECCRKDTGKDWFKICHIPSIIIS >Et_4B_040088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9350758:9351870:-1 gene:Et_4B_040088 transcript:Et_4B_040088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRAHWDTAATAKLIELCKEEKRLCNFNRVGPTNLGWTHIHQGLQAAFPNQFDHRQVTNKIGSLKRSYYKWLKLQRQSGLGHNRSTGGVTADDSFWDEHEETDEHDETEPSEEDHETHEPTLPQERGTPPPFLEDLEFLYGRTPQDRGNLVCAGGVHQGSPSSPLPAATPRRGHAAGPSRVPDASPVATTSRGHAAVPSEVPDPSPAGTAAGVPEDVPPGSPVGAAADKSASKRTSVDGSVTSPKKKKSRSSLEESVHVMADTLVQCNIMKAQQHDDDSLRSVSNIMRVDEFMDGSEMFLKAAVLCQHRPTRVQFLDLKDRDARIEFINFVWSNRDARI >Et_3B_029286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23696832:23697872:-1 gene:Et_3B_029286 transcript:Et_3B_029286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEWSDGAAVSSPTVSGGDGKVGSGGAVVSADCPESPVSPVAPSTTSPAGSGRRRSANKRVVTVPLADVSGPRPKGVGEGNTPTDEWAWRKYGQKPIKGSPFPRAYYRCSSSKGCPARKQVERSRVDPDKVIVTYSFEHNHSGAVPRLQSRQAPKSKSHPIPSDPAESVSVGAHDIADVAAACAGAALVTEGGAAAAVEVHDDFRWLYDGVSVTSSASPSDADATADEMLFGTMFFGGAVTAPAPLPDEFGDVGALFGGEAGEEDAMFAGLGELPECSMVFRRHAGDGCRWQEG >Et_10B_004435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7048746:7049318:-1 gene:Et_10B_004435 transcript:Et_10B_004435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARPQLQPGFRFHPTDGELVVHYLRRRAIGAPLPAAVNIPDVRILSHEPSDLLPPGELGAPMCTARYAELRRSAARGLPASDERAAHNHFLRPSDFASGATNANRFLYTHQLKTIVL >Et_1B_011963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27566569:27569434:-1 gene:Et_1B_011963 transcript:Et_1B_011963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRSTKRPRLSSPSPATPPADGRDLLSSLPGEMLEEILRRLKIGEAVCTSVLAKSWRPRWANCPGLAFAFDGLHPPAAVDSVLAAYAPRIGEFSLEITEESYGKADGWIRAVAARGVRSLKLFFFWHRPGQEIAGVLPAPVFSCSELTSLELEHCHMPPVPSDFRGFPHLLHLKLREIHTRHPKTLEAIISMSPSLTTLCIAYLHITSNNEDGSYDNWAIHAPNVKGFEIRSDEYSEAHGCSIDNLDSLEEAHVAFEGPRLVWMLSAMRRAQKLCIDMQSDHVLLQHQPSKFLNLTSLRIWAKFDQSPRIVATTLHLLKSAPKMENLWITIIHEHDEDIEIDMEFTYTQWVGLFSRLKCVRLDYIQSHSNEMQFIEFLLLEARQLQKIEISVHELSSMSMEDVSDELSKYGKASSQAELIITHPQPTMQMLGGLMMSDV >Et_7A_051110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14591224:14593260:1 gene:Et_7A_051110 transcript:Et_7A_051110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRQKVPNSGWAAFDRRLRGKDGRGEENDVSSFPALSDSRTPMSSSSFVTENSRANPKAFASVVRPSADFAAVDNASRNKQLANHVDDGNYGASSASDNKIKLLNDAHSWADSNLIGDVLAAVNGDVGQASDLLKAMVSPDLLKGDGKACDQFAAEMNKDSPTVDNKHPDGSHLLPVPMNLCSIPMEPELEEPDDDYLNHRKDALKMMRVATRHSQAASNAFMRGDHAAAKELSLKAQEERAAAEKLNNKAAEEIFRLRNRNNDIWKIDMHGLHASEAVAVLERHLHMIEFQSSGTSVTSSDELAKFEAAYSESTTGSNIESTTGKVVLHRPKQAILHVITGIGNHSKGQASLPVAVRGFLIENGYRFDELRPGVFAVRPKFRRQ >Et_9A_062563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23995330:23997644:-1 gene:Et_9A_062563 transcript:Et_9A_062563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATMFRFVSLLTFCSLASMALMLGYYGEVEVAVGPGCSRLVQASSVFVKGIKVSVAAGAQENNGGLVLYGLAGMPPMDVPAEWSEARRVVVPANLHREWVYFLNKGARIEAAYSVDSETDVPYPVCIVIAQGKGSFIQWTEKISEQKATLSRWLVQGSGKIEQTINHSSEYYFAVHNLNNHQDTTVQLNIRIRTWIQHHRSRVQMLTGPACLHLQSTISRTKCCSPIIRKGLDSNEQRVKLAYEPRWIVYVVGSAILAIVLMLLYEIVSMLCDPCDRGGRGGVERRTPLLTSKEDDSASLGSSYDSVSHDGDDGGGVAERGGEGGCDDGCVVCCDARKDCFFLPCGHSATCYACGARIVEENGSCPLCRRKLKKVRRIFVV >Et_4B_036330.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:18258182:18258436:1 gene:Et_4B_036330 transcript:Et_4B_036330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAARRLEEEATPKEEEEEEFLPHLTVPELPVPEHELPPKPELPPFPEEELPPKPELPEVELPPKPEFPAIPEFHFPEPEAKP >Et_3B_027750.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:17108885:17109043:-1 gene:Et_3B_027750 transcript:Et_3B_027750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPTGGRSGTSLLLLHLLQMVVESLKSAMEQSHISGRQNRSSTQSKQLKLH >Et_7A_050410.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:13400965:13401483:1 gene:Et_7A_050410 transcript:Et_7A_050410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAILEGLGLGKEYLHEKFQEGSQLLAVNCYPKASQVPKTIGLAPHSDYGFLTVLLSNCQGLEVADRSSNSWKMVQQLPHALDVHTGDHMEVLSNGRNKAVVHRAALNLEEARISIASIHGFALHEKVPCAKELLDEQNPQKYKESSFSDFLDHLEANMDNERRNFLESLRM >Et_3A_025442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29945732:29952554:-1 gene:Et_3A_025442 transcript:Et_3A_025442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRIGQLFSVLSLVPTTKPTGNKPQQSAVTGAGGSSKQRAAGDAITPAPAAGRATAAAAAAGSEVLASMVGMYQHQLHDDAFGTLGGGHCGDQPRLAAAGSSSSSSPSVVLSSSLAQAHGGGEPRQLLEGLVGGSVLRGAGGGRGAAACGDLEAVVLWVRELAMDPVAPRPVPAEDRARKRQVRTLRRARYLRLEDVADAEELPSFRKRKHKVHNNHWVNPKKKGCINVPTRKSERLAKRMKLMTSLLLIQRKKIGVGEHFQAEVPDWTGQPSRGERSCYMNDPETSKFVGTRIWPPEGEVCKTDIVAVGKGRPESCNCPYPGSFFCNQHHINEARDQLRSELGQAFSEWQFDSMGEEVAKLWSREEQLKFNALERLVPVMDQKTFWAVASKHLPSRPRKDLIKYYLNVFLMRRVLSQCRLNLLEIDSDEDEVEEEDEDQPDSSISLHRKNNEELKEKEIKDRKSTHVLMLCMPNTESFR >Et_2A_017676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:518579:521167:-1 gene:Et_2A_017676 transcript:Et_2A_017676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAGEQVRSVKVTNVSLTATEQDIKEFFSFSGDIEHVEMQSGDEWSQVAYVTFKDAQGAETALLLSGATIVDLSVIIAPAPEYQPPPSASAPPMSGTRVPVGGDNVVHKAEDVVSTMLAKGFVLGKDAIGKAKSFDEKHGFTSTAGAKVASIDKKIGLSEKITTGTSLVSEKVKEMDQKFQVSDKTKSAFAAAEQKVSSAGSAIMKNRYVFTGASWVTNAFSKVTKAATDVGTMTKEKMAAEEQHKGSGASSGGHSYTPIQ >Et_9A_060966.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22040297:22040554:-1 gene:Et_9A_060966 transcript:Et_9A_060966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRSSSPNSITEWSKQENKKFEQALAYYGEDTPNRWDKVSSALGGSKSAEEVWYHYLDLVDDVKKIQSRQVPFPKYKEQGFWT >Et_4A_032203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23788455:23790324:-1 gene:Et_4A_032203 transcript:Et_4A_032203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEKLGSDLDGCLSQLDSRDTVVSELQNELRHRNKSLELQIVESWGASVLDVLRSILVDSCSYIDTIKLNMQHHCEIWRRKLLLPEKCERELNSLHADFVLEKEQSENLKNLLEQLKAETTAELLRKANSFLCCLSREEQVG >Et_1A_008560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8797053:8799413:-1 gene:Et_1A_008560 transcript:Et_1A_008560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAETVFTPSLEGMKHVKSDNGVILTKPFLDVCKQILPVLDKFGAAMAIVKSDIGGNITRLETKYSTDPSKYEHLYSMVQEEVQNKTAKGSSSCTNGLLWLTRAMDFLVELFRNLLEHPDWTMSQACTDSYSKTLKKFHGWLASSSFTVAMKLAPNRDKFMEVISGTGDIKADIEKFCTTFSPLLKENHEFLAIVGLDDMKAS >Et_5B_043809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16382739:16385378:-1 gene:Et_5B_043809 transcript:Et_5B_043809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KFHVLLVFATVVAQIHWASVSGMYCNDLTATVERPHSASVTDFGAVGDGVTLNTKAFQNAIFYLNSFVKKGGAQLFVPAGRWLTGSFSLISHLTLSLDKDAVILGSTDSSDWPVVDPLPSYGRGRELPGKRHQSLIFGSNLTDVIITGANGTIDGQGAIWWDWFHNHTLNYTRPPLVELMYSTRIVISNLTFQNSPFWNIHPVYCSQVLVQHLTILAPISSPNTDGIDPDSSTNVCIEDCYIRNGDDIVVIKSGWDEYGISFGHPSSNISIRNITGQTRNSAGIAFGSEMSGGISNVRAEGIRIVNSVHGIRIKTAPGRGGYVRNVYVADVSMDNVSIAIRISGNYGEHPDDSYDKNALPIISNITIKDVVGVNIGVAGLLQGIQGDNFSNICISNVSLSARSMDPWNCSLVEGYSNSVSPEICEQLRPNPGPGQVCYDGNSYPAATIQPQAPQKSGASRLVNPFHKLASL >Et_1B_010902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15265953:15269833:1 gene:Et_1B_010902 transcript:Et_1B_010902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGVGSSGHECSFKILLIGDSAVGKSSLLVSFVAAAHLDDDIAPTIGVDFKIKFLTVGGKKLKLTIWDTAGQERFRTITSSYYRGAQGIILVYDVTKRESFTNLAEVWTKEIEANSTNKDCVKMLVGNKVDKDDERMVTREEGLAFAQESGCLFLESSAKTRENVEKLFEELALKILNVPSLLEEGSSSVVKRNNLKQKQESHAKRGGGCCQ >Et_1A_005990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16447465:16451866:-1 gene:Et_1A_005990 transcript:Et_1A_005990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRNSRLCGQNLRPRPCAVASPTMLRRALLSSAATAGSRTKIQNPSHLPSRVLLPQWRHYASADSSSPTPPPPPSPPKGAHRNRDVVPPPQVAKFAAIAETWWDFNGPFKPLHLMNPTRLSFVCSSLCRHFRRDPYSSKRLEGLRVIDVGCGGGILSELGATVTAIDAIDKNIKIATVHAASDPITASIQYRCTTAEELVKQKRQFDSVISLEVIEHVANPLELCESLSALTFPNGATMVSTINWSMKAYATAIVAAEYILRWLPKGTHEWSKLVTPEDLVLMLQRAFVSVQEMAGFVYNPLSGEWSLSDYISVNYIALGIKKSEEPSTMSEAPSAMTEAPAPLTQKQS >Et_10A_000650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14455457:14460809:1 gene:Et_10A_000650 transcript:Et_10A_000650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGSDDPWEYNLRKYLLLLATLVATVTYAAGFNPPGGVWQDTDEKSGRLAGDPIIRDTSYLRYLAFFYCNATAFASSLVVIVLLLILSVMHDRGSTNPTPLPTLRAVMALDLLSLMGAYAAGTCRDSVTPVYSSVLVLGVVAYVAVHAALAMRSGRKDDSPLERLRKVLMLLATFAVSVTYLAGLSAPGGFWTSSDNSGDGRRRPGHAVLKGGPHDARLKAFFLCNTTAFVASLLIIVLLLDKGLRKSDKMRSCELYGFIVVALVGLVGAYSAGSCRDAYTTIYINCLVGAVLACILAQAVVVTYFDQALNCLLAILQSVSALLRERIPLFSEQETDQEPQIANDNGSDGQRRTQALEKARSLVLLLATLAAAITYQAGLDPPGGLWETDGDGYMAGDPILLTTNPKRFKAFFYCNSVAFVASLVAIILVRQKTLHQHNALEAAMILDLFGLIGAYAAGSCRDVRTSIYAMALAGAVLVYVVIHVVFFTLDHEDGDMRWNDEASLRKQKEELEIVEKRRKRLLLFAILAATITYQAGLTPPSGFRVKDDEFGHQAGDPVLLYNFPRRYKAFFYCNSVSFMLSIALIILLVNKNLYRPAIQSNALSVCTGAGMFSLVGAYVAGSTQYKRTSVYIVVLAAVVLIVVLVLMVVFLKMHTGEEEAEEDAENDISPEASSNEVNGSSVEETRADMPHVPEIQASEIETGEGKKLHAKRKYLMLLGILVASVTYQAGLKPPGGVWQSDEDGHEAGNPIMYDNRRSRYLAFFYSNSTSFVASIIVIILLLPESLHREKWWLGVMNTTIVLDLLGLLVAYAAGSSRSWKRSGYVSALVIAVLAYFTIHVVLSRISRRRKKARSQSARQLKEGGNSGHQRQPNGIPTNTRLRLTTRPGGRLRPWRQVPVNACECGSGQGRGSFPRSYPKQSNRGQVVAPSREKQTRKNYSHLCTLAPPRRSTVN >Et_4A_033894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27782563:27787639:-1 gene:Et_4A_033894 transcript:Et_4A_033894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLDWDLNLEPDQQPLARRLSFSDADSAEPLRVSLPHQMNRCPPPPAPKGPVLPSITENADEEEAAAETPVRPPRRAAPPSARVTSSDAPYSVQAGMPHPTSAPVSTLYPAQGGVPHPTYAPVATPLVFAPPAPFQSQQQLQEAAASAARSEYQRQLQAATQQQKQGFPLAALAPRLRLFTVDKRPAGYETKWSELHPLCQRLLLRIEYGSLRILSRLLKILSEYKYQSQLLDQCSWLHDPSLYNKSFELDASQITQVTFKNLPPIEKVLGCIGSREIGSTSTIMDREKVSIKGLAAVVKEMMWNTDIALCSLKKLRSRFVQRSAGGAAGYADRAGSFGGYTEFNQRLTKAPTFCCYSGAPRRPSPFIQLCVARLEERIGECLKWVEELEQLVATKNDKSFLESLESLSKVMSNVHDYFIHVASKVEDIHQAVEAMKIQYLNDQRCRGDWNDPFAEADRRESAKHEAAVRTIHPTLRLSPPSQPMPPVTASVMASQLQKNSFPAVGTFPSSGPTLPLPLLLPTSSTQPRPAPVTNSSSSSSITSSTASLFATPPGGTSPSAGPTLPQPLLLPASSTQPRPAPVANLFSSSSITSSSSSLSTPPGGIHHNSHYTCSWVEQQLQGSIGYILIEGGRQDAFAMFDASFLCLSEGEAAKTQSLAVYFIFSLEIMSAMLTVARFHRRLGGNHVNPGLWAGKLQRSIAPATNHFGAG >Et_1A_005140.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28920388:28920573:-1 gene:Et_1A_005140 transcript:Et_1A_005140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVDLGIWLIPLTLVLVPCRRIVLLLSRLEELRRSMTRPRRTTADMWSRFATLNSMDFMV >Et_9A_061920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17853165:17854206:-1 gene:Et_9A_061920 transcript:Et_9A_061920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKATTTGAGGGYDRQRELQAFDDTKAGVKGLVDAGVTAVPAIFRHPPDSFSLPQLAAALADGDAIPVIDLASTTTSRREELVRRVRAAAETAGFFQVVNHGVSGALLAETLAGVRRFNESPAEAKRPYYGRGNARKVRFSSNFDLFRSPAANWRDTLFCDVAPEPPRPEELPEHVRHVMVEFGDAARRLAERVLELLSESLGLSRDHLTEMGCVEGVGVASNYYPPCPEPHLTLGTSRHTDASSLTVLLQDDMGGLQVLLDRGDGGRHGWLDVPPVPGALLINIGDILQASSPLATSICHLPINRPTNLSLYHQTYK >Et_9B_065946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18551115:18552608:1 gene:Et_9B_065946 transcript:Et_9B_065946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPSLLSLLSLLVLLLSRLADAVAEPTRYHTLVATPLSPDPATRDERAIPDASLTDISDDHHNTSTYEVSYGDGSVTAGDFATETLTFARGARRVPRVAIGCGHDNEGLFVAAAGLLGLGRGRLSFPTQIAARRYGRSFAYCLVDRTSSLKPPSSSRSSTITFGSGALTTTAAASFTPMVRNPRMATFYYVRLVGFSVGGARVPGVSQRDLALNPSTGRGGVILDSGTSVTRLARPAYAALRDAFRAATAPAGLRLTRGGFSLFDTCYDLGGRRTVKVPTLAMHLAGGGAAVALPPENYLIPVDTRGTFCFAFAPTDGGVSIVGNIQQQGFRVVFDGDRQRVGFVPKSC >Et_4B_037938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2530074:2533641:1 gene:Et_4B_037938 transcript:Et_4B_037938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGVESQRKMPAETRGAAPAARTGTGSKPGGGGRKHLSSIANHVLQQCSLALDRSVNDLVADFELGLKTAAVDSYSRRLVEFCSLQALQIITSRDLGDKISDGSFSRFTFDMMLAWETPTPSDQQITMESIAKEREDRKEPLGENEAVMGDDTSLFYSDIMPLLVNEEPTVGEDAYVWFGSVFPLACDLVNARFTFEALTTTTASRLHYPAYDKFLKEMDKSFKFLQDLPTPTDIEFAEDEFILHMEGTAGTQRVVRHIGTSSWPGRLTLTNKALYFEASGKISYDSAFKVDLSDPEVEHQINTASTGPFGVPLFDKAIVFESLPEPLVLEFPEMTSSTRRDMWLTLIREVLFIHRFVSMYNIESPIHKWEVYSRIILGVIRLHAAREMLRMSPPLPSSFLVFSLYDDLPKGDFVLEQLASNLKQTSTITRLSASYVFKSLSKSHLVPLIAESERAKDNDADSDSHGQPLASLENTIDQVKDEAREVTAANVAIEGMKEEGITDSLVVLVGLVSPLGKLRPVIQRITSWERPLVTGGVLAAILLAIYNEWIGYVLAAGLIMAVGVMVWARRGKIGKIHSEVIIDTSSDKTTMDSIVEAQQSLRKAHEYIKTTNVVILRLWSIALARSPKHTETMIWMLAGCAVALALIPFKFVLIGITAGSFMANTRIAKATSNPQGSRRWREWWESIPAVSVRTVDKSEQ >Et_6B_049813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13485629:13488242:-1 gene:Et_6B_049813 transcript:Et_6B_049813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCSFDPYRTVKITLCSIRRRFAPDPLRSTQALLDPPPIRRRFSPSPQAPPPPIAAADSPGNGTAPAPEALKRRQPQAPPPIRQPPPSTSLTPSVSSLGVPPVQAGCRLVDWFLVPPTVEEESSQFKKAAAQGCNLLPLKRGIFSDHLTPVLAYRCLVKEDDREAPEALKRRRPQAPPPIRQETAPSRHPKPSSAAAPKGSQRSTNASPHNPIADAGLDLNTQSPVAEGFPGLDLYGAYLQSSDDDEILRGRDELHPGRVRGSGLPPYRAPRARSDDGRPPPYARQLHFAVHPRLQLVAEEDTVGSFLAGRRQGQPAAFGGNRTRPSLQKGHRRHAGVPAMALAADNAYRVPVHRGHRAVLAVEGHPAPAFPSTMLMKN >Et_3A_026679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13683337:13685928:-1 gene:Et_3A_026679 transcript:Et_3A_026679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLVAVVQTGPQQLMQYISVSCYPLVRELRRPSEEKVHPQVCNKMSLKMVKHMLTRSSVVLGGAVGAYFLWPAAAVAPAAVGATMKALGAAGFVISRAAFVANPQLYFQILRTAGAAAFV >Et_2A_015334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11119628:11121487:1 gene:Et_2A_015334 transcript:Et_2A_015334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRSSARGEPRRLGNVALLTLMLCSVVALSLIRGRFAPVVSTVGDSIKSDGAAAAALAVNIEPGHEAAAEEKEVEPSPTNQAAAAGAQPVCRETSRRSDTCEAAGDVRVVGRAQAVYVDTLDREYKTKPYCRKHDSYALSHVKEWTLKPLVPSGAGDQTPPPPRCTVNSSATAFVLSTGGFTGNPFHDYTDVLIPAFITARPLAGNVQFLVSSYKPWWVSRYIQIFQQLSSPRHEVVDVDADDEVRCYPRVVVGPTFHRELGVDPSKGSLSTADFRAMLRDAFGLERATATPSGDRWDIRRRPRLLIISRRPSKGRAFTNERAMADMASSLGFDVRVGEPDASTDTGKFARLVNSCDVMVGVHGAGLTNMVFLPAGAVVVQVVPYGRLEWLARNTFAEPVKGMEVHYLEYQVRLDETTLADQYPADHPVLKDPMAIHKQGWNALKTTYLDKQNVRPHLGRLKNTFQQALKMLPHTRDD >Et_2B_019285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21230058:21230993:-1 gene:Et_2B_019285 transcript:Et_2B_019285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSGAPRSAAPGGRRTSEAVFLLAHHRRQPSLPLVSFRGQLPAAHLAVVDAALDTLDLRWPPPSERQPVLRFNDYNYYRDFSVHASCDGLLLLRLLRLRRPLLLPLQPGHAPMDQSASPQRRRRRRPVSTRLLRRVPRPLLEGAVHRRPQSRLPRPHRGLLRGATARRRLHGFRAPRRLAPRLPPLGPPRLVGESAARFRHGRRIVQDHEFSDRCRLGAAAAARDGRHSRRQLDRQEQYDDEAVGAAGLRDGGMVAEAKGYTSSAGNVENCEELSILREGGV >Et_2A_017815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6555473:6558509:1 gene:Et_2A_017815 transcript:Et_2A_017815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLRRHLTRTSATPHLSPLFARTRWVSDSTDPITVETSVPFKSHIVDPPSRSTTTTARELLTFFRDMSLMRRSEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRADAIITAYRDHCAYLARGGDLVAAFAELMGRRDGCSRGKGGSMHFYKRDANFFGGHGIVGAQVPLGCGIAFAQRYRKEGTVTFDLYGDGAANQGQLFEALNMAALWKLPVILVCENNHYGMGTAEWRASKSPAYFKRGDYVPGLKVDGMDVLAVRQACKFAKEHALANGPIILEMDTYRYHGHSMSDPGSTYRTRDEIAGIRQERDPIERVRKLILAHDFATAQELKDMEKEIRKQVDAAIAKAKESPMPDPSELFTNVYVNDCGLESFGVDRKVVRTVLP >Et_5B_043388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:161130:165272:1 gene:Et_5B_043388 transcript:Et_5B_043388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRRKSASAPAPAAAKQPPPRRPSASLSVAGLVVCIFLVATFLYNEDVAKPSSSSPSSSTNRSDLPLPDGAATSGRDLQEPSHLQEVNADNHLREDEEGEQEHSSSNHDPPQQEEQQGWWTYDAAGEQVPLYREPECEFLTEQVTCMRNGRRDDSYQRWRWQPAGCDLPRFDAHLLLERLRNKRLMFVGDSLNRNQWESMVCLVQSVVPRGKKTLEKFVNNGSLNVFTAHEYNATVEFYWAPFLVQSNSDDPQVHSIVDRVIAWRAIAKHAKNWKGVDYLIFNSYIWWLNTFEMKVLKTWAKWVDRHIDPNKTTVFFMGMSPNHVVPWVWGNPGGIKCAMETQPIVNWTKPLDIGTDWRLHGAARGVMARYLTRVPVEFVDITALSELRKDAHTSVHTLRQGKLLTPEQQADPKTYADCIHWSLIIRDSSKKYNHIETFSPPLKPQTDCTNLVVLGRIPSI >Et_2A_017475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34743976:34744260:-1 gene:Et_2A_017475 transcript:Et_2A_017475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKKLTLLQTVTAAGVFSAVSFWYGFMFGRESARRELGGIIDDLRSNRTTNTSTGSSEPDAHSKP >Et_10A_001054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20737452:20738482:1 gene:Et_10A_001054 transcript:Et_10A_001054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHPALSHGHPQAWPWGMAMYTNLHYHQQYEREQLFEKPLTPSDVGKLNRLVIPKQHAERYFPLGGGGGGDAGEKGLLLSFEDEAGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDAVRFERVRGGLGAGDRLFICCRRRGESAPAPAPSPPTPPPPVRAPQPKMNGGEQQPWSPMCYSTSGSSYPASPASSYAYHSDMPHAGETTESKSSGAASAPTRKLRLFGVNLDCGPDPEPETPTAMYGYMHQSPYAAVSAAPNYWSVFRTANITEFS >Et_7A_052908.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2563854:2564549:1 gene:Et_7A_052908 transcript:Et_7A_052908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEYRSSSEESAASAAAAAAAAAAMAPLAAAAAAAVKMEEQAAMTPAAQLASHQQQLQPRRQYRGVRMRKWGKWVAEIREPHKRTRIWLGSYATPVAAARAYDTAVFYLRGRSARLNFPDEIPALALAEEEPRDADGGGGGTLSAASIRKKAIEVGSRVDALQTGMMVTPPHHRERKHHHHHPQLQLELRSDEHHHQHHEQKQQQRAAWSGRAKNPDLNRAPSPESSDAE >Et_8A_057857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8424515:8430031:1 gene:Et_8A_057857 transcript:Et_8A_057857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TLCRVVVFPRLLLTLVSCRPASAVLAAGKSRRAMSSAFKAFLNSPVGPKTTHFWGPVSNWGLVLASVADTQKPPELISGNMTGVLCVYSALFIRFAWMVRPRNHFLMVTHMSNECVQLYQLSRWARAQGPVGPRTSHFWGPVANWGFVLAGLVDMNKPPEMISGNMTAVMCVYSGLFMRFAWMVQPRNYLLLACHASNESVQLYQLSRWAKAQGYLDKKERETKE >Et_9B_064708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17920540:17928825:-1 gene:Et_9B_064708 transcript:Et_9B_064708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTSEKTMQPAATGLALGVGCGAGGGPHYRGVRKRPWGRFAAEIRDPAKKSRVWLGTFDTAEEAARAYDAAAREYRGAKAKTNFPSFASSSPMPPAAAAAIVTGGGGGGSRSSNSSTVESFGSGDVQQAPMQAMPLPPSLELDLFHRATAAGAGALRFPFSGYPVSQPYYFYGQAAAAAAAAAGCNMLKLAPTVTVAAVAQSSDSDSSSVVDLAPSPPAAVSAQKAVAFDLDLNCPPPTEAYGTANHAVAAAAAEAHEQPACPSNPSEKGMQMEWDMLGGGAHGGGGVAVGDGGVVARGVSVAGGGGGDHQLLPLPLRGGEGHYRGVRKRPWGRYAAEIRDPWRKTRVWLGTYDTPVEAALAYDRAAVALRGTKARTNFGRGSGGGNGRYPPSSPAAQQPRTAAPHHPAQPAFGGLDISQPSPWRFVYFPSRVHQQAGLAPPGAEFAGPSTALELRTGPRSLPFDLNEPPSLLFGS >Et_4B_036737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11060302:11060881:1 gene:Et_4B_036737 transcript:Et_4B_036737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLARCFVAACVAAVACALLGATPGGAQPLDPHFYDKACPAALPTIKRIVEEAVAVEPRMGASLLRLHFHDCFVNGCDGSILLDDTPFFTGEKSAAPNANSVRGFEVIDRIKAAVNDACRGNVVSCADIVAVAARDSVVAVRSLLH >Et_8B_060665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4217473:4219292:-1 gene:Et_8B_060665 transcript:Et_8B_060665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGKSSGVDGADLSDIDSSWVVLKNSDIVPADVAAAAAVRGGRRLGSSPSIPTWARWVLGGVVFTVVPFYNRVRHAEEETVGVVENAVEVVEHVAEVTEKLAANLIDQLPKDSSLQKVVEKVEYIAEVVDNDAEKVEVIAEKIDKVSDEIDAAVEPVIEELEKEFDQSATSANGANAQN >Et_5B_045468.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:2446023:2446298:-1 gene:Et_5B_045468 transcript:Et_5B_045468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSLLLAVLVSAAAIMDAAAAAEETTAPAPAPTKAARRAMMYYYGGISCRVLGNCPTPPSSGPPQQANNYTRGCNPIYQCRGGSDAARG >Et_4A_033663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25497429:25502197:-1 gene:Et_4A_033663 transcript:Et_4A_033663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLVERLEAAVARLEAVASGASLSLAASRDIDIPAASDPAIVAYDEFVAEAVGRLTAAAEKIGGKVLDATKVLAEAFAVAKDLLVQAKQLQKPASMADAQDFFKPLNDVITKASAMTEGRRPDYFNHLKGVSDSLAALAWVAFLGKDCGMSFPTAHVEESWQMAEFYNNKVLVEYRNKDPDHVEWAKALKDLYMPGLRDYVKKYYPLGPVWGPAGGVSQPKAAAPAPKAPAVKAPPPPAPPSAPLFSTEKSPESSQPKKGMSAVFQEISSKPVTAGLRKVTDDMKTKNRADRSGVVSSTTTAPAPAPEKTPRAGSFSFKSGPPKLELQMGRKWVVENQVGKKTLAIDDCDSKQSVYVYGCKDSVIQVNGKVNNITVDKCTKVGIVFKDVVAAFEVVNCNGVEVQCQGTAPTISIDNTSGCQLYLSKDSLGASITSAKSSEINVMVPSGVTDGDWVEHSLPQQYIHNFKDGQFITSPVSHSGA >Et_3B_030103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30585692:30586843:1 gene:Et_3B_030103 transcript:Et_3B_030103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLRTVHIGRSSPTREAAAAIVVDGRAGVDLARVGLALGLDPASVRLNGYFLSRGPGHVSSAVTWRALLAFFAARGLPTGADAAAPIAVHGKPAAASTPPSDPTTQVPSKRKSRLEAERCTKKRSDESTSSTLSKCSYDLLSDEIVLGLKRRLRLEDASPAKKIKQVKCSSDAQQPVKFSCNFLNGNGKRPLDEEMVASLSLKRVR >Et_8B_059386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17385823:17391020:-1 gene:Et_8B_059386 transcript:Et_8B_059386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGSRMTVVGLRMLLAVSAGVGGSEFGLLISLVGVLAGANSIAVGVRMGHAQTTAIVPAAFAGLASDRAFLWRRKLAVAGLAMASSAVAAVAGDAGPHACSVSAGDVHGDMAATYTRASRMTATGFAVLSLAVTAGVSSNKLALLLGFVGVLAGANLIAVAVRMADDPTTPIVNAVFSGGGALTQFLRRNLAVVGLATASAAVTAVTGDAGGPVLCFSSFALFLLGVSLITIGVLGE >Et_8A_057164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21870997:21872842:-1 gene:Et_8A_057164 transcript:Et_8A_057164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQSMDPPANLLMYLALALASLLVVLEKKRRRRSAEHGLRLPPGPWQIPLIGTLHHLVGQLPHRAMRELARRHGPVMLLRNGEVATVVVSSRDAAREVLKTHDPAFAQRPLTATTRALTAGGRDIIFAPYGDRWRQLRRVAVTELLSARRVLSFRGVREQEVAALLRACAAAAAAGGQVEMRRRLAALVADTAARAVLGDRCEDRDAFLRELGRAIELSAGFNPADLWPSSWIAGRLSGTLRRADKCRDTVYGILDGIVKQHLERMDDGETEDLLDVLLKIQRNGDGLQIPLDMQDINALLFDIFGAGSETSSTTLEWAMAELIRNPTVMRRATAEVREALGAHGTVVREEALGGLRYLHLVIRETFRLHPPVPLLVRSSAGPEPLSSARVLGYDMPPGAAVLVNVWALGRDERYWPGDPDAFRPERFEAAAAPEFKGADFELLPFGAGRRMCPGIAFGLASVELALASLLFHFDWEAPGVSDPTELDMTEAFGISARRKANLLLRPVLRVPVPGV >Et_7A_052719.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14166429:14167676:1 gene:Et_7A_052719 transcript:Et_7A_052719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPHLFRCPISLDIFTDPVTLSTGQTYDRPCIERWLAAGHRTCPVTMQPLGDAALVPNRTLRHLIEAWLSADHHHHADHHAEEEEEEEEPSLAALKRRLLLQSGGGKAAALKKVAALASESDVGRACMLQLGFLPVLLQLVFHHAPPPTPTRHLEEEEELALQCVLSLLPSNPAAPQLDCLNMLRNEASLASFVRLLERGRALTKAGLCRLLETVATADATQMTALVAAASPRVWQALLPLLHHGAGGDERVSATATRAVAAVCAAVEPARASAVRHGAVAALFRLLASASAGKGGFVGGGPASSSTTSALAAVEALTSSEFGARAVAREPGAVRTLVRHVFVMSTSNEGGSERAVAALLAVCRESRAAKAEAAAAGVVTQLLLLLQSQCGARAKAKARALLKLLKSVSSKQFT >Et_9B_063950.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:5444497:5444646:-1 gene:Et_9B_063950 transcript:Et_9B_063950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLLQGNEEREMLWDSAGKLRKVMMDFYNLARNWVPLRRGLEQETPAV >Et_3A_025545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30670318:30673192:1 gene:Et_3A_025545 transcript:Et_3A_025545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPNNKLKDICFSFLLVSMVQGLNSGQGVSYKVIQCDAPTQITVAQLPSCSRNWMGPPVGLEVALLYQAQLQHGREVLERSHLKIKRSIFSTTINVSNFVVDQ >Et_1A_007390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34007825:34010173:-1 gene:Et_1A_007390 transcript:Et_1A_007390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSTNRQVTFSKRRGGLLKKANELAVLCDARVGVVIFSSTGKMFEYCSPTSSLRELIEQYQHSTNNHFEEINHDQQIFMEMTRMKNEVDQLETGLRRFTGDDLSSLTLTDINDLEQQLELSVTKHELLTQQLDNLRRKEHILEDQNSILCRMIIDNQQDVSRGNVKLGDMTPLAMLPPAAFGHAAAAYYGGESSSSTALQLMSAAPQLHLGGGSGGFRLQPTQPNLQDLHHGHGLQLWRV >Et_1A_009493.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6655112:6655708:1 gene:Et_1A_009493 transcript:Et_1A_009493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVAYSDMVLPRVLLQVLLLLGHLHRFLLWAFHAVGLGDLIDLNCPLPEPEQQGSAAHGGAALLQHRRPEFRAVPAVVIDEALPVVRFDELACGADGDCAVCLSGIAAGDEVRRLGNCRHAFHRGCLDRWMAHDQRTCPLCRAPLIPGDLWPADAADYDLSYPSLLPSPSPPLPTVPTPTLLRPHELLLNGLGGFQ >Et_1B_014087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30129984:30133221:1 gene:Et_1B_014087 transcript:Et_1B_014087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTTDVDFAFPPMQPYLGTFDAGMSIPSGVERPLLQLQQQQNLYDNFDFAAAASTGFPFQESSLLLPLPPPPSPSMAMAMPSSLQMPLLSGVSTAELYPFGSGLLKREVDGPFVDAGGGGRIGLNLGRRTYFSPADVLAVDRLLTRSRLGGGGGGGMGLGLGMAGVLGLGLGAAHHHHQQPPRCQAEGCKADLSAAKHYHRRHKVCEYHAKAAAVAAAGKQQRFCQQCSRFHVLAEFDEAKRSCRKRLTEHNRRRRKPASAHDKASSPPPKKADTTSITTSNNSNHKSASTAEAKSTAISPNGSGVSGLDMDNVQTSSAAPTVLSLAALPLPEKDGGLDTMLMQHMHGRDDDEQHFMTSLVLQAQQHQPHHNDGGNILSCSSVSDQQQNSGSNGFFEVDFI >Et_1B_012888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3961060:3963763:1 gene:Et_1B_012888 transcript:Et_1B_012888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASSPSPEQPLLRPPPPSRASASSGGSPSPSPPAAARPSRLAALIGRAAGRRGPSMLVRETAALQLERRRADWAHSRPVVALDIAWNVAFAAAAAAVLASSAEERPVKPLRLWLVGYALQCLVHVALVCSSTRRSPARPRGSASDIESAADAADSGVDSSDSDREDDEQRSSFSSRCESMNTLISFLWWIIGFYWVVSGGEVLEYGAPRLYWLAIVFLAFDVFFAVFCVVVACFIGIALCCCLPCVVAILYALAGQEGASDADISMLPRYRYSFPSENGQKGTDEGVMIPILNNSGTSTSERILLREDAWSYPLFPATIISTGRALPNGYACTQPVHFASTTFSKAVKITTHNA >Et_2B_021610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3503598:3506276:-1 gene:Et_2B_021610 transcript:Et_2B_021610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQQPPGLAEDKERETNVLIEAAPGSPAKAVEDAPRGQLSPAAWFRMLAKELHWSFVFGVVATYGISQGLGGGINRVASDYYWKDVQRVQPSVAQVYQGITSIPWMIKPIWGLLTDVLPIAGYRRRPYFILAGFIGVIAMLIISLHGKLHPLFALLALMTGSASVAIADVTIDACVAENSIIYPNLAADMMSLTGFCASVGGLIGFSISGFLVHAIGSKGALGLLTIPPALVILAGLLLNEVQIPNFPYEQAHKKFAEASGKMLTTLKCPEVWRPCVYMYMSLALSVDIQEGMFYWYTDPGAGPSFSEGFIGFMFAVGSVGSLVGVILYQNILKDHPFRNVLFSSQLLLSLSGMLDLILVLRLNLKMGIPDYYFAVIDEGVSKMINRIKWMPLLVLSSKLCPTGIEGTFFALLMSIDNIGSLTGSWAGGLLLHLLNITRTEFKNLWAAIIIRNAMRLLPLALLFLVPRSDQNSTLLPSDLLIDDDDDGEAQQTEDVELTSVAVDRSSCFDKSLKECKGQGEHGTEQDDDEASLLANRG >Et_9B_064451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15271327:15273923:-1 gene:Et_9B_064451 transcript:Et_9B_064451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FSVPRTFGLSTAPELMLFARVGRVVDLHIPRDKETNRSKGYAFAEYETEEIAQYAVKLFTGLVRLRNRTLRFAISGQDKPSSNGNNPVTPRLNPVPQPKPPQLMRSSDTPVSHHTVNGRIAGYGISPNHSYDTHSQAPSSGLPSRGLSNGTYEYSRRVFGSVMDDVSRRSSRDPIPYPMHLEAGVPPVYGAPRFRYQGL >Et_2A_015127.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31266200:31266403:-1 gene:Et_2A_015127 transcript:Et_2A_015127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSCFGSGGYREADEPAYEQLRRSSRKVRPSDEDGLWYVGERDVDQKASEYIARFRAKTMFVEP >Et_1B_010169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27529176:27529638:1 gene:Et_1B_010169 transcript:Et_1B_010169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFQAATMSHLVVVVLAISNAIVSTQAQAAAVEAAPNPAPAGPLNLTEILRKAAQYNAFTRLLKDTEVTSQVASLLDGGGGRNADGVTVLAPTDAAFAGLRRSRSWCCSTSYQSTTPSSRSRPPPTPCARRRPARAACAPST >Et_9B_064988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20266750:20270554:1 gene:Et_9B_064988 transcript:Et_9B_064988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPLAGCGTYATTSSGDREDRFLQMEPTCDSLMRELQDIWEEVGEPEGARDRMLLELEMECMEVYRRKVDQANRCRSRLRQDIVEAEAELAAICSAMGEPLVHVKQSNQKSGGLLEELSAIVPHLEEMKKKRIERWSQILAIVEEIKKISFEIRPADFVPLKVPVDQSDLSLRKLEELTMELHSLEKEKSDRINQVMEYFNTLHSLCEVLSIDFKKTISEVHPSMDEAEGTRNISDTTIYRLKLTIERLHDMKIQRMQKLQDLTSAMLVLWNLMDTPMEDQQAFQNITCNIAASEDELMERNALSIDFLNYVEAEVLRLEQLKASKMKELVLKKKTELEEHRRRAHLVGDEGYAAQFSIEAIEAGAIDPSLLLEQIEACIATVKEDAFSRKDILDRVERWLSACEEEAWLEDYNKDDNRYSAGRGAHIMLKRAEKARVQVNKIPGMVDILRSKIIAWENEKGTEFNYDGALLLSMLEEYVIVCQEKEQERKRQRDQKKRQDQLKAEQEALYGSKPSTSKSHSTKKVPRNATGSASRKLSSCGASVRSPKTETTHSLSFRATKKSSRVSEVGGLPIKKLYSNPSTLRETEAPRRPFTQMTPVNSIISTPEKHIYHASEDENRTPKTIAVPTPKMPMTVSAPMQMATTPALATTKTAPVRLAFDKPEPTLQQDVEYSFEERRLAVFSAAQVA >Et_2A_018711.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5619878:5620909:-1 gene:Et_2A_018711 transcript:Et_2A_018711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDFYTNQLSSSSSSSSDQELMKALEPFIRSASPTSSTSTSPFSNSYHCYTSALPQDSYYFPATSSYTTPPPPPAPTTTSFSQLPPLPSSSSTYASPAAYPAPADAAAELAQAQIQQIQAQLLAQQQRGLAASFLGPRAQLMKHAGVPPPSAAAAGKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDSAEDAALAYDKAAFRLRGDAARLNFPSLRRGGAHLAGPLDASVDAKLTSICQSLAATPASTKPSTSSTAAHPDSPKASASTTTSEGDDSVHSAGSPPPALLPPSSSQQQQQPAPPLPEMASLDFTEAPWDESAALNLSKFPSWEIDWDAILS >Et_7B_054044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14640865:14644783:-1 gene:Et_7B_054044 transcript:Et_7B_054044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIPVTRRPPVYPLHSTAAFLPEMPLLPSTAGALPRLRLPPLRHYPFPLPLLLLRRAASSTHHHPRLLPLAASLPPPPPDALLPSQATGLVAASQANFMRVIVDAVPPGHDLERHRGSDLLCVVRALLKKIRRRVLVGDRVLVGAVDWADRRGMIEDVFERRTEVADPPIANVDRLVVVFSLDQPKPEPATLTRFLVEAESTGIPFVLVFNKVELVDEETIAYWRDRLKSWGYDPLFLSVDQQSGFSALEEMLKGQTTVVVGPSGVGKSSLINALRCNQDISEEDPIHKLVEQNSKWFGEQRVGTVSRKSGKGKHTTRHVSLLPIAGGGFLADTPGFNQPSLMKVTKKSLAETFPEIRKMLKENEPSKCLFNDCVHLGEHGCVVKGDWERYPYYLQMLDEIKIREEIQLRTFGTKKEGDVRYKTGVMGVKQAEPRLELKKHRRVSRKKINQSILDEIDDDLDDLDDDYLFDVKQRSRKR >Et_4B_038654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3691331:3692971:-1 gene:Et_4B_038654 transcript:Et_4B_038654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSDISTFRIRLCLRSCSSAKKHASRAAKRKDRSPSPRPCFLLEPGGAKRARDAGSWRQRDWANLADGPAGLIAERVLAGDVADYVRFRAACRPWRRHDDGGALLLRRPDLDARLRQARGRELDAGQNRPLPHANDDVRRPILRRHHARRHGEGLPPRLVVAADLAKPFTRMVDTVHLVDNGGELTLVHRKVRPVRGVEDCFRWKYTVYEVDLGAGKMKTPARRSLGGRAVFIGLYRAVSVSPRVFPFVSADAVYPGWNCHERSEVGAYHVADGSIEAPTCDRRKVAAWRVLGALPIFCLFM >Et_6B_049414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4507254:4518901:-1 gene:Et_6B_049414 transcript:Et_6B_049414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVGLIIRKLGEALSKEACAYGASLLCTETSALKGLFGEIRRATGWLEIMKAYLDTNKTTNAFVKKIRGLAFRMEDVVDEFKYKLEDDKHGGFAAKMKKRIRHVKVWRRLAQELRDINADLEDAAKQRNLCALPEGCGQGIGSDHHAVLTNETVSFAREEDLVGIKDNAEKLKGWLLGGLEERKSKIVAVWGMGGTGKTTLVNHVYKVVKEEFDVAAWVTVSKSYQLKDLLKKISQELSVSVDVSNMEMRGLGEVISNHLQCKSYILVLDDVWDKDVWIDIMDVFPNDCNSQFVFTSRNFEVASVATTGCAIELAPLENDNSWKLFSNLAFRNVGEKKCPSELHDLAVKFLERCDGLPLAIACIGRLLSCKPPIFSEWKKVYEELELQSSKHVIRGVDSILKVSLEDLSYELKNCFLHCAMFPEDYEIKRRRLTRHWITSGFVKEEQNKTLEEVAEGFLNELVNRSLLQVIVRNEFGRVSCCRMHDIIRHLALNKAEEECFGKVYEGSRTFSKGSIRRLSVQSANISPLVQSCATHIRAIYAFTSDIDVDSLRPILASSSLLSTLDLQGTQIKELPNEVFSLFNLRFLGIRMTRIEILPEAIGRLRNLEVLDALGTGLISLPKSVAKLKKLRFLYACTMVSEGSMQHYGGVTMPRSIGNLTGLHALQDVKASLETLCDVATLTELRTFAVRDVTSEHNSNLCAAIRNMRHLVHLRISASAENEILPLEALHLPESLSKLELEGQLEKKRMPQIITSWSHLSNLKHLYLRLSKLDDKSFSGLVVLRGLCKFGMDNSYDGKKLCFPVLSFPKLRELYIHGASQLNQVEIEEGALEHLIKLELVDCPELKCLPRGIEYLTALEELYLIETSEDLIEKLRQKREADECNEEIMKIGNIRNIVVKLKPVVGLLIGKLGAALLTEASAYGASLVGKEASALKGLFSEIRKAQGELEIMEAYLHDSEKFSGTNETIDIFIKKIRQLAFRIGDVVDEFMYKLEDDKHGRFISKVKKRIKHAKVWRRLTLELHSINLELEDAAKRRDRYPVPGMDGYAGVSDHHTRSFNQTACFARKEDLVGIEDNVDKLKRWLVGDFEENKNKIITVWGMGGAGKTTLVDHVYRIVKADFDTVAWVTVSKSYQVEDLLQKIVRELGISVDASNMELRSQVEVICDNLEGKRYMLVLDDVWEKDVWINIMDVFPFTCVNRFVLTSRKYEVASLATSNCVIKLEPLKESHSWQLFCSLAFRNDDDRRCPFELQDLAAKFLRKCEGLPLAIACIGRLLSCKRRTYSEWKNLYEVLELQSNRNGIPGTDIILKISLVDLPSELKNCFLHCAIFPEDFEMKRMRLIRHWISSGFIKDRENKTLEEVAEGYLNELVNRSLLQVVNKNEFGRVKSCRMHDVIRHVALDKAEKDCFGKVYEGCETFLLNGARRLSIQSANIAALSQSHSHPTHLRAIHIFTGYVDIDLLRPIIASSSLLSTLDLQGTQIKMLPIEVFSLFNLRFLGIRETPFEILPEGVGRLQNLEVLDAFGTALVSLPKGVSKLKKLRCLYACTLLTEGTLKLYRGIKVPRGIGNLTGLHALQDVKASLDTLCDVAALTKLRTFAVSDVKSEHSANLYNAIMNMSHLVHLSISASNENEVLPMEAICLPRTLSKLELEGQLKKEKMPQIVSLWSHLYNLTRLHLRFSKLDEESFSNLRVLRSLCYLSLSKAYDGKKLYFSAQSFPRLRRLIVGEALQLNQVEIAEGALESLAVLWFPYCPQLKSLPDGIEYLTSLEELIFQDTAEELIENLRQEHDINQCNKEFTKISHVRKVIVASTVKNIWERIH >Et_5A_042961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:847867:849857:-1 gene:Et_5A_042961 transcript:Et_5A_042961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRRENGHVVNMRRWRGGAGVKKERAVQYKGRMTWYVGKTCLVPAIGVAIFGFDIGIYIRLSFGQAAARDVHARRGRTRVQPRAPDGGPAGVSAALPPEPFAGQSEPSSIGFLGSGGSPCTRP >Et_8A_056728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1655890:1661276:1 gene:Et_8A_056728 transcript:Et_8A_056728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFGVEEQRRRWRWVDPPDPKVQSVLNQSTLKHIHGYPLESGPTRTTPTVIGVQRDHAFIRDELQMMRAFLMAAHEENDKHQVRMAWVKQVRDVAYDAEDCLQEFSIHLKEPSWWRLPHMLRERRRIAKQMKELRARVEDVSQRNLRYQLIKGTDSKPATADELSSITAKTIFSIEEAHRAAKQEEPKVDLDLLQMINMEGKDLRVIAVWGTSADLGQASIIRAAYDNQDIKGKFPCQAWIKVMKPFNPKVFVQSLFNSAVGVHALLDRDKTCQELVDEFNRYVNEMSYLIVLNDLSAVEEWDEIKTCFPNNKKGGRIVVSTTQVEVASLFAGHESVTSELKQFSTDQTIYAFYRKDSEDPSELPMPMSNAATITSGSILPTKGMLAYQCKGPDRRKTVAKNLTRIRTVTGAFEESHLIGRKREKYDVINLTSQQHSEELRVISVWGMGGLGKTTLIKDVFQRQELASMFETRACVTVMRPFVLKDILKSLIMQLNVESSQNIAIDLGFGTRSTSSMMEVKELTEELSSLLKGKKCLIVLDDVSSITEWDHIIRSFPTFDNTCRIVVTTREESIAKHCSEKQENIYKHKVLAYSDALDLFTKK >Et_3A_025443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29970957:29971953:-1 gene:Et_3A_025443 transcript:Et_3A_025443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLRLASPLPLLLHCPSKPVLLHARRVGRIPNAASNGSSGDPSPAATEPSTDTTTPSNTPPKPVGVKNRLRARNQGRRVQMDAPPELVTPKKKASAAAAPRRESQRSPKKWEEMSLPEKALELYVGEKGLLFWLNKFAYASIFIMVGAWILFRFVGPSLGLYQLDAPPLPPTAVIIPFDEACIIS >Et_5A_042523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18273499:18278239:-1 gene:Et_5A_042523 transcript:Et_5A_042523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVAGADRRTRVASSREFVWYGGEHGALVKGAGTSATAAAGDSNEGGDKAGVTRQCGLILPSGAVARVRKAVVPVRPADYGSREQVRVCVPGVTPFVPTGARVLDAVVRRRRAPVVQASWVLVADAHHVAHLLRVVSKNLPEIWQTLGGHWQT >Et_7B_055486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11740953:11744233:1 gene:Et_7B_055486 transcript:Et_7B_055486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAMRDIHLSLNQTQRVRLEAALHELQSLVSDAAVTVADTIPVEGKILKGHGTLDQDGEVVATRCGVVERVDKLAYVRAHRARYKPEVFDIIVGRVIEIAPECWRLEINSCQAAVLWLSSTNLPDGTQLERGQLLTVPPYLVKRRKQHLHHLEQYDVDLIVGCSGFIWVGEHVVMGDTCEKTSKTGKSSSEVETCTPQETRKHICRLANAVRVLSALGFTLTAELIIETAEASASSNVEANDMLGPEFYVQTAEREAKHRAELLKRRMEQGK >Et_4B_038248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27579915:27583311:1 gene:Et_4B_038248 transcript:Et_4B_038248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCATAAAVAVALLLGVAGAAASGFTGTGQGGTCSRRSSPAFLDAVGSRCPFTRIEPSPPLEVRGEAVDKELNLRRRGASYSILFYAAWCPFSSKFRPIFEALSTMYPQIHHFAVEESSATPRYGVRGFPAILLVNETTMVRYRGSKDLSSLVDFYKKNTGLDPIAYIDIDQQESAGRLRTVMPWDRSLRELAKHEPFLLLAVLFIVMRVVAHFVPIVLSHLRAFLAARFRNLNLGIRRRSNQLVERALNVIDVKRLWSKLRLSNKATDLRKSASNARAWASSFASVSLDFTT >Et_1A_009245.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:34991091:34991624:1 gene:Et_1A_009245 transcript:Et_1A_009245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLTYHYYYMYLILALLLPLLLARKLKKRNNNNGLRLPPGPWQLPIIGSLHHLRGRLAHRAMADIARRLNDAPLIYLRLGEVPVVVASSPDAARELMKTHDANFASRPWSPTVRTMMVDGQGLLFARHGALWRQMRKICILELLGARRVNSFRRVREEEVARLVAGVALAAAEAGK >Et_4A_032640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12116349:12118745:1 gene:Et_4A_032640 transcript:Et_4A_032640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPVLMDDLVGEILLRFPPDELELLVRAALVSKRWCRLVSDPGFRRRFCEFHRTPPMLGCFYIRGSATELVPWCAIDARDGLVLLHCEAPWDDELLIRDLVVWDPVTGEQRVVPPPPTPPDHAFPFYCTWTAAVLCATAAGGCNNIDCRHASFLVVFLCTGSWETFTCVYSSDSSAWSVPTSVQLRYASACQSLPTSTLWSQPRWTVDLDSAVHEYKIYLWSREAGRKAYAGWTQSHVLELDTLLGPIGTLPDHSNLMCLVDGITAVFRGADGVFIINLMSHRVKKICDGRCIFNIVPYTSFYTPGLDLALVFSDIQREQYRAMIQFCRNLTNFFINSLRVASTGDGPKTKVPVFGVCCSVASPSRHFAQNHNNMNR >Et_3B_030653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:642556:646836:-1 gene:Et_3B_030653 transcript:Et_3B_030653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSRRAVESYWRSRMVDGVTADDDKVAPALRLIKYAVGKSGTDFKREMQRHSAAMRQLVHYRGQPDPLRGDALNKAVRETANEAIAAIFSTEDPKPAVKTESLGKRIQGFGNTDYEPSRDDKKSFLSELSEVVGIGSASIKQGLSNFAAAHAMMTNDNGGTYRGPNLRRSLTAESDKYGRYDPSEIQSDSHASSGSSKNVASGSWGPTPSSSVPTDDTSSSQPGLKSREERLLETIVTASGVRLQPTRDALQIFLTEASKLDAVALSRALENKLNSPLWQVRMKAICVLEAIVRKQDTDPYSIIASYFIENRASIVKCCELPQVSLREKASKVLSLLVGEQPTGTATKTAVPAPVQMPDLIDTGDQDDPGTQNLEQESNGHITGNSTYVSSVDDLLGGEPTDGNRSDPFADGNGSDPFADVSFHETETKETNDLFSGLTVEDKSPAAFHDNSLSNQNELPDIFGSNTDPFIQESVMDKGTVNDLMAGLNLNGTGQAQPPVKSEPNSNLSGSQFFDTNNQTSHVASPAALNSILGQNSFYQQTPLQYGLPHQQMLLNQSFPGQQLNYGTMGLVLAQQQLLLQNFGNFNAGLGHSSFNSDHLAAARGSRK >Et_3B_028673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17988313:17989098:1 gene:Et_3B_028673 transcript:Et_3B_028673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKKTIPLLDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKRLHFSEGRPKVIPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAELT >Et_4A_032052.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:1428179:1428205:1 gene:Et_4A_032052 transcript:Et_4A_032052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLKLGL >Et_3A_026954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27817340:27821378:-1 gene:Et_3A_026954 transcript:Et_3A_026954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQEDTKPFSITTAGIQRGLYGASTWQATALPLGHGGVRGVVQPHSQAACILNGQSSDVPEVGGDRSCPVEGLESTCSAPRVCRNFWSAGDYDVAAGKSTRQPHSVQNRMCVHPKFLHSNATSHKWPFGAIAELLDNAVDEIATGGATRIVVDKVIDHRNGSPALLVQDGNGFKTSTMRLGADVIVFSRCTKSGEPTQSIGLLSYTFLVETGQKDVVVPVFTDIGPHGTKIIVFNLWSNDNGNLELNFDTDPEDIMISGAPNPAEIKNSAKRANENHIANRLRYSLRLPEYFKIILRGQEVKRRSITADLMYPECVSYKPQVCGRSEPFHRVLSTASSKGRGVGGVLEADFIKPTHDKQDFEKSQLYQKLINRLKEMTKEYWDIHSPKLGYKDPPRSRAAPISPAPLAVLPTATTVVPPLEGNAPAPAISVAPLRSRSACEDAVPIAFAPPSAHSTPAAARTNAAVPGAPMGYSPSGMQVMQIDQAGSPSIALGTDLVEARKRKSDAAARATSFKRQATHDLATGSCSMVSSDQVCQYMGERELKDFSFLKLENRMLREECMQFEAEEKELMLKAENLRREIERAREEYKNLLNERCKL >Et_5A_042731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3122741:3125279:-1 gene:Et_5A_042731 transcript:Et_5A_042731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLFSCPVEDDNVAMEEEAGGVPAPAPCAGEPTVLKASLGSGKLRIEGSLSFKREPQSPSSSGASSSLLQVETKISITSSSSPCSSPRASAAAAAAAPLPMPRELLRTRFADSAAPAPESPKHESAAVTLQKVYKSFRTRRRLADCAVLVEQSWWKLLDFALLKRSSVSFFDIEKQESAVSKWSRARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHYYYDCWLQCESKEPFFYWLDVGEGKEINLEERCPRSKLLSQCIKYLGPKEREDYEVVIEDGKFIYKNSRQILDTSGGPRDAKWIFVLSTSKNLYVGQKKKGTFQHSSFLAGGATSAAGRLVVEDGILKAIWPHSGHYRPTEENFQEFQSFLKDNDVDLTDVKFPIAMLQMSPDEEDEEFWGRLKSIPSDLHTTGDKPEADDTVATEEETMPCQAPQPAEASTLEEASSEHEQTSCKSLRPISTIRNQSSSQDAEPTTICDDGAISSEDRQEENDGDDDKAAVPREKIMQRISSKKEAKSYQLGKQVSFKWTTGAGPRIVCVRDYPSELQLRALERVHLSPRSGGGGGRSASSRFASPQRSGSPMARGCCEPFTPPREAFRTHLRPGLLIS >Et_7A_050221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13123675:13124477:-1 gene:Et_7A_050221 transcript:Et_7A_050221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HGSSSDIIGGCGLVCNTSLADCPGIAAGCRTVSSLAASDKAVPPCGLLDDDDDDCISGVACHLVVIGCCRTTTRRRRFRRRVQQTERGLLPVRRELLLHRGELDVRVPELVLQVPYHGEAAVHGLLPPDVRLVHDGPHAQLPLLRARRLQDVQHVLHAEQPPRVPELLGLAGREVGRQDAVRRALAPLVLARGARRRPGWLGCRRRRRRGGVVGGGGRRRGTRSAGGVCRGSSACRWRQRRPRRRLGRRRRGWWWRRRH >Et_8A_056516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11283381:11286102:1 gene:Et_8A_056516 transcript:Et_8A_056516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIADHVFCSRHPELKWAQRVDKVYITVQLPDAKDAKVDLEPEGVFKFSGNAGAGGNLYELKLDLNDKVNVEASKISVGVRSVFCIIEKAEAKWWKKLVRDDQKAPHFVKVDWDKWVDEDDDGADVNVDGMDFSNFGGMGGMGGMGGMGDLAGMGGLGGMGGLGGMGGLGGMGGMGGMGMDEFEDESDDEEEVSKPQAAEKVEEAGKTEVAEAKTEAAQCTFLTITWLAKQQQPNGEYARVGA >Et_4A_035727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4450620:4452263:1 gene:Et_4A_035727 transcript:Et_4A_035727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDNAGGSLNPYATDTQYHPRVAMLHDNASGSSSFDPYYVPAFPWMMGVPAVRHVPTLEEVRARLVRGPMDPALVAAFPEAAPHVVRLLQEGDAAARRSVLAGVTTGAAVHGVMGCREARDVFLALLRACQGRPEELRAVVGAVCAGKGVLMGILKHDHGVAALKGLIVAAAPYPELSGTLIVWLLHERLVEHCKCAELVHHCFAAIPYETCLIMIRFATYHVNEMLSSTTGSIYLAACFAHARNQELQLLEDVVLSRTSAISKG >Et_10A_000334.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:23427677:23427859:-1 gene:Et_10A_000334 transcript:Et_10A_000334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATERGSNAESRGVGKLLAEKLGNADGIVQAFRPIWYPREGTKCRDLRDSLHDYLPAAGR >Et_6B_048966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16032417:16036567:-1 gene:Et_6B_048966 transcript:Et_6B_048966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPSGGGGGDDSPAMRRLRRLSLHLFQPSPPPDRSLVSPAVCAAGRRVEGGAEVSAALAAYLRGRHRATQARVFEFFRDRPDLQTPVEQTTAAHRDLCFRQLRALVRDAGVRPLSLMASDPAEYFAVMEAAGGVDISLGVKLGVQYSLWGGSIINLGTKKHRDRFFDGIDNLDIPGCFAMTELHHGSNVQALQTTATFDPVTDEFIINTPNDGAIKWWIGNAAVHGKYATVFARLILPLQGKGGTPADMGIHAFIVPIRDLETHAVLPGIEINDCGHKVGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGIAYSSVGVLKVAVTIATRYALLRQQFGPPKQPEISVLDYQSHQHKLMPMLASSYAFHFATIYLVDKYSEMKKTNDEDVIADVHVLSSGLKAYITSYTAKSISICRESCGGHGYAAVNRFGALRNDHDIFQTFEGDNTVLLQQVAGDLLKQYQEKFKGGTFSVTWNYLRDSMSTYLSQPNPVTARWEGEDHLRDPNFQLDAFRYRTSRLLHSVAARLHKHSKTLGGFGAWNRCLNHLLTLAESHIESVILAKFIESVKSCPDEKTRQVLKLVCDLYALDRIWKDIGTYRNVDYVAPNKAKAIHKLTDYLSYQVRLLARELIDAFDLPDLIIRAPIGMQSEAYAQYTQHVGF >Et_8A_057510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3813196:3813984:1 gene:Et_8A_057510 transcript:Et_8A_057510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFTCSCSPTCPHLAAGFPLLANVSSPPNFSLVSLEFFNQQGFAVFSSARASLFCFRKEVYQVHKSKRVEEEFLNC >Et_9A_061581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13977330:13992786:-1 gene:Et_9A_061581 transcript:Et_9A_061581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTISGFSLSSSAHHDDKTNDWDRMVATLLQKFRAFCRCFVGSQDPPAALPDTDDILQDILVRLPPLPSSLPRASAVCKRWRRLLSDPQFHRLFPPPDASGFIAGTGMPSFYPAVGAPDRIPAARFRPQQCHGDSWTTLGCRHGLALFVDFAKGEVIVWDPITGHQRLLALPPELYSIYTGAVLVDDGENGAGHAHGGDRLSSFKLVLVCCVSKECRVWLYESKSGKWGIISSTDTPIIFSPQPAVLIGSTFCWLLGWCGIFILEFDMDTHSMAVTQIPSYTRCIDAVDIQVVRTEDRGLGTAILAKQCIEVWGRRAISDGSFEWALQKTVWRDDFLSLRPSVDKPGSQLQKWLEGILGYDEDTNVILVGTDAGTFMVQLDTIKITEFFSDPSITKCHPYASFYTAAASAVQTGKGRTSPATVSLPDNEDLLLEILLRLPPLPSSLLRASLVCKRWRRLLSDPGFLRRFRAHHRTPPLLGVFTRGFHFVPLLSPPDRIPYPPFSLLQSAGMHWYFYGCRHGLAAFLDESRLEALVWEPFTGSQRRIAFPLEMNVNKDSNIFNCAVLSAISDSGNGYGGQLSRFKFALVVTNLDDNLARACLYESESGKWGNTSSMAIPFMSFSHDPSVLVGNALTGIFDRELAIIQKPEGTHFTDSSSFRVLRTGDGGLGLAILSTLSIQLQGRKANSNGVVRWVLQKTIELDNLLSLRPSSMLAWPRGIVGYDEDSNVIFLMTSDIIFTIQLESMQFKRIFEDSWMTRLSAYYPFRSFFTAAASAVQMRRKGSTSPALASLPDNDDLLLEIFLRLPPLPSSLPRASLVCKRWRRLLSDPAFLRRFRAHHRKPPMLGYFVMDFNNWFVPVFTPTLPGPDRIPPARFSFPQRPDEGLLLLECRHGFALLFNRKKLEAVVWDPFTGHRCGISLPSELKNHLHSNIKADIYNGAVLRDHHSSAFKLVMVLHNRLYHHAWACLYESESGEWGNIVSTVVPSTNVCKPSVLVGNALCWMLHLSRGGVLEFDIDKQSLAVIQTPEEIHVPSDSRAHVLRTEGGLALAIVSGDTIKLWKRTAISDCVVGWVLQKTIDLDKLISLRPSMEAHRPPAIVGYDEDSNVIFVWTAIGVFVIQLESMKATKISSESCVHGYFPFTSVYTSGNSLPSHFTFHNTLGILKMALLRSDT >Et_3A_026819.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:22102396:22103211:1 gene:Et_3A_026819 transcript:Et_3A_026819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPDEEAGLGLPEGERLLEVTLISAQGLKPPSRVRRRLQAYAVAWVDAGHRLQTRPDTSGGLDPAWHARLLFRVHKAALADDSGAAVTVEIYAAAAGSWHLGGDSLVGSARFLLADHGLLSRPVGSPSMFAVGVRRPSGRVHGLLNLAASLVAVPPSPAASHALRLSPAVSLSGLSVAPNPSRVLRVLNRAHPTPPPSPKVLTPKKPQIAVKHKVTEPEDGSDDEGDENTAGMMFCGPCLLPLPRKIHTSPSDENLQAFAGIFTGGLRHC >Et_10A_001094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21040613:21041410:1 gene:Et_10A_001094 transcript:Et_10A_001094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKHLCLVKFREGVAVDDVLKGMTDLVSEMGMVKSFEWGQDVLNQEMLTQGFTHVFSLTFASADDLTSYMSHERHAAFAGTFMAALEKVVVIDFPVVIAKPPPPPPA >Et_1A_007730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37637702:37644219:-1 gene:Et_1A_007730 transcript:Et_1A_007730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRKFWHCENPMVCAPDSAVRSRAFRPLLPNAVMRSVRFDFGEGRSVFARLWFAVVESRRPSGTAQVCAVPGDERDDVSAGDDAGADGLHLRLDGVDDLVAADGVHVRSGELLIARAIQQNRTVATLTDTYTSVRYMHAMGMYSWKKSLSRDAPIRRSALMAWTMVLRTVASSVGQVVFDVESSAAVDHSAVGSDLAGASSERADVPHLYHGGGEVRGEQGRVGAVLPHQRVLRPAVEELLVRVQQATLDQQVAVVCVVEGQLRRHVQRRQVAVAGARRRRAVAPPQRGECGVDMWSRKFWHCEKPMVCAPDSAVRSRGLRPLLPNAVMSWLRLDVGAGRSVLAWLWFAVVESRRPSGTIQLGPPSCNIYDGVASTDVISVCTSLLYNCRKHTAFCTRTRNVLSRAARATMSAQETTPGQMASTLALIWSMTSKPRTELMFGPANFSLPAPSKRIEPSQPCVQRANVSVLPC >Et_2A_016821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28639130:28641057:1 gene:Et_2A_016821 transcript:Et_2A_016821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFSNISRALLESKFAIGLFAGVFLASVIFFSVSPQFGWHSPNVLLPEVPRNGGSAPSGSLTKEHNDLAPPPVNWRTDSPAVNRTTESPAVTKPANDKFPLTKLLFVRSFAGEAIAPSPAQPPLKPICDFSDYRYDGCEMWGDARTASGVNRSRVYFIPPPSQLATAAAATWSVRSQSRKYVGVREVLVRSLNLSNLHDAPNCTVRRNVPAVVFAIGALTFNYWHAFSDVLVPLFTTARAFSGEVELLATDTRDKGWFLGKYSRVLRALSRYEAVDLDADAEVRCYPHVVVGLHGHRDFDIDPARTPNHYDMRAFRLFIREAYALPPPDAAALPCKSGARRPRAMVILRGGTRRFVNPDAVVAAVERAGFEAVRMEPGSGLGMDMDAVARAVDACDVLVGAHGAGLTNMVFLRTGAVVLQVVPWGKMEPHSEGFFGAPAKHMGVRHVMYSIAAEESTLYEKYGKDNPVITDPDAFYRNGTNAQYYWREQNIRLNTTRFMPTLKMVKRMLQE >Et_9A_063495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4792261:4793683:1 gene:Et_9A_063495 transcript:Et_9A_063495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRLVYSAMVFLETEMTWNVLISPNQLSPKGLLLRKAIIVRLLEDVTNRKASKEHGYYIAVNQLKAISEGKVRELTGDILFPVTFTCITQKPMKGEVMVGYVDRIMKHGVFLKSGPAESIFLAEKCMSDYKFIGGENPMFMNEQSKLVKDTAVRFKVMGFRWMEADRQFQLLATLAGDFLGPL >Et_9A_062569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24088578:24088860:-1 gene:Et_9A_062569 transcript:Et_9A_062569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSTPKEYTSERSVTLPLVAYSGARKTRSVAIYPKVPITRVETCVSLPAWILAKPKSETCTRNAHPEITGHLFF >Et_6B_049895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16875038:16878573:-1 gene:Et_6B_049895 transcript:Et_6B_049895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLINVRVSPRHSLSAHSLSSVRLPPASKLTVQSGNPLFHSAKLCQARSLATSALEVSKDGASAGLANRQPSKDVIETWRKASAVCFDVDSTVCLDEGIDELADFCGAGQAVAEWTAKAMTGTVPFEEALAARLSLIKPSMSQVKECLEKRPPRISPGMPDLIKKLKANNIDVFLVSGGFRQMINPVAFELGIPPENIIANQLLFGNSGEYAGFDPTEPTSRSGGKAKAVQQIRQDHGYNTIIMIGDGATDLEARQPGGANLFICYAGVQMREAVADKADWVVFDFQDLIAESFYPFLQR >Et_6A_047814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1807831:1813645:1 gene:Et_6A_047814 transcript:Et_6A_047814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDMDEPLDASAATEKGEAALRCLRDAEPALFLSPSADLSAAARAASQHIYSSLAPFSPAQPPPLPSLLAGPAFDAEQIWSQIELLTRPLLPHLQRQLRRLEQQPPSQPQPAPRVETPAGAEEEQSEEGEEDSELEELKDEEEEELKGTDDEDEMAEDDEEDEEELEDFEDDDDEDEGKTGGDIMYKDFFEKGHNQAKKRDSSTKKVQFKDEAQEIELNDSEDDDDDRNVGPALDLLLKVEEQDEQVLSTHEKERLKKHAEIEQMEKAILEPNRWTMQGEVVTASKRPKNSALEVDLDFEHNVRPAPVITEEVTASLEDMIKKRIAEGHFDDVEKPSLLPTKAPKEHKEMDENKSKKGLAELYEEDYAQKTGLAPAPLSISDELKKEVIEDMSIQANVPALAMEEVAPVAVSDAAMLAPEEIFDGKGDVKEEAELTQAERKRRRANKKRRYAETAHKWALQSGP >Et_3B_028737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18635483:18636748:1 gene:Et_3B_028737 transcript:Et_3B_028737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSYYAVLGVKPSASATEIRAAYHRLAMRWHPDKIASGRVDPALAEEAKARFQQIHEAYQVLSDDKRRALYDAGMYDPLDDDQEEVEGFHDFLQEMVSLMATVGREEPVYSLGELQSMLDGMIQDFTSPQPEPSGFFTGGSSFPFGNTAGTEQRSASSSRMHPQGVGDAACFTRTAFSR >Et_7B_053248.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:14840297:14843775:1 gene:Et_7B_053248 transcript:Et_7B_053248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQKSCLYITYSPEITDGVPLYVSSNCLPVKASKYEPAGHSFHAAAMKLRGLGEQEDTETDDRSVSSDDKSQDFAAGSDTFSSKGKKKSGSQQQDHYALLGLGNLRYLATEDQIRKSYRDMALKHHPDKQAALLLSETTEEAKQAKKDEIENHFKAIQEAYEVLIDPTKRRIFDSTDEFDDDVPTDCAPQDFFKVFGPAFMRNGRWSVNQPIPSLGDDSTPISEVDKFYNFWYNFKSWREFPDDDEYDLEQAESREHKRWMERQNLKLQEKAKKVEYARVRTLVDNAYKKDPRIQRRKEEEKAEKQRRKEAKYMAKKLQEEEAARAVEEERKRKEEEAKKAAEVALNQKKLKEKEKKLLRKEKTRLRTLTAPVVAENHFGLSEDDVEAACASLDMERLKQLCDSMDGKDVAEKARLLRGALNKEVSSKEEKKSEANGVGSSTAKSSSTGNKVTQGSILNSYEKKERPWGKEEVEMLRKAIQKYPKGTSRRWEVVSEFIGTGRSVEEILKATKTVLLQKPDSTKAFDSFLEKRKPALSIASPLSTRDETVGSSTEGAATASSKVASQPASSQTASEKTAADPVQNGATSAADPDAWTEAQVLALVQALKAFPKDASQRWERVAAAVPGKTVVQCKKKVASMRENFRSKKGAE >Et_8A_057599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4919122:4919533:-1 gene:Et_8A_057599 transcript:Et_8A_057599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKSSAARAAMCAALCILVMASLSLSELVMVKEDCFDMDPCEDRKCHTDCLYRDDKPVKSNWMHQKSVVADTMSGIPLSRPRVLE >Et_5B_043784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16011138:16014189:-1 gene:Et_5B_043784 transcript:Et_5B_043784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNLCAKEMSASEIQADEASKDTNVRKVGQSGLKIRIRLPPRKRSSDGIQITRTGIPEDSENLTAKNVPEQTDNNTLSTTCTAAEVKVEEVSSNLPGIPEDTKNLSSKMVPQQADNNTEGASFMASEENVEEACSKPPGEELCKEVNSNDQSETLSSETPPEKSNFIAASKNLGTVSGVQGKEKGLRKGLCEEGNKNILNKVLSPENSCSTPVNESCVGATDKISSKNLAITGVYGEVEKNYPGTGPCEDASNNALSNRVLYETNSNMASKDLPEEAAINCPSKNLTTSAVKCEKSNDNPVGNSLFEVRNLIATEKLSAKTQNNVSRKRPAVPANDKTSKKKLRTSVVHATDTYKNTSGTKLFPSVGQDVERSTSTANVEAIKVYKEFEEKVRRTVYLDNLSHLATEAVIKVALSQFGTVRTVNFLVNYTVPYDIPQSALVEMESEKDAASVVSMLYEFPFMMSGMPRPVRGKRATAVMFNDRPRKPGSKLEFHWVGPTDPDYHNVRKFKLMTKRHEVENLALIRHELEGEELLAKQQQDDLNCNYRKLEAVDTVVMTGWVNRLSQIYNM >Et_4A_033036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17423018:17435109:1 gene:Et_4A_033036 transcript:Et_4A_033036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPVSGVVPNILKRHSWMIILLVAVLAHGAAASPPPEPVQCSRSGAGGCTVSSAYGVFPDRSTCRAAAVAYPYSEDELVRAVAGAAASGTKMKVATRYSHSMPPLACPGSGDGAGLVISTRRLDRVVAADAASGLVTVESGVTLRKLIAEAAKAGLALPYAPYWWGLTVGGMLGTGAHGSSLWGKGSAVHEYVVGMRIVTPAPAEEGYAKVRVLTAADPEMDAAKVSLGVLGVISQVTLVLQPLFKRSVTFTERDDDDLAEQVARFGYQHEFADIAWYPGHGRAVYRVDDRLPMNASGDGVLDFIGFRATPTVAIQANRLAEDLFERAGNGSGKCLTSRLTHAALSSAGYGLARRSGALFAGYPVVGPQHRMQASGGCVTGPEDALATACPWDPRVRASSFFHQTTFSLPLARAVAFLRDVRRLRDLNPKALCGVELYDGILMRYVRASTAYLGKHGEEEDMVDFDMTYYRSRGPARPRLFEDVMEEIEQMGIFKYGGLPHWGKNRNLAFVGAAAKYPAMARFLRVKDAFDPDGLFSSDWSDTMLGVGGGSPTTDAPGCALEGMCVCSRDDHCAPQQGYVCRPGKIYKDARVCTRVSPPGLAGPKKLQHSRPFCFLGPLNTLWWPSDQNLMAVFSPSANKIGAHPGNPKLPPSSSACSASTHLLFAALLLCLLCLLAFCGVFFESILHAASARRSSETGDPAMDRRPPLAVSPRRLRRHPHRAQRPPHVLSSTVKTLPGALPELPIWPLSIPRPLSALPIDSVSGKDPSRCASSRAVTVAAAPIRKALPVAFRGSLGLSSDQQVLSSNSDAVVDRHQSPSGSSGRLLAPPRGWRRGGRGGPSLAARLDPQHQPFLWGKLFYLSKSEDARSKVKRLVKLMGRKLRPVKLMGPNRLDRPGLNATNFYRLREEIEMIDSFEVVETLMRGHPIFGKKVYKRRGEIKADNLHQLKDLGHKVFDLASEDALEFQEIKEFLEGWLEQRRGSGGVASQDHNDATGSTPMRKKIKLSDD >Et_1B_012724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34744491:34748218:1 gene:Et_1B_012724 transcript:Et_1B_012724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSHSKLYSDDVSLVVVVVDTNPFFWAQAALPFADFFKHLVHFVNSILLLNHLNRVVVIAAGVSSCAYVFDSSDATAPGGAGVAATFDKTKHKVKEFIDQDALATAGNSSVASDNAASLLSGALSLALCYIQRIFRSGTRHPQPRILCLQGSQDGPEQYVAVMNSIFSAQRSMVPVDSCIVGTRDSAFLQQASYITGGVYLKPQELNGLFEYLAAVFATDLHSRTFLRLPKTLGVDFRASCFCHKKTIDMGYVCSVCLSIFCKNQKKCSTCGHLPFVCPTIQIQLSTNGNIISSTEREFVLFTRCRY >Et_1A_005333.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4990429:4990563:1 gene:Et_1A_005333 transcript:Et_1A_005333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFIIGAWQIWKQRNDQISRGTDRHLKVGKGTSQMNPCCNPIE >Et_5A_041972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4758979:4759238:-1 gene:Et_5A_041972 transcript:Et_5A_041972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRQVLRCEDGWSVVTGVNVVRHVHHGNGNGSVSGSASSGDVKGKLRIIKHRSRSHIFMAEIATLRQCSE >Et_5B_045041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8837645:8838324:1 gene:Et_5B_045041 transcript:Et_5B_045041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIKFLTYNVWSCEHVAVYRRIQSISEIIQIHDPDVIFLQLSKLDLSKVILHDHDPEDMYYPTEPSLEPLPPPPYPPAGEIPFDLLSGWVTYKSKSWWS >Et_1A_009348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4080616:4082610:-1 gene:Et_1A_009348 transcript:Et_1A_009348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRLLLARGQFGCSAGNLASGAPALPALCNSDIPNPRYYSAEKHDDTLGEIGEKARSTAEEFLRVAKEKTDEVSENAKETLHETKEAVVGESQEDKETFKRRVEEGRITETLQR >Et_4A_035064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9160930:9163473:-1 gene:Et_4A_035064 transcript:Et_4A_035064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRVGSVDGSSPAASNGAVGCPASAPGCPMTSSARPAAAVSAGEASLGRHLARRLVQVGVGDVFAVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIAGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCHQAVVTNLDDAHEQIDTAIATALRESKPVYLSISCNLPGLPHPTFSRDPVPFFLAPKVSNKMGLEAAIEATVEFLNKAVKPVLVGGPKLRVTAQDVSTMIRCAQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGFVDAIHNGEGKCWTSKEELTAAIETALGQKKDCLCFIEVIAHKDDTSKELLEWGSRVSAANSRPPNPQ >Et_7A_050218.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:12607125:12609122:-1 gene:Et_7A_050218 transcript:Et_7A_050218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PATPAGAGTRQRTERRRRTRLPLQQPRLTVAPLQRSGQEDLERGVLRGGADGARVRGGLARAAQAEMPAREQQHARVGGAAGLARRMSTLPPGGRVVGDARGGGGLEAAGLGVLGVDAGKVAAADGGLLPQELVERGAEARGDGLVALGDAQPRLALGGQLPQPLLQLGVALPRALRLRLGRAEPPRRRALHGGDHRAQVALARLLETQPHPLRLEPHERVDLRAMVAELRHDALGEACAAAVGCRRAAARGRRAALDRPALHVDGEHVALRERQRQRQALLAKFHQPRRRRRRRSHARPLPRQERGDVVVAVAGDLAPRLAEHGVPDPARGATAAELVEPHAATAATGRAVKQPERLAELVGEEGVGEALLRLHGHGAESNNPTNPPSLGERGGGRKVERRNGFRVARGVEMASRVDAGVGFIYTGVARRWCGEDTLGACKGTKAAGFFCLFLTRREGGRQADERIQWIGCHAALIMDPVYLLERFLLSLPFLLGFCFFFFGFVDSLKGVSVMCDVECSGGGPRGAAWRGKGASRLLPPLETLTLLCHPFAACTYPFAVGWSYSSVTSAEKLGSSIIQRICMPDFDVLNTGLCLTQPTDMYIFPCLFFCSTEISTDGVRKREEISTDGRARRSVRAQGPERTGVAGPGVPHAPRNQPSWRAVPL >Et_1A_007216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32180184:32187201:1 gene:Et_1A_007216 transcript:Et_1A_007216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAIRSRATAAALSAARRIHAGRAAEQQHRESPGLGRPWTLFRRRQQQQQQEHLPRAIAVPLRDAAAGGSDDGEPPEIWRQPGEPPAERADAGTVGRIDVVRVPGGKGEGFDGKDGGGDSGGWGGSNLGRKFPTPKEICRGLDKFVIGQERAKKVLSVAVYNHYKRIYSESHSRGESDSCTSDTDMVELEKSNILVMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLAAADFDIAAAQQGIVYIDEVDKITKKAESINLSRDVSGEGVQQALLKMLEGTVVSVPEKGARRHPRGDNIQLDTKNILFICGGAFVDLEKTISERRHDSSIGFRAQVRSNMRTGGVINAEITSSLLESVESGDLIAYGLIPEFVGRFPILVSLSSLSEDQLVEVLTKPKNALGRQYTKLFEMNDVKLHFTEKALRLIAKRAISKNTGARGLRSILENILTEAMYEIPETRSGKDKIDAVVVDEESDGSASQHGFGAKILCGEGALDLYLAKHDNKESTLQQPEKSNVESEVDTEAPSRVASM >Et_7A_050222.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:13192564:13193067:-1 gene:Et_7A_050222 transcript:Et_7A_050222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSPKAVKVALHVICRLAPWGRNRIKAVEAGAVPALVALLLNDGCGNGGGGKRTCELAAVAVDHLCGCAEGRQELVAHPAGLAVLARAATRLSPAGVESAVARHSATPAVLQEMLAVGVVARLLFLVQAGAAGERPRERAREMLKMHARVWRASPCLASHLASYPC >Et_1B_010537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11371277:11376787:-1 gene:Et_1B_010537 transcript:Et_1B_010537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTANGEQPVGASSDKLRHVESMSQLPSGAGKISGINALVLGESLAAEEHDLIFPSPEFSANALVSSPQQYREMYERSIKDPAGFWSEIAETFYWKEKWNASEVCSENLDVTKGPVQISWFKGGKTNICYNAVDRNIEAGNGDKIAMYWEGNELGQDGKLTYSELLEKVCQLANYLKSVGVGKGDAVVIYLPMLLELPIAMLACARIGAVHSVVFAGFSADSLAQRIVDCKPKLVLTCNAVKRGVKPIFLKDIVDAALVESEKNGVSVGICLTYENQSAMKREDTKWQAERDVWWQDVVSKFSTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTSGGYMVYTATTFKYAFDYKPADIYWCTADCGWITGHSYVTYGPLLNGATVLVFEGTPNYPDSGRCWDIVDKYNVTIFYTAPTLVRSLMRDGTEYVTRYSRKSLRVLGSVGEPINPSAWRWFYNVVGDSRCPISDTWWQTETGGFMPVIVDEKGQEIEGECSGYLCIKKSWPGAFRTLYGDHERYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHEVKGQGIYAFVTLVDGVPYSEELRKSLIMTIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIAARQLDELGDTSTLAEPGVVDQLIALSDC >Et_6A_046936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22725926:22726283:1 gene:Et_6A_046936 transcript:Et_6A_046936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRRASSMAAGGGDRHSGNDEVVVRMVRFSRATVAELKSQVWSSAVTDSMPGRPCRTFQCMAARGPSGDENTTLKIAVDGCARMRDPLVPEGYTGNAVLWGLGTSARAGADG >Et_1A_004609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22195247:22195990:1 gene:Et_1A_004609 transcript:Et_1A_004609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRLLLGIASACSLFKAEDKKNRKFAFMHCWKILKDKPKWIERRKEIASTKFTSNKKQKRVPNSSPASVAPADAHLVDPANGGGAEEPSGRPDGKKKEKQKLRQRSTKEAVDYLMAKKKEADDEKELKKDERCDKAFALQEERLKLEREKFDFQRDMEEERILNLDLSTMSYKTQ >Et_1B_013098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5495966:5498030:1 gene:Et_1B_013098 transcript:Et_1B_013098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQPRSLQRLMDIYQHQQHAARQLAMGLTCPSMDEHAGPPVKTRLEVKEDLSLTCRRDRYCVMCLHAFCSHCCHCHHTNAGSHVVIPVDGVDAAGRPIIPKHYPGSGETIQDYIAACIAAADYATPLARDAYCLHCMAAFSTAVCRHHHRDCGDDFILRVEERDGRHCVRCRGDEKWFADLESILGDPVGEDDEGEEEEGHMRAVRGPVDVNPVAKTRLLEDHHHLRGTCRRDSYCVNCLRAFCSHCCRSHHSNAAGHVVVPVGVDAAFPKHYPGSGRPLLDFVVARIAAADYATPHARDAYCLRCNAAFSTALCHHHFLDCGADSVLLVEERGGRHCVRFRGHVEWFDDLESVLRDPVGEDDDGGELMLVPLLTRKPRACVQCGGPVPRPLWVRCSPACAASHDRELARRRDARRAALQLANLHIGGSIEIELAAQSSHMDEDDVQVF >Et_4B_036875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12212456:12213003:1 gene:Et_4B_036875 transcript:Et_4B_036875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRNRLKYVCKNRSGGVAAAVPDAVPHRKDGRRLLARGKLRRGRRDGTEPELLQGMGLDERIPPYSLDVQLGWFNDVLRLLEHNKQGSNVLLRSLLQKKMFFLEGSCSEQSTMLICICMYAEGRDIVSCSLFLVGIGGNDYSNPFFPKPVLQHRDQALGTESCRKDRKRHKGNGASCLNT >Et_3A_025992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34465091:34471583:1 gene:Et_3A_025992 transcript:Et_3A_025992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAERRAALKAEAEVEARSRPRSMRRWISRRCSAIRRRRSAIPPGLGPLLCLLVEFDGFKRMNLLDPHPPTTNKHPDENGRLLFVGHHGRRLRLRSVASTRRRSAPRSSTRDAAAAPASTSTSGEPELLLGNLVLITNVKASHTAHGAQLGPELAVQSRAQHEQQQQQDYGGPVVGLKAHDQAKARAKRHVGSVWFSSSSPAGHPSLAERDPHHTDDKPTPRPNSGMFGLQASGAAASWVVGRMGTDAHLYDDPDDAAIPQLLDSRFDADKVDALKRLLALIAQGVDVAHLFPQVVKNVASQSLQVKKLIYLYLLHYADKRQNEALLSINIFQKDLSDINPLVRAWALRTMAGIRLHVVAPLVLVAVKKCARDPSPYVRKCAAFALCKLCDLLPDESAALEEIVDVLFADNSSGVVGAAAVAFKSVSPNGLALISKHFRRLCEMLPDIEEWTQVILIEILLRYVIARHGLVKDSTLFASNMSIEIQGIRDSGCEDSMPTQPDAIDSGTISTIMLFRHYVEECSGILEREEDNFSISSVAIDSNDDVAILLKCTSPLLWSRNTGVILAAASVHWIMAPVDQVKRIVGPILFTLRSSHDAAYVMLGNILVFAKRMPSLFAPFYEDFFINASDPYLTRALKLEILTTIATETAIPAIFEEFQDYIKDPDRKFVADTVAAIALCAQKLPSISSNCLEGLLALVFYESSISDSIHFDGEDAVLVQAILSIKAIVKMDPVSHEKVIIRLVRHLDKIKEPAARSLIIWIFGEYSSTGDLIPKIVPAVLKYLAWSFTADVIETKFQILNASAKVIVHSPEEHREEFKEIVAYVIELATCDLSYDVRDRARLLSRLLPCYTTRLGSSCQLQNGDICKQLADHIFNGKMQPTFHSANNYRIYLPGSLSQVVLHAAPGYAPLPKPQSMELTHRTIEPGRGIAHSSRSVNSDTESGSSTYESSSVYDSESEGNLSDRDADGSNGYSNGDDRNPQRQEDNQDAPLVHVYDARVEQGQAGQNAEENLAALISTDLTELMSKSALESWLDEAPAETPVQDSTRTSFARVSFTNRSFECKPKLHVLLDSSDSDGLSILYAFSSDLSPRSRLLVCIDLYFENVTTHELTDITVKCEENSSSEDGVCTSEESPSVPTIVPMEEIRSLAPQQMLKMVLQVHFHHHLLPLKLFVFCNGKRHPAKLHPDIAYFVCPLPMDLNAFLCKENELRGMFEYARRCTFKDHLQKLEHSEGREHTDKNLVVAQSLASKMLSNSNVHLVSMDMPVTFSVDDASGLCWRFSSEILSTSNSCLITIVAEGHVSRPLDLTVKVNSEDTAFALNLLNRVVALLE >Et_5B_043400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1027653:1031340:-1 gene:Et_5B_043400 transcript:Et_5B_043400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSVLVLLCLAAAASAQLSPTFYSRSCPRALATIRAAVRAAVAAERRMGASLLRLHFHDCFGCDASVLLNDTTTFTGEQTAFPNVGSIRGFTVIDNIKAQVEALCPQTVSCADILAVAARDSVAALGGPSWTVPLGRRDSTTASLSQANSDLPAPSLDLANLTAAFAKKGLSRTDLVALSGAHTIGLSQCTNFRARIYNESNINAAFATLRKANCPAASGNGDGNLAPLDTTTATTFDNAYYSNLLVQSGLLHSDQVLFNGGATDGLVRTYATTPSRFNSDFAAAMVRMGNISPLTGTQGQIRRACSRGTLDLLCST >Et_6A_046847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21375655:21376113:-1 gene:Et_6A_046847 transcript:Et_6A_046847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTHTSRHDPRDTAASPEFYKPSTPAFSPCGSPLRVAVLDEEDYYSCRTPMGSGICYLRKPTTSPPAPRKAPPPPCKKRLFQQQAQLERIFRPHPPPKASQQGDKSRRFARQQNGMDNRSVQLQSTACNSNR >Et_6B_049118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18192711:18192949:1 gene:Et_6B_049118 transcript:Et_6B_049118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPVYLSRRERQCSLSCVCACVWVLTDKEYDEERQQEIDLRLVGELPDLEPQDAPSDSTDQFSKQLSPKYKM >Et_1A_005753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13487318:13493383:-1 gene:Et_1A_005753 transcript:Et_1A_005753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQHETSDAEALVSAASRNLSTSSSAFVSANQSPYFTPRSLSARVPEHGDPENKCSTNGIVLKISDILSTDSLIQREQQPSARTGILPSDASPISLCTSSNFGTPAIVYNNPSFISTYNGPCQGSSSTTSNGDRLARKEKQKRLGGVYRKTSSSQPTTSAASVSRLRSYDVYIGFHGRKASLLRFTNWLRAELEVHGISCFASDRSRCRNSHSHDAVERIMNASTYGIVILTKKSFGNPYTIEELRNFFGKKNLIPIFFDLGAADCLARDIIEKRGELWEKHGGELWMLYGGMEQEWIESVDALSRVVDVQLEANDGNWRDCILQAIILLATKLGRRSVVDRVNRWRGRMAKEEFPFPRNDDFVGRKKELSELELILFGDVTGDGEREYFELKTKQRRKGPVVRRSANNPEHVNTDDSKGKEPILWKETEKGIEMQRLGSPSRHGRPLRMKNGVRYGRKKRFRKILYGKGIACVSGEPGIGKTDLVLEYAYRNFQRYKMVLWVRGESRYIRQNYLALRTFLEVDLSVDTHLHEKGSDRCFEEQEEEAIAKIRQELMRDIPYLVIIDNLENEKDWWDKRVIMDLLPHFGGETHFIITTRLPRVLNLEPMKLSYLSGAEAMTLMKGGVKDYPLVEIDALKTIEEKLGRLTLGLSIVGAILSELPITPTRLLDTLNRTPPVRDFSCNEREVLSLKNHEILVRLLDVCLSIFDHADGPRSLASRMVQVCGWFAPSAVPIHMLALAAHKIPKKHRRGPRWRKWWRTLTCGLATSRMKRSEAEATAMLMRFGIARCSSKPETVQFHDLIRLYARKRRGTRTAQAVVQSVYLQGSIKYSSDHLWASCFMVFGFGSDPLLVELRPSELILFVKQIVVPLAIHTFITYSRCNAALELLRLCTDAIERASESMLAHAGKWREASYSCFRPAQSEAQYTYLWQELALLKASVLETRAKLMLRGGQYDIGDDLIRKAIFIRTSICGEQHPDTVSARETLSKLTRLLTTVQLTMLMSELFSLDLGADYLPCFLKSRSNLSLDHLIKECIIKDSPRKRP >Et_5A_041125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18557570:18568797:-1 gene:Et_5A_041125 transcript:Et_5A_041125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCEAILTLASPSMSSATSLAWAYILSSLTVVGYGRRSSSRKSTVAVQRFTYSDRHHLKEHVNNHMMTHFSELATKLITYSSFKRIGFPRDKLLETEDGRGCGDHGIHGIGKLKGGVYGEVNRSKSYLQGKRVHVRPQCDDGTVTAANGRHQTGPGYRPNIADAHRVELGAHELACPVLLERQLGVLVDAAPQAAHPRGKIRRTGCGQEVAVEARSRSGGGGGDEVGAEEDGGKEEDDGARGRGSHGGERSEIRDSLASSLDKTGQCRESTHYAVPPIKVWTVRFEKLFIQAVGCGSASFASAVVVGPDAILSSCLLRALLLLPPSTPFHLSPFPVCEGIFELINGTCVINILFIGVDPRSEPKNRASCTTQAMDSTMTSLQALSSCALFLLLVLPDAGMASSTMLRDNCDLYASGDQSSYDYCVKTLQADRASATAADARGLAVVAARIARATAKATSAKIAQRQGAETMPARRDCLASCAKEFYAAVRRLGRAARSAAAGHLGRAQNLLAEVTGAPARCEGAFAAAGQYSPLAAADRELDDQVELAIGLLPPPSLRTTGARVGHDAASQAAPALSPPPRLMDDIVDEILLRLPPKDPACLVRASAVCRTWRRILTHPAFSGRYRAFHRRTPPLLGVFHNPGSVFSDFVPTTSFRPTIDCEDPLIVFDCRHGRVLMYNANSEEFVVWDPITGDHRRLPWVFDVLDYPWNAAVVCASAAGGGRCDHSGCHGAPFRVAVVGTVGDGIPRACLYSSETGAWSAPTTIEVNRVVDTALPVALVGDALYFLFEFGSGVLRYDMAGRELSVIGRPPSYGRGIALMPADDGGLGFASVDRDNRLRLGFRKQTSPCGDDGWAAPHRTIDLKELLPLGVGHRTPILRGSLKARKVCKSERSFRVFPYISFYTPGPGCGSDGQTSSSVARMGTEHPARHPEFTFVSILESLARGFVDNGDMVEQMMGLNTTCIFEVTRG >Et_9B_065246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2767641:2770775:-1 gene:Et_9B_065246 transcript:Et_9B_065246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSQINVLDFCRRRRVRALGPWRRFWHGERAHRDRMETEKMSIRPTETKVLVSILGKDRALSAELSSSSKLASRVLNHINNALQSRIPWMVLVGGTESERDCEIEAP >Et_1B_011239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19122891:19124060:-1 gene:Et_1B_011239 transcript:Et_1B_011239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKGQFCCIVVDYGMTWVLGIAKKAGLRTAALWPSCAAVMAAGLAVPQLIADGMLDKNGFPTDKEIPPIGDLQMNLAPLSWNAVGTDEAQKHIFRCLKSILQVLGTADLLMSNTVKELEEGILSRYPTILPVGPLPTGLCQGKPLGNFWPEDASCMSWLDKQADRSVVYVAFGSIAVLDRKQFHELASGLELSGRPFLWVVRPGLADDLTFPDRFLETVAKRGNIVRWSPQHKVLAHPAIACFMSHCGWNSEGVRNRLPFLTWQYFADQFINESYVCDVWKTGLRLVKDDDSGLVTSSHIATQLEKLLNDAMTASRALELQQVAFEHQQGWHFAEESRHSYQQYERLRCPSLVEKKNTLK >Et_4A_034384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32153501:32156806:1 gene:Et_4A_034384 transcript:Et_4A_034384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLTADLIWKSPHFFNAVKERELDIRGNKIAVIENLGATEDQFDTIDLSDNEIVKLENFPYMNRLGTLLVNNNRITRINPNLGEFLPKLHTLVLTNNRLTNLAEIDPLASLPKLQYLSLLDNTVTKQPDYRLYVIHKLKHLRLLDFKKVKQQERVEAAKKFHSKEAEEQAKKVSTKTFTPGELADAQDTTKEVHAPKVVGPTPEQITAIKAAIVNSQTLEEVARLEKALSTGQIPAEFAMPKPDTNMAEASEEADKMDTDGQNQESVAGEQKQDDGSTPIEED >Et_6B_049036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16921613:16925139:1 gene:Et_6B_049036 transcript:Et_6B_049036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKAPLRPWSGIGAGGHAPFTRPGTVGLAHKRGQAAGVKLVAVRPATVVAAATGERRAVPEPATTAAEAGEHRHVNGSAVADYENFMGLTGIGKLVTSTVGKSTNILWHDCPVGQPERQKLLNQKGCVVWITGLSGSGKSTLACALSRELHSRGHLTYVLDGDNLRHGLNRDLSFKAEDRAENIRRVGEVAKLFADAGLICIACLISPFRSDRNACRSLLPNSTFIEVFLNIPLEVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPSDCEIVIQCKDGKCASPKPMANQVISYLEENEEKDCFHGKLEEILLQNLVF >Et_3A_025419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29756416:29759263:-1 gene:Et_3A_025419 transcript:Et_3A_025419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPLAGPRRIPPNQPRNDVQLSSVHGRRPSGSSFPPSLLFFPIPNPSFPRICPHHFPNPHAESPSRPTPAGGMAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQINGKTVKAQIWDTAGQERYLGALLVYDITKKQTFDNVQRWLRELRDHADANIVVMMVGNKSDLNHLRSVPEEDGQAFSEKEGLSFLETSALEAVNVEKAFHTVLSEIHQTVSKKALAAQESASANGRSMQGTTINVAESSTTTKGSCCSS >Et_2A_016136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21314971:21318689:-1 gene:Et_2A_016136 transcript:Et_2A_016136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAVALNGGGDVQKQHQQQPVVGAPHSPAAAVVPPHWVAMPFAPPPGAAAMFAAAHFVPFHAVAPPPPPRAAPVAAVAMGSPAAQAVQEENKTIWVGDLHYWMDENYLHSCFGYTGEVVAIKVIRNKQTGQSEGYGFVEFYSHAAAEKVLEGFTGHIMPNTDQPFRLNWASFSMGDRRSDVASDHSIFVGDLASDVNDTTLLEIFSSRYSSVKGAKVVIDANTGRSKGYGFVRFGDDNEKTHAMTEMNGVYCSTRPMRIGPATPRKSSGTSGSNGSSARSDGGDLTNTTVFVGGLDPNVSEEDLRQTFSKYGEISSVKIPVGKQCGFVQFSQRKNAEDALQGLNGSTIGKQTVRLSWGRNPANKQFRGDNGNQWNNGMYYAASPFYNGYGYPAPFPDPGMYTAAAYGAYPFYGNQQQVS >Et_3A_024366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2075078:2077739:1 gene:Et_3A_024366 transcript:Et_3A_024366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRMPRLENTDFVLFPGCRIHDANAPVADLLVLNGHVYSINDHATMEDAATDYEFVIKDRIFGLSKSNDYPSFDLILLDTHGL >Et_9A_061886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17523437:17523987:1 gene:Et_9A_061886 transcript:Et_9A_061886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSVRLFSVSLVLVLLLVETTAPQGQAHAIDCGVSCAYRCSKSGASQDVPEGVQHLLPALRMRPAGHLRQRERLPLLRQHDHQERQAQVPMSRSFIHPMKHQLAKIT >Et_3A_024862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24925068:24928092:-1 gene:Et_3A_024862 transcript:Et_3A_024862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKATTAVTLRTRKFMTNRLLSRKQFVLEVIHPGRANVSKAELKERLAKVYEVKDANCIFVFKFRTHFGGGKSTGFGLIYDNLEAAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRSKKIRGVKKRCCVASCRRQFLFLTALLTMFPGRDFDGVTALRILKRFQAVPTAWPAVANASEVASPALSPDDTGGCSKRSLLRGLDRIHNFVCHRKATVRKVRTFPIPLPNVKALAAGHSLIASRDARMTSPGTVARKALPCLERSSGAAMDPVTEMTVSSSDWLIFSVISDSFLTLNGKQQRPQLVSLANASVSQRQAREVADSLYLLRLLFTAPRFALLGAWFLRSAPAAAPAA >Et_2A_017526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35094031:35094674:-1 gene:Et_2A_017526 transcript:Et_2A_017526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPEPPYNKETLPLGDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYELPLQRLHFSDGRPKSPPSLCEFIEYIDTEQTPENIAHVYRVAERARRHWFDMEAEERREEERRKMRQKEEERRREYEAERKQREEAERRRKQEEDRLSYEAREAERERMRERARRARAAGPDAFRKRKYSRCTQ >Et_2A_016100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2169158:2171626:-1 gene:Et_2A_016100 transcript:Et_2A_016100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPTPSAAAAPPRYSLPPVRLPPEDILFCVDVDLEARSEMKPAAAPTSSGSTSSASSPQPAGAARPGPGPRPAVRRMDAVKQAMLLFVHSKLAMWPEHRFAFASLGETVSMVKKEFSSDASSAMEAIHSMSAFESRFATADLTQLFKIAHQEGKRAESQGRLLRVVLIYCRSSTKPQHHWPTKEKNFTMDVIYLHDKPSADNCPQKVYDVLVDALEHVSQYEGYILETGQGLARVLFRQMCILLSHPLQRCIQDDLDIPKQVAKKTLADEAAQNEDGAPVSSQ >Et_5A_042982.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:8725931:8726137:1 gene:Et_5A_042982 transcript:Et_5A_042982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDCVVGSDRLVEGDTLNLQFLQAVVKETMRLHPAAPLQSPRMCREDASVGGYDIPAGTCVPASSLG >Et_3A_023827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1344823:1347753:1 gene:Et_3A_023827 transcript:Et_3A_023827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVTQAVENLKKEWNQAVTQLKDNIAAIESCGKTGKGTAEANSLPRLNGSAQDALQLLKSLQFRLDLLAQQLPTFEEVQSGQATLESWDEQYKKLRAGLRNANLQAKENIQKAAQEERDLLLGGGEESTIRRRNLQTKAGMQSAAESITESLRRSRQMMVQEVERSANTLTTFDESTSVLRKAEGEYQGHRSLLMRTRGLLSTMQRQDVLDRIILTIGFILFTLAVLYVVSRRIGLLTLQRKLADAIRSGSLSAEDIVAKAQQGPAPANVPPVPTPTVYDEL >Et_2B_021967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7461563:7463145:-1 gene:Et_2B_021967 transcript:Et_2B_021967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAMLVTTITYTAALSPPGRFWEESPEEHDASHRRRAGDPILLERHAGRFLALLICNTASFAASLVIITLLLSTRMWRNVESEVGLYGGIAVALLGLMGAYAAGSGRETDTTVYVLCLVLLVLVLAVEKTRSLILLLATLAVTVTYQAGLNPPGGVWRDTGDGHVGGNLVLLATHARRYRVFFYCNSAAFVTSIVVVIMHGQGRRATWATRSSPTTTRGGTRPSSTATRRASVAVIVVLVGRKLSDADKKSMGAGLVGLMGAYAAGTTRRVKTSSYVFALVGAVLLFAVLRNVRALEERVPGFVRDAGDRLAEWISCRCAGDAAEPEGDVDDEYRKQYRMKKYLLLLGILAASVTYQAGLDPPGGVWPSDGEGRAAGDPVLHDESRRRYHAFFYSNSTCFVASVVVLLLQSTLIDRADRWPMRAMHGAVVLDLLGLLAAYATGGSRDWGTFGYVLAMAVSVLAYVAIYMVLSYDKREKGPQVATDSRSNGSLVSGEMSDRPMDELRDQAQEWGRTSFQSSSGA >Et_2B_022731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:408720:416479:1 gene:Et_2B_022731 transcript:Et_2B_022731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METREAARGRRMLPGVEEASGAAPSEEEATRRGRERGGGDRAGRKQGGGDRRIPQGSRGTCFASLPLPLIPFNLFDDLRSQARNLTDEGIMEAACNAIRQFLCDDESTSSRKKEEKVIDGLSDEKKKTIREYGFGNLLEFDHSLFLTLLLAGSRTMSRQIPVVVYLDHLDFAQRTLPPATLPRITVWKGDMIKKFSELDCSGGHIYGERPVKEFKATCYYKISSHGVFPPTSAAGSVPNAESFKAMLDETVGHSFSAKVLNEIAEIYMRHAGSVNVNQPENVQHVMLDVIKYFYDISRRPDSDDSNHHGNGLADSERGSDHSKHLYYHQNDNLQNSGGFDHDDRDDSATARRSHPILNCEAKGALVGRDEEVNAVNVNAPVQYNAPSGGDTEILSSSGNDNEVNDGGSAQTQIMPSSAEMASQDLKINEGGRRKSRLSGNINSSSPESGNSVATRTRSRKSGQSPSMSPALHSDVRMVDNPEAILRKFRNKQVDVSKSNSGAVPNDEVHGIHDADEDEARAANPKAILVVYLGRTRSLPDSPAVENELYAGRSKEFIEQMKMWTDFGPSHRLCSSMDDFGNYIEDPRKRRRVGLPCDGLRVYNDWNPGDTSEEEAEAYMAQKGGHDSSSEPIPSSSAKEADVTSAYAPNVQLNRLGNGQQPFVPPAIDVAQPAASSGEPVACDIAVKDNEPVSCCGEDVQPPSIKDTEPASCSGEDVQRPSMKEPAPSSATHVEDNEPGNGDVPITVDGYHYPQTITVDDDEPVPIDAVDPHGMHSQDSPEVTIFREIRANASRSVSFRASIANSSEVQITDLEQHQDVQDSKRRTEIQSKQHWRGA >Et_1B_014005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27096095:27097839:-1 gene:Et_1B_014005 transcript:Et_1B_014005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPVHATGRRRCREYVLALEEERRKIQVFQRELPLCLDLVTQTIERMRSQMDGVGSEETVSDHGPVLEEFMPLKPSLSLSSSEEHDSARDAGGVVVGKKEEDAPETRPADAKRATPDWLQSVQLWSQEPQHQRASSPHKELPCKPVALNARKAGGAFQPFEKEKRGVELPSSSTTAAASSAVVGDSSGDNKAASVDDDDTEKHNSDKQEEASNNKDAAKGKDKEGQSSSSNRKPRRCWAPELHRRFLQALQQLGGSHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHARRPNSTVQSSSASAAPPAPQFVVVGGIWVPPPEYAAAAAAAAAAAQPPVQLAADASGSASTVYAPVATLPSGAQPQSQQKQQLVQRQPSRCSDGRRSGSTGDASSASPAVSSSSQTTSA >Et_3A_023836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13147867:13153071:1 gene:Et_3A_023836 transcript:Et_3A_023836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRIRSRDGTDRVTVPDAATTTVADLQRLIESALTVPVAVQRLSLDPALLLPSTVSSAAAPLLSDPSARLASLRLANGAFVYLAYPPGARAAGPPPPKALSAAGSFGRKMTMDDLIARQIRVTRQEAALCSAASFDRDAANAFQLHVAESLAFAVKRAGFLYGRVDAETKEVFVDFIYEPPQQGSEDVVQLMRDPSEEARVDAIAEGLGMRRVGLVFTQAVGRKASETGEYTMSNREVVQAAQLQAEGRIPEWVTAIVKLEVGDDGTGDVHFEAFQMSEICVKLFKDGVLETEVGDNDDPRLSKMRKEVVAGGKDTMEVDNDFFLVPVKISDHQGPLSVGFPIENRGSPVGMGALRSHLDRVKHLPFVKRISDFHLLLQISVFLDVKADVPALAACVKSQSRVPEGYQLLIESLASQG >Et_1B_012299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30579099:30588854:-1 gene:Et_1B_012299 transcript:Et_1B_012299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALEVMPGFSLPDLFPSSRLAMPVSRMPRRIERRRCATLAIIDPIIQAHQEKMDAAGTDEHEDLLDVLLRLQKDMDGQYPLTDFNIKSVINDLFFAGSETSATVLQWAMAELMRNPAVMRKAQDEVRSVLSGHDKVTEDSLSNLHYLHLIIRETLRLHPPAPLLLPRECRNPCRVLGYDVPQGTMVLVNAWAIGRDPEHWDAPEDFVPERFEQSGRDFKGMEFVPFGAGRRICPGMAFGLAHIELALAALLFHFDWSLPEGMVAEEMDMTEAVAITAPPRFDLVLVPVTRVPV >Et_4A_034600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4787741:4789066:1 gene:Et_4A_034600 transcript:Et_4A_034600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEVATVVIQHPAGGEGGGRTERAYLALSAGAVMAANPGHYVAAVITSPPDPNSSGAAPPVKHLKLLRPDDMLLLGRVYRLVSFEEVLKEFTSKRHAKLSRVTVKVKDEDGGADEKAKAKPKPPANPRRRRATAATTTTGGEIRKESERSLAKVMRQTEEPESAELEREPGHSSAGPNATTAADAPSDIDGDLEALVHPHGVVIGRRFARQWRPALQSIAEG >Et_5B_044017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1977443:1978363:1 gene:Et_5B_044017 transcript:Et_5B_044017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDSHHVVVICPTAQILRQAMREHWPLPDEQQYVKTGPHRLLLLLQCIKKKQRGIVKLVLWQAWTLHTNIGHQSGSTSIENSVHFLLSYRATLMQIRNQPNSENDKGKKAYLGGRMQSWRWFSETFYAAIFRTEATCCWLGKTKSKRGWNICGTKRSSRYWNEPVIIMEICASFLKGEHCACIEGMRLASQWIHNPVTIESDCTRAVATLNLPVEDMSEVSFFTRKQRRLWHCFRKFGTLVRASSYEDCSFSGLVGASPHLYCPTCITKTITALLD >Et_7A_052329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:751687:766657:1 gene:Et_7A_052329 transcript:Et_7A_052329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINRHDPLFIKKPHEMSKHRIKCTAPVLFRGIGHAANPSNSLVLKVLSASPSAYSANPKTKLASPPSLTGSAISLVSVMQARNNARVLISGSLDMFSNRFLKSGVQKAGSKTRHERAGNEQFVTETSKWVFHERGHLKAVNVKHHKVGETNEPGMYRINDDLEYSVNIYEWSGTSWKPYVADDVQLQFYMMSPYVLKTLSTDKKGLYSTSFKVPDVYGVFQFKVEYQRLGYTGLSFTKQIPVRPYRHNEYERFITSAYPYYAASFSTMGAFFIFSFVYLYHK >Et_1A_009329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39126040:39129647:-1 gene:Et_1A_009329 transcript:Et_1A_009329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRTHLRLTLCLAFYFLRLHAFPFPIPFDDPYTNHQDVVAINDLYAALGSPDLDGWRDSGGDPCKEAWQGVQCDGPNKGPVSSERISAVSLTSASCQHDRELRGAGLGGKLSETLGYFTAVTRLDLSSNDLSGDLPISMAKMSSLSTLHVQNNQLTGTLDVLGDLPLKDLRNGNRFTIPPIPGDSPTPPNHVPAPSAPDEPQVLSGAHPPIFLIPATPQVDSPPRHSNRVSPAKAAGFSILAAGSLSIAAIAVVFTVSKWRRERSPHGGYLRRRAEMSTPSWVWETARPPSAVSKPDKERHSAAEEKKELPPRDHVKAAGSSIRPSFKNSGKDSAVSDKNVQGCSEVQLSQFSFTFFTVAHLQQCTNSFSDQNLIRETCFGKVYLAQHQASKFVVLKLDGSAAKTPVAEFLKFVQGIYELRHPNVDELVGCCVDHGQRLLVYSHFSDNTLGYLIHSEHPAETLPWDARIAVALEAARALEYEKIIVRCLYLHEGSQRPAVVHRHFRPEHVLVDAELRVSVSGGGLAPFVPSGVALQAIQSQEQFQFLGFGEVSDYCGGTLSYEPPEAAAWTAKGDVYSFGVVMLQLLTGRKPYDRSRARGERHLVPWASPRLHDLAALRNMADPRLGRTPPVRSLSRFADVIGRCIQVSACLLSIPLHCASNAMGTDSSELPLSGSQQEAEFRPAMSQVAQDLRRALDDAVDARADEPAASSAAAQAV >Et_4A_033472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23427052:23432548:1 gene:Et_4A_033472 transcript:Et_4A_033472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPTAAAAAAAAAAAEATDGPVLSVISKRLRALRKKHNRILQMEESLAAGKTLNREQEEVLRSKPVVVAQIDELERLRAPLASAVAEELASRPAPAPAAAAAPAPASSSDSDSSIQDLLSLIYFGSLFDVKEQSEFVATMVARSHERNCCITYDYVTDDAADLLAETDLDAVSALAALAASRPAAAAGVSHRDALQACAHHARLWLCRAEEPIHPDSGITYAGVRAKLDRIMASDYFTAQATLDVAAAVGSYGAGGVQEQESLVVPPEAPAVDQGVTVEGHQDEEDAQAAETYTDDQSPPVDAQYVDDEALVNPTDEVPSAEAEQGRFDADAEDPEQKDQQFVQRRSYQNQRGGGGRGAGRRGGYPNGRGGRGGRGGGGYQNGRGGGGGGYQNGRGGGGGGYYYDTGYYQQRNYNSRGRGGRSGGNSYYNNHGGSQGGGHGHSGRVELDANA >Et_9B_065736.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:53538:53741:-1 gene:Et_9B_065736 transcript:Et_9B_065736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLPPPTPLPPPTPSSTPTTFPAEVFKRNAITNLVDMAYTDAAKVDALFSVQAELRHRSGVEDGE >Et_9A_063392.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22760642:22760920:1 gene:Et_9A_063392 transcript:Et_9A_063392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQELPTQPPNPVRRRDATCHLLILLGASVVVLSVFGEPSVPAALAGFLLWLVGAACVLFGGQVRHRPLLQGAFAAGAANLVVDQHPAPV >Et_1B_014213.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:35561786:35562142:-1 gene:Et_1B_014213 transcript:Et_1B_014213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGPLAAQRYGSELTLREALEGRGDMYRTLLREATAALLNAYYNAPGGPFLYPTTASVIDHMNGALLSSTQRVLIEGARFRRANAGGGGPAGRTRLPCDFTPCAAQQGQGGAPPPAA >Et_6A_047291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3393647:3396783:1 gene:Et_6A_047291 transcript:Et_6A_047291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGRLPAAGDTSGAFLPAMGRRRSLRFWWAWLESGRGVDRAAAILTALNAVDLLVPDSDLVGQDSSCLALFPQMADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELENAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKFVPCEVKCIGKPEKARTRSTR >Et_4B_036533.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28538113:28538352:1 gene:Et_4B_036533 transcript:Et_4B_036533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FWELPRPQHLLAIHYSTFVLLCCWQLWKDRHDRVFRAMDASLPRLLQQCKEESILWRCLLPASEATVVDAWCSSLSPNM >Et_3B_030971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9577502:9578482:-1 gene:Et_3B_030971 transcript:Et_3B_030971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLDTRMLKDEVTSMDKRCLLDLGHPLLNRVADSFIRAAGVGAARAVTREAYFVTVDGLSGDSAGLDANIPKRSHFSSIRGDDGQNSLEAVVKSTGKEAFQWGLAAGVHSGITYGLREARGCHDWKNSAIAGAIAGVAVALTGDAGGHSDKIVHFAITGAALSSAANLLSGVF >Et_6A_047057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24659547:24662914:-1 gene:Et_6A_047057 transcript:Et_6A_047057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTADTSMVGMPQLRSFISYRCDFDKLAPLSSFKHLRVLDIKDCYSLRPCHLVHLGNLLHLRYLGMENTSVEDLPNEIGALKLLQTLNLYSTCITQLTPSISRLTQLVCLRGTLWTTAAPHWIGNLASLEELQVSVESSDGNARLPELPCGEKEVLLSRNVFEFCL >Et_6A_047713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1066029:1066979:1 gene:Et_6A_047713 transcript:Et_6A_047713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLALLICFLFLAAAVSAEAIAPSGAVEDEGADNHKGSNDGKGNLKPSQCAGECDRRCSRTHHRKPCIFFCNRCCAKCLCVPPGYYGNKETCPCYNNWKNKRGGPKCP >Et_3A_025945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34137092:34139164:-1 gene:Et_3A_025945 transcript:Et_3A_025945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSGGGGGKVSFKIILTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGAVVVSS >Et_4A_032285.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28447105:28447233:-1 gene:Et_4A_032285 transcript:Et_4A_032285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSSASLLQERLRLWVCRAPKSISTQLLEDWCTTQVDVIR >Et_9A_061482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12433617:12438811:-1 gene:Et_9A_061482 transcript:Et_9A_061482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPPSISFKLVLLGDGRVGKTSLVLRYVNDVFSDKQEATVQASYLTKRLVVEGVPITLSIWDTAGQEKFHALGPIYYRDADAALLVYDITDSDTFLRVTKWVKELQQMASKDIVMAIAANKSDLVRLKSIDTQDAASYAESIGASLFVTSAKAGTGIDDVFHDIAKRLLEKRKNSSGSLSPPHPKKGILIVNDEPEKEPPPKCCS >Et_8A_057236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22575324:22579584:-1 gene:Et_8A_057236 transcript:Et_8A_057236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVTFKSREDHRKQLELEEARKAGLAPAEVDEDGNEINPHIPQYMSSAPWYLNADKPSLKHQRKWKSDPNYTKSWYDRGVKLFQANKYRKGACENCGAMTHDKKSCMERPRSVGAKYTNVNIAPDEKVESFELDYDGKRDRWNGYDPSTYTRVIADYEAREEARKKYLKEQQLKKLEEKDSENDGENAGSEDDEEDGLRIDEAKVDESAQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNDKFYVGDNQNRLSGQALEFKQLNIHAWEAFDKGQDIHMQAAPSQAELLYKSFKIKKEMLKSEHKDKIMEKYGNAASEDQIPRELLLGQSEREIEYDRTGRIIKGQDVSLPKSKYEEDVFINNHTTVWGSWWKDHQWGYKCCKQTIKNSYCTGLAGIEAAEASADLMKANMARKEAAQDEPVQQEQKRLATWGTDVPDDLVLDKKLLEESLKKEAARKKEERDERKRKYNVKWNDEVTAEDMEAYRMTKVRHDDPMKEFLH >Et_1B_010508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11136752:11150565:1 gene:Et_1B_010508 transcript:Et_1B_010508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLREELSTMNGQWILFMCAVLLGVAHASASPPGPGFHFRPAKNWMNGPMYYRGLYHLFFQYNPNEAKWGDIVWAHSVSRDLVSWRALEPAIKPSKDFDVKGCWSGSATLLPSGVPVLMYTGIDAHSQQVQNVAYPANLSDHLLRNWSKPGYNPVITAGHGVNASAFRDPTTTWLGPDRLWRVVVGSRNGSEGLAVLYRSRDLKKWFKARHMLHSGSTGMWECPDFYPVAVAGGSRDYRRGVDVAELRDPVVAEEVKYVLKVSLDLTRYDYYTVGSYDHATDEYVPAIPRQIWLSPTGEQLIQWPVEEVEALRHNHVNLTSRVVKGGHHFEVTGFKSVQCLKCESYCSWFRKEKGKKVRTVHARYFALHLTDLLFPPRMQSDVEVVFELTDLAAAERLDPAWRRMDAQALCARLGATVKGGLGPFGLFTLATSDLGERTAVFFRVFKAQNGSSTPHVVLMCNDAATSSYNQYTYRPSFAAFVNVDIAKTEKINLRTLIDHLVVESFGAEGRACILSRVHPTRAVGDEARLFVFNNGESDVKVAELHAWEMKTPEINAPFGITALAGASNADDAVFDIKALLAKPSGAGGKMREALEQC >Et_1B_012571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33290588:33293561:-1 gene:Et_1B_012571 transcript:Et_1B_012571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGLCELRHVSDAEDLFSILETCWEGCMNGAPAGGGGGATAAAAAFRQNCTGGGGSVAAGVRPTGNSRRRSADEAAKGGGGGPVHKKQKSSSAPAIYSVDDVDGEAKMSHIAVERNRRKQMNEHLAVLRSLMPCFYVKRGDQASIIGGVVDYIKELQQLLRSLEAKKHRKTYADQVLSPRPGPAAAASPRPLIRPTPPLSPRVAVPISPRTPTRPGSPYMPAGGGRPPHHPAAAYMASPAMTPTTSSSSSSYHDQQQQHFPQPYLPTLDSIVTELAAHAARPGALAVPDVKVEFAGPNLVLKTVSRRAPGQALKIIAALESLELEILHVSVSTVDDTMMHSFTIKIGIECELSAEELVQEIQQTLL >Et_3A_023579.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:6419442:6419600:-1 gene:Et_3A_023579 transcript:Et_3A_023579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVELEQWQTTGSWIGQSWHEYKAELLATRSIALMSNEDYNSAFVNYNAPWS >Et_4A_032013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11885953:11886471:1 gene:Et_4A_032013 transcript:Et_4A_032013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAVSSSLNPNAPLFIPAAYLQVEDFSPQWWDLVTTTAWFRDHWSREHSHLDDMADELDAAALLPDDDDLFVEDDQSPAVQAPAAPLKTDALLKALTSPKGGDAPRVFWEKPRHAEKPAKHAGSPKGGAPRVIHQPR >Et_7B_055603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16641178:16645013:1 gene:Et_7B_055603 transcript:Et_7B_055603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCRFEILVKTGGRKNAGTDARVSLQVSSSNGPTLVISNLDSWGEMSAGHDYFEKGNLDRFGGNGPCMPAEPCNMVIKSDGSGNKPGWYVDYVQVTQLGQGRVSSMTHKWAVDQWLAIDEAPHMLSAARNAPVPNRRIERVKLEIKMYPTAHGNLVPVID >Et_4B_036945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12835586:12836938:-1 gene:Et_4B_036945 transcript:Et_4B_036945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNVRRPRAQSGAQLPPPDPGHSATDRPPAALKKHLPPKSSELARDGAHYFAGSHPRRRGEPGHSDRLTSSALAVLSPGLRTSATSRRTTRRYRPVAAERSKRLSHPVRRLLLRVLLRSGLGLHTYAPRTILHG >Et_9A_061769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16192131:16198738:-1 gene:Et_9A_061769 transcript:Et_9A_061769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAGMPGSPAGAAAAAAGGPPAPEVAARDAVIGWFRGEFAAANAMIDALCGHLAQIGGGAEYEPVFAALHRRRLNWFPVLHMQKFYPVADVAAELRRVAEARAAAAAAAGSCCYSEEAASTVIHESMDDPEPETEPERDQDPVQQDPAPAAEEVDGAVNHAADHEADAEVDSSGDSSERKGASTTEDDAVADGHHTDQGSQGEHSLPESYPICSDHEECIVRPERIKIQKGFVAKESVNVVKGLKIYEDVFTTSEIMKVADFINEIRQAGRNGELSGETFIFFNKQIKGNKREIIQLGVPLFQSTTEEANCHIEPIPVVLQAVIDHLVLWRLIPESRKPNSVIINFFDEDEHSQPYFKPPHLDNPISTLLLSETTMAFGRSLVTDSNGNYKGPLTLSLKQGSLLVMRGNSADMARHVVCPSSNRRVSITFARVRPSTPVDLSPLSSPTKAMTPWQPQPAAAAVAPVAMAQKPLSSGAIIGYAPAPQAMIAPAAWGMAVRAPVMMVAAGPARPMVMASSGNGAGGNIGKRMGRSGTGVFLPWTVGPKRYNKHLPPRIQKRRFSTMMSPIEAQG >Et_10A_002219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4632780:4633417:1 gene:Et_10A_002219 transcript:Et_10A_002219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLLDDVLRLILELIDSPVCLVRAAATYGLMRILYVGCALWVALVAPCWVYFHYGNTNFYVADGRDGKPRVVAVFDETMKVFARLDDGKWAPEKSVVLSEATHGLPGYEPSFFEEPVNILTRGPGFVILSPQAIERWFISVDLETMEVALAEEDMGIMVYRCELPWPPALNAGIR >Et_2A_018474.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29000487:29000798:-1 gene:Et_2A_018474 transcript:Et_2A_018474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAHWFAFSPSTDTRSESNAAVRVLVQALLPLLLRPVARFAACVAVAGGPAAAAATILHRAGALPRNRGLERLVRDDALHDGGGRGHNCMARLVVGVMRCFC >Et_10A_000954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19522810:19529241:-1 gene:Et_10A_000954 transcript:Et_10A_000954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAEQLRASFATGRTRPAAWREAQLRGVLRMATEMEAEICDALRADLAKPVTESYVHEISLVKSSCKFALKNLKKWMKPKKVPAGILTFPSTARIAAEPVGVVLVISAWNYPFLLSMDPVVGAIAAGNAVVLKPSEIAPATSSLLAELLPRFVDSSCIKVVEGGVPETTALLEQKWDKIFYTGNGKVGRVVLSLAAKHLTPVALELGGKCPVIVDSNVDLHVAAKRIAAGKWGCNNGQACISPDFIVTTKSLAPKLLESMEKVLQKFYGYDPLQSPDLSRIVNSNHFKRLISLMDEEKVKDKIVFGGQSDEIQLKIAPTLLMDVPLDSAIMKEEIFGPLLPIIMVDKIHESFALINSMTKPLAAYLFTKDSTLKDQFENGISAGATIINDTSIHLTNPHLPFGGVGESGMGAYHGTFSFDAFSHKKAILSRFFIGEVKARYPPYTPAKLSIVKGVLKGNLASMIQAILGFPRGGA >Et_5A_040613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10179665:10182903:-1 gene:Et_5A_040613 transcript:Et_5A_040613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHRNQLPHSFPRGSGSGNHPPPPPLHHPHLPPHHHFDDYREPPRLPPRHHLDDFRDPPRLPLGHPDSFREPPPPPHRHHFAGHGGPLPPQPHLVAALEERLGAEIEEAHALLGQNQRLAATHVALVQEVAAARHELGHTARTLAAAREEGDLRLREVYERSMKMEGELQALDEMRAELAQVRQDIQKLGAVRQELMGQVQGLTQDLARSAMDLQQVSALKAEIQEIRHETQHLRSSIELEKKGYAESYEQGQEMQKNLISVASEVEKLRAEVANAEKRSRAAVSISSQGYVGSYGNNKSNYAPNPYNAGYNMNQANTADSGPQYGPGATHAAWGAAYDIQRASGRR >Et_7A_050856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11694246:11696007:-1 gene:Et_7A_050856 transcript:Et_7A_050856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFRDDKGAALPDPFVGGGGFFIRRVASPGALAVRAARKPLARRFVSPSNNKENRPPFWAVRATPPKRRSPLPDWYPRTPLRDITAIAKAIQRSRLRIAAAQQQSQRPEQSPQSVNVTTPAQAEQDAPHCTEASQAVASGSGSTERENVANPATILAEENLKVFSSPAESSEKTPSNSMDPALADVVEKKLSSSIDQIEKMVKKNMKRTPKAAQPSKRAIQRRTLMSMR >Et_10A_000844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18046373:18048223:1 gene:Et_10A_000844 transcript:Et_10A_000844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQDFIEASIVYKSRSCNSVAHLHGTGGCEFIARDDQGAVFGSGAGKIDHCSVALEAESTAIVQALNFASDMGMSKLELETVW >Et_5B_043167.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:14184084:14184653:-1 gene:Et_5B_043167 transcript:Et_5B_043167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSEGAIGSGATGAIWVVICPPPSPNGDGDGVACCWNPKSDGAGEDDAAPNAGVVDGVPNSEPKAGVEEGVPNVGGAVEDGVPKAGADDEAPNAGVEDGVPNAGADDGVPNGEAVDGVPNAGADDGVPNVGVDDGAPNAGVEEGVPNAGVEEGVPKAGVEDGVPKRGEDVGVPNMVAGITGGEGSGGV >Et_1B_011233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19055892:19063987:-1 gene:Et_1B_011233 transcript:Et_1B_011233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNGLLPNVAAGVTRRLDAERWAVAEERTAELIARIQPTPASEERRRAVADYVQRLIMGCLGCQVFTFGSVPLKTYLPDGDIDVTAFSNNEELKDTWAITVRDALEHEEKSEKAEFRVREVQYIQAGVKIIKCLVENIVVDISFNQVGGLCTLCFLEEMDNLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALEILVLYIFHVFNNSFAGPLEVLYRFLEFFSNFDWEKFCVSLWGPVPISSLPDMSADPPRNDDGALLLSKSFLDICSAMYAVMPSPDDNQVQPFVSKHFNVIDPLRTNNNLGRSVNKGNFFRIRSAFAFGAKRLSRLLECPREDLIVEVKQFFTNTWRRHGSETSSKVESISRRSNMILGVSSNHGTKPSQENQTPSMNVSSNASIPVSDREDLCFATDENLSLVSEASDVAHKTHPQEHDLTDAFNGQVPLPVQIPSHLSVTPPPIMVSSGYPQRNLAGILPPNFSFIGTPWFHNMQFVHGFVQPPMAHYVGSPTFAANSEDGNESEKSTATGRNGDDGGNWHEHGTGLSGNFKERVDPDIFSFKDLSSSLHDIPGAHLHGLTKSVTEDNSEILREKYPDMFHYQVNGGIKFGAGNVTLVSSQAGQTIPDRSCDEPTEMFIASSSDEWGKTPATVAPSSSFHSKTNTSWQFKNTNECIPSGFNGTRNSNAIPVNSEFSIEVAGPSSSGRSSSSPVSEDHDPLQVNMHNPVFAPFFIGPQQRQAENSGLTFVPTGPPVPFVVYPFMPGNTDSSMSQFERSKERDHFPPAMAFHNFNLHDAGGHDANTRTPSGSVVAIHDQKSDILNSDFSSHWHNLQYGRFCQNSRPPAPVLYPVAMPPIYPGRQPAHSFNWAQVRGPGQGVVPMMPVQPASERVSGVFQRYEEDAPRYRGGTGTYLPTPKVPFRERQPGSRNYRGSYNSDRVDHNDKEGSWANSKQRNVGRSYGRSQSEKPGIRPDRPTSDESHADRHRQAYRNDSYRRESAGLRSHSFKSADSSYDSINMAYGVPSQPSTVSSGTSTSSGSSVQPVVMVYPYDQSVNYSATTKPIEFGSFGSVSLDTGDVQGPTRTAHANGFYEQRRGPHKGGSSRSPPDQPSMPHLRSYLKSKLPSGSTQDLLGVGVA >Et_3A_026755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19051184:19052862:1 gene:Et_3A_026755 transcript:Et_3A_026755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGVPTAPAASTRTSTIQAIYDVSKDVFAAATSGFQPLPSDVARLSGFLNGLTLQDIGVDVAMQYVNADLVGRSKVTYLHFADTASFSFGVFCLPPSAVIPLHDHPGMTVFSKILLGSMHIKSYDWVKTPPTGIRITRTSNGARLAKLNTDAVFDASSETVVLYPEDGGNLHCFTATTPFVVLDVMGPPYRKAEGRTAPTTVSKLCVQVGMMGSTLG >Et_3A_025592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30989144:30990351:-1 gene:Et_3A_025592 transcript:Et_3A_025592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVRSRGGRRGRGRGGRGRGAGAGAAAASGTRRKRAAAESEVIEVGDDGKSALRTKRRIRIFTNAVDESEEDQRDAPKEAAHGSLKKRRALKDISNSVVGTDTEAVMEVRDSAPRNGGQKVDPHSRSKRLQGKPTRNKKLDGGDTGDDIFEDSRSSSQDGELRRASYLIFE >Et_5B_044860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6646245:6647233:1 gene:Et_5B_044860 transcript:Et_5B_044860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAAAASTFLGTRLADPAPQNGRIVARFGGFGFGKKPQQQQAPKKGVKKAPSSPGSDRPLWFPGAVAPDYLDGSLVGDYGFDPFGLGKPVEYLQFDLDSLDQNLAKNLPGDVIGTRFETSDVKSTPFQPYSEVFGLQRFRECELIHGRWAMLATLGALSVEWLTGVTWQDAGKVELVDGSSYLGQPLPFSISTLIWIEVLVIGYIEFQRNAELDPERRIYPGGSYFDPLGLASDPEKKERLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIFDTFSSGSS >Et_4A_034014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29116878:29117379:-1 gene:Et_4A_034014 transcript:Et_4A_034014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASPLPAREKRRRRTRAPGYVSKESLGTHAFDMATGSWTKVGDWALPFSGGAEYVPEKRLRFGLATGDDYDHVRAADLTVTLPPAPLYLCSERAAPAGRPTYLVYLGLSKVLPRQAPRVREQSMACHQFVAFTGLEVERCGGERVVPSRQTALGRGL >Et_10B_003574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3236716:3238475:-1 gene:Et_10B_003574 transcript:Et_10B_003574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQGCESCQKWQDHYHQEHMDVSRIRFFKLLTGDFAQSISIPDKFGNSLKGKVNKEFNLKAPSSETWRVSLTKHAGELFFMSGWGDFAKAHELQDNDFLIFTLSGNDAFDVMIFDASGCEKVSSFFKGKRDPCMHKHFQNIVGQQAEHCNLSDSDDTSTPLPLIEFAYKASASKKMRGKTKPREDPESPDSSNHRIKREGMGDEEQSDDRHADSMYYSRSARILTGKEREEILSLASIQPGNPVFMTVLQKTHMGYKNNLLVSFNLFITLKTQYWCCFMQVEIGNKLKLIVLNVLMLAMQIIPSDFAADHLETRSHDIQLLRPNRKDKWCVNYYHGRATRGFSCRRWIKFMQDNGLCKDFICMFELMKGAKRRTMVVHVVRKVNRRFIMAR >Et_8B_058783.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:4520534:4520623:1 gene:Et_8B_058783 transcript:Et_8B_058783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSEKQPLRSPACCRPAGKKPSYGNGA >Et_5B_045051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8979308:8984275:-1 gene:Et_5B_045051 transcript:Et_5B_045051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILNRTPAAAAGVSSSPLRRPSPRVPRGTASPLPDPILPYLRSIRRAIDELGTGPRYDTAALDRLKLYVTECIEKYGDDYQYSTDPRLLKVWILYADATGEFPRVYQHLEERGMFLEHALLYESYALFLFCKGQVLEADKVYGVGVSRKAEPLDHLKKKHLAFLKHLERLVEEAEADAQPKPTKIQKKEPTVVDPWSESTMSTLLKTINGDLKNFAGYHKSNKVYSGQVSLTSSQNALRNKIIELGGRKYQIKGSPGTGAFAKVYKAAVDGNAEELVALKIQKPPFPWEFYIYRQLDMRISDIERPSFGYTHEMHIFSDVSVLVCDYLHHGTLLDVINYHLVVSRNMDEVLCMYYTIEMLNLLETLHSVGIIHGDFKPDNILVRYPSGDISEETFRTETRAEKNQGLCLVDWGRGIDMNLFPTGTEFQGDSGTSGFSCVEMQEERNWTYQVDTYGLCVIAHMMLHGTAMNIEKVPNTGRGGYEYKPKLPFKRYWNVELWKSLFSTLLNAPSCGCDVAALRNLRASFREYLGGNRQLIGKLNQQLTKQKASLCSS >Et_5B_043791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16107734:16108896:-1 gene:Et_5B_043791 transcript:Et_5B_043791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHNVTWLPCMNSKHPVGFSICSGLQFIEKLITYDETTDRNISTTMLVKMPIWCRRKMVFSAHACYKIVLSTLLVRKCCKTFLLYVTFAKMFQTNTLSLLISKDHLGTPTNSEHKFRVVYLLLPSVYGKLIGAILKSHLHQHMMKL >Et_4A_035928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8590879:8600653:-1 gene:Et_4A_035928 transcript:Et_4A_035928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRGHDSQCQGKKSRVAPKKHLYLVLDDWEKGYSIHRIDWDALLLESNESCTDVHPRHLPDTAALRFVASFDVHFVALGSNITVVDGNGGIPTLIYDTGAAALALGPQLPGNLCGLIARGAGDMIYALTSFGIGMPRSFEVLSFAPCTSEVEPGRPTHEWSWKSLPTPPLPFSKQETIVSYAVHPDGQTIFLSTVGTLYPRLSKGTYSFNTKRCEWKWHGAWMLPFQGQGYFDHELDAWVGFHKEQGYICCCQVASRSSMATVPPDLDKVEEKMFCKGDGNMHLGVTLTYMGDSKFCLVESMVREEVELGTIHIYTKTSEIQQVVYNADGSNAPLFIFADQCQDGIKYFTKLRRQQHVYRAPTAS >Et_3B_028509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16346926:16351819:1 gene:Et_3B_028509 transcript:Et_3B_028509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVMEAGGTGGRNKDLRQARLGPHGHSAHARTAHNVSSSSLRKKSSVSLVTKVPVAPLRPVIANLQEVLLGTKLAILFPAVPLAIAAQCAHFGQVWVFALSMIGLVPLAERVSFLTEQIALYTGPTVGGLLNATCGNATELIIALFALMQGKIEVVKCSLLGSVLSNLLLVLGTSLFCGGVVNLGSHQPYDRSQADVSTGLLILGALCQSLPLMLRYAVGAGEHSVAADTTGLDLSRACSVVMLLAYVAYLFFQLKTHTQLFEPQEVEGDVEIEETPVLGFASGFFWLAFMTVLISILSEYVVGTIEATSHSWGLSVSFISIILLPIVGNAAEHAGAIIFALKNKLDITLGVALGSATQISMFVVPLSVIVAWIAGIQMDLDFKLLETGCLFVSVLVTAFTLQDGASHYLKGILLLLCYIVIGACFFVTRQPTNNANDNSVQLAVPTATPSSLVLQMPTDLQDCNMPSDDCELAGEASCS >Et_3B_031012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10012547:10015855:-1 gene:Et_3B_031012 transcript:Et_3B_031012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELTYRGGAAHGSASNGGEYSPKPSKQLSWFTRAARYAAAEHRPLFALAGMLFAAAIFVFSSSASPASSYPAASAGFSHLAVASGGRQSLPDFVGGKVPLGLKRRTLRVLVTGGAGFVGSHLVDRLVERGDSVIVVDNFFTGRKENVAHHLQNPRFEVIRHDVVEPILLEVDQIYHLACPASPVHYKWHKTNVVGTLNMLGLAKRIGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVAGLMKLMEGEHIGPFNLGNPGEFTMLELAKVVQDTIDPEARIEFRQNTADDPHMRKPDISRAKELLGWEPKVPLREGLPLMVTDFRKRIFGDQEGSS >Et_2A_017109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3153211:3158454:-1 gene:Et_2A_017109 transcript:Et_2A_017109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTRSVVLLEAAQELPPGVKEDDHMAELRHRRRRLLERFMASFGPASRFVYEVNKACSHPCVLYTMKLSVKLSKKKKLSVKKARVSWSHRRVRRFFSERRGPAFRIPLCRAAEHGQIEPVVHRSGVWGEPDLLGILSGTRDPSYYLLEFYREMKVIPTEGSLASLMEVAVIRGMPGGCGITVTSHVDQLVVQLHDILFCLPAPSSTVDLRFSEHWFRLPAGWKEERLCTEGGIHFVDITTQVETLVKKLYKMHQQEEQEMERRRWEAEEERVRRLEEEKAMLKLQEEERNKIRQRKEEEKRKLEQAAVRPPAYTSEWVAVMKKIEEAQPAMARCYSKFKLLMNHSKQVSCKCAGHEGLKDMRHRVEDGEFVLPISKPALISASARAPEETLGFVEGYCIAGTLGFGPKIGFVMDQSGRTLSSVLMASRSAVKFLTIEALVDKLDILLDDGLIMSCGLGVAVDIQCDDISSSDLLTTDWRHHILCKNIDGEGVTSFSTLLVHLKKKLQLQLSKEDLKIYSLSAVDEDEEDEDPVEECRKHHAHVPAKPKQEPCPRILWACGRRPTESKMTTGSRRCAAAAASSSDSMASCGPGRPYLYEAPKVHTHPCVLYTMKLSVKNARASWSLRSSRRFFAERRGSFPIPLCRAAGPDGQVEPVVDRSGAPAEPDLPGIVSGVFENSSCLIELSREKGVIHKEGSPAPWREVAVIRGMPGGRGISLTSHVRCLVVRLHDILFLLPGPSATVDLRFSEQWFRLPARWKEERLYAEDGVHIVDIASSVENLVSKWMRKQDDKEIERQTFETEEEKEMRLPEEETTCQQEVERRKMHERKEVLKGEEVATKPPVHTPLVWDDDAHPPALSCCSKFRLSMDRAKKVSCKCVEQDGLQHMLQRVNDGQYVLPISKTASGLATSRTLETLGFVEGYTDLGTLGLGLKRGHFMDQSGRTLSSVLMVSRSTAKVLTINILVHKLDILFDD >Et_6A_047901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23375076:23377664:1 gene:Et_6A_047901 transcript:Et_6A_047901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYKKLKAAFRVGEGVHSSSFLVGGHSWHLTCNPRGDGKHCIVDLILCLENLGAADEVSARFRFALLGPVGEVLYCWGDEENTFSCSENSYGYPFAGRGYLELDHLEYVQNERFRMRCDIIIVKEFRPWGKKVWQSPRLDLQRHLGVLHTDNADNVGGDVTFEVAGETFAAHKCILAARSPFFMAKFFGPNNKESITFTASSVHVRVEDMDPKDREKNPKAGCPGRACRPLATQKAAP >Et_3B_031515.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:3430602:3432044:-1 gene:Et_3B_031515 transcript:Et_3B_031515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRSLLAWVCLLFVLLLLALGGAPAEARPGPGSSAPRRLVPRRMLSTNAPEGVSATSGDGTMASKKIATHRKQAAAPPKAAPASGSDFNVESYGAAGDGRTDDTKAFLNAWAKACSSPQPAVLLVPAGKKYLVREIPLSGPCKSQVTFQIDGTLVAPEDKSNWKKNGYPHWVSFTKVDSLTVTGRGTLDGTGKSSWKNSCRTNKKNPCTFAPAALTFTSCNHLKVQNIRLVNSPQVHLLMQYCKDVTLSSLTIQSPGSSPESDGIHVSHSEDIRIIKPVIKAGDDCISIASGTKNLYAYKVECGPGHGISIGSLGKDNSDAQVSNITIDTAHVTGTLYGARIKTWQGGSGYAKDIKFLNMVMDNVKHPIFIDQYYCNQFDPNKPKPCAEQKSAVQISNVLFKNIKGTGTTKDVISLHCSKAFPCHDVVLEDIDLKMKKKAGISSCENVMFTKTSNVSPAPCTLVAAKHDQVPEDSSD >Et_1B_009835.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31172689:31173426:1 gene:Et_1B_009835 transcript:Et_1B_009835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGVGVPGEDQRRPASGHRRVEQDPHQPVLHHLHRRRRRGGDPQGGAEALAVLEQEGRQGAGRRRRPRRRVLGPPVRQVRREQQPGARRGVLRRAGEQRGGGPPARRRQEGRVQADQVAAVAGGRRAGLPPGERARAAMLRGARAARRAEEQGARDRRRDLARRRAQGPRDVGLGRRRRAHARQEPAVEVQGK >Et_4B_037679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22405269:22408737:-1 gene:Et_4B_037679 transcript:Et_4B_037679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESMVSSFWGPVTSTTELCEENYAHSSYIAEFFNTISNAPCILLAFIGLVNAFRQGFEKRFSVLHISNMILAIGSMIFHATLQHVLQQSDETPMVWEILLYLYVLYSPDWHYRSTMPTFLFLYGAAFAVVHFFARFQVVFKLHYVGLCLLCIPRMYKYYIQTKDLAAKRLAKLWVLTLTLGTLCWLFDRVACKKMSHWYVNPQGHAWWHVLMGLNSYYANTFLMFCRAQQRGWEPRITHLLGFLPYVKVQKPQKRE >Et_1A_006990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29920132:29924256:-1 gene:Et_1A_006990 transcript:Et_1A_006990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAVFAAFLQVLAQTFAELLKKELQSEHGLDNERKSLICTVEMIQAALRDAEMMQLSETQKLWFGKLKDASYNAMEVLDEYLYEVQRRKVIHLSRVRNNRVSSALNPRRQSFRHSMAKNIIEISKEIDNLQKSGVAFLCNAHGQTSQHPEGSQHPTPSSSFPPSQVHCRQNDRERINFHDWEQLSSPFLTDAAAAAGSKVLVTTRITAVAQGLGTSGFYYPLQGLPEEDCWSLFCRYALGRGRERESYNFGFQNSRLREQVIQKCKGVPFIAASLGHRMRQEKDISKWPAILQEENWESNSTDFMRSLRTNYAQLDSHLKPCFAYTSIIPPKFQFEEEWLIQNWMAHGFIPHLPNPQNVIEDIGGCYFRSLVGQSFFQRAYVGHTRERDHYSMSQMMQGLALHVSDGECYVLEGLAFDVGDIPCRSPEKVRHLTVLADKLDSQKMFDAISQCKSLHTLLVVGGSADCQLRIPDVVLENNHKRLRVLDVSNFGLTELPNSIGGLIHLRCLQLRGTRIRRLPESICDLYNLQTLGLRNCYHLEELPDKIKYLRKLRHIDLHLDNHMSTPLHGSSHNICSLNHMPEDIKLLIDLQTLSRFVISKRSARQSSISELSTLNHLRGELLISNLHLVTDAQEAADAHLASKQCLRKLELSSSGNNKQANQILENLKPASAINELNISGYTGMACPSWLHSTNDMRNLVTLGLVDFKVCSVLPSLGLLPQLKNLYLKRWDGLVSMDYYGTYGGSSSVIFQSLEKFHLEGMHSLQRWDWDERYAFPRLVELIVKDCPLLRELPRCIQNLRELEDIEIVGCWQLTQLAQMSGLTSLQRLEVSNCGLIQSLPSTGLPRSLQVLSINNCPQLSRCCMSLGSSVSSVWIDGRCIK >Et_3A_026977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28664503:28667979:1 gene:Et_3A_026977 transcript:Et_3A_026977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSRAPRRPRIRTRGPPPAPTPIRTARGARSAAADERVLAEFLETSLRVPNLTLPARKRFQFPPPPPELPGVAAQELLSGESTSALPAVIGAAAETGALSVAGAVEASVVREAVQAAEALFAAPEEVKKRELGRWFRRRDDQTAGEEFCWFRPVSPDDDRALEAALPGTTYRVFRDKMDTVASKMEDVAKGFIRVLSDNVKDANGSTLPRDAPSILRLTHYRSNMSKSFWNDSGSTDTPSLHAMSIQVSGDDRQICLRNQNGSAVFSLPAGSMLVTVGKQIQEWSNRKFKSAASEILFEKTDEQDQFISLELLYSPGDLHVSAVGRHARCMARPKVVAFRDQILVALILLSLFYLFWN >Et_8A_056354.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:3114076:3114219:-1 gene:Et_8A_056354 transcript:Et_8A_056354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSVPLNVCLILSFVSSTKVMVGKMNTEITTSSLFHRSDRGIIVIY >Et_3B_028768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1937140:1938306:1 gene:Et_3B_028768 transcript:Et_3B_028768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFRVITQSLLLVLLVASSAAGPESHDFIVAGFGYGWIAYPNEPQDLFHVNNTLVFRYDKAADSVLLVSQSHYDACNTREPWFRLDGGNSRFSPVPQLDSPKNQFFLIKL >Et_6B_048663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12301067:12303352:-1 gene:Et_6B_048663 transcript:Et_6B_048663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVIGACPVLDTTHLKRYLLCFSFGQGHLKLQYSAAGSLYSDSKTQIEANFEQKYKYYIGQDGNGSDSQASGAYIFRPNGTVPIKNGGQVPLTVLRGRILDEVHQQINSWTYQITRVYKEDYVETEFIVSPASTKFRHNLFHLFCSLSMHFLTSLNSLRDDGNGKEIAT >Et_8A_056831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17626815:17632544:1 gene:Et_8A_056831 transcript:Et_8A_056831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISVASPRRSVRDAVLGGVLGQLYQPLRCAFYDGAAGGGGVLPVDGLAAALSGEDAGSCRLPGIKGAKKKNVLILMSDTGGGHRASAEALRDGFRLEFGDAYQVFVRDLGKEYGGWPLNDMERSYKFMIRHVRLWKVAFHGTSPRWVHGMYLAALAYFYANEVVAGIMKYKPDIIISVHPLMQHIPLWVLKWQSLHPKVPFVTVITDLNTCHPTWFHHGVTRCYCPSAEVAKRALLRGLEPSQVRVFGLPIRPSFCRAVLDKNELRKELDLDPELPAVLLMGGGEGMGPVEETATALGKELYDYQRRRPIGQIVVVCGRNQVLQSTLQSRRWKVPVKIRGFEKQMEKWMGACDCIITKAGPGTIAEALITGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKDPREAARQVARWFSTDVDELKRYSRNALKLAQPEAVFDIVKDIHKLQQQPAAVTRIPYGPSMDFEMEETAAGMILLWSQRKVVCDVMWLGSGGAERERERE >Et_6A_045841.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:18951799:18952200:-1 gene:Et_6A_045841 transcript:Et_6A_045841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKPTSCKVALVETTVCRSNRLKNKHKGFKPDSCLDKCCQACQMEPPSLSDRVISNLGTKFCKVAPEELSAEALKKKRKAKAIASTSQQATSKSTLNKKVISSKKKLNKAEASKEDQKGKDGDKANKKSKK >Et_3A_026866.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24351814:24352476:1 gene:Et_3A_026866 transcript:Et_3A_026866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADAFASPADPWSLVRGYFSTATLFLLLNVVIGTIALTSRSHRRDDDDHQHHCHHDQQPYAPPPPAAPLARTSSFMERLRSIGLYRFRSGDFPPEYNHHHSISATPDDVHQLQYARSRSEPAARPPPPPVRKQTDKKEEAATRRAAVKKPTSEVKKMERAPPRAPTARAVAAREVADDATAVSVDAQADDFINRFRQQLQLQRLNSLLNYKEMLNRGL >Et_6B_049004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16544743:16547594:-1 gene:Et_6B_049004 transcript:Et_6B_049004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSSYPYLQHSSTLPSTRRNSFHGVGADTAAGGERGGARNSFGHTVRDPHCSLNLKETTAEFGPSSFTMATMARSSSSNGHGRHHDASSTSSSSSSAASAQRRRADHQQQQVVLATPGRPLQFFTSPAHHQQLVAPRRSVPSKWEDAEKWLRQSSDSDHGGGNGKAAFSRQRSGGMGQRAGGGEDKRAPVMVRRSVDALADAHALSLYTPPAEVLLKDKFTDNEEPSKESFVFQSSYCELPVAKGTAAAADHRKDVGTEMTPLGGSTTTSRCPTPIKSTSPARHNTPTSKSGPLVPYAGGGGMDISELADCHLAKLDLGARFDAMLVNWSSKEEEEEEVSKSLRHFEASTAAAGGGAGIPCEKRGGDCRWEDDDRAKSCIRYQREEAKIQAWINLESAKAEAQSRKLEVKIQKMRSNLEEKLMRRMSTVHRRAEEWRATAQAQHLQQLRRAADSVRRLKAASHHRHLPGSDVAAACGCFPCNGNVVSGNLLNYYQGSG >Et_2B_022321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17905532:17906377:-1 gene:Et_2B_022321 transcript:Et_2B_022321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGEAEEEMMACGGGGGGEKKRRLSAEQVRALERSFEVENKLEPERKTRLARDLGLQPRQVAVWFQNRRARWKTKQLERDYAALRHSYDALRHDHDALRRDKDALLAEVRVVSARRAALIKELKAKMGDDEAAASFTSVKEEPAASDGPPPAGVGSSESDSSAVLNDADAAGATPVTEAPLPEIQGALLGSPTGVASTAAAAAARHGEVFFHGSFLKVEEDETGFLDDDEPCGGFFAVEQPPPMAWWTEPTEHWN >Et_4A_035160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10126527:10129938:1 gene:Et_4A_035160 transcript:Et_4A_035160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDETSVSAKLGRAAANGAAAGAATPSINRWNGRPYSARYHEILEKRRTLPVWQQKDDFLRVLRDNQTLILVGETGSGKTTQIPQFVLEAEGLGNRSMVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSHKTVLKYLTDGMLLREAMADPLLEKYKVIVLDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFSGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKINKEVNNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPAPLKEGGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFNEDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTHLGEMMSEFPLDPQMSKMLVISPKYNCSNEILSISAMLSVPNCFLRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNSEDPQWCYENFINARALKSADNVRQQLVRIMTRFNLKMCSTDFNSRYFMQVAHLERTGHYLTVKDNQVVHLHPSNCMDHKPEWVIYNEYVLTTRSFIRTVTDIRGEWLIDIAPHYYDLSNFPSCEAKRVLERLYNKRERERAANRG >Et_7B_056002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9024681:9027855:1 gene:Et_7B_056002 transcript:Et_7B_056002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPPADPASTSTAATAAASRDVAAMLSDSPPRRGAGHRRAQSEILLGGALPDDLTFDADLGVVGEVGGGGGDEYEEDYDEDEEEGAGGSGAGGSRMFEMFLENSGGLAEPAEPSQHPQQQQQQPYASPARPRHQHSMSMDGSTSLGSAAAGMAGRSGADAKKAISDAKLAELALVDPKRAKRILANRQSAARSKERKMRYIAELERKVQTLQTDATTLSAQLAMLQRDTSGLTSENSDLKMRVQTMEQQVRLQDALNDRLRDEIQQLKIATGQTRADEPG >Et_10B_004202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18666321:18668555:1 gene:Et_10B_004202 transcript:Et_10B_004202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEAHLMMQCDVGESSFTPQPVTPSRAANHFHTKEKMRHNQPNEDVQTPSIVRCEEEQMIGHELIKALSNLKHTVVSPLSPFILQNSSPKKVSIGVQQKEVFVATAVAVLLRQQACAGLSVILLGNSRGRCRLPLGLLGLEPLQLGVIVVCDAEEGDAVAEEVDGRDGVLDHRPREGDEQPVLDHPGHVHRQRRRLPHEQEHGQVQRERAEAVAPEHEHVGPRRRRRRRGAQPRQLDEVPRHGEEDEAARRDVVERGDWVERHALGGEQDLDEHEPRGLGGDGGELQRDAEHVEARLAVGGDGDAGGDAEHVEHGGAAEGVGAEGDGEGVDGDGHERLEHLDEGDGEMPTYAYDSSTDLSTDCCCGAGAGAGEDDDELDTSMAALLPLPGAALSSSPAPIRKYWS >Et_8A_056324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22813138:22813746:1 gene:Et_8A_056324 transcript:Et_8A_056324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIATMAMLKPAKIVARSAPSTSGGSSSSKKSAAKKAVASSSSLAAAAMAGAFFSALASSDAALAAQQIADVAAAAAPADDNRGKLLLVVVAPAIGWVLYNILQPALNQLNRMRSQAVIAGVGLGAAAAAGLACAPEASAAAQDLAALAAEAPADDNRGLLLLIVVAPAIGWVLFNILQPALNQLNRMRSD >Et_7A_052987.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:470941:471684:-1 gene:Et_7A_052987 transcript:Et_7A_052987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKIGITSFFFNSSETSQVSSSTSFSTAASWQWPSCTQARTRSFRCESPEIVSMRHQRGNEQDFKTSMNPAYLLEHSCSSLSDQSSEQSICTAPEEAAFKDAVLPMEDDVDEAIVRSLRSTNGRLFFEPESTSSIVVNNKVNLAFDGATALAIDSADPYGDFRRSMEEMVMSHGINDWGWLEEMLGWYLTANGKKTHGLIVGAFVDLLVALTSAPSPPTASSSAALLRPTGVKKGKRHQTDLTHCH >Et_7A_052290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6577118:6581937:-1 gene:Et_7A_052290 transcript:Et_7A_052290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALGALCRSGGWSYAAVWRFHPHDPRSSHYKFDAISKVSSSQCMEHGLRILIGTKFDARLLTLGESYCEDEATTVFENMLTQVHIVGEGIIGEAVVSGNCQWIFSDSLHASNQTNYADNRDLLQGHLWWQHQFLNGIKGLVQFGSIRKANINNMKGNEVPRNLDFFNEVRNMFEQMKNTSRDQSFAYGQQTILTSLRPANNIPVQNKANPLQNERVAENIERTEYLRSSNYYPRNSHRSLNELTSYGTGNTIFGTHMSAMPANSKSIYDIKEFDNVTDFLHRNVDVTTPLQVSSSREPDSIVDSVTLAYKSLNSFHGTEMESSDQSIPEYPQHLYSTTNSPNSGLDGLCYSSVGFSSSSTISGNANNFLQTESENLPCNLVPHHVLMHGQALIPDPGKCPRTSDDDMKECDANSGLLEGMMLDLSSNNFVQDWWDDSVLQAGNLPNLGDTNSESVKELANKHLSTGERDFPAISVIEQLLGGGVHKPAGHFPQLMEASGLAGRVSKLLPQIGFRDNVTTYTAQVPSLASGSCSSGNAQNGYSQATSVPPADIPVDDRCSTGNSKGSQSNSPEGMKVAKKRAKTGESTRPRPKDRQLIQDRVKELREMVPNGAKCSIDALLERTIKHMLFLQSVTKYAEKIKQADEAKMIDKESGVILNDDLKAGKNGGAIWAYEVAGQTMACPIIVKDISPPGQMLVEMVCEERGFFLEIADNIRGFGLTILKGQMEARDGKIWARFLVEIISGLRYRFRLALLRDCNNS >Et_6B_049225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2049529:2054169:1 gene:Et_6B_049225 transcript:Et_6B_049225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHDLVEQRRGGGNDAGVTQGTWSCNFSLCHTERGLRLMKVTMVTLHRRYHVCHLTTTWWQQLGAGGQRLLEVHAVRHGAQEGLPHLQELRASNPLRPLSPHLSISDSPISSRRACGFILTNNENNDSAGGSFAGGTQRDLQLWLVLPAEMMEIEEGDNSNLWCMSVIVVGGYLRFSSCHLERGFRLAKVAAGLVHAVRHGVQEGLPHLHELRASDPLRPLRPHRLWWCGGGQEARPTRPGWQQLGAGGQHLLEAHAVCHAPKRDYPICKNCEHLTLFDPLDRIGAGGAVGDKKRGAWHHRAQKAPEDQQHDAAAAESGTGKEMGIEEGDRRGKRGGSSSPSNLRMAL >Et_8A_056395.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:7631574:7631687:-1 gene:Et_8A_056395 transcript:Et_8A_056395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPFHLLRPRVTLTHRKMRIYPAPPSDIAASDDGMR >Et_6B_049150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18779682:18781558:1 gene:Et_6B_049150 transcript:Et_6B_049150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPSPNLNLAAVGLTLGGGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDINVTDIACAALNGIKMGDKTLTVRRANQGASQPRPEQESILLQAQQQVQLQKLVYQVGSLPTKVVCLTQVVSADELKDDEEYEDIMEDMRLEAGKYGTLVKVIIPRPDPSGQPVAGVGKVFLEYADIDGAAKAKTAMHGRKFGGNPVVAVCYPEDKFANEEYDA >Et_1B_012357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31181107:31186456:1 gene:Et_1B_012357 transcript:Et_1B_012357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLPLPQGFSFLKSVGWFDDRKVDSAAKQQLSPTLKLQTDKEVYRPGDSVTVTVEIFSPSGLKDDAGQTVSGEDVPSLLLDSLSFELKGIEKLDSQWFSVPKPLPGSKQRRDIVRTELPKILPPSYRGISIRYIYYIRSALFGRSIVLGNGHQNKVPNNSTIQLEARVPLQIRVSQKSSAVLSEEGTLPFSVDQLAIFWREKGEDSEWTKANDNTDLEEGYDSSKDEVSSVSSYNPSKANPEFSLRNSLSMQSLSSRLSTSEPLYNQGEPPSFPMYSPIPRLSVSEISDDPDGGLVSPQKKLNRLLSDPPSNGQSFSPDSDRPKDDVGLPLTPKHVEPAGSEGFSRGRSYNIRIDDQVLLRFSPKNSDSTYYFGDMIGGALTFLHGTGKRRCLEVSITLETSETINPRALHPSRRSSPTITKLHSEHHEVVADLHQTSFLFSIPIDGPMSFSTSKVSVQWSLRFEFFTTPEGTDSARYEHPLLVEKREKGEWVLPLTVYAPPLRRRATRGRNDRSVALGNIFSS >Et_1B_012373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31251717:31254216:-1 gene:Et_1B_012373 transcript:Et_1B_012373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSCGSLSSWARRFVACVGFYFGGNHFLANPDLQQSRGCFGCAHPTPIIAVDEPTKGLRIQGRSIRRRSVSEDFWSTSPHEMENSALHSRHSMSSMSAAAQSNDQHATGSSSNPNEFVNQGLLQWNQTRQQWVGNRRHNSQGQQHREPKISWNATYESLLGSTKPFAQPIPLAEMVDFLVNSWEQEGLYD >Et_5A_042295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8400606:8403849:-1 gene:Et_5A_042295 transcript:Et_5A_042295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQRNPLCFSFSTIMFAFLVAPPASAQPPWPVCGDSGGDFAQNSTYQSNLALLSATLPRNASSSPSLFAAGEVGAPPGTVYALTLCRGNANASVCGACAAVAFQDAQQLCAYSKMVTIYYDLCYLRFSGRDFLAGAYDNDERLFPKVENVSAPLAAFDAAVAALPNATAEGAAADPTRRFATAEAAAGAGVPAVYALAQCTPDMSPAGCRSCLANVIGMAPKYFSGSTSGRFIGVRCNYQYELYQFFSGTPLLKLPAAASPPLAIAPTVQVPVNLTPPASGAGRKRRAARISAGVACSVLLTLIVSASVFICLRKAAIVKSPKSEMLSVVHNIYMCTLYRAAPKRIERGKCAVFDLPTLQKATDNFHEKNKLGEGGFGTVYRVNNHSMQQRMGRLPDGQDIAVKKLSQSTRQGLNQMHNEVQVLAELQHKNLVRLLGFCSNRDEMMLVYEHMSNGSLDKLIFDPGRRDILDWEQKYNIILGIAKGILYLHEDSSIRIVHRDLKANNILLDENMNPKIADFGLARLLGGGHTQTKTASVAGTYGYMAPEYALSGNVSPKIDIFSYGILVLEIITGRRNGTSNESNKAVNLLTDVSRTFIPTEMSKVLRCIHIGLLCVQEHPDDRPSISSVVIMLTRSRMKLQPSRQPAFFFGSDSSSVLEHVHRNCVYDGPVVMVEDNFL >Et_4A_033138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1985039:1986129:1 gene:Et_4A_033138 transcript:Et_4A_033138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTGKSLARSGVESLVARGGTNIAEGLRTAAKVLDERRYRNAVSSVILLSDGQDNYTLQQRFQGPAPNYEALVPASFVGAGTGDRTAPIHTFGFGNDHDAAAMHFTAEATNGTFSFVENKAVVQDAFAQCIGGLLTVVVQEARIAVACAHHGVRVLRPLRKPRGRSASVRVGELYADEERRFLLFLDVPPAEADGEAETTLIKASCSYRDAAGGMDVDVTAEDTVVARPYQVVDAGRSMEVVRERVRVEAAEDIAAARAAAERGAHQEAVDILENRQRAVAESEAALGGDRMSLALGIELEEMRGRVATRESYARSGRAFMLEPCAHKDEEGYPTVEVDGLDGSSDAGWGGAARRVIR >Et_1B_011519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23173545:23173854:1 gene:Et_1B_011519 transcript:Et_1B_011519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLAAGTVGGAANLVVGHPFDTIKVKLQSQPTPARRSRCGPPRGPGAWGMGAPLATVVALNALLFAVRGQMEAVLRSEFGARLTVGQQFVAGAGAGVAV >Et_1A_007598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36277359:36287908:-1 gene:Et_1A_007598 transcript:Et_1A_007598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILWQPLRLDIKRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTDLPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNISAVCFHPELPIIITGSEDGTVRMWHSTTYRLENTLNYGLERVWALGYMKGSRRIVIGYDEGTIMIKIGREVPVASMDSSGKIIWAKHNEIQTVNIKTIGAENESLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSTDGEYAVRESTSRIKIYSKTFQEKKSIRPSFSAERIFGGVLLAMCTNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVSSHLDGGGSAGEEGVEDAFELLHEINERVRTGLWVGDCFIYNNSSRLNYCVGGEVTTLFHLDRPMYLLGYLANQSRVFLIDKQFNVVGYTLLLSLIEYKTLVMRGDFDRANAVLSSIPKEQYDSVARFLESRGMLEEALEIATDTNYRFDLAVQLGRLEIAKAIAAEVQSESKWKQLGELAMSSGKLEMAEECLLHATDLSGLLLLYSSLGDAEGITKLASVAKEQGKNNVAFLCLFMLGKLEECLQLLIESNRIPEAALMARSYLPSKVPEIVSLWKKDLQKVNPKAAESLADPGEYPNLFEDWQIALNVEATIAPKRGIYPPAEEYMIHAERSNESLVEAFKNMHVHEEALPDDGEENVHEGIEDEGVEESQEDAVEVEAENGGLEEEEGQEDAVEVEAEGSTDGVLVNGNSSEEQWAGGVVVEEHQKQRCPLRFGEPDQLLLP >Et_3A_024628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22787203:22792836:1 gene:Et_3A_024628 transcript:Et_3A_024628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEAPDPRPPTRRRKGKRAAPSLAPPTQASDAMEAETLAATTATEAETPAAGGTTFVYYALPGLTLAFSPEEALDDAAEPLSASRREDEGDATSTYAVFRNEITAAGDPIKDIPAADFFSLDVSASVEAEPGSPHTPAPDSAVAATPSGSRAVEEQPAQGSERAWFRGGRRFRSPMLQLHKGLYLPTSDIDVVIFDSRVKTPQVGLYALAKALSQKGVAKKIQVIAKARVPIVKFVERKSGIAFDISFDVDGGPQAADFIKDAVKKLPALRPLCMILKVFLHQRELNEVYTGGIGSYALLTMLITHLQLVWGGKDILGYRQSKEHNLGILLIKFFDFYGRKLNHWDVGISCNSAKTFFLKSEKDFVNLDRPHLLAIQDPMLPDNDIGKNSFNYFKVKSAFSKAYSVLTDANLISSLGHNRSILGTIVRPDSVLLDRKGWNTEDMIADMLTEPWEPVTRQFDSENDAVYNWHVIDDEPLPRNSQSTPDDTKDTARKRGDGSAQGERSHHSTGSRKRRKGSREYDRFSNTVPQYTH >Et_3A_026551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9635831:9642267:1 gene:Et_3A_026551 transcript:Et_3A_026551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSKGEKHFIRGGIAHDIRADGRRRLQFRALSVETGVIPQANGSARVRLGATEVIASVKAELGKPSILHPDKGKVNIFVDCSPTAEPTFEGRGSEELSAELSVALQRCLLGGKSGAGAAIDLSSLIVVDGKVCWDLYIDGLVALSDTGIPKVNVSLSSTSDEEPEVDVSDEEFLQFDTSSVPVIITLTKVGRQYIVDATSEEESQMSSAVSVSVNRHGHICGLTKRGGAGLDPSIIFDMISVAKHVSQQFISLLDSVIAAAQADE >Et_2A_015294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10650278:10660175:1 gene:Et_2A_015294 transcript:Et_2A_015294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLTSGASVFRFSRDEVAEMEAVVRRLGGRRVTSAAVYDELARRFTASRELEGKTGVMPKQVKNWFHNRHYHREPWILKGGKEEALDKMPLFSYQQHAAGLSVLANSSADLLVGSSSGNNPKEDRQFNSEAKSKRDGSWYDVDTFLPDKKLKSSDQVTQSAEDTETLSEHDSDVEEISNPNPDQEASAADTELLDEHDSPPPLTHQSPLKESEEPLVTDAKAHEVEPNFDPMSALVLYVPPLSSDVPVLTVANTLEAQLADDANIIQLVNTEVAPGEAEGQLAALQDPAAEPSMHPELREVLLSLRNQLDVPTTTLVNSARSFRAQFESVSHRLSDHLVEYLTRAAYIEFHRFSYLRALRLIEARAEFATRSEALSAVQAQEVKVRISRFGAEEDELVKIRTCVRQCSLRCQGTECTCVVHGDRILCFMESITQALYYFHAHVTGIQRRRHDSRGCRCRFLVRYDHDQSELCRRLETDYRIQALHAARGDHAVSKDTSMMWIHFSHRKLTSGVQEVMVRFSGFKAMKDEWVDLHKCVQWRSLPCRATDCFAVVCGSCSIGKVAPTSTPMLQGVDFAKLRAFPKEANAIFTTTQKSVAEQKEQNKDKTVDVTAKVATMQEEICNVPSPEKEKKSQQSILQLPSKVSGALKEAKATLAIIEIDY >Et_1A_006039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1707650:1709006:-1 gene:Et_1A_006039 transcript:Et_1A_006039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEANAAPTLPLPPPSAPPTVGALLTRASAAAVTNSGGASRVRDCSSPRSLLSRILHRRRGGGFGCRLRLPRYCSSGAAKEDTFVAAAAVAKEESAAPKVVGSRAEPRESPRSSLSGKKAADDAVPAASLGLGASLVLLLSKSAAELSRIAELRAQMERLMLDVRADVRSSCNGSQPSASDGRLTDSAKELGDVVDEAALSQSRGSPREAALTMTSRRGSEDAGHQETMVQMEAELEEELSRLQQMASNDEETVTPRRDHQEVEIEAKSGATSGSPLSVICSDSDIDDGPTDHDGDESQEDDDESQEDDDESDSEGQAESPPHGGVSARELERRLHELLQSRHETRIAELEAALEHARRKLKEKEREACRWRDTAKLAARFTDESRLR >Et_7A_051450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18810340:18810866:1 gene:Et_7A_051450 transcript:Et_7A_051450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTARASSTCGKIWVVLLCVMVFAQREEGGGVGMGAEETRVWHVGDNAGWSFGVMGWPNYKPFEAGDVLLFHYKPGTHNVVQVSSVQYALCQVSGNVTVWSSGDDRVTLARGMSFFVSNIPGDCERGIKIAVTARAVEGI >Et_2B_019027.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25976752:25977340:-1 gene:Et_2B_019027 transcript:Et_2B_019027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRVIALAALVVLVSVGIAKGRPVRSNLGLGIGGSGGLGIGLDLGLGGSGSASSSGQGSGYSAWSSPNGGSYSASGRGSGTGPGYGYGSGSAYGGSNGGSASGCGSGTSSCSGSGSGLGSGSVGLGTSINVGVGVGSSGGANGGSDCNTGSGSSGVTYRSRGRGSSNAGASSSSGSGVGFGGG >Et_3A_023567.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:4987500:4987709:1 gene:Et_3A_023567 transcript:Et_3A_023567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSSEGGLIRNIKEFILRNFCLCRIAVCLRLCNMVADCMAACGTNALPDGGHSFVMNLVSGDMPGCNG >Et_10B_002642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2213198:2213481:1 gene:Et_10B_002642 transcript:Et_10B_002642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IVGVRVPIIESSLAARIQHLPRLLRPEWHREDIPCRGSEHTELGVVTRVFQNLCSQIIV >Et_8A_057760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6889562:6893516:1 gene:Et_8A_057760 transcript:Et_8A_057760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLGGFTKSLAMTTLSEIGDKTFFAAAILAMRHPRKLVLAGCLSALIVMTALSASLGWVAPNLISRKWTHHVTTLLFFVFGIWSLWEGFKEDGDSEELAEVEAELDAAFKSNKGESKNKSKANDDTKKQQRPFLMQFFSPIFIKAFSITFFGEWGDKSQIATIGLAADENPFGVVLGGIIAQALCTTAAVLGGKSLASQISEKMVELSSGVLFLLFGIMSFLSGPEGEL >Et_3A_024482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21188621:21192514:1 gene:Et_3A_024482 transcript:Et_3A_024482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNIGVINQEKSLRSWCFGGKVSGTAQCVLTPDVSAETLQFQTHSSRKSYADANRVSAVILGGGTGAQLFPLTGTRATPAVPVGGCYRLIDIPMSNCFNSGINKIFVMTQYNSASLNRHIHRTYLGSGINFTDGSVQVLAATQMPEEPTGWFQGTADAIRKFIWVLEDYYIHKDIEHIVILCGDQLYRMNYMELVQKHVDDNADITISCAPVDESRASDYGLVKFDDTGRVLQFFEKPVGADLESMRVDTSFLSYAIGDIQKYPYIASMGVYVFKRDALLDILKSKYSQLHDFGSEILPQAVREHNVQASIFTGYWEDVGTIKSFFDANLALTEQPSRFEFYDPKTPFFTSPRYLPPTQLEKCKIKDAFISDGCFLSECRIKHSVIGICSRLSFGCELMDTVMMGADLYETKEEISKLLLSGKVPIGIGENTKIRNCIIDMNARIGRNVVIANSKGIQEADHPEEGYYIRSGIVVILKNATIKDGTVI >Et_1A_006837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27988151:27996211:1 gene:Et_1A_006837 transcript:Et_1A_006837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSSEPAAAGVKRPRERIRIAFTHDYEETSPLGSGGFGVVVKARHRVSGEAVAIKRVRYSDERSKEEAQAEVKREAQFLDACKGLPFVVGYHGLARDLANSELCLLMEFVAGPSLHAYLTDDGTPSLPERTLPPPHAQAGTLPYMAPEMLLGKTDYDERVDAWSLGCVMAELVARRTLFDDDDDSDEEEDNDEEEEVGQLAAIFDVLGVPDGRTWRGFKSLPLADEVTKKMKKKQIRRRNRLRDIFPEETLSKQGFDVLSGLLTSNPAKRLTAAAALKMPWFNTVQALSLRHKDVEAVPAVHKRKRVVIVPPQATPKRKRVVIIPPAMPNVLLAAA >Et_3B_030837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7927750:7948998:1 gene:Et_3B_030837 transcript:Et_3B_030837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVWRGLSSFEFLRRVVNPYVIISPRDVKRSIQTMSTSCQVDDGPAILQLCRWTISQPHLKLSKFREAFISPTRRLFGLLSDDGDLVLATAEVNPSQVESPTALPDTCGQAVFELFPSIPRVKSLAWGYCSLASSQLDEPTFKELLVVSSDASITVHAFLHSYKSIQTVNSTSDTKELHGEWKEWSPAECSMLECGVSGPKNRLRSFMTTVTASVSNGKYQAIFPVKYLLPHSAEVVSFSIYEITMSFVKFWYSRHPPRTRMENTSEPPQGFPSPLPVDEASCSCQWECLKVLSSSSGYLIGLVLTLIESASCEGHQYSEKCLLVAVLELHQWGIQWNFVADLQNVVDDGRPIPKWVDFQLSDMFLACLSTTGSIAIWNVKTGGFTTSFNVLQKCRTDIETSSRSNVPSVTNLNDESDTFGNHVGRMFKRLVLASHCLLLAVVDEVGVVYIFDADDILNFKSTLHENMDLPSMDYFADSFSAWEAAGHEIGSLSFCTHQSVQQGSLHPAELVPEFSVKNDTGIIRPWKRRRQSKYYGNKVDSWPSGFGTAQMKVGLAHPDTMTASGSLRRVLLSPYRLHEEAIVLSPLGLTRIFKGSDEDGDKHTKIVHSELLMHSSFLDEGDINIGLMNNKLPFQKDSSFVGDSVVCSFQGYLYLITQDSLCVVIPSVSVSSFSSHGDAIKFWKPGFAEGRTCNALNLLSVNRYETRWKSWQIEVLDRALLYEGPALADRLCWENGWDLKVSRLRWLQLALHYSMVGDLEQSLNMLADVNLAEEGVLQLLLASVHRILCKIGGDNEVAVSSKLLVLAVRFATRMIKSYGLWKQNIDNPDNSVKLHEMSFLLRVIRSIQCRVSAKNQNSGRMGDDKNSSKVVTEVLQNDSSLPVVVVDGVPSGSLDGLAHDNQESVELVPDSNGQLALSPVESSLNTSKFHEGAAQIGRQNIQCNITDMINRWETNNLDLKAVVREALQSGRLPLAVLQLQLLRQRELVSNEDSQDAFSEVHEIGRSIVYDLLMKGETGLAVATLERLGDDIESDLRQLMQGTIRRSLRVQIADEMKKRGYMRSNEWKMLETITLIERFYPSSSFWDTYLGRENVIRDAAKIVTLPGEDKPVLALHIYNNPLIECGDVDGAVLGSWVNVDEYSDYTEFSVSNISDGYWACAAVWSDAWDQRTVDRILLDQPYHMHAHVPWESQFEYFVAHYDVGQVSELLDVIPDSVLREGILRVNVASSQAAENTVADVTVPDYNLYVYDSEELEPVCLEMPHVKIFRSMSNHESTSYTRMLMQQQLAEKHIFLKEYWQSITEIIPVLARSGTVIKVSPKKECCMTSSTSGTPDDACHLSYDGSLHALVIRFCVQYNLPYLLDLYLDNSNLALDKDCSPLLKDAAGDCKWAQWLLFSRVKGCEYESSFSNARWNLSQKLVNSSNLTAVKIDEMLYTVDDMAERIGEMSALATLMYASAPMQKSICAGSVNRNRGLSSQCTLENLGPCLQQFPTLWKTLLSACFGQDEYGCLNYSPANVFGKSSISEYLHWRYSIFSSAGGDTSLLQMLPRWFPKSVRRLIQLFEQGPFGMQLLSNVPSSEELFTHNVTEYIYNSTGYSEPNAVSLEASIHKSVEEELYSSLEEKDVRVEHHLHRGRVLAAFRHLLFKRASQLKSANARQVISAQSNVQADVQLILAPLSQAERSILLSVAPLAITNFEDSTLVASCMFLLELCGLCANMLRLDIAALRRISSYCKSVQQNEQSELSSAMSTELHVHSHGADVAPALARALAEDYIQSDHLHVLDQNQTSRTPKRTPSQPLIAILQHLEKASLPSLDEGRTCGFWLLNGVGDASLYRSQQIEASQHWNLVTEFCQAHRLPLSTKYLALLANDNDWVGFLTEAQTAGFPIEVVIEVAAKEIRDSRLRTHILTVLKNMMSFRRKPSGSSDLSFMDVNGNNPMELFCILGVCEKQKNPGEALLNKARQMQWSLLAMIASCFPDVTPLSCLSVWLEITAAREMSSIKMDDISSKIAKNVESAVEATNKLATTSRNVEFRYNRKNPKRRRFLEAAPDRFTLGSSTDLIGGPKSAATSNPADVIACNGREKSISEEPIIPVDIDERLASLSSMVAVLCEQQLFLPLLRAFEMFLPSCSLLSFIRSLQAFSQMRLSEAAAHLASFSARIKDEASHTQQNSSKEAAVISGWVVVTAVKAADAALSTCPSIYEKRCLLQLLAEVDFVDGGTSSSYFRRRFWKINLSEPSLFKDGDIYKWNDSMDDASLLTALEKDGLWEQARTWATQLESSDIAWESTLDHVTESQAEAMVAEWKEFLWDIPQERAALWGHCQSLFIKYSFPPLQAGLFFLKHAEAVGKELPARELHEILLLSLQWLSGTMTKSSPVYPIHLLREIETRVWLLAVESESQSSDSELAASAVAQNTAVGTSTSIIEQTADVITKMDSNMSSPRMKATERNGIKDSLPYQHHTHLFDSNSEASITTSNNTRTKKKAKTNIPLRRGVNDTVESRTNDLDNNSNNFHSSKVSEQAKKLLSEEEFARMEASLSGWEQNVRPVDMEKAVLSLLEFGQIVAAKQLQQKLSPSYIPEELVLVDVALRVANNSSNEGISFSCFDAEALSVLQSLDATSQSDIFDPSQIMEKLAMKCGEGRGRALIMRIIAVIRTAKVLGLPFFEAFEKQPIELLQLLSLKAQDSFDEAKFLVKTHIMPAFSIARILADSFLKGLLAAHRGGYLDSQKEEGPAPLLWRSSDFLKWAKLCPSEPEIGHALMRLVMTGHEVPHACEVVELLILSHHFYMSSSCLDGVDVLVTFAANRVESYVSEGDFSCLARLITGVSNFHSLSFILSILVENGQLELLLQKYSATDSATGTPASVRGFRMAVITSLKQFNPSDDDALLMVYRHFDMKHEAASLLELRAEQCMNSWLARYDKERRNDELLEAMHHLVETAEVLSTIDAGQRTHRACARAALLSLQIRIPDLLWIGLSETKARRMFVEQSRFQEALIVAEAYNINQPMEWAPVFWNQMLKPDLIEQFVAEFVSVLPLQPPMLLELARFYRAEVAARGEQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDMRLRLQLATLATGFGDVLDICNRVLDKVPENAGPLILRKGHGGAYLPLM >Et_2B_021383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29233885:29234594:1 gene:Et_2B_021383 transcript:Et_2B_021383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVATAAAAVGPASYGGPQLCGSGRARKRKDVVQDQEAAPDRAEQEARPSYGLFVLERVDEQDEEEEEERSSIGAASEDEDGDEADSGGSTTTATRRRKHVSSAGALACMDALDDALPIKRGLSNFFSGKSRSFANLQDAAAAVTSARDLAKPENPFNKRRRVLRCSSIRRVASTSLTALPPFLPPAAAGNNSRDDGGDSG >Et_10A_000033.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:17324023:17325048:-1 gene:Et_10A_000033 transcript:Et_10A_000033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKESPTSPGPEKEVPSDILHFPLHSPEQHASKEEVIKWRLEKNGFPLVLSGSELYADSLYDSSVSEQSSIISSPCMSFTVNSDAQSEDLDKADIWVSSLDLDEEDSALLPDKEQILDIFSSDFPSPSFRAIRNLQLTPSSSSPGTAQKEEDNDPEDTIFWPFERTSYDSPEFDKFLSVSPSRITLGIRSAGVRQLNPVLQRLQKNKLSSTTKSIEPNRSSMNLGSKGTRTSQDKIPKADTVPSRFSRTTKASASSKHQPVRNSEKKRPPQLKIGAPRKVTAPQLQTDQPLHQETKAGNIRKLEEKSRIEELIGLDEFDGHEGINSDLANYQFGLWLSPR >Et_2A_015697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16984669:16991435:1 gene:Et_2A_015697 transcript:Et_2A_015697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVQVTPLSGAYGESPLCYLLAVDGFRFLLDCGWNDLCDPSQLEPLAKVAPTIDAVLLSHPDIMHLGALPYAMKHLGLSAPIYATEPVFRLGLLTMYDHFLSRWQVSDFDLFTLDDVDSAFQYVVRLKYSQNHLLRDKGEGIVIAPHVAGHLLGGTVWKITKDGEDVIYAVDFNHRKEKHLNGTVLTSFVRPAVLITDAYNALNNQGYKRKQDQNFVDALLKVLASGGSVLLPVDTAGRVLELLLILDEYWGQRNLKYPIHFLTNVSTSTIDFVKSFLEWMNDSISKEFEQNRDNPFLLKNVSLIINKEELEKLGDAPKVVLASMASLEVGFSHDIFVEMANDTRNLVLFTEKGQFGTLARMLQVDPPPKAVKVTVSKRIPLVGDELKAYEEEQERIKKEEALKASLIKEEELKASHGLNAKASDPMAIDGSSSRKPSNAGSHFGVNVDILIDGFVPPSTSVAPMFPFFENTAEWDDFGEVINPDDYMMKQEEMDYSMMLGPGDGLDGKIDEGSARLLLDSAPSKVVSNEITVQVRCSLAYMDFEGRSDGRSVKSVIAHVAPLKLVLVHGSAEATEHLKMHCAKNSDLHVYAPQVEETIDVTSDLCAYKVQLSEKLMSNIISQKLGEHEIAWLDAEVGKEDEKLILLPPSSPSPPHKSVLVGDLKLADFKQFLENKGWQVEFAGGALRCGEYITVRKIGDSIQKGGAGSQQLVIEGPLCEDYYKIRELLYSQFYLL >Et_5A_041424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22426416:22430339:-1 gene:Et_5A_041424 transcript:Et_5A_041424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEALHTPVSDEYSLTHVSPPATLLPAKTTRIGPPWQSRWSQPSVAQSTAAEKLMLVFWGRGEDKRRWSPLTGSQRTRSLRPQAVGVQIRRGEGGRGGGGRKQYGALLVVGEEDTEKGRRPVELTVAAGEGWVQEDAPPRLAYEGGADEVRRLVRRDTEEDLAEEVVHQRRRRAGAARRIHGTALVARGWVGTTRKGPSWQSRWLQPSTAQSTAAEKVSGLRDSGRRKICFSPVIGSQTRRTTHVSSTKEKNSTRPWRQSSARQLSRGCGSSSLKKGDAVVVVSNLRWGFSKRRKPSRGGVRWNSR >Et_6B_049034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16912791:16913976:1 gene:Et_6B_049034 transcript:Et_6B_049034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DSRAGPGTTQQGRTPTTSRRRLFSSRPHAPPPRRKEGRQKNKAELAAMGHSNVWNSHPKNYGPGSRVCRVCANPHGLIRKYGLMCCRQCFRSNAKDIGFIKVASLLLLILLVH >Et_9B_065753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10104489:10106459:1 gene:Et_9B_065753 transcript:Et_9B_065753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAADEEKPLHILFFPYIAPGHLIPVADMAALFASRGVKCTILTTPANAAVIRSAVDRANAALAAVPAIDFVTVPFPDDVGLPPGVESVTGVSSEADIYRLLQGSDRLREPFERFLAERRPDAVVADSFYPWAADASARHGVPRLSFLGTSMLARACTHAMLRLRHAPPPEDGGDAELVVALPGLPHRVALRRSQLLDPAANPRHRFELDFYGRVDAADARSYGEVFNSFAEMEPRCVDHYRAALGRRVWLAGPLVHARTDETIAGDDGERCLRWLDDGKPRGSVAYLSFGTLAHFSPAERRELARGLQLCGKSFLWVTSGEEDTEDASPQWMPEGFAELVAAAGDHQRGLIFRGWAPQTRVLNHPAVGAFVTHCGWNSVLEAVSAGVPMVTWPRYADQFYNEKLIVEVLAVGVSLGSAEYASKLEDRDHVISGETIAEAIGGVMGEDEVAEARRVKVKELAAKARRATEKGGSSYEDVGRLIQELMARRSSVAIVIGKP >Et_2B_021628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3729061:3730271:-1 gene:Et_2B_021628 transcript:Et_2B_021628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SACTQTPADEAGCPLSWAMLDTRAYDRVWPDEHRRGVPGDVLHRAAAGHLLLLRMVSGRAESRQIIPRAQNLGDGGRHRCPKASHYGSQSEIFVYQAGDPSLHQVVGHTGIHLYFNIGILHQPDRTGNNKNDDPFYLIGLHPSERPWDKFRHSASKVLMMGEEDLMGFVDLWRGILVFDALSCTRLYHIPFPEPLVKVNQHRHPPDDPTMSRDIAAVQGGIKVVECSRCPASGHWKASVWSRKATSREEEDWKMEHTLEMCDDLVDSTTTLHLELLPVLDVDGVPRRTLEGLHITYPKLSLNDDDVVYFMAKVDPRDENAWVLAVDMRTKKLQDVGVFRAKISSGAASAYVHSTVMISRITKYFSRP >Et_10A_002290.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:7257955:7258545:1 gene:Et_10A_002290 transcript:Et_10A_002290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMAVSAVMVVMAVMAVLLLSRRVADVGIGSTTVPVELGWRRKLLVKMLKALPYCLLVIEGIFETTLPPFCSKVYLCAKYGWHIHKAMLILTACCLPWVESFVDQHVNRLRLATYSGEQNAAPFTNRTRFVVSFTEEELQWGASEFNKEKEKLGDKVLPEGHEDSKLVRGITAKIVAAADRILPATRDYITMDGR >Et_10B_003839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6653201:6658145:-1 gene:Et_10B_003839 transcript:Et_10B_003839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLIYLTPAVAATGTSALLYSRPVRRSPSALSCSRLAGGGVGPGRIDSDCGRDVCVWGAENGSLLQAALDGNLRRFKGIIKNRGMGPAAVLSVIVDGFGVLHCAAAHGHLEVCKYLIGELGGDPNLAAGVTPFMFSVQSGDVCTVKYFLDHGGDAMKADANGLSVLHFAVCTGNCKVTEFLLSEGIPVDIDCGSGTPLDHAAANDQDKTLKILLDHNANADADIHCKGSLASPLLFATGQGGYTDLIPFLLKAGADPNVPDDLGLLPIVRAALRPCREEVEMLLPLTSPIPDVPNWSIDGVISYANFKNTIPLDKEAVEKRMAIFKSQADEAARRKEYARASESYSLLLENKPDATLFSNRSFCKLKMGDGKGALSDANECRRLRPDWAKACYRQAAAHMLLKEYKQAFEALLDAEKLDPGNDDIMQELRKAMELMKLSPGQ >Et_3A_025296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28616186:28619582:-1 gene:Et_3A_025296 transcript:Et_3A_025296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EFVPCVSMMGCFTALRSKKKKIPSEIFPATRKKPIEARESTSSRLPEPEVHAPSLQSAPPSFRNRAKISQSATKVSNSRARVLSAPSTLLVVDQFGFPYAEFKDQDDSRDKEGSTKGHRFSNPLPLPLPSPEGNSFRNFGSFKASNVSGPLEASGPLPLPPKKRDGLRIFSYEEVSSACQWFSGDQCVSETLSSTSYKATFRDDFSYTKTTEATVACLLPSTQSLKEFKTQVNTLASLQHPNLCKLIGFYAREDSNERMLVYERLHHGSLDKLLFGRPDGRFMDWSKRLKVALSAARGLAFLHDEGPFQAMYSEFSTSNIQIDKDFTAKLSGYGCVGFSAEEEIPKAFVSAANLSVETLEKGLLTPKSNVWSFGVVLLELITGRKNLDVSSSNEERNIVKWSRPFLTDDSRLSLIMDSRIKGRFPTKAARIVADIILKCLHKDPSERPTMRDVVESLARVQEIKVPSRYPLQEPSAAPRKIMMKSTSLNGIVPQHPVITFSPSPPSQNQHLISPRSSTSALLHPRACYSTLDDPRVSSIKKTAPPIVRRSSVEERLVDV >Et_1B_012067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28647007:28649006:-1 gene:Et_1B_012067 transcript:Et_1B_012067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKAISVVSGVNEFGNLFQLVSSVVSNLRSKWDGTQEQQIQEDDVLQLQSDLRHLSDTLPGMCDLIDRAEWKSHKHCVAELLTKFKDAVYDAEDLLDEFRWYELKLIVENNEIELSPFIDFFRRVTCGRFNKVVDIQKRLNRLSSQLEKLGMYQTTPHFDESVRPVTSSFPLETKMFGRENEMEELIRLLDLSENSIRAPSRPKRKRSGIGSSTSNQVCIGSHNNEATIPSVPVLPIVGMGGVGKTTLAQHICNHLRINAHYFDMIMWTCVSDGFDERKFPTVAKNFGTMEPFLLKGLKDAFFWDFFKHCAFGSDSCNNYPELEQIGKKILPKLKGSPFSKPADGLMKRWCSTFQCMRSFSCSSKYGLPKDIKKMKHLRYLELSTNPYFKIMLPLQSAVLLCY >Et_2B_022158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9940467:9945471:-1 gene:Et_2B_022158 transcript:Et_2B_022158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKMSEGSSPWLRSVNNLLGRQVWEFDPELGTPEEHAEVEKARREFSEHRFERKNSSDLLMRMQVCPSQRSHSELMTTVTCLPSALVVQFAKANPQKLDHPAMKLGEHEDITEEAVWSSLKRAVSRVCNLQANDGHWPGDYGGLLFLLPGLITTLNVTGVLDTVLSLEHKKEMLRQGYFIDELYNSVLEQPKLVTFVCNEDGGWGMHIEGHSTMLGSSLNYVALRLLGEGPNGGDGAIEKCRNWIHDHGGATFTASWGKFWLSVTSLFMDFVQFQPFLKEILDFYPCLHFCFNFTPLSTQECRPGVLGVYDWSGNNPVPPELWLLPYHLPFHPGRMSCYIRMVCLPMSYIYGKKFVGPVTPVVLELRNEL >Et_5B_044605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:469498:470499:1 gene:Et_5B_044605 transcript:Et_5B_044605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDRREMSSEEDESSAVSGDLRRGPWTVEEDLLLVNYIAAHGEGRWNALARCAGLNRTGKSCRLRWLNYLRPDLRRGNMTAQEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHARQLGCDVNSQQFKDLMRYLWMPRLLERIHHSDADATATPPQQLSSAAVWSPADDVLLAPANNEVMEVSCTTAASSSSTDGMQQQQPIVSPPTMVSNADDWPCTDNTKSGSMMSMCDTWCQPPPAPQTEFPAQVVETCWPEQGVQTSVYGGDMAELGDTMWAADDLWYTHIMGL >Et_10A_001302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23089243:23092926:-1 gene:Et_10A_001302 transcript:Et_10A_001302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELAVLGKATKATDVFALGVLMMEVACARRPVSRDALGEPLTLADWVITAWRRGSIADAVDPRLLQLLPEPEHDDDLRSVIIKEEAELVLKLGLLCSHPSPNARPSMRLVMQYLQRDAQLPAHLQPYSLQLTRDVSTQLEEIMQDDQHAISCPSMTLTDLSRGKLNRSLSISSPNLKARVNSRTCGEDCPQAFDERIDMRVVADGEDASLDAVVVEVQRLVAVAGSEHAQGMLPARQQVQLGMHVGGASGWVRHRLGGFLGVEPQGVAQVPGDLRPTYAHCEAWTPGSLET >Et_9A_061753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16068883:16069199:1 gene:Et_9A_061753 transcript:Et_9A_061753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPQERKNGARILVAKQRSDQAPFAAKRRKTLPLKPAAQAAQQRTEPAKQLPLLDQQRAAPTATRRPMAKPIDKAQEADPEKQQALPDQQRPMRKLI >Et_3B_031540.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:3979493:3981769:1 gene:Et_3B_031540 transcript:Et_3B_031540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQNPGVSPGTGSFSCFHGEEDSRPPIDDFFTLMRRIEEFYAEAARRLPLEEMPDLFDCIHEGGHCFGLADPVNNIVLNAVALLQYREERAHADRHHGRFRGNYKFFAVASDSFSGLLAFMTHYFRYISDAQAKRYVSLASFDLSLAVNLVRHDRFASSESPVELLPDGGKIKAALRIAAIRARHPAPDVLAQLMTSEYPEPMLGLINSLREGKALSANDVNAIKNFLASKWSPPPVSFQFWCRPNDDTCRRLDDGTLLISTAIGDGIVVQVSIVSAPKRSDQPKYIFEPTLRNEEVKTKLSECLAVVTTCRGGKQPRARDDDDSPCEHLLSLKLCLLDTIHALYIKALAVLPSCAISGRLIRALLVAGHCYGPLDPVSNIILNSIWYDTAFPFRQKYEEIAFPIAPGELPRGILDTVHMARMESRSLDGLVAALGHEATKHKAFERISSSRCDLSGFPLPEFTDVAKAAKHPQYAACGSFLNSLSGEMLTRLRILLSASAGEKLPDFKWNELKTILVEEVRNVVSVPEEAPCPLLPYAQMQMSSKKDNLISNMSFIRTKLDLILREYCYQHPWEPNFKLDIVCGVTYSSSRCRSNVYHVNFLATPDDAEKNERTLFFAEYWTAASSEAPPSPPRCCPVENNPQFTGRCSFCEAKGSNVVHPASGGHFGDTDGFAGLYSPDAHSAVRSDLEGLLDADYTYFDPDRDVELAKKLNSVHPSWIQSCASVMPAIPSAIYLPCTGKASENHLFPEAPQGHK >Et_2A_018566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3346821:3351481:-1 gene:Et_2A_018566 transcript:Et_2A_018566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAGLRRRRLLVALWFAATLLRLGAAAGDAATARVDGRRAVASTGEDFVCATLDWWPPEKCDYGTCAWGRASLLNLDLSNKVLLNAIKAFSPLTLRLGGSLQDKVVYGTADLRQPCTPFVKKESEMFGFTQGCLPMRRWDELNAFFSKSGAKIVFGLNAFNGKVLMPDKSLVGPWDYTNAASLIRYTVNKGYKIHGWELGNELSGGGVGARVAADQYSADVIGLKSIVDRIYQGNPSKPLVLAPGGFFDSAWFTELIAKTKPNLLNVITHHIYNLGAGVDTHLLERILDPSYLDGMESTFSNLQGILNSAGTSTVAWVGEAGGAYNSGHHLITDAFVFSFWFLDQLGMSAKYNTKSYCRQSLIGGNYGLLNTTTFQPNPDYYSALLWHRLMGTKVLATTFSGTNKIRAYAHCAKDSVNRNNSTADQPQRQHHNPSVGH >Et_4B_037524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20839819:20840907:-1 gene:Et_4B_037524 transcript:Et_4B_037524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVRGNRTFEDFDPAVEWSRAGDADSVKISLPGKQQIDQKLVWFKREEIRVLVDNHGHLRTRGERPVAGTRWARFQKDFKLPDNCNVDGIRAKFESETLTITLPKKSPSPQVAAAAPVPAPAPPMPEPRRPAAAPPQRPPPAALPEPARPAAPPMVPAVPLVPAPSQKPPPERRPSLPSRLPSVPTPAELPQPKKPEPALAAVPKAEEWVKQTKKSFLEDDEEKKRMEREAMGKMEEDRKMVEEEKKERPKEEEEEKGPLMGEMGMETRPRPMAAKGGLLVNVAVAVVVLVGITAYVWHTLKNATGDHGHGGMGAGSYGDEM >Et_2B_022102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9128392:9137817:1 gene:Et_2B_022102 transcript:Et_2B_022102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADSDEEDFVVYGTPIEREEDTSARKRRAISEAGQLRALPAWKQEVRDEEGRRRFHGAFTGGFSAGFYNTVGSKEGWTPQTFTSSRKNRAELKKQSIYSFLDEEDIKDMGGNALETSQQYDTFGFTAAEYARKQASKEQKERPSAILGPIPDELVVPTTNSIGVKLLMKMGWRQGRSIKDSHADSLYESRRNARKAFLALSDVKNDKDQDQIDSDKPSLDQSVMGSFEEMRASGSTPVHVLYPKQDLHGLGYDPFKHAPEFRDRKRLQKSRERDHKRNDVSARGNLLISNSGHYAPGFGIGALEELDVEDEDIYASGFAYEQTEVDIEPSKTSDGNYKLDDRKRGILLSFKIASNSDYKLERFPPPEIPADFDGTHKFPTPAQPAEKVSDLAPPEVPPPEDSTLKLLIEGCAAMVARCGKHIEDFYKEKSKTNPQFHFLSGGDGCSYYIRKLWEHQLRYTGQPRPVDVKSKPSSDKLTAENRGKILGERPLDISIKAQNSLSAKEAVQLQSNLIDTFVKPISLDALPESEKPFRNDPAKQARFEQFLKDKYQGGLRTANVAPLSNMSEADRARERLDFEAAAEAIEKGKEKIIKPSSVLPLPGMNDQRFISATQLESSIVPQDEKPMYPRREQFEWRPAPILCKRFDIVDPFMGKPMPVQRPRSKIENLIFMTESTLGTKDKEESSSRSSHDASSAAGITEAETQGTTNDPDIELSSVQRPVDLYKAIFSDDSDDDTDEIGNHQPVDSMKTSEGANMALNRLAAEDFLESLGKEFGLEVPPERPNVLVRSETLSVAGASVSSQNEKFATTYMEAKENHSSRGMVEVGNANEEAPLANTGKLDLKYEKRERITEENSSRPLHQQNQNHSRDSDSSSERHRSRKRRSHHRTRSRTPESDSSTERHSSRRRKSHSRHRRARSRTSDADSPSETQHEERKRKEKRRHRAYTPDADSSGHEYKERNKSSSRRSSDKDRSRKHSRYHKHIRKDHAEYS >Et_4B_038313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28031635:28032139:1 gene:Et_4B_038313 transcript:Et_4B_038313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TAAAHLSNGGVRVGSADGARALVVAALDDKVGGLLAGQSDDLNAEKSLFLRLVLSPLNTSHCAPMKRPASRMVRTGRRSAPSRRTERRRKANTSASTCITAAPAASMTVKSRPVTLIVFVTANAVANGAQNRATTTTVAGAGAASAGAGVAAIFPEL >Et_2B_020712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22980254:22984197:-1 gene:Et_2B_020712 transcript:Et_2B_020712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEPSPAPAKPTCAVTFGRSTLLGRHLAAALAASGRWSAVAVLDPSPSPPNAPSDSPLSRHLAVDLSDPAALVSALSGAAAVFHVDPTTAVSVSDGSFLSLHRLAVEGTRRLLASCRAGGVERVVYTGSADVVATCARDVINADEDSAHYPDKFGNVVSELRAQVEMMVLSADGMDGMRTCVLRPSNLFGPGDSSLVRFVAGYARSPLGKFVIGGGSNMSDFTYVDNVAHANICAEQALCSNAASIAGKPFFVTNDEPVETWEFMSCIMEALGCQRPRIKLPAKLLLSAALFSNIIHHRLGFQMLSTPLLHQDTIYFLSCSRTFNTSKARRLLGYDSIVSLQDGIMRTVGSISELPGTSGLSRQRDSCASSKAEKLLGSGTAADILLWRDDKRTFSYISVLFLLFYWFLLSDRTFIASAAKILLVTSLALFIHGVLPPQLFGFTVEKVTSDYFEVSGSSLRNSVMCLAAAWNGGIHKLRVLAEGEDWSALLKALAFLYSVKLMLNFQFKVLIGVVLASLFIVFIVYEQCEEEIDSFVAIASVKIKWLMDKAAKNLPASLKAYIS >Et_3B_029128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22261675:22271269:-1 gene:Et_3B_029128 transcript:Et_3B_029128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTATQAQLPAGIDQADGDKKEEAAAAKKVSLLGMFRYADRLDVLLIVVGTVGAVANGFAEPLVMLLFGNVINSFGESTAENFLRSVSKVVLEFVYLGIGSAVASFLQVSCWTMAGQRQSARIRSLYLNAVLRQDIGFFDTELTTGQAVSRMSSDTLVVQDALGEKAGKLLQLSSAFLGGFIIAFTRGWLLVVSFNGQNKAVAMYNNLIKKAYRTNIEEGLINGFGMGSVLCILFCSYGLAFWYGGKLIIDKGYTGGKIITVLSAVLVGASSLSNATPSISAVAEGQYAAYRLFETIKRKPDIDSGDTSGVVLEDVKGDVELKDVHFRYPARPDQLILDGLSLHVASGTTMAIVGESGSGKSTVISLIERFYDPQAGEVLIDGINIKNLKLNWIRGKIGLVSQEPLLFMTSIKDNIMYGKEDATVEEIKRAAELANAANFIDKLPHGYDTLVGQRGAQLSGGQKQRIAIARAILKDPKILLLDEATSALDVESERIVQEALNRIMVERTTLIVAHRLSTVRNVDCITVVRQGMIVEQGSAYSQLIRLQETRADERRKVPDNGGLDSRSKSTRLSLGRSITKDSFGNSNRYSFKNPLGLSKAPIGRLFHLNIPEVPVLLLGSIAASVHGVIFPLFGISMSGVIKSFYEPPHKLQKDASFWALISVVLGVANLISIPAEYFLFAIAGGKLIQRIRTLSFQSIMRQEVSWFDNPLNSSGALGTRLSVDALNVRRLVGDNLALIGYAQLKFLKGFSEEAKEMYEDASQVATEAVGSIRTVASFCAEKRVVTSYNEKCAALKGQGIRSGIVGGLGYGFSFFVVYLTYGLCFYVGAQFVRQGKTTFPDVFKASALASDATKARDSAISIFSILDRMSKIDSSSDDGMAPGNVTGNIDFNNVSFKYPSRPDVQIFSDFTLHIPSGKTVALVGESGSGKSTIIALLERFYDPDSGTILLDGHELKNLKISWLRDQIGLVGQEPMLFNDTIRANIIYGKYGQVTEEEVIAVAKAANAHDFISNLPQGYDTMVGEKGIQLSGGGGGGQKQRVAIARAIIKDPKILLIDEATSALDAESERIVQDALDQVMVSRTTIVVAHRLSTIKGADMIAVLKEVKIVEKGRHEALMRIKGGTYSSLVELRSNSDRALS >Et_4A_034797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6612011:6614460:-1 gene:Et_4A_034797 transcript:Et_4A_034797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENQKQAEVLWPRLVANKLFRKTSGSHAFVADFPIDEDDVFEPEYSGGCSPDADASRCVKRQRPQERNNKTLKYKVLASTWNVGGVAPPEDLDLSDWLDASNGPYDMYVLGFQEVVPLRARNVLGADKTRIGMRWNELIRAALNRSSSCGGGGSTAAAATQKVHPVRDGGGGEGLAREYRCVVSKQMVGILLTVWVRADLRRFVRRASVSCVGCGVMGCLGNKGAVSVRFWLHDTSFCFVCCHLASGGREGDEAHRNADATEILARTTFPRGHALNLPQKILEHDRVILLGDLNYRISLPEAKTRLLVERQDWKTLLENDQLRGEVCDGGAFQGWHEGAITFSPTYKYYPNSDTYYGCGGRRGEKRRAPAWCDRILWHGAGLRQERYDRCESRLSDHRPVRAVFTVEVDAPRNLNSLRSFFMSERFDRVAASPDRLLLRTKDMNSARFSDNNV >Et_4B_036070.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:20522850:20523308:-1 gene:Et_4B_036070 transcript:Et_4B_036070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWLFRRRWGGQPTAACNSVHAAQGQDDESSCHPDRQQPQRPHQDRLRQHRRVPHRHAATVRGDRRAADAGELHRAATDDHHDAETAQDHGYHLHLGQLRRRGQVQRQGAGERDRHLLPAGEVAHQAAELHVPAGHRRPHRRRGHLRHRPS >Et_6B_050054.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:4966846:4967463:1 gene:Et_6B_050054 transcript:Et_6B_050054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSRKAVVFLAAVIMAWLAAPATALVPPYAGLWDLLDDPFRVLEQSLVALPSPRPSPAAGARVALARCDWKETPEAHVIAVDVPGVRREDVRVEVEENSRVLRVSGERRRASDFEGQEKDGERWHRAERAAGRFWRRFRMPAGADVDGVSARLENGVLTVTVPKVAEHRRREPRVISIAGDEDRGDGKGGAEAAEVKASKAEM >Et_4A_032675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12433690:12441975:-1 gene:Et_4A_032675 transcript:Et_4A_032675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVRRVVNHCGGLLLCESYEHALYVANPATRRWVQLPRHPASRLHTVSPHYEVFSIPILPEDMEETSCSRLGPEMLQVQSEWPPSSYAALQVFSSQTRRWEERMFIREAGVASVIGDTRRRRLQTSYGAVYWRGQLYFCHDNDSFMRVFTSNSKYKLVPLPTDVEFKYYGTFHLGRSEKGVYCAFTHDWHGLWIFSLNESYGQTEWVFKHRMDYKTFARKLHARKDDDQPVAGHWILQNINENYDMSVVEEKYEWNSDDDDVLNTKDMVEGSYEGYTYLLGFHPYKEMVNNVGCLPDDVLSFVLRRLPPRSLAACRCVNRCWRDAVDARRLLRADLLPLSVGGIFLEYCQLYRPEFFGRPSSAGAPPVSASLDFMPSVRRVVNHCGGLLLCEWYEHALYVANPATRRWARLPHRPVSSMGEAFDASACLVYAPSVSPHYEVFSIPVSRTT >Et_8B_059489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18408046:18414118:-1 gene:Et_8B_059489 transcript:Et_8B_059489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPDGDTTAAVTTVSLSGFHPHTSASDLAGFLESVVGGEVLRCRVKTTWTPPDSAPDFTLAAAAPSQCGKRRRGGAFRDAAPPPPDERAPPHAFVHFAHPDVASRAAAGSGLIVHDPAAGKHGSGHRRRRAAPPFRFTASFVEVGNLRALRDFVAAWRGPEHFASVFFDFVVDPSDETCRIEYSSDTAFVSVPGTSSSPAGAAAVLPCDVKLEFAVLDVDEVLVFRAENSLLLRLSAAPLAYYRTAAADVYESVHADLVVDDGDPWVRTTDVTAGGAIGRCWVYRVSFGEWHWPMMEDALEYLKVRVPVVVCDGGLTVRDEPDFGRPTPGLFFSVQNDHAEWLGFPVWYLVNALVHKGILNHHQLTPEFFGLLMSQLSDVNVAALTQFFREKFPEFDVCQRLANLQDRAAEDPKFLRLRSSSSKAGDYNVETRSMVITPTRAYCLPPQLERSNRVIRHYHHVADRFLRVTFMDEGKQLLKISALYLTAAPIVKDTMPNLFQQKTTVYRRIRRILIKGFHLCGRKYSFLAFSSNQLRNRSAWFFAEDGTTTTASIRQWMGQFPSKNVAKHAARMGQCFTSSYATVVMQPDEVDEFLEDVTRNGYNFSDGIGKITPDLAMEVAERLPLIGKDIPSAYQIRYAGFKGVVALWQDQNDGIRLSLRPSMRKFESTHSVLEVVSWTRFQPGFLNRQIITLLTALGVPDKVFSQMQETMVQNLDRILSDKDIAFEVATSCPAEHGTTLGLMLSAGFDPATEPHLRAMLLAIRSSQLQGLLEKTKIFVPKARWLMGCLDELGILEQGQCFIRASYPSPNNCTVVMAKNPCLHPGDVRILEAVDVPELYHLVDCLVFPKKGERPHANEASGSDLDGDVYFVTWDEKLVPPLKKSWDPMDYSPAEAKQLQRQVRQHDIIDFFLKNMTSDNIGRISNAHVVHADVSKHGARDHKCIQLAELAATAVDSHKTGQNVTMPQFLRPKEYPDFMEKENDISYKSEKILGRLYRSAKLYYQRSLEGVIRNDVLDYDTDLEVNGASGFLSEAWQCKCSYEEQLNVLLNQYSVATEAELVTGEIWSLTESNRRKKHDTKEKLKYAYSELQQQFRGIFESISAGHGAISEARRMMEYEMKASAWYKVTYHPDWMRRSREMMEPNEKARLSFAWIPVDHLARIKMRALEEAKHRERWPLAREPHF >Et_2B_021868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6219025:6267525:-1 gene:Et_2B_021868 transcript:Et_2B_021868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFMMFQGKPYNDMRKFLADQQKLLQLEKRASTDAQFHYTTAVCDHCFPHIKDVHGEEGVVDRISNLPDAILGEIISLLPTKDGARTQILASRWRHVWLSAPLNLSAHGLGVYGERLSGLISRILAAHQGPVRRFCIPLRGLKKSHTMINSWLRSPTLNNLQELDFDIKCSRHRCSRHSCSYDTSWERIPLSVSTFHFSATLLAATISTCRILDGTVETLQFPQLKKLGLERIEISEVSINSLIAACPVIECLLLTNIYGSFHMPIRINSLSLKSIGFSFAWIKLIIEDAPSLERLVEVGSNCRSHVSVTSAPNLEIIGGVSDRYYTELGLGSTIIQTSNVPGDNNFWRCKHRALIKRLDIRLKTVVLKNYQGIKSQGNFANFFVLNAKMLELMVFEGRPYDDIRKFLAEQRILLQLEKRASRGARFHYTTTRCYDYFPHINSVHDLSRADLFQSRPAPPSASLASSHPHPRIPPPPPSAILNLPGTLVVPALVPPLRACLRRTSPPKRLHPPAPAAVVESHCQELPPGAAGREGDGVDQISCLPDAVLGEIISLLPTNDGVRTQTLATRWRHLWLSAPLNLDHNSFPIHACEQHDIISRILAAHPGPARRLSVPVYYLFYRHETFETWLGSAALNNLQELEFGLGAFRYFENPPVSLAASAFCFSATLRVATITKCVLDATVETVQFPQLRQLELEAVKISGDSLHKMIAGCPVLESLLLKTIYGFSTIQISSRSLICISFNISGYENETRKLIIEDFPLLERLLQLGTCRFLHVLLISAPNLETLGCLTDRNCNSQLVLGTTVIQKLCAVSFMTEMFNVKVLAINVHSPKSMDLVLDLMRCFPCLEKLYIQMPRVFGGNYVWCCKHLNQVKCLDSSLTTVVFKDYQGGKSHIKFATFFMLNAKRIEVMRFEAGGFKCDHHKNFIAEQRRLHQLFEKELRELVGVIEIFHILSMSVICLNLIHLNKRRTMPRNDIAIDCISSLPDEILGEIISLLPTKDGVRTQSLASRWRHLWLSAPLNIEHSGIPGGKKSQIDVISRILASHPGPARRFNVRMYLNYRAARVDAWLRSPALNNLQELEFEAIPWRQMQDLPASAFRFSATLRVITIRCCHVLDGVVESLRFPELRQLGLKRVDASVAALHSIIAGSPKLECLLIKLIAKASGGRSIRINSSSLIRIGFACDLYLELIIEDAPSLERLFHLNNMRYSHVCVISAPKLKTVGGLTDKDMTKFTIGGATVIEVKHSPPFVVLVQMLHKLINLDSCKILNVGVGSKTTILRASFTVTVCSVAIDTYKFNLEAVINLMRCFPCLEKLSIQISQSEGKNLWRHKHHDLMRCLDIRLKVLVLKNYQGIKSQAHFATFFIVNAKMLKTMRFEGGPYRDYNKFVAKQHQRLQLGKRASKSAQFHFVECACHKYLAQIEHTVAPHTKKRRTKPHEPHLPAVAAESRDDDAVPATDAGGDERRGIDRISSLPDAILGEIITLLRTKDGVRTQALASRWRHLWLTAPLNLDLSGLQKYANPRDIITHVLATHQGPARRFSMPKCCIYCTRATLDTWFRSPALNNVQELKTNTHYCLSPPPSSFSIWDTLRVENFYCPQLRQLGLEGATISDSCLCNMIAGSPVLECLLLKNCIGFSCIRINSPSLISIGFSYRGVPNAELIIENAPLLQRLLQLERCGRLQVSIISAPKLDTLSCLAYDRYQLVFRTVIQNLCAGSFAVEMCSVRVLAVEVYSSMDMIIDMLRCFPCLEKLYIELSGDTYHWHKHQNHVKYLDSDLRTVVLRGYRAKKVTCEVGGILCIECENLEKRGLSARFHFTVDVIRIFHISSMSMICLKPIHLNRRTKPLERREPHEPPQLLAPAAMAVDSRDAEPATDQLEESHCQKLPSGAGGEERDGTDRISSLPDEILGDIISLLPTKDGARTQALASRWRRLWLSAPLNIDHSGLPTDSDKLLDIVSHVLAAHQGPARRFSMPNCKCVLLLQLGTTAVRGSDRAKVVESWFRSPGLNNLQELEFGSLVSWVPLPATAFYSCTTLRVVTIAACSILDDTVQTFHFPQLRQLVLEGTRIPEGWVCNMIAGSPVLQFLLLKSVRGFTSMRINSPSLVSVGIDCIGPSTKLTIEDAPLLQRLFDLESCGTLHVSVISAPKLDTLGCLTDYDRPSNKLVFGTTVIQKLCVVSFATEICSVKVLAVNVQASESMYMIIGLLRCFPCLEKLYIQLSSVLRENYHWHKLQNHVKCVDNSLRTVVLKGYRGTKSHVVLVTFFVLNAKMLEVMRIEATGFIHENSKKFIAEHRRLHQLQKRTLRSARFHFTIGTCHHDIVHIKHVDDLSKDPEEIVGQSPDESQHPPPPPSPPTSEPEKSHVQADPPPAAEGEEEDEKEEGADIISSLPDAVLGEIIFLLPIKAGARTQVLSSRWRHVWRFSPLDIDHIGLSAAHGDALVALISRIIAGHQGAVRRLCVPERYLYQRTSDVKAWLRSPALEYLDVLEFYSPPLSTFRYSKSDAIPRLRPHQAKKRRKKENQRQQLEVIITLWPHGLQPISVLEESRGHESPPAAGEGDGVDLISGLPDAVISEIISLLPIKDGARTQSLASRWRHLWRAAPLNLDYRGLPILEAHLGPARHLSLPVHDLLYRRETFNNWLGSAALDNLQELELVYGGLIYLDPPLVSLPATVFRFSDTLRVVTVTECQILDGTVEMLRFPQLRELGLERVTISSGSLQSMIDGCPVLECLLLLGIHGFNSLLISSTSLISIGLSVSSKELIIEDAPMLKKLLLLAPYADLHLSVISAPRLETLGCLYDDYNFKLVFGTTIIEELRMVSFTTVVSSVKTLDINMDVLSLNLIVDLMRCFPCLEKLYIQMSGGPMDKSSWHREHRDLPGCLDIHLKTVVLKNYRGAKSEAIFATFFILNAKMLELMTFAGTDYNKRFIAQQKKLLKLKKRAPSGARGHSIRQGMEREALPAAAKIRMAGQEAEGQGQDAAGSNSPQELQPPPHPTPKACVYEGIGGVDRISGLPDEILGEIISLLPTKDGARTQTLASRWRRLWLSAPLNINAGFFGRPHVSGRISGRSQKLISTLNIDHDGLPPMSLSKPTSSPGSSPPTGVLPATYP >Et_6B_049635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7596268:7598011:-1 gene:Et_6B_049635 transcript:Et_6B_049635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLVAVVVSFGLILISTYAIQLINDARRRLPPGPLPLPLVGNLLNIDGGKPHVSLARLAKRYGSLMSVRLGVAHAVVVSSSEAADEIYHKHKAVMADRPAIDAPPNARWRALRKFCATELFAPSRLNALRPLRQQKAQELLRYVADQAERGEAVSVGDQAFTAFMNILSGMVFSVDLDDGPSVRGLKDLAKESSVLATAPNVSDFFPAIAAADLQGVRRKMARVVAAAYQIIDQQFEQRLRGRQAGEPNKDDMLDAVLDKEQEWRKDGSMIDRCAIKGMLMDLFFAGAGTSSSTVEWAMAELLQSPEVMKKVKRELREVLGTKMLVEESDIGHLPYLQAVVKEVLRLHPPIATTFYLAEAIVQVQGYTIPKGTTIIMNIWAVHRNNDIWNDPDKFKLERFINSSESNFSGNNCKLIPFGGGRRICPGLPLAHRAVHLILASLLHQFNWILPEEATKNGIDVTEKYGVVVSMAHPLKAIAECDL >Et_7B_054553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:23119789:23123714:1 gene:Et_7B_054553 transcript:Et_7B_054553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSGRRRWEKRAVRRQLERSWERCVDVTVQLLLPDGYPHSVSSDYMQYSLWRGAQGVASQISGVVSTQALLYAVGLGKGAIPTAAAVNWVLKDGLGYLSKIMLSKLGRHFDVNPKGWRLVADFLENTAYGLEILTPVFPHLFVPIGAAAGAGRSAAALIQAATRGCFYAGFAVQRNFAEVIAKGEAQGMVSKFLGIALGIALANQIGSSVPLALISFAGVTAVHMYCNLKSYQSIQLRTLNPYRASLVFSEYLLSGQVPSVKEVNDEEPLFFNLSLGASPEAKDAANKICRRLQLGSKLSEIIENKEDAYALFELYKNEQYLLTEYRGKFCIVLKEGSSPEDMLKSLFQVNYLYWLERYMGFKPNTVASECRPGGRLEASLDYAQREFSHVKHDGSGGGWVMDGLIARPLPVRIRIGDSVPS >Et_4B_040053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8418974:8422779:1 gene:Et_4B_040053 transcript:Et_4B_040053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYPCVSAEYQEAVEKARRKLRALIAEKSCAPLMLRLAWHSAGTFDVSSKTGGPFGTMKNPAEQAHGANAGLDIAVRMLEPVHEEFPILSYADLYQLAGVVAVEVTGGPEVPFHPGREDKPQPPPEGRLPDATKGSDHLRQVFGKQMGLSDQDIVALSGGHTLGRCHKERSGFEGAWTTNPLVFDNSYFKELLSGDKEGLLQLPSDKALLSDPVFRPLVEKYAADEKAFFEDYKEAHLKLSELGVYSCVSLGECNYSSSRKCFDEVLFHTKIPNLHESGGISAREELKEWALADCRGTGGWSDGAVHGARALAGVCPRASLGDPCEAAVAALCYSASIASDAPYIDYAVSYAPVCIFCSNITTVNAEAPAQHEDEQGAASRGADLGLQLVCSISFRCCRSSEGAHH >Et_5B_045369.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:251794:253482:-1 gene:Et_5B_045369 transcript:Et_5B_045369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGAIIGASTVLVVAVVAAVCVVSFKGNPSSSESNGELSMSVKSVKAFCQPTDYQQTCEAELSQAAGNASSASDLAKAIFAVTSEKISKAVAESATLEELKNDKRTSGALNNCKELLEYAIDDLKTSFDKLGGFEMTNFKKAVDDLRTWLSAALTYQGTCLDGFMNSTGTDAAEKMQKALNASQELTEDILAVVDQFSETLGGLSIGSTGRRLLDDQDEWASKRQGLLEASPASPGFKPKVTVAADGSGDFKTITEALAKVPPKGTEMYVVYIKAGTYKEYVSVGRPLTNVAFIGDGMDKTVITGNKNFKMNLTTKDTATMEAIGNGFFMKGVRVENTAGADNHQAVALRVQSDQAVFYQCYFDGYQDTLYAHAQRQFFRDCTVTGTIDFIFGNSQVVLQNCLIQPRKPMANQVNIITAQGRREKRSVGGTVLHNCTIEPHPDFKADAGKIATYLARPWKEYSRTLFIQNHIGGFIDPKGWLEWAGTFGLETLFYAEVDNRGPGADMSKRVKWGGIKTVTYEEAQKEFTVETFIQGQQFIPKFGVPFIPGLLPQSQQGRTH >Et_5A_040794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12684970:12697367:1 gene:Et_5A_040794 transcript:Et_5A_040794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQVKGSRQGPAGLLSARRKPRNAHFLPVHPRPQRVVFPSVACRTDHNRWRRTLQAQESDRFMQSGKMLHDVADFVDPLAVTNYTDNFNTFRRRSPLSEELELMYIQDGVQHTKGLQQRGTSFVNSIIDYISSDRRYYQLIINACKESTDMIIELGFISSYNRDELLNVLLKIGDEIANGRFEWVGNKDVRSNIVQALVDSVGEPARKLDATLCQRVQKLTILRLWFYESADKIITQIKLLQVELVLLALRNLGFVLPHILGRANWILLGDLVLSKVEQIILTLCYSSGVQLDSTCGSLNRLYEDGSHRLIQNAVIDFASMITGDIAINLSSLGEEMRTWMCFQFLTPNDKVTESVSVLTKHIMDLDKLTAIQSDSLTNIQDVSQRILDVYVIIEQMLKVAADLGKHASFDHAKVRSSLSTGCVKTSVFSDNSTAKEKDQSDEKKKDEDECATAMQDFKSRADVTMKRLLDWLVNHQTRENMLKFCPF >Et_4A_035376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22096816:22103908:1 gene:Et_4A_035376 transcript:Et_4A_035376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGNKIRNAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAVVVYDITNPPSFRPLSLVQRNGFKNFKLKEAKTYAQENGLFFMETSAKTAINVNDIFYEIAKRLLQGQPAPNPQAGMVLTQRPNERMRTMPMSTEVYGMSGSASR >Et_3A_025126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27071795:27085608:-1 gene:Et_3A_025126 transcript:Et_3A_025126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADCQPRETGTPLAGVTTKQFELECPLLAAPARAVEPASQVARGRGRAYCERGRLGEVGGGRLRRGLGRGGPGRSGQGRKHIIGERALTNISGAFGSPNVLASDGEPISLASPHPLHGRAHLGGREGKRAASEQTARGRNTSHPNPNTLLSLFVLSPPVCSPPSLSSSRRSKSRRRRRRRCRFSPPLAVAAADSRGSRYLTRPHRGAKLYYQRAVLPSRFDAARSFPLVWILRAPLVGSPPQRRSSVALTFDNCWNVEYSLMAGAVTTDDSVASTSGLGEEDRSVSGDSLSEWRSCEQVDNGSPSTSPPFWDTDGEDDDPGPRPSELFGRYTWRIENFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHEKLLPGWSHFAQFTIAVGNLDPKKIKYSDTLHKFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVISFRAFWLALDPTTRSRMTSEKSDLILKVVVKSFFVEKEVTSTLVMDALYTGLKALEHHSKGGSKGAVKSMALEELSTPMVHVNMDVFVLAGDFIALLERAALEPLSCQPQSPKDDKCSQSRAKDGGSGEVNKVSIEREERRLTEIGRKILEIFVLSHIFSGIEVAYQEAVALKRQEELIREEELLENEMKGKRGDKRAKKKQSKQKKNNRKAKDKEREEISDSNFSEKGRDESTNHGGEDTMQASQLATKVDNSEEGASDVSDNLNGSVEVCQTDTSDKNDGAVNAMHDVDIEPENAQTGNKDSTMEIESLVSSVTATVNSIRGKINNLLDSTGHVPPTRGRVRRKRVISKMNTVRDEDYLLSISSCTDRNQSRCGPSPNSDQETSLLTLKDRLFRLGQRLHEKEIEGRELLKAHLEKKAAADTVTGSPSAPLPDFFEPQVLKCPDKSSVTISDADINAPPSKSITVGTSDGSTVTVPAPATSIISTKSVPVVTPTPSKLEPVLCNEHASSSRQQTDKATLTPPRSPLVDKAAPTPPKSPLPQVDTVAKAAQAPQKPLSSLIDKVAKATPAPPKSSAPQIDKVAPHNSVSRQSPSTSISKAQEDTIPDRVAVTSVPRTPTPTSRPSSAPLFQAPRSTAPPTPTVQVSPLLSHSVTVSGRVSDEPPPSAPSYTRQAYRNAILGKGSVDTSSANLEQSTSKLQSVAASQPLSAYASATTVMSPPVERNDQLSGKPGSVFGLSKSEALDNWHPWKGNSDVNRHVWRDDMPNQQMNNSDSCAHPWKDISYRQVSSSGAEQGRFDEMQYRQFQREIPANFVSPQQQGPVGEEFPHLDIINDLLDEGQSSGGMTGSSLHEYHTFGLPFASNGNVADSEMASIRSSGRFNLTDHYYNEGYQGPYNVQNALHRLRDGQLSTLDVYSNGRLDSSASKPWLYSRPSPTMNLGINTNLLTQQMGDYTNLATGRMNGEYLEHLYRRANGQW >Et_10B_002929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14078190:14083666:1 gene:Et_10B_002929 transcript:Et_10B_002929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLLLLLGLALPPPSAVALTAEGLALLAFKEAATDDPYQALSRWSESDADPSANASSSSSSPATPPRVVALAVAGKNISGYIPSELGSLLFLRRLNLHGNRLAGAIPSSLSNASSLHSIYLYGNRLTGPLPAAALCDLPRLQILDVSHNALSGPIPLDLRNCRSLQRLVLAGNAFSGEVPAGVWPEMSGLQQLDLSSNSLNGSVPPDLGELPRLSGTLNLSHNRFSGPVPPELGRLPATVALDLRFNNLSGAIPQAGSLASQGPTAFLNNPGLCGYPLQVPCRAVPPPTTSPAPPGTATTPFASRSGGGANRDRQTIKTSLIALISVADAAGVALVGIILVYVYWKVKDRRNDGGRRRRAAGKDTDDDSSSKDNAGLCLCPLWRRGGSSDESSTDDDGGDEEAGKYNSGGAGEEGELVAIDKGFRVELDELLRSSAYVLGKGGKGIVYKVVVANGTTPVAVRHLGGGGSGGADRCKEFAAEARAVGRVRHPNVVRLRAYYWSADEKLVVTDFVGNGNLAAALRGRPGQAPLSWSAQMKIARGAARGLAYLHECSPRRFVHGEVKPSNILLDADFTPRVADFGLARLLAVAGCAPDGPSAGGGGGLLGGAIPYAKPPAHVAPVGTTGPVGAGGYSAPEARAAGAKPAQKWDVFSFGVVLLELLTGRGPGDHASPSTSASFSTAAPVSGGEHGAVPEVVRWVRRGFEEDTRPVAEMVDPALLRGPNAPPPLPKKEVVAAFHVALACTEVDPEMRPRMKAVADSLDKIGS >Et_5A_040289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11050145:11051097:1 gene:Et_5A_040289 transcript:Et_5A_040289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLATGLLAAGLHVTFLHTDHNLRRLGGAAAAAAAVASPRLRFLSVPDGLPDDHPRLVDSVAEVLQSMWTRTSASYRALLSSLLLRDDKNEGGSFPPVTCVVADGILPFAVDIAEELGVPAPRARRAPVPGIRGPRRARARRSGHGRFPASPRPPRPVPPPHQRHRRRRAPPADGRPGHRAPRKCARAPAQHVRVAGAVGAGPPQATHARRVRRRAAPRHVARAGGRHQPVAPAAGRRHRGVAGRERRPVRRVREPRQPRRVRLARPVHGVPGGPRRRRPRLPLGDPPRHGRRRRR >Et_9A_060912.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16510097:16514090:-1 gene:Et_9A_060912 transcript:Et_9A_060912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASKSKSKDRSAAKVAKEQPKVGVKPMGNGTLASTYNNLSGKFHVLEPSASLLGTQGNEKFRNTDEIDEHSRSSHGTGDFDCASNNGSCSGESEDPKEKATSTAARVDSVPGCDIDKREKIRQKNEKKHQRQKERRAQELHERCKGYLMSRKLEVLAQKLVAMGFSSDQATMALIQNEGCVEESVTWLCNFDGSEETKQQLADQQSSANLKIDIADELAKIVSLEAKYKCTKQEVERAVVSCEGDLEKAEEALKAHKQESTSVPSKPEGSGEPSGFASKQQVVLAQNPARPQANGFSSIGGQQMRREEKDLNYKLLINGSGPKEPAIKGFQPLAAQIKPELARQQFVPPEKRRLNATSAPSVPYPASSPLPVAVPQLKPDMRHVAGGNEVRSTMTNGNLRESVTVMQRPQSTSTKQSLPSTSHSMFTSEPTSRDWYLNATSNVDMMLNGGLGHGLRNMSLDNVNSVKPFGHVNHQQSFVSNPIELAANGWGGTWGSGGTSSSRAVASSLGALRGWSSSESSSLSHGDWRNNGLAPYDYTSVDWSVDTTLLNPAAKSERLSDTWSTMFMGGRSARAPGNIGGAGIAGLHDSNHPMDPAPTPRSYDWHSFCRGGSS >Et_3A_025090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26832001:26833831:-1 gene:Et_3A_025090 transcript:Et_3A_025090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNCALQPVRILIVFVLAAAATTIVVPRAKGPCAYSMSRAILSVTGLDPHLVTCDASEASLSDSADAGKKAGTGGPIVTDINLCADPDPPDYPVPPFYCCPPASASEPVNFTFPDPAEPLRTRRPAHEAGAEHMAKYARAVALMKALPRSDPGSFYQQADVHCAYCSGALRQAGHPELPLQIHFSWLFFPFHRAYLYFFERIAAKLLGDPGFALPFWSWDVPEGMRMPVEFADQASPLYNPRRTPWHSPPRVSDLEYMFVESNLTDEQQIQRNLWVMHKQMISNAPLPSLFLGQPYRAGDAPMPGPGSLEFCPHNTMHVWTGDVRYPNAEDMGIYYASGRDPIFYAHHANIDRLWKVWRQIVTARSNGTRKRTDLTDPDWLDSSFLFYDEEVRLVRVTVRDTLDMGRLRYAYDDRVGVPWLDARPPTTPGVNGERRRLKSVRFPVSLDAAAVTVEVRRPERPRRRQEEEEVLVVEGVEVDSADSVKFDVYVNAMEYHKVRPGGRELAGTFVTLKQPGREGETMKTSMRVALNDLLEDLGAERDDRVTVTLGPVKGKARIGGLRIVRVAE >Et_3B_030958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9321587:9323454:-1 gene:Et_3B_030958 transcript:Et_3B_030958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAEVMHQVVPLLEAPFHRCAVKSVEVIEEAVGVATAPVQPAASPRAAVEVAVEVADLESKTSIAGCSASVEPQHFVPIIRSGSFADIGPRRDMEDEHIRFDDLSGHLGSLLMPSAFYGVFDGHGGSDAATYMKQHAMRIFFEDAEFPEASLVDEMFAQSVENLIFKAFLRADLALADDSVINRSAGTTALTALVLGRELLVANAGDCRAVLCRKGEAVEMSRDHRPTYDAERRRVLECGGYIEDGYLNGVLSVTRALGDWDLKLPQGSASPLIADPEIQRITLTEDDEFLIIGCDGIWDVMSSQQAVTTVRKGLRRHDDPERCARELAMEAKRLQTFDNLTVIVVCFISELSGNSAPPEQAPTGRIRFCKSLSPEAICNLRRLLESDH >Et_4B_039047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7368437:7372466:1 gene:Et_4B_039047 transcript:Et_4B_039047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDSSTAPLAWHDFLERMRQPSAAEFVKSIKGFIVTFSNRAPDPEKDSAAVQEFLQNMEGAFRAHTPWAGSSEEELESAGEGLEKYVMTKLFNRVFASVPEDVKSDEELFEKMSLLQQFIRPENLDIKPEYQNETSWLLAQKELQKINLYKAPRDKLACILNCCKVINNLLLNASVISNDNPPGADEFLPVLIYVTIKANPPQLHSNLLYIQRYRRETRLVSEAQYFFTNILSAESFIWNIDGESLSMNELDFQRKMEWARERLLGLSADSENQDNQANLGVQDRRPQNLTGNRNSDVSLSLKDHIQGPGQDMRRDSDVTVSGKPVERVQSISDLEKKGATELLNSDDLNKKFLEYPFLFARAGDLTVVDVENLLNSYKQLVLRYVALSQGMGVNPETALVQSEQTASELVVPEEVEKNVESVVNNPVDSEGISKTITSENIHSEVVNTSGPEQIPQQSTIDLSEDLKTQKDEASDQPESA >Et_4A_031958.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:5241839:5242132:1 gene:Et_4A_031958 transcript:Et_4A_031958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTPTAAGGQWVPIPTQCIDDPRIQQLGEWAVKQHNKERNDVLKFSRVVGGDAQVVSGVNYRLIIKTANPDGKYQAMLYEQVWTNTRILNSFNPAN >Et_9B_065723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9694045:9703583:-1 gene:Et_9B_065723 transcript:Et_9B_065723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSKSPNVRDKFNGGIIQPVGLAALQRLFCSSYILLVSVSSSILSHTAAHHPRKSPKPPAQRRPNSPMAAAAEAAELERQVMSAVKASAARGDPPLLQAAEAARCARGASTLGVLALAPALVANLCFAHNTGAMWKLLDQAMASRLVCPVHTLALLTPRVVPNRREQPEAFRLYLELLGRYAVAPVYPKDAENKAVLAESIDDAMQLSQRYSVQQMDFGHAVTLFIFSLINILIDCILEDCGLPNISVGEYDKTYSRNLDSDANGCSVDMGDEHREHLRRKNILLSIEIVERITANKTAQDRPEYFNNLLKRLHHMGALKSKNIVPANSTTDSLTNNIQKIISRGYQLGSSCLLEAFVSSHHCSSATCSSIFGPGKGSFWIQFDMFMEYTMDGRQLHAISWIEILTEVSKTLQVLNRATWQETFQALWISALRLVQRGLDTPEGPFPRLGSRLCMLLAIIPLSITNILKEEADKLEGGMSSIIRGELLSSLQVLGQFSSLLLPPPATVHLANAAARKAALVLSNIKPGNDNMYNSSNDSSSIKAVGNMLHLIVEACIARKLIDTSAYFWPGYVVPVKDSSPVKESPWSTLIEGSPLMELKDALMITPASSRVGEIVLFCDTWVSGGKIGSFKDSVWGIASSWLEHSGISSVDAVHVFSMYGLIPDVAAMLMPLCEVFGSLPPSNHRSCNLEEASVYSVFSCAFLSLLRLWKFLRPPVENALSRRGVSVWSALRLDFLLLLRNSSSALKTLSTISATDICLLDPLFQKPVYIDSFPKLRAWYLQNQACIASTLSTACNGTSVLHVANMILKIICRKMSKGGGLSLNPQSTSNSSMGTVQEDICQWPHVPAWEVLEAVPFVLEAVLTACAHGKLSSRDLVTGLRELADFLPASLAAIVSYFSAEITRGIWKPVMLNGSDWPSPAATLPAVESEIKEVLASAGVHISISAQQRSVMPMLPLPIAALISLTITVKMEEFSHVHGIIGQGVEICATSSSWPSMPIIGALWSQKVRRWHDFIILSCSQSPFTRENTAVAQLIRSCFSSFLGSADGISCFAMNKGVTSLLGQTLHGRSPRLSVSPGFLYIRSCQSFPDNNFVCEEILKVLIERARVLANDCNSGRLTRLRSDFRSLASASSSVEQIAALASTMICLAGATIWGVGETSPAFTSIYTSKRQRVVDRHLDFMAKVMGGNIVLGCGEATWRAYVICFVGLLVDFVPTWIPEVKLETLQKMASGLRKWHEGDLALSLLERGGPKAITSVVESLL >Et_1B_012782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35009258:35014072:-1 gene:Et_1B_012782 transcript:Et_1B_012782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYIGQHGVATLRRYKYSGVDHSLVAKYILQPFWSRFITLTGFMFLLSSALLGFVYSPHLDTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFESLAFGSTAMCGKSTFWFWVISAVPFYCATWEHFFTNTLILPIVNGPTEGLMLIYVCHFFTFFTGAEWWAQDFRKSIPLLGWVPFVPEIPVYDIVLCLMILFAVIPTIGSNIHNVYKVVEARKGSMLLALAMLFPFGLLLAGVVVWSYLSPSDVMRNQPHLLIIGTGFAFGFLVGRMILAHLCDEPKGLKTGMCMSLAYFPFAIANALTARLDDGNPLVDEKLVLLMYCLFTVALYMHFATSVIHEITNALGIHCFRGSLGKRH >Et_6B_049296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2951257:2956293:1 gene:Et_6B_049296 transcript:Et_6B_049296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAGAQNRCVFGTSPPLRSAPLNTLLGFRDRFDPKARSFWIGSVGLRRVCTPRCRRPHRRLGFACCSVPGFGNDAVTSRGIRADFMYSSLNLIAVGNIPYDATEEQLVQICEEVGPVVSFRLVIDKETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENGRNTDRNREKGRGGPGMSSNVDGQKQLTGPSVTGDASLHQPVGLTPAIHAASVMAGVLGGSQTANVQNGLPVQYGLGNDPLTHYLARMSRHQLHEIMSELKSLSAQNKELSKKLLEGIPQLPKALFQAQIMLGMVTPQMMQMAKSQQPSSSLLQSSSHLTEPFPQPDPMVPVVSRPSSLPVNIPPNLTVLQESTASIQNFPQYQHPSQPPAKMFSHGHQSGVATHPQMLSQPLGGSSNVGPQPLVTSVGLMSQTQSQFTPQHPRPPVMLPSVPAAPETLPNEIRGVDHASHLAEFAHPSKLRKLDDGTSVPGMVNNSLPVYSAPIGPSGGYNTGSASVQQPENEVQQLTPDVESALLQQVLQLTPEQLSSLPLEQQQQVIQLQKMLSAGK >Et_10B_003643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4225741:4228962:1 gene:Et_10B_003643 transcript:Et_10B_003643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQSHCKNGEMETNVFQRMIAGDHGLDEVNAPCDTPRNSVYSAFSGLSGRAVADASGSRNTDSVSSSEHVRDPGTILSLQPWIFRKSGRRNTDSVSSSEYVRDPGTILSLQPWIFRKSVSQKKEKGVSSRVVSKGKNLVDGFRDGQTVEVSPRSHGLGGSGPGRGCGTFRSIRSRSHLIEPLVQTENSYVPQLYSENFEIEECTLAPVSSPASMRPFVVTDGRRVISKSCYEPVPFNIEFDKEEITNGSRIPGGVIGVTPLPDLKKRKKESREPHNARLGLSGTKRSSIPSGQAGLHDRMHIFSTGVTFGILSSSLSNKKECDALKGTLKRMENLVQDLQDELEMKEGLIVKELPNEKSSDHEDNNSKKDIADSEPMSKIEAELEAELARLELNITSKRLEEETSDFDEEFIGDIIQGELKVDMIRRDLTDYSTESDHVRDSRESPPDYTHGANYPVSPRDLSIRLHKVIQHRLEDRIKELESALGQKQKQTQLQMMATEQNFSDRICSNSESGSSSNQASPLFIQETNSLAEPYCLNLAGDALEAYDEAYEEFMRIADSPCTTSTNEKPLVNEDYLVDRGLVWGMEEDTVRKLKEVPTWEHILKSVDPNRAHENDADDEDETDDDDQDSKVLIQQILERTKQGSPVLINAQKLLFSVDQ >Et_2B_022284.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1738401:1738805:1 gene:Et_2B_022284 transcript:Et_2B_022284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRDHPQTPPRVPSWPRVVQHPWASCFSPGNSIIAIRKSRGDGAATIFVIASYACLVLLFYCLRWFEAAAPGSAAKDRARLGVWLTTTLLTAIFSWRVAALMPWAVAVGIWFMAASTILGGFYALFLHPRPGD >Et_1A_008484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8072760:8080335:1 gene:Et_1A_008484 transcript:Et_1A_008484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRFLATDYFAPTAAAADASSDQALALAALPFPSLPVPTLPPDPYLPDLDPFPADFLPAVSVGGDDVDSLPVDFALSQFLAAFIPRPLPVPDIPDAEEVDDYLYGRGANVKGFSSTDPVAWSVLNEISNEKCEKEEETSLGTSTITERWDLLKELRFEVIEVDFLQLPALSQPCGSVASKVLQRKLASLDGEESDSGVTVSFGIPEAKFHLDFIDIDTETTIAYPVELAESIYQVEKIPIIHDADKDCTYTRDNSCLEIAKLEPDVMIPQLEMSWYSWELDKCSAKAEISNIFLSVVEHLNDGAQVHHPECDSTEFLKSDVDMLSLVCKDAPRVDYQTDKSITAEAIAEMDLVRINDNILVDKKSAIYPLKPDGTCSELPCSVRFEEIEILDIPSNDAFKMLVQSEKVEMKPSDEIFKDDFDSARQFYESLVSSELALVDDTFRSLPVPILNDDKVMKSVLPCTEEVLSSLKPLPLSAADGIYLDWHLLLEEPCNREICSTYASMVQEVKPYSLSSELKTSCQQTSALGIDFLEDFKRSPMDQHEDKKREIYVPMPISHDPPANLETTHKKESDSTDHSHMKKLSSEKVSSLFESMPQSDGLNFYLNARSDNGVRNNMSIATLDIPPSKRQTVPVSVRPKIDKLIEIHPVSLSDLIRGLIKDIHVSYISALGESAYLRHSFSDGQGLSISKQKLLELITGEGSDGLYNNCKVEDKMVLTVLYALKQVAYYICFFGLHAAHLYIGNLTGSFENIPERLRNIQCCIGEAQFNAERQLFESHPSLFEIETILRSNTQIGQRILIVADRAFWLTLGQKLASMKMTFVEAGKYPAKDWVLKHSQIYDCILLDIKEIPASFPFSEFGIILEYGGAIKSSALLSLAPNLDGLPPLHFLYVKEDGEDFPVYLIEDNHTDQDLKTTMDTILHTLQKDLREKMNKMRIVDSLNFIPATNQVQHLQEKLNKHFTSDLSAKLPVDGHNHGNHGEKNIVGSHNLVPSAEQLDSLKQITIGNPQSFVPAIEKSSSTSSVSANVKKALQDNHSANDFPISAKIDNTESRRLPAPEAVIVVNTGNHGKCMLFSRRSSYQQILALEKGGMQVVERDVNLPVDLILSAAMCLVWYDVKTFGSSDLMVSAETPSLTYFTEDVATNILMSLSFSFSGCIMIFEGESHLLSAVTVASDSLYASAASLDMRLQIFFSQTPKFTDQIIFNCIRNALRINRVPSPNVFETESLAESFLTAFPSVNPLSAHMILSSGSLVNFLSWSHEQRIQAMEKHLLPPQSISLFNALCKFGELGESRSVMTDCSSVDSDISSALLHSPRRRKKRALQDVSVPINDHVRANTLNQLCGDYIEHDKVFSPPKLRKFSDMEDTLPELPEAFMFDQSLNLGSEGACYRPRKHDMNAVTGNQMMYDDFSNGLTPNLRTCNERASSMVDRCNFSSQSELGGKKPIRSAFAANRPSLGRTYSQPTFPTALEIDNDLGEWDIPCSTNQTGSGPLYGEFATSSCRDGRGSRYHEPGEDIMQNTASSLAFLNQDFGSRPTSRGSSWEIDYLRQMNEKRISRQERDGCKASAPLSNPRVRDCSPRTLNAPPIESFRYQRNTHTPSRDRNPSSNGSHRYGPAGSVRYQITIDTPVRDQGPSNGALRYGKGREGTRVQSNRLRKDCKIQPSINHEKSIGPSIEPSWTPVDKRERQKLSFTAYGKEKQSKLVWRHQNSPDVRGGGFRKRYREEGT >Et_10A_000265.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20625816:20626175:-1 gene:Et_10A_000265 transcript:Et_10A_000265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRGNGSGRGGARGARLELQLNLSPPAPPAAVEADDSDSSSPSSCVSSDGSPGGSRSPMLIGACTRCMMYCMVAKKDYPTCINCKQPCLVDLLHGEPAGAGEGAVVDPADDKKRGKRK >Et_8B_059735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:21028180:21035697:-1 gene:Et_8B_059735 transcript:Et_8B_059735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGRRGAKPGRKWTREPQLGDLVLAKVKGYPPWPAKVSKPEDFGQSPTPRKFFVYFYGTKEIGFVTLADLQEFTENTKNELLDRASSIKVPKKYAAWFEEAVEQICKAYDELPKSSETTSRALPDLTGKPSEHLVKSPDDSETLGLGQMEVDNPINNSDTLEQGSGNKEDTEGVGHERSDPSLAGSQKKKPLREDSDHPKTKKSVVSESAFDRYHEQEHSPTNVRAEMQAEEQPVEKESRPSDSSLLDPNLVVVCALEVPKKSKANKQLKHAERKENECADVGGSTGPIDPDASCDVVLNMSADKESREFKKSKIKAKQSLANDSEKRACNKVVCGKPEKQLTYGKSSAGFSSDKKSLPVSGRRKLDSRADTPPAKRPRLMERTSEIVKALVKSETKFVVNKEKDNAVKHDRSTARQTENNTVPKTGTSDDRARRSGSVLSPVSRLHSEAWKQGSGSATQSTVADSAKKASSMEDSSDRQLAKPKRRACRFDDDEEEGQRTPLHRTSAKSISTHVVPIDKSGARGKFSSKASNSSVKASGSAREEKLRSAGMSPVKHDPVGSSPSRDKMHVRQQMTGRKSIPGLVDSSDGLGNKISLADRNSSGQTKMPASSEVKKAQSTSKLPHQTTGNSHSRHHAASEKNSLLLISEHTKAKQKAGSQVATAVEKKVSATLLTERTGKRDHLKEERLSFVDKAAASSESNPDSVKSMKHLIAAAQARRNLIVSSQGKFDESLVDNCGLTSTPYGLPGLSHSPVLRIPSASRVALPESPGQPIVLKDLMELDHEQGKSPKLRQTSGSPNGGTDAAIARDALEGMIETLSRTKDSIGRATRHAIECSKYGIAEEIVELLIKKLESEPNLHRRIDLLFLVDSITQCSHSQKGVSGASYVPTVQAALPRLLGAAAPPGASARENRRQCLKVLRLWLERKIMPEDILRRYMSDIEMPSDDSSTSLLLKRPSRAERSVDDPIREMDDMFVDEYGSNATTIELSGILSSKIFENDEDFLQNNGSSPFILRPVESDGRQENEDTIALTSIIALPETVTTDAATENALELLRDKQQTDGAVLTEHDSNQELGSEQALIDDQNELPPPPEGPPPLPSDSPPSPPPLPPSSPPITPPPPPPPLSPASPPPPPPLPPGPPPQPAPPPLPTQMPPLASVPPPIPSSPSSLIYQPPAPEYFRPPNGTQQNQITGNTPIPAVGNTTSFIPGGSINGQATVNFVPPMPAEYGNSNVVIAPHASNSNYQFRPTGVPFQQGNFSAFPSAQTPPVHSNPRVAHMNPMGQQAVPPPCNHYVVQSFSNSQGHYPSEEHWRVASGNFSPDDQHINWLAGGRALSCSEGSFMQDGYSRSNIDRSSMNPMGHQHPVLNHLPSGAPLPGHVVPQMLPARSDIRTVLTLDMGGPGHRGSFEFDMHQV >Et_6A_047459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6083230:6088978:-1 gene:Et_6A_047459 transcript:Et_6A_047459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVACAERATNDMLIGPDWAVNIELCDIINMDPGQAKDTLKLLKKRLGNKNSKVQILTLYVLETLSKNCGDVVHQQIVDRDILSEMVKIVKKKPADLNVREKILSLIDTWQVAFGGPSGKYPQYHAAYQELRSAGVDFPPREENSVPLFTPPQTQPLRHPHLYPPPGQSYEDAAIQASLQSSAPSAPALSLSEIQSARGIVDVLDEMLNALDHRHPEGVREEVIVDLVGQCRSYQSRVMDLVNTTGYEFLSLFFLFHVFYNCDVHVIQRVDSLCRDESLLFQALGLNDELQRVLQRHDDIAKGVRPSPGGPLPVSANVNQGTAPPRSTGASFSPLLNVHEDDEPEDEFSVLSRRQAILVSLFNTSNFPYKKNESARDGTAAQSNMPSAPRNTRPYPSPLLPPPPASKRPVYTEASSVDYLSGDSYKSEKVPDDFINPTAPANISTSSHSKTDVNPPSSYDTKSDSVPDDFVNPTALPSFSAPPPPVMSESSRSSVKQPESLPDDDFINPTALPGFSSSSSKDSEDLPKAPWEAQAAGSLPPPPARYGQRQQYFEQNVYSGGSNGGGYDGLLTETENLSLNRRNSENERSTSRPTVSRPAKPEDSLFKDLVDFAKNKPSSPSKPANSRRTR >Et_4B_038526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29604930:29614957:-1 gene:Et_4B_038526 transcript:Et_4B_038526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSFNNCFCSYSCVLSEGPLTLYRNLVSQGKLTHDVYQENVASELQNLLRRLEQYEMEMEDYHTRLSIWEDSRENQRRRLLVEEAEGKQRDGVWIDEKKGILEKLAMRRRRIIEPGVGKWVSYLNREKKLDKLVGQRPVAPVAPKGLYLYGNVGSGKTMLMDMFYGATEGVIKHRRRFHFHEAMLEIHDHMHAVWKKREDDKSVQSNAFSWISNLPFDERIKEWLIGEEKYKQETQQKHILLAVADKFLVDRQANKTGASILCFDEIQTIDVFAVVALSGILSRLLSTGTVLDGMQRDIFLELLSKLDENCSKILVGTEKDYRRLIPTEGSTKVHYFWPLTSDTRSMYEATWHDIINQTRGNVISVTIPVMFGRSIEIPQSCNGVARFDFENLCGRPVGAADYIAIARNFHTVFISDIPAMSMKIRDKARRFITLIDELYNHNCQLICLASTSIDDLFQGTEEGPLFDLESFQFETEAEGTKLRRDVLAGGNVGSGPSTTGLVSILSGQEEMFAFRRAISRLVEMQTPLYLERAQQVHPSFRQQGPALASNRTVSQSAPCV >Et_3B_027568.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28201066:28205109:1 gene:Et_3B_027568 transcript:Et_3B_027568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARPDPEPPPIHRLLELIKSEPDPAAALGHLEFLVSTRPAFPPPQPLLFHILRRLATSSPTLLPRLLDILPRMRHRPRFSESAALVVLSAFSRALMPDAALAAFRDLPSLLGCNPGVRSHNALLDAFVRARRFSEADAFFASFSHGAFGRRLAPNLQTYNIILRSLCARGDVDRAVSLFGSLRRRGVAPDRVTYSTLMSGLAKHNQLGHAVDLLDEMPNYGVQPDAVCYNALLSGCFKMGDFEKAMRVWELLLKDPGASPNHATYKVMLDGLCKLGRFKQAGEVWNRMVANNHKPDTTTYGILIHGLCQSGDADGAARVFSDMVKAGLVLDVAIYNSLIKGFCQVGRVGTAWKFWDSTGCTGIRNITTYNIMMKGLLDSGMVDEVKELLVQLENDASSSPDKVTFGTLIHGLCKNGFANRAFEILEEARSSGKELDAFSYSSMINRFCKDGRTDDAIKVYENMINDGCKPNPHVYNALISGFCQVCKISDAVKIYNEMAVNGCSPTIITYNTLIDGLCKAEKYQEALSFTREMVGKGFTPDIRTYGSLVRGLCQDKKIDAALNIWNQIPDKGLRADVMMHNILIHGLCSAGKVDEASHLYLEMKETKNCSPNLVTYNTLMDGFYETGSMDKAASLWTAILDDGLKPDIITYNIRIKGLCSCNRIPEGVLLLDEVLASGIIPTAITWNILVRAVITYGPIQI >Et_3A_026788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20647880:20652582:-1 gene:Et_3A_026788 transcript:Et_3A_026788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLKEMKYRRRIGMEERPQCSVPRGAAADWAALQQDPVELLRKLDELKDQIKRSYQVVEPPREHRRVGRRAVSLIPEQPEPPPLPGYHRSRYGGRYGHGLPPSPYSPVRSEHGERYMRQSSGQYRQFTGRQWENGGLGQGSYHHYSCACPHCLHAQRAPLQEENIPMERYFAGQHESFRFERSPSVSSDYDRRSVASSLYSHRSVSKRRAEYFRKKAEHICRPVDGAAPFVVCSSCYQLLQMPMEKCIVRKQKRLQCGSCCQIFSLKHEEEKGIPFTQSASLYVPEMELGSSGQMRQDSAHPRHEDFDSVFYCSNEHSSMQINTDFADDNSFSSTVSRDRTEKECGSSRSIQSKADDLSFSPSRSVDVGSPKDILCERDADCKVEPSVDCRISPHSPVLEDKLVDPLCTQEKDNEGSLAYRIDLTSKGEHDVNNGVNVTTGGKQKVCEGDTDATEDENSCKNYEQRSKEDNCCNSVGDSKVHEQDSGSTADLEDVSEKFKRTNKMNDSGSTNGENMSKEYDQKSEGDGKTVVEAESNSIYGENHKADANGELESASRSERHEGLKMEDDGKLQQQFVEDASSLEESGSSVNGRTNSGFSRGSSETGLDEDQSSTGKSGDSSFFAGLKKGFKDLSLFNQSMDNVKVSINGHPISERALKKAEKKAGPVEPGSYWYDYRAGFWGIMGRECIGIIPPFIREFNYPIARNCAGGNTGVLVNGRELHQRDLDLLVGRGLPRESGKSYSVEISGNITDDATGKKLRSLGKLAPTIEKLKRGFGMHVPEEFR >Et_8A_057258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22961977:22968039:1 gene:Et_8A_057258 transcript:Et_8A_057258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PNTWPSPWSRSPSGEPSAPPLTISNPKTLARAPLLPPLAPPLAIRAAAAAAAAAARPRSPPSPETNSQPPPWGGISSSALPYKRTPPTWLKTAASDVEEMITKAAKKGQMPSQIGVLLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKKGISSSALPYKRTPPTWVKTAAAEVEEMITKAAKKGQMPSQIGVVLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYESTTASTLVA >Et_4A_032192.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22878589:22878717:1 gene:Et_4A_032192 transcript:Et_4A_032192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELAAANFINFSFIYRPREDANVLLEMIRLWILSQLFVSGL >Et_1B_012492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32430408:32430873:-1 gene:Et_1B_012492 transcript:Et_1B_012492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRSFARPAAAAFRRSAASRSPAASLPRSLPPIPRASAVGRQMALARSLQPLHSAVSAARLTSRLGAEVARAVSQGTLCSSYPGV >Et_5B_043197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16997038:16997376:1 gene:Et_5B_043197 transcript:Et_5B_043197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVACPKDRKAGAVGNLNYPSIAVPVINYGVPFAAEFPRRVTNGHLAGAGHQDHCRTGGAGVQCQQPDAELHGQRLRCPDGERHPRRVRVARLVRRPARC >Et_8B_060810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:853877:855798:1 gene:Et_8B_060810 transcript:Et_8B_060810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHFSTGEISALAPAAKETRMTADGGECFEFDGMVLAVTNGLEVAEVLEGGVVRMVDSESFFDASSGTMEHFVDAEGKTEAMLLLVSVRDDERRIVKTLYRLHKATGRIPTYDPSLPTGTKPLLQTMHCMNGANTLHANSQHKHDQSYGRRPEADDVATLATYTSIAPDQVLCPQRLVAADAQLLAQPPRTPHQPVVFDASPSPTAGELVVVQTQQHPELADQVPDGVGGEEAASSRSVEN >Et_7B_054394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20892975:20906453:1 gene:Et_7B_054394 transcript:Et_7B_054394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AFHEEVKPFATSLGVAMGDFNRKFPSHDQPQGGKAAPPPHVGRKQIFLAWFMLIFVGIVLAVLGLHMWVSGYQAELKKPPEYSVAIAGISGLPTSTAKAGNVLINPEFNLTIAVASQSKLAGACILPGTSIKVEREAGGGERFRVGHAGVPRQQPRRGRDARGGRVRGHHEDHEKQLLDDLNLLAETRSSRQYRRCRLGFNRPPLLPRRGDGPSSRPGEVPVPNHCTSCHNILCNLLIFLIMALVAAAIIAILKDPPHYSVAVAAVSGLDFPAAALDQVFNVTVRVTSRSRDEECVDPATCRTSAWRSPAGHCAPPWKKEDRDVVARGKAVVVPGFMLDSLAEDVRTGEAVFEVTLMKPVGTVWLVHTCWAKVGDTASLNVPCIKSYVDADVPVPTPTHTT >Et_7B_054078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15047207:15049008:1 gene:Et_7B_054078 transcript:Et_7B_054078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRRQTKGAGGASRRRTKNREEAATASTDPTKWRRTSSCGCRPWPSPAASRRPGTASSPRSPSLAATARPPWRAGVAPRFVSVPIDRDGHTRPHKTCPGCPRVFSGAGRACHGAVIVGWLCEGEFSVLNPSTGGELRLPPRRPARNIHSAGLGYDDGEGRHKAVLLEGGHLWVRCSVFTVGGSSVQWRWRAPRCRRKPLISDDDLVSANMDPVFADGRLHWMLVSRPEANGLRGIQIGVLSFEMRSESFRRLPMPPFADENLPRPEYATMAEVDGCLCLVRDLRRPLRGIAVFDVWILRDYNALSWSLDRRIDLTLHIGKVLTTPWEGNLFVVVCYAGGQQSSGESQKILLMTTGGASQRAYMYQPDTGELCSVVGRNDFGVQQHLRLVLYQESLLQVDRMVYVDNDFTFNVVETTVQQHLRLVLYQESLLQVDGMVYVDNDFTL >Et_1B_013466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8674571:8676805:-1 gene:Et_1B_013466 transcript:Et_1B_013466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFNVVQKNRREWKQDRKRQAHGEPGTGKLKQRTAPVSVSGKRKRKLQRRQNREQKEATMIKALENNMGDVDMVSAEESSEAAKGKPLVKFNVKKNSRIQIKRLKGKGRKKAKNVKPPTKEKVDAMVE >Et_9B_065921.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17705262:17705618:1 gene:Et_9B_065921 transcript:Et_9B_065921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAIVAQVWRRWSHGSVQELLDCCPADGRQPQEVLRCVHVGLLCVQEDPELRPGMAAVVIMLNSLSITLPAPTTPAYAVVTRPAVDEHGRGMDRGGPGVAARLPSINDNSVSDLEPR >Et_4A_032941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1593815:1596320:1 gene:Et_4A_032941 transcript:Et_4A_032941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQRLFRAAASGGVAAASRRGMTSLAAEQAAPSATAAAAGFPFGAEEKLRRRPAAERNVQWVFLGCPGVGKGTYASRLSRLLGVPHIATGDLVRDELASTGTLAKQLAEIVNQGKLVSDEIIFNLLSKRLKKGEDQGESGFILDGFPRTVKQAEILDGVTDIDMVVNLKLREDVIVEKCLGRRICGQCGKNFNLACIDVKGENGLPAIYMEPLLPPNNCMSKLITRADDTEEVVRNRLKIYNDMSQPVEDFYRSQGKLLEFDLPGGIPESWPKLLHILNLEDQEELKLAAA >Et_1B_011443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22293852:22299281:-1 gene:Et_1B_011443 transcript:Et_1B_011443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVYDPMTGDRTFTLIGKTDTCGSTSTSCSPQPMASAAPTCCSPLRDCSICVRTASSDTSGQWSPVSHVGNPGPPLASLVFCNNPATVVLGGVVYLLVLGRHSVSMLMPLLRLHSLVGWWRDEEEDESEEDDDNDDFEEFCSWYSDCVRVEGIGDQRSGVVFLRLPNSKFLLDMDTAEVRRMTRRVGPYGVPYMVDLSSRLSTMKILQWMMCLAVVGDTEIEMRGRRGGKQRAAGVTRSTTLTRYHLDRFSSQDKLSTTTRPAPWLPTELVLEIVDRCDPATLIVCAAACRLLRRHILDPAFIDRLRFAASGCLLGLFYRHYEGEPAAQRPPPFTPTTATPGVVVRSPFAPDVLDVDGGEYTPVESRGGLLVLRRSALFAERAGLCVLDPVTGRRALLQPPEVHHQSYALLLLNTTRDASNDGGANAAGSHGVSGMFRRLVVVDLSGLLFRSTLGAVQIPYSHHLCRNTHPAQNQLLASTAKGELSILVAEGLVISVWALQATTGDEWTRRAAVDLERILNVPAETVLWRNWLLGSVVRLEWAGEMSGAVVAQVAGVGLVVLDLEKEEAVCA >Et_2B_021328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28748571:28749391:1 gene:Et_2B_021328 transcript:Et_2B_021328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGKAALLVAVLLMGVASQCSGTRSLQGDHHLAEQKCTYWKSHPDKIISCIGSLGSILGSLGDVCSAFFGSKLQTLQDALCNTRKDCYGDLLREGAAAYLNSIASADKYAYTTQQVKDCIAVALTSEVAAAAQAAMFKKANYACHY >Et_2B_020617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2206481:2209093:1 gene:Et_2B_020617 transcript:Et_2B_020617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGGHRRSRTTAVLLTLLCSSLALSAAAQKYNAIFNFGDSITDTGNLCTNGKPSQITFTQPPYGETYFGMPTCRCCDGRVVVDFLSSKFGLPFLPPSKSTTADFKKGANMAITGATAMDANFFRSLGLSDKIWNNGPISFQLQWFQQIATSVCGQNCKSYLANSLFVFGEFGGNDYNAMLFGNYNTDQASTYTPQIVSTITNGVEKLIAMGATDIVVPGVLPIGCFPIYLTIYGTSNAADYDSLGCLKKFNDLSTNHNNQLQTQIANLQAKYKSVRIMYADFYAGVYDMVKNPQAYGFSTAFQTCCGSGGGKYNYQNSARCGMSGAYACSNPAAHLSWDGIHLTEAAYKQITDGWLSGSYCRPAILHS >Et_5B_045735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8411625:8413077:-1 gene:Et_5B_045735 transcript:Et_5B_045735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITNFFSPLPLLVIMPCAAELVHGKGGTIKVGTTGTIGSLMTRELETIKVAPQAAATPRLRRQGCPVSVPCGASPRKIVLKKSASNVSNNNSNNGRTDRVSAEEASKTRRVSRRHTFSSPILHSDGVAVDRSPNAEKVKKKGNRYGVEVVDVRCSNPMSSRLRKLGFSKLSETFA >Et_8B_058615.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1088865:1089590:1 gene:Et_8B_058615 transcript:Et_8B_058615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMASRPRALTSNASSTSFCVKPKRCVMSGLTSTLPERRNSMQSGHVSLYRNIPTTSTSRIAADVSGTVISLCPSPTKHTLPPGRVASSAVATVLLNPAQSRLTSISAPIAAWIRAASSPTSAASADTRTAASAPSDAATASRCSSTSTTATRGAPKALAASSATRPMGPAPRTSTAVSGPTPARRHACTPTLSGSHMAPSSRDTLSGSLKHRSAGCTTWWASEPWTGGVAKNFMSSQRL >Et_7B_054324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:239717:241655:-1 gene:Et_7B_054324 transcript:Et_7B_054324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKEQAVKDYADFEDKVKRTIYIDQLSPQVTSPVIKAALAQCANVVSVEFIVNYTIPYDIPSAALVELEDEMQAKAAVELMNDFPFIIGGMPRPVKAIPARPEMFRDRPPRPGIKKDFRWVKQGDDEFETMKKLKILAKRQEAENMALIKTQLEEEKELAKQQQDLLDGNCKKYDMLETVMQNGAIKKLAHRYGLIYKKSGLGVEIMTEGIYMNENRV >Et_1A_007553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35795422:35827963:-1 gene:Et_1A_007553 transcript:Et_1A_007553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAALLLFLFCALGTAVAEKSSYVVYLGDHAHGAQLSTYGAEELAAVESNAADAHYDLLAGVLGDKDKAREAIFYSYTKHINGFAANLNAEEAAAIARQPGVVSVFPNRGRQLHTTRSWQFLGLAGPGGVPRGAAWRKARFGADTIIGNFDTGVWPESDSFKDDGMGPVPSQWKGACENGQDDKFFCNRKLIGARYFNKGYASAVGAFNASMFNTPRDTDGHGTHTLSTAGGRAAPGAAVFGFGNGTASGGSPLARVAAYRVCYPPVNGSECFDADILAAFDAAIHDGVHVLSLSLGGEPGDYFNDGIAIGSFHAVRRGITVVCSAGNSGPALGTVSNVAPWILTTGASTMDREFPSYLVFGNEFTKIKGQSLSATSLPEMTSYPLIDSVQAAAANATEKDAQLCMDGSLDPEKVKGKIVVCLRGISARVAKGEVVRQAGGVGMVLANDETTGNEIIADAHVLPATHIKYSDGQVLYAYLKSTKTPMGLITKPATILATKPAPFMAAFSSQGPNTVTPEILKPDITAPGVSVIAAWTRANSPTDLSFDKRRVAYNSESGTSMSCPHVSGIVGLLRTLHPDWSPAAIRSAIMTTAMEMDNKHEPILNSSFAPASPFGFGAGHVNPVRAMSPGLVYDLGEADYLDFLCALRYNATVMAMFNGAPYTCPGEAPRAVADLNYPSITVVNVTAGAGATARRRVKNVGLPGTTYEAFVTEPAGVSVTVTPSVLHFGAKGDEKGFEVTFQVKNPALAKDYSFGSLVWTNGKQFVRSPLVVKALLFNPLLKG >Et_1B_010394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10025145:10028532:-1 gene:Et_1B_010394 transcript:Et_1B_010394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGAGGAAAVHHHARSPEDVFRDFRARRAGIVKALTTDVEKFYQQCDPALGINFARDGMVEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKEARRRLFTMINNLPTVYEVVTGVAKKQTKAPNGSSKSNKSNSKPPKQNNSNNKPAKPTNPKDEEDSGREDAGDEDQAYLCGSCGESYTNGEFWICCDICEKWFHGKCVRITPAKAEHIKQYKCPSCSSKRSRE >Et_10B_002507.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:12485144:12485347:-1 gene:Et_10B_002507 transcript:Et_10B_002507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALISSHQRQKFVQPQKLKLDFLHQQAIKVMLAVNLAIVPGRKNEQKNSTRQLLVLDIHKVSCIAF >Et_1B_012934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4170597:4173651:-1 gene:Et_1B_012934 transcript:Et_1B_012934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFLLSHSRELESNLALAPYHQLHAAAPAMDAVLTPLLLGGGLSASTYAATRTVSTHYPSPFAVPSTARQRSRRRFPLLATAAESPSAPLAADSSAIHSRFSRWVVVMDRPPGAAGGNGVSRAEAVDYYAATLAGVLGSEEEAQMRMYDASWDGRYEFRCEIDEEASRELAKMHGVLSVRPDMDDESAKYSHNSSLKTSNFVGIADGASVPSSSGKNEFWIVRMEKPGVEVVTKAQMVDHYTQILTKVLGNEMDAQVSIYHISWERDYCFCCHIDEDCAKELADIPGVLSVRPDANFGSDNKDYKGDDRLASSEGTEVADVKTKRLFVTGLSFYTSEKTLRAAFEPFGELVEVKIIMDKISKRSKGYAFIEYTTEEAGGAALKAMNGQIINGWMIVVDVAKTRSRDSQSGGPNQTFRPTYRSR >Et_10A_000133.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:1050827:1050916:1 gene:Et_10A_000133 transcript:Et_10A_000133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQACRLLKVVTMELFAKHGWKFSNRLCY >Et_4B_039963.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:6885624:6886391:-1 gene:Et_4B_039963 transcript:Et_4B_039963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQKLPYSTGGQPSSATGGAPVPGVPSATGPPPVPHHHLLQQQQAQLQAFWAYQRQEAERASASDFKNHQLPLARIKKIMKADEDVRMISAEAPVLFAKACELFILELTIRSWLHAEENKRRTLQRNDVAAAIARTDVFDFLVDIVPREEAKEEPGSAALGFAAAAAGGGAVGAGAAAGAGAPAAGMPYYYPPMGQPAPMMPAWHVPAAWEPAWQQGAAADVDQRGNFGEDGQGFAPGHGGAASSFPPAPPSSE >Et_5B_044580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3710887:3713238:-1 gene:Et_5B_044580 transcript:Et_5B_044580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWKQVFSKTEFHVLILGVHKAGKTTLLEKLKSIYLKVEGLPHDRIVPTVGLNIGRIEDTNVKLVFWDLGGQPGLRTIWEKYYEEAHAIIYVIDSSAASSFEDSKSALEKVLRHEDLQGAPLLVFANKQDSPAAVTEEELARHLHLKELDERPYMFVAGSAYDGTGIKLGVDWLVEQMGRSKRTEALRARTEAAGKI >Et_5A_040263.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:8141963:8142271:1 gene:Et_5A_040263 transcript:Et_5A_040263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFAFVRRARRPPATTSAPAPAAAPEDTTGAGAPVEKRRRRPSSSSAWKPTLVAISEDAAVTAAAMAKAQQQAKPAAAAAGKAKVRRPPRAPRATSYDDFR >Et_7A_050399.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:12936953:12937261:-1 gene:Et_7A_050399 transcript:Et_7A_050399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAAADGTRSPRRQQRPQHLADDHHQDVVMPRRTRSGRAFPPPISVIGRSGRPWLRLRAHREGGRLVLREMRLPSQELLQPCKEDGRFKLLVRTEGHGRC >Et_1B_010601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1251097:1253540:-1 gene:Et_1B_010601 transcript:Et_1B_010601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRINPPRKPLALVPRAFSSSSNPPFPPPPPPQNNDHHDATSSPFPPPPNPGTRPNPSSSLFQDIRERLQSSPSPPPPRRFPMNPPRPNSGGGGNPAQNLEEVRRMLQNFRAPQAGGGAPSTPAPGATPSFQDLLRNNAALGPDAGNRTVSGFESIRNSLKINPQKRPPRSPTPFLPPTQHNIFNKELGEKTGRAEGLEKDSVIVLTRDYSYEELGKRLGELRPASVAKDGKDGFSLEELQERIAKLRKAEEQEDKNTDNNMPMSEIRKSLLSLRHSGLGAARAAAPVQMSLLGNIGGQNMLDYMRQPPQEELLERYFHPDHMSSEEKMKLELQKVRDEFKMSENDCGSARVQIAQLTVKIKHLSSVLHKKDKHSRKGLQDMVQRRKKYLKYLRRTDWDSYCLVLSKLGLRDVPEYKAPDYKSMSTSKAKSKKSKSKSKRKMKA >Et_4A_033216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1995597:2000247:1 gene:Et_4A_033216 transcript:Et_4A_033216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLQQHHRSRSASSFDARSLGSTAATVAAEAVECPFGCVDGLGRAELREAAYEVFFMSCRAGGPSGDRGGDGGSPTIGAGPRGGTGMNVVSSRVKRTLGLRARRSSQPTTVRSSVNPASAPGSPGRVRAVREQQQQAAPGSPGRPRRPMTSAEIMRQQMRVTEQSDARLRNTLMRTLVGQVRRHCCVIVSCVAFTCGVRSMLQVGRRADTIILPLELLRQLKLTDFADSGEHHQWQRRQFRLLDAGLILHPSLPLDRLSAPVLRFREIMHAAIDTGKATDTLRALCDAVLALAWRSAPDEACHWADGYPLNVLLYVSLLQAIFDRRDETVVLDEVDELLELMTRTWATLGVDRMVHNVCLAWVLFQQYVATGQVQPDLAGAAVTMLAEVAADAEQERRDLVYLRVLSSALAAIHDWSEKQLLDYHDRYGRCLGVGAEALESAMSLVLSTSKIFAENLPGMGIISSADVDRDGSVTESFYCGRVDYYVRSSMRSAFTKILENELGEGNSMIADGDDEPSEILARLAKDAEQLALFERDNFTPVLRRWHLFPGAAAVATLHGCFGVVLKQYLAKATSLTNELVHVLHAAGRLEKALLQMVVEDAADTNDGGRSVVREMVPYEVESTVARFLKTWIEERIRAAKECVTRAKDTEVHLVISLRLYISDCPAPLDVKSDMLNSWIPKSKNEPYAQSAVALMKLARATVDEFFGIPVSARDDMVQDLADGLGGIFQEYISFLASCGTKQSYLPSLPTLTRCNQDSKIIRLWKRAATPCRSPASPRCGVSHGQSFSSTGGHNPRPSTSRGTQRLYVRLNTLHYLLSHVQALDKSLSFFSGGRCASSPSASANRRLAPPSYFDHARAAAQSAVGRVAEVAAYRLIFLDSHHSFYDGLYAGGVTDARIRPALRTLKQNMSLLVSVLVDRAQPVAVREVMKASFQAFLIVLLAGGSDRSFTVEDHAMVEEDFRSLKRAFCTRGEGLVAEDVVEAEAQAAEGVVALMAQTAEQLVEEFGIATCETTGACSNGQRLPMPPTTRRWSRTDPNTIIRVLCHRDDEVASHFLKRAFQLPKRRYQAPTVPKIGMSSGLGLGDLDLLGDELAEDALMSSTVRAPRIGRSSRLIGE >Et_2B_021958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7318168:7322438:1 gene:Et_2B_021958 transcript:Et_2B_021958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKLSSLLEALAELPNLVLLHLNRVYNAEKLVFRSHAFPKLRAFRICKLEELRELAYEEGTSPHLESIEIEYCSLILRLNGIKYLTNLRTVSIVGGGLANADMLGEEVDAHPNHPVLEMGSVKKIVPVEAVESLSELGESSQS >Et_5A_040324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13898438:13898910:1 gene:Et_5A_040324 transcript:Et_5A_040324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFMSLQATATATSTYRTLLTALSSSEGGRFPPVTCVVTDGLLPWASDIAEELGVPAFGARRAPVPSRRRPRRSSAPCAAFRAWRASCGDETFRACAVVPTRPTAKSTPCCACSPSSPRTAARHGR >Et_5A_040132.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:14265989:14266529:1 gene:Et_5A_040132 transcript:Et_5A_040132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDLICIEADNIVPCPKIKAKWDKLGEGWFKVNADGAFDVNSSTGASGVVIRDHNGEVSLAEARWYDFLLDALTIEAIAARDGLIRAKESGCEKVILELDSLLLVDSLNSSTMDNRSAIIGKKYKS >Et_1A_006745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27046658:27053614:-1 gene:Et_1A_006745 transcript:Et_1A_006745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRPALPPPRASIDATTCPEGEIESSATNGPSRRRRRGSPPSPGLATGLPPRVAAPEPRSDDAGVRRRRSSGPSIAAVLEDLPDDLIAKILLLLPQDDPCSLLSASRVCTRWLGIVTVPGFLRRPEAFHRTPTVLGLFDMDICGGSDPDAPRFITTTASAFSLPSAMPYRCPWELELLDCRHGRALFFAWKSHDLIVWEPLTGERWLVPKPPGYVLHYLKPNAVVVCAVKGCDHRCCQGGPFLVVFVVEDDPHFGMPEELEACVYSSVTGEWGEWLKIDADVGAIAMPSSILIGRNLYFRRGEHILEYDLDGHRMSLICPPWDQFGKFEEYGMAEDDGRLGVFVLSPLGGSTTLSLWSMDQKDDDARWARRWVMQLDDPLQLQDELDVRLLGFAEGVNLTFIQAHDYVFTIDLKSEQVKKHCKSIEFDKVLPLVTTYRPVAAEPRSDDAGERPRSAGPIAVALEDLPDDLIARTLLRLPQDDPSSLLRASLVCKRWLGILTDPDFLRRPGAFHRTPTMLGFFDPGTSDSDTPGFIATTAFSLPMPSAMPDTGKWVPLDCRHGRALFFSRCSHDLLVWGRYVLHFLCPKAAVVCAVKGCDHRCCQGGPFLVVFVFSDDDSHFGLWEEAAACAYSSATGEWGEWRTIDIDASAVRDVPSILVGRNLYFLFLLGGQQILEYDLDRHSMALILPPLDQFGKFDEYGMAEHDGRLGVMVLAGSKLSLWSTDQKDDGARWARRWVMQLKNPLHLRAEQDVGLLGFAEGVNVTFIEAGDYIFTIEPKSGKVKKYRRWGGELRKVLPLVTFYRPGPVRRQGREEVPSSSAGRPTSPRGRTGGAEARRKPEGRARGGRSPIPNSSVMTGSTTKAANFGPERS >Et_2A_015829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18203099:18208469:1 gene:Et_2A_015829 transcript:Et_2A_015829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQQSAAMSAPPPRKRKKKGRPSLLDLQKRSLRLEMMQEPAAARRPSTRRNPGPGSADDSDGPAAGGRREKKLRLVMGLHGESAKGEKTRKATDGRQEPSDSGPTTPLPNKKLLLFVLDRLQKKDTYGVFSEPVDPEELPDYHDIIKHPMDFSTVRKKLEKGAYSNLEQFEDDVYLICSNAIGRHAPSELELSRRAMIADVLRASFANQRNEYNWSVQRKSESFEDHPGSGSKWSANGKKPLLVEDSRQSTYYEAQPSSSMYELPVSSSYNGPRKLLVGVQLQQSYSHSLARFAAQLGPVGWEIASRRIEQSLPSGTKFGPGWVGDDEAPRTFQPPVLASFSEAMAPPSNIVSSSEQSTVNSPATTDCAASASHLAGSQPHAVSYASTSTAQRIDSRELPVQNSMEPGGHGVEMKGNHNRHVNPVMQQTVNGFNAVPGPMLFPAAAQLVVNQMQTHTAE >Et_8B_060339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9858919:9866411:-1 gene:Et_8B_060339 transcript:Et_8B_060339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKDPGADMEALVRRLRLHQAAPSAYDPSPAVTPSVAGGSGELFRPRRAAVLVCLFRGATGELRVILTKRASTLSTHSGEVALPGGKTEEGDADDAATALRESQEEIGLDPALVTVVASLEHFLSKHLLVVVPVVGILSDIQAFKPILNVAEVDEIFNVPLEMFLKGAAKSPGLDMEALISRLRLHRPGPSPYDPSPAATPTPAAPAAGELFRPRRAAVLVCLFRGAAGELRVILTKRSSSLSTHSGEVALPGGKAEEGDADDAATALRESQEEIGLDPALVTIVASLEHFLSKHLLVVVPVVGILSDIQAFKPVLNVAEVDAIFDVPLEMFLKDENRTSEELEWMGQAFPLHRFSYHKGNQKYIIWGLTAGILIHAASVVYQRPPDFAEKRAQFNLPKYSKGLFFNAMIRTQFSTAC >Et_7B_054824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4690377:4691322:1 gene:Et_7B_054824 transcript:Et_7B_054824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPACSEFPEWRAPAAAATRPYPVGLPSSNVGVLACGDHCLVVVPIRQFDADTWMMKYDLHVFSSKTGSWSTKAASFEPTKVFSVDGGSLAWVDLRYGVLLCNSLLEDPELRLIRLPPLMRTNKKNFHICFDGDLPPLDLIRDVTFTNGSFRFIEMEYPDFDDAVDDTELSFRWTATTFRIMVGSEEWEQEPLCAVDSAHLSPADSCFPCLFPEIWDCKENKLLNRVMSSNPTLDMYRDDALYMISKTDASVPNRWVLAVNAKSKELEKVLPFSAQRLYCEPYRQCSFSKHLRKA >Et_2A_014513.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18240433:18240999:1 gene:Et_2A_014513 transcript:Et_2A_014513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LQHSRPSPPSPALHPAARCSRLLHGDSRPFPPTRFIRSTKRRLAQARFLLRRRGDRSPTGRRDHPPMSLAKRYVLRLFISLKYVTANVVDRQSGRVVVTASSVEKPLRDGLECGRTCNAKAAAAVGEVLAMRLKVDGLAREPIHASAAKEIEKKGFKNRTRVWAVLNALRNHGVNLRVDDDGDHRPHV >Et_4B_038382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28604896:28608349:-1 gene:Et_4B_038382 transcript:Et_4B_038382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDLPSRGSGSPPSAVSQPRKRKRGDAAAEDGAATPRRATRRSTAEPDVDGAVQWARRAATGALWAVRGRNRGLEDEERVGAMILTLRHELSVLEPDDPQSPYAKKRIQRQGTRSSERIAGDLTRLPSYLSTRRHIGIDPVQYQASVPEWKNAPSEEDKADYKTDYETLQKMGTVITMPLNVGPWKTRKAADDKCRCSHPGSETCVEVHVKVARNNVRSQLGEKAFRSCGLDAMGEQVLKLWTAADKKKLNEIEKLIPQNKHENFMKIALKQFSSERKTDLAKYHFNVFLPRRLASLTRAEAPNAIDVSTDDEGHDQDDENNGHYSEQKSGKSRSSSKRIMMVQEVGESEGADFLSKRRK >Et_4A_034498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3888310:3891273:1 gene:Et_4A_034498 transcript:Et_4A_034498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQQQQRRTRTSVPAVSRVAIIGGGISGLAAAKQLAAHDPVVFEATPSVGGVWKHCVYRSTRLQTPRPDYEFSDHSWPNREDPTFPTHSEIVDYLEGYADRFDLWRYIMFGAKVVGVKFLGGSGAGCFTELWSATGEPLQGKPVWEVGVATGGGGDPDDVKWFRFEFVVVCTGKYGDVPRMPAFPRGKGPEVFKGQVMHSLDYCKLGEEETVQLMKGKKVVVVGYKKSAIDLALECAQANQGEGGEACTMLVRTLHWVVPSYSIWGLPFFLFYSTRLAQFLYERPNQGILRSMLCSLMSPLRAGVSKFIESYLAWKLPLDKYGLRPDHPFVEDYASCQMAILPDGFFDMADQDMIRFKRASGWCFSENGVVLDDGTEVEADLVFLATGFEGKDKLRAVLPEPFRGLVQDKSGMMPLYRGTIHPLIPNMAFVGFVESVSNLHTSELRCRWLAGLLEGRFALPSVEAMVRHVDGEAEAMRRTTRFYRRHCISTYSIHDSDAMCADLGSRVHRKANWIAELFAPYNNQDYKEE >Et_7B_053670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1100368:1106216:1 gene:Et_7B_053670 transcript:Et_7B_053670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAASIGQPPPAPPPAAPPEEEKKCLNSELWHACAGPLVCLPTVGTRVVYFPQGHSEQVAASTNKEVDGHIPNYPNLPPQLICQLHDVTMHADVETDEVYAQMTLQPLNPQEQNDAYLPAEMGIMSKQPTNYFCKTLTASDTSTHGGFSVPRRAAERVFPPLDFTQQPPAQELIARDIHDVEWKSTQTTPADYWLENEKNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLSKYIKAVFHTRISVGMRFRMLFETEESSVRRYMGTITEVSDADPVRWPSSYWRSVKVGWDESTAGERPPRVSLWEIEPLTTFPMYPSLFPLRVKHPWYSGVAGLHDDSNALMWLRGVAGEGGFQSLNFQSPGIGSWGQQRLHPSLLSTEHDQYQAVVAAAAASQSGGYLKQQFLHLQQPMQAPQEQCNLNPLLQQQILQQASQQQIVNPEAQNIQSVLSPSAIQQQLHQIQQMQHAHNDQKRKIQHDQTYQVPNNEVLPSPTSLPSHLHEKFGFSDPNVNSSSFNQSLFSPQVDSSSLLYNMVPNLTSNVADNNVSTIPSGSAYLQNAMYGCLDDSSGLLQNTGENDPTTRTFVKVYKSGSVGRSLDITRFSNYAELREELGQMFGIRGQLDDPDRSGWQLVFVDRENDVLLLGDDPWESFVNSVWYIKILSPEDVHKMGKQGNDPRYLS >Et_3A_025951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34186876:34188615:-1 gene:Et_3A_025951 transcript:Et_3A_025951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITSLLVIKSPGDSSSSSSSAGEQQQAVVLANATDVTHFGYFQRPSAREFILFVGRTVALRTPPGRRQSVHCYNQNGLCAIAFTDDHYPVRSAFSLLNKVLEEYQKAFGESWRTAKADVTEPWQYLNDALIKYQDPTEADKLLKIQRDLDETKIILHKTIDSVLARGERLDSLVAKSSDLSAASQMFYKQAKKTNSCCTIL >Et_3A_027312.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7353046:7353441:-1 gene:Et_3A_027312 transcript:Et_3A_027312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDRSNDDDGRHGGGAGADLISGLPDHLLHTVLLRLPGTADAARTSVLSWRWRRVWTHVPDLVLRYSREPPSRALVVDRIDAALAAHAAPTINRLEIATPLGSRDLPADRVSAWLRVASQGRSGSRCSGL >Et_5B_043523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11439565:11441178:-1 gene:Et_5B_043523 transcript:Et_5B_043523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPLESLMPLDPETFAGESSAVVDFLADYYRNVEKYPVMASSKPGSIRKLLPDAAPELGESMDRILDDVQRDILPGLTHWQSPGFFGYFPANASTAGFAGEMLSAGLNVVPFTWMASPVATELEHVVVDWMASLLGLPERFHFKGGGGGVLHGSTCEAVVCTLMAARDRALSKLGHEGILKLVVYASDQTHATFQKGASIVGIPPANFRILRTSADSGYGLTAEIVQRAVEEDVARGLVPLYLCATIGTTGLGAIDRVRELGHVARRYGTWLHIDAAYAGSAAICSEFQGHLDGAELADSVSMNPHKWFLTNMDCCCLWLANPSAMTDALSTEPEYLKNVTGSAASKQTMAADTVDYKDWQIALSRRFRAIKLWVALRRYGATGMRAHIRRHIWMAKWFERVVAADERFEVVVPRHFSLVCFRLRPPQFMEDKAVEALNRELLAAVNASGRAFMTHFVVDGKFVIRLAVGGAMTGMQHVRGAWELLKEKADELLASCQYNL >Et_7A_052813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17211913:17215464:-1 gene:Et_7A_052813 transcript:Et_7A_052813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGTTPTTMRAVQYDSYGGGAAGLKHVEVPVPSAKKNELLLKLEAAAINPVDWKIQQGQLRPLLPRKFPFVPVTDVAGVVVDVGPGVNGFKAGDQVVAMLNSLSGGGLAEYAVASESLTVKRPPEVSAAEGSGLPIAAGTALQALKTIGAKFDGTGKPLNVLITAASGGVGLYAVQLAKLAGLHITATCGARNMELVGSLGADEVLDYRTPEGASLKSPSGKKYDGVVHCTVGISWSTFEPVLSSNGKVIDLTPNFSVILKSVLHKVTFARKQLVPLLVSPNKAELEYLVALLKDSKMKTLIDSRFPLSDATKAWEKSIEGHATGKIIVEIEG >Et_5B_045295.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1725188:1725652:1 gene:Et_5B_045295 transcript:Et_5B_045295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSSVFDPFSMDLWDPFDNMFRSMVPSSFGSSGGDDTAAFAAARVDWKETPEAHVFKADLPGVKKEEVKVEVEDGGVLVISGQRSREKEDKGDRWHRVERSSGRFERRFRLPEDAKVEQVKAGLENGVLTVTVPKAEGKKPETKAIEISG >Et_9A_061210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22077055:22077429:-1 gene:Et_9A_061210 transcript:Et_9A_061210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_9A_062366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22245133:22251951:1 gene:Et_9A_062366 transcript:Et_9A_062366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMLGLRRGGGGSPSAGEADASPSVGNGDGPAGPARPLRLVYCDDKGKFVMDPEAVAALQLVKGPIGVVSVCGRARQGKSFVLNQGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRASGGQSTASELGQFSPVFVWLLRDFYLDLTEDNRKITPRDYLELALRPVQGGARDVSAKNAIRESIRALFPDRECFTLVRPVNNEKDLQRLDQLPLSNFRPEFRSGLDAFTKFVLDRTRPKQLGASTMTGPILAGLTQSFLDAINSGAVPVISSSWQSVEEAECRRAYDAAVDTYNSSFDRKRPVEEDSLRESHENALKKAIATFNASAVGAGSARSKFEKLLHSSLKKTFEDYKRSAFLEADLQCSNQVQSMESKVRIACSRPDAKLDDIVRLLDGLLTEYESTSYGPGKWRKLATFLHHLAGPVLDLFKRQLERIDAERNALRLKCNSNDDKLALLRKQLEASENNRAEYLRRYEEAINDKQKISKDYSGRITELQNKGSKLEERCMGLSSALETARRESTDWKNKYEHNILQQKADDSKLKSQIASMESRVNISEGRLSAVREQAESAQEEASEWKRKYEVAVSEAKAALQRAAVAQERTNKKVQEREDALRAEISHQLSEKEEEIARLNAKINQTEIHATSLISRLEATEAKLKSHESDSLALKEEIKMLTDNLESIRSEAVSREKEVRILEQEKNHLQEKYLSECKKFDETDIRCKEAEREARRATELADVARAEAASAQKDKGEVQRLAMERLALIERMERQVEALERDKAKMAEEIEKLHQSEMDAESKVALLEKSVDEREKEIDEMLKRNNQQRSSTVQVLESLLATEREACAEANKRAEALSLQLQATQGKLDMLQQELTSVQLNETALDSKLKTSARRVRDTYNGSQQGTETEDYTKFTVPKLRQELTKHGFGAQLLQLKNPNKKDIVALYEKHVVGK >Et_8B_058527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13081196:13083856:1 gene:Et_8B_058527 transcript:Et_8B_058527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RASLKNGVVCSLKDNGGGESTFCVPVPSETVGLGGQGTGGTPRSNAVREKGGKLWRRLRGGKKLVRHRALKHGPRKDRQGSKIVVNEDDVNTILSGITLESSIDECNSVLIRLEKHSDKKALDFFDWMKTNGKLKENADAYHLALQAIAWKEDWKMAELLLHEMVADSNCTLDARAFNGLIYVCAKRRLDDWGTKWFHMMLEREVQPNVSTIGMLMGLYQKTGKVAEAEFTFAKMRSCNVKCVNAYSAMITLYTRLGLFAKSEDVINLMKCDGVVPNMENWLVRLNTYSQQGKMEEAELVLQSMVNEGFTLNVVAYNTLITGYGKISDMQKAERLFDSLGSAGLAPDETTYRSMLEGFGRANKYEKASLYYGKLKSSGFRPNASNFYSMINLVARHDDSEGAVEILEDMRAAGCQCSSIVTVLVRAYGTVGRMHKVLPILRSCMYKKILFDATSCSILVTSFVQNYLLEEALCLLREKKWKDSDFEDNLYHILICSCKEAGSYNDAVRIYNQMPKSETHPNLRISCSMIDVFSMIERFSDAEIVYLELKASSYVLDMIAYSVVVRMYIKAGRLADACSILEEMEKQKEIVPDKYLFLDMLRTYQKCGLLEKLADTYYWIRKSQVEWDESMYNCIINCCGRAIPVDELSRIFDEMIQNGHLANTVTFNVLLDIYGKAGLFNRANKIFLMARKQGLADIISYNTIIAAYAQSGDFRSMTHFVQRMQEAGFPVSLEAYNCMLNAYGKAGLLEEFAAVLQKMKRARCNFDHYTYNIMINIYGRRGWVEGIASVLAELKSRGVEPDLYSYNTLIKAYGIAKMPEDAVKLMQEMRIKGISPDRVTYTNLISALQRNENFLEAVKWSLWMKQIGVTECGTRA >Et_2B_019246.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19977101:19977154:-1 gene:Et_2B_019246 transcript:Et_2B_019246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTGACSKGKLKRCCM >Et_10A_001637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6298384:6302700:1 gene:Et_10A_001637 transcript:Et_10A_001637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPDFAAERALAKDFLTNFTGPHGEPKYMNLLQDVANRKIRAVQIELDDLFHYKDLDEEFLQRVTENTRRYIGIFAEAIDELMPEPTEAYTVDEDRDILMTQRVDEGVDGGADGTDPLQRMPPEIKRFFEVYIKAFSKVTPLTIRQVKASNIGQLVKISGIVTRCSDVKPLMQVAVYTCEECGFEIYQEVTARVFMPLFECPSQRCKLNKAKGNLILQLRASKFLKFQEVKLQELAEHVPKGHIPRSLTVHLRGELTRKVAPGDVVEMSGIFLPMPYYGFRAMRAGLVADTYLEAMSITHFKKKYEEYELKGDEQEQIDRLAEDGDIYNKLAKSLAPEIFGHEDVKKALLLLLVGAPHRKLADGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPVTNEFVLEGGALVLADMGICAIDEFDKMEESDRTAIHEVMEQQTVSIAKAGITTSLNARTAILAAANPAWGRYDMRRTPAENINLPPALLSRFDLLWLILDRADMESDLEMARHFMYIKILNHLRWDSHHLNHLAYISAARRVIPSVPRELEEYIATAYSSIRQEEAKSNAPHSYTTIRTLLSILRISIALARLRFSETVAQSDVDEALRLMQMSKYSLYSDDRQRSGLDAISDIYSILRDEAARTNSMDVRYAHALNLISRKGYSEAQLKECLEEYASLNVWQIHPNTFDIHFIDA >Et_5B_043670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13956136:13957450:1 gene:Et_5B_043670 transcript:Et_5B_043670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTQKPHVVLVPFPAHGHVAPHLQLGRVLQSRGVHVTLVHTELHHRRLLRAKGGEAGETEASGFDVEVVPDGLSLDDPPRTLEAHHEALEKNCHEPFKALLRDMRNRPGVPPVSCVVADSPMPFVAVAAREVGVPDVQFFTASPSGLMGYLQFGELIKRGIIPLKDGYKTDGSLDTPLDWVPGMKGMRLRDMPTFCHTTDANAWLVHFHVHQMQVAASAKAVILNTFHDIEKDVLDALAPLLPPIYTAGPLATMRRRRARRRRRQHPAGGRAVPWCAQEAVLGHPAVGLFLTHCGWNSILESVAAGVPVLGCPVVAEQTTNCRQAAAAWGIGAELPQGAGSDEIAALVKEMMVGEKGKEAREKVVEWKRLAEEATKQGGSSYGNIDRFVNEMLLKGF >Et_4B_036840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11895087:11902746:-1 gene:Et_4B_036840 transcript:Et_4B_036840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGRWFQRKDLEVINGQGKKLQCSHYMPSVIPEGKNLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSEGQHVTLGWNEKEDLKAVVNHLREDGRVSCIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSNLVDLMMELVDTYKYPLPKFTVKFAIQHMRKIVKKKANFDIMDLDTIQVAQRCFVPALFGHGTEDDFILPHHSDKICESYASPAAPATTTEDAIAQLRSRRLMSRMEVPSAVTTEDTAERTEGMDSDVGPSSSVSSATPPNGRNGRLLTPTSEDGEYVEYSFDSLSDMPYTVEDEDRMLMQAILESLKDLDQSNTKDVQSAASDAASKENATKDCNGVNDVTLEPDASSMLACATDAPDKHTTVSNGEVKATVVQSEDSRAVNGAPAPVNSSEPQASTQITSVKPAAVESQKPTQNINGEDGTRATLVVQKGRTGGLIDGLTQKWGSFFKNND >Et_5A_040281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10271705:10272979:1 gene:Et_5A_040281 transcript:Et_5A_040281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQLPRSSPGYDWSQLPADLLIRIFIALDVLDLCSLSRLLLVNPLTRAQLALPSPLTIKNVRGWYNTKGVLDRYYLLELDLATKDCDVQDEPVDLTLEEGRFYFYLRLAMSADPSSRNCVGNAHWTWVDVDQRCCRYHHIIYNDHDGLFYAIRGNGELHTIDPNGPSPQVNFILKPMTSLVNNNKYIVRAPWGDFLQNLKDHVLFIGFNAPIFLPAKHYPFLTPNCIYLTDDNMDYIYNYKFGPRQVVVFNMRDGSFTDIFPDSNSCLNWPIPSWITPSDSHQDSKRQFICINY >Et_1B_010458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10710640:10713517:-1 gene:Et_1B_010458 transcript:Et_1B_010458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYNHRGRVPPPPPFGRGGGAWYPRGHRLPYAPPPPLPPVPQRKYEVLMEAGRLAAEYLVSQGALPRAALQRGTGAWAVPPLPPAPPLPLQQQQEEPPAFYGRRRYDDEYSNNPARNRRTNGTTSSTSSRDDYSSGSYNGREKRKYGEYRRGYSDSGRDRERERGRAFSNSRRYEDDDEDEDGAPGFRRERRGSRGSDEVRSSVTESVREETPLMAKAVEELDKEDTRSKVLSSIEEVQKDADAVPEVQGENEEGEVNDDSKFLNSESELVEQAIGADSNIGVMKLEPEQLPDAKVPDKKAEDGDKITDEAAFDHNTSNVEVTNVENNMQDMPNLLVSCDFVRAPTKARSVRARRNAASVSRGTSLAAAFDLVSSKQASQMVIDESAEESSLSNTESENGEDQMCREPSDLGDEPMRIEENGKSVVTENIREEKGNVQLHVVPGYKEETNIAPFTASHEVSMPQEDSLMQETGLSPLTACHKDSLPQQDILVQETELSPLTASHKNSFIKETELSTLTVSNRDNLMQEPNLSQTMSHENNLPLQFKEGTQICDFDTLPRNVDLIELSDQEDFVGAELCPNAGAESVIETEEGRLDQSDSLKVSDLDLVGGTEIAALHANTTLAQSSAAPCSSEQHEKQQEDLGTTAAANARATDDLCQLPLENKDVQLTNIECHAPIEDGGFGSSKSKNEMICSSMDSIMHPGLHTDVLPGIQDSYSLAFSDFINADIPCYPPVQSDLHPGIGANDSEGITVMDDPIYGSLTDIAITPCFRSPCLLISLLKNSLLRLSYA >Et_2A_018647.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4025386:4026909:1 gene:Et_2A_018647 transcript:Et_2A_018647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSPGRGKHVRVSCRRATRVPRRRRPPPPFCQLDASRIPPQQSTKTTARPAVTSQTNSATRDLVVSFHCAQPLVDKVRLSSSGSSAPPPQHHLTVSHMCPAQRIPLRRPQGPQLAASRLDGHLQIRSPFANFHLQLASLVLLLLRSESTLVCFSSSASTKKRVLQPPVSGDRSPSMASPSTTPPAAVNVFPTGGTTSGGQQQLAISNGVLLAAVIFLFMVVVFVFLLYLYAKRYLGANPLLAPPTPSSRFVFIDASPLASRGLPAAVLRALPVTVYAKKGSGSASPKGEALECAVCLSEVADGEKVRALPKCGHGFHVECIDMWFHSHDTCPLCRAPVGAAEEEGLPRVPREEPAIEFPIFPTNVLFWGTHDEVTNAGFAAPPPPAPPVAAAASSSSSASGRRKENLVIDIPSRPVAVNSPVTSSSPSTPLPASRMADDMRSPVSARLRSLRRLLSRGKQAVVGTSYSPRGAAAASGGDIEQGFAGADAARPPKTPKTPPSTN >Et_3B_029026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21434456:21442450:-1 gene:Et_3B_029026 transcript:Et_3B_029026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETPTSSGQSEATSCETNWWPPDFLEKVESASISRKQEGLGTVRSSSWKASQLLWSTGTYSGLIPNGFYSIIPDKKLKESFPTIPSLDDLQTLEADGLKADIIIVDTERDKKIFMLKQLSSALVKGLNSSPALVIKKIAGLVFDCFKRQNPDASPARGSTEDNHFFGNRGPQLLGQIKHGSCRPRAILFKVLADAVGLESKLVVGLPDDGAVGFVDSYKHMSVVVSLNSMELLVDLMRFPGQLIPFSAKAIFISHISAAGESDSAENDSCDSPLEPNSPLIESSSNLSGRSLRNMMLRSRTFSEGKLSTSCSEPNIANAFWRRSQRRGVAEEPRGASSSPEHPLMRARGRSILGGEKQSFREYAENAVASRSDGLGGSSTPNTRRLRRRSISITPEIGDDIVRAVRAMNETLKQNRLQRDHVEGSCSYVTEDQNSVNDCPNNDDASPRGVATNNGSRNRIGSSQKAVSLPSSPHEYRVQITEKSDYISKEKLALAWNKVLQTSSFLNKPLLPFEEWNIDFSEITIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSYIVSWCMHYPSTLVDGNRIYGNGIVVLSHPYEWTKKEAQLAGLMCIHRMKIVHRDLKSANCLLNKHWTVKICDFGLSRVMAESPMTDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGISPVQVVYAVANEGSRLEIPEGPLGKLIADCWAEPENRPSCQEILTRLLDCEYAIS >Et_8A_056453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10280819:10281874:1 gene:Et_8A_056453 transcript:Et_8A_056453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADFFSVSECKGQKTIDGEQVPLVLTPSAKEGYEELAAALKANREWVEAKVVANSGVLLRGFDVRDAAEFNALVEALGWPDIRYVGPAPRTHVHGRVWTANEGPLEEFIYYHHEMVLIKEFPGKVILFCETPPPSGGETPFVPSFRVTERALAEFPEMVEELDARGLRYTFTALSKNDTKSMRGRGWEDAFATSDRAEAEARARALGMDVEWLPDGGVRTILGPRKLTRVFPGRKGRRMWFNTVVGMHGKELSSATFADGGEIPADFVRRCGEIIEEESIQFRWEKGDVLILDNLATLHGRRPSLPPRRVLVATCK >Et_2A_018139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13129275:13133865:-1 gene:Et_2A_018139 transcript:Et_2A_018139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSKESCPSVKNILLLDSEGKRVAVKYFSDDWPTNSSKLAYEKSVFNKTLKTNARSEAEITLFDGYIVVYKFVQDLHFFVTAGDDENELIIANVLQGFADSVGLLLRGDVEKRTALENLDLILLCIDEIVDGGIILETDAHNIAGKVATNAVDGSVPFSEQTKSGFYWGNGGNWT >Et_5A_041443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22976053:22978945:-1 gene:Et_5A_041443 transcript:Et_5A_041443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFKIGRLDGQPPRIRNVPIAVTPEGFWCCPSQAALQKTTKNPNQQGRPRGGASPAASKASSVQRAPTISSEKGAHSTPTRSRTNSIEQVCQPADDAAPDPPKPTPAPEKRPKQHKISVGFGQLGTSDLKVVLYGKEGVAVKMIVHKNILAENSTFFADRISRQSPVSCIEVLDCEDVEIYVETVGLMYCKDVKQRLIKQNVSRVLRIMKVAESLGFRACIMSCLDYLEAVPWVGEEEENVVSSIRQLNDENHKVNPLLKRVTSDLSNPPNDTLAHIIELVLKSSEDRGRREMKSLVLKLLKENNICTNSSADSCVMTLYSSCRECLESLLTLFREASNPEFADQYSVNKETIFRKITLEAENLLWLTEILSDRNAADELTVIWASQSELAELHSKIPIMHRHLVSCVTARLFVAIGKGEALPSKETRQQLLDVWLQPLMDDYRWLQHGCRSFDRKAVEEGIGQTILTLPLEDQQAVLLAWLGRFLKVGDSCPNLQRAFEVWWRRTFVRPYAEQQASSSSRSGRH >Et_5A_041430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22485653:22518414:1 gene:Et_5A_041430 transcript:Et_5A_041430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPPCRRRSPPVLMEDLVEEILRLPPDEPARRVHAALVCKSWLRVLCDGMFLRRYRKFHRKPSLLGFIHNGPGSTPRFVSTSIAFPFAFPSSLLGEAPNRSGWALDCRHDRVLVLQGLQLIVWDPITNEEHYLALPSYPDEYDQSHAAVLCAADGCDHLDCHGGPYNVVLWGLNAVLGEYVAWSSVYSSETGAWSAATSTTIENGSYVEMTPSLLVGDTLYFTLSQSGMLKFDLGGRSLSAIDSPGVFGAFPIIAEDGGLEFVAVLEDSIYTWSWQAATQDSTARWVQQWMMELEPMLPSCHQSTSREIIGLHHGAMAPPQELIADAIAEILLRLPPDDRACLVRAALVSKQWLRILSELAFPRRYRAFHRAPPLLGFLRNRRESDTSPEFIPTAPAFPFHPPEFDCEKWWTLDCRHGRVLFRCPDLSAFVVWDPITGDEHHLPEPTEPFDDHAAAVVCAVDGCDHLDCHGGPFLVVFVGEDIDQEVTRASLYSSDTGAWTNKVAYFDSPCDLQIAPCLLAGGALYFMTGLCICLVKYDLAKQDLSVIGMPEGYHPDLMGTDLSVIETPEDYHYGLVGTVMTAEGGGLGLAGMEDYSLHLWSWQSGTDDTDDWLHKFVQQRVIDLKALLPAHALSFSPFTFELQDRTDTIVMSTDVGMFMVELSSGQVLDLYLCNLLLLSSTPRLALRGLLADRAFLRRHRDLHGGAAPMLGFVFNDEGPDAARFVPTSTFRPRTPDHADLVALDVRHGRVLLYRSDDEALVVWNPITDQQRELPFPDFEFIYWNSAVLCAAAARGSCDHLGCCNDGPFLVAFVGSTCDGIAHASTYSSEAAAWSDTITAFFGSQHLRMLFFQFSPTLVGKKLYFAPTRSKNRILELDLDLLQLSSIKPPRGTGRPTSCVLMPADGGGLGFAGIYGFGLHLVVYTRKAGSDGTLAWEPRIGIDLLIVTGSFYSSQMPPAVVGYAYAEGLGAILLRTHAGVFAIDSRTRRIRKVSRRVLNTRSVIPYMSFYTPGIHYLSHC >Et_9B_065380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4416186:4418627:1 gene:Et_9B_065380 transcript:Et_9B_065380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMAATAAAASSTRAWAALRRSSASPALPSRHVAFASPPYSSSSCRWPVAGAGAPVLPLGIRGGLRPLPSPLLPSAVGARVRTAAAAAAASPPAPAEGDGKPEAAGISRTLQLGALILVWYILNIYFNIYNKLVLKAVPFPLTITTFQFASGTFFITLMWLLNLHPKPKLSLQQYARLLPLALIHMLGNAFTNMSLGRVSVSFTHTIKAMEPFFSVLLSVLFLGEESLDDINLFSIMTVTAFLLSLPLMLSVEGIKFSPSYLQSTGINIKELCVKAALAGTCFHFYQQVSYSLLARVSPVTHSVANSLKRVVVIVSSVLFFRTPISPINAIGTGVALLGVYLYSRLKTAKPKTKAS >Et_8A_058351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5625444:5627832:1 gene:Et_8A_058351 transcript:Et_8A_058351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERDARAEVKANGSAAAASIDAFSQLPFIRPAAAAKEKQPPSSGTPGIRLFGFDVPPDPATAAAASRATADVAKRAGEVVEESSTGTAHAAAAAETAAAGASSDGGGSSRRFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAQFQTAMAMHQHHGGQYYPLPDPAHLYATAAFAAGYRHHHHRFSAAPPPPPHYPSWAGAGRYYNGPGSISQPINGSPVAAAAPGPWRGPSGGVGVGTPFAARRQERPAPPDLPVLGVGGEEPAVVVAGAGAGSRSSFSPSTSSSSSSASRPSPHSKRPAAPPECKDDVQQIEYKLAIAFHVICTGTTVKCYICNV >Et_9B_064269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13474075:13484350:-1 gene:Et_9B_064269 transcript:Et_9B_064269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVASAAAAVPCRPRSARYAVKGYPNYAEDDDRGAPPRRNGGGGRGKSPAAPRGRKRGRGRVTAVKEVGEVLAPMLEGEEAVAREEEEGRKRRVTGAAATATAADKAFPSIGGEGEGVVPADGEGNELGEAGGGCKSWRLRAKETLRAFSTHYLHFVQEEQRRADAVNRELNASKALKCQMQESGAVLYQEKRIGHLPGIDVEDQFCSRAEMVVLGIHSHWMCGIDYMGEKYRDKKGCEDLIFPVATCIVLSGIYEDDFDKADEIIYTGQGGNNWLGNNHQKTEQTMHRGNLGLKNSKDNGNPIRVIRGHVAKSSYTGKVYTYDGLYKVVDYWPQKGVRGHLVFKYRLRRLEGQPPLTTSQVLFTRGDAPMPISELPGLVCEDISDGQENFPIPATNLVDIPPLPPSGFLYSKSLQIPKDIKIPVDSIGCNCTGDCSSSMNCFCAKRNGSDLPYVSTQRKGSRHNDSNHNSVGRLVEPKAVVFECGTSCSCHCSCVNRTSQQGLKYRLEVFKTESKGWGVRTWDTILPGALICEYTGVLRRTTEVEGLLENNYIFDIDCLQTIKGLDGREQRAGSELHAASLRSESDSEASVAPEYCIDAGSVGNIARFINHSCQPNLFVQCVLSSHSDIKLAKIMLFAADTIPPLQELSYDYGYRLDSVTGADGNVVKLACHCGAPECRKRLY >Et_3A_023815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12856554:12878211:1 gene:Et_3A_023815 transcript:Et_3A_023815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKVYGPVLSTNVARILVCLEEVGAEYELVPVDMVAGEHKSPAHAARNPFGQVPAFHDGDLILFESRAISKYVLRKGGSELLPESNLSQAALVDVWVEVEAHTFDTTMSAIAFECFTKPIFMRGITNHQIVQENVVKLIKVLELYETRLSNNKYLAGDFISLADLSHTPMLRYLLATPHASVVDAYPQVKAWIIDMMERPSVKKMGIKVYGPAASTNVARVVVCLEEVGAEYELVPVDMPSGEHKSPEHLSRNPFGQVPAFQDGDLILSESRAIAKYILRKGGSHLLRENNLSESAMVDVWLEVEATQFNSAMSPIIFQCFVIPIVMGGTPDMKVVEENLEKLKKALEVYEARLSKFKYLAGEFVSLADVSHFPTAHYLLGSPHASVIDAYPRVKAWIDDIMARPSVKKVFGPARSTNVARVLLCLEEVGAEYEVVNIDFQAKEHKSPEHLARNPFGQIPAFQDGEVVLFESRAIAKYVLRKYMSADADLLREGNLKDAAMVDVWTEVEAHQYNPAISPVVYECLINPAMRGVPPNQKVVDEALEKLRKVLDVYEARLSKSKYLAGDFLSFADLNHFPYTYYFMATPHASVFDSYPHVKAWWESIVSRPSRMAPVKVFGPAMSTNVARVLVFLEEVGAEYEVVNLDFQAKEHKSPEHLARNPFGQIPAFQDGDVVLFESRAIAKYVLRKYKSPDADLLREGNLKEAAMVDVWTEVEAHQYHPAISPVVYECLVNPIMLGVPTNQKAVDEALEKLKKVLDVYEARLSKSKYLAGDFLSFADLNHLPYTYYFMKTQYAALFDSYPHVKAWWERIVSRPSVKKLAPNMLIN >Et_6A_046644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18610467:18614055:-1 gene:Et_6A_046644 transcript:Et_6A_046644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAARGASLLAAALLLLLALGAGAAEKKKWAGGLRRASFPEGFVFGTATAAYQVEGAAASGGRGPSIWDEFVHTPGNIAGNQTADVAVDQYHRYKADVDLMKRLNFDAYRWRGKSQSRRCSLLQQSDKLPAPESELFSDYSDFCFKTFGDRVKHWFTFNEPRIVALLGYDGGLIPPQRCIKCAAGGNSATEPYIVAHNFLLSHAAAVARYRTKYQGAQKRKVGIVLDFTWYEALTNSTEDQAAAQRARDVHIGWFVDPLINGYYPKIMQDLVKERLPRFTPEQAKLVKGSADYIGINQYTASYMKGQKLLQQSPTSYSADWQVTYVSARNGKPIGPQANSDWLKIVPWGMYGCVNYLNQKYGNPTVYITENGMDQPGNLTRDQYLHDVTRVQFYRSYLTELKKAIDQGANVAGYFAWSLLDNFEWQAGYTSKFGIVYVDFNNTLERYPKASAYWFRDMLQH >Et_10B_002964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14729915:14736469:-1 gene:Et_10B_002964 transcript:Et_10B_002964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CPSDRQAGRGRERSARDTPPIQGPDGQIAGRRASSGRPSSTMGNCCVSRPSGNNKRRTGGGGGNRGGRLGGANNMRSCSAISSLSDGATRGGAWGPVTVLTKGLAPESPADELLRRYALGEELGRGEFGVTRRCADAATGEALACKSIGKRKLRSSVDVEDVRREVAIMQALPAHANVVRLREAFEDADAVHLVMEVCEGGELFDRIVSRGHYTERAAAGVMRTIMEVVQHCHKNGVMHRDLKPENFLYANTSENSPLRVIDFGLSVCFQPGDRFSEIVGSPYYMAPEVLKRNYGQEIDIWSAGVILYILLCGVPPFWAETDEGIAQAIIRSNLDFSREPWPKISENAKDLVRKMLDPSPYGRLTAQQVLEHPWIQNASAAPNIPLGEAVRSRLKQFTVMNKFKKKALLVVAEYLPTEELEAIRELFQMLDTNKDGHLTIEELRKGLRMIGQNVNDTDVDMLMEADGKISYEEFELMMKAGMDWRNTSRQYSRGVYNTLSRKMFKDISLKLDPNSGPLGAAAKEQRESSNSHNGGGRKRKEQANLVSGGGDLFRAASGSWTPSASC >Et_2A_016946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29808726:29811482:1 gene:Et_2A_016946 transcript:Et_2A_016946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSVGTLTEADLKGKKVFVRADLNVPLDNNQKITDDNRIRASVPTIKFLLEKGAKVILTSHLGRPKGVDPKYSLKPLVPRLTELLGAQVVMANDCIGEEVEKLAASLPNGGVLLLENVRFHKEEEKNDPEFAKKLASVADLYVNDAFGSAHRAHASTEGVTKYLRPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMIFTFYKAKGYAVGKSLVEEDKLELATSLIEKAKSKGVSLLFPTDVVVADKFAADAESKIVPASSIPDGWMGLDIGPDSIKSFSKTLDTTKTVIWNGPMGVFEFEKFAAGTDAIAKKLAELTAKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKTLPGVLALDDA >Et_6A_047778.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:15694682:15695422:1 gene:Et_6A_047778 transcript:Et_6A_047778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKVHPSPSLPAAAAAGGGGGEVTAEAVLMRLLPAAVLAAAAPLGAEGKEVLAYLVLASLRSSAPPTPARWEAVARTHRPELGCGCFGCYTAYWSRWDGSPEADREAIHRAIEAFEEHLAKEEEEGAAGKGRRNRKKRAAAAAAAAAKGKDKAGKGKELAVDPTPPPLPLPPAAVLPVPEDPAKVEDVAEEFKAHEEEEEVLEKEDATTSAAGEEAASGGEEERRRRGWGAVLSWRNWGLWGSH >Et_3B_028966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2124853:2126323:1 gene:Et_3B_028966 transcript:Et_3B_028966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSTVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIATRLPGRTDNEIKNLWNSSIKKKLRQKGIDPNTHKPLAEVDRSKAAPTISTERTSESSDVDPSSGGALGNLNHLLSETAQSPELLPVLGKHRKETTSLAHLRVPPKELFLDQLVPGHDNLPSGRLTGPIPNFPFQQLSCYSNGFGSSHGGSTNSLWYNQNEASGSTISTVMPPVSPSTLSTSTGLNRSPDNQHSGGTTIQSTQFYWDTTDPSSSSSRGSSGSNGLGFELQGTSSLLENSVFPWTDLADKNSQAHLEEELKWPDLLHGTFTDTPATMQNLSQSLYEDVVKAESQFNMEGLCAVWSQNLQPPQHLQAVSDLYDKDLQRMSLSFENI >Et_8B_059321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16613438:16615222:-1 gene:Et_8B_059321 transcript:Et_8B_059321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAEDAAVPETAAVAAQTVWYDPIVYADGIYDLFHFGHARALEQAKKSFPNTYLLVGCCSDEITGRYKGMTVMTEDERYESLRHCKWVDEVIPDAPWVLNQEFLDKHHIDYVAHDALPYADTSGAANDVYEFVKSIGKFKETKRTEGISTSDIIMRILKDYNQYIMRNLTRGYTRKDLGVSYVKEKQLRVNMGISKLREKVKEHQEKVIKLLQSRFETELHMIYKILGPTVLHTQFHSAAKIARSNPVEWMENADRWIVGFLAKFEEGCHMMETAIKDRIQEGLKRQGKSESSLSGDDSDSS >Et_2A_017093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30919569:30922382:1 gene:Et_2A_017093 transcript:Et_2A_017093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTVATDFKKVFKIHDKLYIGLSGLASDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSALLYEKRFGPYFCQPVIAGLGEDNEPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPNMEPEELVETISQALLSSVDRDCLSGWGGYVLLLTPTEVQERVLKARMD >Et_3B_031577.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:5220191:5221171:1 gene:Et_3B_031577 transcript:Et_3B_031577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLNHQQMLIKKALAKKPSKTKRISGFGLIPSTGLLKAKPQPQPPAMAQPRRRVRVLFEDPDATDSDSDDEEAGPPKSKRFSFELFVGKATPKPVMPAAAVAASTSGGQPESYRGVRLRKWGKWAAEIRNPFTGKRQWLGTFDTAAAASTAYLSASRSFAEEKRRRRGQPAAALSATPSPCTTPTASSSSSTSAAPFALSPSSVLEATKPAPKPLSPEPAPTPNPPATDAAQLPDDPEFYQDLLRGLQLPDIDPLDFRAGLDALDVSEVPFGLDDEQDLLLGDFGDEELEIDLDLYDIDDVFPEMSGYDLGRGMDDFLQTVDFCV >Et_6A_045932.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:10485264:10485647:1 gene:Et_6A_045932 transcript:Et_6A_045932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LFCSKSETVYDLFFDCVVARQVWNEISSLVHVKVGDSYESIAKFWLSSKNHSMLNIINTVVLWSLWKLRNSLCFHNVQWIGLNQVWDLALRMQRNWKVLYPNAKKEELETFYCSLMKHKKEVICLPM >Et_9B_066281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9752285:9756320:-1 gene:Et_9B_066281 transcript:Et_9B_066281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLGVSVLKAFTATTATTTSPPAPASSPSFLAHHLLDEFSRPRASRDAGRLRRLAADLTAPAAESVILRLPSWRHALDFYRWAAAQPGFRHSCYSLNAMASRLPLRVPAHLDRLAAYALAERCPMTPGALGFLLRCLGGAGLPDTAARVFDQAPTALSCTPNSYTYNCLLEALARAGRANDAEARLREMVAVCGEESVDNKYTLTSLLQCYCKADRPDDANAVFQRMSERAWVDEHVLTTLVVAFSKWGKVDGAVELLARIEVLGVRPNERTISVLVHGFAKQGRIDMAMVMFDKMTSYGFSVDLAMYSVLIEGLCQGNEMRKGLKLFEEMKTKGITPDVRLLKKLVEAFCKEGDFVSIGPFINENAKHLKSSVVISLYNVVLDDLVNRGEVEAAYTLLISIVRRDQEVGDAAAVSVSKDPSAAIDLLRQMRENGHTPWIKNCTEMVQQLCFSGRITEALQFLDDMLKMGFLPDIVTYSAAMNGMCKIGEINDAVGLFRDISSKYYLPDVMAHNILINGFRKSGKFDEAQEVLEEMLNKGGSGYFSS >Et_3A_023203.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:10008776:10009021:1 gene:Et_3A_023203 transcript:Et_3A_023203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDMLGLENGPSMRRSAGSGHAQSWAPPFWYVRSRVAWGKMSCPTRQHGAQQYRWQWQRGISDNIAQSYPFQEDPRRLLVW >Et_7A_051154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1493888:1496871:-1 gene:Et_7A_051154 transcript:Et_7A_051154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTRSAVFLDENSQIHLGKRADAPRAKPLKPSARLQERKALKDMSNVSQRKALKDLSNVSERKPLEDLSNISERKPLQNITNTKFTASKDRHPLKEKSIRKERPALPKTVIFADEDTKKCHEWAKDGVEGAQFTGNESQKFDKDVQDKRVKNEVQKVISAVPGWSDVVLAPVMFPTEEAGKFFEEVNGLELEPEILPDINGYLSNSGNKAKLTEDPFTEDELGQYPFLDNRPVEFQLRDEPVIPRREYWLDFCRHVAVLSEAERVVQKELRLPAGEFPSVEEYRQILIAYNLEKFEKLRPKIVHGVDDMLAYDNPELFF >Et_10B_002827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12023093:12024122:1 gene:Et_10B_002827 transcript:Et_10B_002827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKGQATLRCSSYALQAAFQDSKIWFVPGKIEEIFKKHAKTRPDALTAELQELLQANREPKDSKDGWGGFMNWKVLYALYKDKDVFLHKHTIRAVYDGSLFDRIEQERKAKEESL >Et_5A_042937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7229321:7229981:-1 gene:Et_5A_042937 transcript:Et_5A_042937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREAIFFPSKKKSNLLLCISSGVSFSSYVVTKEQEVEAEQAPEPELLTYKDYPNFSKL >Et_4A_032314.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29500567:29501256:1 gene:Et_4A_032314 transcript:Et_4A_032314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMYSYEIVHTLIVDIEPDEVVKRALNDINAAARLRVAAAECVEAEKVQHVKRAEGEAEAMYLAGVGVARQWQAIIDGLHRFMLDEKSVMDMVLATQYFVPDEKSVEDPRRSASTSTTATTPSRAVATGVGSRCTGDQVPPRAMEHLSQRAGKSMGDARCVAEDGIKDSCGQQGADNVLAAAPRALRRRVQRAVEARRELRVAWAGGASPLGPRLAPRLPLELGQPAEV >Et_5B_043802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16274120:16278997:-1 gene:Et_5B_043802 transcript:Et_5B_043802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAKAGDEPMITLEHTPTWIVAAVCSVIVLISLIFERMLHRLGKRLMKGSKKPLYDALLKIKEELMLLGFISLLLNVFQGATQKICVRESLMHHLLPCPVDFVHAGAKDGATVFAGVLGGARRLLAGGGAVDDYCLRKGKVPILSVEAIHQLHIFIFVLAVTHVVLSAITALLGIIQIRNWRKWEENIQLSDDSGPQMFKHVQEFKFIRDHFKGHGKCWKPIGWMRSFFKQFYGSVTEEDYTAMRLGFITKHCKGHPKFNFYKYMIRAFEADFKKVVGISWYLWGLLMILLLLNVHGWYVYIWLSLVPFIVTYGLKSCIMGKPAYVIARLVISVASQILCCYSTLPLYAIVSHMGSSFKKAIFDENVSVGLVNWAQNARKRKGKNKTNEDVAGSSIDGRSGSAVQMTSA >Et_3B_029546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25897620:25899695:-1 gene:Et_3B_029546 transcript:Et_3B_029546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLATISLGPIPKVSLPCKRSTVFRRHAVPFIYTQIPLPTFLPFHPNLVPHLEQIVRHHELEETARSIHSMADEWWSSASHRSHGTSACSATPLPSTDRVICGGWTSPTAGTESTSSISFQDPYRSSATHQPASDAASSLGDPHMDWTQAFLSGRSDASFQSVLQDDVARAHPTADEAAMNNPLIRGLNDGFLLDQAGLAPSQALFDSTAAAHNISMYGNNQPSADYDATAATQLSQLLKSSVPASSPMQGGGGPMQQYLSGGYLPFGGPLPSQLLLQALQPKLGSRGSNANTLMAKDSCSPATRKSVSESPAPAKRPRIEAPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVASLSSPYLKNGIPFQQFQQKGAEDAKEDTKQDLRSRGLCLVPVANTYTVASETVPEFWHPTFGGTFR >Et_3A_025200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27880443:27883516:-1 gene:Et_3A_025200 transcript:Et_3A_025200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPHLARFPPVAVPPRALRTPTRDDVSPRTNRQPLQSAAGPAASPSSSVLPAAGLSPCCDSAAKPTPYSTRRFEPDTEKSRPFLFLLRVSYSLRRVIQPRPSLLPRGILRTRACLCLRREARVEVVEEDAMGGAEAAAPAPAHTNGHANGGVAEKLDELRRQLGKADGDPLRIAGVGAGAWGSVFCALLQDAYGHLRDKVQVRIWRRPGRAVDRATAEHLFEVINAREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMVDTPLCPLKVVTNLQEAVWDADIVINGLPSTETREVFGEIGRYWKERITPPIIISLAKGIEASLDPVPRIITPTQMISNATGLPFENVLYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFALCTSEMIYITHLLEEEPEKLAGPLLADTYVTLLKGRNAWYGHKLAKGELTLEMGDSIKGKGTIQGVSAVDAFYELLSQDSLSVMHPDGDRSVAPVEMCPILKALYKILIKRELPPDSILQAIRDETMYDPRERIEMAQGHTLYRPSLLGQPGGVAKA >Et_3A_026465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8405116:8405486:1 gene:Et_3A_026465 transcript:Et_3A_026465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLEPPYNKETLPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKSLWMCNNYQYDLPLQRLHFSDGRPRSPPPMCEFIEYIDTEQTLEDIAHVYHVAER >Et_1A_007213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32116642:32119337:1 gene:Et_1A_007213 transcript:Et_1A_007213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDDDDLLEDILLRLVFLTSLVRVAAVCRRWLRVVSDPAFLRRFRDLHPPRLLGLYLNTDRHGSPMFVPTPHPPTELAAVIRRAGSILGAASGVVSVLDCQNGRLLAKRNKGETVLISLLHPARDAVPLPPFTESSYSSKLLNTDGVAHAVFWEAKPKQEVRHVHELRAVRHVHELQDGSWHWQHSRNLTSSEVDLCLARPILMSRSVNGKLLGCAADIATLPSPLNLSIIALPDDVEDKPGGSVKTWADDSYLYLIHVKELRLHVWVYMMDSGRWLLQDTICLREVCAKSGVADLVSGDGLTAATAIKVHEVGHNPEFVLLQVGSNVLYIHMKSRTVERVYTVTREDGCWIKIVPFTMTWPLIFPTSINEDITESFSNTRSIRFHPTMDDADRLWPCP >Et_7B_053815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12462238:12471219:1 gene:Et_7B_053815 transcript:Et_7B_053815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLGIPRRGGARVADRLSELPDCILEHVLSYLTTRQAALTSALSRRWRHLWRAVTRTCVDIDQSEFSEAVTRIESHDDAADSAMDAMTKRIYRQMEQWEKLEDLADLLTMQEASWSPPPLERFRLRVSYAHFLDARRWIRRGLERRPASLSLSLRVSGRRSLPVASGEEMPSLVAASLRRSSAGILCSLRHARSLDLTGFSAKALLVDVPAFRNLRILVLNACELGADCQVLRRFLRDAPSLEAVTLRDCTLSSGGSGSSERNKASSDDRRGPAAYYPCKNLRSIEIESKPGHEVVDEVANVLRDISKEMVDPFEWHVQEGKLRFKLSFRGELLLDCILEDVLSRVTSRQAARTGALSRRWGHLWRAVACTCVDSNQGESSQTAVLVEFDATDVQARRENLYRQIKQWHDFHGGCPSRSRRRRRWTRFGCARVSFSDFLAVRSPAAFHLLEELFMNRCDFGVGTLVLAIPGIVSLHIDGRPPPVASEVEMPSLVSVSLTNTAGDLGVLWSLRHARSLDLSGFSATTTPDVSREGAPVADRLSDLPDCILEDILSRVTSRQAARTSALSRRWSHLSGAVARTCVDIDQREPSETRAPAEFDATDVHASLDDLYRRIKERRAFQNFADKLTLPSSPPLDTFRLRVSGVDFVAAHRWIWRALERRPAAFHLSCYYNDDPLADDRRKPAIPDVILHRHAGAYTRRLRTLFLSGLSLSRDFMDAVTADSPVLEDVHLRHCSYGFSRIASRSLKKLSMNDCRSLYREEYGKLALTVPRMVSLHINGHPPPVISASEMRSLVSASLAHRAGDLGVLCSLRHARRLDLSGFSMAALLVKDPEPEDTLVFVNLRTLVLKSCELGAECQVVRRFLRNSPRLETLTLRDCTLLSRGARSNGRKIKTSSKPAGHLVDEVAKVLGDISKEAAPPPASGNCDRAVADRLSALPDRVLAENVLPHLTSLEAARTSVLSRRWRRLWRAAPCLDMDQFESAAGGWDAFEDLADRLTLLHHDDASSPPLLDTFRLCVGGRWAFDRARRWIRRALRRAPAAFHLHLVGREYEGLPLDEWPRFPDRLVQAPDAGAFRGLRLLVEFGLALAFDFPALEHLQLQDCYYDFHRLACRSLKKLRIDHADDTYAAMTQLVLAVPRIASLRVTGNPPSLTSDCEMPYLVEASLTRPAPPATATTASSGPCATQGGWTWPGSRRRPCSTMSLTTTKTFPALLLDGCDLGRAGCRVLRRFLRNAPKLETLTLLNCAVWSDGYCSRTRSAKRMKTSAGDRHELAATAYPCKNLRYVEVQFHDDHTVVELAKVLGHISKEMARPIQGSVLDGKRTVKISYA >Et_8A_057824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7904417:7912325:1 gene:Et_8A_057824 transcript:Et_8A_057824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRCIDSSYGSTKDSIGLYAVQCCDCYKWRTIPKEEFETIRENFTEDPWSCSKRPDCSCNEPADIEYDNSRVWVIDTPNIPKPPQDTERLVIMGRDFTKMDAYYVMPNGKHARCDGDVETFLEVYPEYKDHISVSSFNFATPEIVEETVCHNSTWKADKTKKQGKADAPDAKLVTGSDGGEHGVQWPLTRGVRPT >Et_1A_005400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10077843:10080160:1 gene:Et_1A_005400 transcript:Et_1A_005400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMQSDCPYPGCFFCVMKEANPSKRRASVLKFFRELPSQDEDGQVLPISGLWNTAMAHPNDPEFINLGIFECMASLIWKGLKNRRWLAHDQNIYIPYYAAHIIGSYTMNMEEFAERAVRGGVIPPLVELLRGRLTWVEQRVAVRALGHLATYPSTFPAVADHGEVLELAIQLASSSLEIVYSHFYQFVDRRLGYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLHDICKADFLIKLPGMWGGLVNENSPAGVGLLRTICQSKIGRGHVANISGTIDALCNIARSSDDWQYMAVDCLIWLLQDTNTCHKVIDKVAPTLIDLANISTLGDYKRLGDTIVTVLQECVQQNGNSRGAISTRTKEEIDELLRSKQSYKLEKNMPKEDLHIKQAAALVVKLEGNSMFSSGNIEGAAAKYSEALALCPMKSKKERVVLYSNRAQCYLLLQQPLLAISDATRALCLHSPVNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLKQNKVPDYAERLVKKQMRAAWLFREAALKHGGVHCEGDASDAFGQEGDDSEWETASESDAENDARGDADDENEWKNDGRQEDFCEKS >Et_5A_042648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2417091:2417650:-1 gene:Et_5A_042648 transcript:Et_5A_042648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSAGAPPLHLSVTLPLLLLLLAAGPRGATAAPNTAALSVLCNGAVYGAGDPFAASLAYAVLYMCVGSIENMYMCHL >Et_3B_027743.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16903439:16903600:-1 gene:Et_3B_027743 transcript:Et_3B_027743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVEVVLCVWRVCPRQVTCYCCMNQKPEALCYSIKNGCRSYCRDYKPKCPLK >Et_3B_031207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20748079:20750525:-1 gene:Et_3B_031207 transcript:Et_3B_031207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGDFRLPPFFNYPPYFTLFVLRDLLAMKQRKCSLELSLVKVKDKGLEVMTTEEIRSGIDTRGTELGGMDRAVLMRALRLGTKGQIGYLQRHFR >Et_7B_054407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21008550:21011016:1 gene:Et_7B_054407 transcript:Et_7B_054407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVDVLLGIFRQETLCGAALEIAILAAPLWVAALVGLLIGWAWRPRWAVAVVGDHAQQQEAAAALGPPAPQPMLAPATAAAEVSFRDTAAIVPRADVAASPEEEQLAVNSRDLMHLGRLVEEKDGGPSWIHMMDRTLPTFRYQAWRRDQLNGPPQYRSSTIFEDASPDVVRDFFWDDEFRINNTWDDMLLQHETLEEDTETGTLVVRWVRKFPFFCSDREYIIGRRIWASGKTFYCVTKSVPRPAVPRHSKPRRVDLYYSSWCIRPVESRKGDGLMTACEVLLFHHEDMGIPWEIAKLGVRQGMWGCVKRIEPGLRAYQIARTAGEPLSKCAAMAHVTTKFNADELNTEDNIEASPSSSNTEVEQPKQWTCNISKILMIGGAIALACSFDHGLLTKAVIFGTARRFAGPRR >Et_1A_006788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27615650:27619955:1 gene:Et_1A_006788 transcript:Et_1A_006788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPAVLVSNGAVSPLAPSSAASFLESTPGAYTTARGLLWWPRHLLRLADSARLLAHSHPHLLGLPQPHRINFSIPSLVNPSVRIAVAEMRSRMPVTDKDDLAITALVRGGASGDGLDVFVHAGAYTPPVFGEAGARVAVAGKGRDAAAAKYAPWARMRKSMEKMRPPGVTELLLTNDGDHILEGSVTNFFVVCLKDEHQMDEPFSVQRLSQKFEVQTAPKSGGVLPGIIRQIVIEVCHDIGIPVREVSPSWSKRDSWQEAFVTSSLRLIQHVESIQIPLLWEDIQSKTWSDISWTVKQFHLPGFMRRLRLGPAGFSVLLLNKNSDDVR >Et_10B_004267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2394835:2396393:1 gene:Et_10B_004267 transcript:Et_10B_004267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGKVDLTRKPTLGYKDLQASTCKIKKVGIGGPLIDGNGRFVGMNFFDGGHEVTPFLPRSKVVEVLSQKKGCLLPSEWGHVEPECIDDDGGGVNGGEARRKRRRTNRWPVPEGGARSEHTNCCDVE >Et_2A_015844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18367966:18372772:1 gene:Et_2A_015844 transcript:Et_2A_015844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRHYEGCCLQLINVCRKELVSDSKAPSLQQQSHKLRVARNHCRAPRRNTMVHSEMLAQLAKEWQRVKRASTDDDTCCGTSSVTDKGHAAMYTADGRRFEVPLVYLGTTVFRELLTRSEEEFGFTSDGRITLPSDAAAMEYMMCLLKRNASEEVERAFLSSMVMPCQHSSHTSLPVALPQQFAVSHPKSSSINMVQQKFNS >Et_4B_039381.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12528996:12529610:-1 gene:Et_4B_039381 transcript:Et_4B_039381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRVVVGSSPCGGWLVTADYWESRLQLVNPFTGAQAELPALTTMPCLDAAPGNKFVFDEDGYALIRFEDSPAPAKKTFCRSRLRHGFLRKVVLSSASGPGTSDYEAMLLRCLGDGPAFATASDGQWSLAPSPHGAEDAVYRQQEGRFYSVAKNGVVESWKRLLDLGGPGRPGMYRSTVVTSKLAREDDFEMAKSTWWSRRPGG >Et_9A_062119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19964753:19967572:1 gene:Et_9A_062119 transcript:Et_9A_062119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLLPILFLISLSLGGTGTNAADDPLYKIYTECPSDMNYTRGGAFDANLAALLASLPAAAAASPSGFAENVTGASPDQAYGLAQCRADVTASVCQACLGLAAQDVAGKCPGQKRSVHFYEACLLRHSNASFSGADDTSYAIYLCNPQNATQPEQFMSRLGALMSNLTEKAAYGTPRLFAAGAADFTPFEKIYGMAQCTRDLPADDCKACLSSAVGYIPKVCGAKQGGRIVHRTCSVRFEEYPFYNPQAAEAAMSPPPPPPSPAPVPAPPSVNGSNIPAAPAPGSNGGNHTAKTALLISIPAAATLVVLLLVVVYFCKRNRKPHNHASIAGSRLGDEDEMRSSDFILYDFSTLRTATGNFSEKNKLGEGGFGPVYKGTLSNGQEIAVKRLSTTSQQGQEEMKNEVVLVAKLQHKNLVRLLGCCIEEHERLLVYELLSNKSLDKILFDPARQKELSWGQRFKIIEGIGRGLLYLHEDSRLKVIHRDLKASNILLDAEMNPKISDFGLAKLFNIESSVANTSRIAGTYGYMAPEYVMHGMVSTKSDVFCSVSANRRIESVCAGERTQQYIT >Et_5B_043220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18493434:18493980:1 gene:Et_5B_043220 transcript:Et_5B_043220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMWDSKLPLKIKIFLWMLFRDRIPAANQLKKKNWSGMLGCICWSLWLTRNEYVFRDNICKSPQAVVYRMLSLMRRWRSLHMEDGRDKIDEVVERIKTQMEMNGTPVHSNLLLHFVI >Et_1B_009959.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:14296539:14297186:1 gene:Et_1B_009959 transcript:Et_1B_009959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGGGGATTFRWLDAARYAVAAVLTVLIVAVIVNAIKVVLRPDTLRLWVVEGSVSSTPMPTEEAVTLGLILRAENPSGRVRMYFTDITAFLFNNKTVESTTDPEGDSIILFQPGDVAVAQQVAVDTIAQVNGTNDPGFMDQFYFGLLYAERGVIRDAAMRVEGTLVTEVRSGINRTRPGTTYYCWPLVVGGKASGSGRQDIRCTERADIQSIR >Et_1A_008050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40382576:40386413:-1 gene:Et_1A_008050 transcript:Et_1A_008050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFLSSSSSSFPQPRPPSLPVSKPLLFPFCVRAVPASNAASPPPPPPPDTSPDGVGPAAPTRGDRFIGRQLANEAAARVLATEDAYRRRRRKEKRQALARKPSGLASCYGCGAPLQTTEDGAPGYVDPATYDLKKRHHQLRTVLCGRCKLLSHGHMVTAVGGHGGYPGGKQFVSAEQLREKLSYLRHEKALIIKLVDIVDFNGSFLARVRDFAGANPIILVITKVDLLPRDTDLNCIGDWVVESVVKKKLNVLSVHLTSSKSLVGITGVISEIQQEKKGRDVYILGSANVGKSAFISALLRTMAYKDPVAAAAQKYKPIQSAVPGTTLGPIQIEAFLGGGKLYDTPGVHLHHRQAAVIHADDLPSLAPQSRLKGRCYPANDTDVALSGNTLFWAGLVRIDVIKALPRTRLTFYGPKKLKINMVPTTEADQFYKSEVGVTLTPPTGTERAEGWAGLQGVRDLKIKYEDNNRPACDIAISGLGWISVEPSGVPSSNPEENVEGEFDNGELHLIVHVPKPVEIFVRQPLPVGKAASQWYRYQELTEEEEELRPKWHY >Et_1A_006225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19366459:19378645:-1 gene:Et_1A_006225 transcript:Et_1A_006225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVAVENLNPKVLKCEYAVRGEIVIHAQRLQQQLQTQPGSLPFEDILYCNIGNPQSLGQQPVTFFREVLALCDHPSLLEKEETKSLFSADAISRAKQILAAIPGRATGAYSHSQGIKGLRDAIAAGIAERDGFPANADDIFITDGASPGVHMMMQLLIRDEKDGILCPIPQYPLYSASIALHGGTLVPYYLDESTGWGLKTSDLKKQLDEARSQGIDVRALVVINPGNPTGQVLAEENQYDIVKFCESEGLVLLADEVYQENVYVDDKKFNSFKKIVRAMGYGEDDLPLVSFQSVSKGYYGECGKRGGYFEITGFSAAVREQVYKIASVNLCSNITGQILASLVMNPPKPGDESYAAYKAEKDGIVESLKRRAKSLEDAFNKLEGFSCNKAEGAMYVFPQIRLPQKAIEAAKAAGKAPDAFYALRLLEATGIVVVPGSGFGQVPGTWHIRCTILPQEDKIPAVITRFKAFHEKFMAECWRSWITSATASLAARSNPQSMGQQPVNFFREVLALCDYPYLLKQSKTSSIFSAEAIIRARDVLDRIPGKATGGYRLRDAIAAGIAFRDGFLCTPEDIFLTDGAAPPVHMMMHVLIRDEKDGILCPIPSHSLYTNSLILRGATLVPYYLDESRGWAVSMPELKQKLDGARSKGVSVRGLVVYQENIYTYEKKFISFKKITRSMGYGEGDISLVGDESYASYQAERDNIISSFSRCAEAMMYAFNRLEGVTCTKVEGGMFLFPSISLPEKAIAGAEERNTEPDVYYALRLLEATGIVVVPGSVFRQLPGTWHFRCTLPPQEKMSLIISHFTSFHEAFMEEFCD >Et_10A_000714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15975007:15978077:-1 gene:Et_10A_000714 transcript:Et_10A_000714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVYFFPWRFIVFPWTGSSKKHEHKSKQKLEKKLSFYSKVKDAVTTLNANKTIGKHGIFVHEGKQAEGFRFIVMFILDEFYFLALQKKKQSHSRQKKLKAYDLSALGEFLPETAAPEQKPEAKLNCKSRQTLVQREAAQLKAIMNNLHFQLDPFAAIHQHLLATQPPDAVKKDDAVKHGKDSKDKKRRRKKKNASPGSQSMEI >Et_4B_038250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27552699:27555261:-1 gene:Et_4B_038250 transcript:Et_4B_038250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAAAGGAGLTRWQAAALSAVAGWVWAATFYDLTRRTRKLVQPWVKRRVLAETPAILRFQRVQHKLLDDFFSVLSCVVSVPFYTGFLPLLFWSGHSKLARQMTLLMAFCDYLGNTVKDLVSAPRPSSPPVRRVTATEDEKENAMEYGLPSSHALNTVCLMGYLLHYVLTYGPHGSTMIAAGLSLGFLLVMLVGIARIYLGMHSLTDVIAGICFGIIILAFWLVVHDHVDAFVVAGQNGLSLLMCFAYPKPEFPTPSFEYHTAFNGVAFGIVFGIQQTYFHFHTPDVPLIFSPQLPLLAFVGRVLVGIPTILVVKFCSKALSKWLLPVMCNTLGIPIVSSCYVPALKVDNSKNKADAKQAGYLQKVFSLFPQKAYNVDTGIRFVQYASLAWSVVDLVPAIFTHLNL >Et_1A_005818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14326444:14338569:1 gene:Et_1A_005818 transcript:Et_1A_005818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSDASSKGGDQRSFRQITRDRNNRPPYPASYSNMRMPQGSGDNVMDWPFLASALVLIMDKFTVKIMSYACKMADITEEGVSLVEDLYKRRQPLPSLDAIYFIQPTKENIVMFLSDMSGRSPLYKKAYVFFSSPIQKDLVAQIKKDSSVLPRIGALSEMNLEYFAIDSQGFITDHERALEELFSENAEGSHKYNACLNTMATRISTVFASMREFPRVHYRVAKTIDASTMTTLRDLVPTKLAAGVWNCLAKYKTSIPRFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVHEVPSKNGSATEKKEVLLEDHDPVWLELRHAHIADASERLHDKMTNFISKNKAAQLHQARTGGELSTRDLQKMVQALPQYSDQIDKLSLHVEIAGKLNDIIREQYLRDVGQLEQDLVFGDAGTKELINFLRTQLGVSRENKLRLLMIYAALNPDKFESDKGGKLMQLAGLSADDMIAVNNMRCLCGPDTKKSSGGGFTLKFDVHKKKNANRKERTGEESAWALSRFYPVLEELIEKLSKGELPKDEYNCMNDPAPSAHGPPTSTSVRTSPAHSMRSRRTGGTWARPRGSDDGYSSDSVLKSASSDFKKLGQRIFVFVIGGATRSELRAAHKLTSKLKREIILGSSSLDDPPQFITKLKLMSAEELTLDDLQI >Et_5B_043668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13947664:13948940:1 gene:Et_5B_043668 transcript:Et_5B_043668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRLITTRSKGMIPDTFHGTVNTKARLPAPPLPQSPSQGAGRAPVCPRPEAPLEPDDLPKLSYLKMVVKEAIRLHHNGRQFTSPPLAATMSSRVHACSLMDPESWENHDEFPPIRFENSSVDLIGQHFELVPFGAGRRACPAIAMGAANVEFSLLNLLCCFNWALPAGMKREDVSMEEEGRLSFHFHRKVRKSSFATGLRDGPHSSVLSRKPSTLSHP >Et_3B_031281.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:2473380:2474069:-1 gene:Et_3B_031281 transcript:Et_3B_031281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQKSHEERAQSAAQKAADELAAARQDRQEASPRGSGGGGFLSSVMGTVKDTFSGSGGGNTTTTATHDSAAATAGDYAEEGKAKAGGATDAAMEKAAETKDAAMDKAAQTKDTAMEKAAETKDAAAEKVRGAMDAAADRARGARDAAEGKAFGARDAAADKARGMKDAAADRAEGAKEYAAEKSAGAEAEDEDVMLRVKAADQMTGQAFNDVGPMGEEGTGMPWQRR >Et_5A_042861.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:5718212:5719549:-1 gene:Et_5A_042861 transcript:Et_5A_042861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQQQQTGAAVSSAPATNGSGNGGELIGYVDVHVQSARDIQNICIYHKQDVYARVSLPGEGAPVASTQVVNGGGRNPVFDQSLRLGVRSGDVDAALRCEVWMLSRVKNYLQDQLLGFALVPLAEVVAADGGTLAREFQLTTSDLFQTPSGFLQLELSYIGVVPEVVPISPTPKPALADPEEPEVVAAGAGAGKGKEYEKIEFPDLNLVEANQIMVSEYTGLPVEPQTSESLLTSEDGDGATTVNHDAGVRLVESFSAENSTADSAGAFRSDTPVSSVSTTESPAVAAVPATPQSNSSAGNAHSSAGHKEKPAASEAADAEVDSSRTVQESPAVNSPGTVSENAVDKPVIRVNIEQEVMVDQNQIMDMYMKSMQQFTDSLAKMKLPPLDVDNGGSEKSTSAATAGPASSDADSSGADSSAVKKPTAGQQEKPSPKVFYGSRAFF >Et_4A_033143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1911917:1915157:1 gene:Et_4A_033143 transcript:Et_4A_033143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGFSFLLPGTVALNQLKRQAGRLPYYALLVGSQVATFGKDEQRSGPVHILYKDYNFDQKFSLSTSSNSGLGITATGVKINEEFIGDIRAQHKCGRTTVDVIIDTDSKVSTTVTVDEALPGLKTSLNSRVPDHKSGKLDLQYIHNRFALSSTIGLASAPLVELAATVGTSELCVGAEVGFDSTSASVTKYNSGIVYNKSDFSASLLLADKGETLKASYIHLFNPTNGATVAAEVTHKLKTKENYFTIGSSHALDSSTLLKTRFSNTGKVGLLCQHEWRPKSLVTLSAEYDPKVVHSPSRFGVAMSLKP >Et_2A_015758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17592186:17597370:1 gene:Et_2A_015758 transcript:Et_2A_015758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSSVVTSKGKAAFELKHRLVQALNKIADRDTYQIGLDELEKAVDTLAPDMIGPFLSCVIDTDADQKSAVRKECIKVIGTLARLHGNLLAPHMGKMVSSVVKRLKDTDSVVRDACVDTCGTLAMCARSYGDGGAAMVALVRPLFESLGEQNRYVQAGSALCLAKVIDESSYFPGPVLPQMLVRVVKLLKNPHFMAKPAVIEVIRSIVQAEGASTEQSLSSALTSIMDALKSSDWTTRKAASQALSSIAVNSGYLIAAFRTSCLRSLERCKFDKVKPVRDAINHAIQLWKAIPGSHTPEPSEAGSSTKENFSDHHDARSIHDGASRDTSFRRLDHGPSVSVISSSSVNSAMRRSPLSINKISRNNAANQQRMKSGGWHVEVSVPKQNMMPPVYTDEKESSKVCARGAYRLVDEDSKFDYDIMDDKQECSSVSEVASRSCDTKHVTTAQECTEDCASTQVTERCPRGRETKSTDSTVTDVTAHGTHSCCLNAMNELTHIRSQLQEMERRQANMFDLLQEFMSNSAENMSVLNLKVHNLENAVDKTVYTITQSESRYHLPGSKFLKNQSVSSSPRLSTSTPRSSVDANYKPPPISHLRHEKKWLHDLPSKGSSMCSKEGAEFLKDDARNRVRKSEPVISDSNLARYAPSSVRSRATGVKGIFPVSVTSSCEQTEAQNALCPTGEFENADGIESAYRETLNCGDYDDLIHLMDRTGPVLEKLSCETGNELLRVIAGQFLNKKFFNLALPWLQQLVDLSMSCKPTQLFSSVRAQKEFLSALEEAATSGSREPAIRIAIAQLAFKLTKVFEVGPCRKISARVRRGNETIMATAINQKQKHHQSESSLLCETLRRPPILLLLVARWTHWSSDCTTSDMDGRNSGSDCNITSKQNSE >Et_1A_006220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19358010:19364827:1 gene:Et_1A_006220 transcript:Et_1A_006220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYFTYHPPLRPSNYNVLDIITNLKHIKDDIRKQARKGQTKSVRVTYKMGGIDMASTSSSTAVAGVEPRVLVRSFQLYKTESTLKEATRQYSTKEKELYVIQYTVDPASTHEIMFYLVGSDDSKTTWRVLKIDRREPTSLELVEDPTHYTAAQCDDLLRRINDGNKATGGLRLVTKCYGVVGFVKFLGPYYMLLITGRRKVGTICGHDVYLVDKSKIIPIPAPAVLPDVAHSSDEQRHKRYLCSVNICKGFFFSYSYNIMHALQKNISSDKNTSEMKYESTFVWNEFLTQDIRQHIENPIWTVSLVHGFFKQDKLSVSGKDVWLTVIARRSCHFAGPRFLKRGVSEKGDVANDVEIEQIVWEGKQDVMADQITSHVQRRGSIPLFWSQETTKLPIKPKILLKRDECHKATYLHFENLVKRYGNPIIVINLIKVIEKKLHESLLRVEYAKSIDHINEGLTSDKRIKFIHMDMKNYCRSGEVLPILFSIGSAALAQTNIFHCQFNLAAKSEDFICSKELGVVAVSSGNTNYLDPQFLAMEMVPLSLQKGVVRTNCIDCLDRTNGAQFAFGCAAFNQQLNALGLIGVPKINIDDPLCLTLMDLYEQMGDALAIQYTGSAAQNKLFWVQRGQWSAVSRFQELVRATQRFVSNAFMDNEKQNALNVFLGNFQLEQEKLAAWTSGSAKICTRHATPIDEHNGSFSMIMLLLDAHVWMFCGNSSTLPERHQSQHHMAQGQTYITIRSCTADVRMRNIEVGHIGTQPVEDLRKKVGLLEPGSLT >Et_1A_007738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37726631:37735058:-1 gene:Et_1A_007738 transcript:Et_1A_007738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPREADVPVLIVVFVVLPVLAYFLLGKWNDVVSKKARASVLAQRAAEEAFTVEAMACPDVISPGPPLRPMPYWRPAPSFRHEYHECATCHAPAKTRCSRCNSGKCQIIHWRQGHKETCEQWLGNGTCSSGVPATEATEHMPFLSNLNSPLPSGDIHLRDMNFDTLSEPSFPTTDSYNLDMDPFPVDRSNMKKFNHSHHTSEMGAIGVSFEKNNIIGDEESRPSEILSGSKVSGSEVKSGNCDATYPVKSTAQQSSISTPETRKRPKVSITVYEPDAGVYLTSDMISSCEGPYASATVPLQRSFSSGKSIGKGNTVHKRPPYPSGRVAAPQKSQEKVPASHQNDGHEKNPCNKNDQRATQTNAPTSSNLQGCNGISKIGASKVDVLKKPSKFLKTSLVGLINDNKRSKVLFPYEDLVKFFQYEVQGISPRGLFNCGNSCYANAVLQCLMSTKPLMIYLLLRLHSKDCCSKSWCLMCELEQYASSLRESGGPVSPSRILSNLRNIGCRLGGGTQEDAHEFLRHLVMSMQTSCLDGLGGEKHVEPTLQETTLIQQMFGGRLKSKVKCLRCYHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCAAYVRARKQLSVHEVPNILTVVLKRFQSGKYGKINKCVTFPDMLDMVPFVTGSGDNPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVQPVSVNQVMSEGAYMLFYLRSFPRPPRIYIEKGLLPVSTSVKRHTSKSAKGSKQEHKQTDLLFSAHDQAYGIYDFRPDGEGYAQDQHAEFRSRNFHYSDDAFTDSVSTDFSEATSSEWSLFTSSDESSFTTESTRDSFSVVDYGDNAGLDPISSIFGPCYAPEHPPGNFVSSTRFSPSNPQTRYFSESTGFVSDSSMPTHPHGTVHRGRYPDRACASSAEPLASAYQRSAYGRYALSRDGFVQTSGFCQM >Et_1B_014459.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9853728:9853907:1 gene:Et_1B_014459 transcript:Et_1B_014459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHHVAWQMQLPHRAMRNLARRHGPVMLLRITLVVSTSRDAAREVLKTHDAAFASAH >Et_2B_022353.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18923355:18923540:-1 gene:Et_2B_022353 transcript:Et_2B_022353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMMFRLIVDRHSFTGTTRPAAACSSRSSACTSSLRTCSKDSIRLALKSSSAHSLRAVRQ >Et_4A_034696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5538546:5544549:-1 gene:Et_4A_034696 transcript:Et_4A_034696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRRVFEKNQKNQLRQGYDHTSLRVKASSPECSHTQKEKMGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSLLCDAEVALIIFSGRGRLFEFSSSSCMYKTLERYRTCNFNSQEAGAPLDSENQMVLDQIFDLKSKEQELQDLNKDLRKKKPVERVLCIWFILHSGTSGNANEPYQEFLHHPEDDSSLQIGMGSARHPNEHGRSGWI >Et_8B_059402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17627044:17633892:-1 gene:Et_8B_059402 transcript:Et_8B_059402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAASARLLLRSLSPTSATSSTCCIKPRLVATSAAARLALSRPCGAWAGRALGGLSGKSRAPYQAAGVRAQIGGAAVPAVERFQRRMATQATEHPFKSILTNLPKPGGGEYGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVTANDVEKIIDWENTAPKLAEIPFKPARVLLQDFTGVPAVVDLAAMRDAMAKLGSDANKINPLVPVDLVIDHSVQVDVARSPNAVQSNMELEFSRNKERFGFLKWGSTAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGMLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLRSGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLDYLKLTGRSDETVSMIEAYLRANKMFVDYNEPQTERVYSSYLELDLAEVEPCISGPKSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLEVKPWVKTSLAPGSGVVTKYLLQSGLQEYLNKQGFHLVGYGCTTCIGNSGDLDESVSAAIAENDVVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGVGKDGKEVYFRDIWPSTEEIAQVVQSSVLPDMFKSTYEAITKGNPMWNQLTVPEASLYSWDPKSTYIHEPPYFKDMTMSPPGPHSVKNAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLLERGVDRKDFNSYGSRRGNDEIMARGTFANIRIVNKFLNGEVGPKTIHVPTGEKLYVFDAAMRYKSEGHDTIVLAGAEYGSGSSLKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYNIELPTNLSEIRPGQDVTVTTDNGKSFTCILRFDTEVELAYFNHGGILPYVIRNLAGAQN >Et_10A_001677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6736041:6736990:1 gene:Et_10A_001677 transcript:Et_10A_001677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLRKWCHQQLYRGAAFPKTAWRAVIVGSPPQLFNGDRRLYHGPVEAAPASTEKLTTVLTIDGGGIRGLIPGTILEFLEGELQKLDGPDARLADYFDYIAGTSTGGLITAMLAAPGKDGRPRFAASEINPFYLEHGPRMFNREWGEMAAKISKAWGPMYNGKYLLDQICEVLGTTMVGDTLTNVIIPTFDVKKLQPVIFSKYDAKNKIVKNALLSDVCIGTSAAPTYFPAHRFWTKEEEGDWHEYNLIDGGVAANNPVICPHTLSCHDFVSSYIRIHTSIT >Et_3B_028828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19624243:19628489:1 gene:Et_3B_028828 transcript:Et_3B_028828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDLASKAKEAFVDDDFELAAELYTQAIDAGPATADLYADRAQAHIKLGNYTEAVADANKAIELDPTMHKAYFRKGAACMKLEEYQTAKAALELGSSYASGDSRFTRLLKECEERIAEEASQAPVKKVEAAPAAPVDAAVGDNENGANLENTESMAEEPKKLKYRHDFYNSPTEVVLQIFAKGVPADSVTVDYGEQMVSVSIDIPGEEPYHFQPRLFSKIIPEKCKYLVLSTKVEIRLAKAEELHWTSLEYNGKPKVLAQRINAPAASAPRPSYPSSKSKKDWDKLEAEVKKEEKDEKLDGDAALNKFFRDIYSDADEDMRRAMMKSFVESNGTVLSTNWKDVGSKKVEGSPPDGMELKKWEI >Et_3A_025866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33481856:33485913:1 gene:Et_3A_025866 transcript:Et_3A_025866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAPKQLKVRVPANEGVARYLHEKRLSVQEQTGGLKVHLDRTFAKAYRNVCDAAEPIRTLKEFSKIKGVGPWILRNMKEFFGDSNQDLSPTKGKKPRGSKSSLPKKNTAAPSSGKGLSTKVGLSACSSSVIPVSSQRTFELQASGTMGSFNILDNATPYLDNSVWTMPPRQLNEDFLETYEVVLILDDRENFGPHSRKVAASKVADKIHSQCKAPVEVKRLPIGDGIWIARHRRHRTEYVLDFIVERKNVADLGSSITDNRYKDQKLRLQNCGLRKLIYLVEGDPNRTNASERIKTACFTTEILEGFDVLRTSGYTDTVKTYSDLTSSIIEYYSTNFSTLAESSCVCPTYDEFEGRCRGLKRKTVSQIFALQLMQVPQVTEQVALAVIELYPTLLSLARAYSMLEGDIRAQEEMLKNKIKMANAGASRNIFKLVWGDGCGIQI >Et_10B_002709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:29522:35735:1 gene:Et_10B_002709 transcript:Et_10B_002709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLDVFLSSSPLGPIHGRRGDVVDDLWPEKRNGSTKTLRHMSWNGRESAPFLPWATVTAVAVASSEEGKKSFASLLLAVLLLLSLSTKFNLMNSLEACHLPSSFSNQRSKGEEGMPRRPQPAAVAPPARKVPLRKLLRAASVACGVQFGWALQLSLLTPYVQELGIPHAFASLVWLCGPLSGLLVQPLVGHLSDRIAPASPLGRRRPFIAAGAASIAAAVLTVGFSADLGRLFGDDITPGSTRLGAILVYLVGFWLLDVGNNATQGPCRAFLADLTENDPRRTRIANAYFSLFMALGNILGYATGAYSGWYRIFPFTVTPSCGISCANLKSAFLLDIIILVVTTYITVASVQEPQSFGSDEAERPGHEEEAFLWELFGSFRYFTLPVYMVLIVTALTWIGWFPFILFDTDWMGREIYRGSPDIPEETQRYHDGVRMGSFGLMLNSVLLGFTSVVLEKLCRKWGAGLVWGVSNILMALCFVAMLVITYVAKNMDYLPNGEPPIGIVIASLVVFTILGAPLAITYSIPYAMAASRVENLGLGQGLAMGILNLAIVIPQVIVSLGSGPWDQLFGGGNAPAFAVAAGASFIGGLVAILGLPRARIASSRRRGGTNR >Et_4A_035362.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21748281:21750008:1 gene:Et_4A_035362 transcript:Et_4A_035362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRGRACTSAAPIPSACFLLCLLLLAASSLLSSQPEGERPVILSGGGGASSSSQGSCEELQSVAGGEARCRYLRSHGRCSPAGYIDYLRLFYCGFARAPAAGCAALAAWLAVLFYLLGDTASEYFCASLEGLSAALRLPPAVAGVTLLSLGNGAPDVFASLVSFNGGGSGGVGLNSALGGALFVSTVVAGAVAIGARGGEGVVVEWRGFVRDLCFLLLALCYLLAVLVNGAVTVWVAVSFVSIYVGYVVVVWTSHCCSAEQGKPPPAGAGSLSDPLLLLEDDEDGDVPTLPSHTKTESRKAWPHCMVRMLCMPLYLPRRLTIPDIAGHRWSKPHAVASAALAPVLLAATWASQSQRNNPSSSSSSSSHRLHTLAILAGGGVVGLAAAALAAATTDAATPPRGRRRRVPWLAAGFLMSVLWAYTLARELVALLVAIGYMVGVKASVLGVTVLAWGDSLGDLVSNVAMAVHGGAGGAQAAVSACYAGPLFNTVVGLGMSMALAAAGRRPDAAFLVPADAAAYEAVAFLGAALAWALFVVPVRGMRVDRVYGVGLIAIYLCFFAVRAFETLGLWTY >Et_9A_062077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19499151:19499919:-1 gene:Et_9A_062077 transcript:Et_9A_062077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NPGGPDILTNYLEPMGCCGSSEVDAEEHLDYSAGNVTIVPDYRSWQRKLEEATELGQTIVIKFSATWCVPCRNAAPLFAELSLKHSDLAFVSVDVDELPELVTEFDIRATPTFIFMRDKKEIDKLVGGNQADLEKKFDPYCEQKD >Et_4A_032831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14438583:14439451:1 gene:Et_4A_032831 transcript:Et_4A_032831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKKQIEESLPEWRSQFLNYKELKRRVNAVVSSPAPSPAAEAEFLTLLDAEVDKFNHFFLEQEEEFIIRQRELQERIQRAAASPATEAEVARIRREVVDFHGEMVLLLNYSSINYTGLAKILKKYDKRTGGLLRLPVIAGVLEQPFFTTELISKLVKDCEAMMEAVFPAADDAAAAQHQKDGLAVAGADDQSIFRNTVAALLTMQEVRSGSSTIGHFSLPPMAPLPESDWLLQSVQQPSPLIPTQ >Et_1A_005983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16355344:16367215:-1 gene:Et_1A_005983 transcript:Et_1A_005983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLATASPPLTLWKWKPLLTAHPGANSPHALRLWAPALPLRISPIHRGSCAGVAVERTSCAVAVSGGVDTEVTEASGFGLRVAESLRRLGWPDDAVVFALATLPVIELRGAILAGYCMRLHPVRLTVLSVLGNMVPVPFIILYLKKLAVFLSQRSASATRIMDRLFELARRKAAPVEEFQWVGLMLFVAVPFPGTGAWTGAIIASVLGMPFWSGFSANFVGVVLAGLLVNLLMNLGLKYAIVTGIVLLMWGVLRSLK >Et_9B_065161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21754034:21755985:1 gene:Et_9B_065161 transcript:Et_9B_065161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVNPIEVAKAYKYKAELLLKDYMLADSYVLYAAVLGGILMCKLSYDITHIISSLYFKGYASLTKMRKIEWNNRGMSTVHALFITTMAAYLVFLSGLFSDQLDGPVTFRSSHLSNLTLGVSVGYFIADLAMIFWFYPSLGGMEYVIHHILSLVCVVYAMLSGEGQLYTYMVLISETTTPGINLRWFLDVAGMKSSKAYVVNGVAMFVTWLVARIILFIYLFYRIFINYDEVKQMDTFGCILVSVAPTILFVMNAIWFSKIVRGLKKTLAKRHVE >Et_7A_050951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12849417:12855978:1 gene:Et_7A_050951 transcript:Et_7A_050951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPNGAAGKKAAPPPPPSALSERALTIVSVGSLAAETVKKLPLALFVFLSALVYHQIQPPPAKIPGTPGGPPVTAPRIKLRDGRHLAYLESGVPKEKAKYKIIYVHGFDCCRYDVLNVSQGLMEELGIYLLSFDRPGYAESDAHPGQTEKSIALDIEELADNLQLGPKFHLIGFSMGGEIMWSCLKYIPHRLAGVAILAPVGNYWWSGFPPEVFREAWNVQLPRDKRAVWVSHHLPWLTNWWNTQTLFPGSGVKSGDPGVHSKEDVPLISKFVDRPYDEQVRQQGEHDALHRDMMVGFGKWDWSPLEMENPFAGAGEDVKVHLWHGVEDLYVPVQLSRYISKKLPWVIYHELPTAGHLWPAADGMPDIVVRSLLLGDEKLILALFAFLSALLYKQLQPPPPKIPGTPGGPPITATRTRLSDGRHLAYLESGVSKEKAKYKIIFVHGFDSCRYDALPISTELAQELGIYLVSFDRPGYAESDPHPARTEKSIALDIAELADNLQLGPKFYLIGFSMGGEIMWSCLKYIPHRLSGVAILGPVGNYWWSGIPSNVSWDAWYQQLPQDQWAVWVAHHLPWLTYWWNSQKLFPASSVIAYNPALLSEEDKLLMTKFGFRTYMLPWVVYHELPKAGHLFPIANGMADTIVKSLLVGDDDQ >Et_9A_061051.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:12568274:12568486:1 gene:Et_9A_061051 transcript:Et_9A_061051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSSSNPMGDRPLWKQVWKLQVPQKVKIFVWRLIKEGLVSKLNKWKRTLETTSTCAILVWKPNQVIML >Et_3A_024529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21690850:21716910:1 gene:Et_3A_024529 transcript:Et_3A_024529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASGSAGGGRGLLFPLAVAAVLALVLARAYPAAACYRRLFSFGDSLADTGNYRFVYVNDSRNPVLWPPYGETFFGHATGRFSNGRIVLDFVAEALGLPFVRPYLSGRSAEDFAFGANFAVGGATALSPDFFRDRGFDIGNPVHLDMEMKWFRELLHLLCPGDLSACSDMMNQSLFMVGEIGGNDYNIPLWLRLPYKKVRTFTPSVVAKISSTITDLIGLGAKTLMVPGNLPIGCIPSYLLTFKSNKKEEYEPDTGCIRWLNDFSKYHNKLLMKEIRKLRKRHPDVTIIYADYYRAAMEVSNILCCKMWIWRLQVRILGWHTSHRGYIQGHCKGPARRDIHTTSHFHHNQFMSTAEAMAFSASSSIGGGRGLLFPLAAAAVLALVLAGAEPAAAAACYPRVFSFGDSLADTGNYRFVYVNNSRNPVLWPPYGETFFGRATGRFSNGRLILDFVAEALGLPFVRPYLSGRSAEDFAFGANFAVGGATALSPDFFRDRGFDIGDPVHLDMEMKWFRELLHLLCPGDLSGGCGYGNYKVCENPEKYGSWDGTHPSEATYKAIARGLLEGTYTQPPISTTTNAWRGEPGLLLPAVAAAVLVLLAGAEPAAACYPRVFSFGDSLADTGNYAFYYGNNSGEPALRPPYGETFFKRATGRFSDGRLILDFIADTMGLPFVRPYLSGRKAEDFTCGANFAVGGATALSPDFFMARGFNIGQRVHLDMEMKWFRALLDLFCPGDLAGCSDMINQSLFLVGEIGGNDYNLPLLSRVSIEKIRTFTPSVVAKISSTITELIKLGAKTLVVPGNLPIGCVPKYLLIFKSDNKEDYEPETGCLKWMNEFSRYHNKLLMEELEKLRKLHPNVTIIYADYYGAAMEIFVSPERFGIENPLMACCGGEGTYGVSLSATCGYGEYKVCDNPDKYGSWDGFHPSEAAYKGIAMGLLRGTYTQPSIASTTSSCPQLTELGSSVEYKNRGAVLHFTAVAQVTGRGGPGLLLPAVAAAVLVLLAGAEPAAACYPRVFSFGDSLADTGNYAFYYGNNSREPALRPPYGETFFKRATGRFSNGRLILDFIADTMGLPFVRPYLSGRKAEDFACGANFAVGTATALSPDFFRARGFHFGDRVDLDMEMKWFRALLDLVCPGDLAGCSDMINQSLFLVGEIGGNDYNLPLLSKMSIEKIRPFTPIVVAKISSTITELVKLGAKTLMVPGNLPIGCIPKYLLIFKSDIKEDYEPETGCLKWMNEFSQYHNKLLMEELEKLRKLHPNVTIIYADYYGAAMEIFVSPERFGIENPLMACCGGEGTYGVSLSASCGYGEYKVCDNPDKYGSWDGFHPSEAAYKGIAMGLLRGTYTQPSIASTTSSCPQLSELGSSVEYKTMGILILLVSVVLLLSSPVALCGCYKRIFSFGDSIIDTGNFAYTTGNNSTPVKEPPYGTTYFHHPTGRVSDGRVLVDFYAQAFNLPLLPPSIPEQNSGKFPTGANFAYLGATALPPDYYKATYNFEMAGSSNLGKQLESFKTVLARIAPGPDATKSLLAESLIIMGEIGGNDYNYWLLSKDRPRDTPFQYMPDVVGRIGTAVQDMINLGAKAVLVPGNFPIGCVPGYLAPFQTRNAADYDANGCLVWYNNFSQKHNQLLQQEVQRLRSQNPSVKIIFADYFGAFMQFAKNPKKYGT >Et_7B_054349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20190035:20194152:1 gene:Et_7B_054349 transcript:Et_7B_054349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGAAVLAILSKLQSIGFCADLWIPDDFLSSDPSKAFDAVLVAFLREAYPGGREERPLPAALGEGRRVDLLRLFLAVHAAGGYAASSSVPNGGGWAAAAESAGLDSSFAVSVKLLYGKYLGSLDRWIQRLVEEQGPFLDGVGRKKQAVFNGANGVEKQEASLNCNERGHKDTILKRKREDMVGMLDWVRVLAENTQNGRAVAAGSADGYFSMVLAVREAVNRKRARRASMNSDALLQEMFPMTCKCSMNSTNGQLDVKVKCTEKFKVLHPDLEINEFTTGLKKMNGSLVENQLAAEGKHVSRNHQKCSDGWLFTSQQRNEIPIGPEHQAQVPHWTGELPVNYDDPETLKWLGTKVWPLENVDGKEPFFCDSIGKGRHVVCSCKFRQSVECVRFHVAERRLQLKRELGSAFFAWGFDRMGEEIALSWTDEEEEKFKAVAQLSAASSGRNFWNRLRLSFQRKGRKELLSYYFNCFLLRRRCYQNRITPKNIDSDDDEETEFGFLGNRLGHSAA >Et_2B_021461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29893660:29897521:-1 gene:Et_2B_021461 transcript:Et_2B_021461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAACRRGLLLHHQQWQQPLWAPGPGARTISQLVKTNGRRAFLVDTLALVRKLESQGVPTKQAEAITSAITEVLNDSLESISESFVSKAEMQKSEMLQESNISKFKAQVQSSQENHFSLLQRETEKLRGDIDKMRSELKYEIDKVTAGQRLDLNLERGRIRDELAKQNEETTELTTKLDKEIHSLKAQLEAAKYDVIKYCIGTIVSISAVGLAVLRIVM >Et_6A_047401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5157262:5172748:1 gene:Et_6A_047401 transcript:Et_6A_047401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWAGVAGLLFLLPLLPSASSMKLKLYEDVYPTRHFYVTLNIGHPKKPYFLDIDTGSSLTWLECNIDGPCTKCYKVPHDLYKPKKLIHCEHPLCNAVHEDLGSKNEHCPPKTQCDYLITYLDGWRSVGVLVADKCGYDQDGNPQKETVVDGILGLGRGKADLVSQLKQHKIITKNVIGHCLGRRGGYLLIGEEDVLSLPLNWMPMAQSKRIQNHYSPGPATLHLGTQLIGAKMDVILDSGSTYTYLPEKIHNQLLKASLSNSLKEVPDDDPELTLCWDRPGKFTSLDDIKKEFKSVVSLMFAQGVSMMIPPENYLIISKNQNACLGIFGMKKLDIYLIGDITMQDQLVIYDNENGRLAWKQSSCDNMPKSSHVIVSHV >Et_2A_018841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8827259:8832311:1 gene:Et_2A_018841 transcript:Et_2A_018841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARPGGSNLLGPANLKPSVNPSNHDADLFTDEITFSRKLAHACAEKQAVALTAYKQDSSPAAAQLVEGYYSKTCPNVEAIVRKEMEKIIGAAPSLAGPLLRLHFHDCFVRGCDASVLLNATGGMLAEKDANPNKSLRGFGSVDRVKAKLEAACPNTVSCADVLTLMARDAVVLAKGPFWPVALGRRDGTVSSATEAAKELPPAFGDIPLLTTIFASKGLDLKDLVVQPRASVEPRDREAIGFSVHFSQESFCLNKSQRPSAFKD >Et_1B_014006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27132482:27133280:-1 gene:Et_1B_014006 transcript:Et_1B_014006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACCGGTGGGAYVQHRHGHDVWLAGRDDDTYFSWDGAHQLTQSAYVCVVTHDRLALPQWLRLPYADHLELQDGSIT >Et_6B_049986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2650047:2655912:-1 gene:Et_6B_049986 transcript:Et_6B_049986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPRLLAGGDHHPPPTSASSPEHPFLSAHLLLPSPSPSPADLSSPHLPLALAFSFLTHPSPLPRSLLATLHAAGARFPAFYPAFASALLSLPFPLLLPHSRTRILLAVAELARAAAPGFAPLVVSLLRRVPFQGDARLLELLAEHASFVADEAPQLLASAVFAFLRLLAKNRLAPFPSCFDCKDCEECNNAKNLQECREKVVSFCVTVLRDHLNVCALIGRDLVRLLHDLMLVPEFQALWKDSMRDRTADICRVGMPGWCTAVAISPEMETQLLFMMNSVKWGDQKRYQLWFARKHLIVPGGEERIPDIIHFICCGYHPTNEIMQSGVIARWAVIGWLLTSCNKAYVQANAKLALFYDWLFFEEGRDSVMNIEPAMLLMVNSISQYTEITNMLLEFLFLLIENYDVWRKEAIAQCVRRAFGVLVKKGVVPSLEPLIGCEKLSPLLRQKLVSFLSTICPGTPEEAREKTIDQLWINMQSGTRREEERTEVRKLLRQEEKEYGLWSSPAGSPIVFPIFPATKKGQKYAPSEVLPAASTITKSKKEIVRRAMN >Et_1B_009768.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25822841:25824106:1 gene:Et_1B_009768 transcript:Et_1B_009768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGPPAGLLGKPSLTLLKRPLAPYNSFYAEHTGILLYGASHQPALSGKTGISLRPNVHGVQRHYIIAALKPTSWEMQEEFPQGNFILSLYNSKKEDWTVSFISLNKEQRQQYGHDFEHANSKVITIGGDAGTMGFVDLWKGILFCDLLSNKGEVIPSQFRYIKLPSTRRNSMFRGDARLARDIAVINGQLKFVELQVHWKESRVYKGDFFEDGWMATTWSKPSSCLSGHWSREYKVDSKDMNFKDSPYVNLLPRVLSDEDVLLPPFKRIDIYQPTLGLNGDDSCVVYFMTKVNRRDKDAWVVAVDMKRNTLDGVAPFVAARNTLITFAYMS >Et_1A_008196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5074277:5077885:-1 gene:Et_1A_008196 transcript:Et_1A_008196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPRFFHLNHEICFTTQASQAVRQHGSKQTGPSCRRHRRRPSTEPCFLRLRVAHTAAPGDIRAQTEMHLSANEGIEGVRFAVTGGQGFVGAALCLELLRRGAREVRSLDLCADSPWSQQLIDAGVRTFQGDIRKKGDVGRAFRGVDCVFHLASYGMSGKEMVHAGRADDININGTCAVLDACHEHGVRRLVYVSTYNVVFGGKPITNGNEALPYFPIEDHVDAYGRSKSIAEQLVLKSNGRQAKGDTSTRLYTCAIRPAAIYGPGEQRHLPRILSLAKLGLAFFKIGDSDVKTDWVYVDNLVLALILASMGLLDDIPDRKGTPVAAGQAYFICDGSPVNTFQFIISPLFRSLGYAIPQVTLDTSVALTISRVFLFISTLFYPWLDCKWIPQPLILPAEVYKVGVTHYFSYLKAREELGYVPMVSPQEGLAATISYWQERKRRELDGPTIFTWLAVIIGMFCVFSAACLPPVGPLKWILDIHLLVFRSMLVIRLVFVVAVALHFGEAVYAWFLAKKVDPSNATGWFWQTFALGIFSLRFLLKRARG >Et_1B_012280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30422997:30430046:-1 gene:Et_1B_012280 transcript:Et_1B_012280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAASRLARAARTAAAARFRAGGGGGRDVLPRALAPLAGDASAGAAAVARRSPWLAGAGRFPVGAASASGLLVPRHRLFHSTTPAQYSATGTSSSSQIAPGEFTEMAWEGIVGAVDAARASKQQIVESEHLMKALLEQKDGLARRILSKAGVDNTSALQATDDFISRQPKVSGDTSGPIIGSSFVSMLDNARKLKKEYGDDFVSVEHVLRAFASDKRFGQQLFRDLKVDENDLKDAISSVRGSQRVTDQNPEGRYQSLEKYGIDLTESARRGKLDPVIGRDDEVRRCIQILCRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLMNRRLISLDMGALLAGAKYRGEFEERLKAVLKEVSASNGQIIMFIDEIHTVVGAGAAGGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDAALERRFQQVYCGEPAVEDTISILRGLRERYELHHGVKISDGALVAAAVLSDRYITARFLPDKAIDLVDEAAAKLKMEITSKPIELDEIDREIIRLEMEKLSLKNDTDKASKERLSKLEAELESLKQKQKNLSEHWEYEKSLMTRIRSVKEETDRVNLEIEAAEREYDLNRAAELKYGTLLSLQKQLEEAENKLVEFQQSGKSMLREEVTDIDIAEIVSKWTGIPVTNLQQSEREKLLLLEDVLHKRVIGQDIAVKSVANAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELGKTLAEFLFNTENALIRIDMSEYMEKHAVSRLVGAPPGYVGYDEGGQLTEAVRRRPYSVVLFDEIEKAHPDVFNILLQLLDDGRITDSQGRTVSFTNCVVIMTSNIGSHLILDTLRNTADTKEAVYEIMKKQVVEMARQTFRPEFLNRIDEYIVFQPLDTTEINRIVEIQLNRVKNRLKQQKINLQYTPEAVQLLGSLGFDPNYGARPVKRVIQQMVENEIAMSVLKGDFKEDDTVLVDVSSAAIAKGLAPQKKLVLQRLENGKEELTGTSE >Et_5A_041457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23105343:23109040:1 gene:Et_5A_041457 transcript:Et_5A_041457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNNGSNAAASGWLGFSLSPHMASSTTMDDHHHHQHVQQQQQQQQHGLFFPSVTAAAAAYGLAAAGDAVATSSPYYTPQLASMPLKSDGSLCIMEALRRSDQDHHGPKLEDFLGAAQSQAMALSLDNASSFYYHYGGAGVGGGHHHHGGLLQQQPCGGDVYGGPSAAAALVADDDAAAAAATAMASWVAAARAEQAAGFLSQQQQHNHTLALSMGTASLSSCVTTAGAGDYGGALAAAAMDGGRKRSGAAGQQKQPVHHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPLEDYQEELEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDITRYDVDKIMASNTLLPGDLARRKKDDDVAAVSNANANAVTDAAPAALAPVAETWKIAAARAGGGEHQIGNQHHDVLSGEAFSSVLHDLQVATSGAGGGAAQHMPMSSAASSLVTSLSNSREGSPDRGGGLAMLFSKPSPQQHLQANNKPMSPSSLPPLGSWARPAAVSIAHLPLFAAWTDA >Et_2A_017809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6438472:6440784:-1 gene:Et_2A_017809 transcript:Et_2A_017809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLKPHEKKLLKKTNFLEYKRERGHREAVVTQRYTLVDRDDYKKYNGICLMVQKLVNIIKQMDPRDPFRIEMTDMLLDKLYNMGVIPSKKSLLKCENLSASAFCRRRLATVMVKLKFAEHLKEAVTYIQQGHVRVGPETVTDPAFLVTRNMEDFITWVDSSKIKRKVLEYNGALDDFDAMS >Et_6B_049219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:267553:268166:-1 gene:Et_6B_049219 transcript:Et_6B_049219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPELQNSAVSLLVLLLPVIYIWYHLVRTLTKKKPSTHGLKCHPLLGHLPAFVKNRHRFLDWSTELIHRMGFWIPGMRTGIITGNPADVEHVLRANFGNYPKGEHAISMLEDFLGHGLFNSDGEQWLWQRKNASLQFTKRSLRKFVVDVVQAEVANRLLPLLRRSSTGGEVVLDLQDVLERFAFDTICMVAFGHDPCCLAN >Et_5B_043524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11462473:11468059:-1 gene:Et_5B_043524 transcript:Et_5B_043524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPRRSGDPSSPTSPLLPSPTSPGGGGGGPLGRLSGLRGAARFIRRTGSRRLMREPSVAVRETAAEHLEERQTDWAYSKPVVVLDVLWNLAFVAVAAAVLAASLSEQPSVPLRAWLAGYVLQCLLHVLCVAVEYKRRREGTARGGAEQEGVGDGDFKLSVVKHLESANTMFSFIWWIIGFYWVSAGGQALSHDAPQLYWLTIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVTDQEGASEEDINNLSKYKFRTMGDADKLVAGIAAPVGGVMTECGTSPPVEHILSAEDAECCICLCPYEDGVELRELPCNHHFHCTCIDKWLHINATCPLCKFNIVKSNLGREEV >Et_8B_060212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7533974:7534507:1 gene:Et_8B_060212 transcript:Et_8B_060212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIKRYCLPYESIWDDPVPSPYPIPKCACNMTAVVTQLSNPLTVARAYFCCDNKLEMPPPGLKYTPFFQCSIAL >Et_1B_013295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7057359:7058886:-1 gene:Et_1B_013295 transcript:Et_1B_013295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQALISSVPAFSPASHFLHAAPSTSPLLFTHSSSTSASCSPAVAPSMASFVAHHPGSLVEREGRMAAALRSSLRPCDVAEEMAAAAAAGPGAWGGLDRGVGDEFFVEELLDLEDLCEVDTDVADLGDAAPSPAVEEDKSSDSHGSSGVSYELMPLPPRVMDLPAHDAEELEWVSRIMDDSLAELPPVPHLSSPSFALRRPTEPTTVPRMVPVPTPTICALSTEALVPVKGKAKRSKRSRGSVWSLSGAAPLSDSTTSSCSTTTTSSCSSSSASFPPLLLLDSSPFALLEQQQQQAQQRSSKKSKHGKNGGGGKPKKRGRKPKHQPRPQFSAGGGAGSAPAPGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSSIHSNSHRKVLEMRRKKEGVLVVVPTATAPAVASF >Et_1B_010215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30448161:30448535:-1 gene:Et_1B_010215 transcript:Et_1B_010215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_10A_001208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22172635:22174315:1 gene:Et_10A_001208 transcript:Et_10A_001208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSQQQLVKCAYGHRETTVYPRVRPFRLTRCFIPLRAPINGGQRSLCTHHRFRRCPRPPAQSARNNPPLLQLHPSFPAMADRVHPVPPRPDQQPDGAAIDALATETTPLQQQQHPSFNRPPAPPPGTYIVHVPKDQVLRVPPPDRALQYKKLAARPARRRRLRRACCLSCAALLLLVLLAAALAGAAYLVFRPRAPAFSVASLTITRGLDNNLTQSSSSPEIVAAVRADNGKNRKVGVDYRGGGELAVAYSGVRLATGPWPAFRQAPRNVTVFSASLRGEGVTFTDEQRRQLAAEQAAGAVPMAVEARVPVRLRFGKTVLRTWTVDVKATCDVAVDKLAGLATATNRGCRVRVKPLWWWCGDDMIARRLDKATAS >Et_8B_059313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16574697:16580136:-1 gene:Et_8B_059313 transcript:Et_8B_059313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGVGDGRRNNGEVVPAGAGRCVCGFQVCACAGAAAVASAASSADMDKMALAATATEGQIGAVNDESWVAVDLSDDLSGDGADAGVALEDRPVFRTEKIKGILLHPYRVLIFVRLIAFSLFVVWRISHKNPDAMWLWVTSIAGEFWFGFSWLLDQLPKLNPINRVPDLVVLRQRFDRADGTSLLPGLDIFVTTADPFKEPILSTANSILSILAADYPVEKNTCYLSDDSGMLLTYEAMAEAAKFATVWVPFCRKHGIEPRGPESYFELKSHPYMGRAQEDFVNDRRRVRKEYDEFKARINGLEHDIKQRSDGYNANVKDGEPRATWMSDGTKWEGTWVEPSENHRKGDHAGIVLVCSLLLTLVVLARHSLPLNCACACSAACMEQLVIADDAVEWWMQVLLNHPSHTRQLGPPASADNPLDFSLVDVRLPMLVYVSREKRPGHNHQKKAGAMNALTRASAVLSNSPFILNLDCDHYINNSQALRAGICFMLGRDSDTVAFVQFPQRFEGVDPTDLYANHNRIFFDGTLRALDGMQGPIYVGTGCLFRRTTLYGSDPPRINVGGPCFPMLGGMFAKTKYEKPGLELTTKAAATIAKGKHGFLPMPKKSYGKSDAFVDTIPKASHPSPYSAAVVADEAAIAEAVAVCTAAYEKKTGWGSTIGWVYGTVTEDVVTGYRMHIKGWRSRYCSIYPHAFIGTAPINLTERLYQVLRWSTGSLEIFFSKNNPLFGSTFLHPLQRVAYINITTYPFTAIFLIFYTTVPALSFVTGHFIVQRPTTMFYVYLLIVLGTLLILAVLEVKWAGVTVFEWFRNGQFWMTASCSAYLAAVLQVLFKVIFRRDISFKLTSKQPAGDEKKDPYADLYVVRWTWLMVTPIIIILVNIIGSAVAFAKVLDGEWTHWLKVAGGVFFNFWVLFHLYPFAKGLLGKHGKTPVVVLVWWAFTFVITAVLYINIPHFHNSGGGHHAKHAGAHHGAKHFVEAYGWP >Et_1A_005502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10904975:10908017:-1 gene:Et_1A_005502 transcript:Et_1A_005502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGVGGAAAVHHHARSPEDVFRDFRARRAGIVKALTTDVEKFYQQCDPALGINFARDGMVEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKEARRRLFTMINNLPTVYEVVTGVAKKQTKAPNGSSKSNKSNSKPPKQNNSNNKPAKPTNPKDEEDSGREDAGDEDQAYLCGSCGESYANGEFWICCDICEKWFHGKCVRITPAKAEHIKQYKCPSCSSKRSRE >Et_2A_016170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21776602:21777696:1 gene:Et_2A_016170 transcript:Et_2A_016170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSFPIIDMGLLDGQKRPAAMELLRDACENWGFFEVMNHGISTELMDEVEKMTKDHYKRVREQRFLEFASKALKADGSDARGAVKAEDLDWESTFFVRHLPESNIAEIPDLDDEYRAVMKRFAGELEKLAERLLDLLCENLGLDKGYLTRAFRGPSGAPTFGTKVSSYPPCPRPDLVSGLRAHTDAGGIILLFQDARVGGLQLLKDGEWVDVPPMRHSVVVNLGDQLEVITNGRYKSVMHRVVAQPDGNRMSIASFYNPGGDAVIFPAPALVKAEEAAGAYPKFVFDDYMALYVRHKFEAKEPRFQAFKSMETETSNRIAIA >Et_2A_014530.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:2068522:2068881:1 gene:Et_2A_014530 transcript:Et_2A_014530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPATTALGFMLLTGNSIIAVRKSRGDTAATIFIISSYACLVLLFYCLRRYETAAPGSVARERARVGVWLLTTLLTSMFSWRVAALMPWPVAAVIGLMAASTVLGGFYALFLSPRTGD >Et_6A_046094.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2451381:2451925:-1 gene:Et_6A_046094 transcript:Et_6A_046094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYFILSDDGPKTYSRRKLLPPIVVNANCYGLMEVVNHIAEHFMWGSKQYISLFRASEKKARDEDSVGVDE >Et_1B_010430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10472453:10472878:-1 gene:Et_1B_010430 transcript:Et_1B_010430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKGRKRFGGSGGGEPTAKRQAAGDDGPSESSDEGIVVAEISKNRRVAVRNWNGKVFVDIREFYVKDGKTLPTRKGTVPAPSPLMFLFNNPRGLRRLLPDQ >Et_1B_010928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15605748:15606301:1 gene:Et_1B_010928 transcript:Et_1B_010928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRSERRIIETGRRASPKTDTYSFGVVLLELLTEGWRGPCGTATTVSLVEWASPFLTEGRIQECIDPKLGDQYSPAAALKVRVALSCLVSHLKTCRCFLPLGRIAARCVQSRATDRPSMGTVAGEIYLLALMHQIIDY >Et_1B_011134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1832558:1834782:1 gene:Et_1B_011134 transcript:Et_1B_011134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFGRASSRVPPAAAWYGAMVLVLALAPIVSTLASPPTRRNVTLICQSVPYPGACETALSSAEARLSVNPFVGSTQFAMSRATSALALARSLSASAPPSGLRDCAELLDITVAQLRDALAEAAADADGATTWLSAALTNQDTCNDSLAAVSASPGRDALRRQVAALATFVSTSLALHVGNVKGRTPPSETRAPAPAPAPRGTTTTFPSWLSEHDRRLLESPATNITPDAVVAQDGSGTHRSIGEAIAAVTRAASTRAGGKPRGGRRVVGESRRKVIYVKAGRYVERVSLTYQEENVMLVGDGKGRTILDSYNSAAGGYTILTSATLASRGAGFIAKGMSILNSAGPDKGQAVALLVMGDRSVVYQCEIKAFQDTLFTHSNRHFYADDDIAGTVDFIFGNSAAVFQDCNILARGPVPGQPDVVTAQGRDDPNQNTGFSFHLCRITAAPDLGRTPVYLGRPWRKYARVAVMKTFMDGSVSPAGWLEWSDPSALNTLYYGEYGNSGPGAATNGRVTWRGVHRSMSTAKAMEFTVGKLISGDSWLGGTGVRYTSGL >Et_10A_000742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16279192:16282519:-1 gene:Et_10A_000742 transcript:Et_10A_000742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVAVQLHSLLFKLLLLRRLSSLAASAPAATASFGVSSRPADHRASPPSNPSFSAASPDGVATKDLHPDPLSSLHIRLFLPNPAAAAGAVPHPPPPLRRNSFPAPESAAAVGPELSRRASASFSGGVSTAAADARAHYGGYLPTPAARPARRRRLPVIVQFHGGAFVTGAAGSAGNDAFCRRVARLCDAIVVAVGYRLAPESRYPAAFEDGVAVLRWIAKQANLAACGRSRTMAKGAGADTFGAAMVESWLAAHADPSRCVLLGVSCGANIADYVAQKAVELGKHLDPVRVVAQVLMYPFFMGNSPTQSELKLANSYFYDKSMSLLAWKLFLPENEFSLDHPAANPLVPGRGPPLKLMPPTLTVVAELDWMKDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLEVMLKTPQAQACAEDIAIWVKKYISLRGHELSY >Et_5B_043381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:104380:107540:1 gene:Et_5B_043381 transcript:Et_5B_043381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELSSRLRLLRPLLLVRFPFPARSRALQTLARAPPPSPLSFLLLSRRLPPPYRSPLLLLRPFASVSPAPDHLDSKDHASPPPPAPLPPPPPEELASEDEAYYHEHLLEVAQEKQTRVVPVKAFFLCTSIDLRSLQSQNSFNVIPPTSRATNYVVLRYYDVKGDPEGFKSGVIDESHCHYMVVFQYGSIVLFNVSDHEADGYLKIVEKHASGLLPEMRKDDYAVVEKPTLETWMQGGLDYIVLRDLSIDGIRTIGSVLGQSIALDYYIRQVDGMVAEFTDINRGMEKTGTFTMERKKLFQLVGKANSNLADVILKLGLFERSDIAWKNANYAQIWEYLRDEYELTQRFGNLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILISVEILISVYNIVQEQM >Et_2B_021370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28996140:29001726:-1 gene:Et_2B_021370 transcript:Et_2B_021370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAAEGPIRGSDAVQTAVGEAMRAAEAAKGGDAPVGKEEVREYASDMRKLEELLSKLNPSAAEFVPLSRRRGDGHRLSADAPRRNGPFNQGRRRMMGGRGPRRADREDSVRRTVYVSDIDQHVTEQKLAEVFSPCGQVVDCRICGDPNSILRFAFIEFADDVGARAALTLCGTVLGYYPVKVLPSKTAILPVNPKFLPRTDDEKEMVSRTVYCTNIDKKVPEDEVKQFFEGSCGEVARLRLLGDYVHSTCIAFVEFVQADSAILALNCSGMVLGSLPGEPFKDTSAPPFAPCDVVLNPACLKPSSRAAKFRATKPLVVALETVASRI >Et_6A_047941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2566233:2568365:1 gene:Et_6A_047941 transcript:Et_6A_047941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTYIALLLVLAASALSSLPAASLGATSPYVRPPPRETLSLLKDEDDHAGGQTPQQVHISMVGLDKMRVSWITRDDAPAIVEYGTTPGQYPSSATGTTTTYKYVLYHSGKIHNAVIGPLQPSTTYYYRCSSNPSREFSFRTPPATLPLNFVIVGK >Et_3B_030492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4213956:4218037:1 gene:Et_3B_030492 transcript:Et_3B_030492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTKQFEGQLVPEWKHAFVDYCLLKKDLKRMQHALLHGRHDHCTAGLIQQSTDQQAQRSSLSQWLFDKLPDLFGANAPRDHGVIQVHRKLTGSGSRGGDMYETELLDDQFADDTDDAAAREFFARLDAQLNKVNQFYKGKEKEFLERGESLRKQMDILADLKAARDDPSVSSSFFASGSEDESTMYVTTATDTDQTEQETVPIKDPEDQLVTTPRSLEGSGTFGMPASELGRSVSSCQRKSLKINIPLTNPSRTISALTDILWDEVVSQNSKKCNPDGSVVKQSINKTKLRHAEKMIKGAFVELYKGLGYLATYRNLNMMAFVKILKKFEKVSGKPVLNVYLKALKLMDEVEDIFVHHFAGDNRRKAMKYLKPAQRTDSHAVPFFTGLMTGCFAALFAGYCVMAHMAGMYTTATPRGGAAVYMETAYPVLSMFALLFLHLLLYGCNMVAWRRCRVNYGFIFESSPAAGGELKPRDVFLVCAASMAAVAGVMFAHLALVLRGYHASPNVQAIPGILLLVFLIVLFCPFNIVYRSSRFVFLRILRNIVLSPLYKVVMVDFFMADQLCSQVPMLRSLEYLACYYISASYMTQEYGYCINTKHIRDLAYAVSFLPYYWRAMQCARRWFDEGDTSHLVNLGKYVSAMLAAGAKVAYEKDKSLASLSLLVAVSSTATVYQLYWDFVKDWGLLQANSKNPWLRNELILKRKSIYYLSMGLNLVLRLAWLQTVIHPNFGSLDSRVTSFFLAALEVIRRGHWNFYRLENEHLNNAGKFGAVKTVPLPFHEVDED >Et_7B_055627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18276899:18280539:1 gene:Et_7B_055627 transcript:Et_7B_055627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLLLLASVLALTAGGCRGFEFQEATLDAIQLGFQNGSLTSTALVQFYLGQISLLNPVVHAVIEVNPDALKQAAKADAERRRASCGDGRQMGRLHGVPVLLKDSIATRDALNTTAGSLALLGSVVPRDAGVVARLRAAGAVVLGKANMDEWANFRGAVDTGGWSARGGQGKNPYVLSSPPCGSALVQQ >Et_3B_031493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31955949:31958855:1 gene:Et_3B_031493 transcript:Et_3B_031493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSSSSLAAPSSQPAPLQPRLHLPLHPPRLSLLPFSRPLALPLRLSIPRPILPALPHALSSSGGGDDGDHNNNSGGGDGADDGDDNDGGDAPDNRREALFVLAQLGRKLESLPSDLAAAVEGGRVTGEIVRRFNELEGSALFRWLLQFQGFRERLLADDLFLAKLAMECGVGVIAKTAAEYEKRRENFVKEIDIVIADVVMAIVADFMLVYLPAPTVSLQPPLARNAGAIANFFHNCPDNAFQIALAGRSYSLLQRLGAIMIGTGVTNAIIKARKAVDKDLVDEVEDIPVVSTSVAYGVYMAISSNLSCIFNNLYRLYQS >Et_1A_009489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6494338:6495217:-1 gene:Et_1A_009489 transcript:Et_1A_009489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIAHGDVVVLNCTENMDDGKTYDYFSAVPRMFADEPYDYVGKTDDDTYYRLAELADALRDKPRDNLYHGFLTPCHQSPETQYMSGMGYVVSWDMAATICITTDSLFGWWVRKAGKFKNVYGEEPRMYDYWDTETGDYVTCFRHELIADTVAVHKLKNRLKWARTLNFFNATQGLKPSKICLVAAT >Et_1A_007166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31537319:31547443:1 gene:Et_1A_007166 transcript:Et_1A_007166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCLSCSAVRGSHRMLPGLMMRRRPRPRGGDDEGGGQEGGEGRKEVLGATMDSDHWISRLMAAKRQYALQRAQRHHATPASHHGSLLSPFVIHRLGYDVIEPEDEVRSDFPCPYCYEDHDITSLCTHLEDEHPFESKVVACPVCAARISKDLLDHITLQHGYLVHLPRHHRLRRVAGTGNQTLSYAGRDLQDTYLKVLLGNSSRRSSTNISTSVTDSLLSSLVLNLSSSEAEDTSKSSAPAVVENNWFKRALPANTWKTSVVDSNLSHEERERRRRRAAHVKQ >Et_2B_020078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16656896:16660605:-1 gene:Et_2B_020078 transcript:Et_2B_020078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFPTPAAAAAASSSSSSTPSPSTKRPNTTLRLLCPSSRAAALRSSRELHVEQPPVGDEAVLTVSGPDAPAAAVRTWERVVGHRVGGNEAGEGEEEREVTGPVGCRMLAAGAQVGCVLGKGGKTVERMRQESGAQIRVFRNREQLPPCAAPGDELIHISGNLPAVRKALLSVSTCLQDNPRPDTSNIPPGRSFGPPGGGCPPSIDPYSQRSYLPPHMPDYHTRPPRFFFEQEIGFRMVIINEMVGSIIGKGGSTIRALQSETGASIKILEPIADSDERIVAISARENSDMMHSPAQDAVLRVHSRISEASMDKSSAAPARLLVPAQHIGCLLGKGGSIITEMRKITGASIRIFANEQIPRCAQRNDEMVQVTGSFQSIQDALIHITGRIRDVMMPKPHPSGVMPPYPPVGNIPPHPSRQEPPPPHSSGGVPPYPMHSFRADAPMGPFETGNHRPPPPHSMEYMSADRMPYSYGCDHGGPRPFLEQPSPRTWASEAPKINSEAPRNMPDAAVPDSRKGPVASSENQAVTPTSTRTEVVIPSKYISFVCGSDGSDLAEIKKVSGASLTVHDPKPGDANSTVVIYGDPEQTKKAQSLIHAFIFCGLSQT >Et_2A_018672.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4824391:4824708:1 gene:Et_2A_018672 transcript:Et_2A_018672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLEAAASWSVSPELGSALAKVAVFALVQALVYLILRKSSDVFSPAMASRSRSFRPMRSMSVRRVLANFSDVPVGIPEDGGASSPAAPVDPAGDDRAGSWFIKQ >Et_8A_057052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20545388:20550781:-1 gene:Et_8A_057052 transcript:Et_8A_057052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKAAAAVAAPVPAGKAGKKREAEDEIVKAVSAKKQKAAPPAKAVPPTKEDKKAKKQPPPKKVESSSSEDDSDSESEEEVKVQTKKAAKPVKQESSDDSSDVSSESDEEPAKKPAAKVPTKVVKKESSSSEDESDDDESDDDDESDEDEKPAAKKPSAAGAKKEQSDSSESDSDDDSDEEEEPKSKAPAKAAQKEDSSESSESESDSEQEKTVKSAQPGKAASKRKEDSSDSDSDSGSDEEPPQKKQKVDATTAKVAKDSSSGEEDDDDSDEESDEDEEPAKTPKKEAPVRNSEKQTATKEPKTPSNKSQQTGSKTLFMANMPFSADFDTVKEFFQDAGEVIDVRIARHEDGAPKGFCHVEFSTVEAAQKALEFNNREMMGRQVRLDVAGERGERGAYTPRSGNDSYQKPGIFVRGFDSSLAEDKIRSSLEQHFADCGEITRVAIPTDRETGAIKGMAYIDFKDQESLSKALELSGSDLGGCELYVDEAKPKPPRDGGRGGRSGGRFGDRSGGRFGDRSGGRRGGGRSGGGGRFNGRSGGRGGRVFNRQSAGIASAGKKTTFDLSVLVSHTIGSKFKICMANEKSKHKQ >Et_9B_064403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14938737:14941530:1 gene:Et_9B_064403 transcript:Et_9B_064403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVAVLLLLVSALGGATAQAFPPWNGTFPIGPGFGGVGSGGDGSAAAGTGVPAMFVFGDSLTDNGNNNDLTSLAKANYLPYGIDFAGGPTGRFSNGFTMVDEIAQLLGLPLLPANPQAATADAALHGVNYASAAAGILDNTGQNFVGRIPFNQQIKNFQKTLDLLTPKLGAGKLASSLARSIVYVGMGSNDYLNNYLMPNYNTRNQYNGDQYSTLLVQQYTKQLNSLYNLGARKFVIAGVGSMACIPNMRARSPRNLCSPDVDDLIIPFNNKVKAMVNSLNTNRPRAKFIYVDNYAMIAEVLRNPWSYGFNVVDRGCCGIGRNRGMITCLPFLRPCLNRSGYIFWDAFHPTERVNVLLGRAAFNGGNDVVYPMNIQQLAAWQP >Et_5B_044352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2379411:2383608:-1 gene:Et_5B_044352 transcript:Et_5B_044352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKDGLGMRMEVEKEGLGMTMEEEEDPEMMGLGGMEWMTPSRFRRQWDAQCKAPQHAFRKEGSTGLHCLARTYSTVKLAAIRGGLQMPLDVFGMVAMRDGVDHNRNIIFYRKRDNCQTLTEEDPYLVLTGPTRAVIHVVSNPVFIEVKLTVKGTTESEDKDLRNLVAPLYRGDPMYSHLLNYNFRSKLSTLEFSLGHIVGSVEATVFVRVIQGSWPDDFCGIIIASTNCFKTKPDIGHKKIILLDSGGEKVTVSSDGKIKLSRSVVSVELAGRLNISVKALKGNNRVAERTRSLKPSKDGTKRYKYKMGFCELLVTVVWSLLAGDPVLRTYQQKNHVADRTNRRRRPVAGGGARRSRRVVAGVRAGAEDDDDPVALVGNADAGKLAVVEEPVVLRLGVVGEHHVEGGEARHARRHHLPVLRHRRVEVAAVEVLGVVRELLLRADHRVGAGGVGARLALELLRELLRQARRVLVLGQGRDLLGGPVAVDALEELSVVA >Et_4A_034658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5270123:5272414:1 gene:Et_4A_034658 transcript:Et_4A_034658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALTKLGSFTISRKAKQELSAIGDDISRFSSTVEEKAKWVFEKLKGKTKPLSDLLREYNLPPGLFPRNVICYEYDELRSKLVVHLAKPCEVAFKDSSTIRYAPRVKATLSRGKLSGIEGMKTKVVVWVKVTSVSVESYKSDKVCFMAGVKKLRQKDAYEVPREAVSVEEF >Et_9B_065255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2838440:2839774:-1 gene:Et_9B_065255 transcript:Et_9B_065255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLVLCLVTFMQVLGAAVGVRPPAMYVFGDSTLDVGNNNYLPGVAVSRANRPYYGIDYPLRIPTGRFSNGYNTADYVAKSMGFVSSPPAYLSLTPISSLLAVTALSSGVSYASGGAGILDTTASPPICPPIPLSKQVQYFNGTRARMVATLGSGAAVNALLAKSIFLVGIGSNDLFAFAAAEQAQNSLISSYTAATQELYGMGARRFAIINVGLLGCVPTVRVLDPAAGACNDGLNALAAGFDGVLESSLAGLAAKLPGLVYSLANSYGLTVDTFADPQASGYTDIAGACCGSGRLNAETDCVPSSTLCANRDQFVFWDRYHPSQRASFLTARAFYNGPAQYTTPINFMQLAAETG >Et_4A_032486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10618939:10621116:1 gene:Et_4A_032486 transcript:Et_4A_032486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQQQLQSHGHFLSSRQTYPPERHLLLQGGSVPAEPGLVLSTDAKPRLKWTPELHERFVEAVNQLGGPDKATPKTIMRLMGVPGLTLYHLKSHLQKYRLSKNVHTQANGGNAKNVSCAMAMEKPPEGNGSPVSHLNLGAQTNKSVHIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLAKQNAGSVGLETAKMQLSELVSKVSTECLQHALTGFEEIEGSQMLQGVQLGDGSVDSCLTACDGPQKDHDILSISLSAHKGKQIGGMAFDQMAKERVSEDLFLDKLSRTPPSHQERRERRDEFSMSCQAANLDLNINDTNDGPQNSKKLDLNGFSWA >Et_4B_036496.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2780243:2780602:-1 gene:Et_4B_036496 transcript:Et_4B_036496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKKEEQVRTIALLWSLWDERNKIREGERCRTADTIAHVTELYTAEIIKFFGKNDTLPRVPRKQCVWEKPEQGSMKMINCDGAFQQETMKGGWGFIIRDQDGDCICAGRGSVRHCKWS >Et_2B_020265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18526392:18527840:1 gene:Et_2B_020265 transcript:Et_2B_020265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGGGGGKLTRTPSSLLRSPTVRNCSSFQAVVVEDPEPDDKKAQAHASKAPPHLHHHPGAALLGPAAHPLLVLALPLAFLLLLLLLRGGGDHRLALLAASAAAALAAAAGAARLLRGRLRLRRSPGSGSVQWFIGDDDDKPQKRDKAAAAHGRVVREGVEFYSNGDCYEGEFHKGRCNGSGVYNFFGKGKYEGDWVDGKYDGYGIESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGIGSQTCSDGSSYVGEFKCGVKHGLGSYHFRNGDRYAGEYFGDKIHGFGVYSFANGHCYEGSWHEGKKQGYGMYTFRNGDKRSGDWDSGTLKTPLPPADAAVQRAVQAAQRAAENAFHLPRVDEQVHKAVMAANRAATAARVAAIKAVQNRMDGKFCDTYV >Et_7A_052180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5355191:5359006:1 gene:Et_7A_052180 transcript:Et_7A_052180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTLSTVKVSNLSLKAEQREIKEFFSFSGDIVHFEMQSGDELSQVAYITFKDNQGAETAMLLTGATIVDMAVIVTPATDYELPASVLAALEPKDAKPSALQKAEDIVGTMLAKGFILGRDALDKAKALDEKHQLTSTATARVSSFDKRIGLMKEMDQKYQVSEKTKSALAAAEQSVSTAGSAIMKNRYVLTGAAWVTGAFNKVTNAANDVGTKAKEKIAAEQEHKNVEGGPAQANISEGPATHKDFDGEFAKIHVGGIVA >Et_3A_026491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8691397:8696978:1 gene:Et_3A_026491 transcript:Et_3A_026491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADTGDSGGLPGLGGGALRRQRRRRRRPRRGDGTASSMTAVQRLFEACLEVFAVPGTVPPPAGVERIKSILDSITAADIGIFCLPSRGVIPLHNHPGMTVFSKLLFGAMHVKSYDWAETPHGTADVQLQGSRLAKVKADGTLTAPCETSVLYPEDEDSVSMPGGDRYACLEESEPPQDFCLVGSTYMGPRILDH >Et_9A_061266.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:3452355:3452591:1 gene:Et_9A_061266 transcript:Et_9A_061266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAALLEYATPFNSTSLDESRIELIFCIKGRCNYGQGWHECYCCGVPTAESCYSTAQYCRSNCAACNPKCPLPPSV >Et_1A_007148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31320605:31326801:-1 gene:Et_1A_007148 transcript:Et_1A_007148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHQSGGDLLEAGLLASAAGGGGGNKQDEEAAASVSTTATTSGCPAARQEPSPRAWRRAVAQLREVLLGTRLFPLFSAVPLAVAAEHLHLGRAWVFVFSLIGLAPLAERVSYLSEQIADTAGPTAGGLLNATCGNVPELIIALFALHKEKLEILKWSLLGSILSNMLLVLGSSLLLGGLANAGKERPLDRRQVDVSIGLLMLSVLCHILPVLSKYTIITWDGAASSVSNLKLSRLSAIVMLTAYVGGLVFQLKTHRQIFEQEEDSSESSSTSSDDAAADNTVIGFASAVIWLVGMAAVIAMLSNYVVTTIEDITLGIALGSATQISLLVIPVILIVSWVNGIPMDLDFNLLETGSLVMTVFTTAFTLQDDKWHYLKGFNLTLCYVAIAVCFFTIKALPTPKKIHA >Et_1A_006564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24537533:24556578:1 gene:Et_1A_006564 transcript:Et_1A_006564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVAVLCLQQLVGGAAAQLCEDYYDCTCPDAYNIVKRVLIEAHKSDVRIYASLIRLHFHDCFVLGCDASLLLDGVPGVINSEKGAPANNNSAVPGRRRGQGGAGGRVPRRRLLRRHPRARRGDLRRAGAHTFGRVQCRFVTGRLYNFSGTNRPDPTLDPAYRAFLSRRCPLNGNASALNDLDPTTPDTFDKNYFNNLEANRGFLISDQELKSAPQAQGVTAPIVDRFASSQDAFFASFAQSMINMGNIRPTTDPSKGEIRKNCRKTMAAASSSPRSAAVAVALLVAALCLLHGAAAQSPLCEDYYEDTCPDTYDITRQVLIDAHQSDPRIFASLIRLHFHDCFVQGCDASLLLDSVPGMPSEKTSAPNNGSARGFEVVDAVKAALEDSCPGVVSCADILALAAEISVELSGGPSWGVLLGRLDGFTSDFNGSLDLPAPTENLTTLQSKFRALNLNDVDLVALSGGHTFGLVQCQFVTDRLYNFSGTNMHDPTLDSAYRAFLSQRCPRNGDPTSLNDLDPTTPDTFDKNYYSNLEVNRGFLDSDQELKSSPQAQGTTAPIVDQFANSQDAFFRSFAQSMINMGNLNPVTDPSRGQVRTNCRRRRHRHRHLATLCPTAQGKTKKNRRMRWEEEEHGGSPPPPPPSLALCTRHCHRQQPTTGRTAWKS >Et_10B_004277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2595317:2598743:-1 gene:Et_10B_004277 transcript:Et_10B_004277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIGSRGAATAFAAEVISLLLLLTPFGAAAATNCTRSCGGIDIPYPFGVEPSCYHAAGFNLTCNHQEKPPKLFIGDVQVLKISVPDGRVHINGRRVHLDFDGGNATVNGTWAGLPHGGPYILSESAANRLVVVGCGVQVDLRAGDGDAVLVSSCTAVCPYVPLGPSGGGTLGSIVLGPVGNCSGIGCCDTNIALGYSAYNLHGSQHGDQLYYKLSTSAYITDGVFNYSRDMLDGNSLPEALPATLDWIISNLTCPTNASAPECRSDHSFCRNSISGADFRQPFHGYVCSCYDGFQGNPYILGGCQDIDECRSSEIYPCYGNCKNIVGSYICTCPSGYTGNASVPNGCKGLSIGLGVGGGISILLLALGGPYIMRKVKAAKDIGERMIITLRDIEKATNNFDSTRVIGGGGHGVVFKGILDLHVVAIKKSKIVVQREISEFINEVVVLSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLCHHLHVEGSISLSWYHRIRIATEVAKALSYLHSSASMPIFHRDVKSSNVLLDDALTAKVSDFGASRYIPTDWRGVTTAVQGTMGYLDPMYYYTGRLTDKSDVFSFGVLLVELLTRKKPYVYRSVDDDGLVSHFVSLFTQGKLVDIIDPQVMEEEDGEMQEIATLAATCTKLKGEDRPTMREVEMTLESLLVKKRKVPYNGTPTRNEGDETIAHYMSTIDQASRQYTMEEELLLSASYPR >Et_2B_021259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2810959:2818655:-1 gene:Et_2B_021259 transcript:Et_2B_021259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFRFKLWWMAQRMGDRGGDVPHETQFLLVESKGADGDDAYVVFLPLVDGAFRASLQGSGAGDALELCVESGDARGSDPFAAITGAVAAVRSCLKTFRRTEKKLPGIVDYFGWCTWDAFYNDVTQEGVEAGLRSLVAGGAPPKFVIIDDGWQSVGTDEFTPEDGDAEQRRLPRLKHQGEQQVPERRRRHRDGGARGEGGARAQYPKISPGAAVNDPGMETDWITVQGVGLVHPRAAYRFFDDQHAYLAAAGVDVQCILETLGAGHGGRARLTRQYHQALDASVAKNFPDNGIIACMSHGTDALYCDPPTDRVRASDDFYPRDPVSHTVLIAAVAYNSLLLGEFMLPDWDMFHSLHPAAEYHGSARAISGRPVYVSDAPGKHNFELLKKIVLPDGSVLRAHLPARPTKDQGLQFRENRKNQAVYRFSRKHSVVFFKKPDRFAYRTGPESPVFTENRPVRTGIENPAKDCLFTDPARDGVSTSVTMLCRGFHRSCSLLKIWNMNKFTGVLGVYNCQGAAWSFAEKKPMFHQTGTEALTCGVKGSDVHLISEASTDPEWNGDCAVYRHTSGEFVVLPNGAALPVSLKILEQDILTVSPIKVKVQCLVSHLMPCLDLAPGFKFAPIGLIDMFNGGAAVEGLIYHLLDGANMLANNGSASSSEAVGLVCMEVRGCGRFGAYSSVSPRNCMMDSAQVVFSYESSSGLVILQLKNMPKERVHRIGFTVMACLRFKLWWMAQPQRMGDRGGDVRHEMKFLLVEYKGVGAGGEEDACTTAASRHVVSLGALRYIRRCISVQENERTDFFQTRPFVVMVLISVWVMYWSRSGLRFMACFRFKLWWMAQRMGDKGGDVPHETQLLLVESKGADSGGEDAYVVFLPLVDGAFRASLQGSGAGDALELCVESGDADTRAASFERALFVGAAESDPFTAITGAVAAVRSALKTFRLRAEKKLPGIVDYFGWCTWDAFYQDVTQEGVEAGLRSLVAGGAPPKFVIIDDGWQSVAPDEPNPDDATINEVEQRRVPRLTGIKENSKFQNAADPAAAGIQALVRAAKEEHGLKYVYVWQSITGYWGGVRPGTTEPYRATMQYPKISPSVAVNDPRRERDWVFVQGVGLVHPRAAYRFFDEQHAYLAAAGVDGVKVDVQCVLETLGAGHGGRARLTRQYHRALAASVTKNFPDNGVIASMSHGTDALYCSEQTAVVRASDDFQPRRPVSHTIHIAALAYNSVFLGEFMLPDWDMFHSLHQTAGYHASARAISGGPIYVSDAPGKHDFELLKKIVLPDGSVLRARLPARPTKDCLFTDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWSFVEKNNVFHQTGTEALTCGVKGSDVHLISEAATDPEWNGDCAVYRHASGDLVVLPNGVALPISLKVLEQDILIVSPIKDLAPGFRFAPIGLIDMFNGGGAAVGLVCMEMRGCGRFGAYSSVGPRKCMLDSAEVEFSYESSSGLVILQLKDMPKERVHRIVVEL >Et_2B_020668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22581610:22588265:1 gene:Et_2B_020668 transcript:Et_2B_020668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEKQQGMEPPAPAPPPAAATEPGAPPQPPPPPPPQQQQPKPAISVQPVMPVTRPWPVAFTPMKPVVEMKKGTPIKRKKHCNCKNSHCLKLYCECFAAGVYCDGCNCKHCGNTLENEKIRQAAINNTKQRNPNAFQPKIENISNNVSVRKDDAGAAPSLPKHNKGCHCKKSFCLKKYCECFQANILCSKNCRCMDCKNFEGSEELQAARQGDISCDRNNVQQAANVALNGAIGSSGYRFSPVRKKRPPEGPSAQRINGEESMIQARKVKENRGVYIAVVIFDASLCSLCIILTKYAVLSPLANTIHLSDVNDLANHLVIVCSKATEGFTTIVGNKMEKEMDREICTNDIANFGANKEEVQKAASGLGNMTTANQQNTGEFGSQFSNSQEDCRPASPGTQALMCDEQDLTFGTDYRSPIPPALPDEDISELRTAQENAVMRAFGNCLRRIITRGQVNEERLSSGAGMELDAQRHHESSTILPPEKAEEKMNAPKQNAGKKEKTTKIIAAPSAATTSASAFTKTEWRKAPTAIIKF >Et_7B_054308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19740531:19742063:-1 gene:Et_7B_054308 transcript:Et_7B_054308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWHAIQLSYIYLYGVLRSAQYVAKGAIMEAVELLAPILALVVVSLALIFRRGTERTKVRDRRQQPSVRVRDPAVARHALIDQADAFSNRPVTPFPVRLITGRRRHGHGMTTVPYGPHWRALRSNLTASILQPWRQGLLAPIRREAVAGLAAALATRDASADDVVVVHDIVYTPVFSMMARVCFGDGVDEPRVRSMERMMQEFRLAIGESRVLARSTLAKKLVHWKLWRRFVAFRSRQMALFLPLIEAARRRRSLSAGSGGGVRAYVDSFVDLRVPDDEKDARGAKRALTDDEMVSLVVEFLSGAESTVASVEWTLAHLPDVQRKLREEIVAASDHGDGRSASEERPGRLPYLHAVVLESLRLHPPFPLVMRDVRAEGATVGTATVPAGGNGLRVQFMLGDIAKDAKGWTDPHEFRPERFLAGGEGEGVGLVPSGAKEIKMMPFGAGQRACPGAALGVLYIESFLAALVRDFEWSLPDGGGVDMTELYGFITVMKSLLKARITPARL >Et_9B_065774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1109521:1110785:-1 gene:Et_9B_065774 transcript:Et_9B_065774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQDDYIDMDLTPSATPLPPSSPRFEFQSAAAGGVARRREEEEEAFASPADELFYKGNLLPLHLPPRLQLVKRLLHEQLPPPPPAPTQQQGVKRDAAASGAAAAVEGDAGDAAKVAKKPSWSKRLKVVKRWASREYIRSLFLATRPSDIVVDGNGSGSVSARAPAPDHEEVCHHRKSFSGIIRRVRLVATKAAAPAAPGVSPLCSSSSSSSSSSSTPSCGTANGFFFRAPAAATAPALKRSSSAGSEEGAIQGAIAHCKRSSQQPQGMAISAARRSSASDVVFYSVTNTPRASSVAAGEVAQERQEMCRGSSSCSWGMLVKMGEQCAVDCCEDAMYNVFHAFSFLLFKIFEHLAAKNLSFELWIFLLVPAAAPLLHFFSIHDPWRSGEGEQYRVKGARVALTWWQI >Et_4B_038119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26439647:26440948:1 gene:Et_4B_038119 transcript:Et_4B_038119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSTPSFTNLLLSSNSSLDGENTRSNQQTSQQQPSFPPTAIRGALGGSGGSRADECSPISSPVVPAENTNTADVIEEFSDVDSDEGKKAGRKVWSKDDNKRLIQAWLSNSVDPIDGNSKKGVHYWKDVAAEYNMSAPKGHKRTATQLKNHWNTHSTIVAKFHGAWTTMKNTYASGQSDKQLMDKAQAEYMAIMQTDKPFKFDYWWEAVKDEPKWLNRDVFSDMNKRNKVSASGEYTSSSNRDTDEGSVADRPRPPGQKQAKAQKKGKGKGKSTLTDENIGQFNVLQERKSEAIETMAAAAREHAQAIASKAAAEKERVKLEKMKHLHELMKTDTSTYSQIQMSIHEKMMLSLSDEIYQED >Et_6B_049260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2445714:2447051:1 gene:Et_6B_049260 transcript:Et_6B_049260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPILALIVAAGASVAIAVPCLLVAYLCNRRKKNSKSSSAPKLPISAPAAGSQDSSSWSFYGGASSADSSSLAKLSLADLAAATGGFSADNIIGDGSFGFVYRAVLPDGAAVAVKRLATHGAAGNREFRAELEVLGSLSHPNLARLLGYCDAGHDRILVYELLERGSLDAWLHGDDAAVAGSPPPLPWPARLRVARGVAAALAFLHHDRRPPVLHRDIKASNVLLGDGFEAKLADFGLARVVKGSPDASHVSTQAAGTAGYVAPEIWAGVGATAKADVYSFGILVIEIVTGLRPSWPVQVDIHEKTEVDLVDWAREKIEAGQALEILDCRINVGEEGKEMAEVKGMLNIARRCTESSHKDRPVMEEVVAMLNKL >Et_7B_054393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20879939:20882067:-1 gene:Et_7B_054393 transcript:Et_7B_054393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDAGDGGSRLWQDAMGPAMRRRELEMILVKLVKILEGQPSPDDKLKSLASLFEHKMFSEAKSKEDYIQKIAYKLVVMEQNRQPAMQAASGRHQQIQTGHQALLANTTEAIPGGSSSITAAPPSSKTSHTTQSSELQSQPLTPAGSCIVPNQHRSHPVAPNVHNNVKQVQPDATTKPDQTLNSSPAIITQSSPGVRPSQLMTQPVASRNQNKLQVMQSRPINFSDGYPTSVAQPVVQPNAQQNPHSQNARGTGMLLHQQQLGMNQQSFEVNEQQMNMQRRQMLVAQQANIANIQAGHPVERNSQQNAGQKVRPPLKT >Et_9B_064202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12589273:12590920:1 gene:Et_9B_064202 transcript:Et_9B_064202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQRKPHISVVFIGHLGSGKSTIAGHLIHKLGGVDKRVLEKLENDAAAKSKTNKTMSSSSSCKYAWVLNKLDAERERGVTMDVSRLSFQTAKHRCCTAADTPGHPDFIDAAVAGVSKPKPADCAVLVVDATAGAFEAGFAGRSGMTRDLAIVASATEVKQMICVVNKMDATTTDDRPYSKARYDEIVKKLSSFLNNLGYDADEVPFVPVSGLHGDNLVERSANLPWYEGPTLLEALDRVKKPRKPPGTIRFKSRDGGSRVFRLDTKVAMAVAPNSEVFQGVIRDNCAGGRVPVIDVKSYVLEKVVEYSVRHAKFPEYTFKHGKDGTFPYPEELQIWDEEFFDVSTNMLYHILMAARYLNIRGLLTRTAKAVADIMKDKTADEIRKTFNIKNDFTPEEEEQMLKEELLMEDLQEYTWVDKRVRVYCGNRE >Et_3B_031395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28025101:28030888:-1 gene:Et_3B_031395 transcript:Et_3B_031395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGGYGGGAGAEHHCHGHDDFLLHHHHHAQHVAGGQLYHVPQHSRREKLRFPPDAAADSPGPQHPGPWSTPAPSHPVLLAYMASSAASSSYSPPALPQAQLVAHGLAAPPPSQIPTPQSFALSLSSSSSNPPPTPRRTSGPNGPFTGYAAVLGRSRFLGPAEKLLQEICDVGGAAAHVDRSVSDEGLLDEDTIEEAVDHDMDGAASDAAGGPFSGAEQQWRKTKLISMMEEVCKRYRQYYQQVQAVMASFETVAGFSNTTPFAAMALRAMAKHFKCLKGMIQSQLRNTAKVAAAKEGLSKEITMFGLQRAGALTAFGQPHNIWRPQRGLPERAVSVLRAWLFEHFLHPYPTDGDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQVHKHPALDKSPHGMHHQTHHSSECSGKPSDPSDSQLGQSSSITRNHNIPASQGFPDELSQVSHSIQGQVTFAYNGLSTPTHQHSLASSQHQHMGGYGGGAANGGVSLTLGLHQNNKVCIAEALPASLPPNLAHRFGLEDVSDTAYVMGSFGGQDRHFAKEIGAGHLRCVKV >Et_3B_028551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16735603:16743627:1 gene:Et_3B_028551 transcript:Et_3B_028551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSVPMLAASRFLSPPLALTTPSCSRRNLPCVRAVPQTVEAPEAPKPLRPSPRRSAVAEVKASADPIAALTRFEDVLQTQDCNIILRHCGDTRRWDDLSKVFKWMQDREMTNAASYSSYFKYLGLSRNPARALQVYGAIQDHSMRVHVSICNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDAFTYSTLLSGCMKLKQGYTKAMELINELNSQGLQMDIVIYGTLLAICASHNYCDEAEVYFQKMKDEGHTPNLFHYSSLLNAYSENSNYEKAELLMKDLRSSGLTPNKVILTTLLKVYSKGGLFEKAKELLTELEASGFAQDEIPYSILIDGLVKAGKIQEATMLFNEMKEKGVKSDGYAFSIMISALHRGGHRQESKQLAKEFEAENATYDLVMLNTSLRAYCSTNDMESVMSMLKKMDDSNISPDNITFNTLIRYFSNAKVYHLAYKTIEDMHTKGHQLNEELCSHVMVQLGKEGLPSEAFSVYNMLRYSKRIVHKSLHEKALGILVSAGLLKDAYVIVKDNAELISPSSLEKFARSFMVSGNINLINDVMKALNRSGWRISQDIFGRAIQRYIRKPDKKQLLLCLLDWMTGQGYSVDSSSRNMLLKNAQIFGQTQLIAEVLSKQQMASRTIGQRHKKQQDFQAPSIADWAKVLMFKMHASSTMTTRGGRNKMK >Et_2A_017979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8642387:8648292:1 gene:Et_2A_017979 transcript:Et_2A_017979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSLIALVVAMAFAHAAVVSAQTSAQYILKLHNEVRAPLGVHLVVWDPMVARFAQAYAATRVNDCLLQASGGPYGENQLRVPAGQKWTAADAVRFWANQKVYYHYDTNTCDSGKTTECTTYINIVSRETTRIGCGSVLCTGNLGTLFICNYDPPSIPGKRPFAALPLKWRPSMASSKSLIALVVATAVALAAVVSAQNTAEGIVNLHNEARAEVGVGPVVWDPVLAQYAQAYAATRGNDCLLQFSGGPYGENLLRAPAGQAWTVGDAVRWWVANKVYYHYDTNTCDAGKTSQCIAYTNVVWRQTTRIGCGGIGISAPSLSAITTPRAASRASVRLPLSRRSGGQVGVH >Et_3B_027973.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:29024760:29025863:-1 gene:Et_3B_027973 transcript:Et_3B_027973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEISEDVLTEILARLPYKSLARFQCVSTSWSRIISSDYLRRRLPLITSGVLFRDGPRGGVVDDGGGRRQAYTYACASDDGVAEAADMGFFPWHGTSNIIDGCNGLLLYYASRPSAGAAFHVVNPTTRRWSAIPPPPPPPPPRGRRTLLSVLAFDPRDSPHYKVVCFTGWLPRGASMEVFDSASGAWREHREVDFGVDTDAMSATMHYFGGALHVLAYSGHVVRVDLDAMACEVTALPAPVSCRARAGHCRGRLRFASSDGARLRIWELKDAGGGEWALKHEIGVKDLVADDERSNGASQAVTFLFMAFHPEREVVYLWAPWKLVAFDMETWRVEEEWTFGSEKEGAHLIQVWLFPFTRHLANCLA >Et_3B_028606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17274513:17276890:1 gene:Et_3B_028606 transcript:Et_3B_028606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGYSLEKAQEIEDAVYERNCKLNEEWWELVKNDITPSVLTPLRVLPKITADCATGYKCYHLRYWTPITSQTEPTHPYFAPCGMMQVFSLSLSSPLAHPVNIYGTFAVRDGLEPLRNYLFNRSRDGPATISPGCSFLPLCSPSRGIYVLQHILIDIDLWIKEEGDASADKLLFCGYVELDTSVAGFETKLGGRFQGDCYGLDMHFSFWGDSIETLIEVIAEADHPSDVKMSALTSGFDDEIVLYDGTFSGGGVMSKHFVAVKKLDQLNVLMKLDGSVYTWSFQAGVGVTVSPEHPIFGFARYLVVNVAFRTRGKAASAWQWSCICNNVRVAKTPP >Et_5A_041034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17029081:17034614:1 gene:Et_5A_041034 transcript:Et_5A_041034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWRSARSMRILAIDSGHVSYTDMDFISGYKDVIIVPTFPLDSRFMQRSSYPHHFTCQAVSTEHIETVRALVFSRYEITSVTVRAYESYSGNLHLVLEQEMERISGERARGTMFKVPWNWREFIDESPDRYWLQIEAMDMTGQIYHSDMRPFSVNGLSAKVSWTWKEFRVMGCQWNQLYYPIMWSTLAFLFSLVLIPHTSLTFYENQFMSKLLCPKVTGRSSRDISPVCSEFFAVDFSKMFFLWSGMLLYLLFLVFFPWFSGYAVTESHGKMYLHYKGWTTSYLAHTSSVSYIGWTDVMVIVLPHLIFVVVPAFIVITAITAERAVYLHHHLSWTIKKDDSPRKQGRHMQRFWIFRCFRKFLIFLCIPIVWKHWKILKFSPARNFSCFIFCCNPHVICEPSIMHMYLQHCRSIVRAYEVNPLKDAPIYCFGVPVMHDEFPFAIIMGRGRAEEAP >Et_8B_058634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11960544:11960993:-1 gene:Et_8B_058634 transcript:Et_8B_058634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKEAAANLGASATAGQMDKATARDASGKAAAEATQRERIGAAEAEKRDAMSANAAAKERATGAATYLHPSQGAPGIDAQAHAGVGGASPVGGHVEDGVGQTRPVARATGTGRPSAAHNPHAGSDFSQGRGTGGQYQ >Et_3A_024627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22762811:22763129:-1 gene:Et_3A_024627 transcript:Et_3A_024627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDQCSCRPLGFLLSLPFAFLPLVLSVVGIALWLAGKTLSCICPCFACCVPVVELAVTLVKLPVSIVLFFLDLIPC >Et_7A_052998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4332932:4334990:-1 gene:Et_7A_052998 transcript:Et_7A_052998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQSGRNSGCLCLVLHRKGESIEAATPGTVVRDHEARREKTMSMSRTPATMERVRDSGLPWPTPSTALDLPPLLQGMLVFNGSTSFSTMGTLSLLLWHALPYPVRSGALPCHCWGCSAYPVIAAMAYSVPSQPDQCSGRANESTMPTVALRRLVDDDQWEAEGLAGRLGIVEHAAFLRAGFVPCGGDEPSSGTSSGSKRTRWARRRRPFPAGTPRRGSSARAKSPCRNGDVAFPLLASDGRRRRVCEAVLDAAALAPLLSGRLDDAMETGAWLWKLLRRRNNASGFASLPDDAKAEILKRLADGEDLARVECTRRKLRRLVAERDGELWKAMYESLDLPPPEAQSSADD >Et_7B_054026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14310154:14314877:-1 gene:Et_7B_054026 transcript:Et_7B_054026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTGYLSKVSLPNHLASLTIQESEISKESGVVEAEEAVESISQRSAKWNGGTEGPFGGSEKCSSHPECFPCLFVQEELYHQTDALSGEFYDIKQLFQFRRKTKAGKGAHVRGHQVRKKYKTCKHGQCARESDTKVVPERTGSTQEEEDDDDEATKIFSQQKVDDALKEAVSRVLSMVDSPEATMQYHTNVGKDETAAMDARSAATADLYRCLASSSDPPEPGAGPEPPPAGSAASESAECSGGVAAEWWLGCWPCDPAAAVEAEDQRAGLQSSSFMEARIWNAAAMVSSRCLCPSAASSCRCLAAAEDIAQASRKPTSAQPRELVARGLNSENTN >Et_7B_054447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21428879:21431146:-1 gene:Et_7B_054447 transcript:Et_7B_054447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADVQMGGAETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIRLVPDKASKTLSIIDSGVGMTKSDLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVVVTTKHNDDEQYVWESQAGGSFTVSLDTTGERLGRGTRITLFLKDDQLEYLEERRLKDLYGWTANMERIMKAQALRDSSMGAYMSSKKTMEINPDNGIMEELRKRAEADRNDKSVKDLVMLLFETALLTSGFSLDDPNTFAARIHRMLRLGLNIDEDAAEEDADMPPLEEGAAEESKMEEVD >Et_3B_031164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19479925:19482739:-1 gene:Et_3B_031164 transcript:Et_3B_031164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISTCEEIIDEFEMLTRDAGRVQQDTLRKILELNADAEYLKGFHLGRRTDSQSFKSCVPLCVHGDIESYIKQIADGDNKLLLTGKPITSLSLSSGTTQGKPKFLPFNDELLETTIQIFRTSYAFRNREYPIGNGRALHFVYGSKQVYTQGGILATTATTNLYKSVHFKEAMKGILCQCCSPDEVIFGPDFHQSLYCHLLCGLIYSDEVEFVFSTFAHSLVHAFNTLEEVWEDLCDDIRCGVLSKRVTTPSIRQAVSKILRPNPELACSVYDKCLNLRSWYGAIPALWPHAKYIYGIMTGSMEPYLKKLRHYAGHLPLMSADYGASEGWVGSNINPAMPPEEVTYAVLPHIAYFVFIPLEKPKGKEIENSSSIHYIESDPVGLTEVEVGKIYEVVMTNFAGLYRYRLGDIVKVAGFHNSTPELQFICRRSLVLSINIDKNTEKGLQLAVEEAEKLLASEKLEVVDFTSLVDRSSDPGHYVIFWELSSSNASYDVLSSCANSMDLAFVDAGYVGSRKIKTIGALELRILQKGTFGQVMDHYLSLGGAVSQFKTPRFLSQSNSKVLQILSRNVTRSYFSTAYGL >Et_7B_055541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13806238:13809092:-1 gene:Et_7B_055541 transcript:Et_7B_055541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFSSRHLLCLFLIACFGGLLFSITSHGEKNFKEAGNGDAKFRRLLGLNSPGPRPKQPRHGHDHAVSPAPAPARAHLLPLLHKDARLPDPVPGKAARQKANATAAHSPRGGGEDGGGSKKKKSTQLFVVAAAAALTGAALVLVVVLVVFLACRKFRGGHGGGGGDLTGKNKVSSEPGPGMFYLDAIKPYLDGAGGHDGGGTNKAASPEVAGQKDVGEPKCEEEDGGDACSVHSSCCFGQSSSQFAYSELRDGVSLSPSSARSKQRRTSAPATPSDKSTITSPHSSSLRPRTPGNEDRGRGCHSPSSSVSGSKSQVLNDRERQGSCPPVKSLRFQSGSACHAKEAETGTVAPSPPPPPPPPPAATVVKQQYNAQASRGPAVPPPPPPMPATMLKQQSNDQTNRGPGAPPPPPPGPPVLLLHQKNIQRSGGPPAPTPPGVFRRSAPALGKNGAPLPKLKPLHWDKVRAAPNRRMVWDRIRSSSFELDEKSIESLFGYNAARCSAKHEEAQSRSPSLGHHVLDAKRLQNITILMKAVNATAEQIYAALLQGNGLTVQQLEALIKMAPTKDEVDKLSSYDGDVGSLVPAERVLKVVLTIPCAFARVEAMLYRETFADEVGHIRKSFAMLEDACRELMSCKLFLKLLEAVLKTGNRMNVGTARGGAMAFKLDTLLKLADVKAADGKTTLLHFVVQEMVRSQKPPARTEQGTDIVAGLAAELTNVRKTATVDLDVLTTSVSGLSHGLSRIKALLVGEDLAGSGNERGRCFVEFMAPFVSQAEEAIAELEEGERRVLAHVRDITEYYHGDVGKDEASPLRIFVIVRDFLGMLERVYKEVRGAAAARSSHG >Et_5B_045159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10371233:10373019:-1 gene:Et_5B_045159 transcript:Et_5B_045159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVEALAAFELWVDDKGEAKGSTSNPQQQAGTTLSEARSNLAYFRRILEDEAAEADDEAKADEEMMESDEEGRIARLFRDDWMAVWSRHHGSYHHTTKLRPMRFTFRPPQEYNAFPMSTLQIFSIKVAGTKRGSELQGLLDVFDMVAVRGSIDYNRNVIFSRTRNSCQTVTKEDPYLVLTGPTRAVVWQDFLAVEVMLKVKGTTESEDKDLSFPAVPLACSQASYSYGFQCYKTNQRSTLRFAIGHIVRSAEATIFVRIAEGSWPDGLCGQFDAFTTGIRDESVTGIDHEKITLLDSQPEKVLVNGDGEIILSRRVVSVETTGKLKIRVKAFRASESCENASEEELVMIPQQADIRFGNL >Et_10A_000850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18198700:18204330:1 gene:Et_10A_000850 transcript:Et_10A_000850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVASVYSSVSGSWSHGTSIVFAALGLYIPPECYLMTGGLHSYAYGCLYWHVDIGNKMIKLDMNSMETTTVSLSSDHEYRTTMFVEAGEGRIGMFSLVPDSEENPQSLRYSIWQNEGENTSEHPVETTIPLSSKYAFYLFNGAATRIHFSARRTGKRSSFFSVEIKTLKVERPVLPGPEAMAPPDLTDHLLEEVLVRIGARADLVRASAACKTFRRLIVDPAFLRRYRSLHAPLLLGFVGLVGPIGSAVRFLPAEAPHPNAAAARAFAAAADFSFDFRPARGLSGWPHYDARDGRVLLMSADWGRGRIVSPDLAVCDPFTGRYTLPPIPDGLRASALVQDQEEYTFLDAFFDPTVGNEESLFREEAQFRVMCWASSDSMGALFLYCSVSGSWSHGSSIVFSAIGLDARRGGYPVMCGWHSYAYGCLYWDVRYSNKMIKLDIDSMEATIVSLPPNHENEHTIFVEADEGRIGMFRVNEKCSRNSQPLNYSIRQNVNGNANERLVETTIPLPSEYDLYHFDVAAQGYIFLVGQREGSISGSAFFSVEVETLKVERVCETNFGPRAYVGRFRPFISPRRI >Et_6A_046395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14230263:14230834:-1 gene:Et_6A_046395 transcript:Et_6A_046395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAPGMTDSGKQAVRYHRVCNTFAKVTRPSVAIDDEYAVIVKHVEALQSELLQMRKNKVSTTTSEAHQGGTAEARKTWGKKACKTQQPRTISSSREPTRRPRKTQSNRSQVQVNDTSQVAKNQQETGQTDDDIIGMRFDRSFARGLEERILNCTHEIILQVTNAET >Et_7A_051428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18312444:18315434:-1 gene:Et_7A_051428 transcript:Et_7A_051428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLQECDYLMAIARPRLQISTVVDVATGKGVKSDVRTSSGMFVNSEERKFSVIQAIEKRISVFSQIPKENGELIQVLRYEASQYYRPHHDYFSDTFNLKRGGQRVATMLMYLTDGVEGGETHFPRAGEGECSCGGKMVRGLCVKPNKGDAVLFWSMGLDGNTDPNSIHSGCPVLKGEKWSATKWMRQKMTF >Et_3B_028134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10708572:10709755:-1 gene:Et_3B_028134 transcript:Et_3B_028134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPAVRDWSVLPADVLAGVLAQLEIPDIFSSGSVCRSWNAACLTSPGLLYFSIDRGPDVATLQRLTTGSMPYHVALSPADGDDATASFVRPRHVVGCSCGWLVAADLRCELHLENPVTRRRVAMPPADTLVVFNPRLDWSSPKTTDGARRSLYVRAVLSSDPGDCGCTVLLINQPGNYLSFARPGDPSWTMIQEDIDCQTYHDCIYDATDGLFYAVRSSGEVHAIFLNAPDPEVNSIFPPISCKKSMDCTKYIARAPWGDLLQIWREYRYTNGTHRTYELTVFTVDLVEEKLHEIKDLQEHALFIGFNESFFASAKDFPGLAPNCVYLAHDSARNSRKSSLQEVVVFDLQDGSFRDFRPAPNSWLKFPPPIWIRPSSSNTV >Et_4A_034017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29128953:29129282:-1 gene:Et_4A_034017 transcript:Et_4A_034017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLTAPKFRPALLNVGKSLYVLDTAVHAKIAFKQDAVPGARPRRLVLPRLPPHMNVHAARVSCRDGGACASRPRRGATTMTFGAATARRPSATSGQSER >Et_1B_013009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4720730:4722716:-1 gene:Et_1B_013009 transcript:Et_1B_013009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAPKQKVKKHIHLFYCSECEELAQKIAASSDAIELQSINWRSFDDGFPNLFINKAHDIRGQHVAFLASFSSPAVIFEQISVIFALPKLFIASFTLVLPFFPTGSFERVEEEGDVATAFTLARILSMIPKSRGGPTSVVIYDIHALQERFYFGDDVLPCFETGIPLLLQRLRQLPDADNITIAFPDDGAWKRFHKQLQHFPMIVCNKVREGDKRIVRIKEGNPEGRHVVIVDDLVQSGGTLRECQKVLAAHGASKVSAYVTHAVFPKQSFERFLTSNSAGPGDQFSYFWITDSCPHTVKAIGQQPPFEVLSLAGSIADALQI >Et_4A_033147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19059480:19060126:-1 gene:Et_4A_033147 transcript:Et_4A_033147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNRTVLQDAGVRHVALLSRGFLARPGTVRAGTKQRHPQTDLSSLNHGFASAAPQDGTPSTSLHSIENNTSSNKTSEMTMSPPRCTQRLRAAALLLLLLVAAASWLQACDAAPGFCASKCGVRCGRASARARGACMRSCGLCCEECNCVPTAGARAGVNECPCYRDMLTAGPKKRPKCP >Et_5B_045130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9858590:9858989:1 gene:Et_5B_045130 transcript:Et_5B_045130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITYGLPRTRSSSTSPDVQRRWSGVAVAQARQGAEWRPAPAAHPPDVSPKLFDGGAGCGACYEVKGADGANSTKPGAAPVVVTANNQAPLPVNGQEGRHRAHLLPQVRTINNVHGIPFLSRHIN >Et_3A_026632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10952244:10953806:-1 gene:Et_3A_026632 transcript:Et_3A_026632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAKPEVTEAAKMDLLEDDDEFEEFEIDQEWDEKEEGNEAVQQWEDDWDDDDVNDDFSLQLRKELESNASKN >Et_1A_008220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5374798:5379727:1 gene:Et_1A_008220 transcript:Et_1A_008220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAPTRVMMAVNESSLKGYPHPSISCRAAFDWTLSKLIRSNAEGFHLLFLHVQVPDEDGFDDMDSIYASPEDFHQMKQRDKIRGLHLLEYFVNQCHQLGIKCEAWIRHGDPKEVICSEVKRVHPDLLVVGSRGLGPFQRVFVGTVSEFCVKHAECPVITIKRKANEAPQDPVDD >Et_6A_047247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2899354:2901146:1 gene:Et_6A_047247 transcript:Et_6A_047247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGCAVQQALAAEAAAVVRQAVALARRRGHAQVTPLHVASAMLSAAAGLLRAACLRSHSHPLQCKALELCFNVALNRLPTAAGPGAAIIRRPNATAAKAVNDDDAMRVLDCMAGDGGKQRRRRAAAVVVGEGAEAVVKAVMDRVSKGELPHHRHDLLRNLQFVPLSAAAFRGMAREEVDAKAGELRALVLQGCAAGKGVVLVLEDLAYAADAWAAASERRRTTRGGGNGDGRCGYCPVEHGVMEVSGLVSEAGRGLDRFWLLGFGSNQAYIKCRAGQPSLEAVWELHPVVVPDGGGDVALSLSSTSETQQASQERPRTTGWPFANGAAADGSDLVARAEAVTDKSNLPQWLRGHRESDQIGPDSCSTSLQTVPAAV >Et_2B_021480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3060240:3060613:-1 gene:Et_2B_021480 transcript:Et_2B_021480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSLPLVAGAVILLLIMTRVQGIRLDAESHEAFSNQMVNKSRDIAVNNSDNEPLGEKMEESFSEEKDRVGHRLPEIHVDYYGPRGHKPRHH >Et_10A_001453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3543743:3546752:-1 gene:Et_10A_001453 transcript:Et_10A_001453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQDSGPAWYHHHSTDHGEATIVGNEGAGGFLDIGDLFELMWHGGGGDSRKDHVQHGLPMSPEPPSEDEMAAWLYPIVLGDVKPVASRLDQPACCDVIDGTAEGDQLEVPASPTMKTEVTCTEDIGNDYCHTRKPAARGRRSHHSETHNLTEKRRRLKINEKFKALQQLVPGCDKCNQASILDQTIQYMKSLQQLIQAMDIGCRRRSASATVYPVVKPSYPAPAAVTAGMLPPPQAVIAPGMLLSGHVRSGGVLTPAMVPIASFAPMLQLAHHPQAL >Et_9A_062226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20954625:20959302:1 gene:Et_9A_062226 transcript:Et_9A_062226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSEEPGGDSDRLERALESHSIETVKFLIRAKEELGDPAYKDKLVKTAKEIVKRSANPDDGISIEKSKEILSEVFIGRARLLNSFHHFLQGRDPFHDEVAHDPDHFLAKVKASPYISDEDYIDLLYTLRDFNKTRTMTVEDIYQKAKRIMRHCPEFIEIFQTYLPPHLSEPLPIEKPRGSPKHSPMGKAFLSFTPDSNNSLDAIRTKATNIGTNVSQLEYPIDQNCEGIEYPLGEKHTQQIPDSFQMPIKEGDGKSLVAEEYEGDKIDPLPDWSPSRENELPPKVDLSICTPCTPSYCLLQEDSLTLQSSYRTELGRSILNDTMVSVASGTEDCFKFRTKNQYEENTIKCEDDMFESDMLLQRFRATAEFIGNLQDQVDSDMRIEEHLTPLHRRCIEQLYDDHGLDVLDALSETQNISANLAVLHYRLNQKIEDLSVARFSLHKMCKSIITDNYYRSLDYRSSSFKQLDAKRMTPKALLAEAKGHNMKRLSAGVKHFSSSCNHQSRLASDDARNSTDVHIQEDIKSIVAYAYHKKYSSEHKPVMIWTKLVQPFVSANCRLPDLNGIVAPTEACERCGLSKNFLKSILSASLAKNFDLSSKTGECLGNKSTSIDDGCKVEIEEGEFIPCSPNVQMDVMLGPLNRAASYDVAAPSDDGLRFQLPVSSMCDRDNKADVQQESREGSNVEMGSLACSKRVTEGCDVKGAKTCCSLVMLCRLHQMLYERLLVSKVLSREARSKAPSRGFLTYDLYAEFKGELLKLLNGSTDSSNFEKYCLHFLGPGSYVLFTLDKLIGQVISQLRVICDDDNLLLQFHDKMRGPNLSKDLLHHHNARSSLDCASNGSLEQDPDEEGKISKLHGTTVKPKQNLFHRRKKRKLDNSPARLSQPGVDDSNS >Et_9A_061075.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:14332849:14332986:1 gene:Et_9A_061075 transcript:Et_9A_061075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKPRRASSFKMWGFKLPVLDLVQKTLKHNLKQRRGKRMSWQDK >Et_4A_035230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13560207:13564162:1 gene:Et_4A_035230 transcript:Et_4A_035230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTAPVRSSHTSTSDLLAWPQNQGPATTPSPARRPGQPSEAIKKVVFGGQVTEEEADSLSKRFGPSDSVLACLLPGADLTSRKPCSAPKWKEMTGSGIFAAGSNGDAGEDGGSSAKPARAAPRNHQAISTVSHISFAEDGSVPPKKPTSVAEVAKQRELSGTLQSDADSKTKKQISNAKSKELSGHDIFADPQDPRLNRARNSSNGSSSSHTPVKNANVSTFSFGETNTDSAPKTAKKITGKKFTDLTGNDIFKGDATPASVEKHLSTLKLKEITGSNIFADGKEPTRERVGGNRKPPGGESSIALNKKRRNKAETLPKRSIV >Et_7B_055867.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6210609:6212975:-1 gene:Et_7B_055867 transcript:Et_7B_055867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPNLRRSALPRLRLRIAAAVLLLLSPAAASHHDTGEHKNFLVIVRSPYEYDKELHKNVSSWHASLLASVCDMAKETLEADPSAMTRLIYSYRNVVNGFAARMTPEEVEKMSKMDWFERALPEQTYHLLTTRTPQMLGLMGGRRGGGGVWNTSNMGEGVIIGVLDDGIYAGHPSFDGAGMKPPPAKWKGRCDFNKTVCNNKLIGARSYFESAKWKWKGLRDPVLPIAEGQHGTHTSSTAAGAFVPDASVFGNGLGTAAGMAPRAHLAFYQVCYEEKGCDRDDILAAVDDAIEDGVDILSLSLGHEDAIDFSDDPVALGGYTAIMNGVLICGAAGNTGPSPGTLVNEAPWLLTVGAGTTDRRFLATVKLGSGVEIEGESLNDPKTDMGTELRPFVRDVDGTCANENVLIAQNVTGKIIVCEAGGMVITEKAKLVKRVGAAGMVAVTPEVFGSTIMPRPQVLPTVQVSYDGGQKLQAYLKTTSSATATFVFKGTTFNTPQSPLVAPFSSRGPNRRSRGILKPDLIGPGVNIIAGVPTIMDMEFPPNTATPKFDIKSGTSMSTPHLAGVAALIRSAHPTWSPAAIKSALMTTAESTDNLRKPITDFDGKPAAYFALGAGHVNPKRAMDPGLVYNMTASDYVPYLCGLNYTDQKVSTIVYPDPPVQCAKMAAMEQDDLNYPSITAILDQPPFAKTANRSVTNVGAASSTYVVQVDVPATVKVEVNPPKLTFKAVDEVLSYAVVITSASGQVPTGPVEGQLKWVSGKYIVRSPILILPGPAKVEPAPAKPQP >Et_4A_032235.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25494986:25495948:-1 gene:Et_4A_032235 transcript:Et_4A_032235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QPEVLLGPLSGLEVLVVHGEQVRVHGLAWHARRPGHRPEVRHEARHVCPRVLRRGAGLVGVALVRPPGLGHHDLHVLVPLAVQRRAHGVQHRVEHVGVGEPRPGVRAPGRARLEQAEGQRLRARVAQVREVRVRVDQERRARLAEEREERVQRGGEVRLERARGRRVGRGQHVHGVHGGDLDAGVGRERVVEVLHGRDKLRGERRVVGEEDLVADGDGGDGGGRGARRDVGRDPGGRRGGGGRQVGDVAVGRAEEEGDARAGERGDHGRVRPVEAHLGDGRRLDQARRGRRRRQVVRHVAVVDADEGLRRCTARQVRVNV >Et_5A_041719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26369636:26378766:1 gene:Et_5A_041719 transcript:Et_5A_041719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPEGLFRDDSDEDDDNAQEREKNKEMVVYLVDASPKMFTPATTKADEEQETHFHTIVNCIAQSLKTQIIGKSHDEVAICFFNTREKKSLQDLAGVYVYNVGDREPLDRPTARLIKDFSSIEDSFMSNIGSRYGITAGSRENTLYNALWVAQALLRKGSAKTVEKRIVIFTNEDDPFGAIKGAAKTDMIRTTIQRAKDAQDLGLFIELLPLSRPDEEFKMSLFYADLIGLEGDDIVEYLPVAGGRQRGLSYAMILGLYFRIHRSVSRCIMTVKFSVRELSEVKRVSSHHLRLLGFKPLDCLKDYHNLRPSTFIYPSDENIFGSTRVFVALHSSMLRLGRFALAFYGNPTRAQLVALVAQEEVISSGRQEEPPGMHMIYLPYSDDIRCPEEVHVTSDDAPRATDEQIKNASNLLKRIDLKNFSVCNFANPALQRHYGILEALALGEDEMPDINDETLPDERGMARPVVVKAIEEFKASVYGENYDQEEAEAAAAKASRGEASKKRKAITDAASQKSAAYDWAELADNGKLKDMTVVELKSYLTAHGLPVSGKKEALISRILTHLGK >Et_8B_060419.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:14475460:14477532:-1 gene:Et_8B_060419 transcript:Et_8B_060419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLNSPIREPAKSRAEGLPSVTRRRRRSARRPRERPDRRRRRELHGRRRQLHVAVEDLDDVGAVGPELRLALQAEQREVDAHGGLVLVVPGGGGAVVQQQPVVDELENVAGARELAAPADEVGLLELHRRREDVQRAAAGEDDEHQHAEAVHVGLLEEVVLAGAAALRRHVAHRAAHARRAAQLPAEQVAPAAAGAVDVAAGRPPAPPAPLPAPAEAAEVEAAVVSVDIVAVAIAGVHADGTKPRLPAAVTAALVKAAAAFLAHLLEELLLPPLPHLARLLLTGLLVGAVLPGLRRCRRQHGRRRVLVFVVGPVRVPFRADLAADPLGDVVPGVELAAAVVLLLPPPLLPPRAGRARRRRLVRHLGRGAGGGGGGEVVAAGLAELLAHEVGGAASGEGVGVGLESREAEVGELGAEVGVEEDVAGLEVAVHHGRVALAVEERQRARHVADHGEPPRPVEEPGGVVPEERGLEGALRHVLEHEQQRALAGGGGGGGGARGAVAEEGDEARGVRERGEEVELVRRGARLDGGERGVGEGGEVHRRGGAAAEEAGRVEPARGAAERAPGVATKRPRRSRRRGERERGAAPPTASDEEEKHYHENEQAARRGGGGDGGGEEGAVAVGLDDGGEGRRRWRWECLEEAGRHGRKREGTRGGWQAQRGVVREQAIGRVVVMDILFARNNYFVPPII >Et_1B_010435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10517529:10521397:-1 gene:Et_1B_010435 transcript:Et_1B_010435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKRQRGDIYQSEEPVTLWVNKVGPYNNPQETYNYYSLPFCHATENHKHKWGGLGEVLGGNELIDSQIDIKFRRNVDKGTICSLELDLEKAKQLSEAIENSYWFEFFIGFVGEADKNNDNKYFLFTHKNIVIRYNDNQIIHVNLTQESPKLIDAGKALDMTYSVKWEPTNITFAHRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYARDDDDIETLERDVNEESGWKLVHGDVFRPPRNLVLLSALVGIGTQLAALILLVILLAIIGMLYVGRGAIVTTFIVCYALTSFISGYVSGALYSRHGGKNWIKAMAMTASLFPFMCFGIGLALNTIAIFYGSLAAMPFGTMVVVFILWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVIALMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYHMKTKMSGFFQTSFYFGYTLMFCLGLGTLCGAVGYLGSTLFVRRIYRNIKCD >Et_3B_028357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1442045:1442352:-1 gene:Et_3B_028357 transcript:Et_3B_028357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCDGECRPLGWLLGLPFALLAILVSLVGAIIWIIGLPISCICPCCLCVTVLLEVAVELVKAPLHVMTWFTSKIPC >Et_5B_044781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5776246:5781108:1 gene:Et_5B_044781 transcript:Et_5B_044781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRRVKDQESDAVSQKDLESLDSRSLSNTAATSSLSTAVGPKGKNSWKLKSIVTLALTLLTSSQAILIVWSKRAGKYEYSVTTANFSVEALKCLLSLVALYRTWNSQGVTEDNRLTTSFDEVSVYPIPAVLYMAKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILKKKLSEIQCAAFILLCAGCTTAQLNPSSDHVLQTPIQGWVMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGMLFNLVAICVQDFDAVINKGFFHGYTFITVLMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFGFHLSLAFFLGSTVVSVSVYLHSVGRPQQQK >Et_9A_061381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10843899:10853744:1 gene:Et_9A_061381 transcript:Et_9A_061381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NARRRIGADHPVSGGEGGGRGGCGARLRGPPPLLRLGFPTSLVRAAVVCRRWYRIASHPDFLCRFRELHPPGVLGFYGDLCFSARPQFVPLVEAPELATAVRRASALDGKIVISDCRHGRLLVCCLDGPRAGDRLVLHPLHPTRGVAVVLQPPLLTGHMACFRAWFFLPEDASEDGAIVVELSTFQSGTWTDLIKVRVQDLGTEASDSVQDVLPPVQGKIYMKTSSPSYILELDLVAASVSVIQLPDRVNTNNYKISCAEESGLCLVHADGSLLSVWRLRMNDDGSCDWDLVDDAIHVHEAYNRQEDVTVFLVDSNLEYVFLGLNATGVLLSMHLKSRTEKVLLYGKLPEKNRPPHDGLASDISCIEGGKCDESAQPISSPAEREAASVAAVLGSDDLLLLVLLCLGFPTSLVRAAVVCRRWYRIASHPDFLRRFRELHPPGVLGFYGDLVFSGRPQFVPLVEAPELATVIRRASALNRRIVISDCRHGRLLVSCLDGGEHVLHPLHPTRGAAVIPLPSLLTGDLTSFCCWSFLPEDGSKDGAIIVEVFNEKGRFHAEVTTFQSGTWTGRIKVIAQVAPEVSHSVKNVLPPIYMNTYSSPGCILELDLVAESVSVIQLPDRVNTNNYKISCGEESGLSLVHADGSLLSIWHLRMSDDGSFDWDLVDDAIRVREAYNRQEDVTVFAVDSNLEYVFLGLNATGVLLSMHLKSRTEKVYGEVRSPHLGIENRIVPLMMHRCFYMIRQPEN >Et_10B_002495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11597005:11597276:1 gene:Et_10B_002495 transcript:Et_10B_002495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASIVLCFIVVAALVVAIAALQPRQDIEGATRLHEVESFKEEKLPWWWDTDYMRSKRRRPKHN >Et_6B_048730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13057756:13061281:1 gene:Et_6B_048730 transcript:Et_6B_048730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLGTRFPAVCAPSSLSDPLSCGRDPIWAVPPPFALCGSSLPAGEIRTRQPPLTRGSLLFLRTGFHGFCGGWARRGEAPFAGGADRLAWPLRARVRGGKLIRVVLGLLVWVGRGFGGWFRARIKKIMQADEDVGKIALAVPVLVSRALELFLQDLIDRTYEITLQSGAKTLNSFHLKQCVKRYSSFDFLTEVVNKVPDLGGADSCGDERGLPRRRKSNGSDPENDESRSSKMAIRSSLSPRGRGRGRGRGRGRPPTKRKEVGYVQFEDESSMYAEQSEPLPGEDTVPETNHGNESIPQSTHPPLEAAPAAPAPATSSKVDEANTDHHADWPMPDAIGNIGVGPSGFGHLTVQVDEDEDYDNED >Et_4A_034099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:370246:374239:1 gene:Et_4A_034099 transcript:Et_4A_034099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPKPRRSQASSLAGKLRKHSAWLVLIWFALSLYLFLSATPPAAEPLRRSTFLRPKPRALSATTAAGTAPPVRIYVYDLPPRFNRDWVAADARCARHLFAAEVAVHEALLSYAGRAARPEDADLFFVPVYVSCNFSTANGFPSLSHARGLLADAVELVRTEMPYWNRSAGADHVFVASHDFGACFHPMEDVAIADGIPEFLKRSILLQTFGVTGHHVCQDVEHVVIPPHVPPAVARELPEPEKTQRDIFAFFRGKLELHPKNISGRFYSKKVRTELLQYYGRNKKFYLKRKRFDNYRSEMAQSLFCLCPLGWAPWSPRLVESVLLGCIPVIIADNIRLPFPSAVRWSDISLQVAEKDIASLEMVLDHVVATNLTVIQKNLWDPVKRKALVFNRPMEVGDATWQVLRELEVLLDRSERRYAGSWRRWRPESIATLPIAGPARHGSRSGRMPETASTTSSTSDPCESAIFTSVDVLVLTLRNIHPCKELPCSGKQASRKAPIH >Et_1A_007047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30356372:30358273:-1 gene:Et_1A_007047 transcript:Et_1A_007047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLLANEVSDLCIGKPAVRSLPLSAAAGDLAAALRRVARSGSAACVAVTGPARTVVARLGLADVLCFLCDAPEVLARPADALAKPVSALLPKDGAGEVRRVDPRASVLEALDAILSGAQVLAVPLRPGGGRKKQLGGAGDFCWLTQEDLVRYFLNSIGRFHHVAARSVSSLGLVRADFLSVRPHEAALSAVPLIRRAVASETAVAVVTEDGHLVGEISPALLAACDETAAAAVATLSVADLMAYIDYFGAPPDHVLRAIKAGLKDKGLDAMLELMEDETLSSFSLSSASSSSSDEETGRTKLRRPSSGSFGRRSAEEPVVCSPASSLVAVMVQALAHRVNYLWVLEDDDDCRLAGIVTFADVLRVFREQLQ >Et_1B_012396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31540059:31540434:-1 gene:Et_1B_012396 transcript:Et_1B_012396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPSQSEDRKLSAMEHVKKRHHEKGFLYACFFMLCCCFCCYETCEHCLECFCCCGKKDE >Et_1A_008500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8184092:8188050:-1 gene:Et_1A_008500 transcript:Et_1A_008500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSCPAAFSMHAALFASLVCAALFFGSGEAGAAHKVFDPEWHPATATWYGSADGDGSDGGACGYGTLVDVVPMKARVGAVSPVLFKSGEGCGACYKVRCLDSSICSRRAVTVIVTDECPGGVCSGGRTHFDLSGAAFGRLAVAGSGGQLRNRGEINVVFRRTACKYGGKNIAFHVNEGSTSFWLSLLVEFEDGDGDIGSMQLKQANSAQWQDMQHIWGATWSLTPGPLVGPFSVRLTTLTSKQTLTAQDVIPKNWTPKATYTSRLNFAV >Et_6A_047475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6276714:6285123:1 gene:Et_6A_047475 transcript:Et_6A_047475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LEEPIYSMCYYTVTQPICMHLDQMEIQYYLYLGLALVSLLVVVLAKRRHNRSSSGLLLPPGPWQLPIIGSVHHIIGQLPHRAMRDLARRHGPVMMLRIGEVPTVVLSSREAAREVMKTHDTVFASRPLSPTLDAITNSGRDIICAPYGEHWRQLRKIAVTELLSARRVLSFRRIREEEVAAMLRAVAATAAESQPVQLHERLCTLTSDITVRAVVGDRPWSERDLFLRQLEGVNELGAGFNPADLWPSSRIIRRLSSATRRAEECRKAMFASMEGIIKEHLERLDSGGDGCDAPDLIDVLLKIQRDGGLPIPLDMDVIKGVIFDMFAGGSETAATAGEWVMAELIRNPVAMQRATAEVRNMFNARGTVPEQGLSELRYLHLVIRETFRLHPPAPLLLPRVGSEPCRVLGYDVLPGTTVVVNAWALGRDERYWPEDPEEFRPERFEDSEVDYKGTDFEFIPFGAGRRMCPGMAFGLASVELPVANLLFHFDWEVPGVVDPTKLDMAEAFGVAVRLKSGLLVRPILCVPIHARDCDYNNGEPLQQRTETESQPVQLHKRLCALMSDITVRAVVGDRPWSERDLFLRQLDGVNELAAGFNPADLWPSSRIVRRLSGATRRAEECRKAMFETLEGIIREHLERLDSGGAGWCEAPDLLDVLLRIQKDGGLQIPLDMDVIKGVIFSAADQSADTPEDPEEFRPERFEDSEVDYKGTDFEFIPFGAGRCALGWHLGSPNIELPLANLLFHFDWEVPGVVDRGTTLDMTESFGVAVRLKSGLLIRPIVRVPLPGA >Et_4B_037925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24896894:24900050:1 gene:Et_4B_037925 transcript:Et_4B_037925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAEAGRAAIRRALRSLRQRHLVEEGAHRPAIEALTRPFAAHALEWKEKAETLELELQQCYKAQSGLSEQLVTEIKEGKALKALLKEKEELITTLQNELKQTSEENVQLKQSLEEKTKALDILIQEHQAAKAELEQALAKLKVAEDENKTLIDRWMLEKMKDAERLNEANAMYEEMVLKLKTAGVGGIQQNAQQEADGIIRQSEAGYMETAIPSTCTITIRAHDGGCGSLLFQHNSDKLISGGQDQTVKIWGTYTGALTSTLQGCMGSVNDLAVTNDNKFVIAACSSNKLFVWEANGGRSRHTLTGHTKSVSAVDASWVKSFVIASSSSDRTIKIWDLQTGFCKSTIMSASNPNSLAFIDGDIICSGHRDGNLRLWDIRSGKCTTQIAAHLDVTSVCVSRSKNFILTSGRDNVHNLFDVRTLEICGTFRATGNKVVGSWGKPCISPDDNCIAAGSSDGSVYIWSRLKNDMPTILEGHSSPVLASACTYYGPKILDKVF >Et_1A_005269.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:36936611:36936763:1 gene:Et_1A_005269 transcript:Et_1A_005269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWTLGLFASAFRPCFPIQLSLMPLLCKACPPLSLYLSDPASPQPPPLS >Et_1A_009144.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30887047:30888018:-1 gene:Et_1A_009144 transcript:Et_1A_009144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASRKRPAPDGSSSEAAAAAAAKKRARYNFTDIKDYERLEDLGEGTFGVVSKARHRRTGEKVAVKWIRSDSNGASDLDAVVREGGCLAKCRGHPSIVQIKDAATDKATGDLFLVMEFVGPSLRDWLTRPVSEDVAREFMGQLLGAAVTMHAAPMIHRDIKPENILVGAGGELKICDFGLATPKPPPHPELRVGTLPYCSPEQLMGSRCYGSAVDMWALGCVMAELLVGVPLFTATTEDDMLEQIEDLRDGIATMGLKAFDDLLDLSPAGRELLAGLLSIDPRQRLTATEALGHRWFTEETEAPAFAKAEFPGFVPMFSAA >Et_9B_065446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5054053:5055082:-1 gene:Et_9B_065446 transcript:Et_9B_065446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPEVVVEGVVFPPVARPPGSGRTHFLAGAGVRGIEIEGNFIKFASIGVYLEDAAAAALSAGKWSGKTADELAADPAFFRDIYTGEFEKFTRVAFIGRPVNGEEFVAKVMERRVAYLKAAGTYTDAEAAAVEEFKAAFKQQTFPPGASVLFTHSPAGVITVAFDKGSSAPDIVAAVENKALCEAVLESIIGERSVSPATKQSIASRVPELLKSPA >Et_2B_021451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29852741:29853859:1 gene:Et_2B_021451 transcript:Et_2B_021451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLRGGGGGGGRGGDRGGDHSIGADSLFLYARGAAAAAADTAGSGGGIGFQLWHPHQQAAAVPHTSQFFSSGVATGVVLGFSSHDGGAAGMGGAGGGTGGGRAGTSCQDCGNNAKKDCSHMRCRTCCRSRGFSCPTHVKSTWVPAAKRRERQQQLAALFRGATNNSAAAAKRPRELVRSLGRLPSASSAMVATTTTSSGDGSGGRFPPELSVEAVFRCVRIGAVDEPDAELAYQTAVSIGGHTFKGILRDHGPADEAAVGQLPPSSAEYHQLTGAGRDEESPAGSSEAAATAVTSAAVLMDPYPTPIGAFAAGTQFFPHNPRT >Et_3A_024197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18311935:18323206:1 gene:Et_3A_024197 transcript:Et_3A_024197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPQVSSQTAPNPAASEQRIVVANKHGENLVGVLHHTGSNKVVVLCHGFTGTKDDGVVIDLTAALTKQGISVFRFDFSGNGESEGEFQYGNYRKEADDLHSVVSYLREKYDVTAIVGHSKGGDVVVLYASIYNDVPMVVNLSGRFDLKKGVEERLGKDFIDRINKEGFIDDDGVVIDLTAALTKQGISVFRFDFSGNGESEGEFQYGNYRKEADDLHSIVSYLSREKYDVTAIVGRSKGGNVVVLYASIYKDVPMVVNISGRFDLKKGVEERLGKGFMDRIKREGFIDVTNKSGKFLYRVTKESLMEQLNTDMRAASLSIRRECRFFTIHGAADEVVPVEDAYEFAKLIPNHKLRVIEGANHCYTAHRKEISDAVVDCIASNEVKRNSEFTCFSLWFILCNLFEQLFHVTTGLYAGRGYPALVHSIPIPLPPSLASSATPPEDERLVVTNKHGESLVGVLHHAGSNKLVVLCHGFAASKDDSIFHDLVAALTKHGLSAFRFDFSGNGESEGEFQYGNYRKEADDLHSILSYLQEKYDVTAIVGHSKGGACNGRRNLERSLNLNISLPSGGNVVVLYASIYGDVPLVVNISGRFYLEKGVEERLGKEFMDIINKEGYIDVRNKSGMETCVTFYMQGYLLKQTINPFIVTKESLMERLNTDMRAPSLSISKECRFFTIHGSADKIVPVGDAHEFAKLIPNHKLRIIKKANHGYTSHRKELCEAVVDSISSNEG >Et_10A_000848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18154655:18157217:-1 gene:Et_10A_000848 transcript:Et_10A_000848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSKKLFTPSEIALHASRKECWVVIHGKVYDVTKFLEDHPGGEDVLLHASASGDATEAFEEVGHSTSAISMMDSYLIGSIEGYVPPSISKSSDDWSVGAPPNSRNKGPPNPNTFLDFVLPLFMLGLAIAAWLVITLENVAID >Et_9A_063548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7022566:7024845:-1 gene:Et_9A_063548 transcript:Et_9A_063548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATKRTRSCYGSTEPDRLSALPDELLRHVLSFLRSRQAVQTTVLSKRWVDLWRKVPAINIDIREFPDGEWEKMKDFATDLLMLHNAERLDMFRLSLWPYNDFSKRPDVDRWIRRALKHHPSVLDVAVGPRLFSLLIDKRNTLINLSPDSASVPTAKNCTIDYKLN >Et_1A_009280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36982964:36983446:-1 gene:Et_1A_009280 transcript:Et_1A_009280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGGKAVLLSTAPQCPFPDECDGGAIDTGLFDFVWPPCQVSAGKVCLGLPASQDAAGTGFVPAAELTSSVLPLIRGSPKYCSVMLWSKFYDDRTGYIAQPSRATKMSGL >Et_2A_018032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9263338:9266477:1 gene:Et_2A_018032 transcript:Et_2A_018032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWWEAAAVAVAAAGTGGSSATVVSICVFTAVLCLCLVVGHLLEENKWVNESITALIIGCIIGAIIFLVSKGKNSHIVRFDEQLFFIYVLPPIIFNAGFQVKKKQFFHNFMTIMSFGVFGVFISVGIVSAGCYWLFPKVGFGNLSAVDYLALGTIFSSTDTVCTLQVINQDETPRLYSLVFGEGVVNDATSVVLFNAIKNLDINKLKGGVVLKVISDFLYLFATSTILGISMGLCTAYVLKALYFGRHSTDREVALMALMAYLSYMLAELLELSGILTVFFCGIVMSHYAWHNVTESSRITTRHIFATLSFIAETFIFLYVGMDALDMDKWKTSEASFKTSIGIFGVVLLVMLLGRAAFVFPISVLSNKMSGSSEKTPITFKHQVVIWWAGLMRGAVSIALAYNQFTFSGVTSDPVHATIITSTIIVVFFTTLVFGFLTRPLISAMIPPRRAARTLSRGLSTGSNSPKDDFTLPFLSNEDDVSGSGIVLEQAKSLISAAGKACPHGTHLLEEVR >Et_8A_058162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22415330:22416329:1 gene:Et_8A_058162 transcript:Et_8A_058162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELVCNGCPTILVYNRGATNIRCPNCRTLNSTRSGANQMGQLQCGRCPTTLAYQLGASTVGCPRCRHVNHVRDARPQTVLVENPKTLDDKGKLVSNVAVGVASWKR >Et_1A_007280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3353071:3356500:-1 gene:Et_1A_007280 transcript:Et_1A_007280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAPQNLRSRPVPAKIQKACDSHTRENSGRDEAAFSPRQQLDRDRAGLSTHSFLRARFLRPKNTWSPAPLFAFLRRRGFPVQLPCQQSMETRERVQQFRTPMDPLASLASSFFSAFSSSAQQQGSTFLVLPIPVAAARALSVLRRLLLLATQVFISIFFTLLYALVPSPPLHPRAVEPGSPEEAQQTTAGRALAHVLSVASRLPVASRKHALVRGLAERLLDDNARAGGERVGAVSRAALAGAFARALRQLEDVAAGGGDWPGMELAVRAVRAGVHWLWPTTASASLDHGFGGPKAEKLAAELLWLAQKMAECGAAGEAVARFGAAARLGSRALVAEPTLQVSLLRLAVFLLKHANSTEFEQNSGGNNTSADKGAVAEQRMAMLRSWLRLLCRGSNGTDAPVLSGRERSEMVGVLEELIDKLSWEQKEEILALWLHHFAACPDTDWPNLEACYTRWYAESRKMLA >Et_4A_032159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21687486:21687806:1 gene:Et_4A_032159 transcript:Et_4A_032159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIIHKIEETLHMGGGSDHKKEDEHHKKAEEHKKDEHKKDGEHKEGMMEKIKDKITGEHGDKSGDHKEKKDKKKKKEKKHSEGHDGHSSSSSDSD >Et_2A_017573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3719342:3719666:-1 gene:Et_2A_017573 transcript:Et_2A_017573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSCCCRCLELLCSVLLPPLGVCLRHGCCTMEFWISVLLTILGYLPGVLYAVYVILSVDPHRNRDPDEDYVYVA >Et_8A_056220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16379153:16379683:-1 gene:Et_8A_056220 transcript:Et_8A_056220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPPLFCCFALNVACARRKTPLNATAAVLKQRKWKGRAICWALWLTRNDFVFRNQLCPSPQSIIHRMLSLMQRWKVLYKQETREDIQKLIKDVRSKIDQRNHHCTLLTGG >Et_1B_009808.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:28604675:28605622:1 gene:Et_1B_009808 transcript:Et_1B_009808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPGKAVILLQGRFAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFIKLVNFTHLMPTRYTLDVDLKDVAAGPDALATKDKKVTACKAAKARLEERFKTGKNRWFFTKLRF >Et_1B_010520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11258569:11259466:1 gene:Et_1B_010520 transcript:Et_1B_010520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETVVLKVTMSCEGCSGAVKRVLSKMQGVESFDIDMKEQKVTVKGNVKPEDVFQTVSKTGKKTAYWEGEAAAPAASAPPATEAAPTAAAEAAPSAAVAEAAPSVAAEAPAATAEPEITPAKADA >Et_6B_049759.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:988960:989613:1 gene:Et_6B_049759 transcript:Et_6B_049759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAHSTAPAWPSTSKPPPPSPPRNRLCVCGLVTLAFLVALAVTILALSLTVFRVRDPTTRLESTRLAGVAPRLSFPAMSVQLNVTLLLTVAVHNPNAASFAYASGGHTDLTYRGKQVGSAEIDPGRIPSRGDGEVRLALTVQADRFAADLAQLLADVEAGSVPMEASTRIPGRVSILGGLIKRRAVAYSDCSFVFGVVAEMKVRSQDCKDSTKL >Et_5A_040120.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:12125954:12127666:1 gene:Et_5A_040120 transcript:Et_5A_040120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGSSTYVGSRPIPHRPVSFNLRAQKQRGRLRLRPMASASAPAGYDRLSELKAFDDTKAGVKGLVDAGVTAVPRIFHHPAAPGGTSSTDQPPSPRHDEYRVPVIDLAADTERSRLVSQVKAAAETVGFFQVVNHGVPDSLLAQTLACVRRFHEAPAEAKRPYYSRDPARRVRYQSNFDLFYSPAASWRDTLFMEADAAPEEVPAACRGVVVPEYTARVRRLGSALLGLLSEALGLQGGDQLERDMGLVGLAVAGHYYPPCPEPHRTMGTAAHSDPSFLTVLLQDGVGGLQVLVGGAGGRWVDVPPVLGALVVNVGDLLQLVSNDRFKSVEHRVVSKAAGPRVSVGCFFRASHGGDGSRVYGPIVTGDERPRYRGVTVKEYLGYFMNKGLDGRSALDHFRL >Et_8B_060048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5470875:5475229:1 gene:Et_8B_060048 transcript:Et_8B_060048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSGAGGTSLPNSDSDYVPRHQPTQEASCTSARRRKKKKKKKKKKKKKKKKKKKKKKKKKKMMGSLPEQRTETIFRSTLPDIAIPDHLPLHDYIFERLADRRDRACLIDGATGESLTFGDVDRLSRRVAAGLRRVAAPPLGVRRGGVVMLLLPNSVEFAVAFLACSRLGAAATAANPLHTPAEIAKQAAASGATVVVTEPAFVAKVRDLPGVAVVATGDGADGCVSFADLAATDDADDLTVTIDVANDVVALPYSSGTTGLPKGVMLSHRGLVTSVSQLVDGGNPNLHIRESDVVLCVLPMFHIYSLHSILLCGMRAGASLVLMKRFDTARMLELVERHGVTVAPLVPPIVVEIAKSGGALDRYDLSSVRMVISGAAPMGKELQDILHAKLPRAVFGQGYGMTEAGPVLSMCMAFAKEPSPVKSGACGTVVRNAELKIIDPDTGLSLQRNQPGEICIRGKQIMKGYLNNPEATAETIDRKGWLHTGDIGYVDDDDEIFIVDRLKELIKYKGYQVAPAELEAMLIAHAGIADAAVVPMKDDSCGEVPVAFVVGSDGSEITEDEVKQYVAKQVVFYKRLHKIFFVEAIPKAPSGKILRKDLRAKLASGFSNGCAIGSTKSQKKTRINLTLCRCLSRADFSKDS >Et_10A_001219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22274811:22278533:1 gene:Et_10A_001219 transcript:Et_10A_001219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRAEEERKVPLLEARPAAEGDGGIVGKVEEDEADDSSLSLGRRAWEENKKLWVVAGPSIFTRFSSFGVTVISQAFIGHIGATELAAYALVSTVLMRFSNGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIILFACAVVLLPVYVFTEPLLIALGQDPKISSMAGTISLWYIPVMFSYVWSFTLQMYLQAQSKNMIITYLAMLNLGLHLVLSWLMTVKFNLGLAGVMGSMVIAMWIPVFGQLAFVFFGGCPLTWTGFSSAALTDLGAIVKLSVSSGVMLCLELWYNTILVLLTGYMKNAEVALDALSICLNINGWEMMISIGFLAATGVRVANELGAGSARRAKFAIVNVVLTSFSIGFVLFVLFLFFRGSLAYIFTESREVANAVADLSPLLAFSILLNSVQPVLSGVAVGAGWQSVVAYVNITSYYLIGIPLGAVLGYVVGFHVKGIWIGMLLGTLVQTIVLLFITLKTDWEKQVETAQERLKRWYMEENRRLQASRENA >Et_5A_042292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8375165:8383034:-1 gene:Et_5A_042292 transcript:Et_5A_042292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGARLFLLVLAAAVLAPPPADAQPTVVSYCGESGNYTANSAYHSNIQRLAATLPENASSSQDFFATATLGAFPDVVYALALCRGDVANATACGSCVAAAFGDAQRLCAGSRDAAAYRDACYARFSNINFLATTGNDDLQTYESGRDVVSGYMAPEYAMFGNVSPKADVFSFGVLLLEIVTGRRNGSSDDPDSDVHLLTENVSSPFPAFDAAVARLLNATADYAAARNSTRRFATGTEGFGGDYPTIYGLTQCTPDMSPADCRSCLADIFGMLPQYLSGKQGGRVIGVRCNFRYEVYSFFSGSPSLRLSAPSSPAPAPPPAPVNATPTVTPQGRSKNKTGIILAIALPIVAAVLAVTLVCLCFLRSKRRPSREHERSYSTNPEDIETIDSLLLDISTLRATTDNFAESNRLGEGGFGAVYKGVLHDGQEIAVKRLSQSSGQGIQELKNELVLVAKLQHKNLVRLVGVCLQEHERLLVYEYLPNRSIDTILFDTERSKQLDWAKRIKIISGIARGLQYLHEDSQLKIIHRDLKASNVLLDSDYTPKISDFGLARLFGGDQTREVTNRVVGTYGYMAPEYAMRGHYSIKSDVFSFGILILEILTGRRSSGSFDFEQSVDLLSLVWEHWTTGAIVEIIDSSLRGNAPVEQMLKCFHIGLLCVQDNPAERPTMSTVNIMLSSNTVSIPAPLKPVFFIPKSGTYSTVYSESYPIATQSTGRSGGMSPNEVSITELDPR >Et_3A_025480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30157902:30162722:1 gene:Et_3A_025480 transcript:Et_3A_025480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPQSRRGRPGPWPPAQPPQPQAQPLSWARRTGFQSRVSGESLPSAAASAPNSGQVPLPRPVEPPADLESGPPARPTSTLPPPPAAAAAAPAAGNAERQRPQPPPPPPQARTRRRDSDGGRPNGQAAARSLPQLLEEEETPERPAHVKYELRDTPGVFPLVIYGFQHYISMLGSIILIPLVMVPAMGGSADDMAAVVSTVLLVTGVTTLLHMFCGTRLPLVQGPSFVYLAPALAIINSPEFFGLNDNNFKHIMKHLQGAIIIGGAFQVVLGYTGLMSLFLRLINPVVVSPTVAAVGLSFFSYGFAKIGTCIEMGILQLLMVVLFALYLRKIKLFGYRVFLIYAVPLGLGITWAVAFVLTATGVYSYKGCDANIPASNNISSFCRQHVLRMKSCRVDTSQALSSSPWFRFPYPLQWGTPVFSWKMGLVMCVVSVIASVDSVGSYHASSLFVATRPPTSGVVSRGIGVEGVSTVLAGLWGTGVGSATVTENVHTIAVTKMGSRRAVGFGAILFVLFSIVGKVGAFIASIPDVMVAALLCFMWAMLCALGLSNLRYSATGSSRNSIIVGLSLFLSLSVPSYFQQYGVRPSANSSVPTYFQPYIVASHGPVHTGSGGVNYVLNTLLSLNMVIAFLVALVLDNTVPGGRQERGLYVWSEAEAARRESAVMKDYELPFKIGHVFRWVKCVGL >Et_5A_040110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10392061:10393437:-1 gene:Et_5A_040110 transcript:Et_5A_040110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLEAGKRLLAHSGRALSLTVLVMQPPEEKSASELAGHISREKASGLDIRFIHLPAVAPPDFLGIEDFVSRFVQLHAPHVRAAISGLACPVAALVLDFFCTTMLDVSRELAVPAYVYLTTNAAMLALFLRLPALHKEVTVEFEEMEGAVHVPGLPPVPPSCLPDPVMDKTNPNYTWFVYHGRRFAEADGIIVNTAAELEQSVLAAIADGLCTRDGVRAPVVHPIGPVIPFNPTAEQRHDAGYFTAPQAHEVARGLERSGHRFLWVLRGPPAPGKRAPSDANFEELLPDGFLESPKDSGLVWPTKAPQKEILAHAAVGGFVTHCGWNSILESLWYGVPMVPWPLYAEQHLNAFLLVDYLGVAVAMKVDRKRKNFVEAAELERAVKTLMGDGEEGRKVREKAVEMKVACRNAVDDGGSSHAALQRLSEELQRVVDVQKCG >Et_7A_051915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2738367:2740870:-1 gene:Et_7A_051915 transcript:Et_7A_051915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSSPAGVVCVLLLLFLAGASVSHGSFEGRRVLSDDRGKGVLASWRRSLVDSPMPDAAPPANNSMVLAAARTHRPDPLTNLTMYSGGWNISDEHYWASVAFTAVPLFLAAMLWLMGFGVVLLIISCCCCFCRSKNPTYSPGCYVTSLVLLIILTMATIAGCWILRCGQELFHRSAIDTVDYVVGQGNLTFDNLRNFSRSLAAAKTIKVDEIFLPADVQQKIDVIEDKLNSSANELSTRALENSNEIKKVVNHMQHDLMSVGIVMLGLSVLGFLFSILGWRFLVSLMVIFGWIILAVTITSSALFLLLHNVMADACVAMDEWVSHPQAHTALDDILPCVDVATANESMYRSQEVTAQLVALVNNVVVNISNRDFPPALRPLYFNQSGPPMPLLCNPFNPDMSPRACAAGEVDFDSAPEEWKRFECRTAAGSSPETCVTEGRVTPAAYSQMTAAASISQGLYRFGPFLMQLQDCSFVRETFTAISKNNCPGLERYSRHVYEGLLDISGAVMMSIVFWMVHTRQRRRRSMCKQM >Et_9B_065967.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18916374:18917303:1 gene:Et_9B_065967 transcript:Et_9B_065967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLLLPVSTAAGSSSISIDNTFEEATSSPPAASSSPSTQSIVFRVVAVLAVACASLFAQHEASKGFAIAVVSDPRDTAAAGRRFHLLFVSNGRAERVVHYASRGVERALFPDASFPRKRVARVTVRMAGHNLTGDATVDAAAGAAGACGEYVISLSPGLVMSGAAGNADDAVAAAVRRAVARMWLWDGRGAAPARVTDAMVEYLAAAAASDDDDAKVAAAARPEQEDGQCMSPPFLRHLEQRRRGFVARLNRAMKDRWSDAAVDAALGAPARPVCAAYLSSAAKVPPAATQLAGSTSDVTRGSSVAM >Et_2B_018883.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:13320727:13321521:1 gene:Et_2B_018883 transcript:Et_2B_018883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPPIRSSKSSGGTSLPALSNTPLSSTLLASCNSPAPPGVSKFTARAPFLLALSRSAHSDVTPRHFFTFTLTRRGQPSASLSIPASDTGHAFMHSLRKAAHLAATHDISASPNVSEPQSASRSRVGQLAAINSRLTDVKFTPMRTNSCNLAHFCATAASPRSPILLAFHPSTCSLRRRVDLAASAANPTSVTSGTFARYRTSSPYKADTPRSLTCNFSRWSSASKASRGTAWSTGSQSPEHRIILTVLRLGDWVIRQEKHWPL >Et_2B_019999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1681606:1683179:-1 gene:Et_2B_019999 transcript:Et_2B_019999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLLLASKLSSLHSSMSSRVRVLNVTHVLPDHDQAAAAAYSPPQPLPDDGVVKLSFMDAMFVDRVMPMRRLFFYEGPGVPPFPCVLLALRSSLAVALAVFSPLAGKLAYRASTGDVVVDCSPEAVAPGVRFVEAEYDGSVRHMRRLAGGDEGSAEALKQLGPELDAGRLPAPVLAVQVTRPAVDGGERAVMVGVAVHHAVADGHSVWQFMKAWSAVSRACSQTTTAPDVVPPMFDRTPIFRYPRAEEVARKILHTVAPSLPVVKSPSPSSNLPVDQRRRTFLLSADEIQSLKRRILAQAETVGEKLDTHPSTYVAVFSLMWASIVRAKSVNPAEDAYFLVAVDFRRRVGPPVDDHYFGNCVIPCVARAAARELCDDGAGLARAAAAILAAIRAQQEEDDDDPVRGMERWLESWRAVPRERLTVTASSNRFMAYETDFGWGAPSRVELVSLFARELVMLLGAADGGVQVTVTLGAEHMDGFVANLMRLSGRENEA >Et_3B_030969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9529279:9533236:-1 gene:Et_3B_030969 transcript:Et_3B_030969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASFAGARLLLRRLLSTATEAVTEATAPAANSAAKAAKKKGARPLYRQLSALGKAGEGSVSRVMNKWVREGGTVRVDDLVKHVKDLRKYKRHAHALELMDWMVNARGINMSHTNHAIRLDLIHKVRGIQAAENYFDDLPDPAKNHRTYGALLNCYCSEKMEEKAADLYGKMDELGIASSTLPINNLMTLYMKLGQHRKVDSLFEEMKAKNVKPVSLTCCILMTSYAASNKIDAIEELLKEMAEKDVDLQWSAYSTLASIYVNANLVEKAESALKKLEELVCDSDDRQPFDFLMSLYASAGNLSEVNRVWGVIKAKFSKVTNTSYLGMLQALYKLNDLDRLKQIFEEWESGYESYDMRLTNMMIRAHLKSSKAEEAELLSEKAKEKVEEFDSKTCELFLDYYVGKGDMTSALKWVETMTKLPKKAAKLDQDRIHKFQKYFEEHKDAEGAERFCKCLRTLGCIDEKAYESLLRTYLAAGKTNRSLRQQIKDDKIEICYDIGKLLKRMGDKGR >Et_1A_008995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24159368:24165505:1 gene:Et_1A_008995 transcript:Et_1A_008995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRGGGGSSAQRQPPVVVVAVVPPPRRRTTTSGARRRAIMPVKSSASFRLTALPMVVTAQLLAAAVLTLTLVWVLHFRGGVSWEKTSNPLGVYTAHPLFMVIGFVICTGEAVMAYRIVLGPRAAKKAVHLLLHLVALGFAAAGLYAAFKFHRDNGLPDVHSLHSWLGIATIALYALQWLVAFVYFVFPGAMMTMRADYAPWHIFFGIVIFLMAICTAETGLTRFIFPTDYYPSEAFVINFTGLVILMFGVVVVLAVILPSRY >Et_2A_015271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10138239:10144179:-1 gene:Et_2A_015271 transcript:Et_2A_015271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGTRMFPHLLMFISFKSKYAVARRTQFLQKFGFSQDDIREIVRKGPAVLGLSDQKIEGNLNFLMKDLGLEVPYIVQRPALIMYSLERRLLPRHCLLKVLREKGLLSVEYSYYTTALMSEKRFEQKFVLPYKDVVPGLADSYASKCSGKEVDRVSWQARTLSLILRSQPSFSTLLDSPTVSLNRHLCSAATTASTASSPRSFAVEDYLVSRCGLTPAQALRAAKKISHLASDSNPDAVLAFLGGTLGVRAADIAATVKMDPRILCSNVEQTLAPRILDLSGLGLSLDEIARLLPLAPQSFRNRSLGRNVEFWLKELGSFDKILLVVRKTSSLLGVGLDRVAKPNLALLQQCGLSASDIAAFNMYSARLLTMNPKQLREAVERVEELGVEPRTRMFPHALVVICLKSKDAVASRMQLLQKFGFSHDDVREIVRKAPYVLGFSDQKVQGNMEFLMKDVGLDVSYIAQRPVMMMYSVERRLLPRHWLLNVLKKKGLLKAFDYCSKAALSEKHFVQKFVLPYKDVVPGLADGYASKCSGKAVDRVSWQDE >Et_3B_029703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27272248:27280667:1 gene:Et_3B_029703 transcript:Et_3B_029703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTPLRLPLVPFLVLLCFSPACASGRVSVSVYYETLCPFCSAFVVNDLARIFHDGVSSIVDLRLVPFGNGRVSVDGSITCQHGEGECQLNAIEACVIRLWPDAEQHFPFIHCVEHLALTRKWDAWQSCFQETGLASQPVIDCYNSGYGRQLELQYAAETNALKPPHQFVPWVVINGKPLVDDYMNFEAYICSAYDGELPEACKGKHLAIAEHTRASRGDKMAISSALSFLSDRKRPIVVAVTLFILLSSLFLLLIPAPSALPFFFSPSSHLASSSSSSSSPVAVSPPTSSQTAIPVLADSSPPETATAVASEGIGNRTADPPRPDADTTAAANDIAAIGPQTDHGTLPAAAEASESGSNNESTAGVSRERDVLGQGLGGGGVEKRVEVPSWELCQVGKGVEAADFIPCLDNVKAIKALKSRRHMEHRERHCPTAPRPRCLVPLPPRYRRPVPWPRSRDMIWYNNVPHPKLVEYKKDQNWVRKSGNYFVFPGGGTQFKNGVTAYIRFIEQIMPNIQWGTHTRTVLDVGCGVASFGGYLMDRNVITMSLAPKDEHEAQIQFALERGIPAFLGVIGTQKLPFPDNSFDVIHCARCRVHWPGGYYIWSATPVYRKDQRDIEDWNAMVALTKSICWRTVVRSRDINKIGVVIYQKPMSDSCYLERKNNEPPFCAENDGSRSSWYTPLDSCLISAVSSSGGGNSWPISWPERLNMRHSTTSNSSSTQFSQENIDSDTSNWKDLVSEVYLNEFAVNWSSVRNVMDMNAGFGGFAASLIHQPLWVMNVVPIEQPDTLPIIFNRGLIGVYHDWCESFNTYPRTYDLLHMSYLLGGLTDRCHIIEVAAEVDRILRPGRWFVLKDKIEVIRKMDPILRSLHYKTTVVRQQFLVATKGFWRPGNTGSQ >Et_1B_013431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8386418:8387321:1 gene:Et_1B_013431 transcript:Et_1B_013431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGRHTIILMQPSQNRASRTFMDYNSINHALDGICGLYERKIRDINPMVPNITYDISDLYNFIDGLADISALVYDHSIQAFLPYDRQWIKQKLFQHLKKLAQR >Et_9B_064703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17882930:17885485:-1 gene:Et_9B_064703 transcript:Et_9B_064703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPPTSISLAARAATRAVAGAATPLRRGGLAAACQPARSLAFAAGDARLAVHVASRCRQASSARGTRAMATMAKKSVGDLGAADLEGKRVFVRADLNVPLDDNQNITDDTRVRAAIPTIKHLISNGAKVILSSHLGRPKGVTPKFSLAPIVPRLSELLGIQVQKADDCIGPEVEKLVSALPNGSVLLLENVRFYKEEEKNDPEFAQKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGASLVEEDKLELATSLLAKAKEKGVSLMLPTDVVIADKFAPDANSQTVPASAIPDGWMGLDIGPDSVASFNAALDTTQTVIWNGPMGVFEFDKFAVGTEAVAKKLAELSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVVALDEAVPVAV >Et_4A_033546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24280251:24284071:-1 gene:Et_4A_033546 transcript:Et_4A_033546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCDCIEPLWPTDDLLVKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFTTHTKTVAIVMTVAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRSGSSLQLSHTLRHQITVGSSVSINLPVVNQQQPSNYYSPHISFGTNSTSCRAIVPPEVAAVRVPLLHLSNFQINDWPELSAKSFAIMVLMLPSDSARKWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAEMAIRARNDFLAVMNHEMRTPMNAIIALSSLLLETELTPEQRLMVETVLKSSNLLATLINDVLDLSKLEDGSLELEIKAFNLHAVFKEVMSFIKPIAAIKRLSVSVMLSPDLPLCAIGDEKRLMQTILNISGNAVKFTKEGHITLVASIVKADSLREFRSPEFHPTASDDHFYLKVQVKDTGCGISPQDLPHVFTKFAHTQSGGDRGFSGSGLGLAICKRFVSLMGGHIWLDSEGTGRGCIATFVVKLGVSDNTNTYQQQLIPLVWPSSADSDSSGPKALNDGKGSTASLKSRYQRSI >Et_3B_028810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19343315:19345480:-1 gene:Et_3B_028810 transcript:Et_3B_028810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVMNSSFVPLIAGTLKLVVLIAMSKLHLRGAPLLKNRPQGCRECLLWALLFTSLVLTFLPMAAYRHYGIKWSDISILAMIWAAPMVVNIISPPCSGHVLLFSAKLISSVLLAWGNLDFVFAGKIFTILVLSLGSLQKRNPANSMFGLSTEVILHTLFLFNMVIPLPGIEVQLIAPVLSPAIAAIILGSALLIGNLQIPVAIVQVVLSSWRLYMLLAHRDYSHLPWNNSQNMVPAIAVCYLLAILQGTHYIMACIIELLSFFPHSLQLLGMKILEMLARDPDNCAEIIKATDLIPRTIRLIAYNTDTENRNDEQLINSTLKFLRRLAITGGKIGAALRQELCESPFLLSNLVGILEDSRSSPQMWESVMDIIAKLALNYNGRKEIGRVQVIISKLMHAFVGRDGERYDNQSLRMSAGEALANLTMNNIANCLAILEESKYDFIKDITELLKADSDYICVVGTLLQNFCAHTGDKLCHPEGMKHLPSAMQVVSENIMTAEGKKLEIVVGVASHIFYIFPRCFVQNLNSHNNKAGLVKKLVDTLNYNSKPNPEYPRMRRVIVYMATFTVKFCEGYDNLFEEKGIMEALSKVEKTTSKVEGYRVFLGDDGVVLECDIPLPNLVARAKVEIDSVLRARAHRRRIL >Et_4B_037599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21586260:21587282:-1 gene:Et_4B_037599 transcript:Et_4B_037599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARVAVVQHRGAGEEGGHRGWHGAPKRAGDVRASLGGAHGRRGALLAQDAAESSTRPVLLTISEDDECSDYEDLIRNAHAVRHPYYTSGTAQGRGVQPPRRVPQRGSHGPGVPYVRGVDVKNPTTMESVPCDGRTVGEVIRWPAATSPCATRSRTGPAKDTIVSGGENISSSIESIMHIFGHPAVLDAAVVARPDDHWWDRRDAVRVSQAQGRTARLPRKQTSSTLFFFLFFFFFFAGRGRLVTWRPKSVLFQDLPKTSTGKTRKFLLRNKARAMGSLTLTNTANSKLQ >Et_1A_006544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24098438:24100408:-1 gene:Et_1A_006544 transcript:Et_1A_006544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TEKRRPATSAHKERIYYISIQKKKERINCGSAAVAKPSALHKVTDGGAWDAEDLFGRLGILTHAAFHHAGFHPRGQQESTPLSVSRRYYLAPQAEFRKGGGAAVLRLSRRGRCQAGRSYVMLRAYIPINGGGRCTERRERLDRCALVSVLSGGLDETARLLGSDGELEASRRWDLPGPLHRDTQDERNFGAARIHGPPGGDEGGDSAETTRRQGHRHGRDLVAKHQSELWKPRYQAIVTDYPRFFPRFVSDNGTPDVSWKETYLTSWRAMCSLRTKEYATPRKYGSNPIPLYGLWILRNGRLPTIVDIRVGRFPSIVNIVHRKKWLTDADRAADRRRAAVEENNRKRAACRGGRIVTVPEKKILHRKGHGAGRYEQMAYDDGRGRPAMAGAAFTSLATP >Et_9B_066287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9980299:9983523:-1 gene:Et_9B_066287 transcript:Et_9B_066287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNNYSGSGQIRLDCGASTATGIDSDNRTWDSDNGSKFAPSLKEVAATAAYQNPALPSTIPYMTARIFTSNYTYSFPVSPGRMFVRLYFYPSTYGRYVPANAYFGVTTSNLVLLDNFNASQATTAINNASVVCEYSVNVTTGRLDLTFSPSTYLKYSYAFVNGIEIIPTLDLFTTPKATLANSLYPNPLPIDPATGFQTMYRLNVGGEHISPRDDVDFYRIWNDDFPYIYAAGYGVVFGKDNNVSIIYTPSVPNYTAPVDVYATARSMGTNAQINLNSNLAWIFAVDAGFYYLVRLHFCEIQYPITKINQRSFFIYINNETAQEEMDVLVASGGIGRTVFRDYVTVTTGFGQMDLVVSLHPDILTRAEYNDAILNGLEIFKLQTGDNSLAGTPPLLPSPNVKQHKTSGQRTSNGAARGAIGGAAGGFAVLIIAFISVCIICQRKKKVALEHGTSNNKRSAPLDGWNSLPSNVCCHFTFKEIQTATGNFDETFLLGKGGFGNVYRGMIDHCIKVAIKRGNPLSQQGIQEFRTEIRTLSLLRHRHLVSLIGYCEENNEMILVYDYMANGTLREHLYHTKESPLSWKQRLEICIGAARGLHYLHDGAKQTIIHRDVKTANILLDDRMVAKVSDFGLSKASLDNDDTHVSTAVKGTFGYLDPEYFRRRQLSQKSDVYSFGVVLFEVLCARPAIKTELPDEQVSLRDWALSRQKKGVLMDIIDPHLQGKITSECFRIFAETAEQCVADHSLDRPSMGDVLQNLQVALQLQQSTMDTTSNAMAVSLTNNLVPSENLSTDSTMSVAGQGVIFSDIAQTEVRDMSWDRDACKAEVVVLTLL >Et_4A_034435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32583070:32591027:1 gene:Et_4A_034435 transcript:Et_4A_034435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPETRLESAVFQLTPTRTRCDLVVVANGRKEKIASGLLNPFVAHLKVAQEQIAKGGYSITLEPDPEIDAPWFTRGTVERFVRFVSTPEVLERVTTIESEILQIQDAIAVQGNDNLVSKTSYGPDADKALVPYKPGTQPTPPLQNHSAAQEENSKAQLLRVLETRKTVLRKEQAMAFARAVAAGFDIDNLLYLTIFAERFGASRLMKACTQFVELWKQKHETGQWIEVEPEAMSVRSEFPPFNPAGIMFMGDNMKHNMESMSVSNGDADGEDSSKAGHKTPQYSGSTHEYFHGSYQSAYPTWAMHPPYSMQGMPYYPGMNPYFPSPYPPTDDTRYHRSERRSRRHSSDSYDSEKLDEECDQSGSERETSRGPRSHKKGKKRGTPSVVVIRNVNVTSKKHVASESDSLTGSDIASEDSDDSHTESRKKKNKKSSSKKKEARQIFLESADEYSNDKMSCGQDGDQGNWNAIQNVLLRADDKTRNNDADLFSSEKEPQPSRKMENRSMDDPILLAERDSVDANERNTVGLNSANGRIRPRQMLSGDDLMMSGEGRSFSRDDIKEIEAGGGGYRGGTTDDFMVYGQEKPMDRESSLDPLAEIQYKSPIEVEKSVGCVPDESFMIPLRSISEENLVPENRTALDIDVELPPSDQKISDSNAGEELFYEPDELMPERGYEDVSFGYDLAMDYDSHMQIQSAMMVEDAHVKDASSNVEVEIKKPEKDKRLRSSQESLDKRRKDASARRLSSSRGILTDAQKRAQNLRAYKADLQKAKKEQEEEQIKRLEKLKLERQKRIAARSSASNTASTPQQPKVKPSPKLSPSVYKSSKFSDAEPASSSPLRQLPAKITPRTDPPKAAKASKVGDSMHIVSKSTSSLADMKKEKSGRIESSSERLKKLAEPKTSSLTDHPLNSKSAKLDHSQRRSMPQNTQTKKISAIMQLDQSKSATLPELKVKSPRAPPVVMKNVVAAKEKKEGAPEDKSSPTQEIAREKKTNGKISRMNSIDDSVVIEKTVVILENEVVSIPPAILRSEGIVEVETSSDDRMEMPSLGLEYAAIRAPPSPVIPPEAEDLVINVPHDQGSSYEVVTGSRMDEQEIPTLAAVERPYQAPFARVTSLVNSSVNSPAGCQPLPVQDSGSLMHSESIKARVPEPMDPVSVEESEKPRSKEPRGFRKFLKFGRKGNNSAVTEVTLASDASSVDESTAGDGSMLKNLILQDDSSASSKGY >Et_6B_049728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9541269:9546330:-1 gene:Et_6B_049728 transcript:Et_6B_049728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITPEEFFVESLMEQIPPSPSAFINLPQSHAGGGENQLTMDDSVLPHISRVLMEDEIEDSILYSDHPALLKVQRPFAQILFSDSFRTNKYSTGPYASTPLLVTVRGNEGVGDGLLLRNDDQDKLNSDLSKGLDAVGAFLKGMEEARRLLPNNSGFTSDELVDNICSESIAHKVLKKRENRDKHLEDEVGRARKSTSYSRMKEMEEICELFDDLMLHSSEACIRDMKKLRVAMDNVILKHASKATMDTVDLRTLLVLCAQAVATGNHASADELLKKIKQHASAKGDATQRLAQCFCEGLEARLAGTGSKVNKFLMDRCPSVREFLEAYKLYMAASGFYKVALVFNITTILHAMEGKSRLHIVEFGTIFGLQWASLFRQLANREGGPPEVKITSIDSPQPRSLPTERIEETGRRLSNCARNFGLPFKFHSITAAWEAVGIEDLNTNADEVLVVNDLFNLSTLMDERIFVDNPSPKEMVLNNIRKMCPDVFIQSIVNCSSGTSFLTRFREALFYLTALFDMLDATIPRESESRLVLEQDLLGRCVLNAIACEGEDLMDWPEKYRQWEVRNKRAGLRQLPLKPNIVQLVRDKVMKFHHKDFLLGKDDKWLIHGWMGRVLFAHSTWVANDTPHHTTTMATAPEELFAESLMEQVPPSPSFSLDHPQMPDCDREGQLSTVDLVLPYISRVLMEDNIDDDLLYRYSDHPTLLQVQKPFAQILFASSFSTSDNNKGTIASVPLHDDDRGSMNVASDLLLCNRDQSTISSESLKAIEEASRLLPEYSIFRGDYLVDDLSRENSTHRGLKKRYNREEHLEEAEGERARKTMMTTNDMEETRKIFDDMMLHDHEACFRDTNKLHMVVTSENVKSNRKRGNKQELHKVDLRMLLILCAQSISTGDQVGAGELLKQIKQNASKTGDATERLAQCFAAGLEARLAGTGSLIYKSLMEKRPSVLEFLKARRLWMEACCFNQVALVFNIMTIVHAMEGKKRLHIVEFGTNFGLEWPCFFHRLGNWEGGPPEVRLTSICCPEIRFFPTEGIEETGCRLSNWARKLGIPFRFHAITTDWEAVCIEDLGTNPDEVLVVCDNFNLSTLMDESVYFDNASPKDRVLGKIREMRPKVFIQSIVNCSSGTTFLTRFREALFYFMGLFDMLDATTPRDSEPRMVLEQGLFGRCALNIIACEGMDLMDRPEKYRQWKLRNQRAGLRQLPLKPGIIPVLRDQVMNLHHKDFYLGEDDEWLIQGWMGRVLAAHSAWVAKEVPSSV >Et_3A_026461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8341890:8346735:1 gene:Et_3A_026461 transcript:Et_3A_026461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGSSSPPAAACAACMDRRRKCEPDCVLAPYFPPEHWERYAAVRRVYGADNVANLLAELPLEQRAHAAGTLVFEARAAIQHPVYRCVSYIVVLQQMLKKKRAQLAAVHEELAGYAAAAAAAGSQPPGGAGSAPSPATQAGAVPANEDGVGVVGTGPGVQVVQRGQGHPPQPHTQPKQQTMQQKAEAREAATAARTARMQAMAERRAAPARQQGQGRHRAVAQRAGTGPAVLPEQRVLGGRVQEPPPRHQTAQQEADEVARVQHLLAVQAQAEEEVERQQEERMRQALATARERIAREQQEMMMLQQQQAAAMEIDVTQPHLHQQTVHQIANAQQLSAAAGEVAREQDMDLMMMMQQAAAYAQHGMPGNVSGATNVAAFLPRGSSDAETFLLQHQQQQPQMVNAHGFQTDASLPPPPLADLPRQQQGDGGADDQFSSDDLTAYFAIPGPNNPSDGSG >Et_10B_003636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4047288:4051293:1 gene:Et_10B_003636 transcript:Et_10B_003636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRLSPSMRSLTISTSHGLLDLMRLKTAARHFSYRTVFHTVLILAFLLPFVFILTAVMTLEGFNKCSSLDCLGRRLGPRLLGRGNDGSMRLVRDLYAILDEINSDEVPIDLKIPESFHEFVWDMKNSDYDLRSFAFKLKAMMESMDKELRSSRLSEQLNKHYAAIAIPKGLYCLSLRLTDEYSSNALARKQLPPPELVPCLSDNSYHHFVLASDNILAASVVVRSTVRSSLKPERIVFHVITDKKTYPAMHSWFALNSLSPAIVEVKGVHQFDWLTKENVPVLEAIETQRTVRDRYHGDHVTRTSASDSPRVFAAKLQAGSPTYTSVLNHIRIYLPELFPSLNKVVFLDDDVVVQHDLSSLWDIDLAGKVNGAVETCRGGDSWVMSKSFRNYFNFSHPLIANNFDPSECAWAYGMNIFDLNAWRKTTIKDKYHHWVKENLKSNFTLWRLGTLPPGLIAFKGHVHPIDPSWHLLGLGYQEKTDISSVRQAAVIHYNGQSKPWLDIGFKHLQPFWTRHVNYSNEFIKNCHIMEPQL >Et_1B_012334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3161868:3165162:1 gene:Et_1B_012334 transcript:Et_1B_012334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESRSPPSGCAMFGIYSSMFRRRRSSSMSSISRGSESDADSEVGQAAPASTKVVPPQVHHAHRKAAVHEDSSLVRRPNGAVAARAALPATERSRPSKAKPAATAAEPAMEYTGMAAELDKMILDHQKVKGTTQFMRATSGNMMLHRNLGNLNAGGGASARSSVERKAAAAGNNERKTAAPNNNGYAFSVGMGNIVSRDAKPAATGELCRALSHRTDPEKLKEMGNEEYRQGHYAEAVALYDQAIIMDAKRPAYWSNKAAALAALGRLVEAVADCREAVRIDPSYDRAHHRLGGLYLRLGEPDKAIHHFKQSPKESTGADVSRAQSVKTRIAKCNDARKLRNWITVLQESQAAVSDGADCAPQVMALQAEALLRLQRHDEADAVFTGPSAPRFGVDESTKLFGTIGHAYVLIVRAQVDMAAGRFEDAVATAQTACQLDPSNREVANVHRRAKAAAAARLRGNDLFKAAKFAEACAAYGEGLEREAGNAVLLCNRAACHAKLGRYEKAVEDCSGALLVRPAYSKARLRRADCNVKLERWEASLRDYQVLIQELPDNEDVKKALSEVEAKLKSQRNGGAADRFQH >Et_7A_052006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3664500:3665879:-1 gene:Et_7A_052006 transcript:Et_7A_052006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GLSPDAMMQQPQPGMAPPPPPQAAGGQPPQWGGIPPPMQPQYGAPPPQQPQAPAMWGQPPPQAQYAQAPPPQQYYAAPQAPAPAAPAASDEVRTLWIGDLQYWMDENYISNCFLPTGELQSVKLIRDKQSGQLQGYGFVEFTSRAAAERVLQTYNGQMMPNVELTYRLNWASAGEKRDDTPDYTIFVGDLAADVTDYLLQETFRVHYPSVKGAKVVTDKLTMRSKGYGFVKFGDPAEQARAMTEMNGMLCSSRPMRIGPAASRKTTGVQER >Et_6A_047938.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:24670213:24671052:-1 gene:Et_6A_047938 transcript:Et_6A_047938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVAEAVGSLVPKLLTLLGDEYKLQKGVKKSVESLSKELKCIHTFLSKVSDVPWDQLDEQVQEWSSEIREASYDMEDIIDTFLVRVEGREPTGRSKLKRAMNKMANVFSFSKGKARHDVGSAIQDIMKKLQEVADRHARYKIDDLVVKSYAATSSFDPRLKAMYKEVASLVGIEEPSKNVISMLSAQGDDVAGGKKIVSIVGTGGLGKTTLAKAVYDKLKADFDCGAFVPVGRNPSLRKVLMDILYELDKNKYADIHTKNRDERQLIDDIREFLVNKR >Et_10A_001176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21931245:21932391:1 gene:Et_10A_001176 transcript:Et_10A_001176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DDGRNGIPCSNGQPHQVAEISQGITIPHVELPQDVLQHIYSLLPVRDAARAACVSHRFLRFWRCCPNLTFNQETLADNRHSLRWTEDRGKYVFKKTQQVLENHSGTGVKTLRLNLSTCCKEDINTSLLDDWLRAFVKPGLAELTVMLPDSCANDHEYNFPYSILSYDESGSNYLYLASCGFHPTQGPRLLGCSRSLSKVCLRKVSVTGEELGSFLSCCFALERFDLYNCDMITSLKIPRALRKLSMVRVQMCGAMLPTSPPSTTKDLDVHLCRDSHSETR >Et_1B_012918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4078036:4080755:-1 gene:Et_1B_012918 transcript:Et_1B_012918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREALAMRPSVSPTALLPAPSCSSPLPPLRHFVGLRWSAPRFQVTERADAGVGAAKGAPGSFVKLGLPASNIYQSRQRTAVIRNEHSQNADFPKKYSKREKKPFPIPVLELRRRAKARMKAAQGKPKRPMPPPKNGMLVNRLIPVAYKVYNARILLINNLKRLMKVVPVKGCKYCSEIHVGSDGHPFRTCRGMLSDKRRGEHDWGSSLVEAVFLPVEAYHLEDRLGKRIPHDQRFAVPRIPALVELCIQAGVDLPEYPTKRRRKPIIKIGRKEFVDANEDDLPDPEPDERFKQPLLQELQYDEVTAPSSPEEIAALAEETLEAWETVRDGALKLMKAYAVRVCGYCPEVHIGPTGHKARNCGAFKHQQRNGQHGWQAAVLDDLIPPRYVWHMPESGEELQRELSSFYGQAPAVVEICIQAGAKVPEKYKATMRLDVGIPSSLREAEMVV >Et_8A_056127.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23591813:23592166:1 gene:Et_8A_056127 transcript:Et_8A_056127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPTGNRTAYVEVCYALTNRSSPCETIKVLAEKLRNYGDDMLNRPVKDRCFNPRAYGFDTVEYRLPMNIQSGCKLGAMLIRKHTADTLDDIFLAWVETLFYA >Et_7A_052567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9392221:9396630:1 gene:Et_7A_052567 transcript:Et_7A_052567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVIGGKFKLGKKIGSGSFGELHLGVNVQNGEEVAVKLISRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVFVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPVEVLCKSYPSEFTTYFHYCRSLRFEDKPDYSYLKRLFRDLFSREVEGLTRRTGSGHNGEHTKHRSLLDSLMPSKATVDSDKTRPTSSSRHGSSSRRAVLSSSKPSSFGDPSDPTRSSSRLISSGSSRPSSAQRAHHSGGMEVRSSSLSKIGRSAHDETVRNFELLSIGADRRR >Et_3B_027610.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:31971352:31972485:-1 gene:Et_3B_027610 transcript:Et_3B_027610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFRAVCSNWRKYTVDPNKEHPQDPRFQPKMWAVLKNYGYLDGNCAISMVNLRTCRVLCKKIPMFHKYYFFNATDGGFLVLGEKSRPYYRAWVLNPFTGSMVSFTVPIFAETVKAVAVTTSPTMRLFVSDLWTFLGWADPVGQGFQEYRVPYDNFFSSMMQVAGDVYVADRYGSIVSMVDEEGSQQGRPSKEMVRMTPAIEAAPSWIENDPCHYLVESAGELFLVSRRSSGESRHFVEVHKADTTRKILEPVTNIGRRAIFVSQVRSFFINAFATIEAGCIYFVDPTLDSFRKYRIIATSYRLTDQRQEDIVAWSNLGLRAGPPTLVEVLADYCRFIPIFEHEFVESSEEDELEGFRWDDGSTQSYVAPSPKRIRYD >Et_2A_017607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4015100:4016000:-1 gene:Et_2A_017607 transcript:Et_2A_017607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREIFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRIMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRAQIFKIHTRAMNCERGIRFELLARLCPNCTGTDIRSVSTEAGMKTVTDKDFLDAVNKVVKGYQKFSVTPKYMVYN >Et_4A_033508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23967803:23968175:-1 gene:Et_4A_033508 transcript:Et_4A_033508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDVRGVSSGGARGDGSSDKRRRAEGVVTDRRRLREGQLLLGAAVGLRTEGGEALTAVVAAEGAIAKAIRLLCRKGGSIARPLHGRMIVMVAGMSHNPSRRVNTAARNQ >Et_1B_013531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9187346:9189837:-1 gene:Et_1B_013531 transcript:Et_1B_013531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSPFFSTARAHAGAGGRAAAAALLLRHPAARLPPSTQGLRYSLSEVSPAKTLRSYSSLPRATLSSFSDADDGSSAKADAEEAEQQNGESKMSEMAKAFNISPRMAMSISVMIAFAALTVPLAMRTLVFHGTFKMSVLAYLTLLSGFYMAWNIGANDVANAMGTSVGSGALTLRQAVLTAAVLEFSGAFLMGSHVTSTMQKGILVASVFQGKDSLLFAGLLSSLAAAGTWLQVASSYGWPVSTTHCIVGAMVGFGLVFGGVNAVFWGSLARVSSSWVISPLMGAAVSFVVYKCIRRFVYSAPNPGQAAAAAAPIAVFTGVSAISFAAFPLSKTFSIALLQALSCGAIGAIIVRRVIQKQLGELLSSEAEKIASAEKPNIQHAGLLSEIHGPTGAQLQIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALSILQGVASSAEIVIPTEVLLWGGFGIVAGLTMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFARGLNRVRAETVREIVASWFVTIPVGAVLSIFYTLIFTKILAYFM >Et_1B_013835.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:19375768:19376328:-1 gene:Et_1B_013835 transcript:Et_1B_013835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRGPERRYSTARMGPDDCSAEDVAVYQNVANPLPSGMPTYTVQIINTCGGCRVSNVHVACGEFASTELVDPATFQRVAINDCIVKGGAPMEPSETVSFHYSNSFSYTLSVASVDCA >Et_1B_012335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3100552:3101973:-1 gene:Et_1B_012335 transcript:Et_1B_012335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSLAAASPLVSTPRGVASPAPRTAFLGLRTVGAPATRFFSGLAAAPQPAGRGAAAALVPMAKREQELEEIRGMSTEQLEEEVVDLKGELFLLRLKRSARQEFKNSEFSRMRKRIARMLTVKREREIEQGINKRLSRKLDRKWKQSIVVRPPPSMRENKEE >Et_1A_007457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34799615:34804812:-1 gene:Et_1A_007457 transcript:Et_1A_007457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAASRLARAARTAAAARFRAGGGGGRDVLPRALAPLAGEASAGAAAVVRRPPWLAGPDRFPVGAASASGLLVPRHRLFHSTTPAQHSAAGTSSSSQIAPGEFTEMAWEGIVGAVDAARSSKQQIVESEHLMKALLEQKDGLARRILSKAGVDNTSALQATDEFISRQPKVSGDTSGPIIGSSFVSILDNSRKHKKEYGDEFVSVEHILQAFASDKRFGQQLFRDLKVNENDLKDAISSVRGSQRVTDQNPEGKYQSLEKYGIDLTESARRGKLDPVIGRDDEVRRCIQILCRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLMNRRLISLDMGALLAGAKFRGEFEERLKAVLKEVSASNGQIILFIDEIHTVVGAGAMGGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDAALERRFQQVYCGEPAVEDTISILRGLRERYELHHGVKISDGALVAAAVLSDRYITARFLPDKAIDLVDEAAAKLKMEITSKPIELDEVDREIIRLEMEKLSLKNDTDKASKERLSKLEAELESLKEKQKNLSEHWEYEKSLMTRIRSVKEETDRVNLEIEAAEREYDLNRAAELKYGTLLSLQKQLEEAENKLVEFQQSGKSMLREEVTDIDIAEIVSKWTGIPVTNLQQSEREKLLLLEDVLHKRVIGQDIAVKSVANAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELGKTLAEFLFNTENALIRIDMSEYMEKHAVSRLVGAPPGYVGYDEGGQLTEAVRRRPYSVVLFDEIEKAHPDVFNILLQLLDDGRITDSQGRTVSFTNSVIIMTSNIGSHLILDTLRNTSDTKEAVYEIMKKQVVEMARQTFRPEFLNRIDEYIVFQPLDTTEINRIVEIQLNRVKNRLKQQKIHLQYTPEAVQLLGSLGFDPNYGARPVKRVIQQMVENEIAMNVLKGDFKEDDTVLVDVSSAAIAKGLAPQKKLVLQRLENGKEELVAND >Et_2B_022288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1772586:1773231:1 gene:Et_2B_022288 transcript:Et_2B_022288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQGNQQEHAAGNPVMHDNGRTRYLAFFYINSTSFVASIIVIILLLLSMHKQGKLRSLKAMNTTIMLDLLGLLVAYVVGSTRSWKITGYVFVLVFIVLAYIAIHVILAILIRSKGKEKVATAAPDRSHPTESTNATAPL >Et_1B_012016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28072961:28088679:1 gene:Et_1B_012016 transcript:Et_1B_012016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVRRPPPPPLAAAAAAAATAMSVVLGNEDLLRKILLRLGLPTSFLRAALVCRRWYRLASDPAFLRRFRDRHKPRILGVYLNTSGASRPRFLPVRSLPELAAVARRAGSFFDAFDGSSSAFVHDIRGSRILIRTSGYLYGHFESTHLLCSPLPPPGTRSLSRRPGRCRRFSSPPPWSPSSTNTFLPVDGEGDGRSYFCVLIGHGEQQTAVHLYELQDMYWVARASAAAQLPVTPPGLKVMLFDDSKFYYILAAISKVLLFDFPSSSISAMDLPNGVENDDDTCSVMLSRGDGSGIYLVYVKEYLLRIFRCPMDGDNSGNWSLVDNICLRKVCASLGMPASTTSVEGHDADVKLHAVDDNAKFVFLEMFGAVVFLDVASTQAEKAYEMRLEDKELISVRPLMVPLPVFPVLGESLPTMESEQREPSAPSPAEAMAAAAAMSLVLGDDNLLSEILLRLAFPNYLVRAALACKRWLRVASNPVFLRHFRSVHPPRLLGFYANGGLCRPSEFWPVRHPPELASAVRRAASVFDAFPCGRWLYVKRSREDGCLLVSFMERSSSISAVLSPLLYPGRDGVILPSSELAARKSRIHCSCSSLVLLPEHSSNGLQGLKLLQIFRDKNPRATVRINVLQNGEWGLHTSVVTKFCHSFILEAMENGNKVYLTTNENIVVLDLVSSTCYFIDYPDEFRRGFDMGLLWKANDSGVYLIDVIDKSQLRIWLHRTVNGSLGDWVIMDTICLNTVFAGLGMPVGSFKLRYAGVNAGFVLLEIERGFFYFNIHSKTLEKLFQMPPRTERVRRPPSPPPAAAAAAVTAAMYSVLGNEDLLRDILLCLGLPTSLLSAALTCRRWYRLVSDPTFLHRFSDRHPPRLLGAYLNTAGSSRPRFFPVRPLPELAAAARRAGSFFDAFVGSSAFIHDSRGSRLLVTSFEEHYGQVDTTDLVCSPLSPAGNAVVVPPPPPAPQVQLTSPQESIVYDCCEFLPVNGGGDGRSYFCVLIGYSEQRTTVHLYELQDMYWVVRASAAAQLPVTPPPRMKFMLFDDSKFYILITISKILVCDFPSSSISTMDLPNGVENDDASRSIMLSRGGGSGLFLIYVKEPLLRVFKSNNGGNWFLFRSISLPEVCSNLGMGDWLSLNGHTHGVKIQAVGDNAKFVFLDMFGTIVFLDITSKQAEKVYEMKQEDEALVDRRPSPPSPQAVETSTSLVLGDDDLLREILLHVGLLTSLLRGALVCRRWCRHASDPAFLRRFRARNPPRVVGVYLASAPGPGPPPRPRFLPIRPLPELAAAARRAGAFFDAFEGSSASILDSRGGRLLVSAFDDRYESTQFVCSPMSPAGHTVVVPPPPPPPPIQLTSDEECLIYHYGEFLPDDAGDGDGRSYFSVVMGYSEQQTTVHLYELQDMSWVFRSSAAAPLPVSPPKSRVMLFDNTKFYMLSSINKILVCDFPSSSISPMELPNGVENEHNGCIMLSRGENSGIYLNYVKGSQLQVFLCRTAGDNSGDWFLVDTICLREVSANVGMKAWPPLDGQSTGVKIRAVGDNASFVFLDMFGTIVFLDVTSKQAEKVYEMTPEDKELLSVRPLMTIWPPVFPVLIRLIFPTSLVRTAAVCRRWLLVASDPAFLRRFRNLHPPCLLDFYVQTGRVGSSPRFMPMPHPPEELAAAIRRAGSILDDVSLGVTAVSDCRNGRILVELNNNNSKRGDAAVLSPLPSATRSNICTKRLTADGEVCAADRRWDLLSLTTRVTQGFHELRDGTWHNLASPALKFPSGTLSTVLISLPFDGKLYLMASASTVAALPSELSRLPYLSIISLPDGVECVPKGKVKLWVDGSGLYLVHVKELQLRVWLHVMDSGKWLLQDTICLYEMGLALPLLSKLREVGNCAEFVLLQLGVHVLYIHIRSRNAEKVYTVKLETEKPWIRLIPFMMIWPPIFPVVKERVWSCPSSPAAAAALSMVLDNDDLLGEVLIRLIFPTSLVRAAAVCRRWLRVASDPAFLRRFRNLHPPHLLGLYVQTGRAGSSPRFVPTPHQPAELAAAIRRAGSILDDASLGVTAVSDCQNGRLLVELNNNAKAAGDAVLSPLHPAGDVAR >Et_1A_007408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34258466:34261244:1 gene:Et_1A_007408 transcript:Et_1A_007408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAADTPCIIQALPANAPPSNTHPAPVLVQALAAPLHHSPAKDRPPAGAAASASRPPKRRPHPPPTAPPPGPTPPSPRRTRSGGAPEWTRAETLALVAEVAAVDDGWSRSVSAFQKWAMVAENLAASEAFATAARRGGQRGSGKRAAGECRRRWEALVAEYGAVRRCEVRTGASYWEMGTAARRKAGLPAEFDAEVYGAMDALIRVEEALLAGAAGGGGGAAAEEVEGLVGGGGGVEVGEEEDGGGEAEVGEDEVQEDESADEEEDGEGEEEMQQAGAGNADASNELGCEAETNNKPENSQNVAWELANKLQENAQHIHTILDEEAGEYADQNHTFAYSMSADAMETTRQKADELIKSLGGLVGFLNQFTDLIKETGFENIGMT >Et_5A_041268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20408239:20411968:-1 gene:Et_5A_041268 transcript:Et_5A_041268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASTSSSSSSTQHLYLQHRQDDNSSFASFTCSGERAPLLLPPSKKIMAATADEKGETLDVSEDTAHQISVDPWYQVGFVLTTGVNSAYVLGYSGSIMVPLGWIAGTCGLLLAAAISMYANALLARLHEIDGKRHIRYRDLAGHIYGRKMYALTWALQYVNLFMINTGFIILAGQALKATYVLFRDDGLLKLPYCIAISGFVCALFAFGIPYLSALRIWLGFSTLFSLIYIVIAFVLSLRDGMTTPARDYSIPGSHSSRVFTTIGAVANLVFAYNTGMLPEIQATIRPPVVKNMEKALWFQFTIGSVPLYAVIFMGYWAYGSSTSGYLLNSVNGPVWIKAVANISAFLQTVIALHIFASPMYEFMDTRFGSGRGGPFAFHNIMFRVMVRGGYLTVNTLVAAILPFLGDFMSLTGALSTFPLTFVLANHMYLVVKKHKLTTLQKCWHWLNVIGFALLAIAAAVAALRLIMVDSRRRDGVDAGLVEDPEVVEQSVLVGAVHGVDLEVPHHQRGRLLTVVAAAVVEDADGVARAGEADVAVLHLVGVAA >Et_7A_052367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7261153:7261520:1 gene:Et_7A_052367 transcript:Et_7A_052367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQ >Et_5B_044609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:459107:460149:-1 gene:Et_5B_044609 transcript:Et_5B_044609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNMSSLSSKSAAAAPKRAYVTFLAGDGDYWKGVVGLAKGLRRVRSAYPLVVAVLPDVPEDHRRKLTDQGCIVREIQPIYPPESQTQFTMAYYVINYSKLRIWEFVEYERMVYLDADIQVFSNIDELPIPNVYNLVLAMLWRHPENVDLDQVKVVHYCAAGSKPWRFTGKEPNMDREDIKTLVQKWWDIFNLDYQLADDDARRQALSEAGAVKYFPAPSAA >Et_8A_056419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:69489:69943:-1 gene:Et_8A_056419 transcript:Et_8A_056419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSFSGGASRLRALPTWSSSVSGDDRSALAMSVPARRPSARPLRSPATMMGNVNAGKGLFAPLVVVARNIIGRKRFNQLRGKAIALHSQVITEFCKTIGADSKQRQGLIRLAKKNGEKLGFLA >Et_8B_060302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:909067:912753:1 gene:Et_8B_060302 transcript:Et_8B_060302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GAYRTRLFPSFQLPLFHPTQRGGEPSTRTAPHPPPAQRLPPAPAARSARLAGGLDGGALRGPHAGSGRGGIGSLVLDRQLVVKAGAGRSDEVAAAIQHLVGGVFSEDGLIPALVFVDPPPVASPRGLFLLVVGLLFGGVGEFGRGGFGFSGGSARPAFAGAVLSDPGPLCVCVCFGASCNSAEQRIISQLQLILSFLSHLISRGGIKSPWSRRKRKQALSCQRWNRLFSANGKLRDGGRKFLKKVRSGERNTIKMKKRKEFEKLRRQCILNYYKGNGLGVINEVINEDHSGLNEVSESLYSGGTRGSLSSKELKSGKSESSGSESSYEEDQDRMPISTNKEDKCDSNPKLVRSSSFKSDFCRSNKTPEDFSSWQRIIRVDAIRANTDWALFSQNQAEVSREKALQSARSVGLKDYDHLEPYMIYHASRLVALLEAYALYDPEIGYCQGMSDLLSPIIAVMEEDHEAFWCFVGFMRKARHNFRLDEVGIRRQLKTVSQIIKRKDSHLYRHLQKLQAEDCFFVYRMVVVLFRRELTFEQTVCLWEVMWADQAAIRAGIGRSTWAKIRFHAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECHSMAGQLDVWKLLDDAHHLIVNLHDKI >Et_10A_000654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14588829:14598288:-1 gene:Et_10A_000654 transcript:Et_10A_000654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPASGSFRALTHLDIDDLTMDGPELGRFVSLQCPCLRKLSVTLGHLVATCDVSISSESLEHLRYRAGGTRKLYITTPRLIKISASPMGDACIVAPKLEKVIWTNFSYDPSRHKFLGIKRNICQLSVNHDSALLMRQFDAVNEDFDIRIVHIEKLKIMNPCRVSDCPCLKGRYISESITFDSIEEIDIHSFNGSGKQEEFVMLLLSRCNAALLKRVDINVDFYRVPSANKAICRRIRRKGFPKSKIEFHVRTKEARVPFLV >Et_7B_053699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11190085:11191632:1 gene:Et_7B_053699 transcript:Et_7B_053699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLPQSSHACNCNPAAATGAGNTLWDRILRQPDGHIGSVEKRTHKLWVLEGIYYTWWNARIFDEVLVFAADNKRRDPTMDTLRVDIDAARCRISVYDNGQGVPIEEVHRGEEGAVYVPEVIFGRLSGECDSNVKEGEIAGGRRGYGVKLANIFSTEFVVETADGPGQKKYKQVFSENMGKKSEPEITDYRKGVNWTMVTFKPDLAKFNMTYLEEDVLELMKKRVFDMAAS >Et_10A_001307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23182314:23185360:1 gene:Et_10A_001307 transcript:Et_10A_001307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWLARASSQLARRAAARASPRRRSEAMVLGLGGAAAGRRITGGGGGGHRGFCSVRHFTGKSSSAAAADEEPEDGFTDSDQVLDFPGGKVAFEARMNFLPESHGERIKCYRVLDDDGQTISGSRFQEVRKEMALKMYSDMVTLQIMDTIFYEAQRQGRISFYLTSHGEEAINVASAAALSIDDLVLPQYREPGVLLWRGFSLQEFANQCFGNKMDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPHAVGAAYSLKMDNKDACAITYFGDGGTSEGDFHAALNFAAVMEAPVIFFCRNNGWAISTPTSEQFRSDGIVVRGQAYGIRSIRIDGNDALAVYSAVHAAREMAISEGRPILVEALTYRVGHHSTSDDSTKYRPVDEIEHWRTARDPISRYRKWVQGNDWWSDAEESELRGRVRQELLQAIQVAERAPKPPVAELFTDVYDQIPSNLRKQEQLLRDTIMKHPADYPTDVPI >Et_8B_059934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4144897:4147550:-1 gene:Et_8B_059934 transcript:Et_8B_059934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLHRSAIAAARHLLRDNQQISALGRAGDVAAARRVFDAMPKRDVVSWNALLTALWQGGRDLPGARRLFDAMPARNVISWNSIIAGCLAHGDLDAASVYFARAPRRNVATWNAMLAGLVRLGRMEDAERLFGEMPERNVVSFTTMVDGLARCGEMARAREVFDAMPERSLVSWAAMISGYVENGMFVEAKELFQAMPEKNVVACTAMITGYCKEGDVESARRLFDRIAVKDVISWNAMIAGYVHNGHGEEAMRLHILMHKEGVKPDHATLIAVLTACSALALLRQGRSTHAVAIKAMLESSISFSNALMTMYSKCGNVVESELVFMNLSSHDIVSWNTIIAAYAQHGRFQKVIALFHEMEAHGLTPNEVTFLSVLSACGHAGRVDISLKLFDIMSSKYMISPRAEHYACIVDILSRAGELEEACYYIKKMPFEAEKNVWGALLGACQTRANVQLGELAAKMLVQADSESSGPYVMLSNIYAAAGMWDEVNQVRGRMKQKGVKKQPGYSWTEITNEVHLFVGGDAAHPEMSEIISELRKISFHMQMATDECYIMEELSQENG >Et_9A_062449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2329994:2330677:-1 gene:Et_9A_062449 transcript:Et_9A_062449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRTSRTNVSAPSSTRRTSCHGNCNAHRPSPPSSLTSSCSSVAGFSGSTEMRWYSATKHSPSIDYCELAKMKHSYGGVNCLLMIIASRTHGVSSFV >Et_4A_035904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8279372:8281722:1 gene:Et_4A_035904 transcript:Et_4A_035904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSNGDGTAVGIDLGTTYSCVAVWRGDRGVVIPNDQGNRLTPSCVAFTDTEKFVGDAAENQAVRNPTNTIFEVKRLIGRRFSDKSVQEDIKLWPFKVAAGRDDRPMVVVQYEGEERQFAPEEISSMVLAKMKETAEVYLGTTVKNAVITVPVYFNNSQRQATIDAGTIAGLNVMQIINEPTAAAIAYGLVKMPVSNKERTVLVFDLGGGTFDVSILNIDPGVNMDKGLFEVRSIAGDTHLGGADLDNEMVEYSLREFSRKHNKTDIRGNQKALRRLRTACERAKRMLSSTAQTTIEVDSLHEGIDFYTTITRSRFEELNKDLFSKCMKTLEKCLEDAKVDKSSVHDVLLVGGSTRIPKVQNMLREFFNGKELCRTINPDEAVAYGAAIQASILRGGNSEGRLVDMLLRDVTPLSLGVEIREDHTMSVVIPRNTTIPTKKTKNFTTLYDNQVSVCFPVYEGESASTKDNNLLGKFVLTGIPPAPMGVPSIDVTFDIDTNGVLKVSAKDNTTGRMNNITISYKSGRLSTEEIGRMVQGAKRIAL >Et_4B_036636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1053313:1057024:1 gene:Et_4B_036636 transcript:Et_4B_036636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPSLGFADYFSAADATAVMPAMEEAPPELFGLHADMELLGMRGLAAMPGAAHGHSKAVLIDAGADGSTMRFLSDGQQQQPSQAPLSLSLCRPDAGGVGMTLHQHHLGGSSRHQQQPAAWMQDYSAAPRGGAWQLRGSRFLLPAQQLLQEFCSLPVDASANSKRTKAATKPSQEQQQQEDGGGEGSSSSASWAPSAQIQAMDAVELQRLKDKLYIMLEEVDRRYRRYCEQMRALAGGFEAVAGDRASAAYTALASRTISRHFRNLRDGIVAQLQGVRKALGEKDVSVPGMTRGETPRLRVLDQCIRQQKALNQAGLMETHPWRPQRGLPERAVTILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYMEEMKGEQDGGGGQQQQQGLNPNPSSCSQASEAHESGHGGPTREQLLHDAGSLAAVVNVGGMARAGNGGARLENFGIMDPLDFDAYDGGGGHQAGFGAGGGVSLTLGLQQHGDSSHVDSGVNIAFGAPSADRYGGAAAGSYMFAAGHQQMEGGGGGVHHVQFGAGMDGDAATHGQEHYRSLSAGFHLLRDLAG >Et_1B_013104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5534342:5534742:1 gene:Et_1B_013104 transcript:Et_1B_013104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAFVLGVELEALDPLQVELMACLKGVRTAMEMGISRIVLETDALLVKQAVESFSYEDCPYGGLVTELRNFLEFDFSSAKIEFRPRECNRVAHSLAALGVACPLSADPLLDTLPNCIQELVASDLAVPVS >Et_2A_018275.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20973016:20974197:-1 gene:Et_2A_018275 transcript:Et_2A_018275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIFTATRVLLLPAPASAPAPASSTAAAAVAASLLPLLPCKRRKKLLKKLNSPRVAPIEPEAARHVPALDAVLDRDAAFRFLTRARSFLASLPPPHRIPLSEAGKLYRELGFPRGRSVSRAAARHPLLFHLPFVDSVPHLALTPFMCSLLEEERRLHEELLPSRVRAVRKLLMLTAHRRVPLAKLHHCRVPLGLLDDFRDRVREFTDDFRVAVDPDGRHVLELVLWDPALAVSALERDFVVDERRVRRTFRFAVPHRRSMPLNAEDADRLDAVTTFPLVSPYTNGALLKPWTPEAEKYRVGVVHEFLNLTLEKRALIHHIFEFKEELGLTRHMYESLRKQNRAFYLAGTEMNWAVFLRDAYDDDGALKEKDPIVLFNEKLQRYACMTKMDA >Et_2B_022343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18684583:18685287:-1 gene:Et_2B_022343 transcript:Et_2B_022343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFCAPAAGSPPDETTPEPFRSLQIATTTAAGATAKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICGQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPSCLHHDPAHALGDLVGIKKHFRRKHSGHRQWACARCSKAYAVHSDYKAHLKTCGTRGHSCDCGRVFSR >Et_2B_018974.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20416034:20416381:-1 gene:Et_2B_018974 transcript:Et_2B_018974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRHQCHVPRSALLADAAARARRHLHDLGAAGFH >Et_10B_003748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5509171:5511968:-1 gene:Et_10B_003748 transcript:Et_10B_003748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRGCTFVNRSRCFPKEIRTPPSVLRHSLIALSTKLLPIQFVVSINLLYCALFMGDFDGKCLYGYIPQSLQSRIELGELDITQSTIEYARW >Et_9B_066138.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:4685769:4687349:-1 gene:Et_9B_066138 transcript:Et_9B_066138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLHFVLVPLLAQGHIIPAMDLARLIAGRGARVTVVLTPVAAARNRAVLDHAARAGLAVDFAELAFPGPEVGLPDGCESLDLMPDMSLSKSFFDASWLLAAPLEAHLRRSSRRPDCLVADSCSPWAASVARRLGVPRRLVFHCPSAYYLLAVRTLHEHGAYDRVNGDFEPFEVPGEFPVRAVVNRATSLGLFQWPGLERFRRDALDAEATADGAVVNTCAALEGAFLERYAAAMGLESKVWAVGPLCLVNGDGDTKAKASRGGRAAVDAGAVVSWLDARRPASTLYVSFGSVARLFPRQAAELAAGLEASRVPFVWAAKEEDLLLHDDPGFEARVADRGLVIRGWAPQMAVLSHRAVGGFLTHGGWNSALEAASHGVPMLTWPHFADQFLNEALVADVLGVGVRAGVTVPATHAALVRPGGEVVDVQVRREEVQRAVAELMEDGPAGAARRARAKELAAEVRAAMAEGGSSDVDLKDMIRYVAEHARDSRVEERTVLGANGAAGEVVSKKMEADDVDGNFPVAA >Et_7B_054406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2174821:2176749:-1 gene:Et_7B_054406 transcript:Et_7B_054406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVKKNDIARAFTSRVDQILKNHSGIGVKALKLVICDHYNVSTCHLNNWLQNAVMPGIEEVFLKLPTKFRAEYNFPCSVLTNGRGNSIRYFRLTDCAFRPTVGFNCLRSLTKLNLYQVRITGDEIWYLISSSFALEHLGLSLCNELICLKIPSWLERLRFLRVFHCKMLQVIESAAPNLSTFELFGDPIQLTLDESSQLKNISMGFSYEPNIIGYALDKLPSTMPHLETLTLSSSCEIAVLCHIILVIHSSLICIFTQRVNTPIVADKFLHLKYLKISLAICDEAILPRYDYLLLALFLDASPALETFVLSVNLADMLHDTAFGETSYTRRIPEHKHNRLKKVQIDGFCSAKSMAELACHILENATSLESLTLDTIYNLKGDGNITRCSGQNTGECRLLDRNLIMEAHKALGVIKRYILQRVPCTVKLNVGEPCSRCHAIDVKLPLPYFWLSPELLNELFAQTLFGSLGRS >Et_9A_060943.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19841890:19842393:1 gene:Et_9A_060943 transcript:Et_9A_060943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KGHHKVQLVCLIIRFASEELYFIGHSSLSHHDLDKLLVVDLAIAINISLTDHLVNFLISQLLPKVGHDMAKLSSGDETVLVLVEDTEGFLELLLRVSVLHLPCHQVQELREINSPVAISINLVDHVLELCLSRVLTKGPHHSSKLLCSDATCTGEKSNPNHETNIKR >Et_3A_024382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20246015:20254333:-1 gene:Et_3A_024382 transcript:Et_3A_024382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREVHRMASLRRDSSMWSRRGDDVFSRSSSRFQDEEDDEEALRWAALERLPTFDRVRRGILALDEDGEKVEVDVGRLGARESRALIERLVRAADDDHERFLLKLKERMDRVGIDYPTIEVRYENLQVEAEVLVGDRGLPTLVNSVTNTIESIGNALHILPNRKQPMTVLHDVSGIIKPRRMTLLLGPPGSGKTTLLRALAGKLDKDLNVSGDVTYNGHGMNEFVPQRTAAYISQHDLHIGEMTVRETLAFSARCQGVGTRYEMLTELSRREKAANIKPDHDIDVYMKASAMGGQESSIVTDYILKILGLEVCADTVVGNDMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSLRQTIHILGGTAVISLLQPAPETYNLFDDIILLSDGQIVYQGPRENVLEFFEFMGFRCPARKGVADFLQEVTSRKDQGQYWYRQDRPYRFVPVKKFADAFRSFHVGRSIQNELSEPFDRTRSHPAALATSKFGVDKRELLKATIDRELLLMKRNAFMYIFKAVNLTLMAFIVMTTFFRTEMKHDLVYGGIYMGALYFALDTIMFNGFAELGMTVMKLPVFFKQRDLLFFPAWAYTIPSWILQIPITFLEVGVYVFTTYYVIGFDSNWTRFFKQYLLLLALNQMSSALFRLIAGIGRDMVVSHTFGPLALLAFQTLGGFILSRPNIKKWWIWGYWISPLSYAQNAISTNEFLGNSWNKPSTVPGRNETLGISVLKDRGIFTEAKWYWIGFGALVGYTLLFNLLYTVSLSVFDPFTDSHGSMSKEEFDEKQANLTGQAIEDHKEKKSRRQELELSHSVGQHSSTSNEDSSQSRKGMVLPFAPLSLTFNNIRYSVDMPEAMKVQGVTEDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDINISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLFSAWLRLPSDVDFETRKMFIEEVMDLVELTPLRGALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPVGQNSSKLIEYFEGIEGISKIKDGYNPATWMLEVSSSTQEEMLGIDFSEIYRQSELYQRNKELIEELSTPPPGSADIHFPTQYSRSFFTQCLACLWKQKLSYWRNPSYTAVRFLFTVVIALMFGTMFWDLGRKTKKRQDLFNAMGSMYAAVLYIGVQNSGTVQPVVVVERTVFYRERAAGMYSAFPYAFGQVAIEFPYILVQTLMYGVLVYSMIGFEWTVAKFLWYLFFMYFTLLYFTFYGMMAVGLTPNESVAAIISSAFYNVWNLFSGYLIPRPKMPVWWRWYSWVCPVAWTLYGLVASQFGDIQDILDEENQTVAQFITEYFGFHHDFLWVVAIMHVVWAMAFAFLFSFAIMKFNFQKR >Et_9B_065320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3844519:3851633:1 gene:Et_9B_065320 transcript:Et_9B_065320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSPTPVRQETAALSPSPPASPHGGAAEAGIPTVDMSAPHGRAALSRQVARACAEHGFFRASNHGVPLSAAARLDAATAAFFALAPRDKQRAGPPSPLGYGCRSIGFNGDAGELEYLLLHANPAAVAHRARSIDADDPSRFSTVVNEYVRAVRQLACEILDLLGEGLGLKDPRSFSRIITETDSDSLLRINHYPSACTIHKLDHDDQCKMKSAVKSKTANGVIPAAGAQIGFGEHSDPQILSLLRANDVNGLQVLLPNGDGKAVWIQVPADPSAFFINVGDLLQALTNGILISVRHRVIASACKPRLSTIYFAAPPLHARISALPEMITACSPRQYRPFTWAEYKKTMYSLRLSHSRLDLFQVDDNDNSNIRMMQSSRQRGGMTRIGNRAWAHTGGAKPLLNKHLDAVQLLRALAASAADDKRCGADQGSDVRRFGGANQKRKREDGLGELGQILSRKVQKSKAQASADSNQFAH >Et_8A_058040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15074031:15077567:1 gene:Et_8A_058040 transcript:Et_8A_058040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFGPNKLEEKKESKILKFLGFMWNPLSWVMEAAALMAIGLANGGGEPPDWQDFVGIVALLLINSTISYWEESSAGSAAEALMANLAPKAKVLRDGRWGEQDAAVLVPGDVISIKLGDIVPADARLLTEGDPLKIDQSALTGESLPVTKLPGDSVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQTGHFQKVLRAIGNFCIAAIAAGVLVEIVVMYLVQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLALQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLSVDRGLVEIFAKDVATGGGGADEVLLLAARASRVENQDAIDAAMVGMLADPKEARAGIREVHFLPFNPVDKRTALTYVDLADGTWRRVSKGAPEQILELCKCGPDVRNRVHAVIDKYAERGLRSLAVARQEVPEKSKDGPGGPWQFVGLLPLLDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSALLGQCKDESIASIPVDDLIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMILVIAILNDGTIMTISKDRVKPSPHPDSWKLKEIFITGVVYGSYLAVMTVVFFWAMRSTDFFSDTFHVRPLRGNREEMMSALYLQVSIISQALIFVTRSRGCAVTFLPLDVFKFGIRYALSGKAWDTLFEHKIAFTTKKDYGREEREAQWATAQRTLHGLQTPELAGMINDRSSYRELSEIAEQAKRRAEVARLRELSTLKGQVESVVKLKGLDMEGVQQHYTV >Et_8B_058677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15521602:15522690:1 gene:Et_8B_058677 transcript:Et_8B_058677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIQNPSTKASTLFTPEIAQRSYQFKIDGYSLKKGLGVGNFIRSDPFNIGGHIWCVRYYPDGIVGGDGEAFIAVTLELLSKNSEVRAQYKFALVDEVTNSTWSRITMTEPVMFSTLDMKRRSELEGSVYLRNDSILITCDVNVRVVKAGMPLGVQVPPSDWSANFGKLLESGEGSDVTFSVQGEVFPAHKAVLAVRSPVFNAQLFGLVGEGNRERITIEDMKPPVFKALLHFVYMDSMPAADRYAMERLKLICEDILCNSLDIENAATTLSLADQHNCPRLKDACIQYINSSDRVNEGMSSEGFLHLKRACPSAFADALEKKKLNKYRKI >Et_8B_059724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:21016039:21017455:1 gene:Et_8B_059724 transcript:Et_8B_059724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNWPMEMNKMLHSRQGKKGRCSRVLQMGRLVQAREHLAAHLLCAARVHFLAHTRRFMWHSLVVTHPGFSLVSFPAAVGADDGEDDVLLLLPSSTFFRAGAAAMAFFTSSSLAPPWVLRLGVMEALLAAAAARRRKTTRSSQTSVTRQAMVVTAYSKPTFCSLLYSKGEIIDVVWWAMAGKQKLENVASSGFHPRPVPPAEEITRVAKARFRGDELTASREIDNGTGVDGGAGAAGLPVPGATAAGHGHHGAARRALLDMARVRRASPASTAEDSIPPGYNLN >Et_8B_060433.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:15142983:15143306:1 gene:Et_8B_060433 transcript:Et_8B_060433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKCGLLGFTKNAAAELGRHGIRVNCVSPAGVATPLGTASTGLDEATLEANMEAMANLKGVGLKADDIAAAVLFLASDDGRYVSGQNLLIDGGFSVVTSAFRFFTD >Et_2B_019553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10039782:10046894:1 gene:Et_2B_019553 transcript:Et_2B_019553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELSLAAAPPAGGLAPPPPPPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIGLVVGGLANISNTETNTSYLGGLTFLMYKLPFVECLMFGALISATDPVTVLSIFQELGSDVNLYALVFGESVLNDADDVISQKSCSSWGELFYDDSSVPRDLCWFFVIRYMLAEGLGLSGIVSILFTGMVMKHYTYSNLSDNSQRFVSAFFHLLSSLAETFVFIYMGFDIAMEEHSWSHVGFIFFSIIFIVVARAANVFSCAYLVNISRPEHRRIPLKHQKALWFSGLRGAMAFALALQSVHELPEGHGKTIFTTTTAIVVLTVLLIGGSTGTMLEALDVVGDEITSIENYEDNNGYIPPSYEEGTSSGGGLRMKLKEFHKSTTSFSALDKNYLTPFFTSQTDDDDEFRAGDQPQNQRRGFYDQ >Et_2A_015385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11871253:11876976:-1 gene:Et_2A_015385 transcript:Et_2A_015385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHDGFPLRHGSSIEKNISRSPVLPFRAQTQNPSPAYDSISHAMDSAVDEAAGGGGSAPVDTKKKPAASAKGRATAAKGKAGAKADTKAKESSLLKQSELPPELLDCFPSKSPAEFFAENKNIAGFDNPGKSLYTTMRELVENALDSAESISELPDIEITIEEITKSKFNTMIGLVDRERVDEALYDDFESDKAREKRLAKEARFQETQAKNAALGKKVKEAPSVRAKGRGEASFFRVTCKDNGRGMPHDDIPNMLGRVLSGTKYGLRQTRGKFGLGAKMALIWSKMSTGLPIDIKSAMKGQNYISFCRLDIDIHKNVPHVHLHEKKANKDHWHGAELQVVIEGNWTTHRSRILHYMRQMAVITPYAQFLFRFLTDAADKNLTIRFARRTDVMPPVPLQTKHHPSAVDLLLIKRLISETTKQNLLQFLQHEFVNISKPHAERLIGEMGPDFSPKMAVKSLTSQQLVRIHQLFRQAKFDDPSGNCLSPAGEYNLRLGIIKELHPDMVATHASSAQVFEGHPFIVEAGISIGGKDVKHGINIFRFANRIPLLFEQGADVITRTALKRINWSSYKINQQQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEISSAVKSALKQCCLQLKSKIVKKLQARERQDRKRNLNRYIPDVARAFMETLVEIADESPPKRPLYDKEDGELLEKVNSQEVTEMTFRDCLAQHVEQVDYEMALEYAMQSGVSEEPREEIYLNSLDGSYKFVDFQSPLFVFRFIP >Et_2A_016763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27934503:27938915:-1 gene:Et_2A_016763 transcript:Et_2A_016763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKAVDDVMEAAVGAHFSGLRIEALRLSSPSSPSSPSSARAAAPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTPEESAHAQDYNFDHPDAFDTEQLLECMGQLKRALPVNVPIYDFKKHRRCSETFRKVNASDVIILEGILVFHDQRVRNLMDMKIFVDTDADIRLARRIRRDTVERGRDISSVLDQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAVDLIVQHIRTKLGQHDLCKIYPNVHVVQSTFQIRGMHTLIRDRDITTPDFVFYSDRLIRLVVEHGLGYLPFTEKQVITPTGSVYMGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDNGQQLIYHKLPMDIAERHVLLLDPVLGTGNSANQAIELLIRKGVPEDRIIFLNLISAPEGIQCVCKRFPLLKIVTSEIDSGLNEEFRVIPGLGEYGDRYFGTDN >Et_3B_028338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13645403:13646874:1 gene:Et_3B_028338 transcript:Et_3B_028338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEREKDDVMTAARLITKMCRYGPLNLWANFGKDYRAKRVIWLKEYRYFKDKSDAINSDTGLNTDLTAMLQKWLRTGQKLAVGKPEYKKIIEASFVRNPLFVYDDAVMDAMLGVKNLMRSLLPEEPLGRNDRPQMSRGLETFLKCYGFEVEPEMVNEHIIEASRALYDIGICMKNHAKSLTKFRSLLEEDWCLMKLAKAWTLICFPEYEIVFDHPEE >Et_1B_010072.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:22081300:22081680:1 gene:Et_1B_010072 transcript:Et_1B_010072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYSRRNLSKATGLRSIKRRLTKTLNSTSRFSSVSAMPSNSRNVALMRGTERQRSRHRWDSTLVCTTWRALRWERARRRTSLGSSLILHAAPGTPATATMRASPDDSAMGVGVTGVFRRTGGAQEF >Et_4B_037746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23080215:23086220:1 gene:Et_4B_037746 transcript:Et_4B_037746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAGTAAAAAGAALGARTARSCDGCMRRRARWHCPADDAFLCQACDAAVHSANPLARRHHRVRLAMASSSPPRVEPDAPAWLHGFKRRPRTPRSKLMGSKHEAAAAIASAAASVPDLDQADEESSGLGILGDDGEHGLVDDDDLLYRVPEFDPVLAELYTPMADEAGEQKPAASCLISSLAETTSTTEFGASGLVDQADALSAFDVPDMELASFAADMESLLMGVDEGFDDLGFLDDEKPQVNNVDHFGLDFEVAPAPAPERVEDKKRKRTEMILKLDYESVIATWARDGGSPWFHGERPRLDPGDSWPDLSMGITGGLGGAVTAVTGGEREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRTALPPLPRPPQPQQQQQQKALRVLPPAGMVLAAPQMGVHGRFRYHH >Et_1B_014117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31327783:31329286:1 gene:Et_1B_014117 transcript:Et_1B_014117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTTVTKSKESWSLQLPEFAFPWNSREDKSHVHFRHRAPFASVSLDMSPPARDPLEHDTRARPADNCDVARQLAAAAKEKQAGAGEAEGEETAATGSEQKKKKGGGKRPQQLGALRKVVRVKIANPHLRRLVSGAVAGAVSRTFVAPLETIRTHLMVGSCGAETMAGVFQWIMRTEGWPGLFRGNAVNVLRVAPSKAIEANLEPHFTYDTAKKFLTPKADEPPKVPIPTPLVAGALAGVASTLCTYPMELIKTRVTIERGVYDNVAHAFVKILREEGPGELYRGLAPSLIGVVPYAACNFYAYETLKRLYRRASGLRSGADVGAAATLLIGSMAGAIASSATFPLEVARKQMQVGAVGGRQVYNNVLHAMYCIVKKEGAGGLYRGLGPSCIKLMPAAGISFMCYEACKRILVEGEEEDEQEDDEAEDKEKKDA >Et_2B_022494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23791487:23796829:-1 gene:Et_2B_022494 transcript:Et_2B_022494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGAGSQLFRSGCCSRLASYTELLFNINLMGKSGVVGTMLKRSPDKDIEELHGVNNKKKKHKVNEAKESDADKLTNVEGNSDLRPPLLSQDGAVNKGLSAEEDKKKKKKKKKKEDKKKKEDKRNRKNPQDVDVNESLALPPSQEGAVNKNEKDRKKKKKKAGVGNDGKNETCTKKPSAEEEDKIRNSNKQDGALDQLNDALFMGKNVALAMSYEDICKKRDMYLLSIKGGRRSVVVTGFDVSTEENVMRELLLKHFSPCKIVHMKIKSVGDSTGNTLTEGNTIHSSPVSPVNPSVNPSSVLSAKPSQPSSVSSVPSPVSSLCPSPRSTVKPSGSYGTPPAFIVVVKGLDPTIAAAQSEKDLQAHFSAYGELAKVSITKDCRTAFLTYKEQDSATRVVRKQRFNLNDQPRKEKRKKKVKALEGTPDEEEKQDEAKEEKPVEQSGGNVDRGPSLYLVVRHAIKSPANSLYEVKHTEKTADHPAPFPYRVQYLQGEHDMSFVAVSSVQRSWL >Et_6A_046607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18005549:18006296:-1 gene:Et_6A_046607 transcript:Et_6A_046607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLASRAAALRSAVKARPWVDYVPVCGALGAIAMSATLGLGTAAHEVANAPNVRLDKKKREAVPEFAAPDLAVDEAERYLNRSLFRRAARVVQGQGLAPITAKKAVTLKDAGVEPPGIERTREEVLGNFCKRNTSA >Et_8B_060491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18000697:18003172:1 gene:Et_8B_060491 transcript:Et_8B_060491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKLDIGKDSAVYPFKCCSGTKIQSVAEAFRRRDRGADFFMEISKTTSNTNSNFDASSPVESDVATARSCKMDDINDKVIKE >Et_8A_057343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23850169:23851730:-1 gene:Et_8A_057343 transcript:Et_8A_057343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVLDNLLHGEEAAVAAPRAFVFLVSLVVLPLLLRRFFVTASTTKKDDDDRVLSKLPSPPSKVPIFGHMHLAGLAAKHGPHLMLLRLGAVSTVLRTHDIVLYGSRATHFRKVRKLVTVHLLTSYRPAREEEVALVMAKLKVASSSPGAVIVDMSDLLYSFANDRQVLPGGRPEQHVPGAHRHQRRAPRRLQPRGLLPQAGQARVDLLSKIICDKAVKINKKWDQLLNKIIDDHVARLRRRLDDADADFIDVLLSHQKEYDITMDHMKAMIVGMFEAGTETSYLVLEAAMSELMRNPHVMAKLQDEVRRSVPKGQEMVTDDDVTNMTYLKAETLRLHPPAPLLIPHLSIDACTIDGYTIPAGSRVIVNAWAIGRNGEYWANPDKFVPERFIDANDLEFKGKDFRYLPFGSGRRMCPGIHAAEATLEIMLANLMYRFDWELPPGMKKEDIDMTEVFGLTVHRKEKLFLVPKLA >Et_9B_065481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5758314:5758617:1 gene:Et_9B_065481 transcript:Et_9B_065481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKCGNCDCADKTQCVKGNSYGVVMVDAEKTHFEAAQEGGCENDGCKCGSNCTCAGCNCGK >Et_3B_030681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6254889:6258905:1 gene:Et_3B_030681 transcript:Et_3B_030681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFATGRGLQGPLLGVGAEDDAPRGHRRGRPWTAVAIAAALLLLAGIVLFLSSVDGEYGGDRAADAGGVRLSSPHEAESEVGAAAADDARCSEVGAAALRAGGHAVDAAVAAALCLGVVHPMSSGVGGGAFIVVRDAASGKAVAFDARETAPAKATPVCYLCSFGRQDMYARDPSAKYLGALAMGVPGELAGLHAAWSRYGRLPWKSLFAAAIALARDGFEVVPYVARALKSHEAEVLADPGLRGVFAAPSGRRVLAAGETCRNPALAAALERVAEDGVAAFYGGAVGGRLARDVAAAGGIVTVDDLKGYKVEVGDAMRADAMGYTFLGMPPPSSGTVGMTLMLNILGGYKSLEYLKGFLGVHRLIEAIKHMLGVRMDLGDPDYVNVSGSVSQMLSPPFADKIRRRIADNTTFPPSYYMPKYASPPTSLFSWIATAGIILWSQLRDQGTSHLCVVDSDRNAVAMTTTVNYVFGAMVLSPSTGIVLNNEMDDFSVPGQRTPDELPPAPANFIVPGKRPLDNRCVSPQNGQLAGVVGGSGGTNIIATVTQVFLNHFVVGMDPLAAVSHPRVYHKLIPNVVKYENYTVTDGEVIALSDGAVEFLKQRGHLLESTSSGAVCQFIVQHLPEKASSVDGDGVFHGRLTAVSDPRKDGSPAGL >Et_9A_063152.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:12285708:12286430:1 gene:Et_9A_063152 transcript:Et_9A_063152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSASQHHHPPSCVTCSLLAPCRRALTRLFRVPASAALSIRAFRFRSLRSAAARMSPQRRRRRRRLTTFRSVRAVFWPLVPAPSSTAPAAADEDAARRSSTTELLAAAQEAPAPVPSPETPAYVKVVARLRSGRSAASGDAGDDDEEDREEKEAACRSFESCLMEMLVEEGKARDLQDVEELLRCWDRLKSPVFVDLVCRFYGELCNDMFPANADDDDDDDAGYRDDVGDAPAASTSSA >Et_4B_036495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26921073:26921657:-1 gene:Et_4B_036495 transcript:Et_4B_036495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQKRKSPDQETSTATADLCSNGCGFFGTAATKGMCSKCYPPKKIKLSVPAAIASSADAAAGAVDTSSSSSSSPANATQQQKQEEDPAKKPAIAAANRCATCRKKVGLTGFRCRCGGTFCGSHRYSDAHACGFDYKAAGREQIAKQNPLVVAAKIAKI >Et_4B_037898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24584920:24585842:1 gene:Et_4B_037898 transcript:Et_4B_037898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPTDVEESADELWLGVKCHSFAKPKHLRDRYRGRNLSNEPHSFVDVRSPLMKRRRSRPTLLLANRRFPNSCPSTHHFLGRNYYLANKSD >Et_1A_008455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7873820:7874526:1 gene:Et_1A_008455 transcript:Et_1A_008455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKVYTFEEVRKHNDRKGCWLLIHGKVYDVTQFMEEHPGGDEVLLASTGKDATADYEDIGHSDSAKEMMLQYCIGEVDVATIPAKITYTMPMESSPKKAETSSGTWATLLQVATPLLLLVLAFALQSYLKAKTE >Et_1A_008654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9473237:9477363:-1 gene:Et_1A_008654 transcript:Et_1A_008654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDESKFEQRLQLWALRIPREHASAVTRLLRSGYLLDKPRVKPVVEDPESEKNRLVVLSERIQKPDLSDMPEQVYDSLKQLCNVDVVPYTLTLGYSYWSAGHVAHLNISDDLLAYKDVIAKVIYDKNYPRIQTVANKVGTITNEFRVPKFEILAGKSDMVTEVKQYGATFRLDYGSVYWNSRLEHEHIRLVSLFKKGDVICDMFAGIGPFSIPAAQKGCVVYANDLNPESVHYLRTNAKINKVEDYVFTYNMDARMFMQNLMCVPSERSDSQIVADNCYPEEGVPANGNSSSNENCNDVQENSQNSISDSSMANTTAKKRQQSVKEGEPDCQDEDASQTKKRNNKRVRGSGPSQVKPWEHVDHVLMNLPASALQFLDCFDGLIQKKHWRGSLPWIHCYCFIRSSESEETILSEAQNKLNAKIAEPIFHRVRDVAPNKAMFCLSFKLPMKCLKDDTENHDETVA >Et_5A_040290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11100234:11101072:-1 gene:Et_5A_040290 transcript:Et_5A_040290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFVVIDFEATCEEKTRIYPQEIIEFPAVLVDAATGRLVSEFRTYVRPRHHPRLTAFCTELTGIQQNQVDGGVEISDALAKHDAWLVASGADKNRLAVVTWGDWDCKTMLEFECRFKGISKPAYFDRWVNLRVPFEAAFGPGRRNLQEAIREAGLQWIGRLHCGLDDARNTAYLLVELMRRGVAISITGSLAPALAPKKQDQFPPQPQPQPPAQPVGPNLSICYCGVTSRCGVVMVPGPMQGRCFYGCGNCAHAFGPRCPFFLWAG >Et_7A_052822.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1879870:1880175:-1 gene:Et_7A_052822 transcript:Et_7A_052822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLASVVVRWCGGWRERRARQKQRRRQYNGGTVWLGRRRSCRLAVSRLVRWRIVAQLLRPIRKALMEIAAAGEPQGRRQLVSLPPLNFPFVGTLTLPAVA >Et_7B_055219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8368654:8369943:-1 gene:Et_7B_055219 transcript:Et_7B_055219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHWWCSYYKCIGRVLVCKAKIGGGLSRCQVTPYQPTATPSATTMAAKPHLGEANGVQQEFPVWKRVCNACFWPVVFAIVITAIVLLDVFLHHQFPEKPPIYSVAIASVAGLDPARDLTAAGRTTLSPVWNLTVHINNLRNAYDTECVPSLSTATVSYGDAFLGKGSVPEFCAGKRRESERVARVWGQDVVVPKFLRDQLAGELAVGDAAVDVKVAMPRACSDMLCIDDVLICKAKIGGGPSPCGRTEAPSTKNQA >Et_2B_022928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8572377:8574416:1 gene:Et_2B_022928 transcript:Et_2B_022928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDPGGAGMASHYVDLLRAQQQPSPLSPTSHVKRERSGPSPDRDNNPPTDADPGAGGIDAGGGDGPSSSAMVPAEGDGGSGGSGRKPRGRPPGSKNKPKPPIIVTRDSPNALHSHVIEVAAGADVLECVAEYARRRGRGVSVLSGGGTVSDVALRQPGAEPPGSLVANLRGQFEILSLTGTVLPPPAPPGASSLTVFLAGGQGQVVGGSVVGQLIAAGPVVLMAASFTNAIYERLPLEGRKRRRPLPRPPPPRNRRAHHNLPQHKPSRRRRRSRLGLPEVMPVAPALATACRYSSGNALAKF >Et_4A_033441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22861536:22868396:-1 gene:Et_4A_033441 transcript:Et_4A_033441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGMVLSGAPQPAAAPAPRAPPAAPGRVQGAAAPAHTGGQLAAQAVAAPQGSSRLPPVSSKAIVFPARPGYGTVGRRLRVRANHFVVQLADKEIYHYDVTITPESNSRARNRWIINELIKLHKQHMDGRLPVYDGRAGLYTAGPLPFKAKEFVLRLTNPDRANTGEEYKVAIKDATKLDMYSLKQFLAGRHRELPQDTIQALDIALRECPTTRYVSISRSFFSQQFGHGGEIGDGVECLRGYYQSLRPTQMGLSLNIDISATAFYKAQPVINFALEYLNVRDPSRRLSDQDRIKVCDILEGQRYSRKLNERQVTSILKLACERPEQREHSILEVVNRNNYGNDYYAKEFGIKLMNQLALVDARVLPPPMLKYHDSGREKDCNPSIGQWNMNNKRFINGGLIKYWACVSFASRLHPNVIRMFCDHLVGVCNNMGMQVNGRECVDIVQARPDNLESALRSIHGQSAEVLAQQGVTGKQLELLIVVLPDVNTSFFYGRVKRLCETELGVITQCCLPKNVQKGAPQYLQNLALKINVKVVASMDWPEVSTYRCLVSSQGHRQEIIADLFTDAKDPQKPHAWGMIRHVGSWSELLMSFYTANGRDGVSEGQFSQVLLYEMDAIRKACASLQEGYLPPVTFVVVQKRHHTRLFPEDHRSRDLDRSGNILPGTVVDTKICHPSEFDFYLCSHSGIQGTSRPTHYHVLFDENHFTADALQTLTYSLCYTYARCTRSVSIVPPAYYAHLAAFRARHYLDDGLSDQEGSSAGSSLLRDHAVPVTRLPNVKENVQKFMFYC >Et_9B_065156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21669069:21671639:-1 gene:Et_9B_065156 transcript:Et_9B_065156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGGEAGAELSTDNMKGIVLALLSSGFIGASFIIKKKGLRRAAVASGVRAGVGGYSYLMEPLWWVGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNERLHALGILGCVMCIAGSVVIVIHAPQEQEITSVREIWNMATQPAFLLYVASVIVVVFVLVFYFSPLYGQSNVLIYTAICSLMGSLSVMSVKALGTSLKLTFEGTNQFVYPETWFFMLVVATCVLTQMNYLNKALDTFNTAIVSPIYYVMFTTLTILASVIMFKDWSGQSLGSITSEICGLIVVLSGTILLHVTKDYERIPQSRSIYAPLSSSLSTRLNGELLQHVRDERNCDEEKALRRQEMY >Et_10B_003208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17758713:17770056:-1 gene:Et_10B_003208 transcript:Et_10B_003208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERVSLWRGEARLSHRAHQAPPAGQRGGRSRHVVPPPTVPRSPRDRAIGDNTLAFPDLVAGFSVKWDVSVTIDFIFARVDVLSDLACACGEATLPRCACPQSDVVSPCVGSTAVVAVLVGGRVVVANCGDSRAVLCPGPEGSPPLPLSEDHKPDRPDERARIRSVGGRSPCHVSCYRLLNPAVISEPEITITERTAADQFLVLASDGIWDVISNETACDIARQHLENSNNNYPRAATAGAVGPDPERRCRFAAAYLAVRALQRRSLDNISTIPIRPYERAHIRSVGARVLYDIYGTPRVQGLLAMSRAIGDRLLNPAVISEPEITVTERTAADQFLILASDGLWDVISNETACAIAREQLENSNNDYSLDAAAGAVGPNPDHRCIVAAAYLVRRSLQRRSLDNISAMVIDLQNRA >Et_3A_026886.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:25080008:25080415:-1 gene:Et_3A_026886 transcript:Et_3A_026886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWEEELHESEVLWPEASHDDDAAPASAPPGCSSSVASPSRSPARRRGVPEKSHYPGGSLPVDIPKPARSAWRRDDDDEDGGGRGGEMVPPHVLVSRRRSEGAAAFSLRSGPGRARELSHLRNSVLRMTGFIEG >Et_4B_038866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5454410:5457435:-1 gene:Et_4B_038866 transcript:Et_4B_038866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGLMPSDTSPGVARDAFNTFFSETSAGKHVPRALFVDLEPTVIDELEEKLLIKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGRKSKLGFTIYPSPQISTAVVEPYNSVLSTHSLIEHTDVVVLLDNEAIYDICKRSLDIERPTYTNLNRLISQVISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPIISVEKAYHEQHSVPEITNSVFEPSSVMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVHSIKTKRTVQCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDRKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALERDYEEVGADV >Et_10A_001582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5465784:5468035:1 gene:Et_10A_001582 transcript:Et_10A_001582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRAAAATFFSSSPVSPRPFFSATPPAPSFAGRSVHCRRLRAFPPTELTVEELNPSVDLLRKTAEAVGDFRKTPIYIVGTDCIAKRNISKLLANTIIYRYLCSEELLEDVLGGKDALRAFRESNEKGYLEVETEGLKQLTSMGSLVLCCGDGAVMNSTNLGLLRHGVSIWIDVPLEMAVNDMLKSMGTEATSDPDTFTQVMGKLRQQYDELKERYGISDITVSVQNVASQLGYSSIDSLTLEDMVLEIVRQIERLIRAKAMMEAAGKPF >Et_3A_025315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28915918:28919076:1 gene:Et_3A_025315 transcript:Et_3A_025315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IWYNNVPHPKLVEYKKDQNWVRKSGNYFIFPGGGTQFKNGVTAYIRFIEQIMTNIQWGTHTRTVLDVGCGVASFGGYLMDRDVITMSLAPKDEHEAQIQFALERGIPAFLGVIGTQKLPFPDNSFDVIHCARCGKPLLELNRLLRPGGYYIWSATPVYRKDQRDIEDWNAMIALTKSICWRTVVRSRDINKIGVVIYQKPMSDSCYLERKNKEPPLYTPLDSCLISTVSSSGGANSWPIFWPERLNMRHSTTSNNSSTQFSQENIDSDTSNWKELVSEVYLNEFAVNWSSVRNVMDMNAGFGGFAASLIHQPLWVMNVVPIDQPDTLPIIFNRVLIGVYHDWCESFNTYPRTFDLLHMSYLLGGLTDRCHIIEVATEVDRILRPGRWFVLQDKIEVIRKIDPILRSLHYKTTVVRQQFLVATKSFWRPGNTGSQ >Et_5B_044945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7549001:7553965:-1 gene:Et_5B_044945 transcript:Et_5B_044945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSRLSPTPVPAPPNPKAGPLRGRPPADWSALLDRAEGISQIHVDALVSISNEMEEQQRKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYVTNGLKVYYVPWRPFLMQNTLPTLFLTFPIIRTILIREKISVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLADIDQAICVSHTSKENTVRFIVGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQVRSVLISEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPAPEDMVQGIRKAISMLPDIDPQAMHLRMRKLYSWDDVAERTEAVYDHAMQSPTTDLLDRLPRYLSCGAWAGKLFCLVMIINYLLWRLLEFLQPAEGIEEVPDIGPLHVHLGSSDDLCEPQEK >Et_1A_006015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16740131:16742364:1 gene:Et_1A_006015 transcript:Et_1A_006015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPKSTCIVLSLLLLAAAATASSPHFLNSSLPDPGAVVADFHSKVASSRRRMQQSGGGVGGCMTGNPIDDCWRCAGTDWQQDRQRLADCGIGFGRNALGGKGGPLYVVTDSSDRDAVNPTPGTLRHAAIQEGPLWIVFASDMTIRLTQELLVNSFKTIDGRGANVHIGAGGACITLQYVSNVIIHNVHVHDCVPTGDANVRSSPTHSGWRTRSDGDGISLFGARDVWVDHCALWRCADGLVDAIMGSTAITVSNSYFARHNEVMLLGASDAYLPDSGMQVTIAFNRFGPGLVQRMPRCRRGYFHIVNNDYTSWEMYAIGGSASPTINSQGNRYVAPANPDAKEVTKRVDTAEGQWSGWNWRTEGDMLVNGAYFVPSGEGLEDIYEKATSIDPKSAALVDTLTQNAGVLGEPRYGNQWHPSFRHISSVFRSFRAHPRAPFLVRPAPRAHVGALLSRASLAFPLLVGNISGCWAPRQRQSLMRGPA >Et_3A_025575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30927549:30928425:-1 gene:Et_3A_025575 transcript:Et_3A_025575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKITTSHDPVSKHLQKRPRATQFLYNRDTHERSNQAPAGWCAPSYVPGQRSMAMDTFYISHGSPTLSIDESLPARHFLQSWVPAGLAGPKPPRAILVTDNPTVNVIRGTNDTIYDFYGLPKPMYKVQSSSGFRSPSDG >Et_1B_011928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27215568:27217370:-1 gene:Et_1B_011928 transcript:Et_1B_011928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSRSISSEKSRAAAPRPPLQEAGSRPYMPSLSTGSRNPSAKCYGDRFIPDRSAMDMDMAHYLLTESKKDKENAAASPSKEMYRRLLAEKLLNNRTRILAFRNKPPEPENVSTDAASSHLQAKPAKQRRHIPQSAERTLDAPDLVDDYYLNLLDWGSNNVLSIALGDTVYLWDASSGSTSELVTVDEDNGPITSVSWAPDGRHIAVGLNSSDVQLWDTSSNRLLRTLRGAHEARVGSLAWNNNILTTGGMDGKIVNNDVRIRNHVVQTYEGHNQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSVQSAGRNQWLHRLEDHMAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGAPEAPKPAAKASYTGMFNSFNHIR >Et_10A_000103.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:23735425:23736624:1 gene:Et_10A_000103 transcript:Et_10A_000103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVWIVAVGVSGAILFMVMTGMLNRALPKKTQRDTWFEVNNQILNALFTLMCLYQHPRRFYHLVLLCRWRPPGDMLQLREAYCKDAACKPNERKHMAVVILLLHLNCFAQYALCGLNLGYSRPRRPPVGVGLTISVAICAPAVAGLYNNLSPLGKDYEAQPQPEEQGDEESQGQQQLQRKTTALDQQQQQQQQPQWVGGLFELWDEDLSLAYLSVFCSCCVFGWNMSRLGFGNMYVHVVTFILFCMAPFFIFNLAAINIDNEAVRDALGLGGILLCVLGLLYGGFWRIQMRRRFNLPPANHAHPDMADCLQWLCCYSCSLAQEVRTADAYDVVDDTLLYPRLTTCHHHNATPSHPLRRRLRCTIIRLCRKSCPQCNSHSGLQVFLLRRLQHQLLQDH >Et_8A_057507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3730263:3735512:-1 gene:Et_8A_057507 transcript:Et_8A_057507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGRSHGHNHSSRLRRIIPRVLLLVFAVHAVSFALYLLIQSHHPSADPTPRAQVHDEARAPSSQKPWPRLPSFRPWTSGPAPPHHSCEAYFGNGFSRHVDALPAGSGGGGWFRCHHSETLRSSICEGARVRLDPALIAMSRGGEPLEQVMGRAEEEELPKYEPGALQVEGPAAGRAGPLLEAGFLDSYVPTNGIGMHTMRALLESARVVPPGELHCSQWVEEPTLLVTRFDYANLFHTITDWYSAYVSSRVTDLPNRPNVVFVDGHCKAQLEQTWEALFSGVTYAKNFSSPVCFRHAILSPLGYETALFKGLSESFSCEGASAQSLREKPDYEKTARLSEFGEMIVASFDLPRDDIMSSKRLDGLNVLFVRREDYLAHPRHTGKVESRLSNEPEVYDAIDKWAKGQKCKINVVNGLFAHMTMKEQLHAILEASVVIGAHGAGLTHLVSATPDTKVLEIISSMYRRPHFALISHWKALEYHAINLPGSYASITDVISELSNILKDKIENVLEILYARIVARGHVSARLSSGWASRWAPHRGPWACYKTAEAHLTTDICGTPDHETGQAASTAPHKPENTANKPFLTEEFNFE >Et_2A_015493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13806867:13808396:1 gene:Et_2A_015493 transcript:Et_2A_015493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQNRRQEDTSTSGKPSRVRASGVTNDVVGSPGTRSSLALSLSKSRCAGASFVTLVTARGSDVPAAYDRLDNIMELLFFWGLFLACVDIHSLRTNKDLHTPYHVWRILNGDWVNHWGQLLPPHVSLFTMNEMLTSAKHT >Et_9B_065879.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:16105306:16106802:-1 gene:Et_9B_065879 transcript:Et_9B_065879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTSADAAMVEAVSAIPAAPAFAPTKMSCTDLPAAAITVVSKQTVRPAGASAAVGDLKLSVSDMPMLSCHYIQKGLFFKPPSVPTATLVSSLAASLSRALGVFPALAGRLVTLHDDSIVIRCDDGAAVEFHHAVAPALSLGDFLVPGADVPTALTKGLFPMDRTVSYDGHARPLASFQVTVLGGDGAVFVGFVANHAVVDGTSFWHFFNTWAGLCRGDAPVRDPDFRRDSFFGDSTAVLRFPGGTGPAVTFDADAPLRERVLHFSAAAIRELKATANRRIKRTGQQDAASEAEGKLAHDSKVRGGEISSFQSLCAHIWRAVTRSRRLLAADATATFRMAVNCRHRLRPAISPLYFGNAIQSVATTATVAELASRDLGWAAAKLHATVAAHEDGAIRRAAAEWEAAPRCFPLGNPDGAALTMGSSPRFPMYDGNDFGWGRAVAVRSGRANKFDGKMSAFPGRAGDGSVDVEVCLAPDTMACLLRDEQFLQYVSSPSQ >Et_10A_000331.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:23218963:23219184:1 gene:Et_10A_000331 transcript:Et_10A_000331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNAGLLPASTLGTETVSTPFSSPALTPSTAALSGSRNRRRKLPLLRSNLVLPMKGSSTSLRVTSDIVVCCY >Et_2A_014483.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:12684608:12685039:-1 gene:Et_2A_014483 transcript:Et_2A_014483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGQQLAGAALAVPLLVLVPAVLAATLAAAVLVVSGALGVAGVSALAWAIGFMGRGWAGGGGRVTGMVVRPLDDEKRWGGAAAFVGRGGFTRAQWSGENRTLQCWVGPSLQLLDLWGEKPKNDLLMGWDLQFTLKKYNQSYTVQ >Et_10A_001975.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:1596786:1597295:-1 gene:Et_10A_001975 transcript:Et_10A_001975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDVVLIAASVAALLLISAATFFCSRRRRSPSQRSVDALGHDVELGRGVAGIDEAVLAAYPTLVFSSPPRGEEGKETETEKEKVEGEEEEGKKKKAAGTAAAASPAGDAARCAVCQADYADGDELRMLPDCQHAFHRGCVDQWLRRRPTCPVCRASPSPARSSEERGR >Et_7B_053710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11316217:11319038:1 gene:Et_7B_053710 transcript:Et_7B_053710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKGLLVLLVALAAAAVAVHGAGECGATPPDRMALKLAPCASAAQDPKSAPSSACCTAVRTIGKQSPQCLCAVMLSKTAKSSGIKAEVAITIPKRCNLADRPVGYKCGDYTLPFRRKMSIESSIYLLLCDVLVS >Et_6B_049539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6248487:6248777:1 gene:Et_6B_049539 transcript:Et_6B_049539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLTPRQSGNPGPDGRPAASTARRSGGNAKPSSASARASSDSVRLRSTATPHARRWASTAAASSSRGGLTSLPRFSVSFFPSASRRERS >Et_2A_018076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9893018:9896966:-1 gene:Et_2A_018076 transcript:Et_2A_018076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASARDSAAAAAEHYLAEEHDGGASDVEMDVEAGDEFHDQDADRRDGGADGDDDDYSLLTRISDTSAAEARAGKDIQGIPWERLNISRQDYRKARLEQYKNYENFPQSGELMDKLCKQVEQSSKYYEFHHNTRLVKPSILHFQLRNLLWATSKHDVYFMSNSTVGHWSSLSHKLSDVLDFSGHVAPAEKHPGSLLEGFSGVQVSTLAVNEGLLVAGGFQGELVCKGLADCNVKFCTRTTLSDNAITNAIDIHRSTSGSLRITVSNNDCGVREYDMERFQLLNHFRYNWPVNHTSVSPDRKLLAVVGDDRDALLVDSRNGKVTSTLVGHLDYSFASAWHPDGRTFATGNQDKTCRVWDIRNTSTSLAVLRGNIGAIRCIRYSSDGRFLLFSEPADFVHVYSAAADYKKRQEIDFFGEVSGITLSPDDESLFVGVCDRVYASLLQYRMIHQFDYLDSYM >Et_1A_006941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29235659:29238825:1 gene:Et_1A_006941 transcript:Et_1A_006941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRLQASTASISAVSPGRGMGPDSYPTIRRPFLHPRGPGESTSHRAASPVSRPQRILASPINSAPSPVPFTAAPPSSLICAIDLLSCSLPSKAPKSQWPRLWPPSPPPSSNAPTASCTTSRTPPGSGSWPSAWATTRRSCRARGTAPAARCRPRTGHAPASPRGSCACPSGTTARSSSGGRSSSARSRSCSPRRRRRTTSRPPTATLPPPTLPSTTTAST >Et_2B_019627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11478168:11483134:1 gene:Et_2B_019627 transcript:Et_2B_019627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDRSSRRARDDDGHHRSRDRDDDRHRHHRKRDAADDDDDRRRRRHRDDDDRHHKRDGGDDEDRRRRRHHRDDEDDRRRTSRRSPSPSESPPPSAKRDRSSSRPRESVERRDAADREPPQSSSRKRKGHEGGGGGDEADREAGKRARASVDPPPPKEERPRRERRKFEDVDANGDERGIPSREHKNGTLAVNGDSQSGAIPKAGYQQPLHAAPVVVVPSSVPVSSKVSSITTNNENEGVSIRSDEVTGKSSTDGSATSATGKSSNLSLDALAKAKKALQLKKELSEKLKKLPELNNKLGTDALVSKKEGKSSVSVSEAQPASKGEDKTTSVSGSQATAGAIGIPGLNIPNLDAVKRAQELAARMGFRQDPQFAPAINLFPGTSTELTVPQRPAKAPVLRLDAQGREIDEHGNVINLTKPTNLSTLKVNINKQKKEAFQIIKPDLDSLAKSSAHFDERMGINEKKLFRPKRPGFQFVEEGRLSRQAELQRIKNQFGDAQAKELKVKQAQLAKAKAEVDMNPNLIEIAPGGRVPKQKQKEVIPDIEPWDAKILETATYEDISVEKLNMNRITIYVEHPEPYEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAKEKDRQEMIRQGLLEPPKPKVKMSNLMKVLGSEAVQDPTRLELEIRTAAAEREQAHVDRNIARKLTPSERREKKERKLFEDPTVDTIVCVYKIRDLSHPQTRFKVDVNAQENRLTGAAVITDTISVVVVEGGKKSIKRYNKLMLNRIDWAAAVGTEDDADEEPAKPVNSCALVWQGSVAKSAFHRFTVHNCRSEAAAKKVFTDASVPHYWDLAVNFSEDSS >Et_2A_014845.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18587675:18587854:1 gene:Et_2A_014845 transcript:Et_2A_014845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVCAHCNFSISRTSQGPLVDVCRTQNYIFVVHNHPFCMNVDHEPSIFCSQALQIWRT >Et_4A_032987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16541550:16541825:1 gene:Et_4A_032987 transcript:Et_4A_032987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGAGSWGCVGSKLALNLGIEHVVFKIDLANLSTALKDYSFVLVDCGV >Et_5B_044745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5359985:5360892:1 gene:Et_5B_044745 transcript:Et_5B_044745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRVADARAMLAKIADTPEEATDRLADIKSAAGIPDDNLDGDVVVAAVTERRSGGEKQVWKELFLSPSPAVRRVLLAAIGLQFFQQAWTPSPRVFQSAGIASESQLLATTCAMGVTKKLFILVATLLIDRVGRRPLLLGSTAGVAVSLVGLATGLTVVGRHLDNAVVPWAVGLCVASTLAIVSFFSVGLGPMASVYTSEIFPLRVRALGFAVGVACNRLISGVISMTFLSLSSAVTIGGSFFLYAGMAVLAFVFFFTYLPETRGRTLEEMSELFAKTDGAAEAEDAPSYSSRLLGSG >Et_4A_033809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27020969:27024450:1 gene:Et_4A_033809 transcript:Et_4A_033809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LTQPTLRAFWGNPNTLGYIRRPPHFAPPLRHHITQPDRRWEESLSAAAKMVSLKLQKRLAANVLKCGKGKVWLDPNEVSEISMANSRQNIRKLVKDGFIIRKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKVLWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNAFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPRDTAPAAAAPAPATALLSLQDLRDDDEERSTASARTKYRAPRIVTSADTISDSETISTARNQKEDMLGWSLDEIATIPCPTGDPFSDMGWIMKRTSAMRKFTLRYDVYRRIKNGKEIPGGGRSVNEITTTPSTSPPAARAPPKHDLRSDHQVNGAVPTHGFHPSKPCTIVFLLPLGKPAAAAA >Et_4A_034344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31919229:31922773:-1 gene:Et_4A_034344 transcript:Et_4A_034344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFDLLDLADGASGADAVSTVVGKKRAEAEAAAAVARAKEAADKAAAKVAAKEKKRDDGRIRAMRGAINRVLGRRAAARAKAGLPPVDEEPEHSDSEINEEIRVAYAKLDEEEVESEDDEEYQPPPRASQFLINQKQNAKKDLIDRQIKLTKEQKKLLDEQISLLDKQKKMSEEDGENADKEAQRKLKEEQRKLREEQRKLKEEQNNLGNQKESLTAELGKDDIKGHAKEFGERQGYRGGNTRRQIYQRKDKAGSEAGTEVEHKPEEKVVPASETEQKAETVNGDAVPASGSEKSTGGAANGQGGPKTKNFIPKVKLNGSEKRKLKRQDTTDGSKNTTGNEMGKEKQESAVDVSKKQVAKDQKVDYAEEARNVVTLDEYEKVLGEKKNKAVEATSTVMRKVTDEDFKGLQLLKKEDEEEANTKAEKHKGKEKAEKGQAKKAAALAAKSKEVVLEMYKRSPRRPNDQDNGTYNRRRFNGGFQGRSRDNSTESRGSGRGGNGRAIQDNGSGAPRSNGGYSGSDARDGYRGNGGHQPVYGGRGNGGYRGNGNGDHQQGGNNGRYQERSGNGGYSSRGNGRFQQ >Et_1B_013817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18101649:18103878:-1 gene:Et_1B_013817 transcript:Et_1B_013817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLSRSLFSIKQYGAKFFYKGSEETHGLPINETEDFSQKKKNKTEDSQSPLDVKGHGTHVADASFFGYVRGRAVGVAPGARIAAYKVCWKGHGCRFDVFVKLRRRCSIGSK >Et_9B_064290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13664876:13667424:1 gene:Et_9B_064290 transcript:Et_9B_064290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEKQEVRAVAAATEARQRLVIGVGFWVQGFRLFPWLGVNFFLKDGMGVAASSLQILQASANLPMVAKPLIGLLSDAVPIRGYRRLPYVAIGAFLQAISWLAIALWPAISLQVLTIFLLLSNFGASICEVANDAIVAEAGKQAASSAGSGQLQSFAWMFGSSAGALGNLLGGIALSYFSPKVMFLFFAILLLLQFITTVAIPESSLRLPKAATNLSAISSIRKQMNELSCALCMPEMFWSIIWFSVSYAVIPFLLGTMFFYQTEVLRLDSSVIGLSKVFGQVALLAWSMSYNKYFKTMSARKVLSVVQFVTALVMLSDVLFVQGIYRKIGVPDSIYTIVFSGLLEGLLFFKVLPFSVHVAKLCPAGCEGSVMAFVMSALALAIIISGYLGVALAAFMGVSRDNFSALPACLLIEAACTMLPLCCSSLIKERREKEKKEQ >Et_3B_031050.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:12121285:12122148:1 gene:Et_3B_031050 transcript:Et_3B_031050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRVLELNLISASDLKKVTFFSRMRVYAVASISGGDPRMPTHCTQPDRRGGCSPAWNATFHFPIPSGADTRGLALHVLLRAERRVPVLGGRDVGEVFVPVDDLLVAAADAKNAGMVDAARPATYQVRRPRSGRVHGVLYFCYRFIDVPAAGSPANKEGQHAKYVQDSETADKVAVPAPVTAYPPPQQAAYQPLPPYNAGYASQPYPYAAAPPPAMYGYNAAPAPVMYGYSAPPPPARHHGGGGVGMGLGLGLLGGAVGGMMIGDMIGDAEVDSAYDAGFNDGFEF >Et_7B_055426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10196709:10198891:-1 gene:Et_7B_055426 transcript:Et_7B_055426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVNDWSHSYHTEKEEKNEKLALPAVLSVATARGDKREDSGVSHDGAAGGCLILADKIRHRPGVAVARVTGAAAMERLLLILFLLLLFFLLLLLLLLFLLVLDFLHQQYVDVAELLLAAQAADQADSVDALADHLQLVLEVAGDAHRGHPCVYRRMQCSMSGRRLKYKSSGRRHV >Et_4A_032565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11452903:11456168:1 gene:Et_4A_032565 transcript:Et_4A_032565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASDSDAGAGAQSRDDTNLPFASFSLSLSLRAPAAPTLASIPSTIHLPTQISTLAVCLHPSSAAAPSSSRRNTRLNSAASSVLSPLPASTPGLSRSFPSGAPAAAGRRRTLVWFRADLRLHDHEPLHAAVGASSSLLPVFVFDPRDFGKSPSGFDRTGPYRANFLLDSVADLRRSLRARGGDLVVRVGRPEVVIPELARAAGAEAVYAHGEVSRDECRAEDRVQKAVEKEGVNVKYFWGSTLYHVEDLPFQLEDMPSNYGGFREAVKGLEVRKVLEAPEEVKCVPMKNVLEPGDIPTLGELGLTAPPAMAQDTKPAVGSTLIGGETEALDRLKKFAAECSMQPNKGNKNSTGDSIYGANFSCKISPWLATGCLSPRFMYEELKKHATRAIPNGATPKNGDGSSDAGTNWLMFELLWRDFFRFITKKYSSAQKTSEVVPATGCTPTPALA >Et_3A_023278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17322143:17322664:1 gene:Et_3A_023278 transcript:Et_3A_023278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRPDPWLAAESAADLSRTFVSGVSAGANLANHVVVRAASGQVALGPAVRLAGDGGDRRRVRGRGGGGDRPALAHGAAGGGDQGPPAGQPVRPGQPRPRASRRGARARRAPRPRAALRGEAQGGGEGRGARRVRGGGPQLLRRVERRDAGAGSNHEAVRAPR >Et_8A_057197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22176950:22180068:-1 gene:Et_8A_057197 transcript:Et_8A_057197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASALRTPNPAAATARPAAGAPASSSRAALLRVVPSASAPRRGAVAAHAMQPAKAVAAEAASPAMERANGAVAGLARPDAMGRFGKFGGKYVPETLMAALTELEAAFHALATDEDFQKELDGILKDYVGRESPLYFAERLTEHYKRADGTGPLIYLKREDLNHTGAHKINNAVAQALLAKKLGKQRIIAETGAGQHGVATATVCARFGLQCIIYMGAQDMERQALNVFRMRLLGAEVRAVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVREFHKVIGKETRKQAMEKWGGKPDVLVACVGGGSNAMGLFHEFVDDEDVRLIGVEAAGHGVDTDKHAATLTKGEVGVLHGSLSYLLQDDDGQVIEPHSISAGLDYPGVGPEHSFLKDIGRAEYDSVTDQEALDAFKRVSRLEGIIPALETSHALAYLEKLCPTLPDGVRVVLNCSGRGDKDVHTASKYLDV >Et_3B_029608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26446649:26450154:-1 gene:Et_3B_029608 transcript:Et_3B_029608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGLVTEEVTIGRLYRIRRTVMQMLRDRGYLVLEHELAMSRRDFIRKFGESFHREDLLVSKYKKNDPSDQIYVFFPNDDKVGMKHIKKYVEMMKAENVSRAVLVTQQNLTPFAKSFLIELEPKIHLEVFQEAELLINIKEHVLVPEHQVLTNEEKKTLLERYTLKETQITDPIARYYGLRRGQVVKIIRPSETAGRYVTYRYVNSCAFVACFHLHLGKLQTGKAAHITQALQRYETSRAPLQFRPSEWWASKLL >Et_5A_040807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12829290:12836031:-1 gene:Et_5A_040807 transcript:Et_5A_040807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDAVQGQIYHGLAEGWEKGDEPSSTGFGAGGEEKKRRRIVMDVAAVGGLSDVMVVVTLGLPQDREQFPWRAISAAEAEEATAPAAASTTAAAEAVTRAAAARVAAEAAATRAAAETAATTRVAAAMAVAEAAAAATTRADAATAVAVAAVTLRGAVMVAAAEAAAATPREAAAMAAVTRVAAATATRVDAAAAAAEEEATTTAAAAAAAAVGAVAITPESTLKGVYRRIISQLVTDHQKTDLGGRLPAYDGSRSLFTAGELPFKSKEFEVTLAGRGADRKYTVAIKHATVVGLDQLMMLMGGRATDIPMQALQVLDIVLRDIVLNERQDMEYLTVGRSFFSPVIEEPKDLDISSTAFIEPLPLIDYVKKILGKDSAARFTSITNDDYIKMKKALRGVRIEVTHRGDQRRKYKIAALTPMRTSELTFEASPGVSKTVMEYFRETYGLNLQYGFLPSVQVGSDQRPNYLPMEVCKIVKGQQYQKKLDDTQVKALMSSTCRRPNDREKDICRIVERNQYNSTRRANEFGIEVDYNPTSVQARILPAPTLKYHASGSETSCCPEKGSWNMRSKKVVDGARVNNWACVNFCATLRENEAQNFCYQLAKMCSSSGVSIDSKKARMFPGNPHTIEADLQRIHQTMRTSLGGQKIDLLLAILPNGNGSLYGNLKRICETEIGLMSQCCLEKNVRNSNATTLANIALKINAKVGGRNTVVADPLPVVSNKTTIIFGADVTHPSALDDTAPSIASVVASLDWPEVAKYNGVVRAQGHRVEIINGLEDIVKELLLAFQKEHGGKPQQLIFYRDGVSEGQFKQVLEQEIPEIEKAWKALYSEEPHITFIIVQKRHHTRLFPSDNRYRDNSGNVLPGTVVDRNICHPTEFDFFLCSHAGIIGTSRPTHYHVLRDDNKFTADSLQSLTYNLCYTYSSCCRSVSIAPPAYYAHKLAFRARMYMPQASDAETSVSSHASSAHAAAPRQLPEIKNELKRFMFYSIFMLVLPSSPLLPLAGGHRAMGAAAST >Et_1A_007055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30456352:30460573:-1 gene:Et_1A_007055 transcript:Et_1A_007055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAAVRGGRPLLGGGGGGGGGKRGGRPSTAIVAALLLACAALLLLLSLGALSLPGTSDDAGRGAGLARPRPRFRRSAFESELETRGEKGEPWTEVLSWEPRAFVYHNFLSKEECEHLIALATPHMRKSTVVDSATGASKDSRVRTSSGMFLRRGQDKIIRTIEKRIADYTFIPVEHGEGLQVLHYEAGQKYEPHFDYFQDDYNTKNGGQRIATLLISDVEEGGETVFPSAAVNGSSSPFYNELFECAKRGLSLKPKMGDALLFWSMRPDGSLDPTSLHGGCPVIKGNKWSSTKWMRGTKSKMGIAKLNEALQNHGTRFEISELRELISISILRFSLILTRFGLC >Et_7A_051163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15005116:15009378:1 gene:Et_7A_051163 transcript:Et_7A_051163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAASCDDTGAALAATPPPRLKGLRVHLTHVDAHGNYSRLQLLQRAARRSRHRMSRLVARATGAPSASKAVAPAGGGSLQVPVHAGNGEFLMDLAIGTPALSYAAIVDTGSDLVWTQCKPCVECFNQSTPVFDPSSSSTYAAVPCSSSLCSDLPTSSCASSGSKCGYTYTYGDASSTQGVLATETFTLAKETLPGVAFGCGDTNEGDGFSQGAGLVGLGRGPLSLVSQLGLDKFSYCLTSLDDAGGKSPLLLGSVADISESAATSPAQSTPLVKNPSQPSFYYVTLKALTVGSTRITLPASAFAIQDDGTGGVIVDSGTSITYLEVHGYRALKKAFVAQMSLPTADGSEIGLDLCFQAPAKGVDQVEVPKLVLHFDGGADLDLPAENYMVLDSGSGALCLTVMASRGLSIIGNFQQQNFQFVYDVGNEKLSFAPVQCAKFPILLLLFLALPVLPARCSAPPPPSWPFHLELARVDALPGANLTDQELLRRAVQRSLERAGGVVAGAADAADGGGRKASVEAPLGAGGGEYLVKLGVGTPQHFVSAAIDTATDLVWIQCQPCVSCYRQFEPVFNPKLSSSFAVVPCGSDTCDQLDEHRCRDEDDDNACQYTYKYSGNAVTRGTLAMDKLAIGGNVFHAVVFGCSDSSVGSPPPQASGLIGLGRGPLSLVSQLSVRRFMYCLPPPLSRTPGRLVLGVDADAVRNASDRVAVTMSSNPRYPSYYYLNLDGLAVGDRTPRRLTSTNRTATSKTATVAAPGGGDGDRAHAHGMIVDIASTITFLEASLYEELVDDLEEEIRLPRGAAGSRLGLDLCFILPEGVGMDRVYVPSVSLSFDGRWLELERDRLFVEDRTGRLMCLMIAKTNGVSILGNFQQQNMQVLYNLRRGKITFAKASCDSLS >Et_1B_013649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10682644:10687581:-1 gene:Et_1B_013649 transcript:Et_1B_013649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPAAGTGKGKRKRPLSEDDVYLLLNSSGLGFKRAAQFFGRYAPATILTALQEVAQHAEGRRIDWKALVGKSATGITSAREYQMLWRHFAYQHELAESVDAGASPLGDDSDLECELEPIPNPSEEALSEASSLAKILISGSSREQASGHRVNLEVPAQNSTNEKIVRVPSDKQLAQNHRLTSVTCPVSNSKQAQTGPSPDALDANGASKKKKKVKTWSKEEDADLAAGVQKYGEGNWRDILSKCNFDTTRTTDQLSQRWALICKRSGSSKPAITKQVSVTSSEERQAAIKAFSMALDYDYPPRKPSALRSGAQQHIVRHTPTVFGPTLPEVKSTAVPSPAVVPVPVPVQVPVSMPVPVTVQVQVPVPQGQQAPIQAAPPKASSNKTRNNSKKQAIQQNPTIGPSSIQAAAIAAGGRIATPKAAASLFKAAQSKNAVHIRSRVAGSLKSSATPKAPVVAVEPGTQLGGAGHLEPPNTITTISGPSVLTTHGTEQVIGVSEVVAANPPGPSSVAHLLETEKASSTSPVPASCDSEELDDDSTFCVVTMDDLFPEDAKQPETLNPKDQQPDAVDPNEKQPDPLGPNEKQPDSVGPKEKQTDAVGPKAKQPDTVDPKVEEIIDSKDADMIEFDRFVAAQGASVNLDCPDAGKAVKTSPGTQGSAGCQKKQLKSVPMAGRSNPVSPGAPTTGKKTKSPVPHQVTPTPTGTLRVLTTAQNRTVGRKAAATATAGGQPPLKKQAMNTKGNQTPNNMAVGVSSGVPASNQARTLAIGASKVNSQGSSQASTVVNGAGKATLGNSQVSMVANGARKANPPSSSQASLVANGARKATPPGSNQASTVANGSGKANPPGSSQASTVVNGPGRANLPGSSQASTVVNGPGRANPPASSQASTVVNGPGRANPPGSSQASTVVNGPGRANPPGSIQASTVVNGPGRAKPPGSIQASTVANGPGRVNPPGSSQASTVVNGGSKATPQGTSQASKVMDGATKANPPSQ >Et_3A_027353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8596749:8601007:-1 gene:Et_3A_027353 transcript:Et_3A_027353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKNCIASGSIRDLPPSKRFKFVNSDPGPTPCLPLPAKKRVFPRPPPAAAAVPVCLPAKKRAIVAPPSEDAIPVCLPAKKRAYAPPADAVIPACLPAKKRPYAPTPADLVGRPCIPTKKRVNAPPPRDDAAGSVPFPASSKKRVHAPPPREEAAGAVPVPVPSKKLANAPPPREVAAGSVPVPVPSKQRVHAPPSLEDAAVSVPVPVPSKKRDHAPSSRRDTVGLVSVSLPTNKRVMPPLPPPSVESDGARLGAVKDVKPQGSSKHGAHAINPRLANGAEGGSRGKEIKKLEKPNHPKEAKELVPMKPCKTRSLIKLKDLEKNACKVLDVRHSKAEAEVNKKAVEVTHPKQSAHKEESRNASDEVARDEELVKPSKPRCSIKGKDLEKKACKILDVRHSKAEVEVSKKAVQATNAKQEERKEESRNATDEVARDQEQEIVEDDDGVLCVVCGSTDGDPSDPIVFCDGCDLMVHASCYGNPLAQSIPDGDWFCSLCSAKKGKAAAAAPPSCCLCPATGGAMKRTTEGQWAHIACALLVPEVFFRDPDGRDGINCSRVPAHRFTKECYICESSSGCALECSQPKCSRGFHVSCGLDGGLCIEYREEKGGAIVAGFCREHTELWEKQQLTGYPLDRDQKTATVSRKNKEKPKMYINRGI >Et_6A_048144.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7687295:7688356:1 gene:Et_6A_048144 transcript:Et_6A_048144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMPSKPKLPMSRIMRLTLRVVDMVTDATRRSDGTLNRCALSVLDPRVPAFSTPCRGVASRDVVIDRSQRLRARLFYPDKASTALPVIVFFHGGGFAFLSAASAAYDAVCRRIARYASAAVLSVDYRRAPEHCCPAPYDDGEAALRFLDDPKNHPVAGRGVPPLDVSRCFLAGDSAGGNIAHHVARRYAADVDSFRNVRVAGLVAVQPFFGGEERTPAELRLDGAAPIVNAERVDWMWRAFLPPGAGRAGHEAADFACPAAAGGLDSPAFPPVLLAIGGFDPLQDWQRRYGDHLRSRGKDVRVFEYPNAIHAFYVFPVFDDARDLIIRIAEFVAESAGGGGGNSQRPEPEQ >Et_4B_036731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10976907:10980083:1 gene:Et_4B_036731 transcript:Et_4B_036731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPEPADPPGRAFPPPAASARPGAHHRRARSEVAFRLPDDLDLGGGGGHDDLFSTFMDIEKISSAGPAAGSDRDRAAESSSPPRPKHRHSSSVDGSGLFFSPGSGGGSAASLAEVLEAKKAMSPEQLAELAAIDPKRAKRILANRQSAARSKERKARYITELERKVQSLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAQLRDALNDALKQELERLKLATGELTNSNETYNMRFQHVPYNSSFFPLSQQNTAHNQGGSQLPPAFHPPHPNVPSHQMLSHPNTLQDIMQQDSIGRFQGLDIGKGPLVVKSESSSISASESSSNF >Et_6A_047628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8669717:8672967:-1 gene:Et_6A_047628 transcript:Et_6A_047628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVSFETPLGRQERVHATTAMTTAIRPKQTSSSSNCFHGHLDLSLGMSLSRGGSGCDANECSGAKASDGNCEDNPSSSEVGCLSSGITTTATASKLSAGHDHASDLTARVGWTSAFLPCPTSFMHPWSLAARQQKAAAEQDRMPTVAYVPSETHAIPLPSAVGWPPVHTSRRNLITAMHTTKINAGEEKSFNSDKFLFLYEDFEGDRMLVGDVPW >Et_3A_026263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6094128:6095510:1 gene:Et_3A_026263 transcript:Et_3A_026263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVPSSASLLPVTAARPLAESTFTFGASYQKKKKKKMIRATRVRCAVDDEEEVNDVGVNIALSMLKFYKREISPLLPSSCRYVPTCSEYSMQAYKRYGVVKGTVLTAWRLCRCNPLGGYGYDPPRWFGEDEPPDQ >Et_4A_034929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7914675:7917510:-1 gene:Et_4A_034929 transcript:Et_4A_034929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARFWSTMPMPAVRPPPFKPPPPPPPPPGYVPLPEPSSVATLYAMAGDLLDRAKTALVTTRPAATASDVVVVSSVPSARRSVAEFTAPASRASPAAPQKNNTWTLSSRAVHWIIVAGAVVAVVLVLCVVAVLVRRSRRRRRRRRRPLEPPQPELPAVMYHKDGPTRPVLQQAPSENYFPQQSRPSPPQTSGTFSDAGSVDVVTELPSGGSHSYEQLAAATDGFAPGHIIGQGGFGCVYMGRLDGAEVAIKKLKTESRQGDREFRAEVEIISRVHHRNLVTLVGYCIYSNERLLVYEFVPNKTLDSHLHGHNGAPLDWQQRWKIAVGSARGLAYLHDDCYPKIIHRDVKASNILLDYNFEPKVADFGLAKYQPGDHTHVSTRVMGTFGYIAPEFLSSGKLTDKADVFAFGVVLLELITGRLPVQSSQSYMDDTLVGWARPLLLQAAADGDLENLIDARLGSDYDPETMMRMVECAAAAVRQSAHQRPSMVQILKYLQGEMREDDQSCIFKITAADDSYSSGMDSGESAGPRPRRMPQRSQGKTSNDYSREQGPGGKANWSSSSGW >Et_2A_018500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30160704:30162469:-1 gene:Et_2A_018500 transcript:Et_2A_018500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGPRLLPCRLALPLVAFVSVPFILPLTLPLLLRHSSSTSPHSPSLLRLSWLPSRLPRVPSPPPPSTRTTPPTTAMTTPPPPPPTQTSPSPPPPTPPPPEMGETETERCDVYDGEWVRDEASRPLYTPGTCPYVDEAYACAANGRPDAGYTRWRWAPRHCSLPRFNATDFLARLRGKRLMLVGDSMNRNQFESMLCILREALPDKTRMFETHGYRITKGRGYFVFKFLVIQVAAPTPSYQRLRLHRGVLRSHFLVREGVRFNKKGNSNPILQIDRIDKTGNRWKKADVLVLNTGHWWTHGKTARGKNYYKEGDKLYPQFDSTEAYKRALKTWARWIDKNMDPAKSVVFYRGYSTAHFRGGDWDSGGSCHGETEPTFKGAIIDSYPLKMRIAEEAIDQIRFPVRLLNVTRLTNFRKDGHPSVYGKAQAMVSKRKQDCSHWCLPGVPDAWNELIYASLVLEPNPSSWKQR >Et_4B_038822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5087095:5088971:1 gene:Et_4B_038822 transcript:Et_4B_038822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQENSEAFVRHHSEQLACGSMRGADNGRSSFLPEKCQIAVCQRDEKVGPFVEELGHGVHLSNAAAHPGVVESGASQAPMIDHNKRPSSIDMLDIVECKRLKQEDQVIAKKDDYIPDANEHIHEYPTYMTCERSFDSPTYESEESEDEAVDSPVHFPRAHTYVEDDIWHASFHQSLGVCPPRKLVPIGPNYQAELPEKHVREAREKLKVSIGADAFRELGFCNMGEEVAARWTEEEEHLFQEVVLSNPASLRRNFWDELPLAFPSKSSKELVSYYFNVFMLRKRAEQNRFDPTNIDSDDDEWQVAGDVDFAITERADEYLPTESLTDQDDGACNQVPPEGNFYEDSDEEDELDAIDNQHDAEQAGGMLSEGLPTMSFVDHNQQTFKLDADAQDDSCTSFEAHQVGPENRTPTDIAEDHHYRNDGFCGVADHGFFSDHCDSKVWEIGFTGGWEKDEFLSTNNVIEEVFGKGSCENGSDAARGQDII >Et_2B_019741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13381271:13383735:1 gene:Et_2B_019741 transcript:Et_2B_019741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAALPFVPLLLLPVVVIASGELAAHPGYADAACGVAEPGRREEFDGGRIVDISHYYRADMPAWESGEGVGEFLRLARSMRNGSDIANFSELRLTAHSGTHVDAPGHVFQHYYDAGFDVDTLDLAVLNGPALVVDVPRDSNITAAVMEALHIPKGVRRVLFRTLNTDRKLMWKKEFDTSYVGFMKDGAQWLVDNTDIKLVGVDYLSVGAFDECIPAHLVFLEKREVILVEALNLEHIDPGMYTMHCLPLRLRGAEGSPARCILIK >Et_2A_014844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18585443:18586756:1 gene:Et_2A_014844 transcript:Et_2A_014844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCFSTARRVASEPQARDSYGYVSLLRSHADPRRLSEIHSQAVVSGHERDRFVASGLVARYAALGRAGVESARQVFDRVPERDAFLWNVMLRAYARAESPHEALALFARMRGAAARPDHVTFVAVLPACAASAALREGMWAHCYVVKNDIGMDGALATGLIAMYAACGRLDTARALFDRIPDRSQAVYSAMIQAYGSHGRGAEALDVFHLMLANGVAPDGVCFVSALSACAHGGLVEDGLRVFEMMGVHGVEKRQVHYACVVDLLGRAGQLSRALGVVEAMPFEPGKDVWGALLGACRLHDHMELAELAAEKLLVIDPANAGRYAALAQM >Et_1A_008331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6540571:6543431:-1 gene:Et_1A_008331 transcript:Et_1A_008331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWDACEELKILQKVNHSNLVKLEGFCINSETGDCYLVYEYVENGSLDLWLLDRARARGLNWRARLHIALDLAHGLQYIHEHTWPRVVHKDIKSSNVLLDARMRAKIANFGLAKTGHNAVTTHIVGTQGYIAPEYLADGLVTTKMDVFAYGVVLLELVSGREAVCDEGGEPLWADAEDRVFRGREERLEARVAAWMDPVLAEQTCPPGSVASVVGVARACLHRDPAKRPSMVDVAYTLSKADEHFADYSGESLPVDSSGEVAAR >Et_6A_046086.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2322804:2322974:-1 gene:Et_6A_046086 transcript:Et_6A_046086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSHCFHIRCIFKWLRASGVCPCCRFKLPTEDEQRLLLDEQEAAVLQQPDSPARF >Et_4A_033407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22364971:22367668:-1 gene:Et_4A_033407 transcript:Et_4A_033407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASAARSPSTGPARPCCGLRRSADSSPFRSIASTPGKTSLIRVTLSLRISHDFSFLFCEHCAFNCSITSAREVSFSSSICQLIRDSLPPPVIPLADSPQRSASVGKTGGRVRASWGEKENDSNNPRDAARTPKSARNSSGGGGGAKSFMAPTFSAASKAVAPSPSPRKKILGERNNDLLHQHQPHPIPSSPCEMAQGKPSGPPPPPPDEPLGAPRRLRLSLDGAPPAPPVAAPVAAHGAWRSLGAEVEEEEEEVVVENPACKIHNHHHDESGGAAAPYDPKTNYLSPRPRFLRYRPNPRVEQYRQGSGGGGGRRLEDGFPSSESSEEADNATTTEEGLSEGDQEQVPSSPEESSALAPASDAGADPATPVAAGVLQPDPAPVSPLPRVQTPELEEPVAASPRARSPTPELEQEATPARACAKKKKRSSLRFLLVAPLALVLFMVASLVCVPPPPGSPVVLNASLSKVSGYFQSVQELRPVELAAWLKQWSSSSLDFVTSYWNALASSQEQEFFGPHIAANLSAAAADDADLGVGFYYSAAETRPVPTEQEPISASTFEQELEIQEVFSATDSVVVAEPELEEMTTFDDATVEDEPTDDAEIVQESVSATSDVIEEELNEPDAEMTEEVSGSSGEEMASFNQDLDTPSQSEPEPDHIVDDMDMPSLQQDVQTDGSEGDQDAHVEEDQEAHHGQKLGSDMWSGYLDELSKPAVAGSILAVIIGSAALAFHYVRQNQAPVSLNSSEPAEHEPAEPVEQDENRSSSGSSEGHALGKGSQLQYPAVKETERIGGSGGSQYSSSLSSGLGRRKKEKVEESLQSLEPTSRRDSIAHSTSSYGSFTTYEKIPAKKKNKEEEAMTPVRRSSRLRNQ >Et_4A_034899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7754779:7757991:1 gene:Et_4A_034899 transcript:Et_4A_034899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALINGLAGAGGGIIAQLITYPLQTVNARQQTERDPSKPAFKDGAARQIYLVVKHEGWERLYGGLTPSLVGTAASQGVYYFFYQIFRNRAEAKALERARRGLGDGSLGMLQSLTVAAMSGCVNVLLTNPIWVVVTRMQTHRKANKQQSLQGLTCALDKALQADTTENTPYKASDVCQELYDEAGVLGFWKGVIPSLIMVSNPAIQFMLYETLLKKLKKRRASNLKGADGLTALEIFLLGAIAKLGATVVTYPLLVVKARLHAKQMVGDDKKHRYKGTLDALKKLVYYEGLRGMYKGMGTKIVQSVFASALLFMIKEELVKAARLLVTGNTRLVKKLPSKAS >Et_3A_026325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6823775:6830771:1 gene:Et_3A_026325 transcript:Et_3A_026325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINIIFYTIIEYGEGASVSTLGDVYSLGILLLEIFTGRSPTDGMFQGSLDLHKFSVDALPERIWEITDKTMWLHTAAYDSTTRSGIENVLASVITLGISCSKRQPRERTPIKDAVPEMHAIRDSYLNTLLLLTLAASMSISTPMAAGSDDEATLLAFKAAAISGGYDDPLASWNGSTGGYCSWEGVRCRGRDQRVVALSLASRGLTGVLSPAVGNLSSLRTLNLSFNGFRGDIPASLGRLRHLQVLDLSRNIFSGEFPVNLTSCINLTTIFLRYNQLRGHVPSEIGDKLTGLRVLSLDNNSFTGAIPASLGNLSSLYYLEVALNHLEGTIPSSLGNIQRIQSLNLAYNNLSGEPPLSLYNLSSLRWLQLQENMLHGGIPSDIGNRLPSILVLGFYSNYFTGLIPASLSNLTTLHTLDLSNNSLSGYVPRTLGRLHALGYLDLDTNRLEANDNEGWKFLTSLSNCTQLYFLDLSSNAAFTGQLPSSIVNLSTNLQTLSFADTGISGSLPSAIGNLASLEILRGQNTFITGVIPESIGKLGNLTKLFLFNTNLSEQIPSSIGNLSKMIQLDAHHANLEGSIPASIGKMKNLNILDLSRNRLNGSIPEDIFKLSLLSICLNLSYNSLSGPIPSEVGNLDNLNKLALSGNQLSGEIPDTIGHCTVLQELWLDNNSFTGSIPQSLSNIKGLSVINLSMNSFFGYIPDDIGSIQNLQLVYLAHNNLSGSIPIGLQNLTSLSELDLAFNYLQGEVPKGGIFRNLSHFSITGNNDLCGGIPQLHLSPCHTNFAKMNRKGHLKSLTIALASTGALFFLATIIALIKIIHNKLRRKQKSPFLPQIVEEQYERVSYQKLANGTNGFSEDNLIGKGSFGAVYKCTFQEQGTTTAVKVFNIEHSSSAKSFVAECEVLRMVRHRCLIKIITCCSSIDHQGQDFKALVFEFMPNGSLSDWLYPKSSMPTLGDTLNLEQRLDIAVDIVDALDYLHNQCQPPIVHCDIKPSNILLAEDMSSRVGDFGISRILPESASKTLLNSNSTIGIRGSIGYIAPGN >Et_5B_045337.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:18853408:18855588:1 gene:Et_5B_045337 transcript:Et_5B_045337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARRRSTPYSIAITAAATLFVLHLLTATVSAASPPQEQDRWALLALRNGLTFGSGEPLRHWSLESGANHCSWPGVTCDARSGRVVALSLPARPGRRLAGELSPAVGRLTELKALSFPSRGIRGEVPQEVWRLQHLEVLNLAGNSLRGSLPATFPEGLKILNLSGNRLSGSIPPALGRCAALRRLRLSSNLLSGAIPPQIGRLADLQVLDLSGNKLIGGVPPELRHCRDLVKMDLSGNFLHGQVPSGLTELKHLEFLSLAGNNFSGEVPSGLGQLRSLKFLNLSGNSLSGDVLIDLAALQNLTVLLLDTNKLSEEVPVTAAATAVSSVLVGNFSPVTDATMDVNPLAEHAELFTVSSTLTSRRVLAEANSTSSSGGLGTTEIAAIASASALMVILLVAVTLCICLRKCTLKPSRGSFRRREVKIFDNVDIGAPLTYETVVRATGNFTSSNCIGSGGFGSTYRAEIAPGVIVAIKRLTIGKQHGDKEFQAEVRILGQCRHPNLVTLLGYHISDAEMFLIYNYLPGGNLERFIQERTKRPISWRKIHKIAMDIARALSHMHDECVPRILHRDVKPNNILLDNECNAYLSDFGLARLLRNSETHATTDVAGTFGYVAPEYAMTCRVSDKADVYSFGVVLLELISDKKALDPSFSPYGNGFNIVTWAVRLIQRSRVREFFIEGLWDKAPHDDLVQFLNLAVQCTGESVSSRPTMKHVLRRLRELRPTY >Et_1B_010699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13132880:13136585:1 gene:Et_1B_010699 transcript:Et_1B_010699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKQFHLFLSIHYTKPMDFLGSRLPKEGEMQGEQTPMMHQSLLAMVNPVSSPIYGAPSAPMSAPVFPSGSRFGAGASALLGGRQGVDMDFMFSKRLTKSDVGKLNRLLIPRQEAERFFPMALRSMGGDAASFLIFEDSTGVIWHFRYTFWQSSKTYVLTKGWIRFVKEKKLSDGDTIFFYQRAGEDSKTMHRYIYFKKQDEVSVPHHVPPPFGTLNDNWLRKAFISSSHYTTIGATPANFPILPPSFVPQVLALGSGLGPAKKRLRLFGVELNIPPHITTDKAFPHQREATT >Et_1B_011114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17733289:17738410:-1 gene:Et_1B_011114 transcript:Et_1B_011114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSARAALTVDSLNPKVLALVDHLGDRITRRAQIIYCNFSNPQSMGQQPINFFREVLALCDYPYLLKQSKTSSIFSAEAIIRARDVLDRIPGKATGGYRLRDAIAAGIASRDGFLCNPEDIFLTDGAAPPVHMMMHVLIRDEKDGILCPIPSHSLYTSSLILRGATLVPYYLDESRGWAVSMPELKQKLDGARSKGVSVRGLVVVNPGNPTGHVYQENIYTCEKKFISFKKIARSMGYGEGDIFIPVLMVGDESYASYQAERDNIISSFSHCAEAMMCAFNRLEGVTCTKVEGGMFLFPSISLPEKAIAGAEERNTKPDVYYALRLLEATGIVVVPGSVFRQLPGTWHFRCTLPPQEKMSLIISHFTSFHEAFMEEFCD >Et_7B_053508.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3689919:3690059:-1 gene:Et_7B_053508 transcript:Et_7B_053508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KARSCAVHTERTILSPFTKEYRVCAANSSIRQFLEGILLIGVPPQN >Et_1A_007156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31384669:31391284:-1 gene:Et_1A_007156 transcript:Et_1A_007156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAESAEVERLYELGERLSSAKDKSEHAADYEAIIAAVKGQSVKAKQLAAQLIPRFFRSFPALATRAMEAMFDLVEMEELPIRVQAIRGFPLLGKDAEFVLKIADILGQLLTCEENVERDAVHKALMSLIRQDVKNSLPSLFKHVESGSEFREKVIFFLRDKVFPVKAELLKPQAEMERYITDLIKNSVQDVTGSEFKLFMDFLRSLSIFGDSAPRESFQELLEVIQAQADLDAQFNVSDIDHIERLISCMYMANPLFMRGASSSKFLNYFVKQIVPVFDKLPEEKKLDLLKTVASSSPYATAQDSRLLLPTVVQLLKKYMPGKKVEDINHNYVECLLYTFHHFAHKTPNTTNSLCGYKIVTGQPSDRLGEDFSEHYKDFTERLTGTEETVRAASKRLTQGMADFNKAISSAKTEEEKTKIPLHGKSPSFIGDKRITLSWDEQPKKPAAVTAGSKRPQPSTNGNGPAKKGRGGMQNQLVNRAFEGLSRGGGRGSGRGGRGRGGRGRGWSYR >Et_1A_008167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4921181:4922239:-1 gene:Et_1A_008167 transcript:Et_1A_008167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPVAYKKATAMLDEAARARLRGPFFSDTAPSSAPPGRADAEDDLVDLVDEFYTGFDQHGTDAVVASKDVTASRASEWKETLRATLQDAAADATAARIRAEAERVVRDGAPAGNGGVGFRKRLVERLRARGFNAGLCRSSWEKSGSVPAPGAHEYVDVTTGPSPSSSPRYIVEVNVVSEFDIARPSAEYRGLLRSLPPVLVATPDAFERVIPSAARACTWRRGGARRTCRPSGRDIAVTPVDTAVTRQEALTPVATARQEAGARAVVRTPRPVGLKYCGMEMGRWELAMGREALISVRPLFRGM >Et_1B_011479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22786333:22787068:-1 gene:Et_1B_011479 transcript:Et_1B_011479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRTKPNNSSLSEASNHKEPSTTTRRATSAPRVSRLAKPASAGGPSKPGDRAPSPLHHGSSIQLDKSSASIDLPARPAPERRSFKAPGAAGRANADVIREGHAKS >Et_5B_043365.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8468982:8469047:-1 gene:Et_5B_043365 transcript:Et_5B_043365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDHTGFGVGHNSKSVTKIRS >Et_4B_039838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3589291:3599920:1 gene:Et_4B_039838 transcript:Et_4B_039838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATRSRNCLTQLFRRQDQGQWQCRCPYQLAPSRGMTRSRSVKERSKKKRVHALEVATERWKVLSKVLTVVDALKKEEEHVTPLKRLEILRPQLGLTKPHKVAHFIRRSPHLFEVCRDSRGVMWAGLSPQAEDLVEEEARLLDEHAPMAAQYVTRMLMMSVDRRLPVDKIAHFRRDMGLPHDFRTRWVHMFTELFRVVRLEDGDYLQLVSWNPDWAITELEKKAAALAGNANVKSNPGTPGELSLPFPMKFPPNFTSYYKFRGKVHHYVKTGNTEQFQKITYLSPYAEAKGLTPGTPEFDKRAVAVMHEILSFMLERRLVTDHLTHFRREFVMPQKLMRLLLKHNGVFYVSERGKRLSVFLTEAYDGTELIEKCPLVRWREKVLQLTGYRGRIKNLGKLGAPSDSEDYFLGVDGANSDDEDELDVESEHSDDILDDPSISDGSEIDLGEDKFHVQKRSAMQDNIWINPPSGPSNQASNRDSSSAETVAAVPLLQ >Et_4A_035076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9203432:9206342:-1 gene:Et_4A_035076 transcript:Et_4A_035076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPPPPRLLLHAFFLAAISCPLGSAAGIAGLGIGPDSNGASFGPRRLLQIGGGNEEGLFVFIHKSRSHRRAPVRAPAPVSAPAPSPFVSAPEGSPSPSPHASGHMPRHRSPSHHHPSAPPPHLVRPRPTTGQTEHDGSAEPPAHSSHKHSGTTYGLVGAGIVVFLLVSAAGVFFCRAKKMGTVKPWATGLSGQLQKAFVTGVPALKRSELEVACEDFSNIIGSTSSCMLYKGTLSSGVEIAVASSLVTSTKDWSKESESQFRRKITNLSRVSHKNFMNLLGYCEDAHPFTRVMVFEYAPNGTLFEYLHIREAEKLDWMTRLRISMGIAYCLEHMHQLKTPVVPRNFDSTTIYLTDDFAAKVSDLEFWSDAKGPDSTDGDLENIVHKYGIVLLEILTGRVPCSEEDGPLEQWASSYFNGEMRLAELIDPSIGSFPEDAARALCEVVRSCIDPDPKKRPQMAEVAARMREITALGPDGATPKVSPLWWAELEIMSSEN >Et_4A_035558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29093876:29097895:1 gene:Et_4A_035558 transcript:Et_4A_035558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAMPSSEASSSPSRRGSLRGPRPQPLKVTSSSSRGSSPKASKPSTRKAGASPVIVYEHTPKVVHARPQEFMTVVQRLTGRPSPAPAPTAATSSSMPYAAAGGEEGGDPLLLTLGQRQAAPAAPAIPSPMAAGMVMSPNFIFSPNTMQSIQELSPLSYHLCGLGKRRQGLNIVDLCRSSRRPRKVVDRPKIPNGEKQPAGAAVFFTMAFCSATISQHSRRAISLAITSCALSARSAASSLRIAILSASSASTLAYNPPAFPSPTYSVTTAATAFIVLEHETSTVYSNSFSTGDDGSASSCSSLLLPSTTMAFTSMPVLASPAFRSASSATAISICSNSSPSSSSTSSSSSSENESGSMPWDRRREWYEAARSESEASSSWTWRSWSARPSAYRRNWRASICAVAFSRCSRSIMSIASLVAVSAEARSASSSSCSARAASSAASFSPRSRAAAASSSATRRISSSAASSPPHGAFGDSSGELAGGRRFNDRRDGDGSATATGSMEAYLDTPGSRLPSSDPAAVGRRRLVRRLRARAHGTAAR >Et_2A_018695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5274650:5274939:1 gene:Et_2A_018695 transcript:Et_2A_018695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYYRTFDVCCVDEIDWSHFQLNGQAPISSTDKKIAPDAESGIEYPKPRRNAIEAGFDGVKIHDAHGYLLE >Et_2A_015730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17316657:17320493:1 gene:Et_2A_015730 transcript:Et_2A_015730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALTLRRVILASAVLRLGLVVFGEWQDAHLEVRYTDVDYLVFSDAAASVAASGSPFARATYRYSPLLAYLLLPNSLLHAAWGKLIFSAADLLVGLFIDTILKLRGIPEKMRLWSVVAWLFNPFTFTIGTRGNCEPIVCAVILWILICLMKGRVLQAAFWYGLIVHFRIYPIIYAIPFVVVLGKSNAGLSGRPTLTLWSTEPHLVSEVHQREESTSFVATLWDFLSSFLTRNAILFGLLSGSMFFAWTGVFFYLYGWDFLNEALLYHLTRTDPRHNFSIYFYHIYLHHQQGFSSIQRLASFLPQVIVQLALIIRFSRDLPFCMFLQTVAFVAFNKVMTAQYFVWFFCLLPLILPWTSMKLKWRGLACILLWMGSQLHWLMWAYLLEFKGRNIFVQLWVSGLVFLCANTFVMIMMMKHHKYTPLFSSPVKTGSKIAYKKE >Et_2B_022197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12415048:12419202:-1 gene:Et_2B_022197 transcript:Et_2B_022197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATASVAAPPRWQDLPPDVVREISGHLHTTIDFVRFHAVCKPWRNTFDRASRHPPRLLPWLLAPCATADDLADQHCRCVFSGTSYRAPGICVRDRRVACADGTAAWLVRGGDEHGLVNPLTADRLPVSLGDSCNEYKWQDCTHRVVSRDGTVLLYGFAPPMYFRREYSLTVFTLKPGDKYWHHAGLYTGTDRCCAVAYHDNVAVYLTLDCCYIIRPFREAPLPEDPAGKVRFRRYLVEFLGELLLVSVLSEEVWTDGEMTGVLSVSLHALDLKAETEEEAPAAWVTRDVVSLLGDHVLFLGFPSSFAVEATRFSGEVTGGTAYFVVEPGVDGPCDVYMHDFRLGETTVVETLPPEWKNERCMWFLPQPDMAPIETAMHQERAPATSSGTNGGQLRIYVGDLPPDVDNSRLREMFSKYGKVASAKIAYDKRGRSRGFGFVTMATQDGFDNAIAALAVKEPEQGGTACTKLIHFYTL >Et_7A_050826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11373562:11374633:1 gene:Et_7A_050826 transcript:Et_7A_050826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEIPVIDLRLAEESARLRDACERLGCFRVPGHGVPGELQAEMKAAVRALFDLPDDAKRRNADIIAGSGYVAPSPANPLYEAFGLLDAAAPADVDAFCARLDAPPRVRETVKSYAERMHGLIVDVAGKVASSLGLEEHAFQDWPCQFRMNRYNYTRDTVGSPGVQVHTDSGFLTLLQEDESVGGLEVLDPAAGEFVPVDPVAGSFIVNIGDVGTAWSNGRLHNVKHRVQCVAPVPRISIAMFLLAPKDDRVSAPEAFVDADHPRRFREFKYDDYRRLRLSTGERAGEALARLAA >Et_4A_033402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22341945:22344442:1 gene:Et_4A_033402 transcript:Et_4A_033402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSLFMQWAMNTLHHEQPAPAAVVDDDCGEATFPSLQALREASHAAEMVQELIGDARPTNSWSSSGDGDTTDGSSAGNNLPAAVMNHDVWPASPNSARRPFRSRSGGAAAGTNPPVSWNFSAASAQPAGGDGGMLPEIPATRSGALPDLVQYGSPPTRRAGLKSPGSMATPYAQDHIIAERKRREKINQRFIELSTVIPGLKKMDKATILSDATRYVKELQEKLKDMEAAGGGNGRSVETVVVVKRACLHGATAAAPNDEDGSSLSASSDTPGASKQLPEIEARFSDKSVMVRIHCENGKSVAVKVLAELEELHLGIVHANVEEGFTVTAEEIVGRLSSVLLPVDSSCNSSEETGN >Et_8B_060179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6926829:6930726:1 gene:Et_8B_060179 transcript:Et_8B_060179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENPKFPQTSYHQSLPSFIVGVDGGAGLRVLIFTCPLELVLVEESLFVACYQMEEMYMGSGLPDSTIMAPLADEALSPRSAELREHEEYLKEHTFDTIEDLFEFLCNSQRAARALNQAASEQSSLEKFESQPMLEPDQTRPVLAPEEARQVLTHEEARPVLAQGQYSEGSKEEIAQAGKKWMIEEVMMAFRKYHERKDGLKECDFKFDKLCNQCFSEENYDHIFHHFNFTVKMKTVGSTDWTSVLYFAEVKEIFGQKVYFCCPLESEENEQYFHFVLVCAESIFPFMYDSYDDDDDDDDDEVEEYDEVWRMRMRAARVMYQQATGFRICKVIVRLELGLAINMTHQ >Et_5A_042647.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:2471239:2472591:1 gene:Et_5A_042647 transcript:Et_5A_042647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFKSILAEPDPEPASPPPEREREPEADAAGSASSPPAAPAAGGWGFGGLLKTLTSQSETVLEAYRRDLAEFGTGLRRETEVLRDAAARAARDLPSSAHALDGLADIVAQGKDALSQVAAAAAAPSSSALHSDGGESESSSAPGRARYSRFEAQLRALQADPATFTADPEDAEDFAAWCKGFSLGEKEEEIDALCYESDALEAMVDRLVPDAVESEVFWARYFYRVHKLKQQEDARVKLVNRVIAQEEDEDLSWDVDDEDEEEEEQQKEEPEQEIKHGNEKVEAVEKSKVEAVEEAAVVEKEHKNADESQPVVSGSSSVLVDEEEKGGLNEKKDADEPQPMVLGSSVVIVDEEEKEEPSKSNVEESGDKKGAKHETSDSSKDSDYSIVSRQRTATEDEDLEWDEIEDLGEHEEKKDSTHGSTPAQKEELRKRLSVADDDEDLSWDIEDD >Et_4B_037043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1411223:1411546:1 gene:Et_4B_037043 transcript:Et_4B_037043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRKLFSALFVVLLLLVATEVGPVMVAEARTCESQSHKYKGPCVRKANCANVCRTEGFSGGHCRGFRRRCFCTKHCR >Et_5B_044408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23907886:23910859:1 gene:Et_5B_044408 transcript:Et_5B_044408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYAFVARGTVVLAEYTEFTGNFTTIAAQCLQKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQIPIAFLDRVKEDFTKRYGGGKAATAAANSLNREFGSKLKEHMQYCVDHPEEVSKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCH >Et_1A_005220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33755503:33756771:-1 gene:Et_1A_005220 transcript:Et_1A_005220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSEWANLPTELIQDIAALLLSADVSEYLRIRSVCKPWRQCTDDPRSCGARLDPRFRPRDWIALSHCASPSRRHLVNISTGAQADVDCPELCSHHCFGIADGLLVLCDKATRNVRLLNPLTNGLAHFPAITDALFQNSEPRTGEDTTIVVTTRKVHVPDPSAINGAGIDDSTSPPTLVLALRHRLWRIVCAKPGDLHWVSVHFGDQRVPMYNYNGQIDFHTLLSFRGRCYVSTHRGDVMVVDLRGPRMVNLSKETALGSNTTAYSYLVRSPDRRMLMVRFLARVNLEEDHYEPSELFTSPEGARSRMEVFEVDVAGRRLTPLSGLGNFTAFVGMTYTVMVTSNKFPKLSANAVYLNHHLQHWRRLGCYHFEDKTITPPRGLRPNAHGRFFLCACHWELADYLICDVDRRW >Et_6A_046269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11790003:11792301:1 gene:Et_6A_046269 transcript:Et_6A_046269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPGITERLRSKTVLITGATGFIAKLLIEKILRLQPSVKRLYLLVRANDQVSAEKRVRSEILQHQIFQSLQEKYETQSSSWFWAKVSPMPGDVSLKNLGIENVDLAEHIAKETDIIIHLAASVNFRERYDTALAINTMGVKHVIEFASSCPKLELVLLVSTAFVNVDKTGILQEKPLHQYRSYDGLSELDISEELAYAEAMLKELVRSGASKDVIRSNMKKIGTERASKFGWWNTYTFTKAMGEMLAYEHISRLPIAIVRPSAVISPWKEPLPGWVEGISTLDIWIANYAKGYMKFVVGDVTGPIDIVPADVVVNAMLCIVSRHPQRPLDFIYHVCSSTRNPIKMAEFVRVMYRYFLEKPFVNEEGDVVLAQELNVQPGMVSFYEVMDTHYKMPLQDMLRRGLPTADDQNRYNRLRREYIITMAISESYHPVALSTARFDDSNMQYLIATMSEREGELIPCDMKFINMEKYLTEIHIPSVVEFISREAKRARL >Et_10A_002326.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:9084811:9086184:1 gene:Et_10A_002326 transcript:Et_10A_002326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLPLTVAVLVLILMPAPLVLSASVGPQVLRYITRARPGRIFLRSALKGAWRGFKDYIKSLPGGQETDDGNDDNSADTAGRFIFNLSVGTSTPQNIPGVLDITSQLVWSQCAPCTACVPPPAPSFQPKRSATFSRLPCRSQTCQRVVVNETCPAANATPTDDYCKYFTSYDDDTNTTGYLANDTFTFDSTQVPGMVFGCSVASVGDFFGASGVFGFSKGPLSLVSQLHLSWFSYFWASDDSGRDSFIQLGDDKVTPTPTSRSTPLLNSSLYPDLYVVKITGIKVGDKLQRDIPAGTFDLRANGSGGVFLSTTVPVTFLEEAACNVVRNSLASGIKAQPVNGSALGLDLCYTIKSMAKLKVPKLALVFDGNDAVMNLKRSNYFFRDNTTGLDCLSILPSRGLSLLGSLLQTDRNMTYDIANAQLIFEPVPAAAPPVHSMASSLLMALPLAVWMVLL >Et_4B_036050.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:17264157:17264948:-1 gene:Et_4B_036050 transcript:Et_4B_036050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHILLLEHQYSSLKHCNPSVAKFRSVNSSHIVIAISTIDSLALFIFLSMHISLSSTLSARLLAVGSFVMTKKVLFVLFNIIFLFLAADYCCFTISASPSDVAACEPGDGQAEQAQHHQEADQCATQPFVSYSVRSPHHKSQSSVPDNCTHPGKENNVENRSNLGMSDDTTPFERLDEDAPVSSKLDLVMLDEEADSITLETVVIAEPTCRTTPELEKLHIDELNKKFEEFIRSKRIKWVEEQEASLQWQEKGDKKSAAVLTC >Et_2B_021514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30169109:30181191:-1 gene:Et_2B_021514 transcript:Et_2B_021514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFTLITELRELIAASSSTASASAPASVHLEVKLREVLPNLLRDYVVPSPNVLAASDREVTAVLKLVAYTALKFPGVFYQGCAADVISVIGRILPFLAEPNFRSRHELIFNAVWNLLSVLRTGDREAYRQFFLDAMVAVEDVLDVASMHDESPNGVPPGRLLVKCLCGSFSDILNSPGIFSELPASCQPKNGPGVLVDLTGHARWRPFATSLIKLVNKCLTDGTLYVDGLVNVPFVYATCSILCYGDESLHKVCFDFARIVATVMTVDILPVVDLIRSITCILSQDVTGLSDFRDADYDFSMGACLRALHSSCPDYIVESTAADIVNVFERAVNTSKSAELQVAMYTAYERIIELCSPQVWKPEILLKLLCLPKPCNKLIECIRLVFDKFGQIFFTLDDGDGQSSPLAKSEEFDLPKVGQKRTVQDEKNIFVKRQKINESRFSSGIGRELEKDSGYALRLSLNSLIKGLSPDIHEARPLNPETAIDVLSLLCISLRFYPKTVLFTRISKQVLSWLSWIHTQTTKRNLLSFDVSLYLEALHTVMLLQFCLPGQTKLYEDELIRDSTDFLNPVCADLVSLLKLMWDDGHVSHACSDHNIKCLLIQVVSKIGNRLNAGSDLEVLDLAIHSGIAEIQNEALMSLPIIVMYSGPSMLGAVFNKLESVCALGLENLGKSIAFSLGFLSCLNETTDCTDSIGTHCKLFLDKCSNQPVSTLDLLLRGFWCPQCDFSNRTVHSEEQISIMDIAPLQVENVDFNINISRAHSLFFKLLYAEISEEFIVSIVQVLPRILRHSSRQVLLEMRIQWVRCVDFLLLHGMKAAREAFSGVVCCFLEKNVMAILFSDGHGNGGTKELNFMDKVKVAFSEAEDPHIVLTLLESVGTIMKVSDVHGKVFFSSFILLIGQIDSSNSIIRMTTLRLIQRCCTYCFKGGLDLFLSKYLHFRDDLYNYLSSRLVTRPIIINEFAEDVVGIKTEELIKRMVPSVIPKLIVSHPKNEEAVITLRELASHLNTELVSLIVNLLPKVLCFALFYEDGKHLPSVLQFYKNETGTDSKEIFAAALPTLLDEIVCFPVESDQTETDARTARIAPTIRNIAKILTGNDTLPEFLRNDFVRLLNSIDKKMLHSDDVKIQKQSLQRIRKLVEMMGPYLSTHAPKIMVLLIFAIDKESLQMDGLDVLHFFIKQLAEVSPNSIKYVMSQVVAAFIPSLERCRGCPSLHLSKIVEILEELVVKNSSLLKQHIRELPVLPSLPSLSEVNKIIQEARGLMTLQDHLKDAVGGLNHESFNVRYMVACELSKLFNARREDITALIVGEDTADLDIISSLIMALLKGCAEQSRTVVGQRLKLVCADCLGALGAVDPAKLKVVSSERFKIECSDDDLIFELIHKHLARAFRAASDTTVQDSAALAIQELLKLAGCQSSLNEGNMKGQKLWGRFSNYVKEIIAPCLTSRFHLPNTTDSTLVSPIYRPTMSFRRWIYYWIRKLTSHATGPRSDIFSACRGIVRHDMPTAIYLLPYMVLNVVCYGIPEARQSITEEILCVLNAAASESSGAAVHGISGGQSEVCIQAIFTLLDNLGQWVDDLKQEIALSQSNYAMAGKHGGKLKDETYSGYGQDQMLVQCSNVAELLAAIPKVTLARASLRCQAHARALMYFESHVREKSGSSNPAAECSGSFLDDDISFLMEIYGGLDEPDGLLGLANLRKSSCLQDQLIINEKAGNWAEVLTLCEQALQMEPTSVHRHSNVLNCLLNMCHLQAMIAHVDGLVCSIPQYKKTWCMQGVQAAWRLGRWDLMDEYLTGTEKGLVFSGSDNNASFDMDLAKIFKAMMIKDQYMVAEKIAQSKQSLLVPLAAAGMDSYMRAYPYVVKLHMLRELEDFNSLLGDESFLDKSFSADDPMFLKLTEDWDNRLRCTQPSLWTREPLLALRRMVFSQSHMNGQVGNCWLHYAKLCRLAGHYETAHLAILEADASGAPNAHMEKAKYLWNIRKFDSAIAELQQTLLNMPAEVLGTDVLSSLCSLSLALPNAPISATQASTENPDVSKTLLLYTRWIHYTGQKQSADIKSLYKRVTDLRPKWEKGFFCMAKFLDDLLVDARKRQEDEKFAGGVGPVHPSSSSSVSRAAEGKEKPWWDGIPLVIHSYARALHKGHKNLFQALPRMLTLWFEFGSIYIREGSSDKPMKDTHAKVSGLMRGCLKDLPTYQWLTVLSQLISRICHGNADVVRIVKGIVTYILREYPQQALWMMAAVSKSTVPARRDAAAEILQAAKKGCRRGNENSALFVQFPSLIEHLIKLCFHPGQPKARTVNISTEFSSLKRMMPLGVILPVQQALTVSLPSYDRNMADQSGFHPFSVSEHPTIAGIADDAEILSSLQKPKKVVFLGSDGVARPFLCKPKDDLRKDSRMMEFNAMINRLLSKVPKSRRRKLYIRTFAVVPLTEDCGMVEWVPNTRGLRHILQDIYITCGKFDKMKTNPLIKKIYDVYHGKLPDDEMLKTKILPMFPPVFHKWFLTTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDRGLLLDKPEVVPFRLTQNMIDGLGITGYEGVFLKVCEITLSVLRTHKETLMTVLETFIHDPLVEWTKSHKSSGGEVQNPHAKRAITNIEARLQGVLVGVKASPSLPLSVEGQARRLIAEAVSLSNLGKMYIWWMPWF >Et_8A_057963.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:10135946:10136203:1 gene:Et_8A_057963 transcript:Et_8A_057963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGAQPKGAFTATTYTSAPAADGGAQESRQTPRTELRSGEDERGMPVKKLEDKVEDAAGKGGPVFGAGKEEGKPDLGVTGTG >Et_7A_050837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11413850:11422222:-1 gene:Et_7A_050837 transcript:Et_7A_050837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCLLAVAAIVAAALLTAPHASGYPWPTCGTTNIFAPNSTFQANLNRLAATLPGNASASPNLYATAVVGAVPEQVWGMALCRGDANATACLSCLTQAFRDVQSDCPLYRDATIYYDPCTLHYSDVHTLGGGDDTGPTTDDTGVTNLRNVTSDPTRFNALLAQLLNATADYAALNSTRRFATGEADFFDKNFPKVYSLAQCPPDQPPAQCQKCLAGIIALGLPRFQDSIGGRVLWVNCTCRFETAPFFHGPVMVRLASQSSEPPAPVETPMTGGGGRNHGRRAVFVIALVASITVFCAMMVGCILLLARIQKKGRPRAHNRNSSKTQDALKLWRIEESGSGKLGGGIEIAVKRLAAHSVQGLVEFKNEIQLIAKLQHKNLVRLVGCCVEEEEKMLIYEYMPNGSLDFFIFDPSRASLLDWNKRLHIIEGIVQGLLYLHKHSRVRIIHRDLKASNILLDIDLNPKISDFGMARIFSSNTTEANTTRVVGTYGYMAPEYASEGIFSVRSDVFSFGVLLLEIVSGKRNSHNQYYGDFINLLGYAWQLWNEGRVDKLMDPTLCNCTNTASIMRCVKVALLCVQENAMDRPTMTEVVVMLGSDGASLKDPRQPPHFHLRVNDDSGGDNRSEAEILHTRAAARLGRGGGGGGGGGIEFRLIVIALLVPRTASYPWPLCGNNSFTANSKYQANLNLLAATLPGNASTSPSNLFASAGAGTGQDRVWAAGLCRGDVSATDCFSCLTQAFQDLPNDCSYSEDATIYYDPCMLRYSNTSVLSAADNDTSGTPAWIVFGTNVTSHPAKFNRVIAALINATADYAALNSTRRFATGEAGFDHEVPMLYAVAQCTPDQTPAQCRSCLAGIIADNLGAFENAVGGRLLWLDCNFRYDATPFFNGPAMVQLASKSSPVPAHAPTVQPAVGMPVAAGGGGRSRRGRKVLAIFPAASLSVFFVVLLGCVLYLTRKRRADGKSGQRRAQNRKSSSKTEEALKLWRMEESGSEFTLYDFTELAAATGNFSVPNRLGRGGFGPVYKASS >Et_7B_054384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20738392:20747883:1 gene:Et_7B_054384 transcript:Et_7B_054384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREGVPVNVSEPVSIMLMSQNRHVRGKDSCVVDDRSLPRSSTMPGVIKNDEITNEPPGPSNLERSRTERRRQNNPADDPTKQLFDEKIPIKKKLKMLNRIATVKDDGTVVVDVPSGLEPATAGVATEDGYGEIAIEESLDGTDVPYRPPMQIVILIVGTRGDVQPFVAIGKRLQDYGHRVRLATHANFKEFVLTAGLEFYPLGGDPKILAEYMVKNKGFLPSGPSEIAIQRKQIKEIIFSLLPACKDADPDTGIPFKVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTSTSEFPHPLSRVKQSAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGSQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYVPPESLVKWLEAGDKPIYIGFGSLPVQEPQKMTEIIVKALEITGQRGIINKGWGGLGTLAEPKDFVYLLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGLGPAPIPVDQFGLQKLVDAIKFMMEPEVKEKAVELAKAMESEDGVTGAVRAFLRHLPSKTEEKTQPQSSGFLEFLGPMSRCLGCS >Et_1A_008869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16867965:16868543:1 gene:Et_1A_008869 transcript:Et_1A_008869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTKLVALGFIVLMSMGLANAVRVARYSSADGTGTGGGGGGGYVNGGGSGSGSGTGSGMSGTNGAHANAGGGGGGGGTSQYGGSGYGQGSGSGSGSSQHSEGKYYGVGDSSSAGGTGGGGGGGQAGGASANGNGGGKGTGQYGGSGGGNGAGSGYGDARP >Et_5B_044784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5755981:5759720:-1 gene:Et_5B_044784 transcript:Et_5B_044784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGIPHSLLFVTLFLLAQITHSAPVPEPKNHADHISTYIVHADPLAKPSLFTTHEHWYTSMVATHSPRAAASSTRLLYTYDTVMHGFAVQLTGGEARRLSNAAGVTGVHEDRALQLLTTRSPEFLGLDPGFGAWKDTDFGDGVIIGVVDTGIWPESPSFNDRGLGPVRPSWKGKCVDADDFDTSLCNNKLVGAKAFDAGKKAMGRSKSSGVPSPRDMDGHGTYCSSMAAGSEVRNVGIGVFARGTAKGVAPKARIAMYGVPAEDQPVSDVVAAMDAAVKDGVDILSISLGDLHASPFHDDPMAIAAFGAERRGVFMVLAGGNWGPTASSLVNVAPWMTNVGAGTLDRVVSGESEACERCSGQSLYAMKSSGIAMAPLVSVFCNKDHLTREKIMGKIVVCVSGGASETEVRNLGGVGIIVMQRFIWYRDDLEADSFSIPGILLSRTGSDRPMSYMASMTYPVGAFIFTCETVIGENRAPTVVYFSLRGPNPVVPELLKPDVIAPGQNIVAAWQGSYDMASGTSVSCPHVAGVAALIKKKHGEWTPAMIRSALMTTAATLDNTGRDILDNAVGADAGRGATAATPFAAGAGHVHPQLAIDPGLVYDAGTRDYVDFLCALNYTTEQLRLFAPDMATCTTATLPGDLNYPSFVVVFHGRAGDIRTLTRTVTKVSEQAEMYNVTVVAPRHVKVTVTPATLEFTKQYEKKTYSKLAAGNAIAGWDFGHISWENEEHRVRSPELHHGADAPVRASHGHVPENLRQAPENVKVTVRPTTLEFKKLNERKSYTAKFKSLVGGNVTAGWGSGAHNMGEQG >Et_9B_065245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2743885:2751872:-1 gene:Et_9B_065245 transcript:Et_9B_065245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGADGSDVSADGLIAELLDMGFEFDDIAAAIAAVGPRRAEVLELVLGGSGAEQARRGGRLAGSSAPPRARPQPAGKGARVGNPRGRFKQSSITDILASGAGTRKDSGREASTSLPCSMAPSDSKVPVGVDADSKPGSELQSLVENSSGEWNHRDKISAVLQKHFGFSCLKKFQMEALDAWFAHRDCLVLAATGSGKSLCFQIPALLTTKIVVVISPLISLMHDQCLKLAKHRISACFLGSGQPDSRVEGKAMAGMYKIIYVCPETVLRLMEPLKKLAEKPGIALFAIDEVHCVSKWGHDFRPDYRKLSVLRDNFSPSKLKFLTHNIPLMALTATATVPVREDIVKSLKMSDGTAVVLTSFFRPNLRFTVKYSKTSASSYRKDFQQLIETYNASSNNKGKGQKVLHKIDPYSESSSDESVSGSGSDDEDENVDSVSYGDKNVGKTRTSMDLVKENTESELDMYPGVDDFDVSCGEFLECPHPENSTLPKESSEPSSSECLDKGPTIIYVPTRKETVELSSYLCKSGLRAAAYNAKMPKSHLRQVHQQFHCNELQVVVATIAFGMGIDKSNVRRIVHYGFPQSLEAYYQEAGRAGRDGKLSDCILYCNFLRAPALLPNKRSEEQTNVANRMLRDCFHYALNTSTCRAKILVKYFGEKFGPDGCRVCDICIDGPPQMHDFKEEAVVFMNTLQGQVGHETENMYHNNGPSYRSASRRFGEAPNFRMIVSHIREKFPKFAATDKIWWQGLAHILESMGYIREAAETPRVSIQYPESTEDGLKFLSSQSEESLYAYPDAAMLLAVNDPRPFSTFSEWGRGWADPDIRRQRLAGRKTGRRKRKRRSRQQPTGFTTARERLNAILSKRRR >Et_1A_007460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34824650:34827592:-1 gene:Et_1A_007460 transcript:Et_1A_007460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMPPPLSLLCSSVFLLLLLCRPLVANGRSLLLEASPPSSPGTSPGPVNGSATSSNGGAAVLPAVAAPPPVVIIVVEQHHHLRRELITAIVLSSVAGVTVLLAAVYACILWRRSREALDFKDTQSSDTARIAFVPMLNSFNSFKSSRKGAPAMMDYTSLEAATGKFSESNVLGVGGFGSVYKANFDGGAVGAVKRLGGGGQECEKEFENELDLLGRIRHPNIVTLTGFCIHEEDRFIVYDLMENGSLEAQLHGPSHGSALSWYIRMKIALDTARGLEYLHEHCTPPIIHRDLKSSNILLDSDFNAKISDFGLAVTSGNHNKGNLKLSGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLLGRKPVEKTTESQCQSIVTWAMPQLTDRSKLPNIIDPMIKNTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPMELGGTLRVGPESPYATQKHSPC >Et_9B_064772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18476819:18478850:-1 gene:Et_9B_064772 transcript:Et_9B_064772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQIGGGKVAEPRARVDLSPTAVADKARASSRKKNRHKKKASAAASPAPVLPMQRLFDTSREVFLESSPGFVPPPDAVARLAGLLNDLTPHDVGIDPCMPCFKHNDSKGPPQVTYLHFYDCSKFSFGIFCLPKSAVIPLHNHPGMTVFSKILFGSMHLKSYDWARTLPDSNSNVLETSDGARLAKVNTDDAFDDSSETIVLYPENGGNLHCFTALTPCAVLDVMGPPYNRADGRDCAYYNEAPYSSLCGGDNQFSWLKEIPSTFEMKGIQMARKFVV >Et_1B_012681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34330675:34337389:-1 gene:Et_1B_012681 transcript:Et_1B_012681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRPPVPEAETATDASRDEAAAEAPAKKKLAMERKKQRKELDKERHRQSAESEAAAAKPQPPPAETAAAAPVCPPPAPVAAGPGLHMNVFRDLASPEASVREAAAQALVAELKYVQKAHEKALRKGEKEAGAGDGPSQMEAEKDDGLENCAPSVRYAIRRLIRGISSSREFARQGFALGLAVVLESIRAISVEAIMKLIPTLLEYSSSMKGPEAKDNLLGRLFGFGSLVRSGRISRQWTRDKSSPIVKDFVSEVVKLGNKKRYLAEPAVAVILDLVRKLPDEAIISEVIEATVVQDWFAGAADAGDPDALFLALKLQERTNVQKEIFGSLLPYPFSPDAFFAKEHLQSVAACFKESAFCLPRIHSLWLVITEMLIREAGSQNDTNTNSGKKHKKNKKASSPEDTNRNLCNFCEVVMEGSLLLSSHDRKHLAFNILLNLLPKLSPSAIQVVLSSKVVHGLMDILSNESSWLYNAGKHFLKELVSVASQDNDRRVAVIVNLQKYSNGRFDCMTKTKVVKELVSKFRSSQDCLCLVQNLMSLFVDEESVTDEPSNQSQTTDDNSEIGLAEDQDSFGEGNADLLKSWVVNTIPFVLKNLKLTSKGNSDSEMAKCIEEKFQVQTEILKFLAVQGLFSASLGTEVTSFELQEKFKWPKTPISTSLRNECIEQLQFLLEDGQKDEALHGASEVKPNDLGSYFMHFINTVCSIPSVSLFRTLSSNDDNAFKQSLAIELMLLREERKAAPGLDSAKMHAMRYLLIQLLLQVLLHPDEFWESAVDVTICCKKSFPAIAQGDNSTGPESNEHGSQDSDEDGSEDPNEEGSLEFMDVLVQTFLSILPHVSGPMCFTIEQARNIHVVEVYSNLMRAFVNSHGADGSKQFKERIGGILQKRIFKERDYPRGNDIEFGKLESLLAKSLRLASRSRFKIVASVAQSATFWILKIISSKDCSEEERAIVVDKFRSMLIDYFNNKNSRLKLGFVKEVVRRHPWIGHELFGFVLQEVGNMQAEYRRTQTLELLDSILKSWIGEDEGASEALMEYLAQLCELIQEVLSNIPENKLRRKEVRRFCTGVLQRVLKLNLKEQFQNTLNSEAYSLCEAQLGPAFAPFKK >Et_8A_056202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14866666:14867409:1 gene:Et_8A_056202 transcript:Et_8A_056202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGEFSIQMKLVHGNSAGTVTSFYLTSGEYPGHDEIDMEFMGNATGQPIVLSTNVWANGDGRKEHQFNLWFDPTADFHTYTIVWNDKFVLFLVDGLLIRAFRRHADLPYPGGKPMQVHATIWDGSWWATQHGKVSVDWSHAPFVVSYRGYAVDACTPMDTDRWMKRCPSHAEWGTIAWAREKYMNYDYCHDAWRFPKGFPPECFRK >Et_1A_007043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30295580:30296074:-1 gene:Et_1A_007043 transcript:Et_1A_007043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGIAPNNHVCNILICAYAKCHMVDQAILIFTKMHQQGLKPDLISYSTVIDGLCKTGRVDDAMSHFTQMIDEGLTPNVIVFNTLIHGFCSIGKWDKAEELLSEMIDKGIQPDVVSFSSLIGVTPSVISYNAGILFTREYE >Et_9B_066026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2133869:2136317:1 gene:Et_9B_066026 transcript:Et_9B_066026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLIPAPVLLAAFVLAATSFVSNAGHGHRSKVASIVTEEVYRSLFLHKDDAACPARGFYDYAAFLRAADRFPEFGGAGNRATRRREVAAFLAQISHETTGGWAAAPDGPFAWGLCFKEEIKPPSNYCDATTARWPCVAGKSYHGRGPIQLSWNFNYGPAGEALGFDGLGQPELVAADAEVAFKTALWFWMTPQPPKPSCHDVMVGRYVPSAEDAKANRTTGFGLTTNIINGGIECGKSNVTQVDDRIGYYKRYCKVLGVKHVGTNLDCAQQKPYVLTKYASDMSSRALQQKQARVRF >Et_4A_035512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27162243:27166709:1 gene:Et_4A_035512 transcript:Et_4A_035512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSNNRGTCSRSSSARSKHSARVVAQTPVDAQLHAEFEGSQRHFDYSSSVGAANRSGATTSTVSAYLQNMQRGRYIQPFGCLLAVHSETFAVLAYSENAAEMLDLTPHAVPTIDQRDALVVGADVRALFRSQSSVALQKAASYGEVNLLNPILVHARTSGKPFYAIMHRVDVGLVIDLEPVNPADVPVTAAGALKSYKLAAKAISRLQSLPSGNLSLLCDVLVREVSELTGYDRVMAYKFHEDEHGEVIAECRRSDLEPYLGLHYPATDIPQASRFLFMKNKVRMICDCSAKPVKIIQDDSLAQPLSLCGSTLRAPHGCHAQYMANMGSVASLVMSVTVNEDEDDDGDTGSDQQPKGRRLWGLVVCHHTSPRFVPFPLRYACEFLLQVFGVQLNKEVELAAQAKERHILRTQTLLCDMLLRDAPVGIFTQTPNVMDLVKCDGAALYYQNRLWVLGSTPSEAEIKNIVAWLLEYHDGSTGLSTDSLVEAGYPGAATLREVVCGMAAIKITSRDFIFWFRSHTAKEIKWGGAKHEPVDTDENGRKMHPRSSFKAFLEVVKWRSVPWEDIEMDAIHSLQLILRDSLQDEDANKINSRSIVKAPSDDMKKIQGLLELRTVTDEMVRLIETATAPILAVDIAGNINGWNNKTSELTGLPVSEAIGRPLVDLVEDDSVDVIKQILNSALQGIEEQNLEIKLKTFHHQECNSPVILMVNSCCSRDLSDKVVGVCFVAQDLTGQRMVMDKYTRIQGDYVAIVKNPSELIPPIFMINDLGSCLEWNEAMQKITGVKREDAIDKLLIGEVFTLHDYGCRVKDHATLTKLSILMNTVISGQDPEKLLFGFFDTDGRHVESLLKANKRTNAEGKITGALCFLHVASPELQHALQVQKMSEQAAANSFKELTYIRQELRNPLNGMQFTRNLLEPSELTEEQRRLFASNVLCQEQLKKIVHDTDLEGIEQCYMEMNTVEFNLEEALNTVLMQGMSISKEKRISIDRDWPVEVSCMYLYGDNLRLQQVLADYLACTLQFTQPAEGPIVLQVIPKKENIGSGMQIAHLEFRIVHPAPGIPEALIQEMFRHSPGVSREGLGLYISQKLVKTMSGTVQYLREADSSSFIVLVEFPVAQLSSKRSKPSTSKF >Et_4A_034622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4928683:4932043:-1 gene:Et_4A_034622 transcript:Et_4A_034622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVPPTLPRSSPASAVAVAGAAKGRRRRVAARLRTPAAALGGGSMEQHCGDAPRIPVKEKLVLSNIGKSTNVLWHNCPIGQSDRQKLLGQKGCVIWITGLSGSGKSTVACALSRELHYRGHLTYVLDGDNLRHGLNRDLSFKTEDRAENIRRVGEVAKLFADAGIICITSLISPYRRDRDACRALLPDSRFIEVFMDLPLEICEARDPKGLYKLARSGKIKGFTGIDDPYEPPLNGEIIIKVIDGECPSPKAMAKQVLWYLEENGYLQP >Et_3A_026483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8664117:8667611:1 gene:Et_3A_026483 transcript:Et_3A_026483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKVTRISEGPVKPASATPDETLPLAWVDRYPTHRGLVESMHIFRSGADAAPGVIRDALAKALVHFYPLAGRIAEGDEPGKPAIRCTADGVYFAEAEANCSLEDVRYLERPLLLPKEDLVPYPGDDRWGVEPHNTIMMMQITKFTCGGFVMGLRFNHASADGMGAAQFINAVGDMARGLPEPKVLPVWDREKFPNPKIKPGPLPELPVLALDYVVLDFPTSYIDDLKKQYKAHSGKFCSGFDVLTAKLWLCRTRALKLEPEAEVKLCFFASVRHLLKLDRGYYGNSIFPVKMSAPSAKVLSSSIMEVVDMIRQAKDRMAVEFFQFAKEETDQDPFQMTFNYESIYVSDWSKLGFSEVDYGFGPPVFAGPLVNNDFIASVVILKAPLPLDGTRMLASCVTKEHSEEFARGMMEDLP >Et_10A_001281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22926951:22928710:-1 gene:Et_10A_001281 transcript:Et_10A_001281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGCAHNVSTTGDHDAAAVHTPMLAGYRRPSSAPLAEQLLDKQEPEEQQSAQYWLPSLWLWCRPKNDDDGQAMVTVDWACVRRTCKTWLKNPMNIALLLWLLCVGVSGGMLVLLLLGLLFPTAPARRNGWIETNNQVLNALFTLMSLYQHPALCHHLFLLCRWRAPQDVLELQGAYCKQPAGSPPRRGERLRFHLGVVVALLHLTVGCQYVLCGLYWGYTKSTRPELLEDGFFVLGVLTPVAAAAYTACSPLGKSDQPPDLFLIRCDGQGVYANAAAAAVVVVVEPEWAGDMFDLAGDPAAAALSTSCTFCVLGWNAERLGFGNRYVHAATFALLCFAPLWVLGVAALHITDYVVGDAVGGAGVALCACGLLYGGYWRIQMRRRFGLPGSTACCGSKSLTDYARWLFCWPCALAQEVRTASLYHVQGDTFYYHSNSSKVVVHGDDDDHEGGRQPLLKCTTASDSDSATTVVAASPPVQVVVAYDEAMVPPPVQALLLQVEDDDRNKSDDGSVVLPHGEIIVQIDSSIPVSVTVREEDESFGEDADQSISSDGSWKVEKVKKLINVVTLVSLLILMYTRGFIR >Et_10A_002062.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:2125111:2126397:-1 gene:Et_10A_002062 transcript:Et_10A_002062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFGAPMNRQRRRTCDKESAVDAPAARVYELPDDILLLMLLRLDSPLWLIRAVSACKRWRRAVVGADGGAAFLRLAQSLHPPTVAGSYNLKIGAAAKVIFTHSSAPPRPGRPGLGLGLSVRNRFSLDFLPITDWSDCWVADSHGGLVLVLFKRNHLAQPGLLVCDPLTRRYQGASDPPVEACSYSFADAFLLDGEDGHISMSSFRVLYRFWFYTGQKLCVFSSSDGGEWRELRRWSPGDDLDCCCYGHLAGRVDGSLYLGLTTRSVIVFDNASLEFSKVDLPIRAKKYDYGKLSTFRVVHGAGDYSSASPPTSRIVHIYGIDLQVFRRVDVSGEWMLEHSIISLSCYLEKSLQGAAEIVAVGTRFFVLSVWDENKRRWFFSVDVETMEPAMLPDHLNYHYQNTRSTINCTLPWPPFKRACIEPVSQG >Et_3B_031011.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:1054251:1054499:1 gene:Et_3B_031011 transcript:Et_3B_031011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSRLPEMTIPPAPRPEVDGGAVDAASKAAGKEPISPGTPSSAAAVRSGKESNVPLPGWKLDALCQEHGPSPARARFLYF >Et_6B_048588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11068661:11069232:-1 gene:Et_6B_048588 transcript:Et_6B_048588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAAALKHLIVVLFFFTVFLRETNGERCGTSSIQVQTVNTGVVVGGGDLVFEVEVKNMCPCTVRNVRLDGKGFASTVDVDPAVFRTDDGNFFLVNGGEPIASMATVNFRYAWDHFFQMTPRSLVVDGQC >Et_5A_040121.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:12269674:12270228:1 gene:Et_5A_040121 transcript:Et_5A_040121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLLGLKHMHEKGIIHRDLKPSNILIDSNSKYIEGKICDFGLAIYYDQAVATWSRTPRGTYGYMAPEVHKAKSSCTFESDMWSLGAVMYEVITGSPLIKGRDPAGMITCMRSLFCTLSNEASTSLEVADGPQADPKWATHGALIRRQFSPQCLDVLHGLLKLDPSERLSAADALEMNWFAGH >Et_10B_003641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4210628:4214442:1 gene:Et_10B_003641 transcript:Et_10B_003641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPSWSDVLAYMSEYWSVLIASVIFACVGAVTIYYTVNQLNKNISLSLIKAIKARAKRYKKWKDRVPAAAHIWRKEASPRSKGLKCCVCLKSVWPPQYLGGAIHQCDICGAAAHPGCSGNAHKDCKCVSMAGLNHVLHQWAVQWIDTADHSEEDSFCCYCDESCNGAFLAGSPIWYCMWCQRLVHVDCHSNLAKETGDICDLGPLKRLILSPLCVKELHWTGAAGILSSITHGANELASTVRERIRSRSKKYKKGTTSADSDGSEVVELPSDVEGDSKEANNAAKSDQVNGKLDEGHLSSESEKDKQLVSGDTNVTSKSNGQHQNSHVQNNQKYEIVNVPSDSRPLLVFVNKRSGAQSGALLRQRLQILLNPVQVFELSKQQGPEVGLALFRKVTHFRVLVCGGDGTVGWVLDAIEKQKFEAPPPVAILPAGTGNDLARVLSWGGGLGVVEKRGGLFSVLEDVEHAAVTVLDRWKITIKDNQGKPISPPKFMNNYFGVGCDAKVALDIHMLREENPERFYSQFMNKVLYAREGAKNIMVNTFESFPRDVKLEIDGSKITIPQDSEGIVVANIRSYMGGVDLWKNEDDTSDAYLPQSMHDKKLEVVSFTGMLHLGRLQVGLSRAQRLAQGHHIKIEIRTKMPIQVDGEPWSQEPCIIEVSHRNQAFMLKRVSEEPLSHAASIMADILENAENEGIISAAQKRTLLQEIASRLL >Et_4A_034866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7330777:7334161:-1 gene:Et_4A_034866 transcript:Et_4A_034866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHGLATDPSRALRCHVTSRVPSAPLGLVSSLSFNRGRKEQNTLFINVDRYTKHSVPLCNTRRNARITPLATASFGDMSDSSTPIFPRIHVKDPYQRLGISREASEEEIRAARNYLISKYAGHKPSVAAIESAHDKIIMQSFFDRKKPKMNLKKKYKELTQSRAIKAVQGRFQTPRSKIIWQTAITFILLGVLTLVFPTEEGPTLQVAISCAANIYFIYQRIKSGWRTFFYGFGSFFLSWFIGTFLMVSVIPPILPGPRNLEVSTACVTYVLLFVSSTFLK >Et_1B_011310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19995556:20001858:-1 gene:Et_1B_011310 transcript:Et_1B_011310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQWQTTAAPCIGRPRAHRSTAVRRHQSGYEDNPASRNQINNQIQSSTGGSDSNQPGSKEEPGEVSVSYTLMDLTLSEDLRATCQVKLPSDEMVHSDGSVVVDPLAVLLIRDINSSRCISIMRQCTHQNILQAILVVEKKGYSSSDEKKEKEISYAEVWVEDFTGELPEFIQSCISAVLPKELVLGTKPSLSLPGIQYSLSQIIDGLLHLKLIGKYHGNFTFKNTLYKRLGTDIEVKLTNFKLKDRTKSFQLCVVEDFHAVGNELLGISQAPWISEKFDCFEINDLARKLKDLTTVTLPSIEQEILEHPFFWEKNDRKFFFISDIPFAMKSKTWRNKIEEHYEDICGSLPWGSDGYDGYLQQMDDYRTNHNLDAYNKDSAIDFVKFLSGLYVHEAELQPLALRSLAKIKINVGHISGAVCLFIGRANAELGEAFLPDGSATDHQGMLKCEDDKQWVQAGAGSWKWSEFGMGSKSPAGLFHLSKKLDCG >Et_7B_054681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3416845:3417876:-1 gene:Et_7B_054681 transcript:Et_7B_054681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTMVNTISAACRNVEKLPAALISGGLRWRSPSSSTRPLKAPEGIFLHHGKAPFYLYYGILIAVVIFGLMEASAGFWVSRNLVDRHAIGMTIIWISILPLVLVAGLGGSIILKSQSLAQQRECGRLLVVEAAVLWLAQTILANLLVDKLETWLRQAGLADRADKLRIEIERVEMEVSERKGRAIGNRQLARSLARLKELLYDADDMVDELDYYLLKHQDEEGMCSQVEPCNNKRAAHHGVNSLFLGSVTAACTVMPESTNGDGGET >Et_9A_060994.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:2615539:2615862:-1 gene:Et_9A_060994 transcript:Et_9A_060994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLTRAAQLGMTRVILETDASTLGAALLSEEMDGSEHGCLFRQIRRVMMNQFEHCVVSICPRMCNWVADSLASFGAHVTASGLVYMNHAPEFVSGLVSGNMPGAGN >Et_5A_041412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22266246:22274634:-1 gene:Et_5A_041412 transcript:Et_5A_041412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWWKRSKSAFHRPVAAGPSSTPSSPARASTSRADRFGEAADLLASAPPRLTRQRKLRHVDDIDVALGDLRLDDNGDALPSSPPPPQRARASASEALGPPISTPISRSASSREGGAPPPRSASSPVLHPLPLPSPRFPDFEMPGVPDGRGEKATPAPVTNQIVQKFPEPNDLLPNGTKRPVFSHQRNAFREKFQDKSSAEIMNFRLNIPAKSAPNSGFSSPVCSPRRLSNVDFSYSVAPVQGNQAWSAPSIRSIDFVGASSPRTSPERYTRGQERYPCYSALRSPILRPKNTSAPPSPMHPKLFPENHSLRTEGNGSVSFHPLPLPPGATSPMQITFNDQPTPKVEMPSVSGQWQKGRLLGSGTFGCVYEATNRHTGALCAMKEVNIIPDDAKSAESLKQLEQIEDRFYIYLEYVHPGSINKYVKQHYGAMTESVVRNFTRHILRGLAFLHGQKIMHRDIKGANLLVDVHGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEMVQATLMKDIGYDLAVDIWSLGCTIIEMFDGKPPWSELEGPAAMFKVLHKDPPIPENLSPEGKEFLQCCFKRNPAERPTASELLDHPFIRNSSHYNKHGSIHSFAGIKVNDNGHSSRDKPSSKSDPCFKGKNTIGEPNNVRPSESTFRSAPLTLPEVTPNFFPRPLGLAPNLGSAANFGNLTYLPMANPHPSPLPRPNGKEFASGGTYKLWDILNESRQPGALVFRITMLGIIPK >Et_5A_040310.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:12906776:12906970:1 gene:Et_5A_040310 transcript:Et_5A_040310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVCRIITREDNVDVHSVYQVSLDCNNPVPAGNTCGGESTLLVSLNSYILSCFLNYHVSSYKI >Et_10B_003447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19942685:19943413:1 gene:Et_10B_003447 transcript:Et_10B_003447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDRVQQGDEMDEHVAGEENYPMIFFRDINLDLITSKINFSAKVYFKSNVSLHKDGNYIRLILVDEEGTRMEALAFGRTCLDLARTIVEGYPYDFIDVVVRYRFDSNFLNVFHVYDAECFASITSESRISNSRRPIAYHVFPRTFNSFHQVTQFGSDKSLT >Et_3B_030067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30219760:30222892:1 gene:Et_3B_030067 transcript:Et_3B_030067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEAPRVACGGGADDGAAHDVDVLTTGGRRKIHAHSSVLASASPVLASIIERRMQKDRESGKTGRAVVRIRGVSDDAAAAFVRILYAGSCAGGEGAVEESEDMEKHALQALVLAHAYQVPWLKRCSEGAIGARLTADSVVDVLQLADLCDAPRLHLRCTRLLAKEFKAVERTEAWRFLQENDPWQELDILQRLHDADLRRRRWRRKRAEQRVYVELSEAMDCLAHICTEGCTEVGPAGRAPAASPCHRYATCRGLQLLIRHFSQCHRKSCARCQRMWQLLRLHSALCDRPDQCNTPLCTRFKTKEQERVAAKAGDDDDKWGLLVKKVKAATVFSSLANRKQMTSAAQC >Et_4A_033955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28299656:28307072:-1 gene:Et_4A_033955 transcript:Et_4A_033955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPTACASGPWRGKGGRDSRRARATLDSSSSARAQEKKGSGYLAYGRAIAERASCASARQVSDRLSKELVADRRPRHDGPPPKRRERRRRRPPHGPLPRPPPPLAAGHHPCSLSLFGHTPQSKPPRARDVPRRTAPICEMWRSCVSRGLRKVKAAASASRLFSTSSSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCAADRTGHAMLHTLYGQVMKHNTQFFVEYFALDLLMDNEGTCQGVIALNMEDGTLHRFCAANTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVHVKGDDLDAVVPGLLAAGEAACASVHGANRLGANSLLDIVVFGRACANRKPLEKGVGEKTIAWLDKLRNANGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCELISTARESFHDVKISDRSLIWNSDLIETKELENLLINACITMLAKRAEELMLVKISRTRDDENWMKHSLGYWENEKVRLAYRPVHMNTLDDEVESFPPKARVY >Et_4A_033821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27130491:27139221:1 gene:Et_4A_033821 transcript:Et_4A_033821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQHSRARLSPDEQLAAEESFALYCKPIELYNIIQRRAIKNPPFLQRSLLYKIHARRKKRIQITISLSGNTNTELQAQNIFPLYVLLARPTSNISLEGHTPIYRFSRVCLLTSFSEFGNNDNSEATFIIPDVMNLSTSNACNLNIILISCGRVGQTIGEDNCSHNDVAGSSLQNLEGKCSWGKIPTDLIASSLASCAGLSLGDTVDLFSTVTMSPSFLEPKFLEQDSCLTFCSHKVDATVCISAQEAGARDMSESPYSTYSYDDVPPSSLSDIIRLRDGNVLFNYKYYNNMMQKTEVTEDFSCPFCLVRCGSFKISEECQAVNVSLKPDAWRTELVAEGVDPRHQTFSYRSRFKRRRRLVTMTEKIRHVHSHIMESESPEDAQVGSEDDCVQRENGISAAHASVDPAHSVHGSNLSVPTVLQFGKTRKLSAERADPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRMLDDFVDVTKDEKSIMHMWNSFVRKQRVLADGHIPWACEAFSRLHGPQLVQNTAMLWGWRFFMIKLWNHSLLDARTMNTCNTILQGFQDESSAPK >Et_3A_026888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25144486:25145162:1 gene:Et_3A_026888 transcript:Et_3A_026888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAPTVLLPLLLLLLFSPVAMSAALAPAGAEEGVQDVYVVFVSRGDYTDSVDYDLRLLASVVGSTEEAKEALVYHYSGIGFAARLESKHADQLSKKEGIAVLKDKMYHVEENV >Et_1A_007013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30029778:30033968:1 gene:Et_1A_007013 transcript:Et_1A_007013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIPSLKSLNAFPHAEEHLLKKTYSGAIVTIFGLIIMLTLFVHELKFYLTTHTVHQMSVDLKRGENLPIHINMSFPSLPCEVLSVDAIDMSGKHEVDLHTNIWKLRLDRYGHIIGTEYLSDLVEKEHGAHNHVETDHGHEHHDEAKKHEHTFDEDAEKMVKSVKQALANGEGCRVYGHLDVQRVAGNFHVSVHGLNIFVAEKIFEGSSHVNVSHVIHELSFGPKYPGIHNPLDDTTRILHDASGTFKYYIKVVPTEYRYLSKQVLPTNQFSVTEYFVPIRPTDRAWPAVYFLYDLSPIIVTIKEERRNFLHFITRLCAVLGGTFAMTGMLDRWMYQLIESVTNLKTRSVLRNPVTAALAQVHGLQFCHQCYSRCGSINVFGGKE >Et_3B_027891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25112209:25112865:-1 gene:Et_3B_027891 transcript:Et_3B_027891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEVSKPSKRLSPKGSFKLSLPTVAGGASARSLSSSSASASSSGTSRGRDRMAELREIFRHFDRDMDGRISGGDGGSLALGLAMGGGEGGDQRMAGDLMLGFDDFVRIVESKGGEEEEREDLRRAFEAFEAVKGSGRITPRGLQRVLSQLGDEPSVAECEAMIRAYDDDGDGELDFHDFRRMMSQD >Et_4A_034882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7498610:7508870:1 gene:Et_4A_034882 transcript:Et_4A_034882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELRLPMFLSLLLLLLLLSPPCASGRAAADDDASVSRSAFPMDGDVAWVVQVSDLHLSAYRPERASDLARLLGGALRVIRPHLLLVTGDITDAKNRRRTTSRQDEYEWITYKKTMDTIVGKGGISKSRIFDIRGNHDTYGVPYRGEKLDFFSTYSVNSQLGRLSTISSILLQGDRSYLFLGIDDTTNVGIRFPANLFGHPTEKRIEAVNSELQYWSNHSDALVTKVVFGHYPMSFTTASEKGQRYESVFASQSISAYLCGHVHAKVSKKLWRYHEMRTTTDDKLSFWEWELGDWKDSRLIRILAIDKGAVSFIDYTLKQALRTSVLITYPTDSRSMNMLESKKWALRNDINVLIFSHEAIRNVTARVFDSHNEFKIVEEVPLQHVSSASADRPLFHAKWNAENYRSPSPTRYWLQVFVLDSRGVKTSSEQRPFSVEGKMAVPPSPWLNYLVFEVQWDHMYKVLLSSNLAFTIVLLFAPKLLYYFVRRSSSYQRWTLSVLSSPVQQRKAHFWLVWFLLEGARSKRFWFALVIYVLWLIEMPWFWGCATSENGEISPMYLSGWSMPFYDSGLMGDKLSNPDVLVITLPFLYLVLVPVVVLIYGLFAEKAIAYLQHGRRLEHPAGSANMSSESACLLTGSPRALLMKFDKMVSALIQYCGSWTRRVLLLGCLITAAIHLKFCSMLMSAYGAAPVALSPPLTWIPLLLLGDQNAPPTQKRIRKLDITCRANPVHDVCNHLLGLLKQADALLEAVALGSGAWRRREHPLHASHVISQELLCKLHLEIVLHELIDAAKDVQRQPVHEPEHYALPVRGQPRHQVERLLRAVSMFPKMRHVHAPNDLKGDLGGHGTVPNCFHEILLTSHYGSPCLHVVRVRRVVRHQLHFYQLLDVLCRACPGLLHQALDGAAARWLHLWKFSEC >Et_4A_035718.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:4274880:4276320:-1 gene:Et_4A_035718 transcript:Et_4A_035718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSLARFQSPPHLLPGLRNLAPAIAQHFRRRFIEGQTMQQQGRPAMEQQQQQQVAQLRGELRKVRDERDRAHRVLEVTEEWKALASANDRTTIETLEAELEASRESERRMLDSLALQTKQLELTKIALEEARLEMATLRDTVRRLEARAPAVAFAPTTPRGRHDRDLQRVHGELRVALAAEEKSKKAMEEFVMALKEVSAELAATRQQLARAQHEAEAARMEADRAHLSARRKDDRLRAASDEVARLRAEAEESFAAWRGKEAGFTACMKAHEAELADARREAARLVESQRSGRAEVSKLRDILRQAVRDTKVVKEALEEARAENALLKGLVGDKDKAIKCTVQELENLRVSEAAARDSVKELQSILEATSSSPTAAMAAAAKMEGSPSPRGARGAVPVLDKYPSDSKIRPPAGLTRPQRMSSETFEGSVYDIFGSAEDQKLPARRRVVMRRVGSLFRWKSFSNK >Et_4A_035028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8957856:8960558:1 gene:Et_4A_035028 transcript:Et_4A_035028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPATCLPEADSLPDGFVESFAADQAPPPSSAPVVDDLPPTALDSDRPATSAPGLEETLGAPSLSASAVAAGEVLDASSAADALGAVSLDSAAEPERAHELQGPAGDTAEAEGSLKESNAAEPAESTTSQKAVNSGEPKRKVVKRSKLEKDRELLQLAQGYQQVVAERDAAIAVKEKLESLCREFQRQNKMLKDECRKVSTEGHNMRMELSDKFNNAIKDVSIKLEEQKNECIAQLEENNMLRNKLKDLADQYDITQQKYAHQLKEKMLELELANLKIQQHQEKAAKEHTQMQLYAEQVSQLITTEKNLRLQLAADGERFQQFQETLSKSNEVFETYKQEMEKMVKVIKTLKKENEFLKGKCENSDIALVKLIEERELTKKQIEKLKNQKEKLESLCRSLQAERKQGSSGSVTDVTSNQADQAVASEGS >Et_2B_019055.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27978455:27980756:-1 gene:Et_2B_019055 transcript:Et_2B_019055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLPLTLLLLLPLLISLAPAATAAAYAGIDSFLAAAAARDPSASNDTFAALPASLRRALSAPSPILPSRLLSLSAGVPVHVRLAGASFPASSSRSLPSLVASAVSSAPFLSNRRPHRLALSHTLHLDVVGPVAAGKLASRASAAVRAHLDNSAAPFHSTQLSAVPYSLVDDLVAEDYRALTSAHGGSDSASAVYIYLLDLGPQPRPYAYTAAATGADSSSPGFSRCLGPVWTGKERYIWIDLGAGPVHYGPAQSGDGVLPRGEFHPLAALHGRPKSEKALLADVASLILSAYKSLLVPSLRIPVHYENSLLIRFVHIHGNEKDPAGLDFSSIEQSIKDGNLPYDGQSLKFELHTVKYSECPICSFAIARSTNSFTSRFLFENYTLIANEYLDSKRLRQVLSDSSDELHRLAGVRDNDEHDKVVPVFVFDLDNDRLLPLDRHHQAVAFGDMVVAVRTRSSQTVSDYSCNGRHIITMTRNLERPIIGSVLQSMWGVSPTHMSWSPEHNATVVDYTWSTGHTPFGPFSETKSLSFVQKDAARRNVLLTTLNFTISSTIDVLESMAAHGGESILLRKKRHVEFIQRWNLLTYKLEKVVSAMSRLDYEKAMYLLRASDHDLYAIHSLVYQASQELEASLICFKDPPFPWVPVSMSGVFVFGFFYVYSKKDKLFRSKRKQF >Et_2B_022386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19788707:19790324:-1 gene:Et_2B_022386 transcript:Et_2B_022386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKSNALLVDASEKEVGLKEEKDLFVSCKRTKISGSIVQQQLGVEASDKENKVPDALVHKVSVGMDPMNRPTDSAVKSTGEANGCSKGNEPARSKLQKICSAYHFKGPLYDFKEQGPPRNKLFTCKVTIHVDSIVNTVVECFSDPKPQKKGAQEHAAQGAVWCLAHYGYVK >Et_7A_052558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9298041:9300266:1 gene:Et_7A_052558 transcript:Et_7A_052558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARVVDLRSDTVTKPSDAMRAAMAAADVDDDVLGADPTAQRFEAEMAATMGKEAALFVPSGTMSNLIAVLVHCDVRGSEVILGDNSHIHIYENGGISTIGGVHPKTVRNNPDGTMDIDKIIAAIRHKDGALYYPTTRLICLENTHANSGGKCLSVEYTDEVGEIAKSHGLKLHIDGARIFNASVALGVPVDRLVKAADSVSVCLSKGLGAPVGSVLVGSKAFIDKAKILRKTLGGGMRQVGILCAAAYVAVRDTVGKLADDHRRAKVLAEGLKKIKQFTVDSSSVETNMVFFDIVDSRITPDKLCQVLEKRNVLTMPASSKSVRFVLHYQISDSDVQYTLTCVEKAVEEILAGGGKLEHLANGTTKNYYGH >Et_6B_049756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:163771:167612:1 gene:Et_6B_049756 transcript:Et_6B_049756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPPPGSGYPYGPGGGYGAPQPYGSAAPSAPPYGEKPPKEGKTSSSSAPYYGAPAYGEKPPKEGKPSSAPYYGAPPSSQPNPYGGSGGYGAPPGGQQYGAPPQHSSAPAYGAPPPAYGAPGGYGSPFAALVPSQFPPGTDPNVVACFQAADRDGSGMIDDKELQSALSGYNQSFSLRTVHLLMYLFTNTNVRRIGKPIPPIMPHLPSSVLPLFVLECDESCCRSLVGPKEFIDVFYSLQNWRSIFERFDRDQSGKVDASELRDALLSLGYSVSPTVLDLLVSKFDKTGGKSRAIEYDNFIECCLTVKGLTEKFKEKDTAFSGSATFTLLGLRTQLPAGDQQLCPARSRTTSTDHSLLVTSQRYLARQGDE >Et_6A_047124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25597052:25602531:-1 gene:Et_6A_047124 transcript:Et_6A_047124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWNGVGQVANIAQLTGIDAYGLISMILEAARTVKRNRETCQLLARRVRMIGYLLQQLQSSQLMQHMETRIPMEELEKTLKHAYMLIASCRGSSYLYSCFTGGKQAEQFREVQNEIAFYLQLFPLVSFVDTTRTLDQDRDRPSSSEVHILDLRRNTVLRTKDLEEIKSNPYRKSGGKRKEGQVMDIGELANLIGGGKISAATKSFSERNLVGSGAFGRVYKGKLSNGLDVAVKRHDTHSFYGPEEFRTEIEAIPNLRHKNIIALLGCCIERGEKILVYEYMQNKSLASIISDETKRELLKWFKRLQIIKAVADGLAYLHGHSHMCIVHRDIKPSNILLDHEMNAKISDFGLAIMLAPNTYADVAVVGTTGYAAPDYIATGKISEKVDVYSFGVILLEIISGKAFWFDEVKADDIRMLPDYAHKHRKMMQKLVDPLLLLDANEHERAQIRECIKVALLCIHSLPESRPAMSEVVTMLDSIQVVRPTFRI >Et_1B_011494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22943046:22944579:1 gene:Et_1B_011494 transcript:Et_1B_011494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLALYGTCFILAVSSCYLLGLLSDRRRNLPPGPLPLPLVGNLLSLPHRSLARLAARHGPVKALRLGTVTALVASSPAAARDVLSRALRAFYGLAPGHQPAVWTAELFGPHRLDAHGSLLRQERARRLVSRVARLAARGEPVRVGRLAFATALNLISSTIFSADLPDLDAPREFEVLLAEVNATVGLPNVSDFYPEVARLDPQGLRRRIEGLFRRMHAMIDDQIERRLRERAAGDQEHAKKNFLDVLLDYRGAEDGRGFERQTLLSLLSDLFSAGTDTTSATVEWAMAELLLNPSCMAKARDELAQVLGSKPEVEESDIGELRYLQAVVKETSRVHPPAPFLLPHLADATTQVGYTVPKGARVLVNVWAIGHDATVWPEPEKFMPERFLEENKEVDFRGRDFELLPFGSGRRMCPGTPLATRMVHLILASLLHRFHWSLPADAEKNGVDMGERLGLNLSMATPLQAIATPI >Et_3A_025115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27006367:27009199:1 gene:Et_3A_025115 transcript:Et_3A_025115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNNATGQRVSEDPTNGFDKIDEPSHGFPGHNNTNSEKNNEKPGTQDTNMLKSLKGSIISNGEDEQLASDQNHSSSKIEGVDEENRSSIQNNTSKQDDKSDSLSNEDHELVKDVATETTIHPTEAVPRVSDTIEDMISINDNSVSSEKIEQFLPGTRDKVGESTVCGSSSLLEKNIEESPEDGEEPIIHKKVPMGGNLPVKNSNKILQQGHNEVSTIKDQLMAMQGEATSSTESIVSSYQDADDSEIKEVVIEDKPAQEDKLSYVLDAINIDISKKYTTEIPQEESKISAIRETTSEVPMNVRDEVIDEDIPEYGACEVEQEPEKHESDEMIVSSRKVSDSVTATTEHIGIESTYMKEEWIVERPRAQQPEQYDGNLISHVEVNGNYLTCSSSLDHLLTVNEEVNGVNRILECDKDIEKENLEQGNEESATEGLHQQNDAQVAAKEGGDLCSSPMITSSAPDLLLEDFDKLGCIKFHSIDSNEGTITSTYDIRTRDTQDTKTSSQGDQPPQQLLVEHGVVKLENGKILSNACVQFVENSVKIVTIFTNDSNQEKEGGNTTSIDFTIESNHGGDTATTADVDFTAEGNQVKVTAGVDRAAEHQHPLQMSTPVREACEETPLLQRVESRDFLHHSTEQCSKVSMDKPATGISEVPSKAEAEEESEKSPLLSPREPSGGNFRVPNYSERKMKPFQSLLTKDRSGMLSESVPNNNALVSSPRRKGSQKARSSLFTNCMCCVTPTD >Et_1B_013715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13836902:13840516:-1 gene:Et_1B_013715 transcript:Et_1B_013715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAAAFYAANARKQYFCYQCNRTVLLVASAAAAGELSCPECRGDFLEEVTVPAPTVIPIPFPFPFASSSFPAATTAPGGSPSLSSSSSSAATSPSRPPDLSAFLNTFLEIGNERDRARAAGTGTGTGGSGSRAAAGTATPENEPEGFDPLMFIQNYLHGLMEGGANIQVLLDDASVSLGPGLGFGRGASFGDYFVGPGLEQLIEQLAENDPNRYGTPPAAKSALSSLPDVVVTDAMVAAAEGAECAVCKEDLSPGEVAKQMPCKHIYHADCIVPWLELHNSCPICRYELPTDDPDYEGRKATNPQPAAPQPAVGIAAAASGSSNAAEAGREEREENARVVERRFNVSLPWPFSGLGGQTSQQDGNNGGSSSNSQGSRVHD >Et_5A_042550.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:2043045:2045015:1 gene:Et_5A_042550 transcript:Et_5A_042550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANMPSTQWISASLLVLLLSLHSGVQAFYLPGTFMHTYGTGEVISAKVNSLTSIETELPFSYYSLPYCKPPEGVKKSAENLGEILMGDQIDNSPYRFRVNVNESVYLCTTDPLTKEQAELLKKRARNLYQVNMVLDNLPVMRFTEQNGMTIQWTGFPVGYNPMGSSEDYIINHLKFRVLVHQYQAQGDVVITSEDGVAMVESDRKSGFQIVGFEVVPCSVRRDPEAMSKLKMYEKVDSVNCPLELEKSQLIRENERITFTYEVGYVKSNIRWPSRWDAYLKMDGAKVHWFSIMNSMMVVFFLAGIVFVILLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPCCSKLLCVMVADGIQILGMAIVTIVFAALGFLSPASRGMLLTGMIILYLFLGIIAGYVCVRIWRTIKGTSEGWKSVAWLTACFFPGIVFIILTVLNSILWGKKSTGALPISLFFTLLALWFCISVPLTLIGGLMGTRAASIEYPVRTNQIPREIPEPKFSTWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLFIVLFLLVIVCGEVSLVLTYMHLCAEDWRWWWKAFFASGSVAFYVFLYSINYLVFDLRSLSGPVSATLYLGYSLIMALAIMLSTGAIGFLLSFYFVHYLFSSVKID >Et_4A_035617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31001786:31008496:-1 gene:Et_4A_035617 transcript:Et_4A_035617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEMEYRVDLFNSFPSQTKKCHRLQIMSNRPPRCDVLSLLCILKGLLQLASHHVLQCKRIAIKRNTSIQWKRCLAKVSCGMNSATSRRSPLSEQQPIRLASRPLLSCPTALASSCIHRQTSISSDAIKASGIQGTIHDRKQATRTKNWRESGQAERPKRLMATVRPELNSVPR >Et_2B_020246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18293106:18294053:-1 gene:Et_2B_020246 transcript:Et_2B_020246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPGVDEVWAASAQDEWIAEHGDAGRLFLAGDSAGANVVHDMLVRASGNGNAAPPRIEGAILCHPWFGGNEPIEGEPEGGPAASAGLWMYACPGAVGGADDPRFNPLAPGAPALERLGCARMLVCAGKKDSLFARARAYYDAVAASAWRGTAAWLESEGEEHVFFLRKPECDNAKQLMDRVVAFIAGA >Et_4A_031949.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:32329565:32329928:-1 gene:Et_4A_031949 transcript:Et_4A_031949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHASYSRENGLAASQSAAALQALADNHDAAASVVARRATEVASEAVCAKTGARVGIPAIVRVAAGTNASAPPVVCGALRALIGAVAVDANSTDAAVKVFLRLHEVTAASSTAAM >Et_2B_020245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18356669:18360612:1 gene:Et_2B_020245 transcript:Et_2B_020245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAATVPLGVLLRREVTKERMERPDVLCGEASRVRKGEDFTLLRADAGQRVAGDPSTSFSVFALFDGHNGSGAAIYAKQNLLNNVLRAIPTCLCREEWLAVLPRALVAAFVKTDKDFQAIAETSGTTVTFVIIDEWVVTVASVGDSRCILESADGSVYYLSADHRFDSNPEEAERVTACGSKVGRMDVVGGPQVGPLRCWPGGLCLSRSIGDFDVGEFIIAVPHVKQVKLSNAGGRIIIASDGVWDDLTCEMALDCSRGFPSDIAANRIVNEAIRPRGIRDDTTCIVIDILPPEKLAPSPPKRQGKIAFNNMFRRKSPDVPFKADREYAEPDVVEEIFEDGSAMLSKRLATGYALQKIFAPSSCAICLIQLKSGQGFSVHANPLQYEKLQGWQGPFLCQSCHEKKEAMEGKRRQRGSAANVFGHMC >Et_9A_061731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15839711:15843085:-1 gene:Et_9A_061731 transcript:Et_9A_061731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGGDGIDWESLAEATSGAVGSLVSTTVLYPLDTCKSKFQAELQTQQGAHKYRNLSDVFWEAVRKRQFLSLYQGLQTKNVHSFISSFCYFYGYNYFKRLYLEKSGAKSIGTTANLLVAAAAGACTVIVTQPLDTASARMQTSSFGKSKGLRETLAEGTWMEAYDGLGISTILTCNPAIQIRKNAESKDGSSPVVLSAFSAFLIGAIAKSIATVLTYPLIRCKIMIQAADPDEDDEDESERPSKSRAPKTMLGALCAIWSKEGIPGFFKGLHAQILKTVLSSALLLMIKEKISKFTWVSLLALRRYLFVSPKRIKSA >Et_5B_043855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16851693:16855049:1 gene:Et_5B_043855 transcript:Et_5B_043855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNAESPGGGEAASPTSSHAGGGGGFFRFDLLNWSPDEEGSSPPVVTRQLFPSPSSPRPGALPSDGSPPPSSVVVGPWTFGAANHAAATPSPAPSPGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDTGKQVYLGKFSFLVRAIFGELSASVQFADAVVPLLIGGFDTAHEAARAYDRAAIKFRGLDADINFDLKDYEDDLKQMRNWSKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAIRFNGKDSVTNFDPSCYDGDVPPDIEKDEVLDGDILDLNLRISQPNVHHLKSDGILTGLRPSGESPEASTVVSPQPGSPQWPIHSQSTSTPTQHSHLYASPCPGFFVNFREAAMEEKRAETVGPPPFPPWAWRMQGFSPVPSLTATAASSGFSTATGAGVPSTHPRQFANGYHFPPTA >Et_6A_047960.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:26032897:26033949:1 gene:Et_6A_047960 transcript:Et_6A_047960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKANTAADAAVAGDPFAGGGCDSECSTPFVSAPSSPTHHHLSSYHGACFFSAPASPTRGGTGGGGLGGAGCLDFDFDFSSRFPSPSAAAMSSADELFCNGQIRPVRLAAALLQPQQPPAPLLGDADAEEDAGADERGRIRSRSVRRKARSMSPFRSHWRRSPSPAQPQPAAAGPESAADEQPAATPAASRSSSSSSTASSASSSSSSSSRGSRRWGFIKDLLHRSKSDGGKTHHHTRHPTASGPHTPPSSAAAAASASKRSASPSPSPVGAARVKGAAAATGRSRRRSAHERLYEARRAEAEEMRRRTHLPYRHGVLLFGCIGLGSRGYGAVHGLARGLNAAAAVSSRS >Et_2A_018455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28676635:28679014:1 gene:Et_2A_018455 transcript:Et_2A_018455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSPVQSLSLCSSCSCSCAPAAPAPPRGRRNQPEQHGNDDEQQPSGAGEDGRRPRRSNTKAGLPSLTYTQSLKHNVTGGGGDDDDDEAATCSVCLGALEVGETMHILVLLLLEELAVDVLLERARREPCRLAVGEQLRQRPQDHGVRRRLAEDDPGNARGLAVDEPAVQHAGVPAVAEDLQRVADVHDDGVRFGARGDPAAGVAHLQARDAVVEDEGERADVRVRLHAHGQLRLRAPRVVVRLQLEPELFFSCCAGGERLLQPALVAEAEPQREDGEQRRRHLPDAPPELARRGDVVRWVRRPEAVVGEAVRVHEVEEVVHPLAVAAGVGGEAAAVALDPAGGGVVGVGLLGVDGEREEAGAGGADAVDQLAGDAMPGHVHHAPLRRRRAHRLHALVLAFADAAAGGCGEGGDVDDGDPRAVVVAAAGVGGAAGQGEGVAEAGALVGGADVLGRDGGEAAQRLHTRLLRHRRRRLHDLLSLSDRSIDQPK >Et_10A_002343.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:9613798:9618099:1 gene:Et_10A_002343 transcript:Et_10A_002343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTADGDNDDDDGPPPAYVVPRGVRRDPRLKDLGISCMLNTEVAALLAVIRRRPDPYAYLPPAVAAAEEAAFASLIGSLKSLRAQLFQPRHGAWRCSDPSTYLTPFLDVVQREEVTPAATGVALSSVLKVLRLDVFDECSPGARDAVHAVLAALTNCRVERIGDPGAEEAVLLRVLQVLAALLRTRAAPLLSDAGVCTAVNTCFQIVQHAASSRGSELLQRTARHCMHEILQAVFARLPEIREESCDGDDSSSSGAAGFGARCMVDVFNFFCSLLQNAPDMVMTPDGQGGAFTSEEDVMLFSLVLVNSAVELGGEAIGRHPKLLRLIQDDLFYHLIRHATECSPLVLSMICSTALNLYHFLRRFLKLQLEAFFVFVLLRVGDGVAGPQLQEVAVEGLISFCRQPTFVIEMYVNYDCDPMLRNVYEEVGRLLCRAAFPVHQPGPMTPVQLQAFEGLVNMISTIADNVEVDKAPAADAYAVDVSEYRGLFWTDRWESGNVVTNGGNGDKDNGHETWVDFVRRRKLRKRKVAIAANHYNRDQKKGVEYLKLSHLVPSPPEPRSMAYFLRYSPGLDKNKIGEFLGDPDEFNLRTLKEFTETFDFTGAILDTALRTYLETFRLPGESQKIQRVLEAFSERFYEQQTTPVFATKDAAFILCYSLIMLNTDLHNPQVKKKMSEEDFIRNNRAINDRKDLPREYLQELFHSIATNAITVFSATAAAAVEMTPSRWADLVKRSRAMEPFTPCDFKHKLSREVFIAVSGPAVATLAAIFDCADDEETLNQCVEGLVSVARVARYGLDDVLDELLCCLCKFTTLLNPYATTEETLFTFSNELKPRMATLALFTIANRFGESVCGAWKNVVDCLLKLKRLKLLPPSVINQEGAAVGTGRPPGHRPRTSSVDSTGLGGGAIFPSSHRGAGTSRHVSGMIGRFSQFLSLEGAESSLLAVGSEFENNLKIIQQCQVGTIFTDSAKLPDDALQNLGRALIFAAGGKGQKFSTPVEEEETVGFCWDLMVALASANLPRFATFWPPLHECFNAVAQLPLFSPCPFAEKAIVALFRVAVRLLSSPPQSPPMQPRFVGTGNTPEELVFKSINLMWKLDKEVLDTCCEGISECVVKILTDHAAGVQTPLGWKTLLHLLSVTGRHPETFDQSVVAMVKLMSDGAQHVTRFNYAAVIEAAFGFAALKISPLDISTRILQLMAESVNWLIVWHKSGYSDSGSGGGGFSSGSSPFMEDPSRMGNLAASMFIKLAEALRKTSLVRREEIRNQAVAELGRAFAFAAAGELDFGPAGCLACFNLVIFAMVDDLHEKTLEYSHREGADRETRSMEGTLAAATELLADVFVLLLPTLAQAPGFRTFWLGVLRRMDTCIKCDLACGGGAGLMQELVPRMLKRMIMEMKAKEVLVPRDGDELWEITHIQIQWIAPAVKDELFPE >Et_7A_050793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11077728:11080041:1 gene:Et_7A_050793 transcript:Et_7A_050793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLAAASASPARCCLSPALPRSLLNRLFLGPDSVRPPSLRRCIPFHRMAQFWSQPSLDKNKALVDYLKQYGAVSTDKVAEVMETIDRGLFVPEGTPYIDSPMPIGYNATISAPHMHATCLELLKDHLQPGMHALDVGSGSGYLTACFVMMVGPEGRAVGIEHIPELVASSIENVQRSAAAPLLKDGSLSFHVADGRLGFPDAAPYNAIHVGAAAPEIPKPLLEQLKPGGRMVIPVGSYMQELQVVDKKADGSISVRNDASVRYVPLTSRASQLQDP >Et_6A_046745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2078683:2081943:-1 gene:Et_6A_046745 transcript:Et_6A_046745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKVKRRVGKYELGRTIGEGTFAKVRFARDTETGDPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTKIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSYGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITIPEILEDEWFKNGYKRPEFDENYDATLDDVDAVFNDSEEHHVTEKKEEEPVTLNAFELISMSEGLNLGNLFDSEQEYKRETRFTSKCPPQEIVRKIEEAAKPLGFDVQKKNYKLRLAKVKAGRKGNLNVATQILQVAPSLHMVEVRKAKGDTLEFHKFYKNLSKTLKDVVWKSDDTKIQSAS >Et_7A_052270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6326515:6334585:-1 gene:Et_7A_052270 transcript:Et_7A_052270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYHSISMEAYDDLEAGFSGHSASPLKPAASPRRPGRMFCDPCDDADELHGHHHYLDICFRCRKLLGGNRDIFMYRGDMPFCSEECRQEQIEIDEAREQRSKQTGRAEQQRQRQQKQSPHRIPVWAWHAVDKFSLGANEALWLCMRRRLFMSPALGAREFPASPSPHDAKNGARLGRYLYGPQSRRRSPQGGQPRSLTPARCAHVSIGGIAPSSSACLATQGSGMKGSGAMKPSSSMFYVHEADVVQIHHFLEECSLCAKPLSGDIFMYRGDTPFCSEECRQQQIEVDRAKHRRKKRAAAHALAARKEHRHQQPQTRPPGMDHNNPWVDAPFARAPALRV >Et_4B_039887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5017683:5020221:-1 gene:Et_4B_039887 transcript:Et_4B_039887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPACALLDDDVRLVANTGEKTPGGVNMSCSASFFKPVTAEEIARRSTTPKTLMDCVEDGSVRLVVDAPLKPLEIYTASLHLPATPREIVAELQAVRVVAADGNLVVLTTGAALPWIPASGEYLVYDARAEALVLAPAVDWGKFKELFITRRVVLCRHDGESGEFSLVLLLLCCYTGKPFVLVWRSSTSTFSTQEANQCLKSPRCYSSVAQGRWEQREARLPGEDLAHRPFSTDEAFCFRGRWACWFDLGRGMIMCDMSSHDLDCHFTPLPEMTWLRMSGGLPEVYSTVGVVDGEMIKLLFMDGFDDERVPRDQVTVRTFTLSMDGRTTEWVWNEEEAFRVAEIWTDESFLAIPGLPKCAPMCPVLSPKEPGMVYFFVSDIGVLDDGHMGTRGEYVLGIDIRTKKVKMWRKCATGRSYNLVPSYIATEFPGQPPSSNLDDQGVRAAGSGVKAIREAGAGLESDLLWRGLGNIHLDNAEPKHQLLGLDTGVLDLLLLLHQPHEPRGAVVSKLLDVLEWQQALGEAKLFQQLHGLLLADGVSGDDLCGVTVALNL >Et_8A_056679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14981957:14984977:-1 gene:Et_8A_056679 transcript:Et_8A_056679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAELLDPAAPAAASVSSSAAVAEVNAWLASLVAEAGGAAGAGGRGGAGGGAAVELSLGPDPTPRGVAYLRALAAASQARSRAAGIAAAGLRAQAAEYRVEAARLREALERAGLARDALPPPAAVAARAVAAVANLLAIRDTEMSSFVVASADLSLRRAEVEEKRDKVHKESKALLDYTRKAITKLTELKKMLEKFKNDVEKQQAEQMTDWQTKLVMMDSKERQYILQVSNYKAMLNRVGYTPEINHGVLMEMAEHKKDLERKTKPIADTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALTTPGL >Et_1A_009521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7172980:7176653:1 gene:Et_1A_009521 transcript:Et_1A_009521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKAEHISAMILVRVFHVNKSVLKATTWEELIHQGKSLGACSYECDKPPPKKYSQSVIAVAINELEVPLELDASYTKSASSTDFNCFEMLCLFAVVCFFVEMMRVWKSETRLKFEPDQVLLCLCSLQPSVIVYLFWFRTGIILH >Et_4A_034168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30403900:30409092:-1 gene:Et_4A_034168 transcript:Et_4A_034168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIEKIQEAAADGRTLFSFEFFPPKTDEGVENLFERMDRMVAHGPSFCDITWGAGGSTADLTLEIANRMQNMVCVETMMHLTCTNMPVEKIDHALETIKSNGIQNVLALRGDPPHGQDKFVQVEGGFACALDLVQHIRAKYGEYFGITVAGYPEAHPDVIQGEEGATLEAYSNDLAYLKRKVDAGADLIVTQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFVRMTGFCKTKIPAEITAALDPIKDNEEAVKAYGVHLGTEMCKKILASGIKTLHLYTLNMDKSAIAILMNLGLIEESKVSRPLPWRPPTNVFRVKEDVRPIFWANRPKSYITRTRGWDQYPQGRWGDSRNPSYGALTDHQFARPRGRGRKLQQEWAVPLKSVEDISERFMNFCQGKLTSSPWSELDGLQPETKIIDDQLVKVNQKGFLTINSQPAVNGEKSDSPTVGWGGPGGYVYQKAYLEFFCAKEKLDQLVEKSKVFPSLTFIAVNKDGESFSNIPVNAVNAVTWGVFPGKEIIQPTVVDSASFMVWKDEAFEIWTRGWASLFPEGDSSRELLEKVQKSYYLVSLVDNDYIHGDLFAAFKEI >Et_2B_022320.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17982980:17984128:1 gene:Et_2B_022320 transcript:Et_2B_022320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTLIQGNGRFLALPLLLLLVVGDFAAAQGPPQQPPPGGYYSTNFSPSMAIVIVVLIAAFFFLGFFSIYIRHCYGDGPGSSTTPFPNGAGAAARSRRQRGLDAAVLETFPTMAYADVKEHKAVKGALECAVCISEFDDDETLRLLPKCSHVFHQDCIDTWLASHVTCPVCRANLVPDPNAPAADDLDAPELPAPGSASEQVVIDVEETEEERIIREEAAELIRIGSVKRALRSKSGRAPAARFPRSHSTGHSLAAGTGAGAGSERFTLRLPDHVLREIVAAGKLRRTTSLVAFRASRSGARGGAGEGGSNRGGRSVRLGQSGRWPSFLSRTFSARLPAWGSRSSRRVDNNDGSSKGGKVAGGAGGKSAECDDPACALGQRV >Et_6B_048572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1127926:1128890:1 gene:Et_6B_048572 transcript:Et_6B_048572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAASDDGGAAEDYCAICLGTFDEDGDLCSVLPNCIADWFVAHRISCPVCAAGLKAHAHALTSVQRAVADSMVMSIRVITDRSTG >Et_2A_018557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32768519:32772220:1 gene:Et_2A_018557 transcript:Et_2A_018557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTHYPKYTLYALLVLGSWLLTCVLHFRSFHLFSSGGVEFVVLPFSLNSSFHARGIATADRRPSSSSCDGRYVYMVDLPSQFDFLGECVDGSPSFEDRYSRCFHMSNAGIGPELNSSDDDAGIVPATGCYNTNQYALEVIFHQRMRRYECLTDDPSAATAVYVPYYAATELHGHMCGFNSLVRNGAALALLRWVSSRAAWATRGGRDHFMVASKTSWMFRDVGGGCGNTLLEQPEARNMTVLTYEANLWAGGHAPPSDFAVPYPTYFHPSSAAQVAAWQARVRAAPRPWLYAFAGARRPNGTLAIRDRIFDACDAPRGRRCGLLDCRAGCDSPRKVVALFASAHFCLQPPGDSYMRRSAVDALVAGCIPVFFRPESTFQKQYVWHEADLSRSSPYYVLINQSEVVAGKVDIEETLARYTDDEVTAMREEVIKMIPRFLYKDPRVRFEGDMKDGFDVAMDALMERMKRIKNGEETGWKNDAAAPMIGVPMKLLYKSCEMPTFQTRHNAETKRPQVNKLHARLGARSYSPVLSRNDLYALWASRKALNSARALAKRGVSTPDGDDGHDSAAAVENLTCRCDEVDGRAEDSRDDAEFFSMPLVVGSGGAGSPPSNTKAIFTGDSVSSAQLILGTSRQSEESRAAAAATGGGGLQDPHSNRSSDANRDTLLRRAPRECCLCMPDMAMPAAVAAEAAGFFLEVEKPGMSMHSAPHVKPSSAASALVSSSTASSSSPSSSCGAMVRPSARDADRDRTGGATATGAAATTSLASAAECCRASELSADLGLGVLSSHLARCTGEEAAEHGKLAPTNVGRRSGGRSGDCTTSSVNTDGGDSGESSSATMPRRRSDTGSTGICRSFTFDGDTGAGLGLGLLPSPSAGVESSAPCRGASSSATASITGAVGCGGASAGSTLLMAVGSGAISLTVAGSAAAAPLTAAGTGATSPASAAASLLTAAGIATASSPDCIVAFCVN >Et_1A_007042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30300782:30306421:-1 gene:Et_1A_007042 transcript:Et_1A_007042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESNIKEGLIEESDDLFLSMEKNGCAANSRMLNAIVRALLEKGEVLRAGSYLTKIEEKNFSVEASTAKSIVSIVSNKKYQKEVKFFLKNTNSLWNQGVSTAVTTADLSRRVRASRRSGFELERIVREHYSSGSLGPEDALHLFDELLPQARPTSVYTINQLLTVVARAPASSSVRDGPALAVSLQPHGPSWCQEGGSQHLHLQHHHRPLFLRGGWRVNSITFVHLLKALCATKKTSNAMNIVLWRMLELGCAPDVVSYSILLKGLCDEKKSQEALELLHMMLDNAGRCSPDVVSYNTVIDGFFKEGEVVKAYSLFQEMSDRGISPDVVTYSSMIDGLCKAQAIDSAEDILKQMLDKGVKPNCHTYTIMIHGYCSSGQLKEAIRILKEMFRNGLEPSVKGRKADVTTYGTLLNGYATKGTLVDVHDLLDWMAADGIAPNNHVFNILMRAYAKHQMDDQAMLILTKMHREGLKPDVISYGTVIDRLCKTGRVDDAMSHFIQMINEGLTPNIVVFNSLIHGFCTIGKWDKAEELLSEMIDNGIQLDVVFFSTLISNLCQEGRVMEAQHTFDLMVRIGVTPSVI >Et_1B_010541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11452574:11456191:-1 gene:Et_1B_010541 transcript:Et_1B_010541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRFVDLVKVLPRRGLPNPVFHRCHPPAPPPRARAMSDLRAPEHQVAGHRASINKLGPLVDGAGLFYKPLQTGDRGEHEATFYEKFSAHPDVPSRIRDAFFPGFHGTRLLPTAARPDEPYPHLVLDDLLAGLDAPSVIDIKIGACTWPPSSPEPYIAKCLAKDRGSTSVVLGFRVSGVRVVGAGGAEWRPERPEIKVLDTAAVRRVLRRYVSSVADEGMDCALAAAVYGGEGGVLSQLRELKAWFEAQTLFHFYSASILLGYDANAVSTAGVGGGVRVKLVDFAHVDDGDGVIDHNFLGGLCSLIKFISDIVDETREKSPLELALGTVGDALRRERFRVHVMGQCKL >Et_2B_020886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24582803:24586717:-1 gene:Et_2B_020886 transcript:Et_2B_020886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSSLRRWKLFFPSFDAIHGAIEAADQAGLAKDELGRARVLQCAKAEVAELLCDDPWNYEDYCRILDDLMFEYLVTLQTVSVTPGALASTDLAMAVGVLVEHESEKIRGLARELIRQWRKSVESELTEARSSLEELVKLSEEALAPKESTSVGGHTVISRATFFTDETAAEGAPDHPGTEPSEICCLDGREAPLRRWRRFFPSFDAIHGAIFDKYKLGCARVLLRAKAEACNAEDYCRILDDLMCEYLVTLKTMPVTSTDLAKAVGLLQEHESEKIRGLAREIIHHHQWRKPVESDLDEAGARVEELVKLSDEALAPKTHTPDLVKPAKRPRLTLQISAPLPKKSAPVVGTGRDSMAMTVKMEATKRKLREGYQEAADAKRQRKIVVIEPPKMAAQQQRKMQAKGATPKMVEKRQRKVHPIIRERNQARCAASTAVRRTLMPSLCRI >Et_8A_056094.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19176043:19176864:-1 gene:Et_8A_056094 transcript:Et_8A_056094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEVHIVPPLSGKDGLGHFACTVITADYQDGMNTDPAQAQSFYFRLLLLYTRRGFTAFRSYSSDAGSWSEEAKVTNARLGKKQMGLTHMGVVDHGGNLSYWLAKNVVFVLSLKTMESAVIHMPYSGNGWKFDMVNTLLGFSPEGNHCAVQFAHLSLMKTKRNVTICVSTNRPHRGYDTMELFPVEQFLPADVMQVKLRWFCEKSGIVFFSAICGSPSDRRREMYALSLSTRTVEMVASHAGDSDPWKDLRGYEMDQVAYVASLAERDVTENM >Et_3A_027231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5468305:5471251:1 gene:Et_3A_027231 transcript:Et_3A_027231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDAPRPWAWACGCCVVTRRRRRTAGEAGGGAGASGRAVEGEEWSLFMDQAVLEAATANFDDDNLLGRGGFGPVYKGALEDGQQIAVKKLSLGSRQGLREFMNEVRLLLKVQHRNLVSLLGCCASSGQKMLVYPYFPNGSLDHILFDRKKRVQLDWPKRYQIINGLARGLLYLHEESPVKIIHRDIKASNVLLDDQLNPKISDFGMARLFLEDATHVNTFRISGTYSPPMLAHIPKVMDCSGYMAPEYAMNGYLSAKTDVFSFGILVLEIVSGRKNIDRHQDDEKTDLLNYTWELFEEGRSLEIVDPSMPDWDAEQALLCIQLGLLCCQIIVPDRPDMQSVHLMLSSDSFTLPKPGKPAIHGRTGRWVTTTTSASASSSGASNTNTATTFGTETNTTRASALGNIPEDESRNSISISFTTEGR >Et_6A_048068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:560665:563023:-1 gene:Et_6A_048068 transcript:Et_6A_048068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSQRSELRHIGHDVCAESHVSMHLTWKPWWHLGSTRTFSPSANSPRQMAHSVSVPGVVPSLVSYSTTGILRSSILLSPAVAEASSITIAAVAAAVAGAAMARQCRAQRRRELKTSAQMRTQSSATRMMTMFESGYTLPLSPPAAGNRAPPVAAAAGVTSSGAVDASSAAGLSLSMRMAHARMHLDIIICSMRLCQAIELLPQPQIYTAPEMRFRHDKRLVSVHILAFKMSP >Et_1B_010223.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30694070:30694243:-1 gene:Et_1B_010223 transcript:Et_1B_010223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AKEVEIRSGEKLKTKDNNCGEKVIRTLNNDFDGMGLDDLKSFPDQLDEVQSIFKDKT >Et_7B_054836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4756901:4762796:-1 gene:Et_7B_054836 transcript:Et_7B_054836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSRSHEFETDVPAPELWAIYGTLRAAQLVPELLPHIFTKCEVLGGDGGVGTILKLTFSQGGTGTEKFVKVDNDNYVKEAAAIDGDMLNAGFLSYMIRFEVIGKGPESSVIRSTVEYEFDDARSELEAMVSTAPLAAAAEGIVKYLWKVYGTLRFVELVHQLLPQVLHQVDVVRGDGGVGTVIQVTFPPGNPGPQSYQGEFVKIDNENRVKEAAAIEGDILNLGFTTYVTRFEIIEKGPGSSVIRSTVEYEFDDSRPELEGAASTAPLAAAAETIVKHAKEQAKTPEFEAMNGSLCHEFETGLPAADVWEVYGGILVGELIPQLLPEVFSKVELVEGDGGVGTVLLVTFPPGTPGSETFKEEFIKVDNQNYIKEATVTEGAFLDRGFKKYLVRIEIIGKEDRTSVIRSTIEYEVNAEHTGNRPVVSTKGLAAIAEAITKYVKEQMSAKNKTVSKA >Et_3A_024479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21091023:21096199:-1 gene:Et_3A_024479 transcript:Et_3A_024479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSFCDPSTFLCRVNHKSSAEAEFFTEYGDASRYKIQEVIGKGSYGVVCSAIDVHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPMTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKEPISFSQKFPNADPLALDLLQKLLAFDPKDRPTAEEALAHPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFREILEYHPQLLKDYMNGTERTTFLYPSAVDQFRKQFAHLEENSGNGPVIPMERKHASLPRSTIVHSTPIPVKEQPRTSSSRDKPSSDETYKIPRETERFSGNVPRTSQAPQRVPAARPGRVVGPVMPYENGSTKDPYDTRRFAMNSGYPPQQQIPQTYGYYQTSGKPACSEPSQAERYTLHQQAYACANSTTVPDVALDMRAAPFHLSAGPASDSSDRLTAESNLYTRSLNGIAATAAGVAANAHRKVGVVPFGMSRMY >Et_1A_007496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35239008:35241218:1 gene:Et_1A_007496 transcript:Et_1A_007496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSRRSHRAAALLLLLVVAATTTTMGATRRAAPRVPAVIVFGDSTVDTGNNNQIPTPLRADFPPYGRDMPGGPRATGRFGNGRLPPDLISEALGLPPLVPAYLDPAYGIQDFARGVCFASAGTGIDNATAGVLSVIPLWKEVEYYAEYQRRLGAHAGAARARAIVRGALHVVSIGTNDFLENYFLLATGRFAQFTVPEFEDFLVAGARGFLTAIHRLGARRVTFAGLAAIGCLPLERTTNVLRGGGCVEEYNQVARSYNAKVEAMVRELRAEFPRLKIAYISVYENFLKLITNPEMFGLENVEEGCCATGKFEMGYLCNADAPLTCDDADKYLFWDAFHPTEKVNRLMANHTLEVCYQQGVL >Et_4B_037417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19634739:19636375:-1 gene:Et_4B_037417 transcript:Et_4B_037417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQIVCRGCRTVLLYPQGAPSVCCAVCQAITTVPPPGLEMAQLICGGCRTLLMYTRNADTVRCSCCHTVNLVRPVNNVAHVNCGQCGTTLMYPYGAPSVKCAICNYITTTGINTVAASSSATPASNGSSYSVSSTSAPKPQPPNVTVVVENPMTVDEKGKL >Et_1A_009153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31004009:31005340:-1 gene:Et_1A_009153 transcript:Et_1A_009153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIDGNAFAANKPAASGGERNFYNFGRMVISAVALVGRDGVREARHVPKSEEHPSGQENMFLTWENIR >Et_9A_063320.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19890543:19890776:-1 gene:Et_9A_063320 transcript:Et_9A_063320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIISAKRLIQMAKKWQRMAAVARKRLTSTLPEETDGPSTSVASKGHCVVYSANGSRFEVPLVYLGMTFFSELLRQS >Et_4A_035757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5165935:5166502:1 gene:Et_4A_035757 transcript:Et_4A_035757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTTPHDAHKNDPLVLRGSGNYRCNGYGCVGRHRPTVPLRLRPAQALRDFADHGPPSSRPAAHYAADVAWGHTRGVARENGARGGPSWARRHLEGINQGRSLTGELEFIYDGEMDIAGAVRGRERGAEEDTGESGRRRDEFENLYTRSGVIMIIYGSRLGAKCKILDRDY >Et_3B_029350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24270023:24275755:-1 gene:Et_3B_029350 transcript:Et_3B_029350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTMMASSLSVFASMSGRPSGSSAVPGRLSSGQVVGKQQSKRAAAQPLAASVVTETPPPPARPVVDAPRRRGGRSADGGGGEHTAWRSVPKERWEGALEVQGELPLWLDGTYLRNGPGLWNLGEYGFRHLFDGYATLVRVSFRGGRAAGAHRQIESEAYKAARAHGKVCYREFSEVPKPDGLLSHVGQLATLFSGSSLTDNSNTGVVMLGDGRVLCLTETVKGSIVVDPDTLDTVGKFEYADRLGGLIHSAHPVVTDAEFWTLIPDLIRPGYVVARMDAGSNERQFVGRVECRGGPAPGWVHSFPVTEHYVLVPEMPLRYCAKNLLRAEPTPLYKFEWHLESGSYMHVMCKASGKIVASVEVPPFVTFHFINAYEERDEDGRVTGIVADCCEHNANTSILDKLRLQNLRAFTGQDVLPDARVGRFRIPLDGTPFGELESALDPDEHGRGMDMCSINPAHLGKKYRYAYACGARRPCNFPNTLTKIDLVDKTAKNWYEEGSVPSEPFFVPRPGAVEEDDGVAISMVSAKDGSAYALVLDASTFQEIARAKFPHAMPYGLHCCTSWLSCRAVVQPSI >Et_1A_009110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29666405:29668310:1 gene:Et_1A_009110 transcript:Et_1A_009110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPARLLHARGKTTAAEHVAARHLDHTFERLAAAHLPLVAASPLIDALRASSEPLALPGLARRLPLRLHRRGPLHFLRLFPRVFHLRPPLPLSLSLTPAAAALLAIAAPPADAARTLHRILAMSASRSLPLRAVFRVWRELALPDDFEESVVAGHPELFRLVPNPAEPNTHILHLVADPATEEFTPAVEKSRPDRYAFKLQFPPGFRLTKEYRKKVKEWQELPYVGPYEAASQRAGASKRVSKLARRKMEKRAVGIAHEFLSLTVEKMVEVEKFSQFRKWFGIEVNVRDVFLDHPGIFYLSAKGKRHTVFLREAYDRGRLVEPNEVSDARNKLVELMLLRRRGLGNANSNANMASNDTDDATSSFMRPANVQIW >Et_4B_036204.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:10579094:10579963:1 gene:Et_4B_036204 transcript:Et_4B_036204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGACADRMKFGGQAHARIVIAKSEIDSVLGCALIHMYCKCARYVHDGLKRVVDFSLSSLIYGYAACGQWDEALYLFDKVENPSVVLWNSFISGCVSACHGDGAFLLFVRMMRSNVLPDYSTYVSILNMCGFLGMLKPGQQVRGCALKSGVVSDLVAASALIDFYSKCSLWEDACRAFSELRFHGTIVLNSMITVYSNCGQIDEARRVFGMITSKSVISWNSMIVGFSQNGHALDAMGLFCEMHRPGLQLDKVSVASALSASSSICSISFGEQIFLLPLLLACSLTKL >Et_6B_048356.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:15407782:15407913:1 gene:Et_6B_048356 transcript:Et_6B_048356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGQCQWQSCAVGRKEAILKKISKSGNKGRLKKLLFFFEMRF >Et_4B_036563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29887954:29888457:-1 gene:Et_4B_036563 transcript:Et_4B_036563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEEEEEEKGEAYRGVRKRPWGKFAAEIRDSTRNGVRVWLGTFDTPEEAALAYDQAAFAMRGAAAVLNFPVDRVRQSMEAMPMDNLAAGVSPVVALKRRHSMSSRRRRIKPQQQQQTAASSPSPSPSSSSSSSWCWSHHSV >Et_10B_004382.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:5370223:5370462:1 gene:Et_10B_004382 transcript:Et_10B_004382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRKMWCATDVERLEMAQIRLEAALDASRRWRVRDAPLLRWRRKLRRRVAAEEEDHDKQAREQQESIFSPLPPSSSDQ >Et_3B_028607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17213694:17227828:-1 gene:Et_3B_028607 transcript:Et_3B_028607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSRIFEYFVVCGLGPEIRALDGAKGFHGPDEMYMPAFLDQYPPSNHGLYPPPPPQLPTCVLPAGVRIYSSGLDADDVLTYPRSYPIVLTEGDGSKIYVSCIAFRDPICEDISEAYQIPANSFADKCICLVSHAPSFQVLRDALEEIFVLCFSPAGCSKPLWDVISHMVSKVPLPTPGKNRVLFAIENCLLSAEAPPKEWLPHADISFQPLVQCLDVDKLILLFTAVLLERRILLRSNKYTLLTLVSEAICHLIYPIRWQHVYIPIIFSSGVDYIDAPTPYMMGLHSGVDTSTVTMDGVVVVDLEYNRITTSEEIPPIPETEHSFLRGEILKLLQPNVMGIDYMKINLGSMGDNSLRIGTKSWGQEHDFQLRLVFLRFFAQILSGYRNFIDNALPTGFNSQAFLKKRSRATNQPVESMSMIMQFLETQGFLDYLERCNNAEDNGNNLLDKLQDATGRGQNPLTIFPSQATDPEIITIADPEAEGSEAGGRHCYKRFPANVRTEDQEEKRKSILALASGASKQVPSSPSVRINGGPKAESLSPRERAAERERMVLDIKVKLQGLWLRLLRLGATEDPLSSFEYGTILALIESDAEGIGGSGFVECIREHIHSGWQCRLTDEQFIAVKELLKTAITRASSRGDMATIRDALEVSAEMYRKDPNNVQDYVQRHLLSLSVWEELRFWDGYFECLMENCSNKSANYVTLVTAQLIVMATHMAGLGLHDIDSWNMIEKIAERNNLGYKQLIKLRALLTHLQQLRIGYWGIATGKSQPLQSYGMASPRALDVTDESQQPAEASGLGRSWVHSMFSRDRSLRSSSFNRANDVNAVATTGKTDIAAAQKKTQTNMRTLRGHTGAITAIHCVTRKEVWDLVGDREDAGFFISGSTDCTVKIWDPSLRGSELRATLKGHTRTIRAISSDRGKIVSGADDQSVIVWDKHTSKLLEELKGHDAPVSSVRMLSGERVLTASHDGTVKMWDVRTDTCVATVGRCQSAVLCMEYDDSTGILAAAGRDVVAHVWDIRSSKQMFKLQGHTKWIRSMRMTGETIITGSDDWTARVWSLNRGTCDAVLACHAGPILCVEYSPSDKGIITGSSDGLIRFWENEGGIKCVKNLTLHSASILSISAGDHWLGIGAADNSMSLFHRPQERFGGFSNAGSKVAGWQLYRTPQKTAAVVRCIASDLDRKRICSGGRNGLLRLWDATTTAHGTFGITFPFHPTG >Et_8B_060201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7282192:7286282:-1 gene:Et_8B_060201 transcript:Et_8B_060201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTSPALDFIPQQGVAVCQASEGGSAFAGSGGISAESSAFEEDLSWGNAGHPSVISRCVVRAVHDSVARFDERKRNLVKQVGFGGFLYFPPLKTLDRKFSVWLMTKVDEMAPALVIDDERTLRFSKEDVGKVFGIPSCGRRVVDRHSARKKRKVALDKNFPQRSITAVREVIDRDYGPFMTKEQEDMFKVSFVVFVMSCVLLPCAKHDYVTGEYWDALVHPEDIKKFDWAAYVLQRLLEAVLKLRSDLERKIRVSHCGYPTDTFSTFVILFCDCVSTGSVDMGVWNCSHRLLPRVRSFTADPLRYMIYTDCKAISSARTAECHYGRSRLRAPSDVCYSWASGLPDRDGSLQLGEQSGLWEDTLLMARQMKLPTGVRHLIRRRDGSTDMDGEDIVPEACEQERCFMCGFDHPGMVEPGYNFGLPMQARHRRPGSTDVPRWESSPSRHTTDCGRGPILLDCLSRVDHRIPGSFFGCAHAIDLQLEATIGCPSVEVLVMHAMARFYMLSRQCKYSRRIDLNKSSHAEEDSHVPVVIQMSTISVGSLSPWDVGFDSEYGYEAAIRLMTQYTALGKELDVKSAWFVHYAPKYVEVNGADVWSQFLGEAEMEMDMMDVLMRCFKQADDSLYVQFGGYRWRHFAESDFLTLLVAGLPKCFEVCTREQLAGGHLQYLVPKRRVIFFPLLLVHRWVCYAWDLTRNEIVVFDPCALTGKADVIDPWHSHIVSMLKIGMAFAARNLFVGWDHSWDDPAVKMFRTDVDLSAMNRSGMVVAHFCRCFDGITVTPDFRSIGIREIASLLLSEALQLEGNRGKPPSVPAPEGIVGKAE >Et_4A_033783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26928870:26931542:1 gene:Et_4A_033783 transcript:Et_4A_033783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARTGVYVDDYLEYSSTLAGDLQRILSTMRELDHRAHGIMGQTKEQIKYLLGVSSHGYDRPNMDDDDVATERMKKDVEASQDNALSLCTEKVLLARQAYDLIESHIKRLDEDLGQFAEDLKHEGKLPPDEPPVLPPIPVVSRDDKRRLGFSTPQASKKFREREWDRDRGMDFDLMPPPGSSKKAGTSMDVDQTIDPNEPTYCICHQISYGDMIACDNENCEGGEWFHYSCVGLTPETRFKGKWFCPTCRNLQ >Et_10A_001329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23481338:23481702:1 gene:Et_10A_001329 transcript:Et_10A_001329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWKSNIPLKVQNKLQTRQQLKQNSGWDSQPSSVSELFNEWLPFGLGTLEYEEQNEYAVHLG >Et_3B_030894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8409216:8410791:-1 gene:Et_3B_030894 transcript:Et_3B_030894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDHLDRIVNTIKGDDANASPSMVQNNSLFLLPSTFFFLSCAAAAMARKCSSCGNNGHNSRTCTGHRSVAESSGSVRLFGVQLHVVSSPNPMKKCFSMECLSSSAPPYYTAAAALAGASSSSPSASSSSLVSVDEAAEKMTNGYLSDGLMGRAQERKKGEYRTCRLLDKFVRVPWTEDEHRRFLAGLEKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQSSLTQKKRRSSLFDVVENGEKATSVNGRLKLRDETSSMSETEFPALSLGISRPKPEAVLPPSLALTPRCSSAMSSSTSPNLAPTRYPSLTMMAKPPVSLQAPDLELKISTARQSDQAGPSPRTPFFGTIRVT >Et_8B_058513.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1176381:1178138:1 gene:Et_8B_058513 transcript:Et_8B_058513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITAVVHCHRSLCSKPISPYCLSPSPACARSREPFASNICEMAEALIFPLVRGVVGKAGDALVKRVTRMWGVDDDRDRLERRLAYVQSLLADAEVKSETNHAVRTWMKALKAAAYQADDVLDDFQYEALRLEAQSRGSKASKVLRHFTSQNPLVFRHKASRELKSVLDKIDELVKEMIVVTSRSRLVASIMGTLPPHDLEILSEDDSWELFSKKAFINKGVQEQTNFVSIGKRIVKKCKGLPLALKTMGGLMSSKQLVQEWEAIADINISDTSRSKDEVMSILKLSYTHLSYEMKQCFAFCAVFPKDYEMNMEILIQLWMANGFITEDGTMDLADTGDFIFNELAWRSFLQDVNVKQFDRYGEIGCKMHDLMHDLARYVTDEYSSAEELNQEKESVKDVRHMLLSSNELTEIGGLLKGASSLRTLGPIKSQRS >Et_4A_035977.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9820926:9821516:-1 gene:Et_4A_035977 transcript:Et_4A_035977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVSGATAPAAGGGKVVMADGSVRALSEPVSVAELMMDHPRHFVVDARVLKEQQRKGVGGKVAPLPADHVLGAGGVYVLLPATRGKVSAEEARRALTAARSLARSRSMPGGLRRKLSSSSSRKSGRGADAMAVQHVTAAPVMEEEEEEEAARADGFEEHRPEFLSRELSSRGWKPSLNTIEERAAPKRVPHWLF >Et_3A_023001.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:15036153:15036510:1 gene:Et_3A_023001 transcript:Et_3A_023001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTTVLPVFARFRTVLITIEASRASSPEVGSSMKIMEGLATSSTAMVNLFHCSFERPFPPACPTCVPCKDMSSKSSMTSSTNICIHQDS >Et_7B_053286.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:21561681:21563588:-1 gene:Et_7B_053286 transcript:Et_7B_053286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAALVAVVVTVVAGIWWRRRGGHNDDDSVVLPPSPAGGLPVIGHLHLLRPPVHRRLQELSSRLGVRGAPLMHLRLGSTHCVVASTAEAASELIRAHEASISERPVTAVARQFAYGSSGFAFAPYDTHWRFMKRLCMSELLGPRTVEQLRPVRRAGTVELICHLLASASSREVVDLTRHLIRLSNTSIIRMVASTVPGAVTDEAQDLVKEVAELVGAFNAADYIAACRGWDIQGLERRAAGVHRRFDALLEDIITQKEDARSGAAGTMPQDHKQQHKDLLDILLDKAEDEAAEVKLTRDNIKAFIIVSHRTVRSIPSRASYNLLLFFFTKTKLLPGRGHRRVRHVGRHGGVDAGGAAQPSRRPAQGAAGDGRRGRRPPDRQRGRPAAPALPAGGLQGDAAPAPGGAHRAPPVVGADAPRPRRRPLHRAAAGRRLHQRLGHRPRPGLLGGPRGVPARALHARRRRRGPRAQGPAPPIHALRQRTPGVPRHGARAAVGAGRAGRAGAVLPLEQSQRRSHHRHGGVGWAGVRAQKPAAAPPRRPPQPLPGRRLAFTLAPPTQSFCLL >Et_7B_054627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:330622:337823:1 gene:Et_7B_054627 transcript:Et_7B_054627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDHEEGVGESSWPPGAAAAARGGSGGGGGVTDIRKQIYDRLVELGNEEAISDPNFREKLDRHFERLPASYSIDLTVDKAEDVLLHRRILDESADPDKRPVFHVRFLRYHQVSAEDRPQGSSPRENGGGSLTSTLRDGGRGFEPYQRMMEDLSLERRKGVEDGEASSARRDAEIVPLHEVIFSTIDKQKLLSQLSALLSELGLNIREAHVFSTTDGFCLDAFVVDGWKTEETEDLLQSLKETAARNHASLSNPTNSVASQRVLDLQQKIGDSDIDRSQLQTKEKIASGSSGDLYRGTYHGVDVAIKFLKTEHVNDSSKVEFLQEIMILRSVRHENVVQFYGACTKQRKYLIVTEYMPGGNLYDFLHKKNNTLELPMILRMGIGISKGMDYLHQNNIIHRDLKSANVLIGSDQVVKIADFGVSRHPAQEGEMTAETGTYRWMAPEIPYEKLTPLQAALGVRQGMRLEIPSYVHPRLSNLIQQCWDGNPHLRPAFSDITVELEDILRHVQVDLAFVIANCFGIRYCLYEFLSRRNKDICHLMTSKIFFMPQREPVDIRKQKYKRNHRDKLPKEREEY >Et_3A_025290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28580510:28582200:-1 gene:Et_3A_025290 transcript:Et_3A_025290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASYDLLMLSWFVHCSKRNQPRVRHVRLQRQPDHVPDAAAAPAAGGGVQHAHQLQRHAGLTPVLGALAADSFAGRFWTIVAGSVFYQVGMLGLVGSALLTPLRPPPCAPPPTTTTAPCRRAAGWQLAVLYLSLLCASLGSGGILPCVVAFGADQFEEKRGGGGGRGAKAAAERKRRYFNLFFLTVGFAMILALTVVVYIQENVGWGWGFGIPAIAMFVSVVVFVSGYPLYIKIKPGGSPFTRLAQVAAAAFKKRNAAVPEDISSLYQDTELDALISSNGRLLHTNQLTFFDRAAIVTPGDITVSGQPNPWRLSTVHRVEKLKSLVRLLPIWSAGIMLAAAGSHNYTFAVTQARTMDRHVLGRISIPPATISIFSTMATLVTLALYDRAFVPLARRVTGRHSGITYFQRMGVGLAIAIASIATTALVETKRRATAAEHGLLDKPGAVVPLSVFWMAPQFAVRGVADAFSTVGNMEFLYDQAPESMRSTAAALFWLAGSLGSYAGTALVTAVQRATRGRGDWLQDNINRGRLDN >Et_4B_036160.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29623950:29625824:-1 gene:Et_4B_036160 transcript:Et_4B_036160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLHHRLASLTKQLTSHVNAGRHRDALALFYRMLSAPDLPPLTDPSFAHAFPLGLKSATALRVPCAAAAFHALTTKCGLLSSPFLASALVSSYGAFRATELARRLFDELPARNAIVWSAMISVHVRAGDINEAAWTLDVMDVAPTASCFNMVISAVAESGKHPARAIEVYRHMRRVGVAPSFITLLAIIPSCTAMGALSSIKEVHGFAVRHGMSVRSHVGSSLIEAYGRCGFLAGAQKVFDRVQDRDVVVWSALVSAYAFHGHAEVAMSLFRSMEEQDDVRPDGIMFLSLLAACAHAGRADDALQYFDVLTKRYGVEACGEHYSCLIDVLGRAGKLHQAYELIQTMPFKVTAKAWGALLAACRRYGEVGLAEVAGRALFDIEPENAGNFVSLANIYSGVGMHEKAEQVRREMEQ >Et_7A_050742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10678943:10681199:-1 gene:Et_7A_050742 transcript:Et_7A_050742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAALSPPPPPRQLSLDGLKAVSVLGRGAKGVVFHVVPAAEKADEECGAMALKAVSREAARQKKASSAGGDGDGHRRIWFERDVLLSLRHPLLPSLRGVLATEAVVGFAIDRCGGGDLNSLRRRQTEKMFSDSVIRFYAAELVLALEYLHSLGIVYRDLKPENVLIQDSGHVMLVDFDLSTRLPAPPQEPDAAEASPKPAPPATAPSPGRGKPRKPAALCFPFRTSSGAKPADSLSPPSTSRTASSSSSSSSSTATTATSVASAGARTPAKSNSFVGTEDYVAPEIIAGRGHDFAVDWWGLGVVLYEMLYGRTPFRGQNRKETFYRVLTKQPELVGEQTPLRDLIARLLEKDPAKRVAARAVKAHPFFRGVDWDRILHVARPPFIPTLPQDEGGDEALDVEKVVREVFASNDAEAAATAAEGQKATPEADGGDVDGVRKRDPSKEDGDFSLAMSKKLGIDEHQYRRRSTSIRHIECLLQAHMRNAALWRSAGGMLEQ >Et_10A_000866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18411857:18420045:-1 gene:Et_10A_000866 transcript:Et_10A_000866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSPHPISAPFFFCLRSFLVPHLGSICLLVGADPNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSMCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKR >Et_3A_024032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16299251:16303108:1 gene:Et_3A_024032 transcript:Et_3A_024032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSCKCNGKLPPGSMGFPIVGETFQFFKSSPSLDLPHFYKERLNRYGPMFKTSLVGQPVVVSMDAEVNRFIFQQEGKLFRSWYPDTSNSIFGKESITSYDGTLHRYVRSLAARHFGLESLKGAFLAEMGEAITESLHEWASQPSIEVKEAISNMMFDMTARKLISIDVKKARELRTNFDTFFQGLIAFPLYVPGTTFYQCMQGRKRVQNVLKDLLKERLSNPKKCHGDLIDIVVGDLTSKNPVADEKFTIDAIAALLFASFATISSTLTVAMKFLTDHPKVVEGLMEEHEEILKNREGINSSVTWNEYKTMTFTAQVINEVTRVGNVAPGIFRKTLKDVQVKGYTIPSGWLVMISPMAVHLNPEFFEDPLTFNPWRWQEKDKRSMLLRNFMPFGGGIRLCVGAEFSKVMIALFLHTLVVNYRWREIKGGDVLRVSEIVFPQGYHIQYPNSLVLFRSFQPAPPSSAPAQHGRRLFLLHLSIGLLPIRPAATPIDASLEF >Et_9B_064584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16696028:16696618:-1 gene:Et_9B_064584 transcript:Et_9B_064584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Et_4B_036599.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:8988396:8988461:1 gene:Et_4B_036599 transcript:Et_4B_036599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFASIIRTSRKPGYCWNRS >Et_3A_023204.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:10157743:10158123:1 gene:Et_3A_023204 transcript:Et_3A_023204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRHSSASGLSLQYVSSGSEESLSLSRAWLAAALSSSTSSHAAAHSPVAVSSLHVSSACLMLSDTLSEMPGGVDGPLALTAKKPPRRAAAGKNTRPATFCCLASTSTAAKVAGVDRLTLASVDAISS >Et_1A_006026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16841385:16851655:1 gene:Et_1A_006026 transcript:Et_1A_006026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPFTHPAASLARNATTGATSVVSAMRPMDCSATFFRNASSFPARNSSVRTGPGATTFAVIPLAATSLARIRVMASTAALVAVYAAYPGRSVPMIEDENVTMRPPLRAGTRFAASRHTRNVPRTFTANMASKSATAATTMCGGHPKASSARSKSARTSSGSDTSARTATARWPREASSATSSSALAELEA >Et_8A_056862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1780285:1793304:-1 gene:Et_8A_056862 transcript:Et_8A_056862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGSVQRMVAHRQASVDRPRDDAAGAGAPTARREKPAPSWSPSSSLVGGGGHGEPEPAGGGARRLPLRAEDEHAVIVAALAHVIAAGDRLAPLPAAAHDGGSSGTTQPAAAAAVLRQQVTTLAHWTAAPATTSPPPREAAATASTPAAEQPPRPRYRGVRQRPWGKWAAEIRDPVKAARVWLGTFDTAEDAARAYDDAAVRFKGAKAKLNFPARHRGGVQLPQRHQQQQQAAAAASTSAPRRHATAAPVAAAGAAGAARGHHQGDEFFPDLYRYMHLLQSGDVDLQQAISGRLTPAQSSTTTTSSSSAVARDDMGASGPSSDWQRQNWRKVPPELQLDCKQSLASCQVELEAAKSEIEKWHLAFQNIPALPAGSSPDPVSVISYLNNLKSSEESLKEQLEKAKKREAAFIVTFAKREQEIADLKSAVRDLKTQLRPPSMQTRKLLLDPAIHEEFTRLKNLVEEKEKKIKELQDNVAAVNFTPSSKLGKMLMAKCRTLQEENEEIGAMASEGKIHELGMKIAVLKSQNNELRNQFNVLYKHMDGVTNDVERSNEMVAILQEELEAKDSELRRLKEMLSQKEATEDRADEGDQAVNDLNTASDPQAPGAEAGGSMWCPPVPADEEHAVIVAALTQVASLGRQPPPVSVIRQEAATMHQHAAAPAPSTTAQQQQRRPRYRGVRFRPWGRWAAEIRDPEKARRVWLGTFATADDAARAYDAAALRFRGAKAKLNFPAEATQLRQQQAAAAGASTTSTTAQRRLGARLESQPAATAGPPAQSPAWR >Et_4B_039736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28095485:28096884:-1 gene:Et_4B_039736 transcript:Et_4B_039736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKPDEEDQIAESEEKAAAPAVSTRKRKRTTTSAAAAPCDSSGMCDDVVRNIFARVPAPWTLSTHHRRMIRCPEFRSLHCRLGPPLPQPHIAYIATADIKRRKDQDPVSAFRGFHVAGVGQHSSNAPMRSLAGSAYLTMTYVNTCDGIVLLADDWSRPNTCVLWNPAVTDEAKEVAVPEDPNVQAAPVAVAEAGTVGNRNENAAGLYARRAGGVHDNKSLRTLQSVGDGEISIKSLYIDGIIYLFHFKKAAVLAFDVDEETVTSINMPGNQCNPYYSPDFELQELSGRPCMQTYDGNSRALWLLTEDHRWEQRCVITELISYYGQETRDLSSCSISGVWDSGCGALVLCLYDELTGNHWLHMTNASTSQTFQVILPRNMMPELPGHSFCWGYKPTLLSPESIVGKLSQDEERHRELTADIMETLKPVTKNAQHCMLHGILGSDHAEAA >Et_1B_010740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13540386:13542883:1 gene:Et_1B_010740 transcript:Et_1B_010740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMENTSEKVKLLMGVLALQCCLAGFHIVTRTALNMGISKIVFTVYRNSIALALLVPFAYFLEKKNRPPLTFSLLVQFFLLAFCGITCNQGFYLLGLHYLSPTYASAIQNTVPAITFILAACLRLEQVNINKRYGMAKVIGTVVSIGGATVITLYKGMPLMNFFKSSHILGDNVVSPNLVWNWTVGCLFLLGNCFAWSGWMVLQAPVLKKYPARLSVLALTLVFGLVQLLAIAAFCENDSEKWKLQSGGELFTILYAGLVASGVSLSLQIWCIDRGGALFTAIFQPVQTVMVAIMAAIILGDELYTGGVIGAILIVIGLYFVLWGKSEEKKRSSRQADEADLSRRLLGEESSQAAKSVTNDVP >Et_4A_034046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29451729:29454084:1 gene:Et_4A_034046 transcript:Et_4A_034046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRMVNPVKIESQPPLGIANGQPTPMDGLHDGGPPPFLTKTYDMVDDLTTDAVVSWSATNNSFIVWDPHIFGTVLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANESFLRGHRNLLKNIRRRKPPHSSSNQQSLGSYLEVGHFGYDEEIDQLKRDKQLLMAEVVKLRQEQQNTKSALQAMEEKLQGTEQKQQQMMAFMARVMQNPEFMNQLISQREMRKGLEGVISKKRRRRIDQGPEADSMGTSSSLEGSQAVFEPQEPVESLVNGVASDLESSSVETKGYEVQQGVSSNGSERLRSRPSGELNDAFWEDLLHEGGFGEDAGNPVGQNGM >Et_3B_028793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19178842:19184355:-1 gene:Et_3B_028793 transcript:Et_3B_028793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKPFSLPLYPLPPASIVPHYLPPRLLLLKPPSPLCARVRHQPAPPGRAVSLARVRGWGIGEAAAAGDLSGPRFPGEMKYVLVTGGVVSGLGKGVTASSIGVVLKSCGLRITSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVLEKERKGEYLGKTVQVVPHITNAIQEWIERVAMIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTKELEDNVKEKLSQFCHVPAANIVTLYDVSNIWRIPLLLQDQKAHEAILKVLNLESFAREPKLDEWVARASIFDSLTDTVRIAMVGKYTGLSDSYLSVLKALLHASVDCRRKLVIDWVASTDLEDSTAIEAPDAYKAAWNSLKGADGILVPGGFGDRGVQGKILAAKYARETNVPYLGICLGMQIAVVEFARHVMNLSDANSTEFDPATKTPCVIFMPEGSKTHMGGTMRLGSRRTFFKVADCKSAKLYGNVAYVDERHRHRYEVNPDMVPEFENAGLKFVGRDDTGKRMEIIELPSHRYFVGAQFHPEFKSRPSKPSPLFVGLIAAASGQLDRMLDSYGHVSAKHPLSNGSYTSTVLQNGHAKKLANGLPNGTYYANGNVNVASVLSWLV >Et_1B_014166.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:33570857:33572077:-1 gene:Et_1B_014166 transcript:Et_1B_014166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLVMHCTADGASKESDDASGGVGPAAAVDLPLHLTEKVLCHMTLLESARLAVVCKSWASTVSALLATPAPQLYVCTPPDISSDRRGLVASVSLDGGALSPTAMPSRVRSADTNGLRCVGATPSGRVAFAAGWFSDQVVLVNPLTGARRSVHVGTSRLDHSRVLAGDAVFAVDGCTRMLWRPKQAARPCGGGEEEEEEWSACAVEPTLHHQQQPSNAIFSVVNCDGRFYLLHNDGSLSVVDGAAPPPLRMEKLPVARVTGGGLYVSGHLLVSDGEVLLVQQLVACDEEDESISVCGFEVHRLDLKGQRWTKMEELAGDRALFVSPGSSFAVRASDTAGCRKNCIYFVGKKQYYSNAACHQNYGSTWGVYSMEERRVLFEHAVTGPGRGSEAVWFLPRVVSRIYS >Et_10B_003631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4079979:4082640:1 gene:Et_10B_003631 transcript:Et_10B_003631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSAAITFSPPTAPRPITRTPTAAVAVRVFSPRNQGGTRTSLRLLCRSAPAGNKWWSPQLRPEDLVEPTGRGAEEVEAIQDAMVWEPLRPIWLAAQEIAATRGNLFRCRCFHAGIVTGPLLLVGGLCQLYRVAPNLFMDIVLAYLFYKLSVLAADLNRRGKDNTICARIQCGELGNLLQPFDFYYHFTEYVWAKAFEVYSCTVFYECCGVKYPRHVLEAVFKKMLEIIGRPIVTLKLNNIDAN >Et_2B_021310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28557432:28561922:1 gene:Et_2B_021310 transcript:Et_2B_021310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAATGSGGGLGDSTQEHQKYMSESSRCPRCGHKISRLLDWVGLPAGVKFDPTDQELIEHLEAKVRPSTAAAPSHPLIDEFIPTIEGEDGICYTHPEKLPGLTKDGLSRHFFHRPSKAYTTGTRKRRKIQPPTSSSSSAPAAPQQQQQQQRSETRWHKTGKTRPVVVAGRQRGCKKILVLYTNFGKHRKPQKTNWVMHQYHLGEAEEERDGELVVSKIFYQTQPRQCAVAEAATAASAVTAGEMSGAHAAVAPVETSSNVGAAFQGATGMDEFNFAQFRSSFEEVGVGASGQVQARDDEEEVQGVQHHLQQEHDLQHQYVNQEQQRMAAAAAAFHIRTPTDPIATLITPPPLVHHGSVILRPPEQYDHAINYHHHQQEARQAHQPEKFDGCSTSGLEEVIMGCTSRRSKGMETSGGSKASTDWQYPSYWPSDNQDHHG >Et_2A_015419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12367195:12370695:1 gene:Et_2A_015419 transcript:Et_2A_015419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQLLESARAGDAKKMRRVASENPALLLGTTAQGNTCLHISSMYGHMDFCTEVLDLGLDQSLSLLSIANKDGETPLVTAVLNGHDSLASVLLKCYSDQHLNKAVLMEDHHQCNVLHHAIRSGYRKLALELIEAVPSLSRARNRFNESPMFIAVLRGYTDVFEKLLEIPASEHSGAIGYNALHAAVKYGNVGIAKSVMETRPGLAKEEDQKKDTPRHLTAHFKRVSILRLILKCDCSLGYIISSKGDPLLVTAAMRDAPYCDATGKTCLHEAVNNNRMEFVEFILQEPKLRKLINLQNAHGDTALHLAVEKCNPKMVSALITLTSMPLELMTLVVRQIKSQKLILDDDPRAETDIYNLHEEIKNRVIDASRMDVKSLTKTYTSNTSLVAILIATITFAAAFTLPGGYSNDAGSEGLPIMARKVAFQAFIIADTLGMCSSLVVAFICVIVRWMDFEFLLHYRSITKKLMWFAYMATTLAFATGLYTVLAPRVQWLATAVCLMSVLLPILTLLGECPCVVTEPKKMLDKYKL >Et_1A_005433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10339142:10341018:1 gene:Et_1A_005433 transcript:Et_1A_005433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KMVEDTSSSPDTAELSGHVAHKNVFYDKDVVEIKFADTIDSDKYGGYFVKDVCIDDGALFHQKISEEKQIDRRSSPNFGCQMTEANSELIYRKKDDASKLEAIVPVDLSPGCRNEKQYSIGKEGHDHDGGISTDYIAGDPGEKKISLQELLLLESAEESRLASTIKCESSEKQHHRHEDTVSQASKNDSHEIQTVVPETSEHISSDASSMMSKDHGAALEVKRPNQIDRHNPFIDHRSLVVEDTLEPECSVPTITDDAFTEPICTGGETDSFSNVVSGSTGSNEVRTADSGVDSAISSNSDIQSSEKSKDDCENLSSKADIMEVDEAAAIASSSPNNVEPSDLNGENQEKCDINGVSDVHDFNQIVEESGIDPDNAVSKSSTLVHAGSAVMQTLPEVSKSSFRTSNDDPYESNFFGPSIMSAPVSNSGHIAYSGNMSLRSDSSTTSTRSFAFPVLQREWISSPVRMAKAERRHFRRRLGWRKGLICCKF >Et_7A_051710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23419843:23420577:1 gene:Et_7A_051710 transcript:Et_7A_051710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIGNSVINFVRWDKFEPEDNGPKREDPGIICALWSPQCCQDMLPAFLRCFPNVETLHIMSGKTEETTGKLNLKFWQEAGPIESIRSHIKTMIFHEFQGGGQVSLPSSSSSSRLLRFSRMRLSWGPKEVPFLRLTPENWGSNCSVHISQSSGLGGSELFCLRAGFEFSISDPFRYYDS >Et_7B_054397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2123564:2126402:1 gene:Et_7B_054397 transcript:Et_7B_054397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NGGGGSHARATRPLRPVPRPGPRAAAPGPHRPPRRARPPPHRLQPLTLPFPSHPHLPPGRESTKDCGPEQFPTFIHAFGALREPILAWARSQSNPVVAVIADFFCGWAQPLAADLGIAGFVFSPSGVLGTAVPHSTFRRLVKRPAGSNDDEFRIKFPAIPGEPAFQWRENLMMYRNYMEGRLDEQIGESIRQNFLWNLESRGFVSNTLRALEGRYLDSPLEDLGFKHIWAVGPTPPSRAAGRRLSWLDAFPEGSVVYISFGSQAVLTPAVATALAEALERTAVPFVWVVGAGNDGVVPEGFEARAAAARRGTVVRGWAPQVAALRHPAVGWFVTHCGWNSTLEAVAAGVPMLAWPMTGDQFVNARLLVDEARVAVRACKGGVGVVPDAGELAAVVAGAVGEKGRGVSERAKELAAEAARAVKKGGSSYADLEEFAKEIRKLLYPDKLRSTVPITRSPPRHTAVLSS >Et_10B_003407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19548340:19556960:1 gene:Et_10B_003407 transcript:Et_10B_003407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRVALQAAADGNLRLLKKASKQVELRIVRDAGGQNLLHVASAKGCLDICRFLIEDKEGPGLHVNSRSTAKGDTAVLLAASEGHLPVLRYLLGRGGDPAMPGARGITPLHNAANNGHCDALKLLLSQGVPVEPLANMWTPLHFAVNRGQHQPLRILLDHRADAGVDVNFTTPYGQTALTDTLTFCLPGITELKEVGADANYHGKEVLDDSLADIFKFLLEAGADPNIPNEHGKIPIMLAAAWGPRNLVEILFPWTKPIPSLPELNVDAIIRTMKLKANAMKIDPLDAALFANRSVSYLRMGEGQLALADAQRCTMMRPRWAKAWYRQGAALSLLKVLQQLRTSNLAQQVTCGGPAAAVPQHVSGWWKAGQRVKVANLSAWTHSHTLAPLRQLPQVNGQHLFRVIQEHLEISKVCLFFLVKSVLQIKCYGIDE >Et_6A_046322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1297561:1300188:-1 gene:Et_6A_046322 transcript:Et_6A_046322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKKSDKKAALDFAAWSFNITTSVGIIMVNKALMATHGFSFATTLTGLHFVTTTLMTVVFRWLGLSQPSQLPLPDLIKFVIFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDHVHYSRDTKLSIMVVLIGVAVCTVTDVSVNAKGLIAAVIAVWSTAFQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFVDFLLTGKRVDHFNFSSLALFFLTLSCFIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNLQVVLGMILAVLGMMWYGNASAKPGGKERRSVLPVRSEKHKGDSEEKIGAEK >Et_1B_013740.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:14854758:14855504:-1 gene:Et_1B_013740 transcript:Et_1B_013740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSGAAAVCAICLGDLGHGQALIKAECSHVFHLRCVSNNAAQGRRDCPLCMATWRDVPVIQPAVTGPYADDDPVAEPGAQPAQASSGVGAADAGTVTQLISVRCTYTDTATGRAADVAGEDAVVRRPAEHVDGDDELSMEVERERVRVAAAEDMAAARAAADRGDRLKALRHIKIGRALVKTSAAFAAGDEECNELEEDLHGMEVGMEDDAAYKKFGRTRLLAGMCAHRMQRASFAGVKDAVVPVI >Et_2B_018930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17455619:17456010:1 gene:Et_2B_018930 transcript:Et_2B_018930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LTATAAAATTAATASASAATAAASALAAPRLAFAGAGFFFGRSSPLLEWPQMKTANETTQTETTNTQSPNIHDGAKLADAAAAAPAADARILA >Et_9A_060947.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:2003734:2004009:1 gene:Et_9A_060947 transcript:Et_9A_060947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDASIFVQVGDGTLSFFLTDKWLDGSSIQDLAPDLDLVRASHCAANAEEQNSAPRNDQQAMDSRYHQNSHAWWWPYCNTWTYGAGSNQCT >Et_6A_046689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19529853:19534725:1 gene:Et_6A_046689 transcript:Et_6A_046689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKMVLELRERLDRTLALPDLADEASLRALLKKQILASALPGSDEGDVDLIAEARTKEVSEFLEMLNTSSDRPSKVNGAPQKEWKVKQDTDQLRVMYREGPEGTPFHTLLAEGFADGPIDVCTCVSWEAGLYKKWFPQYNLPTFKIDQSGCLKKVRIGEEISLVRVKVPWPVSEREALLHYFELEYLKEDVVIVIMKTISDLDSINTRTHGFTRDGIPEAGDTVRIDVFGGFVLQRITKEKSFFRAIANMDIKLDFVPPWLINFISRQLIGSGHKLYQKAVSTVASCDEDYKKALRAPLYVRIREYQDLDNKAKLTPTQENANEILPENPTAQNLLAVTNRTSVCEIVEEESEQNTFFKDNLLTSPSNKPADQVQLVEDKPFISSEVEQALGILDTAIAVLRGSKTENISKLQNLLGYDANLEDSTVGLRSSQINLLNSDNLPNGQPATAATQGSREIRETNDLHNQKVSHRVEDDIGNVTLQNSTTSTVTKTMSMTLRSTTKVHGEESLNSNVFHQNSVHNNKQSRSKKNRRMQSRGATTDAKRSFPDFCIAPNAETTRCRRRLVQLPIGRG >Et_4A_032142.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:20747316:20747792:-1 gene:Et_4A_032142 transcript:Et_4A_032142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DAKWLIGRRFTDASVQSDIKLWNFKVISGPDDKPMIVVHYKGVQKMFAAEEISSKVLIKMCEIAEAYLGTTNKSAVVAVPDCVNDSQRQGTKDAGVIAGLNVNVVYELTATSMAYVLDNKSASHGEKSFLIFDLGGCTFDVSLLTIKEAIAGNTHLGV >Et_5A_040252.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:5687656:5687970:1 gene:Et_5A_040252 transcript:Et_5A_040252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAVPTGIKIFSWIATMWGGSIQYKTPMLFAVGFIFLFTIGGLTGIVLANSGLDIALHDTYYVVAHFHYVLSMGALFALFAGFYYWVGKIFGRTACSFCCVSV >Et_6B_048262.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:3256694:3257200:1 gene:Et_6B_048262 transcript:Et_6B_048262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGKVATLLGLLVFAILSPQRVSATYPTCTGTQKGEILWDCKSYIRMRPPPPRWPLKKDSSCCESVRQVPNRNMHCAISMLSDEEKRNYSQDRILKLQELCAPAPPPPGEAKDSLPVS >Et_1B_012643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3414305:3416630:-1 gene:Et_1B_012643 transcript:Et_1B_012643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRSSRHRGKVKSFPKDDPSKKPHLTAFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPRGLRTLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKFDSDAGKKEIQMQLEKMKKYASIVRVIAHTQVRKMKGLKQKKAHLMEIQINGGTIAEKVDFGYNFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKAGQESHDASTEFDRTEKDITPMGGFPHYGIVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALENINLKFIDTSSKFGHGRFQTTEEKQRFYGKLKAT >Et_1B_012573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33319591:33321694:-1 gene:Et_1B_012573 transcript:Et_1B_012573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAGSKRGGGRGRKALVAVLDNEANISAGKADAVHSSAQKAKRAPSRSSKAKAAAAAAEASPVAAPADDMAELQGMLERLRLEKEKVEEMVRERDEVIRKKEEEIETREKEQERLQAELRKVQRAKEFKPTVSIPLVKSLLEKDQEGDDKGKKKKGKGKTGNERKKPCPAYVLWCKDQWNEIKKENPEADFKEVSNALGAKWKALGAEEKKPYEERYRQDKEAYLQVVGQEKREAEAMKLLEEEQMRWTAKELLEQYLKFRQEVEEGGDGKKGKRKNKKDKDPAKPKGPMSAYFLFMQERRADLVAEKKNVTEIGKITGEEWKGMTEAQKAPYEKVAKKQKEEYLKQMEVYKQKKTEEAATLEKEEEEQKKILKQEALQLLKKKEKTDNIIKKTKEQRQKKKQENTDPNRPKKPASSFILFSKEVRKQLHEERPGTNNSTMNALISVKWKELSGEEKQMWNDKAAESMAAYKKELEEYTKAHSSSA >Et_3B_029685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27125292:27127754:1 gene:Et_3B_029685 transcript:Et_3B_029685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREMELPLRAENSSFISGTETRSSHLGNGSAGAIAATELEKSESDRIKTFCTAVLSAIMVFITAALSNYKDMVPLYSNTSHTKAHLSNLLVAEGLFVFMTFMCGVVLLMFEIFVSQHGRRCRAWYRFLTALVAVTGMMLILADTVLVIITNKNSKVLAGILAPVIVLVSVLTSAGAWMEEQPRSTPDSSSTPGSSSTPGSSSTPGSSSTPDSSSRYDGTMKGAFDMAFVGTIVSFTLQGAVIFGYLKNPGVYQGKNDPPLDLAACYATSTLSLIVMMVCAMPLRLLPSGMLDALIMIVEKFRHAVLMALFMMAMVVAVEFLDGFVVLSFIADAIAVVLYYAVKFFSPRQPCEETSQYDSTLRMVTTGGFTLMNGVYAASVGIDHYSVYLKASMFILLLSVQSSLSRLAIRFHMPEMGGTAEICIASITLVFPLVAFLVACPLVLKVFLDLYLDRLNLQWIPCSLWACGIDVVRHSAPEVSKRRLDCIKSSLRPL >Et_10B_002638.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19691054:19691215:1 gene:Et_10B_002638 transcript:Et_10B_002638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDGFMDGSEMFLKAAVLCQHHPTRVQFLDLKDRDARIEFINFVWSNRDARI >Et_4B_036699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10834549:10843311:1 gene:Et_4B_036699 transcript:Et_4B_036699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSRILAASHLLRGSRFDPSRAAAAASGYRRLNGTINGPNAPKPLRSPLLGGFGPNCSVFPGNGTLLGRLGSFQPDFTYPPHCARPPRDTRGNAFSTSANAVAAGKPADDKVQKDISKKDVDHQIADTQILKNLAKYLLLNDSPDFRFRIVLSLGLLVGAKVINVQVPFLFKLAIDWLAALSGAEASLASFTETNATLLALFASPVAVLIGYGIARSGVSACTELRNAVFSKVTLRAIRSVSRMVFSHLHELDLRYHLSRQTGGLNRIIDRGSRAINYILSVMVFNVVPTILEIGMVSSILAYSFGSSFAWITSVSVATYIAFTLAVTQWRTKFRTAMNAADNASSTVAVDSLLNYETVKYFNNEQFEVEKYDKYLKKYEGAALKTQSSLAYLNFGQNVIFSSALSTAMVLSSYGVMSGALTVGDLVMVNGLLFQLSLPLNFLGSVYREARQSLIDMKAMFQLLEEKPGIRDEPDAQPLQFKGGSIEFENVHFGYVPERKILDGVSFTVSAGKSVAIVGTSGSGKSTILRLLFRFFDSTSGSIRIDGQDIRGVTLESLRKCVGVVPQDTVLFNDSIKHNIQYGRLSAKDEEVYDAARRAAIHDTIMNFPDKYDTVVGERGLKLSGGEKQRVSIARVFLKEPSILLCDEATSALDSTTEASILNSLKSLSVDRTSIFIAHRLTTAMQCDEIIVLENGKVVEQGPHDFLLTRGGRYAELWSQQNNTDTIDAAAAN >Et_9B_066001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2006401:2009913:1 gene:Et_9B_066001 transcript:Et_9B_066001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTFRPSSSAASATSLPRGCGGDRCASGRDAWPLHHVRHEGVFCRLCSSCVLLYHPAAFCSACLLLICPDAAAAGGGDPAVAPPGPTATCSDCGLSVAHLSCLPGDPASFLCPPCAAAGEGRPFSFTPPAPIGAGGRRAFDERSALVLLVAARLANDSVGRAAAAAREEAERRVAEAAAARKRCREMLDSAFRALEAEAREPKKPVAALPPPPQPPKKKTPKSNEANRDRDKLLKLNAMQQPALAFAAAAAAAAAASSMPLTTPASSRDEKKPVVKQEPQALKNRVLVTQIKDDKTFSLKQH >Et_5B_043329.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:406228:406449:-1 gene:Et_5B_043329 transcript:Et_5B_043329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVELDCLESVKLVGPYVHPSHKCRSLVEKCDMACICRTLDPKDENAMSAIKLVQLAHDHGQPLPVESKCGSK >Et_9B_063737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9368135:9368509:1 gene:Et_9B_063737 transcript:Et_9B_063737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SAADGNATKTPAEVVVVEVLPKTTFLRNVGIKTKGIKTGTAGGARVEELEKQMERMAKQMKEAEAARVKEAEEAQARMLKQAQELETLKKASEETQTLLQDLVQRHKGSS >Et_4A_032882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15027519:15030782:1 gene:Et_4A_032882 transcript:Et_4A_032882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSQLEPVATIAQIAGVDAYGLIALIVQRAKTVRRNRDECAQLAQQVEAIGALLPKVQREHPDMDHALGKLEATLREACVLVSACQGSSYFRRFLRSDKHARQFQRIKEMIDFYLQIFPVISHIDTTRRLVNILAGVESPSIIQVSSLSSLSLSLWGSNYRFLKHGHGAERAAILIKSFGPYNTLAQATNNFAHEYKIGEGGFGRVYKGQLQGLPLAIKRCFIESSPERLSDFENEIKYIPKLQHRNIVKLQGYCIEGKERILVYEYMRNKSLDKFIFGPRSGDSLNWDTLFGIIGGIAQGIVYLHLLSGLNIIHRDLKPSNILLDSEMNPKISDFGTARSGHPDIIQKADVIAGTHGYMAPEYSKKGIFSGKTDVFSFGSLLLEILSGKRNGTSYSIDDRKNLSLHEYAWYVVFEQKALTKLLHPSLHGEAPSQVDGQIRRCAHVALLCVQEDPADRPSMWDVVLMLNGDAGAGVGLPTPKRPSRRYGSTRRFEDILRDEGERYKKTITVVMR >Et_2B_018887.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:13790462:13790875:-1 gene:Et_2B_018887 transcript:Et_2B_018887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRRRNNTSLFESSTIITANLIMASSSYLLARFSPRTANAQPEPSTTGAATASAAWPSAAQTRSVEPMAGCRTVFMEPDDDGEYGKVDKAADMFIKRFRERTYSEAARQEAAVVRPPPPAAATSKWAGTVHRYQR >Et_7A_051658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22011421:22011946:1 gene:Et_7A_051658 transcript:Et_7A_051658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTINYIKHIATSEKERSPGRFDRNNTEITETGPERLHNSPVLPTFCMGTINYVKHIATSEKERSPGRFDQNNTEITETGPEQLHNNPVCLSAAKLTFFRVFVREPSSYIKHIATSEK >Et_2A_018823.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8277866:8279314:1 gene:Et_2A_018823 transcript:Et_2A_018823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPQQAPTTEGRSGELGDGTPHVMFIPSAGMAHLMPFFRVIADLESRGGVDVSVVTVLPTVSAAEADHFAGLFAAFPRVRRVDFHLLPFDAAAEFPGHDPFLLRWEALRRSAHLLAPLVAGVSAVVTDVTLASHVIPICKEVHVPCHILYIASATMLSLVAHFPVHLNNKQQDAGGGVGDVDIPGVRRIAQSWLPQPLLDLEKLFTKQFIDNGRALPNADGILVNTFEALEPVALAALRDGKVVPGFPPVYAIGLLKSSTEKAADEAAAGSSSPVIASWLDEQPARSVVYVAFGSRTAVSHDQIREIAAGLEASGCQFVWVLKTTTVDRDDDAEPADVLGAGFLDRVQGRGVVTKGWVDQEALLKHPAVGLFLSHSGWNSVTEAVAAGVPLLAWPRGGDQRLNAMALVSGGAGVWMEDWSWDGEDKLVTGEEIGRKVKEVMDDAAVRARAARVGEEAANAIAEGGTSYRSMQDFIGKLKGA >Et_5B_045150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10013801:10016442:-1 gene:Et_5B_045150 transcript:Et_5B_045150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCSCFCREDRLERECCRGGLLRAGASAALGVHIPDDGEEDRISALPDDMLLQILTRLRCARAAAHTGLLARRWRGLWARLPELNFHSTEPDLLDAALARVARPAGPSLLDIDFFDHHKFEPGRVASLLRASAALAPAELVVHVYAGFPRDAIEMPCFDRTTSIKLKVSYVGFTLPPAGGFPALESLHLEDCNIDLVDLLPRCLRLRELDLFNCNSDSVMVESPSLQVLGVSANMKTRHINIVAPALKRLYLDAYRGISKDDFSLSCSAPVVEDLTWKCSKCQAMSYTFGVIWRLWSMSLSTRLQPRPVNDTEGTCLQQRPLFHALWLHLETNVYSQDASKSFEQEISQFLFTNFSVLELGLTPHGHVYGAMVLYLLGLCTSIEKLNIALDFFDWGSRECPADCPCDQPSNWRSQTILLTDVKEVEIRGFKGKGHEVDLLKVLLRCAAMLERVTLSFSREASPSDIECMEIHSIFEAYPSVECRVYLRPVCTLYLVRFSFV >Et_1A_005042.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23175569:23176648:1 gene:Et_1A_005042 transcript:Et_1A_005042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEEDDEEEWRGFLTPERIEMVRQLEPWVEEQVLPLLKPVESSWQPSDLLPDPATLGSDGFHAACLDLRARAACVPDELLVCLVANAVTEEALPSYPSGLNRVAGVRDATGRDASAWARWIRGWAAEENRHGDVLNRYMHLCGRFDMREVERAVHRLVRDGMVVRGPPESPFHAFVYVAFQERATAVAHGNTARLVGGAGDATLARICGTVAADEKRHEAAYTRVVAKLFEADPDAAVRAMAYMMRRRIDMPTSLISDGRNDDFYARFVVPIAERAGTYTLSDYRGILEHLMVQWGVQNLAAGLSGEGRRARDYLCALPQKIRRMEERARDRAAKAGKKPTPVPISWIFDRPISVVLP >Et_6B_049852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1537701:1540796:-1 gene:Et_6B_049852 transcript:Et_6B_049852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPYPDWSELPADVLGRVLGALEFPDLFRSSAVCRSWRATARDLRRFGLYTRPQTPCLLYTAAAGARAAELYSPADRATYTVPLPDPPIGDRYIIESSHGWLVTADARSELHLLNPATGEQIALPSVTTIEQVRPVLSDDSGRLEKYEYFYYDGNLRSKDMDTSVTYPLETFREHFYLKAVLSSDPSRGDYTVMLIHQPQYQLSFARSGGKQWNWVKFKNNTQFSDCICNDGVFYALTFHGAIHAIHASGDSFTQRLVLKNPMAKIWNVYIVRTPEDEILQVLRIAEYGDNVEPPELRTTEFLVYKVDYGKLSVALVDNLGDNALFVGITNSSCLSVKDHPQLLPNHIYFDDDNEYWLRQKGGRRDVGVYDYENDTVTDIVSPQPWPNWPPPIETLPIVEFAPFVAYNARWRMPYDAGDSPSGSNLYYSFDAAGGAAHVVMLGSYAPFEEGSEQRRWLERDLARVDRSRTPWLVVLLHAPWYNTNEAHQGEGDRMRRAMEKMLYDARVDVVFAGHVHAYERFTRIYDNEADSRGPMYITIGDGGNREGLALRFIKDHKSSHLSLFREASFGHGRLRIVNETSAVWTWHRNDDDHATVRDEVWLESLAAPKQSLATTAGRHADEL >Et_8B_060413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14027472:14028119:1 gene:Et_8B_060413 transcript:Et_8B_060413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEAAVIASIEHRPINKDLKIAVRVGSFWSLPDGAPKTYLRGRKLPLIDVAMNYELIQLVDYVAEHYMWGSKQYLSMWHDDDGNNCVAINLMNNCMSGFSST >Et_7B_054400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2156161:2160035:1 gene:Et_7B_054400 transcript:Et_7B_054400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRLPLLLLVLPLLVAASSAAGVAPGAASHSQPTLPTPVQAAAPSKAPATKTPTAAAPHSQPKLPAPVQAAAPSASPVTRPPTAAAPRSRPTLPAPVQVVAPSKAPATRPPTAAAPHSRAKLPSPVQAVAPSAAPPAGALLAAFLAKADPSSHLRAPPSAAAAACSYPGVSCDAAGQVTRLVLESSGLNGTFAPATLSRLADLRVLSLKSNALHGPVPDLSPLANLKALYLAGNRFSGPFPPSLASLRRLRSIDLSGNRFYGALPPGIEAAFPLLTLLRLDANHFNGSLPAWNQSSLKVLNVSYNDFSGPVPVTPVMTQIGAAAFAGNPKLCGEAVRRECHLLFFHGGASSNVSAAPPVHSVAAGDVGPQEEPDVPDSRAKKSRRRTTVAVGVAVGAALAVLLCAMIAMKRSKKQRRPRSVAYPSPKKSAAASDVSRDGADIGYVECVRDEETAAIMVPEDKARRLERSGCLTFCAGEAASYSLEQLMRASAEVLGRGSVGTTYKAVLDGRLVVIVKRLDAAKIGPAALEAEAFEQNMDAVGRLRHPNLVPLRAFFQAKEERLMVYDYQPNGSLYSLVHGSRSSRAKPLHWTSCLKIAEDIAQGLAYIHQASRLVHGNIKSSNVLLGSDFEACLTDNCLSFLLESSEVKDDAAYRAPENMKSNRMLTPKSDIYAFGVLLIELLSGKPPLEHSVLVATNLQTYVQSAREDEGVDRDRISMIVDIAAVCIRSSPESRPTAWQVLKMIQEVKEADTIGDNADNDSDLTSNS >Et_6A_046419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14768156:14770228:-1 gene:Et_6A_046419 transcript:Et_6A_046419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPIHLVSQGTSYNLGRTQPVSNAQFVSFLGLAAAPAVDNEGYVYPKSFYYGILPMAQMWANYGGVMLWDRFYDKSSNYSRYVKRWA >Et_1A_009130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30115665:30118538:1 gene:Et_1A_009130 transcript:Et_1A_009130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLFRAPALAWILVIMGENKWMGKRWEDMDTDVLVKIFKELNLVELSPVSQVCRLWRLACADPLIWGTLDFGLLKSNFIQTRASPYIWVDDRSDKRLAKILRLAMAISQGNVNCMIFHYNLYMKDEHLHFISERSPHLKRLVMPAWNRITKLGICQAIQRWQELESLTMPTIGHPPYIVEEIARSCKNFTELKIMGSFDLLFASAISQYLPKLKVLSLRCSKVTMSALICLLTSMEYLEILNISHCLLLDIAANGKRQVIHELDDQTLEKASRLREFLYCQSRSCIACQRMVVDEGIMRWYRYEDWFWRRDEMRLMILVDKKAKHVT >Et_1B_013583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9759963:9762876:1 gene:Et_1B_013583 transcript:Et_1B_013583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WYWNSAADSNTTPGGSGAVDWQDPGYGADHFFYENGGNGASGSASSSLPLQQNMYLPQHAQTSYYWPTGGTHSAVEHHVGNGSSSSSLPLQRMIPTGAYEPRHARTEDHWRAGAVDHQRFDDVFHGNGDSRRGVMTFPSPRVRAGGMSAPRFHYAPNGTRRSRSPLQKCYAGHVDNIISNSLRSLTIGNDVVVDQRRRRRFLEVRNQGRALLDAGDLQDALLSPGRGLLSDDRYDGMRLEDVRGSMCSVAGSLPGSQFLARMVDDGGAAAARQVFQEVAGDVVRFMGGSPGHVLVETLAKFWTDEEAITRVLGVLAAAKPAQIFITDVVVKSPHRVCLDRHGCHVFTRCINMAGDEQTRALLVRAVCRDGLALAEHGAGNYVVQHVIETAAPWAKDSLHRAFRGRYVSLARQKASSHVVQRCLQFFSREQADEIVRELLSCDWQCTFRDLISDPYANYVVQTAMERTEVRALRSSPLFTGKDLSCLMNFTTREEVFPDSSTGQMHRTLLNAIARYKDVLGEDRFAK >Et_3B_029719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27381529:27385969:-1 gene:Et_3B_029719 transcript:Et_3B_029719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFASARMASPPEPGPYMPDLPAVPAWLNKGDNAWQLVAATFVGLQSMPGLVVLYGSIVKKKWAVNSAFMAMYAYASTLIVWVLLGFRMAFGERMLPFWAKAGPALTQDFLVQRAAFPATAHYGKDGVLETPRTEPFYAEASLVLFEFEFAAITLVLLAGSLLGRMNIKAWMVFTPLWLLFSYTVGAFSLWGGGFLYQWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWLGWAGFNGGAPYSPNVTASVAVLNTNVSAATSLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVHTWSAMLMGAFAGSVPWFTMMILHKKSSLLMKVDDTLAVFHTHAVAGVLGGVLTGLLATPELCALDSPVPGMRGVFYGAGIGQIGKQLAGALFVTVWNLVVTSAILLCIGLFIPLRMPDDQLMIGDDAAHGEEAYALWGDGEKFDITRPETTRTGAASGAAREDTVEQRLTSMGARGPGPYAVDLPSVPAWLNKGDNAWQLTAATFVGIQSMPGLVVLYGSIVKKKWAVNSAFMAMYAYASTLIVWVLVGFRMAFGERLLPFWGKAGPALAQDFLVGRAAFPATAHYGEDGALVSPRLEPFYPEASLVLFQFEFAAVTLVLLAGSLLGRMNIRAWMAFTPLWLLFSYTVCAFSLWGGGFLYHWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRQKSDRERFAPNNILLMIAGGGLLWLGWAGFNGGAPYAPNVTASVAVLNTNVSAAASLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITAGAGLVHTWSAILMGICAGSLPWVTMMILHKKSELLQKVDDTLAVFHTHAVAGLLGGVLTGLLATPDLTALHSHVPGTRGVFYGGGVAQLGKQLGGALFVVAWNVVVTTVILLGVGLLIPLRMPDEQLKIGDDAAHGEEAYALWGDGERFDVKRHEAARPRPWSNGVGEETADNGLITGTGARGITIQL >Et_4B_038722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4275957:4280359:-1 gene:Et_4B_038722 transcript:Et_4B_038722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAPIESSATVSTFDVSKLILNSPKPPSLREELVGVVGKAFRPRKTAGSGGRQPRWAWVLTALQCVFPVLQWGRSYTFKAFRSDVMAGLTLASLGIPQSIGYANLAKLDPQYDTSVVPPLIYAVMGTSREIAIGPVAVVSLLLSSMIQNVVDPATDPVAYRSIVFTVTFLAGVFQVSFGLFRLGFLVDFLSHAAIVGFMGGAAIVIGLQQLKGLLGLSHFTNSTDVVSVIKAVVSALHDQWHPGNFFIGCSFLIFILTTRFIGRRYKKFFWLSAISPLVSVILSTAAVYATRADKHGVKIIQNVHAGVNPSSAKQINLSGPHVAECAKIAIICAVIALTEAIAVGRSFASIRGYKLDGNKEMIAMGFSNVAGSLSSCYVATGSFSRTAVNFSAGARSTMSNIVMSITVFVALELFMKFLYYTPMAVLASIILSALPGLIDIKEACNIWKVDKMDFLTCLGAFVGVLFGSVEIGLAVALAISFAKIIIQSLRPQVEVLGRLQGTNIFCSIRQYPVACRTPTVHAIRMDTSFLCFINATFIKERIIEWVREEMETSGEKARERVQSVVIDMSNVVNIDTSGLTALEEIHKELVSLGIQMAIAGPGWQSVQKMKLAQVIDRLGEDWIFLTVGEAVEACLTAHKGTALEC >Et_4A_033983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28701704:28706735:-1 gene:Et_4A_033983 transcript:Et_4A_033983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SAGASSPAIQTNVASIDWLGGRQASRVDSSSQVAPHACQPSHSFDAVGTALDSAPTCRPWEHGDLLRRLATFKPSTWASKPKGASSLACAQRGWVNIDHDKIECGSCEAHLTFSPLPSWSPVEVANAGEAFAEQLDAAHQNNCPWRGNSCADSLVQLHLTQSALIGGFKDRCDGLLQFTSLPVVASSAIENMRLTRGTQIDHLLSQSVTFLSGVLGCKAESTAGIDIHQNSSCGYSQAQKLISLCGWEPRWLPNVQDCEENSTHSAKNALSVGPEEPFYPHFVDHRNSFSASAKKDKGKGKMPLNDSGCSMRSPLLDCSLCGATVRMLDFKSVLRPSRLSPNNIDAPETGRKPTLTRGISAASGINEWATDGVERGQAEGRDEAATNEGKFGVDLNLTMAGGLTSTQSAMPASSAQFNNGGIGRDLMIGQPTGSEVGDCETSYESRGPSSRKRDLEEGGSTADNPQDRLQHADSIGGNFIDRDGEEVDDAAQDSDIPNKKSRGFDLFDAYRSSSGAGPSRNLCFDLDADAAMFDHSRDVDLATVDRPAARESMRASSVIAMDRVRASEENSMDSVEYYPGNGNDIDMPSSSMHNNVEMNDVLDLNYSNQAQQSANAQPAAGSDARDIGGSSTNDGEEVINAETAPTFGRDQLSFGISGSSVGMGASHEAEIHGNAASLHRTESVVADVEPVAELTETMGQTGESAPGPGLMDEFVPEEVDREEPHGDSQDMMSRSVGQADSGSKIYGSTKADSVESGEKMGNDTGHGSSMRPSLSCNAGTCAGFDPSKDDVTQAGKALTSQDALKGLDYDPGNRLGATNGENDYESGLPEFDPVKHHNSYCPWVNGTVAAACCDNTGSSSSTSTLSGWQLTIDALDTFQSLGQAQNQMMQSDSAASLYMDDQMTRNHKLGRRASVSRSYGKC >Et_3B_028146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10948771:10949227:1 gene:Et_3B_028146 transcript:Et_3B_028146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREKFLINGPFEMGNYLISFEKHDEGENFKGLDTNRSVWLMLMNYPLDARSTNDVLSRIVVHAQMHDDARIPNAVIVSVGLAPRIRTWTVQIEALCATNVNLGGDEAPTPQQGPLFPIPAPAPRWTGYRAVGP >Et_2A_015967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19786661:19801150:1 gene:Et_2A_015967 transcript:Et_2A_015967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAARRLELADRWRKIQEAEEADDDGEPSEAKHSRLIRAKEEFSHCYNFLVNLPEEEHMWCGYADIMVPFLETFHGFFDDEDESSSLRIIWRRVSQELGICTQCVCEHHQGQEFFNTEYRSDTVDPLLKVLHLLDEERVTEHLTQINAKIQRKEYDPSCHGAEVVSIMFEVLMYPVLLDDQSLANQFQMFIETIDESYEVSLSTNQQYPGAYALLFFKSCKARAIGLRLARSMGKLRRAVDLDPLQPLLQKYINFLETEVLPSTSEHPRPRVQLRRADIWLGFKSLYSLEALQDGEHEKQRRNILYFLLHQVTRSSNFSALMRKSATKIALLIVQRGYTMSPPCPPSECAHMGPSLISSLEDTSLHGSLRQPAFDLINIVIISDASALISYKLKYEHVPKSDVSNSVVFIDDDDELPFSHDAEEKNQSCWNDFSVLNKLTCRECNDWKCIPLLWYLTMVQLEPAKLPIAFSKAVFWALSHISVLEPELTRESLGPVNAWLSSHAREVSSTFTWQVPNGADDGGDGKDCINSLKVPQFCTLLLKMFKRLAIHVMTQIEQRGLQKQWSWEPMMAESLVLALVDHNDNTRQVGRAILEHVSQSKGLTSGLQFLCSSASSLFAVFLGLKYAVKMVETRSILADFHCFHHLFFVMCKLFKEVVAQKSSVAQPAKPSEGGFLRQPYSSVQISSPEHVVDITNWEKFCTLLSTTLWPFISTCLREGEMLICDKQCQISCVRLLELIPLVYERFNTYCRTQSCRSMTIVPDLTDISWLFHLVHWGKSSLVITRHWKQCMLSLVKELKSSYSNHQRYVEDLDDMISHDAVNIGELEERISNLKLALSKEAPVKAKRRGSIDLPIFTEPVDCPSSISHAVQERNTSRDSFLNIESTKPSHASDIQEIILLSDSEENTTSVDVSSEEVLSSVMDNDASIASGMLKDVKPPEKITLTDGHASLRPVSKDSSSNVASKGFAGMKKQGVLVNANDKFLLPNTVKAFVTPASQPLRPNLSSTTEKNKSVFRDISDNEDDPLESRSSLVSSKGFGGLKKQGVPVNANDNSLLQKIVKTSATPAAQPVHPNLSSDKEKFKSIFRDISDDEDDPLDHALDNSRRPQLVSRKPSILVPKRQVVQLPLPTEKRLGSGSMITSSRRLQPPKLSSWFKNILEMDYFAIVGLSSSEIVKKSALKEIPVCFDSQAQYVEIFQPLVLEEFKAQMQNAYVETPPDDMICGCISILSVERVDEFIIVRGRPENSESIKFKGCIENDLILLTKDPLKASEQQVHVLGKVERRENDKNKRLIFVIKFFLSNDNARLNKVKRLLVERSKWFLNRVMSMTPQIREFSALSSLNDIPVLPAILNPVSYAASYHESGNVYLDKLSHHMLKVLKSSYNDSQLHAVSVAIGSTKNQTKFDLSLIQGPPGTGKTRTIVAILSALLSLHTDNSYKLPSYESMDRSDFSKPRPKISQSATVTRAWQDAALAKQLINQRESPRMTERLLKGRALVCAQSNAAVDELVSRLSEGLYGSDGKLYKPYIVRVGNAKTVHSNSMPFFIDTLVEQRLSDELKTNNDGNLSDAESSSSLRAKLEKVADRIRYYESRRKLIEADKMENDSPVVPEGDEVDEVSDEALAGKLNSLYAQKRKVSAELASAHAREKKIADENKFLKHKVRKSILGEAEIIVTTLSGCGGDIYGICSENASSNKYGNFSEHALFDVVVIDEAAQALEPATLIPLQLLKSRGTKCIMYRMHPEISRFPSLHFYDNKLLDGANVVEKSASFHDHYCLGPYMFFDVADGREHCGRNSATQSLCNEFEADAAVELLKILRSRYSAEFTSRKIGIITPYRSQLFLLRSRFTSSFGPEVVAEMEINTVDGFQGREVDILVLSTVRASISSGDRHQVGEARSIGFVADVRRMNVALTRARLSLWIVGNARTLQSNSHWGSLVQNAKERNLFISIQRPYGLIFEKNRPSSKDIHGTSRSCHTNHLKQKDNEKIAMTSSQRRDARLQKEQATRASRNVEQQGKSLPTEQSKWASRWDQKVPKVQESIVRSSEEESEKKNDDLRGAKHSLEQNTNENSVLRKQRAGKRSTFHNGNHLELSKSLVKDSQEGSSVRRQVASNQPMEQNVFKETNKASFNQDAIQRSHNANQNNEMGIKDLPKCDIASPPAHADLQKLIQKAIGARKVSEKLRSDNSNKVDISLRHGKDLVQANQEDGACSPTDADAKIVNKSKKDRKSDSSKQVDTSLKHGKDLGQANQDVGACPSTDVDMKMVNKAKRVRKFSEKPRSGNSNEVDVSLSSQFGEASSHMPEFKKSQANNLASKKDLIAARKRQREDVESLLSSAFIPSKKPSLTHPAKKKN >Et_2B_022617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27933231:27937263:-1 gene:Et_2B_022617 transcript:Et_2B_022617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSPKDIALILLPLLLLCSTIATRAAAQPLASSQAKALLRVRRLLGNPPALEPLRRAPDPCALPPTPSLAVSCSNGQVTALSVVGDRKPDAASWRAALPATFSSDALFTTLARFPALSRLSLVRLGVWGPLPGAKLRRLQALQALNLTANFLYGAVPDDLTRMYSLQSLVLARNRLNGSVPSLSGLQFLEELDLSRNALGPAFPDVGNAVERLVLADNSFTGRIPAGVSKLGQLRFLDVSGNRLQGWIPSSIFALPALRRINLSRNRFTGQLPATTACAAALEFVDVSANLLTGARPACMRGNSSARTVLVAGNCFADGAKQQRPSSYCSPGALAAVLPPPQGSGGGKGKKGGHASQAARVNTLEVPAYRVYTLEELQEATKDFSSSNLIKSSPLAKHYNGQLQDGSRVLVRCLRLKPKYSPQSLVQYMEIISKLRHRHLVSIIGHCIVIDQENPNIASAIYLISECVSNGSLRSHLTEWRKREMLKWPQRVSASIGVARGIQFLHNVTAPGIVQNGINIEKILLDKTLTSKISGFSLPMISTSKNGKIFSETPFAVDDDIGSVNNEEQGDKQDIYQFGLILLEVITGKPTESLSELESLKAQISEAMAEDPELLKDIADPSIRGTFAVDSLSIVAEIALNCTADAPGDRPSIDDVLWNLQYSMQVQDGWASSESLSMSIKSMA >Et_8A_056260.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:18933097:18933114:-1 gene:Et_8A_056260 transcript:Et_8A_056260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSER >Et_6A_047242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2800844:2805135:-1 gene:Et_6A_047242 transcript:Et_6A_047242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAPQPTGGKVTPNLAMDVEATRMLNLTVLQRLDPAVEDILITAAHVTLYDFNIDHNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNAAQEVNGIWFYNQHDCEAVASLFGRILNAYAKVPPKPKVPSTKSEFEELEAVPTSAAIDGPLEPPPAPATVVSDAPDESLANYFNGAASIGSVSNAPLSGRVHLATESVASSHVPLIIPSPAPTHQPPPSVASSAPPLPLHDSNAHTARSTNLVTPAFFAPPSSSSASQIPPGSSVMPTAPPLHPAPAAAPSYGTPLLQPFPPPTPPPSLTPAHNDRPVVTRDRVKDALQRLVQSDEFIDLVYRELQKSLPTV >Et_6B_048419.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:19296947:19297081:-1 gene:Et_6B_048419 transcript:Et_6B_048419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRVIVKHVEILQSPYITLLLNAPLHINSGKQLGILLGRSCH >Et_2A_017446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34469884:34473293:1 gene:Et_2A_017446 transcript:Et_2A_017446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASAVRIVENRLGVQRNGYACHVHRRNYVIILVSWLSRFLHPLPSGKSQLHRVSAGTMDAHCYYLVRRHMLPPLQLPFFPSRTLHRHHRRDLRVAISVVCCCLTAADPHQERPWESYDRGIQSHAGSDLSRSLDLLADMQAAGTRPSAAAYARLIRALGRAGRTLEAEALLLEMRCLGPRPDAAHYNALLEGLLSRAQLRLADRLLLQMADDGVARNRRTYMLLLDSYARAGRLEDSWWVLGEMKRRGISLDTAGYSMLVRLYRDNGMWKKATDLIMEMQELGVELDVRIYNGLIDTFGKYGQLADARRLFDKMRAEGIKPDISTWNALIRWHCRVGNMKRALRFFTAMQEEGMYPDPKIFVMIIGRLGEQGKWDEIKKLFDGMRNRGLKESGAIYAVLVDIYGQYGHFRDARECVAALKSENMQLTPSIFCVLANAYAQQGMSPDVVTYTTLMKAFMRAKKFEEVSEVYREMERAGCTPDRKAREMLHDSSVILEQRGYVVVRVMANARLSGL >Et_1A_006674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2633967:2638724:-1 gene:Et_1A_006674 transcript:Et_1A_006674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKRTVGIGMDYSPSSKAAARWAADHLLKDGDRVVLVHVLSKGADASHKELWKNTGSPLIPLSEFMEMNAQARYGLNPDKEVLEILQAEAKSKKVEILAKIYWGDAREKLCEAVDDIKVDTFVLGCRGLGPLKRALLGSVSNYVVNNATCPVTVMARQNYDTAYGNNR >Et_5A_040979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1633268:1635754:1 gene:Et_5A_040979 transcript:Et_5A_040979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSATAAGLRLAVVLTLLLCSATNAAAWSLFSSSSKKTTPPPQLDGATDVVADFSIDGAAKDPRGAKLLENARRRIAAPLATCWNEAYRRLFANCADIMDDKELQSRLAWHLSSCFQEDSGRPPLPTCDDRSAMVNCRKRLSDSEEKVFLEFFLETNSLCHQLQDTIQKLANFGQRQQEELLARQEEIRHAHDHLIQNSHFILEAQEEFRAKQANIFAALDKLYVLHNAILVESRFIKAFFFYCCIAFLIYMLTSAKQTFCHQGPALFRCQFWVLSKVLLLRSVFLGAAVLQILHSIFTFKDYDVLNHNLLQTLVEKVRAIECNAVCEDKMYDPYSSGSDESLGNYSWIVDELQDDVDSKVDPDFVVPQKTRPVLLEEIGENSIATCDSRRYNLRPRIRPR >Et_7B_054980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6101862:6110545:1 gene:Et_7B_054980 transcript:Et_7B_054980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAASASCVGNAPGSILLDVRANGGARRNATTACSKTSTGLPIEVTFFTEHPPVLSHFSVHCPGLQLQEGTDSSTPRAIASDADLVLLRVPVDPLVRYDDYFVYRAHPQDPKLDLLPKPSHDRLEDKEIAILSCADDQKYAVAALKINPFSNSTFTLYLYRPKPDGEQGSWRVQQVFLEEPLLDRVWPISGETGEMMYHLTTKVITPGGAKGTIGWVDLWHGILLCDVLDKSLKLRHLPLPSTTRDNKGNFLNRSDNFYQDITVSERKDSIKYVEMDITPPSLVTIIPSGTPNPDSYLEWVGRRECLATPPLVPGRWKVTTWIMSILVTPWEEWRIDCTAKSTCTRVDNPSGCDLLHKMSSSHKDKEASQATLSLGSLGMAYPTMSIDDDDVVYLLTRAAIMAENTTGVVVTALDRKYGAGVSMIDRKENTVFKRCYLASGISKHLNTTGTSQSFGQAEEHKQIPTRRRRRRGKRLSWSYPTMSIDDDDVVYLLTRAAIMAENTTGVVVTALDVRENMVRGVSMIDRKENTVFKRCYLASGISKHLNTTGTSQSFGQAEEHKQIPTRRRRRRGKRV >Et_2B_022224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14382530:14385093:-1 gene:Et_2B_022224 transcript:Et_2B_022224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQTEVLKEAISQVVAEAKEKNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGNLYTLAHTKSFVMCMAMSYGKFPTLVTHQESLESKVNETKAAVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPNRVF >Et_2A_017171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31731942:31736643:1 gene:Et_2A_017171 transcript:Et_2A_017171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAHGIRRLTVSTVHFARRAASGMATLPGGGAARFHDYDAAVTACVERRALREGRQVHARMVAAGYRPALYLATRLVIMYARSGALEDARNVLDGMPERNVVSWTAMISGYSQNGRPDQAWELFVTMLRDGCRPNEFTLASVLTSCTGAQGIYQIKQVHSFSVKTNFELHMFVGSSLLDMYAKLETIQEARRVFDMLPVRDVVSYTAIISGYTHLGLDEEALDLFRQLYGEGMQCNQVTFTALLNALSGLASLDYGKQVHGLILRKELPYFIALQNSLIDMYSKCGKLLYSQRVFDNMPERSVVSWNAILMGYGRHGLAHEVVRLFRSMREELKPDSVTLLAVLSGCSHGGLVDEGLDMFDLMVKEQSTILNIEHYGCVIDLLGRSGRLEKALNLIEKMPFEPTKAIWGSLLGACRAHANVHVGELVSQKLLEIEPENAGNYVILSNIYAAAGMWKDVFRVRKLMLKKTVIKEPGRSWIILDKVIHTFHSSERFHPRREDINAKIEEIYVAIKAAGFVPDLSCVLHDVDDELKEHMLLGHSEKLAITFGLMSTPSGIAIQIMKNLRICVDCHNFAKFVSKVYGREISLRDKNRFHLITQGICTCGDYCHGEEYASDGG >Et_10B_002843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12305604:12306037:1 gene:Et_10B_002843 transcript:Et_10B_002843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERERRNAGR >Et_2B_020122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17046983:17049535:1 gene:Et_2B_020122 transcript:Et_2B_020122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRPSSEQGVMAGREPFGLPKSPPTPPSSGGLQSVRMAYTTDGTPVFAPVSSAPPATSAYQPVGVAPGPNVASAGGNGAASLPGGAAEPLAKKKRGRPRKYGPDGSMSLALVPASSAAVPGAPGPFSPEAAKTPNSAPSASPDGGKKRGRPKGSTNKMKHVPAIGAIGAGFTPHVIFVKAGEDVSAKIMSFSQHGTRAVCILSANGAISNVTLRQSATSGGTVTYEGRFEILSLSGSFLLSENGGHRSRTGGLSVSLAGPDGRVLGGGVAGLLTAASPVQIVVGSFNTEEKKEPKQKQQRQPQLAPSEPSSAPPMTALMGGTTAPSSPPSRGTMSLSESSGGPGSPQHPGSTAAGNHVQQSGGFSSVSAWK >Et_1A_009234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34795404:34795797:-1 gene:Et_1A_009234 transcript:Et_1A_009234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSAGTEIVEELCIYGDGCFWAKDALRLALMDSEHSKNVYCGQYNVSVDGEFPTPDDLVRYDRFVVSGSPHDVCGHDA >Et_9B_065944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18444889:18450158:1 gene:Et_9B_065944 transcript:Et_9B_065944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKNVAIDDDEYSFPQDDAADPAPPPPAAEKEKPKKGGGKKGKKGGKAALPDDDDYEPPPPPAADEDDDEPINLVFTGKKKKKKGGAPVSSFSAFSALGDGDEEQDEDEPAAEPESASHPAEDDDLDLDFSKAKKKKKKKDKGAHKDEEDIDLDEPAPPPPDVAEEEEEPPAATAAKKSQKKKKKKGAFTVDDEDLDKLLAEMEEPPQPADEADEPKEVKGEDPVAVPDVDDAAGKKSKKKKKKGGFTVDDEDIDQLLAEIDGQTPPAEEPEPKVEKDEPSAATTVGDAEGKKSKKKKKKSGRTEQEEDELDKLLAELGEAPPVEKEKPAQASQSAPVPKEDVEAAEDGNAEEKVGEGEVESAAAKKKKKKKEKEKEKKAAAKEAEAKKEEKELEAPKGKVDMKKLPKHVREMQEALARRKEAEERQKREEEERLRKEEEERLRREEEERKTEEAKRLKKLREKEKLMKKKQEGKLLTGKQKEEAKRLEAMRRQFLEQSELQKAEGAVPQTKKKPIYDSKKKKNQPKTTETAKVVEEQQPESSEANNDEEEYVLVDQESQSQVEESEEKTEPDQEIEEPKPEEEEEEEEEDEWDAKSWDDIDVNLPKTSAFDEEEAKPAIKKAAEPVQKQEGSKAQPAVKKDVPPVANTKKSEMDDGVASNGNVKKNKGAKKGLVKEDSSKNGSDLRSPICCILGHVDTGKTKLLDCIRRTNVQEGEAGGITQQIGATYFPTENIRERTKELKADATLKVPGLLVIDTPGHESFSNLRSRGSSLCDIAILVVDIMHGLEPQTIESLNLLKSRDAVFIVALNKVDRLYGWKRCTNAPIVKALKQQNEDVKREFNMRVTDIVTQFKMQGVNTALYYKNKEMEDTFNIVPTSAISGEGIPDLLLLLVQWAQKTMEEKLTFVDEVQCTVLEVKVVEGHGTTIDVVLVNGILREGDQMVVCGMQGPIITTVRALLTPHPMRELRVKGAYLHHKEIRAAQGVKISAQGLEHAIAGTALYVLGPDDDLDKLKDAVMEEMTRVGTPLCIPTKEFIDIGKIASIEINHKQVDVATKGQKVAIKIIANNSDEQQRSFGRHFDMEDELVSRISRRSIDILKQNYRDDLSMDDWKLVVKLKTILKIQ >Et_9B_066062.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:2237147:2238427:1 gene:Et_9B_066062 transcript:Et_9B_066062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIHAAPRAFTHRAMRARFLLQVTARSSARSPLLLPNSKQPNLLLPAASRARCSVAAGRRPPRRRSATSSSSIRAMASSQQQFPPQQQGSQPGQQHAMDPRPESIIESYKSANKLQGKVALVTGGDSGIGRAVCLCFALEGATVAFTFVKGQEDKDAAETLQALRDIKSKTGAKHEPMAIPADLGYEENCRRVVDEVANAYGGRVDILVNNAAEQYERPSITDITEEDLDRVFRTNIFSYFLVTKHAVRHMREGASIINTSSVVAYKGNKTLLDYTSTKGAIVAFTRALALQLADKGIRVNGVAPGPIWTPLIPASFKKEKVEQFGTEVPMKRAGQPSEVAPSYVFLASEQDSSYISGQFLHVNGGAVVNG >Et_3B_031454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30188286:30190384:-1 gene:Et_3B_031454 transcript:Et_3B_031454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQQHLYLVLKESEDGFSIHKIDMGDLEPDDMAADDLDSRARPLPDPLFRVEAAVGVRSAMHFAALGTRILALQPSPAGAAPVLDTRTMAITAGPLPQANEQIFCRSAFVAVGDSLYSMDRRWGRRGQCNFEVLQSAGSPPSGWSWSSIPSPPPFDPLYVVCYAVHPDARTVFFSVNGSPYIDPPYATHLVRDADNGATFSLDTETLEWAFRGYWRLPFDGQAFYDVELDAWVGLCRADANHGRVCSCQVLPPAATGGGEGDRRREEAPPSKLCKERLFRRKGRRHMGGDLVYMGSSTFCVLECVADRRLTKEERKQLRGATPPLHLYVRTFGLKYNDKGRLKVATCGRRACCFALPEGTECGDLLMWTLRALCFDDAWFVYWEEVSLEMSARLGVGAGLREFLTGKDASMAMPQRPPSPTPRCIRMYPSSPHVGPHEFLTIQYAVPSSLTPYPTAATPWSRSVPHSPVKTPSE >Et_9B_066054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21628754:21630133:-1 gene:Et_9B_066054 transcript:Et_9B_066054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGHTANAKKASKFEDSDGSGMPRPRSVSELPQRPSARLKASASSEARRPKKPVSAGAGSRVAELEAKLEKAHGQLAAMREQLAAAEKARKDARAALVEAKKRFAAKKRDGDVAPSAVEGNGVNGGMSMVATDVVDAVVPSEPEDPKADQVGSNAIPNPEPVDELRAKLMAKDMEAYELRAKLMVRDTEVDELRGTLMAKDTELDELRGKLASNDTEINKLAANLMAKDADNAELTRMAEEAAKARARETEHALREARLADLLRASEAEAQRARVQSEQWRKAAEEAAVVLGAGGGAPGAAGTASAEKRRHSSSGPGAGEGTVAEEEGASGKRKSGGAMRVLSDLWKKKLQK >Et_2B_022467.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2356498:2357715:1 gene:Et_2B_022467 transcript:Et_2B_022467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTARQSSPWRDLQPELLDLVLVRLTSLADRVRLRAVCRPWRRGTGLEHLPAPFPWSTLLDGAFLDIPGGEIHRVPLPDDACCCHGSVGNWLFLQHRGDRLSMTNPFSNHVVPLPDVPTIWGHRREVMPRYADIYPLALKLVHLSSQLNNNNNNIPPGSSSLFAVLITDRSYQSTVSICRAAPATATAFKDPNRERLFNVAFHGGKLYAISARKLFVLHLDDCSDAGNDKPPRVSSMEPVMNYKDDPETKFKSFSNKGYICAYWSYLVASGGRLLHVRRLVGVSPTVRAFCRVEETRTFAFEIFEADLNAGSSCGGRWRRVDALEGQALFVGTYASKFLPASECGAQEDCIYFLCDYDRMNSDADPFPDCGVFSMKTEVIAPLLPDHMVVRRRGCMGRPAWFFPT >Et_9B_065422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4921490:4926689:1 gene:Et_9B_065422 transcript:Et_9B_065422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPCRGVAIVPDCADTNKHSTEEASHSHSSPLVLRCAASLALATYSFAIEAWRARRDPGDVAFVVGAYLALAALFFCLRRAESLTPDSPAAERRRLHFAVWALSTALSCAFAYRVSLIMPAALVVIIWCMTSFVVLVGFYMLVVLCNCKDRQNQGLDDVGCHSLGKSEPFIKKTKPSDEMTSNCCQPSRAYGLGKYHGQQGCQNGTITPSCTSHRNAFVFREEPLSLRSLLRQCKEEAYLWRCRLPRDDAHISDSWCSLFTSIMTAPCCIAQLLGAACLRVVLLVFVAYSLVQTAYRVRDEPRELAFVVGAYALLGALLLCLRRAERLTPESPAGERRRLQAAVWVLSTALSLAFAYSVAALMPPAVAALVWCMTAAVVLAGLYMLVLCKDPRYGGLDDAEPEKLWKDQHYQALDGVPPRQLLDVPRKGRRNND >Et_8B_059052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1315146:1317082:1 gene:Et_8B_059052 transcript:Et_8B_059052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCYSAYACSRKLRGRLSGTSLVLPVSDRDRTDDNNNNVTAGGVSIAASSSSASPPRKKDYDDGGGGELVPKTTAAEFARRYVLGKELGRGEFGVTRRCRDAATGEALACKTIRRRHGHGTTAARRLVASAAAARTPAAAADVRREVAVMRRMSSASSAVVRLRDAREDAADGSVHLVMELCEGGELFDRIVARGHYSERAAATIFRTIVGVVRLCHDRGVIHRDLKPENFLFASRDEDAPLKVIDFGLSVFFNPGDRFTEVVGSAYYMAPEVLKRSYGQEVDVWSAGVILYILLCGVPPFWGDNDEKIAQAILSGGIDFTREPWPRVSADAKDLVRRMLDPDPATRPTAKQVLEHPWLKNADAAPNVSLGDAVRARLQQFSAMNKFKKKALGVIKSALRPRSPPPPAPATRHVVVLTRKKLIHSRVVARNLPVEELDKYVQMFQMMDKDHNGNLSLEELKEGLHINGEPVPESEIKMLLEAVECSHSLLVAFVFHHCKFADTDGNGTLDCDEFVTVSLHLKKMTNDEYLASAFKYFDKDGSGFIELDELREELGPSDQEVILDIIRDVDTDQDGRISYQEFELMMKAGTDWRNGSRQYSRANFSSLSRKLCKDDDS >Et_5A_042714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2915156:2920842:1 gene:Et_5A_042714 transcript:Et_5A_042714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQACGGNSEKQESRAYLGRGRPGVWFEAKELHGFAAPHHAVPGRPLSFGGGGGGEALAALPGQDSGARSVKATNRAARTCATRAPRWQPCSRAPLSRQSDGQHAPCEAPIKIAAPPFLPPQETRTLRAMRARVGRAAATAWPKHLAVHARLVKSALPDAFLVTTAMRGYLRACLPLQALLLLRALLPRTPRLLGNSFSLSLALQATAAAGAAASPPDPLAVGACLHACALKSGFAAADLFVRTALVEAYAKSGRADLARAAFDEAPRRDVFLCNVMLAAYVARGEVAEARKVFDGMRDRDLVSWNTMIHGYAVRGEVSMAREIFDGTEGKDAFSWSSMMSAYAKSRQSKEALDLWREMRAACVAPDCITMVSVLSACGDTGALAVGAEVHQLVESNRIEVDIKLGTALIDMYAKCGDIDNSQRVFQSMARKDVLTWSSMIIGLANHGLGHDALSLFSKMTSEGLKPNEITFIGVLIACTHLGLVDDGKKYFSSMSEMHGVAPRVEHYGCMVDLLGRSGHIEEARQLIRDMPFEPDAVIWRALLGACRIHKNVEVAEEAMSKLRVLDPHADGHYVLLSNIYAQANSWEGVAEMRKTLRRESIQRIPGRSSIEWQNTIHEFVSGDRSHPRSEEIYKVLEDMMNRLRQAGYKPMTSLVPQHIDEQLKERALAEHSEKLAIAFGLLTTPARTKSCLKRRKEEDAVHPIIGIV >Et_8A_058324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:513989:516294:1 gene:Et_8A_058324 transcript:Et_8A_058324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRFVFRRDGGVKPLQENETTAGSSTCIGVPFRVSLDLVQPPAASRINVEWPGGPSADAGRVCHLVAAHRDCILFRLTSIVRSKTTPQYLIFPDDYYIYRLAAADGSSPSLTRLPTPVRAKTDAIVEEREPEPDRKSDLKSESESSESESGEIVVTKEVEWAEKVIREAYERRLALGVDVDKEVGPSSLLMQNIGLCSDEASSVVAQLQLNKRKRKVSAELSLLHSDECGCEWVYMSLPIQCNKHSSADLHVFWTQATVPFSDHLCWVDYRRGIILGQDMHKLSPKLSYIPFPRTTSSAREYSEYKLRSMCATDGGSKIKLIDVVVDDEQPRSTGFTIISYNLVLTEGHMKWEKETSMTSKELWGLQAPGRVPREVPLFPLVSLDKPQIVHLQFSECTDYVDTVTLVTIDMDAKAVISVFPHIKGEQELHGEDADVVEARTSLLQPFLPVTLSKPLLSRPVNFTRILMVVTRLLGRTIYDQQSL >Et_3B_027653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10885995:10886966:-1 gene:Et_3B_027653 transcript:Et_3B_027653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QSQDKRFRPRSDEYGCKGWDFSFPRSLFNSTTLRSLRVSRCILNPPETFRLPSLETLHLTAVGDSEDAIQRLVSSCPRLADLTLESCSTVNSVSVLDKPLRRFALRCCHGATSVSLDASELRLLDYRGPIVPSCTIELCGPNLSGEEELAGFRMLLENFVEAKHLRLNSSRLGSTTESVFFNCFPALLCLHKLELTGYFAGNSITRVLQQTPNLEVLSLLLCNAPEYGPVAIPDAPAVLCLQQRLKEINLMYYQGTDTQRMLVQLLLGNALVLQALSVVFHKAWMGMQTALMDEIKQWVVSKLAKMIFQ >Et_8A_058056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16684507:16686726:1 gene:Et_8A_058056 transcript:Et_8A_058056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPTMSTSMATPTRSAAPSPATKPLAAPRQCCINHHRLPRRRRCVSASAKKKNPWLDPFDDGPDEDFDYQGVFSGGKQEEDPRPPEDPDNPYGFLRFPMGYNPELDSLASKVRGDVRRACCVVSGGVYENVLFFPVVQMLKDRYPGVLVDVVASARGKQVYEMCKNVRYANVYDPDDDWPEPAEYTHELGVLKNRYYDMILSTKVGGLGHALFLFMSSARDKVGYVYPDVNKVGAGLFLDEMFEAPTANLSEGGYHMYKEMLDWIGRPGKNVPQQPTPPLRVSISKKLRAYVEDKYNRAGVEKGKYVVVHGIASDSVANMKSKGDNDCLLPLEHWAEITKAISSDGKGLKPLFVIPHEKHRDEIEEIVGEDTNILFITTPGQVGSSLDPFSRTTTPMQPRTFQIKTQVLTLPSLTVKLTCLINDSAGVVATNTAAVQLANARDIPCVALFSSAEKAKLFLPYVEDKASCTVISSATGKLIDIDVEAVKKAVNDFEPAPSFALTPV >Et_9B_063759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12049713:12050972:-1 gene:Et_9B_063759 transcript:Et_9B_063759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPGSPASPSPPGTPSSSGGSAKATAPFGETPAPDEPKEEAAAVELARQSSSSSSSSSSSSSSAASLFHIDAADVSSTSVPAPPEDDEPAAPVQSESAEAKPDDWVTWSVETPHQAVDDEPLVSDGEPTMPKVEEADAAAVAVAAGGEGFDPERIPASVFQPRTSVSQAEWSVASNESLFSLHGASLSVDLGAGGSRSHFDYFYDEAMAAAEQDSKLPPVAEGAEFAVPGSAGSEASVGSAKKAAVFRRHESGSGGSSSNFSFAFPILAETSPKKKDYMVTAAMYQPLQKEYVHPTPAPAPMPAPPLAAPVSAFVEMTTEEERRRSDSDGWCCCGCCWFDCSWTMCCCCCRRWPWWQCGCCQCSCTSLCRCNWCLCF >Et_8B_058781.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:4151384:4151587:-1 gene:Et_8B_058781 transcript:Et_8B_058781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEENRKQTGIIFYIWWNVWKERNRRIFQGVEMNELRVAYLTKEEVDQFHRAMGNQFSSVANYEIV >Et_4A_033422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22678915:22683839:1 gene:Et_4A_033422 transcript:Et_4A_033422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVILFFSEIVHEESGHVLKVPFRRIHLTGDQKHFDTYDTSGPQNISPRVGLPKIRKDWIDRREKLGSPRYTQMYYAKQGIITEEMLYCAQRENLSPEFVRSEVARGRAIIPANKKHLELEPMIVGRNFLVKVNANIGNSAVVSSIEDEVNKLQWATMDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICSKYDVSLSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMVKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAATIGSLGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPYAQAWDDALSSARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVLQGMNAMSAKFSTARKTISGEQHGEEGGEIYETVNRLVEVEQPGILDLVELSNLVCPLTSQIHASLDSDQHHL >Et_2A_016104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20985771:20990819:-1 gene:Et_2A_016104 transcript:Et_2A_016104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEASVAYREFKALVEAADRKFARARDLPLYGGGDHHSRKAFKAYTRLWRLQQERRRELVAGGLRRWEIGEVASRIGQLYYARYLRTAEPRSLVGAYVFYEAIYSRGFLVVAMLMRRAQAVEHLATRLRALVEETKSAYPKTNFKEWKQVLQELGRFLKADGAYKASRSLRYDNLFDSYPSNLASIARFHSKRVLKLKEAVLTSYRRNEVKFTELTLDTFRMLQCLEWEPTGSYQIAAKELTENGTVSDQSGPSGLIDIHLSAEISDGSLPSNPQKAIIYHPTVAHLLAVLATICEDLSQDNILLIYISASGFAEQNVSSQKYASSSSSHLKAASSDNHLWLGPRGSGGPNNLYPEDLIPFTRYPMFLEIHNAEKGEPAAILLSPRITSAMPGAESTSHGSQFTYFLTAPMQAFCQLAGITSDIDTDTYANAENILVSALEEYEGILCTSVGLNNVWGQILPDPFLRRLVLRFIFCRAVLFYFHLDEHEQYLPTCLPSLPESVSPNAEAIKTPILLLAENLFVSNRFHFPDSTRNKK >Et_5B_045281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16441635:16442841:1 gene:Et_5B_045281 transcript:Et_5B_045281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAACEAPFRERLDALLARCQEEVACLVADAHLLTLMDVARGLGVPTMVLRTGSAACFRCFLAFPMLCDKGYQPAQESQLDAPVTELPPYRVRDLLSTSSAVHGVIRELITRIMSATASSSGLILNTLDALEAAELASLRRDLAAPVFNVGPLHKLSPPAAMTSTSSSLLRPDRGCLTWLDAQAPASVLYVSFGSLASVSAADLEETAWGIAGSGRPFLWVLRPGLVRGASPSQPPPLPDGFAAATRGRGAVVSWAPQEEVLAHPAVGGFWTHCGWNSTMEAACAGVPMLCRPCFGDQMGNARYVDHVWRVGVELRGELERGKVRAAIETLMGGTELRRNARELKSRADECVATASTNVDKLVKHILSL >Et_2B_021216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27586241:27589212:1 gene:Et_2B_021216 transcript:Et_2B_021216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASLSPASARALSLLAPTRTASGRWNSVSFPVAAVRPVTGVSVAAAGRRLVAAAAATEMAPAASGEEGKAFVEEMRAVAMRLHTKDQAREGEKEPQAPPVAKWEPSVEGYLRFLVDSRLVFQTLEDIVERAAVPWYAEFRNTGLERSEALKKDLEWFKQQGHTIPEPSAPGTTYASYLEELSEEDPQAFICHFYNVYFAHTAGGRMIGKKVAEKILNKKELEFYKWEGNLSQLLQNVRNKLNQVASSWSREEKDHCLEETEKSFAYSGDLLRHIFT >Et_6A_048121.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7060737:7061105:1 gene:Et_6A_048121 transcript:Et_6A_048121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTLLLITILFAITLAAEAQVPKEWATATKSIRDMKNKITEAFDGVNAAALPEEMSKVKKAQGMITLTYSFALQDAKNSGDEKKVVSIAHSYEIAADKVIAASPPEKFKTMEDTFNAVVV >Et_9B_064112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11342414:11369229:1 gene:Et_9B_064112 transcript:Et_9B_064112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAHREGLELVQPATATLAWWTLPNHGGLQEGLELLLRWREQTTRMMLLGYLDRAACTTGRDRQTGAGRRKMTKGESMRRQGEEEREGQSWNVTIRFWIAGIYGIELLSVAVKILGLACRVAVRDERTVFSLARSAWASSSRPGSDVLARACDPPADPDIQPKTRGSHLLTTTLSVPRSNPFTLHCCRVTRGWVTQLNPPRSASASRRRAGSGGAAMKPSPHFPEIGKKPKDLIAKEHGFNIAAYISSGADVIAAALRKHVEEEARDLSGEAFLRFMEHLYEQISSLLQSNDVAENLLALRAIDALIDMPFGEGASKVSKFASFLRNVFEVKRDPEILVPASTVLGHLAKAGGAMTADEVERQIKTALGWLGGDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPKQAVRERAVEALRACLHVIEKRETRWRVQWYYRMCEAAQVGLGKNASVHSIHGSLLAVGELLRNTGEFMMSRYREVADIVLDYLRHRDQLVRRSITSLLPRIAHFLRDRFVTNYLKICMDHILFVLRTPDERASGFVALGEMAGALGVELVPYLPAITSHLQEAIAPRRGRPSLEAISCVGSFAKAMGPAMEPHIRSGLLDAMFSAGLSDKLVEALESISMSIPSLLPTIQERLLDCISQALPKSSVRSVASVGRASRSNSLQQFVDSSSPVLVQLALRTLANFNFKGHELLEFARESVILYLEDDDSSTRKAASVCCCRLVAHSLSASSTSQFSSNRSNRMGGAKRRRLVEEIVEKLVTAAVADADVGVRSSVFKALYKNPTFDDFLAQAGILTSIFVALNDEEYDVRELAISVAGRLSEKNPAYVLPALRRYLIQLLTYLDQSMDSKCREESARLLGCLIRSCAWLILPYIAPVHKALVTRLCEGIGPNANNALAAGVLATVGELAKVGGFAMRQYLPELMPVVVDALLDGSAVSKREVAVATLGQIIQSTGYVIAPYNEYPPLLGLLLKLLNGELEWSTRLEVLKVLGIMGALDPHAHKRNQHNLPGQHREVLRPTIETAQHIVSMEELPTDFWPSFSASEDYYSTVAISSLMRILRDPSLSSYHQMVVGSLIFIFKSMGLGCVPYLPKVLPELFRAVRLCEDGGLKEFITWKLGTLVSIVRQHIRKYLQDILSLISELWTSSFSLPAPIRTVQSPQGSPVLHLVEQLCLALNDEFRMYLLHILPSCIQVLGDAERCNDYYYVPDILHTLEVFGGNLDEHMHLVAPVLVRLFKVELVDIRRRAIVTLTKLIPKVQVGTHVSSLVHHLKLVLDGNNDDLRKDAAEALCCLAHALGEEFTIFIPSIRKILVKHHLRYRKWDEIENRLVRRESLITENLSLQKYTQCPPDVISDPLDDFDGAPSEAADETQRQSRSHQVNDVRLRSAGEASQRSTREDWAEWMRHFSIALLKESPSPALRTCARLAQLQPSVGRELFAAGFASCWAQMNESSQEQLVRSLKTAFSSQNIPPEILATLLNLCRAFAKALHYKEMEFDAVCTKKMGANPVTVVESLIHINNQLHQHEAAIGILTYSQQHLEVQLKESWYEKLHRWDEALRAYTIKSSQASSPLQNLDAQLGRMRCLAALARWEDLSALCREQWTGAEPSARLEMAPMAANAAWHMGEWDHMAEYVSRLDDGDENKLRMLGNTTASGDGSSNGAFFRAVLSVRCKKYDEAKIYVERARRCLATELAALVLESYERAYNNMVRVQQLSELEEVIDYCTLPVESPIADGRRELIRNMWNERIKGTKRNVEVWQALLAVRELVLPPNEDRDTWIKFAKLCWKNGRISQARSTLVKLLQFDPESSEMTLYHAHPQVVLAYLKYQYAIGDELKMREAFSRLQELSVQLATTMSSFSGISSNHGTIPNSGVPLIARVYLTLGSWKRALSPALDDDSIQEILISYKNATLSANDWGKAWHTWALFNTEVMSRYTMRGRPDIAGKYVVAAVTGYFYSIAWASTTKGVDDSLQDILRLLTLWFNHGATSEVQMALQNGFSLVKIEMWLVVLPQIIARIHSNNRVVRELIQSLLVRIGKGHPQALMYPLLVACKSISILRQRAAQEVVDKIRQHSGGLVDQAQLVSKELIRVAILWHEMWHEALEEASRMYFGEHNIEGMLAVLEPLHAMLERGAETIKENAFIQAYGHELLEAHECCLKYRATGEDAELTKAWDLYYHVFRRIDKQLPSLTTLDLHSVSPELLKCRKLELAVPGTYTADSPLVTIEYFVPQLIVITSKQRPRKLTIHGSDGNDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYAVIPLSPNSGLIGWVPNCDTLHALIREYRDARKIFLNQEHRLMLAFAPDYDHLPLIAKVEVFQHALQNTEGNDLAKVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLDRHSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGTFRTTCENVMQVLRTNRDSVMAMMEAFVHDPLINWRLFNFNEVPQVPNSGNANAHTVVSSEEAATNRELMQPHRGVRERELLQAVNQLGDANEVLNERAVAVMARMSHKLTGRDFSSGSSGAGSSTQHGSEHLASGDARDVEPGLSVKVQVQKLILQATSHENLCQNYVGYVS >Et_3B_029131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22280555:22280862:-1 gene:Et_3B_029131 transcript:Et_3B_029131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAASEPLGSRTKKQCPSPCDSNHFTSPRHPLARTASRLLPASLARLGAPAAIGLILGSSNPSLAPGKMAIHRLLLESQRKINFCGGPRYGRLPAS >Et_4A_032451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10181523:10183072:-1 gene:Et_4A_032451 transcript:Et_4A_032451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHERDASSEEEVMAGDLRRGPWTVEEDLLLVNYVATHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDLRRGNITPQEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSQQFKDVMRYLWMPRLVERIQAAAASSNSGEEEEEEAQQLMQHGGGAAADTPLSSSWQNDGGLYASPELNTADACWPTEYSCPSAAAAGVQLGGTTLAVPELSCTTAGSSSPSMDSGAVAQTWPAPVGGADWFTTACDATSAAAMPGMNNLSTQQQQQPCLLGETWTASELPEFGVADFEIGSFDVESIWSMDDSLWYTQAQGV >Et_8B_058841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:84712:88262:1 gene:Et_8B_058841 transcript:Et_8B_058841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEELPAHFVVVVFLLVSTLSLSRSITTGGGAMASSSSSSAVAEGSAARRFWIAASTREAAFAAYTPFLLSLAAGNLHLDSFRHYIAQDAHFLHAFARAYEMAEDCADDDDERATIATLRRSVLQELNLHASVLQEWGVDPTKEIPPSPATTKYTDFLLATAAGKVDGTKGSDKMVTPFEKTKIAAYTVGAMTPCMRLYAYLGKELTAFLKQDENHPYKKWINTYGSSCFEDNALQIEDLLDKLSVSLTGEELEIIGKLYQQAMRLEVEFFSAQPSDQPVVAPLSKYCDLKYKLLVFSDFDLTCTVVDSSAILAEIAILSSQKSSQSGTDNTLDRTADLRSSWNILSKQYMEEYEQCMERLLPLEEARSLDYSQLYNGLEVLAEFEKLANSRVVDSGVLRGMNLEDIRKAGERLILQDGCKSFFQNIGKTRDKLNLDIHILSYCWCAELIRSAFSSVGCLDGLNIHSNEFTFEGSVSTGEIDRKMQSPLDKVEKFKSIKSDTESSVPLLSVYIGDSVGDLLCLLEADIGIVVGSSTTLRKVGKQFGVSFVPLFPGLVGKQRQLTEEEASVFKARSGVVYTVSSWSEIHAFILGNDLS >Et_10A_000045.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:19049808:19050197:1 gene:Et_10A_000045 transcript:Et_10A_000045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVERGDPGLPDHQGVAGELRLRRRGGLRVRRRRADAAAGRRLDKYQLPRSGGDGGGEGGEGRRCARAHRRGEVEAHGEGSSARGGGGRRGGEGGCLCRCALSCIRRHAGRRRQAQARGEAEKGVPPC >Et_10A_001015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20170527:20173961:1 gene:Et_10A_001015 transcript:Et_10A_001015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFARMKRRELQALCREHGLSARGNKDDLAASLAGALSVTAACATEKVVEVVPGKGCLKKQSSDGPSGGSSGAAKKVTFIFKEKEEAGEALVAAQVSGGCGAAPGMDFTGVTRRELNALCREHGLSTRGSKANLATRLTACLDGTLLEAALPLGAYGKVPPGCYPGVPSAAHAHAFLWMRLEMARRKNPCVEAPAAVASAEKVVVCLKRSRDGRSNGSSRAAKKVTFIFKEKEEAGEALVEAQVNRRGLPLECVETGSRKHVDTAGASTNGNAAGVVCPDARMTRSRTNAVNLRVGSGVERHNNIVEEETEMIGETIDIKQRIQESSEHASASAQAGVSCRSTRKSSSCTAADTRIQSQNDTAEEEGEVIGEVGHTKLERRTARKHQVGETLPATAVSGRGRQYKCREEHGRSSSSAEGVTGEVDGDAQETQSKKNVSILHADSGVEIRDIPVEAVDKEEVVRKTVDTKRKRKAPQNAENVAANTRAGVSHRSTRSSSLSADDVKLPYVVEKKRGRKAGDCKDELVVDKKAAEVQDFATSESSVVIEKKKNQRKREYCKLDMQKSAKVAISSRVTRSCSVNVAVALPIVIENKRNRKSETVHRDRMAPAVSESDVHRNNAPITRSLRNKALQNNNTMPEETHVVKKLEKKRQSIGRAIGKHQQFASSVEEKEQADIPCKSPPLLENARGDDFQNSKCEDVGKLPPVRRSTRNRVVAGQT >Et_3A_026570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9804421:9806120:1 gene:Et_3A_026570 transcript:Et_3A_026570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASTRPAIALLLTLAVAATFLCDGAGAARHHHAKHTRHNSAHSHSHPPAHAPGPRRAPPRPQPWSPPAPPPSYPTPGSGGGPAPAPAEGGGGVTVYDVVKDFGAVGDGVTDDTDAIKTAWDTACQDDGPGVVLASAGHTFLVHTTVFTGPCQGTVAIQLDGTIVAPSDPNTWPASSKRNWLVFYQAHGVSLHGAGLIDGKGQKWWDLPCKPHKGGASTHGGLCDSPVALRFFQSNGVTVQGLKVQNSPEFHFRFDGCRGVEVRGLSITSPALSPNTDGIHVENTTDVRISDTAVSNGDDCVSIGAGALNVHIENVTCGPGGHGISIGSLGKSGSRACVANITVRNAVIRRSDNGVRIKTWQGGSGSVSGVSFENVRMDAVRNPIIIDQYYCVSHNCENSTAAVFVSGVSYAGIRGTYDVRSPPIHFGCSDAVPCTNITLTDVELLPAEGQRVDDPFCWNVYGNATTPTVPPVDCLIEGAPKHVEDDTSLKCY >Et_4A_035122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9741386:9743825:-1 gene:Et_4A_035122 transcript:Et_4A_035122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPSSAPEKKRKWLVSNRKVIDKYIREARSILAAAPENGGADAVAALGLVDAALELSPRMESALELRARALLSLRRYKEVAEMLRDYIPSCGKSCSGDDTSSSSSASLLTSGSGDLGTISRAKLLSPERHRSDDAEPGAGSVRSFRCFDISELKRRVLAGLSKNPNTDTQWRYLVLGQACFHLGLIEDAMVLLQTGRRLASAAFRRESVCWSDDSFSSSAAAAAVASVPSGRTSKSGSAFIIPAMESEAVSQLLAHVKLLLRRRAAAMAALDAGLPAEAVRHFSKILEARRGVLPHPFAAACLVGRAAAFQAGGRPADAIADCNRALALDPAYIPALRARADLLQSVGALADCLRDLDHLKLLYDAALRDGKLPGPRWRPQGGVRYREIAGAHRKLTARIQGLRGRVAAGEACNVDYYLLLGVRRGCTRSELERAHLLLSLKLKPDRAVVFGERLELVDEHRDIEAVRDQARMSALLLYRMLQKGYSFIMSAVLDEEAAERQRAKEAAAAAAAAALAAKQEAAAAAAAAALAAKQEAAKQEPSRPKSPSEKPKKTVPTGAARPRSPPEKSKKTVPTGAARQRSPPPSRVQASKPKAKTAAPVSKAPAAPVYQGVFCRDMAVVGTLLSRGIPVKCEAMSC >Et_1B_010330.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9074126:9074593:1 gene:Et_1B_010330 transcript:Et_1B_010330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GAVLHAGEGTVTVDWKPNATEKAAAGAANVKVELCYTPASRKDRGWRKANDDLSKDKACQFKVTDQAYGSSGTGSFVYRVAKDIPSASYFVRAYVLDGSGNYVAYGQTDATNGDFTVAGITGITTPIKVAAGVFSAFSVVSLAFFFVIENRKKNK >Et_3A_023486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30294710:30295558:-1 gene:Et_3A_023486 transcript:Et_3A_023486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDLESLVCGVSGAGAGDRKVSCETVIAGGGSGDASPPRMPPPPPPDPDFPPESITIPIGDEAAFAELNPIYERDDSTKGSTNPKFAAAGAANPIAVKTRSNSTRAAGAPAAAGTTFFGLPAKIRPAFSRRQPRRRGWWHGVVAMFRCDGCCRAGGGTTKKTTVEEDDEQEQQQRGIAGMRAFKSGRRAASWADEALAAAAAAAGEDEAEEQHRPDDHEAEQWARRQVN >Et_4A_033851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27396842:27402110:1 gene:Et_4A_033851 transcript:Et_4A_033851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLDFLRSLPKRLLERACDGIRGNPSAVRDEEAGGGSGRSAAGPAGECYACTQPGVPAFHSTTCDQVHSPGWDADAGSSLVPVQPQQAQPASSSASAAHHAAGVAARWLFGPVLDPRSKRVQRWNRWILLGRAAALAADPLFFYALSVGRAGRPCMYMDAGLAAAVTALRTFADVGHLGHVLLQFRLAYVSRESLVVGCGKLVWDARAIAAHYARSIKGLWFDLFVILPIPQVIFWLVIPKLIREEEVKVIMTILLLIFLFQFLPKVYHSIHIMRKMQKVTGYIFGTIWWGFGLNLFAYFIASHIAGGCWYVLAIQRIASCLQEECKRNNSCDLISLACSKEMCFHLPWSSNKNGLACNMTSFSPQNVSTCLSGNGSFAYGIYKGALPVISSNSLAVKILYPIFWGLMTLSTFGNDLEPTSNGLEVIFSIINVLSGLMLFTLLIGNIQVFLHAVLARKRKMQLRFRDMEWWMRRRQLPSRLRQRVRKYERERWAAVTGDEEMEMIKDLPEGLRRDIKRYLCLELVKQVPLFHGMDDLILDNICDRLRPLVFSSGEKVIREGDPVQRMVFILQGKLRSTQPLTKGVVATCMLGAGNFLGDELLSWCLRRPFVDRLPASSATFECVEAAQAFCLDAPDLRFITEHFRYKFANDKLKRTARYYSSNWRTWAAVNIQLAWRRCRARRTADATAVAAAAVPLVGGLGDDDGDRRLRHYAAMFMSLRPHDHLE >Et_9B_063684.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:1962226:1963371:-1 gene:Et_9B_063684 transcript:Et_9B_063684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVVVDDEALFPDLALIAGEHVNLAHLDHGLGELGLPRLLDGGVELDDAGRPRVVEQGLVGGEHDLVREHVLEVLVVEARRRDGVERHHGGVHLVVGGRPGAPPLELRRVRRVDGRVRGPHPAGEVVEALREGGAVGPADGVGPRERDHLVGGEALAPEAGDELADVVGRRRDVVVEHLAFDGDAAVAAAGGDLVVDAAGEEGPVARGEGDDVGAGDGARAVLLEDGLGVVDHVEAAHAGVVRRRVLLRLVPRGGVDEDGRVAPPDEAVVEVHPDQARADAGFTGQAAADGVADDLLRLRARVLVEPNLERRRMMISRRGDHEERRARKRQPRRRPHLVDLSWWIEFLLSLSSLTLLSGKLLAATWPGSDQWKEMAILG >Et_8A_056811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17144233:17151999:1 gene:Et_8A_056811 transcript:Et_8A_056811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTTAFLFAAAIALLLVGTACAESDASTAAEAPPDVVGDRTLSNKLKIIAGVSILVSGAVGCSLPVLGKRVPALRPQSDAFFLVKAFAAGVILATGLIHILPDAFEKLSSESLAASPWRKFPFAGLGAMLGAMGTLIIDSVATGYFTRRNVRHDTEEAAEKAADDVDGVDVESQVAHVHGGGSGGHQLGAHTHATRGHAHGGGGDDDATGQAVRHRVICEVLELGIVVHSIIIGISLGASQDVSNIKPLMIAICFQFHQLFEGIGLAGCITQANFRLRSIVTMVVFFCLTTLFGVLVGFGVSSRYNENSPASLIVEGLLNSVGAGILMYMSLVDLLAEDFKNKKVQSKGKLHLGVNLAMLVGAGLMSVLAIWAYKYCLFHLQDIQNWGKWAPKTNNLFLEMDKSTLSWSIK >Et_4B_036416.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2329228:2329374:-1 gene:Et_4B_036416 transcript:Et_4B_036416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPDLIELVQRVFPDASSLTSLLLRNGRDCTKSIMVKIPHRRSNQGP >Et_9A_063201.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:15255019:15255621:-1 gene:Et_9A_063201 transcript:Et_9A_063201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGGERRANGGGGGDGGRNALLRRRKPPVQPPPSSSAASSSSSSGRGEEGEEEGGGGGGGKRQGRRKKQGKRDSVARAIRDGLPAAAVSCWRGGVSVVQESGSRRGRSRPWDSAADGSSGHGGGGDAGEGGPGPGSGTAAAAWCCVCPGGDCSLEPNPSANGKEDPGLRSLLESNDFFSADCNPHGDGLPAAADASSFW >Et_10A_000810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17605367:17606652:-1 gene:Et_10A_000810 transcript:Et_10A_000810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDDGRVPNAVKVSVGQAPRIRTWTVQVEVLCASNVNVGPDEDQVLQHGPLFPLPLDAPRWMLNLMPEESNIEHVGENEAMNVDGAADQAADDAVGQAVNESVGQAVDREVGYEVENNDLATVGAAEVADGTANEAAAARINGDALLVGAQAIVVQSNTAVGPSCKKNLNLMFGSLSGVAADFLASGGLHEDPPLTLLGASAVLGKRVASPTREDFINLSPPQVLDMVPFFSSVLSFCNQINPAFLSSLHIDLTTKIPPNISDLPTIADILRLLPDDDPVIQEANRKDLLEALVEVQKETEEDEDVVEISKSEALATNRRTTPKKRRTKALKEPIGAEFLRRSKRKMNELKGYKDKQSAAAAADNAKPMYTGHFIGESTSVPPPHLSAGNVKAIGSGFLKMQPLAVSDAALFASSNADSE >Et_6B_048912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15483460:15484000:1 gene:Et_6B_048912 transcript:Et_6B_048912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLPAFHLAVVVLALCCCIFHASSAADTPLSSGSRVMPDTRAAPASGGADDQVVVAGGEEASDVVGGRMDLELEDYPGSGANDRHSPWGQRRN >Et_4A_033261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20662361:20665783:-1 gene:Et_4A_033261 transcript:Et_4A_033261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPDVGRAAGEEEFRADVEESLINEEYKIWKKNVPFLYDLVITHALEWPSLTVQWLPERVKPPGKDHFAQKMILGTNTSDNEPNYLMLVQIELPLDSAEADAHHYDDDYHTDNGGFATASGKVQIVQQINHDGEVNRARYMPRTPFIIATKTASAEVYVFDCSMHPSKPSLDGACNPDLRLKGHNSKRYGLSWSTFKEGHLLSGSDDAQICLWDVKANSKNKCIDALQILQHHDGVVEDVAWHLRYEYLFGSVGDDQHLLVWDLRSPAPTKPVQSVMAHQAEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKIDTSLHTIDCHKEEVFQVSWSPKNETILASCSLGNRLMVWDLSRIDQEQKPEDAEDGPPELLFVHGGHTSNISDFSWNPCDDWVVASVDEDNILQIWQMADDVPISDEPAKAS >Et_9A_061411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11197458:11200487:1 gene:Et_9A_061411 transcript:Et_9A_061411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRNPDAPSAAGPAAEPPDSQAPNPSIPPGDPPSPEMEATAEALTREEVIRRRRRRAARLAGVYRRLYWAMAEEVRARHRQYVWDLGRSALEAEQPPPPPGAMVFPVNGEPPRPPPVPRRKKCGFTGCKVRAMAMTRFCHSHILSDPNQALYKPCAYVIKSGVQSGQAVTCGRPIIKSAVPSLCNVHFQRNQKNIAQAYKKVGFNPPPTGKITPRFSLLVAECVRQIQDKRRQSLNAAARHRCPKDEKVD >Et_1B_010788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14064303:14067986:1 gene:Et_1B_010788 transcript:Et_1B_010788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITCGRFISSSASTTTSSFFPLRTLTRSLLRRPPSRLLSSAATAIEPDTKGGNGGAASAPKPQWKAAIDFKWIRDNRDAVATNILSRNSAANLDLVLQLYDEYLALQKEVERLRAERNAVANKMKGKLDPSVRQALVEEGKNLKETLIALEEDLVQLTDKLQLEAQSIPNATHPDVPVGGEESSVVRKEVGSQRNFNFTIKDHLRLGKELDLFDFDAASEVSGSKFYYLKNEAVLLEMALVNWAISEVSKKGFTPLITPEIVRSSVVEKCGFQPRAQNTQVEMFIFCRPEESDKWHEELITIEEDLYASLGLHFKTLDMATGDLGAPAYRKFDIEAWMPGLERYGEISSASNCTDYQSRRLGIRYRPSPSGPPLANAKKGKGANSGPTQYVHTLNATAVAVPRLIICILENFQQEDGSVVIPEPLRPFMGGLEVLSPKPK >Et_5A_041644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25414470:25415616:1 gene:Et_5A_041644 transcript:Et_5A_041644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVQSARDIQNICMYYTRGAPGASTPAATTQCSTTTSRGASARDVDATLHCEVLMLCRVENYLHDHLLPAGEMKQGKLKQILVELKRIFEDTRVVRLVLVPISPTPNAALADPEEMENAAASSSGKEYEKIVLPDLNLMHGGEPDPGVHRSAQPQTSESLLTSKDGDDANTVNQCVGVRLVESFSTENSTIAPAMVNDELAA >Et_3B_031510.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:3423022:3423567:1 gene:Et_3B_031510 transcript:Et_3B_031510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGARNDGFAAASKVQPGDEENHLPNAGAGGGHAAPAFAANNPDTLLVVATLITALSYQVGTNIPGGYWQDNKDGHAAGDPIMRDRDPRRYWLFMAASWAGFGSSMLLTVGLVTGVPARSRLVLGAFVVSYSSLVLAFVASQNRTSLAMDIGIWLGVMAAIGVATKYRRLDRLRCWLVDHY >Et_2A_017623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4227098:4227662:1 gene:Et_2A_017623 transcript:Et_2A_017623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLDFDLIANNILLEFENGLVVTCNSRGEQVPLLEDAPNGSGCSITHLDTARDNNIAVLYSRRSIENPSEGIQNSQVIKFDSGFTCPSASSTGTINICSTINGKPIVEVNPCDDNLDVEAATRRDNRVSEAQRCAHKALKNVTVIFYDDDRHRIYTGNSSGYFHVWSN >Et_7A_050932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12615296:12618597:1 gene:Et_7A_050932 transcript:Et_7A_050932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSDERFEEEAARNGGIIKHGREILFQAYNWESHKCNWWNNLEDKVADLAKSGFTSAWLPPPTQSLSPEGYLPQNLYCLDSCYGSLDELKALLQKMNDHNVRAMADVVINHRIGTTKGSNGMYNRYDGIPISWDEHAVTSCSGGMGNKATGDNFDGVPNIDHSQSFVRKDIIEWLIWLRKTVGFQDFRFDFTKGYAGKFVKEYTEESKPLFAVGEYWDSCEYSPPASRLNYNQNNHRQRIINWIDSTGGLCAAFDFTTKGILQEAVKGELWRLRDPEGKPPGVMGWWPSRSVTFIENHDTGSTQGHWPFPSDHLMEGYAYILTHPGIPTVFYDHFYDQGDSLHDEIAKLMEVRKQQDIHCRSAVKILEARSDLYSAIIDDKLCMKIGDGSWCPSDPQWRLAASGDRYAVWHK >Et_1B_009712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19710507:19713101:1 gene:Et_1B_009712 transcript:Et_1B_009712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASADRCRNRRGEGDPKPPSLLPLPLPPRTPTPPPPPPSSPPHSIPPLTFRYLSPCPRWSAWAAAALRDSAFAPALASAAISDAVAASTAAVAPDRPALSALLSVWDPETHAFRLPGGPATFSLEDALVLAGLPPSGAPLDRPLSPEEEDLRIRLVVEKEKIRELHPCARAARRVSAEVWLEWFEGGIRPGEDDELRRLGFFAYWLAFFVTPRLRSRAGELPERVFGIAARLSLGERIALGPAMVANLYADMDKIVASTVAEGAIVRVDVWSPLWLLQAWMWERYKQLRPPKLKAPPFPISSVRVLHWSRRKKTSSPEEALQVLQNKACFDWKPYLSNSLKWIEPKWFNEDTILVSCTGKDKPDWFADYIAVISQTVLTGWYADDTDSSVLYNPHIVARQFGYDQVVPVSVASDFATLANELWIPSVGRHGMASDDFVAWCRNGRLVGHPDADQYDCSVKQSHENGDSSLSLRPDKKNVVLQSDGATTQGSNKYIGEGQLAQHGNGTQGNETKVIVLGLASCDKVCNASGVKQKKEKKKRRDKFAEDRASEQNKTIVRKSSTKRILQQQGDLNFSLKRCDETAQLDSDDECVVLEPHDTICEVISLDDEVEPMALDPEYLDKQLILELDEFVRSGLLSQWEESSDDDEENGRKRENLKSRCDDPYAEAAMREYPLFFEFIPQKPHYRGLDKNDKVLGDLVCTGLWFLLIDLAKEVLKTSCDTDVSAIACLMKKAKHLEQFGFNVKHLIARLKEPQTRLKRLHDSREKLEDARKKEQDAKEGVKSLSSHLSKLKHNIQTMERHLGENKKAFISPACAKLNEQIDLVRLEKEVEAAEKYCQAMKDEVAAMRMNYSDI >Et_5B_045695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7754929:7757315:-1 gene:Et_5B_045695 transcript:Et_5B_045695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQHLLAVIAAAVLVVVTLAPGAASYPWTLCGTDNFTANSRYQANLNLLAATLSVNASTSPSNLFATASAGAGRDRVWAAGLCRGDVNATDCFACLTQAFHDLPNDCSYNKEATIYYDACMLRYSSARVLSAADNDTSGAPAPYVLGQNVTANQAQFNRAVAALVNATADRAALNSSRRFATGEAAFDEEVPAVYAAAQCTPDQTPAQCRSCLAGIIAANLGAFENAIGGRFFWISCNFRYENKPFFSGPAMMRLASPFPAAPAPASAPSPAVQPAVQTPPQAGLGELKGRKFNVPVLVPAVLLPVLAALNLAICLCLWRRRRRQSIAEAKKPYSKYSTAETEDGEMVDSMMIDISTLRAATGDFDDSNKLGEGGFGSVYKGVLPDGEAVAVKRLSSSSTQGAEQLKNELALLAKLKHKNLVRLVGICLEQQERLLVYEYVPNGSLDIVLFGAKNQLDWEQRYRIINGIARGLQYLHEDSQLKVVHRDLKASNILLDANMNPKISDFGLARIFSWDQTQAVTKSVVGTYGYMAPEYVMRGNYSVKSDAFSFGVMVLEIVTGRNNNDCYDSKQSGDLLNTVWEHWEAGTVMQLVDPSMNGSFPEGDVLRCIHIGLLCVQGDPVARPVMSSVVMMLGSETVALQAPSKPGFVARKGVANTTVSVVSLQG >Et_3A_025464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3009866:3020201:-1 gene:Et_3A_025464 transcript:Et_3A_025464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSMGSLLVVLCITVLAGAAQGHPWGGGFPLIPQFYDHSCPQAQYIIKSIVAQAVAREPRMAASLLRLHFHDCFVQGCDGSLLLDNSTTIVSEKDSNPNKNSIRGMELIDEIKVALEHACPSTVSCADVLAIAARDSVVLVGGPYWDVPLGRRDSLTANLQSSNNDIPAPNNTLPTIIAKFQRQGLGVVDVVALSGGHTIGLSRCTSFRQRLYNQSGNAAPDFTLDASYAAYLRHDCPRSGGDDNLFPLDLATPASFDNHYFKNLLVGKGLLNSDQVLLTQSAETAGLVKAYAADVGFFFKHFAESMCSTAAHTYMATSMGCLVLLCFVSPLLLAGAVHGHPWGGLFPQFYDHSCPKAKEIVKSIVAQAVAKETRMAASLVRLHFHDCFVKGCDASVLLDNSSSIVSEKGSNPNRNSLRGFEVVDQIKAALEAACPGTVSCADILALAARDSTVLVGGPYWDVPLGRRDSLGASIQGSNNDIPAPNNTLPTIITKFKRQGLNVVDVVALSGGHTIGMSRCTSFRQRLYNQTGNGMADSTLDVSFAAQLRQGCPRSGGDNNLFPLDFVTSSKFDNFYYKNILAGKGLLSSDEVLLTKSAETAALVKAYAADVNLFFQHFAQSMVNMGNISPLTGSQGEIRKNCRRLNNNH >Et_5B_045659.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6312470:6313846:-1 gene:Et_5B_045659 transcript:Et_5B_045659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGSVLSRAPAATEVAAAMKAKRPASPGQETEEEDELRRARGKRLQGLVVAATTAAEGEEGTETRGLRLLTLLLRCAEAVAADHLTEARDLLPEIAELASPFGSSPERVAAYFGDALCARVLSSYLGAYSPLLSSPSSPLRASQSRRAASAFSAYNALSPLVKFAHFTANQAILQALDGGGGEDRIHVVDLDIMQGLQWPGLFHILASRPRRPRSLRVTGLGASLDVLEATGRRLAGFAASLGLPFEFRPIEGKVADAAALLGRPRDHRHGDGEATVVHWMHHCLYDVTGSDAGTVRLLRSLRPKLITIVEQDLGHSGDFLGRFVEALHYYSALFDALGDGATETEAAERHAVERQLLGAEIRNIVAVGGPKRTGEVRVERWSDELRRAGFRPVSLAGSPATQARMLLGMHPLKGYTLVEDDWCLKLGWKDLSLLTASAWAPADDAVGAARHDSHES >Et_3A_026471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8410024:8418657:-1 gene:Et_3A_026471 transcript:Et_3A_026471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRRQQQQSILSFLQKQPRREPDPAGDGATPEKPPRPPSGSIAGIMERLVRPLPPPQQQPRDRNQDASQVRHVDEKASPFRNQVPSNGQHSVLSSGLCNGNNNRVNLFSEKGSGMASFHEPPKNSLRSSKDEFVRASTLFPELSSDYTPLQEHLKKLSSESPNGRCIRATSLFEEFDVQTPSQKPAKRIFLGPSHAYTPLTDCGSGQTLSQMSSKKFSLSSANGEYAREAATFGLDSNGTPTEQPSKKLPSQHSDPLYIKPTNLFAELDSNQTPSQNHSNSFSPELMNGKHIGVPATIFPELDSSPFKPETPAMGAAIPRLKRVREEQSATPLWVLNKMKPAHRSPFEKQLHDEMAESVHRKFEWLNPSNIRDANRRRPNDPLYDKSTLFIPPDALRKMSTSQKQYWNIKCRYMDVVLFFKVGKFYELYEIDAEIGQKELDWKMTVSGVGKCRQVGISESGIDDAVDKLVARGYKVGRIEQMESADQAKARGSNSVIERKLVNVSTPSTAADSNIGPDAIHLLALKELTIASNGCRVFGFAFLDYTALKIWVGSLHDDDSSAALGALLVQVSPREIIYETSGLSKETHTSMKKYASAGSVKTQLTPLSTIDFADASQIRMLIHSKGYFKASKDSWLSALGSSINQDAAVCALGGLIGHLTRLMLVDAVTNGEVLPYHVYQTCLRMDGQTLVNLEIFRNSDGSSSGTLYKHLNHCITAFGKRLLRSWICHPLKDVDAINRRLDIVEAFIQIEGLNSCTLECLCKIPDLERLLGRVRSTVGLSSTARLPFVGEKILKRRIKTFCTLIKGLKVGISLLNDLQRADISALSKVVEIPTLSSLEELINQFEQAIKEEFPNFQDPSVKDDDHNTLVVLVELFVGKASEWSLVINALSTVDVLRSFAAMKESSFGTMCRPHILVKDSVPILRMKGLWHPYAMAESTNGLDRLVPNDLSLGQDLSGLNRFALLLTGPNMGGKSTIMRATCLAIILAQLGCYVPCQTCELTLADSIFTRLGATDRIMSGESTFFVECTETASVLQKATEDSLVLLDELGRGTSTFDGYAIAYAVFRHLVERVRCRLLFATHYHPLTKEFASHPHVSLQHMACMFKPKSGTHGDSDEKELTFLYRLTSGASPESYGLQVATMAGLPKSIVEKASVAGQMMKSKIARNFKSSEERAEFSTLHEEWLRAAIFVSDVKDGHLDDDNMDTAICISQELKAHFRKAR >Et_1A_006174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18785985:18786523:-1 gene:Et_1A_006174 transcript:Et_1A_006174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKPIVKGIVSTKSEGFEVVLIHLWPVVASIEAKETMWLMRASLTWLERANIHGRQKTENSRKSLRSMGDHQHQWAIISGDTISNDLELPLGF >Et_2A_018214.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18324975:18325577:1 gene:Et_2A_018214 transcript:Et_2A_018214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLQKHLGIAFRHQWLLCVNRFATTAASKAPFAVEDYLVGTCGLTRDKAAKASKKLPCLKSPSNPDAVLSFLSGFGLSPSEIASAIAREPKLLRIDVKEVLAPRLAVVQENYGLSTSQIARFVLADPSWFRRTAIISKLQFYVPLFGSFDNLLLALKKGPYLLGVSLERVVKPNVSLLREYGLGPPDISKACLRVPRLL >Et_4B_038488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29448619:29450907:1 gene:Et_4B_038488 transcript:Et_4B_038488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ANSLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSIRISLKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMHKHKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >Et_7A_052666.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:11715518:11716996:1 gene:Et_7A_052666 transcript:Et_7A_052666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFRRWADLPPDLLCRIGDRLDLKWYASARGACTAWRCALAPPAPALLVVADDARWCPSAASLPTRRSFELTAIVSGSRCVGSSNGWLALSVALFGGQTVFVLLNPIAAVEIVLPPLIYESRWVSKVVFTPSPTKEDFAAAAICDIDRIAYVTAGARRWAVMDPVRLTCGDQLTDVVYTDKGKVYCLTRCGDVHLLRLPERRRRKPANANEAGPSSEPDFSVLQPPAERTVNFRSMRWNQQRNFRMMRYEHARPRENDPNVPLRITFCTETYIPYNRPHPGSQGPDLNAPATVEPLLSEANLPFSPATAFAPPYDTVSAFTSAKNLVFCEGTLYQVWRNASCTVTLQLPGGGQRRVAENEILVLRYYPRRQPCWDVVKDLGGYSLFVGRNNAVSMYAEGIHGLRGNCVYWIGGRGRDQGMVFDMQTGRSAPCQAPQIGNPHGYPHSTICWYFLSDLVNNNINSCSTSNNGGRRVYQTRARARADLIQDLEE >Et_4B_039866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4572390:4578873:1 gene:Et_4B_039866 transcript:Et_4B_039866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKTVDPFAKKDWYDIKAPSVFSVRNIGKTLVSRTQGTKIASEGLKHRVFEVSLADLQSDEDQAYRKIRLRAEDVQGKNVLTNFWGMDFTTDKLRSLVKKWQTLIEAHVDVKTTDGYMLRLFCIGFTKRRPNQVKRTCYAQSSQIRQIRRKMVEIMASQASTCDLKELVSKFIPEVIGKEIEKATSSIFPLQNVFIRKVKILKAPKFDLGKLMEVHGDYKEDVGVKLERPAEGDETMATPEVAAEYPRACGNRVVHKLAWQGGVLGLILSAIALVIRGLRFLISIP >Et_4A_032058.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:14501232:14502209:-1 gene:Et_4A_032058 transcript:Et_4A_032058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRADRISALPDDLLRCILTRVRCIRTAVRTGVLSRRWHRVWTQIREVNFVDRADRPHFTPATLDAIGAALHAGGDLDRLDIDVAYGGGGDDNNNNSNKPPSSSRRRCGSPYPAGRLAPLLRFASRRLAGELRLEVRPDAAAAEARVPFELIQQELPLPACARATAVRVHVAHYNLKPSFPLDAGAFFAALRVLTVACYAFGKVDVGRLVSARQCPRLQELTLPGLLGSAGVRDLAIRSASLERLELPNVLDGKLTVEAPRLVRLLVSDCQYKDDDDDIALCHHDGRRFRNVARIVAPRLAGVIRTHSALYCTCQQSPTGRTA >Et_1B_010013.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:18074052:18074306:-1 gene:Et_1B_010013 transcript:Et_1B_010013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPYIMLNSTLLGSSPKPMGGSAPVHAARMSMPGAVTSGLRISGARTFGPRLEKDPILGEEGTRPVTVPWNTMVATGDGCVLV >Et_3A_026927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26731616:26734707:1 gene:Et_3A_026927 transcript:Et_3A_026927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSITFASSSSYLSNGSSPSVGLPPAGPPQAAAAGEGWGGGVAGGGGGSLEAVSLNRLSKNLERLLLDEDLDCSDADVDVPDGGPPVPVHRCILAARSAFFYDLFAKRGRGGAAGGDARAAGEGAGSGRPRYKMEELVPGGRVGREAFLAFLGYIYTGKLLPAPPDVVCCADPVCPHDSCPPVIRFAVELMYAAWTFKIQELISLFQIKKMRKKCQTADGDAFMSDPVHEKRVRRIHRALDSDDVELVKLLLNESEITLDDANALHYAASYCDSKVVSELLDLGLANLNLKNNRGYTPLHLAAMRREPAIIMCLLNKGAIVSQLTADGRSAIGICRRLTRAKDYNTKMEQGQESNKDRLCIDILEREMMRNPMAVEDAVTSPLLADDLHMKLLYLENRGEVHTMLQRMALIDVAFARLFFPAEAKVAMQIAQADTTEEFGGIVAPSTSGKLREVDLNETPITQNKRLRSRVDALTKTVELGRRYFPNCSQVLDKFLEDDLPDGLDTFVLQKGTPDEQQVKKMRFCELKEDVRKAFSKDKADNSMFSGLSAASCSPPQMVAKK >Et_1B_011187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18560244:18565325:1 gene:Et_1B_011187 transcript:Et_1B_011187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQTADAAAVVIQHPPAAGSGRVERAYVATSAAAVMAANPGHYVAAVIQLTGPAKAKAKRRLKLLRPDDMLALGGVYRLVSFEEVLREFVSKRHAALSRVVLAADNDAHRPETDCSLETAQQPCRDQEQPTSDPSDRSTNDNGPSDPEPDIVEATMMLSGRFGLARPHGQWRPALPTIAEGSVVERNGQAAIVN >Et_3A_026204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5416782:5418065:1 gene:Et_3A_026204 transcript:Et_3A_026204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYCVTGGAGFIAAHLIRALLAAGHTVRATVRDPEDEGKVGFLWELDGAGERLQLMRADLLVEGSFDAAVSGVDGVFHTASPVVVAGAHDDAKSVQEKLVDPIVKGAANVLRSCARAPEPRARRVVFTSSCSCVRYCRAATLNESHWTDAEYCKSYNLWYAYAKTVAEKEAWRLAKEHGIDLVVVNPSFVIGPALGPRPTSTILIVLAMLKGELVKYPNTTIGFVHVDDVVLCHVLAMEEPRASGRLICSCDVAHWSEILESLRERYPQYPIPTECSNQKGDDRPHKMDTTKIKALGFPPFLSVQQMFDDCIKSFQDKGLLP >Et_2B_022631.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28452584:28453474:1 gene:Et_2B_022631 transcript:Et_2B_022631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRDKRHDRNAEVDKKHAKKQRKTATAELWLLLDRSGYPDQSKTPDGDHAHAHADAGRALCTASGITSQGARFELSLRPQASRA >Et_3A_026082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4052527:4053199:-1 gene:Et_3A_026082 transcript:Et_3A_026082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAATAVGFSAMLPVKGRPAARTAPVARVPAARRSLRVAAAAVLTAEPAEFDYSSIFSVFPMEACELLGGEACSAQMYPEVKLEAGAAAAAASRRTEEVERDYLAYDEPKTVFLSEACDDLGGEFCEAN >Et_3A_024112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17529349:17530294:1 gene:Et_3A_024112 transcript:Et_3A_024112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYAVQCMIWPKSKRQERYQSDPKPTRRMGARRPPPRRAAVVPMDEVLSSNGALRDALASLGATTPRYVTGKQLHTSDVHGNQARLLFSCKSGGGGGPSQSRRHPLAACFTALEAARVADKNAGLLVTALDGRGRRHDITCKYLDCNHAYRFIAGWKGFVEANGLNLRGGEGGFGRDVRVEVWAFRSRELDNGYVDSTKKEKIREETGHPDGALGLNNDAAVEHGGVDAGEDEEGMVVDKKPRMPAAAAAPPVTRQEDLADAGAVEQREAAAATRAMSKDEMVAKFGEKMAFAAIGMNMLRMGSWGRD >Et_6A_046874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21872942:21874231:-1 gene:Et_6A_046874 transcript:Et_6A_046874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLASSPTSEVPTARRVLAHAPSHSDCVSPTYPRENGFLNRPHKPVCQFYMKTRDCKFGGVCKFHHPKDQPVPVSNCALSSIKLPLRPGEPICTFYSHYGIMVQNENLIIQCKSSCTALLHHQPVRSQLLDVCWHMYHRIRIVFRPHIRSSESNPNRTLITSCRECHLIIPLLFAIQRENGFLNRPDKTECQFYMKTGDCKFGGVCKFHHPKDQTVPVSNCALSSIELPLRPGEPMCTCYSRYGIMVQDENSIIQCKSSCTALLHHQPVRSQLLDVCWNMYHRLRIVFRPHIRPSDSNPSKTLITFGRECHLIIPLLFAVQWENVFLNIPDKAECQFYMKTRDCKFGGLCKFHHPKDRAVPVSNFALSSVELPLRPRESICTFYSRYGMFKYGAK >Et_2A_018714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5769366:5773752:-1 gene:Et_2A_018714 transcript:Et_2A_018714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEEEVVSVTEETPNSGDNDEDEGDLSIKTEMLNVKEAINSTDEDVHNESEAQIQGGDNSEKDSNGKSEKEPNDNSEKDSKDNSENDTDEQMDKRGSSDAIQPVDPNQTMNEIISEEPVFDGTEVPEIEELRRSSNQSVELDSEAQGSVLNERAAAIKNFVKEKGATVSTFIRRLSGKKDEADFSIEHDKTDGSECIDSEKTGSDADAKPKEVEQKTEERSTWNPMKLITIGRDLGTFITGEAGHEVVPGLLEQPAMKGRVILYTKLGCQDCKMVRLFLHQKRLQYIEINIDIFPSRKLELEKNTGSSTVPKVYFNDLLIGGLTELKKMEESGILDESIDVLFKEEPSSLAPLPPLPGEDDKSGSGKMDELATIVRKMRDSLIPKDRFYKMRRFSNCFLGSEAVDFLSEDQYLERDEAVEFGRKFASKHFFRHVLDENVFEDGNQLYRFLDHDPIVMSQCYNIPKGIIDVAPKPIVEVASRLRLLSYAIFEAYVSENGRHVDYRSIQSCEEFKRYIRTIEELQRVETADMSREEKLAFFINLYNMMVIHALVTCGHPAGPLDRRKFFGDFKYVIGGCAYSLSAIQNGILRGNQRPPYNLAKPFGQKDQRSKVALPYPEPLVHFALVCGTKSGPALRCYSPGNIDKELMEAARDFLRNGGLVVDPEAKVASVSNILRWYSADFGKNEMEVLKHASNYLEPSQSEQLLELLAAAQLKVVYQPYDWSINI >Et_2A_015999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2068558:2070785:-1 gene:Et_2A_015999 transcript:Et_2A_015999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSHPSDHHRPDTAAPAPARARRVPWTTAAVLVFLAANLTLCVRRVGGGDRGALAFIAFAHLNLLLLFWSMRRFELSPPGSAARGRAKLAVWLLTASLTAAFTWKMCAVLPPPLAIAGCIMAASTVGGGFYVLFVHDANRRSRSSDHGLSTSVTGPRAKEERIEAPEHRGRRHQPDHRGHRPRHERSDAPREHARQQRRQEPNTNPCTLPRDRAGRRRLIPAQAIEEQDEACVGRDDEDRRSGVAARLADGDDGVARE >Et_1A_007433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34496581:34502034:1 gene:Et_1A_007433 transcript:Et_1A_007433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAATSVFLGVDVGTGSARAGLFDDKGKLLGSASSPIQIWKENDCIEQSSTDIWHAVCAAVKSACSLANVAPEAVVGLGFAATCSLVAVDADGSPVSVSWSGDTRRNIIVWMDHRAVNQAERINASNSPVLQYCGGGVSPEMQAPKLLWVKENLQESWSMVCRWMDLSDWLAYRATGDDTRSLCTTVCKWTYLGHAHMEQWRESDSRDMQACGWDNVFWEEIGLGDLVEGNSAKIVSLFLVILLVLELGLLPGTPVGTSLIDAHAGGVGVMESVPDAESKADLSDDEEAICHRMVLVCGTSTCHMAVSKNKLFIPGVWGPFWSAMVPEFWLTEGGQSATGALLDYIVENHVAAPLLSNRAASQSISIYELLNKMLLSMSHEQNSPFLSALTQDTHVLPDFHGNRSPMADPKAKGVICGLTLDTSEKNLALLYLATIQGIAYGTRHIVEHCNSHGHKIDTLLACGCPIILPRENESVLLGAAVLGAVAGKKFPGVRDAMKALNAAGKVVNPSSDPRVKKYHDAKYQIFRSLYEQQLSHRSTVAQALQ >Et_1B_009694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15494069:15495998:1 gene:Et_1B_009694 transcript:Et_1B_009694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRNWSLLSSTVVIWGSVATVGLAGIFLFGGKEKFQDYLCREGERLRQQDKAAMGRN >Et_4A_035590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30349930:30354112:1 gene:Et_4A_035590 transcript:Et_4A_035590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDIFGKYGAIRQIRLGNARDTRGTAYVVYEDIYDAKNAVDHLSGFNVANRYLIVLYYQPTKMSKKSDIKKKEDEINNLREKYGVGTPGPVPRYRMGNGSITFEAFNKEEIKGREGSPYSANAAAETEPVAGAGGGGRWRHEAAASEMVEYPWRCLCLALALQWMKTMPRRFTTLQKEHSRFTDARTFIFLPRLLARLPLPRGFLVVESGPLVRSLARSLELNTLAEAMSTIRKHDLAADANSSAAAASTSKRTTSDEAPLSLPEDILLEIVARAVVFETNGVDVQFNLVW >Et_3B_028774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18995628:19015615:1 gene:Et_3B_028774 transcript:Et_3B_028774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLICRYIDTNSTPLRAPSVPLLLLLTETVFSDGSISSACAGEPLFRAAADGDLDRVKDIIKSLIKGKGDRAHIFSLKSGGPGVLHIAACTGHLDICKYLVEEHGGDANMIASKGLYEGMTPFMASAQSDDISTVKYFLDLGGDVTKADAGGRTVLHHAASTGSCKVTEFLLSKGIPVDLDSGRGTPLYHASINRQDKTMKILLDHHANAGADVNGKMSPLLLATDQGGCTDLIPFLLNAGADPNLADDSGNLPIELAASRDCREEVEMLFPLTSPIPNISNWSIDGVISHAKLKNAKIKESRDMVKSQADKAFRQKEYGMASKLYGLVIDYEPDATIYSNRSLCKLHMGDGQGALSDAYRCRMMRPDWAKACYRQAAAHMLLKVNALSSRPPWTATSTASKSLSKGKDKRAPVFSLKNGGSEVLQTAACVGHLEICKYLVEELGADVNMIAGEEFLLSKGIPVDIDYGYGTPLYHASINEQDKTVKILLDHHANAGADVNGKGSLMPPLLFATGQGGYTKFIPLLLKAGADPNLPDDLGRLPIELAASRGCREEVEMLFPFTSPIPSVRNWSVDGVISHAKLESAKPMTETQIKERRAMFKSYAEQAFRRKDYKMASKLYSLVIDHKPDATVYSNRSLCKLLMGDGEGALSDAYQCRMMRPNWAKACYRQAAAHMLLKEYKQACDALLDAQKLDPGNDEIERELSKAMELMKVSPDKDQQWPRTRSHPSITTRTDLILPPGPGSPNDLSPSP >Et_1B_013926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24101830:24103121:1 gene:Et_1B_013926 transcript:Et_1B_013926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSKVVALAALFSVLVTYGSCARPVNYTASAFTADPNWEAARATWYGAPNGAGPDDDGGACGFKNVNLPPFSAMTSCGNQPLFKDGKGCGSCYQIRCVNHQACSGNPETVIITDMNYYPVAKYHFDLSGTAFGAMAKPGRNDELRHAGIIDIQFKRVPCNYPGQKVTFHVEQGSNPVYFAVLVEFEDGDGDVVQVDLMEGNSGSWTPMRESWGSIWRLDSNHRLTAPFSLRVTNESGRKLVASKVIPANWAPNTYYRSIIQY >Et_1A_005056.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:24071696:24071845:1 gene:Et_1A_005056 transcript:Et_1A_005056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITASGVGLGPPCSGNSAGAEEHISFRLLHGSVTYRDIDHLDAVQQHY >Et_7B_055562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14571967:14573557:1 gene:Et_7B_055562 transcript:Et_7B_055562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDPPSSASTKTGFLKTCFNGVNALSGIGLLSIPYALSQGGWLSLAIFLAVAVTCYYTGLLLQRCIDASPLVTTYPDIGALAFGRRGRLAVAAFMYLELFLVAVDFLILEGDNLQKLFPAASGGVQVGKLRIGGKQAFALAAALIVLPTTWFSSLSVLAYVAAGGALASVVLVAAVLWVAVFDGVGFHERGRLVHWAGLPSAMSLYSFCFSGHAVFPMIYTGMKDRKKFPMMLFICFTLSTLSYALMGIIGYLMYGDALQSQVTLNLPSGKVSSKVAIYTTLVNPLTKYALVVAPIAEAVENALGLRVAAAKGRPLLLRVAVRTALVAATATVALAVPFFGDVVSLTGALLSCSATMLLPCLCYLSLRAKVIIVRPSSEKMYRLQTAVFAAIVVVGAVIVGLGTYSSVKQIVRKL >Et_1B_013188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6266258:6270283:1 gene:Et_1B_013188 transcript:Et_1B_013188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVKPKKKSSGIGIAELRSGVISCLKVEWLLLIGMYARRYYRGEDASYYYCTSSIYRHKNGFVHTEQQFLEKDMVDGAVHLQYFMPQHHPEAATDLRHSPVCSSSTSPPAATMWEYHQAADAALQSSSASPSFPSWSPYAGTTTTALLAHGSAFAADSSPSPDMRLPAAEEHVHGHTWSQHGEQSNTTCYKENFLDLLTSKNVTQEMFMDVPAGHYAAPALSGRFGAASEVSPVKYEMGGSPLFAGSTTGMHQGMNVMGSMPRYAYADNREIKEGSNLHQQQQQELATPGMVSFLQQLSTNASVGLHGSMDYSGMVLDKICQESPFSMRSLPDLSFCGGYRSTAESTTGVQPYMRRTDLSQSSKQEPDIVPARSNSSGSGAVSDRKTKRPSEEKTSNVKKSKQEGSKASPPKQQVPKVKLGEKITALQQIVSPFGKTDTASVLFETIKYIKFLHDQVQLFSEPYTNSTRNKANVPWGDHGEASSKQGGVEHDLRDRGLCLVPVSWTPQVYRDGTAMDYWTPAYRGCLYR >Et_1A_007530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35639586:35644162:1 gene:Et_1A_007530 transcript:Et_1A_007530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHVFYRALGLSLVGGLSTSIGNRSSPGRSAACFPFASCLGSGALFVILNPAPNLKMLGLLQGFAAGLMLSISFLDLAHNAMNSIGFLKGNLWFFAGVLFFGFVVKFIPEPDFSPKTDPSEKQADDGGTGKDMMRKHRRQVLFSGIITAVGISLHNLPEGMAVFLGSVKGLRVGLNLAIAIALHNIPEGVAVALPLYFATKSKSRAFWTATLSGLAEPAGVIVVALFFPSSLDPEILEGLLGSVGGVMAFLTLHEMLPLAFDYTGQKQAVKAVFVGMACMSASLYFLELSLPKDVSL >Et_4A_034999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8730321:8742982:1 gene:Et_4A_034999 transcript:Et_4A_034999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTAAATVVATPAGEQSVVFVLQPLFLHGVSAAAHLILALAVAGCLLFRRILPSAGRDKDGDAERDARRGVCGFRCYGVAICATWALAASQVLLAGYSWYVDGGAGWSRDAAANRVDAATRAVAWPLLAAYLQFGFDRRRHQRFPTPVRLWWALFMLLSAVNVCAHVATSLDGLPVPGLSWALDAVSVVAAMALLCAGFFGRSERRGLASEDEPLLNGTQETVDESTGNAANASLLTGAGFLSELCFSWMGPLLAVGNEKTLGLDDVPGIDPADSVDGLLPPFKANLEALTDGSGRNVVTAFKLAKALLRTVWWHVAVTAFYALVYNVATYVGPYLIDSLVQYLNGDERYASKGQLLVIIFIVAKVFECLSQRHWFFRLQQAGIRARSALVAIVYQKSLALSSQSRQSRTSMAMFILYSTLGLASLAALGATVVIMLANVPPGQMQEKFQEKLMDCKDVRMKATSEILHNMRILKLQGWEMKFLSKIIELRKTEENWLKKYLYTSAIVTFVFWGTPTFVAVVTFGACVLMGIPLESGKVLSALATFRVLQEPIYVLPDTISMMIQTKVSLDRIASFMCLEELPSDAVQRLPSGCSNAAIDVKNGCFSWDASPEVLTLKDINFQAQQGMRLAVCGTVGSGKSSLVSCILGEIPKISGDVVICGTTAYVSQSAWIQSGKIQENILFGKEMDREKYDRVLESCSLKKDLEILPFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLKDLASKTVVYVTHQIEFLPSADLILVMKDGKIAQAGKYDEILSSGEELMELVGAHRDALTSLDTMDAVSGDSEASCSSGTMKFSRSMSSAEKKDKDNKDEGNAKTGQLVQEEERERGRVGFWVYWKYLILAYKGALVPLVLLAQILFQVLQIVSNYWMAWAAPVSKDVEAPASTDQTEVDTNIAGQMGSVAFSIIQLVGIIVVMSQVAWQVFVVFIPVFAACFWYQRYYIDTARELQRLVGVCKAPIIQHFAESISGSTTIRSFSKENQFVATNSYLTDAYSRPKFYNAGAREWLCFRLDALSSLTFAFSLIFLINLPTGLIDPGIAGLAITYGLNLNMLQAWVVWSMCTLENKIISVERILQYMSIPAEPPLVTSGDILLHNWPSNGQIQLHNLHVKYAPQLPFVLKGLTVTFPGGMKTGIVGRTGSGKSTLIQALFRIVDPTIGQILIDGIDISTIGLHDLRSRLSIIPQEPTMFEGTVRSNLDPLGEYTDNQIWEALDCCQLGDEVRKKELKLESPVIENGENWSVGQRQLVCLGRVILKRSKILVLDEATASVDTATDNLIQKTLRQQFTETTVITIAHRITSVLDSDMVLLLDNGVAVEHNTPTKLLENKTSLFSRLQSLVFILQPLFLHGVSAAAHLILALAVAGSWLFRRFLPSAGRAKDGEPRGDARGGVGGFRCYGVAVCTTWALAASEVMLAAYSWYADGGAGWSLVDAAARALAWPLLAASLQSGFDRRRHERFPAPLRLWWALFMLLSAVNACVHVATSLDGHPVPDQSWVLDAVSVVAAIVLLCAGFLGRRELYGHPSEEPLLNGTQATADETTGSDTCLLTGAGFLSELCFSWMGPLLAVGRKKTLGLDDVPGLEPDDSVDNLFPTFKANLDALTGDGSGRNDVTAFKLAMALLRTVWWHAAVTALYALVYNVATYVGPYLIDYLVRYLNGDERYAGSKGQLLVLGFIAAKAFECVSLRHWYFRIQQAGMRARSALVAVVYAKGLALSSRSRRDRTSGEMINIVSVDADRIGVFSWYLHELWLIPLQVGMAMFILYSTLGLVASLAALGATVGIMLANVPPGNLQEKFQAELMSCKDARMKATSEILRNMRILKLQGWEMKFLSRIIELRETEANWLKKYLYNLATVTFLSWGTPTFVAVVTFGACVLMGIPLESGKVLSALATFRIMQEPIYSLPDTINLMIKVKVSLQRIASFLCLEELPTDAVQRLPSGCSDVAISVKNGCFSWDASPDDLTLKDLNFQARQGMCVAVCGTVGSGKSSLMSCILGEIPKLSGEVQICGTTAYVSQSAWIQSGTIQENILFGKEMDGEKYDRVLESCSLKKDLEILPFGDQTVIGERGINLSGGQKQRIQIARALYQDAAIYLFDDPFSAVDAHTGSHLFKECLLKDLASKTVVYVTHQIEFLPSADLILVMKDGKIAQAGKYDEILSSGKELMELVGAHKDALTSLDTINSVNGDSEATCSSGTEKFTRSQSLAEKKDKGNEDEGNAKSGQLVQEEERERGKVGIWVYWNYLILAYKGALVPFVLLAQIIFQVLQIASNYWMAWAAPVSKDVEAPVSMSTLLYVYVALALGSSLCILIRSLFLATAAYKTATLLFNKMHMSIFRAPMSFFDSTPSGRILNRASHLGCQFPSSLYFLGFC >Et_7A_052394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7555055:7557061:-1 gene:Et_7A_052394 transcript:Et_7A_052394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSMVDTAASSLCPTPAAGRRRAGGAASFLNCACSARDCRVMSASYSIGRMLSGVRSAARKTLFRSEPADLLGIGNWPDSTTGGQHQHWWTALENNFVLEASEDEYGGVVVDADRLPPGKDAFARSLAASLSYWKSVGKKGVWLKLPADRAEFVPLAVKEGFKYHHAEEAYVMLTYWIPDEPSMLPANASHQVGVGGFVINDQMEVLVVQEKYCGSSLDGAWKLPTGFILASEEIYTGAIREVEEETGVDTEFVDVVAFRHAHNVAFQKSDLFFICMLRPVSNEIKIDETEIQAAKWMPLEEFVKQPFIQEDHMFQKIMDICIQRLRKCYCGLTPHHVVSKFDDRTSTLYFNVAEPEDVNCSAA >Et_3B_028934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20554826:20558437:-1 gene:Et_3B_028934 transcript:Et_3B_028934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDKIKVANPIVEMDGAAEAIPYLFADRFCAYNEIQMPLMRTSVDVDLSRTRGDEMTRVFWKSIKDKLIFPFVDLDIKYYDLGILHRDATDDKVTVEAAEATLKYNVAIKCATITPDETRVKEFNLKQMWRSPNGTIRNIINGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVVKGPGKLKLVFEGKEEQIDLEVFNFTGAGGVALSMYNTDESIRAFAVASMTMAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEADWKSKFEAAGIWYEHRLIDDMVAYALKSEGAYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFAQKLEAACIGTVESGKMTKDLALLVHGSSKVTRGDYLNTEEFIDAVAAELQSRLSAN >Et_7B_056014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9246904:9254124:-1 gene:Et_7B_056014 transcript:Et_7B_056014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLESAKTLFGDGGSLGCFPRIGRRSKNSYAFTADSDKRKGPGGPAPEEVVVVDVPEVPLREVTEMTGSFSAERLIGQGSYAQVYRAALRNGRPVAVKRLEKPSKHASNVVFLKQLSAASRLKHDHFVRLLGYTISGDLRVLVYELATMGTLHDVLHGDREVPAPEPERPRPVLSWAHRVHIALDAARGLEYLHETVRPAVTHKDVRSTNVLLFDGFRAKIADYNMFSQAADMARLNRSTHTLGSFGYQAPEYAMTGQMTDKSDVYSFGIVLLELLTGRKPLDRTLPQGQRSLVNWATPMLTEDRVQECIDPKLGDEYPSAGALKLGRIAVQCLQYDPAFRPSMGTVARVINYAVVRDQQGVTEANDGTVTYNVTGICTYELLYPKSVTAVSYLEADQDAVPRYKKEVERTVLV >Et_6A_047457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6041604:6048011:-1 gene:Et_6A_047457 transcript:Et_6A_047457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSPAPKRHLGVQKKPEKRKPKAFVDTSKAGSPFPDSNKCKRSIIFLKHLRLIEYYIVVLLISFGLFKLLHFTDFSFMLDEHSIVSKKLRQPVVISQRHRITEEEKAHALKRANEFKSNNPFTLQVMMASYIYVGFFMNIPCEFVRQYLPQSGKKMTLWDPQGKPWEVQYMFNNEGSNAGFSGGWGKFAAGNNLENLDVCVFELLKEDNIKVHIYRIVPEITQLLCNKSIHEHTNLNLEEDDGDECEHGADALHGGGGELVVVPDHAESVVDAGDVGQEVMHVDSEYANEPKRGHREAPPAGPGPRQELPREAAARSTAATKLPDLASWGGRRGRPATHASAVAPPLLLRRRARRAVVDTGVAVEPSLS >Et_3B_028263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12449793:12457447:1 gene:Et_3B_028263 transcript:Et_3B_028263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLCVPLVARTVEEMVADAAAAAAAGGDLVEIRLDFIQGFRPREHLPRLLRGCPLPALVTYRPNWEGGQYEGDDTARFETLRLAMELGVDYVDIELKVADKFISFISGNKPEKCKLIVSSHNYEYTPSCEELANLVARIQAVGADIVKIATTATDIIDVSRMFQVMVHCQVPMIGLVMSERGLMSRVLAPKFGGYLTFGILNAAKTSASGQPTVEELLEIYNIRRIGPDTKVLGLIANPVKQSKSPILHNKCLQSVGYNAVYLPLLADNLAIALFLSNWMRCSVAMNMIQLPRRPDSKLVGYNTDYIGAISAIEDGIGGSKDAAISPLVGRLIVVIGAGGAGKAIAYGAKEKGARVVVANRTYEKAVSLANAVGGQPLRLSDLETFKPEEGMILANATSLGMYPNVDGTPITKKALSFYDVVFDAVYAPKVTRLLREAEECGVKVVSGVEMFIRQAMGQFERFTGGIEAPESLMREIAAKYT >Et_1B_011218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1897579:1908892:1 gene:Et_1B_011218 transcript:Et_1B_011218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NGNWEVFSNLSAHPIQHSTVSGSQTSRSVATSSGARKNKSQISEEGRKKYLTGKWVSWPCFWRLPAARGDERPEAERGAERRRNRRRPLASSSLPPPFAGAPPPARRQEPSVRCALTWLVRACVRLGLCDGMAAAGDKCGNSVVAGEVGSDLYAVLGLKKECSDAELKVAYRKLAMRWHPDKCSSSGSVKHMEEAKEKFQEIQSAYSVLSDANKRFLYDVGVYNNEDDEDSLKGMGDFIGEMAQMMSQARPTRQESFEELQQLFVDMFQSDLDSGFCNGPTKNQQVQGQGRSRTASTSPSSSPSPPPPTSTEAEVPSWNGFNKRGSSAMSGKPPRHVEAGAGIQHSNSFCFGISDTKEVPKSRSTNNSKRRNGRKQKLSKKHDVSSEDDTTGSQQHITSLLSNKRPLSRKGCGELHPQGKTRPWVNFAVPRLYPRQEIDADLRVGSVFIQETQE >Et_10B_004021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9346796:9348231:-1 gene:Et_10B_004021 transcript:Et_10B_004021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLRSAAAVAVVAAIVLACSAAAQAADDSEAPAYRNHTVGGAAGWFFNTKTNTSSGNYSSWANGETFYLGDYLIFKTNDNSSVVHTTNSTSYELCDASEDESPETFIYGGGGGGGGGAEEGDTVAVPLTKEGANYFFSEANGGAQCQKGMRFEIKVAHGQGLPPSLAHPSPAPKGRVLAPPPAGTAFSGTGSVEPGDGAGDNGGEGTGGAPRTVGGVFFGVALGVASAVLVAL >Et_2A_016444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24837986:24841883:-1 gene:Et_2A_016444 transcript:Et_2A_016444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFPRPALAPKPPFPSALRSAQHTRVTCASTISVASVSSSPSRPTSSSPSTSSPAATSGGAGGKNGKKKRRHLKPSLEKKALCRWSARAPSQRTSFPWEQQQKQSPSPNRFDQESDGARCKESGDGGSSTTLRSIVDYFGGGSADDGVDDGVGEEEGAGKNSAAWGKAAQDRSEEEKFQPSYLLDNRVVAAPWIHGEELANDQWVSVSVAEGEEGVDMDGVSGDELGLVDGDEDEVNNGETLSVSSEEEMYENVAAMTANSSYRMELVVDQGSKGDGSMRRSSVKTIVKTLRNSMEESSPNVVTERSNAEDFVQKLGPVLLPWEREEDDEEEFGADRQGKRSNTELAERTIPEHELRRLRDAALRMKERMKIGPGGVTQNVVDNIHKKWKVDEVVKMRFEGPPSLNMKRTHDLLEDRTGGIVIWRSGRSVVLYRGMNYNLRCVQSYAKIAEVDSNKGIGDAHTSGPSHRVHSLQNSSADSVKCPSSIVDSSPEDTKTFDIDSFLDELGPRYRDWSGRNPIPVDADLLPGLVPGYKPPFRLLPYKIKSTLRNKEMTSLRRLARQTAPHFALGRNREHQGLATAIVKLWEKSAIAKIAIKRGVPNTCNDRMAEEIKKLTGGLLLSRNKEYIVFYRGNDFIAPKVREVLVEKQEQAVTQQDEEELARLKASASIATIPKESKGPLVAGTLAETTEAKSRWGDALNDKQREEEMKRLAFAKHTCLLKNLKRKLISAKTKVAKAEKALSKVQQFLSPAELPTDLEAVTDEERFLLRRIGLKMKAFLMLGRREVFDGTVQNMHLHWKHRELVKIIVKGKNFAQVKHIAISLEAESGGVLISLDKTSKGYAIIFYRGKNYRRPHILKPRNLLTRKQALARSIELQRREALKCHISSLQYKIWKLKSQLVQMKFASEKQDVNLLQTVEDDLSSDDDVEDEGEEAYLQTYSSDDEEDSEEDSNEYL >Et_1B_013062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5172874:5179128:1 gene:Et_1B_013062 transcript:Et_1B_013062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDTLGAHDDGSTKSTSLEENGEHYGGVLVKGQAMQRGGEKSRKAAWRSIGTTVQVLPIHAASNPLLRFVVAPHLQLTSPPVAIARSNGIDNSLPSRVAGADDGDTLASGLFPAACSTTNRRRRRLRGSAMSFADLEAGALRASGTRTARGPDDARGLVFQITTAVASYRRLLNSLGTPKDTPTLRDQLQKTSQKILQLAKDAKEKLRRATEEDKSIDTSADKRVRDMKLAKDFATAMEEFRKLQNLAIQRETAYKPLVPQSAKTNYAPDDRSADSGQMPEQRALLAESKRQEVLQLDNEIVYNETIIEEREQAIQEIQGQIGEVHEMFKDLATLEIETNIDNSAVATKEAKTEVAKASKTQKSNSSLLCLIMVIFGVVLLVVIIVLAA >Et_4A_032340.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30678317:30678673:-1 gene:Et_4A_032340 transcript:Et_4A_032340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRNGGETSGSPRVFECKTCNRQFPSFQALGGHRASHKRPRHPQAAGTAEPAKARAHGCAVCGVEFALGQALGGHMRRHRAVPVESATTRGLAEAERKPDEARRPLVLDLNIAPP >Et_2B_022393.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20071753:20073032:1 gene:Et_2B_022393 transcript:Et_2B_022393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWGRRAIGAAQPVPQDEEEAADGQFRSVALVVGATGIVGSSLVGILPLPDTPGGQWKVYALSRRPPPPWAASADAVTHLQVDLADSSAVAEALAPLTDITHVFYAALAPPHVVEARNRRANRAMLRNVLSVVVPNCAALAHVCLQTGTKHYTGPPEAIAARRIVGGVIHGIVSDGGASSSSIKQPYTEDMPRLGWRNFYYDQEDVLFDAVSSQAPKRGGGISWSVHRPNLILGFSPRSAMNIVCSLCVYAAICRKEGVPLRWPGSRGAWEGFSAASDADLVAEQQIWAAGDPVAKNQAFNCSNGDIFRWEQLWPILADRFGLEWVGYRGKKKRVRLAFAMPRKAAVWAEIVEENHLVATQLHEVANWWFVDGLFYDKWEFLDSMNKSKEHGFLGFRNTAKSFNTWIDKMKACRIVP >Et_4A_034434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32574169:32579517:1 gene:Et_4A_034434 transcript:Et_4A_034434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTNWEADKMLDVYIYDYLVKRNLQATAKAFISEGKVATDPVAIDAPGGFLFEWWSIFWDIFHSTSATKSSSSSSVSLNNNNPMSRLQMLQQPHNPPPGPDVAAALATKMMMQDRLRHPNPDHHLLDANTRMALLTKSSPPNNHQSHSGPLPMQQQIHPRNQQQLDAKPDVAMPPRTDPSSLYGPGMMQSKPGLLAAGLNQGVGSVPLKGWPLTVPGIDQHRSNLGAQKQMMPSSNQFQLLSPQQQLIVQAQTQNDITRMGSPAPSSSPNVRSDDPDYFMKLKMAQMQQSSGHRVTELLHQQNSRKRKPTSSGAANSTGTGNTVGLSPPSTPSTHTPGGGAPVASNSNIIQKGSMICGTDGTSGLASSSNQMDNLDSFVDFDENVDSFLSNDDGDGRDVFAALKKGSLEHNSESLNSLSLSEVGNNRTSINKVVCCHFSTDGKLLASAGHEKKVFLWNMDNFKMDTKIEDHTNFITDIRFKTNSTQLATSSSDGTVRLWNAADENGALQTFHGHSSHVTSVDFHPRLTEYLCSCDDNGEIRFWTVGQSASSNVFRVKQGGNGRVRFQPRNGQLLAVAAGSMLNIFDVEKQANLHSPPKGHNSEINCVCWDGSGEYLASVSQDTVKVWSIATGTYIHELRSHGNQYQSCIFHPRYPKVLIVGGYQTLELWSLSDNQRNPIQAHEGLVVALAQSPLTGMIASASHDRYVKLWK >Et_7B_055209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8278278:8283187:-1 gene:Et_7B_055209 transcript:Et_7B_055209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQEIAGHAYALQVSGRQMRVECRGVGSYFLTGYYNVLANQPQLAIQFYTDNSSVVRVDCETGQWSFGETLEAINDMMMSMNIHKVEVKTANFLESWGGAITLLVTGLVQLKGYPARKRFVQTILLAPKKDGYFVFSDIFKLICDEYDDQYHGADYGVDNIPQADAPYTMAETASDYLAGEHETAEIVAPAENHEVHQQAPLEYRAGIYDGIPLEEHNPQFPSSDVKQDSSHAPPHAPSPPILEEEPVQEAPKTYASVLRKKGNPSQQAALSAPANKATVGASESQQSAPVHEKSNFDTHRVANVPDDEEEFLSVYVGNLSPSTSVFDLEKVFQAFGRIKPDGVAIRSRKEAGVFFGFVEFEDMSGIQNALDASPIELNGRLVHVEERRPNCGFPRGGRRGRGRDQAGGRYDGEYATRSKGNGQHKRGGRQYDNYY >Et_8B_060748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:658768:663302:-1 gene:Et_8B_060748 transcript:Et_8B_060748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGSSGIVYGGLKYQASTSAAASWNLLEPLFFLLLIFGHTSLQARCIADVRAEAGSTTFLAGTLSLKEENEVHLIRLSPAEGELVCDGLFYHPNEIWDLKSCPFDQRVFSTVYTSGEGYGASVWKIPELYGQSNSPQLEQLFTLDGHTGKIRRKSSAIEHAHIRDVDYNPKKQTIIATAEDEFGIHLWDLRMLKHPLKDFAGHSHWTWAVRHNPEHDELILSAGTDSTVNLWLAKVGNDDSGPESPSGSPKRQEEPLLNSYTDYEDSIYGIAWSSHDPSLFASLSYDGRFSKTKSWSFVFYP >Et_9B_063984.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:9680221:9680619:-1 gene:Et_9B_063984 transcript:Et_9B_063984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPSNLTMSFPKTSSSSTPSAAPARSAWRRRAFSWSERASSDSMERMAATRPPPATGATEESPEQSGQLHRAATARAGGSERHGACHGVAQVEQRMSSTSGGAAARQAMQAPSPSHGRLGMAAAARRLGER >Et_4A_033542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24258631:24260976:1 gene:Et_4A_033542 transcript:Et_4A_033542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAALRGRRPQRPPPSPGTKAKPEQAPGPLNLPLKAFKLRLRNGTPLAPTAKTFKSYAETCAALFRLCRTTTAVSSTAPASSVSSALPLVTTLHAHALRSGLAADRSVASNLLTAYAALARNADRDRAFGDCVAAGAASSFTYDFMVSEYVKAGDIVSARTLFDGMPERTVVSSATMVDALMKRGSVRDAVELYEKCTLRSVAFFTAMISGFVRNELHKDALPVFRKMLSCSVRPNVVTLICVLKACAGAGEFDVAMCVVGLAIKCNLFEKSIEVQNSLITLYLRMGDAAAARRTFDEMEVRDVVSWTALLDVYAESGDLEGARRVLDAMPERNEVSWGTLIARHEQKGDAAEAVRLYNQMLADGCRPNISCFSSVLSACATLRDSREGTRIHANALKMGSSTNVFVSSSLIDMYCKCKQCTDALKIFSSLQQKNVVIAGMEQWSKLRSFSRRCLQEMQLHGMLSFLHGIEDNVFMGTALSDMYAKSGDLESSKKVFYLMPEKNDVTWTAMVQGLAENGFAEDSILLFENMMTTGIVPNEHTFLAVLFACSHSGLVEQAIHYFETMKVHGILPKEKHYTCMVDVLARAGRLTEAEELLMRVPSKSQPSSWSALLSACNTYRNKEIGERAAKKLNELEKDNTAGYVLLSNMYASCGKWKDAAEMRILMKGASLKKDGGCSWLQLRGQYYAFFSWEVKHPMSLEIYEMLDLLMWESTT >Et_7A_052684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12264591:12269137:-1 gene:Et_7A_052684 transcript:Et_7A_052684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSMPTRTLTLGGFQGLRQTNFLDTRSVIKRDFGSIVASQVARPRGRGSRAVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPNNIRTQVIRMVGESTEAVGAGVGGGTSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRKDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNEDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYELHHKLRYTDDALVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKELRQITKQKNEAVRGQDFEKAGELRDREMELKAQITAIIDKSKEMIKAETESGEVGPLVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKSLAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKDIDLQVTERFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLNGGSGVAEPLEPALSI >Et_10A_001726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7476556:7477112:1 gene:Et_10A_001726 transcript:Et_10A_001726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHNIKSMRMKAMMQKMWPSHIIRRSALDKA >Et_5B_044520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3127327:3131365:1 gene:Et_5B_044520 transcript:Et_5B_044520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSLLVLVLLFGGAAGDDVAALLEFKKGIADRDQDPVLGSWSRPATTEAGSGGTGCPAAWRGVVCDGDAVVGVTLDGLGLAGELKLGTLAGMRALQNLSLAGNAFSGRLPPGIGYLTSLRHLDLSGNRFYGPIPGRLADLAGLVHLNLSHNNFSSGFPTDGIQQLQNLRRIDIRNNSFWGNAGDLLKELRNAEYIDLSHNLFTGQVDLELESLTSIGNTVKYLNLSHNKLGGGFFRNETVGAFKNLAVLDLSNNGIGGTVPRLDSWFSLEIFRVSGNALFGMMPEALLQNSMRLVEVDLSRNGFSGSLPLVNSTTLKMLNLSSNVLSGSLPTTVGKCVSVDLSGNLFSGELAILRSWDKTVEVIDLSSNKLEGSYPNDASQFQNLVSLKLRNNSLSGSLPSVLGTYQKLSVLDLSLNALGGPVLPTFFLSSTLTELNLSGNRFTGTIPFQSTHSTESIMLSSQPSLKIVDLSNNSLSGPLPPDISNLQKLEFLTLSMNELSGEIPSEISKLQGLEYLDLSHNHLIGEIPDMPQASLKLFNVSYNNLRGTVPKSVEKFPLSCFRPGNDLLVFPDGLPAGNDDYTGVGQSRTSHGHKAGVRVALIVGCIGAVLLVIFIALAFYVVRSQELCGRNGFRGQTTIRDLKGRLSRPNLFKSPKDNVIPSKTSFSNDHLLTSAARSMSAQKELLAEAAVEYGYADPKEVAESTIEARESSPRSALPTSPHFADSRFHDEPVAFEVYSPDRLVGELIFLDSTLVFTAEDLSRAPAEVLGRSSHGTTYKAVLQSGHMLTVKWLRVGLVKHKKEFTKEIKRIGTIRHPNIIPWRAFYWGPKEQERLIISDYVNGDSLALYLYESTPRRYSRLSVSQRLRIAIDLARCLQFLHHEKGLPHGNLKPTNIFLTCPDLSPKLVDYGLHKFMTPSGTAEQILNLGALGYRAPELANTAKPAPSFKADVYAFGVIVMEMLTRKSAGDIISGQSGAVDLTDWVQMCNREGRGTDCFDRDITGLEESPRIMDELLAISLRCILPVNERPNMKTVCDELCSITV >Et_8A_058204.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:2439601:2441064:1 gene:Et_8A_058204 transcript:Et_8A_058204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAVDAAAKGARLDRGAVLLRRASGAKQLVSASSHLLFRATVLVTLALVVLFTVHYPSLISHSFRLSAPSASSSSGASSQRRSSHRSLLGKPAAAWEREVRRSATPRREDGLSVLVTGAAGFVGFHCALALRARGDGVLGLDNFNAYYDPSLKRARQRLLLSSPHHVVVLDADINDSALLERLFSAVPFTHVLHLAAQAGVRHAMRAPQAYVASNVAGLVSVLEACARHADPQPAVVWASSSSVYGLRDSSSPDDDAPFSEEHRTDRPASLYAATKKAGEAIAHAYNHIYGLSITGLRFFTVYGPWGRPDMAYFAFARSIVAGEPVTLFLTAEGGADVRRDFTYIDDVVRGCLGALDTAGKSTGSSSKSGARKKRGDAPLRVYNLGNTSPVPVTRMVAILEKLLGRKARKRVVTMPGNGDVPFTHANVSHAARDFGYRPTTSLEVGLRHFVEWFVRYYKLDIKGADIAAGKPSKKKSMVTMSAAS >Et_3B_029948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29295878:29299804:-1 gene:Et_3B_029948 transcript:Et_3B_029948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRGAKMDGRRQSSSSSSFCTTTTVVVFVALCLVGAWMMTSSTVFPLDMVSNKKSEVRKQAPPLNFGEAGSGNAGEGAEKFEDTDNTIPEQPNNKESSEEERFTENTEEKPVEKPEEQEPERPKGNEENKGMFDDANGKSEGRSDDVNNSEDEKKREQKKDEEEKSEEKKDDETKNEDDGEKKEDQEEKSEGDATQEEQPQIEEKVEESGDKEEGSKSSENGSFSTQAAESKNEKETRASSESSGDTASYSWKLCNSSATTDYIPCLDNEKAIKKLRTTKHYEHRERHCPEEPPTCLVPLPEGYKRPIEWPQSRDKVWYSNVPHTKLAEYKGHQNWVKVSGEYLMFPGGGTQFKNGALHYIDTIQQALPDIAWGKRSRVILDVGCGVASFGGYMFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPSRVFDVIHCARCRVPWHIEGGMLLLELNRLLRPGGYFVWSATPVYQKLPEDVEIWNAMSSLTKSMCWKMVVKTKDKLNQVGMAIYQKPMDNSCYEKRPENNPPLCKETDAADAAWNVSLEACMHKLPVGPSVRGSKWPESWPQRLEKTPFWIDDSKDGVYGKPANEDFEADYAHWKRVVSKSYVNGMGIDWSKVRNVMDMRAVYGGFAAALRDQKVWVMNIVPIDSADTLPIIYERGLFGMYHDWCESFSTYPRTYDLLHADHLFSKLKKRCKLLAVFAEVDRILRPEGKLIVRDTADTINELESMAKSLKWEVRMTYTKGNEGLLCVEKSMWRPKEVEASV >Et_5B_043509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11333192:11339997:1 gene:Et_5B_043509 transcript:Et_5B_043509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLASVLMHADAADVVLMVLGLLGAIGGGLSTPVTMYITGRVFNDVGSGPNVLDHFSSRINENARNLLYLAAASLLMGFLEGYCWARTAERQASRLRARYLRAVLQQDVEYFDLHAGSTSEVVTSVSNDSLVVQDVLSEKVPNFVTNCAMFLGSYAVGFVLLWRLTLAALLPSMLLLVVPGFLCGRMLVGLARRIRDQYAHPGAVAEQAVSSARTVHSFAAERSTMARFSAALQESVRLGINQGLAKGIAIGSSGLSFAIHGFNVWYGSRLVMYHGYQGGTVLAVSACIINGGLALGSALSNAKSFSEAIAAAERVQEVIQRVPKIDSESHAGKEPAGTAGEVEFRNVQFCYPSRPESPIFVNFNLHVPAGRTVALVGGSESGKSTVIALLERFYDPSHGEVTLDGVDIRRLQLKWLRAQMGLDATAEEVVAAAKAANAHSFISQLPQGYNTQVGEHGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDSESERVVQKALDLASMGQTTIVVAHRLSTIRNADIIVVMQSGEVRELGSHDELIDNVNGLYASLVRLQQIKDSGEADEAKGTRSICGMGYSSGHSMNRRFSIASRSSSARSMDDAGHNKNNQKKKLPVPSFRRLLMLNAPEWKHALIGSFSAIVFGGIQPVSAYIMGSTISVYFSTNHSEIKDKTRTYALIFVAFAVLTFLINIGQHYNFGAMGEYLTKRVREQMLAKILTFEIGWFDHDENSSGAICSRLAKDANVVRSLVGDRMALVIQTVSAVLIACIMGLAIAWCLALVMIAVQPLIIVCFYARRVLLKSMTEKSIQSQSESSKLAVEAVTNLRTITAFSSQDRILSLFHQAQDILRKESVRQSWFAGLGLATSMCLMRCSWALVFWYGGKLMAEQHITSKAFFQTFFILVSTGRVIADAGSMTTDLAKGSDAVSSVFAILDRETKIDTDNPQGYKPEKLKGEVDIEEIDFVYPSRPEVIVFKRFSLSIQPSKSTAVVGHSGSGKSTIVGLIERFYDPVRGVVKIDGRDIKTYNLQALRRHIGLVSQEPTLFAGTIRENIVYGTQMASEAEIEDAVRSANAHDFISNLEDGYDTWCGERGVQLSGGQKQRIAIARVILKNPSILLLDEATSALDNHSEKVVQEALDRVMLGRTSVVVAHRLSTVQNCDLIAVPDNGIIVEQGTHASLMTKGPSGTYFGLVSLQQGVNQN >Et_1A_006625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25306975:25315350:-1 gene:Et_1A_006625 transcript:Et_1A_006625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPPPFPRSFPDRLRGEAKPRDPENSKPNPSPSPPPGSPQELLHAALDGNLRALKKIAKAMDGGEGRIAEKVGALRNVRGLGALHLAAASGKLPVCRYLVEELCLDVKANCHAGLTPLTCAIDVGSVDVVQYLLDQGADTETRISTGLTPLVCAVGKGGNFEIVQALLSKGAHTNVLTTAGAALHCAAQGGRHDIVKILLDHHADPNMIGWGINRPLDYAISARSLECVKLLIEAGADVQGVGTETPLAFAATCGLTDILKCLVQAGADPNVRFGFTPIENAARYNKREDVEILFPVTSCIPSVHDWSVDGVIRHAKSLPALKDADLSGVMLANGKSQGREAVKNKDYRGAVEIYTKVMNLDPDDATLFSNRSLCWLRLGEGKKAWLMLKLVE >Et_3A_025589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31054778:31058748:1 gene:Et_3A_025589 transcript:Et_3A_025589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEASTSAAAGHGSWVEGMSADNIKGLVLALSSSFFIGASFIVKKKGLKKAGASGVRAGVGGYSYLYEPLWWAGMITMIVGEVANFAAYAFAPAILVTPLGALSIIISAALADIMLKEKLHIFGILGCVLCVVGSTTIVLHAPQERAIESVAEVWDLATEPAFLFYAAIVLAATFVLIYYFVPQYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGMNQLIYPQTWMFTIVVVSCIVTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQTPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLPPTLPIRIPKHAEEEGIPLRSAAEGMPLRSPRAADSFRST >Et_10A_000602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13437112:13442247:-1 gene:Et_10A_000602 transcript:Et_10A_000602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPAGVARRVLSAAAVLLVAIARASCSDSGNRSDVDSVDFPSFKGVDNGDSRFKLSWDAGVVDGALHLTVDDVHKPPVKHNPADGGRLDGGIILQRPSILLFVTSSCPDPYSDPYDDPDTYTYYRSPSRPDTSFNTTFTMSVSRSRNQTATEIDDGGGLVFEILPEVLAGGSSSYKSFASTTSGNISVELGEHNTYKSRSSGMYVSITPAPTRDAPPANYTVWIDYDGKSQTIWVYVDKGNKPKPAEATLRALNIISSSFSWDSYGYRSYYFGLFASKNRRLPSCQPVIYSWNLTVDKLKLSDSEPTSYVSAPTSYVSAPTSMESDQDRKRGIGEGWFLAIVLSSVLLGVAAATATVFRFRNHIVATVSAAAHALASRYQALKLKMKLSRALRRLPGVPREFKYADVKKATMNFDESMRLGRGGFGAVYRGTVLISTTGDGEEEGPRQRSPVEIAVKKFTRTEDRGYEDFLAEVAIINRLRHKNIVPLIGWCYENGKLLLIYQYMPNGSLDQHLFRENRHQHLPPLRWETRYNIIGHVAAGLHYVHHEYERVVLHRDIKASNIMLDDAFGGRLGDFGLARVVGFNNNSTTDVGVAGTWGFIAPEYAVSHRATRQTDVYAFGVLVLEVVTGRRSLSAADAPFPVLADWVWWLHGQGRLLEAVDDELTISCSSEAADQQLNANDATRLLLLGLACCNPKPSDRPSMAEVVQVIGKSMPPPNVPLAKPAVLWPPEGELELWDDSDDSDSHRKGTQSHGGFVISIGSLEISIGRSRKVWSVPVRERSSLPYGDLRGPLQEMTLDEL >Et_1A_004704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32512139:32513116:-1 gene:Et_1A_004704 transcript:Et_1A_004704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NCDHNRRSVAACLVQAVYVLERDRQLNRQSVEALAPPCKEASVENTPRFVIAFRGTITEKDTISRDLSLDLHLVKNGLHRTSRFTIAMQTVQNVASVFPGSTIWLAGHSLGAGMAILAGRNMVKKGALLESFLFNPPFVAAPIERIRDERVKHGFRIARSVITAGLTIAMKSKTEGNSQRSIAEDSFNILSSWTPYLFVNPGDHICSEYIGYFQHRKNMEDLGAGFIEKLATQNSIGDLFFKALGWESEPLHLLPSADLIVNVSPSPDFKYAHGISQWWQHELNLQCTKHRYS >Et_4A_034832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:718267:720134:1 gene:Et_4A_034832 transcript:Et_4A_034832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASDLALAGMEPGKIMRAGLGDLPELCAAEVLLYLDAPDVCRLARLNRAFHAAASADFVWDAKLPENYGYLLGFVDGAEEGNKSEMEKKDIYARLTKPVRFDDGKREFCLEKSKGGICMALSSKAMVITGIDDRRYWVHMPTTESRFHSVAYLQQIWWFEVVGEVDFCFPPGNYSLYFRLHLGKSSSRFGRRICSSEQIHGWDKKPARFQLWTSDGQHSSSQCYLDEPGSWILYHVGDFVASSSEQATKLKFSLAQIDCTHTKGGLCVDSVLIYPKGFEPEKVIRPQK >Et_7B_054622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2948615:2950724:-1 gene:Et_7B_054622 transcript:Et_7B_054622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHAEMLHAAPAMYNGGGGAAPHGGWWNAAAVPAATCSTELAGFSTWSSALAASYDLAAEAGKAKSAATTASSESPGNNSSVTFQEPTGVADATGVQQPLASFTDWTHLITDQQHSHINAPSLMNPSSNNLTLQGHHEQDHGHHQLLTSLGSELLLSPTSPYGGIQSSLLRSLMEPTAGKPAALPGFQHYDQYQQMGQAPPLQFTNDAAFWNPSAGFGVPAAAPAQASSMRAAKPSPAPRAATLALKSAMEGVGESSSIITKKGNGEPAFKKPRLETPSPLPTFKVRKEKLGDRVTALQQLVAPFGKTDTASVLHETIEYIKFLHDQVSALSAPYLKNGHQVPHLKSSSPDKSKDSHGEISLKGRGLCLVPISSTFAVASEVPVDFWTPFGANFR >Et_1A_009297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37820267:37820888:-1 gene:Et_1A_009297 transcript:Et_1A_009297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASYSRQEEPTPVRDIVHLARSMCLGKDIAMATGKILPGLQIAALLILCLSITASSDDPAFYPSKFGPFSSGRHEYTRFGDVARHCQSVLSSAGELWVPGTDQDPFPMDTLSFMNGDWSQDAGQAPLLPFQGTYADDAWWRASASRSRRRRAAIA >Et_1A_006925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29051675:29055419:1 gene:Et_1A_006925 transcript:Et_1A_006925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVDDKVSYFQAVTGITDPDLCTEILAAHNWDLQVAVSSITANPSSPSASTSTSSREPAASAPLADAEFFAPPPPPPQQYQPQQQQQQQQPGIAWKLVTLPFYVVSGGVGLIAGSVRLGAWVAGGVLSRSLSLLGLAAQGGGSDRLLALPPSAAEAADFVAEFEREFGTGRGPRFVAEGFTDALQRAQREYKLLFVYLHSPDHPDTPAFCGGCLCAEPVAAFIDENFVAWGGSIRRTEGFKMSNSLNASRFPFCAVVMASTNQRIVLLQQVEGPKTPEEMITILQRVVEECATSLVAARIEAEERLNNQRLREEQDAAYRAALEADQARERERMEEQQRREREAAEAERKRKEEEEALARAAQEAAEKEAALARRRQEKAMALGAEPEKGPDVTRVLIRFPTGERKERRFHSSSTITSLYDYVDSLDCLKAEKYSLVSNFPRVAYGPEKHSLTLTEAGLHPQASLFIEIEQ >Et_4B_039725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27947586:27952273:1 gene:Et_4B_039725 transcript:Et_4B_039725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGQGVRYWCHSCEEVIDPMPEMKCPGCDGGFVEEMDSEGFEPAANTRGLDDIDSDTDRDRERERRERARVRERQRERERERQRDRGRERTESLILINSNNEAIILQGTFGPDDNQEESSNTSSGVSLGDYFLGPGLDILLQRLAESDLNRSGTPPAKKEAVDALPTVNIREVLGCSVCLEDFEIGAEAKEMPCQHKFHSHCILPWLELHSSCPICRFQLPTEETKNPCESASTEAALEAGLQYGEGLKIKLCCSELAFQEHRTSELVRAELDALGIPYVWPVARTGVVATIAAAGGPGPVVALRADMDALPIQVLGPPRTSPQAAALARLHAAHARAPLLLARLLPCCSAR >Et_4B_039675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26223646:26226419:-1 gene:Et_4B_039675 transcript:Et_4B_039675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDASPPSRRIARVAAHLNPPRPQEEGASALRPAPCRAKGGAPGFKVAILGAAGGIGQPLSMLMKMNPLVSVLHLYDVVNTPGVTADISHMDTSAVVRGFLGPQQLDAALTGMDLVIIPAGLPRKPGMTRDDLFNKNAGIVRVLCEGVARCCPDAIVNLISNPVNSTVPIAAEVFKKAGTYNPKRLLGVTTLDVVRANTFVAEVLGVDPRDVSVPVVGGHAGVTILPLLSQVTPPCSFTQDEIKYLTNRIQNGGTEVVEAKAGAGSATLSMAFAAAKFGDACLRAMRGEAGIVECSYVASQVTELPFFATKVRLGRGGAEEILPVGPLNDFERMGLEMAKKELNESIQKGITFMNK >Et_10A_000107.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:3440629:3441485:1 gene:Et_10A_000107 transcript:Et_10A_000107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSCSNSRFAAAILPAADAVAGGAPPSAASHPIPACPVSGSSARAAAQLRAAAYPRRSRSAGTEPDPYRITHASSASRAAGSPPPSRAADAAASRAAAPLAAARSAAARRCAWRSADAAPGTERSRDAQKPASTKSRIAPHESATASAPAPAEAGEAASATPQKQSAAA >Et_4B_038660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3795872:3797512:1 gene:Et_4B_038660 transcript:Et_4B_038660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTPPPQFTRLLDADEFRRQGHQVVDFIADYYTHMDEYPVHPSVTPGFLRRQLPVDAPLRPEPNAFSAALQDVRDLILPGMTHWQSPRHFAHFPASSSVVGALGEALTAGINVVPFTWAASPAATELEMVVVDWLGKALHLPERFLFRGGGGGTLLGTSCEAMLCALVAARDRKLAEIGGRRIGDLVADVDAGLVPMFLCATTTAIDPIRELCAVAATHDIWVHVDAAYVGAALICPEFTHVIDGADVADSFSMNPHKWLPANNDCCALWVKKPSLSLLIAALGTEPEYILKDAAADGVDVVDYKDWSVTLTRRFRALKMRLVLRCYGVEGLRDHVRAHLRMAALFESMVKLDPRFEVVVKRQFALVCFRLLSPEKCGGEKKANELNRRLLEEVNAADSGPYMSSAKVAGIYMLRCAIGSTLTEERHVRDAWKVVQDRAASLLRKTEITYSMAAS >Et_7A_052952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3211761:3214286:-1 gene:Et_7A_052952 transcript:Et_7A_052952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKVIGSKPSESFQLQDPNTWICISEFESDVVIEVGEMSFHLHKSPLINRSGTLKRLINESSGDDDGKQCTIQLDDIPGGPEAFELAARFCYDVRLELNAANVVPLRCAAEHLAMTEEFGEGNLVEQAESFLSDALSNWNDAVRALHACDAVLPDAEDLHVVQRCIDSLAGKACTDPTLFGWPMLEYYTAKHLEETVVWNGISTAGKLRSPGPDWWYKEASSLRLPVYKRLVTAMRSRGMAPENIAGSLTHYARRHLSGLSRHSGAGASTTGTAVLSDGEQRVVLEEIVALLPAETGVATTRFLLGLLRTATVLHASAACRDALERRAGNQLEEAALEDLLIPNTGYVTETLYDVDCVQRMLEQFVMESTSAAFASSPEITDEGRLLDAPSAELMPVSTVAKLVDGYLAEVGTDINLKLSKFQTIAALVPDYARSLDDGLYRAIDIYLKAHPWLTGSEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTTVAGWFFVSDNVDQGSSSDNCVLPRRSSDLDFAQGSEDEEADAARHAELSSAMSVEEIKQRVTELEDECSSMRQEIHRLGKPKGALSRLFQKLGFGGKASRQSQQQQLLPGSRDKRRKSVDLGC >Et_4B_036119.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:25658038:25659911:-1 gene:Et_4B_036119 transcript:Et_4B_036119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCRKMARVDVAELKQRLEKRLGRQRAGKYFALLSRLLNLKLTKVEFDRLCLATIGKENIALHNSLIRGIISNALSGVPPPSRQAVTGQSGTTTAPSGQCVGVALPVVGNVGAVVDSGDGELARERATAVGKVVSVEDGEEVEQVRSAPCVQSRSPISAPLGVSMAGSSGVRMRRMDDPMPSCYDSGHLLDTGTLCEGLQRRLHNDGIGFSVQGVEALNRGLHEFLRRLIRPCMDLSRVRSSSRIISKVNEKFAARMNGLRHPNQGYCTTLQDFAVAVQSDPHLLGANWSTQIEKIQTMSLGGE >Et_1B_014444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8899086:8902894:-1 gene:Et_1B_014444 transcript:Et_1B_014444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFDRMWDLLSEMHCRGLVTVETVAKSIRRLAGARKWKDAIVLFDKLEDMGLERNTETMNVLLDALCKEKKVELAREVFIVLSPHIAPDAYSFNIFVHGWCSVWRIDEAMWTIEEMKKWGFLPTVITYTAVLEAYCKQRNFRRAYEVLDSMSSQGCHPNVITYTMIMTSLAKCERFEEALNVSHRMKSSGCKPDTLFYNSLLNLLGKAGHLFEASQVFRVEMPMNGVPRNLSTYNTMISILCQYGRDDDAVNVLKEMEAQSCKPDLQTYQPLLRLLLSRRGQSDAIDNMLNELISKSGLGLDLDTYSLLIHGLCRISETDWAYRLYDEMRLGRSYALDQGSVGFFAIEQSSKMHQAIVCWA >Et_3B_031087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1542666:1543954:1 gene:Et_3B_031087 transcript:Et_3B_031087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKVPTMAPAWPEFGGGHHHQRSPSMGAFLAAPMPPLPPHHQQQPSWVDEFLDFSAAKRGAHRRTVSDSVAFLDDNASAGAHDFDRLDDDQLLSMFADDLTPQPAPQQQQQQQAGSSSSPSDHNSYNDEKPEKGETEEAQSECNGDGANAAAPAPVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSALSPRVAFLDHQRSLLTLGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQIYHQQSLENAESPTTDAPPIRGDKDLIPSGEGTPAPCPPS >Et_6A_047372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4657241:4661067:-1 gene:Et_6A_047372 transcript:Et_6A_047372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNGQDSMRGGNSAAASLPAPSKMDCFLTTVCTPLSLQFIDVSYRVKVERSSSSSASAKAAPQQPGRISHSGRGSAGATASPATEERTILKGVTGEARPGEILAVLGPSGSGKSTLLSILGGRLHPARHAGAVLAGGRPFSRATQRLTGFVAQDDVLHPHLTVRETLTFCAALRLPRSASPASKRAAAEAVVAELGLAACADTLVGNAFVRGVSGGERKRVSIGHELLVNPSLLVLDEPTSGLDSTAASRLVATLSALAAGKGRTVVMSVHQPSSRVYRMFDAVLLLAEGSCLYYGAGRDAMDYFASVGFAPGFHVNPADFMLDLANGFAQADYSCTTEGGNVKQSLISSYNKVLAPRVKASISADVAEQQSGVTTTDDPLPAPAATETCCSGSGVCAASWCDQFTILLRRSLKERRHETFTSLRVFQILAPALVAGAMWWRSSPLAVGDRLGLLFFVSIFWGVFASFNAVFAFPQERPVLARERASGMYALSSYFAARMAGDLPMELALPTAFTVVVYLMAGLNPSLAAFALTLAVILGYVLVAGGLGLAVGAVMMDAKRASTLVTVIMLAYLLTGGFYVHNVPGFMVWAKYTSFTYYCYRLLIAVQYGGHLRRLLPPEDVVGEASPGECVAALVAMFFGYRLLAYLALRRIRT >Et_4B_036593.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7520019:7520534:-1 gene:Et_4B_036593 transcript:Et_4B_036593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTSGFLTSSLGTVTVSTPFSMAALICSVLAFSGSRNRRRNLPLLRSTRCQVSVFSSCSLLRSPLICRMLPSSTSTFTSSFFSPGTSALNTCASGVSFQSMRAPAKAAVSELVRGKEGMRLPLLLPEPKKPSNGSQRSREKGSNTLLRRISDMVGGLEKPKIGGLGSKAI >Et_1A_005905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15291987:15297138:-1 gene:Et_1A_005905 transcript:Et_1A_005905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPAYTCLREGPDHAPRFKASVNFNGELFESPGFFPTLRQAEHAAAEVALAALARRGPSYSLAARILDETGVYKNLLQEVAQRVGAPLPSYTTERSGVGHLPVFTCTVELAGITFTGDTAKNKKQAEKNAASAAWASLKQLARQEADSSNEPENNDEQEQIRIARALLNYRLKEKMAMANYPHVSPFPKKFPMQPERKPSFGKPSQSSYSKILPLFRPRSISRTRPESPASTDGVSQSTARTIESHNPRSRFPAAEAPPYVPVGHYRMPCHSMAPSVTMRTAVPVFSAPPLPPPAVRAQQLPPLMGHPPPIRMASPVRIRPATSMFAPSPIQGPKPAISVQLKDVQRQSTKEPASHVISVQLKDVQHRTLKEAMPVQVKDVQQKPLIGSLPPAIPVQMKDVQPQPLKDSPSIAIKASVKIEAPAEAKEASKVASSEVPCSTGSNAAALDSSVASDFLPTEELRAAADDKVEADHEAEAQAVAEAAIKQLDIN >Et_5B_044875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6803920:6805665:-1 gene:Et_5B_044875 transcript:Et_5B_044875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGLREQQEEDEDAYEYEFDLENPFISPADEPIASLLDAEAEHSPSVSAAASAARRAAAGFISKVRFGSELSAHPRVAYLALNYVDRYLSKRQLPFEQQSWAPRLLAISCLSLAAKMQRVPAFSIADIQRDEQFMLDAANVRRMERVVLGALEWRTRSVTPLAFLGFFLSACYPPPLHLPQVDAVKARAVDLLLRSQPEVKMAEFSPSVVAAAALLAATGEIAAGNLPAFQAGVVACPFEKLQACGEVMAAACGVGPGRSAASGDTPVTVLGHHRSASSESVWTVGSTANGGGDAKRRCMGPPSQGG >Et_7A_052079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4394164:4395896:-1 gene:Et_7A_052079 transcript:Et_7A_052079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ERRSTYLKRTMGRGRVELKKIENPTNRQVTFSKRRMGLLKKANELAVLCDAQIGVIIFSGSGKMYEYSSPPWRIANIFDRYLKAPSTRFEEMDIQQKIIREMTRMKDERNRLQIIMSQYMGEDLTLLSLQDVSNLEQQLEFSLYKVRLRKQELLDQELLEMRNRVCYAEFSIRPSLRHFLSESEPLSCDAIGLILYFSLSCPDELDLVQQTQSAEMLVNQKPFPRWDVGASQQQLYGHEAESSMTPLQLLPQLGEYKLQPLQPNLQEASLQNYILRLW >Et_7B_053583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10027278:10031906:1 gene:Et_7B_053583 transcript:Et_7B_053583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQTWAELPGDLLRCVAGRHRHALTSYLAALGVCRAWRSALPPPSPLLLLVAGDGGTVSAFSVPLRMAFSLDKICKHDRYIGAGHGWLAVAYYKEVRVVNDDDSVGTQQVTRDPIAPPAAAAEITMEQRMDQRQAKEQYYMNFEGARVRVDPDELQAIAKRRRGRETSCMALLNPVTGRKIELPEHVRLDPMTVSKVAFAPNPREDDFTVVVAFGLSSLAYISSRDGAGASWSFGGLPLAGENAIADVVYREGGGDRVYCLTRGGDVHVLHVPRGGPTTNRYPVLVPLVAGCRFNFNPGYVFPPPYDRLSEYLGTKSLAFCDGEVYQLWRNSASTMRVQLNKEGGARLRVALHEVVVLRYEPASSKPCWKVVGDLGGHAVFVGPANSALSVRAVPAGIKGDCVYWLDRFGDRTAMAFDIKTRRSAPCVSPSAARGVPVCWYSLGDMVASGNDADGQVLEREPKQRRLETRGLSRKTPAQTMDPKIPHPVSRPQQASSPSSPFVPPNPSGTPDPPAAAAMAPFRRWADLPPDLLCRIGDRLDLKWYASARGACTAWRCALAPPSPALLVVADDARWCPSAASLPTRRSFELTAIVSGSRCVGSSNGWLALSVALFGGQTVFVLLNPIAAVEIVLPPLIYESRWVSKVVFTPSPTKEDFAAAAICDIDRIAYVTAGARRWAVMDPVRLTCGDQLTDVVYTDKGKVYCLTRCGDVHLLRLPERRRRKPANANEAGPSEPEFSVLQPPAERTGSFRAMRWNQQRNFRMMRYEHAARPRENDPNVPLRITFCTETYIPYNRPHPGSQGPDLNAPATVEPLLSEANLPFSPATAFAPPYDTVSAFTSAKNLVFCEGNLYQVWRNASCTVTLQLPGGGQRRVAENEILVLRYYPRRQPCWDVVKDLGGYSLFVGRNNAVSMYAEGIHGLRGNCVYWIGGRGRDQGMVFDMQTGRSAPCQAPRVGNPLGYPHSTICWYFLSDLVSNNNNSCSTSNSGGRRVYQTRARARADLIQDLEE >Et_5A_040440.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:22390404:22390775:1 gene:Et_5A_040440 transcript:Et_5A_040440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEDGGLGYAIVKDYNLYLWSWKFNAKGIAGWVQLKVIELMTLLSIPDKKVKVIPEVIGFAEGTDTIFIRTNDSIVTLKLKSGKVRTVSRRLSYGAVVPYMSFYAPGSRLLMFGSSYIFALL >Et_3A_025140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27194559:27197678:-1 gene:Et_3A_025140 transcript:Et_3A_025140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAPEESRGTEEEASDWAWSWGAGTDGQLGNGGFQDYHLPQPLLLPPCCRGRVSFVAGGGAHAIALTSDGEVFTWGRGTHGQLGHGNLDNIPQPKFVKFLENHRVTSVSAGWNHSGFATDSGQLFMCGDGSFGQLGTGDNHSRNLPFEVAYFNLRHVEKLAFGMRHSLVLLKDNSVYGFGSARRGQVGKCVSRSHKSYNVPRLIDGFPNCKLVNIYANGDHSAALNESGHLYIWGRALIGEHDDDQPRAVLPSLCVSHVALGWHHALVLSGQLFTIGVYRHQKCDLPESGNGVVHQSKTSATSSMHDETSSSLAMEKVPCIDGEHVVQIATGTEHSALVTDNGTIFTWGWGEHGQLGLGNTSDQVVPQRVNLGDKGPLFPGSLGIYCGSGFTIAVKSS >Et_4A_032948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1680738:1682920:1 gene:Et_4A_032948 transcript:Et_4A_032948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISATLSVSFLPSPTLFAVATAAYSSSSSPRIKPAARFRCCAEPSSSEQEAPASPNPTPGKAAAGSPSSLLGISTSTLSAGVAGLGFLETGYLTYLKLTGSEAFCPVSGAGCSDVLDSDYSAVFAFLSFILLFIRVKDIGFERIQKFAGLQFAVAAIIALALTNSYSSATTQLKGADDFVLEPYETEITTESSPFAISLARHLHSIGAKMYGAFWCSHCNEQKQMFGREATKILDYVECFPNGAGNGKKMASECAAAGLEGFPTWFINGKVLSGDQELEVLAEASGFVDEGTK >Et_2B_020252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18397964:18398940:1 gene:Et_2B_020252 transcript:Et_2B_020252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRLQMPTSKSFLQLKRCNWNDKTNTVLCQLLLKQIEMGNYNNGTMNGRGYKQVIERFYAATSLRHDRKQFYNRIRQLKLMYGFIKDLNKKTGLGRRDDGWIDADKNWWETESQGVAELKKLQHGPPPFLDMLEEIFEGVAVDGSSSYTSGQLSMDVDEDGHDDDVLEIHEDSPNSNGSHKRTCSTSTTATSPSKKSKSPMLRIMNNGNKAKCTRNILERHRAQEDRHRAEEEKDRAERKL >Et_5B_044275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22128416:22128801:-1 gene:Et_5B_044275 transcript:Et_5B_044275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GPGSQVDNSKNRTAHEGRQHGVHEDYTLQSVAGSDMATAMNSTLLDENKIFVIFCQKWECKDFGHGWQICYCCGATRQCYETIEKCRAKCVHCNPKCPQPYPHHQVMEGRPMSIR >Et_7B_055332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9423054:9429677:-1 gene:Et_7B_055332 transcript:Et_7B_055332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYPSHAADSDSEGSLLSDVSASPPRGRLPPPPPPPKPRPNPKPTAAAKSKPKPKPKPKPKPASSASDTATSPAPLPAAALSDPHGLTSRIAAAPALAAAASTVSSSSFRRLVQSRNPSFDPVVAFTSPNPIPAPALTPAEIPNELKCPTPDVPPQARPKRVHPNSVSELPVATAEAAEQSKRPRGAGSEGNFVRLNINGYGRKRTFRNAQAKRSTKYRSWRRQRAGGTKPQGSGNEEEDFVAEALMEREKKVGAGDDGVLEAVEAVRKDPSKENLESLLSVAYGFDSFREGQLEAIQKIVAGESTMLALPTGAGKSLCYQVPAMILPGLTLVVSPLLSLMVDQLRKLPAFLPGGLLASSQTSEEFHETLRKLRGGEIKVLFVSPERFLNEEFLLIFRDTLPISLIAIDEAHCISEWSHNFRPSYLRLRASLLRRKLNVQCILAMTATATVQTLEEIVNALEIPSENLIKTSQIRENLQLCISMSDNRLKDLILLLKASPYVNMKSIIVYCKFQGETDYVSKYLCDKNISAKSYHSGLPMKNRSRVQEIFCSNKIRVVVATVAFGMGLDKSDVEGVIHYSMPESLEEYIQVFSFENSMGCICSLIKESTSRKFDIKEEVLLTVLTQLEIGDQQYLRLLPQFSVTCTLYFHKTSPQLLADKDVLVRSVLNKSETKDGNFVFDVTRIANDLKITMNEVFDHLQELKFSGEISFELKDPAHCYVILKKPDDFNALAASITKWLSDVESSKIMKLEAMFALANFAVKGCQRTGGCSGSQHTPCIQKKIIEYFSKHDALSDNGYCIQLKKTSPFLQADIKVFLQSNSFAKFTPRAVARIMHGISSPAFPSATWSKNHFWGRYMEVDFPLSWKLPKLSWLNLSERESSFRLQACLTNRASVSISAFPMPSSVLPVLPVGRRWLQLEESST >Et_2A_014937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22430741:22430948:1 gene:Et_2A_014937 transcript:Et_2A_014937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGANKPQPEQEEEEAARRTCPRATAPCTWAPSSGGS >Et_10A_000693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15671961:15673264:-1 gene:Et_10A_000693 transcript:Et_10A_000693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PAVDSTNTRSISPILPAPEARALATLSDHLLEDTSSGSAPPPTSLAPPRHAKPSAASSTTPPSSAATAPSTRRCSSASSAPPCVFLPVEAPLTNAAAARAVAAAADFSFHYLPRRGRYGWAHCDARDGRSLLMSSEPEHGLVLPELAVCDPLTRGYTLLPPVPDDLVTSTLVQVEEESIQFFYAFFDPFRGYEEAQFRVMCWTRSLEMGAVFVYSSASVSWTHATSVSWSALGLNVQPENLSEIGWWPSYAYGCLYWGADVSNKLIKLDINSMEFTIVNLPGNYRGRDIKVVEAGEGRIGIFSLVLDHDLQPQEYPQPLSYSIIMQNERENANEHPVETTVLLPSEYECFNIDGAAQGYPYIIAAQKDVASTIFSLDIKTLKVERVCLTNGIPEHVIPYFGFPPFMSPRRM >Et_1B_010848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14765114:14779758:-1 gene:Et_1B_010848 transcript:Et_1B_010848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSAWPADGSIPSEERQWLVVAVGGAGEAAAAEAEVHVEEEERSAAEAGRGELRSYALNFDDGLGMASLLGLYGGGGRRRVHAKRRGGKAAWRTAAPVRQLLRRLWRRGTARSRRPAVRFGYDLQSYARNFDDGLGSSGHLLKDGVFAGPVQRWRSEEGTCKEERQQSSVEVVRGGAGEAAAEEPVEERRSAAEARRGEVWSYAQNFDDGLGSSLHRMASVMSPCSSGSQRRLVPAARSGGAERRSPAPVKQLLRRLQYTLRRSASRPRRAAPVSFGYDLQSYSQNFDDGIGSSAHMAYSSNNLRRYAQARSDGTARRSSSPVTQLLRSLWRRGTARPRRAAVTFGYDLQSYSQNFDDGLGSSGHR >Et_6B_049279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2676662:2688183:1 gene:Et_6B_049279 transcript:Et_6B_049279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RTNAGERLSHHPPFRLPTLVRCCSTSTANERPTTRPPPAPEAHGDSVLFQMYFTQCLVDHFVYNAGGTSARPPTVSLLPPYYLTGEELEDHFKYTMYCRPVHRSLDADATGLLRHGEDELVVAELKMVVVSEDAPKKKVPELLLLRSGEWSVHRPTTTVTDHVADEDDVEELLSSWKTRCVLPLADGLLCWYDVSDGLLFCNVLDEVPELRYVPLPFINICPRCCCGDKGATQCDRSRHAYTIHTWILRIQDMAWEMDGMVDSTEIWALDAYKGLPRVHPFCPYASLDEPHIVCFVVCEIYVVNGGDQKEWLVMLDLRSKRILSACRRHNGCYGRYRISDYFNPCPSTLSEILGVFQEIPSSHDVLKAINILSHDKGRGIKSLLELPISLRREWQAKLDLSSPVHIMLATHDDDSCPAADATTTTAARSRTGHPFRVSLHFTPPPEVSLIIAGFPEGAKKHGKPVVLAAHGDSVLFKMSFTDEACTTDHFLYNAGGTGTWPPTVSLLPPNYLTREELEKLYRYSHRHHVATGFLRRGEDEFVVAELKMVVISEEEPEKKMAELLLLRAGEWTLHRPTMIVSDGGDEDVVKLLSEWETRCVLPLRDGLLCWLDISRGLLFCNVFDEIPELRFVPLPAVSDNWNINVSVTTDGAAVKFVNIFPRCCCGGSGAMCDRSINAYTIHTWILKIQDMASWEMDGIVDSTKIWALDAYKGLPRVQLVCPFVSVDEPHTVCFIVSERYFVNGGDRTEWFVMLDLRSKAILSSCRRGNKGGYGYTYGKNIFPCKISDYFNPCPSSGSSNCFSSLKRKNHTDMLATPFKETRGNTDVSIRQSSKMTVDAAMQASEVLAVFQAIPSYSLDHDDVLKAISILSHDSGRRFKSLLELPKSVRKDWLLMEIKARLDIYGKHEDEDEACSCSTAEAKTIAVARTSTGHLIQVHFRFAPLPKVSSLRVRFPVAENKTNTFVIAAHGDSVLFQIRFEVVEDDFTTDHFVYNAGATAGPDPRPPTLSLLPPYYLSNEEVEEFYYNYNCPRGPVHRSLHTNATGLLRRGEDEFVVADLKIVDVDDDKNPDLQYVPLPVEPSDGNVRVIDGGSTVKFINIVSRCCCGGKGTTDCHRSRHAYTIHTWTLRMEDMGWVMDGMVDCSEIWALDAYKGLPRVPLIRPVVSMDDPHVISFEAYERIFVKGGDMKEWLITLDLRSKTLLSACCNCSQVAHVICTRISDYFNRYPSRSKGSLSMIQSHASIVAPAAKKSRINNEVSPMRSSCKVSVDPDMQVSEVLTVFQEIPTYGLAPDDVLKVISTLSHDNGRRFKSLLKLR >Et_1A_007466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34931613:34938537:1 gene:Et_1A_007466 transcript:Et_1A_007466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATTASRVYSLHYEDSLFVLFFAITIPALVSFFNLAISPHLLRSFLFSPPFASLPLLHSPISPGSPRRRAAAAAAEMAGNDNWINSYLDAILDAGKAAIGGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQERNTRLENMTWRIWNLARKKKEFEKEEACRLLKRLPETEKTRADATADMSEDLFEGEKGEDAGDPSVAYGDSTTGSSPKTSSIDKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELAKALSSSPGVYRVDLLTRQILAPNFDRSYGEPVEMLVSTGGKNSKHEKGESSGAYIIRIPFGPKDKYLAKENLWPFIQEFVDGALSHIVRMSKTIGEEIGYGHPVWPAVIHGHYASAGVAAALLSGALNLPMAFTGHFLGKDKLEGLLKQGRQTREQINMTYKIMCRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRYMPRMVIIPPGVEFGHIIHDFDMDGEEDNPSPASEDPPIWSQIMRFFTNPRKPMILAVARPYPEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMHNMSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLAARTKGAFVNVAYFEQFGVTLIEAAMNGLPVIATKNGAPVEIHQVLNNGLLVDPHDQNAIADALYKLLSDKQLWSRCRENGLKNIHQFSWPEHCKNYLSRILTLGPRSPAIGNKEERSNARISGRKSIIVFSVDSVSKEDLVRIIRNAMEVINTEKKSDSTGFVLSTSLTISEIHSLLVSAGMLPTEFDAFICNCGSNIYYPSYSGETPSNSKVTFVLDQNHQSHIEYRWGGEGLRKYLVKWATSVVERKGRIERQIIFEDPEHSSTYCLTFKVVNPNHLPPLKELRKLMRIQSLRCNALYNHSATRLSVVPINASRSQALRYLGVRWGIELPNVAVLVGESGDSDYEELLGGLHRTVILKGEFNIAANRIHTVRRYPLQDVVALDSSNIIGIEGFSTDDVKSALEKMGILTQ >Et_4A_034001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2916891:2921603:-1 gene:Et_4A_034001 transcript:Et_4A_034001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGADANGGGLGGGAARVPVPPARPFLDTFRGNLKETFFPDDPFRAVVRERGAARRAVAALRYFFPFMEWVPAYRLGTFKSDLIAGVTIASLAIPQGISYAKLANLPPILGLYSSFVPPLVYALMGSSRDLAVGTVAVASLLVGSMLSSEVSPTENPALYLHLAFTATFFAGVFQASLGLLRLGFIVDFLSHATIVGFMGGAATVVCLQQLKGMLGLDRFTTSTDIVSVMRSVFSQTHQWRWESVLLGSGFLFFLLVTRFISKRRPRLFWISAAAPLTSVILGSVLVYLTHAENHGIQVIGYLKKGLNPPSVTSLQFSPPYMMLALKTGIITGIIALAEGIAVGRSFAMFKNYNIDGNKEMIAIGTMNILGSFTSCYLTTGPFSRSAVNYNAGCKTAMSNVIMSAAVMITLLFLTPLFHYTPLVVLSAIIMSAMLGLIDYPAAIHLWQVDKVDFCVCMGAYLGVVFGSVEIGLVVAVTISILRVMLFIARPRTTVLGNIPNSMIYRRMDQYATAQTVPGVLVLRVDAPIYFANASYLRERITRWIDDEEERTKGKGEAGVQYVVLDMGAVGSIDTSGTSMLDELKKNLERRGLQIVLANPGSEVMKKLHSSKVLEAIGHEWIFPTVGEAAAACNYVLHSHKPGAVTDSAAAHENMV >Et_1B_011227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1945893:1951654:-1 gene:Et_1B_011227 transcript:Et_1B_011227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASPSSAAVAGWTMAAVLLQVAGLSLFLYGFFPVKPTLRGFSGTESFRAPSCAPVGGGEEEPVLPPDQLRSLYRELSGLPPVYDRLVLMVIDGLPAEFVLGRGGKPPTREMMESMPYTQSLLAGCKAVGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAFLEDNLLDQLHMIGFKLVMLGDETWIKLFPTLFYRQDGVSSFYVRDTVEVDLNVSRHLEFELAAKDWSVLILHYLGLDHVGHIGGRRSVLMTQKMKEMDDVISRAHAASLQDKKDKTLLVVVSDHGMTEGGNHGGSSYEETDSLALFIGHSVERSDCSPYDQNEALQVDLAPTLALLFGVPIPKNNIGVLLPELFNSFTDEQKLRTLQLNSWQILRLLQAQIPAFCLDNCINPDDGLGINMLSVSTEKRLCHLLSKAFASHQSSRHHRGSDFKSVEAGFFGTASEAYDGFLRYASEWLSHRATDKPFCLLVSAILLMMMSCLFLMGTISCLFKRQSLSQLEYQSDSYLGTHWRLDEVFVLTGIFLYVVSLSSSSFVEEEQYTWHFLTSTLYLIFLIKTVHSLLKESSSALVHRTEGKSCDRNEFSYAASYELTSGKRNGFKLCTVLIILVAGRVIRAWHQGGVNWVHFSDISKLLAQADSSVVKSLQILSVLAVMALYSFSLMLLKARSKYVIGVWLSHISCGLLVLLHIWESQINTSQPVDHSTTSIAQIFYIAASLSITGTAIASPWIFPLYSKEAEEASSSSRNPQTAMHSHCISNSVFLTGITYTVFWCLLQLLLQQPINAIPLLLIFLQEISSIVHFSLDKTLHSQWIEVVAMLFLGMSGHFGLGNTNTLASIDVAGAFIGVSSYSTVLSGILMFIITYGSPLLLYLCMVVYISVKGGGDISTPRQLNWSCILDKMITLPCLLPLVINSVALTSYTIVLLLMRNHLFVWSVFSPKYLYVCAATVSTYVGVFIIAMTAVYTTTVFSFRERSYQDKFPRSN >Et_10A_001651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6379352:6379819:-1 gene:Et_10A_001651 transcript:Et_10A_001651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPHAVVVPPSDLREHLKSLLWTNQGTDRSPVFEAELLAAPKEKVSGGGVRRHMELQGVEPKVFKAMLHFMYTDALPEIAEEKAVAMAQGLLAAAHRYKLERLKLMCEEMLCERVDMDTVAGSLAVAKQHGRETLEAVCVEFISRPGES >Et_7A_053015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4926054:4927203:-1 gene:Et_7A_053015 transcript:Et_7A_053015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMGCYAPRRANDQLAIQEAATAGLRSLELLVSSLSSQAAAPHKAAQQQQHQQPIGEIADQAVSKFRKVISILDRTGHARFRRGPVEAPRAPPPAVAAPAPAHVPVLHVAPVSAQPAPSSQPQSLTLDFTKPNLTMSAATSVTSTSFFSSVTAGEGSVSKSRSLLSSGKPPLSGHKRKPCAGAHSEATTNGTRCHCSKRRKNRVKRTIRVPAISSKIADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERATDDPAMLVVTYEGEHRHTPGATTAPSPLAPLPVSAAAAVASISAGNGHV >Et_2A_017195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31966152:31974079:1 gene:Et_2A_017195 transcript:Et_2A_017195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDGPGGIPSPGTEEGTIARKRSRRVSFADTTAVHVFDRDEDFDTPPEERSVSASPSPSPGRSSAEAEDGDDTSEAGSPAPRIIFVPEADSSSPGSAVGSVASADDENFFGVFGPVVSTRFIQTGRPSDSGMSEDDNHDITLDSRTFSMHYRFRNVDPSDCTANSAGSIRTPDTASKGSIEELNVSEPGIKSSSGRDALTDMSMLTGNPRTFDYGKLSPMLSDVMQKIGKDQQRSSPITRVADVNRDRVLATATEEERREETSCIGNGICSNELGTVNSTEEHISVRNPVSTCTDPFEEDNAIITDGLEKFQNGNLDRVSVDSGVNNTVETQANPSPLYSSLMSNFGLQPHHPLNESLSKNQPSGSNGTAHASFMRNFDSEHHPLDQPPETDNIANASQLSSADLVICQKDGEQLHQQNKVMDTETILRTPRTLVQQSGAPQGSISSLRSKRPKLFSASAGPVVSQENAEQLHLQNEVMDRETILRTPRTVAQQLEFSLGSISSLCSKRREPFSASAVPVICQKDARQPHPQNEVMDTETILRTPRTVVQQMEVSQGSISSLHSKRQKLFCSTPLSNCEVPGQEASSLGVEFVKHDQRISALENVLKLRLQESPAASRLQLVERNELGHQGNDIFRNAEDHAPAMSVSCNSVPRWQLKKTSESSITGPTPGQGLNGPANVQNTSCDVLSLDSQLSCDLNLDGGGRKRSIKEYSHAAQERPEEISKAARSPKKSRKQLTSASELSVLPKVFNEKQSGDNDSRQSVNVDWNKVVCTISNATEQVFAASISKLNFQQLDPLDDKLDDIRMAQKYRRLSTSVRFKDCCGDQQKRLAEARSLHERLLYERAKLQINNTKLAKLRNKTQQCQIGIQECSFLKYKISGGAQMNNASLHFMSLINDNDRQVMTYCKVFFLSVSSDHITLLVSVASLCMFTRIQDSMCCSNILLLVYNQERLAVVKKKRLEYNNIQQKVESLKSSLEYFRKTKGDISCQSVMKSAEEQLDMRNQCRSFHQIAGLPELMDIVKRDNKRDVILNYRNLLFQSFASLIIVPYLFSNCSFDRIILNISDMSSIFVNNSLNGKRIGQTFPDLDASVAFNYVFKAEENHRVTDLQLLQKTTMETRLLLGNLVDVLDEIKFAKMKLLNLTSAAFVLDSQTCQLALRLCFMSFKSGKRIPFTIDMTDLNRSVYPSEPSELLIKLCEAQTTLSQPSIEEIMVPVRNLQPGRTVILRLCEMIYKYLFSKYP >Et_9A_062853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5947705:5948251:1 gene:Et_9A_062853 transcript:Et_9A_062853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSQFDDPAAAARRPPQPQRKPPLLMLLPLIYAPVLPLIRIGLRHNPVLRDRLFYGVLAGAFVHGTYLMYVFLLSKTCPLVLISCVVWVRLGCYRRFRAPAIVIDLLV >Et_6B_049816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13854542:13856455:1 gene:Et_6B_049816 transcript:Et_6B_049816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVPGGDGKEAVNEQAIANMYANMRSEMNQLYTKITELELEVGEHSLVIGAIEPLDPSRRCYRMIGGVLVERTIKEVLPAVKRNKEGLEEVVARMHEALERKKKEITEFELKYKIRIRKADNDAEEGGKKEGTAQGVLVGPAGHC >Et_1A_005981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16300637:16328707:-1 gene:Et_1A_005981 transcript:Et_1A_005981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLGLRTGTFQARSGSGCWLWRSASPVRQLLLRLRSTWRRSAARPRRAAVSFVYDLQSYALNFDDGLGMASLLGLYGGGGRRRVHAKRRGGKAAWRSAAPVRQLLRRLWRRGTGRPRRAAVRFGYDIHSYARNFDDGIGSSGHLLMASLLGLYGGGGKRRAHAKKKDRKAAGRSSAAAPARQLLKSLWRRGAARPRRAAVRFGYDPQSYAQNFDDGLSSSLHSSDSQRRFVPSARSGGAERRSPAPVKQLLRKLQYRLRKSASRPRRAAPVSFGYDLQSYSQNFDDGIGSSAHVLYRGKMAYSSNNQRRSSSPVTQLLRRLWRRSTRRSAVSFGYDLQSYSQNFDDGLGSSGHHLMASLMGLYGGGGGRKRIHAKRRGGKASWRSAAPMRQLLRRLWRRGTSRPRRAAVTFSYDPQSYSQNFDDGLGSSLHRFGSSRKSVQTMSGSAASSRSAAPVKQLLRKLQYTLRRSVSRPRRAAPVSFGYDLQSYSQNFDDGLGSSLHRSMASLLGPYSGGSRRRSGGATTLKQLLRRLRSTWRRRRAAPAWRRRAAVRFGYDPQSYAQNFDDGHGSASLHMAYSSNNLRIDGAARRSSSPVRQLLRRLWRRGTARPRPEAPVSFGYDLQSYYQNFDDGLGSSGHRL >Et_6B_049461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5063129:5064478:1 gene:Et_6B_049461 transcript:Et_6B_049461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIVPLQRRTEAAAASVAAAGSRNLFSLERRRREDTEGRAGMDFSGTTTQAGKKRAAAAAGAGTGGAGAGGGAPALAVNYWECLKNHAAAMGGNATDGCCEFMPSGEEGSLEALKCSACKCHRNFHRKVVDDDAAALGGHRAGRRLLAPPHHHLKNGHGGAGGGLLVAAAADHHYAAYPAAARALPPGHPLYHHQHQLVNAMPLSAAMHASESDEMDGVGAMAGAGGSSSAAGKKRFRTKFTAEQKARMLEFAERVGWRLQKLDDAMVQAFCQEIGVRRRVLKVWMHNNKHNLATKRLEAPPPQMPVMASPPPEQQMPVMPQMAPPPSSQPAGPSFHHAGPSSPPPAPAPLQMVMPMVPPPPPAFHRGPGSPSPIKLD >Et_4A_035167.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:10359232:10359708:1 gene:Et_4A_035167 transcript:Et_4A_035167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKLSVQHNNGGVSKPPVHHKRGRGQQGKGGGGKGIKVVYISSPMMLTASAEEFRAVVQEFTGRDSNVADHDHSGGAPSSSSNNSWSSSSSYSSFGRASSTTTTTAAGAGRALPQTMATATAGPGRPDEYASGFTAPPPFQGVYDQTGSLLYGQDYW >Et_6B_048749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13236391:13243494:1 gene:Et_6B_048749 transcript:Et_6B_048749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRRAPFFLAAAAAGAALVAAAPSGESGRSVASTLYHGVARSSRAVYTIGFVVADYKYSLKGLATGSADYRVKLSEVHLRSAKKLLKLCEANGGFYVKAGQFVSSLRQVPKEYTSTLSCLQDQATPSSFRDIKIVIEQNFGKKINDIFLEFDEHPIAAASIAQVHRGRLHNNREVAVKIFPDYRFDRILVEFERSMSMELDFTKEAKNSERTASCFRKNSVIKVPYVFGELTTREVLTMEFCYGHKVDDLEFLRRANIDPAKVAKALIELFGEMIFVHGFVHGDPHPGNILVSPQGHGKFSLVLLDHGIYRELDEKFRLDFCQLWKALILLDAKKILELGEQFGVGRYAKYFPLIFTGRTIESKSVLGTQMSGEEKRRLKEDLNALGMDDISSFTESLPPDFLVILRTDGLLRCILGNLGVPRHVRLLTYAKCVVQGLEKQSKMESGAINRMFLQVKTNFSYLHLRVLIEIAVLLEKVNDVRHQIIYKLRRMLQAIGENLFALR >Et_3B_028293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1366167:1367443:1 gene:Et_3B_028293 transcript:Et_3B_028293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQVDSMLAVALIVGAMFAAVPTAVQSMGVCYGPLGYNLPSSSQVVELCKSVGIQGMRIYGPHKPTLDAMRNSGLGLLLDTGNDKVCELAADPSSAAALVRDFVAPYYPAVDIRHIAVGNELDGGGSTQLCIVPAMRNVRAALADAGLAGAIRVSTSVSYYGVVRDAFPPSRGAFAAPYMADVARFLETTGGPLLANVFPYFAYAGNPGGAVGLGFATFRPGAATVTDPGNGLTYRNLFDAMVDGIYAALDKAGAPNVSVVVSGSGWPSAGGFGASLENARDYNQRLINHTHGKDGPQGTPRRPGRMETYVFSMFNEDLKMGDPTVRHFGLFYPNETPVYPINFRID >Et_2A_018251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20113951:20118819:-1 gene:Et_2A_018251 transcript:Et_2A_018251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAGYDYDTSGTDDDLPPSQHTALRRRSFSGNGRASVGAFPFMRPHDDLESQVHRVEQDAYTGVLRAFKVQSDAISWEKESLITELRKELRVSDEEHRELLNKVNEDGAIRRMRELRQGGGTPSGVHRGSRTPYDTEPGPLAKRPRASHLIPSQGLQSPVMPSHSTPSAKWGPLSAKGKMAKPPMPLALPPGDPSSLINHKVYMRWPEDNNFYEATITNYNPATIAPEDIRWDFGGHGVINQDCWAPPGPSLRRQPSNGAMPGASRVRGRLSTTIKDYTPPQNGLTRIIDHIDIPNTENIVIEVERVLSNPNMLGIEKARKLLKDQEQSLLDAIARLDEASDTESGKLLSVDLCFYHRHPADLRPPRFPVGADFSDLFVFALLDGGGVAGAGPRFNGRPTPLFATPALPPLLEPPRPVPSFRPVPLFRVCGCGCSAIAAVTFRLRVVPSGDPLFFEGDEFSRFRKSTAFRFLASPPSAAPATPFLPPLFAASSVSVSSASFVLAKRFTAPPLFVLSPHALSLVTVATASFSSSLSSCSFSASLFSALSCFFMAAAFAMELRLRQTMSGFPFSIRGPTMSVAAGAGSGAAAGTATTCSCFGSRSTAEACSETIARASTAAACSGPERGKKTTTLVQRSSRSR >Et_8A_057513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3885667:3911494:1 gene:Et_8A_057513 transcript:Et_8A_057513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAESRGVAAFALVVASLLLLPPPHGAAGAPAAASTGSESNCTRSCGNISIPYPFGVEPGCFHAAGFNLTCRDGSPRHGPAELFLGDGTVQVLEISVQRSTVRINSTRVDWPGDDDNGITPNRTWGDGLPRSGPYFLSESVSMFQAIGCGIQVSITGGQYYDLASSCTAVICPSMESGFGGVAFANGSCLGNGCCQANILVGSSFYNITINRLPGSPPYALALYIVDHSFRFTKDISQDPEQGPKALPATLDWVINNSSCPTNTSAPECRSTHSYCQNSGTYGHGGYRCQCSDGYEGNPYVNGGCQDIDECKFPEIYDCYGNCENTPGSFICQCNIGYTGNASIPNGCRDIDECVHPEAHSCYGTCQNFPGTFQCQCPNGTYGNPMKKGGCITIKNSYTGLSIGLGVGGGTILLLLGLGGPFILRKIKVQKIRKMKQKFFNQNHGLLLQQLISRNTDIGERMIITFKELEKATDNFNRTRVVGGGGHGVVFKGILDLNVVAIKKSKIVVQREIDDFINEVAVLSQVNHRNVVKLLGCCLEAEVPLLIYEFISNGTLYDHLHVEGVISLPWDDRVRIAMEVARALSYLHSATSMPIFHRDIKSSNILLDDNLTAKVSDFGASRYIPIDKTGVTTAVQGTMGYLDPMYYYTGRLTDKSDVFSFGVLLIELLTRKKPFVFHTDDGDGLVSHFVSLLAEGKLIDIIDPQVLKEEDGEVQEVAALAAMCTKLKGEDRPAMREVEMTLENLLVKKKKQVPSDETAGRYGDDQIPVHYISTKRPNNEATSRQYTMEEEMLLSASYPQRMIISLPELEVGGGGHGVVYKGILDLHKEIKELINEVVYQVKLLGCCLETEMHKVGKAEGRRLMELAAALAALLLLLPPYGGAASSESDTVPGSSCTRSCGSISIPYPFGVEPGCYHASGFNLTCDQSYWPPKLFLGDGTVEVLEISAPSSTVSINSSFVKFTDNHDGRTANGTWGGGLPKGGPFFLSADLANSVAVVGCEVQVDLRGGDNNDLLASCLAMCPASGPPYQFGGACPGVSCCPANGAGCCQANVMMDYSFYNIQIHKLNRSFDQYGYSVYIADGAFNSTVVESSGYQHLEAWPVATLDWVISNSTCPIYGAPECLSANSACSVGHGGYRCYCSDGYQGNPYVVDGCHVGEDMCLHQQGFKCHGTCLDVDGKYYCRCPKGTDGDPFAKEGGGLGGSGVICFMILVLGGPFVTRKFKLRKVKKTKEKFFRQNHGLLLQKLVSQKADIGKRMIITLSELEKATNNFDRARVVGGGGHGVVFKGILDLHVVAIKKSKIVVQREIDEFINEVVVLSQVNHRNVVKLLGCCLEAEVPLLVYEFISNGTLYHHLHVERPISLPWDDRVRIAMEIARALSYLHSAASMPIFHRDIKSSNILLDETLTAKVSDFGASRYIPIDKTGITTAVQGTIGYLDPMYYYTGRLTDKSDVFSFGVLVIELLTRKKPFVYLSNDGDGLVSHFVSLLGEGKLIDIIDPQVIEEEEGQVIQEVGELAAMCTKLKGEDRPTMREVEMELENLLVKKKQVPCDTTRRYENENVAYKPIERVTKESSRQYTMEEEILFGDGLVSHFATLLREGKLVDIIDPQVMDEAFGEVQRSIDTRQCVLCNTVASEYDMVDCVTTESSRQYTMEEEALLSVEIRVSLAAVLAALLPSGVAAPAAASTHPGTHCTRSCGDIDIPYPFGVEPGCYHAAGFNLTCDHSFQTPKLFLGDGTVQVLEISVPNGTVRINRPPVQSADGRPLLGLYSNGQDMALNGTWGGGLPKDGPFLLSESNSILRAIRCNIQVDLRAGSSGRGLVSSCTAICPERYPMDPSSPNVLLSTKCAGIRCCETEILLGYSSYSIEVHQRSDLGTTYGVPDSGIYIVDRDDGNTATLDWIISNSGCPTNTSARECLSANSLCRDYKARSGTAGHRCQCSRGYHGNPYVLDGCEGTRTSTNVNFQTSTLAMVTAATHLEVILANVLLALQAMLLSQMDAKTLTSARTKKHIRATEYVKFFKEAFIANVLVVQMGILSQKGDVFQSRNPSQCHAILFLGLSIGLGVGGGTSLLLLALGAPFITRKIKQRKRRMMKQKFFNQNHGLLLQQLITKKADIGERMIITLRELEKATNNFDRARVIGGLNVVAIKKAKIAVQREIDEFINEVAVLSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLYHHLHVEGPLSLPWDERMRIAVEVARALSYLHSAASLPIFHRDIKSSNILLDDTLTAKVSDFGASRYIPIDKTGVTKAVQGTMGYLDPMYYYTGRLTDKSDVFSFGVLLIELLTRKKPFVYQSAEGDGLVSCFVSLLTEGKLNDIIDPQVKEEEVGEVQGVAILAARCTKLKGEDRPTMREVEMTLQNLQVEKQQVPCISGRRNDGTPTQHMSLEPVINAASRQYTMEEEILLSATYPR >Et_7B_054131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15809832:15812152:-1 gene:Et_7B_054131 transcript:Et_7B_054131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTTTAALQVAGGVGCCCPAPLRFHGRPQRRQAAAALPDPARAVASAAVTEEEEGKVRLGGSGVAVTKLGIGAWSWGDTTYWNDSEWDERRLKEAQAAFDASIDNGMTFFDTAEVYGTALLGAVNSESLLGDFIRERQQKDPVEVAVATKFAALPWRFGRGSVLSALKKSLDRLGLSSVELYQLHWPGIWGNEGYLDGLADAYEQGLVKAVGVSNYNEKRLRDAHARLKKRGVPLAANQVNYSLIYRTPELNGVKAACDELGITLIAYSPIAQGVLTGKYTPENPPTGPRANTYTPEFLTKLQPLMNRIKEIGVSYEKSPTQVALNWLTCQGNVVPIPGAKNASQAKEFAGALGWSLTGDEVEELRTLAREIKGIKMPIEES >Et_1B_012505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32599678:32611177:1 gene:Et_1B_012505 transcript:Et_1B_012505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVKAVSAVEALKGIASKLNKTDWDFSVDPCSASGNWANSNGFLVSNVTCDCSFKNHTECHVVNLQLMRLNLSGVLPDEVANLTYLRYLDLSRNFIEGPIPASWANLPVFNISLQGNRISGKLPKELGSMPMLKSIQLEGNQIEGPIPPELGNIISLERFFISANNITGELPSTFSRLTNMTDFRIDGNNISGKIPSFIKNWQRVNRIDMQGTLMSGPIPPEISLLKNLTELRVTDLSGPGMTFPPLQNALHLTEVVLRNCSIYGEIPSYLGPMQYLKVLDISFNKLTGQIPENFEGMVALQYLYLTNNMLTGDLPAWMLKNKASNKVNTDISYNNFTGNPPTECQQANVNMVSSFSSSNDNSLQPCLRKNLPCMGKPRYSSLFINCGGKSIMIDGTTYKDDSSQIGTSTFVLSDDRKWAYSSTGDFVGNENADYIARNASTLTLTHPELYTEARLSPLSLKYYGLCMENGDYIVKLHFAEIVFTEDHTYSSNGKRVFDVFIQGVKVLGDFNIQDEAGGVGRAVTKNFTTNITDNTLEIHLYWGGKGTTAIPYRGVYGPLISAISVTQSRRNHHGVSTGVLIAIILSCLALLLLLIVCYVKVFPKKNQKGKCRQFFYHGRKDNTSELQTRAQYFFSLKEIESATENFDPANKIGEGGFGPVYKGTLADGTTVAVKKLSSKSSQGNREFLNEIGIISALRHQNLVRLFGCCIDGDQLLLIYEFLENNSLGRALFEHQLKLDWSTRYNICLGTAEGLAYLHEESTLKIVHRDIKPSNILLDEKLWPKISDFGLAKLNDDCGRVSTRIAGTIGYMAPEYATRGCLTRKADVYSFGVVTLEIVSGMSNANSMSNEEYLHLLDWAERLKQQGRLLEIVDQRLGSDYSQEQALRLLNVAFLCTNTLPTQRPRMSSVVKMIRGQIPIEVMPDDDMSEDLRLNTPQSCDSVNNSQIDWSHEPSSDPSILQHNSKDSGYLPSSSSSSVKL >Et_10B_002619.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18578177:18578713:-1 gene:Et_10B_002619 transcript:Et_10B_002619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVTNLFGSKKESSKRKVRKDGAKQSTSFGSTTTTSSSSSDEASPRTVLPPLPPASASKKPVAVTREELEAALRRVVSSEDELAEMLAEAGVVLEEIATATAEAAATDERELKETFEVFDADGDGRISAEELMAVLASLGDEPCSVEDCRRMIRGVDTDGDGFVCFDEFSRMMLLGL >Et_8B_060425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14760812:14766827:1 gene:Et_8B_060425 transcript:Et_8B_060425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTSGRRRVSVQSARSSSGSGSVWEARMKMDEVKGGVKVFNAAADEQAAADDEEGVRVYRRLRCNQSEGGGGVGAMTAAAAAKKRRSWKASEPVTAIGDQLRKSRSDLAASAAVTASSSSTTTVVARRNVARVTTPEKKVAPAAAAGEVKEVVVVEVAEAASVEANAVAEEEPEDEEEELEEEFEDELEEDKEMLDQDRMAIDGDETALHEGKELIRTTSRAVLPRFILDDDDDDQDLEPPTKGIKPTLVNEERSDKPEPVKPTLEKKLSSAIDLRAINPEPMTPPPEKKSLPSTVRRIPKQEPVSTPPVEEEYEEIQGRPSAPSKSHARMRNIVDLVMWRDVSKSALVFGLGTFLLISSSYAKDLNFNTITAASYGGLIYLGLRFLSKSILNRMNISLDTKCRGEIIDCDDERNGERCYLVGEEEAIWVLRLVLPYINEVLLNLRSLFSGEPATTMKLALLLFAMARCGNFVTLWTLAKLVFFGVFIIPKVCSSYSTQLARYGRFWLERFRDAWESCSHKKAVVAAVFTLVWNVSSTIARVWAVFMLVVAMKCYQQRMVEFGWSSTVEEGADEGDSAQKQEPPSKPAPCRPQDEAQGFAAAAAPRHRRTPVSGEFARERLRVRGGIQPR >Et_2B_021773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5353356:5357255:1 gene:Et_2B_021773 transcript:Et_2B_021773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWPPRVTAAVAHRRAGAGAAAAAAPTDELVRQHNRSIMALIRRGRFDAARRLFDALPARSVVTWNSFLAALARGRDVRAAHSFFDTMPVRDVVSWNTLLAAYAWSPHPDHLAGARRLFDEMPQRDTVTWNTLLGAYARRGLMDEAERLFNEMPHRNTASWNTMVTAFFAVGQVKRALDLFEAMPVKDSASLSTLVSGFTRNGWLHEAEELLTKRFGVMNMDKAVDAYNTLIAAYGQAGRVYDARRLFDMIPKAQIHHKVDKRRVFQRNVVSWNSMMMCYIRTGEICSARALFDEMPDKDLVSWNTMIAGYTQASNMDEAEKLFWEVPGPDAVTWNLMIRGFAQRGDVEHAHGFFDRMPERGTIAWNTMISGYEHNGNYEGAIKLFQRMLEAGKRPDRYTLSSVLAACASLAMLNLGVQLHQLMEKSFLPDIATSNALMTMYSRCGELANAKAIFFERKQKDFVSWNALIGCYEQNGCATEALQLFEEMRSYKIMPTHITFISLLSACVNAGLVSEGRMVFDTMVHEYGLSAKVEHYAALVNLIARHGQLEDALEVIKSMPIAPDRAVWGAFLGACTAKKNAALAQMAAKELSKIDPNSSAPYVLMHNLHAHEGRWGSASVVREEMEKQGVHKHPGYSRIDMHDKMHVFISGDTSHPLAQEIFSEALLSNLSFPTLHFQPSCSVTTPSNVLQDELGNIFEDDVLRHWDEIEQAHNKIEEGQTGSPLLRYRQEKEVPANYKVTRADRVGLEEKALAFELVSQYFYMPITQAARELDVGLTLLKKRCRELGIPRWPHRKMKSLQTLINNVQVLQEAGKATGEGQLRALVEMLQQEKQLLEQRPYVQLEEKTKRLRQACFKANYKKRRALEAGKAPRIQKY >Et_9B_065273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:327046:328749:-1 gene:Et_9B_065273 transcript:Et_9B_065273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LGQPRIRFAVREKRADAKSALKNILLNGGPYQESSNKQMRKQKGSGKSKSQHPFPGKNRHSKNKCGQNWRNFDEDDGIETPYGIFGGKKSFTWYWPGENDDGSSPSGFQWRDESQSTKSREKFWNESDIEEEEESCHGNLQSHRISLGLPLSGPLKLDHIKSAFRVSALKWHPDKHQGPSQAEAEEKFRKCVEAYHGLASTFKSGG >Et_2A_014512.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18197238:18198365:1 gene:Et_2A_014512 transcript:Et_2A_014512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRPKLKKLLANKRSQGSLVAGHEVGGVGPGVVVDWVGEVVGEVLERALAGDDGLDEESEHGEHGEAAVLDLLDLELSECLRVVGEAERVEAAAWVERVDDLAERAAGDAVSLDGAHEHDLAGPDGEDALRVDQAGVAEVVESALAEDLGAGLEPDGLAELDAVAGEQLGEDAAERAEHGPAAVDDLQLAVLGEGLRVGGQAGGVPAVVAGELAGQVARGSPEKGPRYLTRSGPYHGLPDAATLGLAAVLRMVMRASPKAPTLMGVPAIAGEEIAAMVEAAIC >Et_4B_036781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11489720:11492110:1 gene:Et_4B_036781 transcript:Et_4B_036781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATLEPDDAEEVQHTLRTPRYRRVERVEARRYISVYEKKATRNDTILEFAKLDYNILQVLYCEELKAITIWWKDLEPWTYMTFARDRVVEMYFWMSAVAYEPQYSHTRIMLTKLLKIVSLMDDFCDNYSTTDESEQFTAAIERWDEAAAEKLPAYLKALYIFILSTANDIVEQLILQKNKNAEWVKKLLIDVAKRYHAEVKWQQTSKHVVSTVQTCMKEHGVTAAQANEKLRVLIDEAWMDIVQGSLDRMHPMALLEKAVNVARAMDHVYKRDDAYTTSFSLKDTITSMYVNSV >Et_5B_045180.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:11051199:11053913:1 gene:Et_5B_045180 transcript:Et_5B_045180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPPCRPAAGAVSRAGAPSISGARSTSLPSPSSAASHLNLPRLHPPPPLTSSSTPFPTPAAASPSAPHPSDEALSSMSPREQTALLSRQRHWRRARDLFDRLRAVPGYAPNPVHYAVLLRHLARARRWAELRCAWLRMPLPPSNPAYAALADALAKAGMPRVALLLLRHMRAAGVAPDEVSMNTFVRVLKDEGRYADALALFSDWCDGRFEFDFLDLDCSAVDSDGPMQFLLAEIRDDKFTAAAGAPDLDGVPRKPKLVATYNTMIDLYGKAGRLKDALDMFVNMPAHGVMPDTYTFNTLINVLGLSGNITQAGALFASMVIRGISPDTKTYNVMMTVFASIGDLEGVLKYYRQIGKAGLCADAVSYRIVLQALCNRNMVQDVEDVIKGILNSDSFVPEQSLPVVIKMYVDLGLLDEANAFFERHCRGKSVSSKSYAAIMDAFAEKGLWEEAEHVFYSEREDGDKDIVEYNVMVKAYGRAKQYDKVSSLIESMKDSDIPPDKCTYNSSVQMFSVGGFPQRAKELLDEMKDAGFEANCATYSAVIRSYSRHYQVSEAVNLFIEMKASGVVPNIVVYGLLIDMFAEIGSVKEALYYIRLMEESGISPNMVILTSLIKAYGKVNCWKEAQDLYSRMKNMDDGPDIIASNALLNLYANLGMVTNAKEIFDSLKKNSCADDVSHTTMIFLYKSVGFLNESIKIAHDLHESGLLSDCASYNAVMASYVAEGSLRGCAELVQERLVANIPLNASTFGMILSVLKKKHVSTEEVVQLESVYNDGRDSVKQAILALLFSIAGMHAAAIQICEQLLRPEWTIDPCAYNVCFKIYASCGKIEKAFSLFTRMNDLGLKPDTATCIDWTTCCGKRGMSEGFLLYRNDWLSMSPRNTLVHCVESGKTDVAIQLVKK >Et_7B_054445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21443593:21444099:1 gene:Et_7B_054445 transcript:Et_7B_054445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICICNSALGELTLGGKRVGIIGTLIAKRLQGFGCVVSYHSSAPKVSYYFPDALVVACALNHATRHIVDGAVLDALGPRGRAGARRDGRVAGAGLDVFENEPHVPPELLAMDNVVLTAHEAVFTRIFFKNNSILMKNCGIEV >Et_1A_008294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6055310:6059824:1 gene:Et_1A_008294 transcript:Et_1A_008294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDALTSACCALPLLVSLLAVRVAYVLWRSGQPLPTPDVARVRCLIVLGSGGHTAEMMNIITALQKDRFTPGFYVAALTDNMSLQKAQVYEQSLIQSDGGKTIEDAHFLQIYRSREVGQSYISSIATTLVATLHAMWVVIRIRPQVIFCNGPGTCIPLCVCAFVLKVFGFRWSSIFYIESIARVQKLSLSGLLLYKLRLADQFFVQWPQLQQKYPRAHYAGRLI >Et_2B_018937.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17608606:17609172:1 gene:Et_2B_018937 transcript:Et_2B_018937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRALGAARRIRQVRRGEAVPAFRGRQQHRHQDLSRRPRPLLLHIRRAGALRGGAAAGRARRCAARRFRHRRAGAGAGGRRPADRAPRPERPFALAVEDGGVRGPSVSRRVHLHRVLFVLLDALLLLATCLPADEIFPLSGALGNSFPDEELFVVITVITVVCQPFTFTSMSLLGNFTYAQDQTCQ >Et_7B_054749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3986433:3990102:1 gene:Et_7B_054749 transcript:Et_7B_054749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHRSASLLSGGRGKICLQKPFVVQAKRSGSLDTRTRGTQIPVQPKKREPLTRGTVSPPLPVPANIPRPPYVGTKEAPEIAKEIQMHDKESIVHMRAACKLAARVLEYAGTLVKPSVTTDEIDKSVHKMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSKTFLCGDVDEASKRLVKVTEECLLRGISTCKHGTSYKKIGRRISEHAEKHGFGVVECFVGHGVGRIFHSEPIIYHQRNNRPGQMIEGQTFTIEPILSMGSLDCDMWDDGWTAVTTDGSMAAQFEHTILITRNGAEILTKC >Et_1A_007950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39756439:39760636:1 gene:Et_1A_007950 transcript:Et_1A_007950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVHSLLARPNSAPFAFSLPPPCRRSKSPPAPLACRAASRWADRLFADFHLLPTAAADPPAAASSSASPLLPLLPDAPDRTIPLPVDFYKILGAEPHFLGDGIKRAFEARIAKPPQYGYSTDALFGRRQMLQAAHDTLTNQSSRTEYDRALSEDRDATLTVDVAWDKVPGVLCVLQEAGESQLVLETGEQLLQDRPPKRVKQDVVLAMALAYVDLSRDAMAANPPDLIRCCEVLERALKLLQDDGASNLAPDLLAQIDETLEEITPSCVLELLALPTDEKHKKKRQEGLQGARNILWSVGRGGIATVRGGFSREAFMNEAFLQMTSAEQMDFFSKTPNSIPPEWFEIYSVALAHIAQAIASKRPQFIMMADDLFEQLQKFNIGSQYPYDNETDLALERALCSLLVGDISNCRMWLGIDNESSPYRDPKIIEFVVNNSSIDEENDLLPGLCKLLETWLVSEVFPRSRDTRGMQFRLGDYYDDPQVLSYLERMEGGGTSHLAAAAAIAKLGAQATAALGTVKSKAVQAFSKVFPLVEQLERSGTDNPSDDFDKSLEKLAQESAAGNAIHDSKNTALKIISAGAICALFAVIGLKYLPRKKSLPAISSEHGSVVVAESVEDAAVNEDPIEIPRMDAKLAEDIVRKWQSIKSKALGPDHSVVSLQEVLDSNMLKVWTDRAAEIERHGWFWEYTLSDVTIDSVTVSMDGRRATVEATIEEVGQLTDVRDPKNNDSYDTRYTTRYEMAYSKSGGWRITEGAVLKS >Et_10B_003175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17317068:17321393:1 gene:Et_10B_003175 transcript:Et_10B_003175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLFPAMASSFSPTTAPAAAASARALLPASTSRPLTLAGRIPSKKGFRRGRFAVCNVAAPTAAEQEAKASGAKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPDIRVVVGDPFNSDPDDPEVMGPEVRDRVLQGDKDLPVTTAKITMLRVKIVEERARFDKDPKGFRESYKEEQEKLQQQISSARSNLSAVQIDHDLRVKISKVCSELNVDGLRGDIVTNRAAKALASLKGRDKVTVEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEHLSATLHERNGETAMSRSRFMSFVRCPCHPTFWSASCSEVSGDCGEIIGEW >Et_2A_018704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5428623:5431469:1 gene:Et_2A_018704 transcript:Et_2A_018704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWVIRAAKSDSRLANNYLPPDIQKLHCRAFFQALRFSPPIEALGKLLVERMRFFGPYIALHLRYGKNMLSFSGCTYGLSQTESEELAMIRKALARLFDKVNSGALKEGEILSQKIIDILRKRQRLSTEMKRPCLRNRR >Et_6B_048744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13148816:13151226:1 gene:Et_6B_048744 transcript:Et_6B_048744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSEPTREESVYMAKLAEQAERYLAEFKSGTERKEAAESTMNAYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDSLGEESYKDSTLIMQLLRDNLTLWTSDTNEDGGDEIKEAAAPKESGDQ >Et_6B_050096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6180318:6183775:-1 gene:Et_6B_050096 transcript:Et_6B_050096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIKLRRRHLIICAAGLVAVAAGYIVLMRRRGRKYSAQQLAPLCNALNEPASAVHATALAEPPRDLYPTVMSVPGFTKEELINVLMYLAEGGRGAAFLTMSEQHRVLWIKQILGEQEEKRLVAVAFLLLQPECRAQLPPHARNWPCRVPQPPASQGGHDL >Et_2B_020979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25412202:25436178:1 gene:Et_2B_020979 transcript:Et_2B_020979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGCATLSKAVRSVQLLKIDGYSATKTLTESDYIKSKWNLDGYDWQVHFFHLYSLYKYRVVLRLKLLSRPRSSRGVKANLRCQLVDPTGNLEPSQGKSVSETFYDPSSCQVSFMRKKEVQDSGYLKNDSLTVQCTITVLKELEDVTIPTKKEVPQPLPRPLSDLHLHLGELLQSQKGVDITFVVSGESFVAHKLILAARSPVFMAEFFGHMEERSSQRVEIEDMAAAVFKTMLHFIYTDTVPELDDGPPDAAATMAQHLLAAADRYGLDRLKLICEGKLFSGIDVDTAATTLALAEQHNCFLLKAKCADFITASPERLDAVVATEGYKHLVASCPLSRRFLSRSPLPAADTPMGSSPPRGRPPCSVQSACVNHTENTRSVQLFKITGLPATRPKAAAECSVASARTSVGRHDVGVEFHVAGAMDTGYGIKFRATLVTSSDDAAGDVSMAFTCRVLDQLGPSPAVTSSGALRNRQGEDLVFVSGTLLADPRYVKDDSIVVECVIATVVKVRDTGAANASPTISAAAPSSDLHEHFGELLRSQKGCDVTFLVAGEHVAAHKCVLAARSPVFMAKLLGDNDMSEWENVEIADMDADVFRALLEFIYTDTLPELDRQEEDATTLARHLLAAADRYGMARLKLLCEDKMCAHISVGTAAAALVLAEKHGCAKLKARCMEFIVADPADLRAVVATDGYKHLMATCPSVLSDLLLASLLKIDGFSLTKTTTNSDYIRSRWNVDGYDWEIHLYPSSYIPIPYTETWVALKLLLISEARTDKVWASLSCRLVDPSGKRVSSEEKSASSAFSRPQTSSREVCLRRCDVFSRPQDSSCEVCLVRRCDVPSSGYLWSDSLTVECSINVLKQQKDVTFPAIKKYMPLSVPSSDMHQHFGKLLESQIGADVTFTVAGESFPAHKTVLAARSPLRHGTSMAMTGKSVSLLLVAATKVRASVRCWFVDSSRKFAPSEEKSVSTSFFKTGQVSSNVSLEHGSNHVRNDFITVECTITVVKKPKNRTFPAKKDMPLSASQIGADVTFTVSGESFAAHKAVLAARSPVFMAEFFGHMKERRSLRVKVHGVAVAVFNAMFHFIYTDTVPELDQQAKEAVAMARHLVAAADRYGLDRLKLISESKLAGGISVDTVAATLALAEQHNCSHLKAKCVDFITTSPEKLDAVVATEGYKHLVASCPLGPCIDLTAAVRSVQLFKINGHSALKEKPTGTRVASAKKRVGGYDWVIDFYPSVFTSNDYWIKFRVTLDSDVATGLATSFACRVVDQTGKTELSPEARAGGTFFKGQWKEVLVMSRARLATSGYVKDDSVVVECAITVLLDEPNHDQATAGDAAAVAGASSPAVSAAAPFYDLHKHFGELFLSQKGADVTFVVAGESVTAHKCVLAARSPVFMAQLFHDMKGNASPRVEVEDMEADVFRALIEFIYTDAAPELDDGHEEEEDAKAMAQHLLAAAGRYGMERLNLMCEDKVCGDVSVEVRDMDAAVFRAMLRFVYIDTAPELDREEEKEDGDAMAQHLLAAADRYRIERLKLICEERLRDGVGIDTVATTLVLAEQHGCSRLKAKCVELIAANLEGAMATDGYKHLMDSCSSVLNDLLKAMPGRMNLSTEAACAVHLFKINGYSATRAMDRTDSLPSKRLAVGGYDWEVHYTPSQVAVADRSYLIAFKLVILAAPRRGDVKAALRCRLVSPASNSSNQQRRDEVGQMSHAFKQAKESSGWVVLCKRSVLEASGAVKDDTFTVECTITVIAEQVDAAATNDVLLPSSGLQHHLGELLQSGTGADVSFAVSGELFPAHKVILASRSPVFMAQFFGRMKEGNSQCVEVEDIEPVIFRAMLHFIYTDSAPELDGQNGTLVAQHLLAAADRYGLDRLKLICEYKLYDGINVNTAATTLALAEQHNCLHLKAKCVELIAANLDAVMASEGYRHLMASCPTIMNDLLRAAARSVQLFRINGFTATKERPFIASRIWTVGGHDWQIEYHANGRETYNDGWVKFRLILVSKASGIPASFSCRLVRPESSCRDPTSQEERVASALFYQRTSLDVALMKRLDLESSGYVKDESFVVECAINVLLRGKNNAAAAAAAATVTEPCSDLQKDFAELLRTQKGADITFVVSGESIAAHRCVLAARSPVFKAELSGEMKDKVEIKDTEAEVFKAMLHFIYTDALPELEDNKQGEHATAMARLLIEAAEQYGLERLKAICAEKVCTSIGVDTVATALALAEQHRCSELRATCIKFILSHVACFQDIAATEGYKHLETTCPSVLTDLLKLMMFKINAYTATKDIQWYTATTACAVGGLDWQIDFLPNSSIPNAFYTTESDWIKLRLSLISEANQVAASLSCFLVDPNTLERPSHGPLSKEITKSSTFCQNGFQEVFLARRSDLEGSKYLKDDQIIVQCAITVLFDAPSPASLPKITPRVAAPSPSSDLQKHLGELLRSQDAADVTFLVSGEPVPAHRCVLAARSPVFMAELFGDMKENASPSVEIKDMDAEAMATVYQETTGTHIV >Et_9A_062498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23518767:23521586:-1 gene:Et_9A_062498 transcript:Et_9A_062498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPLSPRKRGLQSSRWQKAKGSRYPCPRNAKPPLIKPLPLCAQPPRAFAPSHHRRKALRVLNYNLSWSSGFNSFTRCLYVTPMHKQSCDTIPYVALSLCIIRRNLNPGDTINAEFQLSVFNHSTRTYSACEDSYSFHVKKTLSRTKCLIPLEELLKSCEFLVDDSCAFAVRILKVEVISSEKKKPAVFPEKSITAIQNLFLKKKEFINGTYSWTLKEITWTLKATLGLKHSARSPVFTVGGQKWRIKVYPLGDLSSKRSLSLYLQMVDPKELSHESGMMIELTLSILDQKHEQNYSRPGRFVFGRNVCWGWPDFIPLETLMDPSKGYLVGSKCVVKADLTIIGSSIDGKISDYLMKE >Et_1A_004656.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27836165:27836665:1 gene:Et_1A_004656 transcript:Et_1A_004656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHIHPSGLLHLAGVCAGGPHALGGVSKHVLLDLAGGGLGQLREEDHRLGRHVVRHAAPAPRDDLVGGHRAGLAFLEADERARGVAPELVGPRHRGRLADRRVRVEHGLELHAADVLAAADDDVLGAVADLEVPVRPGGSPRRTSPVWSHPSSRTAALVAASSPR >Et_2A_017880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7455446:7460983:1 gene:Et_2A_017880 transcript:Et_2A_017880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTEGITPALIDDPEPSIPPGFGPFAAVGLQEIQNDVKPADVHSSSVQVIQRIDDDVEILECLPSSSNCQSDTTCSTSWSKSCRKSLRNRPPIDYSRFDHIADEDSDVEVTEKGVSSVRQRQQFPKGVLRGCPKCADCQKVVARWNPSGARRPVLDEAPEFQDTLKYIESIRPMAEPYGICRIVPPPSWKPPCLLKEKNIWEYSKFSTRVQKVDKLQNRKSSKKSRRGGMMKKRRKLSEPEENSSINHNQIGMQQNHERFGFEPGPEFTLQAFQKYANDFSDQYFMKDTSGNSPPSVEDIEGEYWRIVERPTEEIEVIYGADLESATFGSGFPKLSSEMESDVEHKYAKSGWNLNNLPRLQGSVLSFEGGDISGVLVPWVYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKLWYGVPGKDAINLEAAMRKHLPDLFDEQPDLLHNLVTQFSPSLLKSEGVPVYRCVQHEGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPIGQNAVELYREQARKITISHDKLLLGTAREAIRAQWDILFLKRNTAENLRWKNICGPDSTIYKSLKARIEMELTQRKDMCSPSQSRKMDAEFDSIDRECALCYYDLHLSASGCPCSPDKYVCLVHAKQLCSCDWDKRFFLFRYDVNELNILADALGGKLSAIHRWGVSDLGLSLSSCVKREKVQDSKIVRRASDGPRRSYMSQASAVSLVPSVVCTEQKTNGNKALDIASPGTNSLPENSGQVKSGNVSPVKEPCVKSELFFPTNNVTNRQPQGVGGCKGLAPSSTVPSGQSFSSNAVTRPLTTSGESMRTAHGAIEFRDSRESFSQIGESSYSLVEHHKRPPIMVGNEANMNPGFESSNNSRSLMASNSNATLSHSFKDRAVITREANSAVVTKNDTSQPRTGSHQLANSVSRTQSVSQGALPKPQMDPSVVRNSYGVFGSGSGHFRHPSSVNQQLNDRWNQRKSESVSGVEVRPMGHSAVIAHSALENQNKNGVAQRGPRIANVVHRYKCSVEPLEIGAVVSGNLWSSSQAIFPKGFRSRVKYFSIVDPSQMAYYISEILDAGLQGPLFMVTVENCPGEIFVNVSPTKCWNMIRERLNLEIRRQLSMGRANLPTLQPPGSIDGLEMFGLLTPAIVQQIEARDRDHICTEYWRSRSHVVIEDRDSRHVPPQGPLHIELRGLFQRANCDELRALRSLLMSNSTLDDSSRQQAIQIIDEEIAKQRR >Et_9B_064706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17958198:17960357:1 gene:Et_9B_064706 transcript:Et_9B_064706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLVRAGRAPLRRLLYSSSPKTLRPRVPCATSSGGGRDHSPLLLPLYARPSPYRASESGPGARAASGARRGMSVCARAVDVEGEASSSSAAAGYDLSAPYLSVRIRCRRQDAEVLSEALLCFGACSVTVDDIADAGNLDDIYITSIYADGEDVDSSLLNAANSAGLGYNPVYETSVGKQGDWVATVQETYESTEVADGLWVVPRWRTPPDPQATNIIINPGLAFGTGEHPTTKLCLLLLREVIKGGEHVLDYGTGTGVLGIAALKMGASLSTGIDIDPEAVTSASENLLLNGLCSNQMPVYLVSTDMKPSCFPSAIGKSEGQGNKPSNNFELTSSRGTYDIVAANILLNPLLELVEDIVGYAKPGGIVAVSGILEEQVPKVEEVYSRYLDSILVSAMDGWACLKGTRRV >Et_7A_052506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8720234:8721151:1 gene:Et_7A_052506 transcript:Et_7A_052506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSGSGHVIGVPVISKAYGIEEGCRDPSFRKGDNLAVSLTHPSPYTSFGYKHSSKGQVIHWVSKLGRRAQGFREHVTLGPKLSETVKGKLSLGARILQAGGIERVFRQAFSAEKGERLVKALQCYLYTTGGPIAGMLFVSTKKVAFRSDRPVTVTSPSGGDTARVTYKVVVPLKRIGKVRPSENVDRPEEKYIHVATVDGFEFWFMGFVSYQRSCKYMQQAVSELQ >Et_5B_045731.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8442140:8442340:1 gene:Et_5B_045731 transcript:Et_5B_045731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIFQMSSVVTKSPSFTFAPLLLGPFGTFGNAGVPSSSRKRSLGQSSRLSHPAGVALRRLGKAKA >Et_5A_042817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:493040:494431:1 gene:Et_5A_042817 transcript:Et_5A_042817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKNQVNPKCCLSQDLFEANQRVPEVLCKIQTESEKVRHHFRDDPRALKIWDEYIDDIHCASSRNLTYLLSSYAQRSGLYEQLMKKPCNNTSVSLSSSFGILSEISTSRKRVRVAVVLAAGAAAAFAAGLGIERPSLRKQHQSKET >Et_9A_062649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2814058:2818384:1 gene:Et_9A_062649 transcript:Et_9A_062649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SYRGRSTQLSSNLCSCKSSFFVQMDKLDGSARLMIVSDLDQTMVDHEDLENLSLLRFQALWEAEFSQDSLLVFSTGRSPVSYNALRKEKPLLTPDITIMSVGTVIAYGEEMIRDVGWEEYLNNKWDRNIVREKSQGPHKVSFFVDKQGAQEVMDSLPQKLEKRGLDVKIVYSSGVALDVLPQGAGKGQALSYLLKKFSSHGKSPNKTLVCGDSGNDAELFSVPSVYGVMVSNAQEELLQWYEENAKDNDKMLHATETCAAGIMQAIGHFNLGPNISARDLEFPYPKVDTIKPADVVVRFYVLYEKWRRGDVPKSSSVTQFLKSITYMKGTIIHPSGSECSLHASIDALSSCYGDKQGRKFRVWVDRLVTSPIGSNNWLVRFDNWEMEGDVRYCCRTTLLLSLKPETPEGLELTHIHKTWLEGQSAGSDHKFILIIDELENEPT >Et_6A_047852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21070065:21072176:-1 gene:Et_6A_047852 transcript:Et_6A_047852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAKPPPLVCFKWPWSPNPQSGPSTIPSPCGELELPWLFKSIRTVAQGLLIAGDLPSPASAGYGGGVRGRKRQTGVAAVEVDRGEAEQRALAAALASGRPATVLEFYSPRCRLCASLQGLVEELEEGAGGAASFVLADAEDDRWLPELLHYDIRYVPCFVLLDKHGRALAKTGVPTSRQHVIAGLHHLLKMEQPSGHDRN >Et_3B_028782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19030216:19030795:-1 gene:Et_3B_028782 transcript:Et_3B_028782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDEGPRPSCSCRNARCIQRYCHCFGNRWYCSDACRCEACWNTESRAAFVEERAEIILKNKPGAFQSKIARDGDPSVPIKVLIGFALKTTPGKRAEEACEGLHLPQVGVQEELLRVFQEPGCVHREMPVRRVCQQPWNQG >Et_1B_012317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30923329:30925713:1 gene:Et_1B_012317 transcript:Et_1B_012317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKGVDGGQQRPTQSKVIYTYLFSNIYCLLAHKASASAPANAACLSGPSPLPVVLLQRRSNADRVKRTKLGTAQQQQQAAAAAAACWEVTEFGNRFATGAAPPISQARSVHWSGESPGRGLFPSLVGEALPGRVQHPRGMDDYTPKAHTKKVVYRPLPTGQLKGEPELLRKEPHSSGKMEKPPKRSSKSEHRLHTPQSDRGTPDSLPDSGPTDEYRALRRQYLMLEEENFALDRELSMEDEEIKALEAEKSALLDQLVILEGLVDPSEMQPQRRLEKIVQINSRLVLRRTGGLW >Et_4B_037537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20969938:20971304:-1 gene:Et_4B_037537 transcript:Et_4B_037537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAVGFMSRGANGGRAAELVTRDFLGGCAAADDGRDAAVREHDAVPGKLSLPKHACPPSPRDLNLFPVAAAAAKPCPASSPAPASSSAGATTTYHSVCTIEKVKTALERFERGKHHHQQHSGGQQQHSGAGASPSSSSVTTSSVKRRGGVDGAVEQGDGCDSPSGGGGGGMVAAACPRCFLYVLISRNDPRCPRCEAHVPAPPPAAPAVNKKLRIDLNVGYLGT >Et_9A_062668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:357849:360076:1 gene:Et_9A_062668 transcript:Et_9A_062668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGMPGDLGDGALGGDGYLRWHQNVHAISQRCLSSVVDHLHTPIVATLSEMADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYIALDYEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTICGYPRRSTMSLAQQLFTGSASECLIIAVQSQ >Et_7A_051448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18768949:18772633:-1 gene:Et_7A_051448 transcript:Et_7A_051448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDRANPPNQIPPTNPKTSPPAAAAALRGTALAAPPLSATLQPAAPLPATAMMVPLDPAGKLSSQRRIAEGDTVVVYERHDAMRAVAVRAGGVLQNRFGVFRHDDWVGRPYGSKVHSSAAGGGGGGGGGGGKKGGGKAGGFVHLLAPTPELWTLVLSHRTQILYIADISLVVAYLELVPGCVVLESGTGSGSLTTSLARAVAPHGRVHTFDFHEQRAASAREDFERNGLSSLITVNVRDIQGEGFPEEHHGAADAVFLDLPQPWLAIPSVSLMLKQDGVLCSFSPCIEQVQRACDVMSSCFTDIRTFEILLRTYEVRDGSLNSATAYEEAAVGPRPQKRKKFTPSGENSGCTEKNSSVLVRPCSTAKGHTGYLTFARLRV >Et_2A_017661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4791646:4802402:1 gene:Et_2A_017661 transcript:Et_2A_017661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNHPDASSAAAAELPPYAPGANKESVTVTVRFRPLSNREIRLGEEIAWYADGDTTLRSQQNPSVAYAYDRVFEPTTTTRHVYDAAAQHVVSGAMEGINGTIFAYGVTSSGKTHTIHGDQRSPGIIPLAVKDVFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPSGQNLRIREDLQGTFVEGIKEEAVLSPVHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCRESGEGEAVTFSQLNLIDLAGSESSRAETTGVRRKEGSYINKSLLTLGTVISKLTDGKATHVPFRDSKLTRLLQSSLSGQGRVSEELDQLKSGILSSTSKVAIEDNVILWKQKLEDGKVKLQSRLEQEEAAKTALLARIQRLTKLILVSTKATQTPRLSQRPGPRRSYSFGEEEKHAAGFAALTSLNGDQSILRKAFTAPLTPSANGIYFLSEQTMPNSLLAENVSVDLLSIGREEIHSDHLTGEEVYWVREKTTDHVDLLREQLKILSGEVALHTSVLKRLTEEGARRTNERIEMEMKKVSDEIKGKKQHIAYLERQIKVNLDQLDVPPSHAKLLEQLNEKTFELELKTADNRIMQDQLKQTATQCHELQETVAHLKQQLSEALEANELLSKKIILKNADISHQVESQVHTGNVVSMDVSDELQNAQQSEIDELNLRLCELTETKNQLEARNQKLLEERMYAKGLASAAGEELKALSGKVTKLMNRNERLASELASAKNSAEGRASNGPKVARSTKLYDSATRRDIHASYEREQALEVMLMEKEQKETELQKKIEESNQKETFLEGEIANMWVLVAKLKKAQGIDQDAMDAKFNGS >Et_6A_045825.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:1658077:1659211:-1 gene:Et_6A_045825 transcript:Et_6A_045825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAALGERCTLQMTLTPSPSVASLRLVTNSSMIHAVFLSPDGLALGRPVPRAAAAADAAVHPGARLAALPPGRAEEVPVAVVAGVREPRRQRVGVHGVDVGGDDHAGEQVLARDPGVEVVADQLLRGRREAVARRHHRPRPPSP >Et_3A_023417.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:26323403:26323579:-1 gene:Et_3A_023417 transcript:Et_3A_023417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NIPNIVNIVNADVVGVAPESERVSQSFQQGPLRIRLLNDQWLTIVLSSGFARIEDIFY >Et_6A_047482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6295860:6296101:-1 gene:Et_6A_047482 transcript:Et_6A_047482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNARRVLSLRWIRDEDVSAMLSAVTPRPCLDAHAVRAMIEDWCRKRDLLPRQIDSVNEFAAVFNPAE >Et_7B_053470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21445406:21446323:-1 gene:Et_7B_053470 transcript:Et_7B_053470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCTLAVCPYVSISNLCRLVFCKILFDYIYSVVLKLHVWISWYIFRLSPSQDKYQVVKTPTSIKENKDAKPYLGRSENGVCYATLNKLELRVWALHESGGYMEWLLRHHVNLEALSHRIQALWYNDERANRSWTLVEDRGDTSYEHEVENQGIGIDEEENQWGMGRWSNRFSRISSIQRGCVLESSKAHYLGVIRPWGYGGTTASLYESYPYTPCLIDSLPENGHWTGA >Et_9B_065677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9072910:9073219:1 gene:Et_9B_065677 transcript:Et_9B_065677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAWVRQTAILEHASVGCFVTHCCWNSILERVMNGVPMVAWPLYAEQDMNAAISQAARVKVGADHFVAKEEDVATAIQRLMEGNEAERMRRRTSELREK >Et_8B_058592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5026857:5030200:1 gene:Et_8B_058592 transcript:Et_8B_058592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RTSALSRAWRRRWETLPSVDLSHSAGISASDVDALFLRRGTAPVLAFRLPSRDPSWVASGYFHDWLLCLSRRGVQDLALGFLSQRGFIPLHSCLFSCRELTRLNLIRCGIPPAPAGHAGFPNLKRLRLESVFVAGGAGQGHAGREFASLIAASPVLEEAELLSLMLDGDGPDEEWMIRAPNLRKLSIIGPYAFGGQTENLPRLEEAVLFGKNYAKFLTGMVGVTKLDFRCISIWPTEVDVLEKLPFLFENLRSLSITVNVCETSNIFSMFCLLRSAPVLEELDVWAWSNGTQEIETNNEFLNVQCDDQMFAKLHVVHMKMFSYLANEMHFMEFVLSKARVLLVLYVTLEPNASCSNEEFVTGIAEYPRASPDAQIIFMGREPESSTDNFIPLLVFLEALP >Et_3A_026472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8424618:8429026:-1 gene:Et_3A_026472 transcript:Et_3A_026472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAKRAKPSPAKPPGAQLREDAEPAEDPVVLLRRRWELASVLHFLRVFEPVIKGDLELSAEDIEMALVSNNHNLARLHIALLKGIPPANKNLKIEDGWMIVTAKKLQDWWPWVAEGTNPLTTDTGKEVETYKKQDPIQRLLILKALCEVRSEQGDAVWYVNDEMKKGTDISNFRKGKLGSGSNGTIYWYDGDSTIGHRLYTEDVTVDYKRNWKGRSGRLTKPVINIRWETVATNLDEFLEISEKLCKKGQAESAVAEYLKTEVIPAVEKLQKKKEQALKRQQKKDERLAFANSYQPRSLRERRRVSYTYSDYDRSIKEAIKVASKAKEHESHEEAAKEKRASRQGDKGANGSSDTNSEHNNDGQKDATYLSDLSSDDDEDRDYSDKDGDSPGSDGDNNAYDPHKSDMEEDAFVTRKRTRLAARMVNDKPRQELRRSQRNVKNNEDTMEPGQLTPQAMTKKTVRQRPTPVSKQPETAFSGSEDDRAPVADSEDESEDYRARIVADSEDESE >Et_1A_007729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37624131:37626188:-1 gene:Et_1A_007729 transcript:Et_1A_007729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAVQEGIMAVRELNGFEANGYDRAVATKPPRAEESKWYEEEIDDDLKLCYKLNSVLHRGASKYQEIALIDTKHFGKALIIDGKMQSAEVDEFIYHESLVHPPLLFHPNPKTVFIMGGGEGSAAREVLRHKTVERVVMCDIDEVEVVDFCRTYLSANWATFASDKLCLVINDARAELEKSREKFDVIVGDLADPVEGGPCYQLYTKSFYEHAGPAGVLTHREVFSSIYNTLRHVFKFVKAYTAHVPSFADTWGWVMASDHPFNLSAQQINARIKERVDGELLYLSGESLISSTTLNKSVYKSLQNETHIYTEDDARFIHGHGQGRSLCA >Et_4B_037032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13851165:13858817:-1 gene:Et_4B_037032 transcript:Et_4B_037032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGFVRAVEDGLKLTKRLVQPGGGPPPRPHAAMERDPLAAIDKHPLLPTAPMAYAVVVDPGAVDNPDVPSYQPHVYGRLDPPVLIPLQMREVELRVDAACARAEVTLRARWWVHCVTRSRACDCRVVVPMGYQGSILGAEVTVGKKSYSTHVIDVEDDSAVKISKPESGGLLKQELFSLTIPQVGGGEDIFATIRWSQNLLYDNGQFSVEVPFRFPSFVNPLPKVFTKKEKIRLTVNTGVSKEVLLQGTSHPLKEKGRQDEKLSFLHDAVVENWSIKDFTFAYSVYSGDLSGGVLVQPSTLRDYDDRDMFSIFLLPGNNANRKVFRKAVVFVVDSSGSMQGKPLENVKNAMSTALSDLVQGDYFNIISFNDELYSFSSSLEQVNEKTVESAIEWMNLNFVAKGGTDIMHPLSEAMALLSNSHDALPQIYLVTDGSVDDERNICHSMKNQLMSRGSKAPRISTFGLGSFCNHYFLRMLASIGKGHYDATFDTGSIEGRMLQWFQKALSTIVTKISIDGMKYIQEFEVDSEYIPDISAKYPLCVSGRYHGKLPETLTVKGHLADMSEISVELKVKHIKEIPLDKVLAKQQVDLLTAKAWLSEDKQLERKAVKLSIQNSIPSEYTRMVLLQTSLDKIDPAEQAKKTPTKQGSPEDRSAAPLHGLTIGFGDIVATRENLTTGFGDIKGPEKFEIFEKAAGCCGRLADCICCMCFIKACSKMNDQCAVVMVQACAALACLGCFECCSELCCGGSD >Et_1B_012995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4597414:4601568:-1 gene:Et_1B_012995 transcript:Et_1B_012995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVGVVVSDPSLQGQFTQVELRSLKAKFVSLKRDAGHVTTKNLPGLMKKLRGLNEVVSEEEIAAFLSESYPDSDQEIEFESFLREYLNLQARVSGKDGGAAAGAGGKTSFLKSSTTTLLHNLNQAEKSSYVAHINTYLRDDPFLKKYLPIDPSGNQLFDLVRDGVLLCKLINVAVPGTIDERAINKKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLILGLISQIIKIQLLADLNLKKTPQLVELFDDSKDIDEVLSLSPEKMLLKWMNHHLKKAGYKKTVNNFSSDVKDGEAYAYLLKALAPEHAPEATLDIKDPNERAKLVLEQAEKLDCKRYLTPKDITEGSANLNLAFVAQIFQHRSGLTSDIKQVTLTQTATRDDVLLSREERAFRMWINSLGVESYVNNVFEDIRNGWVLLEVLDKVSPGSVNWKLASKPPIKLPFRKLENCNQVVKIGKQLKFSLVNLAGNDIVQGNKKLIVALLWQLMRFNILQLLNKLRYHSQGSEGKEITDADILKWANNKVKASGRSSQMESFKDKSLSNGLFFLELLSAVQPRVVNWKVVTKGEEDEEKKLNATYIISVARKLGCSVFLLPEDIIEVNQKMILTLTASIMYWSLQKQPQSLSEMPEQSEPSSMTPDAASDIGSEDAASTTTPSEGEEVNSLSDSISTLTTDDATSVAPPAENGNGVAGS >Et_2B_020614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21926690:21930655:1 gene:Et_2B_020614 transcript:Et_2B_020614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSALYHVAEAMAPLYTAAALGYASVRWLRAFSDEQCAGINHFVALYALPLLIFHMVSTNDPYHMDGRLVAADTLQKALILLAVLAACKPPPPPPPEWVVTGFSVATLTNTIIMGVPLLRGMYGDASGALMKQIVVMQYGFWYNVVIFLYEFMAARDDHGAAKISPAEEQSHGRRRGDDEVAAGDEDVDEMEKELSRGGAGSSGDVTSAAPAPLVTHVVRKAGRRLLKAPNTYASLLGLIWSVIAGKAGIKMPKIVDGSLSIIYTTAVGLSMFSSGTFIARQSRLVPCGYKIASLSMVLRFLIGPVAMLLASLAVGLHGTLLHFAVVQAALPLAITSFVYAEEYKVHADIMSPGVILRIFISLPVTIVYYILLGLGLHGRRRRNDGLAGHRGDVLHGQGAPPDLADVWVPNMHGAEHRDVPGTAKHRDVA >Et_10A_001139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21488550:21491935:1 gene:Et_10A_001139 transcript:Et_10A_001139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRSGSSRRKQAKQRAAAAALAAATYPPWVLLERYCTEETHGSSSSVADAKTRAASHTAGGNPISVARVKLFGAVKVSHSTVVAAHRDSVLIDVTLEARWMRDKTDHFVYSVELDDPVVSLDDPNTITFALCEEHHEEEHGAADSTVRMLMVDTRRKTIPSSIFRYPPERQYIRSHYPIPSRVSSYLNSSYPTQGNGGATSSPSKIDAAVNSSEQSFSNLSLEPVHHVSGEVSLEKKVLMALEEIPGLTRDEMLKAFSVLVYDSGRRFRSLLELPKNLRKDWLLIEIKASEACSTCSACTKDVLSHIPSIIIRVNSSKQTNPSPSVSTPRIMRRQSSTEHGSSPSDASTAMSSSAEILPSPSASNTANVSFSSRSSVAADAPAVAISSSTTPASASISASSSSLETTLRRAASSSSRVTATAGFFLDADAGGGGRTVRGDASSDEEEEDAVEPNDVLCLAPSFLTFLLLLSFLEPNKFVTSFMATLPS >Et_4A_032828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14406272:14406461:1 gene:Et_4A_032828 transcript:Et_4A_032828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVAKGWPEKQKHELTSLSEVYSCDGCEDLGSSWSYKCEECEFDLHPMCALAEKKKDE >Et_5B_045752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8801897:8806118:-1 gene:Et_5B_045752 transcript:Et_5B_045752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLEVELHHLMVRHAIPIDPTGLFFSLRHLSLESMDDLDDSRPPWCSAHLMFLLQCEVELLTAPSITGVVVKATDPTASISDTMIIEETACACNIKSHFYTLT >Et_9A_061017.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:9087223:9087543:1 gene:Et_9A_061017 transcript:Et_9A_061017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTQLNISPMVPRVSSPPSSSSSPASGALDPARRSSWRRRAFSCSESSWSASMARMAATRSLPAPRAAGAAGVASPEQSGQVHPADSERGMGLERHGACHDVTQL >Et_8B_060207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7452171:7463645:1 gene:Et_8B_060207 transcript:Et_8B_060207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSGKGNSAEGEGAGEAAFWPLRAARLVAIEEEPLREPPKEPTIGASWDAVGRWYCLFYLIKEDGVSPRKQMDRTPFYEVTLEQRWEAHDGGSKLASKKAFIGGSVEAQQDAAAGSSATRHDGANVWFRVAATGQRIEVCASMWEMMRWVENRGGWVDEEVNGTGTVAGGSVLVERFAVKRMDGSVAVAFDFVHLSKSNPEAASMPPPEGRNSGYLVLKGTAEEDGDETLCWGSWRDNRVWDLPFPQNRLLEAQYSGEDEADLFVPVPDQPLASNRYYIVVARGSSRGLVRACSREEDTVPCCFSRCIMDVPPRPFDPTDVYQQIEIVRYGGGLFTARAVAADGFPPSMYRHKAWSVHAHEHKHINLGEARGLDDTLRARQLSATSGLIGASWDAVGRWYCPFCLVKEDGVSPQKQMDRTPFYEVTLEQRWEARDGGSTKLASKRAFIGGSVEAQHDATAGISRSQQDGAYVWFRAAASGQRIGVCASLWERMRRVELRGGWADEEVDGAGTVDGAGTVTGGSVLVERFTVKRVNGSVVVAFDFVHLSKVKARQL >Et_8B_059500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18510269:18517542:1 gene:Et_8B_059500 transcript:Et_8B_059500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGGPWLKSGNNHTGRETWEFDPNFGSNEEREAVDSARKEFQKNRFRMRHSSDFLARMQLTKENNFSLDLHEAQDETPVDLNQNAVSEILTKALNYFSAIQAHDGHWPGDFPGPLFTTATMIIVLYVTESLNIILSSEHVKEICRYLYNRQNADGGWGLHAEGESSMLSTVLNYTALRLLGESVDDGPDMSIPKARKWIHDHGGATMIPTLGKVWLSVLGVFEWSGVNPIPPEFFLLPSLVPIQPGRLWSHFRMAFIPMCYLYGKKFVGPITRLVVSLREELHMHPYKKIDWKQARKLCAKEDVYNPHTWLQECLSDCLYNFGEPFLTCWPISYMRNKALRQIAEFLKYEDENSEYICIGAAQKALSMLCCWIENPNSEAFKHHLARVADFLWVGEDGMKVRVCAGQLWDVAFAVRAILACDIAKEYGSTLKKAHEFIKASQIMDNPSGNFSRKYRHVSKGGWAFQVADQGWQVSDCTAEALKALLMLSKFPTDIAGDQMETCRFHDAVNNPNGGYGTWELARTYPWMEIFNMTEIYADIMVEHQYVECTSSVIQALVLFREKYPGHRKDEIDQCIWRATEFIEKLQNDDGSWFGSWGICFTYGTWFAIEGLSAVGQNYRNSTSIRKACKFLLSKQLTNGGWGESHLSSRTKAYTNLDGKKSHIVNTAWAMLALMKAGQVERDPTPLHKAARLIMSMQLNNGDFPQEEMIGSFLKNGPLCYMAYRNIFPIWALGQYQKLVFH >Et_4B_039398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13456458:13459602:1 gene:Et_4B_039398 transcript:Et_4B_039398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSALPRGFHAVLITSGQLRHLDPHLQVPPLLLVNTLISAFSRAALPRLAIPLLRRLLAGAHPLRPDAFTFPPLVGAAPSPASAAQLHSCALRLGLLHPNVFVSGSLVHAYLRFGRVAEAYTLFDEMPERDVAAWNAMVSGLCRNARATEAVALFGRMVGEGFTGNAVTVSSVLPMCVLLGDRLLALVMHVYAVKHGLDGELFVCNAMIDVYSKLGMLKEARRVFEAMALRDLVTWNSIISGYEQGGKVAAAVELFHGMRDSGVSPDVLTLVSLASAVAQCGDDSGAKSVHCYVMRRGWDVDDIIAGNAMVDMYAKLSKIDSAQRVFDSLPARDVVSWNTLITGYMQNGLANEAINTYNHMQRREGLKPIQGTFVSVLPAYSHLGALQGGMRMHALAVKTGLFLDVYVGTCLIDLYAKCGKLVEAMLLFENTDRRSTGPWNAIIAGLGVHGHGEKALSLFSQMQQEGIKPDHVTFVSLLASCSHAGLVDQGRSFFAMMQTMYGILPIAKHYACMVDMLGRAGQLDEAFEFIQDMPIKPDSAVWGALLGACRIYGNVEMGKVASQNLFELDPENVGYYVLMSNMYAKAGKWDGVDEVRSLVRRQNLQKTPGWSSIEVKKAVSVFYSGTQTEPHPQNEDIQRELQHLLAKMRSLGYVPDYSFVLQDVEEDEKEQILNNHSERLAIAYGIISTPSRTPLHIFKNLRVIQENWTSNFCEIEIYFCISLALLASLSMQRYAKAKKARSFGSTASSVLSFIYCSSTSSQHGLLGQES >Et_9B_064865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19379867:19382597:1 gene:Et_9B_064865 transcript:Et_9B_064865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFQRSRSPATPRSSSSSHKAPAPVVAQPPGVSAPGQTDTPRRRSSGSPAAPAGSGSKAGTFSRYFPRSSAQVQPARVTTPPEVGDLVRVVEELQERESRLRTELLEHKILKETVAIVPFLETELAAKSSELGRCRDAVSRLEAENARLRAELDAAVARARSGEQRVLEMEREMAELRKRRRREEGPADSSDECSSSVSSDNSERSNAASGVAKPPKIAAGLSVIPPPPPPPPPPMPASYKSSRSYFSGSSRASPANSSSSSSSSAPSTPTYSSDTAASRSRAPPELSKLPPIPAPPPPPPPPPPPPPAMPTCGRRSASSSPSTSSSGSGGAGPPAPPPPPPPAARRTSRASSPATSASPPASAPCVRRVPEVVEFYHSLMRRDSRSRDGTGGGDSGAGGGAAAARDMIGEIENRSSHLLAIKSDVERQGDFIRFLIKEVQVAAFADIEDVVTFVKWLDVELSRLVDERAVLKHFDWPEGKADALREAAFGYRDLKKIESEASSFCDDPRQPCSSALKKMQALFEK >Et_1B_011415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2208780:2209143:1 gene:Et_1B_011415 transcript:Et_1B_011415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYHGALLLIVTLAVLASGVCTATAATVDEFPPEYACAKILYPGECDRKACHDNCFDHYRGDGLCVRAGCQCRYKCKPPPGDDI >Et_6B_048772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13583601:13589082:1 gene:Et_6B_048772 transcript:Et_6B_048772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPETEMPSSSREMSAIDRISDLPDELLLQVMFYLTLQEAVQTCLLSQRWKNVWASLMWLSFDAAKFSSMKAFKKFVDNFLLYRNTLPAPVPLHAFWISAVCDNADDSLDYSDIHPWIRHALDSNAWALGILKHSGPRPLSIEGYPFPFTSVHLKILALCHFSVDDSFVKNLSSCCPLLDDLELTSCAINITIFFSTSLKSLAMTSTDEDRDFPKEFQYLVIYMPNLVSLRLEEIPRRNIYLLDVSSLETASIYLYSLSFENSNVDCNILSSLSNATSVELISASVFEDVRNPLPELAITPINYFCMPFETFPTCFQVVPKVLQRDLPRCVTFSSLKRLHLGEWFLSRGCYPLIYLLQRSPQIQKLSGAEDYGNRVAFPNADAEIDPREEAQPTFSCEKLRKIRIHCPPNDKRAQIIVRILSAHLNTDMPSSSGEMSATDGISDLPDDLLLHVMFFLTLQEAVQTCLLSRRWKNVWASLMWLSFDAAKFSSMKAFKKFVDNLLQYRNSLPEPVPLDAFWISAVCKNSNDSLDYSDIHPWIRHALDSNAWALGILKHRGRRPLSIEGYPFPFTSVHLKLLGLCHLKVDDGFVKNLSSCCPVLEDLELNSCAIHITLFYSTSLKSLTITSTKRARDFPKRFQYLTFSSLKRLHLGEWFLSRGCYPLIYLLQRSPEMQKLILQLDKARYTILSRIGAEDYGNHAAFPNADAEIDPREEAQPTFSCEKLRKIRIHCPPNDKRAQIIVRILSAHLSPLPSIKIKPI >Et_2B_021763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5265975:5272601:1 gene:Et_2B_021763 transcript:Et_2B_021763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAGVTVVLSKLHEVASTEVTALLNVDNQIRDLRRGLGYLQAEVRGADQQRRGRASELLLLWARETREVAFDVEDAVDELHLKVEAIQVKAKWGRTWYNSLFNLLNSFAMQIKETYNIQSNSSELWSVSSVEADVAWDIEGGSTEFRGAEFEGLEKQILNTGEPITHRAIISILGASGSGKTRLARKLYNDHEIRENFDVLAWICLPPRVRFEQYVDMIYEQIRPQVKEEEPGTATADSSPSQQQQTSEKLKELLKRPRYLVVLDGLVDTCNWNSLLVLLPGDNPASRILITTQMNAREVRQADPKTKPTVLNHLNLTQTTALFDERVFGAAGGLIRTWQFSREDDVDPARQYSQRVYNVTRGLPLAAIVLAGVLRGKEYPGEWEDVLAQGLEKSMGEAKAVRHLWLLAFEELPDHLKSCFLYLATASENVLLDPARMARLWIAEGFVAPRKGRTLEEVAAGYLKELVCRGLVELVEKDARGGRIKTVAVHSLLHSFVQAEAQESCFVEIHHHASVLLNPHAVRRLAVHNFVDSFVDIPDRFPKLRSLLCDFLEEEQDNNGGGGGRGLSSSVHQRPQTRRPPSGSSGNLAEWLLRACGGGSAADHGRPAADAKKLHQLSIIRSSRFLRVIDLYGLLLTRVPDEIGGIIHLRYIGIRNCKLQHLPPSISRLDNLQTLDIRKTRVSRVADESTACATSSPTRCSCPGAQTARLKHLQTLDGAVPTAAWSSPANGGCCCPLNHMIYLRSLTVCSVPDCGDVAGALLSALEKMEFLESLSLTGRLLPAGVFANPSSRRLETLALHGRLDAAPPRGPFISPNLGNLTLSESGSGNLTQDFVDEAAALPNLAEMEMLDGSYCAAELVFREGGFPSLTKLKLSNLAELKRMELAPGSVPELAVLTHRGCTQLKLYNNRQTTNFDGTRGAGGGAANLTFTSRKMDLSAEMVRSADDGFQATFQPTTMNNTGVGKAVSAEGTGGGGSNGNLIVTSREMDLGAVVMTKTIDDVAIATEPILKQESEASSEQEGMQWPSRVPTTMNTAGGGENVSAEGTSGGGGTANLAVTSREMDSGIVAMTISQKID >Et_2A_014490.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:15023460:15023966:-1 gene:Et_2A_014490 transcript:Et_2A_014490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGHDLPQAGAAVREVHQRGQQEPRRHGRLLLVGAQQLQHLRDAAAVLLDEPLPVAVHGHDGAQRLRGHLPRLGGLGVEDDAHQLRHEPGVAHRLHGGLLQRVVAAAQPVRRLERAVLQRRAGGGRRLRAPRRLGRLRRSCPKPVLMPMVLNEREREREREREREHA >Et_7B_054796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4495206:4498806:1 gene:Et_7B_054796 transcript:Et_7B_054796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGTVVYEGAVVGSSLVGLGWAGLWFLNRRLYKEYEERRVLVQILFGLVFAFSCNLFELVLFEILPVLSKHARFLNWHLDLFCLILLLIFVLPYYHCYLLLRNSGVRRQRAWLIAALFLLIFLYGFWRMGIHFPMPSPEKGFFTMPQLVSRIGVIGVSVMAVLSGFGAVNLPYSYLSLFIREIDETDIKTLERQLMQSMETCIAKKKKIILSQMEMERIQGSEEKLKARSFLKRIVGTVVRSVQEDQTEQDIKNLEAEVQALEELSKQLFLEIYELRQAKIAAAYSRTWRGHLQNLLGYALSVYCVYKMLKSLQSVVFKESGSVDPVTMTITIFLRHFDIGIDVALLSQIMGMYFISSILLIRKSLANEYRVIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLISAQYTTRQTDKHPID >Et_5B_045664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6452326:6454546:-1 gene:Et_5B_045664 transcript:Et_5B_045664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSRIGRGGAQQLSQSLSRAAASESGAAPGASALRNASGALTRGGPGQHVSPLHGLALAGLADRCAGRGVLPPQSCRGISTTAPRMHSPAATAAAAAEPSDGEEAADAKMPGLGKTKPGEKPRLVVLGTGWAACRLLKDVDTRAYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVVEPVSRIQSALATRPGSFFFLANCSGIDTRKHEVYCTTVSSEGLPSDPYNFKIAYDKLVIASGAEPLTFNIKGVKENAIFLREVSHAQEIRRKLITNLMLSENPGLPEEEKKRLLHCVVVGGGPTGVEFSGELSDFIMRDVRERYTHVKDDVKVTLIEANEILSSFDVSLRQYATNHLSKYGVNLVQGIVKEVTPTEITLTNGTRVPYGLLVWSTGVGPSEFVKSLGLPKSPGGRIGVDEWLRVPSAPDVYALGDCAGFLESTGKPVLPALAQVAEREGRYLARLLGKVAKQNGGKAHCAGNAELGEPFVYKHMGSMASVGRYKALVDLRENKDARGLSMAGFTSWLMWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDNTRIG >Et_1B_011520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23174141:23174687:1 gene:Et_1B_011520 transcript:Et_1B_011520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACCRVRLQAQSALAAATAAPAGVGGAAAAPAGAAVKYGGPIDVARHVLRSEGGARGLFKGLVPTLAREVPGNAIMLGVYEAAKQAMAGGPDTSRLGRGPLVVAGGRRCGSRCTRPTWSKASVVQVDDYRNPRYAGVPGRILAADGVTGLYKAAMAPTVPANAATFLAYEMTRSALG >Et_8B_059778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2726464:2731842:1 gene:Et_8B_059778 transcript:Et_8B_059778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPCLRRRNSPPPFAAPVTSALRLADPGPPGLLAGFRHQPCLLRYGRPPAPLLRALLSSSPQIGYGLSRCRDPNPLVAWLDASSCVVLVDHPLDPPDSPNSHSFSRLDLQVDNRSQSAGKRARTDGSRREDDWVCPSCNNVNFAFRTTCNMRNCNQSRPADRTKPMQTPPHYAPSGGYMGPGTPPSMYLSGGAPPYGSPLFNGPAMHRYGIPQFPGGSAYPYGYGGRMPMGSPYGPMHMTGPSPYTGGSMMGAGAMYGMPMDRYGLAIPAGPGAMGTRAGSYTEEASQKKPAGAGRDNDWECPNCQNINFAFRTVCNMRKCNTPRPENQGSKPDGSRGAKPKMPEGSWKCEQCNNINYPFRTKCNRPQCGAAKPSQTNNTNDSGTDQGNQLLSELQLPHEFQVNNDQTQMDLSGHAAGVATSSHSLRMAALSELQNNLIN >Et_8B_059764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2512320:2515440:1 gene:Et_8B_059764 transcript:Et_8B_059764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRGRRRLGPWRLPAAALRGLARLAPPAPADADPVVVRVDRNNVARLGAPKPGPRPRQLLSLPPFPGGVDPLPGRKVAPRRVTAVSWVKHYFADVPQEVVQAHFNKRMVFYECSDNEVSAESIQTQKHHLKKIKHNDVMRPGMRIHLPVSVAEGEIKKRYETIPTATLHPNKDEIEYLRRLVMHRDSAILVLNKPPKVPMKGHLPVHNNMDVLAAAALSYGNEEGPKLVHRLDRESSGLILMGRTRESVTRLHWLFTSLNLAKTTSQTWNRACEAYMQRYWALVIGTPKEREGVISAPISKVLLDDGKAERVILAHPSGIDGAQEAVTEYRVLGPTINGCSWLELQPLTGRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQNPQPDFEPFTGEPYKLRRPEGLEVQKGSVLSKVPLLHLHCREMVIPNVAKFLSNGEWHNDGAPWSKDKPSLLRFIAPMPPHMKISWNVMSSFLDHAANSIDNRETLMA >Et_4A_032683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12496606:12500618:-1 gene:Et_4A_032683 transcript:Et_4A_032683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRFYSVGDTQPCRFFGLTEFEMFMLCHPFCRFPELGAKSWHRTIVIHIAEDCSSHLQSAYTLKNSKGPAFPLRSILIFFIALFGFYVCYFSFNQITFENQEKLTTEDEQIEIPCRTLAIPHDQLPYVHFPKPVTYDRGQCACTPVRFFVLISMQRSGSGWFETLLNSHPNVSSNGEIFSVKDRRENISSILRTLDKLYNMDWLTSAAKNECTAAFGLKWMLNQGLMKHHHDIVKYLNKKGAMAIFFFRRNTLRRLISVVANNYDRKTKQLNGIHKSHVHSKQEAEILARFKPKMDISTLIPSIRSAEQSMRTSLSRFSNTRHVILYYEDVIRDQNALSRVQEFLGVPVKKLVSKHVKIHTSPLPDLIDNWEDVSEMLNGTEYARFLDDADYVKLDGFRRPSMDDVVAHMHTAACMWIATALKF >Et_2A_015713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17103160:17108006:-1 gene:Et_2A_015713 transcript:Et_2A_015713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTMEEGRIAGYFKNKSVLITGSTGFLGKILVEKILRVQPDVKRIYLPVRAADAASAKERVETEVIGKELFVLLRETHGKGFQSFIQDKIVPLAGDIIYENFGVEGSQLLEMTQELNIIVNGAATTNFYERYDVALDVNVMGVKHMCQLAKKCPNLEVILHVSTAYVVGEQQGLVSERPFRNGETLREGMHLDIDAELQLARDCKRQLVEDDREKNERKAMKELGLARAREFGWPNTYVFTKALGEMTLGQLRGDIPAVIVRPSIITSIQKEPLPGWIEGTRTIDAFLIGYAKQNLPCFLADLDLTMDVIPGDMVVNAMMAAAAAHASEKQQQQPETVYHATSSLRNPAPYAVLYRTGLRYFFEHPRVGKNGQPVRTRKIHFFSTIAAFQLYMVVKYKMPLEMLHLLNLLCFGLFSQLYNDLNRKYKFVMQLVDLYGPFALFKGCFDDVNMEKLRLALAGEDRVTFNFDPKSVDWDDYFYRIHIPGVMKYVLK >Et_1A_004844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11826256:11827524:1 gene:Et_1A_004844 transcript:Et_1A_004844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPVTLATGITYDRASIERWLFSATCPVTRRPLAPEEREPTPNHTLRRLIQAWCAAHQVERFPTPRPPLDASRVAALLDRGRRGDGEAAALREMKAVVAESDRNRRCVEATPGAVEFLASVVAKHCPPSTTSSSAAASTKWWPRQEAADDDLLAALDSPTPSEKSLARIIDTRGDFLHTLAAALRRPSYRSRTYAILLLKSLTATMAPARLSTASAELVQEVVRVVADRVSSKAVRAALHVLCRLCPWGRNRVKAVEAGAVSALVELLLDEGGRRASELAVVAVDHLCGCAEGRSELVAHPAGLAVVSKKAARVSPAATESAVRALHAVARHSPTPAVLQEMLAVGVVAKLLLVLQVDAGERARVRAREMLRAHARVWKDAPCLQDYLKASYPC >Et_3A_026983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28726126:28730700:1 gene:Et_3A_026983 transcript:Et_3A_026983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRTILMGRYEIGKQLGQGTFAKVFYARNLTTNQAVAIKMINKDKVMKVGLMEQIKREISIMRLVKHPNVLQLFEVMASKSKIYFVLEYAKGGELFNKIAKGGKLSEDSARRYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADVWSCGVILFVLVAGYLPFHDTNLIEMYRKISRAEFRCPRGFSTELKDLLYKILDPDPGTRISISRIKRSVWYRKPIEIHAKKSDAETTEKTLAGEATTSGSAECSSSEGNQGLLSLPNLNAFDIISLSTGFDLSGFFDDMYARREERFTTRQPATTVFTKLKELARRLKLKVKKKENGTLKLAAPKEGKKGILELDAEIFEVAPSLLLVELKKSSGDTMEYQKLVKEEIRPALKDIVWVWQGDQHLHSQATMQGQQPQSAFSPQQAQDQLQPSLPQQEHQGLVEAQLPAQEPLDHLQPLIALDQPEHPSRSCCESTSKETFCVSTSKETFSDHGDSQVIKLPGLTTPSSGVHSHLYQLLWTVNSMFSISQTSMDREMELPLRVANSLLISGTETRSSHLGNGSAGAIAATDLEKSESDRIKTFCAAVLSAIMVFITAALSNYKDMVPLYSTTSHTKAHLSNLLVAEGLFVFMTFMCGVVLVMFEIFVSQHGRQCRAWYRFLTALIAVTGMMLILADTVLVIITNKNSKVLAGIFAPVIVLVSVLTSAGAWMEEQPRSTPGSSSTPGSSSTPGSSSTPDSSSRYDGTMKGAFDMAFIGTIVSFTLQGAVVFGYLKNPGVHQGKHDPPLDLAVCYATSTLSLIVMMVCAMPLRLLPSSMLDALIMIVEKFRHAVLMALLMMALVVAVEFLDGFVVLSFIADAIAVVLYYAVKFFSPCQPCEETSQHDSTLRMVTTGGFTLMTGLYAASVGIDHYSVYLKAAMFILLLTVQSSLSRLAIRFHIPEMGGAADICIASVTLVFPLVAFLVACPLVLKVFFDLYLDRVGLS >Et_1A_008538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8529147:8530353:-1 gene:Et_1A_008538 transcript:Et_1A_008538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGMELKGCVCRIKNCAVELLSMEEDLVIDLDDDESWDLARKDLKLKAAFLYIDLSRVISRSEGEERREALTLLANEFFYFMDELGDAVASRSVSVVKVCYGDAVQALRDVVAAVAPPAAV >Et_8B_059710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20894303:20900576:-1 gene:Et_8B_059710 transcript:Et_8B_059710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMTTTRSYIDMFGAGTDTSYIVMEFAMAELVRNPHAMATLQAEVRTNTPKGQEMVMEENLGNMTYLKAVIKETLRDPRSWDRADEFMPERFLITGSAFGVDFKGNDFQFTPFGAGRRICPGLNFGLATVEIMLANLIYCFDWDLPTGMAKEDIDMTEEFGLTLRRKDKLYLVPKPVDTTPLLLLLVPLVVMLLLLHLAFRRKQLKQGSKRKISTLPPSPPGLPVIGHLHLIGDRAHVSLRDLAGVHGGLMLLRLGGVRKLVVSSPRAAKAILRTHDHVFASRPTSTVSDALLYGSSDIGFCPYGEHWRQLKKLVTTHLFTVKKVRSSSYRRARQQEVHLVMAKIREAASAGMAVDMSEMMNAFANDIVCRAVSGKFFRAEGRNKLFRELIEMNTRLFGGFSLEDYFPGLANSLGKFTSWSIQRNKARDCHKRWDDLLEKIITDHETRNSSNNNHGAEQEETSDFIDVLLSVQQEYSITRDHIKAILMVEVRNNTVPKGHETVAEEDLGTMGYLRAVIKETLRLHPPAPLLLPHLSMADCEVDGYTIPSGTQIIVNAWAIGRDPESWEKPEEFMPERFMSGGSAAGIDLRGKDFEFLPFGAGRRICPGLNFGLATVEIMLANLTYCFDWGLPAGMDHKDIDLTEVFGLTVHPKDKLILVPRPRPTTVGHSAHHTS >Et_5A_040731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11608009:11610274:-1 gene:Et_5A_040731 transcript:Et_5A_040731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMIATAALPAAASSVAALLADGGGVPADELRRLERKLFASRGLAADAEAKEGRDAGARAWLRDLRDALYDFGDAVDDSRRAAAEGRRSAFRKH >Et_9A_062981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7861817:7863833:-1 gene:Et_9A_062981 transcript:Et_9A_062981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSLRVITGIIGCAVCMLLYTAPILTFKRVIKEASVGEFSCIPYILTLFSSLTYMWYSFPVVSSEWENVTLAIINTIGVLFEISFISIYLWYAPREKKKLVVLMVSSILAIFGVTVLLSSIMIHTHHIRKLFVGSIGMVAAMSMYSSPLVAVKQVLRTKSVEFMPFNLSLFSFLTSLIWLLYGILGRDPYIMSPNCVGCIMGILQLVVYCIYSRCKEAPKSTNDTEKAKDMGRSLS >Et_9B_064901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19707149:19709509:1 gene:Et_9B_064901 transcript:Et_9B_064901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAAAAAAARETASLPLSMIVVQVLIVGMILLSKVALNAGTHPMVLLFYRNLIAVAVVAPAAVVFEREMLKKVNWVVLGWIFANATFGVVMAMGLYFYGLRATSAAYSAIFLNLVPIVTFGIAVLLRTEKLALGNWPGRMKLVGALLCVGGTMVVILLKGHPLHLWPTGFFRGSNSQAHASHPGAHHDMVAGTFFLCGSCAGYSLWLILQSRIAMIFPAKYSTTMLTCLVGSIETFLVGICLSRTGWKLGWNLQLLTGVFNTGVTFVLISWAISRRGPIYPAMFNSLSLVVATVLDSVLLGTSIYVESALGTLLIVLGLYAYLWGKGTEMKLAAVGEVATAA >Et_5A_040152.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:16150592:16152576:1 gene:Et_5A_040152 transcript:Et_5A_040152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGILSDNCKLCSFIKDGYKTDGSLDAPLDWVPGMKWMRLRDMPTFCRTTNAQDWLVNFHVHQMEVAASAKAVVLNTFHDIEKDVLDALAPLLPPIYTVGPLATMVAALPPASGGGALGAGDGSILQEDAGCVAWLDGKPARSVVYTSFGSHASMGGTDVKKFAAGLARCGSPYLWVLRPDMAADVEIAEEGLVVPWCAQEAVLGHPAVGLFLTHCGWNSILESVVAGVPVLGCPVVSEQTTNCRQVAAAWGIGAELPQGAGSDEIAALVREMMAGEKGKQAREKVVGWKRLAEEATKQGGSSYGNMDRFVDDMLLKGF >Et_3A_026498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8830984:8831626:1 gene:Et_3A_026498 transcript:Et_3A_026498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DKNKLKVDSDFVWDDCERNKDAKGYRHKVVKFWDLLSVAYNKDQANEEAARTAAESSKEMAKEIGTSLKTKERKAI >Et_10A_001276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22963369:22964003:1 gene:Et_10A_001276 transcript:Et_10A_001276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKEKKAAALEEKLQLLRSATKSDAGNKTSILVDASKYIRELKDKVDEAAAAASQADSSSGTNTSMQVNVSSVDLDSSGRGFRINVSMERSRPGLLVSVLEAFEELGLDVLDADVSCADDSAFRLQALGSGQGETVDEQMVRQAVLQAISKCINDE >Et_8A_058021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1459122:1465845:-1 gene:Et_8A_058021 transcript:Et_8A_058021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSSHGASSSSTVKPADDPESTIEINIKTLDSQVHKLRVQKNVPVSALKEKIVEATGVPLDQQRLIFRGRVLKDDHLLSEYRILCACASCIECIKHIWKTDLHCIWSLGVQLKGNLLPGLLKETPMLMSVRDLLGSLGVAMSGGVTSTAFSVPLTTAPEGANNAPGGTQPVNPAQPGFSGTPPSRDSEGQQRPVADDANLNPRFPSPEVLAQVIERTQQLLGGSAASALSHIAQRIRSDAGSADASIRREIQTESVQLGLAMQHMGAMLFELGRTMMMLRMGQSPPEAFVNSGPAVYINSTGPNPIMVQPSFQNTPPFGVSNIPVMGGVSGAFGIVNPSRSSGFVDPFRGAPAASGSSVATTTSPDGAVNGDRQGAVRTQGGNPSSNSSTTRGLPTRTVVAAIPARSSAEVPNHVLSVLLPVQVRSNAVVSNQSTSSQGSQNAVGNGSQQNSTSAAPQASVGAAAGVPSAAQINALIANALAANAPSQVPPSGQNTADHGSQQNSTSAAPQASVGGAAIVPSAAEINALIANALAANAPSQVPPSGQNTADQGSRPTTDSRDSSSTSTTTQLHSEPSVSTSGQALLHVQTHATEPGTRGSNLTSPASNTVNVPSVDSIQQHSLMHGDNVRLSCEELATSNLSRDLTATSTHDVPSSISAENSGLENESADGVNSQSHEPSASGSSQPLGLGGGLIPKRRSRTAKLSGSTTDPGMDSAPVSQNKDAVSVAQQFLQGFAAQNTNATRSNTNSAPPSSTPQPARVPLRRQGGEGQPDIGSMISGMLNNPVFGNLLSNVATQAGGSRADLRSVMEGLQSPAIVDTISNIVQNVDEQELGSMFGSGRGQGGIDLSRMMQQMMPVVSQVLGGAGARPTGANSAEFRSQPQLNDRGEGNDRISSQIDLQQARELIEQHESPEDIFSAVLETAAQAYGEDDDIQGMIEELVSDPELTNGYLELLVEQVRQRMQSELQSRGQS >Et_3B_029154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22561877:22566862:1 gene:Et_3B_029154 transcript:Et_3B_029154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAAPCPARLLLASPRSPPALLRPRHAGRRSVGLVRRGGSARLRLVPCWSADEEKVVEAAAEDPAPGRDLVTLAACLVGLLTGVSVVIFNLSVHEIRDIFWDGIPLRGATWLREEPIGEVWQRVILVPVSGGIIVGGLNALRNSIKTSSSDPESRIKSAFRPFLKAVAASFTLGTGNSLGPEGPSVEIGSAIAKGFGNVFEWEGGKKLSLVAAGSAAGISSGFNAAVAGCFFAVESVLWPSSTDSSSLANSTPMVILSAVIASVVSEIGLGSDPAFTVPEYDFRSPTELPLYLLLGVFCGLVSIALSRCTSLAMETVGSLQKAGLPKAASPALGGLIVGLLALMYPEVLYWGFENVDVLLESRPFTSGLTATILVQLIGVKILATSLCRAFGLVGGYYAPSLFIGAATGMAYGKFMRFTFTGPEPLLHIPFLDVASPQAYGLVGMAATLAGVCKVPLTSVLLLFELTQDYRIVLPLLGAVGLSSWIASPQRFSKNTRNNLNSQKEKSSIAQKGENVPSQNEQVSSMDTADSSKELCEIESSLCVYDVKDENMLGNLTVAEAMKTNYFSVSVATPLVEALDLMLAQKQSFVVITESDTTLIGLLELKDIQDFCRSAKTIRVQAEVHELLVSHVCQAGKCKWWPVTPQMPLAIAEKTMDSRGVDHLPVVSEHANCQDRGLLIGFLDRECITIARRALATKELFSVISEIRKEER >Et_5B_044181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21064280:21067832:-1 gene:Et_5B_044181 transcript:Et_5B_044181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSPQLDDPPPPADQSRAAPAAANAQPNPVPVKPKMIIKGVLGRYERWNPVHPTAGAFWGMGLGLGCGVGWGPGFGPEVIGYVGAGCGVGFSVGFTIAGVGIGLPQHVLVKNYDNSGFPGNVSLESARSYTMTIIRGLVGDAISYASQVGAVRKGVSKFQDSSQLICPSWGRGASNSIQSTVACHGLQRSALASLKDIKELKAMPTAK >Et_4B_037699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22683673:22684320:-1 gene:Et_4B_037699 transcript:Et_4B_037699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSSKHNSIHATPGIERSVLRHRTSSSMYLSWHFLSATTSCIFLAFAANVLLPPSSSHMTARTARAMPSRSNDPSVSSLGTFTPIPRASSIRAFGHWSPYTGSMTMGWPHRSPSMVELHPQCVMNAPTAPRARMLVWGTQPVTTSPPRPRARASKPGGSTSASTGLTPLGFRSAQRKRVPASSSPSAISCSCATLSGSSLPKAT >Et_2A_018797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7771228:7772712:-1 gene:Et_2A_018797 transcript:Et_2A_018797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAVAAGALAAVVTEDAANASSRGGSSQRAWQGPSSRGASPRRGRQWTPSTSCNAAEEEAGWRRDTSVQVVVDAQDSDARSSMPCRCLGKHSGGRQSGVRRIASKMMFDCHCDMSIGDDVHVRLPIDGHDIVLCSNNDSYVNMMSDDVGIDDIDFTQEDEEPVKRTRSRTFNYSTDEDEALVLAWQSICLDPITGNDQEGSTYWDHIADQYHVLVSNNSIRTRKSLQQRWVSIQACCNRWAGCMESLLKDNEKWRNRHNEPMMKRQKSCNSSSPDNDDDFYEDDEEEDTRRSPTPSSRPPGRKQEKEKAKKTAEGDVYKEVINEMIVTKKELEAEKKEEKRVRWLELKAIEDEKLRIKKQGQDDNIMFTDTSNLDDQQKAYVEHRRSGIWSAIMAANMGNDFNFGGDGTM >Et_7B_054570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2473555:2477690:1 gene:Et_7B_054570 transcript:Et_7B_054570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGEASAPAADRIRVVGAWAGALEVDLDGWTVPMLRAEVARRAGDVEPDRVGLIFGGRVLKDEPPASLRQAGLKGNAKLLTTLTSPDRAREIAAEAAKAKAEEEHAKRLVRLWDAAKSLSQRHSDGSYLEYEDYNLDLEDQSGQKVMFGSVDDMKALKMALMLHQKGKTLMMKQMFKEALDVLVMAEEAFSLCDAKLIEKVDNVPMLQLDIVWCYFMLRDISRLEVAGTRLEKARSGLESSHGKDSTRFRLLQAGRQADLAIYVRLELLEGVVAYHNGHSEKAHGSLTSAQAKYLQLQVPDEAIAMLTEMGYSTKSAKRALKMTGYDIQSSVDLLCEEREKKTRRKEEDLRTQSEIMEQKTYGRTPMNKAVDMQKLRNLTTVGFEKYLAAEALRINENDAEKALDILTNPEQNCILQVRGLGSRPSSSRASAARNAQAVNNSQALVNALPHSGDGNPPEGNDAVEGIPQEGNDAVHGNPPEGNEEQFVNNAEAMHEDVNEDEPMSDEADVTEVANLNPVAVKDAAIEKELANALTGDAFDDYDIDVSNEGQAIAEYLSLLESAATS >Et_3A_026458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8307385:8309786:1 gene:Et_3A_026458 transcript:Et_3A_026458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRRGGAADEVKLNTGNVFAALESLKKKKKGDKGKSGASSSRKKHGDASQQQEPAQKEVFWAPAPLTTKSWADVEDDDDDDYFATTAPPRPVWGDGHTDEPGKDEQDVEDAVRAALQEELKKKELAELDAVLAELGLSGNSSNAAEDADKKSASQTVDGEKKDDAPAPSESKSSKKKKNKKDKSSKEAKETQEAADGTEENASAEPEEDTSSVDMKERLKKMASMKKKKSSKETDTAAKIAAAEAAARSARLAAAKKKEKSHYNQQPV >Et_3B_027809.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20335065:20335235:-1 gene:Et_3B_027809 transcript:Et_3B_027809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSSETMYLVQDGGIASFGAVYAAPCDGCCGSRVRRAETDSDDDDDDFDCAPAA >Et_3B_030566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:553382:557811:1 gene:Et_3B_030566 transcript:Et_3B_030566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTTMAHGDVLTRSGYEGLIPSKGITYRLTSSNKRLKAFKGGEEPLPSGSDATVGFALCCSSGPDQDVSAFLSEESLSKMARSAVTISLSNGPIAVYTCSGVAVQRELSIMRLLTSANLAVTFLEKRKAGCGVMIQVRDAFNNVTEGYVEEYDLDRGTAFVKVALCLDVCVAHLRNGMEIQPNSHLVAIQLIDSGASSPLRRVLTKDSSASEVGNLFCEFSKLGDGTPLFDGDGNFVSMNLSSGRHADMSIVIEKLEELERMESLRVQRSLNQSRTSRVHINPRKVRRRDGKHYNMTPDAIELTCQDLSEAGLNSLGYPERPDIDVDGSMVLVNTFEETFGDPYDSGKGAWSRLSKPVAEDLSQSVVSLASFTGIIINWSGCTTILTSASLVRNPLDEKKIIENLRIEVLLPNKRRTEGTLEHYNLHYNVAIVSFQGFGDLCATNILDPMGHYSSCFVVAVGRCFESSMLMATKGGLTGWPSRFDCNAITYSTCKITKAGIGGPLVDPKGKFVGMNFYDWKEGTPYLPQRVVVRVLAQFENNGIVDEADEFDGPCLSRYGVDRHPQNDKKEKFVWTIANINANSHVQQHCNDGLD >Et_9B_063926.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21865428:21865433:-1 gene:Et_9B_063926 transcript:Et_9B_063926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding M >Et_2B_021648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:477035:483320:1 gene:Et_2B_021648 transcript:Et_2B_021648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPPHPAAVSITCTCSSSYEDDHAAASSWSLSSPRHRPYRRLLHDEAQRLRRARRSQGPGADTPRWVRRTEDQMARYVADDRAGHVHGRHVVAAVRAVRATASRPAADMRQAMASFVAKLTFREMCVVLREQRGWRQARDFFAWMKLQLCYEPSVVAYTILLRLYGRVGKIKLAEEMFLEMLEAGCEPDAVACGTLLCAYARWGRHKDMMLFYSAVRRRDIVPPVSVFNYMISSLQKQKLHAKVINVWKQMREANVAPNQFTYTVVISSYAKEGLLEEAIDAFGEMKRRRFVPEEATYSLLTSLSSKHGKGEQALQLFGEMRDQGIVPSNYTCASILALYYKNEDYPKALSLFSEMEQYKITPDEVIYGILIRIYGKLGLYEDAAHTFEEIEKAGLLSDEQTYVAMAQVHMNARYYDKALEVLESMRMRNVKPSNFSYSALLRCYVAMDDIVSAEDTFRVLSTCGLPDVFCCNDLLRLYLRLGHLEKARALILKMREEDFQLDEDLCMTVMEFYCKSSMIDDAEKLFKEIQRNGKTMKIATMISLIEMYARNRISMFLKEESLPKALDSTDSSSANIVLKFLLDMPEGLSSACQLISKLAREGDTDEAKFLYEQLTALGTKPDDSALATLIVQYGQNQQLEQAQELFESESVSSSVGSHVYNAMVDALCKCGKTDEAYNLFMEMADQGYNRDAVTVSILVSHLSKHGKFQEAENIVHGCFRDEVQLDTVVYNTFIKLMLESGKLHSAVSIYERMVSSGISPSMQTFNIMISVYGKGGKLDKAIEIFAAAQELGLPIDEKSYTNMLSLYGKYGRHREASLLFDRMKEDGIKPGKISFNSMINAYATSGLHSEARTVFQEMQDCGHAPDSLSYLALIRAYTEGKRYKEAEEAIEMMLGGSNVTPSCPHYSQLIFSFLKEGHISEAQRMYNQMKEAGVAPDLACCRTMMRVYLEHGLVDEGISLFETTRGLLKPDSFMLSAAFHLYEHEGREDEAGEVLDAITLHGTTFLRNLKVGSKVRSKLRGEQAAK >Et_7A_051660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22029900:22030155:1 gene:Et_7A_051660 transcript:Et_7A_051660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTINYVKHIATSEKERSPGRFDRNNTEITETGPEQLHNSPRNSHFLEFSCGNPPVTSNTSQQVKSSDPLVVLTETTQK >Et_4B_038045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25761642:25769696:1 gene:Et_4B_038045 transcript:Et_4B_038045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPDRAALTVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDRRTMELVAVKYIERGEKQVCHRDLKLENTLLDGSDAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMVVGAYPFEDPEEPKNFRKTIQRILNVQYSIPDNVNISPECRHLISRIFVGDPAMRITIPEIRNHSWFLKNLPADLMDDDSMSNQYEEPDQPMQTMDQIMQILTEATIPPACSRSINVLADGLDMDLDDDMDDLESDSDLDVDSSGEIVYAM >Et_6B_049047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17000721:17006113:1 gene:Et_6B_049047 transcript:Et_6B_049047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLGSSTTGSGSNTPASEMGDGSRPPTEAFASAGGNSTSDGNGSVTITPLYELLGYPDDDSFFEALRKVDKKISSFPKRLRPVAAVDSVKKFLFLCGAWPQYMRVRGFIVPEIFISLVCHNALRCTRAMLERTAPELRGYKLDIDARHQYGFAPLHVAAEAFNVDMVQLLLRHDASGNVRTKGTNVIEGLLPLHVAVENATMHKYLEDHWAYGDPVENLIILLCLPEMKMFLDTTGLIAKHTDNIVDEIWRYIEKEMLVPASILLLAAQKQLRGRSVNTSSGKVFKSGFDDVISRTVSALDALYREELAMIKEGKNGRALKLLKHKTKVLLTVNAIVANVNVAGEDLEKYIQTNSEVPHEEIVGHVSSILNTNGIVPYGKGIATGNLNCYQYPWQKSIDKPRPINEADKSSSLKAECSKRVKASLNGASMDYARNKFFPFWKSVLSSRLQIRMAPPCQPSNKDTRSTEPSKDTASTEQSSEKPGHAVPRGLAKDLGLFLRPRSPRDYQSKRSYCTLASMTLKALRRA >Et_3A_023960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15235013:15238168:1 gene:Et_3A_023960 transcript:Et_3A_023960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSTAEEAEAALGRAMTWAEATWFSYSAAMPDYWLYCHTTVIVFVVYTLAPLPLLLLETFAPAAVLPYKLQPRVMLPPAVSLRCYTETACFFVVAVPLQIAFYPAVDKVYKMMGTRMGLPLPSVSEVAVQLVVYSLVEDYLAYWIHRLLHTKWGYEKIHRVHHEFTAPTGFAMSYSHWAENLVLFVPALVGPSIVPCHITTHWLWFAIRLIEGINTHSGYKFPLGPTKLIPFYGGAEYHDYHHFVGGRSQSNFAPLFTYCDYIYGTDKGYRYHKSRLAK >Et_2B_022010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:885942:888022:1 gene:Et_2B_022010 transcript:Et_2B_022010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRAKAALQRALAEWEDIARISREWRSRSLEELLPDLSRDEQLRLQDRIRERDRVLRRRNKEFPPSSPSSSSSSSNSNSNSSSAYEIRRDSAMMHLVLVALHHYNARHPGGEFDPVKPLMQSRVGFRGHIWFHINFWACSRTNSKMIKRFFAEIHHMSHSTYTDKCQDPQKPLALIPVVEICTIIEEPLCKYKSSCGFCPADFEILHPKGCRKFVCGNDKDRIGQRLEKDWPQGCQHPFDLIGPSRKGQRR >Et_2A_017776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6168883:6170269:1 gene:Et_2A_017776 transcript:Et_2A_017776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FRRTDADLVNRVADCFLDTNDLDCYSDFRAVCRAWRGATADPRFRMRRWVVLDEQRDFSNGDDNDTRLFVNSVTGRFVRVSLPLLRNHHFVTSTAGGYLVLAERNPPHAARVLNPFTGTMTRFTAPVPREARLAADVAGSSFTLVLASLLTKEVYWADPQSESFSSQTYDRHPTSRLPIIARKCVGSAQEQGRSQASANLGSGPPLPCEKIHRSSSIFRFSWSLCMVVRSDNDQNPLAEYIAMNITCPKFTTELMPGRYCSWPLLWSQAGSATAQEQLDLGLADAEPTYTLFCPLNHRFRYFVLDSASFGGEMLVVLKLRRRMVILPVDSPGREMKPVKSIGSYALFLGDRCVAVDADRFPSIEENSLYYQQVGTDDSGKQCSFIYVYDLGKDEEERVSEARNTDVVLDGIMGPCTIVQLLSGYTMSIPRSRVPYATFKPETFDAYDRAQSEYEF >Et_4A_033899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27865917:27869946:1 gene:Et_4A_033899 transcript:Et_4A_033899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVVEGESFSPSCPTLIMPALSIGNVGQLAVDLLISSARARRVAYLDEPSVLPCAGNDAFGPDAVGDLALALEAYESASHGLAFVQQRSPVVTGMMVSFAKNVANFASSIGKDHIVILSSLDSGKRRIIDATSGMQVYYLSSCNEDGSDPEYEKFGWKKLDGYDPCQHQWSCLASLVEGGKLSEDMVGDTDEMTINDYYASLPFAALFSACKAKGLKVSCVLCYCSEGDNMPESFQLAEAEMSQMDGLFPCHGNQFTDHHLICLFSSSELGSGGVAIMENTEFRLMDVNFDELVYQNDPG >Et_9A_061146.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:18839527:18839784:-1 gene:Et_9A_061146 transcript:Et_9A_061146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTCQAQAPAMPAVAVRAAATAGNGDGRVPAGALLPPPRRRGQIKEKIVKDVVAAVAAMAAGLVKNGRAGGGGGVPVPADKDE >Et_9A_061172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20196922:20197512:1 gene:Et_9A_061172 transcript:Et_9A_061172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAGVRTLSAREEELLGLLAGFPDDGEGGSSRELSFSDLVDAGFRPTSAHRDATSAPAAARDDQGSAVSPGKQEAAAAAREKRQRRQRSGGGGGSNRGSCGGGGDGVLLNFYVPGLLTRSMTAPRPGRGALPHGARHDAPAKAAAVAAGKARLVAKRSTTFFKKKKKKKERSTTTWFESYFSSW >Et_3A_025962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34244624:34245366:-1 gene:Et_3A_025962 transcript:Et_3A_025962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLLNILVVRQLKENRKATSLDSDKMEILHEARYGACATARDFEIYAPNAKYDDPLMRAHGYVRGEADQISLLYTSQGTTVQPKHQFAARRAAMLLTHAIMGCGKDPFWGVLSLPMSLAWRRWSPMLGDGDAHGNEYTGQGRNQKAAEQQFRTG >Et_7B_055605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16652150:16653663:1 gene:Et_7B_055605 transcript:Et_7B_055605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPPAYSPTFASRSIPLLIFLSFLVAVLLYLAAFPNNLKLQEAFASSCSNNDATPASTPAEQEPVDLRVFLGVITRPDLYERRAHLRLAYSLQPRPVRAVVDVRFVFCNLDREEDRVLVAMEAVAHGDVVVLSNCTENMNDGKTYEYFSTIPRMFADEPYDYIGKTDDDAYYRLAALADTLRDKPRDSLYHGMLLPCHASLERQFMAGFGYVVSWDIAEWISAHEELRDDRGPEDIVFGQWVRRAGKFRNVYGEQPRMYDYWDRETRVNYTTCFRHRLTADTVAVHKVKTRLQWARLLNFFNATQGLKPSKIKQVLT >Et_7A_051561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20362879:20364086:-1 gene:Et_7A_051561 transcript:Et_7A_051561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLDLSVILKIFLFSSVLLIAPFFYLQVISKRKRNIHLPPSPLKLPIVGHLDLMVREPHRALQKLAQRIGPVIHLQLGGVLAVVVSSPEAAKDVLKTLYVSTFYSYTSTNNAPPLLHFRSTNADVQLSRHCFLALQQNLEREAQALRFRAGNKCVQSFATVLESEVEQLIQSLSHSPLEPINLNAKIFALIDGFIGTIAFGRINVTRFSNTRSFNKSSVRLWLFSLPFPPRISSLVHQLAGGSIS >Et_10B_003136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1746101:1754452:1 gene:Et_10B_003136 transcript:Et_10B_003136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIEGEEAGVDGRRRCGYELDRRWRRRLLQVPVVVVADDGWWVEQAGAAEEDAAVVGDDAAPSLAGGRGADEEDGGVETEEDTLEQVVRDVVHARRRHPDVSDDLLKLILLRLDSPICLIRAASTCKRWRGVVVAGDGGGDFLRRARSLHQPTIVGHYHTPVHWISPIKFIPTSPQVSIADNWFSLSRFVPLGDTSQWKVTNVHANLVLLFRRRYPLDLIVCDPLRHRFQRASCARGQRYSCIVKDAFLIDGEDGNISTSNFRVLCRFYNGRRTRLLSYTNGSEEWRLVSVASGVNHDMFAGRVDGSLYMGSPSGGMIVLDKASLEVSVVDLPSRVRTELVIGISKFRVVHCPGDDDDLTLPLAPRILHARGERRRRKVKTEVTDAVPATCAVDDVPDDLLERILLRLDSPIWLIRAASTCKRWRGIIATDGGAFLRRVRSLHPPTIVGHYHHREHKPTSIDFVPTSPPVLPDTGRFSLDFLRPLGRSRCQVKDCHGGLVLQNIWPSFLVVCDPLTRRYRKINITRDQTTGNLFLLDGEDGTISMSNFRVFYRFFGEPRSWVFSTADEDERGVGWRPVPPSKDDPKTYVTFLGRIDGSLYMGMWRGSVMALDNASLEFNKVDLPSRMNASARARGGSGEWVLEHSIHRLSEAFGRLPGYTAEKYDWVPKVVCGGVGFVVLLVSDRGKWRWPFSVCLDTMEVKVAPECHCYRGSKDASIYTLPWPPVMKACIGRKSQR >Et_3B_029576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26104262:26106501:1 gene:Et_3B_029576 transcript:Et_3B_029576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPELEAAEGRGIRSVLTMGSLVSASGNEVHFAEIAGKIIGLYFAANWYPKCEAFTPVLAAAYRNLKERGAGFEVVLVSCDEDRPSFERFHRTMPWPAVPFRDLQCKKRLSERFQVEGIPRLVVLAPDGEVIHADAADLVHRYGERAFPFTASRVAELKADDERKYASQTLEKLFSIDGRGYVNGGKEQVPISSLVGKTVGLYFSAHRCAPCIKFTAKLAAIYNNLKGKAEDFEIVYIPMDKEEDGYLRSCSDMPWLALPYDGAPSRELARYFNVQEIPTLVVVGPDGKTVTRDGRNLVNLYFDMAFPFTEEQIRLLQEMEDEEAKGYPQSLRHSGHRHELSIVSDKSGGGPYICCECDEQGLGWAYQCIACGYEIHLRCGRNAEGGSAGTG >Et_7B_053557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:125259:127626:1 gene:Et_7B_053557 transcript:Et_7B_053557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGKPGGLGTLRAVLAILQWWGFNVTVIIMNKWIFQKLDFKFPLTVSCVHFICSSIGAYIAIHVLKAKPLIEVESEDRWKRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWSKQFEWRIWASLVPIVGGILLTSITELSFNVFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILALPAMLLEGGGVINWFYAHDSIVSALIIILGSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISAMNAIGCGITLVGCTFYGYVRHLISQRQAAAPGTARVNSPGTQTEMLPLVDDKQEKV >Et_8B_060398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13204442:13210057:-1 gene:Et_8B_060398 transcript:Et_8B_060398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGAGEGTAAPPRAAISHVIFDMDGLLLDTEGFYTQVQEKILARYGKVFDWSLKAKMMGKKAIESARIFVDECGLNGLLTPEQFLEERESMLQELFPSCTKLPGVLRLIHHLHAKGIPMAVATGSHKRHFALKTQNHQEMFSLMHHIVMGDDPEVKSGKPSPDIFLAAMRRFEGNVEPSKCLVFEDAPSGVAAAKNAGMSAVMVPDPRLDVSYHKEADQLLSSLLEFKPSEWGLPAFEEVTSAAFNESFQALGCYTKRTILVRMELLQMVTSYLIKVRKLAENP >Et_8A_058424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7239531:7242142:1 gene:Et_8A_058424 transcript:Et_8A_058424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHAVGIAVLLLLQLASSTALANPVLGRKAGVVAGDQPAEIAHPGQGRYAVIFDAGSTGSRVHVFRFDRKMDLVGIGNDIELFAKVQPGLSSYAGHPQEAAKSILPLLEKAEHVVPGWLMKETPLELGATAGLRLIGDKQADEILQSVRDLVHTKSKFEYKPEWIHVIEGSQEGSYLWVALNYLLDNLGGNYSKTVGVIDMGGGSVQMAYAISANSAANAPVAPNGKDPYVTKEYLKGKDYHVYAHSYLHFGAFAARVEILKAKNGPFSHCMLRGFTGIHAGKYTYNREQYDATAAPEGATYGKCREEIAKALNLNAPCEAKNCTFNGVWNGGGGAGQDSLYVASTFYYIAARVGIIGSEVPSAKATPAAFGAAAEKACQLSVDEGKAAYPKLHASDVPYVCMDLAYQYTLLVDGFGVHPTKEITLVDKVKHGEYYVEAAWPLGTAIEAVTPKKKLTDA >Et_4A_031987.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:10157115:10157219:1 gene:Et_4A_031987 transcript:Et_4A_031987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNIFAFIATALFILVPTAFLLIIYVKTASQND >Et_1B_014155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32893334:32898648:1 gene:Et_1B_014155 transcript:Et_1B_014155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGKPPMERHQSIDAQLRLLAPGKVSEDDKLVEYDALLVDRFLDILQDLHGSHLREFVRPDRSPSRHPLSVPDPRECYELSAEYENDRDEARLGELGSKLTSLPPADSIVVASSFSHMLNLANLAEEVQIAHRRRIKLKRGDFADEASAPTESDIEETLKRLVSQLGKSREEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLRQLYAKDITADDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRIDTALKNIGINERLPYNAPLIQFSSWMGGDRDEFWKQVPPNEPYRVTLGDVRDKLYYTRERSRHLLTTGISEIPEEATFTNVEQFLEPLELCYRSLCACGDKPIADGSLLDFLRQVSTFGLALVKLDIRQESDRHTDVLDAITTHLGIGSYAEWSEEKRQDWLLSELRGKRPLFGSDLPQTEEVADVLDTFHVLAELPADCFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAAVARLFSIDWYMNRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEELIKVAKHYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEHSFGEELLCFRTLQRYTAATLEHGMHPPISPKPEWRALMDEMAVVATKEYRSIVFQEPRFVEYFRSATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHIMQKDIRNIHTLKEMYNEWPFFRVTLDLLEMVFAKGDPGIAAVYDKLLVAEDLQSFGEQLRKNYEETKELLLQVAGHKDVLEGDPYLKQRLRLRESYITTLNVCQAYTLKRIRDPSFQVSPQPALSKEFADEKQPAELVQLNTESEYAPGLEDTLILTMKGIAAGMQNTG >Et_1B_011109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17757078:17763715:1 gene:Et_1B_011109 transcript:Et_1B_011109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFGSKAIRPKENWQNDLLDGGDDGLCKKRGRKDKGEKPHKGGSGSSKKPSAEKPKHGQRKQKKGDDRRKGKGRGEEHRLESSGSLASRNVFPSSETSKPVQNVLRKRVDPETAKYFTEISNLFDNKEIDLDERSAICANALEETKGKELELATDAVISHILQTLIEGCELEQLCMFLRNCIDSFHVIAMDKSGSHVAEAALKSLATHLEDETSRSMIEDILSRLCKVVAADATNVMSSCYGSHVLRTLLCLCKGVPLDSLQNFHTTKRSAVLAERLSCGLNQSGGHDPHKFENGFSDLFKSFVRQMLQSAKSDITSLCVDKNSSLVLQTALKLSSDDDSELHHMISILLGYDEDESINKNSFNEKKSEIVIVEVAPEELRNGMLAGTLRGDLFAISSHRCGNYVVQALISSAKTSDQIKQIWEELGPKFKELLELGKAGVVASILAACQRLETNRLEASQALAAALSSDSESPDSIVAHILFLEDFLRERSYWKWPLGAKMSVLGCLMLQSIFQYPHQYIRQYVASLLAMEPDRILQISKDSGGSRVLEAFLYSSTTAKRKFNVFAKLQGHYGEIAMNPSGSFLVEKCFAASNFSHKEAIVVELLAMQTELSKTKHGYHLLRKLDVERYARRPDQWKASQTSKETTQRKFQAEFGSSSKPIGQNIEDQFSSQTPTKKRKQKEKTDKNTDDSSSNKTYLSQKGKNKRLKPAKATSEDDSSSKKHASEGASTSASVAFLKDSGKRKSPGFLSDKPNLKKQKHHRPTPGKPDGNKFVRDSTSVPFVKNTGKQKRSIAELADLAGKEKLTAAEVRKLLKTEMSGKT >Et_9A_062282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21529973:21530378:1 gene:Et_9A_062282 transcript:Et_9A_062282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSMTSAAVRAQWTPKQNKLFEQALAVYDRDTPDRWSNIARAVGGKSAEEVRRYYELLVEDVKHIESGKVPFPAYRCPAGAGGPLGYEADRLKHLRI >Et_2B_019419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28734408:28735016:1 gene:Et_2B_019419 transcript:Et_2B_019419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFLAIASNNVVPDTGNSEKRRRTSSDALQRTVSDVSYELHHHHHGAKTEKEAAEEQQQLQPVPEVEDAKCECCGMSEECTPEYVRGVRGRFCGRWVCGLCATAVTEEAEKNGGDKEEALRAHMGVCKRFNGFGRTHPVLFQAEAMREILRRRAKLGEVRAGAAAGIARSSSCMPFITDECSDRVSINKK >Et_3A_023343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21594757:21596292:1 gene:Et_3A_023343 transcript:Et_3A_023343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLVNLYGTVRRVEDARKVFEIGAYGIEADGDIRNALISMYVKCGYMSDALEAFRVMPERNTKSWNTLIDGFVQNQKHKEALGLFEQMLSNGVTPDAVTLVSVLSACAQLGALDKGRMIHSYMEEKDVRKDVCLESALVDMYAKCGCMDMATEIFSKMQHKQTLTWNSMIGGLASNGHGKEVVQLFDQMLKLGDSKPDGITLKAVLGACAHVGMVDEGLQYFHLMSSFGIAPDVEHYGCVVDLLGRAGMLDEAFDFIKKMPIQPNHVIWGSLLSACRFHNKWDLGRRIGQYIINLDPNDVGAHVLISNLNAEEGQWDYVQQVRGQMGSRGIEKSPGRSSIQV >Et_5B_043063.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:18835714:18836010:-1 gene:Et_5B_043063 transcript:Et_5B_043063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREGLLLAVALGCDKAILEIDNISLVNLLRSGTPHRSAIYGLWQEIQELSRSFSSFAISFVRREANSAAHCCARMPTASNKVVSCVGYTLADGSCN >Et_5A_042430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1058251:1061174:1 gene:Et_5A_042430 transcript:Et_5A_042430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQKSNLLMGKYEMGKMLGQGTFAKVYHARNKETSESVAIKVIDKEKVLKVGLMDQIKREISVMKMVRHPNIVQLYEVMATKTKIYFVLEHVRGGELFNKVQRGRLKEDAARKYFQQLISAVDFCHSRGVYHRDLKPENLLLDENSNLKVSDFGLSALAECKRQDGLLHTTCGTPAYVAPEVINRKGYDGAKADIWSCGVILFVLLAGYLPFHDKNLMDMYKKIGKAEFKCPGWFSTDVRRLLLRILDPNPSTRISMEKIMENPWFRKGLDAKLLRYNLQAKDVPPVDMTADLDSPNTNTPTESKQQEVKKPTNLNAFDIISLSNGLDLSGMFEESEKKRESKFTSTSTASAIISKIEDMAKSLRLKLTKKDGGLLKMEGSKLGRKGVMGIDAEIFEVTPNFHLIELKKTNGDTLEYQKVLNQEMRPALKDIVWAWQGHLSKLNRKEICFPELSEPG >Et_5B_044572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3640933:3644964:-1 gene:Et_5B_044572 transcript:Et_5B_044572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTRRRAAAAARVEVVEEDERAAAIDISSDSDEGSEPSSEEEDTSDEDFVQISDSDSEADGGEGSGDESEEEAEAEVEAEQLGVDRSEAACSKIAGLLRSGKKLEGIKLVECKAYLKKIGLSQTGDVTTCVGRIMLHWRFKDRNPENIYPRSSFCINCKGAMQYYSNKSGKRHSKCIGKRIVAGRVIKESYGKQKQQHTFTIEVFWSRGVGKLPPLHLLLVKGRNLYRMMTFRQPWPNEANRLKALEEKHNRGDAARRVRSLNRHKHAGNTLKGKQTLGKEKHQARSGRADCGSNITDVDKGKKRSAQSSNFDQPNKRFKKEGCHLPSTGKCAGDQRAKKNRAHLDKGICIGHKSSLCNGSTEKNHANLQKNFHLAPLNNGPSSTEVGIDNGKPRSEQTNSISHAQFEGRCIAQAPHVQATRGIFAGIHHPFTERPQGLPPLHEIDALFNTTMGFRHQNAALATPHASAYFGRFLPIQQQRVAFPSPNMQETVLHPRPEVAYNVHHYRYCGGGGAGFR >Et_3B_027982.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:29696664:29696858:-1 gene:Et_3B_027982 transcript:Et_3B_027982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRISFFAVVLVLAATLALSLAPCAVAARPVPPSPAATSAYETVVATLMEMLPQGPSGGGAGH >Et_10B_002738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10185179:10188589:-1 gene:Et_10B_002738 transcript:Et_10B_002738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCETCHLKELELESTEIRDVLRCILHTIFFHRTLSLVRPKDVDCDFFEITYVQCGLPELEKEVDEKIDQFVAWVEKHPNRKSQVSLSFFDEKNKHPGWFGNKTERVYWEQWFINLQVMSPKRYSKSSSSKGLTNIGGNALEETSSRRSALESSIHEVLFQIINFANEKKDHIPAIPDRIFNHEIMIPSSSDSVFGWNTDVLRRVLNSGHPYSL >Et_6A_045846.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:19236782:19237294:1 gene:Et_6A_045846 transcript:Et_6A_045846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TAATSQPHVAAAGNVTTNCRPHERDALLAFKQGMTNDTSNLLYYWLPGQDCCRWAGITCSSKTGNVVKLYLGASMYIDGALVGQISPSLLSLEYLEYLDLSRNFLEGPNSSVPEFLGSMRNLRHLDLSFIPFSGRVPPLFGNLTKLEYLDQSNTSFFGTVPPMLGNLSNL >Et_1B_014073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29968398:29972909:-1 gene:Et_1B_014073 transcript:Et_1B_014073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSKKATSAAAAAVSSNDRGMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQSLLGLFREQKFRLGKQPHKEFNDHSVKDAAAMEMQRNAASSSGMMGRGINDRNHMNEAIRMQMEVQRRLHEQLEVQKHLQIRIEAQGKYMQNILQKAYQTIASGDVVSCPAGYKSLGNQGILDVCSLKDMGPSMGFPSLQDLHMYGGGHLDLHQQMDRPMDSFFACSDGSIGSLGKKRPNPYAATGKSPMMWGDDEQGKLSDHLQMAPPMIDAGIDVMDSIADVYGDAKSMMSGDSSAGSKGFDGKLERPSPRRLHMGGERMDSPSVMGGQTRNMSYGTTTYYTMSMTYDSVKRKGPKEGIVLQPSTFIGAWEM >Et_7B_054798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4513303:4516500:1 gene:Et_7B_054798 transcript:Et_7B_054798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAPSPRSSAGEGADPAARSRLQPRSAVRGALGVAFPIAASFLFSFLVGLAGLALGGLSSTTSVSMPSTCRILSTGVDLRSSKVCELGLLNYRAKHVFYPSTSNRKFRCHDDYYWASVFQVEYTEYFSGQTSYALAESPKEALPHSCRPDFGAAWSTTLKFKVNESYSCRYTLGSNKADIHSDKLFNCTAEEPSTREMLKRIFILFSESFMSEDFSSQQVLGHVAAGVVLGMLSSVLITILLRSLYGLFLAAARWAVRKHRIRVLAGRLKRACLLVAYVSAVCWFTLQYSKFIGLKELVSDSELLERFF >Et_3B_028155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1156366:1156774:-1 gene:Et_3B_028155 transcript:Et_3B_028155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASTSSAQATSQEGMGKIVSEFVEAVGASLSKGHMIGKCTAELLCDLCGSTEHASFRCPLNDEPKPVALSVGFAADALGAYYIAHAPIQPTKRSSRTALVTVRSLLVT >Et_1A_008355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6892714:6897516:-1 gene:Et_1A_008355 transcript:Et_1A_008355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVQAFPPADLNKNTEWFMYPGVWTTYILILFFSWLLVLSVFGCNPGTAWTVVNLFHFGITYHFFHWKKGTPFADDQGMYNQLTWWEQMDNGKQLTRNRKFLVVVPVVLYLIASHTTDYQHPKLFLNTVAVSVLVVAKLPNMHKVRIFGINAGN >Et_4A_035279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1704791:1706186:1 gene:Et_4A_035279 transcript:Et_4A_035279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRVPCEYKDKNLSVRVEEKSRAPKELSIRFLYQGGQTDIVAVDVAQVGSSNWKFMTRDYGPAWSTSQAPPGPLQFRVVVTGGYDGKWVWADREVLPQRWRAGEVYDTGVQISDIAQEGCFPCGTHDWK >Et_1B_010429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10449815:10463677:-1 gene:Et_1B_010429 transcript:Et_1B_010429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPGAGAGGSEDRRGAVPQLSGDGGVAVGATPEPGGGLLADGEEVCGAPEARLFTSEVEEKGVDFAVGLVDPVETVSAFFQGRMCEAGEAKGSSSEESPKGMEDEQHGSLPFVPQGSAEPGSLRTCHVANGGLSDMALHDPSGKAGCSKDVGCIYGALDKVTGGSPSKREDMVGNSGGDWGGTTEVKTCMEDLQFASEEANDCNEVMLDSAKSISEQWPHGVDGMGAITDANNEPQHNDLMQNEAEICRPLHADSVPSVSGRIGVSLDGKAVQFCQISGNSVTSHVADGGLQNNKVFYDPARCMYDTVDMATKGNPCEQKSLAGEGVSSGVVDVKTSLEDSGMSCKEPHNKGLPHGIAFSGLKESANPDHEKYGLVPKVVAEDSLTMHEDSIPSVSGIGSSIAVSFNDMVGRIVELSKHISQNVAFGSQGGGALPFESVSHMEASRDESRCSKKEMNTSEVDRGTGWREAAHDAGELSDLGEDHTEKLPFGADGLTLITGASNELERVLPNIHLVGSCPDEASVQSFDGTSIDDCLDGKAGLMGYISERSSDVKKLACNSLGGDMLLCESFLQSEACGDDNQEYSMEVSNKLNLPACKSVMEELKPSVKGVQLISQKYGNSELPPERVSSTCNAQFDDEPSFSGREPTALCPGHQDSGVGGSGSLDHSEKGLNACNSADDKAGLVDFVSNDNDRESPSQQLSTMLVFRRRNPKRAASSRILHSEKPDQIDKSSSGSRRPKKVGIASSLHQCTITTFPNKMTRERSGLNRPHKSSAWGSLEKLMDGFSQSYGPLTSNSHPTYLENGRSNKIPDQKNLPNIRNSTQEISAAYVHGESAKLSTSVPPLKNDNGTVVLPVGFSPDSVLELASVTCESNASASHDVILQENSPDAGASNGGGHHSIVLPTSCLGRDQTPSLMHLEQRDETAGRSENMKREQSHPSQTVIDNDVDKGKQETVRKSKTGRKNSIVRKPGSKKKDGTTGKNSCEASKPRAFSNDAILPDPVHSEYPDHDSYFELQTSSTEDLGTHEHSDMQSRSITDDGKRSAFHPMKSPKNKGKDANARKKGKLLDQHTKKKGDHSPAAELAFKDSGTISADVPGTVVCKMDNASVPPAAPPRAAWVCCDDCQKWRCIPAELADVIGETNCRWTCKDNGDKAFADCSIPQEKTNAEINAELDLSDVSADEADGDGSNSKACRAPSWTHVRLNLFLHRNRRTQSIDESMVCNCNPPQDGRMGCGDGCLNRMLNIECVKRTCPCGEQCSNQQFQRRNYAKLRWFHSGKKGYGLQLQEDVSEGRFLIEYVGEVLDIASYESRQQYYASKGQKHFYFMALNGGEVIDACTKGNLGRFINHSCSPNCRTEKWMVNGEVCIGIFAMRNIKKGEELTFDYNYVRVSGAAPQKCFCGTAKCRGYIGGDISIVDTIKQDDAEAVHFEHIVHKDAEVLVGANESGSHGSHPDIIEPEFSIHGEDLHDCPAANAELEQLKQTEGTFSDTSEPENSLETWSPQEDEDVIRTPVHVSRTIESSLQHFPVHGTQSSHCPRKTMYATEILRAPNVVNGLAPSSDSGGNLVPGFNANKRNNLKHHRNVKPSSRIDNEHTLGVEGRLNNLLDRDGGISKRKDATNGYLKLLFLTATEGLQMLHNILKQNRGKFHRIPILRKLLKVLEFLALKEILTSEHINGGPRCAGFESFRDSMVSLTRHNDIQVHQIARNFRDRWIPRNIARSEPAEYPRAQDSRGNYMSWNSTGRKRKSRWDYQPYEHYKMVGLKFQKGYCEHGAFDVTGLIRNKTQGHPGANRYHNDVPGIGNSTESADDEMPPGFESQQERRPVQVDCTVAPGLCMGRYQPSLTISYGIPVAIVQHIGTPDAESQCHQKWKVAPGVPFNPFPPLPPYPRGSPCPSSSSQMSQHDGISPVKHDSSGHYGRTTDGDGRVHRTWRNGSRTRWPYNNHGRRFPSNHHRFEKFQPSKPQ >Et_8B_058509.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:10358634:10358906:-1 gene:Et_8B_058509 transcript:Et_8B_058509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVTTKALAATISAVLLLCFLHILCSSSSSSPQAPSASVLRSRRLLSLQCHGRSSCSTPLSGLDRFYKAPKMFESLKKMPKSRSNPSHN >Et_5A_042218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7656090:7660388:-1 gene:Et_5A_042218 transcript:Et_5A_042218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAAVAKALAGVLLLLLAHADAAALGPALSPGQSLGANDTLVSANGTFVLAFFSPRGGGEDPGRRYLGVMYARATEQTVPWVANRDAPVSATSAYSATVTADGELQVLEGNRVAWRTDTSISASSSSPPGNVTLTLLDSGNLVLASGGGRAQQVTLWQSFEHPSDTFLPGMRIELDRRNNGNIKRTLFTSWRSDGDPGAGDFTLGLDPLGSAQLYIWKTNNNGSASSSNATSTTYWRSGQWANTNFLGVPWRSLYLNGFKLNGDPPAQADGLMYYTFGAYNSSQLRFTLHPNGTETCYMLLEDSGEWETVFSQPTVPCQAYNMCGANAQCDTADNGQAACTCLKGFEPRSAAEYDNGNWTQGCVRSTPLTCEQNVSGGDRFVDLPDVKLPDSATWGSTVGDENGCRQLCLGNCSCGAYSYSIGTGCLIWGQELLDIYQFPDADASNPHLHVKVPASVLDTGSKTRRWTTVIVIVVIVVVVVLAACSFLLWKCRRRIKERLGIGGREQKKMPSLLRPAREARQDFSGPKQAHDPEEGENGKKCELPLFAFETLAAATGDFSSANKLGEGGFGHVYKGTLPGGEEIAVKRLSRSSGQGLEEFKNEVILIAKLQHRNLVKLLGCCIQGEEKILVYEYLPNKSLDAFLFDPARRVLLDWRTRLHVVEGVARGLLYLHRDSRLRVVHRDLKASNILLDADMNPKISDFGMARIFGGDEQNQQVNTNRVVGTLGYMSPEYAMEGLFSVRSDVYSFGILVLEIVSGQKNSSFHHMEGSLNIVGYAWQLWNAGKGEQLIDPAVRAACPAREALRCVHLALLCVQDHACDRPDIPYVVMALGSDSAVLPMPKPPTFTLQCTSSEREVLFRADESYSACDLTVTMPQGR >Et_6A_045807.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:1391721:1392221:-1 gene:Et_6A_045807 transcript:Et_6A_045807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHWSATKLAPISNSLSAPRAFPESWRARRGAYGGFSPRQASAKEFLAAQAGRSALRRAVSTGKPRRSGSRSRWQRKPSPPPPPEGKTARRKATQQASVGAVGGAPAATCGRHGEMDTIAAASCAESAGAGAEEAADAAATARRRRRSAAVGPMAGLRRVMWAAS >Et_9B_064331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14061434:14067188:-1 gene:Et_9B_064331 transcript:Et_9B_064331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGKLPRTKRGARALPTLHFEFPVPSDFAPPRDGTTAAAPAVAMSPSHALAHSLSLPFPLPPRRALSTSSSRGLAPNSSLLRAHRPLLIRAPQRPLLTPRHFSFAARASASGAVPVNGEGGGGTGTGRKVAGYRNRFLDLARLGAVAEGAAEAFFRSEIRRRLTITAVLIVLSRVGYFIPLPGFDRRLIPDSYLSFAPLPADDLGDFSSQLKLSFFQLGISHQISASIVMQVLSHVLPSLEKLRKEGLDGHEKIKSYIWWLSLGFASVAAFTVSCYSLQYSIYAASFRVKHVMITSLLLVLGAMSMTWICDTISESGFGHGSSLIICVGILTGYTGNFSKYWPYVCGVAGVFIMVTMGAVLITEGCRKIKLQYYGFKLASGAGNESTPVTEVEPYIPFNINPTGMQPLLTTSYLLAFPSIMASIFGSRFWENLKEILNPNTSAGGSPWIYYLTYAFLVFVFNIFDIANLPKEISDYLNKMSARVPRIKPGRATVDYLTKIQTSTRFWGGLLLSLLATSSLLLDRYLRQINEGFSIGFTSVLIIVGSIIELRRSYQAYNVMPALSKVLKRYGA >Et_3B_030757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7005427:7008561:1 gene:Et_3B_030757 transcript:Et_3B_030757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPTPQQAQTPRPPSPPPPPQPQAPSRRYGVHFSASSFIQAPLSALLEYSGILRPDPGGGAHQAGAGAGEVSIRIVGSGDAGASSERAEEVIVEEEEDVHATRAQPAEPAPAASGGEGARESSSSYQRYDIQQVARWVEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKRERKIPVLVGITILFVVHVFGFYWCYKNGDLIRPLLMLPPKEIPPFWHAIFIILVNDTMVRQTAMVVKCILLMYYKNSRGRSYRRQGQMLTIVEYFLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLTALRALSLKDFHYGSYATSEQVTAAGDMCAICQEKMHVPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQLF >Et_7A_051569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20490957:20491836:1 gene:Et_7A_051569 transcript:Et_7A_051569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALRVTMFMLLAFSCAVAQSVTESKVEKFDVGVVLDLGTTVGKVARTSITMAIEDFYTVHPNYKTRLVIHIRDSMSDDVQAARAALNGPS >Et_3A_025354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29082370:29087693:-1 gene:Et_3A_025354 transcript:Et_3A_025354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRIEGLLAAFSKLLGTGKQHTYVETQDVRYVYQPIEALYLLIITNKQSNILEDLETLRLLSKLVPEYSPSLDEEGVCTTVFDLVTAFDEAISLGNKENVSVAQVKQYCEMESNEEKLHKLVMQSKINETKDHMRQRVTEIEKSKIDRGKSEKAFGPMRTPSNTDMNTRGSGPGADPMFGDIHKTKERPLAPPTHNKVAGGMKLGKGPKTNQFLESLKAEGEVILEDIQPSAVQSRLSSVPPSDPITVTIEEKLNVTVKRDGGVNNFDIQGTLALQVLNDTNGFIQLQIENQDVTGLSFKTHPNINKDLFNSQQIVGAKDPNRPFPSGQNETPLVKWRIQELNEASLPLADMFDLHNVVISIPVPALREAPSVRQIDGEWKYDSRNSMLEWSVILIDESNRSGSMEFSVPAADPSSFFPISVGFSAPSTFSDLKVTAVHPLREGSPPKFSQRIRLVTDNY >Et_7A_052902.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:24756367:24758421:-1 gene:Et_7A_052902 transcript:Et_7A_052902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLLELWNHWATQILVLLSLGLQVVLLLFAGIRRREAPMVPKFILWLAYQLADSTAIYTIGHLSLSAAADRHQLVAFWAPFLLLHLGGPDNITAYALQDNELWLRHLLTLVVQVLGAAYVLYNHVASDSFFVLLAAILMFAVGMVKYAERTWALKCGNLDRIRSSFKKELVAKHHQFHTLDLGFHADVGDEFFVRRAHSLFHVCKHAIADSWIEKYPEDPTDQMLKDLKEEKDYRAIWTLMEMELSLLYDLLYTKAGVIHTWRGYCIRVFSPLAITASLLLFQFSGKDGHSRVDIAVTYTLLVGALILETGSLLRALGSTWTYAFLCTTRWSWLRYAALCTGRWDRLRRFVKRITGRGGRNISERRWSGKMGQYNLMHCSSRLDRAYIPLLGRFARLLGYGEWWIGYYYSGTSIDIPNYLKEYLIEYVKNMRRNKTEDRPLNAQGVIRKTWGQQALKGKDKRFYDDLKNENLLGVEFQEGVIIWHIGTDVFLAKSCGTDAEESARLVNAIRILSNYMFFLLVEQHYMLPGLAQSTLYRRTRRNLARMWVENKHSYPEENMYTSLKELFGLQDNANFTEWNSRDELATILYKVRPDYDDNVPRLWYANKVTEKLRDREKEKGSVDVLELLLHVWMDFLVYAANRCTRESHAKKLSDGGELTTILWLMTNYLHHYALKPEENHD >Et_4A_035518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27450220:27452618:-1 gene:Et_4A_035518 transcript:Et_4A_035518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGENDGEGISLVLYFKLSDSFDKEISPQLQDSIKRLMSEELEKVKGFPVDSTVSYTERLKILAGLANPDDLQLSAAERKLVQTYNQKPVLSRPQHKFYKGPNYFEIDLDVHRFSFISRKGLETFRERLKHGVLDLGLTIQAQKVEELPEHVLCCMRLNKLDFADNGQTPTLITSADE >Et_8A_056381.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:6141941:6142507:-1 gene:Et_8A_056381 transcript:Et_8A_056381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAVGGEAGFRKTPWTAEEDEALRREVRQHGPQNWAAIAAAALPRRGAKSCRLRWCQHLAPELDSRPFTPEEDARIVEKQRVHGNKWATIARYLRGRSDNAVKNRWNSALRKMQGGAGGHAAEDDADEQPPAPACLELFPLRPGGVREAGRLDVREEGDVASIGLTLGLPRPSEAELALRIGPVRP >Et_7A_051132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14851896:14855597:1 gene:Et_7A_051132 transcript:Et_7A_051132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAGPDEILLSTSLAGFLDKKLIVLLRDGRKLLGTLCSFDQFANVVLQGACERVIVGEQYCDVPLGLYVIRGENVVLIGELDREKDELPAHMTCVSEAEIRKAEKAEKEARDLKGTMRKRMEFLDFD >Et_4A_032312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29344693:29346243:1 gene:Et_4A_032312 transcript:Et_4A_032312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSLTLAASYADVVEAREGRSGSGRPYHFGEESFRHWARSRQGRFRVLDRFDHELLEGAVGSYRVAVLEAAPRAFLQPSHYDADEVMYVSEGEGVVVLLRGGKRESFCVREGDVMVIPAGAVVYSANTHRSEWFRVVMLLSPVSTPGRFEEFFPVGGERPESFFSVFSDDVIQAAFNTRREEWEQVFEKQRKGEITTASDEQIRALSKSCSRGSGGGHGSSKWEIKPSSLTSQKPRHSNNHGKHYEISGEECPHLRVLDMEVGLANITRGSMMAPSYSTRATKIAVVVQGSGYFEMACPHLSTSGRSSQQRREREHGRRREEKEGREEEREQKSRGYKQVRSRIKEGSVIVVPAGHPTALVAGQGENLAVLCFGVHAGFDEKVFLAGRNSALRQMDEHAKALAFGAAAKEVDRVLGAQEESVFFRGPQSHGRSSA >Et_1A_006100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17850785:17852699:-1 gene:Et_1A_006100 transcript:Et_1A_006100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTTRHSIERVMCRLLTSFCLQPQPMGEVRGVDKDVTVLMVDATASRAEHGGPGDGAASWAAALWWRSSGGARVGLNTRGEAVPL >Et_2B_019264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20701926:20702979:-1 gene:Et_2B_019264 transcript:Et_2B_019264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGGGGGAGRRREGAVPVGGRREGHARGAVRDGGAGRKGKAKAGRPFDCAVCLCEFADDDRLRLLPVCGHAFHVACIDVWLRSSATCPLCRAALRGFAAAAAASASAPAADVASIDIVTDAEEQKQPEAPGDAAASSVVLPVRLGRFKNLAAVGPDGAESSSSTTGASPRLDGRRCFSMGSYQYVLSDEQFLVSVHVKNGDAAAAAAGVPAASGDDKEGKKVFARGDSFSVSKIWQWRGSQRRLPAGLCADDSLPWAPTPTPSTATRQDKDT >Et_8A_058251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3521090:3522223:1 gene:Et_8A_058251 transcript:Et_8A_058251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVSLGFRILWWSAPALPSLGYMGRPRGKGKKTIEAARNNDDDDSGSEEVAATPRRRGRPHQKPPKDDEADEIEDTAKQAHEENGDATVDPAVVPPGKDDSVSSAEAGSKKKRRRRRLKRSADDSAEEDELGRVKSKPSNGFRQNGSRRKSTPRRAAEAGVECK >Et_7A_052466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8293640:8300104:-1 gene:Et_7A_052466 transcript:Et_7A_052466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARLLRIIPLLLVLVVAGSESVSDDVSALLALKTAIYEDPLSRLSDWNAKDKDPCTWSGVGCSPFNSRVVTLDLSNSSLQGFLVPQIGSLRSLQKLMLDHNIFEGSIPKEIGMLKNLTELNLGTNQLTGLIPSEIGDMPKITKIDFHANRLNGPIPSEIGKLANLVELQLSNNSLTGTIPANNDSNMESANGSDQIGLCQLSHLTYIDLSYNLLAGDIPACLKQIRRSSFVWNCFQNNGTENRPVQQCPSSPDTGKDNPAGGDEKKGLPEPLWLLILEVIAAVTMLCLLTLCTVTGLRRCRARSSGSEDSVPWTRAVSWKENTVISIDDDLLGNVPKISRQELAEACEDFSNIIGSSHETVVYKGTLKDGREIAVVSLSVSVHYWNNHVAGTARLSHENVAKMVGYCKESDPFSRMLVFQYPPNGTLHEHLHDGEGSQISWPRRMKLALGIARVLRYLHNELQPPFAVAALTSSSVYLTEDFSPKIIDFERWRHLVTKPGLGSGGAANGGSVNGVTDPRHKRFMDVQANTFAFGVILLELISGRASVSKDAADLVNWARKHLEHPEEFSKLADPKLQSVNQESLGIVCNVVNLCIDPEPSRRPSMSMIAAILEEGIDASAATLLRDSSLAWAEAELAMS >Et_1A_009540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7710132:7712072:1 gene:Et_1A_009540 transcript:Et_1A_009540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSREGDASRAAAREHTKRCRERRRLMREAVRLRRHLASSHAAYLRSLTAVASALTRFAVGEPIPVSDHTPPAVILHRPVLAPSSPPPLLRKIERQHEAPRQEDEGVPDAGAAAAATRTEGVGGEEEVRLEVRHRTLADVAAGLEEYFVKASVAGDAVSSLLEASSAELKGKALVKPPRHNHCHLLSNRSLLTGHGHLLNLVTGGSHSFLGVLCCLSAPSFDRLDSLNGSPRHSSTLQQLLTWEKKLYKDVKARERLQIRHDKALAELRDQEYSRKIGVDIQKLKSAWERARAQLATSDQSVRATSSAIAELRDTHLARQLLELCHATLDMWRSMRQHHEAQSAVAQQLRGLSSRTSMDPTTEIHHEATRALDAAMAAWCAAVAHLARHQRDHVHALHGWLKLTLTPTPANGGVQASSSIAAELAAFIDRWGKALDRVQCGEALKSIKGFAAAARALYGLQSDELKLARRVRQSSRELDRKSRMLRQVEKSYYDSYMPGGFSMWGGSWGRPWRGEDVRQAREAHHEVAQRKDEIAACRKTLEDEMRRHAKAIDATRTATVSSVQGKLPAVFQAMAAFSASLAHALDAACRAPQTTQPVQ >Et_4A_035410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23702691:23703162:-1 gene:Et_4A_035410 transcript:Et_4A_035410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDEVPSCRSSVGAQLRGAAGVIGELGLLPVESQSDVWMAPLLEFRATSSYLPVVNPLEGFCVDMVYAPKSLIGSMIHGLGHVLGLS >Et_2B_021177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27127986:27130050:-1 gene:Et_2B_021177 transcript:Et_2B_021177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMVLGFDSGGGAAAVDDLKYVSGLSTILVAHIQEVKDRVSQIEFIFCRQLFAQFQAKSKLDQARLADVAKANEDEWKKREAGLVRQLEELSIGQRLAEERLQQLGSSLEETKRKLADAERLVARQEADKKQIMGRLEEEMRKAEVIHRLQKEIEEKAAEVVREREAHQRLLRQVELKDNDLVLEQSKQRALIEDYTQLRTKYKQLEREIEEKAAEVAGEREARQGLLRQVELKDKDLVLEQSNQRALIEDYTQLKTKYKQLEREIEEKAAEVAREREAHQRLMQQVELKDKDLILLRAAKKELIDKYKELKAENTYLRRKTDQNQDSKLLVDTSVDRKGPGSSPSKRKLKDLERTDKDSGQAVSSKRDLKGPTSNIQADEHASSVRSPFGNSRLALPSGPTNSLPKNDASGSKRESSVGLPSLHWRETRARKESGVADPHDDFLDTPLEAVKSMIKNPTTPGEAQGLAACPPQDMEFNNSDDETQDINVATQRLNNRPNRPVQQQNKISVQPPKKEFKYRESVRKKSDRENLKGVECKQCKKFYDAVLPDGRVNGDGVGSTSLRCEHHDGVSRHRYRYAPPLTPEGFWNIGFESEM >Et_7B_055571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14977658:14979544:-1 gene:Et_7B_055571 transcript:Et_7B_055571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRRRTRSDGGGGVDRLSSLPDDLLRLILRRLDTRTALSTAVLARRWSRLPRDLPALDLRVGDVLPPRYHRALAIRRGCHHHPVRSNAVRKLDAVIAKCERRAARAFTNGVTGLLEADDGDGDDRRRAKSLRLEFFPTEDEESVVDRLIPAAVGAWGVEDLEVVVARRRSPLHDDDDGAAAGGYTFPHHLLDDELHRPRLRRLTLDNCTPPPPPLQGYAALAELVLRDMPASPLQVLHLISCRCAGSERRLVISAPPESRLRELVVDGCSFLAIDLRVLPALERLACLTNTVEIRYGAVPNLTHVTLAFSEKSACRHGELGRFLDGASGVESLVARLTGPSRWFVARPPKTLLRGLTRLLVADVPASWDVTWPRLLLHAAPYLETLHIHILAQQQNSESEEKEPLGREISWNLLPSRTFRHRRLKEVVVSGFGRTWRQIFFVRYLARVCKRLQRVFLLNNCRVVQEKGLWDWDLEQQDKCPWSEEDKMVIRKQVKYGKTWCRPQLQVIVG >Et_3B_029742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27523936:27531896:-1 gene:Et_3B_029742 transcript:Et_3B_029742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKALPFIAFEHKRYVPAPPARISAPCWSEPFRFVSRRSSRCSSSARDAYGFAVRPQHLQRYREYANIYKEEEEERSERWQNFLDRQAEDGESSGEDVKVTPSNEIGGAADSNAADGRSKHSDEKTSTQPRPHMIQTWTEIRPSLGHIKEMMSLRVKRKPSSVDEEYTEDAPRSDSPEEGKQSEDSDDEFYDVEKVDSSQEGPVADNANAESGPNRGADQEIYYPWKEELECLVRDGLPMAMRGELWQAFIGIGARRVKGYYKSLLAAGSEKDDSTCADSPTNDCSDGNPKASQPLSSEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQVDQLVLEELVRERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGYQAVGEARLQELRNKHRPSVISSMEQRAKGLRVWRDTNGLASKLYNFKRDPEPLVSLSKDQSDVLPNGDVNQETNSSDIDDLYRGLTVSPEIGSLPDPQEQVVWLKGELCQLLEERRSAVLRADELETALMEMVKQDNRRELSAKVEQLEQELSELRQALSDKQEQEQAMLQVLMRVEQEQKVTEDARIFAEQDAAAQKYAAHVLQEKYEQAVASLAQMENRAVMAETMLEATLQYQSSQQKAQIPSPSPSPRTPTRDATPGQANQDSSQEFQPRRISLLAPFSLGWRDKNKGKQNGTDESTNGKLNNNTEQSVGTPKKDDEKHGGSPNEAEQNIETPKRDSEPKLETPKMDGDLPSDEKTTTNLNGKEDQLEEIKLD >Et_4A_032092.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:16898357:16898548:-1 gene:Et_4A_032092 transcript:Et_4A_032092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSMTTMRLPGLAPNLRERHFTTTRLVFEMHSPKIFWLPLFYVGLISLHLFMKGNPNGPTC >Et_1B_013090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5414769:5417085:-1 gene:Et_1B_013090 transcript:Et_1B_013090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDPNPFDEGTDENPFSNGGGGGVGGGRGGGGRSQYGFRPSEPAGFVGGRGDATVDIPLENMSDSKGKATELSQWESDLRRREADIKRREEALRSAGVPMEDKNWPPFFPIIHHDIANEIPANAQKLQYLAFASWLGIVLCLVWNFIAVIVCWIRGGDSKLFFLATIYGMLGIPLSYLMWYRPLYRAMRTDSAFSFGWFFLCYLLHILFCILAAIAPPIVFRGKSLTGILAAIDTFSDHALVGVSTSIYSSAHVLFLQPRKYLVTKKSNIKINVLFIQIFYFVGFALFTLETLVSIWVLQ >Et_10B_004051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9960827:9967561:1 gene:Et_10B_004051 transcript:Et_10B_004051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NASSSYVGRKQLVHRVVEKLPQLPSPYALEFVPSRLLRSMLVSFPDAREKRRLGFGPLVPAAPRRLRRVSLPSHDAPGGLFPSPLRARSSRPLLGCPCSRRTAAPETGARLPTASYSDASAAAPVRWNPSLTCYTLGGGVRNSSGERRSSDRRNNSSSWISEIKDISNVTVRKKRGRRAPPSAKRIRGKKVDKASDSDDAVDNDINDSDRGVGTSQSTGPIEECADGRPGDPDLPKTGHSGNSSDGPSASEEQNRSANSKDMTQKASSRTRENVSVSRTRSKRGKRRLNDFENEAGSHMEAAVAKKGSGEERSQEIKDDVKVCDICGDVGEEDKLAVCSRCNDGAEHVYCMRVMLEEVPDSEWLCEDCQSAVDFEKKKLEKCEVKAGTPKQQSFDAAKSSSSDSELEAENLVNKESDTANVEKESDTANEDSDMANNRMEGDTAITFTVNKTVSESGGLYICADSSKRAPLSRASLPKSDVDRGKLPSQVATSLASGATKNQAPEPRGQLSKSTSFNNSKIPKVKQLLHEVPQKPKPLKESWTSAIRKEGSMSMTTKSPTFKKPKPSEPGNKARPSSLSTAEGPMMANPLLSQNATNDSGTSILGCPSATAPVVSKSDTTAQHLASGNNMDGSNNLSTTHGRGGKNSHGKSELKKPPLANVPGNMIISDAEKCSGILGPGAQRKALQNSDPSHRDNKIKDTPGFRTGASNNRTIRCQRCNEVGHSTQFCAVDKLRLSAIKPLSGRNLKEASGQRNSTPGTSILMTSEQATSRSEDQSEQILKFGSCQNPTVPSYLRDESCQGFPSGDEQVTSTVPELDYIWQYEYSSLSIVYVELFSYFAFYIDRFMYIHGISNYHQLRDIGGFELWRTGRSPELCDGFQAHLSCSASQSVLEIAKKFPSNVQLEELPRQNSWPTQFQQNCPTSDNVGLFFFARDVQSYENHYSKLVENMLKNDLVLRGRVDAVELLIFPSNILSKNFQRWNMFYFLWGVFRVSRKDCSNLLLDVPKCTRESTLKEDHRAVDPNAILLSSNSIVKDTNNVAKPDPNSVQSATCADNQHLPSLDANHQQCLNGDNSLNQSGGGRPLDEHHHSVTARCSTNNNGETDHSTTTAKINISEHQDRMRDAIDSNGSGRNFDVNMVPDDCSVPFHDEEPGKESTTINLNDAEQPMDIDHTNTPEVNKGALDSFSHASGGTRKRDFGMIKGPDEVDGALEHKKIKLDNVVSANSVLCDNTVDVRLSSKVHPLSGLSMDDGTDNKAMANSDGRCVFPLDLNAMDDAVSGDVANGPSSDALEQQTGGDRFSEKVLSSISPKVGEKQNNGDSLPADITGSLSLSLGFSTRKEK >Et_2A_016685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27184971:27187939:1 gene:Et_2A_016685 transcript:Et_2A_016685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EDEVERSQHESYTEEKRSVKKKPAEVVPETKRKSKKKKKKGKSEPSQAKLKDEQSLESILKDLSIEKKPTHQIAHQTDRQSGKEIERNEKTPGVSSVLAIDPKHLKGENEMRRIFGSKVVDSFENQRNMPSSSRQVRGIRRVAHNPRKTLLVSPPSYWPPWDKSMSMDLLETKSGLNYFRYTYDPSVSHVQELFEAAKGANDLNAIAAILVKYPYHPESLLTFAELFKYSGEHQSSADAVEKCLFAMECAWHPLFSPLQGNYQLKYSHDTNKPYFTALFSHMKNLDRRGCHRSALEVCKFLLSLDSDDPKGALFCIDYFALRSQQYKWLEQFAEEYQCDNSLWLFPNFSFSLAIARFYLERDAASEESFDHADKSSSVDLMKQALMLHPLVLQKIVDKAPLKDSSWTQILRNVFFGSAKPGSPSLEHMINIYVERHYIMWRFPELQNLLKEGALLVIESLKQDSREAQDWSCVRKEAFSSEKNEYSHLLVSDFSDTTPSLPPEELRPFMVGPGMAHEMPPPPELEAGPERLRAPREVAGRHPALVFLESLLPWVDYGDNNHDENDENNGA >Et_2A_018593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34297498:34299237:-1 gene:Et_2A_018593 transcript:Et_2A_018593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAVRNKKGRGALCNLPLLLLIAAIQFLVIYSPTLDRYMVMITSEEEFIPEPRVRCDFADPRSDVCEVEGAIRIRGSTSEVFVITPPRGGANITGVGAGSNATSWTIQPYTRKGETRVMNGITEMTVRVVTADEAPACTVRHDVPAVVYSNGGYCGNYYHDFNDNIIPLFITSRHLGGEVQLLVAQKQGWWFDKYREIVDGLTNYEAVDLDKDAGEVRCFRRATLGLRSHKDMSIDPRRAPHNLSMVDFKRFLMWRYALPREHAIRTDDEEQRPRLLVITRGSRRRFVNLDEIVALAEEVGFDVTTSDVMSFKKKNNTSNNVAAGAGKAGGGDADGGQARMADASALVNSFDAMLAVHGSGLTNLVFLPMNAVVVQVVPLGRMEELAMDEYGVPPRDMNMRYLQYNISAEESTLSDMYPRGHPVFLDPSPIHKQSWSLVKDIYLGKQDVRLNINRFRPFLQKAIRLLR >Et_3B_027633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6938607:6939193:-1 gene:Et_3B_027633 transcript:Et_3B_027633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWIVRVDDRFCFSHCDRAHGAAGAVGFLRRQIGGTRGSRAHLIRRKRRILQPGGGVPVAGAPGPHCEVTATMRRRRRRRSRDRRSPPRPHPGSTFSAEARISSPPRCLRRTATDHAGGPAGDEGDDGA >Et_10B_003859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6962784:6974251:1 gene:Et_10B_003859 transcript:Et_10B_003859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVCKRARTAARVSGAGVEVGQSLEERDWAGLVPELVSKIADHLLADDVTEYIRLRAVCKLWRSSTSDPSLMEPQFFPRNWLLLAGEHLRSDGEPERFVNVRTGASIRIRLPHLYLHTHHGNAEGLLLLHHVFTDQICLLNPLTMASTGLPTMHAVSDVARPRADMYYTDSIKAAGIVVNVDEQGRALSLPTVVLSLTTGTDTAIVCAVPGDHVWRAVEMSCTDGINGKQPAIKAGLSIRGCFYVPTRAGDVLTVDLQPQPRLRYVAKMTTDPIHSSFNGSSYLVPSCDDTDCGMLLVRVRRPHGRLGCKKFAVDLINGSLSQQNLSRVTVFLPSVTLLSSGFPSVVENTIYTRGLVPELVSKIADHLLADDVTEYIRIRAVCKLWRSSTSDPRFFPRNWLLLAGEHLYSDGKPERFVNVRTGASLRIRLPDPHLHTHHGNAEGLLLLHHIFTDKFCLLNPLTMAFTGLPTMHAVGDVARPGGDMYYTDSIRATGIIVNVNEHGRALSLPTVVLSLTTGTDTAIVCAVPGHHVWRAVEMSCTDGIKDKQPAIKAVTHFQNVETMNRESVETMPKPKPGRGHTSNSSSNSTTGGCWIQSVAQYR >Et_8B_058805.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:6914668:6914847:-1 gene:Et_8B_058805 transcript:Et_8B_058805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTCYPVVMGWLPVDGSWRQAIGAEFFDYYLVFSSMMLCCCLILPDLALKLWRMNGGS >Et_8A_057077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20852035:20852974:-1 gene:Et_8A_057077 transcript:Et_8A_057077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPDSCYCCYFLLVQFFSTLPLPCDIASLPVVPKLRQRSHRRKDGKLKTEEEQPADEPKINSGSPHKEVQLAQTDILYWILILCYHVDRVTDTAKSSRESESAAVAIASSSVQESPEDTILARLNCCHSHKKPHLLPLKISRRKGQAAATATPAVTKITRDTRPSLLSPDYDLGDGEQSGSGSVIVLDRSPEIRPASMTDNEAAVVALRGSGELPAKQYVLVDHV >Et_7B_053231.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:13533128:13533787:-1 gene:Et_7B_053231 transcript:Et_7B_053231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWERRWALRRLAGDHGGRLRRGHGLHRHAARRRQLPAGLQPLRSVAYNALSELPSNFLVWILLARTNRRSRVVGGGVQPLLRVGSRPAGRGGGRRAAVLLRRVHGVRRRPGLLRRAVPDVRALLGGGAGACGRRWCSAAWRRPCSSPSAARGAGSGRSACSGSLSAAPASSPPASRRRGGGPCRTPWTRRRSAATEPTSRLALVARRPSPTIVVGTSCN >Et_1B_009883.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7263692:7263952:1 gene:Et_1B_009883 transcript:Et_1B_009883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAPSPATLFLLLLLLPPAIELRPGLAYGCRAPKSVAAQMHGGAVSWTVRTPPSMTPPGAGDVGALPVHGESKRLVPRGPNPLHN >Et_2B_022819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:598653:601618:1 gene:Et_2B_022819 transcript:Et_2B_022819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNNKHSCRPWHSSSFVLEQLRNQGGSEVERHGRLRSPGASRTVAALLAEALGCSFIEADDYHSEANKAKMSKGIPLCDADRYPWLECLQDAMRKHLDRGENVVVSCSALQLKYREILRAADGSYKPGSYATCGVKFVCLKASAEDMMNEIRATLQLVSEGTGCLLQQMQPATAFITDWASIRATADSNPRAKAGLLG >Et_1B_010399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10109506:10111668:1 gene:Et_1B_010399 transcript:Et_1B_010399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKAIPLLTPYTMGKFHLSHRVVHAPLTRSRCYNNLPQEHVALYYSQRASEGGLMIAESTGVSVTAQGYPNTPGIWTREQVEAWKPVVEAVHRKGGVFFCQIWHVGRASTYDYQPNGQPPISCTDKQITPEVLEDGTVEEFSAPRRLREDEIPQVVGDFRLAARNCIEAGFDGVEIHCAFGYLIEQFMKDSVNDRTDKYGGSMENRCRFALEVIQAATNEIGSDRVGVRLSPYSNYLDCWDSDPDALGLYMIQAMNKLGVLYCSMVEPEVVKVNDKVQIPYKLLHFRKAFDGTFIVAGGYNREEGNKAVSQGYTDLVACGKWFLANPDLPKRFELNAPLNKYDRSTFYTPDPVVGYTDYPFLNLSSI >Et_1A_007966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39853128:39855420:1 gene:Et_1A_007966 transcript:Et_1A_007966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGDHRCMAGVGANGGTSVEAALRPLVGGEAWDYCIYWRLSPDQRFLEMAGFCCSSEFEAQVSALGDLPSSISLDSSSLGMHAEAMVSNQPIWQSSCVAELPARYSGEPAGAGGPRTRLLVPVAGGLVELVASRYMAAEEQMAELVMAQCGGGTADQPWGEPPPPPGFAWDGAADPQRMYAAAAVPSLNLFDGAVGNGVAAADPFLAVADDGGVAAAAGGWQYAEPSSVAVQQQEQELQGGGGRAGGAESGSEGSELQGDGEDDGEQGAAGEGGKGGKRQQCKNLVAERRRRKKLNDRLYKLRSLVPNITKMDRASILGDAIDYIVGLQNQVKALQDELEDPNTDTPADVLLDHPPPASLVGLDNDDSPRTSQQQQQQPGRKRPREDDEEEKGHDMEPQVEVRQVEANEFFLQVLCERKPGRFVQLMDAVNAQGLDVTNVNVTSYKTLVLNVFRVQRRDTEVAVQADRVRDSLLEVTRDSYAGVWSSAPSVGSTIDVKLAGVDVKLDGGDGQAPAGAEDHYAGYNHLLQYLA >Et_8B_060473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17562117:17587166:1 gene:Et_8B_060473 transcript:Et_8B_060473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTYFLLAACLALLASQVIASDPSPLQDFCVADEHSPGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNTDNGNKLFTKVLNKGDVFVFPQGLIHFQFNPVHDKPAVALAAQSSQNPGAITIANAVFGSKPPISDDVLAKAFQVQKGTIDWLQAQFWENNHY >Et_3A_023118.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2975313:2975880:1 gene:Et_3A_023118 transcript:Et_3A_023118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMKVLVQLLTLVVFFSMSTTSQALGNNGCLLEKQLLKRLCKETIKNEGAFVYPSESCIDTVRDFDMICIRRNLTLQDEAEISVIKIVRLARVCNKPIPGGTRCGSKTLVLCLFFFYKIFFNRIRVDKQHNTDFAFQL >Et_10A_001859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9201268:9203437:1 gene:Et_10A_001859 transcript:Et_10A_001859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFLAQISTLQALLLTCTCPSSHNTQIAIEVSVAPIRRGSWSRQSVSSAQQDRLTRGGYVKKSKEIPVPPIDLQEDLGELLRSEAGADVTFIVSGESLAADKNVLAARSPVFMAEFFGEMNERTAKCVVIEEMEAAVTHGSAATLPAMMTNVIAISGIDARTVLATLALAEQHNCSQLKAMCIEFIVGQSPKNLDAIMASEEFKSLEASNPAMLTQLFKAAHGRIKK >Et_8B_059199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15113014:15120701:-1 gene:Et_8B_059199 transcript:Et_8B_059199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARSTRISDEADSTTTKKGMILPFAPLSLTFDNIRYSVDMPHEMKARGVDEDRLELLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGDISISGYPKKQETFARVSGYCEQNDIHSPQMTVYESLLFSAWLRLPSDVDSNTRKIFIEEVMELVELNPLRDALVGLPGVNGLSTKQRKRLTIAIEFVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVYTIHQPSIDIFEAFDELFLMKRGGEEIYAGPLGRNSCDLIKYFEEIDGVSKIKHGYNPATWMLEVTTISQEQILGVDFSDIYKRSELYQSNKALIKELSQPAPGSSDLHFPSKYSRSSFTQCMACLWKQSQSYWRNPPYNTVRFFFTTIIALLLGTIFWDLGSKVETSQDLLNAMGSIYVAVLFIGIQNCQSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIELPYALLQSILYGVIVYAMIGFEWTVTKFFWYLFFAYFTLLYFTFYGMMAVGLTPNYNIAVIVSSAFYAIWNLFSGFIIPRPKMPIWWRWYSWICPVAWTLYGLVVSQYGDIMTTMKDGRSVKAFVEDDFGFKHSWLGYVATVVVAFGVLFAALFGFAIMKLNYHKR >Et_2B_019204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17364704:17365045:1 gene:Et_2B_019204 transcript:Et_2B_019204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKERRERKDAAEGGDSVQLPTETSPYVQYDDLEDYKMRGYGAQGHLPVSDVPHGGSGTDAPTVPGTRGQGGDAAARRGDTATDAINRHGVP >Et_5B_044992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8053626:8054289:1 gene:Et_5B_044992 transcript:Et_5B_044992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LATLTTQVFMSDLDVQIPTAFDPFAEANAGDSGAAAGSKDYVHVRIQQRNGRKSLTTVQGLKKEFSYSKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSNFLVQAGIVKKEHIKIHGF >Et_4B_039721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27785187:27792715:1 gene:Et_4B_039721 transcript:Et_4B_039721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSTSSDERPTGVARDPSSGPGPQTHAEWAASMQAYYAAAQQHMVAAAAAAAGAGAPYGAPMPFPMYHPAYYAHPSMAAVNAQLFSLIYSFLGVKSSSSVQLMIACWPGSVQGVRYPPGEAAPVVEGSSKMKSNAAPSGDCSSRSSDCGSEKSSDKRDAPAELKVLPSAKRRKPSNANVKGGSLGTFRRDVSGLHDTGCCSWFTVCGQGEDERELKRERRKQSNRESARRSRLRKQQECEELAQKVTDLTAVNGALRSELDQLKKACEDMEAENSQLLGEMEQNEGPGGVLTTLSIQIDASKAHPGNNGHLHKNNDDSKG >Et_3B_028297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1341021:1347685:-1 gene:Et_3B_028297 transcript:Et_3B_028297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAKTLSGKDKLIDLLKKQDEANKPYGAICADTAQVLEPHGLLKVQHPKRMHADWESGYPDLKMWLLRSRLQGKKATTYTSMVSMLADPSECENRVLVDGNLITSRSPGTAMEYALAIVEKLLGRDAAREVAEGLLFRPTSTSPPLPFRRPSQESRLQVPRFRMAAAAASSLVRRAATAASSRLLVARAFASGGGETAKRVLVPVAAGTEPIEAAATADILNRAGARVTVATADPPKDDGLVVEAAYGVKLVADSRVADLEGEAFDLIALPGGMPGSVNLRDCKALEKMVKKHAENGGLYGAICAAPAVTLAYWGMLKGLKATCYPSFMEKFTAEVIPVNSRVVVDRNAVTSQGPGTAIEFALALVEQLYGKEKMDEVAGPLYMRPQHGAEYTVEELNSLEWKCSGTPQVLVPVANGSEEMEALNLIDVLRRAGANVTVASVEDKLQTVTRRHKFNLIADMMLDEALKMQFDLIVMPGGLPGAQKFSSTKKLVDLLKKQAESNKPYGAICASPAHVLEPHGLLKGKKATAFPPMSHLLTDQSACEHRVVIDGNLITSRAPGTATEFALAIVEKLFGREKAVSVAKDYVHPKLNIMLRQ >Et_1B_011585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23860435:23865322:-1 gene:Et_1B_011585 transcript:Et_1B_011585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREEAEVTESSSSTGPSNTIGLAVNSSKSSKYAVKWALKNFVAGDGTRFMLIHVRQKVTLIPTPMGNHVPIDQVRDDIATAYEKEVECRAQNMLLMYKNMCDEKVEAEVLVVKGDDVADTISGVVSACQINKLVVGVSSQGKLVRKSKGARTSSRICKSIPSFCTVYAVSKDGLSMVHSPGSEGGNSSEIFQANESSNSGLHSDDRSSASDSTPSRTSGSNLSGNDLDSSSFADRPRSLHEYLTGSSLTSSILDKNQSSSPCARGQIAASSSLPVSDKTPALSNAMQELMLSEDKASTPCASGQIPGSSKFPIADKTPTGHTALRDLMLSEDKDDANTEIEKLRLKLGHAKGVCELVHHESTSAAQQITELNERRAGEEARLMEVHSRINSAIEAARQEREQRHALEEQAKHLRDLAKQEALKKKNLQLRAAREAHSLQELEKLIEFGGKSHSTFTWEEMESATSSFSEAHKIGSGSVGTVYKGKIHQTTVAIKVLNSNDSHITKHFKQELEILGKIHHPHLLLLLGACLDRPCLVYEYMENGSLDDRLQCRGGTAPLPWYHRLRIAWEIALALVYLHRSKPKPIIHRDLKPANILLDSNFTSKIGDAGIATLLPPRDASSTHTIYKETDLVGTLFYMDPEYQRTSQVSSKSDTYALGMVLLQLLTAKPPLGLADIVERAVDENRLVDILDQRAGMWPVQEAHDLAQLGLGCLEMRSRDRPDLEGKVLVELKRLNNIASAACDPVQPVPTQPPIHFVCPILKRVMQDPCIASDGYSYDRVGIEMWLCDNEVSPLTKSRLPNKNLIPNHALLSAINSWKAEAGDTAVLD >Et_9A_063218.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16064261:16064956:1 gene:Et_9A_063218 transcript:Et_9A_063218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALASCAAHAFGATAGERTSSSSLNLKRPRGVVAPVIRGKMARGPVPARGVGAEDEYSALKHKRAVCGFDVKIVAAQPPRHHKERMEAAAPACKDGARIFLASKRRPEAPSAEEIAKRRKNTSSLKPAAPQAAHRRAAEPCKQQQRATTPATTQRPLAGLIDKAQEVLRRRRLEEIARAREKFRLELLEVEKSAMPDETIYSEDLEELGLTALQYAVTPTRKQAQRRAG >Et_8A_058426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7544280:7548289:1 gene:Et_8A_058426 transcript:Et_8A_058426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVILPSGRTYERACVTACAELGLALGPGGGVVDREVTTGGGGADAAAEVAIPNDALRAAVRTWCARSGRAPPVAPSAEEAREAVVRAAPARSASNLSSWSAVSRASAASTSSSSSSARSSAEIPVVEEVEVLRVKDVVKEVEAEPESVVVPDAEEEAVAVKAVEAGDETAVEAAMASLRRATREGGPARRRALCAPRLLAALRRVLLSSRHTASARADAAAALANISLEPENRVAVVRAGAVPALVDALASPDDEAREHAAGALFALALHDGNRAAIGVLGAVPPLLAVLADPSASPRARRDAGSALYHLSLAAVNQSKLARAPGGARRLLAVACDAAERAELRRLALMVACNVAACAEGRAALMDAGAVAAVSAVLSDNSAPTSSDDLRQWCVAALYAMSRGSARFRGLARAAGADRPLTLVADQASPGVHKEMAQTVLRTVLGLSDNDDGDKESNNNGSTFASARPHRRRVASWAAPAAATPPTSHHWRSVGVRSRDQVPFAKPFDMDVVGWEIRIEMPIGTR >Et_6A_047606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8046047:8048583:1 gene:Et_6A_047606 transcript:Et_6A_047606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPHLVFFPFPAQGHVTPAFQLATLLHRRDSFDITFVHTEHNRRRLLRARGPAALAGAPGFRFVFVPDGLPPSDEDAAQDMAALHSSLPSTAPRHLRNLLLQSDPAIATVVISDMDHVLRAAAEMGLPCVTFWITSASSFMAFQQCELLVAKGLVPLRDAEQLRNGYLDNTVIDWVPGLPKAMRLRDFPTFIRTTDPDDAVLALTLRSMECLRTVSSAIIFHTLEELESPVLSAMSSLLPPVCAVGPLTPLLHRQEEEDEDDTLLPGSSNLSMEDRACLDWLDGKPPKSVVFVSFGSLVTPTQDRLEEVSWGIANSGYHFLWVIRNDQQALPAGFLVETAARGRVTSWCPQEVVLRHDAVGAFLTHCGWNSMLESLCAGVPMLCWPMAADQQTNARLACTVWRVGVEVSEGTAREEVEAAVCRVMGEEEPRRFAMEWKEKVARATGPGGSSWAGMERSAGFVAGFFNPVMNSPSLYGP >Et_7B_055441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10716865:10718391:-1 gene:Et_7B_055441 transcript:Et_7B_055441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLCILHRLELPQALAFASVCTAWRSTAVAAGIPCSSAPWLMSWADLLKEREKPGRSSSAVSCNMYHLLDVDKAYGVSFPQGCFIACCGASHGWLILVNELSNLVLYNPFTTVMIHLPPITDFACVNAVYSSEGNLEHYLETGQVHVITSLGTWFYQKAVLSCSPSKGGDYVVMIIHRDNDWLSFVKSGQSKWQVASTLDVSGRDRYADCAYHYGRFYAVTFDGMVEQWDIDDLNGITRKVVIASRIHVGPILSRHLLSTSWGDLLQVRAHLELGYPDGIRFQIHKIKYDESIVSNKAKETDQIR >Et_2B_020170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17529070:17534179:-1 gene:Et_2B_020170 transcript:Et_2B_020170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKVATVEWCWMVRAGLRLLFHLWTYLQEKGGMKSMHTTWVQRKCIPSFVIDLGSSFTFVSSRHKYRRLNSFPMESGISSISKPDKSKTVRYLDSEKMKEYHEDIVMLVSNDMNVRCLDGYRIGLTPLLHWTTDTRRLTHKIMHTDTLDPIKTVQLHNLKHISSDKFSQLMRIMRPFEQCKRVSAKNKICRRAKLKTAKLKQQQFVEASVQRRMEFMGTVVIPDYSERTLRSQDIAISGDTGAMHNRRYKRSAIQTAEECGCDVTVGALYFTQKLPVYAFDGDSNA >Et_6A_046371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13782922:13794877:-1 gene:Et_6A_046371 transcript:Et_6A_046371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVPATSKEAAQRMLMCSDLSIAAFKGRTEEVTGLLTGSNHATAAHRNAIHPGPCCTTREVTAERSTLLHIAAGQGHVSLITELCHRDSALLSLVNSAMDTPLHCAARSDHADAIEAIIRKALDYMEEDQLRGLIVCKNKDGDTALHVAARHGHGAAVETLMKLAPELASELNGAGVSPLYLAVMSRSVQAVASIVEFRDASAAGPNSQNALHAAVLQSSEMVSLLLRWRPALATDLDANMSSPLHFASSDGDCSIIQEILTYAPLSTTFLQDSEGLSAFHAAAVMGNDRAVRLLLQAHPSSADIRDNRGRIFLHAAAMRGHASIVSYAVKNNQMLEHLINEQDMDGNTALHLAVQAGEYKVICKLLSSGKVQSHIMNNAGLTPSDLIETSKGFYSMVRLVVKLFFYGAQFSPQRQDHIKKWSGQDIMKWREATSKNLAIVSTLVATIAFSAAFNVPGSYGSDGKANLNGNRMYNAFLLLDTIALTAAVMATILLVYGRASRSSNRAWLGFIISMHFLWLSLLSMMLGFFTAIAAVTNGTNTSTRSVMYRLMYCGLYFLIMVLTSLAMPGSVIEVLWFLVCGGSQHQSHDKRRISRQYPFAFIYAFNILVFIVVNTLALAAVDTTVTECSFKDDLFPTKLVSFDPIKMHHVEVV >Et_4B_039355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11179656:11181614:1 gene:Et_4B_039355 transcript:Et_4B_039355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSFFVGILGNIISILVFTSPIKTFRRIVKNRSTEEFKWLPYATTLLSTSLWTFYGLLKPGGLLVVTVNGAGAALQATYVSLYLAYAPKETKVRAACVNTMPAFDGVALEFCLNAKMVKLVLAVNVGFLAAVVGVTLGALHGGARLLAVGILCAALTIGMYAAPLGAMRTVVKTRSVEFMPFSLSFFLFLNGGVWSVYSVLVKDFFIGVPNVVGFVLGTAQLVLYMAYRKKKKPSKNDDAELEDEEDGVARLMGQVEMAQRRPRPLHKGLSLPMPSIGSPRNGFGSSVIKSLSATPVELHSVLHQHGRFEPLNKDDGVEANHH >Et_2A_015915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19077162:19078583:1 gene:Et_2A_015915 transcript:Et_2A_015915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSASTMSLLPISQLKQQHHHAAGALVVFRRRPRDAWRRRYVVTTARLFGPAIFEASKLKVLFLGEDEAAATAAGKQPLLPRTYTLTHSDVTASLTLAVSHTINRAQLQGWYNRLQRDEVVAEWKKVRGRMSLHVHCHISGGHVLLDLIAGLRYYIFRKELPVVLKAFVHGDGDLFRRHPELVEATVWVYFHSNLPRFNRVECWGPLCDAAAPAEEEEGQQQNDQNQMPAGEWPRKCPEQCECCFPPHSLIPWPNEHDMAAADAPTAGQMQQQ >Et_2B_022107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9259012:9261965:1 gene:Et_2B_022107 transcript:Et_2B_022107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIAPSPSSSSSRKENGSKDSNDSNGTPASPGSSSSSSSNSGSPPNSKGESSPPPSSSSGGSSSNSPSDSEDSSSSPSPPSPSESPPTSRSSPAPSSQPSSNPPPSSSNSGSSKSSPPPSPPSNSSPNNGNSNSSPNRGNSNSSPPPDRLSSSSSPPQRSGVSSPSPPPPSSPSSSQVPPTESGESSSSSERESPPRSSPSPPQKSNSNDESSNSQHSPPSPTPSSPSPPAPTNQTVVFIPVPASSNGSPVVLPPPGTVAISPGTSVTSTNLPGSTTAGSQVPGSSSGTSHGGGGSSTNGDGSSQSSLASSRAGTTHIAAAIAGATITGLMFVVVAVVLVVSRRKKKRSTDGLVYHSDGNYYTHSGQLGGPNQSGVLYPPPPGASAGFSYGPAPDGGGYYQSGNMEPPGSKSSFSYEELTSITSNFSRDNVIGEGGFGCVYKGWLADGKCVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCVAAHHRMLIYEFVPNGTLEHHLHGRGVPVMNWPTRLRIAIGAAKGLAYLHEDCHPRIIHRDIKSANILLDYSFEAQARVTNEDPPFFSWKILAIIQCFNPVADFGLAKLSNDTNTHVSTRIMGTFGYDEHDSVTSFSSLSRYLAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDQTRPLGEESLVEWARPVLSDAVETGDLDELVDPRLDGAYNRAEMTVMVEAAAACVRHSAPKRPRMVQVMRALDDDAGGMSDLSNGVKVGQSRAYGSGTHEAAIQQLRLTAFASEEYTGEFEQSREYGGGSGDSETQPMNRRLG >Et_2B_019912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15088497:15093275:1 gene:Et_2B_019912 transcript:Et_2B_019912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTEKASADGENDRVRFGVSSMQGWRTTMEDAHAAFPNLDYCTSFFGVYDGHGGKAVSKFCAKYLHKQVLINEANSSDDLSTSVHKAFLRMDEMMKGQRGWRELNEMGEKGNGHKITDMLEGIIWSPKGTDSDKLEDKWESEEGPNSHFAGPTSGSTACVAVIRNDELIVANAGDSRCVISRKGQALNMSTDHKPDLEGEKERILNAGGFIVAGRVNGSLNLARAIGDMELKQNELLPAERQIVTAEPELKTVKLSEDDEFIVLACDGIWDCMSSQQVVDFIHKHMKTEKLSAVCEKLIDHCLAPVSGGDGCDNMTVIVVQLKKPVSSVATSSAEQSAVTSEEMRPNELDGPDDPSK >Et_6B_048248.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:17514513:17514901:1 gene:Et_6B_048248 transcript:Et_6B_048248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPANFSFHLLIVVILTAFTMARSTAPTTANPPVVMAMPPSSSSADEAYLRARCATTKNATTCYKALLPFASSFNGSQVRISFAATAVAFGQLRGFLAELRRLQAAGGTGTRSPGDQALEGCVLVMV >Et_9A_062705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3423937:3425683:-1 gene:Et_9A_062705 transcript:Et_9A_062705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTNDAPIANNQPATEEVTVEREDAEAAAAAAAEEERLMYLAFVQQAAAQALVLAAAAYAYAKQGAGPLRPGVDHVEGTVKAVVGPVYDRFHGVPLDLLKFLDRKILFQAYGSLCYSPLQVGESVEELDRRVPPVVKEAPTLARSAAAEVRQAGLVGTATGLAKSAIARAEPKAREIYTRYEPVAERRAAEAWVALNRLPLVPTVTRAVLPTAAQLSAKYNSAVLDGAKRGNTVATYLPLVPTERIARVFAYPAADSAPVPEMQPIPSQ >Et_2A_016384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24011704:24015382:-1 gene:Et_2A_016384 transcript:Et_2A_016384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTLPPVPPNPRQDAIDLHKAFKGFGCDSTAVINTLTHRDTVQRGLIQQEYRAMYHEELSQPMLLWLLDPAGRDATVLREALSGDTIDLRAATEIICSRTPSQLQIMKQTYFARFGIYLEHDIGHHATGDHQKLLLAYVGIPRYEGPEVDPTIVTHDAKDLYKAGEKRLGTDEKTFIRIFTERSWAHVAAVASAYHHMYDRKLEKVIKSETSGNFEVALLTILRCAESPAKYFAKVLRKSMKGLGTDDKTLIRVVVTRTEIDMQYVKAEYYKKYKKTLAEAIQSETSGNYRTFLLSLVGPGH >Et_4A_033552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24351780:24358756:-1 gene:Et_4A_033552 transcript:Et_4A_033552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSSSSSSSSAPLHVVICPWLAFGHLLPYLELAERLALRGHRVTCLATPGNIARLPPVRPAAAPLIDLVAMPLPRVDGLPDGAESTNSVPRGKSELLWKAFDGLAAPFAEFLSAACASGKRPDWLIVDIFHHWAASAALEHKVPCAVFILSSASNIASWDRRWSSWRADPAAAAEILAATGHEQPAAVPLYESKKKTQLFVNIGESGMSIVGRFFSTLELCTVAVMRSCLEWEPVSVPLIPTLAGKPVIPLGLMPPSPDGGRGAAVNGEDASVRWLDAQPVNSVVYVAMGSEVPLSVEQVHELALGLELAGTRFLWALRKPSDVVDADVLPPGFEERTRDRGLVIMGWVPQINILAHGAVGAFLMHCGWSSVREGLLFGRPLVMLPVAGDQGPNARIMEGKKVGMQVPRDENDGSFDRKGVASTVQAVMLDDETRRVFVTNAKKLQEFVGDNQFHESCIDQFIRQLRSYTNSSRCIPRDSTHPFAMNACLSSSSSSLLHLVICPWLAIGHLLSCRAWTPPSAWLCENTMSRIIERFPPARRDAALLIDFAALPFPRVEGLPDGVKSSNDVPFEKFELLWKASDGLAAPFTEFMRAACAVEGRSRRPDWVMVDIFQHWASLTWRPNLFPLFLLCVHLISRVSQQVPCAVLILGAANNVPAWVNQLSWRAEPVSGADGEAP >Et_1B_009934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1254730:1255944:1 gene:Et_1B_009934 transcript:Et_1B_009934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEDFLKVLRALRDAARRVESGEDRGPALHALLALEAGADDLLAGDPNLSALRRLLHRLRALWCSVDLCGTRARCRRCAKRRGIARVAGAVAVEIQAWIDRETIARLVAALRRSDDGGADAARALLVELESRLLSVGRFDPRLQRALLQHGAFAAVEAKLGDPAVGDGCAAAVLALVRFNKDVFVGPVLMGPAVGALVAAAADSPAPLRALNGLVAAIHSPLVDELHARGELPRLVALLCAPDPRVRALALEFALHLGYYGRKEVVDALLAEGLVKRLLCLQRSDQGGSLGDTDVACCSPPEKPDGIHSVLFACLPWWHWRMEDDGAAALDSERPFVSAVARFAVQVEIGEGLSPREKRETKLNILRRVREAAVSPAEEATVLTEVLWGATL >Et_1A_008996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24216638:24217776:-1 gene:Et_1A_008996 transcript:Et_1A_008996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVATEHLLSARRVNLVSDDAIAGEVRAMVRRLLLAAESDDDDGMSKEAREMKGIYQEIVPLVGVANLSDYVPLLRWLDVCGVRRKLADAVNRRNALIYKMIDAERQKQLQRRNDERKSMIGIMLELQETEPDVFTDTCIAAQVANLLGAGTETTSTTAEWAMALLLNHPHVLKRAQEEIDARAGGGSRLLDKNDLPHLPYLHYIITETLRLYPATPILLPHEASADCKIHGYDVPAGPMLLVNVHAIHRDPTVWEKPEEFRPERGGASAPARTSPCEPSGWFWVLSSSALTGVGSEMERLT >Et_5B_045250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14461672:14469080:1 gene:Et_5B_045250 transcript:Et_5B_045250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNPMVASTLLKLLFLFLNLRAFTAGDKQFVYSGFSDAKLVTDGATTVTSNGLLELTNGTDQQKGHAFYQTPLSFKNSPNGIVQSFSTSFVFAILSVYTDLSAHGMAFVVAPSINFSLTALPGQYLGLTDIKNNENSSNHFLAVELDTIQNKEFNDLNANHAGANINGLKSLKSYYAGYYADEDSNFHNLSLISREAMQVWIDYDDNVASITVTMAPLKVARPIKPLFTAIYNLTTVISDVAYIGFSSATGTINTRHYVLGWSFNMSGSAAAIDIGKLPKLPRIGPKPRSKVLEIVLPVVTAVFVLVIGTLILLLVRRHLRYTELREDWEVEFGPHRFSYKDLFDATEGFKDKHLLGIGGFGRVYKGILPISKLEVAVKKVSHDSKQGIKEFIAEVVSIGRIQHCNLVRVLGYCRRRSELFLVYEYMPNGSVDKYLYGIDGKPTLNWSNRWCIINGIASCLLYLHKEWEKVVIHRDIKPSNVLLDSDMNGRLGDFGLARLYDHDADPQTTHVVGTIGYLAPKLGHSSKATPHTDVFAFGIFLLEMTCGRRPINENIQESKCMLADWVLEQWNKGSLIDSVDTRLQGTSNSQFIYHGFAGVNLTLDGNAMVTPDGLLELTNDTVNLGHAFYPTPMKFHRQLNNTVQSFSVSFVFAILSVHEDISADGMAFFVAPTMNLSNTWAQYIGLLNSGNDGNTSNHMFAVELDTTQNDEFKDIDNNHVWADYDGDASQIRVFLAPMGVDKPVKPLLSAPYNLSSVLTDPSFIGFSATTGAISTRHCVLGWSFAMNSPAPSIDVSKLPKLPRIGPKPHSKVLEITLPIASASFVFTVGVVIILLIYRRLRINKKNLLGVGGFGKVYKGVLPKSKVEVAVKRVSHESRQGIKEFIAEVVSIGRLRIVTLYRYLAIVGEKARIGCVRAGEASGWHGVRGELGRGSRKGKQAGLVGSKQRKRRGKRGKR >Et_4B_037474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20154370:20158384:1 gene:Et_4B_037474 transcript:Et_4B_037474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTKYYEILGVPKTASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHVDPFDIFSSFFGGGSSFGGGGSSRGRRQRRGEDVVHPLKVSLEDLYNGTSKKLSLSRSPDTVTGDIVFVLQQKDHSKFKRKGDDLFYEHTLSLTEALCGFQFVLTHLDNRQLLIKSNPGEVVKPDQFKAINDEGMPMYGKPFMKGKLYIHFTVEFPDSLSLDQCKALETVLPPKPTSKLTDMELDECEETTMHDVNNIEEEMRRKAAHAAQEAYEEDDDMPGGAQRVQCAQQMQILDLEDVLLMLLWVLDRDEELDAEAAVVADAAYVVAHAEGVERDDGGAGAEGVVGVAGGAVINPFSNLKTVSHGTRMTDAALVHTAASLALLRTCGLTEVVAGDERRVGRPGFVQAGARWDAPRGAPDDVVGDGEGEGWHREE >Et_3A_025304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28802173:28802594:1 gene:Et_3A_025304 transcript:Et_3A_025304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVELKVGMHCERCIKAIKKAIKTIDDMESYQLDTETNKVTVTGNVTPEEVVKALQKIGKTATSWGED >Et_1B_014426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8441574:8446139:1 gene:Et_1B_014426 transcript:Et_1B_014426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAPLDEAKAKSVLRQVEFYFSDSNLPRDRFLRETVEQSDDGCNDLSFAWSTSLLIASSGAAVVSLALICSFSRMKSHLGLDAAVKAETMPEETVLAVAEVLRRSPVLRVSEDGKKIGRAMELLKPDEIIEQVDSRTIAASPLPYNVKLEDVQSFFSQYAKVNSVRLPRHFANKKHFSGTALVEFSEEEEVKNIMENSLVFAGANLEIRPKKEFDAEYESKKEAYEKAHPRKDSQDEGYPKGLIVAFKLNKITVDSAVQQNDSDKVNESDTNLENSDSMEKPSGQTKEEKGSDGITVEKEANTSEATESGDKDTGASLVESEKCEDNALLSGNGKSIAGNAKNPISREDLKEAFNKYGTVRYVDFSIGDDSGYLRFEDSEAAEKARVSAVLTDEGGLIIKDRIVTLEPVIGEAEKDYWTTIRGIQGKNKDNRNFKGRGGKNLRGGKQFNGKRGRNSDSEKSSNKAQKLEAAA >Et_9B_065022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20516458:20530858:-1 gene:Et_9B_065022 transcript:Et_9B_065022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARHEWHSLKQTSRIRRPLLLPPCSRVREPSECAIRRPIPPSIKCELLLLPCPANGAEESVMAAQGSEEEEEKGVLGLHRLFRFADGADALLMAAGAAGAVANGVAQPLMTLVFGEVVDAFGSGSRHDVLRRVSEVCLKFFYLAIGSWIACFLQVACWMITGERQAARIRGLYLEAVLRQDIAFFDKEMTTGQLVGKFIQLTATFVGGFVIAFSKGWLLASVMLSSIPPIVIAGATMSWIISKLSTQGQAKYNEAGNVAEQTIGAIRTVASFNGESRAIGLYNKYIRNAYISAVQEGTATGLGFGFVMFILFCSYGLTAWYGAKLIINKGYDGGQVVSVWMAFMTGAMSLGEATPCVTAFASGRAAGYRMMQIIQRKPEIDPNRSDGIVLTNIKGDIELRNVYFSYPSRPDQLVFDGFSLHILCGKIMAIVGESGSGKSTVINLVERFYDPQAGEVLIDGVNIKSLRLGWLRENIGLVSQEPLLFSTSIKENIIYGKEDATADEIMVATKLANAANFIDKLPNGLDTVVGEHGAQLSGGQKQRIAITRAVLKNPKILLLDEATSALDAESERVVQEALNRIMQDKTTVVVAHRLSTIKDADMISVVHRGRVVEQGTHTELLKDSTGAYSHLIQLQGITGSGRPDVSDVNYKKPMLTVQRANLHLITTASMLVPESTHTERQSKVSDDDEVRRKVPLSRLISLNKPEIPVLLLGTGAAVIAGVLFPMLGVLISSSINSFYKPPHQLEKDSRFWTLMYVASGVVSLISLSMEYFLFGVAGGKLVERIRSLSFERIVHQEISWFDKPTNASGTVGARLSVDASNIRRLVGDSLALLVRSTVTVLAGFIIAMVANWRLALVATVVLPLGGLQGFLQIKFLEGFSADAKAMYEEATQVAHDAVSSIRTVASFCAEHKVMKTYYGKCKAPVRQGIRQGIVSGLGFGLSFFVLYSTYALCFYVFFALLMATIGVSQTSALGSDSAKAKESASSIFALIDRKSKIDPSCDDGMTLVHVAGELELRDICFSYPSRPDAQIFRDLNLRIASGKTVALVGESGCGKSTIIALLERFYDPDSGMVTLDGVDIKNLKISWLRRQMGLASQEPVLFNDTIRANIAYGKEGEATEEEIIAAAEAANAHQEAYTACYHFPWWNRGCVYERLASNTCHDFNCTPIYPGAIVSRMLSQISGKGPKSYSDAGDIVEQTIGSIRTGYDSTVGQHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDLESERIVQNALNRIMVNRTTLIVAHRLSTVANADSISVVQQGKIIEQGHHNELVKDPGGAYSQLIRLQEANQEDGYQLDAGISLSRSTRSLSLQRLTNHNGTHSEKKNENNDGKIPKKAPIGRLLTLSKPETPVLVFGSIAAAIHGALIPMIGFLLATAAKILYEPLEKRGEDSIFWSLVCVGLGIISMVSRFANCFLFGIAGGKLIERIRALAFQSIVHQEIAWFDDSVNSSGSLGGRLCIDVLNLRRLVGDNLAITIQCTASLLSGIVIAMISDWKLSLVIMFVIPLIGLQGYAQVKFLKGFSQDAKMTYEEASQVAADAVVSIKTIASFCAQNRVVTVYNNKCQASRIQGIRTGIVGGLGFGFSNLMVYSSSALCYFIGAQFMSHGQSTFSSVLKAYLALVLAMIGLSEASALATNTKKAKDSAMFIFSIIDKKSIIDSSSGDGLTLDLVKGDIDFNHISFKYPCRPDVQIFTDFTLNIPSGKNIALVGQSGSGKSTVIALLERFYDPDSGTISLDGVDIKNFRISWLRDQMGLVSQEPVLFNDTISANIAYAKPVATEEEIIKVARAANAHDFISSMPQGYDTVVGERGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERVVQDALNKVMVGRTTITVAHRFSTIQGADLIAVLKDGMIVEKGAHELLIGNKGGAYASLVELCSGTA >Et_8B_060078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5734270:5734917:-1 gene:Et_8B_060078 transcript:Et_8B_060078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSDYRPTTVWAGPPAAFPLSQGKPGNKSPTPPQPTMSTTAADDADDPHRHSDGSVMPDVLSKGREVCYKARDAFYACVEKHADKKPTEIATMGLLYPSDCKKSRANFVNNCRPSWVKHFDRQYCAKKRVQRLLDGDEDRRGPISLPQPYTFKQ >Et_7B_055439.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:10683821:10684648:1 gene:Et_7B_055439 transcript:Et_7B_055439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSSYFFSSSSSDKKSSSSSSSSKRRQQQAAAQQQLPDGNTTRYLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAEEAAVAYDRAARSLRGARARTNFAYPDLPPGSSITPYLSPDLTSGDNSAQLLQPFYATDPSGGAALPNPAANGGAAGDDYGYGGYNYSDADMSSLMGDISIPDDLSAMGGGDSGGAMMDVSSMYGGANAAGAGGGWCDASEFGGYGGASSAVDPAAGAHGVYFEEGYVHSPLFSPMPAVDDACADGFQLGGSSSSYYY >Et_3A_025407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29605488:29605951:-1 gene:Et_3A_025407 transcript:Et_3A_025407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VPAAGCQSRSRRSGRGEAARGAEVLPECAQAHILERPVRRHHVRWHPSRAGRLKPVPHHWCFFLP >Et_9A_063276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18652794:18657311:1 gene:Et_9A_063276 transcript:Et_9A_063276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHPNGEYSYSAYYVDFILMDVCAFHFFTRLVRIPMFMSLQVNHGHLHTLKPPNTSRPAFLGTGHLATLR >Et_3B_029535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25878341:25882930:1 gene:Et_3B_029535 transcript:Et_3B_029535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGKDQDRTVAVAVEDPSSVTTRDAGAVSTKPTRRYPLALWIAILGLIMLVGMYIFSLSLKQNGMLFGVMQTNMIEKEREKPCHNPSIPDTEIPYVHYPTPNTYDRKECACNAVRFFAILSMQRSGSGWFETLLNSHENISSNGEIFSVKERRSNVTTITKTLDTLYNLDWYSSAAKNECTAAVGLKWMLNQGLLKNHQEIVAYFNRRRVSVIFLLRRNLLQRYVSILANAHDSTMKQLNGTHKAHVHSRDEADILAKYKPTIDKKLLIPELKRADKLAADGLANFKNTRHIVLYYEDVVKNRTKLMDALDFLKLPKTKLSSRHVKIHTKRLRDHIENWSDVNNTLKGTQYESFLNGRRYVCIRLIHRGLYLVYWSKAALAKLVVD >Et_3B_031651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6893951:6894812:-1 gene:Et_3B_031651 transcript:Et_3B_031651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPDCLVLYDMTQLELLFCQVRAVAEPDEAARRGRIEHTSSLVWGSTTWTSQSYDIGLYELPASHPDSHSLPPTKTAIGSMAAVNRKFYFLNPTSTDVVGVLSFARDPAPHMELDTFDAPLPTIDADAPQVVTMSFLLESSKELFLVCLFYLGCGFERSGAYRMDFSKREWRKVSDIGDAAFLLGPGSFAASCSAAEHGLKRACVYLAYDVFDLTEGTRELVVGPTQGDMPVLAREPFWMVPVLP >Et_1A_006126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18140357:18173134:1 gene:Et_1A_006126 transcript:Et_1A_006126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYPNGRRPNCSEYIYVVLCLVRVRVPPSQSRRKPHSTSRVSVTFKAAGETFRGHRYVLAAWSPVFKPELLGAMKESSSDVVVSVDDMEAEVFRALLSFVYTDTLPDYAELTEQEQAAMVQHLLVAADRYDLERMKLICEERLCKHIDMGSVGNILVLAEQHQCHGLKEECLRFLSSPSKLNAVMACDSFEHLTGSCPSVLRELLSNFAGRFIKRTWLEESEHLKDDRFTIRCDVVVSKELRTENRAAPPAFVKVPPSDLHLHFGNLLEDKKGADVKYQVGGETFLVHRCVLAARSAVFEAELFGPMKESANMAVIPVVDMEAEACFKFLSSPLTLNTVMASDEFEHLTRTCPSVMKELLSNIAVQRLKLLCEDKLCKRIDMDSVVTILLLAEQHNCPGLKKACFKFLNSPIDSIVMASDEFEHLTRSFPSVMKELLSNIAATIAAAAAPHERTASYTRPLMSVSSSAADEGACWRSASAIVAGAVTGYHVLKIVGYSRTKDVPNGDKIKSRPFLVGDCKWHVEYQPNGNTAESKDFISLYIFLDNIVAEAVKAQVKFSLLDQDGKPVPSYSFTTQVVNFSEKKNWGFLKFIKRDVLEKSAYLKNDTFSAELYGPMKESNTTKVIRIDDMEADVFSALLTYMYTDTLPQMKEEEESAMVQHVLVAADRYNLERLKLICEDKLCKHIDTASAASILALAEQHNCPGLKEACLEFLRSSGSLEAAMETDGFELPVPKPYSAGEPEGSLPSQFPEEGQVSPPMSSSAGGRKRLRSASSIIANTTRGYHILKIDNYSLTKATPTGEYLMSHTFTLGDHRWCLHYYPNGYDSGSKDYISIYLRLDESVDEAVKVCYQINFIDDADEQTLALDRQHSLGVFAVQKKGRLKSKHLKNNSFAVRCDMVVINEFRAEEKNADLIDTPAFVSVPSSSLHEHLGDLLRTEKGADVGFEVGGETFAAHRCVLAARSPVFSAELLGLMKESDTASVVRIEEMEAKKVVSSDGFKHLSRSCPAIMEELVAMLSMLGTLMSPTAVDGKQLRSASSIIAETTRGYHILKIDGYSLTQGTPTGESLKSHPFTLGGYRWCIRYYPNGDRSESKDYISIYLCLDQTVTKAVKAQYLFRFVDNVDENRSHWNGWQPFKATLTGATLSSSKKKSLRNPSISKTIRSLSVIKEFRSKERSDLVDTPAFVSVPPSSLHEHLGDLLRTEKGADVVFEVGGETFAAHRCVLAARSPVFNAELLGKMKESDTAYVVHIDDMEENLKAVMSSDGFKHLSRNCPTIMEELTHSVWEAAPGSSCTTPTGARQRYISLFLDLDDAVTNAIKAQVKNSNHAGGIHPSFFILMILSPRPSRQWPISVYSTSMGKKGSWGYPKSIGKEVLEKSKHLWDDCFTLRFGIHTEETPVIVVPSSDMHRHFGNILSGELGTNVKFRVGSKTFSARRIVLLVGTTVFKAEFCGPMRVWRDRVPPKEVQISLPMSPNAAAGEPLRSAFLIIADTARGYHILKIDGYSLTKGTPTGEFLKSHPFTFGGHRWCIRYYPNGDRSEVKDYISLFLFLDGSITKAVKARYQFRFVDNVDEKPLAFEAFEKANNFSASSGWGYKKFIKVEEFEQSKHLKNDSFAVRCDIAVIKEFRAEVDTPAFVLVPPSNLHQHLDDLLLSEKGADVVFEVGTETFAAHRYVLAVRSPVFSAELLGMMKESDTAGVVRIDDMEAKVFKALLHFVYTDSLPKTKTGQEEEGEEEEEKQEERLMSQHLLVAADRYDLERLKLMCEEKLCKYIDAGTVATVLALAEQHHCGGLKKACFSFLKSPDNLKAVVSSDRLQASQ >Et_4B_036908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12530712:12533725:-1 gene:Et_4B_036908 transcript:Et_4B_036908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNFRKRSIEPDTDDRSDDEDTRRYPLPSNPGLFTDQSLAPSPRSVALEEIKYMQKLRERKLGIPADPVAASTNGSSARGRVGGGSAAAGEAEKEDLVLQDTFAQETAVTIEDPNMLRYVETELAKKRGKIVDVGNKEEKDHVDELYTVPDHLKVKKKNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKMLQEKRLANKPKSDSNIPSSYSADYFQRGREHDEKLRRENPGSYKERDSRPNETAGGKATDTKNPEGTAAGRREAASDELMLERFRKREKFRVMRR >Et_4B_039263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9220788:9224167:-1 gene:Et_4B_039263 transcript:Et_4B_039263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKRGRWTAEEDEILATYIAKHGEGNWRSLPKNAGLLRCGKSCRLRWINYLRADVKRGNISKEEEDIIIKLHATLGNRWSLIASHLPGRTDNEIKNYWNSHLSRQIHTYRRIYTAGNDTTITIDISKLHSAEKRRGGRTPGRSPKSSTSGGDKTTKQAGPEPGEPPESAKAKDAAASPAAATSAASSPPQSDGARSAVVDPDQNQPNSSSDGGTNTPDGPCSEDATGPMALEPLDLGLWEAESEMEMEALLSSSGIGGGDSSFTTGLELDVVGEAPVDDLLDMDWDGFAAHLWGDPAQNNNDQSGAVQASEPQAAGCNPEELESFATWLLSDF >Et_1A_006383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21766478:21773121:1 gene:Et_1A_006383 transcript:Et_1A_006383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASTGLRLHRRGERDPKPPALLPLPLPPRNPTPPPPAPSSPPHPIPPLTFRYLSPCPRWSAWAAAALRDSAFAPALASAAISDAVAASTAAVAPDRPALSALLSLWDPETHAFRLPGGPATFSLEDALVLAGLPPSGASLDRPLSPEEEDLRIRLVVEKEKIRELHPCARAARRVSAEVWLEWFEGGIRPGEDDELRRLGFFAYWLAFFVTPRLRSRAGELPERVFGIAARLSLGERIALGPAMVANLYADMDKIVASTMAEGASVRVDVWSPVWLLQAWMWERYKLLRPPKLKAPPFPISSVRVLHWSRRKKTSSLEEALQVLQNEACFDWKPYLSNSLKWIEPKWFNEDTILVICTGKDKPDWFADYISVISQTVLTGWYGDDMDSSVLYNPHIVARQFGYDQVVPVSVANDFATLANELWIPSVGRHGMASDDFVAWCRNGWLVRHQDADQYDCSVKQGHANGDSSLSLRPNKKNVVLESDGATTRGNNKYIGEGQLAQHGNGTQGNETNVIVLGLSACDKVCNTSAVNQKKEKKKRRDKFAEDRASEQNKTIVRKSSTKRILQQGDLDFSFKRCDETAQLDSDDECIVLEPHDTICEVISLDDEVELIALDPESLDKQLVLELDEFVRSGLLSQWESSSDDDEGNGRKRETLKSRCDDPYAEAAMREYPLFFEFIPQKPHYRGLVKNDKVLGDLVCTGLWFLLIDLAKEVLKTSCNTDVSEIAYLMKKAKHLEQFGFNVKHLIARLKEPQIRLKRLQDSMEKLEDARKKEQDAKEGVKSLSSHLNKLKHNIQTMEKHLGENKKAFISPVCAKLDERIDLVRLEKEVEAAEKYCQAMKDEKFIISWVSFVPPVTLPDRLRAPAKIKETRDGREATVEKGKRTAARAALPPLKVIGETRADPREEWLGTCRIQLDWLGLSCGPQGCSDRLGLYNGVTI >Et_8B_060311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9173880:9178214:-1 gene:Et_8B_060311 transcript:Et_8B_060311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCSRGSLPTWMTAAASRVDLSGGVASSHQGAPSSSSSSSQAPPGAGPDQELGMAERALSAAGAAFVSAIIVNPLDVAKTRLQAQAAGVLYHHPPQMAALGPDAILSEFRCSPSCTRGIILGSEPVCPPDCFQYKGTLDVFLKVVRQEGFGRLWRGTNAGLALAVPTVGIYLPCYDIFRNWMEDFTRSNAPGLTPYAPLAYKEFRPGVKPPGMWKTLVGVLSPIASSSQNVQNYRALWTGVGAQLARDVPFSAICWSTLEPIRRKLLGLVEEGNAASVVGANFAAGFVAGSLAAGATCPLDVAKTRRQIEKDAEKAMRMTTRQTLNEIWSSGGLKGLFTGVGPRVARAGPSVGIVISCYEVVKYALHQRHSS >Et_8B_059719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2121417:2123765:-1 gene:Et_8B_059719 transcript:Et_8B_059719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLTRLLVLLAMVAAAAPPRAAAQSGAGACAAEKFSSNRAYAACSDLPRLGASVHWTYDAASSSLSVAFVAKPPSGGWVAWGLNPTGDGMAGAQALLAAPNGGAYDVQTYRISGYSLGSPGKIDYDATDLAAELGGDGRVRMFGTLKLQNGTGEVNQVWQVGPVSGGSIAPHAMGADNMAAKGKLNLVTGATTAASGGGSLLRKKNTHGVLNAVSWGLLLPMGAIFARYLKTFKSADPAWFYLHVIGYAVGVSGWATGIHLGNLSKGITYSVHRNIGIAVFALGTLQIFALFLRPKPDHKYRFYWNVYHHSMGYTIIILGIINIFKGMSILNVAQKWKTGYIIAICILGAIALILEAVTWVIVLKRRKSENKTYNGTSNGNGRLPLSM >Et_4B_038112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26356044:26356939:1 gene:Et_4B_038112 transcript:Et_4B_038112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFYPGGFQSEMNRREAALILGVRERATMDKIKEAHKRVMVANHPDAGGSHYVASKINEAKDILMGKGKSDRSPADDYNIKHTIIEIAAVSKLLLNTS >Et_9A_061324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1027088:1029838:1 gene:Et_9A_061324 transcript:Et_9A_061324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRPSASSHVALRDVALPSSRFPTHVALDLRDSPHASSAASAAARSFEQEILCSMEDGGEGVFDAATDSEEPISNVLLNETSVTPFKPLEEGGSKREVQAIALLSRSFTPVLSSQEASHYDWRNGKQYVKSPKPAVMHAPNNEVSEQGDIMRQRARKQTPKSGSRRRSSKEECKLAVDRERVKVASTSNDDTIPICSSTGSSMGRKARKHLSERWQTACQFGSENSNPRDIKTLGEILELSNRDATRKASSYNRSSDPKSNHDNSRQVPASPLGISSKDGWKKGISCEDHSRGGISRNFPRSKSLPASSTSSTKLSGRRQSVSTCRFPILKDILNTPTDEYEHARVKKRSPIRNAKQKNGRAIVHAGKENMLPEKEIHATSVKERHSVCISDLPRATNTYTEYPDDVIRSRDQQASEFAVQHEQQNFKGHMGRSDRELITPVPETEDKPVYHQDIIALKEGRNPSIEIEVVENDIEATESTHIASREGCECSSPTASSQQISGEYMSYSGIFNSVNVGIQGLREQLKMLKMKDQDVQQYEHLNLTYSVIEDQVPLFKDEEDRDFSYVQDMLDSVCDFPAYPEDWQVNSDVFLWLESKYNKLLLWSKSDMKLLFDLVNSVFADMTAPSSSLHSKILVRCWSEMNREPFATHVWQMVQKQRDYEQVAWDCIQPLPLDHESELIKMEVLKTIHDDIIEEFNCRIYLKGEMMTFSVVALLWILMYTK >Et_7A_051127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14780593:14783563:-1 gene:Et_7A_051127 transcript:Et_7A_051127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEATIEETPTWIVASVCSVIVLISFVFERALHLLGKALERRRRVTLFEALLKLKEELMLLGFISLLLVVFQNSIQRICIDESLMEHWLPCHGRGGNATASSAKHYGVAATFGAGGRRLMSGGTASGQCTEGKVPLLSLHALEQIHIFIFVLAITHVVLSAVTVLLGLLQMRKWKHWENSIREGGGSAPKMITRVQKIKFIQNQCKGYEKVTRIIIFMRSFFKQFYGSVTMDDYVAMRLGFVMEHFRWHPKFNFYDYMIRALEKDFKRVVGIKWYYWIFVMVFLLLNIAGWHSYFWISLVPLALLLLIGTKLQHIINKLAYEVATKHATVEEGHLVMSPSDKLFWFGSPRLALIVIHFILFQNAFEFAFFIWTLATFGVKSCMMDGLGYSIARIVICVFVQVLCSYSTLPLYAIVTHMGSSFKSAVFADDVAENLRGWADAARRRNRMSAAEVGCLGAAAAAKPNGRGAASQLRCTSFP >Et_5B_045497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3027623:3031412:-1 gene:Et_5B_045497 transcript:Et_5B_045497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRKQLTALLRSATRPEHLLQLHASMLKSSHFPHHAFPTARLLASPLAPLPYALSLFAAVPRPTLFHHTALLRSLSACPSRASLKASLSVLASARARLPELDEFAFQPLLALCAKIPSDAEAASLGKQLHALVLRYGFLDVLSLRNVLCHFYFCCGDGDMADARRLFDEMQERDAVSWNTVIGGYVRAGEAGAAVDMFSAMRCCDVDVNLKAVVALIGCGWRGESVHGFCCKAGIYGDVKVAAAIVKMYVRLGSVESARKVFDEATVRDLVLHNCMVDGYAKAGQIQEALSLVDEMRQHGMRPSSGTLVGVLSACAASGALVAGRRIRELAVEAGLQLDTALGTALMDMYFKCGCPKEALSVFDSMHDRDVKAWTAVIMGFGVHGQSGTAISLFHTMEEGGVAPNEVTFLALLSACSHGGLVQEGKGFLESMVRRYGLSPGPEHYGCIIDLLGRAGRLDEAYEFIQRISSHGDATAWRALLAACRVHGNVEMGKIVQARLDIMGHYHPSDAILLSNSYASEGRWDEIAQVRDSEGDGSTGIIGRKVESEYKKPSPFSIDQYSNMKNL >Et_10B_003404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19489872:19494292:1 gene:Et_10B_003404 transcript:Et_10B_003404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQHVGHVPSWFSFTVSVSFIPHTLPLPKPPEANRKFFFPSEKKTERTGESLPLQLQSNPIQSPTRAGFSESQGPAVDRRMGFRPTGTMLRLLVFAVFLLSCCHGRFVVEKNSLKVTAPDDLKGTYECAIGNFGVPQYGGTMVGFVAYPKANKKACKDFDDFDISYKSKPGAFPTFLLVDRGDCYFTKKAWNAQKAGAAAILVADDKDEPLITMDTPEESGRAEYLENITIPSALITKSFGDRLKKAIDNGDMVNVNLDWREALPHPDERVEYEFWTNSNDECGPKCDSQIEFVKSFKGAAQVLEKKGYTQFTPHYITWYCPEAFTLSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVFKVAKEHKKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKSLGLDHKAIDKCIGNPDADEENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKALCAGFRETTEPAVCLSEDIQTNECLENNGGCWQDKAANITACKDTFRGRVCECPVVKGVKFVGDGYTHCEASGSGRCEINNGGCWKGTRHGRTYSACTDDGCKCPDGFKGDGIHKCEDIDECKERTACQCKECKCKNTWGNYECGCSGGLLYMKEHDTCISKNAATEVGWSFLWVIFFGLVAAGIAGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGDVPNHSHHIEM >Et_9B_064151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11857269:11859072:1 gene:Et_9B_064151 transcript:Et_9B_064151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAARWFRSLLGGGKKEQQQQGKDQRRASAPAAAAAPPPADRKRWSFARSSRDSVDSAAAAGGNSAAIARAAEAAWLKSVYGGGGGGENEREQSKHAIAVAAATAAAADAAVAAAQAAVEVVRLTSQGPVFAAGLEPRGRAGVAAVKIQTAFRGFLTWLAWQAKKALRALKALVKLQALVRGYLVRKQAAATLQSMQALVRAQAAVRAARCRAAVPGLPPLHHPPVVRPRFSLSTSVASAGSELSPPLATTVASYYEQERYADDSRSEHGGVPAYSRRLSASIETASYGGYDRSPKIVEMDTGSRCSVKSRATSLSLRTSSPAPDAAAGGDEWWYAQSSVSSPLLPSSCHLLVPPPRIAVPTSRHFPDCDWCPPEKPRPATAQCTPRLCAQYAPATPTKSVCGAGPLGNCTPGYMSSTQSSEAKTTSTRSQSAPKQRPYSAGAGGARKRVPLSEVVLEARASLSGVGMQRSCNRVQEAFDFRKAVVSRFERAADESRDRDLFLQRRW >Et_10A_002056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20823947:20827687:1 gene:Et_10A_002056 transcript:Et_10A_002056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALIVVCLLAAASGLMILCPPHQARAFGEMSPGFIRKFAPPMDAALLTPNNTVAVDLGNTNSCIAGFDDGGGGETAFLFRICIPTRLAALADDGALIVGEAAAAAVDRPALFGLKRLLGQTRFASGSAAWRIWEAAAAVFTELRSRAEAHTGGTRVYHAVLTVPYYFGDASQGAAIFAATLAGLRTVRIVDEPTAAAVAHGLHRHGHGNVLVLHVGGGTSAAAVLTYRDGGFDGVGSAHDLLLGGDDFSRRVTDHLVQLVKERHDGLDATSNVHGHLLRKLRMESERAKKELSTQHCVRVNVVFADNANALMLFSETLTRAKFEELNHDLFGRVMALVDRAMGESGLSQSKHLIDDIVLVGGSARIPRIRHLVRSYFGGKEPMSTMAPARPEQIVAFGGALLGHPAVGGYQCRGRDWRQRYNSTDWCYPDERIYGKKLADVAGAAIGSTTFVHGFVLLIHRATSECFHLLKRWPETISSNDRTPCLYRYYKKIESAGCNTKERPLGRAIATSRHLRC >Et_2A_014964.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23722874:23723143:1 gene:Et_2A_014964 transcript:Et_2A_014964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNRALVGKGQRSGPCGKRCGGCSCRRKLKSLFGKQSQVAYRQCNKRKRKLEVECKCNICGLETDTEHHALILYAPMRVLSDAMRDKWK >Et_3A_025138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27239274:27249740:1 gene:Et_3A_025138 transcript:Et_3A_025138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSAAVGSGNVEFIRARSDKREYRRVVLPNALECLLISDPDTDKAAACMEVEVGSFSDPEGLEGLAHFLEHMLFYASEKYPGEHEYTKYIMEPLMSEDAVLREIKAVDSEHKKNLLSDGWRISQLQKHLASKDHPYHKFSTGSWETLETKPKERGLDIRLELLKFYENYSANLMHLVVYGKESLDCIQSMVERLFCDIKNSDQKNFKCPSHPLSEDHLQLLVKTVPIEEGDYLRIIWPVTPSIHFYKEAPCHYLSHLIGHEGEGSIFHIIKELGWAMNLVAGEGTDSTQYSFFSVSMRLTDAGHEHMEDIIGLIFKYILLLKDDGVHEWIFNELVAISEMEFHYQDKVHPISYVTNTVSSMRMFPPEEWLVGASLPSKYTPQRINMILDELSPERVRIFWESKKFEGSTNSVEPWYSTSYSVENVTPSMIQQWIQNAPTEKLHLPKPNIFIPKDLSLKEVREKVTFPIVLRKTPLSRLWYKPDMLFSTPKVNIIVDFHCPLSTQSPEAVVSTGLFVDLLVDYLNAYAYDAQIAGLFYSIYTTSSGFQVCVGGYNDKMRVLLNAILKQIANFEVKSSRFSALKETSVKDYQNFSFSQPCSQASYYVSLILEDKKWPVVEKLEALSKLDSDSLSKFVPHLLSKTFLECYIQGNIEPSEAESIVQEIENTAFNTPNSLFKSMTPSQYLTKRVIMLENELKCYYQIEGLNKKNENSSVVQYIQVHQDDAISNVKLELFSLIASQPAFNQLRTIEQLGYITSLSLRSDYGVCALHVVIQSTVKDPSYLDARVDEFFKMFESTIHELSDKDFKRNVKSLIDSKLEKFKNLWEESQFYWGEIEAGTLKFDRVESEVALLRELKKEEFIEFFDQYIKVDAPQRRTISVQVFGCNHSEEFNRAIAEADPPKTYRITDIFGFKRSRPLYSSLKGGPGRIAMD >Et_3A_023376.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24103487:24103762:1 gene:Et_3A_023376 transcript:Et_3A_023376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGSKPAADGCGAGEQPKPSAHVVARFERLLSGLGAGPIVDVEPDKVKDEIRRWAKKVAALVRQLSFGAWSEKSDGTAETKGASDDASAS >Et_7A_052665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11657586:11663026:-1 gene:Et_7A_052665 transcript:Et_7A_052665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRVLLPLFLLLAVASGGAYNVAAGGGRLPPVDRRSFPKGFIFGTASSAYQDEGGATEGGRGPSIWDTFTHQHADKIANRSNGDVAVDSYHLYKEDVRLMKDMGMDAYRFSISWTRILPNGSLSGGVNKEGIRYYNNLINELLLKGMQPFVTLFHWDSPQALEDKYGGFLSSNIINDYKDYAEVCFREFGDRVKHWITFNEPWTFCSVGYASGTFPPGRCSSWEEGKCSVGDSGKEPYTACHYQLLAHAEAARLYKEKYQSVQKGKIGITIVSHWFVPFSNSKTDVDAARRAVDFMLDGSMDPLIRGDYPLSMRRLVGNRLPKFTKEQSELVKGAFDFIGLNYYTGYYTKNVPPSNGLNKSYNTDAQANITGVRNGLLIGRQAASPSLYIFPEGFRELLLYVKENYGNPTIYITENGVDEATNNSLPLHEALKDDTRIEYYHKHLLALLSAIRDGANVKGYFAWSLLDNFEWRDAFTVRFGINFVDYTDGLKRYPKNSARWFKELLQK >Et_1B_010367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:135464:138560:1 gene:Et_1B_010367 transcript:Et_1B_010367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLRSAASFSVAPPRPRRRAATFRATASPPALDRRRRPQNVPGEFFVDHRCIDCQTCRWMAPEVFKRVDGKAAVAAQPSSEEERTKALQALLSCPTASIHTDKPPKDILQVHNMFPLPIDQNQLPGVYLCGYHSEDSFGATSYLVTHPQGNILIDSPRYTPTLAKNIEQLGGARHMFLTHIDDVADHKKWAERLKCERIIHSGDVEEATADVEWKLNGCGPWNIGTDFEIIHTPGHSEGSVCLHYKQLKVLFTGDHVAKLEESDDLNLFLIYNKQSVSLQLDSMRKLLEVDFEWILPGHGHRIRYKHMQAKNSAIKSLLANYTS >Et_3B_028324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13428112:13429008:1 gene:Et_3B_028324 transcript:Et_3B_028324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNRPPTRGIHCGLGDSNVSPLHQALRGTTAMPKLSGSVPNAHALEKPTMSQTSNPSSQYHIDMEALPPKIQAPNTFASVGFTQSLGNNEHDFLTTPVSDYLSSREQILSMKPPSITSLLQGDPTAILHAHFSINGVSDPGPIFEDPTLHVSKATNGTVQSNMTTTAEANVQRVYTCDLCNATFNSPQAFGGHRSFHSKQKRKNYN >Et_10A_001635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6252661:6258980:-1 gene:Et_10A_001635 transcript:Et_10A_001635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGEELLKKIRELEVGQAQLKQEMSKLMPGVGERRRSQSVSPRRGVPVPPPLPAKAKPPSRRLSDAGTSFALPERQCSRVLQSLGQSVHILDLDGRIIYWNRSAENLFGYPASEALGQDALMLLVDSHDLNVVNDIFQRISMGESWTGKFPVKNRAGDRFSAVSTNTPFYDEDGSLVGIICVSSDSRPLEEMFCRPPTSARPQLESSRTYCEGSCNNNSRKSSLLSRSSFDSQQPLQSTLASKITNLCSDRGVKEETTSSGATTPRGDAPRGIFSVEEKSPGKSTNANSDESEGKVGLHKILSSKAEALLNKKGISWPWKGREDEGSDEKSHVFLPWLHGEQENGLNHQKTSDSSMAPDAHGAEQNQSSKPEASGSWSSFNNNSTSSASSTGSTNSSALYKIDHEADCLDYEIMWEDLVIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEDVIQTFRQEVSLMKKLRHPNIILFMGAVTSPQRLCIVTEFLPRGSLFRLLQRSNTKLDVRRRIHMALDIVADFGLSRLKRETFLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWENLNSMQVIGAVGFMNQRLDIPSDVDPQWTSIILSCWENDPQRRPSFQELMERLRELQRRYAVQLRNAKNSIEE >Et_10A_000073.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22037550:22037903:1 gene:Et_10A_000073 transcript:Et_10A_000073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VQAVDLGRPTPSSPPTSSPPRRRPRSTACSGRPEAVTTRGLRSCQALYSGIVQRQASCKSAITGKRDAEAVSSLEKSASAAKECEDGFGKSSVASPLTAEDKNAFRLAKLAVALING >Et_7B_055061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6947736:6953122:-1 gene:Et_7B_055061 transcript:Et_7B_055061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSKHPSIISTLALSFWFFPSRLYTNIIFGAPSAKDEEEVVRCMKELNAPSFYPSLVSLWINDSFERKDLERELLAKLLVHLCKSQEKLLNQKQLLQGFQHVLSTLEDSVTDAPKATEFLGRIFAKFILENVISLAEIGGLMQERNGKAELTEHHPLDDSFASEVLRSMLESIRMERGDSAVDKIREKNNMKMDYGLAKQNTSIKSDHLERIFETREIRYGQVLTKNYKKPQAEMCPTKEAT >Et_8B_060661.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:4153936:4154967:-1 gene:Et_8B_060661 transcript:Et_8B_060661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPSSSPSGGGGLLSVVESGEFWFPLLAMYFVPCSIVSPSSFSEVLEADEMLGSVCADDGFGGGVAVEEAEADEDFVMPGGGGGGEKKRRLSTEQVRALERSFETENKLEPERKARLARDLGLQPRQVAVWFQNRRARWKTKQLERDYVALRHSYDALRADHDALRRDKDALLAEVRYLDTPQKLLMYSSAKQIRNVNFDVLLGPRQIKELKAKLGDEDAAASFSSVKEEPVASDVDPSAAAATAAQGASESDSSGVVNDAETAVPEKAAPAAAAAAETEAEAVVPGAAALHGGVFFNGQLLKVENDEAAFLGDDDAACGGFFADLPPPSLPWWTEPMEHWA >Et_7A_050524.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2251525:2251695:-1 gene:Et_7A_050524 transcript:Et_7A_050524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KARSCAVRTERTILSPFTKEYRVCAAQSSIRLFLEGIFLVEGPHNSNQMILLESSL >Et_3A_023611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:181263:181834:1 gene:Et_3A_023611 transcript:Et_3A_023611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSLLRSSGSLLRAAPARSASSSATRPSLRRALSAPPRPRLLRSPVEASFCVESLLPLHSATAAARMKSMLAVPGRGLGWLTEGEYRNYSPRLQCMSIFPTQTMTSIGLYCSCVDIDPMCIATLLINQYPRVTTSSNLDAMPNSL >Et_2B_019836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14366324:14368564:-1 gene:Et_2B_019836 transcript:Et_2B_019836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CGRLPSCFTPPPLARSSRRRAVSFVCWAGLGKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLQALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIKN >Et_2A_017838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:718497:719630:1 gene:Et_2A_017838 transcript:Et_2A_017838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLMQKSRPFESLRSCVQQKKVAAHLAKYVKDDVSSSEPIHILSRPGRSFSKNLSECDWLRSSVEIWDMVKKSPVVSEYCKSLQSSGLFRK >Et_9A_063534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6349143:6350067:1 gene:Et_9A_063534 transcript:Et_9A_063534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVILAYAGLAALFWCLRRVERLVTTDQASSSPTAGEERRRLQVAVWALSTVLSCAFAYQVSLVMPPAYANSLLKHDPLGPVTEFIMFSLWLKSDV >Et_5B_043880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17028163:17034303:1 gene:Et_5B_043880 transcript:Et_5B_043880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRGLAAARTLSLDINALMLVAVAGAAALGDFAEAGAIVFLFTAAEWLETLACAKASAGMSSLMSAVPPTAVLASTGEVVAVRDLAVGAVVAVKAGEVVPVDGVVVDGQSEVDESSLTGESFPVPKQQVSEVWAGTMNLDGYVAVRTTALAENSTVAKMERLVEAAQNSRSKTQRLIDSCAKYYTPAVVVLGASVILVPLLLGAQDMKRWLRLALVLLVSACPCALVLSTPVATFCALLRAAKMGLLVKGGDILESLGEIRVAAFDKTGTITKGEFTVDGFHMVGDKVEMGQLLYWVSSIESKSSHPMAAALVEYSQSKSIDPKPENVTGFRMYPGEGVYGEINGRHIYIGNRRIMTRSSCHTVPAEMDEQSGASVGYVTCDGDLVGAFSLSDECRTGAAEAIRELRSMGIKSVMVTGDSRASAMRAQEQLGGGGVLEELHAELLPEDKVRRVGNLQARWGPTLMVGDGMNDAPALAAADVGVAMGLCHGSAAAVETSHAALMSGDVLRVPAAVALGRRARRTIAANVAAAVAAKAAVVALALAWRPLLWAAVLADVGTCLLVVLHSMTLLVADEERRGGGEEAEACRATARSLALRSSLLAGAAGAASAGVQRAREEESKGCGSCCRKQSKSPPEHSVVIDVSAAAAEEEEATVRSATENKGKVAGCCGGGKSCGVSMVPPPTATRAGDQYENVKRLGCGEEAACCSGGRDGISPLDLDFCELSFARDFTSFSCSDTRSTSLPIDSKADIAAIRLRGSMAGSTTESPAVSPPGRPENSSTLLRVSLSSSLNSILLVSVCMVLFLLGQYVTHTLPLISHSTVFPAAAGHSLQRTPSPYSSPAKMTPPLLVNGRLALVYRFLNHDPGRRNSCSSGRGSAENTSRPPPPWRNQLSDAVLPCTACSNFQGRTARVTVSCADTARPMDAGAPPPRPSDASRPVSRPTSCTGLSVDRLTDRDRMSGCDMGVSCSDTREGKCFSSEPYPCRAIRDSDTSCTTSHLKQHTKAE >Et_5B_043432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10329251:10341134:1 gene:Et_5B_043432 transcript:Et_5B_043432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSGCLKCRRDQNGCCSKRPRRRTSTRPRRAPKGVDRISALPDDLLLEILARLRCARAAALTRFVARRWRGLWTRLPALAMSVHPSLSLLHITVPFHHGPFQSARVSASPEVELPCFHRTTTITLVVFGARFVLPPAGDLLPALESLSLDHCTVDLGDLLPRCPRLRKLQITCWDHELLKVHSPSLEELHIDVHLRSYLMIRHVDIVTPVLKKLRFSSGTRPWRWSGAKAFTLFYSAPMVEDLDWRCACELDTDRFGVRWRLFNLTLSTAMPPVKMHSQRQQPRHVVHSLSMSIGLLFSVFEHEDKDFGRQISKIIPVTNFKVLEFRIETKGHVYGAMVLHLLGMCTFIRKLRLKLYQETSTCSAKCPCDHPSNWRNQSVSFADLKEIEIHGFKGKCHEVDLLKALFKSATMLERTDIYFTSMVPPSNNGHEEIYSISNAYPSIKCNIYLGSADCRNKQKGCCSGGLRRRRRNGSVAEGVDRLSALPDDLLLDILARLGCARAAALLARRWRGLWARLPAFTFHAACPDTLDAALLAAASRPSLSLLSIRSDDHGPIAAARVSAWMRAAARLAPAKLIISHVSLRASSGLKIELPCFDRVTSITLDLFGARFVLPPEGDFPALETLSLRSCLVDLEDLLPRCSRLRKLKITAGWHHELLKVHSSTLEELDVDVQFACSLKIRVDIVAPVLEKLRFDSGSRPWRWSGEKEFPLFYSAPMVKDLRWRCVCDSETDRFSVRWCLFSLTLRAAEHHGQMHFQRQHRPHVVHTLSMLIGLPFSEDYRCSVKCPCDHPSNWRSQSVSLTDLKEVEIHGFLGRCHEVDLLKVLFRSATMLEIIDVHFASMDSPSCCAGGRRLRRKRPRIIDGGVAADRLSALPDILARPLGPPPAASPSTRRARTRSSLLSIRCDSHAQVDASRVSAWKVIRVEAAWTVEPVELPRFDRAASIALEAFGAAPASCRRRRGEEASRRALESLSLRFCDADLDDLLPRCPRLRRLQITGWDYQESLKVHSPSLEELDVRLPDRLWIWHVDIAAPLLKTLRFASGSRMSGPNDFTYLLCSAPMVEDLHWRCDCGSDTDRFGVRWRLFNLTLSTAKLPLGQKHCLQRPQVIHTLSLSIGVPFSVMNGDEDKDFGHQISKITPVTNFHVLELRMKTEGHVYGAMDTNRCSMKCPCDHPSNWTRLNISLTDLKDIEIHGFKGEGHEIDLLKAVFRSATMLERTDVYFSGFVSQSNNGCMEIYGISKAYPSMKCNIYHKSA >Et_2A_017981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8682160:8684962:-1 gene:Et_2A_017981 transcript:Et_2A_017981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPVGFLGKLWSFVSFLPFFLLLLLLGSIKAVLIGPVAAAVIFFGNSAVIIGLWPAHFVWTYYCVLKTERIGLVLKTLIAILLPLPLFLLPVFAIAGSLLGGAGYGLFVPLMATFEAVGEGVADKLTHCFLDGTVSTVAGACTVVRDVTDFCFHSYFSFMDDLIEKMGDDEAPVDIKLSYLPRSVLVAFIAVPVDVLMISGVALWKSPCMLLKGWQRLCEDLVGREGPFLETVCVPFAGLAIVLWPLAVIGGVIASFLCSFFFGFRAGLIAYQEASFRMGLAYMISAVAFYDEYSNDLLYLREGSCLPRPTYRKADIQKCETGNNKEGYNDTAELAERQQNGHHRHRRVFHRSKTFTQTMQRLRPIQIWDWFFRSCELNGRILLSEGLITAEDMEECIMKGNSKKLSIKLPAWCILQCLIRSAKSDSHADNVEVTNFNWPKDKVFDWMLGPLLVIKEQMKKLELTEEEEMCLRKLIMTNKNEKPSDWDKSGFPADDNIKRGQLQAIIRRLQGIAGNMSRIPSFRRRFMNLVKTLYLEAIEAGAIDGSRDVKRRVKADFASEKIGDKNVANVAGSSDDTLGNIDMV >Et_9B_064235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13077723:13087293:1 gene:Et_9B_064235 transcript:Et_9B_064235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVASEPPHQNPSGLEPATTAAAFMADPRLGLGLAPPAADPFSAAPSTAPPSRRAPRLAKRRHAAATPRSRAPQPPAGSWNPFGGGGSGSDGSRQDGSGGALSGDAGGVGFEKAPDGGFVFGAAPAASQQPPEPAVAASPNEAPFVFGSVRESLPRFEEGWSASSKLPDKMGNLNLGTSGEFGAGFGQGKDRKDGSSLFGAPISGLVPNSEVNVLPEKLTQLNLGTGVPSLNESADGVPKTFLFGGGGAGNSTASRNTADVGPHAFASSSILGTDAKTMPEVSTHFSTGNQPSSRGMRSEHTDGAPTAFMFGGTSATVNIDNTKNAAAGAATSSSSTVNLSDDASMLPEKITQLNIGSDMPLHDMKSADASQPKVFTFGSEALAGAGFGKETSSTSERSSEFLSANSNISSSSSDFVSTANSNAYSSANNADNSPPDKTSDLNVGGEDMSQSMKSDNANCPPEAFVFGNNVSSFSSAQTASTPFTHFQANDSSSQPKDKGRNFSNEYRNDSTYSEANSEQGYGPSSFVFGSSNANAHSEAATEYALHEEINKLNISREGTSFSFPELNGFSTPEFLFKSGAENTSGYGSVPQAKVQEPPLFTNFNHSSTFSSFPNAVPSFSFGSRNTGKNENVPYESCAVKQDLPGCSREALFGLDSIKSAYRDKKEAHKSKRKNKRPTRLKQHDQLHQAVSQETCFNGEASDLAGEYSPMDCSPYPAAAEEHAPTKAHVPSHQYANVCDSSVSKQNSIYPEDDIVSATEHLVIDADLPAFKDEGRIPNVDASESNFGSSFSSFEEALSNASQYSFTGVNIETNGELKNGTTETIADEGTYRTQHGFGESVDFQSSSSNFSGLNFSFGASSSSQSSLPAQRWNTRRKLKTKGAPASKPSTTHASVQAKSSQDAKGMQFEASKNGGSGNEQPSKDASASSALQACETWRTSGNQAYANGHFATAEDYYTRGINSISHHGTSGHCSRALMLCYSNRAATRMSLGMMREALQDCLTATSIDPSFLKAKVRAANCQLALGDLEDASRSYTFCLNSNTSSSDPKVFAEASDGLDRIKRVADWISQSKELIKKRTSPEARTALDLISNALQISPHSDSLMEMKAEALLMLRRYEEVIQVCQESVNPAGRNSGLFNANGQSRNSTISEKTWRPYFVCKSYFLSGKLEEALDLLKKHEQVTVVKESDESAYQERFSSLSTTIRQLLSLKTAGNESFQAGRYSEAVEQYSAALAHSSESRPFSAVCFCNRAAAYQALGQVTDAIADCSLAMVLDTNYPKAISRRATLYEMIRDYGQAANDVRKLISLLEKKVNKSGVSPKVVNKHSDLKQARARLSSVEDEAKKDTPLNLYLILGVDPSCTPADIKKAYRKAALKHHPDKAAQLLVRNENDDGFWRDVAKDVYADADHLFKTIGEAYNVLSDPDKRHEYDFEEDLRKARKRSSKGRSMHRSPEHNYSSRGFNPRQWQSSRGSSQRWYGYSDDYW >Et_4A_032397.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8175275:8175397:-1 gene:Et_4A_032397 transcript:Et_4A_032397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRACGDTAYHMLRPTLRITGVIFSSSHEQLLPNLDVIPY >Et_5A_041393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21967026:21974126:1 gene:Et_5A_041393 transcript:Et_5A_041393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARHGRVLLQSTSPEWLGDPWKNVIVVWNPIMKEQWKLPLLRTSRDPSGWNASVLCANYGICDHLDCSHGPFLVVLVVTVSNYVSVYTYSSEAVTWSKPTTAWHPGDENIDWHWQSNSAVVDNTLYVKFRYSLSVLEFNLATRGISVVDLPSSCHYARTSLMTTEAGGLGFAHLRHTKIHLWSRDADPDGHVGWVQDRVIDCSTLIPCGTRIKSFEVVGFADAVDVIFVCVNDDIFTFVLKSGQGKMVCKDGGRMFDVFPYMSFYTPGSRRHEARGLPPPHLMDELVEEVLFRLPPDDPASVVRAALVCRRWCRLVSNAGFHRRFCEFHRTPPLLGFYYYANRTVIFVRTSSCPRIADCGKSFVMDARHGRVLFQRTNPEWFGDRSMNAIVNPITKEQWELPLLAMSHELDDWNASVLCANYSTCDHLDCSCGPFLVALLATLSNDIWAYIYSSEAGAWSMTATASLPDEEIGWYWLLYNSVLVENSIYVKFELYNSILEYNLGTRGLSVVDLPTSCPGFPYWRDTSIYLWSRDARPDGSLVWEQNRVIDFKTLLPSTANTESHAVSIVDCGDTILLHTHNEIFRFDMDSGQVTMVYNVNPSLTDIFPYMSFHTPGLQQDKSLYDPQQGTACRCHVCHHC >Et_1A_008203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5126393:5130692:1 gene:Et_1A_008203 transcript:Et_1A_008203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRVVGRVAAARRALAAARSMPLPVRITNGLAMISLVLSSCDLLRLCSDRDRPLRFPLGGREVATVLCQLASVAYLLGLLLGVPFSHPSPPRASDDGGGSPRCRAPPAPMHDNAEGGDEEIIAAVVSGELPSHRLESRLGDCRRAARLRREALRRVTGRGVEGLPFEGMDYEAILGQCCEMPVGYVQLPVGVAGPLLLDGRQYYVPMATTEGCLVASVNRGCSAIAVSGGSVSVLLRDAMSRAPAVKLPSAKRAAELKAFVEAPANFETLAAVFNRSSRFGWLQAIHCALAGRNLYMRFTCSTGDAMRMNMVSKGVENVLSYLQNDFPDIDLISLSDKKPTAVNWIEGRGKSVVCEATIKGGVVHNVLKTTVQKLVELSIIKNLAGSAVAGALGGFNAHASNIVTALFIATGQDPAQNVESSQCITMLEAVNGGDDLHISVTMPSIEVGTIGGGTCLASQAACLNLLGVKGPNHDSPDANAKLLATIVAGSVLAGELSLLAALAAGQLVKSHMKYNRSSKDVASAAS >Et_7B_055221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8399820:8402332:1 gene:Et_7B_055221 transcript:Et_7B_055221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWCRKAWLSVLGRGGGGSSGSLQAHLQGHHMSPSSSSSSLASYRPRGGKHGHVSAGKAVVGCFAAVLALAFFYVSVDVTGGPAAAADGSFPSTSSPASSSALLLPWLTSSSTAPAAGKSVPPRTHIPPAVTASSAADDQQRSDAAMASRRVQSSEAAGDPSAAEGGSGQTADAQGSPSQDAGNIAVSSDAELFSNGTREDEPEVETAMPVVPLWRRTDGANSSRTAVVGAPGELAGDADVATGGNSTDAKAPSKQEVTINVAIDNAQNATPQAAPLPSPPERKEDRHRRKRAARRRHARRRKDTVLPAAAAAQEAAASSDGVNATSTAVASRNQMMAGVNAIVAPGDNRVVWTSGVQDLVSFAKCDVFNGRWVRDESYGFYPPKSCPHIDDDFNCHKNGRPDSDFLKWRWQPHGCDIPRLNATEFLERLRGQRIIFVGDSLNRNMWESLVCTLRHGVRDKRNVYEASGRNQFKTRGYYSFKFREYNCSVDFIRSTFLVKEVIRKDKNGTGDEKLKLDTLDAATPAYQTANIVVFNTGHWWTHAKTSKGLNYYQEGNHVYPKLEVLDAYKKALSTWARWVDKNIDPRRTQVVFRGYSLEHFRGGLWNSGGKCHRETEPIFNQTHLTEYPEKMIVLEQVLRQMKTPVIYLNISRLTDYRKDGHPSVYRIRYNTEEERMAAVSKQDCSHWCLPGVPDTWNELLYASLLQAGKGSWKL >Et_1A_006984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29910129:29912762:1 gene:Et_1A_006984 transcript:Et_1A_006984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGDLLDVDPPELQFPFVLNKQISCPLRLTNRTSSTVAFKVKTTSPRKYCVRPNNGVVPPRSDCTVVVTMQAQTVAPPDLQCKDKFLVQSVVVSTGLSAKDITSQMFMKEGGNAVEEVKLKVAYVMPPEPPSEIAEVHEGLERILVPMQRIVNNVRSTSELSSGSEVGPPVGRIVTSDEFFKAAGSDMETKTYARPAEQSHQLAALIAKLTEEKNSALEQNRKLRDELELVSREVSKQQGDFSLILVLVVGLLSIILGYLVKK >Et_4B_037234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1725782:1729176:1 gene:Et_4B_037234 transcript:Et_4B_037234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAISAATMASSALLLPRASLRRASPPAPSRLSLPSLRLQAGSRQDEPALSARSEVERRQPAAGTRLYSLAPYPLLLAALLPGAEPVTAVFAPFVELVKAWDLPGWLVHWGHPGNMAVVLFAMGGYGSYLGFRIKLSDDPEEKAKAKDLHPKLLAGMFFFFALGATGGVTALLTSDKPIFESPHAVTGVIGLALLTIQSILPKLFEGNPGLRTTHGLLGSGIMTLFLIHAAFGLQLGLTYPNNMMMTNRQKDEVATTNGQKAPTAVFPSPLRGKFMPNDAATNTPNTLKISSAHILSQILQHKNSLPVKISYAKIK >Et_4B_037222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16799620:16807606:-1 gene:Et_4B_037222 transcript:Et_4B_037222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWRHDDNPFEESEGDVNPFSHPCPTPLPPEPAGYYNDTDVSVDIPLGTKKDLKKKEKELLAKEAELNRREQEIIRREEALARAGVLIEPKNWPPFFPIIHVDISNDIPVHLQRVQYVAFASLLGLVICLFWNLISVTAAWVSGQNPRIWFIAVIYVITGCPGAYYLWYRPLYRAMRKESAFSYGWFFMFYFFHIVFCIYAAAAPSFFWSGRSLTGIFQAIAEIADNHAAIGIMYFVGFALFVLEALLSIWVYQKVYWFFRGTGNEAPGRSNVAGAPPSRYDNPFEEGGADEVNPFAQSRPAPPSTRLSPLPPEPADFYNDFATPVDIHMDTNKDIKTRERELLAKEADLNRREKEIKRREEAAARAGIVLEDKNWPPFFPIIHHDIGNEIPVHLQRTQYVAFASLLGLVLCLFWNIICVTAAWIKGEGPKIWFLAIIYFILGCPGAYYLWYRPLYRAMRNESALRFGWFFLFYLVHIAFCVYAAVSPSILFVGKSLTGIFPAISMIGNSVIVGIFYFIGFALFCLESLISMWVIQRVYLYFRGSGKEAELKREAARSAARAAF >Et_8B_059947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4314498:4315725:-1 gene:Et_8B_059947 transcript:Et_8B_059947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYHAKLEVIGPLLPDGCHQEQRNEGSYMRCSLHSCDGVFTLSELVDVKKDCFNMDPCTSNKCHTECLDKAKREDFKPVLSNCDAPKECCCRFYEWHPSPLPPHARV >Et_5B_043111.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:4034690:4034959:1 gene:Et_5B_043111 transcript:Et_5B_043111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTISLYFLPGTGPITRIFFYWDDNSEKRDFLSFLSTQEKYGRAALIRIPQAK >Et_2A_016572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26210801:26217276:1 gene:Et_2A_016572 transcript:Et_2A_016572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGASSSRRKVDEAKQIASVFEELISNIVPGDFNLPPGEWESDYYYMYISPRGALKIMAFPVPVLLLGRQFLVAKTANVGCCSLAVHQTVNVTAIIDDKICEERLWKMRRKRATKFYLCEVSSNMIIDSTNKGNMSRFINHSCEPNTEMQKWTIDGETRVGIFAIRDIKKGEKLTYDSNFVQFGAHQVCHCGASKCKKVLGRTKPVKSIVLDNGNSASSQDQHSQRKRKPHCEVLGEFDHLWHPREKMYVACWIYDFNPETGMHTLQFCDYSLEKFNLKEEEWHFLPLHNTATTSNAVTSPISDAALPSD >Et_1A_007687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37229336:37230238:-1 gene:Et_1A_007687 transcript:Et_1A_007687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPPPPPPPFGVPGAGGRKPDRKTVERNRRNQMNGLYFRLDELVRAGSTPSAAAAQGGGPPATTRPDRLEAAAAYIRQTADRVEMLRERKRELTARTSSASSLQGSGSSSAPAAAEVEVQHLGSGLHVILVTGAPPSDGESFHRAVRAVEEAGGEVQNAHFSVVGARAIYTIHTLVAGQQGGIERVVQRLKAALRGDA >Et_3B_030213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31348732:31351751:-1 gene:Et_3B_030213 transcript:Et_3B_030213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNRNALVIYVGYQNICRYFHGFNSDFIFPSVLLSVEVKKQSSCTVHLVNKSNEYVAFKVKTTSPKRYCVRPNTGVILPRKTCDFTVTMQALRMAPPDMQLKDKFLVQTTVVPYGTSDEDLIPAFFSKETGRDIEESKLRVVLVSASDPLEEQPINGIPNTEPAIEVPVLKAMSNIENQVPALPKEVPYPLEQTPAVPYPLEQTTAVLAKTPSPVKETRGLREIPVPVEETPGLREVPVPLNETPAVLTESPSSKNDEPAITIEHAPAITIEPHPPLKQNTAAFKESPPLEETPPKEAIILSDRGLFNVQNHQLSHVTEDVQNLKSKLNNLESKLEEAEKMIIRLREESRTTTQEHDKLQDEMVFLKKKGTPRNQVGFPLLFVVYVALLGTSLGYLLRL >Et_9B_063698.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19869637:19870102:-1 gene:Et_9B_063698 transcript:Et_9B_063698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVQFSCLFLLAMIVSSGPSVATALARTIHRSRGFHAPVPSLTRLDGWMNQGRETMESNGGNSKREVPGGPDPQHH >Et_3A_025667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31790675:31792768:1 gene:Et_3A_025667 transcript:Et_3A_025667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSALLVSLAPSLVAVAPAAPRARRKGTSRRCSVVKTKQSMDDRSFNLRHSLGMEDDSPNFSPFLHKLFKEVSIFDTSEAHHCTAWDDRKARGMFHHDISSCETKVLPGEHDFVATLIEGRDQKKRPTEFGMNQVLQPFESGKFNFTKVSPDEIIFRFHESENDSAQYFDGAPHTVSASPSAILINVSPIGYCHVLLIPRIQDCLPQRIDHESFLLAMYVAREARDPFFRVGYNSLGGFATINHLHFQAYYLKVQYPVEKAPTEKLTTLSNGVSIALLVQYPVRGFAFQGGTCLEDLSDVVSKISIFLQESNRPFNVLISECGKRVFLLPQCYAEKQLSGKASQEFLDMRINPAIWELSGHLILKRREDYDEASEATIFRFLVEASLSETEFQELKRCVLDWLAGGAVRNWGINS >Et_4B_039511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:188246:191204:-1 gene:Et_4B_039511 transcript:Et_4B_039511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREREEEGGGAAMSPFLGLPSTATASRVLGPAMEGTSGLHILRAPSPIVRAMRTRLHPLPPPTAHPLPPPPPPPLLPQPPAKRRRGRPRNCDRPLSPPGFRLSLPALPAARHGEAPFLLTLAILLSQSPGQSGGLQLHVLKIDAGEDIVSRIMQVSLINGKAISVLSVLGSVQEAKLLHSSRAILNHKGPLEIVGMFGSTLTPESPGFGCLSVTLACADCSVVGGVIAGPLIAATTVQAIIGSFYNDAFQTKKTPKIIACNPDSQVVTGHGVAHYPCSHVSIGSGIRQHPISQVAIGTEGTHYPGSQVDIGTGSTHYSDSQVPIDNESTDYPSSQVPLCDEITLCSNSQVAIGNGSTDYPNYQVPIANGSTDHSNSQVAIGNGSTDYPDPQVPIGDGGRDLSNSQVTAGDGSTHRPNSLVTVGSESTGYPNSLVSIGDGSTNSNDSLVTVDNVATHNHKLQVTVANRSINDVNSQVTIVGNGSYHNSDSKSTVGDQQTTSIEVIVEQVTVGDENTNYPDLKLRLPK >Et_7B_054141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1650513:1662234:1 gene:Et_7B_054141 transcript:Et_7B_054141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQHNAQFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMNLNHKNIVKYLGSLKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADINTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCAPPYYDLQPMPALFRIVQDVQPPIPEGLSPEITDFLRQCFQKDAMQRPDAKTLLMHPWLQNSRRALPSLRQPARSLRNIDGDDEGSSGDNNSGFSGPTGDSQSPIASTIEQENGTKEQSLESAAQDKADQLHDENLKHTESSSASNVELMKDNVVLNKDPTLVFHEKLPLESSSGDADLDGKVMAQELFQDGLPSKIEFENKGNSNLEDADVFSFQAGRQNIDFQKVAEPSVVEGPNELSRFSDKPGDASLEDLFPPIDKRDHVAEPSTSTTGQEEYNGRQKDLAKELKARIAQKQKENDSEPMNGGKLVQFIMQLREEDIDGPGFGDNIAGESLFPLQVKIVAQLKTGESEEVILSGCQKLQMFFSHRPEQKQIYVTQNGFLPLMELLELPKPRIICSVLQLINCIVKDNPGFLENACLVGLIPVVMGFAEPIHPKDVRMQASLFLQQLCQASNLTLQMFIACQGIPILVGFLEPDYAKYRDMVHLAIDGIWQVFKLQHSTQRNDFCRIAAKKGILLRLVNTLHSLNEATRFASISGSGASATHNGSTPRRRSGQLDPSMLEISKTRLDHHHSSSSLQSLQSDADKHHILMDPSSSPRFSDKTGAGNLERNENDLIKPQRLSVSAGRTSTDRSPKHIELVSNGHNSGQNDQIRPLLSLLEKEPPSRHVSGQLDYVRHLSGLEKHETILPLLHSSTERKTNGELELLMDEFAEVSRQGRENGHLDSSIKASNRVQSTKYAPSGGATASHEGASTSGAASQTASDVAREYLEKVADLLLEFAQADTVVKSLMSTQSLLARLFQMFNKIEPPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELRDGPLVYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMNFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDDAWACTALDSIAVCLAHDNDHRKVEQALLKKEAIQKLVKFFQECPEQYFVHILDAFLKIITKSSRINTAMATNGLTTLLIARLDHREAIARLTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRGGQQVLVKQMATSLLKALHINTVL >Et_3B_031105.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:1639720:1640817:-1 gene:Et_3B_031105 transcript:Et_3B_031105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASADHSASGRDAPVRRRRRGFHLRRRRSPTPTSADATSQELNPVSSAASVSQQLPFLPYPDTATGSSVVIVVPLPVFRGDPGECPDAHLGRFDRACRANGDATSATAARIFPASLDADAAVWYDLTTAGAAEDSSSSPPPWDAVRAAFLDFFRPPGAVDRARAEIRNLRQRPGEAVRRYHLRMQGILRRFPDRGVDVDDEFLKDAFVGGLDGEFQDWVVPQRPATLDEAVSLAVTWERAESVRAARRAAKQACGGGAEKCAFCGVEGHEEAKCVARSGMRERSSSSARREGAMAANEDGEEAGGSTTLARLGSTRSTQYRCQKHRGSKKAAAEGEVGVGQGNGAAAAGQVTELTNLINIMDF >Et_7B_054255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18549708:18550525:-1 gene:Et_7B_054255 transcript:Et_7B_054255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRKFFAAVVVLLFVVVATEVTLAHARVCETKSTDYKGICLRDHENCATICQVEGFSSGECSGWKRDCMCSKPC >Et_2B_021332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28703804:28712541:-1 gene:Et_2B_021332 transcript:Et_2B_021332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QQQLRDDEKQEPPIFPNLARTEQHPSQQRRVFFLVPIPPSPPPPRTSPTPPRLQRALPSPLLGFGSNASPDQLESLTSLRRVDVRRRRRGPRRRIPLRCLQLIWFCFCFLLAAERREERRRRSEAVEWLRALLGGSGLPLPPPGASDDELRAALADGALLCAALGRLWLPSCPGPTPGEGAAAGSDVGRFVAAVERMGLPSFAASDLDAGPMSDVITCLLALRDRFGSHVGEGLNCSLEGNGGMELPVRDNGHGTLNLEPVDERKQMKVHLQKVPQSPAPSEPSCTISRPELSSISRHTGHDFHEVFHLRHGIYSDMPMSKILEMMKSTSLDNAPTQSLLSFVNSILDEIIEKKNGEIPYHVACLLRKVILEIERRISTQAEHIRNQNNLMRAREEKYKSRIRVLEALASGTNGQANSSVNGQSNSIVTNGKAHMEESKIEERQIVDKDIACLMKDKAAVARLTKDKEDMARLLKDKEDIIRLMKEKEEMFMLMKDKEDMVSLKKGRVDDRTQSVDEHEDSKNTILKLKLELESVKSSYEECHSMLKSKKEDVLKLLKDKDNSDTIISKLSQELAVSRKAHKIRIQELENRALKEAEEFKQRIKELELKLEDSRKRGRDFEVLLQSEMETWKRKEIMVNQTVGLQIQNIQDLRLSSVSIRHELQNCQKKWFEEISGLGQSLKVLINDAENYHTAIEENRKLFNEIQELKGNIRVYCRIRPFLPGDDQKSTIIEYVGENDEVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKEWGVNYRALNDLFHISRNRGDTIMYEISAQMIEIYNEQIRDLLGSNGILNTSQPNGLAVPDATLHPVNSTTDVIELMRTGLANRAVGSTALNERSSRSHSVVTIHIRGVDLKTGTTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLSALGDVIFSLSQKNAHINPDVSSYSETLSTLKFAERVSGVELGTAKANKEGKDIRELMEQLSLLKHKIAMKDEEINRFQLLKTQTPRARTAKRADSPLKHSSSSPGISSLGSKIQHQRTASGGKAMSIGSRAFSDADNFSEISDRHSESGSMQSVDETLSHKEIIGLPTLSIGEMGQNSADPELACFDYADSEERLSDISDSGLSMGTETDGSISSVVELTLFPEQDKTYISMKEQEKAPRTPNDRLSKVATRVQKTTVPRTAQTSSLWPKIRDSPAPRSPRRASTTQATSTPRTSSTSKRWMAKDLPLSNIREIQSNISGYGAVKNLTMFGDTAYMNAKNTAKSTRTGATTGIKLL >Et_10B_004287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:313041:315038:1 gene:Et_10B_004287 transcript:Et_10B_004287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKCHGRKLSELLREQQEPFLVHRGGGDRVCGGKAVRMALRRWDLAGCFSCGARERFRRRHWQRLREAPQSGVRPAAAIRRAQPLQGTTRTSRSHRPQQARRQQTTIPAAAASAPRFTFFSRTGNGGGKVRAAEGEDKCKFQTKSSECESMAKSEQAVVSTWESIAAEISRIPRLVELDLSGSAREWRRRVGEEESRLAPAPSDCQLHLPRPLPVKLKYCNSLRYLSRQSSGDRQTLPGKVASSCESVVHATSLSHGEFAALKTSPIFSRTRW >Et_2B_022703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3425454:3426437:1 gene:Et_2B_022703 transcript:Et_2B_022703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTILEKCMVTPSEETPRHGLWLSNLDLLVERSHTTLVLAYRPGRGPASCFSPDVLKASLSKALVPFYPLAGRLAEDRTGRQEIRCTGDGVLFVAARADATPDQMGDLVPSDEMRRMLFPSADGEHTGVLVMIQATLFRCGAVCLGVSLHRLTADGRAFANFLNTWAAIARGFDHAAGPRPCLDRTLLRARSPPAVRFDHTDVYSWRGGGGAKPKVPFEAAILPISRSQVDALKGKKKVATFSAVVAHVRRFFLKNSSCIKITRDYNLKQECLAHTMGQYATHPPVLPPFPA >Et_4A_034973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8401343:8403318:-1 gene:Et_4A_034973 transcript:Et_4A_034973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLDMSLDDIIKNNKKSNPSSGGGGGRRRGGSGSGSGAAAAAGGVGGPTRRPFKRSGNRQGPYQPPKAPDAAWQHDMYPAAAGGGGGGGRFSAIETGTKLYISNLDFGVSNDDIKELFSELGDLKRSSINYDRSGRSKGTAEVVFARRADAVAAVKKYNNVQLDGKPMKIEIVGTNTPTAAAALPVTNGGLARNAPRSAPRGGPANLPQRRPHQRGGRRGGASGGRRGKERSQPKSAEELDAELE >Et_3B_031695.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:7990361:7990921:1 gene:Et_3B_031695 transcript:Et_3B_031695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVTSGVVQEPPRRLGQTPSQQPGWRVWARRALILVLLLAVTGGFAAAAHGARHRPRELAYAVVVYVLLVLLMCCVVRLEQLRRRDPAAASAGERRRVRIAVWVVSVTLSNTFASCVADLMPVLVLKLDVWAVAAVVLGIAFYHLFCSKDEAGCCNAEHVRGQADDDAGRRPERALHGLSPEEKV >Et_4A_035961.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9500603:9502303:-1 gene:Et_4A_035961 transcript:Et_4A_035961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKGAIIGASTILVVAVVAAVCVVSFKNNSNGGDKGELSTSVKSIKSFCQPVDYRETCESALEQTAGNATSAADLAKAIFKATSDRVEQAVRESAVLNDLKHDQRTSGALKNCQELLNYAVDDLKTTFDRLGGFEVASFKRAVDDLKTWLSSALTYQDTCLDGFENATSTDASEKMQKALKSSQELTENILAIVDEFADTLAGLDLSSLGRRLLEDGGWLPDAKRRLLQSGAATPGSPGFKPDVTVAADGSGDFKTINEALAKVPLKSASTYVMYVKAGAYKEYVSVPRDVTNLAMIGDGKTKTIITGNKSFMMNITTKDTATMEAIGNGFFMRGVGVENTAGAKNHQAVALRVQSDMSAFYECQFDGYQDTLYTHTSRQYYRDCVVSGTIDFIFGNAQVVLQNCLIQVRRCMDNQQNIVTAQGRKERRSAGGTVIHNCTVAPHPEFAADAGGRLKTFLGRPWKEYSRTLYIQSEIGGFVDPQGWLPWLGDFGLNTCYYAEVENHGPGADMSKRAKWRGVKAVTYQQAQEKYTVERFIQGQQWLPKLGVPFIPGLLPQQQSGRIH >Et_1A_008621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9222109:9224550:-1 gene:Et_1A_008621 transcript:Et_1A_008621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVAAAVGVLLPFPFYYALWTHPQLWADLCGRGADPCHRMAQVSHVLKALQLLALASVASFSWPPPLYCFFLLAVGQYLNFKVYQLLGEAGTYYGVRFGKKIPWVTEFPFGYIKDPQYVGSILSLVAVLCWVPFQYVLLWCLGYVFMMWLEREEDPATRAKPLS >Et_8A_057233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22534651:22537504:-1 gene:Et_8A_057233 transcript:Et_8A_057233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDRAQPPPAPPPPPPPRPPPPSPAPTENHNHTAISSPLLQHSADADVPLARCLRQLEMFLSAAGLAAYSRLGVATAASALAVLGLALPALAVALSPCRGRGSLCENFEVEAFEVCVLLSQAAAAAVALACVSRKMAMYRIRKFLFVDPDLGMRIRFQKEYVAKIQDFFRILMWWIVPCFVVKVTRELFRFSHIFQESAWRACAVLFASIMSWMYLTTIILSSCMLFNLVCNLQVLHFDDYGKLLEQDADPLVYLKEHLQLRHNLSKISHRFRMFLLLLFLSVTASQFAILFKTTAYTGPINFTNGGDIAVSSVVQVVGLCLCLHAAAKISHRAQNIAALASRWHALATCSTDSTYATTPNSSGNLVPFPAHLFMRDYSESDLESLESASAHGNTHGTAQLASYMSSYHKRESLVLYLLANPGGITIYGWIVDRTFLNTILMLELTLVLFVLSKTVVIPGKALALNYN >Et_4A_035830.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:6928697:6929971:-1 gene:Et_4A_035830 transcript:Et_4A_035830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCCSPLQVAWGLRRPRGVPLAAALCAAAVVALALTPASDATSASLVGINYGRVGSNLLPPQAVPPLLNKLGIGRVRVYDADPAVLRAFAKTGVELVVGVPDECLAAVSDPSGAEQWLKESVLPFLPDTKVAALAVGNEVLTGTDAALSRALLPAMESLHSAVAALGLDRQIAVTTAHSLRVLGTSFPPSAGAFRKDLLPYLCPVLDFHARTGSPFLVNAYPYFAYSDDPKGVHLDYALLDPGYPGIPDPNSGLHYPNLLVAQVDAVYHAIAAANAAASRVVEVRVSETGWPSAGSPNETAATTQNAERYNSNAMRLVAEGKGTPLKPGVPLRAYVFALFNENLKPGLASERNYGLLKADGTPAYELSFNLTSDKPTGGGGGGPYYNISAASSNLPVSSASALPSQTFVLVWILVHRCPLSQK >Et_3B_029951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29331433:29336380:-1 gene:Et_3B_029951 transcript:Et_3B_029951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRTCRPGSWEEIAEVFCFRCKDRGGGLRVCDFRNCRKSYHKECIERGPSTHFSGEKLRDPSAEKFFCDWHKCVNCRNSSDYQCLCCPFCSVCHDCLRRVEFVKLGNQNKGLCGICFNLAISIEKNAADPREKILYEESDLGGILFKDYWETIKDREHLTLADLQIAGGHLDRGVNYKYEENLEQVPDEDHKSGEDLLGDSDDKEQAFPFDSTSKPDKMKTSMKRKRSKKKTYVGWGTKELIGFLSCFGKDTKEPLDEAEVVGVVKEYIKQKDLFLGDKKKHFQCDDKLRPLFTRRKVKYNMLYSKLRMHIVANADSEDEYDDSSEDDNGPVMKKKIQSNLELKIAKRVSERNKRCFASLNQHNINLLYLRRSLVTTFLSHPDTFEQKVVGCFVRVRSNSHPYIYHKVTEPFHLGLVTGIKNPKEKYKVKDKGNDICTDIFLCVTGFLDDVKISSLSDDAIEEGQFEEKVAAVHTDIVNNWIIKELPRLEKQINLAHEKGWRKEYPLQIFTDVVLLFYLMIMHFLWIRK >Et_10B_003604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3691601:3693301:-1 gene:Et_10B_003604 transcript:Et_10B_003604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCEPLTRRYKRISEPLEFFLNEHEPLEPIDFMNYLFCVRQYYLIDGAGEEEAGSSIGMSNFRLACEHYKDSTVHVMLFNPDDSRDNSSAWIEKDISSVVEEGVSKLRKVGRTAGSRTGEFSTSMMPANESWEIRGHCNYCVTEGRDGKPRVCSVVSVVEGSMKVFAMRGEGEWVLEKSLLLEEAIRGLPCYKPGFNRCLGILSRGPGYVTLSFMTSKMWTVSVNIETMKVTSGLVEDMKRKVYRYELPWPLALNACRVSVTKV >Et_7B_054562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:23193772:23200655:-1 gene:Et_7B_054562 transcript:Et_7B_054562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLAAAAALRFRLLSSTTTTTSSSAPPRLLSRCPHFILSSRRDNDEGPSSPPPSAPSHFSPHRLLTSASGAAGLLGLRGGWRGIPPAASRHTGAVADAPPARLAISRSYSLRVAKPKKQQHFDDEHSHRAVNTALWCNFLVFSLKFGVWIATSSHVMLAELVHSIADFANQALLAYGLRSSRRAPDAIHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWNSQPPENIHWAALVIGGSFLIEGASLLVAIKAVKKGAQAEGMSIRDYIWRGHDPTSVAVMSEDGAAVAGLAIAGASLVAVQMTGQAMYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDDHDMQRVLEFLKSDPVVDALYDCKSEVIGPGFFRFKAEIDFNGVVLVQNYLERTGRGTWAKQFREAAMSKDDAQLLRVMANYGEDVVDALGYEVDRLESEIQKIVPGIKHVDIEAHNPEGLSLTSKSFVDYQRTLR >Et_4A_035197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11672499:11678850:-1 gene:Et_4A_035197 transcript:Et_4A_035197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFTRKMGATYLDEKIQDVLGHFQKDFEGGVSADNLGFSSANSEESVAGSDSLDSSNNVLSRKNAAIYSGLGLDISSSSSMEESPDRLGGAYESPQTILQCEVDMEEVLMENRIAKGELRQKERTVTTSNENEFDVTSARKDIPTSVNAAPVPSAVMIKDHRNENTILTLLKFYRPAMNRCDISEDATTNALNALYVIPAPAIGVSSSGPHTAGGDIATLSVQNISVQLDKCGESKTSLSQGNGLNESSYHTPLETPPTNDEQNPKKSKEVVDDENDKFEKDSVSKDDLRPMRKSADLLSEKQKSEKGKQEVCSTSVKFDVSQVEALSRRTSNVKTGVQHIAVRQTRLKRSDASPVGKDASMVAFALKEARDLKHKANHLKSKGLELESTGLYFEAALKFLHVAFLLETPTFDSSRPGDAAESVKMYSETAKLCKFCAHEYERSKNMAAAALSYKCVEVAYLKAAYYKNPSASKDRQELQAVSQIAPGPNHNE >Et_10A_000140.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:10782690:10782869:1 gene:Et_10A_000140 transcript:Et_10A_000140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDNVKHPILIEQFYCTQPDPSKPKPCEEQASAVQLSNVLFKNIRGLLTAATKYKDVI >Et_2A_015430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12607064:12608435:1 gene:Et_2A_015430 transcript:Et_2A_015430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASRRSKMELPEWVDIVKTARFKELPPYDPDWYYIRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQELQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVSVEA >Et_1A_005073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25302613:25303830:1 gene:Et_1A_005073 transcript:Et_1A_005073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAATSAATGTGAGGWPCGGGGGLNVRLNVLLLLSVVATNLVSLYHLSLRAATAPPLLPSLQREQQQQQVHRDDEEELIRQLNHLRSSSPPPPPPPPELLLYSRLAPVASACSAHPDLLHRYMSYTPFAPCPDDALSLAEPLLLRGCHPLPRRRCFSPTTSSSASLPADPFAPLPDAAVRWPKDGKCRSFSCLPPSLGFDVARTEAARFLRARGGLDLTAPQLLRLASLSRAGPIRLGLDVGGGTGTLAARLKKLANATVVTTTMNLGAPYSEAAAARGVVPLHAPLQQRFPVGDGTMDVVRAGHAVNRWIPEAALEFLWYDADRVLRPGGLLWVDHFWCRRNDLEGVYAAMLKRLRYKTVKWAVGDKTVAAAAGNSGKDEVYLTALLQKPFR >Et_3B_029915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29036875:29038648:1 gene:Et_3B_029915 transcript:Et_3B_029915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPGHTVYIGNLDEKVSERVLYEILIQAGHVVDLYIPCDKESNRPRGYAFAEYETEEIAQYAVRLFSGLVRINDKLLKFTIAGHDKPTSNGNHPVIPKLNPIPLPKQSQFAHCSDLTVSHNPACPVVNGGNLGYGSTGHDKPTSHGNHPVIPKLNPIPLPKQTVSHNPAYPVVNGGNLGYGSTPNLKSIWYSPSSTPMHSHGQLSNGMYDYSGHAFGSALNVAYRGPVLNVYAPGAAQPIMHPSY >Et_4A_035356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21139478:21143679:-1 gene:Et_4A_035356 transcript:Et_4A_035356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEEHVTEAVAAAAEQTPEKKEADTTELPAPSGWRKKVWLLDRCCVGTYPDFHASSLLAACFGPGVAVPRGAGAVSGSDLTLNPIRGGKFEVTFVSPTGEEIKSKRQLTQYLKAHPGGPASSEFDWNTSETPRRSARISEKVKTTESPEGEKTPKRQRSSSKRGKKEKKEDEVDATETGDHGTSEEAKSADVEMKDAENAKEDKKEAPSVAETKADAPPAEAAKETENSEQANAVPQETSAMNCDNKGQIQPGASAVRCT >Et_9A_061627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14654792:14659137:1 gene:Et_9A_061627 transcript:Et_9A_061627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRSPAPGPAGRWSELPEDIALSIASRLQEADVCALGGCSRSWRSACDADCVWESLFRRRWPTAAPEGASRVQGWKTLYINQHNRMAVAISNVVEIVESSLRNGSLEAEYYLKAIAHLAMMTDIGFLDVQFFLFSKNHSAIINLVGLHYAIASLHVPPSEVGKAIQARQLAERMVCVNLLKLGRWFYGFRLPDETESHKVSLSELTMSEGAEVLAILNRGAVHEVFRLRITSVDTNNE >Et_2A_017396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33967369:33969620:-1 gene:Et_2A_017396 transcript:Et_2A_017396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCWAECAVQVYYRACGRGGGRGGDRGGGRGDGGGRRGGFGVRGRGGRDRGDRGGKRGGRIPGVARGRGGMKGGAKSVVVPHKHDGMFISKSKEDALCTRNMVPGESVYSEKRNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGKRVLYLGAASGTTVSHVSDIVGPARILALNASYFLKSGGHFVISIKANCIDCTMPAEAVFASEVEKLKAEQFKPSEQVTLEPFERDHACVVGGYRMPKKNKATS >Et_2B_020605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21841610:21849932:1 gene:Et_2B_020605 transcript:Et_2B_020605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGHHLTEVALLASASADLAAAGAGEREGWLDDSAVLTSLGPRARALAVASAARSVLVVVPVGGGAGVTVKPALGPDEGRISAVEWVPLGGEDGGEGEEGVAVAVGTDAGWLLFYSVVGDLLHKQSIYPAKILKLNFRERKEHAWEDSGSDELSVVFPGVIGRLDGADLQRILQKSFQDVKSRLWKDKFEEEDADDEGSFGKIPFQIWNISKFGSCADAVVVGLMPPPLLELQSSQRHYCAITVGEDAVVSAYRLSEDRSRSLVGAILSKGVAATFSTISSLSKILWRSEPSPPKKSRPKPQSFAKTSPLTCLKDLPRKGERLTLSPSGTLAAITDSLGRILLLDTRALVAVRLWKGYRDASCLFVEMLLNKGKASSSMPMEHTKSDYCLCLAIHAPRKGIIEVWQMRTGSRLLTIPCPKGSRILQPSTRFSSSPFSSSYSPLEV >Et_2A_018247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20076901:20077672:-1 gene:Et_2A_018247 transcript:Et_2A_018247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPELGFAGSMASCKIGMLPDGFYDKVRGGSIVIRRSRTSFSFCEDGLVLDDGADGRVVHDDLVILATGFRGDQKLRDMFASPHVKEIVAGSSDTTVPLYRECVHPRTPQMAVVGYSESLTNIYACEMTAKWVARFLDGAFRLPSVRRMEQSVAEWGSYMKRSGGEDRFRRSCLGAVNIWYNDQLCRDMGCEPRRKKGFIAEWFQPYGAADYADIQ >Et_3A_025170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27585045:27586443:1 gene:Et_3A_025170 transcript:Et_3A_025170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRRCLLLACLVAALSASTASAFVFKAGGTGEWRVPAASSSNASAYNAWAQRNRFRVGDAIAFTYQAGKDSVLLVDKKAYDACDTSSPIDTFSDGNTVFTFTRSGPYYFISGNKDNCNRNEKLIVVVMAERSTVGALAPSPNSPFSPYSPPPPPFGIEISPAANPPPNAAAPKVAGVAGTVALAVGTLFYALV >Et_7A_051358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17374210:17377169:-1 gene:Et_7A_051358 transcript:Et_7A_051358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADAGPLTTEIPAKMRAVQYDAYGGGAAGLKHVEAPVPSPKKSELLLKLEAASINPVDWKMQKGMLRPLLPLRLSYIPATDVAGVVAAVGPGVKRFKPGDKVVAMLNTFYGGGFAEYAVAPAKLTVKRPPGVSAADGAGLPVAAGTALQALKSIGSKFDGSTTTGNQPQKNVLITAASGGVGHYAVQLAKLAGFHVTATCGARNLDLVRSLGADEVLDYKTLEGASLKSPSGKKYDGVIHCTGVGIAWSAFKTVLADKAGKVVDVTANASAVLTSVAHRLTFARKRLVPLMLWPNKADLEFLVGLLEEGKLRTVVDSRFPLSQASEAWEKSAGGHATGKVILEMEG >Et_9A_062917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6611253:6628359:-1 gene:Et_9A_062917 transcript:Et_9A_062917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLALLVALMATVFSSSIAWEMKIRMPTARAVEEEVVAPLIHALRPVFGSAGQLASRAGVSCDSWRLAVEAYNKRDWKLAIAYAESLKLAGNGREVWVFDIDETALSNLPYYSTYGFGTRPYNATRFNEYVMEGRAVALPETLRLFKKLVRLGIKPVFLTGRTEDQRAVTVKNLLREGYSSWQKLLLKPLGVKVTSIAYKSGERQKLVHAGYVIVGNIGDQWSDILGAPEGARTFKLPDPMYYIVAMAMAKKLVLLVALVAVTCTAETAEIHALRPRLGSGGQLGRRAGVACDSWRLAVEAYNKRDWKTVPAECESYVGHYMLGEHYRRDSRVVVDEAVAYAETLKLAGNGKEAWVFDVDETSLSNLPYYATHGFGSRPYNATSFAEYVMKGSAPALPETLRLFKKLVSLGIKPVFLTGRTEDQRAITVQKLFRQGYSGWEKLLLKPLGGVKVTSVAYKSGERQKLQDAGYVIVGNIGDQWSDILGAPEGTRTFKLPDPINDAGLAYRVMAWRLAVETNNLRYWYSIPAACRGYVSDYMFGELFRQDCATVAGDGKDAWVFDVDDTALTNLPYYADTGFGRTTPPSSTEVLELYERLLARGIKVVFITGRHEYQREPTVKRHLGEARAQVRTPSSLGSSVVPYKSGERQKLVDAGYRIVGNMGDQWSDLLGAPSRCRTPCTTSADRLMHSTVDGHELQELACHSGRRVPWYASKIREIQY >Et_3A_024913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25268411:25273131:-1 gene:Et_3A_024913 transcript:Et_3A_024913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAEEEAAAGTSGRLLVLYASQTGNAMDVAERVGREAERGGCPATAVGVLSMDSFDPSCLPRERFVVFVVSTTGQGDHPDSMKAFWRHLLRKDLSKQWLEGLHYAVFGLGDSGYQKYNFPAKKLDQRLLDLGAERIVEKGLGDDQHPSGYEGALDPWLLSLWKSLNETNPLLLPRVSDITDPNSNILGNPKVEVIYYSSNEVLEDCKISDPKKLIKNARSMSPALKFHEDEEQLHMLQMVTNQRLTKEGSDRDVRHFELEDPSSTISYKVGDALEVLPSQNPSSVDAFIRRCNLDPDCYITVQTKCGDKVSKGPVVNNNWIHPIKLKAFVALTMDVMSFHATAEHEKIRLQYFASPEGRDELYQYNQKESRTVLEVLEDFPSVQMSFEWLVQLTPPLKKRAFSISSSPLAHPNQVHLTVSIVSWLTPFKRTRHGLCSTWLAGLNPCNDNLIPCWIHQGSLPPRPIVPLVLIGPGTGCAPFRAFVEERAAQAGTEPTAPVLFFFGCRNEDNDFLYKDFWLTHAQDQGVLSLKKGGGLFVAFSRDQPQKVYVQHKIKEQSSRVWNLLCSGAAVYIAGSSTKMPADVTAALEEVICQESGETKLGASKWLKTLERAGRFNIETWS >Et_9B_065567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7081349:7088399:-1 gene:Et_9B_065567 transcript:Et_9B_065567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLPLPFAAAAASRLRVPAVSVPVARREGLFAGRVSGVSMPAGLARRGLSAGAEAGSGSAAQVVGSEEAVEWVKKDRRRLLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVVELTYSKLLLPKLPLPYPDLFVVSVMTGISKLLLLADYGVESYNIGTAFGHFGIAVEDVAKTVDLIKAKGGTVTREPGPVKGGKSVIAFIEDPDGYKFELIERGPTPEPLCQVMLRVGDLDRAINFYEKAFGMELLRKRDNPQYKYTIAMMGYGPEDKNAVLELTYNYGVTEYDKGNAYAQIAISTDDVYKTAEAVRLNGGKITREPGPLPGINTKITACTDPDGWKTVCPILLCFTVKFCSLSFLLKDWVFVDNIDFLKELEE >Et_2B_021129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26712309:26716268:-1 gene:Et_2B_021129 transcript:Et_2B_021129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSTVAARFAFFPPSPPSYGVEPPPSPAAAATDSAVVELSGVPRRGRVEARRLPTKRGTEVVAMYVRQPGAKLTLLYSHGNAADLGQMYELFVELSSHLNVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYRCLIETYGASEENIILYGQSVGSGPTLDLASRLPHLRAVVLHSPILSGLRVMYPVKHTYWFDIYKNIDKVPLVKCPVLVIHGTADEVVDCSHGRALWELSKQKYEPLWVKGGNHCNLELYPEYIKHLKKFVTAIEKTPPVKDEFPEGSGPSDPSETGSESAESSRRSTDIRDKPRSSIDHRHSTDRREKPRGSIDRRDKSRKSVDQLEKPRASVDQPDRPRKSIDRLLQSPVFYP >Et_7A_050385.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:11856532:11856702:1 gene:Et_7A_050385 transcript:Et_7A_050385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLTLNRQWVGCFLLTVLVIALLIGVLFGLGVFRHGYERVRDIGRNHTCYDCNTG >Et_10B_002431.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19804228:19804488:1 gene:Et_10B_002431 transcript:Et_10B_002431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSKFFNLDTTKESSPSVTPEHLLRVNSLTKPQDLPMDFSPSAMNLQPSSFRICRLGILWKLLTIDGVICQRRMPNKLGELKICR >Et_8A_058292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4309436:4312191:-1 gene:Et_8A_058292 transcript:Et_8A_058292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGARVEAAPRLAQWRVDALPCYTYRKSLPFRIGLWNWYLSVERNNKQTCVKLFAENSNSTRDSSPAPIASFVTKLLISFPPNQKTIVHPGRFVIEIEFLDLKIADPSGGEPASIWASHQIKKSSDNTALSALARMLHEDILTDITINAADGSIRAHRAILSTRSPVFRSMFSHDLKEKELSTVDISDMSVDSCQAFLNYIYGDLRSEEFLTNRLALLRAADKYDIADLKEACHESLLEDIDTSNVLERLQTAHLYRLPKLKHSCLRFLVDFRKVYEMHDDFNLFLQTAERDLVAEVFHGVLAAWSGR >Et_9A_061995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18673976:18676168:-1 gene:Et_9A_061995 transcript:Et_9A_061995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SARRRPRPSSPRCAFAAVGDPDRRVRSRQAQAPAKPSIRLSQWYVAFLLLSLLVLPRVFAALPVLDLPPDPSRTAFHNVHSFAEIQSFAACNLLLPCCFVTCLGLPRWDPRMAVASISLVQPFLVQETCPKVKNILLLDSEGKRVAVKYYSDDWPSLSAKLAFEKSVFAKTQKANAGTEAEIVMFDGQIVVYKFIQDLHFFVTGGEEDNELILASVLQGFSDAVDQLLRNIVDKRTALENLDLILLCLDEIVDGGIVLETEGSVIAEKVSSAHGMEGATSLAEQTLVQALTTAREHLAKSLLM >Et_10B_003789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6185136:6187676:1 gene:Et_10B_003789 transcript:Et_10B_003789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLLLPLLLLLLILPSPAPAGASHREAASEESRQCPTLEDGLPPFAGALRQTCRVSAEGYPAEEINGEQLVRVLGGKEEYTAVLFYASWCPFSQKMRPIFDDLSSMFPQIKHVAVKESNVMPAILSRYGVRSMPSVIIAHGSYPFGPLGSKDLGSLINSYTAVTGQEPVAYIGPRKWSTAQSTHYAKLWNGSIRETVKSEPYLAFSITFICLRIFLFFFQKFFAVVKGFWMQYFRHINLGVIAKLTQLLECMPHVMDVSKIWSKWRLMVGLKNAKVWASSLTSVSLGGQSSPRADVLD >Et_8B_059479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18372879:18377589:1 gene:Et_8B_059479 transcript:Et_8B_059479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCVAVVGHQSFTEADDALKLHHIVHCSLDVIDERDARNFFRKFHAAYVDAVSNPFHVPGKKIASSFGARVSTIVKSFGSGTTY >Et_6A_046144.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4259474:4259707:1 gene:Et_6A_046144 transcript:Et_6A_046144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSAQCPFCIMPEDLDHLFLRCPRAMDFWMSLNLHFPVSTLNIESLWTDIPDLASAPSKIPSVVLNCILWNICSFR >Et_8B_058635.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1266010:1266180:-1 gene:Et_8B_058635 transcript:Et_8B_058635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGDGAPRASSPTAARNWAIPTPSATAWLDVTPTTSPPQENSVTCTDSKGSSPEP >Et_1B_012133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29259722:29262109:-1 gene:Et_1B_012133 transcript:Et_1B_012133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGIELKDALWSGSLTFQHAVSTSAASLDENSSGRKFASYTVFKGKAALSVHPILPSFSKLESGGSRVSRNGSVMLTFFPATGQRKYDYTKKQLFALSATEVGSLISLGPAESCEFFHDPSMKSSHEGQVKKSLSLTPLGNDSGYFVNLTVLNNLQKTTDRLSVPITKAEFAVLRTALSFALPHIMGWDQVLTNHHPAPQASKPRVERPHPDSEWER >Et_6A_046025.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:19103507:19103890:-1 gene:Et_6A_046025 transcript:Et_6A_046025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKCCQTNEDVKHEFINEAFILSQILHKNIIKLLGCCLEDYVLILLYDYAAKGNLSDILQSKDDFPLESRLDIAVMTAEALEYLQSSEGGIIPHGSIGPSNILLDYNFTPKVTGSSLSRRLAKDEL >Et_3A_027345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8334543:8337757:1 gene:Et_3A_027345 transcript:Et_3A_027345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSGQKRKGCPCSALSACKRQVQGRDWACLPPDLANVIAELLLAEDVVDYMSFRAVCASWRASTASPRDTTLRDVRFRPRGWVALCDGDGVRPADAGSHRIVGFTDGLVILLNKVTTVVRVLHPFTRIFLDLPPLAPVFWRFVKDMYSMAWMSAAVCLSHTSIAVVVWFPNARGVFCSEPGRRWCTVIDKRLELCSGLPFEGKLFGVKKRSSNLVYPPSSQRQVVAKIPREFIFPFVCYLHLVESRGHLFLAVRLPHTKEWSLEGWKPFTFTLFDVNVSVGKLTQDMNLRQQAAGFARAMPRNVSGATNMAASLPGGSADAGTFLVQQQPQHPQLANALGFQTDASLSPLLADLPRRPQGDGGGDGQFSDLTAHFVLHGPSRPPDGSGSS >Et_8A_056726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1619084:1622012:1 gene:Et_8A_056726 transcript:Et_8A_056726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPDHGSGGARRWLRQEVLLGLALGQFVSLLITSTGFSSSELARRGINAPTSQSLLNYILLAVVYGAVLIYRRQPLTIKWYYYLILGIIDVEANYIVVKSYQYTSLTSVMLLDCWSIPCVIVLTWIFLKTKYGLRKFIGVGVCVAGLILVVFSDVHASDRAKGPNPLKGDLLVIFGSMLYAFSNVTEEYVIKKSNRVELMAMLGLFGAVISGIQISILERNELHSIKWNAGALLPFLGFAVAMFLFYSTVPTVLKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWIYFVAFACTAAGLIIYSYRGSKEAEETAQVAGAGDEQVKLGDEEAGTHNTTV >Et_6B_049188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19177508:19180250:-1 gene:Et_6B_049188 transcript:Et_6B_049188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSPAYHSPPRRGYGGRGRSPPPRRGYGGGGGGGGGGGRGGRGGDQGSVSLLVRNIPLRCRPEDLRVPFERFGPVRDVYLPRDYYTGEPRGFGFVEFVDAYDASEAQYHMNRQMFAGRELTVVLAADTRKRPEDMRRRTKPRYDMPIAVSKLYYLVSIEMTIVGCSAEDILIMKGVVLLAMGGLVLALTHVPAPRVPVAMLDHGHTLLPQEGGTTTLLPHGQRKSTEGRLGRLKSTMGIRSGDHILLTTKVMGVVQLMVTMRVYHHLRTRNVAGAAGHPRIRLQGRAQGRHLQHAAADDEHV >Et_1B_011835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26465336:26468570:1 gene:Et_1B_011835 transcript:Et_1B_011835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKGKWSKEEDYLIRNHIEKHGIGRSWQALSNTLGLQRCGRSCRSRWLNYLRPGLKHGNFTPQEDKIICEMYSKKGSCWSVIAAQLPGRTDLAIKNYWNSTLKKRFPAARTAAAAARRRPRPSPTASTSSDAGTPARDLQLVVYSDESSTAGSSCAQPALASSPTSVKEPLVPFAAGHESIEAVPVTVSAPARSGQRPAVGSLPLTPPKPAAPAPLAGRDQIGEMAMDIICRPMSPLPLCFMDPELACICGFDDIHSFLPWFDLWDNYLRSVNIFHNPQAFDIHWSNRLSAELAIALSDE >Et_1A_005525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11121118:11122823:1 gene:Et_1A_005525 transcript:Et_1A_005525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WLRVMSCTSPDPPDYCSTESPELKLYQAFIFSVPVFFTFVLLLFFYLFYLRRRRANWESLRMRTNNLIRGDNPRSECGIKKEMREMLPVVIFKESFLIRETQCSVCLADYQPDERLQRIPPCGHTFHIDCIDHWLSTNTTCPLCRVSILPAPKTTSIDPDLEAQIGEESLIVQHQEGLAENPQQDDQTSEPGGTVGSHGEEPNDGGEPVTVTEVAEPQADAEGSPSTTCKLNKIMK >Et_8B_060204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7286767:7290797:-1 gene:Et_8B_060204 transcript:Et_8B_060204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEARVPRAALSAAAAAARRRWPLLKAAAAVFRRCVDAVLYATLATMGFHSLGVVVEVLGRWVCGPSSTVEAAGAAFHSGCWFLARRLLPAATPLLLMRIILRAAHDGQEETKGKKKRKKRAATATQRLQLGPTLCSCALYQLMHLAITMKRSEEGSQMWRVGCVLYDFASLGLAISTIFLLRNLVIIVAVPRVKDGDNLMQSTYLALT >Et_6B_048804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13887538:13890235:-1 gene:Et_6B_048804 transcript:Et_6B_048804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FPFLSKKKQFPLMTTCSSNSVLLLLAKLTMLMGDEYKKFKGVRKQVSFLKDELGTINAFLETLELMDELDLLVKNWRSHVREMAYDIEDCIDDFMHHLGDIDPNEGFINKTVRHLKTMDELRTRVLEASKRRKRYNLDYCVSNSGLVAVDPQVTLLYPKAANLVGIDGPRKELVNLLTDTQQKLKVVSIVGFGGLGKTTLAKEMYCHIGEKFRCKAFSSVSQRLDMTKFLSGIQSKLGIPGSSYKCGGLPLAIITIASLLANKPATLKEWENTLHSLTMHIGTHPTLEGMRKILDLSYKNLPPHLRTCLLYLGFVNNFHGQELDDVAKSYFNELINRSLIQPESTDCGEVLSCRVHDMMLDLILRRCNDDNFVTVLCNSKDMTTHQDNKARRLYLNCSNADVLDDRVSGTILSSISQVRSFAAYGEANCVPPLVLFKYLRVLILDIFSDELKCKVDLNAILQLFQMLCSLQKKIQGLVHLETLDMYGIRIIPADIIHLPRLSHLIVDADAWLAHGIGSIKSLRTLGRIYLYSPIINVLGELTNLRDLTLCYTDYMTTFVQWLK >Et_7A_050831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11339198:11341630:-1 gene:Et_7A_050831 transcript:Et_7A_050831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQDSEPNADLMDTLHSDPYSRGSSSQLQFRDVSMSTENSNTVLDKHEIVNPRVGMTFETVDLAYQFYLEYGYRAGFGVSKRTSHSVDGVKYRATFVCYKGGIARIKPGLKARRRLVAKTGCKAMMVVKFNSSENHWEVVFVELEHNHPCNPEMVRFMMCFKDLPDWQREHRPFNAKTRLNPKIHSGRGRPPNQNKDFMEQFANVYTINMFQKFQDQLKQLMNVNCTEVSRNGSIVTYTLTETPISPNAAKTTGKGTKADNVCEDKVDDLYKHGHQYFADIVEMGATDPDAMEYVLSVMKEAKEKVRKFEESRKEKRPGESPVSTSKKGAKSKLAEDGNGTSVSTPMPAAVATLTMASPAQMATAPTMMAMAPSSAAVPPGMFLVPMHPHSMVFPPFAPAVPPAVAPPAPPAAPATNVVDAPSNSSKKRKKRKGN >Et_10B_004268.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:2397132:2398145:-1 gene:Et_10B_004268 transcript:Et_10B_004268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLPFLLLVVVLASLAVDSATAMASRSSRPAGRRPLFREYIGAEGLNVTLADVPVHPGVDFDFILAFAIDYALADASSNSTAPPPRPTDGRFAVFWDEAHLTPAAVAAAKLRGHCTGNSVRVALSLGGDTVGAGHNATFRASSVECWVENAAASLTAIVRRYGLDGVDVDYEHFGERETPEVFAECIGRLVQRLKAERVISFASIAPFANPDVQAHYGELWRRYGAEFDAVNFQFYAYAANTTVDQFLGYYDEQSRRYAAGVGEEGKVLVGFGTDPASSGLRPGKGFFRACRVLRRQGRLHGVFVWAADNSAADGFRYERRAQRFLAGAAPGFTA >Et_8B_060587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2921752:2927578:1 gene:Et_8B_060587 transcript:Et_8B_060587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAASGKTAKMTSGPDEAKSRARRNLLLKNESEVQQFWEENKIFEACPGNEPPGPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFAAAYHRLHGSNVLLPFAFHCTGMPIKASADKLAREMQQYGNPPVFPAAKEDSGSAMTDDSQSDQGADVIPDKFKGKKSKATAKAGAQKYQWEIMKSFGLKDEEIAKFQDPYHWLTYFPPLAKDVLKKFGLGCDWRRSFITTDMNPFYDAFVKWQMRKLKKLGKVVKDMRYTIYSPLDGQPCADHDRATGEGVQPQEYVLIKMEVIPPFPTKLKVLEGRKVYLAAATLRPETMYGQTNCWVLPDGVYGAFEINETDVFIIAARAAVNLAYQHLSRIPEKPTCLCELSGNDLIGLPLKSPLAFNGTIYALPMLTILTDKGTGIVTSVPSDSPDDFMALQDLLAKPALRDKYGVKDEWVPKDIVPVIHIPEFGLKSAEKVCHDLKIKSQNDREKLAEAKRMTYLKGFTDGTMIIGEFSGRKVQEAKPLIKHKLLKEGTAVLYSEPEKKVMSRSGDECVVALTDQWYITYGEAEWKQQAARCLDGMNTYSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTIAHLLQKGDMYGRAMSAIRVEDMTDEVWDYVFCDGPAPKSNIPPALLNQMKQEFEYWYPFDLRVSGKDLIQNHLTFCIYNHAALLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFLTLQEAITEYSSDATRFALADAGDGMDDANFVTETANSAIMRLTKEISWMEDVIHNESSLRAGPGTTYADRVFANEINIAIKETEKSYNAFMFRDALKSGFYDLQLARDEYRLSCGAPGMNRDLLWRFMDIQTRLITPICPHYAEHVWQNILKKEGFAIKAGWPAAGTPDPTLRMANKYLQDSIVSMRKLLQKQESGSKKLKKGAAPSPSVENKMTVGLIYVNENYCGWKEQCLRVLQSKFDTDQRSFAPDQEIIDALKNCSIGQEMNFKQVQKLCMPFIRFKKDEAREVGPQALHLKLPFGEMDVLQENLELIRKQLGLEHVEVLSACDEATHAKAGESVKLLNQNPPSPGDPVTIFLTRQQFEGNKVTVIAIRDS >Et_4A_032263.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2763943:2763990:-1 gene:Et_4A_032263 transcript:Et_4A_032263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILVFVTARRGVK >Et_5B_043589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12203910:12208813:1 gene:Et_5B_043589 transcript:Et_5B_043589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLPSQFLSSELGGGIIQGTASEAILVVLLAARDRTLRKHGKKSLDKLVVYASDQTHSALQKACQIAGIFPENIRIVMADCNKNYAIAPEAVIEAISADLSSGLIPFFICATVGTTSSSVVDPLPEIGQIAKAHELWFHVDAAYAGSSCICPEYRHYLDGVEEADSFNMNAHKWFLTNFDCSLLWVKERTYLIQSLSTSPEFLKNKASEANSVVDFKDWQIPLGRRFRSLKLWMVLRLYGVENLQSYIRKHIELAKTFEELVISDSRFEVITPRKFSLVCFRLLPPPSDKDNGHNLNYDLMDAANSSGKIFISHTVLAGTFVLRFAIGATLTEEQHVIAAWKLLQEAATKLLGSL >Et_4A_034422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32391809:32393528:-1 gene:Et_4A_034422 transcript:Et_4A_034422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAVLFANSEGNILIERFHGVPAEERLHWRSFLVKLGSENLKGSKNEELHVASHKLVSIVYTMIGDVCLYIVGKDEYDELALAEVIFAVTSAVKDVCGKPPTERLFLDKYGRICLCLDEIVWKGLLENTEKDRLMLDTPRIAMYSRDGLVLRVSGMARMAVAHSCL >Et_1A_005663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12487523:12497034:-1 gene:Et_1A_005663 transcript:Et_1A_005663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSLAPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRLLDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAPAAKKEGAEGQEGEAAAVETKKSNHVQRKLEKRQQGRTLDPHIEEQFGSGRLLACISSRPGQCGHPDRAAAYARLLDLQRGCAEDPSAAADLAAELPSTLLPLLIRDAADPDESVAASALKCLGFALYHPVLVSTISVQMAQSILDTLVQLIMSTQMKSVCNLGVWCVSIQQLEPLIIQDRAASLLTAIVHAVDNPFGSLSTTFEAAQAIMKLAGQSPKRMRDLSSLWVPPIYRRLLSADKPERDMAERCLVKVSGVLLPPQPLLSQIIASDLEQQLLSCMMNMLGDPLKKVQAVKSWGWIIFLLGSSAVSNRPLLNKLLKVPERMFIDLDPQVQLAAMASWKHLVDAFFPTQAAGTAAQETVVSSSVLREHASAQVKRIKLIMVPLCRVLSRSHSLALCCSCLSTWHYLLHKLGNLINHLPVLEAAFGPILKIVFSFGINDLNKPLWSFCMSLFHDFVSSKVSHREKLHTPVDQKLLDQSCMHLKALLDVQHIKWLPWDISYFYFHLEILGTILNPEIFQNMISETMEIVMDFAAQIWRFLVQGIATEVKLQLVYEQVIECITQMCKFVKRFFLDHVGKHNSNRSAILLQFGLQFANVIVEELDHSLLASEKFEICLDIEHIKVMQYAEWSQKLSCPVIRLLSYKEMVSPAVYMTVISLSMIAQFTGELSHDVAEKLALILSSSDSLENFHAAVSFMYMQIRCPEIDRTNIKWLLVWNKLAKHLNKQNDYWLEISLRLSSRDVPYQFLCYPLFALSYPGPHSVHRNSESSSDIYAPVTQNLQVELALEVYRSLSINSFCGSKVASMVFLEGFYEYLVTIIDENMSVFQSNLEHSEKFQSTAIVSTLGEVLIGSLQNNRLLNCANQVLNRTNEDSTGCIQPNLSVSSLKIINRFMKLSRFGFKANPTGQNHVTNRVLTSLSTFVGNLMLQKDIILLVEIVGDQLTEWLSLSGMYYCEMQQGETICQLERLWITIVECLKMSQLIRDGFFFQHQKLLQVALNHPHHPISAAAASIWRPATHGNSSLQHPGCLVSKLDELLRRRPKDFDKSGDANRTVHECIDVSRTSALPMPEKRTIASNESKHNELDGGSLKISVGLGRKRLKISKYPTKPKELGKNAAQLGGPSPRRDTGVFSPCCMESKVCRKPELILQMLKRKR >Et_1B_014046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28758793:28759970:-1 gene:Et_1B_014046 transcript:Et_1B_014046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLGLARSNFPDAAATGRPRMIRTKRSFMFGTLSTLVQLVPGDSAGVITTFYTSSQGRKHDEIDFEFLGNAAGQPYTIHTNIFVADIGNREVQFKAWFHPADGYHNYTISWTPCIVVWYVDGVPIRQYKKSQGVAFPSSQPMSGYMSMWASEDAWATQGGRVRTDWSKAPFTSKYRDLQLQVCNCSIGSDQCEINCPAHAEACQLGKEQEEQMHMMQENYMLYNYCHDSKRFNGQMPIECKQY >Et_4B_037513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20745604:20753862:-1 gene:Et_4B_037513 transcript:Et_4B_037513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKMSALDVAAVVGGGDAVTETTQAMIEKEEKFAAEAEEAEDGSGNDDMQLSPAPPEPTPLQQLVDACRVVFADTTNLPTDEAIAFICGVMGLEEAVCFISKHLKTSSCGLYPDKVRTLDVWLMDEVGFFYNRRAAGNQSPPMLTWNIIYECATFKVAVFFLPMGVAMPLHDHPDVTVISKLLIGSSHIEAYDWAVSPRVNAAGSGSAMLAKKVTDQHVTVPSCASVKIRDYIHRFMAGQDGPCAFHNVFVPLNSPAKSIVAPSTRTFHVMGGKATEEQKGELTWLLKIDEPKDVKAFRLPYRGVPIVSSRIHAAGSAGSAMLADKVIDHVITASCGASTQSLDYIHRFMAGANGPCTFLNVLTQPYSPAEQRRCTFYHVLPYELHPNATSNEEREEEEKRKLAAVAT >Et_4B_038713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4187633:4188845:1 gene:Et_4B_038713 transcript:Et_4B_038713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFLERLEGEGVFRCRQCRVDAASKDSIISRDFYGRTGRAYLFDRVVNICLGPKEDRYLITGLHTVNDIYCSCCQQILGWRYVSTSITWFILQDDFLFSFGTLSARHSHIVT >Et_9A_061682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15227539:15231437:-1 gene:Et_9A_061682 transcript:Et_9A_061682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCIFLLSDSGEVMVEKQMTAHPVDRAICGWFWDYVVAHAAGDPSKVVVSPTHYLFQIYRNGVTFLACTQVEMPPLMAIEILDEMMDNGFPLTTEPNILKEMIAPPNIVNKMMNVVTGKSTTLGSKLPDATASFVPWRTTVVKDASNEVYVNIVEELDACINREGALVKCEAYGEIQVNCSLPGVPELTMSFANPAIINDFSFHPCVRFRPWESNQILSFVPPDGQFKLMSYRVKKLKKTPIYVKPQLTSDSGNCRVNVMVGTRNDPGKPIDSITVQFQLPPLIASADLSANYGTVDILADKTCLWTIGQIPKDKAPCLTGNLRLEEGLAHLHTLPTFQVKFRIMGVALSGLQIDKLEVKNTPSAPYKGFRAQTQAGKYEIRS >Et_6A_047143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25953383:25955641:1 gene:Et_6A_047143 transcript:Et_6A_047143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GNGSWHKKKPSVLLANPEAHLAQSKRLAEAALEHYNKRKKIKFEHVDVKPCISVPEPRCCYAHHNFTAKSSKEGSQEKLFFAELYHCGVRRDVNLITRSRMESSKEQRPFTGRRGSSRGFLVTCCEPLGPDSKVGQKFLKPTDRTIGVRKHANFTYCFACSERTSHPKGEKYIAGHCNIPHIYNCLRYESYR >Et_4A_033664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25564649:25566083:-1 gene:Et_4A_033664 transcript:Et_4A_033664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAISGINMLIFVEPICSFAKSEEAHYPITFKMDSGSAQSAGSAAPSVPKNPAMTSCRKKKSEEATFLEDVKDHIDEFIHASMDEHKSCFKNTIQKMFGMSKVVAERAAEAKEAEVESALPLQTSVSQ >Et_7B_054172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16498222:16511718:1 gene:Et_7B_054172 transcript:Et_7B_054172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVTVPRIKLGSQGLEVSGQGLGCMGMSMPYGERKPEANMVALIHHAVAAGVTLLDTSDCYGPHTNEELLGKAMKDGVREKVQIATKFGITPELTDVRGDPAYVRAACEASLKRLGIDCIDLYYQHRIDTRVPVEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDTEQDIIPACRELGIGIVAYSPLGRGFFSGGAKLVNELPDDDFRKTLPRFQPENLEKNATIFERVSEMAARKGSTSSQLALAWVHHQGSDVCPIPGTTKIGNFDQNLGALSVKLTPAEMAELELYAAMDDIQAVVHPATPAMASAPATVPRIKLGSQGLEVSAQGLGCMGMSAVYGERKPEADMVALLRHAVAAGVTFLDTSDCYGPHTNEVLLGKAMQGGVREKVQLATKFGITPDIENVRGDPAYVRAACEASLKRLGVDCVDLYYQHRIDTRVPVEITIGELKKLVQQGKIKYIGLSEASASTIRRAHAVHPITAVQIEWSLWSRDVEQDIVPTCRELGIGIVAYSPLGRGFFSSGANIVNELPDDDFRKRVSEMASRKGCTSAQLALAWVHHQGSDVCPIPGTTKIRNFNQNLGALSVKLTPEEMAELESYAAMDDNVRGDPAYVREACEASMKRLGVDCIDLYYQHRIDTRVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPVTAVQLEWSLWSRDVEQDIIPTCRELGIGIVAYSPLGRGFFSSGANIVNKLPDDDFRKNLPRFQKENLEKNAMIFERVSEMAARKGCTSSQLALAWVHHQGSDVCPIPGTTKIGNFNQNLGALSVKLTPEEMTELESYAALGDIQAVAHTPGNSATPGGPAMAAAPVTVPRIKLGSQGLEVSAQGLGCMGMSMAYGERKPEDDMVALIHHAVAAGVTFLDTSDSYGPHTNETLLGKALQDGVREKVQLATKFGIGLDADGTREIRGDPAYVRVACEASLTRLGVDCIDLYYQHRIDTRVPVEITIGELKKLVEEGKRSSTLGYLKRRRPRSEGRMQFIQSPLCSLNGLSGQEMWSRISSQPAELGIGIVAYSPLGRGFFSSGAKILDKVPDDDFHKNLPRFQPENLEKNATIFERVSEMAARKGCTSSQLALAWVHHQGSDVCPIPGTTKINNFNQNLGALSVKLTPEEMAELKSYAAMDNIQGERYHSTFLNTWKDSETPPLSSWKGN >Et_7B_054292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19427232:19438662:1 gene:Et_7B_054292 transcript:Et_7B_054292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPPLRTTSAVSASSSADSPTSGPPGGVPQSITALLNNPLPSAAASSYWLTWPPPTPLPDASPPPPSHPCDVTRADFAPYLASVADPFARFADIRLHANAELAASSSEDGAPATSSGLAACLREVPALFFKEDFALEDGPTFRAACPLDDDALQERLGQHLDVVEQHLVREIALRSESFYEAQGRLRGLDGEIVTAVGRIRELREVVRVLTGDLVGAARQVQELNATRGNLVALQQKLTVILYVSQALAALKLLVAAADCAGALDVIDDLQNLLDTDELTGLYCFRNIRDQLATSLDSVYSILSAEFVHAAVPDGKAVDAMILSNVKRKASSPLNGTEDEINNDEEESFVLRDRLLPLIICLLRTDKLPAVLRIYRDTLITVMKASIKATVAELLPVLSARAMDSDSVTGDRAADSDAGGQSLANKLRSLSSEGFVQLLSAIFRIVQNDYSVSSRVSNSLTRSTSKIPFVHGKANDFSITTSIKNVRADVLRENAEAVFAACDAAHGRWAKLLGVRAALHPKLRLQEFLIIYNITEEFIAATEKIGGRLGYNIRGILQQQSKQFVDYQHSVRMAKIKAVLDQETWVAVDVPEEFQAIVLSLSSTYSVNGMDMPNPDASSKLSDGPNSQEPIHSAEYNADNGKVSSVTSHEGKVESTPENNVSGSVRSTSQTIVLGGVGYHMMLSEYVDISKYLPSLSFEVVQRVVEILKLFNTRTCQLVLGAGAMQDYKIHRDEIHSKLVQIMRERLLANLRKLPQIVESWNGSEDNDLQPSQFAKAVTKEVSYLHRILSQTLLEVDVQTIFRQVVQIFHSHITEAFSKLEVSTPQAKNRLGRDVQHILTCIRKLPAENFSAETVPNYGLLDEFLAENFGTKVDE >Et_10A_001429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2997266:3002167:1 gene:Et_10A_001429 transcript:Et_10A_001429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCHHLLVQLINPAANSAFIGGGTWSEGLGRAINKGTLGANLSSRAKLQLLTHQPINPPNLAMYYRVMRPSVLLLVLVAGCAAGVHAAAGTPAAQFWEEALPGSPMPGAIADLVQEGIDHSPLLEHYPVPDNLDRSAVNWLCIGGCPDGPPGLPMRVGSTMTVFFPPAAVPPILPLDVAEKSCTSSLEATVRSAVNMLGGDHAGAGVWAASSDLAAAAGLPRQAYEVEAVAPLDGDRHVGCHVISYPYAEYVCHMTGRPSRAYRMTLRGLRGGQAVDMAAICHLDTSNWSPSHPAMRILHTQPGSEPVCHFVAPDNLVFGKKASKA >Et_3B_031055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12859359:12863933:1 gene:Et_3B_031055 transcript:Et_3B_031055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIVSSYYVLSVIFQVPMKVEDKSSKQMEATSEKVVAACKLADPYHSKTLQKPFPSGLLYQELDNNAIKLTGASPNDGSIDASLSFLPQSDQLELLDCCNGLVLCRYESSSTPDTYHYVVCNPATRQWTTLPETDPEQKDFQYLEKLAFNPSWSPNFYVFNFQQMCSPSAETNGVSAVRIFSSENWTWVVDDQWRPKNDINVTSRPHFLLRGMLYVHTADDRVLEMKVFHQTEQTNHRVIELPGYRASCPYDDFLYGCLGQSSGILHYAKPEIDGRKIQVWGLEQGGWDLKHSFNISAAFGRYNFVQYDIEGFLCCDYDIQIVDLERGFIFLRDCIENKLLSYSMSTGMLTKIQDGFNRYMSFVPFYEIIRVESVAADEGTHRTDQHRYKRSIRP >Et_3B_030478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4059119:4064520:-1 gene:Et_3B_030478 transcript:Et_3B_030478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALKASFPGELSAVSFLDSSRGPFGQLQVDFSFQRKGKRAMPLRRTCCSMQQAPPPAWPGRAVAEPGRKSWDGPKPISIVGSTGSIGTQTLDIVAENPDKFQVVALAAGSNVTLLADQVKTFKPKLVAVRNESLVDELKEALADCDYKPEIIPGEQGVIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAQKHNVKILPADSEHSAIFQCIQGLSEGALRRIILTASGVDKLKEVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMVETQDSSVLAQLGWPDMRLPILYTLSWPDRIYCSEVTWPRLDLCKPGSLTFKAPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVELFIDEKISYLDIFKVVELTCDAHRKELVTSPSLEEIIHYDLWAREYAANLQASAGRSPVPA >Et_6A_046594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1785492:1800551:1 gene:Et_6A_046594 transcript:Et_6A_046594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSHRKRLDSGPTNHSASAPSSCARSRRRKHRRLRESKHPNQHRGEMAEPSAPAAAPQTDAEREEALDRILTRLALADDARLAPLLARVLPYTITSLASPAPVVRKLVMDILSHINKRVKHRPDIQLPMLDLWKIYTESVSSAMVRNFCVVYIEMAFERMPTEEKGSMAPDLLVNISTAPPQHQAVILRLVSKAIGECNKLKVDDTVASKYRAISGSNDGVVFVEFCFHTLLYQSPQQGVGCPAGLSVSQSDRVAGKQPLKGDMLISRKLGILNVMEAMNLAPEIVYPLYLSAASDSQEPVSKKGEELLKRKASTVNLEDSSLIKRLFTLFNGTVGAENIAAEQKVSPAHTSLRVRLMSVFCRSIAAANAFPYTLQCIFGCIYGSGTTSRLKQSGMEFTVWVFKHAVTDQLKLIGPVILSGILRSLDGSSTTETDSSSRDIKIFSYQAIGLLASRMPNLFSDKTDMAIRLFTALRLEDQSLRLTIQEAATSLATAYKGASMVVLKDLEALLLEHCEAEQSEVRFSAVRWATTLYDLQHCPSRYICMIGASDVKLDIREMALAGLNLLNDERQSSVGRIDFNYPDVAEMLDYICRQRPQLLHSDEQGNKRLLFPSSTFLAMIKFLMKCFEASDSSDLVQEDLSHSPVARMCVILEHAMSYEGSSELHALALKSLVDLSSRELKLVSSRYADRVHWLKTLLGHIDSDAREAASRLLGITSASLSKSAALNLLSELTSTLDQNRPSRFENCHGILCAVGYVTAGCLKQSYIPEEMVKNVVDVLVKVVNSEGSTLASVAMESLGHIGLRCALPSTNQNSSTAPLLNVLHERLSKLLSENDNKALQKILVSLGHMSWNEMSFPHLSNALDLIFSLSRSKVEDVLFAAGEALSFIWGEVPVTADVILETNFVSLSQATNYLTGDAPLVMSNSYGRSGCEEAHAMAREEVIKKLFDTLIYSNRKEERCAGTVWLVSLTMYCGRHPKILELLPRIQEALSHLLGDSNELTQDLASQGMSIVYELGDTSMKENLVHALVNTLTGAARKKKAIKLMEDSEVFQEGTIGNNPTGGKLSTYKELCNLANEMGQPDLIYKFMDLANYQAALNSKRGAAFGFSKIAKQASEALQPHLNTLIPRLVRYQYDPDKNIQDSMAHIWKLIVTDPKKAIDEHYDVIVEDLLVQSGSRLWRSREASCLALADIIQGRRYSQVSKHLRNIWITTFRAMDDIKETVRNAGDSLCRAVSSLTIRLCDVSLTSASEANETMSIVLPYLLSEGILSKVSSVQKASISLVMKLAKGAGPALRSHLPELVSCMLECLSSLEDQRLNYVEMHAGNVGIKTEKLESLRIAVAKDSPMWETLDICIKVVDKNSLDLLVPRLAQMVRSGVGLNTRVGVASFITLLVQKVMIDIKPFTTTLLKLLFSAVLEERSSAAKRAFASSCATVLKYASPSQAQKLIKDTTSLHLGGKNDQLSGAILIKAYLSNAADVLSGYNAVVIPVIFASRFDDDKDTSALYEELWEDIPSSERVTLTLYLPETVSLLCDCMSSSSWAGKRKSAKAIENLCNVLGESLSAHHHNILKSLLKELPGRFWEGKEAILNALASLCSSCHAAITAEDSSLPNVIQNAVCAACSRKSKVYREAAFLCLDKVITAFRDPVFFNSIFPMLYEVSNPSVIRKAKGSSSGSSSGTAEQDESEGASVSLDKVLNCVTSCISIAFLQDIIAQKKKVLEVILNSLSPEENWQVKLSSFLCIRELCNKFHNSDDSNTLPEDAPYLVQELFHLVSPKVIESIRLVKIVQFHTAAAECLLELSKLYRDFPLIDRTEAKFEDDLTELCESEKSEQAKALLKQCLAILQNLPGVSMATD >Et_2A_016812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28460911:28463840:1 gene:Et_2A_016812 transcript:Et_2A_016812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PAASFTVFSLSKEVADRKKQPTERAKAEPLGLAPEHSKQSLVWLRRPAHHAMAQPPPFSSSCSPRRPPPCTCPSSLPLPSALSSSSSSWPPPRRASRRRSVAPAAALHLGPGEIAELARNKVLIAATAASAIGQLSKPFTSGRNGPGGIGLDLKTIFRSGGMPSTHSAGVVAVATSLGLERGLADSVFGMSVVFAAIVMYDAQGVRREVGNHAKVLNRFLALKEKMGTTSDLDLTAELVSVPEETISSSCSNPSSSRHSSGTELPRLNALRSSEPDGTELTELKLTYLKKGNRLSENVGHTERQVAVGALLGFVVSFVVYATL >Et_5B_043924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17961930:17962189:1 gene:Et_5B_043924 transcript:Et_5B_043924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEKLACYFEVTDLPTLVLISPYGKNVKNTPTNIIEEYGFEAWNGFLQRPKQNGKPCCPFWLVVILDFVIGKNGAK >Et_5B_043737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1566964:1569299:1 gene:Et_5B_043737 transcript:Et_5B_043737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWWFAGGRREGAGKLKDKMVQKELPQAWWFDSHNRAKPSPWLSNTLSELDDKTKQMLTLIEQDADSFAQRAEMYYKKRPVLVDMLGELYRTHRSLAKQYDLLKHGSGTRHTVFGPSSCTQSRSQVSMNGQTTPRSSCSASTYDSESEVDDPEQEEQEEEVFETETEQSQVELQQEQVELMRAEIERLKEQNAALQNVADVCRDRESQVELQKEQGELMRAEIERLKEQNAALQKVAEENAALKAELAGKDEEKREVIRQLASSFDMIREENCTLRDRIRESRNSSSRVFDLKKVAKDLFSARLFTAHCRPTGPLVAL >Et_2B_021553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30574871:30577080:1 gene:Et_2B_021553 transcript:Et_2B_021553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSTQLGSCCLGFLLKLLAFLQAFAAVSALLYAAWILSRWARHHQLHLHDLLPDLWFPSAVMAAALFYCLLLLVGYLAAEINTGCCLCFYTIPAMAMLLLEAALAAHLLLNQHWIQDLPYDRTGELDNLLSFIHNNLDLCKWAALAIFGTQALSLFLAMILRAMLSSRSVDYESDEDFVVIRRPLLVAQAPPPYLPTTVDARGFRPDLWSSRIRQKYGLNSHDYAYGTLDPNAPPPQ >Et_7A_051399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1836598:1837176:1 gene:Et_7A_051399 transcript:Et_7A_051399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRTVVKVDTSCAKCKRKVLQAVSGLHGVDKIEVDSDNSTMTVTGSVDPVDVIVQARKAGKRASVITVGSPPKSAEEKKPEQQQQKAEEKTTEQQPQQKKTAEKKAPEPAATVFVHHVPSSWPCAAAPMYHERVVYEQEPPPCSIM >Et_10B_003933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7865450:7867413:1 gene:Et_10B_003933 transcript:Et_10B_003933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KARHGRQPYEKERLGAELKLGQNKLDYGHLPLRTSWREASSHSRLQGWHGQKSMHHAHVLIRQRHI >Et_2A_016181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21796189:21798023:-1 gene:Et_2A_016181 transcript:Et_2A_016181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPDLGYSAVQPLSGPDHIVRASRPNPLLWDGEDHQPSSVCLPSPDKNDGHRPADPPWPGLPRGEQPRKSAHRLALGAQASRLCRSACTAWHRRSRRKMSAPAASCRALRPSRGWFLLPSCNPASSCGQRRPPLLKVTKPQTYASLSVKKCAAASDHRRQELRTSEYQFDDDEPLWLAVVRDLAVGLRGLLAFLAEQPRQLKYLEWPGFQNTLKTATLTLVLVAVFIVALSTVDAALCYMLAWLLRKSA >Et_4A_033911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2820687:2822968:1 gene:Et_4A_033911 transcript:Et_4A_033911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWFKGNPYFNRVSSNATKSESPKVQSQSERNEKEDSQLPSNPKEVEALRRDTARNPLIAFTFEELRRITKNFRQDSLLGGGGFGRVYKGYITKDIREGLEIDEPLRVAVKVHDGDNSYQGHREWLAEVIFLGQLSHPNLVKLVGYCCEDDHRVLVYEFMPLGSVESHLFSRVMVPLPWSTRMKIALGAAKGLAFLHEAEKPVIYRDFKTSNILLDEEYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTAMSDVYSYGVVLLELLTGRKSLDKSRPVREQTLADWAFPLLIHKKKVLGIVDPRLTEDYPVKAVQKTAMLAYHCLNRNPKARPLMRDIVATLEPLQQTEEIPSDGVAGGT >Et_9B_064667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17477929:17478571:-1 gene:Et_9B_064667 transcript:Et_9B_064667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VIKEFKNIGSVLIFVSPLNNKKCEGSRLCTSRTDCILHFAVVQPNLCYGDNTKLTPEQEAAARGFSRACTSGIPMYICTMKTSNVMKRQLAFSRDFSKRYILPRLGYDCCETKVFAGCNRFGSKLNLSMVHGELRLLGGWPLFVKDNRIEAGHICAFIFEEEKEEEENDGALLSLRVHVLGAVPIPTV >Et_10A_000575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1373571:1375695:-1 gene:Et_10A_000575 transcript:Et_10A_000575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGELENSISNLVGDLSAVLDHAESSSRALADVVSQPPIHLDSATSTFLRKLDLMTEAAGADLARLESMAFGAVSFAELLGHCSEALKVYDRHADAIEARLVSFGYVPPGMALLCTEAKPELDAEEDEGGPLGNSCFRGSSSVLKSGRGLLEDDDALYPYFWSLWATDFSGSPRKLYEKPESTDDGQKMINEAELVPPQKETNGKVNALEGLIMVSKEEYEQLPPYMKTLASWEELQEAISKLNSYFGGDKTQGSVALNQDDVGTIGLGRKGRSYLLILLRLNRLTMETVDGSILYTLRKDDS >Et_4A_035658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3298776:3304765:-1 gene:Et_4A_035658 transcript:Et_4A_035658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALMNHWIFRGSAHREYTSNGSVGGVRVLTWNHSVIKGNQFLPRERVATTGPQIIRAVPKNSSNAIHLRMKTKWWQTEMKANMKSIKSQQDLDDQLLVAGDNLAVVHYFSPSCPACKALHPKVNQFAGMHPELQFLLVNYDEHYEMAKSHSVNVLPMFRFYRGAEGRICSFSCTISNIHKFKAALKRHGVQTESLAAEKGLAEFEKSFESPTDIPNKADASENTDENAGPINSNNEYHDNKIENISFGARTQSNLEHVQIYF >Et_4A_033106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18620856:18629352:1 gene:Et_4A_033106 transcript:Et_4A_033106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETEGVAGGGGEAKSGGRGKGRGKGKGKRQGADKAFAASAAAMGGVAVGDRTDGETVDNRVKAKKVKKDDAGKKKATRKTKMEQVDIKGEFPSDESHHQINGDVTEKVKRRGSRDDEAKKRKRTDAGMNITTKKLKTYEKKVLSSKDKKCDGNNRGKKMLTGENARMCHQCQRNDKGRVVWCKSCNSKRFCVPCMQRWYPDLSEDEFAAKCPYCRKNCNCKLCLRMRGVKEPPKKEISAENQIRYACHVVHLCKTSIVDFHRSCKYCFYELCLSCCWEIRKGLIPGGEEINTVEYEARGRDYVFGLCSHDPPNAEPRDDTTAIDDPNNLLLLWKATDDGSIPCPPTELGGCGASNLDLKCLLGDKTLLKLEEQAGRVVKSEIYAKAMSKRSDRCPCYDHSGKVRTHNVREAANRKGLSDNYLYCPVATGIKEDDLAHFQMHWAKGEPVIVSDVLQLTSGLSWEPLVMWRALREKKTTGDIEDENFAVRAVDCLDWCEVEINIHMFFVGYMKGRMYPTTNWPEMLKLKDWPPSSSFDQRLPRHGAEFISALPFPEYTDPRYGPLNLAVKLPDGVLKPDLGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNILTHTAEVSYGTGQLKKIEQIKKKMKKQDLQELYGILESGTERRISPSTDSRDIAGDGISKKSGNTLPAKIFLMVIHPIHDQTFYLTEEHKRKLKEEYGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCIKVAMDFVSPENVDECIKLTGEFRRLPSDHRAKEDKLEIKKIALYALQEVLDYLDRSSTDGLKSGAGQPKHKDAPADDKPKNQDGGRRDLTSQADESNDEYVDGSPPKRRSGRQRAVPTTMYDEAAGEKQHRRRSSSRRGDLNSQVDKLDGESADEKNSQRPGDDPKSDDGKPDKEAANATIAKRRGRPPKCPKPDTEAANATIAKRRGRPRKCPKPDTEAADATIAKRRGRPRKCPPKD >Et_3B_030240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31691636:31697393:1 gene:Et_3B_030240 transcript:Et_3B_030240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNKQCGKPLWLSLWTEGELQKGFLKETKENLALLEAQLGGNRFFNGDSAGYLDIALSSLAHWLGVLEEATGVSLMGDECPALRRWAGEYTSNEAVKPCLPSREHLLGYFTANKDKLKLKAMAMLQHPFAHRAEVALKLKGVPYELILEDLENKSELLLTHNPVHNMVPVLLHGDNTVCESLVIVEYVDEAFDGPSLLPKEPCDRAKARFWANFIEHRCLKPFWLSLWTEGEVQEEFVEETKRNLTLLEAQLKGKRFFGGDTIGYLDLAACMLAHWHDALEKVTGVSLVTDDDYPALRRWAKEYTSNEAVKQCLPKRDLLVTYFDPAEATTMSQPQPVKLISAFGSPFAHRAESALRLKGVPYELILEDLSNKSELLIKHNPIHKFVPVLLHGDHAVAESVVIVEYVDDAFEGPPLLPTDPYVRAEARFWAHFIEQKFSRPFWLSFWMEDGLRKEAFVKEAKENLAPLEGQLIKGGRRFFGGDAVGLVDIAAGGLAHWVEVVEEVSGVRLMSDEEFPALCQWAERYVSHESVSKCLPSRDELVGLFTSWKEMHKEMSDAAVKVIGFFDSPYAHRAEVALRLKGVPYELIPEKDLGNKSDLLLKHNPIHKKVPVLLHGDKAICESLIIVEYVDEAFQGPPLLPADPFDRAAARFWARFLDDKCSTPFWLALWTEGEVQKGFVKEIKENLKLLEGQLEGKRFFGGDDLGFLDIAASGFAHWLSVFEEVAGVTLATPEEFPDLCRWGKDYTSHETVKQCLPDRATLLAHFAAKKDFFVSTAKSIAPK >Et_7B_055262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8878824:8882260:-1 gene:Et_7B_055262 transcript:Et_7B_055262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVGGIGSNLAKGWRAEEAIAGNRKSLEALRELVAYPFLYARESRLLGLKWPRGLLLHGPPGTGKTSLVQAIVRECNAHLTMINPYSVHKAHVGEGEKFLRDAFSEAYSQAARGKPALIFIDELDSICPRHNTRRENESRIVGQLLTLMDGNRKSLKTLPHIVVVASTNRVDAIDPALRRPGRFDSEIEVTVPTVEERLQILKLYTKNLHLDENVDLQTIAASCNGYVGADLQALCREAAKLAYHRMSNLSEGDKVLKLLVEDWESARSLVRPSMTRGVAKEISTVSWDHIGGLKDLKKKLQQAVEWPIKHSAAFARLGISPVRGVLLHGPPGCSKTTLAKAAAHTAQASFFSLSGAELYSKYVGEGEALLRRTFQKARLASPSIIFFDEADAIAPKRTGPGGNSGGNATVGERRLSTMLTEMDGLELATGIIVLAATNRPNAIDAALMRPGRFDMVLYVPPPDVEGRYEILCIHTRKMELGEDVDLWKIAECTELFTGADLEGLCREAGMAALREDLSANLIWNTHFQAARNSLNPSLTKAVVDECSNAAINDSSTRRKH >Et_1A_006522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23593147:23594962:-1 gene:Et_1A_006522 transcript:Et_1A_006522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FKSSLHIGEVYRGVLEDGHPVAVKRYIRADSEEFANEVTVHSQINHKNVVRLIGCCMEKNAQMLVFEHVTNGNLNDHLYCGDNLISLDTRLNIAIECAEALVCMHSMYNPVLHGDIKPSNILLDDKMHAKISDFGISRLPSSNKSGSTINVIGSIGYMDPAFYKDGSLNTKSDVYSFGVVLLELITKIKPTDKEKKIVERFSLFSVKDRAVRQLFDAHITSAHNMKVLQGIGKIAKQCLKEKFDDRPEISDVAGRLRELRKTLEQTNEKTKTPKFMKIQGMTLQNINNIIIFTKKELNDITKNFSSLLYEELYGEVYLGALADNTRVAITEEFKDPAVTELIVQFKTYHRNILKLLGCCMEMDIPILIYEYASNGSLQKYLFFDECDNEHTKTDRLRLNTRYQIALGLARAIEYLHEVHHHEWVLHCDINPWRVLLDDNLCPKLSGFELSTMMSKQKKMTFNCLRGTEGYIAPEWSAEGEPITDKSDVYSYGMTLLKIISCQPSKEEIREMKESVYLPNWVYHKVYVEHRMQDILDECVVPMEAYIDPKSMAIVERMVKTAIWCIQDLAEMRPSMGNIVKMLEGIIEITEPPKPHIF >Et_4A_034947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8030303:8035016:1 gene:Et_4A_034947 transcript:Et_4A_034947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEEKLKDYEIKREGEAEILMLKSNAVFFNPVQVHNRDMSIAVLRTFVNKRKEEHEALMNKRNKSHQKDKESETSVPNGEDVSGSQNDEMDVRENELNQAVDEMNNPSKEAPKTPSWKVTRELKPPIVLEALAASGLRSLRYAREVEGLGKVVATDNDKASVEACKRNIKFNGASAVSKVEAHLADARVYMLTHPKEFDVVDLDPYGSPSIFLDSAVQAVADGGLLMCTATDMAVLCGTNGEVCYSKYGSYPVKGKYCHEMALRILLASIESHANRYKRYIVPVLSVFMDFYVRVFVRVFTSASEIKNTPLKLSYVYQCVGCDSFHLQCLGRTVSKNNSVKHAPGIGPVVPQECSDCGKKFNVGGPIWSAPIHDQDWVVSTLTDVKSMKDRYPAYDKITSVLTTVSEELHDIPLFFSLHNIAGTVKCTSPSLVMFRSAVLNAGYRISSTHVNPLGVKSDAPWDVIWDIMRCWVKNHPIKEQPRDSPGTAILLKPPTLEANFSRAVAALSKAQAKKVKRFLPNPERHWGPKVRAGRKITSKHVSLLGPDAINGVLNGAISDEDGNGTAPDESAPEPEEIKDDAENEPATKRQKISDDEPACEP >Et_7B_055059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6920905:6924538:-1 gene:Et_7B_055059 transcript:Et_7B_055059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVGPSIVFCSILTFLSLNCGWSHVSAKVYMVVMEDDPVISHKASRMHIIRGEEAQKYKAVATTKHDIFLDSFLPTGSYKKLYSYTHLLNGFAIHSESEEAIRILRRAKGVRLIQEDVKMAKMTTYTPNFIGASAVWPLLGGAENSGDGVVIGMIDTGIDPKNPSFVTSQAKSAPASFKGICRTGNRFPQGSCNGKVVAAMWFARAAQATGEFNATIHYASPYDPDGHGSHTASTAAGNFHTPVIYRGYNFGYASGMAPGASLAIYKAAYPFGGYMSDVIAAVDQAVEDGVNIISLSMAPDSVPSGPATFLNLLETQLLLATRAGVLVVQAAGNGGPDVNSVVSFSPWILTVAASTTDRKYRKSIITGDGRTISCGIVSPPTPGETMYPLALADDVSIDRSSDDPVTCLDSRVFSKSLVQGKVIICDVTLEFMEDNNIVDIIKVLQKVGAAGVIITGHQIDADFASTVPTAIPSAVLLNEADARSLMRYYNSNTIREEDGKISSFGATVRILDGRRATYTGEAPVVATYSSRGPNVENSQLQPAEALKPNVMAPGHNIWGAWSPTSSELSEAQGESYAVLSGTSMAAPHVSGVAALIKQRHPAWSPAMIMSAIMTTADVTERSGRPLMARREEGAVAPATPFDMGAGAVNAARALDPGLVFDAGFGDYLQFLCAVPGVGEAAVLRSVGAPCPAPPARGWGGGSTPRWCSDLNAPSVTVASLVGSRRVDRRVTSVGAQNETYTAFVRAPDGVSVRVTPTQFGIAPGATRTVRIVLSTTAPGNAFSFGEVVLKGDKKHTVRIPLAVYPAATLHP >Et_8A_057269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2375399:2378238:1 gene:Et_8A_057269 transcript:Et_8A_057269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWRVPTLALLLLLAVSIPASAATDQVADGAAENGTGAGRLDRRTKMFLHTARASRAGGDAAADEGSELGLFDAFFASLSMIVVSEIGDETFIIAALMAMRHPKSTVLSGALSALVVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKASQKKEIEEVEEKLEAGQGKSTFRRIFSRFCTPIFLESFVLTFLAEWGDRSQIATIALATHKNAIGVATGATLGHTICTSFAVVGGSMLASKISQGTVATIGGLLFLGFSLSSYFYPPFTLILPVPAYLLHTICTSFAVVGGSMLASKISQGTVATIGGLLFLGFSLSSYFYPPL >Et_9A_062005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18849044:18852429:1 gene:Et_9A_062005 transcript:Et_9A_062005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLMLALIVLLLALKPVDGAAPRRSMSLRAQSAALLHWKSSFSYNSDPQLGTWLIDVYPCNWTGVTCGDIVLQRLAGRTSMAKAITELSLRGANFVGELNNLRFESLPYLSSLDLSNNAYLSGTIPPGISSLSMLSSVNVSGNRLGGKIPPTIGDLRSLIKMDLSENNLSGQIPPGLGNLTRLTFLSLLGNNLSGNIPLQLGKLQGLKYLDLSSNFLSGQIPSEFANLSNLNTLYLSDNHLSGPIPDDLDKLQRLQELDLHQNNLNGTVPASLGNLTALSILHLYLNQHTGPIPIELEKLTSLIELDLSENHFTGSVPSSVVGNLTSLTYLSVRNNHIAGSIPREIGNLVNLEQLDLSVNFLAGPLPSTLGNMSSLTKILINSNNLSGEIPAEFGNLANLISLGSYENQLSGPIPSSFRNLVSMKEMLLFNNNLSGPLPPALFNLTNLLDIELGQNQLNGPLPDLCQGKKLQNLQVYMNNLDGTIPKTLKDCSSLMSLSIDFNQIHGDITEAFGVYPHLTHISLSSNKLVGRFPRNIGSWSNLTTLSFADNMIEGTIPAELGKLENLERISLHSNRLTGVVPPEIGNLVNIKIINFGNNQLSGRIPMQIGQLNNLEILDLSNNQLNGQIPDDIGNCLKLRALKLENNSLNESLPRTLGYLIYLQSMLDLSQNKLSGPIPSELGNLQMLMFVNLSYNQLGGTIPTSTSSMQSLSVFDVSYNILEGPVPKGLHNASAKWFLHNKGLCGDLAGLSRCYLHSAYHIQIEVGVPVFVTLCSIIACLVTIWMCRKKTTQQTDTERKTDVFSVWSFDGKLAFEDIINATDNFDEKHNIGEGTYGRVYKATLQDEHVFAVKKLHQGDEETHDEERFQREIETLTKIRQRSIVKLYGFCSHPKYKFLVCQFIERGNLASILSNEDLVTQFNWQRRKALIRDVAQAIAYLHHDCQPPIIHRDITSRNILLDADYKAFLSDFGIARMLKPDSSNWSALAGTYGYIAPEFSYTSVVTAKCDVYSYGVVVLEVLMGKHPGDLPNHIDSLENIFFLDKILDKRLPTLEAEDKNDVNRCLSMAFRCLLPSPHERPTMMEVFRELDILNY >Et_9A_063439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24421717:24423736:1 gene:Et_9A_063439 transcript:Et_9A_063439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSFAARPSTPLIQARSARLARLKSAALVRCQVEGTAAAAKSVGWAPPGPYTGRDPEAKKPAWLRQRAAQGENYTRLRDSLGELNLNTVCVEAQCPNIGECWNGGGGAGGEGDGIATATIMLLGDTCTRGCRFCAVKTSNKPPPPDALEPLKTAVAVASWGVDYIVLTSVDRDDLPDGGSGHFAQTVRALKELKPGILVECLTSDFRGDLEAVSSLANFGLDVYAHNIETVRSLQRIVRDPRAGYDQSLAVLKHAKSSKEGMVTKSSIMLGLGETDDEVKQTMADLRAIDVDILTLGQYLQPTERHLTVREYVTPEKFNFWKEYGESLGFLYVASGPLVRSSYRAGELFVQNLVRRKKEKLILGAS >Et_1A_005765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13764877:13770173:-1 gene:Et_1A_005765 transcript:Et_1A_005765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLLGGVAPAPVAAAAPNFLHLPLRTRRCLPAPRALASVSGSHAHDALLLRRAADVADRSAGLTSPHPNFGCVIARPQLETDSVEAWVVGEGFLYAQGTPCAELLAAQEAGEHARGATAYLNLEPGDCYGDSTAVASLVQAGITRVVVGLRHPLKHLRGKAIQALRSEGIQVDVVGEDLQSKTFEEALKSCLIVNAPILYRAAFHVPFSVLKYAMTADGKIAASSGHASWVSGKSSRGRVFELRGKSDAVIVGGNTVRRDDPRLTARHVKGHVPVRIVMSQSLDLPEEANLWNVSDAYTIVATQRGARRDFQKKLAMKGVEVVEFDMLNPRDVMSYCYDRGYLSVLWECGGTLAASAISSSVIHKIYAFLAPKIIGGVNAPTPVGELGMSQMTQAIDLIDVSYEQIGRDMLMSGYIQPIPDLSPVIPSIDEIPSVDPDVSPYETNIVSFYKTWDIFGAFSNFSPHPICMPDENGEYVTWPTVEHYYQAHKFVDVDNSQARDLVQEIKQAKSPEEAARIGRTRQREFPELVRKDWESTKIDVMYKAIKCKFSTYPHLTNMLLSTAGAVLVEASPHDLFWGGGREGEGLNYLGRLLMQLRSEILGTVPASVEVPHLVLDLGHQHLHLAPVAACAKRHPPLHHVYQP >Et_1B_010614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12032088:12033476:-1 gene:Et_1B_010614 transcript:Et_1B_010614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPPHHHYIFAPARIKVHELRGKNKAELQAQLKELKAELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKAALREAYKKKKLLPLDLRPKKTRAIRRRLTKTQLSLKTEREKKREKYFPMRKYAIKA >Et_5A_042272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8239983:8242707:1 gene:Et_5A_042272 transcript:Et_5A_042272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEGSTWVFDCPLMDDLAAADFAATPAEGFYWTPTPMQVQPQPMQPPAQAAAAAAPPNPCVEINNSVDCNQEKEQPTNKRPRSESGAQPSTKACREKIRRDKLNERFLELGAILEPGKTPKMDKSAILNDAIRAVSELRSEAQKLKDSNESLQEKIKELKSEKNELREEKQRLKAEKESLEQQIKFMNARPSLVPHPPVIPASAFTAPQGPAAGQKLMMPVIGYPGFPMWQFMPPSDVDTSDDPKSCPPVA >Et_2A_016762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27905284:27908054:-1 gene:Et_2A_016762 transcript:Et_2A_016762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVAAMAPLYFALALGYGSVRWWKLFTPDQCDAVNRLVAYFAVPFFAFDFAARIDPFALSYRVLAADALAKLAVALALAAWAASSARRASVAGAGDGGKKVDRAVSWCITGFSLAALNNTLVVGVPLLDAMYGKWARDLVVQISVVQIIVYFPLLLLAFEARRAWGAGDKPAAATVEDGEVGGGETPAARSFWPLVRVVWTKVARNPNVYAGVLGVAWACVTNRWHIETPSIIEGSILIMSKTGVGLAMFSMGLFMALQEKIIVCGAGPTVLGMVLRFVAGPAATAVGAVALGLRGDVLRLAIIQAALPQSITTFVFAREYGLHADVLSTAVIFGTLVSLPVLILYFIVLGLVRC >Et_4B_038521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29644958:29646508:1 gene:Et_4B_038521 transcript:Et_4B_038521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALAFCEGTAKSPGWLECSRSRPAAAICAWRGTVACRQQKPGTFCLRASPSFSRQYRRMQWAIKTMSDDTSDQSGNNNRLFSAIQSFWNKFSDKLKKVRKGLPVKILFFLIGFYCATAFATVIGQTGDWDILSAGLAVAVVEGIGALMYRASFAFIGRIKNMITIFNYWKAGLTLGLFLDSFKYEVDEFLESCNPFNFEINIFIGLW >Et_5A_040502.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:26601185:26601508:1 gene:Et_5A_040502 transcript:Et_5A_040502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYATDSQQLVDTLTKGDYQADPAHWTLRPLLYEFRNNNQGISFTMRKIAREKNKTAHNLAQQANANASAYCQFACTHLAHHIQCPIREALQLVSWGDITPLTVTCR >Et_1A_005700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12960918:12962873:-1 gene:Et_1A_005700 transcript:Et_1A_005700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATAFAGKAIATSAISYVVNKAFGYLIDNKNSGGLKSTKERLEKLIPQIQVVFDAVDTEQIRDQSGALDAWLWQLRDAVEEAEDALDELEYYKLEEGVKMRDDKVSGSLHKYKGKLVQQFNQAFDTGSLKRLRSAVEVLDGVAVGVERFLHLINQFENNKMVDIRNARETSSLPQRGVVGREKERKFIDDWLIKSKNGAHEQMVKWRAMQEECESLTLNGLEVPDLLVLLNRHAFFGVKNPDNHKNLHQITKKMVNKLSGSPLAAKVLGGLPRSNRTIKCNLFDEFYEERYAIHDLLHDLARSSSVKECIRVDRNFSETIPVTVRHMCIEIINPTLVEQISQAKKLRTLVMHFQEQDQAAQEIILKKVFSVAKGLRVFCLTTNSTCKLPDKFGL >Et_3B_030039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3021017:3024127:-1 gene:Et_3B_030039 transcript:Et_3B_030039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGLGELSVFLGRVPYAGWAETVSALNRAEAMAPPLPSLADIQKQQGRAHLPSVDRTAAAGAQLDWWPHRDRWKPTTPEGFRGGVFFLFLRLRGGRPRFTFSSVVPSPSSSDLEPIRSPHPSRERRRSRRHGRGQRRTVPRDPPAVPPLRRPPVQGHGKKFGDGHRAEIVIAVFLVFYCCGSGRGLETSSWTVSPLVRDSFSMIGSAVGGTAGAFYGFNHVMPVVRRYIKGPMWIHFLVGAPPVIVFSSACAGLAGGTIPAAAQLVKSSYYAAMSSPSFSRSASPATMPSASFGHSAPRDDMHKTRSSSPL >Et_2B_019982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15824033:15826335:-1 gene:Et_2B_019982 transcript:Et_2B_019982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPREEAPIKENEIRITTQGRMRNYITYATALLQDKGSDEVVFKAMGRAINKTVMIAELIKRRIVGLHQNTTTGSTDITDTWEPLEEGLLPLETTRHVSMITITLSKKELDTSSVGYQSPLPAEEVKPLMPTPLLAEGGAVEGEVVGEAGEGEEVDVEMGTTTMLMVVGRMTMLLHIWAMAIPVEEDVVSGAVAGEVAMVASLTTNRMEATNKMEAIMMRLLLQLECMLLWLVH >Et_1A_006096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17823235:17824292:1 gene:Et_1A_006096 transcript:Et_1A_006096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGKRYCLPYGSLWDDPVPSPFPIPKCECNMTVVVTQSSHPLTAVRAYFCCGNKGNKRGCDFREFIHRPRSHYSDPDSLPDDVLYGENLPFWYPPPLLCQCGVPARQGVVPSELEYGHYCENTVGEDDEWTFEGKDEFLMRSKKKGPEYYKKALSTRGSNIRHKYLTVPSSFIYNTICSELKLKREGPF >Et_10B_003063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16113363:16123935:1 gene:Et_10B_003063 transcript:Et_10B_003063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDGFKMRETTMEAEPMVSYSTGAMNSVVDKLTRLKKKHPMVDNLLQDLQRLREELLNKFVVGLATDEQVKVWMKQVRDLVYNIEDWIDPLFRRGKDDWIDQKLVTDLWESESVRKFKEQIQEARDRCTWYERLNKAVTSGAACVDDSRSKVIGASPSSKSKATIDSLLILGERAPLVGVDGPKGDLEEHLEDKQIKLKVVSVFGAGGIGKTNVAKEVYEKLKWQFECRAFVSIGRNTSTRTALMDILCQVNRRTHHRASRDEPGVISELWHFLRKKRYFIFIDDIRSKSVWRVISCALPDDDLGSRILTTTRVSDTATYCSRRPGDVIYKMKPLGEIDSTNLLHNTLRMQNEACPADFKYVCDIMLTMCGGMPLAIIVTAQFIARKLPQLGPQKLVKYLLPLLDQDSTSEEGLTKILEINFSDLPLPLKSCFLYMCIFPENYKIQKDRLIRRWIAEGLIPRRDESLWETGEIYINELIRRSLIQPVFDYNGDQPSSFTVHGVVLDFISSLSSQENFITAGAELSSGLFPCDTIRRFCLDCSNQDQVDTLDSSPLHLSGVRSLTVCGDTRRMPDIWAFRHVRVLDLTDAEYLENHQLKRIGSLSLLKYLGIGGTGITELPEEITAIEHLATIDVRRTLVKDLPAFRSSPLVTLLSDGWTIQRGIGGMEELEELSVIKLNSEESLDGAIDLVNQSKRLRILGVRLEISDDPESYRQAVTQFLDELGRSKLQYLFLYGYSGKLLHLLLDCWANSGLQDLQYFEMRIQGDLDEVPQKMAALITLTSLHISAYNVDAEGLGTLGNLPNLALLNLTSCSYDTQERLTVSKVGFQSLKVFCFISKTGDGPLLQFEAGAMPQLWSLRLDVAWSREFLCGDLDFGIQHLSCLAQVHANICCVDCTSGVVAAIRDQVSKNPNGPTLQLSKETEAMLYYEWQDSRSRAAASDAKAASH >Et_9B_066255.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:8845540:8845746:-1 gene:Et_9B_066255 transcript:Et_9B_066255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYQKTSWPELVGTPAEAAKQKILYDRPDVDVILLRAGTSVTTEFNPKRVRVFFNPNGDVAEIPKIG >Et_4B_036724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1088361:1090255:-1 gene:Et_4B_036724 transcript:Et_4B_036724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVARLVAAPFPLPPGAVVACSRVRTPPAARLAFAPLSMRATARAVRRAAVSARAAADGGGEALEAARAEVAERAARKRSERRTYLAAAVMSSLGITSMAAAAVYYRFAWQMEGGEIPVTEMVGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIINAVPAMSLLAYGFFNRGLVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHQIHHMDKFDGVPYGLFLGPKELKEVGGTGELEKEIKKRIKRKETLDAVQ >Et_6A_047219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2726045:2728511:1 gene:Et_6A_047219 transcript:Et_6A_047219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTAAPEWATKEPCMMGIDEAGRGPVLGPMVYGCMYCARSYHGTLATLEFADSKTLKEEQREELFESLKANSSIGWEVDVICPKELSAKMLKRSKVNLNEISHNSAMGLVKKLLDMGVLLAEVYIDTVGDPEKYRVKLTEKFPGIKFVVAKKADSLYPVVSGASIVAKVTRDRALRNWVFDETALDMHMNTGSGYPGDPGTKQWLEDHKHPVFGFPTLVRFSWGTCTPFFKDAVEVTWESDELDEDATGNGSAKRQVKLSSLGFTGFKRKTEEIESSGKGRCKFFQARKLELVRKF >Et_4A_034848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7097856:7103113:1 gene:Et_4A_034848 transcript:Et_4A_034848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVYGGLKGKLGVEDAPELQLNRIRITLSSKNVKNLEKVCADLVKGAKDKQLRVKGPVRIPTKVLHITTRKSPCGEGTNTWDRFEFRIHKRVIDLISSPDVVKQITSITIEPGHGAAAHRRARLGGGRGRRGGRQAAVPPLPPPPPPAPRHHQPSLLSKLAFWSVCSLSLLLAFLLLSPSSAPAPRAAPESPRRSLHTFASSTASWGGAAWEKKVRASARVRRARGHSVLVTGAAGFVGCHAAAALRRRGDGVLGLDNFNDYYDPALKRGRAALLARSGVYVVDGDIADAELLAKLFDVVPFTHVLHLAAQAGVRHALVDPMSYVRANVAGLVALLEAARAANPQPAIVWASSSSVYGLNSHVPFSEHDRTDRPASLYAATKKAGEEIAHVYNHIYGLSLTALRFFTVYGPWGRPDMAYFFFTRDILAGRPITVYESAGGSHQTTISRDFTYIDDIVKGCVAALDTAGHSTGSGGKKRGPAPFRTFNLGNTSPVPVTQLVDLLEKLLKVKAVRKVVKMPRNGDVPYTHANVSLAQRELGYRPSTDLQTGLKKFVRWYLEYYHPELAEKQKQRGSSNGKGSRGRNGSSSSAR >Et_4B_039969.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:6909955:6910953:-1 gene:Et_4B_039969 transcript:Et_4B_039969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKYLKIRFDPDGSLVRYGEAPLLPAAPAGQPVAVLKSEAEDDEPARRIAVVHSNDAPLNDATGTSLRLFVPAARHGHGRLPLVIYFHGGGYVLFRAASEPFHATCASLAAAVPAAVASVDYRLAPEHRLPAAFEDAADAVRWARPHAAAGRPVFVMGSHNGASIAFRAALAAADQGVPLRGVVLNQPHHGGVTRTPAEAASVDDRVLPLPANDLLWELSLPFGADRDHPYCNEAAMLAAVGQSRLRRLPPCLVLGRRKDPPRDRTRALVQALKKAGVAVEARLDGAGYHAMELFKANCAAEFTAQVADFVRRHSAGAAAGHEVGGVSKL >Et_6A_047715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1074335:1085162:1 gene:Et_6A_047715 transcript:Et_6A_047715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELRVDSFYSRLRAGAASSSPLLILPSAADADSLCALRVLAHVLSADSIRFSVYPVASTAAAAALLASFSADQPLCLLLINWGAHRDLRAVLPRAATAFVVDSHRPIHLHNLCAANDRVVVLFTADDEHTADLSYDFDLSSLADASDLAAEGDADDHLRVPDEDEDSDASDSDSDGEGGRRKRRRLSDDAEAEGDPVRLFGKLRREYYRLGTFHGKPSGCLMYDLAHALRKNTNELLWLACVSLTDQFVHERITNERYQAAVMELEQHINGSGNLDPSGVGSVVTLKDGTKIRAPETSRIAYEDEPRLMLLREWSLFDSMLCSSYVATKLKTWSDNGLKKLKLLLARMGFPLADCQKNFQYMSMEVKRKMRDEFDRFLPEYGLTEFYYRSFLRVHGYRSKVSAADVVYGVTALLESLNSESKDSKGSSAAEQFWIAYSALSLTNVDQLRKGMQSAIEIQRAILRQGSSAITKTGFIRSAKKFRWVKLDDPVDTGKLCQPQALTKFCFFLMDALKERGARMKPLICACLGKEPEKMLVVGVCGKPRLGAVQGNAFGNAFRSAAEEIVVPCYKPRRLSRPLVPITGISRHIRSRSFLRPCTTSPLPISMLDHAILAVVYQPDIHGHLCSVTSNSIGTEQQPSDRSIRSTTCSAASSNSHFSIDDSGGGCGGSTSSTKSGSAPPDMCSKESKGLTSGLMSFGGEELFMNPSRSLDMLSMLDAYDDDELLSPSREPGAANGERRAMSWVTSPGFGRGVVIMGCSTWPAPEMVDRSEEGGGAKMAPMAWCFLSFFLRWVFQ >Et_8B_059887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3737982:3740833:-1 gene:Et_8B_059887 transcript:Et_8B_059887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGARVEAAPRLAQWRVDALPCYTYRKSLPFRIGLWNWYLSVERNNKQTCVKLFAENSNSTRDSAPAPIASFVTKLLISFPPNQKTIVHPGIFDKQLKHDGFVWAIDSTVTGRFVIEIEFLDLKVADPSGGEPASIWASHQIKNEEFLTNRLALLRAADKYDIADLKEACHESLLEDIDTSNVLERLQTAHLYRLPKLKHSCLRFLVDFRKVYEMHDDFNLFLQTAERDLVAQVFHGVLAAWSG >Et_9B_064045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10654618:10657271:1 gene:Et_9B_064045 transcript:Et_9B_064045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGLRAYREVLRLVRRLPADARPYYAKYARENFVNYRDLSADDDLAALLRRAHAHSAWVLSKYSIDEGEAGRLKELCDSHGGQTFLIKLDWAVLARLAQLAHRVAKYALNMIVCVVVAKSVTFPPLQK >Et_2B_022499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23976798:23981159:1 gene:Et_2B_022499 transcript:Et_2B_022499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLQVPVINEEESTTMSAPVISPRFGGSVSSDSGKLPAGTSSRRAFPCPSICDLKRKAALDATNGLASHFRTNHAIDSPAVFHGLSPDSRDLSCRPSPKLEPTVQMPAMRVVGFDSVFSSVVGGSDMMVADKMHSSLVIDSSDSSVEQHGPQARKRVLSPLTNMLPAGQFCGDVLNIGSGDVKNQHTKSVRHLFSSGLHDSKKANTGTLDSFDSPSWTALRYSSRNTEHGFSKLSSNTFTDGPLLEGRQSFPCSDHLGAETIMNLSRVSIPPARLSHSPPLTLSPLGPKWMHRMKTARAYGDLTGSTENDILDLNEMERQNGEDYSECAGQIRLRDMVGQSSIFHNGFDTMTPTRSSNRRYRNWGPESAPVSPGIRCIKSLSLLPVRRSLVGSFEESLLSGRYSCGKDNQSIDGFLAILNVTGGNFSPPTQKLPFTVTSIDEDSSLLYYSSIDLAGRLPTNNSKSPKFNRGASNNDSRSAKSRLRIPVKGRIQLVVSNPEKTPLHTFFCSYDLSDMPAGTKTFMRQKIILSSASPSILTNKGSKASDIKVESVQRGSGLRECCEQGQNCYPTDESEKEGFAKMAYCSMECDTGESNESTPVSNSEKDTNIVGCYCQSCQIDTCELCEKKSCCRSSKVNDSSTGRVLRYALHLRFLSPFSKKSSRSRQHCNSDLSSEPPSRKSETKEERRFYLYNDIRVVFPQRHSDSDEGEVVDAALHFRFDMQIKALGLFFVS >Et_3B_027988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3057358:3058605:1 gene:Et_3B_027988 transcript:Et_3B_027988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTEDKAEPDQKGDNVKTASDESGQDKTLKKPDKILPCPRCNSMETKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKKALASSPSATIHATSRNETVLKFGPEVPFCESMVSALNIEEQNVTNAGSAPQGPQGENREGNSCASSVIYNGPTENTVQFDKNGAPVYCNGACSTSSAPWMNSPMMPSSRLPGPAFPYPLMPPALWGCLSGWPATTWNIPWIRTNGCLSPPSSSSNSNCSGNGSPTLGKHSRDSNPLKEEKEKSLWVPKTLRIDDPDDAAKSSIWATLGIKPGDPGTFKPFQSKVESKDQTTDAAQVLQANPAALSRSQSFQESS >Et_3A_025832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33226702:33229394:1 gene:Et_3A_025832 transcript:Et_3A_025832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHLSFEEGWKVLEQGIVKCSKILECTSTRPTVAEYMNCYDCAYRMAVQKNHYCREMYNGFKTTLEECVRAMVLPHLMQKKSDYFFRELVRMWSNYCIMVRFVTGFFGYLDRCYLEQCKLPSLSDTAAASFFGPVFSYFNDEARDSILTMIRQERDGSMVDKELRDVMRGISCSEVKTLMRNAVLQDTYAYYSKRSSEWIMHYSLPDYLAKVQETMEKESKRLAHYLCTTDDDSLHLCLQVVSPPLMQTYESYAREKNIGGELLLQTYKTVEDELLARCSGLTLDSCSDNSSFN >Et_5A_040430.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:21641197:21641415:1 gene:Et_5A_040430 transcript:Et_5A_040430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSACKKCLPRESKIIITSQSDKIVKFGTTRAIIMKYLSHEAYWYFFKTLAFGSMDPVMHPKLTQLAMEIRK >Et_4A_031773.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:13194782:13195048:-1 gene:Et_4A_031773 transcript:Et_4A_031773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRSGSRLFALTAFVCLLVVLPAVTVNGLRREEFVLGPGRAPAPAEVPDAASVDATGKRFTAATVGAVQMSKWRVRRGSDPIHNRS >Et_10B_003212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17793847:17794844:1 gene:Et_10B_003212 transcript:Et_10B_003212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHPALSHRHPQAWPWGMAMYTNLHYHQQYEREQLFEKPLTPSDVGKLNRLVIPKQHAERYFPLGGGGGDAGEKGLLLSFEDEAGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDVVRFERVRGGLGAGDRLFIGCRRRGESAPAPSPPPPVRAPPPKLNGGEQQQPWSPMCYSTSGSSYPASPASSYAYHSDMPHAGETTESKSSGAASAPTRKLRLFGVNLDCGPEPEPETPTAMYGYMHQSPYAAVSTAPNYWSVFRTANITAFSYLHIIISWSHGNQISTS >Et_7A_052888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23681213:23687129:-1 gene:Et_7A_052888 transcript:Et_7A_052888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAEAGAGHSKRVRSCCVVVGCGLIAGVPVLLNHVGKAGKEEGENLGRILKCLIPVKLSLDVVTSLQRGDLKLLRQALDSHEDQHHAMLEPHKSKEHHLVVHRTMTTIVTKSAPLVVRPSQPTPTENIELSSLDKSLDGLYLSLVLVFDHPIHETAQTLKSALSQALVHYYPIAGRIEVAAEDGKHHLACNGEGVLFVAASANATLEHVRFIEQPFSAELLKDLTVCSSGKAWSHADPLLLMQVTEFACGGFVVAVTWNHVVADGQGMGQFMVAVGELARGLSQLSVVPFRCVKSLPVLSPLTVSAFQQMLSLDRLDLSNFDITLPSGLISSIKSDYASNYSGRPCTQFEVTAAVLWQCRTRAAMLDPGAPAPFFFAVNMRKCITAKEGFYGNFSFTQVVFATSDTVVSSPTRDLVKLIKDAKEKISDVTKNNIVDSTCVANMERLAMISKYNAFSITSWRNLGFEAVNFGSGTPARVTCTFGSVALPFCILCPSSKVQDGAIVVSMCVKEEHTIGFLNELARLCKQN >Et_2A_015895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18865132:18874272:-1 gene:Et_2A_015895 transcript:Et_2A_015895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLLLSVSLLLSSLSGALSTTFTLTNSCGYTVWPGLLSSAGSPPLSTTGFALAPGESRPVDAPAGWSGRVWGRTLCATDPGSGRFSCGTGECGSGTVECAGGGAAPPTTLAEFTLNGAGGSDFYDVSLVDGSNLPMVVVPQGAAGSGSGPNCGPTGCLVDVNGACPADLKVTGADGAAIACKSACGAYGRPEDCCSGDHNTPQTCQPSASSAYFKNACPRAYSYAYDDATSTFTCASGTVSYLITFCPSISSLKSSVSSVNPSSSSGSGSGGGTGQPLVNDTVSFAGQGGEPYEYVSASSMSSAPSILLALTWLTCTAHRLLPVYSSASAVSLSLVILSFLQGALAGVTFTFTNRCGGTVWPGVLANSGSSPLQTTGFELGSGETRLLTAPAGWSGRFWARTGCAFDASGKGACATGDCGSGEVECRGAGAAPPATLAEFTLAGGGGGGGGKDYYDVSLVDGYNVPMVVEAASPGCPVTGCLVDLNERCPAELRAGDGGGCRSACEAFGRPEYCCSGQFGNPDTCRPSVYSQVFKSACPRSYSYAYDDATSTFTCAGTDYFITFCPRAGTSGSQKSIKDPFPKPKDVQLEGDSWLATLATGETDGAATSSTAASLLLQATLAAAAAMALLQ >Et_5A_041745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26657771:26659236:1 gene:Et_5A_041745 transcript:Et_5A_041745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVNLNNNLPILATQTESRLLPSGCLIEEMNNGNCNRFCNVDPLVSGGHHEPITMSAKRRWGILEISQRMIESFYASVSGTVNQGQNNDREWHEDNSSGIHTMGIEDVV >Et_3B_029049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21663458:21666917:-1 gene:Et_3B_029049 transcript:Et_3B_029049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGAGAGATLRKRALWVDTASDAGTDMATAREEVEAVDEGGAVVEEPVTPTGRLFREPHFRCYIVSVLGLGKPVDLPALRAGVARPPPPLLQRPVQVADELDKDAKPKWVRTTVNLDDHIVVPALDPSATSADPDRALEDYVASLSARPMDQSRPLWELHVLDFPTAESAAAVALRMHHSLGDGVSLLSLFVACTRRVAYPDALPWLPPAAGRAGPLYALPPRPRWRGGGGLAALALWALAFVVLAWHTVVDVARFVATVASLVRDKRTVLKGAEGTEFRPKRFVNCTLSLDDVKYVKNAMGCTVNDVLLGITSSALSRYYFRRTGESDRNSITVRSALIVNLRPTPGLHTLASMMESGKDSAARWGNQIGYMIIPFHLAKHDDPIEYVRKAKQVARRKKSSMESIFTYWSADLIVKLFGIKAAAALCYGMFTHTTLSFSNMVGPTEQVQFCGNPIVYIAPGTYGHPHALTVHYQSYMNTVKLVLSIDEEQFPDSHQLLQDFAESLRIIRHAASRTSDETLDASLGRT >Et_1A_007670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37065382:37069336:1 gene:Et_1A_007670 transcript:Et_1A_007670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPFLLVAGGQRGRCVLLAAFLLAASLLASAANAAVSYDRRSLVINGRRRILISGSIHYPRSTPELVRQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAAMQKFVEKIVTMMKSEGLFEWQGGPIIMAQVENEFGPMESVVGSGAKPYANWAAKMAVATNTGVPWVMCLQEDAPDPVINTCNGFYCDYFSPNKNYKPTMWTEAWSGWFTSFGGAVPHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEFGLLRQPKWGHLRDLHKAIKQAEPALVSGDLTIQKIGNYEKAYVFKSKNGACAAFLSNYHMKEGSAKVRFNGRHYDLPAWSISILPDCKTAVFNTATVKQPTLVPKMNPVLRFAWQSYSEDTNSLDDSAFTKDGLVEQLSMTWDKSDYLWYTTYVNIGANEQFLKSGQWPQLTIYSAGHSMQVFVNGRSYGSVYGGYDNPKLTFNEHVKMWQGSNKISILSSAVGLPNQGNHFETWNVGVLGPVTLSGLNEGKKDLSHQKWTYQVGLKGESLGLHTVTGSSAVEWAGPGGKQPLTWHKALFNAPAGSDPVALDMGSMGKGQIWVNGHHAGRYWSYRANSGSCGRCSYAGTYHDKQCRSGCGDLSQRWYHVPRSWLKPSGNLLVVLEEYGGDLAGVALATRTT >Et_4B_038167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26979599:26980382:1 gene:Et_4B_038167 transcript:Et_4B_038167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARDDAVRGLALAVITAFLACRCAAQAGSGCMPELVSLSPCMDYMSGNVTTPDGTCCSALSGVLKSSPRCLCMVIGGTAASLGVAIDSDRALQLPGACKVQAPPASQCSAVGIPSPSPAAGTTTPDTPAAAAPSDANSTPAGSGSKATPTSTVQHSDGNASRPRVIFALIGVSAMALLHYF >Et_2A_015736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17325982:17328840:-1 gene:Et_2A_015736 transcript:Et_2A_015736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILPRTTRRTAAALLPRSAPPRNAPLLARFLGGVSAACSNSAGIASVEFVPWHNGGGILHRSASVDPTAVVEAGAVVHSGAVLGKEVVVGSGAVVGPSVSVEQSTRIGYNVVLTNCSVGEFCTIHNGACIGQDGFGFFVDEEGHVKKKPQMLYARIGDHVEIGANTCIDRGSWRETVIGDHTKIDNLVQIGHNVVIGKCCIICGQVGIAGSVTLGDYVTLGGRVAIRDHISIASKVRLAANSSVTKDIQKPGDYGGFPAVPINEWRRQTAHLRLFSKKDGEGR >Et_1B_011747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25604678:25607289:1 gene:Et_1B_011747 transcript:Et_1B_011747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGERENQGRQGQPGKNLIKIPSYQEVFGGSTSSSSTPASYNPPLPSSGASSSSSSSSASFSQSFSFLKSSEFYTPPPPPPQLTTAPRPPQATSSAPVPQSKNVILVSHRQKGNPLLKHIRNARWTFADIVPDYVLGQSSCALYISIRYHLLHPDYLYYRIRELQKNFRLRVILCHIDVEDVVKPLHEITRTALLHECTLLCGWSMEECGRYLETIKVYENKPADSIREHMDNDYLSRLTHALTSVRHVNKTDVVTLGSSFGSLSRIMDASMEELARCPGIGERKVKRLYDIFHEPFKRVTPRPNLVVPDTPDREKASGKPSATNDGSENTDEKPDESKSKKGPDVKSALTAAFAKYSEKIRNQGRDSAKEAGEGTSSSTTEDDRIKN >Et_4A_035087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9289815:9292864:-1 gene:Et_4A_035087 transcript:Et_4A_035087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSASSRLPLPRLPPRRIRLAPLYTMASSLAILRPSAPAPLAGRARATAPATARVAPTSRSRFSSARVSLGSEVAAGADALFADYKPTTAFLFPGQGAQTVGMGAEAQSVPAAAKLFNQANDILGYDLLDLCTNGPKEKLDSTVISQPAIYVTSLAAVEVLRARDGGQDVINSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDASDAANSAMVSVIGLDSEKVQQLCDAANEEVDENERVQIANFLCPGNYAVSGGVKGIEAVEAKAKSFKARMTVRLAVAGAFHTSFMQPAVSRLESALVATEIRTPRIPVISNVDAQPHSDPDTIKQILARQVTSPVQWETTVKTLMGKGLEKSYELGPGKVIAGILKRINKGAAIENIGA >Et_9A_062394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22419015:22420700:-1 gene:Et_9A_062394 transcript:Et_9A_062394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDENGLELSLGLSLGGGSSGKSKAREVPLEPKTEPQVEESSSKGGSQTPDAPFGKYYHKSADNEEHNSKQSHSPATPSLGKFWGQPGGSSTPCGNNSEERKPVTSNHKLPSEESFQKKHPTAVDQPDAFSKSSDGGAKNAPISISTDDGSTGENEDVAESEAEGSNSWLVAQREDSAKGSVVNKGSDRKRSADDTAVGFQGKRQPSFSGSESSSGKLPLGNPMSMQASSVVAVPYQVPAQVSGPPTITNTPPNFHTVCTVQLRPPTNGGPTIHTTSASSFAFGYPAVQLPTLETSSSWAFGAPLQAQSSFTVKDRTEQTGTKQADDGKKPQEAGASSATRVEDEKKADRAFPLMGSAIRPGIAPNVKFGGCGSYPDLPWVSTTGAGPHGRTISGVTYKFGRNEVKVVCSCHGTHMSPEEFMRHASADPSSQDLPLSAGPGASAEN >Et_2B_021002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25605377:25605687:1 gene:Et_2B_021002 transcript:Et_2B_021002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFFSPCACRILFIVVVIVAVLSSYGSFPGSAAAGFACQGKCSDFPDCNIWCLTVGNYPQGGRCLPPVNRECCCIT >Et_7B_055498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12115685:12118073:-1 gene:Et_7B_055498 transcript:Et_7B_055498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASAMRKASSHASLADPDDFDLTRLLNHRPRINVDRQRSFDDRSLSELSGTGGGRGGWAMAESYESMYSPGGGLRSLCGTPASSARLSFEPHPLVGEAWDALRRSLVSFRGQPLGTIAAVDHSAGEVLNYDQVFVRDFVPSALAFLMNGEPDIVRNFLLKTLLLQGWEKRIDRFKLGEGAMPASFKVLKDPKRGVDKLVADFGESAIGRVAPVDSGFWWIIILRAYTKSTGDVSLAETDMCQKGIRLIMNQCLAEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLLLKPDAEGKEMMERIVTRLTALSYHMRSYFWLDFQQLNDIYRFKTEEYSHTAVNKFNVNPESIPDWLFDFMPTRGGYFVGNVSPARMDFRWFALGNCVAILASLATPDQAAAIMDLIEERWEDLIGDMPLKICYPAIEGYEWQTVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRLKIARRAIELAEARLARDGWPEYYDGKLGRYIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMTKPVLRRSASWTV >Et_9B_064033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10244793:10249270:-1 gene:Et_9B_064033 transcript:Et_9B_064033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPPGATREHDEEEKAALVPPPALPDQSTEDEAPPCENDDTASMGVDQGEQLRRSTEKPAWKKVFPTRGVMLIMGSILVLALLVGSNSSGWIPLSSSSFLLGNRAFGHGRQRRAPHHSPPSPAGPPRVPVPLSCGNETSFPHVPYCARAPPPSPSPSSSSAAAAAAPPSSTSAAPPTCPEYFRYIHTDLSPWREKGITREMVEKARDLATFRLVVVSGRAYVERLRRAFQTRDVFTLWGILQLLARYPGRVPDLDLMFFCGDVAVVRRRHHPDAAAAPPLFMYCRDGDALDIVFPDWSFWGWPEVNIRPWAPFLAEAARENARVPWADRRPYAFWKGNPWVSNQRRDLLRCNPNATGDGKDWNARVFVQDWGQAHRNGYKDSNLAKQCLYRYKIYVQGRGWSVSEKYILACDSPMLHIDTPFQDFFSRGLVAGKHYWPVDAARKCPSIKFAVDWGNAHPAEARRMGEEGSAFTQEDLSMDYVYDYMLHLLTGYAGLLRYKPTVPENAVELCIDSMACTARGLVRDFMLESMEKYVADYEPCTLPPPFTEDEVAEMARTEEEARNKVKTMEQAAEKET >Et_2A_017035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30352784:30354359:-1 gene:Et_2A_017035 transcript:Et_2A_017035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAASSCCGPEKVEQGFVNSSSMSSTWRIFSYKELHAATNGFSEENKLGEGGFGSVYWGKTSDGLQIAVKRLKATNNSKAEMEFAVEVEVLARVRHKNLLGLRGYCAGAEQRMIVYDYMPNLSLLSHLHGQFAGEVRLDWKRRINVAIGSAEGLVYLHHEVTPHIIHRDIKASNVLLDSDFAPLGTLGYLAPEYAMWGKVSGACDVYSFGILLLELVSGRKPIERLPSGAKRTITEWAEPLIARGRLGDLVDPRLRGSFDAAQLARVVECAALCVQGEPDRRPDMRTVVRVLRGDADAVAPAGKPVRMESVKYSDRLMEMDKSGSYYGEAEDGGDEDEDEDMDDDDDEVEEYSLMDDKSSMNFGAFGAMPVQTMHDPYAKRFSGNANNAIKI >Et_1B_011973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27765133:27772244:1 gene:Et_1B_011973 transcript:Et_1B_011973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGFLADFNDPWLKPRLLKALVAERLPQPGGAEVPPDEVASVLDAVRTHGLLTESLPGHPLEPKLAEAWRAAVDAWVERLVALVESDSTYSRWLGTCFLGVTFQECSNERFAESYSSWFEKVLSNLQGSSSLQLVSTISCTSMSDLFVRLARFLNLKKEASSFAGRVVEPMLQLLNENGPLADEAIDLLRTIIKLYPSSVNRHYSKVESCIAARLLSTEVNEKSSKKFARALASLPSVRVSEDSWSLMIRKILIMVNNLLDDAFIGLEEEKKGHEIMLLLVPPGTDPPPTLGHELRSGGNVHVTKKFRQRTVPVISALIHCCSMMLTSYYPVQVNVPVRALIALTWRVLLLDGSLHKKMFPSTTPLHQELICFELPSLHSTFLDLLNATIKGMRSQLLPHAASIIRLMAEYFKRAKLPTVRTKVYTTAQLLLISMGAGTSLHLLEAIVSNAFADLNDGIEIDMTVFSTNPSKLISESSSKSYSKKRKHGPQIQNSVVSASEKAAMSPRKKKSSSTAIASKEMAPECIADGRMLTPLPVKIAALETLEILLNVGGLFRTELWRAEVDLILINVARSACETEGAHEQRSSKVGEPSTVDLQLASLKALLASFLSSPNACPPYLAQGMGLFRKGKLEIGTKLAEFCSHALLALDILTHPRALALEKATPLGPGLNCGAPEKAVFGAGQYKLSSGDQPQAMEIEDTYDDWLASKDDEPAEAPLDGSAVGINTVVIGSNHDGQLTPITEDPKIYSPRVTDAAQDVQVSTKRDAEMIDLAAGEIVKPNTMDPSSSSVVSDPVYTGSADPENHIIASFPEQKLTSGVSHLENTSPPVNASSSSHRAPGPSATSFAELFGSDSGVESDSEDSMPEIQDGDPDSD >Et_9A_061959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18342866:18344349:-1 gene:Et_9A_061959 transcript:Et_9A_061959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEWSDSGEEFSLPDEFLDDDFFSEEEKAAVAARSESDEEDSLASLSRRLAGILGDNGDRKRSDKEEVTVGSPQSTLCGLPKSGQETPNDGASKGNSPPSSPQEQRPADPWELLYEAAGQVARMRAGNGVPVPSNTYGSFTASAGFVPPERKPSPPPPVAPPAAAKGPAGGYYHPFAHIVTQRQMQAAQFHLLKQQQLLKLQRERQLAAWSAHQGAGAKGAGCGGNAPLGLNPAAWPPLQKPQQQHAPAPPAGGRRAVFLTPPGAKRERTGTGVFLPRPAGAPAEPRKKSGCSTVLVPTRVVQALNLNLDDLGAQPCYPGGFVLDHDALISRSNAMLAGQKRRAAAAVAPSPALCHSS >Et_9A_061951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18260918:18263019:-1 gene:Et_9A_061951 transcript:Et_9A_061951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRPARSDPHLSPEEAARVEAEVRGYLDSVAPKRPAKPPRSDPSEAAAAAAAEAGAEGGDLPELRKLQDLAAKPQKLVLDGAEDVDGGEEYVETHYYHGLNCIDKQHHTTGAGFIKVERPNGSSLNVMTTGYSSASFVRCTSNPATNDWIPSSETVIPVSNKPSRSDS >Et_4A_034908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7769192:7772478:-1 gene:Et_4A_034908 transcript:Et_4A_034908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNQWWSYEVGRVGRGPPPVSATKQPTPVSFPFPSEVPSGATERVEAGRAQREEKKGCGGAGGNLRPGEWRAVGFSDGEAGFFIACWRPASTQLRCSSSTSIHLINRFELQSVRYESRSPYRRSSHSRYRSRSRSVDSSDVENPGNNLYVTGLSARVTDRDLEKHFSAEGEVTDASVVLDPWTRESRGFGFVTMATVKEADRCIKYLDRSVLEGRVITVEKAKRRRGRTPTPGKYLGSKSSRGKQVNHALFFMSSPISFQNNRSIGRRYSPSYSPVRRDRYSPDRERSYSPYDRDRSYSPYDRRRSYSPYDRRRSYSPYDRRYRSRSSYRYRSRSPYRYRSRSPYRYRRQRSCSYDRSTSPYYRRRHCRSVSRSPSASPRARGRSYSRSASPQNSSSPSSSSVSERSTCYSPKKGYSERKRSRSRSSGKRRRSRESYSHSRSSYS >Et_1B_011104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17659894:17666311:1 gene:Et_1B_011104 transcript:Et_1B_011104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIEPFNRLVRLAARAFYDDISMKGDNQPKTSRGDNRGMAVVVLDALTRRQWVREEDLAKALKLHSKQLRRILRFFEEEKLVTRDHRKESAKGAKVHNVAAAAATDGQPVTKEGEEKVKMHTHSYCSLDYAQICDVVRYRIHRMKKKLKDELDSRNTIQHYICPSCNKRYSAFDALQLVSYTDEYFHCETCNGELVAESDKLASEEMGDGDDNARKRRREKLKDMQQRIDEQLKPLVAQLDRVKNLPAPEFGSLQTWERLNFGNFAHGDPGAGDPSKNSQGHNGTPMPYLGETKVEVALGPDVKEEGSESGANGANQKVLPPWMIRQGMNLTKEQRGETSNQSKQDEKSEAKDDKKQDTKDEKTIQEEYIKAYYEALRKRQEEETGGLKQEDQTFASESERQLGKKHKREDEDDGVEWQEDQPAVCCSTATPAVEDLKVGDEANRRGRRRTRPAKWDSSETYKLADLNAEAPESGDDEDDFEWEEG >Et_10B_004002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9041063:9041765:-1 gene:Et_10B_004002 transcript:Et_10B_004002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKHNAAAAALLVALQLCSEAAGQTAGGVGPPPSCPTSCGNVTVPYPLGIGAGCYHPGFALTCDRTRNPPRLLLLGDGTLQVVEISLPNATVRVKTMTGAVNLTFVGGVNGNWPGSGLMGQLGPGSPYVVSEWENKLVLTSSGNIVTGCSSYCSISDRWSGAVLTVPKEKAGTACAGIGCCETPIPFGRPTYGVRLKGLYSSNEVTGRVRKH >Et_4A_034023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29253840:29257769:1 gene:Et_4A_034023 transcript:Et_4A_034023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSRKRLMRDFKRLQHDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLNLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKNRSVNDHLLKFRRDNRATRDDDDTGDPSLLRVSPSQSSCTWEANCRFQSTSSTEGRGAGSLARHLRQISMTVFSDSSEHPLRTAGSAKLLLLTRSSSAMAHCICSREL >Et_8A_058150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2225005:2228281:-1 gene:Et_8A_058150 transcript:Et_8A_058150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSATAASFSRFCLSRAVSPSPSSFRLPSRQPPLPPGPLRLRASSTIVALHKRNPKRLKYAAERRFTVLSAFNVTRAKRGDAGMLRVQVEPSAEDFWKLDPVIELIHRGGVGMIPTDTAERHRRFKGKISRAVLYFAMKLALLIHHPLSILCRSLSDIDTYTTGFPRGTNQGQANIFRAVKRAIPGPLTDYFNCEQYTFILPATKQFPKQCIKHGRSTSVKYLSEDEWILDPVIIADIYEPLGLDFIVDGGVRIADPSTVVDMTGTYPTIIRQGKGPKLDWMVTEEDTEHEGESMLTLKAA >Et_7A_051193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15356506:15357222:-1 gene:Et_7A_051193 transcript:Et_7A_051193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEASEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Et_4A_033708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26008860:26018637:1 gene:Et_4A_033708 transcript:Et_4A_033708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARVASMEDVKEARQVAAAAAAAQGQGRMFPTGMLKVFLGFLLLGVGLSAVGMYMARHTVAAVAPALFRPCLGLGAAEEEPDEGLERWTRPPPRVRHAMTDEELLWRASFAPRVRGYPFPRVPKVAFMFLTRGPLPLAPLWERFFRGHEGRYSIYMHALPSYHANFTSDSVFYQRQIPSKVAEWGRMTMCDAERRLLANALLDISNEWFVLVSESCIPIFDFNTTYQYFQNSSKSFLMAFDDPGPYGRGRYNWNMTPEVELTQWRKGSQWFEVDRELAIEIVKDTVYYPKFKEFCKPHCYVDEHYFPTMLTIEAPHSLANRSITWVDWSRGGAHPATFGRGDITEQFLRKVREGRTCLYNNLNTTMCFLFARKFSPSALEPLLELAPTDAKDAAAAAQGQRGRAFPTGLLRVFLGFLLLGVGLSAGGMYLARRAVATPTLFRPCLWKTAAAAEVEAEVEEPRDEGLERWTRPPARLSHAMTDEELLWRASFAPRARGYPFRRVPKVAFMFLAHGPLPLAPLWERFFRGNEGRYSIYLHTMPAYRANFSSDSVFYQRQIPSKVVEWGQMSMCDAERRLLANALLDISNEWFVLVSESCIPLFDFNSTYQYFQNSSQSFVMSIDDPGRDGRGRYNPNMSPEVELEQWRKGWQWFEVDRELAIAIIKDTIYYPKFKEFCRPGCYPDEHYFHTMLTIEAPHKLANRTATWVDWSRAGPNSAHPAMFGRGDISKEFLKEVREGETCLYNDQNTTMCYLFARKFAPSALEPLLELAPTMLAQWETLLRFNRSKKTSRAAVTDCNESRDALVVMYHVTCVKRLS >Et_5A_042887.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6247828:6250173:-1 gene:Et_5A_042887 transcript:Et_5A_042887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNTRLLHHLAVVFLLTQRTDSALVPRIKNHPELKPQASNTYIVHANHLAKPTQFANIEQWYHSMVAAYSSRIANTSGRILYTYDTVMHGFAVHLTADEARRIATASGVTGVYKEKVFQLQTTRSPGFMGLDPHHGAWNETNSGDGVIIGVIDSGIWPESASFNDRGLDPVRPSWRGKCVDAADFNASLCNNKLVGAKAFVSAAVHAWGLSGGGTVLSARDRVGHGTHVASTAAGAEVPDAGIYMFSRWTARGMAPKARIAVYRACGDYGCIGSDVAAAVEAAVKDGVDIISMSVGGPRGLLYEDAIATSTFGAERKGIFVAMGAGNDGPVESTVGNVAPWMTTVGASTVDRLFPANLTLGNGTVLTGQSSLQSTIIQDTGMIQLVSSECDKEFMNWTRDDKVKGKIMVCMDGSRNDAYGVLLQKAGGAGIVVVHRSEWSPDGTTSVFHFNLPGLTLSVDSAERLRAYMASVPNPVASFSFSRETTIIGENQAPVVAGFSSRGPNPAVPELLKPDLIAPGVNILAAWPRDAPLSQDPDGDDGRRADYNIVSGTSMATPHVAGVAALVKKKHPGWTPAMIRSALMTTAGTVDNMDHDILDNGATPGRHDVVRTATPFAAGAGHIRPNLALDPGLVYDAGARDYMDFLCALNYTTEQLQRFAPDMATCTKTLPGGPAGLNYPSFVVVFDNRTNTRTLTRTVTLVSEKAETYDVIVAAPGRVKVTVTPTTLEFKSPNERKSYNVEFRSFAGGNVTAGWDFGHISWKNKNHLVRSPVAFHWKN >Et_1B_013443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8409358:8409631:-1 gene:Et_1B_013443 transcript:Et_1B_013443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSRSSLMYEAPLGYSIEDIRPAGGIKKFSAAYSNFLWTIGILTLSMFSSLAVREEAILIALSFSS >Et_3B_031071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1475049:1477875:-1 gene:Et_3B_031071 transcript:Et_3B_031071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTTKRDAKEMPRTSTKDVVGQQACGSVARCDLDSRDSARHDWSTGRHPVVCSCISLDIAGLNSAGIATPVHCNCRPNLHDAGRMTSERQAIASFPASHCVVMALSVSPGIDDEWTVSSSDISIGTIHAAVDAAADVVSMARAGAAFPRQTGHDARDASQMSMHSRWNTCLQPGSRLTVWPSSRSLRQTAHSAGADVAPASSATARRYRKAGSRAIVAASRPCFVCCCGCGCSCAGLALRRQSWRRRTKHTQRRTTARRKRKANRVAAAASSVLALQH >Et_1A_007095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30885165:30886785:1 gene:Et_1A_007095 transcript:Et_1A_007095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTCGSTSSRMGTSVLHVDRYWQTKEQLPNGACIRSPSFKAGDYSWRFSYYPNGACLSCPDHISVFLALDSRVTRPVRARVRFCLLDRDGEPVPDQSLSVAVRQYSYFGAGFGCGEFILKDFLEASEHLVDGGVTIRCDVSAEMAPAPRCGCGQLPRHHLRRHDLADADVAFRVGGETFGAHRCVLAARYSQFGQRLKDTTKDSNKGQRLMALIGMLHFMYTDSLPGMIEEEECAMAEHLLAAADRLDLPALKLMCEEMLSCYVDESTAAKMLSLAEQYRCQTLNEACVEFLEEHPALDAVMASDDDLLEHVANSCPALLNEVLADWIEDESIQDDLVMCE >Et_10A_000849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18178749:18198338:1 gene:Et_10A_000849 transcript:Et_10A_000849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEAGEGRIGMFSLIRQSDNPQSLHYSIWQNESDNATEHLVENTIPLSSEYDFYHFEGAAQGYIFLIGLRKDVIPGSVFFSVEIKTLKLERVCEANFELDVYWHFRPFIIDLKPYLAFVGGGLLAGDGNSPSRSPSHGAVGPHRSPPGGGLRPDRYPRRPHPCLRRVHDLPPPNHQPLVPPPLPHPPPATAPRFVGCAKPANVHYNPAGSAIRFLPAVAPHPNTPAARAFAAAANFSFDHHLDHGMPSWPRYDARDGRVLLVSSDSLRGGLAVSPVLSVSDPLTRRHTLLPPIPEDLRASVLDEVQGDQFNFFDAIFDPSGGNEEALFGEETQYRVVCWAISFSMTVVFVYSSVSGSWSHGSSIVFDALDLDIPSECYPIMGGFHAYGCLYWDLDLGNDMIKLDINSMESTTLSLPTDHRNRITMFAEAGEGRIGMFSLIPGSENPQSLRYSILQNESENATENSVETTIPLSSDYDFYHFDGAAEGYIFLIGLRQGLTPRSAVFSVEIKTLKAERICGTNIGQYRQGLTDHLLEEIFVLSGTHADLIRASAACTTFRHLITDPEFLRRYRTLHPPLLLDFVGCAEPSNVYYIPAGPATRFLPAEAPHPNEPAAQALAAAADFSFNHHPDQGLSSWPHYDARKGRVLLMSSDSLRGGLAVSPVLSVCDPLTRAYTLLPPIPDNLRASVLDEVQGDRFKFFDAIFDPSGDSEEALFGEETQFRVVCWAISFSMTVVFVYSSVSGSWSHGTSIVFDALDLDVPPECYPIMGGLYSYAYGCLYWDVDIGNDMIKLDINSMEYTTVSLPSDHAFRSTMFVEAGEARIGMFSLIIPEAENPQSLCYSIWQNESEIAASEHLVETTITLSSDYEFYHFDGAAEGYVFLIGQRRGLTPGSAFFSVEIKTLKVERVCGANIGPEPEYRHFRRIGMFNLIIEAENPQSLCYSIWQNENENAIEHSVETWTPNPRSFSPAMAPVLPGPEARAPLAHTDHLLEEVLVRIGAHADLIRASTACKTFRRLITDPAFLRRYRSLHPPLLLGFVGCAEPPKSAASPPGPRSASSPPRRPTPTRPPLAP >Et_4B_037362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1888601:1890422:-1 gene:Et_4B_037362 transcript:Et_4B_037362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVYMQSGLHEETAIPEFSGRSEEDQDTSVAAFGVQSNPCQINCKQPFPSDEKKSRSCQSCHKSPCSCGGDAPHSNLYPALPAKMMILEFLIRSLRHPTRTHNVSDLDDLISDGVSQGSVNLGPSEKMVLDSLHALVNAKTRPKSPSHFLPGSKMRKTRSKSHVITQSEILKLISPETWETPSPGASPLKKSTTELSTQEKMSPSCSNQPVLSHCPSSLSAGLLQSIWKDGLPHFELSLDNPIAIYTANAIKVQGNDKALDYVYMIHSGEQGRKDWMGHTSNVSRLVGKMKVSSSLVLNSDKSSLVETEFVLYGSPDDYLRQMQSSYSVPKGKGLVKRVTEIMRNGNVSSSPKHAWKFSKHCSQQFDDLTEIFEGDIINARESALTNNDIDELPTNQQLAAIVVRERQRESRKKPVVGGWGLKFLEKAGSNHSEGTEGTDDQNRNGAEKCITAVIPRGYHGGAISNNSGPTGLVERWRSGGRCDCGGWDLGCPIRVLDNGGCASLPDAESEDNRSVELSTKGVKKNETMLRLVNITEDLYILYYNSSLSPLQCFSTAIAIVHSEAPQLRPKL >Et_9B_064177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12233979:12247241:1 gene:Et_9B_064177 transcript:Et_9B_064177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVSPLHGVIDAARWDAERPLGRLILVVHAAFLDAGLVPLPHHPSSRNRRPVLRSAGRTASALSLRYTAPELLSQQDAESAAVVLRQQVHGHRIAFYVQRGGDGDEPAAPPCVLVDTLDAAALLSGGLDATARALRRDAALAELWRGLWDRLCRRALVELCREYGVVARPETTFTSLPGDVKAAILGRLRGAADLARVEATCAALRRLVAERDRELWKPRYDALLPPASVDQYDADDSPEMSWKQRYLRARLTRPTPSQRIVVARIGWSSRTFRLVISPHTPLYINDPDLSTFDSRVVLPPFSQLHRFGLFARPRDEPPELPADPVADRRRQRTAVRGKPAAGSRRAPPSHGQEKRRHGAGAIHAPSSRYRWKHRVIDAGRWDAELPLGRLFVVVHAAFLDAGFVPLPHPSGKRRPIPREAGRTASAFSLWYTAPELLRRRWGAQAAVVLRQQVYGRKIILYAQRGDGRPVASSWVAVDVLAAVALISGGLDATARALRRDARLAALWRGLCDALCRRALVDLCRDNGVAPLEPTFVSLPSDVMLTILARVREGASLARVEGACAALRRLVAERDRELWKPRYDALLPPAASYTTPDTGGGDELEAEVPQGQAELPLTVRPTRRNSYFYRLLSRYLDKRLNRRPRRLDSCSPPPEVRADPVPEPERPRRRRTTAARGWRAAGIGRVPRSPAGQDQQEWCHGAGATHAPCSSRCQEKRRHGAGAVIDAGRWDAECPFGRLILVLHAAFLDAGFVPLPRPSSKRGPVPRSAGRTASSLSVRYTAPELRHKRYAQSSVVLRQQVYGRRNIVFFVQRGDGRPVASRCVLVDALAAGALLSAGLDATARALRRDARLAALWRGIWKSLCRRALVDLCRRNGIALKPSFMSLPDDVVLAILARVRDGASLARVGGVCAALRRLVAEHDRELWKPRYERRVTATALSWKKWVRPPTGLSSRAARLISSYYDKLRIRSRRLDRCKLLVLPADPFSKSERRRKRRTAARGWRAAGIGRVPRSRAQEDLWPRHGAGAVHAPPSSSRGQEKRRHSAGAVHAPSSRYRWKHRLYGRKIIFYVQCGDARPLASSWRSTCSPQEPSCRGHRRRPVGHRTPSRPPLRRRPRRVPRRRLRAAAPPLRQAPPDSEGGGPDGLSLRYTAPDQLLRRRGAQAAVVLRQQVYGRKIVLYVQPGDARPVASSWVAVDVFAAVALVSGGLDATARALRRDARLARGAVARAP >Et_7A_050471.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:17541541:17541702:-1 gene:Et_7A_050471 transcript:Et_7A_050471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLVFLIHVLNTVSVSARTMKGDEWLEGGIEMVVHLLGDLKSGPSPPTHCC >Et_1B_011580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23795755:23800540:-1 gene:Et_1B_011580 transcript:Et_1B_011580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSTEAYKQTGPVYFSPDARFLAIAVDYRLVIRDVVSLKVVQLFSCVDKISSVEWAPDSEYILCGLYKRPMVQAWSLSQPDWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACIHVQWPKHASRGVSFTKDGKFAAICTRRDCKDYINLLSCQSWEMMSVFAVDTVDLAGVEWSPDDSAIVVWDSLLEYKVHVPSLWKLCIVDSYVTTHDCSQFIFMSYVLIYSPDGRCLFKYLAYESGLGVKTVAWSPCGQFLAVGSYDQAVRTLNHLTWKTFAEFTHAASIRSPCNATIFKEVDDPWQLDMSELCLSEGFSRNMQDHGAENGTEAGGSRVKYNVIDVPITLPSQKPATDKPNPKQGIGLWRLIEGATLSYSHVLSEPLQLIAQRSHLT >Et_5B_044974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7920344:7922390:-1 gene:Et_5B_044974 transcript:Et_5B_044974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DTLLESLFTSGHEQCTHPYSTPDRTMLLALAIVLLSLPTPSAGDWSFCSDASGTYKQNSTYMSNLRSLAGALIGDAARLHSATGDAGEGPDRVYGAVFCRGDSMEPDCANRLREAFGAIAGDATDGSAACALHRDVAIYSELYQLRFSDQDFLSGFSNDPEWINITNPDTVQHATTAQFDELVTKLLSALANTAARRPDRCAAGEAPWSWSQEERTVYGMAQCTRDMPPERCRSCLHGILAEKRRMIGGGKMGGGVFGARCNLRYEMDLLFFNTTGNSEMLSLRKFLLKHDQENEGPCALNYHNSLLCRGPLHTTDFLAPKHQTETKKRDASLGKNNSTGQLENFEEVLRLWRIEDAGLEFSLFDISQIADSTDNFSPNNILGEGGFGPVYKGVFPDGQVVAIKRLSARSRQGLVEFKNEIQVIAKLQHKNLVKLLGCCIHEEEKMLIYEYLINKSLDHFIFGIKASLKWKTRIRIIEGIAQGLLYLHNHSRLRIIHRDLKASNILLDSELNPKISDFGMARIFPSDATQATASRIVGT >Et_3A_025288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28528378:28528918:-1 gene:Et_3A_025288 transcript:Et_3A_025288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTGRTSVAKSRRSFRLSWLHCRPRCIIFTASSFVVSSKFSYTGDSEVAELAYVSFIEKNVSWFKITMSDRIRLGVMNSKAVHMLEATLTLSSHENDGFSLSR >Et_1A_007209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32041266:32066139:-1 gene:Et_1A_007209 transcript:Et_1A_007209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQRKKAELTPPEEAALAAAMESVLGNDDILREILLCLGFPTNIVRAAAVAKRWLRHASDPVFLRLFRARHPPRLLGCYADTSDSTPYFDREIAAAICKGNFELGKDVSGISHCLNGRLLVNTFSPPNKFNLAVCSPLHPERGTAALLKHDRTLDSNYVTVTRSRPRFTLLHEDSGNSMACMEVQVMGNAGDDRRVWVNISDLQDGAYIQGRTSDPIELQETMIFMKFSLLAHGKLYMICMKRHILGLDLPSLSLFCIKLPDGVKCERQMDLGLSIADGSGFYLFHIKGFQLHFWHHSMSCSINGKWKLVDTIFLREAFGNFAEPSGYSQGAVVGLLAVGENADFVFLEILDIHDSVFYMQTRSRSVYPFMMPWPPTFPVMNDGHDQDNTKLLAKTEGYGSTYPTYKSYGLFAYDKLYMICMKGHILGLDLPSLSLFCIKLPDGVERNCGTDLGLSIAEGSGFYLIHIKAFQVHVWHHSTSCSMNGKWKLVDTICLSEAFGNLADPSWYSQGAAVHVSAVGDNADFVFLNIQDKVFYMQTRSRAVEKVYELEDSFLFGVYPFMMPWPPTFPVMIDRHDQDGRRRREKKAKHTQAVASAAKAASSVLDNDDLLGEILLRLDFPSCLVRAAVALALTRLRRFHHLHPSRLLDYESTKPFFVPMPQTPPEFAAVIRRIRFQMDHAVTAVMDCRNGRLVTLSRYGFPKDDKISLFSPLHPRQGATALPDPGVHASVMSDGGQFLYRHFVLVPEDKVLHRMTCIAVIFIPSKTHGIRVNLSESQAAGTWTQGRTSDWIKLPERFKKPLRTLLAHGKLYMICMAGYILCLDPSSLSFFCIKLPDGVEYYEDHDAKNIASCRAEGAGFFLIHVKGSRMSVWMHTTGCSAGDWKLVDSLCLHQVFGQLADPSFRLSQDTVFRVVATGDNADFVFLEIEQRRMLFYLHIRSRAVEKVFELQSFLSGFKVDPFMMETCTQEKPAQEAASSVLDNDDLLREILLRLEFPTCLIRTAAVCKRRLRHASDQAFLRRFRRLHPPRLLGIYFVAMCFNLYKPHPSDCSRGAAFVPMPLPPEYAAVIRRSSFELGDGLAGVWHCLNGRVAFSRNYGDDRTTLRHGRCGSRRHLLLPEDGSDDGVACTAVTYMSNAHGICAHISELQSTGAWSEGRTSDWLDLEPQWSNRSLPPLFAHGKLFAICMAGYVLGLDPAPRPGWSTARIIQKNIALCRAEDAGFFLIHLKGSLMCVWIHTTGCTSGIWQLVDSFCLHQVLGYLGDPTIKLSRDAAVRVVAAGDSADFVCLEVESKILYIHIRMRWKTFEIKLPRGVKFNADENFDLSRANGAGFYFVHAKNFQIRIWHHRNGCSGTGKWKLVDEICVGQALGVLYDPYWCMMKDVVVYLCAVGDNADFVRHQVFHLHVKTRAVEKVFQSEGSEYPFDVRPFMMVYESQDGGQGKRCASHLIPLPEQWRICAFGWLANGKLYMYRRVGYILGLDLASRSFFQIQLPEGVEYESDVNLVMSRAEGCGFYLICAKDIEILVWRHSTGCSTGNWELVDSICLIKVLGDLLDPLFWLSAQDPIVRVSAVGDNADFVFFQIKYEIFYMHIRSRAVGKVHDLQSSN >Et_10B_003015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15284250:15291285:-1 gene:Et_10B_003015 transcript:Et_10B_003015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSKLGFGCMGLTGAYNSPVDDETGIAVIAHAFRRGVTFFDTSDVYGPLTNEILLGKALKQLPREQVQVATKFGIRRDESGARTVCGRPEYVRACCEASLRRLGLDYIDLYYQHRIDTTIPIEDTIGELKKLVEEGKVKYIGLSEASLDTIRRAHAVHPITAVQMEWSLWSRDIEPEIVPLELGIGIVPYSPIGRGFFGGRGVTEQVSAESNLHGHPRFTAENLEKNKQIYLKIVDLANKHQCGPAQLALAWVLHQGDDVVPIPGTTKIKNLDANIDSLKVKLTDEDLKEIANLIREEDVAGGRQYTSFAHTTWKYADTPRKATKIKNLDASIVALKVKVTGEYLKQIANQIREDDVREIATRYVKKTWLAAMVPRVKLGSQGFEVSKLGFGCMGLTGSYNSPLDDAAAAAVVAHAFRSGVTFFDTADVYGADGANEILLGKALKQLPREQVQVATKFGVRQDAGGVTVCGRPEYVRACCEASLRRLGVDYIDLYYQHRVDTTIPIEDTIGELKKLVEEGKVEYIGLSEASPDTIRRAHAVHPITAVEMEWSLWSRDIEHEIVPLCRELGIGAVPYSPIGRGFFGGRGVTEQVSAGCSLQKHPRFAEENLEKNKQIYLKIERLADKHQCSPAQLALAWVLHQGDDVVPIPGTTKIQNLDANIDSLKVKLTDEDLKEIGSQIREEDVAGGRQYNSYAHTAWKYADTPKK >Et_4B_036322.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:17577947:17578114:1 gene:Et_4B_036322 transcript:Et_4B_036322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNMLGAIMRADGFKHLIVSCRLVMKEILQDAPLFGVSISPVGIDRLDRGEQR >Et_3A_026490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8684777:8687599:-1 gene:Et_3A_026490 transcript:Et_3A_026490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVSVSFRPFTAAPARKPFPRGTGGSSPLNFAKFRRIGRHCGNLAMSCKPDPAPSSKTCGGDDFTVGEKDAVIIVDHGSRRQESNLMLNDFVAMFRARTGYKIVEPAHMELAEPTIKDAFGKCVEQGASCVIVSPYFLSPGRHWKQDIPALAADASKEHSNIPYIVTAPLGLHELMVDIMNDRIKYCLRHVAGDVDECTECWLPFVGLLFSSLLLGVCLCTLSVCLCNLLYEASYRFEVEILDYTRLLDDQVVFMCACNLMMYSTMV >Et_3B_030453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3919674:3921583:1 gene:Et_3B_030453 transcript:Et_3B_030453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLVVYFMGIEEHRKQLFFFDISFLPFGFFFFWFLLILIITLFAICDAQHWIKDLKYSTRVKDEEGYPAMVLVNKATGEALKHSLGQSHPVRLASYNPDYMDESVLWTESRDVGDGFRCIRMVNNIYLNFDALNGDKDHGGVRDGTTLVLWEWCEGDNQRWKIVPWCKSLDFHPYIDYDRFTEISSHLKF >Et_9B_065211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2491885:2492244:1 gene:Et_9B_065211 transcript:Et_9B_065211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGKLVREHDLLRHTIYRTKEIHVHIYSHREWGRADGSMIRLNNIQSVRQTAAAAAAAEK >Et_3A_023798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12408986:12412629:1 gene:Et_3A_023798 transcript:Et_3A_023798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLSTHSQATALWRPWRRHGLIERISYQFNHAGRLQASYRGLEALYDDGYQKVKDLDYYYRAIGELVEHDSGPPRWFCPVDAHSPIEDAPLMLYLPGVDGMGMGLFMHHQALGRIFELRCMHVPFHDRTPFEELLTMVEDVVTKEHASSPNKPIYLLGNSFGGCLALAVAARNPHIDLILVLVNPATSFENSDIQQLLSFVSLFSDQASMAITALLNYNIDNEMEMALSSMINGKHPLAALNRLTSNMSSFLKHSNILDKIPKDTLQWKMKLIKRAASYANYRLQSVKAEVLLLVSCADRLLPSKAEANRLQKLLPQSKVYFFEKHGHSLLLEYGVHVSSIIKCTDLYRHSRRYHRVFDFIPPSATELKEVDKASWTCPVMYSTMEDGTVVRGLAGVPQDGPVLLVGNHMLLGIELISLAAEFLRHKGVVVRGIAHPLLFPNKMRAWSQGHDFFDFLNLWGGVPLKYKYIYQLLAAGEFVLLYPGGHREALHCKGEAHRLFWPAQTEFVRMAAQFNATIVPFGVVGEDDLLEILCTFDDVRNAPFGKEMIQGYSNHLKLREVDHEVFFPGLYLKMPGRFYYRFGKPIPTRGRQDVLTDKQAANDLYLHVKSEVESIISYLLEKRVEDKYRSLIPRMIYQAARGPNCEVPSFDP >Et_1B_011581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23804181:23812817:-1 gene:Et_1B_011581 transcript:Et_1B_011581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEELPGEFGGRGDGGEELLQLRGEDGGAAVGKGKEEKEGGAVMRVAVDAKRAAVGVGARMLFYPTLVYNVVRNRFEAHFHWWDQVDEHVLLGAVPFPSDVLRLKELGVCGVVTLNESYERLVPKSVYEAHGIENLVLPTRDYLYAPSIKDLCKAADFIHSYASCGKLIYVHCKAGRGRSTTVVLCYLVQYKQMTPIEAYEHVRLRRPRVLLASAQWQAVQEFYHLRVKKTERSSYLDKPMEPPLFLAHNVIALDDSTFVMVSESDLEGYNADALAGLWEISLVCRVQFASKAAFSYLWVRCRARNKEARTENVGRENCSLEAEQSSVGHPYLLQGVMLHSNIHVNAHNYKLNFVH >Et_4B_036411.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:22614413:22614583:1 gene:Et_4B_036411 transcript:Et_4B_036411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLEVAITIIFDALLLVFMVKLFFAMFQMKLVVILFYLVILLFAMAFSGRAPSSF >Et_2A_015997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2071386:2073217:-1 gene:Et_2A_015997 transcript:Et_2A_015997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKPLLDPAAAAPPTNLRRGAGFPWDYPELGFILVATNYGACLYRGDGDCGAHAYFFVVGLGVLLLLILIRLSLRAPPGSAARARLKFAVWVVDTVVIAAGTWWFTNCYPSWELVESALLLKPGNASTRSLTACSPRNYCDATRMWASVGSGTRNYLYCRMVQPVRNIAVYLLSDFLFSETLLSDTLGVHIFKLLVPTCKMHFNVSPPFCSKYYENQVHVRTMKTLI >Et_1A_005623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12122892:12123400:1 gene:Et_1A_005623 transcript:Et_1A_005623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRALMRIPQPANLALAVARRMEGFGGGRAPRYFSDKTSGRVLSEEERAAENVYIQKMEREKLEKLRRKADKDKAEAAKRAAAGKADKKGGEAQPS >Et_2A_017224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32110819:32112729:-1 gene:Et_2A_017224 transcript:Et_2A_017224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSDGVSLTPPHLNPRFLIPSPNPAPTPTAGFPFRFRRSPSSPSAAARVRRAAVTTGLLRRGCDLHRPGPDAMLLSCRSLASWVRRLVACMGGCFGCCTKPTPITAVDEPSKRLRIQGRSIRKGGLSEEFWSSSAHEMENSAIQSQRSMSSISTAAQSNDQHAAGSSSNLNEFVNQGLMLWNQTRQQWVGNKKRQSRPQQTREPKLSWNATYESLLGSNKPFSQPIPLGEMIDLLVDAWEQEGLCD >Et_4B_036292.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:15377194:15377316:-1 gene:Et_4B_036292 transcript:Et_4B_036292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDMDRGKVSVICDIKEHLYDRFLPYLPYVPYLLDSLAD >Et_1B_010560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11589857:11591312:-1 gene:Et_1B_010560 transcript:Et_1B_010560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LDRPVGQRRKVEHRRSHVGKRPLPEPDDDEEAQSASPWPSVPSQEPHFPRRQRQIVFGTGGREGCDERYWPGPAPYHAQAELQSAAAMANHDVGMDSSVVDLSSSHHPMGHLGVAAASHPQQQRQAPQMAPPASAAGQNVEAQAGTMTPGRRHYRGVRQRPWGKWAAEIRDPSKAARVWLGTFDTAEAAAAAYDAAALRFKGAKAKLNFPERVRGRTSQGGFLVATGVPEPPPLQLPGATTVAPFSDLVKYARLLQSESNSSSSSSPVDHVPPTSSQPSVVQILDFSTQQLVHGSPATTSRPPKMSRSTTTSPSPSMWPDHHSEQKKYVEAPREKSSGASAPFFL >Et_5A_042346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:958602:968542:1 gene:Et_5A_042346 transcript:Et_5A_042346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKSRPVRAAGAGAGAEAEPSAPSPGGRSKRAPAKGEARRDVLVEVDGSTWALPDGDHRDVAEVVLRDVSVSGEGEAALDLEEAYRASRFSLRLRVRDAPEEGFRMGNWPVVPSDCVLLEYVVHGDQEGKPESGVVLSGCFDGPDEGVSGLAHLVNLRFVRLRVQSFSAFQNMGETQIESFRISVEMMDRAFDSCESLLEVARHPWRKSLMNMMAWLRPEVMTSAAIYGTDGILLPMDDDANAAPKSDSQFDLAAFYEAVKPSINAAKLEEELPDLLPCLRPYQLRAANWMVQREKGNAHGQEYVHSAPYCVPIDFIHKNSRMFYNPFNGNVSLQPEPSRPYIPGGILADEMGLGKTVELLACIFAHPKPPSPEFSQSEKKTEINQIKRQKVERTEETHICALCLELTEVAQTNVFSRATLIVCPAPILAQWHSEITRHTRPGSLKVCIYEGARNLDLPETCKIDMTEISTADIVLTTYDVLKEDLSHDSDRHDAQMVESSKTSVTEMAMRLNAQHRWCITGTPIQHRLDDLFGLLRFLRTSPYDTYRWWVDIIRDPYERGDMTAMNFVHKFFREIMWRSSKIHVSSELQLPQQEECFSWLIFSSIEEYFYKRQHATCMDHAHQIIKSLRNDADRKESTPDSSASLNVYLSNNDIAKLLVPLLKLRQACCHPQVGSSGLCSLQRNPLSMDEILQVLIGKAKNEGEEELRKIVVALNGLAGIAVIEQRNQEAISLYKEALDLACENIDDFRVDPLLNLHINYNLAELLRNSSEYLQECPLKDQPDELDRRRKRKENSSADSDLRSVKRSKVCMNNASLTANGLDAREEDECVTGQTCRIGEVDAENVVGCHSSSECFSDNCLRKTCNAITEKYLSVFTAKLIVAQKDFSAASTEVLNLTREVQNQHMNWWLHALDCIEASNGSAYELIRKIDSSSTKSTTGLGSSGISSGVKTIAGLKYAIQSDIDSLQNSRQQLMDRLLEVDKTMDNPRDEDVEGQRYCPKCYDGTGSLCIQCELDDLFQRYEARLFVVRKSNNDSVIDSVEEAQDLQKRKYELNHFFRNKKTNEGSEPGDNNNNPRSARESTQVYRHPSRTETALRVIRNHSRTLLGRQYDATAKKHLLLFEAMRKEFSQARFLSIAQNQLLRAHDEIKMSISRIKLKERDDEHSAVNIVTREELIPYNVQFTSDKFVSLSSLARIRGQLRYLKGLMQSNEKPLYKQGESTPKASNAVDTATSFPASGQTNSDISHGPCPICHETFFDQKMVFQCGHFVCCKCCLAMTEQAATPSGKRKSWIMCPTCRQRTDLENVAFVVEKNMDKADKATEDLAECAISVQGSYGTKIEAITRRILRITSTDETAKVLVFSSWNDVLDVLEHSLAANSITYVRMKGGRKSQAALSQFKGQTSCLNGKEIKRTVLRTEPVQVLLMLVQHGANGLNLLEAQHVILVEPLLNPAAEAQAISRIHRVGQDKSTFVHRFIVKRTIEESIYKLNRGRAVCSTINRKSKNFKDEPVLTLKDVEMLFPMSAPDQLAEETNQDHNDSLRSLPPSVAAGLAAERRLFMQQHDEPAIN >Et_9B_065914.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17349164:17349469:-1 gene:Et_9B_065914 transcript:Et_9B_065914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGKWSPELRKTYNLMDAVSRHAIQVYPRSWTAIMLTFDNAGMWNIRSNIWERHYLGEQVYVSVTSPERSLRDEYNMPENALRCGKVVGLPLPPSYLPAR >Et_4B_038688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:428542:430149:-1 gene:Et_4B_038688 transcript:Et_4B_038688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAKKVEVEATKDIAEEKAVVPLPPPPAKHDKPDVDDSKAIVAVKDVADEKPAATGGSTERDAYLQKIVSEKRLTLITAWEESEKARAENRAAKKLAYITSWENAKKAEMEAELKKIEEQLEKKKAAYEEKLKNKLAMLHKSAEEKRALTEAKRGEEIIMAEELAAKYRAKGEAPTKLFGLLKA >Et_6A_046630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18371312:18376458:-1 gene:Et_6A_046630 transcript:Et_6A_046630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEQLKEKKSFGSYKAPIYAPSFLAYYLYYPNECQFPYSHCSMLASAFCKTCGWRTWSGSSTAPSCRLTMEKWAKHCIFSVPLHLRKVRIRGDAFRPQTVALGPFHHDDRALRPMEEHKLRAVAHLLRRAGRTLGEVAAAVEEVAEELEDAYAGLDDKWRGRNRGKFLETMVADGCFLLEVMRNDPRGKEAPAGETSLQHDKDYPYAPRDPIFSPHALKHIAAFIQRDMLMIENQLPLILLKKIVTAESGEAPSDPWINALVLKFLCVDEDCVAEAGPALGLHPLDLYRRSLLRQSTRRAQEVSNQDNHITLCCLPTTTTAARRRETRLIQFLPGIVGRENEQVTCCNREKKKKPRAAPRSAQKLLEAGIRFKRSDTGFLDDVSFNSGSRRLRMPRVMLDDSTEHKYRNAMAFEALYKVPGAGGNDVTAYVMFLKDLVDSAVDVELLREKGVLAHELAGSDWAVVRLLNRLTQDVAKINKSRLCDVHRDVENYCDHSLRVFIFRSWAKLKTTYLSSPWAFIGLVVGIFLLGTDIIQTSYAVMSYELEKRKAS >Et_5A_042305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8531433:8533869:-1 gene:Et_5A_042305 transcript:Et_5A_042305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHLACGDADQAPYGSIEMKWSSEEKPRRSPVCSPPVVRKPSFGDVACQATTMKLLVPGVACFRPCKTLVFTGVYPPPPVDRQKKKNRGGMEIMDCSSGLPSPETKEIAANQSTPPELRVQKRTNAYWPLVQKLAYMTLENFDHPEMVIPDQKADYFCLDSDAVNHSE >Et_10B_004351.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4630922:4631290:-1 gene:Et_10B_004351 transcript:Et_10B_004351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNSQLLPFLLLLGALLLAASVNPVAAGDGRMVIVVRGGATRIATAAGGGAGAPDKWRRLEDEVAPEFPAVGGLLGGSIGYDPLNKNKQTCLYDKCTADSGKPYVRPCERVYQCANQRDPK >Et_9B_065005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20437962:20439921:1 gene:Et_9B_065005 transcript:Et_9B_065005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAMDLDSVESRSGEAMSIFGQSIDVRRPGRGRRRASQKILSPGGMEQQARPTTDRRHHRRAVAERERARAEFELSRATTMAKDLERQIEQASGKANSHRSELQRTRTSGGGSSRRKKGLVDAQAPGADQAAEEGNTLYAEVMQELDRVKSELRKLQREVKSAREAEAQRDAAETPTTPSRATTSSSDSRVPGGVANEERVIAEAGGLPKGTRTQMLQATRRTRGMGIRRVASRATASDTDGTAFATASSSSAVLRRHGEVMAMARATTEDTDHAVENEDESELTITRLVEHDDDDDGASRQAAEAELNSARTELASIREEKLRFAASMERTRKETARVAEELARLAEQEEKAGAQVRQLNARLVRARCKLEAATAADESADAALAELSLAVRRVEEETEAAEKEKALAELETRRVAEDAERVAAEVAAAEQRVRRAVRELEAARAAEAAELKAVVDGDGAVLARAAAAQRSSGGNVTVPRFEYEYLTGRGELVKAAAEKKVAAAEAWVEALHAGEKETAMRADAIEREIGGHRQEQEAEDAAEHEASRDGSPRAHPSRRPAKAGRASSTTPARKKSAGRMQPSSSTSLARKPGSRKALVPTCMKLIARKCLGQN >Et_7A_053171.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:8770365:8771263:-1 gene:Et_7A_053171 transcript:Et_7A_053171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLAAAAAPRAFFSSSPHAAPASGYSARREYGLVPMVIEHTSRGERAYDIFSRLLKERIVCIHGPIADDTASLVVAQLLFLESENPAKPIHLYINSPGGVVTAGLAIYDTMQYIRSPVTTLCIGQAASMASLLLAAGAQGERRALPNARVMIHQPSGGASGQASDIAIHAKEILKVRDRLNKIYAKHTGQAIDRIEQCMERDMFMDPEEARDWGLIDEVIEHRPVSLVSDAVGSDLPSLGGGGGGTDKGTEEPSPA >Et_1B_009726.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:21170406:21173100:1 gene:Et_1B_009726 transcript:Et_1B_009726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGVKAMLARPIQLADEVAKQCAAARSFRLECADLKARADKLAALLRQAARAPDLYDRPAARIMAGATQALTKASALAARCARGHPRLRSLFTLSPAAGFPRALAALDTSLEDVAWLLRISSPHHHDGTGDDDVLLGLPNIAQNEPILFFIWDHVARLHTGSPAARSDSAANLASLARDSQHFAKLIIEEDGVPPLLKLLKEGTDDGQEAAARALGLLGCDPESVDKLVQAGVCSAFATALKEPPMRVQAAVAEAIAMLADRSTTCQELFAQNNAVRYLVGHLASGTIQEHSRYSVGSNSSKNSAAAPQHMKSLHSVVLAKTHSMRHSGDLGTSVDTDEPPRMSNGTAAEQDAKRNQMQSVVHSAMAAKTNTNGSLHPPFRPQLGMSGSSGRGAREAEDPETKAHLKAMAAKALWKLACGHLGVCKSITESRALLCFAVLLEKGDGHMGTQVQYFSAMAIMEIARVAEHSLILRQSAFKPSSPAAKAVVDQLLRVVRKGDYDELLLPCITALGCLARTFTASETRVIAPLVQLLDEREPPVIKEAVVALTKFACKENHLHVNHCKAIVDDGGARHLVQLVYLGDEVQIEALILLCYIALYVPESEELAQAGVLAVLLWASKQAHMVQDMRVEELLPDAKARLELFQSRNSR >Et_8B_058569.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:19313963:19316002:-1 gene:Et_8B_058569 transcript:Et_8B_058569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLARAPATAAVAGVPHRLPTPEPPSPRHPNTAHLNALLTSYGRRGRILDAQQLFDRMPHRDVITWTALLTAYADVGDLTSARLIFDDMPRRNSGSWNALLSLYLRAGRPAAAHALFAKMPGKNAVSYGAIISGLAKAGMLREAREVYEETPQRLRDPVGSNSMMAGYLRAGELGMAMKVFDGMAVKDVISWSAMVDGLCKYGTMSEAKWLFEAMPERNVVSWTSMIRGYVKRGMCRDGLLLFLDMRRQGVQFNTTTLSVVLDACAEACLVGEGIQIHSLIIATGFEMDVFLGDSIIIMYSRFGWMVDARRVFDCMVQKDIVSWNSLITGYVQNNMVEEGHVLFNLMPERDAVSWTSMVVGFATRGWMKESVEFFEQMPGKDEVAWTAVISSFVANGDYVSAVRWFCRMSREEDKSNAATFSCLLSALASMGMLSQGMQAHAYAVNVGWVSDPAVHTSLVTMYAKCGRLTEAYRVFSSIHNPSLVATNSMMTAFAQHGLADDALKLFNRMQDKGQKPNHVTFLGILTACARAGFVQQGYNYFESMRAVYGIEPNSDHHTCMVDLLGRAGFLAEALEMINSMPWKDCPDAWAALLSSSSLHSNLAFAKIAAKKLLEMDPHNAAVYTVLSNMLSAAGMKDDEEMIKGAQLSNMASKSPAYSLIIQDKTSEDQTEHEHF >Et_1B_010626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12205013:12211519:1 gene:Et_1B_010626 transcript:Et_1B_010626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDACFSTQLIDGDGVFNVSGLENFMKEVKLGECGLSYAVVSIMGPQSSGKSTLLNHLFGTNFREMDAFKGRSQTTKGIWLAKAQNIEPCTIVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQTPLENLEPILREDIQKIWDAAPKPHAHKETPLSEFFNVEVVALSSYEEKEELFKEQVSHLRDRFQHSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGNEKLTSFTADEEWQQIEEAVQHDYVPGFGKKLSSLLDRYLSEYDMEAIYFDEGVRASKRQQLESKLLQLVNPAYQSVLGHLRTRTLDAFKESFDKALAKDGFAIAARNCTQAFLEKFDKGSEDAAIHQVKWDSSKIKDKLKREIEAHVASVRTSKLSELCAKYEAQLTKALAEPVEALLDSASEDTWPAIRKLLQRETKAAVSGLESSLAAFELDEATEKELLGKLENHGRSVVESKAKEEAGRVLIRMKDSRDADSMPRVWTGKEDIKAITKTARSASMKLLSQLAAIRLDEDGDNIENTLSLALVDTTRPGTTDRSIQSFDPLASSSWERVPEEKTLITPVQCKSLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWALAAMAILGFNEFMTLLRNPLYLAVIFVVFLVGKAFWVQLDIANEFQNGFLPALLSLSTKFVPTIMNILKRLADEGHRPAAPERQREMELQPTNGSSHSNVTSAGSSSVTASEIGPEYSSPMTK >Et_3A_024818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24530489:24533141:-1 gene:Et_3A_024818 transcript:Et_3A_024818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GHGPTTAVAPRPVHHEPSPGDDAATNVRPPRPVHGRAVEAAWAGATGASATPTERRRRFLGRRRRRSELSGEQNSAFDSKLLLVIPRSYSWTPLVLVLPIAAPLRPFGRPPRSQQRFTLTVLHTDRAFGFRDFNSLRKESMGNFDTLVGLTFGCRNTAPVAEKGKQKSWIGPNGQYYRELPCPSCRGRGYTPCKECGIDRSSLDCPMCNGKGIRMCVQCGGECVIWQESIDELPWEKVRSSSPLKVKEDEEVDRLEIDINTTKRSKRTYPPPSPEVAMKISRSLRSLNAKTGLFTKHMKILHQDPKLHAQRVAAIKRTKGTASARKHASETQKAFFRNPENRIKRSIAMKANVGKKDIEVSIAQQRGKFRAACSSDAEYVGRRGIIAEHVENQNQRKSTSDNLGTAADVVKRDII >Et_4A_035043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:930700:938560:-1 gene:Et_4A_035043 transcript:Et_4A_035043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDHAPRTTPDADKTKKRKKPKKDKWGQPISAATDAEEPLVEPEQEPPVEDVAAEAEAEEASAPAPAAEGYEPGKVVASGLPYSTTEEEIRELFGWYGPIRSVQLSRFPDSGQFRGLAFVCFESEEVATKSLELDGFKMGHRVMKVERCRVTASSNKKRKAEFQTDPDKSEGCLSAYVGNLSWNVTEKDLRDFFKSSKIASIRFAIDKRTGGSRGFCHIDFQDDESLEKAVAMNQSELQGRPVKIAYSDKPLTLSEEEEREKARGREANRNSNSESERRTLLHPPPHPPPPDPQIPPFFPSMDHHHHQGAPPSPMQNSAAASSKPPTPTSTPNSRLASLPFSRPSSTPSHPSTPASAASPASRTIYSDRFIPSRTGSNLALFDLAPSPSPHDTAAASGTTASSGSATATSPYCALLRAALFGPDTPDRVGSSATACSSSSSAAASPIGTPATGNIFRFKAEVPRNAKRALFSGGDEEDALYPGVFSTRGAGPRKIARSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWNACSSKVTKLCDLGADDNVCSVGWAQRGTHLAVGTNQGKVQIWDATRCKRIRTMESHRMRVGALAWSSSLLSSGSRDKSILHHDIRAQEDYVSKLTGHKSEVCGLKWSYDNRQLASGGNDNRHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNTHLSCMDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQSSDSLSCVGATSYARSYIRPKEVGDLFGSQ >Et_2A_015451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13102442:13105063:-1 gene:Et_2A_015451 transcript:Et_2A_015451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGCGLLKVVVARGRNLAVRDFTSSDPYVIVRVGDTTAKTKVINSCLNPVWNEEMAFSMKEPSGVIKFEMFDWDRFKYDDKMGHAFLDLQPVAAATKLRRALRLTAGETKLRKVVPDDDNCLLSDTFVTYNDGEILLDARLQLRDVESGELFVTVKWIEADNAK >Et_9B_063683.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18962396:18962845:-1 gene:Et_9B_063683 transcript:Et_9B_063683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQARNQTRQSRSLLVVRHRVAPSSCAKDLTISEGTGATFLFNFHSRVSICFHALFFETSKHEMDKFLLLGTHTRKRIMVALPLTTSFSFMNFIVIEIHVLPRQNFELTILLPNRQRLTSVERLIHSDRYEDPTPLHCQNPCFIFEAG >Et_4A_034064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29649279:29650281:1 gene:Et_4A_034064 transcript:Et_4A_034064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVADAPVPLAEAAPDAPTEATAAAAGDAKTSKAKKAAAPRKRTNPTHPPYAEMISEAITSLKERTGSSQVAIAKFVEDKQKDKLPPNFRKLLLVQLKKLVASGKLTKVKNSYKLPSTRAPAAEKPKPKPAAKAKPAAAAKPKAKTKPAAKTKPAAKPKAKAPAKAKPAAKPKAAAKPAAKPKAAAAKPKAAAKPAAKTKAAPKPKAKPAAKPKAAAAKPKAATKTKATSAPSRTRPAKAAKTSAKDTPGKKAAPAAKKPAAAVKKSPAKKAAPAKKAATPSRKVPARKAKK >Et_9A_061514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12908092:12910411:1 gene:Et_9A_061514 transcript:Et_9A_061514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKLGSPGQRRGIAFIRKESCLKFVHLEVRDVRLRAKADKTGAPYFRTDNWVVTTWTKSKMTNTFDDWHPDCEVEASDITIDDPAVSQALDSVLLRRPQGNGDAVEKLALNNLSMSQPTVSVSSNHVVFLVARQKFMDPQAWILAVDVKNHKLEAVVKLGDQCHYGAAVLYFPSRIPRHLNPMEFIDRATTPAFRSAVSVFSGMPLGHGAMLLGKMGRTRAAWGGERHGRLQHES >Et_2A_017379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33770576:33773111:-1 gene:Et_2A_017379 transcript:Et_2A_017379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASRRSDNPVGFLRRGSGISIRNQSNEERPTQYSNKAGKTTNLNPMKARWAGNKEKPRFLHEPFHSPTYKVSSASSSKAPVRKYYDEKQKRPFLAEVDNAESSNRRTEIRRLQTGKKAVVYEDEHSYTRHSGPEGSSSSTITEGCLPEEPDPDVLETLVSSGTSALTVDSTVNTASSTKPRRQKDKEEPSSGRSQGACTFVRQPNVPQISTVGIKSPNSSGTGVQRRGLKNLGCTSISDVLPSGCSSSDSIYNRRVEVRKKRISDGETSSRSRASRQASVGDPPAIYPGITAYVRRNTLRVKKLVRCNVTISIMSAAFMNGLDRRTGVQYAKLQQYLRRWTRRLHES >Et_4A_034931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7952103:7959814:-1 gene:Et_4A_034931 transcript:Et_4A_034931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSLWAVAAAVLVVVLDIVVRMAHGWARESSLGVDRRARLPPGDMGWPFVGAMWSFLWSFKSGKPDAFIGSFIQRFGRTGIYRAFMFSSPTILITSPDACKRVLTDDDSFVEGWPKATVTLMGRYSFMNLSHEEHSRLRKLTAAPINGFDALTTYLAFIDRTVVSSLRRWSEAGEVEFLTEVRRMSFKIIVQIFMSAADDATMVALERSYTDLNHGIRAMAINLPGFAFHKALKARKKLVSVLQGVLNERRAAAAKGLSRSSRDMMDRLVEVYDEHGRRLSDEQIIDILILYLNAGHESSGHITMWATLFLQENPEIFAKAKAEQEEIVRRIPPTQKGLTLRDFRKMKYLSQVVDETLRFVNISFVSFREATKDISVNGLLAKTRNIPGFWTRLTRTNPNCRIRYLPHLRPVDNCLAEITKAGEIKFLTELRQMTFKIIVEIFMGAADDATMAALERSYTELNRGIRAVAINLPGFAFHKALKARKKLVSVLQGVMNERRTAAAKGISRSSRDMMDRLVEVEDEHGRRLEDEAIIDILILYLNAGHESSGHITMWGTLFLQENPEIFARAKAEQEDIVRSIPSTQRGLTLRDFRKMKYLSQVIDETLRFVNVSFVSFREATKDVSVNGYLIPKGWKVQLWSRSVHMDPEVYPEPRRFNPSRWEGYLPKPGTFMPFGLGTRLCPGNGLAKLEISVFLHHLLLGYKISRKNPNCRIRYLPHPSPVDNCLAEITKLNCANVAMTT >Et_3A_026485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8675037:8681114:1 gene:Et_3A_026485 transcript:Et_3A_026485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPARTLADLDGDVLAHCAGYLGARDVATLAMTCRPLRAAAYSDAVWYRLFRSDQWPYQHVPCGTVRLRDHYIYRHTEVHQMKFDDPTSCAYYFPPTEGPVARKLSVGLPLPEVVETHRSHGARITCMRFVPVIDTPLFRSDTQASEKALLTSSTDRTIRLCWKGNSRCFKGHSGPVTALADKLLVDGEFKVLASGGEDCTIRLWSMSTRAKNRPLVATLHGHEKSLSFLSVAWHKSSLLVSSSKDSKVRVWDTMAPSSGSSSCVGSTQLSSTGPPVAMKCHQSLCYIATGSEVTAIDLRTMKKASVLALHNHKILSCEMLPSEWLICTGTKDKALLWDIRKSQELSNRVAELHSDGPVKLLHLDSYKVVTGVPSDEEVHVWETRTGDLLNTLSCGGPVQSVGRSTVSAIAVNGCRIAMAGTSAEGSFVHYQDFLKSSVPVSLPGKEVSKFWRPQQSDNTDDDSEDEDY >Et_6A_046410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14609494:14612049:1 gene:Et_6A_046410 transcript:Et_6A_046410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSELARFQPGLLIDCTDYNMGTENCRWSYLTESCDQANEIEDLERIFVNASAEPTRIAYAVIRSITKNFVELIGRGGFGEVYMGALQSGMVAVKKPLQSQEISDKQFLDEVNCLIRVKHQNIVRFLGYCADTQGELMEIDGKYRIVEVPQRIFCFEYAPNGNLHHYLKEKSRGYNWNTHYRIVKGICQGLQYLHQKRIIHLDLKPENVLLGPNMEAKITDFGLSRCFDEEQSRILTKNFGGTFGYIAPEIIENGEISFKADIFSLGIIMKDLLTVSNDNITENVRITYLLHITVYSLSFFKEGGVGGGGLCLCRKYYVHDNLTLIAGSNTHEP >Et_2B_020773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23473962:23476524:-1 gene:Et_2B_020773 transcript:Et_2B_020773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGDGKGRVATAAVGGGGYGYGYEGFDDRKWWPWLVPTVIVACIAVFGVEMYENNCPKHGSALGGCVAGFLRRFSFQPLRENPLLGPSSSTLEKMGALDWNKIVHQHQGWRLISCIWLHVGLIHLIVNMLSLLFIGIRLEQQFGFVRIGAIYLVSGFGGSVLSALFLRNNAISVGASGALFGLLGSMLSELLMNWTIYSNKAAAILTLLFIIVINLAIGILPHVDNFAHIGGFVTGFLLGFVLLVRPQFGWMERHELPQTSQPPKYKAYQYVLWVVAFVLLLIGFVISLVMLFKGKNGYDSCHWCHYLNCVPTSRWKCNA >Et_6B_049831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14237667:14242117:1 gene:Et_6B_049831 transcript:Et_6B_049831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGRGGGGRFGGGGGRGGGGGRGGRFGGGGGFRDEGPPAEVVGGCSRHSLLIKLRFKSLVAADSLIWLWVLSLFLAEVSTFLHACEGDAVTKLTNEKVPYFNAPIYLQNKTQIGKVDEIFGPINESYFSVKMMEGIIATSYKEGDKFYIDPMKLLPLSRFLPQPKYDTIFWDNRREHQEVVAVVEEGVAAVVAGVRSEVEEVHQGAAVGLQGVVVVLEGEAGSSFCKQRCTTWLPLGSVIRRIAPGLNADITFKACSGSAEVIPWLIPTQILRTEIEPFAEGEILIDLKELTPSGLIRVLSG >Et_3B_028466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15701616:15704816:-1 gene:Et_3B_028466 transcript:Et_3B_028466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRKSEADLTVYVHPANAGDVRRAVARQLSSLLFTYEDRFDGVLLAHEFTIGSRKEKKDKEDNSGKEDNMDKQENVKGKILNGLVPYFGVPVQATLLLFSPQPNMMLEGTVEMLGKESIHAIILGVFSAAIMSDDIHEKFKFKRKGDGGRFVSRSDKNHVIKKGSMIRFSVKRVDTEMNCHITGSLIPPHTGSMLWLSLHDDEYASGINRDKRRSRDTNIKVDQDEQVYGEVDKQDVVRNSERPHKFRKRSFEER >Et_2B_019128.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:12291475:12291723:1 gene:Et_2B_019128 transcript:Et_2B_019128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKYWEMRTKQAEDEAREREQALTRAPDYSVQKCFSVLNIMLVTIAEKAKAYAVFKSNINREIFLSACSEDEEAALIWLRS >Et_6A_046580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17677204:17692850:1 gene:Et_6A_046580 transcript:Et_6A_046580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMSAPSATRCWRGGSWRGRGVRTSSMGETGDFLIRGEKRQETKQTESDRPSAFESDKAVQYLLRFCRRRSTKLAPFLFVASVGLREDSEAMEIGEPAARNGKRTSSPEEMAVAVPLGDGVLGDGGEEFSDEDEELYDTDLDSDTDSDSDSDIEFEEEDDDPDLEFGPDGESAAGISSTDVTVVPVDFLGTKARFAAVGNTAGFMLLGAFPAESDHGGGGEITVHYRYARFTRDGGSGDGDGVELYGGGGKLHTVRFLVPRHGFTDPVSSLRLAGATLADMAHPRGLTAQLQLLWSTLVAAAPVCVPPWAARLEVTVSAGILLVRRRDRTPERMAAMRAALAAEPHVRPSLRGVGVVVTSLPAPVVTRADEADDARPAKRMRRLAGASAEEECAICFEVMESGLAAWPRCAHVFHGACLERLLVRGDQRCPLCRSELNGPGQRLYGGGGKLHTVHFLVPRHAFTADPASSLRLAGAALSDLLYPRRFAAKLQTLWSTLVAAAPVRIPPWSGIGTTRRSAWRACTRRWRPRPTCGCPSPASAWWSCHFRRRWAIKDMISFAGQLQALWSHLVAAAPVPRGLPPRVEVTISVGILRPRDRTPERMVSMRPALVAMERDARAWPFLRNVGAVMLLPAPVMRADEKDSDDDARPAKRRRLARRLGGVRHLQRDIGEGLRGVAAVRARLVVFHGECLDLLLAMEIGEPAACVATPCDGKRTSSPEEMAVAVPLGDVLREDGDADEEFSDEDEELYDTDDVDSDSEFEFEDDDEDPDLELGPDGESAAGISSTDMTVVPVEFLGTKARFASVGNTAGFMLLGAFPPAEADQSDHGGDGEITVHYRNARFTSDDESGGDGVELYGGEGKLHTVRFLVPCSPLAADPWPAPPWRTCCTRAASPRGSRLCGPPWSRRHR >Et_3A_025711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32068997:32073361:1 gene:Et_3A_025711 transcript:Et_3A_025711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIKPPYSGPDARAFPQTCLSTNSGGGGSYYSPASHLVELEGMRILLDCPVDLSTLAAFAPVPLTGDADGLVRAVPRYWAPAAAAATKAGGVDAVLVSSATGMLGLPFLTRLPGFANTKVYGTEVAVRIGKLMMEELVEMHREFVRYYGPDTVVSPDWMEGEKLNELLSLLQKAAIEDEGKDLTSLMPLYSPANIEECMQKIKTVKYGEEVCFNGILMLQSSSSGLELGNCVWSIKGLRASITYLPSSIFVSAHALDFDYGSLKENDIILFSDLSSLNDMDKDNEKLDEHAMDDTDENSSYLSTNDDIKEEIERISFICSCIIDAIQSGGSVLIPIGRLGVVLLLLEQLSELLNSSNMKVPIFMISESAGEIIPYTNALPEWLCKSRQEKLFSGEALFGHVELLKEGKIFLFPHLFSKGLLAAWKDPCIVFCPHWSLRLGPAVHLLRRWHADKRCLLVLEQGIDAELSLKPFMPLAIQVLECSFLSGVKVGKIDPLLGVLKPKFVLLPEDLKSLCPLQERPWSFLYYYRGQTIEVPNIREDFEVHLATDVAFGLLPRQLNETTAVARLRSKLLVSDGQYVLAAAEKPKNKSKQHLLHWGAADPDRLLSTLQEKGMACSFAANDDNSAGSEHTIVITRPGDALVKITSDKTRICCDDEKTSQHIYDALSSVCNGI >Et_3A_026238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5899595:5906818:-1 gene:Et_3A_026238 transcript:Et_3A_026238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSNVFDPFSLDLWDPFDNMFRSIVPSASSDADTAAFANARIDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVISGERSKEKEDKNDKWHRVERSSGQFMRRFRLPENAKVDQVKAGLENGVLTVTVPKAEVKKPEVKAIEISGNEDAARMCMWRRFWSMQSITQIKAYSLLPTNLPIHQHSHSLAAMSLVRRSSVFDPFADFWEPFDVFRSVMPAAASSDRDTAAFANARIDWKETPEAHVIKADIPGVKKEEVKVEVEDGNVLVISGERSKEKEDKNDKWHRVERSSGKFMRRFRLPKNAKVEEMKAGLENGVLTVTVPKAEVKKPEVKAIEISG >Et_7A_052119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4806452:4808579:-1 gene:Et_7A_052119 transcript:Et_7A_052119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNGPASSPMVMYPICFPGAFPQQAGDDQAQGPGIYAIEQNPLAAAMGMGAFAPTTLVPLTYKIPTESVGPPGGEEHGQEARQQNGPQRQVVVRRFHFAFQLDLALIIKLAAVVFLFSQEGSKQRLFLLILFASLIYLYQTGAITPFLRWLQRAGGAAARPPQAPARPPQAPGDAQNDGNDQTRDPANPDQAAENQEPGGGVDNENQQGAEGEGNRRNWLGGILREVQLVVVGFVASLLPGFQHHD >Et_5A_040825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13052303:13066164:1 gene:Et_5A_040825 transcript:Et_5A_040825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELNHDFDVPSAELNAIKFDLMTSAGMEKLSSTSIVEPKDVTGPKLGLPNCSPQCDTCGAQNTRDCDGHFGVIELAATVYNPYFIDEVVQILNLICPGCLNPKENANMKISERAPVKQSCKYCSASACLDKFVCVSSTSSIETCIFAVQKDGAKTYPTVTFKTLSSPRVLLSKTKLNRSSSLMERTSIVAEAVDRVSIKGKGSTEVLPQDFWDFLPSEHQTQPNASKIILSPYQVFHMLKKVDPILIKKFISRPELLFLSSLPVTPNRHRVVEIGYGLSDGPRLTFDDRTKAYRRMVEVSKRIDDYRQHPQFSLYSKKTDGDTSTDTYGMKWLKDAVLSKRSDNVFRSPVVGDPKIKLYEIGIPENLASNLLVSDQVSCYNLESINLKCNLHLRSKEELFIRRDGKLMIVRNANQLEIGDIAHRPLQDGDLILINRPPSVHQHSLIALSAKILPMESVVSINPLCCAPFMGDFDGDCLHGYVPQLIQTRTELGELVSLHHQLLNMQDGRSLVSLTHDSLAAAYLLTGTDIFLTKSDVQQLQMMCLSVSSMPVPAIIQTMNSQGPLWTGKQFFSMLLPSDMNFNYDQKLCIEDGELLTCSSGSKWLQNSTSGLFSVMFEQYGSRALDLLSSAQEVLCEFLTMKCLSVSLSDLYMSSDYYSRRKLTEGVKLALDEAEEALRIKNILKCYDDNEKVSCSYSESNSIQSYSVMAFKDVFNDLLKMVQQHVSNDNSIMAMINSGSKGNMLKYAQQTACVGLQLPASKYPFTIPSQLSCVCWNKQKLSDCEIMEDSNENLGGQNLYAVIRSSFIEGLNPLECLIHAISGRANFFSENATVPGTLTRKLMYHLRDLHVSYDGTVRSSYGQQIMQFSYDSAGEMYCDRGPVGEIGAPVGCWAAGSISEAAYGALEQPEIFKFHKATNSQDLVGLLFLSKKLKKFRFGMEYASLEVKNHLERVDFCDLVETIMILYDGCEKAREGSPWTTHFHLSQEMMKKRRLGLRSVVEELTTQLNRLIPSICISKRKCLVGDGCTESPACCVTVVVQAESDSISQLKSLKNREIPIILRTLLKGFLEFKDVEIQCHNDSDLAVKVAMSEHCKTGKFWATLQNACIPIMELIDWEHSQPQSIYDIFFSYGIDAAWNYFVQSLRSTTADVGRIIRREHLLIVANNLSVSGQFHGLSSQGLKQQRNRLSVPSPFSEACFSRPVQSFINAAKRSSVDNLCGSLDAISWGKEPFNGTSGPFEILHSGKSHEPKQKQSIYEFLCNPEVGNFEKDRMDSCKQSTEKDCMDTLSIDQDLLHAKVGIWDNINFMRACLQNILREYPLNGLVKEPEKSHLMEALKFHPRADEKIGSGVREFKVIGLNPNHSRTRCFILQRNDCTTEDFSYNKCVLGAANSISPQLRSYLEKKLYHRA >Et_3B_028178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11377504:11381735:-1 gene:Et_3B_028178 transcript:Et_3B_028178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSPSCSSGGQQAGPVAFSVDLAAAARRLLAFLRAAPAGVGPRSVRRYEELWLPLAAGAGEAAMLVPPRDVQLVWLCHCFHHESYASYCTSRFGRLIDRPSILDAENEEYAEDCCRDVWAARYPSEPFDLDSNEIEGSTGVNISYDNANVEIVEMVRRYAGLPARFASPFLREGVYHVAAKRRYIRFLDLIKKVACTTTELRRLVPSLDILLMWLSHQSFPASYATDMASIAMKENVAKLIVSFGEEVGEEVLEWTRELWEEAYDEPYDMAGSEVDAAAVSAAREAFYWEPAASEEDANRLYKSLQPRFVMEVYVFLKGEYHKEHITKDFLRLRTQRCHRSLKLNKSLSNISCKNWQKTWHMYCEFATRGIILEVRRTTSGCFRNSKILKSISFSWSDMLHEKELAIKEDLDARMRAMASITPPVQAPYLLKCVPDRVTDDGGAMISDVILRMRGYRPQEGRWLTRTVLDHGGRECFVIRMRIGRGIWRRGAETPMALKWEDRSIEVREGSWSYIASTSSIGYAPEKVVGTATATKDQHDNKVTWRFSTGDILTIGLGDDLSFQLKDESSEDKARLLVGRRLSYKVRKDSSLNNHSDEEQYLTLVRKSPDHHGARATMLLNWKLLAVEFLPEEDAVFVLLLCMAVARTMTEIRREDVAGLLVRRRLGEARAGQRDWGSVMLPNLPISDPHLQPWYRNAAQVLRSAETGVMLTKYSPADGKDELYRQSLIH >Et_7A_050687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10075104:10078859:-1 gene:Et_7A_050687 transcript:Et_7A_050687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVRRSTMVRPARETPRQRLWNSNLDLVVPRFHTPSVYFYRRPEGGAAAAAPEGFFDAERMRRALAEALVPFYPMAGRLARDEDGRVEIDCNGEGVLFAEADAPDAAVDDYGDFAPTMDLKRLIPAVDYTDDISAFPLLVLQVSSVLSDLLQMWRRLLGVGMQHHAADGMSGLHFINSWSDLCRGAQISVMPVIDRTLLRARDPPTPSFHHIEYQPAPAMLSSTPQALTSKSSPPATAVDIFKLTRSDLGRLRSQLPTGEGAPRFNTYAVIAAHVWKCVSLARSLPPEQPTKLYCATDGRQRLQPPLPEGYFGNVIFTATPLAEAGKVTGGLAEGAAVIQAALDRMDSDYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSASGDGSLSIAISLQAEHMEKFRKLIFDM >Et_10B_003864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6955677:6957045:-1 gene:Et_10B_003864 transcript:Et_10B_003864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAHPVNPRRLAAAAAATPATPPSAGAVVVRRSAPWSRLLHFTICLHASRLLIPARIDYSSSRTEEMSRDWSLLVPDAVREIADKLHAADVTEYMRLRAVCTPWRSATADPRLLRPQFLPRNWLMLRDDLVVMLGVEDEDGDYEAVEASAPAPEEGRRFVNVRTGATLGIRLPPAEEYGEVLTSGGAEGLLLLRSERTDTVRVLNPLTSAMAVLPGLRMVVHRPARFVSAGVVFDGDSPTVLLVGAARRSCTPSPGTVDISCVDLGFFHGGLSFRGQFYASTRHGDLLKVVLAPRPQLVYLARNPAGPGCTCGMPGFRSFLVPSLEKKIRVVKSHRTMLHKK >Et_9A_062953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7371879:7374028:-1 gene:Et_9A_062953 transcript:Et_9A_062953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAELANGLHDSAGALEEGRGGDQARCESSEQDGLSSNRPMFSVQFVQKILAEIFGTYFLIFAGCAAVAVNLRTGGTVTFPGICIVWGLAVMVMVYSVGHISGAHLNPAVSIAFATCGRFPWKQVPAYAAAQMLGSTAASLTLRLLFDNSRAHFFGTLPAGSDVQSLVIEFIISFNLMFVVSGVATDNRAIGELAGLAVGATVLLNVLFAGPISGASMNPARTLGPAIVVGRYAGIWVYFAGPICGTVAGAWAYNLIRFTDKPLREITRSSSFLRSARRN >Et_2B_021340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28871098:28876150:-1 gene:Et_2B_021340 transcript:Et_2B_021340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPGGGPLPAAVADAMEVDPPRASADEKHGATIMEGSDAVTGHIISTTIGGKNDEPKRTISYMAERVVGTGSFGVVFQAKCIETGETVAIKKVLQDKRYKNRELQIMRSIDHCNVISLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYKDMKQRMPLIYVKLYMYQIFRGLAYIHNIPGVCHRDIKPQNILVDPLSHKVKVCDFGSAKALVKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFKFPQIKACPWHKIFHKRMPPEAIDLVSRLLQYSPNLRCSALEACAHSFFDELREPHARLPNGRSFPPLFNFKQELANAPPELVSRLLPEHARRHSGLSSLFAAGP >Et_8B_060211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7528598:7532128:1 gene:Et_8B_060211 transcript:Et_8B_060211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALSRFSHWIWPGSGRRRACPLPAGSTAITSGLFPDSPSGFREPGAVGLPSPGGGARPRKGKSRRRGGRREARVDREHDMVIVPSDGDGGEGLSDSDSDCSDWSIGWLEPHAPELQSDGDSDSSFAVLVPCYRRVEQTGRGGVTGGISFGEHTYSTLLHLMFNTVLHNLTWQVVRQGYLVVVEQGVVCVSCWSGSWGSVVLEQRRWLVVEQGRALCSSRSGALGGRWARGRQHLLQLATEDPSRIETKITFCRSSRKLFL >Et_10A_000860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18310202:18314003:1 gene:Et_10A_000860 transcript:Et_10A_000860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGFVAQPPVVRTPEEVFRDYRARRAGLIRALTTDVQKFYTTCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMNEKDWLSLVAVHSDAWLLSIAFYFGARFGFDKESRRRLFSMINNLPTIYEIVTGTAKKESKEKTPKSNSKSNKSGSKPSRQSESNSRGSKVRPPKDEEESEGEDQHEDHESATCGACFQNHGQDMFWICCDLCEKWYHGSCVKITPAKAEHMKQYKCPSCSSSSKRAKSSA >Et_9B_064770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18459411:18465689:-1 gene:Et_9B_064770 transcript:Et_9B_064770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSAASPVSTLPFLLQCLFSSLNLAAATALAARIVVPLCSLGLPSALRVDTILDKENFTLEELLDEDEIIQECKALNTRLINFLRDKAQVEQLLRYIVEEVPEDLEKKRSFKFPFIACEIFTCEIEIILRTLVEDDEEHPDIVIQLVDLIGITSIMEVLMRLIGADETIYSNFADTLQWLENTDALEMIVDKFSSSDSPEVHSNAAEILSAVTRCAPPVLAAKISSPSFVGRLFRHALEESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGTLVTASPETVNGMLESLGDLLKLLDITSSENVLPTTYGNLRPPLGKHRLKIVEFISVLLTIGSETAERELINQSAIKRSIDLFFQYPYNNFLHHHVENIIISSLEVKRNHLIDHVLNDCDLVGKVLAAEKNSTLSADSSGPTFLVEGKKPPRIGNIGHITRIANKLIQLGNCNSIIQSHLQENSEWVDWQADVLVKRNEVENVYHWACGRPNSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDIEEAQGSHERDDEDVYFDDESAEVVMSSLRMGDDQDSSSLFTNSNWFTFDGDRGINDRLAASVPSSSPNSEETSLNTEDTDEVLTSEATGIDLQLGSVCLENGPAEETEELTVAKHTDISTDGEKFLFTEEENSSEEPEASERPVDVQGDQVDAQAGDAAEVSCGEMGTESTADESASSSEPGIASANSVDIGSNEPEHDSETGLQVEGDSSVEGGDERKPDAAIAKE >Et_1B_013304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7129290:7134003:-1 gene:Et_1B_013304 transcript:Et_1B_013304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPASTSPLAAAAAALPNPLVGRFPRRLLRVSCQENPDRSARSGNASSASPAPQPRWRVAVSAALAAAVVAAMPAYADLNKFEAEQRGEFGIGSAAQFGSADLKKAVHVNENFRRANFTAADMRESDFSGSTFNGAYLEKAVAYKANFTGADLSDTLMDRMVLNEANLTNAILVRSVLTRSDLGGAIIEGADFSDAVIDLPQKQALCKYASGTNPLTGVSTRKSLGCGNSRRNAYGSPSSPLLSAPPQKLLDRDGFCDSATGVGPLDAVYRLDIGSNDSAEKSFVSSAFGSVVMLQQNQELFPHVSLGSA >Et_2A_017158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31489570:31498034:1 gene:Et_2A_017158 transcript:Et_2A_017158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLMVDRVHSSLRLFMHRNAVFLCERLCAQFPSETNVQLLATCYLHNNQPYAAYHILKGKKLPESRYLFALSCFRMNLLREAEETLSPVNEPNMEVPSGATGHFLLGVIYRCTGRISAAAEQFTQALTLDPLLWAAYEELCILGVAEDADECFSEATALRLQQEHTSTSCLENSKFANENRVLPSNVSASLEDISPKQVKHLHANNMSEVPGYSHGRATALHVQNSSSSNVAQFDTPSPTATQTSGIMPPPLFRNAHAYQNTISGDAPTIQKANAANQPLRRKFLDEARLKRVSGRLFNQSSDSVPRRSLRLSRDTTLNSNSNISQFGGNGTDHASGSRYEVIDEMCTDNIPAASSSISSADGRFFEQDKVERLSQDSKLAVGIRELLGLLRTLGEGFRLSCLFKCQEALEAYRKLPEQQFKTGWVLCQVGKAYFELVDYLEADHYFELAHRLSPCTLDGMDVYSTVLYHLNEEMRLSYLAQELISIDRLSPQAWCAVGNCFALRKDHETALKNFQRAVQLDSRFAYAHTLCGHEYSALEDYENSIKFYRCALQVDERHYNAWYGLGVVYLRQEKFEFAEHHFRRAFQINPRSSVLMCYLGMALHSLKRNEDALEMMEKAIFADKKNPLPKYQKALILLGLQRYPEALDELERLKEIAPHESSMYALMGKIYKQLNILDKAVFCFGIALDLKPPAADLAIIKSAMEKVHLPDELMEDDI >Et_7A_053024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5011243:5012090:-1 gene:Et_7A_053024 transcript:Et_7A_053024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRKHQQQGVVAAAVEPSVAKRMWRVLRAVLYMLPLPSRGGSEGEAAPRPTEQMWHWTFKDEPPVTPPFASNYVSGYAVHPDGRTVFMSVRLYRPDPGRTIPFYGDRSSTYAFDVETRKFTYVGEWVLPFRGQACYDAELDAWVGLYLYNEQEGVGRVCCCDVVPPDATDMPAWKLGVDVLFQHDDLHSGATLVYMDGDDSKFCLVELRHRAPADDGVCPRPRFRDVNITSFTLKYDKEGNLRTGRRRGHASMWYQVDHQDFHPANDHPVAFWM >Et_5B_045029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8551979:8563214:-1 gene:Et_5B_045029 transcript:Et_5B_045029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASPLLQSTVKREPPATSGAHAGSRELDAILGEEATPWARRTWRGAAAELPLLLLRVALPAVAVYMINYFMFVATQIFCGQLGNLELAAASLGTTGVQAFAYGLLALLSGAGELPWPRRMAAAAALELRLLTPLAAPAVVVYMLIIVMSSATQIFCGQLGNVQLAAASLGNNGIQVFAYGLMLGMGSAVETLCGQAHGAEKYEMLGVYLQRSTVLLMATGIPLAVVYAFSEPILLLLGQSPEIAGAAAEFAYGLIPQIFAYAANFPIQKFLQAQSIVAPSAYILAASFALHLPLSWAAVYVLGLGLFGASLTLSVTWWVLVAGQFAYIVWSPRCRATWTGFTWAAFADLPAFAGLSVASAVMLALEVWYFQVLILLAGMLPDPEVALDSLTVCTSIQSWVFMISVGFNAAASVRVGNELGAGNPRSAEFSAWMVTVLSAFVSAIAGLVTFLLRDKLSYIFTGGEVVSHAVADLSPLLVGTIVLCGIQPVLSG >Et_4B_037133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15091340:15095232:1 gene:Et_4B_037133 transcript:Et_4B_037133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSATITCTSILFLLLLPPCASDDRILPGKPLSPGATIVSEDGSFALGFFSPSTSSPEKLYLGIWYNDIPEFTVVWVANRETPVTNSSSTSPAPTLFLPANASDLVLSDADGRVVWTTNITGSVDTAAPASPAAAAVLLNTGDLVVRSPNGTALWQSFEHPSDTLLPGMKIRVRYATRSGERLVSWKSPDDPSPGSFSFGADPDRIIQLVLLWRNGTRPVTVMRSAPWTGYMVASQYQQVSAANSSSPVYVVFVNTDDEIYLTYSLADGAPRATRYVLTHAGEYQLQTWDNRSGAAAWAVLGSWPAAGGACGRYGRCGPNGYCDGTGGAAKPACRCLDGFEPASSEEWIGGGGNFTRGCRRTVALRCGGDGFVALPGMKTPDGFVRVGNTSADECAAACRRNCSCVAYAYANLRLSSSASTGNVTRCLVWSGELIDTAKMGDVVGSDTLYLRVAGAIHAGVYLAPFSSSQSSFLSLSVHCLFLLWAPHMHNTNRTDYIAQCSDPCRRLPSVVQAQRYQSAFAHVCHVLLDRRHESLIFQILGAGELGNRKTRRELIFGGMDTSDKFGTRNPAQDFVLPFVRYDDIVSATHNFSEACKIGQGGFGKVYMGMLGGKEVAIKRLSKDSEQGTEEFRNEVILIAKLQHRNLVRLLGCSVKGDERILIYEYLPNKSLDATLFDNSRKMLLDWPTRFSIIKGVARGLLYLHQDSRLTIIHRDLKAANVLLDTEMRPKIADFGMARIFSDCQIKANTKRVVGTYNLTRFHKLVYDKIQCHIIIYKAKWSNSGYMAPEYALEGVFSIKSDVYSFGVLLLELITGTRRSSITHIMGFPNLIIYAWNMWKERRTKDLADPSIMDTCLVDEVSLCSHVALLCVQDNPDDRPTMSSVVYALDNGSNALTIPNRPAYFAQRSNEIEPISGEIQNSTNSFTITVVEGRV >Et_2A_015233.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:9823498:9823818:1 gene:Et_2A_015233 transcript:Et_2A_015233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVAALVSRLQRAAKRMGKAKGSPVTWRKAFSMPPSPARKARRDAEGGLWRKEILMGERCQPLDFSGVIYYDAEGRRLAHPPPPRSPMRSPLPASPRLVASVGRY >Et_1A_009603.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:9195123:9196535:1 gene:Et_1A_009603 transcript:Et_1A_009603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAKTGRGRVRLNVGGRVFETTADTLASAGRDTMLGAMLDASWNAGGHDPEAEYFIDRDPACFAVLLDLLRTGALHLPPGLPETTLCREALYYGLLDRVRAARKGEFDGDRLRLAASVPGRAPGDGTAVRAAPDGGCCVAHGGAVRVYNWLLEERRPVYLDHAPVNDAAYLDAATLLVAARESPGNHRRSDGSAGGGGVAAFSALTGELRHRFRVAHGRQPRSFTAGALELDGSRNTRVFASCKGRLNEYGVGVWDSATGEQSDFFYEPPGCALGDADKLQWLDTTNTLMAATMFPRADASSLALLDFRDKRVVWSWTDAGTPASLDEKHAVHAVALEDGRTVCVVNQYDDLGFLDLRKNAGGVRWSARSKLLASGGGGKKKKDAAARGYEQETCYPKLAAHGGRLFASTNDAISVFSGPDHVLTSTLRSTNGGGAICDFSIGGDRLFALHNEENVFDVWETPPPAII >Et_7A_052706.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:13334824:13336167:1 gene:Et_7A_052706 transcript:Et_7A_052706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKETAMRLPPQHQGLEVKIPSFFRCPISLDVMRSPVSLCTGVTYDRASIQRWLDSGNTTCPATMLPLPSTDLVPNLTLRSLISHWAAASASYSPTAAGSADSAVRRSPASLVRMVASSGADPSSALRQLAAHLSDDDVDDFEKNALVGAGGAAEAVASVLRRKGEEEASVEAVEASVRVLAGIVASDGIEDANKKRVAAGLAADAAASARSLARAMRRGTGGLESRVDAARLAELLLANAASADEAARAAAAASSELVAELVRLVGAVDEKGALDSGALDAGLSCLATIADGGASRRAARGEMVRLGAVPAAARALRAATEPAASAKALRVLEAAVGCAEGRAALCQDAEDAIPAVVGKMMKAGRDGAEAAVAVLWAVCHRYRDRRAVDAAAAADGGLTKLLLLMQSGCSPPARQMALELLKIYKVNAKSCLAGYDSKTTHIMPF >Et_1B_013579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9699386:9702292:1 gene:Et_1B_013579 transcript:Et_1B_013579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKEVDGRVGWAAQPLDVEKNGRSDNGGGRKPPAMGIVRLFLACMVSGGIQYGWALQLSLLSPYSQTLGISHSLVSLTWICGPIAGFVVQPIVGYYSDRCTAKMGRRRPFILAGCIIICLSVMVIGFSADIGSRLGDTKENCSVYNGKRQSAAYVYILGFWFLDFANNTVQGPARAMMADLSAGAQLGPNVGQAIFSIWMALGSVLGYLSGANAKWHVWFPFLKTAACCDACANLKGAFFTAVVLIIISMTVTLCVANEEQHTKDDLDKSEGGVLSAFADLFKSLKNLPPSMYKVLAVTAVTWLSWFPFFQYNTDWMAREIFHGEPQGSIAKENAYNAGVREGAIGLLFCSVALGVASFLIPKLCRKLTSRVVWAISNLMVFALLFAMVAIGLVSTKGYNSTLTAGLYEPDKTLKGVALAMFALIGIPQAVLFSVPWAVASEVATEEGGGQGLTIGVLNIAIVVPQLVIALTAGPIDGAFNKGNTPAFGIGGVFALICAVMAVTLLPKTRGLSGTAVMAGGH >Et_1A_007512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35419758:35430128:1 gene:Et_1A_007512 transcript:Et_1A_007512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTNTDGPQLNEVGRQLPHPEGIHLKNTALYDGMQFMQTFDLAHCLAMRQQFTSMDRDITADGNVSQRQSHLRRKRDLPQTTALPMFTENREFGSGNIFMDPSFFNKANEPGLNENKSSLKPPKFLVENSKRPHHRTVDLPVQCGDFFITSLGEIDNRTSYHNSYQIWPVGFTSYWHDRVTGSLFECEVCDGGNFGPMFIVRRLPCSLFPLPDASTILCPNAVRMADTIETKESSSLIEDTATDTDDNILTLISEPSESNQDILSCLSNDMNGKRTSGCSNIPSSNIAMPNIWSQYGASSQTLTKEANLHDRIGEFTFEGTSPSSVWRMVSCALMEACEKMYKEHGHLAFFCTHSSEKPSFDCGSGPQNTDGPHSPLNSLCSSYGPSIPRFIEKENDVKSACALLKEWLYHDRIGFDLEFVQEIVESLPKSRACSNYQFLCDRDGGVSSLTVASGTLVAVNKNGSSNGDFMPYGRHGSVAPGAHDCAQPSSFSIRELPPGNPISRKLPPEQAGDVFQILEFLWRFAEIIGLKEVPSIEQVENELIDPWPICANQKDHTPMNSPANVSISNSNGESVLITNEDMASVFVPVETSSTEEAAQDMVAAQTIGRCSGVVLPEIHLALLRVLFSELLSKVAIFVDPKIDLKESKSKRGRKRDADSITKELKIDMLTANMLTWPELARRYIIAVSSMNGSMDISDVSSREGVKLFRCLQGDGGILCGAVPGVVGMEKDAMLLAEAESLICNSSANEGNKIFMMDYKDTDVITSPGEPTSDMKTLPGWVESLEPVRKLPTNVGTRIRKCVYEALDRKPPEWARKILEHSISKEVYKGNASGPTKKAVLNVLAEACRVKVPQNPEKPRKEKNIISISEAILKKCRIVLRRAISSDESKVFGNLLGTTLTNSNENEDDGILGFPGMVSRPLDFRTIDIRLAMGAYHGSWEAFLDDVQEVIRNLHTAFSDRSDMLEMVVRLSENFETLYKTEVLDLVQKFDKYICNENASSEISEELLEILTAASNTLNKLATAMEEKEYWELSTPERIYLLKYLCDEMLSTALVREHLDQCSDKSGDLQQKFRSWNYELKELKYKVEIRTSCARQNKWTTNEHLGNSSGLVENQQRGMPPVSGNLEEVERVNVGVNLNHPADGTPARNAGRPDKTDTDISSTSSIEGNKSLGLCKQPSGVTTDRIDEGAIGEGSLSCEKSLGGTVNIAPNEESPDKNASTFQDNLEASTSRVVDHDADNNEMNILLGRISQLQDSINTVESQLAMTSLRRECLGRDSVGRLYWVITRPGKRPSLVADGSMLISKHGDISMVSSYPQSTFDCRGWNSASVIMYESDEEIKCLIDWLRDFDPREKELKDSILLWQRLLYLQASCPIHSDPPVPKFSNGEPPMDLPNTKALSILEQKYGPLLDLETGDLSKRRGKKAKSGSEERTYRCDCFEPIWPSRYHCLTCHETYLTPTEYEEHNGGKCNGINTSPNEIKENDEPKVKGTKSDPKEKDTTDNNCSTEPSSNKKLETCPYDFEEIRRKFITNDSNKETVKDIGLNGSNGAPSFVPSPAFFLEAPVLQSQNRRYDDMPKDWTSSLEECKAMSEMIEQDGSKSGHDCPGNSGDEQVTKSRKPVSNNTAAEEESSATNKPTRLLAVNGGLVPESSLRPVIGRNSHILKQLKINLLDVEAALPEEALRSPKSQQIRRRSWRAFVKDAESISQVVLATSLLEGMIKAEFLKNDWWYWSSFTAAIKTTNISSVALRIYTLDDCIIYMKDPASNTEPADNAKTVNKAKRRKETESSIS >Et_6A_048168.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:8619907:8621127:-1 gene:Et_6A_048168 transcript:Et_6A_048168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAATVALMIQVLLLLLVAPPTNSELRGFRATMVRREKTINFTRAARQSSDRLSMLAARLDADAGTQTPLRYDNVGEYNMEFSIGTPPQKLTAVADTGSDLVWAKCGPCASCKPQGSPSFDPTKSSSFSKMPCSDRLCTALASQASCSSGGSECDYQYGYGSGSADGYMGTETLFLGSDSVPGVGFGCTNKSSGNLGTGSGLVGLGHGPLSLVSQLGVGAFSYCLNPDASMASPLLFGSLATLSGDGVQSTGLLGSSTLYSVRLKSITVGSRTTPGAGKNGIIFDSGTTMTMLADPAYTTARTAILAQTMLPLADDGSGPCFRASGANDISKVPPMVLHFDGADMNLTVANYFVKAANGVICWVVQPSSTSLSIIGNIMQTNYHIRYDLDKKVLSFQPANCNSM >Et_8A_056237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17264584:17265402:1 gene:Et_8A_056237 transcript:Et_8A_056237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLYLVLGAAAGYASVFLWKTLRRDAAAGWHRVAWAAALAFPGAALAAFAALNGVLWHNGSTGAVPFLLFAVVVLLWLFVSVPLTLAGGLLAARSRHHHHLLADLPVKPNKIPRHIPPPPSPASCSPWLLVAAAGTLPFGTLFIELFFIMSSLWLGRVYYVFGFLLVVLALLVAVCAEAYFASGSVAIYVLAYAVYYLVFDLHSLAGPVSAVLYLGYSLLMAVAVMLATGAVGLAASFCFVHYLFSTVKLD >Et_2B_019524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17195:18152:-1 gene:Et_2B_019524 transcript:Et_2B_019524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGRMGMGGYGGAVRSVESASGETMLLWGLGQPTAHRNNAMVRQSAHSFDLDACGRRLSLLQSPSSMSTPGVTGAVVWDSGVVLAKFLEHAVDSRHLSGGPGIRVRPRRMRGDLPDRLKLLRKNVNLNVDDPHVAGSAKVTDLVWGEDPHPNLMQQPLPDFLLGSDVIYNEEAVEDLLLTLHQLSGQHTTILLAGELRNA >Et_2A_017239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32342252:32350482:1 gene:Et_2A_017239 transcript:Et_2A_017239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACHYGMRLRDCNVLIRTLARQGSFARVMAVYYDLRGRGLVADSFTYPFVLKAIGVMKLSVEGRKAHASAVKTGYRWDAYTGSSLMEMYSMLGRPDVARKLFDEMPHTFLVLWNMMIRCYIRCGRFAAAVALAEEMERSGVTPDRATLVTTVTACSRAGNLSLGRRIHAYMNAVFGFSLPLANALVDMYTKNDCLQEAVNLFEEMPERNIISWTILVSGYALAGHLDKARALFYQCTEKDLILWTAMINACVQHGSYEEALALFRDMQMQGVEPDRFTVVTLLTCCANIGALDQGEWIHRYAEVRKMKLDAVLGTALIEMYSKCGHVDKALKVFWQMQGRDAVAWTAIICGLATNGQASRALELFEEMQIGKAKPDGITFIGILSACCHGGLVDEGRKHFQAMKEVYQIEPRIEHYSCLVNLLGRAGLLDEAEKLIRNMPVDKDTMPIFGALLTACKAHGNVEMRERLTKQIAEQDSRNPDVNVLVSNVYATASRWDDAIRVRSKMTHPTSKKTAGRSLIENITLFTFSFTAGRGFTEVPFVPLELLDKNGVMMSLTAAIDGLESSPARFLDPHSKITLTRFESDNGLDMTSFPNIWATNSITGSGSMNAVDDISGVNIAM >Et_3B_028701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18189435:18189994:1 gene:Et_3B_028701 transcript:Et_3B_028701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSQKSPNQVLATLAGFLSSTYYPEYRMTMVISIPPLPTGSSHMCLIGLRNYVLLSVEPLPTSYSHMWLTGLKNYVLLRTARDLVVGEGIIFPHLKKPTLDLLTNKENTLHALLLACVAIKSLLFPDNKAFHSVCISSPSLITLGVSIETWFLK >Et_4B_039124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8044049:8051854:1 gene:Et_4B_039124 transcript:Et_4B_039124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPEQRPAPAALAGAEISSVRDLLPFLQGVPVTYRFEKHSVSTPKPKPTLADPVRPSEPTHPPGAPPPPRSALLWFLTSSASARAGRARGLRHRRRLRVRLRRLLLLRLPRQAHRRFFPGKLSGFLSQVLSALQFEKHAGAVSKNQNGHIFLRNGKSLYELFHALRPVPAEAFPEEFRAAAGVPMTVHAAEASPQPGGTASWEPNGVQVDGVMAEAPSAPAQEDVEMLTEEEKAGLWLLGLRESSSATNSNPICGAQGPAAEEFKDAAGGDHAMPDAQEITDGADENARAETRYQLESYLKDVRGLLSTGLFEGFKVTYKNNEVEKIGRIRGQGYLCGCSECNYSSQVLNACEFEQHSGESSHNQNDHIFLETGISLFRVVKALKHYKLKVLGEFIEEIIGFPPNMVEYNKWKDSFQKRREDLDGLASDCSTQSSRGSAVGEISLIDYLKESTNNGISNLNWSAFKRRSERQLTREGTETATPAMSGSPEKEISGFSTGTSKMNGVEEAPNENMAGPLSIDVVKPNSPGPTAVISDNSIRDPINFGTSLSSPRTSTQEPFPDRIIGSKSKEQKTRDTTLHPLLFKEGGLADNTFLTYKLKNGEALKQGYKRGTGIVCNCCNKEFTPSHFEDHAGMGRRRQPYNNIYTSEGLTLHKLALQLQDRLNSNGFSKANMYGFDDYPDLTSSGIGKESSSTSGPIVPLKRTLQERVVETESCYFCGDGRTTIGKIDAEMIVFCNQCERPCHVKCYNKSLIKKKAPLKVLEEYMQFRFLCCEKCQLLRAHLDNELEKCEEVACLRQIGSNICWRVLSGMDASNDARRYMPQVIDIFKDAFSETTAENIDVIADMVNAKDVDGEKDFRGMYCSALTIRAHVVSTAILKVRTEEVAELVLIATRRECRKKGYFKLLLKSIETHLRAYNVNLLTVPVDPEMAPIWSEKLGFIILSAEEKKSMLEVHPLVMFENLILVKKSLA >Et_1B_012135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29277521:29287066:-1 gene:Et_1B_012135 transcript:Et_1B_012135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKFSPLNNGHTEHACKQMGACLLLHQKQSTLLLISKFSILQRNRIYSFPFQLFDATVGSHLQSIKLGYVSLKEQANITVFLNLKKLELVDVNLTDEGLELMLFNYNVLNFIGISRCKLLRSIPTPPITLRNLQGSSKEKLNGPLVKTKRPRLQLNDLPMDILHSIVSRVPIREAVRTSILSKHWKHIWSYRTNLKFSFKSLVHKRGSGIPRSFISENVFIQRVNAVLKQLSGIGVDKMEIQFSPLDNEHAEHIDRWVQFAIASKTKQFILDFEVQHPTKEPYSFPFHLFDATSGSHLQSIKLGSVSVKDPANIKFFLNLKRLELVDVNITDAELKLMLLNCNALEFFGISRCKTLTSLQTRRPFNHFKHLLVSHCPLLQEIQLHFGLVTLEYEGPLLPLSPPSTLRNLSIKSSDNNSVLSYIFTKLPSTLPRLEMLTLRCQELKSATLPKKPIKFLYLRHVRLELNYVPLEKRNTDVLDLAFLLEAAPVMEKFEVHMWMDYQLQRYHKCHGELRSFPSHPHSHLKFVDITGFYGQKDQLELALHILKNSTVLKAMKIDPKPTVAAINCFLSLGDGPSFLDGYKVAKKYLRGADQCGVINVTKVCRRDIQNGCPYKLIDPIWLAMAEDE >Et_3A_027029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3069156:3069960:-1 gene:Et_3A_027029 transcript:Et_3A_027029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREAIANQQLHCSQSYYESAITVFAVISSAITTVFQMKSLKEVWFECVDDDPMFAEELIAILVLKIKP >Et_7B_055422.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:10249693:10250676:1 gene:Et_7B_055422 transcript:Et_7B_055422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIVATASLALIGLQLSICATAASAAEPLPPLLSPDFHQASCPQLPGIVRAAVGDALRRDAQVTAGLLRIFFHDCLPQGCDGSVFLDPERRFGPNGSLQPAAEQLVEDIRAKAHAACGGPVVSCADILALATRDAVALAGGPSYGLLLGRQDSLRPASSDQIGILPGPSTPVDTLLSVFSSRGLADPADLVALSGGHTVGKASCVFIRADDDFTRMLARQCSAAPDGKQNLDVATPVAFDNAYYANLVNRHGVLASDQGLADHPRTRSIVNAFARNQTAFFDQFARSMTKMSNIRGAAGEIRRDSCFRPNARIAADGEGILLAASA >Et_5B_044668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4418060:4421114:-1 gene:Et_5B_044668 transcript:Et_5B_044668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMETSVTYEPKRNSEIRVFESSDEISTDLAEYISQVSEISVKERGYFAIALSGGPLIGFLGKLCEAPYNKTLDWSKWYIFWSDERAVAKNHVESNYKLTKEGFLSKVPILNGHVYSINDNATVEDAATDYEFVIRQLVKVRTIGVSESNDCPKFDLILLDMGSDGHVASLFPNHPALELKDDWVTYITDSPQPPPERITFTLPVINSASNIAILATGDDKAKAVHLAISDSTEGPETPASLPARMVQPTDGKLVWFLDKAAASSLQEKNDASYEHHEY >Et_5A_042753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3743027:3743828:1 gene:Et_5A_042753 transcript:Et_5A_042753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSEPPYNKETLPLGDGLIAPMCLCGTPCKLVKSLVFGDDYGKRLWMCNNYQYELPLQRLYFSDGRPKSPLSLCESIEYIDTEQTPENIAHVYRVAERARRHWFDMEAEERREEERRKMRQKEEEHRREYEAELK >Et_6A_047633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8853017:8853882:-1 gene:Et_6A_047633 transcript:Et_6A_047633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGMAALSWAARDATGVLSPYNFSNRLDHSQGFRKTMMSRSRSSSAVYGTLTSMSSRTTGATPCTRSSASWPMIS >Et_4A_034691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5391348:5396095:-1 gene:Et_4A_034691 transcript:Et_4A_034691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIKSVMSLLLLLLLGVALQGCIAQGGGLTRGSFPEGFVFGTASAAYQYEGAVKADGRGPTIWDKFAHTFGKIADFSNADVAVDQYHRYEEDIQLMADMGMDAYRFSIAWSRILPNGTGQVNQAGIDHYNKFIDALLSKGIQPYATLYHWDLPQALEDRYSGWLNRQIVNDYAVYAEICFKAFGDRVKHWITFNEPHTVAVQGYDAGLQAPGRCSVLLHLYCKSGNSGTEPYIVAHNMILAHATVSDIYRKKYKASQNGQLGIAFDVMWFEPMTNTTIDIEAAKRAQEFQLGWFADPFFFGDYPATMRTRVGERLPKFTADEAGLVKGALDFVGINHYTTYYTRHNNTNIVGQLLHDTLADTGTISLPFKDGKAIGDRANSIWLYIVPSGMRSLMNYVKQRYNSPPVYITENGMDDGNSPFPSIKDALKDSKRIKYHNDYLTNLAASIKEDGCDVRGYFAWSLLDNWEWAAGYTSRFGLYFVDYKNLKRYPKNSVQWFKNLLSSS >Et_7A_051105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14472106:14488591:-1 gene:Et_7A_051105 transcript:Et_7A_051105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYHDDIELVKLPEDEKKMFPKLHNLTILLMGECNMREEFDVLRFFLENARPRRRRPAERPPRRSPPRRHVLPPVAACRADELAVAAVAGALAHHAVPRALDIDGREYGTLARLKPWDGGWRKLENFASNLLLFHTAPALDRFRLRFRGRSNHQVELERWIRRGIKYNPAALEVTMLTPAIPMIKLPSLGPSAATTGSYCRLRRLRLHGVTLDAGFADHVRTRCPLLEDLELKRCERCFHELVSATLKSLVIDSCDSTGGFRRPPLVVTASALASVRLAFAGCTRLFVLNGAAGSLSHASVGETHPGSNLFTLLGGMPNVRTLEFWGLWDCRFILTCKGLCLCFHFPKELLKDEKKLFPKFHNLTTLLLGQCNMREEFGILRIILENAPALEKVTLDHCTVLHTSLISLAHRRLLVATPVVWTPRVQIPTDTAMAEDSNKRALDTIDRRSALPDAVLHSIMSFLMSRQAVQTSVLSPRWRHLWRSAPCLDIDYRDFLVPGSSVYDRHAEDAAWVKLEDAREVPAAPQQQQQASRLGLPQYRPAVLEVTAQNITYVLKLPPLGPATSCRRLRRLRLCGVRLDGGFADDLRSRCPVLEDLELKRCHCSFPEMFSATLRRLVIDSEGRGTCGQQLVVTAPALASIRLSFVGCTRPRSFVLNGVAGSLLHASIGESFPDNNLLTLLGSMRNVRTLEFWGLWNCTSRHYYHDWRMLATQKELLEDQNEVLAKLHNLTTLLLGQCNMRNEFGILRFFLENVPSLEKVTLHHCMGPDFRENGVQQDLPLQYRKLISSESADLNRIDIKYDDGNTSNLFGLLMSIREKLGKNIVTLRKAVQTSLLSRRWRELWRTMPCLDIDGREYRTAATIRAEDTALAKLEEFTYSLLMDHDAPVLERFRLNVGTWRGDADVDRWVRRGIKYRPAALEVTLAKSTTVLDMPPLGPAPSCRLRSLRLCGVRLDGGFADHLRSRCPVLEELELKRCECSFHEIVSTTMKSLVIDSDGRGFTGRQLVVTVPALASIRLSFLGCTKTQSFVLNGAADSLLHASIGEPFLDKSLYTLLGSMRNVRTLEFWGLWNCSKELLEYRKKVFAKLHNLTTLLLGQCNMRNEFGILRFFLENVPSLEKVTLHHCMDLPLQYRKLISSESPDLNRVDIKYHDGNTSNLFGLLMSIRGKLAKNTVTLTK >Et_1B_013102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5519801:5520403:1 gene:Et_1B_013102 transcript:Et_1B_013102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRAPPSPTSAAGIVSSLGYHGVIRVLFGTPSGFAIFSFDGVTLLQPDAMEVESLWDY >Et_2B_021352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28924003:28926323:-1 gene:Et_2B_021352 transcript:Et_2B_021352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDVLLLLLLICTSVPAHVSSTSSSCPGRDDAAIVAAAFRHVRNFRPTRPVKTCQPVRELRLPSRNLTGAVSWTALANLSALATLDLSGNALQGAIPGGFWRAPSLRVVDVSRNQLGGALRIEPNPRLESLNVSGNRFTFVAGVDGLAGLGALDVSRNRIWAVPQGLRRLTRVTQLDLSGNEMQGTFPGDLPPLDGVRSLNVSHNTFSGVVDSGAVKKFGRSAFEHAGNASLVFSGNSTASPPRRRPLSPPHKKSKKNANGRKEKKATTRGEKKKKHLSVVAAAVMCGVASLAMLLCLVGCVACGRCRKSRAKDDEKKPHWTSENGDEEEVAVVAAAKGASAAPVVLFERPLMELRLADLAAATSGFGRESQLAERGGRSGAAYRAVLPGDLHVVVRVVEGGMAGLEEDDDPAAAATAFREIARLRHPNILPLIGYCIAGKEKLLLYEYMEKGDLHRWLHELPAGRPDMDDGAGDAWETAEDKRSISDWPTRHRIALGIARGLAFLHQGWAGSGRAVVHGHLVPTNVLLGDDLEPRISDFGHLSGDGSGEESSTPEGDVYSFGVLVLELMTGQARWDEASVSWARGIVRDGKALDIVDPRIREEGGAAETAEREMVECLRVGYLCTAHSPDKRPTMQQVVGVLKDIRPAPATPTQQPA >Et_8B_059660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20346402:20351659:-1 gene:Et_8B_059660 transcript:Et_8B_059660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAADGEASSKRQAELLKQEGNAFFKKDRISAAIDAYTGAITLCPKVAVYWTNRALCYKKRNEWAKVEDDCRTAIKLDSQSVKAHYMLGLALVSKQNLAEGIKSLEKSLELGRGGHPASYMVEEIWQELSKAKYIEWESLSRERASQLDKLKIACKEALRNYTKLGNPDAVEPEEQLNEVEEVFRKAAKTDTPADVPDHLCCKITLDIFRDPVITPSGITYERAVLLDHLQTVGKFDPVTRVALEPHQLVPNLAIKEAVHAFLSEHGWAYKIRFAAMFIDLRVETIALVAYYTLGRENIYIGDMG >Et_2A_018793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7701349:7704562:-1 gene:Et_2A_018793 transcript:Et_2A_018793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSFFAAILIWCHVKSARFVSTMRIWCLIRASSKQQLAQRDQNSEMATAVLRCRAFALAMACLLLAVPSLVAQDPSNLSLQYYSKTCPNVEHVVRTEMECAVRADTRNAALMLRLHFHDCFVEVSSLLLPFAVFAVNSMQGCDGSVLLDDTATMIGEKQADQNVNSLKGFELVDKIKEKLEAECPGTVSCADLLAIAARDAVVLVGGPYWDVPVGRLDAKKASLDLANRDIPTPQQGLLTLISKFWEKGLDATDMVALVGSHTIGFARCANFRDRIYGDFEMTSKYNPASQTYLSKLKEVCPLDGGDDNISAMDSHTSDIFDNAYYEGLIKGEGLLNSDQEMWSSVVGYSTADTVNKYWADPAAFFKQFSDSMVKMGNVTNPAGGEVRKNCRFVNT >Et_9B_064874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19396081:19400131:-1 gene:Et_9B_064874 transcript:Et_9B_064874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADDAAASMRAAVKRLSFGVTAEERRQAAGEAARLARSDERRKRLLPELGVVPPLLSMLADGRAADSGARLAAAEALHELARGTHRNKVHIVKAGLLKKLPRLMDDEDLSRSQHLAHLLLSISSLVNTDFPLSSSELLPFLVAALSAADVTPDTKLSYLAALRNLSTKLEHARAVVSSGAVRALVALSLDKKATTWEAALSALADLASSASAAARKAMAEDDAAPRALVEAMTRHERARCQEHAAYLVMVLAHDGGAAMRREMRRLGAVQALLEVSLLGSPLAQRRAAKVLQWFKDDDGQSGIRAHSGPRMEGAAAASCRGGDVAGGEEAQDCRNAVDKIVKQSLDRNMKSILRRATASVDMTNVKMLVATSSSKSLPC >Et_8B_058959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11497160:11512962:-1 gene:Et_8B_058959 transcript:Et_8B_058959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWFLLARAVLGDFSGVYLRDLMPFRIQQPLSVMFDDDDDVEPQIKVVDKYYCEHSEDNPVCFSILPLHFDNNEEVVECDSEKKVYLRGVLDNTLCPVHKRVVAWRVALDCEQPKIIVLSSEGNWITLLSPRKCYQEKIVRSVLITVQMLHFIKKQPGDKKRLWDRLWNHLYEVFDKLDSKPTVDDLRKHHPVIKLFVERDTALIKSKDVDGDQDDKNDCDYSYSEHNSDNEYNLTESSSEDDYRNSENNSNDDDSSDDGNVDDGCTDADAICAICDDGGRLLSCTGQCKRSFHPRLKDGIASKCRTLGYTSAQLKGLRNFLCQNCKYKQHQCFKCGELEPSDELNAKVFKCNKTSCGHFYHPKCVAKLLEPADGDGACELAKRIMSGMSFTCPVHWCFKCVKMEDRTQTALQFAVCRRCPKSYHRECLPRNISFESKDNRIKQRAWELPTFILIYCRDHRIYGATGTVGKNHIRFPRIPEASKPSYLVEKKQKPSGKGKMSVDRCSTKSAELLNRLCTGEIEHNQRLTAINPYHPVDCSKEDQQFEVSAVGSDASPGNQEADIVADNPLVDMDAGWDRISGDKDGIERDKASERYEGEERDASNKDSSHENHKRNGVLNNFSVEKNEDGSELKSRKERGMEQTESAYEHDSILGQRKGISTCERDSISEKETSPSEKGKIARNGGNKSASGSDKVTQDHIDDHPPAKQLNVAHVDRVTSTNGTDTQPEFGFGEGREVDGSYACNEKRNSSQSDNNPKTLEIDSAGEKLRMRRKPKEKATEGNEADVAMNREYNHIENGREYCSEDGRTVHAQERSSDQCLANTAEPAVLDRKLKISGRNSPQNNDENQSAEINKNREKRGSNGRGRTLQNIRVNSSNSPMGRNAGKRSRNYSPEGQKMDQCDSYRGTKNRYRYEEQCNVNYSSHDYVDKRRWNPQESERSRDEFVGRRQSPHPYKMHEHVMDRRRVPRSPKYARNLQHSSPPHPRMPEDVNYTTDRNNVHDLKYDEYRPSRHGYDNGRRHTPPFPPRSPDLPPYRRIAEYSTSRSYSFPRHPRSDDVDYQMDQSSFPILHHDQYNTYKYSGYDPAARYSLGYKNDGAHRPYGDVCVDKHTSVHGGPIRDYCRGSEYAHKDGSNWESWTPKASGSVTDKYAPRLDQTNHQTHN >Et_8A_057545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4239965:4254175:-1 gene:Et_8A_057545 transcript:Et_8A_057545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHRLSSIFATTTKTVATASTASTAASPRSPHVILSAATELVRYGRLRPDDAHHMFDEMLRQPATIPERALNGFLAALARAPPSTACSDAPALAIALFSRLARSSRPCVLPHTIHTYGTILFCCCSARRLDLPLAIFGRLLRTGLGINVITFTSFLKGLCATKRTDEAMGLLLHKITKLGCVPNVISYSILLKALCDDSRSQQALELLRMMAKGGSSSPNVVAYNTVIHGFFKEGEVANACDLFHEMMQQGIEPDVVTYSSIIDALCKVRAMDKAEQILQQMVSKGVLPNAVTYNSLIHGYCTLGQWRDAIRIFKERTKRGILPDASIWNVLMDSLCRHRRIKEARGIFDSIAIKGQKPDIITYAIMLHGYARLGFLSDMTDLFNLMLQNSILPNHHVFNILIKAHADHGMMDEAMLKFEEMRQLGLTPDEVTYSYVSVIDSLCKTGRLDDAIDMFKQMVDQHGSTDIAVYNCLVLGFCTHGDLVKAKELISDAINKGLCFDSKFLGSVLNRLCKEGRITEAQDLFDFIISIGQRPNVIIYSSLIDGYCLVGKMEEALRVFDNMGSAGVEPNDVTYGTLINGYCKIGKIDDGLSFFMKMSLKGVKPTTFIYSIILDGLFKARRVVAAKEKFHEMIENGVPVGIVTYNIVLSGLCKNNCIDEAITLIHKLHAMDLKLDITSVTIMISAMFKARRIEEAKNLFATLSANGLVPSVVTYNVMMTNFIKEGMLAEADDIFTSMEKTGCAPDSRLLNNVVQVLLEKGEIVSALNYLTKIDEKKFTLEASTTELLISIFSKEKCEKYWELIPANFFSEPTIHDTISYQFTELHICLIIGERYHTAMAEEALLNLPTDAFVEILLRVPSPKRWLLRRVCRRWRDVIRDRTPAPCRPTPLAFVVSYNADGLASSACAYNADGLALSAGAYAVDDLEEQSERCREVWRSSNVAPALVYDETERRRVVANRFDTALVGTCNGVLCLCDDTVPGGAVSLVNPITVETLSVPRLPGSEQHVWGSWARGWHAAYSVAYDPETDRYTVVHVPCYYDKTGGFSAVQVFTTPAPGAAAWREVPITGGGSSCCRKAGIVSVGGATYWVTKDAESVVSFDLAEERVAFTRALPTRGERGYAWHLAEVHGRLGAVSTAVDERRTPEKIEVWVLGDDDDGRKKDPRRGWSRRYSVQVNGVRERIARPHFAHGDHVLLTTTDHYNQVVYVHRLKDATRRWQSGEVLSVRVTTETAGVAVSGMSGKIKGIFSYVENAEPFSAKPQPK >Et_5A_042086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6159595:6164428:1 gene:Et_5A_042086 transcript:Et_5A_042086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPMYGSGPLRSRNAASSDEIQLRIDPVHGDLDEEIDGLHSRVRMLKGVAQEINSEAKFQNDFLNQLQMTLAKAQAGVKNNMRRMNKSIIQQGSNHVLHKWKKTGVFAQNDTMVTGQLAQALGTL >Et_3A_023284.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:17725565:17726014:-1 gene:Et_3A_023284 transcript:Et_3A_023284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVTRKKSLLLVDQESKQDDADGTAQGDEQDYRPSDEYERDLSGKLTVYKVDLAEQKLKEIKSLQGHALFIGFNNTFILQTRDYPNLVPNCVYVTDDYKDCIFCHPYSERQLACVNLEDGSFTDLSIPNPLLNWPPPVWFRPSYFVPK >Et_3A_024956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25628717:25633778:-1 gene:Et_3A_024956 transcript:Et_3A_024956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRSAARRAREAQGTAAAAAEVAEAAAAAAPPPPPAETRRRATRAAARAEVRKREEIRPAEVAADVELAMDDADSAGRSADRAAPDDDGNATPVPEMVQVGNSPKYRVDRKLGKGGFGQVYVGRRISATGPGAVEVALKFEHRTSKGCNNAPPYEWQVYNIVGGVHGIPRVHYKGRQGEYFVMVMDMLGPSLWDVWNNSSHTMSVEMVACIAIEAISILERIHSKGYVHGDVKPENFLLGTPGTPDEKKLFLVDLGLATKWKDSSSGLHIDYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFREFVEYVVNLKFDEEPNYAKCISYFDSVVGPNPDIRPLNTDGAQKLIHQVGQKRGRLLMEEEADEQPKKKMRMGMPATQWISVYNARRPMKQRYHYNVADSRLIQHIEKGNEDGLFISCITSCSNLWALIMDAGTGFTAQVYELTPHFLHKEWIMEQWERNYYITALAGANNGSSVVVMSKGTPYTQQSYKVSDTFPYKWINKKWRDGFYVTSMATAGSRWAVVMSRNAGFSDQVVELDFLYPSEGIHKRWDHGYRITATAATWDQAALVLSIPRRRPTDETQETLRTSAFPSQHVKEKWAKNLYLASVCYGPDKERLSSHVEYLRYSGYKYQSASEYHRVAYKNERIKWYTSRRPAANIICRFNGTTFPASAFIASAVLAEEQERKNSAEMTLSLPPPCRSSLL >Et_3A_023981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15587088:15600296:1 gene:Et_3A_023981 transcript:Et_3A_023981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAGFLLAAFAVLLALPAPSVANDPDMLQDICVADYKSLKGPLRLNGFPCKRPENVTANDFSSNVLARPGNTGNAVGSAVTAANVEKLPGLNTLGVSMSRIDFAPWGVNPPHTHPRATEMILVVEGSLDVGFVTTANKLVARTIRKGEAFVFPRGLVHYQRNNAHSPALVISAFNSQLPGTQSVAETLFGASPAVPSDVLARAFQIDGGLVKGIKSKFPHNQHNVLRKQRREECLPAVVLVICAVVLALAAPLLAGDPDMLQDICVLAGFLLAAFAVLLALPAPSVANDPDMLQDICVADYKSLKGPLRLNGFPCKRPENVTANDFSSNVLARPGNTGNAVGSAVTAANVEKLPGLNTLGVSMSRIDFAPWGVNPPHTHPRATEMILVVEGSLDVGFVTTANKLVARTIRKGEAFVFPRGLVHYQRNNAHSPALVISAFNSQLPGTQSVAETLFGASPAVPSDVLARTFQIDGGLVKGIKSKFPHSGSQPAQCIEEAAS >Et_1A_005654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12422933:12425928:-1 gene:Et_1A_005654 transcript:Et_1A_005654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAEGTAVAAQRLKRHDSLYGDAEKVSREKFHGSGGSWARTLQLAFQSIGVVYGDIGTSPLYVFSSTFPGGIRHPDDPIGVLSLILYTLLLLPMLKYVFIVLKANDNGDGGTFALYSLISRHAKIRMIPDQQAEDAAVSNYSIEAPSSGMRRSQWLKERLESSNVAKIVLFGITILGTSMVMGDGTLTPAISVQVVWISVAILVFLFSVQRFGTDKVGYSFAPIISVWFLFIAAIGMYNLVVHDATVLRAFNPKYIVDYFKRNGREAWVSLGGAVLCITGTEAMFADLGHFNIRAIQISFTGIIFPSVALCYIGQAAYLRKFPEHVSDTFYKSIPAPLFWPVFVVAIMAAIIASQAMLSGAFAILSKALSLGCFPRVEVVHTSSKYEGQVYIPEVNFLIGAASVAVTLAFQTTDNIGNAYGICVVTVFSITTHLMSVVMLLVWRAHPAVVAGFYAAFGFVEFLYLSSILSKFAEGGYLPFCFALVLMALMAAWHYVHVRRYWYELDRAVPAAEAAALLARRDVVRRVPGVGLLYSELVQGIPPVFPRLVDKIPSVHAVLVFVSIKHLPVPRVPPPERFIFRRVGDVGNHVFRCVARYGYADPLEGHGEFAAFLLDRLKTFAAAAAVAEEQRFIDAEAERGVVYLMGEASVTAAAGSSLVKRIVVNNVYGFLRKNLRETHKALSIPKDQMLRVGITYEI >Et_5A_041621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25046596:25054040:-1 gene:Et_5A_041621 transcript:Et_5A_041621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYDMHLTLANLLHGLAWRLPEGVAPEELSMEEKFGLTMPRLVPLQAVAGPRLPAHLYAGPSVMWGTVRSRRRNSGPNSGVIRASPEARSGDGLKAANAADQVNAWAVGRDPARGRRWRSSGRSERRRREGPGLAAAAVRLRPPDVPRRRAQAEEGASGIGEPAARVRVELPDGVAPGELSMEEKLQAVAEPRLPTHLLGNLVP >Et_5A_041116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18428561:18433197:-1 gene:Et_5A_041116 transcript:Et_5A_041116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KFHVLLVFAIVVVQIQWDGVAGMYCDDLTATVERPHSASVTDFGAVGDGVTLNTKAFQNAIFYLNSFAKKGGAQLFVPAGRWLTGSFSLISHLTLSLDKDAVILGSTDSSDWPVVDPLPSYGRGRELPGKRHQSLIFGSNLTDVIITGANGTIDGQGAIWWDWFHNHTLNYTRPPLVELMYSTRIVISNLTFQNSPFWNIHPVYCSQVLVQHLTILAPISSPNTDGIDPDSSTNVCIEDCYIRNGDDIVVIKSGWDEYGISFGHPSSNISIRNITGQTRNSAGIAFGSEMSGGISNIRAEGIRIVNSVHGIRIKTAPGRGGYVRNVYVADVSMDNVSIAIRISGNYGEHPDDSYDKNALPIISNMTIKDVVGVNIGVAGLLQGIQGDNFSNICISNVSLSARSMNPWNCSLVEGYSNSVSPEICEQLRPNPGTGQVCYDGNSYPAVTIQPQAPQKSGASRLIAILILLSLPAGMGQRLAVASTSTDHFDQRPATSDSVSKGVGGSALLLHSGVPCQARLLAVPTRFPPPPPGARALRAWTPSRLKRLRRLQTDGRLSAVLREFVDRGDYAL >Et_5B_043765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15699876:15705091:1 gene:Et_5B_043765 transcript:Et_5B_043765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPRSRGRRSGGGGAARARARGWCCSFAGAPQSPDLRPLPPAAAAASPGGARGNGGWGRKLPPKSPSAPSFLGSPNSSRLAGLGGLIDPRRILSPGRVSPIDPDGSVPPPAALPLPPPSPLAAPVETMELVPVEPSVAAADSVAVAPVLAVREEGDCSGGLDLSLLLRGRDGRCVHMELDSVVLCSSSAFFAAMAPPGGGGVSGKRIEVDGVENLEAFRATVELMYNPDPMRWLAGSGVSRAIDVLEVCSSIMFDRGIKSCLTYIEVVPWSENEEEKLKSLFARCTFDDVISQDILARLRPHNWNSSEDLTVQLIQSITSSTNSGARKDMQSLVNSLLSKSSVYQKDLSGLNKDSLYQICYFCLESLVRLFEEARESTDHADQAVVVRGTKPLVGRISCQTENLIWLLDILVNNDIAEEFVELWAKQDGLIRMHEQASPMIRYELSRISAGVFIALGKGEVQCRGNVRSLLFHGWFSLMLLDFGWLQRCRKGLDIRSLEENLGRGLLTLPLRQQQCLFEEWFQFYASRGVECPNLIRAFQKWRIHKALFFCFAGATNSQRRP >Et_6A_045823.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:15913608:15914952:1 gene:Et_6A_045823 transcript:Et_6A_045823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PCRGRPRSTAGCRTPRSRGPAPAGGPALHEARRHTLLAVLLRVASPTRAPASRCSPCWTSPGSAPCASGSATTAWVDAGVTLGEVYYAVGRCVLEGRRQRLCGGIGVTMRKYCNGGDNVADARIVTADGKLLDGRAAKGEDLFWAIRGGGGESFGVVVAYQLKLSAVPSTVTVFNIVRTIEQGVAGLLAKWENTDPLVPDDLTIRVVLWGRQALFQALFLGRCSRLVLTMRGVFPELGMTAADCREMSWLRAMTFIGFGNEQAPPETMLNRTNSLQGAEFKSKSDYVRRAVGEAGWAAIYGQWLTPSAATAGLIMILEPHGGKVGTETTDDFTPYPPRQCVLFNIQYGVSWPRGADRSSALGWIRSFKAFQAQFVTSNQQPQGGVRELSRPGPRPERGRC >Et_5B_043530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11545628:11553375:1 gene:Et_5B_043530 transcript:Et_5B_043530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTSRLLLLARRCDGRRVPCLLVPRAVHAAAWDPSPTAPPTLPIWSPVRSYSSGFTSVHGERPSSEYAKIRKESLETQFGRILGSSSRRLFADRGFGPFLALYRAATISFHVVKLTIWHLYLDDMRKRAEKFRETLIRLGPFYIKLGQALSTRPDILPSAYCQELAKLQDQIPPFPTRIALKTIESQLGSRISDLFADISPEPIAAASLGQVYKAHLHTGELVAVKVQRPGMAPLLTLDALLFNMIGGQLKRFAKARKDLLVAVNEIVRHMFDEIDYVLEGKNAERFATLYSHGSGVDNSEVGIKAPKVYWSYTRKSILTLEWIDGIKLTDAERISKANLNRKRMIDEGLYCSLRQLLEEGFFHADPHPGNLVATKSGSLAYFDFGMMGDIPRHYRVGLIQMLVHYVNRDSLGLANDFHSLGFIPEGTDLHAVADALKFSFGDSSSRRQSNDFQGVMNHLYDVMYEFNFSLPPDYALVIRALGSLEGTAKALDPDFKVIESAYPFVIGRLLADPSPDMRKILRELLIRDDGSIRWNRLERLIAAISEQSSESPNKSGDGSGENASGSSDWRSFDMHSVVAATEDLFDFILSRKGWRVRVFLVQDIVKASDAFLQEATFPYLFDKEFKMGELNPERSKMIKRLVNGVQSFRQAINLAPDAWTAMLIRTLLKPESQHFLLDVVSALANHSCYKIPETFWLCISRYLNYINKRDTL >Et_3A_026370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7300635:7304947:-1 gene:Et_3A_026370 transcript:Et_3A_026370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSNKVSWNRRHDRCCVVGILICSVDWVALVRFKGQITCFMATNNHNQLQLHEMDHIQPVNGQHNEPLHLGQKLLLRYGRDAALRIGPSSHGSMAPRLNDVPSSSGAAQFLSYRVGSSGNSHASSVHYPSGSSSSHLPEPSVSYPHRSEESVPPVTSHLENRRAAMKRKNPVVHPVDGTNTGGYYAGSSSNAQLSNYGQPNPIPLTEPFTQMPLSIGQSGWDGQQLLQQEGFERNVRARHNYNISMEPRPDETHTANNIYLPPLRSTASASLSTLVARNQPPISMQPRTLPSGAPGINGRAFIGRTHYPAIVSSNSSVAAAPTVSGSLGNATFGNGGYAPRTVNNVYSHPASTGPSGSRAVPPETVSPSCPPGFSAATSSTGPSGSRTVPLETVVRSYPPGFSAATSTSIRIGQPFPTRGAAPSRHARHVAIGHANSGRNRRARSAYYAFHPSMMEAEGLMLDQLVFYESRGAADPHRDMRLDIDNMSYEDLLALGEFIGNVNTGVAEDKISGCVREVVCCSSDPSQNDEDDGTCVVCLEAYKDKDLLGTLKCNHDFHAECIKKWLQVKNSCPVCKAAAA >Et_2A_017636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4504252:4504932:1 gene:Et_2A_017636 transcript:Et_2A_017636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPDNTVFARLTFSGEVCFQRLGVLHAIDAPATASYALLVSIIALQLLSFLGYWGTTTTTAPALPAPLNSALQDFLMLVGMEGLVAAVAFIYGHMDRAVNRRISELVTTILCASVGLLLSVLFVQPAGGADDDGAQARALSAVAVRALPAAATVTSFLIVMLIYARVGNGVGAGGGVVDGDGPVPAPAMNLLAKITFGAAASVVILMAMAFDTN >Et_1A_006556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24454448:24469888:1 gene:Et_1A_006556 transcript:Et_1A_006556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHERKEEQRKGREGNEAALWKERKGAATVASFGHGFGPEHRRSSSINPYLSSRVGREGMNSWVPSRTRMHVGVSKGNRFRRPKEHLEMNGTWMSWSGTIQCRTNVQANKVDGLSVTMGFCGPFRENDFSTSCVIMLHKTRLSAMETADEERPLLELLPPQVSGSQYTRDGSVDINKRPALKYSTGNWRACFFILGVEFCECLAFFAISKNLVTYLTTVLHESKISAARNVSTWVGASFLMPLIGAFIADTYWGRYWTIVGFLPLYVFGVVVLIASASLPVFSKSPYNGDFHRAVVYFGLYLVAIGSGGIKPCTSTFGADQFDINDTAELVKKGSFFNWYYFLISTSSMLSGTVIVWLQDNVGWAIGYAIPLVLMLFSFAVFVAGSRMYRYRRIGISPITSLCQVVVAAIRKWDLQLPDDSSLLYELTNSPSESNETQKIQHTYKFRFFDKAAIVVLSSDNKSIAPMSSWSLCTVTQVEELKMLLQMLPIWVSLVIFHAVSAQMASTMIEQGMFMDNRIFSFAIPPASLSILGVFSILIWVPVYEKILVPLARRFTGKDKGFSQPQRLGIGFGLSMLAMVSGSQYTRDGSVDINKQPALKHSTGNWRACFFILGVEFCECLAFFAISKNLVTYLSTVLHESKISAARNVSTWVGASFLMPLIGAFIADTYWGRYWTIVGFLPVYIFGVVVLIASASLPVFSKSPYNGDVHRAAVYFGLYLVAIGSGGVKPCTSTFGADQFDINDKVELAKKGSFFNWYYFMVSASSLLSGTVIVWLQDNISWAVGYAIPLVLMLFSLAVFVTGSRVYRYRRMGISPVTSLCQVIVAAVRKWDVQLPDDSTLLYELTSSSSESYETHKIQHTYQFRGLCCHWCDRVLLRSSTRDHEEPVCSTRAGRNCIRIFFNSLMLGIVAIATTRRGAPGWIPDNLNQGHLDYFFWMMATLSFLNLAQFVHWSMRGNTTY >Et_8B_060072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5725440:5730279:1 gene:Et_8B_060072 transcript:Et_8B_060072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDVASAGAPAAAVQPGNGQTVCVTGAAGYIASWLVKLLLEKGYTVKGTALDGAAERLILCKADLLDYDAICRAVEGCQGVFHTASPVTDDPEQMVEPAVRGTEYVINAAAEAGTVRRVVFTSSIGAVTMDPSRGPEVVVDESCWSDLEFCKKTRNWYCYGKAVAEQAAWDAARQRGVDLVVVNPVLVVGPLLQPTVNASIAHVLKYLDGSARTFANAVQAYVDVRDVADAHVRVFETPDAAGRYLCAERVLHREDVVRILAKLFPEYPVPTKCSDEKNPRKQPYKMTNQKLRDLGLEFRPVSQSLYDTVKSVQEKGHLPVLGEQTEPEETPAAELQGGITIQA >Et_2A_018179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1732435:1735214:-1 gene:Et_2A_018179 transcript:Et_2A_018179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPAARRKRHLTSDPGSGWASLPEDLLGLVASLLLAGDLLDYVRFRAVCTAWRSDTADPRGRGVVDPRFHPRRWMMLPEGHCLYPGHPNLGGFIRFLNLDTGVLVRARNPFLGVGNFWPIDSVDGLLLLLRDTVDQEGAVRLLNPLTGDIVELPPIGTLASSLLSKCPATSRNRSLTRSVCTSVSFNAAGTVTVMLVLHAVDRIAFATSRDRQWTLSTRPREFPDLLFPSLSFQGNLYVMHTSSRSVWDDDRSVWDDVKIHHVLQVDPPVMQWGSCTTTAQIRRYHPIKQTQILVLGHSDSSAQQIIIYKLADIVLQRFIPTESIGGKTLFFKERGISVSSRVLPTVTGDNVVYICAGPPHLAQYNLGSGTLSPAIDTCSLYGRAQGPSNLVHYIFSCCIRDPWSKGLIFRRTAQDWSLPDEEEQFPLAYPAIQSGLVQHTHHTALATPS >Et_5A_041591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24707011:24712909:-1 gene:Et_5A_041591 transcript:Et_5A_041591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPEMMRLAQEQMSRMSPEDLARMQQQLMSNPDLIKLASESMKNMKPEDLRRASQQLNQARPEDMRNMTEKIANTTPEEFASMKAQADAQISYAISGAKMLKKQGNELHNCGQYSDAAAKYKLAKDNLKSIPSSAAHSLQLQCTLNLMACYLKIGKFDECISEGSEVLTYDSSNVKAYYRRGQAYKELGKLEAAVADLSKAHEICPEDETIAEVLRDAEEKLAREGGGKNLPKGVVIEEIVGDDTSEPSSSQRSSSAAYTISQPHEGAGDSVRSESSKSSRDVPASIRSSRDYMSKSDSEGLSKLGMQGMSPEVVKTATDMIGTMKPEELQKMFEVASSLNGTSSVAPNLGTNMPEMSPDMVKMASDMIGKMSPDELQNMLNFATQMGGPSCAPGISENSTQSSSRATSSSTLRSERPSSQNIAANPDEILNGQRLGQSSSGVPPSTADMQETMRNSMKDPAMRQMFASMMKNMSPEMMANMSEQFGMKLSKEDAAKAQQAMSSLSPEDLDRMMRWMERAQQGVEVAKKTKNWLLGRRGLILAIVMLILAFILHQLGFIGG >Et_1B_010993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16138072:16139143:-1 gene:Et_1B_010993 transcript:Et_1B_010993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAGKPSRSASAIVADTASGYHILKIESYSRTKGLPTGERLVSRPFTVGGHRWCIRYFPNGDTSEYADYISLFLALDESATEAVRVQHQFRFLDDGAEQEAAPSLTSAKVNTFHDSYGWGRSRFINRTKFEASNHLRDDSFTVRCDIVVIKDFRTEDTAHPPTRKTFVSVPPSNLHQHLGDLLLTKKGSDVIFDVGGETFTAHRCVLAARSQVFNAELYVTRWVVRIDDMEAQVFKALLYFMYTDSLPEMKKEDEEDVMSQHLLVAADKYNIERLKLICEDKLCKYIDVGTVATILALADQHHCQGLKKACFDFLSSQANLRAVMAGDGFKHLSRSCPTIMEELIAMLAT >Et_4A_035976.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9802692:9802916:-1 gene:Et_4A_035976 transcript:Et_4A_035976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQHVAAASVMAAEEEGEEEAARADGFEEHRFMSRELSSRASTPERAMPKKVPISVQGGTITSWAGLAACVLL >Et_7A_053073.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6037116:6038363:-1 gene:Et_7A_053073 transcript:Et_7A_053073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFSSSSSRFSKEEDEEEEPEEQGEEEEDDEEASPREIPFMTASSAAAAHTAASSSPSASASASGSALRSSDGAGASGSGDVEVIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDAAAGNEKGLLLSFEDRAGKLWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFSRAAADDARDRLFIDWKRRADVVPHHHRFGPRIPLPAAPSPYGPWGPAGAGGFFMPPGPPVTLYEHHRLRQGLDFRNVNAAAPARQLLFFGSAGMPPPGPMPPPPTPTPMHHITTVQPPSPAATVVGHQLPMVLDSVPLVNSPTTATSKRVRLFGVNLDNPHPAGESSSSHHDANALSLRMPGWQRPAPALRFLELPPHHQKQHGATGGGVESSAASSPSSSSSSKREAHSSLDLDL >Et_7B_053384.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:14662072:14662242:-1 gene:Et_7B_053384 transcript:Et_7B_053384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSAACLIQLHPGGHCRGGGLISFYRACYCFVCSAASYSQRGSRYLAAPERVTRKM >Et_1A_007902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39345415:39346610:1 gene:Et_1A_007902 transcript:Et_1A_007902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGSWNPAVGVGRLTSCLLPRPAAGGPGNVLAGLDPLLPSGDVRRFLRSDRHWQLVDSRDGLLVLALGQSGSFLLCNPATRRWAGLPRLTPEPCVCVVAAGLYFHRPSGEHRLLQQHARMLGPVAGEGDSGFIPSACLALGDTLHWSRHPEAGDTGAMVAFDTASETFRLIAPPPALLHHDHHVRQFDMDGTLAVSAVAEGSRRMDVWALAAGKNQHREEYWSHRLRVDLPPRLLPPPGSFQYPANDEAVAVVDDGVLVVVGSGWVLLYDVDKGKIRSMIDHSGDIGNVTRCLYRESLVPLPEPLPFCAGPNGELSVRHCPPDDPYMSLYRSDCGDWGHTSSLT >Et_10B_002863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12688497:12691952:1 gene:Et_10B_002863 transcript:Et_10B_002863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHSIVALNRSGKAPGVGLLHAPFSLLPMSFPRVYWNQALELAPLFNELVDRVSLDGEFLQQTLARTKQVDFFTRRLLDIHSEMMKQNKNENIRLGLTRSDYMVDGATNSLLQVELNTISTSSNGLACGVSELHRNLVRMHEINLGLEKASVLGNTAIAQHAEALARAWAEYNNQSAVVLVVVQAEERYMNGRTVAVVYFRAGYSPNDYPSETEWRARHMIERSSAIKCPSISYHLVGTKKIQQELAKENVLERFLDNKADIEKLWKCFAGLWSLEEDSVVMSAIESPELFVLKPQREGGGNNIYGDDLRKTLVRLRKYDRDELAAYILMQRIFPPASPCYLVREGICAKDNAVSEFGIFGAYLRNKDRVIINDQCGYLMRTKAASLNEGGVVTGYAFLNSIFLC >Et_8A_057027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20358318:20363844:1 gene:Et_8A_057027 transcript:Et_8A_057027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METMGEVFIAAERVGDLVNKSTRRDHVRYSELIKGILCMHPFHGQSIKQYTQQTAPRTDPSGAKLGMASSNIFLLTVLLALVTSWAVASDPSPLQDFCVADKYSRVRVNGFPCKDVKDVKVDDFFLAANLDKPMDTTKKVGSNVTLINVMKLAGLNTLGISMARIDYAPKGQNPPHTHPRATEILTVLEGSLYVGFVTSNTENKFFSKMLNKGDVFVFPQGLIHFQFNPSHDKPAVAIAALSSQNPGAITIANAVFGSKPPIADDVLAKAFQVDKKIGSKLNFGRTITTKLWIDPSPLQDFCVADKDSPVRVNGFPCKDVKDVKVDDFFLAANLDKQMDTTKSKVGSNVTLVNVMKLTGLNTLRISMATIDYAPTGQNPPHIHPRATEILIVLEGSLYVGFITSNTDNNLIQVMTSQRLPLLRLGQNPGAITIANAVFGSKSPLADEVLAKAFQVDKNVVDCLQAQFWENNHN >Et_10B_002450.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:6416759:6417697:-1 gene:Et_10B_002450 transcript:Et_10B_002450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSTPPAAMVTAPSRRLSSSSLRTASWMWRGTMRVFLLSRAAFPASSRTCRGEQSTAATASESIGCLEWGKMERGTRVREKGWVGSYLCGEVLEDGGEVDGCAGADALRVLAGLEEAGDAADGELQPGLGRPRHRLGALRPAPAAAGTHLRLVRRTVPSSSPEWSRRRLRWCCCGCGGGGETRCGVGMGERRRAGLCRGRGGARLAPRVAPRIDDVEEARTAGSGEERDGRTAGSLCAREGRAVSGFGFSRGAGVFFFRGRGRIWKGGSVRKKERVFRAASFFGRIELGARVVGGGLTGFGTGSSAGMGWA >Et_10B_002718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:95543:96710:-1 gene:Et_10B_002718 transcript:Et_10B_002718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLVRLPATGDIIYRCKHCDTQLAYAADIISKMFRCKHGKAYLFDKIVNVNVGDKDDRMMTTGMHSVCDIFCVTCGSILGWKYLAAFEKSQRYKEGKFILERGKVVGPTVAAERAHLWVERDARSSESEEDDQDATI >Et_5B_045515.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:3501486:3502052:1 gene:Et_5B_045515 transcript:Et_5B_045515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQAFSLFLFLLLAAASSSLVLLAAGDADAGNDQNLTRIHLYVHETFAGANATAAAMLASPLGANSSFGSMGVLDDELRAGPDRASQLLGRFQGFIVGTGLQLGSGYLTSITLVFNAGEYAGSTLSIEGPVLSFAGTFERAVVGGTGRFRLARGYSLTKIIGNPTPESSVIEIDLFVLTHSGKYY >Et_1B_011027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16776864:16786235:-1 gene:Et_1B_011027 transcript:Et_1B_011027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDPNPIDVSFDYGLLQTPVPLRRRRCGPLPLRLVLPRPSSTSRIIAAAQRATKWQWRISKASLHSVAMATSQVSEAAADKGLPLGMDASMVDEYAAQSKLLQEFVKIPTVGKAWIFNSKKENTSRAVVSIGQSDLLANKKRKFLLNSHISKGASKSVDFQWSPFPTEITGVSAVIPSPSGEKLLLVRNSEDDSPTKLEIWGPCQLENEIHIAKSVHGSLYTDEWFEGISWNQEETFIAYVAEEPPQPKPVFNDYGYMKDGSSEKDCKSWKGQGDWEETWGETYSKKRIPALFVVNISSGEVRSVKGIPRSLSVGQVIWAPSSSYSLVFVAWSSENGFQETPRKLGIKYCYNRPCSLYTAPDPFREEAEKPSTNNKGEITTMLKLTAELSSAFFPRFSPDGKYLVFISAKCAIVEHTMPQIQCTELSGLQMVPIVMCPQDGCFPGLYSSGLLTDPWLSDGRTMILSSVWGSKEVILSVNVASCEVSRVSPQDSDYSWNVLALDKNNILAVSSSLITLPQLYYGLEVSQTERQWEWQEASTPFPRPSDKISSIVAEHKLSILKIPISNPSDKLANGAKLPFEAIFVSHKDSASSPTIVVLHGGPHSVYPSSYSKSLAFLFSQGYNLLVVNYRGSLGFGEEALQSLPGNVGSQDVNDVLTALDLVIKRGLIDPSKVAVVGGSHGGFLTTHLIGQAPDTFVAAAARNPVCNLSLMVGTTDIPDWCFVEVYGKEGKNHYSDSPSVNGLSLFHQKSPISHISKVKTPTLILLGAQDLRVPVSNGLQYARALKERGVESKLIVFPEDIHGIDKKKTDLE >Et_2B_019234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19153983:19154510:1 gene:Et_2B_019234 transcript:Et_2B_019234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SARVVLDELVERLRLRVGVLEHPEEVLEQHDLAADDRAAGACAAHAAAAAGAVDEVLEQRVADEVRGDEVAAPRLADVHRVEARRHAVGAVERHGPSAAGAGDDGRVVVRARRARRRRARDPAGRVPPFDHALELAQPALRHCRLIKQQNQSEKAE >Et_4A_032501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10914390:10919046:1 gene:Et_4A_032501 transcript:Et_4A_032501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALGCCGRMRGVAVLGVVVFVVLSACVRACHGTMDSQDTSVLRALMDQWQNAPPTWGQSDDPCGASPWEGVTCDGSKVISIKLSTMGIKGVLPADIGQLSDLQSLDLSFNKDLGGVLTPTIGNLKQLTTLILAGCSFHGTIPDELGSLPKLSYIHFNKNQLSGSIPDALFSPEMTLIHLLFDGNKFTGSIPESLGLVSTLEVVRLDRNSLSGPVPANLNNLTKVNELNLANNQLTGTLPDLSGMSLLNYVDLSNNTFDPSPSPAWFWRLPQLSALIIQSGRLYGPVPTKLFGSPQLQQVILDDNSFNGTLDMGRSISSELSIVSFKDNEFSSVTVTSSYNGTLALAGNPVCDHLPNTPYCNVTQRALSAPYSTSLVKCFSGTCPAGQSMSPQSCACAYPYQGVMYFRAPFFRDVTNGTAFQALESMLWTKLGLTPGSVSLQNPSFNSDSYMLVQVKLFPAGSDAYFNRSEVMRIGFDLSNQTFKPPKEFGPYYFLASPYPFPENGSSSKSKGVIIGIAVGCGVLVVALAAAAVYAFVQRRQAQKAKEELGGPFASWARSEDRGGAPRLKGARWFSYEELKRSTNNFAEANELGYGGYGKVYRGMLPTGQFIAIKRAQQGSMQGGQEFKTEIELLSRVHHKNLVGLVGFFFEQGEQMLVYEFMPGGTLRDSLAGKSGLHLDWKKRLRVALGAARGLAYLHELADPPIIHRDVKSSNILMDEHLTAKVADFGLSKLVTDSERGHVSTQVKGTLGYLDPEYYMSQQLTEKSDVYSFGVVMLELIIAKQPIEKGKYIVREVKTAFDASDAEFCGIKDMIDARIRNTNHLAAFGKFVQLALKCVDEVAAARPSMSEVVKEIEMMLQSEGLSSASTSASTSATEFDVTKGAPRHPYNDPLPKKDKDVSTDSFDYSGGYSFQSKVEPK >Et_4B_036303.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:15694704:15695333:1 gene:Et_4B_036303 transcript:Et_4B_036303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVLAFFLAAAAALPSTVPASPALPATYPSAPPAPAPAGGSKPEDEFVRSCCARTLYPRLCRAGLAPYAASVRSSHSRLALASANLTLAALNSLAGRIPTASNSSSSGGGALGDCAEAVAAAADQAAHAAERLDGVERAVGPEVLWRVDDAQTWLSAAMTYEDTCADGLGPGRSAPAPVRAELRARVRRAKQYTSISLALVNMLVHSP >Et_2A_017228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32188746:32191793:1 gene:Et_2A_017228 transcript:Et_2A_017228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASLSPASARALSLLAPTRTASGRWNSVSVPVAVVRPVTGVSVAAAGRRLVAAAAATEMAPAASGEEGKAFVEEMRAVAMRLHTKDQAREGEKEPQAPPVAKWEPSVEGYLRFLVDSRLVFQTLEDIIDRAAVPWYAEFRNTGLERSEALKKDLEWFKQQGHTIPEPSAPGTTYASYLEELSEKDPQAFICHFYNVYFAHTAGGRMIGKKVAEKILNKKELEFYKWEGNLSQLLQNVRNKLNQVATSWSREEKDHCLEETEKSFAYSGDLLRHIFT >Et_9B_065268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:371454:379027:1 gene:Et_9B_065268 transcript:Et_9B_065268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGPGSIVHRLPCDLVISSQEKHPGYSVHLQSCLHCTPSLSVYHRGLACFYKVALLSQITISHSGTSLKSEDDHVDVAAEGCELRRPSNRSTTKVNSGGACERVTMGEAGIDSGGGRAAHESVSDNSAQPFAGGGDRNSDACDRRRWATDESLMLTGPKRGLVLLDDDHVEIDLKVKEDRQGRDRELSKGYIKIKGVPRRLFKKCEVESKSLATRLSTVDVMYAVIKRAVEATIAVQVLEGDFVGEITAHTTSIDKRLVIHDTKLCGATMADDGNRHVIQLTRHIVCVYVQDKLIINVRIGDGDNYQGTSIEFTPRVNGNDENEITVGVAVMRVKAERAAEADRMRRQAMEEARRLEMEGDPYFWMQERVAAVVDFDPKQGGTCYNRFHLAQSSTWTRSVSLNFSMIALLFYCILIVLLQH >Et_4B_039386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12837029:12840020:1 gene:Et_4B_039386 transcript:Et_4B_039386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDSNYFIIHGYMYDFKNCHGHALAAVLVCMGKKMVLRPNLLSGFGFESSASELDARGFVTMDMAGRGVLVHARVCQALRRVAVDAVGPGALVGHVAGDLPHAAVALEARAEGEHENPVPSLEPPLGLHVGQHVPDVLPHRCSVILDGSTSPSSSPRLRFTAPITATPPVWKQKWSAPALKLIIVVAAAAAAPSPLRRRISFCHAVAAAKRASSATGRTRGASARKLTAKARAAAVGSALLSPIPTRPPASSRSAAQACASSSAAVLERTRHRSSILARARPSAGSLVSSAAAPPQRKRQLASNMDLSSPAYQWAAMHSDENTSAVAGRGARARRAFRATSTAASTALHPIPARL >Et_4A_033961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28482383:28485734:1 gene:Et_4A_033961 transcript:Et_4A_033961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSGDLTDDGFAAPRLFSQGVSYTYDDVIFLPGFIDFPADAVDLSTRLSRRLPLSIPCVASPMDTVSEAAMAAAMASLGAAAVVHCNTEAPAQAAIIRAAKSRRLPFVSSVPFFSPSSAPSLNEFGGYEYGLVTERGDSLSKLVGVAVAADAASREVHVPVSEYMLPAPRSASASFDFEQAAAFLADEGLDYAPLLSDDGEVVDLITAKDVERIRSYPKLGKPSLGEDGKFVVAASIGTREDDKRRLEQLVKAGANAIVIDSSQGNSIYQLDMIKYAKKMYPEVDLIGGNVVTIAQAQNLVQAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSSYAKDHDVPVIADGGISNSGHIVKALSLGASTVMMGSFLAGSHEAPGTYEYKDGCRVKKYRGMGSLEAMTKGSDARYLGDTLKLKIAQGVVGAVADKGSVLRFIPYTMQAVKQGFQDLGASSLQSAHDLLLSETLRLEVRTGAAQVEGGIHGLVSYEKKAF >Et_6B_049773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1101094:1103451:-1 gene:Et_6B_049773 transcript:Et_6B_049773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESYAPAAVLRILRRLPRRSAAGHQFHALLAKLGLLHDPAFFPALLSRLPTACTSSLSLLLAAPPGVLSPSLFCPVITAFSTSPVPSCSLVLFNHVSSLSLPTPLPAFPALLKSCGRAFKLSSCTSVAADAFAAKAAELHCRILKLGCVHDRYVQNALVSMYGKFGLLEDARRVFDEMVAKNAVSWNALMSAHGDAGDLQGADRVYQETPTRSISWWNTEIMRNVRLGNMSEAARIFIEMPERDTVSWNSLIGGYAKLRMYSRALDVFREMQHNGVEPTELTIVSTLGACAEMGDLELGRGIHNYILSKGIAADGYVGNALVDMYAKCGNLQLARQVFDSMSIRDVTCWNVMIVGLSVHGYSCDALELFDSMNVEPDHVTFIGVLTACSRGGLVDEGRAYFNSMIEDYKILPSVKHYGCMVDMLCRYGKVHEAYQMIKDMSVKANSVLWKMVMAACRVHGHFDLANKAYRELRQFFPMDTGDVITVSNVYAEEERWDDVEHMRAKAISASVLGKLEAKGKLLFPRDYPKSKNLLGLSVALLHMSCGN >Et_4B_037487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20230137:20233576:-1 gene:Et_4B_037487 transcript:Et_4B_037487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFADDDPLRQYREQPSWAKRAWLGLQYLFPVLEWGRHYTLAKFKGDLVAGLTIASLCIPQDIGYSKLANLPPEVGLYSSFVPPLIYPLMGTSKDIAMGPVAVVSLLLGTLLQNEIDPKKNPMDYRRLAFTATFFAGVTEAALGFFRLGFLIEYLSHAAIVGFMAGAAITIALQQLKGFLGIKNFTTKTDIVSVMQSIVKSAHHGWNWQTILIGASFLAFLLATKYIGKKKKKLFWISAIAPLTSVIVSTFFVYITRADKHGVAVVKNIKKGINPPSASLLYLTGPLALKGFKIGVVAGLIALTESIAIGRTFAEMNDYQLDGNKEMVALGTMNIVGSLTSCYITTGGFGRSAVNCLAGCATAASNMIMSIVVLLTLLFITPLFKYTPNAILSSIIITAVIGLIDYKAAYVIWKVDKLDFLACLGAFFGVIFSSVEYGLLVAVVISVAKILLQATRPKTVLLGNLPRTSEYRNAEQYPEVTSVPGLVIVKVDSAIYFTNSNYVKDRILRWLREEEKRHREQKFPQIEFLIVELSSVVDIDTSGVHAFKALLRALQKQKIQLILVNPGPEVIQKLRAAKFTEVIGEDKIFLTVRDAVKKFAPKAVDSV >Et_3B_028151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1146983:1147215:-1 gene:Et_3B_028151 transcript:Et_3B_028151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLNLPEILRKFKIIWAVGTMFGVTQKVDMKIPLHDLIPTKMDVIIGNRYFQLKFEVEPFSTKLWYF >Et_1A_008236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5527433:5530062:1 gene:Et_1A_008236 transcript:Et_1A_008236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITHYVLDPFLETGSQVQTQKATSKPPPVPPYKATEKPKPIPVPSAPPVRTQTSPALHATPESTSLPESPSSFPGTWSPYLINHKRRGASLAKTLSLGDCGSESSQPNLPVTLPPLPKRSEPIEVQEPEFAFQKAANGQAEGHSGLEEVLDRENDMPQNDSVTTVNEQNLPEFEFQHGSLDALVKPVNVAKPLNGGTARNCESDAFFELQDSQSVASNTETDDAGAHERWWKPSSPLGTSVGTPGAEFYDAFEEISSDGGTRSSRCVDDDLREMRLSLLMEIERRKQAEEALESWQNEWKKLSHHLSLIALSLPSPSIAENTSDSNMEPGAELCQQITVSQLVSAAIARGFARAEVESEMETVIAAKNFEIARLSDRVQYYEAANREMSQRNQEAIEMSRQQRKNRKKKQKWFWGSVGLAVTLGATAIAWSYLPSSQPQAAESNSVSSD >Et_10A_001334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23510446:23511938:1 gene:Et_10A_001334 transcript:Et_10A_001334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEENVGPFRRTSARTRRMATRMASALASSDNRAQAALARLEALESDNAGVEVVDLNDDEYGSTDEEDPVLMQKKQSKNMKRKTRQGKALEKRAARSFMDVLHQANMESLPPHVPTYLRAAVGPPSTSSRRHYCSVCGNSANYTCVRCGTRFCSCRCQVIHNDTRCLKFVA >Et_1B_011504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2330065:2335988:-1 gene:Et_1B_011504 transcript:Et_1B_011504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ANAMEVGCRIKIMSDQERDDIPMLLRNIELPRFPRSTSMCMPVRDDEYEQDTYVPHTGPLFIQRPTQPAGGNPFTSRDTPPDRPPRPPQGKQVSKQQAVRPEEIGEFRRSYNGGVPKNEHLMMSGPLGQCDNPDCVNCPPACKNKRHFRRGPNALDNKFHSILYGHDGGWKKKIEDVLAKIPILNPHAKIVQQWNQFFVISCLISIFIDPLFFFLLSVEQDNKCIVLNWNFATALAVVRSVTDAIYLLHMLLQFRLAYVAPESRVVGAGDLVDEPKKVALHYLRGYFLLDFFVVLPLPQVMILLVIPKFVGLSSANYAKNLLRATVLLQYVPRIIRFVPLLDGQSANGFIFESAWANFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRDACYGSTIPYCDTFIDCGRGSWQSGVNRQQWLNNSNSTACFNTGNDATFQYGIYEQAVLLTTESSAVKRYIYSLFWGFQQISTLAGNLVPSYFAWEVLFTMAIIGLGLLLFALLIGNMQNFLQALGRRRLEMQLRRRDVEKWMSHRRLPEDLRRRVRRAERFTWAATQGVNEEELLSNLPEDIQRDIRRHFFRFLNKVRLFTLMDLPILDAICDKLRQNLYISGSDILYQGGPVEKMVFIVRGKLESISADGSKAPLHEGDVCGEELLTWYLEHSSVNRDGGKVRFHGMRLVAIRTVRCLTNVEAFVLRASDLEEVTSQFARFLRNPRVQGAIRYESPYWRTIAATRIQVAWRYRKRRLKRAEQSRLNEQSYPAYPNIANDAFRYGRRG >Et_1B_011589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23941931:23949050:1 gene:Et_1B_011589 transcript:Et_1B_011589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNPAQYAKLGKEEGEQSDAKDDIRPGELNQPVRVPELELKRCVMCWQVLPPDYQPPLDEAWTTGIFGCAEDAESCWTGLLCPCVLFGRNAEAITGVPWKRPCTCHAVCIEGGIALAILTAAFHSVVAPGVACMIGEGLVCGWMLTACYTGLFRHELQKKYHLKNSPCDHCLVHCCLHWCANCQEHRERKGRLADESLVPETIVNPPSVQEMSMAENRPSTVALENEAPKTERDNVEAIAQSIYQYTSILGITCVVKLGACVA >Et_6A_046587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17881917:17882560:-1 gene:Et_6A_046587 transcript:Et_6A_046587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVVQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKQREEAERRRKQEEDRLAYEAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_5B_044649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4315607:4328212:1 gene:Et_5B_044649 transcript:Et_5B_044649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNAPAGVVGPVPFVEAGHGDYEVEDPLPKGYAVAVAALPSNPKLRLRCYQGTWVQEQWVPGIIAIQRRFVPRPGDVVLASPPKCGTTWLKALAFATMARAAYPPGRADHPLLRLNPHDCVPFMELLFAKGRGSKMEVLPSPRLMATHMHHSVLPTGISDNHDGKIIYICREPKDMLVSMWHFARRVRPELPFADLFDFACEGSCLSGPIWDHVLGYWNASKASPETVLFLRYEEMLRDPAENVRKIASFVGMPFSEAEEEAGVLTDIVKLCGFEKMRNLDVNRMGGTALSPMFRNDSFFRKGEAGDWENHMTPEMARRLDAILEERLRACMASTDASGVAGPVPFVDAGDGVDTAADPLLEEYAVTVSTLPMNPKLRLRRYQGAWVPKKWVPGIIAVQRSFVPRRGDVFLASPHKCGTTWLKALAFSTMARGAYPPAHADHPLLRLNPHDCVPCLELLFATGLGRKMEVLPSPRLMNTHMHHSLLPACISDNPDCKIIYICRWTQTLAKPFLLFLEKGMEPKDMLVSMWHFITRALVDLPFADLFEAALEGNCLNGPIWDHILGYWNASKASPETVLFLRYEEMLRDPANNVRKIASFVGMPFSAAEEEAGIVTDVVKLCSFEKMKNSEVNRTGGPLLSPVFTNDSFSRKGEAGDWVNHMTPEMARRLDAIVEEKLSPVPFKDVDDGSVPEHTPKEEFGDLVSTLPCRHQSILELRLYQGFWLPAHWVPGTLVFQRRFSPRPDDVILASYPKCGTTWLKALAFAVAARGAHPPAGADHPLRRLNPHDCIPFVDEIFAGGEEAKLELLPSPRLMNTHLPFTLLPEPVTNGGCRVVYVCRDPKDMVVSLWHFLRRRQPELSFAGTFESVCDGTVAAGPVWDHVLTYWRASVARPDRVLFLMYEDLLRDPGSNVRRLAEFMGRPFSAAEEAAGAVADVVELCSFDKMKGLQVNKAGTAGAYCAMPRDSFFRKGVAGDWANHMTPEMAARLDGIVRDKLRGTGLAFT >Et_3B_031382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27777185:27777928:1 gene:Et_3B_031382 transcript:Et_3B_031382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVLEVHLVDAKGLSGNDFLGACWVVSIASLSLSPRARARIAFLRGKLDPYVIVQYRSQEHKSSVARDQGRNPRWNEVFRFQINSSAANVQHKLILRILDHDHFSSDDFLGETTIDVTDIVSLGAENGTYHMQPARHSVVLADKTYHGEIKVGITFTAA >Et_3A_027342.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:8277952:8278173:1 gene:Et_3A_027342 transcript:Et_3A_027342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSASVPHHSVCSAYHHQWSPSSPARCRRRRRQKHVSLGGRCHAVLKEHKTRLYILGRCVSMLLCWHHHDSD >Et_3B_030511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4512992:4521715:1 gene:Et_3B_030511 transcript:Et_3B_030511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLASALLLLVLNYGITMAAASSDENFFQSCPASRCSEVGPEIRFPFRLETSSSSCGAPGMELLCSEQADTILVHPILGICKVISIEYKYRVIDIIPMADSKCPLQNIITTNLSTKVYKPDGPDLATLVSCVREFRPNSRVRFAGPISCLSNTSQLSYLVSSFQSMDVLPLDCEVVSNGIWIPFQYKNMDMNFNEMAKGVITSGEMTLKWSVPNITDVCEDCEIGGGQCGFGTKTRQAFCKNHSSHVKLIAATSSVSTFLVLSIMVATALCLALKSKNDEEIHLKVEMFLSTYRTSKPTRYTFSEVKKITRRFNNILGQGGFGSVYKGELSNGVPVAVKMLDNSKGEGEEFINEVATIGTIHHANIVRLLGFCSEGSRRALIYEFMPNESLEKYICSKQPGACHKEKLTPSRMLKIATGIAKGIEYLHQGCNQRILHFDIKPSNILLDYSFKPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSPNFGTISYKSDVYSFGMVMLEMVSGRMSLNPRIQNTNEVYIPEWIYEKIVMGQELEMTREMARTDKEIIRKLAIIALWCIQWNPANRPSMARVVNMLTDSSLSLKTPPKPFHVHPSSRRLRRCARLHRERAGAPHATVGERGGKDFFDISLVDGFNVPMDFLPVPANGQGGQGCSGGPRCAANITSQCPTKLTAPGGCNSPCNFSSTCAATSELAYFIRMCPDAYGFSKDTSSSTSYSCPSGSNYQVVFCPPLNISASAPTIDSPAPIPTESTHMGSSSFARSKGIGVILGSVGGFIVLAVLVTFFAYKQRTRKRRELQEPAALPGGGVKLKPRESWNNSCPSGTDYQIVFCPPIDFPDSSSAADSPTPMANVGAATFNVTNQCSYTMRPAALPGVKLEPREWWTRTCPSGNDYQIIFCPQINLVAQPPDTNSPTPTNSSFARSKVFGMIAGSVTGFILLIVFISLVAYKRRTRKPREVQEEDEELGELPGMPMRFPFQQLEEATDQFREKLGEGGFGSVFEGKYGEQRIAVKRLDRAGQGNKEFLAEVQTIGSIHHINLVRLIGFCAEKSHRLLVYEYMPKGSLERWIFYRHENYTPLDWPIRCKIITDIAKGLSYIHEECMKRIAHLDIKPQNILLDENLNATF >Et_4A_033558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24473046:24475595:1 gene:Et_4A_033558 transcript:Et_4A_033558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLARSAAAVARSALRPAPLAGRVLSAPLPPLASPSPARSARILRRSAAASAGLETLMPLHTAVAGARLRSCIAVDSSCWSSLSQVVCICGYSAVLPLFGSGLDRPRCHNNSNRGRMLLDSSNVDVVADDH >Et_10B_003642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4215604:4223099:1 gene:Et_10B_003642 transcript:Et_10B_003642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADFLQILLVKTMRLLITLWVLLGCAGVLHGDKQPLSRIAVERATAAVVGSATVKAHPTVLGLKGESSDWVVVEFSHLNPSNDDWIGVFSPSGFSSEICQPENYGDLPPLLCTAPIKFQFANFKNDGYNKSGKGSLRLQLINQRADFAFALFSGGFSAPKLVAVSNKVTFENPKAPVYPRLAQGKSWNESPGQVDTISREQCHLYTYRLGHRLSDGTHIWSKSYSFRASPYPGQDSLQRVVIFGDMGKAEVDGSDEYGNYEQASLNTTKQIIRDLENIDMIIHIGDISYANGYLSQWDQFTEQIEPIASTVPYMIGRLLFVAVTWLAVSAAAHPGRGGGGEQPLSRIAVEKTVHAVDGVAHVKASPLVLGLKGETSEWVDVEFFHPNPASDDWIGVFSPADFSSAICEPENERQYPPVLCTAPIKYQYANFKNDGYNKSGNGHLKLQLINQREDFSFALFSGGLTKPKLIAVSNKIAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDITEAVPFVEWGEKGGRRLLSPAGTLTFDRNSLCGAPARTVGWRHPGYIHTSYLKDLWPDSQYTYRLGHRLMNGTRIWSKSYSFKASPYPGQDSLQQVVIFGDMGKAEADGSNEFNDFQPGSLNTTRQIIRDLTNIDMVLHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGSGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKFWYATDYGMFRFCVAHTEEDWQPGTEQYKFIEHCLSSVDRQKQPWLVFLAHRVLGYSSCAYYAGEGTSEEPMGREALQELWQRHKVDLAMYGHVHSYERTCPVYQGQCVAAASDRYAGAFAATTHVVVGGAGASLSKFAAGAADVQWSHVRDFDHGFVKLTAFNHSAMLFEYKKSRDGEVYDWFTIERDYRDVLACAVDNCPRTTLAS >Et_2A_018165.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1621997:1623127:-1 gene:Et_2A_018165 transcript:Et_2A_018165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLKVASSRRGGQCLCSPGLLNLLVLLSLLSTNLLALLAFLSPSARVPPGPAAANGSSSAISAQVAAIAREIDSSRLPYRPPDGSLPPELLLFLSPHALPLGRDAHTGLTHMPASVAHACFRSPSTLALLSAYASYAPHAACPRNATLPHRLVSKGCEPLPRRRCLSRGPRAPLPASNMGVDSRRWVKPRHDHEFLIDDVLRSSASFKIRIGFDVAGGAANFAARMKERGVTIVTSVLDNAGKPMNEFVAARGLFPLLLSPAHRFPFYDGVFDLVHVGTTALDEGGAPALGLAGTEEALEFFMFDVDRVLRVGGLLWIDSYMCRSEERRQMVVRLIGRFGYKKLKWMVGVKAGSGSTKTTMYLSAVLQKPARG >Et_1B_010662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12821586:12828664:-1 gene:Et_1B_010662 transcript:Et_1B_010662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTAVEADSSAGDGSRQLAPPPLAALPAGGGGEVVIDLSSSDSDTESDGPGCRGDGGKRPLRTAGGGWREEKKPRISAAAVGVPPGFLDPLPPTRLLPPPPPRQEISVTKQFWKAGDYDGKPHLLGNEAAQHSDSGMDHVRVHPKFLHSNATSHKWALGALAELLDNSLDEVANGATYVNIDMMENKKDGTRMLLVQDDGGGMDPDKIRHCMSLGYSAKSKLKNTIGQYGNGFKTSTMRLGADVLVFSRSRGNGGTRPTQSIGMLSYTFLRSTSKEDIIVPMIDYERERVWKKKVRTTWADWHMSLATIIEWSPYSTETELLQEFDSIGEQGTRIVMYNLWEDEEGQLELDFDADVNDIQIRGVNRDQKRIKMANQFPNSKHFFTYRHSLRSYASILYLQVPCIFRMILRGKEIQHHNIVNDLMLKKQVKYKPVMVDGLPKDEMVADVTIGFVKDAKHHIDIQGFNIYHQNRLIKPFWRVWTPADCRGRGIIGVLEVNFVEPAHDKQDFERTNGLARLEARLVRMQKKYWSDNHHRIGYGIKHGNKNSGAGDRDSSPDGAPNTVPALPQSGRKSNYLQRHKNSDKCADPKRQSSSRIMSQGRTEKSARTIRSKVSLHGSSHTGDDDNTEIAGAPPRSTSPILRTPEKYLRNQSTCLPSPSPSGVKRSARIATRHQLKSKDENSSLKESISIIKESLSRELQIERDKNKSLTERNEDLEKQLETAKKEQDALIDIFTEERQCRDQEEEKLKKKLKEASSTIQDLMEQLKAARNRRNR >Et_4A_033554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24401255:24403001:1 gene:Et_4A_033554 transcript:Et_4A_033554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSQLSATLIELTFWNKGGTETTESVLQGCDTLYHTSIKMSDGQETDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQISRVAKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKTLEMGAVETLIVWENLDVNRYVLKNSATGETVIKHLNKEQENDQSNFRDPSTNAELEVQEKTSLLEWFANEYKKFGCTLEFVTNKSQEGSQFCRGFGGIGGVLRYQLDIRSFDELSDDEGVYEDSD >Et_7B_055074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:770802:772399:-1 gene:Et_7B_055074 transcript:Et_7B_055074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIVIAVVFVFDVLAFVLAIGAERRRSSAYVTVDLNGGAYCVYSSDASTAYGVSALLLLLASQVVVMVVSRCFCCGRALSPGRWRAFSGIFFILCWITFVIAELCLLAGSVRNAYHTKYVSRFSEGPPQCAKLRKGVFAAGAAFTFFTALFTELHYIFYAKARNAVPPPIVGGIGMTRM >Et_1A_006894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28771568:28772544:-1 gene:Et_1A_006894 transcript:Et_1A_006894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLSPTTASVEEALTSCLQPSDSAKSLETCSASRARSVTQVTRIHHLTLDEFFIRSEFQLPREQAGFLNRLSKSDGSLNPQFLILQSQSGDANEHVAENNAFDGNEKSNLASSNDISRNEEKATHAAEKVAAEGKLNVETSHDKQKISWDLVLLCHIMLVGMLQSLHSQLMFNMNLPSSTSSVDVSHKEPIIPSTQNKDNAGATNKRTSPDILSNFFANSGAQSSSPFIDPVHSLSMPNYEPQRW >Et_1A_007643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36775234:36781997:-1 gene:Et_1A_007643 transcript:Et_1A_007643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLAPPAVPMELHAGNRDRLVAALRSHLSASARPLRGLVLLQGGDEQTRYCTDHLELFRQESYFAYLFGVREPGFYGAVDVASGRSILFAPRLPSDYAVWMGEIKPLSYFRDTYKVDMVFYVDEIAQVLQDRFSGDGKPLLFLLHGKNTDSGNYSKPASFEGMEKFDTDSSLLHPILTECRVIKSDLELALIQYANDVSSEAHIEAMRQARPGMKEYQLESIFLHHSYMYGGCRHCSYTCICATGENSAVLHYGHAAAPNDRTLDDGDMALMDMGAEYHFYASDITCSYPINGKFSSNQIIIYNAVLKAHNAVIEHMRPGVNWMDMHKLAEQTILESLQKEQILHGDVKDMMAQRLGAVFMPHGLGHLLGIDTHDPGGYPEGLERPKEPGLSSLRTTRELKEGMVITVEPGCYFIDALLAQARNDPISSRFFNWQEVEKYKTFGGVRIESDVFVTAQGCRNLTNCPRETWEIEAVMGGAPWPLPASNSTATATQNGMSKA >Et_2B_020103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16905011:16926421:1 gene:Et_2B_020103 transcript:Et_2B_020103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPPPPPRVCVTGGGGFIASWLVKLLLSRGYGVHATLRDPSDPKNAHLMQLDKAPGNLHLFKADVLEYDTLTPAVKGCEGVFHLATPVPEDKISEVMDAAVKGTVNVLKVCSAAKIHKVVVLSSVAAVDFDPNAPQDKLKDENCWSDKEFCKENGDWYSVAKITAEEVALEYADKNGLNVVTLCPPLVFGPMLQPTVNTSSKFLIYIIKGGPDAMSNRLWPIVDVRDVADALLLLYQKKESLGRYICSPNHVFMKDLVDLLKKMHPEYNYVNKIIDADPKAPLTCKKLVDLGWNWYAVAKIMAEQAAWEYADNNGLNVVTLCPPYVFGPLLQPTVNTSSKFLIYVIKGGPDAMSNLMWHFADVRDVADALLLLYEKKEASERYNCTPYHICTRDLVDMLKKMYPKYKYVDKIVDADHGVPATCQKLMDLGWEPRKLEEMLSDSVECYEEAGLLKDVPGHPCQLPYQGMAMPPPPPRLVCVTGGGGFIASWLVKLLLSRGYAVHATLRDPGIPLRAAACFSSGSLLTVLVPAHCPRWLMDDDFLDDPKNAHLKKLDGAPENLHLFKADVLHYDTLKAAIEGCEGVFHLASPVPENKIIDPELEMLDPAIKGTLNVLQVCSSAKVQKVVVVSSTSAVHFNPNWPQDKPKDERCWSDRKLCVEIGEWYAAAKTTAEETAREYAEKMGLDVVTVCPCLVFGPLLQPTVNTSRKVLIYAIKGGPNTMKNIMWHIVDVRDVADALIIAYEKPESSGRYICGGDNISTMAMVDLLKKNYPNNNYVNCNIDIDLQIAPISSEKLRSLGWKPRKLEETLLDSADCYEKAGILQDVGNSPRGGYIASWLVKLLLSRGYAVHATVRDPSDPKNAHLGRLEGAAENLRLFEADMLEPHALAAAVAGCEGVFHVACPVPMDKVADPESEVLAPAVKGTLNILQACLANNVQKVVVVSSTSAVHFNPNWPQGVPKDESCWSDKDLCMKNEDWYMAAKTVAEETALEYAEKNGLTVVTVCPCVVLGPLLQPVVNTSSEFLIYIIKGGPAVMNNMLWHIVDVRDVADALLLVYEKAESSGRYICAPDRINTKDLLNTLKKTHPNYNYVTCTSDPDCKSIVTPITSQKLKNLGWKPRKTEETLSDSIKYYEKAGLLRDAQPHLKSSVARRGDVMAGVSVCVTGASGYIASWLVKLLLSRGYTVHGTVRDLSDEKTGHLKRLENAAENLRLFNADLLDYDAMAAATAGCQGVFHVATPVPSENLTDPEIQMLGPAVTGTTNVLKAATAANVRRVVVVSSIVAVEINPKDWPEGKIRDENCWSDREFCRNNKSWYPVAKIISEEAALQYGRQTGLDVVTVNPGLVFGPLLQPTVNASSQFLIYLLKGGPDQVRDKLWHIVDVRDVADALLLVYESPQASGRHICAPHVISVRDLLDLLKSKYPDHPCITKEAMYDMDHPAPMTSEKLKKLGWSCRALEETIEDTVQFCRDAGFLEDVNGASPCRFPPLFNMI >Et_4B_037471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20016108:20022583:-1 gene:Et_4B_037471 transcript:Et_4B_037471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRPPRSSSGGVEPKIRQVGFFTPDASGPSEPPAAAAPAAAAAPQQQTVPAAESPPSSDLSPGRLSPVMIPPPRHADLLAPGLPGKSVGTASVAGAATSKQAKSTKAAQKKDLPQAAGSVASEKKTTERLPERERKKDAPHPRMQFDDVHKVEKAKKRAVVNQSEARNRVELFRHLPQYVHGTQLPDLESKFFQLDPMHPSVYKVGLQYLSGEVSGGNGRCIAMLLAFREAIKDYTTPPNKTLSRDLTAKISSYVSFLIECRPLSISMGNAIRFLKNRIAKLPHTLSESEAKASLQSDIDRFISEKIVLADKAIVSHAITKVRDNDVLLTYGSSSVVEMILDHAHELGRKFRVVVVDSRPKLEGQGLLRRLVAKGIDCTYTHINAISYIMHEATRVFLGASSILSNGTVYSRVGTASVAMVAHAFGIPVLVCCEAYKFHERVQLDSICANELGDPDVILKVPGKADLVHLKDWVDNANLQLLNLTYDATPSDYVSMIITDYGMLPPTSVPVIVREYRKEQLWDVIGEEPFADFL >Et_8A_057375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:24086526:24090756:1 gene:Et_8A_057375 transcript:Et_8A_057375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGHATVNDAAVNRRPRRLFGRLSERKNPVNAQFERQVAQFESRQQQQQRCIVLTVIPINFYRDFQSLSPGENGNIHPSSEPTSPEGSLEPSSSPPILFLHFNVPDESHRRWPDNSSRLLEEKSSTSNSMPDSDFLINSFAKPSVNARHTARRKSKKKNKKQKQRCRKPTGRSEAKCRESNGTAPVIEVGDCEDLTLSPKHVGDILFEENFSPNSSVKEASEEALESENDNEYHCCSCASVSSASYCDEIELSRSTTSCPGLFGQYTTDSEFTGSSQETCYAGYSMNCSHDTNTLLIFRDECGPDSCEVTDCCSFSSGVDENWLEKSDYGSGICPQDASRLDNGLQAVHVCSDTSSDSGFHLVISRKRARKEKKMSLWKNASSFTHGRNEKYTDCSSRQMTRELNTEDWPHRQSHVGGIQTQHVTLKHPTKNFTHKPINVCTETQNGVPSKDSKLGASLNCFSIPKTNSIGNSASSFSKMHNFYSNRKVSDAVHSRESNPCDMISNSSSEHMTLKSSKGNGISESGKSTVHTVGALLTQKRVLQDSGQDTNASFKSTPTDSVVGGATISSVEGNRSSQELFDSGMHLVEMIKVVNDSYRAQVAADVHFAAGYPITNLESFIHSATPAISPIPPVRRSNCSWGQNCRDSVCQHDVSSVSLRSIWEWYEEPGCYGLEVRAETDLNSKTSCGNSSEFLAYFVPYLSAIQLFGWSKKNTNHSFGVQGREVLKSSSTASSNHVPSKLHKPYAESNTCLSESSFFVEDHGELIFEYFETEQPFFRPPLFEKIKELVSSANVSDHRVLGDPEKLQNVKLRDLHPASWYCVAWYPVYRVPRGNFRAAFLTYHSLGKLVPQKCSPDMTVEYNRIVSPVVGLQSYNDKGEQWFQLRCSDFKLSPSDDASKTSRAEVLKDRLRTLKMGALAMSRAVVPNGIGKSVNHHPDYEFFLSRCT >Et_10A_000781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17152534:17161550:1 gene:Et_10A_000781 transcript:Et_10A_000781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLPTPATAAAGAPTPRPAWNTNRNLVVTHPLLSLLESCASFRRLLQLQALLTVSGLAAERFPASRLLAFCALSDPPRLAHAEALLARAAAPPGPNAYMLATMMRAYLRAGLPRRALALFRRVLRDRLPADARTLVFAIKSAAAASSPGGAVHCVALKRGFLHQSVLVGNALVHLYASGARLRDARKEVFDGMAVKDVYSWTSMVGAYAKCGDLDSAARMFEDMPTRNVVSWSCMIAGYSQANQPEEAVRMFNDMVAAGVEPIDATLVSVLSACAQLGCLDLGRWIYDKYIVSNKVRLTVNLGNAFIDMFAKCGDVGGAARLFGDMEERNLVSWNSMITALAAHGQSEAALHIFQQFKGTGIVPDEITYTGVLSACSHSGLVQGGRYHFKEMKNVYGIEPRAEHYACLIDLLGKVGLLDEAFEVASSMPKGADEAGWGALLNACRMHGNIEIAECAADKLVGLDPSDSGIYVLMSQIYASKSKWDQVRMLRTIMRERGVKKNPGRSSIEVDGKFQEFLVADVSHVRSEDIYAALKNIYLHSKSEELCHVEQIMGKGSVRIVEG >Et_2B_019501.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:9160741:9161097:-1 gene:Et_2B_019501 transcript:Et_2B_019501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMPATPTTPMMMTPPMTTPPFPNYQVSGAGVAAPRHGRSSSGSIGAFFGVLAAVLLLTVLSCVFGRVCAAHAEGPDELYDCTRLVHRRRRRAPRPPVPAAEAKQPAEPPLPLPEP >Et_2A_018726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:588922:593010:-1 gene:Et_2A_018726 transcript:Et_2A_018726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPPPEAGGGAEQESEAEAEASRLLALAESELSAGRLRAARKHARRAALLEPACPRAALILTAASVLLADESSHDAVLLIPSSSPLSPNALRRHFKSLAKSLRPRPDDGAASSPAVAAAAEEALSRAAAAYEALTAPAPAPATFWTACAGCRLLHEFERQYVGFRLMCPSCRRTFLATEVPPPPEAGAAAPAPPPTKKPKTEMPEMTLAEMQLSLTKRKGAKDRKAPNRSSRGMVEDQDGAEDVEEEENIHSELMAVEDSDFYNFDADRSERCVKRGQVWALYGDDDGMPRHYAVVDAVLRGSQFRARILWLDGDEGKPCGQFKVGRMETLHSVNVFSHLVACERAAIDLYRVYPRKHSVWALREEGNEGRIKHEIVVFLSGYTELYGASFGYLEKVEGFRSIFTRRAVGSHAVHTSQKGDLGALSHQIPARKVSKGEGSTLPSGDCWELDPASLPSELLRGLVLESMILLVEVNQNREAVKKFLPASTAVPMHERTPERNELKGNVPTNPMFSENSPHERFAEISPVKTQSAKTHLNESSSNSENNIQVNDLQR >Et_4A_034959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8209393:8211293:-1 gene:Et_4A_034959 transcript:Et_4A_034959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKAKSTALSVAEKCRNILAAGWEAHLNTIKADAKGSKGEIYTSRVHYMFQRGTPYLIVPENHMHNINIIIDERGSLAVSSPIRGRVASLLKSLRKLPPRVAMTGDVLRLKDAKVPVIADSLKKAILKEHEAESEASHGVSAILSVAGSTCRSRSEGLLSLLNEEKSYSIFKFDIGSCVYIDSSGSGHDIELDNFEPLKSVPFSARLIDGINRSEPRRRALILFCLEYFDVTARNALMLSIDHHGFDVLGQVPVNIISADVPQKYQWKEFRFTFKEPAQDVADFCSKLVELEEEALQSIKGYSGL >Et_8B_058829.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:9893751:9893894:-1 gene:Et_8B_058829 transcript:Et_8B_058829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLMVKLCFRARTSLRRKLRPLFRSGSLAISLAPQVNGSFSVSKK >Et_1A_008556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8824913:8833556:1 gene:Et_1A_008556 transcript:Et_1A_008556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGALASQEKPVFVANLKASLESFFFAAKRLAKSALAPLGPASEPCAGVDEDQLRRLEAKLQRVRAAVRDAERLAADTAGESAELWLRELRDVECGVEDILEKVRFEALRASLVDDLGDDDSCGAEPAKNRVRNREVGALLSHSPLSSLGLKIKKIWETYHEIASDREALRLTPEDGMLRPCPSARPPTSSLSSGELYGREGDLQRLIELLLSEDCGGCVFTVVSVVGMAGVGKTAIVQHACSDQRVRDFFDCHVWVYSGQGVDVVRATKTMVQACGGDTRDITELSLLQGLLVDLLKGKRFLIVLDEVWSIETAVWELLEVPLQFALRGSRLLVTSCDAEVGVIMGCDQRHQLMLNCLPDAASQTVAEKECSRIASYKYIKRQDIINKYESIRHTSVLPSITESEITIEGQFFHRQDLRTLLVIKRTCYGADESSLYVKIPHDLFLHLECLRTLDVSNTSICQIPISIGNLIHLRYLGLKNTNLERIPESVCGLLNLQTLDLKNCHYLNELPRGIRYLVNLRHLELPHGPIYVPPGIGQLTSLRTLTTFNVGGVSWNCKISELAHLNSLKGFLHIQSLNNVENAEDAKGACLAAKKLKKLSLEWCHSEDIESDDCISVAEHVLYALKPHNLLGELSIKGYYGLQFPWWTADHSLTNLDSITLDNCYNCKKLPPLGALPSLRFLFVQNLRGVQLISSEFRVTESINHKSFPKL >Et_7B_055967.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8228829:8229125:1 gene:Et_7B_055967 transcript:Et_7B_055967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQGMVDDIFHVCYSAHHKNIGVCVAVNKKKGKRRLIRFGKAKKLQKVFRAVLDKIKRLRLRIRVKGLDRLRRHCQCFAVPKLTVPRSKEHKYQRLE >Et_10A_001280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22905863:22910579:-1 gene:Et_10A_001280 transcript:Et_10A_001280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLRARAEAAVASIVAVRPHEMPPLLSAAATFFFILSAYFVVLPLRDDGAISLGLDTLPGLFAGSLVLTVLAAPVASLAFSLPSIPKPRALVLIHRFFSISLLAFFVLWFASTPGKSQPISLSREDDSSKRAGWRNHSCFYIVVRISLFLWVALLNLIAISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFAASMAWLGPFMLLFSSLLMELAALSSKGICIDGNHGSIELSGTGAEQIRNTEADDETSSLVSSPTSPLQSQKSKPPVFVMFEGFWLILHSSYLRYIALFLWLSAVVSSIFYFQKVTIIATTISSPTGRRRTFALINSFIAVFILVGQLTLTGHILTVAGVTIAITASPFVAASNLVALAIWPTWVVVSVTETIRKVTTYVLMRPGRELLFTVVSQDEKYKAKVCIDVIVQRLGDATAAGIYTFLFSRLEKKASMATLYALPLCFLWILTAFHLGRLQASRARLQAVSMTS >Et_1B_011639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24411182:24415027:-1 gene:Et_1B_011639 transcript:Et_1B_011639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRGHRRSASQDEDNVGCVWGLMRMLYFRRDPKFLLDTKLSARHAYREINEREHSMKRSREFDEIEEDGNTEDRTSQQPTVKNLIADELGKVKLLKKIPNDSQRRLSEMGNDVTLDGRAKQTSKPAENSHHHRDMGVPLSQSVDSEVSNDTEEYDLESVLTNMLGEIYSCHNECPHGDCKNKNELCPSLRSLIHKKVNDLNNRPRNIDRGLSQESNDGKLLNQNSLSNTMAAQSKQLKDALEILSSNKELFLKLLQKPNPNTVDNIQKQQKVKDGFEANKIPRQTSFVEERRGSSEHQWATKEQAKESKYMFFWRKDKSNRREMPETTNGAQAVSKIVILKPNPERGIDPKANSGTRGLHQERSKSHAPECSRKETSKFSIKEVKKRFRIVTGDSKRERNVVPAENPQRDPRRVKDSVIAIKKDFIHRPEVSLEDKSASNFKNDTRPLISGKEKQQIDCISEINGRTVGQKDESFFYKEAKRHLSEMLKDKDGSMKHPTVQFPKSLKGILSLPHRNGSTPEDSPRGRDHRLELSPKETDVCHACNAEREECPQERSLSPNGLGHIACSTSATRVDKLTVQEGYCINEAQEGPIHVTDEPEGTYTEEIDKFDCCARTDNTQCIPAEQTDDAEQGILEETEQGKEHVKMSPEFLENMVEQLEEQEPETPEPRASTKIISISHGSPEQSSESKENPSPVSVLESFFDDIGSPDCINKKECELNEDLHRTLYFGDDVSDVIWEDKNARLDYVKLVLELSELCAEQNLEVWYLEDELISPCLFEELPNQGYQTNDLKLLFDCICEALTEIQERYFRLSSWLSFLKHDVRTPPVGENLIIEVERYVSRYIQCSCPSTNEQIIKKDLEIQTWMDIRSKTEGIVMEIWEFVLDELIDEAVFDLWI >Et_5A_040569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:120785:128099:1 gene:Et_5A_040569 transcript:Et_5A_040569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELSSRLRLLRPLLLVRSPFPARSRALQTLARAPPPSHLSFLLLSRRLPPPYRSPLLLLRPFASVSPAPDHLDSKDHGSPPPPAPLPPPPPEELASEDEAYYHEHLLEVAQEKQTRVVPVKAFFLCTSIDLRSLQSQNSFNVIPPTSRATNYVVLRYYDVKGDPEGFKSGVIDESHCHYMVVFQYGSIVLFNVSDHEADGYLKIVEKHASGLLPEMRKDDYAVVEKPTLETWMQGGLDYIVLRGLSIDGIRTIGSVLGQSIALDYYIRQVDGMVAEFTDINRGMEKTGTFTMERKKLFQLVGKANSNLADVILKLGLFERSDIAWKNANYAQIWEYLRDEYELTQRFGNLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILISVEILISVYNIVQEQM >Et_8B_059946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4356009:4358670:1 gene:Et_8B_059946 transcript:Et_8B_059946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLAGRWTRAWIGCSVASCMGGSSDFGLGCLELEFVAEGVQLAWVLTVNWLTYELDLEFDAQMWATAVSGIEEALNFLDVENDVNLILADYFMDGRRGYELLTKVKESSKLKHIPVVITCTEDDPDLIKKCMEGGAKGYFLTPLKFEDVPTLLSFTEEQDKGDE >Et_8A_056346.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23929376:23929711:-1 gene:Et_8A_056346 transcript:Et_8A_056346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSPSAAQAVLRTQDRYVIASCAYSPVTDILFYGSTDVAFSPGLQGYNEEHWRQVKKIVTTHLLTNRKVRSWPRSAGTPSPVKNVVDLSELLNAFFFLRSTGGGGGIPT >Et_6B_049690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:919880:924405:1 gene:Et_6B_049690 transcript:Et_6B_049690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVPAHAQVQQLHLLQHPTKGTNAERKHTRTSSDASDPSTPRKIEDAKNISIYNNVISFTLFELETITKSFRADYVLGEGGFGIVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRRTASSLPWATRMSIALGAAKGLACLHNAQRPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDETHVSTRVMGTFGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREQSLVDWALPKLSDKRRLLQIMDPKLEGQYPVRAAHKACSLAFYCLSHNPKARPLMSDVVETLEPLQGSGGSDGSCQSSGLPDYRVRRRLTGNSVHYRAIPNPKCSPAVPTCRVR >Et_3A_026291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6482765:6484292:-1 gene:Et_3A_026291 transcript:Et_3A_026291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFRKPKRGISTKRVDHLVQNGQHPFDEGEEQQRYWPSPQAPPVSPSESPRTPGGSQKKAVLGKVKSKAKKWMHLLHHKKKPAQEELMWTPRAGPSAEDTRGRDDQLDAYLRTPRGDFDRTPEVFVDASPRQNSPAPSPTAHKEQPYFKVSSRFESEMKEANEMLRESKQLRVNTRQKTVTFAPTLERELGNDKNRRSSKELSEAATEVFRNAYVTLYQAAIKMIYKIHEIMIAYNIDRRHMLERVLSVNRYLLLKLEPGQDDKVLSEVITEAVLDLFDTWIENVERPLVQRAKEISSWFLNEGREVTPPVPLSTHPCVYEDAEEFYSLEN >Et_1A_007325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33326815:33330586:1 gene:Et_1A_007325 transcript:Et_1A_007325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQPPPSASAPAAGVSMKDYLKRYESGAASEKKAKKKTKKKPKPATAGGGVLIVDEDPVWQKPVQLEEDEPASSGDDRPLVDEDIEVKRMRRLEAIRAARPYNAIAEDGSGWVSVAAPESGGQTRRRNDTPSPRRGGDAREDISPPRRRQRRDTPSPERGDVAGKDLSPPRQRGRRQDTPSPKRNGIAEQGDLSPPRKSKRQEDLSLPRRRARHDSEEPQDLSPPRRCVRHDSEEPQDLSPPRRRGRHVSKEPKDLTPPRRRARQDSEEPKDLSPPRRRARHDSEEPKDLSPPRRRGRHVSKEPKDLTPPRRRARQDSEEPKDLSPPRRRARHDSEEPKDLSPPRRRARHDSEEPKDLSPPRRRKPQESAIVGDLSPPRRQNLGRSTEDSDLSPPRKGQKFASDDLSPPRKERDLSPPRKKGRKDGAPKETRKAGLMTAEQVKEDIRQIKEDEKLKFMSLDPSLVGKGAKAVFRDKEGKRISEEEMRKAKEPEKPKEIHIEWGKGLVQKREAEARFKELEAEKSKPFARTRDDPELDTMLKNRIRWGDPMAHLVKQKDPDFLLEDLGDDEKMKESGFIVPQNIPSHSWLKRGVDPPPNRYGIKPGRHWDGVDRSNGFERDMFKLKNEKQAMEQEAYLWSVSDM >Et_7A_052251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6159357:6164201:1 gene:Et_7A_052251 transcript:Et_7A_052251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFDGRMPTRGVEQAIVAMKKGAHILKCGKRGKPKFCAFRLSSDEKMLIWYSKGREKHLSLNSVSAVVLGQKTINFMRQRWQEKKPQSLSLIFNDGESSLDLICSNRDQAEYWYLGLRALISVPYSPCSSVGSRSSRQISSCTNTPRTYIQRKSRPQAVYGTPRHIQVYPSHGSPKKTREIFFGGDADGSEALFYSRQRTMSDIDIYLEKFRCEISSPATHSLKDTIVANKDKAHQITPIPKLKTFDGPRSACRLDSLKDVFFWGDILDSMSGHKEPSKALPELVDSTNMIDVQSIACGENHAAIITKQGEVYSWGNEGSGRIGPQVNISASRPRIVESLASLHVKAVAYGSKRTCAVTVSGELFEWGEGAHSLGLLNDCYGRNQWFPHKLFSPMDGISVSKIACGPWHTAIITSSGQLLTYGDGTFGVLGHGDTQAVARPKEVESLKGLRVKCVACGPWHTAAIVEVASSFKSDNPSKLFTWGDAERGKLGHADKKMKHVTCGTALTVALTNIGVVFTMGSSMHGQLGNPQTDGKNICTVEGLLKTEIVRQISSGSSHVAALTAKGKVFTWGKGTSGQLGLGDYDNRSSPTLVEALEGRHVESIACGYNFTSAICLHKAISRNDLSVCSGCKMPFGFTRKRHNCYNCGSMFCSSCSSNKIAKAALAPDKNRRYRVCDVCFSHLKKSVDSSKVKSELKTGKGDMLRAEIIRAYTPRLSRIFKDATSSEKKALAQGSNKRNEDTATQIPVKYQRWGHVECPAQFISAQGSFRYELVSKNHTCGISMSQRIHDPVPLKSSSSLQRPSDSQREELNRTETIPIDEVNQLRSQVALLAEQCQQKSLQVQLYKQKLDETWLIVRDEAAKCKAAKDIIKVLTNQCNALSGKLLLSQQSKTYKIAPDSSPGQKMTEDLQHFPYPNQKLATGKISQFSNTQNPHSSDQTDKEYTAASNLPVPDELRSHQNSPGTFDSDGCYITGAGTPVSSVTASTVEQIERGVYVTFDVSPCGRKEIRRVRFSRKHFGEKEARHWWEENRSRVMQSVALGNCSMIS >Et_5A_040491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25416525:25417766:-1 gene:Et_5A_040491 transcript:Et_5A_040491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALAEALVPFYPLAGRLGRDGEGRLEIDCNGEGALFVEADAADTAVDDFGDFTPTAELRRLVPDVGEYDTDDDDVSAFPLLLVQVTRFRCGGVCLGIGTDHYVSDGMSTVHFINAWAELCRGEQISSPPPFIDRTLLRARVDQPTFRRHDEYLPPPPALPATTAKLLLSAASDETAVEMFKLTRSDLARLRSRYCLPAGGRRLSMFAVVAAHVWRCVSLARGLPPEQPTMLLTAVDGRTRLNHPPLPDGFYGNVVFHAAPIAEAGTVMTRGASSLVQAALDRVDGEYCRSALDYLDRLPPEELAAIARRREAAFWYNLVVTSWVRMPIHGADFGWGRPVFMGPAGIAKEGVAVVFPCASGDGGLFVVICLQAEHMGKFRELMNDATAAECCSTARCSKM >Et_6B_049173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19012716:19020099:-1 gene:Et_6B_049173 transcript:Et_6B_049173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETPAAAMSADDELRRLLASTLSPDKAAVDAAAAGLDRVAADPRFPLAILAVAAGDGDQGIRVAAATYLKNYTRRNLEGSLSSSAVYKEFRDQLAQALLRVEPAILRVLIEVFRQVVEKDFIKDNLWPELIPQLKLVLQNSNLIGQGQQSQHPEWSTINALKVLQAVVRPFQYFLNPQVAKEPVPRQLEQIAAEILVPLQVTFHHFTDKVVLSPDGIKLEYEQLLLITCKCMYLTVRSYMPSRIKQILPSFCNDMFRILDSLNFNSLPEDGATVRLKVAKRCLIICCTLVTRHRKHADDQMPHIVNCAINISKQSINLSKLDSLPNRICSLAFGVISRVLETGPDIAEWEEDTDEYMQKNLPSELDDISGFTEDLFTARKSAINLLGVIALSKGPPVASAASKRKKGDRSKGKSERSSIGELLVIPFLSKFPIPSRSEDASSMIVQNYFGVLMAYGGLQDFLTEKKDMMVTLIRNRILPLYSLDPCSPYLISTANWVIGQLALCLPEAMNANIYHCLMKALSIEDVEDITCYPVCASASGAIAELIENGYAPPDWLVLLHAVVKRISTGDENESALLFKLLGIIVEGGQERVLPHIPEIVFNIASIIMKLVPLAPEPWPQVVEQGFAALVAMAQAWESSAPDENKKDEKMVWQSGQSAISQTFSSVLRNAWLLPTEGTELNFSSALPPPSCVNDASVLLEFIMRSVTCIEEAASMKVFELVAVWADTIAYWDSWEEMEDQGVFNTIKEAVNFHQSFDFTGFFLKMLPSQSENDLQSSVIGRVSNFVTRAIAAYPSATWRACSCIHTLLHAPNFSLGTEDARKALAVSFAKAAFSRFESVSDSPAGIWKPLVLAISSCYICYPDAIEQVLHNCDGNGYVIWTSALAQVSSTSFSPGLSSASEIKLAVLTLAKVIERLLALSMGGTKTEEEFLERYALAAAGESIEIVEEGDIDEETQDIELGSLDEVDIQQVVLSLMQNQPALQAQTLPDSVVDKITETFPEHGHLFQAHRLA >Et_9A_061757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16115901:16125206:1 gene:Et_9A_061757 transcript:Et_9A_061757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QQDEGDNMKVHSGPANKEHPIEEWKLATLVLRRTTVKIKCKYTCEVVLDEKYSPNLQVKLPLGFTSHFSLGLSGDDSLDVSEVGEVQNIREPSTLWNSEGSPDLASNHQDLDLSYPQLPATLEEPDNYSLPGLNGLRIDGLAFPGQELRASGYNINGTVCCYFKWVRQLDDGSVNFIEEAMGPSYLVTADDVDTLLAVEVVPLDSRERKGDCQKVYANEQRKITCDPETKELIKKTLEIGHVIYEVKVQMPFIKILRKELLSYVEMCHGLAFMMSVGFSSIDEGL >Et_5B_045077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9261017:9262356:1 gene:Et_5B_045077 transcript:Et_5B_045077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPATVHEIRSAQRAQGPAAVLAIGTANPANCFLQDDYLDFYLRFTKCEHLTDVKHKLRKLSEKCGTKKRFFHHTEELLSDHPEFLDPASASLDARLDIVSKAIPELAASAAKKAIAEWGKKASDITHLVVATSSGAHVPGVDFNLIPLLGLEPSVRRTMLYHNGCFAGGAAMRLAKDLAENNRGARVLVVCADLTIMLFRGPKDGCLQALIDQALFGDGAGAVIIGADPKPSVEYLLLEMVFASQNVIPNSAHVLNMRLTNAGISGFSSDTTLGMFIGDNIERFILDACGPLGINAEWNDLFWVVHPGAKIILDHIEVVLKLKPGKLAASRHVLTEYGNMFGASVIFVLDDLRRRMEKDMEETPEWGDHDGSRSRTHY >Et_6B_050060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:506724:509305:-1 gene:Et_6B_050060 transcript:Et_6B_050060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLILKASLVRFCYGVQSREATALALITRDRVAGLTELRGLVLSEILGRNGESEYLAKKCGLSSSDSDRAAFRAKVPMATYEDLLPYIRRIADGERSHVLTGAGNPVTGLFTSSGTSGGERKMIPTVEDEVDRRYLLEGLFTTVMNQHVPGIDKGKSMYFLFVSSQSKTSGGLTAGTVMTSYYKSKQYEGHAYPQNNTSPTAAILCEDASQSTYAQVLCGLCQRLEVMHVGAVFAVALVRAVRFLQDNWDRLAADIDAGELVNPSVVTDPAVREAVEAVLRRPDPGLAAFVRAECGKGEWAGIIPRIWPNTKYLGTVVTGSMAQYRRLADGVRHLGRIGGRLRAQPQPAVRPVGGVLSYTIMPNMAYFEFLPVVDDGDDARDQLVELAKVEAGKEYEMVITTYAGLSRYRLGDVLRVTGFHNAAPMVRFVRRRNVLLSVDVDKTDEADLQRAVERASALLRPQYVVEYTSRACTAHIPGRYVIYWELRFDEQDVLERCCLEMEEALGSVYRQKRVEDGSIAPLQIRVVRSGTFDKLLDYAISRGTSIAQYKVPRCVTENPPIIDLLDSRVVSTHVSSALPHWAPDRPSVNY >Et_3A_025005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26039187:26039617:1 gene:Et_3A_025005 transcript:Et_3A_025005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAARSFLRSSAPSSVRAAAARAASRAGPTPLPRRLPTSAPRSLLRSPVEMSSVCLESLMPMHSATASALMTSLLASPACKGFGWLLEGDFPCSQCHLSFLQS >Et_1B_009861.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:34708021:34712451:-1 gene:Et_1B_009861 transcript:Et_1B_009861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLSPFPRRSCRLILSRPLLAILSNTFSASTSAPPPRPPPLPLLSPLLPPRREDSSSVAVASSAIAASFRDWFLKSPAAAPHAALDAIYEALAAEETAALEALPLSEQLVLSVLRHRPRRLPDGDALLLLRLRFFDWSGRRPHYRHTRVVYHAVFRLLSRARRNAVVVDWLRLFSDTIAAAGQPRFHDTLVIGYAVAGDPQRGLNVLGRMRFRGLDLDPASSRILLNSLVDASLHDLADSFARNLGNNPVATCILIKSLCRRARLNDAVALLDTLPYAEASRGPAAGSIVTEFCRRGRFGEAAQIVEKFASCDVYGAWIHGLIQAGKLDTTLEFLADKKESEGYIPDGKRYDKLVYRLLGKNRLGEVYDLLVEMMEEGIAPGRSTMNAVLCFFCKAGLVEVAMHLYRSRMELGINPNKDVYSNLIRALCRGGDTEEACLVLEQAMAGGYFPGRQTFAMFTNVLCQEGKLDKVRELLDRALKQEVWPMDSVLAKYLVALCKSGNVEEAVTVPQIASSRNHMGLYRYESTYKSLIRALILIKRVDVLPRLILEMQDMGHIPTRSLYQSVVCALCELNRYPEVLELLENQLERSELQPRVCYNYFISGAGHAKRADMARGVYDRMELSGIEPSVESNILLLISYLRSKRIGDALTFFNLIRRKKPPGTKLYNVFISGLCEARKPEQAMVFWREARDNGIIPSISCYEHLVLLMCSVRDYDSVVKVIDDFRETGRPVSSFLCNVLLLHTLMGSNLLKALLRSRDASKPVEVKSKDIQGREAGRLLISDLITSFASGIRNMNDLEHLGEEMEKYFPVDVYTYNMLLRGLSMAGRMDSACNLYEKMCRKGYQPNRWTFDIMVHGFCKSGDKNEAERWMDAMYRNGFYPTWYTMRLYNNASLRAHEQKIISFV >Et_4A_035331.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:20285747:20287213:-1 gene:Et_4A_035331 transcript:Et_4A_035331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGSPSSSPRPLRIVLCPWLAQGHLLPYLELAERLALRGHRVSFVSTPRNLARLPPPRPAAAPGVDMVALPLPRVEGLPDGAESTNSVPSDKFPLLFQAFDALAAPFAEFLRAACAGGESTRPDWVVADTFHHWAPAVAAEHGVPCAMLLSSAALIAGWTVRPSVAASAFDESAAVDERPPDVPRYEWEGKSKSYIRHNSASGMSIVERVALTLQRCTITAIRSCAEWEGEAFALVQGIIGKPVVPLGLLPPSRDGGRGAGTKGEEDATTVRWLDAQPAGSVVYIALGSEVPLRVEQVHELALGLELAGARFLWALRKPSGVHDDADILPPGFQERTRELGMVTMGWVPQLSVLAHGAVGAFLTHCGRSSLIEGLLYGHPLIMLPISGDQGPNARLMEGKKVGLQVPRDENDGSFDRHGVAKAVRAVMLEEEARSVFVTNAEKMQMVAADQELHDSYIDEFVEHLRSHAADGINSAPADAETRAS >Et_9B_064520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16121948:16124772:1 gene:Et_9B_064520 transcript:Et_9B_064520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAPEPQRLSRAMSFGGGGGGWVPEEALHLVMGYVDDPRDREAASMVCRLWHRIDALTRKHVTVPFCYAVSPERLLARFPRLESLAVKGKPRAAMYGLIPEDWGAYARPWVAELAAPLECLKSLQLRRMVVTDEDLAELVRARGHMLQELKLDKCSGFTTDGLRLVARCCRSLRTLLLEECQIEDRGSEWIRDLAVSNPNPVLATLNFHMTELQVTPADLELLAKNCKSLISLKIGGECDLSNLINFFRAASALEEFAGGTFDEQGELTKYQNVKFPSRLCSLGLTFMGTNDMPILFPFSAVLKKLDLQYTFLTTEDHCQLIAKCPNLIVLAVRNVIGDRGLGVVADTCKKLQRLRIERGDDDPGVQEEQGGVSQVGLTAIAVGCRELEYIAAYVSDITNGALESIGTFCKKLYDFRLVLLDREERITELPLDNGVRALLRGCPKLRRFALYLRPGGLSDVGLGYIGQCSGNIQYMLLGNVGESDDGLISFALGCVNLRKLELRSCCFSERALALAMVQMPSLRYAWVQGYKASQTGRDLMLMARPFWNIEFTPPNPDNADRLMEDGQPGVDSQAQVLAYYSLAGKRLDCPQSVVPLYPA >Et_7A_052502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8663900:8668615:-1 gene:Et_7A_052502 transcript:Et_7A_052502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTFITPGRAAPRRHRIHRPLQCPGPARHPPLSLSQLRCAMRPGGGEGGDTRRSAAAGQAVVELQANASAAAGGAAMVVGLSPLSETLWRDSKALPVAGAAALIGDVSARLTWKDLSVTVALGPGKTQVVLDELTGYAEPGSLTALMGPSGSGKSTLLDALAGRLAANAFQSGSVLLNGRKAKLSFGAAAYVTQDDNLIGTLTVRETIGYSALLRLPDKMPWEDKRALVEGTIVEMGLQDCADTMIGNWHLRGVSGGEKRRVSIALELLMRPRLLFLDEPTSGLDSSSAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDMLFLLSGGKTVYFGQASQACEFFAQTGFPCPPLRNPSDHFLRCVNSDFDKVKATLKGSLKARKGTVLDSGGSQASFLMQACTLTKRSFINMSRDFGYYWLRLLIYILVTVCIGTIYLDVGTKYTSILARGACAAFVFGFVTFMSIGGFPSFVEEMKVFQRERLNGHYGVAAFVISNTVSATPFLILICFLSGTICYFMVRLHPGFLHYIFFVLNLYASVTVVESLMMVIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPQDIPKPFWRYPMQYISFHYWALQGQCQNDLKGLVFDNQYPDQPKIPGDFILKYIFQINVERSKWIDLSVIFSMIFIYRILFFLMIKINEDVMPWVRGHIARKRIQTKDTGATFGKTPSLRGYVVDPELGPNES >Et_8A_056376.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:5776851:5776904:-1 gene:Et_8A_056376 transcript:Et_8A_056376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRVEQHQSLLQQQL >Et_8B_060216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7658494:7659668:1 gene:Et_8B_060216 transcript:Et_8B_060216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEDARSSSAPMISKVDLRGLAPGTQGWAEARAAVTASMEAVGAVLVTHDALGADLRQFLFGRAMPEFFALPLDVKRRLVSGAVNGYIERSRRAGLPAYESVRIWETTTTPHGGGARNLGDVVWPHGNPEFCETVGAFAKNMMDLERTVATMILEGLGVRQEHVDAHHESVAHNVRLSRYGRLPSMGATDVSMQVHRDCTVLTVVVQHQVEGLEVQTENGSWVPVPPEPDTVAVVAGEMLTVVTNGRVPACVHRVRTPSNRERLSVQFVSMPKDGFAVSPLDELVDGDHPLQYNPCNFSEYVDFRFGAGAGRKLSDTLKAFCKTM >Et_1A_004589.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21311057:21311689:1 gene:Et_1A_004589 transcript:Et_1A_004589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRAGIRLLGLNVSPFAFRARVALTIKGVGYEYIEQDLFNKSELLLSSNPVHRKVPVLIHNGKPICESLVVVQYIGEVWSGTGTPILPTDPYERATSRFWAAYIDDKACSVILSCSLGPSYGFVLAPPLFSKCSNGKAFF >Et_7B_054719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3877089:3878493:1 gene:Et_7B_054719 transcript:Et_7B_054719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGTGASVKKQVRGSHGVQEQSEAKYVGSSGVAAAAPGLRHSSCSRMHGAEARAMRRLQNKRSNPLLDALFKLNFIRTVEPARLPPPAAGDVDAASLADAGNSPFCVNPPDAPPSTTTTPPAVTTPSAPTVSDQPPVVTTPSTPSVPDQTPPLPLPPITPVPPSFEPSPPGGGTPGGQGGQGGDQGGQGGGGQGGQGGGQGGDQGGQGGQGSPPATTTPNPPPAGTVPPSPIVVVPSPPEPGSGSGGGGPFQPPIVYPPPLAPPMLPGAGQPLWCVAKPTVPDPIIQEAMDYACGTGAECASIQPSGACYQPNTVLAHASFAFNSYWQQSKAAGGSCDFGGTATIVTRDPSKHRQLILVFFPPVWAFA >Et_3A_023950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15059364:15066733:1 gene:Et_3A_023950 transcript:Et_3A_023950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTTVLPASVRFLAALITRAAVRVSRPVVGSSMKMIEGLATSSTAIVSLFRCSLESPLIQGTPTRVFCNDVSSKSSIISSTNIYIEMLQYKLSPCKNKMPVVRLNKREINYELQEISAEVIEATQKTEETPGLSNVESGYLIAHSIRAHGKMFLAALDNLRPFGVASCFPTCQDVQESCLASTTEAHECSQDAWPEATTHTLQYLQTTESAEKDVHVAAMLTTGSFDFKIRRRSSQTLSERGEAVLPHGCVLHIADFKLVGLAQLHDRMPERGAGARRPWVHGLWEPGFVRVEKGKAEAPSRVDFWLGR >Et_1A_006072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17465743:17466801:1 gene:Et_1A_006072 transcript:Et_1A_006072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATVALLRASLAVLALLVRPAMAQYWRTGTATFYGGSDGSGTMGGACGYGNLYSSGYGVLNAALSSTLFSDGASCGQVRCSRQGGVRFSISGFNYFQLVLITNVAGSGSIRSMSVKGTKTGWIPMMRNWGAKWQCLSPLQNNALSFTVTSTGGQTLYINNVVPEWWGFGMNFISNQQFTY >Et_10B_002830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12171049:12186328:1 gene:Et_10B_002830 transcript:Et_10B_002830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVAAALEEVCARLSPGIPAADLWPALRGAAEAAGLPLGPPVKRALWARLLALPVVSLVEGGGDGAPVAAGDAAVSDVEEAERRGVRLVASSAIRDNFLGMYERRFAKAELSAVQKAALECVAASRTSGVTQSDLCKNFRMKGNNFHFIVKSLESQRLIVKQSTIIKVKDHGTEGEDGSQSKQITNTNSLYLSRYAKEMNMNSHQRIEIIKPELPGSSEESNVDPFQEDSAFGVNFKNDVSVHDYLPAMKAICDKLDKASGKALVVSDIKIDLDYRMAYGHRAWRNVLHRLKDAGHVTEFDASVDDKVVHCLRLIKKFDPNEFQSKTTAPSYKCGKKGQATDQIMELPLENCIYDMISAQGTKGITLVEIGRRLGYNNSKRLHKRVSSMLQKFNLTWEAEVSDKTSQYRVWTSKNFLLFKAGTAMQSLEELPEDYDKRSDLWSLVPSNGSDSPSSGGDLVVNNKLLLEAATHDEPVGHLIQNTFDSCAGASQSVKEDMLSLGQRKRRRRPPSTSDERRHQRILHTLEKKKFVLKVELHKWLERLEKKNGKLMDRKTLTRTLNKLQQEGTCRCIKVSVPLVTNYTRSRLIDVILHSSVGDLSPELVDQIRIRQRNFDTETRSGAAAKMKQNKNLTSIPSLKISRRVKVKKPLLLEAMNANGFIGAKMVRVKLFHKFLWSYVSSLPNWCNAFESAEEVDVEKNHYQSCILFSMVAAMKEMTLELFLQVVGSAKKIDNMITKCRLGKPLSEIHTKEYNVLMDTHARSRLSRLVNILDKLKLVQLAKEPVEDVSVPSDEVPTHSMELRPYIEEPIPIILPSSQANNRQKIRHDFVLSKPEFVDAYWETLEYCYGLAESSSSFPGCAVPEVSHRKAWSTIRVMSTEQRLELQKRVMNVSEKGKIPFKDCVRIARELNLSVEKVLRVSYARQSSLKERTSAPATQKQQRVSSRLASRKKKRSAGEITMKFIKQKAQMNGAAELVCDQSTLAEEVLDRISPSPTDLEGQNEDMEGTPMISRSTILRKSIMRSKRFLWTFESDRKLLMVYIRFRAMLGARYTRVDWSSLSDLPAPAPTCRRRMALLRKNENIMGALRRICNLMGKRYAGYLENARTWERTRLLHPNSNTIQENSLDTDSEQLNWDNFEDPEIKSALDDVLELIRVEKMDQIKRFGPKNEKSNNNENEITEDTTCSQEVLVTGGTRKSMAVPENEPYGRLKSRRRSNAVEASGAMVTKISKNKISESDLLKSLAIANALELLKLVFLNTSSGSDVQASLAATLQLYSESEIFTAFSFLKEKNFMVTGNVAKPYALSGTFFFNASHSPFPFGSGKKASEFSKWLVDQQMNAMENGVYLYPDLECGEIVHLFSLVLSGELSISPFMPAEGVGEADDPNSSNHLAEDTDGLDDSARKRKWDTITLKSDKTKKHKPLPKIESDYCYRREKGFPGIQVALNQDRIQSRNHIQLPHSNECLIFTSSGKNANLHVESHNMPSFPNDSSSYRHSLSASELEDSYHGWPWDAMEKYVKELPSVSNNQNESFILSSELFRKAFCAIHQAGEQGVTLLELSHALHPLGMQWVYVIVDTLLSFKLATKVNAYDGVQIIDSLHMSKYHITALAECDHGSYSGAPACQPVDVVDPKNVLRQKKTMPSNLLGATKVLADGHTVTVINVKGTSSSAQSCSENLGDEGPTTPGEENKERNCYHAQGKHVYQPILPWLNADGTINSTVYEGLSRRVIGYVMQYPGMVEEDLIRRLDVLNPQTCRTLLDKLTSEKHLNVLVLDEPLPTAPTILRSLFKQDPSPKPSKCKKRYFANPMSTCL >Et_6B_048538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10521025:10522825:-1 gene:Et_6B_048538 transcript:Et_6B_048538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRASSTSCGGGAASRFMAEWWALTGKEAPAADAGGGGDSETNLRLCSQVQCGRRETRRHEFRIVTSVALTEYKPMLQSTSKTDTEFSSY >Et_6B_050053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4962991:4965955:1 gene:Et_6B_050053 transcript:Et_6B_050053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFKGKIWAAIGSLMDHAAGATASTKSSSSASSSSVPDRALLADVEAAIERCTGGSGAGAGDDERHVHEILFLVSNAPGAITFLSRRITARLESARAPAAALRPLVLVHRLLRAGDRYFEQDLRGLWASRDLRVDAPRCSCSRSSPLAIAGAAACAFVHGYSAYLEERMQWVINQAGNLEPAARSPPPSSSSSRDEDVEALLFRLAMCQRLLDLAIQLLPDNNTSASCAAARSAFGIVLRESFKVYDAFADGLDAVLQRSRSLAGLSKAQRASAREVLRKASAQTPELKEFYHKCKRSSAVISGKSLEYPLVRVVTPAQASAAAMIEPVPAAEDVEEVQAASDDGSAGDGFAVKLETKISMVWVEFDDDSQEVG >Et_8B_059579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19477813:19481415:-1 gene:Et_8B_059579 transcript:Et_8B_059579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFEDDEPPAKRARASSVESASLQDCFSFSKSANPLGSTMARPLPSQGKEVMVGSKGVIKREEFVRIITKALYSLGYEKTGAVLEEESGITLHSPMVNLFRKQVIDGHWDNAVATLNKIGLLDENVVKSAAFLILEQKFFELLRNDNVMGAMKTLQSEITPLGVNRKRVHELSSYMISCSPQQLFLGFSKLGIDSSNSRLKLLEELQKVLPPTVMVPERRLENLVEQALTVQRDACYFHNSMDGLSLYIDHHCGKDQIPSRTLQVLRAHQDEVWFLQFSNNGKYLASASNDKTAIIWEVDEDGELLLKHTLSGHGKSVMMVAWSPDDHQLLTCGMEEAIRRWDVESGKCIHTYEKSGLGLISCAWFPDGKQILSGLTDQSFCIWDLDGKEVDCWKGQRQSKTYDFAVGKDGKLIISMHRDSTILLLDRETKHERLIEEDSTITSFSLSEDGDFLLVNLVTEEIHLWNIRNGPIRVNRYSGHKRSRFVIRSCFGGSEQAFIASGSEDSQVYIWHRATGDLIETLPGHSGTVNCVSWNPANPHMLASASDDHTIRIWGAKRPSLKRKDVGSSSSNGIHANGNAHANGFVHQCNGNSNK >Et_2B_021031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25802068:25806537:-1 gene:Et_2B_021031 transcript:Et_2B_021031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTRVLRVRALEITFNHRFVLPNKMVKGIFAFPAKGPKALPCLPKFFSWKSSDCRTSHRSINTSVNASGQQLQSEPEAHDSASIWRAISSSLDAFYRFSRPHTVIGTALSIVSVSLLAVESLADISPLFLTGLLEAVVAALFMNIYIVGLNQLFDIEIDKVNKPTLPLASGEYSPATGVAIVSVFAAMSFGLGWVVGSQPLFWALFISFVLGTAYSINLPYLRWKRFAVVAALCILAVRATFVFRRPAVFSKPLIFATGFMTFFSVVIALFKDIPDIEGDRIFGIRSFSVRLGQKKVFWICVGLLEMAYSVALVMGVTSSSLWSKIATIAGHSILAAILWNSARSVDLTSKAAITSFYMFIWKLFYAEYLLIPLVR >Et_6A_046879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21870880:21872036:-1 gene:Et_6A_046879 transcript:Et_6A_046879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVASSPISEVPTARRVLAHVPSHSDCVSPTYPRENGFLNRPHKPVCQFYMKTRDCKFGGVCKFHHPKDQPVPVSNCALSSIKRPLRPGEPICTFYSRYGMFKECHLIIPLLFAIQRENVFLNSPDKTECQFYMKTEYYKFVQSNCHYAQESPYGLSILAKECSSLVQNENSIIQCKPSCTDLLHHQPVRSQLLDVCWHMYHRIRILFRPHIWPSDSNPSRTLITFGRECHLIIPLLFEVQRENVFLNRRDKAECQFYMKTRDCKFGGLCKFHHPKDRAVPVSNFALSSVELPIRPREPICTFYSRYGMFKYGAK >Et_1B_011207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18830579:18831898:-1 gene:Et_1B_011207 transcript:Et_1B_011207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGAGETTTTVCVTGAGGFIASWLVQRHLSSGKYVVHCAVREPSDPKNAHLKALEGAAERLRLFKADMLDHASVAAAVAGCAGVFHVASPAEVVGPAVAGTRVVLGACHEAGVRRVVVVSSVAAVAVNPNCPPGVDLDEEWWSDEAFCRAIHGWYFLSKTLAERVAFAFAGETGLDVVSVCPSWVLGPLLQPTVNNSSLTLIDYLNKGEHDTVDHKMKNVVDVRDLAEALVLAYETPAATGRYICRAYPMSMTEMLGVIKGFYPDHTYPTNFVKVQDERMFTSEKLRALGWRHRTAEETLPETLKDTVESYKAAGILNF >Et_5A_041987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4942451:4946005:1 gene:Et_5A_041987 transcript:Et_5A_041987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQASVAGISPWRRLPERSSTRRRGSDQIAVGSGPERACDGIASTRRLRSFATAGDSSPASARPGEDETLTATTSPPAPHDTPGQRQWSTEAGVQSRSSPPGSEVTAALNFSSASRSPAPGAEVTSPSSRSSKSSFRRVRAAIGSRGGGGGGRRRIEARQLGKRAPSPEPAVECGGGGGGRRRDGHAWNFCGEDLGGFYLCVKLLGSLKSPNGEPLNSNEHCSLVRGSKTSTSNAIASVETSSGSNDSLISDWHTNILEQYNFSSSRRPTGHSGSTLPCVNE >Et_3B_031007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9976261:9976676:-1 gene:Et_3B_031007 transcript:Et_3B_031007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVASSCFSLLAQRRGYSVAAAMIKGAGRRAEDKVAKRVMGTKDVTTAAAAGEEKTAWVPDPVTGYYRPAGGAKEVDAAELRAKLLARAAN >Et_2A_018283.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21388243:21388674:-1 gene:Et_2A_018283 transcript:Et_2A_018283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILVIIATNQGSTSICGTDYRSPQGIPPDFLDRLLIITTQSYMEDDIRKILDIRCDEEDVEMSADAKALLTKVGVETSLRYAINLITSVALAVGQKTQGKGKVVEMDDISRLYQLFLDVKRSTRYLMEPVHFDEVAAGEAD >Et_2B_019634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11470714:11475361:-1 gene:Et_2B_019634 transcript:Et_2B_019634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVLGVAKSLVDGTLSKAQSAIEEEDKLRSSTQRDLVFIAGEFHMMQSFLSITSEEHVKNGVVSTWVTQVRDLAYDVEDCIEFVVHLDKRPDWWWRFVSCSFCGAKALPLDEAVADIEQLKARVEDVSRRNARYNQIIGGDPGTKSVTVAQKLLQPADTRASSFDMLAEESKQRDVLTKLLTKEGSPELQVISVWATGAGHGTPSTVVRKAYDDPKIWTNFRCRAYVKLAHPLNLHEFIRSLLDQFYANSSEEQHGEIPDSDVLKRMKAAAATATDEYLVQEFKKLVNNQKFLVVLQDLSSMAEWDSIRKYFPNKSNGSRVLVSTQEFEVANFSTGPPYIQWFSADHSLCIIFKEVSHVHQAPTEVDLIGRTSEMGELVGYLPMARLEDLHVMSVWGIAGAGKSALVRAYYRKHLQKDQLFSKHGWVDVSRPFDLREFCQTLLLGFHSHSFEENDIIYCDSMGIRDPVKECRKLLKDEKCIVIIDDLQSKEEWDLIQAALLSRHSKSTFIVITNEASIALHCADRKELVFNVKSLELKAAIDLFKEEVKRINSTFPMDVIDTDVALNQLIPKCGGLPRVIVAAADFLFQILFEWISRARMMNEEFMHNLESRPEFACLGDLFTWMHSYFRTCPDILRPCIFYLSIFSKSQAIRRRRLVMRWVAEGYSKDSGNCTADKNGEDLFIKLIELSMIQPPEQTVNTQNRMVKCQVNAFFHEYIISRPNQENVSFALEVLTLDGSCRQITGRTGRHLVIEESWVRDRIVFESIDLTRLRSLTVFGKWESFFVSNDMKVLRVLDLEDASNVTEKDLEKIVNLLTRLKFLSLRGCSKISRLPKSLDGLRQLQILDARLTSIVTLQTSITKLKKLQYVHAGSTTIVGVVPPVQIGKLTLMHTLGVVNVSGKRGKTILKELSNLTQLHKLGLSGVNRKNSVEFFSAISSLAQLESLSVWVSTDSPGCLDNTSFMPPNDLQSLKLYGLVDKLPEWIARLDHLRKMHLETTQLSEQYLQPLRDLKELCILRLSFIDGKLDFSVKLNGQEVYCYRAVKVLEISCTSSLDVSFGTRAMRNLELLRIKGTHDDTVLQELRKRLAELPKNPVLKLL >Et_5A_042030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5424126:5425927:1 gene:Et_5A_042030 transcript:Et_5A_042030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERKPGFFAALKEEVVRGLSPARSRGKSPAPASARSASPARMLIPRRRKTPPPPPPEKVLQQYLGEQLVARSGSLRPGGEALAPLIEGPDAERLAAGDPDAEDSGRREGFGNWVRGHLTRTPSMAAAAAGPGGSSGSFRRSDLRLLLGVMGAPLAPIPSKLAEPLPLHPVKGTPIVRPHLLHHLLPLSLSRWLLLRIYEMRLVSVHLESSSAQYILHQYTAASGGFKLLQSVRNAYAMGKVRMVASEFETATRVVKNRGPNGRGAAAVEQGGFVLWQMAPDMWYVELAVGGSKVHAGSNGRLVWRHTPWLGAHAAKGPVRPLRRVLQGLDPLTTAGLFAEARCVGEKKVNGEDCFILKLTADPQTLKLRSEGPAEIIRHVLFGYFSQRTGLIVQIEDSHLTRIQPHAGGDAVYWETTISSSLEDYRPVEGIMIAHAGRSAVTLFRFGEAAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPADIRSGSVGEACELPSQGERGRPYAAAVHPARVAAVERAHGHNANNTGAHNANAGVGSHGGEKIVWRVEV >Et_9B_065093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21149858:21152378:1 gene:Et_9B_065093 transcript:Et_9B_065093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFRFLGDMTHLFSVLVLLLKIYATKSCSGVSRKTQELYLLVFVARYLDLFTDYISLYNSVMKIVFITSSGAIVWYMRRHPQVRRTYDKEQDTFRHSVLVAAAFVPALIFNDRFTFREICWAFSIYLEAVAILPQLVLLQRCRNVDNLTGQYVFFLGAYRAFYILNWIYRYFTEGHHSRWIPWIAGLVQTGLYADFFYYYFLSWKNNVKLELPA >Et_3B_030275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3194080:3197949:1 gene:Et_3B_030275 transcript:Et_3B_030275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVLASSIVQEVLAKIGSAIWSELALLWSFRADLKAMKRDLATIRDVLFDAEARGGGDRAIRDWLRRLRDVGHNIDDFLDECSTDLCAARRRQSENTTCGVSTNLCLLRSFAMAHRMRSLRRELDAVAAGRDRLSLNPGAHPPANPSAPPRRETFSKVDESKTVGRAADKEKLMRLVLDAGSEEDVSVIPIVGFGGLGKTTLAQLVFNDRRTNEEVFDPRIWVSMSVDFSIRTLVQPIVSATKENCDIANLEAVASFLSRTFTGKKYLLVLDDVWSENQEEWEKLRLLLKDGKRGSKIIVTTRSRKVGMMVRTVPPFMLKGLSDDDCWDLANDCFEHLLWMSFLQEVEEHDLSKKELEEDGNAKYKIHHLVHDLAQSVAGDEVQTINCRQVNGHAEDCLYASLSDDMGMPEVIRSKLRKVRALHSWGCDLDIKLVLHASRLRVLDLRSSPIIELPQSVGKLKHLRYLDISSSPIKTLPNSISSLHNLHTLHLSNCSNMSILPMSICSLQNLETLNLSACSLHNLPDSIGHLQNLQNLNMSFCNLLETLPDSIGNLQDLQTLNFKGCSTLKSLPDAICSLKNLQFLNLSQCGILQALPENIGNLSNLLHLNLSQCTELKSIPDSMCRITRLHMLNLSHCSSLSEISVSIGGLKELQILILSHHSSCSALPISIGYLPNLQILDLSWNIDLDELPESIGNLRNLKILILFQCWNLLTLPDSISNLAMLESLNLDGCEQLAKLPDGIISISNLKHLRNEQCRTLEKLPHGFGQWSKLETLSLLKIGDKKSSIAELEYLNLLTGGLRIECWSNSKDLTADGRRANLRNKKKLSSLSLLWMSPSSWDDLTGAETFIEALVPPENLEVLEIDGYMGTKFPSWMIEDMELLLPNLVSLSLTNIDNCSCLPPIGHLPYLQSLQLRHMIGIHSMCSEIPVERNKRTIYRSLKELHFENMPNLKIWPTSSEMDHKDKQLELLIFPVLKTVTITECPKLIPMPCLPDAISDLSVSRSSEMLSIRKIFATSSSASASLLRRLWIKSCHASSKEWYLLQHRPKLEDLVIEDCETLHLLPEAIQSLVTLRSLRILNCTELEALPEWLGEILTLESLEISCCPKLVSLPKGMQCLTALEELTITGCSSALNESCRKDTGKDWFKICHIPSIIIS >Et_3B_031398.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28184349:28186415:-1 gene:Et_3B_031398 transcript:Et_3B_031398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQLDPPAPPRRSVSTSCDLHPGEAFTGFCAACLRERLAGLEASAAAASAPGRKSTSAIRSLFARPFGAGGAGASASGPAEPPDLRRSKSFSCGRGGDALATAAAAAAAARADEPQRRSCDVRGRSTLWALFHQDDRDRVRDGTAFGAFPASSSTAAAALAADVLAQPPAPCVPDDFLEEEEDIPVVMESDEIIPVDEEPVDAMDTSGEMGADVNAAPPEGSKPIKDHMDAEAASQGKKASPKDLKEIAGSFWVAASVFSKKWQKWRRKQKLKKEAAVSKAAAAAMPPPEKPSKPSFLRRRRLRGEAGSELAGGRRSCDTDPRFSLDAARMSVDDAGFSWDEPRASWDGYLFGAGGGIGIGRAPPPLSRLPPILSVLEDTPTDIVERSDGQIPVEDDADFDPPGGSLQTRDYYLDSFSRRRRSLDRTSSVRRPSFEVGLERTSSVRRPSFEGGLERTSSVRRPSFEVTEPMPVPVTGNGKESPIGGSEFYHFHHAEDLLDRGFSSNSLIEDISASLEAALSGPAKKPRRWRKAWSLWGLIHRRAAGGGRRSGGPSDIADRSFSEPWPDLRVRGANPKMQRCNSGLSARSSFSSNSGGLGSSRRSYVDASGNVKRRDQQQEHVLERNRSARYSPPGRATADSNGMLRFYLTPMRSGSARRGGGGLPSKAGPGRPLTSQSFARSVLRMY >Et_8A_058125.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:2054495:2055661:1 gene:Et_8A_058125 transcript:Et_8A_058125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNDVPDTSPPWAELPTDALSEIAGHLHEVGDFMRFHAVCRPWRQAPPPPHTRRFLPCLIEQSFFDDSQYLHLHSPFSGKLPPTRTILTLTALAGKTLECSDACSGRVFAARREQSVLINPLTGDATSFPALPETMDPMGWNRRQATGTACNGIVMFNTRMSMPCDMEIAMLRPGETDNWEEVDVTTCLDLNDTQEMDEHTCRALTLCSSGVLQGGRSAMAKLPRTKRMTRRYVVESQGELMCLDVLCTTPTYYSWSQEEANSLALISVAVHVMEVDDDGRPRWVKREHGRGIEHLCFFLNRDNSTGFSIDAREFAGGEVSGGCAYFVQRHRKKTETNVMGGAVYRYNFKDGTAAVVDVLPDGFIGIGSMWYMPQPIISAVRSRHER >Et_10A_000787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17191565:17195060:1 gene:Et_10A_000787 transcript:Et_10A_000787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGYNNDNSLLRDDSDDILNMLDLSDGDDPFAAAGSYDPFDWTAMANSSDIAGPSTSAHHQDIAAPSSSAHHHNFGASTSSVHQSPLNCTGCQILREVVHSNGHETTKLCIHGAAGLFYHATREEYLANPESMVPALTHQSFTEDRDYAWVKQYLVDYAQKRASSGYIVVQDSISTFHDVLCTSMLTGGGHADDPREVVAPAEARNGAGDAVVPMVRHEEAPRVSGPAQQTDNAVAAHEQQEVRPVVRSEYAIQRERIKKLTREDISRYFHIPLKAACKKLRVSATALKDIRQRFHIKRWPYRTMADQKHDAGDGLAGSGE >Et_3B_030095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30433079:30434943:1 gene:Et_3B_030095 transcript:Et_3B_030095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYAPPGNYSHRRRAPTGGVGKMLRERILRAPDLYVGSVKKRTQKLWLCEGDRFTQRKVRYVPGLLKIFDDILVYAADNKQREGILRVDVDAAKCRISVYASGEGNPIEVRREEEGEMIFGHLSNDDCNGSVKLANIFSTEFIVETADGPGQKKYKQVFSENMGKKSEPQITGYRKGVNWTMVTFKPDLARFNMTHLEDDAVALMKQRELDMVGFLEKLCVQWPEDATAYGFSQYAFLYIWLSPKGDLHLPCFVIVSDKHQLEFDIQKICEKVNDQWEVCVSLSGGQFQQVSFVNKFATASGGTHVDYVTDLIVDNVLSSEVFKKFNIGKHDVKRHLWLFINVYMDNPTFDSPTKETLITPQEDFGSECALSDLFFKN >Et_9B_065135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21480282:21484220:-1 gene:Et_9B_065135 transcript:Et_9B_065135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNTDPCPIEGNGEIGNNVSSSQNPERVEQQVSPSTSHVVQNTMGVRKNYKRAANRGKKGSQILTGRKYTLRSSGNDTRVLRSKSNSRTVPAEPVQPPVQPPAKRRKRGRPAKGSPSDEFSQIRNRVRYILNRMNYEQSLIEAYANEGWKSQSLEKIRPEKELERAKGQILMYKLRIRDVFQNLDSLLSKGKIDESLFDSDGEIACEDIFCATCGSKDVTLNNDIILCDGVCDRGFHQNCLSPPLLTEDIPDGDEGWLCPACDCKIDCIDVINKLQGSDLSIYDSWEKVFPEAAALANGSKQDEAFDLPSDDSEDDDFDPEKAEEHKHVASKEEGSSEEDGDEGSDSDDSNFLTSSDSEPLMDKKKADDLGLPSEDSEDDDYDPAGPDSDKDIQKEKTSSDESDFTSDSDEFCTEIAKSGDHDEVSKPSLPDAEAGDTTCDMEKSTAQANTANSSLNSMETDMDQSLVLPGSGRRKVERLDYKKLYDETYDKESSDSSDDEEWSGNSTPEKGNEEPSEAEANPSAVKSSRRTRVASHNDELTPQTVHPDSLHGSADQKCGGDLTSNGSNSTTRKGQFSPIINQRLYEHFKTEKYPSRDVKESLAKELGITSCQVSRWFETRRRKAASKSLSRDNHSAEETNSPAVASTQVKDPEGTVMEKPDVSRIEKENEGATSGSKQVHAKNLSSPKGGSGDNHHMDASIRDAIRSNGNPSEDQIPEVDLVDEARKKAIQRELKKKKMGR >Et_2B_020475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20490558:20494792:-1 gene:Et_2B_020475 transcript:Et_2B_020475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAASPPRGRLPRLLVVLVLLAMSAVLPRGGALELKVPFSPRDVLPVLPRQVAWPLMNTLHSAVDLLPSYVAAVTPGAPSSAAWSGACFAQNEAAIELTPGDRNGTDVGGAVLRLKTASAHSWTCMDLYVFATPYRITWDYYFSAREHTLEIKSWEEEAELEYVKQHGISVFLMPSGMLGTLLSLIDVLPLFSNTAWGQHSNLAFLEKHMGASFEKRSQPWVANIRKEDIQSGDFLALSKIRGRWGGFETLEKWVTGAFAGHTSVCLKDEKGDLWVAESGSENEKGEEVIAIVPWDEWWAAALKDESNPQIAILPLHPNVRAKFNESAAWEFARSMAGKPYGYHNMIFSWIDTIGDNYPPPLDANLVMAVMSMWTRVQPLYAVNMWNEALNKRLGTEGLDLKEIIVETERRGMSFDQLLTIPEQDEWVYSDGKSTTCVAFILAMYKEAGVFAPFSESIQVTEFTIRDAYMLKIFEDNQTRLPSWCNTESDKLPFCQILGEYRMELPEYNTIEPYAKMNENCPSLPPKYMRPPRC >Et_3B_029789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2796772:2798114:1 gene:Et_3B_029789 transcript:Et_3B_029789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRQLNMGAEKNRSEISGPLLLLQLWCWSHLPLGRLENIVDKRKQREEQEEHMEDEETGLDYAPVVGAKWCARHQYFAHIILIIPPHPPNNEDEIRKLQKVKHAGGKHVTGNKSMDGSRGSPFDPASLQKYRQWFQRNGMYTVFFDSQCLGGLDDPIPYPRDTLEWTGFMPSGPPLARIGLREIKNAAWGIKCVVTGGCNKLGKYVLKACLGNLRDLNLEERLHNMLHEAGLPTEVGDIPSDDDVSSVVHPPSPPRETSLELMDDWVYSGRGFTRYLNDGARAGGGVSVTQDASQVTQDISAASD >Et_2A_014980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24362163:24362912:1 gene:Et_2A_014980 transcript:Et_2A_014980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGSIPSAAAAAGGGCLSAPAVATTPPGTPRASAAAPMPAPPSSGYYAVELYFDPALENQVLKAWNALARRQLSSRLIDTASRPHLPLLHLPAAALPLGGDPVHRLAPSLRALASRLDPLPLALSSLAALPAPSPSSSSSAPHDPAALLGLHAQLCELLRKDAGVEVPDAFRPDHWVPRCAVAVDVPRGRMAEAFCVLRELKLLPVSGYGMDIALVEVTQAVRELVSYPLGGSGGGAD >Et_3A_026104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4366621:4372043:-1 gene:Et_3A_026104 transcript:Et_3A_026104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALKASFPGELSAVSFLDSSRGPFGQLKVDFSFQRKGKRAMSLRRTCCSMQQTPPPAWPGRAVAEPGRKSWDGPKPISIVGSTGSIGTQTLDIVAENPDKFQVVALAAGSNVTLLADQVKTFKPKLVAVRNESLVDELKEALADCDYKPEIIPGEQGVIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAQKHNVKILPADSEHSAIFQCIQGLSEGALRRIILTASGVDKLKEVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMVETQDSSVLAQLGWPDMRLPILYTLSWPDRIYCSEVTWPRLDLCKLGSLTFKAPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVELFIDEKISYLDIFKVVELTCDAHRKELVTSPSLEEIIHYDMWAREYAANLQASAGRSPVPA >Et_7A_052603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9720315:9721758:-1 gene:Et_7A_052603 transcript:Et_7A_052603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSAFLAVAALLFVPVARAEIKTTSIVADPRSVILFEEFGFTPRGVASVTVTGVKWRVPEGSQLRSVDRTLMGFILISNSLFYKINNESEYAEATGGAFCPLTSRYVRPLFRFKEVAPDGTARGTLTIDAADQYTVLFSSCQDGVEVTMDVRTEMYNVRGAARDYLPVGLLPLPGVYAAASAVYAAFLAAWAYACVVRHRAAAGRIHAVMGALLLFKALKLACAAEDSWYVERTGTPHGWDVAFYVFGFFKGILLFTVIVLIGTGWSFLKPFLQDRDKNVLMIIIPLQVIENIASAVIGETGPAGRDWLAWNQIFLLVDVVCCCAVFFPIIWSIRNLREASKTDGKAARNLKKLTLFKKFYLVVVCYLYFTRIAVSAFTAVLSYKYQWVVKVAVEAASLAFYAFVFYNFKPVERNPYLYVGEDEEEAAGGQLELESTFEI >Et_6A_046756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20175789:20177029:-1 gene:Et_6A_046756 transcript:Et_6A_046756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAIWPRDYSTSSSLASFPPPLKVPADAPLPPPPRGVGGVHPTAAAGARHQHASPSAARIAVPRGAGVRRCGAASTAFFPRQHHHARTYSDGDNSLDICNNDEFFPDDDDATGCGAGSDRVYTVDAIHGGGGAPPLAVPDGSCYGGSTPVGSDCCYSGRWPAEDDDMRRLSSQLQSLDADRESMRQAIINMGAEKAQVMLLKEIAQKLCKVPAVPVAQHSYYKAENTQPAVTIAVRPPRQAPVIMQRTVVKRQPYSGLGNLLAAVVKWVTSIIWWQKKSSPVK >Et_2B_021136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26846945:26849129:-1 gene:Et_2B_021136 transcript:Et_2B_021136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERATAGSADLKRARGRGRTGARQPRAPRPASARTAATRTSCSSSSATGSAATTGAGGAGRGGRAQLPGPLSQPAVRAQEPQEQQRGSCSTLCLRAKVSNFGLARAVVGTEGYLAPEYLEHKGPHLDVSAFGIIVLELLSGPKEATGKPIYSGDYSLEAAFAFLALALRYASRGSPGRGPSPIRSTPPWLLPPSSSALRQSAARVQLRRRGRHARARAHDPLTALKLVANLRGTGKSDREGFYAAALWMHEHHPRTLACNVPALAEFGYLKDFPELLCRLIHGADVRKLAKAKVDADKALKKARLAQEARAARFRSHGAAAALVRRLLQRRARRHPWRLWKKRQKKAEQKPTTVTAAKKKKKKDATNKKVEKVAKLAVQSLETYYGDRFLFDCVADFFADLENLAPGGNRRKIGLAAKWCPTAGSSFDRTSTLLCEAVDRARPRSRRARSSPTRSPPRRGRRRWRRMVDDLRNKGSLSNCIAVCDVSGSMTGTPMEVCVALGLLLSELSEQPWSGRVITFSHHPEIHMIQGETLKEKLSFVQRMDWGMNTNFQAVFDRILQTAVDARLPPEKMIRTVFVFSDMEFDEARGHQHWHPYYQVDQAGGSQWDTDYQVICSKFKDAGYGDVVPQIVFWNLRDSRSTPVTSTQPGVAMVSGFSKNMMKIFLENDGVLNPEAVMAAAIAGEEYQKLAVFD >Et_2B_020098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16828648:16832226:-1 gene:Et_2B_020098 transcript:Et_2B_020098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKADAVVAADGSGNFTTIVAAIAAAPVKSASRHVIRVKAGVYKEFVVIGEEKTNIALIGDGMDRTLITESRCCADSPKFKTPDTAIVSVHGDGFLAQDICIHNQAAPKMENGQAVALLCSGDHCVFYHCLLKSYQDTLYAVSKKQFYRECRISGTVDFIFGDASAVFQKCELLARLPLQKQRNTITAQGKNSAAPIAASSSRTARWRPTKTSPTLRRPSRPISAGRGSLSQERYSCSAPSPASFTIWDGCSGRRTPSRTLSFTRSTRTPGPAPMSAIASSGQDSTSYTMRHKSSPSPLRISSTKTTGFRALVCRLPHDPHQCDVLACGMGFKDLATLNIALITGLTLLIKLCRLLYVKAKGADMLVGKTNYKKGIWTDQSAYKFIHQGEIEKSSDVYHKYELEKYKNSPQSLDVYMESSYHIRENPLHALFKSNLNLV >Et_8B_059576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19402790:19404277:1 gene:Et_8B_059576 transcript:Et_8B_059576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAGKKKLPLLAWLILSCLAAVLLLVQPCAAARPVPETTGTTIDGIWRSQHLNLKSGGGSSSLLRGPESVAFDGDGAGPYSGVSDGRVLKWNGNARGWSTYAYGPGYNPKACTASKRRPAEATESKCGRPLGLRFHLKSGNLYIADAYKGLMRVGPGGGQATVLVSKADGVPLRFTNGVDVDQVTGEVFFTDSSTRYQRSQHERVTATGDSTGRLMRYDPQTNAVTVLQSGITYPNGLSLSSDRTHLVVALTGPCKLMRHWIKGPNAGKSEPLADLPGYPDNVRTDGKGGYWVALHREKNELPFGPDSHMLAVRINGDGKIVQVMRGPKSVRPTEVMKRKDGKLYMGSVELPYVEVHIQLRTAVDWIILAQVIP >Et_4A_035763.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:5294033:5294707:-1 gene:Et_4A_035763 transcript:Et_4A_035763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQQREPFSDSDTDSANEMIAAGAGNKRNRPPTPSPPEHKRIIVAETIERQSVNHTAGDQRSSNTRNSDETMTAKLAVGAASSSPAPKPAPYRQGVAEATIVDSHGALHPRCALHPLTMKNLSISDGDVVLLKSKRRREKRCDAASDEACPKYKLKISKAVRSDLRVGLSKSDVVFVYPCRDVVGAKHGETDRGIARGLFKAIKEYRVVCSHFLVLVAALCFA >Et_1B_011761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25756284:25758326:-1 gene:Et_1B_011761 transcript:Et_1B_011761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRADPALLGLGLPSGSGPWGGGGGPSAPAVDLDRHPSGIVPTLQNIVATVNLGCQLDLQGIANHARNAEYNPKRFSAVIMRIRDPKTTALVFSSGKMVCTGAKTEDQSKLAARKYARIIQKLGYPAQFRDFKIQNMVASCDVKFPIRLEGLALNCGIFANYEPEIFPGLIYRMADPKIVLLVFVSGKVVLTGAKVREQIYTAFENIYPMLSQFRKRQQYW >Et_2B_019816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14212952:14214627:-1 gene:Et_2B_019816 transcript:Et_2B_019816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLPCVAMVTVQCIYAAVALWCKAVFTGGMSTMVFVVYRQAVATIVLVPIAIVVNRRKLKQMISPGMAGFSLVFVAVLVGITLNLLLLYQGINLGSSSMAMALENLLPGITFFMAASVGLESVNIRELRTLAKILGTVVCLGGAMVMAFFKGPKLLSDVNIVLHTSAGSRWMTGALLVVGSTTCWSLWFLLQVPICKWYMDPLTLSTWTCLLSTLQSALIVLVLQPDPNVWKIRSVFELSGCLFVGVAGSGVTFFLQAWCIFVRGPLYSAMFNPLCTVITTVLAAIFLSEELHVGSLLGATAIIGGLYVVLWGKAGDTKGKGKSAQEHREDVEAMVAQLESQLDTGNSIEEPLLAGGNQVEK >Et_8B_059689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20714008:20718054:-1 gene:Et_8B_059689 transcript:Et_8B_059689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSRALLRRIGGALLRRSFSASAGAESGSAAGYHVAGGPSYMRGAVFWEPGRPLTLEEFQMPRPKAGEVLIKTKACGVCHSDLHVLKGELPFSSPCVVGHEITGEVVDHGAHTPAEITNRFPVGSHVVGAFIMPCGNCFYCVKGQEDLCESFFAYNRAKGTLYDGQTRLFLRGNGKPVYMYSMGGLAEYCVVPANALAILPNTLPYTESAILGCAVFTAYGALRHAAEMRAGDSVAVIGVGGVGSSCLQIAKAFGASEVIAVDVLDEKLQSARTLGATHTVNAAKEDAVERIKEITDGRGVDVAVEALGKALTFAQCTKSVRDGGKAVIIGLAATNVVGEVDITRLVRRQVKIIGSYGARARQDLPQIVKLAESGAFNLQNTISRKCKFEEANSAYDDLNQGKIVGRAVVEIIEDPEPFKDCGGGHRERQGTVISHTLGEANDSVRVDDRAP >Et_1A_006319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20592961:20594243:-1 gene:Et_1A_006319 transcript:Et_1A_006319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQRVEWRDWIGVVAPCGQRDWNGGMPLRWKRRWSRWDGVVAWLGEILMELHLTNSISSCDAKFVAQEQWFVTRDSTGYVTVYAYSKTDFKHKVIKRFIPHMGKRVNALAVHPTYPVLLTGSDDNWIRLWDWSQGWKCTRQFDQCEHPFFKMIWNPLDTDTFACISESNIKVWEFKEEWMIRTDPAITLE >Et_2B_019420.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28834815:28835012:-1 gene:Et_2B_019420 transcript:Et_2B_019420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLVHHSVLCLIQYSTTMFPTFVSLICNLNWHVRSHICNAMKSGGEFSSPVGFQKKNSRDMHA >Et_8B_059577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19465211:19467471:1 gene:Et_8B_059577 transcript:Et_8B_059577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEALFGRGEVVGSNHLRPRRGPPIPAPSPAVGKPLPSGAVPRHSYVFDGEGGFAKAPWGLTAAASGRPGGEFTWHHVELPRDAAGGNNKPLHHAQALIELLCPPLTLQEILAFVATGPHCASVAAGDGSGGDISGALLLRVSSPGPVGSAYALRLAARVTESSVVTVSVGGVPRLAFGATQASLLSEVPLGVAPSLSDEGHGGGRAVDGGVVIEERLLESLLAMNHADGAHTDNPVPRTVSNLLVHVLGTHLDHVHDIVTRLEMDLDAIELQLDKGGHFMRKLLLDGRRFPKMHLDLQRLLQVVSHGEQVFPRVKEKCANKSWFASGDIAAIDDLIGRLRRLKENLGFITNRVTTLQASLDSWQSEQINKSLYYLSFLSIIFLPLSIVTGVFGMNVGGVPWTGQNKPENIDGFMNVMLICVVILLLLLLCFLFPSLYSHVTAWRTRRELTRSNSQNKRHLKLFKGHKEGYMRL >Et_9A_061158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19323469:19324161:-1 gene:Et_9A_061158 transcript:Et_9A_061158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHGGVETPRSKPQSPLRIAHDGEFFARLLTKESSHGNPSFRYYGAGPGAVPFVWESHPGTPKDDAAYASSDSAAVVPAITPPPSYHLRAASGGPHGHSSRRHGKGKVKYCGYKLNKWIKIGFIATVFRRLASFGGKSSSTSVKSSSSSTRWLFSGAGAAESRNDLQEYSCYAPAAAAPAATRKGTLCSLGVRPSPWMLQFWGGGRREPAGWVYGVRSS >Et_3B_030697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6569489:6575693:-1 gene:Et_3B_030697 transcript:Et_3B_030697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVDTKAGRVLYAEAGKDVVDFLFSLLTMPVGAVVKILSKDAMVGSVGNLYGSVEELDAAYVRSADTKNALLSPAGGHGGGTLLRLPDQAPTAAAAAAPLSNMEVYQCDETESNDCCDYVAVVKDTPCCQCGGAMNVPIKLVGPSRGGSGGDVEETSLSTDPGGMGFVQGVVTYMVMDDLKVKPLSTISGITALNAMGITHISSLKEKTVRVGHNEGLEVLRASLQSKTVLTDLQGPRHETCSFDKAAMASTTPDASSPKLSLKLLVDTKAQRVLYAEASKDIVDFLFSLLTLPVGTVVKILSKDSMTGSIGNLYGRVEKLDATYVSSADAKNALLAPAGGYEGGKLLELPQAATSAELYRCRAFRYEDCHKNVTKAIGTPCPCCKANMDVVTNLVGPSVAVSGGEAAAAMSAVAGTWFVQGIVTYTVMDDLTVAPMSTISGITLLNTFGITDIGTLQEKTVQLGYAEGLEILRVSLQSKTVLSDVFLGKKKQKL >Et_2A_018298.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21927094:21928620:-1 gene:Et_2A_018298 transcript:Et_2A_018298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSQMWSLLGLLTILQNVLPTQLLSLLHSLWQSLQDSLTPYSYFDVPEFLGSAAVEPNALYRHVQLYLHRSLLLSSPPPPRLTLSLPRSAAVSGGHGASAAAPAPPNVSLSPNHSVADTFNGHRAVWTHHADTLQDSLEERRSFSLRLPKRHAAAVLPAYLAHLAAAADSLERSSRARRLHTNAASPRGGVGAWASVPFCHPATFDTLALDPGLKSRLLADLTAFANGREFYRRTGRPWKRGYLLHGPPGSGKSSLIAAMANHLRYDVFDLELTRVATNADLRALLIQTTNRSLIVIEDIDCSLHLTGDRAERLQHKRRKLHDDDSSDSDDDDDNHRGNKVTLSGLLNFTDGLWSCCGEERVIVFTTNHVDGIDPALLRPGRMDVHVRLDACGAHAMRELVERYVGVVGDHEMLDAAESSIRGGAEMTPAEVGEVLLRNRDEPEAAVTELAAELKARRREAEWEDSAAELSDGSPTKKGRKGMGWEGKVRILGRLRSLTKSDSGRR >Et_2B_019256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20459295:20459546:-1 gene:Et_2B_019256 transcript:Et_2B_019256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVVVLAAAAVAVGRLCFGRRAPGHDPEAWVERTCGPCVGGGGAAAKDEEGGAAASATEQTPEGTERGEAT >Et_4A_035654.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:32592708:32593556:1 gene:Et_4A_035654 transcript:Et_4A_035654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTGNSANSSKDYRDPPPAPLVNAGELGKWSLYRAAIAEFVATLLFVYVTLGTVIGHKSSSQQEACGGVGVLGIAWSFGGMIFVLVYCTAGISGGHVNPAVTFGLLLARKVSLVRAALYVVAQCLGAICGAALVRAFHGGARYARYGGGANELAAGYSSGAGLAAEIVGTFVLVYTVFSATDPKRKARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSLGPAVVYNQPKAWEDHWIFWVGPLLGAALAMLYHQFVLRAGAAKALASLRNNNVYA >Et_1A_007651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36886959:36895194:-1 gene:Et_1A_007651 transcript:Et_1A_007651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTWNLSRSVLPLLLRRPNALHRISSSFFTASGAARWRQPALARRFFPHIAAPNPPARRASRFTRGASDVGSSTGVEGKELMKPDRSIPSANDVLISLGNKDVIARYRNDCRVHGEEDVRRASVNVIYGDKNAHRTSLDVTDGKHDTTGETVGITGCEQSSEAKESWDSVINCYPIDDVPPMDIIPNSSHCDGSIYKGTDSWKRIYRIANRNETRLEAMMLSKPTNCYMDNGICMSHTTRHMLQIFSLKLSKTPVGCGSLELYGYIAVRDNLDPLLNYIVNFSRDHPVIIEQGSLLKMTGPKRGIELVDTLLIEYDMKIKIGEQEKDDLQLIDGVSVIDYMETCNCSAFICRIHGDCLDIDITAACLNAAVEATVEVLVSEVRGSFNMLLDCFSSGLDEEIRLFDGAICEPCGLNRSVVAVVFDAQVDLKFKLSTNSSGPAEYSYMNKA >Et_1A_008676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9803222:9803599:1 gene:Et_1A_008676 transcript:Et_1A_008676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVGLVSLLVLIFLLCFRSLLHQQVLVGEGTAAAAAGRLERKRNGREHAKQWEEERKRMRWFMTRDYACARRHTPRNNQLDP >Et_8A_057921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9367229:9369230:-1 gene:Et_8A_057921 transcript:Et_8A_057921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPARRGVVIIPSVPMSTGGKPLRSASAIVAGTESGQHFLKIAGYSRTKDDVPTGNYVTSRSFRVGGHSWHVRYYPNGVKSNWSDHISIYLELGHDVPDGVRAQYTLSLLDHGGKPVPQYTVTSGDEAKVFRYPSLAWGYASFIKRDELEKSEHLRDDCFTIRYDIKVVKEIQTKDVDVDAGTPRPPPAVVVPPPDLHRHLAGLLETGEGADVAFEVDGKTFPAHRVVLATRSPALHALVKAITVTDGAAAVVRIEDMKAQDFEAFLHYVYTDTLPETTRTAMKGGELAAMLPDLVAAANRYKMERLRLVCENKLCEFMNARTVAVMLAFAGEHHCHGLKEACLRFLEDPGNLREVVKVDGFKHLGETCPSVLKELIAKLVAGLGSTYSLQDNNWIKGVRSIVTTAQIEEYYWLWRMLRQETANHTNKENKKKVLGAILYAWWNIWKERNRRVFESTSKSVQQVAMLAKEDINSYSIAWARHGGNGGEFDQEQNTEPAQG >Et_5B_044402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23780951:23781699:-1 gene:Et_5B_044402 transcript:Et_5B_044402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPHATGVAAYVKSFHPDWSPAMIMSALITTATPMDPSRNRGGGELTYGAGQLNPARARDPGLVFDAREEDYVRMLCAEGYNSTQLRIVTGATACPAGARRGTARNLNYPTMAHHATPGKNFTVRFPRTVTNVGSPDSVYVAKIVGSGRFVRVAVAPKRLAFSKLLQKMSFTVTVSGALPAGNEFVSAAVVWSDGVRQARSPIIVHTVTVDAQ >Et_8A_056046.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:12246817:12247490:1 gene:Et_8A_056046 transcript:Et_8A_056046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYINTSPTSSIVLLFLGIVFVLLSSSQTHHCATAASSFPPPKQHGVHHTRSFSSCLAASGVSNFSLAGSPSYGPLLNFSIQNLRFARAYLRKPDAVVLPTSRHDLQRAVLCARAAALAIRVRSGGHSSEGQSYTVSGGAPDGRAVVDYPFVVIDLMNLNRVRVDAAAAIAWVESGATLGEAYLAVARASASSSRLRR >Et_7B_056028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9758008:9769507:1 gene:Et_7B_056028 transcript:Et_7B_056028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKPWHDGFTLVPFGLGKKSCPGVHFATPVVELLLFAFERRAPLGEVDVEENGLIVHRKNPLVLVAERTLHDDILNYSLLGLELPLHATMSSCPLNAHGRRQT >Et_1B_012960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4389409:4390187:1 gene:Et_1B_012960 transcript:Et_1B_012960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLHLAVFAASVAFLAASASSLPAAVFNVGDEKGWTVPSGNGTETYNHWAKRNRFQVGDVLEFKYANDSVLLVTHDDYKQCSTETPLSRFTDGDTKFTFDRFGPFYFVSGVPGHCEAGQRMIARVMAPSSLTVAPAAAPGMPPTTVVVGAPTPASSSPSGAGVPGSGSSSATSTTPSPSPMSQASGASRRVLSFVSSVVLGLVIVSVVSLFVLV >Et_5A_040766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12088977:12090121:1 gene:Et_5A_040766 transcript:Et_5A_040766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAKAVVVPSIEHRPINKDLKLAVRVGTFWSLPDGAPKTYLRGQKLPLIDVAMNYELIQLVDFVAEHYMWGSKQYLSLCRDDDDSYCAIKSDEQLHEWFQLNIDSGVVPIYCQINDFEGPLQFSPTKRRHTQTSHTYKRESLIQISNTHKRTSKTATKKRVKKSKRKGGDDEEPIGVDEGIYDDTEVLSDSSYDSNLAASSDSDDGEYDHDAEIIDEVDEDDIPAFSYDVDNPCIDVGVIFCDTNEAQSAVLQWCILHDHAFERVNKDNSRFTAKCKKWDKGCKWRFLASTSKNKSR >Et_4B_039270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9376414:9380064:1 gene:Et_4B_039270 transcript:Et_4B_039270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLLTKSAAAFLLSRLSCRTPNPTHHLFSHGAALASLLVPPGGLPATADATIIRGPARLFSSSSTAAVADVPMTADGLTVDSIASKGWTILPEAESDWRSHAAAVAQSVKVIKKRLKWGSILERAKQMAVVLERPDLWDDPAFAGRVSREHGELMGKIKSVNQFEQELIEHIEMLRLAREENDNELEAESMKALADMRRSAKEKELNALLSGENDSCSCFIEVQAGAGGTESMDFAAMVMNMYQSWAQRRGYTVTVVEEMPGEQAGIKRATIKVDGEYAFGYAKAEVGVHRLVRISPFDSGKRRHTSFAAVAVIPILGDGSTRYQIKESDLRIERFRSGGPGGQHANTTESAVRIVHIPTGITATCQNERSQHMNRASAMAVLQCRLDQLEIARQAHVNAEHTQSLNEISWGNQIRSYVLHPYRMVKDLRTNYEVSDPDSVLEGDIDDFILNFLSSSLDETDANFGHLAAPNVGCMSRRKIQTINK >Et_9A_062549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23975000:23977837:-1 gene:Et_9A_062549 transcript:Et_9A_062549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPQGRLFQVEYAMEAVKQGAACVGIRSSTYVVIATVNKAASELSSHQRKVFRVADHVGVAFSGLTADGRVLSRFLRSECINHAFVYEAPLPVSRLALRLADKAQVCTQRSWKRPYGVGLLVAGLDETGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTFLERKYEGFNKYTPEQLIKDALSAIKETLQGEKLTSSNCTVAIVGRRDDGTVEPFQMIDEERIQAIIDSMEAAEEAPAEPSSMQEEDKSSDAAAAMDI >Et_2B_020601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21751490:21760225:-1 gene:Et_2B_020601 transcript:Et_2B_020601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFAGGTAGAGRAEFYEGKITWYFILACIVGSFGGSLFGYDLGVSSEFLFSQAIILCSAIFRVFSLDSTEILYDLNQDIAVQSSVSMMHLSVLSGLNISELSFRFIAGDHRCVFMAGGVTSMDDFLVKFFPDVYRRKHAHLHETDYCKYDNQLLTLFTSSLYFAGLVSTFGASYVTKRHGRRASIMVGAASFFLGGAINAGAMNVAMLIVGRVLLGAGIGFGNQAVPLYLSEIAPYRIRGAVNQLFQLTTCLGILVADIINYFTDRLHPWGWRLSLGLAMGPATAILVGALFLPETPNSLVERGRLEEARRVLEKVRGTSKVDAEFEDLKEASEAARAVKGTFRNLLATRNRPQLVIGALGIPAFQQLSGMNSILFYSPVIFQSLGFGNSAALYSSIITGSMLVVGALVSMVTVDRLGRRFLFIEAGIQMIASMVVVATILALKFGHGEELTKGVSTVLVVAICLFVVAYGWSWGPLGWLVPSELFPLEMRSAGQSVVVCVNLFWTAAVAQCFLAALCHLRWGVFVLFAALIVVMSIFVVLLLPETKQVPIEEIWMLFDKHWYWKRIVRKDPKYQGNLHLQQQDMPPANAAGVKPSSDMSTFRKYPERSDSSSRPTSPATRRASAQPKPAAAASGAVDEKEARNGRRRRRVRSCK >Et_9B_063993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:151924:154386:1 gene:Et_9B_063993 transcript:Et_9B_063993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSFATLAIARPAGQRALLASKAPSPLISFRGARLPSQALSFSGGLESSPSHSRARFVTSASAEPKEAEVQSKVTNKVYFDISIGNPVGKNVGRIVIGLYGDDVPQTAENFRALCTGEKGFGYKGSSFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLVHAGPGVVSMANAGPNTNGSQFFICTVQTPWLDGRHVVFGQVLEGMDIVRMIESQETDRGDRPKKKVVISECGELPVV >Et_4B_035983.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:10062504:10063179:1 gene:Et_4B_035983 transcript:Et_4B_035983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRLQYVANLQCKKTTAYSAGASSSSTTSKGLKNLPSIRYVPTASRTAQTSLGFRARILLNSINQQISTSVSDKASHTVEYTVLEWFLPSDVAGLDLPSVVPERRHRRGGLQHHRRLQDDDRRAHLELQLRTAGWCATTVRRAPDGDPAGTSSGR >Et_4A_033409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22424344:22430355:1 gene:Et_4A_033409 transcript:Et_4A_033409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGDAGRVVGDGAGDDNIILNPEFDDGLDNWSGSGCKIELHDSLDDGKVVPVNGKYFVAATGRTDTWNGVQQDVTARLQRKLLYEATAVVRLRNANATGSVQPCEVRATLAVQTADGRQQYLGVGKSQVSDKEWVQLQGKILLNSTVAKASIYIEGPPAGVDLLLDSLVVKHAQKAPPAPAPDFEKLEYGANIIQNSNLDDGLNGWFPLGPCTLSVHDGAPRVVPPMAQESLSLDDEPLNGKHIHVTNRTQTWMGPAQTVTDKLTPYATYQVSAWVRVGTLPAGAAPQNINVAVAVDSQWLNGGQVLARDERWYEVGGAFRVESSSSKPADRVMVYVQGPEAGVDLMVAGLQVFPVDRKARVKRLRKLTDKARKRDVVLKLTTGGDGSPAVKAAEDDGVEVKVRQVANSFPLGACIMRTNMDNEDFVDFFTKNFNWAVFGNELKWYWTEPQRGQLNYADADDLLRLCADEHGMSVRGHCIFWEVESMVQQWVRTLPADDLSAAVKTRIEGLLTRYKGKFRHYDVNNEMLHGSFYQDKLGKEVRASMFKTAASLDPNALLFVNDYNVESMCDVHATPEAYIQQIIGLQEQGAPVGGVGLQGHVSNPVGPVVRSVLDRLAVLGLPLWFTELDVSSANEHVRADDLEVMLREAYAHPAVEGVVLWGFWETFMSRDDAHLVDAEGRVNEAGRRLLQLKREWLTHAHGKADENGEFRFRGYHGTYHVEVTTSTGSKISQTFDLDKDDAPMVLDIKCIGNYQHRHARSHASQATGIRASPGEVVPVCAGSGLSRAARGAAVRLQPVVRCDQHRRRRRLPVPLPLVDEPVVYLLRVEPRRLRQRRLLELLH >Et_10B_003528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2575085:2579295:-1 gene:Et_10B_003528 transcript:Et_10B_003528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPSSVPAAAPAGAAVPKKRGSYNCGRCGLPKKGHVCPVPGAPAKGGGEGEAGAVGAAAAGELKPRRALHFDDDAVAAAAVPEGVVEAVPLAMAAPPPRPPPEKKKARVDAPLPPPRPAAKKARVEVVVDDDGDAEEEEAAPGREGWVELGAGRRVPGEVMVEVLRRLAPRGVAASAAVSRGWRGCAQRVWRAAEEVRLRAAGVGPVGALLTRCPALSRLVLRMDSDVDATMLACIAFSCPNLQSLDISMANSAVNRITGDELSRFVSEKRYLSVLKLEGCSNLGFLNISSSSLSTLWLSGLCSLSKAVINCSNLNELSLAFPKQNNDSTDLIALMDSLGRTCQNLRNMHISSIHLCNEAVFALESANLRGLCMLSLILGSKITDAAVASIVRSCASLELLDLSGSSITDNGLGMICKSFPHTLTRLLLALCPNITSCGVQTAATQLPLLRLMDCGKSLCVKPQPDAGRSYFGDITGGIKFCSKLATQKKQQPTYEKLIIKHNNLKKLSLWGCSAIDALYVNCPELVDLNLNSCTNLHPERLLLQCPNLKDVHVSGCRDMLIGAIRNQVLNEFAMAEPRMSCKRLADGSKRVQVPHFMLEQVLEENEKGRGSRRSQCTVHIA >Et_6A_047658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9214166:9216320:1 gene:Et_6A_047658 transcript:Et_6A_047658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNWSEHFHQYNSKQQILMLRFWFVHVEKWEGERAPKLPSMWPWPCEEGGHENSDGGAYPARVLCADGRDGAKGSCFTVTDIDSRRRYKVASP >Et_4B_039326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:132452:133605:-1 gene:Et_4B_039326 transcript:Et_4B_039326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKASMSVSLVLLVALVSLHGVAFAAAAEEEKEFYNTSAAAFMQWGNARATWYGQPNGAGPSDNGGACGFKNVNQYPFMAMTSCGNQPLYKDGKGCGSCYKIKCSGHSACSGRPETVVITDMNYYPVSQYHFDLSGTAFGKLAKPGRSNELRRAGIIDIQFARVPCEFPGLKVGFHVEEGSNQVYFAVLIEYENGDGDVVQVDLMEANGRRWTPMRESWGSIWRIDSNHRLRPPFSIRIRSDSGKTLVARDVIPVNWRPNTFYRSFVQYS >Et_6B_049582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6956994:6959850:1 gene:Et_6B_049582 transcript:Et_6B_049582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISVSKRGSSQPSTCRNQEWKYWLLLGVLEGPEVGVDVGGLDACEPSVLGELDKLPLVPLLAVGAVDEQADIDGDQPLDPVRGLQVHRQDALDVDELPVGRQRVVAVLEHPGTVVIAVTVEHPLHEDGVGAGGHLLEHVAADVPHLHVVGRLGDDVGQVEVDPLNLRVPGDDGVDGGADSAADVDERVDAVEAFVVFEELPREDDRVLVHGLVEDAVEPRVGAGVVEPGRRVVRPVERAAALEDGLGQEAPGVHEELVVGQEEERGQGDAVVLVGREPLEHRRRGVPAAQAAVVAFLHDARQDVVGGQQTQQAVHHGRCRRVVLGLGRQLRGHLVGRERLAAGAREGLEHAELDACPERQRQGERRHQVQRAHACLLLGSHGHLGR >Et_9B_063945.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:4585781:4585993:1 gene:Et_9B_063945 transcript:Et_9B_063945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SGEKNVGPNNNSVCGYEVIDAIKTQVEASCNATVSCADILILAAQDTVNLVHAHIILVASTIKPADSACY >Et_8B_060672.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:4521356:4522141:-1 gene:Et_8B_060672 transcript:Et_8B_060672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLIDSAASLRSLLRPVTDDRRMTTTKLSGTAGGGAGAGGLFKMFKLVPMLTTGYKVAALLGRHHHGGGGRKLLADHAPTVTLFGHRRGRLSLAIHEDTRAPPAFLIELPMLASVLHREMATGTLKLALESDTRRGGARRRRPLMEEYVWAVYCNGREAGYAIRRKDASDDERHVLRLLRGVSMGAGVLPPPPDERGRKGSDAAGPDGELTYMRARVERVVGSKDSEAFYMINPDDGSDNGAGRGGESAPELSIFLVRKK >Et_3B_028735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18571863:18576000:-1 gene:Et_3B_028735 transcript:Et_3B_028735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSTRSRATPAPCGGDSAGAGAMDWGPASAAPALGRDGGARREGVASHHHHGLKEKMRALTLLYEQHKQQVAASQGGAAARQHRRSIRCLNAGEMANDENTKNSAEEDAEGAKRHRDAFAPTSEVAVLRENMAPPQAQAPSKNSHAVVFAGPAEPQEKENVAAPTRIGNVMSCPIKKAAPVVPSLPMIPAPAARKLSLGGAVGGKLKAVGEAMAGNAEAAESRIMVFVRLRPMSKKEKEAGSRSCVKIVNKKDVYLTEFASENDYLRLKRVRGRHFCFDSAFPDSTTQAEVYSTSTADLVEGVLEGRNGTVFCYGATGAGKTYTMLGTMENPGVMVLAIKDLFSKVRQRSHDGNHSIQLSYLEIYNETVRDLLSPGRPLHLREDKQGIVAAGLTHYRAYSTDEVMKLLQQGNKNRTTEPTRANETSSRSHAILQVVVEYRCMDGVNIVTRVGKLSLIDLAGSERAQATDQRTQRSIEGANINRSLLSLSSCINALVEGKKHIPYRNSKLTQLLKDSLGGYCNTVMIANISPSNISFGETQNTLHWADRAKEIKTKAFTTVNEEVLNVPDSESDQAKLVLELQRENSVLREQLVKQQQKLLTVQAQSLASNTSPQQSPAPSPHVATPGSTQRKVKRSILAGNCFSTPDSKRPAADNVQVRELQRKVRTLETEIEKMKKEHILQLKQKDEFIRDLINRKASNNCEPASAERRVATRASVRKAQRDASAAGELKSPSHRFTSPAPTAKKRTFWDIGGNSPSVLAANGRKTRSHVAEGTPKKAPSMLLQPGFARQRGIS >Et_1B_012744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34808148:34818958:-1 gene:Et_1B_012744 transcript:Et_1B_012744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSSSPAMASQQPPPRQELDLDAFLPSSPTSSTASDADADHRRAVDDLLLLLSSSDSDSEESSPIPSASSRTPARLRAPEPPPKPSTPASPSPSASASPRRSTSASPSDTLSSLVSRTFSGNGASSSKPLPSLFRGVRPSPKPGAALAAAAAASRAVFTSHAAAIKSRRSLSAPVEKLLEEGSGSEASEELPSLGGLEAEVEEKGNAEPAAEATEQTTGGSGVEELEEEKHEEMGIEENSESTNLLEASPIDSVVAEDVRGLEETIEDVNIAETDQVDNQRVVANEENEDEYIADDYSESVQDVEPVDVVSNGSFDDRGDERSDSVMEEQLESESIIDKVIEERMEQLEINRKAEKNAEKKLKASMKPLEWAEELEKRQASFGQHWEEGAAAQPMQLEGIGKGPPAIGYMQIEMDNPVTRAMASPSFRTDHGSPQVLAAHRSYIAMGTSKGAVIVIPSKYSIHQADDTDTKMLLFWNQGEKTQSPVTAMCFNQQGDLLLVGYSDGHMTIWDVQKAAVAKVIYGEHTAPVVHACFIRQSKAITGDSKGVVLLHTFSIIPVINRLTIKGTQRLFDGNTGVVLSACPLLVDESVGFSNTSAQANQTTSSGGLGSMMGGVVGGVVGGDSGWKFFNESSSPIEDGVVVMFIMHQHALVVRLRTNIDHVDHIETFSRPDGAREGSIAYAAWKYTSSLSDSTSLDEDRLSWLALAWDRQVQVAKCVKSKMLKHKEWKIDSSAAGVAWLDDQMLVVLNLRGQLCLFSKDGSELRRTTFVPDGLVFDDTILHHAYFSNKFGNPERHFNNSVAVRGATVYILGPTFLTVSRLLPWKERIEALKRAGDWMGALDMAMRLYDGQTQGVVDLPRTVDSIREAIMPYLVELLLSYISYVFEYISIALSNHTGKGGESDGLIETDRSLLTQREEQYARVGGVAVEYCVHIGRNDILFDTVFSKFVAAQSGGIFLEVLEPYILKDMLGSLPPEIMQALVEHYSGKGWLQRVEQCILHMDISSLDFNQVVRLCREHGLYGALIYLFNQGLNDFRTPLEELLSVIQNTDRKEAASTGYRMLVYLKYCFQGLAFPPGHGIIPQSRLQSVREELLQFLLEESKLLASEVFKGFNASCGKCSKICYLLWMDTEATLEVLKYAFAQDGIGPRDDPYSSIDALVSTDEDDTDAGNPMTQNTMVQKVVDTIIDIVGLDNEVARSVVTGPADSEFWPSEKEFGYLIEFVSFFVSHKRANTSKRVVIHILTYLTSSYDDTRTPTQKEKEVLQLFSAVPQNDWNSDFVLNLCLDAHFHQACGLIFMTRNKYLSALNSYMKDKEEPFHAFVFINKKLVELSGDEALSFRSTVVSRFPELVKLSRECAFVLVTDHFYDHIQQILAELRSDRNSLFLFLKTAIEVHLSGKLDFKELSVRNSQTVELDYTSTDLKGYLQRLSNLPKLDHNPVSINDELVELYLELLCQYEPRSVLKFLETFDSYRLERCLHLCLDYGVTDAAAFLQERVGDVGSALALVLEGLDKKINIFISSVENTFSDVASKSISTTKEPDIVLEMLEAHPVLDALRASIGLCQRNSQRLDPEESQSLWFQLLDSFSEPLKKLYASKDANEKYARSKGNEAPIEQRKGKGRSQQLRISSKKCCLNALRKIFSKFVGEIIEAMAGYIPLPAIMAKLLSDNGSQEFGDFKLVIHRMLSMYLYEKRILETAKSVIEDDSFYTLSLLKRGVCHGFAPQTFACCICNCSLSKEGAVSAVRLFNCGHATHLHCESEQSRSSNRESKDGCPVCLSTSNTQARNKSTISENVLLNYSGSESEVSHVAHHIHEADHAERSRGLQHMSRYEILNNLQKAQKSLHIETVPPLKLSPPAIYHEKIQKRAVLAGEPSKHSARSQKPQKIWQMKEQKSKQTGNRLPQKPIVLREFTLHSSIAFIGFS >Et_6B_048504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1059882:1062419:1 gene:Et_6B_048504 transcript:Et_6B_048504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSVSLYPGGLGSSRVTRTQQRIRKDERSWTTDTYAPYNDGHQWRKYGEKKLSNSNFPRFYYRCTYKNEMKCAATKQVQQKDTSDPPLFSVTYFNQHTCSTSSNPTGSTRDVASQSSSKKAVSISFGPHSSSEQPTFLTSVATPPSPRIQSYRTNQQQRDRSAYAHQFHWAGTSSPTSNDPVKMEVDRVSESSTSSSSTGALPRTLLPIGQSRCIEYFHFL >Et_10B_003262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18376497:18380496:1 gene:Et_10B_003262 transcript:Et_10B_003262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRYRHWTAGIDMCGLVWIVPIRGLVDQPRVVEPFTQPNEKASPTRPEQPAALGLQLPHLAVAGFHAPALRHTRQTHNSSLLSHCPTVPAPAFFSSKPPHRVRGLRLLLLQARGFAAMAPSRHADEGGQLQLMEADRIEEEEDCFESIDNMMMHTKKSLTGIKGLSEAKVEKICEAAEKLLSQGFMTGSDLLIKRKSVVRITTGSQALDELLGGGIETLCIREAFGEFRSGKTQLAHTLCVSTQLPIHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRVTTGGITDAKD >Et_4A_035013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8876219:8880609:1 gene:Et_4A_035013 transcript:Et_4A_035013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACLRLPFLPTRTRSADTASSRHASLKCSASNAKANSNSSVSPASPPRGAVSDDVGSVDLNGLRRPPAPVPRSTAPSVRDPRWLPRPLTSADLMDAGREGLKVAYQGCPGAYSEAAAKKAYPNCQTVPCEHFDTAFQAVQDRLCHRAVLPLENSLGGSIHRNYDLLLRHNLHIVGEVRLAVRHCLLANPGVKIENLTSAMSHPQFIAEQRLQHTGAIASSLAAELYGLDILAENIQDDKDNVTRFMMLAPDPIIARTDKPFKTSIVFSLQEGPGELFKALAVFALREVNLTKIESRPHKNRPLRVANDHSSPLKHFDYLFYVDLEASMADPRTQNALRNLESWRIFFYGDSVVGLEVETFEQPHVVREYVRTWQYVGLRVLNMSNSKASHQHP >Et_1B_012908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:477966:480640:-1 gene:Et_1B_012908 transcript:Et_1B_012908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRGYRSTRTSLFDGIEEGGIRATSYSSHEIDEQENDRAVDGLQDRVSILKRLSGDIHEEVETHNRMLDRMGNDMDTSRGFLSGTVDKFKMVFETKSSRRMGTLVASFVALFLLVYYLTR >Et_2A_014575.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24244626:24245513:-1 gene:Et_2A_014575 transcript:Et_2A_014575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARAARDHLRRDAAGLDLGRRQLRLGAVADVSARPTDHFEGRAPNAGGRPPGATQVRLVLQRRHRGGMRRPRTRHDEPGDVVLPRRRKPVVQARLRHRLPQPSSVVLPRAQEAQHLRHAAVDGRFFFFESDTNSELGTLDFTVDGPEPEARMGAIAVPSLDGDFYGDRQQMSAVLTYLVESGGDLYLASIAFYSSCYDRPGTVRVYKMDFSASAWRRTEDIGDCAFLLGSPNFGASCSARGHGLKPNCLYWVNLFSEESNDLHVYDVKDGRLEIIEMFEDDASAAQRPFWIVPTAS >Et_1B_013432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8294515:8298478:-1 gene:Et_1B_013432 transcript:Et_1B_013432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALARASRLRSAVGRLGCPRAFSSSAAAEAAGESHRGARAFASAAALAAGSGLGIWMLPTRPQALADSGLVADAAGGGGGISTVFGGAGATGEQEEKRRFLFGDSYRRRVFFNYEKRIRTRSPPEKIFEYFASVHNPDGDVYMLPTDLMRAVVPVFPPSESNIVREGRLRGESHPGELHCAPSDFFMLFDTNGDGLISFAEYIFFVTLLSIPESSFNVAFKMFDLDHNGKIDKDEFKKVMTLMRSYNRQGAAHRDGLRFGRMVGQSVENGGLVEYFFGKDGNEHLHFDKFSKFLKQLHDEIVRLEFSHYDIKSSKTIPVKDFALSMVASADMNHINKLLDRVDDLDDNPDLKDSRITFEEFKAFADLRRRLEPFAMAIFSYGKVNGLLTKQDLKRAASHVCGVELTDKVVDTIFHVFDANRDGSLSSEEFLRSLQRRESDIRQPATSGFVGMISCWLNCTKCSFQRMLF >Et_4B_039360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11749090:11751801:-1 gene:Et_4B_039360 transcript:Et_4B_039360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHVGRFMDSVGSIFRGSDTLPWCDRDIIAVSPFPQAPGFESEIAEVANEEQKNDSLMRLSWALVHSRQPEDVNRGIGMLQASLDKSNGPMQIREKLYLLAVGHYRTGDYTRSRQLLERCLEIQPDWRQALTLQHIVEDKTKRDGMIGMAIITGAFGVVGLVAGGIIAAASSSRKK >Et_5A_042318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8746079:8746665:1 gene:Et_5A_042318 transcript:Et_5A_042318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSEDPSTTGVQGCDCNEGCHRQPIHQHVYFHLPVLPQPDRGFLSHIYPWRSIPNVPPFTPLPRPALVGTSVEWNSVLCAPENPVRQYHAPLIYICMDRDPKLGGALIVSLAPSAYSLPVPAGDSSSDNMAYRITVRTWTWRVSSSSSRTCRGGADSPWWTATASQAGNDDPWTNQLRCCLQWLRKT >Et_4A_033637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25206438:25210204:-1 gene:Et_4A_033637 transcript:Et_4A_033637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPTASTAGRRRRGGGAGPWCAPVILVLVLAVGAAAQTLPSDVEAMRAVAKALGADKTLGWDTAGDPCSPKPWAGVSCQGGRVTRIQVGDKGLKGTLAPEVRSLSALTRLEVMFNQLSGPLPSIGGNGGLSQLQVLLAHNCNFTTIPPGFFGGLNSLQVVDIDHNPFASWTLPADLGGCTSLQNFSANSANVTGNIPDFFGAMPSLVQLSLADNNMSGPVPESLSGAPLVQLWLNNANGGGKLNGSISFLTNMTNLEQLWLQSNTFTGPLPDFKGFDNLQDLQLRDNQFTGPVPGTLGNLKALKKLTLTNNLLQGPMPIFPKSVVVDMDADKEKFCLPNPGVPCDPRVNLLLEVAAGFMYPKKLAEGWTGNDPCNGFTGVTCNSTGNITVLNFKDMGLSGSISPSIGKIVSLQTLILANNNITGTVPKELSELPALKDVDLSNNNLYGEVPAFAQNVVVTTAGNPNIGKDAPAPTAGSGGTGDNSPSGTGGSENHGKNGGSSSASAGVIAGSVVGAVVGLGLIAAFGFYCYKRKQKPFGRVQSPHAMVIHPRHSGSDDMVKITVAGSNANGGARVSETYSQGSSDPHEIRVPDGGNMVISIQVLRNVTNNFSQENILGRGGFGTVYKGELHDGTKIAVKRMEAGVMGNKGLNEFKSEIAVLTKVRHRNLVSLLGYCLDGNERILVYEYMPQGTLSEHLFEWSEHNLRPLEWKKRLSIALDVARGVEYLHSLAQQTFIHRDLKPSNILLGDDMKAKVADFGLVRLAPSDGKCVSVETRLAGTFGYLAPEYAVTGRVTTKADVFSFGVILMELITGRKALDETQPEDSMHLVTWFRRMQLNKDTFRKAIDPVIDLDEETFASVSTVAELAGHCCAREPHQRPDMGHAVNVLSTLSDVWKPSDPDSDDSYGIDLDMTLPQALKKWQAFEDSSHFDGATSSFVASLDNTQTSIPTRPPGFAESFTSADGR >Et_4A_032074.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:15588557:15588889:1 gene:Et_4A_032074 transcript:Et_4A_032074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ELAGHGDLAAHDVGERARHAVRVHLEELGAGHRVGRLVAPLVGGDDAADGGERGVAVLPRQARRVAVGDEGGALLERRVDQAVVWARRHQHLLHGGKNKKNNNKFSINND >Et_8A_056412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9597707:9598032:-1 gene:Et_8A_056412 transcript:Et_8A_056412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRAGGLRQYYVSLPNRSSILEPLWMLHPPMLLAAMETQNEFIFQHLSTSLQRLLANGREQARLWSYRLPQSDVHNSSTNPRVCLIRRMPKI >Et_4A_035452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25122970:25126454:1 gene:Et_4A_035452 transcript:Et_4A_035452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVSEDGGRRREAHAAGYGDLGRALLDLQAAADQVFDAVSKRTAEEREKLSDISRRIKDAKAKIKALSKSEGQFTIVSPAQHPSSSTKHEDYRPLFCDKYVGPNFGLPIAEISVNGAFNREYGLEGTLELFQFFSEENCDYPSKLNSNYGLFPAQVEDKPPKTKDVTYLENLMETANPAAPENHLLSEYDMKNEELPPPPPSLQPKHLVANPDFRVGPGYW >Et_6B_050180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9013210:9015257:-1 gene:Et_6B_050180 transcript:Et_6B_050180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSSALSSMEVMLDALMQRGIGKPEDQKPKEEAPPALPTRPTVRGRLPSLQRPGTAAPWIQRTPLPSLLPPTQEDDEDRTLANLELERRATKAEEEARQKDEEMRQKEDEIAALRQQVQHYESRLSECEDRMKSVEDELQKQITSLQMAQTAGGRRGGSTATSQHRQDESSTRRQRGCEPAVVAVGEAQTAVSQLAEEFQRERDAFENDARVAAVDAAATGPPGGAKSVDELKTLKRQFGAWKKEYEARLRKTKAELKKLAHAEKSQGQGHGHHQRRCGWWRIKAPKFRVPKCCSFRRKDQFALIIAFPSTHMTSSSSPPPAPPRRRPARARSFASSRATTTISWTPASLSSTQSARGTTALSNAAAGRGQRAAAGRRVEARVRLLRAPSFSSSFASRRRRQAAAAGEAGAATPRARRELQHHGGAQRAARWTSSRSCHLVMGLRWKWHDPLRIFWWRRLKQGGTTAAGLPRAPEGWQWQ >Et_9A_061509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12798228:12799987:-1 gene:Et_9A_061509 transcript:Et_9A_061509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRFAQCSCLGNVFGHSLPSRDNMLPGPPLQPPPPPPVLIGLSSVPSPLPPGPQSLSIGSSLAIVVVIIIATATVTICIVLLRRGCRRRRRLSCSSLSPRCSFSPMTSFSLSSAESEVPSGGSADVCASHRGRVGMSSLEMVVVPSARKEAGKSLCSASVSRSAEASVKETELAAASSAVAVTGMSGVLVPSAPPLPEVEHLILELLARPPPVTKPRNTLCLICNHEFLPTDVPLILTQCSHVFHQPCIITWLRRTILPCCPSCHASITIPSRDKTSFCSDRYDIESQMLVPATPGDEVAEAVGGSRGWLRSSLDRLSGSLMGCSSNRATAVVVPICSRRTTGSWSLSSSGRLGHDSHRVEEQLAPSVPLGEEVSEATGGSRRWLTSSLAALSGSWSGFSRCSDAMVLPVYSKQITETMGSSGHSSTNSRSRRWDLEAATPKPERPSVLDNIRWFFGN >Et_7A_050561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24780326:24781066:1 gene:Et_7A_050561 transcript:Et_7A_050561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHGGHHFRAALAYASDYEPASAATFDEHGGGQLECFAFSTASELRRALVRSLAELDAQRAAHQAELRRMETEATRLAALVSQESPSPSPTPAPARLHGGVVMDDVLAPDGTAAADDTELEMALARRLPEKGRLVEAVVSAGPLLQTLLLAGPLPRWRHPPPAAGGADIPPFNPGRQLSPMKTDSFSSASAGSSSPESNCSGGGPPPPQALPPFHMSSFCM >Et_1B_012647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34035278:34037881:1 gene:Et_1B_012647 transcript:Et_1B_012647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFAGKRKELEQVVDGLSDFSLSGPAAKSRRLDPGLPPIMEEPPAHSFAFEYEMMGGESNSSVDMPSVEDMMESAISPCVSSEDMALILYKPVDNHVLFGPNISSSSFTVSSDVLRGLKNHALNQGNYFELEDKSPERSNSLALVPWTPPQIAIRSDWVASEPGTTQTFEASMEADETEVTSMDVEEAREATALGFDGENLHQWQQHCMTPPSLPNPSAHVMWSR >Et_1B_011889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2689132:2690984:1 gene:Et_1B_011889 transcript:Et_1B_011889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKRVFFSSLAVVALAAVAAFVQTGHGAGEVDGGGRSTAFARASGTRFTIGGRPFYSNGFNAYWLMYMSSDPGDRSKATEALEQAASLGARLVRTWAFSDGGYRALQVSPGVYSEEVFMGLDFVIAEAKKRGVYLILSLVNNWDGYGGKKQYVQWARGQGHNLRSDDEFFTSSVTKQFYKNHVKAVLTRVNKMTGVAYKDDPTIFAWELMNEPRCQSDLSGKTLQGWIAEMAGYVKSVDPNHMVEIGLEGFYGEDRKPFNPGYTVGTDFIENNLIPSVDFATIHSYPDQWVSGASNEAHVEFMRRWMASHASDAAAVLRKPLLVTEFGWSARSNGYTVAARDSYFRMVFDAIYASARARGPFAGALFWQVMEPGMEGWTDGYDVVLERSPSTAAVLRQECARLAGLHRVA >Et_1A_005843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14601061:14605336:1 gene:Et_1A_005843 transcript:Et_1A_005843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLFPCGFVAKVITSRIQPANTSSERFRGSSTVPFQQGFSNMLLDGEPRIVDQLSHERMYSWYFTREELEKLSPSRKDGITESKESETRRLYCSFIRDVGIKLKLPQMTIATAIVFCHRFYLHQSLAKNGWQTIATVCVFLASKVEDTPCPLDLVVRVGYETMYRRDPFTAQRIRQKFKPQYIAAGSLYLAAKVHNIKLPLHGAHVWWHQFDVAPKPLEAVIQQMMEHVALNKLKSASPSPFKQKDALSEAKLIASNSPDSVLNQSSLSISSSSPDICEPSGQYLISSHTADCRVSGPDSSSSSISTNRDGASKEHDEEESLDQCVITKHGAGVMSCRNRASLDAIPTTEVSTECMKQGGLHCNDSSAVNGNNLYQTSRNWHGDGVDPFSEVVSLDAEMDRRNTQRMEPSVANSNNCSDSLNAHNICSDRRSINAAAGSVDGAPSALPVEIEVNHLSTELKKVDVARIKYLLTKRKRQRSIQVQAIVSEDLSEEAWIERELESGIVTKKETDHAATSDELGDEAWIERELESGIIVGPSNEQVISLDGLSEDDWIERELESGIIVEPAPASKKQKL >Et_9B_065326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3835712:3839482:-1 gene:Et_9B_065326 transcript:Et_9B_065326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGSLTLLSAIVALLAFSSCSLSLAGDDDQREADRVARLPGQPESPRVSQFAGYVTVNERRCRALFYWFFEAQATPEEKPLLLWLNGGPGCSSIGYGAASELGPLRVVAQGAALEFNQYAWNKEANLLFLESPVGVGFSYTNTSADLDNLNDDFVAEDAYSFLLNWLGRFPEYKGREFYISGESYAGHYVPQLAELVHERNMDKKGKTYINLKGFIVGNPITNSYYDSKGLAEYARSHAIVSDEVYERIKRYCDFKITNWSDDCNAAMNIIFSQYREIDIYNIYAPKCLLNRTSASSADRAFLTDDKEQFSWMIRMFSGYDPCYSSYAEDYFNKKEVQRAFHANSSGLLPGKWHVCSDPILNTYNFSVSSVLPIYSKLIKAGLRMWLYSGDADGRVPVIGSRYCMEALGLPIKTQWQPWYLDKQGGLWSTMATVRGAGHLVPLNKPAEGLALINTFLRAEQLPTRR >Et_7A_050900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12152725:12158148:1 gene:Et_7A_050900 transcript:Et_7A_050900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALGSGVWSRRRDEITFDRLHKFWEDLPPQARQELLKLDKLTLIEDARKNSYCSRCNGLLLDCFAQISIYGKSLQQEASGIDLSRATAAESGPRQVEQDEAQDPSVHPWGGLSTTKDDTLTLLDCFVKTKSLDVLQNVFDNALVRERDRKMCYPDACGAGGRGYGTREMCALHTARPSCDALVDFWSELSEETRSYLLRMREEDFIEKLTRRFNRKKFCRDCRRNVTREFKELKEFKRMRRERRCNCWFCVDNGFQCEVFEDGIIVDWRQCLSEEGPFDHFEWAVGTNEGEADILDFEDVGMNARVHRTGINLVEFENYFITLRAWRSDGRCTQYCVKAHVLKGKSCVHLHHTLVVSAGFVTITDVESMQSFFERAEKAEEEDEDVAMNSDGNDLDGDGSHAQKHAKTPELAREFLLDSAAVIFKEKVVKAFRDGTAQENAKSVFVSLALKLLEELVHVACKELITLEKQNKLLEEEEKEMREKQERKIKRRSKEKEKKNRQKQRLKEKGAKLFESKSPDNISSPALSDSSTTNHESTNSTPDSIDSAKAEVVDLHFPGRFIDQSLCRENKVEHINGVTESSPMDCSDCCSTSEQSESSKRSPRLWKDSPQDQPCWCDGSQDEPGRIGDSQWQLIEMKRSSARSCSITLPKGSRIGGAQKNAILKQVWEPVDARKKTGLYSTDNARGSVGNVNPLKPVGCDATGCQKLRAGCDEPMHLASENSSILYISGTDQACGNSQSCQAASSDGTHMVNKQDCYSTPDESFWHGEDLMKKYDSYSSLSSCMGEGDRESSCSSSSVTISSERNPESSLSDETEGFPYRIESNLDTPPLRTASQSLLQACARKGFREYRPEAARPTHSGRFGFNTPIQDQQFHHQSMHVPQNSPATLRLHNHCCWASPTNRNFQYVNHQVRYRKVNPYLAHPQCCMRPEPIQKATESFRAMPPSLPFQDGSKQIVGHPHRKIYVERHPSNKLKQLGQKDHPEDNVKTQDNADASFSLFQFSLPIASPVPASFEDDRTGEFSDRTPLAQDCSREQTGVKEYKLFSTKDSGMFSFICR >Et_1B_010061.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:21400500:21400574:1 gene:Et_1B_010061 transcript:Et_1B_010061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWPDGYPVFVNCHLAADIQSAPS >Et_3B_031432.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:29376593:29377555:1 gene:Et_3B_031432 transcript:Et_3B_031432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVYDHGLATNNRQTAYAIGKQSLHTGVVPELANSYDYHVTSHGWVLLVSPGPSPQARLWEPRSGESVSLPPMDHKPPANWECYLSDVPTAPSCAVLVLNMEEPNFMYCRVGGDRWSAHEYHIGEVPVPPECTTTRDILIQQMVAVGGKLCFHEKGKLGVIDFSSSTTPEFTYLDYPRIGFPDGSNCSTDFHVASRGELLNVTVFCKGFNPAEILTVGVYKVDMSSGLTVALSKVDGLGDRVVLLSDPNTQVLCSASKYGLKGNRVYFNYNVTGEPDGGPLCIYDLDDQSLDTLQPCKGVTELMRNPFWVLPTGQDCVSE >Et_9A_063250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17686439:17689152:-1 gene:Et_9A_063250 transcript:Et_9A_063250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPPPPPPLLRDELSRKTAVLGLRLWVLVGLAVGFAFLLLLALISVHLAAARRRRPRKGVPHAAAPAHAPLSPAATIPPVSKEIQEVAVHVGSLRHYLEMGAAAFLKDGGGGGDGESTAHGSQRVHIEAGKDRRMVACADSEAAAGDAAAAAAVGPEVSHLGWGHWYTLRELEEATAAFAPEHVVGEGGYGIVYRGVFADGYHVAVKNLMNNRRATAKKPHLFSRSAGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVDNGNLEQWLHGEVGPVSPLSWDIRMNIVLGTAKGITYLHEGLEPKVVHRDIKSSNILLDRRWNPKVSDFGLAKLLGSDSNFVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRNPVDYARPPGEVNLVEWLKNKVTNRDYEAILDPKLPEKPSSKALKKALLVALRCVDPDSQKRPKMGHVIHMLEVDDFPYREDRRTLRTGHGSPLEKPRTPGKPVAGSCDSSYYEGHTTTASTPSRLVQDM >Et_10A_001538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4853717:4855178:1 gene:Et_10A_001538 transcript:Et_10A_001538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTQLLKRNSPPSLSFDLKFEFTLQGLSKWIHYSMFLAEAKFRKSPDIYRDPEKLRKLLKDPEDGDDFKRQKQFRTDVCQVLMNSGASMSSNPKLKPEMVVELFDEFVIPMAMEVQIQYLLFKAYDENVASAHARETLQLKALSKRKRWLVKAGPPLAWTAKIAGVLVTCIVLRHKVLDMLMKKGVVSQETVVAALTMFLLTKEILEALKKGKEYLELIRFYVKFWERDK >Et_6A_047634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8859493:8865864:-1 gene:Et_6A_047634 transcript:Et_6A_047634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGASHMLLEEPLRLASVLAPAKPKVFPSLTKIVGTLGPNSHSVEVIEECLTAGMSVARFDFSWMDAAYHQETLDNLRKAAQNVKKLCPIMLDTMGPEIQVHNPNGGAIELKAGNHVIITPDLSKAPSSDILPIKFGDLAKAVKKGDTFFIGQYLFTGSETTSVWLEVLETSGENVNCLVKNTATLAGPIFTMHASQVHIGLPTLSEYDKQVISTWGSQNSVDIISLSHTRSGEDVRELRSFLQSHDLPDTQIYAKVENFEGLDHFDEILEEADGVIISRGDLGIDLPPENVFMFQKTAIQKCNLAGKSVIVTRVVDSMIDNLRPTRAEATDVANAVLDGSDGILLGAETLRGLYPVDAVSTVGRICAEAETVYNQPLQFKKVMRHVGEPMPHEESVASAAVRSAMKVKAAAIVVFTFSGRAARLVAKYRPPMPVLAVVFPRDGSDPSKWRSYGTTQARQCFAVRGVYPLMGSTDEAETGGLTKEEYGIKLALNYGRSVSIVKPFDRVIIFEKIGDSSVVKIIDIWSAAAVHNLPSVLLLEDDCVDDRADGDDDDDDVEGDRGHGLVAELHVLAAEPVDGVGNGLVVPEILLIGVLEPGPQLLQPAALGFLRQRPVVEPPGLLLAQHVVHLAEADELL >Et_7B_053334.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:9416307:9416750:-1 gene:Et_7B_053334 transcript:Et_7B_053334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVIHPVVVFSLVFAMFATNKAWGEEDCHHEKILFFEKCKATLKFGTDYKDPSDKCCRTVRECNMTCICHIISYQDEHYISVAKTVRVARECHNPVSCQLERNLEVSNECYY >Et_3B_029062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21841137:21842407:1 gene:Et_3B_029062 transcript:Et_3B_029062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKGSVKRGPWSPEEDELLRSYVHAHGTGGNWIALPHKAGLNRCGKSCRLRWLNYLRPDIKHGGYTEQEDRIICSLYNSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKALAMHQHQQDQCASMHPSPASASSAVTTASGDACSFGAMYSSPSTLQQQQPPPPLARYVDGAVPAPPPPQQQQQHAPSSLAEFSPAAGSNGWATGGGMSLDDMFLPELLGAGEFPSSGDLVFGGGFGPLLLQDRAAPSSLQELSACYFPNAQAEMWATAADIKPPPGLCHSLT >Et_2A_016440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24798279:24798596:-1 gene:Et_2A_016440 transcript:Et_2A_016440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DFQSGLNARIFLLTTKVGGMGITLTKASSVIILDPSDNPSDDSQAIDRAYRIGQKKDVLVYRLIMSGTIEECVYRNQV >Et_3B_027711.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:13931231:13931359:1 gene:Et_3B_027711 transcript:Et_3B_027711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKQSGQSLWAAPLRRPRRRLRLTGQTSTSRLSRLAPWSSQ >Et_7B_054191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16969949:16972119:1 gene:Et_7B_054191 transcript:Et_7B_054191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVLLETPSGFAIFSYCAIKLYFPDAMEVVWLRDFQTFEDKSCAINVKTGVNKQLTEMIMLSRHREQTLAVEKPEYATIIQRSLNIQCLCDDAVMEVIWGLNNLMRSFIPKEKIELTKEDRLPMSKGLIMFLRRYGLVVKPEAVNQQIVLGARILYNCECIENDESIFLQRNGRHLLDISGIRYQDWNLLKLSTALRLVCFPHDDIAGDHEIFSNDELSKLKGDAPKYKRRLTKVACLRSYKENVRVREIKTKKIKELESLIEEAEEAYEIEQLRGLRKRVLNKRKRLKLKKNMEDTELREAKQDEKVGAGEAEQTEVRKLRRPTKRVKLIEGKLMKLIKLNRWLIKLKKKRKHMKLNS >Et_3A_027305.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7240249:7240908:-1 gene:Et_3A_027305 transcript:Et_3A_027305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METEAEEIEIEAETTWKGLFQRRAVMADTHCNTVHGLLRGMLEVVTADAGEWGRDQQPPCAAGVQRALDSAAMELGLALASMGAARHIALRGGAPSPAAPLESVDDLAGDPDVWCALDRLEKAAALATGAHDRMECARGHLGAAVLLLVLDDEDGGGAPWKQSPCLSERLNGVMELREALSKAVDLVAATAAASEAAFGFRDGSLASQDAVVRLRSPAP >Et_5B_045424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2281747:2287186:1 gene:Et_5B_045424 transcript:Et_5B_045424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRASRMRPSPQELVRSIKESFVALDTKTGAKALEDVEKNVLTLKHTLSGDGEVEPNQEQVVQIALEICKEGVLSLFVQNLPSLGWEGRKDLVHCWCVLLRQKVDESYCCVQYIENHVDLLDFLVVCYKNLDVALNCGNMLRECIKYPTLAKYILESSSFELFFQYVELPNFDIASDALNTFKDLLTKHEDAVSEFLSSHYEQFLSEFLLEAPNAQIMKRYILEVRYLNIMIDLLKVFVANPNKPRDIIQVLVDNHRELLKLLHNLPTGKGEDDQLDEERDLIIKEIEKLVRFCAARPTAVGHNGRKMTGAPCCGCSIMSTWGACTYAGDSRNSHRCSTTASATLASMSARFWPMHTRPPPPKGRNAMWCRGGRSASASVNRAGLNSVASSPQTSASWCTAMIGIRTSVPAGTTHPPSSTSRFAFRMIATAGGYSRSVSFTIIPRVLSLGRWSLVGRPRWPQTETTSRRARSCHSGCMASATVAQVRRLVRVSLPAKKKFLHSSMISSAVMAGAMKLMKSLKPSSARPSSRRWWWSPPPPRLCRATTNLARVLLISLSSCHTRRFRLVGMNLYPGMKTLLCATVAWLACSPCSRNTTLPSA >Et_8A_056570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12580448:12584221:-1 gene:Et_8A_056570 transcript:Et_8A_056570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKASLLLQLPTTAPPTIPLPRQRRRPPVTVAMASSSFTSGSAKPVVLGCGGISTDYLATVASFPNPDDKIRSLELKVHGGGNVGNALTAAARLGLAPRVISKVANDALGRNILKELQADGVDTTYMVVSEDGNSPFTYIIVDNQTKTRTCIHTPGYPPMVPEELTKENLFAALDGVNVVYFDVRLPETALVVAEEASQRKIPILIDAERKREGLDELLNFTSYVAWTGASSVPVALVSMLSRLPNIKFVIVTLGEKGCIMLERSMIDASEAEEIDVEILVESLEKKVDRSSGPKCIASKSNLRISADGVGSMSGRLILGTAEAIPPEELIDTTGAGDAFVGAVLYGLCTGMPPEKMLPFAAQVAGCGCRGLGARSGLPHRTDPHLAGY >Et_5A_042323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8734236:8740240:-1 gene:Et_5A_042323 transcript:Et_5A_042323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGVKGRRARAAASMSCHLMKLLDRTAVYKLLSSLLTKWTCTIILLGFFPLALLLKSLYTSKLRTGQQALRLPPGPRQLPLIGSLHHFLFSRSSNLPHRVMQELSGKYGPLTLIRLGELPTLVVSGAEAVRELVKNNDLAFCSRPLHPTHEIISFGGQDILLSPYNARWRELRKICVLQLLHQRRVLHFRPIRYDEVARLVRSISSDCSSGRAIDIGEKILRTINDIIMRTSVGSRCEHQNELLLLFDDGSRLCSGFSLVDLYPSSQLIRWVSPSAWHIARCQRKVHSIIGSIIRDRTTMPTSEREDDLLEVLLRLQKDGGLEYPLTNEVISSVIFNVFIAGSETSATTLEWAISELMRHPRILLRAQSEVREAFEGQEMITDENMAKLSYIHLVIKETLRMHPPVPVFQRACRETCQVLGYDVPNGIKVMVNAWATGRDKAHWDRADEFIPERFENSSVDFNGTDFQFVPFGAGRRICPGITLARAMMELILANLLYHFDWELPNGAKSGELDMAEAFGISVRRKSKLLLHAKRHINSLNKPSCSSSRTSTPPNHDTEQQALHPGSRQLPLIGSLHHFLFSRSSNLPHRIMQDLSGKYSR >Et_1A_005022.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22090680:22090934:-1 gene:Et_1A_005022 transcript:Et_1A_005022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLIVFLPLLGSSVAGFFGRFLGSEGTAIMTTTCVSFSSILSLIAFYEVAPGASACYLRIAPWISSEMFDASWSFFGDREVTG >Et_4B_039094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7728162:7732917:1 gene:Et_4B_039094 transcript:Et_4B_039094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEEKLKDYEIKREGEAEILMLKSNAVFFNPVQVHNRDMSIAVLRTFVNKRKEEHEAIMNKRNKSHQKDKQSETSVPNGDDASGSQHEEMDVRENEPNQAVDEMNDPSKEAPKTPSWKVTRELKPPIVLEALAASGLRSLRYAREVEGLGKVVATDNDKASVEACKRNIKFNGASAVSKVEAHLADARVYMLTHPKEFDVVDLDPYGSPSIFLDSAVQAVADGGLLMCTATDMAVLCGTNGEVCYSKYGSYPVKGKYCHEMALRILLASIESHANRYKRYIVPVLSVFMDFYVRVFVRVFTSASEIKNTPLKLSYVYQCVGCDSFHLQCLGRTVSKNNSVKHAPGIGPVVPQECIDCGKKFNVGGPIWSAPIHDQDWVVSTLTDVKSMKDRYPAYDKITSVLTTVSEELHDIPLFFSLHNIAGTVKCTSPSLVMFRSAVLNAGYRISSTHVNPLGVKSDAPWDVIWDIMRCWVKNHPIKEQPRDSPGTAILSKPPTLEANFSRAVAALSKAQAKKVKRFLPNPERHWGPKVRAGRKITSKHVSLLGPDAINGVINGAISHEDANGTVPDESAPEPEEIKDDAENEPTTKRQKINDDEPACEP >Et_10B_003537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2879574:2880817:1 gene:Et_10B_003537 transcript:Et_10B_003537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKRKALSHWCVSNSRHCRQVVDSWECEFRKAPCDRRKSLLYLANDIMQNTRKDSDGYIAEFMRVIPDALNEVYDTGDDSGRTAVRRLVTAPGGVEQKDHDPLGEQTMSGDRSKLPEDKMQDDLKHA >Et_3A_026827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22346539:22348600:1 gene:Et_3A_026827 transcript:Et_3A_026827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAAAALRALLPLPRSYVSQAKAASIFPLVSRNSQLLVVPRPFYQMESRGQDEITALTTRGCHSSPDNQELAKQSCVPCNSKDLHPMSEDSAKKLLEQVDGWELTTEGGILKLHRSWKVKNFVKGLEFFQLVAAIAEGEGHHPDLHLVGWNNVKIDVWTHSVRGLTDNDFVLAAKINRLNLEGLLSKKAKVQK >Et_2B_022171.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:10051173:10052648:-1 gene:Et_2B_022171 transcript:Et_2B_022171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNGTMATTKQQTVVLYPSFGAGHIIPMTEFAKLLLERGYDVTMVLIKRPFVSSDSSAAVLDQIVASNPSITFHVLPQVVPAPDFAGSDKPPFFHTLQLLRSYNGELERFLRSIPRHRLHSLVTTMFAAYAVDAAAKVGVPVYTFFESPASFLAVVTQLPAALAGRTTGLKELGDAPLEFLGVPPFPASHLVKELLEHPEEDLCKAMVDVWTRNTDADGILVNTFESLESRAVQALRDPRCVPGRVLPPIYCIGPVIGGGVTADRERHACLSWLDAQPERSVVFLCFGSRGTHSVEQLREIAVGLERSGKRFLWVVRAPASTNDPKKFYEQRPEPDLDLLLPEGFLERTEHRGLVLKSWAPQADVLRHPSTGAFVTHCGWNSILEAVTTGVPMLCWPLYSEQMFNKVLLTEEMGVGLEMEGYATGFIKAEEVEKKVRLVMDSEEEGRELRARVAARKKEAEAALEEGGLSYEACNHFFLDVKKLREQRAM >Et_3A_026673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13130701:13135079:-1 gene:Et_3A_026673 transcript:Et_3A_026673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCRAIQPVRGACALRSKSRSMCRAASKLGVPGHSENFVARMDKLNGSARLMIVSDLDHTMVDHHDEENLSLLRFAALWESVYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEDMVPDEGWEEYLNNKWDRKIVVEETASFSELKLQPETEQRPHKVSFFVDKKNAQEVIKSLSEKLEKRGIDAKIIYSGGQDLDVLAQGAGKGQALAYLLKKLNSCGKPPNNTLVCGDSGNDAELFSIPGVHGVMVSNAQEELLQWYADNAKDNPKIIHAPERCAAGIIHAIGHFKLGPNVSPRDVEFPYAKDSSFKPTDAVVKFYVLYEKWRRAEVSKSDSVIQYFKNITHPNGVIIHPSGLECSFHASIDALGSCYGDKQGKKYRAWVDRLVITQTGSDSWVVKFDLWESEGDVRVCSLSSLALNLKPETPEGFVLTHIHKTWLDGYSSGVEQASKL >Et_2A_017236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32247583:32250755:-1 gene:Et_2A_017236 transcript:Et_2A_017236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQTTTSSLPSSSERSSSSAPQTEAREGTRTESFFRFGMESDDEIRRVPEFGVEQQAGPSTSGRERAAGTAAAGTSSSVAQATATARRSGRSPADKEHRRLKRLLRNRVSAQQARERKKVYLNELEVRVNDLEKRNSELEERLSTLQNENQMLRQVLKNTTVNRRGPDGSGAGGDSK >Et_4A_033876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27553736:27559409:-1 gene:Et_4A_033876 transcript:Et_4A_033876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSQLRYRPPASIAKPFAAAAVVVAALASSFLALPPRAAPVAAGARFIMSKARVYSDINVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIIKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKAIDFLDKLLRYDHQDRLTAREAMSFGKGFACENTSGLDGWSRAQKGSSCGSTRDAFAERQAEDHRHTVRGKNLCRSLLSWQLFRLDLAS >Et_2B_022375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19545759:19549934:-1 gene:Et_2B_022375 transcript:Et_2B_022375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVLIRGHEDPKPLRALSGQVCEICGDEVGLTVDGDLFVACNECGLPVCRPCYEYERREGTQNCPQCKTRYKRLKGSPRVEGDEDEEDIDDLEHEFNIDDEKQKQLQLQGGMQQNSHVTEAMLHGKMSYGRGPDDGEGNNTPAIPPIITGSRSVPVSGEFPLGNGYGHSEHGSSLHKRIHPYPVSEPVQLKRHWHPSDGFDSASGSAKWDEKKEVSWKERMDDWKSKQGILGAADPDDMDADAPLNDEARQPLSRKVSIASSKVNPYRMVIVLRLVVLAFFLRYRILHPVPDAIGLWLTSIICEIWFAISWILDQFPKWFPIDRETYLDRLSLRSRDIDHPSQKQRTQYEREGEPSLLSAVDLFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGASMLTFESLSETAEFARKWVPFCKKFNIEPRAPEFYFSLKVDYLKDKVQPTFVQERRAMKREYEEFKVRINALVAKAMKVPAEGWIMKDGTPWPGNNTRDHPGMIQVFLGHSGGHDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYINNSKAIREAMCFLMDPQVGRKVCYVQFPQRFDGIDAHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYNPPKGPKRPKMVTCDCCPCFGRKKRKHAKDGLPEGADVGMDGDKEMLMSQMNFEKRFGQSAAFVTSTLMEEGGVPPSSSPAALLKEAIHVISCGYEDKTDWGLELGWIYGSITEDILTGFKMHCRGWRSVYCMPKRAAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPLLYGYKNGNLKWLERFAYINTTIYPFTSLPLLAYCTLPAVCLLTGKFIMPSISTFASLFFIALFISIFATGILEMRWSGVSIEEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKATGDEDDEFAELYAFKWTTLLIPPTTLLIINIIGVVAGISDAINNGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFIVRAKGPDVKQCGINC >Et_3B_029189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22942434:22946082:1 gene:Et_3B_029189 transcript:Et_3B_029189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARAPQPLAATSRPRGWPRPRPRAPGLGFARLPRRDGALAAHLPALSPPATCHAAPPPPRLRPPRPSGYKSPAPAPTLDNAAAAAAAVCLVPPPPSSSALRLPPASRSTDMARISKPPASNSSSSSSSGGDSRPRRVTRKPRSVRRGPPRGGARRPSAPRPLNELDLNTAALDPEQYAARLRVILQKELRNSDVSQLGRIVLPKKEAEAYLPYLISKDGKRLLMHDLVNAHMWTFKYRYWPNNKSRMYVLENTGDYVRVHDLQQGDFIVIYKDDEKNRFVIGAKKAGDGQTATVPQLHEHISATSPASQVIHDYAAPVDPESGILAFMPQADENYEIFDGILNSLPEIPVANVRYSDFFDPFGDCMDMANPLNASHSVNLAMHFHDGSSSLLPNPKSGPLM >Et_3B_028648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17706263:17710040:1 gene:Et_3B_028648 transcript:Et_3B_028648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGWEMLSFNIHDGFLEAIVRGNRSGLLTAADYNNLCQCENLDDIKMHLTATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQANEPLSTFLQYITYGHMIDNVVLIVTGTLHERDVNELLEKCHPLGMFDSIASLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYKFCQKLGGATAEIMCDLLSFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVCEDVDQVRGVMEKYPPYQAIFSRISYGESQMLDKAFYEEEVRRLCLSFEQQFHYAVFFAYIRLREQEIRNLMWISECVAQNQKNRVHDSVVFIF >Et_1B_013118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5631594:5634896:-1 gene:Et_1B_013118 transcript:Et_1B_013118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPALKDAVGGLDREPFVALLGKLIGESARLQNDPPNHVPQEDLVAQHVVDALRPVSTDAGGPLVVRKVSYAEGRSNVIVEYPGTVPGRVVSFVGMHMDVVPANPSEWDFDPFSLTFDNEDKDKLQGRGTTDCLGHVALVTQLMKRLGEVKPTLKHSVIAVFICNEENSSVTGIGVDGLVKDGLLDKLKTGPLFWIDTADKQPCIGTGGMIPWHLKATGKLFHSGLAHKAINAMELNMEALKEIQKRFYADFPAHEKEKVYKFATPSTMKPTKWSYPGGGLNQIPGECTISGDIRLTPFYSTSSVVKKLKDYVQDINENIESLDTRGPVSKYVLPDENLRGRLEITFDGDVMNGVACNLESRGFQALCKATEEIVGHVEPYSITGSLPLIRELQDEGFDVQTAGYGLLKTYHAKNEYCLFSDMAQGFQVFVSIISQLEAEA >Et_2A_016224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22135196:22145329:-1 gene:Et_2A_016224 transcript:Et_2A_016224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSGVEVVASRGCARLVLPGMQQPSSAASVASSSASRGAAAAAAGARADRSGPFAGLVICVTGLSKEARGQVKEATERLGGEYSGSLHPKCTHLVHSFAGRKFEHALKHGPRNGLFLVTLGWFVDCVRRNMRLDESLYSIKNIGENGRPLGEFNRLVGVPVSENSCLPPVVFQDKACADTTRKHPPQTPRKEEGLDEFVFTNETVYIDPGISGEMRKKISGAATREGAKLLEHWFIGCHATYVVCEDVSVKKYVGHSDNIVTPLWILKTVKEKNLQRLVHLSSDLARHVAMVLENVQIPEENRKLGSVPSANSSGLTSTQGEIDDAHQERQKYVETAKKEVRDRRARRMQSCAVPIHPITPTTLLDSICWTISEPTSSASIYMDSSWSDDATEPQSTTYFDANGDGRDPDQLTDNFSRALRESEQSELIFKNHFLTILFPIDRFGELGPSSRTFYSNGGFTRIQVLDHIYNFYQENMSTDEIDMALHTDSRHADRLRSLYASAESAEKGFVAFKRIDFLGSRRSFEALKCLNRENNSNVYELVMYGLTFPELIQPHSSNYIP >Et_6A_048098.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:6316428:6316679:-1 gene:Et_6A_048098 transcript:Et_6A_048098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDRISALPDAVLELILSLLPAHEAVRTSVLARSWRDLWTSPIGTPWTGSSSSCLACCAAAPSAPRPPVATPPGIEAPRGAA >Et_5B_044196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21344519:21348865:-1 gene:Et_5B_044196 transcript:Et_5B_044196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSGGEVTAKRANPSGEDRLSALPDDVLVHILLQLETAAAVRTSVLPRLWRRAWTLLPELRFDFSPEPHPVAAALAAHDAALRSLHVGVLDAAAESVAAWFPVAASRLSGRLVFENRAPGRNEEEDDERRVFDLPCFERATAISLDLGFLALAVSAAGVFARLTELFLFRVRIRGPCKLGDAVSSPRCPCLQKLAAHFTQGLSDITIHSESLLLIELRKVCGLKQLTVVAPALEELSVMFCFSDAGNQPVADISAPQLKSLQWSDAYDPSSVHLCKMEHLQSLSACFYPYARGGFALNGASLKLLPLFKFIECLSLLLSYSKDLGGFQYVMVDITMLPQATLLGVMVVDRGHAFGDSLFHVLKMCNGLRSLFLALRVPDDLEAQYVCPSGCACDQPTNWKSEELALNCLQEVAIVGMKGTNHEVAVMKRLFSCVTVPIEITFNCSVSESKVRELRQTLSTVYKSETRLKFYKFLKKESGGKWIRRLLAS >Et_1A_007915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39464184:39467135:1 gene:Et_1A_007915 transcript:Et_1A_007915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYNILKVNRNATLEDLKKSYRRLARTWHPDKNPTGGAEAEAKFKQITEAYEAITQALSDPEKRAIYDQYGEEGLKGMPPPGSQSRTSTAADSSGPSNFRFNPSDSDDLFAEFMTSNKHYSFDKDRTRFQPRPHWTSGRNTRSEASSAQQKESSASTSQLEKPQPVEKTLPCTLEELKLEVETEVLAVEVLPGWKRGTRITFPDKGDKLHGQLPQDLTFILEMKPHDVYILEGNDLLVKQVIPLLDALAGTAINLKTLDGRNLPVRVEEVVRPGYEVVLKNEGWPIRKEPGKKGRLVIKFDVTFPTRLTSSQRTAIRRIMDG >Et_6B_049793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12061005:12062721:1 gene:Et_6B_049793 transcript:Et_6B_049793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSERWLSAAAAKGRLDGKIALITGGASGLGKASAQEFIQEGAQAVIIADINSKLGLATAQELGPKAHFVPCDVSAEDTVAAAVDAAVARHGRLDVMLNSAGVVGPLSAGTSELAELDLAAFDAVMSVNVRGTLAGIKHATRAMAAGGGGGSIISMASVSGILGGLGTYPYSVSKFAIAGIVKAAAAELCRRGVRVNCISPYAVPTPMVVGQFSAMLQGAADEAQVAAIVRGLGELKGATCEAVDVARAAVYLASDDAKYVSGHNLVVDGGFTSYKRMNLPFPKGPQE >Et_1B_013663.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11331670:11332995:1 gene:Et_1B_013663 transcript:Et_1B_013663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKAADSAAVDQDDGQAGGAALDGVQYCSEHPYRPGAAAAAVAGGGICAFCLQEKLGRLVSSSKSSPFFPLGGHPPPSASPSSPPSFRRSDEPPPPLRPSGGSRKLIPFHRKKTSSSSSAAATLSASVAGGGLKRSKSVAPRPEEQQFPYSASSLTAESPRKKSFWSFLYLSSSSAYAHQAAAAASTPYANGAAAARRKSVSVASAAWASRGGAAATGGAQEQQPRPGASTTLGRTLEAIGEPESPSQVSSSSSFGRKVARSRSVGCGSRSFSGDFLERLSNGFGDCTLRRVESQREPKPNKMRGGGLGQLSSGAGDDEDDDDDVYEHHPHGMKCAGFFGGLGPATSSYWLSAAEGGGVGNATRKSGGRSHRSWAWALASPMRALRPTSSSTSTKTITVVPPSHGGNGTTSTSSMSISSPTQPSSEATATAAAEAVAAMN >Et_7A_050414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13979000:13979629:1 gene:Et_7A_050414 transcript:Et_7A_050414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPDTTFSPPAPPSAPRHRRRRRRHLLSPFSSAAARTSSPSAMSSSAASSPASSAGLSLFSFSRSPSPFHRLLSPLHYASSAVPFAWEHRPGVPKTPARQSTAPPLPLPPALLISGTGGGSKVGAADEDCFVVSEELLARERRLRGRARRRQPALAAATLADCLAMLSLCQSCTMSRECLAGTPPPRPRAMKKAGWSG >Et_1B_014000.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2760234:2760530:1 gene:Et_1B_014000 transcript:Et_1B_014000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRYSPVRALPQGEITVEEFRAWLSQFDADGNGRICREELEQALRSLNLWFAWWKAREAMRAADANRNGEVDGDEMARLYVFAHKHLHVKMSELEE >Et_7A_050477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18196646:18197071:1 gene:Et_7A_050477 transcript:Et_7A_050477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GVDGAFSPGSGKAGVGVVRVIFDGESPEEVECRAILEGLNLATEWERRKAVVEFDCASIISVLNGNSPNKSKLMFLLAKREQNMVAHELAQLAKRNTHSAVWRFAVPSCVE >Et_3A_024461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2127531:2130028:-1 gene:Et_3A_024461 transcript:Et_3A_024461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVAAFVVSGLILLSKPCARDMRLFLASLCQEIALSLLGFLAGLRLLGGVEATETMPLMPSFKRKRAADKAENAEDAAAGGEPSPLLDLPELAIDCILERLPPAELRSMAAVCRSMRERCRGDHLWERHMSEKWGRVLGSAARDEWRMHLASASEAGGSASRASGGGGKRRRWLAALSCVCPVVSWIRPRADGGSGGKSSGPVLDDSIMSWYLSMESGKFWFPAQVYNREHGHVGFMMSCYDAELSYDFHTDTFRARYPPHGRRTVVMEDGVQWDRVRAPPVETLAHDLHASDCLHELRPGDHIEIQWRRNKEFPYGWWYGVVGHLESCDGNEHFCRCHLSDTVVLEFNQYTPGSRWRQALVNRKDHREEGNEGDGFYGGIRKLRGKDDISKWKQLWPTDILE >Et_7B_054642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3097558:3103126:1 gene:Et_7B_054642 transcript:Et_7B_054642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELTEVGPRGRLWCRHFHPGGVHLLATALELPVLAPRQKSPMRKLKEMKWTCSRVKARELCTKNTSRRDKQRKFMSADSDIIMPKDLKDKPEKTGFSALFRQNPARCTIHGKPRIVERTPSSPSALRTRAKPAGGTLVVCPSGILTQWNEEIHNKVAKDSGLSVFIYHGCSRNIDPEELAKHDVVLTSYGMVTKQFSSTKKGTAKKPSDADDLNSGPVARVKWFRIVLDEAHVIRNRASQVAGACWKLEAERRWCITGTPIQNRIDDLYSYLRFLKYEPYSKYSSFCSLFKKDNKLEIFLGIVLLRRTKGEEYDATTKPRRRFGGKDYNEILSLLKKLQQACNHPCLVKKQDKHQQCSTIFERSYVSSKVKATIDILNSIVNKDAITERGGTTDSSEQAPEKVLVFSQFTTMLDLLEPLLSSNHMQFRRFDGTMRLKARDKAVEDFPMNQKVTVLLVSLMAGSVGLNLTAASHVIVVDPWWNPSLEDQAIGRAHRIGQTRPVTAYRLAVQGTIEERILYLQEKKRRMVERAFGRDIFGNDAKLTEEDLRYLFNSANHRPIKPNDRHSEADHHQKAASMAPPTPRPSASFTTSPAPPATTRAAEFPFGGGATGAGLCALPVGGAAAESSATVMATFWPRLQCDPVSHAKYLVPAASNVTAVLPVSSDEMGLVKMQAVKSATDDSSSTVCVGPYVNTAPMTNVRTYVSDVMC >Et_10B_002565.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:16219170:16219409:1 gene:Et_10B_002565 transcript:Et_10B_002565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGPTTGGAAAAAPKDSWPEVVGLSSEEAKKKIKEEKPEADVQVVPADAFVTMDYNTGRVRVFIDSNDKVARAPRLG >Et_5A_042307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8614476:8618400:1 gene:Et_5A_042307 transcript:Et_5A_042307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLRNAETIIWPGPVQTAGPFGTLEPTWARDGSQALFFRGAGFYPAATPPPPCHSPHSSLTRRRTGQNFQMAWRRAPELWPAGWFRILLPLHRPRRQSWQCSFDISSVHCTSPYTVCLVSVSQPWPVRISITNRWRVQISTHNAVQSIAEAGSEILVIECHLGLVPILE >Et_9A_061378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10781642:10784805:-1 gene:Et_9A_061378 transcript:Et_9A_061378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTATALACGRPLFFTGWEWQRSIVTWKHQHSGRHNAIRTFASKNSERKRSNVQNKPKGPTSISEEASSVSGGNANIGIEVNSKDIATSDEIPGAPRSAVLQACALTSGLLLAGGLVLRQASHFASLNGWPIADPTDLSFNFEIWHLELVAGLVILISSSRYILLQTWSEFRDSSEAANSQILTSLEPLDYIVVSCLPGISEELLFRGALMPILGLNWISALIIGALFGVLHLGNGRRYSFVIWATFVGFAYGIGTLASSSIIVPMASHSLNNIIGGLLWRFTNSSKKDN >Et_5B_044635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4229993:4233067:1 gene:Et_5B_044635 transcript:Et_5B_044635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSLNEHTTAQSDSNDHHGKHDKNRMKSVLSLGKQEAAFLPQNLEYNSSFASVPYAADTYYGEVFTGYSPPAIVHPPQNGISSSPVPLPVEPAAVEPIFVNAKQYHAILRRREIRAKLEAQNKLVKDRKPYLHESRHQHAMKRARGSGGRFLNTKQLQEQNQQHQASCGSSSTQATGQNVCSQNGSTHTPPTPAPPSVTSLSRANQDRSCFPSVGFRPTVNFSAQGGRDTKLVANGMQHVVSMRPMVDNLQLGQKV >Et_1A_007757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3875539:3878186:1 gene:Et_1A_007757 transcript:Et_1A_007757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGADFYHVMTAMVPLYVAMILAYGSVRWWGIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLMVLAMLTAWSHLSRRGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARMLITEQFPDTAGAIASIVVDPDVVSLDGRRDAIETEAEVKEDGKIHVTVRRSNASRSDIYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHNDFYSMVGRSSNFGAADAFGVRTGATPRPSNYEDDAAKPKYQLPVVNAAPGAGGHYPAPNPAVAAAPPKGAKKAATNGQAKGEDLHMFVWSSSASPVSDVFGGGAPDYNDATAAKSPRKLDGAKDDYVERDEFSFGNRGAMDRDAEAGDEKAAAAAGGEPVNPVTGPTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIVLKSISILSDAGLGMAMFSLGLFMALQPRIIACGNKVATFAMAVRFLTGPAVMAAASFAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLVYYILLGLSRYGNWEEGKLRGGQD >Et_8A_058404.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:6603457:6603825:1 gene:Et_8A_058404 transcript:Et_8A_058404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVMLRRCHAPRPAEFGRCCCGGGVRYGECRRNHAASTGGHAVDGCREFLAAGEEGTGGALRCAACGCHRSFHRRVVQRCCCCFCRDDDDTGGAYATATAAGRWVDCSSPESTASGTTAS >Et_3A_025626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31332335:31335253:-1 gene:Et_3A_025626 transcript:Et_3A_025626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFIYKAIKERRRRSYSRCSSAGSAFGVRADDDVWEQKQWVVMPASKEAGDGKFPVEREMAHRRHRSLEELAGEVGASPTWGPGAAMSRGRSVRIFSCISGMAPRSLRASAVSGGAMSTFIPPAPPASTPPSLMVPPVAYGTEETAARGSYGPVIGMLVVVVVLAAAAVAVGRLCFGRRTLGGHDPEAWVERTCGPCVGVVRPAPAGTGGGGAAAKDEEGGAAAASVPAATEQPPEGTERGELASSGGGGGS >Et_6A_047226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:27007735:27018921:1 gene:Et_6A_047226 transcript:Et_6A_047226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVEASMEYISRMLMEEEEEDRFDQPALTEAQQSLAHIIASSSSSSVNNGASTKLYMVDKAHSSVHEGAEVEEAEDNWSNMVNLSFLMGTVEARKLLPSTDNSRLVFIAGDVDNVRLMKKDWKGVQNELEAGSRASKLMATAAPEEEAAVLQEMVKRMMLNDCAVSREEMEDLRAAMKDGDGSPLRPTSSKLLQPVVDLRTLLIRCAEKVKDDDRRGARELLSLISQHASPTGDPTQRLAHYFAAALEARLAGSAAAVPIQKRQWPAAAMASPGSAVKFLEAYRVFVATCCFKKVAYMFANMTICRAAAGRRRLHIVDYGVSFGFQWAGLLRMLAARGGGPPAVTITGIDLPQPGFRPASYIEETGRQLSACARKLGVPFFKFHAIAAANWDDVRVDVQDDQETVVVVNSVFRLETLVDDSVAVDRPSPRDVVLAAIRRMRPAVFTHGVVNGFYDSSFLSRFREALFNYSAIFDVLDATMPRTSRHRRVLERDVLAPCAMNIVACEGRDRTDRCDSYRQWHLRMQRAGLRQLPLDSDIVAAVRDMVKKQQYHKDFVIDEDRRWLLQGWKGRILYANSTWGLMEPAPPSPSVFLDLPPTPDGNSEVPLSCDDMVPPSSILHMLMEDDIDGKLLYRCSDHPALLQAQQPLAQILSSPSFVASNDNKSNLKGGKDLLLGGSGDQSLLSSPFSDGADVVGAFLKGVEEAIRFLPRDSRFGRGDLAVAANNHVSAIELLKQIKEHASATGDARQRLAQCFAKGLEARLVGTGNQLWTLLMAERPSVVEFLKAYKMFTAACSFNKVAVIFSVMTIMDAIVGKRRLHIVDYGMHYGFQWAGLIRWLAEGNGGRPELKITAVGCSHDMFCPGIEEQGRRLSKCASEFGLPFKFRFITTDRWEKVSIEDLNREGDEVLIVNDLFSFRSLMDESLFFDNPSPRDTVLGNIRKMRPDVFIQSIVNRSYGSSFLSRFREALFYYTSLFDIFDATMPRESESRLLLEQALFGHRVLNIVACEGADLLDRPEKYRQWQVRNQRAGFRQLPLKPGIIQVLKDKVKKHHKDFMLGEDGQWLLQGWMGRILFAHSAWVVAEVPEEEDDRFDQPGLMEAQQSLAHIIASSSCSSATKPYAAAEVEEAEYNSWSAMVNMSFLMGTVEARKLLPATTDNILLSGDVDSVRLIQRSRKGGNIEELEAGSRARKVMATAAPEEEAAVLQEMVKGMMLNDCEVSREEMEYLRGAMTTNDGDGSWPRKVLQPVVVDLRTLLIRCAEKVKDDDRRGARELLAHITHHASPPSGDATQRLAHCFAAALEARLAGSQIQLPARPAAMSPEPGFLEAYRLFVATWPTPSPTWPPAHRRLRLGRPALRMLAAGDGGPPPEVTITGIDHPQPGFRPASYIEETGRQLTACARELGVPCFKFHAIASANWDDVRSFDPEDDDDPETVLVVNSVFRMETLVDDSVVVDRPSPRDVVLGAIRRMRPAVFTHGVVNGFYGASFLSRFREALFYYSAMFDVLDATMPSTQHRRALEREGRDRTDRFDSYRQWQLRMQRAGLAQLPLHRDDVAAVRDMVRKQRYHKDFVIDQDRHWLLQGWKGRILYANSTWTS >Et_5B_045785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9833348:9834222:1 gene:Et_5B_045785 transcript:Et_5B_045785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRHLLATSLLLLAALALSSSAGVADAAAKPHVNHGKFKAGPWTAGHATFYGGRDGSGTRDGGACGYKDADGFGVQTAAVSPKLFDGGAGCGACYEVKGAEGANSTKPGAAPVVVTANNQAPPPVNGQEGEHFDLTMPVFLQIAEEKAGIVPISYRKSPIKDRSTMRMAFHFYRATSEVNHLVWYDAGWHARGKADPVHDHGEQELQHGDGDAGDVVALMVKGNKRVKWTPMKRSWGQIWITEVDLTGESLTFRVMTGDHRKATSWHVAPRDWQFGKTYQATKNF >Et_3B_031726.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:979412:980494:-1 gene:Et_3B_031726 transcript:Et_3B_031726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDELFMAVRRTEHVELVTSCAVAVEPAAKDAGPGPRTVRVFCDDYDATDSSGDEEDEAAARRRVKRYVQEIRLEPVVVKESASPSSAAATATTKAGAKAKLAPSGRKRKADGEVRFRGVRRRPWGKYAAEIRDPWRRVRVWLGTFDTAEEAAKVYDSAAIQLRGPDATTNFEQAADVPAEVAERFPQPPAASKNASSSATSYDSGEESHVAAASPTSVLRSFPPSALVAPTDDVNTCKAKKPAPALVPPPSSRAALETDESTGGSVFGCPFSDDGFGGEFPPLYTDFDLLADFPEPPLDFLAELPEEPFSLPSLASGLEFSSSSSSSLERPESPAKWQQVDDFFQDITDLFQIDPLPVV >Et_6A_047197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26674864:26691173:1 gene:Et_6A_047197 transcript:Et_6A_047197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAVLCVFLSSALPLITLFHLAAAAVSKEAPAVPPISKPGCSDRCGNVAIPYPFGTGDGCFADWPESGSFSITCNESFSPPKPFFGNIELINITVETGEMRLISPVSYQCYNSSNTTESNVTGWSFDLTNTSFLLSNRRNKFTAIGCSTVAFLEGTEVLTGCISSCNSLDAVSDGEDCAGLGCCQMGIPRNLSSIVVSWGDSNDTYNPAWEYSPCSYAFVAEENRYRFSRDNLRNKSFITSNVSTTLPLVLDWAIKSDGSCRVGSGTSATDACASENNICVNATQGNGYLCNCSEGYQGNPYVLGGCADINECKLNRPCFKHSTCQNTPGNYTCKCKFWYRGDGKTQDGCQPIVPVSVQATVATFVIVALACVAIVLLQRRKQRKRFSKNGGDILKDLGIEIFTESYLRKITNRYTKNIGGGRFGKVYKGTINGSQLVAVKRPKEKVEAPLEEFRKEIVFQFRINHDNVVRLVGCCLETDVPILVFEYIPKGSLQDVLHGSAEKPPCALSLMERLDIAIGSAGALAYMHSHSERHIHGDIKSANILLDDDLKPKVSDFGSSKVLSANSYAKNVPADMAYIDPMYYKTERFTVKSDVYSFGVVLLELITRRKPSVGNSNVTIEFKKSFRNQGNGREMYDPEINSESQCYMECLDIVGALVIQCLKEEDVDERPSMDEVLKKLEQAKAIACGGPPAPFVSIILAFSAIMLDKRRKVKKRFSKNGGDLLKDMGITMYKEWELKKITNDYNTILGKVAYGKVYKGTIKGNNGNQLVAVKRSSAKDKTHQEFRKEIIIQFRISPENVVRLLGCSLETDVPMLIPNGSLYDRLHGNGHGVLPLLARLYIAIGSAEALAYMKSLDGHNHVHGDVKSGNILLGDSLMPKVSDFGSSMLMSKSRKTAIYDGNNSLSMVFVQSCNREGNGRKMYNEDILNEGDAKHHLYMECLDKIGALAVRCLKEDVDERPSMAE >Et_3B_029764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27728244:27730593:-1 gene:Et_3B_029764 transcript:Et_3B_029764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPQGGGEAAAGQSPPLSPATAAAAALANARWNPTKEQVVVLEGLYEHGLRTPNAEQIEQIAGRLREHGPIEAKNVFYWFQNHKARQRQKEKQDSFAYFSRLLRRPPPLPVVFARPPGQAPPYPLGRAVAPAPQPACKNNNNNNNNIAAGAMHRAPYFMPTPQPAAANGGYYNLQHAHHMAVMYPRMEMNQGRQMYPAAPYSTSNPANAPPANGGAVGHVGRPRETLELFPLQPTFLLLDKASGASLTTSTPSASASFSGESESLESSSSNAEAPPFYDFFGLQSGGR >Et_3A_023802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12545457:12550712:1 gene:Et_3A_023802 transcript:Et_3A_023802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGRAGGGSSSSPAAAARRRTGRSKEKVSPQPSTAAEASAASPSAAGGGDRSPRARPRRRLGGRKAAGPRQGCVPAPAAAEQLAAGWPPWLVAVAGDALRGWAPRRADTFEKLNKIGSGTYSNVYRARDTVSGRIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPDIKFTLPQIKCYMQQLLSGLEHCHENNVLHRDIKGSNLLLDNNGILKIADFGLATFFDPRHKRPMTSRVVTLWYRPPELLLGATDYGVGIDLWSAGCILAELLYGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCIRETFKDFPPSALPLVETLLAIDPAERQTATAALQSEFFSTEPYACEPSSLPTYPPSKEMDAKLRDEEARRQRAAAKAKGEATKRTRPRDRSHRAGPVPEANAELQANLDRRRMITHANAKSKSEKFPPPHQDGAMGNPLGSCRRLEPTFEHQDASFSTVVPIEKGTSQTWSGPLFDATALGRSRRKKQTARDAKAAAYSKQLEKDKG >Et_8B_058744.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20009113:20009328:-1 gene:Et_8B_058744 transcript:Et_8B_058744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRAAWPLRGVRGRGAGAVRGADGVPEAGAVRGAAGERRGGLRPRPPLPPRRPHHPLLRARLRQPCPHTQLE >Et_3A_023902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14294529:14294831:1 gene:Et_3A_023902 transcript:Et_3A_023902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCAPVTSKASQQVKRSDLRVVLTKTTQKEPKRVPNSSRTAPVFMREPASYIKYITTSEKERSLGRFDQNNTEITEMSPEQLQDCPD >Et_5A_040784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12426235:12429160:1 gene:Et_5A_040784 transcript:Et_5A_040784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKSLLKPRPTPQQQLREWQRRLRNESRGIERQIRDVEREEKNVEKAIREAAKRNDMGSAKALAKELVRSKRAVNRLYENKAQLNSVSMHLGEIVATARTVGHLSKSTEVMKVVSDLMKAPALAATMQEFSKEMTKAGVMEEMVNDAVDSALDSEDMEEEIEEEVDKVLASVAGETASQLPDAARTQKIQQASTSRVPGERQAVAEGAGDDDEEDLEEIRARLAKVRS >Et_8B_060750.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:6082567:6085197:1 gene:Et_8B_060750 transcript:Et_8B_060750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLPLTSPPPPPPPSPLPLQPSSAATVRSLTAAGQHAAALRALSSLAAASSPSAPLDRFALPPAIKSAAALRDARAARAIHAAALRRELLLSPNPAVANALLTAYARCGDLAPALALFAAMPAPSRDAVTFNSLIAALCLFRRWLPALDALRAMLEEGHPLTSFTLVSVLAACSHLAGDPRLGREAHAFALKNGFLDGDERFAFNALLSMYARLGLVDDAQRLFASVSGAAAADAPGGGVVTWNTMVSLLVQSGRCEEAVEVLHDMVARGVQPDGVTFASALPACSQLEMLALGREMHARVVKDADLAANSFVASALVDMYAGHGRVDAARRVFDAVAGGDRQLGLWNAMICGYAQAGMDEDALALFASMEAEAGVVPSETTMAGVLPACARSEAFAGKAAVHGYVVKRAMAENRFVQNALMDMYARLGDMDAARRIFADIEPRDVVSWNTLITGCVVQGHIGDAFQLVREMQQQQGAFTDAAMEDDVVGADEERVMPNNITLMTLLPGCAMLAAPARGMEIHAYAVRHALESDVAVGSALVDMYAKCGCLALSRAVFDRLQRRNVITWNVLIMAYGMHGLGDEAIALFDQMVSSDEAKPNEVTFIAALAACSHSGMVNRGLELFRGMRDYGVEPTPDLHACAVDVLGRAGRLDEAYSIISSMKPGEQQVSAWSSLLGACRLHRNVELGEIAGERLFELEPDEASHYVLLCNIYSAAGLWEKSAEVRSRMKQRGVSKEPGCSWIELDGVIHRFMAGESAHPESALVHAHMDTLWERMQSQGYKPDTSCVLHDIDDDEKAAILRYHSEKLAIAFGLLRTPPGATIRVAKNLRVCNDCHESAKFISKMAGREIVLRDVRRFHHFREGSCSCGDYW >Et_4A_035840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7019028:7022636:1 gene:Et_4A_035840 transcript:Et_4A_035840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFRAMPAALEQGSLETTAMISASVNAATKTGYGLLSGLTQHDVAQNLDPQSDEGKTWKNINGSSEYACHNLRNLNMTKGSSMSICVKDDQVEQEPEV >Et_6B_050013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3246035:3248095:-1 gene:Et_6B_050013 transcript:Et_6B_050013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLTARSPTPSAPSPTAASATPPTPSNRATEPELVAAVAAAVAAKRKHPQADVPRRRRGSRCRSRLTIAGAISTGSQGSSLTGEGGALHEYVVGVRIVTPAPEQKGFATVRELHAGDPDLDAVKVSLGVLGVISQVTLQLQPLFKRSVTFLKNDSDSDLAQMVTVWGRRHEFGDIRWLPGQGKVFYRQDDRAALSAPGDGLNGNYRPQFTVDITAGRNREEQLQEKASDKARCLAYRIPAATSELQGFGFTNDGVFFEGYPVVGYQHRIQASGSCIFEAQDNALRTCSWDPRIRGAFMYNSGLSVAVSNVSAFIRDVQGLRDLKPAAFCGLDSYMGILLHYVRASSAYLGKSDNSVDFDITYYRSYVDVIDELEQMALFNPVNKRKGCAIEGLCICSEHSDCAPQLGYFYRDGKVYKEARVCSSMSHSRRTCLTC >Et_9A_062195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20631411:20632881:1 gene:Et_9A_062195 transcript:Et_9A_062195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYDREFWQFSDQLRLQNNFSNLSIADSIWSNADRRNADPLLLPDHTTNNSPGLIGSGKLAFGTTNHADRYNNNFPAAATADKNNNNFFASGNKISNAAVDYSFNKSFANANANANAVKSYFDKSVGRPASNNNTNNFAVGKKHAAHDSKKKNGSNNGNNGSSVDKRFKSLPASEALPRGEAIGGYIFVCNNDTMEENLKRQLFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGSNIDPSAWEDKKCPGESRFPAQVRVATRKICDPLEEDAFRPILHHYDGPKFRLELSVPEALSLLDIFAEKVFA >Et_2A_017972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8542128:8545236:1 gene:Et_2A_017972 transcript:Et_2A_017972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QRREEIMVEEYADGEPKPPLVEELEEEEVMPQWLHVLLRTKFWKPRSRGHKDENRAKECMFCLQCYEVFCPHCTHDEPGHRLLKIHRYVYRSAVLVKDMNELNIDVSRIQKYFINRQKGVLLRPMRRSPHFRPQAGAPQCETCTCWLYSRPDLFCSLTCKGKADVSQEDFSGPEAERQYKNPQTNMLPLSEAGEHQVLEHDMDIELETPPMVVIPSEKNEAPPVMIPLEPVKAPPMVIQPEPVQAPVMMNLPELIEAPSVVILPEPIKAPPVVILPDPAEAPPMVILPEPVEAPPMVIPPGMNNSKRNRPRKQGKPQRAPFF >Et_1B_010471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10902096:10904937:1 gene:Et_1B_010471 transcript:Et_1B_010471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVDSDEEGDIFFDACEDDTRISTDASSSVGCSTSDQVSASWSPEYELWTSEPLSIEERRHRFLKGMGFAETLSTGIAFSQWQRQITSDCVSRGLEEKFSSICSSFRSSFSQDAAAPDSAYCIRDLDSGNRIVVHEIEPQGLTGMLEEFGADTIMNINQSQGFLSFSQLVHELLRKGRGRIPEGGINMAYGVKQKDHRSFCGRFIINKEQAKICSMFDVPAKSLKTSTLCRTKVDQQNKKWIDFSAVYMCQEIQAHGGSIRVLKFSPSGWHLASVGDDYIVRIWMIREVESSPDFYGREPSGEYMDRTKGLRMKRGKSQSRTLAIIPKNVFSISETPQHEFHGHTMTCVQFNPVDERYFISGSIDGKVRIWDVSEKRVVDWDDTRDIITAVSYKPDGKGLVVGNVAGKCRFYDRSGQNMELEKVRRMKKKKSSSNQITGLQFSKGNPSRMVIASADSKIRVSEGTTVTQKFKGRWSSKALLSPSLTSDGQYLVSAGADSNVYIWSFDGSSPSAGKRRSPRSVRSCETFVSKGVTSVAAWPGPHERGGGGDLRPPEMGPTVCRDRERCSFGTWFFADGMRGAATWPEEKLLPSLKYVNCAGLDDCRSKVSAAWNMVVVTGGSDGVIRCFHNYGLPLKL >Et_5B_045003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8191173:8194647:-1 gene:Et_5B_045003 transcript:Et_5B_045003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEAETSPPSTPSTTSSCPTPRPLAVGPPTMSPELLRAARTGDERRFVKALLADPAATDMETAATAGGNTLLHVAAGGGHADLASLLLRRAPGLLAARNAALDTALHLAARAGAHKVVALLVASSSSSSSPASSSLRAVTRATNKRGETALHDAVRGGHEAAARALVAADPGLVGVCGGAGESPLYMAAAAGSLGMVRVLLRSYKDAEEDDEDEVPVSRSRTGPGGRTVLHAAVLVSNEMTKELVQWNPTLLKEVDDSGSTALHYVASVGNISMLKLLLRRDTSPAYVSDSNGSFPVHIAAKMGYGQLIYELCRFCPDCDELLDSRGRNFLHIAIAHKKWKVVWHFCGTAELGRMVNVMDSEGNTPLHLAVKNADQMIVSLLMATKGVLPNIVNNQGLTALDLAVLATDKGISYTLNPQVIILRCLAWTGAVLSPRRLDHFIDEFSIGKTSGNELKKFTNIAQNLIVGSVLVSTVTFAAVFTLPGGYISDGHPLAGAPILSHRYTFKAFVMANTLAFVGSTLSTIWLTYAGSEHVHPTLRAIYMFLSVISMEQATRSMVIGFALGAYVVLSPASDRIAIVVCLSTFMTLLLRNPSSWQLGFLIMPIKRRLGWRGAFKTHLPQETRGRLTVGVGSNFARLFLRRMLGMIFTYSFIFLIALL >Et_1A_009145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30974044:30975758:1 gene:Et_1A_009145 transcript:Et_1A_009145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDPPPPVHATGRRRCREYVLALEEERRKIQVFQRELPLCLDLVTQTIERMRSQMDGVCSEETVSDHGPVLEEFMPLKPSLSLSSSDEHDSARDAAAGGVVVGKKEEDAPDHTRPETKKAMPDWLQSVQLWSQEPGQHQRASSPHKELLPCKPVARKAGGAFHPFEKEKRGVELPASSTTAAASSVVVGDSSGDNKAISVDDDDDTEKHNSDKQEEASNNKDAASKDNKSKDKEGQSSSNRKPRRCWAPELHRRFLQALQQLGGSHGGCPLRHCQIKFALSVQRGNSSKSVQINPGSYNNNNKSISHLTRLATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPNSTVQNTSASAAPPAPQFVVVGGIWVPPPEYAAAAAAAQPSVQLAADASGNASTVYAPVATLPSGTQPQSQQKPQLIQRQPSRCSDGRPSGSAGDASSASPAVSSSSQTTSP >Et_10B_002912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13972217:13973183:1 gene:Et_10B_002912 transcript:Et_10B_002912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEFLRVKKFHQGGSFRKRMEVQPELSLGPTWPPSFAPARSSSSESDGSSRKKRKQYYAWEEEPHGSLELQLNDPLPLDWEQCLDLQSGRMYYLNRKTLKKTWTRPQEQSVNLDLNMSTAVAADISTTAPGEDEPKRSVAAVTSGGSMVAVPCANCHLLVMLCKSSPSCPNCRFVHPMAPATSPQPVAPTHPRIDAAKPLETLSLLH >Et_7A_050852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11712009:11713463:1 gene:Et_7A_050852 transcript:Et_7A_050852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQPQTWADLPRDLLTCVAARNRHDLTCYLTARGVCKAWRSVLPPPWPLLLVADGGGAVSAFSVPLRTAFRLDNIGQRRYRYIGAGHGWLAVAYHKEEVSSVINDDDRVGTQRVTTRSDPTAVAALETMEQRMDHRQAKEQNYYMNFEGAQVRVEPDESQAIAKRRGRETSSCMALLNPVTGRKIELPEHVRLDPWAVSKVAFAPNPREDDFTAVVAFGWNVAYISSRDGGAGAWSFGGIAGGEDVIADVVYRVGGDGDRVYCLTRRGDVYVLHVPRGGGPTTNCYPAFVPLVAGGCRFNFNPSDVFPPPYDRLSQYLRGAKSLAFCNGDMYQVWRNSASTMRVLMNKEEGGPRLRVVVLRHEPAASTQPCWKAVGDLGGHAVFVGPANSALSMRAKSGVPGGIKGDSVYWLDRFGGRTAMVFDMKTRRSAPCVSPSTDRGVPVCWYSLEDMASGNEADADGEVLEREPKRRRLV >Et_1B_009811.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:28954097:28954474:1 gene:Et_1B_009811 transcript:Et_1B_009811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLAPAPPRAEAALSCSTVYSTLMPCLGYVRSGGATVPGACCAGIQSLVSGARDTADRRAICTCLKSVAAAAPGGPYISRAAGLPGRCGVALPFKISANMNCNSYVQHETPSQFELLVRGAS >Et_5A_042367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9234506:9236406:1 gene:Et_5A_042367 transcript:Et_5A_042367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGGGGPRLHVQQRAPAAGPAAAVAVVGVGAREAEAAHGARVDPGGQVRPRRVEEPLLAVAAVVLLPDDDGVALPLLVLLVAAEASAHKRGAPADQCAQLVGEQLARHAARQHGVRGRLRPPWPAPSCTRTVRTCGGGVAAATLE >Et_3B_031553.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:4419726:4420259:1 gene:Et_3B_031553 transcript:Et_3B_031553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRQQPRNLLLVAIALVAAVAVVADDEKCGSPCGNPCGVPCVYSSPPPPSPEYYPPPPPVYSPPPPEYSPPPPEYYPPPETPTTNCPPPPSGGGYEPTPGYTPTPGYTPTPGGYNPTPSGGGGGWYSPPYTPTYNTPPGTLYPQDPGFRPNDAAGRRAAWGAALAAAAAVAGVVAL >Et_6B_049023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16744735:16745781:-1 gene:Et_6B_049023 transcript:Et_6B_049023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRVRGKPRSRYRADKIEAVSRRRRHSTSGGGDGDSGGGMGNSTSGEGGNEGSGRALVEMLSMRANLSVVGTEIIVFDGRRGQIIYKHKEEKTQRLCMQEGMIDLELTGPYTCISALGPFAIKIDIPHATPIKWEWDCYDQERINEIDEPPRTQKIGNGMAEVTYAVMSDALEATVHKVKLTGLPDGHNRISLHGEIAALIDSFSGKKSFLFKRTLEAPLCLTLGDDKSWFLLPLARNVIAVPCGDILHIEVNLHIVEIPNKQFKANLTFGNGIQSQVNNDKDVEVHIAWYPEVSRNLFLPHNQLLTEHRRHLINHHLPSTFIE >Et_8A_057136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21512467:21519508:1 gene:Et_8A_057136 transcript:Et_8A_057136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAEGGPWLKSANNHIGRETWEFDKNFGSKEEREAVDSAREEFQGNRFTTRHSSDILARMQLAKENNFILGINKTKDEAAHVDLNQTTVSETLRKALNYFSAIQAHDGHWPGDFPGPLFTTATMIIVLYVTQSLNDTLSPEHVKEICRYLYNRQNADGGWGLHVEGESSMLSTALNYTALRLLGQGVDDGPHMSMSKARKWIHDHGGVTMMPILGKVWLSVLGVFEWSGVNPIPPELFLLPSLIPIQPGRLWCHFRMAFIPMSYIYGKKFVGPVTRLVISLREELYIHPYKTIDWKRTRKLCAKEDMYCPHTWLQECLSDYLYAFGEPFLTRWPISNIRKRALWQIAEFLKYEDENSQYICIGAAQKALSMLCCWVENTNSDAFKHHLARVADFLWVGEDGMKVRVCAGQLWDVAFAVQAILASNFAEEYGGTLKKAHDFIKASQIMDNPSGDFSRNYRHISKGGWAFQVADQGWQVSDCTAEAVKALLLLSKFSPHTAGDQMETSCLYNAVNVLLSLQNPNGGYGTWELARTNPWMEIFNMTEIYTDIMVEHQYVECTSSVIQALTLFQERHPGHRKDEIDRCIKRSTEFIEKLQNDDGSWFGSWGICFTYGTWFAIEGLTAVGQNYHSSISIQKACKFLLSKQLRNGGWGESHLSSRTKEYTNLDGEKSHIVNTAWAMLALMKAGQAKRDPTPLHKAARLIMSMQLPNGDFPQEEMIGSFKKNGPLCYMAYRNIFPIWALGLYQKTLYVAVSSRNNAPTCNTNSLKRLPVYKGGFTLFRHPSHALCNWTHVVCHMGKSSCKQSLNTI >Et_10A_001546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4914744:4924938:1 gene:Et_10A_001546 transcript:Et_10A_001546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRLFYRKPPDRLLEIADRVYVFDCCFSTETMDQYRYQSYMNGIIFQLREQFADSSLMVLNFRDEGKSLISGIFSLYSITVKDYPCQYLGCPILPLDIIFNFLRLSERWLMLEGQQNILLVHCEKGGWPVLAFILAGLLLYRKQYKGEQRTLDMVYKQAPKELLQMLTTLNPQPSHLRYLGYICRMDDELGWPTQPIPFTLDCVILRGVPNFDGAGGCRPIVRVYGQDLLKVEKSCNAHSPSSKVKRHVRRYRQADNMPVKLNIGCFVQGDVILECLHVNDAHEDERLMFRVMFNTFFIQSHILLLKFEDIDVPWNADHRFTKNFKAEVLFSEFDAESDASTEVALDDNEDDEMDAGSADEFFEAEEIFSNADSHEGQKDADMLSLASTDYTPNTEPRKSSPFSNFELDIDIDESQDSQIDSIGLSLETVNDERTYISGESNTMHNNEAAVVKSSLVVAVVGDRDSGISSSSTHRDKKDDCTFEIGSSKQDTGMSLIHNLGQIDNVLVKEVIILETNSPKDIQMIKEVIISEVTTPKQVVEGYTMKNELAESVDNSESIAFGEVENGEQLNIVKQEDGESRDATYDSGKVITQEENTNKEKRSMSDTNVLVHERSGDNDRVELPSSGELQLQSSITSLDLSSAEKDMEQLHACNSKDPTDKKEGMDTSLTSSRSHPSNIASVKVLSERSTFAASLAPSMNTNATTNSSRLVLKKKPFVPLSTCSLFAPSSPRRNMLRSASTDLSFLSPLQKESKQNSVASTSGRDALASSSVPPPRQPPISLIPSIKVPSLVHPPLRPIKTVSSLPSSSFEEYIDMSISSWATLYEKHQNHVNPHPPPIRPPRHLHKSMPQEKDLHSSSLTLSTSNRYAPHSHRTPPSPPPPSPPRNSFTQSDSKIPTSEHEQKRDVDSYSSGLDCRETALDLGNFSLTSPSKSSTDTAESPLGSSSFLDEEVASRPDILMGMDVPTTSKDTKSLLHSVTCSPPPKTSQHGTQKPHTPPPLPLLPLPSTLPQPITCSNSGSTPLVNSNSYSDCPCKESIMLPEQESPSPLTSLRGHEATVALLQSPIAVESSSSEHSEGTVQLMSESNKDAALVLLPCTPATPAYSPSYDVKNGPSSSTSTEEVPCDLPLDQSNLSIHLEPSEGVSNTEIINGVLLSTGDGKDHGGLSIQPPLPPEVPHPREHMKPPSVPPPPPPPPPPPPSCHARLLPSPCLSPPSPREYSENPPPPPSFARECFVAPSVPPPPPLVPYRPTRPRKHVTPPPPPPIPRENEIIRPSPTLSPRHTIPSLRHNIPPPPPPPLPPPLTEHGDGGVICLTKGSQSSPLSPTFEGAEQIPTLCLGIMEIPSPPPPPPRGHEGALVSTPKSSQGIPTPPPLIGGLGGIPQSFGFQDRDSSSQKLHGGLGGALPPPPVPPPLPPFSRYREDQPLPCGGSLPSPPPPPLPPPTTNGGVPPTPPPPGGFMGLPPPPPPPGVFGGVPPPPPPFGGLGRNPPPAPPAGFRGGAPPPPPPPGGHGGTPPSPPPRGHGGVGGPPPPPGAPAPPMPPGVPGGPPPPPGGRGLPTPPGGRGHGLARSLGSNLSTAARRSSLKPLHWVKVTRAMQGSLWAELQKQADSNSHAEFDVKELESLFTIAPKTKGGPKAEERGKSLGSKTDKIHLVDLRRANNTEIMLTKIKMPLSDMMSAALALDDSVLDADQVENLIKFCPTKEEMELLKNYSGDKETLGKCEQFFLELMKVPRVESKLRIFAFKIQFQSQIRDVRKNLQIVSSACEELRSSEKLKVILKNILYMGNTLNQGTPRGQAVGFRLDSLLKLIETRSTNSRMTLMHFLCKSLAEKSPEVLDFHEDLASLEVASKLQLKALAEEQQAVVKGLEKVEQELTASENDGPVSELFCKTLKEFIDASSADVRSLSALYSEVGRNADSLVIYFGEDPAKFPFEQVASTLLTFVGLFRKAHDENLKQIEAEKKKAQKEAEKEANQDRTPVKSKNGFVDRSPRSPSPFK >Et_3B_030541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4791523:4797130:-1 gene:Et_3B_030541 transcript:Et_3B_030541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGLGQLLAALAAALFVRAIAGPGPALLPAAEDTDDQEADEEAGVGGGGVPPVTIRWARISCALKNKRGEVARFLLSNVTGEAKPGRLLALMGPSGSGKTTLLNVLAGQLAASPSLHLSGHLNVNGRPMSKGGYKMAYVRQEDLFFSQLTVRETLSLAAELQLPDTMAPERKDGYVNDLLFRLGLVNCANSIVGDAKVRGISGGEKKRLALACELIASPSVIFADEPTTGLDAFQAEKVMETLRQLAEDGHTVICSIHQPRGSVYGKFDDIVLLSEGEVVYMGPAKEEPLSYFASLGYQCPDHMNPAEFLADLISVDYSSAESVQSSQKRIENLIEAFANKVPITEVTDSITKPEGSEFSAKLVQKSTIKQRRGWWRQFRLLFKRAWMQAFRDGPTNKVRARMSVASAIIFGSVFWRMGKTQTSIQDRMGLLQVAAINTAMAALTKTVGVFPKERAIVDRERAKGSYALGPYLSSKLLAEIPIGAAFPLIFGSILYPMAKLHPTFSRFAKFCGIVTVESFAASAMGLTVGAMAPTTEAAMALGPSLMTVFIVFGGYYVNPDNTPIIFRWIPRISLIRWAFQGLCINEFKGLQFEQQHTYDIQTGEQALERFSLGGIQIADTLVAQGRILMFWYWSTYLLLKKNRPKYQPLLPPSEENQNKQKGSFIVSKASGHDSFPTLWARLYLIRRPK >Et_6B_049942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1899146:1908753:1 gene:Et_6B_049942 transcript:Et_6B_049942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKELLERHLQNVMMATDVIESWVMENNRRGDTHAADEAIQPANIRQMIECMADDLALEDTVYMLVKAVQEGSVLFDGYLKDARAMKPRRPRRLQACQICGVGMGTASEDAVAENLAQLMYSVLMTGYMFRNTQQSLEQIALPEPKEEKLIF >Et_7A_052128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:516946:519038:1 gene:Et_7A_052128 transcript:Et_7A_052128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKELISPSDLQSFYGQQQQHQQQQHRAALAAGGHSPSSQLGGMHSVIRPLPNMPSMNMSPSAILNSIGGGSLAGMQFQMDPPLLQHNNNNNNNMVSASASGTVSVSGPPAPPPPEPVKRKRGRPRKYGPDGTMKASAAAAQQQQQQQLVSAQPRMGGSLSGADMVRNSAMEDPSQKKRRGRPPGTGKKQHLSPPAGNAFSGTAGTSFTPHIITASPSEDVAAKIIAFANQSSKAVCVLSATGSVSRVVLRHPADSSPMARVHASAPYKNPAIYEGFYEILSLSGSYNVSEQGQQSGGLSVTLCSPERNVIGGVLGEALVAASTVQVVLGSFHQGGSKSKSKKAGKQPAFRSDSLTGGQEASPSSGHNQNLTPPSVTGGWPSPGIFDTRSSNIDINSSRG >Et_3B_028386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14596343:14602658:1 gene:Et_3B_028386 transcript:Et_3B_028386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGKMEGPSAPALRRDPYEVLSVPRDSSDQEIKSAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDTAGFEALENEGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISPTVLEEAMNGTVTVRPLPVGTSATGKVDKQCAHFFGVTISDEQAHSGIVVRVTSAAQSKFKLLYFEQEVNGGYGLALQEDSQKTGKVTSAGMYFLHFQVYRMDSTMNALAMAKDPEAAFFKRLEGLQPCEMSSLKPGTHIFAVYGDNFFKPASYIIEAMCAKSYEDTTERLKEIESKILVKRNDLRQFETEYRKALARFQEVTTRYTQEREAVDDMLRERDNIHCSFTTERTMVNPVGAGSSSSRYTTEQSIPESPENGNVDGRDKSGKKKWFNLNLNRSDKKA >Et_9B_065149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21605106:21613882:1 gene:Et_9B_065149 transcript:Et_9B_065149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KSEEWMKERANQLKKELRRLFGSNNDVVEVMNLVDALQHLGIDHLFEDEIDVALSNIHTTELNSFSLHDVALRFRLLRQHGFWVSPDVFNKFKSEDGTFHAGLANDPRGLLSLYNAAHLLTHGEVSLEEAILFSRHHLESITNKLQSPLAEQVKRALQIPLPRKLKRIEALQYISEYKEEAVYSKLLLELAKLDFNLLQRFHLKELKIVSEWWKDLFGEVRLSYARDRMVECYFWSNTMYYEQEHTRARIILAKIIALTSLLDDTYDVYATLEDSQKLNQAIQRWDLKYASILPEYLKKYYAKLISTFREVEDELEPDEKFRVAYSRKAFQKLSGHYCHEAEWFHNNYIPSFKEHIDVSVVSSGAPLICVASLVGMGDVATREAFEWAIGCTDAVKASGEVTRFVDDLAAFKNGKNKMDVATSVECYIKEHKVTDEVAIAKIESLDQDAWKTMNQAYFERRALLPLVKRFANLAMSMEFLFLNKRDAYTFSKYNKGTIEELFVKPIPL >Et_4A_031883.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:26279137:26280577:1 gene:Et_4A_031883 transcript:Et_4A_031883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVRMLRELLSRCNLLMPNASCSRAMNILNQPINPGGHPVFPAAKESGFHADARQLMPASVHFDGPSTAAAGRSPRWQAQTLRRASSYVGAEHDGAAEAPPFKPLTLDFLRSFLDRHGCVSSSSSSVAEAEDGVAPPASPPPPPPPQVLALRVVVTSAVELDARQTELIARKMRRITGFVNLKLENVVDPSLIAGFVVCYGTDDSHVIDLSVRGKLAALKNRVDSIDQSAHANESPHQLI >Et_2A_015595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15697215:15699422:1 gene:Et_2A_015595 transcript:Et_2A_015595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHQRGQRRIDAAIDFLADLGFQRPQIRRVINELLSPSMYGREGWRFLEEAQYRVVLDKLLEEQAQLQQDQALPVNDVQVSPENAVQVSPGNDMEVSPEKHVQISPENHMQVSLENRMHVSGMHNEALTNISQSALEKQAYHNGAAFLEPVLPLPPAAQAAPAKPVRPPCHGWISEESESESELEAGDVLAYIPSTSERLPPRRKGQSRWDGFSNGFSSGFT >Et_4A_034842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7028996:7031353:1 gene:Et_4A_034842 transcript:Et_4A_034842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYHPSEVYELFVRHMNTPRVAVDNGVCATATLVQVHSARKHGVLLEAVAALSDHGVCVRKGYISSDDGRWFMDVFHVTDAAGRKVADADALHARLEASLLSAADAAALPAPGLAAGGPSLSSSPTLLELVGADRPGLLSEVFAVLHDLRCGIVDARAWTHGGRVAALVFVRDEETGAPIDDAARVRRVESRLRHVLRGGARGARTILAAGAVNLDRRLHQLLNEDGEDDGCDQAAESPTTTAVAVQDWGERGYSVVTVSCRDRPRLLFDVVCTLTDLDYVVYHGTFDTDGDHARQEFYIRRLDGRPISSAGERRRVIRCLQAAIERRASEGVRLELRISDRRGLLAYVTRVFRENSLSVTHAEITTRGDMAMNVFHVTDVAGRPADPKTIDEVIQRIGTESLRVDEERWPRLCSAEGDAGRGGGAGFFSLGSLVKKNLYNLGLIRSCS >Et_3A_024865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24977884:24980254:-1 gene:Et_3A_024865 transcript:Et_3A_024865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPEKRLKRGYKEATTVRPVLTVFRTVLITIAAALASSPEVGSSMKMIEGFATSSTAIVSLFLCSVESPFTPGRPTRASLRPLSSTNSITSSTKICTDINSL >Et_2A_015402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1193420:1198473:-1 gene:Et_2A_015402 transcript:Et_2A_015402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDDEDMCDASSSMDEGGFSEDEVEAAVGAAAEQGVMTLEVTWFQVDLDYEFDAPRWFDLAQEEPPVEAAAAQQWFAVAPDYPPSPLIAMLLAEDLGLQSLRSTADNDAVHCTTTSHECSSGRGPCNVASENDRKPSIRTARRCTSSKSSTLMKPTASQLARQNRQAETKNPMLSKKSVGVRSERTTMTSNDCTYQPAKRQRLENGHLNKAAATHQPVFIHKNHEKNAANRNIDRPTGLPKLKITVPREPELATKQRAERSRILRSMPTNTKQINQRHAPSAPTMHVAPTRKVVNPTRATDHQHTSRQHGDVGPNVPACTSNHSRHLNNVDKKPEDCRGDLFKFKARPVDRKILASKGDVGVFRTAKRNTTVPKEFNLSTGRKGNPTQPAPLSELFNKLSLTAGAHRGIERQTTRLPNYITTKRLHPAQRLCVCFGQ >Et_6A_048012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3441850:3446516:1 gene:Et_6A_048012 transcript:Et_6A_048012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRHVLSDGSYHAVVRSRKSLGPMAGGGYSTGLPSYLVQLVSSGSLGMSSGTKRSIDDVEGSKLSEAKSSPKTYSTRQSTKLFMSVERLIGQLATGFRNCSEMIEEEAKVVFQTKATTSNVKLKPDAVIFATGAEKFFYDSFTSNVPRTGPREFLKQFEESNGMSTKLVSFSGRSSREILKEFETELDVVT >Et_4A_035143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9947920:9951415:-1 gene:Et_4A_035143 transcript:Et_4A_035143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAASSLTAPILHPNTNPNLAPKSLPILRNRWCARAVKVSAGGLGTYGAAQRRGIWSIRDDLVVPRSPYFPVEYASGQERGPSPMVMERFQSVVSQLLQHRIIRCGGPVEDDMANIIVAQLLYLDAIDPNKDIIMYLNSPGGSVTAGMAIFDTMKHIRPDVSTVCIGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGQETDLEIQANEMLHHKANLNGYLAYHTGQPLDKINVDTDRDYFMSAKEAKEYGLIDGVIMNPLKALQPLPASS >Et_1B_011177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18398235:18404883:1 gene:Et_1B_011177 transcript:Et_1B_011177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TVEEGDGVGIAAAPRVRDRRRRVHRLVARDPKNAHLKQLDGACENLHLFKADVLDYDALAKAVEGCEGLFHLATPVPEEKVLNPEASIYSFDANMPLEVLGPAVKGTINVLKICLVMKVQKVVVMSSNAAVTSNPNWPEDKLKDESCWSDKEFCKQKEDWYSVAKIAAEQEAWRYADKKGLNVVTLCPPYVFGPMLQPTVNASSKILIYIIKGGSDVMNNRMWDMVDVRDVADALLLLYEKKESSGRYICSSNHICTRDLVDLLKKMFPNYSYINNIFDAEHKAPVTSQKLRDLGWEPRKLEETIMDSVECYQKAGLLLNADANPCRLPFLVRAWQVSP >Et_3A_024542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21863186:21867957:-1 gene:Et_3A_024542 transcript:Et_3A_024542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGAGRSRRRGRGAPTLPTSAAARRTEHSVSCWSVKYPVLLFALHLLVKLDLNCIYAFNDILFDLGSKHSRCMRAWFSVGVYFSLIALVGISLIILWESIAVFYFRSGSLIAWLHNLLTSGLSISILDMTVIIISTIFSIAFHEFGHAIAAASEAIQIEYVAMFVAILFPGALVALNYDLLQNLPLFSMLRIYCAGIWHNVVLCAVCLLITLLLPVALCPLYVSGDGLMITEIPQTSPLSEYLSAHDVILSVDGLKLTRTDEWIKVLDQSTIMKINSPESLEGSERHVATSSGKGYCVPNSWMDASMNLWQISNELPCPDELIAFEKMICNVSTIFSEKNDSHSDQKEVERKYCLIAKDVVKLRKCGNGWLRTESDGSSCACFQDEYCMVPVLSPGFSWTEVSYARPYSSECLQKEGNLSSLHASNDNHGQSRCEGSFVFVSDLASSSRSVMLSPYRPRWAFLLLIADLPYILEKCLSSLLHVSAALAVVNCLPVYFLDGEAILETSLCYLDWFTRRQQRKILKVCHSVWTVLSIITFSRIILFRNARL >Et_7B_053362.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:13365766:13365960:-1 gene:Et_7B_053362 transcript:Et_7B_053362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTMSMAMFTAAPVMAPPATKRAPPISMTACRPITLVTRPATSDATRPATYRDDVKVVSIWLS >Et_1B_012915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4027719:4031730:-1 gene:Et_1B_012915 transcript:Et_1B_012915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDALAPFDLRHVCLYIVASLDSDREGGHHAAAEGDAGAPAPGGANGMTELQKHAAFFDRDHDGIVTFDETYQGLKDIGVESIQAKATAALINAGLGPKTKPENANSSNMDIYIVNIHKGIHGSDTGAYDAQGRFVPEKLDEMFSKHGKTVPDAMTKDELDEMLKANRDNMDFKGWLAASSEWNLLYKLAKDKDGYLRKDTARAVYDGSLFYQLAAGKKVGRAAAYGGGGGAESAGMTDLQKHAAFFDHDGDGVISISETYDGLRALGLGIGLSGAGAAFINGFLASMTRPENATSSRFDIYIENIHKGIHGSDTGSYDAEGRFVSEKFDGIFAKHAKTVPNALTSDEIDELLQANREAGDYKGWAGAASEWKLLYSLGKDKDGLLRKDVARGVYDGSLFHQLVHKKGYGDK >Et_1B_011598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2442504:2445164:1 gene:Et_1B_011598 transcript:Et_1B_011598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLELPAKQRNQEGEQACKATGVVAAVEAMVPPLNFAMVDDGIFRSGLPDAANFRFLRSLNLRSIVYLCPEPYPEENTRFLEQNGIELHQFGIEGRKEPFVYIPEERIREALKVILDVRNQPVLIHCKRGKHRTGCVVGCLRKLQKWCLSSVFDEYLHFAAAKARSTDQRFMELFDASSLMHLTASQY >Et_6A_046392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14167849:14170463:-1 gene:Et_6A_046392 transcript:Et_6A_046392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRGSIASFATYRPPLPVDIFSCAVPPSPGTREQKLTDGESYNCNGRPIPASALKQLIERKPELASACGGATAEDVDAGRATGLVFVSEREHGLETLHVALRFNGEVKVVSLADIFGADAFGGMRMEDSACFGGGFAPNSDHSIIHVSTKKEVEKRRAPWTVVYRTSLRTGETERLTPEGQYDLSPAVSPSGKSVAVANFRWNRWTGEIEHLKTDIVVMNVDRKAQGGKLNRKRLIKDGGWPSWGSDSVIFFHRGIEMQDPSSGKVTTTWRVFRYDLNTDQTEAVTPEDINAMTPAAISETKVVVATIRQRTNQMSEQREVAQYRHIEIFDLAKPNLPAVKITQNIGPKADYYNPFVLDGGRSIGYHRTRTEDIIKKQDGKSDVPRTFSKLQSPHNDVAQFRVSGVFPTFSTDGSKLAFVDNEFKAVWLADKQGLRVVYERREANTVFSTVWNQNPEKDILYICVGPPFCATSPMEIYAIFNVSRTSGGRQEVRRLTDGGYNNAFPSSNPEGTKIVFRSTRDHTRGATHDTRYKNLYIMQDAHAGETVGDGSVTRLTDGEWTDTQCQWSPRGDWIVFSSTRDKPANAPLMDHGVDIGYFAVYMVMAADPSVVVRVVTSAAPSAGPGSVAGHVNHPVFSPDGRSIAFTADLSAVSAEPISMPTFLHSVRPYGDIFSIDIDPDNIQKNKDIKKFHRLTHSRYEYSTPAWTQFATDDHNAQWNMLVTTDSATAKFMPSCPYMQADGGDSWHMTGHMILPRKCC >Et_1B_013705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13390983:13393358:1 gene:Et_1B_013705 transcript:Et_1B_013705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPPSLDSAAEASAVALVLGNDDLLIETLVRLDSPTCLVRAAAVCRCWLRRASDPAFLRRFRALHPPRILGLHVKSGCSQPRFLALRQPQELAAAARRAVRVLSLSRGTPGLWDCRNDRLLVEFSDCPGNFYAVRSLLNHHVPDVPFPAPPAPSSIIIRGGGVCRKHMLLLEDDDDVTSCLSLILAAFESEVRADFAILQSGVWGVRHSAVAQFKTKVFESQILRLQKLVVGRKVYMQTYRFILGLDLDTDSFFTVKLPDGVVNYTLSRTQQSGLYLIDAKEFQLHVWHGDGAGQWDLVDTISVREACGDINVPRWEPDDGDLPVLVFEAGDNAEFVFLQLRASGIICCMQLGNRIAERLNCGTIRPRYNDFMHPISMVWPPIFPKLDEANGEYDD >Et_3A_024123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17632993:17646594:1 gene:Et_3A_024123 transcript:Et_3A_024123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELFENYEREYCEASASLRLQMQRRGCPAGSLKGAFKRISRGNAQQGLIGEDLESGMILGERQNQTTDRLRDSHRIMLETEDRGVSILHDLQQQRLDTVDNNLVKSRRIIGAMVRRVDRNKWIISLVITLLVLATLVILYFKFLSVLVSWKSSRETAEAFPFTRVVLVRVLPPFLCSFASFVPTPDLDATLGLDHV >Et_1B_013477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8891775:8894755:-1 gene:Et_1B_013477 transcript:Et_1B_013477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPSYFPLRWESTGDQWWYASPIDWAAADGHYDIVRQLLHLDPNLLIKLTSLRRIRRLEALWDDDARFADAARHRASIARSLLMECECRNHHPAGGGENTLLRAGYGGWVLYTAASAGDMAFVQELLDRDPLLVFGEGEFGVTDMFYAAARGGSAEVFRLLLDHAVSPRCSTNCRDGEGGSGIGGGRGAVFRLEMMSRAVHAAARGGSVEMLRELIERRSDVSEYLDVRGSTVLHAAAGRGKLEVVKYLMDSFDIIGSTDNHGNTALHVAAYRGHQPVVEALVAASPSTMTAVNNSGDTFLHSAVAGFRTPGFRRLDRQMELMRYLIRERTADIQKIINFKNDAGLTVLHMALIGCAHPDLVELLMTTPSIDLNVKDANGMTPLALLKQQLRSSASERLIKQIVSAGGVLNSSILRTRSAIASQIKMQGGIASSPGTTFKVSDAEIFLFSGIGGAESRRPSSCSSNDKDDANHAVANGAAGENHGSSEKRLSSASRAKDRLKMMLRWPRHKEKMPKTPKKSEDSSPLDTIRKMNEHAVETPAPLRQKFTKTTALNGKRTLAVKSFTPSSSATKKKLNTKLIHGIMEAMPQLASSARPRSPTGTLPRSSMSSTPTPLAKLKDICLDDEISMVTPTSGKLNDIVLDSDTTEDPSCSNSSMEEIGTGAAENSSRKLGCGNGRLINICFGAQGLTVEDSVSGQQTSKMFKQQCLRVS >Et_7B_055314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9273416:9280379:-1 gene:Et_7B_055314 transcript:Et_7B_055314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAATASSASAHPYRPASARASRSVLAMAGSDDTRAAPARSVAVVGAGVSGLAAAYKLRKSGVNVTVFETADRAGGKIRSNSEGGFLWDEGANTMTESELEVSRLIDDLGLQDRQQYPNSQHKRYIVKDGAPALIPSDPISLIKSSVLSTKSKLGLFLEPFIYKKPSTRNSGKVSDEHLSESVGSFFERHFGRELVDYLIDPFVAGTSGGDPESLSIRHAFPALWNLENKYGSVIVGAILSKLTAKRDPVKKTSDSSGKRRNRRVSFSFHGGMQSLVDALHKEVGDGNVKLGTEVLSLACSFDGVPAPCGWSISVDSKDASSKELAKSQTFDAVIMTAPLSNVQKMKFIKGGAPFVLDFLPKVDYLPLSLMVTAFKKEDVKRPLEGFGVLIPYKEQQKHGLKTLGTLFSSMMFPDRAPNDQYLYTTFIGGSHNRDLAGAPTAILKQLVTSDLRKLLGVEGQPTFVKHIYWRNAFPLYGRDYNSVLEAIEKMEKNLPGFHLESLLPSSIDQGSIGQ >Et_4B_038259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27670496:27671704:1 gene:Et_4B_038259 transcript:Et_4B_038259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVQMMPAVASVDVRAEGTMRTRRVCVSGGTSLFAGDWRRRPRRASCSVRVLRQRPSSRSRGGIVCNLGGQYEDSFEDVQLQLMNYFTYKAVRTVLTQLYEMNPPSYRWFYNFVAVNKPTDGKLFLRALGKERQELAERVMITRLHLYGKWIKKCDHAKMYEKISDENLALMRERLMETVVWPTDDADTEKIG >Et_2B_019969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15762543:15768240:1 gene:Et_2B_019969 transcript:Et_2B_019969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSSQASPPRAAAAAAAAVEEMEEYQNWKKNAPVLYDMVISHPLEWPSLTVQWLPSESPSRSHRLVVGTHTSDEAPNHIMLLDATLPLPPRLAAATAASDGAVPAPSVSVSRVAQHRGEVNRARCMPQRPYTVATKTCVDEVHVYHLGDGGEKSGADVVLKGHDAEGYGLAWSPIKEGWLLSGSYDKKICLWDLAAGSGAPVLDAQQVFEAHEDLVEDVAWHLKDENIFGSAGDDCKLMMWDLRTNKPEQSIAAHQKEVNSLSFNPFNEWILATASGDATIKLFDLRKLSRSLHTFDSHEGEVFQVEWNPNLATVLASSAADKRVIIWDVNRIGDEQSEEDANDGPPELLFVHGGHTAKISELAWNPSEKWVVASVAEDNILQIWEMAESIYSDDYYLQDNDCPMA >Et_5B_044354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23001661:23012005:-1 gene:Et_5B_044354 transcript:Et_5B_044354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLLLSYGLTMRICACMQVIKADTIDAAAKQILILLKEDPGTARSFSSRNNVFYFDGWDGLGASAVLLAIARRLTAKLKKEPASAGSSVSAGLEFEQVIHIDCSKWESRRALQKAVAEQLELPAKVMEMFDKQDEDDDFRGVAQNSRRGVEHVTREMYQHIQKLNRRFLVIFHNGSSKEINLASLCGFPLSGYSTNKMLWTFQGRFRLKPKSKVDSALKSAGTTDAFLSATSPIPYSHDLWSYCVREEADELVAALKINTDPQGVISQQVLVECFMYMLELCRKRHQSIYYDLATHSANYWICDGVINQPRLGETGIGAYDGDDGLWRTAEALQCEMQLDAEYHQDLLPLHLARFVERRPYWTSPTCGIFLLTPTTKAVFQHSLDNQISVLKLSCCTIPSLPFLCCHNLRFLWLDHCQVIKISSSTTGGAWTEDDDIRRCFQRLWVLDVRYTVGCDKILSAQMMDLMTHLRELNVMGAQDWDIGQLKGRLPNIRKLRVQKSTIILCSCSEDDLFSKANKMELLDFSGNETNDSMRSLCVPGESNSNSCLETVIVDGCARLEKISFRGCTNLKNILLRGELDNLHALDISSTAVKTLDLTTIETLFLDELYLLDCKKLCAIMWPPKDKRDSNIPGKLRIDTTQSAQPTRCGEQEESNSTGTSLSYIPVLHGNQPVSEFDWYISLRDQRLLVSLEPVYSPSRKTYVEISSTNVATGSSKYERTVERGRRSLMPVISTQQQKQSMCALIYADITLENMQQGDDESNGYAAGIGWMWPCPDAPHLPKQSCYMQIQDQQGTITVPDFVIHHAKILHVKDSLSITILPSSAASGSEWHVLEWCRIERCPELECVFEPGHIRGQSFEYKLKTFWASQLLKAYYIWKWSEPSIVYRKFDDLTYLHLDFCPRLVHILPLGSLMNTSREEGANHIQKVVLCECEKEWWDSLQWEVASQKKLYKPIHPKYYKKATLLRGSVLREVLPLDTEGQHYVARKQPQVITLGFPKLKRIHLHELPTICGPKLRMSTPELETINIRGCWSLKRLPTVQKAVRCDCEKEWWDSRRWDDASQKELYKPIYPKHYKKATLLRGTVLR >Et_1B_010141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25586753:25587133:-1 gene:Et_1B_010141 transcript:Et_1B_010141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPYRLASCLHAMTPPAKTPPRAPAIMALSIPAYISPRTPSLLPRRRPLLPQALSTRLARILAVSPGSAPAAAWRRPSAAPPTAPVRYPAPV >Et_4A_032380.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3899125:3899349:-1 gene:Et_4A_032380 transcript:Et_4A_032380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LSVPVGGATLGRIFNVLGEPIDNLGPVDTSATFLIHRSAPAFIELDTKLSTLKQVLRWLKKTAAFSAGFLRLQT >Et_10A_002289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7272875:7281041:-1 gene:Et_10A_002289 transcript:Et_10A_002289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVAAVRHPHLLLRRGGLIPTHSRPATLPFASRGPVSPRLRLPPPRFSLSPVPKSLSSVSSHVPVRSLFTGIVEEVGRVRRLGPPRAPPTGGGSGGGDAAPGLDLEVESKNLLAGTQLGDSVAVDGTCLTVAAIDAAASTLTFGVAPETLRRTSLGARAAGDGVNLERALTPASRMGGHFVQGHVDGTGEIAAFRPDGDSLWVTVRAPPEILRLLVPKGFVAVDGTSLTVCNVDEDGGWFDFMLVRYTQDNIVLPTKKVGDKVNLEADILGKYVEKLLAGRMEAISSVKRSGVQTIEGVPKVT >Et_1B_012824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35374784:35382558:-1 gene:Et_1B_012824 transcript:Et_1B_012824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPGGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPETLWGKIDPRSFGDRAVQTKPPELQEKLAKSKGKKKRSSSGDAADPDLPSKRRRRAPSHRDVSVLSLTDDVVYKPQTKETRAAYEALLSIIQQQLGGQPLDVLGGAADEVLATLKNDKIKNPDKKKEIEKLLNTLSNQTFDQLVSIGKLITDFHDPAGDSAAGAAPSGADGMDTTLDDDIGVAVEFEESDEEEESDLDQVQDELDEDDEDDVAELNGPGGMQMGGELDDDDMQNANQALTVNVQDIDAYWLQRKITQAYGEMDPQQNQKLAEEILKIIAEGDDRDVENRLVMLLDYEKFDLIKLLLRNRLKIVWCTRLARAEDQEQRKKIEEEMVGDPSLAPILEQLHATRASAKERQKNLEKSIRDEAKRLLNSDASAADGARERRAVERDMESGWLKGQRQLLDLESLSFHQGGLLMANKKCELPPGSFRTPHKGYEEVHVPALKAKPYETGEKIVKIADMPEWAQPAFAGMTQLNRVQSRVYDTALFKPDNILLCAPTGAGKTNVAVLTILQQIGLHMKDGEFDNTKYKIVYVAPMKALVAEVVGNLSKRLADYNVTVRELSGDQNLTKQQIDETQIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNYEDVAVFLRVRKEGLFHFDNSYRPCPLAQQYIGITVRKPLQRFQLMNEICYEKVMAAAGKHQVLIFVHSRKETAKTARAIRDAALANDTLTRFLKNESASQEILGTHADLVKSSELKELLPYGFAIHHAGMARVDRELVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGHSELQYYLSLMNQQLPIESQFISQLADQLNAEIVLGTIQNAREACSWLGYTYLYIRMLRNPTLYGLPADILESDKTLDERRADLIHSAANLLDRNNLIKYDRKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVGVRQDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISRLKLEGLSLSSDMVYIRQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVDKQMWSVQTPLRQFPGIPKEILMKMEKKELAWERYYDLSSQEIGELIRFPKMGRQLHKCIHQLPKLNLSAHVQPITRTILSFELTITPDFQWDDKVHGYVQPFWVIVEDNDGEYILHHEYFMLKKQYVDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVTALRNARYEGLYSGFKHFNPIQTQVFTVLYNSDDSVLVAAPTGSGKTICAEFAILRNHQKAVSGESNMRVVYIAPIEALAKERFKDWERKFGEFAKVVELTGETAADLKLLDKGEIIISTPEKWDALSRRWKQRKQIQQVSLFIVDELHLLGSEKGHVLEIVVSRMRRISSHIGSNIRIVALSASLANAKDLGEWIGATSHGLFNFPPAVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAVTQHAKSGKPALVFVPTRKHARLTALDLCAYSSAEGGGTPFLLGSEDEMDTFTGGVEEETLRNTLKCGVGYLHEGLSELDQELVTQLFFGGRIQVCVASSTMCWGRSLPAHLVVVMGTQYYDGRENAHTDYPITDLLQMMGHASRPLQDNSGKCVILCHAPRKEYYKKFLFEAFPVESHLHHFLHDHMNAEVVVGVVENKQDAVDYLTWTFMYRRLTKNPNYYNLQGVSHRHLSDHLSELVETILNDLESSKCVAIEEDMYLKPLNLGLIASYYYISYTTIECFSSMLTQKTKMKGLLEILASASEYAELPSRPGEEEYIERLVRHQRFSIEKPKYGDPHVKANALLQAHFSRHTVVGNLAADQREILLSAHRLLQAMVDVISSNGWLSLALNTMELSQMVTQGMWDRDSVLLQLPHFTKDLARRCQENEAKPIENIFDLAEMSGDEMRDLLQLSNSQLQDIIEFLKRFPNVDMAYEVREGDDIGAGDNVTVQVTLERDMANLPSEVGPVHASRFPKPKEEGWWLVIGDSSTNQLLAIKRVALQKRARVKLEFAAPAEAGRKDYMIYLMSDSYLGCDQEYEFTIDVKDAGGS >Et_3B_030341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32325055:32328220:1 gene:Et_3B_030341 transcript:Et_3B_030341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDTDTRPTSAGSRGRAPEDDRDREEGEIADDDSSSHAPPPPAKHPLEHAWTFWFDNPQGKSKQAAWGSSMRPIHTFSTVEDFWGLYNNIHRPSKLVVGADFHCFKDKIEPKWEDPICANGGKWTISCGRGKSDTMWLHTLLAMIGEQFDHGDEICGAVVSVRGKQERIAIWTKDAANEAAQVSIGKQWKEFLDYKDSIGFIVHDDAKKADKGPKNRYTSSIGTVDGHVLLGVVET >Et_9A_061262.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:3273158:3273526:-1 gene:Et_9A_061262 transcript:Et_9A_061262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVHMQEHPLRCRRPWMDGEFDTWEVATRCSWDCGACTLTVASGQPFGSWSTCFGLRRSRSCQQRCRSQPKGRRQAVLALQNRRQMRHQAAAIPVCRRLVLRSPRILVCASLGDSFSCFIC >Et_2A_018297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21871792:21873155:1 gene:Et_2A_018297 transcript:Et_2A_018297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGETMADAGRDLVLGLGMGMGVTTEEEGEQRRSKRNREEASAVRREMEFGAGRCGRSSPEPPARLTLLSMVPSLGLPWQSPENGHLEASTRGFDVNRAPSCAAGEAGEEDEEQDDAGAGGAALSSSPNNSAGSFPTDFSARGQAGHGGASRGSDDDDGGSARKKLRLSKEQSAFLEESFKEHATLNPKYTFCLSFGLDVGAFCGEQKQKQALAKQLNLRPRQVEVWFQNRRARTKLKQTEVECEYLKRCCETLTEENRRLQKELAELRALKTVNPYYMHLPATTLSMCPSCERVASNSSAPAAAAASAPASASPAPANGITTAAPEQRPSSFAALFSSPLNRPVAAQPQAPASS >Et_7A_051771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24409454:24413623:1 gene:Et_7A_051771 transcript:Et_7A_051771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGAAVLAILSKLQSIGFCPDLWIPDDVVASDPSKAFEAVLAAFLREADPGRCEAPPLPAALGEGLHVDLLRLFLAVHAAGGYIALPSGPNGGGWATAAESAGLDSSFAVSVKLLYGKYLGALDRWIQRLVEAQGPLLDGVGRKKQAVFNGANGGEKQGPSLNCNGRGQKDMMLKRKKEDMVGCWIGVLAENTHNGGAVAAGSADGYFSMVLAVREAVNRKRARRAIMNNDARLQEMFPMTCKCSMNSTNGQLDVKVKCTEKFKVLHPDLDINEFTTGLKKMNGSLVENQLAAEGKHVSRNHQKCSDGWLFTSQQRNEIPIGPEHQAQVPHWTGELPVNYDDPETLKWLGTKVWPLENVDGKEPFFCDSIGKGRHVVCSCKFRQSVECVRFHVAERRLQLKRELGSAFFAWGFDRMGEEIALSWTDEEEEKFKAVAQLSAASSGRNFWNRLRLSFQRKGRKELLSYYFNCFLLRRRCYQNRITPKNIDSDDDEETEFGFLGNRLGHGSANDINTKPTICFQNTQCMDLD >Et_6A_046193.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:9283930:9284160:1 gene:Et_6A_046193 transcript:Et_6A_046193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLCSCFGVEKKAASAEKQLEAEDKEKQQQEVGDQEAGERKIATDQASASEATAKKEALILTHHFPFHSRPGLL >Et_10A_001019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20222187:20224898:1 gene:Et_10A_001019 transcript:Et_10A_001019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NKQNKTKRKKPSDRTREAGKHGLFLSPSSRRRGRAGNPSVRLNSHQIQSAETPWTPAMALVSSSDPDLRIYEPGFSSEYSSREEDAVYRSRLLAKIHNAYCKALERLTTNSKARAGTAKRIVDGGFCFGLLDPASNIIANSLIPCSPEKGAGERGEEGAVHEAKFEDLEHRSLEGMVTFLTRFFPYLADCEAVRYLLVADADLLVATRIVVMDRRMKRFGSSELAVEEALRMALKCAALAARHPDPDRLVGAWITISRRIDDVVGLLAKVQRRSTSSSLDDLAALLGRPAPPVDDRRGDLLRTWKLAMSRPPRPRRVPYQNTSTPLKRALLDAIHGFYLQALARLPAAGELRSRYHRSMLMAGHCYGPLDPVSNILLNTIWYDATCPPAMMLELDMVSTLGLHRIETRSLYGLVSFLCTRYRNLDFHQAARCLLDSDVNMLLADPNLDASGTAVLRTEERRRRHSSPLGWGTTTGRVDKEAQGQASSSVIKAFKAAATAAWHPNPDEQAKFLASCKPLLGSAIALLQGDGQLSSDCVEQLSKLSPEPPCEKSPLPLPLKDLPLPFREYVREHARVSGKVKAALDVYALVPEGEHAYELHAVCGFNNQVAGPVYCPEIETYPPEKFYHTHVNFLVTPKSIHSNLNGAPILFFAELSNDENKAGVYCPVSVPPPCSERVRCLYCDYLGIRIVHPSGENFNGREEDFENMVCEKDPYDEDFDPLLMPQYYTNESIISDSSHVAERVGRLEEDCMYPESGDFDKNMESDDYDTDMDYATDEYELI >Et_10B_003189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17399282:17400521:-1 gene:Et_10B_003189 transcript:Et_10B_003189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVCGPSSSTDHRIYGPSYGVQYPSRGEQAEGKSRLLAKIHGVCCKALERLAAVDARKPRHVAAARVLHGGALCFGLLDPLVEDLERRSMVGLVTFLTRFFPYLADREAVSYLLAVADALEMALRCAALAAGHPDPDRLARRLRPPRQAAPPPPPLPCLLLPPPPQPRQARRRPPSFEFEPVAARRVAPPSSPSPPPEHEHHPAQARPARRGPRALPFCRPLLRPARRPRRQRRLARRRGRTSSAWTWSARSASSGSKRAPCSFLCTRYHRLGFRHAVRLLVESDANLLLADPNLDATADSANLRKEQRRRRRSNSPWSVATSSSSSRNALFGRAPAATGVAFKANPEAQAKLLASCKQLLGPSLERRPPHLRRHSAARQGVVYGYLRMR >Et_9A_062384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22358997:22359622:-1 gene:Et_9A_062384 transcript:Et_9A_062384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAAVSVQPVAVKGLAGSSISGRKLAVRPSSRAVCRSTRKPRAAVVAKYGDKSVYFDLEDIRNTTGQWDLYGSDAPSPYNPLQSKFFETFAGPFTKRGLLLKFLLLGGGSLLAYVSANASPDLLPIKKGPQLPPQMGPRGKI >Et_9A_061251.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:24363897:24364169:-1 gene:Et_9A_061251 transcript:Et_9A_061251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACAGRMPTTHMQASFSRRRGDDDVSELVRAMSQRQAVSSSVPVRSRSVAVGRIDEDAPCEFSSNKKPDHDPSEHLLRKNVAWMLLHIY >Et_3B_027526.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25124664:25126155:1 gene:Et_3B_027526 transcript:Et_3B_027526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPPMERMSISIRKPSSWPICRRLWEAMHLEAQQREQPSNGGRESVIAPPTAGYGGTACAHEPRVLLRVIVVIRRLRGGRPLPSRPAGDLHTSHGPRPGCGGGATTAVEGDELLLLNNGLANGALERVRVDVEPLVEAGPAEEVAAERDDRVLRQVQADVALEAARVLAAAAVGPRHRFAGAARHAARIRSAGWEIHSIHEQKSGQIRFIAPVN >Et_9A_061709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15617382:15621247:1 gene:Et_9A_061709 transcript:Et_9A_061709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSKSASSSSTTGGGEVKRRNGSGKGRTARSLLPLPSSCFRGVAEPREGDASVAPPAAAAIESGKGGETASLPSLTHTEKSDEDTPAMSKSHSGEGTTSPSSDSERDRDDDVLQNAAATSTSAAGNQSPNLSDRSRPRFGVNFGLSRAVSLGSSVACSILSSGLSTSANPGEIRGNVDHSSDAGIAQQGGAFTAGIDSTLDVLRDSVTAQARAARQARRNLLESEDANMRNSYRRGSQEPFEGSVQFSRTLSVGRLRDRVLRRTPFSDGLFTPSLLYDRAVWPSGNASARQDSAVMQRTNSDRSSELRSDSNSETLREASNRDLLERRSAFLERRRRIRSQVRALQRLGSRFENFSGHERSCILSGQHRTGNCNCRTSSRPGNPDEETSTRASISRIVMLAEALFEVLDEIHQQSAALSRPSFSSIGSVPAPREIVECLPVKVYRKPMKYQTEEAAQCYICLVEYEEGDSVRILPCNHEFHLTCVDKWLKEIHRVCPLCRGDVCRSDTSSIGKIG >Et_8A_056305.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:21072302:21073501:1 gene:Et_8A_056305 transcript:Et_8A_056305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAPQSHPTGDVLRVADLLGRGRGLVASRNVREGEVLLSESPILLYPATLASLPSYCSACFRSLSPDAAAPCPSCRAAAFCSPACAAASHPRLLCAALCNGGALAAAAPTESLQEPLLFLLSAYSLPEPALHAILSLSSAPPPPAGTQDPAGLHAAVAALAPPHMLPPGFSPDLTAALLAKDRGNSFAIMEPYRPGMSLELLKARAYAVYHRASLLNHDCLPNACHFDYPDRPGPGNTDIVVRALHDISEGREVCISYFAANWRYADRQRRLLEDYGFRCECDRCQIESRWKDDEENDNEGGDGDGDDTMEEEDGEEEGGDGGDDGMEEEGDGGDDDFPHAYFFVRYLCDSEGCWGMLAPLPPSPNGELSHMFECNLCGKLRKEGDDMPDEGPSGMPH >Et_6B_049422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4689688:4690544:1 gene:Et_6B_049422 transcript:Et_6B_049422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVKCSIDRDACRRQYTHPLYCLVRANPNSFCARDACAATAAMGSGFIAKGLTIVNSAGPGKHQAVALRVGGDLSVVYQCAVQAYQDTLYVHSNRQFYADTDIAGTVDFIFGNAAVVIQNCDIQPRRPGPGQKDTVTAQGRTDPNQNTGISIHRCRVAAASDIGGTPVYLGRPWQKYSRTVVMKTSLDRSIAPAGWLEWSGQFALSTLYYGEYGNTGAGAGTSKRVTWSGVHSSLSTSDATRFTVANFILGNSWLGGTGASYIPGL >Et_2A_018584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33785683:33787607:-1 gene:Et_2A_018584 transcript:Et_2A_018584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIGPSGGGKSTLLRALNRLWEPAPGTVFLDGADICGLDVLALRRKVGMLFQQPAMFDGTVADNVRYGPLLRGKKLTEAEAKDLLILADLDPALSSRPANELSVGQAQRVALARTLANDPERCTHNIASVPGAPVGRTDQRAGPYIHAEHRGDNYAPEEHKGTHHSDRVHSVKQIQRIADLVCLVVAGKVVEVLAPSELSKAEHPIARLFLELS >Et_2A_014563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23271009:23272064:1 gene:Et_2A_014563 transcript:Et_2A_014563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEPLANDAEEEATDADDEELSLASRRACLRFLRCSPLLLLSLQSPLAHLPTAPAQPPAPLPALSSGSFSLTSAASRSSPPSLPSSKRKTNTACADVASGGEVSRDGKSQLWRSQETRTRSPPPRSTFSLPRNFHRRRRTWSTRSPSTETDMPTSALSRSFSFP >Et_1A_008476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:880517:883837:-1 gene:Et_1A_008476 transcript:Et_1A_008476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTQSQVITCKAAVAYEANKPMVIEDVQVAPPQAGEVRIKILFTALCHTDHYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRVATGAGVMMNDRKSRFSVNGKPIYHFMGTSTFSQYTVVHDVSVAKINPQAPLEKVCLLGCGVSTGLGAVWNTAKVEAGSIVAVFGLGTVGLAVAEGAKSAGASRIIGIDIDSKKFDVAKNFGVTEFVNPKDHDKPIQQVIVDLTDGGVDYSFECIGSVSVMRAALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRTQVPWLVEKYMNKEIKVDEYITHSMNLTDINKAFDLLHEGGCLRCVLTVQD >Et_5B_044179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21035633:21037373:1 gene:Et_5B_044179 transcript:Et_5B_044179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKYLGAAGSKKWILAMDGGARPAPSQKRPLPPDGELVELLWQDGAVVEHSQAHRHHHHHQRAFRGDTGASGVTGEAASATAWFPDAGALGADVYAQLWHSIAHLEGRVDRDSAASAWPPASSGAGEVGSSFCGSNLVAAAPALRVDDDIDGAALPLRDASTSGSSALLKRSRDEFDSRSEDADFDAVDEARPSRRPASSKRRTRAAEVHNLSERRRRDRINEKMRALQELVPHCNKTDKASILDDAIEYLKSLQVQVQVMWMTTGVAPMMLPGAHQLMTPMGMGLNPSSMPPTTQVLSQMQRVPPFMNNLFPNQMPQVPSAGTDVPNVANKVQNNRTAEPRNPFLQPNDTPAAAPQLPNLFGYGSQMSQQNDIQELLAGSSAPALGADPPSSSDGTGTT >Et_6B_049653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:840603:843658:1 gene:Et_6B_049653 transcript:Et_6B_049653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSLRTLHRLPFTGSDASDTVAAHSDFSTSNRATGGWIYQQKELKPTLPVKDNDSIIDRIKESTRGLKQGPPGKNLSSTEKRKFLVNTLLNLEDSKEVVYDTLDAWVAFEQDFPLASLKQALTALEKEEQWHRIVQVIKWMLSKGQGTTIRTYEQLMCALEKDNRAEEAHRIWHKKISHDLQPVPWRFCHLMLAIYYRNNMLDRLVKPPSKDIIRKVEDAYEMLGLQEEKKALLDKYKDLYNKPSRNDRKKGSKSKITEMNKTA >Et_6B_049172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19041119:19041961:1 gene:Et_6B_049172 transcript:Et_6B_049172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEFALSSSLRVLDLYNLEFSRVPAWINDERLPNLCQLTVLTRTSSSREDEQDLAVLGKLRGLRKLVLVFVAVHRLDLAVCGSDGFQNLISLDVTAPLKFAHGAMPRLEYIKFSIPVVELKDAGIDLEFGLENLSSLRSVSATIHCADACSMEVDEAEDVLNRAIDIHPNHPAREVTKMNEDKIGPADALSRTWNRTNYKILKATTLGADIIVQQDLYNQLYNELAEVARSMPSNHPCVAK >Et_4A_035501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2759708:2763277:1 gene:Et_4A_035501 transcript:Et_4A_035501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAWETPTPSDPQITMESIAKEREDRKEPLGENEAVMGDDTSLFYSDIMPLLVNEEPTVGEEAYVWFGSVFPLACDLVNARFTFEALTTTTASRLHYPAYDKFLKEMDKSFKFLQDLPTPTDIKFAEDEFILHMEGTAGTQRVVRHIGTSSWPGRLTLTNKALYFEASGKISYDSAFKVDLSDTEVEHQINTASTGPFGVPLFDKAIVFESLPEPLVLEFPEMTSSTRRDMWLTLIREVLFIHRFISMYNIESPIHKWEVYSRIILGVIRLHAAREMLRMSPPLPSSFLVFSLYDDLPKGDFVLEQLASNLKQTSTITRLSASYVFKSLSKSHMVPLIAERAKDNDADSGSQEQPLASLENTIDQVKDEAREVTAANVAIEGMKEEGITDSLVVLVGLVSPLGKLRPVIQRITSWERPLVTGGVLAAILLAIYNEWIGYVLAAGLIMAVGVMVWSRRGKIGKIHSEVIIDTSSDKTTMESIVEAQQSLRKAHEYIKTTNVVILRLWSIALARSPKHTETMIWMLTGCAVALAVIPFKFVLIGITAGSFMANTRIAKATSNPQGSRRWREWWESIPAVSVRTVDKSEL >Et_3A_025754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32540419:32547398:-1 gene:Et_3A_025754 transcript:Et_3A_025754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWSDVYKVVAATVPLYFALFLGYGSVRWWRIFTREQCDAVNRLVAFFALPFFTFEFTLHTDPFQVNYRAVAADVISKAVIVAVIAVWARFLSKGGCAVSWSITSFSLSTLTNSLVVGVPMARAMYGEWAQQLVVQLSVFQAIVWLTLLLFVLEVRKAAIGMYYVPDASSVLPAVVNKVDVELAGGVAADGGAVVVPVAGGKPSLWALVKVVARKLARNPNTYASFVGITWACLANRLHIELPSAFEGSVLIMSKSGTGMAMFSMGLFMAQQEKVLACGPTFAALGLFLKFALGPAAMAIGSIAVGLRGDVLRVAIIQAALPQSITSFIFAKEYGLHADVLSTAVIFGMLVSLPLLVGFYIVLELISANPEEPETSHAIKLEAHKQIKPNLRGEAVN >Et_8A_056404.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8292190:8292378:-1 gene:Et_8A_056404 transcript:Et_8A_056404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFRHFRHFGRIEALEGQKQVHFLNFKSFNRGLGSGDYRQSCGLGAAGFAESQDLVFPHHV >Et_1A_005680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12700538:12702714:1 gene:Et_1A_005680 transcript:Et_1A_005680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNMERQTWAFTFGILGNIISLMVFLSPLPTFYRVYRRKSTEGFQSTPYVVTLFSCMLWIFYALLKSGAMLLITINGVGCVIETVYIAMYLAYAPKASRVLTAKMLLGLNVGLFGLIALVTMLLPAHGTLRVHVLGWICVSIALAVFAAPLSIMRLVIRTKSVEFMPFSLSFCLVISAVIWFAYGALKEDVFVAVPNVLGFVFGLAQMALYMAYRNKAPKAAVMMVEEVKLPEHVKADATTPAPAPAPEGRASCGAEVHPIADELAADVVIDVEPPTTCAAAAAAEAAPEQAIKPDTAIAVEV >Et_9A_063608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9648144:9650521:-1 gene:Et_9A_063608 transcript:Et_9A_063608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAIRLLFVPLMAVAFCGYGVSGRSHYHKKPSHGGRNRGGGGKGSSVVSSPAVPPPVDDDPQPVARPPPPGTPAEPCVFDVRSYGAVGDGATDDTQAFREAWRAACDAESAVLLMPSDGTFTITTTTFSGPCKPGLVLQLDGVLMPPDGPDCWPASDNRRQWLVFSNLDGLTLRGAGTIEGNGENWWNLPCKPHRGPNGSTLRGPCDSPTLVRFFMSRNLVVEGLRVENSPEFHFRFDGCSDVRVDGLYISSPANSPNTDGIHVENTERVAIYNSKISNGDDCISIGTGSYDVDIQNVTCGPGHGISIGSLGVHNSQACVANVTVRNAVIRNSDNGVRIKTWQGGMGSVSGITFDTVVMENVRNCIIVDQYYCLDKRCMNQSTAVHVTDVSYTNIRGSYDVRSAPIHFACSDTVPCTNITMAEVELLPFSGELVDDPFCWSAYGLQQTPTIPPIACLQEGLPESLLDNPDLKCRSSD >Et_3B_030265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31876329:31879008:-1 gene:Et_3B_030265 transcript:Et_3B_030265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NNTLNDQARYRFKPVKAQTKITSSKDQSFNPPAPTFPVNAIMVATGEFSRVFASFDQDGDGKVVTATELGLCMKAALGEDMPAEDVKALMASADTDGDGLLDEEEFVRLAREMEADQEETKCRWLREAFRMYEMEGRGCITPLSLKLMLGKLGAHQGIAECQAMICRFDLDGDGDRSPPAGYIARMVASSSSLSSSSELVSLFAAFDKDADGRISPAELRQCMKATLGEEVSAAEAEALVASVDLDEFVRLVKAEVAEEERRRGLREAFGMYEMEGQGCITPTSLKRMLGRLGSEQGIDDCRAMICRFDLNGDGVLTFDEFHGHDERLTRPPSKLLPSVRRLFLMQRFDLISSVDFFCRVLAWQFIHSFICCRQIITSKLAAPYMLEMVS >Et_5B_044648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4280483:4281367:-1 gene:Et_5B_044648 transcript:Et_5B_044648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQDPTPRPGKPPLPWRARLLVSAASTLHAASLRGDGTVNRFLLSLFDRTHRHPAAYDDGEAALRWVLAGAGGALPSSPRPPPAVFVAGDSAGGNVAHHVAARMALEGAAVAGLVAVQPFFGGEAPTASELSLRGAPFGSPERLAWLWRAFLPRGATRDHEAANVPAAIRRDAVAGAWRAFPPTMVCVGGWDNRAAAADARFTTGHRPKGC >Et_2A_015630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16285805:16289661:1 gene:Et_2A_015630 transcript:Et_2A_015630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGRRDGGGASTSGCGVKRRYAATSHEEEVNQDQPPVQARCQLWSNGMDLLRDVTENKRFLPVGIEGIDMLLGGGLRQGQLTEITGASSSGKTQLCLHAASHAAAMHMGAVMYLDTSNSSPGRIASIIDEFPIPLLLGFNLQPKDVRLKRVMRSINCESVFDIFALFEVNIGGSKICLLIIDSVSSLLAPIIGGKYPQGRSMMITVAMILKKLADEHNLSVLVTNHMVSAGNGTLKPALGQSWKTVPHVRLMLSHDRGRHICTATVLKHTLLRQCITAASLVVTVEIAWASGRTMNFVDWVNEATTTKL >Et_3A_025260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28323087:28324408:1 gene:Et_3A_025260 transcript:Et_3A_025260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAAKKRRRTTKKPYSRSIVGATLEVIQKKRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKTQTKGGAAPKGGKGPKIGGGGGKR >Et_8A_057749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6834737:6835569:1 gene:Et_8A_057749 transcript:Et_8A_057749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAKEQEPRRRGVLDLEAQFAFFRSQHRHPVNAAAHALLSWPILFTNLLILHFVPLPSPLDPALALALAYAAAYVSVDRRAGALAGLLFLGAWAASRALAERLGFARSWRVVLATQLFCWTWQFLGHGLFEASADSNSLRLPPYPSPSSSSWQTNRLSKKGPTVSDLPEVFLMEPFLIFLQILNKLFGYEPYPGFCKNVDKKMEADLKESRELKQKKIT >Et_9B_064005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:993366:995615:1 gene:Et_9B_064005 transcript:Et_9B_064005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFNGVRFVRLRSIRRQGKYLAADVDGINVLLSGQRNTHNTVWSVDPTPGPEGHGPCVRLRGAYGRYLFATTDPAGIGPEGSVEVLQVEKDEEDLPLGMLWNVVNRGGGYIVRTCSGRYLRANGKYLTFIRQVTAAADNASRMMLWVIEPVPVKTRPASILLDPCQLTHKNRPPLLEAQVVREIRYLQPMEDGSINEEEWETFLIRTNNLLQLRLTLGTRYGRAFRCAEFDPCIRAGRYARLTPLLIDLPVGNDRLDIVVVPHDTLAGDELRFPDLDAPYAP >Et_8B_060144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6413081:6414797:1 gene:Et_8B_060144 transcript:Et_8B_060144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWQFPAKSGAAPAFMSFRTAREESSKEFSISGFRPPVVGDAFDSIKKHVASPVTPPQANTLQTVRWFVQRQFGFDTPVTTQQYGHRAQVLDHSGVSGHHLLGGSRMVQPLSSRHPVPFNQANPSMIRTQSLHNTSNGLFKNQHFAMSNGFGGSTVGAYGARNPRSQNSTQMTIFYNGTVNVFDNVSVEKAQELMLLASKASVSSPQSVVRKPDSPISAPSVVRKPDSPISAPAKVNVPEVLPARQIVTQKAEPSVTHLATTSSPISIVPQSVALPRSTSNCTTDSTGPKAAVQPPVVVSISQASSSQPMPSATTCPAAVMTRAVPQARKASLARFLERRKERVTSVEPYPTSKSPLESSDNKSSAGALSKSSFDIAPTGNNDGEPLRFGQPRNISFSSEVCPSTKLQI >Et_4A_033317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21477351:21481548:-1 gene:Et_4A_033317 transcript:Et_4A_033317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFVAAASSAGTDTTSASSSYADAPVSPSPPSSARRAGPTLLLLASLAALLILSSGDDAAAQPLRDVSHENPEVTFAPSSMDGQFCERIRLSGIPRLQLASYPSQIHVRMNVSQSIPEKFHWKIEVCFHGNASMGLCQCDMGEWQAFQTGMWNAVKSPYGNKYVDVKLADKKSASFTLSVQEEFQRWRLACLGIGFVLLFLSPIVSKWAPFYYSSSMALGILLVVLIVLFQGMKLLPMGKKSLFYLTIYGSVLGVGSYVVHYFSTLVATILENFGLSEEMHNPVSIFLLIAIVLTGAGFGYWMVRRFILSKDGSVDAGIAQFVKWAMRVVATFFVMQSTLDPLLALVALAACWWVCSLFTENKFQKTITPKQKQPKASFQPMYTQGSPNSPQVQFLSPTSNIDIGRTASRSSATKYGWNNLANGGLGSTLARRVVPNQDEDYYSTFHNIQPRKYSKKEWEEFTQEWTRKALAECTATPEFAKWVADNAHRVQVEKDDDVSDDEIIESSSISSEETGDEAVKAPGLYWWSREQVD >Et_3A_025089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26824386:26827845:-1 gene:Et_3A_025089 transcript:Et_3A_025089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLAGGAYPLRCCPSPSSSVTARTTLDAPRCPRRGVLASASPPPAAPAIEGRDVGLSVTTRRGRVLPVLKGCSLSVPPGQLWMLLGPNGCGKSTLLKVVMPTVESDVAFGLGKLNLSLDEVRSRVSKSLDAVGMLSYSQRPIQTLSGGQKQRVAIAGALAEASKVLLLDELTTFLDEYDQMGVIKAVRNSVVADGEVAALWVTHRLEELKYADGAIYMEDGQIIVQGDVSTISRFIKKKQARYFGHFDL >Et_4A_034317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31775664:31777785:1 gene:Et_4A_034317 transcript:Et_4A_034317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTSPASRPDFYDFLDRMRRPAAADLFRSIKSSSAEDATVDMEISNKIGLLQQFVKPQHLDIPKVLQNEASWLLAVKELQKINSFKAPREKLLCVMSCCQANSPQLHSNLKFVQLFRREAKLISEVEYYLTNLISAKMFILNVNAHSLSMEESEFQKHMELARVGTQISAARPSSSQGLSTSARALHEETDITGSKFPFVESNPESLTAVEIKQLHDHYRQVVARYTMLSKALRKLSIDEDQLLNSVHDS >Et_4B_037050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1442372:1444160:-1 gene:Et_4B_037050 transcript:Et_4B_037050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPSRCLLVTGPPGVGKTTLVMRVFEILRASHPHLNIRGFYTREVRESGERVGFEVVTLDGRSGPLASSKVSSPESVRWPTVGKYKVDIASLESLAIPELQVKEETDLFIIDEVGKMELFSSAFFPAVMRVIESNIPVLATIPIPKYGRDIPGVARLRNHPGATVFTLNSGNRDMMRETVCNQLCSLLQK >Et_2A_015165.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33836452:33836841:1 gene:Et_2A_015165 transcript:Et_2A_015165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRWARAATTLLCLAIVLLLLAVDSVAEARPVSSSRHAHGHARHRWAGLPPLTPASRSVHHAVSRGVGGGAVVSFNAAAAEARCKSREREANGAAAGRVAAAAAAPCADDDDDKRRIPTGPNPLHNR >Et_5A_040841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13234548:13235568:1 gene:Et_5A_040841 transcript:Et_5A_040841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEWKWKCKRDGGNVQEGLDEEDKNSADAIPNERNEKATRDIKSVIFSLSNFLHCWGQGTKPPEYVRSYVRGMLKVSKFLRVINLQGVEVGKKLPRRIGNMAYNCRDTYVEELPDAFWKIKTLRHVFGTGLSLPKRVGNLKEWFIWSLRVFLSVWEQLKCKSTIKCLGKARLHSTGVQILSPELNFSRTNRNRGASSLYQQAWQAAIACHINIGYKFLQGRSRPPWYNCVDLKLVLILENLRKLAIGKSALPELTDLVIIGYPTDIDIEVHGERGFVKKIKDEDEDLYPCLPLLRRKLFRIKHQAAFSLRKTT >Et_6B_048699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12697005:12697447:-1 gene:Et_6B_048699 transcript:Et_6B_048699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGRTLFKSPLHHRSHLSDAASSSSSASSSGAVATHRLYQVWRGKNSLINIDAAPIYVETVFLFNGGQRFCCGGRLVFGPDASSIVLTVALIMTPLALFVAFVAFRLADLIGRPLGLAVPGIAIVVGVFVSP >Et_3A_025254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28254460:28257533:-1 gene:Et_3A_025254 transcript:Et_3A_025254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFHPPRPNRNQPHRVRPGGDPGPPPLPAVPMHPGFPPPVPNLAAASNPMAAAAAANPFLALQLLGQAQQIQNLGFLAAAALQQQQQPFFPGGFPPNPSQFAPFPGQHAGFNGGGVFRPGGAGFCGPRPPPRPMMNPVGKGCNNNGGAPQAPRPMLNRNGSGGSNGEVNHSENKADGVSDFASENGGRNKTSDQKARFNAGRDGRDDKRFSSSRGRGRGRNFNQGRGRGSNWRETRSNFTNHGSPASGHCNEVPTPASGGRRKRPPIIYDANEVKQWLEARKKNYPTSINVNKKLAQQSDGQNKDGEALLRRQELKEILAKQQELGFELPELPPGYLSETEDRGDERKSKWNTQCRDSRFGNHNSITKRPSVKVNQIGIDNNIPADDLDDLQNAEMI >Et_3A_023640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10181247:10183659:-1 gene:Et_3A_023640 transcript:Et_3A_023640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEELCSSSSLMRQCRICHDEEDQSCSSMESPCACSGSLKYAHRGCVQRWCDEKGSTLCEICLRNFEPGYTVPPKKPQPADVAVTIRGSLEVPRLNYEPEEEDDVPLIGLDASAGDPEHAECARAAGRSASWCRSVAVTVYLLRASGILLPFYVVMRLISAIQQGQRQYRLQMLQEQRRNASRLLRLQGLEQQPQHVILVR >Et_10A_000517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1237122:1244216:1 gene:Et_10A_000517 transcript:Et_10A_000517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRWSSTRRRAAAVDELDPVVEWKQAGADRDVVEIHLPGFKKEQVRVQVDDYGVLSAAGERPARGGGRVRFRKDFLLPEACDVDGVRAEFVEKLVITLPLVAAAEDEAEASPASEAALLPSPVFSPIPSPPPAAPPLRPPVPSTPSSPLYSEPSPPPSARPPIYLEPSPSTRRRPSPPLSPTHYEPSPRVHRILLLLRVHYHRHVVLHTLSRRVVVHHHLHLLLRHRRRRRRIAVQLHRHCLDLLHTLCHRRRRRIAAHHRLRLLLLLNVRQHSHRLNVLRTLSRRRVLIHHRHLLLRLVTPRTMIIGHRHVGVQLRRRHQADPTTTMAPEPARQHLLVNIAAAVTKLLGIIGGGSARAFDELDPVVEWKQAGADHDVVEIALPGFRKDQVRVQVDNHGVLRATGERPVRGGRWARFMKDLRLPEACDADAVRARFEGERLIITLPMIAAAPPAAEAETPESPGRRRRRGTRRALIQSLHHVDHHLHHLVLLLTLSLRHAGVHHRRHHLLQLTQSLRHAGLHHRRRLLLTQSLRHAGVHHRRRRRLLLLIQSPRHSGAHHHRRRRHHHRLLLHLIPSLRHAGVHHGRRRHHLLLLLIQSLHRVGLHLRRLPPQLTQSLRHVGSHHRHRL >Et_9B_065668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:905203:908906:1 gene:Et_9B_065668 transcript:Et_9B_065668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSELSAVKTSSNGVWQGDDPLHFAFPLLILQALLILVLSRVLAFAFRPLRQPKVIAEIVAGILLGPSALGRNKRYLHALFPEWSMPVLESVASLGLLFFLFLVGLELDLRSVRRSGKRAFAIAAAGISLPFACGVGVAFVLRSAIPGADQAGYAPFLVFMGVALSITAFPVMARILAELKLLTTPIGETALAAAAFNDVAAWVLLALAVAISGDQGKSPVVSLWVLLCGAAFVAAWMVAVKPAMAWVARRADSSNGGGEVWVAATLAGVLASGFATDMIGIHAIFGAFVFGLTVPKDGDFAGRVTERVEDLVSELLLPLYFASSGLKTDVATIRGGEAWAMLALVIGTACAGKIAGTFAVAMACGMSARESIVLGVVMNTKGLVELIVLNIGRERKVLNEEIFAILVLMALVTTFITTPTVMAIYKPARNAGRRRRLHHRKLQGPAPSAPSSPSSGAATANVKELRVLACIHGGRDVPAVINLVETIRGHTAPRRVVKLYILRMVELTERTSSILMARAARRNGLPFLRPRQQRRDQVDVAFDTYAQLGHVTVRAMTAVSALHTMHDDVAAVAEDKRVSLVVLPFHKRSQDNVEVDDLGPEWRAVNRRILREAPCSVAVLVDRGFGGGEQVSSDRVAHGVCVVFFGGPDDREALELAGRMAEHPGVQLTVVRFVQTTGGKANAAASEEAAVTLRPSSTKSADRNYTFSASAAVVDARGEKELDDAAVAEFRQRMAGLQVRFEERAVAAAGGNTSVVDEVVGIGKSGEYGLLVVGKGRLPSAMVAQLAVRPAEHPELGPIGDALASSGHGVTASVLVVQQHDMTNADEVPVSVVVDGLIAHHHDGDDSANKDMAEP >Et_9B_066195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6668580:6671322:1 gene:Et_9B_066195 transcript:Et_9B_066195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSGGSGSNYSGGEILPPPSPGAALGFSKSTFTYEELVRATDGFSDANLLGQGGFGYVHRGLLPNGKEIAVKQLKLGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGGKRLLVYEFVPNNTLEFHLHGKDRPTMEWPTRLKIALGAAKGLAYLHEDCHPKIIHRDIKASNILLDFKFEAKVADFGLAKFTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGKRPVDTTQTYMDDSLVDWARPLLMRALEDGEYDSLVDPRLGKDFNPNEIARMIACAAACVRHSARRRPRMSQVVRALEGDVSLEDLNEGVRPGHSRFFGSYSSSDYDSGQYNEDMKNFRKMAFNNNYTSSQYSAPTSEYGQVHSASSSEGQQTQEMLESGASATKKGGYSGYSSGYSGAS >Et_5B_043922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17916106:17919639:1 gene:Et_5B_043922 transcript:Et_5B_043922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGAMDLASGLGGKINKEEVKSAVDEYEKYHGYYGGKEESRKANYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLGLKPGMKVLDVGCGIGGPLREIARFSLTSVTGLNNNEYQISRGKELNLLAGVSGTCDFVKVVWDKDLAEDSPVPWYSPLDPSRFSLSSFRLTSVGRMITRTMVKALEYVGLAPQGSERVSNFLEKAAEGLVEGGKKEIFTPMYFFLARKPLSE >Et_8A_056906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18782741:18787330:-1 gene:Et_8A_056906 transcript:Et_8A_056906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISTPTSSSLLAPSRQVGRWSSRSAQPATVSLRRTSLAARAAAGNAPASPVGEFVTELDAVAGFSEIVPDTVVFDDFEKFAPTAATVSSSLLLGIAGLPDTKFKSAIDTALADGECNALEKPEARLSCYLTKALANVGAELAHQVPGRVSTEIDARLAYDTQGIIQRVHELLNLYNQHDVSSERLLFKIPATWQGIEASRLLESEGIRTHLTFVYSFAQAAAAAQAGASVVQIFVGRIRDWARNHSGDPEIDEALKKGEDAGLALVKKVYAYLHRNGYKTKLMAAAVRNKQDVFSLLGIDYIIAPLKVLQSLEESVTDPDEKYGYVPKLTPAIGKTYNFTEEELVKWDQLSLAAAMGPAAEELLASGLEGYLNQARRVEELFGKIWPPPNV >Et_8A_056422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:92893:96542:1 gene:Et_8A_056422 transcript:Et_8A_056422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NDFISALLLWAKKRIAGPLCRRRLLPRLYSLSPSRSLHYNWRRRGGGVMASSSSSSAVAEGSAARRFWIAASTREAAFAAYTPFLLSLAAGNLHLDSFRHYIAQDAHFLHAFARAYEMAEDCADDDDERATIAALRKAVLQELNLHASVLQEWGVDPTKEIPPSPATTKYTDFLLATAAGKVDGTKGSDKMVTPFEKTKIAAYTVGAMTPCMRLYAFLGKELTAFLKQDENHPYKKWINTYGSSGFEDNALQIEELLDKLSVSLTGEELEIIGKLYQQAMRLEVEFFSAQPVDQPIVAPLSKYCDVKCKLLIFSDFDLTCTVVDSSAILAEIAILSSQKASQSGTDDTPDRTKSADLRSSWNILSKQYMEEYEQCMERLLPLEEARSLDYSQLYKGLEVLAEFEKLANSRVVDSGVLRGMNLEDIRKAGERLILQDGCKNFFQNIGKTRDKLNLDIHILSYCWCAELIRSSFSSVGCLDGLNIHSNEFAFEGSVSTGEIDRKMQSPLDKVEKFKSIKSDTESSVPLLSVYIGDSVGDLLCLLEADIGIVVGSSTTLRKVGKQFGVSFVPLFPGLVEKQRQLTEEEASVFKARSGVLYTVSSWSEIHAFILGNDLS >Et_7B_053525.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6555749:6555862:-1 gene:Et_7B_053525 transcript:Et_7B_053525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSATMVFAYGSLTPTSTCLGLAACNVMAQPFAPSL >Et_3B_030011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29876913:29879916:-1 gene:Et_3B_030011 transcript:Et_3B_030011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWISMVLGVLVAMIHRAVLKGVYDVVVEDGSVKLVMWRRWVCCNCQVDESDRRENGHVKATTNNVDGMTKGMKDSATGKVELPSSALAINIPVLSLDELIEKTDDFGSTALIGEGSYGRVYYAVLDNGTKLAVKKLDCNENEPTTEFLTQVSLVSRLKHENFIDMLGYCTCTERNLRIVAYEFATMGSLHDVLHGRKGVQGAQPGPALDWMQRVKIAVNAAKGLEFLHEKVQPSIVHRDIRSSNILLFEDFSAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLATPRLTEDKVKQCVDPRLMGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLVNKQTPAHAQPPAAEN >Et_4B_039052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7363960:7364676:-1 gene:Et_4B_039052 transcript:Et_4B_039052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKITTSTSSDSVDSALRSGGARLLHAQRLSSVAAVRPPLVSGCWTGAPGSVSWPASRIVQWQERIVPCDVKPENILLDAGLCPKVAEVGMSKLIGQDFSRALTTARGTILAGPADHGRGRRRAQLQDDAPGAHLRPEEPGRRRRGLLPRPRSARAAGQFLEPLDERLAGDADLEVLGETCNVVCCCIQQTLTPLRSTTEQVVLVLVGSLRRRHRARARAVLAEDSCTF >Et_10B_003998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9031951:9036846:1 gene:Et_10B_003998 transcript:Et_10B_003998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVPPLRAARGWASPQSDVLWSLFLSLGQRERLARDEPALCRRIDLTAPADDEEEGGILRYRITDTPGWQEKGRAAVDRRAGQCEAFWGRADDEVLRYLADRAPSLKSFRVTKVFTELIKKLPLLEELIQSNSKVAKTFLDPKMHVLCSLHLCRDSLTRDMVLSIADNCSSLESLNISDMAYLRRWNEELQNKLSRIKDLRLPCYD >Et_4B_039899.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:5507710:5510166:1 gene:Et_4B_039899 transcript:Et_4B_039899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLLLLHLLIFSALNLPIIGAIDILTLGQSLPWNQTLVSEGGNFELGLFRPGNSTKYYIGIWYKKVSLQTVVWVANRERPILEPSTSRLTLTDRGELQLLATSSSLLWSSNVSSPSPRTTVATLQDDGNLVVRTNNASSSTSAVDVVAWQSFDHPTDTWLPGARLGYDRGRGVHSFLTSWTDSSNPAPGAFSMEIDPRGQPKFDLLAGGGAHQYWTTGLWDGEIFANVPEMRSGYFVGVPYAPNASVNLFSYHDRVPMGIGNFKLDVNGQMRRRQWNGLDGKWVLFCSEPRDACDVYGACGPFGVCSNVTSPACACPGAAAFAPRARGEWELGNTAAGCARRTRLGCATDGFIPLPYAVQLPINGSAEAAAAGAAQSDRACESSCLRDCACTAYLYDGTKCSVWTSELVNLRTLPTDQDGLPGAMLHLRVAASEVPPAPAPGGHSWKKSMVMLSSGVAAVVLFLACLVIAVTAVVVLRKRRGKGKVTAVQGSLLLFDYRAVKAATRDFSERLGSGSFGSVFKGALADATPVAVKTLDGVRQGEKQFRAEVVTLGTVHHVNLVRLRGFCSEGAKRALVYDYMPNGSLDAYLFKNGGSSSSGSSNNKVLSWSQRFGVAVGVARGLAYLHEKCRECIIHCDIKPENILLDEELGAKLADFGMAKLVGHDFSRVLTTMRGTLGYLAPEWLAGGAVTAKADVYSFGLLLLELVSGRRNNGSSSEGGCSGVYFPVHAAVKLQAGDVVGLLDKRLAMDANLKELERVCKVACWCIQDEEADRPTMGLVVQQLEGVADVTLPPIPSRLHMLAMANGCLGGEADDE >Et_4B_039231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:937526:943151:1 gene:Et_4B_039231 transcript:Et_4B_039231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEGAEGEARPRARANQRVVGEYVLQKLVGKGSFAKVFRAAHRRTGAPVAVKAIDRDRVDKRVQEGILQEREILNSISHPNILRLLDTIDTGKVLYLVLEYCDGGDLDSFLNKHGRLPEATAKDLMRQLAEGLKVLRGRNIMHRDLKPQNLLLSTNGDAITLKIGDFGFARSLMNENLAATICGSPLYMAPEIWQGKDYDAKSDLWSVGVILFQLVTGKLPFPGSNCHQLHQNILASDKLNFPSAIEADLCHDCIDLCRRLLHRDPEKRISFEEFFNHKFLATRKSECVIESDHAVDLRDTNEATSSVVSKAKSENVESNNSKVFDSWEWIEREYVVVPANCTSMEMLSSPEKSTKDDTGTRTAGYDRSTGKGSVHDQNRDFVHRVIAVQNHGRTPVSISQQSTTVEDKQGKQPDYHMRLHILNQYVVVLMELAREKLFKGLDLEALSIELVLLAIWKEALDACSLLMDAAGDGNFSKSSQEYFLPKNDRSSLNVARGLDFTRPVSVCSWVESEFMKAYDRAEKISQRLKENDDNTEMPDAMDLIFQTALEYGKSGAANEVLGQQNRSIALYSKAIILLTFILQEAPVLPLNPPFSLSPSNQQRIHRYIANLKSHLCNAQVAGQQQRSIQN >Et_4B_037186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1654201:1657180:-1 gene:Et_4B_037186 transcript:Et_4B_037186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSASLHHHRHPLLPFPPSSRALSPFAAVSRRLHPRHRRLAASLYPRPLVSSSTFAVTAVDDDEDLVIGDCLVFDEDAFEVPDLDLPSSPPPPSNSRPGRKAAAQAPGESLVPERWRAAEEEINLTKKDKRRIAHSLRFGSRLERRAPPAVAAPDEFSAYREGRLEAEIEHVASVYRGPLDRTPPPEKVEEAPPPEPGTRVAPRNPRMGMEVGSLDDITELFSSENYVPSELEDDSGPKSRRKLFTNEEKVLLNKRVPDLQAATSSKWLPLHTIAASGDFYLLDSLLKHNVDVNALDKDGLLAVHKAILSKKAAIINYLLRNSANPFIQDKDGATLMHYAVQTACIQTIKTLLLYNVDINRPDDYGWTPLHLAVQTQRTDIVKLLLIKGADRTLKTQDGLTPLELCLRFGHHVRTYEIIKLLKSFRGQKQHIPVQHIEPV >Et_1B_012784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35044914:35048474:-1 gene:Et_1B_012784 transcript:Et_1B_012784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVIFLLASDSLLLEIKNLVARHSEKLSIDTIRASDRGYSAELFVVTFNHVKESADNGSKVHVLLSFGQHGRELITSEVALRLLYVLTEKRKIAGVDLSSFEKMLENLVIKMVPMENFNGRKLVEAGELCDRRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGFAPFSEPEAQIMKELARSFKPHIWVNVHSGMEALFMPYDHKNTTPNGASAHLMRSVLENLNRRHFQDSCLVGSGGGAVGYLAHGTTTDYMYDIGKVPMPFTFEIYGDETASNNDCFKMFNPIDKTTFDRVINKWCMAFLILFEEGLRNLRDAQLVLQGPLENWVPIGGDIVERDVARKIGRERRKLEGLDLGMQELRTYFRLFMLSTFLLMFMFCTRISSNRQRDSGNIFST >Et_2A_016108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21044132:21044701:-1 gene:Et_2A_016108 transcript:Et_2A_016108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALALVALLVAGFAALASATSYTVGGSSGWNTGVDYTTWASDKSFAVGDKLVFNYSPKAHTVTEVSKSDYDSCTATTKVSDDDSGTTVIILATPGMHYYICNLSVMVSATPSSTTGRSGAAVGALIPAMGSVVAVAAGAAIKLALL >Et_10A_001868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9417008:9420956:1 gene:Et_10A_001868 transcript:Et_10A_001868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLGLLVDIVSRAPRSGASASAHSYGTLSAAAAAAAAAALATSGVPLSARHLFGFPGITIAHCDAGVATGFNGSADLINDLNNKIRDSIQYARKEGFLPGKEYPSELKPLFSAFGLKNFSVLTLRSFLLYYLPLIQPQPDTHDDEDDDDLLQDDPEEKPVDLITPFHNSTSIVTTRRVLERIAVRHVSQRTAWKLLKDASKSARRKAARGMSTPEFTYCVARTTFRAHALGVAAAWIVQSIVQVYKCFIRKPCDEEESFEEVDKFRMFGRKVLGITIKSCFSLVLASIVAGIGALVHPVYGQWFGESLSSHLPSVQVLRYCSICYQVHLALAVRTLSSSKFPISFLS >Et_4A_033117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18702697:18709121:-1 gene:Et_4A_033117 transcript:Et_4A_033117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRLLSVRIPFLAKPGSLRCVGFMASNTGKKLIQIDVSSDTVCPWCFIGKKNLEKAMEQTKDKFDFEVRWHPFFLNPDAPKEGINKSDLLKMKFGPDVFERATSRITEIFRGFGLEYDMTGLIGNTMDSHRLIILAGHQGYDKQNALVNELFLNYFCQGKYIGDKQVLLDAARKVGIEGAEELLEDPSKGVDEVREELSKYSSGISGVPHFVINGKYQLSGGQPPNIFISIAVSVDPSQGKMEKSGCPFEDNAHNGDKTEERSSNV >Et_3A_026615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10554636:10555775:-1 gene:Et_3A_026615 transcript:Et_3A_026615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDDAVKDLVKGVTGQLWRSNALMVFNAVLVAIMVAAGASGPRYSHAAVTRFLFRCTSPSSPTLPLPLAPSPAGHQAWTSSVMAVVAGGGQKLGSLIQLLSRMVWASYLVFYYAGRGFRTIISKSYTLDNMPYYTKVSQMLAGPWLPPCRQSTGTDSYRRRRRSDARRLTSGPTSPRCRWRCEDYIGGALSLARLKEETDVVDPACHDLVQCRHAFGQGAIAVPKCGDDGGLHLPDGEAWSRKLYKTLGRDIRRALAVKDTDGGGVAARLERSSDEDVVRKGARLGKQLLELIPEEAARWDLLAGFWSEMVLYVAPSDNVKAHKKAIARGTELLTLVWALLTHAGIVTRPGADAL >Et_1A_008881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17223987:17226261:1 gene:Et_1A_008881 transcript:Et_1A_008881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFGCMYLSKQNNTPAAADDDAVVTADDVPEMTLGELHAATRSFSDATRIGEGCYATVYRATLRGGLTVAAKKLGSPSPDRWKASDTSFLLQQASAASRLRHDNLVHLLGYHIADDLRVLLHEFAAGGTLHDVLHGQIIKGN >Et_3A_026264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6115582:6122006:1 gene:Et_3A_026264 transcript:Et_3A_026264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPLLLLLLAGAAARASDDPFLSGAAANHSYNIDCGGAANFTSTFDRPWVADTFFSAGGAAGMVAEPHRFPQPQERTLRFFPPSSAGKKSCYSLPLPPGRYYLRLFSVYDNYDSKTRSPSFDVSAAATLVLSFRSPWPEPAARYGAYSDLIFPSATSPTSDVCFYSLATDAPVVASIEVAPVHPLAYDGATTGAEIVLVNYGRFTCGNSLFGPGFTKDPDAFSRVWQADVDFRNNDLSYDAITAGGKKIFGSNQPPNYFPTKLYESAVTTGGDASNEIEYLMPVDTRLSYMVWLHFAEIDAGIGKAGQRVFDVMLDGNNVTRIDIFKQVGGFTAFKWTYIVENLTNSIMSVKLVPVVGRPILCGLENYAMVPLEMRTVPSQVAAMKALKESLKIPARMGWNGDPCAPRAWDAWEGVTCHRGDKGLVITQLDLASQGLKGYIADEISDLKDLVSLNFSYNSLTGSLPPGLGQPSLVSLDLSSNEFTGSIPDTIGSSKLQTALLNNNQLDGQVPEILYSIGVHGGVIDLSGNKGLCGVPTLPACAVFWEKGGLNKTGKIALGASFGFLLLVILIVVYILCIRRGPYDYDFEFPQDLTSISAISAKRNRYQRAKSVMLAEMEAHNPDGFYTNGSGH >Et_10B_004142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15474855:15480074:-1 gene:Et_10B_004142 transcript:Et_10B_004142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPWLVFLLCVLVQSIVISADTDAGDAAGLSGIADSWNKRPSNWKGIDPCGDKWTGVACTGNRIISIRLSSFGLSGTLSGDIQSLSELQTLDLSYNTDLGGPVPTSIGKLSKLENLILVGCSFSGEIPKELGQLSKLRFLSLNSNRFSGTIPASLGSLSKLYWFDLADNNLSGELPVFDGINPGLDNLTNTLHFHFGINQLSGTIPSQIFNSNMKLIHLLLDNNNFSGSIPSTLGLLSPLEVLRFDNNHQLTGPVPSNINNLTKLAELHMENNNLTGPLPDLTGMTALSFVGMSNNSFNASNVPAWFTTLPSLTSLYLENLRVVGELPQALFSLPAIQTLRLRGNKFNGTLNIGADFSTQLQLIDLRDNLITQYTTGGSRYNNKLLLTGNPICTPGSPDKYCAPSGQSNEGTPPPYSTPKKCAGLPPPCLAEQLLSPNCLCAVPYRGTLFFRSPSFSDLTNSSYYNDLEKGMRDKFVSYNLPVDSISIHDPFVDVNNNLQMALEVFPSGKVQFGEQDISDIGFMLSNQTYKPSKVFGPYYFIGQHYNFANEMLSTSKSKTNRMPLIIGVAVGGAVLAAIVLGLVIFIARRKRTPNKNEERSQSFVSWDMKSTSTSVPQLRGARTFTFEELKKITNNFSEANDIGNGGYGKVYRGTLPSGQLVAVKRSQQGSLQGSLEFRTEIELLSRVHHKNVVSLVGFCLDQGEQMLVYEYVPNGTLKESLTGKSGVRLDWRRRLRVVLGAAKGIAYLHELADPPIVHRDIKSSNVLLDERLNAKVADFGLSKPLGDDGRGHVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLEVVTARKPLERGRYIVREVKAALDRSKDLYGLHEVLDPVLGASPSGLAGLEQYVDLALRCVEEAGADRPSMGEVVGEIERMLKMAGAGAGVSGESASNSMSYATRTPRHPYGGDSPFDYSTDGLPSARVEPK >Et_1B_009898.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9752546:9753727:-1 gene:Et_1B_009898 transcript:Et_1B_009898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDQMLLNKLEGRAGAFRSAAAGPSTTRPPAADQQDGLQDQEEETPDARCRRKAMLRAQDGVLRHMLKMMEACNARGFVYGVIDEAGEPMSGSSDSLRGWWKDNVSFDRSGPMALIAGPAGRDSPLGLASCLHRLQDIQDSTLGSVLSALIQHCEPPQRSFPLERGLAPPWWPTGTEAWWGAQGEVPAHQGVPPYRKPHDLKKAWKISLLSAVIKHMSPRFDQMRKLVWQSKRLQQRMSARETETWSKILRQEEVLSRRLKTSLQITPLDDDDDDGEDDVVERTQDKRKRELISCSSSSSRDRGSGGELAVVLPPELARLAAADDDSPVDELVKLYYSCMPPEHAGVGIGGDDDVPAGLPPWDMFDEVPPDVLFDLIGSCTGVDDVLRPMLD >Et_1A_004531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13113602:13114102:1 gene:Et_1A_004531 transcript:Et_1A_004531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPTQVVEVFVRVTGGEETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHTGNISLDDVMEIARTMRPRSMAKEFAGTVKEILGTCVSVGCTVDGKDPKDLQQEIDDGEVEIPSA >Et_1A_007605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36376954:36377415:1 gene:Et_1A_007605 transcript:Et_1A_007605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSCAVSELLAISINVKVDMLKIDRGTCPDKLFIVRINICREGMLLRLSGILPTKLFIARDKRVREERLPSETGAAVAVTGGMEPTKLLLWRVEVLKSDGGISPVRVFCMSLSTCSDELFAKNSGIPPVN >Et_2B_019262.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20685241:20685357:1 gene:Et_2B_019262 transcript:Et_2B_019262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLMRRHAPVMLLLTREVLKTHDSAIASQPPSAASMR >Et_9A_062397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22483756:22490092:1 gene:Et_9A_062397 transcript:Et_9A_062397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGHLLVALVLLALAASSAAASGGRNRHRAAKAKTRASRARLGLVRAAPDASLADRAIDDRHRHAYISAKLRGSAAAAAAEVGSSAFAMPLTSGAYTGTGQYFVRFRVGTPAQPFVLVADTGSDLTWVKCRGGAGKAAGEGGERVFRPADSKTWAPIPCSSDTCTSYVPFSLANCSSAASPCAYDYSQNRTPLSRCEGQMQAQMRPKVEVCTRVLKCSSFATGHQPMHELTLPNGRVIRYKDGSAARGVVGTDSATIALSGGGGADSSSISSSRRAKLQDVVLGCTASYDGQSFQSSDGVLSLGNSNISFASRAAARFGGRFSYCLVDHLAPRNATSYLTFGPGGLEDVASAPPAQTRLLLDRRMSPFYAVAVDGVHVDGVQLDIPSDVWDVDRNGGAILDSGTSLTILATPAYKAVVAALSARFAGVPMVSMDPFEYCYNWTGAGEPEIPKLEVEFAGSARLQPPAKSYVIDAAPGVKCIGVQEGAWPGVSVIGNILQQEHLSTSGTATAAVGLNQQHGASAPVDLVPAAPGASLAERARDDRHRHALITSKLASRGGGPRRAAAEVATSSSFSTPLSSGAYSGTGQFLARFQVGTPAQQFLLVADTGSDLTWVKCRGPGGASPPGNVFRPDDSKSWDTIPCSSDTCKLDVPFSLANCSAPASPCAYDYQYKETSASARGVVGTDTATITVSGGAKHTLGGVVLGCTTTNVGRSFAAADGVLSLGNGNISFATRAAARFGGRFSYCLVDHLAPRDVAGYLAFGPTPTAAGRATPRPRAQTPLLLDPAVPFYTVTVQAVRVAGAALAIPADVWDVKKNGGVILDSGTTLTVLASPAYKAVVAAINKSLAGVPRISFEPFEYCYNWTARRPGAPEIPKLSVQFAGSALFEPPAKSYVIDAAPGVKGIGVQDGGWPGVSVFGNIMQQEHLWEFDLKNRFVRFMPSTCTK >Et_6A_045804.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:13854293:13854852:1 gene:Et_6A_045804 transcript:Et_6A_045804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFQGSLPAFRRAVASCLREVGYDAAVCQTRWRGNQDVSAGNYEYIDVVTMASVKATATSERWSSLPGPSHSSCCLSEGGATIGEGGCQGSTAIAEWKKRFMAAKWLGPHHRTPDMAMGPRVPSVAAGEAICRTVGFASAPSYPPCLCYALR >Et_9B_065698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9246008:9246849:-1 gene:Et_9B_065698 transcript:Et_9B_065698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCPSLLTRRILFWRQDAELIQCMWVCPWMTGILAMPVDASMNDWHGWHAYKLAIQMNEHEQGQDASGSHNSNNDDNQLFAWDKLWSLDLEQHQVKLKECTSAREVFEYTWNMNQEVQLKCFVLPWKWWGARNKENANEWMPEVNEICRTVFTTQRELEGIYIC >Et_1A_008851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1674911:1678077:-1 gene:Et_1A_008851 transcript:Et_1A_008851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPAAAVIPKPDGGDESVEIREVWADNLEEEFALIRDVVDEFPFVAMDTEFPGIVCRPVGAFRSPADYNYATLKANVDMLHLIQLGLTFSGPRGELPALGAGRRRCVWQFNFREFDDARDIFASDSIELLRRSGIDFRRNTERGVDARRFAELLMSSGVVLNDSVYWVTFHAGYDFGYLLKILTCSSLPDTQAGFFKLMKIYFPTVYDIKHLMKFCNSLHGGLNKLAELLDVERVGESHQAGSDSLVTSCAFWKLRDSFFAGSTEKYAGVLYGLNAENVRNSSVIDLSQMVNCE >Et_9B_064470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15442254:15444915:-1 gene:Et_9B_064470 transcript:Et_9B_064470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASWIRPPRAALLLLLLLAANLSLSLAANFEGFDSDELPSAAAGVDPDDDDEGIDVDLPPPPPISLYTSAPSPPVTTSTPNPNPATPTPPNPTPALDLWDEDEFEGIPVPEAVSPDVSSEPAEAGPSDPSAEAAAEAAPAAPRTPRELLRAFYIEIACVSFLICFVLNYFTGKKQNEAIALAWATKFATRDTIFDKNFSLLGTGDGKDTPLLLKEGQDVFKFYASGRRFCQGMLATMEMRARHDLLSKLVELVFPRKDTITFEVVMNDEAMDHVVLAVARKKAAKTMQKEERDLQRFAGVLTSAPAGRKWVAEELAVVAESKEVAGDMITEAVLDQVLGDKAFEKLGKWFISLHFSDQVPGSYKKVLMFKFVLPDANNMSEMTRLVSLIPYYIDLVGRYKLSSHARSKTETARTKAAQEAFKELQGARQEALQKKKAEKKKLLEEAEAKLSAEALRKKEEKERARQMKKSGPKVKMLRS >Et_8B_058782.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:4503558:4503770:1 gene:Et_8B_058782 transcript:Et_8B_058782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITQFHLQECWRPFVGHLFSICLLSVCVCRHLYESSYMFEIEFCSYMLSALSYNLFVLQSACNLRMYSATY >Et_3A_025861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33426007:33427725:-1 gene:Et_3A_025861 transcript:Et_3A_025861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWHSLAAAGTLLAALAAALALTAAGLRSPTEHHGRPSADARRPQLRIPSGGSFKVAIFADLHYGENAWTDWGPEQDAGSDRVIAAVLDAEKPDFVVYLGDLVTANNLPIPNASMYWDRAISPTRSRGIPWATVFGNHDDMPFEWPPEWFSPAGVPPLHCPPASTSASGEDQGCSFRGTPRIDLMTAEIGKNRLSYSSNGPKDLWPGVSNYVLQVISKTQDDPALLLYFLDSGGGSYPEVISSAQVSWFQSQSRFFNPNGRIPELIFWHIPSTSYVKVAPKAKSEIRKPCVGSINKEDVAPQEAEWGMMDALAKRPSVKAIFVGHNHGLDWCCPYEKLWLCFARHTGYGGYGSWAKGARIIEMTENPFSIVSWIRMENGTKHSDVTLSS >Et_10A_001299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23071931:23075470:-1 gene:Et_10A_001299 transcript:Et_10A_001299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASHRQHKRCRFSPAPAPPPLDSLADELLFLVLDRVAAADPRALKSFALASRACHAAESRHRRVLRPLRAELTPAALARYPSATSLDLSLCARVTDAALAAVSAASPSNLRAVNLSRSSGFGAAGLAALAKACPDLVDLDLSNGVDLGDVAAAEVGRMRRLQRLSLSRCKPLTDMGLGCVAVGCPDLRELSLKWCLGLTDLGLKLLAHKCKKLRTLDLSYVMISKNSFPAIMKLPNLEVLTLVGCTGIDDDTLGSIEKDCNKTLQVLDISHCQNATDAGVSSIVKSIPNLSELNLSYCCPLSLSKCSGVTDAELSFVASRLKNLLKLDITCCRNITDVSLASITSSCASLTSLRMESCAHVSSEGFRLIGKHCCHLEELDLTDNDLDDEGLKAIARCSKLSSLKVGICLKISDEGLTHIGKACPELRDIDLYRCGGISDDGITQLAQGCPKLESINLSYCTDITDRSLMALSKCGKLNTLEIRGCPRVSSCGISEVAMGCRLLSKLDIKKCFEINDVGMLYISQFSHSLRQINLSYCSVTDIGLLSLSSICGLQNMTIIHLAGITPNGLIAALMVCGGLTKVKLNAAFKSMMPPHMLRNVEARGCVFQWINKPYKLVWCDADVLSKESCILDPITLFVH >Et_1A_008408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7442075:7442635:1 gene:Et_1A_008408 transcript:Et_1A_008408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLSSPLALKGQLKLNTTTAIFFVVFLLTLNNASCEARHLRIRGKHYSSKLPSLPPKDVIKVDATKDNMMKLSTVDRVWSNFDASMSNEVAAVEMKMKAMASSGGVRNTRHAIRVLRQLLTHHEHAGGDQEIHLDYAQPRTHTPHHN >Et_3A_024422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20615105:20615860:-1 gene:Et_3A_024422 transcript:Et_3A_024422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVLTTKPSARRCFLPNSTMRGYTGLLRSWIGSRRSAPRSGTHPRRRTGSGRSRYSQVVVLSSSGTWSITDSAVRFVAGTPSSLATSSAHGERRSATNAATPGGDSSRERRRSRRCGSARFTTVKMRVSTRASAGRSSSGTSGSTAVETDGGREASVEECSAEAKLVTSVTVKPPCETTRRASSATGTTWPAPGLAISTTCVPGLPGAGAASVPMEVGRSAECVRCRSSLSVVCSRRHYYVS >Et_3A_027122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33649926:33650762:-1 gene:Et_3A_027122 transcript:Et_3A_027122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLGGGAAWERSAAALGSSSRPRVAVPLLVLLLAVAVLLLLRLHAGPRNQCPYPNPVLGNFHRFLDWSTDLLAAGSYCTVEVRGLLGLGNGVATADPIARQFPQLRHGRALRRPLHGPPLGRGMFLADQRKLASYSLSSSEPTCTVASCRSLRTTPASPSSTCRTCSSASDSTTSATSRTG >Et_4A_035059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9149864:9153060:1 gene:Et_4A_035059 transcript:Et_4A_035059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPRFPPNSNSIQPDDSLQEANSSRFQRRELGRSKQNLFEPAPRAGMGIHLRYLLVASLVLCSIAGLAAKTLDPYKVLGVDKNASQRDIQKAFHKLSLKYHPDKNKGKGAQEKFEEINNAYEILSDEEKRKNYDLYGDEKGNPGFDGGNFGNREGYTYFTGGGPKNSYFTSGDGWQTMGGPGNSKTFSFSFGGNPGASGGSPFGFDLGDVFSNFFGGGSMGGSHHVGSAGSARTNTGTSGQHSSAAKIHDVTTQVFNKEIADQGITWLLLFYSPQSKGQFVLESVMQDVANSLDGAVRAGKVNCDKEKTLCKKLGVSLGKSARLFIYSYSTTEKGSLHEYSGEHDAKSLKTFCQEHLPRFSKRVDISQFSFHTNVLPNLPQVLLLSTKKDTPAMWRAVSGMFRNRLIFYDAEVHDISLPLLKRLGVKNLPALIARTVNGDELLLKDGISVKDLRSGIRELKTLLDSFEKKNKKLASNQAKKPSQTSQQEENKVPLLTASNFEEICGEKTSVCIIGVFGSNKAKGQLEAVLSEISKKTLVRGQNSGNAISYALLDGNKQSSFLSSFDKPAFKPFDKLLIAYKPRRGRFAVYKGEVTLEEAEIFVGSVLNGDVQLSATKQKPVLR >Et_5A_040590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10042851:10050528:1 gene:Et_5A_040590 transcript:Et_5A_040590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GIKGTPVIRNRKPSSKVIFRQNHEVVQRILTFDLLLCLYCGMKDPKVEIKFMTYNVWRREDVFLYKRMKGIGDLVEKHKPDVIFFQFRGSSLIPCPWNSQEVTQNIRDMFESFAWWKEYHCAHVRPDEPLLILSKLPLAFFQSESLVLSSPSRDRSYLMAEFNPSPANMLPPLRIATAQLQRPNPPASMHFRDRYKQAQYVVADLSGFDNAVFGGDMSWDDDTDRPFPLPAGWIDAWTELRGHYDAWTYDAVWDVDATKFNGYVATYDSMRKRSDRFVCKLKDYKLSSIEKIGGQFIGPQYNVKPDTPPQMFSSQNDGRAIQLAPSCHRGLVLTIVPKEPPRLNIPEISSCETHVDKSLQEPKKEIKFMTYNVWSREDVAVYKRMQAIGGLVEKHDPDVIFFQEVTPYIRSIFESSAWSTIASCPVHLEQQSKPQQQENFCMLLSKRPLENFACRKFDNFDNSSTGRGYLEADINPDPAATKTKTIHVATAQLEPPSPPASMHFMERHAQALGELSSGANVVFGGDMSWGADADGPFPLEASWCDAWTRLRKLRDSDLQWHAGAFNGHVAPDSSLKKRSDRFFCRLKDYRLRSIELIGGNNVGLTYSRRKYYYEDYNDRYIDLKPSCHRGLEPKSEIKFMTYNVWAREDVVVYKRMQAIGRLVEKHDPDVIFFQEVTPHIRTIFEGSTWWKEYHSCPLNEEQSKQQQANFCLLFDYSPTGRGYLEADINPDSATMKPIHIATTQLEPPAPPGSTHFKERHAQAKHTLKALSSAANVVFGGDMSWGAETDGPFPLEAGWCDAWTRLRKLCDSEDWTYDGIWNEEAGEFNGSVAQQNLLKKRSDQFLCKLEDYRVRSIELIKAEERLGYYKSKYYSEDCDNACIGLSLRPSCHRGLVLTIAPI >Et_7B_054267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18827147:18831483:-1 gene:Et_7B_054267 transcript:Et_7B_054267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAISTASLLRPLSQGRSRRSRNSRTQRLVVRAEAKDIAFDQRSRAALQAGVEKLANAVGVTLGPRGRNVVLDEYGAPKVVNDGVTIARAIELYDPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSLKKGIDKTVQGIIEELEKKARPVKGGGDIKAVASISAGNDESIGSMIAEAIDKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNLEKSIVEFENAKVLITDQKITTIKEIIPLLEKTTQLRAPLFIIAEDITGEALATLVVNKLRGILNVAAIKAPSFGERRKAVLQDIAIVTGAEFLAKDLGLLVENATVDQLGTARKVTIHQTTTTLIADAASKDEIQARVAQLKKELAETDSVYDSEKLAERIAKLSGGVAVIKVGAATETELEDRQLRIEDAKNATFAAIEEGIVPGGGTAYVHLSTLVPAIKEKIEDPEERLGHPSANRIFSARRPATKKWGAEL >Et_9A_060977.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:23499962:23500336:1 gene:Et_9A_060977 transcript:Et_9A_060977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCKLLLIRVNYTFFFHFQENGSNSDSNETTDRGGNLGRAGVEDTSTATASAVSAVLGDDNLLHKILLRIGFPNCLVHASLVCKRWHALASSPAFLRHFHEFHTPRVLGFYVHLDRLGGRVRN >Et_10B_004360.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4821735:4822142:1 gene:Et_10B_004360 transcript:Et_10B_004360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVSLVASLASLAVAYGQLWRRPEDLALASAAFATTFLLVHYAAVAVSGESSSLQSGEAGGGDAERAHGKRLALAMALVLYGLACAEVWGAAASREVAVAALASWCGAAVLLLVYLVASDGCHRGDGVDHNVP >Et_5B_044900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7125488:7126161:1 gene:Et_5B_044900 transcript:Et_5B_044900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRKPFLKAPFNQMIRIRPPPLLSNVAVLAAVLLVLIHPHFGATRADAAALGPTLSPGQLLGANGAFVLGFFKLRAGDPGRR >Et_6A_047059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24697462:24705114:1 gene:Et_6A_047059 transcript:Et_6A_047059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKKSRRNGGAAVPPAVDVDEHPPPAADGGEEEQESGEEVSPRAAAEAPNGDEAPPPPPPPATNGVEPTADAGNEAAVLKNLNTMLARTASEERERAAALAARLDEVTADAEALAAEERGVLLSALAAPLRAAEEDRAAVLDRLAAAEEALRRSEAEAAREAREREDAAARLDAAVAENAVVSERLVAMENEAAAAAARVAELEAAVAELKGKGAELEAARGELLEELEEAKAAALAVQSQKAEVEESFEEFKRNAEEREEAAEEAHDAEKKRMEDELDVLNQNLGKIQAEKDDAVSLVSEKQAEAAKLIDKLAELRNSVDQLHARCDELEEKTVSLQGEKDSVLEALNKEKAEADKLTVTIEELEGSNSEKDREIDRVLKLKEAAEAAHGAQKEEMEAELASLKENLGKIQAEKDDAVSMVSETEAEATKLKGELAELHRSIAELNARCDELEVNSSSLQSERDSVLQELNQKKAEAEKLMATIGELEGLISEKDRELDRVLKLKEAAEAAHDADKEVMGAQLDALKENLNNIQSEKDTVVGMVSEKEAEATKLKGELAELRHSMAELNARCGELESNSSSLQSEKDSVLEALNQKKAEAEKLMAKIGEFEGLISEKDREFVSVLKLKEAAEAAHDADKEVMEAQLDALKQNLNKIQAEKDTAVGMVREKEAEATKLLDEVAELRRSMAVLHARCGELEVNSSSLQDEKDLVLKTLDQEKSEADKLRQKIGELEGSNSEKDREIGALKSAVEDKRGQIDGLKEKVQGLQLVVSEAQQKSKNDGWKWLGPATTTVLAAASFAYAARSRYPLLYSKQNEFDTLFSTGSKPYTPVSSTSGFRTQNNSLDGKEEQNLYVNFFDKCLIDFAWTVLLWQNNSILSQASCIKLTGTPKNILTQFFNPMTEPGKTRGKSSPLGSQKANQPRRHPGTMNLFDRAPILITGAMCATEAIGTNLLPPKTICAYTSSAITGIPKIDSAAGIVWVDDNNGSCGAVSQGAHASEVGFPASPRQEVVEPRLGAGDLASCFIWREAWPWQKNVSPRSTAENYGDGSDGARAARRQEHSNAPDLAASATASAANSPPCRKQAWEDASPTPSEMRLLPLPALASSSPTTPLMDVFPSTSAGWHVTGVSAALLHTTPPPTWPLALQLCSSGRAG >Et_3A_025835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33276785:33278227:1 gene:Et_3A_025835 transcript:Et_3A_025835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKLEGNLPVYGFHDPASFVSSIQKPRVIIMLVKAGAPVDQTIATLAAHLEQGDCIIDGGNEWYENTERREKAMEERGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNSELQQVFSEWNKGELLSFLIEITADIFGIKDEQGDGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKEERVEASKIFQGDYSTGLPVDKAQLIEDVRQALYASKICSYAQGMNIIKAKSSEKGWGLNLGELARIWKGGCIIRAIFLDRIKKAYDRNPNLANLLVDPEFAQEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDIPGSYHTEWFKIARNSKI >Et_4A_033411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22483331:22485665:1 gene:Et_4A_033411 transcript:Et_4A_033411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEFSSAAAVAAMELGEVMGLQGLSVTVPSPKDGDIGLLRRGGFNQGAAAASYPSPFLDEQKMLRFSKAAAAAQTLPSGLDLGRPNEQAFLLSRTKRPFTPSQWMELEHQALIYKYLNAKAPIPSSLLISISKSFRSSNRVSWRPIYQGYTNAESDPEPGRCRRTDGKKWRCSKEAMADHKYCERHINRNRHRSRKPVENQPRKTSKEAPPAGSLSCAVSQGSLKKAKVNDLKPGTVSYWTDSLNMTMLSREKANKQTEGNTPLMDSMSMQPTLSLLTQLKQQNKPDKFSVTVDSESTSSDTTLKAWGSSNHESNKNISSTQLHDADCLQSVLQNFSLANNETMESRKNKYPDSMLISSTFYSAEGPRTTCLSPNMTQVQEDCISSSWEMPQGGPLGEILTNSKNNEDLAKKGESRSYGWLLNLGHAT >Et_9B_066126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4392133:4393244:-1 gene:Et_9B_066126 transcript:Et_9B_066126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPEQQPRSSSKRDAGTSVSASQEDRRSRLAGGFSQRRFGGDGSLFRDDKRFSPTGSNPLHNFSTI >Et_4A_034697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5525430:5529719:1 gene:Et_4A_034697 transcript:Et_4A_034697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVWAPSIIAGIETLPHLLLEPGQLILSPSSFSSSTSPFLFLFASLHLSPQLFGLPLRPLASPELSTPSPPGPSTNQASMDLVAPSEHLCYVRCTFCNTVLAVGVPCKRLMDTVTVKCGHCNNLSYLSLRPPMMQPPSPTDHPLGPFQGPCNDCRRNQPPPLASPTSSDLSARVPFVVKPPEKKHRLPSAYNRFMREEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSTAVSTATSNNAPEPRVVSGPQMLLQERAKEQVVESFDIFKQIDQRSI >Et_3B_028595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17169356:17175249:1 gene:Et_3B_028595 transcript:Et_3B_028595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRVLTQIARNRTTNAFYNELIASSPMGTLRSDITAGFRIRNLQERYQSSYIGSLARRMRDLDAPSETSLLKEIYRSDPERVIQIFESQPSLHSNAAALSEYVKALVKVDRLDESTLLKTLQRGVASSTRQEESFSSIPALQSAGQVTKDGVLGTANAPIHMVTAETGQFKDQLWRTFRSIALTFLLISGIGALIEDRGISKGLGLNEEVQPSMESSTKFSDVKGVDEAKSELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKGDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMNDLEYAKDRIMMGSERKSAVISDECRKLTAYHEGGHALVAIHTEGAHPVHKATIVPRGMALGMVAQLPDKDETSVSRKQMLARLDVCMGGRVAEELIFGDSEVTSGASSDFQQATAMARAMVTKYGMSQQVGLVSYNYEDDGKSMSSETRLLIEQEVKNFLEKAYNNAKTILTKHNKELHRFNNGREVVVGKAKRFGHLVQLVCGCYCGNGLGHRFCQGQCKSQVLH >Et_9A_063272.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:18481421:18481774:-1 gene:Et_9A_063272 transcript:Et_9A_063272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRFAGDGYLKPFVTAEPEVTVTERADDDECLILASDGLWDVVTNETACQVVRACFRSNGPPASPDGAAAAVKGADVKAGSDRACSDAALLLTKLALARRSSDNVSVVVVDLRRGS >Et_5A_041637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25318700:25332455:1 gene:Et_5A_041637 transcript:Et_5A_041637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLPLLAILLLLPATAAAAMDPNCPTKCGDVDIPYPFGIGAGCYRSKGYEIFCINNSAVLRSETHTIRVTSLSVAPAPEAKVNFPVAYRCYNSTGGDVGFFNGHVDVNAHGVYRISDSRNVFVVLGCNTGAYTMNSNSSGVGGRTGRYDQLIFMGCFTYCAVAGSARDGRCDSVGCCRVDIAPGLTDNVVKFQTYPHDGMEYSPCDIAFLVDRDGYEFRAADLLMDVRRRSMPVWLDWAIRDGAASCAAVAAGNSTGYACVSDNSECADAVSGPGYFCRCKQGFEGNPYLQEGCTNINECRRPQVYPCYGVCKDTEGSYECKCRSGYTTNGGDPKKQQCTPKFPLQAQLALGIPLGLSLLAVALLFTLMICHKRRMNELFKKNGGSVLENVDTIRIFTREELRKITKNNAHVLGKGGSGHVYKGVLEGGTFVAVKAAIEVNEARKEDFTNEVIVQSQMIHKNIIKLLGCCLEVDIPMLVYEFAPNGNLYEVLHDNRQRFLSLDLRLDIAAESAEGLKYMHMSTNNTIRHGDLKSANILLDENLMPKISDFGTSKLLSTGEEFTMLVVGSMGYIDPVFNQTGLLTQKSDVYSFGVVLLEIICRRPTIYGEHCSLVIEFQRVYKKDNSGRAMFDEDIAVTEDDVLVLDEIGRLAIECLEEEVEERPDMKEVAERLVMLRRARKHGKWSYGSPHHHSEKDNVGGAVMSFATDVKRTSSTMPLIPATMKHSDQFHPPSAATCFILSVAPAPEAKVNFPVAYRCYDSAGGVVGRFDGHVDVNAHGVYRISDARNVFVVLGCNTGAYTMNSNSSGGGRYDHRYYMGCFTYCDGAGSATDGRCASVGCCRVDIAPGLTDNVVVFDDWPHDGMEYSPCDIAFLVDRRSYKFRAADLRMDVRRRSMPVWLDWAIRDGGAASCADAAGNRTGYACVSDHSECVDAVNGPGYFCRCKEGFEGNPYLQRGCTNINECTHPEVYPCYGVCKDTEGSYQCKCRSGYTTNGGDPKKQLCTPKFPLQAQLALGIPLGVSLLAVALLFTLMVRHKRRMKELFKRNGGSVLENVDTIRIFTKEELRKITKNNAHVLGKGGSGHVYKGVLECGTLVAVKAAIEVNEARKEDFTNEVIVQSQMIHKNIIKLLGCCLEVDIPMLVYEFAPNGNLYEVLHGNRQRFLSLDLRLDIAAEFAEGLKYMHMSTNNTIRHGDLKSANILLDKNLMPKISDFGTSKLLSRGEEFTMLVVGSMGYIDPVFNQTGLLTQKSNVYSFGVVLLELICRRPTIYGEHCSLVIEFQRVYKKDNSGRAMFDEDIAVTEDNLLVLDEIGKLAIECLEEEVEERPDMKEVAEQLVMLRRARKHGQWRSYGSPHHHSEKDNVAGAPMSFATNINRTSSTMPLIPSTRKHSHRFNPPSAATCFM >Et_3A_026487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8594067:8596180:-1 gene:Et_3A_026487 transcript:Et_3A_026487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRDNEFNVLDITANPTNMDQLCHCQECLGKYTLLRDEENPRLAIFERRLPCCGCGIGWSSFLLGFLCPLIWYFPAILYCCKYYNRDPRERPGLAASAIAALIFTVLAIIALTVTLIISAHK >Et_3A_023418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26453143:26454015:-1 gene:Et_3A_023418 transcript:Et_3A_023418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGDDSQRQRAADEAEQAAARNHHHHAGAVAASRQQQWSAQSTESRIVRVSRVFGGKDRHSKVKTVKGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAARHEIDKLPPLQFPPQDLMSAMPLMHDDKFGHHIAALAAAGEGANSKANADADDHLGRFHGGGYHRFMGLNNSLGMANAAAMAAYSNYAAGEEAWNNSSNSLAPGPHQLVFYSSEADQQQFQVGNNNLGSHSLSLSSSARAFHDQTGGS >Et_3A_024226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18688922:18689610:1 gene:Et_3A_024226 transcript:Et_3A_024226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMKTLKTVILAMLEAKALYASKHKGKRCLFIDCWLIVRHTEKFAALPGLKKSKRSSNSTNLNLNIPAGSEGDDTEHAQGSSSKKPRPPGRKQSKEKLKRGEGDDDEYKDMMKSLIDLKAKEMKRREEVDKRKIELEEHRLEWKGEDHVLRCKQTDESTRAYVMARRVEMARMAALRVSLGESGSESGGSV >Et_2A_016269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22849486:22858560:1 gene:Et_2A_016269 transcript:Et_2A_016269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPAAFSLRSLLLASLLLLLCMSPLTPSLAQQQQPSPQPQPTPPPSFAFGWLGDKAPATFQAGETATIMIKALDLAPPPGAIRPSSFYFSLSVDGKKGNGTVVTDVAAHVDGGDPSSWNITFVPLRAGDFVALVAEERFAVGGESSLQFTVAAAGVHPATSAVSWISGGEQVAGARSHLAVVARDAFGNAIPRGSDVPLDGFFAVSGSYVNGSSVELIDFHYNGWTDDGRLSFDFGGYTLAGDILVHVRGNNTEFRGSPLRLTVKPGQMDVSKCDCYWKHGTNHFQVFSKVEIFLYQRDTFGNIFPEIHPFDARVDRVGENATKYYYPVTDLSMEAVADGVQLLTFDVPTGEYELTVFDAKSNQTVANKAYKFDVFLGYCNGSNSFANGSGLAHSVAGSTSSFTVFLEDEYGIPSPVETAIVQIIAENATVQASQFNVSYTPQIAGEYEILVLCGNILLNGGNPYAMTVLPGAINASLSNVLIFDPRVKRSVQNQVIVRLADSFMNPVVSLESKLKFQLTSATAGDSTNKKNFVPGEFVDNRDGSYTARYVANYLGSFGVCVQFEDKQLAPCPFEVHVLEDKYFPDAKNVSVSVWEDESVSFDVLSNNYIAGRKVNSSSPLHGSVLQFSQIFRYTPFEGFFGNDSFSYTVSDENNSVLTGTVFISVRCRPPQFISLPQKLHVTEDILGPKFDGFPGIKLVYSDITENISVTVKAQSGNVFLAPMPEKLQQPSDYELSTSRGSNNDLILEGTVEAVNDALQYLQYIGNEDFYGNDVILLYAANRNGIEDAKFPIIVEPINDPPMILAPKSIFLGGNESIKGYKIFDNNVDNFKFSIVEPDLRNYPGNKSDFLLELSLEVFEGTLTTTLPAGIISNVELKTEGINSWLPFQELTIDLSGSGIRFLGNVQECNNVMQNLFYSGSSHDTSLTITVNDLGNYGCYPDCSGMMSASLFAVSTIHLVKTKHINLGTPLWLRTVVAIQIPIMLCLCGGLMYYVLKCMKALKDERKEGMDDTRRPEQTPLRQRTCSSDAQSEIAECRSAPAAVLPLRANTLSFRQRSLRSGKQELELQPVSVTRNSENHDGHLITEKDKMLNAMNKAYS >Et_2B_021114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26588618:26592531:1 gene:Et_2B_021114 transcript:Et_2B_021114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPGHCRTSWVQAAFLLALCCSRGGGATPASPSPKVPAVIVFGDSTVDTGNNNAINTMLKSNFPPYGRDMAGGEATGRFCNGRLPPDFISEALGLPPLVPAYLDPAYGIQDFARGVCFASAGTGLDNATAGVLSVIPLWKEVEYFKEYQRRLRRHVGRAAARRIVSDALYIMSIGTNDFLENYFLFVTGRFAQYTVAEFEDFLVAQAEWFLGEIHRLGARRITFAGLSPIGCLPLERTLNTLRGGCIEEYNQVARDYNVKVQDMLRRLCASRPGLKVAYVNVYENMFDLIQNPDKLGLENVEEGCCATGKNDRSRMHRYGQVVCDAPHPEDLGEGAPDPELLVHHHRHPLLDAVVVLLQHRHDVAELRPPRRVRRPAPLDQVVQHRRARARQRRAQAAWTGVMPWNGRRQVGFSLVISGAIHRYVPLFPLLAWHLVSRQEVPKSATLTRISSLSSRFCDLRSRWITPCACRYSIPREMSSASFRIVSGDSE >Et_3B_028559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16883639:16883997:1 gene:Et_3B_028559 transcript:Et_3B_028559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHKTKEGRVLFVVAIVVMALLLTPCAVKGDEDFSTTYCTKMPGCTADKCRQQCLNNNPKNTLRDTTCWPVSPETPVDCCCKYHD >Et_9B_065164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21760815:21767181:-1 gene:Et_9B_065164 transcript:Et_9B_065164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERRYTEQEEALEINSLRRIIAAYANYQDAAERDVKRYERSFKRLPPAHKELLFHLGLKYQRLRWCISMNAAFIMNMLEAFEPPFDMSRYVDDDGHDYPVNTHEHNHADCAHSNERDDCSTLSITRSNSPLHEQHDSLKEDAKTCESSMETDRKKDEEDHVPGCFKPVVNKLGMSQGTCNSDKDASAAAYSEDCSASCAVENVTPRTASLFKLNVPPIDVDKVRCIVRNIVRDWAQEGQKERDECYKPILEELNRLFPNRSEQRPPSCLVPGAGLGRLALEISSLGFVSQGNEFSYYMLICSSFILNHTQEANEWTIYPWIHSNCNSLSDNDQLRPVKFPDIHPSSAGITEGFSMCAGDFVEVYNEESQESAWDAVVTCFFLDTAHNIVEYIEIISKVLNDGGVWINLGPLLYHFADSYGPDYDMSIELSLEDVKKVAYHYGFVMEVENMIETTYTANMRAMMQV >Et_2B_019518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:69307:71073:1 gene:Et_2B_019518 transcript:Et_2B_019518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRFALVLIVVALLLIAGELQCARASAADAPATEPQEPPPADDPEPPADEQSPPQAPGPAAPPPRRRHGRAPPPPPEQQGQDPAPPRLVVPPQEPGGTAPGAGRAGGGGGIGGGVINRTLGCSTLLVFGDSTVDPGNNNHLQTTARANFLPYGLSFFGRRPTGRFTNGRLATDMLAERLGIGRTVPGFLDPNLRLVQLRRGVSFASGGSGYDDNTANRLNAMSFSAQLRNLFRYRLLIRTLLGPRRAERLVNRATFVISTGTNDMLSAYLASNRSSAASTTVYENYLIARVANYTQVMSMLGGRRFVFVGLPPMGCLPIVRTLVGTGSERCDARLNQLALSFNSKLVQLLNILNYQNQIKTSYIDAYAIINDATAEPNKFGLSEVSRGCCGSGVIEIGQTCRGRRTCGDPSKYLYWDAIHPTERANQLVADVMMDSIIQLYA >Et_4B_037829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23880928:23883414:-1 gene:Et_4B_037829 transcript:Et_4B_037829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CALSSQTTEIALRCAAVTSLHPEPAVLILTIGGGFLSPDAINRLRKLQGEPLEQPAHSPKPVRHALIRLNGYIRGTCNLNKDSEDSDSGENPFVIPQSNGAASEGRLAQTPSPRPFKAGFCLFGPADNPVSNIILNTIWYDIAFPQREEFKVDVICNNSIVRVGCGSLSGALAFLHSLFPALTEHEAMLRLLCSNGNLQEVVFGAMQDHGILGSYEGAYRAAAEAAFHPHPEAQAEFAVSTSPTLLPTRRLAVHSPPMSWNSFQDIFQTSLSQLDQCHQLHSWFHPRIRLSKKIRKGSLKINISFAESRKGCLTLVSSDYVALDYILVNIRYELTALSQGTQYELHVICGTNFEVPENGKHGYFNNHNGYPYIHVNFLATPKGSQPDNTASKLFFPGVGVFIASIKEIRKCVQLLELIVAMKQTSRNWLLGNVELATYEGFTLFDKLRIDFVGFLCEDDSVYFDPIVDSEFAQGMNDMVKEEEEALDQKSKKSSSTAVSNRRSCTG >Et_3A_025097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26909974:26912047:1 gene:Et_3A_025097 transcript:Et_3A_025097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPATTAAVTESAELVLPWLPPQDLAAAASACRALRAAASAVTARRAADAARGLEPLPVPFHNAVDSKPYAYFLYTPFSLTGPSVPRAQPWGCSRDGPLEPTWPRPNLDGFPSAVCGCACSAEECGDPECACADEEADAAGSGSEAGMGSLRECGDECACGPSCGNRRTQRGVTVRLRVVRHLQKGWGLHAAEAIGRGLFVCEYAGEFLTTEETWRRQRLYDELASAGKVSPALIVIREHLPSGKACLRVNIDATKVGNVARFINHSCDGGNLRPVLVRSSDSLLPRLCFFAARDIVEGEELTFSYGDARLRQKGLACFCGSSGCFGVLPSEET >Et_7B_054458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21525548:21528029:-1 gene:Et_7B_054458 transcript:Et_7B_054458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIQFVPALSLPLKEPLALNHLVRLFTSQAGSGSGNFQKPFIAFVLGGPGSGKGTQCTKIASNFGFTHLSAGDLLRHEISSGSQKGESILEIIKEGRIVPSEITVELIRKAMEMSNAKRILIDGFPRCEENRIAFERIIGSEPDVVIFFDCPEDEMVKRLLGRNQGRVDDNIETIKKRLEVFESLNIPVVDYYSSKGKVHKINAMGTADEIFEAVRKLFSSLR >Et_7B_055731.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:379252:380514:1 gene:Et_7B_055731 transcript:Et_7B_055731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVPCYFLCPISLQMMRDPVTLPTGITYDRDGIERWLATGGGTCPLTKQAVPADCEPTPNHTLRRLIQAWCELHLPKAAAGGDEIGPKKPPTDRGRVAALVSRITKLAGSSSSNQEQLLAGLRELRDVAAESERNRQLVAAVPGAVDALAAVLVASSNDKAVACGGEALEIISSLQLSEQRLARVVETTNEMLLVVDALVSALQRSSRERAARLLADVTAVMPTNRLASLPEQVFREATQLLRDRPSKAATKAALHVLVGATPWGRNRVKAVDAGAVPALVEMLLDVGTGERRVCELALAALERLCGCAEGRAELVAHAGGVAAVGARALRVSEAATDKAVRVLRSVARHAATPAVLQEMAHTGVVAALCLVATEHQFGDRTRDRARETLRLHARQWSTSPCLHHHLRAIVDRRPPPS >Et_1B_012386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31388765:31391910:1 gene:Et_1B_012386 transcript:Et_1B_012386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATAAMVWFRKGLRVHDNPALDAARRGAGRLYPVFVLDPRYLRPDPAAASPGSARAGVARVRFLLESLSDLDARLRCMGSRLLLLRARDDVADAVCAALKDWNIGKLCFESDTEPYALARDKKVMDFAMASGIEVFTPVSHTLFDPAEIIQKNGGRPPLTYQSFVSIAGEPPEPAMEEYTELPPVGDTGEYELLPVPTVEELGYGDISQEEISPFRGGETEALRRMKESLKNKEWVAKFEKPKGDPSAFLKPATTVLSPYLKFGCLSSRYFYHCIQDVYRSVKNHTKPPVSLAGQLLWRDFFYTVSYGTPNFDRMKGNKICKQIPWSENEELLAAWRDGRTGYPWIDAIMIQLRKWGWMHHLARHSVACFLTRGDMFIHWEKGRDVFERLLIDSDWAINNGNWLWLSCSSFFYQYHRIYSPITFGKKYDPNGKYIRHFIPVLKDMPKEYIYEPWTAPLSVQKKANCIIGKDYPKPVVSHEAASKDCRKRMGEAYASSRLDGNPIKGKPSNALRRKMYHDDTSDSSIAKLLKRSS >Et_9A_063160.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:12907049:12907702:1 gene:Et_9A_063160 transcript:Et_9A_063160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHIAAAASASRRIDWVLVNTHAVAGRLSNGVPASGDTRNSVKIEVSLSLAHPPLPSTLFVHSSDMNPFVPPNIVCMVDDLLLLRVNMGKGPFSLSPDDCDYFIYRAHADHPSLQRLQRPHPFFNDSDVGLLPRPDGQYMVAALIATSTAHEYTLYLFDSAIQKWRCSTVFVKEPQREFPVNIPVQWRSSKLGIGGAGQTQRRKWSCCLVRRRRHR >Et_3A_024223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18606106:18609223:1 gene:Et_3A_024223 transcript:Et_3A_024223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPQISDHELEEIAKMGSAGDPALVEELGEGRGDNPDLHPSDFSGVTARDTDSKAHGDTLGKPWSWCYTPRIGTTPSRDGNSFGLTPTPFRDELRINEEVDMQDSAKLEFRRQAELRKSLRSGFASIPQLKNEYQIVMPPITEDEMEEAEEKIEEDMAEEQARQEALLRKRSKVSQRSLPRPPAASVEVLRQSLIKVDESRNRSTFVPPTSLEQADDLINEELLRLLEHDNAKYPLDEKSQKQKKKGNKRQANGAAFVPDIEDFDERPHYFPTNNGYGLATVARHADKITALQNEFEIGKRRMDYEAKKASRLEQKIKLLTQGYQIQDTFKHTAATELECFQELKKQEQMAASYRVRNLNEEVNKQKALEHTLQSRYGDLLFGDSGISGEVEGKET >Et_9A_061009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7376864:7378630:-1 gene:Et_9A_061009 transcript:Et_9A_061009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEIEEIATGGSWTEEEKSLCSSVLGSDAFTYLSKGGHAISEGLVTTSTLVDLQNKLQNLVEADSQSFRWNYAIFWQLSRTKFGDIVLGWGDGCCREPHDSEMGSAAPIIIDDVPPVTKQRMRKRVLQRLHATFGGADEEDFAPGIDQVTDTEIFFLTSMYFTFPRQVGGPGKVYASGAPLWVPNNDPKISPANYCYRGFLANAAGFKTIVLLPFETGVLELGSMKKVPESVEALETIRSAFSGALSNRTAIIKHDENGSVQASQGLAKIFGNNLNFSQPLASKGVAAPNVEGSSWDPQKSNNGECMLLPNLRKGLQNFTWSQTRGLNSHQQKFSNGILVMASETTHPSNCAARSSFQFPKPQQILTQPPPQPRAPRQIDFSVASSSKSGVLTSQKTMWDEENGNVHGFCKDEREDRQLRKRGRRPTNGRQEPLSHVEAERQRREKLNKRFCALRAVVPNISKVDKASILEDAIAHIADLKKKLTELEAEKEKSQTSRPEVDIQVVQGEILVRVVSQMENHPIKKVLQAFDAAEVKVGESKVTANNGTVAHSFVIKSPGSEQHTRKKLLTTLSSAIS >Et_6B_050165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8134890:8136311:-1 gene:Et_6B_050165 transcript:Et_6B_050165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTTPAPAASNAAAAGDEFDARSGYCAATWSFRSVRPAVPLPPADAPLSFPAFAFSLLPSPLPSHPALLDAATGEAVSFPALLSRTRALAAALRSPSRRVGLRRGDVAFVLAPAGLDVPVLYLALLSCGAVISPANPALTADEVARLVALSGASVAFAVSSTAAKLPAGVRTVLLDSDLFRSFLHHSHDDALDAAAVAVRQSDTAAIQYSSGTTGRVKAAALPHRSFIAMAAGSHALLEKPTKGRERVLLSAPMFHSLGFYFTLRGVAMGQTTVVVTDAVARAGVKGVLAAAERWGVVEMTAAPPVVVGMAKATCSLPALERVICGGAPLSVAAADRFRRRFPNVDLCMEW >Et_2A_018162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15934049:15938708:-1 gene:Et_2A_018162 transcript:Et_2A_018162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPAAGASYQRFPRVRIRELKPDLAKFELRDTDASMANALRRVMIAEVPTVAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSAAAMGMRFSRDCDACDGDGSCEYCSVEFHLSARAHDSDQTLEVTSNDLRSTDPKVCPVDQARVYEQALTGTDPDDPNAAAEQRQVVSGREGILIVKLRRGQELKLRAIARKGIGKDHAKWSPAATVTFMYEPDIHISDKIQESLTLDEKRSWVESSPTKVFDIDPHTHKLVVVDPEAYTYDDEVIKKAEAMGKPGLVEINAKEDSFIFTVETTGAITAYELIMNAITVLRQKLDAVRLQDDDGDLGELGAHLGGS >Et_2A_016317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23212051:23213409:-1 gene:Et_2A_016317 transcript:Et_2A_016317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSASPDSSATSPDGRSSAGYRSYYSSSSIVVRVPGLNETKFDEVLVTDFLKNGDWVQLGDYLLSFLSSNHQGGEYVHPHPGLLLIVYEGQLLDMIEAQEFEAAHALFTGKVEPLLVRDDYNLYRPLDLENRIEKLRNCVKKRMLPPKGELVAVREVMQMALLDYIYLYFPETIR >Et_2B_019672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1241693:1247902:-1 gene:Et_2B_019672 transcript:Et_2B_019672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDFGAPADDPKIFRNVCRDRILKDLLKPDKDKETKSAWKVLIMDKFTVKIMAYACKMAEITDAGISLVEDLFKRREPMPSMDAIYFLQPLKENVIMLLSDMSGRCPLYRKAYIFFSSPIPKELVTYIKNDSSVIPRIGALREMNLEFFTIDMQGFVTDHDTALNDLYGADGLSNTKKFNDTISTMATRIATTFASLKEFPCVRYRAPKGGDPSTTPKFDMVPKWLATAVWDIVSKYKSTIPEFPQKETCELLIVDRPIDQIAPVIHEWTYDSMVHDLLEMDGNKYIYEVSKAGSEPEKKEALLEDHDPIWLELRHAHIADASERLYEKMNNFVAKNKAAQLQSRDGGEISTRDLQKIVQALPEYSDQVEKLTLHIEIAGKINKFIREYGLRDIGQLEQDLVFGDAGAKEVISILRSKQDMSPENKLRLLIIYAIVYPEKFEGDKGEKLMQLAKLPYDNMSVINNLKYLGGSDTKKTSRAGAFSLTFDKQKELIEKLSKGDLPLSEYPSMGEPSSAPQGATQPSSSARQSTTRPSQNPQPMSMRSRRTPTWAKSRNSDDSQSSDSSVLRHASGDFKRLGNRIFVFMIGGATRSELRTVHKLTMKMKREVVLGSSSIDDPPQFISKLKAVGGAKDM >Et_8A_057333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23743510:23745766:-1 gene:Et_8A_057333 transcript:Et_8A_057333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGSENGAGEGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFVSPSVLREARRIIQESEIMKEDDSNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQTSKDPEGLRIFYYLVQDLKCFVFSLINLHFKIKPIQS >Et_2B_020359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19333587:19347851:1 gene:Et_2B_020359 transcript:Et_2B_020359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIQILHTIFFLLLLIFTFSAAQNVTRSRADEFHVGVILDLGSVVGKVARTSISLAVEDFYAAHQNCSRKLVLHIRGSAGNDLQSASAAIELLENHKVQAIIGPQKSSEAVFISNVGNVTQVPIVSFTATSPSLSSDTMPYFVRATLSDSVQVDSITSLIKAYGWREVVPVYDDSDYGRSILPYLIDALQQIDARVPYRSVISMSATSENILQELYKLMTMQTRVFIVHMSSTRASLLFTKAKEAGMMNKGFVWIITNGIANIMDSLSPSAIEAMNGAIGVRVHVPKSQKLDTNFLIRWNKLYQRDNPNELPLNKLSIVGLWGYDTVWALAQAAEKVAVSRNKNKIQQPRKNFTCLESLTVSTNGPELLTEIVRNKFRGLSGNFDLTDRQLQVSALQIINVVGGSWRHIGFWTLKNGLSQELTKTGFRITGSDSILDLNPVIWPGESTEIPRGWEIPASGNKLKVGVHTSANPEFIKASKDPVTNKTRASGLSVDIFEEAIKRLPFAVSYEYQAFDTVNTASTGSYNDFVYQVYLQRYDIAVGDITIRYNRTLYVDFTIPYTESGVAMIVPVKEKVNNNMWTFSKPLSKGMWFGSIMFFIYTGVVVWLLERLHGNGYLHGPFSFKQLGILMFFSIIEEKDKLECFLSRIVILVWMFVLLVLTSTYTASFASMLTVQQLSPTLTDIHELRKQGGYVGFHRGSYIQGLLEDIGFDKSKIRPYDTPDDFHSALSSGGENGGVAALVLEIPYIKIFLAKYCKGYTMVGPIYKSAGFAFVNSILSVYILIYILIFFENMPTKLPQKIYHSNFQCNCISDVRSISIRNLLFHGFPESDTMYIPKLIRTSLALPKRSPLLAEISRAIINITEGDSVIQIENKWIGQNSCQNEEKIDGSGAITFGSFGGLFLLTGIVTTCCLSVALLVNRYRKAEQNGGTKLDEQYQHRHEHQGDNVCIQDTDQSNEENGGCSGIENQATIPASHNLNMNGDLPGDCAHHNRTTTPPYFGSQVIHRGDKATTMVMERATQTIMILLLIIHFGAPQNATKTGTDEFPVGVILDSDTLVGKITRTSIRMALEDFYAVHKSYNTKIVLHIRDSHSNNIQAATAALDLLDNHNVQVIIGPQKSSQALFVSDLGTKTQVPVISFSATSPSLYSGGVPYFVRATLNDSAQVNSIASLIKAYGWRQVVPVYEDTDCGRGIIPYLIDALQHIDAHVPYRSVISQSAHSEQVTQELYKLMTMQTRVFIVHMSSDLASKLFIKAKEIGMMNKGFVWIMTDGITNLIDSLNPSVVEAMNGVLGIKFYIPKSEELDSFTMRWNRKIQIENPNDPPFKLSVFGLWGYDTIWAVAQAVENIGFNNRTSPQKPTAPRTSTSLDTLETSAYGQELLNNILQNKFRGLSGYFDLSDRQLKASTFQIINVVGKGWREVGFWTAENGISRKLSHGKSMKQYSESVSDLNNVIWPGNSAEIPRGWEIPVSGKKLQVGVHKSMYTQFMTNEKDPITGITKASGFSVAVFEEAVKRLPYALPYEYKYDIAIGDITISSNRTSYVDFTLPYTESGRWLFHTKNSSNKNTLVFLRPLSSDLWFTSIVLFIYTGIVVWLLEFLGNKNASHQQVAGKLGIASFLSLLGDKDRVERFLTRIVLIVWIFCFLLLGSSYTATLTSMLTLQQLNSNVTDLHELQKSGEYVGYRNGSYVSGLLEGLGFNKSNTRSYHTIDELKFALSIGSKNGGISAYVHELPYIKLFLAKYGQEYTMLGPFYKTAGFGFVSNIDNLEALFVKGLALPKGSPLLGDISKSILDIIEGDTVNQITKNWIGYQDKQNYVLTTSVPDPLTMDRFKPLFMLTAVVSTSSLLIAVMIYLYGKNNGQKTKMQGHKNGVVGANDRTQDGNGRGSARGNNPIEAGRDENDQLNRSERGLISRVAPISNSARY >Et_2A_016005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20064461:20067916:1 gene:Et_2A_016005 transcript:Et_2A_016005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLASVSFPCRKPVPLPARGFERTPNTPPPPTRISPPPPRPYAAAAAAAESHAQAQVPAMAYGALIASRLARSSHVLASAISQAPAAHRTAPPLLSRLGAVARAFSSKPAAADVIGIDLGTTNSCVSVMEGKTPRVIENAEGARTTPSIVAKNQNGDLLIGITASRQAVTNAQNTIRGSKRLIGRQFDDPQTQKEMKMVPYKIVRAPNGDAWVEMGGEKYSPSQIGAFVLTKMKETAEAYLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLEVMRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDGALLNYLVGEFKMSDNIDLSKDKLALQRLREAAEKAKVELSSTMQTEINLPFITADASGAKHFNITLTRSKFESLVSDLIERTRIPCVNCLKDAGISAKEIDEVLLVGGMTRVPKVQDVVSKIFNKAPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGVKVLQGEREMASDNKLLGEFQLEGIPPAPRGMPQIEVTFDIDANGIVKVSAKDKSTGKEQEITIKSSGGLSEDDIEKMVREAELHAQKDQEKKALIDLKNSADTTIYSIEKSVSEYKDKVPAQVTSEIEVHMKQLMFLRKGPRAVFEERTQELEIFDGQIEISGGENVGTTGEKTK >Et_7A_050402.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:12919221:12920540:1 gene:Et_7A_050402 transcript:Et_7A_050402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKLLPPGPGDDARRATVRGRVDHVVSLTSTTYGVLDLHPKHGAAAASAAAAAAACEEEEKEQLPPPEQEKPISKEWKRASVRPPPLVVPNAKKPAAAAKPESCQEVINAWEIMAGLEEADVAAGSPAKKPSKPGRWSPARVLAMALPSPKRSSAKKKNTPGKENSPLQRCSVNFNNKDKPAGDIDNDRVLRPYNSIDNSKLPRASKRFSPGSARVVRKPEAAAGGGGGMSSSRRSLSPLFDPDLLASIERELSEEGAHIKRMVGSEKPRHPKAAPPAIVAEGKCPPGGADAVVLYTTTLRGIRRTFEECNAVRAAIEAHDVKLIERDVSMDSGYREELRLLLGGREVRVPAVFVRGKHVGGAAEVAKLEEDGKLKALLDGLPRARVWCAGCAGVRFVMCRDCNGSRKVLDAERKETVQCGECNENGLVRCPICS >Et_2B_020661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22570360:22574838:1 gene:Et_2B_020661 transcript:Et_2B_020661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRGRGDDVEDDDDDEFEDVSPPRITPEPEPEADAEPDPEPSSAPLQPARPPLSSLVVRPPPQENGGSSPSPSAGRPARSPSPADHSGPRRGSPPPRRRREFSPPGPRGWDRRRSPPMPDRRRPVSPPHPRRRYSPPRFQQPRHPRFHDELQGYGMHSGPSPPRPRRLEASTFDDAVGPRYSRGYPGGGRGGRFREASPSYGRGGRSYGRGYPGKDFINIDGEYVHRNDPNLSPREGDWICQNPNCGNLNFARRTHCNNCNKHRYAPEAYEPSRSPRRGYLSPPRGPARSFGPPVERAPPREMARYRSPPRDWGVGDPRGYASRSPPVDRAGRFAEPSFKERMAFRGNREPRDRAKFDWSATDNYNQRERPHDGMYHDRSHRRSGSPRGHWGSDLRDRSRSPRNRPMKSSFPGRGPPDDYADPYMSRGRPTSLEAGRGRGRGRGYRPGGGLYPGEGQGDRRAAPRARNDDGY >Et_1B_014318.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5905400:5905936:1 gene:Et_1B_014318 transcript:Et_1B_014318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLVCYCLAVPKPLVTFCKLLSAIRDAVLLMLAVVGLCRFPPADGARRGSVAAADAPLPEDVKQRLPAVEYGQLLAEQQKASSTSSSSSGHAPCDGESASPTACIVCLETLQATDEVRRLGGCAHAFHRGCIDRWIDLGRATCPLCRSGLLPPRGRIGGPLGLGRRLAGLLARRVR >Et_8A_056971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19684897:19687294:1 gene:Et_8A_056971 transcript:Et_8A_056971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAARCPFLWRTPAAPVAALRGAVSSSGMRRRLSAGAESSGLRPPAYGGLLLDAGGTLLQVARPVAETYASIGRRYGVMKPEKSIKEGFKRAFAAPWPKTLRYQGDGRPFWRIVVAEATGCTDDDYFEEVYQHYAHGDAWRLPDGADTTLRELKEAGVKLAVVSNFDTRLRKLLKDLNVSDMFDAIVISSEVGFEKPAPEIFKIALDQIGVEASKAVHVGDDETADKAGANAIGLECWLWGEDMKTFSEIQDRILTAGPQ >Et_3B_029797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2881422:2888211:-1 gene:Et_3B_029797 transcript:Et_3B_029797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVGRDRFFAALGQTYTQEEFEALCFEFGIELDDVTTEKAILRKEKHLEDDADADGDDEVIYKIEVAANRYDLLCLEGLARALRVFKGTESSPVFKVVSVPRNKMIKMHVKPQTSQIRPYVVCAVLRAVTFDEARYNSFIDLQDKLHQNICRKRTLVAIGTHDLDTLQGPFSYEALPPQEINFIPLKQEESFRADKLIEFYKSDMKLKKFLHIIEKSPVYPVIYDSNRTVLSLPPIINGAHSAITLKTKNVFIECTATDLTKANIVLNTMVTMFAEYCENKFEVEPVEVVHHDGSTTVYPDLSCYKMEVSLPDLVGPIGIELKEEEAVSLLNRMQLQAEMCMSNGESRISVSVPPTRSDILHARDLAEDIAIAYGYNNVPKSKPKCMTIGGRQPLNRFSDKIRAEVARAGYMEVLTFILCSREENFEMLNRVDDRSKAVIIANPRTSEFEVVRTSLMSCLLKTLKHNIDHPRPIKIFEVGDVVTLDSSTEVGASNKRRLAALYCNRVSGFEEIMGLVDSIVKVVRAPHVDFGENYYVPTNEPEFFPKRQCKIVMSNGKEVGYLGIVHAEVLRKFGIPDPCTFVEIDIEALL >Et_2B_019024.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25208171:25208467:1 gene:Et_2B_019024 transcript:Et_2B_019024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKISRPPAAGGRGKEPAPPPPPPHGQEPNGGHRFGRRRRRGRRARRVVLAITVMIAAAANLLFAEPLAAPAASGPWSFVAFVLWIIGLSMLICFMD >Et_9A_061539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13429108:13431058:1 gene:Et_9A_061539 transcript:Et_9A_061539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EASVAALLYVARRPPPATALGKRIASAASLRTCSSSCSPVSAAPARLPAQASLLDGGVASGVWTRLPEQTFRDFSVRFHSLEGSLAQITRPALNLLHIQMDWMVRDVTVRPVHVSSLLRAAARLAPKNLTFLLLRDPKSNGEAVELPCFDRTTSLRLELPYLDLAPPPAGGEFSTLERLVLHSCNIEPGSLLPMCPSLRSLHFEACSSFNAVTVHSASLEELVVYSHHDEGGFEIGYMDIMTPLLKKVSFTIAWGKDFSMSFRAPLVEDFKVDCIFHHGNVGLQDMRLHRLIYYLRHGVHKLHLDINYRDYLPYMDYVDFLLDAERSFEEEITLLPFSHFSTLVLNLETEKHAFGPVVLHLLQIRPIRWLNVSLSRAGEYRPLTLDTEYQQSITVLCILIVFQVSCPLDCPCNQHTNWRNESIALTELEEVTIWGFKEEDDEVDFLKVLFRFGTVLTVKVAAGGYNKVCGICEQYPHLARHSYTSEGIT >Et_6A_046675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19197534:19205540:1 gene:Et_6A_046675 transcript:Et_6A_046675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTANTAPGTRAPRRSVPRWKVVYSGLLNDDGGGPLAETFPLSHIRPRPPPPESSPSPRRFLLHDIVEALDKGGWWSGIIVAGPPTCSVAVAFPISREVIHFDPGLVRPRRDYIDGGEWVPSRIVIAVQPKCPVRVYEVDEKVEVERARDLHGGCSWFPATVVKVVDPQSYIVKNIDDEGEEATTEYLHWKFIRPAVDRPPPSGEFRFKPGAAVEAYCDGSWSPGVVHKVVAEGEYEVTLNGEAPELVVKVLELLKPHYKWDGNAWKKASVSKRHAKLRLQSASRKRQISHDKVIPGDDEHCHDPEFPSIKKSRKGLPHQEQAAILTEVSEHALACYEMDTAPASNDSLNSCSQLSVSKKKCFEGPTSPQSSTDAKSARLAKVTESQTQQQPHKTLEDTLNTDKVISQEFLPEYNIKGIDIQMLEEESTATVIGSCESNRNADMLTDRAVTQVPKSNHHTEICVPSVEHVQPDGEKTEERSECTISNTLLESCSVAGNCTLSQLTSSQNADYELPFVRESPMWSSAEAMDVFKEVPQRPQFLPLGKCPLVMRESLMTIFATLVKKIKESSIGDSMASFEEYIYALGLLKEHGFSVESLQSSLTQLLKIKSAYEKHLKEKKDLNAQMLKKMTSLSQINSLLDANEKAVEELCRKGKEIAMEKEHEDAELSKLEAADSSIEEACGDAECEFYSVLTELKRKTLT >Et_6B_048979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16236685:16238783:-1 gene:Et_6B_048979 transcript:Et_6B_048979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDDEKAISGLEETTMATKAAVAAAAAAEAAKHAAEAAAAAAEAAKHAAVAAEAAAEAAAEAAKLAEEAAKQAEEEASESDEEDVDSEAAYNAQISAVEYMLAYKELPQFEIDRLLELPVERVPFADTELFKTMAADPSVTQDDIDAVAAEHEDRLDRRVRFREWVRQQYEAKGYVAVSDDYIARRVELEAFSKKLWEQGFADTDEDDDDSNEKEEAGEKGDIAHYSVRAATVKRLLRATRTELACMVAEPKTSSHLPTVEASKRLVEALGVLTALVMPPPMPESVSQVAHESDGELSTRRGCSTGEVFTLSPCAALVVEFTYDVL >Et_2A_016644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26875876:26878795:-1 gene:Et_2A_016644 transcript:Et_2A_016644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVEETVAAPPPTPAATAEEGSDPPVQLPADAASPEKASPPAPTPAPETRSRGFRLLGEDTSVHKVLGGGKTADVLLWKDKKTSAVVIGGATLIWVLFEVLDYHLLALISHVMIGVLAILFLWSKATTFIKKSPPDIPLVQVPEDLVVNVAHALRNDINRMLHMLREISLGHDLKKFLGVIVGLWVLSGVGSCCDFLTLVYIAVLMLHTVPILYDKYQDKVDHFAGRAHMEARKQYEVLDAKVLSRIPRGPAKKQN >Et_6B_048424.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:2731183:2731539:-1 gene:Et_6B_048424 transcript:Et_6B_048424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMATLACLVVLAAMVGSAWCGGNIVFHVEKSSPNFALSIKGSNKAITKVDVREYGADNFDPMTKSGESWTISKTFKGPLNIRLIAEGGGRRVQDNVIPQNWKAGTDYPTKLQFA >Et_8B_060548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20700103:20700870:1 gene:Et_8B_060548 transcript:Et_8B_060548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSDLNCLELRLGISSGNVSGSPWGGDPWSLAARQEKASLEQVHQRPEECDLQRETRPEPPVGWPPVRAFRKNQLSSTTTTTKPAAEEKRRGATVASLPSASSMFVKVNLEGCAVGRKVDLQAHRGYAPLSRALQAMFSQAFLRDASTPQWTMIAGDEDDEQQQRSKAKTYILLYEDNEGDRMLVGDVPWE >Et_7B_055643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19245648:19253971:-1 gene:Et_7B_055643 transcript:Et_7B_055643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGCEGGEMDSAFEFIIKNGGLTTESNYPYMAEDGTCKNGSQSFATIKGYEDVPANNETALMKAVANQPVSVAVDAGDRTFQLYSGGVITSSCCTDLDHGIVVTDYATSNNTKNQISEAPAQHHSPQFRNCTSSTPSRTTAMRALGEFGPRCQGCGNAGTRGNGGVGLQVHGATGGTERCATAAMRSRVSTLARGSTPKGAWGAGTRRCGAVARRLDGE >Et_4A_032595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11731134:11733228:-1 gene:Et_4A_032595 transcript:Et_4A_032595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLTALYTWTCSRCNDLTRGFEVRCIIVDVQNCLQAFVGVDHNLNAIIVAIRGTQENSIQNWIKDLVWKQVDLNYPNLPNAKVHTGFYSSYNNTLLRPAITNAVRKARKLYGDMNIVVTGHSMGGAIASFCALDLAINFGSKDVNLMTFGQPRVGNAAFASYFAEIVPNTIRVTHERDIVPHLPPYFFFLPQLTYRHFPREVWEHDVDGKTVFQVCDGSGEDPNCCRSVFVLFWSASDHLTYMGVDIAADDWSTCRIVLGQSVERLQMDLASNIVMLNNSVDFVCRP >Et_6B_049971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2259935:2266562:1 gene:Et_6B_049971 transcript:Et_6B_049971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPRVEKRFSGKQLFSNSHMPGNITMSMNRVTEPDDFPGFQFKEHVHTDNNQHHSHHSKNCMSDDEEHEMTEDANDTPSGKGKKSSAWHRMKWTDSMVKLLITAVSYTGEDPGADLGGGRRNFTIMQKKGKWKAISKVMGERGCHVSPQQCEDKFNDLNKRYKRLTDILGRGTACNVVANPALLESMNHLSDKMKDDAKKIMSSKHLFYEEMCSYHNNNRANLPEDPALQHSLQLALRCKEDYDSRKDVSGDADEDDQSADSDYYEDYEEHHAVHTNMREPSMLKRMRHTDMAFVNSCSHEGSARSDPHGITVDINKVLPDGTNLVLSQKDLASQSLEIQKHRLQIDAKELELTQQCLKWERFKKKKDRELERMTLENEHMRIENKRLELELRQKELELKLKGQGNHFSEGQEQALDENVP >Et_10A_000715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1629369:1634758:1 gene:Et_10A_000715 transcript:Et_10A_000715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILVPWCIWKHLNGCVFRAATPCVALVVSTVREEAKLCYCRLCKHQRKGLPWSGLKKFFGEEIMKKGEKLNPAMRMRTTWMRSSATQLLHQQMHSVDTEGAPAVELRGQAEPLTGDINTAMISNLASSPSTAVQIAPIELDTREQQAAVVIQSAYRAFLARRDLRALKGLVRLQALVRGHAVRKQAAETLQHKQALVRAQARVRVSLEKLHSSRSGVQLPLNAEELPAQQEPNAAAAAAAAVGQLPAQQEPNAAVGQLPAQQEPNAAIEQLPAQQEQQAAITIQHQEFLQGWCGSIGSLEDMQAKALKKQEAAANRERARAYSSTHQLVPVDKIKDLRSGAKPVAGVGDPPRATKKRP >Et_4B_037038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13968704:13974273:1 gene:Et_4B_037038 transcript:Et_4B_037038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGYHSGFNDSFRNVTRRHDNNKENTSQQGFNARQTEVARSGRVPNRYTNSGGNSRSSLPGVMQEYRIVKDNRTKQKEASGTVPEVDNNGESCIEHAVSSLGGKSSTEKLAALDSLVTGNGNGHGAAQADNGIKSVALSHDKEASSVRKMEQSGGMQTLVGPHEVLGKDIQNTEVTVTSGKNSFAGELCCSSSDPIHVPSPGSKSAGTFGAIKREVGVVGARHRSSDKPGTNTSTSHGLVKVASTPKDNPSNEQQSGLPGSSLKSGRPNVPVPLNNRPFPSSQYHHKPQNHHFEAKKDTAELYAASAHLEWKPKSISPSSTNHEVSLSSSGARSPVDGNQSEVAVLSKKLSQANVSGNEQVIIPEHIRVPGSKRTRLIFGTFESGVSDASGTTSDTVVTKECLKDHSPSSLTALNAMVLTDISPDDKMDHAVSQSSLPQSDSNTSVSEHQKSSSKAVEVPSPGVVGEYVTQMISSKVMHSQPQFQHQDNQVVPNFKKYEPDSRYGVPFTTKAVDDEAAESIAYPSEVLGLHPANTNQLPAANQQPVPQMYPQQFQIPQYPNLPYRHMYPPHYGPPVVVPSYSSNPAFPQLPHASSYLVMPNGTSQLAANGMKCGLPHQYKQVFPGTPTGYGGYANPNGYTVNAGVIGSTGHVEDVNMSKYKDNNLYPPNLQAETADVWVQGHREIPNMPSAPFYSMMGQPMSPHAAYLPSQNSHAAFTPAPPHPGQLQYPGFIHTLQPTSMTMVQNPHAMVRQAAVPQLAGNVGLDMAAMAPGSQVAAFQQNQFGHLGWAPTY >Et_2B_019274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20809764:20810906:1 gene:Et_2B_019274 transcript:Et_2B_019274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTMEEERGWSSLLTDLLRPILARLPWSSHPRFAATCRHWRSVVTPFYPAWLTPMLLSAAHVGSTSLRFYSPLHHKNFEVSRTLAVPDARFCCATGRHLTLCRPSMVLEADLVTGHVQELPPMLYAWFHFVVYDGARRTMFGVRTICQPPRTSVAVKDVNNDEWLDWEYPEPLTGTELIETSPNTNPVLHGGNLYALFDDGKLAVYDERRHGDDGHFEILDKPAAGSFARFQQRDDDRYLFESDRGELMAVLVGRRGTPVRVSLDGRAVFTGTLTTLMIKTDVKWMQNRVFFPRLHDWPETVRVDIVDRHGEVAFVPTSSTGADTAVVEDGANIWAYELGSEEPREFWETEKLNYSIWVDFSTC >Et_1A_007471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34943361:34958391:-1 gene:Et_1A_007471 transcript:Et_1A_007471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAAYYYFLFLALLFPLVLLKFRKQRRGDGVRLPPGPWRLPVIGSLHHLIGKPLVHRAMADLARRLDAPLMYLKLGEVPVVVATSPDAAHEVLKTHDLRKISILELLSARRVQSFRRVREEEVARLVAAVAATPRGEAVNVSERIAVLVADSTVRAMIGDTFRRRDEFLVSLEEGIKLISGFNLGDLFPSSRFVNFVSGTARLAYANHRKSFELMDSAIKQHEERKAAYYLFLFSALLLPLVLLKLRKQRRGDGVRLPPGPWRLPVIGSLHHLLGKPLVHRAMADLARRLDAPLMYLKLGEVPVVVATSPDAAREVMKTHDVTFATRPWSPTVRIMMEDGQGLVFAPYGDLWRQLRKISILELLSARRVQSFRRVREEEVARLVAAVAATPPKEAVNVSERIAVLVADSAVRTMIGDRFKRRDEFLVSLEEGLKLVSGFSLGDLFPSSRLVNFVSGTARLAYANHRKNFELMESAIKQHEERKAAVNGTDEEHEDLVDVLLRIQKEGGVDTPLTMGIIKAVILDLFSAGSETSATTLQWAMSELMRNPNVMKRAQEELRDNLKGKAKVTEDDLAQMTYLKLIIKETLRLHPAAPLLLPRESRESCKILGYDVPKGTTVLVNAWAIARDPTYWEDPEEFKPERVGSETSATTLQWAMSELMRNPNVMKRAQKELRSNLKGKAKVTEDDLGQMTYLKLIIKETLRMHPAAPLLLPRESWEWCKILGYDIPEGAKVLVNAWAIARDPKYWEDPEQFKPERFKSRTIDFQGLDFEYIPYGAGRRMCPAIALAQANMELVLAALLYHFDWMLIDGLKPSELDMTEEMRFTQVTYYLFVLLALILPLLLLTLKKRGSDAHAVKLPPGPWRLPVIGSLHHLLGKPFHRAMADLARRLDAPLMYLKLGEVPVVVATSPDAAREIMKTHDVTFATRPCTPTLKILMKDGQGLAFAPYGALWRQLRKISVLELLSARRVQSFRRVREEEVARHVAAVAATPSGQAVNVSKLIAVAIADSAVRAMVGDRFERRDEFLVLLEEGLKLVSGFSFGDLFPSSRLVNFVSGTARLADDNHRKNFELVESAIKQHEERKAAANGSVEEEEEKDLLDVLLRIQKEGGDDAPLTMGTIKALLLDLFGAGSESSATTLQWAMAELMRCPNVMKRAQEELRNNLKGKPTVTEDDLAQMKYLKLIIKETLRLHLPGPLLMPRESRESCKILGYDVPKGTTVLVNAWAITRDPKYWEDPEEFKPERFEFSTIDFKGMDFQYIPFGAGRRICPGMVFAQANMELVLAALLYHFDWRLPDGLKPSELDMTEEIGISVRKKNDLHLLPIPVLSSCLFLAFLLLPFVFLKVRKTNSQGGAAQRLPPGPWRLPVIGSLHHLLGKPHAHRAMADLARRHDGAPLMYLKLGEVPVVVASSQDAAREILVTQGASFASRPVRALMSDDGAHWRQLRGICVLELLSARSFRRIREQEVARLLAAVAGAAGAGDDAAVNVSERADAMVADATVRSMMGDRFERRDEYLDGVAEAREHVLGFSLGDLFPSSRLAGLVCGATRRAEAIRRKMFELMDCAIRQHQEQSRSATDGDEEDILDVLLRIQREGGYEIPLTMAGVKDIILNLFLAGTETTTATIQWAMSELMRNPRILHEVQAELRDKLQGRWTVTDDDLPGLHYLKLVIKETLRLHPATPLLVRQCGGEACKVLGYDVPKGATAVVNAWAINRDPGHWDDDAETFRPERFEGASAVDFRGSFRSGPGGGCAPAWRSRTPW >Et_6B_049630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7507340:7510992:-1 gene:Et_6B_049630 transcript:Et_6B_049630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLPTLRRKREVDAAIRDTLDKVLVLRFGRAADADCLQLDDILAKSSWDISKFATIALVDMDSEEIQVYIDYFDITLVPATIFFFNAHHMKMDSGTPDHTKWIGSFSSKQDFIDVVEAIFRGAMKGKLIVSCPLPPERIPRFQLLFKDV >Et_10B_003523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2530097:2536097:1 gene:Et_10B_003523 transcript:Et_10B_003523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVAWRSASAVAGLLAGKLGELIWDEATLMWNFKYDMDDLKETSVEVNALMHDADRLSNQDQGETMQVWMKRFKSASYNVEDLLDELEAIELIKLFFSSYNPLLTRLIMTHKMKKLKNKLNIIKDKGYGLGLVTKYDTPSSAEGATNQDTVAWTNEDIDIGMIGRDIEKEKIMKLLLKSEAGEHISVIPIVGLVFSDKRTNIFDLQVWVYVSKSFDLHRIGETILLSASRSNASGTNERYIPPRSAGRLEKIGREIAMKCGGLPLLARSLGFLLSQNKSTEAWKDIRDRKIMMDWIEYNEAAETLKRLMLSYYYMPFKVKLCFIYCAVFPKGFTVAHDHLIQQWRALGYIQSIDGHHCISYLLGMSFLRISKTSQSAPGDGEAPKDVTMHDLVHDLARIIAGNELIVSDASEKVIWSGSQSRYSRHMHLINYQKLSKALREFPSNIRSLHFTECSGFPCQQKSFSKCKYLRVLDLSGCSIEGKSAHSNISMPSTISHLVLLRYLDSSGWPIKELPKSFHKLKNMQTLILSSCILETLPDNIGSLLNLCHLDLSGNTSLSGLPVSFGELSALSFLKLSGCSKLIELPESVYMFDSLRHLDMSGCNALHKLPEKFGSLPKLLFLNLSGCSKLVKLPDSINLGSLEHLNLSGCHELQSLPADFGNLHNLQFLNLSDCRKIQVLPESFCQLKLLKEIDLSDCHDLIELPRCFGNLSELHYLNLTSCSKLQSLPYSFRDLYKLKHLNLSWCARLEKIHFSFFRKMKLQILDLSGCLTLSRVPKKLYFMESLTILLLPAVFGLDSGHFLLTDDKSNGHSVISDRQYYDVRGTMGIDLEKMNTTELFIIWLSYLKHLEDAERAKLRDRAELRVLTLHWSDVRGLKATSKFLKRKIKKATSKLSDRLVLEKLMPPRTLEHLALQGYMSIDFPNWMLDISSYLPYLKSIHLSYLKACDSLPPFGQLPNLIMLSMTHMPSVRKIGKEFYREEGTCRKLRAIRLDALKNLDEWWTTRSGEDEEEFLIPNLHMLHVSHCPKLKFLPYPPKSMHWNLHNSNMVLPGKGFGRLSSSTPPFHAKIKSDKFSLDNWVALQHLGPTLETLELYARRSSLRAFPEVAPHFSLKCLRLGLKDLEILPEWLGQLNSLEELKIHRCHNLTSLPDSIRNLTALKKLRIQDCPKLSQRCRGEDAHKISHIPHVRFDRRVRREQSIKESGMLIFRKGDLELHPPNAH >Et_8B_059146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14333161:14336980:-1 gene:Et_8B_059146 transcript:Et_8B_059146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFLYRAGDARRSGSPPPPTAPSAPVSADGPPAPMEVDAHEARRREEKARIRERILREEAVERWELEIEVRRELVEQFRQGSLILNAAGTPELPAKVTAANSSSPALLECHHEINFVNSIQIAMTNSKDNAPAASLVKRKSPVDAATSTVSAATCSKKQKLSLTCTVCGITANAEKAMQDHLNGKNHKRKAASLAAQTTTKPEPEAEPAASKEEDVVLTVAPSGDFKPTKLTMLTSSGMNEVTQMDGFLLCEVCNVRTADRVTMRCHLDGSKHISKAQLKKCQSAGEPLAGTAPKKHIAGHSAPTAVAGDDLEIVVLEVEGEQHAVRREGGFLLCECCAVKAPSESGMQSHLLGKKHKKKANPTYVAPVHIAKGGMEAAESHVIDKDTAVVKATAVHMEAPLVKPKQENVDDGSELQETVNTLAKEEVISAECSKSQGMELAKVSTGTPAVTANAAGDTELAMEVDGVRHPLQRVEGFLLCPCCDVKAPSDTVMRSHLVGKKHKTKMMSAAATAEANGLARKGSSVAASLGQVDGNVPKTAKAGEEEDSKPPMTMVAKNAASSGLAVAPMEVDAAAVVEGAITPADPGNGSVTKVNGSVKTNDTTESGKPMKVLVEGKQFVVLHQENGALSCEPCVLYGCNRNAMLKHLYTRTHWEKARPEEELAEAADTALVEDRDGRSLAGEETAQVEK >Et_1B_009968.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:14816451:14817446:-1 gene:Et_1B_009968 transcript:Et_1B_009968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFAALAGKSVATATISFWLNKAFTCLTDYCKAEGLEDVKSRVLRSMNKVNAVLTAVDTENIKEKSTDLDAWLRLFRDAVEEAEDAIDELDYYERSERAKDHKVSDWGSSFSKVKHKVVRSVKHVSILDKTAKQFTRSGTLKRLRKAMESLDKVATDILPILNVAEHFTGISSVSQPQVNWMISNDRVTGSTITEPYFVGREREKERILRWLTRKSDEDSEIVMSSNHVPILSIVGHGGMGKTTLAQHICEQDDVRHFKVIWVCVSTRFDVTLITRKIFESLTGLRPSADDFDAVQRNIKQQLMYGKFLLILYDVWEDKKKMNGRRYLLR >Et_5A_040339.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:15363921:15364214:-1 gene:Et_5A_040339 transcript:Et_5A_040339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPCLCGENLMEAMRGFQNLMHSLVPGEKSQLTKEDRLPMSHGLKKVLRDYGFGDLEPEMVNEKITMTAFALFHSDFIEKKYVLETCNCSEGDILP >Et_2A_015235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:56380:57247:1 gene:Et_2A_015235 transcript:Et_2A_015235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DVLDPNANPRPSDPSTTKKPVVRDKRTGKTKGYGFVSFSNPTDLAAAIKEMNGKYVGNRPIKLRKSNWKERTDVEALARQKSHIQRKPKMPKKSILHK >Et_9A_063037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8889867:8890587:1 gene:Et_9A_063037 transcript:Et_9A_063037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKRLHFSEGRPKSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAELT >Et_3A_026608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10407902:10410528:-1 gene:Et_3A_026608 transcript:Et_3A_026608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTGGGNGKAVGSLRLQHYLVMAGVAAAVLVACLRYMPPAAAAGYGFSVARAAAEARVAAVDVATAVAHGGEHRAGAGAAGGAAPSSVVIFNFGDSNSDTGGMAAVNGMNIELPQGRTFFRRPTGRISDGRLVIDFICESLHTPYLSPYLKSLGADFSNGVNFAIGGSTATPGGSPFSFGRAVTPVPLLQGEIYGAHQPRFANNAVPIDRDGFRRAVYTIDIGQNDLSASMHLPYDQVLARIPGIVAQIKYTIEALYSHGARKFWIHGTGALGCLPQKLAIPRDDDSDLDAHGCLNNYNDAARRFNALLAEACNQLRQRMVDATLVFVDMFPIKYDLVANHTAHGIEKPLMACCGHGGPPYNYNHFKACMSAEMQLCDVDARFISWDGVHLTEAANAIVAAKVLTGDYATPRMTIASLVNSTQANDG >Et_5A_041713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26198319:26203917:1 gene:Et_5A_041713 transcript:Et_5A_041713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGCAPAAALRATAAVVWLLAVAVAVAADAGDLERAFPIVEPDYSHTKLSLAKEGLEAIQRIKTPIAAVSVIGPYRSGKSFLLNQLLSLSCEKGFGVGHMRDTKTKGIWVWGAPIEVDVDGTKVSVLYLDTEGFESIGKSNVYDDRIFALATVLSSVLIYNLPETGQDVAFEPAKLLWLIQRDFLQGKSVQQMVHEALQRVPNNNGEKYIDELNQIRDSLAVMGDNSTAFSLPQPHLQRTKLCDMEDQELDPFYVERRDQLKQMVASMVKPKIVQGRTLNGKEFVSFLEQILEALNKGEIPSTGSLVEVFNKAILERCLKVYNEKMGRASLPVSVDKLHHLHEEGKDEARKLFDKQHFGKHHAAQSILKLNEDIEKVYKNVGQANEYQSSKLCEARLAECEDKMERLQVLKLPSMAKFDAGFLLCNQSFQLECVGPAKESYERRISKMLARSRAHFIKEYNNKLFNWLVIFSLVMVVIGRFVVKFFLLEVAAWMLFAFLETYTRLFWSSESLYYNPVWHVIVSSWETIVYSPVLDLDRWAIPIVVILSFLAIYWRCLGGRKGIARSLLPLYNGSYRNPNRERTD >Et_9A_063031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8859025:8865219:1 gene:Et_9A_063031 transcript:Et_9A_063031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLLSPRPPPAAAASAARMPPLPTRRSICPPPAASASASADFPGAVPGGAQVPSRRRRRRSVAGIDQDELLDPDALADPDSSFFEINGVRLHHKICSHEDEESQSASGQSTVSDVGRNQIGLPMLLLHGFGASLFSWSRVMRPLARIAGSKVLAFDRPAFGLTSRIHWSGDDTKPLNPYSMAFSVMATLAFIDYLGAEKAILVGHSAGCLVVVEAYFEAPERVAALVLVAPAIFAPRKGVKKGGSKEQEAQTQKSSTDENSPQNLFTRIWVGFLELCMRIAGFVSKTVTAIGDVVRSLYLKALVAFLRSTLGVMLIRWIMDKFGIVGVRNAWYDSSKVNDHVIQGYTKPLRSKGWERALVEHTISMIIDSASNSREPVSKRLSEISCPVLVVTGDTDRIVPPWNAERLAAAIPGAKFEVIKSCGHLPQEERPEEFLSVIERFLRTVFGAPNEQVLQAAYEHRCDLTRRDNITTTSWTEAVSPKSETARPKPTSRRSPRCPSPRSKPRPKNRWPSPASSKNLALQKTDTTTKLWASAVQILRMSTGSAGSAGAGAGERRRAQEWEQASMSACRCAGSTRAGSMDTEIGGGALDWGLTSLEREGLGVGEKRASVVRRATLAGAAMADPRGMPLARGGSEESLVHE >Et_7A_051412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18138964:18163831:1 gene:Et_7A_051412 transcript:Et_7A_051412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GDFYPYCPVGDRLQELMLMGMDNIHDTYDRCMHQYSCSLSTSDNNLPQHSFNNFEGADVPFLEPVILAEPPPVLPQLVTAILLDNMNLPEFGAVDKGLERDDACDLPQHGFDNVEGTNVAFLKPVVLTEFLPTISKHRPRFGKRTFTCRRDAELPDAEAGVERLDGEGAAAFVPERDEPGDIDAVRRQELGPPAAQERLLAFSFDLHAPDFAKRAHHEREAHVGGVEATGELLGDALQNEDQSLECRRFRSINAGLCLPAFRWEETATASGAWPWRLLPVAARCRDLVEGEGSFAFEATMSSKGAPRRPQFIGLLSPDSMEKMPIPPEFVQRYLPKENLDIAVVLGPLDKKLWRIKLEMNQSDVLFAGRWPEFLKFNDITKDNVLLLRYEANMVFEPNGFQREYKQKDIRMQETVPDIEKQQEAQSASFQKGKSKSNRTCTEGQNKPNGSMASLTEASSRKKCTYEIGPPAWITKCINANALKKQLALPTSFCDAIGLRESCMITLKTSVNSAEDWKVHGVSCKKGSYLLVFFWENSLKEGDACTFNVVETSLWHVVITRLKENINPSCNLTTESVVCLCYHVSTLTYIPKQQATPSASRGKCKNSKRRKISVNSMNKSPKMGCNYNIGPPAWVVKQMNNNTIKNRLFVFNQSNTSCHHIYTHNSFTFFQIPLSKLTWSQFLQCLPAAFCHAIGIRETCTVTLKTSLSNTMSWEVCIQPYKKSSHHVEPGWKRFCQDNNLKLWVLLPFSRSSLVSPTPSPSHRRLDSDPVVEAADSGLAFITLQRIPDELADDVGAGEALVVGPFSKVWPVEVGWDGDGALLGRGWPEFADAYGVGGGWLLVLRHRGRGVLTVKAFDDSGCIRELGAPIPHAVEATMGSNDAPRRPQFIGLLPPDSTKKMLIPPEFVQRYVPKENLDNAVAVVLGPLSKVWRIKVEMNQSDVLFTDRWAQFVKFNGITKENVVLLRYEGNMVFTVKVFEPNGCQRESKPKDIRIQQSIKKQQEAPTHKCKSKSSWSRTKGQNKPNESMASLTKASLRRQCIYEIGPPSWVTKHINANTLKKHLALPTVFCDAIGLRESCMITLKTSVNSAQYWQVHGVSCKNSSYLLVRGWLRFCQENSLKEGDACTFNVVETINTKNIPKQQLNPSASSGKRKSKNMWPVTERSMKIKGSLNSLNKASKKSCVYNIGPPAWVEKQMNNSTIENHLSLPASFCHAIGIRKTCMVTLKTSLSSSKSWQACIHPYKNSSHHAGAGWKRFCQENRLKVGDVCTINIVETMLWHVVIARRIATRWQENSQDLLAGSTFTWMWKWCAELPNAAAGVERLGGEHAAAAVPEDDEPPRADAARGRVLRPPAPHERAVAVPCDLHRPDLRERADDECLPSADIVGELVGDALQS >Et_3B_028100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10157912:10181174:-1 gene:Et_3B_028100 transcript:Et_3B_028100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATRLTKKLVPIVLPIEAEFCVNLAFDPSERQDYMLIALDYMRHTHIHVYSSKTQSWRVSIHSVRQLHGLCPTRGVFCNGSVVWIVAHSLVSFIIKEEHVTKMPMPSEEKDWICGYIGESGGHLQLIGYTKKDKLTACFNILEMQENKAEWSVLYRIDLGRVKELYPDIELPTWDTRDHQHKIIDYLALSPIYVIRGNGKTGQHGVLVFSIPGRIMSYNIEDQGISMVKEIAGPNPLQQFWYNFYAYNPKSMPRGSYISRLSDDLVADILARLPPRQVARARLVCRWWRAVTTDHHFVRASFSRWGAGHGHPVAGFFSYIFGFTTSDYFPLDREAHEKADAGDRFAFDISFIPGTESADPSTGSMLVCGSCNGLLLLSCREIRYVCNPLTKKLVPISLPTEVSLCVCVNLAFDPSKSRHYKVIALADMYSIHVYSSETQSWRMAIHSDHSAALFQGLCLIRGVFWNGSVVWIVAHSLVRFIIEDEHVEKMPMPSRKKDWICAYIGESGGHLQMIGYTKKDKLNACFDILEMHENKSEWSVLYRIDLGRVKELYPDIEWPTWDTRHSQQKIIDYLALSPIYVIRGTGKPGQQGVLVFSIPGKIMSYNINDQEISIVKEMWSPYRYEQFWYNFYAYNPKMPGRSHLSALPDDLVAGILVLLPPREVARSRLVCRRWRALTTDHHFVRASFSRRHAGHDHLSLASSTTADGGTRAVTSPLMARWMKKQQSENVCPLTSPLSTVPDPCLGSIVVHGSCNGLLLLYCPRRHLRAYYCVCNPLTKNLVPIVVPGELSSCVSLAFDPSKSPHYNVITLGDRYSVHVYSSETRTWRMAIHSDHSACLLQDLRPTRGVFWDGSVVWIASQSLVRFFIKAGHIAKMPMPPRKKDWFCGYIGESGGHLQMIGYTKKEKVTACFDILDIKEGQSEWSVLYHVDLSRVKEMYPSIQWPTWDSRHHQHKIIDYLALSPIYVIGGTGKTGQRGVLVFSIPGKVMSYNMDNQEISFSPPPLCEFIEYVDTEQTSEDIAMCTVSLNMPGRDPFSRLHDDLVASILVRLPPKQVARARLVCRRWHALTTDHHFVRASFSRRHAGHGHPVAGFFFNPRWVDEGGYFPIDGEGDEEAAVGERLALDLSFISGTRSADPYSNSLHVDGSCNGLLLLRCPPRIGADYYVCNPLTRSLIPIVVPRGTSCCASLAFDPSKLRHYKVIALIDRCSVHVYSSETRSWRMAIRSDHSAGLFRGLCPTRGVFWNGSVVWILSHSLVRFIIEDEHIMNMPMPPRKEDWICGYIGVSGGHLQMIGYTKKDKVTACFDILEMQECQSAWSVLYRVDLSRMKELYPDIVWPTWDSRYHQHKIDDYLALSPIYVIRGTGKTGQHGVLVFSIHGKIMSYNMDDQIISLVKEIAQPFLLEHFWYSFYAYSPEWKAWQSSQGIPNTDKLKRDARRCTSINFTSTSFRKFTDASSFSLTLADQGTGGFNGLLGMGAGFVDILIVQSCDSIVITHDEVRVTLGGVAREIIEWGRRDGITGDTGEEGEPVQDGEEWRP >Et_7B_053712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11326676:11327463:1 gene:Et_7B_053712 transcript:Et_7B_053712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVLLPSVAAPAARHRRHRALQLHLQHQRGFLLPRALRLPRRRLPVVVSAVQETKKKGEAKTAEEITEKYGLEFGLWKIFSSKEEEEAEGESGEVKKSRTDQAKELLAKYGGAYLATSITLSLISFALCYALISAGVDVRDLLGKVGIVTGETGEKVGTFALAYAAHKAASPIRFPPTVALTPVVASWIGKIRKGGD >Et_5A_042340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8953292:8955046:-1 gene:Et_5A_042340 transcript:Et_5A_042340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPLVVVKTERQLPRPQNKYRRHGRCFPPAPGRLMLQRPSASTSNNNNNKIVSPRSLHVAVIGAGAAGLAAARELRREGHVPVVMERNAGVGGTWIYDDGAHLSSTSTLYASLRTTLPRDVMGFLDFPFAAGPSSVDTRRFPAHHEVLRYIQEFARRFELHDLVRLRTEVLTVHHHQTSWTVRSRRKLGTAAAAVEEEEETYDAVVVCNGHHTEPRVAEVAGVDAWPGVQMHSRSYRVPAPFAGQVVVVIGAKNSGSDISRDIAGVAKEVHVADRSAPAATCETMPGYPNLWLRSMVERAEEDGGVVFPDGTSVKADVIMHCTGFPFLVLGDDSTITVDDNRVDPLYMHVFPPQVAPHLSFIGLPSKVIPFPLFQLQSSWVAGVLSGRIQLPSTAEMMQDIGDLYSQMEARGTPKRHTHCFNHNQFDYEDSLAKQCGGHIKIEEWRKQMYAQVCKKKMDCPETYREEWDDHHLLQQANQDFKRYI >Et_9B_066027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2142163:2143699:1 gene:Et_9B_066027 transcript:Et_9B_066027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPTFLGQCDTCRNCCSSEERTTNICLTLPFSDGLGMRRDGTTRFRDLQGNPLNHCVGVSSFSQYTVVDANQLVKVGAGVPPTVACLLSCGAGTGVGAAWRLAKVEPGSSVAVFGLGTVGLAAVQGAKMCGASKIIGVDSNPDKEDVGKGMGVTDFINPTQLGETPISKVIGDMTGGGVDCSIECVGVPSVMTEAFRSTKMWTGTTIILGLNEKNEPVALPSLELLYGKRVMGSFFGGIKPKTDIPILAQKCVNNELELDRLVTHEVGLQDINTAFDLLLQKKSLRCIIWMDKQ >Et_1B_011780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25925509:25929809:-1 gene:Et_1B_011780 transcript:Et_1B_011780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSACSNAAWPASAPQLGGLALGVAGRARHRLELATASPGRRIDAALNLAPRLRSTDSGGAHGAVAAGERKKRRKGYDYRPFERGANELASSAIRPATMTSMGSPRARFVSLLFAFLARLAAGGRYLTKDERWMNQRIDHFSPTDHRQFKQRYFEFLDHHRAPDGPVFLRICGESSCDGIPNDYLAVLAKKFGAAVVTPEHRYYGKSSPFKQLTTENLKFLSSKQALFDLAVFRQYYQESLNDRYNRSGFDNPWFVFGVSYSGALSAWFRLKFPHLTCGSLASSGVVLAVYNYTDFDKQVGESAGPECKAALQEITKLVDEQLRSDSGSVKTLFGAEKLRNDDFLYLLADAAAEGFQYGHPDAVCSPLINAKKNGKNLVETFAQYVKDFYIKEMETPVASYDQEYLKNTTPDDSSSRLWWFQVCSEVAYFQVAPKTDSVRSVSINTRYHLDLCRNVFGEGVYPDVFMTNLYYGGTRIAASRIVFTNGSQDPWRHASKQKSSEDMPSYIIKCRNCGHGTDLRGCPQSPFRIEGDASNCTSPAAVNTVREQIAKHIDMWLSQCQEPSIAGYGSLGTRDTRSGALHCIPNALQLLMPCHSFLYAVRGDFVLFSSGE >Et_5A_042972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8341496:8343877:-1 gene:Et_5A_042972 transcript:Et_5A_042972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQQHLLAVIAAAAIVVVTLAPGAASYPWPLCGTANFTANSRYQANLNLLAATLPGNASASPSNLFATASAGAGRDRVWAAGLCRGDVNASNCFACLAQAFHDLPNDCSYNKDGTIYYDPCVLRYSSVRVLSAADNDTSGTPAPFVLGQNVTANPAQFNRAVAALVNATADRAALNSSRRFATGKAAFDEEVPTVYAVAQCTPDQTPAQCRSCLAGIIAANLWNFENAVGGRLLWINCNFRYDSKPFFNGPAMVQLASPFPVAPAPASAPAPVVQPAAQTPPQAGLGELKGRKFNVPVLVPAVLLPVLAALNLAICLCLWQRRRRRSDAQAMKPYSKYSTDEAEDGEMVDSMMIGISTLRAATGDFDESNKLGEGGFGAVYKGVLPDGQEVAVKRLSSSSTQGVEQLKNELALLAKLKHKNLVRLVGLCLEQQERLLVFEYVPNRSLDLILFGADDQLDWEQRYRIINGVARGLQYLHEDSQLKVVHRDLKASNILLDANMNPKISDFGLARIFSWDQTQAVTKSVVGTYGYMAPEYVMRGNYSVKSDAFSFGVMVLEIVTGRNNNDCYDSQQSGDLLNTVWEHWEAGTVMQLVDPSMNGSFPEGDVLRCIHIGLLCVQGDPAARPVMSSVVMMLGSETVALQAPSKPAFVARKGVANTTVSMVSLQG >Et_6B_049350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3654860:3657247:1 gene:Et_6B_049350 transcript:Et_6B_049350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKLDGDERAENMAALAAAFEEWGFFQLVNTGIPDELLERVKKVCNDCYKLREQGFKESKPVKALAELVQKEGEGLAPKKIEDMDWEDVFTLQDDLPWPSNPPAFKETMMEYRRELKKLAEKMLGVMEELLGLDEGHIKKAFTNDGDFDAFYGTKVSHYPPCPRPDLIDGLRAHTDAGGLILLFQDDRVGGLQVLRDGQWVDVQPLENAIVINTGDQIEVLSNGRYKSAWHRILSTRDGNRRSIASFYNPARLATIAPATAASTAGGEYPSFVFGDYMEVYIKQKFQAKEPRFAAMATAKHDDL >Et_3B_027984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29803156:29804979:1 gene:Et_3B_027984 transcript:Et_3B_027984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSRARALLLLRSSIPRSPTPPPRHPTPTLARVPPPRLLSRFLSSSPDPIPDASSSSDPDPLHDASSSAAAAPDDAGEVNLSSMWEEAGDADDIFAAPSATEAATDDEEIARVREVVESAPEDKIASTLADMVVDFNEPLLAAVLLAAEKCSCKKLILLFKYAAKNNPAATSLSNLEILVSKIADSDEVGKMEAYMLWDLVKEVGNVPGSVSTPLLNEMIAIFWKLEKSKAALEVFTKFDEFGCTPDSDTHYLLIEAARKKSMFRSVCEICEKMIASGCLPHGEKVGKILTILCEGKKAKQAHAFYLAAKEKKIQIPKLALDTLVGALARNDETVGTALELLEEYQGESLKHAGKPFATVIHALCRTNKVEDANNLLTRMVQLEEYQGESLKNAGKTFTTVIHTLCRKKKLEDVKTLLTRMVNLGPAPGQAVFNFVITALSKAGEMEDAKGLMKLMESQGLTPDIYTYSVLMSGYTKGGMLDEAHALLREAKKIHPKLNRVTYHILIRGYCKIEEFEKAIECLKEMKKDGLLPNADEYGKLIQSLCLKAMDWRTAEKLLEEMEDSGLSLKGLSRSLVTAVKELEEEEMQSKASQTA >Et_8B_060235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7752421:7760876:-1 gene:Et_8B_060235 transcript:Et_8B_060235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRARLSGSPPSPLEDENILSDILLRLPAAPSCLPRASRICKRWRSLPPRIRDACFSPRYEGDGLCRPLGCRQGRVLILIRASPSCFLVWDPITGDQRQEALPLAFLQDINNYYTINGAVICAAGEKGHMHGPGCRSSPFQVVMLGSTNEAVIACVYSSKTGTWGNVVSLSWPQDVQRISIVCPSALVGNSVFWLLTGTSFAIIGFDLCRQSLASIEIPSNLLLGFVSYNPGYDYKLLIAPADDGGLNLIVLSYFTARVWKWNADCCDSVAGWVLRNTVDLKELLSLYSVSYNMLPNILGLVEEDNAMLLWTCSGMFVVHVESMQFKKLFNWGSRHICYHPFTSYIGEATHNISTYRDANVHSALISSVIRSEKAVSGI >Et_7A_050689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10145209:10146663:1 gene:Et_7A_050689 transcript:Et_7A_050689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLARFARAGEILLRLPVVALLEVPAGRHTIILMQPSQNRATRTFMDFDSVNHALDGICGLYERKIRNINPMVRNITYDISDLYNFIDGLTDISALVYDDSLHAFLPYDPQWIKQKLFQHLKKLAQR >Et_10A_000029.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:16988914:16989858:1 gene:Et_10A_000029 transcript:Et_10A_000029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMASAAGGAMASIVRKVGSMTVEQGARLMGVPANIDYLKRELKSMHAFLMGLSDIEDPDEVVKCCRDELRELSYDMEDAIDRFELGGGDGRGSHLQEGSSSSSAGSRFMGRFRHSMDLVNCVKSRYAMAGEIERLKDRVSEAEHRWDRYSLGEPAVRTAIDTRVVALYREAVSLVGTDGPMETIIGWLMGGDSSSLPLQDRMVVSIVGFGGIGKTTLAKQIFDKIKGQFDCAAFVSVSRKPRINYIIKEILSQFQRRCPTVELENLDPQGSEEPFIEMLKAYLRDKRYYTLIQLFAFVHELIDLQTSCIKA >Et_10B_003485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:20058271:20061416:1 gene:Et_10B_003485 transcript:Et_10B_003485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELPARAPTEQPLNLYLSIGSPGDKENISEDVDHPMLDKEVAFAAKGRKKPAGFNPRKSIAWNPAFFTEEGVLDNSELSVLTGSELMAHGTPSSGANGIKSPLRRSGRCGNTSLLKEVAENSRGKLSAKHRCIENQGRRLFSSAKPPQRDERKEPVRCQNRSYARSYQKCIPRKKVPNSTSTTPMSRKIPKKPLPMVPRSTTPETTVLKSNKKLALVKTEPIHRVPGLLPKSKINSGPILEKDMENADMSHSIVAQRNVGRFSAVNTSSLVKPPRYKQSEDLKTGIHLSKLLSTNSTTASNLISLPVTTDRNTNTLVGSEKRSLSKIISTYSAKSRDANIKKDQRSIAYWPEVQLLHNH >Et_4A_033071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18061835:18065558:1 gene:Et_4A_033071 transcript:Et_4A_033071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHEARGDDLEKKAEKKLSGWGLFGNKHEDAADLLDKAGNFFKLAKNWGRAASVYKKIADCHLQGDSKHEAASAYVEAANCYKKFSPQDAAEALNNAVNLFLEIGRLNMAARYSKDIGDIYQQEQDLENAAIYLNRAADLFDSEGQSSQANSMTQKIAEIYAQLEKYQEATQLFEEIARTREYKLLADLAASMDEGDVAMFTDAIKEFDSMTRLDPWKTTLLLKAKNELKKRDDDEDDLT >Et_5A_040603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10194160:10194894:1 gene:Et_5A_040603 transcript:Et_5A_040603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHPVEAVAVAAILDMETPGGGGGDMTGACWLADNPGAMGRTLGQTTPSLFRSRACTTGSFSAAFPMTVMSQRTAKPSSLKNPGDISSCSLSMNFGNPRVTVFLLKMNVDLPQYAGHSAPSAPGWRTRENLTSTWMSPATAAASSRTRYSEMKPAWP >Et_9A_061429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11767489:11773015:-1 gene:Et_9A_061429 transcript:Et_9A_061429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAGGSADRRGAGSGAGAAPSGLRRYGLNFSASSLLQAPLAALLEYSGVVPSGPAPQPDSIEATAGSEVDQASSASGRGGGDAEANGGGGGAASAAGNGAGDRAYQRYDVHHVARWIEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKISVLVGITVIFMIHVFGVYWWYRNDDLLRPLFMLPPKEIPPFWHAIFIIMVNDTMVRQAAMAIKCILLMYYKNCRGRNYRRQGQMLTLVEYLLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLAAVKALSRKDVHYGSYATAEQVIAAGDMCAICQEKMHVPVLLRCKHIFCEDCVSEWFERERTCPLCRALVKPADIRSFGDGSTSLFFQLF >Et_1A_005634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12220294:12220954:1 gene:Et_1A_005634 transcript:Et_1A_005634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPAGEMESGTGKEQQMQIVCVRSASTGGGEEGAEWESSRSALSLFKEKEEEIERKKVEVREKVFSMLGRVEEETKRLAFIRQELEAMPDPTRREVDSIRKRIDKVNKQLKPLGKSCLRKEKEYKMCLDAYNEKSSEKATLVNRLMEVPNILTLPCALLFEFIARFFFFLNSMHRQVIRSLAIYSVS >Et_3B_030418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3633021:3634847:-1 gene:Et_3B_030418 transcript:Et_3B_030418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLHVSNPTRTEQAEDKLRALPDDILIDIAGRLDDLRSTVRLGALSKRWRHIARSLPVVKIDVCDIRPPRGSCNYNPSSHRYTLDQKLTAHAAATAWLLSPATQTQRTIRELYLGFYLVDPYLQSVGRAVEDFVAARGGGSFEALQFTARPASAAADIDKDGVVFGQRFMSFFDACPHLLLTDCDSGPDSSLKIDAPGSDIRVLELIRCDYSTIELVNVPRLEQLKCCQWCGDDLPMRFGYVPCLALVAVSSSCFDWQEPFKLSEWLANCGNLYNLSLGFQDQKIWVKPEGPGQLSRIFSNLRVVSLLNISRDCDFDWTLYVLEAAPSIAKFRIKMSWHTCGRNKCEDSADKTNVSWEKSKFQCPNLTLLQIEGFAVEKKAMEYVRLVIKHAPSLKRIRLIKQNPDERCDSIYCQHRFPVQQREKNMIRERLTDGMSQMDCPLL >Et_1A_007608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36465708:36469645:1 gene:Et_1A_007608 transcript:Et_1A_007608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRFQNLLGTPYRGGDAVFAGDSPVLLSAVGNRVASTDLAASSSFTLPFESSSNVTRLASSPSGDFLLAADDKGRALYANLRRRAVLHRVSFKGAPSAIRFSPDGQLIAVAVGKVVQIWRSPAFRKEFFPFHLLRTFPGFAAGVTSFDWSPDSAFLLASCKDLTARLLPVKKGLGAKPFLFLGHRAAVVGAFFATDKKTGSVNRVYTVSKDGAIFTWNLVEGNEGGNDDSPPPSPGTPEQESEQNDAMELDGGSRKRKKLGEQDTTPLHLAKWELQEKHFFMQAPAKLTACDYHRELDMVVVGFSNGVFGLYQMPDFVCLHLLSISREKITTAIFNSLGNWLVFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCIAYSPDSQLLATGADDNKVKVWTVSSGFCFITFSEHTNAVTALHFMANNHSLLSASLDGTIRAWDLFRYRNFRTFTTPSPRQFVSLTADQSGEVICAGTLDSFEIFVWSMKTGRLLDILSGHEGPVHGLMFSPISAILASSSWDKTVRLWDVFESKGAVETFQHSHDVLTLAYRPDGKQIACSTLDGLIQFWDPLEGLLMYTIEGRRDISGGRLMTDRRSAANTSIGKYFTTLCYSADGSYILAGGNSKYICMYDVGEQVLLRRFQITRNLSLDGVLDFLNSKRMTDAGALDLIDDEDSDVEEGIDQQTRGNLGLGLPGSVANVEDLNEISFAAATTDGVLLYSVDESFIFDPTDLDVDVTPEKVEEALSENQHQRALILSLRLNEDSLIKKCIFAVDPSNVRAICSAIPFKYLQRLIDAFADLLESCPHLEFILLWSQELCKVHGHYIQQNSRTLLPSLKSLQKSITRLHQDLADTCSSNEYLLKYLCSAGTKN >Et_2B_019662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1222161:1228643:1 gene:Et_2B_019662 transcript:Et_2B_019662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGGKRSLIISCLIAVLLLLLQPLRFTNGVDQVTGEVFFTDSSTTYTRARHEGVTATGDSTGRLIKYDPRMNRVTVLQEGITSDRTHLVVALTGPCKLMRYWIRGPKAGTSEPLADLPGYPDNVRADGRGRYWVALHREKSGLPFGPDSHMLAVRVNADGKVVQVMRGPKNVRPTEWSEPLADLPGYPDNVRADGRGGYWVALHREKSELPFGPDTHMLAVRVNVDGKIVQVMRGPKSVRPTEIMERKDGKLYMGSMELPYVAVGSAMIGTEDEAKTVITEQATTISHWFH >Et_9A_063298.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19379505:19381571:1 gene:Et_9A_063298 transcript:Et_9A_063298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNVKPEAEPSSPYSSSSAPDGVVLRSLHRLARDLSAAESPAPFMRAVFAAVSRRARLLAAVFDDMLLGGVMIALPRSASLCLRETLLVLQRFKALVADCATRSRTRLLLQSDEVAARARELQHDLATLLDLLPVAELGLADDVSDLLALASRQCRRRAAPGEEEEVALKAGVLSLIHEVEREIVPERERLEAVLEEVGINDPASCSDEIETLEREIGDRVAERWTPAMISLVGLLRYAKCVLFSAATPRPTKADLDVDYGGAEPPSPPPDFRCPISLELMRDPVVSASGQTYDRESIARWFGAGKSTCPKTGQVLSSLELVPNKALKNLISRWCRQNGIAVDGGCEPGKAEPAPATAANKAALEAARMTASFLVKKLSASSFSPEATRRVVHEIRLLAKSGADNRAFIGEAGAAGLLVPLLRSEDAALQLDAVTALLNLSILEANKKRIMHAEGAVDALCHVMMGGSGASTTWRAKENAAATVLSLAAVHAYRRRLGRNPRVVESVVRLARTGPSSTKKDALAALLSLSGERENVGRLVEAGAAEAALAAIGDEDAAAAAAVLSALAKRGGAEAIVRIDGAVARLVAEMRRGTEWSRECAAAALVLLCRRVGAAAVAQVMAVSGVEWAIWELMGNGTERARRKAASLGRACRRWAAAAAASAANAEQSTECPTSGAAAAPRAMMAS >Et_1A_005469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10662007:10663887:-1 gene:Et_1A_005469 transcript:Et_1A_005469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLTAASGGLILGYDIGVTGGLTQMESFLQAFFPDVLEKMDNAQQDAYCIFDSQVLTTFVSSFYLAGMLASLVAGHLTRTVGRRNSMLIGGLLFLAGSLLNFAAVNVSMLVIGRILLGIAVGFTSLSAPVYLAEIAPARWRGALTTSFSFFLNVGFFMADLVNYGATTIPRWGWRLSLGVGVVPAAAIVAGAALIPDTPSSLVLRGRLDEARASLRLVRGAATAKAADIDAELKDIIRAVEMDRRHESGALRRLCGRREYRPHLKLKIDAP >Et_4B_037732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22984164:22984734:-1 gene:Et_4B_037732 transcript:Et_4B_037732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTLNQHETMRGRDVGRVARGEQAPRPPHEPGSIADSPPPPPPESDKEDDGRPVIELRTAPTDFRFPTTNQTRHCYVRYLEYHRCLKGKDDEHRSECDKFQRWYRSLCPTDWVVEWNRQREEGIFPGPI >Et_3B_028533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16573593:16586026:1 gene:Et_3B_028533 transcript:Et_3B_028533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKKGFGTSCAPQREKKGSGDGARDEQHNGSSSVPSQFLPFLGERRHTENSSKRHALTPMSSGAAAPEVIELSSDDEDEEELPVPGAGALALARSAPSSPPDVKPPLLADVDVKPLLLPPLLHPPPGYGAIVPVKTEDPFPTPVPVAAVPPRPKALPPPRLCRQFWKSGDYVVAHRNPDANAPGGRNRLRINPKFLHSNATSHKWAFGAIAELLDNAIDEMNNGATYVRVNKFTSPRDGNASLLVQDNGGGMDPEALRRCMSFGFSDKQSDAFIGQYGNGFKTSTMRLGADVIVFTQNQKNWVPTRSIGLLSYTFLMETGCDDVLVPTVDYQYDTTTASFTQMLRHDQKLFSSNLAILLKWSPFSSEAELLEQFDDMGEHGTKIIVFNLWLNDDGDMELDFDSDEKDIIITGAQKKVKTNKLEKIATQNYIANRLRYSLRAYASILYLRVPDNFRIILRGHDVEPHNIVNDLMYRECVLYKPQIAGLTESSVITTIGFVKGAPNIDVQGFNVYHKNRLITPFWKVASNSYGKGRGVVGILETNFIKPTHDKQDFEKSVLYQRLEIRLKDMTYEYWDLHCHRVGYDNKKLPKATRALNRANNLNAGGSPKSAPPRLLAADIPTSSGGIPRLAASAAREKINTLESASNSRMGLKRKFDSIGTMTGNADHEGLEPTERVGVLQRKRFNEYKTLTLENEKLQNECLQYEESEKQLVLKEEKLRSEIAEAKKKYQELLEELRSLDLKGCVKGIAYPLPLCKQPTSNGSMVPCASPYEFQHNASCTAPPVELAGAGIRCTSTMLTLVHGLASLHASRTGWYEYVPTKRRYVTPVIATAPVRFAQRAPPRSQ >Et_2B_020503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20909450:20913180:1 gene:Et_2B_020503 transcript:Et_2B_020503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPRILKLSLLRRLRAADLPPPWRPRYVPLYLLSLLLGAESLGAARARLLPARGYHSRSYSSGGSPRPMRQFSEQNESSPQPLIYYIVPSAALFVAGLATFVHYNDERRAVPLEAGQGGVPKRCTTNRPAIGGPFKLYDTENNVVTESKLRGNWTLMYFGYTSCPDVGPRQVQKIADVVKALESKYGIKITPLFVTIDPQRDSSAQLKAYLSEFDPRIVGLTGPINAVRQIAQEYRVFFKKVEEVGQDYLVEISHNMYLLDPCLETVRCFGTEYEASDVAEAITKEVQKASASSADYYPGLNTTASAVFQSLR >Et_6A_046632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18395852:18405020:-1 gene:Et_6A_046632 transcript:Et_6A_046632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASDPSASDAAAAPDEGAPPPSPPPPPPPPRPLSLASALPFWFYLAAAVSLLALLLPHLLPSSSRPQLPPPLLGRHLAAGRVLKLDPAPGLFSISSRPAAAAHAPRVLILPGLAAGSLSFRRVLPALSSRGVHAAALDLPGQGLSPTPPDAAAPKRASALREIMDRGIFHAFEHLVETGEVPYQEPSDAGAGAPPTASSSPYAPAQAAAAVARAVEALGVTPVHLVLHDSALAAGAAFASANPGMVRSVTLVDTAVSLPAFPAAVFDVPVLGRLVLRVPALFRGLVRLCCARGMGAEEAEAHRAALRGEGRVQGVVETWKAMNHSFQLGEWRHSSEDVRKLPMMVLWSGSWSDLWIDEGKKVVAALPDAKFVYHSGGRWPQEDATEEIAELIAEFVPGLSISTVSSWPEDASPTARVLIIPGLGAGAFSFRDVYRALASRGLHAVTIDLPGQGLSSKPPTPSPFFLALARLLRLRAGDESRAPAPSSYSPTQAAAAVARAVEALGFAPVHLVLHDSALAAGAAFASANPWAVRSVTLVDSAATPLPAFPAAVFRAPVVGRLVLWAPPLFRALMRRCCVRGTGLAMTAHEHRALMMWKRKEGVVEAWKAMNRSFELGEWRRSSEEVRELPMMVLWSGTWSDWWIKEGDKVAAALPDAKFLYHSGSRWPQVDAPEEISELITEFGGVMKLDPDLSLFAVTSRPWGVPPTHRVLILPGLAAGAFSFGRVYHSLATRGLLAVVLHLPGQGFSPAPPPARARPLSPYAPAQAAAAVARAAEALGVGPVHLVLHDSALAAGAAFASANPGVARSVTLIDAAASSSSPAFPAAALGVPVLGRLVPWVPPLFFRWLLRRCCVRGMEAEVARQYRNAMLGQGKAERVVEAWKAMNRSFELGDWRRSSEEVRKLPMMVLWSGIWSRSWTNEGKEVAAALPDAKFIYHSADGLDQEDASEEISALITKFVTSFEETADDYIEQPGIKKMRRLWLCPTKYTTPNLITSTLFSQYYWLVMDN >Et_9A_062259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21166191:21170677:-1 gene:Et_9A_062259 transcript:Et_9A_062259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLRHGPAAGWAGVAGAGPTTVDEASMERSKSFINALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLDNLKDYAVRAIVNAVDHLGTVAYKLTDLFEQQASEVSSLEMKVVRLNQQVFTCQVYTDKEGFRQQQMTGTDIKHHKHYILPSTGHKRNQAVAHLQTDSDQESKPRPYPSAKTLSWHLASENSTTANRADKPTFALGDTVSSKLPSGKERSASPMRRHLQLNRSASSDATQKTGPKNQFGAKDLTTFHSFDNPKGRAIQKAPVATKSMLAALFIKHKSAKMKKIAARMKIMSLELSGKHRIYQAISTRNVKFQLHESFHTA >Et_6A_046183.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:8689349:8689534:1 gene:Et_6A_046183 transcript:Et_6A_046183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FELASPDRISPELKEKIGNLSFQSYRPNKKNILVKKCKFGIDLASCFEFVLIKKNENLELI >Et_3B_031680.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:7684298:7685626:-1 gene:Et_3B_031680 transcript:Et_3B_031680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASKSIERLAARLVVPAEPTPAGPLRLSWLDRYPTQMALIESLHVFKPAAAADIAAASPARTIERALARALVHYYPLAGRLVLSDSGAQLAVDCSGGGVWFTEAAAACTLEDVDYLEAPLMVPKDDLLPPTPGAEDEEDPRRLVLLVQVTAFTCGGFVVGFRFSHAVADGPGAAQFMNAVGELARGDGTTTELSVPPQWGRDAIPDPAGAVVGALPTPPEGAKRLEYLAIDISADYIDHFKNQYNSAHGGAGGWCSAFEVLIAKAWQSRTRAARFEPDSPVHVCFAVNARPLLHASLPSRGAGFYGNCYYIMRVSAPAGKVAGSSVTEVVRLIKDGKRRMPAEFARWASGDMGADAGVDPYQITADYRTLLVSDWSRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWAHKPGARLITQCVTPDRVAAFHQGMLDMS >Et_6A_047160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26149177:26152464:1 gene:Et_6A_047160 transcript:Et_6A_047160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EQRKFLEHPCSNSIMYTKEDRANKILCFACRNCDHQEVSDTNLVYRNVVDHAAGEFTQMLYNDVASDPTVPRTKSVRCAACGHGEAVFFQATATGKEGMTLFFVCANPSCGHRANKILLFACRNCDHQELSDTNLVYRNVVDHAAGEFTQVLYDDVVSDPTLPRTKSVRCAACGHGEAIFFQVRAIDRQSIVFCHVERNIDRQSIVFWHRETTSNVR >Et_1B_012819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35325652:35343048:1 gene:Et_1B_012819 transcript:Et_1B_012819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQAAIAVALLAMAWPCSYTKMAAVQPLTSWPPDQQDDVPAMPGPPLPSPPFEFDRPDYPLQESPQHQEYETAEPALPAPELETSVPTPPHHKQSPLSPQMVPSPFPPASPGSINNSATAWTTVLVFGDSMVDPGNNNRLETAAKANFLPYGMSFYGGKPTGRFSNGRLITDILAEKLGVGRSIPGFHDPKLKPEQLMAGVSFASAGSGYDDTTARRSNVLSFSNQLEDLWHYKRNLKRLVGPGRAEQLVKKATFVISAGTTDLLFRYLPSNQSAEDTELKYENQLIAREMKNLGGRRFIFVGVPPIGCLPFVRTLLGTGPEKCHGNMNSLATLFNKKLVKLAHLLNNKPYTRATFIDIYTTIARATIDPGSFGLTETSRGCCGTGMIEVGQTCRGQTTCTDPSKYLYWDAVHQTERINQIVIDDVLMNSIRVWSEELCTSWKWDRRTSENYVYTNRGINGAVELPLIKQIAFCAPKVVLPGILNDTRENGFSKRRQKFFIG >Et_7A_051883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2655506:2658555:1 gene:Et_7A_051883 transcript:Et_7A_051883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLKYRAGLCLIAAVVLIWVISAEVTQGIFTKYRHPFAITYLGASLMVIYLPVSFLKDFICNLMRRPSGSKSASKVACKSSFGGSAPLKNGEIQKMLEMESQKTIVINYSDVEVPIVEEAKPLICGITEINDGVLKKQELSTKEIATYGLYLCPLWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGVLLGQDSINAAKVIAVFISMAGVVMTTMGQTWASDESGVGNSGATERTLLGDMFGLMSAVAYGLFTVLLKKFCGEEGEKVDVQKLFGYLGLFTLLALWWLVWPLTALGIEPKFSMPHSARVDEVVVANSLIGSVLSDYFWALSVVWTTPLVATLGMSLTIPIAMVADMVIHGRHYSAVYILGSVQVFSGFVIANLADRFSRSLGL >Et_1A_007779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38160538:38162660:-1 gene:Et_1A_007779 transcript:Et_1A_007779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAGSKRGGGRGRKALVAVLDNEANISAGKADAVHSSAQKAKRAPSRSSKAKAAAAAAAAEASPVAAPADDMAELQGMLERLRLEKEKAEEMVRERDEVIRKKEEEIETREKEQERLQAELRKVQRAKEFKPTVSIPLVKSLLEKDQEVDDKGKKKKGKGKAGNERKKPCPAYVLWCKDQWNEIKKENPEADFKEVSNALGAKWKALGAEEKKPYEERYRQDKEAYLQVVGQEKREAEAMKLLEEEQMRWTAKELLEQYLKFRQEAEEGGDGKKGKRKNKKDKDPAKPKGPMSAYFLFMQERRAALVAEKKNVTEIGKITGEEWKGMTEAQKAPYEKVAKRQKEKYLRQMEVYKQKKTEEAATLEKEEEEQKKILKQEALQLLKKKEKTDNIIKKTKEQRQKKKQENTDPNRPKKPASSFILFSKEVRKQLHEERPGTNNSTMNALISVKWKELSGEEKQMWNDKAADSMAAYKKELEEYTKAHSSSA >Et_3B_027416.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:14225472:14225759:-1 gene:Et_3B_027416 transcript:Et_3B_027416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPSWNNFLRSLLRFVVVRFGHNEGLGRICRLVFFFSSVAERRRRKTQERRSFSTSPAGVLAAVRWASVLEPVVGCLPVHAECLPVQPSIRSVI >Et_9A_062452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23006851:23009101:1 gene:Et_9A_062452 transcript:Et_9A_062452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFLKSPHSLSGKFVTTAMPWLSLTKQEEEDVLLRAAQAGGISEKVSEERLISLPEQINKQTSRQTKDWAQGVEVSFMTMISCNLQVRYMRVYDEVESKWCRTITKIEGASNYEQVVTFESVFIHLLTRVFLLFEWKHTGASALMG >Et_9B_064957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1998805:2000606:-1 gene:Et_9B_064957 transcript:Et_9B_064957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSKNLVPSSSASSSDLDPLLKDLTEKKLTFRKNVVSLAVELKDVRNKLASQEQLFARESQTRKVAETKAQSMEEEVIKLQKCLQDKDEQLRSSTCSTEQYLNELDDLRTQLSSTRATAEASAASAKSAQQQCLSLLKELNEKDSSLKEHELRVNKLGEQLDLLQKDLQARELSQRQLKDTVIRIETDIMDAVAKAGSKSNKDNELLKILSDVSPRNVQNLNNLLNAKDTEIARLREEIRILSVHWTNKTKELESQLEKHRRTDQELKKRVLKLEFCLQESQSQMRKLKRMGEKRDKVLKELMDQVAMKHPNGLCRDSKENFWENQGFKFIASMSMLALVILAKR >Et_3A_025571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30937428:30938776:1 gene:Et_3A_025571 transcript:Et_3A_025571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEMDFEPPSSGEAISKHHQATCHLLQAVLGPTTEVEMGIHERAMKHVRAIREERERSSLKKRLMMRLRKDGYDASLCMSSWAATFEHPGGDYEYIDVVVAEDAGAATTSSRLVVDIDFRSQFQVARPAPWYAHLWSQLPPVFVGPRAKLRKAVALLCAAAQRSLRESGLQVPPWRRSSYMHAKWLPSGVALPAGGAPAAAVPHWSVAKERSCGPRRSGGLSMQLGAGAEASGCQAGSIWA >Et_8A_056249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17918327:17919376:1 gene:Et_8A_056249 transcript:Et_8A_056249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCVAVTTQGTHIFRVTNYSLHRGLGMGRPIRSGIFKVGGYDWAVCYYPEGITLGTRGYVGVALELITPNSEVRATFSFGLVNRQSRLTHVKRSLLGVYPLMKRSELEVSEFLQGDCIIIQCKLQVIGMPQIEMGTLSGIQLPPSNIIDDLRMLLEQKVGTDVSFDVQGVKFDAHKNILAMRSPVFKAELYGSMMETRMQLITIADMQPVVFKALLDFIYTDSLNIRDRYAIERLKLICEQTLSKRLSAENVASVFHFADQNNCSKLKDSCIEFMSPSNRITDVSRSQGYLNLKRHYPSVLIEMLEKASKIQKI >Et_4B_039818.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3327497:3328480:1 gene:Et_4B_039818 transcript:Et_4B_039818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETGARAAAAAADEIRRLPAEVNWEMLDKSRFFVLGAALFSGVSAALYPAVVVKTHLQVAPPPQAAMATAAAIFRRDGLRGFYRGFGASLAGTIPARALYMAALEATKSSVGSAAVRLGVSEPAASAAASAAAGVSAAVAAQVVWTPVDVISQRLMVQTSATGCRYRGGADAFRKILVADGVRGLYRGFGLSILTYAPSNAVWWSTYAVAQRCLWRVVGADRSESHASLVAVQGASAAAAGGAAALVTMPLDTVKTRLQVMETDAAAAARPTLASTMRGLLKEGGWAACYRGLGPRWGSMSLSAATMVTTYEFLKRLSAKEGCFD >Et_5B_045018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8449744:8452489:1 gene:Et_5B_045018 transcript:Et_5B_045018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAVAARWNSPLSEWAAYLGLVARPSGDQWNQGARAEGEREKSGGNGMEDFYVTIPYGAAVLAGGVAGYVKRGSTASLAAGASFGGALLLAGALSAWAFARGESGSVFATVLQTVCALALTVVMGVRYIKTRKIMPAGVIATVSALVLIFYIYKILNGGNKVYLPVSAE >Et_10A_001396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2657794:2658444:-1 gene:Et_10A_001396 transcript:Et_10A_001396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVPCRGITSPAWLTAAGVMSSRSAASDEALHCRFGLGSSSSSASATLSRPVAWVGHVARALTPCHKTRPPATTKIGNGRIDANHLCGGEGVGELGQRFRDGVQAADQHQRVLTQPLPPLGFFHPALQQPRNRARQDPSDSRQKKKNTEIESPETSSHRGGGGRGEDAAVLEDGVDAVAETVPEAPVVGGGAGQRHGGGLHGT >Et_3B_030829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7816019:7817460:-1 gene:Et_3B_030829 transcript:Et_3B_030829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRMVSDPAPDSSGPRVGGGAALFAVPRLFVGFAPKRAADGESSRSPTSPLDPKALLLRSPRSPRTWDAEPVGRGLVDALAGDAKNCLLSPRLRLKSYSSLPKDCGGGGHSQPELGKTMSCPVPDNAAAAAAGMSVPSSRFFFRDLKSGPEAAQSDGAHLNAARHSFDLGKLPGPGSLPASIAAGARRFIASVSASEIEQSEDYTRIIARGPNPKTTHIYGDCILEPLPVGEADQAAMEVQEGAAAESYWVVKCADEAAPREDFLSSCFTCRKKLEGNDIYIYRGEKAFCSASCRDQEILLEEEAEKTTSTMDSPRSTCSSFHDDIFMAGMIVAT >Et_7B_053219.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12642315:12642686:-1 gene:Et_7B_053219 transcript:Et_7B_053219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFGLPPALLPDTARRYLLHADGSFELFLDDGCIVDARGYVIGYDIKLSGTVSPGAVTGLKGVRVRVLFAWVPITGVEVRGGEVTVSVGPVKKSFPAVGFKSSPRCISGSAVEAAADVLVPS >Et_2B_019335.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24378154:24378384:-1 gene:Et_2B_019335 transcript:Et_2B_019335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLVLCLCICVVLVIASSPVPISDTRPPLGRRLLQDGAVVIDGEPAANTTSGSTPETWYDGSKRISPGGPNPQHH >Et_10A_000165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13814344:13814787:-1 gene:Et_10A_000165 transcript:Et_10A_000165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGLVRAGHYYHDAAGEVVLFRSSASPPPHKPAKKVLHPHARESRSCQVRSTMENQAGSRRQQGALVADGGSHKPRRPRVVRSVDEDLYQVPSDLLRKKGKGVLAHPSTHLVCT >Et_2A_016523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25520791:25523453:-1 gene:Et_2A_016523 transcript:Et_2A_016523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAVAVLAAAAARRNPARHAYLLSRVARGLHDAAAVAPSAAESKAGTRKRRRRSSSSLVLGPDFPDTWDPPTRDAARPHHPRGDGASTDRPATIIDGKSIAEDIRLHIAEEVRQMKSAVGHVPGLAVVLVGDRRDSESYVRYKTKGCEEVGIKSLLAKLPGNCTEDEVMDSVLRYNEDPSVHGILVQLPLPQHMDEERILSAISLEKDVDGFHPLNVGHLALRSRKPLFVPCAAKACVELLLQSGIELMGKHVTVIGRSKVVGLPTSLLLQRHHATVSVIHAFTINPEAITRESDIVISAAGVANLVRGSWLKQGAIVIDVGTNPIEDPTSDYGYRLTGDVCFDEAVRVASAITPVPGGVGPVTIAMLLANTLDSAKRIYGLSD >Et_1A_006231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19435768:19437418:1 gene:Et_1A_006231 transcript:Et_1A_006231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLDHCLRFRSPPAITCAPVPAPAAFSALSPITCPRRRFCGVLRPPRARPALLTALASAGMEATVARAPEMAQREVARALAAEAEERLGPRLLPSAVPADVAEFRNGAGNAVGSLGVRRGAPDSSIDFMMQSSLHCKVPNGAIDITSILIFLNASTDAPHFLMEFIQGSPTSIVVLLDLLPRKDLALHPDYLKKFYENTQLDKQRGKIYELPQTRPYLSPSLFVRSSFSPAAILVSIDCGQGGEDALEEIVRGQLAMVAKEVLHIWLEICADSTTEMEETERMCLVKRDKTVRSKSIEVDLTANLPRMFDPDVSSRVIAEIRKAFGVEES >Et_4A_035218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12843481:12850938:1 gene:Et_4A_035218 transcript:Et_4A_035218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSTSRVVGCFAPADKAGVNLEFLEPLDEGLGHSFCYVRPGGIADSPAITPSNSERYTLDSSVMDSETRSGSFRQEAVEELAAAGLQRPSRSFSETTFRTISGASVSANASSARTGNLCVSLAGEMQEPAAAFESTASFAAVPLQPVPRGSGPLNTFLSGPLERGFASGPLDRGAGFMSGPLDKGTFMSGPIDTSNISNFSAPLSFGRKKAGLGHLVHRISRPMKTALSRTFSRSSHGSGWVQKFLLHPMAHLAWSRDAKCRSEGSQNGLEAGIPEPEYSVTRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSNLYKAIDKELEGLLWVYEDTAEKGDHVPTHGDGQSVAASLGPPCGDSTEFQIENRKQDQFGSFEKQSVSAGKDSDESSLQGQPYSSSSEQKDLVTQVSNSQELDADEIVEETAGADVGNNLQNRDPNNLNKDLSSTDGNTSCCCTTENSLNCNQDAKLLKQSRKSKRLFELLEMELVEEYNRSISRLSAEERKRQSLLNVQAGTTEESSRNASELTRCSLSATRDFDDTEEDPGSSRRCDSVLGVDPKGCGECSVSTSSSGRKQITRRFIFGSKLRKVYKKQKLLQKKLFPWNYDWHRDQPHEDGSAITSSEVTRRCKSGPVDHDAVLRAMSRALETTEEAYMEIVEKELDRHPELALMGSCVLVMLMKDQDVYVMNLGDSRVILGHDGDQYNSSNFSKGDLRYRNRSRESLVRVELDRISEESPMHNPNSHLSSNTKAKELSICRLKMRAVQLSTDHSTSIEEEVLRIKAEHPDDPQAVFNDRVKGQLKVTRAFGAGFLKKHSSLAPPIERSTDQELDGCWLKDEGFLQKLKD >Et_3B_030025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:359395:360806:1 gene:Et_3B_030025 transcript:Et_3B_030025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAGLVFRGCQLPPGFRFQPTDQEMIVCYLKKKIAGTSSSVTSIIADVDIYKFDPWELPEKATFGDGEWFFFSPRERKYPNGARPNRTAGSGYWKATGTDKPILAAQAEAKCLGVKKALVFYQGRSPKGTKTEWLMHEYRLLDTGNHTTSSSMRLDEWVLCRVRNKAAGCVSSSQLGPSSEDMAPPVAVAPASNIDWTTDDDGHLLRYLIGGGDALSSSCTDHHVQAVTSSVLESIQRKLSFQAIDELYLLQPATKRAVRPTTASSTTPTTKRPWTASSTS >Et_3A_026029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3623378:3627052:-1 gene:Et_3A_026029 transcript:Et_3A_026029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKPSSLSKPLFAFFFLLLRKLAGRRHRSRMAAAATTKPSPPPPPLDKLHGKTLVVDVEGWILRPPVSAFPYFMLVAVEAGGFLRGLLLLLLYPVLSLLGDGARARAMATVALVGLEEKEVARVGRAVLPKFFLEAAAAEGVAAVRAAARVVAVSATFPTVMVDGFLREYVGVDAVVGTEVRSVGGVLAGLMGEEDAAEMAAKRIRALFGGGEVEAAGKKDERAVGLVGTASSGRVHHLFSPYYCKETFAVSEADARGWRPLPRGEYPRPLVFHDGRLAFAPTPPAALAMYTFLPFALALVAFRTLAFSFLPYRVCFPVGALTGVHFRLVAGDGHVPGGEHGGGPLYVCNHRTLLDPIIVATALGKPVTAVTYSLSPVSELIAPIRTARLTRDREADRRNMAALLARGDLVVCPEGTTCREEFLLRFSALFAELGAGVNPVALDTRVDMFYGTSTKPGAKWMDPFYFMMNPRPAYRVEFLARATAPAGRREESIDVANRVQRELGKALGFELTGLTRKDKYMMLAGNEGVVPAAPNNN >Et_3A_026785.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:20604264:20605724:-1 gene:Et_3A_026785 transcript:Et_3A_026785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPANAPHIVLLACPAGVGHVPPMVEFARRLAEQHGFTATLITFSNHAVATHDSSLPASVDMVSLPAVPLDDLPADARIETRMLTIVSKALPHVRDLLASIVRERGGRGDVVAFLTDMFCASALPVAAELGVPPYILFSSGLMTLALFLHLPELDAATACEYRDLPEPIRLPGCVPLRGADLVDTIQDRSNPACGLMVDMARDHLHAEGFVVNTFDAMEHDTIRAFRDLSDKGVYPPVYPVGPILRPCSVAAGEHGCLRWLDDQPDSSVLYVCFGSGGALSVEQTAELAAGMEACGHRFIWVLQVPSDKDSSAAYFGTAAHGDDPLTFLPEGFTERTKDVGLCVPLWAPQVEILHHRAVGGFISHCGWNSTIEAAHAGVPMVAWPLFAEQRMNAALLEEKVGLVALRPASTREKGRVVVPREEVEAVARELMAGEKGKAARKVATAMQKAAAEALEPDGLSSKALAAVANKWKVAHRGAENKASSL >Et_1B_009789.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26933679:26934047:-1 gene:Et_1B_009789 transcript:Et_1B_009789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRAFEKSLHFVYTDSSLPEMTKEEEFKMAMHLLTSADCYDLHRLNLICAETLREYIDLDTVVNLVVLAERHGCHMLKKDCIDYLRCPPTLDLVMAFGNGFEHVTQYGPAPPPAVDLPGRS >Et_7A_052579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9559953:9563445:1 gene:Et_7A_052579 transcript:Et_7A_052579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMRRFLNPLVLNLHKMELELTCPVCLKLLSAPTMLPCYHTSCSMCATTRTANGYSCAICKAAYRPQDLRTASHLEAIVSIHKNLSSTVNTMLTQQETHVDIPAAKTTPQGTPESGNRSGVDKPDQMKSYNHIASKLVYNQSTGLAFGNMDGVQARDSAFANKTADAAVAPSILVQKGHSGSQSSDGPGDLDCDSNDLQGERITSRSAPKSAQKREPNAMDDHARELKRQKSVDQGERQPTMAAGAWKCEFCHSSKITEFTGPLSHYLHGEPLEDDQAWKSNVLHVHEKCIEWAPQAFFTGDIANNLEPELARASKIKCSVCGLKGAALGCLVKSCRKSYHVPCAHQISGCRWDEENFVMLCPSHSSKKLPCERSKSKKKIKLQQRSFDMVPDDLSSPSPMQRDDLWTASPFLTSEWLLCGSALNGQDKEIVDQFEQQTGITVINNWRSNVTHVIANTDERGACTRTIKVLMAILAGRWVLNVNWLKACLEAGHPVPEEPYEIHCDVHGSFDGPRMGRLRAMHQAPSLFAGLTFYFSGNFMPGYKVHLEDLIAAAGGTIVEKTELSSTSLILYSMEPQGSDPNTLNEVINKRMAEAEEQAAAIGCKALPHTWILDSIASCTVELTV >Et_9A_063454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2940554:2942120:1 gene:Et_9A_063454 transcript:Et_9A_063454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYARCGRRGDAARVFDEMLVRDSVSWNSLLAASSSSSEEALALFRRMLRSAPGAGACDQATLTTVLSACIRDGPGAASLRACAAVHGLAISCGLDARVSVGNALVTAYFDCGFPGSAERVFTAMTERNVITWTAMVSGMARAERYQESLALFRLMRCEVDANSVTYSSSLLACSGSLAAREGQQIHGLVVKAGFDTDLHVESGLMDVYSKCGLMEDALRMFRSCWNPDEVFLTVILVGFSQNGLEEKAFELFAEMVSAGIYIDANTVSAVLSAFGASAPFALGKQIHTLVIKKCFGGNTYNSISWNSIIAAFARHGHVSEVFRLFESMKADGVTPTYVTFLSLLHGCSHVGSAKKGLEILNSMSSQYGIQPRVEHYACVVDMLGRAGQLKEAKAFIQDGPFKDSALLWQALMGACSFQKNSKVGRYAAEKLLLLDPECTAAYVLLSNIYSSEGRWDDRARVMKRMREMGLRKDTGKSWIDDVLLHLSVKHLLM >Et_8B_059121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14081116:14084004:-1 gene:Et_8B_059121 transcript:Et_8B_059121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPASVVLCIILAFLNIFAFLLAVGAERRRSTGKVVPDEYDLRSYCLYDTDASTVYGVAAFFVLLLQQLIVTGATRCLCFGPTLASRGCAVTAFVLSWLTFLIAEACLIGGSVRNAKHTKYLGYYMKHDLVSCATLRKGVFAAAAAMMLINLVASLVYYWSYSKSATGGFMKHQNEIGVGMTDYGLDKGVSGP >Et_3B_030255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31806483:31812370:1 gene:Et_3B_030255 transcript:Et_3B_030255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQSGLLAAAIAIATAIFLLPLDSRLSWTPRGRFADMILANATIYTADPVRPFADAMAVRAGRVLRVGTYDSVKGLKGRQTYELNLSGNVVLPGFIDSHVHFIDGGLQLARVPLRGVRSKDDFISKIKEAVRAKHSGQWILGGGWNNDAWGGDFPTAAWLDDVSPNNPVWLSRMDGHMGVANSLAMKIAGIYKSTNDPVGGTIMRTPEGDPTGLLVDTAMKLVFDVIQEVAIQERREALFRASKHALKRGVTTVVDVGSYFPGSSTEKTWQDFSAVYKWAHSVEKMIIRVCLFFPMPTWSRVSDLIIENGRSLSQWIHLGGVKAFLDGSLGSSSALFYEPYEGDTDNRGLQVIDMASLLNATLESDKVGLQVAIHAIGDKANDMLLDLSDMIVDLNGMRDRRFRIEHAQHLAPGAANRFGKHDTIASVQPDHILDDAGSAGKKIGTERAERSSYTFRSLLGGGARLAFGSDWPVSDINPLQAIRTAMFRKPPGWEAPWIPAERLSLDDSLKAHTISAAYACFLDHIVGSLSEGKYADFVVLPSTSWDEFSSYIPENVLATYVSGKLAYP >Et_9A_061568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13847318:13870231:-1 gene:Et_9A_061568 transcript:Et_9A_061568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALLLMLIRLRALLASCFFGLAPAPSSLPDNDDILREILIRLPNLPSSLLRASLVCKRWRGVISDPAFLRHVRIHHRKTPPLLGFFSGHVFTPLLRAPDRIPRRRFSMPQQPRNGGHLSICGVRHGLVLFLNESRLQAVVWDPITRFRKIITFSPDFWVGGEVESSDYGTNYGAAVLRTTDYDGGDGRGCFKVVLTRTEKRQGGDGGSHTTSVFMAVYESATGKWSRTSSTVIPSSHSFLSNVLVGNALCGFLQWSTGILEFDLGTNTVGVIQKPNSVDSSNDGWFRVVRTQDGGLGLAKLDDTGFELWGRMTAHGAAADAGSGWVLQKTVDMDKLLFPVLPTGFSAHVDESDYFPRMVGYDEDNNVIHVAVNTSVFTLNLETLQYTEVSSGPWIFSCYPYTSFYALGEFSFSHQFTKSRKAPSSPAPACVAAGSRRHPPPAAALLTPPRLRRQQALAPPHLRHPLCPPLPDPPPPHASPARLSCFQVLRTDNVELGLAILSEHTLRVWGIKAGSDGVIRWVLQKTVDLGKLLSLTTGSISCPATINGFYEDSNVMFLYTPIDLFMIQLESMKSAPAPAPKEDHSINTEIESASALEDDDILREILIRALTPLPSSILRTSLVCKRWCRLLSDPDFLRRFRKHLSTTPPPLLGCFNIDQAVFTPLLCPPNRIPSDRFSLPLPRRHKPLTVKGVRHGLVLLLNSFSSRAFDITVWEPVTGHLHNVPTLLESTASKWNSCNSGDVLRSYAADGDGGGFMVVLILTREEMNGHRDMRVSMAIYESATGKWSETNSTVAPTCFLSYVPAVLVANALCGFFYWTTGILELDLDTNTVGMIQKPKSIDAHESSFFRVVRTQDGGLGLAKLSATIIQLWGRRTHGDPADAEWVLQKTVDLLDKLVFPTRPAATTSVRELPYPRIVGYDEDNNVIHVVVNAGCVFTVNIETLHYSEDDEVFVSLGLRAEDEVARMNNGHHGIADNGCEAEILVDDDAENEPRFFLDKENPNIKKAVSSPAPTAQMSEGPSSPAPASLPDSDDILREILLRLPPLPSSLPRAALVSKRWHRLISDPNFARRFRAHHHRTPPLLGFFAYHSGTLAFTSALDPPDRIPPARFTLAPRPGQRLCFLGCCHGLALLLEWALLEAVVWDPITGRQHCIEFPPEFKVDTENYFYNGAVLGPAGDDDGDHDRHCHFRLVLVRAHIQGMLASACLYESESGKWGSISSTAVPSTNLFQSPVMVGNALFWLLSRSNDILEFDLDRQALAVIQKTMGPSSTDLSCFRFCQSIPSEFGGAKSVQMVLSDGGLQKTVELGKLLSLSTTGRITCPATITGFDEDSNVIFLCTSVDFFMIQLESMKFTKLLNNTVIYCCYPYTSFYPAGNNSY >Et_1B_013426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8299363:8301365:1 gene:Et_1B_013426 transcript:Et_1B_013426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTERAFLKQPKVFLCPKKITKGNKPGKGGNRFWKSVGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRMRRGTLTSLPTFPHASVSRKETMPLSKTVRFNVLKVIPAGSKSGAVKKAFTGA >Et_10B_004415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6491189:6493815:-1 gene:Et_10B_004415 transcript:Et_10B_004415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRLKPADGHGAYHARCHLYRGDARAVRVARGGTLGSSLLSEHRWSWSFLSGHVGRAFYWIQLASATACVALSATRLARQDFGDAAEARTNRRSALDIFYGLALAEALLFLAEKAAWKCEVTHGRVLERVAADCGLLLSGNGENAAPGLLAVRRFFYDVYSRCVEGSIFDGLRMDLVSFAEELLVGGSHDEQRIAAGILVSLLVASPATNDVDARLRRVGASSAVVERLVEMLGWTGAGGEAAARKAAALVVSKLAGKKRNVLRVAGVPGAVESVASLLHDAADEECNLLGLLIVKKLARNHDICSRIGAARGLLDRIIDFTAIPGVSSSPWSSPATGGPTTATDLLTPSRAKAVQRALQVIKMLAGATGSTGKQLRREIAEVVFTVSNICAVLQHAPRGRLGLRRLAAEALTRLAIDADARERIGATGGVVALLLDMFLRPDGDVDERVEAGEALAMLALESPRNCGRILKAAGATTTTTTVDRLVDALDDPVAGVGAARILTNLCAYTGGSSAWFPHLRRAATRGTATTLRRVATATWPTSTTTDSNQQQQLEVSLGLAAQLVRLTAGPDELAHHLARAGVSEAGLVDALVAVLASHARPSIRAPRIRRFAVELAIALLRTTSPASSFAGLMAAAGMAGELRRVAETTSELKCFRVFSGSAGVGRHDVGLAALVDTALDLMGAAADDEPHA >Et_7A_051774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24474262:24480174:1 gene:Et_7A_051774 transcript:Et_7A_051774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSADGLPVEPQSLKKLSLKSLKRSLDFFAPAHSLLFAPDAESKRIRTGCKVRAEYGAVKDLPTEQGRGGQGKAAAAPSSSSTALALPGTQDTNNARREGTSNAIVPAPLMLPKAPESTIPGKNTTLSIPGSSDRFSTSALMERIPSRWPRPVWHAPWKNYRVISGHLGWVRSIAFDPGNEWFCTGSADRTIKIWDLASGTLKLTLTGHIEQIRGLAVSQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDVLLTGGRDSVCRVWDIRTKAHVSALTGHDNTVCSVFARPTDPQVVTGSHDTTIKFWDLVAGRTMCTLTHHKKSVRAMALHPKEKSFASASADNIKKFSLPKGEFLHNMLSQQKTIINAMAVNEDGVMATGGDNGSMWFWDWKSGHNFQQEQTIVQPGSLESEACIYALSYDVSGSRLVTCEADKTIKMWKEDLTATPETHPINFKPPKDIRRY >Et_10A_001108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21106541:21109290:-1 gene:Et_10A_001108 transcript:Et_10A_001108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGDDALARKRNKVRRKRMRNSENAVSERVAAIIASKRRRKAGKRRGCEGMCFSLPTPEDPFNDRHGKKRKVEEPPADVAVAEDDIPKKTKKDLNAKKQPLAKAGAKTVTKAQAKAMRLQETEGEEGRVDYDRPSKFLVVCLNAIRDALAPEDGSGINGAGDWGIELWRSCSATAPCHVLDTSGPCATLEQTAWLVSTACDIVARKERLGMVVSCPFLLYLVPSKEKAAQVRSICKPLKHLGIHSVSLHPGASIEHQVSGLKVCEPEFLISTPERLLELVSLKAIDISSVSMLVVDGLKSFMDLDVSDKLYSIRNAILSKPQIAVFSDPCDKNVSTVIRNIVNGRITRLCINDSVPSRSAFISQYVHFCPSEKKISKVKEILEQILKGHAKKTSKVLLVAASDRKAWDLLTSLKLENGAVIPNDSHGHSFTICSSVGLMNVHVKDRDNLVTADLEEFETVLVVDFPPSVDEYAEVLTSTARHAVIGEVHSIFCNTDAPLAKPLAELLANCGQAVPDFLKKLEYS >Et_4A_033936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28190501:28192885:1 gene:Et_4A_033936 transcript:Et_4A_033936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTAGHHDDAFSTFFSQTGGGKHSPRAIFLDLEPTVIDEIRTGHYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRIRKLADNCSGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHALLEHTDVSILLDNEAIYDICRRSLDIERPTYNNLNRLVSQVISSLTSSLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAAKAFHEQLSVAEITNSAFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQAPTVVPGGDLANVQRAVSMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGGDEGDEDEDY >Et_2B_021768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5239561:5246622:-1 gene:Et_2B_021768 transcript:Et_2B_021768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AITGLKKGTHLLKYGRRGKPKLCPFKLSNDETALLWYTGKVEKQLKLDQVSRIIPGQRTAIFQRHPRPDKEFQSFSLIYGRRSLDLTCKDKDEAEAWFVGLKALISQLNCEKWTAEVKDYKVSDNLTKHILGESPLASSFYSCDVENKNLQSVDAREFIGFGNIFSDAVLCTGPEKSRVSAGSIGTSNSLSSGGADTSSGGASGTDNNVRVSYSSAVSSSSYGSGDDFDSLGDVLVWGKGVGNSMLAHASHISGNLHDSRSDVISPKALESTFLLDIRTIACGSKHLVLVTKQGEIYSWGEESGVGLDMELMLMFATLSLSVLLSGITVESASCGEFHTCAVSFCGDLYTWGDGTHYSGVLGHGNSTAHWIPKKVCGPLEGLHVSSVSCGPWHTAVFTSLGQLFTFGDGVFGALVHGDRQSTSIPREVNSLKGMRTLRAACGAWHTAAIIESVDFLDPAAAAKLFTWGDGDKGQLGHADREPRLIPACVASLLEPSFCLVACGPDSTIALSTCGQLYTMGSNAFGQLGSPKTDGKLPTVVGGIISSSYIEEIACGSHHVAALTSTAEVYTWGKGANGRLGHGDSANRNIPTLVEALKDKQVKNIVCGSDFTAAVCLHKFATGLDQSVCSSCRLQFGFKRKRHNCYNCGLIFCKACSSKKSMKASLAPNSFKPYRVCDECYTKLSTTGNGKNLKNSRLHDGNPHQLPNEVTHTDKNLRSRLSRLLTLESFKPDGKHSRGNSQLTLPHTRNFIGHSKELISSCTPSSPLFAGPSSPNPTGNQLNENLTEEVARLKTQYYNISLVLKIYLDPSSLLNNNLNDLTHKWELLEEELGKTNNQLRKVRSTADLERLKCKAAKEVINSLTAQIKVMTPRTPEGYTTGNSWTDRVSKFFGNHSRENHLRDSFVSPDSSGQQAHQLFCNRNSIVTDAEWIEQVEPGVYITVFLSPAGQKYLRPRDASLNNKQSNGGLNTDQHCNSNMVSYQETVSSLQEQAVRRDSMPSWSN >Et_4B_036564.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29910979:29911077:-1 gene:Et_4B_036564 transcript:Et_4B_036564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IFGIVLGLIPITLAGLFVTAYLQYRRGDQLDL >Et_7A_052384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7458762:7482171:-1 gene:Et_7A_052384 transcript:Et_7A_052384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADASHAPTTSSSSSSSSTSSASSFSSSPLANGAAQELSKNSKPATTTKRKRASSPDSEEIETNTANGHGEESSSCCTTEDNNNNKEAQAATRRSGFKHPSYRGVRRRSWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVAALAIKGRAAHLNFPGQAHELPRPASTSPADIQAAAAQAAAAAAAECDMSSSAELPSSPAAPELPEAASCPETVHAGGQGNALFDLPDLLLDLRDGLWWSPVWPEAAVAAEEYDASDEYTTCSSNSSSSSLNASSPSSGDDSGGKKRARRDLKHPTYRGVRMRTWGKWVSEIREPRKKSRIWLGTFDNPEMAARAHDAAAIAIKGRAAHLNFPELAHELPRAASAAPKDVQAAAALAAATVASPDDWPVCHDAVQAEEDQSQDLDKKHEAPGCDIDNDNAAPLGGDMGLDLSFLDVVPDALLYFGFMLSPLPPPSYCGSSPWDAASDEYTTCSSNSSSSSLNASSPSSGDDSGGKKRARRDLKHPTYRGVRMRTWGKWVSEIREPRKKSRIWLGTFDNPEMAARAHDAAAIAIKGRAAHLNFPELAHELPRAASAAPKDVQAAAALAAATVASPDDWPVCHDAVQAEEDQSQDLDKKHEAPGCDIDNDNAAPLGGDMGLDLSFLDVVPDALLYFGFMLSPLPPPSYCGSSPWDAKKRENPNIERRQPAAIAASDRNRGRDVFKFKRSYVASGSIATRPRHVASALASAPHHKTQARPPLLLPVSSFPLPGSSSPTTPPSQPLAWAGRAERSGAAMYDTHEPASPTSPSASSSSSSSSFSSSPAAGAGAAPPNKKRARKDDGSRHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVAALAIKGRAAHLNFPGQAHLLPRPASAAPKDVQAAAALAAAAADFPSGANAKSPETSSRSSDDASASSPPPPPPMQHAEPDPDEALFDLPDLLGLDLRCGPSSALSCGTAWAVDDDVAGAGAFRLEEPLMWEY >Et_6A_047614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8232627:8236969:-1 gene:Et_6A_047614 transcript:Et_6A_047614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKDCFETITFFMPLDPDSDRRSSHTKPNKSAEMACDASSSEGQETHQLTLATLPKQLPATFLKKITNDFSPDRVLGCSVFGKIYKGILPAGGIIAVKKLADNSPLLVKAFDTELINLMALQHKNVVEFVAYCHEGKKDVVNHNGRYVVVDIMENFLCYKYLPNGSLDKYLYADESSKLDWDKRFQIIKGICEGLQFLHKKPDGPLIHMNIVPTSIWLDDEWVPKIADFGLSRLFGQEQTRMNTMNVVGQNGFMAPEYLYRGEISTMSDIYSLGMLIIEITTGEKNCSNDKDRAARKFVEKVHENWKNDYHITSKYASLKANGLQEVKLCIDIGLSCVEVDRTKRPSIDYIVDKLNGECKDADLRTGT >Et_2A_018638.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3876393:3877715:-1 gene:Et_2A_018638 transcript:Et_2A_018638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLDEELYPSTPGKVKVERAGSMSRHLHRCFASTGTMFLWALFLVVLTATYFSVHSFVNTSSRYFAASWGGLHWERQIRASASPRRPPGSAEGAGLSVLVTGAAGFVGTHCSLALRKRGDGVVGLDNFNSYYDPSLKKARRALLSSHGVFVVEGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNIAGLVSLLEACKDADPQPAIVWASSSSVYGLNDQVPFSEAHRTDQPASLYAATKKAGEGITHTYNHIYGLSVTGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGRDHVDLARDFTYIDDIVRGCLASLDTAGRSTGTGGKKRGPAPYRIYNLGNTSPVTVPRLVSILETYLQVKAKKNVIEMPGNGDVPYTHANISLAREQLGYKPNTSLEMGLKKFVRWYLSYYGYNQGTQGFKNL >Et_9A_061137.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:18162493:18163290:1 gene:Et_9A_061137 transcript:Et_9A_061137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKPESPTEHHICIFPATRQEAIVVQVASPSSFTLRASSAGAPRPSYVVAVRSGVGCSAPRKKPGPSHVVAAIPRRRPRQPRAKTSHPSPGPCSPASASTRHRVPTPAPRRRPRPMHEGRRGCRQIRPPSSRIWGNSRGLLVLVPPGREVDEDGGCRVGAEDGAATRGEDGRLAVGSAVAEEAVPAVVQAGAAAADSEDGLPLGPQQREARRRGGEGESSAGRREKIESTASRGVAASFVRRCVDEEKNGYYVWLFRWRSVLCV >Et_2A_016840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28803279:28807140:1 gene:Et_2A_016840 transcript:Et_2A_016840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAYFSGSTLMPSQYAGTAPDNSTAAAAPSTAKSRDPRFAGCIPVTIRHLARSLVAAAAAGGGDSVISIDGVEATNVRVLGRVLSVVNKETSVTFTLDDGTGKIDLVRWLSDQGDALEAAFVQHGAYLKVQATIVGFQAKQQGLARSIRPVSDFNEVPLHFIECMYVHLENVRPKGQPFLAVKTNTSIHEMQGQLPHIAQTNAPAYAPFSGGMRDHQVHLSQVNQGRLPVSVQTNASTHVSFSGGVREQQIHYTPEPNQFSTYQGAGGQQHDLQSMILEFMQQPDIHALENGVHADELARRFGVPTAQVMATARQLEEMAFLYSTIDEFHF >Et_3B_028154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1084452:1087726:-1 gene:Et_3B_028154 transcript:Et_3B_028154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKKGSIRIDMFLPSNNVVTEGAKKPITEDSPSPSKWVYDVWEFARQDRNRVTFALKVGLACVLVSLLILFHAPYDIFGTNIIWSILTVAIMFEYTVGATFNRGFNRALGSVLAGVFAIVVIEVAMFSSPSAEPYIIGFSIFLVGAVTSFLKLWPSLVPYEYGFRVILFTHCLIIVSGYRMGNPIRTAMDRLYSIAIGGLVTVLVNVFICPIWAGEQLHNELVNSFNSLADSLEECVKKYLRNDGSEHPDFSKTLMDNLPNEPAFRKCQATLNSSAILDSLANSAKWEPPHGRFNHFFYPWAEYMKVGNVLRHCAYEVMALHGCLHSEIQAPYTLRGAFQSEILDVTNQAAELLHSIAKDVNNMKWNLQTSQLKHVHVLTERLQHSIDLHSYLFMAIHEDNSAKAQLKTNRVASFNIKDFTKRSNIPGNTTTPSEVPTQAESYHEVMKRQQRRLHSWPSMEVDDCEDDGNVVYDVIPRMRALESTSAMSLAAFTSLLIEFVARLDHLVEAVEKLSKMARFKQQITS >Et_7A_050341.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6478917:6479357:1 gene:Et_7A_050341 transcript:Et_7A_050341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSEFSRAFSSFDHDNDSKISATELRLCVKEMLGEDLSPEDAAALVASVDTDGDGLLSEEEFLKLVDVDRDDDMEEERRRGLKEAFRMYEMKGEGCITPASLKRMPSKLGAHQDVGDCQAMICRFDLNGDGVLSFDEFKTMMDQ >Et_5B_043038.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:16268505:16273531:1 gene:Et_5B_043038 transcript:Et_5B_043038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLRTPAARRPILAASTSLRHISSATVPPHNPSPLAAELLRLLSAAPSWTPDLAGAISSSISAAPASASDAIIPVLRSLKDPSLAAPFFLLASSASSPHPLPADAYNAVLPFLSHDIAALDKVLEEMSVLGYGLPNPACADLVASLVRARRLNDAQHAIGIMRRLKFRPAFSAYTVLIGALAEARQSERALELLRQMQEVGYEVSVPLFTTLVRGLAREGRVEGALALVDEVKGSCLEPDIVLYNVCIDCFGKAGNVDMAWKFFHELKAQGLRPDDVSYTSMIWVLCKAGRLREAEELFDQMEAERAVPCAYAYNTMIMGYGSAGQFEDAYKLLERLRERGCIPSVVSFNSILTCLGKKSKIDEALNLFDAMKKDAEPNSSTYNIIIDMLCIAGKVEEAYKIRDDMERAGLFPNLLTVNIMVDRLCKARKLEEAYTMFETASQRGCNPDPVTYCSLIDGLGKKGKVDDAYRLFEKMLDAGHSANPVVYTSLIRNFFMHGRKEDGHKIFKEMKRRGCQPDLTLLNTYMDCVFKTGDVEKGRAIFEEIKGYGFLPDVRSYSILIHGLTKAGQARETSNIFHAMKQQGFLLDARAYNAVVDGFCKSGKVDKAYEVLEEMKAKRVPPTVATYGSIIDGLAKIDRLDESYMLFEEAKSKGIELNVIVYSSLIDGFGKVGRIDEAYLILEEMMKKGLTPNVYTWNSLMDALVKAEEINEALICFQSMKEMKCSPNTYTYSILINGLCRAQKYNKAFVFWQEMQKQGLVPNVVTYTTMISGLAKVGNITDACSLFERFKANGGIPDAASFNALIEGMSNANRAIEAYQVFEETRLRGCRINVKACISLLDALNRAECLEQAAVVGAVLREIAKSQHASRSF >Et_8A_057117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21313261:21323796:1 gene:Et_8A_057117 transcript:Et_8A_057117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLTEVAQMFARFKAAYARNDLDACVTLLSQLKVQLTKFPSLPPLFQQTPNAVDELKLARDIYEHAVVLSVKLEDQDAFERDFCQLKPYYMDTCGIIPPSPEEYPILGLNLLRLLVQNRIAEFHTELELLPVKALEHPCIKHAVELEQSFMEGAYNRVLSARQAVPHETYVYFMDLLAKTVRDEIAGCSEKGYDSLSISDAKQMLMFSSDQELHQYITEIFPNY >Et_10A_002158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2625281:2628626:1 gene:Et_10A_002158 transcript:Et_10A_002158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGSVNMDNVMADHRDKHAGVHEGEEANASVDAIHAGEKATSSKVEASASMVVVQEHEQPAIAVVPEAETTTAVDMPALEAVRRWGSFKRHVTVTMTTGGPHSPQYLQSQKSKVLLCCWQMWKHRHDIVFRAMDASLPRLLQQCKEESIMWRCLLPASEATIVDAWWGCSPPVDRSKKQKSKGCSSSTPLRCTPVKAERDVSDSRRRSTTKPPSRLSSQRQESQNSKVGAHDSTPPRRRLPQHQQSQKSKGCSSSTPPRHTPVKAERHASDNRQPSTTTQPSRPSPPQQESQKSKVGVYLATVQGCSSSTPKCTPVKAERPRQRQQAALHHHSDRVEAEQPGVVRVRGCDGAALAFANVQLGRATRGCSFSWKWTTAAGSCTGRRLGRARGAAAPAATQRWPTRD >Et_3B_028335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13590186:13596585:1 gene:Et_3B_028335 transcript:Et_3B_028335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFILPFNVGDIVESRSFSPGFRGAWFRSKIGQMLVRQGHLECLLEYIDFPDERCRKQKSSESRDIMIRPSFPQWYWENQIPDELPKTDVIAVVSSLWKVGDLIEWWYTECYWSAKIMELLGDDKVKIVLHEPPIGEGGCYDADCKDLRPALDWSLEKGWSAPLSQENGKCWYTARLITQKTGSSSSDEDDVPSNDSREEEQKCLNVPSVMPADVMETGAKLPANTGDDVFVNKQDDKGEALICSNRTSDTPQEVINSNVDLQANQNGKRCMERGTENPVAEQGEFREALSDGESSPISLKRRKISTEPVSEASPDTVDDAILELEKVADRIRQLENLLLSVGSAPSNVAKPSWKFLKDASMK >Et_8B_060628.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3670469:3670894:-1 gene:Et_8B_060628 transcript:Et_8B_060628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSSPCLLALLLAVAHATAAAAVPDTTGVRAAEAPRYDHHELILSRKYETIAPAAAAGGAVPSAAASCVRTVVETSSPCARDVLLTLVFGTLHLSQGCCAVLAGVGQKCVADVASAVPQLGPTLLPVVNRICGLVATFF >Et_6B_048391.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18104327:18104533:1 gene:Et_6B_048391 transcript:Et_6B_048391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAALHDDALAAILGRLPACRLAELRRVCKAWRDLVDDRRLLLRLRNLLPHAMRGFFVNYQDHGKL >Et_3B_028842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19727462:19729941:-1 gene:Et_3B_028842 transcript:Et_3B_028842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVLKGAVVSSPWTFLVYGLLGVLLLWQAGRLLEQLWWKPRRLERALRAQGLRGTSYRFLTGDLKEYVRMNKEAGSKPLPLRCHDIASHVAPFVHNSIREHGKVYFSWFGPIPRVTITDPDVARDVLSNKFGHFEKPQFRALSKLFAEGVASHEGEKWHTTLQLMLPAFSVCCEELVTRWTQSIGSDGSFELDVGPELQTLTGDVISRTAFGSSYHEGRRIFELQGEQIERLMSIIQKFGIPGYMSLPTKNNRRMHQINKEINSILRGMIGKRIEVMKEGDSTKDDLLGLLLDSNMRHTDENGQSSTGMTIENVIEECKLFYFAGMETTSVLLTWTMVVLSMHPEWQDRAREEVLGLIGKNKPGYDGLSRLKTVTMILYEVLRLYPPAISFTRKTYKEMKIGGITYPAGVMVELPLLFIHHDPDIWGADVNEFKPERFSEGIAKASKDTLAFFPFGCGPRICIGQNFALLEAKMAMSMILQSFEFELAPSYTHAPHPVKMLRPTHGAQIKLRAI >Et_1A_006172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18793569:18800715:1 gene:Et_1A_006172 transcript:Et_1A_006172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRKRGRTQRRHFKQGRENVWKHNTPRPPAAGGEGGDGNATWQPFATENPGFEEYYKGQKIIPEAEWDDFMSMLRKPLPATFRINASCQFFQDICSQLENDFRKSLESEVSEEHGEDAIRPLPWYPGNLAWHLNFSRMELRRNQALEGFHEFLKRENEVGNITRQEAVSMVPPLFLNVQPDHHILDMCAAPGSKTFQLLEMIHQSTKPGLLPNALVVANDVDVQRCNLLIHQTKRMCTANLIVTNHEAQNFPGCNIAKFCPEMCSDSKLQRLEFDRVLCDVPCSGDGTFRKAPDMWRKWNAGLGNGLHRLQVEIAMRGMGLLKVGGRMVYSTCSMNPVENEAVVAEILRRCGDSVELLDVSNELPELARRPGLNTWKVRDRGSWFGVHEDVPRYRKSVVLPSMFPSGKGTKEIPIVKSSVEINTDVVDADMKDSPDNGDGEQETATNGSKSDNFNTEEKTEVDCESGEASNSSSKKLDSTSIRTEHSDYPLHHCMRIVPHDQNSGAFFIAVLHKLCLLNENQVVEVINSEQIISKERTEKLEEDKVPSEENTVHQQVTDDTNVLDGEQNGDMDNKGSKDKSSEDTKVIVNKAENGQEGKRDRRRSQNQGRWRGVDPVIFFKDKATVDSIVSFYGIKDSFPLEGHLVTRNPDASHVKRIYYVSKSVQDVLELNIKVGERLKITSLGLKIFERQSSKDGSPCTFRLSSEGLPLLLPYITKQILYASAVDFQHLLQYRTIKYPDFVDAKFGEEASTLLPGCCVVVLREGHEDIESIAMDPSAIAIVCWKGKTNLCVMVSPLDGKELLERVSLRFGLELPIADEEKPKQRIDGSEEQPDCATEQEDLESLPESKASDMEIADITEVE >Et_9A_063442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24488149:24488873:-1 gene:Et_9A_063442 transcript:Et_9A_063442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSATTDDSTASTAGIRDDERSLSGDSLSEWRSCDRTDSDTPSTSPPFWDTDGEDDDPGGWSVPSCYHAFWVYSADIGQPECLDDPSQSCVEWGMCQLEGEGNVQMEELRLLVMV >Et_1B_014138.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:32173162:32173740:1 gene:Et_1B_014138 transcript:Et_1B_014138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVSYAERGTTYGGGPAADLRSYSASYAPRLPPHKVSRARSTTGAWSRAAPPVKRSGSVKPVSGPTPGLNLRSYSASYAASYGPTLAGAGGEGGGQLKRSGSVTNWSASGRRSVNLRGYTPSFAALDDTAAAPPAKTKAAGGIDDVAELQRKKRLVVYKVYDVEGKLRSSVRRGVGWIKAKCSRVVYGWW >Et_2B_019132.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:12763734:12764102:1 gene:Et_2B_019132 transcript:Et_2B_019132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHSLTHTPTHTYTPTHTSSPTTTHSVNEDYVLSEIAKDHQALARDEERHILFVSPHVERHLQDYYANTTMNNTTTGTIHCNRARGCRASNRGWRSATLCHCPFARENGSICYEYITNRPL >Et_5B_043638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13359882:13362327:1 gene:Et_5B_043638 transcript:Et_5B_043638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVGGGQLESTGNDEAEAEYHSHDFEWEDLKAEVEADPAFSYHLSPFPGPAGTTNSSPPPPSSEAWRSFHRRHATGKFFKERRYLLKEFPELLKINDCAKVLEVGCGNGSTAVSVLRSSESTTVFACDCSKDTLQKANEIISNTKGIDAKDRFHPFLLDFSKETFPDWLFCNACESSLGKTAEDLLDLSHHKREERPVFLRGNQCCVGGMNFITMIFTLSAIPFDIMPTAIKHCVSVLKPGGLLLFRDYGLYDMTMLRFLPHQRVGFREYIRSDGTFSYFFSLDTARELFHAAGLIELELEYCCVTSVNRKNGKTMQRVWVHGKFQKPTS >Et_3A_023167.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33890416:33891297:1 gene:Et_3A_023167 transcript:Et_3A_023167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNAANTCARCLRSRVDITEGAPRHAAVVYCPSCSSYLQPPRSWLRATPESPELMQILLRRVHRPLARVGVTLSAAEFVFTEPHSKRLKLRLRLRREVLHGVTMEQAHVVEFTVHDRLCDGCGRAQANPDQWAAVVQVRQRASHRRTLLHLEQLLVRRGAASAAIRVDATSGGLDLFFASRSHAASLVDFVSSVAPARVGTAKQLAWHDTKSNTYNFRHTFAVELCPVCRDDLVFLPREASRDLGGLGPLVLCIKVTNAIALLDASTARVAVLGIKEYDRYKFEPLLTSRRLI >Et_9A_063595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8767051:8782704:1 gene:Et_9A_063595 transcript:Et_9A_063595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSSNREESKAPSGDDAVMISPGPEPMAPAPGAAAGEELAVSPPAPAAGPKPYYECVFCKRGFTTAQALGGHMNIHRRDRAKPTTARDSLAGMTTVSRNVECYNKYRHLVSYPPMPAPVTAMAIGSSSSHSSFGMYYPGTAMAAPVDTECGSSPSSVSPRELSLFGAATSTTRDHHDLHLGLACHGDSGDGSSRVPEGSSERQAGEPAERELDLELRLGRHSKKDKPPPSTHGFATDLRRSHDMGPLI >Et_2A_016925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29581847:29582737:1 gene:Et_2A_016925 transcript:Et_2A_016925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGGGGGGGGGLADSPGGGGAAAAAAGDAPRPSRYESQKRRDWHTFGQYLRNHRPPLELSRCSGAHVLEFLRYLDQFGKTKVHAAGCPFFGHPSPPAPCPCPLRQAWGSLDALVGRLRAAFEEHGGRPEANPFGARAVRLYLREVRDTQAKARGIAYEKKRRKRPTATAATATAVGSNGDEIALAMAAAAEAHAAGCMLPLSVFN >Et_9B_065077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21032449:21032816:1 gene:Et_9B_065077 transcript:Et_9B_065077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQ >Et_9B_064092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11167731:11168793:1 gene:Et_9B_064092 transcript:Et_9B_064092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSHTSQRCRSLLAFVLAICLLIPCCISSKEVVELLERACHCFDDPSIYVKCTEEFRLNAEGAFHVHKDAVDEYCGGPCLEETKLALQCVEEVAAETFRFSNGASVLAIRQALGTGCGYGPKRGTFEIRERKECVGAGEEYYHHGDHEQAKPVAAGRRYYGEKGSEHTYEQESSGWGEGEGHGEEYCYGNAGGRLGWSSGVVLMLVLLVASAALLLGI >Et_1B_012198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29905901:29909187:1 gene:Et_1B_012198 transcript:Et_1B_012198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMPGWVAGLVAESFFVGCPAHENRKKNEKNIFCLGCCASICTHCAPAHRHHPLLQVRRYVYNDVVRLGDMENLIDCSYVQSYTINSAKVIFLKPRPQSRPFKGSGNVCLTCNRMLQEPFHFCCLSCKVDHVMMQHGGDLSNILQYYGGGVGGAAANDPDHLAFPRFENLRVDGSDLDDNTDGGTVTPNSILEDPTHHYGGGGGGSSDNGGARNVDGGSVARRGEAGKRKKGGGFFPQIVLSLGNRRKGAPHREEEEKKMGLVWLVGSFERGLRCHPSTDDSYRAILKPGSLLDETERSKFPCYRPR >Et_3B_029027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21478119:21485347:-1 gene:Et_3B_029027 transcript:Et_3B_029027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRFPSPNCSLAMVVYDPSAAQRRVDKRPREEEASTSSSSRVSKRPRKKEAAASAQSSSGAAAPPPIVLDVQPINAVPPPPVAVGLPMRPPVDRPVPPCLREHFLPALGLRRDLPVHFIAHKVVTSTDLDPHQNRFRIPRSGVERNLRPILTAAELESANLHEDVPPPKRPPKPKKPADGGSGAGEEEEEAQKKTKKPKKKGVPHGGLRVKLVDLAAGASKQLLMTRWGSSRGTIVKGEGFVDFTRRCSFRANDAVDIWAFVQRRLRLFGVDVCHDSVLHVAAPHPSPSVRMAPISVGDSLPDGQLGWFDENDQLQQVSIHSLAAGKKVILFGVPGAFTPTCSNQHVPGFITQAEQLKAKGVDEILLISVNDPFVMKAWAKTYPENKHVKFLADGVGTYTKALGLELDLTEKGLGVRSRRFALLADNLKVTVANIEEGGQFTISGAEEILKAL >Et_1A_004636.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:24348774:24349120:-1 gene:Et_1A_004636 transcript:Et_1A_004636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRCRRRISSPAQADLPDDLLCEILLRLPPLPSSLLRASLVCRHWRRLATHAAFLRFRAHHRKPPLLGFFAASPAFFVFLPTLGSPDRIP >Et_3B_028331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13556190:13558269:1 gene:Et_3B_028331 transcript:Et_3B_028331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPAGQIGARLRRNNPQGPPPSRSPASGMDSRPAGGFVNYLQQSSSYPFSHIRHMPPMFRNFPYFSGSASYAPSKEPKATESEANTFQPIAEPNITHSSPDPHATTIVDVDSGQDNRRSRSSFSKKHGVGSRGGRRMTWTIDETVRLSDEQVMDNAMKFYEQDFEEGQFKHIASWKILRDQPKWHTYNEDLNGSNKRKYSDSEIHEPVDFTSSPDEFTDLPRPGGCKKAKEERGGKGKGKATSTTMEEIDKLREGLAKAKEDRVEVLDKHQQIAADRKESARLNHLAAKEKREAKLLEKEGKMHDKESKMLEMYKSLISMDTSKLDDEMKAEHVIATKSLRQKIFANLS >Et_5B_045780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9699924:9701875:1 gene:Et_5B_045780 transcript:Et_5B_045780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQPPPPPPPGDAASATTITALGDDLLREIFLRLPSLASLVRAALACHTFLAAVTSSGPFRRRFRELHPPPLLGFFFDPDGPAIPSFAPLRRRADPDLAAAVRGGDFFLTRVPGDHDDAAPGWEIQDCRGGRVLFLNPRTRTDQIAAYDPLTRALHLVPRPPEDITFGCRGYFTYLNHFILPPSDDEDPGSVRVLCTCHDDSQARAAVFSSATGEWQIFPWAHAFKRRRGDDKYWLYSGSLVNGSVYWTHTNKPYMLVLNTATMRFSRIDLPTYLKGQGHSFRVGETKDGEPCIVGVVVFSLLVWYWRPDDNGVEKWILHKMFPLQAEVLELTEGSPEEHAQLKVIETIDGYVYLSTYETFNDPDVPCWFMSFCLETEKLEKFFQKKYDCHVYPYIMPWPPALLGNKEIQKHFMDYIINDTLGAISVAHLIRAGPQSPLNARSPDCLQLAALLFMAVDFAKRGAPAEMLLAL >Et_10A_000270.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20863860:20864318:1 gene:Et_10A_000270 transcript:Et_10A_000270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GDDFDQRIVDYFVKLIKTKHGKDISEDTAALRKLRAACERAKKALSSQDRVQVSVESLVDGVAFSEPLLRSRFEELNDDLFCKVIALVEKAMVQAKLRKNKKTIDEVVLVGGSTMIPKIQKLVRDYFGGKEPNVRVKPDEAIALGAAVLVHS >Et_9B_066135.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:4659552:4660118:-1 gene:Et_9B_066135 transcript:Et_9B_066135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLEKEKTKPPIARSRRSRPRQPKRAVRLLRVPGGLPAGAPARQQGRHGARVQGHGGGAEKGDGCWGYFYHCASPLGGGSLSRKMAEIVGRRQLVAQEADEYEASAALRKGAKLGKALIERAATDEAGVWKQLAELWTEVVVYAAPAAGELHVKAHKEALAQGGEFITLLWALATHTGIARPAAAV >Et_6B_049174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19056823:19057606:-1 gene:Et_6B_049174 transcript:Et_6B_049174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPGAGGRLLFVYYLISLMALEAAALSFHYNFSIPGDAADLTYLNDSYSARDRIELTTNASYSVGRVAYRQPVRLWDSLTGKVANFTTSFVFAIAGNQNNSRGDGMAFFVGPFPPSVPPESFNQFLGLFSDPEKTTKPSPPTVAVEFDTWRNPNLDPPGAGADHLGIDVNSVRSISTKDLPNLGLYGTMWADIAYDAGSKLMTVTLRLADGSTFRDQATVDLKAARLPQDAAIGFSASTGYFSESHQLLSLGPSTPRA >Et_5A_040303.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:12164844:12164915:-1 gene:Et_5A_040303 transcript:Et_5A_040303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSTNPTQQHKRPVATGENGL >Et_5A_040622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10417144:10426366:-1 gene:Et_5A_040622 transcript:Et_5A_040622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPALVLLPEWGSGHLMSMLESCKRVLLHGGGRAFSITLLVMRPPTAEATSEVEEHVRREAASGLDIRVHRLPPVDPPADAAGVEEFIARYISLHAPHVRDAVAGMACPVAALVLDMFAAPMVDVACGLGVPSYVFMSSTGAMLALMLHLPVLHEKITGEFEEVEGDGVPVPGLPPLPPAAVPCPVAYRKSPNYTWFVRLGERFADATGIIANTADELEPGPLAAVVDGRCVPAGRPTPPVYPIGPVLSLGGTSEKDSSEPSHECAAWLDAQPPASVVFLCFGSMGWFEPAQVAEITAALEGCGHRFLWVLRGPPSAASGAGAPDGSEHPTDADLDDLLPDGFLDRTKDRGLVWPTWAPQKDILAHPAVGGFVTHGGWNSVLESLWHGVPMAPWPLYAEQHLNAFELVADMGVAVPLKVDRKWDNFVEAAELERALRSLMGVSDQEGRKAREKAAEMKAVCRKAVEMGGSSYAALQRLSAALHYGAALPKNVGHIMSMLDAGKRLLAHSDRALSLTVLVMQPPTKQHAADLAGHISREEASGLAISFVHLPAVAPPMDFVGIEEFVSRFVQLHAPHVRAAISALTCPVAALVLDFFCTTMLDVSRELAVPAYVYFTSNAAMLALFLRLPVLHEEVTVEFEEMEAAVDVPGLPPVPPSCLPTPVMDKTNPNYTWFVYHGRRFAEADGIIVNTAAELEQSVIAAIAAGRCTPGGVRAPVVYPIGPVISFSRPSEQPHECVRWLDTQPSASVVFLCFGSGGSFTAPQAHEIARGLERSGHRFLWVLRGPPAPGERVPSDANFDELLPEGFLERTKDRGLVWPTRAPQKEILAHGAVGGFATHCGWNSILESLWFGVPMAPWPLYAEQHLNAFTLVAYMGVAVAMKVYRKRKNFVEAEELERAVKTLMGDGEEGRKVREKAVEMKVACRNAVEDGGSSHAALQRLTEELHKVVDVQK >Et_8B_060348.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:94377:96254:1 gene:Et_8B_060348 transcript:Et_8B_060348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQPPPPPPPHFPITPKPDPDGPLFLPPNLLAAAPQSLALTPELCDAFHRELAPSPDDDPAYAQFLIQSQLHVQALAAQLRLSPAAAPPPPPPLLPPPPEPAGSSPKSSSGKKRARPVPEMVRVTHLGLAEHLHFRSLVRRARLTFEALRGIYQRQELSTGTRNRADLRASSRMLSAGHWLHRQHRIVGHIPGVLVGDAFFYRVELCVVGLHTAPQAGIGYIPASIVSEGHPVATSIVSSGGYLDDEDTGDVLVYTGSGGRQRNRLDHHADQTLERGNLALHNSYLYGVEVRVIRGHTWDAVPNRKVYVYDGLYRVVSSTFGPGKSGRDVCKFKLVRLQGQDDLGSKSWNTAKQLKDAIDSRIRPPRYISLDLSKGKEPVTVPVSNMVDDDRSPLDFEYTVYPEFSLLGLVKRQRGCHCAAVCGLRCKCERKNVGGPAYNEDGTLVMGRPVVYECGALCGCPITCVNRVTQRGMKHRLEVFRSTETEWGVRTLDLIQPGAFVCEYSGEVVAMDDQSGNALREDRCIIDPKRFPPRWSEWGDASNVDPGVKGPRFHQFPGTGYLLDVSRKRSVACYISHSDTPNVFLQYVLRGNEDESCPHLMVFAMETIPPMRELSIDYGMP >Et_4A_034053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29470617:29472281:-1 gene:Et_4A_034053 transcript:Et_4A_034053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKHSAAEVSRENDMIFTREGNLGMKSVKPNQPAIVQARCKWIIGDVTEVFDRNTWKLGKILKMSQNNYFVIRLADCIQLKEFHISSLRIPHASGAPQSKQLPTSVKATRAAQQNNGKKRKAAAEASRHLKKRAHPRNVATASNQNGAMADSYLHSPSQVRDEAECSVASCSVNDPDHFFSSVRGKRHAAAAGVVAFPDDDAMSSCPCTSGREEKDDGDRDEAAVDVHELELAAYRSTMRALYASGPLTWEQESLLTNLRMSLNISNEEHLRQLRRLLSSS >Et_2A_016708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27442304:27445617:1 gene:Et_2A_016708 transcript:Et_2A_016708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TNNLLRIAIYSISYIRGVFPEDYFDDHSFVALEMNIKKLIPMDLVSGRLIDFLEKGVCDALHKKYLKNLVFCICENEEGPMIDEYAFTFSYPNTDTEEVVMNMSRTGNKNSSTFKSNAAEVTPDQMRSSACKMIRTIISLMRNVDPLPEERTILMKLLYDDDNTPEGYNPPFRMFCDEPINIWNNNFLKMGNVDSKQLVFALKIMKAPYDDNTNSADDGMDSDENGIYSQSSDKKSGYILVSASKKIKFLLDSGATHHICNDESIMKSLKDIKKEHQVPLYSVGGRKLKAKRMGSVVYKDFKLSQLSVGQLASQGLLTNIGDGRFMITSPDEAKLVGEGFLQRRKEKGDDRVYNEYVFRSMNWEMPGDDEKLADTDEHHNNRSARIGQEWIINTGCARHMVLDRALLKNAKPEELPFQTASGSLWSTHEGAVKIGDWELKDVLSCPRATNNLISGLMLDLSGYRITFYNKRCTIVHKDGLQVKGIGTMDCTTRLYWLEVAAEEGTIRASEEDAKDSTATEQVDERGMSTSEQVARDTTAEVHGHKAAKRNKRRRFE >Et_5A_042172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7022098:7025289:1 gene:Et_5A_042172 transcript:Et_5A_042172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGPAMAPAAGRSAVVGSGAAASAAAAAAAAEEMRWRQLDSGVSAVSFGFVATAILVSMFLAMAILEHFLRPPASPPGPAAGILRRLRLLVGRGGAAPGADLEAARKLDSRAPIEMPVYAKDVSVLMPGQNVPTFIAHPAPAPCPPERIQWPSHQPAPFTGSSSHPS >Et_3B_028101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10195608:10196600:1 gene:Et_3B_028101 transcript:Et_3B_028101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNAKVRALFDFVLVDQATGDQTLTITEDFPIVFSTIDVGRNVLGVDLIISGDLGEANTPYLRDDCLMIECEVAVVKEPHVVSDLPVAAEIQVPPSTLLNDFRQLLETREGADVTFEVKGEVIQAHKIILAMQSPVFKAELYGPMRVDTSGQNIAVEDMEPGVFKVMLTYIYTDSLPSMDGLEGGDKEEMVKNLLVAADRYGIKRMKSMCESILCESLDVEHVAATLALADQHHCDGLKDACIEFMSSSGRMDDLVAT >Et_2A_017364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33618348:33621200:1 gene:Et_2A_017364 transcript:Et_2A_017364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGIGQMGASSAPATGRVGATNIGRLRQQQGKQQAARGGAGVGVTAWHLRVFAAVVGVMGCLLLAASLAMSAVHQVQFRNAAISRNFRGLQELKQNVVSKEQAEQIMHGRLLDMATSAVTKNGSESEDFALWEEPFKQARKWKPCAAKHSLDDEEPDENNNGFILISANGGLNQQRVAVCNAVVVAALLNATLVLPRFLYSSVWKDTSQFGDIYQEDYFMNYMKNDVRIVKELPSHLQSLDLEAIGSQVTDMDISKEAEPSEFVKSVLPILQQNRVVHFLGFGNRLGFDSVPVHLQSLRCRCNFHALKFVPELQKAGSLLVQRLRRVSAMQTEMDKQLFGNNMVDPAFAENHDGAGAPNKYLALHMRFEQDMVAYSLCEFGGGEEERRELQAYREKHFPLLAMRLRNTTVSPEEQRSLGRCPLTPEEAGLVLSALGYDRRTFIYVAGSQIYGGAQRLRPLTRLYPNLVTKEDVLTADELAPFRNFSSRLAALDFIACASSDVFAVTDSGSQLSSLVSGFRIYHGRGRAPTLHPNRKRYAQFLSEEGSIAWSVFQKRVRQMVEEYKRVSPRPRGRSVYRQPRTPGCMCRAGGDGSVDF >Et_10A_000020.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:14261073:14262778:1 gene:Et_10A_000020 transcript:Et_10A_000020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTELFSAALGELVTRCISFLINKYFVTTGQSKEEILQQLERALLRVAMTVEEAEGRFITNQGMLRQLSLMREDMYKGCYMLDKFRYLGRGDWKDNDHNGSQSLAPSVTIPGKLTPFSGSSIHGKKELKQMLDCIQSVVSDMREFVTFLRNYPPMFRQPYSMDLLLEKCMFFRHKEMEQTIRFLLHRESTSAYDLGVLPIIGKGKVGKSTFVEHVCCDERVRDHFSQIVLFSGNKIIEDEEVRALKDGGILKHNNSCQSEGKMLVIIELDGDVDEGSWKRLFSASQSCLPRGSKIIITSRSDKIKEFGTTHALRLDFLPREACWYFFKVLVFGSTCPEDQPKMASLAMEIFEEYYSHNEAFSDFSGSFANSKNIASLLKADVSTLHWRKILAGVKETVKNNRLTFSLNQIDTRMEKRQFVISRISSVSFPSKKISSVSQYFLVEERHRVAFAHEDIPKINLDEVMLGSITPQGKFEVVWWRSHLPPYYSYIATCEISDSRCKRERKSCTMSKKRKNLS >Et_7A_051089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14385372:14388070:-1 gene:Et_7A_051089 transcript:Et_7A_051089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTLAEEARRIATLLGLLSVTTSEQKASAAGTSDEKLDWLRSQLIGNDVEFDTPFGRRVLTYADQTASGRALRYIEDYLVKEVLPFYGNTHTEDSHVGSKMTRLVHKAARYVKRCMGAGPGEALLFCGTGTTAAIKRLQEVIGVAAPSTVELRGRLAGQLRTQERWVVFVGPYEHHSNLLSWRQSLAEVVEIGVDEDGLVDVAALRRALCSPEYKDRPMLGSFSACSNVTGIVTATREIARVLHQHGAYACFDFAASGPYVKIDMRSRQIDGYDAVFLSPHKFVGGPGTPGILLMNKRMYSLNSQPPSTCGGGTVAYVNGFNEEDTIYYDDIEEREEAGTPPIVQKIRASLAFWVKEYIGYDRMSLHEHVYSETAMKRLVSNPNVWVLGNTNVERLPIFSFLIYPPVKDSLFKVVDQPNSDRWLEDVKLKRLPLHGRFVTRLLNDLFGIQARGGCACAGPYGHTLLKIENELSLQLRSTILEGYVGLKPGWTRLSFSYYLSKDEFRFILSAIEFIASYGHLFLPLYQFDWITGNWTFRKQTIKYYIMKQELALATDLDALIKKVQSKDEDKFEKKPGADNQKFESYLESAKKIALSLPDISQHTVSIPKGVDPELILFYM >Et_7B_055287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8991148:8994897:1 gene:Et_7B_055287 transcript:Et_7B_055287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPWVLLERSVTFTVPEGAATTGGESKDEARSTCRAGNQPAAIAAFEPPQINYLSMVQQVGVRLPGGVISSTDKALVVLYAGNYSPGYGRCHTSGCYLLFLWRSLGSRNQEGQWIRRAVHLPPQVFSHGYRFRIDMAFTYTKFRVCWVDLFKGVLVCNLMESPEPTFTFVPLPIGCSTDLKRRVIPGPQQFRTMGCVRGTIKFVALDGFNERSPCENIMMRTWTLSPDLNVWAEGPPLRVGDLWASESFRQSGLPRLTPSYPAVSFDEPDVLYYVMDEIDRVDAVDRFGKARGVQIVRKARYVLGLDMVQHQVLCHTKAIIDNLTPMFPSLIASEFSAHLQGSKYHQLPKSHTFRGLGTGAAILSLGKGSYVVGELVEALSGLPNAKLFLWQSPGKNKWIRKKVCLPPPFTPDFNFSIDMAFALSESTVCWVDLLKGVLICNLMESP >Et_1B_011587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23930347:23933095:1 gene:Et_1B_011587 transcript:Et_1B_011587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKQKLYELYKGTVERVTGPRTVSAFLEKGVLSVPEFILAGDNLVAKCPTWSWEAGDPSKRKPYLPADKQFLVTRNVPCLRRAVSVEEEYDAAGAEVVLDDDDGEGWLATHGVQASKQEEEEDIPSMDTLDIGKSEGIKSIPSYFGGDKEEEEEDDIPDIDTYEDTGDNLAVAEPSYFVAEEPDDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLLAGKHASVHPCKHAAVMKKIVDVLMSRGVEPEVDKYLFIFLKFIASVIPTIEYDYTMDFDLGSTSPS >Et_6A_047526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6969220:6971779:-1 gene:Et_6A_047526 transcript:Et_6A_047526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSIENYICYLSDDCLLSIFNKLECESDRNAFGLTCKVLFKIRNIGRKALTFHCSFNPTVDRENAKCIPKIIARSPYLKRISLAGIRGLPDTLGMPGSSLRSLSLYADLAQVAIGCPNLVIVELQSCFDITDHCLESLSKGCHALKSLNLSSCNISDQGISAVFSNCLNICTLIITSCRRVSGVGFRGCPSTLRYVEAESCMLSPDGLLDVVSGGGLEYLNLHKLGSLAGLDGLGRLGFANSIRILNLRMCRYLTDSSVMAIASGCPLIGEWNLAVCHGVHLPGWSSIGLHCNNLRVLHVNRCRNICDQGLLSLGAGCARLEILHINGCVRITNNGLALFSIARLNVNLRADEAMSIGPSIENLFRLDSILAYMPDMEVQSIENQWRPVQHSSAK >Et_10B_003711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5166810:5168490:1 gene:Et_10B_003711 transcript:Et_10B_003711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRPHAVVVPYPCSGNTNPALQLAKLLHLQGAYVTFVNTEHNHRRMQQAAAASKELGGKSVVAGDGDGNGSTFRFETIPDGLSDAERGANDYGLSLCVATSRRCAAPLRELLVRLNATPGVPPVSCVVPTFLMSFALDVAAELGIPSMVLWGCSAGALLGHMRLRDLREKGYIPVKGTCVNSLQSSELEGVIDWIPGLPAMRLGDFSGFPRRMDDPDSFGLRFNESEANNCTKAGALLLNTFEGLEPDAFAALRAEYPRVFTVGPLGTLLLATSSPEKKKKKNGAGAGELTSLWKQDDAQCTAWLDAQAPGSVVYVSFGSHAVLTPAEVTELAWGLAAAGRPFLWAVRDNLVSGSASPVSGAGAVLAVLPPEFFAATSAPPPSSSGSGCLITSWCAQERVLRHRAVGCFLMHGGWNSVCESLAAGVPMVCCPGFADQYTNSELAAELWGVAARLDDGGGEMTTEGRGRARVREVMAANGMKKRAAGWKAMAEEAARPGGTSYDNITSVMKAMMIN >Et_2A_016778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28015699:28017045:-1 gene:Et_2A_016778 transcript:Et_2A_016778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLFSMEHPWASAFGILGNIVSFLVFLAPTPTFLRVYRKKSTEGFSSVPYVVALFSCALWIFYALVKTNSSPLLTINAFGCVVESAYILMYLIYAPRTARLRTLAAFFLLNVTAFSLIVVVTLMLVAEPQRVKVLGSICLAFSMAVFVAPMSVIASMSLVQLVVIRTKSAEFMPFSLSFFLTLSAVAWFFYGLFTKDLYVALPNVGGFFFSFTQMVLYFCYRKPNKPVAVLPTTAAIATALGARSDEELMELPLGVHAVPAITIPVLAELQKVEQEVASPRKAGAKAI >Et_1A_006890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28687622:28688094:-1 gene:Et_1A_006890 transcript:Et_1A_006890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKPMLSPPKKLFPWLHFTKASSKFYRAAETRAAASTLSSWTVPLRTRDAGAIYRKIIRHSFIDKCSDFATFCYLIIRVVCPKPLDRTGVGGGVGRKNGGPAGRPDLVDVLEYDDGHRDLLVHWVEFQQQLALVADHLLQELVLNAL >Et_7A_053134.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:7610422:7610886:1 gene:Et_7A_053134 transcript:Et_7A_053134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADREHRGAMGGGYGEGHRGGMMGGGEGQQRQPAMMTALKAATAATAGGSMLVLSGLILAGTVIALTVATPVLVIFSPVLVPAAIALALMAAGFITSGGLGVAALSVFSWMYKYLTGKHPPGADQLDHAKARLASKARDIKDAAQHRIDQAQGS >Et_2B_022748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4271859:4274650:1 gene:Et_2B_022748 transcript:Et_2B_022748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFLSPSPLRPLTANVQKVAQLASSLSALGLLPTHVQYKVALCQLPVSPDRDANIARARARIEAAAAAGAKLVVLPAIQDLITQDQKEVKSRWFSDGGVAVFQEIWSCPYSMETLPSYAEDVDGGTSPSISMLSAVAAARKITIVGGSIPEKASGQLHLFEIDIPGDITLKESDTFTAGPELTVVETDVGRIGIGICHDIRFPELAMLYRSRGNTGAFPFHRGLTLFAWLAKMRCAPDLLSVSIQHEHGRASVGPHAEIQLFVATCSPARDPNAKSDYMIWGHSSLIGPFGEVIANAGHEEATVIGEVDLSMIQSTRQRMCRESLPLAAQSRRDLYRLVDVQGEHGRSDGADVHGES >Et_3B_029334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24048981:24050742:-1 gene:Et_3B_029334 transcript:Et_3B_029334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGSELGSPATASAPPPKRQKIEPSRSSQVTTVLSNVYCVIYLSLWNRRDRPSQASIEKLAASSSSSSVPGALPARVDMNKVREAKRFALLQAQHEGCLGSYKSFDSLFGNYLVPVIPSNDFFENIGKK >Et_3B_029068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21901281:21914809:1 gene:Et_3B_029068 transcript:Et_3B_029068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAIPSEMSQAAASPAVSWPPPPHILLVTAPFQGHVNPLLVLGRRLASRGLLVTLTTVPHAGLKKFEHELGAAFGRGALRFEYLSGAGLRCCSGGAHGAHPPPGVTCVVANAFAPWALRAAATMGVPGAMLWTQSCAVLSLHYHYFFHSLAAFPTGDAEQSSPVHVPGLPPLSSSDLPSQIHAPEEYVWRQVLVADMRSLRETTASWVVINTFDELEHEAIQALRAHLPAILPVGPLFETADDAGDDDECTPWLDAKAPRSVVFVAFGSLVKLSREEMAELAGGLAATGRPFLWVVRDDSRDLLPPPPDRDEAEFVAAAGNGKVVPWCNQRRVLSHGAVGCFVTHCGWNSTTEALAAGVPVVAYPAWSDQPTNAKLLADAFGVGVRLPEPLARDCLRRCVEEVTVGPEAEAMRSRAAEWKAKASVAVAASGSSDRAVQDFVDAMSQEPGAAAAAMTSAPAQPRPHVLLVAAPFQSHVNPLIRLGRRLATKGLLVTFTTALRAGIRLDLKDGDGGADTEAEAWRGRLRVELLRGGVWTPDDPRFRVACDMAGHLEAAGPAALEELVRREAEAGRPVTCVVANAFVPWALRVAGELGLPRGMLWIQSCALLSVYYHHVHALAPFPEADAIGSVTLPGIPELDADELQPLLIYTSGEDLWRQMLVTDLGRAREALSWVFVNTFDELEHAAVEGLREHLPVIPVGPLLEPDAADNGESRAAAADDDGSIIEWLDARPPRSVVFVAFGSLVKNESDEVAEIAAGLASTGPPFLWVVRDDNRAILSADALTAASNGCARGGDRAAGKVVPWCAQARVLAHAAVGCFVTHCGWNSTAEALAAGVPVVASPRWSDQRINARFLVDVHRVGVRAPAPLTKDALRGGVEKVMSGPEAEAMALRAASWKAKAGAAVRDGGSSDRGVQAFVDQIRHAGAGR >Et_8A_057116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21309588:21312023:1 gene:Et_8A_057116 transcript:Et_8A_057116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGKHNEMSLKDKRQLVYEVSRFPQSAIEILQCWTRRELLEIICAELGKERKYTNVPKSKMIAYLLKLVSRKNGQLKDACANAILSGQSNKDDTQTKGNGEQPQHSVKLVNSDSSTRREARAGISRVCRNVACQATLNAGDAYCKRCSCCICHKYDENKDPSLWLVCTSDVPYSGSSCGMSCHLKCALKNKKGGILKNGCTNKLDCSFYCVYCGKVNWLMRSLRKQLAIAREARRVDVLCERLSLSNKMIKGSEHYMELVDIISSAVKTLEKEVGSALDQVSAIMGRGIVNRLSCGADVQKLCSYALEIIDSAVDNTMEFESNNNPKPDGPQPQILFEEITPSSVIIVLKYQDNINTAHIDGCKVWYRSAKVNQYPLEPTCHILRPSFRSCVSGLSPSTEYFFKVLPFGSTQGFVEREAKCATRSLDRGSSQCSTQNSESMCLREDSMPHQQKDLNPPSHQRDIQYDSPKGSTNSSENNLSSERCSKRAKVARLDGASDNDESQLPPTSEVLPFASSNSSPLEAPSKPELLSSTPDSSSKNYLEQQYEYCVKVIRWLESEGHMDSDFRVAFLTWFSLKATAQERRIVSAFVDALISDPASLVDQLTDAFMDVIYAKEKPAQPRRKGAFCKLWH >Et_3A_026317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6720432:6726677:-1 gene:Et_3A_026317 transcript:Et_3A_026317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSVVSRSGREVVKGGIDLKDSAKVADLQEAIYARTKKYYPSRQRLTLPLQPGKGGKPVVLNSKASLSEYCEKGSGSLTVVFKDLGPQVYYSTLFFFEYLGPLIIYPMFYYLPVYKYFGYKGERVIHPVQTYAMYYWCFHYFKRIMETFFVHRFSHATSPVSNVFRNCAYYWTFGAYIAYYCNHPLYTPVSDLQMKIGFGFGILCQIANFYCHILLRNLRSPSGSGGYQIPRGFLFNIVTCANYTTEIYQWLGFNIATQTDEPAPSKVHHGVKHYCSRMTESVHLGREKIYRGVEFTPAFHVAHRDANKYHPEDSEVHEKEVEIKEEYKALERKRACLDNVLVLAARARQQSQPPGCSKIEQWSA >Et_6B_048618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11656899:11666636:-1 gene:Et_6B_048618 transcript:Et_6B_048618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFETAATLLAGFLALATLASCNTEGDILYAQRQSWYDPNNVLESWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAGLGGTLLPDLGRMKNLQYLELYGNSLNGTIPATLGNLTHLISLDLWDNKFSGMIPTSLGAISTLRYLRLYENNLTGEIPTSLGSLNSLVNLELQKNFLSGSIPGSLGNITSLQFLRLNDNMLSGTVPSEILSLVIAGNLTELNIAKNDLAGTVRSSGVRAALLTGVFALATLAHCNTEVIHKFRCMYCFNYGDLPILRDISFCNHLTR >Et_1B_012342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31033495:31036253:1 gene:Et_1B_012342 transcript:Et_1B_012342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRSKARVSRDADSDGGEEEGAAAAAPAATGSRSLYEVKRLQTLVGITVGRGAGGCVRGGGCFAILGVEKTASQQEIKKAYYKLALHLHPDKNPGDEEANEKFQQLQKVISILGDAEKRALYDETGIADDDALVGEAADNLQEYFRAVYKKVTEADIEEFEAKYRGSNSEKKDLKDLYTKFKGNMDRLFCSMICSDPKLDSHRFKDIIDEAIAEGELKSTKAYTKWGKKISEMEPPTNPLERRVKKKKKSGEDDLILAISQRRAERKDKFNSILSSIMSKCDPNASSSEPTEEEFEKARQRLESKRAKSRK >Et_1B_011508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2382302:2385422:-1 gene:Et_1B_011508 transcript:Et_1B_011508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDDVMEGSDGQRRKKRYHRHTPRQIQQLEAMFKECPHPDENQRMQLSRELGLEPRQIKFWFQNRRTQMKAQHERADNCFLRAENDKIRCENIAMREALRNVICPTCGGPPLDRVSSLTSKYLGRPITQLPPVQPLSMSSLDLSVGGLGSPALGPSLDLDLLSGASSGLAPKWMEFFPAIVSKARTIDVLVNGMAGRSESLVLMYEELHVMSPVVPTREFCFLRYCRQIEHGLWAIADISVDIPPRDARFGAPPPHSCRLPSGCLIADMANGYSKRACERYACLVAAGMPHREIAGVTPEGKRSMIKLSQRMVSSFCASLSASQLHRWTTLSGPNDVGVRVMVHRSTDPGQPSGVVLSAATSIWLPVPCERVFAFVRDENTRSQWDVLSHGNPVQEVSRIPNGSHPGNCISLLRGLNASQNSMLILQESCTDASGSLVVYAPIDIPAANVVMSGEDPSAIPLLPSGFTILPDGRPGASTSAASPSAGSLVTVAFQILVSSLPSSKLNAESVATVNSLISTTALPSPRLAME >Et_2A_016895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29138564:29138979:-1 gene:Et_2A_016895 transcript:Et_2A_016895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICLTSSDGCSIYCTRAVLLFLHRLALLLCHTSPAACTPSGSSCWRCSRGGSQLMREGGDHGARRRRWRSYAGRRAALARERRAAQASHARPIMPEVVRKLEESRRKNQTRSAIFRPTDSMSDTGTPNS >Et_2B_021837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:607212:607577:1 gene:Et_2B_021837 transcript:Et_2B_021837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGYIVGSLVGSFAIAYLCDTFVSDKKAFGGSTPKTVSEKEWWQATDTKFQAWPRTAGPPVVMNPISRQNFIVKDTR >Et_3A_026663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12652165:12654241:1 gene:Et_3A_026663 transcript:Et_3A_026663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAYPKSGGIPAMTFCTDGLASSASHHALTCGYASTTPAYSVAMKYRMKPKWQRSAAVTSSPARYLLPNSLASYTSSTFFSLARLSLTAAHKHMIMHGGLYSMDD >Et_9A_063038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8974421:8975831:1 gene:Et_9A_063038 transcript:Et_9A_063038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRTMYPLLFLLAVLRKSDAAGAPQLLALSCSTEGNYTSTDSFAGNLNQLLVELRENTVSNHGGFFNGTVGQDTATAYGLAMCSADFSRADCSDCLNAVASSFGGAANPCPGSATVAAIFDQCMIRYSDSNFFGTVETGKTPLWPVWKREVGSSWVYLDLGHVWFSSHAVALPITGLPTQFCLLLGLTPSSWQTNRLHALQAHATSRARQYLASTRVGCTPCGMKPNLYLTSLFLSCAVQWSSLANLSVEAARSPHRSAASVTAPYALVQCTWDLPEDKCKACIDTLSANATSPLEVPVDGELKSLSCRVRYSNSRFTVVPLVAPPAGPANQLSPPSIAPPASESIYLSNVNC >Et_1B_011408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21945736:21953858:1 gene:Et_1B_011408 transcript:Et_1B_011408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METADEERPLLELLPPQVSGSQYTRDGSVDINKQPALKHSTGNWKACFFILGIEFCECLAFFAISKNLVTYLTTVLHESKISAARNVSTWVGASFLMPLIGAFIADTYWGRYWTIVGFLPVYVFGVVVLIASASLPVFSKSPYNGDVHRAVVYFGLYLVAIGSGGIKPCTSTFGADQFDINDTAELVKKGSFFNWYYFLISTSSLLSGTVIVWLQDNVGWAIGYAIPLMLMLFSFAVFVAGSRMYRYRRIGISPITSLCQVVVAAFRKWDLQLPDDSSLLYELTNSPSESDETHKIQHTYKFRFFDKAAIVALSSDNKSIAPMSSWSLCTVTQVEELKMLLQMLPIWVSFRTDGINNDRAGHVHGQPILIWVPVYETILVPLARRFTGKDKGFTPPQRLGIGFVLSMLAMVYAALLETERLAIAEASGLKNKSVPVPMNIMWQAPVYLLHGVAEVFAGIGATELFYDQAPETLKSLCAALGQVAIATGSFFNSLLSWMLCTVTQVEELKMILRMSPTWASLVTFYAISAQMESTLIEQAMFMDNRIYSFTIPPASLTTLSVISILISVPVTIVPLARHFTGKDKGFSQQQRLGIGLALSILTMLETRRLAIAQHPEYQCVPVPMTIMCLSFFYDNAPETMKSLGTALGQLLYASGSYLSSLILGVVAVATTRNGTAGWIPDNLNERTLWVQRRSQLGGTGMFMDNNTFSFAILLASLSILSMFSILILVLVCERYLYL >Et_4B_039542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21054584:21058242:1 gene:Et_4B_039542 transcript:Et_4B_039542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSWTLPDHPKLPKGKKVAVVVLDGRGEANPDKYNCIHVAQTPVMDSLKNGAPEKWRLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDSALASGKIFDGEGFKYIKESFDNGTLHLIGLLSDGGVHSRLDQVQLLLKGAGERGAKRIRVHILTDGRDVLDGSSVGFVETLENDLSQLREKGIDARIASGGGRMYNDWSVVKRGWDAQVLGEAPHKFRSAVEAVKTLRAEPGANDQYLPPFVIVDEKGSAVGPIVDGDAVVTFNFRADRMVMLAKALEYADFDKFDRVRVPKIRYAGMLHETVKFGHVTFFWNGNRSGYFDESKEEYVEIPSDSGITFKVKPKMKAVEIAEKARDALLSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAVEQVGGIYLVTADHGNAEDMVKRNKSGQPLLDKSGAIQILTSHTLQPVPVAIGGPGLHPGVKFRSDIETPGLANVAATVMNLHGFEAPADYETTLIEVADN >Et_6B_048211.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:10807174:10807458:-1 gene:Et_6B_048211 transcript:Et_6B_048211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHQAGLVRCARLPGVSVGRVCAAHDGVACDSMAPAGARRGACRAAAAEEPWRTRTTARVACSSRRTATAAPPSSTPGRPGWTRSTTGRSMGST >Et_4A_033778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26835838:26838916:-1 gene:Et_4A_033778 transcript:Et_4A_033778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTAVEEAEVSGAAEFAPALVAAHPQGHSVAVAVGPELRVFDLKTGSAVSLSDDTGGSSHSDAIRAICFSATGALFASAGDDKLVKVWKTDSWRCIRTITSEKRVSAVAISKEDLYVTFADKFGVVWLVALGEDGGEQVSTTDKPVSILGHYCSIITSMKFSPDGRFIATADRDFKIRVTLFPKNPLKGAHEIQSFCLGHTDFVSCIAFTRLSEGQSFLISGGGDSTVRLWDYINGCLLDTFQVRDKMGELLDPNETDDSNLAIADICPSVDSSLVAIAIQGFNGVMLLTCDLIGKKLSFLKMITMEKSYIPTSLASSSSNELLWTVMGASNMPNQTASQLLTRLRIIPHFEKDLLSSSENGPAVLEDCEVPHGEKLLLALQGSLDTVKQEEVLASVLAALKVSMHKMLVKKQYSEERREQRKRGRNDKKIKS >Et_2B_020693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22842538:22845803:1 gene:Et_2B_020693 transcript:Et_2B_020693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEWPPAAATPRKVSMGGRVALKVLLFVLLAGLAMRLLVGPSAYLLQPTASPDAAALVAAAPARERIAGGGTPTTTIGSCNLFHGEWAPYSAGPAYTNASCRFIESPQNCMTNGRPDTNYLYWRWKPYGCDMPPFDSKNFLDGVQDKHWALIGDSILRNHVQSLLCLLSKVEDPTEVYHDETFRSRRWHFPSHNFTVSLVWAPFLVKAEIFEDENGVSSSETRLHLDLLEPNWTRQWESFDYVVISTGQWFFKTAIYLENETVIGCHSCQNKNLTETTFEYSFRKSLSGVFQFITSSPHKPMVFYRTWAPSHFENGEWFSGGTCNRTLPFKPGEAGDREQDNIMWRIESEEFTKAVGNKERSNADHLKLLDTFELSLLRPDGHSGPYRTYHPYEKGRTTKIQNYCLHWCLPGPIDAWNDIIMQMLARD >Et_2A_018683.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4987846:4988169:-1 gene:Et_2A_018683 transcript:Et_2A_018683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALFEQLSALADMALDGQGFDPARLDGVLTLFEGEARTSLAAAEAEHEAVARATEQVVEVAECRLGAVMDAAVGRYRGSSGDADALVAATAAMEMAFSATSKTHPS >Et_7B_054637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3074542:3082047:1 gene:Et_7B_054637 transcript:Et_7B_054637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSTEIIDLSSDSEDDIVFAQEDGIIDLCSDNEDNGDLRSGRTYDLGNEDADSSFYQPLVSLSTSDQKGIEHYQDSFSSPEVSSSYGHAENKSTTAMSSEMANLFAPVNHGSSEGMPHDSGCANPKDQCIDDVKQQNVCNDDKRTLPLLLAYGATAKSAHFNAPNDAPSDSAHAIPSGQCFDNVRAPYNDNKRTLPLLLTNGAPVKPEHSYVPYHARLFPQSFTRGIPTNFSPQPFASSHSSLGDHRMKEEPTDSRIKEEPTENRIKEEPTDNRIKEEPTDNRIKEETTDNRIKGEPTVKYNGVQRCAANGNGMPSSTVSTGPRSHRIFPPPRPFGTSVNGTEVDIDAENRLFGFNERAVYEEALKHISQEKKEEDLPEGVMSVSLLKHQRIALAWMVSKERSLCSGGILADDQGLGKTISTIALIQKERVQQSRFMTADTCRMKSLLNVDDDDDLVIIMENKQMKNENNKLDGPTPFHVGSSLELCTNRSKATADRMVKIAKVELEKKPRLIPSKSKTRPAAGTLVVCPASVLKQWANELSVKVTESSKLSVLVYYGASRTRNPTELAKYDVVVTTYTTVANEVPKDNPDDEQKNSEYGICPELSVGSKRKEPPITTKSDGGPLARVRWFRVVLDEAQTIKNYRTQVARACSGLSAERRWCLSGTPIQNKIEDLYSYFCFLKFEPYSKFASFSCMIKNPISRDPARGYKKLQAILRIILLRHTKETLIDGEPIIKLPPKTIELSKIDFTPEERAFYLALEEGSRQKFKAYDAAGTIRDNYANILVLLLRLRQACDHPILLKGQESDLIDNTSIEMATQLPKDTVTNMLEKMETGPVICSICSDPPEDVVVATCGHVFCYQCVHERLTISKLCTLPKLESDVATSLTPADESSSICESSYVSSKIKAAIDIINSIISTRAITEGDTVKSIPSEIAPVKAIVFSQWTGMLDLLELSLSSNHIEYRRLDGKMSLNIRDRAVKEFNTIPEVKVMIMSLKAGNLGLNMVAACHVIMLDPWWNPYAEDQAVDRAHRIGQTRPVTVSRLTVKGTVEDRILALQEKKRKMVESAFGEDKSSGNGSRLTVEDLRYLFMKERAQQSMFMNADSELTDDDEAVVSVDKMDLEAYASLSRASAARMTKNVKSNRKKKKRMRSSASTLLSTSRPAAGTLVVCPASVLKQWASELSVLVYHGGTRTRDPNELAAYDVVVTTYSIVAREVPKGNTVEEQRNIEMYGIFPQSSIGKKNQTVGWQGKEES >Et_4A_032573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11425120:11435717:-1 gene:Et_4A_032573 transcript:Et_4A_032573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPELMDDIVGEVLLRFPPEEPELLVRPALVSRRWCRLIADPGFRRRFREYHRTPPMLGFFCIDQRVPRFVPKSSVPLPHDLVVWNPITDEQQELPKPPIPPEHVYPYCCSWTAAVLCDPAGGGCGKLDCHCGSFLVVFVCTGSRETFTCIYSSDSGAWSGPTTIQLRHAWVRLGPSVLVGDSLYYLSDNCQRSDKVLKVDLCTREASFIRLPQTPYRHIELMATLNGGLGFAAMHESKLHLWSREAGPKAYAGWTQSRVVALNTLLESIGTSLPESIDVLHFCVTHEGEGDDGETSDDGETGEDGETSEDGESEDGETSEDGETSEAREEVQVPPKEVGSGLYRRSMNRCFNRMKNLKLLMQGVLNQQLEFQKSLSMSSILLPPWAPAVEEERHRMSPTLLLLPPGAHPTAAMAGLMLLALTPPVGRTHEVGRREVFAMAAVIAGS >Et_1A_007736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37769155:37770248:1 gene:Et_1A_007736 transcript:Et_1A_007736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSYYYQNKGADDCAMEECYYQVDLDQATNLSEVDDGGLLMELLQDLPSSDTVDGDVDQLSHVIRSLEAEISGGAAAVMVDDGSSAGLSSEEDGNLLEEMLSDLDDGSFGYWPPEVPLLGDGVEGWYLYADGCVGSEVVGYEAMDHQYHYVESSIEQILNTCFPNGCNLTT >Et_6A_047428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5674968:5680590:1 gene:Et_6A_047428 transcript:Et_6A_047428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSIAMLLGASAHSHPDPASVVLPHKKNVKLYQNLPDVLAGETTAIRGGLLLAELESRHDYRDNVLYLKEFGMRYKISTRTFVYSLRTILVEALILHVTTVAASAARSLKVQQRLPQWAILFAPPRADGAAKASPDAVRAKPRHRRRSTVLLRVSIIRLAQPAK >Et_2A_017283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32836213:32838807:1 gene:Et_2A_017283 transcript:Et_2A_017283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGIREYLLVEYLVCVDSGFRFGSDVRVSRQISRLRASRLVLHSGAGNKNAFKGLIAAEYSGVKVELVKNFEMGVSNKTPEFLKMNPLGKVPVLETPDGPIFESNAIARYVARLKDDNPLLGSSRIEQAHVEQWIDFAATEVDPGVGWYLYPRLGYIPYVQVTEETAVNALKRSLGALNTHLASNTFLVGHSVTLADIIMTCNLYHGIARILTKSFTSEFPHVERYFWTMVNQPNFKKVMGDVKQADSVPPVPKKTTPAKEPKAKDVKKEAPKEASKPKVVEAPEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSEPPFKVKGLWVFRGQEIPKFVMDEVYDMELYEWTKVDISDEAQKERVSAMIEDQEPFEGEALLDAKCFK >Et_9A_062173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20296441:20300504:1 gene:Et_9A_062173 transcript:Et_9A_062173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRKALFRAKLRETKEKQQRRIDPSLVRYNEYDQPICRVCNITLKSEALWPAHQVSRKHHEAKAAATAKASSGVGSQGSNAKQQQPAEPQKEKSSTLPSNFFDNQGNKRHSDDTGSEGRSVRREVSVIQPKSKEASTNKPTVKVDQTAKKGSQTSTNIKGVLPGIFFDYAEDDEAPAPKEVTSTSGNTASSNHMQGSKSAETAQVKGSLPEGFFDNKDADLHARGIQPQKVDMNDAYKEFEKEIQEDLQEVDDRLEEEEIDAAAEREEYLSLEQQEYRQRVDMLKKQLIESKAARTAKANSKPVGIDMESSSDSSSDEEDDNTDFAVDWRAQHLK >Et_5A_042959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7986969:7989233:-1 gene:Et_5A_042959 transcript:Et_5A_042959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPPIPALLAALPLLCLLLLAAPPPALCRAAPGNAGNGSTIHKLLTDHGLPGGLLPRGVQSYTMDEASGLLEARLSAPCYATYDNGNPAYFDTVVRGNLSVGALRGVEGLAQEELFVWLPVKGIVVDDHQPGVILFDIGYAHKSLSKSLFEDPPVCKPSAAGGMGAAEAARWKTGKGARALVYAVGQISRVFLGWGSGGERKEEAADARTSDEEQECVHLRDKKIRQCRASFPLCKILW >Et_1A_008231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5489292:5494291:1 gene:Et_1A_008231 transcript:Et_1A_008231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCGGDLLARRLHQETVEGLCQGLLSLDDDKFGAMCSAFGYLQEWPDLSAMCGTNLGAPGMAAPDAAGNGNDDSSCSGGSGGFRKRKPDASLDAKGDRGDSCKRPRGKQQQPSDLGEIAGKGKQERPKAGAKKKAEVTSPADGQKTDYIHVRARRGQATDSHSLAERIAASNPVVSFDIVEDLFGRQLKQACNSAASPAMALSTGQLDPSCLQMSPMQPMQLPAGCPGYGLEMVLNSPYTPAQGGQMPPASGAPNASCLNVNGAAGGAAAWDIASQNLFSGFDAQFQSDESRFPEVINRRAEGSKGRSTGDGVDEVDRVGEARKVRERPVEGVLGVGPEVDGDEDVPAAPALPGLALELRRRVRHHGDQVRQHHLPPAVLLHVLLHRLHRLLASCCSSSSNQVAALAAGRAPVHDAFPFSDVDGDRGGRRPGPH >Et_10B_002522.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:12898952:12899053:1 gene:Et_10B_002522 transcript:Et_10B_002522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDGSSFRHGALYSDALVRRKLKWRHAWMAFG >Et_4B_036922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12620926:12622610:-1 gene:Et_4B_036922 transcript:Et_4B_036922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFSTSLAPQFLSLSAKPTPPAAASFPSGLPQLHALSAAVSSWRPLVPVRAAAVVASELDAEEVEAEEEATGEEEFSEELRLFVGNLPFSVDSAQLAGLFEQAGSVEMVEVIYDKLTGRSRGFGFVTMSSVEEVEAAVEQFNGYVLDGRSLRVNAGPAPPREQSAPRTPRGEANRVYVGNLSWGVDNSALANLFNEQGEVLEARVIFDRETGRSRGFGFVTYGSAEEVENAISNLDGADLDGRQIRVTVAESRPPRRQY >Et_2A_017479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34803894:34809363:1 gene:Et_2A_017479 transcript:Et_2A_017479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCSKRHTDKEAPRPLAAAFDARRARYGPGDFDSGELAIQPPPKPPKVSETGTLLGRASIAAVEVLDTLGSSMTNLNHGSGFLSGGTNRGNKVCILAFEVANTIAKASNLWSSCSDESIKELKEDILHSNGVRILVSSNSSELLQIAAVDKREELAIFSREVIRFGDLCKDPIWHNLGRYFDKYASEKLTADFTPQDHSKESMEATVQQLINLAQNTSELYHELHALDRFEQDFRRKFYEDDSVPATRRESVMILHSELKRQRKLVKNLKKKSLWSKNLEEIVEKLVDIVIFLHKQIRDAFSEAGTDFFDSEQAQSKRLGPCGLALHYANIINQIENIVSRPLSLPPSARDNLYHGLPITVKSALRSRLQSFNTDEEHTVAQIKAEMQKTLRWLLPIAENTLRAHQGFGWVGEWANLGSEMGKKSGSQNSITRIQTLHHADKAKTEHYILELVVLLHHLVVQVKNRGYGNKSTKHDPSRSRKGLDLHAETKHNTSPMNNGTASSPLSDCERETLDQLSFKRTSYGRSKSCEPPPDRGNKAHRSWDSCRSQGSSPAREFDRNSASCRDKARDLDVIDGLDRLTSYSHPSSPAFC >Et_3B_028766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1917494:1924955:1 gene:Et_3B_028766 transcript:Et_3B_028766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMMADLSCGPSKVKEQPTPANSGPSAGSDKPARGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSGRGRLYEYANHSVKATIERYKKANTDTSNTGTVAEVNAQYYQQESAKLRQTISSLQNSNRTLVGDAINTMSLRDLKQLEGRLERGINKIRARKNELLYAEVDYMQKREMELHSDNLYLRTKVAENERGHEQPMGMQMEAASTSEYEHMVPYDSRNFLQVSIMQQPQNYSHHLQPTTLQLG >Et_8B_059871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3593540:3594380:1 gene:Et_8B_059871 transcript:Et_8B_059871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDSVPNVHSTIDSSNKTLLKSEALYQYVLDTSVLPHEPEPMRELRLVTDKHELGFMQSSPDEAQLLRMLIKLTGARNTLEVGVYTGYSLLATALALPDDGKVIAMDVDRSYYEVGRPFIEKAGVAHKVDFREGPALQHLDDLLADEANHGRFDFAFVDADKPNYVKYHEQLLRLVRVGGTIVYDNTLWGGTVALPPDTPMSNLDQRFSVAIRDLNRRLSQDKRVEVCQLAIADGVTICRRL >Et_5B_045709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7959871:7964024:-1 gene:Et_5B_045709 transcript:Et_5B_045709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCENLNPIIKKDIGSVYDIAFSLKASSWISSLQSEARQLGGDAAREEASDGIEFIKVVRGDKTLKGRDRIYYDLIIDGLNKDGEDGKYEAKILVEDLGLRCTRVLGAAGTGDAEIL >Et_7B_053998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13996781:13997499:-1 gene:Et_7B_053998 transcript:Et_7B_053998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKLAVVVALVASLLLLGNSNTKAATGGYPPAPPLAPPPHQIIDPAKDTEHKKVMDGRPPTTAALLAPRQAASTL >Et_4B_038502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29526478:29530689:1 gene:Et_4B_038502 transcript:Et_4B_038502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRFPGVARDSPAALAIRAAASKLGREHGFVCASARPGVQCWRRTGLVVRCQTGAAILKKEAAAAAHDGEKAATGFTVVMKFGGSSLASAERMREVADLILSFPDETPVVVLSAMGKTTNNLLLAGEKAVSCGAPKACEIRELSVIKDLHLRTIDELGLDRSLVSGLLDQLEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAAYMNKLGKKARQYDAFDIGIITTDDFTNADILEVTYPTVAKRLHGDWMDDPAIPIVTGFLGKGCKSCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYANAIPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGGIPVRVKNSYNRHAPGTVITKSRDMSKSILTSIVLKSNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKIAVVHLLQQRSIISLIGNVQRSSLILEKAFNVLRRNGVNVQMISQGASKVNISLVVNDSEAKECVQALHSAFFENGFLSEVEGADVPQNGSLNSNGAAYGN >Et_7A_050468.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:17329598:17329765:-1 gene:Et_7A_050468 transcript:Et_7A_050468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAFLLLLFVVQVLSGLVAAARPLDGGSGLMGTGMMAEFFRAVKSGPSPPTHCC >Et_5A_041940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4515249:4519057:1 gene:Et_5A_041940 transcript:Et_5A_041940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDTIRHFSHQGHELVKRHYVGPYRCDICLEDLTGPAYGCGAGCDFAIHESCSGHPQTHYSPSHPPHSLVLLRTRRDVAHGCAICAGRCAPGAFLYRCPPCGFDVHPRCAQLPQAVRSKRHPAHDLTLTLVVPEGRCAACHLGAGRPWYSYRCATCKIDFHVSCAAGGSGEDNNIGGHH >Et_1A_006696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26450955:26451526:-1 gene:Et_1A_006696 transcript:Et_1A_006696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLRISTEQETTQFVKLWWLLQGGNLTEQEDDITWRFTVDGTYTSRSTYSIHDNWPYSASTAAGKISPAPDQVGGNDWTARNAAIPPACASNHLHSLKQLAGAVPLLL >Et_9B_064506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15962621:15965500:-1 gene:Et_9B_064506 transcript:Et_9B_064506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLVFSNASSCRWPSGMWPNNVGKTPLGDSAGKFQRKKLFSGGSYRSSRFHCSANSRRPAPRRTKDTLFDLHPEISLLYGEDDGAATVSSKEQDIDKAAERLLDTPATYRYNEPRIKVIGVGGGGSNAVNRMIESSMKGVEFWIVNTDFQAMRMSPIDPENRLQIGQELTRGLGAGGNPEIGMNAAKESQELVEQAVSGADMVFVTAGMGGGTGTGGAPIIAGIAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIASLRSNVDTLIVIPNDKLLTAVSPNTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSVMSDAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLTEVNAAAEVIYDLVDPGANLIFGSVIDPSYTGQVSITLIATGFKRQEEAESRSAQAGGDSNRSRSSWFSPATQEEGPALQIPEFLQRRGRSGFPRV >Et_2B_019080.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:30433272:30437901:-1 gene:Et_2B_019080 transcript:Et_2B_019080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDSFVKRCTASLEDFAGQEACAALGIGDDVRGLLSTLSRIEATVSHEERRRLLSAKVDAWVAQVKDAMYEIDDVLDVCMIEGGKILAEDHPPTPKVRCAFVFSCFKHAGPRKFHHEIGFRLRDIDLRLREVEEEMPCLPAGSVHTDSRRDWFTDNICKHCHDAFKPQAVGTQVEKVLGGLVPRLLREGKKKVDVFAIVGAVGIGKTTLARVIYNDDRVTENFPICVWVTMSKDLSEVAFLKKIIRGAGVNVGDTENKEELLGLLSSALSKRFLIILDDLDSPGIWDNLLKDPLGDGVARGRILITTRSEEVATGLKATVHRVEKMDTESGWDLLCKQVLPERNTQELAALKEIGIKIVDRCEGHPLAIKAIAGVLRSRGKSKAEWERILGSDSWSMRLVLPEVPRALYVSYVDLPSELKECFLHCALYPEECPIQRFDLVRHWIAEGVVNARDNKLLEESAEEYYVELISRNLLQPDPNNVEQCWITHDLLRTLARFLIAEESILIDGQQRLNASSSKPRHLTLCNMENSLEDPISLKQQMSIRSLMLFKSPNVKAIDLLLESSACLRVLDLSNTAIEALPKSIGNLVHLRYLNLDGTKVRDIPSSIGYLINLQTLSLQGCQRLHKLPWSIRALLELRCLCLEGTSLSYVPKGVGELKHLNYLSGLIIGHDSNGLEGCDLDDLKALSELRHLHIDSLDRATSGAAALANKPFLKDLCLSEQPPLIEEQQQEEQENQESKEEIEKEEKEINSGGCSGEESAKVSEKLWNELTPPQSIEKLIIRNYKGGKFPNWMKGPKLDTSFPSLVFLNLDNCISLTRLPSVGLLSQLQTLQISNANSVTTIGSEFIGTTVLSPATSFPKLEVLKLRNMNNLEEWSLAVEENLILLPCLKSLHIQSCPKLKSLPDGLKHVALCDLCVEGAYSLTEIADLPKLSDELHLKDNKALLRISNLPMLRSLVIDDCSKLKHVAGLDMLQHLKLLFPPSTETFYFEELIIFWSIAFPQWLEILIHKCKGLCRFELQCSLPLLKSCLDGGKNWHIVQKIPEVRIMSCDGKRYIRYNKSRRVYETNAQSEE >Et_10A_001007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20028593:20029817:-1 gene:Et_10A_001007 transcript:Et_10A_001007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSMEQPASAAASTLPLDLVSEITARSDPVTLVRCAAASSPPSSADGEDPRFVDVGGASPSPSPEPFRSFLSEYSDLFEFYQPAAAARGGLVALRSGTTGEAGVCNPMTGLLDLLVSPPPASGVTAQSSYVLLTDDCDRFRLLAADLISGRGCCCLRTQAFSSDADAWGGVTETPVPGLPPEAAFVRPCALVLAGVAHWLCRSGQSYFILAFSDARSTAKVTAIQLEEAGDDDHYCRRLCECKAEELLLVSSLVDGRASLLVAEKRLEVSLWTPPDSAAAAADRWTRQVVIGSDEVRQATTNALPGMAWSAKLELRWFGEKSGAVLARMAAGVRSGLSYYYLLEMGTGTRRIRKVCRLRDRDVHVFFPYEMDLSFWLPRINYS >Et_2A_017718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5395143:5404826:-1 gene:Et_2A_017718 transcript:Et_2A_017718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPEKEQQEEEEEEVEEKDGLKFPVGKLARFLRNSYSDDIRRILDAEPAELHFPLVIEIAELMDFDPEFANQLYARPEVSLRVLMTAAQRAQSEMIKRGNWSREARKESVPVRIDVSGSPLEFPEASPSIGKIRVKHMRTFVTLKGTVIRSGGVKMIEYERMYMCRKCKGSFKLYPELEVGNRINLPASCPLENSKGCRGASFQLIEDSIVCRDYQEIKIQENVQLLGVGSIPRSIPVILMDDLVDTVKAGDDIIVTGTLSAKWSPDIKDVRSSLDPMRTNELKYDIDIPDETIEEFEHFWENEHKDTPLEGRNLILKAICPQIFGLFTVKLAVALTLIGGVQHVDASGTKVRGEPHMLLVGDPGTGKSQFLKFAAKLSNRAVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRTTIHEAMEQQTISIAKAGLVTTLSTRTTVFGATNPKGQYDPNECSLSVNTTLSGPLLSRFDIVLVLLDGQNPEWDKIVASHILSENFDEKKDNKAKWNLPMLRRYINYVKKRFRPVLTKEAERVISSYYQLQRQSGTYNAAHARLMFRNEVKQLDAIAAILCIESSMTTSAKIDGAGDALHSEFTDNPDVECILNVIFVAYSLRFFNDDVGTRRRSSTLVHFCCRKRLHFMNGDVHLRAFKNIATSPARLSPAQAAALSPVASEVDDLARGVTSVAAGVLVVSPELEGVDGVLVAGVVSGASGVSGVSAAGVGAGAAGAGAGAAAAATFTTSFMPPLQWPVTPQMK >Et_9A_063343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20803273:20806809:-1 gene:Et_9A_063343 transcript:Et_9A_063343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTAALAVAFDAPATTPMPAVALDPTIGSAKVPKEAAAAAATDTAPAESSSGGAERRSRFRRICVYCGSAKGKKASYQDAAVDLGNLLVERGIDLVYGGGSIGLMGLVSHAVHAGGRHVIGSVSISIAPPSLFHFHSIYYLAAPTTRRFFFVALLDHSKIAHAKRGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPLLSFIDLAVNEGFITEEARRIIISAPTAKELVTKLEEYVPDYDIGLVWEDPKQNNLVPELESRITSS >Et_2B_020651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22363627:22387295:-1 gene:Et_2B_020651 transcript:Et_2B_020651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRRRGGGGPERVAENWERLVRAALKRDRDHLRAGGAASAAAAGLGLAAAVPASLGRTTNIEHILQAADDIEDEDPNVARILCEQAYTMAQNLDPSSEGRGVLQFKTGLASVIKQKLAKKDGASKDRQNDIQILWNFYLEYKSRRRVDDMQREQERLRESGTYSTEMGARAIEMRKVYATLRALLDVLEILVGPSPTDRLGRQVLEEIKKIKRSDAALRGELMPYNIVPLDAPSSVANIIGFFPEVRAATAAIQNCEDLPRFPYDAPQLRQKDIFDLLQFVFGFQDDNIRNQRENVVLTLANAQSRLSLPVGTEPKIDERAVTEVFCKVLDNYIKWCRYLGKRVAWTSLDAVNKNRKIILVALYFLIWGEAANVRFLPECLCYIFHNMAKELDGILDSSEAEPAKSCVTSDGSTSYLEKIITPIYQTMAAEATNSNDGRAAHSAWRNYDDFNEYFWSRSCFELSWPPAEGSKFLRKPAKRKRTGKTNFVEHRTFLHLYRSFHRLWIFLILMFQCLAIIAFRHGKINIGTIKVLLSAGPAFFILNFIECCLDVILMFGAYKTARGFAISRLVIRKVLEEHNARNSDSTYFRIYVLVLGGYAAVRLVFALMAKIPACHRLSSFSDRSQFFQFFKWIYQERYYIGRGLYESIRDYARYVIFWLVILACKFTFAYFLQIRPLVDPTNIIVQLHDLKYSWHDLIYLMDIHIWYTLLSALVGGVMGARDRLGEIRSIEMLHKRFESFPEAFARTLSPRRFLILFLEFDSEITKMYASIFSPFWNEIIKSLREEDYVSNREMDLLMMPSNCGNLMLVQWPLFLLTSKIMLANDYASDCKDSQYELWYRISKDEYMAYAVKECYQSTERILHSLVDGEGQRWVERLFRDLNDSIAQGSLLVTINLKKLQLVQSRLTGLTGLLIRDETAGRAAGVTKALLELYEVITHEFLAPNLREQFDTWQLLLRARHEGRLFSKIFWPKDPEMKEQVKRLHLLLTVKESAANIPKNLEARRRLQFFTNSLFMDMPAAKPVSEMIPFSVFTPYYSETVLYSMSELLVENEDGVSILFYLQKIYPDEWANFLERIGRGESSEDDFKDSPTDTLELRFWVSYRGQTLARTVRGMMYYRRALMLQSYLEKRYLGGIEDANSAAEYIDTQGYELSPDARAQADIKFTYVVSCQIYGAQKQMKKQEAADIALLLQRNEALRVAFIHEEDGVSSEGHPIKEYYSKLVKADVHGKDQEIYSIKLPGNPKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYLEEAMKMRNLLEEFRNVNGNHGIRNPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLAYLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLTFFFTTVGYYVCTMMTVLTVYIFLYGRVYLALSGLDYSISRQARFLGNTALDAALNAQFLVQIGIFTAVPMIMGFILELGLMKAVFSFITMQLQFCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIIYIAYGYTKGGSSSFILLTISSWFLVFSWLFAPYIFNPSGFEWQKTVEDFDDWTNWLLYKGGVGVKGDNSWESWWEEEQAHIKTLRGRILETILSLRFLIFQYGIVYKLKITSHNTSLAVYGFSWIVLLVMVLLFKLFTATPKKSTALPTFIRFLQGLLAIGIIAGIALLIVFTRFTIADLFASALAFIATGWCVLCLAITWKRLVKIVGLWDSVREIARMYDAGMGAVIFVPIVFFSWFPFVSTFQSRILFNQAFSRGLEISLILAGNKANQQT >Et_7B_053203.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:11435147:11435446:-1 gene:Et_7B_053203 transcript:Et_7B_053203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTENISAAWWRGKYPNRFMQLGFAWNPRGSGALPSKATLAHCKAFCLTIWLKVRGVMMKPQPKPHMTRSYKVRKRRTLSFSSIGTKRGRKSKKASSTD >Et_7B_053747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11940467:11943876:1 gene:Et_7B_053747 transcript:Et_7B_053747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EEERIEPPPYIHIESNEFSYRRHKRQKEEDIAVCECQYDILDPESPCGERCLNVHTNTECTPGYCRCGVYCKNQRFQKCQYARTRLVKTEGRGWGLLADENIMAGQFIIEYCGEVISAKEAKRRSQAYETQGLKNAYIIYLNTDESIDATRKGSLARFINHSCQPNCETRKWNVLGEVRVGIFAKQDIPYGAELSYDYNFEWYGGAMVRCLCGSASCSGFLGAKSPGFQEATYLWEDDDDRFSVENIPLYDSADDEPTSISKDILLSNDGPITQYGNSNTIQSTENSGTAAQTHEFVPMVVEPLTARINERTPMSIDPLSASSNEFTPMTIEPLNAIPMGVDLVENGSIEYSALDTHGAPQNTMQQVANQQNQTETQNNSDHSQLVPVKLPKVRGRKPKHGPRKQLLNIPDICDRLASSVAREEILYCEVVKNQAASDIAALYDEIRPAVEEHERDSQDSVSTSLAEKWIEASCCKYKADFDLYAAIIKNIASTLRSKEDVSAREQNGMKYLENGP >Et_7B_054142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1666032:1669920:1 gene:Et_7B_054142 transcript:Et_7B_054142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAKLVPGIPRFAHTGKSRKLAEALLATSKPNNPGAQSIGSNFVLGLGYSSRAFLQGRVPNGPSTSYMLGRASESLGQYTGVRRFSVLSPCSQNAFQSQLAWKQLMAMRSGVPRASPFWSKVACAVSLAVSRSNLAPYLFAFIVGELMLLKKTSADGEYYPISDNLYNRAQDGRIYVTSLIFSLVEMVIIIFRSIYLALLFTPSLLMAPFADTLGSRYRKTWLRLVHRTLELAGPAFIKWGQWAATRPDLFPSDLCTELSKLHTKAPAHSFAYTKKTVEKAFGRKLSEIFENFEENPVASGSVAQVHRAVLRFRYPNQQTRRVTVAVKVRHPGVGESIRRDFSIINAAAKVSTYIPTLNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPRPLYPLVHPAVLVETYEHGESVSHYVDDLEGHDRIKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVVQQKTSNNKSRPHVVFLDVGMTAELSSNDRVNLLEFFKAVARRDGRTAAESTLKLSKKQNCPNPKAFIEEVEQSFSFWGTAEGDAVHPADCMHQLLEQVRRHKVNIDGNVCTVMVTTLVLEGWQRKLDPDYNVMKTLQTLLFKEDWAKSLEYTIQGLMAP >Et_5B_045293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16896925:16902410:1 gene:Et_5B_045293 transcript:Et_5B_045293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPIYSTLLFLVNGFIRSASATISSSAIPTSSLSSRRLADALLDLLSRGAGGSRPRAPSTLCQPSCFGSISLPPSLPFRSSRRKVLEADPCRRSTTVQAIKDTLAGSSTDSCSPPGTRRLKNSDKGWVELQLIRTGLGQDPNTGAVVAEPSFWKVGCDTSRASSGKPPAFLEDLSVLYARTPEGRGTLVCAGGVSQMSMDIGSVGAVPWRSVGAGSLGGVPQGPHRSLNLCSDDTPQGPAPSNKRNSQEVEVDSPPRKRNSGTSLEDYVHMLGDNVVRKIYRQPKPSSEHRRRHADERRCKPNPPDPEEMELKQVLDVLQIDGIDDASELHYKAYILCKDKLNRLTFLRLSRPEARLNWINFHWEHKSRLNTATEGKAHEFRSIVSKGRMSDIEEGVYMMSSGEETDYSDTSSDASSIIYNLAALGVATAALVASRNTARSIMGHPAPPLPHVTGRTYYLADSGYELGEVGVGLYQSDKQCSVTVYQFNLPV >Et_3A_025316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28928570:28934550:1 gene:Et_3A_025316 transcript:Et_3A_025316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPTLLPLKPSTPAIPIGRSHGRRPKPLLASSAPPPPSPAPRPPKPTPNADPLKPKPEARIQNPDDPAAGLPATKPRKPRRGRRSEATAVEDFVRGRLEEVFSSIRERNPEHKEEDERMYEGDEPGEEEDGEQKPVVEEEDPSWPLDADVGWGIRASEYFDKHSIKNVTVGGVEIVWEKEVEEGWVKEINCLEWESFAFHPSPLVVLVFERYNRAADNWKFLQELEKAAKVYWNAKDRLPPRTVKIDINIERDLAYALQARECPQLLFLRGNKILYREKEIRTADELVQMIAHFYYNAKRPSFVNPEAEGEVRNWTISHDMLMWNRFLNLQVYLKENSTQWWLSQVGENVCTYDCNVRLRVTTMASI >Et_1A_009094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2891751:2894910:-1 gene:Et_1A_009094 transcript:Et_1A_009094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAQYGRTCRMYWCYQCGRALRIISYPTTDVFCPRCFGRFLHEIDPPAPRPAPQPPQYLLPRPFHPQYDGHPRRWLIYGGAPTTVPGRAFRQPLPAAAPSPAPAAPPRRRVPSPPPPPVARRPSTPPAIDPGDYFTGPNLTGLIDELTQNDRPGPAPAPSSAIESLPTVRITGAHLSDGSQCPVCKEDFELGEAARQMPCRHVYHSDCIVPWLRLHNSCPVCRFQLPGGASNAASRGGSSDNYRNQERVRSVREPPTTVRWGPFSWLWPPRGLDDSDDEWEHGRHRRQEAADAGVGMVDVSSADMLYNNEMEPAGNNADRHRSTSDRRQLHVSCAQLVVLRILGHQMAQDVLYQEDYPCPRQVKPGSKQ >Et_1A_007212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32103048:32103511:1 gene:Et_1A_007212 transcript:Et_1A_007212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPDGVECMPKGKVKLWVDDSGLYLVHVEELQLRVWLHVTDSGEWLLPSVCMSAATAVKVREVGNCAEFVLLQLGVHVLYIHIRSRNVEKVYTVKLETEKPWIRLIPFMMIWPPIFPVVKEVKMALKTNNRQRFSSRCAIL >Et_4A_031875.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25584246:25584593:1 gene:Et_4A_031875 transcript:Et_4A_031875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRHQAVRQVPAMEAKFFRFLKLVGVGYKARTEHGGRELFLKLGYSHEVRFTAPPAVRVFFFKPNIICCTGIDRDRVNQFAGAVRICKLPGEVQGEGPVVFRR >Et_1A_008471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:811986:815215:-1 gene:Et_1A_008471 transcript:Et_1A_008471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVQDKRHRKKQDLQVLAPFPGCLGRVINMFDLSNGVVATKMLTEKAHRDVSPAGKDRSNTFKMAISPPAQAEDKQRDCQARKNSPTKRSNSPTKRSGGTPVKMLMEQDMWKEGMPDEEPLNVVPRLMGLNDAPVQQSDCVSGRLMDNEFRSGWFEESVRSLKPKMEIKGHLNQKTGTRHQYTWDGLSDQSSRVNNSKTKHLRSEPCCERKMSLVREKFAEAKRLATDEKLLHSQEFHDALQFLSSNRDLFLEFLDEPNPLLSSNNYEFRPVTPSEVKQITILKPSESAKRKDSIPVGRQLISDGDESERNRYRRHQSLDVSSANSDLSEPTRIVVLKPGLAKSHDARIARSPLSSAEDSEDDSMLAADEALCSRRLAKEITLQMRMQLKDKQDEENMLSCGYPDFYIGDDSFSKSEAEITKEASGETSEDLEFGTPTSGRSWDFLSRSGSPYSASCSSQTSHRREPSVVREGKKKILERWSMVSSTASSEEEREVRRSTGTLGDMLTIPKVNRDKDEVGTVTLDSQTTEPESEEPFSCLPRSRSLPVSLSYGGGVSNGAASGPQEADKERIRKSSSFREKVSSLFSKNKKSTREKIDPSANDRLKCEGAVTTGDNLALENLQKHNICLNTDDGSMRRLANSCHTDRMNIPAKDFSSISCLGAPGIIADPQDQPSPVSVLDGPFICENNRRLLYSSENFITASPLTFLHVNAEAFSRSPLIGSFSRSLSWEDPPIEVMSPNSLRLSKLFSKADEDLDSLTFIQKLVQYSGMDNEGCILADPLDPQLLEKFSGYQEDEIKSRERRSKQKLLFDAVNEALTELTWTAELAAYPWGRSCSLGHRDCKTGFSNSAAEEIWRVIRNWSILDRYPPGEVIERNQLLEMILKKEVAETASADMTRLEIFELNSTVCAMVLEDLVEETLVDLTNN >Et_1B_013861.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:21050740:21051717:-1 gene:Et_1B_013861 transcript:Et_1B_013861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPRKRPAPEGPFSSVAGKKRPRYQFGTIDDYEKLDELAEGTYGVVVRARHLRSGDMVAIKWLRGVDGGAPDLRAVVREAGCLAACRGHPSVVQVRDVVEDEATGDLFLVMELVGPCLRSRLTRPFSEAETRAFVLQLLRGLEGIHGTGTIHRDIKPDNVLVGRGGALKICDFGMATPVRPPYKEECVGSLWYRSPEQLMGSQSYGPAVDVWALGCIMVELLTGAPLFEHVDTEDDMLMEVLHMRHEIDSEGLQAFKGLPEELSQAAGEVLLGLLCFKEDDRLTAADALRHRWFDHEQDSKEAESSSAPSEAESPVVVCSL >Et_5B_045673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6775157:6777713:1 gene:Et_5B_045673 transcript:Et_5B_045673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPALQIADHQAPEPLLTLTDELLEEIFIRLPVPTDLVRASTACTSFRRIITERSFLRHFRAVHPPPLLGFAAYEGFHPAQPPHPSAPLGDALAGAADFSYSFVPAGRWNTPWHPRDVRQGRVLLECTPDCDPAFDYYDFVFLKDLELAVCDPLFRQYRLLPRIPRELRIQYGALVDFGLFLAPTGEDEEETAFSVVCMSCNGTMLVAFAFSSITDLDLSSLGPIMPNIRFTSACLEYQQCFYWTVPWRNKMLVLDALRLEFSVIDRNLTGYHTRDTGQPLIVEGRDGSPGDSSSEESSENSAHSVVESPDVEYFTLDVKTSELKKLCIAAAQFGCKYYGIFKQISNALPA >Et_4A_034894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7585700:7586248:-1 gene:Et_4A_034894 transcript:Et_4A_034894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVQPCKSEVTQSAHIAGLDVPVDDDLLPVLVEVQQAGCYTFDNLEPLAPIQTRILVIVQVLVEAAVRHVVVNQEELTFPPAIAEQLNNVSVPEASEAHHLGDELLHPLPRLVRDPLHGNLRLGSREHAHVHPPEPSSPEQVLVLEPLRRAEQILVRKAMRPESNLPEKSPAVWR >Et_3A_023777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12181073:12181430:1 gene:Et_3A_023777 transcript:Et_3A_023777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYREGEIGDHEFFARAGRGIAYFMPREMDGYCNGLFLEFGSVFNPATGGRAPLPDAPISFHLNERFQANKMHPADNVLLLQSEWSPSLLMLKVFSRHG >Et_9A_063429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24067615:24068798:-1 gene:Et_9A_063429 transcript:Et_9A_063429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYKTTEDILTHRLTCVYSVPPVGTPDTQQLGVLAHAGGPNDGGLHLDAAERWTVFDLKSLATHEIGHVLRLNHSSSHKAVMYHSSRPYVQLLYGSNPSLRHSMGAGEEQLLAGLVSSLCVAFAFEGKNWNHLNSTRKIPPN >Et_2A_017899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7670148:7673826:1 gene:Et_2A_017899 transcript:Et_2A_017899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTEVEKKRAPVALAPIAKPLAGKKLCKRTLKLVRRASDAKCLKRGVKEVVKSIRRGNKGLCVIAGNISPIDVITHVPILCEEANIPYIYVPSKEDLATAGTTKRPTCCVLVLTKPAKGELEEEVKEKLKTDYDQVLTEVAEVTSSMF >Et_7B_055639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1930853:1932235:-1 gene:Et_7B_055639 transcript:Et_7B_055639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPSLFCILVNVRDFVREDQSEAEKRYNKIVEVVNESEIVTREIKRSPDDITSETGLRQSTGFAIAESGNKLRILTCAHTLADCYTDGYHDLTRYDADSMFSFQVYCTHHEEQVTTARGISKHSRRLRHTTEARVVAVDTKLDLLALEIDVRDLCLRAGTRARPKESCENTHPVIRLAQADPRERSRVVLPGWPPQRAKAVAVGQVSCCDWPYDAVTDLNVKGYSAKLLEVHGMVAGSGFSGSPSPNGNVEFVGVYHGVFNDDGMGYSISRKDVGAFLRDSHVITWSVRRSGLSLYTASALLYQQAAVLVYMRAEVD >Et_5A_041531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2422879:2428649:-1 gene:Et_5A_041531 transcript:Et_5A_041531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHVGELGLAAADEVQLDIVEQQQQRRRREDGFDSDGGSSGSGSFFEPWIEPDTRGRGSGHGGARKGGGYKAAEKRVTRFALQLAVLEKAASVLGKLAFVWATVVLLGGFASSLRVLDFWSVTVILVGEGARVFSRGNELEWHRYSTRTSTGGGGGAIVSTSSRFCRRVAQAVAARAGGRRRAVVDSTVRVDADDEGPYAARQRARHAPPPLPYAGWVFVSKNAGAALKFLQLLSSAACVALSVIGLCKHDHGDAVADETRNQRPALVLFYCLALAEASLFLLEKALLTWKISFRKLLDEVSAECELGACGLVPLTRFFYDAYAQCVGNSVFDGVKMDLVTFAKELIESDFLDEQLMGVRILRQFARSKASAPETLRKVGTSARSIERLVEMLNWKSPQEEEVRRCAAVVVSKLVGKSQNALRVAGIHGSIESVMSLLHTQRRHGAHERAASSSSAETSYDHLGFNLIGLLILKKLARDHDNCGRIGNMRGLLSRVVEFTHASPALLLGGGSQTSDSQIRAVKRALQVLKMLVTATGNTGKKLRREVADRVFTVSNLRGILQHGQQHRQLQKLAIDVLTGMAMDDRAKEGIARTGGVVKLLLSMFLNADLAYASELSSGAGEALAMLALESKANCGVILKKDGVPGRLIVALHDAALRLNAARILRNLCAYSGEEWNARLRAVTAAMPAVSVDTHLAQRLFLLVVNVTLLVLVGAGVQVLQITMRETESEKLLEASIGLTTQICKFLDGEQFARELRGAGVDRAEYTERLASILRRHTYPEIRVPRVRRFVVLQAIWLMQADGDDKYYVERFRGLNMGSLLESIADTTSDLECFHVFSGSVGLSQHRKSFTAIVEAALELLDTSSASSIAFVWATVVLPARRLRLVLDFWSVTVVLLGEGAWVSTRGNELEWQRYSNSTSTYGWRRKRIQLARDHNNYDNCGEIGNMRGLLSRVDVEFTHAARCSPCAQDARHGDGQAAWARRSGGRWRTWCSSVHGEQHSRAAGILQARWHGGSCRRGRLDRAEYVRGEAGEHPAAAHVTYPEIRVPRVRRFVALQAIWLMQAHVRRRRLLRGAVQGARHGERTYVLESIADTDDVRPRVLPHLLRQRRAQPAYLGTCQNQIIS >Et_9A_061385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10959956:10960368:1 gene:Et_9A_061385 transcript:Et_9A_061385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KGKTRRTPREARFVSLPPNNINISTSYDQNSGAGTIDNCADALQSEAMAALQGLSYASDDSDLGIMNVELETDGGSVVVVLRPLAKRSTLLTFELHDVLSYDERVLHKPQSCNSVGDQLGKLRCELSRLSAVM >Et_4B_039384.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12824457:12825917:1 gene:Et_4B_039384 transcript:Et_4B_039384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPLLTLLLLAHTLAYLAWTAYQRRRRSRCYLLDYVCHKPSDERKVSTEMAGAVIERNKRLGLPDYRFLLRVIVRSGIGEETYCPRNIMEQREDSPTHRDALDEMDAFFSEAVPALFARTGFSPRDVDVLVVNVSMFSPAPSLASRVARVLGMREDVAAYSLTGMGCSAGLIGVDLARNALLAGRGGGGKKKAPRLALVVSTESIAPNWYSGTDRSMMLANCLFRCGGSAALLTNDPALGRARAKMELRCLVRANIAANDDAHACATQREDADGRVGISLSKALPKAAVRAFAVNLRTLAPRVLPVAELARFALRHAAKRLLLRLRGSPAAQGGKTKAATADHQGQGSGAAKINFRAGVDHFCLHPGGTAVIEAVKQSLGLDDADVEPARMTLHRWGNTSASSLWYVLSYMEAKGRLKRGDRVLMLTFGSGFKCNSCMWEVTGDMADKGAWEDCIDRYPPENLANPYMDKFGWINDVEGDTLVI >Et_3A_026743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18534219:18535871:1 gene:Et_3A_026743 transcript:Et_3A_026743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVIDLKGLTGDESERSRTMAQLHKACKDWGFFWVENHGVDATVMEEVKRFVHGHYEEHLESKFYASDLAKNLQHAGGESYADQVDWEAAYSIQHLPRSNISDFPEISPETRELLDTYIAQTVSLAELLAECMSLNLGLDGGHIRDTFAPPSVGTKFAMYPACPRPELVWGLRAHTDAGGIIIMLQDEAVGGLDFLKNDTAPAEWVPVQPNPGSRIFVDIGDQIEVISGGAYRSAVHRVAVGTEGRRLSMATFYNPGADAVVAPARDAPAYPGSYRWGDYLEQYQRTKFGDKAARFEEVKTMLG >Et_3A_025047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26467103:26470550:1 gene:Et_3A_025047 transcript:Et_3A_025047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTVLCLIYIYCCPLTGYIPLFLLVQLHLAFARPLFPLPSKTEDEEKKPLQTFRPYNIAHRGSNGEIPEETAAAYLRAIDEGADFIETDILASKDGALICFHDVTLDDTTDIARHKEFADRRRTYEVEWFNVTGWFVVDFTLEELKTLKVKWAGGKKFEDKFVDTLLKYGYKGQYMSENWLKQPLFIQSFAPTSLVHVSKLIDSPKVFLIDDITVRTQDTNQSYWEITSDDYLAYISNYVVGLGPWKDTIVPVARNYLMSPTDLVARAHAHNLQVHPYTYRNENHFLHFNFHQDPYAEYDFWINTVGVDGLFTDFTGTLHRYQELTSPHPKDETANNLLVKIAEMITAYEGH >Et_1B_011204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18778327:18809478:1 gene:Et_1B_011204 transcript:Et_1B_011204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METKTVEMGWSCGVAGGTNEDKGESAKSSGCQIETFEILMELHLTNSISSCDAKFVAQEQWFVTRDSTGYVTVYAYSKTDFKHKVIKRFIPHMGKRVNALAVHPTYPVLLTGSDDNWIRLWDWSQGWKCIRQFDQCEHPFFKMIWNPLDTDTFACISKSNIKVWEFKEERMIRTDPAITLEIHLLASKEELCAAMEGEQPSMVIVWLRQRMVQEEEDDLHSWAGPLFFINGPPLL >Et_1B_012203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29889818:29894712:-1 gene:Et_1B_012203 transcript:Et_1B_012203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQLAALAGGGDGEMEEVALLGSYDEEAGPGPEEGDAEEAGMRRVQVRVTGMTCSACTSAVEAALSALRGVRTAAVSLLQNRAHVVFDPALAKDEEIVEAIEDAGFEAEILPDSAVSQPKTHKTLSGQFRIGGMTCAACVNSVEGILKKLPGVKRAVVALATSLGEVEYDPSTISKDEIVMAIEDAGFDAVLLRSSEQDKVLLSVTGLQTEGDLDVLHDILKKMEGLRQSAVNFANSEVEVLFDPEVVGLRQIVDTINMESNDRFRARVQNPYIRAASNDAQEASKILYLLRSSLFLSIPVFFMRMVCPHVPFVSSLLLMHFGPFRIGDLLKWMLVSVVQFVVGKRFYVAAYRAIRHGSTNMDVLVVIGTTASYVYSACALLYGAFTGFHPPLYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELAPATALLLLKDKEGKYSGEKEIDASLVQPGDALKVLPGSKVPADGMVIWGTSHVDESMVTGESVPISKEVSSLVIGGTINLHGILHIQATKVGSGTVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITLSLLTFFAWFLCGLLGAYPNSWSAETSNCFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGAIHGVLVKGGDALERAQNVKYVIFDKTGTLTQGKATVTTTKTFSGMDLGDFLTLVASAEASSEHPLAKAILDYAFHFHFFGKLPSSKDSIKNRKEEIVSQWLLDVADFSALAGKGIQCWVNGKKILVGNRTLMAENGINVPEEAENFLVDMELNAKTGILVAYDGEFIGLIGITDPLKREAAVVVEGLKKMGVHPVMVTGDNRRTAQAVAMEVGIEDVRAEVMPAGKADVIRSLQKDGSVVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNVVAIPVAAGALFPFTGVQMPPWLAGACMAFSSVSVVFSSLLLRRYRKPRLTTLLQITVE >Et_3A_024550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21949726:21954285:1 gene:Et_3A_024550 transcript:Et_3A_024550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSSEDRKRRRRRLSAGLDDELEEGECGPGDHSSSDTEDYYNRDHAASSGGSEETISDLDDATASSAAPNHRSNSTASSAAAPNHSHKSSAAAAAANRHGGGGGARVYSVLANRYGRASASSASANLSFAAAPMRACRVCGKEFSSEKAVCGHMKVHAQEALHGGKEQQGMNGKGKKKAKKERGVAVAGGWGVTGRRGCSGCGTSTDIVIVKASPTPSAEPDRSMDIVPAEPRIVYAPTPVAFAMPEDVSPEPMAPARTNLSGESSSKKPMPDDDMDTALAEANPILPTEPVVHQQAAAAAAPPPAGNGKQAQVVRHKRAPRPSAGRQNPDGYRCPDPTCNAWYSNHQALGGHVAGHKNKRLAAEGVAQDGAGPSSGGGSREAKPHTCHVCGKVYGKGVQLGGHMRKHFEGKIVPKRRLRLIEPPPPPADVAAGPALCRPADAHADDLTLALPIKAELQSLAPAADAAQQAPAAAAEGTPGPSSPGPRATGRVILFGIDIGLGVQTPPAQEGSPATKDSSASTGSSEDHYQKRHSDVDDDELEEGEFVPGPGCHSESDTEEYYNHYSSESEDEATSNAWSHSVPTNNNGGDVGRSSSVAANGNGYASSSSVSVAAAPALACRVCGKEFTSTKAVCGHMKVHALEAQWRQEQGNGKKEEEVKRTAPVEKGWGFTGKRGFPGSRCRSVSPPNAEPERFTTAIVAAEPKLILEPTPLAYAAPNLSSVRAAPAKIDISGEGSGAQSIHKDAMAIVVAPGADPPTEAAVHQQAAPLPAAAGAEAAPVVHQQRAAPPAAGAQSPDGHTCGECGKWFRTHQALGGHVAGHKSRRLAAAGVVDQHDGAVPCRRGSKPKKRHVCRVCSVEFPKGVQLGGHMRKHYTGEAIVPSKKQRVHEPVPSAADVVVTQLDPADLNMAEHSLALPVEADDVKSPAPTVEAAQPAPSPAVEGTPKPTLAPRVTGRVILFGIDIGLGVQKLTVQEDSPATNEDSLA >Et_3A_023700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11005155:11008299:-1 gene:Et_3A_023700 transcript:Et_3A_023700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNLFIIGVIANIISVMLFISPIPTMCRVVRRRSTGEFPSSPYVFTLLNALVWLYYGLTKPDGFLVATVNGFGPPWRPSMWSSSLSTPSIMKQGIAMLAAAVDIATFGVLFLITTFAINELGLRIMVIGMICMCLNIVMYGSPLAAMLSFFLSFALFLNGGVWATYAVIDRDIFIGIPNGIGLVLGTIQLIIYAIRASQNHNGRFQHLLPPDID >Et_3B_030118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30639264:30641865:-1 gene:Et_3B_030118 transcript:Et_3B_030118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VESKKSFAPPREVHVQVTHSLPPQKIEIFKSLDDWARDNILTHLKPVEKCWQPQDFLPDPASDGFHDEVKELRERAKEIPDDYFVCLVGDMITEEALPTYQTMLNTLDGVRDETGASPTAWAVWTRAWTAEENRHGDLLNKYLYLTGRVDMRQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARHAKDYGDLKLAQICGIIASDEKRHETAYTKIVEKLFEIDPDGTVLALADMMKKKISMPAHLMFDGEDEKLFDHFSMVAQRLGVYTARDYADILEFLVNRWKVADLTGLSGEAQKAQDYVCTLASRIRKLDERAQSRAKKAGTMPFSWVYGREVQLISNKKDWKVHEAQTLLYPQAQTNKMRDAI >Et_9B_064052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10760254:10770646:-1 gene:Et_9B_064052 transcript:Et_9B_064052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFGLAGGIPERRVRPIWDAVDSRQYKAALKLCTALLAKHPTSPYALALKALILERMGKPDEALSMSLDAKERLYSDNIFHFDDLTLSTLQIVFQRLERLDLATSCYEYACTKYPSNLELMMGLFNCYVREYSYVKQQQTAMKMYKTVGEERFLLWAICSIQLQVHFSSGGEKLLPLAEALLKKHINSHSLHEPEALALYISILEQQAKYDAALEVLSGDMGSLLGREEDKLRLQGRLLAHASSYVAASEIYQKVLDPDDWESFLHYIGCLLEHDVNLPKPCTGEHASPSCSVDSAMTKKSFLGEELVESRLASALSFVQRLQESNSSDCVRGPHLASIEIERQRCLNGIPNDRKLIEALVKYFRRFGHLSCSASDVETYLHMLSSDEITELLAEISGSFDASLVSVNTLGLTITLVKVQELLGLLFTKSTAELQGIAKGLVNTFYRNLPLSNDLDPQESMYGEELLSLASSILVQLFWRTRNLGYLLEAILVLEFGLTVRKYVWQYKINLVHLYSYLGALPLAHKWYMTLEVKNILLESVSHHILPQLLNSPFLQHAADLVKDYLKFMDDHLKESADLTCLAYRHRTYSKVIEFVQFKDRLQRSMQYLSAKSDSVVLQLKQKAESLEEVESILENASHGTRLVELSNEDNMKQLTVNEDLEARPWWTPTSSINFLSEKFDEGSTPSSYRNKMCKHKSKEKDGPNLKDAERKSLVPRLVYLSTHGCAYFLREGERNNGSCNVTAAGEMKTLLEKYARSIGYSIDDALSIVLGMSSGKKAVKDYTPDIVSWMSFAVFINAWNLCSSESVIPATDCSSPNSWDIVDVLLKICIEEQLTDGNRMLTSPGNDIPLLVRMVTEPISWHLLIIQSCMRGMAPQGKKKKKGGPSERPNTPQLLAIQTSVNRMVDTLRRVQAWLSDQMKPEEQALDVLLSYLQGTSTEGPGQISRTLDESAVTAGSEIGGRIAQSLESWSSAGVIRRIVGAEKETIAEFRKICESKLKLLMSESASLSSMLH >Et_3A_025735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32291710:32294379:1 gene:Et_3A_025735 transcript:Et_3A_025735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSVPGRKAPAGPGGVRRWLVTVVVSVVVLVLTLVVISLSVGTSLPRTSLYDYLPVRATGPGKLPSSVEHADEGKNDAANDVPLQGEVLQGGREPLVKQNRQGGDLNSSDKSAPTETVVSKVPDPVASHDTTATPDEDSSNEKAEQGTCDLYSGKWVLDSAGPLYTNNSCPVITQMQNCQGNGRPDKEYEYWRWKPEQCILPRFDARKFLELMRGKTLAFVGDSVARNQMESLLCLLWQVDVPQNRGNRKIHKWLFKSTSTTIARVWSSWLVHRSTEAVGIAPKGIDKVFLDIPDETFMEFLPSFDVLVLSSGHWFAKRSAYIQNGNVVGGQLWWPRQAGKMQINNVDAFGVSVETCLTAVATNPNFTGLAIVRSYSPDHYEGGAWNTGGSCTGKVKPLDEVVRNGFTDVMHEKQVTGFRKAVENTGKHGSRLKLMDITEPFAFRADGHPGPYRSPDPNKKTQRGPDGKPPPQDCLHWCMPGPVDTWNEMLLEIIRTEFEKDRS >Et_10B_002731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10014310:10017703:-1 gene:Et_10B_002731 transcript:Et_10B_002731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSIGEVAAKRTKLSSSIAGACEDCLSALHDDILVLILLRLGTIAEAVRTSILSRRWRRTWALLPELAFCSAPDNRHVLEVLAAPDAPALRRILVVTRHDAPDSVGAWLPLAARRLSGDLKYHNSVEGREEEEEGNGTIALPCFGKATKVVLNLGYFALGLPSAGTFTVLTDLFLERVRFRGTCELGEIVSSPRCPCLRKLSIRRAWGLASLTVQSESLLQMYLSYVNGLQQLNIDAPVLNEFNLHNCFGLNQQKPIANISAPQLMSLLWRDVYDPSYVHLGNLGQQQRLSPSIIMVYGGHHHKRYNREVQQLLKHFQVIQNVTIVLGYPQEDIGNFQYLMEDITYLPHVTILSLYVMNGRHAFGTGSFHVLRLCTGIRRLSVVLHTNRNLEAESTCPSGCICDQPTNWKIDTLLLNCLEEVEITNLKGAEYEFAFLERLLSWAGALKKLRITFDYSVSKSKAKELHQRLSCIPRPETQMEYYMYRDMASEVRALALARR >Et_7A_052156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5089000:5092508:1 gene:Et_7A_052156 transcript:Et_7A_052156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGTVVYEGAVVGSSLVGLGWAGLWFLNRRLYKEYEERRVLVQILFGLVFAFSCNLFELVLFEILPVLSKHARFLNWHLDLFCLILLLVFRAWLIAALFLLIFLYGFWRMGIHFPMPSPEKGFFTMPQLVSRIGVIGVSVMAVLSGFGAVNLPYSYLSLFIREIDETDIKTLERQLMQSMETCIAKKKKIILSQMEMERIQGSEEKLKARSFLKRIVGTVVRSVQEDQTEQDIKNLEAEAQALEELSKQLFLEIYELRQAKIAAAYSRTWRGHLQNLLGYALSVYCVYKMLKSLQSVVFKESGSVDPVTMTITIFLRHFDIGIDVALLSQYISLMFIGMLVVISVRGFLANVMKFFFAVSRVGSGSTTNVILFLSEIMGMYFISSILLIRKSLANEYRVIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLISAQYTTRQTDKHPID >Et_3B_030173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31102316:31103701:1 gene:Et_3B_030173 transcript:Et_3B_030173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TLKIEEKIIEIPGRISAAAAALAKGGGARQRAEQSARSEIMSSNLVSSVSASPSAAAATARRRHDDGVKQLRAKSQRRLHGSCRGGNGSDRAVVARAGPGPLTEIEPDLREDPIDVYRTNGISPEDFEYGKYDGHHTYHEGHDKKKGFWEDVSEWYQEAEPPQGFQALISWAFPPAIILGMAFNVPGEYLYIGAGLWIIVFCVIEMGKPDKPHNFEPEIYMMERSARDKLIADYNAMDIWDFNEKYGELWDFTVNREDIVKS >Et_7A_052230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5886545:5888821:-1 gene:Et_7A_052230 transcript:Et_7A_052230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETGLLSRIAAGDGHGENSSYFDGWKAYDSDPFHSLHNPDGVIQMGLAENQLSLDLIEEWSMNHPEASICTAQGASQFKRIANFQDYHGLPEFRQAMAKFMGQVRGGKVTFDPDRIVMSGGATGAQDTLAFCLADPGEAYLVPTPYYPAFDRDCCWRSGVKLLPIECHSSNDFRLTREALVAAYDGARSQGTRVKGILITNPSNPLGTTLDRGTLAMLAAFATEHSVHLICDEIYAGSVFAKPEFVSVAEVIERDVPGCNRDLIHIAYSLSKDFGLPGFRVGIIYSYNDAVVACARKMSSFGLVSSQTQYFLAKMLSDGEFMSRFLEESASRLAARHERFTSGLREVGIGCLRGNAGLFSWMDLRGMLRDKTPEAELELWRVIIREVKLNVSPGTSFHCGEPGWFRVCHANMDDDTMEIALKRIRHFVRQHQHQQQQQKAKAQRWAARAQLRLSMPRLGGAAASYLALASPMALQSPQSPMVQAAS >Et_9A_063200.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:15223151:15224047:1 gene:Et_9A_063200 transcript:Et_9A_063200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVPLRRLVDDEQWKAEDLAGRLGIVAHAAFLHAGFVPYYGDEPSSSHLLKQVDETDPSTPFLSRRIYTAARQPRRRSEGDAPAPAPAELVAVQELRARGNGVFAFRAFLLLTTDGQQRRCQCEAVLDAAALAPLLSGRLDRAARALEAGSAGAWLWKSLADWVCPVLLDELCGPATVFTSLPDDAKAEILKRLTDSKDLARVECTSRQLRKLVAERDAELWKPRYESMKLLPPEAADSEGLGSWKERSVNALRWSYEEWLAQRRREREAELQRFRNMEYEFSGNPVYVETCGIRGL >Et_2A_018829.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8411496:8413994:1 gene:Et_2A_018829 transcript:Et_2A_018829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGDKEDPGKPMSDPGDKEDLTRQLHKYLLLLAILVATVTYVAGMDPPGGVWLETKDGHRTGNPILPATRRVRYTLFYYFNATAFAASLVIIILLLFIKHGVTATKKVAAVRLFMVLDVLCLMVAYVAGSCRGRLTTFYASALCAVVVLLIAVLTWMGKKKPEDTKAVGKGGSPQSGLLKRKERRKVLMLLAMFVTTITYTAALSPPGGFWEESPEDEHDASHHRAGDPILLERHTRRFLAFLMCNTTSFAASLVVITLLLSTRMWDTFKSQLGLYGGIAAALLGLMGAYAAGSSRETDTTIYVLGLVLAVLAYISVVAVIEIMANPRLRDWLTCKMLRTKSQDASSSGGSGGAQKATPPVDETGLKVEEDAGGKKDGKSDNAVDQQDAAGKKDETVAESESEKAVDRARSLILLLATLAVTVTYQAGLNPPGGVWRDTGDGHVGGNLILPATHARRYKVFFYCNSAAFVTSIVVVIMVQSTSKVSARALQAAVILDLFGLMGAYAAGSCRDVLTSVYVFILAAAVFAAVLIHGVVTILKVYFPTFFKKKEISGEEKKKKEKVVEVVKEILLSEEEKKEKEKEKKKKEKEKEEEKEKKRKLLLLLAILAVSITYQAGLTPPGKFWLEHGDAAHHVGDPVLADNYPRRYKAFFYCNATSFMVSVAVIVVLVGRNLSEKDNNKYWWWLIYGSMGAGLIGLVGAYAAGTTRRVKTSVYVFALVGAVLLFAVLRNLRALEKWIPDFVRDIAQKLAEWISCRCSEQSKAPKPEGYYKKQYKMKKYLLLLGILAASVTYQAGLDPPGGVWPSDGDGHAAGDPVLHDESRRRYHAFF >Et_7A_050878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1217537:1222624:1 gene:Et_7A_050878 transcript:Et_7A_050878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTSRCTSMAITRFIFTRHSVMCAFSGRLRTMVKQEKKKTNRKEALCIKLTEFPGGAEGFELVARFCYNNGRVPLCPSNLPLLHCAAVFLEMTEDVCACNLLAQAEAFVNGLCYWTWADVLAAVKSCEPFAAAADASGLLERLIAALSSKITAGPETPTGAAVGTPTNRSSSSCSSSPDTVGFARSSSTKTPESMKPCVGRGEWWFDDMTSLSPPTIEKVMRVLGCYGIENKNLILTRFLLHYLRAATRRPCTEEVSTLVGLADTAVHGVALVGGTAFSCRGLFWVLRIVSAVGLSRECRHKLERLMGLMLDQATLDDLLVSGDGGGVYDVNLVMRLIRSHPMLSLEDRTTLCRCLNYEKLTLEACKDLAKNRRIPPGVAVQALASQQSKLQITKHAKEGRPDPSQTPRRVVRASARTRSVDLDGGDEKEALRLNLQRMQNRVVELERACKEMKGQMSKMAKGGRSFSAASCHQAGGRGLPRLC >Et_1A_007349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33645585:33647598:-1 gene:Et_1A_007349 transcript:Et_1A_007349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMVTSDIVCVDLSSNSSIKKYTYKEIVRSTDNFSPSNKIGEGGFGSVYKGKLRNGTFVAVKVLSTESKQGAREFQNELMSISDISHDNLVKLYGYCVDRDQRILVYNYYENNSLAKTLLDIYPNVPSHPSASGRSNIQFNWRTRVKICHGIARGLAYLHHSIIPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPYATHISTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNTNTILPYEDQILLEKTWIYYEQGGLETIVDSSLGDDLDLEEAFRFLKIGLLCTQDVTRKRPDMSKVVAMLSGELDVDLEKVSKPAMISDFMDLKIRSMRKGSDTATSSNFLSSIAAHSSPMLSNETTQASMSFTEASDRE >Et_1A_008249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5684885:5687435:-1 gene:Et_1A_008249 transcript:Et_1A_008249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPRCAPSTTLRCPLALSLPFPSPCPAIRLLTPPLLPRRLAFSSPRAASALEALVLDSESDEEYEEEGEEAEAGLFQGEAWAASAEERDAVRSPELKVFELEELPEQWRRSRIAWLCKELPAYKHSTFTRILNAQRKWLSQDDATYVAVHCLRIRNNDAAFRVYSWMVKQHWYRFNFALATRVADYLGRDGKVEKCREMFDAMVKQGRVPAESTFHILVVAYLSVPGGRYLEEACTIYNQMIQMGGYKPRLSLHNSLFRALVSKTGGTAKHNLRQAEFIYHNIVTCNLAVHKEVYAGLIWLHSYQDVIDRDRIKALRDEMKRAGFEESTDVLVSVMRAFSKEGDVEGTEATWRTLLQSGCEVPAQAYVCRMELYARTDEPMKSLEMFREMKSRNIAPNVASYHKIIEIMAKAREIEMAEKLMEEFGSSHMKHLMPAFLDLMYLYLDLNMYEKLEQTFTKCLARCRPNRLLYTIYLESLVRVGNIEKAEEIFGEMHSNGTIGTNAKSCNIMLRGYLSAEDYQKAERIYDIMCKKKYDVPVESIEKLQSGLLISKKVVKPKPVSMKLDQEQREILIGLLLGGTQIESHAQKGVHIVHFKFLEDSNAHSVLRMHIHERFIEWLPSAYRSLNDESEIPYRFSTIPHSHFGFFADQFFLKGQPVLPKLVHRWLSPRVLAYWFMFGGFRLQSGDIVLKVSGGNIDGVERIVNSLQTDSLTCKVKRKGRFFWIGFQGSNADSFWKIIEPYVLDSFKSSTIGRSGIIGSDSSQDSDAEYEDDTQRSHRESEE >Et_1A_006367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21412287:21413815:1 gene:Et_1A_006367 transcript:Et_1A_006367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTAAATTLDIAEVRFSDLILPLSPGALDDDRLHRVLAIVSTELGRGGSGLLAIADVPRAGALRRRLLPMARRLALMDHPTRTQLLKKHALGSDVSLKKLDRSVSSFAKLLRHSGKLQLLESLNSTESINEGPFHLEMAHATDESEGSKGDDDMEDLGELVEELGVYMMELGILVARACDIFIGGGQLEKSISDFGTAKARLIHYHSQFDNIIIKESNTNRKGSANKGSSNPCHSWSQRSSGSPGSACVKSEDDAAAMANIDNGSKDTPAQDHASAISLLNLWQEWHYDYGIFTVLTAPLFLCASMGEECMIGQECHPPDGHTNLQLCNRRKLFSVRCSPDSFIVQVGEAADILSRGKLRSTLHAVSRPLTFTDISRETFVVFLQPSWDQTFTILGYSTDPEDGSKDDKEMPIRHNRSEGSCHEDVFMQEILKKIPPLSTRLKEGMTFADFSRQTTKQYYGGGGIQ >Et_7A_051464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1944800:1948903:-1 gene:Et_7A_051464 transcript:Et_7A_051464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVPPVSGSVDTEVDTFVHRSEDECDAQLRPEKVTLTGKHLEGLVEELLRTGQFGAEQKNSHSSPPAPSPQQGLVAVDSNVPPSSSTHPPPLPCFPPRPEDDTPQAIRTWRKECKKIAKALEEVLLSSGYDNNFPTLRALEDDCTANDVQDWTLKKAVVAAARSVISVTSTSDDPLIHLLLADCSIYLFLQADGSTGPQCTGFILHRYEHSGVVFSVIVTCSAVVCKAGRKLDPLPKVPVKLFFFDQYCTPSSSDVERFESLLIRSHFFSYLLAYQTERHYHFDIALLLVPFELSLDIPRLGCCPDYDQEVFVLGRDKEASLKVRHGLISWTEESDFIGRDYYMFLDGEVPAGGTGGPVIDHDGVFRGMTFKLSPMPAVLSISTIMICLTMFMNFGRIARPILGLSLRTVASIDVDFLECLSEEHNIKSGYIVEGVCMYVLKTNCALPMLLQIVRSNSPAQGCGICKGNVILSLNGRNTLTLHKLEDYLLSLGWKNLINSVSTTDLKATQNSD >Et_5A_042485.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1536483:1537049:1 gene:Et_5A_042485 transcript:Et_5A_042485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVSYPIRMEQCSSSRAHQGHQLWASMPVAFWVYFYKVQRCPLSGAHNWKECPYWHREERDRRRDPRLHPYLSKHCLDYSASDKYHKMHNTGRAPTCVRGHTCSYAHGDFEVWLHQDRFRTRMCRAGFSCKPKICFFAHFASQLRPEGDKVSFVDLRLLMPSAPLRAPQCPLSPTPRPHSPCSSST >Et_9B_063881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19155473:19156351:1 gene:Et_9B_063881 transcript:Et_9B_063881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERALKLPNQPQAPTTAIAEAPSPPQAPALFSDDESEEGEFEFPFVSRDSPAGTAAPADELFADGRIRPFYPVFGRSGGSVLGHDGAAPAAAPPRESRERNSSISSSASTALSSWSVATEDGSGGLEGAPPESYCLWTPGGPGSSSASSSPRRPPRKSSSTGSMARWRRISDLVVGRSHSDGKEKFVFFPDAASNRHDKPNKPKPKPSPASGRKVSAATEVDTVAAAHRVAYGGVPGGTPRRTFLPYREELVGFFANVNGVTRSHQHPF >Et_8A_056336.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23414458:23414652:-1 gene:Et_8A_056336 transcript:Et_8A_056336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSSDMLPPPSGPSNTVALPSALFPAPLRTASGFPQPKSLPNTSNLGELPVISAVPPEDRLPM >Et_4B_037606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21760439:21770023:-1 gene:Et_4B_037606 transcript:Et_4B_037606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EDRPLRPRSFSPLVFAAPPPIQPQAADSAAARAPIPFPRHPLPRHAMTSSSSSSPSRKALGKIACIRLQKELAEWQMNPPPEFKHKVTDNLQRWVVEVAGAPGTLYAGETYQLQVDFPEHYPMEAPQVIFLHPAPMHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSSPAKQRPTDNDHYVRNCRSGRSPKETRWWFHDDKVNFCKLHFFYPIRDHFISLFFNIFII >Et_7B_056023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9557443:9558537:-1 gene:Et_7B_056023 transcript:Et_7B_056023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMELLGHEATEIEAAITKNLEPEDQEDRLSNLPDDILTSILEKLKLHEAARTGVLSRRWRHLFGFRSSIQIHIVNYAARSNASVVEATKIMLADTIQSPISILSVKFLVLEESIDIIRCVDNVVANRQINALQFLMHGENFGVGCDEDGMVAYGRRFMRFVDAGPRAFAGLTCLYIHCLKLGTDDLTHVLNACTKLERAFRQNCSYNILSLPGLQLSTALLKV >Et_4B_039589.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:23061318:23061554:-1 gene:Et_4B_039589 transcript:Et_4B_039589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAARLVSLLAPLLVVVLVAAVIASAWADGAVRGAEAQALVDARAAEWAQYVFGSEVAELDAPDLRHLPVACEGQHG >Et_8B_060789.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:7633877:7634131:1 gene:Et_8B_060789 transcript:Et_8B_060789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMGQLGRLVDGIKSKLRAGGGKRSGRKSAAAAAVGYDKVEKTDSMRVEIRSRQARKLIAKNLDAADTIIAARGRNKRFFLAF >Et_3B_029457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25153832:25157059:-1 gene:Et_3B_029457 transcript:Et_3B_029457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLRLLLLVLLPAAAWALSPPRFPGSHPHARPRPRADGVVDYEYETRYFRQRLDHFSFPGVGEEDDDAFFQQRYLVGRGGGWAGPGGPIFFYCGNEGDIAWFAANSGLVWEAAPRFAALVHRYYGESMPFGSKAKAYNNSKSLAYLTAEQALADFAVLLTDLKRNLSAEGSPVVLFGGSYGGMLAAWMRLKYPHISVGALASSAPILQFEDIVPSTIFYDLVSDDFKRESISCFQTIKDSWQALDDQGNVQDGLLKLSKTFHLCQTLKTSEELSDWLSSAYSYLAMVDYPIPSEFLMPLPANPIKEVCRKIDSQPEGTSILERIYAGVNVYYNYTGTVGCFDLNDDPHGMGGWDWQACTEMVMPMSYSENRSMFPPYQFDYASYAEDCIKNFGVKPRPRWVSTEFGGHNIAKALEKFGSNIIFFNGLLDPWSGGGVLKNISESVIAIVAPLGAHHIDLRPATPDDPDWLVSLRESELKIISGWLSEYYGARGALFQPVALKDSSVC >Et_7A_052676.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:11987831:11988277:1 gene:Et_7A_052676 transcript:Et_7A_052676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTRTEHLLLCFLLLVVVSGAGAGATAAAGTTKPAPSSAAATSGAGCRRGELVVRQRATGRTVEGKPEYAVEVRNACRCAVSRVVLRCYGLSSVEAVDPRAIRAVDDERCLLRGGRALPARGGAVRFTYAWMTPQDFPLLSSRAHC >Et_7B_054229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1804862:1808093:1 gene:Et_7B_054229 transcript:Et_7B_054229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQETAPGGSVTLAGELEEKLLLHDSPPPPAKCSAGAAPQKRGVVPEGADYRERFVKVYHRLRDELVADDSCELTDEARRWVAQIVYFNFRASLGIPEDQNGAKHHALREKCAPLSGLLGSPEEGTQPVFLCKRKSGPSQLEGRCCSRILPQSVNDRFGPYKSLVMSGVRYIILQMVTMIDYNIPGGKLNRGMSVIDSYLLLKQGSEVTEDEFFLACVLGCFKHVRCFLMTLWTIHTQGEIGFVGTSDLRFLNLSRSINDGILLKCHIARLIKKYFREKPYYTDIADLWTGVALQTSHGQMLDLISTRNGADNLTKYSLEGYQRIVKYKTSYYSFYLPVCLKLEYSLLFHLSVASALLLTGAKPDNFSGLRDILVEMGVYFQAQDDYLDCFADPNTTGKIGTDIEDHKCSWLLVQALGHADRNQIEVLQNCYGKKDSSSVSKVKSTYSALDLKVYTALVNLKGSEKKNHLCNAENIRKLSMNCICMNVHQHRVKVFYAVFDFTLLTMIYQDIFSEFEDRAYKNLVTSIEAQQDRAVQEILKSFLKKIHRRKK >Et_5A_041771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2765336:2768154:1 gene:Et_5A_041771 transcript:Et_5A_041771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPRSTATGGRRQRPLPLPFKRALLAAVAAAAAVSVVCVVSFTDTLSYLGFRPREVDKRDGDRRYLYWGGRVDCPGKHCGSCAGLGHQESSLRCALEEALFLDRVLVMPARMCLSSVHNTKGILHPTNATSEQRWETDSCAMESLYDIDLISRTVPVLLDNPRSWYEIVSRSTKLGEDGVVHVQGVSRADLKENPHYSGALLINRTASPLAWFMECKDRTKRSSVMLPYTFLPTMAARKLRDAANKMKEFLGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTLPEFIKRRIARWIRPGRTIFIASNERTPGFFSPLSDKYRLAYSSNFSNILEPIIENNYQLFMVERLIMQGARTFVKTMKEFDSDLALCDDPKKNTKVWQKPVYTDD >Et_4B_037833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23947775:23949704:-1 gene:Et_4B_037833 transcript:Et_4B_037833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGQQSDQNPGAISGKEFLEANWNSVTMHQKMGFNSGPYGIGPYSMDLEDQPGLCRSSTGTFSPNVQLSDEHSGGVKKRKGIDNSVTLLQNVGDQQTEGSSQPERNSMEEGNKKISPKMQSKEDSSDGDGTKEDYVHIRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCNKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELGFDIEQILSKQMMLSQDRHIAFYGADPGSTSLVAQYNQGIMRQEMMCSVTNPVDVLHGTTHDVSTMNQMTAMWEGLQNIPHMNFNPGVAGDSGGTNDTGSMKIEQ >Et_4A_034121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30085702:30088383:1 gene:Et_4A_034121 transcript:Et_4A_034121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTARAVACLLVAVICLSCAAAAAARSPAARMHRHLKRLNKPAVKSIESPDGDIIDCVHISRQPAFDHPFLKNHTIQMRPNYHPEGLYDESKSKSNVASTSDAEKPMVQLWHQGGRCPKDTIPIRRTKKDDLLRASSMRRYGRKRHTTANPMSVSPTMLNEGGHQHAIAYVQGDKYYGAKATINVWAPKIEQANEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNILCSGFVQINSEIAMGASIFPTSSYSGSQYDISILIWKDQKEGNWWMQFGKDYVLGYWPSFLFSYLADSASMVEWGGEVVNSQPDGVHTSTQMGSGHFPEEGFSKSSYFKNIQVVDNTNNLKAPKGVGTFTEQSNCYDVQNGNNAEWGTYFYYGGPGRSSSCP >Et_4A_033639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25255788:25259029:-1 gene:Et_4A_033639 transcript:Et_4A_033639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLARLHRHLSLSTLCGHSTRHAWTPAADAAYRFRGLASSSSSSAAAAAAGREKSSRRTLGYLVGVAVAMVGASYAAVPLYRRFCQATGYGGTVQRRESVEEKISRHARDGTTTSREIIVQFNADVADGMPWKFIPTQREVKVKPGESALAFYTAENRSSKPITGVSTYNVAPMKAAIYFNKIQCFCFEEQTLLPGEQIDMPVFFYIDPEFETDPKMDGVNNIVLSYTFFKMNDS >Et_4A_033090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18309104:18314442:-1 gene:Et_4A_033090 transcript:Et_4A_033090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGDHARVSRAAQTAQLLAAWVYGVAEHAWPSHGNRWIQASHRELCPLVMKEILDKMSLLESALSETIDVEWLATTLITIARSCDELVLGSWRRRTCFGLVMRIDGFEHLIMSCPLVMKKILDKMLPPLATTLVLLAQEHNCSQLRRACIGFMASSNMLGPVMRTDGFMHLIASCPLVMKEILDKMLLCS >Et_5B_044766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5595541:5595802:1 gene:Et_5B_044766 transcript:Et_5B_044766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMSLSSSAHCSKRGCQNPNKRNNGFFPAQRQLKNIVQKRSGLSL >Et_3A_024876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2534240:2539262:-1 gene:Et_3A_024876 transcript:Et_3A_024876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSTSSPSAPPGCILLAVNSYPGQRMNLTTASTTTRNHDKIVVTLVPARPPLPSNLYIHCPDLTLTVRPRVIRAVEDLFLLRVAFDDGCSPEDSDYFVYRAGNERPASLERLYRPHPFFQDEDVGLLRREHGNYTVAALIATGTPAYDLHIFHSASPGQWISRKVSVTEPQRRYPVVIPENCKRLLYHETSTVISIGGEAGTMGWVDLWRSILLCDVLRDEPTLREMPMPVPIEMVGCDNGRGIQLGCPTPFRGIAFVKGDGGDNPDDCLKLVHLVANATLAPGNNYYGIETLDFQMNDWKLITYTNTAMSTSFTDWRRDCWMEASDIAVGTQLQSELLQSGLLGSAGSGRALHNILVSHPAPDISAAAHEGVVYLMARKKYQHPDVWVLAVDTRNKTLIGAADFGTQPQLSLASSVMYCSSGIAKYIKPEPLIVRHRKMLFFKADKKDITYEELPDWWRPSGGAVRPDRRKETALNRGDLEDLFLLHVAFDDDSCPDHADYFVYQAGNRREATLQLLARPHPYFHDEDVGLLRRNGGNKYTVAALIVTVVSYELHVLHSENPTEWIYHRKVLVTEPQLQHPVVIPEKCKRLLYHLTSTVISIGGEAGTMGWVDLWRGILLCDVLRDEPTLREMPMPVPIDLVSCDRGRGTELGCPTPFRGIAFVKGDGGDNPDDCLKLVHMETNAIVPPDPDDNFYDAETKSIDFQMGDWTLVMYTNTAFSTSFRDWSMGSRVKASNITVDTQLQKKYHHHEVWVLAIDTRNKKLLGAAEFGSLPRPKDASSVTYCPSAIAKYIKPEPLAYRQRRMRVFKPEKKDITYEELPEW >Et_3B_027877.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24511043:24511312:1 gene:Et_3B_027877 transcript:Et_3B_027877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRPTRHPTGVGSGAKIHPRVRVRVTYFTRSIFLHGWAFVSPDPNPTRCHPYLQGWMEGEMRRSRVSRRTRERRKLPVLPWVSLLLVLPL >Et_10A_001233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22428172:22428941:1 gene:Et_10A_001233 transcript:Et_10A_001233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTDEKIPSKGSQENIELVGTEKITGHIYFSFLVIGDLELDLDVSLGGELMAVGILEIALGLVDGVNDVVHPGRLTPELVSHVFGLQRRGGLGPWRGLGGEDELDVIRGPSTSPSSFCSSPPELDSQIAAHLNPPPSS >Et_5A_042560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20455636:20457684:-1 gene:Et_5A_042560 transcript:Et_5A_042560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKQQQQPTGSSFVDELFVPRIAAMPSPPPTSQTTRNDGSRGIHGGEGKAAQGNGSSGSYASTGTTSESPYFGLSSVHYGGRDYVYVDGHGQQDHLSRSQITPPPQNNNHDSKQQPDGSEATRAPMVTSRLEEPRGPGNGECA >Et_1B_009799.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27562060:27562530:1 gene:Et_1B_009799 transcript:Et_1B_009799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAHGASKLWSSSQPCTEAHSSTTLAYTELPLAVILMRWWPQRAMPLNQPVESATPPSHRRCGTRYRTPTGRHRASLPFSKLLSVSTVLVLEVTVDATVGVVVLLHAAAGEVAASAAHARSPWMMLKQRPLTHLASLSLILDFLSCAFVGLIVRG >Et_4A_034277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31357064:31357672:-1 gene:Et_4A_034277 transcript:Et_4A_034277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVILRTKVHCVGCARKIRKAVKNLYGVDNVWASPDTGLVVVAGAADAFALKQRIETKTRREVTIVSGGEEEPPPDVWQWPQALPPPPPPQGNGYPYYSRVVHSGPQQHYYAAAPPVPPPAAAAAYPYVPRRYVSATTRQYVPPAEPQVCFKDESPPNGCCVQ >Et_7B_054968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6034426:6036463:1 gene:Et_7B_054968 transcript:Et_7B_054968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKEAISEVESAPPTPRPPPVSTPPSRMHSPAPGRSPLRAMAASPLASPIKKAVAGVRDCLEEVGNITRLADPRDAWLPITESRSGNAYYAAFHNLSSGIGFQALVLPVAFGFLGWSWAIFLLTLAFVWQLYTLWLLVKLHEPVAGGTRYSRYMHLATDVFGEKRAKILALLPVMYLSAGTCTALVIVGGGSMKILFSVACGKACLAHPLTTVEWYLVFICAAVLLSQLPNLNSIAGVSLVGATAAVAYCTVIWVVSVAKGRVAGVSYEPVKAATGVESALGILNGLGIIAFAFRGHNLVLEIQGTMPSTLKHPSHVPMWKGVKVAYVIVALCLYPLAIGGFWAYGNQIPPNGMLTALYKFHIRDVSQVVLGIATLLVIINCLTTYQIYAMPVYDNMEAGYVHKKNRPCPWWLRSGFRVLFGAINFLIAVALPFLSELAGLLGGISLPVTLAYPCFMWVVIKKPGKGSAMWNINWALGIFGMVLSIVLIVGNLWGLVEKGLQVHFFKPADSQ >Et_2A_018751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6686850:6688107:-1 gene:Et_2A_018751 transcript:Et_2A_018751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAVSGMARPMGGDVWAQAGEDVSGEGVMQFLRQMYMQQLGAGPSCGTNSSNGSGSDILANIYQTEYPLCK >Et_7A_052313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6922511:6924775:1 gene:Et_7A_052313 transcript:Et_7A_052313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDAAVPQPRTRSHEDFDTVVAPYYLRVHSYACDALRWLNALVHPATFFHPFAPLKTAIRLRGLTISAWQWHGTKNSMETLRSLLCCLGTRRQQRSDGRSGKDHAKKSFLRATHPSGVALLDRGAHVEQLGRQAPRRFRWQPLRRMNRVFARSSPPRGTTSAGAIEQRPTTDGTATAAQVEEEASDRAGCHGFSREDAAAANIQAHFRGHLARQAFRALRSLVKLQAFARGSYERKQANVAIRFMNVLVRLQVRMRARQLLSTRPRNNG >Et_3A_026439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7989700:7991586:1 gene:Et_3A_026439 transcript:Et_3A_026439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINQRMEVSGVIRRRGRPNMDWPIRLRIALGSAKGLTYLHEDCYPKIIHHDIKASNILLDLNFEAKVADFGLAKLTSDTNTHVSTRRQGTEETEEGRQETGEGGQGPGPPYARCTLVLHTKFHHITSFCYCCLASLIPNFWLRPWLLQCNEQVVRFMGSYSSSDYDTGHYNEDLKKFKKMALGSSGQQSSQQTPTSEY >Et_3A_024025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16354552:16362025:1 gene:Et_3A_024025 transcript:Et_3A_024025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAEDAPTPRPTPPPARGGAGGARVMLQSPPPAFPLGSNDDQLERARARAAARAASVRRRSLAASIASPSVAPQHNLLNRDQVMDLFHNCIKLASENKINQKNTWELGLIDHLSEIIQAGEEEDDETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGRGEEADVEAGGNAELSQEEGVNKKDADRRISPASTLESSFEALNVKKFDVAFTVDPLYHQTTAQFDEGGAKGLLLYNLGVYGSCHVLFDSFEAPDRFILSNMQTEKSEMIDISFAKEQIEEMVAQMPLCEDISPTLRDIVAQFDEENQRPSHEISSGQMPTMEDQMVDDNGPENNDSMQPDAGTWDFGACDDHEDAYDDNCNSMDSNSANYPEEFNEYNVEIPQGPVIDERLEKIAELLLLGMGSSKTNAWAGPEHWKFRKAKDLESVPTSSGESEMPNKVKKKRGKDEPDIDFTKALEHELPNILAPPKNPKSLLLPSNKAICSNKLPEDCHYRPESLVKLFILPDVLCLARRRRKSPDDPVDNNEDFIPSGSWDDDNFCADNVNEGHSYSDVEEPVSLINKPRQVNKIDIQYDKVSKQVDVHALKEVLWNHIHTSSETDDQEREEVEPSLRLSQVLHDLPSSNPDAAATEISPHLYFICLLHLANEHGLTLRDRPTLDEIDIYVPTSPLVKVHIMYEAGVIQTRQYPSDRQGSSRSGGPG >Et_4A_033551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24345745:24351731:-1 gene:Et_4A_033551 transcript:Et_4A_033551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAERCNLTFQRCTLVPVQSCLEWEPESFPLAATRCGKPIVPLGLLPPSPMHGILWWSQRERRPRHHALPANSVVHWLGSEVPLRKEQVHELALGLELAGTRFLWALRKPSGVLGADILPLSFQERTQGRGLVTLGWVPQVGIMAHSAVGAFLTHCGWSLIIEGLVFGHTLAMLPISGETKGRMRGLRARRNKKDGAFDHEGILSAVQAPVMLEVETRQVFVTNAKKLQKIVGDNKLHERPVHPSMHSTTYILQEPNARFMEGKKVGLQVPRNENDGSFDHEGIARIIRAVVLEEETRQMFVANAKKLQKIVGDNELHESHIDQFLQQLRSYISDHRLASRDHRVSYVCTPRNIARLPPLRPDAAPLVEFVALPLPRVEGLPDGAESTNDVPFEKFELHRKAFDGLAAPFAEFLRAACADEDRRPDWVIVDTFHYWAAGAALEQKVPCLVLYLTAAHNLVARTRRMLERAEPVVAADGQTAGVQDRPAVPRYESERRIKMCANHEPLVGMSVNERCTLALERGTLLGTRSCLELEPESIPLAATLCGKPVIPLGLLPPSPNGSRGASKKAEDATVRWLDAQPANSVVYIALGSEAALSVELVHELALGLELAVTRFLWALRKPTDVPGMDILPPGFEERTRACGLVAMGMVLQFTILAHGAVGAFFKHCGWSSVIEELLFGHPLIMLPINGDQGPNARLWRAIR >Et_9A_061904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17593611:17599243:-1 gene:Et_9A_061904 transcript:Et_9A_061904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATPSHHFGIEALRSSGPSGKSKGGRREGKRDGNRLGRPSGGGRRSPCWLRSLWPAQDIPLTNLMAADLRGGGSSRSESVMTPNWSECPSNSYRELSSLENGECKSAIVGTVPSDTSCSGLPTANTMRSLSLPAQKKDGNVYKRRKMDKDSNTLAAYEEGKETMIQSCSTSKDHSSLLLPVVPSGKMTLSSTADITDHILDCGETAGVLAEPGSDMNDRCIVSSTTPSFMTQEKKDAAECSSSNTCPTEPIAELISARDVTIGILKEDLFITESRTRITKEESTDNVANPLLPCNTCGSKEYLLKMLICDSCEASFHLSCCNPCIKELPSDDWFCKTCLLKKPKGVYGRLSEGKVKPSRNTNQRPHGMSHIEYMLKDTEQYVSGARIGRDFQADVPEWSGPTSSTDGHFDQPSEFDPAELIQSNSWEIGNQNRDLIGNWVQCRETVNFGDSAKVCGKWRRVPLYVVQSDDWDCSCCLLWDPARADCAVPQELKTSEVLKQLKYVNM >Et_5B_043406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10031849:10036141:1 gene:Et_5B_043406 transcript:Et_5B_043406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVEMPLAESPQWRRKATDFFSTSSFKLKQAGQSAGDNIADAAGKVGSVVKSRWAIFQEARQRRPPGETVQERFITAAATTGVLLRKGISETKEKVAVGKVKVEEAAKKTADKSKTILNNIERWQKGVASTDVFGVPIEATVQREQSGKAVPLVLVRCADYLVISGLSNEYLFKSEGDRKVLQQLVSLYNEDSGASLPEGVSPIDVGALMKCYLASIPEPLTTFALYDELRDARVSIPDLRNILKKLPNVNYMTLEFVTALLLRMDSRSLAVEFAPLIMWRQGDAGTDLRNHLKLTLKPPPKIVDTTSNTATWDLLDEDDEDASSQIPLDDASPPDYSSIEVIQCLIEHHNAIFTDANETVWR >Et_8B_059069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13061960:13065708:-1 gene:Et_8B_059069 transcript:Et_8B_059069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASPSPQPQQQPSPFVELVKGSSGLEKVLLRGPRNCSAEVCLYGGQVTSWKNDHGEELLFVSSKAIFKPPKAIRGGIPICFPQFGSHGNLEQHGFARNRFWTIDNNPPPLPVNPAIKAFVDLILKPSEEDLKIWPHSFEFRLRVALGPSGDLSLTSRIRNTNTDGRPFSYTFAYHTYFSVSDISEVRVEGLETMDYLDNLKAKERFTEQGDAIVFESEIDKVYLAAPPKIAIIDHEKKRTFVLTKEGLPDAVVWNPWDKKAKAMQDFGDGEYKHMLCVEPAAVEKPITLKPGEEWKGRLAISAVPSSYCSGQLDPLKVLQG >Et_4B_038132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26618221:26620982:1 gene:Et_4B_038132 transcript:Et_4B_038132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPWALLLRAVETFRRSRLLHSSSALVGSRGVSGSGELRRACAVSSLAWRGMMDEASSSASGSAAVRGGRAFRPARSGLYYKFTQQNLPSWKPAMTSGCVIAVFLIIGITFVPFGLVCLRASTRVAEIVRRYDADCVPNAYRGNKQAYIMDSSIPKNCTQEVKVLDHMKAPIYVYYELENFYQNHRRYVKSRSDKQLRSGEYSGSSCDPVERDSEGHLIVPCGLIAWSLFNDTYGFTHGSKEIKLRRKDISWKSDREHKFGKNVYPSNFQNGSLIGGGKLNPDIPLSEQEDLIVWMRTSALPKFRKLYGVIEEDLQVEQTITILITNNYNTYGFGGKKSIVLSTTTWLGGKNDFLGYAYIVTGSMSIFMAILFALIHVKYPRPPGDPNSLSWNRKNGNS >Et_2B_021721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4851199:4853962:1 gene:Et_2B_021721 transcript:Et_2B_021721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPAEMHPAVDASNSAAPPAPDAAPAPVSTVAAADPAPDPSSQPAAEPPPAPEGADPPPVPAQKTVTWSEKLTSDSPTHVQAAAAAESSQYVSRGPASSSSKGAVEAMKETLSKWGKSMGETTKLVESLSRDTWQHFKTGPSFTEAAMGRLAQGTKVLAEGGYEKIFKQTFEVLPEEQLKVCYACYLSTSAGPVMGVLYISTAKIAFCSDNPLSYKAGNKTEWSYYKVVIPLHQLRTANPSVSKVNPAEKYIQVVSVEGHEFWFMGFLMYDKAVTSLQEALASARELQP >Et_5A_041058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17489213:17492972:-1 gene:Et_5A_041058 transcript:Et_5A_041058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARLAARLTQQLPHTAAAGCRHRDFPFLRRGGSSKVSCSIGSNGYSKVTRVLFCGPYFPASTNYTKEYLQSYPFIEVDEVGLEQVPDVIQNYHICVVKNRKIDSDIIAKATQMKIIMQYGVGLEGVDVHAATEYGIKVARIPGALTGNAVSCAEMAIYLTLSVLRKQKEMDTAVNQKDLGLPTGETIFGKTVLILGFGAIGVEIAKRLRPFGVKILATKRSWSEGTLPCDINGLVDKKGGPEDMYEFAGEADIIITSLLQTNETVKFLLVHYSYLLQQPGSYLVNIASGRLLDYKAVFDHLESGHLGGLGIDVAWMEPFDPEDPILKFPNVIITPHVAGVTEYSYRTMAKVCTSHIGPDNRRWNALNGSGIPSEPEILRLTPWNVNMISRSDYIRKGVREQPHIASSDLALAVATDDVETAVHVMAPGSVWTEWRAACCLIRL >Et_1A_006412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2257956:2261489:-1 gene:Et_1A_006412 transcript:Et_1A_006412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLNRRLQTERLNEEAEGDSGRDAVSRVSRRDVRAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGVSFLLKRQGNLSVASIYAGEESVQLKGSEVIADLKYLLNLLTLCWHFSKKPFPLFLEATGYSAEDVLMQEPKAGILKPAFTILLDRDKQCILLLIRGTHSIRDTLTAATGAVVPFHHTIVQEGGVSDLVLGYAHFGMVAAARWIAKLAAPCLAQALHTNPDFKIKVVGHSLGGGTAALLTYILREQQEFASTTCVAFAPAACMTWELAESGVHFITTVINGADLVPTFSAASVDDLRSEVTASAWLNDLRHQIEQTRILSTFYRSASALGSRLPSIANAKARVAGAGAILRPVSTGTQVVMRRARSVAQAAWTRPALQLSSWTCIGPRRRNNTSSTSTVTSEELRTSTSGGSESTSLLNETTEILASETIQYPSEEVQSSVAVAADAVGMLDDKVDSDEDITDHHVDEDRMTDVELWQQLESELYREREGEDDEIVEEMTESTIAEVGGESEDVLSETKEVHRFYPPGKIMHILTSSREEMVNEEESDVHEDDRNGESESSMGIFLTPRSLYGKLRLSKMMINDHYMPIYRRNIEQLIAELEKDSSAPMDDSVNNTS >Et_4A_035394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2303372:2305375:1 gene:Et_4A_035394 transcript:Et_4A_035394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMHESLFFSASSFDPPDVATTTSRRRSRQVLLDKVAYLANRRNGTTAESFGRTGQAVEVSFWLADPPGLSHLCVHCPGLDKTHFAEEPLVVCAEEDVAVVQVYFTFGPKDHVSEKGTRDYFVYRAHRENPSLDLLPTPSPLMFQPYEFGLLPCVVGGDGEEDFSVRGWWYPTSVHSRRGHYHPGLSDVRHGVIFCRTHQGTPDLWHIGLPEHIKESNTNRERYRSLIRDFSFSNGVVRFIEVAEWKRQVVSESSGTAPKCSVCARRVDSADLELASDGWNAVAWSRSNTSGSDDRWIKDYEVCADDIAITNPSHLDSLPDLCVASNAGKSTLNRNLVVVTATLSMHDKDVFHLASKVNDMDKTAWILSINMRTKSLEDIAPFSADRVHYFNPPYLPCALSENFDMVPHKCEVGCKRKRRDEDAENAPLDTTILMHGLDSFTSSDQLRSLFTMFGDLHDFDIAANRQYASIQFVSRQINQELYFQPSLSNVNKSGAESEMHS >Et_1B_010850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14808300:14811079:1 gene:Et_1B_010850 transcript:Et_1B_010850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLDMSALETQFGAPAAGEDGGLLFDVDGASMPAMEFPNCPDFDRFQATTKDMVKNKRGTTTLAFIFDKGVIVAADSRASMGGYISSQTVRKIIEINPYMLGTMAGGAADCQFWHRNLGTKCRLHELANKRRISVTGASKLLANILYSYRGMGLSIGTMIAGWDEKGPGLYYVDSEGARLKGNRFSVGSGSLYAYGILDEGYRFKMSVEEAGELARRAIYHATFRDGASGGCVSVYHVGPDGWKKLSGDDVGELHFQYYPVQKTPVEQEMTDASTA >Et_7A_051410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18082508:18083087:1 gene:Et_7A_051410 transcript:Et_7A_051410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRSNSGSCICVAQRCTAALAFRTAPVQRKMLYLEGPSFGSHHVQLSREQPPSVLDVPCKPRLEEYWDVARSTEQEFQRISPGGEANEPFVRRAVPLPSVVVLDETVAFGFDATHGAAL >Et_5A_042824.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:5046980:5047663:1 gene:Et_5A_042824 transcript:Et_5A_042824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTGTATVPSLLFVLALLLSGGGMSDAARRLEELPKPDVPPLPKPEEQPKPDVPPLPKPEEQPKPEKPPLPKPEEQPKPEIPPLPKPEEKPMPELPPLPKPEMPPLPKPEEQPKPELPPLPKPEEQPKPEMPPLPKPEDQPKPGLPPKPEMPPLPKPEVQPKPEMPPLPKPEEQPKPELPPLPKPEMPKPLPKPEEQPKPEMPPLPKPELPPLPKPEMPKPAAPKP >Et_2A_017268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32638642:32642536:-1 gene:Et_2A_017268 transcript:Et_2A_017268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLSIHGARFLLLAVALIANTKCFATSIAKRSPPAATSAHSSDRSVLLSFKSLITQDPSGALTSWGNESLHFCRWRGVACGKRGQRRGRVVALNLGSLGLVGTISPSISNLTHLRNIHLSDNNFSGHIPHELGLLSDLKHLNLSDNSLEGEIPSDLSRCSKLQSISLWYNNLRGRIPSNFSRCSKLRIIEVFANYLEGDIPSAIGSIKNLEFLNLFDNNFNGSIPPEIGNLKNLYFLDISNNGLTGSIPPAIAKLHALQYIDFGNNKISGFIPASLGNLSSLTVLILENNSLAGTIPAFGVLPCLSIFSLAGNNLIGNIPPSLGNLSSLTQLNFARNHLTGTIPYSLGNLYGLSSLSLWENMLIGSIPPSFGNLVNLVYLGLQFNSLVGMINPSLYNISSLQKLVLQNNSLSGSLPNYFGDKFPQLLGLALNGNNFHGRIPPSIFNCSMLEIVQLDNNSFSGTIPSNLGNLKNMLKLRLDYNKLEANQAADWDFFDALTNCSHLQVLQLDYNKLSGALPHSLSNFSTSLEHLAILQNEIGGNIPEGIGRLVNLKALYMGPNLLTGPIPSSLGTLSKLNVISLVENRLSGEIPPTLGNLTELSELYLYGNALSGQIPSTLQKCPLGILSLGRNNFTGKLPKEVFLSSATRSLSFQNNKLTGPIPSELGLLRNLQGAFFSDNKLTGEIPISIGGCQSLQYLYINQNLLNGSIPSTMKMLTGLQELDLSCNNLSGTIPEFLSSLSGLTYLNLSFNNLIGEVPDAGIFRNATAFSIVGNSGLCGGIPMLSLPLCPTHQSRGKHKFPKLAVITSVSVTALSLIVIVCLLAIWYWKHRPNKEKTDPIAIRSQLPRVSYTDLFRATNGFPPANLIGEGRFGSVYVGNMNFGEHVVVAVKILKLQERGASHSFLAECEALRYLRHRNLVKILTACSTIDPRGHDFKALVFEFLPNGSLDRWLHVNIDEYGERQALNLYQRLSIVIDVGFAAEYLHGFEPKQVVHCDLKPSNILLDSDMVAHVGDFGLARFINQQDRDSLQSSSWTALRGTLGYAAPEHGIGNEVTTQGDVYSYGILLLEMFTGRNPTEENFEEDFNLRSFVEAGFPDHVEDVVDQNLIQPSEVVEEGLNLLLNKEVVMSCLICILRVGLLCSKQLPAERMQIRDAVRDLHRIKEKLYLLRDNAGRSEIQIPE >Et_8A_057639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5605527:5607447:1 gene:Et_8A_057639 transcript:Et_8A_057639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYGEGDKRWIVEERADGTNVHNWHWAERDCLEWSRGRLSALLAGLTVLDGEGGLTVRTTALDKLDGEAYVNIRKGKVIPGYELSLTLSWEAEAASESGVVKVTGAAEVPYLADENADEDPDLRVTVRGEETPVARRAKDAFLARGKPLVLEKIREYVTAMAKGGPAKDEVDSKKTPTKAAAAPGVAGTVPATAAKKEEAAQAPAMKEKKAKAKDKEGFKTIEMTEKFYCRAKDMYEILMDENRWKGFTQSNARISKEVGGEFSLFDGSITGVNEELQEGKLIAQKWRFGSWPDGLYSSVRLVFDEPESGVTIIKLKQTDVPEEDKYGNSTVVENTERGWRELIFQRIRGVFGFGI >Et_10B_002938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14188704:14188995:1 gene:Et_10B_002938 transcript:Et_10B_002938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NNLTASFRILGVPSNKDDKLSGATIKTFEFKLLIYRGGIEATDKFPIFCDVTFQPQPTTANTNAAS >Et_4B_037665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22369046:22370484:1 gene:Et_4B_037665 transcript:Et_4B_037665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNQEKCAESSGDGDRRPVRTVCVFCGSRPGNRPSFSAAALDLGKQMVERQLDLVYGGGSGGLMGQVSKAVYDGGRHVLGVIPSALLPEEVSGETLGEVKVVRDMHERKAEMAKHADAFIALPGGYGTIEELLEIIAWAQLGIHNKPVGLLNVDGYYNSLLSLFDKGVEEGFIDAAARGIFVLADTAGELLTKLTEARQLVAAAAEEEEDAAGGEKGAAAGVKRKRS >Et_9A_061654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14927833:14930031:-1 gene:Et_9A_061654 transcript:Et_9A_061654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVGDTLDSIRSMQIRQVLTQIISLGMIVTSALIIWKGLMVVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNVDGREIPIVHRVIKVHERQDTAEVDILTKGDNNFGDDRLLYAHGQLWLQQHHIMGRAVGYLPYVGWVTIIMTEKPFIKYLLIGALGLLVITSKE >Et_2A_015725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17221462:17223287:-1 gene:Et_2A_015725 transcript:Et_2A_015725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLLGDATAALGAHRAVSRRPPLPSLRNWAPGPLRPPPLGAAASLSQNSRRGHVARFAASASGGGAGEDAGELSDDEAKREWEAEKSRRLKEAEEMEELERTAEQLQSQATEESEEEKRERVRRELEKVAKEQAERRETAKQMFDLGQKAYGRGMYGRSIEFLEAALTIIRPSSLLGGEIQIWLAMAYEANRRHKDCIALYKELENSHPMISIRRQAAELRYISEAPRLKISNDEVVSIPQIGSSWDWYAGTWSDKTKEQEEKKRMMSAASNQVQSSPNIFGDFSFLRRPSEWKKNAWVIVTLWILLVGTAIYLQR >Et_7B_053921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13389715:13393825:1 gene:Et_7B_053921 transcript:Et_7B_053921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDYAVGVLVLVCLFTFSYGLSWGPLKWVVPSEIFPVEISGAGRDHIALAVSFAQTQVFIPLLCAIKYAIFLFYVGWVLLMTVFVAALLPETKGVPLEAMRSVWARHWYWRRFVGDAKQDGQQARSDYTKRGMAEGGFVMTEAGQAHDYSGGMTVPVVITCLMAASCGLIFGYDIGVSGGVTQMESFLKKFFPEVLRGMKSAKRDAYCKYDNQLLTAFSSSMFLAGMVSSLVASRVTKRIGRKAVMMIGGALFLAGSVINAGAVNVAMLIIGRMLLGFGVGFTAQVIHTSVRTVASSAISLFQLPNLRKSEQSGGSTVSGRDIAGKMARGLHHGLPPVPRHRRASRNHRQLLHQPHPRLWLAYLPRRRGSAGRRHRRGRPVVPDTPSSLVVRGEPEKARASLQRIRGADADVEAEFKDIVCAVEEARRNDEGVFTRLRGKGYRHYLVMMVAIPTFYDFTGMIVISIFTPVLFRTVGFTSQKAVFGTVILTLVGLFAVTVSTFVVDLCGRRFLLLVGSTTMLLYQVAVSWILADHLGKHNGITMPRNYAVSVVVLMCLFTFSMNLSWGPLKWVVPSEIFPVEIRSAGQAIATSTALTLTFAQTQVFLTLLCAMKYGIFLFYAGWLLAMTVFVAVFLPETKGVPLETMRSVWERHWFWRRFVVKDEKQDLKLGSPARLVDELDLCKQVFLTFAVKISEEEKEENTWPERERERSIMYIFDKEK >Et_7A_052491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8577884:8580993:-1 gene:Et_7A_052491 transcript:Et_7A_052491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRDRMEDFKEAFRVSAISHGYTEAQLASLMSSFIMRKPPPKSPFTNAAIKTLESIKELERFIVKHRRDYVDLHRTTEQERDTIEHEVGIFVKACKEQIDILKNRIHEEEKNGNAKTWLGTRDDSSRLDLIAHRHGVVLILSERLHSVTAQFDRLRSMRFQDAITRAMPRKKIQKKPAIKSAEPSKSNLVLKSDVSKFGDQEVSTAPMRVQEQLLDDETRALQVELTSLLDAVQETETKMMEMSALNHLMSTHVLQQAQQIQYLYDQAVEATNNVERGNKELSQAIQRNSSSRTFLLLFFFVLTFSVLFLDWYSK >Et_1A_009430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5219136:5221970:1 gene:Et_1A_009430 transcript:Et_1A_009430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIQGWGTTLYREQPCEGVEELEQPIGLGERRRQLSGLQISGTNTAIPNRTHPVPAAAMHDHRPKRPSDAVAASPAAKRARAPSAPSFPTYKDAPDLPPKIRLLCEILASGAADVDAALDDADVRVTTADVEQVLRFSYAHPRAAVAFFRWAGHRHLSHEHSPYAWNLVVDILGKNRLFEPMWDTIASMESQGLLSLATFASIFSSLAAAPGSSPLKAFVAMPRYRMERDTPALNSLLSALCRANRLDDARAAIPVARAEAGTRPDADSYAILLEGCEAAADPRVAREVFDEMVRVIGFDPANVPAYDSFLTTLVSSDSPNALPEAMDYLAVLTRQGCSPGEKFFRAALAAHLEARELRGAMVLWNDFVGHRGLIPDMEMYNTMIMLKGSLGRAEDIVEYLDDMAFNGVFPDTDTYNLVLQFLLNEKKLREATVIFTEMVKNECLPNEANCSLALRRFLDTRNWEMGMKVWRCMVENGLPPLEESGNMLVSKLKDDRLPEACKLAEDMIDQGIKLKSSTLNQLKQSLQKIKKGDIHDRLLRKWKAHAH >Et_7A_051893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:26175198:26178952:1 gene:Et_7A_051893 transcript:Et_7A_051893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVEESSSKRRERLLALRSAANASTASAPPPAPAPSLLPDPDLAGDQPVPRASQPHRFDYYTNPAAAFSSSYSGGGSNPTYSHKRKSPPAFYAPRPAPPPPQPYGNFCNYCPPHYNHMAPLPIHYPSLMRPCAPGSSRWQSLMQFQTPMPGHQVIAPGAPPHRGPHFTCPGRGSYPISPNFGFRHTNSSQGDTIMNYAPRGSSYSSYGRGRGQNCYRSTGSRGGSGSGRAGFWVRSGCLDQRINFKCMVDDPWMDLQPIVGNILIRKDASTGPGNYGGNYPPRQQQHMAPSPTHSPALMPQDAPGSSPWRGPMQFQDPMSGYEGNPPGTPPWDPHYGSPGRGSYPNSPSFGCRHPNPSRGGSTMNYRPRGGPYASYGQGRGYNYNNSNPGSWGRGGRGGVGFQNHSGEDRRSYFNKSMVDDPWQDLQPIVGNILIRRGASRSWLPESLREKKELPTQGQIKPSSSGLSLAEYLDLSFNEVSNET >Et_4A_033406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22357636:22361821:-1 gene:Et_4A_033406 transcript:Et_4A_033406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGAATSSFPHLVASTFSIRRHEAAHRNCASTGTGEAAMDVVSEAELREKGFMGMRKTKLVCTVGPSCVEALPALARGGMGVARVNLCHGGREWHRAAMRAVRRLNEGEGFCVSLMVDTEGSQLLVADHGGATSVKAEDGSEWLFTSKKTDEAHPFTMHVNFDKFSEGILVGDELVIDGGMSTFQVTEKIGNDLRCTCTDPGLLLPRAKLSFWRNGKLVERNFGLPTLSAKDWADIEFGIAEGVDCIALSFVKDANDIKHLKAYLSRRSLEHIKIFAKIESLESLKNLKEIIEASDGVMVARGDLGVQIPLEQIPAIQESIVKLCRHLNKPVIVASQLLESMVEYPTPTRAEVADISEAVRQYADAVMLSAESALGAYPQKALSVLRAASERMESWSREENMQKLLPQYPLAIALPDQISEQICNSAVEMANNLAVDAIFVYTKHGHMASLLSRNRPNPPIFAFTDNANSRKSMNFFWGVIPLQLPLSNNMEDNFKTTITLMKSKGSVKSGDTVLVVSDSDLNRPCAETSVFQSIQVRLVD >Et_10A_000949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19517093:19522310:1 gene:Et_10A_000949 transcript:Et_10A_000949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTEEVEVAGVDADAPARQASTFAELGVCPELVAACDAMGWTTPTRIQAEAIPCALQGKDVVGEDGRLRAADPAGAAPAAAAVLRLRAVAQEGAGDSDCGPVLRLGIVDWLGLLSGVDRIQQAISLAKRPHIVATPGCLLDHLMNTKGFGLDKMKYLVLDEADELLHVEFEKALDDILKVIPKDQTTFLFSATMTKKVNKLKRACIRVPAKLEVASKYSTTDLLSQKFYLVPANDKDCYLVHALKMMLGSRIMVFVRTCDSADLLARVGRNLGLKAICIHGQMSQDKRLGALNRFNAKECNILACTDLASRGLDIQGVDLVINYDIPKNPKDYVHRVGRTARAGKSGCAVSLVNQYEVLWFKKIELLLGKEIIKCEVDESEIKTSKECISDAKRMALTLRKQNYTRMVWSVVESFLWSHTNLSLFWAKLSYHL >Et_3B_029199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2365456:2368299:1 gene:Et_3B_029199 transcript:Et_3B_029199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTARFVVLVLAYRFLVPFLSAEPGQTKESYVVYMGSPSGGAGAGGDPEAVRASHLEMLSSIVPDDEQERVALKQSFHHAFEGFAAELTEKEAAALAGHERVVSVFRDRTLQLHTTRSWDFLEVQSGLQSGRLGRRASGDVIIGVVDTGVWPESPSFNDAGMREVPARWRGVCMEGPDFKKSNCNKKLIGARYYSVMPDSSSNASSSAALASTGSPRDTVGHGTHTASTAAGAVVSNADYYGLAQGAAKGGAPGSRVAVYRACSLGGCASSAVLKAIDDAVADGVDVVSISIGMSSAFQSDFLSDPIALGAFHATQRGVLVVCSGGNDGPNPYTVVNTAPWILTVAASSIDRTFRSTVALGNGNVVKGVGINFSNHSLTGSHYPLVFGADAAAHYAPVAEASNCYPGSLDAQKVAGKIVVCVATDPMVSRRVKKLLAEGTGARGLVLIDDAERDVPLVAGGFAFSQVGVDAGAQILEYINSTKNPTAVILPTEDVKDFKPAPVVASFSARGPGLTESILKPDLMAPGVSILAATIPSADKDDVPPGQKPSPFAIKSGTSMACPHVAGGAAFVKSAHPGWTPSMVRSALMTTATTTNNLGRPVASSTGAAATGHDMGAGEMSPLRALSPGLVFDTGAQDYLNFLCFYGYKEALVRKVSGDARFACPAAGAPAPGLVAAGVNYPSISVPRLLKGKPVAVARTAINVGPSNATYVAAVEAPPGITVRVSPDRLVFSKRWTTARYEVTFAVAGAGASRGYAHGAVTWSDGAHTVRTPFAVNVV >Et_2A_018757.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:6825914:6826357:-1 gene:Et_2A_018757 transcript:Et_2A_018757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFFFGSPFRRLLHGRPFAVDWTSSSAAAMDWVETPTSHVLRINVPGLGKDDVKVQVEDGNVLTIRAAPAKEKGKGEKDEREEEGVVWHVAERGKPEFARAVALPENVRVDGIKASVENGVLTVVVPKEPAPARPRPRPIAVSSKL >Et_1B_010754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13698832:13700469:1 gene:Et_1B_010754 transcript:Et_1B_010754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSRAVTLPGTSTEHVAAMPPFDPASAMVGDAATGSHLLHIEGYSHTKSLPVNDRIESQSFTVGGRTWRLRYYPGGRRLEDGVEHTDRIAIAVVLDDRIAIAVVLDVFFEREAVESPECLADDCFKVRCDVSVSTSTGCRGANRTPPPAAALDGVPPPDLHRHLGDLLVAKDGADVTFQVAGETFAAHRCILAARSPVFKAELFGAMRESTTTADAEICVRIDDMVPNVFSAFYPTLLEELISKVPVDIVRQDHHNFGVLAAPDSASQRNQFLFRTQSKPTSSHQDVEPLKS >Et_9A_062748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4111866:4117043:1 gene:Et_9A_062748 transcript:Et_9A_062748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKYERDGTRAKPSPDRGGGERRVEESSGAREKSGRAGREEEMSNEAAVGGGGGGGAAAAGETDGVQPNMTIYINNLNEKIKLEELKKSLNAVFSQFGKILDVLAFKTLKHKGQAWVVFEDVASATEALKRMQDFPFYDKPMRIQYAKTKSDIVAKADGTFVPRERRKRVDEKPEKKQKREQHQDVSQLGLGVNAYPGVYGAPQLTQIPFGGGQKVMMPEVIVPNNILFVQNLPHETTPMMLQMLFCQYPGFKEVRMVETKPGIAFVEYGDETQSMAAMNSLHGFKINPDNQMLITYAKNLDVDKKDVDIISVID >Et_6A_046165.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:6357279:6357449:1 gene:Et_6A_046165 transcript:Et_6A_046165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VQLETDSSNLVKAPQSCDFDHLPGSVLYKESRDLIRFCFGSAEVMYAPRSCNSCIH >Et_5B_044066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19666205:19675131:1 gene:Et_5B_044066 transcript:Et_5B_044066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVERAPAAEVGAGLPEGGGGDEAGVERFTIGLGAQNWGSAVELAVPAAEAGGGDEAAPSLADEGGAEEARRVVRRDAEEGLFDELFHQLRRRLHSAGGDRGSQMTPIIGGVRWSSRNRRRKPGPATMRHHSLQTRAARTRLAGSSGGKRRRTSSISSTINAGGRGAMLRVAGAERILPAASEGMGMRFGWWPVKYGDLETRHVGNFPAPSIDWVPDLEATNPRLDATEDAAVAGVECAPAVVDSVVRAG >Et_7A_052661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11175592:11176693:-1 gene:Et_7A_052661 transcript:Et_7A_052661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRKKKIRQNRLQQIDRIRIAAGSPARTSSPAGARTAPPPSCRCETYAHAPLAPVSARPAPARHAPPPPRSREPRRHHWHVFPGHEHKFPGAATTLPFGGDSYRDLIGGLANLPGLPPVVAALKQAAIALSAHDPSTEKHFLPPGGRPPILMTWPIRSHLIKWGDVSPRGRDPGCPSTADAAALGRALATPKVATCEALRLRPVKEVQVMFRASEDGEARVAREHLPLIEHWDAICYELLRARRNGRWDGPFTVLLRERAGIRGEEDVVAVGGADGSRATVS >Et_2B_020212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1843239:1844004:1 gene:Et_2B_020212 transcript:Et_2B_020212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPEDSANAELRDEITTEEAAGMPSPREDAHERAGAAMPPPTQQMDRHSSLSSDDGEGVDPDRIPAEVFERDPADQANKDWSMMSTDSVFGLQVAPSSDFTGFFLAHPELMDISTPPRASMANAEADAPVLVPPFESIPELPEATMKGNYSFAFPNLIEDKRHSSKKVQDEQPPATTATATEATQAAPAPAEAEAQTSSKPEAAPETEAAKGGLFACFPCCS >Et_2A_018433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27997101:27997505:1 gene:Et_2A_018433 transcript:Et_2A_018433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTALVAPTSGGHASMSCRAYAGLYAGSGAAAPPSPPWLRPVKRVQCANPTVCAPESATMSCVVRLCLANMAARSLTPMSGPGSLPATSPALETSPSSRPSSTAQCGPDDCNAIATTTESNTDKSEELTVAR >Et_9B_064698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17777737:17782181:-1 gene:Et_9B_064698 transcript:Et_9B_064698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACAYGQANAEKWLNLLSFLLLQVTITQSDSGKPRVGDQVNRLEITPDKRFLAAAGNPHIRLFDVNSNSPQPVISYDSHTSNVMAVGFHCDGNWMYSGSEDGTVRIWDLRTATCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLKGTQTITCFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDHTVKIWNVDGFKLERTLLLLIALQDYGPCQRERPSGCTRDITRQPLAVLSMTEPNQRLHSHAATHCTL >Et_3B_028655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17817956:17819700:1 gene:Et_3B_028655 transcript:Et_3B_028655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLWRVRLSSFAAGAAAASAAGFFFLYKDHILARATIARQVDEVKEISQKHYEALNQRISALESPKESEAIKATSD >Et_1A_006679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26007171:26011521:-1 gene:Et_1A_006679 transcript:Et_1A_006679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARGHKALGALPQLVKSLRSEPVSSGTRLRHLPSLRRTFSLYDQINLIDSVPEDQLRFQSYDDTGFKINNVKYEGSLLIVENNIMTWAPKTFAEITDESLSIFKVVHPIPEILILGCGRYIQPVSPELRKFIRSTGMKLEAVDSRNAASTYNILNEEGRPVAAAVLPYGVTS >Et_4A_033981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28687831:28691873:-1 gene:Et_4A_033981 transcript:Et_4A_033981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPPSLSIGPSFAAPGAPAAAQAASRDQDMATAEQLVLNLCNPELRERALLDLSKKREIFQDLAPLLWNSFGTIAALLQEIVSIYPSLSPPTLSPVQSNRVCNALALLQCVATHHDTRPPFLAAQIPLYLYPFLNTNCKARQYEYLRLTSLGVIGALVKVDDPEVIAFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKIMLDDTGLHYVCATSERYFAIASVLATMVESIAEHPSPRLLKHIIRCYLRLTDNARACSLLQKHIPISLTNGTLNKYIQDGVGLNCQLTLGFTKTQDELTKRWLQQLVHNIKIASRGGPLAGLDHMMGM >Et_6A_047877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22169914:22171085:1 gene:Et_6A_047877 transcript:Et_6A_047877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFGAAVISELAGRTVSKVVDTLGDRRRRSGLDKKLQRLEMLVIKLRSTVEVSEKLGTETASLLEWQGKLKEAASEGHRVLLSFERQADTAADAKEHQGGSKAGPVTFATKALVGMARGVRNATVALLSGGEGAEKLNITVSRLEMVCADVREFIKLLQVESSPKSRPRSPPKRKRAPKRGRSSSPCPYDQSKKHVRSCNERYAGPATPAAGDSFEDAVADITRALEIADGQDLTDLKWLAEWANIFREAKQQGYAMLEMEDAMTTEMVEEGYHDIVCSAAESIKDLALDVEYFASLVLLCPSIEALRTD >Et_5A_042791.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4449341:4449568:-1 gene:Et_5A_042791 transcript:Et_5A_042791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQQPQLLPPWLELVVADGDHGRSCSPAVRTGKRRAGVVARGVRVFVSGLAEMVRKKFECSIPAVKFGHVAYIR >Et_1A_008229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5452013:5456276:-1 gene:Et_1A_008229 transcript:Et_1A_008229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSLRLPGRAASAAAAAAVTVASLADVAYADGTFRRPPPPPPPDAMGDATAFDSDPDNLERMAHLNQLMKKQEATRLEALESEQVHMAINQVLRDIERKKKEAEESRNNMQQQLQAKAQALRYEDELTRKRMQTEHEAQRRHDAELVKMQEASALKKEEARRATEQKIFEQMLQAQREKAERDRKTNEAKALAEGEARAHEKNLSEDIDRQLLLESLNGEKEKWLAAVNTTFSHIEGGFRELFSDRRKLMMGIGGVTALAAGVYTTREGARVTWGYINRILGQPSLIRESSMPKFALPASRLLKPSSAPFSGGAGFDNVILHPSLKRRIEHLARATANTKSHDAPFRNMMFYGPPGTGKTLVAREMARKSGLDYAMMTGGDVAPLGSDAVTKIHEIFDWAKKSKKGMLLFIDEADAFLCERNSIHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDAAITDRIDEVIEFPLPGEKERFELLKLYLNQYILKEEGKGSSWGSLFKKQPRKIDVKGVTDDLLLEAARKTDGFSGREIAKLVASVQAAVYGRPDCVLDPQLFLEIVDYKVAEHHQRIKLAAEGKSTSPASLT >Et_2A_015553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14980630:14982527:1 gene:Et_2A_015553 transcript:Et_2A_015553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGEKMYNLDVDTGSVLTWVQCNVPDRGRINCKTWKQPHKLYELEARSHKHVPGTDQLCRALHSEPGPSDEQRCGYSINYVVGSSLGFLIRDKFTLPSEEMCGYHQSGDEQPVDGILGLGRGSPVSLISQLLQENIIMKDVIAHCISADGGGFLHIGDYEHSSADGIRMNPKAEQGHYSPIVSAELNLKGTVISNNMNVVFDSGSAFTFFDSQTYQATKDAVTGSLGNSLTPVADTEFELCWKGSNKFKSVGEVKSLFKPIFLIFRLGKKKATFDIPPENYLFIKDETVCFGILDGSLHSALGNINVIGGRKFRPSLWMAPQFPCHDFLWYSIFAVISMQNRVVIYDNVGGNISWVPDSCKIKSESVITSRL >Et_2B_022271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16511804:16515656:1 gene:Et_2B_022271 transcript:Et_2B_022271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSIRVLRLLLLLCAALSCHAARGVSGHGIGVNYGRVADDIPPPRRSVELIRAVGAGSVKIYDANPAVLRALAGTHMRVSIMVPNDVIPGLAASAAAADRWVAENLVPYYPETRVKFLLVGNEVLSDNSIAASVWPRLVPAMENLHRSLRARRISGVKIGTTLAMDALADGAFPRPPSAAAFRADVAAPVVRPLLHFLNGTNSYYFVDAYPYFVWSLNNLTVPLDYALFQGEGAAARYVDPGTGLTYTNLLDEMLDAVVVAMAKLGYPHVKLAIAETGWPNGCDYDQIGGNVHNAAIYNRNLAIRMAKNPGTPARPGAKMPVFVFSLYNEDLKPGPGTERNWGLYYPNGTAIYQIDLTGRRPLGSYPPLPAPENNTPYKGHIWCVLSDAARKKLNETAVGNALTYACGQGNGTCDAIQPGKKCFLPNTAAAHASYAFNSYWQQFRKIGATCYFNNVAEQTIKDPSYGSCKYPSSLDSI >Et_3B_027944.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27700320:27700484:1 gene:Et_3B_027944 transcript:Et_3B_027944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLSSFFVCVSCIYCDFFFQTVTSDMPNHKIFRLTCEQLAPYACRHGRNCHGV >Et_2B_019155.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:14658346:14658573:-1 gene:Et_2B_019155 transcript:Et_2B_019155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQAPPQAPAMIQVPAGAPPQEPAMIQVPAPPQPQGGRVQDAPGNLQVLMVKINWLEKLVLACLVLSVYIAFWK >Et_9B_066121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4224432:4226729:1 gene:Et_9B_066121 transcript:Et_9B_066121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVDATHHGGGGGANGHVELQNLKLVRNISDGRTIEIPLIGNLQVRQSNAAWEQGGASAESRDGEYQNRLDNMRGWLLTVATLFVGMAFTAATQPPGWYSEPKDWFHALVSRGVDSATRKQAQKAFGYLYLNTMTFSTSLMMVLLLLLTVKSSSRRTFRALTFLAVVIGGSIAVNFVISTVSNDWRVEMVIIYTIMVLKHT >Et_8A_056713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15755784:15764549:1 gene:Et_8A_056713 transcript:Et_8A_056713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAADEGKPVAAPPPMATISHVIFDMDGLLLDTEGFYTTVQEKILARYGKVFDWSIKAKTMGKTTAESTRIFFEECGLDGLLTPEEFLKERESMLQELLPTCSPMPGVLRLIQHLHANGIPLCVATGTHKHHFAVKTQNHQEMFSLMHHIVTGDDPEVTAGKPCPDIFLAAMRRFEQNVEPGNCLVFEDAPLGVAAAKTAGMNVVMVPDTRLDVSYHKAADQVLKSLLDFNPSKVVLPTTRGTISWFDLLWYLLAWIIMLILHFQYQDFSWPLVFIL >Et_1B_012954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4332265:4336052:1 gene:Et_1B_012954 transcript:Et_1B_012954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQGEKPAHDFLSLHTGGGASSPVQHHSTQAAAYDLGVHSTLKPLKVAKQRGGGAIAMASSGLEADSEEHVLPGGVGTFSIRRQVPSAQSRGEAASHGGVRGAFAPVLHGSRTEGAHGAESGARAHSGPSTMWQDSGADQRSRSLKYYGNYCHMVIEYIKFLQEKVERYESVNPERNHEDSKTMPWAKVYYRSCWKNTQNINPVQGGVTASTQDVSNEQCGSRPSTVAPAALFSTQSIRKASTDDNPSQKVANTAQNWEKNNTPCKQTPWLSMSTTDSGNTTLSKNERETDRKDTQSISNAYSQGLLHRLTEALKRSGVDPSQANISVEINMDRRDREHSNTHDNSKTNEGDECNHVAKRLSKIRSNSHHLALGCISDNGQPRRLPEQAGATAVDQADEQEEEDRTLHALEDLEADPGGAGGREGLLEQVGEDEQHVEHDCLHGVEADEAGEGLLVAHDGEVEREEEEEGRERGGVEEARGGVERAEQRGEDGELREEEAAMVRAVEERVEVGDGRHEAVGRLHRAAVVVVVGGARRGGEEARPRGRRGGDGVWEEEGALVGAAEEAGRRLRAVVRARRRRVEQQRNGGRHGR >Et_2B_022117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9409572:9416537:1 gene:Et_2B_022117 transcript:Et_2B_022117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGFVFSHDGYGEGGDDELGYGLYGGKFIVHSSMCSDLSMMLDKVSYILPLIEAAQPGCKAGIEELCNLYNIVDKGKLIIQNCMECSSLYLAITCEATLMRCEKIKDALRRSLFLIQNMVEPLLANQVADVHNDLGGVKFIVDRAEEEAGKGLLEMLRRSEATKELELQTFLLAASKLKLTSPKAILTERRSIKILLDKISGTDPKKEAILKYFLYLVRKYGKDIKQDNGAKLSRTVNASNESNGRCEDVNGTITPRRTLSHTDSGNVRNKSQNNLLGAAIPPLELCCPMSMTLMRDPVIIATGQTYERENIERWFNEGNDTCPITQLKLQSFTITPNTCMKAVICNWLKDHGLECNYLPEKYQSCSVSSLNNVSAPLITRKNRDYMVDYSSSSFGLSGVCCASSPMREAEQSKASFDRFYSNANYQLFISFRNFDKAMFLDFFHDLSELPMELQRKAIKDLKNVLNCENQIWHSMVSNGFLGAFHEFLKNDSGRYTVQGLKAGVQFLLAFLSSGRTDIPLINEDMVRLIASFLDSELKTEALLILHELFHQTSCRNYTAMASAVVPTVLGTVETGDTKCLDLEIALKIICKISFDNNIKSHLVSAGVISKLSPLLADGIFTECSLNILRNLSEVKDASEPIIRTDQCLSLISDHLDTGSYNEREHAAVILLAVCSNSIRVCSLLMKEGVIPALVDLSVNGTKVAKDCASKLLQLLRDFRRYDQLRSSCSQDVATNVTDNPSDGSICKHPVSKSARYISRKLNMFTKPRSLTFKCSGKFFDPSNE >Et_10A_001437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3151418:3152230:-1 gene:Et_10A_001437 transcript:Et_10A_001437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATAAASYVDDKATELRLGLPGTNVEEEDEAAERELKTAALLPTPPSTPRGKKRDVTAGSDEAAAKQTRDCAGEAAPPAAKAQVVGWPPVRSYRKSCFQQQASNKSSKPAAPAPATEEKSAVAAAAATVAAAAGALFVKVSMDGAPYLRKVDLKMYKGYRELREALEAMFLGFSGADNAVNPADFAVTYEDKDGDLMLVGDVPFDMFISTCKRLRIMKGSEARGLGSVKNN >Et_1A_008930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19798584:19800612:-1 gene:Et_1A_008930 transcript:Et_1A_008930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYCYSCAATGFAARLTRGEAAHLASLASVLALVPDGEQLLQTTRSQKFLGLSSLMGLLPAGVYPKDRTSFAAKPSLPPPPSSFRGRCVSAPKFNATAYCNSKLVGAKMFYKGYEAKMARPLDAKEKSPLDTNGHGTHTSSTAAGSAVPDASFFGYGKGRAIGAAPAARIAAYKACWTHACTESDVLAAFEEAIADGVGVISVSFGGGGAGPVAPKLHNDTVALAAFRAVHRGIVVSSAAGNDGPRASTVKNVAPWIVTVGATTIKRQFAATVSLGNGEALAGATLYGASQLPLVFGGDVGSDTCQPGKLDPAKVAGKMVLCDPSRWVHAAQGESVRAAGGAGAILTSDYEFGELTMARANIIPAATVTFKAYMRIRRHRDDRVQRHHLRSGAFVAQCGVLLRPWALRGSSGDPQAGRDGPGRGHPGGVDGRGLADRAGHRHEEPTWSPPAAIKSALMTTAYNVDINGGVIGDASTGRASTPFARGAGHVDPTAALDPGLVYDANENDYVAFLCALGYSAKRIALSTRDGTATDCSKHTAGSVGDLNYPAFSVVFTSDMGKVTQRRVLTNVGPDSAVTYTANVTSPPGVRVTVNPPTLEFTTGRSRREYEITFEPLEPATATKKHAFGSVVWSDYRPNMKTGPHSVTSPIAVTWPARKQAAAM >Et_4B_039604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23681633:23685454:-1 gene:Et_4B_039604 transcript:Et_4B_039604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRACGLWAPLLLVALLLAAAAAPGAVVVAQQQGGRLASRSDLAALYALRGSLGLRARDWPRNADPCAAWAGVGCRSGRVVSLSVAGLRRTRLGRQRPRFDVDGLRNLTALEAFNASGFGLPGPVPAWLGAGLATAFRSLEISACGVSGEIPASALAGLANLTDLNLAGNRLSGPLPAAALAGLTRLRTLNLSGNAFSGALPDAVWSLPGLSVLDVSRNNLTGALPAAGPALPANAQVVDLSGNLFYGTVPDSFSRLFARVLANVSGNYFDGKIDGGGGNASFELNCFIGIPGQRSPADCQQFYTIRGLPYDGPVAAPTPQPTPSAPAGKKRHKNLKYILIGAIGGGLLLIAVIAAVVLCFVCSGRRRRRTGSDQRESGAPSALPGVSSTGAAVVAGGSQPAALSSNMAKVGDSFAYDQLASITSGFGEERLIKHGHSGDLYHGVLQDGTAVVVKRITSRVARKDSYLAELDLFAKGLHERLVPFMGHCLDKEDEKVLVYRFVRNGDLSSALHRKSREEDEGMQSLDWIKRLKIATGVAEALCYLHHECNPPMVHRDVQASSILLDDKFEVRLGSLSEVCPQEGEGSPSATCSYDVYCFGKVLMELVTGRIGMSASNNSATNEWLDNSLRYINIYEKELMSKIIDPTLIIDEDHLEEVWAMAIVAKSCLNPRSSKRPPMKYILKALENPLKVVREDNGSSSARLRATSSRGSWNAALFGSWRHSSSDIGPSRDENILKRSETIKSSGGSNGDHSSSRRRQSKEIFPEPSDSRDTED >Et_4A_034294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31482676:31488118:-1 gene:Et_4A_034294 transcript:Et_4A_034294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPLLFGAVAAERGGDGYLRADRERGADLPTAFGCRRSLRPPHSASRRRWLGPGQGEESTDIDIALDNMTGQNFCAKVIEYTKLIGGEHEKPMLPYDRSKHLETASMFIFGIPIDFVNLRSEKYVESSRIPTMVGTYQVSLCMIILLASVHTRYFTTSLWLYHVYNSQEIGTTKEDAYRRDLTINSLFFNINNNSVEDFTGRDTFLDDPLRVLRAIRLAARFDFTLSNELKEAASDKMVKSEFGRKISKERVGKEVNLMVSGRRPVKALSYICDLGLFSVAFPFPEKSDPPVFDNCDRCCVSHIEAAWNLAVHSGDDNLLL >Et_2B_022047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8449816:8450159:-1 gene:Et_2B_022047 transcript:Et_2B_022047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIKVKAKDMASSAKAGVEKAKATAAEKVEKATTTDPVKKREAEERKKDREKAIESEKSVHGPERRVTHMAGDGGVGITN >Et_7B_054615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2871804:2886805:-1 gene:Et_7B_054615 transcript:Et_7B_054615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATCSDILGLLLFSFLLLLSPRSASAVDTFSKGRNITDNGTTLVSADGAFTMGFFSPGVSTTKRYLGIWFTVSSDVVCWVANRDRPISDKSGVLFVSDTGSLVLLEGGSGQVAWSSNFTSTSPVEAQLLNSGDLVVRNQGSTVTLWHSFNFPQNVFLSGMKVGKDFFSGAEWYLSSWRSPDDPSPGAYTRRLDTNGLPDNIVWQGNVKTFRSGPWNGVEFGGIPEVRSYKDGLFDYQMVISSREITYGYQNRPSATYTYVVLTDTGMIRRLAWDASNKAWREYYQGPRDVCDAYGKCGAFGVCNISAAATSFCSCLTGYSPASPSAWPKDASAGCRRTVKRDCGQATDGFLAVRSVKLPYTHNATVDRGITVEECRARCLANCSCLAYAAAETRGGGNFSGCVMWSDDLIDLRYVDSGQDMYLRLTESELPPPSPPPPSPPSPPPDAKSFPTAIVAGASVGSLIGITLVALLILVVIKRRRQTERPADRRPVEHPPLSPPSTPSVEVLRSTSPTVPFVELSSLREATGDFSESNIIGRGGFGIVYEGHLPDGRNVAVKRLNQSSLENEGGDDFMREVRVMSKLRHPNLVQLLSYCRDGNERILVYKYMKNKSLNLYIFGGDPRLRALLNWERRLEIIRGVAKGVAYLHGLSDEVIHRDLKPSNILLDDNWRAKIADFGTAKLFVIDQSDPTLVQTAGYTAPEYIMERYLTLKCDVYSFGVILLEVVSGKRNRSSPTFLTDAWESWNQNKINGLLDPAVAQPEPELLLELERFVQVGLLCVQQLPADRPTMSAVVTMLNNTSLVNPPKKPVFESRIGSPLHKAADHSKGEASNTSRDSCTIYLTTSGGCRRNVNLDCGGGGVGGTTTDRFLLVPSVKLPDTHNASVDTSITEEQCRARCLANCSLASSELPPSPPPLPSRQFPVAVIAGASAAALVVVLAILLVLVIRRRRRRRRRSPIPAAQSIQPSPAWIVPFIELHKVTEATGNFSHDNIIGRGGFSVVYKGHLPDGKMVVVKRIIQHSFANGGAEVFMREVEVMSKIKHDNLAQLLSYCNDGNEWILVYEYMENRSLNLYIFGNPEVRASLTWTQRLQIILGVAKGTAHLHGLNEEVIHRDLKPSNILLDDNWRAKIADFGTTKVFIDGQTNQTLVHTPGYTAPEYAAKGSLTLECDVYSFGVVLLEIVSGQRNSSMPTLLSKAWESWNQEKIKTDLLDLVLAQPDPEILSQLERCVQIGLLCVQPSQVDRPSMSRVVTMLTDKSSHVNQPKRPDVFDDTAGSPPSEASRLTQSSGASHSGSVYLT >Et_8A_057405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2783432:2783960:-1 gene:Et_8A_057405 transcript:Et_8A_057405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRARQSKALAAPRSLPPAKNNLTDSVGHPYRSVRQCLTRPPALPIASWRVHSSWTHCDAPQEASSKLQPRERVPFPTGNAGGGRREQNRIQRERERVKRRGGRR >Et_1A_005612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1203006:1205012:-1 gene:Et_1A_005612 transcript:Et_1A_005612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGFVEEMMREQQSLLEATCGDLYDHIDDLLDFTKEESAADVLLLDAPAPGSPLSARIIDVGARTNAPAPPAGESMMALPPPAEDVFFDAAGPQVFGAKDGGHIGPCDDLDMDMAQLEWLSGLFDDTSIPHEPAFPANCAAPIKSSALANAGVPMLPDKLEDTIFRSSSPISVLEHSGGFNANTNNVGSASSSSSSASSSSESFSGSGGGHPWSAPVSPRPEPQVLIIPARARSKRSRPSAFSSGRGVEAPTILVPTPMYSAASSHSDPESIAESNPNPAPPKKKKKAKKPAPAPATSDADGDGDADYEEGSERSLPPGTVRRCTHCQIEKTPQWRAGPLGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPAIHSNSHKKVVEMRQKAVRSGDPSCDLLQLIRRRD >Et_3B_030421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3656903:3657452:-1 gene:Et_3B_030421 transcript:Et_3B_030421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKFQITCGLLLIGVMLLGPGQEGMAVAVACPQYCLEVDYVTCPSSGAEKLPARCNCCMAPKGCTLHLSDGTQQTCPS >Et_3B_027597.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:30592461:30593381:-1 gene:Et_3B_027597 transcript:Et_3B_027597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLRDKVQQLQPLIGIAISHGPLAAASGVSAVIQDITSAASSMNYALQQLSHGLGPSSSSSITAAAPAVNGAADNGQQAAAVLTMEHVMQQWQHQHGGYHDNNPVHITTEANEASNNTMIIELDAAELLAMYTHFCQVCGKGFKRDANLRMHMRAHGDEYKTSAALQANPGPANGGSSSSTNKPYYSCPEEGCRWNRKHAKFQPLKSVICAKNHYKRSHCPKMYVCNNCNSKHFSVLSDLRTHEKHCGVQRWLCSCGTSFSRKDKLVGHLALFAGDGHQPAVPLDSTNARNAAKRWSAPAQQLGI >Et_8B_059865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3433803:3444078:-1 gene:Et_8B_059865 transcript:Et_8B_059865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLEEGPEKYGVKLDDISYNTAVKMLCDMGELRAAYRVMQEMEKEGLRPDVVTYTTLMAAFYKYGQREVGDGLWNLMRLRGCEPTLASYNVRIQFLINRRRGWQANELVRKMYSAGIKPDEITYNLVIKGFFMMGEHEMAKTVFGAMHGRGCKPNGKVYQTMVHYLCDKRDFDLAFRFCKDSMEKNWFPSVDTINRLLKGLMRISKDRNAKEIMKLVTGRKPLYSNREMEVFKDILSQEKRPRTADAGEAAGSDGGGLVNRLPEALLVEVLSLLEVDDACSAAASCRALHAAADAAISAITSVDLSEFAPSNAILSRILAGNGSVRSFTVNCSRLDESSSAAIAKHSLCELSLLKCSFSKSFFVAIGEKCPNLRSLKLEMAISPDDLNSRYDGFCTFLAPIFAGCCHLETLWIKFPLLDPRTGESLLTFIPSTIKELLLQPVSQSWARALFPTTISLTTQFTESLESISLVLDTITDELVVWITKNIRNLVELCLEDEPVSQAYLIDDLTNVGLQALSLCNNLRHLSLTRRYSDFRRVNDFGILTLSEGCKQLRTIRLGGFSKVSDAGYAALLHSGKDLKKFEVSNGFCLSDLACLDLDKAAPNVQEVRLLSCALLTSDTAISLASCTNLKILDLSGCKSIADSGLISISQLSMLTLLDLAGADITDAGLSALGNGKCPISSLCLRGCRRITSNGIASLLCGTGTINKTLVSLDIGNIPKVSGRAVIVIAKNCEQISSLSLRNCLFITDSSLEMLGSMGRDTGKCSLRMLDVAYCSRLSRNFLKHFEPPLFRGLRWLGVGKNVVERRGCRPSIAELLEKKPGLTICANACEMGCRNKFHPDIRFHHLQSSCFFSRKARSRVPETGSRTSSKLLKISTVRPRCTPITHPNTLGGCPAAAPDEDELSPEQAAWALTRWSETFTMTRGWSLKVRPLLEEAADAEAGAGGWPAAAAGSEGADIVGAERKEA >Et_3B_030424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3712612:3715470:1 gene:Et_3B_030424 transcript:Et_3B_030424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMGTRISQVCVFLFLVILGSLSQSALSQILLQAFNWESWSKGGSGWYDFLSSQVDDIAGAGITHVWLPPPSHSVDAQGYLPGRLYDLNASKYGDEAQLRALVAAFHRRGVKCVADIVLNHRTAERKDGRGVYSVFEGGTSDDRLDWGPHMICRNDSYGDGTGGADTGLDYAPAPDLDHLNGRVVSELADWLNWLKSDVGFDGWRLDFANGYSAAVAAAYINATKPDLAAAEIWTALAYEADGKPGADQDAHRRNLAAWVDAVGGGAAAAFDYTTKGVLQAALNYSELWRMQDAQGKAPGLVGLRPAQSVTFVDNHDTGSKTQHSWPFPPEKGYAYILSHPGIPCIFYDHFFDPTMKDEIATMIKIRTRNKIGPTSSLRILLSQNDAYVAEIDGKVVTKLGARYDVSKTVPPKFVVTSSGNDFAIWQNSSNVQPNTGPSSAGRSRRWVVPVVATAAPLAALLAFSAAVVLLLLRRKQNRQRDAGAIDSDDERYSGGEAAEFEKGVVGPRRYSYRALAAATGNFAEANKLGRGGFGPVYRGRLADGRDVAVKMLAQDQWSAQGRKQFEAEVRIISQLRHRNLVQLVGWCDDGRRNGLLLVYELVPEGSLDKHLYGTHRRVLTWPERRRIALGLGAALAYLHEECEQRVVHGDVKPGNILLDASLGAKLGDFGLARLLDRAAPPRTTRVVAGTMGYMDPDLVHTRRPSTASDVYSFGVALLEVACGRPVGAEDHEQPDGGEAAVALAEWVWELYDRGAVLEAADGRLDGDFDAWEMERVLVVGLWCSHPTPAERPSIVQAMNVLQSREARLPALPANVHRGAAASAGFSAYEHCLSSVGSSSVAEPC >Et_5A_042525.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:18479871:18480500:-1 gene:Et_5A_042525 transcript:Et_5A_042525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFHALFHCALVLYFLLLVVPDACLAAKTTTLQQKCEKYAAGSRNNYDFCMKTMQADRASATADARGLAAIAARIARAMARATDDKILAALRDPATSPPRWRCLPACDTEYAVAARRLGLAATAAAVAGGGGARELRVALEFLSKAYGAPATCDAEFANAGQQGSPVSAADRRLDDVIRMAISFLPAVAAEGGVIDARARYVELRIE >Et_7A_050993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13400211:13400944:1 gene:Et_7A_050993 transcript:Et_7A_050993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSSSADVRQESNPLEELHPPPTSVINLGPFSLDSATLIDDITRASRDLGYFQIINHGISKSVMDGALEAASEFFKLPSETKEEFASDDIRQPVRYDTSSKDSISMSRAFLKQYAHPLSDWIDYWPQQPSIYRQNSFSKFPSANLNCNLVEHVCKELMRIPLLFYFLLKPGKTWGNMLLK >Et_4A_032989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16562719:16563284:-1 gene:Et_4A_032989 transcript:Et_4A_032989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWRRSGPSMPNLRPLQTGDDDPLSRDCRLQFLAVLRRRWHCHRTAPTRVVPMEHGLLSNLKVPKVMMPCPARPLRTTKDKSVVAKGLGMSTKTAKEVEAEVEQDAHPAVVSDGLNHVLLVNDAYKAMVGQSVCPWLDALPGAGSSRRINGKVVLKMSASRLPRRLPMHREDLVGA >Et_9A_062542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23860994:23865562:-1 gene:Et_9A_062542 transcript:Et_9A_062542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIALARSTRRSMTTRPWLLLLVLGAAAGVLRVHGQGAPDSTGFISIDCGIPEESSYVDDTTKLTYVSDVGFTDAGVNRNISAEYINPAFSRRNRNVRSFPGEAPRSCYTLGSLTPGSKYLLRAGFLYGNYDGLNRLPAFDIYLGVNFWTTINITEPDVTVLVEAITVVTADFVQVCLVNTGAGTPFISGLDLRPLASELYPQANTTQGLVLKTRRNFGTTDPRQVVRYPDDLYDRAWTPWINPEEWSEISTTEKVGGSVMSAPSAVMQTAITPRNASFKNIEFTWYPDPNHVYPEPRYIGIVHFAELQRLARNATRQFYITVNGRLWYDLPVTPDYLFANSIYDTEANSVTFNQYNVSLNATANSTLPPIINAVEIFSVISTADIGTDAQDVSAIAAIKEKYQVNKSWMGDPCAPKNLAWDGLGCSYDISGPPRITKVNLSSSGLSGDISFYFAKLNRIEYLDLSHNNLTGSIPDALSQLPSLKVIDLTGNQLNGSVPSGLLKRSQDGSLKLKYGDNPSLCSNDNSCQISKKKSNAIYIAVPIVAFAVVGTLNGNGHSMLQLENRRFTYKELEVITNKFQRVLGKGGFGSVYDGFLEDGTQVAVKLRSQSSNQGVREFLTEAQTLTKIHHKNLVSLIGYCMDGQYLALVYEHMSEGTLEDKLRGRCGSARSVTWRQRLHIALESAQGLEYLHKACSPPFVHRDVKTSNILLTANLEAKIADFGLLKAFQKDGDTHVSTERVVGTHGYLAPEYAASLQLTEKSDVYSFGVVLLEMITGQPPILRCPDPMNIVQWAQQRLAHGEIDDVVDPHIQGNYDVNAVWKAADIALKCAAQAPAQRPTMTDVVVQLQECLEIEKYHA >Et_7B_054339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2058944:2061082:-1 gene:Et_7B_054339 transcript:Et_7B_054339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITIADDATVLKDTRAIHTSMMAAKTSTSANIQIFTHAMEPASICQGNTNVHQRNAPASFQVQNRVFFKCELITAITIGAAFGLLFSLLGVAKITNKFKRRRAKKQRQINVVKLFGCCLETEVPLLVFEFISNGTLAHHVHEQSENPLSWQDRLRISLETARAIAYLHSAASISVFHRDIKCANILLTDTLTAKVSDFGASRSISIDETGIITAIQGTHGYLDPEYYYTSRLTEKSDVYSFGVILAELLTRVKPIFPSHSSEVTSLASYFVSLLRDNHLSDILDPQIAEEGGTEEAEVVARLAEACLSLKGEERPTMRQVETTLEDVQGSKVSPKITRTTQNAPNNQMYKGSKSGEGTRQYSLEKEFIQSSEFPR >Et_4B_036302.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:15666112:15666351:-1 gene:Et_4B_036302 transcript:Et_4B_036302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQELGGWAVAEHDRLANDGLRYDKVVRGEEQVVSGMNYRLVLDATDADGRSATYQASVYEQEWTNTRELVSFEPAEN >Et_4B_037726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22979352:22983224:1 gene:Et_4B_037726 transcript:Et_4B_037726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLDSHFLALTAIVTVGYQLLFFIVTALFRFDKVTDFAGGTNFVIIAILTLALKGAWHFRQIVLTVLVVIWGLRLGLFLLMRIVQWGEDRRFDNMRNNLGKLAVFWIFQAVWVWTVSLPVTVVNASDRNPSIEARDIIGWIMWLVGIVVEATADQQKLMFKNNPSNRGKWCDAGVWKYSRHPNYFGEMLLWWGVFVASTPVLSDAEWLVISGPIFLTLLLLFLSGIPLLESSADKRYGQLEEYRTYKNITSPLIPLPPVVYGALPAWFKVAFLLELPLYNPGPGGDPMKTYQLAATNSAVMLATGCRTVTQNAPRRYNADTNPSTRVQSLSRRRDGHLIDLDDVLERGPGRDLRAVHPEVGAVVAEAEAGRDDHPPLAAGLHGPEHVLDAVAHAPGVGPGPHHQRQRPLALPERVHERGRLGAGDAALQVDHHQVARPRDVAAADAQLVVPDPVGQDHLLLLLHVPAHDTSIHATV >Et_3A_026918.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:26434547:26434882:-1 gene:Et_3A_026918 transcript:Et_3A_026918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAKLGEVMWEHRLKAAAAVALLATAVVSISVVGPRLGAVVSFFWPLLVSTGFCLVAVAVLLRISPPPAGADESGKELIDFVAGCRPEHLIPEPVPAAAAVEAPPEPEI >Et_1A_005382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:108350:112433:-1 gene:Et_1A_005382 transcript:Et_1A_005382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTGFKRSDSITEVMPEALRQSRDQMKRCFQRYVSKGRRLMKNQQLMEELHKSTDDKLDKDKLAQGFLGYIVCSTQEAVILPPYITFAVRTNPGIWEYIKVHSADLSVQQITPSDYLKCKETLYNEKWAYDDNSLEVNFGALDLSMPHLTMPSSIGNGMQFVSRFMSSKLSDKPENMKPLLDYLLALNYQGEKLMINDTLDNVTKLQTALLLAEVFVSGLQKDTPYQKFEQKFQEWGLEKGWGDSAEACRETLNCLSEVLQAPDPIKMEKFFKRVPSIFNIVIFSVHGYFGQEKVLGMPDTGGQVVYILDQVRALEEELMQRIKEQGLNVTPKILVLTRLIPDAKGTKCNVELEPIENTKHSSILRVAFKTDDGKDLRQWVSRFDIYPYLERYAEDSSVKILDILQGKPDLIIGNYTDGNLVASLVSRKLGVTQGTIAHALEKTKYEDSDVKWEEMDQKYHFSCQFTADMIAMNTSDFIIASTYQEIAGSKEKPGQYESHYAFTMPGLCRFSTGINVFDPKFNIAAPGADQSVYFPFTQKHKRLTDLHPQIEELLYSKEDNSEHLGYLEDRSKPIIFSMARLDKVKNITGLVEWYGKNKRLRDLVNLVVVGGLLDPLQSKDREEIEEINRMHHLINEYQLMGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIINEVSGFHINPLYGNEASDKIADFFLKCKEDPMYWNKMSTAGLQRINECYTWQIYADKVLNMGSVYGFWRTLNKGEREAKKRYLQMFYSLQFRKLAKTASKMGEQPTVVGAEPDRIVPRPKGRQKDTNKDSKDSEQLTRTSIPGLQSPSILLE >Et_4A_033497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23949217:23951167:1 gene:Et_4A_033497 transcript:Et_4A_033497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVPTQGLVVLVLVVVLSDLRWITAAAPANPDDAAAMRSIANTTGAAKLLGWGAKSADPCDGTWIGVRCNDEGRVTSIRASRAGLNGFIDGPDFSKLSFLAELDVSFNRLLGGDRGSLPLLPTPTSAPWTSNNYGYIPEGFFAAFPALETIALDDNEMTATKFKLDVLTCSSANNISFLGDFPDFFGNTVVFPVLERLSLARNEIFGPISPTFGRNSQIKYLDVSDQEQDGAGHSTLGGRIDQFIPGMESLVEVRLDHNSLIGPLPDVSKLHNLRVFSAAGNDLCGIPNFAKGTSVDLTGNRNIGEPCDGNDEFVLKHSVSFLHLFGEKSYEGGKDYHAVRIHPGRDMVSSFQTNNDII >Et_4B_036413.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:22850627:22850773:-1 gene:Et_4B_036413 transcript:Et_4B_036413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDVVRLDYQAIVTLAKDHAALWTCRAPRGLYTTPLEAWYNDLVGVT >Et_3B_027967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28751206:28753181:1 gene:Et_3B_027967 transcript:Et_3B_027967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLNLNPVTGLWPATQFGEGVIIGVIDTGLWPESASFNDDGMPPVPSHWRGTRKLIGARYFNKGLMAANPHINISMNSTRDTEGHGTHTSSTAGGSPVPGASFFGYGSSTAGGSPVPRAHVAMYKVIWPEAGGRYASDVLAGMDAAIADGVNIISISSGFDGVPLYEDPVAIAAFAAIERGILVSASAGNDGPRLGTLHNGIPWLLTVAAGTFQQTILGTRPAPVVTAYSSRGPSQSYAGDSILASIAPVSPSGIIGQTLLGSNFAVLSGTSMACPHASGVAALLRAAHPEWSPAMIKSAMMTTATATDNTFQPINPLAMGSGHVNPNAAMDPGLVYDAGPADFVSLLCAANYTNAQIMAITRSSTAYNCSISSNDVNYPSFIAIFGANATSGDMRFSRTVTNVGKGSAVYHASWVSPSNVEVAVSPGKLEFNTVGQTATFEVDIKLTAPTGGDPAFGAVVWTDVSGKYRVRTPYVVL >Et_3A_025895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33833976:33839753:1 gene:Et_3A_025895 transcript:Et_3A_025895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPISCRPSARQPLLLRVPAERAGGAAAALGVGPRRREALLALRGHVRPIEPEPWAAARVWMNRSRREDATRCAAAGQVAGSTGVGRSAGMEVFLAAAAVMAMGTGNRVLYKLALVPLREYPFFLAQFATFGYVVVYFSILYLRYQAGIVTDEMLSLPKKPFLAVGILEALAAASGMAAGSVLSGASIPILSQSYLVWQLLLSAIFLKRRYKINEIAGCFLVAIGVIITVASGGTGASLKGTGIVWPLLMIISFFLQAADTVLKEIIFIDAAKKLKGGSVDLFVVNSYGSAYQALCMCLLLPFLSKLWGVPFHLLPAYIKGGAACFLNMGSLSSGCEGAPLLPLLFVLVNMGFNISLLHLIKISSAVVSCLASTFSVPLSIYAFTLPLPYIGVASSLPPGFVAGAVVLTAGLLLYSLPQAQHSGNSFHNRND >Et_7A_050811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11163446:11166017:-1 gene:Et_7A_050811 transcript:Et_7A_050811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSKAAGAAAAEVDVSSPQGSAHGSEGGGEKEGNFLLGQPTWEDAGGGRCRCAETGHELPEREKEAYARSRACRLALIDHAVARKKPPLNAFKPHPEHKSKLVCNITGDTVNKSEEHIWKHINGKRFLNKLEKLEEKMASGDMAEEEAAKSNEVAKKTKSRKKDKKKFTVASPTLPREPKPEMDDSDDPDFWVPPVGSRWDDDDGKDRWESSLGKKDAAENGGDGDDDDDNVDDDMCDKDDAESRDLASRAKRMTLEAVGPSSFASRKKKPKKDQ >Et_7A_050617.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:7026883:7028949:-1 gene:Et_7A_050617 transcript:Et_7A_050617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLVGHPPQRSLHELRCSALAPPQGHREVLHLVRLGELQRARRDLHLHNARQVDLGVVHRRRLADVLHRAGHHHGSRGDRRRRQRDRDGRVVQQVVRDHRDDRAVDGAARDHRDLLARVHAAEEADVLGGGDVVDEAGVEGPVRVDVAGARGEEVGRDVLLVDDLVAGLVGGVGGRHDGRLDRRRRPVRVLALDQCGDATEVRRRHGRAGLEVEGRSGGHRRRRKAHRDRPRREHAHTGARDVGLQDAWVLGAGPARRERGDGRGRRHAEHRALEQDLRHRVHRGVDVLLDCDPGGVADVRGREDVRVGEGAVPVGELVGQDHAEASGPLHLGALVEPRDVVAAVAEHDLALHVEAVERAVAAEIRVGRGAGARVDERVGGGRRDVGLEDRLALEREAVPEAHLGADEAVHGARGHGEHPRRVVGQRDGARAVVAGRHADEHALLYGAERADGEAVLVERRRRPAQGHGDDVAAVAHGRVDAGEDVGVGALVAAHLVHGDVRAGSHPGGGPVALAEHLGARHDGSRRGARRVRAVPVLVERGRVRLERARADELVVARRAVEVAAAVPLGRRRRHAVAAEGRVVGEHAAVEDADDDAFAEPGAGVPPGLRHVQPEELGRVRRQQLVNLARHRRDEPGHGGQRVQLLLRQPCGEAAGDVVVRMYEPALLREERVVKRRPVIGRGEHVVFM >Et_1A_005971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16170532:16170941:1 gene:Et_1A_005971 transcript:Et_1A_005971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRKCMPLHDEWDKLKLVLAEYFLSAKKDVLKWRGKKGEYSTREGYGCVKHGAISITKEETRIWIVRALYRIHLDDDSK >Et_6A_048092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6031761:6036685:1 gene:Et_6A_048092 transcript:Et_6A_048092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKETLLLGDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYELPLQRLHFSDGRPKSPPSLCEFIEYIDTEQTPENIAHVYRVAERARRHWFDMEAEERREEERRKMRQKEEERRREYEAEHFISVIATRRAGERVVGPVAQRSGDKGSNNPLSGRQGARESGWWAQSPNGRATGADAYKRPKFGLRSIAIDPSTFPTERERRGEETKRREKRKEGKRGVVVVACCPDVSQLRYGDRRWS >Et_2A_016316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23214412:23214809:-1 gene:Et_2A_016316 transcript:Et_2A_016316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMSLAIDARYVFGSVESLQTVSGLWFPFIPLVPDAVRKLVLEQEKLISELRLHCMTAAAQRAGIASGMDSDSEQSSVFSSTRQHTTSPGEAVCAKGH >Et_8B_058657.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:14069766:14070359:1 gene:Et_8B_058657 transcript:Et_8B_058657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPGRFKKAFMEQLLLGLRAAGQASKSMGLRERRDAVRLSSDVAMALASSSARGAPPAAGATAAWARALVARHAAERRNEALLRRIMGGAGYEMAAAAAAAAIGRREHRSRRIVRRSRRVLTAGRKKKRTASGGGGAMAARRMVKARMQVLRTLVPGADGLHGFSLLSETLDYVACLKTQVELLQCLCKGSRSRLG >Et_6A_047171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26259308:26268875:1 gene:Et_6A_047171 transcript:Et_6A_047171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVENLGNFLRRLGLHRSMLEVSSLWMYGIQQQCRELHGEDLDKLQDIATEQAVLILGVKEEIIELQRRMDQICRFLQDTERKRLEESADNNWLGQLRDAMYDADDIIDIARSKGTNLLPDNSFPIPGKPITCSGLSISSSCFSKFRTRHEVAVKIRSLNKRIENILKDKVFSSLTHTQPIGIVSAQETRKSSNLVEPDLVGMEVAHACRKVVDLVLEHKENKSYKLTIVGTGGVGKTTLAQKIYNDQKVKGIFDKQAWVCVSKESISDVSLLKEDLRYIGVNQEQGESVGELQSNLASAIKDKSFFLVLDDLWQSNTWTDLLRTPLHAAALVIVLVTNRHDTVAMEIGVEDMHRVDLMSLDVGWELLWKSMNISEEKEVQNLRDMGIKIVQKCGCLPLGIKLVARVLASKGQTENEWQKVLRKDAWSMSSLPSEIKGALYLSYEELPHYLKQCFVYCAMYPEDAVIHRDDIVRMWMAEGFIDEKDDQFLEDTAEEYYYELIYRNLLQPDYNVFDLSECKVHDLLRQLACYLSREECFVGDPESIQINILRNIRRILVSTKKDMVVLPSMDKEKYKVRTWRIPYEKSLRVDNTIFRRLSYIRVLDLTNSCIQSIPSCFESLIHLRLLDLDGTDITYLPESIYHLINLQTLNLDRCASLHSLPFGITQLRNLRRLGLDETPINHVPKGIGKLKFLNELEGYPVAGDSDNSAKMQDGWNLDELGLLLYLRKLSLIKLERAACCSKDSLLIDKNHLKLLYLCFTEHTDEPYFEENVINIEKFFQMLIPPRNLETLKISDFFGRRFPTRLGTAAYLSSLKHLALIDCRSCVYLPPIGQFPCLKFLRIEGTTAVTKIGPEFVGSGVGNSEFTGAVAFPKLEELVIMNMRNWQEWTFVLEEATAAGKVEGEDGSAAKKIEEATPPRMRVLPRLKNLYIERCPRLRALPPQLGQEATSLKELMLRDVHILNVVQNLQFLSENLIIVDCQGLERVSNLPQVRELHVQLCPNLRCVEKLYNLHQLFLTEDMQQVSSLWLPVLQELLHGEEMNPAGLERVSNLPQVTELCAPLCPNLAFVERLDNLQQLFLTEDMQEATWLWLPGLQEERQQLNGEDLDVYDW >Et_7A_052034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3916419:3917279:-1 gene:Et_7A_052034 transcript:Et_7A_052034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIETSSVVEAAVIWQAQTILANLLVDKLEAWLRQAGLADSADKLRIEIERVEMVVSDRKGRVIGSRPLARSLARLKELLYDADDMVDELDYYRLKHQVEEVTAACTVKHESTTGDGGETVAESRANANSNAGMPSSSNLKLRSEEWKDFRITDALDGRPLKAECIHCGTTIQCETTNGTSVLRRHSKSESCKRKRAAIEHTPNPSRYYFSWGSTQNSIHIVVLPYFCSTQLRIIVFLKGSKLGFAYVFVQRREQLYFY >Et_4B_036610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:54693:59638:1 gene:Et_4B_036610 transcript:Et_4B_036610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPASLPLTRRPSDGRRRGRLLILLIVLVVAAAAAALAYLSFPSAARPAGSSMVRSEADCCRGTQGLELWGPAVKWGSDHRQPSAAACCASCKAMCPHPEDGSCRCDSWVFCGDERRCKDRFGECWLKKQKDVMAPAVVARGEDIMWTSGLVFGKGQGIVGLETHLGTLHIQLLPGCAPSSVDYFIELLGLRNCAGCRFYRAEGRGNLWDAKGNHEKHGAFGPPYALLQGTLEVDGVPFKEIAREACPSVKRGSVAWVGSGPEFLISLADHGEWKDAYTVFGYVLPEDMAIAEAMALLPTSTDVWSNVTVKVLRDPVFFKVKRSTNGSAL >Et_4B_038411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28832454:28836785:-1 gene:Et_4B_038411 transcript:Et_4B_038411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAVRHRLVEANGISMHVAEAGPADPSAPTVLFVHGFPELWSSWRHQMGYLAARGYRCVAPDLRGYGGTTAPPAPSSYTIFHLVGDLVALLDALQLPQVFVVGHDWGAIVSWNLCLLRPDRVRALVNLSVAFMPRRPGVKPIEYFRSVYGEDYYVCRFQKPGIEAEFTGFDLKRFFKMALAAKTTGSSAMSLRKMRASNKEIALPSWLTEEDISYLASVYEKTGFVGGINYYRCLDLNWELLAPWTGAQVKVPTKFIVGDGDLAYHHPGVQSYIHKGGMKRDVPMLEEVVIIKGAGHFIQQERAHEISNHIYDYIKKFQSGGQGTQKTSQISSPAQHYDWYAPKKVLLTAIALFIASARK >Et_2A_015820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18138431:18145135:1 gene:Et_2A_015820 transcript:Et_2A_015820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRMKHKTHVGNRGSPSLTPFAFACPEAPFADALCRRPTPRTHPAAGHFSQPSSLTCCKERCLMAWSPIIRYASLLLLLQLLPLCAADDRLVIGKPLSPGATIVSDGGRFAFGFFSLSNSTTAKLYLGIWYNISQLTVVWVANRETPVTIGTSSSPAVSLTDTSNLVLSDGDGHVLWTTDITGAASSAASTGYVAVLLNTGNLVIRSPNGTALWQSFEHPADTLLPEMKIRMKHKTHVGDRMVSWTSPDDPSPGPFSYSIDPATLLQTFVLNGTRPIDRTPPWTGYAVAGRLPVNNSFIFYLTVTDTEEEISMSYSFSDGVAPTRLVLNYTGQFQLESWTPSSGWAFVLNWSPNKCNPYGYCGPNGYCGNTTVEVTCKCFDGFEPVSLEEWNRGSFSQGCRRKEVLKCGDGFLAMSGMKSPDNFVHIRNRNFNECAAECAMNCSCVAYAYANLSASTIKGDVTRCLVWTGDLIDAAIYGDWAGSDTLYLRMAGLDAGRREKTNVLKIVLSTVSISSVLILASVFLACYKFKGNKRSRHKKQIFDGIGTCDKLGEGKSAQDFEFPFVGFDDIVAATHNFSEACKIGQGGFGNVYKATIGGKEVAIKRLSKDSRQGTEEFRNEVVLIAKLQHRNLVQLLGCSVEGDEKILIYEYLTNGSLDASLFGMYIIHHKLLQIDNHNISNTYCLNLNMHAENSRKILLDWPTRFRIIKGVAKGLRYLHQDSRLTIIHRDLKAANVLLDVEMRSKIADFGMARIFDDSQKKANTHRVVGTYGYMAPEYAMEGTFSIKSDVYSFGVLLLEVITGIKRSSINNIMDFPNLIVYVWSMWKEGKAKDLADSSIMDSCLLDEVMLCNHIALLCVQENPDDRPLMSSVVFALENGSNTLPDPNQPAYFAQRSNEMVQLRESVQNSMNMVTMTAIEGRSTNCKGRC >Et_4B_037907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24727818:24729401:1 gene:Et_4B_037907 transcript:Et_4B_037907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKSAEKDKGKKAPVSRSSRAGLQFPVGRIHRQLKQRTQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >Et_1A_005853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14732380:14737846:1 gene:Et_1A_005853 transcript:Et_1A_005853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVIWHCIRHNHCSFMAKIETGIFCRNPYNATGICNRSSCPLANSRYATIRDHDGVFYLYMKTAERAHLPKKLWERVKLPRNYEKAMDVINKHLEFWPKLLVHKIKQRLTKMTQYRIRMRKLQLKVREKIVTVPRKKEQRIIRRLEKAEKAAQLEKNIENELKERLKKGVYGDIYNIDFKKFEDLLEMEEKEEEEVPELEEENVVEYVEGDDMEEMDDMEDMEDFEGLSDGGSDEDDLLDEPVKPKGSGSDSKRNVGKRSRKVLTEVEHDEETNNRQRMRMHRENSTNDGDY >Et_1A_009132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30284360:30286827:1 gene:Et_1A_009132 transcript:Et_1A_009132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKWSKEEDYLIRNHIEKHGIGRSWQALSNTLGLQRCGRSCRSRWLNYLRPGLKHGNFTPEEDKIICEMYSKRGSCWSVIAAQLPGRTDLAIKNYWNSTLKKRFPAARTAAAARRRPRPSPTASTSSDAWTPARDLQLVVYSDESSTAGSSCAKPVLASSPTSVKEPLVPFAAGHEPIDTVPVTVSVPARSGQKPAVGSLPLTPSKPATPAPGRDQIGEMAMEIICRPMSPLPLGFMDPELACICGFDDIHSFLPWFDLWDNYLRSVNVHSQGIELESEDGP >Et_5B_043071.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20531341:20531919:1 gene:Et_5B_043071 transcript:Et_5B_043071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KSKEQPDYGTRKEEQPQSSDSDDKIVATRLSRYCAYLVACRPELLPDDGDWCKKLYGEVLKGADRVLRAARGAGFQRVIELLKQEPDDNKVLKNGAMLAEELVKDHSNIGWKDLAVFWSQMVLYIAPSENLDGHAEAIARGGELLTLLWALLAHAGIVDRLVEAATETSTVAGDAPNTSAEEAPDTAGDLHV >Et_6A_047921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24026560:24028326:-1 gene:Et_6A_047921 transcript:Et_6A_047921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNRVTCGVVSMTFISLLDWITIPGFFFVHAEGLIVNSTSDLNPSPHVHDTTTLEHRSRTDEWRGHDLGLSDTPIEVLVS >Et_7A_051601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2153974:2157480:-1 gene:Et_7A_051601 transcript:Et_7A_051601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSAAIARQTWELENNIPAAASDPDAMDAIYRYDESSQTRAQQEKPWANDPHHFRRAKISALALLKMVVHARAGGTIEVMGLMQGKCEGDAIIVMDAFALPVEGTETRVNAQADAYEYMVEYSTINKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFTEPFLAVVIDPTRTVSAGKVEIGAFRTYPKDYKPPDEPVSEYQTIPLNKIEDFGVHCKQYYSLDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNRDYQAEGQLAHSRFGGMLMPSQRKKEQEESPLAKVTRDSSKITAEQVHGLMSQVIKDILFNSVHPSKASTPNSSEPEPMVEA >Et_6A_047663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9221659:9229011:-1 gene:Et_6A_047663 transcript:Et_6A_047663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDHYAPYSNTYFYPAAAPVTSPAFPVAPTNSDDEFRRELDALAIFMDSDDYESSDSFHSPSSAASSEAAMALPNMGYEQQHFEESSSTAKKPAAQTTLIGVRKRPWGKFAAEIRDSTRKGARVWLGTFDTPEAAALAYDQAAFSARGDAAVLNFPVDRVRESLGALAIAAGNGSPVLALKRRHSKRTRRSKLSLVGNDLKPQRRTGHGWCSGEPSTVVLKAPQLEAPQGVVELDDLGADYLDELLRRVYTLRAVTIITIIESRIGKLARLVASSPVCFQSCAPSLSCIDSLHPYHNSSSFFTYSYLAPTQNHASSVDNMQTHLDALRLFLDSDDGSDTFSSSSSSTCTCTAETQSAAAGVTRPRPAAFIGVRPRPWGKFAAEIRDSTRKGARVWLGTFDTPEAAALAYDHAAFSARGDAAVLNFPVEHVRQSLQGLAIAVSAEGSPVLALKRRHSRRTRRSKLSPSSKNLKPQGKPELHFSGESGMVTVAGSFPDHGVVEQLELDDLGANYLEELLRVSSELEYNY >Et_3A_024535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21774559:21777988:-1 gene:Et_3A_024535 transcript:Et_3A_024535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTPRLRLLLAAAVACSLLSASGAAASSGTLSLRHKPGRQHNITRRHARGGGAGVRPGTGMASCNMFQGSWVYDDTLPMYDTAGCPFVEPEFDCQKYGRPDKQYLKYRWRPASCELPRFNGQDFLRRWKGKKILFVGDSLSLNQWESLACMLHAAAPAAKTSYARGNPVSTVTFQDYGLSVAYYRSTYLVDIVEESVGRVLKLDSITGDAWLGADVLIFNTWHWWTHTGNDQPWDYVQDGGQVMKDMDRLTAFSKGMSTWARWVDSNVDTSRTKVYFQGISPTHYNGAEWGERSRNCAQQTQPVAGSSYPAGPVPAQSAVTAALSGMSKPVFLLDITLLSQLRRDGHPSAYSGGHPGNDCSHWCLAGVPDTWNQILYASLLA >Et_3B_028516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16407504:16408391:1 gene:Et_3B_028516 transcript:Et_3B_028516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRHGSRRTKADQWLFGMRWRGSAKETRHSVIPENKPPNPNSIERDEDICLEKSRVHLHGPGQRETMDVMPGRRSDVAPGRRSMPEMEINMKEVVAVLGVRVMAADMPPFMQLHAFRCAKRSHDSLDKFSSRQLAHDVKKEFDKVYGPMWHCIVGTSYGSFVTHSRGCFLYFSMDKIIVMLFKTKIRKVLAS >Et_5A_040334.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:15089399:15089518:1 gene:Et_5A_040334 transcript:Et_5A_040334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIIGYCLEYLRLHTKLTQTPFLMPFKNFPHLFSTNIF >Et_9B_065002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20400863:20405265:1 gene:Et_9B_065002 transcript:Et_9B_065002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLPTHHQSTMRLLLLLAAAAASLAVTAGTRYNAASTCQKSYPCGANVNIHYPFFLPDATTAIDGYAAALSYCGYPGMAVACENGRATLKLRDRDYTVLEINYDNHTVTVADADVLGGAGGCPRVTHNVTVPAETWLNLSTTANENLVFFFDCAFTVAVQRPPTLPPPINCTGFPGRDGESFVAAEPDVRPRDDLPQSCKAVVATPVLKYWLLDKDYSRRLNDDGYGKVLKQGFQLTWDPSAGPCFICEDSGGQCSYSQTGQFVGCLCPDGRVRDPGCGVSSFLKKIVANRISSEIRVGNPYATRPNQFTVCVRDMLPTSKSPHLDDANPSISPGPGAPRLHLHSLSGLEISSSRRIKSKAGSVITALLSLALSMWAYRKKFRSALPLKFCRGSQRTPRIESFLQKHEAQHPKRFTYSEVKRMTKSFTHKLGQGGFGTVYKGNLPNGRQIAVKLLKNSKDNGLEFMNEVASIMRTSHVNVVALLGYCLQGSKRALIYEYMPNGSLERFTFGQNPEGENSLSWDKLFDIIVGIARGLEYLHRGCSTRIVHFDIKPHNFCWTKIFISDFGLAKLCMTKESIISIDGARGTIGYIAPEVFSQQFGQISSKSDVYSYGMMVLEMVGARRNIKKNSETSSKYFPQWIYENLEEYRTVQDGEISVDTELVRKLIVVGLWCIQLQPNNRPSMTRVVEMLESRANDLQVPPQICQVFLYLATGNASKTLNKQQLEVATHL >Et_3A_026381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7423873:7428129:-1 gene:Et_3A_026381 transcript:Et_3A_026381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVLESPLLGEFIAYLKANWTGRSRVDQRRRRLRQLVVKVRAVTDAASRHAVRDGSLAAWLHMLREEALRGEKVLDAACCDAAAVAGSTRRFLSGLRGLVVCSAEVDRLTEAVEELERLAGPGGDLDHFLKVLRLNGADAGRATAEAMEVDGAGAADRQNRQEASGSNSAEKRACGSGVDGGASSSSSHGQGDSVVEQRKRRVLGWAWPHHWPLPTFGGLFAAHRAPQPPAPRPPQCARTVALAMARVRRRIGKPAGQRRRRQQRRRENLDQHLSRLSLDEALRGEKVLDAERCDAAAVASSTRRFLSGLRALVVCSPEVDRLTEAVEELEHLAGPGGDLDQFMRLLQLDNDVRAAAISEVDGRRPVGAAQRQEEESGGGTSSVVAPAGAKRKRACGSGVVEEGGSTSDGQVLHSVGLRRKRRVLGWAWPRNWLPTFDGCFSAPRLQPPQPAASRSHRAWTVAVAIVRVRGRIGKPTGRKESIDQHFSRVVTL >Et_4A_033370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2231222:2232832:-1 gene:Et_4A_033370 transcript:Et_4A_033370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARGFRKKGYIPLTTYLRTYKIGEYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVNGRIIRKRIHVRVEHVQPSRCTEEFRLRKAKNDQLKADAKARGEVISTKRQPEGPKPGFMVGGATLETVTPIPYDVVNDLKGGY >Et_7B_054216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17806743:17811197:1 gene:Et_7B_054216 transcript:Et_7B_054216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLLSALLLLPFFASVAGRSDTALLTPSLEFHHRFSPPVRRWAEARGSHLPGGWLVPGTAAYVAALAGHDRHRAMLAGDEAPPLTFAEGNATLKVSNLGFLHYALVTVGTPGQTFMVALDTGSDLFWLPCQCDGCTPPKTAASGSATIYIPGMSSTSKAVPCNSNFCNLQKECSTTSQCPYKMVYVSAGTSSSGFLVEDVLYLSTEDGRPQILKAPIMLGCGETQTGSFLDAAAPNGLFGLGIDEISVPSILAQKGLASNSFSMCFGHDGIGRISFGDQGSSDQVETPLDINPQHPTYTITITGVTVGNNPADIEFSAIFDTGTSFTCLADPAYTSITQSFHAQVQANRHVADSRIPFEYCYDLSSTEAKIIGPDISLRTVRGSLFPVIDAGQVISIKENEYVYCLAIVKSMKLNIIGQNFMTGLRMVFDRERKILGWKKFNCYDSDRSNPLSTNSRNSSGFSPKNYSPEETKNPAGVTQLRPMNSTSPLTLYDSLFLMLLLVFGALMI >Et_3A_024997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2660152:2668956:1 gene:Et_3A_024997 transcript:Et_3A_024997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAAVEEEVIIVGAGQSGLAAAACLSLRGVRSLVLERDDCVGSLWRKRSYERVRLHLAKQYCALPHAPHADDAPTYLPRDDFIRYLDAYAARFGVRTSLRREVRAARFDAGRRRWEVEAVDLGSGAAERYAARFLVVAAGENDEKFVPEVPGLDGFPGKMMHAAEYKSPEGMRGKSVLVVGCGNSGMEIAYDLADAGAVTSILVRGEIWNVAMAVHTYLPWWLIDKLVLLMCAVVFGDTAKHGLRRPAVGPFTMKCTTPVYPVVDVGTYAKIKSGEIRVLNAAVKSVRGNVVEFADGRQHPFDAIVFATGYRSTVRRWLKSDDGLIGDDGMAARSYPEHWKGENGLYCAGMVRRGIYGSYEDAELIAEDISKQLRPQAQTNGNKHKMAAAEDAAAPEVIVVGAGPSGLATAACLSLRGVRSLVLERDDCVGSLWRKRAYDHLTLQLHKRISALPHAPHPDDAPAYLPRDHFARYLDAYASRFGVRMRLRREVRTARYDEARGRWEVEAVVLGTGETERYASARFLVVATGAHDEKVVPEVPGLESFPGTVMHASEYRSARDLKGKAVLVVGCGNSGMDIALDLAEAGAITSIVVRGELHLMTRGILNVGVRLAKHLPNWVIDKLVLFLCYLVFGDTSKHGIRRPAMGPFERREKCGAVPVMDAGTYDKIKRGEIQVVPAMTSVHGNTVQFADGRRYAFDAVVFATGYRSSVKRWLKPCDVQDDGGLIGDNGLPRQGRIKGENGLYCVGLSMRAIEGSSADAEFIADDISKQLRRRIGRCMAAAGVGAPPPPPPPPEVIVVGAGQSGLATSACLSRRGVHSVVLERDDCVGSLWRKRAYDRLTLHLPKSASALPHAPHPDDAPAYLPRDHFIRYLDAYAARFGVRPRLRREVRSARYVDSGGVGRWEVEAVDLGTGAAEAYQARFLVVATGTYDEKVVPELPGLESFPGKVMHASEYKSAKGMEGKAVLVVGCGNSGMEIALDLAEAGAIASIVVRGELHILTKGILNLGVRLGMHLPVWLLDKLALFLCYLVFGDTSKHGLRRPAMGPFARTQKTGALPVIDVGTYNKIKSGEIQVLPAIRSVHGDTVEFADGRQYPFDAIVFATGYRSAMKRWLQVDDAELISDDGNVRQRRPKAKNGLYFAGMSGRGIYGSGADAEFIADDISKILRPQVEHDH >Et_4A_035050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9081455:9083421:1 gene:Et_4A_035050 transcript:Et_4A_035050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMSAMKRVKGRAPLSVVVAIIGGLALAGIIFTEDLRSITEIMEKKDKEKEKKRTSLPARTRKLTRLAVAEEAPPPPPAKKKAFDPSRCSVTDGYWAYNRSKKLLYTDETSPYIDRQDSCQRNGRPDSDYLYWDWHLDDCSLPRFDPLRVLEQLRGKRMLFVGDSLLLGQWLSFVCLVNSVVPYTARSMERSSSLNVYTATEYNATIEFYWAPFLVESNSDRNIRLGADGRVLHVDAIEAHACRWAGAHVLVFDSYVWWMTGSRIKTVWGAFSDDGYEELDAWVAFRLGLKTWANWVDAHVDPNATRVFFMSISATHMRSEDWGRRPGGVRCYNETRPVTRKGYWGSGSDRRMMAVMGDVLARMRRVPVALLNVTQLTEHRVDAHVSVYTEAGGEMLTDAQRAEPEEHADCIHWCVPDAWNQILYAHLFL >Et_2B_021904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6741109:6744997:-1 gene:Et_2B_021904 transcript:Et_2B_021904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAAGLPALLAAALLLNFFCASASAASSYPARIAGKLVSTTASALAKRLWSLKSAATSTGNRRGSSPACGVGFLSGFWFRRFPSLGLVFLVSAAAVAAGRSMVRYEGGYAVDTVFDGSKLGIEPHAVEITPAGELLVLDSINSNIYRVQLPLSRYSRPKLLAGSPEGLSGHVDGRLREARMNHPKGFTVDDRGNIYVADAMNMAIRKISDTGITTIAGGKSMRGGHIDGPSDDAKFSTDFEVRYISSSCSLLVIDRGNQAIREIPLNDDDCAYQDEVGFPLGIALLFAAGFFGYMLALLQRRIFGMASATDTPPTPSMASIPAYQKPLRPYVHPPLIPKEDEAAKQETEEKFFTSVGKLIGGAKSSVAEMFGSPFSKKKRLSSQYHHQQRRPNHWPVQESYAIPHDETPPPLDSRAPTPQKNYTLMTKEPQNTFYEQYGMRYRNNYIGYNTNNNY >Et_1B_010355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:67448:68811:1 gene:Et_1B_010355 transcript:Et_1B_010355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQEDASRVLPGGAEEYVRDSIHSSLGLPVSDRSLRLKLLASEDQRRRLQDHVFALEEDLRAAHRRIELLKAESAMNAAGLRRCVEDKEALTAAYNDLSAKSAKECALYERDLERAMESCDELARENDQLRARLKQDATLEALTAQVQDLQKHKETLKMNLDIAEAEVITLSEDNSVLDKENKRLLRQLEKERQRRSERKQSASTSAKNKRKSSSLKDGSPVGRAIDFSPVEADSSRHPLSPLPHNSPDYRAHKK >Et_7B_054670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3284025:3290128:-1 gene:Et_7B_054670 transcript:Et_7B_054670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAPPSFSLGIDLDDEDPPPAAGEQARGCAAPDPPSFSLGFDFDDDEDEPQLPAGGREAEQAPVYAAPDPPSFSLGVGLDDDEEPVEGRREEQLRPYEAPDAPSFSLCFDDDDEFLPGGKCHEEAQPQVAPRAPSSTGIEEEDDFVLAAGKPPTPPETNRFKRLRRGPGPPHPTPTPQVRRYEAPDAPSFSFGIDDDDFLGGDQHHEQSRPQAASRVPQSVCVEDSEDDDPQSVCVEDSEDDDFILPGDPRSQQVKCGMLNPDPLPAPGTRRLKRLQRGPEPPHLAPKPPPPKAPKLAMTEASPVVNGKGALDGIGSFEDEIEDFTDDEQPTRDVPPSVGSCSSNSKFSLLNHGVLMSQSATKTKISKLKQQSISSASTPLEESCTKKLLPKITISPLRKIHLLDSDTDADDDQNQNKANKPASPLKSRQESMNKYIGKDSTLQKNSKSQRSTAAWKSEAKVHENWATPALDEFCSEYFNSIKESVQPQQKESSSFSRSNVSHLYQGSSNGAVLDENPADNSPPAIHYFFHQDPMVRDLVRERLQHFFPIGTESTRENEQRRAENISYGLARVELLRMGGLLQEEVFLFLLMSAEEEYMPVELNLALGIGILGRMEERKVAGDFTSSGRKVRLRKAHPEANEVLPNLRKNQLRQSKAQVEPEECDEVKMHICFPGLQKGQGFANSDSVFGLLKVFFRESTQGRKWRTSQQLLDVRAPHLHGLDLALLFPVPFHDADVAAGAGFQILCCLDAIRTGHLDPVQGRRPGDTDVARRRILLQRRLDPVHGRRLGRIVLHPDRPLPDVAPAQHKLPLRRSGSALPCLHALLHDSIYLAVAVAALSLNTTSIDLPHHIASSPPAGLPRWNPNRLYDNKATDTEFVT >Et_8B_060195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7026051:7030238:-1 gene:Et_8B_060195 transcript:Et_8B_060195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARTPSPPEPAPRARTRLAQAAAAAAAADAVICLWLASMWLTYFAMAALDIGRIVCGEGCRVVAAASKVRLVALLCLVFPSPVAMLLYISRLADYCTASAATEKVMQAPASKSLGAALREGFRDRTVLGVLASVPFMVLMFLGDMAKMSSPAGKGCLISAVGGLGVDAMFCCLVLPTLTRMLTSKISITFNFGN >Et_2A_015598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15859015:15864807:-1 gene:Et_2A_015598 transcript:Et_2A_015598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLAFRCGRRGTYPAHASQGQGFDLSNIANASSCQNFSWSFRFSPYEGHAFSLTMRAQRRKKSEPFWSSMVVRKWLNIKPKLNDFSEDEVDTESEDNDGTYSGEDSVFEIHDNKYSINKSSGEKTFRPLRRLRRRKSESLRVNYISNKDVRVMIGTWNVAGRTPIEDADLDQWLCSQEPADMYVLGFQEVVPLNAGNVLGAEDIRPIRKWEAIIRRTLNKFQQPKTICKSYSAPPSPLLGSVASGNGRVYSKLEPEDEVTRNLNQSKDRQTNVPELSCNWLHRTSSLDWPEHPLDTPSKVLISGKGIRRVMSFGLSSSSFMEYPQGNEPQDVALQVGLKRQYHSSGNLGLLWSEQQEKFDVLNSLDCISDCTSEDDSPSTGTVEECANHREREFSEPRANYVRIVSKQMVGIYVSVWISRKLRQHVNNLEVASVGVGLLGYMGNKGSISISLSLFQTRLCFVCSHLASGHKSGDQQKRNSDVVEILQRTRFSSFFAAGQPLMIPSHDRIFWFGDLNYRIDLADAEVRRLVAMKRWGDLLKYDQLTKELTSGSTFVGWKEGLINFPPTYKYERNSSRYVGEVPKEAEKKRSPAWCDRILWLGKGTKQLSYWSSPSLNLSDHRPVSSLFLVEVEVFNQRKLERVLKYTNPRLDSKENIR >Et_6B_049777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11010815:11014745:-1 gene:Et_6B_049777 transcript:Et_6B_049777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRLKRKQSTGHGAVLRSASEPYGLPEGVFSLYPPELSMHGARNKSLTHTFLEPCSRKNKVSWVNHSYTPDLDGYLWRKYGQKTIKDAWYPRCSYNEERRCMASKLVQQLNNHDSPLYEVTYMYEHTCKAEPVPAPDVVAAAAAASVPAVSAGLVLNFGSSGSGHQQGAANKQKQPQHYHQWQSVPPGQSSMMNFDSSNGQLHKKPAFFPPDFQPSACNP >Et_8A_056279.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19582456:19582725:-1 gene:Et_8A_056279 transcript:Et_8A_056279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRFRSPPERPRTPRTPPTAVWATLASPSSRATPSACARFSPADSARGSRSSAVYQSVSATVRCGYSTSCCVTKPVRRFTAPEKGWPL >Et_2A_015030.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:26728782:26728997:-1 gene:Et_2A_015030 transcript:Et_2A_015030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AWRREHQRRLLLVAEPCPGRRPPLRVLAAAVQPRVRVPRHQPPHAPAPAAAARLLPLRALARRLPRYVRICV >Et_8A_057306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23418341:23422201:1 gene:Et_8A_057306 transcript:Et_8A_057306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LQLPRIVLDIYDFLPGGEKWYDKYFGFDSDLSDDEAPDGDYDSDDGGRRDDCDDDELSEASDKLVNAATAVLKQQRTAPVVCTAELSITARFLDDNPDEPLLAAYEQRFGCFFRGCPAAFRCLTRLTMERVKLDVVDLDSVLAACVRLETLSLYECGDYHGQTWHARHERLAEITVHYCGFEVVDLAWLPRLHRFTLRCWPSRFSSLKMSEWDAMRDWVLSTTPQPASFGHVPSLTTLTLSNDDYRNGSVRHKLSHILANTAVTDLRLNFKGTNIWIIPESQKPFNDVFRNLKFLKVRSVYETCGLRLDHVPSPSRTRPKGALHQGTHYNLIRFTIRGCYNIEEIIVPYTCRLVEAAVNLKQIYMRESTACPDSDHYPGLIQH >Et_6B_048453.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6410509:6410649:-1 gene:Et_6B_048453 transcript:Et_6B_048453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLRDNLTLWTSDTNVSFLLLGIYFVHACDGWHLTLVIILHYSCK >Et_6B_049199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19284756:19287307:1 gene:Et_6B_049199 transcript:Et_6B_049199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQGGAKPTITFAGRFTASAIAACFAEVCTIPLDTAKVRLQLQKTVVPAGGGAAVELPKYRGLLGTAATIAREEGAAALWKGIVPGLHRQCIYGGLRIGLYEPVKSFYVGEGHVGDVPLTKKIAAGFTTGAIAIAVANPTDLVKVRLQAEGKLAPGAPRRYAGAMDAYAKIARTEGVAALWTGLGPNVARNAIINAAELASYDQVKQTILKIPGFKDDVITHLFSGLGAGFFAVCIGSPVDVVKSRMMGDSSAYKSTIDCFVKTLKNDGPLAFYKGFLPNFARLGSWNVIMFLTLEQVQKVFVKKQ >Et_4A_035179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10675809:10678376:-1 gene:Et_4A_035179 transcript:Et_4A_035179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTEPRWRMNSSFSPPTSRRWDCRYSSDGLPHRVHDAPHDHPPYMSSSSHSKGSRSAFGSDQYLNYHHSVSDGALSYFGSPADSVQAPRWTPSLQRFDLGEFSTPTGGSRPETSDYAQSSERHLTAVSSFSSASPFSESSQLASSSKQPGSYLPRNHISRRSFMSKPVYPLVFRNPVSEAEAFRMPEASNAGRTTPSDDSQASPLWRRSLASPDLKFHNALSELGKIEASPEPNTSSRREGFRWSNASSYDVGYDGDAIDISDHISVESQRSPTSSVRFLKCGMCERFLRQKSPWTSNRIVRNTDMPVAAVLPCRHVFHADCLEESTPKTEAHEPPCPLCMRAADDEGCVSFSEPLHVALRSARRNLSLGDGAGGSSSANPPRSDHALKRNHSALVPRQSGGSLFRNSFKRRFPFKGRIGKEFFGGRVFNRVGSSSSASQQENHQRPAVKSDRSMK >Et_8B_060174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6835086:6835826:-1 gene:Et_8B_060174 transcript:Et_8B_060174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAVVIQHPGGKVERLYGQATAADVMRSNPGHYVALVVLRVSGPAAAAAKPDPAIAAVAGGGGGAARITKVKLLKPKDALLLGQVYRLITSQEVAKAIQARRQDKMRRCEEEETPDDTPRRRPGHAAAGAGRQEQARPADQQERKRPEKDHRHRSSGRGRHWQPSLQSISEFPASK >Et_2B_022024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8115080:8123862:-1 gene:Et_2B_022024 transcript:Et_2B_022024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCGICGHYHKYEEGEVCGVCGHRPPAAAGAGARPHDSAFPSEITVPLCQNLYRNSFIYHCLQDDKTLQFDEAIQFLEQCEREKARVLVHCMSGKSRSAAFVIAFLMKSRVWRLAQCFQWVKERRPQVQLSDAAQQQLIEYEKKLFGSNISVPALSFAPTDSFPSLGFGFPKPAGDVQVPTFNHQAPASIFERVTPNNIPTFTFGSERTNEVKLPDSNNFGVVTSSGVVHTRQQKSFEPMAKHAAVRHSDHFQAQDWTDEAPAELLQRELVAFINDRSRHLHAELNLQSTTSAEGQRSRGEAELTLDPGCSVFVTRTESPPPDVVSLSSTAAEAMGSRRCEADAEDVEREREEEASDQLFHETETARSEEKRVQGRGAEATPAAATVASSMAAAQSEVRQRGQCAGVWEEKTRRGD >Et_9B_064658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17455015:17457288:1 gene:Et_9B_064658 transcript:Et_9B_064658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAEAATECMFMIQAMASQTGGGTGGDASSVHRGQGQGPSRQGSLYNLTLNEVQSHLGEPLLSMNFDELLKSVFPDGVDPDGTVAGNPELIAGLQRQGSITMPPQLSKKTVDEVWKGIQDRPEILAEEGGQRRKERQPTLGEMTLEDFLVKAGVVTEGYVKDPIDLPVNMETVGSSVVGGTSNSNPGAQWLQQYKRQALEPHQPSLGDPYMANQLAPQPLSVAPGAFLDSMYSDGPITSPMLGAFSDPQTPGRKRGASGEVADKVVERRHKRMIKNRESAARSRARKQAYTNELENKVSHLEEENERLKKQKELDEILSSAPPPEPKYQLRRANSAVF >Et_6A_046330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13038429:13040301:1 gene:Et_6A_046330 transcript:Et_6A_046330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NEISERIVSASVTANLIIYLTTKYHLGAASSAIIIFVYQAAANFLPFCGAIVSDALLGRYLMVTLTLFFCTIVRASNDPLSRTLLKIVIAFTHYEGSTLLCLTSVIPSLTPPDCTLPNQVCFSPSALQLLALCASLGLMSLGASGVRPCCLAFAEDQIAHWDAARKDHALRALFSWYHVSVGFSQMVAVTVLVYFQDRLGWNVGFMVAASTMALVTLVNLAASPFYVKVKPQKSMWASLLQVVVVAVKNRHLTVPATNHGVQFHTTAGSSQLVPSEKMRFLNKACILRTQAGSTNNEETGNTSSWSPCTVEQVENLKSALSVTPMWSAMIASFLVQSSSFGVLQAATMDRRFGTTRFEIPAGSISIFEVVTFTVWSGCYDPYVVPLLRMLTGRQRVLTLKQRMAIGVSLCVASMAVASAVEARRREAPHMSALWLVPQYVLSGLSGAFGAIAQIEFYYAVLPKSMGSLVLALLFFGAGVASIMSTVIVKLVNVVTAKGGAAAPWISDDLNRGRYNYYYLLLAVLGAVDLVYLIVCACIFDETAQNMSLEPGDDVEA >Et_5B_045690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7571319:7575143:-1 gene:Et_5B_045690 transcript:Et_5B_045690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFGVQFTDDGHGISGVVTWSCPCLQDLELQRIEGLKVLFLVSQSILSLRLIRILDLERLVVMATCAVLERLHWQDSSPGDIDYLSLPSQLLKLSIIELPPSYLVLCEGHRSHCTRILRIFERVGTLHLEMPVAPVGNIGPFCDANCDCRTLQAWEDRRVGLGYLRRLRPSLLFQIKAIRARENKRVATFDAHPSKGEQKGISDVDHRALSLPSGPGAARGSQVLFLLSQSLLNLRLIKIVDLERLVVVASNLTEMQVIKCFVVTMEPTLMLLSLPVLEKLHWQDSSPGEIHYLSLPGQLLKLQIFCEGRQSHSTRIIQCSERWQYHPIM >Et_2B_020143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17234755:17238144:-1 gene:Et_2B_020143 transcript:Et_2B_020143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPYTSFFKNHHHHHHHRYYYHPATPPPPAPAFTSPATAYSLQFFPTQPAAATPPYAPPSPPLREALPLLSLVPASREEEQRGRRAEVDSDEDGDDEEEEEAGPASSNHGRSHQRAGGFFADLNAKATGDPMDMDSASGGGPAAGDVTVALRIGLPTTIAGAADLVSGLSSRPRPPQDDGAEDDEDAANDRENGAGEGEEEEEAAAAPLGFPSTPIGRLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQS >Et_5A_042569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20638830:20643482:1 gene:Et_5A_042569 transcript:Et_5A_042569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTAIGILNKQGRCVIMRPIEDNNEATDNGSNGNDEEANKGNSLLQDKDVGQLYLIPCMDHCLNNGSEKRKVDGNLFAHQPSNLQHACIYVSIGGACSTAVDNIS >Et_7A_052605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9736016:9741127:-1 gene:Et_7A_052605 transcript:Et_7A_052605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLLPRGLLSTTAMACASGSAAAGRTLVPLNPARGRLASPLARLPLPAGRVFRGVSLRCYAAAAAEQHRIKVQNPIVEMDGDEMTRVIWKMIKDKLILPYLDVDLKYYDLGVLNRDATDDRVTVESAEATLKYNVAVKCATITPDETRVKEFNLKSMWRSPNGTIRNILNGTVFREPILCKNIPRILSGWKHPICIGRHAFGDQYRATDMIVGGPGKLKMVFVADGAEPVELDVYDFKGPGVALAMYNVDESIRAFAESSMAMAFSKKWPLYLSTKNTILKTYDGRFKDIFQEVYEEKWKGKFEENSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLLSSDGKTLESEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRGKLDKNERLLDFTRKLESACVETVESGKMTKDLALLIHGPKVTREFYLNTEEFIDAVAQQLRGKIEMPAAV >Et_6B_048698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12772534:12776311:1 gene:Et_6B_048698 transcript:Et_6B_048698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGWARGAAAFAAVALAVGAGRRYGWDGESAVAAFRRARGALGPWAAPAYVAAHALTLALCPPYAIFFEGAAALIFGFLPGVACVFSAKVLGASLSFWIGRAIFRYFTSAMEWLQRNKYFHVVVKGVERDGWKFVLLARFSPLPSYIINYALSATEVGFFRDFLLPTIIGCLPMILQNVSIVSLAGAAVASTTGSKKSNIYSYLFPTIGIVSSILISWRIKQYSSALAIPEELKSSSSNGNANGGAKQVSEPSKNTSSGKTKKRR >Et_1A_009622.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:9775375:9775938:1 gene:Et_1A_009622 transcript:Et_1A_009622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMWGRTSCSSTLCPRGSWHSRRLASSWPRAPPSASRSGQVYAAFLRPCKPLRRRYGEWAVVTGATASPPPGSGSSLPAATRTSSPRRRPRSVNAKHPDKEVRTFVLDLAAGVEALKEAIRGLDVGVLVNSAGVCYPYRTRATSTRWMRSSCAAWSGRVNVEGVTRVTCCPAWSRGSAAPSSTSPA >Et_2A_014674.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33842401:33842802:1 gene:Et_2A_014674 transcript:Et_2A_014674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHREKISLSGVLNFVDGLWSSCVGERLVVFTTNHVDRLDPALLRPGRMDRKIELGYCTAPALRVLATNYLGDDDDHHELAHDYKGLMREAEMLLEEVPVTPADVAEVFMGCDGDGAHAALQKLVHDLNRKKIC >Et_4B_039987.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7205101:7206837:1 gene:Et_4B_039987 transcript:Et_4B_039987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKHRGSLPDCAGRHHHLVLLFLLLAICFCAGGIAPASGAGGSWSLLQRSIGVSAMHMQLLHNDRVVIFDRTDFGPSNLSLPEGRCRRNPQDKALRVDCTAHSAEYDVASNAFRPLSVFTDTWCSSGTVAPDGTLVQTGGWNDGYRNARVMRACGGVDEEEDECDWKETQDALAANRWYATNQILPDGRAFIIGGRRQFNYEFYPKAAPSDTAVFQMPFLVQTRDPEENNLYPFVHLNIDGNLFIFANNRAVLLDYKRNRVVRAYPVLGGGDPRNYPSSGSSVLLPLKPNPTEAEVLVCGGAPAGSYNSAKSNGTFVPALATCGRIRITDAAPAWAVEAMPSPRVMGDMILLPNGAEVAILNGAADGTAGWEFARTPAYAPVVYRPDHAPGDRFEAQTATGVARMYHSSAVLLRDGRVLVGGSNPHVYYNFSNVRFPTELGLQAFSPEYLDPANDAFRPTILDPAPAEAAASVAYGKTMVLQFAVPAAARRGRRRGGGGLGVVSVTMVAPSFTTHSFAMNQRLLMLEVTGTAPLPNRADAFSSTVTMPATAVLAPPGYYMVFVVNGNIPSEGVWVHIQ >Et_5A_042956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7783595:7787048:1 gene:Et_5A_042956 transcript:Et_5A_042956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVHQTLNRPGTSESPRQLLGFTEDLLWVVLLLLASPIDLVRASAACTSFRRIIADPEFLRRYRSIYPSLFLGFLGQYRFHPTVAPDRNAPAGRALAGAGGGFNFNYIPLNGRRPWQISDVRDGRVLLVSVNHFTLFPGLAVCDPLSRQYVRLPAIPNDLVASVQVQGHNLESIHAVLVPSGDQEDTAFKVVASPYYREQTFVAFIFCSGSGVWRVGAPTNRDALSLPLFPVGSTLLARHHYAYGCFYWQLSGKNKLIKLDVNNMKFSSIHLPPDHDMRLPVIVEAGQGLLGMISRTYQGSGPLFYYTISQSGGERSNEWKMEKTIPVPTAEFPTLGVSDYISCRINSLPSCSGLLLCNAVDALEHH >Et_5B_044671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4430430:4433651:-1 gene:Et_5B_044671 transcript:Et_5B_044671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAEAHTSLLVKDDASCHDEESQSLLEPHQGLQQSKCPDWRAPALVLGLECLESMAFNGIGTNLVVYLRSVLHGGIASSASTVSLWYGTSFFVPILGAAIADTYWGNYKTILISLIMYLLGMVLITVTTFMAYTPALCNEISCLSSNGFQTTLFFAALYVTAVGCGGLRSALLPFGADQFNNENSLDMQKRRNFFSSFYICVIFGVITSGTIIVWVQENVSWTIGYGIATVCIGLALIGFVAGTPVFRQHKPSGSPVKNIFQVVVASFRNMGLEVPADSSLLYEVRSKNTQRRPKLAHSDDFRFLDKAAIISDQSLANGSCEGSWRLCTVTQVEELKILIRLLPIWVTGILFGAAISQMHTTFIQQGTVMNTKIGSVSIPPASLYSFEVICVTLWVLVVNKVIVPATRACFANGAELTQLQRIGIGRFLMIFAMALAALLEMKRLESVQAGKPLSIVWQLPQYIVIAGAECFAIITQLEFFHGQAPDSMKSMLTAFALLTTALGNYLSSAIITFLASVTRVWHNPGWIPDDLNKGHLDYYYWCLTALSLANFFIYVYFASKYKLKKVVIN >Et_8B_059337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16880558:16882938:1 gene:Et_8B_059337 transcript:Et_8B_059337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAARCPFLWRTPGAPVAALRGAVSSSGMRRRLSAGAESSGLRPPAYGGLLLDAGGTLLQVARPVAETIKEGFKRAFAAPWPKTLRCQGDGRPFWRIVVAEATGCTDDDYFEEVYQHYAHGDAWRLPDGADTTLRELKDSGVKLAVVSNFDTRLRKLLKDLNVSDMFDAIVVSSEVGFEKPAPEIFKIALDQIGVESSKAVHVGDDETADKAGANAIGLECWLWGEDVKTFSEIQDRNLTAGPQ >Et_9A_063542.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:6832974:6834155:-1 gene:Et_9A_063542 transcript:Et_9A_063542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGEGAHAPPWQQHIPASGGGVVDGDDAYALLAALRRYLPSNEAAASYEEDDEEEAAVAALDAYACDEFRMYEFKVRRCARGRSHDWTDCPFAHPGEKARRRDPRRYHYSGAACPDFRKGGCKRGDACEFAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPEQLRVLPPQHQHGSALSSSSSSPRGAESYDGSPLRRQAFESYLTKSMMMSSSPTSTLVSPPRSPPSESPPMSPDAAGALRRGSSPGVGSPVNDVVASLRQLRLGGGSPRSAPSGASFLGAGYPYGSPKSTGLYSLPSTPTGPSPVNVTTSSGATVMTVERLNLGLLEEVEPVMERVESGRALREKVFERLSKDASVPNDTAAAATADGAAPATAPDVGWVTDLIN >Et_1B_010119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24798285:24799504:1 gene:Et_1B_010119 transcript:Et_1B_010119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSSEPAGAGVKRPRERIRIAFTHDYEETSVLGSGGFGVVAKARHRVSGEAVAIKRVRYSSDDDGRSKEKGQAEVKREAQFRDACKGHPFVVGYHGLARDLANSELCLLMEFVAGPSLHAYLLAQRQPLPEPTVRSLMWQLLTGARSMHQRHVVHRDTKPSNILLAVAGDNQSVVRICDLGLAISTKLPPPVPEMLLGKTDYDERVDAWSLAAVRGRRRRRQRRGGGGGPAGRDLRRARRARRQDVARVQVAAARRRGDQEDEEETDQAQEPAARHLPGGVAVQARVRRPQRTPHQQPCQAAHGGRRAQDAVVQRRRSAFVAAQGGRPSGAGRAQEEAGGDHSTGGDAKEEESRDHTTGDAQHAVSCSMSFHPILSLHPCTVM >Et_8A_056541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1201890:1206349:1 gene:Et_8A_056541 transcript:Et_8A_056541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFTKPLRCAMLLLLLLAAVIGALASTARADLVISKAERRVDLTSHIVRVLASLRVENVGPDPVSQVLLAFPNIQAKNLAAIRAFGSEGKTKGQSIVLPIEVVQPSGAPPELTFFSALLPKPLEKGKTLHLDVLTVFTHSLQPFPEEITQAEAQLVVYQDNAHYLSPYPVKSQILTIRLPGGRVESYTRQPNAKLVDSELKYGPFTDLPPFSYSPVIVHFENNNPFAVAKEVVREIEISHWGNVHITEHYNIVHGGARLKGEFSRIDYQSRRYIRGVSSFRHLIARLPLRAHSIYYRDEIGNISTSHLWGDSKKTQLEIEPRFPLFGGWQTTFTIGYGIPLQDFVFTLNGKRFLNITFGSPMEEVLIEKLTVKVVLPEGSKDIEVSVPFPTKQSQEVKYSHLDIVGRPVVFLEKPDVIPEHNLYFQVYYRFNNISLLREPMMLITGFFLLFVACIVYMRTDMSISKNSPSYLAKLQLDEVQATMQKIQGIFEQYLAVHDKVEASLRDLSRTGDIQTCKAARKAADAQFKELSKELKPLLTSLQSSPQSYQIWPKVDDLITKEREMQEKLVTRHSTVVDSFEKKLRGQDVENRIAQQQQKIADLRQEITYLLEYISEI >Et_5B_043983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18536872:18540308:-1 gene:Et_5B_043983 transcript:Et_5B_043983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PIVRESRKNMMASAAAPPPLLTVGDVNAKLLAPRAVMWAVAVYLPFMYVATTAAVAYCFYPGDSFFPVPCWLPPLMLWGVYMAVLSEAVMCMHLFLPRAPFAVRQSLFNVGMYWVGLPLACLVSLVAGLDRPWMAVALSCLMVVVIAGVVAFWVWLARKYLAFFLPPASIAVAAFVPCCMCNSDSVIPGAADDVVPAAWWQLPPVMLRGVYMAVVTALLFLPRRRLRSSSLCSS >Et_2B_021204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27391495:27396235:1 gene:Et_2B_021204 transcript:Et_2B_021204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAACDDAVELLSRLVDKEEEPLKKTFENVHQGYPTETLVRFLKAREFNVNKAHKMLVDSLNWRVQNEIDSILEKPIIPVDLYRSIRETQLVGLSGYSKEVNYYVQSHIQINEYRDRIILPMVTKKFGRPISTCIKVLDMTGLKLSALNQMKIVTAISTVDDLNYPEKTETYYIVNAPYIFSACWKVVKPLLQERTRKKVHVLRGCGRDELLKIMDQSSLPHFCREGSGSSKHSPNDPDNCFSLEHPFHQEVYRFIEEQALNQELIKQESLHVNIPEQDPEDAKIVEVIQAEFHKLGEQNGCANGNTKE >Et_7B_055481.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:11539962:11541287:1 gene:Et_7B_055481 transcript:Et_7B_055481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPPQHQGLEIKIPSFFRCPISLDVMRSPVSLCTGVTYDRASIQRWLDSGNTTCPATMLPLPSTDLVPNLTLRSLIAHWATASASCSPTAGAGPADSAVRRSPASLVRMVASSGADPSSALRQLAAHLSDDDVDDFEKNALVGAGGAAEAVASVLRRKGEEEASVEAVEAAVRVLAGIVASDGIEDANKKRVAAGLAADAAASARSLARAMRRGSGGLEARVDAARLAELLLANAAASDEAARAAAAASSELVAELVRLVGAVDEKGVLDGAALDAGLSCLAAIADGGAPRRAARGEMVRLGAVPAAARALRAATDPAAAAKALRVLEAAVGCAEGRAALCQDAEEAIPAVHGKMMKAGRAGAEAAVAVLWAVCHRYRDRRAVDAAAAADGGLTKLLLLMQSGCSPAARQMALELLKIYKVNAKSCLAGYDSKTTHIMPF >Et_2B_021323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28639745:28643354:-1 gene:Et_2B_021323 transcript:Et_2B_021323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLPCFTQLSAPSSSWGNEVGSSKAASGRALVRSSARVPGHCHFRCYASPRSANSFQKKDSFLDLHPEVSLLRGEKNVEVIHPTRGSSDGIPLEGLGVPPDRNDYNEAKIKVVGVGGGGSNAVNRMIECSMNGVEFWIVNTDVQAIKMSTVLPHNRLQIGQELTRGLGAGGNPDIGMNAAKESSESIQEALYGADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRNSVDTLIVIPNDKLLSAVSPNTPVTEAFNLTDDILRQGIRGISDIITVPGLVNVDFADVRAIMENAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEIIYDLVDPNANLIFGAVIDPSLSGQVSITLIATGFKRQDESEGRTSKGGQQLQGDNGRRPSSAEGSAVEIPEFLRRRGPSRFPRV >Et_7A_052263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6225835:6235839:-1 gene:Et_7A_052263 transcript:Et_7A_052263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIAAALRRSCQSAASQGLMEAYVGTCVSSGSSRWLSSCAKHSRKAGISAVYQIKGVDHYSTVHDVSKIKGTPLSSRMDANWLITSKSRYSALPGFLDVAGTSRAYSSDTGIKAEAAQGAVSNIPSTESSNHGSAGGDGSSWIEILDKARKSTIDATTDAGKKVKELTDAITPHVQQLFDANPHLEKIVVPLGGTLFGTMMAWWVMPIILRRLHKYASQSPIAALWGNSTTNDLSYQTSLWCALEDPAKYLITFMAFSEMATLIAPSISTYLPQASKGALVLSFVWFLHRWKTNFIAKAMTSSVDRDKMSAFDKVSSLGSIGLGVMGIAEACGVAVQSILSVATAFAARDVLGNMLSGFSLQFSKPFVAGDYIKAGSTEGKVIEIGLTSTSLINPEKLPVIVPNSLFSSQMIVNRSRATWRASVTKIPVRIEDIEKVPSISEETKKMVRSNPNVSFESDAPYCYLSRLESSYGEVTIGCNLKNMVTMMIPLLERAPRATNVLKPYLRATLREPTLRVAVIDLDRIAHDKLGLTLWQMGIANHGWLNGAGAAAGRAFGRRYRDVMKVEEEMWNETRKLQKNRGQGTTWTKEWCEKP >Et_8A_057872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8541275:8543922:-1 gene:Et_8A_057872 transcript:Et_8A_057872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSAASAAALLLLPILAAAGEGVCPRPPAASAVLRRVSATCPARDSIRGRYAGVVEGDDGALQKAVTLVLQNKGDFVAILFYASWCPFSKIFRTDFQKLSSFFPAIAHFSFEETRIKPRILSRYGVRAFPTLFLVNSTVRVRYHGSRTMNSLAMFYEDVTGMNPVSLDATSLESIADTIDIIDNEKNTEQEDSLFIWARSPDRLLHQDTCLALASSFVLLRLLHFLLPKLNACVKQAWRMQLHELNRLFPGLS >Et_2A_016315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23196600:23198648:-1 gene:Et_2A_016315 transcript:Et_2A_016315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSLVFLAEELKLVTLWIARRIDMLFVIGGNGSHAGSNAIHEEILSSGIGVTFQIKKHFKDIGVPADVKYIDPTYMVRAYRANASDAILCTVLGQNAVHGAFAGFSGITSGISNTHHAYLPITEVITTPKHTWNVLTSSCGCLVSTNPILLHSIQDLLPHEGAILMIDQLCVPSHASSKAIHLRQDNDIVPHYDSTFWPQDAVHLKENVFHAASV >Et_4A_035467.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25914213:25914890:1 gene:Et_4A_035467 transcript:Et_4A_035467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTKQQPAASRRTRRAEQPQAAFAETQKIVSNPIFYCGTGASQLSEPKPPSEQLRCVYGLHDPAAAGSGIGNGKPLPLPPCRAHRAGPAAQFVVGGPRVRVLRRAHHQDPFLAAYVACSKSSGAQAKRQQERRTKTKKAGKGGVVVPGCGGVWNGWAASARHAGAVMSCKNVCAVADNAGVHARDAPAAAAAKKEEEGPTLDLSWAPAVLSARAMERRREQRY >Et_5B_043885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17116678:17122176:1 gene:Et_5B_043885 transcript:Et_5B_043885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATFVPPTPTPRPRLAASFPASRHPPPIFAGASDTALPPEEDAGDIDEAAPRGGGGGKDRRRAVRIAWEKLVRWSRSWRRRNRSDVLETTRKVVVLGGGSFGTAMAAHVAAKKADLEVAMLLRDDLVCRSINRNHVNCKYLREHRLPENVVATISATDALAGADFCFHAVPVQFSSSFLESISTHVDPKLPFISLSKGLELNTLRTMSKIIPKALGNPRQPFIVLSGPSFAVELMNKLPTAMVVASKDKTLASSVQQLLASPSLRISTSSDVTGVEIAGALKNVLAIAAGIVEGMRLGNNCMAALVSQGCSEIRWLATKMGAKPTTLAGLSGSGDIMLTCFVNLSRNRTVGLRLGTGEKLDDIMSSMSQVAEGVSTAGAVIALAQKYNVKMPVLTAVARIIDNELTPKRAVMELMNLPQSCCPRDNNL >Et_1B_014379.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7029694:7031016:-1 gene:Et_1B_014379 transcript:Et_1B_014379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAHPVLLALLSLLLVALRRTASSTPTATFPGDAAALASLKSAVAASSIPPYSCLAAWDFVARDPCAAFPCGLRCYAPPNSSHLRVAGVSLDPAGYSGSLPAAVLANLPFLASLSLAGNRFHGALPAGVPLPPSLRVLDLSGNAFSGAIPGSLFARASALQELYLSRNTFTGTIPPEVASLVALTRLDLQNNGLTGSLPRMDAMRALAHLDVSGNALSGSLLDALPPSVVSVVARNNSFSGPVRAAALSALPSVRVLDLTGNALSGSVPGAAFAHPALQQLRLGSNRLDALEEAPNNGGGSSQLVELDLSGNRIAGRLPGCLGSMPRLTAVALDGNRFTGGIPDRYVARVAAEEATDQWVPFARLMLQGNFLCGALPSQLRQLKEGSAVVSLADNCLPRCPRKFFFCQGAPQKNLAACPKCDAAIHAREHEDSLLEMP >Et_10B_004273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2522681:2525881:1 gene:Et_10B_004273 transcript:Et_10B_004273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSLPPARTPAILPLTDAEKTPFADVATAVLTVFAVPPRSVVPHTYVIITNNLLSQKKSIESWEDIRDRKIIMDRTEDHQSIAETLKRLMLSYYYTPFKVKLCFTYCAVFHKGFAVARDHLIRQWRALGYIQRKCPRAHGDS >Et_1B_010295.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:35488677:35489207:-1 gene:Et_1B_010295 transcript:Et_1B_010295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHVSPGASSPVILVLNRHLPMYGSLGLYLLVTTPSSCFLEIFSFLAGAAASAAVSVVETCARTPRGVGVADTRGNARKWLLHAVREDAAAAPLDRDTAAAVTAAMVARGRGMRRVRGGRTWGWKEVKGRIRIGFVGDRVEGRPADVDQVVFYCHPISSRHVAETNLLCVCLFFFF >Et_7A_051488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19307939:19315763:1 gene:Et_7A_051488 transcript:Et_7A_051488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLVNMFAVLNLDAEDDREEVENPAPSKADTAVVAPNPKLERGSQNKAMIVNYDGENLASSSSDYKMPLVWIDLEMTGLDVSKDRILEIACIITDGLAEQVLKSETSEQDAEKQVLDFIRRYIGSANPLIAGNSVYMDLLFLKKYMPQLAGIFSHVIVDVSSITALCIRWFPKERKEAPRKGKNHRAMDDIRESIKELQYYKENIFKSRRSKPLQMVRGSSSPTSTSSTYSVSASGCRHALITRPTRMSRSPASSSAVGSAFSPPPSAAAWGGGGVAADSDGETEEDEEQNRARLLVDLAADDGGGGMNEPTLARFLASMRGNGEEGRTRAQEANAILAAAIIPAPALVRDSGGAAVGRARQRRQRKGFIRWMEERRAMGQVLHESSA >Et_4A_032710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12852052:12852575:-1 gene:Et_4A_032710 transcript:Et_4A_032710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQVDVVGDACRAKAMSSVAPLCGVKSMAFDGEKLTVIGDVDVVRVAKKLKKAMFSPVVLSVGPEKEEKKPDPPKKPEDKKPDDKKPPCCPGCSCGCRTPPVCLPPPPPVCPPPPVARWPGKVVYCEEQPPGCIIL >Et_7B_054715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3835116:3840320:1 gene:Et_7B_054715 transcript:Et_7B_054715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMQPSLNMPPSFAGASPPSPSPLAGGSGGPAMVQASKEKKMASAEQLVLDLCDPELRENALLELSKKREIFQDLAPLLWHSFGTIAALLQEIVSIYPALSPPTLSPGASNRVCNALALLQCVASHSETRILFLNAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDTEVISFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFFAVGSVLANMVVSLADQPSTRLLKHIIRCYLRLSDNPRACVALQSCLPDMLKDGTFNSCLRDDPATRRWLQQLLHNVTGGGMGGAPQPGLDHMMGI >Et_3A_026494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8745520:8749210:-1 gene:Et_3A_026494 transcript:Et_3A_026494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSGEAEGQDHDRRLLASSLTARYSDWVLEALDELPGSFLLTDPSLAGHPIVYASRGLAELTGYARREVLGRNARVFQGAATDRAAVSGVREAVRAQRAHQVAILNYRRDGSPHWVLLHLAPVFHAHDGRVLHFLAVQVPIAPPAARRGTQRRAAAAASAALATGCREEARVEDDFPCVSHAGKVFVDMDKGGLEAEEPRVASDCEKEKAMNTANSILSALNRYSKLTGLVVCGKRCDSFGIPALSSSLHLSLGRIKQSFVLTDTHLPDTPIIYASEAFLSLTGYSREEVLGCNCRFLNGPGTSLEVFEEINQHICFEKACTVDLLSYRKDGSSFRDLLHVSPIRDASGKVAFHIWVHLDVGTKHDFNGLTPEVWQLGAVGAVRVAIRGLSASGSLLRPSK >Et_10A_000441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10417492:10417858:1 gene:Et_10A_000441 transcript:Et_10A_000441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQQIKGNYLYFSPPPLCEFIEYVDTEQTSEDIAHVYRVAQ >Et_9A_062106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19779551:19780206:-1 gene:Et_9A_062106 transcript:Et_9A_062106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRFHRSFKDIGSILMFVSSLNKKCEGSRLWTSRTDCMLHFAVVQPNLWYGDNTKLTPEQEAAARGFSRACTSGIPMYICTMKMSNVMKRQLAFSRDFSKRYILPRLGYDCCETKVFAGCNRFGSKLNISMAHGELRLLGGWPLFVKENRIEAGHICAFIFEEEKEEEEEEDDGALLSLRVHVLGAVPIPTV >Et_2B_022354.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18982018:18983892:-1 gene:Et_2B_022354 transcript:Et_2B_022354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSASAELDAAERVVMRWDSTASGSSAGGDEPMLFDGGAGRAEAERFLRAVDDLRRLASPATVGSPRRASSAGGALSAVQVAMARLEDEFRHVLSTRALDLEVEALAGLSSLSISGDRRNSDATDAGDDDDSSVSPSVGRRSSYRSMLSIREIDLFPADAISDLRAIASRMAAAGYGRECVQVYASVRKPAVDSALRRLGVEKLSIGDVQRLEWDVLEAKIRRWIRAARAAVRGVFASERRLCFLVFDDLPLFDSTAALATYDAPFAEAVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDALTDLLPDISDIFAASKAAESIYVQAAEIRSRLADAVRGILTEFESRVLHDPSKTPVPGGTIHPLTRYVMNYSNLISDYKVTLSELITSRPSACSRIAAEGNEATPAFPDLDIPDPDSQLPLAAHLVWTIVVLEHNLESKAALYKDAALSHLFIMNNVHYIVHKVRDSSELRGLIGDEYLKRLTGKFRQAATNYQRSAWLKILNCLRDEGLHVSGGFSSGISKSALRERFKAFNAAFEEAHRVQSGWYVPDTQLREELRISVSEKLLPAYRSFLGRFRHHIENGKHPELYIKYSVEDLEIAMADFFEGAPAAPYNRRRSHG >Et_3A_026587.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:60374:60883:1 gene:Et_3A_026587 transcript:Et_3A_026587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMDVASSRLRRRQKERQAQRPPQVVMAAHECPSAMRAHVLEVPAGRDVLSCVAQFARRCRRGALVLGAAGHVVLRGGRTPMQILSLAGCFFPASGAGASSAGTAVFLAGPRGTVLGGGDAQLGGLVAAGPVVVMLATFVAAALDRLPLQPHSPATQLTAAQQQQLTV >Et_3B_031354.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26548285:26548983:1 gene:Et_3B_031354 transcript:Et_3B_031354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKIRQLFARGETQPELATARQRQRGPARSKATRTQPIHLSPTAASPTERNFSSKRPPTGSTAAAAIPTHSNHLHRRSLLLANKAAQIPAPPLAGDHRPPVTPAMPRSAPPSRLLLFVAAIALLLAQTLASPASAAAESADPCAVSVSDGGDDAPSSCPVRCFRPDPVCGADGVTYWCGCPEAACAGARVAKRGYCEVGAGSAPVSGQALQLLHIVWLFVLGAAVLFGFL >Et_1A_007858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38981737:38985792:-1 gene:Et_1A_007858 transcript:Et_1A_007858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYSGHGAAAAAVALVSWLCFTAAGVGAIGANWGTQASHPLSPDTVVRMLKENGFQKVKLFDAEEGTMNALKKSGLEVMVGIPNDLLYTMATSMKSAEKWVDRNVSHFLNDGVSIRYVAVGNEPFLETYNGSFLQTTFPAIRNIQSALIKAGLGNQVKVTCPLNADVYQSSTSKPSDGDFRTDIHDLMITIVKFLSDNGGAFTVNIYPFISLYSDRNFPVDYAFFEGASSPIVDGSTSYTNMFDANYDTLAWALKKNGFGNLPIIVGEIGWPTDGDMNANAQLAQRFNQGFLTHIATGRGTPMRPGPVDAYLFSLIDEDEKSIQPGNFERHWGIFTYDGLPKYQLNMGAANSHSLVRARDVKYLEKKWCVLKPTVSLNDQKVADSVSYACSQADCTSLGYKTSCGFLDIRGNVSYAFNSYYQKNDQDDVACEFNNLATITGQDPTTGSCRFGIMIEVDSAFSWKLQQLRSDFLLMLLLVILQLCLSFL >Et_3B_029980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29633973:29636612:1 gene:Et_3B_029980 transcript:Et_3B_029980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGMKIALHRQVSGGSMKHAAELRRQASLESPRTGRATSRFLFGRQSSMDPNRRRGRSQSPVRPAAEEHLTVPDNLDATMQLLFFACHGDAAGVEGLLRGGVDVNSINLDGRTALHIAACEGHKDVVRVLLKWKANIDARDRWGSTAVADAKCYGQTEVYEILKSHGAKIPKNRRTPMMVSAPGDVPEYELNPAELQFRRGQEVSKGVYVIAKWNGTKVSVKILDRESCSDQEAINSFRNELTILEKVRHPNVVQFVGAVTQNIPMMIVSELHEEIDLSSCIQKKGRLHGQKVLRYALDIARGMTYLHQCKPDPIIHCDLKPKNIFLDSGGQLKIAGFGVIRVSKVGPERVKLVDHEALVDSFSYHTAPELYRNEAFDPSVDAFSFGFILYEMVEGLIQAKSSEDSGHIIRFEGMRPPLKNKLKGYPPDFKALIEECWSPQAVGRPTFSEIIIRLDKIYAHCAKHGTWKDSLKIWSVSLQRFKRFKNSRKSRIYTEP >Et_8B_059481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18292436:18292814:-1 gene:Et_8B_059481 transcript:Et_8B_059481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSRATVCALLLVLLVLSNDNGNGGVSVAEARVCTGKSQHHSFPCISDRLCSNQCTKQEGGWTAGYCHWRVCTCQKAC >Et_7A_051996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3529092:3535429:1 gene:Et_7A_051996 transcript:Et_7A_051996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIIARALEYTLKYWLKSFSRDQFKLQGRTAQLSNLDINGDALHASLGLPPALTVERARVGKLQITLPSVSNVQVEPIVVNIDKLDLVLVEKDESENLSSPSSVSSPSAKSSGYGYADKIADGMTVQVGIVNLLLETHGGVRRQGGATWSPPLAAITFRDLVLYTTNEKWQVVNLKEARDFSNNKGFIYVFKKLEWQSLSVDLLPHPDMFADARFNSSSSEDNKRDDDGAKRMFFGGERFLEGISGEANITVKRTEQNNPVGLEVQLHITEAVCPALSEPGLRAFLRFMTGVSVCLNRGDVDPKAQQHAEAAGSSLVSIIVDHIFLCIKDTEFQLELLMQSLFFSRASISDGESSKNLSCIKVGGLFLRDTFSRPPCTLIQPSMQGVSQEPPPVPDFGQNFCDPIYPFGNQLLELTAGVPMFSLYCLQITPPPSPPMFASKTAITCQPLMVTLQEQSCLRIASFLADGVVPNRSAILPDSSINSLACSLKELDLSVPLDAEEITRCSGTKNTCPHSSFSGARLHVEDLYFCQSPSAQCPLLNLDRDPACFLLWGYQPVDASQMKWATRASHLSLSLETSSTSTGQRAVKDSSANLWKCIELDDIRFEAAMVTADGSPLLDVPPPEGVVRIGVAFQQFTSNTSIEQLFFVLGFYTYFGQVGERISKVSKGNRSGTSKSSAEKIENKLPSDTAVSLTVNNLQLNFLESLSPNDLNIPLVQFGGEDLFVKVSHRTLGGAFAVTTNVMWKAVSVNCLEGESATIHENGTAVTGEHNIMVHENGHPKMRAVFWVDHNCKHLAKEAQFIDINITHVMPYDMRDMECHSLNVSAKVSGVRLGGGMSYTESLLHCFGILGPDGGPGEGLLRGLKDLSSGPLAKLFKSSHLTEKEDGRSKVDDHNSKFDLGVPDDLDVSVELKNWLFALEGTEEVGDSFSPRGTDRISREEKCWHTTFRSLHVSGKSIDRINMGSTEKVLPKKAFPVERFTAGVEGLQAIKPRLRDQLIGKVTSSNHHVGNEFNSVCSVGDQGVDVEATMVFGEDEIEGAKWIMDNVKFSVKEPIEAVATKDELENLAMLCRSEADAMGRIAAAILRLLKLEKSLGQGTIEQLRNLGMDSR >Et_6B_049665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8172790:8184422:1 gene:Et_6B_049665 transcript:Et_6B_049665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTQQEEHVRLPSKGGSQTIPFIIGNSSFTRNSIENIDLISFQIFSIDFYMKTNEGCERIVNAAVSANIIIYLTIEYKMGSATSALVLFAYQAAASFLPILGSVISDTLLGRFLTITLALVAYTIGTALLWLTTMVPKVVAGDCGNGHQSCHSPTTLQLVVLFSSFAFLSIGSSGIRPCSLAFGVDQFAHCNGAQKDRALKVLFSWYYISMSGSNFISITLLVYLQDKFGWKIGFAIPLAIMALVTILNIVASPLYIKVKPQKNTWASLFQVLNVAMKNRHIEIPEACDGVQYHHNSRESALVPSSKMRYKSLLLYLYKISSCVNYCSENNRFLNKACLLQTRADSSNTEVFNANRSICTVEQVEDLKSTLSVIPIWSAMITCALIQQGQSFRVLQADTMDRHVGITKFKIPAGSVAIFEVITFMLWSGCYDRYIVPLLQKITGREIVLTPKKKMGIGLMFSISSALTASVVEAVRRKQAIKQGLQEADGTVNMSALWLAPQSIFSGLTGAFGSVGQIEFYYAVLPKTMGSLALALMFLAAGIANIEATIIVKVVKVVTGRGGRVSWLSDNLNHGHYDYYYFLLALQGVACERIVSAAISTNIIIYLTKEYHMGAATSAGTALLWLTTMIPKLVTDDCGINSQSNHSCHSPTTLQHFVLFTSLAFLSIGASGVRPCTLAFGADQFVHLSGPEKDRALKVLFDWYFVSLGGSQIISLALLVYLQDNIGWKIGFSIPVGLMALVTILNTAASSLYIKVKPQKSIWVSLVQVIFIAIKNRRIQLPQEGNGVQYHNSRGRAMVPSRKLRFLNRACVIRMHADSSDIEVFSANQWNVCTVEQVEDIKQTLNVVPLWSSMIISLLIQQSQSFRVLQADTMDRRVGATNFLIPAGSVPIFEVITFTLWSGCYEKYIIPFLQNITGREKVLSHKQRMGIGLFFSIATALAASAVEAVRRKKAIRQGLEYNANGTVRMSAMWLAPQCVFSGLTSAFGSVGQIEFYYSVLPKTMGSLALALLLLATGIANVAATVIVKLVKVVTSMGGREAWLSDNLNQGHYDYYCFLLALLGIANFMYFLACCYWFEEPIPDQLVESHDEGEETG >Et_1A_006146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18531016:18535534:1 gene:Et_1A_006146 transcript:Et_1A_006146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVRVHLPSEIPIVGCEITPYVLLRRPDGSISNDDVPETAPADGQYRIQSDRKVPICSVHPMEQATIQCLGCLKSKTPVAKSYHCSAKCFSDAWQHHKVLHERASSALNENGAEEEELFGRFSSGGSGVLSTTGSGSLSNFAQSPNNGPMPLYPSGTDKNSGETWFEVGRSRTYTPTADDIGHALKFECVAVDAEKKAPVGLPSSIMTSRVIPAPTPTPRRLIQVNGDVLGHLDLDSQTSSLGTFTVLSYNILADAYATSDAYSYCPTWALSWTYRRQNLLREIIGYHADIICLQEVQLNHFEDFFAPELDKHGYQALYKKRTSEVYSGNPLAIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPAAQKRMALSRLIKDNIALIAVLEAKFGNHGAENPGKRQLLCVANTHINVHQDLKDVKLWEVHTLLKGLEKIAVSADIPMLVCGDFNSIPGSTPHGLLAVGKVDQMHPDLAIDPLGILRPLSKLNHQLPLVSAYSSFARMAGVGYDFDHQRRRMDPSTNEPLFTNCTRDFTGTVDYIFYTADSLTVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRIRR >Et_7A_052816.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:17543252:17543458:1 gene:Et_7A_052816 transcript:Et_7A_052816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAKVALLLVVLVQIVSVLAAAARPLEGDAAGTNGGGGWLESGIGMITQMLGAAKSGSSRKTHCC >Et_7B_055887.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6841803:6842276:1 gene:Et_7B_055887 transcript:Et_7B_055887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAPSTCPVAAFKYNAIHSVAEVSAADHGACSASNPLRSHKDQSTTIALTNPGTRYFICGTTGHCASGMKLAVTVSGSSSSSAPATSSSTVRSAKPTKPAGNGGSSSESDTASAADAPGSSSSKLSSNTSGAAGSNRVRPAAVLLLLVAMGLGGLMS >Et_8A_056662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14784212:14785771:-1 gene:Et_8A_056662 transcript:Et_8A_056662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVPERLLEERLNNIKLVSSSKESGIVSSKELRDRFRFPTKSGISPVNSLRYRPRNSSCLQLERDESKSRFPSNLLYIRSSHSIPRMFPNTLDKFLKLLGIGPVSWLDWRPRYLSSRIRPISVGMSPVRLQKERSRMPLNLLLPNPRVRRLCMFCSSSGMIWLDRIL >Et_5B_045739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8494282:8497358:1 gene:Et_5B_045739 transcript:Et_5B_045739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRIAEELKLDRKTMDMFDKEDEEDDFKGVDHASRAEIRDVAVVTGRILRMSRSMIIFLNGSDKDVVLSRFGVPEYSDNIIIWTFRRRFPTKGFMSREADPIRKVTSKLRYTNIFMFGFHWDIRNATRSDLSALTLRFLGLDHCESNNITGLETTEYTSTKWACLHSLWVLDLRHTDWDEILSEENMDLMGNIMELNIEGFMCCQFTSQLEGRLPNLERLRIVKPLHPEALKDIDNSFMGKTKLEVLDLSGNNDMKKLPTSLSKASNLQELVLDGCDGLENVGLSNPLLRSFSFDGYGPAHKWTSVVELSRPECPSNANEKKDVKTSVISLEGCMQLENLFLRGLPNLEELDLSGCGIKILDLETMVVDVPRLKRLFLLGCEHLRAIRWGSYKDKQPELELLCVDTRPTGRVHAITADARFARSLWLPIYYKTMKKKFFDIHITSSNVYGERSSEAEMSKLPCDHQHHVVAAARSYSDVFAEVVLNSGDALTLMEAFPEPPTSQLDGHIEIGEGSRNVGSEVEFAGSSFDGSLPKLMNGFVQSLHVHDTSASIATPATNFLTLKWCHVERCPRLDHVFPRRAYPKVLETIWASHLLMARCIWSKGPTQAGYNFACLRHLHLCSCPSLQFGLPVWTSSFRDFESLHIIHCGSLRHVFELNEDYESSVILFPKLTTIHLHDVPALRHICEVKMLAPELETIRTRGCWSLRRLPALEGRMPGLRRPPSVEMEKDVWDALEWDGVDAGEHHPWLYQAPVHSRHYKRQRLLRGTVLRYITYVYSPFLASDSANQVMMKS >Et_8B_060416.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:14248545:14249948:-1 gene:Et_8B_060416 transcript:Et_8B_060416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSPVRVVSKRTVRPPPRPRELIPLTSWDTAMLSANYIQKGLLFARPPLSTASLVDHLEAALADALAVYYPLAGRFVTIQHRDGEGGDGVVVGCSVSVDCGGQGAEILLAVADGVAAADVPSVVRSFFPISDAVCLDGHELPLFAAQVTELADGGVFLGFACNHALVDGTAFWNFANAWAELSRARLAPLDAATTTTTTRPPLFERWSPDGGAPPPPAVLPGADPSALVERLWPPPLRERMLHFSESSLAALKDRAQRELLAAGDAAGAAAVTRFQALASLIWRSITRARGLAPDQETVCRAAINNRGRLRPPLPAEYFGNSIYAIATEAVTAAEMLAPDGHGRAAAAVGRAVAAHTDADIRARAVAWAARPVVYTARYFDAAGVLMGSSPRFDMYGCDFGWGKPLAARSGKANKCDGKASLYPGRDGGIDAELVLLPEHMAALEQDDEFWAALSPDAAPAMKE >Et_8B_058860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10006164:10007465:-1 gene:Et_8B_058860 transcript:Et_8B_058860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKLLGPKPGGEPGCHFMPYGHTLFGGNIATRLSGYSMPQSASTCLVPHSFDVKLENFAILPTKTVSFDRMPT >Et_7A_051479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19106087:19118344:-1 gene:Et_7A_051479 transcript:Et_7A_051479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHVVKTEAAEERTPPRPLPPAGRRGAGSGGGASAAAVIDLSSSDSESDGEAGAGGSGKRQLASGGGGSGGKRARVSAAVAAAAEDVPPGFLEPIPPRPPAKCATKQFWKAGDYDGKPLGDGVPQPSVSGMDHVRVHPKFLHSNATSHKWALGALAELLDNSLDEVVNGATYVNIDMLEDAKDKSRMLVVEDDGGGMDPDKMRQCMSLGYSVKSKIASTIGQYGNGFKTSTMRLGADVLVFSRSRAKEDKRATQSIGMLSYTFLRSTAKEDIIVPMIDFEYKQGWQRMVRTSIDDWNMSFRTICTWSPYSSEAELLEQFSSMKEQGTRIIIYNLWEDDQGELELDFDDDMHDIQLRGGNRDEKSIQMAKQFPNSRHFLTYRHSLRSYASILYLRLPNYFQMVLRGKEIDHHNIVTDMMLKKEVTYRPVAPNGVPKDSNMVADVTIGFVKDAKHHIDVQGFNVYHKNRLIKPFWRVWTAAGSGGRGVIGVLEANFIEPAHDKQDFERTTLLARLEARLVQMQKDYWSANSHRIGYVGTRTGTVSEADDTETSPDVTASAQPLSYHSGKGYAQSKGYLTSKKSGKASSSFSMQQRAEKSARAKRSTKSVLHGVSDGDDSDSEYMGSRSHTVNTNRKHFKSGSIHLTTPQSNGFKDRDMSRIKSQLLDSNATSNGDLRTIDEYETVIKQLRDENTSLKERLSEVEESMSQELVMERDKNKSLTERVEDLQRQLESSNKEQEALIDVFSDERNCRDQEEDNLRKKLKDASSTIQDLLEQLNAARKGRKI >Et_7B_054180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16654992:16659202:-1 gene:Et_7B_054180 transcript:Et_7B_054180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGHATVNGTSDAAVNRRPRRLFGRLSERKNPVNAQFERQIAHLESRQQQQQRCIVLKVIPINFYRDFQSLSPGENGNIHPSSEPTSPEGSLEPSSSPRILFIQFNVPDESHRRWPDNSSRLLEGKSSTSNSMPNSDFLVNSFTKPSMNARHTARRKSKKKSKKQKQRCRKPMGRSEVKCRESNCTAPVIEVGDCEDLTLSPKRVGDILFEENFYPNSSVKEASEESPESENDNGYHCCSYASVSSASCCDEIELPKSTTLCPGLFGQYTTDSEVTGSSQETCYAGYSMNCSHDTNTLLIFRDECGPDSCEMTECCSFSSGVDENWLEKSDYGSGICSQDSSSRGNGFQAVHVCSDTSSDSGFHLVISRKRARKEKKMSLWKNASSFTHGRNEKYTDSSSRQMSREHNTDDWPHRQSHVGGIETQHVTLKHPTENFTHQPSNVCTETQNGVPSKDTKLGARLNCFPSPKKTGRGNSASSFNKVHNFYSNRKVFDTVHIRESNPCEMTSSSSEPTTLKSSKGNGISESGKSTLHNLGALLTQKRVLHDSGRATDASDPVSGVSSPCFKSTSTDFVVGCATVSSVEGNCGSQEFFDSGMHLVEMIKVVNDAYRVSADVHFAAGYPITNLESFIHSATPTISPIPHFRRSNCSWGENCRDSVCQHDVSGVSLRSIWEWYEEPGCYGLEVRAESDVSSKTSCSNNSEFLAYFVPYLSAIQLFGWSRKNTNHSFGVQGREVLKSSSTASSHPVPSKLHKPYAESNTCLSESSFFVEDHGELVFEYFETEQPFFRPPLFDKIKELVSSANVSDHRIHGDPEKLHNAKLRDLHPASWYCVAWYPVYRVPRGNFRAAFLTYHSLGKLVTQKCSPDMTVEYSSIVSPVVGLQSYNDKGEQWFKLRCSDCKLSPSDDASKTSRAEVLKERLRTLKMGALAMSRAVIPNGTGKSVNHHPDYEFFLSRCT >Et_5B_044453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2568072:2569511:-1 gene:Et_5B_044453 transcript:Et_5B_044453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDDKSQAAEKIKAAALSAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKAAKLGTVKPKASEASSGGAYTQCQKCFQHGHWTYECKNERVYMSRPSRTQQLKNPKLKKNASVSYQFVNPDLEKEREAERKLVKEKLKKEKSERKKGKSKRKHRSPSDSDSDKSDASVFDSDTESSVTGSEYSSESSSSYSSSDSEDKKRRHKRKQKKRRQRRDSSSSASSESESASDSDSDDKRSRRKSKKRSDRFDTLRSDSRQLQRLGDWLGEDRSLGAAWL >Et_7B_053282.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:21183178:21183633:1 gene:Et_7B_053282 transcript:Et_7B_053282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQASPLHLKEATVTCIPRLRGGGVGRRSRRGGSAAAATQVSVLDRLRDVVLRLAMLSAATTATSTNKQGSTLRRTATMTPSRAEARVTPASATYADSYRSEAVDDCIEFLKRSAAGVSGAGDPPSAAVEATTAASPALHAPPCATLCES >Et_4B_038966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6478103:6485256:1 gene:Et_4B_038966 transcript:Et_4B_038966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRPPVVVVSSSSDEDEGGGRRAASRGPSARRRRTPATAPSPAMEVSGSRKKPRREGSAGRGRRRTSEPAPSCSLKAEFDMLSEDFSECLNDLGMSGSLRKTKELWVDKYKPHSLAELAVHKKKVDDVKTWLEDKLKAPKQTAGGWTLVLTGQTGVGKSATVKAIAGDIGVDVCEWTTPVPTLWAEHVHANSGLRYISKLEEFENFVEKIRKYSLLCPTSTGSQRKLIIILIDDIPVTNGSVAFARLGKCLTGLTRNTRVPTVISLTDYHKSESNDTAMWNSDDLESLLQDAGAHKISFNPVTTNSIKKILIRICKEEGCDVSEELVHQIATSSGGDIRHAIMSLQYYCLDPRRQSSVLATSATRAGPKSRGSLVPEQGRDSISRSLTSLCGRDETLTLFHALGKFLHNKREAYGDVNIDLDPFPLKENLRRNSLKMDVPEKILSQAHGKVRTVADFLYENVLDFIDYEAVDDAWTVVSYLGDADCLLAGSPISSYNSENMAQLIAASVAARGVLFGNAHVAPSRWHTIRSPKFWQIEQSLRSTKDQILKERFECSSTSGSSNFSDIVTEFRPFERWIGPRNDRPSSCSSTHGREGGSHWMDRLDADTANSEEDDDVIEDC >Et_7A_052026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3895267:3898717:1 gene:Et_7A_052026 transcript:Et_7A_052026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLALRFNLLTNNIRITCPVRFLKEHVGISSAKWLAPQIYEKRHFTTGAQALQTKFTGAPSWPKADSSSCVPGIQSLFLQQATENEHPGTVLVFDIETTGFLHKDHRMIEFALRDLSGGKNCTFETLINPERDVPRFATAITRIDTDLVCRPEIPRFRDVLPILLAYVQSRQAPGKPVLWVAHNAKNLMCLSLSTSFDFSFFGKEVGQIRGEKRRLINLEALREHYGIHSEETAHRAMRDVTILSQVIQKITFDLKLTYEGLRNDIMLGMIVQPTFCFGLFFLNLVLTCTLLSGTHVIGGSAFIGFGLRSSL >Et_2A_016127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21248350:21251181:-1 gene:Et_2A_016127 transcript:Et_2A_016127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNGSQDHLSRPHLSVDHESLQRPSDDPRKKGGWITFPFLGVAILGVGVATSGALSNLLVYLIQEYHVPSVDAAQISNIVAGCISVAPVVGAIAADAFFGCYPIVAVSMAFSVLVSHQLLLTPHSHLSCHDIFLNSFTRTGPGRVHAHCQPAQPPAIAVPARLRSLRAGHRRADGGAVRRSAPDVLDRRGSRFNQATMGADQFEYPADREVLFNWFFVLFYGASVLGSTVIVYVQDTVSWTLGFGIASAASVVGLAGLLLGSRYYRRPTVRGSPFTGLARVAVAASRKRKVSVATSEQSRFYHGRRPSADGDDKTSGTNIAPSDSFSFLNRAALITDGDITASDGSVARPWRICTVQQVEDSKAVLRILPVWSAGSVLSVAIGVQLNFSILQALVMDRAIGGFFTVPAGSIFVSCFIVVMVSIGLLDRVLLPLLRRLTGHTPTPLQLIGAGHVTTVVSMAASAAIERRRAATVHARGQEGDPGWVSPMSAMWLVLPFALSGAGEALHFPAQVTLYYQEFPPALKNTATGMVAVIIALGFYLSMAVIGVVRRTTAWLPDNMKASRLENLYWLLAVLVAVNFGYYLACARVYKYQNFGK >Et_2A_015510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14212046:14212287:1 gene:Et_2A_015510 transcript:Et_2A_015510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNMIAITASRQQHRHLESILQISNPLSEDSTGTKGRTNNENKKRFSEQLRDEGASFRAVFNKKRQRIG >Et_2A_014794.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:15361102:15361242:-1 gene:Et_2A_014794 transcript:Et_2A_014794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTALASFYWRLSLAGVQPLWIIKPCHGHPVAHMGVGQQIPEQCSP >Et_5B_045139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:127068:127836:1 gene:Et_5B_045139 transcript:Et_5B_045139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFRSTATLAHSFWFRCRDRSIRPACLRSSGCANRVPRRRRRRGGGVRWWWALLCSWSYDDLAAAAAAGGDKVGGLLRAEAIVKEQVAQLYEKKHGNTAVSWLAARHRLHVEVERSIPNHNDSVSVVLSRVHCFNLVGRQALPHQTDPNIDLRGRCPTASISSDTPMLLDNVYYKHLLVARMAADNAYFHDRFAAAARLTMSESPETVGARHRH >Et_10A_001965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15594966:15598758:1 gene:Et_10A_001965 transcript:Et_10A_001965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKQSDGIDDASELHYKAYILCKDKLNRLAFLRLSRPEAHLNWINFHWEHKSRLHTTTEGKAREFRSIVSKGRMSDIEEDVYMMSSGEETEYNDTSSDASSIIYSLAALGVATAALVASRNTARSIMGHPAPPLPHTRFTFVGAGCPGSFHDMLVLRSCWEKLFYSRPLKGTYYLADSGMDISKHGRTEFEKNGYDFVTWNEPRMYANANVRRKVAEHAANNMEGVRDWIAEGVSIPV >Et_3A_027143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34234126:34237496:1 gene:Et_3A_027143 transcript:Et_3A_027143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPSVPPARPDNAAATGAVSNTFHVLNSSSGAGVHANGGPYARSPQGAAVLRSPPAAAMTGYGYPAIGAAAPPSPSGAIAPQMMQQLMMLAGWGTRPPWLQNYASMSPPPLLQPGTPSFSPGSSGVGGRGAAAAGSSLGRRRPAILRPIQIPAPAAAGAAKKDVQPAGGGGNADDGGAVPSLATGRGRKRAAPRMQDKEPPKKPRQRVSVADDLQIVSASSSSNGRKRRNASPGNGRCSLVARRRAAAAPRKRHTVLTWLIDGGFVGDGEKVFFVPAGDDDGGGKVVSGWVTRTGGVHCGCCDAVVALAVFEAHAGGPWDKLLLGSGKPLLRCVQEAWDKKDKTAAAAASPKMKTKAGDKDSSDDACGICADGGELLCCDACPSTFHPECLAIKVPEGSWICHYCRCMVCMANDDDGLSTCQQCSRKYHQRCRPFLNNGCDIGAYCSEACKKLSAQLSDMIGVTNTTEDGFSWAVLKIQKDELATSQDMPAVLESNVKLAVALGVLNECFNPVKDRRTRIDMLHQAVYSLGSEFKRLSYEGFYTMILEKDGEIISAALLRFHGTKFAEMPFAGTLPVYQRQGMMRRLLKGVEQVLASVQVEKLLVPAIADRVNTWTRSFSFRPVEPQLREEIKKLNLVVITGTTLLQKPTIAEPAPQQPWWLKYTFDPVTGEPAARLTDDERAFLETETFCSFTDLVTGKVSLPKQPCATNSSASMSPGSSPPAPDGGWRSCGEASAMAMTTQPTNAQGSLILHGMK >Et_1B_010768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13958918:13961603:1 gene:Et_1B_010768 transcript:Et_1B_010768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLSAASRRSAPSPIQQLSHLAQRVGAVNLAEGFPDFPAPAHVKAAAAAAIAADHNQYSHVQGICDILAETMKRDHGLDVDPLTDFVICCGQSEAFAAAIFSIIDPGDEVLLFDPAYETYETCIELARGVPVYVPLDPPSWTLNADKFIKSFTSRTKAVVLNSPHNPTGKVFSKEELLIIAEACQKMDCFAITDEVYEYITYDENKHISLASLPGMQERTIITSSLSKTYSVTGWRIGWACAPTTIASAIRNIHVKLTDSAPAPFQEAALIALTSTPDYYTSLKKDYKMRLDFILQLLKDIGFHISFKPQGSVFVFAELPRSCQLSDIDFVMKLINDAGVAAVPGRGFFHRGCDGESYHHQYVRFAFCKGDDTLKAAALKMRNMADSNGRTWLNGRQEDTTASTENPYLYRE >Et_6A_047842.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20014020:20015417:1 gene:Et_6A_047842 transcript:Et_6A_047842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRDGVMSLGCLCRLVLRALHKIFTSSSGLLTKTFQSDDLLIQPANVGNGGSPELPQEILMDIFALLEVLDLVRAGSVCSSWHAAYTRLCSTGPCRLHQTPCLLYTSESSSASDVGLYSLAEKKAYRLTLPDPPIQSRHIIGSSYGWIITVDERCEVHLLNPITSEQISLPPVTTIEQVKPIFDDAGSIQKYEYAWYTGKKMIAESPSIFVSNELRDYLFNKAFLSDPSTGDFVVVLIHNPHSQLSFARAGDDKWTWLPPHRYDEDCLFQGGFLYASTSFGEIHAFDLGAPTFEPKILLDSVKDYLFERIYIMKAPCGELLQIWRSSSCNKEDEYDSDSEYELDDESRISTTSGIKVHKVDLGSKNLVEVSGLSEHVLFVGRNQSHCLLAEDYPQLKPNQAYFTDDDYLSVTRCKNDRRDIGVFDMENNSKEEIVFPQTWSNSPTPVWLVPNPRRMNLAYGIRY >Et_1A_006236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19501870:19504105:1 gene:Et_1A_006236 transcript:Et_1A_006236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFGVLMLHPMGDYLEQELGRRFRLLRLWDDFLCANAASIRAVVPGGVSGADAALIDALPALEIIASYSVGVDRVDLTRCRERGIRVTNTPGVLTDDVADLAVGLAIAALRKIPQADRYLRAGQWKDKGDYPLTTRFSGKRVGIIGLGRIGLAIAKRVEGFDCPVSYHQRRDVAHPNYTYYATVVELARNSDVLVVACSLNEQSRHIINREVIEALGPRGVLVNIGRGGHVDEPELVSDVYSHTVLFLGKIRVHSYAHRVPHAQNVFALPPNAHHARVGCWLFPSLSSLCRPPWQLPLPFRPRRPWLLRLQGRKLRPTRNLRPSS >Et_1B_010118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24709651:24710111:1 gene:Et_1B_010118 transcript:Et_1B_010118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKFQAFWNHPAGPKTNYVWAPTFKWCLNIANVADFTKPPEEISYPQQLALGCSGLIWARYSTVITPVNLLC >Et_2A_014774.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:13816242:13816511:-1 gene:Et_2A_014774 transcript:Et_2A_014774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLFGANDDAPRLVGRVVPRRRRVTEVLGSDGRRRVQHQVALVLGPVSQQRVARSDGTARHLHLHQGLLCCIRMRISISWLRVSIFP >Et_5A_040466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24450698:24451871:1 gene:Et_5A_040466 transcript:Et_5A_040466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PARGHLRRRRGGRVHGLLPLHPRRRPHRADPVREVRPGLRRLGESRRLPRPRLVRRRPVALRAGARLLRAPPPPRRRPRRRGRLRLPPRPHARRVRPRTTLPGRLRLAAPGLGRRRLGVRGAGAGARRAGHHRASPPGPLHQGRPRRVRRRGRHRGGGARRGARRRPRRRRRGEVPRRRRRGRRRGGPWTGRLEMTRELGVSGLKGHSVVLRPREPEKITPHALFLTYQPEPGAETLAPDVFPRPDGEVYIGGLSKHEDAPDDPATITAEPDLIAMLHSVAGKVSSHLKTEEGAEVVAEQACYRPWTADGLPIIGEMPGVKGCYVATGHGRWGILNATATGAALAELILDGEAKTVDLAPFSPARFLNK >Et_2B_020860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24307062:24308333:-1 gene:Et_2B_020860 transcript:Et_2B_020860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLVLLTVFFAALYLTSIGTGGVKSALLPFGAEQYDDDDDEERKQSFFSWFSAATNLGIFIAGTLVSWLQQNLAWALGFGVGTACLALAAAAFVAGTPWYRPAQVPPAGSPLRDVLRVLVAAFRKRRVHGAALVVLYDEQPDKDAAGGQRLARTKGLRCLDKAAAFSTSDGPARGTFCAREVEAVKILVRMLPVWATCVLYAASMGQMTTTFIQQGMAMDTRLARGGSSFKVPAASLVCSSCCSTTPPSSRWPAGLTQLQRMGVGRFLAVLALGTAALVERRRLRGAARGEPPMSIAWQLPQFLLVAGSDVFCGISQLEFFYGEAPAAMRSICSAFSFLAPSLGYFVNSLVVTLVAALTGNPGWLPPDLNAGHLDYYFCLWTIISVANLLLYMLLASRYTPKQVQPNHSANSGTSST >Et_3A_023880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1451778:1456073:1 gene:Et_3A_023880 transcript:Et_3A_023880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLWCWHHIMEMNKKDESGGRCPGCCSVYNKDRIMGTSVSNKILKGLCADKSNLPKEQNKSHKPKSSNVQPAVAEEPKDPNNVRVIQRKLVYIVGMPSEFASPKVLKQKNFLGQYGKIESIVIDNVGANQQIPDSGRVYVTFSREEEAARCIQAVHGYILDGRPLKATFGVTRYCHIWLSNRPCFKQNCSYVHYKAAPEDICSRDDDSAIDGNGYEGFPTSFRKHFTPSRTLQFKSCSSSGSLKGHVNEQLISNNNKASAQSGYGTSNSKQTISAENGTSDISLQKPQYVSVVSQGQGGSGRRFTVLSRQVTSTDTWSKATAQVSNGTLTSTKPTFTKNEQSDSITIPRSQNVNIVSKKPEQSSHLLALKSVESHAQAEKKNESSDISAKLALGNQKQLLKNTVSNSSTAVHTTSGKPMLSNVSMSDAKSQASDRLCNLSGSNGKLASQNQLQLGNQQIAPVSNTSNTGIAKASLGRNILNCQAPSADSKCQNSAQGGSHCLFNREITRPGDQSSDGIPLSKPVSVVSSTDLVAPDGKGRKKQVSCPPGFEKLQHSSDSGKFVSLCSSTHSAPCSTTDALVQDSCGITDEPHIISMVSHCLDDADVTQNKNVNISSPLSSTDTIWRGAQFQGTYFSGLSNHTQVSPYPSGFLQWAPPSVSCTSYQQPSYLDGTTSSYMSTGGYDAFRQGTATTSVVGTLLQQPPIPSPLHGWTHGNTG >Et_8B_058521.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1266245:1266907:1 gene:Et_8B_058521 transcript:Et_8B_058521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACPESLDMELVIPLDITVPWALINRIKAESSPCSVFEAVLAILWWCRVRATMSSSPGTTATRPVYLTFAANVRRHVGAKDGYYGNCVVNQLVAAAASAGVVDLARMIRRAKDQLPEKLKEEDDEGGRLMMRGLSARYDMLNVSSWRNVGFEQVDFGSGAPARVMFHGPGKAAPPVPICMVYPPCKGKDGVNVLSISMKKEHADAFLGELADLCKASVS >Et_7A_051026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13780111:13789113:1 gene:Et_7A_051026 transcript:Et_7A_051026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSAPAASANGSHAAAAARVGAPTNPMATALLTDQYQFSMAYAYWKAGKHADRAVFDLYFRKNPFGGEFTVFAGLEECVKFIANFKFTEDDITFLQSVMPMCEGAFFDYLREIDCSDVEVYAIPEGSVVFPKVPLIRVEGPVAVVQLLETPFVNLINYASLVTTNAARHRHVAGKSKVLLEFGLRRAQGPDGAISASKYCFMGGFDATSNVLAGNLFGIPLRGTHSHAFVSSYMSLDDIPDKTLKSKDGSRVCNDFVSLVKECLQKIQVADSLSGVFGETNQSELAAFASYALAFPSNFLALVDTYDVMRSGIPNFCAVALALHDLGYKASGIRLDSGDLAYLSIEARKVFCAIEKDFNVPGFGKMIITASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYSQAALGCVFKLVEINSKPRIKLSEDVAKVSIPCKKRCFRLYGKEGYPLVDIMIRESEPSPKESEYSVAIRSLNPKGLMLFPSMLRSFYSAIGLGNQIRSRCMQQLEKLRPDHIRRLNPTPYKVSVSAKLYDFIHYLWLNEAPVGELQSYITEICSYHVADVVPLATETTALSRKQPPTMYGTGFA >Et_3B_028476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15943982:15949524:-1 gene:Et_3B_028476 transcript:Et_3B_028476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHFFLIVATSSAVAVIGDGDPLAVGSRPSFYFCNSTSVRRTYLPNSTFGANLAKLFPALPADASASNGFFKGSVGDDAADTAYALALCRRDLNSSTCAACLSTVSFQAVESLCNRSRDVTMYFEECHIRFFDQDFIAGTENQPGSLALGPEPAGVLDNSTVLTFLNETAKLAAYKSDRFGTAVIDIGGAFPTLYSMVQCTPDLSPDDCLACLTAMVQLTPQYLGGRLGGRMLGVRCSVRYEREKFFSGEPMKTIGPTLPDRKKRMQEKPTVNSSQDETLMRTIEGNVNSDFSLFDFAQLQVSTDNFSEENKLGQGGFGPVYKGKWPDGFEIAVKRLSTSSGQGLIEFKNEVELIAKLQHANLVRLLGCCSEGEEKMLVYEYLPNKSLDFFIFGKEEKRTLLDWRKRITIVEGIAHGLLYLHKHSRLRIIHRDLKASNILLDGNMNPKISDFGIAKIFNSNDIEGNTTRVVGTYGYMAPEYASEGLFSIKSDVFSFGVLLLEIVTGKRNSGFHQNGGFVNLLGYAWQLWKEGKWKELVDPLLVIENSTSEPLKCINVALLCVQENAVDRPTMWDVITMLSTAGASLPEPEHPAYYNVRVENKEALPIDLELYTINEDFIVGTGNQPESVA >Et_3A_025904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33938186:33941636:1 gene:Et_3A_025904 transcript:Et_3A_025904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding APGRSLRHGVVLHCLEPPRVAWRGVSTNHGRDLVVQRALRGRRNRAVEAQSLFPPRRRWPEPASRSMDAAGDREHVLPDHALARLARRYGAVTLLRLGHVSTLVVSSPEAAREVMKTHDAALANRPVYVTMDIFTYGGQNIAMSPYTSTHWRELRRLCATELLGPKRVLAFRPLREEEAASLVRAVAAAPARRVNVSDRVRIMMNDIITRATLGDRCAQREAYLEEMANALELLAGFNLVDLFPTSRLARVIGGRSLRVARQVHARIDRIMKDIIKSAGAMDDKVTGGGDSREDLLHILLRLQKEGGLKTPLNADIISSTLFELFAAGSETTMTTITWAMSELMRSPRAMERAQSEIRQVLHGKSKVKEEDIEGRLPYLQMVIKETLRLHPPVPLLLPRLCAEPCKIMGYDIPPGTTVLVNAWAIGRDDKSWTNATEFRPERFEDGVADFNGADFRFLPGGAGRRMCPGLMFGLSNIEITLATLLCLMERTLMNWIWPSHAGSQRIGKPIFEMWWYTVLGVVVVTMLLKLRASSRRDVAGLNLPPGPWTLPVIGSMYCLIGALPHHALARLARWYGPVMLLRLGHVRTLVVSSPEAAREVMKTHDAALANRPVYVTMHVLSYGGQNIAMSPYTSTHWKELRRLCATELLGPKRVLAFRSLREEEAASMVRAVAAAPASGVNVSDMVSVMMNDIITRAIVGDRCAQREAYLAEMANALELLAGFNLVDLFPTSRLARVLGGRSLRVARDVQARIDGIMKDIIRSHEKAMDDKAAGGGEDLLKILLRLQKDGGLKTPLNADIISSTLFVRDLSSTIPELPCHTYVPQ >Et_1B_010039.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:19770901:19771209:1 gene:Et_1B_010039 transcript:Et_1B_010039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LAGGGSPAGPPPGGLPPPPFGAAPPPRGLARLQALAPPRAQPSLPPPLSHIPSSTSRARLLPEHLVRHSLLQPAPKAPRLQIHGLLRSETYATQSRPDALGA >Et_5A_040357.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:16452961:16453044:-1 gene:Et_5A_040357 transcript:Et_5A_040357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKVWLHSSPDFVATIIATDCNPILS >Et_5A_041369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21924925:21931931:1 gene:Et_5A_041369 transcript:Et_5A_041369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDLRPSLRVPIRRRRALLHDGAAGAGLQEHREAALTQGLGHEILSKQVVKIATKAEEHERIIVKRPIPIHQSPAASPLRHDGRGRGPRPHQPPPRRLGEIVSLLPTKDGCRTQVISSRWRHLWRSATLNFDLGDWWEVSSEQEISGILSSPPGPRSPLLHPWPHFDVQRQRPPRCDRRRLAAVPRPRQPLGARVRIRKIKSRYGVRDPAADSFFCAQPLAHPSRFQLLGLGCSCIRNVSPSLRSIGVHPGSGDLSFFSDIVSRTWTSRRVSDHLAILQFGTTIFQVSDSDLTWINTSNLKSPTLILMSCFGSQLNSLMTMVPNMKILALSQSCLPGEKNVWFRKNRNIIGTLDIRLKEIMLINYQGNKSHVNFAKFFVLNARVLQSMILEVDHNISGEWIEMQHRLLETEHMASRGALVDFVYHNSRPGPLGWVGAEVAHDLSTADPFVWLRDWS >Et_1B_012090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28894118:28897612:1 gene:Et_1B_012090 transcript:Et_1B_012090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKEENTARLRKEAGAVDDRPLAGGPPVRSWQDLGRRPRAPRATTGTRHESRGLRSGAGQPDLGRRAAVEAARSSSLRASGEEVELGPRLGRARWWVRRGLGRELAELGGRAIWAGISLTFGPCTRLLRLLSRAGARKKVAPLRSPPPPFLVSSLVRFSSSTRRRASNFEPITRLICLPPLARSSIAVVPSLFFLSVFILPRSGEAACHSVSGPHSGEPPRVSSRRDVPELSKPDGCGCGWRAGMDSKRTRSHSPVSHKEGRDKDYESSGRNNNSRNLEDSNNARPVRGYESRQTDMNSYGASRESRRHDDYRRYHDKHADDHGRSHSRASQSDRESRADTYYDRSKRDGTSGRARDYRDADSRYGEKYVSRDLSKNDGKQSTARDYRRHDGGEYDRYTDARKVVEERDSRAGDKDKETKEDVVKKRNGKEIEKEAEVETREKKRSLFSSGPNVDCPEDAKLTSAANEALDNSGGTLDGVNAAKVAAMKAAELVNRNIASFGAGTGRLSTDQKKKLLWGNKKSNPSEETSKRWDLNLFSDRERQEKFNKLMGVKSSTPVQENKVENSDGNSAEAKKLEELDTNLEKHYVAGLRRRDGRTVGLVKSVHCKCYCIGKFEGLLCTILSGLFILVPRSLTGFFYVTCVIMYS >Et_4A_035420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23989230:23991301:-1 gene:Et_4A_035420 transcript:Et_4A_035420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVIGVVGRDFAVVAADTSAVQSILVHKTDEDKVMVLDSHKLMGASGEPGDRVQFTEYIQKNLHLYQFRNTIPLSTAATANFTRGELATALRKNPYMVNVILAGYDKDVGASLYYIDYIATLHKIEKGAFGYGSYFCLSLMDKLYHPDMTKEEAVDLVDKCIKEIRLRLVVAPQNFVIKIVDKDGAKEYARREYISDSPPDATPVSEA >Et_5B_043658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13635803:13643696:-1 gene:Et_5B_043658 transcript:Et_5B_043658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKGQAVRNKDGDLTVANDNTNQQRSDHGNEMDALIGLQDQDYPSTEDDDDEDYCPIRGMSSKRMKAHVEENNVLREENDTLLADNFSVQQAMLLQSCFNCRAKPTPKKLLLDKEHLLQENERLNSEFLRTSTVLNMLYRVAPSFGRPVSTSLLPVPSLSGVEVEATKATGMVRGSAADIFSILIDSARWSEMFPGIVAGVICGEVILGNMAAPSDIQLMNAELWVQSPRVPNRTMQFLRYSKMKSDKKWVVMDVSVDGLLGQNMRDVNLNNSPPIQATHTECRLLPSGCLIEDMSNGYCKVTWIVHAEYKDTTVPMIFKPLFFSGQAFGACRWLMSLQRQCESLYARDAPTCINTAIMSTKGRRSILEMSQRMLGSFYAVVSGAISERQNNIMEWHDDNNGTDAMAIDAVVRMVTWKNATNMPTDPTCLLLHFGCLARRQSVFDYLSDVKSRGEWDVLINSAKVKELVSITTGHKDSDVVSVLYPDVAYGTNGNMMILQEAYIDESSSLVVYSPIDQMSIATIMNGGDHTSVFLLPSGFAILPDGRSKSHRTLVDVPGSSSTVTHENNDIGSLLTVAFQTLIPGSESGNPTTWAFDVVGKVIRRTVKKIQKAD >Et_2A_018193.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:17497369:17497719:-1 gene:Et_2A_018193 transcript:Et_2A_018193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLLLTCLNLTCDDGGTSSSTHGAAPRLVLGEGTLLVTEISLRNVMVRVMRAGSILNTTGEASFRDHRSLLSSRNELVVYRSNVEARLLADIGERRPRIIISGCQQRQALTLYTH >Et_2B_021806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5646275:5652195:-1 gene:Et_2B_021806 transcript:Et_2B_021806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKPARAAAAAERDPDGIFRGVSAFFIPHSVQSRRLEVWKQRLVQMGGRVQEKIDKGSPAVNHVLAADAKALLRELDAAWLHRFRGSVVSFEWLEECLKAGERLPEHKFVINYEEEFKPKKSSDTRDSGALQPAKRSKMLSENSGNQKRTSGEDKEEPAGASANANEGSGVDKKPNQFAGSRSSSGDTAGSHGTFDIEVDIYIQVLIHELYDQEASSGGPSIYAPADLNRNITQIFGRLIDIYRALGDDRRSFSYYKAIPVIEKLPFKVESADQVKDLPTIGKSLRDHINEIVTTGKLSKLEHFENDEKVRTISLFGEVWGIGPATALKLYEKGHRTLDDLQKDESLSHAQRIGLKFFDDIKKRIPRHEVSDMEKLLQDVGKDILPGVIIVCGGSYRRGKASCGDMDIVITHPDGESHVGFLSKFVQRLKEINFLREDLIFSIHSVDGTDSGVDTYFGLCTYPGRELRHRIDLKVYPRNRYACGLLAWTGNDVLNRRLRLLAESKGYLLDDTGLYLATQGSGGKRAGRSDAIVNCHTEKDVFDTLGYPWLEPHEQAIARTTTKHFLPVDEAFKNLLVLGDDALIIFAKPVIAGSLTRRALIVGLRPLDEEHTPEDVLAVHADQPQVRLRGLGLDAAEPDLGVLVRLDDPLHPCIAKPRSISSLGQSTEGANECN >Et_1B_012008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2831059:2834940:-1 gene:Et_1B_012008 transcript:Et_1B_012008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEGPAVAAKVAAAAAADGEVVVRNPRCFLDVSIGGELEGRIVVELFASVVPCTVENFRALCTGEKGVAADTGVLLHYKGSCFHRIVKGLMEGGDITAGDGTGGQSIYGLHFEDENFVLKHERKGMLSMVNAGPNTNGSQFFITTTRTPHLDGKHVVFGKVIKGMGVIRAMEHIPVGEADDRPTADIVIVDCGELPEGASDGVTNFFKDGDMYPDWPIDLDEKPAEVSWWMNAVESVKAFGNEYFKKQDYKTALRKYRKAMRYLDLCWEKEKMDEEMSSALRKTKSIIFTNSCACKLKLGDLVGALLDADFALRERDDNAKAFFRQGQVRMALNDIDAAVESFKRALELEPNDGGLKRELVAAKKKISDRRDQERKAFSRMFQPSERSENDKSVMKNVDPYLEHSVVKVKKNVQ >Et_5A_042398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9517815:9519963:-1 gene:Et_5A_042398 transcript:Et_5A_042398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSAAALSRFAAASPAAAPLSAGIGASSAVSVYFVGRRRFRPVAASYSTSATVKQAVQTDKAPAALGPYSQAVKANNLVFVSGVLGLNPETGSFVSENVEEQTEQVMKNMGEILKASGASYSSVVKTTIMLADLQDFKKVNEIYGKYFPAPAPARSTYQVAALPLNARIEIECIAAL >Et_10B_002678.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:6771944:6772174:-1 gene:Et_10B_002678 transcript:Et_10B_002678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVQASFSTTRPVSITRAAPVVRSSPLCHRRAPPSPRGSSLWSLGGRRRRTHMPPPRTRRRCRSSRPCRPPRTWG >Et_1A_006644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25674060:25677873:1 gene:Et_1A_006644 transcript:Et_1A_006644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHRVDVRSSVAGGPITGHARRERLGHRPHRVGLVRLPLQRADEGLLARPRRAAPEPSGQGIHRLVDPRDLAPHVVRHGHVLGRRDLAVLQRSVQVLGHVLQDDGHPGVDDVLLRRQPPEVLLLQAARGGEERLGVRAPRVGQRGLLPQRLHHRLLAGPQRQYGHATPDVLREGSHGPRRTRGGALQTRRPGHRLRGKDLHVLQLRRQRQEGPEILLQISVDVGVVAFVHQGPQRIQLLLVDGERGRDCHRRSTETIELSDVVSRLLCPR >Et_5B_044082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19786883:19814187:1 gene:Et_5B_044082 transcript:Et_5B_044082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPALTDDLVGEILLRVPPAEPAHLVRASLVCKSWLRLLSEPAFLRRYRKFHRTPPLLGFLHNLSLDGGADDLTPGFVPTTAASPLTPPALGRGSMWALDCRHGRVLFHTFGTMGELVVWDPITGDQKQIPVPEHPHIYCTGAVLCAVAGCDHLGCCGGPFHVVFVGSGLVETWASVYSSEAGVWSAPALANVGPYSYVRVRPSNLTGDTLYFELEMSKRILKFDLGMQTLSVVNRPQVYDQRCIVMTAEDGELGFAGMEGKSLHLWSWEDGDEEIAGWMLRRVIELDGLIPIHNVSVLPDVIGFVEGTHTIFISTDSGVFTLDLKSMKVRKVGKEVGYVAVLPYTSFYAPGNISSLNYSPCACGVATYREMAPPPALTDDLVGEILLRVPPEEPAHLVRASLVCKSWHRLLSEPAFLRRYREFHRTPPLLGFLHNLSVDDDDDILTPGFVPTTAASPLSPPALGCGSLWALDCRHGRVLFHIFESKGDLVVWDPITGDQKQLPVPEHPHTYCTAAVLCAVAGCDHLGCRGGPFLVVLLGSDDEARTLVSVYSSESGVWSASASVLGSYVEIGPSHHTGNALYFILELGKTILKYDLGQRTLLMITPPKLYEQRSIVMTTEDGALGFAGIKGRSLYLWSWKDRDEDIALAGWMLCRVIELDGLIPIRNVSVLPDVIGFVEGTCTIFISTDAGVFTLDLKSRKVKKVGEKGGYVAVLPYTSFYAPARPRICSRHHHRVPLLPAGFAPLQRVDARLPPRPWTSSSGIQSMETSGACPHRGPRTLASTSLRRCSEVQKVATTLTATAAQFLSNVAGGDTWVSEYSSETGVWSASTNIDREAHMTEMRPNLFTGDAIYFIIGLGEAFLKYELGSRCLSLINAPGEHKMGIAMRTVGSDLPAWSWKANTKGIARWVQLRVIELTTLLPVPKKNVRISPHVSGFVEGTDTIFINTDDSIFTLKLKSRQVRKVGQALGYGGILPYMSFYTPGTSLILLRLPPDDPASLARAAVVCKPWRRILSDPGFPRRYRAFHRAAPRPLLGVLTSVNADGFLFLYPHFVPTTPYFRPAAGNVDFLDNMFLVAADCRHGRVLFLQFTPAARNGRVGTPSPASGSRWTSPATSLSPAAPRRCSAPPSPPPAAWAPTEEQVRDGVTRARVYSSETGAWSAPASARLRRRHTAMRAQSVLVGGDLYFNQRRDGMILRYELMGRHHHRLSALEMPEQSSRNVVLMRAGMDGGGLALADLKDRSLHLWSRVRSGGREGDGDDDKWVLSRIIELDDTTQSPFPLHLVGCLEGTVTVFVSTDDGNNNYMVDLKSMRVEEFCKGHDLTRSFIPYTSFYIPIAGTKPLRTGPSNPIETSPWRRPRSLPALMDDLIGEILLRVPPDEPSHLIRAALVCKPWRRILFDPVFLRRYREFHRTPPLLGFFRFNNYDSEFISTTTASPFSTALESPQGPWTLWRTLDCRHGRVLLYSTDDVGHGQDLLLWNPITGDQQRFPMPISLDSFSAAVLCAADSCDHLDCHGGPFLVVLAGTDENNVSWLSEYSSAARAWCAQTNVDFSASYKVGSDFSMDRRPCLFTGDAVYFFLQEGKAILRYDLGQKGQSVIETLDLLYMKNGTLMMAEDDLLDYILSLWSWNANTGGIAGWVQRRVIELMTLLPLPMKYAKVIGSVEGTDTIVISTYDDTFTLKIKSRRVRKVGQGLGDGFVLSYMNFYVPGTPIETSPWRRPRLLPALMDDLIGEILLRVPLDEPSHLIRASLVRKPWRRIVFDPVFLRRYREFHRTPPLLGFLSVEDYRTKFVPITTASPLSTLELPCGNWRTLDCRHGRVLLYSTDDWGLPLGLLLWDPITGDQQSLCVAMSPDIIFSAAVLCIVDNHLDCRSGPFLVVFVGTDKATTTRPSLFTGDAVYFVLERGKAILRYDLAQKNIPVIDAPDMYVKTGTVMVAEDGGLGFAGVQDYNLFLWSWKANDQGVSGWAQLKVIQLMTLLPVPKRYVKVSPQVTGFVEGTDTIFISTYDSIFTLKIKSEQVRKVAQRWWGVDGFSVPYMSFYTPVIGFVEIADTIFINSASPAVCLPFPIRLRIDMASPPELIDDAVAEILLRVPPDEPAHLVRAAAVCKPWRRILSDGAFRRRYRALHRSPFLLGFLQNLCDDGSIPRFVFAAAAPRLPIPAFRCGSWYALDCRHGRALLRSLGPEGLIVWDPISGGHKGVAVPVYPYQWCNATVFCAVDGCDHRGGPFTVVFAGTDNEQDATWACTYLSETDAWSAPTILTEGSGCILEQRPILLTADAIYFILDYSKRILKYDMTGQALSVMDAPDFYKRPEGIAIAADDGGLGFAGVKDGILTLWSWYAGPDGI >Et_7A_051242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1646524:1649539:-1 gene:Et_7A_051242 transcript:Et_7A_051242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQAASDSPTHRAYSPATSNPPYPSAARIADSACFPQYTASLKCLEAHQDKSKCQQQFDDYKECKKKEVGCSTPDFISILGIVVLMHGGWIQLLYTASYFLQAREARLERNKTRVPYLQHQPAMSSWLMKQSEGALAATYCSILLKES >Et_3B_028947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20765800:20768736:-1 gene:Et_3B_028947 transcript:Et_3B_028947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDEAVVTQISGKAPSPVKDQPAAFPYLDWSAIQSYYGPGILPPAFFNPGHVPPPFMWAPQNMPTAAFGKPYAAIYPHAGGFVHPFMPLMVNPLNAEPAKSVNSKDISLTKKLKEADGTAVSTGSGNSEKTSGDYSLEGSSDGNNQKACGTPKKRSLDDMTTSGAETCGASAPNDRTKESGRLATLANVRIQDTAIKPCVSTGSDFRVSGTPSTEWPAKDDKESKRERRKQSNRESARRSRLRKQAETEELARKVELLTAENTSLRSEINKLTESSQKLRMENSALMEKLTETGSAPEEAQEEVPADQTAAAPPPVRVVKNFLSMMDGAGAPRSSRHMDHGAPRLRQLLGSGPLAADAVAAS >Et_2B_022964.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:9729616:9731112:-1 gene:Et_2B_022964 transcript:Et_2B_022964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPSLTQTPPPLPVPNLRPPSRRRLLFLAPPLLAVAVAILLGASTSPLPRSFLRLLLRRDPSLLRPAPPRLEPDASPGAGRSPCVLWMAPFASGGGYCSEAWSYVTALDAHAAPGDKNMSLAIAHHGDLESPEFWLGLPERSKNLAYRLATARCELDRAVVICHSEPGAWYPPMYETLPCPPTGYDDPAVVIGRTMFETDRVSPEHVRRCNQMDAVWVPTDFHVLTFVKSGVDPAKVIKVVQAVDVAFFDPAKHEALPLPIGVSVMMPEGLMAEHGNSKGNGFVFLSVFKWEQRKGWDVLLKAFLQEFSRADDVVLYLLVNAYHSDTNFSGKIHRFVKESSIEEPVDGWAEIRIMDEHVPQSALPRLYKAADAFVLPTRGEGWGRPVVEAMAMELPVIVTNWSGPTEYLTEEDGYPLDVDRLTEVTEGPFKGHLCAEPSVDHLRALMRHVVGNREEARSKGRKARGDMIERFAPEVVARIVADQIQRALVNAEKRDN >Et_7A_051389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17746058:17749047:1 gene:Et_7A_051389 transcript:Et_7A_051389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAPEPTAAAAARKRKKPHGPSKTLVKKPALPSSAPAKGKRKRQKHKEKKPQAKKSAEPEQTTASAGAAGDVPAAGGVLLSAAMPPARQLEFFLRSFERAGKMRLSPLELDSYSEGCMVQLAEGASQDVESFGDHVKGAFGASWKEELCDANVVEGEIDVGSPALLVICSAALRSLELLRGLKTFTKECRPAKLFAKHLKVEEQVAMLNGRVNIACGTPSRIKKLIDMEALSLSRLKLVVFDMQRDAKSFTLFTLPQVSNEFWDLYKGYLDQKIRGGDTRICFYGAVSEKDITKAIPPE >Et_7B_053517.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5287674:5287715:-1 gene:Et_7B_053517 transcript:Et_7B_053517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSASQSTESS >Et_1A_005171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30740882:30741448:1 gene:Et_1A_005171 transcript:Et_1A_005171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTKLLAVLALVQVLSLHVHAVSAAAGGTCRASGFLQGKAGKCNKENFSDCCKAGQKYPQFRCSPPVSAKTPATLTLNSFAEGGDGGGKSFCDNRFHPDSEMVVALSSGWLRLDGTRRCNKMVRVTANGRSVLAKVVDECDSVNGCPPNVVDGSPAVWKGLGLDKNIGEFKVTWSDV >Et_1B_011903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27079582:27082621:1 gene:Et_1B_011903 transcript:Et_1B_011903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIATEPHAFHFASAWRHFPTSPAKCRSLAAGRPRSTASVLPLAPPPLGPGSIMLRLHRCPRVYPPPPLPAVCRQSAASSPAPKRCFPPAVRAQNPPRRAPVTPAVSGEIHRGQVEDDDRGEDLGEALPRTRQLVECAMFAAVAGLAYFLSNSLAIENYFSCFFPLPVVISSLRWGLDAGRKTVVATVLLLFTLSGPVKASTYLLMHGVVGLAMGTIWSLETNWIVSIILCSIIRALGACGYVLVSSFLIRENILALITVNIHASLTYILAAAGVNTIPSMDAIYVLFGVLLLLNCGFFVFLLHIMYTVFLTKLGIKSSLRSPRWLDKVILMSR >Et_9A_061199.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21653482:21654009:1 gene:Et_9A_061199 transcript:Et_9A_061199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSAPAAEKAPTTKPHQALRGSQLKQLREIFRRFDMDGDGSLTQLELAALLRSLGLRPTGDEVHALLAGMDADGNGKVEFEELAAAIAPVLTTQTHLVDQAQLLEVFRAFDRDGNGYISAAELARSMARLGQPLTFEELTRMMRDADADGDGVISFPEFAAIMAKSALDFLGVA >Et_5B_043909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17598685:17599686:-1 gene:Et_5B_043909 transcript:Et_5B_043909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IFFPQVLSKTKNANNYIYCKYGNQWRTAFTSSLYITAAMSSLSALVYLAETALAKWCGAFISAYNGFSESASPSLTTSPTVSQAGAGASPSAWRPFQAPRTCSRLLPRGGRPEHVRLALATLPGTAGVFVKMLCAMRHKACRRRPCARLVWSDHWYWRRFVNDDKPDGQVNCVRCGARVKRCDKSEDWCTVACLPVVPSMVSKKPAGRFYNSSTRKQVWFSGVLNFTGPYHFNY >Et_6B_048296.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:10576042:10576230:-1 gene:Et_6B_048296 transcript:Et_6B_048296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPTRRSSWNRGGTAGLLPLDVARRAVDAFDGLPDDLVIAVLAGVAARAACRADLAAAALT >Et_4A_035731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4503886:4507256:-1 gene:Et_4A_035731 transcript:Et_4A_035731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADMISKLLEKAVVPLDVAPHAKTGGLRTSVFRNPNMEKLQKGYLFPEISIKHEAHMKKYPDAKVISLGIGDTTEPIPSVITTAMAEYALALSTPEGYQGYGPEQGQKSLRKAIAEEMYPNMGIKESEVFISDGAQCDIARLQMLFGSGVTIAVQDPTFPLPYAVSFCLIHSAIDRNVQGYVDNGVIMGQTGHADESGKYAGIAYMRCAPENSFFPDLSSAPRTDVIFFCSPNNPTGHVASRAQLRELVDFARRNGSIIVFDAAYAWYVSDDKKPRSIYEVPGAREVAIEISSFSKFAGFTGVRLGWAVVPDELRYADGSAVARDFDRVVCTCFNGASSVAQAGGLACVASEEGRDAVRRVVAVYKENARVLVDTFAALGKEVYGGADSPYVWVRFPGRKSWDVFAEILEKTHVITVPGSGFGPGGEGFIRVSAFNSRDRVTEAANRLKKFLA >Et_2A_018011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:916238:920063:1 gene:Et_2A_018011 transcript:Et_2A_018011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYWRYAADPRQQQQPPPPAGPHPGALGGAQQAVSQMAAAGVGQQPMKRPRPTDFSDVPGGPDMTGYYPRDEERAAYRAARDSEALNASYERFLRTGQIQSYGAAPGGEPMRPAVGGNAGYPVDDRPMMAARGMDNRNIGYGGGMPEPSLPPDASNTLFIEGVPTGCSRREVSHIFRPFVGFREVRLVNKEPKHPGGDPICLCFVDFADAAQAAIALEALQGYKFDEHDRNSPHLRLQFARFTGPRGNAGPGGPRARIVTRCQAAIVASGVRNWKPERHAWLRPICQ >Et_2A_015804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18018098:18021268:1 gene:Et_2A_015804 transcript:Et_2A_015804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPPAVDLGRFCRRRRRDARVRRRELDAGAARQPPARRAARHPAAQIGRERHGGHLLLLLLRDAKVMLSESGPNGSSSRGAKLSNGTAARHGLHTDRNDAAS >Et_2A_017284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32843002:32846214:1 gene:Et_2A_017284 transcript:Et_2A_017284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPAPTPAEALRRNRILSSKLYLDVPSSKAPVVYSPSYDIAFMGMEKLHPFDSAKWGRICKFLTKEGYLQKNRVVEPLEATKEDLLVVHTEAYLNSLKSSFRVATIVEVPPVVLIPNWIVQRKLLYPFRKQVGGSILSAKLALERGWAINIGGGFHHCSEEEGGGFCAYADISLCIQFAFVRLNISRVMIIDLDAHQGNGHEKDFANDERVYILDMYNAGIYPFDFAAMRYIDQKVELASGTKTDEYLEQLDKALEISKSRFQPQLIVYNAGTDILDGDPLGRLKISPEGVVIRDEKVFRFAKDQNIPLLMLTSGGYMMSSARVIADSVINLSNKNLIELGSQLG >Et_9A_062489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23474188:23477574:-1 gene:Et_9A_062489 transcript:Et_9A_062489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLLSILPATSISPRSRRAKHHKKVPAASHLLCSRRHAAQTPLRASPSDNGSGWSGSEDIHLLEKPSPSPPVEEDAEGEAEPEPAPVLSTEEALAPFLRFFQVKSSDSGEDASAAYPERRVESVISEEEVAARGDGTPSGGRGVRYYDPKPGDFVAGVVVRSDGRTLDVDVGAGGEPALMLAKEAVPASGDEFGYLACDVGGGNGDEETTGRRNGKEKGAPVVAVGTIVFAEVLGRTLGGRPLLSARRLFRRVAWHRVRQIKQLNVPIKVKIFEWNAGGLLSRIEGLRAFLPKPEMMTRPRNFTDLKNKIGQEMHVCITRIDEVTNELIISEKEAWAVMHLSEGTLLQGTVRKLFPYGAQIRIGDTNRGGLLHSSNITHGELRSVSDVLKVGERVKALVIKSSTPDRIALSIKDLESEPGLFISNKEKVFSEAEEMARRFRDQIANTPQSAKAEDSLNTVVPFDNEARSYANWKWLTFSKSDEVQNRKYSAE >Et_3A_024172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18078286:18089699:-1 gene:Et_3A_024172 transcript:Et_3A_024172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKRTKQEKKVAYDKKLCSLLDEYTKVLVAFADNVGSRQLQEVRKGLRGDSVVLMGKNTLIRRCIKAHAEKTGNDFSNLSDLLVGNVGLIFTKGDLKEVREEIAKYKIGAPARVGLVAPIDVMVPPGNTGANIPTKINKGTVEITTSVDLIKKGDKVGSSEAALLSKLGIRPFSYGLVITSVYDNGSVFSPEVLDLTDEDLVEKFAVGASMVASLSLALSYPTVAAAPHMFLNGYKNVLAVAVETDFSYPHADEIKEYLKDPSKFLVAAPVAAVGTDAASATTPNEEEKKDEAGEESDGPQIVPTDW >Et_8B_059491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18418153:18421643:-1 gene:Et_8B_059491 transcript:Et_8B_059491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVSYEEQRRRQIEANRRKLEELQLYQLSAAAKPSPVSPLPPRSLLYAANIAQGQRAAKRRKPRVPRNAAGAEADVPRRSGRVANLPQKPQSSGRGKRRDLDDRVYATEEERSYAITKAEELEKGLKSRSPRFVKAMTQSHVTGGFWLGLPIDFCRKYLPKKDEHITLVNEDDEEFETLYLAAKRGLSAGWRGFAIDHNLVDGDCLVFELTEPRTFKDGCKSKKDANEKHLKRERKCGGRVGGAAEVGSAAVTD >Et_2A_017789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6210914:6212535:-1 gene:Et_2A_017789 transcript:Et_2A_017789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPASPSSISTASPRSLLHSNSSEWNVVVQRNIKSSLLLLLVLSTVFVFSVVYSSRGFGSTAAGEANPLVPGEELAAEQPIVVADSNVEAEQSTDADISLPSADTSPPNTSEQTGDQSTFLYTVSIYTNYSTQTRVFKLLLTFISGEVGTQVEEKCDMSMGKWVSEPRGPVYTNLTCPTMPDFKNCQKYGKDPGHLFWRWQPDGCDLPRFAPARFLDVVRGKRLAFIGDSLARNQMESLLCLLSQAETPTDVYRDAFDKFRTWHFPAHDFTLMAMWTEFYAHADPVMDADGKPTASFDIHLDRLSANWTSRLPGLDYAVLSGGNWFFRVNYLWEGGRRVGCVNCREAGLTDFGIAHAVRLVVRLALNAISRCRDCSRRGLVAFVRTYTPDHFEHGSWFSGGYCNRTRPLEEGEVSTAHIGWELRRVQKEEVARARETAAGSEVRFGVLDVTKAMMLRADGHPGGYYDKRWVRNASDCLHWCLPGPVDMWNDVLQQKIAEISPLPVVR >Et_3A_023393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25133639:25135756:-1 gene:Et_3A_023393 transcript:Et_3A_023393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVQRAAPVCAGVTCSRAALPEAAAAAAKAEEHGDGTLFDNLPLGGNGGDNDTKQKPDADNDDASAWKLEWLRSHIIGAEAEFASPFGTRRITYADHTASGRCLRFAEEFLLQNVLPYYGNTHTGDSYVGQHTSKLAGDASRYVKRCLGAGAQDMLLFCGTGCTAAIKRLQEVTGMAVPPTLRAAALAALPTSERWVVFVGPYEHHSNLLTWRESLAEVVEVGLRREDGLPDLAALESALAARAGSGRPMLGSFSACSNVTGLRTDTRAVARLLHAHGAYACFDFACSAPYVRVDMRSGDADGYDAVFLSPHKFLGGPGSPGVLATAGRMYRLRRTPPSTSGGGTVLYVSGYDHRDTVYSGDAEEREDAGTPAIIQKVRAALAFRVKEWVGEACVEAHEARMLALAHRRIRAAANPNLHVLLGADLERAPRLPVLSFVVYPPPSSDEPNSNAETGRPRRPQLHCRFVTKLLNDLFGVQARAGCACAGPYGHRLLGITSAHAKAIKSAVEQGYQGVRPGWTRVSLAYYTSMQEAEFVLNAVDFVASFGHRFLPLYSFDWKTGDWQYDHTCARGLLPNSSVGAGPASAAAFGGRVKTECHHHEYMAFARELADALDTACGGLDGTRARHIPKSIDPQLVYFVV >Et_1B_011823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26356631:26359270:1 gene:Et_1B_011823 transcript:Et_1B_011823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSATAAAAFGAAAAAKPRGPAAAPGCARVPVAGGRRRSSGVVRCDAGVEAQAQVAAKAASIAALEQFKISADRYMKERSSIAVIGLSVHTAPVEMREKLAVAEELWPRAISELTGLNHIEEAAVLSTCNRMEIYVVALSWNRGIREVVDWMSKKSGIPASELREHLFMLRDSDATRHLFEVSAGLDSLVLGEGQILAQVKQVVRSGQNSGGLGKNIDRMFKDAITAGKRVRCETNISSGAVSVSSAAVELALMKLPKSEGMSASMLLIGAGKMGKLVVKHLIAKGCKKVVVVNRSVERVDAIREEMKDIEIVYRPLSEMYEAAAEADVVFTSTASETPLFTKEHAQALPSISDAMGGVRLFVDISVPRNVSACVSEVDHARVYNVDDLKEVVEANKEDRLRKAMEAQTIITQELKRFEAWRDSLETVPTIKKLRSYADRIRASELEKCLQKIGEDNLNKKMRRAIEELSTGIVNKLLHGPLQHLRCDGSDSRTLDETLENMHALNRMFSLDTEKAIVEQKIRAKVEKAQN >Et_8B_058880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10296262:10296737:-1 gene:Et_8B_058880 transcript:Et_8B_058880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKALALALLVSAALLLTEPQPAGAQVFCRSQFNLANEACSLRSFVPGARRPGVPLEKLNETSATARLPPFMNAVRHAIKLMPIEGCEVSFECPGPLY >Et_4A_034223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3136825:3140588:1 gene:Et_4A_034223 transcript:Et_4A_034223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRFGLRGASPKADPESDGPRSPRPRNPEPADSDSAAGSPAAVFKYSVAAADLRKLVRRLTNNTSPRHERIQLPEASTHTHSRRMTMSTKPAAPALRFRYTGVQQSPSGGWTAHVDDPDLLGARVIGAFADEHAAALAHDRHQLRPGFLRRCRMRRGDIDVCAIVADGTYEARYATFLRAVFALEQYGEFLNVMIQFFIDRAAEIGEEALVAGGEKLVARFVAMHRNKAGDPAWRGWYNRKVAQCLMEMERQRQQKQQQQQQFTEQKTTNKSSSSTVLSRPLFDGFVSCVKKPPPAGGLLDIDNFQPRRCVPRLHVFRNKTHKLNDGGGACGEVEAGRAPVRWTLVTSD >Et_9A_061681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15211897:15218322:-1 gene:Et_9A_061681 transcript:Et_9A_061681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVPLTVPLRMIVDDELWDAEDLAGRLGIVAHAAFLRAGFVPYGDEPNSGHLLKQVDETGGSSSAPSLSRRYTAAWRREGAAAPAEVAVQELLARGNGDLAFRAFLLARDGYRSFLCEAVLDAAAQAPLLSGSLEDAARALEEAGSAGARLWDALAEWVFPVLLHELRARNATRFASLPDDAKVEVLKRLTDWKDLARVECTSRQLRRLVAERDGELWKPMYESMKLLPPEAAEGSGDDSEGLAGSWKERSVNAWRCAAVNARPSCSVTWPGEWEAEDLAGRLGTVAHAAFLRSGFVPYGDEPSSGHLLKQVDEVGPSAPSLSRRYTLPQLVRRSRCDVAVQELRGGTNGDVAFFRAYLLTTDGHRRRLCEAVLDAAVLAPLISGRLDDAARALETGGGGGAWLWKSLVDWVLPVLHLELCRRNDLPVTGFASLPDDAKVEVLKRLTDWKDLARVECTSRQLRRLVAERDGELWKPMYESMKLLPPEAAEGSGDDSEGLAGSWKERYVNALRQPQRRSRWAARLFDSFEELFSRHLREEHEAGLQERLREFEFSSLWEDSFFVEPVEFGVYDYPPYMVHNYPPAPPPEPEPVARRKHDDAGSHRRKVPRHEFRKKRHGAGAIHSPSSRYKWNHR >Et_5A_041935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4420925:4427840:-1 gene:Et_5A_041935 transcript:Et_5A_041935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFARAVEDGLKLSKRLVLPGGVPPPRPPTGMRRTLVDAPPDAAAAALLLPSAPTAYAVVVDPAAVDTPDVPSYQPYVYGRLDPPALIPLQMKEVDLAVDCALDVAHVTVRARWWVHCITRSRECDVRLVVPMGEQGSILGAEVTIGSRAYNSQVIEVEEQTTVNSAKTESSGLLKPGLFFLTIPQVEGGADIFATFRWSQKLLYDNGNFSVDIPFRFPYFVNPLPKVFMKKEKIQLTVNSGFSKEVLLQGTSHPLKEKGRQGDKLSFRHEAIVENWSSKDFNFSYSVYSGDLFGGVLVQPSTLRDYDDRDRFCIYFLPGNNNKKVFRKAVVFVVDTSGSMKGRPLQNVRKAVCTALSELVQGDYFNIITFNDELHSFSSCLEQVNDKAIANATDWMNSNFVGEGGTDIMHPLNEAMALLSSAHDALPQIYLMTDGSVDDEHNICQAMKTELNNRGSRSPRISTFGLGSYCNHYFLRMLASIGKGHYDSALETGAIESRIVSWFRRASNTVMANVTIDATTHLDEFEVDSECIPDISANSPLCISGKYQGKFPETVKAKGYLADMKEISIELNVQQIKEIPLDKVLAAQQVGLLTAKAWLSADKQLERKVIKLSVQNSVPSEYTTMVLVQTNQDKVDAAQKDIKPPEKLQIFDKAAGCCSSVADCLCCMCCIKACNRMNDQCAILMAQVCAAFACLGCYECCAEVCCGGSDS >Et_1B_011126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17861930:17863310:-1 gene:Et_1B_011126 transcript:Et_1B_011126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFGDDAVGGDGTRDLFPNPDPYSTGASRLDLGRIDLNAEGFAPPGGFVGTGDLAGPSGFAISSSSGYAAPPPPMFGAPTAAAMVPAYGPFVGGHGGGFAAGAGDVAAYGPFGGGHGDGGAADGSGVPAYGPLGGGHGSAVLAGRGALPAARRRGSRGAGGAPARLGGGPRIGAAGRGQTAAGRGRGRGATEDDDEADDVDSEDDEHMVLPWWTDRECPRSHWDDEKTEILLDIMMEAKIKGYYLNGNMRPWGYAYLRVQFYERTNIKQSKLQMRNRLTQLKVVYCVCTKLHDQTGRGCHANGWPKASKKWWRETLQGRNLAELQNLKHRGPPYYDKLKEDSEEAEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEGDEYQQYEVPTALRSPYGSPRGF >Et_8A_057640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5614556:5616781:1 gene:Et_8A_057640 transcript:Et_8A_057640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNRREHASAMPPAAGGATASASGGAGSGGGSQATEKRKPPFRPAPDDTKPVLRDPISRSDPVEAEQAELWRQPWKRVGTQLKPGWE >Et_8A_056159.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:9221206:9221610:1 gene:Et_8A_056159 transcript:Et_8A_056159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFIAIHPRRGGGERETSLAWTYLHACFHRGILGGGPATGVIVQCGDRAAAAASAECKPRRGSETSHVGRSEHGVHLTLELDHHAWVFLCVPRCDRGRASLCTYMYSAGNKGTKPRRNRCAFRRLIYRGKLAS >Et_10B_003754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5575029:5575730:-1 gene:Et_10B_003754 transcript:Et_10B_003754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKAAFTTDKQVFSDLLTKACVGFIDAVEIEGDGGPGTITTMKANPAVQDMKLLKSRVLARDDAARVLKTEVIEGGKIKSQLKSEVAEMKVEPTGDGACVVKVTVDYERDGGPLAPEDQAKVTKAYLGLVKKVEEYLVANPNEFA >Et_9B_063845.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17143528:17143968:1 gene:Et_9B_063845 transcript:Et_9B_063845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATASRAPGPAALLVIAALLLVLVPAPPRAAAAPSCSTVYGALMPCLGYVQSGGTTVPSACCAAIKQVVSGARTTPDRRAICGCLKDLAAVAPAPYISRAEGLPDRCGVQLGYKLRPDMDCNSYVNENLYSPGFELFSATSSI >Et_7B_054365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20315277:20317448:-1 gene:Et_7B_054365 transcript:Et_7B_054365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAARGGIEKFSSLDGHHPMISLRGKTPKFVRAWHSYSRCRCPMRFLIVRPIEGEQPRKSVIGCIVAPNFDLQEGAANFTAVHKVPLGKCWDATLTICYEAQTRLLNPFYKSVNGCIITPNFDLQEGMKNVIAVHKILEPATHPSCRIRFRWTSLFNPPRHLPGLEPSKGACQHAVPYSHDVAAVTAIIHCTTTDAYDGRILYLHLAFFIIKHHGQCQLVIFHGRPIDAVLEGDRVAIGTEQTSSTNADYPER >Et_5B_045366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:208073:210679:-1 gene:Et_5B_045366 transcript:Et_5B_045366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPRRCSLLKRALLLAVAALALRLLYGAFLSVAGAGADWPLHPASAAIGLTARTYVQADVGAPEAWRSRGWRKAVDYHAAVLAAHIADGVLAPASRAVCLGGAQEALALRELGVSGAVAVAKKRSPPLVVAGDERRLPFENNSVDFVFAGRALDSAKRPSDLAAEAARILKPEAHLVVLTSSAGDAYSLRSIQALLPSLRLLRSREINGPDGATHRELLFQKLFHDDGTRPTSSSFGGNSVTNNCTIGDHKLQLLMRAEPLIQEEPRKPWITLKRNIKNIKYLPALADISFKRQYVYVDVGARSYGSSIGSWFRKQYPKQNHTFQVFAIEADPAFHPEYAAKKGVTLLPYAAWVKNETLKFEINGDPGKEEEAKANGRGMGRIRPTAGKKMSGGEVRSVPAFDFAEWLKQTVSQQDYVVMKMDVEGTEFDLIPRLFDTGAICLIDELFLECHYNRWQKCCPGERSPKYENTYEECLELFSSLRESGVLLKGLKESGKGIEVSDEAVLGGYGFVLLEKYTQRKLSSIPWSVMKPPFLALNHVEGWEEVDKPVFLNLFSEKKAIDGVAGDLLTRSSRKWWAIPQGWAGLINMPRHRALDRAC >Et_8A_057875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8586420:8589608:-1 gene:Et_8A_057875 transcript:Et_8A_057875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGTSTAAAVAASVLPRTFHWRCPWHRVGSRRGRAASTRWLVGASASPTAVSAGAPDEAVAEPLTVEPAPETKLSKLACPICYYPLATPSDQSALECSTCKKTYPKKQDYWDLTVSVGLTEYSESMPAATEFFRTPLISFIYERGWRQNFIWGGFPGLEREFEMAKTYLKPTVGGTIVDASCGSGLFSRLFVKSELYSLVVALDFSENMLKQCKEFVKQESISDERLALVRADISRLPFVSASIDAVHAGAAIHCWPSPACAVAEISRVLRPGGVFVASTFVADVIPPAIPILRIGRPYISQITGNNTFLSEVELEDLCKACGLVDFTFVRNGFYIMFAATKPY >Et_8A_056206.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:15002542:15002685:1 gene:Et_8A_056206 transcript:Et_8A_056206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDMITSELEAASQAAQKPLPSPRKGMVPRPPTSWPGRSSSAIAAAQ >Et_6A_046189.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:921672:921824:-1 gene:Et_6A_046189 transcript:Et_6A_046189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWCLWRRRLASHGCSNDGTKRPLVRHGVLCLVQCSTTMFPSSSSVSTNL >Et_9B_063718.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:2513849:2514922:-1 gene:Et_9B_063718 transcript:Et_9B_063718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LSKLERNTSKKKLEKKYPFPFSFVDKCKYTKHFVVRALKPELARFTHHLTHLSKSLNLSRHVIRILYLTAAQIPKAKPFQGQEQTKKKRKVQISRPLNSVHGQTSRGSPLPFLLHMDTTRQPIVANPAASADLTPPAAAASDSLPEEVTGDSESDVAASVENAGRSSGADEDDDDDDDDAESCYGGGGGNGVCFIDSAEDESDDDEFDDDVAAMEVDLTEVDSKMSLPWWRRTGEYAARDGGGGYCAPSVAAAEGAINAAESNRMFWEACIAHGY >Et_4A_031789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15162251:15163054:-1 gene:Et_4A_031789 transcript:Et_4A_031789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFASNAWASGLGKKSPPNCNLSNAACSDDEASSCTSREEGLECPICWESFNIVENVPYVLWCGHTMCKNCILGLQWAVIKAPTVPIQLPFFVCCPWCNLLSLRILYKGNLTFPRKNYFLLWMVEGMNGERTTWISSGSRANRSASYSNPVRRHLPPQVDTASSRANHATNRVPLLNAERVQASLRKSLSFLVHLTAKFPLVFIFLLIVFYAIPASAAVLLLYILITVLFALPSFLILYFAYPSLDWLVREIFA >Et_8A_056288.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19691429:19691467:-1 gene:Et_8A_056288 transcript:Et_8A_056288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSMSISKQT >Et_8B_059022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12424195:12426391:1 gene:Et_8B_059022 transcript:Et_8B_059022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPATAPPSALAGALQPTAGHLCVPTATSRSTRAGATALASLTALLSSDHPVASYPSALPRALRAVADLRLPRLGLQFHALLAKTGLLADPFSASALLHLYATLGPLPHARLLFDRIPKSASPIAWNTMILRYAQDGFLNEAFELMAAMEESGVPVAVSTWNAVMAGCVRGGNGELAVELLGEMVSARSMAPNVATLNTLLHVIAVLRRFDVLRELHAFVLRNACVVGLGPADLDRLWESLAAGYMRSGCVRYASHVFCDVRTNTCLLGNLMVSGFLDSGQRDQAFNVFREMAFGCGYEAQHLPSVSLTLLLPYVNLATKRGLEIHAYVYRHGFECDTSVCNALMAMYAKRGEIVLAQRIFQGLDDKDTVSWNTMISSYAAIQDFDLSFELFREMHRSYTRPDDYTFTSVLNACSFAGYLRQVSALHGQMIRIGLCHSSVEDLNSLMDAYGKCGSIDSAQKIFDETNFKDTISWNIIISCYGYSAFPHQAVTLFHQMQAQGYKPTRVTFIAVLAACSHAGLVDEALRYLEAMHRDYNVHADEAHYACIVDCFGRAGQLQNAYDFIKGMPLVPSVCVWGALLSSCRIHGNIHLANIAAKKLTELDPQHSGYWILLKHIYEKAMRWNDVSQLRTAMRDNGIKKCPGYSWIEVGDSELHRFLTADKLHRQRNQIYETLGGLTKQLIDEGYEPGIGADLTYTD >Et_6A_045881.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2425517:2426050:-1 gene:Et_6A_045881 transcript:Et_6A_045881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVTNLFGSKKESSKRKVRKDGAKQSTSFGSTASSSSSSDEASPRTVLPPPPASASKKKPAVAVTREELEAALRRVVSSEDELAEMLAEAGVVLEEIATAGASAATDERELKETFAVFDADGDGRISAEELMAVLASLGDEPCSVEDCRRMIRGVDTDGDGFVCFDEFTRMMMLGV >Et_7B_053673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1136195:1138475:1 gene:Et_7B_053673 transcript:Et_7B_053673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISRAIRACAAVSRRCLASSASSTEAAAAGLRAAAAASTGRKGRDREDGRRVQWVFLGCPGVGKGTYASRLSQLLDVPHIATGDLVRDALASPGPLSKQLAEIVNHGKLVSDEIIINLLSKRLEEGEEKGELGFILDGFPRTIRQAEILEGVTDIDLVINLKLREEALLAKCLGRRMCSQCGGNFNVADIDIEGENGGPRMYMPPLLPPPQCESKLITRADDTEEVVKERLRVYHDLSQPVEEFYRARGKLLEFNLPGGIPESWPKLLQALNLDDPDNERSAAA >Et_2B_021580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3190727:3191187:-1 gene:Et_2B_021580 transcript:Et_2B_021580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRR >Et_7B_054350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20215262:20217015:1 gene:Et_7B_054350 transcript:Et_7B_054350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GTSASRVKEAVRDISFYLVDTSSTAPNAIYFDGWDGLGASAVLRAIAKEPPPFLTNRFNKILHIDCSRWKSRRELQRTIAEQLKLPPHVMAILKRQDEDDDFSGLDEYSRAEVAEITTEIYQAIINLSCLVIFHNGSDGMVDLTDFGFPQFNWFVPNKLLWTYRGRFRLNTKIGEKVDSSHRFLRTLGFSLYKADPLVEEAREIVLHTQYKEIISIEIAEKCLSYLLLLNRNDCGIMDYNWETQASNYWVCMGSSKKVSAALHQELRLEDWSAKELLNASAQHWQLVIYTSESAEMAKISPEITSYFLSTKNLLYAPVPNDMCQKSERLRVLKLSGCSFSFYSPPFRCCRGLRFLGLDHCKDQPQEEEEEEERKLETLVMECFQSLWVLDINRTDWEVDFSPDAVEKMAKNIRDVHIRKGRIWGKNLAWRQLQNIRKLRVINPTSSWETGNKDEFKDMVNLELLDLSGNITIQAMPTLSDATSLKTLVLDGCVTLEHVDPKDLPPSLESFSFNARSDKLSKISLTGCVKLKSFLLRGSFPLLEELNLSGTLIRKLDLSDEVVQVTRLKKVILLGCK >Et_9B_065543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6501932:6502794:-1 gene:Et_9B_065543 transcript:Et_9B_065543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAGYRYTTTEKGGGAVNLSALVTTAGIASMEHNMWEGIERVGVLDRYLSSEVLESGGKVDGCAGTDALGIGALLELAADTVNREHKAGLDRAKHHLLSGAAGLAPSRSLLHLAASSNASGIHGCYFVVQ >Et_4B_036115.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24989589:24990665:-1 gene:Et_4B_036115 transcript:Et_4B_036115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTRRACAATLLVGLAVPAPAVKAEETVSGGDEGVIGAIKSIFDPNEKTKAGKVLPKAYLKAAREVVRTLRDSLEEDDGGDIARFRRNADAAKESIREFLGSWRGQQAVAAEVRHARPSAQAQFFFSFTSPCSVHLPACFTAGIVRRVGEGDQVAGRVLLQSRAIGLASTGGEEQDPG >Et_5A_042228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7845072:7847372:1 gene:Et_5A_042228 transcript:Et_5A_042228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEDRRANNWQMKNSISLPVLDNLWSFGAQQGYIFLQGNPKIQDPEDRTCYSLDINTLKLERVIRISYRHFLGHPSQIRTEVNR >Et_3B_031090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1583355:1585272:1 gene:Et_3B_031090 transcript:Et_3B_031090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVETPSPKHHADGGKQLQEAAASRSYWRWQKEDFFPEPSFASWGAYRAALGATPARLRDRFAGRSTDAAELGALRRRSENEMRRCLTWWDLTWFGFGSVIGAGIFVLTGQEAHDHAGPAIVLSYVASGLSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDVAAFIAAANLILESIIGTAAVGRSWTSYLATLMNKPASALRIQTSLAEGYNELDPIAVAVIAVTATLAMLTAKGTSRVNWVASAVHVLVIAFVIVAGFIHADPSNLRPFLPHGVPGVFRAAAIVYFAYGGFDNIATMAEETRNPSRDIPLGLLGSMSAITAIYCVMALVLSMMQPYTAIDRNAAYSVAFASVGMRWAQYVVALGALKGMTTVLLVGALGQARYTTHIARSHIIPPVFALVHPRTGTPVHATTLIAVASACIALFSSLDVLSSLISVSTLFIFMMLSTALLVRRYYARGATSRTHARRFVALLLLIIGSSIGIAAYWGTSPDRWVGYVVLVPAWAAGTLGIQLFVPMARSPKVWGVPLVPWLPSLSIATNLFLMGSLGKDAFIRFGVCTAIMLLYYVLVGLHATYDVAHGGDEDDDDMAEEPAVADVEKLAGAADNGK >Et_4A_035903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8204068:8208924:-1 gene:Et_4A_035903 transcript:Et_4A_035903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLALLLNRPEPKTLASAAPLHRRASPMAPPPRELMEDAVGEILLRIPPDDPACLVRASAVSRAWRRILAGPDFSARYRAFHGTPHVLGFLRNPDDLKLDRFVPTTSSFRPSAAGRRSRCYVFDCRHGRALLYDFVSNEFVVWDPITGDERRVPDEVPDLHSNFAVLCAAAGEGCDHSGCSGGPFLLARVGVQRQYLQHFAAHASYYPSETGARSAQTTADLIHGSGVMETPAAFVGGALHFDCNSNILLRYDIMGDRGLSVIKLPESKHLGSIVVMATEDGELGLASLYHDRLCLWTREAGSNGAAAGWAQRKVIHLRTLLPIAHFSSRTYLSAFAEGANVVFVNTDKNGIFTIHLESLRTTMVYCTGKADTVIPYVSFYTPAVDLLDLGPSTTGRRRILVHATGHVRTGAALVHFCDDRVADALELLHLVLELFDLGELVAVEPADGALDGVLNLLLVVRGQLGGDLVVLDGVAHVVGVVLERVLGVHLLLVLLVLGLVLLGLLHHLLDLLLAEPALVVGDGDLVLLAGALVLRRHVEDAIGVEVEADGDLGHAPGRRRDAGELELAEQVVVLGPRPLALVHLDEHAGLVVGVGGEDLLLLGRDGGVPRDQRGHDAAGRLEAERERRDVEQEQVLHLLVALAGEDGGLHGGAVRDGLVGVDALAELLAVEEVLEQLLHARDAGGAADEHDVVDAALVHLGVAEALLHGLHALAEQKSIPSYSESISMVVCAVEESVRFARSQAVRSRRSALGLPVMSFLCLRLNSCVKWLTRRLSKSSPPRWVSPAVALTSKMPSSMVRMDTSKVPPPRSKMSTFFSPSLLDFLSSP >Et_5A_042021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5337931:5342573:1 gene:Et_5A_042021 transcript:Et_5A_042021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGWQLPYHPLQVVAVSVFLALAFAFYVFFAPFVGRKVFQYVVMGIYTPLVSWVFFLYIWCAAANPADPGVLKSKKYLSLYGNDNQKHPKESRKGFSDAGLQLEGIGEKYEHEVPAASEKSMTQHKGNNSSCCRALTSGILFVFYPLSFVFSCCQSHEWSSEQQDSEEGMFFCSLCEVQVLKYSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYRRFFLLMSSALLLLILQSAIGILVLVLCFVERKEFSMQIVSKLGSSFSLVPFIIVVASCTILAMVASLPIAQLLFFHILLIKKASSDPSRSCGISTYDYIIALREQEQEVSGQQSPQMSRVSSFTGLSSTSSFGPLRRGSWCTPPRLFLEDQFDVVPSEAGSSHNSGTRRKEDALRRKKGGAVKISPWALARLNAEEVSRVAAEARKKSKVLMPVRKDDYLRGHETDSSYGSRSSGRIDLGPDNKRRTNRRGRQHSDLSLKPVAKISTDAIDSTGNDLAPEALSTLAPLQLEARSAFHPSRAASSANVGGSSPDSSLDSPDLHLYRVSAVSSSGAEELQLTALTAPGSTPQQGNELSRSTSDGYEASGGEDSDRIPSRIVHRSSNWASIILNSDQSASSSGILVPKNRLS >Et_8B_059036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12700245:12700542:-1 gene:Et_8B_059036 transcript:Et_8B_059036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVWGVVAMFFGINSRPSSYDKFWPWIKLALPRGENSGKRSLFSACAFMRYWAGLYSGGRKEVIMARAESMLKEAIKVMRH >Et_1B_012651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34099723:34107116:1 gene:Et_1B_012651 transcript:Et_1B_012651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LAPPPPEKVAFPLAAKNPAGRRRCRQAGNRAAQLSAAAPSLRITEAPAWPRGHEAVPGELCCRPGGIRRRRVMRRFFFYLPLAYSCRDGTPRAHRRRRARQRARVAFERVWPAAVEKGVSFFWRSVTDTAVCVGERKAPAINADLWYACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKDIDAHVPSYPNLPSKLICLLHSVTLHADPDTDEVYAQMTLQPVNTMTLQPVDTSSLIQYGKEALQLSELALKQARPQMEFFCKTLTASDTSTHGGFSVPRRAAEKILPPLDFNMQPPAQELQARDIHDGVWTFRHIFRGQPKRHLLTTGWSLFIGGKRLSAGDSVIFVRDERHQILLGVRRANRQPTNISSSVLPSDSMHIGVLAAAAHAAANNSPFTIFYNPRASPTEFVIPFAKYQKALYSNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRTRVSMWEIEPIAAPFFICPQPFFGAKRPRQLDDESSEIENLFKRAMPWLTEEICIKDAQTQNTTMPGLSLVQWMNMNKQQSSSFANMGIQSDYLRSLSNPAMQNIGATELARQLYMQNHLMQQNSVQLSAPKLPQQMQGTNELSKGALPLNQLDAILLQKLQQQSLISQPAVTLSRLPLIHEQQKLLLDMQRQLPSSHSLSQQRMIPQQDSKVSLHASPAPPIVKQDQQQKLLQKQVALGDMSDVAFPSISSTHVVSTAGSPMIRVAAQSVLSEEESMITSKSPTGRMCPENIVNVVPSIDNMETASSATSLWPAQTDGLLHQSFPTSNFNQQPICNKDALPDVEIQAVDPTNSPFFGINSDGPSAFPMETEGLLVSALNPVKCQNHMATDAENNYRMQKDVQQEISTSMVSQSFAQSDIAFNSIDSAINDGALLNRNSWPSAPPPQRMRTFTKVYKRGAVGRSIDIGRFSGYEELKHALARMFGIEGQLEDRQRIGWKLVYKDHEDDILLLGDDPWEEFVNCVRCIRILSPQEVQQMSLDGDLGNNALSNQACSSSDGGNAWKPRCDQNPGNPSISFYEQFE >Et_6B_048910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15375810:15377618:-1 gene:Et_6B_048910 transcript:Et_6B_048910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFPYTEIENGVLWEVEGKWVVQGPLDVDIGANPSAEGGEDESVDDQAVKVVDIVDTFRLQEQPAFDKKQFVTFMKRYIKNLTAKLEPEQAEAFKKGIESATKFLLGKLKDLQFFVGESMHDDGTLVFAYYKEGATNPTFLYFAHGLKEVKC >Et_7B_053962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13841600:13842094:1 gene:Et_7B_053962 transcript:Et_7B_053962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDLMLPWVTMEPVAWMYSSPRAAASAMRLRDSQPSGVRPDPRLPWSIDERLPAATNSYTISFSSLLNGTTLGWSIPPIAETLSRNSRSGSDVSLRRFTAMTGPDSIAALYVVPYVPLPSTSADARSRSSRSYGRGIRPKKKSFFFPTVAGTATAGGT >Et_9B_066176.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:5868695:5870077:1 gene:Et_9B_066176 transcript:Et_9B_066176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPFSSYHLAAALCREPDPAAALRLFLSPPTDAAPSAPFRYSRRCYDIIISKLAAARLFPAMEAILSRLPAAGPHLRPREKLLCRVITSYGRARLPAAARRAFAHPAFPEPRTVRALNVLLHALLACRTPLIELLSVCRDTGIPPDACTYNILMRAAASSGSLEYARHLFDEMLQRRIAPTVVTCGTIVAALCEAGKLEEAFEVKDTMAQRFNLMPNAYVYTSLMKGLCEKGDVDAAVRLKEEITGNTDVVLDSAVYATLVRALFRVRRKGEVVGLLEEMKGRGIVADTVVHNAMIAGFCEDEKDPSAAFAVLEDMKKCGCKVDVVSYNTLLAGLCKLGRWRAASELVEDMPRRGCSPDVVTYRILFDAMCAAGEFREAYQVLNEMVFKGFAPSNNGAQKFVQGIEREGDSSLLESVLCRLAKVNALESSGWEEAVGAVLNDLAELRTGKQLDSLRIT >Et_4B_038779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4846303:4848754:-1 gene:Et_4B_038779 transcript:Et_4B_038779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRLDTPAMKRTSDWILSQEFLSQEFPSDITIQVGDSTFNLHKVTTRQSRNTLPFRAYLPLASRCGYIRKQVSAGVNGSKAAAVTHLEITGMPGGARAFELVVKFCYGEKLEITEDNVATLRCAAERLEMADDAIVAGNLVGRTEAYLEAVALASLAGAVAVLRKAEELLPVAEQVGLVARCVDAIARITCNDSQFSMSLGATADGVSLSPPKAVDDWWADGLTDLRIDTFQRVLIAMKARGFKGVALGTLIMLYAQKSLRKLNIMHGRETKKMDPRQEHEKRVVLETIAHPSLSEVERKTVCRRENEELKMEILRLKMRLRDASSGGVPASGRPPLPKKAGAGFVNSVSKKLGRLNPFMRLDNAMGGGRVRAEPPKDRRHSIS >Et_3B_028926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20471002:20481275:-1 gene:Et_3B_028926 transcript:Et_3B_028926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALPKDLTEQKGPHAREKIMYYKGYNVTIYVLWSPFLVRSEEVNGSSGVFNLYLDEVDDWFSAVSRFDYVLLSASNWFTRQTYFYERRQLVGGMYVALNITTNLTTNRYSHRMAFRTSLRALNSVNFRGKVIVRTLSPMSHFEGGAYDAGGDCPRKRPYFANETAPLNELEQDFYREQVEEFREAKKEAAARGVDMVLMDPTTAMAKRPDGHPGRYGHWPDEKRTMYNDCIHWCLPGPIDAWNDMLLFGPAAAIFLSAVILLSCFTNLTPYLNYYTFPAAPRYVDSPEPVPKCDIFRGEWVPDPSLPQYTNETCSYIQDHQNCLHYGRPDKDFLKWRWKPDGCVLTRFDPYKFLEVVSNKTLAFVGDSLARNHYQSLLCLLSRVALPKDLSDPEMPHDGNKIMFYEGYNFTIHIMWSPFLVRSEEINGSSGVFNLYLDEADDWLSAVIVRTVSPMSHFEGGAYNAGGDCPRKRPYFANETAPMSELERDFYQEQVEEFREAAKEAAAKGVDMVLMDPTFAMAKRPDGHPSRYGHWPDEKRTMYNDCIHWMKLHWLVRFLFGPVPVYFSALAILIVLTNAQYFGLVGVGVAPRTAKLASSTPVVSVMKYCDIFRGEWVPDREAPYYNHKTCQYIQEHQNCLKYGRPDLGFLKWRWRPSGCELPRFDPVQFLQFVRDKSLAFVGDSLARNHMQSLLCLLSQVAYPKDISANPTTQNKVYHYRAYNFTISMFWSPFLVRAREPAEDGPAHTGHWSLYLDEPDETWVSQISRFDYVLVSAANWFSRPSLFYEKRRLIGCSFCSRQYGVPDLTLYYSQRRAWRVALRAINEQERLRGRVIVRMLSPMSHFENGTWDQGGDCKRTRPLRANQTAMEGRDLHFYNAQMEEYRAAAKAAQEKGRRLMLMDATAAMLMRPDGHPSRYGHWPNEKVQLYNDCIHWCLPGPIDIWNDMLFQMILA >Et_1A_006652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25725313:25730657:-1 gene:Et_1A_006652 transcript:Et_1A_006652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAVLAPLAAAAGAAEAVLVPMAAADVAEAVLAPMEAADAAEALLAPVLIAPQPLDADGDAEAVVAVLAPAPISLLPMSADAADTVTIAAMPVSEEDEDAETFVAPAQVATRQIAADYAEAAVLVEVSAEALLMASNDAEVSVVAQMPVSALPMAADDAVPTPAIAPSSPEQTISSGTYDAAAEDEEMPSQREIDEAIGKQTEHTDHSSAHSMSDDKQPMVDCDRNEECHDVEEFSETNQMRLPRRMTDGWWMRHRHEEDMHMGPRFCRNRPYPMERWHSPKPDYYGRLSGWSSPKPDHEKEIYNVGAGLLNPHRWTCFLNCILQCMVHTVPLVLKLQEADHPDPCPRASIGFCCYCSLKLHANESIKRSGSSFYPLSFVDRLSSISPDFERGVQQDAQELLRCLLDKLDEASVAPRTLEEPPSTEEGGVAKEVFGGRLKSQLHCRECSHCSDRYEPFLDLSLEVNMVDTLVDALESFTKVELIEDVMCDGCKTRVNMEKHLKIEQAPEVLVIHLKRFLNSGHNISKIWDRVKYTLELDIDPFMCSVGDTPQKYDLYGVVEHLGTYARGHYVCYIRSSEDDWYKFDDANVYRYSEANVLDSTSYLLFYVKQGSSPWFSSLLEKEKKIALDGSADEDPDNFLKDKEECMPSDGKDCSDSLVESAEENENGPSLQRDVDGSTLLDALGQLEESCSVGGISRGTQEIRCLTRSGDENGHADGFRYSLQKKDDYPRGSLLHMKEMEINTQGDNTCTQRGSPRKEDNTCPIQRTDLHEHQNGSSRASSGFGMFSSEKKATVESSNSNHNDEHGIGTNGIRKGKCEQF >Et_3B_028159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11013208:11031492:-1 gene:Et_3B_028159 transcript:Et_3B_028159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGATPPTLPSKDENSKKTWAYSLVDAGIIAAAVLTILLVALGTLGRRLCRHPVVRFLVWGLSMVFLPLTSFIISSLLRWIRDSRCDPKHPEDLRCHKPKIRDMWTLLLWLVLILTIKGNADVAAVAVAVSVASPTSGDVSIDGQRVRPAVELIAQYGFVAYLIVVCLPLAEWVGTWRKIIFVAFAVLGLARFALRMAAFWRASKSFALGMNARLVAGYMEQLVANDANDKEEEDALVPRYIVMGERTSHVLEDPQGYRIKRDVLKNRLSDLIMLDRVWQLAKPGGGILAERQELRDLCLSYSLFKTLRRRMSGYPLAEAGSGEALEFVLSGMDSVGAGGAADADRVFRVLVDELWFASDFYYSPVPLCTIGGWCAALNYLCSVLIVAGTVAVGWIYEYKKLVDTTPYKVISFVLLAAVLLLEAWEVVAGVRSNWTKMSLLGHYIRHESEWRRFGCIHWLLGAVLRLRPARRWRDKIGQNSVLEPRRFRRRIAGFFTEMLYGDAGLMGSVKVSPSVKEAVLRSLLSSYGRPSKGAATARGVGGKVNWALYGSNNKSWAACNGDGVVSNTELILMWHVATRLFEMKSTSASVDMIAASHISYYCTYLVAAAPELLPDSAAWTKKRYEEVSKDVRTALGKDVKGESMAARYERLMLLYVAPSENVKGHVQAMARGGEFVTLVWALLLHAGVTTRPEAPGAAAMLPIKDNSFVDAGIIAAAVLAILLVALSTLGRRRCRHPAVRFVVWGLSMVFLPLTSFIISSLLREVRDSKPAVELVAQYGFVAYLVVVCLPLAGWVGTRRKAIFVAFAVLGLGYRIKRDALGEKSDLVTLDRVWQLAEHGDGILAERRELRDLCLSYSLFKILRRRLSGYPLADAGSGEALEFVLRGMDSVGAGGAANADRVFRVLVDELWFASDFYYSPVPLCTFGGWCAALNYLCSLLIVAGAIAVGRIYQYKNLIETTPYKVISFVLLAAVLLAEASEIVAGVCSNWTKMSLLGYYIRHQSAWHRFGCINWLLAAKLYGHSGLMKSVEVSPAVKDAVLRSLLSSYGRPSKVAATARGAGGKVNWALYDSSKRWACNGDGVSNTELILMWHVGTRLFEMKSTSASVDMIAASHLSYYCAYLVAAAPELLPDSAAWTKKRYKEVSKDVRAAAGSKSTAGRYERLVAALSEDSRDKVLRRGAEIGQHLVKEYAEDEASACRILADFWSEMLLYVAPSENVKGHVQAMARGGEFVTLVWALLLHAGVTTRPEAPGAAITKAQRIDLTQDKSAAIGQPSRKSEAVLRKSSPAIGQCGNQHNRAG >Et_3A_023012.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:16973679:16977879:1 gene:Et_3A_023012 transcript:Et_3A_023012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAVSRIPSSTLTSLLPRALNPHVAVVDLVATHLTADADAAAAKPVDLTRLLPFLGHDELTAVVLRAGHSHPLPTLRFLLALPPPLLPAPPHLAFLAQSLAASRQFAHALDALSHLLRLHPAHDALPTLLRATPTAPHPSLPGLLVKALLRRVRLRDAFRAALRAAAAGAPPDTAAFNALFTALSRAGRFDELWAARAVMGRAGVRPDARTFNILVAALCRGEDAERAQGFLEELEEQGFEPDVVTYNTLLAGYCRKGKLQDAMHLFDVMPHRGVPPDLVSHTILMDGLCKAWRMKDARRMFDRIVQSGLSPDAVAYSVLITGYCNEGRLREARLLLMEMVGSGLSSVAFALRVVIQGHVRLGKLLTCLNMVAPLRKYGIIIPSESYSCLITALCEDMRPNAARSLLQWMIEDGHNPSLQMYNMVVGCFCQCDSMKEALDLKVEMTSREVKPDFDTYLALIASFCRLGKNLDGQSVMVEMIESGLQPNEAICSALVCGFCREGDLNKSELIVKLFALDFQIRCNESYNALMTSYCETRSTEESLRLQDRMLELGFVPNSETCRAIISGLSRTLG >Et_2A_017846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:726526:728625:-1 gene:Et_2A_017846 transcript:Et_2A_017846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVVVVCSVVGFLGVLSAALGFAAEGTRVKVSDVQTSTPGECIYPRSPALALGLLSAVALMLAQSVINAVAGCICCKRHPVPSDTNWSVALISFIISWCTFIIAFLLLLTGAALNDQRGEENMYFGSFCYVVKPGVFSGGAVLSLASVALAIVYYVALTSSKSPPTLTPQNQGIAMGQPVIPQQSSEPVFVHEDTYNRRQQIP >Et_7B_055732.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:318747:319421:-1 gene:Et_7B_055732 transcript:Et_7B_055732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNGGASKQQQQQMVDVENNHHHHLGVGVAAAHAGIIVSDDDDDDLGQRANWLRAAVLGANDGLVSTASLMLGVGAVKADVRAMVISGFAGLLAGACSMAIGEFVSVCSQRDVELAQLHRDGKRGGDEEKNLPSPVQAAAASALAFSVGALIPLLAAGFIADYRIRVAVVIAAATATLGAFGCAGAVLGRAPVARSCARVVVGGWAAMSVTFGLMRLFRATGI >Et_4A_033029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17175769:17185349:-1 gene:Et_4A_033029 transcript:Et_4A_033029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALISALYPDIDKYEEEELAFNEEENDRNKQIQASIAEAFRKQSDVIGKKSTAKATAAAFVRRSRRNMQANGQTTYFRGRGRAGSDDAALACSGDEDDGNGKNCGKELSSAGDSSPEKEQKRLPKWPTPRSSPARAACNEEIASDDKDDVGIIRENFSTSPLRAWGKNGTRSQSRHGNFGGSSGRMVKGGRMIKLVECLRNSDDNDSERDVHFSLLPLDGETTPNLDKSYLCCGPTLSIKQLCQFVASQTSHNDEEVEMYALKPSYSNIVGTSKSSLGKARLAGDERLSDLRSSYTFPNGVMYQGAYKSAASDGKTTGDPDVLLQRA >Et_8B_060151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6514791:6517449:1 gene:Et_8B_060151 transcript:Et_8B_060151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPAGANAAPKLANGEVSSGARAVRVEDEDTLPAVLRGFVNGVLAGGDEPLLRRLRAASCEAAPRVREASRNSARDLLEWTRRGSGLRAILVISVGTITLIALTGLLIFMSFLLLATANAVVVSVLMSLAAAGGFLALFFACLVAVYVGAVSIATFAISTTVISAIVAVLIATGWLGFFWMIWFAARKSMDLTKRSIGMTSSAIHSYSASRHVNQKPVD >Et_6A_046316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12943189:12944603:1 gene:Et_6A_046316 transcript:Et_6A_046316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLDDKECYTYTTNGASTGPRPHRKAPASRPKPTPSKWDDAQKWLVGISNGRADGIHGAPVKHRNSNADDRRLLSSSSQNGRVSCSSVDGALEYSMVATAPPTPPQLGEGDDAGETKKMDCMVQPYGSPVAVVRSVCLRDMGTEMTPIASKEPSRTATPLRASTPVARSPISSRSSTPARRRPEASASVAAAVVGRTEPVVAVGSVNCDGGDDGGDVGVAGRAPGANTLESRAAAWDEAERAKFTARLTNRLAATRRMAEEKRATAEAKLNERAARTSEKANYIRRTGHLPSSFFNFKMTCLCG >Et_4A_032030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12521573:12522729:1 gene:Et_4A_032030 transcript:Et_4A_032030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLQLHSFSLSELRGVTHDFSGSYLLGEGGFGPVHKGFVDAGMRPGLEPQPVAVKQLNAGGFQGHREWLAEVIFLGQFRHPHLVRLLGYCCEDEERLLVYEFMPRGSLENHLFRTGISATLPWGTRIKVAIGAAKGLAFLHGASTPVIYRDFKASNILLDSDFTAKLSDFGLAKMGPEGEDTHVTTRVMGTHGYAAPEYVQTAHADQQVKLVDWTRPYLSGGSRRLRCVVDPRLAGHYSVKGARAVAQLAAQCTAAQPRDRPRMAAVVEALERLQGLKDMAVTVGLWPANAPVAGRNAISAKMRAGAGARGLGSGRRTASSKLP >Et_4B_036884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12287775:12292033:1 gene:Et_4B_036884 transcript:Et_4B_036884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAALCTDPFVLSSTFLCLLLHLALRRSLLHTSSSGRLPPGPPGLPILGALPLVGPAPHAGLAALARRYGPIMYLKMGTCGVVVASSPGAARTFLKALDARYANRPDVASAADITYARQNMVFADYGARWKLMRKLASVHLLGARALADWARVRRDEAGRLIRGVAQAAHADRPVVVVVPELLVCALANIVGQITVSKRVFDAQGDESNSYKDMIVSLLTGAGLFNISDFVPALARLDLQGVQAKLRRIHLQFDALITKLLEEHAATAEERAREGRQDFVDKLRASMDDDEDGETITEVNIKGLIFDMFTAGTDTSSIIVEWALAEMLQHPSVMARAQEELDRVVGRGRRLEESDLPNLPYLQAVCKEAMRLHPSTPLSLPHFSFDACDDVDGGYHVPANTRLLINIWAIGRDPATWEAPLEFRPERFMPGGKAAGVDPMGNYFELIPFGAGRRICAGKLAGMVFVQYFLGTLVHAFDWRLPDGEEKLDMAETFGLALPKAVPLRAVVTPRLAPEAYAA >Et_1A_008307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6183292:6185913:-1 gene:Et_1A_008307 transcript:Et_1A_008307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTVKLGRALTQIGVSRTNAKEGWQQKLLEQRSWELYSKTPEITEYYSTPEETERSLQTATLHSGSQNLSSSEIFQLLSVNSISACPGNGGTPDMSKDDKGDSMINLNEEIQQSVDEIKVLLSSGNIRHTRCKKYSTRHPDYLSLGPVAKWLKDRLVNVVGYRRKREDIRLQTSQMHAALSAARLAAAIAGIIGNSPFKSTSLNDISMTDMVKHTDKKMHAAITSAAALVAASCAEAAKSAGASIEQVSSVINMGLDARAMGDLLTLTTSTAACLRGVECLKMRTITNHTLQDHMKSQKGAILPVRTPEGRLHKRMVSVDCDYDNGNVMLTLRKRHCFTPSKKYIIFHEQGEQRDIGYQRDEDGYHTINLLTSGGNIQLLFEEHGEYTSWKSFIDYLIFNQGWKLSH >Et_5A_040955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15607849:15621840:-1 gene:Et_5A_040955 transcript:Et_5A_040955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPAPWKAEYAKSGRASCKTCRSPISKDQLRLGKMVRATQFDGFMPMWNHASCIFSKKNQIKSVDDVDGIDALRWDDQERIRNYVGSASAATSSTVAVPDKCTIEIAPSARSSCRRCNEKIIKGTVRVSAKLEGQGSKGVPWYHVNCFLDLAPSATIEKFSGWETLSNEDKGTVLHLVSKNDCNKEQSKGSKRKNGENDMHGGKALKLDGSISQGTVGNKGKVVEDDSNSSSADLQQKLKEQSDLLWKLKDELKKHLSTAELRNMLEANEQDSSGPERHLLDRCADGMLFGALAPCPVCSGSLYYYNSQYQCSGNVSEWSKCTYSTTEPVRNKKKWQIPDVTENDFLTKWFKSQKVKKPVRVLPPMSPEKSGSKATQRTRLLSSDGLDKLRFSIVGQSKEVVDEWIQKLKQSGASFHARVSKDINCLVSCGELDNENAEVRKARRLKITIVREDYIGECVRKNRMLPFDLYKVESILESSKGGTVTVKVKGRSAVHESSGLQDTAHILEDGKSIYNTTLNIYYILQIIEEDDGSECYVFRKWGRVGNEQIGSQKLEEMSKTDAIKEFKRLFLEKTGNPWEAWEQKTNFHKQPGKFYPLDIDYGVKQGPKRKDISEMKSSLAPQLLELMMMLFNAETYRAAMMEFEINMSEMPLGKLSKENIHKGFEALTEIQNLLKNTGDQELAVRESFIIAASNRFFTLIPSIHPHIIRDEDDLMMKVKMLEALQDIEIASKLVGFDSDNDESLDDKYKKLRCNITSIPHDSVDYKLVERYLLNTHAPTHKDWSLELEEVFALDRDGELNKYSRYKNNLHNKMLLWHGSRLTNFVGILSQGLRIAPPEAPVSGYMFGKGLYFADLVSKSAQYCNVDRKNPVGLMLLSEVALGDMHELKKATYIDKPPRGKHSTKGLGKTVPLESEFMKWRDDVVVPCGKPVPSSVRASELLYNEYIVYNTSQVKMQFLLKVRFHHKR >Et_1B_013049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5035215:5044111:1 gene:Et_1B_013049 transcript:Et_1B_013049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNSALVGLREASLHPPALPVDADSDDATEVPWVLLEKRAYVADRSNATTACSVAWCGIEIQVTCCVASPPRVSYLCVFCRATSAGRDVDDQTDAMLGEEPEVIATEGNLLRIVVGTKKSIRYEHDFYIYRPGGHGGPSLTRLKLTSLTHNSKEETWTTTAVSVDEHQLQQYQEDGFFTHINTKVIAIVGDDSAIGFVDLWRGILLCDLRQVQDNKRLHYIPLPDAIRPDEHTNDDARLSRDIAVVEGRIRCVRRWFDWVKDAWMAASWSRTTSLEGDWEMNYKIELSNMNVDNLQYELLPQPDGDVEGGPVPLFVDLNIRQPTLSLQNGDASVCFMVKSDSHDERAWVIAVDMMQNKLKGVVEFDAKRYVAVGFAYLHSRISKYLKICLPDATLHPPALSSDVQADGATALPWVLLESDAYVADRRNATTACTVSRCGGKELQVTLLYVDRPPRVSYLCVFCRVTSAGRGQEELAEDMIGNEPQIITTEGDLVLLRMEVGSRKNRHYEHDLFVYQPGGPDGPSLTMLKRPPGDRIFRSREIGLLRCRRHGGSSGSHSSYMVAALCQDISHPVPGQFVFYLYNSQQQSWSTTIVSVDEEQMQQHQHACSMHFNTKEEKTTPSASSTSGEASSSLTCVTSKRTQGFATSLFRRYSSQWRRFFDDDDARLTRDIAVVEGRIKCVRQAKYLLEDAWFATSWSRTTSSLEDAWQMDCEIESSAMNVSIPQFELLPKPQGGDGPVTPFKGLTIMQPTLSLRSGDDTVCFMVKGDYDDEKAWVIAVDVMENKLQEVVEFDAKRYVPVIQICEDSNASFTLECTAVHFSGGSKRCAYSFGINDVAADGATALPWVLLENQAYVADRRNATTACTVSRRCGKTEVQVTLCVNAPPRVSYICVFCRATTCSAGRPGQEGRAQDMMICDEPEIVATEGDLVLLRVALGSREDMRYETYEHDLFVYRPGGRDGPSLTLLERPPDGHAFRSGEVGLLSCPSANRGDDDGRVSSSYYMVAALCQPKTRFVRPLPLQLQARNLDHNHRCTNTSCNSINKKAATFFHLNTKVIAIGGDDDTVAFVDLWRGLLLCDLRHVQQNPRLRYIRLPEELRIEEDRFDVNDARLSRDIAVVDGRIKCVRMGHQRIENSWVYEAASWSRTATSLEEDAWQMDYDIELANMDVSDTTQFELLPKPRGYRRMRGPVPPFKGLSLMQPTLGLQDGDATVCFMVNVNYDHGKALVMSVDMVKNKLQRVVQFDAKRYIPVGFAYVHSTISKYLKTC >Et_10B_002406.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18237049:18238151:-1 gene:Et_10B_002406 transcript:Et_10B_002406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSSASSPLAALAVLLLICSFHCAAAARPLAAVPLVHGTLFARCCIFALNLFADSICLASLINVSELSFFLSFFLAENGAKDAADGLVLQEGAAANDELSVSEVRKHKPLIQSSQIDLLVRLSVANLSAPGSVLQVMRAEEVEEATPCEEGNDECMQRRLLRDAHLDYIYTQHKGKP >Et_1A_008247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5647875:5648727:-1 gene:Et_1A_008247 transcript:Et_1A_008247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSRGMSCRGATCGIVALLSVAAFACSLAAEFRKVKEKDMKVDGSLCALPRSSAFELGVAAIAFLFVAQLVGTTAAVTAAGVAKPKKSSPATRRRVAFVALLVLSWASFAVAVILLATAASMNRGQRYGRGWMDGDCYVPKNGVFGGAAALVVVTALITLGLTFATEPRSSSSAAAAMASAPAPRADASATPTTTHLDAEQAGGRSKQ >Et_1B_009862.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:34794609:34795223:1 gene:Et_1B_009862 transcript:Et_1B_009862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSARSADTTTVSSAGCQPSTTWERETESRPPIFPLQLDFVVPRKKRNRPANRRTNQSWSPHFLLDAVRTNTPISSTTTSGGNDGGGTGGAVVRRRPVPRPRNRQSQRVCSHCERSDTPQWRAGPEGPGTLCNACGIRHAMGKLLDEYRPSTSPSFQSDKHSNRHRKVMKLREQKANKEAPRLMDATSTVPTMTGAYMSATCQ >Et_7B_055223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8421829:8432050:1 gene:Et_7B_055223 transcript:Et_7B_055223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKERLAGVERLHEALDAAARRGLTAAEVTALVDTCMDLTRDANFRVAQGGLQALSAAAVVAGEHFKIHLNALVPAAVERLGDGKQPVREAARQLLITLMEVSSPTIIVERAGSYAWNHKSWRVREEFVRTVAAAVGLFASTEISLQRVLLSPVLQLMNDSNQSVREAAIYCIEEMYKHMGSQFHEELQRHNLPSYMLKEINSRLDKIEPKVRSSDAVVQYKAAESRSVSANPKRGSPRTKSTPMESTLFGGDTDITEKPVEPVKVHSEKELLREFEKIAATLAPEKDWSLRIAAMQRIEALLYGGAADYPSFLMLLKQLVPPLSTQLSDRRSSIVKQACHLLNVLSKELLGDFEPCAEQFIPMLFKLVVITVLVIAESADTCIKTILRNCKVARILPRIADTAKNDRSAILRARCCEYALLVLEYWADAPEIQRSADLYEDMIKCCVADAMSEVRATARTCYRMFAKTWPERSRRLFMSFDPAIQRIINEEDGGVHKRYASPSLRDRVVQPSRASSHASGTHIPGYGTSAIVAMDKSAAVSSDSSFSSNNLRLSQSKTIGRSSERSLESVLNSSKEKVSAIESLLKGVSISDRQNFSAARSTSLDLGVDPPSSRDPPVPLAAPASNLLSLQNSALLDSSLPTISGASSRNGGSRLLDTVTTQLATKQRSRSPYLSNISSESMSGLSLPYLRRSSERLQEGGRMDEGYDIRSTRRIPQMHMEKNYVDMPYRDAAYRDSHNNHVPNFQRPLLRKQVMSRASASGRHSFDDSHVPSGDVSGYADSLATLNDALSEGLSPSSDWVARVSAFDFIRNLLQQGPKGIQEITQNFEKVMKLFFRHLDDPHHKVAQAAFSTLAEIIPACKKPFESYVERILPYVFSRLIDPKELVKKPCSSTLEIVGRTYAIDMLLPALVRSLDEQRSPKAKLAVIEFANKSFSKFTVDSDGYCNSGFLKLWLSKLAPLVNEKNAKLKEASISGIIAVYRHFDSTAVLNFILSLSIEEQNVLRRALKQYTPRIEVDLVNYLQSKKERPRPKSYDQADFGTSSEDGYALTSKKNYPFGRYSSTSLDAEGGKKASTAQESTIHNVSIGRTTSDMSIDHAIQSLEPSTEPEVLLNRSRESKINNSSVVEAARSWTNYPEKTDASLDGDTATGTQLDFSRLPTSDGQNTVGSTTGESVHEGEMIVNLSSIKTSIHTDNGLSIPQLLHQISNDTEVSSSEKREALQQLVNASLDNNSSIWAKYFNQILTTVLEVLDDSDSSTRELALSLIAEMLNNQKDAIEDSIEIVLEKLLHVTKDAVAKISNEANQCLNVLLAKYDPFRCLAVIVPLLVSDDEKILVVCINCLTKLVGRLSQEELIDQLPTFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFAPYLEGLSSTQLRLVTIYANRISQARSGKPIDSNQ >Et_8B_060702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5142393:5145432:-1 gene:Et_8B_060702 transcript:Et_8B_060702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPAIAVYTSPPGAVYAAPELESTSRGSSPCATAASPSPGSSLRHAGGLSCLFASPSAAPRATAHEELGALWHDRSDEPAIASVVSGGGYSCPQPSSSPFKLRDHFHRSPAPLFHSPASSPASRSPSVSWLAGRERERLFSSIVRNALGSCIDYAPVTTLPLGVAAAASVDAAELAFELDENLSEEEPSCEPYARELLAGAQARHRIFHDELVVKAFFEAERAHRGQKRASGDPYLQHCVETAVLLAKIGANATVVSAGLLHDTIDDSFMDYDDIFQMFGAGVADLVEGVSKLSHLSKLARDNNTASRTVEADRLHTMFLAMADARAVLIKLADRLHNMKTIEALPLVKQQRFAKETMEIFVPLANRLGIATWKDQLENICFKHLNPEEHKELSSKLAVSFDEALLTSTLDQLDKGLRDEGISYHSLSGRHKSLYSIYSKMIKKNLSMDDVHDIHGLRLVVETEQDCYRALDIVHKLWPRVTGRFKDYILHPKLNGYRSLHTVIMCEGVHPFEIQIRTKEMHLQAEYGFAAHWRYKEGGCRHTFVLQMVEWARWVLNWQCEALSKERPSALGNSVGIRPPCPFPLHSEDCPYSYSRQCNHEGPIFVIMLEHDKMSVQELPANSTVSDLMERVGANSPRWSPYSFPLKEELRPRVNHKPINDPNRKLSMGDVVELTPALPHESLTEYREEIQRMYERGGFALATTPRS >Et_7A_051161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1576219:1577198:-1 gene:Et_7A_051161 transcript:Et_7A_051161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCSSPRYMAAATQPPRLPPRAPPSPRALPVINLGNLGKDDPASRALLIQDIARACRERGCFQVVNHGVSKSVMKSALEAASEFFESSTELKEEFASDDIRQPIRYDTSSRDGISLARSFLKHYANPLEDWIQCWPAHPPTYR >Et_6B_049008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16677854:16679969:1 gene:Et_6B_049008 transcript:Et_6B_049008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLVFAFRLSPLFLLLLLLPTFAAAADEEGGSAPEPGTDAAALVWLKESLSDPNGVLGAWSASSASSPCDENDPWPGVQCYKGALAGLRLARMNLSGTFDFAAIAKLPGLHSVNLKHNAFSGPLPASLVEVRSLRALYLSYNSFSGPIPGEVFGSMRWLKKLYLDHNNFSGPLPEDALADAPRLLELHLEHNRIEGPVPQLLPASLHMFNLSYNLFSGEIPRGVASRYDESSFAGNPGLCGAPGSDPSACAAIMPSPTPMTPPTPADYRAVQEETSVFVVIGIILLVIMLVTGAMVLMLRQDEMNSRAPAAWDYPGASVGVGKPMMSPTGPRAAEMVAVDVAGGSSRGGGSQSGGRRMGEFVLMRDDIAPFGLPELMKASAEVLGNGTLGSAYKAAMRNGVTVAVKRMRDMNRVGRVEFEQHLLMLGELRHANVLPPIGYHYRKEEKLIVSEYMPRGSLLYVLHGDQSPNRLVLDWPARLRIAVGIARGIAFLHEKLGIPAGRLVSMDGADFDAPPPPPPHGNLKSGNILLDSNLEPRLVDYGFFPLVNPAQAPQAMFAFRSPEGATRGVVSPRSDVYCLGVVLLELVTGRFPSQYLTTARGGTDVVHWSAAAVAEGGERDLVDPVIAAGGGEDAVRLLRVGVQCASAETECRPSMSEAAWMVEEIAGGGAS >Et_2A_017327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33200321:33201404:-1 gene:Et_2A_017327 transcript:Et_2A_017327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEAAVIHEGVQGDGGWEYGKGSPARTMSDGSSSLFSSTSSLTDDNERDDATSSPPGRRPVSCSSSSSLTSSESSDKMHTSGAAAGGGPLYELSMMLDHLPALRTGLSNYYRGRSQSFTSLADVSCAEDLAKKTTPYTRRTKASRSYAAALGAKNQLSKKIAKKTQRSSPDRLPSRASNTRLFRSSGKPPAYHGNRELYRC >Et_7B_055640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19001430:19009628:1 gene:Et_7B_055640 transcript:Et_7B_055640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYLQPYCLHAHNMKSSMVAVLILIAMVCAFGYFDQSSSHGIKTEETTSLASSYVRNEGSNFAKDTEANLCSGGIFLKKNNSFEPRSSSIAIDSEEMVKARTILLAIGVVVLLSCNVVECGPYIHHGELSRKGLKEERKLVTTGGNPSLSSLSEQATGTINAGPENSNAESTKTEAAGAVPAYTPMTATTTDSHHDISVDQYRRIIHIHSQT >Et_4A_035896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8006308:8010658:1 gene:Et_4A_035896 transcript:Et_4A_035896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPVSYWCYNCSRFVRVSPATVVCPECDGGFLEQFPQPPPRGGGGSGRRGSMNPVIVLRGGSLSGFELFYDDGAGEGLRPLPGDVSHLLMGSGFHRLLDQFSRLEAAAPRPPASKAAVESMPSVTVAGSGAHCAVCQEAFEPGAAGREMPCKHVYHQDCILPWLSLRNSCPVCRRELPAAATPDAEADAGLTIWRLPRGGFAVGRFAGGPREQLPVVYTELDGGFSNGIGRRRVTWPEGDGQVGGGDGRIRRVFRNLFRCFGQGSRQATSKTEYFIV >Et_3B_028070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9303062:9303436:-1 gene:Et_3B_028070 transcript:Et_3B_028070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRVRRHWLHMEAEERREEERRKMRQEEDERRRKYEADRKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_3A_026613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10516626:10518372:1 gene:Et_3A_026613 transcript:Et_3A_026613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGEEPLVNYELLACSFVIKNKIIRIIAHCLCIRFLLIIHPIQIAITLKEIHRKMRQAAKGKNIQSGDVSGSSKESAIDLDKFDKYSKFQEDNHEKRLQILEVQQKLSYEKLEASKIAHQTAQENKAAKMMEAYLSISSQDTSSMSDEEKAERVAVMNYMRNIKLLADNPCLWVV >Et_3A_025156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27404354:27406692:1 gene:Et_3A_025156 transcript:Et_3A_025156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLLHSLAAGRRRLQFRASSQLYSAPNLPEATASRSLVAATAPTKPWGESPPAALLFASRTISSTRMAPLPAGDAPGPPSSEHKLIMPEGEFHKLADETIHDLLEKLEEYGDSVQMDGFDIDYGNQVLTLRLGDLGTYVVNKQTPNRQIWLSSPVSGPSRFDWDAQTNSWIYMRTGAKLDQLLEKEISELCGTPLRAQSDHENAELHLIQHPVPIQVPLCHHLGQLVIAELAKPEPGRIPLQALKGYLACPGIHQQLEPLAELLH >Et_5A_041875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3731801:3735167:-1 gene:Et_5A_041875 transcript:Et_5A_041875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWMVSMVAALFVAAAAVVVREEVVNEFGAGASFVFGDSLVDAGNNNFIPTLSKANMTTNGIDFAACGGMPNSPQPTSRFTNGRTIADIISRLLAAVTRPEHHQWHNNEGRELSFRRRRDFERDRENLRESLPGIPPSFSDCVSVSHITSRPTNRSSVNRIGIDLQLQVDLLRLQHHEEATGRPAGPGQGPSVSDREKAIFSVTIGSNDFLNNYLMPLLSVGTRSPDGFSNDLIFHLRDQLTRLHKVDARKFVIPNAGPLSCIPYQNQKTIYRVEENECVKLPNQLAQQHNARLAILPASCQWLLLFRLPISR >Et_4B_038979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6563348:6566128:-1 gene:Et_4B_038979 transcript:Et_4B_038979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDASMDPLVCLINPAVVLHLHWNISQDYRVLRTCCEWFAESGHQDHLRTEATSAHLAWASLVVMDERRFIILLPYFFHNDLFTMHLKILVNKVNAGERLLSPSEVCSSVEYHVMGIPEANKFREEENTEPEERWLGICSQGQRLIEPCWALGLATYRGWQVLFMLKAEAQRAAELGMTRAVLETDASTWARRFPHQTWTRAIWAACFLSRLGSRFSSGARHRALYLTWSPATCLESVANEFYWC >Et_1B_012027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28232582:28239203:1 gene:Et_1B_012027 transcript:Et_1B_012027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAIRSRATAAALSAARRIHAGRAAEQQHRESPGLGRPWTLFRRRQQQQQHEHLPRAVAVPLRDAAGGGSDGGEPPEIWRQPGEAPAERAGAGTVGRIDVVRVAGGNGEGFDGKDGGGDNGGWGGSNLGRKFPTPKEICRGLDKFVIGQERAKKVLSVAVYNHYKRIYSESLSRGSTGDCCESDSCTSDTDMVELEKSNILVMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLAAADFDIAAAQQGIVYIDEVDKITKKAESINLSRDVSGEGVQQALLKMLEGTVVSVPEKGARRHPRGDNIQIDTKNILFICGGAFVDLEKTISERRHDSSIGFRAQVRSNMRTGGVINAEITSSLLESVESGDLIAYGLIPEFVGRFPILVSLSSLSEDQLVEVLTKPKNALGRQYTKLFEMNDVKLHFTEKALRLIAKRAIAKNTGARGLRSILESILTEAMYEIPEIRSGKDKIDAVVVDEESVGSANQHGFGAKILCGEGALDLYLAKHDNKESTLQQPEKSNIESEVDTEAPSRVASM >Et_5B_044169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2127083:2127402:1 gene:Et_5B_044169 transcript:Et_5B_044169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSAFKRNMATFAAKSSPTSASKLRWTWAQNSCIAKGWRMSCFSKETERHLKTTDSQVVIGESCEPGITGARAPTEYPGIRIASPIAFINPNLR >Et_1B_012045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28438247:28443148:1 gene:Et_1B_012045 transcript:Et_1B_012045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKRLNSPAAGREAKSEKTGPSAAAISAVLGDGNLLRLDFPSCLVRAAAVSKRWLRVASDPAGLPPQLPRPPPAPPPRLLPQHELFVPMLPQPPEVAAVLRRGCFSLDAYESCSCSTPDNDDDYEGPPNRGLIGMDCCNGRLFFSSYCCDTCRVHIPLHPARSVATVPKVPESVEPDKDFVGWKVFSREIGDGLSYFWFSFHCNEEGEATAYFYALQDGAWHMSPKAELRIHGWSQSLQEILSLLIVDDKIYLVVTVKYILVFHSTSSSFSTINFPDEMVFDRAGAEIVLAKGNDSGLYLVHLKGLKISIWLHRDVNGSTGNWLLLDSICLRDMCADLQTSDFDAEDGSTAVLLHLATVGDNAEFVFLITKKWVFYLDVRSRTLRKVYEMSEQGENVDGGEASGLTGGGCCREGSEETEDGTHPCCGLGGAQRRRPPRRGPDPPRLPNLPRPRRLRLQVLAPARLRPGLPPPLPRPPPTPPPRLLPQRELRKSFRSYPMLPRPPELAAVLRRGRFGLDHAYENSSSRSLVAAADDDEEGSSKRGITGMDSGTAGYSSLHTAAARSRTECTAPSTTLREAWPPSPKCHATSSLAETASSSGTSSPEKLETGGCPTSGSHSFRGKATAYFYTLQDGASWHMNTRVKLRIPGWSRSKQALRSFLPVGDKIFVVATVKYVLVFDSTSSTFSTINFPDEMVFDGAEILLSQISNDVGFYLVHLKGLQLSIWLYRDTTNGTMGLVVVGFHLFAWYNSSDCEAEEDGSIVHLAAVGDNAEFVFLNTDACVFYLDVSSRLLHKVYEITETDEEEGEDLSCVHIRPFMMIWPPTFPALKE >Et_4B_036553.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29452888:29453142:-1 gene:Et_4B_036553 transcript:Et_4B_036553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRSLREKEEKSSSEIEKLQQENAKLNEKMKKLKLETEEHERKASAAEAHVNALQKQSEELLLEYDRLLEDNQILQPQLLSRG >Et_9B_065469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5396544:5400022:-1 gene:Et_9B_065469 transcript:Et_9B_065469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSGSVSVDVERISFGGKEHLVATRWGSISVAVHGDEDKPALVTYPDVALNYMSCFQGLFFCPEAASLLLHSFCVYHITPQGHELGAAPIPSDVPVPSVDDLADQVADVLDFFGLGSVMCLGVTAGAYVLTLFATKYRERVAGLMLVSPLCKTPSWSEWLYNKVLLNLLYYYGPQGLVKETLLQRYFSKKVCGDAQYPESDIVQACRNLLDEKQGRNIWRFLHSINERHDLTDSLRKLQCRTLIFVGENSEFHADAIHMTTKLDRKYCALVEVQDCGSLITEEQPHTMLMPMEYFLIGYGLYRPYQFSSSPRSPLSPCCISPELLSPESMGVKLKPIKTRDGVGGRVD >Et_9A_062591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24292079:24295202:1 gene:Et_9A_062591 transcript:Et_9A_062591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPVPVPVLHLTSSSTPLLHCQTQYPRCSHPIPHPRRTARGTRRRRCRAGPATADAPAAAQMDASGQPLRRDLYAPIDPYDSGFLKVSHIHTIYYEQSGNPQGHPVVFLHGGPGAGTSAGNRRFFDPEFYRIVLFDQRGAGRSTPHACLEENTTWDLVFGGSWGSTLALAYSQTHPDKVTGIVLRGIFLLRKKELDWFYEGGAAAIFPDAWEPFRDFIPEDERDSFITAYLKRLTSSDANVQIEAAKRWTMWEMMTAHLIQNLDNVKRGEDDKFSLAFARIENHYFVNKGFLSSDSYLLDNVDKIRHIKAFIVQGRYDVCCPMMSAWDLHKAWPEAEFKVVPDAGHSANEVGIAAELVAANEKLKDMLRK >Et_9A_061592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14170146:14171418:-1 gene:Et_9A_061592 transcript:Et_9A_061592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAPLHELSPLHRIFPRASGTLSLMQVIVNYRGRGAVNPKGVEYYNKVINELVKREIEIHVTLYYWISPFQKKKETKANNSIVRSFRWLGSVKKA >Et_8B_059806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2936195:2936574:-1 gene:Et_8B_059806 transcript:Et_8B_059806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCFEMPSNLGSMTSIWLEMSTNSLLITPICPHYAEHVWRKILKKEGFAIKVGWPIFTPLDPTSRIAGNYLQDFIVLIRKLLHKQESGSRNPRKELGRLHRRGLR >Et_1A_004717.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:33842589:33843250:1 gene:Et_1A_004717 transcript:Et_1A_004717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGAADSPMSGGGGAASASKPSRYESQKRRDWQTFGQYLRNHRPPLELSRCSGAHVLEFLRYLDQFGKTKVHASGCPFFGQPSPPAPCPCPLRQAWGSLNALVGRLRAAFEEHGGAPEANPFGARAVRLYLRDVRDSQAKARGIAYEKKRRKRHPTAASKQAKMTQQPEHHHDQAHAAAAAPGDVVPAVAERRRDVHVLEPPAPHVFFPHAHFFHGHHF >Et_4A_034804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6748361:6755538:1 gene:Et_4A_034804 transcript:Et_4A_034804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRPPVVVVSSSSDEDEGGGRRAPSRGPSARRRRTPATAPSPAMEASGSRKKPRREGNAGRGRRRTSEPAPSCSLKAGSLRKTKELWVDKYKPHSLAELAVHKKKVEDVKKWLEDKLKAPKQTAGGWTLVLTGQTGVGKSATVKAIAGDIGVDVCEWTTPVPTLWAEHVHANSGLRYISKLEEFENFVEKIRKYSLLCPTSTGSKTKLIIILIDDIPVTNGSVAFARLGKCLTGLTRSTRVPTVISLTEYHKSESNDTAMWNSDDLESLLQDAGAHKISFNPVTTNSIKKILIRICKEEGCDVSEELVHQIATSSGGDIRHAIMSLQYYCLDPRRQSSVLATSATRAGPKSRDSLVPEQGRDSLSRSLPSPCGRDETLSLFHALGKFLHNKREAYGDVNIDLDPFPLKENLRRNSLKMDVPEKILSQAHGKVRTVADFLYENVLDFIDYEAVDDAWTVVSYLGDADCLLAGCPIASYNSENMAQLIAASVAARGVLFGNAHVAPSRWHTIRSPKFWQIEQSLRSTKDRILKERFECSSTSGSSNFSDIVTEFRPFERWIGPRNDRPSSCSLTHDREGGSNWMNRLDADTANSEEDDDVIEDC >Et_1A_009484.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6430855:6431403:1 gene:Et_1A_009484 transcript:Et_1A_009484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYFLFRRDSETTMPEAAAMCEEQLEDIGSPSDSESPSTSGDSAGSSSELDDDASSSSSSECHGSRSFEMASLMTQLPLKRGLSRFFDGKSQSFASLAAVGSLEDLAKPPRKRLKPSRSCGGGLDAHRGRLLSPRRHCPKAAKKAIARSGALAVLGVATRRPPLAVSARPEGVVGKVLIVS >Et_1A_006806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27765049:27767777:-1 gene:Et_1A_006806 transcript:Et_1A_006806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWKARARTPQTSPTATSSSRTTVARPAPLVPGAASTRVGKGNDAAVLVRHSSVASCVTCGLCGGILRDATTVPDCLHSFCRKCIFQKITHEDVKCCPTCKINLGHAPLDKLRRKVQKISSRHQTLVHSPSLEAHEGNHSAKNTDAYLMAKPNCETETEAGKRLGSVSPTKTSAASLHAASAPGAVPAPSAHSVYGGARQGKELASFADRRQIVEEFQARNHAPIGKDVLARIRCRDFCSVSKLTPETGALIVWQPQPIREEMVVCDQLSPMTSEYQQQVPVMTNAVQTTKPMLNVNTTPHTGSSLQHDENFRTEFLAKCNEVKISNPITIKCDSYQFPVI >Et_7B_053516.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:4696161:4696169:1 gene:Et_7B_053516 transcript:Et_7B_053516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MA >Et_5A_040293.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:11388025:11388579:-1 gene:Et_5A_040293 transcript:Et_5A_040293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLVGLKNMHEKGIIHCDLKPSNILIDSNGRHVEGKICDFGLAIYYDQAVATWSRTPRDTYGYMALEVHKAKSSCTFESDMWSLGAVMYEVITGSPLIKGRDPAGMITCMRSLFGTLSNEASTNLEAADGPQADLKWATHGALIRRQFSPQCLEVLHGLLKLDPSERLSAADALKMDWFAGH >Et_9A_061349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10396012:10397653:1 gene:Et_9A_061349 transcript:Et_9A_061349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMRGEDGQVPVYASGSQVLQKLQEKWKSTKQKYPAMYSSVIGGIILDPCMMVIPIDDHMVHRGHGIFDTATISDGCLYELNSHLDRLLLSASKAKIDSPFPRETLRTILIQMTAASGCKNGSIKYWLTAGPGDFMLSPKGCTEPAFYAVITATATSSSHLQPREGVKAITTTVPMKHPFFAGMKSVNYLPNVLAMMEAEERGAYASVWVDEEGYVAEGPMMNVAFVTKSGELVLPAFDKILSGCTAKRVLALAPKLVETGLLKSVKAARISVDEARHCAEMMFLASGLPVLPVVEWDGQPVGDGQVGKISLAVYDLLRRDINSGPDRIPVPYI >Et_2B_018938.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17643715:17643975:1 gene:Et_2B_018938 transcript:Et_2B_018938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRALALGGGAATAGFAPPWLAVLGVAVVSVWAISLAILLCGDKPQRRAGTRIDGGDPEPTGGGDGGGNCGGGGCGGGGFGGGGGG >Et_7B_054159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16276738:16285126:1 gene:Et_7B_054159 transcript:Et_7B_054159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSEQKIIDFRIYLNLLVMDLVVIQILNYMSLFSYHISKLAESEQLKETPPLSIQNHKSKNDWPSNEGEGQKRPKGSMTILKKRLKIYCVYDIEPPAWMKKKVDTTMTLPRPFCNAIGFQKPCMITLQASMDSTTLLGSGWKIFYRNTRLREGDVLTINVIQTTLWQVVITRGKENLNQSYHAHVSSDPVRRQGLDRGGGAFLGRGWRAFADACGVGGGWVLVLRHRGGSVLTVKAFDDSACIRELGASTTASDENTRSSKGAIRKPHFICHLAKDFMEKMLIPAKFVKQYFPKEHMSSCMATGCMATVLGPHGKVYSIKLEMDRLDLFFTCGWSQLVAFHGIKEYDSLLFRYEGNTCFTVKLFGPEGCQRECERMEIRVQQKSGKQQEAPSASIWKDRCRNKASSGRNYAYEIGTPSWIMKRISTETLERHLALATAFSDGIGLREPCMIELKTSMDSVKSWPVHGLPWKERNYLLVEGWRTFCQENSLKEGDFYIFNVINTTLWHVVIKRCEEQMNHLCYVSRIMKNISIDQIQKQQETPSASNRKHMSKYNGSGDEGQKKPKDSMTVLNKTSSKKRGIFEIGPKAWIKKEINTCAIKNRLYLSQVFCEAIGIREACTITLKTSMSSTRSWQARILPYGYCSNHIVGPGWTRFCHENGIKVGDVCTFNIIEPTLWHID >Et_8A_057061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20726509:20737906:1 gene:Et_8A_057061 transcript:Et_8A_057061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTPCLRNMEPPSPETRDWAALPQDILFAVFLKLGPCDIMQGAKLVCTNWRRIDMGTAPSRSAVVERVERAAACAALARSAGRCEAFSGRVDDDLLLRRSERAPSLKSLHLCYDGPNRMLCVALKKFPLLEDLDISQSHVNIVNTDSLIVSVCRTCPLLRTLTVRFSNWLYIVIHEVDSESLTVATELRSLKLFECSLSDRELVYILALYSSLFTLQAALALSTINWPSDMASPPRRRRRMEPAPAPPETRDWSALPGDILFAVFLKLGPREIMRGTDKVCAAWRRGAVGEPALWRRVDLTAVPEWKAMARAAVDRAAGQCEAYRGPCDNDFLLYLVERATSLKSIRLSHDESSVVLNVEQLNKLPLLEDVDISVTHFSNGASRNLFQSVCRACPRLKEIRMCFSLNRDSKYNDGDLIGFYQEKYAIPVMCELRSLELDWAALPHDILLDVFLRLGPCEIMQGAELVCTTWRRVTVDEPALWRRIDMGTASLRSAVVEYKAARAAVTRGARQCEAFSGRCDFTLLFFLVKRFF >Et_3A_025079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26783312:26789340:1 gene:Et_3A_025079 transcript:Et_3A_025079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLARPLCTPVCSGSGEIRARREPRGSVSLVRCQAEAAPPVGTAKVTGPYTGRDPELKKPAWLRQRAAQGENYTRLRESLGELKLNTVCVEAQGRGRWRRGRHRDRDYHGAGRHLHPRLSILRCEDQQQASTPGSPGASAHGLGHYVVLTSVDRDDLPDGGSGHFAETVRALKELKPGILVECLTSDFRGDLEAVSSLVNSGLDVFAHNIETVRSLQRIVRDPRAGYDQSLAVLKHAKSSKEGMITKSSIMLGLGETDEEVKQAMMDLRAIGVDILTLGQYLQPTERHLTVREYVTPEKFQFWKEYGESLGFSYVASGPLVRSSYRAGELFVQNLVRNNKTKKRKGNEQKLEIGESHFILMSMASLQQRTWITADVAAHDKPAKLQCLNS >Et_9B_064848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19115438:19115846:-1 gene:Et_9B_064848 transcript:Et_9B_064848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHLCISNDFDTSRLNLWIRHILLSKVLALSLNVVICGSLVEINELTLVSKHLKTCNLTMILEIDECDFFGADRISSRFLKCLSISNQCYYSENYHFHIRAPNLHSLWLDVCIGLLHLIECHP >Et_1A_008878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1750205:1751373:-1 gene:Et_1A_008878 transcript:Et_1A_008878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGAATDQPRALPLTFFDLVFWEVPPVQRLFFYDNANLLDVPDFQASELPRFKKSLAAVLHHFYPLAGRLTCELAEGVAPEIAFSDGDSVRLTVAVSVDDFHDLAGDHARDTARLRPLLPPLVQHGGGGSASQDVFAVQITVFLAAGICVGTTLHHAVADGSSYVHFMKTWAAIHRSADPECRNVLAPNSAPLFDRSVVRDDSGLREAFISEIVAADDRSLGDWDLSRRPGVVLATFRFTEKQLGSLGRHVESETSARWHAAPRGPGSCSGGSAASTSSDAYFGFVTGCKPRASPPIPANYFGNCLGLCRVEAATKQGGGGLTATAASAAIWRVIEGLAEEGSAFRDARASENAISGATPSASSHVSLPANG >Et_3B_031305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25197658:25199672:-1 gene:Et_3B_031305 transcript:Et_3B_031305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINPITSQLRIPPHHHHHHSRALRLRVSAAAATMTQTPVPAATSPAPGPAPKPAAEPEPGLPDAIAAALPLDPYEQLEVARKITAVAVAARASRLELEAARLRQRLAERDRLAAELADRAAKLEQALRDADARLRAALEDNVRARLASPDLACLIAGVSLTRFISSCLFAKAKLIEERDSLAHTSKKLARDLAKVTAPFCDLSSALLVLQTFRRHLMQSLGDDNIPIQETVDIRTCEQSVAKANSWKVMRPYEQKLTITHIAPRLTADPARKLRTAATSPRRYSNAVSPKLTSHATSPRLEGHLVMSPWLPSSKMSSAANSPPRSRGHSVSGRSSRVDGKEFFRQARSRLSYEQFAAFLANIKELNSHRQSQESELLTFTCTVVPQETLRKADDIFGAENKDLFMSFQGLLTRSRS >Et_10B_002630.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19317112:19317345:-1 gene:Et_10B_002630 transcript:Et_10B_002630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RQLLGVGNSVAVAANSTSLDERKLKLVFCTVAICGYYNRSDEVCYCCPDLSQKEYCHLTMEECKNNCATCNPKCSLP >Et_9B_063869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18453411:18454549:-1 gene:Et_9B_063869 transcript:Et_9B_063869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARRLRPDEAIPQHREALVVRRRQRGLLAAVHLLGALPDGRRRPGQDTERAPRRPRRDVPRGAQGGRPRHGAARPRRPPPPGRPARVARLWACATTSRGRSTRPTATRSLPGGACRWPSTLPQRSPSRFKRILRWTSCRTCLSPTPSTSGAAWSGHSWTTTSRAGRSTASTAAPWPPGSCAARRTSSSPRTTASRCSRGTTSPFPTSRTTPCTSCCRTRATACRASRTRWRRRPRPSSTSTCPRVASRSPSSGSRNSRPCSQQQKPTWATCCCQLSTTASHRCLWRTSCTRRSSR >Et_2A_015692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1703827:1708221:-1 gene:Et_2A_015692 transcript:Et_2A_015692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASYAAGEAPTTGEHRMGTTIVGVCYNGGVILGADSRTSTGMYVANRASDKISPLTDNVYVCRSGSAADTQVISDYVRYFLHQHTIQLGQPATVKVAANLIRLLAYQNKNMLQAGMIVGGWDKYEGGQIFSVPLGGTILKQPFAIGGSGSSYLYGLLDHEWREGMSQEEAEKFVVKVVSLAMARDGASGGVVRTVTINADGVKKNFYPGNELPLWHEEMEPHNSLLDILAAGNPDPMVHRYSASAAATVFI >Et_8B_059349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1748987:1750244:1 gene:Et_8B_059349 transcript:Et_8B_059349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLAVALVCWCAVSLAAAQSPPATTTNPLQAKCQDDFAKLTDCMDYATGHTGSPSSTCCADAGATQKSRPECLCYIIQQVHSGRNEVQSLGLRFDRLLALPAACKLANANVTLCINLLHLTPSSPDYALFANASKITPSTTTPAGDSTASSGFKLQTGIRGGIAAALISAVFSSIF >Et_1B_014261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4638772:4641454:1 gene:Et_1B_014261 transcript:Et_1B_014261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYTQEHVYRHPWDRVTAAAWRKFTDPASRSALSHVADVHTLHRRLEPAEGRLHAARSITVRSPPLPFILRRLLPAAAASPSGAALCHCVETSLVDAPRRAMDVVVRNVSLRGLIEVEERASYRPHPERPDEWTQFRQETTIRCRPLAALAAVAEKVEHRCAEKFLQNSAKGREVVERICRY >Et_2B_020091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16800909:16805200:1 gene:Et_2B_020091 transcript:Et_2B_020091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRETGYYDVLGVSPAASEAEIKKAYYIRARQVHPDKNPNDPLAAEKNWGRHTKYSVTPHSVKPMTRTGGLVFQPIFAMLFGSELFEDYIGQLAMASMASLDVFSDEEYIDTRILEEKMEEKMRVVQKERREKLAEILKNRLHLYVQGNKEEFIQLAEAEVTRLSNAAYGVDMLGTIGYVYSRQAAKELGKKAIYLGVPFIAEWFRNKGHYIKSQVTAATGAIALMQLQEDLKKHLSAECNYTEEELEAYMQTHKSVMVDSLWKLNVADIEATLYHVCEMVLQDNSVRKEELRARAKGLKTLGKIFQRVKLNATEGEQATTNNTINNSDDNDGSSPDSSPQSPREHSFDPNPPYSQSPYVEAPQFGGAYYSFFPMPAAPPGAQRDPVP >Et_6A_045859.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20347692:20348507:1 gene:Et_6A_045859 transcript:Et_6A_045859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMHFSFELLIEWNFAPETFLGEVRIRSVRILIGLGLTWFTRYWFPEESISPLAKPFLTLPLDSYFVRTQSTEAPPTYVATSSIACSYFVFPLISHQIWCFSIPSCYGEQRQKYNRFLHLSGSRFSLFLFLTPPRVVPNVWHFPYFVGATSTNSLMIKLQPKIYDYIMLTVRILFIPSVCSQVPVIVICLPEPRGLSVETFTSNRRFLMVFPLITAALSTPPDIWCQIVAPFLIYSIIEFAIFVALIVQVREEGWTSRMRESGSIEKKEE >Et_1B_013676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11890128:11892953:-1 gene:Et_1B_013676 transcript:Et_1B_013676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEALRAKDAAERKFHARNIKGARRSAIKAHNLCPSLEGISQMVATLDVHHASESKIDGESDWYRILSLSTSADEEEVKKQYKKLALLLHPDKNKSVGGETAFKLVSEAWSVLSDKSKKMLYDQKMRDHSVMNGANGLYTYDKKANKRARKNAAAASAAAEHTIRPPGADNTFWTSCNGCRMQYEYLRIYLNHNLLCPNCHHAFMAVETGYPSNGASSSFSWSSKPQKQKNHTTVDHSYNSASRTSSIPGTGHGVYQQESTYEAYNNQSFQFNQYTKTTAAATYSTQNLEKAKRRHEENHMYSYYSSANEYQSSRGRHSNRRRNVNNGYAAVGSTGETLAATTGTTVIADAGRVNGINADGVLAERYRSAVSGRKANVLREIFLLDTRGLLIDKAKAAIREKLQDLNIFKSTHFAEKWEAERKEKHAENNCKINGILSDNPMKKRKIYTTKDADVEIHATVENPEEKRIPVSIDVPDPDFHDFDQDRTERAFGNDQVWATYDSEDGMPRLYAMVQKVISLKPFRIKMSFLNSKSNSELAPISWIASGFTKTCGDFRVGRYQIAETVNIFSHRVNWIKGPRGIIKITPKKGDTWALYRNWSSDWNELTPDDVIYKYEIVEVIDDFTEEQGVNVIPLLKVAGFKAVFHRLTGPDVVRRIPKEELFRFSHRVPSRLLTGEERNNAPKGCHELDPAATPVDLLKCNNYIVETRVA >Et_3A_025355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29090988:29093327:-1 gene:Et_3A_025355 transcript:Et_3A_025355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFSAVRKVFSSSDPDGKEAKAEKADKSKSRRKWPFGKSKHSDPSTSTVSGTGPVAPSPPAPSTQPTQPHSQEIKDARRALRALRGLVRLKSLVDGNSVKRQTAHTWHCTQTMTRVQTQIYSRRVKMEEEKQALQRQLQLKQQRELEKMKWRNSGRTVTPTFTDPGNPNWGWSWMERWMTTRPWESRVTPVKDNKDRALTNNPSTSAGRMSVPRAISIQRPATPSKSSRPPSRQSPSTPPSKAPSTSGKNRPASPRGNWLYKEDDLRSITSIRSERPRRLSTGGASVRDDASLTSTPPLPSYMQSTESARAKSRYRSLLADKLEISDRAPLVHSVVKKRLSFPVIDNPSIVPTDKPKERVRRHSDLPKVDPAMLKDVPVA >Et_3B_029402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24743820:24748744:1 gene:Et_3B_029402 transcript:Et_3B_029402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARPEPQEPRVIAHVDMDCFYVHVEQRRNPELRGQPTAVVQYNDWKGGGLIAVSYEARKIGVKRSMRGDEAKRVCPGINLVQVPVARGKADLNLYRSAGSEVVAILSSKGKCERASIDEVYLDLTDAAKELLLQSPPDSPEQIFEEAARSNILGLPSEDSDKEKNVRAWLCRVDAGYQDKLLACGAIIVAQLRVRVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSSVQDFLASLPVKKMKQLGGKLGSSLQDDLGVDTIGDLLSFTEEKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKNNTSVKGWLDQLCEELSERIRSDLNQNKRIAQTLTLHARASKGNGHDSVKKFPSKSCPLRYGTGKLQEDAMKLFESGLHDFLDSQNTKWSITSLSVIASKIFDIPSGTSSILKYIKGPNSTAAPSISDSSSIPEDPSPGNNMYMTRIHEEQCEPSLFEKEDGPGSSSEANKAEEHIQAADRSNINSGGDQSTSNTWMFNIEDIDPSVVEELPPEIQREIQGWVRPPKQASTSTKKRGSTISSYFAPRN >Et_7B_054486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21928115:21928445:1 gene:Et_7B_054486 transcript:Et_7B_054486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGTEKKPKFIEQVTAHFDKQDSIVVGCKSGVRSELACTDLMAAVRSAAVRSNRSPPLYKLSFQRIL >Et_4B_039262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9242068:9250226:1 gene:Et_4B_039262 transcript:Et_4B_039262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGGGDDMDDGRLFEGVRFVLVGFDDDAESQYRSDMVQRGGVDAGRLSNGCTHVVVWGLVYDDPECVMARAQGKKVVTELWVDDSLDRGVLADADRVMYWPVKDLNGIPGAGSLQICLTGYQKKYREDIMKMVSLMGAQFSKPLLGNVVTHLVCYKFEGEKYEAARKVPIKHVNHRWLEDCLKAWKILPIDDYSKSSWELELMEARAKDSEDEAEDTGQKSFNSRSRVRRTPNMKNRAETSVGADVNSLMRSPIIPNSSREVIVERHLNAHGHIKETEDADSKTHDVTAQGNPDFGILATSAKVDGSAPIETPSVLSVKRKNAVRNNSSPNTIQESKRKIVDAKTLDNSSGAPGTPNWSANKLDSSKVDKGQHREMDGQSGSLVPTIIQSNIDNKLINEEADPNSGPHSKSSNISDPRNSKKASQKSWAPERHSVHHTASHQKAEESMPRADSNISSLEMGRQNIVERANIKGMKCNEKTRNMDTLDDAYAQPKKTLISPTTLKLQKEYLEPETIPLSSPFVSRLSDASEIANVSSVGANTAEDVSVDLVKQQSSLSTSQQLRARKTSLKHSGPVDGIKLAEYSSCGKNVKSRSKSRTPLKSVSKYKCTTSPSASVQDGKTSSGFSVDSMDGKDAEASASAVNQDLLNLMCGTRNAHAKDQTHETSDHGSRNSQVISCSGFADNTATALDGNSNDVPATANFKLEKMPSDANVKESAKRLQNASSNVQDEASHSKKVAIPTESNADSGRLGSASMETDGPVVNTSNEPVTEVIPHNHADAASKKGCSTASAAKLKAVSPKKVPISGVRNTIARRTRNALNRMDDARIASKLEEISQENTEMNPKVSDFANADGHKSNLPKKLPNTRVRHTAAKKSQKSVTDMSSEALVDKTETMATGSLFDDLFPEDNVKGSSKMLSSSASASDCRTLPPNNVSNPRVRNAVAKRKMNDIEHKIDSKCGKLGSAIVSVAKAVSSKRIKEMSCNINKINADEDIEEVSKDGAKDVSGLFCQDSAIIDKPEGLLNSKLRSSKRNIVLNSDHEKENRQDHSNFDSKANAKSGSLCSKSDAQSMQRGSDVPGKCQSVKGNDSRTLVMSEPVLFILRGNRQQRRDYRSILRRLNGRVCRDSHHWSYQATHFIAPEPLGRTEKFFAAAAAGRWILKSDYLTSCLEAGNFLDEEPFEWFGTGLSDREKISLEAPRKWRILRQQMRHGAFYGMKIIVYGQLILPTLDTVKRAVRAGDGTILATSPPYTRFLDSGVDFAVVSASIPSADAWVQEFIRHGIPCVSADYLVEYVCKPGHPLDSHVLFDTNGLADQSLKKLMKNQQEVATDEAEQSEDDDGDDLSCSVCGRNDRGDVMLICGDEDGKVGCGIGMHIDCCDPPLDAIPDDDWLCPKCQVPKTKPKPTRSTDRKLRPSKEMF >Et_4A_032982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16328944:16334678:-1 gene:Et_4A_032982 transcript:Et_4A_032982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGRRDGLMLLAVAVGVTLGCVSVFLYPDGLLFGSSTSALHWPRQVDSTVCEPSAQVTNLKSELATLERKNAAMRRQISELSMKLQLAGQGKDETLYKAGPFGTVKALRTNPSVISDESINPRLAKVLEQVAVKKELIVALANSNVREMLEVWFTNIKRVGIPNYLVVALDQNIESFCKSKGVPVYRRDPDEGIDNIAKTGGNHAVSGLKFRVLREFLQLGYSILLSDIDIIFFRNPFGHLYRDSDVESMSDGHNNMTAYGFNDVFDEPSMGWARYAHTMRIWVYNSGFFYIRPTIPSIELLDRVAGRLSREPKSWDQAVFNEELFFPSHPGYEGLHASKRTMDIYQFMNSKVLFKTVRKDAQLRKLKPVIVHLNYHPDKLDRMKAVIEFYVNGKQDALQHFPDGS >Et_7B_055104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7307215:7310794:1 gene:Et_7B_055104 transcript:Et_7B_055104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKKVEVEATKEPAPEALKEDVAEEKAVIPVTEPPAAEEKAPADDSKALAIVEKVADEPAAEKPAAEKQVSSNDRDIALARVETEKRNSLIKAWEENEKTKAENKAAKKLSAILSWENTKKANIEAELKKIEEQLEKKKAEYAEKMKNKVAIIHKEAEEKRAMVEAKRGEEVLKAEEMAAKYRATGHAPKKMIGCFGA >Et_9B_064744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18253628:18255258:-1 gene:Et_9B_064744 transcript:Et_9B_064744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYRMSPFVNSRALLANKDKGKGTKQQQQAPSSSSASSAGAGEWFTELSLALHGFLVFEVSWRDVHGINYYNELLTDTSLALEARYMKKWEFHSADQAARCTQLWFPGQLASSEATALRGHLRAQCSQLEEKDDHDDDPSAAPTDPTKYSDTLLQFRFRDSLLPLKLRQIIMSDIRLLTLLESGLPPWVIFFQSYPLLCHLYRPWMRPLARTLYLLASLATVLIGFYDLYKNVPLLRAAAARIAGPLFGWIEAWDMVSRIQYLGTILFLRNLRKFLQSLLALLRTAGALLAALAAPLANAAAPLVAAAADVLAAGVGPAWALLVDLAEALWAPVDLVLDHVVGCLWPLLQVAMLPARFAAKIAGVAGSLLSAAYNFGKDLWETVTSIFELNHMSEAQQSGFDMSLLKALWNDLFSQIFRAIRGILNGILVFFASCNRHRLRLTIYSYILFVVQSFQSSLPVSINNLLQASCSIYNHVQSRLRHMLRVAGLETPPSCRCKTKRHSGQNKQDDDDDVVECDVC >Et_6A_046786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20569441:20570732:-1 gene:Et_6A_046786 transcript:Et_6A_046786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETRGSEVISSQSHTDRLSNLPTRVDRWSQPLVMYKNYWLRPHMAGSIMLLENSFQARHDDTILVSSPKCGTTWLKALAFTITNRFRYDFEHHPLLSLHPHEVVPFIEIPFDKDLTYVETLPSPRLLATHMPFSLLPQSIVTSGCRIVYIARDPKDAFVSRWHFENKIHVGQDEIDLEAAFNMFCQGFSRYGPFWDHCLEYWRESIATPDKVLFMKYENMMSEPVKHTILLAQFLGVPFTEKEHEDRAPEAVTRLCSFENLNGLQSNQMGNISMMGNVVLQKSAFFRRGKVGDWVNHLSQEMGRKLDLVIEEKLEGSGLYKRSLLNDQVTAAVEQLWEVAATVWHNRHSKCRHTVLTAALWTIWKTRNAKAFENKDMTCSLMIRQCAADIALWSHRCY >Et_5B_043493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11182675:11184736:1 gene:Et_5B_043493 transcript:Et_5B_043493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAARSLCSRYCLSKAAVSSFDALIPQCPKLSALEVCGCSGVGTIRVHSTTIEDLDVYGLSLAGVDIVAPVLKRFKLSSYSAWGFSLSFSAPVVENLYWSCGFETMFQGIGELWSMRNMSLELEESVNLLCLDIDALESIKDANLMQKIAQFPDFSVMKLYLTTRGHAYGAIVFNLLGLCTAIKRLKIIIRQFKFRKPCPPMCPCDQPYDWRSQIISLAFLEEVEIEGFEGDVYEVDFFKHLFRCAPMLKVIIVRLSNEASRRGCKKIYHMFKSCPSLKWSFYRSCGEQ >Et_6A_047885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22824486:22825675:-1 gene:Et_6A_047885 transcript:Et_6A_047885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVHSSKLVKPAYGSGAGAPPSTQQPESVPLTVFDKVTFDQRMSAMNFFHPPAPSTAALEAGLAKALAACRVWAGSRAILLRDTPMEPTPETQSLHPSRDGDGVDDLLLVQVTRFACGSYVVGYTLHHLVANGHAMATSIWSPSPRLPAARRSTLFRALFFAQRNPSRVEFEHRGAEFKLPGTENACSSGNVVAGDEVVVHRVRFSPASISVLKSSASPPALHRAAVRGGAPVAVHHRGAEARRERDHRRRPRADAPPLAAGGRSRKSTSATSCCGRGRAPGDAADLVSRAVGRMDDRYFRSFINFASSGAVDSERLAPTADAAKMVLSPDVEVYILLCWVPVPRHRLRPRRAVLPHARLRDGGGSRVPCAVVIRRWKRLRARQPLQT >Et_4A_032610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11889024:11890675:1 gene:Et_4A_032610 transcript:Et_4A_032610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEEEAVEEEYGEEMDASESEEDVVVGQMPTVMVPKHIKKRSLKNKALSVTLDEKALRDFVTGFHKRKKKRRKEAQKVLQEKERKKKIQDRKRRKEEKEIAMYGRVLSSDNADGDGLENEGTHSDGEEMETTEIKTYEDAGTRITVTTSEITRDDDDIAPKPIAPVSTSYGNKNPKVVSKNSSLGVKKKPQKRTFKNKSKSKKGDKKRGAAKGKKKNKGRK >Et_9B_065633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8468147:8472428:1 gene:Et_9B_065633 transcript:Et_9B_065633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSSDAGDAWMLDEMTTSLLFSADSPPLAPPWRCGDKQHPSTTTDTTHLDDDAPGAPTGNSETTEIGDYFNGKCQVHLSLVDDYSDSSFFLKQRLTLALRYLKESTNQHLLVQIWAPIRNGGRYILSTSGQPFVLDQRSIGLLQYRAISMMYTFSTDGDSLQDLGLPGRVFKQRVPEWTPNVQYYRSTEYARLNHAISYNVHGTVALPVFDPTVKSCIAVLELIMTSTKINYSSEIDKVCKALETVNLRSTEVIEHPYVQICNERHQAALADMLEVLTVTCEELKLPLAQTWVPCKYQNLLTHFSGVKKSCFRAHESCVQESCMSASDVAFHVTDARMWGFRDACVAHHLLKGQGVSGKAFILRRPCFSSDVTRFSKMEYDLVHYAHMFGLAGCFSICLQSAYTGNDDYILEFFLPPDCRNDDDQKVLLGSILAVLRQHLHSLHVATDDGSNEVCLQVDAITVIGNEEIEGAHIHHLNFKSGIHASHKSDMHGTKESDSMTIISSTNYERSLLHENEFKCNVSKTTSDFTSDSSFVDINSKHNGRRRGKAEKTISLDVIQQYFSGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRQISKVNRSISRLKKVIESVEGPEGAFNLTAITCPVSVPFRQFSSLNKEKGRENKVTEVSIPSAQERRGSPSHNKPLESGGLLRTSRPQHPFLADISTLVGVEKASNLRSSSEEPSTHSGTSDESCHGSPANNTLDVTLIEPPQNMCKQDELKEEPSQGQNLLFSSLFANGSVSSQHFRNRAISSVTQPTVVPTGNLMSVQNSDIITVKACYKDDILRFRFPLSGSFVALKDEVAKRVQMDAGLFDIKYLDDENEWVKLTCDADLAECLDISLLPGTSVLRLFVTDMAVIIGSSCGSTG >Et_2B_020284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18600981:18603683:-1 gene:Et_2B_020284 transcript:Et_2B_020284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSVSLLFLLLLAAAFASTPAWSKSTLESCSSSTACPALLSYTLYADLKLAELAALFAADPLAILAANSIDFAAPDPADRILPAGLPVRVPVPCACSDGIRKVTSVSYVSRPGDTLASIAASVYGGLTTPDWIRDSNGILDADDTGAAVDAGTRLFVPLHCACFGGVDSGVPAVYLTHVVAAGETVPTIARRYRTTANDLMSVNDMATADVAAGDIIVVPLPACASSFPSYTSDVGLTVANGTYAITANRCVQCSCGPGNLDLFCVPAPLADSTCSSMQCSNSSMMLGNFTLMMTSAGCSVTSCSYGGYVNGTILTTLTTSLKPQCPGPHQFPPLMPPPTSSFFETYLGPSPMPMPSEGGVGPQIAGMAPISSPPASSGPPPAHRHVGDVLALLALCLVANLLW >Et_4B_039732.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2848138:2848362:-1 gene:Et_4B_039732 transcript:Et_4B_039732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMARVVVLAVLLMQCCNVILAARPLLDAAGDGGRWLGQGSGAPLIMQVLQKGSPPATCPNPNDSHGGVPGCP >Et_1A_005966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15986669:15991912:-1 gene:Et_1A_005966 transcript:Et_1A_005966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMRYAEELVREFLVFRGFTSTLQAYETELSTEIGKNFQVDKMLDLVFVEYIPKYQLDRLLGLFTFFKQCFTSPADTELFLTLIKLELSVLRYYVVNALKSGRHDKVVEFYGESGSYLMQKREDWLVWFAIPYMKNPSLDPQFRVYFSKEWLDTLNTIKSLKNDIKQLNSKLAELQALLEAKEAEISQLRRNHHGADSGNNNLVSDTAGSRREGQQVSEICQESSSSSSAIHDFDTQSSISVKSKAKENEQASVKEEDFPEVKVDFQETFLGHNSSISCCRFSASGSNIASSSIDGTVRIWTYDSSTPSSRNATIYCGSEVSSLSWECRSDRLLLIGTANGGIKAWNADAKRVVCDLSTSRDFPSILDLKCSPVEPVFVSAAASRRHGSTIFDRTGFASLTVWHMKTWKPLTVLPLGEDPPAITSVCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSPVSSILFGPAETSIFSLGADGKIFEWSLHNQGQILWSRDCSRFCNPESFSKQMHEIALDSNGKRLLVTSGLVRAPIYQVQGHESGLITLPHSSSVTSVDWHPTLPMYITGSADNSVRVTSII >Et_5A_040665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10982570:10983845:-1 gene:Et_5A_040665 transcript:Et_5A_040665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWILRGCRDECSASDQLKQARDVFMAKEAVLQKKISQEMERAKEFTKSGNKQAAMQCLKRKKYYESQMNQVGSVQLRINTKEKMIADHAGNK >Et_8B_060722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5496280:5500652:1 gene:Et_8B_060722 transcript:Et_8B_060722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRALLPNPHATATPARARARLAATAPSSLTFAARRGGGARVVRCAILSSPAPVKAEEQQPTKRISRAGSDGALRPKPAVLVAEKLSEAGLAVLREFADVECAYGMSPAELLAKVAQFDALIVRSGTKVTREVLEAGRGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTIAAAEHGIALLASMARNVSQADAALKAGKWQRNKYVGVSLVGKTLAIMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGAELVSFDEAIARADFISLHMPLIPTTNKIFNDESFVKMKTGVRIINVARGGVIDEDALVRALDSGKVAQAALDVFTVEPPAKDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVVGALKGELAATAVNAPMVPAEVMSELAPYVSLAEKLGRLAVQLVAGETGIKGVKVVYTTTRGPDDLDTRLLRAMVTKGIVEPVSSTFVNLVNADYTAKQRGLRITEERVSHDSATAEAPLESIQVRLSQVQSKFAGAISDGGDIVLEGRVKYGVPHLTLVGPYEVDVSLEGNLILCRQVDQPGMIGKVGNILGQRNVNISFMSVGRTFRGKQAIMAIGVDEEPDKETLDKIGAIPAIEEFVFLEL >Et_7A_051491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19417342:19419269:1 gene:Et_7A_051491 transcript:Et_7A_051491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEMGDSFEYYWETQRFLLDNEDLVSSMYVGAGVGDDAASYYDSSSPDGSHSSSATAPNSAAGGETGANKNIMMERDRRRKLNEKLYALRSVVPNITKSRAALPIAGKSIDRACSDGDCSITLQMDKASIIKDAIEYIEQLQAEERQMLQEVRALESAAGTGAMPEHDKYEFDDGVLMQAERRKKMKRAQSVPSLAGDAAARPPPVEVLELRVSEVGDRLLVVSVTCSKRRDAMARICRAIEDLHLRVITANITSVAGCLMHTVFIEVDHMDRIQMKEMIEVALSQLDATGSPPSSISY >Et_3A_024198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18329388:18332285:1 gene:Et_3A_024198 transcript:Et_3A_024198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDYGTELFYYFIESEATGGRADEVPFLLWLTGGHRCSVLSGLAFEIGTELPSPIRTRGPRHSNGYKIKMYHPHTSYMNFSSSDHPEYLANPFYIGGDSYAGKIAPFLAQKISEATLLLIGWPTTCSQGYLVGNPSTGENIDTSSKVPFAHGVGIISDQLYEVVKLTLFCNSHLYTHFVAVGCTLACCFSLCNSALFHM >Et_2B_019346.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24839104:24839370:-1 gene:Et_2B_019346 transcript:Et_2B_019346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGRECRREHAFRASLQDAKTELPATAAAGCRAATMEREGVEAEAVRAKVRAWEAGREWRRPRPREEATAEMERTEKLMHLLLWGPN >Et_3B_030416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3605152:3607667:-1 gene:Et_3B_030416 transcript:Et_3B_030416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KIVIRADLVGSKCRTAIMSTVAKLQGIKSMDIDDETCTLTVVGTVDPVSIVLGLKKACLAAAILSVEDDKPPAPDQETTETDDKEDHPCECQAACVQACVPGCYYRPCLLPDCCYYRAFRASPYSYKIVLKADLVGKACKSDILAIVASIRGIFVYEHEQ >Et_7B_054679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3451852:3463761:1 gene:Et_7B_054679 transcript:Et_7B_054679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKPLDWYCQPVRHGAWSHVVENAFGAYTPCGIDTLVVCISYLALFGACFYRIWRTTRDYTVQRYKLRSPYYNYLLGLLVAYCIAEPLYRIATGTSIMNLDGQPVLAPFEIVSLIIESAAWCCMLVMIVLETRIYIYELRWYIRFVVIYVLIGEAAMFNLVLSVRQYYSSSSIFYIYCSEIICQVLFGILMVVYLPNLDPYPGYTPIRNEVLIDNSDYEPLPGGEQICPERHANIFSRIFFSWMTPLMQQGYKRPITDKDIWKLDSWDETETLYSRFQKCWNDELRKPKPWLLRALHSSLGGRFWLGGFFKIGNDASQFVGPLILNLLLESMQKGDPSWNGYIYAFSIFAGVSLGVLAEAQYFQNVMRVGFRLRSTLIAAVFRKSLRLTNDSRRKFASGRITNLISTDTESLQQVCQQLHSLWSAPFRIIISMVLLYAQLGPAALVGALMLVLLFPLQTVIISKMQKLTKEGLQRTDKRIGLMNEILAAMDTVKCYAWEQSFQSKVQDIRDDELSWFRRAQLLAALNSFILNSIPVIVTVVSFGVYSLLGGDLTPAKAFTSLSLFAVLRFPLFMLPNLITQVVNCKVSLKRLEDLLLTEERLLMPNPPLDPELPAISIKNGYFSWESEAERPTLSNVNLDVPVGSLVAVVGSTGEGKTSLVSAMLGEIPPVSGSDTSVVIRGSVAYVPQVSWIFNATVRDNILFGSPFQAPRYEKAIDVTSLRHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCIKEELRYKTRVLVTNQLHFLPYVDKILLIHDGVIKEEGTFDELSNKGELFKKLMENAGKMEEQLEEKQDESKSTDLTKQTENGDAIVVDGGSQKSQDPNKTKQGKSVLIKQEERETGVISTKVLSRYKNALGGIWVVSILFFCYALTEVLRISSSTWLSIWTDQGSLNIHGPGYYNLIYGVLSFGQVLVTLTNSYWLIISSLRAAKRLHDAMLRSILRAPMVFFHTNPLGRIINRFSKDLGDIDRNVAVFVNMFMAQISQLLSTFVLIGVVSTMSLWAIMPLLILFYAAYLYYQATSREVKRLDSITRSPVYAQFAEALNGLSTIRAYKAYDRMANINGRAMDNNIRFTLVNMSSNRWLAIRLETLGGIMIWFTATFAVMQNQRAENQKAFASTMGLLLTYTLNITNLLTAVLRLASLAENSLNAVERVGTYIELPSEAPPVIEDNRPPPGWPSAGIIKFEDVVLRYRPELPPVLHGISFIINGSEKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDTSKFGIWDLRKVLGIIPQAPVLFSGSVRFNLDPFSEHNDADLWEALERAHLKDVIRRNALGLDAEVSEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRLLILSSGQVLEFDSPENLLRNEESAFSKMVQSTGPSNAEYLKSLAFGSGEERSRREESKLQDIQRRWVASNRWAEAAQFALARSLTSSHRDLLALEAAEGNNILRRTKDAVITLQNVLEGKHNTEIEESLNQYPVPADRWWSSLYKVIEGLAMMSRLGRNRLQQPGYSFENNGSLDWDQM >Et_5A_042221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7757956:7763317:-1 gene:Et_5A_042221 transcript:Et_5A_042221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKLAHMDGPELDRVLSTCCPNLKELTLELITEDGDLLIRSDSLETLKITTNERFESRLKAAVPKLLTLYACITCDAHIAAPMLSEVNWDGHYYDTSRHYITEAGRHLRRLTITTNSHTGALMRLFDSVGTLEITIFILEGTEQYESFLEDINQLPKCDVLLVKLYIVGHAFKTSLLRLLRKCGGVRKLVVDYFGSSLFQHDDPCMSPGCSCKWLERTDNVESDALEEVELQGPGKADDKVELVWQLCNRNVTYKKRMTITGLEDRQIEYIRKEILSMYIPNDNLEPRAAGGRWAGPEGQGASRERRWRGGDAPTAAFRPAVGRVALVQRAYGRALPSPNWEQLFQDAEIRELREACSFEVAAPELHKLSLSMNCDIYIIAPKLSELEWRNSSYEPNRHRFEETGSHLRRLSVCFSNSKVAPVLQQFDTIDEL >Et_3B_030050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30060825:30063362:-1 gene:Et_3B_030050 transcript:Et_3B_030050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYGYDGLPLYVEENEEEAAAAKQKRRQQSRKPPPPPPLTPEEKAREEQEEAMLEKLYEYDPKLGYGYNRIWFVDGSFDHDEETQFGPMRFTNSIIRDDHEFTDLLNVLSLKIRSSDVGFPINVYGTVIVRDRLDLKCMYIFRRSRDNCQLVQSEGGSLILTGPTYFEIDLKIKEDTGNGDEQFCKALLETDVYEFESNVKRRTVASWLSEVDLILAYVKRSLEGTIEIRMLSGPEAFYGKLTACTADVPTPIMLYDSDIDDSITLGDEKAIQLLRRVVAVSENQMLRFHVYARSGDQDAIISHRTCEFTPLIKGAGRTEVTCGVYKLQIKVTWSTLL >Et_9A_061241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23818430:23819017:-1 gene:Et_9A_061241 transcript:Et_9A_061241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLDDLASLGPGYTVAIALGFLGLLASVLLASYFCLRRRGAAGDPFGGSARHAASSASSAAAAASPVGLDPAVIASYPRVPFSRATAEAEASCSICLSEYRDGEMLRVMPECRHLFHLACLDAWLRRSASCPVCRSSPIPTPVSTPLSTPLSELVPLSQYAADRRRSR >Et_1A_007075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30610762:30614569:-1 gene:Et_1A_007075 transcript:Et_1A_007075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAACSASAVLLLLPLPSTPRHPPLAAARRRCGSFVRLAAARREASPPSPTDAVDCVGTGSDDGKGGNEEDLYPAALAVAGGELWEWALLVSPFFFWGTAMVAMKGVIPRTGPFFVAALRLLPAGALLVAFAAARGRKQPSGWDAWFAIAAFGLIDAACFQGFLAEGLQKTSAGLGSVIIDSQPLTVAVLASLFFGESIGAIGVGGLVLGVVGLLLLEVPALSVDGNDTTIWGSGEWWMFLSAQSMAVGTIMVRWVSKYSDPVMATGWHMIIGGLPLLVISVLNHDPALNGHLQDLTWSDLLALAYTSIFGSAVSYGVYFYNATRGSLTTLSSLTFLTPMFASIFGYLYLGESFSPVQIGGALLTLVAIYMVNYKSIVAEKSGSSYNLSIAPFPLT >Et_1B_010244.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31726842:31727432:-1 gene:Et_1B_010244 transcript:Et_1B_010244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNTNFTNLLNQASTNQFVDNRSQSSPSQQFPANLSQNPFSQYLTPPFLHNFYPFTPTGNYQGVHPYGHSPPSFQGVHPYGAQVQENLRNSPNHVFRAATSRSPSMQQHGISIGAVGNSSSHGSETSILCPATQAQQSHQPINLEESSGSSEEENGRRKPRKNWTEEENLRLARSWLNHSVDPIKGIDQKGEHY >Et_10A_001049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20630875:20634366:1 gene:Et_10A_001049 transcript:Et_10A_001049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSQASTASASSSRFVTASRAFSKQELEGLRALFASLAAQSQTGGRAIFLEYCGVRGPLGERLFQLVAKESGGGDGITLEDLIISKATYVKGTRDEVEEFIHQLCDVTGDGILSRSDLESVLASIHEAIFAENKEAGKCSINRAFEASLNSALFSKDAEGMSEKSMSLSDFKNCCVLMPSLKKLLGNLLMPPDSGRPGFQVPILHYPENIFNDFLLLNREYAWHIGGGFSQNEVQEWKLLYHSFLHGQSTTSSLPDVPPRKKEDDGQRQVAIHSHFIHHVPPPKRSSPELPPLPPAPPAHRFCRRRHQPIRHLHLRRKPIGAKT >Et_4B_038847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5233708:5237123:-1 gene:Et_4B_038847 transcript:Et_4B_038847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRHFAIFTTASLPWMTGTAINPLFRAAYLAKDGDKDVTLVIPWLCLRDQELVYPNKIAFDSPSEHEGYVRHWIEERIDFRPSFSIKFYPAKFSTEMRSILPVGDITECIPDEVADIAVLEEPEHLNWYHHGRRWKKKFRRVIGIVHTNYLAYVRGEKNGQVIACVLKYINTWVTRIYCHKTIRLSGATQDLPRSIVCNVHGVNPKFLEVGKQKLRQLQNGEKAFTKGAYYIGKMVWGKGYRELLDLLSKYQSKLAGLELDLYGSGEDSDEVHESAQRLSLSVNVHPGRDHADPLFHEYKVFINPSTTDVVCTTSAEALAMGKIVICANHPSNEFFKQFPNCRVYNNDEEFVQLTLIALSEQPAPLTDVQRYELSWEAATERFIEAADINPHTPESKIHQTSRVPLPAFLRTRKVKQNLEDASVYLHQALSGLEVTRRAFGAVPKTLQPDEQLCKDLGLAPPVKRKKLKFKLMS >Et_8B_059441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1791616:1794463:-1 gene:Et_8B_059441 transcript:Et_8B_059441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDEVVWKAQQQQQPEEEEEENGWEKTTAARRKKKKRGRVEMRRIEDRVSRQVRFSKRRSGLFKKAYELSELCDAQVALVVLSPAGRLYQFASSNSSIEKIFDRYWDLANTLNDLNIEARDSWIDFNIQKDQQPVGGSLSDQLHDIGQWALQPNVKDLSMAELRCMEETLTDALTIIKEPR >Et_9A_061968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18480327:18480681:1 gene:Et_9A_061968 transcript:Et_9A_061968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAPSASSEPEPPFRPREKILEKQRYFQSVHKPTYLKGRYDVITSVAIPLALAVSSMYLVGRGIYNMSHGIGKKE >Et_3A_026174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5044170:5045960:-1 gene:Et_3A_026174 transcript:Et_3A_026174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SQNDSDKEAAVSTGQELSFGHVCEAPGYKCLPSLCLLDSHTTLQVSRPKEKAMASSASLLRTATASAPVFYPLQSRRQPARQLNLRGSHGRRRGISIAASSAASPEVQKEPSPSPSLQASDLSAVADSVKVLKEAAKTRKVPAPEVLSALSNIKKAKLDTSTFFETLGGTESPGRTWMLIFTAKGRLDKGQYFPVTAVQRFDAAGKRIENGVYLGPIGCLTFEGRLSWKKKILAFIFERVRIKVGPFGPLEIGLGSSDDGREPSTKDPFFVWFYVDEEIAVAQGRGGGVAYWCRCKRVP >Et_9A_063085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9911859:9916201:1 gene:Et_9A_063085 transcript:Et_9A_063085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDSGLPPPPRDAWVAELQRLLPQWESLRDSSQVIIPISISRVNQFDAARLDVEMSAMLKEQLVKVFSLMKPGLLFQYEAELDAFLEFLIWRFSIWVDKPTPGSALMNLRYRDERAAPISWKEVRTGLEGPGLSVSQKILYCISTVGGQYMWSRLQSFSAFRRWGDSEQRPIARHAWGLVQNAEGLYRAVSFCNLLLFLYGGRYKTIVERFLKARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKKFLLPFSKDKSAGSSGDEADCPICRSSPSIPFIAHPCQHRYCYYCLRTRCSATSSYRCARCNEVVVAIQRQGTS >Et_4B_038232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27362997:27369215:-1 gene:Et_4B_038232 transcript:Et_4B_038232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDADTSDRENADHPSGLAVDAPPPPPDTEEKPDLNSNTPVSPQSPLPILGEKKEPGSNGSHSSSANTSGNGDESNSATKKRNVFRPSVFDRQTGHGDRWRNDDMEPNSGSYQNRWKEKEKENSGMNKTERLTERWGNFTNKEGNYDQRHDNKCKFRWGPTGKGSENWRDKCTDSGKQNDPTHDSNTVKEIERDNNISQSWNSSSLTSCGTGGTYDRLSHAPQKSSHSFGYSRERQECENPNFNRRFTPGTSRDGTGPFRPFHIGFLSNRPGEIKNFVTPFPDTEEISSLWLEDSAEPLALTAPSTEEAAILKGIDRGDITDSEVCKDDGKEDQTGSIEDFKGDITENIKGPGNSSFTDPFQRYKSTDAAPQEFQSVGDHIHGLTAEFRQQNNVLDQGAQIDEMVGICDTVTPEQPHPENLSLYYKDPQGKTQGPFSGTDIIDWFEAGYFGIDLLVSIASAPPDAPFLLLGDVMPHLRAKAMPPPGFTTLKPSNVPETSDYLGISDYGSINKSNNTTEAENHFLESPMSSNIQNPIADTTCDTGGGMNEWSSNTFDNNFVCSSENLNGVNYLAAQKGTVRCSSHAKWFPQIVDPSSETLHSQNTDLLSVLLPAEKHQAPAANCGLQPWSGNFESGSISKEYSVCTTIEISQDPKLSNIMQQQYMLSQPPLQPQKPVMPQLEPSLFSNMLPLRQQEQHLSQVLTHGQSAQQLHDPSDGPNHASVSSGNCMKLCLQRTQEILDLGGSYLAIIQLPNHVNVQLGGTDVLGFSESRAPALPLPHEMIGHAPQRECAASLALHRECFVNEVSQESIAESPFTKITSGKFSKLTSFEAKDFPDSCQDHANSDAVLSNISNQVCEMELSSTNAHPWKLAPGVRPKSMLKIQAEEQLRAQRELAMENANLTIAATSVSSNPWSSTAKCSEQLFGDVTKSMGDQENVNISRTIRSQLHDLPTEKVLFKSNDMGPAIIDADNASFPRVPCVAQSDAHSRDSDFIEVKNSKKKRNKEEKSKVSAAKSPTICSFDPPVISVPVGKSGKQVQQVKKDLSLSSGISQRVCASNEYFSAEAMDFREWCENELDKLTGTKDTSFLEFCIKQPASEAEMLLVENIGSRDHNRNFIDKFLSYKAFLSADVIDMAFRDHISFKQHEDSPSPGNLGGMTAEIGWENGGKKKWKKGVNVDSSALGFEVLSSRADD >Et_2A_016178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21790154:21794112:1 gene:Et_2A_016178 transcript:Et_2A_016178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVGAGLGLGMEGTSCGALLRELQVSSRIQDERVYVVSEPRVLAMRSSRQIWTEVGESEGEKNKVLLEIERECLEVYRKKVDDANRTRVQLHQSVASKEAEVASLIATLGEHKLYLKKDKGVLPLKEQLAAVIPVLENLKCKKEERIKQFSDIRQQIEKIHYELSEFNAQGDNASSLHTEEHDLSTRKLNNYQAQLRALQKEKSERLHKVLEYINEVHSLCGVLGIDFGKTVNEVHPSLHQNGVEQSRNISNSTLEGLASTIYKLKEERKTRIYKMRETMESLCQLWKLMDSPEEEKRQFNKVMSILILPEEGITSLGIISEEIIEKMEAEVERLTKLKTSRLKEIVMKRRTELEEICQNAHIEPDVSTAPEQTDAMIESGLIDPSELLANIESQIVKAKEESLSRKDIMDRINKWIAACDEEAWLEEYNQDPKRYSAGRGAHINLRRAEKARILVAKIPTMVDNLINRTFAWENARNKPFLYDGGRLISVLEEYRHNREQKEEEKRRYRDQKKLESILLAEKQAIFGSKQSPKRTSSLNRKANGYRSNGTSNGPMTPTPRRSSLGSATPELLTPRSYSGHNRYFGDLRRLSTSQLNFGDDSLSTFTSISGSEPESPSLG >Et_6A_047926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24120794:24121182:-1 gene:Et_6A_047926 transcript:Et_6A_047926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWLCYGQTTSRRLADRKTAKFQKNITRRGSVPETTVKKGNDYPVGPLVLGFFIFVVIGSSLFQIIRTATSGGMA >Et_2B_019167.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15310786:15311319:1 gene:Et_2B_019167 transcript:Et_2B_019167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLSSSPVEHLPPASSAAGVHTDTFLIIAAVLCFLLCVVGLALVARCWRLCNPSAYAVEPELPPPCKGLKKKALRSLPTVSFEEGGEERPECAICLAEFARGDEVRVLPPCAHAFHAACVDVWLLSSSTCPSCRRALVVVAQPPTATDPPSSTETEAYSVAVAGERGPCRASSGP >Et_1A_006978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29802726:29811037:-1 gene:Et_1A_006978 transcript:Et_1A_006978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSTTVFTSPLFYPPASSVHLRTRRFLRLRLHVRAFAAAASTPSARSLRLLEWGKVCDAIASFAGTAHGRDATKKQLWEVEDVSYEQSRRLLQETEAAVWLLDNAGGAMDFSGLDTVAVESAIHRVSGGAVIKGLEAIAVAVLMLFVESLQINIEAAMKQDEDSHNRLMPLTEMVLDAVINKSLVKSIQDIIDDDGSVKDTASTELRRYRERVQLLESKLYQLMDRLMRNAESETSLSEVCIVNGRCCIRTAGDKSSNFDGLLLSSGSVAGSMVEPIAALPLNDELQEARALVAKAELDVLSKLTDKILLELESIQSLLQETIKLDKVTARAKYSIAHDGTFPDVYLPNCPREFVTSATDGSVNRTSSVHRPKKSWKLYMANAYHPLLLQQHQENLRQAKRDVANAKAEIRRRKIYGQDIADEDQLASHLDTMKLRASQLEKARPVPVDFMIAEETTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFNAVYADIGDEQTLTQSLSTFSGHLKNIGAIRAQSTSESLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTLKYSNSSFENACVEFDEENLKPTFKILWGIPGRSNAINIAERLGLPLDIIESSRRLLGTAGAEINALIMDMERFKQEYQQHLQEAQHLLIRTLQTTLQLRAKERQEWFQSGEDGIPEVGDLVYVPKLRNQATVVKIDPSKDELQVQAGMMKLKLKFKDIKIQKKKVSR >Et_7B_054298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19484956:19488417:-1 gene:Et_7B_054298 transcript:Et_7B_054298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFVLGLTKTAVEGTLSRVQAAIEEEVQLKEKSFLNVASRERARNQVVRTWVRQLRDLAFDVEDCVEFVVHLDRNNKSGWWWRVAPSCVAPPRHLDEVAAEIKLLKDRVEDVSQRNTRYDLIISDEAAGSKIVMPSTAANTLSGFHILHKVWEDTGKLRDIGIQELITREEGSDELQVISLWEDGDHLEMPYVINKAYHDPETCKRFQRRAWVKMTRPFNPEEFINTLLNQLFFTGSSPQATSKDLTATAELLQQVAQRQRYLLVLEEVTDVAAWNAVRKFLPEWNNGSRIVVTTMHIGIALLCPGQPYLVSELRRFPSLCAFHRKVPGCRRDMGELLWKMRHGGVIAVECYDEGMKSVVLKRLYCNIRAGWVGVDGVIFKKHRWVCMPFPFNLAEFSRRVLVDVRDEFYDGDVADFVVDITEKLVAGEIRELLRMEDADVINSCRRLVQEGDCLLFIDGVLMNEDWDLIKDNFLSEPTNACFVAISKDSSVALHCVNNDQDRRVRVSRFDDYMERERLQTRRGTRLVSRSFDPTVGQSWENIETVLRNGPVASVWGISGVGKSAHVRYTYYRDMLKGNRMFRYDAHCWVDVPHPFSLTELSRRLLLDFHSDDPQNREAVAVGIMEGKDPVQMFRELLRRRRLLRLKDSCLLVFDGLRSTDDWDSLKAAFGWSDTGFHKNQIIVITNEHNVARHCVDNEDRRVVNVKGLDADTATCLFNQKVALPCPDLNFLKKTIENSILRTSQQKPLILPYFFKIIRRWVSVALIYMFLKPIFYNKSRKLQLFIHFVTVIFFEALSMLVN >Et_5A_040500.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:26562742:26562969:1 gene:Et_5A_040500 transcript:Et_5A_040500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPVAVAVMVLLLLLGSAGTSSAMAARRLVPESNRVSSGRQGAVAQSSETTTESSAQPSGCTNGSGPGGSCHPH >Et_7B_053963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13852477:13855188:1 gene:Et_7B_053963 transcript:Et_7B_053963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALRTRRYPHRPPAASPPWYAPPPSPPRPPAAADPLHYAASEDALTQHRPPAASPPWYAAPPLPPAPPAEADPLLVAASEVALTLPVHPAPLPAAAPAPLLRLLPAFTSAHFLSLLRSNPLALPPLPLLSLFRLLLASPQGLFRHTPSSFLSMSHHLLAHRLAHHALPLLRLLVSRLGRDSPPRLLPQLLSAVSPGDPAPLVSELAKAYADEGLLADACSLVLLALRRGVRVPVPAWSALLSRFPNAPEAYTFYLQLLDAGAPPETRLFNILMRDMVRSGELASARNVFDEMRRRGVRPTVVSFNTLISGTCKAGDLDGANALHGLMLGAGVAPDVYTFGALIQGLCRAGRLQDAVEMFDEMRVTAVNPNAVVFTTLIDAHCKVGNVATGLELHREMEGKGVRPDLVAYNALVRGLCRARDLKAANGIVEKMRDSGLKPDKVTYTTLIDGCCKEGELEMAVQLKQEMLEEGIALDEVTYTALISGLSKAGRSAEAESVLCEMVEAGLEPDNTTYTMVIDTFCRNGDVKTGFKLLKEMQTKGRKPGVVTYNVLMTGLCRLGQMKNADMLLNAMLNIGVSPDDITYNILLDGHCKHGKMKDPDELKSAKGMVSDFGVYTSLISEIVKKKPTNYHYTETECLKPPLTI >Et_5A_040803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12859028:12859861:1 gene:Et_5A_040803 transcript:Et_5A_040803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQGEKEAMLRQAPPFYVFSSGSRFGGGSSALRGCRHQGIKIELIFSKRLTLSDVGKLNRLLIPRLNAQRFYAMALEAMDDDRVSFLRFEDSTGVIWHFRCAFWESGKTCVLTKGWMRFVKEKKLSDGDIVSFYQSVGGDSGIKQRFIYFKKKNHNSMPHHVPPAIITPSGTLNDNRLAFSPSNHYAATLALNPVSNDGSMGVGVVPPNLPILLPSMVPQESALRIGVGPAKKRMRLFGVDHNIPPHH >Et_1A_008284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:608848:613665:-1 gene:Et_1A_008284 transcript:Et_1A_008284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLDQSSAAESRQAKPSLVPRLSIFGTKAGFVIPKNKLAGSLVIRGTSTKNETPTASKEEHSKHVHRKTKWAPDLSVEPAVFKRRASAYQIRLEQITKQLKSGAMEMELECSLSAALGSNSDDVDNLKENEQGKVQHLELERREIIGEILHLNPGYKAPDDYKPVLKETKIPLPGKTHPGHSVIGVLIGPESNTQKRLQEETGAIIRVYGTKKINKEKREICHQDIDEAQAAYEDLHINVSADSYDKIDAAVNSAATSATSTVSPAVTSDAVNPADVQLVQSTTSQPGLLHYQSYNVPWLSAPPNNAPSVPSSGPVSSPLPNNSFQLQSPLSSFSTPYIGQHHHMNTMPRNPLPNPGPLPSIPNIQQPPPQFRANPSVGPPFGQPPGTVCPQLTPSSTVRPPLRPLQAPHASGGWPSFSPVASQPQRPPQASPSFMPVRSPNSVPPLGATLPRGPMAMAPLSNTPTNHHSQHPQVANFNPSATLLSRPHGGAQSFTSVLPQGPNSMQSPYPPPMQGRPTMSTPDTVRGPPPAFSQAGSTPGMVPSLIGSSCPPASAPASANCSQASRAALRPPRPAVGDFTFRPAVSPGPTPEYAASGSQMGMHGSINPGLPHAPFFPHGNQGFQRPFDGRPMGQPWMHAPHPHLAGAFPRNQLPAGFHPAVQSGGRAISPLMPAPQNVSNFSPSRPFQLVPQSQQNPFSNTNRQGNNPIYDPFAPTAASGAKKTDADPEYEDLMASVGVK >Et_7B_054759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4079924:4085853:-1 gene:Et_7B_054759 transcript:Et_7B_054759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRITAPPPPSSPPESPPPIRHSPTPATPLSRHRLHSPSPSLALSPSSASTSATSSRPKPRPSPKRAYTPAQWVPLPSHHAFSRRSVEGSSGGGGAAWDAAASRLYAWDPSACGAHRICVRIRDAEAENEGEEVAVEAAVPSEILLPETDLGYVVTNMSLNSDGSSLLLVGSHNISILTAPIASQILPSNSDGIKVLQASWHPFSNNHFTVLTSDAVLRLFDLSSDLEKPEQEFYLQPIVPGKCQNASAICPVAFSYGSDHLWDRFSVFILFSDGSIFVLCPVVPFGSDYSKRHIQEIYEDVNAFGLKSSNPNVVTNSHLAIAWLEATFPDLLHQSTDTSLLMSRAHPYAPVDDSVTLQGPLCRVCEENSEPEGKGSPCEGKAVAFMYSSAGKDSVLVTAWGSGQLQIDALADEVQPQWNIGVPTRLNVDSHGQTKSVAMICDSNSQDPLAFRSHRPSSTGSNVKSNIEAVWMGHSPPLLRLAIVDLALPKTPNGSSLSLFLDPLVPERFYCAHGGGLDMVTLHFLPFSYPEMASTPPSVNPVLTTGNSETSSPFLSGFVTIADAYGHVQLVGITCSGECFVVEMKGWKEPTPLQLDMDSMSIKNVEPSPTGMISKELIAGPDPPILPSSSSLRSLTPDSIEGKSTLHHYIKVFHEYYVEYGHKVFIELKEHPDYVKTEIEDKQKRLEALKQSFRSIETKDQDINKRIDRAFKVYELLEKRIDSFKMLPAANKKPLSHAEQEFKAQIDRFADVELDALRSSIAALSARMKRFAQQSTESAAGSGMPTWQTPKSGRSHVSESQMSLLKSSLERLSLLNEENNLKLRLIDHELKNKEQ >Et_2A_017234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32230009:32236221:-1 gene:Et_2A_017234 transcript:Et_2A_017234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYYRDGGSGGAWTTVSGRSRKPAAPPAAAPAPRRGGDIKAAAGEVAAAVEGLEIGGEAERRLDKYDIPVEVSGDDAPPPADSFETAGLVEAVLRSVVRCGYDKPTPVQRYALPIAKAGRDLMACAQTGSGKTAAFCLPVVSGLVEAAAGGGRRDRGSFERRAHPRALILAPTRELAAQIHEEAKKFSGQTGLRVAVAYGGTPISDQLRDLERGVDILVATPGRLVDMVERSRVSLEAIKYLVMDEADRMLDMGFEPQIRKIVDRMGMPKRSRQTLLFSATFPPEIQRLASDFMSNYIFITVGRVGSSTDLIEQTIEFVNDGEKRSFLLDLLQKQSVGVANSKQPLTLVFVETKREADSLRYWLYSKGFPATAIHGDRTQQERESALRSFKSGATPIMVATDVASRGLDVPNVAHVINYDLPKSIEDYVHRIGRTGRAGKAGIATAFFSDSNHPLAKGLVELMTEAKRDEMHHVNGQEVHCRLQKGKPIHN >Et_2A_016219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22168624:22171609:1 gene:Et_2A_016219 transcript:Et_2A_016219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAARFRLLAVAVAAAALLASTGEASVHEYRGLSFANKGNAYILHAGSEGLYAASPANATAEDDEDAAAAADAFIRFDKITFRRPEDVNGSVKEASSAKVQILLFEIEDREMIGGSAYGGQKAICCTSDLAKLGACTEGSVIYRPSKVNPGWPKLFVASFDGSDLIATLPSKTIPITKTGMYNMYFIHCDPSLAGLEIEGKTIWKNPTGYLPGRMAPLRNFFGLMSFAFVILGIYWFYQYMKFWREVLPLQNCITLVITLGMFEMALWYFEYAEFNETGVRPKGITFWAVTFGTVKRTAAEVIVLIVSMGYGVVTPTLGGLTSKVVMLGGTFFFATEILELVENLGAVNDLSGKARLFLVYPVAILDAAFVIWIFISLAKTIGKLQARRLMAKLEIYRKFAIALIVSVLVSVGWIGFEIYFKSTDVFNERWQYAWIVQAFWHVLSFSLLCVICYLWAPSKNSTRFTNDASEFFDRKDNLSLIRPAPSASKNGWSLSSAPDAKATTGMNTVTSFDEDDEENKRE >Et_3B_028281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12866293:12869602:1 gene:Et_3B_028281 transcript:Et_3B_028281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGGERVGWWPVDRERWSPVGAPSVMHRLRLLGTRRLDLQPALPRREEEAPELRLNSCLASEAFVQILATGVGMLCFIWANVVQLGGFSVYLQEVYFWIITAIVSVQAAKEWRSVFHDNANQSADPLHSVLGLI >Et_7A_052061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4274212:4276732:1 gene:Et_7A_052061 transcript:Et_7A_052061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQDASASGVDFHLPDEILAVIPTDPYEQLDVARKITSMAISSRVSRLEADVASLRRDLADRDRSEADLRARLAETDARLLASLDENAKLAKERDSLAATAKKLARNLAKLEAFKKQLMKSLSEDNLLQLSETGHDHDAEDNLTARVPPWKDEVSSSHTSSEASSRSTMTKSIHGYQFSITPYMPPKLTPVLIWSNITYKISVGRPVDIFIMARIIKSPVFSTHLASSTPLILRTPYQKQKRFLEQSTKI >Et_6B_048985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16424353:16426008:1 gene:Et_6B_048985 transcript:Et_6B_048985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDGKSNPAEVPQPPPCTGKLITVLTIDGGGIRGIIPATIIAFLEAKLQDLDGPDARIADYFDVIAGTSTGALLTSMLAAPDENNRPLFAGKDLTKFYLDNGPKIFPQRKAWFLTSAANLIGNMRGPKYDGVFLHDKIKSLTHDVKIADTVTNVIVPTFDVKNLQPVIFSTYEAKHDPLKNAHLSDICISTSAAPTYFPAHFFTTHNPEGKSREYHLIDGGVAANNPTMVAMSMLTKEVLRHNPDFHPGKPTEYKNYLIISIGTGSPKQAKQYTAPECAKWGLLQWLYNGGLTPIIDIFSHSSSDMVDIHAAVLFEALRCEENYLRIQEDSLTGHTSSVDVATEENMKALIEVGEKLLKKPVSRVNIDTGMYEQVPGDVITNEKALANFAEKLSAERKLRVSKPTS >Et_2A_014956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23251749:23253416:-1 gene:Et_2A_014956 transcript:Et_2A_014956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARHADAGGFRLWPVFSAAALRRKVFEVLTCGGGGVGEGGGACRGKTAYRSPQRMPRPKPRSERLVELLRAEPSECGEDGEVEPDAAAAARKVEALEELKGVVSALQAGGCMSRVEAAMAVRRKAKDDAGAREMLAMLGAIPPLVAMLDAGDGGEEEEITAAALYALLNLGIGNDANKAAIVQAGAVHKMLRIAEGGGAASGALTEAVVANFLCLSALDANKPVIGASGAAPFLVRAFAAATTTTEQARHDALRALLNLSMAPANAPHLLAAGLAPALVVAVAVAAATTTDRTLAALCNLVAACPEARRAVSRARDAVPVLVDVLGWSDEPGCQEKAAYVLMVLAHRSYGDRAAMAEAGATSALLELTLVGTALAQKRASRILEILRADKGKHVADASGVAAVSAPQQRGCREDEEDEALAEPGMSVEKRAVRQLVQQSLHSNMRRIVRRARLPQDLAPATSESLKALTSSSTSKSLPF >Et_2A_015279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10255829:10257708:-1 gene:Et_2A_015279 transcript:Et_2A_015279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NKPSAQGTCSMEDELPTQHLKLKIDDSFLSSIRLMLAWYRNDSIHIKHSDWSKYAELKTANNQLNSGKISKVLLTKLKVVPAELPLLTLSIGNQKFIRTNECDIFARFCFLARKVVCHMRIGMLSRRVDIPFPDITSLHVSFDHRGFDTLRIEAKVPFKSFSADNPRPGKLPTWKVACFPESRFAILEIEKGLLEKGFAKLLYTEPRIERVLSFSRGTSSDQHTYQGRVNDQMPQTSMFALDRMSSTIVYPRVNANYAFNSSYTDLTI >Et_1A_009265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3655563:3657344:1 gene:Et_1A_009265 transcript:Et_1A_009265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTGSRHALRGGVRSPYARSCSGPVAGGVHHTVALKSSTLGSLSLDRDEEMMKWRDDVGVGAGKTPPPTTTKQQQVRRQRPQVLGSPAKTPAREPEVINVWELMDGLDDDRDEEAAGADGEEERRAKTAPGSPEFDPDIIAAFRKALDEVAPLPDGEESGAKKRDGAGGVEEAGVKKREIQKFPGIVRARVSAFQQRIDAKLAKMAPPPQEPPPSPPPPPDSARKVVLYLTSLRGIRKTYEDCWSAKSILQGYGVRVDERDLSMHAGFKDELHAALGAPGRLPQVFADGKHLGGAEEIRRMHETGELSGALDSCEMAPPSVVVGKGIALESCSGCGGVRFVPCEECSGSCKVFLEEVGSFRRCPECNENGLVRMVEGMSCVNRVKIWLSVIRARRLCLRGNATHCYGERRRIPQNMWRLEQLWNIGRDGAEVSVGAPGPNPSASVGCVSIQQALKE >Et_10B_004409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6400104:6402407:-1 gene:Et_10B_004409 transcript:Et_10B_004409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVVVVSSAGCKGGGGGKRGGEEERRSRAVLELLLAAVRRSVVACRVERGGAAGAGWAEEEVDEAAVELEEMEIGWPTDVRHVAHVTFDRFHGFLGLPVEFEDEMPCRVPSASASVFGVSAESMQCTYDGKGNSVPTILLLMQERLYAQGGLKVAEGIFRINPENDQEEHVRDQLNRGVVPKDIDVHCLASLIKAWFRELPEGVLDGLSPEQVLQCNSESEFLELVTLLRPTQAALLNWAVELMSDVVEEEELNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNFLKTLILRTLRERDDAATREEYTPYSSPASSVQHGDTECFGSERDIKHCDAECFGSDRDIKHCDAECFGSDRDIKHCDAECFGSERDMDGSCELSDMHSQISKSGRHADYLVRYNTCFDSEQEVDDHLTEVEEGFLRQLECDLEAEESSRKKSETDSEIIAIEDVELTAGNKGGQKVIQKEAGPESLT >Et_6B_050061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:514838:516895:-1 gene:Et_6B_050061 transcript:Et_6B_050061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGTYIVTDRRMAATCCIQRLKGCGSPPKSDAHFISHSSASRPPIPICPASLSRPHQLLPPSLQPPTLHNLLDLLLIFSENCRSLAPRASLYPPLTAQALHVFDEMLTSVQRRWKKPVDSARTRLEGRTRDHRLDKLMVQLRNLRLALALHELISQQRNGFASLQLLSKWRYEIGLNIEIGAFLKKYPHIFEIYMHPIKKNPCCKITQKMADLIAEEDAVIRENETDIVQRLKKLLMLSRNGTLNMHALWLIRRDLGLPDDYRSSILPNHQLDLSLDSPDTLSLVSWDEELAVAKVEEWREKEYTEKWLAESETKYAFPINFPTGFKIEKGFREKLKNWQRLPYTKPYEKDGLHPIHNIERLEKRVVGILHETLSLTVEKMIPLERFSHFRRVFTMEVNLRELLLKHPGIFYISTKGSTQTVILRESYSKGCLIESNPAYNVRRKMLDLILSGCRNIDEIENAILPDEEYNARSSQEAQNKTSNMGTTSSILELGTENNSDGKNHFSEEYPQKSTRDP >Et_3A_025025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26186940:26191934:1 gene:Et_3A_025025 transcript:Et_3A_025025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPELRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKRVCPGINLVQVPVARGKADLNLYRSAGSEVVAILSSKGKCERASIDEVYLDLTDAAKELLLQSPPDSPEQIFEEAAKSNILGLPSEDSDKEKDVRAWLCRVDADYQDKLLACGAIIVAQLRVRVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSSVQDFLASLPVKKMKQLGGKLGSSLQDDLGVDTIGDLLSFTEEKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKNNTSVKGWLDQLCEELSERIQADLNQNKRIAQTLTLHARASKGNERDSVKKFPSKSCPLRYGTEKLQEDAMKLFESGLHDFLDSQNTKWSITSLSVIASKIFDIPSGTSSILKYIKGPNSTAPPSLSDSSSIPEDPSPGPGSSSQASQANKAEEHGRSIQVAYRSNINSGGDQSTSNTWMFNIEDIDPSVVEELPPEIQREIHGWIRPPKQASTSTKRRGSTISSYFAPRD >Et_1A_007708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37425920:37427714:-1 gene:Et_1A_007708 transcript:Et_1A_007708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCNACWRELEGQAVSTTCGHLLCAEDAKKILSNDGACPICDQVLSKSHMRPVDINPSDDWTNMAMAGISPQILMKSAYRSVMFYIGQKELEMQYKMNRIVGQCRQKCELMQAKFTEKLEEVHTAYQKMAKRCQLMEQEIENLTRDKQELQEKQKRKLDEMYDQLRSEYESAKRSAIQPANNNFLGRPQPDLFQGMPSIMDGGDPLRQESVDPPETPGRREEGWGPPPRQRRSTSGPFEMSAGSPAHAAAPQVDMRTRQPTRPVFGAPMKNPSTALRNLIISPVKRPQLSRNRQHMFT >Et_4B_039454.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:16817676:16818350:-1 gene:Et_4B_039454 transcript:Et_4B_039454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVTLQWIANDSTRRATFKKRRKGLMKKARELATLCDVDACVVVYGEGESQPEVWPSVADAARILARFKAMPELDQCKKMMDMESFLRQRIDKLKEQLHKAQRENRERETTLLLHDAIAGRRPGLAGLTVEEIASLGWMVENRLQCVNKAIENLHLAHGQGLPATALQLQPPLPMVPPPTPAAASYSFGGELGALVYGGSFAGMGAAAGFAWPADPSFPPM >Et_1B_013247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6724018:6727297:-1 gene:Et_1B_013247 transcript:Et_1B_013247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRMPPKKSNNTKYYEVLGVSKTATPDELKKAYRKAAIKNHPDKGGDPEKFKELSQAYEVLNDPEKREIYDQYGEDALKEGMGGGSSSDFHSPFDLFEHIFQGGGGPFGGGSSRGRRQKRGEDVVHPMKVSLEDLYNGTTKKLSLSRNALCKKCKGKGSKSGASGTCHGCRGAGMRTITRQIGLGMIQQMNTVCPECKGSGEVISDKDKCPSCKGSKVAQEKKVLEVHIEKGMQHGQKIVFQGEADEAPDTVTGDIVFVLQLKEHPKFKRKYDDLYIEHTISLTEALCGFQFVLTHLDGRQLLIKSDPGEVIKPGQHKAINDEGMPQHGRPFMKGRLFVEFNVEFPEPGTLTPAQCRSLEKILPPKPGSKLSDVELDQCEETTLHDVNMEEEMRRSRQQQKRQEAYDEDEEEEGGPRVQCAQQ >Et_5B_044043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19375789:19377700:-1 gene:Et_5B_044043 transcript:Et_5B_044043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVAGTRTKLRLTGIVECIRKTDSKMECIIVWITQSEHMIQPCSNGMSSTDYHYGQDCTAREEGYVFQQRRCIDGKLLQSNHLPQIQLDQRLRVNGETEQPADVADAELLQARGPCRGNGVAEPARAVEPEAAQGELGQPSEDTGERQREAEDPSEIEADGGGSLEAWKLKGILLVVIGTPPRPRHPVHEEQAAGEAGRGDAEPGRHGLGGGVHGPHEEGAENRFMGGERGGDVVGVGGEGEAELREERPDAAPAAGEDAGAGGGGGGV >Et_6A_048179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8867814:8869741:-1 gene:Et_6A_048179 transcript:Et_6A_048179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCMARPHGLETQIHVYLEHGVRVACVEGMSPEQAVPGGAAEHACAADDGELPDLGRDEVTGHHGDGAAVVRPHCEHSVEAEHQGQLRLGREDVGPLGAPDGRRRRVGPLRQRVVDDEHVAEGDLGVSQRRGGPRDAHRRRRLPRQRRHDVVQVEPQVRGRLGAAAGAGERRQSEERQMEQHWGVLAGARTKMLERKKKGVLLCRLFGGSNIQWRYITFKWAEWYKRDATTYWSKYRQIRAVPTVLVPEIFEDTLSTGANGKGEGAVAMAAPCQVMGEDRKELARERL >Et_6B_049590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:760977:766829:1 gene:Et_6B_049590 transcript:Et_6B_049590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWALLAVMLLAAQAASAAPVMAPAFLWAPKNYGFSSDGAKEVVHYQTISPKSLAKSVLQEGGWSSLVCSKEDAHKDVDVAIVFLGSKLQSSDMSKDKQVDPALADTLKLSFTSSEFSMAFPYVATTDDEKLENSLLSGFSENCNSGFEGKHITYTDTCAVSEDLKKHHNMDSISDLVMARMGNSPSGQTDVIVFCIGGFEDLDQSEGELLSELVAMLKKSGAKYTILYASQPSGLLESPSNIPLGRFLAEKNTTKAGLGKCEGECLVKSTLLEGTFVGIVLLIILISGLMCMMGIDTPSRKDAQMQAKKSTLLYQGKCFGNELLIMERDLTMHVDCMHRPTC >Et_2B_019458.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3996927:3997301:1 gene:Et_2B_019458 transcript:Et_2B_019458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLRMAVVLAVGSAVVGGPEALRLLVSVAGRNAAVDFFMCVFLICAFTALVLGTLLLALYFRVARPAAAPPAPAPGTELFAAVTTVVALAAMFMVTTSLVAIPTVPAEHGRGLDGAARSCFA >Et_1B_012771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3523615:3531244:-1 gene:Et_1B_012771 transcript:Et_1B_012771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRSQSLGKVAAPPPQRQWPCRIHPPTTNASSSGDRRRPGFRRRRRRGGGGMKNFLKKLHIGEGSGDGGSSPPPPPSRKGGSVCGGAHHHPHHDQRQQPSSVSSWLDNVPTRPPPPIPVETERPTSVSSVGAGAKERTPRQQQSGAVERPTSVSSVGAGAEDRSSRQQSAALERRRSQEQERRRSQEEEMERERRRSQAEDEVEERVIRESSEAEERKREREKEEDELEAYQLQLVLEMSARDNPEEMEIEVAKQISLGFCPPQSSPAEVLAVRYWNFNALSYDDKISDGFYDLYFTGNGPASVSMPSLVELRAHPFSHKVNWEAVLVHRGEDSELMKLEQKALIMALELRSRTSEFVGEALIQRLANLVASHMGGLVFDPESMSVKYQSMISSLRTNIGSVVVPLGQLKVGLARHRALLFKVLADGIDVPCRLLKGRQYTGSDDGAMSFVKFKDGREFIVDLVADPGTLIPSDGAVPSTQFENNFVSDGDHFSKDDTADQFGSSLSGMSSSACGSFEYELLDGRSTSINVGSSDTNGATTSQTSNQQNMLSSSFEKLSVSTCRSENRPVTNESANTDYIMVAKNKEKLIAANDSSSTSPTSEVGSTPAVRRMKVKDISEYMINAAKENPQLARKIHEVLLENGVVAPPDLFSEDSMEEPKDLIVYDTTLFESKDEMKRTMNEFGSREYADRGHGPLLPHHPAHELHSKVAPHRIPLDSLKPKGKDDFWDKQHIEFDQGQDNTLDQEVPQEAERTSDKSSGTESAKSDVALEEIAEFEMQWDEIDIGERIGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALEEFKTEVRIMKRLRHPNVVLFMGAITRVPHLSIVTEFLPRGSLFRLIHRPNNQLDERKRLRMALDVARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNNTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVVLWELCTLLQPWEGMNAMQVVGAVGFQNRRLDIPDNIDPVIAEIIMKCWHTDPKLRPSFADIMGKLKPLLKNMTSNQAPR >Et_3A_024181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18143093:18151704:-1 gene:Et_3A_024181 transcript:Et_3A_024181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLCDNIVEEILLRQPLKYLHRLGATARRYNALVLGHEFTARYWSSHGPHLSGVFLQTERLIRPWGDRPCFLTATGRQPSATESVFASDIAFLPHLPQKQKHYCTSEGMIFIVPSSAGLLLCSRDEVKQVHYYVCNPVTWQCVELPELPWPGYYTGLLSVSANGDGTIKTFQVVLVNRPSEWQQDGSCMCFDLKMFSSDTGQWRAMRLRTPMRGADVHFPPLLGQGGTACWIECPSKDRVIAYNSVYRSVHVLPLPTRVAEDACNWCLGERQGDSLRFAHFNFSLFEVWDLQTQGEHGMRWNLVHQIGLTELIEQNPEAANVYGRAIQGYINRNSLFNVIGFHPIDDIVYFDIEGTVAAYSIVHRTIKFQSPRQCFPNEMDLLCDNIMEEILLRLPLKYLHRLRAAARRYNALVLGPEFTARYWRSHGPHSGVFLQTEFPHRPWRDRPCFLTASGHQPSETESVFASDISFLPHLPHKEAYSWTTDGVIFIVHSSAGLLLCARGRGEGRQVHYYVCNPVTWQCVALPELPWPGYYTGLLTVSANGDGTIKSFQVALVNSPSEWDKHGGCLALKIFSSDTGQWRAMQLHPSALRVDAPSPPFLGQSGTAYWVGYRDKDKVIAYNSVRHSVHVLPVPTRVAENALNRCLGEHKDGGLRYAHFDFAVFEVWDLQTAGENGMRWKLVHRIGVMELAQQNPEAAHYATNGGSIEGHINANRLFEVIGFHPIDDIVYFDVRRTVAIYSIARSTIELQCPRQCVSMDVFPYVHPAHPMDLLCDNIVEEILLRLPLKYLHRLRAAARRYDALAISPEFTSRYWSSHGLHLSGVFLQTDKPCRPWKDRPCFLTASGSRPSATESVFASDIAFLAHLTDKQTYSWSSHGMIFIVHSSAGLLLCSKGQEKPVHYYVCNPVTWQCVALPELPWQGCNSGLLTVSENGDGTIKSFQVVIVEHPRYWKQDYGCLKLDLKIFSSDTGHWRMMQLQSPVLGVDACSPPFLGQSGTMYWIGYCNKDRAIAYNSVCHSVRVLPVPTRIAKNALNRCLGERQGGGLRYAHFDFSVFEVWDLQMEADDGMWWKLVHRIDVMELAQQNPEAADYVTPGGNVEGFITNNTLFEVIGFDPIDDIIYFDVRGTVAVYSIGNRTIRLRCDRQCFWGDVFPYVHPAHPVLIPEIKNQHGNI >Et_1A_008650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9436758:9440338:-1 gene:Et_1A_008650 transcript:Et_1A_008650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTFEDGKKLCALLTRQEALVNKKRRWLASMIPQPDGRTRRVKRPKFLSVPYLPELYIRSEEASCDKVRATIVKGLSSECNGYTHHLVQDSFRLFDIQGKKNVAFDSESLDIMHRTISKLSHEALHSVAYIVSHNKSSFDMTRPLMEKMIKSHLPRYLTSLDNKDVKTQLFNIFRNPCSYRSGSLSLVTPVSSELLTAINHALDGLDGMPTQVLLAMNRKLREKSCTPKFGQLARFSKRGHVVEMVRKRCNKILTGLKEGNYLPKKLAKAMSVVNLYRKQKSRSVDISQSEFFPFTKETISMQNSILNAIWSLQKFKHDKLKLVRPILDEDSMVQRMHFRVTLRNYLTECLLECDEAGLPDEALRVITFINRISPCQQVVFTEERREAEVDAVLNLSSHLKALANCCTEECSCAEEMISLGNDSFSEGNDFILSETNYLKLSSKHQQMSEPCCSNNISDTAVTRESLGGSNVGYMHNVSRPEDPNSRSEDVLRKPCERTEDSGGSGMEMHTEKSRCSEITRICDETSIVAHKLIGQILDKWLLTENGEDELTGCHLGEGLVSQGQDDNGSPNSAENLGRDILIHAVERVLPNLPKSCIDRVKRIMS >Et_4B_036814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11687974:11695894:-1 gene:Et_4B_036814 transcript:Et_4B_036814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQDSSIHPIFEITSHTLSKLLTALNECTEWGQVFILDSLSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKIILLQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLETFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQAVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDANQLDSSLLDELLANISTLSSVYHKPPEAFVSRVKAAPRVDDEEFAETGYSESPSQGVDAASPSSSAGTSSHVPVKQPAAASPAAPAPMPDLLGDLMGMDNAIVPVDEPAAPTGPPLPVLLPSANGQGLQISAQLARRDGQIFYDISFENGTQGVLDGFMIQFNKNTFGLAAGGPLQVPPLQPGASARTLLPMVMFQNLAPGAPNSLLQVAVKNNQQPVWYFNDKFLLHVFFGEDGKMERTSFLETWKSLPDDNEFTKEYPSSVISSIDATIERLAASNVFFIAKRKNANMDVLYMSAKIPRGIPFLIEVTAAVGVPGVKCAVKTPNKEMVPLFFEAMEALFKVISVKETRFTDVLPSNARLYASSFSTIPPWFRRPTRRLMSLDGVVVLELRVVQAQLEVVPHQQRRRARLAALPLPRLVVGRRELAAGCRRLGQDDGVVVLAHLRPVLAVRRAALPERVVALQVAADLLLFFLQQFGLGRVQDHEEAELEVGRLPLLILILLVLQLILLRRRLFRLRAPRPDIRGGFPGGLGHFPVVQRRVVDPGHGKLQRALDRSFSAPDDLADGEVDCAAVFTAWAMLPLHDGCTMTSRNVAIGTASRDSDLRG >Et_5A_042738.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:3328540:3329016:1 gene:Et_5A_042738 transcript:Et_5A_042738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHRHRAGEPAADEAPSPRPSAAGCYAFLRSASTRHRGAGGYRRLDSAVALNKGADVEAVVRVEVGTTTAKKKERSVFHVDPAVLDAGPVRRLVAAAGRRLAGGGVAVAVDALLFEHLLWLATTAGGDAGAGADDLSEIVEFYSQDDDDEEEHHHRF >Et_4B_038075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26012649:26015217:1 gene:Et_4B_038075 transcript:Et_4B_038075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLEPRKVFVGGLPRSAVKTDDLKAHFARYGEVVDAVVMLNPENGLNRGFGFVEFADEASVLRALDWKEREKHVFEGRRVDVKRAQTRHSQTQPSINPNADSKKVFVGGLRENITRDDLSAYFGKFGTINDAVVMFERMTGRPRGFGFVTFDSQDAVDKVLENRFHDLNGTKVETKMAEPRERSHYQNGYYHGSTGGYRSPANRYGGMYSPHSMPYLFHSGSYFVPAYPYVYATQGSANYGYMMNQTASSNDTGMMSVQRSPMVYPNYGRSFVDPVTGSANYLGYPGFNLGSDSKMGEVNANRQRVDISSYSSNLL >Et_3A_023996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15825518:15838430:-1 gene:Et_3A_023996 transcript:Et_3A_023996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAFYPCFPLDDLPIVSRHLRSLELNYVILENSLCDFSGCPSLEHVRICDCFFPCVKKISSKLGCPTHIYAPNLISLMLDDKESWDEPPQPWRTPVLDGMPSLQEAFVRLSYSNTDCCSNADESGNCGDVNCDSCYGIKHDSNCLLLEGLSEAKNLKLIAESNTFVFKRDLKQCPTFSKLKILLLNEYWCAAPNFPALTCILKHTPVLEKLRLQLFYKGSEHKLRINGSHNPMEMSTEHLKTLKTVEIMCEVIDERIIKVMKFLSKFDIRKITSSTLHVLDVLAGRHLAGGMPPRRKGQSNRVSALPDDVLRHIIGFLPAKEAVRTCVLARRWRNLWKSATGLRIKGYYRRLQRFVPRLLHFRGDARIDTCELRLNHWIRHVITCQAQMLSLRVRDPDWDPHLDDLPLVSRHLTRLDFAGVTLKNNFFDFSGCSSLEHLELACCDLSGTETIRSESLKHLSITFCAFSKRSSTVFRTPSLVSLRLDTHLSKAPVLESMSSLQQAFVRVLHTAYAKDKDERRCLSRDYCYSCHGIVDDTKCVLMENLSEAENLALISESKSFIFERELKQYPTLSKLKVLLLNDPWCMAPDFWALTCILDRSPVLEKLTLQFFSRSTEPKQKLVIRGSCNLTEFSSKHLKIVNVECKVIDARVLDVLEFLGTFNIHKLAGKTLHAFGISILGRTPTSSST >Et_10A_000476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1163087:1165858:1 gene:Et_10A_000476 transcript:Et_10A_000476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEPHVCVVPFSVYGGTTLTSSFSNQTLGFNKNAREEDEIARDFTRIVDKILRKHSGTGVRTLKILDAPNYNPRQLRYIHSWLQMVVTPDTEELTLGLAGIFTTKMYKFPCSILSHGNGDSLRYLSLDGCAFRPTGGIGCLRNLARLDLFFVQLSLGETLQVKKLYSDCSGPICHSCAELASRMPNPENTGTYSSTEMVNIPLASTSKLLYLECLDITLRGSTFSQSFDFASMVSSPSLETFVLDASRKLLEHPIYERIRYMSLARTWLYLTCDVLKAWLS >Et_2A_018587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33916864:33918798:-1 gene:Et_2A_018587 transcript:Et_2A_018587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPLTALVSLLLPCLLSLLLLHLATVLDPDPDAATPRVKATPSLPLRFRHDGAFKILQVGRSLRPGGPLSSPPMSFHVADMHFGNGAATRCQDVGPDGGGALCSDLNTTRFLRRVIEAEKPDLIVFTGDNIFGTSATDAAESLLKAISPAMEYKVPWAAILGNHDQESTMTREELMTFMSLMDYSLSQVNPPGFLVHGFGNYHIGIHGPFGSALVNTSLLNLYFLDSGDREVVNGVKTYGWIKESQLAWLHSTSLELQKNLHAPALAFFHIPIPEVRGLWYTGFKGQYQEGVACSTVNSGVLGTLVSMGDVKAVFLGHDHLNDFCGNLSGIWFCYGGGFGYHGYGRPHWPRRARVIYTELKKGQRSWAEVESIQTWKLLDDENLSKIDEQVLWSCSTDDPHQNVFSPRQGV >Et_2B_021022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25744349:25747360:1 gene:Et_2B_021022 transcript:Et_2B_021022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGGGVTLPEEIIFDVLSWLPVKSLIRFRRVSKGWRALISDPVFIATHKSRAAPLLVCSFAACELRVMDTDGNVLRVLKDVAGPALTATRLDLVCVDEGWNGARVIDPATGRVVTIWRSAARLGQAAPSGDYKVFCFLDHACLVATLEKGIETGTWRERQRLPIRICPCDGCTVAINGVIYVLPDGVHEQRGLWNRIARFNLESENWKAMIDGPPEVRRRKTGQRMLIAIGELKSTLTMVETIQSPYTSAYIWLLVDAERSVWVKGYTVQSVNMLYLVKPLEVLCDGRLLLLKTFEKQRVSTDKKGAVLQLYDSNTGTCTDVMDMPKEFAGGITLYTGSLLSNTMECKKIKQGDGVSLPEDITFDILSRLPVKPLCRFRCVSKGWRALISDPAFVAAQKSRAAPLIVGVFGSLFRSRCMIERLELRVLDTDGNVLRAFKDVSKLLAPTRLDLICVDRMRHGAMIIDPAAGRVVTVGGHDPSAGARRFTSLSHSSFGRAAPSGVYKVLRLHESAAADGRGELCEVATLGDGAAEPAWRQRPAPPFLTIWSCAGKATVNGVLYFMPRNAIGTPHGWNRIAAFDLESEEWMETIDGPAMGSRKNEERWTIALAQLKGTLSMVQNVWSRASPDGHYTNIWLLINSEKSIWVKEYMIQMPKHWILLKTLDVLVDGRVLLLNAMKREEEQTPGALQYIVQYYDPGTGAFTDKMKMTQDFRGEMTLYTGSLLS >Et_2B_021566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30572772:30573484:-1 gene:Et_2B_021566 transcript:Et_2B_021566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLASLSSSWLAASCSSAHPPRRQPFSGGRASTFLRQTCVSKHRLLSEDTATKARKAAKNMATRYTAGLPTKWTYCNKCSSSRSCTTCRGSGRIASLTSTSP >Et_4B_036546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29136770:29138595:1 gene:Et_4B_036546 transcript:Et_4B_036546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARRRRRFLLAKSPEEDDDDAPVQGPEEWRDWANLIPDLVDDISGRLLSVDVAEYLRFRAACKPWRDVTADPRDAGVLDRRFRPRNWALLHLNPEATPPRRLLNLATAASIGVDLPALSTHCNLGAADGLLVLVDRPTKAVRLLDPLRNAVVEFPSISSQHRRRPENRTTSRRCSGTQEEPSLVLCLRGRSRNIVFAKPGDDHWTLVGAGQASIRMHDLSGHVLFYSLLSVGGRCYVSSPEGSVYLVELGTTSPPRLVEVVNERHLLELDLLRCQRIFSFLVGGALGREVFMVNGVASRIEVLEVDVAGRRLLPVTSLGRHAAFVGVTHCVLLSTDTFPSIAADQICLACDLQQARQFSSYRISNNRRRGCRRTERPHKFERLFQDMRLSMVPSARPCNLDSVSRLVCRPNTPPQRRMLQSQTSLVHVEHTHLADFSLFSLNLCINGGNLDVNHLSDLLFLSTIIVTKFCKPKHSDSVLCSMLMFQCRRFIYIFLRASALVLHDGRNGGLPLVSMTASIKIIDAPNFRLVQCWICVDAVTCCRATLNSLEEDPTMQMTVKTQAQAV >Et_2A_018121.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1284422:1285405:1 gene:Et_2A_018121 transcript:Et_2A_018121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGVSTAPLSRIHTSPAASLLHHPQSHVLLRGQRRALTTTAAALPTVADLAPLSVPAAVVGTAAIAAAVTFSDPERRRRAQAEAAGGGDKEAVRAYFNSTGFERWRKIYGSTTEGVNRVQLDIRDGHAQTVAATLAMLRDSPVDLAGATVCDAGCGTGSLSIPLASEGADVLASDISAAMVSEAQRQAQLALATQPAGSPFRMPRFEVRDLESLDGKYDIVVCLDVLIHYPREEAKAMIRHLASLAEKRLLISFAPRTLYFDLLKRIGELFPGPSKATRAYLHAERDIEDALREAGWRVNNRGFISTQFYFAKLFEAVPVSSSSS >Et_9B_066106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3876745:3878560:1 gene:Et_9B_066106 transcript:Et_9B_066106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLQMPLAVAQAEIIYIQMQRRDDDAGQQGHEAVQIEDYGDIGILKSLPYCNSIDLFALSYPNIKANFSGIQPVEHFGIIQGLELHTYGWLHVFITFRNARRLLI >Et_6B_049572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6763250:6764436:1 gene:Et_6B_049572 transcript:Et_6B_049572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSSSNVAAALALACLLLVLGLSHGQLQVGFYSRSCPGAESTVASVVRQAGASDPTILPALLRLQFHDCFVRGCDGSVLIKGGNNNNAEVDNNKHQGLRGLDVIDGAKAQLEAQCPGVVSCADIVVLAARDAVAFTGGPSFDVPTGRRDGKVSNIRDADALPDVKDGIDALRSKFAANGLSSRDLVLLSAAHTVGTTACFFIQDRLYNTPLPGGGRGADPTIPPGFLAELRSRCAPGDLNTRLPLDRGSEGVFDASILRNIRDGFAVIGSDAALYNATATVDVVDSYSGLLSNFFGPYFRQDFADAMVRMGSIGVVTGAKGEVRKVCSKFN >Et_8B_058930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11076999:11081795:-1 gene:Et_8B_058930 transcript:Et_8B_058930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAAALRPCAALPLLPARRLRVSSSFAVPGRCGAVAARGLGKPGFLPVSPDRERTTAGARQRQVACGAAAGDAAKEESGGLLKTLQLGAAFGLWYLFNIYFNIYNKQVLKVFPHPINITLIQFAVGSVVAMFMWATGILKRPKISGAQLFAILPLAVVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSALFLGEESLDNINLFSIITVMSFFILAPVTLFTEGIKITPTYLQSAGLNVQQVLTRSLLAALCFHAYQQVSYMILAVVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINSLGTGIALAGVFLYSQLKRIKPKPKTA >Et_8A_057678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:686260:688712:1 gene:Et_8A_057678 transcript:Et_8A_057678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSGSSSPGESATEGLVVSIRIRLTPSAAPRLLSGRWRLFSRQKHMMESLWKLTYLLEPASLALIATAISVAYASASRALDYGREMERNLDFSEASITLDRSQALMIPLASSCSLLLMFYLFSSVSHLVTAFTTVASGMALFFCLSPYITYLKSHFNLVDPFVSRCCSKSFTRLQGLLMLFCIVTVLAWLVSGHWLLNNLLGISICIAFVSHVRLPNIKICALLLVCLFVYDIFWVFFSERFFGANVMVSVATQKASNPVHTVANKLSLPGLQMITKKLELPVKLVFPRNLLGGIVPGSSPGDYMMLGLGDMAIPGMLLALVLCFDNRKHRDVNAPSDMPPSKQQTYVWYALIGYGVGLVAALAAGILSQSPQPALLYLVPSTLGPVMYLSWLRNDLWELWEGYGPILIEKAHLLEV >Et_2A_017437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34280987:34285799:-1 gene:Et_2A_017437 transcript:Et_2A_017437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDVDQRDPATNLQVSKYFYEIGDTENASRIKVMASGQDVKESGHSLVQNLIERCLQLYMNQKEVVDALSLQAKIEPSFTELVWQQLEEQNREFFKAYYLRLMLKNQITAFNKLLEDQLQIMNKEFSSVIPSISPPDPPNGSSSNQLKQNLCFLPETTPGSTMPHEIMRNGSSSGIMNGTLSGDQLIYSGKDMHGLHSGIDASSNLQSTQNSAAVLFGVDNGTAVKTESGYSTNADFAFGNTFLESCQSIGDASGGSFSSSELNGQPLNDSLLDIESSSFGFLSQIPQNFIFPDLAEDFNQSAEVLENYSKSPFLASETNNFSDSAGGDHAGTNCIAKNEVVLKGKKQKG >Et_3B_031232.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:22083395:22085269:-1 gene:Et_3B_031232 transcript:Et_3B_031232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGGRAFRAPFIDDTDDRRALALPIVADVLAGRRGGYAHSRPSRSAAALRSYSSCSSALCSAAARSASATARASLAPASRASRSAASARAASSFSPALSACTHACAAETFFSATTRTSAARPVRYSYSNREMEMVPPDPWNADDARARIVLSTAAFSFFIAPASDALAASSSLTDWPIRTSLTAISALTVSVSARTHRCSISVSSFSLFAASVSSRSCRSADVRLDTIAPDRSPAAAAASFWARALSSLAFSCCTCASAFFSSALSRLTSSATRMISVRVLSMDVMNSNPPALMPSSSFLAASSSASVACSNASSSVVSSSFLALFRSMARTSSISACRTSTSRFVTASFASISRTRAASLRSASTLAFVSSISLANRSASARRWASISRSSRSASMRASSTSTCSSFASSTSRFISSAARRSDWILIADATMSLSALAFASAADLTSSLSLLSSCLARSSSRTTSAYSAASRSSGEPGASATFCLALAADIAWSSDLLDVALALVCSIRRSSSLAVKRAFDSSASARALASSTAALRSLSLSMSDFASCFISGDKFCVHRDGGYDYEPTIWDRKEWYKIQSNSTIQCEKLFFFSGISKEHNLYDVQELRNEEVPLPEHSLL >Et_9B_064376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14549496:14553167:-1 gene:Et_9B_064376 transcript:Et_9B_064376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSTFSVPRGFLGVPPQDPHFAPAVELLVHKQLQARPLHKQPARRRSSACVVSASLSEREREAEYYSQRPPTPLLDTINYPIHMKNLSTKELRQLADELRSDVIFHVSKTGGHLGSSLGVVELTVALHYVFNAPQDRILWDVGHQSYPHKILTGRRDKMPTMRQTNGLAGFTKRAESEYDSFGTGHSSTTISAALGMAVGRDLKGGKNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPAPPVGALSSALSKLQSSRPLRELREVAKGVTKQIGGSVHELAAKVDEYARGMISGPGSTLFEELGLYYIGPVDGHNIDDLVDILKDVKSTKTTGPVLIHVITEKGRGYPYAERAADKYHGVAKFDPATGKQFKTPAKTLSYTNYFAEALIAEAEQDSNIVAIHAAMGGGTGLNYFHRRFPNRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRGYDQVLYRFFSSQETSLQVSANLDGIAGFVIDLVLVQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPADEAELCHMVATAAAIDDRPSCFRYPRGNGIGVPLPPNYKGVPLEVGRGRILQEGDRVALLGYGSAVQNCLAAASLVERHGLKVTVADARFCKPLDHALIRSLAKSHEVLITVEEGSIGGFGSHWRPLVLPDRYIDHGSPADQLAEAGLTPSHIAATVFNILGQNREALAIMAVPNA >Et_4B_036538.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28851566:28851787:-1 gene:Et_4B_036538 transcript:Et_4B_036538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLEEFRGGSAPPDSSDGAAGSVSWWSGDPEAKRRRRVAGYKAYAVEARVKASLRKGFRWIKDRCTGLVRRW >Et_2A_016847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28827231:28827465:1 gene:Et_2A_016847 transcript:Et_2A_016847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTLVVTGVELVMRMSITCHRHR >Et_4B_036970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1344716:1351706:-1 gene:Et_4B_036970 transcript:Et_4B_036970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSKSFVKKTKQGRIQKIVREHYLRDDIYCGAVSCSACDAAAERKLSADAAAIIVVDTNVVLHQIDLLENTAIEDVVVLSVVLEEVKNKNLAVFNRIKALCLNKARRFYVFANEQHRDTYVKDMVGESPNDRNDRAIRVAVRWYQSHLGEGAKVLLITNDRDNKRKATEEGLNAETVESYVRSLAQPGLLDLVVVPTSGDVNMEDVEDLRPSKKKVIYSEHKPMSEITCGLRCGIYHQGKLRVNRYNPFEAYVGSESIGDEIVIRGRSNMNRAFDGDIVAVELLPQDQWHESKSFIADDDEDDDDEDVHLVPSSADDAPRNTSSTQSTVGSSASSVSSRPTGRIVGIIKRNWNSYCGSLEPMPMPAGSGGVAHALFVSKDRRIPKIRIQTRQLENLINKRIIVAVDSWDVLSRYPSGHYVVLIENDINTRPFSTQVLACLPPLPWTLSPEDLANPNRQDLRHVRVFSVDPPGCRDIDDALHCTPLPNGNFEVGVHIADVTNFVHPGTPLDEEAAQRGTSVYLVGQRIDMLPKPLTEDVCSLRADVERLAFSVIWEMTPHADIISTRYTKSVIKSCAAMSYVEAQARMDDSRLVDPLTVDLRNLNSLAKIMRQRRCERGALTLASAEVKFEIDSETHDPLDIGIYQIREANQMIEEFMLAANISVAEKILKHFPLCSLLRRHPSPTKEMLEPLLRTASSVGLNLDVSSSKALAESLDNAKSDDPYFNKLIRILATRCMTQAVYFCSGDLSFSEYYHYGLAASLYTHFTSPIRRYADVVVHRLLAAALDIAKLPPIFQDGPQLTGIADNLNYRHRNAQMASRASVELHTHIYFRTRPTDTEARIVKVKANGFIVFVPKFGIEGPIYLTPKGDKGGDWVVDEVHQRVSKPGTNISYSVLQTVMIHMEVVEPQPHRPKLQLTLI >Et_4A_034383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32146490:32148931:1 gene:Et_4A_034383 transcript:Et_4A_034383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTPATGALAGASLPLHLSARPQPSSRVSLLPRASLPTRRLSLGRPAQLSARSRSVRCLASLSPEMRTTLDKVVGSHKVVLFMKGTKDFPQCGFSHTVVQILRSLDVPFETLDVLANEALRQGLKEYSSWPTFPQLYIDGEFFGGCDITVEAYKSGELQETLEKAICS >Et_5B_044234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21812003:21815001:-1 gene:Et_5B_044234 transcript:Et_5B_044234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGIGEKKAQMEEQSSAAAFVEGGVQDGCDDSCSICLEAFSDSDPSAVTNCKHEFHLQCILEWCQRSSQCPMCWQVISMKDSISQELLEAVEHERNVQENRARTAAVYRHPLLGDFEVPHVPVGADDAELEERIMQHLAAAAAARRSRRHLRRESHRRRLAAHGHPQMLVFSATEATSGDSISSNPRQEGDDEHIISVLPIGPVTATEETAANTSVHDPTAANGPVRSNNRFSGNLSSPVSQGEAGPSDLQSFSETLKSRLQSVSTRYKDSITKNTRGWKERLFTNNNISNIGSEVKREVSAGIAAVSRMMERLDARASTGLSSTPSNNIQSASDTINQRDVPQESATDVNGPARACLFETEGCRTRANNKKLLSVGLKLSSKTKAAP >Et_8A_056495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1166371:1168850:1 gene:Et_8A_056495 transcript:Et_8A_056495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGQVKGPGYPQYAASYGGGGVAADEERRWWPWLVPAVLVTCVAVFVAEMFVNDCPRHGSVLGGNASCISVGFLRRFAFQPLRENPLLGPSSATLDKMGALNWAKVVHGHQGWRLISCIWLHAGLVHLVVNMLSLLFIGIRLEQQFGFVRIGVIYLVSGFGGSVMSALFLRSTYISVGASGALFGLLGSMLSELFMNWTIYANKAAAIMTLLFIIAINLAIGILPHADNFATLVDLHLDFFLDLYCWRDLSLVGWNAMSCHRQINLQNTNYTSIFVIILVMLFKGKNGNDSCHWCQYLNCVPTSRWKCNT >Et_4A_035816.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:6698969:6700354:-1 gene:Et_4A_035816 transcript:Et_4A_035816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLARRARRASTKTAATAAPPLGGDGVELAIPAHFRCPISLDLMRDPVTAPTGITYDRESIEAWLDTGRAVCPVTHAALRHEDLVPNHAIRRVIQDWCVANRSRGVERIPTPKIPVTPVRASELLFDLADAARRRGPGSRAARCAEAVAEVRALARESERNRRCLASVGTGRVLAAAFESLAAADHDAAHQAAGGGVLESVLAALACMMPLDAEAVRILASPTSLGPLVAIAEHGSLAGRMHAVLAIKEVVSCDAAAFTDLSGEKVVDALVKTVKSPICPQATKAAMVATYHLARSDERVAARVAAAGLVPLLVEALVDADRSASEKALAVLDAVLASEEGRASARAHALAVPVLVKKMFRVSDLATELAVSAMWRLGKAAPHDGGVGGEEDKDGDDAVTRCLVEALRVGAFQKLLLLLQVGCRDATKEKATELLKMLNKYKGLGECVDAVDFRGINRLS >Et_1B_012085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28862950:28869315:1 gene:Et_1B_012085 transcript:Et_1B_012085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAVSAAPLPRVPYQRRARLLRRGVAPRATSSSAASSSPPATAASAAPVYAPTPRDRPLRTPHSGYHFDGTARPFFEGWYFKVSIPECRQSFCFMYSVENPLFRDGMNDLDKLVNGPRFTGVGAQILGADDKYICQFSEKSNNFWGSRHELMLGNTFIPNKDATAPEGEVPPQEFSNRVLEGFQVTPIWHQARWEYSTRPVYGWGDVNSKQLSTAGWLAAFPLFEPHWQICMAGGLSTGWIEWDGERYEFENAPSYSEKNWGGGFPRKWYWIQCNVFPGASGEVALTAAGGLRKIGLGETYESPSLIGVHYEGKFYEFVPWTGTVSWDIAPWGHWKMSGENKNHLVEIEATTTELGTTLRAPTMESGLAPACKDTCYGDLRLQLWEKKYDRGKGKMILDATSNMAAVEVGGGPWFNGWKGTTVTNELVNNIVGTPIDVESLIPIPFLKPPGL >Et_4A_035145.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:77354:78181:-1 gene:Et_4A_035145 transcript:Et_4A_035145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNYMGVAAINLVAALLSIPVIAAGIWLSTQADNACVQILQWPLIALGIAVLAVGIAAGFVGAFWRLPWLLLVYLVVMLLLIAALASLAVFVFVVTGSSSLGAGHTSPAGRAFLEYDLDDHDSGGWLRARLESSWDRIQTCLAATPTCSDFNQTYATAQDLFAATWLSPLQSGCCKPPTRCGYTFVTPTYWISPIDAAVDPDCAAWSNEQDRFCYSCASCKAGLLQNLRREWRRADLILVVTTITLLAVYGMGCYAFRTAKTDELFTRYRQGYT >Et_10A_000323.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:23039146:23039316:1 gene:Et_10A_000323 transcript:Et_10A_000323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDMPTCCRKELSSSPCFGRLPCTPAHLARPHKKTAVFSVHSTCFSYTFNLIDIV >Et_8A_057923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9388693:9390443:1 gene:Et_8A_057923 transcript:Et_8A_057923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWVRLDMLRSGLARPRDGCVSGTRSRSTSPPMWSPSLSWSSSQKGRVKPMHVVDDPGHARLKPKLDEVFPKAAGVFHLGFSPSIAYWTEISTMTQSFPHILTFRYNYGFLIWHVSSSSCSEEKGSCADFLQQDRQIIAHSKEFIKKQLEKEINKLWEPRNAISSADLSDEVKFGVPEEAFNLGQCKNKVKVAKGAGLTATGFEYSLLRTIDRVVLRFHWPLAGLGSRRVVLSVFFVEKKTICFSVQFAIRGSPEAKNIEH >Et_4B_036431.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:23884204:23884389:1 gene:Et_4B_036431 transcript:Et_4B_036431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKSLVVKLKEVEINHCLREQNRIAHTIAHACGVGTLMFGSDIPHLMFRISLMPIVALF >Et_2B_019233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19146782:19147357:1 gene:Et_2B_019233 transcript:Et_2B_019233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGVGDPAVRAAAAAADGDGGLGRRELPGPRRAAAGRAQGLLPRVRGPRAAAVRDPDHLPGPPRVPAAAGEGRGGVRVPARGRARHPMRDRDLQVHPPVRRAARQGHRRRRRRYVTTREPGHGAPAGTSDATCFLARLDSVDRCTLLFVIFIVFF >Et_5B_043761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15555543:15558857:-1 gene:Et_5B_043761 transcript:Et_5B_043761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGARASLSFSSCSPRPRLLALPSPGRYYVSLASSPVAASRGRPRRRRFLVRAARMESSGVSIGFRAPEFELPEPLTGRLWTLDEFEGNPALLVMFICNHCPFVKHLKKDIAKLTSFYMEKGLGAVAISSNSVVTHPQDGPDRMAEDAKLFKYAFPYLYDESQEVAKAFGAVCTPEFYLFKKDGRRPFELFYHGQFDDSRPSNNVPVTGRDLSRAIDCALSGQELSFVQKPSVGCNIKWHP >Et_4B_038109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26326308:26327454:1 gene:Et_4B_038109 transcript:Et_4B_038109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRPAGQQAPGTAAEAVANLGSDAQPAAFPHTKTSRRWRTPRFFTDILLEIVARSEDAATVVKLLRRAILNPGFFHRLQVRADPAFLVGVSYSSTLCGHDKLELDPSSRCLRLDPRLFQYAYQPVSSRDGLLVLRGPDQSRRDDELYVCNSFTGVITRLPPMDDVEPDNACGPGSFSSSDGVGKWGAIRVAEAPPKYESCGRVKQLILHNSPAVIGRTVHWICHAKVGPAVEDTEMFILALHADDATQATAIEMPPGCPHIDSMEMRTCTVQIAAVDE >Et_1A_008318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6302739:6304949:-1 gene:Et_1A_008318 transcript:Et_1A_008318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVGALVVSALALLAATSLCIHAAPCSDLPPLPPDTFCVDSRGCCNFTTVQAAVDAIPDNSPKRSVVWINSGTHMEKLLVPATKTNVTFQGQGMDSTILLWNDTAASAKTTGASASVHVQADGFVAKNLTFKNSSPPPEPGAEHAQAVALRIHGDRAAFWGCGFFGAQDTLYDDAGRHFFKQCFIQGSIDFVFGDGRSMYQAISCPDDRGSHTNIPDIVAPEGWGEWGNSTAADRTVFFGEYRCYGEGSKTEGRVMYEKKLGDEEAQHYMDLSYIDGNEWIKPFNDSLIIAS >Et_7A_050442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15539687:15540958:1 gene:Et_7A_050442 transcript:Et_7A_050442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESSFGLSSDAVTVTTTSEQRGHIRHPKKCRFKGCSKGARGASGLCIAHGGGQRCHKPGCHKGAESSSAYCKAHGGGRRCEQLGCTKSAEGKTDYCIAHGGGRRCEYPDCPKAARGKSGRCIKHGGGKRCTVKGCIRSAEGKAGLCISHGGGRRCQYPDCDKGAQGSTLYCKGHGGGRRCIFDGCSKGAEGSTPLCKAHGGGKRCMFEGGGVCAKSVHGATDYCVAHGGGKRCSISGCTKSARGRTDCCVKHGGGKRCKVAHGGGKRCTWSTGCEKFSRGKSGFCAAHGTLMAKQQEQGVVKNIGSMIGPGLFSGIVVSSTTAASSMTNEHSSSGMSIASDCDVTEPVDGGREGGYVVPEGRVHGGGLLSLLGGSFRNADVEKL >Et_2A_016660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2750840:2753768:-1 gene:Et_2A_016660 transcript:Et_2A_016660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IHQAENSFDDIAGKVVADFGCGCGTLAHIKKTALRDCGAVNAEVLCELRYDLPQTYKFHKKKEVDIAVDLWRFVPKAQHSS >Et_10A_000461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10711154:10717264:-1 gene:Et_10A_000461 transcript:Et_10A_000461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGRMPAEEEQAAPARKMEVGVDNRKDGVVREVVRMEREAVIPILKPKLVMRLAYLIEHEADRNEFLKLCKKVEYTIRAWYQLQFEDLMQLYSLFDPVSGGKRLEQQNLTQEEIETLEFNFMSYLFQIMEKSNFKLLSDEEYDVAQSGKYLLNLPIKVDESKLDKKLLTTYFKEHPHDNLPEFADKYVIFRRGIGIDQTTDYFIMEKIDVMISRAWRSLLRVTRIERLFSRKPQVKPKKDTKKTDEINEDEEEPELFVERVRLEKIELSMRNLLSKMTIQEPTFDRIIMVYRRAGTKDKPDRGIFVKHFKHIPMADMEIVLPEKKNPTLTPMDWVKFLISAVIGLVTLVGSLEMPKADVWVVIAILSGVIGYCAKIYFTFQANMTIYQNMITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMEQGKATDKDLDLRCEELIKEEFGAECNFDVHDAVKKLEKLGIVHRDSIGRIVCVPLKRANEIIGTTTEEMVMRAQQTTAS >Et_4B_037411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19611277:19616417:1 gene:Et_4B_037411 transcript:Et_4B_037411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSCFFQLQILVLVACTAAVATNQSPMAPMPGPSSSAAASFLHACCAPVRHADACYNLLLPYADSFHGCLARVTRTSLGLAITRQHGLTEDLARLKLRGTGVGRMADMVLADCFNAVATAEEFANETLGQLDDLVAGVKSKKDLETEKYFAQTWIGSAASSMSNCIDWVHDDPAMSSPVLKQVTTMCVSAKPYMDIALDLIDSIKFESRTASRVLHFVLRDLIESRLLGVPLS >Et_5A_040704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11340168:11355888:-1 gene:Et_5A_040704 transcript:Et_5A_040704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCVRDPSCQSSFFVSQLRSSIKSIHGNAAPVGSPGLATGAARRCASTLAPPPTLPRQRQRRRRLRGPAQRPPGGAAPRHPRPPRMLSRRWRGLWTGAPVLKFHDFGRGGLGADMLCDALARVRPRLDRRLHIFVRDELFILRLTPRQISSVLRAVELSNDFYEEPFVLPSFERAAAIERLTLRICVVDLAVILPRLRMIDASGDYWSSASTATVHSPSLEELSFKFVRLNRLPDGGVLDVVAPKLKSSVSSDLAVSLSAPKLDDFYMDYDFPVWSDVGWAMWRLVTLRMATEWKYRRGQHLPPVRLHALSRRATGSIICLPVNRSFVQEIGRLPVTNFSALELKLKTAGHVFGALVLQLLRIRTCIQGFKVVMLDNKVEYVCHKECSEDCNYDQDSNWRNEPLSLPDLEDLEIQGFSVADHEVDLLDLLFGSAPMLKRVDIGLSSEVSSNDARTGSAAYRKSCSSTCPDASDLSVKPRAPATSLVGGAASGRSFVSSRSRSTASAGTCCLRVRSLRAPNVVLPPAGEFASLEQLNMVVYSVDLGELIHRCPRLRKLQVMFTCSTKNLVSIESKSLEELALDFLTAKDIFIVVPELKKFRSLFGYSGEFTISLSAPKLEFLMQYDVKMFGVGYDSNWSLHSLRMETRVTDNRTFAEEIARLPVNQFSVLELSIRTEGHVFAPLLLHLLLIRISIETLELVLMDSKGQGCSDNCDCDQDGSWRNERLSLPDLEDVEIEGFSAADHELDFLELVFGSAPMLKRINVKLSAEFSPSDGGCQKLHSIFANSSVECNVYDRSGDIMK >Et_3A_025487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30167902:30169240:-1 gene:Et_3A_025487 transcript:Et_3A_025487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVEYPAGWEIIEPTIRELDAKMREAENDPHDGKRKCEALWPIFRISHQRSRYIYDLFYRKKEISKELYEFCLNQGYADRNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEQVIECVHCGCRGCASGD >Et_2A_015148.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32855997:32856167:1 gene:Et_2A_015148 transcript:Et_2A_015148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAWSRSTGSIGAVGGLRRGVQRERGRRFGLGQRAPAVASRGDEGTVSLPYQSVFL >Et_5B_043720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14634631:14636317:-1 gene:Et_5B_043720 transcript:Et_5B_043720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGELGRLLPLRGPLKALEADIHHANAMADAIQRNYGGACVQMRLSFSSLAPLFLYLIQWLDCACCYALPSYLGLFHILICKVYTDGDSSVSTYERRASLREFYAIIYPILQQLESSLIERDLKGKGRCKDIVSRRRMEDWRKPSSKDVEREDECGICMETCTKMVLPNCSHAMCIKCYRDWYKRSESCPFCRGSLKRIRSRDLWVLTNYNDIIDPANLETENVRHFYSYIDSLPLILPDNIFVFYYDYLI >Et_6A_048124.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7065351:7065719:-1 gene:Et_6A_048124 transcript:Et_6A_048124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAICCFVLVITMGQLLMLGSAGGGRASELMTTPGPAGGSTTEPGSAGVSAVPASAFALLKQEFALLDLGSCSTDCKSCVFDNLESCFDAMFCLRPLSILNCFLEKFVVIKDCLRAKRT >Et_4A_035630.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31668397:31669764:-1 gene:Et_4A_035630 transcript:Et_4A_035630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRSTTTIAKVRCYHVVKIDGYSKTLNSHGDRPVFSSSPFRAGGHSWHVSYRPMGSPHRPDNTKFISFYLVLDNIVNEPVRAEVAFTLLNRDGTPAKETCTMNVNNFSVKAFVGFEDFIKRDELETSEFLKDDCFAVRVHLHIIKEAPYVTVPPPDLHRHLGHLLRRKEDADVEIEVGDERFPAHRLVLAARSPVFMAQFFGPMKVPDTTNVIRVHDMEARVFDALLTFMYTDAWPDHLQNEDDEPAMTQHLLVAADRYGLHRLKLMCEDRLCSRVSAASVTTVLALAEQHCCDRLKEACFEFLLSPSAATILIGSQELEFLAQSCPAVLKELTHILKLKLCLSCGSNSGAVFPSLVGPRTLARLSRDRLRLPRLLHRRSISGHFREPHQSRTSTQVLLKGSSVSSDGKRFDAPGSDAASSSRSSADASSKLAKPINAIILAGMLVVSCLFSR >Et_10B_003304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18656385:18660545:-1 gene:Et_10B_003304 transcript:Et_10B_003304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRLQNDTSVGFVSIPSHSSSSSINLQSTRAFFSLRFPIPNCAGQQLLISSWVRLFLFLLVLVILVYLFVVPHRRSPPSPAVPLHTEAPHTVHSNWHMQSVNTFPWNFELWKMPRETENAASTNSVESDEPEDRLEFDDDEVDEEEEVEYEEIEEEVEEYEEVEEEEDPEETEVVHEADDDEKTKHAQLLALPPHGSEVYIGGISSNVSSEDLKKLCESVGEVVEVRMRGKGDNRLYAFVNFRTKDLALKAIQKLNNKDLKGKKIKVSSSQAKNKLFIGNVPRNWTHDDFKKAVEEVGPGVIKVDLLKVKSLYVKNLPKTVTQEQLKKLFEHLGEITKVVLPPAKAGHENRYGFVHFKERSMVMKALKNTERYELDGQLLDCSIAKPPADKKDDTVPVSKAQGGPLLHSPLGYGLAGAYTQPGNGLGSAYGVLPARPAQPMLYGAGASPGSTMVPMLLPDGRIVYVLQQPAGQRPLPVASPPAQLGGHRYGSSGGSSSGGRQHRGGDRGNNSRRSRHHPY >Et_1A_005774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13946720:13957227:1 gene:Et_1A_005774 transcript:Et_1A_005774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGAGGRENVLQLQVWASRVGIKGGVVARLDAALEDIKSVLTEATSKQIQNEALERTLKEAWYMASRAEDLRSELDYYRIQQELDREERKDDDDETVPGVVNQVNNVLLPTPGAEALSTYNGVTQAADDLTDTFALSTECSYSAVLQIVPYVVSPVIQASETTLAPYHGQLAMDKISWEINEHIEGCCKMAKDIRKALVLENLDYHIAQTYLSTSTDPRVTAPFLTEHKVYGRQEERDMIVSKLIRDESVTATTLAKMVYNDTAVLNHFDQRLWVYVSVYFNPVEITRSLLEQLCHDRRESIRELKHLQNFLANELKYKRVLLLLDDVWEDSEEEKWDEMLIPFLTSHTKGNKILIISSGERLTIEEIERVVPSHLVRHASIVTKSAYYGQLDGIVLPNHCFQQEFSRTFSTLQKNNLRTLMLFGAHDLSFAHTFQTEFKEVKTIRVLNMEMVYPELSSLISNISAFINLRYLELRSYYYGKILQLPDAICKLYQLQVLDIMHNWAVQTVLPRGLNKLVNLRHFFAEEQLHAQIVGVGKLIFLQELKAFNVRDEKEFSIAELGQLNELRGSIRINNLHKLKSKDEASKARLGDKLYLKGLHLEWTKHRIGLTDVLEDLKPPECIETLRIDGYTGYAPSWMSSNFHLTSLRVLHLQKFRNWSTLPTPHQVPHLRQLHLIQMFEIRTIEVGNLEVLELRTLPRLTHFVVLEKEKFFMNLEVLDIEDCPRLRDFPFQKSSSGTFSQRKFPSPSQTSIAYDDTFTDIDIRGAFSNYNMFRLKTLDMRGLCLEIKGSVNSHVMKLDERVLRFSKLKDLKELEIQEYPNLTHMAWEGLQQMTSLKRLRLLDCPNLFSISSKLFVPASVEYLEFASCNITGKQLSNVMLSLPMLQTLKLRFCKEITSLAVGILVDGPNLTAEGTWHIPPNSLTILKVLHIAFSGMLFLSKKGFGGFESLKEIALENVGMLLPSMVSEAAHESRNCSLLPESLSRLDITDLDDRLLASSKLNSLVELNISQSQRLMFLDLQFCTALRKLHVAGCDMLQSIQSLQSLSFLAELRIGDCSELASLELQSCTSLQLLTIGGCDALCKLDGPSALKDVWISNNLNLASMELHSCRALEKLHVERCPKLVSIQGFRSFVSLKCLEVLRCPGFVSSWLSQAEEIERQGHRFSILLKRLESDDRSVLATPICRQLTALERLEIDGIHLSDDELSILTDSQEAALSLLVSLKTLSFEDARSLPATLHCLTSLRTLNIHRCPGIESLPEGGLPLSLEEIDLCRDCSSELEEACMIMSKEQRFTLYTYGQTMSVDSSKVPSICVSY >Et_1B_012086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28870540:28873949:1 gene:Et_1B_012086 transcript:Et_1B_012086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHLALLSCLLVLVLSFDKFLLHYLKRRWFSGTGGGSVPRGPPAKSRRPMAAPAVKWSETAMLVIDMQKDFVDPAMRSPMLVAGGEAVVPTVAEAVTVARERGIFLVWVVREHDPSGRDVELFRRHHYSGGKGPTVKGLKGSELADGLIIKEGEYKLVKTRFSAFFATHLDSVLKTAGIKNLVVVGVQTPNCIRQTVFDAVALDYEKVTVIIDATAAARPEIHLSNIRDMKNIGVDTPTLEEWRR >Et_10B_003832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6608841:6614318:1 gene:Et_10B_003832 transcript:Et_10B_003832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPECPAAANGAGAVATKLRKGLWSPEEDEKLVAYMLRSGQGSWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIVSLHAILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNSSAASSPAATQCASPEPNTTNNKLAGGGIDVSAAASCPDLAGLDHAMTTTGLWMVDSSSSSSSSTLSMQSRPLAASRSYGGLLPLPDQLRGMAATAAADTPPSFFNSHVAPFKHQAISALHGGYYGSSAHQHHGMAMEAGGEGLFGYVPPFLEPTMAAASAQEQQDQKPLMASSGNNDPNRNNNSNNTTETTTTLSNNESNITDNTNHKDVSLVMNSSSSNVGAAVYWEGAHQQLHMSRNVMQGECWDLEELMKDVSSLPFLDFQYW >Et_8B_059913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:435445:436614:-1 gene:Et_8B_059913 transcript:Et_8B_059913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLKQALLFPRCAMEVMTPEAVQSIRPELEPEFRSSPACLSSLRINLRANQEFSIGAGRRRQGYPLLPDDLYDDKSCRFSSCVRDHYEVFLVPSSRNDAVINPLLEDSEWPPSPFVFSSGRRGPLRDKDRPWGLWLTSSRRCQTPLTSSATTRTTKGDLGERLRHEARHVIDPPIAAADATAPKLSQFHLGKSEKGCVLCGHGL >Et_9A_061768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16281654:16284198:1 gene:Et_9A_061768 transcript:Et_9A_061768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQRLLKLRMASPSCSCPAAAPSARPLSSFLFAPTPAAAARPRPIPLSCAAGPCRGQAAPEPARLRVAAGRRFPGVVAMSSSTPPGPVQKSEEEWEAILTPEQFRILRLKGTEYPGTGEYDKLFAEGIYKCAGCGTPLYKSSTKFNSGCGWPAFYEGFPGAIRRTPDSDGRRIEITCAACGGHLGHVFKGEGFNTPTDERHCVNSISLKFIPASGEA >Et_9A_063543.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:6949414:6950352:1 gene:Et_9A_063543 transcript:Et_9A_063543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPDQGSGGASPPAAAALRRQTSCTCTCSGYEPPPPKQHERRRLSSAASFSSPAASPAPSSASTADSSYHPAPSHKSSSCESIPFANTSAVEELGLGSNKLSPSVSSASSSSSSYESFLHIDPDCLDFDEPSPAMTAAAYDPKRLPSAMFRTRSTNPAEWSVTSNDSLFSIQLSSCSSGSGAGSARYGDVIYYDAAAGGFPSMGMTREPSSVSSSGGLCLREDCARCNGTGSTVRKAVRFAATAKCVSSGDGNRSAGLPTANATAASTANNTPEAAAAGWCQFACCWPALPTLWWPRCCAWNCHCCGGCWC >Et_4B_038968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6421812:6423187:-1 gene:Et_4B_038968 transcript:Et_4B_038968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAADEIRRNPTGVDLVNIGGECCSDKLRLKIIALCGPVGSQGTKPMLGEKERYPENYIYGCRVVHGASLFGIMKELQAVLDGSGQLTAVHDALWAEAQTTLTALQAAITHDMPRIQVETYGLRVEMKDIVMIHFDFVDVIAVPQSCNFIAHELAGYSLGRDSDQFIVWTGSLPEFVTNLVDRD >Et_3B_030204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31335038:31336480:1 gene:Et_3B_030204 transcript:Et_3B_030204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKLEGNLPVYGFHDPASFVSSIQKPRVVIMLVKAGAPVDQTIATLAAHLEQGDCIIDGGNEWYENTERREKAMEERGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNSELQQVFSEWNKGELLSFLIEITTDIFGIKDEQGDGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKEERVEASKIFQGDYSTGLPVDKAQLIEDVRQALYASKICSYAQGMNIIKAKSSEKGWGLNLGELARIWKGGCIIRAIFLDRIKKAYDRNPNLANLLVDPEFAQEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDVPGSYHTEWFKIARNSKI >Et_8B_059819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3009647:3012869:1 gene:Et_8B_059819 transcript:Et_8B_059819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARSDDPDASPETAAAAAGGEIWGTWEELLLAAAVKRHGTGSWDSVAMEMQSRCPSAAARLTASGCRLRFRLLHRRFTPGAENGGDDDPDAAAADEWMEKLRELRVAELRREVERHDVSIGSLQSKVKRLKEEREQSISGEAGPAVKDEEAEDEEPTLVKGSPKDDDLAGEDRVSGGESGRSCKESNSSDLKRPEHDAGTASGAVDSDAAAKDKEEAAAGDSVSVKPEAEPSGESVAGSKEAHAEKESSDVQSSASRREREGGGGEEGEAEEAARSSSAPAALPAAEVEALAAFLESVRSSKPGSVFERRLESQTAHNYIYLSQFLWAYPLTNRAKYSQDNANYRSIIRRHVDLETIRSKLEPGRACYSSASEFYRDLLLLCANALVFFPRGSPEHTAAVQTRALVSKHMSASLPKDQPAPSVKPPKKPKADADIGSLLEKTAPIIVCRKRSSITKAAAAATKVEKVEKEETDEEEEKENEVKKAATKDKARGLRTKSRGGLARKAGPNQRAAKDSESESAAAEGTKKADKKGGSGSSAAAGGVASKRKAVDFLNRMKQNSGPSTERVSLLETLKVSAAAAEQKKSGKGEGRKEAGSGSKRGKDTPPGRRLGRPPKRAAAPPTPPPSKRAKGSGKRGGKK >Et_9B_065935.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18065497:18065976:-1 gene:Et_9B_065935 transcript:Et_9B_065935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAFAEISFTLVLAMCPGPPGSMNLATARATAAAGTNSCSSLLVLLTPAPSGHKRSPISSTSVTNLSVINCSTTIGHVSTGTPAATVSRTEFHPQCVTNAPTDGCARIAVCGAHPVMTIPLSPTCSFHPSGGDHVSALTTHKNGSLEASSPRASSWS >Et_8B_058997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1258940:1262073:-1 gene:Et_8B_058997 transcript:Et_8B_058997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCEVGPRTISFRHLASWPPQTKAKHSLSPLAPLAASQIAAIPKPKPTPCRFPLRRRPWPTPRRDSPWTGSPGAEAKTRKRWRRSSAACSTTSTTRSPSRPSTPSMTSASSSTTTAGASTASASSPTTSSATSSRASPSRRPRARPCSPAVGRPSGAPRRSSSSTPTLLPAGDDLIPDHLDRDSSNAVADAVSLILSAHPGPFSYVRLTCCYMDEHRAKFVGWLKHVAVKGGVKELFLINRPWPLETKSAIPTTLFSMAELTCLYLGFWKFPDTAGLPRGAAFPRLRELSLCSVYIDKEDMELVLSRSPVLEILSFDGLFLPLRLRLVSNSLRCLQVHGCKLDNITVVDAPRLERMFLHTYESEGLKNRIKITHAPVLRLFGNFQLGKDELHIGNTVIKARTGANPSATIPTVMTLDLDVRFGVRNDAKMLPIFLGCFPNLERLHIHSKNTTESTGRLNIKLWQESCAFKSVLSVIKVMAFYDFRGERNEIAFLKFVMESAPMLRTLMVVYANGYFGSRIEATSKAKALFAGKRANDRCLLVVFENTPSVGAYWDFQSGSDFSCNDPFGLHQCSDVGVGHWKQTEH >Et_3B_029250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23472657:23474706:1 gene:Et_3B_029250 transcript:Et_3B_029250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGNGRTGSEVSVQMPPAPSKTVLEPVALPVTASPVPRGLRLGMAMVVTRAASLVMALLSMLLMIFSKQQGTLTIFGIEIPLNANWSFSYSLRFLVGMSAASAAYSMAQLLVIAHKAVKKASMVPSRRHVWLLFAGDQVFSFAMMSAGSAAAAVSNLNRTGIQHTALPNFCKPLPHFCNFSAASIACAFLSCAFLATSAVIDVIWLASL >Et_4B_038845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5204578:5207722:-1 gene:Et_4B_038845 transcript:Et_4B_038845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSSTIAAAGVDSRGSRPLHPSPQLTNFFGKGPYSSLHLFGSLVSPIVLFYIRHFSLVWREFVWGAIAGAFGEGMMHPVDTLKTRLQSQAIMTGTKAQKNIFQMVRTVWTSDGLRGFYRGISPGVTGSLATGATYFGVIESTKTWLENANPSLSGHWSHFIAGAIGDTLGSFVYVPCEVMKQRMQVQGTRKSWQSAAAKGCISQTSGTQMYGYYNGMFHAGCSIWRDHGLKGLYAGYWSTLARDVPFAGLMVTFYEAMKERTQYGKRKYLPNSDLDVSNSFEGLVLGGLAGGCSAYLTTPFDVIKTRLQVQGSTTRYNGWLDAITKTWSSEGVHGLFKGSVPRIIWYIPASAITFMAVEFLRDHFNDKVDTDASELATLSMDTRPEVEEAA >Et_6A_047519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6871762:6873588:-1 gene:Et_6A_047519 transcript:Et_6A_047519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARILPMRPAVAEMGGEDRISALPNDVLHLVLSSLPSDEAVRTSVLARRWRHLWNRSVSHVAAPWTWSWTPRSLTRFMNHLLLLRGYSPAADECDIRCGELADDDGDDEDLSDSAGLWIRHAVSFCKCSVLKISVRTGKRLRIPDVPFVSEYLTKVELTDAKLAFDTLDFSGCPALEAVDFKTCRINLGRILSPSLRRLSMDECNFTGKTGTRISTPRLVSLHVTVRSGQAPFLDDMPVLVAADIRIQDDLSSDMCQGSDAWPCDKKPCYDCNDVRDGVSVLFQGLSSATDLELTSDPRVFIFRKDCHSCTTFKNLKTLLLNEWCMTADFGALVYFLQYTPVLEKLTLQLEYCEDQRAVVVTDEKYSPKEEFLVSKQLNVVEINCQKENELVGKISMILRTHGLHPEKINIEPNFCPPDDGYETNDSDYEELW >Et_3A_025327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2885278:2889064:1 gene:Et_3A_025327 transcript:Et_3A_025327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGAEMGIFGGTPPPSCSSRHCAEWARIYLKYCLCSPKDGVALTLGLLSVISWGVAEVPQIITNYRQKSTEGLSIAFLMTWIIGDLFNLVGCFLEPATLPTQFYMALLYTITTVVLTGQTIYYSHIYHRLKSKKSMVTKLHKHQRGDASLREKLLGAKDGGASRNNQSDATVSIPSSPIPVNAKLVEQDYDSSSPSTDYYYVSARSLSRSPVPIGGTWLRNNRQSSRTPPHRNDQRECLSGEIAPAQPAPSTKNVLSVVPWMSLLLAMCILHFLVGNIHTEVPSGTVIPVGRRRLLFTDDLGHSSLSHGGGSGIGSFLGWAMAIIYMGGRLPQIFLNGLNPLMFTFALVGNSTYVGSILVNSLEWSKLRPNLPWLVDAGGCVLLDFFFIYFHYRKRSEPADEHDNADK >Et_9A_062732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3887607:3893191:-1 gene:Et_9A_062732 transcript:Et_9A_062732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGAAGHRNGEATKAVPAVDDVVTFKTKKNKSGHGERGLVVCDRRPGPSQDDKLAVMCVDGAIVYEDACDLMVVDRSYLRTGKFVVSASDLGGQIGVITKATTSLDLVRLGSGEPVVVAMGVWPAEHRRVREFSVGDYVVIEVSVDVDVKIGDDYLCRVTDAGERKLEVVGGGNGRQVTNTFVYPGQRVKSTPWLNGSFKGPVANVEVGAVLISDILYLSVGSEIKSYHRNSAPPAYKQNPNDLTLFASSNDCFWGVSDRYFFRNAKSSPPLKKVLFNLGKRRELAGHKLRPPVKVEEPMSVAVTRTTADVLWQDSTQWRDVPSASLVPVDINSLNNYEFFPRERVISKVSDGAETSATSAEEDLTAATPVARYGIVRSVDIGDKTVRVSWFETMEHGGEVESNKTLSAYDLALVDQKEQFFYGDVVVRLQPLETAVAANDLSWVGHVMDLCDDGCVQVKWGDKTTSKALPHEISIVHGKSISEMEKEMGDWMANDAIIEAREDKDGDHAVAPKLLPHKISVVGEQTISEMEDGMGDWVAIDAVNDENHADSAGNVTADNRGNDDDATHVRGGEAGTRVVSGVTQGLMRLAAEVTAKGKRFLVCAPEATPRLEPAAMEIVVNQSSGAGSETMAVEEEVDNNVYAEEKSSGNAVGDALFHIPQFDVVEKSPPAHYFLKETEQDIGVGREWIKTVQKEWKILENNLPDTIYVRVFEDRTDLLGVAMGGAVGTPCRRHTPDVPPQVHYHSFGLNLNANLDDSGRVCLSLLDTFEGRGVELWSPEMSTILQVVISIQGLVLTAQPFYNESENEKCLGTPEAARNEVVYAEETCLLALRTMLQLLRRPPAGFEELVRRHFRRRGRFVLRACEAYLRKACPVGMLDEVANTTELSCGRTCSVGFRIVLARLMPRLIEAFTAIGANGCDLFDKFLTKGAHGDHGLVRDQEELEQPDKAPDGCVAVLCVNGTLVYAAAGDLTVSDRSYMYPGQLVVSASNRGGQIGIVMEAARTLDLVQLGTGNNGGEPMPVAKGVSPAEVRRVRELSVGDYVVSGPWLGRVLEVSLDVDVRFDDGAVCRVADADGKLALEGENINETFRPQNNSPYHPGLHVCPAADNLSVFESSRWLTSGWPPAHDEGTVANVEMAAVRIDWVASATLGTKRDLVRASAPPAYQPNPRLLTRLGSPNGCHWGWGVADCCFFRRTTTSSMGSISGSSSSEIQRPMSVAHTRTTADVVWQDGTVAARCGLGVYCSVGLYTERF >Et_3B_028553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16694511:16696328:-1 gene:Et_3B_028553 transcript:Et_3B_028553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSCSDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLAENRVVSYEAGKALADEIGIPFLETSAKDATNVEKAFMTMAGEIKNRMASQPAASGATRPATVQMKGNPVTQQSSCCS >Et_5B_044479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2800255:2804961:1 gene:Et_5B_044479 transcript:Et_5B_044479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAVLAALLMWLSAAPWLPSVAGGPLAPPAKQECQRKCGGVDIPFPFGYGPDHCMLPGFLIQCNDTGNGVFKPFLGTTNVEVLDISLQSGQARVLNNISYRCYDASSHEMIPSEWSWTLKGSPYTFSYTANKFTVVGCRTLAYIGDSTNAAAMYQTGCVAMCRPNDTAALINGSCSGMGCCQTAIPAGLQYYQVWFDERLSSSNDSKSPCSYAVLMESSNFTFSPSYVTTSSALHNGLVPVVLEWSIGLDHCEIARTKPEYACVSSNSRCFNAADRERGYICNCTQGFEGNPYLHDGCKDINECNDRTKYPCFGECVNTNGSFNCSCPAGTEGNASIHVCKKDSFPPRARLAVEISAGVLVALVAFLTIEMLLKMRSNKRQGYFEQHGGQMLSRILKTEGNIDFTFFDRADILKATRNFHKSNIIGEGAHGSVYRADLTVGGAATTVAVKRCKQIDKSRTEEFVQELVILCRVSHPNVVRLLGCCLHFEAPMLVYEFVRHGTLNDLLHCHGKTPRRRVALATRLRIAAEAAAALAHLHAPPHATLHGDVKPENILLGDGWAAKVSDFGCSTLDDGVQVVPKGTLAYLDPEFLQDFQITDRTDVYSLGVVLMELLTRTKPPAKEQKNLRIMFQESMENGTLGELLDADIVEEEQEEEGAVIGVICEVAELACRCTAVPGITRPAMAQVADELRRLSNRVLERANALQDLEGPGYDDGFVSTESESIGFYSLGSKAALSTELAR >Et_2B_022878.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:7160589:7160975:1 gene:Et_2B_022878 transcript:Et_2B_022878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRATVAILFYILAVAALSAAQAPAESPKASKATAPAKSPAISKKASPAMAPKAANAPESEKSGPAAAPATESEVSSPPKPSTSAASPVVADGPAEGPADADHSAAATLGSGAAIASIAAAVTTIIFY >Et_2A_014715.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:9306909:9307283:-1 gene:Et_2A_014715 transcript:Et_2A_014715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DLLIILNNLGSQTLQTFRRNLSNSDKGVELLLGIFLIIPLAGNPDTDTPWHTPDTTAPDVLVELHIDPDVRGAHCLLCKFPDLLNGIGCLLLEGAAIQQVLSVTNFYLKQMPSVYQRTPVIVLR >Et_1A_007794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38357914:38359861:1 gene:Et_1A_007794 transcript:Et_1A_007794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNLLEWNKNLTKEGDRSKGRTPLHYAASWGYDYNRHERSTDRRLQAQRAKLLLEADESSAYQSDNNGLFPIHAAALGSNLDVVVMLLQMCPDCTQLRDKQGMTFLHIAVSKDGPLFRFSFSNYLGLQKRLHVRRFVSLVNALDNEGNTVLHLAAMVGRPMIMCLLIWTKEVQLNLQNKEGQTALDLSLKKKPSGPSVLYLWDPHLSIYNLLVAAGARFGVRRNGEKEEAAAVNVTKMAEMIKDSTTNIAVASVLIITISFAAAFQLPGGYTTGKPEGTPELAKKYSFEAFLVANSLIYAGVSTIDFDSRIPAFVMSLFFLNSSARSLLAAFAFGTYAVLAPVARATAVLTFIGASLLLADIVWFTLMFCLFEFVLINRLGVKACFRTAAPMVGFPLGVLWPYIVIAGFMAYYKTHGIK >Et_9A_061538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13390050:13390557:1 gene:Et_9A_061538 transcript:Et_9A_061538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRTTTLMVIMCLVILGLNVNLATAAECSCCVSARAKACCFACIAAGGSDTVCKNTCCFPCVLTDCGKFTKLILFHAFVICTYKLCSKSWVDTYLLLRLKKWKCLLRWKKVKP >Et_3B_031599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5881149:5882218:1 gene:Et_3B_031599 transcript:Et_3B_031599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSMLRAALLLFALVLLAGQRGRAVGFSVELIHRDSPRSPFHEPSLSPHERALAAARRSLIASSSSDGPGGAVSRVVAGSFEYLMSVTVGTPPTHMMAVADTGSDLVFPYCLVPTHAANASSALKFGASAVVSEPGAATTPLLRAQAPMFYIVVLESVAVAGQTVAAPGRTSPVVLDSGTTLTYLDPALQQPLVAELQRRITLPPAQSPDPMLKLRYDVKGRGQKDWGIPDVTLTLGGGAAVTLRPENTFAMMQEGTLRLAVVPMSEKQHVSVLGNVAQQNLHIGCDLDARTVTFGPADCTRSSPSS >Et_2B_021389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29259716:29262293:-1 gene:Et_2B_021389 transcript:Et_2B_021389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLTALVSLLLPCLLSLLLLHLATVLDPNPDAATPRVKATPPLPLRFRHDGAFKILQVADMHFGNGAATRCQDVSPDGGGALCSDLNTTRFLRRVIEAEKPDLIVFTGDNIFGTSASDAAESLLKAISPAMEYKVPWAAILGNHDQESTMTREELMTFMSLMDYSVSQVNPPGFLVHGFGNYHIGIHGPFGSELVNTSLLNLYFLDSGDREVVNGVKTYGWIKESQQAWLRSTSLELQNNLHAPALAFFHIPIPEVRGLWYTGFKGQYQEGVACSTVNSGVLSTLVSMGDVKAVFLGHDHLNDFCGNLSGIWFCYGGGFGYHAYGRPHWPRRARVIYTELKKGQRSWAEVESIQTWKLLDDEKLSKIDEQE >Et_10B_003615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3792611:3797366:-1 gene:Et_10B_003615 transcript:Et_10B_003615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSKSYTWMFSIDVESMEVKRVEKRNWHARPLIPYELTWPPTIKACLFGWLSGHLTAVHDAMCAEAQAGLAALQAAITHGMSRIQLETDSTCLMMALKTELVFLRLNSPVCLVRAAATCRLWRRVIADAGFLGRVRHLLPPPVLGYYLTSETSRATLFVDLAGELHETMDSDDDCYTPPPAYDDETTDDSDNEPPPADDHDNWFSFSLDFLPPYVYKMQLADSRGGLLAFTDKSDMIVVCDPWTRMSREISLPPSEPEDDKDLWPAYISAFLLDADDFDDKDVHVIQQYKVDAAQCARVYVFSAIDDQWMLLSTTVANDILSALMALYKHVGHNKIDSAQAYFVGRTSGYVFWGIPFGNDVLTLDECTGEFSVLVLPEPVGSDPAHRMQYHRGNLRAISGDAHAVRLVRIVSNYLEVLTLVRDSGTCVVHRRVRIPGNITTLSWNFLDTAPAAGRGCVVLSRDRINMLMFSVETMRMERWLVRIKNVRVFPLELPWPPTIKARLHVSYRRDMGTACRRRTRWQWLWRRLIAGARFFHRVRLLHRLYVLGYYLAKELPGRDRDTVFVPAAATPATPPIDASQRFSFSLDFLPRSTNRLWLSDSRGALLVFADEYAGIVVCNPLTKHYKEVCLPPSEPEDNEVYHLVFTFLLDADDGDGETDTSPLMSRFRVLYMSVHSNYNGNDNGISAKVYVFFARVNRWLS >Et_7B_053234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13787864:13788474:1 gene:Et_7B_053234 transcript:Et_7B_053234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDTSCGGSNGGGSRCHGALEDARSVKFRRRTRHGREGAGASGGTRESTPDLESASAERPDRCTSASADRGQPRRRDRGSLLCLMPFALGGVSPEPA >Et_10B_003632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4039018:4043729:-1 gene:Et_10B_003632 transcript:Et_10B_003632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADPEQAVPSESLCRPKLDDAPEPLAVRSSTGISAPSPRKLRPVSRQARRPDGTPLLQLALRLDVAGIGPSGAKEPYFRPCAGTGLGMGIRVEDVFGELISKIADVDFDLPPALKEWKRSYYVPIKRNVYLTKRRIEDDGIFCSCTPSSGSPVACGKDCQCGMLFSCCSSECKCDDTCANKSFQHRPLKKTRLITTEKCGSGLVAEDEIKKGEFVIEYVGEVIDDRTCEQRLWKMRRQGHTNFYLCEVSSNMIIDATNKGNMSRFINHSCEPNTEMQKWSVDGETRVGIFALRNIKKGEELTYDYKFVQFGAGQDCHCGSSNCRKMLGTPKTVNSIVLHNGNSGSSQAKPARKKRKTYCENCIGQTLRFWHPREKMYVACWVYEFDQETKIHTLQFCDYSLEKFDLKEEEWHFLPV >Et_8B_060101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:675979:677180:1 gene:Et_8B_060101 transcript:Et_8B_060101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNPHLLCLSQRCAAYGGSLLAFAYSFTVAVRNLRVFRRETLLWISVLNKASTPLSRSTRSNSNSRNCSSSHTTLFFWNVLETRDHLLELGVAICFDKIYDDDSSMVMVISLASDNNLMTLLLSLSAAVDFLPTFLNCFCTFLDTWM >Et_4A_033740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26363198:26364835:1 gene:Et_4A_033740 transcript:Et_4A_033740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EQITGCGLTLSSPSQPHNGHNDLFPSRRFLFASPRRIHNPALGPSSRISPIPSTTHATTASGKKSMRDSDGEGSGFPRSHPSNLPLPNPHSDPNLQFSGTDDDISNRNSSSSATGGASPGFYSDYPTSFSGECSPYNIDASMAGGAGGGVPSMAPGTSLIGSLVREEGHIYSLAAKTDTLYTGSDSKNIRVWRKQKDSGGFKSSSGLVKAIVISGERIFTGHQDGKIRVWKVSPKNGLHKRVGSLPRLRDFLRGSLNPSNYVEVRKNRTALWIRHSDAVSCLSPTDPAQGLLYSGSWDRTFKVWRITDSKCLESVVAHDDNVNAIVAAFDGLVFTGSADGTVKVWKRELQGKGTKHSPVQTLLKQEHAVNALAVSAVAPVLYCGSSDGLVNFWEGERHLVHGGVLRGHKKAVFCLAAAGALLLSGSADNTIYVWRRDGGVHSCLSVLTGHTEPIRCIAVVEDNAEGEASAGGSASRWTVYTGSLDKSIKVWRVCDEAPDPMLQGPGDGQQMFDRYPGDSFAAGSSSTRSFR >Et_9B_064633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17183663:17192655:-1 gene:Et_9B_064633 transcript:Et_9B_064633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRPKSERMSPSSQMSPSPSPWQPPSNRRDAAIQELRRGTQLAARLRQQVELIPELGRREAAVANVSEISGAMASSLSMLQSESEHSSESGSVDVAACAAYSSDGGSGVRNGAVARARKVRHRRGEELQITKEILTEAPENDGFHWRKYGEKKILNAEYTRPACAASELIVKARGSAAAVLQALLGQQLVAAGEMPQGIQDLIEKILH >Et_3B_030353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32401987:32403501:1 gene:Et_3B_030353 transcript:Et_3B_030353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRKDQRLKCDCLVFDLDDTLYPVTSGIGADVMKNIQDYMVHKLGIEESISLELCILLYKQYGTTMAGLRAVGYQFDYDDYHSFVHGRLTYEKIKPDPVLRNILLSLPIRKVVFTNGDKIHASRALKRLGIEDCFERVVCFETLNPTSPPRVPVDELQIFDIMKHLAHPEPGVDLPKSSILCKPSIDAMLHVLKVASINPQTTILFDDSFRNIQAAKEIGMRTVLVGTSERTRGADYALESLHNMKEALPELWEEAQKDEDVRNSSKVGIETSVIA >Et_8B_058683.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:15877613:15878785:1 gene:Et_8B_058683 transcript:Et_8B_058683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DDGHLLPLGEVHRVAGHLRPLELRDEGGEEVLEVQQRRLDAGVDPPACAERHHLAPREVDVLPFPAGHEALGAELLRRRPHLGVHGHASQREVDERVAGHAEAVERRAALLRGGVRQHEPPGGVPPEPLQHHRPEVRHPRRVLLIVQPDQVAGEDVAYLGPELRLDRRPRHQVRQRPLHHGHRRVRAAGQELGAQAHHLALRQAPLAAIIILAGDPEAEQRVRVLHLLRARPYQRREQLLLPAADGHHLLPPAAVHEPGHRRDDAEHLEPDHRRQELPLQRRHRRHHAVAEAHADEHVEDGVSTTTTTLSSCIICRATPATRPAAASRRASHDGWRTFPVRLRRSARHSGPYAADVMLCWSLEKTRVARFAGGRSANAAPPCTSTRRGPR >Et_1B_011900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2757615:2758649:-1 gene:Et_1B_011900 transcript:Et_1B_011900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSAPPDAYNKFSTGAPPTAPPPAAYQQHGVDMNQARPGGGLRKWSTGLFHCMDDPGNCLITCICPCITFGQIADIVDKGTCSCIASGLIYGLICSSTGMGCLYSCLYRSRLRAEYDLDEGECPDFLVHCCCEHLALCQEYRELKNRGFDLGIGWDANIDRQRRGVSGGTVMGAPAMPHGMMR >Et_3A_025375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29290854:29295026:1 gene:Et_3A_025375 transcript:Et_3A_025375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEEVQSASKKQRVATHTHIKGLGLDANGAAIGMASGFVGQVAAREAGGLVIDMIRQKKMAGRALLLAGPPATGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRSIGLRIKENKEVYEGEVTELSPEEAESTTGGYAKSIAHVIIGLKTVKGTKQLKLDPSIYDALIKEKVAVGDVIYIEANSGAVKRVGRCDSFATEYDLEAEEYVPIPKGEVYKKKEIVQDVTLHDLDAANAQPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDIECFSYLNRALESPLSPIVILATNRGICSVRGTDMTSPHGIPVDLLDRLVIIRTETYGPTEMIQILAIRAQVEEIEIDEESLAFLGEIGQQTSLRHAIQLLSPASVVAKANGREKICKADLEEVSGLYLDAKSSARLLQEQQERYIT >Et_1A_005296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38723166:38725175:1 gene:Et_1A_005296 transcript:Et_1A_005296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDDDDRAAASLAAARRTLRAGIEKSRALDTALARAGPRLEEIQGALPALEAAVRPIRAPRAELAAAGPHIDRAVGPAAAVLKVFDAVHGLEPPLLAPGAATGDLPGYLAVLGRLEEALRFLSDNCGLAAQWLADIVEYLGDRDLADPRFLADLGVTLDELKTPSGDLDGGLLAAALDILEAEYRRLLADNSAPLAMQQPGAAAPSVAPSRVPAAAVQKLTLILDRLVANGRQDRCVSAYVDARGSVVSASLRALGLEYLRDPAVDAQALGPAVELWGRHLEFVVRRLLESERQLCVKVFGKHKDCGAACFAEVASRAGVLDFLRQFGGKACAEIQSQTRDLVKLVVDGAVEIFEELIVQVELQRHMPPPVDGGVPRLVTFVVEYCNRLLGEKYRPVLGQVLTIHRSWRKEVFNDRMLVNAVLNIVKALEANFDVWSKAYDNAILSYLFMMNTHWHFFKHMKATKLGELLGDVWLREHEQYKDYYLTVFIRESWGALSPLLNREGLILFSKGRATAKDLVKQRLKTFNSSFDEMICRQSSWVIPDKDLREKTCDLVVQTIVPSYRSYMQNYGPLVEQEGNAGKYVKYTVDGLEKMLSALFMPRPRRAGSFQIKHSSGKITSEMTGLHRSVSAVK >Et_2B_020457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20422874:20426344:1 gene:Et_2B_020457 transcript:Et_2B_020457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPPAASPAAAGARPSLPQPPRPAIVTLPVPAGVRAREPPGRLRLCLAVPPPASEMAAAAAAAQGAQEEEEEWERMAAVEMDAAVRRELAIRRLREEAEAEGGTGRSRRDFAVFETARGDALFTQSWTPAAADRVKGIVVLLHGLNEHSGRYNHFAKLLNDQGLKVYAMDWIGHGGSDGVHGYVSSLDYAVGDLKEFLEDVVMEENHGLPCFLFGHSTGGAIVLKAVLDPCVELHVEGVVLTSPAIHVQPSHPIIKVVAPIFSVLAPKYRVSALHRRGPPVSRDPEALKMKYSDPLVYTGPIRVRTGNEILRISSYLQRNLSRVTVPFLVLHGTADTITDPRASQRLYQASMSTSKSIKLYDGYLHDLLFEPERDDIANDIINWLSSRLDVLQRW >Et_1B_012245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30044600:30048861:-1 gene:Et_1B_012245 transcript:Et_1B_012245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLASYASSDDEADESRPAPAPAPAPASAAARGSEAGAKPAASSSSIGGGGIFSSLPQPKSAPLFSSLPAPKSGPTFSAIPAPKSSGNPKRVVQYRPQPIRQPTGDSSDEEEEEAKKRRASAVEARPAVSAGSGPVSSFLPPPKHSLGLGGGGGSAGARRSVIDTAAPERPNISTAVPSSSVANTGAPEGADAGADDDEDSEGSGSEEEMPVPDQQEDEEQLGSDAGAGQQQQQDYDAGAGSTSGYEAYAWDPNYYAQYGANYGWDPNSNVNYATGDQYAAYGGEQAAAYAQSHGGEQAAAYAHSHGGEHVGGYANVAGAPYGADYTGGYGHEAAATFPPVQDPVLPPVMGRIGGKRGRKDMPPEIVEVNQEELMKNRPKQDKSKLTGLAFGPSYQAAPSTKGKPSKLHKRKHQIGSLYFDMKSKEMELAERRSKGILTKAETQAKYGCKT >Et_3A_027331.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7912192:7912443:-1 gene:Et_3A_027331 transcript:Et_3A_027331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTYYRAFTSPSAAEIRYKPPEVAWRSASTPPVCVFTAGSSGGGFATPDPGADGQGKRKLTRLELLSCKKMSGVYGDWPEGL >Et_8A_056242.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17739873:17740106:-1 gene:Et_8A_056242 transcript:Et_8A_056242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMCASLLCKGLKVENVALAEQHRCKQLKDACIGFMISSADRVDDVVASPGYELLKAACPASLVELWENSAKARNF >Et_10A_000843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18020996:18032325:1 gene:Et_10A_000843 transcript:Et_10A_000843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKITPEERLQIERKRKIKEKAKNRKPGPDAASEVPIQKSERCDALGRLGSAAFALACMHLPNCDGGCQRCFHLDKPKCRLILGLDKEQAKMIHDDKKRDFICKNCKYKQHQCFACGKLGSSDLSSGAEVFRCKHNDCVRFYHPECVAKLLYPEREKASPFQLQIAAGEEFNCPMHQCSVCKGGENKDDQNMQFAVCRRCPTAYHRKCLPSDILFEPEEGRNGHVQRAWEEMVDSEGDVFRLYPIVIYCTKHEIVKELGTPKMNHIIFPKIIREPKLRPLKRIYVRKKSYLTTPHLNHCSLHWLQVTRISARVQAPLTHLRQVPCFHIRIQAPVVGLKTEHPASGVYPLESMDGVESSGKELVLLTGANGIKQKSKKKRKARPDAGDDACAICDDGGYITCCDGGCLRSFHLTREHGEASNCRSLGLTTEQAKVIIEKEIFICKNCEYKQHQCFACELLGSSDLSSGAEVFQCKDANCGHFYHPKCVAELLHTGSKVRASFFEQRVAAGLKFLCPVHNCHACHGKENKDDVNMQFAVCRRCPITYHRKCLPSDIPFKTENGSIQRAWDGTNFDVLHHVEIVEELGIPMTRRIIFPDARDIWVAEAPESVPKEQDVHDDELDHQSSVSSPPTSPLADIQYRFLCSNPDSFVPKVKGK >Et_6B_048569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10975116:10976994:-1 gene:Et_6B_048569 transcript:Et_6B_048569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPSGSARSQRRRRRLPPLPGDACRCRRPWPGSWRRASSLECCTDHATFWDSFFDGVHPVHHRRRPRPGDDGGGRRARRALPRPLCRRPRPPGFVGAGAAPSAVLEMLKRVTPELSCPVVLFSYFNPIVRRGLANFTAAAKEAGAQGLLVPDLPYVAACTLRSEAMKNKLELVLLTTPATPEERMKEITNAAERFVYLASVVSVNGVTGSRENVNLRVQSLIQEIKQVTDKPVAVGFGISKPEHVKQGQITS >Et_8B_058729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19164694:19165884:-1 gene:Et_8B_058729 transcript:Et_8B_058729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCTAVVDHCNGLLLHYDGVLNPATGGWTPLPEEEPPRMAGMEHFPHHMYLAFDPAASSHYEVFVVPEVPVCYAGPRRPSPSSTSPCSSSSEPCLSVFSSRTGRCRWDQRSFVREGEPAGTVADMTTLDERFMPQRNGVCWRGALYVHCQTEFVIRISLSDDDDDDDNKYYYRVIKPPADLTLSYCAESYLVLQYRRLRVWILDDDESGAGQAKWILKHDSGDGLSMPMNSSQAAALGPWVLVDDDSDEPDKEQQMDQQLEWNSDDDDESIIRTTANEDKGGKRQCVNGILGFHPYKEIVFLHRSWRRGLAYHLNSSKLEDLGNLRPKDILEFARLRIGRTFPYTPCLMGEFSGKLEDVLDDD >Et_10B_003415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19621975:19623597:-1 gene:Et_10B_003415 transcript:Et_10B_003415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKAPLFLVLLLLLTGWGAAQAHGSQDMDVVVLDVDTLEIRDLGPIYEDQIISSKIPVDAKSGSPVCSVCEEFANKAISYLREKQTQDKIVEIIHDACLQTFSLEQKCVELMDSYATLLFAKINEIRPEEFCKQYGLCRNTALFSGVTTDSTCVFCHHVLDEVMSKLKDPDAEFEIIQILIKECNKIEGHVQQCKRLVLQYIPLILVNGEKFLEKNDVCALVQACDASQKRIADSFLEGDLLNDA >Et_1B_010004.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17884267:17884404:-1 gene:Et_1B_010004 transcript:Et_1B_010004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVRVWNSTPMVALESRLNSLRANRARICDFPTAESPISTTLNT >Et_2A_018506.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:30745489:30745803:-1 gene:Et_2A_018506 transcript:Et_2A_018506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPSSPSSPSSLKHKLRTTVCGCFGGGGAGAAAGGGERVRWRRRAATGEFRYDSLSYALNFDEGDDDEDDAAAFRYRNFTSRLPHSPVARAPAQRPTAIAIA >Et_2B_019742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13305770:13311559:-1 gene:Et_2B_019742 transcript:Et_2B_019742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLAFRCGLRPGDLPGARVARPAVEVFNMAHAPTKVILQLEGQEIMRAQRRKKSEPFWSSMVVRKWLNIKPKLNDFSEDEVDTESEDNDGTNSGEDSVFVIHDNKHSINKSSGEKTFRPLRRLQRRKSESLRVNYISNKDVRVMIGTWNVAGRTPNEDADLDQWLCTQEPADIFQEVVPLNAGNVLGAEDIRPIRKWEAIIRRTLNKFQQPKTICKSYSAPPSPLLGPVASGNGRVYSKLEPEDEVTRNLNQSNDRQTNVPKLSCNWLHRTRSLDWPEHPLDTPPKVLVSGKGIRRVMSFGLSSCSFVEYPQGDEPQDVALQVGLKRQYHSSGNLGLLWSEQQEKFDVLNSLDCISDCTSEDDSPSTCTVEERANHREREFSKPRANYVRIVSKQMVGIYISVWISRKLRQHVNNLEVASVGVGLLGYMGNKGSISISMSLFQTRLCFVCSHLASGHKSGDQQKRNSDVVEILQRTRFSSFFAAGQPLMIPSHDRIFWFGDLNYRIDLRMLKYDGS >Et_3B_027434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16816387:16817379:1 gene:Et_3B_027434 transcript:Et_3B_027434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LFPGEYSEVRLRCRESDDERSNDERNGGPRAAEVVTPERGPVHVPPGATVMAHVLVHPGEDVPAAVVDGAAVPEVAEEPRRLVVVGEQVVHDAAPAEQLHVLRRADEHAHERHVAGDHPRVLPAVALLERDQVLEERPPHLVDLHAGDLHDAALRVEGDVVRVAGRALPHEGHPRRAHLGGARRCRRGRPLGNEALVVEVEQVVDLVSGRRDRDHRVLLVANVVEKESDESLPGAALLRGD >Et_4A_033253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20550243:20551828:-1 gene:Et_4A_033253 transcript:Et_4A_033253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQIVCRGCRTVLLYPQGAPSVCCAVCQAITTVPPPGLEMAQLICGGCRTLLMYTRNADTVRCSCCHTVNLVRPVNNVAHVNCGQCGTTLMYPYGAPSVKCAICNYITTTGINTVATSSSATPASNGSSYSASSTSAPKSQPPNVTVVVENPMTVDEKGKL >Et_4B_039660.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:25813767:25816565:1 gene:Et_4B_039660 transcript:Et_4B_039660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPLSHCAALLLDKKHRPPRPPAAGAGAGSGGGRRLQESKLREALEEASEDGCLAKSRDAALLEGDGWEEGSVGRSRSLARLHAQREFLRATAMAAERAFQSPGALPVLEEALATFLAMYPKYASSADVDHLRADEYPHLDKVCLDYCGFGLFSYLQSCNPVDSSAAFTLSEITANLSNHALYGAAEKGTAEHDIKNRIMEYLNIPESEYSLVFTVSRGSAFRLLAECYPFGTNKRLLTMFDHESQSVNWMAQAARDKGAKAYSAWFKWPTLKICSTELRKLISTKKRRRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNHWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVMACLQSPSGGTGAGMVRILPVFPQYLSDSVDGLDGVLDGLEDDTIIPIEEGSVSNSHHASQLPAFSGAYSSAQVREVIESEMDQDSSDRDGASTIYEESESVSVGEVMKSPVFSEDESSENSFWVDLGQSPLGSDHSEQSSKGKLGSPLPASWFSGRKNAKKASPKVSSKLAKSPIHDNHVMSFDAAVRSVSESGPMKVLPDEDHPHNDIKNAIHVNEIEEDQDGKGNKRFVKFSCANGPAEGSSASVFGSYTTRENGSTSEICSESQVGNKESAIRRENEGDFRLLGRRDLHNGRFNGGRFFGVEESERVSSMGRKVSFTTDDSRLCRNSDAGETSGYAMADDDDDEYSDYDEAQDSRREPEIICKHLDHVNILGLSKTTLRLRYLINWLVTSLLQLRLPDSGDGDGIPLVYIYGPKIKYERGAAVAFNIKDCSTGTSLISPETVLKLAEKEGLSLGVGFLSHIRLTDNQKHGSADVGLSSSLCRPVSSGRREKRNSKNDIVGIEVVTASLGFLTNFEDVYRLWAFVAKFLDSSFLEQERLSSIPEDAER >Et_3A_024968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25753106:25757806:-1 gene:Et_3A_024968 transcript:Et_3A_024968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANALSSPAAVRTPSHKGAPPPSPSTRRAVADAATAAAAAAAADSKARFEAYNRLQAAAVAFGEKLPIPEIVAIGGQSDGKSSLLEALLGFRFNIREVEMGTRRPLVLQMVHDPTALEPRCRFQEEDSEEYGSPMVVATAIADLIKQRTESHLRKIQAAVSAKPIVMRAEYAHCPNLTIIDTPGFVLKAKRGEPESTPEEIKSMVKALASPPHRLVLFLQQSSVEWCSSVWLDTLREIDPTFRRTMIVVSKFDNRLKEFGERWEVDSYLSASGYLGENIHPFFVALPKDRGTISNEEFRRQICQVDIDVLRYLRDNVKGGFNEEKYGPYIGFSCLRKYLESELQKRYKEAAPATLALLEQRCSEVSMDLARLDSKLQATSDVSQLRKSAMLHAASICTHLRSLLDGAADPDPELWGKTTDEEQMHSGIGSWPGISMPVKPANSTLKLYGGAAFERVMNEFRCATYSMECPQVSREKVANILLAHAGRGGSSGFTEAAAEIARAAARSWLAPLIDTACDRLAFVLQSLFDLAMERNRNKESQYHQNVEDMDGYVGFLAALRCSYYKFVKELSKQCKQIVRHHLDAVTSPYSHICYENDFLTGFGSVANSMNRFNHFPGVTSFDLSDSGSALDEAQENVPPKDQQHMTPPTKGNESKEILRESQLTVPETPSPDLPADIHGGKKKDNGIPNDVGPRKRHARMAAYTSRHHHNNGMIGADDMGSKSGYSTICAISAQYFAKMREVLIERNVPSALNSGFLTPCRERLYLALGFELFAVNDEKFMDMFVAPGSVDAIQNERQSLLKRQKILLSCLNEFKNISRALDILSGNE >Et_2B_022083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8927686:8934907:-1 gene:Et_2B_022083 transcript:Et_2B_022083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASVEEPLLAAAGVGVEGEEEGADLGLGVREEVKKQLWLAGPMIAGALLQNVIQMISVMYVGHLGELPLAGASMANSFATVTGLSLLLGMASALDTLCGQAYGARQYHLLGIYKQRAMFILTLVSIPLAVVWFYTEAILLLFGQDADIAAEAGAFARWMIPSLFAYGLLQCHVRFLQTQNIVFPVMAGAGAAAACHLLVCWALVYGLGLGSNGAALSNAVSYWVNVAVLAVYVRVSPACKETWTGFSVEAFRDALGFIWLAIPSALMVCLEMWSFELIVLLSGLLPNPKLETSVLSISLNTAAFVWMIPFGLGSAISTRVSNELGAGRPQAARLAVRVVVFLAVSEGLVMGLILVCVRYIWGHAYSDVEEVVRYTARMMLVIAVSNFFDGIQCVLSGVARGCGWQKIGASINLGAYYVVGIPSAYLIAFVLHVGGMGLWFGIICGLMVQVLLLMVITLCTNWDHEFILFITSISSHGKRPGRTPYAAKRTAPNSTTFLAPKQRAMLILTLASVPLAVVWFYTGDILLLYDQDAGAFARWMTPALFV >Et_6B_048565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10940093:10946756:1 gene:Et_6B_048565 transcript:Et_6B_048565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKPETMEEEERPPEALKAAEAGSELGFWAAAWRRLAPDDPFFAAGDWSASSSPSTPLTETAQPRQPVRWRSIHLPHGHRRTHARTHGARRIRIPIRILSVVLALSLSRARGEQIGGMQQKPEAMEEQERPTEALKAAEAGPELGFWAAARRRLAPDDPFFAAGDLERELLAKHLALDLSEDDRYQIEKMEVASVCTVFCPIAGCGAQLNCLEEFEDHYITRHTASCSVCSRVYPTSRLLSIHYECLVEGCGVKLKTYKSRQQHLIDKHQFPKSFEFFKKARPSQRHRQKYHKRQTAPKGEEPRDTLMDVDGKSTRQTNWRYRPKQHDHKESKENEHQHKEAKENEMEVEQKIDELTSAVSKLSTADSTPANVTFGHRRSRGLTFVPRSIRQNKSASQPEAK >Et_1A_005968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15999645:16001162:-1 gene:Et_1A_005968 transcript:Et_1A_005968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAMAASSRAFLRPHRRFLLPHTHRRRLCANSAASAPAAPAAAAASTAARRGVVDVLQERGLVDSTTSDALASARPGELKVYCGFDPTAESLHLGNLLGLVALSWFRRCGHTAVALIGGATGRVGDPSGKSAERPELDTAAVTANSDAIRSLVAQILGRVPEPIGPFVILDNYDWWKDITLLDFLKEVGRFARVGTMIAKESVKKRLASEDGMSYTEFTYQLLQGYDFLYMFKNMGVNLQIGGSDQWGNITAGTELIRKILQVDGAYGLTFPLLLKSDGTKFGKSEDGAIWLSPKMLSPYKFYQYFFAVPDVDVIRFMKILTFLSLDEIQELEESMKKPGYVPNTVQRRLAEEVTRFVHGEEGLVEALKATEALRPGAQTQLDSQTIEGIADDVPSCSLAYDQVLKSPLVDLAVSTGLLASKSAVRRLIKQGGLYLNNMRIDNEDKLIEEGDIVDGKVLLLSAGKKNKMVVRIS >Et_10A_002053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20569790:20571288:1 gene:Et_10A_002053 transcript:Et_10A_002053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGGNRERNCCGSLCAFIFTVGFVILIYWAIFQPHQIRATVDSAALSNLTVSGASAVSYHLAVDLSLYNPSKRVGIYYDALAAELRVRDAVIGPEPSAASPTEFHQSRKTGEVVKLEFDGKGVAVAGDAAGELEKGVKGEAPVGMELAVDVRVRYVFGAFKIRRKPKVRCWISIPVKAEGRGAGVGGAISSGDRCSVKRPDRAGGPFHITSDAT >Et_6A_047522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6959340:6960923:1 gene:Et_6A_047522 transcript:Et_6A_047522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVKIFRAHDRPITSLDVHPSEPYVLSASYYDHTIKMWNWEMDWEWVRTFDVIAGEVKFNPKDADYFACATGNGVKVCRIASSGSDDLTFSFGVSEVRCLDYLSRGDELYLINGNVDGSVEIWDWQSRSCLKTLKEHTKCVYTIRVHPDLPLFITGSWDKTVCLWNSITFELEGKLNCGLGHVNAIACLKGSNRIAIGHDKGLAITEI >Et_1B_014458.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9709125:9710981:-1 gene:Et_1B_014458 transcript:Et_1B_014458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRENQPLMDNSAVSMGLSFSSFRSGGSYGQSQITFGSDRLGSTVDNGCSNGGLHRSSHAPAQDDGCRLVLGLGPTPEGGQSAAGHLQPSGADKSRAPVTLFGQSFSFSDPAGALSLGLQQGRRNAGAVQRPEAFPGNIISFGAAVDEGSTSSARRSSGVYMPSLFFTPQPNYSAVAVEEGGTDTGNDETVHAAHHGLRLSPEPSASVTVVSYPGQGQQQAASRRHPKKCRFKGCSKGARGASGLCIAHGGGQRCQKPGCHKGAESRTAYCKAHGGGHRCQQLGCTKSAEGKTDYCIAHGGGRRCGHAGCPKAARGKSGRCIKHGGGKRCSVEGCIRSAEGRVGLCISHGGGRRCQFPDCRKGAQGSTLYCKAHGGGKRCVFDGCGKGAEGSTPLCKAHGGGKRCLYEGGGVCPKSVHGGTEFCVAHGGGKRCAFPGCGKSARGRTDRCVKHGGGKRCRVDGCDKSAQGSTEFCKAHGGGKRCSYGGAGCEKFARGRSGLCAAHGTLVAAQQRRKGMIGPGLFHGIVPAAGGGVNNNNEYSSSGVSTVSDCDGSPVAGTRRQELIPPQVLVPHSMKSPPSVRSREGGVVAVPEGRVHGGGLLALLGGSFRNVDVDKL >Et_7B_054731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3907831:3914288:-1 gene:Et_7B_054731 transcript:Et_7B_054731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDELKVARVMPTHTLFTAAATMFPPELSEARIAWSQNCLLVTVVDDLFDAARSRENENLATLIERWDAHGEIGFCSERVEILFRAVYETSKQIGAKAAAVQNRSVIHHIAELWADTARTMLAEAEWRRTGHVPSMEEYMRVAEVSFALGPIVPTSLYFVGPELPEAVVGGDEYGALLRHTNVVGRLLNDLRTYGKEVSLGKLNAVALLLLHGGRSVAAAEAEARRAVEAARRELLRLVVAERGAVPRPCRRQFWNMCKVMGLFYLETDGYFSPEEMMGAADAVLLQPLRVRAQLSMVDVLENMGISRHFEDEIKCILDNTYSSWLQRDDEIMQDVKTCAMAFRILRMNGYNVSSNDLSHVAEASGFHDLLQGYLCDTRSLLELHKASKVSISGDEIILNYIGFWSDYLLKEQLSSGALKRTPLFEEVEHALNCPFYTTLDRLDHRRTIEHFDVNGHVMLKTAYLPCHKDILALGVRDFNESQYIYQQELQHLESWVKESRLDQLQFARQKLAYFYLSAAGTIFPPEQSDVRMFWALNGVLTTVVDDFFDVGGSKEELENLVNLVEMWDEHYRIEYYSEQVEIVFSAIYNSVNQLGAKASVVQGHDVTDHLIETWMNLLRSMMTEVEWRISKYVPTAEEYLENAFMTFALGPVVLPALYFVGPKLSESVVRNQEYSELFRLMSTCGRLLNDAQTYEREYSEGKVNSVSLLVLQSGGSMSIEEARSEIQKPIEACRRDLLRMVLRQEGAVPRPCKELFWKMCKVCHFFYYRGDGFSSPEEKAREVNAVINEPLQLKGSSSSDVPVW >Et_8B_059775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2660066:2670292:-1 gene:Et_8B_059775 transcript:Et_8B_059775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSRHHYSFRAMRDQPVEHAAGEQAASAEEAPVDDPGQKAAGDSSSEGGASDPESEQHNDDLFVDSVDQRVTDQGMRGGKKSPASIETKGQQVNRSLKAMESSQENSLLSSTEEPICPPPEKRHKVSSDKDGEQCDSDRHSSECASQIVPYKHDKSGGVEDEQGKELIASVPSKRKRQRSLPIEAYTAQCAACNEWRLVPTKKKYEEIRECTKENPFTCEKAREWKPDVACHDPSEVSQDGSKLWAMDQHNIPQSAPGWERLIMIRREGCSKFADVYYTSPTGRKLRSTNEVASYLKENPEYEAQGVKLSQFSFKIPTPARLDNVRKSNWTSRNDVTHEGSTKPLPEEDTLKKIHKNVDQGVRLSQFSFKIPAPARPDYVRKRTQTNRNDSALEESTKPLPEEVLIFIIVTYIRALTGTEPNLGNSLVCTTKGSICPPPEKRQSILSDKDVEKSGGRSSKCEPNTFLCKLDDGGEDEQGENHVSDSKKKYEEISAHITKAPSKYEKACEWKPDVTCKIHRMYLRMPACFGQWINMTLQRSPQGGRDLSRYLRMRRMHQSIDLYLLEHVLLNDCVKNGDMFQQKRNIYEDIRVHIIEDPFKCENAREWKPDVSCNDSSHVPETAPALGRGST >Et_1A_008947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20222732:20225417:-1 gene:Et_1A_008947 transcript:Et_1A_008947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKLVAIGLVVLLCIGVADAIRVVSHATADGQGGGGGVGSGSGTSYASGSGSGFGSGEASDSTPMAPTRSYAYGRGAGDGSGSWQGYDHYASGSGAGGASGAGHGDSSSSNTDGGANANGAGGGGGSGGSVGNGIGSGYGHGRGEGLGENYGPFGSSFANSGGAGGGSGGGHDGSFGSGSGGGSGKSSGAASGFNDGSGYALRQFTWYLITFMAEKYLLAL >Et_1B_009643.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10535247:10535612:1 gene:Et_1B_009643 transcript:Et_1B_009643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPASAITRPVLTRIKKVCMSALAVMWCSAISFPPYQKLRAHVHVMRHMEVLRTRPANHDCRMLSLCGPSCDLSKRLRIRSCDEKAVIVRMFETASAASLLLSDCASLDFLDIFFSKTRRA >Et_4B_038436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2900772:2906192:-1 gene:Et_4B_038436 transcript:Et_4B_038436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWLPAALLGCAVAVAALAVAVECAVTYDKKAILIDGQRRILFSGSIHYPRSTPDMWEGLIEKAKDGGLDVIQTYVFWNGHEPTPGNYYFEERYDLIRFIKTVQKAGLLVHLRIGPYICGEWNFGGFPVWLKYVPGISFRTDNEPFKTAMQGFTEKIVGMMKDEKLFASQGGPIILSQIENEYGPEGKEFGAAGKSYIDWAAKMAVGLGTGVPWVMCKEEDAPDPVINACNGFYCDSFSPNKPYKPLMWTEAWSGWFTEFGGTIRQRPVQDLAFAVARFVQKGGSFINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLPREPKHSHLKELHRAVKLCEQALVSVDPAVTTLGTMQEAHVFRSPSGCAAFLANYNYNSYAKVVFNNENYNLPPWSISILPDCKNVVFNTATVGVQTSQMQMWADGASSMMWERYDEEVDSMAAAPMLTTTGLLEQLNVTRDSSDYLWYITSVDVNPSESFLQGGKPLSLSVQSAGHALHVFVNGQLQGSAYGTREDRKISYNGNANLRAGTNKIALLSVACGLPNVGVHYETWSTGVAGPVVLHGLDEGSRDLTWQTWSYQVGLKGEQMNLNSLEGSSSVEWMQGSLLAQNQQPLAWYRAYFETPSGDEPLALDMGSMGKGQIWINGQSIGRYWTAYADGDCKECSYTGSYRAPKCQSGCGQPTQRWYHVPRSWLQPTRNLLVVFEELGGDSSKIALVKRSVSSVCADVSEDHPNIKNWEIESYGEPEYHKPKVHLKCAAGQSISAIKFASFGTPMGTCGNFQQGDCHSANSHNVLEKKCIGLQRCVVAISPNSFGGDPCPKVTKRVAVEAICSPNA >Et_1A_009046.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:26978176:26979018:1 gene:Et_1A_009046 transcript:Et_1A_009046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQELQETSSSSATTTSSCTTSSTASCSSTVTDSSSSPLSPAEANAAPAPRKRQAAEAEAEADAGGEEEFEATAKKKRKRSSDGKHPTYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGRAAHLNFPGLDAVLPRAASAAPKDVQAAAALAAAFTTSSISEPETAAATSSCHVQEEPADDNNAAAPVNIAAEEAPVSPPAAAQPATPSTSSVVEDERQLFDLPDLLLDIRDGFGCFPAMWAPLPDVEEVNAELRLEEPLLWE >Et_9A_062240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21011771:21013941:1 gene:Et_9A_062240 transcript:Et_9A_062240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGGEGGECAPVPGPSASSTFLFRSAPLCSTTLDHSPPPPKRARGAAPPRKEPEFFSRGRPPPPPPLRHRSGMASSGGDPSAPVGRGVCIMSNSWRDKQHPNLINFIAAFLAGNSYRLSFRSLSPDFIFNNGGVSVAFIFETCWDPENEAAVFSRVNTLKRQFKHLYVVITVPTNEQNEAYIQSYFKYGMEFGCPTFVPVLDQEMGFEKIVKIAHARGVCKQQDIVSTMKNEREQAVQCMDAFLRVLTSIPGIDSHDANALAQAIGSIEAISKASTGFILENTDLSTDKAERVVRFFRDPQYYLGPKIN >Et_4A_033982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28693750:28695580:-1 gene:Et_4A_033982 transcript:Et_4A_033982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEAEKPLRRIAASFEELAAVAKQQPAGAMDAGAFSGACSNVSVLFGCLGIAFKFAEMDYVAKAPPPTPSTLFPSTFVCCFPLNPYSELFVNDLLEASKSISTLPSMVELDIQNDTVRKPGSHTRNMLRVKRGIDMVKVLFEQILVTEGNSLRDAASVAYAQVFAPHHGRAIRMAVAAGMYALPSKSQLLKKLNEDEASAKVQMQNFVRSAAPVIHYVEDLFTSKNLGIDW >Et_2B_019395.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27125234:27125434:-1 gene:Et_2B_019395 transcript:Et_2B_019395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SCTSGQKGRRDQICIYRPHAAKPKQNDESDTTWFLVLDMHLLIRAIRTNQLGPLVPERTDPPDEHI >Et_3A_023383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24446310:24447011:-1 gene:Et_3A_023383 transcript:Et_3A_023383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRQPRVPRRAAVAVRPRRAVPRRQRGRGGRVRAARRRRLPHALRPLPPVPPGDPRRRGHQHPRHHRRRRGVRARVAHRGVPPAPPLRAARQRPGRLHRRPGGQWLRRRRRPGGAPEGGRGGRRAGGARAVQRVPVGVRGGGRRREDLHRRVPGVGSLQPDAGPRPGGHHCDGCSRRRPRRGRGGGGARGEGRRGGGAGGDGQDLPWRRGPTCQLPRVQL >Et_8A_057244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22746489:22749391:-1 gene:Et_8A_057244 transcript:Et_8A_057244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAAAAAAGFSLRQPPTFHANTHASFVSFPRRSPPPAATLLALSVPAPMSARRQVVPAANPKYHNAKADAGGEDVNGEELLRAFTREVARAGVMEEVRRRRRHENARDRRKSKARSAARRYRRRHYKGPYPFDDAQEAKEQDDDKNDNWELPGGKLPSYR >Et_2A_014915.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21761600:21762061:1 gene:Et_2A_014915 transcript:Et_2A_014915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSNRRHAHLRKRLVRVCVERRNPGDALEHAGGLLPHGCQLLAVPAPRCEELNKHNPVRFQYLHQKQKRITPSHSKMKEPIWRRRGGLGSRTSEQYLGFEVVRVELEDRGAGGVERSGRGGSRSGDEEERGEEGGTHGGFAKLLEAGKRRRQ >Et_2A_014497.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:16851265:16852023:-1 gene:Et_2A_014497 transcript:Et_2A_014497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPNAIGAMTMMPERDASRHELGWDTTIHCHRRGICDVSHHHRRDDDTAVADMGFVSIVVQRQPLVRGAGERGRDHHDGPGRRERADDVAADHLPLPAGEVDGEAGGARRRGAREERAGQGQYLEPAAEGDDGGAARARLAQRHVGDGAGAAEHADAALPAAREVGDGLGDVGAGGHLHHVAAQRVRAVAGHEDGRLRLVLRPRGTPARAAVHGQQRRRRAAVRLLVVVVLLATCCAAALALLRRH >Et_8B_060463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17023360:17024471:1 gene:Et_8B_060463 transcript:Et_8B_060463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAKITEEARVAVPATAALPPEPIRLSALDAEWLALPLIQRVLIFVDGASDIPPFESVVAALRASLAESIERFPPLAGRIVHLPTTGDVAIDCADGGVRFLVAEASDVDAARLAQVTRLRGGVALGVARSSPGACCGRAHEAGHPGRTFTVPAQQLHRLKQRIAERSRPPTAAPSSFVAVVALAWASFVRAKHAAGLISPDDEVYLFFFAGCRARLDPPPGDTYFGTCISGAGPPRRRRRLDRLVNVPGSTRFPAYEATDFGWGSPDRTELVTMNHDGQVVLVAGKGGVVQASVSLHPAHMDAYKSHFLSYLD >Et_2B_021658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4103788:4104391:1 gene:Et_2B_021658 transcript:Et_2B_021658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNPEEHLASRWSSSTASSRPDAGVLPPAARRRRSSWYANRTDGDYQSEGYSIDCVDTRPDRLFLTVHLDDERPLRFWPSRPLSLCTCSSLCTCTYESTYTLCSDRMAIARVLGLGEEFFGKSSNIEALKSQ >Et_1A_007897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39292917:39295753:1 gene:Et_1A_007897 transcript:Et_1A_007897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSAAHLLALLRRNAASPAVALRLFIHLTSAASPPPPRSTSFLASLLAAHPAADTLLPRLIRHLLSFPDPSPHLLALLSASSPGLPLRLALPAFRSLRSLASAPLPPTPVYNRLILAALRESRLDLVESLYKDLLLAGAEPDVFTRNLLLQALCEAGRMELAQRVFDAMPYRNEFSFGILARGYCRAERSVDALEVLNAMPAMNLVVCNTVVAGFCREGRVEEAEKLVERMRSQGLAPNVVTFNARISALCKAGRVLDAYRILQDMQEEWERGLPRPDQVTFDVMLSGFCDAGLVDEARVLVDIMRCGGFLRRVESYNRWLSGLVRNGRIGEAQELLSEMAHEGIQPNSYTYNIIVAGLCKEGKAFDVRRVEEFVKSGVMTPDVVTYTSLLHAYCSKGNTVAANRILDEMVQKGCAPNSFTYNVLLQSLWRAGRTIEAERLLVRMSEKGYSLDIASCNIIIDGLCRNNKLDIAMGIVDGMWKEGSGALGRLGNSFLSVVSDSSRSQRCLPDHITYSILINALCKEGRFDEAKKKLLEMIVKDISPDSVIYDTFIRGYCKHGKTSLATKVLRDMEKKGCNPSTRTYNLLIQGFEEKHKSDEILKLMSEMKEKGVSPNVMTYNSLIKSFCEQGMVNKAMPLLDEMLQNEIVPNVISFDLLIKAFCKITDFPSAHMVFDAALRTCGQKEMLYRLMCTELSTYGRWIEANSILETALEMKISIQSFPYKQIIAGLCEAGEVTHAHSLLKLLIAKGHGFDPAAFMPVIDALIDKGKKQDADMLSEKMMEMANSDAFASSSHKITPGIQKDERDKYGESDWRSLLHRDDSAHTIIRITKRVRTGWGQRGNVYEHKQQQNDDFYVL >Et_6B_048257.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:19260383:19261423:-1 gene:Et_6B_048257 transcript:Et_6B_048257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEQIGKYWGLWASRRSGSEPSWEEQAFARDVGGCVWPPRSYSCSFCQREFRSAQALGGHMNVHRRDRALLRQRESSSPDGDGQEAAASGEQPKPFCRAACSSNPSTTSAAAFPTATGDLNHGPAPPPSYLASIIKESKNKRLFMSASMSMREEVMDQSDENNDDGDVESRRMKRRRLLDPDPLVAVSMVAASESQGIVDPDAKVTTKTTISSPSSVPPLEEQQVDLELRLGTTPKVT >Et_8A_057988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11154866:11155940:1 gene:Et_8A_057988 transcript:Et_8A_057988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARRIRISFSDPDATESDSGDDAMSSSAAAGKKTEIVILVGKMVLAGGGCNTTRAQQAPAAGSSPAASGKKKRVGGGVRAAEKPKRRFRGVYERQPGRWAAEFRSHRLKARHWLGTFPTEEEAKAAYDAFEARFLSLPMGGVHLPPPPPERALAAAGDDIRSRASSHTPDDEKKTIVQALMTTMVSSEREGSAGPSTQCISSLTTASPSPTSQSAQPRADVPARVDDPFLKVEPAEEDLIGLADLADLPLPVLDGELDCLSLGDLSLGDLSPADLSLFDIGFLNTYLSFAFYCKL >Et_6A_046279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1185372:1190822:-1 gene:Et_6A_046279 transcript:Et_6A_046279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKADANTETGEAAGGGGSFSEQRLVEKLNKLNSSAASIQTLSQWCIFHRKKARRVVDTWEKQFNSANSNKKVSYIYLSNDILQNSKRKGGEYVNEFWRVLPKSLKYVYENGGEEGKKVVSRLIGIWDERKVFGTRIESLKDDILGDGLPTLDSNGKNSDPASDPSPNSKSARKDSSTIIKKLTVGGMPEKIVSAYQSVLDKHFDEDTALNKCKSTVGVLEKMNKDVDDACNNGIKVRTSSQSVAKAEQILQKRQRLRGAHVISGAGSNSSPIIVAPTVSVAGDEPKKTAAAMAAKLASLSAPEQVLSSIFSSLAAEHAASLNSGSPSGELSGGSPGFQLEKRPRIEKPIQAGDMGTPFFGQVPQVQQQIGAVPTSVGGTQPLTPANQAPGSFPPAPPPLPSLLPPLMQQFAQNTGGMIGMGPFGMMAGSMPPPPPLSNILPAGFPRPSGPPPPPPLPPAQSQPQQQSPQAPQQSPASAGFFQSSGIGFFPPVQ >Et_5B_043150.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:11729687:11729875:-1 gene:Et_5B_043150 transcript:Et_5B_043150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLVQELKELVSLNFDSFPFNFQPRDCNKVAHALASLGCACSDVLPVCIRVLVADNMSAHV >Et_5A_041980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4807713:4811091:-1 gene:Et_5A_041980 transcript:Et_5A_041980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRHLLRRLLPSSAAAGAGAPVSPSPFLRHLSTSATPAPATSLASSLASALATLSTNPPPGTSSDAYFSLHFSDVRPTNALLAEALSLSPPDTSRAAADLFRFLVRRRSLHPSDGALEVVVRHLARRRDFPAVRALIQEFPTALGPDSLDTYLHYLASAGRPTDAVNVFDELPGDLRTREALTSLVSSLSSGGCPSHAERAVKKVANEVFPDDNICTLLVSGYANAGKLDHALRLIGETRRGGFQPGLDAYNAVLDCVCRLSRKKDPLKMTVEAEKFLVDMEANGIPRDAGTFRVLITNLCKIRKTEDAMNLFRRMGEWGCSPDADTYLVLIRSLYQAARISEGDEMITWMRSAGFGDKLDRKAYYGFIKILCGIERVEHAVKVFRMMKGYGHAPGVKSYSLLIENLSRHNLGDRANALFREAVARGVPVTQEVYKVDKRYVKAKKEKKVKKRLTLPEKMRLKSKRLYKLRMSFVKKPKRRMLRQLVGADDHRKGNIIWSPV >Et_5B_044125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20196778:20197611:-1 gene:Et_5B_044125 transcript:Et_5B_044125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAEGEFKRSCEMGTMQGHRVGRYPSPILRQSKCEGVGVIFMSAGVGLFMIKLKSGRVGKHGFYFSILPYMSLFFSRGPYMSFYSLGTVLDLAYVLSLLQITVGTFS >Et_5A_041197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19270019:19271241:-1 gene:Et_5A_041197 transcript:Et_5A_041197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETENCLASLLLEEARRLQLEADREGVHAYLRKPNVRHRPNSRFLTATVRGVQQANRVVEVSEMWRAREKELELESKMKGRVKDHDDSRGEKRKSESRNQGSSSRTDRKRITDYNSSYSEQEDGLGDDEIEKFLHSRVKRGRGAIGSRMDEPGPFLDASRRHDNEPSPDIRVEEKWERQVQGPEKPLFLRSKSPDDHWRREASDDKPSSSKPLSKKEKRTEKNDKRDKKKKEKKKSKHRHHHRHKSRRD >Et_9B_065449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5106339:5106975:1 gene:Et_9B_065449 transcript:Et_9B_065449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKQRINPSFFFDQKLDYACGTSSNSDFLSEFMSCVWGSETPKEIESKWSSIISKFVLENNSWPDHDKYEICEAALEEHWQLKLCKDNTTLYSEPMLEKKCDIQSMDQDGDQRTRIRDVGGKVIVVQYNMSTTVALFDLALSYLLQFLSLKGLAAVKFLATIC >Et_10A_002221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4756085:4771282:1 gene:Et_10A_002221 transcript:Et_10A_002221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMLPWSVPALALLHPTDPDMVYFFLDNCIFSIDLRRKKVVECSDFSMPDTQEPLGIMRSSHFVHAWQYDPSSNRFDFESSYLRGEKKNAARNSFEDYCCVREQFRCSPASNLTSASPDSEQAASAPVLPLPLSPVRACVRLGGRERKHAAADQERKDKDSFSCGLGWAVVLHLMRWGPLDLGVLII >Et_9B_065855.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15246058:15246561:1 gene:Et_9B_065855 transcript:Et_9B_065855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSRFSSLGLLLLAAANFAVLLALAGAAHGHPPVSGYGLSSAFYDESCPNAYDIVRRVIQDARVTDPRIPASLIRLHFHDCFVQGCDGSLLLDDDLPAIQSEKNVPANDNSARGFPVVDDIKSALEKACPGIVSCADILALAAEISVELVSSRVSLEFQTAPRT >Et_1A_005687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12834307:12837627:1 gene:Et_1A_005687 transcript:Et_1A_005687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLSRLSRRATAAAAPSLRRLLSTPTAASASPAVQSTPPPPSAASAAAAGADRVRWDYRGQRQLVPLGQWMPKVAVDAYVASEAVLAGQVTVHDGASVWSGSVLRGDLNKITLGFCANVQERCVLHAAWSAPTGLPAETLVDRYVTVGAYSLLRSCTIEPECIIGQHSVLMEGSLVETNSILEAGSVLPPGRRIPTGELWAGSPARFVRKLTNEEIMEIPKLAVAINDLMQSHFSEFLPYSNAYLEVEKLKKSFSMPL >Et_7B_053952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13727895:13729131:1 gene:Et_7B_053952 transcript:Et_7B_053952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSIRTYPDIGERAGGRLLVSYFMYAALYLVAIGFLILDGDNLAKLFPGPAVSLGPVTLLAGKRLFVVAVALAVAPTTWLRGLGVLAYVSATGVFASAVIVLWAAAVDGVGFEGRESTTALRPAGLPTALGLHTFCYCGHAVFPTLYTSMKDKSRFPKMLAICFVLCTLNYGSMAVLGYLMYGDGVQSQVTLNLPAARVSSKVAILTTLVNPFSKYALVVAPIAAAIEGRWRCGRCWCSARWPWRWPCPSSAT >Et_3B_027561.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27718195:27720650:-1 gene:Et_3B_027561 transcript:Et_3B_027561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLVTTLSMENGGPCTLLSMDPTGHLAVPDDRTVGAMVQALIGGAVGARAHAVSPSGAPPPDINQPWQTDLCDMLGVGLGPHVYSAAEAVLSCAPKVGNRKAAKRSDSIWGAWFFFTFYFKPLLSDKCKDKVVRDANGVSGFDKSDLLLDMFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHQRPGEPQFPFSAERGFVRSHRMQRKHYRGLSNPQCIHGIEVVRSPNLAGLSEADLRRWAELTGRDVNFMIPQEASDFGTWRTMPNSELELERPQPAMKSNGTQNPKKLLNGSGLNLSSPSNHSGEDGMDLSPVSSKRRKEGFPHAMEEECFLPLNSCTEKTQKDVEMHSVVQPSWLHDFTGVMTKAFGPVTAAKSIYEDDKGYLIMVSLPFVDQQRVKVSWRNSLTHGIVKIVCTSTARMPHIRRHGRAFKLADPSPEHCPPGDFMREIPLATRIPEDAKLEAYFDEAASVLEIMVPKQGNEPEEHEVRVSLRPPHFGTNELLLT >Et_4A_032240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25791074:25792354:1 gene:Et_4A_032240 transcript:Et_4A_032240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAFIVRRKRGRPEDFDSARRTRPRPLLPAEMEAAAAPAAAPTLPDDMLLEVFRRLPPPRDVVRCAAVCRRWRRLVSGGEGRCLTAPPRHFGFFRNYGPSPLPPFVPTAGVALDLSFLPVPPSCGAVLVDARGRRLLLRELGSGNPRELRLLVCSPLAKTSVRVPPIFTGERRMAMCALLPGEEGATFRVVVVLLGDAPHHFVVLVYSSASAAWEAAKGPVARALFVHQGPSVVVGDVVYKLQCQEKYIMAVDAVKMKLSAVPLPDAGTLLYNGNNWIGKTNDGRLCFFAIREQLVLVKWVLEASGRWAEQQSVDLRSLMRPALVGDLAHMKLSAKMSDQLQGCKLVSFSAFCESTGVLFFVMADWVVALDPETWRMERLWRNTDELRPLGDVYPCEMMQWPPALKDLGDCEA >Et_5A_041687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2596500:2600907:1 gene:Et_5A_041687 transcript:Et_5A_041687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAGPVAVAAPAAKPAPSPAVEISPELRVSDEDVPYEDEVIREPFKLKGWWRYLVARAGAPFERRLIIYERAVRALPGSYKLWHAYLRERLDHARPHPIDHRAYRSLNNTFERALATMHKMPRIWVLYLTSLLDQRLLTRSRRAFDRALRALPVTQHDRIWPLYLRLASLPACPVETSLRVFRRYLQFDPSHAEDFINFLITANRWQEAANRLASVLNDDGFRSVKGKTRHQLWLELCEILTKHADEVAGLKVDAILRGGIQKFTDEVGKLWTSLADYYVRRGLFEKARDVFEEGVSSVVTVKEFSVVFEAYTQFEQSMLAAKLEATEEEGDDDEDAGGGRKNGMEKLSKKFLEGFWLNAEDDTDLRLARFERLLERRPELLSSVLLRQNPHNVEEWHRRVKLFEKDPARQVATYVEAVKTVDPMKAVGKPHTLWVAFAKMYEKHNRLDSAEDIFKRATQVNYKAVDHLASIWCEWAEMELRHNNFDTAIELMRQATAVPSVEVKRRAAAEGNEPVQMKVHKSLKLWSFYVDLEESLGTLESTRAVYERILDLRIATPQIILNYAFLLEEHKYFEDAFKVYERGVKIFKYPHVKTIWVTYLSRFVQRYQRSKIERARELFNEAVQQAPPDEKKPIYLQWAKFEEDYGLAKRAMNVYDEAVRAVPASERMAMYEIYIARAAELFGVPRTRQIYEQAIESGLPDRDVLTMCMKFAELERSLGEIDRSRAIYVHASNYADPNTHSDFWKKWNEFEIQHGNEDTFREMLRIKRTVAASRSQTHFILPEYLMQRDQRLNLDEAVDTLTRAGVPQDEMAALERQLAPEPSTAPAAAASTATTTANRMMNFVSAGVEAQAESSRQQAGNNEDIELPDESDDEEADVQIAERSVPAAVFGELGKRAAENREESSGAQENEQLGALERIKRRRQ >Et_4B_040064.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:8914367:8914891:1 gene:Et_4B_040064 transcript:Et_4B_040064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQALSNYMLFLLASRTHMMPPDAGRNDYLVLCHALTNHMRYTTAEELLSSLQRYAEALWANSSKPDFELTCKSTNRRADKVLRGGCSLAAFLIHRHQDSTHDDAGTGTLEMMCRVWAQMLLCFVADECTVSSRTKQLSSGGELLTVAALVAKYTRSRMMCAHFDIKSERRNEW >Et_6B_048340.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:13692489:13692614:1 gene:Et_6B_048340 transcript:Et_6B_048340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSIWKTSNDWLFNNVQPTIEGCKNMFKREFKLLMHRAKN >Et_10A_000689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15581059:15590392:1 gene:Et_10A_000689 transcript:Et_10A_000689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLMTSVHRMVLWGRIGCPACVRVLFMPTLANRKEKLASVEPDGTNLIDDVRCTASGVPDGAGGVAIENASGLRAFLVPSRVGSMHFGLFLIVVIFLRFTTAVYDLVLIHEEEPLAVVTSSPITLALPELINVLSADEDDGYDVCIGNQKYESIFVCSRLRVIVKDFSEIFPQCQIIEVVVPGGEADALFLKRYTIAAHCYSQC >Et_2A_017047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30488521:30491294:1 gene:Et_2A_017047 transcript:Et_2A_017047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTEKLSEDGENDKLKFGLSSMQGWRATMEDAHSALLDLDNDTSFFGVFDGHGGKVVAKFCAKYLHREVLNSEAYAAGDLGTAVHRAFFRMDEMMRGQRGWRELQALGDKINQFTGMIEGLIWSPKGSDSNDEHDDWAFEEGPHSDFTGPNCGCTACVAVIRNRQLVVANAGDSRCVISRKGQAYNLSRDHKPELEAERERIQSAGGYIQMGRVNGTLNLSRAIGDMEFKQNKFLTPDKQILTANPDINIVELADDDDFLVLACDGIWDCMSSQQLVDFIHEHINTEPSLSAVCERVLDRCLAPSTLGGEGCDNMTMILVQFKKPISQNKESNGAEQSAGDADHTETR >Et_1B_012999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4671552:4672836:-1 gene:Et_1B_012999 transcript:Et_1B_012999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDAAWSRRKLYASESMGRGRGRGKKLNLVRGHEDKGSSGEEVVPARKRRGRPQKRFAEKIDQTDIENFVEKVDGDEEQLVDDAKLKNNTAAVGNKRGRPLKEGNIVVEENNTSVRSSSDESTRTNGFRQNGSRRKNKPRRAAEAGLECNVSSSFAPAITLFVVTELWETERFSEADFEG >Et_10A_000370.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:615806:615853:-1 gene:Et_10A_000370 transcript:Et_10A_000370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSVKRPKLEDGAL >Et_5A_041388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21964384:21967006:1 gene:Et_5A_041388 transcript:Et_5A_041388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPPVMDELVEEVLIRLPPHDPASLVRAALVCTSWCRLVSDPGFRRRFREFHRNPPILGILYRMKDALSSTFVRTSSFRPIPGLRRSLTVIDARHGRLLVQRCSPSCLSNPNGNALAVWDPITNEQRELPLIPELTDVYSWNASVLCANTNTCDHLDCNRGPFIVVLLVTRGIRVCVYTYSSEAGAWSKLSTGRRVPHVDDGHIDWHWQSNNALVGNTLYVKFRYSISILKLNLDTGKMSVIELPSSCHYRCTVLMTTKAGRLGFASFLDSKLCLWSFEATPEYIVWSRYRVIDLKRLVSYGVYTKSFRVVGFADGVDVIILCVNDEIFTFDLKSGHAIKLSKDDWCTFDVFPYMSFCFPGNTLMLYTSRMPPLLRAPHLMDELVEEILLRLPPDDPASLVRAALVCTRWCRLVSDAGFHRRFREFHRTPPLLGFVYYTNRTSPFVRTSSDC >Et_4B_038341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28219918:28221219:-1 gene:Et_4B_038341 transcript:Et_4B_038341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFRYLKGISCSSPAAAAIRPSLERRLSCSTPASTAICPSLERQPMVRSHKAVASSNHLSQAPTTPRTHRHDGKKGQQHKVAVNAAGGGLVSPANSSRYLLSGPEEEIQEVESAPPADATKEEAADAKSTHAQEQVVVLKVSLHCKACAGKVKKHLSKMEGVKSFDIDFTAKKVTVVGDVTPLGVLNSVSKVKNAQLWASPLPAIAA >Et_3B_030845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:801751:802972:1 gene:Et_3B_030845 transcript:Et_3B_030845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QALGVGPGGSADRMKHTTSESDVTSLATTSPPRTPKTPKRPAYYVQSPSRDSHDDADGDKSSTSHTTPVYNNSPLESPSHPSTGRHSRISSATRYSGFLRSSSSPASRAGRKRLNSSKGWREVDAAIDEEAAYDELDEEEPELPKFCVVAFWLSVLVLVFTMICLIVWGAARHYKPNVIVKSLTVHNFYVGAGTDGTGVPTKLVTLNCSLNINVHNPSTMFGIHVSSSSNRLMYSEIAIADGQFKKFYQPRTSHRVASVVLHGEKTPLYGAGATLDLSNTGGGTVPLTLELDVRTKGYVIGKLVKVSHAKHVKCQIVIGSGSSKPIRFRQSACSYN >Et_4B_038171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26901371:26904547:-1 gene:Et_4B_038171 transcript:Et_4B_038171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVFSPLDSSDALFLIAGEEPLQDQAERPASCVFLDQAQTEAVTVQKSYPMARPSCLLLLIFFSAFLALVPETTQLQSSQAWTLLKIQQLLNYPPMLSHWRRGTDFCGGGGGFLAPSGSAAVVCYGDTVTQLHVAGAAGAPPLPKNFSIGAFVTTLSRLPDLKVLTLSGLGLWGPLPGKLGRLAALEIVNMSGNYLFSGIPKGMSRLAGLQTLVLDDNMLGGEVPAWVAALPSLAVLSLRNNSFRGPVSESVADMPSLRSLVLASNNLSGNLPDLSRLRNLQVLDVGGNSLGPAFPRLGRKVATVVLSRNRFAGGLPPELGTFYLLERLDVSWNRFVGPFSPALLSLPAIRYLSIAGNRFTGLLSDKTPCGDNLRSRDRKPDAVVLVSDNCLDMDGTNGSQHSSPFCQNQALAVGIVPGKERKNVAGQAGFVAGIVAAIVVAVLIVGFMVFFAVRKASVKGEKARAPAMLVDDNSSTSNGYPSKLFADARYISQTVKLGALGIPSYRSFSLVELEAATNNFENSYLMGQDSHGEMYRGRLGNGIPVTIRTLKIKRSQTTQSFNRHIETISRLRHQHLVSALGHCFEHDLDDSSVTQLYLVFEYVQDGTLRSRISQGTEGCKLTWGQRISAAIGVAKGIQFLHGGIVPGIYGNDLRITNILIDQNHVAKIGSYNIPILAEAMKPEVGHWKTDIFDFGVILLEVVSGKTITSMYEVEILKELMQWAIAEEDRVRRRSFADPAVRKGCSDESLKTVMEICLRCLAKEPAQRPSVEDVLWNLQFASQVQDDWEGDTRSSDGSPVSSSSRVARLSRLNLSR >Et_10B_004406.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:6381400:6382107:1 gene:Et_10B_004406 transcript:Et_10B_004406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRIPSGHACVYSVATFRFDSSAAVIEATVTRDAAVADAWVRGLRASLPRGARLLLGLDCKWRQQTSKEQHPPDEEDDDDGRRRSWAAAAVPGRVAVLLLCAGGGSCLVLQLLYARRVPECLRRLLGDPAVRLVGVGVGEGAARLAADHGLVCAAAVDLAGPCDAYLGLEGAGDDRDGLGLKEYAKEVLGLNMERPDSVAMSDWEKRDLDVAQVRYACVDAYVSYRLGERVLGD >Et_2B_018867.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:10596077:10597032:-1 gene:Et_2B_018867 transcript:Et_2B_018867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRNMPRYPEDEDSGGGGCCACVCWCCCFLLLIVAALAGTAAYFFFIYKPRAPSYSVSNMSISQFDFNANDLTLYTKLTASVRAENPNEMIGISYGEGSHTVVSYRGTPLCSGKLPAFYQGYRNVTVMEVAMEGRHGFGSGLQQALEESEKAGNVPVDVFVSVPVALRLGSVDLRQVKVNVHCALVVDSLSPKKKPNIKSATYRANVEF >Et_8B_059365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17095935:17098805:1 gene:Et_8B_059365 transcript:Et_8B_059365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLVGVMRPLLFKLSKLLEDEYVKIKGVHKQIKFLRDEMSTMNATLHILADSQETNPQLTEWRNKVRELAYDIEDCIDGFMARVGHERHTCFKGCFLKLKKLKACHEIASEIKELKERVIDVSERHKRYNFSEPKSTDPTFSVDPRLPTLYEEVDKPVGIDGPKEHIMDWFNLKKEDINLKVLSIVGTGGIGKTTLANYVYVQLKGQFQSTALISVSRNPYVKKVLRQILVEVRITVNTWDDERQLIDRIRDFLKDKRAFFDIKMTLYNHLNNIIVNKLPALIYNYLQSYDRYLVVIDDLWDTESWEAIRLALFNNKCGSRVIVTTRNAAVASCCSRDDGYVYQMEALSFADSKRLFCKRASGSEELCYPHLEEICDGIVRKCGGIPLAIITVSSLLVDQTAQDVWNRVLTAIGRALAKEPASGNMKKILSLSYFDLPHHLRTCFLYLSVFPEDYNINRKRLIHRWIAEGFIEEGQGQNAFEIGESSFNDLIKRSLIEPIYRTIDACKVHDIILDFIRCKAAEENFVTWFDAAEHGDTSAYRIRRLCVHNRNEEKVEIPTSLILSHVRSLTIYGPLVQTSLSSFTALRVLDLKSCVNLQDHHLTNIEKLLHLKYLSLQSYLITELPTKVGELRYLETLDIQGTRILDLPPTIAKLQRLTHLHISVSTRFPDGTIGQMKSLEELTVFGVYSYELGKSLQELSQLPKLRTLVVAWSFVWSDDSGGRSQAEDLQYYAGTLISSCNLRRLYIFDHHDDCPDYRPLSLDSWCPATPCSLRSSALHTAISVRCRNGWGRLETLRH >Et_1A_008392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7172111:7199172:-1 gene:Et_1A_008392 transcript:Et_1A_008392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGGTALRGWDTYSQYSFRTSVTSAADIDDSEVEEASPGPVEDGVFVAVPEDVRHGKSTLLWALENLAKDGARVVIAHVHCPAQMIPMMGAKVHYTTVNQQRVSDYRKTVRAEADAKIDAYVLMCRRLKVSCEKILIDKDDVAKGLEESIALHGVTKFVMGAAADKHYSKKMKTPKSKTALRLMEAAAPSCKIWFTCKGHLICTREANTSVPAIHPSPARTVASSSASSISSQTRSVRTQHSQSEASSLNGSPRHDPERSRTEVLRYPYQTASGSPSRLYEPFELHTDGMPDVPPRVSIDSWNEFGRSSQHSLCGPSRNDDAIATSGFDAGIYERLAEALREAQISKKEAYEESTKRRRAEQDMISALQKIKEMDNLYQHEKRHVTMMKEIVTRQAHEIEEMKRKHDAVSDELHDVKEQKLELEQQITEMKSVIKDHKEKMVANKNFVQVLQADNEKLQHERDAVVAEVEDLRQKEDHKNSMSSQAEALNTEFSSFELEQATQGFNETLKIGEGGFGSVYKGVLRNTTVAIKLLHPESLQRQSEFNQEVAVLSRLRHPNLVTLIGACREAFGLVYEFLPNGSLEDRLACTNNTPPLTWQVRTKIIFEMCSALIFLHSNKPHPVVHGDLKPANILLDANLVSKLGDFGICQLLIQSTTTTTRPYRTTNPKGTYAYMDPEFLTNGELTPRSDVYSLGIIILRLLTGRPPQNIAEVVEDAMDRGELHAVLDPAAGDWPFVQANQLAHIGLRCAEMSRRHRPDLAGEVWKVVEPIMKAASLTAGRPPAFNALPDDDAHAPSYFVCPIFQEVMSDPHVAADGFTYEAEAVRGWFDSGHDTSPMTNLKLAHCELTPNRALRSAILEWQQHQEQRHSRWDMSLQYCFRTSVTIAADIDGSEAEEASSRPVEDKVFVAVPEDVEQGTSTLLWALENLAKDGTRVVIAHVHCPAQMIPISKAPSPLESFSDAAAVTHLLKILLVISVGAKVHHTTVNQQWVSDYRKMVRAEADANLYAYVLMCRTLEVSCEKIVIDKDDVAKGLEEFIALHGVTKFVMGAAADKHYSKKMKTPRSQTAIRLMEAAAPSCKIWFTCKGHLICTRQANTSVPPIHPSPAHTVASLSASNISSQTRSVRTYHSQNEASSLNGRRRHYPERSRTEAPYQIATSSPSRLYEPIELHTNGMCDVAPKASIDSCNEFGRRSQRSWYGPSRNDDAVTISVSSDDKLRKTIIYGINLRLKYENPGFDADIYERLAKAHREAQISKKEAYEESTKRRKIKQDMISILQKVKEMDNLYQHSMRQVPTMKEIVTRQAHEIEEMKRQHDAISNELYDVKEKKFVLEQQITEMKSVIKDHEEKMVANKHIIQVLQPDNKKLQKERDAAIAEAESLRQENEHKIEALNTEFSSFELEQATQSFDETLKIGEGGFGSVYKGLLRNTTVAIKVLHPESLQGQSEFNQEVAVLSRVRHPNLVTLIGSCREAFGLVYEFLPNGSLEDRLACTNNTPPLTWQVRTKIIFEMCSALIFLHSNKPHPVVHGDLNPANILLDANLVSKLGDFGICQLLIQSPTTTTRPYRTTNPKGTYAYMDPEFLTTGELTPLSDVYSLGIIILRLLTGWPPQNIAEVVEDVMDRGKLHAVLDPAAGDWPFVQANQLAHIGLRCAEMSRQRRPDLAGEVWKVVEPMMKVASLTAGRPASPDDADVPSYFVCPIFQDVMSDPHVAADGFTYEAEAVRGWFYSGHDTSPMTNLKLEHCELTPNRALRSAILEWQEQQRHSGWNGARRRWGSYAGSPALEDKVYVAIDEEHVAVDAAEPGKRQHQDRDSSCPLSPADHPLTIEKDDVSKGLEELIALHGITKLVMGAAADERYSEYGQVYHSTTLCFGLQDMLLSWNLNWSKKASRLVKVASKSCKIWFSCKRHLICTRLGYAKLPFKIINNDEVQSKEDETSLNSSVNYDREGDRKTFPMLPLPIEEGLREAQQRNSSPKLSLLIDESLCEVQHFREKAYEESTKRQKAERDLVSALQMVGEWKMLHQHEMWQRQAVEESYLRDRKEVREMIRRFEMIYDQLDDVQELKRRLTEMESARKYHKEELAMSKYFLERLQADNEKLQQELKRCTTELESARNDHEKELAASKFHVKMIQADAEKRRHSIEKLRQELNRRIAEMEYAKKYRDEELAASKFHAQMLEADNEKQQQELKRRVTEIESARKYHKERLAMSKRFIEMVQADKKGLQQKLDAALAEAEELRLKSCLSSASETDGTTPPSYFVCPISQKFHLMNGEQEVMNDPHIAADGFTYEGEEIRRWLHRGHDTSPMTNLKLAHCELTPNRALRSAILDARRSASSSEGSLQLDEKRTPAQAEDKVFVAVPEEFGDGESTLLWALHNLATDGCKIVITHVHIPVQAAAMMKDNASAKPEEINDNRRLKRAEAEKNLDAYVVIAKCTREDIKVGCEKVIIEMDSIAKGLDELIARHSITQLVMGAAADQHYSKYGNEHTKVKDSTQVDEDGSSIVQDMKHTTANKHSISKQLKSVELADLVYEASSSNGTSSSLIATAITDWEYFFGDWGMAAYGSSRADDAISIYGLTTLPVTIIDTNELTPTMHCPSHDCDSIYLKSASTWDQEDKPSPEEELYHKAHDPCTNAEILTGEDNEEINKLRKAEMDLLSALQRIKESEDLYLHEFSERKEIEKTLATQKLEIDEMRRRHCTLYDELQESKKQKLMLEQRITQIKSAAKDYVEEITESFIKQLCKESKTRQRTEMDLLSTIQRVKEAESSYRHEKARRENLEEKVARQRLEFEEIKRQRDELYYEPQDVKEQKLKLEQVDASEETNRRRKAETDLISALHRIDKLEHRHMQEMKKKEAMDETIARQLTEIEEAKRQLHEVHGKHMIEMKYAAKAHEEKIADSKRLLHELQDKHDRLLQERNIAFTEVEDLRQKYKQKQRAFMTTETLNIEFSFVELQQATKDFNAEFKISEDEFASAYRGFLRNTFVAIKLLHPQSLQGEAEFHQEVAKLAKVRHPNLVTLVGACPEAFALVYEFLPSGSLEDRLLCKKKRIALLTWKTRTRIIAEICSALAFIHSHKPYPIFHGNLNASNILLDANFSNITIGNMHHHHTNKHRTLCDIDHGEFQISRELKLWSDVYSFGIILLQLLTGRSSQKIVEIVQEAMEKAQLHLIMDAAAGDWPFVQAKQLADLGLRCTNLSGREQPDLAGEAWQMIEPLMQAATLTKRASASALPSDDTSIPSHFSCPIFQEVMRDPHIAADGFTYEAQAIKGWLDSGRDTSPMTNLKLAHRSLTPNRALRSAILEWEYQQQGR >Et_1A_004858.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12485220:12485627:1 gene:Et_1A_004858 transcript:Et_1A_004858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGWRCRRPGREGTWDVTLTELKGTLGVVETAGSGNLRICNVNVWRLVDSARESVWVKECAIEMGQQSCRFFKALEIFQDGRMLMVRAFGKGPGILQLYNISTGAVTDLMEMAQDFRGPITLYTGCLLGRHEFCP >Et_1B_010461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10805169:10805594:1 gene:Et_1B_010461 transcript:Et_1B_010461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEKKHHHLFHRHKDGEEEEVVDYEKKEKHHKHLEQLGGLGAIAAGAYALHEKHKAKKEPENAHGHKVKEEIAAVAALGAAGLAFHERHEKKDAKKHGQN >Et_8B_058766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2646553:2647051:-1 gene:Et_8B_058766 transcript:Et_8B_058766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCLICDDGGAAGRRTTTITAHDTSNIDEDVEALARRWACDLRVRLAGAAARGQDGEWQSKLVRAIRDLLGHQASRAPPFATSSSIKRRRHDWRHPGRSEAEGAASRLGTHRRRRSSRSLHSTRSAPLSTSSATSSWRSVTMPSNLKPAMPAS >Et_10B_002525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13052673:13053593:1 gene:Et_10B_002525 transcript:Et_10B_002525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQMGRIMLKFRPIAPKPAAMAPAPTPAPVAAAGAAAGAGRGKRKAVAGGGGGRRGRKPKKAATTVAPPSMKAMPAAAAPAAVQMVARGEKESEKEKSLSSRSSSSSATTSVDSSPPSPPPAPRQPTTLPLMPVSPAAAAEEKALETPRTAGAQPAAPPAIPPAATPTTSAWVTVEDVTSTWRDGEAPSSAAIAAADAPAFVSDQWGRVTWANAAFARAVSDDAGDNTPVVGLAGALPAWGTCAGFTCRVRLSHAAGVAARSVVAPCDVWRLDAAGCYLWRLDLQAALTLGGGLP >Et_1A_009194.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:32912350:32912745:1 gene:Et_1A_009194 transcript:Et_1A_009194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAAVLVPLVVVLALATVGTPGASAAVAGVPPTSAPWVPIGDISNFFYRQVANFALLMHRMAFNEDSLSLVEVVSGSTQAAGAGFNYRLLLRVADGEGSIGSYQAEVWGVPKSTKWTWEVKSFKHVAGN >Et_10B_003769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5753577:5759624:1 gene:Et_10B_003769 transcript:Et_10B_003769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRNYTIDDSSWREVRLINGYAMFIGNLMMGVRVLSVLVFTWTTVVLLGGFVSDLEKKDFWCLTVITLVQCFSAGMFSFVSHETSKGMVHSSWIMLREVLTATVSRFPRGGLSSGYIMPKLALWVIQVLVLVIVLCPLVVLLLMIASLEIGLIISTGVSLWRLTEEASGKATEGANLKPALEFLYTLAVAQGVLVGYKAVHTYMVISRLAEHVTHGYSIDKELVSGYIEEIAAGCEKDPSFATGKNLVTYAMDLMAEAKSNEGFIAGVRVLGTVIDGGFCTREEKEGGFSARGQKVLAKHLLTRSAFRGHMIQRLLETLGPRSPYRREIRENAARIVALVASGICLEQFPRGIQCISSLLDASEKHEPSERDPRLPDKYERHWELEGYERDYLIHDCKGTIPLPDLEVSCKDLSGSESNPLHDYEGLVIEGLNIIQKLAVDEVNSRVITKTESLLPKINMVPLLLDKLHSENHDTWRNEVTKSWKLMNQLMFAPMEDGSTEPRGEISSNSKAIISNLISIVHCHDCDLLTKRHAVKIVLEHSLDTSSVNDCGSSSSRTFIWILLLVFLLTDDETGSSTRWMNKSGDIRRLAGETLADMLSSNTELSPMLPLLIDEASATSTLQSVDVIVGDLTRALMDDGDISSRVHAAEVLGHLYDHYIKDDEYLKKLKDAIVDVMPKVLREIISLEWTRAAMLAVGANNINSSLQDIESGGASQGSRQKNVSSWHYEYREQCKGRKLREAFVFLCGTVRAKCNVKDTKLSSQLDEIAAQVCSEQGISVKKFFDLVNGDQRQEDSD >Et_10A_000412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:77289:77793:-1 gene:Et_10A_000412 transcript:Et_10A_000412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVARQPAAATSMMARVDRLDLVLGYLEEMHMHQHHGGCHRSISSPSTPSTSISADDQYSSSSPRSASWRRACRPAKEVLEEAHAKGSLVDRIAFLEDRVLKMEEDMDITPDQRKEKVHPNKKKGLKSLVKSCVRGKLKTKD >Et_3B_028760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18914546:18918211:-1 gene:Et_3B_028760 transcript:Et_3B_028760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFPRLCALLLPFLAFAACIDVPSHGCYWTGCQSKWFGVCATGHFLDSHSDDCNGLCTESKSPPCLPLHTRFYCCTPGIPRVENKCGHCKNKLDFGKEFICCADCSKPTLMDKDRKLGYCKSETFHWVAGPWMQCSSPCDGGIRYRDVACYGSLDDATIRHYPVDDASCSADEMPARQEACNEQSCSDLEMIPPTNPKRSGMAAWLMSLVIVLAIVAVGGIAFTGYTYYMSRVGHPR >Et_1B_013276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:783270:786682:1 gene:Et_1B_013276 transcript:Et_1B_013276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSVDVPLPTEKLSIDPNRRDGGGRGCVVLVATGSFNPPTYMHLRMFELAKDELQQRGYSVLGGYMSPVNDAYKKKDLLPATHRIRFCELACISSSFVMVDPWEAMQKGYQRTLNVLSRIRNSLCQNGLADQDSLKVMLLCGSDLLESFSTPGVWIPDQIRTICKDFGVVCIRREGNDVGKLISSSKILQECRDDIIPVDEIVPNQISSSRVRDCIRRCLSIKYLTSDEVIEYIREHKLFTEAEGSDTKLRQQGQGFPAVRPQECLTVTARRREGLDPAGVEGEERLEVSLHDGLHVAGIGDLGHLVDVARVRVQAGVLGQLPSGTPKQRVVDGVEPDQRREEAHVGQREPVAAQVAGAGEVGLKLVQRAEQLARRGLVRLLALRKATPASTKPSYYSFGSTEFQLLQLIIDQRNAEEIFNTVVDAGIDGAVPAVDFLPQLLRPQVEAVAAFREVAEELGDLDALVAHDLAALDVPEHRHRRPALEPAAPHGVHLPELALAVERVGAVRREGPRAVVARDGDGDREAVLEAEQRADEEGAVGPGAGEAHV >Et_9A_063393.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22766434:22766805:1 gene:Et_9A_063393 transcript:Et_9A_063393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIVPGDPDSQQTLARHWFSVNPHTQTIMAGPRPARDIWIVLLGASILVVSAVTEPAIHARYVLAGYAVWLLGVAILLLGFGQRLSPRAVARGEADGLHLCWPRASTGTGSGLIPGTAKCFA >Et_6A_047025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24174479:24179732:-1 gene:Et_6A_047025 transcript:Et_6A_047025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPLVLVVLPLGLLFLLSGLMVNTIQAILFVTIRPFSKNLYRRINRFVAELLWLQLVWLVDWWAGVKVQLHADEETFRSMGKEHALVISNHRSDIDWLIGWILAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFAEYLFLERSWAKDEKTLKWGLQRLKDFPRPFWLALFVEGTRFTPAKLLAAQEYAASQGLPAPRNVLIPRTKGFVSAVSIMRDFVPAIYDTTVIIPKDSPQPTMLRILKGQSSVVHVRMKRRAMSELPKSDEDVSKWCKDLFVEKDALLDKHLATGTFDEEIRPIGRPVKSLLVTLFWSCLLMYGAVEFFKWTQLLSTWKGVGFTAVGMALVTGVMHIFIMFSQAERSSSAKAARNRVKKD >Et_1B_013179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6069890:6076727:-1 gene:Et_1B_013179 transcript:Et_1B_013179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEMEAMAGSIGVSVPVLRFLLCFAATIPTGLLWRAVPSATGRHLYAGLTGAALSYVSFGATSNLLFVIPMAFGYLTMLLCRRHAGLITFLGAFGFLIACHVYYMSGDAWKEGGIDATGALMVLTLKIISCAINYSDGLLKEEGLRDAQKKYRLTKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLEWTERKGLWASPIPSPLLPTLRALVQAGICMGLYLYLSPKFPLSRFNEPLYYEWGFWHRLFYQYMSGFTARWKYYFIWSISEAAIIISGLGFSGWSESTPPRPKWDRAKNVDVLGVELARSAVQLPLVWNIQVSTWLRYYVYERLIKKGKKPGFLQLLGTQTVSAIWHGLYPGYMIFFVQSALMINGSRVIYRWQQAVSNSVLRRILAFLNFAYTLLVLNYSCIGFQVLSFKETLASYQSVYYVGTIIPIVCLVLGSVIKPARPVKPKTRKAE >Et_4A_034694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5462095:5465321:-1 gene:Et_4A_034694 transcript:Et_4A_034694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSSTIAVAGVDNRGSRPLHPSPQLTNFFGKGPYSSLHLFGSLVWREFVWGAIAGAFGEGMMHPVDTLKTRLQSQAIMTGIEAQKNIFQMVRTVWTSDGLRGFYRGISPGVTGSLATGATYFGVIESTKTWLENANPNLSGHWSHFIAGAIGDTLGSFVYVPCEVMKQRMQVQGTRKSWQSAAAKGCISQTSGTQMYGYYNGMFHAGCSIWRDHGLKGLYAGYWSTLARDVPFAGLMVTFYEAMKELTQYGKRKYLPNSDFDVSNSFEGLVLGGLAGGCSAYLTTPFDVIKTRLQVQGSTTRYNGWLDAITKTWSSEGVHGLFKGSVPRIIWYVPASAITFMAVEFLRDHFNDKVDTDASEHETRS >Et_8B_059841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3200171:3205092:-1 gene:Et_8B_059841 transcript:Et_8B_059841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADLDKLQEEEVVRLLGTSEATGLTEEEAARRLKVYGPNIVDNHPQVVEKLHATLKCILLLWGWDHSFTEYIKYSFGWESWEQLIFPWSKRMPPYELAIIISLLGGSLSVCYVMKRVVDRAKAPLEAKAFAPRAKVLRDRIWKDEDAANLVPGDIIYLKCGDIVPANARALNLSRIDTKTIRDSRRVDSVKGSHIYYGWAVSSGEGTAVVTATGNRIPRSTLRLYPKRFARPGQLRKGVMSAGSLCFCLVLVGIIAEAIVKFFFMQNAGIWHSGHFMPLLGVIPMAMPAVLHLILAVGSRRLSKLGIASRGTYALEDLASMDAMLFNMIGTLTCNKPCFDKDKIEVYAEGIDKDHAILLAARASKAHNDPFKESSDAAILDLMNDPEQVRVGIKVIEQNSPYFVAMTLMYMTTYIDETGSKCSVLKGDPAPILRVCSSSEEVKEHIFTRIEKLGHDGFKTIAVGRIVNSHFEIISLLPFIDELRRDSAKTVDNLRNMGMSVIVLTESPMAFTKHVCGRLGNLGLNVLPADSMRDLLRNKSELFLNINGISGLFTEYNPHVISSLRTSYGRRCAMVGYEFLDGESILQSNIGISVADATDSTKSESDLVLTEHALLSVSSAVEISRQICEIMKGCMFAVRLILLLWRIDLSCFLTLLIAACNYCTSTAMIFDRVKSTKSADSWKAKRIIATGTAFGCYIALATVIFFLVALRTDFVTVVTIGAVYGGVNFKGVGWGWAGFIWLYNSMLLLGLIFICDLKFNLPETEITCNRLLANWTGWIEKCRKLLDRCIMWIEMFTLSVLSCLILLLSMYAYLRLKVQ >Et_1A_008400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7382679:7385568:1 gene:Et_1A_008400 transcript:Et_1A_008400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRTSKAAKSTINYHRISLEDSQLFGPDALLTKDHDAIHQARQSPQAGSINADRRLTTPQFVSALTGIWSLIGDPESSCTTQRSKSHGASSRVDPVCFNGDQQGHILTPFCTATSSGLISQNCYSAPKTIYEDLSSVKKMLMLTSFSSVVGASPTLRHMYSISKVGGARFLQFGNTYSMQTERTEIGTSESSERSLSMETFTASTNMSIKNDNLEQVDTKMSACSIQQVESVKEARIISEGNQISSKACTEVHLDDLTCTSCLVDNSVVDATNADQYAYGDDMNKKQSVDKCSTELQATFWHRFDGPVTMNRHAVAGALAGTAVSVSLHPVDTVKTLIQANSSSQSSFYHTLRHILVERGILGLYGGLASKLICSAPISAIYTFTYEIVKGNLLPVLPKEYHSIAHCTAGGCSSIATSFVFTPSECIKQQMQVGSQYQNCWKALVGCLQRGGIASLYAGWGAVLCRNIPHSIVKSLKQFLLESAPANAKLDSGQTLFCGGLAGSTAALFTTPFDVVKTRVQLQALIPASKYEGVLHALREIFRQEGIRGLYRGLTPRLAIYISQGAIFFTSYEFLKTIMFSEQELPAKSF >Et_6A_047094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25096342:25097518:-1 gene:Et_6A_047094 transcript:Et_6A_047094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNKDGGIPVDLENGGGLSRADDHREMATSDDGLQVDLENGSGGLSSGSPDVTKAKKKKPIKAENMSNFDLLLFQSVDVFAFSLIAHLSDSQNADHKGAILMMLVFYYPFFVLNMVCFSKNWWHAALGITVATALIFLFFCMATCSKDFFTKPGESSVVKPPDGD >Et_7A_050478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18384498:18384871:1 gene:Et_7A_050478 transcript:Et_7A_050478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQFPPSLCEFIEYIDTEQTPENIAHVYRVAERARRHWFDMKAEERREEKHRRMRQKEEERRREYEAERKAREAEMERMRERACRARAAGPDAFRKEKYPRYTQ >Et_2B_020973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25358131:25358670:-1 gene:Et_2B_020973 transcript:Et_2B_020973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVVNRKQSKGRQVIKIEYIPDKEKRQVTFTKRKGGIFKKASELILLCDADVAVIIFSEAGKPYALSSPSVDDILSRFTRDDAEDDAPALIQGVADRGAVEAIERQVEEGKALVAAEMLRLRAAGDKVDVEALGMEELPVFDSALKRLRDNLRNHVKKMLKTQ >Et_4A_033963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28492450:28493870:1 gene:Et_4A_033963 transcript:Et_4A_033963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQEFTVDLNKPLVFQVGHLEERYQEWVHQPIVSKEGPRFFANDILEFLTRTKWWAVPTIWLPVVCCLFGKSILMGHTIQEVALMAIFGIFIWTLIEYSLHRFLFHIDTKTYWSNTAHYLLHGCHHKHPMDSLRLVFPPTATAILCVPFWKLVAFFATPSTTPALFAGGLLGYVMYDCTHYYLHHGQPSKDPAKHLKRYHLNHHFRIQDMGFGITSSLWDTVFGTLPPTGKKN >Et_5B_044646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4241025:4244481:-1 gene:Et_5B_044646 transcript:Et_5B_044646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEAWERAVEAALHAGGEGSSSPARSLTLDGAVKCMHGRLPAAEILERHQSLEHLSIAGVGVTSLEGFPRLRNLTRLTLSDNRIAGGLDHLVAAGLSSLRDLDLSNNRIQDVADLAPLADLRLVSLDLYECPVTRVKDYRSRVNGVIDVDEDESDADEVVPNGGVEHHHGANGYRVAAVGEAADEDDEDVDEDDEDYEDEYEVEDDLGEEIDEDVDEEDAVVEVHEVATSDEEEDGVDEDDDDDEDDEEVEDDEQAEPQSSGRVAILAGEVGGEEIDGHEHGEGDDEDENGEIGEEDDERLEVYGEGHDDEDADDEDEDTEYLVQPIARPSTGGQSQAMTMRSDFDAADPDDADEDRDEVDDDDGATDQPSSSQGAKRKRGDDPSGSGDDDDDGVEDLRPFKHH >Et_5A_042709.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:2764702:2765076:-1 gene:Et_5A_042709 transcript:Et_5A_042709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDSTMSKPSDREELIMEETCRIIEGMDDPAAARRRYARMEESVRMELQAIRAHYDGFDRALGICRWKSYQARVRYRLEGGKLVQVSGEVAERTTSPSPAAAGGKMEDELCRFKATNNMLCAH >Et_8B_059849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3325773:3327099:1 gene:Et_8B_059849 transcript:Et_8B_059849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFLVVPLLLTQLAAATMTLSAAAAASIALAGCESKCGAVDVPYPFGTTDGCHRPGFKVTCNRALQPPKLFLGSDTDGPEVLAISIRNSTVRVRGAVWPFAAVATTTTTSSATIDVVPANLRPYPYVLSAARNSIAVVGCGFTTRILSFSHQACPGAKQRKLRHGRPCDGAGCCEVPVVPPTGLTSFTVRFAWLPENATARPAWVRPGASVLAVEREWWRDRDHVVPVKLSLLSTGNASGSELAIPAVLDWTLSNSSCAMAPRRSGSGSGCISKNSECVDSVSSAYGYVCQCSDGYDGNPYVPSGCKGSGTHIPPGKFNPITLYGNGRISA >Et_5A_041080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17939086:17941335:-1 gene:Et_5A_041080 transcript:Et_5A_041080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPATVPGGETLLPGKRERDSDDSGSGDLREIVCLLRLIKGGANKDGQKMCQEIIASVAEDIQTMLEETQMKFEKERQNLLSVLSNTSKECESSLNKEYNKFQETYEMFCREKDAHMRTFRDLFSKVDIEKEKLLQQYEHHKKAETTTLSELDKTFTEKIAYAERSVRRMKQDDKSFIIFRKSIGSFLECGSDDDFDLDDE >Et_5A_041571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24551673:24555209:-1 gene:Et_5A_041571 transcript:Et_5A_041571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPTVWYADNGDRLGTYRGHNGAVWSCDVSRDSARLITGSADQTAKLWDVSTGRDLFSFRFDAPARSVEFAIGDALAVITTDNFMDHTPTVQVKRIAEDVEDQTEESALVITGIKGRINRAVWGPLNRTIITAGEDATIRIWDSETGKLLKESDKESGHQKTISSLSKSPDWSHFITGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPTHDTVVLGGGQDAMNVTMTDRRAGKFEAKFYHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDSDYFNIKI >Et_2A_017581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3812994:3829285:-1 gene:Et_2A_017581 transcript:Et_2A_017581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLIPIIIIFHVGLVPIAVAAAVAAPPPVPVDDDRAALIAFVSNVSSDPGGSLADWGRSPEFCNWTGVVCGGPGRRRVTQLVLSGKGIRGVISPALGLLRFVTVLDLSGNAFAGAIPPELGALSMLTQLSLMNNLLEGAIPASLGLLQKLYYLDLSGNRLSGGIPQTLFCNCSAIQYLDLSNNSLAGDIPYADECRAPSLRFLLLWSNDLSGVIPPALANSSILEWVDFESNYLAGELPSQVFDRLPRLQFLYLSYNNLSSQGGNTDLDPFFRSLRNCTRLQELELAGNDLGGRLPPFIPRGLRQLHLEDNAISGPIPANISGLVNLTYLNLSNNLLNGSIPPAISRLQRLERLYLSNNLLSGEIPRSIGEILHLGLVDLSGNRLAGPIPDTFSNLTQLRRLMLHHNNLSGAIPPSLGDCLNLEILDLSYNGLQGPIPPYVAALSSLKLYLNLSNNHLDGRLPLELSKMDMILALDLSANRLAGAIPSQLGSCVALEYLNLSGNALRDALPASVAALPFLQVLDVSRNALSGPLPASLQVSTSLREANFSYNDFSGAVPDAGVLGNLSAEAFQGNPGLCGYVPGIAVCEPERGRRRRRPLVPAAIGIVAAVSLMLCAVGCRSMATARAKRSGRQSMRLVDVEDQAEREYPRISYRELSEATGGFVEASLIGAGRFGRVYEGTLRDGARVAVKVLDPKGGGEVSGSFKRECEVLRRTRHKNLLRVITTCSTAGFNALVLPLMRNGSLEDRLHPHDDNDDGEGGLDFGQIMAIVGDVAEGMAYMHHYAPVRVVHCDLKPSNVLLDEGMRAVISDFGIAKLVAGGVGEEASSTSDEAAPCNSITGLLQGSVGYIAPEYGLGGHPSTQGDVYSFGVLLLELITGKRPTDVIFQEGLTLHDWVKRHYPHDVAAVVAHAPWRARELPAAADMAVVELIELGLVCTQHSPALRPTMSDVCHEITLLKEDLSRHGADDGGGRARAPHASMEVVPMPTIVFTFLLFFFPNGPSLTLSAGWSDERSALLSFKSHVSNDPNGVLASWGSPYVCNWTGVACNMATRRVVKLVLRDQKLSGEVSPALGNLSHLNILNLSGNLFTGRVPSELGNLFRLNILDISANSFAGSIPPELGNLSSLNSLDLSGNFFTGEVPPELGNLSKLKQLSIGNNNLEGPIPTELTRIRSLFYLNLGENNLSGHIPEAIFCNFSALQYIDLSSNSLDGKIPIRGDCPLPDLMFLVLWSNNLVGGIPSSISNSTKLRWLLLESNFLTGELPSDMFSNMRDLELLYLSYNYLESPENNTNLEPFFASLTNCTKVKELGIAGNEIAGTIPPLVGRLSGGLRQLHLEYNRIFGPIPANLSDLANLTALNLSHNLLNGSIPPGIAGMQRLERVYLSNNLLSGDIPPSLGMIPRLGLVDLSHNRLTGAVPATLSNLTQLRVLVLSHNRLSGAIPPSLAQCVNLQNFDLSHNALLGTIPADLSELTSLLYLNLSSNLLEGPIPVTISKMVMLQVLNLSSNRLSGAIPPQLGSCVALEYLNVSGNKLDGGLPDSVGALPFLQVLDVSYNGLTGALPLSLVTSASLRHVNFSYNGFSGAVPGAGAFASFPADAFLGDAGLCGTVAGLARCGGGSAKHHRVLRDRRVVLPVVITVVGFTLAIIGVVVRRAAAATSSSAEVRRDSRRSMLLTDADEAMERDHPRVSHRELAEATRGFEHASLIGAGRFGRVYEGTLRDGTRVAVKVLDPKSGGEVSRSFKRECDVLRRTRHRNLVRVVTTCSQPDFHALVLPLMRNGSLEGRLYPPDGRPGRGLDLAQLVAIAGDVAEGLAYLHHYAPVRVVHCDLKPSNVLLDDDMTAVVADFGIAQLVKDVGDSDFGSNTGSADPCNSITGLLQGSVGYIAPEYGLGGHPSTQGDVYSFGVLLLELITGKRPTDVIFQEGLTLHDWVKRHYPHDVGEIVARSWLTDAATAVADEALREDVMVELIDLGLVCTQHSPTARPTMVEVCHEITLLKEDLAKHRGAAAAMARGTRSVMMTASEQSCSTSDSSVPNRRENKTYIGGLLGDGRRSCVCVACAVNTTRQQLSTRGVAACRRPTWRIPEWRERVAPAVEDLEANGSMEGEAAPRRRVRVD >Et_9B_063846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17279241:17280731:-1 gene:Et_9B_063846 transcript:Et_9B_063846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNAVLGDLVGRSISFLLSKCEKRTTVDEDLQRLHHLLLRSGTIVEEAERRHLSNRAMLRQLQALRDETLRGHYVADTVSSQALRQGGDSGHDDDDDDAMREEESLRTFALSRFNSANTSPRELQQTVRSLETMIGDMKEFVVFLMSYPLLHRQPYSAHLFLNKCMFGRHRERETIMDFLLQPEPAGTLGVLPIIGPAHIGKSTLVEHVCHDERVRTHFSSILVYSGNDLKDSSRASLTDNYDVDEETWTRLYYSSDRSMAEGSKMIITSRSEMVVFGSDDPRQHPKMASLALEMAQVVQGSFMFAYVVGAVLLRAHFNSQTWSRILKGTRKYLQKNVSIIGKYPDDVKAKGHTRFTWNIIKQRPDKYFMLDDIYQRDPAQEELPKITMADLLSGCAEPRGKTEILFWRSQIPPYFTYMCKSVTREMRHM >Et_1B_010832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14558358:14563124:-1 gene:Et_1B_010832 transcript:Et_1B_010832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRYAEELVREFLVFRGFTSTLQAYETELSTEIGKNFQVDKMLDLVFAEYIPKYQLDRLLGLFTFFKQCFTSPADTELFSTLVKLELSVLRYYVVNALKSGRQDKVVEFYGESGNYLMQKREDWLVWFALPYMKNPSLDPQFRVYFSKEWLDTLNTIKSLKNDIKQLNSKLAELQALLEEKEAEISQLRRNHHGADSGNNNLVSGTAGSCREGQQVSEICQEPSSSSSEIHDFDLQSSISVKSKARENEQASVKEEDFPEVKVDFQETFLGHNSSISCCRFSASGSNIASSSVDGTVRIWTYDSSTPSSRNATIYCGAEVSSLSWECRSDRLLLIGTANGGIKAWNADAKRVVCDLSTSRDFPSILDMKCSPVEPVFVSAAASRRHGSTIFDRTGFASLTVWHMKTWKPLTVLPLGEDPPAITSVCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSPVSSILFGPAETSIFSLGADGKIFEWSLHNQGQILWSRDCSRFCNPESFSKQMHEIALDSNGKRLLVTSGLVRAPIYQVQGHESGLRTLPHSSSVTSVDWHPTLPMYITGSADNSVRVTSII >Et_1B_010495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11011289:11015113:1 gene:Et_1B_010495 transcript:Et_1B_010495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGERTQVVLPALCTQSLSPPGNRAAAIASPALMAPPPTPAGRIFFLSFLLLVLVLSSAAASGHAAVAEEFTEELLLRPLPDRKALAHFHFRSSAPPSAAAGRHHHLFPKAISQLVQKFHIIELELSFTQGRWNYEQWGGSDPMSTSYAKPPGVELWATFDLPLAEIDATWKNLTHTLSGLFCASINFLEPSGSFSAPQWGFKIE >Et_8B_059716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2105888:2113321:1 gene:Et_8B_059716 transcript:Et_8B_059716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDDAALRKGVGSYGLRPVSPEVDPPPAFAYTRHAPLRVHSFGDDPVPSAAAPRHDTARSASFPQHNRHWHDGETTLAPAPSLISWIDGAGSLGSASASLDRALSEYGGAGGALPEFVGAGGGEGIFRVPLRAAAHPGRPPALEVRPHPLRETQVGSFLRTLACDPRGGRQLWAGAESGVRVWDLDEVFGGRAAAARRGDEESAPFRESVPAPPALCVAVDGANRLVWTGHRDGRIRAWRMDHAAGGAGDAQMFKEALSWQAFSRTPVLSIVITSYGEIWSGSEGGIIKAWPWDAIAKSLSLTQEEKHMAALLVESSFVDLRNHATVGNMCSLPTADVKHMLADHCQAKVWSLTSMTFAIWDSRTRDLLKVFGMDGQVESARLESSMMPEQFIEEEIKVKPTKRDKPQGSFTFLQKSRNALMGAADAVRRVATKGTLVEDNRRTEAVAQAMDGTIWSGCTNGSIITWDGNGNKLQEFQHHNSSVQCIETFGERVWVGYASGIIQVMDVEGNLLAGWTAHSCPVIKMAIGGSYVFSLAHHGGIRGWPLTSPSPLDDIIRTELINRELSYTRLENIKILVGTWNVAQEKASSESLWSWLGSTSSDCGLVVVGLQEVEMGAGALAMAAAKESVGLEGSNNGQWWIDNIGKTLDEGISFHRVGSRQLAGLLIAAWARNDLKPYVGDVDAAAVPCGFGRAIGNKGGVGLRIRVHDRRICFVNNHFAAHLENVTRRNADFDHIYRTMTFNKPHGSSASATSVQLHKAVSASENETDEDRPELAEADMVVFLGDFNYRLNGITYDEARDMVSQRSFDWLRERDQLRVEMKAGNVFQGMREGAIRFPPTYKFQRHQPGYDSGEKKRIPAWCDRIVYRDSRSVSIAGCSLDCPVVAAITSYEACMDVTDSDHKPVRCTFSVDIARVDELTRRQEYGKIIQSNKNVCCLLQESHLVPDTIMSTNNIILENPEDVVLRITNNSETSKAAFEILCKGQSTRKQDGTKSEILPRASFGFPLWLEVQPSVGLIEPGETMEVTVHHEDIFTQGEVVDGLLQNRLCQATRDVEVVLWVNITGSTSTETITHGINVRHCCPATCAFPPINPPTITDTTSDDVSGEGPGKHSYKNNQSNHLQRSDFVPFGSAEVHDLCGMRNM >Et_1A_005584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11702398:11704394:-1 gene:Et_1A_005584 transcript:Et_1A_005584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLSARRPPTAAEVVERIKDDGDFDTLRRTIVRKVKENEALRSTIISEVKQSLVLQDDGSEKLKLKDLSDAVFQDIGSKIMGQISDEVWSVIQSNGTDIRGTVQAVYNRIVHPEKDSQPSSKKLKSNGKEELVPLAKPASVTVEVDDDDPEEPPGFGFSNMTATTQQQQQQQQQPSNLENHKEMKPNEGEPVAVSSVGDCNDDDDDGPDVPPGFG >Et_6A_047155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26021002:26028128:1 gene:Et_6A_047155 transcript:Et_6A_047155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITNLAGVDAVKLVMMILQAAQKVRHNKKTCQQLIRNGLNKLEEILREAYMLVTSCQNNNYIYHLVMSGKQAEQFRVLQNRIASCLQVFPLISHIDTTDRLDQILEIIQPTRSKACNGMHSKGICIKTTLSYIQKYCTALAGLKCVAKFKDAHYNYTVQIDFGRLKDLFHFVTDSFNLTQLIDATNNFSHENQIGQGTFGCVYKGRLHNGLEVAVKRCFELSSSPNQMDVQDLEFQNEICFLTKLQHTNIIRLLGYCIHGKEKILVYEYMSNGSSDDFISGITRRLYLDWPARSQIIKGIAQGLLYLHKHCGLHVIHGDLKPSNILLNSNMHPKISDFGFARMYNPDVDEEFADNIVGSIGFIAPECRERRLFSIKSDVYGFGALLLEVISGKRCFSLASGECGDDHGFLNKRAWHLRRAGRLIEFVDSPPVDESERREILRCIQIALLCVEENPANRPSMQEIVLMLSCPSAALPMPQRPAYLRTEMARAHRGHTTTVTPGCNVAGSCKNSNLNEVFQLLTPSDAKETMLNYSTEFTDLIAQALPTTSWHKYKAISVIHCSPASFILQWPEAFVAKDDNIGKIDVI >Et_10A_001325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23381857:23389284:1 gene:Et_10A_001325 transcript:Et_10A_001325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRETFGIPALNLFIVALMLTAALQEVPTTASARILAVEHLEGTAAAVTAAAADRFGSCTTRALPRNGYHSPGLANYFRLAMAHKGTVLVPALNLLILVLMVTAALKEVPTTAASARALAVVVYTLVVTINCNPLSEALVVCLPDQASALLELKNSFNTTGSRSTAFQSWVACTDCCSWDGVHCSRTDGNVTSLNLGGCQLQASFLGPALFRLTSLKYLDLSGNDFKMSQIPATGFERLTELTYLDLQLASNLVYLDLSTSFYAVHFDNANTVLYYTSNSIWQLAVPNLEILLADLTNLEELHLGTVNLSANTQWCDNLAKYNPKLQVLSLPYCSLSGSICRSLSLLSSLAVIELHYNHLSGSVPEFLAGLSNLAVLQLSKNKFEGQFPPIIFQHKNLKTIDLSMNPGISGILPTFSQESRLENLFLNGTNFSGTIPSSISNLKSLKKLDLGASGFSGILPSSIGELKSLELLQVSGLQLEGSMPAWISNLTSLTTLRLFDCGLSGPVPSSIIKLKGLTDLAMYGCKFSGKIPPQISNLTRLQTLLLHSNNFVGTVQLTSFSKMQNLTVLNLSNNKLNVVDGENSSSLVSFPNIEFLRLASCRISSFPSILRHLDKVTSLDLSDNQIHGEIPRWAWENWKGLHMFLFNISHNKFTSLGYGQLLPVHIEYFDISFNNFEGPIPIPRDGSVTLDYSSNHFSSMSLDFSTYLGDTLFFKASKNNLSGNITPSICGIARSIQLIDLSYNNLSGSIPSCLLEDVSALQVLSLKENKLVGELPDNTKKGCALEAIDLRKIPRSLVACKNLEILDIGRNQISDTFPCWMSTLPKLQVLVLKSNKFTGQLLDPSYNAEHGSKCEFTQLRIADMASNNFSGTLPEGWFKTLKSMKAESDNGTLVMENQYSHGQSYQFTAALTYKGHDITISKILRSLVLIDISNNTFQGTIPMAIGELELLRGLNMSHNTLAGSIPAQLGNLKLLESLDLSSNELSGQIPQDLALLNFLSTLNLSYNMLTGGIPDSAQFSTFSNSSFLGNIGLCGAPLSKQCSNQTEKGSMPYALEKDSLDPLLFLFASLGFGVSFSITVVVIWVSHSWKQY >Et_6B_048293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10329372:10330982:1 gene:Et_6B_048293 transcript:Et_6B_048293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICYMMWLVACCLLLLLSCLLLHGHGPGGPRSYPVIGCLVAFYRNRRRLLDWYTELLAASPTQTIVVDRLGARRTVVTANPANVEHILRGNFGNYPKGKPFTDVLGDLLGTGIFNVDGDMWYAQRKLVSHEFSARALRELEAAVLEDEARERLVPALELAAARAGVVDMQDLLRRFAFDVICRVSLGVDPGCLDPALPPRLAAAFDAAAGIIARRGAAPVAAVWKAKRALNLGSERRLREEIAVIHQHVMGLIHSRKKELALANGRKKRSDLLSRMLECGYPDEAIRDMVISFIMAGRDTTSSALTWFFWLLTRHRAVEREVLAEIAADSGSHAAGGQGRMRVLHAALCETMRLYPPVAWDSKHAAAGDVLPDGTRVRRGDRVTYFQYGMGRMESIWGADAAEFSLRRWLSDPSDGDALPPPAGAVGGVSPFKYPVFQGGPRTCLGKEMAFVQMKFVASSVLRRFELVPVDEGRVPAFLPLMTAHMAGGLKVKVRSRREEQAGRSDHQFHTARFIKQGIHQ >Et_2A_015171.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34286557:34286625:1 gene:Et_2A_015171 transcript:Et_2A_015171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSVVCTCTLHQLNPSLRATP >Et_4A_034695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5481196:5487824:-1 gene:Et_4A_034695 transcript:Et_4A_034695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCYSRDLLRGIGRGDALQREDGPRPPCVEMEEEELSLGLSLGGRFGLERRGEKLARSSSVAAILMPPERVLAPPALARTSSLPVKAEALAKQGLDGWGSCRENGGLGTEAAARLPGSGSPSSASSDGEGQRLQDPLMRTSSLPACFDDDSRKRKAAQSLKRLELKKKRIERRNSLTCNISKEAVGQIRQEMNANTEKLETSNDVVTRKKPSHSNDKHPMNGLPPMHQATFASRDYSSSAMRKKHISAAKAARVAALGSRGDQQNNAGRAAARARSMGDAERIMMQEMPSVSTKGLPNGNRVEGFLYKYRKGEEVRIVCVCHGSFLTPAEFVKHAGGGDVTNPLRHIVLICAASNDSLCMLIEDGNPCLTSGIRDLDVHDVPESKIVRGTYIHISELYIEDTAFSASIFVRSEVALICNPHLDDPITLIGVEGDQRMDPSCHRQTCGRSSTAFTKTKITMK >Et_4A_035649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32450022:32451846:1 gene:Et_4A_035649 transcript:Et_4A_035649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVASKKTPASRFRRVCVFCGSSPGKKAAYQVAAIQLGHQLVERGIDLVYGGGSVGLMGLISRAVHNGGGHVLGVVPKAVLPREVIGETPGEVKAVTGMHQRKAEMARHSDAFIALPGGYGTLEELLEVITWAQLGIHSKPVGLLNVDGYYDSLLSFIDKAVEEGFVTPAARHIIVSASTAHELLAKLEDYVPMPDASSVKLSWEMEQQIAGIYYSPKPDMAR >Et_3A_024174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18152574:18158036:1 gene:Et_3A_024174 transcript:Et_3A_024174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRVLTQIARNRTTNAFYNELIASSPMGTLRSDITAGFRIRNMQERYQSSYIGSLARRMRDLDAPSEASLLKEIYRSDPERVIQIFESQPSLHSNAAALSEYVKALVKVDRLDESTLLKTLQRGVASSTRQEQSFGSIPALQSAGQVTKDGVLGTANAPIHMVTAETGQFKDQLWRTFRSIALTFLLISGIGALIEDRGISKGLGLNEEVQPSMESSTKFSDVKGVDEAKSELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKGDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMNDLEYAKDRIMMGSERKSAVISDECRKLTAYHEGGHALVAIHTEGAHPVHKATIVPRGMALGMVAQLPDKDETSVSRKQMLARLDVCMGGRVAEELIFGDSEVTSGASSDFQQATAMARAMVTKYGMSQQVGLVSYNYEDDGKSMSSETRLLIEQEVKNFLEKAYNNAKTILTKHNKELHRFNNGREVLVGKAKRFGHLVQLVCGCYCGNGLGHRFCQGQCKSQILH >Et_5A_042572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20743269:20746421:1 gene:Et_5A_042572 transcript:Et_5A_042572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPEESVEPFSPSLFLDLPPTPRPDGGGDGGDPASSDDLVLPYISRMLMEDDIDDKFFYQYPDHPVLLQTQEPYAKILSDAATGSSSSDSSTTNADGGSGSSTLSPSFSSEAPASGDAIWPCDPIELAQLLRSPPCPEMEVALDGFTADDVSAFLPAQDGATEGLQKSPTQLGNASSAVDNRAHSPATSEEETKTKSTANLPSADGDKDTLLSALFSGQNRESMDMLNLAFFKGMEEAKKFLPNNNNLLVGLNSTSGDHLPRDSKVKETVDETLFSQRSGNGRGRKNRQDLDYLEAETGRNSKLMMREPEESGEIVDETVFNGYELCLKEMQGLRIAMGSDAKKNTRKGDRKSGKGRQSTSQVVDLHNLCIYCAQAVATGDRRSAMELLRQIKQHSSPSGDATQRLAHCFAEGLEARLAGTGSEVYKSLMSKRTSVMEYLEAYKLYLAACCFRMMAFKFSNKTIFNLIAGRKKVHIVDYGMHYGFQWPNLLRSLADREGGPPEVRITGIDLPQPGFRPAARLEETGRRLSRRACQFGVPFKFHSIAAKWEMVRVDDLNIDPDEVLIVNGIVHLANLLDEGNDLDSLSPRDVVLNNIRKMQPDAFILFVMNGSHNSPFFVTRFREAMFYYSAMFDIMDATTPRDNEQRLLVERDLFGSAALNVVACEGLDRVERPETYKQWHVRNQRAGLRPLPLDPEAVKHVRETVRSQYHKDFVIDMDRQWLLEVTRFAIPSVDANSIASANAWNSAERHQQYDGL >Et_3B_030061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30096014:30099289:-1 gene:Et_3B_030061 transcript:Et_3B_030061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAFPYGLRVLVVDDDPTWLKILEKMLRKCSYEVTTCGLASVALEILRERRNKFDIVISDVNMPDMDGFKLLEHIGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMHEVKEIEGHDNFDDPQMFRNGCEGLEERGLFMRADSDTLRKRKDMDKDHADQELSDGTTVKKARVVWSVDLHQKFVNAVNQIGFDKVGPKKILDLMNVPGLTRENVASHLQKYRLYLSRLQKQNEERIMGATRQDFNHKGSSDNLNLRSSFQEQPGNVANGYQHGPQKAQAQVNMLDSHLEDTKVVVPIQVTDKSRTPVSDATDSQNVTGVSPLGGVLSFERMPINQDKKPSETMILGCQPWSGNVPPKQFMQYPKHNHERCDLLGDYSCLPKPDLEHPIAHGHLYTPPSVLSMSCSMEADVRDFSDVKPGLLGCMKSLSPALNCTVDSVSVQLSDSVVTSTGGDMKFSSVEGLPGIKDCYFDQTKNQATLLTSEEASIIGGTDLACLPDDLPSYQLQGVSLENIGLNSIDLFQYNDAMILPELQNNWYDDLEFSGETTDYQLIDGCLFA >Et_9A_062754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4131593:4133594:-1 gene:Et_9A_062754 transcript:Et_9A_062754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPPSQSPAQTPPQQQAPAAGGREDMLACVAALEAALLPCLPARELQAVDRSLQSAHQIDVERHARDFMEAAKKLQSYFISLQREDRPTTEEMLRKEITTMEEELKTKAGLIAKHKKLIEGWQKELKEQLGKHITELERV >Et_10B_002367.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:14131477:14132048:1 gene:Et_10B_002367 transcript:Et_10B_002367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRKMDNPPTIASKEIDLTSEQHNFMDLDPDDPSPTRKRPMGRDVAKVAKKKAALDSSVYASKMHELSVQKIELFKEIEVERKARLEKMVSLEKVKKNKAREHRQMMLELAKKRLAIEEKRLHMKAEKKEKEEDERILAINLDKCQPREWIYYEARQS >Et_5B_045148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10075043:10076421:1 gene:Et_5B_045148 transcript:Et_5B_045148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEEGEELRRRAIALAAKAKSAMLEGGSSHTNLLDLVTRSGHSVIRVGLALLLFGGEEAKEKKLHDLGAGNTSLLE >Et_3B_030823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7717189:7718643:-1 gene:Et_3B_030823 transcript:Et_3B_030823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPDPVIQRYGVRHQVGRHGTEGHFLRPLQHPFSNPIGGGFPWANWRNHHHPKGGLQTGKASSTLSTRAVGPTGVRPNMMSLPSCGCMGNATYHSMSTSRARGPIGSDGLPLYAGAVTGVLDQGTCGCCWACAAVKTIEAANFKKTGRLVKLSLQKLVDCVNVYASGCNGGCPDAAYAYIIHGGGIVWDSEYPFQMRQE >Et_1A_009261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35978363:35980493:1 gene:Et_1A_009261 transcript:Et_1A_009261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLNNQIVETLPEWRDKFLSYKDLKKRLKLLGGAGERARKRQRVGNGSPPAMTAEEAAFVALLEAELDKFNGFFLEKEEDYVIRQKELQDRVVRAAETESAEELMRVRKEIVDLHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQSVMQEPFFTTDLLYKLVKDCEAMLDQLLPRSQPSVPSEDAREDSDGDDKHANPSASLANGAGGRALELEDIEVMESMYMKSTVAALRALKEIRSGSSTVSAFSLPPLHGGGAQE >Et_1A_006219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19313476:19320840:1 gene:Et_1A_006219 transcript:Et_1A_006219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIEPFNRLVRLAARAFYDDISMKGDNQPKTSRGDNRGMAVVVLDALTRRQWVREEDLAKALKLHSKQLRRILRFFEEEKLVTRDHRKESAKGAKVHNVAAAAATDGQPVTKEGEEKVKMHTHSYCSLDYAQICDVVRYRIHRMKKKLKDELDSRNTIQHYICPSCNKRYSAFDALQLVSYTDEYFHCETCNGELVAESDKLASEEMGDGDDNARKRRREKLKDMQQRIDEQLKPLVAQLDRVKNLPAPEFGSLQTWERLNFGNFAHGDPGAADPSKNSQGHNGTPMPYLGETKVEVALGPDVKEEGSESGTNGANQKVLPPWMIRQGMNLTKEQRGETSNQSKQDEKSEAKDDKKQDAKDEKTIQEEYIKAYYEALRKRQEEETGGVKQEDQTFASESERQLGKKHKREDEDDGVEWQEDQPAGDSAETYKLADLNAEAPESGDDEDDFEWEEG >Et_6B_048797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13873307:13877347:1 gene:Et_6B_048797 transcript:Et_6B_048797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKRVLELRERLDRTLALPDLADEASLRALVKKQILASALPGSEEGDVDLIAEARAKEVSEFLEMLNTSSDRPSKVNGAPQKEWKVKQDTDQLRVMYREGPEGTPFHTLLAEGFADGPIDVCTCVSWEAGLYKKWFPQYNLPTFKIDQSGCLKKVRIGEEISLVRVKVPWPVSEREALLHYFELEYLKEDVVVISNLDNINTRTHGFTWDGIPEAGETVRIDVFGGFVLQRITKEKSFFRAIANMDIKLDFVPPWLINFISRQLIGSGHKLYQKAVSTVASCDEDYKKALRAPLYVRIREHKDFDNMAKVTPTEENANEILPENPMVQNLLAVTNMSSNCEIVEEESEQNTFSKDNLLTGPSNKPADQVQLVEDKPFISSEVEQALGILDTAIAVLRGSKIENISKLQNLLSYDVTLEDSTVGLRSSQNILLNSDNPPNGQPATAATQGSREIRETNYLHNEKISHRVEDDIGNVTLQNSTASTVTKTMSMTLRSTTKVHGEESLNSNVFHQNGVHNNKQLRSRKNRRWLCCLPLPR >Et_9A_061050.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:12568517:12568894:1 gene:Et_9A_061050 transcript:Et_9A_061050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRANFGNGPEWLLILFASTEPDVCARLALILWRAWFVHNELVQKGKWLTISSSVQFLGSYDSTLLVVRQQRAGENVKGKQSILTDTERRRRSREEKRARAEDLRWVPPSPGWCKANVDAARGSQ >Et_2B_019042.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26892379:26892786:1 gene:Et_2B_019042 transcript:Et_2B_019042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRFSNAVVAPLQKRQAEMMIRQASCRGKPAAGVWRSRRRLRVRAGGGARLGLLLRLRVRLSGVVGLLLRGVEELGCRPSVAARWSSSSAPTRARGTAHCHGRCPGSRDQSSFYAEAIADCLEFIKSRSSCLEN >Et_4B_038243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27499968:27504590:1 gene:Et_4B_038243 transcript:Et_4B_038243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSSRSRPSGHSGVFPVNAAAGAAGGDGGVQLADKLKIFKTDNFDPDSYVQSKCRSMNEKEIRHLCSYLQDLKKASAEEMRRSVYANYAAFIRTSKEISDLEGELLSTRNLLSTQSALIHSLSEGVQIDSLTTSLEGSEEQDIPVVEDQEPSELQKRCADFPDMLDVLLAERRVDEALDALDEAERIAVDAKQKATLTTADIMALNRTISENRQKLADQLAEAACQSSTRGVELRAAASALKRLGDGPGAHSLLLSAHDQRLKLKMQTIHPSSTTYRGAYTASLAQQVFSVIAQALSDSVEVFGDESSYASELVTWATKQVMSFALLVKRHALASCAAGGGLRAAAECVQIALGYSDLLEARGLSLSAILMKQFMPSVEQALDSSLRRIDESTSALAAADDWVLIHPPMGIRPFARSSAGNLSIQPKLSNSAHRFNSMVQDFFEDVGPLVSLQLGGSIMDGLLKIFNSYVNLLISALPGSVDDEVNLEGLGNRIVRMAETEEQQLALLANASLLAEELLPRAAMKLYSMNQANMDSMRKRGPDKPNRAAEQREWKRKLHRTVDKLRDSFCRQHALDLIFTEDGGTNLSAEMYISMDSTAEEPEWVPSLIFQELYARLNKLASIAADLFVGRERFATLLMMRLTETVILWLSEDQIFWEEIEEGPTPLGPLGLQQFYLDMQFVILFGQGRFLSRHVHQVILDVIDRAMAAFAATGMNPDSVLPRDDWFIDVAQEAISKISGKPRVSNGEREVNSPTASVSAQSVSSVRSHGSS >Et_1A_006570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24581504:24587293:-1 gene:Et_1A_006570 transcript:Et_1A_006570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQTASVSVNATNVNPVDDICQILRSLSGVTNLNFCYNGEKLKMEKNVQWCPKFKNLTVLSLGEWCLYENFYALIVFLQNSPNLVASCVWIQKKDSTFLGDLEERSFTCKHLKIVEIICSEDSEDGPMFNSLEKLLTDNGITSGQTEFLSATCSTKRPCEAVTPTPMAESSVDARMVALAGVPDAACRINELPSDVLLRALSHLNALQVVQTSVLSRRWRDLWRSVPRIKATFLEFEGMADTEEGRDVLFKVFVNRLLMLRNPVALFKFRLQYHIANDSADAYADSEDANLWIRHALQCNARSVKVYVGMNELHLQSVVFASNCLLTRLLLSGVFLGRGFFRDLQSCTVLEHLTLCSCTIYDPEISSQTLKVLTIDGFCACTYQGHASISIPSLICLEYSAQGRVPLLNNMESLETASVSFLRSLSGVTDLEFYYDGTALAVNPISDLNHMKLVQLMLEQDLQWCPKFNNLMTLTLGGWFLHAHFNALIVFLQNSPNLVALTLELKQQGYQNTEENFLGELEGRSFNVEHLQTVCLDGSKNDPMDLHWCPKFNNLTTLTLGGWFLHAQFNALIVFLQNSPNLVALTLVLKKYENTEETFLGELEGRSFNAERLQTVCLDGSKNDPM >Et_2B_022697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3140580:3143381:-1 gene:Et_2B_022697 transcript:Et_2B_022697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSLEDVQSVDLSKVPVEEVFQTLKCDRKGLSSAEGEGRLKAFGPNKLEEKSESKLLKFLGFMWNPLSWVMEMAAIMAIALANGGGRPPDWQDFVGIVSLLIINSTISYIEEANAGDAAAALMAGLAPKTKLLRDGRWEEQEASILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVNKHPGQEVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQQVLTAIGNFCIVSIAAGMLVEVVVMYPVQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLVEVYSKGVDKDMVLLYAARASRVENQDAIDTCIVNMLADPKEARSGIQEVHFLPFNPVEKRTAITYVDGNGDWHRVSKGAPEQIIELCNMGAEAEKKVHALIDGYADRGLRSLGVSYQQVPERSKDGAGDPWQFIGLLPLFDPPRHDSAETIRRAQHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSTTLLGDKSSTVNGMHIDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLNEIFATGIVLGTYMALVTALFFYLAHDTDFFTNSSGGLSRE >Et_3B_028731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18527386:18539705:-1 gene:Et_3B_028731 transcript:Et_3B_028731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKERRDAAASDATAGRHICSLGEDLLLEIFLRLPSLATLVRAAYTCRPWLRAVASSPTFRRRFRALHPPPLLGLFYDSSDVPRFPAFVPARTHDRDLAAAVRGGDFFLTSLEDLPEEAPCWQTADCCRGNVLLVNWEAKLLAVVNPLTRQRERVIDHVPNGIFERYQGFEDVGIFCSDDDPRSFRVSFLSFDGSRIQATVFSSDTGVWSVTPSVDFPVKPEDDPGRELLNRFCVHESGFLYWIHAELGYMFSLDTAIMEFSMAKLPRCNTRTAVVTETKDDTACIVYTDGPNVGVLMHMRDDHGVERWVEDRVIPLETELKRVLSGEFHDGIELHLWEVWDGYAYLTTSAMDHRTQNPCWFLSLCLETKKLEKLFRRKFDRNMHLYFMKWPPSLLGNSKKFSWEDAPSPVIQFLSILTSSLACISGRTSPHSAAAAAISSVAVVDRSNPSWLVPTALRPSHAAPPSIPSARRRCMQANGFLYWVYEDWRYLVSLDTATMKLSVTELPNFLMGSSFDVGETKDGATWLLNVGVVSQTRDDDGFESWVLDREVSLHEELQLVLRGKLDDSSELIPLVDNPTDLDVLGVQDGYVFLATSAVHQNPLTPSWFLSLCLESMKLDRLFWRKFDNFLAFARRAERIEVPLPWRAGAPTRTVGMGMKADGAAERDEDGGGPDERGAEGERWTEAGRRRAANGGGCAGPRALAWSRGAREGDLCAAATARRGEARLPGPRSRRTWDRCDGLRVMGDGIGAGICAASS >Et_4B_037439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19955999:19957247:1 gene:Et_4B_037439 transcript:Et_4B_037439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKIQLLSSIAITAFTLLLYPVASTESRRELSGWSSGIATWYGDANGAGSEGGACGYQYAVDQPPFSSMIAAGSPFIYDSGNGCGSCYRVVCAGNAACSGIPVTVVITDQGPGGGPCLSQASDGSCLNEGAHFDMSGTAFGAMAKPGMGDQLRGAGLLQIQYTRVQCEWPGVDVTFSVDSGSNPNYLAVLIEYEDSESDLWTVDIMQSDGATGQWVPMQHSWGAVWRLNSGSALHGPFHIRLTFNSGRVLIASNAIPAGWNAGVAYRSGGVAVTRTRPKGASYRAYEAVTLRDLLMYYLLLLFVVFEL >Et_6A_047048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24561234:24565408:-1 gene:Et_6A_047048 transcript:Et_6A_047048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRILGVTGAGRFAACYETIMSCRGSLVLRAQAHVFVDTTGSRHVVNSPDYATSLLEPPQTYAMAATAVDRLSALPDDVLRRVLYFAPAKEGASTAVLSRRWRSLWRTSGAVNNDWRSHHRAHGSDEEEPTFQSLVKVFLPAVNAALDAAEAPLTRLTFHVEAECHVFYLLMLPGTFDRNLIDHALDHPAARRLEELRVAAVNYRQEEAGNQFHYWIHLASLPSETLRDLQLVNCTYLTPAPPGTVFPRLTSLRLQGCNDVSLSCLQDTINAAPQLVTLHLESSKITGKITPPVDGVQVQRHHRLLCPVVTALVFADCTWPCEEDELAAGLELDLPRVTYFRYKGHLLHNRLLLRSHEPSSSIVRADLHFTGYKHGELGTNISAHFWQFVTQNFHMVKVLKLRLDFTIHLIAVVHKKEQDDLIAKSLFYNVKRLELEGRYKPGNKMSGFAIGNLLQCCPVVCDLTLKLTAIASAESSFQSSLGTQQAQLDFEKSYNHFRQRKRQPISSGRDDDDNTYDDEVNVPGLSKRSFNCLQSCLRRMSLQFRMDVPNCFGVQLAKFFAENTQVLEELHVDDGSHKMCDHMNWKVGKWIANSCKRKTPPTVVTFEPCPMKRQRIESQS >Et_1A_006378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21617887:21618647:1 gene:Et_1A_006378 transcript:Et_1A_006378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRVVLTEKTQKYPKQVPNSSRTTPFHQEFSHGNYRFTSNTSQQVKRSDPRVVLTEKTKKYPKQVPNSSRTTPFDQSRVFTRELSSYIKHVATSEKERSPGRFDRKNTEISKTGPEQLQNNPRVFTRELSIYIKHVATSEKERSPGRFDRNNTEISETGPEQLRNNPV >Et_10B_004410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6434244:6437886:-1 gene:Et_10B_004410 transcript:Et_10B_004410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASTTPRLHKMWFADLFTDERVTSLSQKVSTLRDKVFELERQNALLSYEKGILEKELEETAKRAQEFSSQKEEVERSLQEYKNAAEVSQAQLEEQIKAKVDELKVLGTQKEEVDARAASLESDIEARKRECELLKGENDELQSKVLMVEEKYSVSEEEVKRLKMELDALTEAKEAAAKAFDVEKEEIIKELEDLKKKLEEIQANKDLVESENDKLRLEVLSAEQKCSMSEDDVKRLKMELSALEEAKEATVRAFDVEKAEIMKESDDLKRKLEEIQAKKDLLEGENDKLRSEVLMAEQKNGLHEEEIKRIKMELDTLVEMKEAAAITFDAEKTRIMKELEDHKRRVEELQTNVDLTKGENDKLQLELSTIQQKYSLSEAEARRLQIELSALAKAKEAIVKAFDAEKAEMTKELENLNKILEETQTHKDLLKGENQKLQSDVLTIERNYIQSEAEVKRLQMEFSVLADVKEANAKGFDAEKAKIMKELENLRSKVGEIQASKEAAEEIGRDKDAQAEKLRADLEDLHISMSQLKASYDELEAKHSHLNHEKDSVQKELDAEKAEAMKLKSKIEVLESYNNEKDVDDSTNKLGSQSFGSRSRVWFKNIVRFSDALFDGKL >Et_5A_042579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20933448:20935745:-1 gene:Et_5A_042579 transcript:Et_5A_042579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASAAAAPPPLTVGDVNAKLLAPRAVMWAVAVYLPFMYMASAAAVAYCFYPGDSFFPVPCWLPPLMFWGVYMAVLSEAVMYIHLFLLRAPYVVRQSLFNVGMYWVGLPLACLVSLVAGLDRPWMAVALSCLMLVLIAGVVAFWIGCASAARNNVMASPPPPSPPPPLTIGDLTAPRCVVWVVAFFLPLASIAVAAFVAYLMCHSDSVIPGVDDVAPAAWWQLPPVLLWGVYMAVVSALLTYIHLFLPRAPATVHEALVDVGMCWVGVPLSWIAVLVACLGPLWMDIAMSFLMLVLIGGVVAFGVRLDRRYSKIG >Et_10B_003108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16646715:16651380:1 gene:Et_10B_003108 transcript:Et_10B_003108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLHLNSTLLPSASALRRRGGLAPSSSSSSRRRCRVEAKIREIFMPALSSTMTEGKIVSWTAAEGDRLSKGDPVVVVESDKADMDVETFHDGFLAAVLVPAGESAPVGSAIALLAESEEEIPVAQSQAASFSPSAAPPPPQETAAAEEASPPPPPPPPAPAAPVVAARPDVPLGSTVPFTTMQGAVSKNMVESLAVPTFRVGYTITTDALDQLYKKIKSKGVTMSALLAKATAMALVQHPVVNASCRDGKSFTYNSSINIAVAVAIDGGLITPVLQDADKLDIYSLSRKWKELVDKARAKQLQPHEYNSGTFTVSNLGMFGVDRFDAILPPGTGAIMAVGASQPTVVGTKDGRIGMKNQMQVNVTADHRIIYGADLAAFLQTLSKIIEDPKDLTF >Et_4A_035885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7937294:7939253:1 gene:Et_4A_035885 transcript:Et_4A_035885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDGHSLQGHHNHHGHGYGSHAGAGSGPASSNNNNDEDDASPPPSGAGAQRRPRGRPPGSKNKPKPPVVVTRESPNAMRSHVLEIASGADIVDAIAGFSRRRQRGVSVLSGSGAVTGVTLRQPSGAGGGGAAAVALRGRFEILSMSGAFLPAPAPPGATGLAVYLAGGQGQVVGGSVMGELIASGPVMVIAATFGNATYERLPLDQDAEEGAVLSGSSEGGATTAQQMEQQSSGSGAVVPPSMYAVPPASPHDMFGQWGHAAVTRPPPTREEWRPSTGK >Et_3A_025569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30842688:30846112:-1 gene:Et_3A_025569 transcript:Et_3A_025569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGALARLLLRRAPTTRLARPFAAKARATPRPQKPEFPSEDDDDFAGGEVAAPTEGISKPLAEVLKELGKRVPESLVKTRVEDDGFAIKYIPWHIVNKILNVHAPEWSGEVRSIVYSSDGKSVSVVYRVTLYGTDAEIYREATGTASVDDTSYGDPVQKAEAMAFRRACARLGLGLHLYHEDLFAGTIAMIRWPLHSSAYRSAAA >Et_7B_055343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9574554:9580106:1 gene:Et_7B_055343 transcript:Et_7B_055343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTSAFARVKSEYFLHVKTAEAAASMHCHQGVPQIDGRRTSEGHTGIHVEKHVRELLAATPENANDMLAHGLGVFNNAHAHYAVPDDVLLPPSSQLNAETKNEAVLATDADTDKSSKQTNISHVVDADAKDLLRSNQDHDVDGVVADNKQIRIEEDMLGQTHAVDDLSQGKKHKNAKRTGSIHLSATDPRIPNESDGRDITESSRAPLETNSNHGELLNTSFGQEVNNNMPEDSLQTENASTVGKKKKRKRRQLTSSETVSAQETTKLPAGAVELSKSGDDAYEVELTKRDGTKSRSSVLLLSSKPYDEGQGGKHVPFVSDAQASTNLPSEQGNFDHVHNGDIYRSIVDTIDSTAEVPASGEKIVEGSNGPWDGGEKHEEAKQHEYNEEHHDEDVAGLSNEEKDGKNTDALEKRPTIDNVSQENKRRKAKKTKSPEHVTNGSSLQHTNPASLDALDAQQTQGNIEGARVSDCNKFGESLDIAAGNMIDDVLADLKSQDSLNNYLCGDLPTGLIHLGSNQNALERPESTMDISYAALPPKYPETIASDVPLRSPSHKKPKGKQLKVLSTMIDSSHRSHDMPEEDANTELKESDALRFSGKTSDLKDVLTGDIVVAQADDKAKSTKRQRKKVKKVLTDNGRTTQSEDEQVNQVAKEESKEVDAATDADLVQGGSVLDTPVHTVAKVQQKGKRAPKTRAPKIQDINHSIHTAKDSHDENATDITGTHLVSCGAENGLVSPKSSADAVEPDHHIVVHRASDEINFFDHFSSAKRNDQLVSAENKQNNEDENVRDVKNKKKKKKQRTGGTEPNDLLESLTAEKTSLTDHFGANEVVVPSVEEWQGEYANVKGGKEKKKRKRKPNSEEPPAEKENLDVDRQGTGIDPQDSLHSVVQKGKMGQNDGNENSNNITQNVSIMQQEPEDGTCDHTVEKKLHQDDVDSQKNLPISKDNANMSKEVRKSTSQKKPHAKSSKPDENVSNIVKSFSMSPQASSDSAECTPQIAKRYRVAVRKVPKKRYEQTNAKSKKEIRKVGSGAIFNDATSEESDDAMDTKSEKAALQALSDNSSTSADSGVSSAAFDESELPDDDGALSLSQKSLRGLHFGSILRGSSSYKKAKQKKDELLDDDTEVPDSQPADGLWG >Et_4A_034625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4944793:4947348:-1 gene:Et_4A_034625 transcript:Et_4A_034625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTEQREASRPEERDSHGRRHHSSSRWRRDDRSPRRRRDDRRHEADRAHYRSRDEESTRTADRDQKRNKDVAQGDEPLNAEAKSLSHAKDDPSAKHDRSPRGTKRFSESRESRRPQSFVQHDERGSAGQGGRHYDRQTNDYGRQRGQKEHVNDRHKEKANGDNSQVRIDQAQQERDGDPTWKHDGFFQLEEEAPLAKRRPPIQEMKISLEQESAPSVTELDSGSQHPEQPGTTATMREERRNYYSRGFGNRRPFVRPDDRSFRRGFPDQRSDGHRHGYDSRGRFPGRGGMDRDRFHNPNDWRSNAYNAAGDQGEKWKHDLYDQTNRSPTPKTEEEQIAKVEALLAL >Et_9A_062750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4096129:4106238:1 gene:Et_9A_062750 transcript:Et_9A_062750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRERRNRKAEKPARQASQPNYLLLPQQNSHSRFALQIRRPHFRAPQIWDPPPPDPAPPRDSRDLAHQAAKLAPQRRSQRLAGGGAGSAAAAAAAQAPGASGAAQAAMGDSGDAVMARWLQSAGLQHLAGSSGAAPAGAGGDYRGGMAGLGGAGAGGMLPSLLMQGYGPQSIEEKQRLYSLLRSLNFNGESAPAGISEPYTPMAQSFGGGNPVEGFYSPELRGELGAGLLDLHAMDDTELLSEDVVSEPFEASPFMPKEVDDDEEELVSGSQQVPADNYGAMNSEKEGTVRENNVAKIKVVVRKRPLNRKELSRKEEDIIDVHDSSSLTVYEPKLKVDLTAYVEKHEFCFDAVLDERVSNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMVRLLHQPVYRNQNFKLWLSYFEIYGGKLFDLLSDRRQLLMREDGKKQVCIVGLQEFEVSDVQIVKEYIERGNSARSTGSTGANEESSRSHAILQLAVKKHIKVADTRRQRDRDANESKNTKAVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGSCEHTLNTLRYADRVKSLSKGGNTRKEQSMGPTGTSIRESSSAPSYPLPAEAEEIPTQIQEKRPVDTYRKAAESFASNSEPDRNSYSTIPSYSNRGREENGAASGFSDKERFDPKSSQTAYTSKAQMVPDSSNIQEEEKVTKISPPRRKAYREDKSERQSNYTKKDNGPETGRAGYKVHPAKQLQQQQRPPSASASQASSRQSEKESSCDDVEIDAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVTQLSFLLSRKAAGLVSLQARLARFQHRLKEQEILSRKKPPR >Et_1A_007579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36064351:36071418:1 gene:Et_1A_007579 transcript:Et_1A_007579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFELFADAAPVTAENFRALCTGEMGIGQKTKNPLCYKGSTFHRVIKGLMAQGGDFSKGNGSGGESIYGGNFADETCNRRHDDRGLLTTADTGSKSNGSQFCITFKPNPHLDRKHTVFGRLILGNDVLTRIEQVDVHGPDSSPVVPIRVVDCGELDRKDHGSVITESDKKRVKSRSSKDISSDEESNEGKHKAHHRKSSKRRRKKRRYSYSESDSSSESETESSDSESDSDADSSDSSDLSSSSDDRRRRRKKHSKRNKRKRARRKRDQRRERRRRRRDKKSKQKSKRMLETDSETESASECSSEDGTSKRHHRGRKSKASSHVSAENLAAVAPLKDAASTQQKSVIPRSLAQEDNSPPQNGEVHNNGVTESKTQRNVDIMPSLTANRSKSRFPILHTSIILCAYCFCKCVSRSPDNRNRRRSPVRASKRSENRSPARQRSISTSPARRSPSKSPARSASRSPVARMSRSPAKARRRSVSRGSARSLQRRSPSRSPKRTPMRKSVSPSPAIEKRRSISRTSARSPLRSVSRSPARFSRSPPRHARKSPIRSPRRNIRRSPSRSPVRIPRSLSRSPVRGGRPRRNISRSPSPPRKAISPPPNNGRSPSRSGSPDGSPKRIRRGRGFTQRYSFARQYRSPSVERSYRFGGGRSDRDRYMGYRGPRHRSPPRRYRSPPRGRASPRYRRRSPSISRSPVHRDRGRGGGYSRSPVRSRSPPAGKPRPHGDGTRSVSRSVSRSRSPPPNRTPPESPSPRRASDDKSRSVSVSPDGKKGLVSYGDASPDSAEK >Et_4A_033656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25450802:25453475:-1 gene:Et_4A_033656 transcript:Et_4A_033656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTATDPAPAATEEIAPFEDGQYVRLVNRGRGGYLFADETGRGVSVDRRRGMVNTAWAVQILRTPTAAHVLLRGAYGRYLAVTNHAPPRPGHAGFYVAQCVFEYPDDHHIEWWTARGKRGSVLLLHGTAGGLRALRANGRYQWWNTGVTAEAVNFTCITSMMEWEVEAIPMTVERPPYQLRPLNAAIQWHEGCQKEVDITFARADNNGSFQRQGWTCMVFDGRSLNDLGNEIADQMGRGEEFKNMTLFVIGGQLGRPTPLLTDLPLRDDPVTIVVLRVGTPGHDALRFPDLAAE >Et_5B_043877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17007189:17014246:1 gene:Et_5B_043877 transcript:Et_5B_043877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAPPVSSTPRTVEEIYKDFTSRRAGLIRALTADVDEFYGFCDPEKENLCLYGLPNGRWEVALPAEEVPPEMPEPALGINFARDGMNRRDWLSLVAVHSDAWLFSVAFFYASRLNANDRKRLFSLMNEHPSVYEAMVDRKQRDNKSGANNSGKSRHSTKRTNDGQTKNSRPAVVDDGYGDDEEHGETLCGTCRGLYNATEFWIGCDICEQWFHGKCVKITPAKAEHIKHYKIYRSE >Et_1B_009957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14366347:14367003:1 gene:Et_1B_009957 transcript:Et_1B_009957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPHPDVPDSPRSSDHSGALVTVASPGGASSASAVASSPSRYESQKRRDWNTFGQYLRNHRPPLSLARCSGAHVLEFLRYLDQFGKTKVHAAGCPFFGHPAPPAPCPCPLRQAWGSLDALVGRLRAAYEENSRARGVSYEKKKRKKTSPHDGNGHHHHHIHHHHQMPPPPPPPGAAA >Et_10B_002601.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17866732:17866989:-1 gene:Et_10B_002601 transcript:Et_10B_002601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAMRSTRYDQCSAGGLVWELKMLLTYEFSRARVVHKRRECNSVAHALAELGKMCLGRQRDPIPDTLPVCICSLVDRDSAAPMV >Et_1B_013294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7043354:7044852:-1 gene:Et_1B_013294 transcript:Et_1B_013294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EAFQPILTIDQSRLPSSRERSPQATGKKERERRKMKVQCDVCSAEAASVFCCADEAALCDSCDRRVHRANKLAGKHRRFSLLSPSPSASSSVSAHQPSPPLCDICQEKRGLLFCKEDRAILCRDCDVSVHTTSELTMRHTRFLLTGVRLSADPAASPAPPSEDENTSSSFCCSAGDAAPPAPATSHGGSSGSDSSSISEYLTKTLPGWHVEDFLVDEATAAAAAAAAASATTAGVSADASYQNGIGGLHQGYSAWMAQEQLFCESAVTGDARIHRERWVPQMSYAGMNMAGSKRPRTTSAASYSYW >Et_4A_034164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30442491:30449542:1 gene:Et_4A_034164 transcript:Et_4A_034164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSDGEHERDDVSDDESPWSDGVWSEDDEGSLSFEDSGEGSDAESDETGEEAEESDSSDDEVAPRNTVGDVPLEWYKNEEHIGYDIEGRKIKKRDREDRIGTFLKNADDAKNWRKIYDEYNDEEVKITKEEAKIISRMLKGKTPHSNVDPYPDYVDWFEYDDKGHPLSSAPEPKRRFVPSKWEQKKVVKLVRAIRNGWIKFDKPKEEPKYYLLWGDETDTAVNKWQGLSYIPAPKPNLPGHEESYNPSVEYIPTQEEIDSYQLMYEEDRPKFIPRRFESLRSVPAYEKALREGFDRCLDLYLCPRTRKKRINIDPESLKPKLPSKKDLRPYPKTCYLEFKGHSAPVKSISVEITGQWLASGSSDGTIRVWEVETGRCLKVWKVGGEVRHIAWNPSPDRPILAAIVDHELLLLNAEVGSEEAQMRAKELLLMDEPAPLDESDDKKPVVRWVKHEKLDGITLIHQKAVANVDWHFKGDYFTTILSKKISHHPFRKLPGLPVAAAFHPSEKMFFVATKKFVYDLQKAQLVKKLESGLREISSISIHPGGDNVIVGSKDGKLCWFDTDLSTRPYKALKIHSKDITRVTFHRKYPLFASSSEDCTAYVFHGMVYSDLNQNPLIVPLEILRGHSSSDGRGVLDCKFHPKQPWLFTAGADSMIRLYCD >Et_4A_033089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18288419:18290490:-1 gene:Et_4A_033089 transcript:Et_4A_033089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKARRRPTASGTDLISALPDGVRDHVLSFLPAHEAVRASLLVSRSWRDLWMKSPALHISAWGTIDEFTSFVYGLLEARLAAPAAPLLSCDFDLSDPCFKQRCEDDDVNEEVRWVQEALENSWIKDALKCNVQVLRAAFWGRPEWSFDDPWRFDLPVSKHLKELDLEFARLNEDSNHVVDFSGCPALLKLKMKDCTVNADQMRSPSLENLIMVHCYFREMECTLIRLPSLITLELTDCFGRKPLLEDFPSLTTAVVRLDHCCYDNFWTRSGHHGHDHHGECSKCLSDDGSIFDFNRDIMPCPEFSKLKTLVLIDWFVADGLSALPWFLQHSPILERLTLEFQSEAQKSSEGTKRKCNVLEQSVASKHLKIVEIRCKEEGMLMVLQILKILKAFGVPLEKIDIRCSEKGYGCEYSSNFHFLNLSITLSKINQAFRFKLPTAKTYSCT >Et_7B_055271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8980312:8982620:1 gene:Et_7B_055271 transcript:Et_7B_055271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HSSRIPGPEPRAEGISTPRCRFQSGLAGDDGEMGKNQAYKAMQRSRLGSSSGAPGAADAPEDGMTDGSFHSPEWHAARLASLNKSHTLTWEEFKKKQKEEEMKRGEMEADKDKMMREYRAQLDAERAQKLAQGRNHSRSKSKSSSSKKERKDKDAKKRSKKRRKHRSSSESGSSSDSSSESSSSDDEERGSRKSRSRSRSRSKRTKKEKKHRSRSKHSGSDSEDEGEGPVRLSKFFGK >Et_9B_065886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16659723:16661140:-1 gene:Et_9B_065886 transcript:Et_9B_065886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKVRWFDTVQKILSPSEPDLVETEAKKPAKSKDKSSFKKLWHFTKSSNASTSAAVSAHQQRPPPPNSPSQPDQQQQPPPHSSPSEHDQQQQPPPPPSPSEPDQQQQPPLPPSPSQHDQKQLPPPPWSPPQPDQQQGQEIVGEAQSTGTSCEQGDRTYPAEEAADTHQAAAELVAGPTDTTPRARPARSKEDIAAIRLQAACRGYLARRAHRERGMARLMSLVEGLAVKRQTEEALYCMQAMTRVQTQIYSRRLKTEEDKKALKSQIKIKQSFDKAKVIGEGWDHGHQSKEQMEAVLTMKQEAASRRQRALAYAFSHQVVSAGHTIVSFDAVSFL >Et_10A_000529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12111419:12112966:-1 gene:Et_10A_000529 transcript:Et_10A_000529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDCDLDGLVGYRSDVWSLGCVLVTLLTGDEQLALGWSTDESYDDWEKKLLTRLDASLVGTQLEALSAITASCLSYDPKDRPEIADVWKCIWDPLMNSDDNALAPDDELAAEKSFRCLLLGKLSTMFVESCAVKSDGKIQSSRGSDDSRSNQEDACTGGCTDNGEIDFSEKDDPQSGGVFKSSTLLAHRDCVTGLAIGGGFLFSCSYDKTINVWSLKDFSHVQCLKGHQHKITAIVAVSNDNWSLCVVAVGKESLGTLSAAALFATE >Et_9B_065579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7252715:7254074:-1 gene:Et_9B_065579 transcript:Et_9B_065579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IDVRRQFTTTKPKKARKSNAASGQIFVFIEPDHFGPILATQPQEEHRHLCRGHIQRSSMLRAMGAHFPHTAGIASQSKHGTQSVGLSCGYEDHIGTMVSGFLILEDLSRNKQTSKLLYFDQTFDGLNEALRLSCLKGYAINQKMMGLADSLQREDVEYNGAIERE >Et_3A_024706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23451283:23452653:-1 gene:Et_3A_024706 transcript:Et_3A_024706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPSYNPTKPDPPGCLSAAMEAASEHAATWGRGGAGDAADGDDEAKRKEAALASSRLLDPGFKLSKLSQDQLDKFKELHKKRLQIKEKQTCKRKSNGTTGWSTKVTEHCKFVGKDKSADNTPAAGHHVSSPTGTQGVSDIASLYLQSYTIF >Et_2B_021968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7485380:7488514:-1 gene:Et_2B_021968 transcript:Et_2B_021968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWESILRGGGRRFLKRKDSDAGEAGRALEELRGSLYNDFHTSEGAKRQQQRLCGPIVALTFNFVVAVGIIMANKMVMGSVGFNFPIALSLIHYLVAWVLMAVLKSLYLLPIAPPSKSTPFSSLFALGVVMSFSTGLANVSLKHNSVGFYQMAKIAVTPTIVVAEYFLFQKKVSLQKVITLVVVSFGVAIATVTDLEFNFFGACVALAWIIPSAVNKILWSNLQQSGNWTALALMWKTTPITIFFFIVLMPLLDPPGFWSFNWNFKNSCAVIISALFGFLLQWSGALALGATSALSHVVLGQFKTIVIMLSGYLVFNSDPGFTSLCGAVIALVGMSVYTYLGIKDSATGGKKHPLKSARQNSPLLKSKVIKDGEKQETRTIESV >Et_9A_063353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21057408:21058967:-1 gene:Et_9A_063353 transcript:Et_9A_063353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERLCDDAVVEILVRLPSESVLRCRAVCKRWRRITTDRSFLAAHAARRPREMLILTQSQTLSTMPMSLNPRPPDGKGRRGYLCDTIVRNENGITIDLFDLVASLDGLLVLRQGLDLYIICNPTTRQVTTLPALPCVSASACGFYFHASSGEYRLLCHGVDGEDWKDYYYVLSAGATKPRRLMRAPFEYGTGNPYERGYGSPVAHRGVLYWLCLHPKATREMLAYDTASETFRLMPRPPCDGLAALLELDGELCAAAAVHRDTMLHIWVMEDYEAGSWALRHQVVLPPSTKYFRAGDVGVSMAVSAGDGAILIGHPRLPPVVKLCDLRAKRMRREVEFLRLTPTMLLFSESLEQSKTCTQVSAQALV >Et_6A_047222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2750345:2750706:-1 gene:Et_6A_047222 transcript:Et_6A_047222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVIYRLLAGPHRCPVVRRLGRVLPAGSSVVVYAINNDDNIFVHELGVNHEDNAGAPKKPCRAARHVVMTQPPNTSKQAKRWMCAISLCAATTY >Et_4A_034474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3601199:3605332:1 gene:Et_4A_034474 transcript:Et_4A_034474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VHVSSQYMNQGRQMELHYINTGFPYTVTESFMDFFEGLTYAHDDFPLTDAFQDQANPYWSMMHTNPYKYGYSGAGNYYSYGHVYDVDEYMHRADVGRRTWDNTTPVNNTDSANVVPQGGETPRTSANPTTEECIQQAHQNPGSPQVVWQDNIDPDNMTYEELLDLGEAVGTQSRGLSQEHISLLPVTKYKCGFFSRKKTRRERCVICQMEYRRGNLQMTLPCKHVYHASCVTRWLSINKVCPVCFAEVPGEEPNRK >Et_7A_050286.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:19574778:19578120:-1 gene:Et_7A_050286 transcript:Et_7A_050286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEKPHLPMDIIFKIPVHISDPATLARAASSHKLWRNLIKDFTFLDDLKRRHSDHGFTPSLLLGFFYQESIEAPSHLWQHHEDTKRCLAPSFIPTSELVPFGGIEEGCNVVNPMSLGTFIQGIGSSLNFYEPVASQDSFLALCHRSQDERGNAMPDVLCVCNPLTGEVFHIPNRREAPPKHYVLLVTNDVGLDGCMSQSYRLVSITIKGQKLIYKYCSKTRAWWRPTNFPELMPGHYLMSSPVADSHGGSIHWLCGSWKSMAPSVVCTLTLGEEELLYIDLPPDAKSSKWPLLASSADGCILLLLVKGFQMSLWKHKNESENASIKWVLSQMIDLTSSLPMRVQMKRHKARLRLEVFRGKSGAVVLWVEGEGLYLFSLTDRSMRKIDNENVMKRYFLCPFEIDWLSCLAVTNLVVHGSLDGERKKIHGRWKTMTADNIENSTLS >Et_8B_059464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18096649:18099372:-1 gene:Et_8B_059464 transcript:Et_8B_059464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKTTKRWVPVNRTGGASSSGSGGRGGGATAAGRRDVVRSAPLHQRGVPTNATTGTKRWVPVNRAGGASRSGGGGEPDADRLSALPDALLHHIMSYLKAWDVVRTCVLSRRWRHLWASVPCLGLRLRVGQDDYDEVPENFPKFVRLLFRRRDVSASLDTLHLRSSNVDGAHDEDHARSWIRDGIKRGARVIHVVGHRRVHFLKGRGSSLAALEHTAFVSSQLKVLKLSYALLDDNMLRQLSSQCPALEELHLMDCVMTGHEISSASLKILTMFKCKINVNLSIASPNLVLLRCVSPITQAPSFEDMGLLVTGTIILDDRSFYEDDFEDFSKDELEETTDEDDNDSYWKDHNRYGFGAPLDGYCFKRNHDYGSDIESDDNTYDYSEIANDSAEYGFDGDDHSSSHVYGENSGSNDNKVLGGHNVLHSLSNASSLELLADAGEVILNRELKRCPCFTNLKTLSLGEWCMGADFSALHSPILERLFLELDLTFNTRKALGSGVKPKGRSFCCKHLQMVKIKCSKDDVRVHKVAHLFRANGVPFEKIFERRTGSTYLRGEMITRDLARQELEFWGDDELWGDDKFWGHNEFSWDDEFSEDDDEFWGYG >Et_3B_031393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28069708:28071105:1 gene:Et_3B_031393 transcript:Et_3B_031393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVITYSYAGFACSYLSEEHNLYPWILRPRTMGCFFFKIMTEKFKVRSPKKIKKSKYTHCQFRVGPEQPRARKSAYMMYRGPPLPSVVGLTAPQAVRRITQNCPGLRGAIIRPDEMQTMFYVSNRVCLNVNRHNKVVEAPQIG >Et_10A_000037.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18073019:18073631:1 gene:Et_10A_000037 transcript:Et_10A_000037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDRRRRQHLLRRRVLRLSPGLRHPEPHRRELPGLRRHRRRPPHGERHRHAARINGLINRAGAGEDEAMTRCLESCQALYEDVLDRQRGCAAAVKDGEFGEAAASLEKSAAAAEECEGGFGKSKVASPVTVEDDCAFKLAKLAVALLRFAS >Et_2A_014990.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24944150:24944605:-1 gene:Et_2A_014990 transcript:Et_2A_014990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPQPQVQLFDDAIAEILLRLPPAEPENLVRASLVCQTWRRILFDSAFNHRYRKFHGTPPLLGFFHNINLTTTPRFVSTNTTTLPFSLPVSRLRYSRILDSRHGRVLISESFGRIIVWNPITNHKKLVPEAAYTPHWEPVTGTVLCARAQ >Et_3B_027539.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26467893:26468380:1 gene:Et_3B_027539 transcript:Et_3B_027539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYTTPQPSPPMSPLVGPATPRTPGGAAGSDPPPASPRTPRPAITLTAPPSNKRRRRRGAARSSLRAIRALFRSLPILAPACRFPGVLPRHGGGVSGGGGSRGQDGHISGASRTTGTLFGHRRARVTLAVQETPGSVPILLLELAMQT >Et_1B_013570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9650798:9652001:1 gene:Et_1B_013570 transcript:Et_1B_013570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKIAYWTVKSLRFPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEQSGGWIRALLEEAENERMHLMTFMEVAQPRWYERALVLAVQGVFFNAYFLGYIISSKFAHRVVGYLEEEAIHSYTEYLKDLESGKIANVPAPAIAIRPSPSTIGASLPTPRSRTSSSWFVPTRLTTVTSTTSHRYEFSRILQHCCFDVIASKMRDIHYRGMQLKESPAPVGYH >Et_4A_032929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15720758:15730459:-1 gene:Et_4A_032929 transcript:Et_4A_032929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSIIFPDVPIALRLSSHLMLGVVRIYSRKVNYLFHDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFHLDDFELPEAAFQGDIDHHVSTKEQITLQDNPEKTGYSTSQFGLDERFGDGSSSHIGLDLEEELMLNKDHSMNLESDDGIIIQGRPSVPSTDMDIDDDRSKDDIAEGYSNMDDGPSIQGRLSPPTADDLGGNNFPNWNGYNVQTPDLNDMLLHNGSIAGPSASYYQPSPFPCDEPASPEFVSAQAPATPGLMEETVPSRVHGSPVLSPQRKASPSSNDETAKADASAAPASGFLHTTTADASDVGAEMTEHAMEKPVQVEFSAVVQDIDLLREHCTSEDLPPQCQNSNLEADVDNLAVSTDDIAASGETIVAKESTEDLPLAENGPVPSVVENPTQINEPSVDAQVDNVHATDAAVLSTDFQQQKQATQQEVASNDRPNEQSTSEFAEPEKMLSAPDTEYNRANDLGQVTAEKGITESDGSNIIGSLTSRKRHIEDSLPALESETTERLSSRPRGKRTAEFVPDDDDLLASILVGKRTPGLTLGSTPLPPRASSLKRPRLGSKTATLKRKAQLDDAMVLHADTIRQQLISTEDIRRIRKKAPCTRSEIWMIEKGLSEELNNLHNRTYEIVEVQVQPDISGTIAEDSNNVGISGAQGMAAIDDLLHISDGTHSDAVQAETIDAACGATPAFGLEISPDNQVNGVSNDFVADTVYQGVAEPSIDTEKEVVLADRIHAQVDTVDNDHQGVLSDLQRHTDAKVSSTDVVLGSSGQDCAQAVDVMTHDFDHFVHNDVNVIENNEIPTSEVTAVECNQDAAGFGQPTEDENTTSAMGDNSSLQENNMGSLMDLDVVHDVELKECNDFGSAIHGVDTDFLNYDDDGDYDDANNDEPNPDEFQSLDNSGWSSRTRGVAKYLKTLFDEDSGLGRKSVAIDRLLNGKTRKEASRMFFETLVLTTKDFISVEQPNPFDFISIRPGPKLLKIVWGLHTVEKQIANAFDFLMKQRVNIRSA >Et_9A_062346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22021892:22034441:-1 gene:Et_9A_062346 transcript:Et_9A_062346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSNRGANGGGDDSGSDLDGGLRKPLLPMNTGSWYRMSSRSVAPGASSMAVLRESHVSAFLCTLIVALGPIQFGFTSGFSSPTQDAMIADLKLSISEFSVFGSLSNVGAMVGAIASGQMAEYIGRKGSLMIAAIPNIIGWLAISFANDSSFLYMGRLLEGFGVGVISYTVPVYIAEISPQNMRGALGSVNQLSVTLGILVAYLLGMFVPWRLLAVIGALPCTVLIPGLFFIPESPRWLRAVSSAHKKTTIRFQELNQKKFRTPLILGIGLLVLQNLCGINAVLFYASNIFKAAGLTNSDLATCSLGAIQVLATGVTTWLLDRAGRRILLIISTSGMTLSLLAVSVVFFLKDSISHDSNTYYILSMISLVALVILPVDIKSLGGSFATLANWLTSFVITMTANLLLTWSVGGTFLSYMIVSAFTLVFVILWVPETKGRTLEEIQWSGNRGGGGGYDSGSDHDGGVLQRPLLPNSGSWYRMGGMGSRQSSLNAGTSSMAMMRESHVSAFLCTLIVALGPIQFGFTGGFSSPTQDAIIKDLNLSISEFSVFGSLSNVGAMVGAIASGQMAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGIISYTVPVYIAEISPQNMRGVLGAVNQLSITIGILLAYVLGMFVPWRLLAVIGMLPCTVLIPGLFFIPESPRWLAKMNLMDDCETSLQVLRGFETDITAERAVMAANKRTTIHFKELNQKKYRTPLILGIGLLVLQQLSGINGILFYASSIFKAAGLTNSDLATCALGAIQVIATGVTTSLLDRAGRRILLIISAAGMTISLLAVAVVFYLKDSMSHDSDMYYILSMVSLAAIVAYVVAFSFGMGAIPWIIMSEILPVSIKSLAGSFATLANWLTSFAITMTANLLLSWSAGGTFVSYMIVSAFTLVFVILWVPETKGRTLEEIQWNPTKKA >Et_5B_044080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19781654:19786582:1 gene:Et_5B_044080 transcript:Et_5B_044080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSENTGAPTEEPQVSPPPPNPSEAAPGEEAEEPQTLERAQELFDQGSKAIEDGDFVDAVDLLSRALEIRVAHYGELAPECAETYYKYGVALLYKYQEESDPLGNVPKSAPKEESVKSTTGKDDSGNSKASGSNAEDAASSEKVDAEEGQNSSGKDQEDGNDEAEKDDDADDDEKAGDEDDSDLDLSWKMLDIARVIVEKISDNTLQKVKIFSALGEASLEREDIENSLGDYFKALAIVEQLAEPDHRRIVELNFRICLVYELASNTKDAITYCAKAISLCKSRIQSLKNSRDALLAGKDDSASAAQGDSEKSTLDGEIELLTGILSDLEKKLEDLEQAMSTPRSALEEIINTAAMRTAHAQKDVDVMPRAASFASSQMATSSNGFDSSTLSTAATTGSTGSTVTDLGVVGRGVKRASIKPISAEPSSKKPALDSPSPQVDSSNTSEVQPTTQNGDDSGYIADSRFIKRHELCPSDLDICENSFVTKNTLESVEN >Et_2B_022899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7456193:7458803:1 gene:Et_2B_022899 transcript:Et_2B_022899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMSSFLFMIFPTTRKGISFCDTELPLPPTQPGTCVNGVQTATDKVLTSSANLLQLLPTGAVLAFQTLASSFTNQGNCYHSNWWLTVGLVTFLSATCIFFAFTDSVRDCNGRVIGKGVALPGRLYIFNMPKKEQGLIMSQLRKQRLKTLDWVHAFLTLVVFLTIAGSDVGLQNCFFPKANDDTRQLLKNLPLGMAVMSSFVFMIFPTTRKGICFDDTEYSVIPTPPQLDTENPCTSCNSSNRIHQTLKLGTNTELKLGQPGPWSFQISNQKICHYFDDSGQGMETGCTPLSLYQPEETFSCHCPPPCVERLENLRIGWNGRRKTMQ >Et_4A_034728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5902595:5907162:1 gene:Et_4A_034728 transcript:Et_4A_034728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAARTLGCVSRAVFSWKPTGRPQQTLAAAVSRSGVGLHSGARTTATLLPARAGDGRYFVVDADGARVAAEVGNAEPQSQLCTTLRRGDGSPRVRTVEHLLSAMEALGVDNCRVEVSGGDEIPLLDGSAREWVEAIQSAGLCAAEDTSGQKLEKLAPEIHNPVSVRRDDCFVAAFPSSQIHITYGIDFPKVPAIGCQWFSTFLDADVYSRTIASARTFCVFEEVEKLRDAGLIKGGSLENAMVCSMTDGWLNPPLRFGDEPCRHKILDLIGDFSLLAQNGNQGFPIGHIVAYKAGHALHTDFLRHLLGKTTVDQEKLAGQC >Et_4B_036867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12116119:12117172:-1 gene:Et_4B_036867 transcript:Et_4B_036867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARQLPEEILADILRRLPPRGLAGSRRVCRAWRDTIDAHRLLRADLLPLAVRGIFMNYCAMYSPEFFSRPTTGPAIWGDLEFIPGIWEVKDHCNGLLLGKGKDYYVANPATRQWARLPRMGKAYPEPMCLVYDPIASPHYEVFSIPILSYEEETKLRPEMLQSEWPPSLYALQVFSSLAGRWEERLFAREGCAAGVICDMKKCTPWGHSAAYWRGALYFYQDNASFISVFMSNAKYKLIPMPTDVEFTSYGSFQLGRSEKGVYSAFTHWHGLSIFFLDESCGQPTWVLKHRVDLKTFACKLHAREDYDEELPEGPWILQNINH >Et_5A_040426.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:21139221:21140660:-1 gene:Et_5A_040426 transcript:Et_5A_040426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPSMAVMALKCPDIEVAVVDVSKPRIDAWNSDRLPVLEPGLDAVVGACRGRNLTFSADVESHVADADMVFVSVNTPTKARGVGAGQGAADLAYWESAARVVADASRSSGRKVVVVEKSTVPVKTAEAMERILLARGNGNGVAFRVLSNPEFFAEGSAVRDLLFPDRVLIGGREDTGAGRDAVRALREVYARWVPADRIVTASLPSAELAKLAANAFLAQRVSSVNALSALCEAVGADVFDVARAVGADPRVGGGDKKYLRAGVGFGGAGLRRDVLALAYACERHGLAEPAAYWREVVAVNEFQKSRFVRRVVATMFGTVAGKTVAVLGFAFKKGAAAGDTNREPPAADVCRGLVGDGALVAVYDPVVGEKQIRRDVAAPAPNAQAVRVATDAYDAALGAHGVCVLTDWDEFRALDYRRIFDGMQKPAFVFDGRHVVDVGKLREMGFVVYSVGKPLDPWLKSMPAVA >Et_4A_031852.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:23880483:23881118:-1 gene:Et_4A_031852 transcript:Et_4A_031852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAPLLMAADPDPLQDFCVADLNGVPSVNGYPCLPSSLAGDEFLFSSRAAQGGDPTLNPNGSNVTELSVNEWPGLNTLGVSMNRVDFAPGGTNPPHVHPRATEVGLVTRGELLVGIIGSLDSGNRYYSRVLRAGETFVIPRGLMHFQFNVGEEEATMVVSFNSQNPGIDFVPLTLFGSSPPIPTPVLAKALRVDAGIVDLIKSKFTGGY >Et_1B_009647.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10636362:10638033:-1 gene:Et_1B_009647 transcript:Et_1B_009647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSPTTPPPAIDAGAPGLPDLSVPYDLATRGQWQALLAHLSHPSHAPHPHHRLLLSALSALSLAKLRRFSDAAALLASLHPDPACPPPPFLIRLLHAVLPLFLPDRPLALDRLYTLLSSVRARPDAAHPEWRRRDVLVASLLAADHLAHREFDVALALLADITAREPGNPVLLSRLAYAHLQIGNLAAASAAFRHVESVAAAAADPAQYINLLARNRALECIVAKDFAAAVREYESCIEADPADAVALNNKALCLMYSRDLGDAIKVLEGALERVPTAALNETVVVNLCSMYELAYVNHGEVKKSLAEWIARVAPDDFDTSCTRM >Et_4B_036077.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21540884:21541220:1 gene:Et_4B_036077 transcript:Et_4B_036077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPGAVAVSRSGSAVAFSWEQEPGVSKAKQSPEEATKPASIKETKPASTKEAHPHRLRVPPPPGGPGAPAVSPPPGRSRTRTRGVR >Et_10A_000861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18356766:18360142:1 gene:Et_10A_000861 transcript:Et_10A_000861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADASPATGREEYGGRVTAFVVLSCVVACSGGFLFGYDLGVSGGVTSMNSFLKRFFPEVYRQKQNSKVSHYCQFNSELLTLFTSSLYIAGLLGTLVASSVTRRFGRRTSMLIGGVLFIIGSAFGGAALNIPMLLLNRIFLGIGLGFTNQTIPMYLAEMAPPRYRGAINSGFELSLSFGILVANIVNYFVLKIKAGWGWRISLSMAAVPAVFLTVSAIFLPETPSFMIQRDGNTNQARVLLQKLRGTTSVQKELDDLVCASNISRTTRHPFRNIFKRKYRPQLAIAIMTPFANQVSGINVINFYAPVMFRTIGLKESASLLSAMVTRLSATCANILAMFLVDRTGRRKLLLTGGVLMILSQFTICAILAAKFKDHEDLGKDYAYLVLIIMCVFVAGYGWSWGPLTYLIPAEVCPLEIRSAGQSIVIAVNFFMTFVIGQTFLAILCHIKSATFVIFGVLIFLMTLFVYFFLPETKKVPIEQMQQVWRRHWFWKNIVREEEEEKQSKTITSPSS >Et_2B_019663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1259860:1260368:1 gene:Et_2B_019663 transcript:Et_2B_019663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSAQALAMAPPHRGGGGGGATEHPHCLLLERMAAPDRRLTRLLVNVTVDRSLWPVHVVLAADATVADLVRAAVAEYDREGRRPTLDAAAEFELHFSKYSLESLRPEEKVVDLGSRNFFLCARRPAAACFV >Et_10A_001573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5275182:5283159:-1 gene:Et_10A_001573 transcript:Et_10A_001573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTYANDEKARLEQIVQERLHFKNMDLQEKEKELMDQRKPHTLQLNKTTRRLKNHVVYIMLPTELVESMSGVRGMEGHLGALASMVDNKISCLRDVLTEHRNIRNVIDGVSEEKERLQQEKERFRQEKERLKQDRERLQCDLHANGEALEALKEDALASTNTLIAARDALTVSTEKISQQDKELEVLKRKLQESEAKNSQVEQHCGHATAPIQQKRVATRSMDKRKRTSEGPLDYGAYENEYTGQVEDQSLSHLEMENHNHTCRFIFLFIIYILALLSLQVLLWTQVHTRSVELSERNVGNELVNKQSSGIFVSDNEELESVRHELIKRFLEIDTGGRKLGIREMGELNEKAFKAACIAKLPPEEVFAGYCQLYSSWQKQLSDLSWNPFKTVTVDGNSQEIVDVDDDKLQQLKGEWGEGAHDAVVRALMEMQEYNRLSDRSIAYELWNYKEGRKATVREGVEYMCSQVKQLSVTKRRKTRRAAGIA >Et_1A_004623.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23641547:23642629:-1 gene:Et_1A_004623 transcript:Et_1A_004623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRAVENGDRAGFLRLHGGARCLGRCCPDEREGGQRQAARRRREHVHQVLDEPPDHLALQVVQRRVHRRRRGKRRRPLERLPPLLHTRRLVAGPRRARLLPLVVSRHRPPHRRWLAGRRRQRRRGVLVGLVRRRRRMERQQRRAARRQRRERQGGVQVRRRVLKRRQRRAVSEGAMAAARRRQAHGKACAPAAVVAVRPAPDVKATGGAIDEDMVHLNLKRTSGEGQQLIIAREGQAAKRRTCQAASRSPG >Et_3B_030720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6722926:6727175:-1 gene:Et_3B_030720 transcript:Et_3B_030720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKRVTGGDGREEDGAKVGLPALDLSLAFPQATPASIFPPSVSDYYQFDDLLNNEEQAIRKKVRAIMEKEIAPIMTVYWEKAEFPFHAIPKLASLGVAGGTIKGYGCPGLSITASAVTTAEIARVDASCSTFVLVHSSLAMVTIALCGSEAQKQNYLPSLAQLTTVGCWALTEPDYGSDASSLRTTATKAPGGWHIDGQKRWIGNSTFADVLVVLARNADTKQLNGFIVRKGVPGLKATKIENKIGLRMVQNGDIVFDKVFVPEEDRLPGVNSFQDISKVLAISRIMVAWQPIGISMGVFDVCHRYLKERKQFGVPLASFQLNQEKLVRMLGNVQSMFLVGWRLCKLYESGKMTPGHASLGKAWTSRMAREVVSLGRELLGGNGILADFLVAKAFCDLEPIYSYEGTYDINSLVTGREITGVASFKPAALAKARL >Et_3B_030984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9708062:9712881:-1 gene:Et_3B_030984 transcript:Et_3B_030984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRAIEASDGLTSYSCASLLYFPGSSVADVNAASEKVSSIHFSFYSDEEVKRISVKRITKSERLDAKNCPVPGGLLDPAMGPINDTDICKSCGQHSVRCPGHFGHIELAKPLFNPMMFMSLKNLLQVTCFHCHKFLLNKEQVDRYVNELELVVKGDVALAKIFKDSYEIAHLSKDDKDSNEATSGDNLSPENSKKVWTSIQLKEVQSLFSKLMKKRQKKCTKCDMKSPTITDPTFGCLFKDTDASDVRKNAIADIKLKGDGNGHHSRETVSESRCLSDATIKEVAASSGQKQLLPTKMMEHPQSVLLSKVQEANLALQHKTKSEKDAQGTRQRLEKKGVLRQKMMGKRVNYACRSVISPDPYLAVNEIGIPPVFATRLTYPEHASYLRQ >Et_7A_051787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24761070:24761530:1 gene:Et_7A_051787 transcript:Et_7A_051787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YSCSAANSSTVKAGEGLVGGERPSSRQAPFNEISKFTEPWVANRDGPITEPTFNQSKLVIVVGDGNLVMLNHVTESVIWSSQIATNRKGKSRNTTVVLLNSGNLVIHVLTTEFTMMPQTLREYCGRPLTTQQILSY >Et_3B_028321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13419400:13420124:1 gene:Et_3B_028321 transcript:Et_3B_028321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSVVNISATKENTSIGSSFQDRLLDFQVNLLQQSTQQWVMAQQSPSLTTTEALILNTRNSGGLQHTSRLQDMGASFAYSAFARDLHQFPFVQPSQQVSVDQYWQSRHDPSNLFAMGLYQFPSVQSSHFNGQRRNDVPGFSDMYGMGTSSSSYGQWRPVPTQLGSVVYQWDLPACGRQINNSPLLQDERCIPWSELQPIGSPQMSLKGMESYDDSVTSTPVEQPMPLPFQYLPHGMC >Et_4B_037904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24652018:24671935:-1 gene:Et_4B_037904 transcript:Et_4B_037904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPEVARVRRDTLAARVTCPLCKGLLREATTIPDCLHSFCRECIMKKINDEDTDCCPVCNILLSCDPENKLRPDHILQDIRSKLFPSKRDSISPIAIFLEKRKQRSLASLVVEKVTGRRTKTTSRATPCATFPDKNRTMVLPTNSEDQDHLTTIQSEPQPVAATSNKNQVEITCHGVPICSSNTLNDLIEFCVRREQGKPIQASFDGPAKEFVMHLSDRENSKEKLDVPFYMDIITLMSWSSWTTRNGWLFNGKDPTVTNFRQKSIHELSRVVRVRRGTLAARVTCPLCKEMGGVPEVARVRRETLAARMTCPLCQGLLREATAIIECLHAFCRECIMKEINDEDADRCPVCNIDLGCDPEEKLRPDHNLQDIRNKLFPIKRVSPNPPAATLPAKRKQRSLASLVIDTSNVEKKAGLTGKRTKATRRRTPPHATSPDKNETVKLPSKSESQDRKTEKQSAPQSPKVATTANKKQVNTGINAPKKPLSEARKNGKTSDKEEIQKLSKTKAPRSSKVRAVMEESIINKEGELLIRKEDTANEASSSGTRVKKSRLEEGKKGSSLEPASSKEKPRTEDNLKQESLGSASTNSLHGAVTTPVKTETGFQERRHKAASAIEELLENKVSSVQKYIMIKLDLANEDEVSLRNLDGGKTVVIHSHCPVKWLCFVSPVSCDLRVQFTFSYTTLATMYVLIAFVSITSYVLMSPQLQVEITCHGVPICSSSTLQGLIDFCVRREPGEPIQASFGAPAKEFVMVLGYRRRQRAPAPSNTLAVPPAPILRGQLHRPQLTETAPAALLHPPQST >Et_1B_012987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4577564:4580964:-1 gene:Et_1B_012987 transcript:Et_1B_012987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAPAAAAAPSPVGKRRRGGEEGLRRVAEIVMVLAAAGEVRGGREPTAAERALVLEARERLAAAVADGAVRPKDLFPGEAVRAVVEDLGLNRAKDPGSMGFRPPKASIADRLMLTKRKMEEVKEAPAQPTSAPQTIVSSGMSEFQGLHGPSKFGVGAPRNPPAATAPNSASLVILKPPGSSPAKPVNNSSAGAPPHTAPAHLKLEKDVNGPLNLARSGETNSSILIAAAATIVHSARSTPDTFARPNVNAVQNSNQVVKNQDTKPVVIQAATGNPVTGHRATPGVPLKPTFAHHNDIARSVQQFLHQATNHPSWTPPSTEYMNSRLCCQICKAVILDADSLLVCDACERGAHLICLQNYGNKGVPKPEWHCSVCLTQSKGKPLPPKYGKVTRTAATSKAAPPVNGAQFSFQGSAETIATKENHQKLAANGNPTKSISTQAGGPVHNSNVLAPSATTAGTQSQLVSNLRPSVGNAVKPEASSSEKEGTGQACSSMVQNNTNPPPNKRLRSDSSLNSVDSANGIMHGKKTEEISGVKCADNSFAFGSTNIKLEAHSKPLPSRDEEMVDHSETQMEQTRTIATEERPRTQATFEPEKVKYLEKTTSTGTSTDQSTNSATEEKNHSQSTSEPHTISDVEITVPTETPICQSSNVAIEEKLKTDAASEPNGIEDTEMSTNNSPAIGQSNNLAIEEKPSEQTSASNDVELTNYAAIPTNQSQHANGINGNGIKEPPYGDENKFGCSAVSDHGSIQPVVPNGALDAKDEMLCVRENEAVSASAEATEQAN >Et_5A_041624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25087503:25090122:-1 gene:Et_5A_041624 transcript:Et_5A_041624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVVGSPGTWTGLALRVAQCICAVSSVLAMATDYAISTNNDTAFWYLIFVMVLQTVWSFILACIDIYALKVHADLHVLSLVKPFVIDNGYQLVCRSFRLRWLGRFLRERHRLLREDGHTADMRPLQYFGHPGIRNLIVQCSVGCFLVLVVCFTGGLISVTQRPSIGVLLLTLRLSVRPCRLHI >Et_1A_006888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28688772:28690732:-1 gene:Et_1A_006888 transcript:Et_1A_006888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDMVFVELEIARKGNLNIIGLLLSIMAKIDDKIEVREASALEVALWIVLLNSTNRSTSGMIPLTSWSAQNQSAHAVSLGIFSFVKDLDAGYLFNRLTPSEVEEASAAATEVVLPLVAFHESLLQMLQIHTLLLLLRPFLLLFEANQTQNRNNGGKFLVHNYCCGVRGQQLPRSEREEGSRVPRSICVSSLNGMESKG >Et_4B_036086.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:22399965:22403578:1 gene:Et_4B_036086 transcript:Et_4B_036086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGMPISAPPAASVDGGAAAVTTPRKNAAAAAAVAEMAKHLTIDTDDAFASLLELAADDDAEGLRRALERAPPAAADEAGLWYGRRKVLEHRTPLMVAATYGSLAALRLLLSLPSVDVNRRCSPDGTTALHCAASGGSGTAVEVVKLLLAAGADADATDDSGRRPADVISVPPKMFDAKFALQHLLGCPKSEHGVLRVVTRSTNSISSPISSPTAEDARSPSAALMMTTKFADLPRVATSEKKEYPVDPSLPDIKNSIYASDEFRMYSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGVCRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRRVCFFAHTTDELRPLYVSTGSAVPSPRASATAAMEMAAAMGLMPGSPSSVSAVMSPFTPPMSPSGNGMPPSLGWQQPNVPTLHLPGSSLQSSRLRTSLSARDMPADDYSLMQDLDSQLMNDLCYSRLGSSTGNHSARSKSLNPSNLDDLFSAEMVSSPRYSNADQGAMFSPSHKAAILNQFQQQQQALLSPINTGVFSPKAADNQQLPLHSSLLQASLGLSSPGRMSPRCVESGSPMSSHLAAALVQREKQHHQQQQTMRSLSSRDLGPSAARASAIVGSPLSSSWSRWGSPSGTPDWGVNGEELGKLRRSSSFELRSGGDDPDLSWVHTLVKESPPEKQVTTAESITSVGPSPLMPPSVGNGEGSGLNTQLDGRDQAAVIGALLEQMQLDQQIGSLAT >Et_7B_055854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:585536:590813:1 gene:Et_7B_055854 transcript:Et_7B_055854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNYGLVDQLQEENSAAVGYEEASEEEEPFECEFYDDDEPQDVEPCEDPVEEELYGGAALPENDNASDEEPFEIDPCDEDIDEHGSCPRHLDPHAKLLSSDHSICTKRLYEVEPCHDLMTDEDDFFENKPKSVEPCKKEKNKQDLKQVLKRSNYEQEDVLVAKEKETKPFKKRLSVKFADDVSCYTYGDETFAAAKLEKKKAQIDGQEKYLCNRPEQSASSPQNAGRLEEGDGTNLFVGNLPPSVASHKLIQLFLPFGRIVRSRVVDDCFTGVSKGYGFVKYANPRCAAEAIKRMNGRTIEGRMLQVRVADAPSSGSNPFFHSVSVTDQPTKEMDRSNLYVCNLPLLISTDKLLDLFAPYGQVTSAKVARDYTTGLSKGYGFMKYSNPDSAAQAVVQLNGRLFEGMKIEVRVCGIPPRPAGSLEESHFNNRTIKEIDMSNLYVHNIPTSLSSNKLVELFLPFGKITHAKIMEKTNDSSKGYGFIKFEDSQSAAEAVASMNGALIDGETILVTVAGLSQSASSSVLPHSAANPSLDINKSRLYITNLPQSVTADKLISLFMPFGQINKVVMNSEYSLLFYADVQSATKAVRNMDGYLIEGKLLVVKGPQPSPASAADHAFSQSDSLKEIDMANLFVGCVPSTVTADQLVELFCPFGEIIQAKKFHTRGYGMVRYANPSSAAAAIDHMDGYQIGGSTLVVRVAGLPRESDATTNVPAVQMVPANGQRKIDMANLYVCHLPLYVTTEKLVELFLPCGHITEAKVVVNKFTGVSKGFGFVRFADAYFAAVALTHMNGYPLDGHVLEVRIAGVPQRDMSSYMAHFYSQFASPDPSRMAVGVPTSYWPYYHAGSTYATPSECQGQGSHSATAMATAAGQTSQQECLFGSNPVGSVADKDCSCVSNPDASDPSQLEGWAGPPGFEPHAVKKNDATVTNPSQAHSQSVGSAGPPGFEPRHGVKKEAAVIFSKFLPIGDTLIIPLRNSMNVPLREQSIVAGDY >Et_8A_058024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14534662:14538527:1 gene:Et_8A_058024 transcript:Et_8A_058024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVAFDLGLMSRKWLESQAPIMGSRFPSHQLSNGLYVSGRPEQPKEKAPVICSTAMPYTGGDIKKSGELGKMFDINRKSGHLGNQPSRNTSFSNAASNSGPVSNAAGRSNYSGSIQSGVTGTGGSSRTKSSSGPLNKHGEPTKRSSGPQSGGVTPMARQNSGPLPPVLPTTGLITSGPITSGPLNSSGAARKVSGPLDSSVSMKMRATSFAHNQAVSNLNGEDGYSIKGSIPTAIWWLVSLLFVVGFVAGIFILAAIHNPILLIVVVVIFAFVAALVTWNIYWGTKGVIGFVSRYPDTDLRTAKDGEYVKVTGRHAVDFYISDFQSGLRALVKTGFGARVTPYVDESVIVDINPDNKDMSPEFLRWLRGRNLSSDDRIMRLKEGYIKEGSTVSVMGIVQKNDNVLMIVPPAEPISTGCQWAKCMLPTSLDGLVLRCDTSDIDELFFLNGN >Et_4A_033440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22851925:22852731:-1 gene:Et_4A_033440 transcript:Et_4A_033440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEEENRILVAGLSWLTDERKLEEAFGRFGKVVGAQIMMERDARHHRGFGYVTFEDRAAVDSAIREMHGQKLDGRVISVNKA >Et_1B_013696.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:12895742:12896806:1 gene:Et_1B_013696 transcript:Et_1B_013696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSVPPAARTYTIGYAMLPNKHDTFIQPSFLDMAAKHGIRLVAVDASRPLVEQAPFDLVVHKLYDQPWRAQLEAFSALHPDVPVVDPPAAIERVLDRFTMLDVVAGLSVASAAASPSVGVPRQVIVHDAAALDEDDVDGVLGSLRFPLIAKPVGVDGSAASHDLCLVYRREGLRGLRAPVVLQEFVNHGGVLFKVYVVGDRAACVLRSSLPDVADERLRDAAADAAAPFANVSLLAPPAAGDGGVEMPPAEFVTRVARELRRALGLHLINFDLIRANDGKYYILDINYCPGYSKMPGFEAVFTEFFLEMLRARPVPDEQQPCLASGQGVDAPNKGIESISGPPKAELGQVQA >Et_10A_002054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20772779:20776946:-1 gene:Et_10A_002054 transcript:Et_10A_002054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAPPQPNGGKVTPNLAMDAEATRMLNLAVLQRLDPAVEDILITAAHVTLYDFDIDHNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNAAQEVNGIWFYNQKDCEAVASLFGRILNAYAKVPPKPKVASTKSEFEELEGIPTSAAIDAPLEPASTTALVSDAPDESLANYFNGAASIGSLSSAPMAGRAHPSTESTTSSHVPLAVPTAVPAPQISHPQGVSSAPPLPLHDANANVSHSTNLLTPAFFAPPSPSSTSAVSSITPTAPPLHPNPASAQRPPYGTPLLQPFPPPTPPASLTPAHNDGPVSSRDKVKDALQRLVQAGDVSYALSPGKKAFICAQSWEESS >Et_10B_002873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12917124:12922269:-1 gene:Et_10B_002873 transcript:Et_10B_002873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPNLLRFLKPFIEPVHIKKYAGKRVGIDAYSWLHKGAYSCSMELCVDPKSTSARRYISYFMHHINLLRHYKVIPVVVFDGCSMPCKAATDGERRRRRELSLTLAKEKLEQGNKAAAIDLFRKAVHITPTMAYQLIQILRSENVEFVVAPYEADAQLAYLATLDADQGGIDAVVTEDSDLIAYCCPAIIFKMDRFGNGEEFIMKRTLETDKDGLSFRNFDKKLFTGMCIFAGCDFLPSVSGIGTKRAYSLILKYKNINGRYRVPDDYANSFWRTLAVFNHARVYDVKSKSLTHLKPFDGQYLDYLDGHLDILGPELSPSVASGIAEGKLNPVTLEAFDPYSRTIRPMEFIDTSAFKVSNECGSLEIMSQNSCVTVLTSQESKENVTVGDISSTGQKGFLALGKFLLQKQSTPVESNEVGLKNVPENNPFKKRKLTTNQISSTRQKVLKKSANKSKTNVNENKGILKFFVSFN >Et_3B_027411.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:13517969:13520244:1 gene:Et_3B_027411 transcript:Et_3B_027411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAGAPLAVFLVASLKSAARVRCGEQLHALAAKSGLLACNVFVRNSLLACYSRAAPSGLASARQLFDETPPALRDAAARNTLLAALARAGQLDRAQRVLEEMDPGQRDAVSYTTVVTALARAGQASRAVGVFRGMLAEDVAPNEVTLAGVVTALARQEAPAPVGMAHGVALRRGLDGFIIVATNLVHAYAAASELRSARAVFDGIPDRNTVTWNAMLNGYVKAGMMDTAEELLWRIPERDMVSWSTIIDGYVSADLISNALKAYIHMIGEVGTNGNEARLVDLLKACSRHHAVTEGQQLHTVILKNGFDAHAFVQATLIHFYGFCGLIDHAKMQFRLSDKSHIASWNALLAGLLRKDLMHEARQLFDDMPERDIVSWSTLISGYVQIGRPNIALQLFFSMLSTNAEPNEITLAGALSAVADSGTLDQGRWIHDYITSRSIPLTDNLGAGLIDMYAKRGGITDAVHFFNYANDRFSLVSPWNAMICSLAIHGYAHMSLDLFSQLQRTNIKPNSITFIGVLNACCHAGMVAEGKHHFESMKREYGIQPTIKHYGCMVDLLGRAGYLEEAEQLITMMPMKPDVVIWGSVLAAARAQGNVLLGEKAAVELAKLDPSHGAAKVALSNLYAEAGRWTNVSVVRKELQDENFERITGNSGIVQD >Et_7A_050919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12392773:12394932:1 gene:Et_7A_050919 transcript:Et_7A_050919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPEMEKTATGALVARKGRLQQRYDNEYRLVAGCVPYRVGADGQAEVLMVSTPNRDDLVFPKGGWEDDEDVYEAASREAMEEAGVKGNIDRTALGLWVFRSKSSQADSDSPRGACKGYIFALEVTEELDQWPEQDTHGRQWVSPADAYRLCRYDWMREALSALLDRLPAICHCQPEATKEPELNERAGMYMMMKAAATPERAVALC >Et_6A_046508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16281164:16284718:-1 gene:Et_6A_046508 transcript:Et_6A_046508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLNCADTNSGVKIQQQQAPTSPTASVSESNIVVSSTDPDANDALAGLQALKFDGDIDEIQSPDLAMWESLFADQMGASGADFLMFSPRRDFMATGSPRRDFMVSSPKRDYMASSPKRDYMISSPKRDYMVSSPKREYMVTSPRREMVSSPRRSTFSNLYSTSTAGSHGNHQQGYLHGMQGAEGSGAFHQQFGNLANNGKGKSMSPLHKVYINNAHSNSGKSHGPSSLSCSSSYGHGENLSLPSMDPFLDDYKEGGYLGYQLPVKPGADNGAASATVTTVAPSSSQLPTLSECLAMPEPVYGEAVTAATMAAAGQLQMGGDLQHDMFYEAQFGEGLSLQHQMAKSDQWATDSSLHSMLGSVIQAEAEQDQDSGLQLVHLLLACADFVSKGDQPSALRHLHLLRRVASPLGDSMQRVASYFADALAARLTTLSSNPSSSSSSAAAASTPRGAAANAAAGVFPFPFPPSPETLKIYQILYQACPYIKFAHFTANQAIFEAFAGEDRVHVVDLDILQGYQWPAFLQALAARPGGPPTLRLTGVGHPAAAVRETGRHLASLAASLRVPFEFHAAVADRLERLRPAALQRRVGEALAVNAVNRLHRVPGAHLGPLLSMIRDQAPKIMTLVEQEAGHNGPYFLGRFLEALHYYSAIFDSLDATFPADSAPRMKVEQCLLAPEIRNVVACEGAERVARHERLDRWRRIMEGRGFEPVPLSPAAVGQSQVLLGLYGAGDGYRLNEDKGCLLLGWQDRAIIAASAWRC >Et_2B_019389.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26778434:26778637:-1 gene:Et_2B_019389 transcript:Et_2B_019389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSCFGSGGYRDADEPAYEQLRRSSRKVRPSDEDGLWYVGERDVDQKASEYIARFRAKTMFVEP >Et_1A_008920.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:19043597:19044610:1 gene:Et_1A_008920 transcript:Et_1A_008920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIAVEADECGGVLEAEVAAADDEARRMPAEVSWEMLDKSRFFLLGAALFSGVSAALYPAVVLKTHLQVAPPPQAAASTAAAAILRRDGPRGFYRGFGASLAGTVPARALYMAALETTKSAVGSAAVRLGLAEPAATAAASAAGGVSAAVAAQVVWTPVDVVSQRLMVQPPATAAGRRYRGGADAFRKILVADGVRGLYRGFGVSVLTYAPSSAAWWASYATAQRLLWRAAGPARHDSRGCAMAVQAASAAAAGGAAALVTMPLDTVKTRIQVMDSAGARATTSLGAAASALVREGGWAACYRGLGPRWASMSLSSATMVTTYEFLKRLSAKEGSL >Et_3A_026094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4244329:4248429:1 gene:Et_3A_026094 transcript:Et_3A_026094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSSSSSNLSDHLLQDELPWPSSSLPFAPALHSANHQWSQPLMLNSADELEVFLSSQGHHHSHQPASPVIHPQLSSLLMMQDLGFQWSNCSSADSTAMVLMNSHQDGHSKIKEEQRRKSFSSRSSCAVGTAIACHDIVDGGGAGQRPAMAGVDLDGSVLPSINISRSLQKLCPAPLPPLPGDAFEILASSRLCKSLLLGQASSVLLHNGMPLLRSEHVPYGPPPPEATVHPHGPSIDNYKMGVPPLLNGMGGGRHWSAENNAACHQKTAPSSPSPKKPRLESRSSTIVPSFKVRKEKLGDRIAALQQLVSPFGKTDTASVLMEAIGYIKFLQDQVETLSGPYLRSSRNKNPRTTQRGSSNANGEEETRLDLRSRGLCLVPLSCTSYVTNENGVWAPPNFSGN >Et_2B_019605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10936935:10948359:-1 gene:Et_2B_019605 transcript:Et_2B_019605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVSIPASARRMIQDIKEIAGGHADDEVYAVLRECDMDPNEATQRLLNRGTASLSTPPSFLRIEFAWLRFPVEQNRHVTRVVGRSGSWVGEEKMRHGLCFFQTPSGLCPFYVSDGYDTCIMVCLESLPRTFHEVKRKRDKKKESRKESADPRWRPGVQGRDIKGGQGTHSSRQLSNSNDLSGKKALPGKEIGVNQKMDKGNIIFVNRNMEFKSSASSSSLSGGLSNGPSQHVAPIEKKYPPVGYLLTSDSKQAADLEGTKDVKTSALEVSQSIQPLPSESIPEVDPISCPSGEARGHDEICSTKNDSEGQQAAAVLEVRIPKSTSKPTTAEDLTYKDVPITVGTVTLSIPVPNSAHNKDLSLEVDKRLTEMQLSDKQHVIIPDHLQVTESEKYGLSFGSFGNSFERTAPKGTESEKSSKPPEGDSSHELHELVHEPAISCQGVSSVINMEAHKGVQQLSVNDSSPQRAYGSSETLEVAGSDLAKDSSETSHVHQDSAAQTTTSYSTSALAPQNHSSQTQLLETSESQVHEANDFSGSYHTQLYRPIANVDAHLSPFAAPEAPMKYGNIPVSHAQTSEAQEGINSFVVPSTGSSTLVPAASGVVPSSMAIPQAPVPFFRQPVGVPHYAPSFIPYNHYISPLYYPPHTLNHFMGNAAVFPQPPSTGSMYSPVSAAGAPPVKYSSSLYKPDDNTGNQTHVGVPGAYAVYGSSPSVYTNNTVVTNAASVETDDAIGSQFKENNGFVAAQQNDGSTVWIPAPAPGHDVSLLQPTSFYGMPTQGQPLAFAPAQAGHGVFRGMYHPAQTLAGPAYRPLQSSQTTAGVVEMSGPPASGYQQSQQAQEDDEEQSRAQVLSPPSSCVATHMTSSRPLDSRHAYDKPVEAI >Et_6A_047829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1895846:1896389:-1 gene:Et_6A_047829 transcript:Et_6A_047829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPMESILHLGFRFTPTPKDVITYYLPRLLAGEPMHPAVRAFIHDTNIYACAPGVHAAQGRPLLLHHRPAAEEEQQGRERKSEVVKVGEVTKLRYKFKNGKYADWLMVNGDKERVFCRIYVSPNAGADSAARRESAAFAEQLAAPPPDEPVVMIAHALPAARNSR >Et_3A_027224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5135589:5136965:-1 gene:Et_3A_027224 transcript:Et_3A_027224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAWHPQTRSYDKSPTPLKTTWTEVEDKSNWFEQPNTLLAMEGVLDSLSVPRAFKQYGFAEMISYAEKNDHVASCANPPCHCPIAGCATMSRLTTRRCGVLSGQAKGVERLAKEYKPSAFLFVPDAIWDAALEDIPAYIELN >Et_4A_035866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7528417:7532258:-1 gene:Et_4A_035866 transcript:Et_4A_035866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTLTLEVEGGDTVATVKAKIQDKEGIAADQQLLIFAGTQLEDERTLADYNIQKESTLQLELRLLGGRRGGYVCRRVEPNLCALAYKYNIDKMICRKCYARLPPKAKNCRKKKCGHSNQTSSPLAFQFQHSCEHASRASAVLALQQLVFAGTKA >Et_3B_031572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5150814:5152213:1 gene:Et_3B_031572 transcript:Et_3B_031572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFVDHVVGVATLISCYGNLSNNWRYSKARHCKVQTAQSLVNFRRSEEHPLPKKPRIAMALRRALGWSEGEVMRPESKPCSRLMRHTAGIFSVGGGLAFWVLCRLHYGPRITVPRSLRWASCGAVSMSATSALLVRLFSPECEPQNIAAYDKPEFKPA >Et_3A_027349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8423306:8427307:1 gene:Et_3A_027349 transcript:Et_3A_027349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSQRYTQLLSSHPYHSSISSAPSFALDKTDGGAVAASLPSSRQHDDDDVLGALASPRLTELPRSAVSASDRFFVTPARTASLVDAAGEALRGALLVETYSSDPRAEFLESMAEMAASCGAEGMTSPEYGEFMEELLACYLERNDRDVHRHVLAAFADLTARRRPTKRMRSLRGLMKINPFILRVCDNPCTIIFRFILRVCNRCTIIFRARESSLRLLGDGSNFTKRFEY >Et_10A_001689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6934054:6936619:1 gene:Et_10A_001689 transcript:Et_10A_001689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRVLWASRAAAYLRISTVPRAFSTGIHDSLQDHLGDVVYVELPKVGSSVSQGNNFGAVESVKATSDINSPVSGEVVEVNASTYDKEWIIKVKLSDSGELNSLMDDKKYSKFCEEEDRHTFHLAVADGNISSNGIIEAQDQDQFWNSDYKLSAIVLARQKHSKVLRLPVKP >Et_9A_063481.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:447519:448394:1 gene:Et_9A_063481 transcript:Et_9A_063481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEHAYVMQSRSGELIAAVDDVPVNGHHKPGKAVTASVYRAKIAGHSRVVTVSWSRDLLSHAFSVSISGASAECRVELRPWQFWRRAGSRRVELGGGGATVRVLWDLRRARFGGAGVAEPRSGYFVAVEAGGEVVLVQGDMRRDALREAVPVARREHVFGRRRFAAKALRFHEHGAAHDVAIECVQGNGDVEMSIAIDGEEAVQVKHLQWKFRGNQSVTFSRAKVEVYWDVHDWLFSAGTRPALFIFRPIVLSSASAPAGTMSTMLAADATLSSSTATGSFCLYLYAWKLD >Et_5A_041648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25468121:25470070:1 gene:Et_5A_041648 transcript:Et_5A_041648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGACNLLVVAAAVLLLAPHGAWAQPSSSSPAPSSGSGGSCMTEMLSLASCLGYMSGNASKPSSSCCSSLSAVVASNPRCLCMVLGGGASSLGVTINNTRALQLPAACDVKTPPPSQCKSVGVPVAAPATPSTTTPPANPATPSVPTAAPAGTGTKATQTAQSSGAQSTGKAASSILPVAAAILSAAFALVQA >Et_9B_063690.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19445601:19449326:1 gene:Et_9B_063690 transcript:Et_9B_063690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGGAALQRHAAVAVLRAAAAAGELSKGKALHARLVVSAHFDIVLHNHLIAFYAKCGRLGLARKVFDAMPSRNSVSGNLLMSGYTSSGRHRDALALLRVMDFGMNEYILSAAVSATAHVRSYNMGRQCHGYAVKAGLADQHYVSNAMLHMYCLCAHMEDAMKIFENGSGFNAFAFNSMINGFLDRGKLDGSVAIVRSMAREVEQWDHVSYVAVLGHCASMTDLVLGGQVHAQALKRRLELNVYVGSALVDVYGKCDRAHDAHYVFEVLPEKNIVSWTAVMNAYNQTELFEDALQLFLDMEMEGVRPNELTYAVALNSCAGLAALRNGNALGSCALKTGYWSHLPVGNALMNMYSKSGSIKDAWKVFISMPHHDVVSWNLIITGYAHHGLAKEAMEAFHCMLSAEEIPSYVTFIGVLSACAQLGLVDEGFYYLNTMMKEVGVIPGKEHYTCMVGLLCRAERLDEAEQLILSSFIGSDVVAWRSLLISCQVHKNYGLGHRVAEQILQLKPNDVGTYVLLSNMYAKANRWDGVVKVRKLMRNMGVRKEPGVSWIQVGSEVHVFRAEDKVHPQIDQITEKLEELIDQIKAIGYIPNFDVVLHDIEDEQKEDHLMYHSEKLAVAFGLIHTPKGGTIRIMKNLRICDDCHVAIKLISVVTGRTIIIRDAVRFHCIEGGVCSCDDYW >Et_2A_016182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21802769:21805147:-1 gene:Et_2A_016182 transcript:Et_2A_016182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFCAPAAGSPPDETTPEPFRSLQIATTTAAMAGLAAAAATKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICGQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPSCLHHDPAHALGDLVGIKKHFRRKHSGHRQWACARCSKAYAVHSDYKAHLKTCGTRGHSCDCGRVFSRLRVRRCTRARPARARTASDPRRPTSARRKFIASRRAYNKASSLLGSWPFVPLSSSENVLSTTDRWSNLFVRVRVESFIEHQDTCNAGRTRPDLSPAAAGSLQQAPAPAAASLSRTASSTSPSSDIVISPAAACWPAGPAMPSPTAAAFHRFDQVPSPQTSPTERRGGGAHNLELQLMPPSSGSGNSAGRAAPGTPAYCGSPQLSPAFSSRGNDAAAMMHLGLSIGGISGGGEFELGGEVALAAAARASEEAAREQLLQAMAEKASADEARAQAKRHAELAEQELASAKRMRRQAQVELSRAHAVREHAVRQVNATLLQITCLSCRHKFRVRPPAAVSSAAEMVCSYMSSVVTDAEEVDEHHDADGGRRRQAAKLMDVL >Et_8A_056837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17696184:17700701:-1 gene:Et_8A_056837 transcript:Et_8A_056837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVENEEVSEEWSSSPRAYNKWATDALAHQFQSFHGIPVPSHKQMPNQYFILMRVKIIHGRQRILVDEVKQRLEDERLHIFNYYAPFSLVAYRSVELRLEYRRPHCKHNFMGAENFVFDLQRDILPILRLQQFPKIIQNSRGRHFNLVLLDNSDIALDLKAVVPEIP >Et_10B_002486.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:10933971:10934237:1 gene:Et_10B_002486 transcript:Et_10B_002486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISCIVLETDSSNLLTALKSDAYDLAPGGWLFREARVLLSEDFVVQAICHFNRECNSCSHELARFSLNGDPDQPHVWLDPLPGSQSC >Et_8A_056764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16432513:16435783:-1 gene:Et_8A_056764 transcript:Et_8A_056764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGEADSVPAETAAEESRDWSEMTPVCLAEAFSRLALEDLWRGAMACCRSWRDAARSRPGLFSALDLESGFESAVGGDASACWTPAFQRRVDAMLRAAADLAAGELRVVRVRHCSDDALVFVAERSKNLSILSIKTSPGVTDRSMLTVGACCPMLTELDISNCYEISYKSLEVIGQKCQNLTVLKRNIFNWIDPSEHAGIVPEDYLRECPQDGDREAIAISKFMPKLKHLELRFSKLTGVGLNSIPGGCKELVVLDLFGCANLTSRGIDQAAVNLKNLKKLVKPNIYIPRSSFHMGRYGHWQLYDESENYRSQEKLDSVWTGHWQKMETAVG >Et_1B_010704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13232205:13238079:1 gene:Et_1B_010704 transcript:Et_1B_010704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAQTPERRKGRSPRPAGVRVGRSPPSRRGGSGSGSGARGARSSVRPAPALGLSRSRPRKRKALARSASEPALWLGARVHAAPDGIEPSTSPPSPPPPPLERPHTCFDVFAPDSPFGRSPSAAFLSKLNTSEEAKVLVSVTVEGSVGPVKAMVRLGASVGEAIAAVVERYAREGRSPRLDPAAAEGFQLHHSHFCLESLNKNDKIGDVGGRNFYLHKNDGTNGLYLQSEEPHANSSGSEIALINGGQPSGVPYHYQVLTIVIKKLDKIGRRTKRIWRIITCNNYHDPAIIGP >Et_1B_012774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34987569:34991166:1 gene:Et_1B_012774 transcript:Et_1B_012774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAAVPEAPALDNPPLREAAVDEETRALIVPDAADLPAFPPSAVEANFARFFYPDFLSPGHDQYVYRHPNGLCVVGLAPSHVAFKEEGGITAVDFNVGKSDRSGMKVTGKRKRNAQHLQENSALCKVCVNDKSFIVRCCVKGSLLEINDRLIKQPDLLNTSADREGYIAIFQPKPADWLKIKDNFLSYEDYKILKGAVDYCGHYPFIH >Et_1B_012657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34114415:34116545:-1 gene:Et_1B_012657 transcript:Et_1B_012657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRSADSLRSKRCSVNSRRTLSTSARSSGVPSSGSNSHTARPTKPFVDRNHGPPPSATFSFHMLQICSANAGRQEEELAPAIPKLFQYIFVRLTPLGWTCKFHACGSLTHACPYQLQGTG >Et_4B_038396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28743033:28744870:-1 gene:Et_4B_038396 transcript:Et_4B_038396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AFLDFSYESKHGVIQSSSVTAEYRVLVTEKPHAYIILKPFECGERPCRTEHLAGSLVSEVLVPNQHLNLSNVIVTATETQLGALLRTLRSVHASLTAAGLGVSVSPELSSSSLRNLAKNRVGKRQWGKVMEFVRRSGSFVQVEMEAEVNDELAIAAAMQAAVADVTALSGADAGVVLRLKSRAANPPPSAAEMAKLVREISREKRILGVLVDASSPPPRRELAEARATKRDDVTNPVTVPATNPTTSPLSPGIVTVPSTNPPGMGFASNPNLPPLYPQPTPTSPVTMPDPTTTMPSPTVPDMNPVTAPATMPGTVTNPATTPSSPVTSPATTYPSPQQGGGGGVGVGAGGGGMPTTPVYQPPATMPGTVQQTWCVAKTGLSDMVMQNGIDYACGIGGADCSAIQPMGSCYNPNTVQAHASYAFNSYFQRNPSPASCDFGGAGMLVNVNPSSGTCMYQTSAGFSAGSSPGVTGTVPAGYIPGVTGTGPAGTVTGTPFSGGGGTGSTVLNANNPGGNSMYGGSDPTSLTSGSASLSCGWVLCLIWMITFAFVKEKV >Et_3B_030481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4099488:4100366:1 gene:Et_3B_030481 transcript:Et_3B_030481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDIGLWPGRLVLHAFVISGSKNWSVRFLCDRKLEPQSNCLPLAAAFHFADEWKSRFFNSQQRKSSVTSGMDEETRDSLRLLP >Et_2B_019222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18308477:18309001:-1 gene:Et_2B_019222 transcript:Et_2B_019222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGSNICLQKTLYEILSVTEDATYDEIRAAYKSAALNTHPDKAQTTVEPSVPSNEQQEFLSVQKAWEILRHLNSRMEYDKQLQSSRCGDYFSITSCELSEMGVLITKDGDVELQRSDSVSASIVLGCGSCSLKTRLVVNNAS >Et_8A_058310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4765359:4770673:1 gene:Et_8A_058310 transcript:Et_8A_058310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVFVYSVKSSLGAKDVPNPRFMEESDMQEQLKKLQEELTREKQEKMRAIDEVEDLKKNKSRTKKLKSYGSQDQLDLADRLQQLEGELDAARDSERKLLMSLEAQTKQLEQTKVSLEEAKLEITSLQDSKKSLEASTTQSSDPSREPVKNLRRRGVMSFSFANPGEVETWSLQRELKLAVESEEKCKRAMDDLAIALKEQTTEARDAKTKLSSVQAELDNARTEVENLKGSLAIAEEKLKLAVEEAGRLKVESDELTAASKEKERGLIDCIKMFEGELTRGKEENDKLIESQKGIRDENSKLREMLKHAVGEANVARESLEIARVENSRLNDHISEKESALQSMMQEYESLKVSEAAAQSSIQELKDMVDAMFSSESTKTSVEASPRDIKRGVIPERSVAEDDIADYLRKSDAKPHIELEQGNDVLDRDPIKQPPGTLHIPLLAVPSDHRGAGHNILLWHRLKQLLCLDEHPVLNIPTNHRRPRHKAPLGHCVKHLPRPRHLPAPREPVHHGCERHDVPLRHFSEHPLRVLHAAEPDEPREHGVPRGHVAPRGAREVGGRGVQVPVREAPGDDRIPGDDVAGGHVDEEAARGGQVAPALPERGEERVPGDDVALRHGVENAPRRGHIARAAVGADSLVFAEEVPRGGDGGAVERVHRNSMGRAGSLLRLASPLAVR >Et_4B_039041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7249428:7255235:-1 gene:Et_4B_039041 transcript:Et_4B_039041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRREPRRRTPHHLRARPIWRARRSNVRPAPTTQPPGHHRDNTAPPARPNPATRPTSPACPPDPAAASHLCSLPPRPRLSALTPAGASPRGVQSPPPSPNRPPRQQLLQIPNLSGAGMNRIPSVSLRGGADPDAAVAPPPDPAALARWARAFCVIRFDLERGQLVEACYPSDALSHGGLDRLVAFSSFPDSMSHHLPRHRSSVHDSLFSFRVPDPSSPRRAFLYGFVFNRQRQDERLPRGGEQKSVVILSHAPYSSLFRLLLQILGPLCFDLGPSALAMVASHVAAWPPPAPGRPMELPIGSAALRVHLPPAADDPGPPPALLPANPSVPYGLFHDADLFAAFRGLLLHLWTLWELMVVGEPVLVVAPSPAQCSEAVTALVSLVAPLLYSVDFRPYFTIHDPDFARLNALAEGEVFPPMVLGVTNLFFLKSLKSIANVVSVGSPNPNSTRVLPVGGQSPGNGANGTPGKLKLDKLAINKFSPTSLFTSIKLRREGPLSLMTEHKEAMWSTYAPTTKPDTSVLNRLIDAGVSPRIEESMSVVNNEILRRHFLELTTNFLAPFGPYLRTTTPSEGSSPFVDPPLLPPFHADEFVNGLAARGPGKFLSKRMRSNWLDLYRRFLEGPNFMPWFRQRRAAAEQEQQKLWRHARMNVEIEKLIAKMSELERIDSFNAIERYLLREMENSGTGSADSVATCQKLKRDLQAAFGVLPKDMQQLLLSNPKRAVLLQSSEEKGPGLNGIVTETRHRGLAQRAGTRTAATAAKEAKLQLKRGLLLDVVVRQGALVLQLRPREDEELLVGGDT >Et_7A_050250.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15482843:15483166:-1 gene:Et_7A_050250 transcript:Et_7A_050250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAGVLTSLAPNVWAYAALRFVSGFARSMVGTCTLVLSTEVVGRKWRDAVSVAAFFCATFGFLSLPLLGYVFRAASWRNMYLWTSVPCLFYAVMLYFVAQESPRWLL >Et_3A_026737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17948750:17951037:-1 gene:Et_3A_026737 transcript:Et_3A_026737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSPLNTERNHLILLSPKQQQQSPRDGLRSPRVSCKTTTSPSPRSRTTATRKMARGGGNLLERSLSFKNWEAAQDDEPAAPPRPSSGGINGARPGTLALDQPQPSPRSTAAAAPAAAAVSPAQKAVLEYFSPRPLAELDEAATRLQKVFKGHRTRRSLADCAIVVEELWWKAYDSASLNIKSISFFDVEKQETAASRWSRAGKRIAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHLYYDIWSASSSTEPFFYWLDIGAGREVHHTKCPRSKLYSQLIMYLGPVERAAYEVVVEEGRLVYKETTTAAGRLVAKEGLLKAIWPYSGHYLPTEENFREFISFLEENNVDLANVKRCSVDDDEFPSFKKKTEEAEVPAEASQDNEAANDTQVELPEVDIVKEEVVADAAADKAAEPEKTMMMASRPSFKWMTPTGARIGCLRDYPADLQSMALEQVNLSPRVVPSPSGNRLPIPSPRPSPRIRLSPRLHYMGLPTPTGVRLPIPSPAPARRSPGQQQFAGFHTPAVELTLPKHKGK >Et_1B_012142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29331286:29344343:-1 gene:Et_1B_012142 transcript:Et_1B_012142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPATSPASEVPFRITFTGHSGHLRLDPTPQPPSPIPDFVLPPAYPQGTPRTVKEYLEANYLNPELHLPTAADGARVWDVDWFDLARTPLEPSAPRTMLAPAWEPPFRRGRRPSQPASESQVWDPESVQLEMSEVFDSGNGGMPSRLPGPAKDFVRGSMNSRPFRPGGLQDDAAEAAALEKAFPEGARNGDWVRELMGGGPAQVTPPGFRKGLELGQLKQYTSHWKCFRDGELVEEQLASSSNDTMEKYAVQFDDLFKIAWDEDADKKASQEGEVQQSAENEASNEVDEQKADALLDASETINAEKQEVDITRDASESQTDLDQMLSSEVEDTRRKSGGSGDDKPAQDGKVWALVGGDEDIMTNFYKLVPDMAIEYPFELDKFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALATKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSIRPEATCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDAERGVVWEEVIIMLPKHINIVLLSATVPNTVEFADWIGRTKKKKIRVTSTNKRPVPLEHCLFYSGETFKICERDTFLTQGFREAKDAFKRKNSNKLGGKPGPKPGPGAPPVRAGAQGRNQDTSSRGKDQKYPKHHNSNSGAAAVQQSSSGPRRSESSVWMPLVNNLLKKSLVPVVIFCFSKNRCDRAAESMFGADLTSSSEKSEIRVFCDKAFSRLKGSDRNLPQVVGIQSLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDSLRKFDGKEHRKLLPGEYIQMAGRAGRRGLDSIGTVIVMCRDDIPEESDLKNLIVGKPTRLESQFRLTYTMILHLLRVEELKVEDMLKRSFAEFHAQKNLPEKEKLLLQMLRQPTKTIECIKGEPSIEEYYEMALEAEAHRESITEAIMQLPSSQQFLTPGRLVVVKSESDDDHLLGVILKNPSAALKRYIVLVLTGDCTSSALTSDMSNQSEKEPGDFQQGRFIIPKGKRCMDDEYFSSVSTRKSSGAINIKLPYKGSASGMGFEARAIESKEIISICTSKIKIDQVRLLEDPSPTAYAKTVQLLIKEQPDGTKYPPALDAVKDLKLRNVDLVESYYAYHKLLQKMSENKCHGCIKLKEHISLMKEQKMYKDQLNELKYQMSDEALQQMPEFQGRIDVLKVLHYIDSDLVVQLKGRVACEMNSGEELISTECLFENQLDELEPEEAVAIMSAFVFQQRNASEPSLTPKLSEAKKRLYDTAIRLGKLQAEFKIPVDPEEYARDNLKFGLVEVVYEWAKGTPFADICELTDVSEGLIVRTIVRLDETCREFRNAASIMGNSALYKKMEIASNAIKRDIVFAASLYVTGI >Et_7B_053423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18219770:18221368:-1 gene:Et_7B_053423 transcript:Et_7B_053423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAHLAFYEVCFDDGQCPSTKQLVAMERGAFADGVDVVSISAGDDTQKPFYQDLTAVGSFSAVMSGVFVSTSAGNGGPDVATVVNCAPWVLTVAASTMDRDPRPAVAEYSSRGPCNMSSLGVLKPDITGPGTSIVAAIPSSVPPRNASARTRTFGMFSGTSMSAPHLSGIAAVLKRARPEWDGSPIADDTTGLPASHFLMGSGLVNPTKALDPGLIYDLSPADYVSFVCGLGYDDNYVNEIIAQPMQNVSCSTVSKITGRDLNYPSFLVTLTAAAPEVEVRRTVTNVGEAASVYTAEVVAPNGVAVDVVPNRLQFGSVNQRVEYRTISGGTQPELEERRSVEGSLRWVSDKHSVRSPIVVLDGTLSLA >Et_2A_017884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7412687:7413266:-1 gene:Et_2A_017884 transcript:Et_2A_017884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGRGSASGALAVAVLLCAAALLASAPAAEAGTTYIVGDGAGWTRHLESWWLAGKTFRAGDVLVFNYDKEKHDVAWVSKGGYKRCIVSPRDRSPVFTTGRDSVTLPRGTHYFICAMPGHCAAGMKLAVTAY >Et_9B_065654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8867881:8875376:1 gene:Et_9B_065654 transcript:Et_9B_065654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVLGLAKTTVEGTVTMARSAMEEEDKLKKSVQRDLLVISDEFEMMHSFLHDAKDHVANNVTRTLVRQVRNTALDLEDCIETIVHLDNKPHWWRRTVPPCFMPPPPPGKDLDAVVATVEQLKARVDAMTLRNLRYNRNGDCCHKPAEHQHALATGVATVAAKQKEEEAVGNKKEESGNEAKVEQPNKEVQELRVISILGTGSDQDVMFIKKAYDDSVTRNNFKCRAWVKLVHPFNPIEFIRGLLAQIRNNACPEEGNTKKVLEVMVAPDHALIEMFETQVSHKYLVVLEDLSTMVDWEAVKGLLPDKKNGSCIVVHTQRQGVAYSCVGHQYPVTEWEMLLADHSVHVLFKEDVVDKVNDERVAKKREKVIDEDTVKDNKSNYEDWKKEHPLFGRGEDLRWLLSARGPGCIVSVWGLPGVGKSFLIQHFCQKVQKDETPGHKKNYWVDVPRPFVINDRRKRLLKIIGMINSVPVNPPEGHKPTIKDLYSRRDNSFFIVVIDGLQSTEEWDSIRSIFQKKTTTEKSNTGFYIQDNIFIIIITNEEKVAIHCATAKHLVWNVKSLEADHAIELFDQLIGERRGAEQERHRIEQRNILVPKCGGFPKVICAVAESWWMFWDIEVKDNLVSNLQANARLTKHSLQSMFSWLLSFFGSCPDSLKPCIFYLTIFPANHTIRRRRLLRRWIVEGYTWDKQITAEETASEFFLRLGKLDMIQPPREPRYPPSIGMPLCQANGFLREYVHSWSMEENFVFELEGDCKNLQRDPGTNQHYIKGMSMGCIVQHLTMLESWDRDRNVFESIDFSRLRSLTVFGRWEPFLICDKMGRLRVLDLEDVSSGLTNGDVERMVKQLPRLKFLSLRGCREITHLPSFLGDLKQLQTLDIRETSVMSLPNSITKLEKLQYIRAGAAVILDNNNTAATFGSLPPLGAAAANPTTSQTPTMRTPRASPGSCLSKFGIHRLLDDGPHNGINVPRGIRKLSGLHTLGVVNVDSAAGGKDILDELKNLTLLHKLGVCGINRSNLKLISAISRLAHLESLSLQFQSKQDHEAAITVSPMVKLRSLKLYGLLDRLPIWFMQMSSQLRQLEKVDLEMRTLPQHELDSVLTLPSLRIFRLRLAEFQDGELRFGWALVDRYGWWIIEVLEVSCNSRLQAIRVGSKIDIEILKIRCSNVSSSLQFSGLRSIKSLKEVWLSGSYDDAFKKHLKDELDKSENKVKPILKP >Et_8A_056721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15889857:15898686:1 gene:Et_8A_056721 transcript:Et_8A_056721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLWSSASSTSSPTPADRDRDREQPQEPRSPTPPPPPQPPLVGALIESLSFRSCGFGRAAASAFEKEDLRSRAAFPSRLRAAVQAAMRARDPAAGAFAFDGDGDGGGAGGGADATNPWFDAVAHDDAPESPLVAFVNPRSGGRLGPVLKARLQELIGEDQAGLVTSGRLRRSAELHDALIRALSRSARPHLALPLYAHLLRSGLLPTPHTLPSLLKSVALSPGSAAAGALALAVHAHAVKLGLDRFLLVSNALIRVHATLLGRLADGLRLLRTAAAVDAASFNTLVTAHARAGRVADARALFDEMPARNAVSWSAMVNGYVQAGDGREALAVFAGMQAEGVLPDDTVLVGVLAACAQQGALEQGKWVHGYLRTNGFRITVFLGTALVDMYTKCGEVQLALEVFERMKEKNVLAWTTMIKGLAMHGRGLEALALFSQMESSGVKPDDIAFIGALCACTHAGLVDRGRELFNSMVRKYGIKPKIEHYGCMVDLLARNGLLNEARDMIEKMPMEPDALIWGALMAGCRFHKCVELAEYVAKHWIVLEPDKSGAYVLLANIYAASGRHASARNIRHQMREKGVDKTPGCSTVEIKGVIHQFIVGDLSHPRIKDIMAKWHEIDSRIRLEEGYIPDKKEVLLDIEEEEKESALSRHSEKLAIAFALISTDDNMPIRIVKNLRVCHDCHHVTKLISKVFDLTVVKPSDFVEYGLACLEQLAESGDHSARSVRHNLRVMVAGGDGTVGWVLGCLGDLYVQNREPVPPVAVIPLGTGNDLSRSFGWGASFSFSWKAAAKRSLYKAIFGSVSCLDSWHVVVSMPEDGEEEEEELDLPHSLRHLGECTFYDDGTAEGELPETVSCFDGVFYNYFSIGMDAQVAYGFHHLRDEKPFLASGPLSNKLIYAGYTCKQGWFFTQCISDPELRGLRNIIRLAIKRMDSSDVRAIVALNLHNYASGRNPWGNLKPEYLEKRGFVEAQSDDGLLEIFGLKQGWHASLVMVELVSAKHIAQAAAVRIEIKGGQWRDAYMQMDGEPWKQPLSSEYSTFVDIKKVPYPSLIINGGDR >Et_6B_048924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15594778:15603892:1 gene:Et_6B_048924 transcript:Et_6B_048924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHGHDFFVLAQGHGNYDPAKDKRRYNLVDPLLKNTVQVPRLGWAAIRFVADKPGAWFMHCHFEFHIAMGMATVFEVANGPTLEDTLPPPPSDLPKKSSRSLACGDSEYGRIRSWDRSTKILPIVASLLFYYAAMALSRAGGSQAVVVKHTFVVKQVYMRHLCNDTLVTVVNGQFPGPPVEATEGDTVVVEVVNESPFGLTIHWHGVKQRLNCWNDGAGMITQCPIQPNTNFTYRFNVDGQVGTLWWHSHVSILRATLHGIIVIRPKSGAYPFQKPHMDVPIIIGEWWQRDLMKVDKNFSNGGSFSDNPAAATINGKLGDLYNCSGVAEANFVMDVEHGKTYMLRLVNAALFSEYYFKVAGHKFTVVGADANYVRPYTTDVVAVAPGETIDILMVADAPPCRYYMVALANQPPAPDPQIPVFMSRGIVQYKNIADKCRNHEPLMPEMPGQHDTLTTFYFHGNLSGLPNHPLLPQIQDHVDEHLFISLGKGSICKGNKPSCKRGGNDESIEVAYMNNVSFRLPEMMSLLEGRRYGKMNMLVQDLPSRPPRAFNFTDPALIPVVPGGQLEKLEPTRKATTMRRFAHNAVVEVVFQSTATMQSDSNPMHLHGHDFFVLAQGHGNYNPSKDVSRYNLVDPLLKNTVQVPRLGWAAIRFVADNPGAWFMHCHFEFHIAMGMATVFEVANGIMLEDTLPPPPSDLPKCTNKN >Et_5B_043910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17590205:17595592:-1 gene:Et_5B_043910 transcript:Et_5B_043910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGFINPTASARGYGGRVTFSLVVTCLMAASCGLIFGYDNGISGGVTQMESFLSKFFPEVLTATKNTKRSIYCKYDNQWLTTFTSSLFLTAALSSLVASRVTRMVGRQRIMLFGGAMFLTGAILNGAAINIAMLIIGRMLLGFGVGFTFQSAPVYLSETAPAKWRGAFASSFSFFLVLGGLCASITNYFADRIPSWGWRISLGVAAAPGAILVVGALFVSDTPSSLVLRGHPDQARAALQRIRGADADVDSEFKDIVCAVEEAKQNEKGAFRRLLSKQYRHNLIVGVAIPLFYELTGMTVVAVFLPVLFRTVGFNSQKAILGSVISSTVALFSTLLGSLIMDYTGRRFLLISGALGMMSCEVAIAWVMAAHMGRHNAVSMPRYYATGVLVLILVAMFFFGVSWSNVRWPLLSEVYPVEVRSAGQAISVSVWLCLTFAELQAFIKMLCAIKYGVFLVHAGCLLVATIFVTLFLPETNGVPLEVMRSVWTDHWFWRRFVKDDKMKNHTATKRNRERGESRTAAASSAAEACPPPGEPVATSARGAQIEGAGAGAVPSPALACTGQGRTQPGHRKAAKEARRLGPW >Et_8B_060501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18609435:18618151:-1 gene:Et_8B_060501 transcript:Et_8B_060501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLQSEAATVLENNPSKSANAKEQILSSTENMSASNARAATSLKLPKGATEKASSVGKGGEQPFLYQHNVYAPQPQALYPGGYMNPSGQWEEYPHYVNMEGLHSVSPGLYNDNQSLMLSPGYANNPQMMYGAYSPVSTVGDGQQYYPVHFPFSSPYYQPPASPSMGYSNSATGISQGDTMLQQEYFLPDGILYSPTPGYHHPFSSYDRGSTQPNNAPGLFGQGNVPLASGMHHGYGPGSYKTRQQGSKYGGNTPSWNSAGRRFGTFDFSANQQRGSMPFASHNGSLEFMNEQNRGPRATKPKTQDTENSGDEKSEKTAPLIDSELYNRPDFVTDYKDAKFFVIKSYTEDHVHRSIKYSVWASTASGNRKLDSAYRAAKEKEEHCPVFLFFSVNGSGQFCGVAEMTGPVDFDKSVDYWQQDKWNGQFPVKWHIIKDVPNNLLRHITLENNENKPVTNSRDTQEVKLEQGLQMLTIFKSHEAETTILEDFDFYEQREKALQENRRQQQPAGPEPHKPADTKATGLIDHISDAFAQGVQLKDTKSSGNKTKPEGASAENGSTAAIKVEEGNANSNAGPVPLFLDSASVHSHWLGDAAAESGLADSVHDLKLYLFQHPDNLGERRSHFWVCIPAPGHDLTEGREAVMGYGWTDTLVHHSKCSLHRGHNDSLFGEREKIKGERCHALSLYFNPSHSKVSNLNRLIARYQEVV >Et_6B_049493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5739622:5742637:1 gene:Et_6B_049493 transcript:Et_6B_049493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQILACLPLIILLTLSQNSTCQIDRQTQALLQFKDSLKDPLNHLHSWTNATSPCRFFGVRCDKDSPVVTEISLSNMNLSGEISPSIATLHGLTRLEVDSNSLSGPVPPELGRCTQLRFLNLSCNSLTGELPDLSSLTALDTLDVANNYFSGRFPAWVGNLSGLVTLSVGINNYDEGETPASIGNLKNLTYLYLAGCSLTGTIPDSIFELTALETLDMSMNNLAGRIPGAIGNLRNLWKIELYKNNLSGDLPPELGKLTGLREIDVSRNQLSGGIPPAMAALKGFTVIQLYHNNLSGPIPEAWGELRSLTSFSVYENRFSGEFPANFGRFSPLNSVDISENAFTGPFPRYLCQGKNLQFLLALQNGFYGEFPGEYSACTSLQRFRINKNQFTGSLPEGLWGLPEVTIIDVSDNGFTGSMSPVIGEAQNLNQLWVQNNMLRGTIPPEVGRLGQLQKLYLSNNSFSGSIPAEIGSLSQLTALHLDNNDLTGTLPADIGGCIRLVEIDVSRNGLTGTIPASLSLLSSLNSLNLSSNAFNGQIPTSLQALKLSSVDFSSNQLTGTVPPGLLVTTGDEAFARNPGLCVDGRSELGGVCKVDGGRRDGLARRSLVLVPVLVSATLLLVAGIVFVSYRSFKLEELRKRDLERGDGGGQWKLESFHPLELDADKICGVGEENLIGSGGTGRVYRLDLKGGGGHGGAGGGAAVAVKRLWKGNAERVMAAEMAVLGKVRHRNILKLHACLSRGDLNFIVYEFMPRGNLHQALRRDAAKGRPEMDWPRRARVALGAAKGLMYLHHDCTPAVIHRDIKSTNILLDEDYEAKIADFGIAKIAVDSSVSEFSCFAGTHGYLAPELAYSLKVTEKTDVYSFGVVLLELITGRSPIDPHFGDGRDIVSWLSTKLASESLDDVLDPRVATSASVRDEMLRLLKIAVLCTTKLPAGRPTMRDVVKMLTDAGAGPCSPRGLPPSRVCSNKSSC >Et_5A_040195.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:21199553:21199942:-1 gene:Et_5A_040195 transcript:Et_5A_040195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVPLPPGVCSRMKLETAFPGMPGWSADTRGAHANSPTGCRTGHDGRIKRRALMSASVAPWSSPSGFSSATGDTTLAMLQQAAASRCGGAHGAVAAGETKKRRGGRIKMAKEYILNQPTLKRANFEDH >Et_10B_002779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11018207:11019417:1 gene:Et_10B_002779 transcript:Et_10B_002779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRHRQRQRRHDGDRISCLPDDVLHRILLCLGSVPAAARTTVLSRRWRRVWAHLPELVLCDNGLVRNRSLLNVVDGVLGGNSAPTLRVLGISMFSLSCRVPAARVARWLRFASQRVAGDIYLCLPWRPEPVNQGQAVEENLELPLCVRATAIQLSLGHGFRQLRLPLIGTFSDLRILRIQQAKIDIRNLEKLVSSRCPCLVELQLLGVILLTMSDVAIRSVSLKKLSLDVENSRRLVVDTPSIEELSLSKLEKVSVASLKLPEVNCNDANGLQCQQPAEVGRHLGRLVVNGIPQVMAVLMRHFTTINELQLNLLVSPGEGYKSFLRSTMKVAHCDVLTLNLTAEWHASAPSLLHLLRKTVGLRKLVVYLPLT >Et_2A_017415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34048025:34057241:-1 gene:Et_2A_017415 transcript:Et_2A_017415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYGRLKAFTKGKYWKSMKISFHYEFIHSKMHSFIHVSRYILDLFVFIYQFITSRVHTFFIQLSYFFLISMLGSALLMSLKPSNPDYSPRYLDMLYLSTSALTVSGLSTVRMEDLSSSQIVVLTLLMFVGGEVFVSFLGLMLRTNHHAKHADPADNRVSSVAVELDTIEPASAAIICEESQLETPAFSRNDLKKSRSIRCLGFVLLGYLAAIHIVGFLLVFLYITREPSARAPLANKGINVALFSASVTVSSFANGGLIPTNENMAIFSKNPGLLLLLTGQALAGNTLFPLFLRLVVWFLGRATKLRGMELMNRKPEELHFAYLLPKLPTVYLSSTVIGLAGTMIALFCAVDWSSLVLNGLSTYEKLVNALFMAVNARHAGENSIDCSIISPAVLVLFIVMMYLPSSTTFSPPNGDDKTREEKLGCNIIFVMVVCITERRSLRNDPLNFSTLNMIFEVISAYGNVGLSTGYSCSRLQQLHPESICQDRPYSFSGSWSDEGKLILALVMLYGRLKAFSNGTGKYWKLGHVVSSSVFLCRFIAFHLGPLLLYLSYFLTIDLLGFLALVLLKPSNANYSPRYVDMFFMSTSAVTVTGLATVRMEDLSSSQIVVLTALMLLGSEMFVSLLGLVLEMSRKQRDQQPRDPDHHDDSRVRSVVTACDDDEEANQSPPCTTTSSTDCNDQKKKSRLRRLALVVSAYMVAILLLGSVLVFVYVANVPSARDVLRRKRINAALFSASVTVSSFTNGGLLPTNESMAVFATNQGLLLLLAGQILAGGTMFPVFLRLALCAIRVVFARPGRHGDLFRFMVKDARAAGFTHLLPGLQTAFLAAAVVAVAAAAATLFCCLNWDTAVFAGLTAGEKITNALFMAVNVRQAGENSVDCSLIAPAVLVLFVAMICIPASTSFFTVHDGGGGEGEREQPERKDGAAKKRRLSLNSMLWSPLACVAAAVMLVCVTERRSLSGDPLNFSSFNMIFEVISAYRNVGLSTGYSCSRLLRPEEASVCHDQPYSFSGWWSDQGKLVLVLVMLCGRLKGFYRQRRRA >Et_10A_001415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2958174:2965633:1 gene:Et_10A_001415 transcript:Et_10A_001415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGHPAANTPAGRFWEQALPDTPMPEAIANLVQKGIDHSPLVERYSASPSSISACTLLDSLCSPQTVAETGVFFRMSQLRPGSTMTLSFPAEAESAAILPRDVAEKVPFGNLQDVLATFHIPAGSAEAAEVRNTLSRCEAPPLAGEVMKACATSLEGTVQAAMRMLGTTSRGAVAAATSELPAGGLPRQPFAVEEVTQLAGERYVSCHKVPFPYAVYQCHMADERYGDYKVSLRGLRRGGPAISMVAFCHYDTSGWNPAHPAFQVLHTRPGDEPVCHFTTNHPKTPAARFWEQALPDTTMPEDISDLVQKGSLSSCGAQPCVSQHQRVRCLDQNVHGEHGGSDRHLLPQGAAASGQHHTWMSPSRRSPSRLTSRATSPRSDVLAGFGIPAGFAEAVNVEATLSLCTSPARAGKVKACTNFLHWNTPCGAPWTCSAPAPTATAVACGGPRCLSPALACWPRHLRMNPSTFLLILVAASAAMAHGHHAANTPAAQFWEQALPGSPMPDAIADGVRKGIHHSPLVEHHGAWPGFIDLTGFDSTASPQMAAETGLFFHEQQLRPGSTMTLSFSEVASPAILPGDVADKVPFANLADVLASFDILAGSAEAAQVKDTLSRCRAPPIAGEVKSCATSLEATVQTAARMLGAGAGGDVVWAAASAVPRAGLPRQAYVVEAVATLEGDRHVGCHAISFPYAVYVCHMRERPTEAYRMTLRGLRGGPTVDMAVVCHLDTDGWNPAHPAFEVLHTQPGGAPVCHFVAPVNLLFGKKTSNA >Et_8B_059275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16005620:16011364:1 gene:Et_8B_059275 transcript:Et_8B_059275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSTSGGGSGHGRGHGYGHYHQHSALCCLAGAPPLPGDAPPTPEPAIATAPAQAQGAAVAVEGVLHKWTNYGRGWRERWFSLRDGVLSYSKIRASAPGAGPPDEDGEVRLIGARIGGSRRTDKPAGVVSLKVSAFRESKSDDRRFYIFSPTKTLHLKTDSKDDRVAWIEALILARSVYSLRSVSGRVTFVQSDVSISTARLRDRMHQEGLNENLIEECEQIILSEFSSYRKQLKRRYEDYLSLFGSCRHHFEEGKDGNITQEALTRNGFSSSRHGNFSEYSTTESDECEKNDDCELTCEDEPTFFDSVDHFVGSDNSSLTMSSGPGVVGTQNHDSNNMLLQIRRRTRLPEPTEKEKGISLWSIIKDSVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDQAYQYGKVGNSLMRILKVAAFAVSGYASSVARPAKPFNPLLGETYEADYPDRGVRFFAEKVSHHPMLIACHCEGKGWKFWGDSNLKSKFWGQSIQVDPVGTLTVEFDDGEIFQWSKVTTTIHNLILGKLYCSHHGTMYIKGNRQYSCKLKFKEPSLLDRNPHLVQGFVEDNGGNKASFLIGKWDESMYYSNLDTFRVKSADQLQGASLLWEKNKPAPDPTRYNLSSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLERRQRMSTKLQDNGWKPRWFEKDSEDGTYRYKGGYWETRDEGRWDGCLDIFGEFAET >Et_4A_033655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25478472:25483507:1 gene:Et_4A_033655 transcript:Et_4A_033655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGMVLASPNGVKVEAADVTTVDSPKSVLEDEKLSEAENGDVSLATEAIKQEKVEDSINASSSHTVFKAVKEEPLGAVKDDDDVFVDASSTLPIELEAKNGDASLITEAMKKEEEELEAARVKAEEEEETRKREEAARLAFDPRSRYSKLDELLTKTQLFSEFLIEKMDAIADESVETQAEEPPVEEKKKGRGRKRKANAAVQYNDKRAKTAVAAMLTRSREDRLADDCTLSEEERWEKEQASLVPLLTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKNMHGPYMIIAPLSTLSNWVNEISRFTPSLASIIYHGDKVARAEIRRKHLPKTVGPDFPIVVTSYEMAMSDARFLAQHKWKYVVVDEGHRLKNSKCKLLREIRRIPMDNKLLLTGTPLQNNLTELWSLLNFILPDIFSSHQEFESWFDFSAKGSEEQEETEEKQRVHVVSKLHAILRPFLLRRMKEDVEQMLPRKKEMIIYANMTEHQKRIQDHLVKKTFDVYLNEESDVLQRPGVRAKLNNLFIQLRKNCSHPDLLESAFGAASLYPPVDKLIEQCGKFQLLDRLLNSLLSRRHKVLIFSQWTKVLDLIDYYLDSKGIEACRIDGSVKLEERRRQIAEFNDVNSSLNVFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSQSVEGRIIKKAFGKLKLEHVVIGKGQFEQTSAKPNALDEVELLALLRDEQAEEDRMIQTDISDDDLLKLMDRSDLSGPPGAAGAAPLIPLKGPGWEVVVPTKSGGGMLSSLTS >Et_10A_000531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12219150:12229224:1 gene:Et_10A_000531 transcript:Et_10A_000531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGTAAAAQAPNPQPLRAQREVLALNSERLKSIGNSIRALCRGAAPRPSAELLSLIYALARFASPAASELPRLWGVDFAVAAGDVAAIAGEVPGILRKNACTNKWFRPTDSADILRMANELFGSFCTSDGQDANYITVFEIISKIMPRYYPQLKFERLVTAIEAKAGYHAKLADFFIERNLSLEEKIRLIVVQKENLDASSCITNPPQVSLLVNGKGVEMRTNVSMEKGPQFPTDITKMLKYGANIIQAIGYFNANYVIAVTFMKSLTSFGAPPLDDYAEPFTVDSADSDVLEGASRVSLRCPISLRRIKTPIKGHLCKHYQILQETEDDVLDVLLFADGSWKAAEAHNEKSDRDNVDAIAQTGATAQTVIDLINEDDDDLATNWTSASEDTKPVSRFQNLSVLDYPSGLPRSGPAQTDQMGSNMASTSQTLLLSSSSGLISSSFGTMEPLLPQNVLCPVITDAVSPLGTSNAASVLQHVSQETHPEMVHMQPQIGRVSVPIHRNPRSEAVGVQELSVPPQNSGSSRGLQPTILNSAPFVPSPTSTYQAHQVMNPSSVISPVNNGGGPSLRAPSAAPILHRQSSTLLFGLLEMKDGLGKWEVGLNIPNVWISG >Et_6A_045969.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:14440827:14441102:-1 gene:Et_6A_045969 transcript:Et_6A_045969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAAGWRRPEWCSPAGVAGVLRRHPAPALFACGLLLFMAVEYTIPMVLPAAPPLDLGFIATAGMHAPVAARPWLNSLLAALNTVSAASP >Et_8B_058946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11368781:11371586:1 gene:Et_8B_058946 transcript:Et_8B_058946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVLARISRQGVASAVAAAARRRPAASSLIAAAEPCASIKVVPMFNNVGRYSTSAFQRFGFSTSAPQHDDKDTNKNAGVNTEASNDNMPGAEKAHDAGSHDSVSQSNRRRRATKRTAFSDSDSEDLDLSKEDLVKLLLEKDESLKSKDLEIQDMKDKVLRSYAEMENVIARTKRESENSKKYAIQSFSKSLLDVADNLSRASSVVKESFSKIDTSNNSDEAVPLLKTLLEGVEMTEKQLGEKFDPNRHYALFQIPDPSKPSGTVAAVVKVGYMLHDRVLRPAEVGVTEGGPTEEAPEEESSKSE >Et_1A_009139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30743239:30747507:1 gene:Et_1A_009139 transcript:Et_1A_009139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILLVALSTSQIAFSLRPSLGVCRASGYLPGKSGNCEKSNDPDCCEDGKQYPQYHCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDQEKVVALSTGWFNNMARCGHQIKITANGNSVYAKVVDECDSVHGCDDEHNFEPPCDNNIVDASPAVWNALGLDQNVGMVMFFTIREVTATNTTCHTSGFIHGKGRNCNKETGMDNCCVAGKRYPQFKCSPPVSAKTPAILAVNIFDNGEDAAGMTSCDMRFHRNNELVVTLSSGWLRLDGTNRCNKKIRITANGRSILAKVVDECDSVNGCDGDHGFEPPCRNNVVNGSPGVWKALRLNQSTGEFKDGKKYPQYHCSPPVTASTKATLTLNSFQKGEDGGGPSECDNAYHSDEEKVVALSTGWFSNMARCGHRIKITAANGNSVYGKVVDKCDSVHGCDDEHNFEPPCDNNIVDASPAVWNALGLDKNVVSADITWSDGE >Et_4A_033467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23058817:23063702:1 gene:Et_4A_033467 transcript:Et_4A_033467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFLSTASLLFPFPSINLLLPPPPPPAAQHRLPSRRCVLHAPLAASAYSSSSRHPSRRPYRRRRDAPFRPPAPPPRPPQQQQQREPANAAAPGPRSQEELEEAIYDFMRRSSKPGAFPTREELLAAGRADLAAAVASSGGWLSLGWSSSYSSSASTAPVASGGGHPDYPPPSGRYDRYNLAPGAADGAGREEEAEEEEASSSGRQPESEEPREVRFKAGIEGMLTRLQRDRERARPRPRPRSSEGGRGGGDNGALTGNSDASIHTVGMYTSIVPENGKIHGSHPETGTQEGNNALRNSSSDAWKTWTQGKSRLSDFEGYMSTQLHFSTILPTESTRYEHAERDIHRSSNGVAVSEYPIDDVDSERDEIHSRLQSLELDLSAALQTLRSRFDKVLSDMSNGDGATVADDVSDDWEFEETKVMQAQEELRSIRAKIAVLEGKMALEIIERNKIIEEKQRRLDEVEKALSELRTVYIVWANPASEVLVVGSFDGWTSQRKMERSERGIFSLNLRLYPGSYEIKFIVDGVWKNDPLRPTVYNNGHENNLLVVT >Et_10B_003052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1590017:1592947:1 gene:Et_10B_003052 transcript:Et_10B_003052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRERIPTLSEQKEGEGSASSSGPNFAQFYTKLVPLQREPAPWSSWKYHITFKDDLFHAHPPYLGGPFDSLGEVAAAINLKKERSQKMQLYMDQADPAACAARLKAIIEKNRSAKRIMAKREIIENAKKWMQDEALRAFESAGYQSYHHYNFTMKKMTSKKHSDYQVFFAEVKPTKEGKHYFCCPLQPGENGDCLGCRKLGVGLRHPTSGNYEKGNEHSGFPFDSNSGGDD >Et_7A_050358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23259:23441:-1 gene:Et_7A_050358 transcript:Et_7A_050358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HAVNCKTFFRVQNFQRGSDSSLLVHLVPQQQQHMEEVLQRRVLLGCS >Et_5A_040477.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24926184:24926279:1 gene:Et_5A_040477 transcript:Et_5A_040477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWITPDNCLMLSWLTLGESRMKLNMYSYS >Et_4B_037182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1607344:1611383:-1 gene:Et_4B_037182 transcript:Et_4B_037182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGCLVLEKVEDHGVEAAAAAARGRAKAAPGDGCGSCAGKWRSRSEAIFPIYVMGSARASTVAAARSIVDSAGDPIWEAVKAEAKSEAEKEPILSSFLYASVLSHDCLEQALSFVLANRLEDPTLLATQLIDIFNDVMMNNKDICRSIRLDAQAFKDRDPACAQYSWALLYLKGYQSLESYRIAHVLWNQGRKVLALALQSRISEVFAVDIHPAAKIGEGILLDHGTGLVIGETAVVGNWVSLMQGVTLGGTGKEHGDRHPKIGQGALVGAGATILGNINVGEGAMIAAGSLVLKDVRPHSMAVGNPAKVVGYTEKEDPSLTMKHDARRDYFEHVAIRFSDDTTHGSAVK >Et_1B_012911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4066708:4067866:1 gene:Et_1B_012911 transcript:Et_1B_012911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDSISGTEATINHRPEQRQTKSPLQGAQAHVFVISRKQGVLVSRTGHFSLVFVVPLGRVGVRREVREEAHERRVDVGRGLRLLERGARVGEGVAVVGGPADDGADEQVRVGRRAGVVRGAGLAPDPRRVRRHRRQRARAVVDPAREPRPVPDHVRHPQRVVAQDVVHLPLRHATELHRRRRRRAAVDARAGVAQVVGVGQQQERQRRHVLHVAAAHLEQQPACVFSVYFCVSTWF >Et_8A_058094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19420731:19423500:-1 gene:Et_8A_058094 transcript:Et_8A_058094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAEDAAVPETATVAVQTVWYDPMSSPPAPQPDAAGGTAPASPSVSSDARPVRVYADGIYDLFHFGHARALEQAKKSFPNTYLLVGCCSDEITGRYKGMTVMTEDERYESLRHCKWVDEVIPDAPWVLNQEFLDKHHIDYVAHDALPYADTSGAANDVYEFVKSIGKFKETKRTEGISTSDIIMRILKDYNQYIMRNLTRGYTRKDLGVSYVKEKQLRVNMGISKLREKVKEHQEKVVVPVRSRRHALTSLCGGQRYRTGLHRAATVWRMLQEAGLRKSSMMQMGHEVLRQRGFVRCGGDELFGRARPRLRNSRRRGDGKK >Et_7B_055022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6491805:6492990:-1 gene:Et_7B_055022 transcript:Et_7B_055022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTSRFALVAVLSILSLLAIPTSCYEDQPEAAFSDSPQNYTTRRQAWAVSRGSGWLSGGATWYGSPYGAGSDGGACGYQGAVSQRPFSSMIAAGGASLFKSGKGCGACYQIKCTGNAACSGKPVTVTITDSCPDGICNAGPAHFDMSGTAFGAMANRGFADRLRAAGILNIQYKRVPCNYNGARIAFKVDAGSNPYYLAVLIAYQEGDGDLSAVHIMESGCKSWTPMQQSWGAVWKLNSNTGKPLRAPFAVRLTSGTGKVLVVRNAIPAGWSAGSTYRSAVNY >Et_5B_043152.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:11769190:11769387:1 gene:Et_5B_043152 transcript:Et_5B_043152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TSWESVGFDGAFDVNSSTGASGVVIRDHNREFSLAEARWYDCLPDALTIEAIAARDGLIIAKESG >Et_3A_025995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34408216:34411041:-1 gene:Et_3A_025995 transcript:Et_3A_025995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGYAKYRVAVIGSGNWGSVASRLIASNTAKLPSFYDEVRMWVFEEMLPTGKKLSESINQENENCKYLPGIKLGSNVIADPDLESAVKDANMLVFVTPHQFVEGICKKLVGKLRPGAEAISLIKGMEVKMEGPCMISKLIADTLGINCCIAVEKFSEATIGYRKDKEAATRWEKLFTTPYFLVSVVEDIEGVELCGTLKNVVAIAAGLVDGLDMGNNTKAAIMRIGLREMRAFSKLLFPSVRDNTFFESCGVADLITTCLGGRNRRVAEAFARNGGKRSFDELEAEMLHGQKLQGVSTAREVYEVLTYRGWQELFPLLSTVHEICIGQLPPTSIVEYSEHTPNLSIIGGPTPCY >Et_4A_034276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31345591:31346178:-1 gene:Et_4A_034276 transcript:Et_4A_034276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAKSTNEPAGVAPVTGIPVGGPGTAGNVQWSSGLFDCFDDCGLCCLTCWCPCITFGRIAEIVDRGSSSCGTSGALYVLLSAVTGCQWIYSCTYRAKMRAQFSLPDSPCCDCCVHFCCEACALCQEYKELKARGFDPVLGYQAAPGAPAAVYPPAPQQMGR >Et_6B_048403.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18711195:18711386:1 gene:Et_6B_048403 transcript:Et_6B_048403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAAFTETGVSFSRFLVAPGSSERPCWRETACMDYGSSESQTQLRGPSVSRSFSVLHQPQP >Et_3A_026589.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:188975:189343:1 gene:Et_3A_026589 transcript:Et_3A_026589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAGRPGWLTSLGLAFLSFNCGMAIYRSIHDPYAVAFIVVAYLALILLFRCLHLLERNAPGRRGRGLKATVWGLATLLTVMFSYKVAAVMPLWGQILVWGMGTLTTAAGFYAFFIARQEEP >Et_6A_047975.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:26857237:26858172:-1 gene:Et_6A_047975 transcript:Et_6A_047975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQRRSPLVATSLSCIFLFLLFSVVGPTTAGKTGQLTVYWGRNKTEGSLREACDTGLYTTVIISFFSVFGHGKYWTDLAGHDASAVGADVKHCQSKHILVLLSIGGDGGGQYSLPTARSARDVADHLWDAYLGGGRRGVFRPFGDAVLDGIDFYLDIRGMQDRHYGELARSLASRQRRCRCGKVVRLTASPPCAAVFDAEQQPLSALEKTGLLERLHVRFYGDGTCGYDYHELRPFWGAWNQWSSSRTTATQLHLGLAAADEFRGWIDPETLRESVLPSVQDKPKYSGVVIWNRYYDKQTGFGRAIRDAV >Et_10A_002204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4192766:4194759:-1 gene:Et_10A_002204 transcript:Et_10A_002204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEPAARNKAEDLRAAAARAVTDSLRAAVARSGAAERAARFEECVRSLEAEKAKMEVFRRELPISVHLVADVIEWLKDEIAQHRRPAPELFAPVVAAKRKAASEGAKMEEADDKRSWMSSAQLWSCGSRDDSTGNSNGVAGAQKPAHKVSNNAFMPLNGLARSTDAAEKPAAMPVPDLSLSSPAIDAACPAAPRATRSAVTGAGAPRQQQAERKARRCWSPELHRRFVAALQRLGGPQAATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRASSDGGDQQAAMALWSSPEKQYTTSQSGSPQGPLQLTVSSRAVSVTGGDSCDGDEEEEDGKSESYSWEMQQRATKSSSS >Et_7A_051156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1514858:1518164:-1 gene:Et_7A_051156 transcript:Et_7A_051156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLARLGAALPRARPRAAARVLPPGRWDAAAVGASRRAALNAYRCQVHSDVKVAPTSDLDGENSSKGWRIKMLYDGDCPLCMREVNMLRERNKSYGAIKFVDISSKDYSPEENQGLDYETAMGRIHAIQSDGTVVTDVEAFRRLYEEVGLGWVYAVTKYEPVATIANAVYGVWAKYRMQITGRPPLEEIMASRKNVGECKDEKVCKM >Et_4A_034421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32478859:32482725:1 gene:Et_4A_034421 transcript:Et_4A_034421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPHQMSADVAVAPEVAAALARGGAVVALESTIICHGMPYPKNLQTAMEVEAIVRDNGAVPATIAILDGLPRVGLSSEQLKRLAISGRQFQKTARRDIAHVVASGGNGATTVSATMFFAHKVGIPIFVTGGIGGVHRHGEQTMDISSDLTELGKTPVAVISAGVKSILDIPRTLEYLETQGVTVAAYRTNEFPAFFTEISGSQVPCRVDTPEECAKIIHANRNLHLGSGILIAVPIPKQHAASGTIIESAIQKALKEAEDKRIIGNAITPFMLERVKELTGGSSLEANVALVKNNALLGAKIAVALSNLQQRKTNSELPSPLLPNFKIHSEYTLWLKHIKHIPCHLGRFT >Et_8B_059791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2835751:2838611:-1 gene:Et_8B_059791 transcript:Et_8B_059791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPDGAAADPSPSPPPPPPPVEWPEDDQLTREWVAGLTSTLDWCSRHLPADQLPSVLPAPLVQRLVLKAASGLHQEPNLVRVNPRPGQSVVVVGDVHGQLHDVIFLLQDAGFPSEERLFVFNGDYVDRGAWGVETFLLLLAWKVLLPDCVFLLRGNHESKYCTSVYGFEKEVMTKYKDQGPPVYRKFLRCFEDLPLATIIAGCVYTAHGGVFRGTIVLPSKRAKKGKKGRKYKADSTVDPTAIKLGSLDELLKARRTVLDPPWEGPNLIPGDVLWSDPSLELGLSPNNERGIGLLWGPDITQQFLRTNNLKLIIRSHEGPDARDKRHDLLGMDKGYTIDHDGECGKLITLFSAPDYPQFQASEDRYNNCGAYVVLNPPDFATPIFRSFEAVKPRPAAHPFYDFEEVIDSDEELNLDAMDSSTSSI >Et_3A_024868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2488369:2491187:1 gene:Et_3A_024868 transcript:Et_3A_024868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGAPSAAAATPATSQDPMNALRAAALRRSAPHWSAAAAAFFSPAFRPRHCRLRRAPAPAAAARTPRSRAAAKSRAKLLMETEARDPWLASLSLLPADDIAAADAAPTGWAIGVDPDTCGAIAVLSPDGSSQVFDNPFVRMVVSDVIRKRLDTKSIIQLLRSLDAPPGTTAYIEKSCPFPTDGKLGWWSTGFSYGLWIASLVSSGFSVVPVASQTWKAYFGLSRSESPKDDSRQAASILFPDKATSLKLKKHHGRAEALLLAAYGKGLVLPSGKFSKTNLTLTPIAD >Et_4B_039063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7431339:7435359:-1 gene:Et_4B_039063 transcript:Et_4B_039063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAATAAFSPSRLAARPGHAPAAALVRAGAAVAAGRRSGAAGGRVRCSAGAPRANGVVSRSAVAARAAEEDRRRFFEAAARGSGKGNLVPMWECIVSDHLTPVLAYRCLVPEDDVEAPSFLFESVEQGPEGTTNVGRYSMVGAHPVMEIVAKEHKVTIMDHEKGQVTEQIVDDPMQVPRSMMEGWNPQQIDELPDSFSGGWVGFFSYDTKVYVIHWVNLDRHASTEEAYEDGRSRLNLLLSKVHNSNVPTLSPGFVKLHTRQFGAPLNKSTMTSDEYKNAVMKAKEHIVAGDIFQIVLSQRFERRTYANPFEVYRALRIVNPSPYMAYVQARGCVLVASSPEILTRVKKGKIINRPLAGTTRRGKTEKEDEMQEKQLLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVSGQLDDHLQSWDALRAALPVGTVSGAPKVKAMELIDELEVTRRGPYSGGLGGISFDGDMQIALSLRTIVFSTAPSHNTMYSFKAADRRREWVAHLQAGAGIVADSSPDDEQRECENKAAALARAIDLAESAFVDKE >Et_9A_062058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19327611:19332479:1 gene:Et_9A_062058 transcript:Et_9A_062058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLLSLMIALLCSIVRQLGAINKWPWWQTTVTWDWESVLRFLGIRLGDVPTTVVRDRAVTVDALVRRADVFSDRPLGGGATTIISDGRLRIITTVPYGPHWVALRRNLTSEAFHPVRGLARAAPLRARALSALVADVAARSSSGDGVVPVRECLYAALFALNVATCFGDGVDGEQVEAMRVAQREFLRVLPRFRVFSTFRKVRRVLHGADTHANDVAHSILAAVVARLLYRGRWKQLVHSRRRQEDMYLPLIRACQERRRTGNMTTATSYVDTLLDLEVPTEGDPHQRRKLSDGEMVGLISEYLGASTGTVLALLEWTLANLVLRPDVQNRLRGEVDAAGGEACAYLRAVAMESLRRHPPVPSVQRHMSSDVTVGGTPVAAGTLVNFSLEDIGWDGKIWTSPEQFIPDRFMPGGEGAGVRLTVGSKETTKVKMMPFGAGRRICPGMGYATLNFEYFLANLVKAFEWHPLKEEVDLIAEHGFFTTMRNPLRARVVPRKTTLATTLLSLIIAVLSSIVRQLGAINKWPWWQTTTLTAWNWDWESVLRFLGIRQGDVPTTVVRDRTVTVDALVRRADVFSDRPLGGGAATIISGGRLKVITTVPYGPHWVALRRNLTSDAFHPVRGLARAAPLRARALSALVADVASRSTNDGGVVPVRECLYAALFALNVATCFGDGVVDGEHVEAMRVAQREFVRVLPSFHVFSTFRKVRRSIVEHCTVRCSHCAG >Et_2A_016964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29963936:29966491:1 gene:Et_2A_016964 transcript:Et_2A_016964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIGAAARRGAHLPSSYAAAFSSFSGIGGGGGGAGRGRGSGAPPFGQPRAPGRPFPDEDAADPFSAPASVGRGRGRGDPVAPSSSTIPSFSAFSGAGHGRGSPLPPPPAAEEAPKQPSFTKRYDDASPAPNPEGPSPDAFSSAPLRSVPSAGAGRGVPRLQPPPADKAPEENRFVRRREAQKAAASSSAPSTQPKLSAADAVKRALELLGRGEGAGEGGRGGGGRGGRGGRGGRGGRGRGRSERGRDEADEKIYLGDDADGEKLAKRLGEDKMNILEEVIEEAVDNVLPDPEEDKYMDACHTNNMIEFEPEYHVNFDNPDVDEKPPMSLEEMLQKIKPFIVAYEGIQNQEEWEEAVKDVMERAPHMKELIDMYSGPDVVTAKQQEEELQRVASTLPDKIPSSVNRFTDKILLSLKNNPGWGFDKKCQFMDKFAREVSDLHK >Et_5A_040447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23002768:23003268:-1 gene:Et_5A_040447 transcript:Et_5A_040447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKTTAAAFLLALLALSAPLASAIGPHHGPPDDDFGGYFGGGAGGGGGGGGAGAGGGGGWGWGGGGGGGAGAGAVVPPSTVCAEGGPCHGKKLTCPASCFRSYSYSTKNGGGGGGGGGCSFDCTNRCVASCS >Et_5B_044338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22907484:22912386:-1 gene:Et_5B_044338 transcript:Et_5B_044338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGKRKTKPRQTSRPPAAVASSQDTAVPAELLPRAASTLARVPRSSVAPWLTCGLCGGILRDATTVSECLHPFCRKCIYRKFEDEDINYCPMCNTDLGCAPLAKLRSDHSLQRIISLMFSAKKHKVEGILPLHPASISLSSPSPETDVEDHPAKKTYAYLMGEPMNTEIETETDEKFGMEHSASLTKLSHLPATASIIQGGNEVEEQEIAPDHPVLATSEKRDAKNETQNIEAEVEVVKETSSSQGWTPDLSMLSPLVQREAISPDHAAPMQRQATSVAPVPDEMSIETQANSPFMPAAFTAEASSGPIPVQTLVRELQNAMSEKNAAFERARVLENILKEQNERLQIESKRSQAIEAACLEMLQEYRELARLKEFAMSEKDVELTSLKYSSSMIEERNEELEQRNTVLEDQIDHVNRLLQAEKLNSKNLSEQLGKTVNEKDKLMTDLTAYNDVLARIKRITRAGLTATPSQLNERCEEQAERLYSESSWSQATEATLRELLREYRAQKSEASDKVEELTSLKRNNTMIRERSIEAKQRNNELKTYNGGLDMIQGCIRRSLTARISRLRQASTTVKVKEHLPKISETIEIAASLSAYSAAASIFVSLRAYHGDNFDLQRLLLPLHLNKQEYARIRNAVDPLAAQVAQKYEICKH >Et_1A_008898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17730837:17734354:1 gene:Et_1A_008898 transcript:Et_1A_008898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRVWPHTGHRPSGSSRSLRPSPELNVAHSLVHTSPPLSVYRQHVYTAAAAVSAHTGGCALLHWAEKLSSEDRRSCGENTPVRSERLAVNLKCHISSISGLEETSEV >Et_4A_032677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12462596:12479336:-1 gene:Et_4A_032677 transcript:Et_4A_032677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRVAEDVESGVVGAGGGGAGAAAEVTEPLLRQCRRKDGDEEAAAESKIRDEEAATDCGDAQGGGSLRMVLLSTAVAVCGSFEFGTCVGYSAPTQSGIVDDVGLSVSESAIMLYFGRILLGFSTGVLSYVLLICSGSSATYIIGALVAWRNLVLVGLVPCVLLLAGLFFIPESPRWLANVGREKEFHTALQKLRGESADISEEAIDIKEYIESLRSFPKARLQDLFLSKNIYAVIVGVGLMIFQQLGGINGVGFYASYIFTSAGFSGKLGTILIGIIQIPITLFGAILMDRSGRRVLLMVSASGTFLGCFLTGISFYLKVQGLFPEWVPTLALSGILVYIGAYSVGMGPVPWVVMSEIFSINMKAIGGSLVTLVSWLGSFAISYSFSFLMDWSSAGTFFMFSAASLVTILFVARLDHPCFLALPSSVAASPLLLLHTCAALSVSFRRRKHWRRAAARRHDRGAQLGERWLSPAAADGGKRASGAAAAAAAAGEAPYGDSKGQGSLRMVLLATSVAVCGSFEFGTCVGYSAPAQAGIMGDIGLSNSQYGVFASVLTIGAMVGAVTSGRLADTLGRKMTMRLAAVIGIFGWLSVYLAKVPVFISEIAPKDVRGGLATSNQDYIESIRSLPKASIQDLFQSKNLYAVTVGVGLMIIQQLGGINALGFYTSYIFSSAGFSGKLGTILIGIVQIPITLLGALLMDRSGRRALLLVSSSGTFLGCFLTGLSFYFKAQGLYLQLVPTLALCGILVYYAAYSVGMGPVPWVIMAEIFSIDMKAIAGSLVTLVSWIGSFGISYSFNFLMNWNPAGTFFLFSAASLVTVLFVDRLVPETKGRTLEEIQELLKART >Et_5B_043647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13402619:13416058:-1 gene:Et_5B_043647 transcript:Et_5B_043647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRVATCNLNQWAMDFDTNLRNVKESISRAKAAGAAVRVGPELELTGYGCEDHFLEQDTTAHAWECLTDILSGDYTDNILCSIGMPVIFNSVRYNCQVFCLNRKIIMIRPKMSLANDGNYREFRWFSAWTFKDKIVDFQLPIEVSEAISQEMVPFGYGSVAAETCEELFTANAPRIDLALNGVEVFMNASGSHHQLRKLNLRIDSMRNATRLCGGVYMYANHQGCDGGRLYYDGCCCIAVNGDVVAQGSQFSLKDVEVLDALVDLDAVSSYRASVSSFREQASHRTTVPFVKVPYKLCQSFRSGMVPTSPVEIKYHCPEEEIAFGPSCWLWDYLRRSQASGFLLPLSGGADSSSVAAIVGCMCQLVIKDIEEGDEQVKVDALRIGQYKDGEIPTDSREFAKRLFYTVYMGTENSSEDTRSRAKRLAEEIGSFHLNVPIDSIVSAFLSLFETLTGKRPRYKVDGGSNTENLGLQNIQARIRMVLAFMMASLMPWVHNKSGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSVSKQDLRAFLRWAAIHLKYSSLAEVESAPPTAELEPIRANYNQLDEVDMGMTYEELSIYGRLRKIFRCGPVSMFQNLCHRWCGRLSPSDVAEKVKHFFKYYAINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNSRWPYQFRKINELVQEMDKDGKWETSAEMQPREHKDAQGSGMGVVAVGSASPSAGF >Et_3A_023736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11577023:11580241:-1 gene:Et_3A_023736 transcript:Et_3A_023736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMSPRDTTSTTMAPESLPNLGIIPTVDMSAPAGRGELARRLVRACAERGFFKAVNHGVPASVSGRLDAAASGFFARPAPEKQAAGPPDPLGYGSRNIGAHGDVGELEYLLLHADPGAVARRAKTIDAEDPSRFSEAVNEYVDAVRRLACRVLDLLGEGLGLRDPTSLSRFISAVDSDSLLRINHYPPSGNGGSKGSTAIGFGEHTDPQILSVLRANDIDGLQVLLPDGRGGDDDWVQVPADPSAFFINVGDLLQALTNGRLVSIRHRVIASTTKPRLSAVYFAAPPLNAWISALSETVIPATPRRYRPFTWAEYKKAMYALRLSHNRLDLFHDNDDVGEDGNDQ >Et_6A_046129.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:26951543:26951698:1 gene:Et_6A_046129 transcript:Et_6A_046129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATYTRRVSTTAFSRWRRCRPTTAASCSGTGSTTRAATTAATSSVGLDCS >Et_2A_017735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5644561:5646716:-1 gene:Et_2A_017735 transcript:Et_2A_017735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRFQFIMSDIYGVKGRDLNGSADPHNFNAARARLKSASLNYEIVPLTAGNVLVVEDNEPASRWLAIIHQALNVPQELSDDDDQPPPPEPADTRHRRRDSSRSSGGNLFFQTPSLKLLSNSYRVDSALVKTCNCSPEAASMRRRATEIRASVYRAEAESAAAAEGAPPPPSASGETASTSGRDDDDIGGEDNAGDDDAAGQGEGGMSYCLIASKQMVGLFLSVWVKRELVEHIGHLRVDCVGRGIMGWLGNKGCIAISMTLHRTSLCFVCSHLASGEKEGDELRRNADVAEIVRSAHFPRACKAPASHRVPERILEHDRMIWLGDLNYRMSLSYEDTRTLLEENDWDALLEKDQLIIEREAGRVFRGWKEGKISFAPTYKYTHNSDAYAGETVKSKKKRRTPAWCDRILWNGDGIEQLQYLRGESRFSDHRPVCGMFAVEVDADNGSKISRRYYSVTSRLGDDKPALPPRHGLCESSS >Et_4A_033003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16953327:16953858:1 gene:Et_4A_033003 transcript:Et_4A_033003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLDPEFSAFTRDKEAWRCLQKLGFLPGSVDEAVTQQMKDNTVQFFSLPLESKRSVAVRGNGFEGFGHHYSRSSDKLDWAESVILITQPVKDRNLKMWPTNPPTFRSVSIPCMAVPISCYRSILSSVNLRWHWLIVYAHSF >Et_3B_028699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18154099:18157434:-1 gene:Et_3B_028699 transcript:Et_3B_028699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLIHCRVQTAPISLAYLPDELQAEILLRLPLPHTISSAPDKCVVYGAASNPVFLGSFRERHNGISPLAGVVHDRSFFCNRRFTPVGEDCSVILWCPTSLPILDSRHGRVLFLGQAVLIVWDAMTAGFFEAINDLVRRPANVGRCLSAAVVIGTTLYQPLLGSHTLSFDLETRNFAVVPHPVETAWMDVQIMKLDGRTLGLVVADNADFSLGGIRSLGAAPDGASSYAGAPASTIGAAPPRTGSLRSVELLGAPKSGARQSCTTACRHRQMFVRGSTSLAAPRIPATHCKSHSFLRPGDKELRRGTPIGGDCMDGRPDHKAGRQNSRPREGGSRLLVAAPDGASSYPGAPASTRSSTTADRQFKIR >Et_9B_065770.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:10907066:10907785:1 gene:Et_9B_065770 transcript:Et_9B_065770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPWVRRSKASARLTQSPSFSSPAPSSAGGGGKDHPGIGAVLDDAAPGDGGGGKSSVLHRTRSTSRLRTCKSFAVAEEAAAASVAGDRRVVLYFTSLRAVRATFEDCRAVRTILRGLRVAVDERDVSMDAAYLAELRALMRADRPALPQLFVGGRLIAGDADEVRLLHESGELRRVLAGAAPAPPAPCASCGGSRFTPCGACGGSHRRFSEKTGGFRVCAACNENGLVRCAACCCSGG >Et_3B_030024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:347722:351156:1 gene:Et_3B_030024 transcript:Et_3B_030024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDEPLDFEKEDEDPLLAAPLPAKRKKVIGLDDLLLDYFGTGNDERKRNKAENSKHASRGNNSDSLDELFRDNSEKEIQISKIVESIEEQAKKMDAREDVPLWGQKIFGCQKPPSILNDTGVENCQLLKSFSADEHLGFDLDIGKGEGFLEGMLMDGWLLKLVHLSGSVEDSVASWTLDKLLYSSKKKLQVSATDFWESVLSLDEGDKMLVKLGYLPSFSVLKHAILSYGYLFDAPGTKASTIASSAEGSLQTQNLAYGCILPDSLDDGPPENIIAWVRVVSACCKFRKVCSIFSPSEAEQLLVIVISLFLDRGLEGLLLVLGDCLNSLILYFNASEWENSCVRAAESVARRVSMDLNCLRIVDCITGTNDRSKFLRSQLALQLLKISFGLKVANVEKILKLVTSINVKKECDLFKLYVYLVLMDKLLFSTDAFRNKTMVVDSWRNYLRNCSTQIGCSDWRFYAPKVRNKASYLLQGVILKRSADSGNISAR >Et_7A_053194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9879191:9880851:-1 gene:Et_7A_053194 transcript:Et_7A_053194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKPLWYLDFPSALDDVHREEALEPVLVPTYFRSPWSFLSLTAAVFLLVMTVMQTVYTVLPYYKT >Et_7B_054296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19456210:19458183:-1 gene:Et_7B_054296 transcript:Et_7B_054296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSLLLLISMVIYAAATHSTDGWKQIQDINVSNIQDLGFWAVNHHNMKTSDHLVFKKVVSAREQITSKGFYHDLVISAVDHVNIGHNYEAILLIVDYIEKTDIILLSFNMTKPSPSITKNINSDARPPIQSVP >Et_3B_029263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23520373:23521103:-1 gene:Et_3B_029263 transcript:Et_3B_029263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSRALVAGAVLVAAALLLPARHAMAIYDEAKAPSSSLAPEPAPESLEPAAPPPTPIYPFVVVEGVIYCKTCKGNGYNTGIDASPLQGATAMMVCYGRKVVNATATADANGYFVIFFYDLKNFNAKTCKMYLVSSPSPKCSKPIYPPNQWIGLSLVREGRTIPPVGFQGLYTPTSVLFYGPAVKGQCPY >Et_1B_012652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34116352:34153144:1 gene:Et_1B_012652 transcript:Et_1B_012652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKVAEGGGPWLRSTNGFVGRAVWEFDPELGTPEERAEVERVRREFTEHRFERRESADLLMRMQVAEGGGPWLRSTNGFLGRAVWEFDPDLGTPEERADVERVRREFTERRFERRESADLLMRMQCEKQNRHQHDVPLIKLQEDEEITEEITLNSLKRALDEFSSLQASDGHWPGDFSGIMFIMPGLNEDGGWGTLIRSSSSMFGTCLNYITLRLLGEDPKCKNSALAKGRAWILSRGGATLVPQWGKIWLSILGVYDWSGNNPIFPELWLAPQFLPFHPGKFWCLTRMVYLPMAYLYGKKFVGPVTATILALREEIYDTPYEKIDWRGSPLSTYTVAKCCLDFTLQALNMVCCWAEDPNSDAFKLHLARIPDFLWISEDGMKAQVYDGCQSWETAFIIQAYCATDLVHKYGPTIQRAYEFMKHSQVLRNHPGDQVYWHRHRSKGSWTLSSADNGWAVSDTTGEALKAVLLLSKISSNLAGDPIESERLLDAVDCLLSFVNKDGTFSTYECKRTSSWIEILNPCESFPNMVVDYPYPECTSSVLQALILFKESYPGYRTKEIEKSVRNAATFIENKQEEDGSWLGTWGVCFTYGAFFSIKGLVAAGRTYENSSSIRKACHFILSKQLGTGGWGESHVSNETQAYVNLEGGRAHAVNTAWAMLALIYAGQFERDPTPLHRSAKELINMQLETGENMLDASTAPFSSIIQITATCSPFGLSANTTVISVQRREMVAEGGGPWLQSTNDFVGRAVWEFDPDLGTPEERADVERVRREFTERRFERRESADLLMRLQCAKQNRGGHRRDLPRIKKLEKDEQVTEEIALNSLRRAMDQLSSLQACDGHWPGDYSGVIYWGCMIGLEIIQFSRSYGWFPGFFHFIQEDILCPRTTLQNVVWTSLYKCVEPILSSWPISKLRERALGHLMEYIHYEDESTQYICLCAMNKPLNMVCCWVEDPNSDAFKRHLARIPDFLWLSEDGMKSQVYDGCQSWETSLILQAYCATDLVNEYGPTLQRAHEFMKQSQAVLLLSKFSRNLVGNPIQKERVFGSWGVCFTYGAFFSVKGLAAAGRTYENSSSLRKACHFLLSKQLNTGGWGESHVSLRTQVYENIKGDRAHAVNTAWAMLALIYAGQFEIDPTPVHRAAKELVNMQLDTGEFPQQNIGGVFVQIIEMHPYERNAVPNNQTGGGDPWLRTKNGHVGRQVWEFDTATEPDPDELAAVEAARRGFTERRHHLKHSADLLMRIQFAKENPLELDLPAINLEEHEDVTEEAVLTSLKRAISRSSTLQAHDGHWPGDYDYCVVCEWITEHCPIVGTSEGDPPNEDGGWGLHIEGPSTMFGSALTYVTLRLLGEGPDSGDGAMEKGRNWILDHGGATFITSWGKFWLSVLGVFDWSGNNPVPPEVWLLPYALPFHPGRMWCHCRMVYLPMCYIYGKRFVGRITPLVLELRKELYKDPYSEIDWDKARNQCAKEDLYYPHPFVQDVLWATLHEFVEPVLMHWPGNKLREKALETVMQHVHYEDENTRYICIGPVNKVLNMLACWIEDPNSEAFKLHIPRVYDYLWVAEDGMKMQGYNGSQLWDTAFTVQAIVATNLIEEFAPTLKLAHDYIKNSQVIDNCPGDLDSWYRHISKGAWPFSTADHGWPISDCTAEGLKASLLLSKISPEIVGEPVEVNRLYDSVNCLMSYMNDNGGFATYELTRSYPWLELINPAETFGDIVIDYPYVECTSAAIQALTSFKKLYPGHRRKEVDSCISKAANFIESIQRTDGSWYGSWAVCFTYGTWFGVKGLIAAGRTFQNSPAIRKACDFLLSKELPSGGWGESYLSSQDQVYTNLEGKRPHAVNTGWAMLTLIDAGQAERDPAPLHRAAKVLINLQLDDGEFPQQEIMGVFNKNCMISYSQYRNIFPIWALGEYRCRVLAAGKK >Et_2A_017353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33504281:33506995:-1 gene:Et_2A_017353 transcript:Et_2A_017353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMPYRRPHTTAVWMWEASVGVTMYSGFTVLSDRNLRFRTVDDVRRRALGVQKPGRNPLEVTAKVLLAPHLATVERAHVVHHHVLANVQVPVVHVRLPRALGHVLPHEVMKVADPALGLRAIVVARPERDVLDVVQHAAPVRIRCSIGRDRAHEPTVRLQDDEPGAAHPEPREDLLPRALGDGVSNSDLILMKPEEPALLRFRSAVVSLLPKLINAADSNQLISLLSAFVTFSSGSWDAIIGRSSLHVPRNRDWNMACWPCTMTLTMMSLGRAGAIYCHLVRTSMVPWSSVFAMLNTVTFDGTLTRLTFHDTTMPKLSPPPPRMAQKRSSPMAILSRRSPLALTSLASMTLSLERPYLRSNMPIPPPLKWPPTPIVGHVPAGNATSGLFFEMSSPIVAPESTHAFGTPSSVLTAMVLSLARSTTPNTSGRSERYDRPS >Et_7A_050724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10444443:10447088:-1 gene:Et_7A_050724 transcript:Et_7A_050724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFLLLRRHNRGWWRQPPCSRTLWPSCDDGYVASSRQTMEAPAPTPSSLPYTSHKVFNRDAGFVSWLQICNRQAYRCIHTTRSVESGSQTMVETQQNPGAVASGEQKPKRKKLKGKRAVTRFLKSLRWKKKKEIQRMTAEEKILYKLKLARKKEERLVAALKKIEPEDPAEPTHDPEVLTPEEHFYFLKMGQKCKNYVPVGRRGIYQGVILNMHLHWKKHQTLQVIVKTFTPDEVKEIASELARLSGGIVLDIQEGNTIIMYRGKNYAQPPPEIMSPKVSLSRKKALDKSKYKDRLRALRRYIPRLEQELEDLHAQMKLAGERNGQIRVKDVALISDSTNSMPARKESSYSVRNKSVSDLLLPESVEGSERLEDGSNEDDNDSASESLSFSESEDLSDIFETESDEQEEDKEERPLYLDRLDKFPSQNNDDEPDDFEEHLRKIASLSDKTDSSSKELKVSELDEIDKIFLRASSLLKKR >Et_10A_001813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8621775:8622475:-1 gene:Et_10A_001813 transcript:Et_10A_001813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEQAVREKLQREWQDRKRQQESEDRNYRQTEAEKRRAVDNKGANYGQVGTQGRMILELDQGYVSGLVRKGTIRLYVQMILSAIDASTQATSQQIVHPTKDHLGHLLKGGETGYEINQGGGLKNCNRCISFFNTANR >Et_8B_058813.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:8435282:8435422:1 gene:Et_8B_058813 transcript:Et_8B_058813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIILMCWSIWTTRNWCIFNSFDPTIQNCKGQFFTELTMVVHRAK >Et_5A_042546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:249395:249992:-1 gene:Et_5A_042546 transcript:Et_5A_042546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAKRLVFATSWPICEKALLPSHQKSYKWAPRLYIQGQQAQEGLGDRCLVRTSRRAVNHHRCGEHDAVVPAEVARHHEHPAAAAAAGRCCSAVVQEVSAPAETVWSVVRRFEEPQAYKRFVRSCAVVAGDGGVGTLREVRVVSGLPAESSTERLEILDDQRHVLSFRVVGGDHRLRNYRSVTTVHSSSLQ >Et_1A_005638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12265825:12266609:1 gene:Et_1A_005638 transcript:Et_1A_005638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHVSPAEQKAGPRQWHAEKATGAASPRDALAQRSASFHGRGAAEQHQQQRRHHLLRQRPRTQPDLLAGVRGHGLRRAGADGGDTAVPWAGGRKAEPTKVLVTVAVQRSMWPLQVMARTEWRVADLIAAAVELYVKEGRRPLLPSADPAAFSLHYSQFSLQSLDPEEKLMELGSRNFFLCPKAAPVAAPSTCSSDEAGKATTPAKRPNIQVPWLGFVHFWPLL >Et_1A_008445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7735673:7736207:-1 gene:Et_1A_008445 transcript:Et_1A_008445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCAVGFLSSPWITLAMPQSLDFGKLWGTSPERSAAVQDIGTVCQNNGFFQGYVVNHGISMDTLDGALDAATAFFDLPMEDRSELMSDDVAQPIRSTIAEVDGSEVKVRRHVLKHYSYPLEEWISNWPAKPLQYRSTPFLLLS >Et_1A_008546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8636662:8640118:-1 gene:Et_1A_008546 transcript:Et_1A_008546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVAVSKHAPKPLTPPPQLHLPHSLPKSSKFVMASAGLRNVRFHNDIEVQQFKTSPLENLSSRKHGKAHDPRRCRLGFRGGCLEKPCRNPPSLRDRVLSRAFSEELDSLMHAGARVFFDPRGHLINLWNKIFLTACLLSLFVDPLFLYLTGTRQNMCIEFKYSLALTLSMIRSLLDMFYAAHILFRFRTAFIAPSSRVFGRGELVIQPHKIARRYLSRTFWFDLLTALPLPQFVIWIVIPKMKESATANRKNILRFSIIFQYLPRLFQIFPLSRQIVMATGVMTETAWAGAAYNLILYMLASHVLGALWYLFSVQRQESCWRQACSLEGPLCQTLYFDCNTVSSNRTIWYAMSNITSLCTSSNGFYPFGIYGEALDAKLTSSSFTQKYFYCFWWGLKNLSCLGQNLSTSLFIGEITFAVVIGVLGLVLFGLLIGNMQSYLQATMVRLEEWRSKRTDMERWMHHRQIPQPLRQCVRRYHQYKWVATRGVDEEALLSDLPMDIRRDIKRHLCLDLVRRVPLFDEMDERMLEAICERLRPALYTRGTRLVRELDPVDSMLFIIRGYLDSYTTQGGRSGFFNSCRIGAGEFCGEELLTWALDPRPAAASLPQSTRTVRAVSEVEAFALVADDLRFVATQFRRLHSARIRHRFRFYSHQWRTWAACFIQAAWRRRKRRRASMELRMQEGGEGRSGGSVRCRRHSCDGKALIKKPIEPDFTVEEED >Et_3A_025059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26521032:26524746:1 gene:Et_3A_025059 transcript:Et_3A_025059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKAEELFRKVLEGGSKKKPARLLGLDVGSKYVGLAVSDEANRIALPLRFQSIPLPGSSWAIHSIFMVNQAVQVRLLAGELSKTGKLDDQFYTYWDENFTSKCVEALLHPLNLKNRDEAKTMTDKFAAVCILQGYLDNMNRKLRSEDKSEA >Et_3B_031598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5693570:5704255:1 gene:Et_3B_031598 transcript:Et_3B_031598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTAVDYSSSASTATTESGAAQLLVAASSPGGDVSPADEAVTSQPAAPQGSSRYKGVVPQPNGRWGAQIYERHARVWLGTFPDEEAAARAYDVAALRYRGREAATNFPGDGASAPELAFLAAHSKAEIVDMLRKHTYADELRQGLRRGRGMGARAQPTPAWARAPLFEKAVTPSDVGKLNRLVVPKQHAEKHFPLKRTSPETTAAAATGKGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLRAGDTIVFSHSTYGPEKQLFIDCKKSKSTPTTDAAPSPSPMEKPKEARVVVRLFGVDIAGDGCQKRGRPLEVALEQGQQFFKKQCMAQHHTPALAEYTLRRAAQSVWQ >Et_10B_003307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18744037:18744646:1 gene:Et_10B_003307 transcript:Et_10B_003307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAALRERMLQLGFRFNPTPQEAVTYTLPRLITGEPMHPAVRPYIHDTDIYACEPGVLAAQFQPTPRTGDRFFFTSCKRQPQKAGKSSRAGNSTDVKDGAGVKIGEVKKLRYKKGGKFTDWLMDEFSCCSEDAVVGDRQRVLCKIYVSPRAPPDSAARQEDAAAAAAVFAPPVPEEPVAVPKRPAPPIDEPPYPKTN >Et_1A_008658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9577009:9581754:1 gene:Et_1A_008658 transcript:Et_1A_008658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVAPAPLPHVRVRDGGIGFTRSVDFAKVMSAPSTGTMRTNSSRGRALVAKSSSTGSDTMELEPSSEGSPLLVPRQKYCESIHQTRRRKTRTVMVGNVPLGSDHPIRIQTMTTSDTKDVAKTVEEVMRIADKGADIVRITVQGRKEADACFEIKNSLVQKNYNIPLVADIHFAPTVALRVAECFDKIRVNPGNFADRRAQFETLEYTDDDYQKELEHIEKVFSPLVEKCKQYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESALEFARICRKLDFHNFVFSMKASNPVIMVQAYRLLVAEMYNLGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLMDGLGDTIRVSLTEPPEEEIDPCRRLANLGTQAANLQIGVAPFEEKHRHYFDFQRRSGQLPLQKEGEEVDYRNVLHRDGSVLMSVSLDQLKAPELLYRSLAAKLAVGMPFKDLATVDSILLRELPPVEDAEARLALKRLVDISMGVLTPLSEQLTKPLPHAIALVNLDELSSGAHKLLPEGTRLAVTLRGDESYEQLGILKGVDDITMLLHNIPYGEEKTGRVQAARRLFEYLQTNGLNFPVIHHIEFPKTTNRDDLVIGAGSNVGALLVDGLGDGVLLEAADQEFEFLRDTSFNLLQGCRMRTTKTEYVSCPSCGRTLFDLQEISAEIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVQRGIAMEGATEALIQLIKDHGRWVDPPAEE >Et_9A_063022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8469977:8477808:1 gene:Et_9A_063022 transcript:Et_9A_063022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGAVGCAVVIAVVLRYHGRRAMDRLCWCVPGKGNFDRHSFGRMTMTTSASVNKLLEDLLGSSITMTSEKGSASKGTEAAVPPDAGVSSDPQKGRLPNGRTTGPTRRSSKGNWTQDEDDMLRKAVETYNGKNWKKIAECFPGRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIQMVNKLGPKKWSTIAQALPGRIGKQCRERWHNHLNPGINKEAWTQEEEIKLIHAHQMYGNKWAELTKFLPGSNLIYPISSLFVMFSKVRFEINFNDKFVFLPIRTDNAIKNHWHSSVKKKVDSYRASGLLGQFQGIAHVEYPAGSLNIASSSAMSQQNSEDSGFNVVGEVEGSTELSQSSLAKASCSQEEQIGSTLGSHFHAHESLCPEECYTNADNDASAVPEMQQQLSISDNDQDTHLQHLSRTIDLPLDVDELQNNSMLTDSQVSSELTGQPQYTHNMCSSENGGSFLPYSTAPDVPISMSHDVPDYEHNVDMMCDLGLSSDKCFQSEQWQSISFQPIACASEAANNFSAPRYPLQTLNSSTMMDTVQYQSSVTSAPPPLICSDGVSNVSDIRYETSHFPDFHQVMEDNTCNNASVDPDQHSYVSSKDERDRAMDNLPESEKKKLIDVQQSSVEPTPTSGKETVSSHENTVASEKNDAEALCYEPPYFPSYEVPFVRCDLISSSDLPEFSPLGIRELMRSSLNFPTPVRLWSSPPRDGSPDAVLKSAAKSFSSTPSIMKKRPRELLSPTADIRTEKNLMTEQNFGSLGMSSKRIRRSCIDTTDESFHLFSPGDRTASQQKKLKLFEENKENLKTTTNQDENEDNGILTQSNVDNLNTPKHRPHHQSQGLNTSTNALSNSIDIISSRSKPAELLVDKSPPCINAEFEYVNILADTPSIKRGLESPSAWKSPLFSAFQSAYFMSPTARAFDALGLVKQINEQSADALEEAHEVLASGSAKNQHSKENSEKENIENTNYKNELTISKLPSKVMAEARVLDFNECSTPVRKKEDKKAESTIGGPASSPLVPTYLRMNVR >Et_1B_013219.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6507007:6507297:1 gene:Et_1B_013219 transcript:Et_1B_013219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHPSENAPTVSITNRAEKPHAYAVTTLDVTARRLVARTQNAHKSNVLRYRDQSRRSANPS >Et_3B_030879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8265193:8266338:1 gene:Et_3B_030879 transcript:Et_3B_030879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNGYPVILMAEGNNALDWDDDNDLGIPPEEQHLQVKAVAPATRRNHKRTKNFSEKEDEMLVLTWLNIMQACALFKSKDKDNRSFRFLHCWNLLRTEQKWIDRLSQVTSQKQSHKRQKTTPSSSSDTSTPTTPDDKKVRHGGDLVCMEAINNLCARNKEDVEKELKKDERSKQANALEQEKVKLEQARVANETKTLEIKSRELDLKSKELDLKGKELDLKRMLEEERIMSIDISGMSGPRQQYYKILQNEIITGRLNLA >Et_4A_032025.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:12154092:12154370:1 gene:Et_4A_032025 transcript:Et_4A_032025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCVCLAGVLLQAAYRVYQNKCARKLEVVGTCELCGMEDESEFHALIRCPLASDLRKAMRQYYIGICHLKTGSSSQSRSGCCCCSMRVTWR >Et_1B_011738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25576391:25577541:1 gene:Et_1B_011738 transcript:Et_1B_011738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDWSSLPGDILACISGILSTDADLLHIHQVCVHWRASTPPAAFRPWLIAGESPPNKTIPIGTYSVVHAPWGDGRRVVDVGAPAGLPYCLGAPRGWLALTDDKQSPTRLVLRDPAEIPLPPLNSVTQIFLSDDPVATASSCTAIAFQMDDQEDDHRVLRLLVWRPVDDWSHWTLLHRRFARRIETVVFSGTKMYCTDGIHSILRCDLRTGVTRTFTVVGAVQRLRAGISDDDDWCGSMVGSHVVARGNGEVDMIVLMHVACRLQRRSTASRRVPSYPGHLVEVGERVTDLGDYSLFLGRGDGFALSAKEFPAIKRNRIYYVAGEDVRLFGEKHWALVFDLELDAVEVIYADEFREDRGSWWPYGWFCPRKALLASTY >Et_6B_049674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8437473:8441851:-1 gene:Et_6B_049674 transcript:Et_6B_049674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLTAEQRPAASAAAGLGGKAGESRRREEEAPGRIAGSGVGNAACLYTRQGRKGTNQDAMVAWENFNGRADTVFCGVFDGHGPHGHLVARKIRDTLPSKLRDLIYEDYGESPISNSDGSMLEETSSPYADAEDESPMAGQKEEQREFFSSMKDSFRKAFRVTDKELKLQRNIDSICSGTTAVTLIKQGQDLIVGNLGDSRAVLGTRNQNGLLIAHQLTVDLKPDHPRESRRIKRCNGRVFSHQNEPDVARLWLPNCNSPGLAMARAFGDFCLKDFGLISVPEVTYRRITEDDEFIVLATDGVWDVLSNQEVVDVVGSCSNRSFAARSVVDLANQAWKFKYPTSKTDDCAAICLFLDNDANTNGLSGSSVTSKGNGSSPRISARSRKPRHNSKKVIPEDADEGSDSNISGDERSLESFTRLNTLLTLPKFGTFYGASSVAK >Et_2B_019203.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17346164:17346295:1 gene:Et_2B_019203 transcript:Et_2B_019203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYGAQGHLPVSNVPHGGSGTDAPTVPGTAVHVAKSKRHGVP >Et_4A_032113.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:18737861:18738031:-1 gene:Et_4A_032113 transcript:Et_4A_032113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVGDQGDFEERIVVPVQMLKEPRMAARCRASIKKHYNFLILERRRIYFCYRILD >Et_1B_011577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23872566:23874922:1 gene:Et_1B_011577 transcript:Et_1B_011577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSHNGHNSRTCPNRGVKIFGVRLTDGAAIRKSASMGNLSLLSAGSTSGGASPADGPDGVADAAGYASDDFVQGSSSGSRERKKGVPWTEEEHRRFLLGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQSNMGRRKRRSSLFDMVSDESMDLPPLPGSQESEAQVLNQPPLPPPREEEVESMESDTSAVAESSTASAVMPENLQPSYPVILPTYFSPFLQFSVPFWPNQNDGDDPPQETHEIVKPIPVHSKNTINVDELVGMSKLSIGESSQETVSTSLSLNLVGGQNRQSAFHANPQTRAQA >Et_3A_025211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27938708:27941609:-1 gene:Et_3A_025211 transcript:Et_3A_025211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTASFLSVALALAAIASASLLLLNRLLYGKLPPGPRPRPVVGNLFDVKPVRCRCYQEWARRYGPILTVWLGTSPTVVVSTSELAKEVLKTHDQQLADRPRDRSSERFSRGGQDLIWADYGPHYIKVRKLCNLELFTPRRLEALRPIREDEVTAMVESVHKAVTAPGQQGKPVVVRNHLAMVAFNNITRLAFGKRFMNADGELDEQGREFKGIVSNGIKIGASLSIAQHIRWLRWLAPVDEQMFNAHSERRDRLTVKIMEEHAMALKQRGAKQHFVDALFTLKDKYDLSDDTVIGLLWDMITAGTDTTVISVEWAMAELVRNPRVQEKLQEELDRVVGRDRVLCETDFQNLPYLQAVVKESLRLHPPTPLMLPHKASARVKIAGYDIPRGANVVVNVWAVARDPKVWDSPLEFRPERFLQESIDIKGADFRVLPFGAGRRVCPGAQLGINLVASMIGHMLHHFRWALPDGTRPEDVDMMESPGLVTFMATPLQAVATPRLDKEELYRRVPSEM >Et_1A_008435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7674058:7675852:-1 gene:Et_1A_008435 transcript:Et_1A_008435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRNEGAATPVVLNVYDLTPANDYFYWLGFGVFHSGIEVHGTEYGFGAHDFPSSGVFEVESKCCPGFIYRRSVWLGTTDMSREEFRSFIEKLAVKYHGNTYHLITKNCNHFTDDVCKSLTGKPIPAWVNRLARVGSVFDCLLPESVQVSPVGRVPTLRPISDDDLNSISSSIKEDSDDEAEDKNLLPASSSDLQSVDVPPKLAKDLL >Et_4A_034559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4296803:4298982:-1 gene:Et_4A_034559 transcript:Et_4A_034559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAGIGLAPLLVTPIASATQRSSFPVAARRPRALRRARCSATAASGEAEETGELSRATLLWRAAKLPIYSVALVPLTVGSASAYHHAGLFFAKRYFVLLAAAVVVITWLNLSNDVYDSDTGADKNKKESVVNIIGSRAVTQNAANISLLLGFAGLFWAFAEAGDVRFILLVLCAIFCGYVYQCPPFRLSYKGLGEPLCFAAFGPSATTAFYFSNSGINIARRTALLPFTKTVVASSVLVGLTTTLILFCSHFHQIDGDRAVGKMSPLVRIGTRAGARIVTIGIVTLYILLASFGICRTLPSACIVLGALTLPVGKLVVDYVLKNHEDNAKIFMAKYYCVRLHALFGLALASGLVLARNGILV >Et_3A_026453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8235157:8237246:-1 gene:Et_3A_026453 transcript:Et_3A_026453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACYYHGEDTHASIVDYSCNGIKEFYVEATCRLPLREVPELVAGIKAGGHCFGLADPVTNIILNAVGLLLSDEGPSRAPPTHHEPWGFFITDSWEDIDTRSELGLRAFMAAYFRYLGRFQAWDYLRRASNDLPRAIKLVRRDRLAPARAQRPLLPDGGRLRAAAAHARHPAPDALARLMTARYPARMLAPVLAKLRGTAEPLTVHDVWSVRDLLARQWPMDPAPAANIEFWCRPDGGDTCVSRGEDGAVLIWTSVGEDRTAQIAIRNTPDHVRSQQHYISDLTFDDDATSSDMEEAKPYKCCLQTDPPVGRPPGAEAELPDGILDTDIMSRVEARSLDGLVAILRGSAPGMSELEALRYLSSTDCDLSQLPLPPHRGSTETNGDCSFAAAAKAAKHPQHAAFGSFLASLSHEKLSRMRCLFPGRIISDAPWDLLNTTIHREASDSLRRREVSLSAQNTTLGLSPWASEQVSSKRSSFQSQLAFVRTELNKALRRYCYQHPWEPSYQLDIICGVAVRSGCYHANFLARAADDANTDDDVTMLPPERSLFFAEFWGSSLSQWKPPSCCPIPDYCASTGRCSFCEDEANKIVHPPSGAHSRADIDASKDLCCSTVHNTHMDRLKGLLDSDYIYFDPERDVEVAKI >Et_2A_017513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35060513:35062686:1 gene:Et_2A_017513 transcript:Et_2A_017513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATAVVPATDAEASGRQVSDEALVEELLAAVGSARSFQEFRRSQRKECFNLLRWLQLLLPLIQEIREAAPPLTDDAYRRLALLGRAFQAARRLLRCCHDGSKIFLALESEAVLGRFRAVYEKMNLALDGMPYSDIGISDEVKEQVELVNTQLKRCKKRTDTQDMELSMDFMVILQNKQDGNADRAILERLAKKLELQSLADLRAETMAVKRLINERNGQQAESTKQIIELVNKFKGVAGIDEKNILGEVSIPKYLEKCPSLMIPNDFLCPISLEIMTDPVIIASGRVGTHIRTLLLYYYRHYSLCSSLKTQQNVSIDDLQTYERRTIQKWFDAGQRTCPKTQQPLAHLLLAPNFALKNLIIQWCEKNKVEIQMAEPEPVAEQEELKADIPSLVKDLSSIHLDVQRKAAKKIRTLSKENPENRALIIDSGGLPALIGLLSYPDKKIQENTVTSLLNLSIDETNKVLIAKGGAIPMIIEVLRNGSVEGQENSAATLFSLSMVDENKAAIGTMGGIAPLVDLLKNGTIRGKKDAATAIFNLILNHSNKFRAIEAGIVTVLLKILDDSKLGMVDEALSIFLLLASHPSCRSEVGTMPFVETLVRIIKEGTPKNKECALSVLLELGLSNNSLMVHALGFGLNEHLVDISKTGTSRAQRKANSLIQLSRKCS >Et_8B_058875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10281313:10286093:1 gene:Et_8B_058875 transcript:Et_8B_058875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKYATIVVQKLTPHFPKGQNPTHDTATPPPANTTPALLALQARILLLAPSEISKIHPKFYLSWISCVARQVVKMAEGLPSSWEELPPDILGLVLRRLPSLADRVHVRAVCRPWRAGALPQRQSLPPPLPWLAFRDGSLVDLQGAPVRCAPILRKGVDFGYLAFDNLAFLVDRDGKCSLMNPISGVKFPLPKLAPAVLRAIDGSGAYGRSYIQKGYVKAIISSPLDLTPDPHVAALILEGYSVAISACKQQDAISIGMPDPKWPDSTRKIDDIAFLDGKLYALTPHEGLYVINLDAGRLRELKSSSCFHQYIREDLKQQEVYCSNPNRTNNYETYPEFLVIRYLTESNGRLLMIRRWMSIPPRARLGDHDRTLRFEVFVADLTTVPGRWMKVDSLAGQALFLGSECSKSVLASQCAGGIEEDCIYFMHRAFDNPCTEHFGPCVDPLGDSGVYDMRNEGIKPLVPDSVMSKLKSKRQFLTWFFPADA >Et_7A_051390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17783891:17788360:1 gene:Et_7A_051390 transcript:Et_7A_051390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGRPTHPPPAKRRNEPSNTTIHSLGEDLLLAIFLRLPSLATLVRAALTCRLWRRAVASSPAFRRRFRSLHPPPLLGLFFQDVGAEQSPITHSFPAFVPFRRCDRDLTAAVRGGDFFLTSLLDFFDECLCWILIDCVRGCVLLMNGDDESFVVFNPLTRRSIPTTATVAIAARLDPRLLLSGEDPSSFRVVLLAHDESRVRATVFSSDTREWSVHPWVDVPSSSDPDNRWLQNGGGMQANGFLYWAYEDQKYLISLDTATMEFSVSELPDCLRSCTFVVGETKDEATCIVYSDEFNIGVLMHTRDDDGIERWLLDRTVPLATELRQVLEEDELTGASELIVFSVRDGYAYLFSLCLETMKLEKLFRRTFDCDVHPCIIAWPSLLGSLFPHLHSSPFIAWYNLLLARSNAEWIQELMSSAHDSSMGILMPLISRAKVRSSSTSSPVRLQPSAPANSLAWLAFLAPGIGTTFPWQVSQFRAT >Et_6B_049419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4615582:4627226:1 gene:Et_6B_049419 transcript:Et_6B_049419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSTATVPRQIHRSTLQERILRALALLKELSGEGPILAQVWMPVRSGEHRVLTTSDQPFLLDERLRGYREISRQFTFAAMQGPSLFPGLLGRVFLSGMLEWASNVMYYNSTEYLRVDHAMRHEVRGSLALPLFDRCSTSCCAVLELVMTKEKDNFCSEIDGICNAFQSVDLSSVKAQTSPQRFPRIKESAFMEILNVLRTAGHAHMLPLALAWVPACSSSNATASVKYGQQDTNFSSSKKYFLCIHESACYVNDIRMHDFVRACSEHPLAKGQGIAGNAILSNNPFFSSDVREYDMRDYPLAHHVRKFGLQAAVAIRLRSTLTGNDDYVLEFFLPFLCNGGEEQQLLLDGISVTMQRASRSLRTVSGAELMDDAPEMPLQDEGSEIRCPSSDISVSSGVKYNSPSDIRTNTRPGNHLGSTNEKSGNKSRANKLKPSKAPCGEKRRVSSEKNVSLSVLQKYFAGSLKDAAKSIGVQGLNRELQYDPATGFLISSASRSRKPSQMSAKLDGTDSLLTELDLSELKVKPDCDAYPICLNDGLCGKSDTVHRANVSYLTKEPISSLETNVWIAGVHQKGASRDPLSMPEQCNTDNKNFEQSLPSSSSMTNFSRGSGLSHGTFKECIKPKKDNKRNMAIIVKAFYKDDTLRFRLLPTMKYQQLLLEVAKRLKLSVGTFQLNYRDDEDEWVILASDADLQECRDIIDTTRLHILKVQDVPYVAGSSSGSTSISGIYGYIWHMLKLILDRIMDKEPITVKARG >Et_2A_014485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12865355:12865907:1 gene:Et_2A_014485 transcript:Et_2A_014485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLLGLKDMHEKGIIHRDIKPSNILIDSDSDCVVGKICDFGLATYYDEAIATSCGIPHGTYGYMAPEVYELKSSCTFESDMWSLGAVMYEFITGCPLISGHDSTGTITRMRSLFGSLDNCT >Et_7B_054372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20537361:20542395:1 gene:Et_7B_054372 transcript:Et_7B_054372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATHQTTPSLAGNELYAQVGLGLQTVDGAVGLTGLPGAHVDTQLAVHAGASRDVGDVKMETEQEHEGQQPVVLDEGHQVGEESFEDDEELENFDVVAELARIDPSLVLSVQELAAIPEAQSPSRKSKRTEAYADKDLPERAEKLKAGKKKSSTLEQNTVSCGGAPKHSYFCLAYSFKVWPQEESDYRAVVRCTKSVALRHELRNSWHFLDEERFNYSSPETGCLCGWDQWIRALVLVCYFYSGET >Et_7B_054566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2399142:2403108:1 gene:Et_7B_054566 transcript:Et_7B_054566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIGIMDGAYFVGRGEILHWINATLQLSLAKVEEAASGAVQCQLMDMVHPGVVPMHKVNFDAKTEYDMIQNYKILQDVFNKLRIGKNIEVNKLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPAERRTKGCKERSLKGSNKSSKSLQANRLSGPDSADGGPGVAKACNTFAEEHYVEQIQLLSEKIADLKVSVDSTEKERDFYFSKLRDIEILCQRPELEHLPMTKAIRKILYAADAKDSPLPEANEIITKSPGLFSDEAE >Et_4A_033510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2439761:2460295:1 gene:Et_4A_033510 transcript:Et_4A_033510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAASAAALEAVQVLVASLADDSPVARASALAALREIAPLNPLLVLDCCATVSRGGRRRFGNMAGVFLVMASAVRALDRWDAEREFLRKIAKSATAEIVSSKEYDVDWQRAAATLLVAIGSHDPDLMMEEIFLYFSGPTSALPAMLQILADFASAEALQFTPRLKDVLLRVLPILGSVRDGQRPVFANAFKCWCQAAWQYLGDAPSELPFDADVMSFMNSVFELLIKVWTGSRDLKVRLSSVEALGEMVGLVTRSQLKSALPRIIPTMLDLCRKDQEVAFVACHSLHNLLNASLLSDSGPPLLDFEELTAILVSLLPLASVNAGKDEHYVSKGLKTYNELQHCFLVIGLAYPEDLCMFLLSKCKSKDEASIIGALSTIKHLLPRLLESWHTKQTLLVEIVKSLLEDQSLGIRMALAELIIVMASHCYLSGQPAELAIEFLVRHSALTDDDLNDLDTLKNEYFQDKRFELVLWPFVLKLIIPKKYTGAVATVCKCITELCRHKLSQTNPLYAEVNASEIPNPEDLFARLVVLLHNPLARGQLATHILTVLCYLGPLFPRNLSLFWQDEVPKMKAYISDPEDLKQDSTYQEIWDNMIINFLAESLDVVNDSEWVISLGDAFARQYDLYDKSDGHSALLHRCLGMLLQKVDDRIYVREKIDWMCRHSSMSIPINRLGLAQGIGLVAASHLDTVLEKLKSILDNAGQSALQRFLSFFSFKAKVEDVDDTYAALALMYGYAARYAPSTVIEARINALVGTNMLGRFLHVQHPTAKQAVITAIDLLGQAVISAAEMGISFPLKRRDQLLEYVLTLMGRDQSNDLTDFSTELLHTQSLALSACTTLVSLEPRLPMETRNRVMKATLGFFALPTEPSSIVGSLITNLIILLGAILLTSGEDGRSRAEQLLHILRQLDPYVSSSVEHQRRRGCVAVQEVLIKFRNLCSGGFGALGSYPTFILNKQIDQGGPRSLSTAFVLPNRDSLSLGERIMAYLPRCADSDAEVRKVAIQIIALFFNISLSLPKQKAYANDIDLESSYSALSSLEDIVSIIRREASVDQTEVFQRVVSSVCILLSKDELVVLLHSCTLATCDKVKQSADASIQAIIMFITRRGKELREADVSRTTQSLLSSAVSLTDKHSRQEVLNAISCLAENTNHIVVFDEVLSVAGRDISTKDLPRIRGGWAIQDVFYAFSQHSVLALRFLEYTLFILHKEPVAVNDSENGETTSESSADDCILQATMFALNAFMRGGGKIGKQAVEQSYPSVLSGLILKLGSLHGLAELGRNELLRSLLIAFQSFCDCVGDVEMGKILARDGEQTEKEKWIELVQEVACSSSVKRPKEVLPTCTILSKALNRNQRAEREAAAAALSEFIRHSEEEPTLLEQMVEELCQHVSDDSSTVRSLCLRGLVQIPESHMHKYIQQVLGVILALLEDANESVQLTAVQCLLTIHATLPRLILHLHDDDLSVRLACRNTFQLLAPLMEVDGLSLLLSKQYFTSDRRSDYEDFIKDLTRQLCRLSPARVDSYLESAIQAFDAPWPVIRANAVFATLVGRMSQSPDAIVRAAASSALGILIKRSNMLSSFTSRFDRADSSRNSQPADSNIETPSEPQEEAVQ >Et_8A_058442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7804125:7807129:1 gene:Et_8A_058442 transcript:Et_8A_058442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVRRSSKRMVEPVGEPAATVAPQPRASKRKVEAEPAGQPAAAEAHEFSGRRSPRVQRREYLSSPTNLYSSDAKPMDRGPPLTTGKKNPSILAFDDVNEIAAMAPDLDSAEGSQKAFTYKGSSVPDIIRNGIPAGTVDLHQENCIGAQQRATEFAFISDTPGDADVVPSNFPTQYGNNATNTDKCATSMHQDISEQSFEQQHMRSDVDNDDLLPPVKGCQAINYDHNPCEGNVAPMMECKTSSVVATESVSVMAVAMINDVVPNLLPSNLTSDTAKEDHVRGTGLLGNMAAIQQPNADSLFSATSLPSFKGDIINTQSPKKNYAAEDQQGVSLGYHDSAMTEYVAESSQSIGFSGVTQLFSTYLRNSAGAEHGNRLTSPEDTEGTLSKCVAGGTDNSYCPLLQRSLVHESTITDRPSESLIIESQPFLKTFPLWKHIDEMEIFKEAPQQPHFHPLKKLDPVLRESMAFGLMVFFGKTADSIRSLNIQDDNELFKEKLKGLFLLEEHGFDVRHLRSRLETLLNIKNTGCELWDTIKKLKEEITLKETNSKLRGDQINMLVTAIRQLERQANIFRCIFKYSVSQKNTDTLEVSKLKTEACDLKQSYLSVGQQYSRIVAAPW >Et_8A_056936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19111404:19116283:-1 gene:Et_8A_056936 transcript:Et_8A_056936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPCDLRFLLLAAAAAFIYIQVRLFVTQSHYADRLAEAERSENQCTSQLKSLIDQVSMQQEKIVALEETKIRQDEERAQLKILIQDLENYLQRTVESILKYQTSVASKFPLFISQDGTNGAVKRKALDYKQITYMQHIDLEPVRTERPGELTAYYKISKHYKWALDQLFVKHKFARVIILEDDMEIAPDFFDYFEAAAKLLDNDKTIMAVSSWNDNGQKQFVNDPKALYRSDFFPGLGWMLTKSTWIELSPKLKEVHGNRQFIRPEVCRTYNFGEHGSSMGQFFKQYLEPIKLNDVHIDWNSEDLSYLGEDKFLTKFGKEVASATPLHGSDAVLKAHNIGTDVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYNGSPRRIFLVGPESLGQLGL >Et_2A_016967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29902896:29904941:-1 gene:Et_2A_016967 transcript:Et_2A_016967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPLNSCGKKSSLLRIPASWGRYAWSIGRDDPRRAIHALKAGTALTLVSLLYILEPFFKGIGKNAMWAVMTVVVVLEFTAGFASTYLRFFPKIKKNYDYGVVIFLLTFNLITVSSFRQEDVVPLARDRLSTIAIGCGICLFMSLLVLPNWSGEDLHNSTVRKFEGLASSIEACVDEYFREQNKDGNDHDRQEARASIHIGYRAVLDSKSSDESLAHYASWEPRHSMHCYSYPWQKYVKLGSVLRHFAYTVAALHGCLESEIQTPPSVRSLFRDPCTRVAREVVKVLQELAVSIRSHRRCAPDVLSDHLHEALQDLNSAIKSQPRLFLGSKHARAANSRMLMELNSAKNTTASRATLPSFKTDTTSLLERRNTKTDRPAERNEKIMLRPTLSKIAMTSLEFSEALPFAAFASLLVEMVVRLELVIEEVKNLETAANFREFTGHDHLVIDLTSKEKTRSTSKDPLHPVSPAAE >Et_10B_003662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4498363:4500585:-1 gene:Et_10B_003662 transcript:Et_10B_003662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSYDPYPSPSPGSGAEDPSLYLYLSDIIPESPSSYLDLPPTPHHEQPQEQQQPMSAAAGAGPGDAAGPEDLVLPYISRMLMEDDIEDKFFYDYPDNRTLLQAQQPFLDILSNSEDTTTTSTTSGSSAHNQGGSGGGGEVPSPSSSDGASFSVSTTNAAAPPLTPAADKLGVRSAGVPAPSPPAVPAVARSVKQEAVDAVPGVGRGRKNPYADEELEIEGGRSSKQSALQGEDDATRDMLDRVMMPSHESCVLQMQQLRIAMQEEAAKNEAANGKAKGRRGSREVVDLRTLLIHCAQAVATDDRRSATELLKQIKQHASPQGDGTQRLAHCFAEGLQARLAGTGSMVYQSLMAKRTSAVALLQAYQLYMAAICFKKVAFIFSNYTIYNAALGKKKIHIVDYGIQYGFQWPCFLRRIANREGGPPEVRITGIDIPQPGFRPTERIEETGRRLSNYAREFGVPFKYHAIAASKMESVRKEDLNIDPDEVLIVNCLYQFKNLMDESVVIESPRDVVLNNIRKMRPHTFIHAIVNGSFSAPFFVTRFREALFYYSALFDVLDTTTPRDSDQRMLIEQNIFGRAALNVIACEGTDRVERPETYKQWQVRNQRAGLKQLPLNSEIMQVVRSKVKDCYHKDFVIDVDHNWLLQGWKGRILYAISTWVANDAGSYF >Et_6B_048323.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:12472098:12472283:-1 gene:Et_6B_048323 transcript:Et_6B_048323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSGLCPFCSENKDVEHLFLRCNRATSTWNALGFPSPLMNTSIEDLRNDMAELNFTKLKI >Et_10A_000794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17294776:17295512:1 gene:Et_10A_000794 transcript:Et_10A_000794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGYNNDQGDDGRWLYDPFPLPDDSDDILNWIHIPDGDDPYFPGGQYPPPAAPMQNGGDPIDGTAVPGNGNSYAMDVDPSTSAQHQDVGASDHQNVLDCTGCQVLREILHSNGFETAKLCIHGAAGVFYHATIELYQTSSESSVLAPLTHRYNIKGRDYFWVKQYLVGYAQQRASDGCTVLQDSISVFHDVL >Et_1A_008398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7243480:7244058:-1 gene:Et_1A_008398 transcript:Et_1A_008398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLQIWGWGWKAPASAVVPALQRMTAIRLVRQCLTLLLHSKNSSICLTLHSKNSSTPLPPTTVARLHALLLTSGHLHDLASSFFRTVPVAAHSMSRTCSMECPARLQFPTPTLSSAPTLPSASIERPSFSTPRCATSTT >Et_7A_053160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8581849:8585682:-1 gene:Et_7A_053160 transcript:Et_7A_053160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFDLQVKERTKDLKHLKTAAMKGLKAAGESCKKAWSKATHHMSTSRMMPYFPHELVTAPFFPKSFSFSFFFFFSFLFFSLSFSFLFLLSFSFLLRLLSSSSLSFLFLRRLLRRSSSESDSLSDSALEPE >Et_4B_038432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2950113:2956103:1 gene:Et_4B_038432 transcript:Et_4B_038432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLRVHLPSEIPIVGCEITPYVLLRRPEGGILTDDVSEAAPVDGYRIQSDRRAAICSVHPTEQATLQCLGCLKSKIPVAKSYHCSPKCFSDAWQHHRVLHERAISALNENGTEEEELFRFGSGGSGMLSAALSGSASNLVQSSVVNNGPTPVYPIGIEKSSGETWFEVGCSRTYTATADDIGHVLRFECIVVDVETRGTMGSPTSIMTSRVIPAPTPTPRRLIPVNAADAMGHFDLDTRTSSFGTFTVLSYNILADTYATSDAYNYCPTWALSWAYRRQNLLREIIGYHADIICLQEVQNNHFEEFFSPELDKHGYQALYKKRTTEVYTGSSQAIDGCATFFRRDRFSHVEFNKAAQSLTDAIIPAAQKKLALNRLIKDNIALIAVLEAKFNNHGTENPGKRQLLCVANTHINIHHDLKDVKLWQVHTLLKGLEKIAVSADIPMLVCGDFNSTPGSTSHGLLAMGKVDLLHPDLAVDPLGILRPPSKLTHQLPLVSAYSSFARMAGAGYDLDPQRKRMDPATNEPLFTNCTRDFTGTIDYIFYTADSLTVESLLELLDEDSLRKDTALPSPEWWCYRGGVLNFHKRTYPRGTVEGKIEKHKGKRLIMFRTLLRFRHEKA >Et_5B_044046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19385227:19385705:-1 gene:Et_5B_044046 transcript:Et_5B_044046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTHPSKMWYSRSPERRRAARGSQAAAESGPSFRRRGLRGREHLADVLVVRGEVEGELRQEGPDSAPAWGENAGPGGLGDAFEPEEDEDEDVVGQRTEAVPAGVSAGEGEIGTLRRSAPCRCRGRQEIIDHFTPDFNKKVKFILEIN >Et_7A_052435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7993893:8006969:-1 gene:Et_7A_052435 transcript:Et_7A_052435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAELTWLALLLLPCALALDRDEFPPDFLFGTSTSAYQIEGTIKDGSNGDIADDHYHRYMEDIELMHSLGVNSYRFSISWTRILPRGRFGHVNADGVAFYNSLIDALLKKGIQPLATLSHYDIPHELDKQYGGWLSPEIQKDFGCYAEVCFKMFGDRVKFWITFNEPNLLSKFSYMDGTYPPGRCSHPFGNCVFGNSSTEPYIAGHNMILSHANAVSIYRKKYQGKQGGHIGITICSRWYKPFRNITVDILAIERALYLSVVTASTGDESSLN >Et_7B_054202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1779730:1780033:-1 gene:Et_7B_054202 transcript:Et_7B_054202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAQIALPILGIIAAAAVTFYTVSFMEMRDKSFEELDDKYSEIDEDGGRQRRARRKAERERKKRNG >Et_7A_052667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11829876:11831056:1 gene:Et_7A_052667 transcript:Et_7A_052667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVPCCEKDNVKRGQWTPEEDNKLLSYITQYGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGEFTDAEEQTIIKLHSVVGNRWSVIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKSFSHLMAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKKRPAAAAAPDVVMGVPVAGAPCFPAAPPQRQADDTIERIKLGLSRAIMSEPNAAGAGDKQQQPPPPPWAPADMSEGLAGMYAAYNPSSAAQVVDEFVSEYVLGGAGGDADQGASMWSHQSMYSGSPGTEAAAAPRPAAAVPERGNDSVGSSRGEDDDMDDAKEGGGKGGAGSDMSGLFGSDCVLWDLPDELANHMV >Et_8A_056197.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:14515954:14516148:-1 gene:Et_8A_056197 transcript:Et_8A_056197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WSCVVSTKRTILSPFTKKYRVLAPISSIHQYLEGAFLIGRPQQIKFQISATFVSLLNSLLYLLS >Et_2B_020846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24100330:24103652:-1 gene:Et_2B_020846 transcript:Et_2B_020846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPASWLRRDVFLGLALGQFVSLLITSTGFSSSELARRGVNAPTSQSLLNYILLALVYGGVLIHKKRHLTIKWYYYLILGIIDVEANYIVVKAYQYTSLTSVMLIDCWAIPCVILLTWVFLKTKYGLRKFIGVGICVAGIVLVVFSDVHASERAKGPNPLKGDLLVVAGATLYAEYFVKKSSRVEVMAMLGVFGAIISGIQISILERQELRSIHWNAGAILPFIGFALAMFLFYSTVPIILKICGATMLNLSLLTSDMWAVDWMYFVAFAGTAAGLVIYSYKGSKETAEETAQVTVAMDEEAATVNHTELVPGVGEFRPVSNKDFMSAATASN >Et_8B_058956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11459836:11470850:-1 gene:Et_8B_058956 transcript:Et_8B_058956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSDDDDVEPRLKAVENYYFVDDDDAPVSFDVLPFQFNAADEVPSFKRDVYLRGFTDGGLQKVYKQVVAWKLSLDGGSPEITVLSTEGSWIVLLKPRSSYEKTVRSVLITVEMLHFVRRSPAVPDEEMWGHLRAVFGKYDVWPSAVDLRNHVRLIKVFAERDPAIAKSQTLQQFIKENTLENIDKVSRCTVKKIDEVAQNIVEKVNKVDHNTAEKIDKVGSDDLDVKYPFIAEDEEREEMVEDDSKHESCNDDDEVDDEEEGDLFDSVCAICDNGGELLCCEGSCMRSFHAKIGDGEDSYCATLGYTEAELEAIKNFLCKNCENKQHQCFVCGVLEPSDGPNAKVFLCNNATCGHFYHPKCVAQKLHPNNRNQASELEKNILAGFSFTCPVHWCFECKGLEDRTQEPLQFAVCRRCPRSYHRKCLPREISFEDIDAAGIITRAWELSKRILIYCLDHDMDLDIGTPARDHLKFPRKAKPVHPVKRKVKELAEKKRQFFDDSYVDEPVQRSSRRVVTKRSLEQPISKLVKKKAKYLKEMVHPEESVLECHAVANQPKEPANDELETPQSSFPVVDTETEKRLWVPVMTFLLFDDRVIALVEKEVSSLTLDDISRRCTIPSTYASSGRQIDKIIAQGKLERSVQAVQAALQKLENGGTVDDAKAVCEAEVLRQITRWNNKLRVYLAPFIHGTRYTSFGRHFTKKDKLIEIVDKLHWYVQPGDSIVDFSCGLNDFSKFMKEKLEKVGKKCNFKNFDVIRPKNSFCFEKRDWMTVRPKELPHGSKLIVGLNPPFGMKAMLANKFIDKALSFKPKLIILIVPKETERLDQKRQPYDLVWEHTGSLSGRSFYLPGSVDVTDKQMDQWNVSPPPLYLWSHPNWTQKHRKIAEEHGHTTLKRDRYEEKDTYMTENINIVGKPERANGLHAEKLVAVGYEETDAASVRGGSFRANQSEAIEVSNSSRWRRESEKTGDAMKADSDMSISPSDSRNSQYQSRSNSPHMTSELSSERVAHQDIYFNDPVREPCTPFERAPYEDYIRNVAEYGVASVEKHLAISTSNVGAGLMMHSPDIDELTGVYAGGPNSNFYTAASGGTSGSFYRIQDLQDSSADHTLERTSPAPRNMVAGRNVDDPRIYGLIRGDHTQAATTAADIRAQIRMYGGHTGDGHPQTAMSPPASDIRAQIRMYGRQSTHTSGYSRSSDPQSALTGFDSYGISSLDSTGRSPMGRYTPHLHETNYTTGSCNVPDDRRNMTPDPLAFGSRQQYPYPHPGPSGGWPG >Et_10B_002901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13250532:13250921:-1 gene:Et_10B_002901 transcript:Et_10B_002901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALHYLTRFTATARETTKKLDQSCFSTRALRIITPGSVAEIREFVDNLLLCSYVRDGQSTDSSSGPVRACLKMTFSAPVRACLNMTFHVSSSGSGMWWLPKPR >Et_7A_051446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18695737:18698359:-1 gene:Et_7A_051446 transcript:Et_7A_051446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGGSTAVSPLTRSGRMFQTNAYPLPERTHANSSSCSLVITCVERSTFRNGAALMEKKRADGVQRWKKHGAHGVERRRLEVVEGVDQDGVGELGHALRLVDEPVEHDVAAVRGADEAGEVAQPHAGAHAGGAGDHRVEVGGVRQLRLVLERDEAPVDEAGVVVVAQEPARARRPQRGARHANRPRGVVRERHHGVDDEAGHRRRRTAGADLVVVELLEESEEVREAVVHGGVAEGRDVLCGVGVGRREAVRHGGEPESRHAVERGGAARVKQPAVVVLGVDEGATNENADTISAMDVSTNAILVEDAIEVGEKSASSAFSRHLLDLQSEEELGAGSISAAIMAVEGYCACKKRTTKLRTRL >Et_7B_053473.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:21508839:21509417:1 gene:Et_7B_053473 transcript:Et_7B_053473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRHLLVVLPCVWVFLLLQAAAGASKAVSPVVAAEFVRRSCRSTRYPRVCESTLLPCAAAVGRSPRRLARAALVVGADRASNCSSYLKRGGGKGGAMKDCAELSRDAEERLRQSVAEMDRMGRAGSPRFAWRLSNVQTWASAALTDTSTCLDSLAGDNKDAAVRRRVTAVAQATSNALALVNKLDPEPHHL >Et_4B_039475.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1883697:1884635:1 gene:Et_4B_039475 transcript:Et_4B_039475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAAGTRLSAPAPWRPTPGTAAPHLLCLPPLISHYRRCRPIRLLRPLRAAPTPPPAPSSRPARDRAIEFGKYKGQMLGTLPPSYLRWVVAELDYGDTAPWARLARDVLDDPVYVDRVEWEHAHRFLRGDSNYDYAYDDDGDGPLQEMAERFGWDLSDEDGWGRLDFRLLGTSYGGRIPRKSARRQNTTTTSSRSVSPGGASNGSLFDVGSDPDGPRGKRDERRERMRMRREEQVRTAKMGMLGVNAGVAPRKARIRTAKKEILGLGRGSLAGELLEEKSRKAGKGGQGGNPFPGRQAFLDKVRKLKDDTI >Et_1A_007842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38774442:38777155:-1 gene:Et_1A_007842 transcript:Et_1A_007842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCIPTGLRLDLDMVKAATSPGAHSSPLRPVHSSPSSTLSEASNTSSSATSVSLKRARAPRKRPNQAYNEAAALLASIHPSVFPVKKSPKTAPRPPARQLSGLTAAFDASSDLLPPLPVLADSAFLLRDTPAPSPHPQSPSGAKNCSSPAPVSSVFRDFRDPVPSPATPDTADDLGEIDFDDDCFDAESILDVDESAAGGAAEGIDSIMGSLTVDSSTSTTSDDSILSSSGIHPYLRSLMVVGLAGRFELGLGFRHGARPNLNRALKRRDDDGAWWMWPAVPVKDLTVAPPPPPEASNAEMPQAPAAPPEKKKSKKKKLVKVEKVMAKGKEELPNAKCKEEAVDAANGDGDGDADSTPAKAPKTGLGLKLDTDEVIKAWSDKGSMFAEGSATESPTSAADMRAKLADIDLFPENGAGGIREASVLRYKEKRRTRLFSKKIRYQVRKGRFVRSPSLLQQALEEES >Et_4B_038816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5010830:5018105:1 gene:Et_4B_038816 transcript:Et_4B_038816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRTGAEVVTGDAICRKKSVELLEELGLPKGLLPLEDIQEFGYNRATGFMWLVQGKKKVEHTFKRIKQTVSYASEMTAFVEKGKLRKITGVKTKELMLWLSVVEVYVPEASLEKVTFKTGTGLSDSFDATAFALGRCRRGGEWRLAWTSSAALGAEPEGARVDAECTGLAFGKIPAQQLKGCLRPAPWRKRNRIFKRHLLLLQRRRSEAIFLGGMTESNRRSGSVGDGGGRENSRGFAVGVGYRPPAMRQDTAQHALALIAAAEDCNPMASQIESHRNTTEVVTGDAICKKKSVQLLEELGLPKGLLPLEDIQEFGYNRATGFMWLVQEKKKVEHTFKKIKQTVSYASEVTAFVEKGKLRKITGVKTKELMLWLSVVEVYVPETSPEKVTFKTGTGLSDSFDATAFALGE >Et_1B_011103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17649638:17650938:1 gene:Et_1B_011103 transcript:Et_1B_011103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFHKRVKQDISSKSVSVIRSLLSDMEDCRSKYIHSLLIDDTCSGIHTSTQNIKKEKRTQAVDPGFVHLSLADSWAPLECAGTLRTASPSLGAGSSGRGHALVSALLRGPMVVGLYEEAAAGAAALRVQGTASPPPDQESSELFASWLVSPEPAGLGSPDHQCGGRTGGGGSVFENSSWAALRPYGHPPAGAMDQGAAGRPPAPRS >Et_2A_017556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3600919:3604518:1 gene:Et_2A_017556 transcript:Et_2A_017556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDWSSLPADLLQEVSGRLSSDADYLHTHQVCQHWRSFTVPPAIHRPWLLAGRACRSGLLPIGEYSLRLPRRDAQRMDVGAPPAGLPYCCGASRGWLALVDDEQSPTRLVLWEPLSNTEISLPCLNPLTRIFLSDDPLTSSDWVAIASQLKGITGQITLLWRPGDAAWTMLNGRGTSEIDTIAFLDGKAYYIDIRRNIIICDLISGPDPSPKITPIFFVYAVVNRICNHPSMAEVYKPVWTPSQRLELHERVMDLGDHSLFVGRGDTFALSTKKFPVIKRNCVYYVDKPLMKQYWIYVFHLESNVLEEIPYPEELKGDATNWTPYTWFCPRKSLIKQPHDWADASSEKKPLFKASGGWSSLPADLLHEVSGRLSSDAGYRHIHQVCAHWRAFTVPPAIYRPWLVAGRAYRSGLVPIDEYSLRLPRRDAQRMDAGAPPAGLPYCCGTSRGWLALVDDDQSPTRLVLWEPLSNTEISLPCLNSLTRIFLSNDPLTSSDWVAIAGQRKGITGQKTLLWRPGDVAWSILDEQGTSEIDTIAFLDGKAYYIDTRRNIFICDLNTRTDPSPKITPIFFVYAVVNRICKCDRLHALRGVHLVACNGELLLVLFYRDSHPSMAEVYKPVWTPNRRLEPHERVIDLGDHSLFVGRGDTFALSTKEFPVIKRNCVYYVDKPFMKQYWIYVFHLESNVLEEIPYPEELKGDATNWTPYTWFCPKKNPHQAVLKLMNLGLV >Et_10A_000217.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18186345:18186485:-1 gene:Et_10A_000217 transcript:Et_10A_000217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQCRPNFGSRIFREIMMVAAWTIWCHRSLWRIFISKPLKKNVQE >Et_5A_041167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1883110:1893010:1 gene:Et_5A_041167 transcript:Et_5A_041167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSVHCYPLLKPLLGISPHPVSTRASVLRKARGARRLQRCLCSQYADQRQRPESPQQLERLFSNLNQATMKHEPGSIMGSIFLVAGTTVGAGILAIPAVTQEAGFLASAVTCIFCWSYMVVTGLLVAEVNANTMCELGSGGVSLVSMAMRTLGIFGLVISIHTLCTSYCICGTIFRYHNKYIGHSIMGECYPVFTGFRGNMLLWKVVASGNLEWSSLLEANFAAAPRSIPIIALSFVYQRLIGAVNGLLVAGILASFTCLVVVASGNLQWSSLLEANFAAAPQSIPIIALSFVYQNVVPVLCANLEGDLSKVRTSIVAGTAIPLALFLIWDAVILGTLPGLVGNGTISDPLEQLRSSNRVVGPIVELFSFLAIGTSYIGFVLGLSDFIADFLVLFGVLPAAMSWSERYSDELEAPVPRIVPGGKLTLSIVMGGALLVIFSEIIKDIMQLQVLH >Et_4B_036819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11719734:11721115:-1 gene:Et_4B_036819 transcript:Et_4B_036819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHEAFNSRTGFLGNTQGVRPRVVPASRIGLVRIECKESRIGKKPIEVPTNVTLTLEEQFVKAKGPLGELSLNYPGEVKVVKEESGSLRIYKTVETKRANQMHGLFRTLTDNIIVGVSKGFDKKLQLVGVGYRAAVEGNDLVMNLGFSHPVRMPVPEGLQVKVEENTRIIVSGYDKSAIGQFAATIKKWRPPEPYKGKGIRYADEVVRRKEGKAGKKK >Et_1A_008670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9742520:9749145:-1 gene:Et_1A_008670 transcript:Et_1A_008670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERGSSAFSRSGGDGETSLEALALGKVAEAADAIAEAASASEVVRAIHAVAALLFPVDSAAVAGTVEEPFRTQIIETVSLSDGEKEAWRHAFYHGPAFPTMSKILLGNVSLKWLRKIQASARNEVYDPFFVEGPPTEVIQELIPALSQKRDSKEEQRNFSLNVERLLILCLLDHKGVFQIAADFTRVIKHDDDIANPDRTIFVSRVAQLLASVPDKIRLGGPPALTAPQLLVGAEAAAIELCADKGSNEHCALNYAFLFVGEVLSRVSRRGSTGSLVAELIPRIRNHLNRCIASDHKTLSPDTISHVSQSQFWFNMVESMKDNHSIERLTEELLRQLGSQHTSDEEAYWILWTLFNRSFARLTVMRAMFIDKFLLWKTFPLCCLRWILYYAVFECPPNSATETLMQRAPNFNGRLESPIDLIRKMASAIALTFSKVVDPKNPLYLDDNCCESVDWEFGLLCAKEVNASSHETESKSKLKASSREKKAEAIKQDIPDGRPKIVEIGSLDHDRMSDPAMNEQFEEECDEESLNVDASSDSSLEPYDLSDDDTDLQKKFSHLSDLAAALRKPDDLDGVENALNSAEKLVRASPDELRHNSGDLVRALVHVRCSDVAMEGEEDSAEEKRQKALVALLVTCPFESLDVLTKLLYSSSVDISQRILIIDVMTEAAQELAETKIAKTEQRHGNLISDTSPSWLVPSDRGPPGAGPWREVSEPGTLLSWSHRYERDVPSRSGQVKSGKSRKWGLGKAKHFQTEWSKNRFPLYAAAFMLPVMQGYDKRSHGVDLLNRDFVVLGKLMYMLGVCMKCMAMHPEASALAPALLDMIRSRDVSQHAEAYVRRSVLFAASCILISLHPSYVASSLIEGNRQISTGIEWIRTWALQVAEADPDTECSSMAMTCLRLHSEMALQTSRALESADRSKAGARSLPSKLDNIIIPFGNMM >Et_4B_037217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16760590:16768716:-1 gene:Et_4B_037217 transcript:Et_4B_037217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLKWPSRGENRGEQLCSTIRSLIVVHTESLTVNPANARLKLPKNARLSTAPSSPSTPSTMITTHLSSTATPKPPFPSSAFHSHLHPHLSVPLSLPRRHRRRHLPRPVSAAAPPDLLASVESVASAASVLAAIVLVHESGHFLAAVSRGIHVSQFSIGFGPALARFRAGPVEYALRAIPLGGYVGFPDDDPESGFAADDPDLLRNRPVPDRLLVVSAGVAANLAFAFLILYAQALTVGVPVQAQLPGVLVPEVLPGSAAARDGLLAGDIILAVPGTAPDPSVPVLVDLIKSSPSKKVPITVSRRVLGAADRESVELTLVPDTSADGSGRIGVQLSPNFQTAEKVSGPVAIIAVGAEVARSSADGLFQFAAVINLNLAAINLLPLPALDGGTLALILLEAARGGRKIPREVEQGIMSSGILVVLMVGVFLIVRDTLNLDFIKEILFVYCLSVVRLTGLESNTSIMDHIPSQLAGSQIRIVQNSP >Et_1A_006680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26022016:26023157:-1 gene:Et_1A_006680 transcript:Et_1A_006680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAAAAASSDFPAERVLLDTVGRIGPHEDNATTATGETRAGEPFKVSLEIAEPPLLSRLSVHFPNLPSVDPKVSARVTGADANFLLIRVAYPDRPAVGGCTATVVIAEPAPSLYLVPEPYPLGFRGDHVAVLSCDDDDVTGKKGSTDCLVVVPRRRFDADGGMWYDLQTFSTKTGSWSSRDAAVTETLQGHYQSRFRPTRVFSLGEGLMAWVDLRYGILLCNLLAEEPVMSLIELGPLMPGNTVVFEPNMDGGLPPLDQVRDVAFSNGRFRHWRASMFTRTIEESQTWEKYRTFDSAEFCPGHLCHPCRFPMIWECKQDKLTLSTMIDNTTPTLDRYNDDVVYLMTKLDARDLNGWVLSINTLTNKVERHAPDR >Et_1B_010313.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6374655:6375467:1 gene:Et_1B_010313 transcript:Et_1B_010313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAVLPGAGKEGAAKRAPPVLCVAACELLGAPRATALPAAAALEMLHAASLVHDDLPCFDAAPTRRGRPSTHAAFGTDMAVLAGDALFPLAYTHVIAHTPSPDPVPHAVLLRVLAELARAVGSTGMAAGQFLDLAGAAALGEAEVMQVLTKKFGEMAECSAACGAMLGGAGPDEEAALRRYGRTIGVLYELVDDVRSASGNGKMRRNASVLRALGMDRALGIVEELKKQAKTEADRFADKYGDRVLPLYSFVDYAADRGFELQDAAATP >Et_2B_019347.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24855966:24856343:-1 gene:Et_2B_019347 transcript:Et_2B_019347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQRQVQQQAAAVDFEDYLPVMAERLGEEGLMQELASGFRLLMDPARGLITFDSLRRNAPLLGLGAMSDDDLRGMLAEGDFDGDGALSEMEFCVLMVRLSPELMDEPRRWLDDAVAQASQFLF >Et_5A_040662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10900426:10903261:-1 gene:Et_5A_040662 transcript:Et_5A_040662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKQGGRGGAGLDRKRISEALDKHLEKAVAAASPSTSRGSAGGGGGGRDHHRLVVPSSASSIPKGRCSEGESDSDSEGSDVSGSDGEDTSWISWYCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGLAAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIHRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYEHLKPQKPSQRYVPRVFGFKLHKP >Et_8B_060296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8813820:8820191:1 gene:Et_8B_060296 transcript:Et_8B_060296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFRGSSSSSSCQSSYLPAAGASIASSPPSAALPSPLPDLGVALSAADLRAAAYEILVAATRATGAKPLTYIPQPASSSLLQRTHVRVRLGVTEQAGSRIRRGLLRIAAGQLGKHAESMVLPLEFLQKFKASDFPDPLEYEAWQTRNFKLLEAGLLVHPLVPLKKSDISAQRLRKIIQEAYDGQLETGWNSESMQRLRRAAMSLACRSLTETSDECHWADGFPLNLHVYKILVEACFDGEDGTILDEIDEVMELLKKTWLILGVNQMLHNLCFTWAQFNHFVISGQADKELLSATENLLVQVINDAKITEDPDYCDVLSSTLSLIMGWTEKRLLAYHETFSSSNIDSMPNMVSIAISTAMILVDNMSYDHHLGTKEQTEVLHGRIATYIRSSVRAAFAQKIEEAESKRSSRNPVHVLSILAKEVGDIATKEKNMYSPVLKKWHPFAAGVAVATLHACFGNDLKQYIVSLRELTPDAAQVLKAADKLEKDLFHIAIEESMDSDDGGKSLIKEMLPYEAGTVLDNLVKARVKKRVEKLKGWTDQNIQQETWNPKANNMDNLDSYSMEMLHTINENLDAFFQFPVSVHSTLLPDLKIGFDRCLQFYVSKVKSGCGTRGTLFPQLPHLTRCDVGSKLFKNKEKPQFLVKRGSQVGLDTGNEASSLPGLCARINTLHHLKNELENLDNKMKTCLQSVSAQPDTADGLDINFQQSLAACQDGIRQLCEATGYKVIFNDLSHVLLDTLYVGSPASNRILPLLKELGPILKFISATVHNRVRNRLMTALMKASFDGFLLVLLAGGPARAFSCEDYQIIEDDFRALRGLYLTYGERMPEELVVKSSLEVKNILPLLRTDTPTLIGRFKQAISESYGSTDNARFPIPPVPTQWSPNNPNTILRVLCYRKDEAASKFLKQTYDLPKTL >Et_4A_033468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22992408:22994562:-1 gene:Et_4A_033468 transcript:Et_4A_033468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQQQSLLGIGCSNILARARVQCLAAASHAPRLPLLLHVNGGKKAHSPTLKNGNAISLSRRRGRDLCVVAEASTAATVTPAKQSGVSISDVLWPSAGAFLAMAILGRLDQMMSFKGVTMTIAPLGAVCCVLFCAPNSPAAKKYNMFVAQIGCAAFGVLALSLFGPGWLARSVALSACIAFMTVTGATHPPAASLPLLFIDGAKFHNLQFWYALFPGAAGCVILCLIQEVVVYLKENFKF >Et_3B_028457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15650546:15660847:1 gene:Et_3B_028457 transcript:Et_3B_028457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSSPRTQFTKALYQLAVNNFLAIAAVASIATILQRAWPVKHDDVVGRLRTLWPIHVLMAAVLATAVAKLRRMRRPRDVYLVEYGCFRPKPCYRSPFATCREHAHLMPYLIDEESVSFALRLLERSGLGDETCVPDAYHYMPPDRSLAASRDETELVIFDAIDDVFAKTTVRPSEIDVVIVNCSIFTPTPVFADMVVNRYGLRADVQNVNLSGMGCSAGLVSVGLAKNLLQVARPGTNVLIVSTEILSSQYYVGTERAMLLPNCLFRMGAAAMILSNSPERARFKLGRVVRTVTAARDTDYKCVFQEEDDKGITGIRLSKDLASTAGHALKSNIAAFGPLVLPVSEQVLVAVSLLKRKLLSGRAKVRLYRPDFTTAFEHFCIHAGGRGVIDEVQHGLGLSDDDVEASRMTLHRFGNTSSSSVLYELAYIEAKGRMRKGDRVWMISFGAGFDCNSVSWECVKPAADADGPWADCIHRYPVELPDVQATQLLKTLYQITVNNFLAVVAVAFAAAALRSAWPVSIDELAPRLRALRPVHVLAVAILTATVAKLRRACRGKEVYMVEYGCFRPDPYFRAPFATCQEHARLLPFLVDEESIAFGVRLLERSGLGDETCVPESYHYLPPDRSLRAAREEAELVVFSAVDAAFARTAGAVSPADIDAVVVNCSIFAPTPALADTVVSRYKLRADVRVVNLSGMGCSAGLVSVGVARNLLQSALPRGAHVLIASTEILSSQYYTGAERAMLLPNCIFRMGAGAMILSNSPDRGARFRLGRVVRTTTAARDGSYRCVFQEEDDRGNLGVRLSKDLLVTAGQALKTNIAAFATHVLPASEKLLVAASLLRRTLQPRGKARVRLYRPDFRTAFEHFCIHAGGRAVIDEVQRGLGLSDDDVEASRMSLHRFGNTSSSSMLYELAYIEAKGKMKKGDRVWMIAFGSGFKCNSVAWECVKPAGAGATADGPWAGCIHRYPVRLPEVAKDRFRVLDTADLLAWLRAQRPVHVLTASILTAAVAKLARARRERDVYLVEYGCFRPRPCFRAPFATCREHVRLLPYLVDEDDVAWGMRLLERSGLGEETCVPYACHYLPPDSSVAASREEAELVVFASVDDVLARSGVSPDEIDAVVVNCSIFTPTPVFADMVVRRYAMRDDVRTVNLSGMGCSAGLISVGLARNILRASRQGTRVLVVSTEILSSQYYVGTERAMLLPNCLFRMGAASMILSNSADRARFRLGRVVRTIAAARDAGYRCIFQEDGEGVTGVRLSEDLVATASQTLKRNIVTSGPLVLPFSEKLLVALSLLKRSTTLLMSGGRAAKVRLYRPDFRAAFEHFCIHAGGRGVIDEVQLGLGLSDDDVEASRMTLHRFGNTSSSSVLYELAYIEAKGRMRKGDRVWMISFGAGFEANSVAWECVKPAADADGPWADCIHRYPVQVPEGIKGIIT >Et_1B_011183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18480938:18482651:-1 gene:Et_1B_011183 transcript:Et_1B_011183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTSKSLTSSVMELSPISLVLLFLISILIVVSSRQRRIRTTSRRRPSGPRALPLIGSIHHLLTSQPEVALRDLATKHGPVMYLRLGEVDNVVISSAAAAQEVLHAKDLSFASRPSLLVTEIIAYNNLDVGFAPYGPYWRALRKLCTLELLSARKVRQLAPIRDAEVMSLVRKIRDSAGDGEPVNLSRLLLSCANQITSVATFGDRCGDERRERFLSSMAVMLSYGSGFCISDLFPSLWFVDTLIGTRRRLRRVHRQVDRLLDEIITECEVRRKERKMANAASEEDCRQQQGEGDLLSIMLRIRDEGELDFPIDTTNIKAVIMDLFTAGTETTSTAAEWVMTELIRNPEIMAKVQAEIRQAFNNKDPHEHESQMDNLRYTRMVIKETLRLHPPVPILLPRLCRETCDVGGFEIVKGTRVIVNSWAMSRSTEYWEDPEEFRPERFENSVIDYRGAHYEYLPFGSGRRMCPGSGFGVATLESIVVRLLYYFDWSLPSGMRPEELDMRTAVGASARRKIELQLVASPYEVPLRT >Et_8B_058910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10880065:10889182:1 gene:Et_8B_058910 transcript:Et_8B_058910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADFGAPKELAGGLQQRRALYQPRLPPCLQGATVRAEYGDATTTIDPTCANVVAEAFPRTFGQPLVSFLADAEKAPQERAPIRVGVVFSGRQSPGGHNVVWGLNDALKAYNPQSVLYGFVGGTQGLFANKTLEITDDVLASYKNQGGFDLLGRSIDQIRSTKQLNAAMTTCHSLNLDGLVIIGGVTSNSDAAQLAETLVQNNCNTRVVGVPVSLNGDLKNQFVETTVGFDTVNSQLVSNVCLDAISAGKYYYFVRLMGRKASHVAFECALQSHPNMLILGEEVALSKLTLMEVINKICDGVQARAELGKYHGVLVIPEGLIESIPEMYALIQEINILHNNNVPVAEMPSQLSPWAAALFQFLPPFIRRELLLHQESDNSAQLSQIDTEQLLAHLVETEMIKRTKEGRYKGKKFSSVCHFFGYQARGSLPSNFDCDYAYTLGRISLHMIAAGLTGYMATVANLKDPIDKWRCAAAPLTAMMSVKRHLRGPGAIPIGKPAIHPSPIDLKGKAYEELVTEKLKHYIFAENIDAHASNVRHFLCPLIVKAKYGGVNLHQPGSKIM >Et_9A_062823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5513302:5513932:1 gene:Et_9A_062823 transcript:Et_9A_062823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVTSADVLHQAGEGANDGGGVNFVSVNNPQDLQFDDSLDPQNMSLVIDIGHGKRLTGQLSLKKCGVAAGQAPERGQTVAESQDDEEKQHLMHMRGWIMTVATLFVGMSFQAANQRPTGMPDPKDGLSFFFHRKHVNETNPADRAVSLAVGYVLVNTATFSLALAVVVLLLLPRGP >Et_3B_028624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17465839:17469584:-1 gene:Et_3B_028624 transcript:Et_3B_028624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAQRRQATAVAVTPARAQDTPARRLIAWLQLFFKAFVHRYGKLVRWDAAGRPVFLVLVAFLVQRSLRRRYLSWKESWQARLQAAAAVTVQSAVRATAARRELSLRKQDRAATRIQAQWRAHRALWSYLMTKRAALICQITWRHSIAKKQLVKLRLVRRSCSRLGQSDNVQANLQRLHEMVDVLQQAVGDAEARAIAEREAAKKAVAEAPPVIKEQVVLVEDTEKVNSLHAEVARLKDLLGAEMKEVFAAKKALAEAELRSEKLARSLGMEEVKNNRLQDSLKRMEEKASNLDEENKMLRQAVASNPAINSASSENHEESNVQETPDNEKATNGAVKPIIVDREGNIHERSAEQPSSNGHEAEKQQQELLIKCLSEDLGFSIGRPIAAYLIYRCLIHWKSFEEERTPVFDRIIQKITAALEDRYNNDTLSYWLSNSCTLLVLLQRTLKTNGVASLARQRRRTSPLKGPRENQDANHPDRPVSDGRLISGLAEVCQVEAKYPAIAFKQQLTALLEKVYGMIRHNLKKELSPLLSLCIQAPRTFVVSPKGSCSQGTDLAQQASMAHWQSIIKILTNSLNVLKSNYVPSFLICKLFTQVFSFINVQLFNSLLLRRECCSFSNGEFVKAGLDELEHWCFWLTEEYAGHAWDELKHIRQAVTLLILEEKHSRSLKEITDEFCPALSMQQLYRISTMYCDDKYGTLGIPSDVISSMRTKMIEGSSSPSAHDDINSFLLDDDFSIPFSVDDIAKLMVNVDTSDMDLPPLIQESNGSKSGH >Et_7A_053138.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:7850117:7850770:-1 gene:Et_7A_053138 transcript:Et_7A_053138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSPPAAAANNNNATPGRRVPPPCWTTGETLALARAYTARRLAVGREHLTSADWAAVAAAAPSKTARQCRHKVEKLRRRLRSSRRRPCPLLDAIDLLDGPSPPPFPSRAHSPSPSPSLSPSPPPAVSPPYPASPPPPASPPPRKRRRDDDEDGVSDVVGALRAIGEGFLRAEERRMEAARETQRMRMDMALRHLDAQRRLMEAFVGRIVDALD >Et_8B_059449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1879073:1884119:-1 gene:Et_8B_059449 transcript:Et_8B_059449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PSFPTTFPHPNPTSSPCQNLRASKRSPAQHAGDGGAGEREYDGGRHGLGGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTEEQSEHVQDYNFDHPDAFDTDQLLECMGKLKSGQSVNIPIYDFKNHRRCSESFRKVNASDVIILEGILVFHDQRVRDLMDMKIFVDTDADIRLARRIMRDTIERGRDVTSVLEQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNIHVVHTTFQIRGMHTLIRDRDITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSTYIGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDDGQQIIYHKLPMDIAERHVLLLDPVLGTGNSANQAIELLIRKGVPEDRIIFLNLISTPEGVHCVCKRFPRLKIITSEIDVGLNEEYRVIPGLGEYGDRYFGTD >Et_4A_034844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6987045:6997516:-1 gene:Et_4A_034844 transcript:Et_4A_034844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQAATGAFLATLSSSSSHHTAPSSLSFAASPVLPASLRAAGTAGPGLVSRYRGRRVAAVVAQLPTTHPEVAAGDKKIQWSSRAVRSFAMAELEARKMRYPTTGTEGLLMGILVEGTSGAAKLLRANGITLLKVREEAANVLGKSEMFYFSPMHPPLTEAAQRALDWAVNEKMKSGAEGEVTANHLLLGIWSDKESAGHKILASLGFNDEKASLLANTSGTEKCHALTRSMHILKADAMLVTCRILALRFRLWSPRQ >Et_2A_016043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20477387:20480117:1 gene:Et_2A_016043 transcript:Et_2A_016043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGNSVAESVGESPEPEQAARLAGKVNKGRGLRRWRRIPREHHREGSPASPVSAGAVAGAPGEDLAQLHKRRHALDGDAPKGKHDAAAEEESSVASVESSFVPLEASTPPAPTRLDPNLGHLIATAGFSVGTGGADSENSDDRSSTAASAPRTAHPRHEFSFGGFGRERERARSRAPGSAAHSKNLRSARVRGPSARAAASPASPVEPENSRSSVESNLRGSSTAQPRESVASISSNGVHKVLFPDDHHSDEEPPSEELRYTNGSFYKQNGSVVGRLVMGNCDSDANDGVFDEASVGKFENGGTHTGLDPYVESIALLQSAQEALENEIQKFVEVRKETDESSTTHDNGTEWINSFQTDETVESLSEKLQALELKLEEATVLIKEKDSKILELDALNQMQAREACRSNLLSLQSEIEQLLLDKMEAEIQCFILTRASQAWRSMTNDQVASNEMQKSPRGDHKSIETKLRHAENRVMMLEEMVDKLEAQCKELSEGSEVLKLKARASKTSLFCSLQLVLLFIAMWTLLVRFLPSSTEFVPT >Et_3B_029434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2519507:2525715:1 gene:Et_3B_029434 transcript:Et_3B_029434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYGRSCQFLSWFICFVACVLLEFMVSAHSWCPPHPRVRQEASFEQKTNKFWEYKEQSNTWVEISMPFNLMSCINSTCTKVGAIKQPESKPGRDSVHSQQEKDSRLGGDDSEERNDPALPIRKRISLTRMSESSVWVTGQSGSIYERFWNGVMWVIAPHELPTSAGYAMATFIVNTTILALSEDGILYQLQLNEHAQPVWTEMIFNSGQPFTNLGEKTEKQAMRIRNGIVSTDGSKLFLSIMNGSLLEVTELQPLRWNYHGRPPGGDVSYISDAGNARPGTLFTVSSTGDLYEFDKESKPSWKKHILSEEMSDNISLSSSAGCALHGLLGPNSVSLFLITKDGILVERRLHRRKWKWANHGAPMGYRLSSITGIQQDEFSDTTSMFFTTTTGKVFEYQIPKYAGGFQRSKIKGQWTNHTSPEHAKAARNVPGVQVQAGRMIFSLDDGRLGELHFPGIGGDDFGPSPQSTTRRKSSNKYEWTILDAPETEGWNAEYCTEEHGPTNCIAGAKNIVADNEPNDLSNTIPPRRRKSEEKRYYLHVNTHESYETEPYNFLSRSIDLNFHMRVMHEDRSLFLITDSGLTFEYLNSNGIWLWLRHEHITAMKGTLGSYNGSLYLVDVHGNLHIRERNGDELSWINCTAMRKGRQVASGSPWDGIPGLSRRVTTDDALFFVNKRGRLLQFTVAFRKFKWKDCHSPPDTKIAFIVDQEVFRRNIIFVVGRNGRLYQYNRITELWHRHYQSPHLVLSRSPGTAVRPSAQSLAGSLFMVSEHGGLVEYHFSPQDGWEWVEHGTPHRDVALVGAPGPCFDGAQLFVVGSDGRVYRRHLDGRRTWRWTCHGHPPSEPAAAAAAHDQSCATPGAGAGEHFAEGFRGSCDGKVAPARPVPFSEHAVVFELRDGRLAELRRPAEGCGGWEWTRIIGTPASTCMTSYWTAVAT >Et_2B_021254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27962388:27964785:-1 gene:Et_2B_021254 transcript:Et_2B_021254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTSLFKIFSLQKLDMANNSLTGRLPSDIGYTLPNLKLLALYSIKFEGPIPDSLLSAYNLSVIYLTDNHFTGPIPSFGSLPNLEEIDVSNNMLEAAGWDFISSLLNCSRLTRLLLAGINLQGNLPNSIGNLSSTIKEFYLNDNNISGPIPQEIGNLRNLKELHMDNNSFTGNIPPTIGDLRNLDHLALERNKLSGQIPDTIGNLVQLKYLTLDWNNLSGRIPATIGNCNRLETFNLAHNLLNGSFPETILKITHARVFDLSHNHLSGRIPEEVGNLINLNKLSISNNMLSGNIPSGLSNCMHLQYIEMQNNFFEGSIPQSFEQLKGIKELDISRNNLSGKIPVFLASLNSLEHLNLSFNNFDGAIPSGGVFANSSAVSVEGNNHLCSSVVTGYVPLCSVTGDRKRKRKTLVLVAKIVIPISGIGIIIWFYLAIFFRRKRMQANTCLQQYDEHMENIAYKDILKATDGFSPANLIGSGSFEMVYKGKLNLQEDQVAIKVFNLETYGAHRSFAAECEALRNILTVCSSVDYTGADFKALVFPYMPHGNLEMWLHPKDNDYSKGKSLTLNQRISIALDLRISTNTLRFEAKQYSLDLDMAAHISEFGLARFLYNKNSHQDYSGSSAGLTGSIGYIPPGEISLILIAVYINPRDEKFSDGTNLHNLVARAFPTSIYEIVDPTMLRAEIDAGEVLQNCIVPLARIRLSCSVASPKERPGMGQVYTEILTVKHILSSILDE >Et_7B_053662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10968439:10969350:1 gene:Et_7B_053662 transcript:Et_7B_053662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEQDGRALTVHNTVFCAMVLRGDGSQSLKIPRDGLTMEKPPSMMRLCPLMYRASSLARKSTAAAMSSTLSRTPLRFPAASMACSNASPSRPTYRVASGVAAAAGETQLTRIPCRPSSAAAFSVSATRPRLAHVYACDAAPAVADARLDVPMMLQPTPPPPPPARRAATMARAAFTASTRSKSSLVLSRMLRHASPPMPALLNITSSAPNRDTAWSTAAATSDSTLAASGPSSAQRREPSSSWMSATTTRAPCFTKRRAVHSPIPLAPPVITATFPSSLIDEQAP >Et_4B_039753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28514132:28520733:-1 gene:Et_4B_039753 transcript:Et_4B_039753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLALAAACALLVAAAPAAGEEAKSANKFRQREASDDMLGYPHLDEDALVKTKCPKHVELRWQTEVSSSIYATPLIADINSDGKLEVVVPSFVHYLEVLEGSDGDKVPGWPAFHQSNVHSSPLLYDIDKDGAREIVLATYNGVVNFFRISGYMMTDKLEVPRRKVRKNWYVGLNPDPVDRSHPDVHDSSIGKGAASKESSVNQGKSGIESSNGTESRTMQGAEPLKNVSDHSSEAKPDSTQEVSKNINNTESGSISGVTAATENTSHAQRRLLQTADKSDGQTESSKTHETDPEAIGAANVENNEALEDDADASFDLFRDVEDLPDEYNYDYDDYVDDTMWGDEEWKEQVHEKAEDYVSIDAHILSTPVIADIDKDGVQEMVIAVSYFFDREYYDNSEHAKELEGIDLEKYIASSIVIFNLDTRQVKWTAELDLSTDNGTFRAHAYSSPTVVDLDGDGNMDILVGTAYGLVYAIDHHGKVRNKFPLEMAEIHAPVIAADINDDGKIEIVTADAHGNVAAWTADGEEIWERPTVGDVNGDGHTDVVVPTVSGNIYVLSGKDGSKIQPFPYRAHGRIMSPVLLLDMSKHGENAKGLTLATTSFDGYLYLIEGSSGCADVVDIGETSYTMVLADNVDGGDDLDLIVTTMNGNVFCFSTPSPHHPLKEWRSSNQGMNNAAYRYNREGMYVKHGSRTFRDEEGKHFWVEFEIVDKYRIPYGNQAPYNVTVTLLVPGNYQGDRRIVVSGMYHQPGKQRIMLPTVSVRTTGTVLVEMVDKNGLYFSDEFSLTFHMHYYKLLKWLVILPMLGMFGVLVILRPQEGAPLPSFSRNID >Et_1B_013874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2211135:2211503:1 gene:Et_1B_013874 transcript:Et_1B_013874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGLVALLLAIVLVVSSSDPQELRAFWFIADVMAARDEPNYFQDTCSATISKEHGCDPGKCAADCQSRFRGGVGGCQTLGCQCVYTCPSSPRAGGEKR >Et_1B_010671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12971721:12974701:1 gene:Et_1B_010671 transcript:Et_1B_010671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSPSPPPPPMIGRAGNLTVFITPPSPASTPRGSARAASESPRSDFSTPPRAAPSPAAASPSPSPSPKKVASPPAAPVVFIPPPPPVKVAPPPVQVPPPQYEKASGGKHDGSGFGFFWDAVARVQEAHASLDEYVANWFGLDQSKYQWALNDYYEATGKVTYPHAAQTMLQEVDCVKPGKPKELTAKVQK >Et_1B_011451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22506015:22509470:1 gene:Et_1B_011451 transcript:Et_1B_011451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPRPQGGGGRGGGRGRGRGDEEDLPLHSVARSGDAAAAESVCLSNPLAVNSRDRHSRTPLHLAAWSGHVEVVRCLCKHKADVGAAAMDDTAAIHFASQKGHVEVVRELLAAGASVKAKNRKGLTALHLAAQNSHLDLVKYLVKKGSDITAKTKGGQTALHVAENDDVRAFLKECEQSLKKGGELTSVKDGSAPSTAEKANENKSSGQIEKRKSEGVAGSSSPESKKAKVSLGHLVCENDMDEEEEQN >Et_8A_058173.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:22832174:22832971:-1 gene:Et_8A_058173 transcript:Et_8A_058173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEAKKPPRFHARPLLLALPFLSLLLLLLYVYTSSSSPPRPAAVAVSSSTATLPLPLTPSPHIRMRGGAARFRSYDDYLRHQLNKTLDPRLRRVWATRDWRRKVDAFARAFARLRDEDPRLLTNASRALCVGARLGQEVAALRQVGVRDAVGIDLAPAPPLVAKGDFHAQPFADDTFDFEFSNVFDHALYPDRFVAEIERTLRPGGVAVLHVAVHRRGDKYSANDLLDVQGLVGLFRRSEVVRISKVDAFGLDTEVVLRKKRSL >Et_2B_022561.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2604073:2605134:-1 gene:Et_2B_022561 transcript:Et_2B_022561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLGLATGCCFLAAGEVIKAQQDNSTSASASPPAGEDGSSSKKNHTVVVVLVTLASVVVFSALLLLLLRFLLRRRRGNGEGGGGAGAAAGAGGEESALQRQLQQLFHLHDAGLDQDVIDALPVFLYREVVGAGAKEPFDCAVCLCEFAGDDRLRLLPPCGHAFHIDCIDTWLLSNSTCPLCRCALGADAAALLDDAFGEAGWKHEEDAVLPVRLGKFKNTSRAVAAVGDGIVTREAGETSSSSSSLDDRRCYSMGSYQYVLAEASLQVSVHRRNGRTAARPRGAGSTNPAGAEPAAAEGKRIGAGSKGDSFSVSKIWQWPRNGKGKLPVLASDDSPSVNGRLPWQRRSPGDS >Et_5A_040223.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:23796997:23797362:-1 gene:Et_5A_040223 transcript:Et_5A_040223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKYGCSEILVESEVRRSARIKSNKKGFKHNSCFDCTTEPPTLSPSIIKNLGTEFCNLSPEKVGEEALKKKRKVKAPVGKKMKQDEGEKYPEQKKGKKPKVSAKKIKKKSSNEDKEPKGK >Et_1A_005737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13267060:13267829:1 gene:Et_1A_005737 transcript:Et_1A_005737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQFFLLAILAVSVAQVFASDPSQLQDFCVADKMSQVRVNGFACKDPTTAVAEDFYFSGLHMAGNTSNKQGSAVTAVNVAQIGGLNTMGISLARIDYAPYGLNPPHIHPRGTEILAVLEGSLYVGFVTSNPDNKLFTKVLNKGDVFVFPQGLIHFQFNYGTKNAVALAGLSSQNPGVITVANAVFGSKPSISDDVLVKAFQVDKNTIDRIQAQF >Et_5B_045382.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20191030:20191614:1 gene:Et_5B_045382 transcript:Et_5B_045382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMDMDEVMQLDFDAGLQGMDVVGCGFGFDFDATTTTCGVSAGAGSSAGTSPAVDVSASTRKRQADDGGHDDDEEETRRRLRRKISNRESARRSRARRRQRAEELERAAAALRAERRTLAARLDAAAARAVAVRGDNARLLAEAGVLRRRLGEAHRQAVLLLALARGRLAQSTTATPVAVPPPQQLAAGWRRR >Et_2A_018407.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27036170:27036607:1 gene:Et_2A_018407 transcript:Et_2A_018407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFLALYAGSLLLAYVAGKEAAESQRRRGGAKQRAEAPPAADESFRHHVMFTRDFMEAGGCYQEFREAGRSCDEAAGAGDGDKVDACVRKTAALRRCMAAKEAFFGPYVQMMDEGIRENERTGYGKATAADGAKWRWMYNMRDN >Et_10A_002294.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:7576636:7578120:1 gene:Et_10A_002294 transcript:Et_10A_002294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIALSAFLGEIAQRSISFFIDRLSSKDVSPGLPSDEALRRKLLRVHIVIEEAEGRQIKNTAMLEQLKLLQVGMYRGYYVLDNFRYRDYQAQNCKHDHGAIVSRDSFALSKFNPAKRVQLRGRGSRGHHMIGCEKELQQVIGCLEVSITDASEFIMFLKGCPPLYRRPYRTFLVLEKTMFGRHVEMEYLINFLMASSVEVLDVLPVVGPMEVGKSTLIEHACADDRVRAAFSQILFLAEDDLDKMISSMRDDGGSEKHQNHGSGDRDGKILFIVEVNGDINEATWSSLYSTFGRYAPNGGKIVICSPSDNIARFGTSRALKVEYLSQEAYWYLFRALAFGSKNPDDEPKLTSMAMEIAARLTGVFIAGNITASMLRNNFSVRFWRMVLSSAKEVSERYHFKFGAQPSANRKLVQILDGSSDYCVVSNEYQIVSGQDQAPKITAQEVLSGSVARRGKFDVVAWRSSIPPHYTYIFSCEIQKTSQLDVGKNERSAS >Et_4A_034529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4032998:4036341:-1 gene:Et_4A_034529 transcript:Et_4A_034529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVAKQGMSAYEAARERTVQENKRKIEELNLYHLSAAIKEAPKTPSPMKQKRRRIIDAAVVAPSPIRRSRRLAKLPEVQYAEIGPHTSERMTRSPRKPTDSIYLARYGSISMEARLEATRKAEELESQMDPEIPSFVKAMLHSHVVRGFWLGLPSYFCDTYMPKQDSIITLVDEKGEEFDTNYLAYKKGLSGGWAGFALSHGIRDGDATVFQLIKSTTFKVHIIRAAIDDDSEKMGRIIRLIEYDTLGNNSTYSDKTRAGSSSEHSTPVHELFRGRQGSPAQSGGGFLKLPASSSIATTAHKGKAWSFSSPKHLISQTESD >Et_10B_004387.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:5678658:5679329:-1 gene:Et_10B_004387 transcript:Et_10B_004387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGKEEKPRTGRDTILSADEVFGFSTRRPIDYSPALGVQMEKPRTARDIILSADEVFGLMGTRRPIGYSPALGVQMARAHCWAPYDKVASALRSLALEDGLGARFGPGPKAARGILQGLFKHPAPFRADSRFPQGQVFLSVDHGEFGQRVYKILAELQRLEAAEGGGETGIVLQRVDVAEAFVTAVASAAAALARPEDPEKPVLYDQDRFEEAFGLTWTDKP >Et_7A_052861.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:20595013:20596668:-1 gene:Et_7A_052861 transcript:Et_7A_052861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADRLSALPDGVLLHILWHLDVREASRTSVLSRRWRTLWCQEDAVHLDSESYSDGEDDGEGVGRRLFRDAIAVVGASGRCPVRMLNITAWSDYHSDYLDHVMRTSPGMDAVLAAPAVQRLEELCVQLGCEFGRPWSPCGAYELPPSHVPCRCLRVMELIGCTLGPPGAAVFGCLETLKMKRSQTSPENLQAMLDAAPNLGSLRLDLVSFGDRQFAPNSFTVMSKPPPVRLRCPASVVDVALIHCHTTDGLDLEAPNVRSLCYKGHLGHFQFRSSSPAPNPEHVDLSFCRTGPCKNPPSRKIQRHAFFWESIGGFSSLQTLKLKVKYISDIAVYGDQEDMLLRVFPRLKFLELEGSCQKHTLGAAVAVGNLLQCCPALQEFRLKFSLHGDLSALYKHMTDLTEKRAQMDLESSMDSLAKLKSKYACPSSDVDYDGRDDMKLGALESRTFPCLHSCLKKIRLQFHLECFYCFEVKLAKFFIENARVLEEMEVHDGDQRVYSHIHNKLAEWRANSFRSIARKRKRSFRQRVNIIVGEHSAVSSLKWDKIEEYE >Et_2B_021631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3798961:3799986:1 gene:Et_2B_021631 transcript:Et_2B_021631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRDDGVWARVDDVCENEKPEDKMLADFEERADRVVKSEMFAKAIIKRSDQCPFFDHSGKVRAQNEQQVANRKGLSDNYLHCQVATGIKEDDLAHFRIHWAKGEPVIVSDVLQLTSGLSWEALVMWRALREKRSMLK >Et_6A_046455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15437056:15437287:1 gene:Et_6A_046455 transcript:Et_6A_046455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALDLSRNGVLFKVIQFMMYLEFNSVVLYNPRSCNVVVDALAKLAWEPVAIHLWPECNPVAVTHPVAADQASASG >Et_2B_019972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15747684:15748869:-1 gene:Et_2B_019972 transcript:Et_2B_019972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRKRWTRFVILSRSSLHLVMTLKFVAPQSSKILVLIHWILWRLLWVLRRLLGSV >Et_2A_018514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31200226:31205674:1 gene:Et_2A_018514 transcript:Et_2A_018514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRLSSPFTSSQRHPARSSANAVAFSLFPELGGYAACSRRYRAHHFSLDGVEDAAEQPGEPPSLPPVSLAKSLASLTEESVEAAQRQRRPLTRMERKRLAELRIKKRVKAQYLNGKFYNLMDKVVANAETLEDAYDIVRLNSNVDLASARDDVCFVTLAEQLRSGEFDVKENAFSVTAKRPGGGARLVLPKLKLKVIQEAVRVVLEVVYRPQFSKISHGCRSGRGYHSALRFITDEIGVPDWCFTVPVHKEVDNNVTAKLVSLIQEKIEDTQLVTFLQDMFDAKVINLVFGGYPKGHGLPQEGVLAPILMNIYLDSFDHEVFRLCLKHEGLGSEATNDPEDHSGSNLRRWFRSQLKVRDENSEDQTDRQTKIRLYACRYMDEIFFAVAGSRDVAENIQSEIVAYLRTSLYLDVDDRLYLMPIRRNSRGLQFAGTMVTVETKENAKMKAVHKLKQKVSLFASQKQEIWDAMNLRIGKKWLAYGLRRIKESEIKSLGLSTPLLDHIAQFRKDGMKTDHWFKTLLKVWMQDVNAKKELNEDVLLSKYIAEPVLLQELKDAFYNFQRQARDYISSETAVTDALLSSLKNKESTSTCSNSVLIKIHAPLSYIQKCLHRYGLINLEGFPRHVSALILQDDELIVSWFAGIINRWIRWFSEVDNFKELQLMFVECVRMSCIRTLSAKYRMYEKLTEKRFELDDHGIPMVEDFEAIVKPLESSYSLASTDEALMYGISSSGLFVLTLSRVRVPARQFNCFVMGCQSASPSMYVLHVKERQCFPGWRTGFSSSIHGSLNAIDVGKDCFASFCPLTAFPKASFRHVITLLLISSPVLVFSTLSSCISHIRRYYN >Et_8A_057753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6819529:6824280:-1 gene:Et_8A_057753 transcript:Et_8A_057753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSATQFRYTQTPSKVLHLRNMPWECTEEELVELCKPFGRVVNTMCNVGANRNQAFVEFADQNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEITNNKGTGDSSGNVLLVTFEGVQPNDITIEVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAPTALEAKNSLDGRSIPKYLLPEHIEACHMRITFSAHKDLNIKFQSHRSRDYTNPYLPVNQTAIEGIVQPTVGPDGKIKEPESNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAMFEKNGGMQALIQYPDVTTAAVAKQALEGHCIYDGGYCKLHLSYSRHTDLNVKAHDDRSRDYTISDPNAQLQAAAQAPAPPAVGWQNTAPAAPFYASTAATTPVGQVPAWNPNMQPGAFAPSSTAYPSQPMMANSMPHYPAIGSSSGAPPVSFQASQQMPQYGMPPGAPPHAPPAGQPMYFPK >Et_6A_047348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4233996:4236694:-1 gene:Et_6A_047348 transcript:Et_6A_047348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRWPVVSLDNPDIICFEVSEHYSIRNEDRKAWMIQVDTRRKELVSVIPFTSDPWKELMLSYTSKISDRRYYNCNNINVPDINIVAAHDNSVLFQMRIPYEGRSASRTADVFEYLLYDGGGAAGARLPSLSLLPRCIISYLRQRERDTSGREASTTTTALESCARSLLMEHTGVLRRGEDMVMVAQLELAPWRAASRDTAQLCVLRFPGCEWELKRLPIVHHDGTS >Et_10B_004380.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:5269390:5270142:1 gene:Et_10B_004380 transcript:Et_10B_004380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRHHQGCRHRVDVDALQQRLLAHQLEPLELEPVRRREQAAGYRHGVRLRWQRVGVHVVEHRLEHLGLDALDPDVAADAAAGHLLLGRGEQLRLEHRRPRCQQPPVRVERLSSGDGDGDVLAFLLLPQEVGQVVQVGRRHDDRRRSAAVAIVIDQGGDVAPDSEAVVLEAPRLLQIFPPDEISESLGLAIAAEAAELCCGAGELGHRVPGLIEQAELELGHLNKKVPLAYKKVASTGQLSCSGWRWRER >Et_10A_000364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5629636:5630010:1 gene:Et_10A_000364 transcript:Et_10A_000364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAERKAREAERERMRERARRAREAGPDAFRKGKYPRCTQ >Et_2A_018539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32049446:32053701:1 gene:Et_2A_018539 transcript:Et_2A_018539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAEIADAIGAMGLDNGTSGKLLPSDSLETRGQEHDALADGAHSGESEVINPSEEVEGEATSHSQDVKPRVTEGSQTPKVVKSQRQSPRSGDKSQARKSSPDPSYPKAPIARVSDPDLVDSSSSNGDSNASKKKAEKSSSRPVAKESSSLEDSKEKKKTHKASNQHSVKNYDEESNETVKPQRVGSTPAYGFSFKCDERAEKRREFYSKLEEKIHAQELEKSNMQAKSKETEEAELRRLRKSLNFKATPMPSFYKEPPPPKVELKKIPTTRARSPKLGRSKNTTSGTGGNASTPTRPARMSLDERASQNSVKKTPSANAVKKPQRKSLPKLPSEQTASVDMTASLSSAEEVESSKAEADHVRVPIRAQVTPDEPGLSGFYLFAARKSVKTFQILFSCCRLHFSCVGKPVIRRRRGLAAFHCTLCLRWDRSRVRLRCRCDGRRLSRVQERGRRRRHQLGVSALFIVFLFGVPQESGVRAEDIAAYSRGEAAIDGASSPVVGRGLDCAGVVCVGAVGVAGCLPDEARVERERLGVAGAGEDGALAVLLRARGVPLHLAQEAGVVDEQQRVARVGLDHAPCASAAARLSPPSCPPPPACAFRPPPPPPATSSSTSATAAAAAAAEDSSPVLVSSRRSGTGAGAPAAPSSSYSPSSSSSSTEKEADEDADSSGEALPYSSFLSPPPRAAGTSGPAAARSPSDIARHSAAVPCLVVPADDGDSTARWPAPAPPCSASARAQLSSGDDALRSSMLPPLLCSALRRKYQRCCLRASGH >Et_7A_050517.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:21049434:21049649:1 gene:Et_7A_050517 transcript:Et_7A_050517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLLKIRISYSCYGYNYTNVLEHASRLQGTIGSLIMLILLYRTAENFFSRSYPWLSIEQAQERQTRSSNG >Et_2B_019696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12530545:12532249:1 gene:Et_2B_019696 transcript:Et_2B_019696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNNINVVFDSGSSFTFFNSQTYQATKDAVTGSLDNSLTPVADTEFELCWKGSNKFKSVAEVKSLFGPIFLIFRFEKKRATFDIPPENYLFIKDGTVCFGILDGSRHSALGNINVIGGIKFRPSLWIAPQFPCNDFLWYFIFAAISMQNRVVIYDNVGGKISWFPDSCKIKSESVITSRL >Et_1A_008190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5004720:5006002:1 gene:Et_1A_008190 transcript:Et_1A_008190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKRPAGSRKDEVVTREYTINLHRRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRIDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPPEGLKGLGTKVVEDED >Et_6B_048351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15002999:15004474:-1 gene:Et_6B_048351 transcript:Et_6B_048351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMEMAQIKLEATLEASQKWNITSGPLEEEEMEHRMRSSSFLERIAHSASSLVWSIFHRGKDELGRSTVRQFEWFADAASEFLRYVELGGTPRRYMFFDPLVHHLLAGKAAVYCFIRGSQQLTFVLVPFKFPERGVENDFHLSLVIQLSESTDIVAVTVRCVQLFTPHFRSTDLEQVIQVYLQGNVALSAGHKRRRDFPYLKLTGLFSPHASYEERSPPGVGGSATEMINGEATQRGRYSNISFEQLGEIMLPKAMDCLRGNVAATSYQMLWKTKHGGAYLDLRVEETSWKATKQKGRGGKRQQWHGKKAKGCTSGIAEFFGSWVAHAPVQLQGSVADFVQKEKQMPPLGYEVTPKSTV >Et_1B_013659.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11264772:11266196:1 gene:Et_1B_013659 transcript:Et_1B_013659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEGMGYNSKAGGGGGTLPMTAPRPRGASPLQSRSRKIHRTFNNLKITVLCGLVTILVLRGTIGLNLSLPSQPSDADALSTAKAVEDVDRILAEIRSDSDPDPDEAAGQFSAAAGFNASALSAKEAAAAYAAAAERYALGPKISGWDEQRRRWLARNPGFPATVAGGKPRMLLVTGSQPGPCDNPLGDHYLLKTTKNKIDYCRLHGIEIVHNLAHLDTELAGYWAKLPLLRRLMLSHPEVEWIWWMDSDALFTDMAFELPLERYDSHNLIIHGYQDLLFEKHSWIALNTGSFLFRNCQWSLDLLDAWAPMGPKGFIRDQAGKILTANLKGRPAFEADDQSALIYLLLSQKEKWMDKVFIENSYYLHGFWAGLVDKYEEMMENHHPGLGDERWPFVTHFVGCKPCGSYGDYPAERCLKSMERAFNFADNQVLRLYGFAHKGLESPKIKRIRNQTTKPINDKENHDMKAKILTAS >Et_9B_063874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18854078:18854413:1 gene:Et_9B_063874 transcript:Et_9B_063874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QEDTDHIIFAVSFWASIGVTRDCLRLLCLWQLWKHRNGVVFRSETPSLPRLLVTCREEAQQWRCRLPRDDEEISSGWCHLFNPPPPSF >Et_3A_026284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6414879:6416740:-1 gene:Et_3A_026284 transcript:Et_3A_026284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRCSRGGLTGLRLRRLSSFLRRNRLNATAHALERQTGVFFDAAHLRRMLLEGRCAASSYYALRFVTVGDCSPEADELNVRILVLRVVADFAAGRAGGVDDLFLRLYTSLGAYPGCHGLRNLLLSMRSDRTKASTIYRRIQHKTVEVIMNLVSKCPEFQAKSRLPRCTYDPAYNMSLGSGPGARKLRLLSLFRPLPGKD >Et_6A_048145.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7627723:7628730:-1 gene:Et_6A_048145 transcript:Et_6A_048145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTSTLLRSLSTRLIRPSPAVAPWPPVRSAYDRWLAAELDSLRADRNAPCTSAAWLGRALDLAVAAQRRLVASSSSDTATIDRKTIDECVEDTAELLDACAGFRGRLDMLRSYVAATRVALHWLEGGGRSGEVAAAPARRAAAAFAECEAVERRCVAELTKCGSNIRKLGERALLHGGKHPAGDEALSGARAAALLAVGALGAALAFRARRSVSGVSVSGGGGGKAAAQWECTLQEVQRHVREEYDRRRKDGVPCMAELDAAAAAARAVRCAVAAGRPCSETVIAAAKARCDELEQTVAMFEEKLGDLHRELISVRMVLLEWAQRARGPELLRL >Et_3A_026405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7783052:7787817:-1 gene:Et_3A_026405 transcript:Et_3A_026405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVARWWVAVAALLAVILPPATATLSPAGINYEVVALMAIKMELEDPHNVLDNWDINSVDPCSWRMVTCSADGYVSALGLPSQSLSGKLSPGIGNLTRLQSVLLQNNAIYGPIPGTIGRLGMLKTLDISDNQLTGSIPNSIGNLKNLNYLKLNNNSLSGVLPDSLATIDGLALLDLSFNNLSGPLPKISARTFSIAGNPMICGVKSGDNCSSVSLDPLSYPPDDLKTLPQESISRSHRIAIICGATVGSVAFIAIVVGMLLLWRYRRNQQIFFDVNDQYDPEVCLGHLKRYAFKELRAATNNFNSKNILGEGGYGIVYKGYLRDGSVVAVKRLKDYNAVGGEAQFQTEVEVISLAVHRNLLRLIGFCTTESERLLVYPYMPNGSVASQLRELVNGRPALDWSRRKRIALGTARGLLYLHEQCDPKIIHRDVKASNVLLDEYFEAIVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGVLLVELITGQKALDFGRIANQKGGVLDWVKKLHQEKQLSMMVDKDLGSNYDRVELEEMVQVALLCTQYYPSHRPRMSEVIRMLEGDGLAEKWEASQNVDTPKSISSEILPPKYIDFAADESSLGLEAMELSGPR >Et_1A_009520.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:7147246:7148856:1 gene:Et_1A_009520 transcript:Et_1A_009520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTWAVVVAAVAAYMAWFWRLSRGLSGPRVWPVLGSLPGLVQHAEDMHEWIAANLRRAGGTYQTCIFAVPGVARRGGLVTVTCDPRNLEHVLKSRFDNYPKGPFWHAVFRDLLGDGIFNSDGETWVAQRKTAALEFTTRTLRTAMSRWVSRSIHGRLLPILEEAAGNKGAHVDLQDLLLRLTFDNICGLAFGKDPETLAPGLPENEFASAFDRATEATLNRFIFPECLWRCKKWLGLGMETTLARSVAHVDQYLAAVIKARKLELAGGAAAAAQQQQQQHDDLLSRFMRKGSYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPEAERKVVRELCDVLAASRGAHDPASWLASPFTFEELDRLVYLKAALSETLRLYPSVPEDSKHVVADDYLPDGTFVPAGSSVTYSIYSAGRMKTVWGEDCLEFRPERWLSADGARFEPHDSYRFVAFNAGPRICLGKDLAYLQMKNIAGSVLLRHRLAVAPGHRVEQKMSLTLFMKYGLRMEVHPRDLAPIVDEVRAAATPATAPCA >Et_8A_057447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3161643:3162273:1 gene:Et_8A_057447 transcript:Et_8A_057447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWSSAATLERWVVQCRCNSPPSPCRAPVPPQRPRLARRTTWRIGCASVPRELGVAAPVEQTVADTGSEEEGVVCEGCSGAGWLLCDFCKGKKNNVKSDTNRVYRRCPTCKAAGFILCPRCRVYKCITFPESNES >Et_1A_008093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4314823:4319078:1 gene:Et_1A_008093 transcript:Et_1A_008093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLRSEAEQLVGLELIKALRNFKHLEVAPLSPHSCPASHEKDPIVVCTEGTKSFGHHRKAKIKSRKLGFKVARDLLTKKWLFVKKEKQLRAPKVTNLLEELQLPPQRGLTWQQKVLTVLQMIRVQEFTAYDPKQTVRAAYRFCKFNMAFFDLDKESKVEHGTLYHHHPEFNFRRLDISVNVISIKVAESDVPYPINIYGTVLVRDQYDYRCVYLFKRAGEDSQLISSPDDMLTLTGPYRALSGRESMFFEFYLKIKGEDTVHKDFSKGLLELRETCKPDTLSLESYLSTVDVVCMPVLFALEACIGVNILGKRSNFTGKIAAWTTKTEERKIIPYDSEVAGTVTTLGNDGSVSLTRHVLAVPQDECLIIDVSVYGGDYRSECHKFVPSVEVFTCKVGPYEMEFKIIWKEVKKRRPKLCDIIDGIWKLVSEKKGDHLRMFSVPLKERRKSSSPKKALDGLMAVTVSSHTDQQN >Et_5A_041289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20753487:20756180:1 gene:Et_5A_041289 transcript:Et_5A_041289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFFCFSSSTTQHRSKEVFSPVHNTMLDTSKKDSRDPTVNPTAGSASPNQMADENNCSAVSGHYGTSASSHQECWRSEDLNRSACSDDSKEVGHLKKSQSLGNILQKDRDHSYSEDTECDIIDHDFNSTAAVGETTELRSTKNVDAFGVLSDLISHDLCEPSGDQAMNSDSHHMPYSQSKFPRSQSAFFENDCTSDQEGSVDSEILGSRCRSVDGLCSLFDEKVGYLSGSEMHRCRSNLDLYSGPSPDIYQTLNMEANGSLGCSDAADEGQRSSGSAEEQFVRDGMLVGHEYWDVKYISGDHSVNPVAPFCTDSGDVSHRSAIDGGLNEAMDQDIEEKLRNRDSTLHKQSLVVEVPDSWNMSNTNDISEQPEPEHNKTDIDGDPKELTPRTYSIKRIEEWISQIEMDDITVDEQGESSSSALAKSSEPVTGVPAVRPDAKSPLGMEIAYTYISKLTPVSSSAQLANLCLVAIPRLSAFAGLRLLNLSGNSIVRVTAGALPKGLHVLSLSKNNISTIEGLRELTRLRLLDISYNRISRIGHGLASCSSLKELYIAGNKISEVDGLHRLLKLKVLDLRYNKISTSKGLGQLAANYNSLEAINLDGNPAQKNVGDEHLKKYLLGLLPNLVVYNKQPIRATGSKDVSDRHSRKISSSHRSDRGVRSDRKSSRLVGGSSSHKTQSSRHARSGYASGSGSLMKHSQGRNFPISLVGSRPAEQVNVVDLAKQTQIAGKAQ >Et_1B_010986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16206965:16213569:1 gene:Et_1B_010986 transcript:Et_1B_010986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARGHELERKRERFNGKLRKWKHASLSPEQLCCSANADIVTAEAVSMCLHNFSSHSSFSLSKLYMLAATNKC >Et_1A_006192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1970355:1973707:1 gene:Et_1A_006192 transcript:Et_1A_006192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFATCPASLLLLLALGVLTTYAAAAGTVRVGVILDLASMTGTRFQTSIQMGVEDYNSAHPNSTTRVELHFRESSGDAVGAVSAAVDLIKNAQVQAIIGPQSSAAAEFVAQIGNRTHVPVLSYSATSPPLTPSTAPYLARTCADDSFKAAPVAAILRRFSWRAVVLLHEDSAFGAGVVPALDDALRGVDAGVAHRAAVPADASDDRLDAVLYRAKAMTTRVYVVHVSAPLALRLFRRAKDAGMMSDGFVWIATAGVGDEDDALSPEDMEAAQGVISLRAYVQPTSQVDDFAERFKARLLHANAGAGGSQDIRDPTVSTLWAYDTAWAVAAAAEASGISDPAFQGSTTGPTDLDQLGVSSTGATFLNSILGTTFDGLAGRFRLVTGQLQMPPVYEIVNFAGDGVATTVGFWTGKSGISQDIDPSIGEGLNGIVWPGAGQSDVQIPRGWAFSPVAQELVVAVPVKHGFHQFVQVYNDSTNQTMITGYCIDVFDAAIKALPYPVYYRYEPFYGIGADNDSYDQLVDLVAQQKADAVVGDVAITVNRMAAVDFTLPFTESGWSMVVEVHSQTSASMFFFLKPLTPGLWFVSLAAFIFTGFVIWVIEHRINPEFRGTPMQQFGTIFHYAFSTLVFAHRENVQSNLSKFLMVIWVFAVLILTSSYTASLTTLLTVQKLNPSVTDINDLLNNGDYVGYQEGSFIAAELVRMNFDQSKLRSYSTPDEYADALSKGSGNGGVTAVFDEVPYLKLFLSQYCDGYTMAGPVYKGTGLGFVFPNGSPMVPEVSRAIVGLTEGDDMGLIERKWFGAPSNCGENVDSSSASLTLWNFSGLFLITGVASSLMLFIYVVRFVYQERHELKTAEPGSGSVSLKRLRAWMQHYDQKDMSAPQFKQQSWNDSSSRGSSHGKQRERAEQEEATPTRDFGGPGASPLSDHSRMQSSSSLERKLSNEFRTPFEQRMGDAGAASTDKRSSTPERRQSFKLPQNEERKELPLSP >Et_6A_048164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8363396:8365118:-1 gene:Et_6A_048164 transcript:Et_6A_048164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVIPQLFAALHPRRARADVCRRLWGQPRRHPRRRQGTIVEELTEFVARVMVERVLADRSGIGRPTIGFACGALTDKRYPLKPTHRDTIVVKFKGAASSVNFTDQVLLATFLRGFPMEFLRNNITRTLRQTRGLITDLVKTNKQKKHTINVVINSIYFKE >Et_5A_042280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8229000:8231787:-1 gene:Et_5A_042280 transcript:Et_5A_042280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKLCPRVGLQLGRVQVTIAVIRSKKNSSIPSQPLAHREHSLNSSSPSPSASSGNVFSGASRKNEVPPRIPLACMQSSAVPVTSGARFQLKKNLKHFVRTLLRIHGPVAKGQTALVTSLLTLNMTIAVSGLLTSPTYQSLLKIPERLVIMRRDFTKMDAYYVMPNGKRARRENDVEKFLEAYPEYKDRLSVSNFKFATPKIVEETVSDKSAWKAAKAKKQGKADTPGGKKYINVGQSRAFQPKSPVNDVDGALVAFSEVVVPAELLPA >Et_5B_043027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15127813:15128290:-1 gene:Et_5B_043027 transcript:Et_5B_043027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMQINYTLCNSFNHVKAYRPLNCWPTCHTQDDVLKTPVLHVFIDQNSFTSFCAKSH >Et_4A_035089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9347388:9352989:-1 gene:Et_4A_035089 transcript:Et_4A_035089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRHKVGNEYALGGRDLYRAADQHDPEAVLDGVAMAGLVGVLRQLGDLAEFAAQVFHGLCDEVMSTSARGHGLVLRVQQLEAELPLLENDSCQRDYLYVASNKGIEWHSNPRLDHGAVTRGDVPRFIMESIKQCRGPPKLFMLDKYDIGGEGACLKRYTDPSFFKTDSACSSVLQEGIQRERRPLRAMEIRPNLQNAEIFRPPSPVNIDSKLESDLSGEALNEVPTRRRRLKYRHRNGSVLQNFRPHMQNLYGMVSSEEKLQVVDNAEVRIFLTDSLESNTEERDIMVDTSIDMGKFMENRSVKVGKNRLVSEEALSRSSDARSAGSNKGYTSEVEIYVDALTTMDSEVETDTEHRDPGQRAIARMDSVNTCSDGYNVVASRSSSFEKKDSSDVASANGDTCNEQEEAIVSSPQVKQVPGEHERTSSLEELFAQEKPASCDHERSSSLEELLMEDLHTSEPGIKEQATELSPNGIVSNFASNGQDTTKNAKENSNIATISFKKIASKRSKYVGGMEMIASKVGILPRKLSKKHDPFSDSLRSMAKQLLGLKYDGTQDTELYEFDSDGDGCDVKCLEMYDPPVEINESAMQSIPSDPPHDDVGSRECQQEEVNHESEHDGPPTESPQDSVPDDGNGFQDSSFHYLTGITSPIFQEEEGCADSECDENSTTHILNHTLEHAEEKIEEHLDSELAEHPHTDITSENASDVGEDLKEVSICAGQVNPEDIMESNECDAYASDDESTDHIEEQVVSDGMISSPVSSKQSDDPCRITPLTLTDTDDIVTCKDTDRYTPETYNITLSDGDLTKVVYESGTASEPAVPEKEQCYLHPESTLKEDTVVSYEVGFQIEQLLLCSSSTVVVTDSTVKPEEKHELHWAGHQEIPNSCNSTEVFGDPLAPDSRVSLPVISSFDWMLSGTMQQSLNVLPSQPTCGNLKVNGSSEDTEDPPPLPPLPPMQWRANKLPTGSSAISAKFGRPPRPRPPVKPQESDGDSSLGVRNEEAGMVRESSLHNGLSLQNEIVHAIVFNEQETNQLINKDSPTNLSQEGEKEYDLQDSNSSLVVRNEEAGMVRESSLHDGLSLQNEIVQAMVSNEQEMNQVVNKDSLVNLQDSNSFSVSEVKCVPEVASVKYETLHTLQPTELIVVPEEAWSEFGDIKLIEEQDGKHQLSIGVAGCNGMHTAGLLTEKTIEKHQICVDHKEKEFSAAGSNKFAESEENKPNGAPEQNGMLDPDLMAKQEDGECCGSDDKSREISSALEEEPAKSPTHSVPKPPRYPLLPVISHDRSMLRKAPTLVQPSSRISDEKNNILEQIKNKSFNLKPVLAKRPNVMGGPRTNLQVVAILERAHAIRQLIIALTALGFIRVKQAVADDDDEDSWSE >Et_5B_044261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22071778:22081140:1 gene:Et_5B_044261 transcript:Et_5B_044261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQVQLLATAAIVVAVACLPALGAAMDWTVGDDAGWRGGFNKTGWTDGKTFRVGDTLLFKYPVGQHTVVEVHNVDFRHCNLQGKHLGEWSSGNDVVPLDKPGWRWFICDKPNHCEQGMKMAINILEDARAPAPSTPPPPPPQSSAPVVLQLLAAAAIAVAFLPAPASAVDYRVGDDAGWTLNYFIGWPVGKTFNVGDNLAVVIVFAAVFVAPAAPALAADLIVGGEHGWGLGFDYDKWVDGNEFIVGDTLGHEAQHNRPPGGQAVGLGPHIPMDFVAVFTRAEYPWRMAHGGAGGRRGGRRRACVLAF >Et_2B_020476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20505384:20507867:-1 gene:Et_2B_020476 transcript:Et_2B_020476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQGKRDVESYTIKGTNKVVRVGDCVLMRSSDGDNAPYVARVEGMELDGRGGVRVRVRWYYRPEEAKGGRRPFHGVKELFLSDHFDTQSAHTIEGKCIVHSFKNYTKLDNVGPEDFYCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEQAKKIDHYMCADCIKENGAKRPSNSYPVSPSSDAKVAFISIR >Et_9B_065961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18724271:18734358:-1 gene:Et_9B_065961 transcript:Et_9B_065961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPFIKWSVVGCAASLCTGWVVGDSAKILPVQMPICSCEETINEFEALTRDAGRVQRDTLKKILELNADAEYLKHFGLGGRTDVESYKSCIPLCVHSDLEPYIQRIVDGDRSPVLTGKPIISLSLSSGTTQGKPKFLPFNDELLETTLQIFRTSYAFRNREYPIGKGKALQFIYGSKQMVTKGGILATTATTNLYRSQRYKEGMKGIQSQCCSPDEVILGPDFHQAFLVHAFQTFEEVWEDICADIRDGVLSKRITVPSIREAVSEMLKPNPELADSIYKKCMGWVGANINPTMPPEQVTYAVLPHTGYFEFIPLEKPNGEETENSASIHYIESEPVGLTEVEVDKIYEVVISTFGGLYRYRLGDIVKIAGFHNSTPELRFICRRSLVLSINIDKNTEKDLQLAVEEAAKLLEGEKLEIVDFTSYVEKSSDPGRYVIFWELSSDASDEVLSNCANCLDLAFVDAGYMGSRKIKTIGPLELRILRKGTFKEILDHFLSLGGAVSQFKAPRFVNSSNIKVLEILSRNTTNKDGLLDGGDVGVEDGHLHLLQRGDGPDQLPGPPARQDLDHGVRAKGTHLDVRQARLVLGHLLPDPAADVEVQDLGGAVAGTAAGRGREREARRKERRRRRLRLVLLDGRRWRGNSGGVHHRPWRVAGPSVQATTLL >Et_4A_034731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5963294:5968209:1 gene:Et_4A_034731 transcript:Et_4A_034731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKLPVEGEETLWGEETCCCRPPCTSAHWQWRDREEVGEAPTPALRWGSPESLEGGDSNSQGYWIQRRSEEIMAEKHANGEPIPPLIEDSEEEEVMPQWLQTLLRTRFWESCSRGHTDENRVEECKFCVQCYKVFCPHCTHDEPDHRLLKIYRYVYRSVVLVKDMNELNIDVSRIQTYITNYQKGVLLRPMRRSPNFRPQPGATQCKTCTCWLRSASDLFCSLTCKGKADVSQDDFSGPEAERRYKNPHTNTSQQPVEAPPMVIPHEPVEALPVMIPYEPVEAPPMVIQPGPNNSRRQRPRKQAEPQRAPFF >Et_2B_022804.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5627751:5628779:-1 gene:Et_2B_022804 transcript:Et_2B_022804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRMPRVWHMYASALLDQRLLTRARRALDRALRALPPTQHHRVWPLLLRLASLPGCPAPTAARVLGHYIQFDPGHAEDLVAHLVSAGRFREAAESLAAAVNDEGFRSAKGTTKRQLLLDLCDLLAKHPDDVVGMPVEAILRGAVRKFPEEAGVLWTTLAGYYARKGIHNKARDVFEEGATTAAAVKDFRLAFEAYLHFEHALVSADAEHDEGSKMDTKSLVQSCWLADRDDAELIMARLERLLERRPELLNSVQLRQNPHDVHAWHDRAKIFHADPARQAATYAEAVRTVDPTKATGKPHTLWLAFANMYECRGGLLDSAREVFRRATQARFKSVDDLATVW >Et_6B_048775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13560474:13563632:-1 gene:Et_6B_048775 transcript:Et_6B_048775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFARSIANSLFSPEEEPESADPGPSASPGSSPPRGVREDLSELTGALANRFQGLASFLAPNAQDGAPLRPDPAEIAGRFRAGLARLPGRQAVADLAKIASSLLPPELEDAEAAAGVTEEVVAFARDAALRPELWLDFPLLPDDADSDDFDLTDAQQDHALAVESLAPELADLRIELCPSHMSEGCFWMIYFVLLHPKLKREDAEILSTPQILEAREKLSNDLQYQLKLQSSKQGTVPVAFTNEDTSLSSPVELLGVSKDKDDSVVARSFSNIDYGIPQPTAQEVLSTSTVSDAISSCNNSSGVPEQLVPILKDTKEVLQSRMEKNVCDLSTEDAVAEEQPVLMSEIALMDAPKDMIWRKTMMQPDMISRLDNTA >Et_6A_047836.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:19922224:19923210:-1 gene:Et_6A_047836 transcript:Et_6A_047836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALKGFVEGGIASVVAGCSTHPLDLIKVRMQLQGEAGAAAAAAPQPVMRPALAVHVYGPQTVTLPHDIPAPPKKPGPLAVGAQILRSEGAAGLFSGVSATMLRQTLYSTTRMGLYDILKTRWTAENGGVLPLHRKIAAGLIAGGVGAAVGNPADVAMVRMQADGRLPAAERRNYSGVGDAIRRMVRQEGVRSLWRGSSLTINRAMIVTASQLATYDQAKEAILAHYGAGADGLGTHVAASFTAGIVAAAGSNPVDVIKTRMMNMKVEPGSPPPYAGAVDCALKTVRAEGPMALYKGFIPTVMRQGPFTIVLFVTLEQVRKVFKGMEF >Et_5B_045688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7501370:7504188:1 gene:Et_5B_045688 transcript:Et_5B_045688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLTENNLANSHWPASPLKSEFSNSPYTPLSSQLECDNLSALSNTPDNQSSTETISAQPISPLEADSSQRQAGIILRENIQVGPDRLYATSRHNMQHALREIETVLMAPDADDAATGTKHEIEENKPAQLMRQRSRTCSHESRQPSPGVVWPQFASGYPSASYEFRPEKRQRELREDPQNIVKQLLTRCAEALSEERIEEFHKLVQEARGVVSITGEPIQRLGAYLLEGLVARHGNSGTNIYRALKCSEPKSNELLSYMKILYNICPYFKFGYMAANGAIAEALRNEDRIHIIDFQIAQGTQWITLIQALAARPGGPPHVRITGIDDPVSEFARGEGLDIVGKMLKSMSEEFKIPLEFTPLPVYATQVTKEMLEIRPGEAVAVNFTLQLHHTPDESVDVNNPRDGLLRMVKGLSPKVTTLVEQESHTNTTPFLMRFTETMDYYSAMFESIDANMARDKKDRINVEQHCLAKDIVNIIACEGKDRVERHELLGKWKSRLTMAGFRPYPLSTYVNSVIKKLLACYSDKYTLEEKDGAMLLGWKNRKLISASAWH >Et_2A_018412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27057305:27058394:-1 gene:Et_2A_018412 transcript:Et_2A_018412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSKSFPWLAPLIVCAFLACTANGYHSLSAGYYDRSCPSAQHVVRSVMEWNVAAKPSMAPAVLRLFFHDCFVNGCDASVLLDKTSDLESDKDSEVNESLAGFEVIDEIKSHLERACPGTVSCADVLALASRDAVALLGGPSWRVPLGRMDARAANKSAAEAYLPSPHDNVTVLIEMFKAQGLDARDMTALSGAHTVGKAHCHHYKARAYGYDGEGGAGEIDPAFAEMLRRKCGAGGDYAEAPFDELSPMRFDNAYYKDLIARRGLLTSDQALYGCGGPLDRLVEMYSEDGEAFARDFANAMVKMGNIPPPPGMPVEVRLNCRRINNY >Et_8A_056451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10192254:10194362:1 gene:Et_8A_056451 transcript:Et_8A_056451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNRNCTVFTFRDDSYRRWQGAIALGFTAGQKAVHPHIEGRRSSEHSGAEQRGARGCGGAPAEAEQTGGDGAGGDGVPVVLVSPARAGHGRLDGAEHGGVDAAASGEGRHVSPDGADGAGETATVGRVAEALDEMEDGAAPCAEQKGVAHIGISVAAVQVAEREEGRRSAGSRRELRRAELRVSVTDRRSGVQSKFGVTGRSTS >Et_2B_020266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18539426:18544645:1 gene:Et_2B_020266 transcript:Et_2B_020266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLDLLPRLLLRRHVHRRSKPSSPPAPPPPPNPPRPPQKPEPVSIHGETWHDSYAWMGALSDAAAMRHMDVHMEAEEKYTEACLAACGADRLARKLQLEMASRLASEACTPPVRWGPWLYYRRADEGKQYPVLCRRSAALHSEFVSYSDPSAGFDFTAGKRIEQKLVDYNKEAERFGGYSYEELSEVSPDHRFIAYTMYDKEKDSFTLLVRDLVTGTLCDKPRADRVSNISWAMDGKALVYIVTNEDRRPYRIIGSNKDDILMLEEPDENIFLNIRHTKDFRYITLNVFSDTHSRVYLINASDPLAQKTLVWEGESQVHCIVEHHCGRLYLFTDASREGVPVDSHYLMQSDVECPGPKSWKNVFLEESGVILEDVDFCDTHMVLTLRQGRKLRLCSVNLPLPENIKVPARLSDFRPFDLPLPNDVCQILSGPNYDYYSSTMRFSISSPVMPDAVVDYNLLNGKWQIVQQQNLLHERTKALYGTAFAASRRSSSDRADLSNEDFGDNAWNELSEYYACEYYDVPSKDGVLVPLTLVYSRKHKQNGNPGLLHGHGAYGEILDKRWRSELKSLLDRGWVIAYADVRGGGGYGKKWHQEGSRTKKTNSVYDFVSCGEFLLEKGIIQENKLAGWGYSAGGLLVAAAINSRPDLFRAAVLKVPFLDVCNTLLHPILPLTAIDYEEFGFPVDHEEFLAIRKYSPYDNIQKDIPYPAVFVTSSFNTRFGVWEAAKWVAKVRELTQYDPERPVVLNLTTDVVEESKYLQTKELALETAFLIKMVNGV >Et_7A_052826.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:18548492:18548971:1 gene:Et_7A_052826 transcript:Et_7A_052826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVIALACVLALATNSAMADQCRFEILVKTGSRRNAGTDARVSLQVSSANGPTLVIPNLESWGEMSAGHDYFKKGDLDRFGGTGPCMPSEPCDMTIKSDGSGYKPGWYVDYVQLTQLGIGSISSMTHRWDVDQWLATDEAPRLLSARRNGCGFAAAEP >Et_9B_064995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20280960:20282356:-1 gene:Et_9B_064995 transcript:Et_9B_064995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKWALSLLLALALLAPAALAAGNEKDNTPPNGKGNEKGNAPPNNGKGNDKSPPPPHKQSPPPPTYQAPPPPTHTPPPPPPTSKYPPPSPPVVSSPPPPSPKSPPPPVVSSPPPPVLASPPPPVVSSPPPPVPVPSSSSSDVVFCDNTVAYPTCTAPATCPTTCKHSCHMECATCKPVCDCHKPGAVCEDPRFIGGDGNTFYFHGRMNRDFCLLSDANLHINGHFIGSRVPGLKRDPTWVQAVAVQFSGHRLYVGARKTAVWDDEADRLAIVFDGELVDLPGVANARWEASSASALSVTRTKAANGVVVELAGVFKIRANAVPITKEDSRTHNYGLTADDCLAHLDLAFKFYSLTDDVHGVLGQTYRSSYVNRLDVSAKMPVMGGEREFASSGLFATDCSVARYEHSGVAGGVLASEELAGVDCSTSLSGAGVVCKK >Et_1A_008940.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2000148:2001236:1 gene:Et_1A_008940 transcript:Et_1A_008940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGPPNSRALLQQQPGTPGRSRRRPDLTLPLPQRDLTSLAMPLPLPLPPSSAPSSTSSSGSMSAPGSLGAPTPPTSAGSAPPAPPPLSELERVRRIGSGAGGTVWMVRHRPTGRAYALKVLYGNHDDAVRRQITREIAILRTAEHPAVVRCHGMYEQAGELQILLEYMDGGSLEGRRIADEGFLAHVARQVLSGIAYLHRHHIVHRDIKPSNLLIDSARRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGAYDGYAGDIWSFGLSILEFYLGRFPLGENLGKQGDWAALMVAICYSDAPQPPHMASPDFKNFISLCLQKNPARRPSAMRLLQHPFVAGPQPQPLAAPPS >Et_3A_025834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33253261:33255728:1 gene:Et_3A_025834 transcript:Et_3A_025834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGISQLLKKAFRHHPSGSPQPSSFRINHEETSGFTGLRALAILGAGASGLLSFATIAYADEAEHGLEAPSYPWPHAGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEVEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARYANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLMDGGVEYEDGTPTTEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMKWSVYKSRKLVLDVVN >Et_8B_059559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19215812:19220814:1 gene:Et_8B_059559 transcript:Et_8B_059559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADSSESSVSDRKDEQQKASLPLPAAFLEFLSENGLDPAVYSMASTIPRYIRLKPGMESQVAEIESELNCCLEKVSWLLGFYAIPPEVQIAGSKAYQEGKIYGIDAASGAAILALDVQPGDHVLDLCAAPDMLGRTGSLTGVDVAKHRLAACRTMLQKYSLGDRTRLFVADGTLFSLLPVNSALRRTEESIGLEENRSTFSEWTSRRSWKDRQKTKKANATGSRHLQSNSEPELIYYGKNSGLVGLHKCDILSSSADDEACTSGYDKVLVDAECTHDGSIKHIQKFEFWGWKTLDRRVLDAERTDNLLHLQLRLLINGFKLLKTGGSLVYSTCSLTVAQNENVVQKFLSTHPSADLQKIDAADNWPCRSGGIPKTLRFDPATSQTSGLFVAKFTKLPT >Et_3A_023252.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:14009343:14009408:-1 gene:Et_3A_023252 transcript:Et_3A_023252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFKKLSVEYSYVNRNRLHKL >Et_5B_045192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11446134:11448628:1 gene:Et_5B_045192 transcript:Et_5B_045192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESDKLLREAGMERLESVADTTSAARVLPHLLGKRRHCKHRDDFFSMHGGHRDRAALWPCSNIQEDGVQVPYIENNLPDIELHNQCR >Et_6B_049996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2917000:2922498:-1 gene:Et_6B_049996 transcript:Et_6B_049996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRAQLKLSTRLMNVALAALCRGGSLARAESVLVDAIRLGLPPDVVTYNTLLAAHCRAAGLDAGVAVVHRMREAGVSPDAVTYNSLIAGAARGGLPMRALDLFDEMLRCGIAPDAWSYNALMHCLFRSGHPEDAYRVFADMAEKGVAPCATTYNTLLDGLFRAGHATNAYRMFRYLQRVGLPIGIVTYNTMINGLCRSGKVGSARMVLKELGRAGHAPNVITYTTVMKCCFRYGRFDQGLETFLSLLEGGYISDAFPYCTVISALVKKGRMQEANAYCELLIQSGSRFDNVCYNTLIHLRCQEGKLDDAFELLAMMEEGGLESDEYTFSILVNGLCKMGQIEAAEKQLWSMEMMGMQSNVVAYNCLIDALCKSQEVDEAIKLLHSMKLKDDFTYTSLVHGLCKPMPLPFNAISQAVGAVWWPSGSSATASRSGQAIKSLQIVSLKGRRFQMGHQKENSVKMTSQRIAVIVDEVHEICEYVRKALKQDKIDELAQVFAREEEKDKVVKLITDTAATIQKLSVLPVIGNGGVGKTTFARLVYNDPEVKDTFGIRIWIYVCAYFDQVKITEQILELWEENERWWEASDKLLAPLKCTEITGNMMLP >Et_6A_046748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20034659:20037699:1 gene:Et_6A_046748 transcript:Et_6A_046748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAETGGTETNRRIAVIWRGEERSDRRLDGGWRHRRRRRSAQEKMKAEVAEGGTVPYQLPRQLQTLATFASNHRSGVPVQEDIRNRSWIKLVEAAELAASFFCQPERRYAVREPQYLSSRYLHDSLLLVSALDDLADGKFTCIDSGRSPVGCPVHVDGPYLAYLWKNLKLPLCDTPNFKCTTADSCSGKINESAAHLLAERHTMSTSDGKSCFLCLLLLAEFRLKKPSASCFLLPDLRRQEGLEELDSVGAPS >Et_3B_030775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7214152:7218543:-1 gene:Et_3B_030775 transcript:Et_3B_030775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTCGQTGRPGVPIIASLVPLLLLFLLSSPAAFADAKYGTQQTPFRAREELLAYRRIMARMARMEKACNKTIQSPDGDVIHCVPAHLQPAFDHPKLRGHKPEEEPAERPKVKGGGTDEDADDTVFPQAWSDGGESCPEGTVPVRRTTARDVRRAGSALRFGMKPRASNLRRDSTSSGHEHAVGYVTGDQFYGAKASLNVWSAKVASPAEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDSNPRFFTYWTTDAYQETGCYNLHCSGFVQTNNRIAIGAAISPTSVFNGRQYDISLLIWKDPRRGHWWLQLGSGPLVGYWPSFLFSHLGGHANMVQFGGEVVNSRPSGSHTPTQMGSGHFPREGFNRAAYFRNVQVVDWDNSLVPAAALRLVADHPGCYDIKGGYNRAWGNYFYYGGPGRNVHCP >Et_4B_039706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27185252:27194514:1 gene:Et_4B_039706 transcript:Et_4B_039706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYCTVLIVPAVVVGRGVMAKNSCTAAATGTKKRRAKRHKMDDASDENEEMVTEYEDDKKEGNDTTDEPTVWKRPKRAAACANFKEEELDLSEKDLTVTIKESLVETEEIEAISLTKTEPEDRRPHRKLIDFTLHDADGNAQPFEMSEVDGIFITALVMPLDDGLEKDKGKGVRCSGFGQIKHWEISGYNEGTAVIWVSTEIADYKCVKPASSYRSFFDHFSEKARVCVEVYRKIAKSVGGNPQLGLEELLASVVRSINSNKSGGTMSKDFVISLGGFIYNQLIGLDNAADNNDGILTSLPVLVALRDESKSRAELNKFSAMNSNGVLKINDGQCKIVNEDEDEKLARLLQEEEEWKMMKQRGKHGAFQKKFYIKISETEIANDYPLPAYYKPCSQEMDEYIFDSDDGLFFDDMPVRILNNWALYNSESRLIPLELVPMKSGAENDIVIFGSGFMREDDGSCCSTAEPARLSSSSSTNYASQQNSMPHGVNLC >Et_5B_044602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:439632:442751:1 gene:Et_5B_044602 transcript:Et_5B_044602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRARVATQLPCPAPSPSSAPATSLARFARLSTVALAPPASAPPPARARAPPQSSLSSRWGPPMRPCGSVGKSRPRTRVFCTATSSVPRAGKELLVQHLLIGEKDVRLLVDLEKSIIAGGADLSDLAVEHSMCPSKENGGMLGWVRRGQMVPEFEEAAFGAPLNKVVRCKTKFGWHLLQVLAERDQCILQDIAPEVLHEKMQDPSFIEEAQLIDVREPDEVAKSSLPGFKVLPLRQFGTWGPVMTDEFDPEKDTYVLCHHGMRSMQVAKWLQSQGFRKVYNVAGGIHAYAVKADSSIPTY >Et_10A_000278.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20984950:20986470:1 gene:Et_10A_000278 transcript:Et_10A_000278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATELSWAARCSGAAFFVAAFFLAALGAVLLLVRRWPWCSCHVCRAYLSGSWAREFTNLGDWYAHLLRESPTGTVHVHVLGCTVTANPANVEYMLKTNFDNFPKGKPFAAVLGDLLGGGIFNVDGDAWRHQRKMASLELGSVNVRSYAYGIIAQEVESRLLPALAAAADADDGAAVVDLQDVFRRFAFDTICKISFGLDPGCLDLLDGLPVSRFADAFDAATRLCAMRGAAASPLVWKAKRLLNVGSERELKRNIKIVDELAAAMIRERRKLGVDGSHDLLSRFMAANPAGVDDKFLRDIVVSFLLAGRDTVSSALTTLFMLLSKNPSVAAAMRAEAASSSSASYQQLKGLHYTHAVLYENMRLFPPVQFDSKFCAAADVLPDGTYVAGGARVMYHPYAMGRMPAVWGDDHAEFRPERWLTGPGGTFVPESMYRYPVFQAGLRVCLGKELAVTEMKAVAVAVVRAFEVEVVGESGCGACDPKFVSGLTASVSGGLPVRIRRVRN >Et_7A_050664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:988831:991014:1 gene:Et_7A_050664 transcript:Et_7A_050664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCCFPRSRKEENPYSNSIGGIYTEKNIRLFSYAELRSATDNFNRTKKIGRGGFGTVYKGTIRNGREVAVKVLSAESRQGIREFLTEIDVITNVKHPNLVELIGCCVEANNRILVYEYLQNSSLDRALLGSNSEPANFTWNIRSAICAGVARGLAYLHEEIASPIVHRDIKASNILLDKNYIPKIGDFGLAKLFPDNITHISTRVAGTTGYLAPEYAWHGQLTKKADIYSFGVLVLEIVSGRSSSRSLLDDEKVLLEKTWEYYEAKRLKELVDPALGDYPEDEVIRYIKVALFCVQAAAARRPSMPQVLTMLSKPIRIKESELTAPGYIHDYHTTVSKANTSSNSRFKTSGSDDSNAFSTVVPPTISEMSPR >Et_2A_015431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12621402:12623591:1 gene:Et_2A_015431 transcript:Et_2A_015431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLRSVCRAGSRGASAVKFLECVATRPAVAQPAANQESVNLLRPFICPVPCHGGFCVKALSLRGLMTAGNAEDALEEDDPTSPAVEHPPRIKFKRPDKTARHIMNILNKEAVEKVRSEREIPDVQPGCIVQMRLQVPENKRRESTLKGIVIGRRNAGINTTFRLRRLVAGVGVESVIPLYSPNIKEIKILDWKKVRRAKLYYLRDRMNALKK >Et_1B_009693.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:15398115:15398719:1 gene:Et_1B_009693 transcript:Et_1B_009693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLAATPTIMAGKTPTRNCPNVMPLCTAITRFCGLPMGVADDPMLELDASASRNGCGGRLFWRASLRMNSVSTTQHVSLVKSADATAETRQTRNRRSLLPRLRHASALPRYPNMSARSRKMLTTMVPNRRPRMGRSTAA >Et_3B_028678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1820589:1825540:1 gene:Et_3B_028678 transcript:Et_3B_028678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADQALLDLLPQIHALFSDPLRVISYKWLSRNFSVSSNDAKRLLQEFVDKHGTDLQVIYSVSGWLKNNPQSYCVKLTSATKLEVTKQEFKDSCSVQVYSIQACIPKDTAVLWNPEFVQAEELFNQPFDEENCLRDNRFCGVLNSFVKRTSSGKHVSLLPPKPINSAVAAAPSKPSITRKEQAQQQDLPGRSNQKLVSGNKAEKDNSSALAAKDSSDPVVKEPSVAVHASKNKPQNGKAQPGNGGSLATMWGRASAKPKPPTTTNATAAPSVAATADAQICAKEEADGDSSDDEQGINYKRGSNGANNRKRRAVFDFSDDEEENIISIASPERPAQIAPDPVTEAAEDTKEHQNNSESKQDKPNSVQDCSKGMDSDLFSERKTKSANTTNHSGITLKEKSCDPPVKDSKQDQAAEPASTSPKRRKVLKTRIDERGREVTEVVWEGEPSAGNKAEKNVSNADTGSRATLPSKPQPASNTDKSKAPSKAAGSKKPAKAGTKQGNIMSFFKKV >Et_9B_066108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3981122:3989063:1 gene:Et_9B_066108 transcript:Et_9B_066108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASFSLQVFLLFVSGFRKRYRSRVLSVLLWLAYLSADSLAVYVLGRLTLRGGGNRLALFWAPFLLLHLGGQETMTAFSMEDNALWKRHLLSLATQVPMAIYVVSKQLRGDDRQLVAPMVLVFISGTAKYAERIWALRRAGSLAPGTSSSTSKLVSRASYDAVSDTQGHYSQLRYVVSNKQERNFEVILNVAAEGFKLSLHFFMDMTPSISLLPADIKDIKEAVEVFKSSEDKVHMAYNLAEINLSLIYDYLYTKFGTRHFHMVPFCNIFHRVVALALASVALGLSVRGMAAPKGHDAADVIISCVLLGGAVLLEICSIFMSFTSSCWAYTTIISCPLTCPLSRKIPCAIAGLLSIARRLHPGNKGEWSAKMAQYNLIRGCIKEKQESGLLRRAVRWVGINELAVTHISISLEVKKLLLDKLLDIAATPRVQEWDIGVGKFRGQWAHWVVETKQDHHRSAARQVLQVSNIQGLEFVSSVLLWHIVTDICLVATDDDADGSSSHDEHLDGGSSPSHHDDVVIGRGPARELSDYIMYLVADCGAMAGSEGHFMVTRGRLEVSNWLLEKHGGCDDPTKVIEAIRDEESSFFHENYYPVLDRARRVASDMLKIGEVDDRWELISAVWLEMLCYMAYNCGAAFHTKHLTTGGEFVTHVKMLLFMYSYVLPLRSCLMGSSSTIFVDTTNKLIINRRMFLLASRMCYHARCGTHLPCCFSLAADAKVEHSSPLSLARQYALSMCTIFGRAPTLEVYRSSRMAIAYNIMDVLKLELRYATFIIEYLIVWNTRFVAKLQVYSNQLDQVPCTTTRDAPIFAADGMVVLSDELEKPANKLKQTGPKYQRGQER >Et_1B_010742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13490666:13491853:-1 gene:Et_1B_010742 transcript:Et_1B_010742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSLLFFLAALIFVAVASSAVVVDAAIVDGLRVGFYDQTCPEAESTVREVVNGDTSNDPTIPAGLIRIFFHDCFVKGCDASILLDETTTPGEETEKDSPANGFTLNGLGTIATAKSTIESLCPGTVSCADIVAFAARDAAVASGHPGYAVAAGRRDTRTSLNANVPGNLPGPGQNVADITKVFVGKGMTQEDAVVLSGAHSIGGAHCFMFSDRLYNFSADADVDPAMDGGYAGQLRRVCPAPGSAADGDPESAPKVAFDARTEQRLDTSYYAELLAGRGLLGSDNALVGDPATRPLVEVLARDVFLFHRKFADAMQRLGMVDVLVGEGQGEIRLDCRAVNSPGEQVPPTLPS >Et_10B_002422.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19234002:19234283:-1 gene:Et_10B_002422 transcript:Et_10B_002422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IKGVQYDYIEEDLKNKSSQLLEYNPVHKKVPALVYKGKPIAESEVILEFIDEEWKHCGDRILPEDPYERSMARFWARYGADKVRNYIKSGLSF >Et_1B_011767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25863202:25866664:1 gene:Et_1B_011767 transcript:Et_1B_011767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSTPPNGSAPATPGTPAPLFAGPRVDSMSYDRKSNQSMPRCKCFPAPEAWGVATHTCVLEIPAPDVSLTRKLGAEFVGTFILIFFATAAPIVNQKYGGAITPFGNAACAGLAVMIIILSTGHISGAHLNPSLTIAFAALRHFPWLQVPAYVSVQVLGSICASYALKGVFHPFLSGGVTVPDVTISTAQAFFTEFIITFNLLFVVTAVATDTRAVSVGELAGIAVGAAVTLNILVAGPTTGGSMNPVRTLGPAVAAGNYRQLWIYLLAPTLGALAGAGVYTAVKLRDENGETPRAQRSFRR >Et_4B_036368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20289990:20291228:-1 gene:Et_4B_036368 transcript:Et_4B_036368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAIFASLEIPDLVRAGCVCSTWHSAYTSLRDTGKYKQSQTPCLLYTSESAGENVAFLYSLVENRSYKFTLPDPPIRSRFLIGSSLGWLVTADEQSELHLVNPITGEQMALPSVTTIEHVKPIFDESGALCNYELSYHTGQKVHKSPKIYALNDLREHLYFKLSFARAGDNKWTCTMKVIIDELKQSIYESMYIIQAPWGDLLQIWRTGFDNGDVPEPDPSMPLVCTLKVIVYKVDMEAKKLVKINSLPYHMLFLGHSNSLCLSVQEHPQLKANHAYYTDDLDELIMSTKNNARDIGVLDMESRRRKEIVSQIWSSWPSPTWITPSLRKTNLSFSK >Et_4B_037539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2090338:2091121:1 gene:Et_4B_037539 transcript:Et_4B_037539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRHAAACWVAAAAFPNVTVRLFSARHCRASERGAVASDLCQREDALRPPPQPPAPTVPPLFLSSSTPASEPVLHLPRLRQAAAAPAAVGASSGAAAARRALPSPTSSHGRRCTRRLAAPPATHLPPSTPAVAKFSRIDAPAPTWPTAGDHHPPPAACPPLRHHSASHRLASLPPIGGALGYKSTQLRDSTDGSAVVSSPELAREVVGRASWAEWAASQGRRSTVGGWAKDGRALRWAEGKIPASESTSMSV >Et_9B_065763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10585154:10586679:-1 gene:Et_9B_065763 transcript:Et_9B_065763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAGKKRQADDSFPPILSARKRQAHVLDWASLPPDIAATIADLLLAEDVVDYMCFRAVCSPWRAATPSPRDPTLRDARFRPRGWVALCDGDGARPADAGEIAFFHTRTGRRLRVRLPDLRRHTHRIVGFTADGLVVLFHKTSSALRVAHPFTGVSVDLPPLAPIFWAFVNDMYSIAWMNAAVCSSAHTSTSIAVVVWFPNAPGVICAEPGHRWWRVLHERRELGKLFGATKRSRRLVQVYPSPSTRSQSQRQCVVAPQTIPLGFSLNVVCYFHLAEVKGQAILVVRLPHNDDRRPYTFTLFAIDTSTGKLTRVESLGDDCVLFLGRDRCVSVSAKELPSVCADSIYMSPACGPAILHTLRNGAFERMPRCSLFTPDNLGVEKLHSVRPFTLVDQLLTYCNHAEWSRGFMFHEFHANAKAQGANFLMKFGAQEKEIRIPFLSKQDEVDMFKDRRYMGR >Et_2A_017813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6500925:6503778:1 gene:Et_2A_017813 transcript:Et_2A_017813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAPREPMKQRVNRCLLRLTDRDTEAMAAAELDAIARGLEADELPGFVAVVSDARSSDKTPLRRHTLRLLALVAGEHPRDAVAPLVPRLVTAALRRVRDPDSSVRAALVDAARAAAGVAETPPAALEPLADALLHEQDQCAQLAAALAVAAAVEASAPTDDLAYYLRALLPRLLKLLRSAAFKAKPALISLIGAASAASGGGAASTAVPCLRDALTGDDWAARKAAAEALALLAQEHGDDLVAHKPSCITVFEAKKFDKVKIVRESMNRMIEAWKEIPDMDEEVCSSGVPPSPQTRSSHTETSSDSRYPPDSMGSNSVPSISRRNSWPNNRSPPREARQNASNRKTNPSSTLNRKNSLPARRNVEQPQNYDHKVNNTVAPDATPIKMVTEEKLLKEGNVRERLEARRELFQKTGEKGYKKLAGLKSVSRVVPYNGDGDLEETAKTENTPEESQSAHKDEDLSKIRMQLVQIENQQASLLNLLQKFMGSSQNGIRSLETRVNGLEMVLDEISRDLAASSGRITNNEPDTNACCILSPKFWKRHDGGRYMSRYSVPDMPNYSEESKTSYKWEGQKFGIQGGFVTNPLAEPSTTSVQSTVVTQEGRRRDSAQYRIGQMR >Et_1B_009866.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:35560400:35560929:1 gene:Et_1B_009866 transcript:Et_1B_009866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVVSSDGGDDRRRIIGVSHQHIVSLTSSTYGILTAPRAYSSAAADIQSSPPPPPPPEPSPEVINSWELMAGLVDPSSTPAAAKKKYYSKQQQQQQQAHRRIPLRPIDGNSSFSAAVKSRRPLRAEAEAVLYTTSLRGVRATFEACNAV >Et_10B_002437.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:2377951:2378203:-1 gene:Et_10B_002437 transcript:Et_10B_002437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCINAKVQGLLYGDLVGQPVCYKMPTGLSFPMFFSDAYVHLCNFQSLEDACMRGFPIPSPPSQHACRFWCRLSHG >Et_10A_001638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6304602:6306719:1 gene:Et_10A_001638 transcript:Et_10A_001638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPLPLLPLFSLHAGAASPARALLPSARRLASIPATMSAEDLARASGEYPSPVSPPYPPASKDVELRRAMTASARSSAYVSADVLFEDEWLAVVNKPAGVYCDALLSALPCSAAAEPADDPATKPNLHLANRLDRDTSGLMVITKCNKVAGKLVKAFTDHKVKKTYLALCIGYPPTWDKIKICSGHGRSKHGAWRVYAMSDVGRSLPGGSVVRDMSTRFEVLGVNGKGQFREPYSFETDGIELITVQGKAADHNSNDDVKNSTILVRAYPQSGRTHQIRLHSQYLGFPIRGDVKYGGVIEWNGVECDGHALHAESLEFVHPITGLPVTFRSPLPSWAKDLISTLE >Et_2A_018551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32498661:32508285:-1 gene:Et_2A_018551 transcript:Et_2A_018551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPSLNASIYMMALNLLGAKKAYRNAVAGYVDVQDVARAHVLVYEDAGSHGRYLCIGDVLHQSEFCQTLRELFPQYLIPTECEDKKALKVKLYKFSTQRLQALGMKFTALKESLYKSIISLQKHGHIPIVQHMSAL >Et_10A_000150.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:12875098:12875304:-1 gene:Et_10A_000150 transcript:Et_10A_000150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMEPYWMRHAADSAVVVSGWHRMSYFASTDDGGGYQSVELEKHIRMCTRPSATPSSTTSTSSSAPGP >Et_8A_056720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15794721:15797701:1 gene:Et_8A_056720 transcript:Et_8A_056720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKGLLQKMDRKQEWILDPEGNIILTWNRIFLVCCVASHCVDPLFFFELTVESTYSQLCMRMDHSLAIVLACLRSLIDMFFMAHIAIRFCSAYVDPLSKVLGKGELVTDPKQIAHRYIRTDFFIDLVAALPVPQILVLAVMPSLSLKKIHAPLFMIILVQSAVRSYIIIQLSINIIKTVGFITKNGWDGAIYNLFLYLVASHVVGAIYYLLAVGRQKTCWETQCSIEDRVNKLPCDAMFLDCQYAISIESQNWANSSNVFTNCNAESSSVSINYGIFTQVMQNGVLTASFSEKYFYSLWWGLQQLTFVNLKTSLMHVNNFSSTTFGNPMVTSSFIGENLFAIGLTLLSIGLFAQLIGSMMIYMRSLSTNAEDWRIQKTEMEEWMTDQQLPDDLQNRIRHFLEYKWISTQGVEEDSILRQLPLDLRRDIKQYMCLDLVQRVPLISAMDQQLLDAICDRMTYLLCTEGTYIIREGDPVKVMLFIIRGKLESSTTDGGRTDFFNSVILKPGDFCGEELLTWALLPSSCNSYPSSTRTIRTISEFEAFSLQADDLKFAAGTFRHMHSKHLQHMLRFHSQQWRTWAACLIQSAWRRHRNRQKTTGGGLSNRWKSFFSLIDDHEMHCQNDDGASSSKSQKAECPFSKIAKIFTKGEKKRPEEPDFSMDGCSN >Et_3A_024982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25887836:25892993:1 gene:Et_3A_024982 transcript:Et_3A_024982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESAAAQACAACGDDARSACRACSYALCRACLDEDAAEGRTTCARCGGDYAAFDTAHGNGGAEAEGEVESHQAAGGLRERVTMGSHLSDRQDEVSHARTISSMSGYGSELNDEYGKPIWKNRVDSWKEKKKEKKASSKKAAAKAQAPPVEEQIMDEKDLTDAYEPLSRIIPISKNKLTPYRAVIIMRLIVLGLFFHYRIMNPVHSAFGLWMTSVICEIWFGFSWILDQFPKWCPINRETYVDRLIARYGDGEDSGLAPVDFFVSTVDPMKEPPLITANTVLSILAVDYPVEKISCYVSDDGSAMLTFESLAETAEFARKWVPFCKKYSIEPRAPEFYFSQKIDYLKDKIHPAFVKERRAMKRDYEEYKVRINALVAKAQKTPDEGWIMQDGTPWPGNNPRDHPGMIQVFLGETGARDFDGNELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCFMMDPTVGRDVCYVQFPQRFDGIDRSDRYANRNVVFFDVNMKGLDGLQGPVYVGTGCCFYRQALYGYGPPSLPALPKSSVCSWCCCCCPKKKVEKSEREMHRDSRREDLESAIFNLREIDNYDEYERSMLISQMSFEKSFGLSSVFIESTLMENGGVPESADPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPIRPAFKGSAPINLSDRLHQVLRWALGSIEIFFSRHCPLWYGYGGGRLKWLQRLSYINTIVYPFTSLPLVAYCCLPAICLLTGKFIIPTLSNAATVWFLGLFMSIILTSVLELRWSGIGIEDWWRNEQFWVIGGVSAHLFAVFQGILKMVAGLDTNFTVTAKSSDDGEFGELYIFKWTTVLIPPTSILVLNMVGVVAGFSAALNSGYESWGPLFGKVFFSMWVIMHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLLWVKIDPFVSGTETANSSTCSTIDC >Et_5B_043606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12485835:12498780:1 gene:Et_5B_043606 transcript:Et_5B_043606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGLTAAASKALPLLPNLQRSSAGATFTSSVSSRHSNRRKSRTRSIRDGGDGGLSDSKKHNQSVHQGLAGIIDLPNETALDVDISYGSEDPRGPTATKSSPMNGIINDTLNGRHASLSKVTEFCDALGGKTPIHSILVANNGMAATKFMRSVRTWANDVFGSEKVIQLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERLEVSAVWPGWGHASENPELPDALTAKGIVFLGPPASSMNALGDKVGSALIAQAAGVPTLAWSGSHVEVPLECCLDAIPEEMYRKACVTTTEEAVASCQMIGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMRLASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPVTVAPRETVKALEQAARRLAKAVGYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWRIPEIRRFYGMDHGGGYDTWRETATLATQFNFDEVDSQWPEGHCVAVRITSEDPDDGFKPTGGKVKEISFKSKPNVWAYFSVKSGGGIHEFADSQFGHVFAYGLSRSAAITNMALALKEIQIRGEIHSNVDYTVDLLNASDFRENKIHTGWLDTRIAMRVQSERPPWYISVVGGALYKTVTTNAATVSDYVSYLTKGQIPPKHISLVNSTVNLNIEGSKYTVETERTGHGSYRLKMKDSKVEANVKSLCDGGLLMQLDGNSHVIYAEEEAGGTRLLIDGKTCLLQNDHDPSKLLAETPCKLLRFLVADGAHVNADVPYAEVEVMKMCMPLLSPASGVIHVMMSEGQALQAGDLIARLDLDDPSAVKRAEPFVGSFPKMDPPVATSSHVHKKFAASLNAARMVLAGFEHEINQVVQDLICCLDNPELPFLQWDELMSVLATRLPRNLKSELEDKYEEYKLDFYNGKDKEFPSGLLRDTIEANLLCCSEKEKATNERLVEPLMSLLKSYEGGRESHARLVVKSLFEEYLSVEELFSDGIQSDVIESLRHQYSKDLQKVVDIVLSHQGVRNKGKLVTALMEKLVYPNPAAYRDLLIRFSSLNHKRYYKLALKASELLEQTKLSELRASIARSLSELGMHKGEPTIMDSMEDLVTAPLPVEDALISLFDYSDPTVQQKVIETYISRLYQPHLVMDSIKLKWMESSAIASWEFSEELVDSRNRQGAIHGGKRRGAMVILKSLESVPAAVGAALKDMADPSAEGNMMHIALLHSDKESISRNSDEAQHRMDGLSRILKDGSVAMDLHAAGLKAISCIVQRDEANMPIRHTFVWSDEKHGYLEEKLLRHVEPPLSALLELEKLNVKGYNDTKYTPSRDRQWHIYTLRNTENPKMLHRVFFRTIVRQPNAGNKFTSAQIDESELGGSEESLSYTSNSILRSLMTAIEELELHAIRTGYSHMYLCVLKEQKLLDLIPVSGSTIVDVGQDEATACSLLKEMALKIHELVGPRMHHLSVCQWEVKLKLSCDGPANGTWRIVTTNVTSHTCTVDIYREVEDTDSRKLVYHSATSLDGPLHGVPLNNPYQPLSVIDLKRCSARNNRTTYCYDFPLAFETALQKSWHPSASSVTKGSGNSQSYVKATELVFAEKNGSWGTPVIPMERPAGLNDIGMVAWILDMSTPEFPNGRQIIVVANDITFRAGSFGPREDAFFEAVTNLACEKKLPLIYLAANSGARIGIADEVKSCFRVGWSDEGSPERGFQYIYLTEEDYSRIGSSVIAHKLQLDNGEIRWIIDSVVGKEDGLGVENIHGSAAIASAYSRAYEETFTLTFVTGRTVGIGAYLARLGIRCIQRLDQPIILTGYSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSNILRWLSYVPANIGGPLPITKSLDPPDRPVAYIPENTCDPRAAIRGVDDGQGQWLGGMFDKDSFVETFEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQLVPADPGQLDSHERSVPRAGQVWFPDSATKTAQALLDFNREGLPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYNQPAFVYIPMAGELRGGAWVVVDSKINPDRIECYAERTAKGNVLEPQGLIEIKFRSEELQDCMGRLDPELLNLKAKLQGAKLGNGGVPDIESLQKSIEARTKQLMPLYTQIAIRFAELHDTSLRMAAKGVIKKVVDWDESRSFFYKRLRRRVSEDVLAKEIRGVAGDHFTHQSAIELIKKWYLSSQAETGSTEWDDDDAFVAWKENPENYKAYLQELKAQKVSQSLSDLAGSSSDVQAFSEGLATLLDKVCILQLFHFLFEN >Et_7A_052660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11116295:11116798:-1 gene:Et_7A_052660 transcript:Et_7A_052660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKHGAAHAYLPGLGADARRRLHCRRGANDRAMSRRPALCTATRPEEHQLRVILGQPDLERCACLSELLRAPRVRGFVDVADYIPWIGCLDTLFGTRRGTEMELPWTKNGDCCFCSENITNLSCALEFRLSGSDTPYQYHLLDNILKLYLNNNTRGLS >Et_5B_043583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12049284:12051942:1 gene:Et_5B_043583 transcript:Et_5B_043583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPDPILASSLSMFVANVVAAEISKQQAVKDDVRRLKKSYERVAVMIKSAERKAMVDDDVARYWMKRLKDHMYEVENVVDLWVLKNEKRRDPDAECQLGSLWSLGCGMDFSLKRFADAIKALNEDFESILKLPVETKTEEHIARSYGKTAPDYNADIVGDYVGTDSDNIVKLLHDSISNCRLIAIVGMVGIGKTSLARMIYHSVKAGTGKGHHFDIRLWIRFSKDLSTLIMWSDCRQEGPTKAQLLKLGSEIANKRFLLVVDSVWTENVWEALLEGVLQKGKRESRVIVTTRNKHVAKRIGADHIHYVRRMNNNDAWRLLCQRASLSHNDEAELRDIGQQIVEKCDGLPLAIRSIGRTLKGSRADKA >Et_6A_047532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:677715:680302:-1 gene:Et_6A_047532 transcript:Et_6A_047532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPKVRFVRCPKCYQLLVEYPSIPVYKCGGCNTVLRAKNRAVPAVQTNPEYDEQNKVPSNLKGPPRDNKSICSVEQKSASSVDQPREAAANVIICSPVNYTNSCEDTIEERAVSAVETCSTIDDNTPNPGVTVKEMHEEGMGGDFGSNSIEELENLDTSQTANRGKVESVQMTEMSTMCGKTEVAQREETLHTYKDMNVESHEALIEELERSLSLSSDDEYFSDEAETSGLSDALRHQMGSRRFMLGDRTDATSRSDPHGRLIEELEMSFSDAEEPMEQHPAVIDRIHEIKHDKHPQTLDAECANPCKESISSLDSGPFELEQTLQVNRPVENESQGKENIEDDNKAANDAHGNEHDKHPQTLGGPESAHPCEESIPSLDNGYLESEQTFREENRLIVSDNEGNEDIEDNNDIANDVHGNEHIVAPNEVGEERFHEKEHDKDLQPADSEITHPCDVSSTDDSDIKLKQIVQSNGITADATEEKEEDCIDDVKMTNYVDGNENLVFTDEDTAVKVHSNEGVRYERLKKMDELRDQLSRLSSQKGMEKKYQKRGLEYLQQSNSYDVEQHLQSVDVDSYALESYYGHERKPRYQTPNPFSPTQTYPRCHFGHAQKSIPYNYDLWEFNSYYQSSSYAESTVLDNDSLIASYKEPKRMVRKHILRPLSGASPFSICSSCFNLVQMPSDMYISKAKIGKMQCGKCSKVLVLSFPAACHGEGKISEDVTQQSNQPAGSMVAKNKDATSHSAECLRGSVSINEGCGSSFARSFSTQARTSLAATQSSKKISDSALHRLMGYDSASQLLRHSRALEDGYDSFESMVPVSTRISRRKNM >Et_1B_014156.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:3328931:3330085:1 gene:Et_1B_014156 transcript:Et_1B_014156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTGSRHALRGGVRGGRSLYARSCSGPVAGGVHHTVALKSSTLGSLSLDRDEEMMKWRDDVGVGAGKKTPPLATTKQQQQVRRQRPQVLGSPAKTPSREPEVINVWELMDGLDDDRDEEAGAGGEEERRAKTAPGSPEFDPDIIAAFRKALDEVSPLDEEAGGKKRDDGPGDEEEARVKKREIQKFPGIVRARVSAFQQRIDAKLAKMAPPPQEPPPSPPPPPPDSARKVVLYLTSLRGIRKTYEDCWSAKSILQGYGVRVDERDLSMHAGFKDELHAALGAPGSRLPQVFADGKHLGGAEEIRRMHETGELSGALESCEMAPPSVVGKGVAIDSCSGCGGVRFVPCEECSGSCKVFLEEVGSFRRCPECNENGLVRCPLCSL >Et_4A_032473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10402097:10402731:-1 gene:Et_4A_032473 transcript:Et_4A_032473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HRHNSTTAQGTDKVATGNTATIHFHALSRAHPRFSSAYLRTLPSLPVFSLVSSRMLRPMLAAASSSYATPPVQLPARRRPGGVAVRCAPNGGGAPAGDAEVKLKVGSPIVILEAPVMLKTAASVPSLRHNAGQVKAGDVGRILARKPKDVWAVRLAVGTYLMDGKFFKPLDVVEEDEAPGE >Et_3A_023069.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:23743586:23744228:1 gene:Et_3A_023069 transcript:Et_3A_023069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAAGVEEGEPRYCSILQGVILLHPSFSGKQRMEEVEEEEEFWRSNNKRWTAIFPGAKDGLDDPRINPMAPGAPSLARLAGKRLLVCTASEDARAPRGRAYRDAVRASGWRGEVEWFESRGEGHCFFLHDPSGSEAAKLMDRVVAFVAGR >Et_2A_018292.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21616300:21619011:1 gene:Et_2A_018292 transcript:Et_2A_018292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPITPPRSLACLGLLLILLSARGADAGPLATELVYPTFTASSFEYIDTGGAFLESSNAAFRAALFNPGKQQSSFYLGVLHEPSGTVVWSANRGAPTTSSGRVRLSAQGLTLSDVNGTVLWSTPSPLRSPVAALRLQDGGNLQLLDARNATLWQSFDNATDTLLPGQRLLAGAHLSASRSAADLAEGDYRLAVTAADLALAWQGSTYWRLSNDVRSYKDRNVPVASVSVNASGLFALAADGALVFRAALPAAEFRVVKLGYDGRLRVTSYATVNSSKPLLGGDFVAPAVDCDLPLQCPSLGLCSPAGNSSTCTCPPLFAASASTPGACTPGDGSALASPASCGSNTSATTRVSYLGLKSQTAYFATKFDPPAATGVDDTGCRGLCTASCACLAYFHDNSSKSCYLVQEKQLGSLYWSASSTALGYIKTFASARNGTRHKGNSSSANRVVPIALPSAAAFLLVAVMVWYVCWKRMSKNKKKNKNRSMGVKQAYAGRSKTKSGDRGNAYADDDELDDDDIVIPGMPTRFTYTEIEAMTDNFGTKVGSGGFGSVYKGELPGGEGLVAVKKLEAIGVQAKREFCTEIAVIGNIRHVNLVRLRGFCAEGSRRLLVYEYMSRGSLDRSLFGRTAGPALEWGERMEVALGAARGLAYLHTGCDQKIVHCDVKPENILLADGGQVKIADFGLAKLMSPEQSALFTTMRGTRGYLAPEWLSNAPISDRADVYGFGMVLLELIHGRKNRGDQADDVVVGGGDQQSEWPSGWSSGAASTPSGASGGGDEYFPMVALELHEQRRYKDLVDSRLEGRVDEAEAGRVVRVALCCLHEDPALRPSMAAVVRMLEGSVPAPEPRVEALGFLRLYGRGYAVQSSMAVMAGTSGSAAATPSSPGASPPSQSLQDTSGPR >Et_1B_014364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6869676:6873242:1 gene:Et_1B_014364 transcript:Et_1B_014364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASATAAVTGALLPHALLSHRSPPPQVLAVASSFRRLSLSLYASPRRTTHLVARADASAEAGVPEPAEEAEPATTSAEAEEGEAEGAVAVAVQEEEVADEPPPRKPKFGEIIGILNKQFIEEAEKVKTLPDLRPGDIIELKMQRPNKRRLSLFKGIIIAKHKGGVHTTIRVLSQDQGNQSDQTQESEESEAVLPETQASPFLHLQRIAMILTIQIMY >Et_3A_025405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29630125:29634446:1 gene:Et_3A_025405 transcript:Et_3A_025405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRELVVKSRPTTSLRPRPPTTVSWLQVLATWPPLWPDTSASLGPVATDFQKLPAASWPRMSASIQRPRARHASDVAPLPPKKLIRPNHAAHSLTLGKQVAAASHFAAPQPTPCSLRSHPRPPAIEAETTAEKSAVDSERPEVLEAMNGSGGGGVVGVGGGDEEKEEDGGGGAGCAGGTGNKERLVLMWGYLPGVSPQRSPLLGPVPVRLPPAAAAAGGDGWRDVCGGGCGFAMAISESGKLLTWGSADDMGQSYVTSGKHEETPEAFPLPSGVAIVRADAGWAHCVAITDEGDVYTWGWKECVPTGRVVTDHSSVGTLEKDERQSAMGIDQVSPRSQVSRTSSGAASGPPESRGTEDSTKRRRLSSSKHAPESSTPSDESLSAPPCVVTFNTGVKIGAVAAGGRHTLALSDLGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCIESAVYGKDRPSAMKGNKTAEGHINKVMGNCVKAIACGGRHSAVVTDSGALLTFGWGLYGQCGQGNTDDVLSPTCVSSILGVKMQDVAAGLWHTVCTSIDGDVYSFGGNQFGQLGTGSDQAETVPKLVDATSLENKNARAVSCGARHSAIITDEGEVFCWGWNKYGQLGLGDSVDRNVPCSVPVETYQPLNVSCGWWHTLVLAESPT >Et_9A_062545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23918130:23924311:-1 gene:Et_9A_062545 transcript:Et_9A_062545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHWLLLCNMKPSTPRTMRAPSWLLIVYIAAAATAWVLQVRAQPDGIGFVSIDCGLSGTASYVGDTTKLLYLPDDAFIDTGSNHNISAEYITPQMSRRYYDVRSFPDGARNCYTIRSLVAGRKYLIRAAFLYGNYDGLGRLPFFDLYVGVNFWDTVNISSPDATGTEILEAIVVVPDDFVQVCLMNTGSGTPFISSLDLRPLKSTMYPLVNAMQGLSLFDRYNFGPTDRTPADIVRYPDDPHDRVWFPRVNTTAWNSLSVTKSVANNDIADAPSKVMQTAITPSNASNNIKFSWVAKSNPNHTVPRYVANMHFSELQVLLKNESREFYINVNGELWYASGVTPDYLGSAAIYSTEPFAASAQYEVSINATANSTLPPIINAAEIFSIIPTTNLGTDAPDVSAITAVKANYRVQKNWMGDPCAPKTLAWDGLTCSYAIPTPRITGVNVSFSGLSGNISLSFANLKAIQYLDLSHNNFTGSIPDVLSQLSQLTFLDVTDNQLSGSIPPGLLKRIQDGTLNLRYGDNPNLCTNGVSCQTTKGKSKVAVYISVPVVLIVVIGLLAALIFCLLRRKNQGSTNNAVKPQNEAPTSHVPPGGHDANPHSSLQIENRRFTYNELKVITNNFERVLGKGGFGKVYDGFLEDGTQVAVKLRSQSSNQGVNEFLAEAQTLTRIHHKNLVSLIGYCKDGQYMALVYEYMPEGTLQDQIAGNRRNGRCLTWRQRLRIALESAQGLEYLHKGCNPPLIHRDVKGANILLNRKLEAKIADFGLSKAFDHDNEAHILTNKFVGTPGYADPEYYETRQPTTKSDVYSFGVVLLELITGRQAVIYDPEPTNVIHWVRQRLARGNIEDVVDAHMQSDYDVNSVWKTAEIALKCTIHTSVQRPTMTQVVAQLQDCLELIEKGRTSDDANVSFYTGRSGDPNSDSQSVGVSQSSSAYAMGHNFRNVPTTETGPIAR >Et_8A_056664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14775910:14786444:1 gene:Et_8A_056664 transcript:Et_8A_056664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FAPTAAANSSFPLVLLAVLLSVLLNLANTSLVEAIPTDLGRLRRLRVLNLFGNGLSGSIPSTIRNLSQLEVLCLGYNILSNQIMPELLQNMHNLRTLGLGSNKLSGYIPTYLFNNSHSLRYIYLGNELSGPIPHSVGSIPLLVHLGLEQNHLSSTVPTNMFNMSLLQVMSLAENNLTGPILLSNQSFSLPELQGLHWHQNNFAGEIPLELSASLSTCRLSLWVPVLSFVLYQHATSPHTILDLSFCNLTGDIPTEIGLIRELRYLGLQSNQLTGPIPSSFRNLSKLNQLILNSNSLSGSVPDVFGNIRGMEWLDLIYNKLEGNLDLLSSLSNCRQLEFLGLYLNEFTGEIPDFVGNLSTKLLEFHVGYNQLTGVLPSTLSNLSDIPDSIILMQKLVLLDVSDNDMSGTIQTQIGLLKSLQQLFLNGNKFFGFIPDSMHNLTNLEHFVLFDNKLNSTIPASLFHLDKLLYLHLSHNYLVGALPDDVSGLKQAAEIDFSSNFLTGKIPNSFGKLRLLTYLNLSRNSFEDTIPDSFEELTNLILFNLSSNNLSGTIPMFLADFTYLKALDLSFNKLEGKIPEGGFFSNISLQYLIGNAGLCGAPLLGFPSYFEKSRSPNRHLLKFLIPIATIPLVSVVLFVYLMFSRKIRIKREVQPCPSDVMRHSLLSYHELVRATDNFSDDNLLGTGSFGKVFKGRLSTGLVVAVKVLDMQLEQAIRSFDDECRVLHMARHRNLIKVLNTCSNIDLRILVLEYMPNGNLDTLLHSEGRTHLGFQNCPWGC >Et_8A_057329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23767232:23770060:1 gene:Et_8A_057329 transcript:Et_8A_057329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSAEYKWSNGSAYNTLMLYLGKYPEDYTLSRDKLIWKPESYITTIPLKLHLDLQAFCSASTASQSRTVLRPGPRCTALLSFHAIIVKLIRKSQDLLVYEEHPPVPTTPVLGGFKCTYASSSRSSDNAYLVPRAYLDSFTGGFTTAASCVRVCVGDRNANSLEHCWSTIQKECMKFQADDEKIESRHQSGIPHTEHFQALCSNKRSRKEYEDVQADEGGINQTHDSSQPIHNKRPTERKQAKGKGPYKDMLERIVLAKEREINLKEGRWRKTLMIQQERLMWEQEMKIMFCDANALEPDVKIYVLAMRKKIAAQKVAELNASSGTGGVDGGRFFFLNW >Et_6B_049850.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1577895:1578323:1 gene:Et_6B_049850 transcript:Et_6B_049850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIVSENDATAASNGQKQATAVISDDDDLFELDIALLGRRDDDVGDDHLRRHRSSHCAVVPDDDDGGGHALLANCLLPVSSVSNAVPVTASSIAWTSYPYSGYHSSRRLFTGGGRRFLGRSARFCFSSRGFEAMGNYFQRY >Et_2A_018089.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1074840:1075505:-1 gene:Et_2A_018089 transcript:Et_2A_018089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLRFFPKHGGGDDEYCAGAALEVTVLSAESLRLPPSYSPLPRRLRPYVTVSSDASASDRYSTAVASSSSGEHSWGDTVVVPVGAEFLEGRADVHVAVLSEPTCRLVGGATPLGWCGIPAADVLDGLRAPRALRRLSYSLRCPWRGGGGAAVVHLAVRVLGLGDHDVARRRHGAAPPAAAAPAQEQGWCRVAMGIPVSGASAAAAVVGTPWPWGAMSSR >Et_7B_053284.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:21369248:21369694:1 gene:Et_7B_053284 transcript:Et_7B_053284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRLAAPPSGRSPCGSCTAPASTASPCTSLPPASQGRPMRCSTCCSASPGAAPLRPRLSASSLPRSVMSSSAFGTGTSRQPGTALVVMGRKPRRYGWCTACRARVGGGHDPTINVTTVVVCFFFSHDRLSSVLFSVNLVVACSFQS >Et_3B_027797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19882798:19883691:1 gene:Et_3B_027797 transcript:Et_3B_027797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASADPDTAPGSPSPAFPSLAAGTGGGTWCVASPSASPTALQVALDYACGYGADCSPIQQGGSCFNPDTVKDHASYAFNSYYQKNPVQTSCDFGGTATLTTTDPSSGSCQYPSSSGGAQVTVTPTAPMTPTPTVPMTPTTPDTTTPTTGTPIYGMTPPDYGGSMSPPTFGSSSPPDYNDVGAAAVTGQQGRGAVALLCVLVATICLLHVSK >Et_2B_019152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14200648:14201562:1 gene:Et_2B_019152 transcript:Et_2B_019152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYEVEVTVGSARDLKNVNWRHGDLKPYAVVWIDSGAKCSTRVDLDGGESPTWDDKLTVPLPPTSGRLEDAVLYIDVVHANAAEGVKPLVGSARLPLRDVLDDAGMGGRASRNLRLKRPSGRPQGKLDVRVAVKEPKRYYDPNPYPAPGAGAGAYGAAAGGYGYGSGGYGAAQPYAAAPPAGYPAASPYGSAPPQPAYGAAPAAAPVVAGAPVKDPNKKGNKMGMGTGIAVGAAAGVLGGLALAGGASYLEHKFEDHVADRVEDRLDRDDYYGGGYDDDDCCDDDY >Et_2B_022756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4469135:4470988:1 gene:Et_2B_022756 transcript:Et_2B_022756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVGAEVLAREHQSSLAGRWLDTKGREAKRPWRWAVNAVLAVFVTIVALTVILFGSERTSAPPIWISSLRGGSGDASYQHWQERSFDKLLGGLLVDGFDKRSCHSRYQSAMYRRKPGRKPSPYLVSKLRRQEDLQRRCGPGTVAYSTALEQLRFRNRGVVASPECKYLLSISFPYSGLGNRILATASAFMYALLTDRVLLIDPSNEMGDLFCEPFPNTTWLLPPGFPLTGYTNFSIDTAESYGNMLKNKVVRTDAGHVPASQLPGYAYIHLNFDATQEDKLFYCDEDQRLLRDIPWLVMRTDNYIVPGLLLDRGFREEFARLFPEPDAVFHHLGRYLFHPSNHVWGLITRYYDAYLATAHQRVGIQVRVFGEQPNSPALLEQITKCTQKHGVLPELLSGTETFIPGPSRKSKAVLVTSLKSWYFENLKSMYWEHATATGEAVSVHQPSHEEFQQYGAKSHDTKAWAEIYLLSLTDTLVTTAWSTFGYVAQGLGGLRPWVMYKPENDSVVPDPPCGQDVSMDPCFHAPPFYDCRLKRGSDPGNIVPQVKHCIDMRWGLKLVESS >Et_4A_035952.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9328631:9329128:1 gene:Et_4A_035952 transcript:Et_4A_035952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGSFDVIRIVHLSGHIEEYTRPVTAGEVIAAYPNHVLSRPCSQGGARRILIVAPDSELKRGCFYFLVPASSVPEKKRKPAAQPPQQQKKVRPKKTAAPSDAAAQVEKENGDRYLSEVLSEGKASLKRRRSVRKTVWRPHLHSIVEEADNDS >Et_5B_045703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7880175:7880883:-1 gene:Et_5B_045703 transcript:Et_5B_045703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAPLIVLAALLPTLAAAADTEECVFYPVPELTPGHVCDDWQGTYAPGSAYEATLRHLAATITAKVRASSCNSSYGNIAGDSPNMVVASAFCYWSPDASSPDCGACVALAFREAQRLCPYHRMAEAVVDGGMCKAYFHDYDLMEEYQHGPIPGFDFFMVKGLRFGLFVLIAKVVRT >Et_4B_037577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21290891:21293259:-1 gene:Et_4B_037577 transcript:Et_4B_037577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGEDDAAWERAIAAASKTASSASAAPKTLTLDGAVKSATGRLPSPAVLERVAGSLEELSVAGARLSSLAGLPRLPALRRLSLPDNRLSGAAALSAVAEACGATLRHLDLGNNRFSECPVTKVKGYREKVFALIPSLKYLDGVDAEGNERLETDDEEDDEEDDEEEGEEGEGGDEEEGEEDDGEEEEGDEEDAEDEEGDEEQGDEEAEDEEDEAEENEPDANGDDKVNNGSKATLPNKRKRDNEDNTNGKDLRVSG >Et_4A_032071.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:15414261:15414875:-1 gene:Et_4A_032071 transcript:Et_4A_032071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTPPASLPCEQHGRRPNPSPPPPLSSPPLLRRRLSKPPAQPAWLAGMAAARLNQQLLAGGMPWPGGKAASRWSNPPAWWPDLACGGAEEGELAFNGDGNSFLTVELVEAASNGVVDGGLPWWQVTAAKSGLFMDGSGVNVTMKGRIWAPHGQIRRRRAVWVKWRARGCCGVWRQEVAGPRLWGAVAGGRRPVILCCVAAGGH >Et_9B_066089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:309909:311657:1 gene:Et_9B_066089 transcript:Et_9B_066089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPDFTAAHPDDLHGFLRCGVRTHAAVLRAHAFLLRRGLLLGHPVTAGLLLTASACSAASPPRHVLRLLIGHLPPPLPLFSLDAALRALAPRIPFSALLSVFAALLRSHHPLFPDRFSFPPLLSAAAASSSARRHLPSARALHAQLVRRGLLFSSPPHAANALLHFYAAAGRLPTARHLFDEMPFRDIASCNTLMTAYAGSAGGIDTARQLFDGMLLRNTVSWNVIINGYVKAKRPEQALEVVRWMAEVGVRGTATTMVGAATACARLGRLGAGREVHCAFLRRFEDDNLLVWTALVDMYGKCRRDKSARKLFDRLSMRNLICWNAMIIGHCVYGEPGDGIRLFHEMIRPGNLQPDGVTFIGVLCACARLGLLDEGKRYFEQMSTIYNLKPTFAHYWCMANLYGSVGHLEEAERLLRVVPEELKARALGGLLGLCRFRGEWGLGERIALRLIELEPSNNAHYALLCNVYAAAGRWEEVHRVKNIIKERDVRFSPGHRLVNLNEIVGEFTIRERQPEGQEIYAILDDLVSGLKLGCRENEQGESGIK >Et_8B_058970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11696439:11707304:1 gene:Et_8B_058970 transcript:Et_8B_058970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGQLKEWVSDKLMTLLGYSKSVVVQYVIRLAKECSSTGDLVGKLVEFGFTSSAETRSFAADIYAKVPRRGSGISNYQKQEREAAQLVRKQSTYKLLADDDENDADNQTSTSRQSSAKQSSKSRKHFRKKAEDQDGGDDDDDDEKRANDSERNVRRRTEEEGEEDDHGSSDDEQERIRDQQERAQLERNMKERDAANTRKLMERQLSKEEQEELNRRSQAIDKNDTSDLRKYSRQAYLQKRRDKKIDEIRDEILDHEYLFQDMKLTEAEEKEFRYKKKIYDLVKDHIKDDDDLGEYKMPEAYDMGENVNQEKRFSVASQRYKDPEAKDKMNPFAEQEAWEEHQIGKSKLQFGSKDRKRSSDAYQYVFEDSIDFVKSSVIEGTQDDNDSDQEDVDAKDMLKRELQDERKTLPIYKFRDELLKAVDEYQVIVIVGETGSGKTTQIPQYLHEAGYTAKGKVACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTIIKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDISRFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEIHYTKAPEADYIDAAIVTVLQIHVTQPPGDILVFLTGQEEIETVDEILKHRTRGLGTKISELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFCKIKSYNPRTGMESLLINPISKASANQRAGRSGRTGPGKCFRLYTSYNYMHDLEDNTVPEIQRTNLANVVLTLKSLGIHDLVNFDFMDPPPSEALLKALEQLFALSALNSRGELTKTGRRMAEFPLDPMLSKMIVASEKYKCSDEVISIASMLSIGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLNVYNSWKETDFSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIEVTSNVGDLDAIKKAITSGFFHHSARLQRDGTYKTVKNPQTVRPRWVVYHELVLTTKEFMRQVTELKPEWLVEIAPHYYQLKDVDDSGTKKLPKGQGRAAL >Et_9A_063505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5178621:5181510:1 gene:Et_9A_063505 transcript:Et_9A_063505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASFSLQVFLLFVSGFRKRYRSRVLSVLLWLAYLSADSLAVYVLGRLTLRGGGNPLALFWAPFLLLHLGGQETMTAFSMEDNALSKRHLLSLATQVPMAIYVVSKQLRGDDKKLVAPMVLVFISGTAKYAERIWALRRAGSLAPGTSSSTSKLVSRASYDAVSDMQGHYSQLRYVVSNKQERNFEVILNVAAEGFNLSLHFFMDMTPSISLLPADIKDIKEAVEVFKSSEDKVHMAYNLAEINLSLIYDYLYTKFGTRHFHMVPFCNIFHRVVALALASVALGLSVRGMAAPKGHGAADVIISYVLLGGAVLLEICSIFMSFTSSCWAYKTIVSCPLTCPLSRKIPCAIAGLLSIARRLHPGNKGEWSAKMAQYNLIRGCIKEKQESGLLRRAMRWFGVNELPVTHISISPEVKKLLLDKLLDIAATPRVQEWDIGVGKYRGQWAHWVVETKQDHHRSAARQVLQVSNIQGLEFVSSVLLWHIVTDICLLATDDDADGSSSHAEHLDGGSSPSHHDDVVIGRGPAKELSDYIMYLVADCGAMAGSEGHFMVTRGQLEVSNWLLEKQGGCDDPTKVLEAIRDEESSFFHENYYPVLDRARRVASDMLKIGEVDDRWELISAVWSEMLCYMAYNCGAAFHTKHLTTGGEFVTHVKMLLFMVGLFEPARPGDLHHHALIFAADGMVVLSDEADESDPTSTSDFTLGETDEK >Et_1A_007679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37124014:37124852:-1 gene:Et_1A_007679 transcript:Et_1A_007679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHMESALRQGLSDPERRELEDVVRAHHTFPGRAPGTCTSLVTQRVDAPLAAVWPIVRGFANPQRYKHFIKSCDLKAGDGATVGSVREVTVVSGLPASTSTERLEILDDQRHILSFRVVGGDHRLRNYRSVTSVTEFQPGPYCIVVESYVVDVPEGNTEEDTRMFTDTVVKLNLQKLAAIATSSSSSSQQEEEEEEEEEEEKMLSIYFATRNIIISSISSIQTGSIFIISLFLPSS >Et_2B_022407.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20615655:20616635:-1 gene:Et_2B_022407 transcript:Et_2B_022407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVAYPCLMRGHEMQTAFSLPDQKLHRVDMPELHGNAFVATPQGWILVVANSDSAASLTYLLHPQTNSRVALPSLEDDLPEQRKCVLSGNDATVRGCGVLVLDMTSPAMWFCRIGGSRWSRHGYDIGCYNLPLSYCPVPKKRNIFDIAAVDGRFFFFESDTSSEVGTLDFTDDDPEPEARMGAIAVPSLDDDFYGDRQQMSAVLTYLVESDGDLYLASVAFYGSCYDGPGTVRVYKMDFSASAWRRTEDIGERAFLLGSPNFGASCSASGHGLKPNCLYWVNCFSEEGSDLHVYDVKDGRLEIIEMFSEDASAAQRPFWIVPVAS >Et_6A_046549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16923573:16936853:1 gene:Et_6A_046549 transcript:Et_6A_046549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRRAGSPTYGRRRSPAAGLYSAPASPAHPLVPPPAASAASPVHPLAARSKARAAAAMAHAMSSRPAGANNHHRRRRGDLDEEDDDEEEDDAAAATTTIANGRYYDGGRSPLRGGAYAYGGRSPLHAAAAAAAASAAGNGNGTKKDNNKYFGFALPKGHGRRPSASGGGAGAAARAAAAREAMARMEEMMMAHAGAAGEFSIILDAPLPSLQQYRRNPTPPAPAPAPSPPRHRGVAQNAGGGGGRRPDEGVPARLRREGSLPDAVGDADAAHASRRGGGTRPRPGGARGDAEVERLEPPRRPPSRGETPPHRLAEEEVEPPVRLVDPRGTRRPPSRGETPPRKVAEVRRVAAEEVEEEDTPLQLLARGGRSSSATRPAEAPQEAAVAAGVRPSSRRSRRDGGVRAVAGDGLVSGDDEAESVGRLSSRGSEDGGEELAAVAFLPKPLAAVVTSARSRSNSPAISRNGVDSGAANGPPSTGRSTFAPPVGASVRPLQVEVPNGTPRDRRAVYPDPTYAQSARSRDSHDSSTVTEELEMIKDENVNLLEQLGLAEEKLRQSEARTRELEKQVANLGDGLSMEVKLMKRREEMLVRKEQEIRKALISKNGRNEELTTLQQQLQASREETATAVKKLKDVETETKDLRTMTRRMVLSKEEMEEVVMKRCWLARYWGLAVQYGSSDLEDADKLVHDLTVTAGEGNVETMLSVDKGLQELAFLKVEDAVLFALAQHRRSNVNEPEDPDIKPSGDEKFTEAFDLCKEEEEDVLFKQAWLIYFWRRAKTHNVEEDIADERLEMWIDRHGQQPTSHDAVDVEQGIHELRKLGIEQLLWELSRQEVNLVKGEETNITKGDRSDGTGSRKSEATRSSRSLLK >Et_8A_056627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1393586:1413488:1 gene:Et_8A_056627 transcript:Et_8A_056627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLMHDLTKDVANDCATVEEIIRRKISINDICHLRISEPHEVDKIIPLVRGTINLRTLLTPQSRDKDLAKARFMSSRALCCNITKSSIAYKQLIYTSHLRYLDLSRSKFVSLPKPVRMMYNLLSLRLNNCLHLEYLPDGMSSMRKLSHIYLWGCRKLERMPRKLSLLHNLCTLTTFVVDTEEGRGIEELKDLRQLRNTLELYNLQKVKSGSKANLHEKQNLNELLLYWGLPDSVFEEPTVDEATKQELVLESLAPHDKLKYLEVHGYGGSTISHWMRKPQMFLCLRQLLMEDCPKCKDLPIVWLSSSLESLILCNMKSLITLCKNIDEEPAAYSTTVQIFPKLKVMKLLGLPEFETWTENSAVEMNGSVMFPKLEMLVISRCNKLASLPVSPILKTADLAFGFLASLVHLMIELPLDVVMPPDGQQSRRPLETLRNLQLMGDNGFVAIFNGSKLRLGLLDCLAFVENLSIRDCSNIVRWPLEELRCLPRLRSLSIRSCSKLEGKGSSSVKEEILPLPLLERLEINGCESLLEIPKVANLRVLVVWSCGALKALPDEMDDLTSLSLQDCPGIEGFPRGLLQRLPALESLYIWGCPGLQRRCRESSSLSDIPKLPTSLERLTVQHCGCLVSLPSNLGSLARLTEHRLLGCEGLKMVPDGMDRLNALQEQWIRQCRGIELYLMLMTVGSPELELRCRRGREYWVFVAIIPYLNRDVLEDGPMAETLLLLVVRGMVGKAADALVKRITRMWGVDDDRDKLERRLAYAQSLLADAEEKSETNQAVRTWMKALKATAYQADDVLDDFQYEALRLEAQSRGSMTTKVLSYLSFQKRLVFRHTASRELKNVLNKIEELVSEMNTFGLREHMEVPQISYHRQTHSALDESAEIFGRDNDKEALVNLLLDQQDQQSAQVLPIIGMGGLGKTTLAKMVYNDPRVQKQFELKMWHCVSENFEAIAVVRSVIELATNKTCDLRDNIELLRVKLLEVISRKRFLLVLDDRVSHDWPYHQQVHGTSSCSEINGWLDEFNTTEVTEECAPDQKPIQEKRDIHRMIISSDEPKEIKGLLKSTPPLRTLLIDKSIYQDPIKEIKPMSVRALCCTKPSDSLGKQAKYLRYLDLSGSDIVRLPDSICMMYHLHSLRLDYCWRLKYLPEGMAISLRKLIHIHLMNCYNLQRMPPKLSLLQNLHTLTRFVVGTKDGCGIEELKDLRQLGNRLELYKLKNVKNGSKANLHEKQISELLLDWGFRETIEIDNAEEVLESLVPCGELKFLDVHRYPGRAISQWMRDPQMFQCLRELKISECSKCTDIPIVWLSRSLESLDLAYMDNLTTICKNIDVEAAGSNSSPPIFPSLKSMTLSHLCNLERWAESSAREPHRSVMFPRLEELKISECRKLVTFLMAPLLTNLSCFVEGYLPVRMPLCSWPSLVSLSVESSANVTMSLEEQRGQSMIPLEELRCMEIWSKNSFVSIFDMPSLQLGLGDSLAFVEKLEIFCCENIVRWPMEELRYFRRLQYLTIDSCTKLGEDDSPSQEILPLPLLKNFTIWGCDRLLEIPRLPASLEEVMIYDCKSLVALPSDLGNLAKLRDFTVKHCDALRALPDVMDGLTSLEQLTIYYCPGIQDFPQGLLQRLPALKSLLIWGCPNLERRCREGGEYFDLVSFIPKKDIKKAGKRFLPLCGGDPRPRCISSPPLSCTIANTESSTILFYSLFMPYLDALVQRVTRMWGVDDDRRKLERQLLAVQRVLVDAKVKSEADQAFTRDNPFLFRLKVSSELKNVLDKIDDLVEDMKKFSLVGHEAPQAIYQLTHSALDDSEQIHGRDDDKAVVVKLLLDQQDQQNVQVLPIIGMGGLGKTTLAKMEHFELKMWYCVPENFEPSVLVRSIIELLFTGTLCLRTLLMPLSSDNYLAKAKLTSSRALYFKSFDSSIVRRQLTRTPHLRYLDLSDSKFVSLPNSVCMLYNLLSLRLINCPHLQYLPEGMSSMTKLNNIYLLVCPSLERMPRKLSLLHNLCTLTTFVVDTEDGRGIEELKDLRQLGNRLELYNLCKVKSGSKGNLHEKHNLNELVLCWGLTRSVLVEPTVDEVTNQEQVLESLVPHDKLKNYGGTWVWWQHNLALDEKASHVPVFATTPYGRLPKKTASNVSVLKRTRYDRLPKMSGSANSMIIFLS >Et_2A_018012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:933381:936679:1 gene:Et_2A_018012 transcript:Et_2A_018012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DIRCTILSKLSLEDAVRTSALSRNWKCSWSVCPKLKFDGVKICGKNICGKKYIQNFIDHVNAVLAQCHGRVVEELAIKIDFDSMLVEDLNNWVSFAVSSWTKLLAFDLTPNDFQCRDDRYRFPFELLDIGSISRLEKIQLSFGYIQPPKNFSGFPNLKKLDLYMVSVCGKDLEELLSNCCNLEWLSIVRCHLYDELKVNGPLRHLLYLNVVYCNVTKVALHAVKLTSFVYNGRQVPIDLNKSSKLETAHISFYRATLEHFSNKLANVLMNVESLTFDAYCELPKTPNLKLALFFGKDIDILSLVSFLRSTPFIEKFEMHFSVCAPLYLGDEPIRRLPQHPYNYLKDLLITGFEGSSGQLGFLLYIVENAPALETLTVDRSDKMVKQQPWKDGATETGQVALIHKTAIRHIEGKKHTLGDVVATPAGEEKEITPAGKRYHNKLPNGTAAAICIMIQD >Et_9B_063704.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:20515093:20515527:1 gene:Et_9B_063704 transcript:Et_9B_063704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTTSLEREVSLNVEMRIMSEYVQRLGMRKWDAYKENRESISNTIIFLTQKLERYRDCRLKFGLFYLAPHPERMDMIVLSHLKNMPLKEALRRSRQELEKRKFIFEKYNSSKGAEASASFSPVTKLVIYTVSSMILGCIILFC >Et_5A_042528.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:18714447:18715772:-1 gene:Et_5A_042528 transcript:Et_5A_042528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPPSSKHNDMHLVVVLLLLSAASPFAGAIGVNYGTKGDNLPPPATVASFLANRTRINRVKLFDTNPDIVRAFAGTGISLVVTAGNGDIPALAAAGGAGAAAWVAANVAPYYPATDISLVAVGNEIMDTADPSLIGNLVPAMRSLKSALVAAGYRRIRVSTPHSLGVLAAPPPAPSASRFRPVDDLAVIKPMLEFHRATRSPFMVNAYPYFGYNGDTVGYALSRPGNPGVLDPGSGVTYTSMFEAQLDSVFSAMKALGFEDVEIAVGETGWPTKAMDGQAGVGPDEAAEYNRYLIREASGGSGTPLMPKRTFETYIFALFNEDLKPGPVAERNFGMFLPDLTPVYDVGLMKDGTSNATATKLDSEDAAPPPAEAKPANGSSKAAAPASASGDEAAGPAPSEGGSTENDKKTPVRSSRAAIDYIYRPGKCQIKDFDIDV >Et_2A_016319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23277482:23279262:-1 gene:Et_2A_016319 transcript:Et_2A_016319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKSDALLVDASEEEMGLNEEKDLFVSCKRAKISGSIVQRQQGVEASDKENKVPDALVHKVSAGKDPMNRPTGSAVKSTGEANGCSKGNEPARSKLHKLCSAYRFKGPLYDFKEQGPPHNQVFTCKVTVHVDSIVNTVVECFSDPKPQKKAAQEHAAQGALWCLAHYGYVK >Et_6B_049500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5775965:5776199:-1 gene:Et_6B_049500 transcript:Et_6B_049500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRPNVQSKTTQEWWTEAMETSSKEQRRSKAAVMIYTAWHLWNERNRTIFADTAMQPVERIWCCVLELVE >Et_5B_043187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16246518:16247021:1 gene:Et_5B_043187 transcript:Et_5B_043187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRPRRRRHRHHRRRAHRRHLRRPAPEDQGHGGRRVPDPLRPDQLAARQGAGGRVQLRRAAIRPRAGRRQGREAGGQEDGGVPPRHQLRRPERGAGQRRRGRVQEAERDGVFRGGGEAHRQVQVQAAQDQVRLRGHLPGQD >Et_10A_001639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6324006:6327447:1 gene:Et_10A_001639 transcript:Et_10A_001639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDDSLATVPFLILGNKIDIPYAASEEELRYHLGLSNFTTGKGKVNLSESNVRPVEVFMCSIVRKMGYGDGFKWMSQYIN >Et_1B_010373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:139183:142684:-1 gene:Et_1B_010373 transcript:Et_1B_010373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPPPSLSPQEWEQLLDDFSSSSPSRRDRWLHLPLLDLALSSLPRRDLPSHLKPLLLSLIDDHLLPPQSAHHLTLLLGSLQSFPADHPLRDHLLVTVVSAFASALAAPVSKDEASPLASLVDALLAAANRPNHAPDRAARALACDSLRALDTALPGLLADVLGHLYALAAAERSPAAQAYLLLLASAARHSVRLGRLSSTASILAVSGPPTPFSVPAHLLSPHRSPAASVAPSELNVRDIRKVLALLMDRPQVLTPAAAMEMTAILAELASAVLQWAPAIAAHIKVQFGGMLHSSNPMLLHSLLTLFLTFPDAFGAEDERTMARRLALAAHETHRPLAARLLALHWLLGPARFRDLTPGLARLFYPAVFDPLALKAKKLDCLAYVAAGIDAKKIAGESGGHLGTTLVDDGLVCVSAFRWLPAWSTETAIAFRVLHKVLVGAAPHSTDGACCSGAGELLNSTIFHHLQAMLVDMASEHRGLVPVIADFINRLLACNSHRWAGERFLQTLDESLLPRLEPGYQLASYYPLFEKIAQNEMVPQLRLIELLTKQMACLTKKHSPDTELKSWCQGSKVVGICRVMLKHHHSSHIFIPLSRLLVHTIESFPDLEVRDHARICLRLLSCVPGKKLRHLMITGEQPSGPSHQGSLFDMPSPDPSQDLKGTPDLKSYIHLERVVPLVVKQSWALTLPNFNIQSRTPGSILSIQDVSSTGPEEEKPPGPTIERIGYTHEALRVMDSKGAETLHILRRYFACIPDYLHSTGLKIRIHCTFRFESEPFTRAWGADSSISGSDGIDELPALYAVTITFQASAQFGNIPPCHVPFILGEPPGSGMDIVPLDNQNREESSYCASLAIELEPREPSPGLIDVSIAANTENCQVISGSLQYVTVGIEDMFLKASVPPDTPKEGVANYYQDLFHALWEACNSSSNTGRETFPLSGGKGSVAINGTRSVKLMEVTPKVLIAAIERYLAPFVVSVVGDSLITILRGNGVIKNVVWDESNLDATVGADALVPYSPDSNLQLQLIDNDEIGVGAERYGHQSKRDMGVVRVMISLPPRYHLLFSMEVGCASTLVRIRTDHWPCLAYVDEYLEALIC >Et_9B_066236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8007036:8025359:1 gene:Et_9B_066236 transcript:Et_9B_066236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWPAAAATCVLVLSFCWAPAGEAIRTHGGAYVSAVGDPGMRRDGLRVAWEAWNFCNEVGQEAPGMGSPRGADCFDLETTGVDEHGQPAYEVVHRVSDADNALRAGDPFPGSPAANVTTDVDLYAAAKEVYLGGLCQVEDSPAPWQFWMVMLKNGNLDTTAAACPENGRPARPFPQTSRFPCPGGAGRCMNQPLVFHNRTEILDGGLWLRGGVFGTYELDASPAAEESSYYSVTWEKEVAGDGGWAFHHKLRTSRRYPWLMLYLRSDATKGFSGGYHYDTRGMTKIGILCFSLTQHCQVPESPDFKVRLTLDVRRGGGGNSQFYLLDMGGCWKNDGRACDGDVTTDVTRYSEMIINPDTPAWCSPSRVDQCPPWHTFRNGTRVHRTKDADRFPYDAYHVYCSPGNAARHAEQPTTYCDAYSNPQPQEILQVLPHPVWGEFGYPTAKGQGWVGDGPRTWELDVGAMSQALYFYQDPGTPPAKRRWTSLDAGTEIYVSENAEAEWTLSGFDILVPDNCGKSHEGGTTSSCWTIRRQQLVYAHIIMFTVNNGNPNRRRIKDRTHTHERRLEEVAAGEHLVGRRELAELARAAPDLADAVPAGGEDDEHDARDGLGHDGPAPAFGAVHGEQRQAARGCAEEQRQRQRRLRGQQREQQLDHGGGRSVCASRGWRWSAGRKGGVRSRARAEDAGRGS >Et_1B_010867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14933898:14937507:1 gene:Et_1B_010867 transcript:Et_1B_010867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKNSLNHPVTTYKNVREAMCFICGNLQTVDLIISDAFFPAEDGLLILQEITSKFDIPTVIMSSNGDTGTVMKYITNGASDFLIKPVRSEELKNIWQHVFRKKIGEEQRNSAPHVQLFYPPNDASSPCPARTIGFTEAAMALEREVGETTGTVTDVRDLRKARLSWTVQLHRQFVTAVNFLGAEKAVPKKILEVMNVKHLTREQVASHLQKYRLHLRKSAHTLRKDDAASSSSHPHESNNLKTQVNHPQNSIYFDQDGCMEISDYSLPKDDLSSGSDCMLGERNNYSPEGFHDFRWDSDKQYPAFWPFFCTLTQRHLLCSMRNSAVLSWPRLDPLALLSLIDR >Et_3B_030801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7509134:7512079:-1 gene:Et_3B_030801 transcript:Et_3B_030801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGAEREEAEAAAAMVAVAGRRGCIRSTQGPWTVRRRGRGGGVTTSLRHPTPRERENNRQRERRRRQVAARIYAGLRAQAGYVLPKHADQNDVLRALCAEAGYHVDDEGVVTRLHHQVSVRPCGVLACHIRMRRKMHSVLGQWPVIIFDAHANIVASARVFFFLKVSARIFDSAFQSFSLATFLCGVERHKPSSHSGTTEAVTTLHQQEHDQQQQGEDGANLSLELTLSFAYM >Et_1A_009565.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:8246294:8247661:1 gene:Et_1A_009565 transcript:Et_1A_009565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADRGGCGGGRGRRWKGKGVSERPHHLAPVLEDAPAAAMLRPHKKIRSPDRLHRSLSSLSSAPTSPDSSSVSNPLSPPATSWPPTRHIFPFAYDPATAASSEAATPRVLPLLQYPSLYQQQPLQMISFGGSSSPQQQEHQFGAAASPALFPPHLVAPEVQQQMLLRYWSEALNLSPRGFRGGAFPPALFQHLLRAQQQQQGPPKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPDLFLGKGRVGGSGRTSAGAAASCSSSSSSAPPTPNETRTKQEAPQRQGEEPPPNSEAKPLFPEGTEQAKNSELPTNPEAQMADQHGGDGNTAVFQPSVTSGGVWGPADEAWFSAWGPGSSVWDYDMDNAHGLFLQSRFAGEQTGMDYVSSAPEIPMTPAPAAGPAMVAASSPLPPPPPPPLPPSPTYMWKD >Et_4A_032467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10464754:10465807:1 gene:Et_4A_032467 transcript:Et_4A_032467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPSSHQSHMNSRKEKRMRKVDTFAPHNDGHQWRKYGEKKINNTNFPRYYYRCTYKDNMNCPATKQVQQKDHSDPPLYAVTYYNEHTCNSAFLPLSPSEFQLQTSSGKAVSICFDSSAPQEPSQAANAGGSPSSSATAAAAVARRGTPPEAKRTQPAPVLQRSETYPWGAGVVEQKPASCSTECHDAFSGAAGPVPEEVVDAGRFGSIRFFHFL >Et_8A_056391.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:7303045:7303248:-1 gene:Et_8A_056391 transcript:Et_8A_056391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRNLTFLFLNVRGLNDADKCGDVLSELLRLRPHLAAIHETKLPSTDAFKARSFLPSSLTNFFTI >Et_8A_056549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12162904:12163510:1 gene:Et_8A_056549 transcript:Et_8A_056549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAAVEDLVLQPGGATAASMKKALLLHALPLLADPFLPTPIAALVALSALATPVRAGHVSNGTCTRGDREHATCTVYCYLPDCAVCDAGSDELARSEACACGQGEVGNPIQRYCHVRTLELSEGPEGLDWCVLPGHLPPIANPGAAAASGEDVCYVELEQGNHREGCYILCPVRNCPRFPFLCCPELPHGAAAA >Et_3A_024218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18507912:18508736:1 gene:Et_3A_024218 transcript:Et_3A_024218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVVGHQIPFWVARTIMETTAGAGAAAEVSIRMPAGAGELQRRESAAAKLERRLNRFVRFVAFGEWAGNAFGALAFLWATGVLLGGFCTSLKPEDFWFATSASSSCLGTRPAGAGSLL >Et_9A_061687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15339095:15346422:1 gene:Et_9A_061687 transcript:Et_9A_061687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSGNKKGKDKVVLPPELPDIDDDELVVSDEDVEFYSSDKRGADLVRKFDQKSIDRYVRRVTGKDEGEVERLYEERERKRKATNALRPRGEQDEDMEVDPVDALPIKTLQGELVYNNGKRSWHLAKKAKPEGNFRKEESAGAKPVQKDERKGKSKNQKGDSKPQHVQSQTEVPKGKLHSEVLEEVKEELSAEELFEKKKGQLAELGMAMLEDPESNIRSLNDMLSISNDKDQKVVKLGLMSLLAVFKDIIPSYRIRQLTEKELAVEVSKEAYLQKLISLEKQTNFYSVAVRCMCALLDTAPHFNFRESLLASVVKNLSSSDDVVRKMCCEAIRSLFINEGKHRGEATIEAVRLIADHVKLNDCQLHPDSIEVFLSLRFDEDLGKDDEEEEIEKPKKNKRWQNKEVSKQLPASDKKKTRQELISKAREEVDADLRAVSFTLNPKERRRIQQETLSALFETYFRILKHTLNTSVSRSKGNNVSVSHPLLGPCLEGLGKFAHLIDLDFMGELIACLKKLSGYTDYQDKSPRVDSLSVSERLQCCIVAFKVWRNNLEALNVDLQDFFVQLYNLILEYRPDRDRGEVLADALKTLLWEGKQQDMLRAAAFIKRLATFALSFGSAEAIAALITLKHLLQKNSKCRNMLENDSGGGSLSSLVAKYDPEAKDPYLSGGLASVLWELSLLEKHYDISVSSMASNILSMANLNPTQNPVPILNVNPLEAYKDLSIERELSKPPGKVLTLNCKRKRRGKEFVALSADVLKAEILVDEDDLKEKLESHFAVLRGISENERLRAELNHTMSSINMYKEYKKQKKKNMKSKTGRKKVAKV >Et_6B_050035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4268018:4268225:-1 gene:Et_6B_050035 transcript:Et_6B_050035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVRNPKAMKKAMAEMRSVFSAHGMVPEEALGKLHSSSGRHSGCTIPCHCELEPRVREVALEA >Et_2B_020231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18101462:18103177:-1 gene:Et_2B_020231 transcript:Et_2B_020231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSYEMAASILLCAEDSSSLMGFAAEEEDEVAVRRTRSRELAAEFPVPSEECVEHLMESETEHMPREDYAERMRTGGLDLRVRTEAIDWVWKVHAYYSFSPLTACLAVNYLDRFLSLYQLPEDQAWTTQLLSVACLSLAAKMEETSVPLSLDLQVGDARYVFEAKTIQRMELLVLSTLKWRMQAVTPFSYIDYFLHRLNGGDKPSRRSIVRSAELILCIARGTQCLDFRPSEIAAAVAAAVAGEERVVDIDEACTHRVHKERVSQCLEEIRAMSLTSTVLLPLPLKSEGLSRASSSVPRSPTGVLDAGCLSYRSDDSTVASHAICYHDESESSPVVSSKRRKISR >Et_9A_062635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2605476:2609873:-1 gene:Et_9A_062635 transcript:Et_9A_062635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGAVDHATGAFNVEQQLIHAREWRPQPMISGFQIGQPNVGVGLHEHHPFAGAVHHQLPFFPYLPQPAGQRVVRHQRLGESAADDGHRIVVGANPSATAVEEDERHVHTEDLLVIDAEEAFGLAGRRRRRANQHRLPPAHGEGWPTNASSVRRTAKVSVAIAGDGVGFWAHRKAVNHQHQRRLKATNDLAASSLPRVTSAVDYQWAAQQPPGRYLLEWWDGNLRTRRGKRAQQQESAVTSIKGRPS >Et_3A_026949.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27762307:27762987:1 gene:Et_3A_026949 transcript:Et_3A_026949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSQEAVSSGDSSSSFKKEDRAADDEEEEQAVATRAPIGQPSRAASSVTYVSSNGSINYRKARRDRIGSDSFWCGALRCMYLPGLSRRRAMHQQQSMSLSEAGTLASTAEPGDPQASRVSKVASMERFKYSSSSSSGIVFERAEAEEQQHEEEVSAYFDLPLELLRISSVDTESPVTTAFLFDGNRGRGAKKIVPEALDLDFSFPEPPAFSSLSSPGSERGGGAQ >Et_2A_018052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9578447:9581240:1 gene:Et_2A_018052 transcript:Et_2A_018052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PLAQPRATSRGNNTLTSLRRRAGSHVAPASACTHAAARSLINTRRARSGPPPDPTAHASARCRRSKSQAKPPPPPAGQRTRTGSEEQRNAIPPLLVSGMRDPAGSAAAAEMGTDGGVGGRPPAAAAVKPEEVDGDAGGGGARVFRCSDYSLPRTSLALALWLGGIHFNVVLVLASLFLLSRRTAAIVVAFQLFFMFVPVNDRDRWGRSIARHAMGYFPITLHVEDYKAFHPNRAYVFGYEPHSVLPIGLSALADLLGFLPLTKIKILASSAVFYTPFLRQIWTWLGLIPATRKNFYSYLEAGYSCIIVPGGVREMLHMDRESEVAFLKSRKGFVKIAMQSGSPLVPVFCFGQSYAYKWWRPGDKLFVIIAKAVKFTPIIFWGRYGTPFPFPRPMHVVVGRPIKVDENSHPTIDEINEVHEQFIIALRELFEKYKAKAGYPGLHLRVL >Et_1A_006750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27061415:27070437:1 gene:Et_1A_006750 transcript:Et_1A_006750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPASMTGQEEKMEQAGGQEPSEKIETKKGEPEAAAAACAPKAGVAVPAALPRHRRSKSASSERTVDPCKHAASRGAVEQRGGQALVPTALFPAMNPPDSRRFHATAAAGGSVNQGPRDHRPNASPNHRVSLENDVKQLQLNLHQERSVRVMLDRAIGRASSTLSPGHRHFPAQTKELIAEIELLEEEIANREQHVLTLYRSIFDQCMSGPSSEQSSGISSPAHTKSISSRTRRQPSIISSAFCSSRKLPLQPFQIMASVSESGRTKNMLKAKIKHDSFSKHLYQCPSKISEEMVRCMASIYYLLRTEAPEKPEKARSPFLSRSSTNVILPRKVNGEENSVLNSKCIVEVSSISVDKNQMPDVSYAITHYRLLVEQLERVDLSMAEDIIKLPFWINVYNSLIMHAYLAYGIPNSSLKRMALFHKAAYNIGGHAVTANSIEQALLCFRSPRIGRWFESILSTAMRKKCADEKQLVQLKFGLPDCQPLALFALCTGASSDPMLRVYTAKNVMEELERAKLEFLQATMVVRKSKKKVFLPRLVERYAREACLGPDDIIPWAQREGGVADGRKQPDAVQRISGNRRKPAQTVEWLPYSARFRYAFSRSMVDKPQC >Et_6A_047185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26487568:26496398:-1 gene:Et_6A_047185 transcript:Et_6A_047185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAFVVAAAKLAVLVAAAATAANAASFARYRRRHLRRIPNPIDESADPVADFRALPHSAAAGSSSEDENFFFGLATAPAHVEDRLEDAWLQFAIEHSCDEKEAVRDQKPANALLASAAGDGGSQVASRSRGDEQAEDREKRKPLKVAMEAMLRGFETFVESGESGSSDNCSHNVAAWHNVPCPHERLKFWSDPDTELKLAKETGISVFRMGIDWTRVMPKEPTEDSKSSVNFAALERYKWIIQRVHEYGMKVMLTLFHHSLPPWAGEYGGWKMEKTVNHFMHFVRLVVDCVSDLVDYWVIFNEPHVFVMLTYCAGAWPGGDPNALEVATSTLPTGVYNQALHWMAIAHAEAYDYIHLESICDKLDFIGINYYGQEVISGPGLKLVDNDEYSESGRGVYPDGLFRVLIHFNERYKSLNIPFIITENGVSDETDLIRKPYILEHLLAIYAAIIMGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYYLFSKVVTTGKITRQDRAWAWRELQKAAFEKKTRPFFRAVDKHGRMYAGGLDRPIQRPFVMRDWRFGHYEMEGLQDPLSRFIRSIVSSISPKKKNHYIEDDAVSYSFSC >Et_2A_015079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28962364:28962855:-1 gene:Et_2A_015079 transcript:Et_2A_015079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVCFKKHLLATGEPQAETSTAAAASKAVVAPSAVKTTGYGDAADASSAVAEAAGTSVEEEALKAAERRQKAWSERCSHCLKKMTLAGRFTCRCGRTYCPTHRHSEAHACAYDYQRAGRVAISRSNPLVEGEKLRDRI >Et_1B_013757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15599605:15600070:1 gene:Et_1B_013757 transcript:Et_1B_013757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQATPKSDVFSFGVVLLELLTGIKPSDPRLRSVINGRKTPRVDPKLGKQYPLTRACKLAMVAMQCLQQRPASRPSMATVARDIEFGVVREETAAVQGCSGTALESGSASS >Et_10B_002633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19501338:19501898:1 gene:Et_10B_002633 transcript:Et_10B_002633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTWKPWWHLGSTRTFSPSPNSPRQMAHSVSVPAGGPSLVSYSTTGILRSSILFSPAVAEASSITIIEAVAVAERRRELKTSAQMRTQSSAARMMTMLESGYTLPLSPPAAGNRAPPVAAAAGVTSSGAVDASSAAGLSLSMPMARAHTHLDIIICSMPGQANELLPHVSRSLCT >Et_5A_042139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6702081:6707156:1 gene:Et_5A_042139 transcript:Et_5A_042139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTVSGKDQAVSELQDKHRADLEKLTLTSRPLKTLAFFVLAIAQSLRRTCSSVLKKGARLKIMVVLVVATWVLLLFTDGPHEKELLRYVRFGLWWVVLGVASSIGLGSGLHTFVLYLGPHIALFTIKAVQCGRVDLKSAPYDTILLKRSPSWLEKDCMEFGPPIYKETIPFSNILLKVYLEAVLWGIGTTLGELPPYFLSKAASMSGHKIDELEELDASTSEGFLSSTLYQAKRWLMSHSQNLNFTIILLLASVPNPLFDLAGILCGQFGIPFWKFFLATLIGKAVVKVCIQTTLVITLCNNQLLDLVEKRLIWAFGSVPGVVSVLPSLATKLKTAKNKFLSAHVAASVSTAVEGKWNLSFSLIWNTVVWLMVINFIIQIITSTAQGYLRSQQELEISKKMSEAKLSGISK >Et_2A_014857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18823479:18824322:1 gene:Et_2A_014857 transcript:Et_2A_014857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDDRLVAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLSRGIDPVTHRPINEHASNITISFEAASTWGSPRARTASAATSSGSGPPCSTSEASK >Et_6A_046990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23714592:23717040:-1 gene:Et_6A_046990 transcript:Et_6A_046990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMISVRASPRHSLSAHSLSSVRQPPASKVTVQFGSSLFHSAKLCQTRSLVATALEVSRDGASAGLANRQPSKDVIETWRKANAVCFDVDSTVCLDEGIDELADFCGAGQAVAEWTAKAMTGTVPFEEALAARLSLIKPSISQVDECLEKRPPRISPGMPDLIKKLKANNIDVFLVSGGFRQMIKPVAFELGIPPENIIANQLLFGTSGEYAGFDPTEPTSRSGGKAKAVQQIRQDHGYDTIIMIGDGATDLEARQPGGAELFICYAGVQMREAVADKADWVVFDFQDLIAELP >Et_6A_047202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26758735:26764896:1 gene:Et_6A_047202 transcript:Et_6A_047202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIDLQRPWICSVPFSEKMEAPVSVSLGVVQSLPTKFERFLSPAADHGLHKKVTDKIRVLKDHVHELIDKYLMEALDVETPTSTAKCWLKEVRELSYDIDDFLDELVHVHLADPKNLRRRSQWVADKCSQFRARLRDAIQRHKTYNLDRCKKRPGSILASEDRPLPPVYGLASAPLVGIEGSMEKLKNWLTGDGERKLRVVSIVGLGGVGKTTLAKELYGKIESQFECRAFARTSQRPDIRNLLSSILLQVRPERPPEASESSNLIEIIRARLRHKKYFIIIDDLWASSTWDIVCRALPDDKCCSRVLITTEIGVVAQGCCGHNSEYILKMGPLRDDESRKLFFSRFPGDQSDSCEKSEVLFEIVRKCGGFPLATVTISNLLARQQMGIEQCNFIRGSLSSNLRTNPSMEGMKQVLDLCYNNLPGHLKACMLYFSIYKEDHVVWKDDLVKQWIAEGFICAKEGNNMEEVASTYLVELVNGGMIQPVDIDYNGVVLSCTVHYMILNLIRYKSIEENFCTTIDSSQTKIRLADKVRRLSVLFGDADDAEPPADLRLSQVRSLVFYGFFKCLPSVVEFRHLRVLILHLWGDQDNISFELTAICKLFGLKYLEIVCNVTLSLSTEMQGLRYLETLKIDSRVSEVPQDIVHLQRLLHLSLPGDTNLPNCIGLLATLRTLDNVTSPSTLLEKVELIPRICILSVFPKWIGKLRLLSILKIEVMWVSGSDIDILQELPALAALSLYVRTAPAERIIFHREGFPVLMQLVFICSALCIAFEEGALPCVRRLTVGFNANILGEHSISEAGLENLTGLEVFSAKIGGAGADEFSGKATVKSVLEDMFCRNMNMSPPIINIQFVGWVFYGGYDENSAMLGTQEIAEEGSTVGMVNHHWDTAFSCEDKETSSTAPAAPQMSEGDSTAEGIGTRHMRKGGSLLDGHITDAVPVADFSAHLQPDDHAMDHRVSFELTVEDVTQCLEKKTAISRDSGTTSFHLAPPTSSVADHKRESSLPLANIRDLAVPYGSKEISTTSATQRVAEAKDIVTHLQDPAISHSDEKESSATGATQQIADLRGPAALTHDDKEITTYAEEEGSTEDIREPAFPHGNKEINSSSTTQQTAEEIIPVSQAQDGHTRRSPAPPPPRTEDGPTTRGCVGRMLGPLQLLCGRVRRPTVYKQTGEGRDGLLWWRDLARGHTGHVSVATVQANNLMEDHYGVESSPLLGTAIGIFDGHVGPEAARFARDHLFLYLQKASSSDKGVTADAIRKAFRDTEEGFIALVSRMWEQRAALATVGTCCLLGVVHQGILFVGNLGDSRAVLGRMVKATGEISALQLSSEHNVNNEEVRRELMALHHDDPQIVVLRRNVWRVKGLVHVSRSIGDAYLKHAQFNSDRIHSMFRLREKITRPLLSAEPSVTIHELQPVDRFVIFASDGLWEHLTNQEAVDIVHNHKPAGSARRLVKAAMLEAAKKRDIPYSHLNKINKGVRRHFHDDITATVLFLSGNGGNLAEGAHREQRSRTLSEIELDY >Et_10B_002603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17961320:17962355:-1 gene:Et_10B_002603 transcript:Et_10B_002603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRAAVVLLVAAASVAVALARADGGEGPKYGYVPGTANGPENWGKLSPEYKLCGDGKRQSPVDIVTKQAITTPNLDSLTRVYAASNATLINNGHDVMMTFESKVGSITVNGKVYNLDKMHWHMPSEHTLNGQRYPLEMHLVHKSDAGDLAVIAILYQYGAPDSFYFQLRNKLAELAGEACNFAEEDAQVAAGLIHMRSLQKRTGSYFRYAGSLTTPPCTENVVWNVLGKVRQISKEQVAQLASLLPSKQSARPAQPLNGRTIQFYNPPNSTISFQM >Et_2A_017852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7004165:7009045:1 gene:Et_2A_017852 transcript:Et_2A_017852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDRHHSAAPTAPARRARGFRNKQSGKKQLKSASSCRGRRPNPGDPSGSAAEQQPEEAAQPRRGAEKRPPPSSREQPPPERKKAAAMSVGCGLEWVVCLGCTRWAWKRLTYIGAYDSESWPPAPPDDFEPVPRICRVVLANYDPDLNNPKFAPPGRGYTDIDPKGVVKRATYNDVGTACPPYLVYVDHAHAEIVLAIRGLNLVLMDNKLGMQMFDGGYVHHGLLKAAKFILERETETLRELLRQNPGYKLVFAGHSLGSGIAALMTVLVVNNRKEFDNIPRNRIKCYALAPARCMSLNLAVKYADVINSVVLQDDFLPRTPTPLEYIFGSIFCLPCLLFLMCLRDTFKQDKRKIKDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVENRFEHVVLSCSTTSDHAIAWIERESQKALELMKEQENVLTAPPQQKMERLQSLKDEHKNALQRAKTLDIPHAADLSEEEIQEDASTPPFSDTHSETTTEPKSAGRTSWDDLLEKLFTKDEEGKFVVKKDAITRDVPIE >Et_6A_047325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:417197:419262:1 gene:Et_6A_047325 transcript:Et_6A_047325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSMLKVKGVAFAQKQKKKVKGVAFMVAMAASVVAATAATDDAVLWYPGRPRTPVFPGVPSTPPCPKQTARGLIPGSPGLLPGGGYGSPPSSPTECVTPLAGLTTCGTFLMTGSESETPTPQSECYSGLSALEHLQQGTLNQSPYMPFRALNFASNSSKSPLSQPLDSSSTAGDDDRTLRCLRPVILGDVNRMLPKPIDPVRMIYLPSQAIYICFSKSSAGFCLISYSHGLFIPCDSLKF >Et_5A_041685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25979325:25986387:1 gene:Et_5A_041685 transcript:Et_5A_041685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LVGEILLRLPPDEPEDILRASLVCKRWRLMLSDLAFDCRYRELHRTPPLLDFVHNMLESGPIPRFVSIVASPYSPPALDCRHGRSWTAATAALGYKWYPSLALPRPKFPPHSTSPHSNLAMAPPPPRQPPELPEDLISEILLHLPPEDPANLLRASLVCKSWCGLLSDPVFRRRYCRYHRTPPLLGFLHREMHDPRIVSTVASPCSPPALDCPWWGAWDCRHGRVLIHHFDRRNLVVFDPLTGEQQHLPLLPFPYPRIFYTGAVLCAVAGCDHLDCHSGPFLVVFVGTEDDGPTWASVYSSETGAWSAPSSIVTDSRVEAWPNLRIGDLLYFMTVGMSCILRYDLAQRALSVINVPAEYEEMSTVVMVEDGRLGFVGVEDHSLHLWSWQEAGADGSAGWKRCKVIELATLLPISDTTDSIGIVGFVEGRDVVCLSIDAGIFTLEIKSGRVTKASRGYIFPSHPTQASGCHECKKRVKIKKQEIIMLELIKGKRKPG >Et_9B_065283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3045123:3055619:1 gene:Et_9B_065283 transcript:Et_9B_065283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVDEAFLGVGNKQGLDIWCIVGGILVPVSKPMHGKFYTGNSYLLLSSAELKTGVRRHHVHYWVGEDASEEDCLVASDKAVELDAALGSHTVQYRETQGVESDKFLSHFKPCVIPVKGSFSSNLKQLGGSNGTTMFRCEGEHIARVTQVPFSRSSLDHKSVFIVDTTSKIFHFSGCNSKDGKLVGDSDAGEFWNLFGGYAPIPRDMPEAVMEEPMAMSFKLFWINKRNLVPMEAHLLAREMLNSDRSYILDCGTEIFLWMGMTTLVSERKSSVTALEDYVQSQGRSLNIRTVIMTEGNETIEFKLHFQLWPKNVELKLYESGRKKVAAIFKHQGYDVTEIPEDKPQKLITCDGSLKVWLVDRGYVTLLRTEDQEQLFTGDCYIVQYSYVEDRKDYHLFLAWSGKNSVKEDNVAAASLMSSMSDSTKGHSVVAKVFEGREPELFFSIFKSLIIFKGGRSTAYKNFILQKSVRNGCHEKDGVALFRVQGLEHDCAQAIQVDLVASSLNSSYCYILQDGGSFFTWLGSLSLPRDHNLPDRMMNKLYPLKQSLLVREGSEPDSFWKALGGRSEYSKEKRIKGWPADPHLYSCSVKEVFSFCQDDLATEEKLILDCSEEIYVWVGLHSDVTSKEQALYIGEMFLRDGIFRDGRPIETTVYVVTEGDEPAFFTRFFSWDSSKQSSMIGNSFERKLALLKGLSPKLETPDRSMRRPSWRRPAGVSSEPTTPEQHQQHQPAARRAFGSASAGGRFSRERSPAAAFPPSPLALSQSPRSRSSSATSTPTTAARRLFPASLHASEAVLSAGTPRRR >Et_2B_022050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8437239:8438826:1 gene:Et_2B_022050 transcript:Et_2B_022050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWGLGGKIPSLVGEGSLCSAGVRSLSRMSLLSNLRLITVDLTGTLIAYKGQLGDYYCMAAKSVGMPCPDYKRVHEGFKIAYTEMARQYPCFGFAVKMPNIDWWRTCVKNSFVKAGYDYDDETFEKIFSRIYSAFGSSAPYSVFPDAQPFMRWVREKGITVAIVSNGEYRYKEVILPALGLNQGSEWDFGVFSGIVGVEKPDPRIYKIALEMAGNIAPEEALHIGDSMRKDYTPARSIGMHALLLD >Et_5B_045486.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:2963382:2964173:-1 gene:Et_5B_045486 transcript:Et_5B_045486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETFLPRRHQYSKPAYKDRHPTPPPLLQSNPFHRRSKRFLALPFCPSSRALATSDMAEMTMAAAATRPLLRRVLSFREPLLIIPYLFSFLATAATAFFGSYSSFLHSFARSLSPSAAKCAYPTPAVGGEEGSPRHSAEEEVEEEEAEDAPLSREEVEAIMSRIGLAASGKGLAAPGLLGRDEVARLFDAEEPSFAELQRAFAVFDADGDGFIGAADLQAALARLGVAEDAAACRAMIAAAGGGRDGRMSLFQFVTFLEAGLC >Et_1A_008690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9890553:9890986:-1 gene:Et_1A_008690 transcript:Et_1A_008690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LCFAPPTAAEETRRPQTPAEGNLYTGDPTDVLPSDHRASAAEGEEQKAISPLLLLVAAAVASLSSAAAAATANSSDAEAILDFAKLLSNPPPSWVGGGDVCGGTFVGITCDDSGRVTGIDLKNEGLSGTLAPSLSSSPR >Et_2B_021232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27783536:27788174:1 gene:Et_2B_021232 transcript:Et_2B_021232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKGAPAPGSAGAPRPSSSASSPSAQSAGQVYPGNLGAHMPTSEYLIVPMGGQPVQVGASSRPSSRVSLRPPQQVLNVQAGLPGIFLSSPSPSPSSVGKKMAASPKVQMLNSWPSKSPVQKELQLFESVRAKFREALAAAFDMDSDHLNGQQSASNVSPIGFSGDNKHSDEMVVVASESTWKLHSERDLSSDIDAGATVSLNEPASKRPKTSDAVTVEKKGVIQNVQILAFRIEEELFRLFGRVSKKYKEKGRSLLFNLKDQSNPALREQILSGDITPKFLCSMTTEELASKELSAWRLAKAEELAKKVVWPNGEVDLRRLVKKTHKGEFHVEVEESDGISVEVELGGDSLFYAPKSVEDQPKSENGASFYTPRDEIGGKRNSNLQSNSEECLANEKGVPMQECMADDLKYKENLSGIMSPGILTEAHDSQLHYEDHSIETAGDAFTNKADIKLKPEKYRITEDKTAISESEFTFNTRSPDEKCRSKIKSPKNVPFPSFCQAKSKGDVLIKASSEMTAAEKLDTGSCGVSVPGGIIQSNTTLMAHSILWEGTIQFTLSSLSNVVAVFKSGEKPSTNDWRRSVEIKGSVRLHVFQEFLEQLPNSKSRAVTVTELRWKEGSRESGRHHLAQIIDSYITDERVGLLKPAQGVDLYLCPSHGKAAQILAEHLPKEHLGSLTVTGEASVIGVVVWRRPCATTRIPTKHDGFKRPSMPLSRNQQAVIASSVPMPSEHAKLPASHFILSNEHPRLSDDGDRCDAVRPGFGQGGGFVNVSNTSVNVGTSHISSSKSQQHVPAVSPPPDLVNQIVRKYSNGDFLHPQDQVRQMVRRYGNRYFSAQAWENYDDLPELDLVQFKVVTMKPGTHQHDQRSHHYYCRRHQHREHYEQQHAMATRHLTSPVPEFYVRRQQHVMPMQQPWNYQSVMHPDDPRSEQVGCWCSPGMHAEEMMPMQDVFRQSCFSAEQEYMMRWHPGPRRF >Et_4A_032041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13309651:13311366:1 gene:Et_4A_032041 transcript:Et_4A_032041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAPAAKKRRSGTAESLPPARTEALDPHTTTAKKNCTHQVLGDGVDRITALPDAILGEIITLLPTADGARSQVLASRWRHLWRAASLNLDCRGLLPDDDDNRLAAVISRVLSAHPGPCRRFAVPASHLIRDNEDRAAATVDTWLRSPALDNLQEIDFYGLRPPWFLRLLRQTSPALPPPPPASAFRRFSATLRAATIGPCYIAFGFPRLEQLALQQVCISGASLHSIVSACPALEHLFLRHCYGFRRIRVNSGSLESIGAVVESLGPSRGSLVEVVVEDAPRLQRLLCSQRIDCGLKVTVVSAPRLHTLGCLCDFFGDSSFEFGSTKFQICLVKGFRAGSSTTVLQNVKNLAVASFHLNLDMVVDLMKCFPCLDKLYIKLSVTGSKNLWRRKHMQFIRGYDIRLKTLVLEGYRGIKSQVNFVSFFLVNARELEIMRLEVGEKWSEEFSAEQRTMLQMETRASIRARLEFTNTCRCHRLHIEHVRDLSSIADPFECTC >Et_2A_017122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31054881:31059070:-1 gene:Et_2A_017122 transcript:Et_2A_017122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAVALAPAIFSPASGLPTRRAAPAVIFPASGRARPGAVRCEVSSSSSAPSAAGPMAARWAQKTVVIPPQRRGCHLITSKGPSAPWRHTIEGPDDMPAHIKSSMFGCALTIPITNGRLNLGTWQGIWLCEHRDYATPRQIVITLNGI >Et_4B_036952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12940987:12943098:1 gene:Et_4B_036952 transcript:Et_4B_036952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLVPEADAVVAAARHFSFPPPRTAAAAAGGDSCRKMAAQVDLGAAVMGSWLDSMKASSPRHRLMAPLPGVVGGDPEHDDWMERHPSALGRFDALAAAAKGKQIAVFLDYDGTLSPIVEDPDNAVMTDEMRDAVRGVAARFPTAIVSGRCRDKVFGFVRLAELYYAGSHGMDIRGPTADANHHAKDGKSSSSVLCQPAREFLPMIEEVHGLLAAKVEAIPGAMVENNKFCLSVHFRCVDEKRWGALAELVRSVLKDYPMLRLTQGRKVLEVRPSIKWDKGKALEFLLEELGFADRSDVFPVYVGDDRTDEDAFKVLRARGLGAGILVSRFPKDTAASFSLRDPAEVKDFLHKLVADATS >Et_4A_033767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26767376:26768174:1 gene:Et_4A_033767 transcript:Et_4A_033767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKLDSTVTIIGLSNGSYLFVGMSLRLNPRGFQLLPSLLRQFRLVPQQKKRNRPEDDSATNQQSTCGDTTGVAGIDKESTDDGYYQIFVRHMNHNGDGKRKNRW >Et_8A_057866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8474825:8481097:-1 gene:Et_8A_057866 transcript:Et_8A_057866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNPVRRRQCAGGGVLPLDVVFDILVRLPAKDICRFRAICRHWRSLTSDPIFIDAHAARHPGPHIVASFHGDHKHVPLMDLSGHVVKRLPVAYWRTCLFSPLDLICVETAGAGCSVTVINPATGAVLRLPDTPPDDNSSSDEELDWGESDWPEGPEYHVSALGRVESTGEYKVLRVSNMEIWAGFESCDESSSRAYSVLTVNGSRRNRWRHAEPPEGPVDMDNPVVVGGSVYYFLKDQYYYMEEDADFITAYDLGTDQWSYIKGPQPTDNDGSSDEDMESSDDEDMESDDDMASRSTLTGVLLLYDPKANIFSEVDTRRLDAVGLYTGSLLSLHVIQPGAASAAGGVLPPDLVFDVLVRLLAKEICRFRAVCRHWRSLTSDPLFIEAHAARHPGPIIVAYFNGDDEGVHLMDLSGRVVKRLPVARGRACLSSSRLDLVGVVHMGALCSVINPATGTVLDLPDTPPDDDSPDGEELQYWMSGLKVQRTRCLHLGVWSPRESTRCLGYQTWRDGVAFKPDEYSSRAYSVLTVNGSGRNRWRHAERPECPVDMASAVVAGGSVYCFWEDKYQTIEDPNIITAFDLQTEEWTIIKGPQPMDDDGSSDEGSSDDDEDMESDDGMWSRSTLTELNGYLVLVHKRNQDSPCFDLWFLVDPENSVWAKEHSIQAPESAIPAGETVKPLLSLDDGRIVIFLCDKGVLLLYDPKANVFSRWTRNALMLLACIQE >Et_2A_018783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7429874:7430382:1 gene:Et_2A_018783 transcript:Et_2A_018783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNSTCEINVEENVAEEIAVLAPSEKLEDPENPQSQDPKLWEDDSWVDLLDSQQLAEDLAICHEFHLSQSPTSCGDGDETKGIKLCLVVYAQLPTKDFKKDLKECRGQLYGMCYD >Et_1B_012212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:361810:366588:1 gene:Et_1B_012212 transcript:Et_1B_012212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGISGEVGLAAAPYDQWLLLGPAEGSSRPSARYKHAAEVVQDKLYVVGGSRNGRSLSDVQVFDFRKFMWSALNPTRDQNQLNHENNAADQPFPALSGHSLVKWKNNLVVVAGNVRSPSTLNKVSVWLIDVEMNTWSALDTYGKVPIARSGQSVSLLGSRLIMFGGEDTKRRLLNDLHILDLETMMWEEVKTAKGGPAARYDHSAAVYTDQYLMIFGGSSHSTCFNDLYLLDLETLEWSRPDTQGAHISPRSGHAGTMIDENWYIVGGGDNASGSTDTIVMNASKFVWSVVTSVSSRDPLACEGLTLCSATVDGEKFLIAFGGYNGKYNNEFVAEIEALAVEKCKLESRLAEVRDENSKLKDKLDMANLSYGELAKELKSVQDQVAAEGSRCQKLETQIAAAHKRLESAGSLENELEVLRREISQVEQTMSTAQRQKSGGVWKWVAGSAEVSDDD >Et_8A_058059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1705274:1705652:1 gene:Et_8A_058059 transcript:Et_8A_058059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGVMYATFKRGRLAVAEEKEENIKAVRGPLAVRPSESTLHLALVDRWYPTLRGLARHHPLRRAASALLPPFAGRILEDVPGRPAVRCSGEGICFVEASRKTEDVNFLEFTGG >Et_8B_060272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8396646:8398636:1 gene:Et_8B_060272 transcript:Et_8B_060272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RLRPSPCLPTFLVVGSLSGGWRRQELWWLTVLAIRCLGGP >Et_2B_019674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1254441:1258049:-1 gene:Et_2B_019674 transcript:Et_2B_019674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQPPPSSTSAPAAGVSMKDYLKRYQSSDASEKKAKKKTKKKPKPAAVGGGVLIVDEDPVWQKPVQLEEDEPASSGDDRPLVDEDIEVKRMRRLEAIRAARPYNAIAEDGSGWVSVAAPESGGQTRRRNDTPSPGRGGDAREDISPPRRRQRRDTPSPERGDVAGKDLSPPRQRGRRQDTPSPKRNGIAEQGDLSPPRKSKRQEDISPPRRRARHDSEEPQDLSPSRRRVRHDSEEPQDLSPPRRRGRHVSKEPKDLTPPRRRARHDSEEPKDLSPPRRRARHDSEEPKDLSPPRRRARHDSEEPKDLSPPRRRKHQGSANLGDLSPPRRQNLGRSTEDRDLSPPRKGQKFASDDLSPPHKERDLSPPRKKGRKDGAPKETRKAGLMTAEQVKEDIRQIKEDEKQKFMALDPSLVGKGAKAVFRDKEGRKISEEEMRNAKEPEKPKEIHIEWGKGLVQKREAEARFKELEAEKSKPFARTRDDPELDTMLKNRIRWGDPMAHLVKQKDPDFLLEDLGDDEKMKESGFIVPQNIPSHSWLKRGVDPPPNRYGIKPGRHWDGVDRSNGFEKDMFKLKNEKQAMEQEAYLWSVSDM >Et_2A_018177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1770618:1771659:1 gene:Et_2A_018177 transcript:Et_2A_018177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPQQQQQQSLDLGLSLGGLTSQGSLSSSATSGHLSPWAAALSSVVCDVSRRDAQHAAAAMDPDHQAGTTMRASTSPDSAAALSSGDSGSNKREREELLERTGSGGVRGAGSDEEDGADGTGGRKKLRLSKDQAAVLEECFKTHSTLNPKQKVALANRLGLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRWCERLADENKRLEKELADLRALKAVAPSPAAAAAQPSSAAATLTMCPSCRRVATTGGGGANSNQQCHPKSNVPHAAAVVAGGSVLPSHCQFFPAAVDRTGGQSTWNAAAAPLVTRELF >Et_1A_007484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35048322:35054730:1 gene:Et_1A_007484 transcript:Et_1A_007484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIHRTTGVRVAIKSLRDLSGFWQEAIMFSQCSGSIGVVQFFGVARDRNRNMMYIVMELGGTPLEDAIRAGHAHGRALSEDDVRVIMKSLLVGLKNMHEKGIIHRDLKPSNILIDSNGRHVEGKICDFGLAIYYDQAVATWSRTPRGTYGYMAQRCIKQKVLAPLSLICGPWDLPDKRARPCWHDHLYGTLSNEASTSLEAVDGPQADPKWATHDALIRRRLGSAASISQRRPYCPGIANWDIRDFLIVCDIGFGSFGSVVKGIHRSTGVWVALKSLHDTSLSRFWHEAIIAFQCSGSSGIVQFFGVAHDRNRNTLYMVMELGGTSLEDAIRTGHAHGKAPLEYDVCVIMKSLLLGLKHMHEKGIIHRDIKPSNILIDSDSDCVVGKICDFGLATYYDEAITTWCGIPHGMYGYMAPEVYELIT >Et_4B_036008.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12553714:12554037:1 gene:Et_4B_036008 transcript:Et_4B_036008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLPLLQGPPQLAQRRPPPRRRLRRPRQRAPHRRLVRRLLGRVQRLRQRPARRPRPKGGGPVERHRRRRRRERAHRHPPGRWIRRAVVALHSRVLRARRGRIHRC >Et_3A_027184.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:4079585:4082488:-1 gene:Et_3A_027184 transcript:Et_3A_027184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPIQLLLLFLIPLVRAAASSSADLAALLALKAAVTHDPAGALAAWSADPAAASHCSWRGVTCHPSSVAVAAIDIRGASLSGALPASLPLPPRLRSLDLAANNLSGAVPAAFLASPTLRSLDLSFNRLSGRLEIPPHPANSSSPPPCAALTDLRVAGNLLVGEIPSGLAQCRSLRVLDLSRNVLEGAIPRGLGRLAALCVLDVSRNSLTDRIPVELAGCRDLAVLVLTNLTASPAEQPEFNAFVGGLPQEVLAIPALQVLWAPRANLDDRLPMDRNVSCGLRAVNLGQNYIAGAVPRWLGECQDLTFLDLSLNKLEGSMPAELSIGCFRYLNVSGNSLSGPLVSSAESKCSSRLIDDDIVNQYYGELVGNALIGNPFGSVFGSIANIALHDFSNNGFGGALPSLTLSLDGNYSYGLWLDRNVFNNTLSTGFFGFCKVATVIAVNLSSNHLSGSLDKLSSCVALQSFDAGYNKFSGSIPSGIGGLRLLRSLVLRGNNLSGQIPGQFGDVATLEVLDLSRNSLTGSIPLHLADVVRLEILMLDHNRLSGSIPPGFSELARLASLDVSFNNLSGKIPNLRHSADCGSFIGNPLLYQCLGPNASIPPTEDTSSRKGAKKWGSQMTRSKSLIVILAAVSTAVISFLLVILFFFVCERRKRAKISNLRTKVVVTFNDAPPELTYDNLILATNNFSIQNLIGAGGFGATYKAELAPGFLVAVKRLAMGRFQGLQQFDAEIRTLGRIRHRNLVTLIGYHIGESDTFLIYNYLSGGNLETFIHEMGSRKVSWTDVHKIAVDVAQALAFLHYSCTPRIIHRDIKPSNILLDEDLNAYLSDFGLARLIEVTQTHATTDVAGTFGYVAPEYATTCRVSDKADVYSFGVVLLELMSGKRSLDPSFSQFGNGFTIVSWGRMLMQEGKTNEFFSEGLGDTARKDRLTEMLKIALSCTSETVSARPPMRQVASKLKQLGND >Et_1A_007014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30038269:30042694:1 gene:Et_1A_007014 transcript:Et_1A_007014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPRARYPPGYGSGGRGGGGGNGGGGGGGKYNYYGRNPQPQHQHHYHHQQQELQQQHAHRNAQHQQQQQQQQWLRRDQAAVAAGDPAGRTASQFDAVDPSSQDWKAQLNIPAPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDPEKNAIQVVILVPTRELALQTSQVCKELGKYLNIQVMVSTGGTSLKDDIMRLYQPVHLLVGTPGRILDLTRKGICMLNECSILIMDEADKLLAPEFQPSVEQLIHFLPPSRQLLMFSATFPVTVKEFKEKYLPRPYVINLMDELTLKGITQHYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKTSETYLHRVGRSGRYGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDVAVVLFWSLDDALVEEEYPCSCFQCLSIHQLKAVAIAIKQPTAT >Et_9A_063504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5109409:5111919:1 gene:Et_9A_063504 transcript:Et_9A_063504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDTRTVKLKLVLGLSVAIWMLGVCNAAFTPADNYLINCGSSFDATLSQRVFSADSSGGAILTSPQSTAATASPDSVSGFDNGVLYQTARVFTAKSSYSFKMRSQGRHFVRLHFFPFRYQSYDLAKANFKVSTQDVVLLNNFTVPSNSSPVVMEYSLNVTKDMLILTFVPLGNSTPFVNAIEVISVPDDLITDSAQNLEPVGQYLGLSAQPMQTFYRVNVGGPKAAYQLLFDVYVNSFSAAKDLDLSTKGFGGSLAVPFYMDIVLQSSDPSGKLSVSIGPSSLNENITPDGILNGLEIMKMNISTGSVDVVSPPSGKKHNLAVILGSVLGGVAAAIFAVGLCIFCRRKKKPQPPTSRPSNSWTPLNGLSFLTTGSRTTSRTTLTSGTPGDTSYRIPFVVLHEATNHFDEQMVIGVGGFGKVYKAVMQDGSKIAVKRGNQKSHQGLREFRTEIELLSGLRHRHLVSLIGYCDEHNEMILVYEYMEKGTLKSHLYGSDMPPLSWKKRLEICIGAARGLHYLHTGFAQSIIHRDVKSANILLDENLLAKVSDFGLSKVGPEFDQTHVSTAVKGSFGYLDPEYFRRQKLTDKSDVYSFGVVLLEVICARPVIDPTLPREMINLAEWAIKWQKRGELDQIVDQRIAGTIRPEALRKYGETIEKCLAEYGVERPTMGDVLWNLEFVLQLQEAGPDISNIDSMNQISELPSNARRVSSLEISTADESNTHIDYSDMSTSNAFSQLINAEGR >Et_4B_036565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3256227:3256679:-1 gene:Et_4B_036565 transcript:Et_4B_036565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPPPLPPRTSIVAIAVVTTPTPPETSLPPPPPPPPPAVSTPSPPVNSPAWSPVTNVNDRTIQQVGQFAVVAYFFNTGKKLVFVNVVGGETRPYNGGSSYRLVITVAGAGPGAETTARYSVSVWGILGTTTWQLWSFVPN >Et_1A_005070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25093696:25094082:-1 gene:Et_1A_005070 transcript:Et_1A_005070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNNANKHQQQQAGADSGAGKPAAARPHWRHRDPTAAAVYVVHPARFRDVVQQLTGAPPGGNGGGAGTAACSNAAAQHQRQRGGDQGSSRQRTLGEMYQECMAWANEDDC >Et_9B_065178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21812725:21817440:-1 gene:Et_9B_065178 transcript:Et_9B_065178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGSLERMGRELKCPICLSLFRSAVSITCNHIFCNCCLIESMKSSSTCPVCKVPFRRREIRPAPHMDNLVCVFKSMEAAAGTSVMSTQEAPAVKVTDGSDGGNSGSKPKRLQKKKVASKKENKKTKATAASASHPATKPSISTNKRIHVTPFPESETPIRPKKIMKPEQPRNKENGDFEEDTNKALTSDKPGSPSLSPFFWLRDEEEEGGTAETFSESLSLDTPLRHNAPTFSDIKDSDDENPCNMTPNSKDKDTEIFDSEIFEWSQRPCSPELRSTPVKKQGKFKNKLDQITEKDNVEDGSFDKLDNANNAAQAVNVEENEQKRKKARATKRKNSKLTNRGRLCAKGSEADQQCMDTPIGAVAKSCQTNNNTEERNTLSRRNKVSINSYRDLCTSDEIMETFAHLKHSLEVEAPEKELSEKSLKKGKSNQRKKSGRKLEVAGKSTINAAESKSEQRSKRIRRMPEGDIAQKIRVISEIENEIEMPHIKDCIRPIYSNGTSRTSKGSDTGNTPNILLGRCHSNEAVHAVHSVKNVLVTNDSAKRMKQAEHSVSRASHNAVMKKLENKVSKVLCAFCQSSDITEDSGEMVHYHNGKQVPAEFNGGANVIHSHKNCLEWAPDVYFEDDSVFNLTTELTRSKRIKCACCGIKGAALGCFEMSCRKSFHVTCAKLIPECRWDNENFVMLCPLHHSSKLPIETAECKKESKRRLTPKGPPQVRPNQDYGNKWTWPSGSPQKWVLCCSGLSAAEKAIVSEFAKIAGVPVSTSWSPSVTHVIASTDQSGACKRTLKFLMAILNGKWIVSLDWVKTCMQCMEPVDEHKFEVTIDVHGTNEGPRLGRQRLINKQPKLFNGMQFYFHGDYTKSYRGYLQDLVVAAGGTVLQRKPVSRDQQKLLDDSSMILIVYSDENQDSAKSKSKISIHTARRQIDAQALAHASGGKVVSSAWIIDSIAASNVQPL >Et_8A_058091.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19148032:19148241:1 gene:Et_8A_058091 transcript:Et_8A_058091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENKVFVVERFGKYLTTLGSAIHSLIPVVYRIAYVHSLKEEAITIPDQNVITKDNVILQIDSVEFVMP >Et_7B_053460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20611476:20613398:-1 gene:Et_7B_053460 transcript:Et_7B_053460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNRSQQRNDEDGGLLLEVLPLVRDQFDRTNYHSLVRTTTSSNISVDIGKLEYYYQQGTRGVYVSVSPASTAKHTVWVDYDAVGHNLSVYIVDGCGKPKPGHATLHALLDIDGILGGDSVYKYFGLFASKNRLVPSCQPVIYSWNVTADSRSVTGGRRRRRRIGGWFLAIVVSSSVLVAVGAAITLVAWRWSRLVSWYRGLVMKLKLSRALRRLPGTPREFKFADIKKATRNFHDSNKLGSGGFGAVNDSGRQFKGRRRYVEVAVKKFTRKEDRGYDDFLAEVAIINRLRHRNIVPLLGWCCEKGELLLIYQYMPNGSLDQHLFHRHTQRAVLPWETRYRVVADVAAALHYVHHEYERVVLHRDIKASNIMLDANFNGRLGDFGLAGLVDDADKNSLTDHAVAGTWGFIAPEYPVTHKATRQTDVYAFGALVLEIVTGKRSLGTAGTDEFPLLTDWVWRLHGEGRLLEAVDDELLTAAARFKLDATRLLLLGLACTNPNPTDRPSTAQLVHIIAKRIAPPDVPLVKPTFLELPLLDDLDLDEDDEDPDYSNTGTLSREGLALSIGSLSLEIMVCRSRRSLP >Et_4A_034557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4316293:4320822:1 gene:Et_4A_034557 transcript:Et_4A_034557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRFSFHQASPYNARTYYDCAFLFYVTLLLSLIETLLTTLYVLCIAAFGQSSTSPFGQSSFGTQQQGFGQATTAANNPFAAKPFGSPTTTFGAQTGSSLFGNTSTGAFGQQQSTPTFGTPSSSPFGSSTPAFGASPTPAFGATSSTFGSGSLFGQKPSFGGFGSSPSQSNPFGTTAFGASSPGFGVSSTPTFGQSTTSNFGFGSSPPVGQPTTSFGTSSFGATPSPFGAQTSPFGSQSATTFGQTSFGNQAGGTRIKPYAQTPDADSATSGAPAAKLDSISAMPEYKDKSHEELRWEDYQRGDKGGPNPSGTPAPQPNPFSQVNSNQFTSAPTSNPFATTSSAPGTTNTFSSSFNPSTTSPNPFTSSTNTTLFGQTGGSVFPTSSSSLFSNTNPSFASSSLFGPSSNPSPFSTGVSPSTQSVGLFSSSPAFVQQTSSTPAFSTSGSLFSTPSLFGSGSSPFSTPTFQQSAPAQTSNMFSFQPTTQPALGGFTGFSNTTSQAPIGQPTPSQSNMVFQPAPISHPYGTLPAMPQMSIGNAGSSPSVQYGISSLPVAEKPLPSRTLSMVVPRHLSQRRIKLHPRKYNPISDGKVPFFADDEESPATPKADAFFMPRENPRNLIIRPIDQWPSRSGIDRKPVPKDSADSEKYKGASTESARDKTAMSPSRPSGENGNHHEPGTVTRHGSNASVERLMPKLPHADYFTEPSLEELAAKERAEPGYCSRVRDFVVGRHDYGSIKFLGETDVRNLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAEVTLLNIKCMNKKTGDQYREGPRVDKYKEMLVKKAEEQGAEFVSFDPAKGEWKFRVKHFSAYGFW >Et_4A_034208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30854185:30858492:-1 gene:Et_4A_034208 transcript:Et_4A_034208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGLVPLPLFAVTAIRATAPSTRANYRLSRRRHSPLGSRSAPMMGAPHAFQVSETADPQPLLRPAAGGHPRLRVRTHAQGEGDAGRGAAAEGDAAFAWAPVILPFLFPALGGLLFGYDIGATSGAAISLQSADLSGTDWFSLSSVQLGLVASGSLYGALGGSILAYCIADFLGRRIELVTAAGLYISGALVTGLAPNFVVLIIGRLLYGFGIGLAMHGAPLYIAETSPPQIRGTLISLKELFIVLGILFGYLIGSLEIDNVGGWRYMFGCGAPIAALMAIGMWTLPPSPKWLLLRAVQGKGSVEDNKKKAMQALRKLRGRSASDKVLADDIDDTIVSIKAAYAEQASEGNIFKIFEGPSLKAFIIGGGLVLFQQITGQPSVLYYATPILQTAGFTAASDAAKVSILIGLFKLLMTGVAVLKVDDLGRRPLLIGGVSGIAFSLILLAAYYKILNNYPFVAVGALLLYVGSYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLQEILGPANIFFLFGAIALFALVFVILKVPETKGLSLEEIESKILK >Et_4B_036560.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29647730:29647873:-1 gene:Et_4B_036560 transcript:Et_4B_036560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHACWVPVAWALDAFYGYLETDVHRRPQARVCRLINHVAATVIVQ >Et_2A_015923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19133623:19136827:-1 gene:Et_2A_015923 transcript:Et_2A_015923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLVFFVLLLQLGSSSCGNVHIVYMGERNPELHPALVRDSHHGMLAAVLGSEQAAKDAILYSYRHGFSGFAAVLSDRQAARLADWPGVVRVVRNRVLDLHTTRTWDFMRVNPSPSSGILSESKFGEGSIIGVLDTGIWPESASFRDDGIGEIPRRWKGQCVTGDRFNASNCNRKIIGAKWYVKGYEAEYGKMNTTDIYEFMSARDVVGHGTHTASTAAGAPVTNANFRGLAGGVARGGAPRARLAVYKVCWATGDCTSADILAAFDDAIHDGVDVLSVSLGQAPPLPAYVDDVLSIGSFHAVAKGIVVVCSAGNSGPYSETVINSAPWIVTVAAGTIDRTFFAKITLGNNSTYVGQTLYSGKHPSKSMRLVYAEDIASNNADDTDARSCTAESLNSTLVKGNVVLCFQTRAQRSPSVAVETVKKARGAGVIFAQFLTKDIASSFDIPSIQVDYQVGSAILTYTTSMRNPTVQFGSAKTILGELIGPEVAYFSSRGPSSLSPSILKPDIAAPGVNILAAWTPAAAISSAIGPVNFKIDSGTSMSCPHISGVVALLKSMHPNWSPAAVKSAMVTTANVHDEYGFEIISEAAPYSRANPFDYGGGHVDPNKAAHPGLVYDMGTSDYVRFLCSMGYNNSAISSTTQQHATCQHTPKSQLNLNLPSITIPELRGKLTVSRTVTNVGPAVSKYRARVEAPPGVDVTVSPSLLIFNSTVSRLTFKVTFQAKLRVQGRYTFGSLTWEDGTHTVRIPLVVRTMISKFYVNA >Et_2A_016392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24137041:24142650:-1 gene:Et_2A_016392 transcript:Et_2A_016392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYMLAEDGSLAVYDERRHDDGFQILDKPDSSSNATDFYLLESDEGELMAVLTGRRGSPLHVVKLNEHTMEWEKVESFEGRALFTGTLKTMMVKTDVKWMQNKVVFPRLYHWPGIVQVDLIDREGELAFVPNSTTVPQDSGSCGKDIWTCGLGQSKEFWGTSNFDYGIWVDFKKSPEEVWYSVWALPVEPVRGRLRRLMAGLRAVHGGPVFEPHVTVVGAVRLRRSAAVETLRAAASGVRPYTACVASGSRFYHRGGLLLEPTPEVMAASDHCCGHFGYQRPHPYKPHLSLIYGDRTEEQEEQARKKVEELDHDISGLQFEISELALYTTEPGYVESWQLRMVAGRANPPPSFLCASRPHAAALLAPAAARAVAAQSWSAGKRCLRHTLTPQPLHWLVLEKVSVSLHRRAGHRGLLFFAGSQRRDRACASRTARSSCPGARSTATPHASQMTTVRPWSAALAQPWSRYARCSVQNVLPQPSQSNGKKSSWLQSAWSQCAPRFGKASAASTRLVYSIPKRQLRPAAKGLDRFVDNVNWITPQGWLLTLDHGTRDAFLRSPFTSRAQRRRRHEVLLPVDAPANRPRVRRAGGPPHGAHVLLLPTQRPEELDQDRDDVIRSMASLLGAAGKFYVYLADNKVVTLEFSPGPTFTAAVRVTGRPLPLGGCYVRYCELESCGDLSTVQFWYTPFSDRRVLCVRVQKLDWSTRSWVKVAGLGVNPAWLTPLLLNAVDVGSTNVRYYSPYHHKIFEVTETLGIPDARIHGANGHRLTLCHRGDDDDRVRIAHADLVTGNVHELCPLEITFIDFVVYDGDRMVLGIQAVGIVQVWRRTIQIGGDDAWEYSESIPSEPILFRPSPMTNPVLHHGLIYMLSVDGKLAVLDSQRYEEGFRLLDKPKGFGFECDDWNLLDCYLL >Et_4B_038836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5131073:5131307:-1 gene:Et_4B_038836 transcript:Et_4B_038836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCNWHVIFLLALLKVIVGCPQAEPEAGVSTPVAEKKPIITFSLNVPRLVKKEMPPGFTILHQMQ >Et_4A_034366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32040289:32048459:1 gene:Et_4A_034366 transcript:Et_4A_034366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGKMKGGEMSSISPLVSFMLGAAMATVCVLFFMSASPGRRLVDLSAFTSGNATADQLLFPVQRDGGNDTAAAEATHAPAPAPVEGPSEWGDLEEVLRRAATKDRTVIMTQINAAWTKPGSLLDLFFESFRLGEGGVAKLLDHLVIVTMDPNAYEGCQAVHRHCYFLRTTGVDYRSEKMFMSKDYLEMMWGRNRFQQTILELGYNFLFTDVDVMWFRDPFRHISMAADIAISSDVFIGDPYSLGNFPNGGFLFVRSSATTIDFYRAWQQGRWRFFGKHEQDVFNLIKHEMDLVRDLGLRVRYLDTAFMGGFCNYGKDLRKICTMHANCCVGLGAKLKDLRSVLDDWKRYTAMPHWARHAANWTVPGACIH >Et_7B_054257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18601813:18609770:1 gene:Et_7B_054257 transcript:Et_7B_054257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFGDGGGGEDEGGGDARRGWDPLRSGSAPPTMEGSAAAALAAEGLFLGGGGGGAATGEASFFSGMDGLGARLHEVSRRRGAVAQEHFGNSGSLSVGPPGLLFNGTSELEERPFGPSRVHSVGPRANYSAFDAGSLWLDAETDSGEFRRHTQNRFVSNMEQMNAYGSRDLNAPYRSETDISDALSGLRLSSNAVVDERNHEEELLDEMYKCRQDLSAKLSDVNRSHFDGNLLRDPRSERLDVHSLPVYGDGILRRQTSALDGSNVSRISCHHIKDVDHLYVAEQLAIMRSSNLRREANLFRNATMTSPMSNRYNSTKDFDFVRNRKEFLEDVLERQYLQDESLFHSIPGFPYKDNRVYHEEPRFPYSRMQRSGSHFHPNSGNIQSHGGRQPRHLPFNRKTTGRNMGSQLYHDNPLSNYLEVPLDNADRNGVDSLELSAVLRNMDQYGSRFIQQKLENASADDREKIFPEILSNAIALTTDVFGNYVIQKFFEFATESQLIQLSDQLKGHILELSLQMYGCRVVQKVLEVVDMDRKIDIVHELKNYVLKCIADQNGNHVIQKCIECVPEDRIPFVIEPILSQIFVLCTHQYGCRVIQRVLEHCHDPATQSAIMDEIAQHTFRLTDDKFGNYVVQHVLQHGKPEERSSIIQKLSGQVVTLSKQKFASNVIEKCLTFGTPEDRDGLIGEIISSGQIFQDLMKDQFGNYVVQRVLQTCDDKYLEMILSSIKLHLNELKTYTYGKHIVARVEKLIVTGEKRARMASMSCQNQQSPNCTDVDAKPF >Et_6B_049342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3450876:3462027:-1 gene:Et_6B_049342 transcript:Et_6B_049342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIREREAPGHRRSKRSSCSPASHPTWVILLETGARDDDSTGDRAMSLTSTGELISVSFVLLEPPRISFLAVDVPQRPRPTEPMIRSDVKVLAAHEDVVLFELDSRSMSDHAPVDYFGELRYLRETIAVNVTCAILRETNDRRPPSTAPYEKRKHRILSTRSRSKEDASFVVADLRRECPESQRDYDYGPARKFDLHVLRSGSDKWMVFKNLHIRGTKRGRELDWWSTDAIVPYRNRYLIWADYYQGVIFADLACPEKKPDLWYVPLPVDPLRKDPSDMEENGGPGYPDTSRNLCATRSGLKFVSVDHQRSTNIRMRHWKSTCTFWITIWSLHSDGVTWKRDERLYAEDLWALDSKNRFPHVEPKFPIVNMENPNAVCFVVEEDHIISCSRKRAFMVEIDMRKKVLLAVIDYSKEPHLFRLDSVKIATESSYLSLEENIHCCIIYH >Et_4A_033423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22685302:22700738:1 gene:Et_4A_033423 transcript:Et_4A_033423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRGSRGSDGGGGRRGSSSGRGGGVGGGAGPGGYQQQRQAAESIRDIASNLGFECTITLVRSMDEDDRESLASVKMEGLGALHGAALKGKVDICRYLVEELKFDVNSAGDDGSGPLLCVVPGRHVAAVRYLLDKGADPNMQDHGGFTPLHEAAREGFDEIAQLLLSKGANVDISSPEGTPLHAAAAYGKFSIIQILLEHHADVNMVSAKLCTPLAETLLATPERMKKSTCLKCMKLLVEAGADLNSRNPETPLVIATIKGLTECAGADANIPANDVGTRPIEIAAESGRRKLVEILFRFTSPIHAVSNWSVDGIIAYAKSRNSKDKVNQNDKDSKVQLKVPAEKIVKKQDAGSSKPYPGRKVSGRDRKAQLKSQGGKAVEKDYTSALKFYSEAINVDPADAVLDAVLYSNRSLCYLKMGQAQDALRDANACIRLRPEWTKGYYRKGAALMSLKEYKQACDAFMSGVKKDPTNEELTKAFWEAAEAMKKEHSTAKRRETMEQMKRSVASADVDRDRVMRMFDAINVRSEEREQRIGPLHDAASKGAMDTCKHLVENLGFDVNSVATDGSGLTPLACAVSHGKAIAARYFLDKGADLNKQDRIGFAPLHYAAKKGYDGIARLLISRGAIVDVSSSEGTPLHVSASCGESGVLQILLEHHADPDMVSPDQSTPLAAILGVTPDKVIESVCLKCMKLLVKAGADSNSSSPDTPLVIATSKGLTECVKYLLETPIEIAAKSRRRNLVKLLFPFTSPIQSVPEWSVEGIIAHVNSRPSKAKDETSAEDKKAQLKLLSDEAIAGMDYAGASKFYTEAIELDPADATLYSNRSFCHLKIGESGDALRDANACIRLRPDWQKGYYRKGAALMSLNEYKEASDAFMDGLLLDPSNVDMRNAYWEASEAMRKEHSAGS >Et_2A_016501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25273139:25281379:-1 gene:Et_2A_016501 transcript:Et_2A_016501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSSSSIVRLVLFYLLCTCCCQRQHHAALLASNSTSSHSVITSVHARGDNVTDTTVLPLDRAPPPLLWSQVKTSWPAIDGVSWSTLPKHKGGAGVGGLFTVHDASRCSSRADNCTDHARVEGGAAERYAGAVLRGVPRHRREKRSGRASEGGKPAPLCSYHRTASLLRGRVDCRGVAVVAVACSVLLLLLCTVASTALLLRCVQSNRRGERSFPAVDPENHANKEGPAVTPELLRRHAAGHRSYSYRELSAAAGNFAESRRIGRGGCGAVYRGYLAEQDRHVAVKVFSVAEERGSREFDAEVEVMTQLRHRNVVRLVGWCDDGRNKGRLLLAYELAPGRSLDRSLHDPERLLSWPESYRIALGVGSAILYLHAECEQCVVHGDIKPSNIMLDSSCNAKLGDFGLARLVDHGADPDTTQVVAGTVGYMDPEFVGDRRRSPESDVYSFGVVLLEIACGVRPAAASPAGGHPKKEAAAVLLLAVLDAADGRLEGAFDERQMERVLVTGLWCAHRDRSRRPSIAEAMAVLRSERTELPVLPASPHGGLGQISALEERAYGDLSTEDETTLSSDSTAYLSPQDSGELLVEETGFNVSLPSKMLLAKDSTTVGNLHHRPRVLRHRKHQKVLTKAAIATSSALLGLLCSILSLLLWCKRGRREIVERLQRTELCPSAPRCYSRGELAAATQGFADGEKIGRGGFGPVYRGNLGDLNRPVAIKVLSQGSSVQGIKEFEAEVKVMTRLRHRNIVELIGWCDGPRDLLLVYELVPNGSLDKHLYDPQRVLTWPDRYKIALGLGSAILYLHTECEHRRPSAESDVYSFGIVLLEIACGRRPTSTQSNGTAALVNWVREMYSQNSILEAADRRLDGEFDEQQFKRMVVTGLWCARHDQSQRPSIAQAMDVLRREDAELPVFGAEMHVADAVRSLEERAYGDLSAEYTSSEESTAETEDLSSQILIGGGGAMEAGKQGRREFDAVVNVPSQLRHCNVFRLVGWCEDGRNKGLLLAYELAPGGSLDRSLHFRPGFPDP >Et_10B_002990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15057964:15065912:-1 gene:Et_10B_002990 transcript:Et_10B_002990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAARLSPSLATAAFLARRPPRASPFSLRRRHPLLRLFASASDSSGDGRAVALSSAELRKRRGLSSSAGPGDAASGGDEKLRSLRRLFSRPDIAIDAYIVPSQDAHQSEFVAECFLRRAYLTGFTGSAGTAVVTKDKAALWTDGRYFLQAEKELTREWTLMRSGNHGVPTTSEWLNDVLPSGGRVGIDPFLFSFDAAEELKDAISNKNHELVLIRSFNLIDEIWNDSRPKVPEKPIRVHGIKYAGIDVTSKLSFVRSQLSENGCDAVVISMLDEVAWLLNMRGSDVPHSPVFYSYLIVEISTATLFVDNNKLSEDVLEHLQKAGVKIKPYEGILSEVERLAEKGAKLWLDPSSVNAAIVNEFRLSCDRYMKKKGKAARKNGDKDELSDEPRAKGTGSQSGELNVVYRVSPVTLAKAVKNEAEIEGMKNSHLRDAAALAEFWCWLEDKVCKDVSLTEVQVAEKLLEFRQKQDGFLEPSFDTISGYGANGAIIHYNPSPESCSSIGSENLFLLDSGAQYIDGTTDITRTVHFGEPSARQKECFTRVLQGHIALDQAVFPERTPGFVLDVLARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISYRYGNLTALQKGMIVSNEPGYYEDNSFGIRIENLLLIKELNLANSFGGVSYLGFEKLTFVPIQRKLIDPSLLSSLEIDWVNNYHEEVWEKVSPLLSGNARDWLWENTRPLLYESH >Et_2B_020035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16269641:16273295:-1 gene:Et_2B_020035 transcript:Et_2B_020035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSFCPDCKKQTEVAFDHSAGDTVCTECGLVLEAHSVDETSEWRTFANESNDNDPVRVGGPTNPLLTDGGLSTVIAKPNGAQGEFLSSSLGRWQNRGSNPDRSLILAFRTIANMADRLGLVATIKSIRGRNQDAILAACLYIACRQEDRPRTVKEICSVANGATKKEIGRAKEFIVKQLEVEMGQSMEMGTIHAGDFLRRFCSTLGMNNTAVKAAQEAVQRSEELDIRRSPISIAAAVIYMITQLSEDKKPLKDISLATGVAEGTIRNSYKDLYPYASRLIPNTYAKEEDLKNLCTP >Et_8B_058762.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:21147424:21147489:-1 gene:Et_8B_058762 transcript:Et_8B_058762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKQKNLPEITTIIRLLAP >Et_1B_013511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9085974:9090065:1 gene:Et_1B_013511 transcript:Et_1B_013511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSAPLLRRLLSPSPAPSPTSSSPLAGTISRRTVTYMPRPGDGAPRGVTLIPGDGIGPLVTGAVRQVMEAMHAPVYFETYEVHGDMPTVPAEVLDSIRRNKVCLKGGLATPVGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHDNVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVAKKYPGIQYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANVAAGIAGGAGVMPGGNVGQDHAVFEQGASAGNVGNEKVVVQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGKYRTKDLGGTSTTQEVTDAPNRYHKDDHYTFPGRVVQCQSMDSSEQRTLLKS >Et_10A_002331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9158878:9160957:1 gene:Et_10A_002331 transcript:Et_10A_002331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIPDEVLELILLRLDSPISVIHAASTSKRWRRIIAAAGFLRRFASVQGQPHRLVAGSYYNSVFSVVPQLFVAAYQSPLVDSNRFCLDFLQSDHDRVCPFHPLTWTIRDSRGSLLLLAYNEYDLHKPRLHMIVCEPLTRRRRRITFSLVNGWSIHTGPFFLDASADDSAGFSDFGLVCVLFHVASHSYRVAVFSSSACEHGSWKSTSVDWKSMYFLGKTKSLYWHVGDRIVAAMDRRTALFSTSKLPRCSEDWDRQRLVVVACRDDEPRIIVTGIRESGFLKLFARSQDGSEWALEKTIQLSNVLPGCDPSYFSTRLGWVQVTAVDMAMVVVECQSWAYRLDINTMEVERVMNNAYRQVAFPSELPWPPAFLACTDLYESYELTGEPSHSKKIKHQFTMDFVGCFLGAEGNWSRCCLRCQATDRLRCQATDRRLAVAVAAPPSAGLDSMRDQFDGRQVK >Et_10B_002739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10190820:10191168:-1 gene:Et_10B_002739 transcript:Et_10B_002739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSQVTRWDRDTSTWQRKPKMASFASSLPTLYCSFGTYWKGDVGVDNSRERFFRPLRNEHGALRVLNMMDGIVYTSTMESLTDDISPCWFLTFCLTGNGEVGEALS >Et_7B_053474.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:21529650:21529841:1 gene:Et_7B_053474 transcript:Et_7B_053474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSNCHDICTTCDRFVNFEIPSECAKDCHGTCTPDACKRCRGNVKQKCSDGCLDYCQKNCEY >Et_7B_053454.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:20471940:20471981:-1 gene:Et_7B_053454 transcript:Et_7B_053454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRGTYSFTKCY >Et_1A_008746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11531842:11534115:1 gene:Et_1A_008746 transcript:Et_1A_008746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEPLRRGLLPLFFVLLVVLRQQSCAASGGGESAEFEIPQDGTVVELDESNFDAAVSSADYLFVDFYAPWCGHCKRLAPQLDEAAPVLAGLPTPIIVAKVNADKYNKLRSKYEVDGFPTLMFFDHGVPSEYTGSRKADLLIQNLKKLVAPAVYVLESDSAIKGFVEAAGVDFPLFIGFGVDESLIAEYGAKYKKKAWFSVAKDFSEDMMVVYDFDKVPALISHNPKYNEQSVFYGPFEGTFLEDFIRQSLLPLTVPINRETVKLLNDDERKVVLTILDDETDEKSPQLIKVLRSAASANHDLIFGYVGVKQWEEFTETFDVLKSSRQPKMIVWDKDEEYEVVEGSEQLEEGDYGSQISQFLEGYRAGRAIKKKAGRGSPTLLGVNALYILIFLVAVLLVMMYFAGQGEENIRPRAHED >Et_6B_049265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2417292:2421886:-1 gene:Et_6B_049265 transcript:Et_6B_049265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRACLIVVLAFLFLQGAAAGTAEERRWRQVQSLLRRLNKPPVASIESPDGDIIDCVHISKQPAFDDPFLKNHTIQMRPSYYLGGLSNESNIAPHPISQTWHQNGKCPENTISIRRTKEQDILRASSVSRYGKKSPKSIPRPIPIHDPEASVTSGHQHAVASSRQGQYYGTKMTINLWHPMTETTQDFSLAQLWITAGSYSGNDLNTIEAGWQRDAYQTTGCYNLGCSGFIQTNNQIAIGGSISPYSSYGGSQYEFDILIWKDPQSGNWWLQFGSYLLGYWPSSLFSYLADSASSVMWGGEVFSSNTGQTSTQMGSGHFPGEWFGKAGYIRKIQVVDSSNYLQSPSGLGMIAQWPNCYNVQNGTDNNWGTYIFYGGPGRNANCPY >Et_1A_009517.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:7096732:7097763:1 gene:Et_1A_009517 transcript:Et_1A_009517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSLLLSAPLPKLSPTGSKSRRLIPIRASSNAPTASASFDLRRYWTSLIAEVESELDAAMPMRPPESIHSAMRHAVLPGAGKEGAAKRAPPVLCVAACELLGAPRAAALPAAAALEMLHAASLVHDDLPCFDAAPTRRGRPSTHAAFGTDMAVLAGDALFPLAYTHVIAHTPSPDPVPHAVLLRVLAELARAVGSTGMAAGQFLDLAGAAALGEAEVMQVLTKKFGEMAECSAACGAMLGGAGPDEEAALRRYGRTIGVLYELVDDVRSASGNGKMRRNASVLRALGMDRALGIVEELKAQAKTEADRFADKYGDRVLPLYSFVDYAADRGFELQDAAATP >Et_3A_023348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22003899:22004816:-1 gene:Et_3A_023348 transcript:Et_3A_023348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCIRSSAAPGTATAGGGLLQQLLVEVSAAARGGGWPRWPGRARRAPSSAASGGGRGASTRQRSATRGAACASGSAPSTPPRRRRACTIARRSSSAGPTPPPTSRRPPPTPTAGARARTRTSPRRRCRRRRPCCARCRPSRRSRRTRRTTPTPPRWRRASRPPSAASSPPWRSSASSCRSRTRRSTPAAAASGTSSPTRGTSTPSRRRPRRGTVAPARRPRTTTSRTCATCSRSTRFPRFSERGRPATSFLLPTRETVFWMSRVLCRVYLPCHVFVESITEEL >Et_5B_043434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10298984:10299802:-1 gene:Et_5B_043434 transcript:Et_5B_043434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRYPFAMYDLYFSKEDEAASPLFRTRQGDPFRVSFRFVVPPAISRFYLHVRGGSVLSDDIENSCSVIAAHRNALLFCLLVPLPAPMEYLSNPETEPFPLFYKQDLFVYTAGGDRASLKLLPPCLEFPCEGLGVQCNDDGDYVVAYLGVGPGIRAVPGAEIIAQLCLYFCSDSDWELRGLPICCVDSKDVSFLFSWSTKMTLTFGSYLCWIDYHRGILFCEVFGEQGVVSVSRPEQWISERCVPLS >Et_7B_054750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4006230:4027956:1 gene:Et_7B_054750 transcript:Et_7B_054750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPAASVAAEMEPLEVQCVGCGETLEVDRGLTEFICPDCATPQSLPPELMPKPPRRKALPLPRGAADVRCAGCRGVLAVGPGMTEFICPKCHMAQRLPPQLMPKPAASSSSSPPPKSPAKPAAPAPQQPRKGAPQAQGVDPTKIQLPCARCQAVLNVPHGLARFRCPQCGVDLAVDHAKLQNFLSSSNNAAAAPASDPATQAQAVPFLPTLPPGVTQPLQLVAGGTIPMVLPASQPPEEINEVAIDVEREEDEGGTVGETFTEYRPPKLSLGQSHPDPVVETSSLSAVQPPEPTYNMKIMDELDETKALSCLQIETIVYACQRHLHHLPTGDRAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKAVWVSVGSDLKYDARRDLDDVGATCVQVHPLNKLPYSKLDSKAIGIKNGCHKAKNLIPDAGSQPTRTGKAVLEIQEKLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQNFSQFLGADFDIVEAPLEERMMIMYRKAAEFWAELRLELLSACEFSAEEKSNSSQMWRLYWASHQRFFRHMCMSAKVPAVVRLAKEALAENKCVVIGLQSTGEARTEEAVTKYGVEMEDFVSGPRELLLKLVEENYPLPPKPDSFQQGEETVTEIQRKRHSALDISFKGRVRKVAKMVDVSDDDTDDYSPSDSEHESTESDEEFHMCQICNTEEEKSLLLHCSGCSRHVHPNCLTPPWTGVMTDDWSCYTCKMEEGEEEEQDAHVADFSKRYDSAVEKKLKILDIIRSLDLPNNPLDDIIDQLGGPDNVAEITGRRGMLVRASDGKGVVYQARNAKEVSMEMINMHEKKQFMEGNKLIAIISEAGSAEKKSTYNTRTPMECRPRNTAVRENPSLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTLMYRGIMEQDSFPVVPPGCSDNQASIEEFINEAKAALVSVGIIRDAVVCNGKDAGKLSGRIVDSDMHDVARFLNRLLGLAPNIQNKLFDLFTSILDVVLHNARIEGQLDSGIVDIKAKSVEMNESPKTVHTDSLSGASTVLFTFTIDRGVTWESAKAMLDERQKDGAGSSNDGFYESKREWMGRRHFTLAFEGSTEGMYKIIRPAIGEALREMPLTELKSKYRKVSSIDKVSKGWQEEYDASSKQIRQIHKRIRVVRLVTTNDNQRIVGLLIPNSAVESVLTGLFLISQHF >Et_6A_046222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:128699:130276:-1 gene:Et_6A_046222 transcript:Et_6A_046222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASAVRAAAADAVVTFLWVLCVSTPGASTAAATSYLSVQGFHYALLITVSLLSVLLFAFNLLCDALGGASFNPTGVAAFYAAGLTSPSLFAVALRFPAQAAGAVGGALAISELMPAQYKHMLGGPSLKVDPHTGAVAEGVLTFVITMAVLWIIVKGPRNPILKTWMLSISTVSLVLSGAAYTGPSMNPANAFGWAYLNNRHNTWEQFYVYWICPFVGAILAAWTFRAFFLSPATKPKAKKA >Et_7B_054739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:394246:397162:1 gene:Et_7B_054739 transcript:Et_7B_054739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGKAAGLSDEAEAKLSAVGQLMEEAKKNSEAFLSQLRDLMPLASRSVASEGETVPHGSEDESEAQRRPKRMRLTGPDLQRRVEELLGTDKLVGSASEKEQQLDRPSAAAEEVPPAASSSSSSTHPPPISRLPLPPFPRGGTPSDVVKWRMECKTICDASEKDYDFNLPTLRDPKDYGTTKAVQDWRHKRAVLAAARSIVSVTPISDAHCGIYLSLQADGPTRLQFTGFILRRYEHSGFVFSVIVTCSRVVCQAGRKLDPLPKLSVALPDKKTIIEANLLYFSDHFGIALLLLPMEFSLEIPRLGCCLDYNEEVFVLGKDKDASLGVRHGVISWTEESDFLGRDYYMFIEGEIPEGGNGGPVIDPDGEFRGMAFHHSPKPAVLSISTIMTCLEMFMHFVRIARPTLGLHLRTVASLDVELLECISDHNIRSGYVVDVVEDNSPALVYGIREGNVIVSLNGHHMLTLPKLEDYLLSLGWKNLINSVSTIDLKVSLHFPANPGDASMYMG >Et_7A_050195.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:10640825:10641271:-1 gene:Et_7A_050195 transcript:Et_7A_050195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAYRSGRLERVWRRVRVVSLGGVTTLERKLSYDCAMCQYSMDANEEVRKLSCNHVFHSRKETTKCKEVFIDEYLRREGLTCPVCRRTPLPVLPWNARPLPSSAPASATATSSAASTSGEPLLQQPSDGSDEEDPELPVSTLPVDDRA >Et_7B_054344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20131491:20136087:1 gene:Et_7B_054344 transcript:Et_7B_054344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGVNMPGGDGNPAAAAGPECRFRRRRRLSSSSEEGSIYEEDETEEEEGELPPLPSPAQQAGGAPAPVAFGSVALAGRMREMEDTISLHPSFYTWLDGTAMHFFAVFDGHGGTHVSALCRDQMHVILAEELAAEASTFQERRRQRQGTSSWSETEEEAASWRSALSRAFARVDSLAALACACGQATVPRCACARSGITSAIVGSTAVVAVLAGARVVVANCGDSRAVLCRGPAGTPPVLLSHDHKPDRPDELARIESVGGQVINLNGPRVRGILAMSRALGDRFLRPEVISEPEITITHRIEADQCLILASDGMWDAISNETACAVARQCAAAAANAAPGADGQGPEDVCQATAAILARLALGRGSPDNVSVVIVDLQNRAG >Et_6B_049802.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:12951957:12952904:1 gene:Et_6B_049802 transcript:Et_6B_049802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPQLGGLAEAQMRALLGVGDPTGALLSPAAAGNKGYLYNCAGVVSGGAQSELTCNGGGGGGVLPSRKRGREAEQYVSSSALLPIPGLQKPVGEVKMMAASTSGRPEAAADALVAELCRQGAEVDALVRAECERLRAGLEQARKRQRQELALAVAGAAARRLREAEAEVQVARRRAAELEERLRQAAAESQAWLGLARSHEAVAAGLRATLDHLLLRGCGVAGGGCNGAAAAPVEGCGESDPAAAEDDANSCCFGATDAPAGRWACKACGEREATVLLLPCRHLPLCKACEPKADACPVCLAAKNASIHVAPN >Et_3A_026648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11796710:11799148:1 gene:Et_3A_026648 transcript:Et_3A_026648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPSGHHPATPAAPAPSKSKSSAQNPSSTPAPAAAPSKAKSSAQNPSSTAAPSATPSKAKSSAAGQAASSSHHHHSAAAADPSAATLKRKRGIFQKDLQHMMYGFGDDPNPLPETVALVEDIVVEYVTDLDLRKLHRATELLSMNEELKQARKAFDVDEETLATNNA >Et_7A_051555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20205983:20211246:-1 gene:Et_7A_051555 transcript:Et_7A_051555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSAARHALLPPPLAPCIPVAFRRGRFSPLVPRAPLCRVASSASAPATGGYLPPLFSVAPMMDWTDNHYRTLARPISRHAWLYTEMVVAETIVHQKDNLDRFLAFPEEQHPIVLQIGGSNLENLAKATELANAYSYDEINLNCGCPSGKVAGHGCFGARLMFDPEFVGDAMSAIAANCDVPVSVKCRIGVDDRDSYEELCEFVDKVVSKSPTRHFIIHARKALLNGLSPAENRKVPPWKYEYYFALLRDFPEVQFTLNGGITTIDQVSASIRQGAHRVMVGRAAYNNPWNMLGHVDAEVYGMPARCVSRREILESYQVYGDSIIGQYGPSRPNVRQLVKPLLHLFHSELGNSLWKRKADAALCHCKTVKSLLEETLDAIPDSVLDKPINKEPYSEEGYFADVESLMPPRYATLTHCSNGSPELVAAST >Et_5A_041249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20024397:20025149:1 gene:Et_5A_041249 transcript:Et_5A_041249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSECLSICNRNVRSAEIHPSVRHLSIIIEHADDRDSITGNEYFIRELRRLKERLNLKKLQTLMLFDDDGLLKGSFGYLFKEVNSLRVLLVSATTYPVVQTLENFSNLIHLRYLSLGCPNDSETQIPRKMQHSEISKVGALKLLRELKMFLVKRRKQGFDLKELEPLMEINRLGIYNLENVLLEEAGEANLADKNSLQKLTLHWDGQGTRADL >Et_2B_022632.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28443230:28444120:-1 gene:Et_2B_022632 transcript:Et_2B_022632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRDKRHDRNAEVDKKHAKKQRKTATAELWLLLDRSGYPDQSKTPDGDHAHAHADAGRALCTASGITSQGARFELSLRPQASRA >Et_1B_011715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25337796:25345173:1 gene:Et_1B_011715 transcript:Et_1B_011715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAEEQAAVRVIGGWASHYAIRVYIALRLKGEVVGNKSELLLKSNPVHKKIPVLLHHGKPVAESMIILQYIDEVWASNGPAILPADPYARAVERFWAHYTDDKIAPASLVLRGTVQGDKVEAAAQVSAALQNLEEAFVKCSQGKSYYGGDNISFLDIVLGSHLGWLKAVETIAGIEVLDEAKFPELTAWADRFYAHHAVRDVMPDAATMASNAEEQAAVRVIGGWACPYAIRVFAALKLKGVEYEFLQEPFGRKSELLLKSNPVYKKIPVLLHHGKPICESMIIIEYIDEVWASEGPSILPAEPHARSVERFWAQYTDDKIAPAFLVLRGITKGDKDEAAAQVTIALQHLEEAFMKCSQGKQYFGGDSIGFLDLVLGSHLGWFKAVEKIANIKVLDEIKIPKLAAWADQFCAHHAVRCIMPEVDRLVEFSNATQSATSKAEASK >Et_5B_044201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21390527:21393993:-1 gene:Et_5B_044201 transcript:Et_5B_044201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVARLLLPAVVLLAVLDSAAPAPEEAAALQEFKRAMQDVDGRLASWGGAGNPCGWAGIACSGGGEVTGVTLHGLNLHGALSAALCGALPRLAVLNVSKNALSGPVPAGLAACAALEVLDLSTNAFHGAVPPELCALRALRRLFLSENLLYGEIPAAVGNLTALEELEIYSNNLTGGIPASIRALRRLRVIRAGLNGLSGPIPVELTECASLQVLGLAQNQLDGELPRELARLKNLTTLILWQNALSGEVPPELGNCTKLQMLALNDNAFTGSVPGELGALPSLAKLYIYRNHLDGTIPPELGNLQSALEIDLSENRLTGVIPGELGRIPTLRLLYLFENRLQGSIPPELGQLSSIKRIDLSINNLTGTIPVEFQNLSSLEYLELFDNQLHGTIPPLLGVNSNLSVLDLSDNQLTGSIPPHLCKYQKLIFLSLGSNRLVGNIPLGVKACRTLTQLRLGGNMLTGSLPAELSLLQNLSSLEMNQNRFSGPIPPEIGKFRSIERLILSNNYFVGQIPASIGNLTNLVAFNISSNQLTGSIPQELAQCTKLQRLDLSRNSLTGVIPQELGSLVSLEQLKLSDNSLNGSIPSSFGGLSRLTELQMGGNHLSGHVPIELGELASLQIALNVSHNMLSGEIPTQLGNLRMLEYLYLNNNELEGHVPSSFGELSSLLECNLSYNNLIGPLPSTPLFEHLDSSNFLGNSGLCGIKGKACQGASAFSSKEAAAQKKRFLREKIISIVSIVIALVSLVLIAVVCWALRAKIPELVTNEERKTRFSGPQYFMKERVTYHELMKATESFSESTVIGRGACGTVYKAVMPDGRKIAVKKLKTQGEGSNIDRSFRAEITTLGNVRHRNIVKLYGFCSHQDSHLILYEYMANGSLGELLHGSKDASLLDWDTRYRIALGAAEGLRYLHSDCKPQVIHRDIKSNNILLDEMMEAHVGDFGLAKLIDISNSRTMSAIAGSYGYIAPEYAFTMKVTEKCDVYSFGVVLLELLTGQSPIQPLEKGGDLVNWVRRMMNSMTPNSEMFDSRLNLNSKRTMEEMSLVLKIALFCTNESPFDRPTMREVISMLTDARASSYDSFSSPASEDPTENDFSLKL >Et_1A_005180.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31023382:31023783:1 gene:Et_1A_005180 transcript:Et_1A_005180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGKRKKEPSSPPAAAAAAEAAVLGNDDLLREILVHLDHPTSLVHAALVSRRWFRHASDSAFLRRFRGLHPPRLLGLYVNNRPRTGFVLLPHPRELDVVFRRARFQAFQLGSRQPSAIVATAAWSSVSTTRR >Et_8B_059846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3302027:3309127:1 gene:Et_8B_059846 transcript:Et_8B_059846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNTDGDNYANVDLVCCLCDNGGEIARFAGNSQIWYCVCSHQSSLCSVHTLFLIRDVLFFYIYCSCEGRCLRSFHATKDSCEDCQTLGYSRLQFNAMKVFVCKNCEYERYQCFACGRLGSAKTDRPEVFPCASATCGHFYHAKCVAQLLFPENDAKATEYTAKISNGAKFACPVHKCDICKYGENKEVEELQFAICRRCPKKIAFEDYTENGQCFLQRAWDDLLPNNRILIYCLKHDVDPELGTPPRNHIKFPDDPAVIRKPLNQVNGTKKKLVKVQQIEKHPSAPLSSVKRSSTVKHASLNNLMNKKRKVPLSQEKPLVMEKSVTMSTLPFSSFPEIDRNTEMRIYDFAQKASADITIEDIQKKLVVPSTHITTQNADKITLGKVERSVEAVKAALHMLENGACIEDAKTVCAPSDLFQLAKWKNKLNIFLAPFLHGMRYTSYGRHFTKLDKLQLIVEKLQWYIQSGDTVVDFCCGSNDFSLLLKEKLEASGKSCSYKNYDLIQPKNDFNFERRDWMTVQPDELPSGCRMIMGLNPPFGFKSSLANQFINKALSFKPKLIILIVPKETERLDKKYPPYELIWEDSSQLSGKSFYLPGSFDADNKVMEQWNVSPPPLSLWSRSDWAKRHSEIARSMRHVPSENASHVDMQSEVTMHVETDDARGIAIHDSVLDQLLADTYHDATNSPGHCWNDTNGRSRQPCNYETPGWSDPTQKHHSETRAESDMSISLSDRADCQRQDQTSSISKHGGTDPQACNAAESATAEKSTAPADCDEVTSACGPYHLPGDLPLPLPGRPAAGVEYWRLEDSPLVEEPAASFLFREPRDAPPVGRLAAAVQYQQMEDTPPTPTPEDSWSGETEDSPPAARHAGNLPQSSAFPGLPSRHGCYTSHQFISPKA >Et_6B_048973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16172611:16179723:1 gene:Et_6B_048973 transcript:Et_6B_048973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVQTSKIVKPAYGGDGRAPSADAGVTDVVPLTVFDRVTFDEHISSMHFFRPPAPSTAALELGLARMLAEYRVWAGRRDAGRRAVVLSDAGARFVAATADVALDSVVTRSDPTREVFSGLHPSAGDGAEELMLVQVTRFKCGGFAVGTTVWHPVTDGRAARSFMKAWGQATRGAAICPLPVHDRVSFFRPRDPPRVEFEHRGAEFTSRKDAVVVGNTDDKVMIAELKAQASSSSSLSPATRPYSTLQCVVAHLWRCITAARRLDAHTVTRARIAVNGRSRMRDPPLISRAVARVDDAYFRSFIDFASSGVVEREALAPTADPARMVLCPDVQVDSLLGFPFQDLDFGSGPPFLYMPSHSMPAEGGMFLVPSFFGDRSVEAYVPLFSRNLETFKKCCYSEALLASSLVGRLGGDPLICRQPSALACSPMAAAADDDCGGQRQRPMAAVRLYVASTASPSTAAPQPCLGPSRSRHPRAGFGITVQSSKAVKPDYGSSNGAQSTANVVPLTVLDKVNFDQHISDTFFFHAPAPSSHVLETGLARALAVYPEWAGRFVADDDTTTKGGNRAILLNDAGTRFDVEATSHSAASCRWSRRRSC >Et_5A_041504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23733486:23735621:-1 gene:Et_5A_041504 transcript:Et_5A_041504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VMAIIESPDDMQEDAAGLQRVVGKDDFLVEEVGIDSDVLAINEMQDPADLQIVVIKDDSVTEEIGTVSDGQGIRKHTEIIEPMKGMMFDSVDDAMAFYKRYAQKNGFDVKLARSKLENGKLRYFTLSCSRWGPPKNTPTPKKAATSDDLFNLVMDGLRDFDAQLALHDNQKAPAEHKDVEM >Et_1B_012228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3028334:3030945:-1 gene:Et_1B_012228 transcript:Et_1B_012228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDGDQPALLEQGLSGDPPVYDILDSQRSAAQDRRDPSAFSSDEGEVRWNHSQMGRWVETVEWSSNIKFLPPSCMLEFPVLFERAWGYDRLFTFDVDCYPETHAYIQEFYRRNCSATPSVTSALSLCMKMEDDLKSEWLRRGVAVNRDEVALSQAIFKYSLILLNKENTLSATTSYAAVCIAKEAELVLEWLRRCPNTDELKLSTRIRQHALNLMIGEAEAVPVIDMMIHSALVGITKEAEFLCKLIKERYDNPFSPNEIAQCREIGEFALDVMMHKLDEYAAAATTAAEKSKGPYAVWKRSLIATIERGDPTWYDYFNFDPMESVGKQLDGMVAQLHYLAARIRSMHIEKKDPVSWCWMIPPLFQRAVEELA >Et_2A_017697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5207799:5213042:-1 gene:Et_2A_017697 transcript:Et_2A_017697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAATVTLGGKGGALTPAAVYALAHELSSPSIDPSALQRLSTRAPSPQETPASLRELALDGEVSRAAAAVLLNKLLLTAADSPSALVTAATATGLAEKLDLNAVLLNKLSSRDEAAVAAASAPVAVALAALIDCCAAPLSRVADAVAALSFEAARGDAAAFEVPASGDGLSAKDEADVAADIKMLVFGSKLVGSAGGASSTSFAKVPTVNGIFREAVRALHVRVRIELNAPVKLGKRDAGETGEGKEEALVVLATQLARALQAMCKLSIARINLLAEKSIDDTEFRQKLTGDLNLDDLKGVLDSDAVAVLKGVYNRLQKLREFLAREAAVTMAVIEADSSIEKPQAGDEKEAGSSTEKPQAGGEKAKGDKKSKKKKTLGKGTSAVLMLLRDHVNKGSDVPCVNYDLISTWEVALKLLFDPECPKLESLVEKVKEIVESNEVRRLPKIPKGTRDFGKEQMAIRERAFSIISSVFKMHGATALDTPVFELRETLMGKYGEDSKLIYDLADQIKLNHRKLLDGMLEICGVPPEKFRTVCSSIDKLDKQSFEQVKKELVDEKGISDETADAIGNLVKTRGHPLEVLEELRKGGSKFMENGGSVVALKELDILFQALEKANAIDKIVFDLSLARGLDYYTGVIYEAVFKGTTQSIRPTETEVLVSILGKDLTLAAELVSQLWNAGIKAEFKLTSRVQNHIKYALQSRIPWMVLVGGTEIEKGIVKLKDLKTSQDEDVPRETFVQELKNRLEQRRVSNAITCTAGSALRR >Et_5A_041479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23456357:23456801:1 gene:Et_5A_041479 transcript:Et_5A_041479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSLERLRIHVADADLVLSSLAGLKKSALKTGDRAASTSLCAENSSPHTSKSTSAPSFVSRRRRRSACSPVPGGDAVTAGGCGGADGGAAVGSGGADGSGGTTAKSHRIVKPSCFKISNFSNFSCETKGERPSPGFRVLKSSTSV >Et_4A_032767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13660679:13667681:1 gene:Et_4A_032767 transcript:Et_4A_032767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGNGRREAALGALAVLPDEVLCAVVDLLPPTDIGRLACASSVMYILCNEEPLWMSKCLSIGGPLEYKGSWKKTTLCRLGLYSENNDTWNKPRQFDGFNSLFLYRRWYRCFTTLSSFSFDDGHVDRKDDLSLDQFRSQYDGKGPVLLANLAETWPAKTKWTLQQLTKDFGEVPFRISQRSPQKITMKLKDYVSYMELQHDEDPLYIFDDKFGESAPALLEDYSVPYLFQEDFFDVLDYDQRPAFRWLIIGPERSGASWHVDPGLTSAWNTLLCGRKRWALYPPGRVPAGVTVHVSDEDGDVDIETPTSLQWWLDIYPHLPEHEKPLECTQLPGETIFVPSGWWHCVLNLETTVAVTQNFVNQSNFQYVCLDMAPGYCHKGVCRAGLLAVPGKSVKDMEHHPPSTVNRWNHPDLSRKEKRLKGSETLRTSNGENHCSAFEFSGVQESLENQAFSYDIGFLSQFLEKERDHYSSVWSPTNSIGQRDAREWLRRLWVLKPDLRELIWKGACLAISVDKWYSCLEEICACHSLPLPKEDEKLPVGTGSNPVFIVSGNVIKIYAEGGLGYSVHGLGTELEFYDLLQRIGSPLINHIPEIIASGFLEYEDDIYRTVPWDGKGIPDVLAKHYPSEVSHAKNCFPLGLWSKQRFGVNNTSDISKRPIWPYMVTRKCKGDIFARIRDTLSKDDIIPIASSLGVQMRNIHLLPLPHMEPIAECGDNNVQEMAGESGDVATVPPEWKDLVSTLNGRRKNVKKHLANWGSTIPQNLVEKAEEYLPPDMGFLIKFVKDDGQSVYPSPSWIHSDIMDDNILIGGTKLGSSSGSKKFNEGDLEQMDAIHIIDFSDLSIGDPLCDIIPLHLDVFRGDNDLLREFLRSYQLPFLRGESNADMHKSVQNSKFSRASYRAMCFCILHEDNVLAAIFSLWKELKAATGWEDVEHFVWEELNRYQQQQSCTI >Et_9B_064583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16771561:16774647:1 gene:Et_9B_064583 transcript:Et_9B_064583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAQLLLLGLPALLFISDLTHIFAPPPPHLRHPPHHHPHPPHHHHPHPPHQHPHPPHHHHPDPAAAVIQEPRVDGAGYGATVELQFCASCSYRGTAMTMKRMLETSFPGIHVILHNYPPPFPKRVLAKVVPILQVGAIATIMAGDQIFPRLGMVPPPWYYSLRANKFGSMATIWLFGNFAQSFLQSSGAFEVYCNGDLVFSKLTEQRFPSEFELRDLIASRLPESLFGRNMGKSLT >Et_7B_054179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16607635:16612096:1 gene:Et_7B_054179 transcript:Et_7B_054179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPFPLLILVAAAAVLCSLAAAAPEEHLVAGLPGFNGAFPSKHYSGYVTVDEASQRSLFYYLVLSERDPAADPVVVWLNGGPGCSSFDGFVYENGPFNFEPGSTPGGLPKLQLNPYSWSKVSNMIYLDSPAGVGMSYSLNRSEYKTGDLKTAADAHKFLLKWFELYPEFQSNPFYLSGESYAGIYIPTIADEVIKGTEKGVEPRINFKGYLIGNPATDADYDFNSFVPFAHGMGLISTDMYEDVRATCHGTFWGTVDDLCQEKIDRVRWELKDLNVYNILAPCYHHPKIQETLFRHSSLPESFRRLGETERAFPVRKGMAGRSWPLRAALKNGRVPMWTGIGGRSLNCTSDELATIWLDDEDVRAAIHAKSKSLIGSWELNTARLDYSHDTGTMVSYHKKFTTLGYRVLIYSGDHDLCIPFPGTEAWVKSVGYQVVDRWRPWYFEQQVAGYTEGYDHNLTFLTIKGAGHAVPEYKPKEALAFYSRWMAGERF >Et_9A_062840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5699701:5700471:1 gene:Et_9A_062840 transcript:Et_9A_062840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRSIGVAVDFFACSKNALRWAATSLAASGDRLILIHVKTSYQYEEGVAHLWGNDGSPLIPLVEFSDPRVSKIYGVSPDRETLEILTRATNQKCVEVFAKVLWGDPGKKLTEAVQRIPLQWLVVGNRGLSTVKRVLMGSVSTYVVNHAACPVTVVRENMVPAAVTTNN >Et_10B_002865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12753535:12754832:1 gene:Et_10B_002865 transcript:Et_10B_002865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRRTLDSSTVAARRRRLPTPARPPPQNPARRSAAAGFSEEEIAGTDPDYLYFLNHLYPEGDSYVLKIPSMDGASPPVVIRYEEEEEETLAGRSTAADFSEEDIAGTDPDYLFFLRHLYPEGDSYVLKIPSSPPVVIRYEEETVADPPVEGSADFPIDEEDEEPLADLGLEEKEPEIEPSYRHYLKMMCGTSTEARSAGNNNNDQEEEKEEEEIEEEEEFKEGQMGSGLPVDDLMENAEGAIWPEHINERPDSDFKRRLIQVLVKEIDRDEYTQLFGMATQRTPVERLRQTRNSVTSYKTDKMGISYLESYP >Et_3B_027580.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:2971785:2972114:1 gene:Et_3B_027580 transcript:Et_3B_027580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GDTCGPFTESAGTALPTFAGASDATFCLASSSAGHDSAPMTAASAASGGGVFFLQGEAPAPAPAAPPAGSATSAAATALAPTLFGSSIANTNATNQHKKTSAPNALLNS >Et_6A_046706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19670421:19672736:-1 gene:Et_6A_046706 transcript:Et_6A_046706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCRSPSPRGITELIHGHIYCEWEKHIKDVTSYRNFNDSRGQCTVLKIEECGGKKKIESSLRRPFDESIVLWHLATEFCFFNHVDPGMEETYHCRGISNYMVYLLLMNPEMLMPGARRRIFWVAYDQLKGLLNEEPTEDGSELTEKIKIPLRGREDITRKIIQKVKKSTNGDLVREAWELAHELMDLGENDEGEKTWKVIHGVWVEMICFSASRCRGFLHAKSLGKGGEYLSYVWLLREYMGMETLAQRMQWTEFPVVGDLGAGAPKSTPPVTPQAQFSVSQSTSDRV >Et_4A_032752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13366438:13376158:1 gene:Et_4A_032752 transcript:Et_4A_032752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LKRTFKFGKDISIDGWSVPCSATQLSAISENFFKLCGSIFPFSAKPNLISKLVPVDVRSLRKAEQIGPQTIQRQKNRYLLRCSAVFTIIKRITALTNISNLGDPILIKENICWIQITVDEGMLCTFVKRNAQLNRYLCAVGQSVCKTGRAERTSSNKAPKEYTSDFSVSLLLRPYSGSGQLPLYIHNVSTNGSLHVLVDDGLVCTFVKVVQPASCSDANIQTLLPGEEWILGVVQKFMKISMSHLSSPHMRIGGTSPVAKPWLGWSLEMEPHSL >Et_9B_065724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9716152:9727954:-1 gene:Et_9B_065724 transcript:Et_9B_065724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIIGPLVGRLQEIAIGEARALVAVNDDIPEAPGQAHAFLREADTRRRAVSDEITKVWMQQTRDAVFDAEDALDHYHLQVHMSRDDVHESNVVIYVEEQKQLEEWITTNNEHNPVMISVYGQSGVGKTTLRKEFHRAIESFAPYLTATNILQQIAQQITEDDVNCPWYEAQKMLNDALEDKKYLLVIDGEGSRIIHITQGKPEEPPSRYHHVRIKLEKVKGDKTMELFCNRLPKELQNKNFKEYHDDICEITQGLPLAVVLLSGLVQTKEFPSEWRKVFEYLKSKQSKRLDNMLLVCFDDLPHELKCCFLYFAALPTNTTIEARNLVCMWVAEGFLRPKGGKSMEKIDKYAILANPLPKLRSIFSQFEQEPNKEVQDEMSKRKKAYIFYSPYQRAISKDKKNIKSHIKELFHGSEFLRVINLQGIEIGERLTNAIGNVVHLQYLGITSCSLKTIPSSIGRLSCLQTLDVRETNVRDLPRTLWMIKTLRHVFGFVLKLPKQIGNLKQLHTLDSINLEVSEQPLDGTLGEMIHLEYLSVWHISHGNMEALSCAIKNLESLRTLVLQGDIIPSSVFTTFSLRRLKYMLLNGDLPCSYELDKDVLCLPNLVMLSLVRTNVTQEFITKLAELPSLSTLALDRGSYKEKKLAFSTFRFPRLRKIKIDVQELERVEVEFSMLPALKNWKSSLITLNCAQLLLSEEDALDDQPETDLIMMYAFL >Et_1B_012445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32043526:32049578:1 gene:Et_1B_012445 transcript:Et_1B_012445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGVPPADETLRRGRILSSRLYFDAPGSKVPVVYSPDYDITVNGLEKQHPFDSSKWGRVCNFLVEAGLLQKDRVVEPLEASEDDLLVVHSETYLNSLKSSEKVARIIEVPIVALLPISLVQQKLLYPFRKQVGGSILSAKLAMERGWAINIGGGFHHCSAEEGGGFCAYADISLCIRFAFVRLNISRVMIIDLDAHQGNGHEKDFGSDGKVYILDMYNPGIYPFDYAARQYIDQKVELNSGIKTEDYLENLDKALKVAETRFQPQLIVYNAGIDILAGDPLGQLKVSPEGVARRDEKVFRFAKEQNVPLLMLTSGGYMKSSARVIADSIINLSRKNLIELGKFMIQIKEWWWEWNDGCLDRTESDLDATSLHQSYGSSKRKVLSLTPFLYAF >Et_7A_050319.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:25030518:25030778:1 gene:Et_7A_050319 transcript:Et_7A_050319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWMSRKRFQVENIVSSAVLWSIWKLRNDFCFQNAIWKSPCVILSKVAGLLMLWKPLVPFDQLNTLDMYIG >Et_1A_008006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39992383:39997554:-1 gene:Et_1A_008006 transcript:Et_1A_008006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPDIPPLMDMMILMEVAVVRAPFRSLPCAAMASSALAPSRTFHGRSLSSSAPPHCSRETCTPCCLPAVTRRRAAAQLLSAGFLTAISPPPPSLAARRGRKIVPPEDYVSAPDGLKYYDLVEGKGPTAEKGSTVQVHFDCVYRGITAVSSRESKLLAGNRSIAQPYAFIVGSLPGKERKRDFADNANGLYSAQAAPKPPAAMYTITEGMKVGGKRRVIVPPELGYGKRGMSEIPPDSTFEMDIELLEHDARTKPYITGNLQAVEFNNARDRLEATKEVSNLPLCEERSYYLHVTHSDVVVRENGLPAVLASLPLGRPRQELVSYHTRCIPSSSPGRH >Et_3B_027904.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25925259:25925450:1 gene:Et_3B_027904 transcript:Et_3B_027904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWHNWRNTPFIQPCGVGGLPIVSSNWSHLIITLSYLAKNKVSMDHSMCKHVTPIGSSRLLNG >Et_1A_005671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12640008:12648743:1 gene:Et_1A_005671 transcript:Et_1A_005671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLRRLRGFGHHHPKERKGHHPPPAKLDELVCAAQEVEDMRNCYDGLISAAAATTNSVYEFSEALEEMGSCFLAKTALNGDDDDSGRVLMMLGKAQFELQKFVDTYEMKQQCDMKRESYEAMRASYIEKGRSKHSKIESYSSEQLQNSLTEYQEDAALFIFRLKSLRQGQFHSLLTQATRHHAAQLSFFRRGLKCLEALEPHVKAISEKQHIDYQFDGLEDDESDNDDYSSEEDNCSDDGELSFDYEINDRDQDFLASRGSMDLDRRNVATSPQALKDSKQEEEVKQAKADVAPLLKPDIGPYSAPLFAGNLPDPSERFWQMKPASAKHSYKLPTPVDDKNPGSSHRSHHSQQFESKPRLAANLWHSSPLTKDFKPSGHVKAQSSTEGISTFSHSSSDYKKMKRESWSGPIPSKAGLSKPSSLNENRSPIAHPHVMSAKLHGHSRQSSSVSPKVSPKILPHPTISPKISELHELPRPPSNVESLKPSGLVGYSGPLVSKRQTHTPTLPARVSPTTSQTASPLPRPPATLTRSYSIPSNSQRIPIITVNRLLESRQSRDGSDISSPPLTPLSLADLSHQHQQKRQFLELKGIERNSCYQN >Et_1B_009641.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10125248:10125814:-1 gene:Et_1B_009641 transcript:Et_1B_009641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGGGGALGRVAAVLAPLGRVQQHGLGHLGPQPGRRLHVVVELVADGELELTAARAAAGGDVDQRRLAPHALGGLRGRGADVHGVRAGRAGQPARGAREVELRVAAAQAQRRDVVRHGGGLGGVEAAEPDADLGAGLPDLRHPLAPLPPPHAAVLRPARRRFFRLLLVVVVVVVVFH >Et_5A_041619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24997840:25002763:-1 gene:Et_5A_041619 transcript:Et_5A_041619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSISPPGALKQAVVRRPSPGNSLKDLCLFSKQGSVAEVESALALLKRSGGSIDGRNAFGLSALHLATWRNHLPIVRRLLDAGADPDARDGESGWSSLHRALHFGHLCVAGVLLQFGASLTLEDTKGRTPIDLISCPVSQVNGDSPDAVTSEVFSWGSGTNYQLGTGNAHIQKLPCKVDALHGSSIKTVAASKFHSVAVSSDGELYTWGFGRGGRLGHPDIHSGQSTAVITPRQVTIGLGRKRVNVVAAAKHHTVIATDAGELFTWGSNREGQLGYPSVDTQSTPRRVSSLKLRIVAVAAANKHSAAVAETGEVFTWGCNKEGQLGYGTSNSASNCIPRMVEYLKGKVYTGISAAKYHTIVLGVGGEVFTWGHRLVTPRRVVIARCLKKGGNTNLKFHRMERLQVTSIAAGMMHSTALTADGALFYWVSSDPDLKCQQIFSMCGRNVVSISAGKYWTALATSTGDVFMWDAKKRKDETPVFTRIHGVKRATSVCVGETHMLVLSSIYHPEYPPKPKVKAKKSMSEWNGGMEEMDEDILFDDVRPESGVSGSSGTMSKGVPSLKSLCEKVAIEYLLEPRNAIQLLEVADSLEAKELKKHCEDIAIRNLDYIFTVAAPSVVHASSEILANLERLLDEKSSEPWSQRRLPTMTATYPVVIDSDGEEDELGEFRRHRNCGKSASRSYGMSSSENFLQKDCNAEQAISKQIRAVRKKLQQIEILEAKQLAGYQLDNQQLAKLESRAALESELAELGVPSEAYSRASSVCSAESRTNRKPEVSKKQKRKNKLAAQSDITPVKSEVQQQISMKELPEVLPANVSAEKEQEVSAADPIKHSEDAATFSNTKDISYPVKKPSQPTSSKKKNRKGGLSLFLSGALDDTPKPSLPAPVVPVTPKHEGPAWGGAKITKGPASLRDIQSEQRKTNEPIPAKAKDRFDNSPDSAVRVRLSSFIPDARSSPIAVMPARVVPSEGERSTPPWSSSATSPNLSRPSLRDIQMQQEKRHHGISHSPKTRTSGFTIPSQSASPDAGVKDNGPNRWFKPESDAPSSIRSIQIEEQAMKDFKRFYSSVRIVKPQVQ >Et_10A_001961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1531362:1543891:-1 gene:Et_10A_001961 transcript:Et_10A_001961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDVAMWHDWWWGGLFSAQYMPAGLYSARVVRTHRSTSVFRALLTVTAAINWQTGWLTHANEIAPALHRQLVDLTASSIFFPGCGMVAVEVAYAVVSVLAVVTMAYLLRMCSRRAAPATAASTPAVARPREEDVDVEAGLDEAELKALPKVVYGEETAEEEAGKKTTATKTATCAVCLGEYAGGDVLRVLPECAHAFHQLCVDRWLRLRPTCPVCRSPPAVPSPVATPLAAPAQP >Et_3B_031325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2633291:2633778:1 gene:Et_3B_031325 transcript:Et_3B_031325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRQATTAAAAGAGRWRGATAWWWLAAVVLGHLLSCARAGLLETNPGLAYNFYAKTCPNAESIVRSVTRQMVAGNPALPARLLRLHFHDCFVNFFCSPLVVSSARRPPEPGPAGAPPLAPAVSPSSSRNIASLTASIHGIS >Et_3B_030553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4982818:4987507:1 gene:Et_3B_030553 transcript:Et_3B_030553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LAPTMENLPNGSVNDAENNQEDEKSKDTNDPEKVPDIFIYREDVVSLKSKVDARGLVLEVAGEYDSEGSITDDDEGDSTDTEEHERKNAHEAENGGTDGDDASNRAEVDSQSSLPDDKVRVLWIDGSEKTEDIDEVVVVDRSFLHGDLVASASDATGQMGLVVDVNLVVDLLGANGYMLKGVSSKDLKRIREFNVGDYVVSGPWLGRVDEVLDNVNVLFDDGALCKVSRADPTRLRPASGPMHPDTACPFYPGQRVKAVSSSVFKPSRWFSGLWKASRLEGTVTKVETAAVIVYWIASAHYATDQQPVPLEEQNPKDLTLLSCFTHVNWQLTDWCLPSRLTSPCADDASTESSGLKELNSDEHTTEQDQRTDTEMNHRQTDSDPHADGLSMSDGDNSSVAIESESGSSVSNIPKEGSQDNVAHRKKFRKVFLKKDKRTKRRDDSFERALLIANTYTKVDVIWQDGTKECGVNSTSLIPIHSPNDHEFFPEQYVVDKVSDDVDDSSEPKRVGLVRSVNAKDRTASVSWFKPSLHPEEPKEIQCNEIVSAYELDGHPDYDYCYGDVVVRLPSASVSSENKMELDKNVDSSEGLAASEVSPPDVSAREEFLQKESGAIFTSLSWAGNIVGFQDGEIEVIWGDGSMSKVGPHEIYVVGREDDGVSLDDGTASDGASWETVDDNEMDFVDDSAQNNSQNAPENNIERENGSFSSQDGSSVATGPLSVAFGFMTRLASELFARGKRHLDGSSSDVMDEVESHQSNEVSEPGDDTDKMEENNMETPDYTAATTDGSPADKSIDADTADNPADLECFKHFDILQCPPDHHYLENTAQGTGGRKWVKKVQQEWSILEKNLPDYIYVRVFEDRMDLIRAVIIGASGTPYQDGLFFFDFHLPPEYPQVPPHFEDFVKSHFRKRGPYILKACEAYLQGNVVGTLSDDACTTDRSKEHSSSVGFKLALAKILPRLITALKDTGANCDQYEHLGKTETVQES >Et_2A_015315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10944954:10951673:-1 gene:Et_2A_015315 transcript:Et_2A_015315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARRSFSFVPLLLLLMLAGSSTSATAASVTIPRSRSGSDAAGNATAKLRPGKELLRYKRITALLKKLNKPSVKTIQSPDGDLIDCVPSNLQPAFDHPMLKGQKPLDPPERPKNYTVADDVVMQAWHATGEECPEGSVPIRRTTEKDLLRASSLRRYGRKPARRGVRRDSTSNGHEHAVGYVNSEQYYGAKASVNVWSPRIGDPSEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDSNPRFFTYWTTDAYQETGCYNHNCRGFVQTTNKIAIGAAVTPKSVYNGRQFDITLMLWKDPKHGHWWLEMGSGLVIGYWPSYLFTHLARHANMVQFGGEVVNTRPSGSHTGTQMGSGHFPSEGFDRAAYFRNLQVVDWDNNLIPSANLKLLADHPGCYGIQGGSNAYWGSYFYYGGPGRNRGEVDLVLGLERDNPPVLLVPELEEHVHVVVEVLAFTRELVDPEQSPGSEHAVPVEEHEVEQLQVDPALEEARRVDQVHGVVEERQALAHGGVERDEAAQRDGLRERRAQVERRGDHGDAALAHPRREAARAHAHVQPHAHLAGLHGQHVVHGEVGEGGDS >Et_4B_036191.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9380069:9381082:1 gene:Et_4B_036191 transcript:Et_4B_036191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLWLRPLGGPERWVQEPGKLFVVRVQRPAGVVPDAPHGGGVERLVLEPVKLEYLVVGEVERALHAAPAPRGLVARVLSPARLAPDEVRDQRPPVVAEPRRPLAPHLLVPVDDVHPVPVQVVRPLEERLVPPPLALRHEQVHERRLVVGLVRLAVGQRRGEDLPVRVAHRAPRAHARPRVEQVQRPRAVPDDEAAGVDTEPAPGAVHLGVPAVEHQVVARVPAPRRLEQHVREHGVGVRPPEELRLRVREHQRAQQRQLRPEPGHLRVQLRVAVEDVEAVHAAVVRLVLERAEEQVVAAARPRPRPPAPARRGSGDHQHPRPPRCNEGRETRVVA >Et_3B_031592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5634814:5639240:1 gene:Et_3B_031592 transcript:Et_3B_031592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNPRVTSSRRKCRKAHFQAPSSVRRVLMSAALSTELRHKYNVRSVPIRKDDEVQVVRGTYKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVIVTKLKLDKDRKALLDRKARGRAADKAKGKFTADDVAAAAGGMRTEYKILNGNKDIRPLSNE >Et_10A_001837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:908518:911709:1 gene:Et_10A_001837 transcript:Et_10A_001837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAGGRRRKGRPGMASRSRPPSPLGADLVRLSTGEVIARGVSPSEVQRDGELSLGDYVVSEQWLGQVVEVFLDVDVLFDDGAVCRVTQARTNLRTLDNDLSRGVFYLGQRVVASSSSVFEENFVFKTARWLKGRGYSRNNEEGTVAKVVVSGVRVYWLASSHLGTERTPLFQASAPPAYQHNSQNLTFYSCSRDASLMHQVWFPGQRCVFRDHLNRRSSTSSIEDDPNKGVEEQRRQAAESLCVANTRTTVDVLWQDGTRQYGVPSTSLFRLLVWNEHEFVPGQRVVRQDRDDGHHLGIVQSFNFKDQTARVSWFPASKEEEAEETLSAYHLDWCSDHHLSYGSVVVHLRPTDSSPAGEDGKEEAQRKKDDLSWVGKIVDLCDGQYIHVKWGDGNTSKVLLHEIAVVKPQSIEEMLHEIREDGPAPVAVARIMGWANAVTQSMIRLAGNVIALGKRYLLSDFDGHAMEDAAALAPESDIGGDGSAQQGKTEADAIGGDDLSRFPHFDIQQCPLDHHYHNNMVEQGTGGGTKWIKRVHNEWKILEDNLPDTIYVRAFEDRMDLLRAVMVGASGTPYHDGLFFFDLQLPPSSYPDSPPLVNYRSFGLRPNPNLYESGTVCLSLLNTFGGHGTELWSPESSTLLQVLVSIQGLVLTAPYYNEAGYESQVGTPQGRRNELPYSENAYLLNLRTMLHLLRRPPMGFEAFIREHFRRRGQHVLRACETYLTDGCTVGTLDGEARPTELSMERPWSAGFKLALGNVIPRLHEAFKEIGADGCE >Et_3A_023540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33486563:33486922:-1 gene:Et_3A_023540 transcript:Et_3A_023540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASALVMEGFHDAETCREGLALASGLALASDLGARVFRMATDCANVVRSIHGQDMRFIASSIFSSLGRHVWFLAPSEGVCNSYSV >Et_6A_047034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24465772:24471523:1 gene:Et_6A_047034 transcript:Et_6A_047034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLTIPTAAAAVAALTTLLSASTIQSAATADVEHTFVVSQMNMTHLCNEMSVTVVNGQLPGPVIEVTEGDSVAVHIVNLSPYNITIHWHGVRQWRNCWNDGVPMITQRPILPNQNFTYRFDVTGQEGTLWWHAHEAFLRATLHGAVIIRPRGWPDSYPFPKPDDEVPIIIAEWWEKNLSGVDRNMTNGFFDDYSSASTINGKLGDLFNCSGK >Et_3B_029803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28038142:28040722:-1 gene:Et_3B_029803 transcript:Et_3B_029803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQINGKTVKAQIWDTAGQERYLGALLVYDITKKQTFDNVQRWLRELRDHADANIVVMMVGNKSDLNHLRSVPEEDGEAFSEKEGLSFLETSALEAVNVEKAFHTVLSEIHQTVSKKALAAQESASANGRSMQGTTINVAESSTTTKGSCCSS >Et_8B_060328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9688275:9688684:-1 gene:Et_8B_060328 transcript:Et_8B_060328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMAVKAPAHPSLEEVELEAAKYRDASVIVVDESNKAFVERALELTRQIDEYRYDCWARTR >Et_4A_035951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9315965:9318577:1 gene:Et_4A_035951 transcript:Et_4A_035951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRSCNGDQCNVAGSRRRKRLPPAPLLLIALLLLQQAFVPASGQEEELDGVPASDGVVIAQADLQGLQAIRQSLVDPRGFLRSWNGTGLDACSGAWAGVRCALGKVVAIQLPFKGLAGALSDKVAQLTALRKLSLHDNAIGGQVPAALGFLRDLRGVYLHNNRFAGAVPPALGALALLQTLDLSGNFLSGAVPPALANATRLYRINLAYNNLTGVVPSGLTSLPFLMSLDLAHNNLSGELPPTIGNLWMLRDLSLGNNQISGSIPDGIGNLSKLQRLDLSYNLLGGSIPVSLCNLTSLVELNLDGNDFGGPIPESIGGLKNLTKLSLRRNVLDGEIPATVGNLSALTLFDVSENNLTGEIPQSLSALPNLTSFNVSYNKLSGPVPVLLSNKFNSTSFIGNLQLCGFNGSAICTSASSPLTSPSPPLPLSERPTRKLNKKELIFAICGILLLFSLLFCCVFIFWRKDKSESSSPKKGAKDATAKAAGKPGSGGGSGADAGGDGGGKLVHFDGPLSFTADDLLCATAEILGKSTYGTVYKATMEDGSYVAVKRLREKIAKNQKEFEMEVNALGKIRHPNLLPLRAYYVGPKGEKLLVFDYMPKGNLASFLHARAPDSSPVDWPTRMNIAVGIARGLHHLHTDANIVHGNLTSSNILLDEDNNAKIADGGLSRLMSAAANTSVIAAAGALGYRAPELSKQKKANTKTDIYSLGVLMLELLTGKSPGDTTNGLDLPQWVASVVEEEWTNEVFDLELMKDAAAGSETGEELVKTLKLALHCVDPSPPARPEAQQVLRQLEQIKPSIAVSAASSFTTGEPSQTTATGTSFTDDTKSTITE >Et_3B_028572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16968690:16970901:-1 gene:Et_3B_028572 transcript:Et_3B_028572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGVVDEKHRDYPGKMTVFVFLACLVASSGGLIFGYDIGISGGVTSMDPFLKQFFPSVYAKEQEVVETNQYCKFDSVLLTLFTSSLYLAALVASLFAGHITKACGRKASMLSGGCIFFLGAALNAGAINVAMLIIGRIMLGIGVGFTNQSVPLYLSEMAPAKMRGMLNISFQLMITIGILSANLINYFTAKIAGGWGWRVGLGLAAVPAAIMIGGSMFLPDTPNSLVARGKDDEARAMLRRIRGTRDVGLEFDDLVAASEATRAVESPWRTLMQRRYRPQLAMAFLIPVLQQLTGINVVMFYAPVLFKTIGFGGTASLVSAVITGLVNMVATFVSIATVDRLGRRKLLLQGGTQMIIAQFVLGTLIAIKFGTSGVADISRPYAIGVVICICVFVSAFAWSWGPLGWLIPSEIFPLEIRSAAQSAVVVFNMTFTFIIAQVFLMLLCRLKFGLFYFFGAWEVVMTVFVYFFLPETKGIPIEEMDTIWANHWYWKRFVQDDNRKVEMTSTAV >Et_1B_011848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26534521:26543075:1 gene:Et_1B_011848 transcript:Et_1B_011848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVHWPWLKKVKRIVGRRLRSGSLSAQDALRLCEEALSSIQRQPPPPEASAVLNVPCRQGADRWRLERFISKCYRSGDLSPEDALDLFDELLPQANQGSVYALTQLLTTIARAPASSSIRDGPAVAVSLFSRMARAGASKVAPTPQTYTIIIGCCCQVGRLDLGFAAFGQILKTGWQVDAVTFNHLLKALCAVKRTSEAMDIVLQRMEQLGCTPDVFSYSILLKGLCDENKNQEALELLHMMAEDRDSCQPDVASYNTVIDGFFKQGQVGKAYSLFCEMLDHGVVPNVVTCNSIIDGLCKAQEIDKAEGVLRQMLDKGVRPNCTTYNSLLHGYCSLGRSKQLIRMLKEMTRVGQRLDVVTYTTIMDHLCKNGRCAEAREIFDSLVQEGPKPDVTAYNILLHGYATKGDFTQMNHLVDLMVQNGIPPDQHVFNILMNAYSKRGMVDKAMLVFNEMRREGLMPDIVNYRTVIDGLCRAGRLDDAMFQFSQMIHEEVPPCIYVFTSLIHGFSNCDKREQAEVLYNEMLDRGIRPTVPVFNTMMSNLCKEGRVMEAQNLFNLMACAGAKPTIVSYNISIRGYCLAGKMDEVTKLLDDMVSIGLKPNDTILNAMLSIGLKPDFVTSNILIKGYCKDGQATPWTWCSDGCRSSAAPPNIFSYNILLKGLCDENKSQEALEVLPLMAEGGGNCRPDVVSYSTVINGFFKQGQVEEAYSIFREMLDHGIFPDVVTCTSMIDGLCKARALDEAEGILHQMIDIGIVPNCTTYNSLLHGYCSLGRSKQVIRMLEEMKRVGQRPNVVTYTMIIDHLCKNGRCTKARQIFDSMFEGPKPDATTFGSLLHMYAVKGDFIEMNHLVDLMGQNGIPPNHQVFNILINAYGKHGMVDEAILEGLMPDIVNYGTVIYGLCKEGRLNDAMFQFNQMIDEGVPPDIYVFTTLIHGFSTCGKWEQAEVLFYEMLDRGIHPNVTMFSAMMHNLCKEGRVMEAQNLFNLMARAGVKPNVVSYTILIHGYCLAGKMDEVTKLLDAMVSIGLKPNDVTWKTVIDGMLSIGLEPDIDTCNTLIKDYCKDGRIEDMLGLFREILSKRVKTE >Et_7B_055037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6664131:6666473:-1 gene:Et_7B_055037 transcript:Et_7B_055037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPVGARRRASERRRGAAAGGDPAVRKGPWSADEDAVLREHVRTHGPRDWSSIRSKGLLPRTGKSCRLRWVNKLRPNLKSGCKFSAEEERVVLDLQEQFGNKWARIATYLPGRTDNDVKNFWSTRQKKIARLLRSPLPARSGKNRRAKAAVASSLESQVSRLTLGPFLDQVPFEGSSSSGQCRAATSFMDAQNAALVPYDQTSSQLLGFEGARLLRMPSPGQSGKNGSSKAAGASSLEPRLTLGPFLDQVPFEGSSSGGQYRAATSFMDAQNSALVPYDQTGSQLLGFEGALLPAVPATDGQACSSDARPLPDMTENDIKNFGGTRQKRPAGRLLRTPFPVPSSRNRSVKAEATSSPQPQPAVGPFLDLVPFEGSSSSRQDHAGTPLLDAENSVPVSYDQTGSQLFSFAGAQPPVPLATDSQTSSSNAASLFPPQMPFYQPQYPLLDFPGMLGSGDTTPWFLNADAMDDLANQELLPLLQSAPVMFPFFGMHDGNRIEHRHAASYFGDLPPDMFDSDDQQPPASSPSRTISDP >Et_8A_058128.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:2078503:2079627:1 gene:Et_8A_058128 transcript:Et_8A_058128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAPPPPWAELTANTLSEIASHLHEAADFVRFRAVCKPWSEAPRASPSFLPYLVTAGASRFDEPGAQLRSPFSTKTRYLPRFQQTLAALGGKIIEGSGDIAGGRILAVGGCDRTAVLIDPLTGDATSLPPLPRNFFPVNGSWMGTSGVVTNNGNVLFHTGVCHTFAGVKTVLLRPGEEAGWEEVNTTGFVDGLRVLDNPTLRAAALCASADVLPGDTARAMADLPQVATGIFRWPHVVEFQGELLFIEVGIRHEKAPTLMSCAVNALEVGDDGRPRWVEREHGRGVEHLCFFLNWGDNSGFAIDAREFSGAKVAGGCAYFIDNHPTASRDVVCQYNFKDGATTVVDELPAPFDRTSVWFTPRPRISVARSCTA >Et_2B_019071.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29567256:29567705:-1 gene:Et_2B_019071 transcript:Et_2B_019071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLALAKLLIAALGTLTSYASVLLFKGTATLVVILLRLIQLPGCAVSFLLCAVRAGTVKIACAACAAAIEAMALAMNGAASMLKAFVWSNSATVQSAVEVSKGRPEVLLNAAAELLEYAWVVAKNTVISSASSFFDAVRYVIKHARA >Et_4A_034758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6183992:6188931:-1 gene:Et_4A_034758 transcript:Et_4A_034758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHAEVFDETEEEAEVAVMASAALSSPLNGVPVAAPRLVVGYALTKKKVKSFLQPKLLLLARKNGISFVPIDESLPLSEQGPFDIILHKITTKEWQQILEDYQEEHPEVTVLDPPSAIKHLNNRQSMLEEIADLNLSNFYGEVFTPRQLVIMKDPSAIPTAVAMAGLTLPLVAKPLVVDGTSKAHELYLAYDEASLSMLDPPLVLQEFINHGGILFKVYIIGETIQVVRRFSLPDVNTYELLNNVGVYRLPRVSCAAASADDADLDPLIAELPPRPLLEKLGRELRGRLGLRLFNIDMIRELGTKDRYYIIDINYFPGFGKMPGYEHIFTDFLLGLAQSKYKRHNPEA >Et_1A_005012.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22079426:22079485:-1 gene:Et_1A_005012 transcript:Et_1A_005012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLRFWATNSFIGPFLR >Et_2A_016199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2258614:2266204:1 gene:Et_2A_016199 transcript:Et_2A_016199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWISESDDGDQFEWSSDSDGEAAGSPRGTGSLATASRNVDAPGPSTLDLHGRVGSTASLVEHFVAMGMGFTREMVLKAIKENGSGDEGSLFDLLLTYKAIRNDPSVNNCSASGRVLHVHGDEDDILENGDSDNIGGSSNRIPDSDDSNDEEFLKEMSHDEKVKSLVGMGFSEAIVRMAVTRCGHAASMCVLVDWIHASGIAGDGCRSSFSDHEDNSYGGRQKIRQIEEHVKKRKRFRCVARRSSEPVDSSHDDPMPLPKPMTGFNLPDGRSRSVRRCLVSQAIGPPFFYYENVALAPKGVWAEMSRFLYDIEPEFADSKSPLHPLPPRTISEAFPSSKKFWPPWDTRKQFNCLQTCVASAKLTEGTRCTLANSEGLSLRDQKDVLVQCRRWNLLWVGKNKVAPLEPNEMEMLLGFPKDHTRGISRTERYRSLGNSFQVDTVAYHLSVLKDMFPHGINVLSLFSGIGGAEVALHKLGIHMKTVVSVEKADANRAVLRSWWDQTQTGTLIEIADVQSLTSEKIESFIGAIGGFDLIIGGSPCNNLTGSNRHHRDGLEGEQSALFYDYLSHLLSIFADCGASKEALSLAENYVKPSEKQLEKPYRRGP >Et_9B_065195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2224070:2231020:-1 gene:Et_9B_065195 transcript:Et_9B_065195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLILPFKVGDLAESKTFQEGYRGAWFRCKINAMCVTESGHYECYLEYIDYPGEDQEWVRLFQKNPPCSKQQSREQIMIRPSFPQWHWKDQVPEQLPNNDIIATVDETWKIGDLVDWFSDGCYWSGKITKLFNEEMFEVELLKPPMGEGKFYAANRNDLRPTLNWCLSGGWTVPLAQNLIRVTQSEDKSDDGDDGGDGDNQDVQLPVSSSETSQEASGSPIPNPSAARSMSRLNAQKGSILTAMLDLRPSTSSNPPAPRQGAQLAVTSNKPGARIVEQEAVLANSEQGFSPPEREAGDVRDQDLRKMDKAKARVRKLVASLRVSEDASMKTA >Et_5A_041525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2412650:2415039:1 gene:Et_5A_041525 transcript:Et_5A_041525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNGSNKNTSALRAKPAASRLRAMEMPSARRTTRAKPIGDHVVLWGACALLSSLSLLVAAVSSGFGAATRLTGEVSLTALVRATTTATPTGAAAAIVRGYCGDDGDGDLGSMSVDGEWVRDDAEHYPLYQPGQCPFVDPGFRCMENGRPDGEYARWRWRPRRCTLPRFNATKLLETLRNRRLVFVGDSIGRNQWESMLCMLYPAVSGDDKGGAVYEENGNPITKHEGFLSFRFRDHNCTVEHYRSPYLVRRGHPPRRAPKRVASTVQVTAMDPRAPRWKDADILVFNSGHWWNQERLRQQGCYFQDGKKVRMNMSVEDAYQRAMNTLQKWIQKEVNATKTLVVLRTYSPAHIRATNSGASCATETSPELNTSRISLNQWPGMLNPALEASSRVQVLNVTLMTAQRRDGHPSVYNVAPSLRLPVGQRADCSHWCLPGVPDAWNELLYAMIVKRGAVGDALANHPPPGSSPAAAAVQVSGQELFLVAVALPGPGQGHALFLVAAALPVPGSGHALILVAVALPVAGPGQALVLVAAAMPVPGPGRGQALILVAVELKKGYSPAAANRMHGVVWFAPTTRRLLSRVEFASTSPILVRRSELGSGLSTRPLRPGKRSR >Et_1B_009762.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25205850:25207109:-1 gene:Et_1B_009762 transcript:Et_1B_009762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASHPFVYIDAAGLHSLLPFPSLISHLRAGLAHPELSTGIHCPHRVSFPLPTAPSAALLLMPSWCAHPSLPYLALKAVTSFPSNSPRLPSVHAAVSLFSSATGRRSHPSRDPRSRSSAPPPSPRSPPPSSPPPPARRPRSPSRAPARSRPTSRRPTSPRCRPSPASSSGTAPGPSPRRSSRGSGRRTRGSPSRRWTAWTRPSPRRTS >Et_9B_065913.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17337394:17338863:1 gene:Et_9B_065913 transcript:Et_9B_065913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGRGSSDMQDYLGFVQFQDPYLCGGSAGGAHLPLQHQFLHHHQQGFLHASERFAAGKDPVPEFAAEASEQELAACKEASEGGDDAVEEWSGASAARDEEEARSVRMLALLMECAVAVSVGNLGDAIGMLLELSQMASPYAPSCGERLVAYFARAMAARLMSSWVGVSSPAAAPPWEWERVHAAFRAFYNVAPLARSAHLACNQAVLEAFHGKRLAHIVDLDVVAGGALQWLSLLPALAARPGGPPVLRVTGFGASASVLRDTGNQLAALASKLGVPFEFHAVARRPGDAAVNANAALDVPGKRHGETVAVHWLRHAMYDAAGDDDGAVTRLVRWLEPKVLTLVEPDQRGGSGAGHFLDRFVSALHHYSALFDAMGASRPADDDASRHLVEHGVLGREIANVLAVGGPSRSGREKVGCWQTELSRHGFLRAAGGGGGGRAQMVAGACPAGLGYTVADDHDGTLRLGWKGTPLYTVSTWTWCPSPHAQR >Et_5A_042529.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:18717599:18717994:-1 gene:Et_5A_042529 transcript:Et_5A_042529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLPSSKHSMRASSPAALFIVLLLSVVASLAPLAEAIGVNYGTKRDNLPPPATVVSYLANRTRINRVKLFDTNPDIVRAFAGTGISLVVTAGKRRRPDPRHQRRRRGVSGRQRGAQQLPNDRHLASRRG >Et_3A_024352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:199148:208153:1 gene:Et_3A_024352 transcript:Et_3A_024352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASVATKPSPPPLPTLGRRALLHLRKCNRTGKLRVLTIKATHSGRSDVSVQTPAVDEVSVTGAAYSFRGATTSLTNKNLTSSKKITLVRHGLSSWNAESRVQGSSNLSVLTETGVKQAEKCRDALANMNFDVCFSSPISRAKSTAEIIWQGKEEPLVFLDSLKEAHLFFLEGMTNADAKKQYPELYTKWREDPSNFHVNGIYPVKELWGTARQAWEEILLTPGENFLVVTHKSILRALICTALGFAPSMIPLPQWVLEEMDKFPDLSYTDRYQQRNKEYISLGCDILPVLKGRSPMQAYSDFMRSFRNTFEDYLGAIEVQVGMGPGGELRYPSCPTEKLNQPGSPSELGEFQCYDKFMQASLSACAQILGMQEWGNGGPTVTGGSNKNIEETSFFRDGGCWDTPYGRFFLEWYSGMLLLHGERLCMVADAVFSGTGVTISGKVAGIHWHYYTSSHPSELTAGYYNTLLRDGYLPIAQMFARYKAALCCSCFDLRDAERTDSESSPEGTLRQLVGAAKMCNLPLNGENSVTRLDDTSLNQVVRSSRLYSGRTSGTSFSFNYVRMNKSLFEFHNWSRFTKFVRQMSDARTFLARLDFRRGQRYLSSMSVVWVVSRACAYT >Et_3A_026372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7373934:7377204:-1 gene:Et_3A_026372 transcript:Et_3A_026372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTPAAAAARNRALTEQRFSELSPALSAEVVEALDRGGFHWCTPVQEATIPLLLSHKDVAVDAATGSGKTLAFVVPVVEILRRLSSPPRPHEVLAVIISPTRELSTQIYNVAKPFFATLKGVSSILLVGGTDIKEELRKIEGEGANILVGTPGKLVDIMEQVDTLGFKNLEILILDEADRLLDLGFQNQITSIISKLPKLRRTGLFSATQTEAVDELAKAGLRNPVRVGVKAESKLTSKDAGHSEHGPSKTPVGLRLEYMICEASNKSSQLVDFLVQNNGKKIMVYFATCACVDYWAVVLPLLNSLKGSPIIPYHGKMKQGPREKALASFSALSSGILVCTDVAARGLDIPHVDLIVQYDPPQDPNVFVHRAGRTARYDQEGDAIVFLLPKEDAYVEFLKRRGIPLTERECPTDTEDIVPQIRTAALEDRNVMEKGLRAFVSFIRAYKEHHCSYIFQWKDLEIGRVAMEYGLLQIPSMPEVKHHSLSLEGFVPVDGVDVTQIKYKDKAREKQRKKVLKRKAEEEAQNPKPEKRRAPEKPEKPKRKKTGKQRQSVQTKEDLDELTHEYRLLKKLKRGEIDEDEYEKLTGFVETDGEGSLDGDDSELDERKERGNKAQKKLKQRGKGRGGSRKFEGKNKMSKRR >Et_2B_021246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27905269:27906305:1 gene:Et_2B_021246 transcript:Et_2B_021246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSASSFKKYADASPQPSAGDMESAGGDSAMNMLLDKFFEDVEAVKGDMKDLEGMYKRLQSANEESKSAHDARAVKAIRSRMDADVEQVLRKAKAVKGKLEALDRSNVTSRKVPGCGPGSSADRTRTSVVAGLGKKLKDLMDEFQGLRSRMAAEYKETVARRYYTVTGEKPEDSTIENLISSGESESFLQKAIQDQGRGQVMDTISEIQERHDAVKDIERSLMDLHQVFLDMAALVEAQGHQLNDIESHVSHASSFVRRGTVELEMAREHQKSSRKWMCIAVLTGAVLIAVLVLPVLVNLRILTLPTR >Et_6A_047931.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:24450249:24450536:1 gene:Et_6A_047931 transcript:Et_6A_047931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDALVVADAPPGRYYMVALPNQSPEPEPQHPVFMTRGTVRYNNSNNNNNNNHSHGNGNDGDVTVSPEMPDQHDAMSSFYFHGNQTSLRHRPVPA >Et_5A_041190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19185851:19190292:-1 gene:Et_5A_041190 transcript:Et_5A_041190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCPPPLGLGSRLRSFLRDYDALQSLALALIYLQIGCALIGSLGALFNGVLVINLVIGLFAVVAIESSSQRLGRTYAALLFFAVVLDVAWFILFSHAIWTITPDEKYGQLFVFSLRLALWMQIIGFSMYRLGASSSTPIYFEANHEARNSFLSPRSDSIRRSSMADDILGGSIYDPSYYSSLFEDVRNNACNHQGDKQSGSNDSGSTSAGQSPRLKSFSSRSLLANDVENGLRRPLIS >Et_5A_041540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24011025:24029661:1 gene:Et_5A_041540 transcript:Et_5A_041540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSEGSKPADAKSVKSRPVAAPTGRFALGTASSNKKRADSGASSELGVSRSSLTKSTTSVNAGSVQRRSSTGSAGKHQDNGGSDGANAKKANPTLSDGLKKSKLVSASTASSRPSLEKRTSLTSERTKADLTKKPAVKAAPASTLKKVQSKTESSNGSSGSARRVASNTSLHSPRSTSVSSSVTKKLGSQASSADRGSVSSRRRTTADSRDSRFMMLPQVDLKASDELRLDSRGHRVRSLKQLRLTHVLEFVYLRDNILSSLEGIEILKGVKVLDLSFNDFKLPGFEALGNCKVLQQLYLAGNQITSLASLPELPNLEFLSVAQNRLKSLCMACQPRLQVLAASRNKISTLKGFPHLPSLEHLRVEENPLLEMPHLEAASILLIGPTLKKFNDRDLNPNEAEVAKQYPAHTAICIRDGWEFCSPELAADSTFSFLLEEWKKKLPQDYTVQKAYVDQPFEEDPCHCHFSFTNLGSESELVVKYQWFLGGQTPTDFVPIPGAISEVYWPKREDVGRCLKVECTPILNDAEFSPIFAVSSPVSPGTGCPKVISLAVNGELVEGNVLTGVPEIAWCGATPGNGVSRRRWNDNAVVIDGADGMEYQLTVDDINSSLVFMYIPVTNDGVKGEPQCTMTDFVKAAPPSVSDVLVLGDVVEDNIIKGKGKYFGGKEGLSKLQWFREKENSEFLPVLSNSMEYTLTKDDVGRHIKFVYTPINLEGEEGEPACAMTDVVKKAPPKVFNLKIVGEIREGSKVSASATVTGGTEGSSRVQWYKASSSEFKSEHELEALSTSKVSKTFRIPLGAVGHYIVVKFTPVAPDGEIGEPAYAISDNVVETLPPSLNFLTVTGEFCEGQILTASYGYIGGHEGNSLYSWYLHENEDDEGTPVSEASSLLQYRVAKEAIGKFVSFKCTPIRDDGMVGEPRVFIGKDRVIPGIPTLLSLELTGEAIEGTTLVANRRYWGGEEGETIFCWILVSSDGTQEEIEGTRSSSYTLKCDDIGFYISVWCKPVRIDGVQGSSVSTEMIGPIIPGPPTCLSLELAGSMVEGGCLTFHAEYTGGVRGNCIQEWFRLYGDGSKDKLTADECLDLNLADVDCRIELMYTPVREDGVQGPPRRVISDTIVPGEPKGLNLMLPECFEDKEISPIRTYFGGKEGTSKYTWFQKKEKLDNLEYDLVSASSEVVAETLEYKPSLDDVGSYLILYWVPTRSDGKAGVPLMAITDDAVMAAFPSVSDVRLEQKSSDVYYGLGTYYGGYEGSSLYRWYRESSDGTRLLIDGADSVNYEVTDADYSCRLLFGYTPVRSDGIVGEEKLSEPSGVILPELLEIETLLFMGNQVERETLTAVEQIPNNEIQQHIWKNYKKEMKYQWFVSTGSGVDQSFEPLATQCSRSYKVRFEDIGRCVKCECFVIDVFGRSSEVVSAVTAPILPGVPKISKLEIEGRGFHTNLYAVRGSYSGGKEGKSKIQWLRSMVGSPDLISIPGEIGRMYEANVDDVGYRLVAIYTPVRDDGVEGQPISVSTEPIAVEPEIYREVKQKLDDGSVKFEVLCDKDRTPKKAQVMGHLERRILEVNRRRIKVVKPGSKTSFPTTEVRGTYAPPFHVELYRNDQHRFKIVVDGDNEVDLMVQTRHMRDVIILVIRGLAQKTFLVPVITRTSVAQVLREAMAELVIGPLVSKVKEKASSYLLDQYRVMEGMEEERKIMERKLSAILDIIQDAEEKGAFRPGVRAWLKDLKTVSYEANDVFDEFKYEALRREAQKKGHYNMKTLRRFPARNPIVFRYRMSKKLQKIVQTIEVLVAEINTFGFRHLQQAPPSKQWRKTDPVMDDSDEGIVRRSREEEKEKIMKILLDEASSKDLTVLPIFGMGGLGKTTFVNSSTMTLWCCVSDDFDTVSIASSLCQTNISEKSHEKALQDLQSMISGKRYLIVLDDVWHPVDDTWGKLKTCLKHGGKGSVVLTTTHNTEIARRMTVGLAEAFKIENLRKEHLKEIIEIRAFSLQKANDEVDGNVDQIIDRCAGSPLAAKALGSMLSTKTSMDEWKDILA >Et_1B_013771.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:1592153:1592464:-1 gene:Et_1B_013771 transcript:Et_1B_013771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEFTAAQLRAYDGRDPSKPIYLAVRGKVYDVTSGRSFYGPGGSYAMFAGREASRALGKMSKDEADISGDLSGLTEKELGVLADWETKFQAKYPVVGRLVDA >Et_1B_010863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14853069:14854446:-1 gene:Et_1B_010863 transcript:Et_1B_010863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIAGVMYDVVEPAVAKAKLALLRVRAQPGEHVGAPGQLVPPPVRDARAHLPQLPRQRRAHGVPLPRHGRPRHRALPAPEDLHGLRVRAPLRGRPRRGDVVRRLHADALALHLRGALRRGLLQAREPPHRRDLADHGLVPSGRRVEVGAAHLDQLRRVAVPGVRPRHVQEQQEPPLLVRVELADVDGCGAAVSLDAALVPAGLDRPDAHAGVHARDVHAQVLHGHREEPADALRERVLDRRLVLDEGERPARGLGDGVHRRRVVVGAEPERVDRRGPPADAGVREGRRHERVVVGGAAAPRRRREKEMTQRRSRGPRLSMTNPMACFSSASLVPTMLPLTSSTVTRSSGARGAAA >Et_4B_039213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8851520:8854188:-1 gene:Et_4B_039213 transcript:Et_4B_039213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSGRPSTDEEMVEQVLMKPHGRSDDSLPTCADSACPGEPNSAPVPPKKWQRLGRNFAGAIAAFKNTLNLDGGGLPRDPSPRAEKPPPLLLRGLAQLYSRGNGNQQLPEKLVADLRRHFDALPNSYAQAGFDMKDVLLHARLVEQATGEDQPAVSIEEVHGSNGRGGGDEGTVFQLTFACVAPLSWQSMSGSLDSPLFSCKKIQIFEKRGLTLGVVMILVQSGNETLFKNRVESVLKTLVKKQRKNSGGVKLPFGLCGCQEEGSRNFDEESMFDPDDGQVLDNEPTRRPQLPTPLPQSSVFVSVDEWQTIRSGGEELGRWMVRSEEIEFIDWVGANSFKGVYKGKKVWVNKLRGCDMGSAYDVEIRQDLLQLMSCGQRNILQFHGICFNDNHGLCIVTRMMEGGSVYDIIMQRNKRLSLRDTIRIALDVADGLAFMNSYGIAYRDLNSRKILLDRQGNACLGDMGIVTPCNNVGEVTEYETSGYRWLAPEIIAGDPETVSETWMSNVYSYGMVLWEMVTGEEAYSTYSPVQAAVGIAACGLRPEIPRDCPHVLRSLMNRCWDNSPLKRPQFSEIISILQRQN >Et_4A_033582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24713118:24715171:-1 gene:Et_4A_033582 transcript:Et_4A_033582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGSLTADQLSFFETNGYLVLESFSSEEERQLKDDYFFKSAENISFFFEENAFGDDGCLKQPKELSINKVGHALHELDPVFKKFSFSESVASLFSSLGYKRPAVMQSMYIFKQPGIGGEVVPHQDSTFLYTEPPTCTGLWLALQDATINNGCLWAIPGSHKKGLKRRMIRDENGTHFDLPSPSYDQKDFVPLEVKSGALVVIHGDLIHQSFENHSPESRHAFSLHIVDTEGCEWSKDNWSVHDATS >Et_1A_006165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18689332:18692771:1 gene:Et_1A_006165 transcript:Et_1A_006165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASPVEYAGQISSGQNHLACFGVPSNSRLRCVGYEKKSRTGQLVVRAVSVDRQQLDFSNPDWKKQFQKDFDERFSLPHLRDVIDVEPRPTTFSLKSRTPLENVNGAMKESWNGYVNDDDRALLKVIKFASPTSAGADCIDPDCSWIEQWVHRAGPRKQIYFEPQYVKAGIVTCGGLCPGLNDVIRQIVLTLEKYGVKNIVGIQHGFRGFFEHHLSEVPLSRHVVQNINLAGGSFLGVSRGGANISDIVDSIQARRLDMLFVLGGNGTHAGANAIHEECRKRKLQVSIVCVPKTIDNDILLMDKTFGFDTAVEAAQRAINSAYIEAHSAFHGIGLVKLMGRSSGFITMHASLSSGQVDICLIPEVPFTLDGLHGVLRHLEHLIETKGFALICVAEGAGQEHLQKSNATDASGNMVLSDIGVHLQQKIKSHFKEIGVHSDVKYIDPTYMVRAVRANASDAILCTVLGQNAVHGAFAGFSGITTGVCNTHNVYLPIPEVIKSTRFVDPNSRMWHRCLTSTGQPDFH >Et_1A_008521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8349565:8355383:-1 gene:Et_1A_008521 transcript:Et_1A_008521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTHHQQQKRRRATHISRATMAARAAVLALALALCAAAAAVAHGASTVDAVAAAQQAADRVAGLPGQPPVGFAQYAGYVTVNVTHGRALFYWFFEATADADKKPLVLWLNGGTSSLSPLLHSTSPARVFVHWVRRSGGAGALLGAEGQAGAEMEQLLLEHRHANLMFLESPVGVGFSYTNTSSDLLQLGDKITADDAYVFLLNWFKRFPQYKAHDFYIAGESYAGHYVPQLSEKIFDGNKAGPKENYINFKGLMVGNALMDDETDQKGMIDYAWDHAVISDRVYANVKASCNFSMVNVTDACDSALNDYFAVYRLIDMYSLYTPVCTDAGYSSGHRQVAVHGAAPKIFSKYRGWLMRPAGYDPCTAEYAEIYFNRPDVQTALHANVTRLGYNWTHCSNAISKWNDADFSTLPIIRKLVAGGLRVWVFSGDTDGRIPVTATRLTLTKLGLKTVQDWTPWYDNLQVGGWTIVYEGLTFVTIRGAGHEVPMHAPRQALTLFKNFLAGTKMPPTAYPQ >Et_2A_016328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23413757:23416484:1 gene:Et_2A_016328 transcript:Et_2A_016328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSASTSGEWLTGALRELRERKEGALELDTDLISGLVSFCELAPTPDAADYLTNIIGEEAAQDLIQEYLRKRGYIDPSNGSERSQAPNLQPYMKPSAVASTTQTKKQTRTQKDTASSSSQSSKGQSETDESRLASKRGSKKKGVKAISLAEAAKGSIVFKQGKPCSCQARQHNLVSNCLSCGKIVCEQEGEGPCSFCGALVLKEGSSYAGLSDVGIPLSESEAAAEAYAKRLVDYDRNSAARTKVYDDQSDYYEMEGNSWLSSKEKSNIKKQQEEAQEAAQKQKGKVVVTFDLVGRKVILNKDGATEMESEHPILRPSEDQSHRIQPNPTIREQPVFVETGPVKPKTDRAKQSKRLGKNGLCLEVTGRVQHDDKDLQRIQSGKMKEGDHLAYSSFGQPREGDDFECSQDFD >Et_3B_031107.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16107171:16107563:1 gene:Et_3B_031107 transcript:Et_3B_031107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPTHGTAPADQSKQPGFLTRPLTPKQATIALVCSFLVLACITFLLVFFLFVRDPRGAIVFGVKVTNVRGLDPMNGPVIRPSFDLDLGVNNRGIFRDCWKNITITVFYSDKVMGWGSVPDFCADRGASA >Et_1A_006624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25352558:25355226:1 gene:Et_1A_006624 transcript:Et_1A_006624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNEKVLGKCGRNITSLKRKRDSPAANHAGAGRTSELHQSPAEASAVRFHVDQDRKAQIVCHLNRQILQGYQNFMSSMPPKRVLLRQGGDWKDFPEKIVKLAQVDFRARKTITETGYQNQLFLLDFVHMTFTDSKTGLQKPIAWVDENGKGYFPETFIQDQQLFRKKDIGNGAHEYISVEPNGSREINGQLPSESSAESSNFDSSTEDVSSPKRVRAEKSTTGRNYGDVMEAIGENEPCTLLPTGFNLPPLQDKLGELSRAQRTSEAVEKLLLQGMGGVIGPKDIIGIYRTPILDDRGEVRYSLFRKQVQATGCHRGNANVRYAWLACSKSTVQEMMLGGSLQVSKPIKFPDYGNGTLLAPSNRADTCVNYSDIDENGIVHMMLCRVIMGNVEIVHPGSKQDRPSNDYFDSGVDDLKNPQHYIVWDMNLNSHIYSEFVITFKLPSKTKDSTVTQEDCYNSSDVSLVMSSSSPDCTSKEMNIQASPASGGPCAAPMLGDSMDKAPSTPWMPFSMLFAAISTKVSPDNMDLVISCYEQFKSKKISRAELVKRLRDIVGDRVLISTIMRLQDKVPPVGRHEASAKMVTKP >Et_5A_041645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25427851:25432218:1 gene:Et_5A_041645 transcript:Et_5A_041645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSLASRDPYDEEAAVRRPLELESRDAAVSASSSSDYLPGSVMPRHQVSSTKSDTSNKYYDEWRQPSTDDARKSKSGSRYFTAFGVDLSPDNMAVAIVYFVQGVLGLARLATAVVSGFSSLPWLIKPLYGFISDSIPLFGYKRRSYLILSGFLGALSWSFMATVVNSKYSAAFSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDAYGVRFVFGVTAFLPLMTSAVAVLVNEHRVPSGEHNILLSGSGFVESSKQHVRQLWVSVKQPNIFLPTLFIFLWQATPQSDSAMFFFITNKLGFTPEFLGRVKLVTSIASLLGVGLYNYFLKEVPLRKIFLVTTIIGSALGMTQVLLVTGLNRQFGISDEWFSIGDSLIITVLGQASFMPVLVLAAKLCPPGMEATLFATLMSISNAGSVTGGLIGAGLTRVFGVTRDTFGNLPILIVVCNLSSLLPLPLLGLLPGDSGDSENEETKHN >Et_5B_045102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9589350:9595136:1 gene:Et_5B_045102 transcript:Et_5B_045102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTVDNAFRRISSGSSSRGSSWNDSRTLADYNIQKESTLHLVLRLRGGGRGGYPTMRVSWELINLARKSNETKMICRKCYARLPPRATNCRKKKCGHSNELRPKKKLIFVAAAFPPLPIKRRPRPLGHHTIRLYAAHHHHLRPPAGRRERERARGQGTGGAMQIFVKTLTGKTITLEVESSDTIDTVKAKIQDKEGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGGRGGYPKYVPWDLINLARKTNEWKLVCRHCYARLPTRATNCRKKKCGHSNEVRKKKWFINSKTMA >Et_1A_004737.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35685825:35686798:-1 gene:Et_1A_004737 transcript:Et_1A_004737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAPGGDRDDDGAAHQAQEKRIVLVEPAAAPSSASPRELVLACADRLHHGDVDGARRHVDALLSDAVDPRGDAAERLAHHFARALARRVADEDVAPAAAPSPSAYLAYNQIAPFLRFAHLTANQAILEAAFAGGGAGARRVHIVDLDAAHGVQWPPLLQAIAERADPPEVRITGAGADRDVLRRTGDRLRAFAASLNLPFRFRPLHLPCPTTTQPLAAADLELELHPDETLAVNCVLFLHRLGGGDEVADFLSWVKSLN >Et_1A_005337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5561079:5561715:1 gene:Et_1A_005337 transcript:Et_1A_005337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVFVLEKICIMWESILPRSTYRKSMCHVLGSVFSRITRDMLLIDDMAAEDYRQLQGPIHLALENLSLMFLSLVENDHDSEKFLDHDTWIQLDKSVPSLKEVPEVSSLADMSLKSITAAWESGELVSCGFT >Et_2A_015442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1356860:1360197:1 gene:Et_2A_015442 transcript:Et_2A_015442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASKAAAATASLDLAAAPALSRRHRLNSSARPSRCPAAAPRALRMQGRDRRSAVVVAAAAAAAPAKAGAEEVVLQPIREISGVVKLPGSKSLSNRILLLSALAEGTTVVDNLLNSEDVHYMLGALKTLGLSVEADKEAKRAVVVGCGGKFPVEKDAKDEVQLFLGNAGTAMRPLTAAVTAAGGNATYVLDGVPRMRERPIGDLVVGLKQLGADVDCYLGTDCPPVRVKGMGGLPGGKVKLSGSISSQYLSSLLMAAPLALGDVEIEIIDKLISIPYVEMTLRLMERFGVKAEHSDSWDRFYIKGGQKYKSPKNAYVEGDASSASYFLAGAAITGGTVTVEGCGTTSLQGDVKFAEVLEMMGAKVTWTETSVTVTGPPRQPFGRKHLKAIDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMVAIRTELTKLGASVEEGPDYCIITPPEKLNVTAIDTYDDHRMAMAFSLAACADVPVTIRDPGCTRKTFPDYFDVLSTFVKN >Et_9B_063855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17817144:17817764:-1 gene:Et_9B_063855 transcript:Et_9B_063855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSDEFLNSATTTCFHTHSCNPPSTSAAAHTHTCLHTHTQVCAGGGEDDDAREDSTRPRKPLGNREAVRKYREKKKAHAAFLEEEVKKLRATNQQLLRRLQSHAALEAEVVRLRSLLLDVRSKIDAETSAFPFPNQCSVGSVVGADQALCFNGNSEVGGGTWDESCRPAAVDCGIEAVHSMDTVAGFVTSD >Et_4A_035092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9415249:9416689:1 gene:Et_4A_035092 transcript:Et_4A_035092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RVTGLIPDPLHPSAGKRLVREPVHLQDLVIPKIEGTVHLLDASLDGVVPTLRTRLATDKWPPVITKPWLVILNHLLIPIDQDPPVAVEVVSIFKVHLIAPFLALRDEDVHHGRLIIGLEHRPILQELGQHLASLITDRALWPHRRPGVEHVKRPLAVAQQEAAGVEPNPILVAVDLIVPAVHDEVVGAVSLPGKLEHHVGEHGVGVHPPEELDLRVREEQGADERELGPEAGHLGVEQRHVVEDVDVVDAAVVDLVLDGLDEVVVADRVLAGLGRGTRDEQHSGLDFVEEGRRLRVAAVPGCALLVPVGDLGAERGGSLSDLGREPGSSSLPPAPADEPVDDDVVVVVAAEEDLDDLASWCSASARCGWVTKRWCSSMRSCCGVPKRPAPTKPRSTTTVRSRQMTVSLAFRANRWISRIRCSPITLSAAHISPLRSTGSRSPPPTKPAEPTKCKAGTRQNPAGGEQCCGYGVAS >Et_4A_033337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21589433:21608204:-1 gene:Et_4A_033337 transcript:Et_4A_033337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSPAPPAPTPLQTLVDACRIVFTDTTNAPTDDAIAFVVVFYLPRAVAMPLHDHPDVTVISKLLLRISHIEAYDWVSPRVNAAGSGSAMLAKKVTDQHVTVPSGESVKIRDYIHRFMAVQDGPCACLNVFVPLNSPTEQHCSAFYQDFLYQFPPRSSSPSPSPLAGLHTEHHTAQQHGSSKEQEWQQHLWQGRMEEQPHDRVARARGGGV >Et_8A_056985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19929162:19938529:1 gene:Et_8A_056985 transcript:Et_8A_056985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSGATEETQRVEEESSTLRILVATDCHLGYLEKDEVRRFDSFDTFEEICSLAAENKVDFILLGGDLFHENKPSCSTLVKTTEILRRYCLNDRPVQSGQVNYEDPNYNIGLPVFTIHGNHDDPTGVDNMSAIDILSASNFLNYFGKMDLGSSGVGKITVSPILIKKAETSVALYGLGNIRDGRLNQMLHEPHAVNWMQPESRDDMPLYDWFNILVLHQNRTKGNPNNGISEHLLPRFLDLVIWGHEHECFIEPQEVPGMGFHITQPGSTIATSLIGAQAKPKHVLLLEIKDRQYRTFKIPLKSVRPFEYAEVVLEDQADVDPSDEATVYAHLHEVVSKLIVKSRETAASGSDTKLPLVRIKVNYSGISTTNSKQFGQKYVGKVANPQDILYFSKSWKRCQRMQETAENSGELGPSELNVQNIEALIEESNLKMQVLSVHDLNRSLHEFVEQGDKMAFHFCMENKLTTYTVDSISIAGKQIEDEHDQCVQAQGKETISMGRASSHLLTGTLSAFEELKSSSSVEPWPLDEPDDDDIVQCSVRNPRENPVLCSSDATDPEESGVLRAGRKRRATSDGGASATGRRKTDLSSFQRAPAKEEDADAAKKNRPSIWSIWPGRVIDGQPSRCARFGRARRTKRADGGWNAAAFRRSALTIHTCLFCYLVTLELGLDLFEFGFLSLEDFHQSCHLVRIQENGQYRKFEVVKSCDAGPYMYLDPKGDELPVTFLEKLGKGAALPHLVQDDDAQCGGCEGQQQQQHVGELLAGVGQHVAL >Et_7A_052984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:446837:449092:1 gene:Et_7A_052984 transcript:Et_7A_052984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREWLLEAAGLLMPRMDQLLFDADGCSSDAVRAATGPGHAAALRRLRPRAPPQPHPLRRREHLPLYRRRARSRPPGGAGRGLRVVPRAPGRTGPAAAVIPPTTSTTPPLAPEETNMVMRTFTFALADISAIKQHVLGTACTIRGRHGVVRELGLPAGYYGKACVFPAVVAAAGALIRGSLGDAVDLKRKESKHFGGAWVSREN >Et_2A_016828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28708768:28709791:1 gene:Et_2A_016828 transcript:Et_2A_016828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLLVTHRIFCTLLETRRIAITREIQSRGSVQKIVKLLLKASVQRLLHALDEPMDKISKQESKETVRMTIMEHDKIFRQQVHELHRLYHVQKSLMAELGCQNHNFQSRTEEMHEMVQGSRPNLGNSPSTSLATQSAHLGSIQYPDHQQVTEHLDQQEFKPVTYLSLFSEEKSRTEEAFHIERPAGRQKSVEGESWSASMNSDLDLKLSIGPSSHATKASHWLFSSSRERNPSGQHR >Et_4B_036025.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:14203148:14204029:1 gene:Et_4B_036025 transcript:Et_4B_036025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRTQHGSSVELDVFRATRYFAGHAHCATEATETADRLNQAKAVLDGQRMAESFRGHVEQLRVHDPRHDQELLPAKPAGKSLLASFFGFMVSPSPGATSFRKNLSLPTSRSAAAGGDQAKVSSSTTSSLERTDDLIASAVQSQGCGYGLDLGVATGDRRLQGVRVARGSGGDDEKWVVKCTSSWFQEEQREGMFGAASIQEGGEDGNSPGNWESDSSSDLFDLDIECLDNLE >Et_2B_022936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8851117:8851848:-1 gene:Et_2B_022936 transcript:Et_2B_022936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCYGLTETTGIVTMCDLPSDRNEDGLDGSSPSSSSLSIGRLAPCAEARIVDVESGEALPPNRTGELWLRGASVMQGYLRNEDANAAALVRVAGGRWLRTGDLCYVESRGFLHVVDRLKELIKYKAYQVAPAELEDVLAAHPGIQDAAVAPAFLALNGRDRYPDEEAGEIPVVCVVRKPESHHLQAQDVLSFVQHKVAPNKMIRK >Et_3B_031277.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:2429660:2430061:1 gene:Et_3B_031277 transcript:Et_3B_031277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVSVPAILLLLAVLSFLFLVHGLYGLIELFGSPDLSPEATLLIFAFCFLVLAAAAAAGNRRLLLPREAADDDVHVIGGQALPTTAEEAAVGGEPQLADEEEVAAAARRMDLQTQDYPGSGANSRHDPRNPH >Et_6B_049595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:780119:786621:-1 gene:Et_6B_049595 transcript:Et_6B_049595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVVGIMALLLLQLASSYPSVVLADAMLGRKAGIINEPAENAPAGPGRYAVIFDAGSTGSRLHVFRFDRKMDLVEIGDKIEIFAKVKPGLSSYAGRPQEAANSLIPLLKKAKSVVPPEQMEKTPLRLGATAGLRLIGDEKTEKILDAVRDLVRTKSKFPYNPDWINVLEGSQEGSYLWVALNYLLDKLGGDYSQTVGVVDLGGGSVQMAYAISANAAAKAPVVPDGKDPYVTREYLKGKQYNVYAYSYLHYGAFAARIEILKAKNGQFSSCMLRGFSGNYTYNGEQYDATAAPEGAVYEKCREEIINALNLNAPCKTKSCTLNGVWNGGGGAGQDNLYLASSFYFLATQVGIIDRKFPSAKATPAAFRIAAKKVCHLSVKEAKVAYPDYSSTELPYLCMDLTYQYTLLVDGFGLKSIKIITLVSKVKHGEYYVDAAWPLAAMARLVALGAAVLLLLHLASADDMLLGRKGRIAIDEPAEKENAAYGSSAGRYAVIFDAGSTGSRVHVFKFDKKMDLVEIGDDIEFFEKVKPGLSSYAGRPQEAADSILPLLEKAKDIVPLRLQKTTPLKLGATAGLRLIGDEKAEQILEAVRDLVHAKSKFQYNPKWITVLEGSQEGSYLWLLALWRFSCSCRDPEGKERYNGEEYDATATPEGAAYDKCREEIISILNLNAPCETKNCSFNGVWNGGGGAGQDDLYLASFFYDKAAQFGFIDSEAPSAKSTPAAYKVAADKVCSLSAQEAKAAYPNVLDVPYICMDLLYQYTLLVDGFGLEPTREVTLVTRVKHGEYYIEAAWPLGTAIEAVAPKKMHQGA >Et_1A_007182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31745449:31748408:-1 gene:Et_1A_007182 transcript:Et_1A_007182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDSIRTAMGIIGNGTALVLFLSPVPTFWRIWKKRSVEQYSPMPYVATLLNCMMWVLYGLPLVHPHSMLVITINGTGTAIELTYITLFLIFSAGATRRKVLLLLAGEVAFVAGVAALVLTLAHTHERRSMIVGVLSVIFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLFNGICWTAYALIRFDPYITIPNGLGVLLAVAQLILYAMYYKSTKRILQERKRKQADHLAMTDVVVDGKHADNKNNNVPDGGRY >Et_3A_023019.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:18110744:18112165:1 gene:Et_3A_023019 transcript:Et_3A_023019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARNLRANTGKRVGVVPGVEVGDIFYYRMELCVIGLHAPNMAGIDYMTAKFGYEEDSVAVCIVAAGGYDNIDDDTDVLIYSGSGGNDRNSEEMRDQKLERGNLALERSLSRKNVIRVVRGYKDPGHSSGKVYMYDGLYRIHESRTEKTKTGITCFKYKLLREPGQPDGAAIWKMTQQWVKNPTTRGSVLHPDLSSGKENLPVVLVNDVDSEKRPGHFTYATEVEYLKPLSSVKQLQGYSCLSVCVTGSTNCGCAQHNGGNLPYSSSGLLVCRKPMVYECGESCQCSFNCHNRVTQKEVMIHFEVFKTGNRGWGLRSWDPIRAGSFICEYVGEVIDDAKFLNDNEDGYLFQTLCPGEKTLKWNHGPELIGGKSINISADTFEPLPIKISAKKMGNISRFMNHSCAPNVLWQPVQFHHGDGHHPHIMFFALKHIPPMTELTYDYGDIGASSSGVHSPRAKNCLCGSSNCRGIFI >Et_8B_059991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4887157:4889939:1 gene:Et_8B_059991 transcript:Et_8B_059991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPSPEAPTSGSDQDISLSLPPEVLTEILARLPAKSVGRFRCVSRAWCAILSSDHFVDLHARRANRRGHPRLLTPVGSSYDGDVYSWRPGGTVERLMPDCFGDGIAVPLTKPCRGLVLIRSTDYGGYFVCNPSTGAVLSLPDSKVPMKMIWRPTMSEAEPLPFFLDVSYGLGYCKLRKEYKVVRFFCHTEGEDSVVTSASCEVFVLDKPAYWRPAAEQAPLCSVEERDPAVFLSGHLHFLCSDGGITTFNITDETFGSLPPPPGFENVGTMLTELDGYLCMCYLELENTDCYHVSVLKDYKEARWEKLFCIDQSALSESQCMLLESLWVAPLGIYYSDNGEKIMFGTGSCEVLAVDAGGCGPQILLMPDETIIGSCEDDNIPALGLYEESLMSVGRTIEEMIISSPTSKAWSDILKWLPTHSVLELSLVCREWRAMIMTDHFIRSHVIHSNLNKSPRIMFIMDPRFGLYMDVEQCIAAHGPTLISTLACSQPCHGLNAGSCSFWNFICNPAIGYCEHIEFEDHDGTFFAGRIGLGYDVELNRHVVVHIIYKIKNMETRCYELQCKMRYVNDQKWHPIDPPPRPVADMPPTFVNGKIYWMVEPALGPITPACEIIAFDVKTDEFEVLQGPSCTHESGHMAISRLQDVLCVACSDRSANTLDIWMMKDSGIWSMEHHIGLEEFSPDYLSENTTPLAIDPKDGRILLNTGFSLGYYDPKKAALETIYTESRQDYGMKFCPIICEESLICPLGPRSRNFPGAQEMFF >Et_1A_005668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12605365:12608615:1 gene:Et_1A_005668 transcript:Et_1A_005668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAGDPAGAAAAAAAAAAATPSGRAPRLPRWTRQEILVLIEGKRMVEGRGGRGGRGRVAAAAAAAGGAGEAAALEPKWAAVAEYCRRHGVERGAVQCRKRWSNLAGDYKKIKEWERTAAAAREPSFWAMRNDARRERRLPGFFDREVYDILEGRGRAILGGRSGGNAAEEEEEAAPVEEEKEAGAAEPVFDSGRPTTEEALFSEEEEEEEEAPAPAPPPPPPPVTALPISEKPEASRQHQSAEQGTSKDKQPEQRTEKDALAQQGGQKRPRTDEDTGEGTTDLQSKLIEILDRNSRMVATQLEAQNLNCQLDREQRKDQANSLVLVLGRLADALGRIADKL >Et_1A_007880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39131534:39139500:1 gene:Et_1A_007880 transcript:Et_1A_007880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEADMDRVLERFDLLLDRDGEDGRGSSSPWSGRSRSAGSSAAAAAAGKEAADDDGAVKERVARALRIYKDAAAEDDELGVGGGVLVQVWAPARDGARRVVATRGQPFVLAPPQCHRLFQYRTVSLTHAFPVGGPGAAAPGERGMPGRVFDAGTPEWTPNVQYYGTGEYARISYALIYDIQAALALPILDPGTGACLAVLELVTTSPRLRFATEVDKLCKALQAVALRGSDIGCPVPQVCNDEAAELAMSEVSHILTTVCEAHKLPLAQAWVRCKRCSAGTEHAALTAAGAPFHLAAAADGDRVRGFREACVEQHLREGRGGLVEEAATARGPRFCADVTKYSMDAYPLAHHARFCGLAGCLAVCVEMRRDANEGGREECVLEFFLPPDCRDGAAQKAAVEAMAGTLAERFGNGDLKAIAICSLQDLAFEVVEDDECVLRPDPTIMADTPELELDDYGGYERDSEEADEHPAAAVGSADVEEPKTDNGEGEQKEGEDPKPRVGRRRKKKKIGKRKNEKTVSLEELQQYFSGRMKDAARSLGVCPTTMKRICRQHGISRWPFRQIAKANNSLDKVKRIFESVQYSPNPVAASASQQAPAPATAHHPPAALPCLSSAPGVASSQGSCQEPPPRRNTTIRKPFHAVNAGVVTIKASYRRDIVRFRVPSSAGVEAVKGEVAKRLNMETDAFDVKYLDDDNEWVLLSCDADFQECLEVVPALSTTSSSSGSGAAQPVVRLMVQEVADSHGSSFGDDGVPAIFRFRPSNVHPTPANGHTIESTPTKEKYAAALSPSLHPPPPLPPSPAHPAAPPRFAPGYALPSIKALQESLLFLLTGYSLPNPSLRVTLGRSKKARGFSDASAPAIPSASLACSDEDDAESEGAGPSSKFKLAAKDLQ >Et_6B_048416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19259053:19259439:1 gene:Et_6B_048416 transcript:Et_6B_048416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDREDMMPFQKYHDRKMIMEERYYKFDELSKQCFVVENYDNIFHHFNFTIKMSTGSTYWTSVLYFAEVKEIFGCKIYFCCPIEPEESGIQNVSSIVLGLYNIFKHQKFHFCSHL >Et_3A_023032.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:19592295:19592618:-1 gene:Et_3A_023032 transcript:Et_3A_023032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTIISEVLERNIGSNYESIAVCCLSRKKFVVVNICSAAALWALWKLRNKICFQNIEWKGMKFLLQKIIGLLQKWKLLCPVGYLEELERRITLLVEVAQRPERICAR >Et_3B_028880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2066699:2072236:1 gene:Et_3B_028880 transcript:Et_3B_028880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RLPAVKSKTTKAYGKKSTSYHHNYIFGRLQACSSNTLATQPSREDDDVQQGAALVTAPTRAPETVPLLDVESLPLETRCPPFPLRQHGGFWLPEITLQVGVPAVHARFTPRHTHRRPTTTRSGAANPHDIVRFLEVDFGRADSVDEQSDRLEALPSPRLLATHLPCRTRVRETCRVVCVCRDPKDALVSWWLFTFTYEEMLTDPQGNLKKLAKFMGREFSDEEEDQRRVVDAIVELCSLRHAEDHGCEPKWKSCQWRRKLSFFGKGIAGDWSNHRTQEMAQRLDKIDHDAQQQGAAPAKAPTRGGAPETMEPSLDVESLPLETRLQPFRLRQYGGFWLTEITARRGVPAFHARFAPRPSDVVLASFPKSGTTWLKALAFAALNRASHPPTSDEFAGDSHPLRRSNPHDIVRFLEVDFGRADSVEEQSDKLEALPSPRLLATHLPYSLLPERVRETCRIVSVCRDPKDALVSWWLFIKKAAPALGGDAAALTFQEAFDLFCDGRFIYGPQWRSVLEYREASVRRPDKVLFLRYEEMLRDPRGNLKKLAKFMGCDFSEEEEERGVVDAIVALCSLDTMKNMEVNKNGIRGSGKLPVVNESFFRKGVAGDWSNHMTPEMAQRLDKIVEDALQGSGFTFNHAA >Et_3B_029510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25643023:25646185:-1 gene:Et_3B_029510 transcript:Et_3B_029510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPEEGLGTEEEASDWAWSWGAGTDGQLGNGGFQDYHLPQLLLLPPCCRGRVSFVAGGGAHAIALTSDGEVFTWGRGTHGQLGHGNLDNIPQPKFVKFLENHRVTSVSAGWNHSGFATDSGQLFMCGDGSFGQLGTGDNHSRNLPFEVAYFNLRHVEKLAFGMRHSLVLLKDNSVYGFGSARRGQVGKCVSRSHKSYNVPRLIDGFPNCKLVNIYANGDHSAALNESGHLYIWGRAFIGEHDDDQPRAVLPSLCVSQVALGWHHALVLSGQLSTIGVYRHQKCDLPESGNAVVHQSKTSATSSTRDEASSLLALEKVPCIDGEHVVQIASGTEHSALVTDNGTIFTWGWGEHGQLGLGDTSDQVVPQRVNLGDKGPLSPGSLGIYCGSGFTIAVKSS >Et_10B_003245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18169004:18172071:1 gene:Et_10B_003245 transcript:Et_10B_003245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGGGRPAGRMARAAMNKKRQQTLNNIKLTLLCGFITVLVLRGTVGFNLLVNSGVSVGEAAADAKVAEDIERILREIRSDSELDDDVVVAVASSNSTANSNNATATTTPPPPPRERYQLGPAITDWDATRQQWMSRNPEFPSRDARGRPKILLVTGSPPGPCDNPAGDHYLLKAIKNKIDYCRLHGVEIVYNTAHLDPELTGYWSKIPLARRLMLAHPEVEWIWWVDSDAIFTDMAFELPLSRYDGKNLVVHGYPDLLEKRSWISLNAGIFLLRNCQWSLDLLDAWVQMGPKGPVRVETGKMLTANLTGRPPFDADDQSSLIHMLLTEREKWMDKVHIETEFYLHGFWTGLVDKYEEMMEKHHPGLGDHRWPFITHFVGCKTCGRYEDYPLDRCLRSMERAINFADNQVLRLYGFQHRSLESPKVRRVANRPENPLEAKEAALKLDASLFLGSRRLLGFLQVSKSLLLGLKWVRQPVDHPPDRRA >Et_2A_016221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22110535:22112909:-1 gene:Et_2A_016221 transcript:Et_2A_016221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPTWASFLGVVLATVLFLKAVLRPGRRAYNLPPGPKPWPIIGNLDLVGTLPHRSIHALSKKYGPLMQLQFGSFPVVVGSSVEMAKFFLKTHDVVFTDRPKTAAGKYTTYNYRDITWSPYGAYWRQARKMCLTELFSAKRLESYEYIRAEEVRALLRDLHVASATGGAVMLKDFLSTVSLNVITRMVLGKKYLDKEAAGAVTTPEEFKWMLDELFLLNGVLNIGDSIPWLDWMDLQGYIKRMKKLSKMFDRFLEHVVEEHNQRRLSEGKSFVAKDMVDVLLQIADDPTLEVELNRESVKAFTQDLIAGGTESSAVTVEWAISELLKKPEVIAKATEELDRVIGRGRWVTEKDIPNLPYVDAIVKETMRLHPVAPMLVPRLSREDTSVAGYDIPAGTRVLVSVWSIGRDPELWDAPEEFQPERFLGSHLDVKGQDYELLPFGSGRRMCPGYSLGLKVIQVSLANLLHGFEWKLPHGVTKEELSMEEIFGLSTPRKFPLEAVVEPKLPSHLYAEA >Et_1A_005613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1227953:1231547:-1 gene:Et_1A_005613 transcript:Et_1A_005613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPALSLSRSPSSSVSSSPLHRGRRSAVAVRRRGPSFTFKPAAGVCYASQAVELLPSLCPEIVVRDARLEDCWEVADTHCSSFFPDYKFPLDLVLRIDRYIALLSGFSVPLGCMRTCLVAVNSNSLNNSFSIECGDPRDAGFQKYNLSRGSVVGILTVDTVADYLPRRGPMKQRRTGIAYIANVAVRKEERRKGIAKMLVQEAEARARSWGCRTMALHCDVNNLAALRLYKNQGFKCIRVPEGAKWPEPKIAKGMQYNFMMKLVPKI >Et_5A_042080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6021033:6027477:1 gene:Et_5A_042080 transcript:Et_5A_042080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRPVPRVAVTSSPDRGCLDETQAMVVWASQRSYLQIGLDGIRMLEPNMSRTLRIYPLDSLTRWDVLDSNFFAFWAKTSVGIDAKRIRLKSNNYTSNTMLDSFKEIGGDARGKETVDAGKPLVQSNENKKGFDWMFAKPIDEVKDHWVPDEVAKKCQSCGVDFSAFNRRHHCGNCGDNDNKCSQGRIALTAEDNAALVRVCDTCLAEVTQRLTIAKEAVNRSATAPSQDLARKLKVIYDSLDAVNSYGFKLDKTQQEADNRLNLASACTMGSSTEKNKTQFFVEILDETLSVQKVLEDFSVDQLVDYSCKRIEISGKKLQGCNLLIDCGVSRDTTIEMRFGLRGGKGQRIADPDHLNTILAAMGDITSWWDPFLTCPELKRVWESDKNGQLSVEVVDAAYCLNSYAFLSESELYDEGTSYLAGMGQMTLPFEPLYKRCVLAPVWPIASPTGPRPYSPPNSTQPA >Et_1B_014011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27359508:27362085:1 gene:Et_1B_014011 transcript:Et_1B_014011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVHVVPQIHVRGEGDSLRAFVDVMQIHGLYDCSEIKLEMDLAPPPTLLRRLVPLHPAKDPTKEYCGSVRIGNESLYCSMESEVQWRADPGAPGHQFVKTLLAEDFTTGHQQDAFCTSCRVAFCSAVHSDHADHPSVEIILNSGQFFARIPATEKWIGNYAFVQEDGEGYRLLPVIGGDVSEMFLQSICYANTADASLSDDALASIFSRLPHTADAVRCAATCSRWARVVAARAADLSRSLPPLGRFVPELAVGVFHQEKDGPTARTRKRKRTASGAQPCFVALPAAGRRRPCIGSPFDGAGPRDDVTVLDFDHSRPVASRNGRLVLELRRDSRADDLVFCVLNPITGGDDAVVLPPLAGEDRPTDYGCAMLTSDDFHFHPRRGSTKFFFRLLLVYNLPRRTSTVFRCYSSDTGQWGEEVTSPVKVSSRELHHIGPSVVRRGVAFWPLDHGALGVRLAADDGLDRAADAHLLPYDVPDRWPEQRLLGVSPDDGRLFFMHFSVRAVLNILTAKISYFDIPGDDIQAGRKESSSLEQAVMMHQMEMTWRDVTGLKLRWVGEKSGVVLFTMQGRSSSSSRHDGAFALSLRDGTVEKVVPGVGNSWRNVDGLEMDSATYLASLAHGFEN >Et_1A_006391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21884529:21885616:1 gene:Et_1A_006391 transcript:Et_1A_006391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDEEQPLLRDHRLPPDLAVRSPGQCDTNSQPSPTHLGTGNWRACVLILGTELSECLAFAGIARNLVTYLTGVLHESNAGAAAAQSTWAGTCFLTPLVGAFLADSCWGRYKTIVVFLSVYTLGMITLALSASLPAVLSMATRRAVAFSGLYLVALGVGGIKPCVSPFGAEQFDDAEPGQRDAKASFFNWYYFCVNIGSMLASTVLVWVQDRVSWWLGFGIPAAVMTVALTIFVSNKRLYRIQDQKKDPGGCRRSPEARRRAARRRLLPSPAAG >Et_1B_014159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33276590:33279657:1 gene:Et_1B_014159 transcript:Et_1B_014159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVILSSAAPSTLVTPSPRAAQRRRQAPPPPPRSGGGLLRGGLARLSTALGLAHGGAGAALALPSYEETLRLSTDSGGGGDAFALPELSLDGLSVPDLNLDGLVEFVTENLVVVVASITAVALPVVLARVLGGGGAKPYSVVSARAAYQRLVEEPDVQLVDIRSLKDAREAGAPDLREAKKKAVAVPYNGEDKNGFLKKLALRFKDPENTKLVILDKFDGNSELVAELVTANGYKAAFAVKDGAEGSRGWVSSNLPWKTPAKGFSFDFGELFGDGADGLPVTVGLAAATGLGVLAYTEDRKKTVKQIEEFLNNKVAPQELVDEIKEIGQALLPLSSDAKSQPALATSSAATATATTAPAEATSRPLSPYTNASYPDLKPPSPPSPPSAEAEVEAKNSAPVAEASTESPPPSPKPLSPYANYPDLKPPSSPTPSAP >Et_3A_026691.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:14518423:14520045:1 gene:Et_3A_026691 transcript:Et_3A_026691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPRRLPAPALPSDAAADFAAANALLSILRGPDPAHLLAAGITPTPALLQHLRPALPALPESALPALARWAGAATTVSLLASRGLFAASWRFLLSSPSPAPPLAAFAPLLRRYARLGRATAALRAFRFLRRHPDRYTIDDEGDDSSSAAAASPLILTVDALCKEGHPRAAVQLVEQLRREDPDWAPDVRTYNVLLNGWSRKRRLDKVEKLWAAMRDAGVRPTVVSYGTLIDALCVMRRPDQAMGILEQMREEGIQANLLTCNPIVYALAQAGRFGDAHKVLEKFPLYGVAPNISTFNSLVLGYCKKGDLAEASGVLKAMLGRGISPTARTYNYFFMVFARNHNVELGMNLYNKMVNNGYEPDRFTYHLLIKLLCESNRLELTLQMIQEMRSSGFEPDLATSTMLIHLLCRRQQFEEAFAEFQHMFERGIVPQYITYRMLMKELKRLGLVELVQKLTDLMRSVPHSTKLPGSYRDKEGDDAIEKKRSILQKAQAVSDVLKECKDPKKLKLQSPEETDVEAADRIVTSIRRRVYGDGCSV >Et_7A_051578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20643815:20644606:1 gene:Et_7A_051578 transcript:Et_7A_051578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTYAEPLASRDGVFLMRLIPRSYKRMKDALIVCLCNSITRERHIAPPLECACLGRYMNGYAILESAGRSMLSQSQVLVTGYSPTHGGCRLHLHSYSTAMRTGCHDGDSFYLAGAEAAVVHRGLAHCLYSAQGITDRLFILCSEGTAVHMSYAKLPISIISSPTFLYISGDDRLSIARVYVTQMDVWTQQDRDDDGQVTWICTHVIQMPPRWFPYNKGSLLALYNNDGDGIFIFDIERKVMDKVMGYPQ >Et_5B_044748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5295474:5297776:-1 gene:Et_5B_044748 transcript:Et_5B_044748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLMEMDLDTEVELSPVAKAEAAIYSPYSTPSTAVLLQRRVVAWATETGSPATVRVRVGDRSFDLHKDPLVSKCKYCSHALLQSSDIELPASFPGGCEAFEVIALFCYGDAVALDPFNVAAVRCAAEFMDVTGLGARCDLYINQVVLQSWDDALIVLQRCQPLLPVAEELLIVSRCVESLAFMACMEILDPEQQRRELPGSSGAAASTLLVGRRWDAELVKELAARDLWIKDLIALPLEFFGRIVRALRRQGMKEKYVSPVVLFYANKWVLSEKTRKFWACADDDDDAVGGETDANRRAAAILQGVVELLPVEAAASNAVPVAFYFALLSRSLALELSEESRARLREQVASNLPFARVNDLPMPEQETKLSVADSREVREVESIVSSHVATQRRGVEAVAELWDRYLVQIAGDPKLRPERLAELIGVVPAGDRKNHDHLYEAINKFLVEHQGLSGDEKATLCGHLDCRKLSHELCIQAVQNDRMPLRLIVQALFVQQLHTHRAFTECSDSFRCMHSGELVPGAGAYTPSPGCPAIPTSQPLSSSSPYDSHAPRDASDYETASFRIQALEQEIMSLKQTLQRHNTLKGSSVRRDGGKEPSFRVAADAAAAPVAAGIRRRAAVSGSCIGSMRWGSQRRCASRILRVFARLAVFGRGKSRGKQSKGRAGTEQLNAGL >Et_4A_033308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21307187:21311872:1 gene:Et_4A_033308 transcript:Et_4A_033308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHVPVLTANHRPSTVISNATKPKAFSASFGSDRSKSRTFLPLLLPNPSPDPNHRRLTAMSAAERTDAASPAEDPRRVRNTCILAHVDHGKTTLADHLVASCGDGLLHPKLAGRLRFMDFLDEEQRRAITMKSAAVALRSRSGHRVSLIDSPGHIDFCSEVSSAARLSDSALILVDAVEGVHIQTHAALRQAFVERLRPCLVLNKLDRLITELNLTPAEAYAKLHRIVSDVNSIYSALRSQSYFSLLSALEDNPTSSSSSSTEDLPEDFEDDEDDEDAFQPLKGNVIFACALDGWGFRLQQFADLYAKKFNLNASAFLKGLWGPRYYDKETKRVVGKKAMKAADPQPMFVDFVLKALWKVYHVVLTEGGESKDVKAIIKNFNLEIPKRELQNKDPKVVLQSVMSRWLPLADAVMDMVVECTPDPIAAQAVRVARLMPEREVAQEDAECCPEVVVEAEKVRRCVEACDVSPEAPVVVYVSKMFAVPYKTLPLKGVNGELLNHNGAIESEECFMAFARVFSGVLRAGQKVFVLSPLYDPVKGDATEKHVQEVELQYLYEMLGQGLKPVASVGAGNVVAIQGLGQYILKSATLSSTKNCWPFSSMMFQVSPMLKVAIEPSNPSDLGALVKGLKLLNRADPFVEYNVSQRGEHVLAAAGEIHLERCIKDLEERFAKVKLVVSDPLVSFKETIEGEGASLIESLKAPQECVERTTPNGRCTVRVQVLRLPNALTKVLEESEQLLGQIIEGKTPKRDGVLDPWLSQDDGDSTATLRQRMINAIDSELEAISNQVDKEKLERYRKTWLGYLKRIWSLGPRQVGPNLLLVPDVKSSDGVITTQDGRQGILVRGRSHVSEGLGFASKSDAEAIILDNGESAEDAPDSLHLESMALRNSIVSGFQIATNAGPLCDEPMWGLAFVVEPYIFADSSDTANHSDQYNLFSGQVITAVKEACRAAVLQNKPRLVEAFYFCELTTPSEQVGSTYAVIRKRRARILKEEMQEGTSLFTVHAYLPVAESIGFSNHLRSITAGAVSALLVLSHWEAIPEDPFFVPKTQDEIEEFGDGSSIGPNLAKKLMNSVRRRKGLHVEEKVVEHGTKQRTLAKKDKYKNVVQMNGELTNEVLSFKDKYEHIADKSDKLEEISHLSSSFLSFKV >Et_1A_009376.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4350481:4350879:-1 gene:Et_1A_009376 transcript:Et_1A_009376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAGAEAIMLLLLTLPGLDGLRRGMITVVRNALKPMLSVVPFCLFLLMDIYWKYETRPTCSDEHACTPSEHLRHQKSIMKSQRNALLIAAALLLYWILFSVTHLVVKLDTLQQRVEKMKKRDD >Et_6A_047939.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2490539:2492053:1 gene:Et_6A_047939 transcript:Et_6A_047939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVGRLARLRSGGKYTQRSINRIRTALLAAFITLLVVRATIGINRLAYSGAVSVVSVSDRATAATTKLAEDIDREIRADSDDLDDADDSTTTSEYHHAGASWSPDYRLGPRVTRWNAQRRRWLHQNPGFPSRDARGNERVLLVTSSSSPTTGGPPCATALGDHLLLRAVKNKLDYCRLHGIDLSLHDTSSSRGLDGEELTGPSWSKLPLLRRLMLAHPETEWLWWLDAGAAAVITDMGFDLPLDRYVGINLVVHGHQDRLFDGRSWAAVSTASFLLRNCQWSLDLLDAWATMGPKGRVRQDAGKLLSATLTGRPPALVEADDQSALVHLLLTEKELWTERVYIDNEYHLRQHWTKLVDKYEDIAAKHHPGYGDDRWPFVTHFAGCNPCLGGGGKSKKEEYYAAERCVRGMERALNFADNQVLRLYGFEHPALQASAEVRRVANRSADPLQAKEEAIAYLKKPKDPPAPKKESTTREERLERKRLAKSSKSVLARVLRKLGW >Et_8A_057384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2542363:2543851:1 gene:Et_8A_057384 transcript:Et_8A_057384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTQKIVKKRVKQFKRPHHDRYICLKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHFLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >Et_4A_032048.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:13776836:13776949:-1 gene:Et_4A_032048 transcript:Et_4A_032048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDQDLGFFANFLGIFIFVLVTAYHFVMADPKFEGN >Et_6B_048341.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:13696347:13696871:1 gene:Et_6B_048341 transcript:Et_6B_048341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFKEVPQRPHFLPLGKCPLVMREGLMTIFATLVKKIKESSIGDNMASFEEYIYALGLLKEHGFSVESLQSSLTQLLKIKSAYEKHLKEKKDLNAQMLKKMTSLSQINSLLDANEKAVEELRRKGKELAMEKEHEDAELSKLKAADSSIEEACGDAECEFYSVLTELLRKNLA >Et_5A_041845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3373939:3377944:1 gene:Et_5A_041845 transcript:Et_5A_041845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLLVLVLLFGGAAGDDVAALLEFKKGIADRDQDPVLGSWSRPATTEAGSGGTGCPAAWRGVVCDGDAVVGVTLDGLGLTGELKLGTLAGMRALQNLSLAGNAFSGRLPPGIGSLTSLRHLDLSGNRFYGPIPGRLADLAGLVHLNLSHNNFSSGFPTDGIQQLQNLRRVDIRNNSFWGNAGDLLKELRNAEYIDLSHNLFTGQVDLELESLTSIGNTVKYLNLSHNKLGGGFFRNETVGAFKNLAVLDLSNNGIGGTVPRLDSWFSLEIFRVAGNALFGMMPEALLQNSMRLVEVDLSRNGFSGSLPLVNSTTLKMLNLSSNVLSGSLPTTVGKCVSVDLSGNLFSGELAILRSWDKTVEVIDLSSNKLEGSYPNDASQFQNLVSLKLRNNSLSGSLPSVLGSYQKLSVLDLSLNALGGPVLPTFFLSPTLTELNLSGNRFTGTIPFQSTHSTESIMLSSQPSLKIVDLSSNSLSGPLPPDISNLQKLEFLTLSMNELSGEIPSEISKLQGLEYLDLSHNHLIGEIPDMPQASLKLFNVSYNNLRGTVPKSVEKFPLSCFRPGNDLLVFPDGLPAGNDDYTGVGQSRTSHGHKAGVRVALIVGCIGAVLLVIFIALAFYVVRSQELCGRNGFRGQITIRDLKGRLSRPNLFKSPKDNVIPSKTSFSNDHLLTSAARSMSAQKELLAEAAVEYGYANPKEVAESTIQARESSPRSALPTSPHFADSRFHDEPVAFEVYSPDRLVGELIFLDSTLVFTAEDLSRAPAEVLGRSSHGTTYKAVLQSGHMLTVKWLRVGLVKHKKEFTKEIKRIGTIRHPNIIPWRAFYWGPKEQERLIISDYVNGDSLALYLYESTPRRYSRLSVSQRLRIAIDLARCLQFLHHEKGLPHGNLKPTNIFLTGPDLSPKLVDYGLHKFMTPSGTAEQILNLGALGYRAPELANTAKPAPSFKADVYAFGVIVMEMLTRKSAGDIISGQFGAVDLTDWVQMCNREGRGTDCFDRDITGLEESPRIMDELLAISLRCILPVNERPNMKTVCDELCSITV >Et_1A_005099.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2722588:2722707:-1 gene:Et_1A_005099 transcript:Et_1A_005099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHASYAFKNYFHRNRRAAATYDFNDAAYPTSNFKKINN >Et_8B_060514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19122659:19126633:1 gene:Et_8B_060514 transcript:Et_8B_060514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGRNMPSAAAMLGRNGGGYCYTPVTLGQEDLSEQRPRKKRYHRHTQHQIQELEAFFKQHPHPDDKQRKQLSRELGLEPLQIKFWFQNKRTQMKTQQERHENSHLRAENEKLRAENARYRDALANATCPSCGGPATAAIGEMSFDEQQLRLENARLRDEIDRISSIAAKYVGKSAGAGGSSSISSAGGLYPAPLSSQLHLPGGGGDVLALHHHYGGAAAAGIDRPLVIELAVAAMEELVRMAHLGEPLWVPAVADDSVAAEVLNEEEYARSFPRGVGPKSPELRSEASRETVVVIMNHMSLVEMLMDVNQWWTLFSTIVSRASTLEVLSTGVAGNYNGALQISCLVAQMSAEFQMPSPLVPTRESQFVRYCKQHGDGSWAVVDVSLDGLRNAGGAPSARGRRRPSGCVIREMPNGYSRVTWVEHVEADDGMVHELYRPLVGSGLAFGARRWSAALERQCERLASAAMSGAGGVPDGVLTSAEGRRSMLRLAERMVASFCVGVTASTTHQWTTLSGSGAEDVRVTTRKSVDDPGRPPGIILNAATSFWLPAPPQRIFGFLRDDATRSEWDILSNGGDVREMAHIANGRDQGNAVSLLRVNNVNSSQSNMLILQECCTDATGSYVIYAPVDVAAMNVVLSGGDPDYVALLPSGFAILPDAGRGGSLLTVAFQILVDSAPTAKLSLGSVATVNSLIACTVERIKAAVAADKPAGAALPPAAWPIGDQADAS >Et_3A_027096.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32636455:32638425:1 gene:Et_3A_027096 transcript:Et_3A_027096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPDGQEKVIAAAQHIVKSLASSKNAADDMIRILSGFDNRFSLMSDLFPPPPGAAAAAVDSISEAEEGGSQGEREDEPDPDDAARDEEWDAAVELIERWEAPGAADRLVFDSGEDDAEDYLAAAACLVGAPDPRAEAALQAAMTRLEEEFRHLLIRGAPPLAAEDLQASLLRRLSLTVPSFNSSAVDLDCPSFSSHTGEGDDSVGAGSRNSISDEEISPYLISPDTVGTLRDIADVMLRAGYAPELCQVYGEVRRDTLMECLSVLGVDKMSLEEVQRVEWGILDGKMKKWIQALKIVVRGLLAEERRICSQILAADANAEEECFTEAAKGCVLQLLNFGDAIAIGKRSSEKLFRILGMYEALAEVLPELEGLFSGEARDFIKEEAEGILMRLGDAVRGTIAEFSSAIQGETSRRALPGGEIHPLTRYVMNYIRLLADYNASLNNLLECFDTEVNGADNTNMTPLGHCVLMLITHLQGKIEEKSRLYEDEALQNIFLMNNLLYIVQKVKDSELKTLLGDNWIRKRRGQIRQYSTGYLRSSWTRVLACLRDDGLPQTMGSSSALKNALKDRFKNFNLAFEELYRAQTSWRVVDPQLREELKISISEKVLPAYRSFVGRFRGQLEGGRSSAKYIKYNPEDVENLVSDFFEGRKPNA >Et_2A_016806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28302267:28305947:1 gene:Et_2A_016806 transcript:Et_2A_016806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGARNDSAAAVRTKGSGDDGSSKPLPPCCVKAKAGVPESEAKCHDTVVSGWFTEPRSRFGKTSKVQYFNNPMWPGEAHSLKVEKILFQGKSPYQEILVFESATYGNVLVLDGIVQLTDKDECAYQEMVTHLPLCSIPSPKSVLVVGGGDGGVLREIARHDSVETIDICEIDQLVIDVCKDFFPHLYVGFKDPRVRLHVGDAVDFLRGTPEGKYDAIIVDSSDPIGPAQALVEKPFIQTIARALRPGGVLCNLAESMWLHTHLIQDMLSICRQTFKGAVHYAWTSVPTYPSGTIGFLLCAKEGPSVNFLTPVKPIEKLEGTKGGKELRFYNSEMHRAAFVLPTFVRRELESYSTSTEMVTCRFPSYSFMLHFFFCIWCILDLEKILKLHLVAGPGQSILSRIFMITGFKIK >Et_2A_017854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7042833:7044689:1 gene:Et_2A_017854 transcript:Et_2A_017854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAVVVVAVAVLAHAATVTGAASAPSTPPAPTTTKAPVIYIFGDSMSDVGNNNYLLLSIAKCNYPWYGIDYKSGWPTGRFTNGRTIGDIMAAKFGVPPPPPFLSLYMTDDEVLSGVNFASGGAGLLNETGIYFIQYLSFDNQISSFEQIKDAMIAKIGKKAAEDTINGAIFQIGLGSNDYVNNFLRPFMADGILYTHDEFISLLMDTIDRQLTRLYDLGARHIWFSGLAPLGCIPSLRVLSDSGKDCLEEVNEYAIEFNAAATELLEGLNAKLPGARMVLADTYSIVMDLIDNPYKYGFKTSHTSCCHVDTTVGGLCLPTAQLCDDRKDFVFWDAYHTSDAANQIIADRLFDDMVGSGAVEPGNGTTAPRVADMPMPSSRAVPRVVTKPKHAVPRVVSAPKPTHAVPRVVAGSMPTHADPRVVTAPKPTRAIPRVVTAPKPTHAAAPHKP >Et_10B_003477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2027171:2031222:-1 gene:Et_10B_003477 transcript:Et_10B_003477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPNWSSNMGDAFAPLCGEDDGLMELLWCNGPVVLQNQAPRKPPPRSDKDAAAAAVQENEAAAAWLHYPAAVEDPLERDLFSQLFGEAPAAGDHGRACKEEERAASAAAAIAPRSRLMPPLMEKACLEDVGVVSDCEAGKTDNGEGTAAAAATEAGESSMLTIGSSFCGSNHVQPARPPPRARARDAATVTSSSMQQPRSSCAAKAGPPGAAHWSGKRKQRDAADTEDAEFESAAVTCEPAQKLTTAKRRRAAEVHNLSERRRRDRINEKMKALQELIPYCNKTDKASMLDEAIEYLKSLQLQLQVMWMGGGMAAAAATAPVMFPAGVHHQYVQQIVAPPQVASVSRMPFMAAAPPAQSSPVGHVAVADPYARCLAVDRLQTPSPMHYLQGVSFYQQQSPAPPPQQQAVVPGGSLPAATTRTLPSDSTLQSAQKTRSDDARPDSAEQQLLEEKDACISQRRRQAWHLPAFLVQPAKDHT >Et_5A_041114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18408849:18415619:-1 gene:Et_5A_041114 transcript:Et_5A_041114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRRRRRRSSPAQLPDIDEILTEILLRLPPHPSSLPRAGLVCKRWRRLVTDPHFVRRFRAHHRTPPLLGFFGSTFFRIQEAQNRIPASHCFSLDRWRGNTCSLRWYLLHSCQGLVLYGFNGKSGRIREFVVADPMTGYCSRIPNKSHEVVAATVVPVAGDFIRRSFRLVVLFSPNFESRVCASVYSSDSGTWLHSVATVDLPFTSIIEYHPSILSGTSTMYWLRYDGVIVQFDLERHSLAMVKQPSAATRKLLTRQLATAGDGRFGFAFLSGFKIKLWERKDDLSSAAKWVLRKTIRLDKVLPLGLKEDAKVLGITGFDEESNVIFVCTNDGVFTTHLDSTEVKKIDDGDATGRRGRRHPSLPAPASPLENEDILQEILLCLPPRPSSLPRASFVSRRWRNLVSDPQFRRRFRAHHRTPPLLGFYNGLNFVPTQDPPDRVPASRFSIDVPDDEEGGWYGCYRYCLSNCRGGLVLYFDRNEFLVFDPMPGHRHRVPSPVKEKDKGTVLPITAAVVPLAADSSRGHCSFRIVALFSNRSHGIRAFASVYSSDTGVWEDTNGFLPAEPTRDLMISRASTVVGNAIYWKVDLPAILEFDLDKQSLAVIQLPPSVDIGGSSMFLVMAAEGHQLGIATLSAHSIQSAHSIRFWARKADHGIPVRWVLSKTVHTNDFLPVECLPLFLAGIAEDSKVIFITTNGGVFLVHLETMHSRKVLDAIALALQQAA >Et_8A_056186.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:13404676:13404915:-1 gene:Et_8A_056186 transcript:Et_8A_056186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQGAQLETLNDHLFLECPYAAGCWNSTGFVHVKYELGSIRKIDLLKRTSPLYLSSRSLSWQFDGLSGFRKRIYKFHL >Et_8B_059327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16692405:16693164:-1 gene:Et_8B_059327 transcript:Et_8B_059327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQEDFEEYAEKAKTLPDSTTNENKLILYGLYKQATCGAVNTSRPGILSMKERAKWDAWKAVEGKSKEEAMSDYITKVKQLLEEAAAAGA >Et_5B_044789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5837267:5840078:-1 gene:Et_5B_044789 transcript:Et_5B_044789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGATTTTADCPEYAEVDPTGRYGRRRSRQGRFQDRVRSGAFSLKLRAFLLLPADPSCASGGCRYRAFDEFQGMEVAWNQVKLHDFLQSPEDLERLYCEIHLLKTLKHRNIMKFYTSWVDVSRRNINFITEMFTSGTLRQQKHRRVNIWAVKHWCRQILSGLLYLHSHDPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAVHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECTHPVQIYKKVISGTKPEALYKVKDPLVRQFVEKCLATASERFSARELLDDPFLQIDEMAFSSEDGDYNATTNYMQQPSYLGHAYSNGSMMSNGFSESIDEDAPTEDRWDCDDDDMKGQDGIDLFNGHEDEPLGNVDITIKGRKSEDGGIFLRLRIADIDGHVRNIYFPFDVEADTALSVATEMVAELDITDHEVTRIADMIDGEVSALVPDWRPGPGIEEAPDTSYCHNCGSNVSSCGSLYAYMSSASRGCQCAELHGRFEEITFQANGEQRDLQDSLGSSDDGGGQTEHFARARESSNMNGFVGMGRTYASNHLRFSSFQELSCSFNQYENDTNNQANGFNMKHEMKIAKYKARKMAQLKRAIHPSLDFDNANGENRMKPSLNKLQSFHIGKNHNFRVPTCERSLDIGSTNHYSSMNNEVWRSSHPDPGAQRARHCEVDANSNADCMFTARRYYTGAQLPPNLPRTKSVPLNAVDA >Et_1B_010361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:74260:78488:-1 gene:Et_1B_010361 transcript:Et_1B_010361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGAGRPDEEEVRRRKNTDCIYFLASPLTCNKGSECDYRHSDTARMNPRDCWYWFNGNCANPKCSFRHPPLDNLLGAPATPRSAQQSAPQVPAPAQAHGSVPPSGTGTTKQGIPCYYFQKGMCAKGDKCAFLHLPQAPGNSAPQPTQKVNPQLKNSWTKPNSSAQQSTSSGLFDKSKVSAHDGRPLQKQNLTSRVDHSSRIYQNHSNSYVRSGSAKHYQPRPSVDLAENGMEVGEFVREPSANSGVLAGSADDDAEQSVKGNHNNYHRISGEKYTGMRQTHGRHEPERSYRSSGETLLPEKRISHKEPKPVTAGSSDLRHRLLKQRRISNPRSTQAPDRHDAYLEDRHSSLGDEHAAHDGLSRSRLHGRIKLPGETSFIPGSRSETGSDRLSPPKHTDLRGKLHERLKPRSNEDISDNPKCLVTKASIGGDAGSLNFAGPKSLAELKAKKVVGSSGGDAVKSTASSIGLAHRTSEIVVSKDSSEPVPFEGPMPLSVILKRKREAPSENVVDSGSIREEDTVGVNGESENGLQILESDPIERSSSIDVLGLPWFFFAAAAAARVEVCAADKAQPFASGAAEGIERDLQVNDVPDVLRDIDFLVTSWEMQAVVPVDIFIIFILGACHRRSFGDIFFIYRAEVNHIWFLYWVLCQ >Et_1B_010293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35263492:35265033:-1 gene:Et_1B_010293 transcript:Et_1B_010293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGREPAFSLSTESGPTTAHDEAASKFDLPVDSEHKATTFRLLSFANPHMRTFHLSWISFFTCVVSTFAAAPLIPIIRDNLDLTKADIGNAGVASVSGAIFSRLAMGAVCDLLGPRYGCAFVIMLAAPAVFCMSVIDSATGYITLRFFIGLSLATFVSCQFWTSTMFNIKIIGTVNALASGWGDMGGGATQLIMPFVFEGILKAGAPSFTAWRVAYFVPGLMHVVMGLLVLTLGQDLPDGNLSSLQKQGGANKDRFSKVLRYAVANYRTWVFVFVYGYSMGVQLTTNNVIAEYFFDHFHLDLRVAGIIAACYGMANLVSRPMGGVLSDVGARYWGTAGGASCLWLGHAKTLPTSVTAMVLFSLTAQAACGATFGVVPFVSRRSLGIISGMTGAGGNVGAGLTQLLFFTSSRFSMARGLQYMGIMAMVCTLPLALIHFPQWGSMLLPPSADADEERYYAAEWTEDEKTVGRHSASLKFAENSRSERGRRNAILAATDTPQHV >Et_9B_064783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18591433:18605609:1 gene:Et_9B_064783 transcript:Et_9B_064783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDKAMESYKQAMTTAASVAASLMLVRGVVNELVPYELRHLLFSGIDTLRSRMSSQHTIVVERKNDGGFMSNYAYNAVKAYLATRIDAKRQPRLRVSCMDENDKLMVGMAEGEEMVDVYDGTEFRWRLVCQENSRDSGNHGGGGYQQEILSFEVSFHKKHKEKALNSYLPFILATVRNMLFSGIGYLRSHMSSQHTIIIGKKSDGLINNHVYNAIKTYLATRINTDMQQRLRVSSIDENDKMMVSMAEDEEMVDIYDGTEFKWCLVCRTDSSEFSNSGYGSQHENSDEWSPIDLHHPSTFDTLAMDQKLKQSIIDDLNRFIKRKDYYKKIGKAWKRGYLLYGPPGTGKSSLIAAMANHLRFDIYDLELTEVNSNSDLRRLLVGMSNRSILVVEDIDCTIELKQREELEKSDKLGATEEKKGEDKVTLSGLLNFVDGLWSTTGEERIIIFTTNYKERLDPALLRPGRMDMHIHMGYCTPESFRILVNNYHSIDYHVTYTEIEELIKEVMVTPAEVAEVLMRNDDTDAALHDLVDLLKSKMKDANVIETENKDANNHFHKSHKDKALNSYLPFVVATAKAMKDQHRNLKMHMIEYDAWTAVDLRHPSTFDTLAMDKKLKQSVMDDLERFVKRKDYYMRIGRAWKRGYLLYGPPGTGKSSLVAAMANYLKFDIYDLELTEVKSNSDLRRLLVGMSNRSILVVEDIDCSIDLQQRDEGEKRAKSTSAGEESEDKVTLSGFLNFVDGLWSTSGEERIIVFTTNYRERLDPALLRPGRMDMHIHMGYCTPESFRILARNYHSVENHAMYPEIEQVIQEVAVSPAEVAEVLMRNENSDVVLQDLLDFLKAKRKEAADSKATNENQNDQIRDM >Et_7B_056010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9185285:9197183:-1 gene:Et_7B_056010 transcript:Et_7B_056010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSANNPGFCQDDNHGTSQASQLSVLTGNVQEARLVNSTRRPLRDITNIEAAGGKENHAVEMHENKGIKRQKKGIFGEDNHGTSQPSQLSGLTGNIKEARLLNTTKQPLHDITNIEAAGGEENQTVECQGNKGVKKQKKDNIDGHPTSSATIDVCASNIDGDEDENWLHRNNNWQPSTVILRRHGGAKIPTDIDVDKLLEAHATKMAKHRECVKRYRLRNSSMSKTGIHPQDEENLETHKPIDDDIEDPFADDEGCVFVRPDPHEDFRFAVDIDDEAEGDRTGGQERDQVRSLMAASQLKILPHDVLTL >Et_4B_037156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15660365:15660842:1 gene:Et_4B_037156 transcript:Et_4B_037156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIAQIQNNPRLVRSASLILRLCNDSATHSVSAYKSLNPNSNAELQRGDAPSSIAIHMTENGANEQDSRKAMKDLILKSWKIINKEAFDVCQFSRPFTKACVNLARISHCIYHGGDGFGSPSDLKTKQIKQLFLETIEEKND >Et_9B_065348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4065825:4068596:1 gene:Et_9B_065348 transcript:Et_9B_065348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASRDHYDVPERSTLGFRGSSSPRVPSLPRARSNTPVSALAGTRSITSSPRSSPLAGVKNNASSPRGSVLPGTRSNTPSPRGSSLRTVQLTSYCRSFASMSINEDEPPSSLYPDAHLGDMGACSSRDSTTHQTMEKSGGCLGAGEVFQRPRLTAKTGAGLSIETARKKRAVVGTIGEVPQKNSAVTGSNGETRQKNHAMRCSKGEMEKKHLVEKSGRDLKGETQQKNRAMRSLKQRGHIIKKSGEGFSEQMLQKNHAVTSLKGETQKEHGVKKSGGGSGGVMSQKNHRKKEAMQREDLDGNVQRRLPKELMKIVQEADRVIQELSELGMGEDISSADLQHYSEQLPCEPPRVDTSLKLDNEQLHKLYVRHILYRIKYYKLREEWQKNEPHNAELEEDEDDCSRRHVEKINLLNFNEGELDENHVLDYLEKEGLLQHITSDSTFDWVFQYCTVAGLDDYQRIVLHNYYFNKYETDLEYLDFWDELTKKLKWMENYVHMSGPSLTWERILDRGASQAIKIAAGFSKITEDLAHAAYLTISLTYFNAGVLG >Et_3A_027056.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3122125:3122406:-1 gene:Et_3A_027056 transcript:Et_3A_027056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSAAAMDHRAAPSLSSEEKRRMERVARCVARDRDGDLTEKLLLRLLSITRNARRWGFLAPDHPLHPYYLQQKVSERCRILRPRPAAVPADR >Et_2A_016857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28898616:28906233:1 gene:Et_2A_016857 transcript:Et_2A_016857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSSDRRASLAGTAASPGQKRAREDTSASPSDPDSASAKNPRRGFSSSPFADFGSYMAAKNSKLAAQFDANASASGADSGGLFAGVSIFVDGFTVPSSQELKEIMLNNGGRFVNYFSRHTVTHIVCTHLPDSKMKNLRSFSKGLPVVKPAWVVDSLAENRLLSCSPYQIRQNSSSSRKQTKLSAFFSGKQNGMHYQGEASNQSEDLDPQSSSIQEGSQCQSGACENEGSLSNEELPNESLSTDDQKASVFEERGSEDFAFDEIEQDCETACSEGRDDDMECKFGVAQSPDARSRSSNLCNTSSTGSHMSLPLEKSEAKPSSRPHSTLTDPKFVENYFKYSRLHFIGTWRNRYRKRFSNFLEDKSIKASSDDSRKQKTIIHIDMDCFFVSVVIRNMPELHDKPVAVCHSDNPKGTAEISSANYPARSIKAGMFVRDAKARCPHLIIVPYNFDAYEEVADQFYGTLHKYCNKVQALSCDEAFLDMTECLDDNPEEVTRRIRSEIFDATKCTASAGIAENMLVARLATRSAKPNGQCFIPSEKVDDYLNSLPIKALPGIGHTVSAKLNSKDIEHCGQLRNISKDDLQKDFGKKIGDLLWNYCRGIDHSVVGTVQEMKSLGAEINWGVRFNDNKDAEHFLTNLCKEVSLRLQGCGVQGRTITLKVKTRRKGAGEPLKYMGCGDCETMSRSMTIAGATDSFVTLQRISKQLFASFRADVKEVRGVGLTMSKLEHADLVRGASQGNMLQSWLASSAKIKKQCSEKMRVLENVDVAGATELRDLGRSGPSSELNPRGDRSAGVHNAELPPLSQLDPEVLKNLPLEIISEMNDLYKGELHAFLDMLNNDKGKDNGSKSLALPAVVQKSVPADFKGYGDHRDSKHLEEGTKGKSDYVSEVQGANDASCSRSSELVVKTTKSVTQLDLMPDSLSQADLTILKELPEDVKADLLNALPLHRSGDPSCSTSIQPENKIPTDGGNDDQKDPKITLASGSYKKWIEQFRVSNCLILNVIAEQHTDSRASQPLSSILELVASFVQLCPSSGSEEWNEALSCLSELLTQYIQLKVDMDIEELYKCFCLLKRFASASVFFLELYNRVLPCLQINIITPDYTAILQDSVSQHYGGTLNF >Et_3B_030169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31030435:31034745:-1 gene:Et_3B_030169 transcript:Et_3B_030169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVRSAGAPRGLVPSITPASARYGRGLALFGARIWARRSRGLAMVVSGSTPCRAIKGYDSDGAPDLGGAGVELSDQLSVVMKFGGSSVSSAARMEEVAGLILAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIRVSEIKEWNMIKDLHNKTVDQLGLPRSIIQSLLDELEQLLKGVAMMKELTLRTRDYLVSFGECMSTRIFAAYLNKLGVKARQYDAFDIGFITTDDFGDADILEATYPAVVKRLHGDWIQDPAIPVVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLKEIQVWKDVDGVLTCDPNIYPNAKTVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAAGTLITKKREMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLARVFSIFEDLGISVDCVATSEVSISVSLDPSKIWSRELIQQELDNVVEELEKIAFVHLLQQRAIISLIGNVQRSSLILEKAFHVLRKNGVNVQMISQGASKVNMSLIVHESEARECIKALHQAFFEDDILTQVEAENLLVS >Et_6B_048499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:174672:185477:-1 gene:Et_6B_048499 transcript:Et_6B_048499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKGRASSSGLAASLVPHAQGAAPTFGFGGYHGATRVEPAAPSDPEAPIRPTPDVDSEVLQHLKRLGRKDPTTKLKALSALSMLFAQKPGEEVAQVVPQWAFEYKKLLLDYNREVRRSTHEAMSSLVTTVKKGIAPHLKSLMGPWWFSQFDPAPEVAQAARRSFEAAFPQSERRLDALMLCVKETCLYLNENLKLTTLALSDKATPMDELEDMHQRVISSSLLAMATLIDILLGVKLQNCDVDSINTENRNLSKVRSTTLSSVETTFCMHKYFLDFLKSESASVRSATYALLSSYIKHVPHVFDEETLKILSPTLLGAFHEKDPSCHSSMWDTILVFSTRFPEAWSYCNIHKVVLSRFWHFLRNGCYGSKQVSYPLLVQFLDSIPPKASMGQQFVSDFLKNLWAGRNQRQVSAADSLAFCIAFKQSFLWLLKNVSRYSGGDSSDDIRTKLIMDIFARTVWRDYLLLSDGTPADVQLSHKKPVVVANTRYPTNYLQDLGKCIIEVLDEIADTENYLLDISCESLLRDCLDIIRQGENLSKFQDHVEQLVSFFLSLGILVVRKGKAWPLERLARPLIDQSLSAIKSLDTPGLVKLLSVLVEIFGPTPLLLKSYQKDDEKSDMKPYLEIFNGDLLPWCLNGKYSTSNSKIDLLLSLFQDECFFDQWCSVIKYTIAKQKHCVDDKASSIMDQFELLSLLLKKVREQVTGGKLKNLHRNGCLPEHWRHDLLDFTAISVFCDLPAADSCANFLWYALLTLFVQFRTCFMCYTCTRIVLGAWTLWNHHNRCFWGRAKIMGDGWSMRVVPPCCSKPWGLGVRLVIAALGGSVREDRICFLSTETVRSILGFILKNLASVFIASTFDWARFAYGLLFPAEHSPLKVPEKQSLPVNFEMVRFAFGVLKGSLFSLGLLEEDSVFPSVLSALFIIEWECSMALILGEENDLEGHKDDTDAGALEEEIHLKANLAENISAFCRSLSPSFWNNLHSCTLNRVANILAQSVSLQSFFDLLLSEGECWPLWLMPSLCNGHPSINVQFEPAITDDIELKHQRFVAFVDNLIIKLGFGEVILGIPGNLHCSMSQSTDITSSISPSSRAWVAGEILCTWTWTGGSALKTSLPSLVEFMKEESCHEVSIMSLLLDTLLEGAIMHESGPWALFNDWHLSGNEIEKIQDRFLRALVALLFTSNTNGCTWRGPDALVFFEKLRSHLFVDSSVNRKCLKALPFVMSTMVKTLSEKWKLDNDSSYADLMGKSILSWLDATISCLSSSQMEVPEQDVEDWMQVALSCFPLRITGGGRKLVITVEREISDAEKSLLLTLFQKYQIFYNSAALSLSTSETALSSTIELLGVKLMAVMVGYCWTNLQENDWRFLFRMVLKWIESSVLLVEEMTDGINDAVVNQKSSEDALDKLKLVVNTSDELRKSLAQSALVTLCQLNHLCKTQEAENSQSLQLIRSGEYAESNDKMVESVLRLFLASGVSEAIAKSHSEEASFIISSSHHAYLHFWELVASVINNASPQIKRSALESMELWGLSKGPVSGLYSILFSSQPIFHLQYASFSLLLSEPFCQLSLLKEGSLGTNSSPDIDQTTELMSDSEKTLCIRDELSALIEFPTSELLKTDLTDRDRVDVFIAWALLLSHLQLVQSSSSCREKILKYIQDKHIPLKTAAPSGKKKDVELMPEAEVAAKASKNAIVTSSLLPYVESLWPIGTCQMASLAGSLYGMMVRLLPSFVRTWFTTLKDRSLSYSIESFTRQWCSPPLLQDEFSQVKDSIYADDNFSVSVNRSAYEIVATYKKEETGIDLFIRLPNCYPLRHVDVECTRSLGISEVNCRKWLLSLTSFVRNQNGALAEAIRTWKSNFDKEFEGVEECPICYSILHTSNHSLPKLACKTCKHKFHGACLYKWFSTSNKSTCPLCQTPF >Et_9A_061346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10371282:10377910:-1 gene:Et_9A_061346 transcript:Et_9A_061346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANIGDPRFAPSVERAFEVQPLPGFWRQVTPRAMLVSSLLAVLFCFVALRIYMTAGLVPSFNMPATVLSFFFLKWAVKLINSCGMATLPFTRQENIFVLTVVNTCIYMGLTGGFANCIIAMSTSVAQTLVDHPSKTDTVDNLDIRKHMLFLLLNGVAAVLVSVPFMQIMLIDYKLLFPSGTVQAELINSFHTPEGTYVAKLQVATLLKTSIGSFSWSSFQWFYSAGSDCGFEAFPTFGLKLFARNFYFDFSAIYLGLGMICPYMVNFALLFGGIISWGLLFPYIESNTGEWYHEKSPTNLTESNGYMVFIGITVILTDGIFNFFTVIATSLIDFYKKNKQDSRMIHDISKHPSLTYDDRKRTQQFLSNQIPHLLPVVGYVLCAMISTVIIPSIFDQIKFYHVAAIYIVAPVFSFCNTYGNGLTDWSLAPTYAKFTIFVIAAWIGQPGAVVGSLVACGIMMAIDGIQIGATQSEYPCPAAGLYRAIGMIGMGGVKELPKHCVAFCTLALFITVAVDSLTLVSQKKGWTIQKYVPSMAAIAVPFFYGPSFTITMFLGSILLYVWNKNNSQSAELLSSVFAAGLIGGGGLFALPKAVLSMNKVQPPMCMKFLPTGQELVEVHSFLSNLSSAKS >Et_7B_054057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14849793:14852594:1 gene:Et_7B_054057 transcript:Et_7B_054057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFRLAGDMTHLMSVLVLLLKIHTIKSCAGVSLKTQELYALVFATRYLDIFTDFISLYNTIMKLIFLGSSFSIVWYMRRHKMVRRSYDKDHDTFRHQFLILPCLILALLIHEKFTFREVMWTFSIYLEAVAIFPQLVLLQRTRNIDNLTGQYVFFLGAYRLLYILNWIYRYFTEPNYVHWITWISGFVQTLLYADFFYYYFNSWKNNVKLTLPA >Et_1A_005387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1046988:1050346:1 gene:Et_1A_005387 transcript:Et_1A_005387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGNGHSSGKSVERALSRKALQAGSSAPCKSWVTGFFCGVCIMYLFGVALPPLRVMQQSISDDPPLRRAILWSSTFTDPDGPTMQDKLGSAEEKIEKMKGNEPITEDRILHLYNAWSTLLNTSRDEVQKISVVPRPPHLDNCRHNMERTKKFDTYGDNATFPPWSLWKGSLGLELLNQTYTENGEFIPNANEDKHYPPWCREIYGFISILQTEVIRACDWERLPGFGIGGQLAGMSGLLAIAMKERRILVASYYNRADHNGCKGVSRSSWSCYFFPETSSDCRNRAFHVMQSKAAWADGTVTVKENYTSQKIWVGHIPRLWGKPWKYMQPTTEISGRLITNHRKMDRRWFPTEYMCELLNVARHSAFGLQAAKLVLESIQNNSPKVGTTRTESDIERLVWSDHKPYIPRPLLSMHVRMGDKACEMVVVGFEQYMELAGKLRKRFPSLTNI >Et_8B_059512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18690434:18695012:1 gene:Et_8B_059512 transcript:Et_8B_059512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWYQVWKFGFKDMKGVPMPRLRSSPRRQIWISVAIAFIIITVLWAYLYPPQDYTYPVRDWFPSEPTRELTDQETAARVVFRQILSTPPFISRNPKIAFMFLTPGQLPFEKLWELFFKGHEGRYTIYVHASREKPEHVSPIFAGRDIHSEKVGWGMISMVDAERRLLAKALEDIDNQHFVLLSDSCVPLHNFDYAYDFLMGSRHSFLDCFHDPGPHGVFRYSKNMLPEVRETEFRKGSQWFSMKRQHAMVVIADSLYYTKFRHYCRPGMEEGRNCYADEHYLPTLFHMMDPAGIANWSVTYVDWSEGKWHPRSFRAKDVTYELLKNMTSIDASCHITSDEKKELLQRPCLWNGLKRPCYLFARKFYPESLNNLLVGLRPTR >Et_9B_064617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16983521:16993642:1 gene:Et_9B_064617 transcript:Et_9B_064617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEPSPPAATAADDLETLALDSSSSSTAAAAASASTDPLLRPPSSPTGAANRDPFVIDDFLDEDDLSPAPEPSTARSPAARDDAPREYAKITVSDPKKHAEPTTGAAGVIPGSGSYFSYLITTTLPGSGGEVRVRRRFRDVVALADRLAAAHRGLFIPARPDKSVLEGQVIQRHDFVSQRCVALQRYLWRLAAHPVIGSSSDLRMFLTQPGAIPAFEGEPPRYWTATANAAAPPVQAKSGRHLFGMFKDLKQTVVNGLVATKPPPVEQETDAEFLAHKAKLEDLQQQLTTTSQQAEALVKAQDDLKETTGHLGMTLIRLAKFEREQAMSSSQRSRAVDIHNFANSVLKFGRSQNILNSKIVGHLGSIHEYLETMISVNHAFADRSNALHHVQSLSADLYSLHSRAGRLESVSPKDRGHEWSNYQKAEGLKETIKSTEAAKIDAVKEYENIKENNMIEIKRFNKERRRDFVEMLKGFVVDQVSYSDRFADMWAKGAMLSHAPSQSPAASFKPRLPSPRSRLGTKIAACSSSRSRDVSASATSRRGLLLLVPSLAAASAVLRRLPSAAAAEADDADAPAPPPPPSDEPPSPAPAEAEQEPDESAMSRVYDASVLGEPEAVAGKEARRRVWEKLAAARVVYLGEAEVAPDPEDRVLELEIVRGLEARCADTGRGLALALEAFPCDLQQQLDQFMDGRIDGSILKLYTSHWPPELWQEYEPLLNYCRDSGIKLIACGAPLEVRRTVQAKGIKGLSNTEREAYAPPAGSGFISGFMANPDLSLIDNISSTGSPFDRTSYLSIQTRVVDDYTMSQIIMKELNNDPSRMLIVVTGASHVIYGSRGIGVPARISKKMSKKDQVVVLLDPDRQDIRREGETPVADFLWYSAAKPCNRNCFDRAEIARVLNDARRRPEALPKDLQKGIDLGVVSPEILQNFFDLEKYPAMAELIHRFQGFRERLLADPKFLQRLAIEEAISISTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLQYADDGSGQSLEFVKGLLGPLPDNAFQKNILGQNWNLNQRVTAVLIGGLKLASVGFISSVGAGLSSDLVYAARGMVKPSVNVEVGRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEYRLGESLVTYYNQPLLASLLSFVARTANSYWGTQQWVDLARYTGLQKSEDNLPSAEDSMPPETEPAHLDHGGPEVQNLDDSSNNNNQSCGPT >Et_3A_024426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20690156:20690986:1 gene:Et_3A_024426 transcript:Et_3A_024426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YLNTHWRHHRTPLGGATLAATHLQVDHHGVHWQWQDDLLLGRPLAGPWLARRDDPALASHANNGAATVSQVLGTGLRAQLVPRLSRVAQAELDEVRSLIQNIELNQERDMHCSELLTKDGKLSTSAVYNLQRAHRGTFSGRKQFRPSTAIPSPCSRHRHFNDSLQHAEKKQGCGVAS >Et_3A_024928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25445173:25451997:1 gene:Et_3A_024928 transcript:Et_3A_024928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVSRALPFASRSSLHLHIPPPLRGAALVRSASAPPLPSAPAAAPTASLLSWRGLTGTPEPSPWASPLFTGFFAGIRGLRRARRGQAAAKRAQPQEDAAPPPPPPPPPKESEIELYARIGVDEDMPDDSEVLNIVEILKLNVPMAMKIALDGLLDSNYSTRDTSISDVGKYDKVEVSVLLCNDNFIQNLNKEWRGEDSAADMLSMSQFIPDLDVPTLMLGDIAISVETAARQAEEKGVTLLDEVRVLAVRGILHLLGFNHEASDEAAMEMEKEEQLILKSLRWKGKGLAKSDQDSSKVHTDSLDGQVTNSEKRSVNLRFWRPKFKYIFLDMDGTLLNSKSQVTERNAEALREARSRGVNIVIATGKARPAVIDALSMADLSGRTGIVSESSPGVFLQGLLVYGLEGRQIYKRNLDQGVCREALLYSLEHKIPLVAFSQDHCYSMFDDPLVDSLHNVYHEPKAKIVPSIDQLLETAEIQKVLFLETPETISSALRPHWAKVIEGRAHVVQAQADMLELVPPATSKGNGVKILLNHLGISPDEVMAIGDGENDIEMLQLASLGVALANGSEKTKAVANVIGATNDEDGVAQAIYDYAF >Et_3A_027319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7482418:7483201:-1 gene:Et_3A_027319 transcript:Et_3A_027319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPSYNKETLPLGDGLIALICLCGTLCKLVKSLVLGDDYSKRLWMCNNYQYELPLQRLYFSNGRPKSPPSLREFIKYIDTEQTPENIAHVYRVAERARRHWFDMEAEERREEERRKTRQKEEERRREYKAELK >Et_5B_045774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9373022:9373610:-1 gene:Et_5B_045774 transcript:Et_5B_045774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPTLLVAARYVTYTARALAHSSESVLLWKPHRDAIGAHLSSTSRRTQASRLAERACQGMLRRVGPRRGRRVPRGARRRVQRALIQGRRAAGRRGGGSTMPFQELVAAVRPRDWNRTNVSDAFPFLSPLDLLGMRRRVSQGLAKASFGSKSLAAQGHNPRQENSLHAEIFVILPTKSVGFDVMPI >Et_3A_025788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32958015:32962267:1 gene:Et_3A_025788 transcript:Et_3A_025788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAMAPPSTPQPSSNSVDPLYPELWRACAGPLVTVPRPGDLVFYFPQGHIEQVEASMNQVAQNQMRLYDLPSKLLCRVLNVELKAEADTDEVYAQIMLMPEPQQNEAGGAEKASSGSNATPPRPAVRSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQSPPTQELVAKDLHGMEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQLSNVPSSVISSQSMHLGVLATAWHAINTKSMFTVYYKPRTSPSEFIIPYDQYIESVKNNYSIGMRFRMRFEGEEAPEQRFTGTIVGCENLDPLWPESSWRYLKVRWDEPSTIPRPDRVSPWKIEPASSPPVNPLPLSGGRVKRHRQNAPPTSPESSVLTKEVTTKPDVDSAQTQHQNSVLQGQEQMTLRNNLTDSNDSDATVQKPMMWSPSPNGKSHALTFQQRPPTDNWMQLGRRETDFKDSRSASQSFGDSQGLFMQPFDDNQKRLNAFNNQFQDQGSAHRYADPYFFMPPQAALNVESSTRMHAANNELRFWNNQNGMYGNSSDPQGFRFGQNPSSWLSQPFARVEQPRVVRPHASVAPFDLEKTREGSGFKIFGFKVDAISASPTPMPTTLEDQTHPSLSMNEGQPVQTECLPEVSVSTAGTATENEKSVQQGPQGSKDIQSKSQGASARSCTKVHKQGVALGRSVDLSKFNDYDELKAELDKMFEFEGELVSANKNWQIVYTDNEGDMMLVGDDPWEEFCSIVRKIYIYTKEEVQKMNSKSTLRKEEPLAVGEGCAATSE >Et_1B_012415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31859388:31860364:1 gene:Et_1B_012415 transcript:Et_1B_012415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHQAGAEPLLPQYQQNDGGDGSPPQDDAATGRRGRCVMFITALVLYALNSTVAAHWYAAGQQPIVIVDYYPSLSFIAIIVCLKRGEKAEPGSRLGNWLTVAVWLLQLGLALLFSYMVATVAPPAVAVVVCLMVVGAVAGVFRNRAASAATSSAAERRPVARR >Et_10A_000438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10250544:10251557:-1 gene:Et_10A_000438 transcript:Et_10A_000438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTPTQIPSPVQSTRVDDDLEEDGFVELGVAADLDPKTHSVFFSDGDAADAVLSWPAAEAIPTTIQSVEKTCIRNRVPLGKYYTASLRASSTTPPNVCVVYEAALEAGLRFPLHLFYVKFLREFRLAPSQLRARTRGRTWRRSPASSRCLPSFAASSPSPPTGRRSRNRRRGGTTSTPAAVPPCSACRATLLHSAARRDLRGSLITFSSSPRGTCRGTARSLEDEVATLNAQLQGAMSSRDHRMREEALREAAGEVASLKAELQDGNDKLTRLNKERAYFREHLTAARTTITKLEEEAHTAKKKVLQTLCPNIDPMMLDLTSQN >Et_1A_007058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30486790:30489193:-1 gene:Et_1A_007058 transcript:Et_1A_007058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMELSLASLACLLLALATVYVYNTITRSRSPQQQRLPPEPPGWPVIGHLHLLLSGRGTPPHHAMVDLSRRMRAPLLRLRLGSVRAVVISSPELARAAPPVLSFGCTDVTFAPAGPYHRAVRRVAVSDLLSARRVATYAGVRRDELRRLLARLSESAAEPQPAVVDLSACLLTLANDVLRVTFGRWLLHGGGKGGDKLAAVVTEAQDLFAGFNVGEFFPELEPFASTVTGLRRRLKKCLADLCEVCDEIIDEHVRRQQRVPADRDVDFLDLLLQAQKSPDLEVPITDDNLKALVLDMFVAGTDTTFAILEWVMTELVRHPRVLNKTQDEVRRACGGKGHVDEPDLAALRYTRAVIKETFRLHPAVPLLVPRESAAPCAIGGYDIPAGTRVFVNNYAMGRDPEVWEDPLQFSPERFLDSEKYGGGGEIDPKDPDCRMLPFGGGRRGCPGYTFAMATVQLTLAGLLYHFEWALPDGVRAEDVDLAESFGLATKKKEPLLVVVRNSEGFHFKE >Et_3B_028586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17087979:17088342:1 gene:Et_3B_028586 transcript:Et_3B_028586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRCLLNLAKKRLLNRLVERERLGVARDAGLVDDDQPVPTSLTCCIPYEVADVFAMIGIDAGVLLRVYDQAPGKLRSLGLALFLSIFGVGNLISSILVSVIDAVTSSATGRSS >Et_3A_026146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4865469:4867064:-1 gene:Et_3A_026146 transcript:Et_3A_026146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGFAIPFPYLETSYSVRVRECLAESTTVLRNYLEESSNKAGINQQIAMDFNFWFCVIGAIRSTNFVKFMLIKMIMINTLPAAMFVLVPLVIFTFLAHKYWKTRIAIDAVEKFLQMQQMLGGIIAITGHFMDKLGQGGYGSVYKGFLRGNVYVAIKMLSNSKCNGEEFISEVSTIGRIHHVNVVRLLGFCSEEMRRALVYEYMPNGSLDRYIFSSEKRFSWDKLKEIALGIARGINYLHQGCDMQILHFDIKPHNILLDHNFVPKVADFGLAKLFPRDNSFVPLSAMRGTVGYIAPEMVSRSFGAISSKSDVYSFGMLLLEMAGGRRNADSNVANSSQNYYPSWVYDHLVGQQVGDVSAVADMHELEKKLCVVGLWCIQMNSHDRPTMSEVIEMLESDVNGLSMPSRPFFCDDEPIPAVGSYYLSSELDDIEEEDE >Et_4B_036994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13199353:13201087:-1 gene:Et_4B_036994 transcript:Et_4B_036994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLYPEISTSSLLCDESVESIFGYDDGEGETQEWNTDLDFPSFAGLSLENDELEVVGSLMEQEKEQLAGIATGKYLERLNSGGLESSWRTAAIEWFGKVQAHHNFGPVCVCLAVNYLDRCLAINVPDSKPWTPQILSIACLSIAAKMEETVVPYYQDLQDCNREYVFNAKAIERMEFHVLRSLNWRMKAVTPFSYISYFTDKFNGGNPLASECVSGCTELIFGTLKETKFLQFRPSEIAAAVVLSAVADSQVVDFSRALLASEIPVDKETVRRCHEVLQEVALVKNTNNNVTPSFPESPSGMLNASLFSFATDDTQTPGSSEANNDSNATINQAFSPTQQEDKA >Et_5B_045441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22520700:22521730:-1 gene:Et_5B_045441 transcript:Et_5B_045441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDCVQVESDVESNPAPNHLKAMAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVVCDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Et_8A_056642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14292884:14293590:-1 gene:Et_8A_056642 transcript:Et_8A_056642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEQLQNSALHQTHRNSEKDRSPGHFGRNNTEITETGPEQLQNIPSAAKLIFFRVFAREPSSYIKHIATSEKERSPGRFGGNNTEITETGPVQLQNRPEPSNYIKHIATSEKERPPGRFHRNNTEITEMGPEQLESSAV >Et_4A_034350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3202650:3204775:1 gene:Et_4A_034350 transcript:Et_4A_034350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQAPRKSKKPKISIHASRTPQFPAIFPLPPLPPPPLPRTPPLLSTTRPTSRLHPVQASAAGEGEIEMGQQRKLVYSFVARGAAVLADHAEASGNFASVAAECLQKLPASNNRHSYNCDGHTFNYHVHDGFTYCVVATEAAGRQLPVGFIERVKEDFSKKYSGRKARSATANSLKREYGPKLKEHMRYCDQHPEEIDKLAKVKAQVTEVKGVMMQNIEKVLDRGEKIELLVDKTEDLRSHAQDFKKQGVKIRRKMWWENMKMKLIVFGIVVAIILLIILTICRDFKCW >Et_10A_000735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16140168:16140435:1 gene:Et_10A_000735 transcript:Et_10A_000735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSDPQVVLTEANRNKRNGPRTAPEHPVYAWEPSNYIKHIATSEKERSSGRFDRNNTEISKTAPEQLQNCLFGRL >Et_3B_031652.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6895252:6895476:-1 gene:Et_3B_031652 transcript:Et_3B_031652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPADDDLGSLAAASFPLLVYDHGNKPDNSQIMFSVADGSSRTYRTPEMRNHRCRCLEQWRIQTKILGRAEP >Et_1B_013755.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:15490721:15492334:1 gene:Et_1B_013755 transcript:Et_1B_013755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGDNLQVLSALDAAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYYREGAADPGSLPPNVAAAVNGVAFCGTLSGQLFFGWLGDKLGRKSVYGMTLMLMVICSVASGLSFGHTPNGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVTLIVSAAFRAGYPAQAYQDAPAASTVAEADFVWRIILMLGALPALLTYYWRMKMPETARYTALVAKNAKQAAADMSRVLQTEIVDEQEKLEEIVASDRNSFGLFSREFARRHGLHLLGTASTWFLLDIAFYSQNLFQKDIFTSINWIPKARTMSALEEVFRISRAQTLIALCGTVPGYWFTVALIDVVGRFAIQLMGFAMMTVFMLGLAVPYHHWTTKGNHIGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGSFGFLYAAQDPHKPDHGYKGGIGVRNSLFVLAGCNMLGFVLTFLVPESKGRSLEEASGEAEDDSEMAAGGAVRPSETQMV >Et_5B_044558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3402397:3404506:-1 gene:Et_5B_044558 transcript:Et_5B_044558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDEQKLRAFYDKRISQEVSGDALGEEFNGYVFKIMGGCDKQGFPMKQGVLTSGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSHDLSVINLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKKKSEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSSAAKAAPTA >Et_4B_037608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21780262:21784794:-1 gene:Et_4B_037608 transcript:Et_4B_037608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEEGRIFVGGLSWQTDERKLEEAFARFGKVVAAQIMLERHTNRHRGFGFVTFEDRRAVDSAIKEMHGQELDGRTISVNKAEPKMNTDDTRYESGGGRGGDYRGSGGRGDGPPPGNCFECGRPGHWARDCPNAGGGRSGRFSSKFSGGGMGVRIVMHQVVMVGSEKEAMREMEAVVVAAPAAMSGVAQGLVQAMTGMGQEPQWVVGMTGMVRVAVLLIATVVEDLHAMMEEVTGRGLDRMIVPAGVGVSMIVTDDCLSSIGDVAELQAAASAPSNIDSGPASSSSPALTTSSIDN >Et_7A_052362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7206188:7206714:1 gene:Et_7A_052362 transcript:Et_7A_052362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLSSPVDPKGQMKLTTAVLLILLCVVLLASSCEARGLRVHGKSSLSKSHLPAGKAVASLKVDVWLTRQKEAESMVDSPRDDHHMASAVSGMERVAMVPPASAVPATPVVKVSQRLLQQEDTGFHLDYAGPRTHTPSHN >Et_5B_045697.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:7834581:7835678:1 gene:Et_5B_045697 transcript:Et_5B_045697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAPTGYDRLSELRAFDDTKAGVKGLVDAGVSAVPRIFHLPAPCVPESEEPPPSSSPPDRVPVIDLADTERSHLVSQVKAAAETVGFFQVLNHGVPGDLLAETLASIRRFHEEPAEAKRPYYTRDPARRVRYLSNFDLFQSPVANWRDTLILDMSPAAPEEADVPPPCRGVLPEYTRHVQRLGVALLELLSEALGLDRGYLLQERDAGGQLYGIAFVAAHYYPPCPEPHLTMGTTSHSDPSFLTVLLQDGVGGLQVLIDGGRWVDVPPVPGALVVNIGNLLQLISNDRFKSVKHRVLATDAGPRVSVACFFRTSCGDLRVYDPIVAGGDERPQYRSITMHEFLGYYKDKGPDSPSVLDHLRL >Et_1A_004991.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21760902:21761072:1 gene:Et_1A_004991 transcript:Et_1A_004991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIILIAWCIWTTRNDKLFNHIDLVEHCKAKFVKEFSLMEIIILIAWYFPVLYFP >Et_8B_060221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7668196:7670304:-1 gene:Et_8B_060221 transcript:Et_8B_060221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPYCVHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKDSNAVHASEALRRAKFKFPGRQKIIESRKWGFTKFSRADYLRYKSEGRIVPDGVNAKLLGNHGRLEKRAPGKAFLEAAA >Et_10A_001182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21973205:21973790:-1 gene:Et_10A_001182 transcript:Et_10A_001182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCRSLTTSPGGSTKHRRGTTYIFLCGGRLILGPDAASLLITTFLIICPTIIFCYQMKSKFYHSQEYPTGQQHMHRAAVVIVILITIMVSIQHDDITCCCISFKTSCVISVVIPRNHHES >Et_4B_039771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29052250:29053547:1 gene:Et_4B_039771 transcript:Et_4B_039771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSPDVACQAHQLGILAAALGGHVLPRNTIAFLSAPRFPSLFWSRGIFSHSLTMTTFWVTTRPPMVAGGDSIPMD >Et_4A_032934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15876842:15877625:1 gene:Et_4A_032934 transcript:Et_4A_032934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATDRAAFRARVPVVTYEDLQPDIQRIANGDRSPIAHPISEFLTSSGTSAGERKLMPTIKEEQDRRQLLYRLLMPILDSFVLMYVCVCTTDRYVPGLDKGKALYFLFVKSETTTPGGLTARPVLTSYYKSEHFKNRPYYPCHDYTSPTPAILCADTFQSMYAQMVCGLCQRHEVLRVGAVFASGLLR >Et_4B_037018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13660456:13661649:1 gene:Et_4B_037018 transcript:Et_4B_037018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEGAPRALSQRELDIQMMLAADVHLGTYNYDFQMDFQMERYVYKRLSNGRYNIKPGKAWEKEKRQIAATVIDAICGNPQEHVSCTLLQKRGEQRAIHGKKVGRTCSWRHTAYSSGRGKQRFGTY >Et_2B_022453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22437281:22438658:-1 gene:Et_2B_022453 transcript:Et_2B_022453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPGGGAGAGGWLATVRKVFKHKDPRHAKKQKGAGDVEAAGGEAAEVVSLEHFPTAETSPEVTNELGVGGAVVWLGLREDDGYAEVAAGARRERRHRGMAAPSRVRAAVRIQALYRGYLARRALRALRGLVRLQALVRGHQVRRQVQRTMLCMQALVRAQDRVRARRLVASPYARRPVPLRHPSQLLPVPRHGGGRRSSFGHDRVDAEAPLPRHSNASPYLQHGTWDHAPTRAYGFQHRQRQTEEFQERIRDERNNAGWHWTEEACDAPVQPPEQHATDHQTNEPQHGPAVSENTAVEMAEAASSSSSSRRRSPTRDLYPVVRPDDPVVSLPGYMAATQSARAKARSSMAPATSTRGTRKARGSMAGSQKEAMLRHN >Et_4A_032211.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24571689:24571874:1 gene:Et_4A_032211 transcript:Et_4A_032211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SESKLEEALKIDPSKAEALWCLGNVQTSQGLLTTDTVKANELFEKAAECFHKAIDEVCDGS >Et_10A_001715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7114197:7115945:-1 gene:Et_10A_001715 transcript:Et_10A_001715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSGGYRSRNGEEDRAYPLAECECECGLPVGGDGGKALWLDRFRQSCHGLSLSDAGRIRVPADREVRPWMEKDTTYKDNSSQMISFFVHLYRYCVAFHHNAKKDMDLWYFNYDGELIDDLHYIKISPSIIIVCSRIYISRCRHRMTGIMIVAYKLIRNYLPIKIFSTENWRVLEEFSYIHLHAKDCKMFSY >Et_3B_030809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7614302:7622631:-1 gene:Et_3B_030809 transcript:Et_3B_030809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAEAAFSRSGSWRETEDEADALRWAALQRLPTVARARRGLLRSPAPHGAAAEGDDVLCEVDVAGLSSGDRTALVDRLLADSGDAEHFFRRIRSRFDAVHIEFPKIEVRYEDLTVDAYVHVGSRALPTIPNFICNMTEAFLRHLRIYRGGRVKLPILDNISGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGPGLQMSGDITYNGHHLNEFVPQRTSAYVSQQDWHSSEMTVRETLEFAGRCQGVGIKYDMLVELLRREKNAGIKPDEDLDVFMKALALEGKQTSLVAEYIMKILGLDVCADTIVGDEMIKGISGGQKKRLTTGELLVGSARVLFMDEISTGLDSATTYQIIKYLRHSTHALDGTTIISLLQPAPETYELFDDVILIAEGQIVYQGPREYAVDFFAAMGFRCPERKNVADFLQEVLSKKDQQQYWCHYDYPYQFVSVSKFAEAFKTFIIGKRLDEELAVPYNRHRNHPAALSTSSYGVKRLELLKSNFQWQRLLMKRNSFIYVFKFIQFLLLFFLHQTSLALFRVMASLGRNMIVANTFGSFALLVVMILGGFIITKDSIPAWWIWGYWVSPMMYAQNAISVNEFHGHSWSKPFANQNITLGEVILTGYGLFKEKYWFWIGVGALFGYAIVLNILFTLFLTLLNPIGNLQAVVSKDEIRHRDSRRKNDRVALELRSYLHSNSLNGPNLKEQKGMVLPFQPLNMCFRNINYYVDVPEELKRQGIAEDRLQLLVDVTGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGLIEGSITISGYPKNQETFTRISGYCEQNDVHSPCLTVIESLLYSACLRLPSHVDADTQRAFVEEVMELVELNPLSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGQLIYAGPLGSKSCNLVEFFEAIPGVPKIRDGYNPAAWMLEVTSTQMEQIFGVDFAEYYRQSKLFQQTKDMVEALSKPNNESKELTFATKYAQPFCAQYIACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWKFGSRRETQHDIFNAMGAMYAAVLFIGITNATSVQPVISIERFVSYRERAAGMYSALPFAFSLVTVEFPYILVQSLIYGTIFYSLGSFEWTAAKFLWFLFFMYFTLLYFTFYGMMTTAITPNHTVAPIIAAPFYTLWNLFSGFMIPRKRIPVWWRWYYWANPVSWTLYGLLTSQFGDLDQPLLLADGISSTTVAAFLEQHFGFRHDFLGVVAAMVAGFCVLFAVVFALAIKCLNFQRR >Et_1A_009335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39547420:39550062:1 gene:Et_1A_009335 transcript:Et_1A_009335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLKLRAILFPFQMEPRREELLHELGDMWDEIGEAEDDRRGMLQALEEDCLNVYRTKVEQVRQHRAQLKREIADSVAEVAAICATIGEPPATVQTACSSLKGTGNLKEELGLIAPELAEMRRRRDERRRQFLDVTERLNRIRQEMNPGGHQPHVAVDTSDLTLSKLEELRAQLQNLQTEKESRTRKVSELRDLLRSSSLVLGMDPREFIYVRGQEEQAGDMSDAAMAMLASEIERLREIKRDRMQKLQDLVGSMLELWHLMDTPSEEQRRFQSVACNIAASEDEITQPGTLSIDFIHHVEAEVVRLENLKECRMKDLVLKKYDELKEIRRRARLPEEDGSDTVLMFDAIDSDAERSLILERLEAQISEARDLEFSRKDVLERMDKWQAALEEESWLDEYNRNENRYNVGKGTHLVLKRAEKARAVVSKMPAMVESLTAKVIAWEKERGTRFEYDGEGLLDMLEEYSNTRKEKEHERKRQKDQRRLQGPGERDASPVARPPPKNIKNVTRTLSMGGSAGGVRKTSGVSSRPSTPSFLKSPMSARRGGSDEGQLLSDSFE >Et_1B_009804.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:28228470:28231088:-1 gene:Et_1B_009804 transcript:Et_1B_009804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKLFRSLLADDGFVPLAVGFRRAHPLPPPAASAALLRKAAVQALERWHHLFGAHYRQLRLAVEYLKESARVQFPGLRATVEARAAREARTQDILAAKVEQLRESLASIKAEIRSTMDEILNGLDIIRAEYEKFEGYGNDEDAEQEIASLSMRSIRMASLMAGQWVPETEENEAVFDALREAYRLLVSKHLVTVNEWISVLVRVNLPDVRFRDSALKDFIDIKNEIRAVRDQCTDLGLDFDNVRRQKGNQEEDDDDFWVKGDIEVPSPVSVQSGVDVASTSKDTRKGKRVVEGLSSDIGKTPVANNGSRKLDPEKMRLLAEAPVVPWSSVLDRWGSSGDAHVNQRGLELESHWGRVDNDAVIPAEKIAELNVHSSIYKEDPVDILPCRAPLKNGGLCQRRDLKVCPFHGPIVPRDDEGNPIEQNSGSCDAGLHPVEHCDTGRSTDELQGSSDGDYMKESSSSRLTNIKSDYEDSDGISNFGKITVDQLAKQAIKIVRKRDLDHKARESAQRARIRQHNEDVLRDAAIASTSHTATAYEQPPEARGRRGRRGKTKAPTLASMLKKKVTTKDRISERLLNSRATDATIREVSHNEDMSYREAFPNQW >Et_7B_054192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16980981:16985501:1 gene:Et_7B_054192 transcript:Et_7B_054192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPTSGCPGAARLRSRWTARALAGAFLDLALAWACLCAAALAAAAARVLGLPLPCTCARPHLPCLLAFLARYPARALDHLHATLRSRFPFAAAPIPSSASSPEEEANGGGDQLHHQHQPAEEETEGAADGDLRRELEDARAVELQRELERERSASASAAEEAMAMILRLQKEKSALEIEARQQRRTADERCAFYEDEVEELRDIVLLREREARALRKEVEACRRLLGLAPEQEDEEDEVMVTPRSVMFEGEPSSSRSVDASRVQFQLGNDSGFSFETPLLRDELVTPDHVKGGIGDSIALQRTPSEVPVVESKQELDSCEEDGTETVEILPLSARSLDFNQAGDFHVEAAAGTEEQTADGFQEVACGGMDKTSHDHSGSENDANIYDVHVVDDICFSKEVKGLIGRSFSDATMQIERLQTRVTADDLLLKSLNAVRGAQDKIKLAANERRESLQLQLLEDIANQLQGIKDAAEAERHLTGTSRMSRKDVMI >Et_6A_046470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15652812:15653199:1 gene:Et_6A_046470 transcript:Et_6A_046470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLKGLQQEGLRFLYPSTSHSRSDNHRRSQPLGGGNFLQASKAFSTSTHFLLLTVSWSHEGFGRNVTTWSSFFMGSNQSRCRRKSSTRLTFGRLQGLHDVKALFTRRA >Et_6A_048193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9298175:9298949:1 gene:Et_6A_048193 transcript:Et_6A_048193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLQRGVESCFGATPAGGKETSPAAAKQPGGEDEPRKQQAAREPEASSRQTMREDEKVAKVGKKKRAPIVFI >Et_5A_042895.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6439711:6440001:-1 gene:Et_5A_042895 transcript:Et_5A_042895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGVVKPELITLKVLDQHGRRVFHTMRTTDRLQALTDKYYTKAPEVTYGTGTFLFDGSIRVVDWKRPADMELEDGDEIDFFEYAVGGGWDGDVDA >Et_4B_038548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29785574:29787935:-1 gene:Et_4B_038548 transcript:Et_4B_038548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLRLRVAVVLLLLASTARADLPPSNKVVFILAGQSNMAGRGGVVGNHWDGIVPYDCSPSPSILRLTPDLRWEQAHEPLHQGIDAANHAVGVGPGMSFANALLRSGRAGAPVLGLVPCAVGGTRMAEWGKGSQLYADMVRRARVAVETGGRIGALLWFQGESDTVRWSDANEYGRRMTMLVRQLRADLGMPHLLVIQVGLASGLGQYTEVVREAQKGIKLRNVRFVDAQGLPLQDGHLHLSTQAQVQLGHMLAQSYLNYGHAHSLTPRPWWLQIMVVCCFIFL >Et_3B_028152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1107520:1109315:1 gene:Et_3B_028152 transcript:Et_3B_028152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVPSSRRPLLAAVLCSLAFLLLAEGKVHHHTWDIAYKYKSPDCFEKLAVTINGEFPGPTIRATQGDTVVVTVNNHLETENTGIHWHGIRQRGSPWADGTVGVTQCPILPGETFTYRFVVDRAGTYFYHAHYGMQRVAGLDGMIVVSVPDGVAEPFAYDEERTVLLMDWWHKSVYEQAVGLASDPLVFVGEPQSLLINGRGRFNCGAAAAACNPNCSAALPTLFTAVPGKTYRLRIGSLTSLSALNFEIEGHSMTVVEADGYYVSPVVVKSLFVYSGETYSVLVTADQDPSRSYWAASHVVGRERSTTSALAVLRYQPGNGGDPWTPQPAGPAWNDTAARVQQSRSFVALPGGHVEPPPARSDRTLLLLNTQNRIGGHVKWAINGVSLRFPATPYLVSMKRGLRGAYDERPPADGYDYRGYDIASPAQWNGTVASAAYRLALGSVVDVVLQNSVALNNKSETHPWHLHGHDFWVLAYGDGKFDPEADAARFNVRDPVMKNTVALHPKGWTAIRFRADNPGVWLFHCHIESHVYMGMGVVFEEGVDKVGRLPKSITGCGRST >Et_6B_049969.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:2223159:2223356:1 gene:Et_6B_049969 transcript:Et_6B_049969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGARPVEPPADNKGTATANVADTKLTVILGPLTTTAVTAASKEVCPTCGATTATDIDDDASATM >Et_2B_021138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26862891:26866468:-1 gene:Et_2B_021138 transcript:Et_2B_021138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKDAAAAGSGIAAGPAAPALLCFDLKPFLAALTVLTLLTAAWQLRPYQSILASPFAACPRAGTPLPPRPLAVHAKKASAPNSTASPPQQQQTPGPERREFRAVGSAAALFVQMGAYRGGPYTFAVVGLASKPTHVYGKPWFRCEWEPNATNASSSVPMRAAKTYHMLPDWGYGRVYTVVVVNCTFSREPNADNAGGRLVLYAYHGPPSPPDHRERIVALEEAPGAYDAAAFRSPPHQYDYLYCGSSLYGTLSAARVREWMAYHARFFGPRSHFVFHDAGGVSPAVRAALDPWVRAGRATLQDVRAQAAYDGWYYNQFLVVNDCLHRYRHAARWTFFFDVDEYIFLPDGRKLEDVLAELEPYTQFTIEQNPMSSRLCVREPNNTDADLSKQWGFEKLVFRNSITGVRRDRKYAIQARNAYATGVHMSENVIGNTTHKTEHLIRYYHYHNTINVLGEVCREFVSIPPKGGLTWSEKTPWYYDDSMKRVADAVREFERKTIGNLEWHRKVGTSGWAWVVALHDWGGWLCPIAWGCLQLLPSFSWNSDEEFGECSDIHVELQQQPATSSEFKY >Et_2B_021583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3375046:3378365:1 gene:Et_2B_021583 transcript:Et_2B_021583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRRLFYRRPPDGLVEISPNILVFDHCFSTDLFEEDELRPYIGGILKQLLGRYSIDSFMVFNFEGDKKDNQIASIFSYYDMSVMGYPRNYEGCPLLAMEMIHHFLRSSESWLSLSPDNFLLIHSEHGGWPVLSFALAALLVYLQRYDDEKALEMVCRQAPDGLAELFSPLDPVPSQLRYLKYVSKRHISPELWPPVDAMLNLNCVIIRKEDCEIIKINVQCPVQGDVVMECTSLDEDYQHEVMMFRAMFSTAFVEDNLLVLDRDQIDILWDTEHRFPADFRVEAIFSDIDMSTTIRKSELSSEDKESLSKVDDVFSLLDWSTTRDHITKDESGQKEIHSEHDGFDVIPLEETESSNITAEHNLLDSRSVPKFEDDKDSVVSVHALPEPEVPGPNYLDSQLLNDTSTTEELEVDNTEDEPNSEMQSSNFRDAEAEDAASAEWSDNNSDVFLSDGASSSAPSSPPKFDDDILQAGIVETRSQPTELQV >Et_10B_003125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16892445:16896873:-1 gene:Et_10B_003125 transcript:Et_10B_003125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDITAAAGPLKPYAHVIPLLQCCCSVTLVMPVPVMSNYRLILILALLLTSAITVITTEELAHKKGSSLYVDRASDILLSPDGTFSFGFYNLSSTAFSLSIWFTNSADRAIAWSANRNRPVYRSRSKVMLKQDGALVLTDYDGTIVWQTNKSSTEVDHAELMNSGNLILRDQGGNILWQSFDHPTDTLLPTQPVTATAKLVSTELSHPSSYYTLLFDDRYILSLAYNGPELSTVYWPNPDLSSWMNYRISYNSSRRGFLDNLRQFIASDNTSFVSADWGPGIKRRLTLDYDGNLRLYSLNDRDGRWSVSWMAFSQPCGIHGICGWNGYVVKDPSDWSKGCKPTFNISCRGDPKMDFVPLPQSDFRGSDLDYIPSTLLDACQTLCLARCSCLAFEYKSDNNGCFLKSLCFIAFGWWFMARRHSEQSETWASEEGYRVVTNHFRRFTYMELRKATKNFTDELGHWRYGSVYKGILHDKRIIAVKMLKDVTKGEDEFNTEVSLIGQIYHMNLVRVWGVCSERKHRFLVYEYVANGSLAMFLFGNNGLLQWDQRYKIAVGVAKGLAYLHHECLDWIIHCDVKPENILLDEDFDPKISDFGVAKLLQRDQTDPNMSKVRGTRGYAAPEWASNIPINEKVDVYSFGVVLLELVMGFRASELGSNRGSDSEAALRQLIWTIRENLKCGDQSWIAGFVDRTLSSNLVLEQVSLMLEVAVMCMEIERSQRPSMDDIVQKCFERIFTITLNMWFVFNIFLKENSSSPHHYYIFLAGSCSVVHGNHIVSKFRDTSLADHFHMFTHKELQITTRNFKDEVGLRRHGFMYQGIIHDSPVIAIKKLKGLKGGEAF >Et_8B_060319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9324630:9325573:1 gene:Et_8B_060319 transcript:Et_8B_060319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCDEVPVFHYRLIDYVGHSFLLGAGGGSVFHVINGLRNPLDGDRVAGAILAVWTNVPRVAGRYGAFGALLCCFQTAVARARRRDEDHWDSIVAAAAGSALFVARRGATVAACGALVGATWATGFAALDVYIDRRMDSWNWKPQRYRPLRAPVAVIRGGEKGAVSLRFFIVIPQTCCLCQTFACVYYVVDLSRLEGHHFLLYGEKFEVTPED >Et_6B_048354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15373880:15374306:-1 gene:Et_6B_048354 transcript:Et_6B_048354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIDISEILDRKVGENFESIGSMWLSDKRFCIINMVTLSFLEGDVYDLGQDHIDGDKLANPTPGGEAGFAAAAYCWVEGDEEETWKNLDGSAEHAIRKFKSMKVKEEYDVMERCAQGDQAKMELV >Et_1B_011466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22621794:22625699:1 gene:Et_1B_011466 transcript:Et_1B_011466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDALAAHSAANANAAANFFCAAAGVYASTHPPVPLPADPSLSLVPHLLSRLPRARPHAPALIDAATSDAISRADLRRLVSSLAAGLTRRLGLRKGDVVLLALPNSVVFPVAFLAVLAAGGVATTMNPSSAAAEIAARVLETRPAVVLAAPGNAGKIPPFCAPVVLVPESLDDRCDGVGAPEFAPFRALLDSGAATDPVPVGQDDAAAILYSSGTGGPSKGVVLTHRNLIATVELFVRFEASQYAQPACDNVYLAALPMFHVYGLSLFAVGLLSLGSTVVVMKRFDAAAAVKAIDRYRVTHFPLVPPIMAALVAAAKTAALPLNSLVQVSSGAAPLRGKLIADFVQAFPHVDLIQGYGMTESAAVGTRGFNTMKQRKYASVGLLAPNMHARIVDRDTGTCLPPGSCGELWLHGPAIMKGYLNDENTCLRMDGWLQTGDIAYFDSDGYLYIVGRLKDVIKYKGFQIAPADLEAVLIEHPEILDVAVASTDDEEAGEIPVAFVVKKAGSALSCTQVIEYVANQVSPYKKVRKVVFVESIPRSAAGKVLRRLLKDTLRDDVASASSTPSSNCRSRL >Et_9A_061828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16939093:16950733:1 gene:Et_9A_061828 transcript:Et_9A_061828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKLWKKFRGEDKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSFVFSKGKVHKVPATDMEALKSPLMGIFEKRRARNFFIYVQDYNEADPKTHQGLDLTRITTRELISKHGLSDDTVDFIGHALALHRDDRYLNEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIPNTNESHSVQIILPQKQLGRKSDMYVFCCSYTHNVAPKGKFIAFVSAEAETENPQSELRPGIDLLGQVDELFFDMYDRYEPVNEPSLDNCFVSTSYDATTHFETTVADVLSMYTAITGKYGLSADTVDFIGHALALHRDDRYLDEPAVDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQVRKIGRVIRAIAIMSHPIPNTNESHSVQIILPQKQLGRKSDMYVFCCSYTHNVAPRGKFIAFVSAEAETDNPQAELKPGVDLLGSVDEIFYDVYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLNMYTMITGKTVDLSVDLSAASAAEEY >Et_4A_033296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21121461:21121941:-1 gene:Et_4A_033296 transcript:Et_4A_033296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIGTALSALLISSFFLLAAPPQALAATDHDVGGSVWCIPPSAGLYEAWAANTTFVAGDNLVFRFDKGFYDVVEVSKREYDDCTAGDPYNTFSASPAVVPLDAAGVRYYVCSVGNYCSLGVKFFVTVQPQ >Et_3A_024641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22938453:22941566:1 gene:Et_3A_024641 transcript:Et_3A_024641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNFGDSMGWSNSGRSPGSSRKGKRGGGSSGADKPKQPQRGLGVAQLEKIRLQSEMAEYFHPLGQPPSLIHRTGSLSLEDARASTSSLSSSPSSSLHATTVSSPFPIHPNFAMAYGERGDVRYGEFQTPIIRSPSSSAIYGAPHYAHPGVTLPLFEPEESARLKGHHDRSRSADSTSMNSDDPQDA >Et_2A_016205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2212834:2214798:-1 gene:Et_2A_016205 transcript:Et_2A_016205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAIYSLFIINKSGGLIYYKDYGSAGRMDTNDSLRLASLWHSMHAISQQLSPTPGCTGIDLLQAHNFDLHCFQSLTGTKFFVVCETGAPNMEILLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRVALLGR >Et_2A_014953.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23205189:23205365:1 gene:Et_2A_014953 transcript:Et_2A_014953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGFGRVEGCPRFFTLVPTLLSPWSRSGFGKIPTMSLIFMSFNRSLYLRKSSTEPAFGR >Et_1A_009499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6707137:6708087:-1 gene:Et_1A_009499 transcript:Et_1A_009499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKETDSPPSKLPRLSSADTSAGAAATMAASSPLVLGLGLGLGGDNSSGGERDTEASATAAAASAHKARSALTFMQQQELEHQVLIYRYFAAGAPVPVHLVLPIWKSVAASSFGPQRFPSLMGLGSLCFDYRSSMEPEPGRCRRTDGKKWRCSREVVPGHKYCERHVHRGRGRSRKPVEAAVASAAAPAPNAAVSRVSHGAGASPAPTGLGFSPTSVLLAHSAARAT >Et_9B_065642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8518037:8520452:-1 gene:Et_9B_065642 transcript:Et_9B_065642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFYLARGASKVVRRVTSETAVELKILSEKWRLLLAGLLFQYIHGLAARGVHYLHRPGPTLQDLGFMILPELGKERGYISETLFTVVFLSFVLWTFHPFILQTKRFYTVLIWRRVLAFLCASQFLRIITFYSTQLPGPNYHCREGSPLARLPPPKNVAEVLLINFPNGVIYGCGDLIFSSHMIFTLVFVITYQKYGSIRFIKMLAWCIAIAQSLLIIASRKHYSVDVVVAWYTVNLVVFFVDKKLTELPDRSVGSTSVLPVSVKDKDSKLKEESARLLNNSNPGDSADRRPRTQMNGKLVENGNHVENETLKT >Et_9B_066094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3099095:3099833:-1 gene:Et_9B_066094 transcript:Et_9B_066094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRSRLLLLCLAVAATILLQARAQTDSTAGFISIDCGLQGTASYLGDGTTLTYVPDAGFIETGENRNISMYEYDTSQFSKLYLTVRSFPNGTRNCYTLQTANSGHKFLLRGFFLYGNYDGINEPPVFDLYVGVNRWTTVNITKADTAVIKEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLKSTLYPQANETQGLVLVDRWNFGQQTGVVR >Et_3A_023723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11302813:11305797:1 gene:Et_3A_023723 transcript:Et_3A_023723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKRGGGGVLAAAVVAVLTAVAVMAGQDDPGEGGASACTRRPVVFAFGDSNTDTGGAVAGMGYYFPLPEGRAFFRRSTGRLCDGRLVIDYLCESMNMSYLSPYLEALGSDFTGGANFAISGSTTLPRNVPFALHVQVQQFLHFKQRCLDLIAQGESAPVDAEGFRNALYLIDIGQNDLSAAFGSGASYDDIVHQRIPAVTSEIKDAIMTLYYNGAKNFWVHGTGPLGCLPQKLAAPRANDTDLDYSGCLKPLNDGAYEFNNQLCAVCDQLMSSQLRGATIVYTDVLAIKYELIANHSAYGFEEPLMACCGYGGPPYNYDPNVSCLGPGFRVCEDGAKFVSWDGVHYTDAANAIVASKILSGEFSRPKVPFDYFCKA >Et_3B_030717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6699758:6705118:-1 gene:Et_3B_030717 transcript:Et_3B_030717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTYAAAAAGSSSRKTNRITTASVPTARPPAPALAPAPAHSVAKPSVVSDSDPSSYSSSSGDEADLTGCDSATASVVSAYLSVAGEGADLSKVGIFLSSAARRRSPPCLICFDPIRPSDPVWSCSSSCFAILHLPCIQSWAHQSSTAAPSPTWGCPKCRFPYPKSQTPTTYLCFCTKTLDPAPDPWILPHSCGDVCGRRLNANPDSGCEHTCLLLCHPGPCPPCPAVVSNARCFCGAYREPRRCAHQRYSCGGKCNKRLSCGIHRCPVDCHEGNCPPCAVRGNHKCECGETMEEKLCSERVFQCKRECGGMLDCRKHRCDRGCHSGKCGECPLRGRRTCPCGKKDYPKLECDAEAATCGSTCEKVLGCGRHRCPERCHRGPCDETCRLVITKSCRCGGLKKEVPCYQELTCERKCQRLRDCGRHACKKRCCAGDCLPCSEVCDRRLRCGNHKCLSPCHRGACSPCPLLKTISCACGKTNFEVPCGTEKNQKPPKCSKKCNIARLCRHKLESRPHKCHYGACPPCKLTCGEDLSCGHKCKERCHGPISPPNPEFTLKPTKRKIEKHIECTPGTPCPPCQEVVLVPCLGQHLGQERAMLCFNWRPFPCQNLCGNLLNCSNHYCTKSCHALETPVEEDTIGSVNRGKIHAEPCEECNLPCQRVREPPCSHPCPLPCHLSDCPPCKVLVKRPCHCGAMVHAFECVYFNNLNAKDQLKVRSCGGPCHRKLPNCSHLCSEVCHPGKCPSIDQCMKKVNVRCSCNTLKQEWICQDVLKEYRKLGRDPKEVPKNQFGVGLLACGRDCIKKVKVPDSELHLRKSQENKSSVAEVANVPKRRKRRDRGQETVEISKFQEVKAYVLRVLLIILLLITVVAGLYLSWKGILRLSDWMNEMEEQRARQRHPRGAML >Et_3B_031168.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:19771978:19773435:-1 gene:Et_3B_031168 transcript:Et_3B_031168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGGAMKQTVVLYPPDGVGHLGPMTQLAKVFLEHGYDVAMVLLEPPVKATDSNTSFIESVVASNPSISFHVLPKIPPPDFAGSTKHPFLLKLDMMIQYNEYLESFLRTIPRKRLHSLVVDMFCVHAIDVATKLDLPVYSFFTSGAGVLAVLTQLMTLLASRQTGLKELGDTPLEFLGAPPMPASHLIKELLEHPDDEVCKAMMTIWKRNTETHGILVNTFESLESRALGAFRDPLCVPGRVLPQIYCIGPLVDKVATNQVKAERHECLEWLDAQPERSVVFLSWGSKGSLSKEQLKEIAVGLEKSEQRFLWIMRTPSGTDELKRFLEKRPEPDFDVLLPEGFLERTKDRGLVLKSWVPQVEVLNHLAIGAFVTHCGWNSTLESIMAGVPMLCWPLRSEQKMNKVFMTEDMGVAVEMAGYNTGFVKAEEVEAKVRLVIESEKGRELRKRVVELKKEAGEALVEGGSSQTAFVQFLEDVTKIVNSA >Et_2A_015572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15135581:15136254:-1 gene:Et_2A_015572 transcript:Et_2A_015572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCVGETRQFACSGIFIEFVLGMSILTSASLVRSADDENKIDHQLQVSFTIHFVPLLHVLCLPNVNTIVIPNFRVVNIYDPVRAEIGCKVVAVGRIFNSGRLTSTCGIVTGKESSFDCDELLVSTCKINKDGIGGPVIDFNGNFIGMNFNDKGKTSFFPGYVILGLTHLKS >Et_1B_013424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8265788:8267262:-1 gene:Et_1B_013424 transcript:Et_1B_013424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFVIVSKNDIPIYEAEVGSAPKKEELAYQHQFILHAALDVVQDLAWTTNTMFLKSVDRFNDLVVSVYVTAVICFLNSAPHTRFMLLHDSRSEDGIKSFFQEVHELYIKIFLNPLYLPGSRITSSHFDTKVRALARRYL >Et_3A_023333.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:21272503:21272655:-1 gene:Et_3A_023333 transcript:Et_3A_023333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSCCQQVFQSANKLWEGWLECRAPQKLASRMGCLGGIGVFVLVGVARQ >Et_2A_016014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20136387:20139177:1 gene:Et_2A_016014 transcript:Et_2A_016014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KGKGAAAAGGSGGGGAASSASSGSGAGATANPARCQVEGCGVDLSGAKPYYCRHKVCSMHSKAPLVVVAGIEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRRPPPGPLTTRYGRLAASFQEPGRFRSFLLDFSYPRVSSTASDAWPAMQPGTRMSSTIPWQGSHELHPHRSAAVAGYGDHAYIGPGGSGAPMAPAFELPPGGCVAGVATDSSCALSLLSTQPWDTTQSASHHRSTAMSTASAFEGTPVSPSVMASSYAAASTWTGSRVHEGARNLHHHTPHEDDLHLVHPAASSAHHGHFSGELELALQGSGSSNPPQGDHVSGGTYGHSSNAVNWSL >Et_9A_062899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6427575:6431857:1 gene:Et_9A_062899 transcript:Et_9A_062899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQAGMGLTRVVVLVGAGVAGSVVLRNGRLSEILGELQEILDKGNKGKGGGEADTSVEEALLKQVHRLTMEVKQLGHSRPITVVSGGSGQTGLSGLIVPAATVGALGYGYMWWKGISFSDLMYVTKRNMANAVSSMTKHLEQVQSSLAAAKRHLTQRIEKLDDKLDQQKALSGQIRDDVTDARLKLENIGSEIKNIKDLVWGLDGKIDSMEAKQDFSCAGVMYLCQFIEQNGGKLPERLYAAIELAYKQLMDNQITFQGLQLAIESGNFDKGAIESLIDNTDSSDKINRSSTIKSAS >Et_8B_059524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18877079:18877508:-1 gene:Et_8B_059524 transcript:Et_8B_059524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRLPRQARGREARPESESTPERVAVVRRRSGKRDTELSGVVALLAIPGKAGTGQDGVFLAGGDGEGVSLAGSAGEIRMAPDGGCAPAWASPCAPPPLLRRQPGQGVTVDGRPTATTSPSTSARTHRVLSCSNY >Et_8A_057859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8445090:8451268:1 gene:Et_8A_057859 transcript:Et_8A_057859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECYDWHICVECRNISDYQCLCCPFYSVCRNCLGKVKFVKMGKQNKGLCGNCFHLAILIEKNAADPREKIGYEDSDFSRILFKDYWSVMKDREHLTLIDLQEAGGLLDRDINCTHEEDAEKFPDGDHKSEEDLLGDSDDSEQFPFDSKRKPDTVKRKRSKRKTYVGWGTEELIEFLSSFGKDTKEPLDEAEVVEVVKEYIGQKDLFLGDKKKYFQCDDKLRPLFTRKKVKFNMLHSKLRTHLAANSDSEEEDDDGSEDDNGPVLKKKLLTDIEPKIAMRVSERNKRCFASLNQRNINLLYLRRSLVITLLNHPDTFEQKVVGCFVRVKHNVRSHLYQKATEPFQLGLVTGIKKSKELYKVKDTCTNVFLCVAGFLDDVEIPVLSDENIEEDEYNDIIRLVEEGLMKRATVLNSWVVIADPLIQSKGETNRIDS >Et_5A_042135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6646311:6647655:-1 gene:Et_5A_042135 transcript:Et_5A_042135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPERRPFLGIVQEQLGYVHCYFCTSILLVSVPSSCGGGQELRTVAVQCGNCAGVLSVTLPPRTPPPPVELPLQETGVDTPPRESDESSGENTEVEAAEDFVVFPAVNKPPVRRQRTPSAYNCFIKEEIRRIKARDPGITHKQAFSAASKNVNHFFSSKLQAVCASLFSASGLTYRESSRREPEGSAAEQLPH >Et_2A_017851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6984910:6990631:1 gene:Et_2A_017851 transcript:Et_2A_017851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAVSGAGADESNRLKREVARLLDECRASLAVHPRKLRELAALRSSSSSGGGGEGGFLPAFCIAIMPLFDLARRSAGSDRAARFVAAFASASASADGGGDGFLEGFLRFLANASEAAHRPARFRACQILSEIIMRLPDNAAVGDETWDEVIKGMKVRVQDKIPAIRTFALRALARLATGVDDDGILDLFLETLDTEQNAEARKIIVLSLPASNDTLKRVIGSTLDVSESVRRVAYSVLSTKFPVQSLSIKQRTTLLHRGLSDRSSQVNNECLKMLKDEWLVKQCDGDVIKLLRFLDVETYESVGESVMAVLLKDGALRVQDGQSIRQYLSTNGENEAEQASNVQLMDAEVALYWKIMCKQLQAEAQAKGSEAASTTGTEAAVYASEASDKNDLLDSILPSTITDYVDLVKAHLSAGPNYHFASRQLLLLGEMLDFSDTMNRNVASSFLRELLKRPLDHEVDDDGNQIAIGDGVSLGGDKEWAKAVAELSKKVHSSVGEFERVISTVVEELARPCRERTADVMQWMHCLAVTGLLLENTSTLRNLQGKAIEPSELLQSLAALRCLCLLGLLENRPNTELVQQLRLSFINGPDLVSAMACKALIDLVTWHGPQSIDQAIGIELPDGSYEKSQFTPVDVSDLNGDDLNIGILDLLFSGFHKDDWEYSIEGDNHDNVPTILGEGFAKILLLSDNFTSISADLHAIILAQLVRLYFSEETKELERLKQCLSSCVSNAFVPVMRAMWPGLYGNHGGSTHVISKKRKSAVQAARFMVQMVQTQLFSTESTDQASKSPESASNAADMSNNFDVSEEGLAIRIAVEVVNCPDKKTAAGKAYALALCKVAVLLRFRQSEQKAIKCMRGLVNSLAALVASDKDLMKELAQMSARLGSLDAYPEEELPQDEIDEIFKKLGLDGGLKLDTNQAMPPTPAPRSVRAAAPSRRRTRRAPSSSDESDADGEEVNLPATSVSRVPATPSMTRARPQRASKATALSKMSARPAAVSSEDESDDQSEVTSEEDEESS >Et_10A_001235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22436656:22440837:1 gene:Et_10A_001235 transcript:Et_10A_001235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTTREFLLFESPTPYSESISWDSEMSWFDKPHFGCYTVLEFSCRAYLCNKHNTRDFSTSNKVTSGRLYHQKELKSTLHVKDNDSIIDRIQESTRGLKQGPPGKNLSSTEKRKFLVNTLLNLEDSKEVVYNTLDAWVAFEQDFPLASLKQALTALEKEEQWHRIVQVIKWMLSKGQGTTMRTYEQLVCALEKDNRAEEAHTIWQKKIAHDLQPVPWRFCHLMLAIYYRNNMLDRLVKLFRELEACGRKPPSKDIIRKVEDAYEMLGLQEEKKTLLDKYKDLYNKTSRNDRKKGSKSKTTERNKTAGLQEP >Et_1A_004816.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:10141927:10142040:1 gene:Et_1A_004816 transcript:Et_1A_004816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLALAGGEARTGKSCKVSSVSARASLLPFQKLPCRF >Et_4A_033428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22770524:22775541:1 gene:Et_4A_033428 transcript:Et_4A_033428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAFDLNTRIFLSMSRQLPYGDKSPRMDFFEAAFNGDLGRLREMARGKDAEEKARLAEACVGGLGPLQAAARLGRLDVVRCLVEELGFDVNGGSKDYGITALAAAALDGKLETARYLLDNGADPNKKDDTGSIWYYVNIKRGASVDVAYFHGTPLHIAAAYGKPSAMKVLLEHHADSGLLPIQIAACQGSRRVVEILFPFTAPVQIVSNWSVEGILAHVKAIHSKAKKSAKAELKSDGDKAVARKDYLAASKLYSEAIELDPNDATLYSNRSLCHLKIGEATKALFDASACIKMRPEWLKGCYRKGSALMLLKEYKGACDAFMAGLKLDPNNAEMEKMFWQAVDAMKKEHAGRKNLDGID >Et_7A_050260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16122089:16122813:1 gene:Et_7A_050260 transcript:Et_7A_050260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQNLFAALQIRHTNNNLSGEAARPAKSSIQCFWPVSSSHDDNFHVRVKTIHFGKQLLLIQRLVPLIIETSTSTSTHGIKFINKND >Et_4A_032769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13595269:13597513:-1 gene:Et_4A_032769 transcript:Et_4A_032769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGERKATLLRWWSSSVRGSGRQLWADTAWRAHAGMIVSQLAYGGYSVLTKSALNVGVNRVVFCAYRDLVALAVLAPIAFLRERSVRPPLTPQLLASFALLGFTGLFANPLLFVLGLGYTNSSYASAFQPSIPVFTFLLAAIVGVEAINSTKHGIFKVLGTAVCVSGAVLMALYKGPSLLGLGSTNAADEHPAQWLTSTMLFYGVDTWHLGILCLIGNCFLTGVYLVMQARVVVKYSANLSLTAYSYAFAAIYMVLTGVFATDGLHEWAVTTTDVIAILYAGIIASCLSYAIITWATKIIGPSLVALYYPLQPACATFLSTIFLGSPIYVGSVIGGFFIIAGLYLVTWARYNEAQQALTVGYLDPLLVGHSHPRATKIEESSLGVFIDP >Et_10A_000450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10581823:10582676:1 gene:Et_10A_000450 transcript:Et_10A_000450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYIAALHEASVDIFGDSLGYAAQSVAEKHSFTLLHCWKIMKDEAKWMDLRRKMDTPQNSAYRDNDLTSEQRNFLDLDPDDASPARKRPMGRDAAKAAKKKAASDSSAYVSKMHELKIERAARLEEMLALEKVKANETREHQPLRKNLT >Et_9B_065872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1686773:1688692:-1 gene:Et_9B_065872 transcript:Et_9B_065872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWYALAWRRNLRSAYAYGRGCYLLRMTVLKAGKRGRAIELDTLLPKGALPAQQLPSNPSSVPWAYVVGFAEGTDVVFVGINTSDNRGAVYMVQLNSRRARKVFEKCTEVFPYTSFCIPGAGECRKILCIELNSVYVFWAQSGRTRER >Et_4A_032795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1437541:1452186:-1 gene:Et_4A_032795 transcript:Et_4A_032795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSRTLSRAGPMQQPGPRRILRTQTAVNLGEPIFDSEVVPSSLVEIAPILRVANEVEASNPRENEPTLRGRAQKSDAREIQTFYHHYYKKYIQALQNASDQVDRAQLTKAYQTASVLFDVLKAVTQQHSVEVDHEILEAADKVKEKTKIYLPFNILPLDPDSGNQAVMRFPEIQAAASALRNTRGLPRPKNYEHKVNEDLLDWLQAMFGFQERQSTFSFTDNVSNQREHLILLLANIHIRRNPKTDQQSKLDDNALNDVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECICYIYHHMAFEMYGMLAGNVSALTGEYVKPAYGGEKEAFLRKVVTPIYNTIAKEAERSKREKGNHSEWRNYDDLNEYFWSADCFRLGWPMRADADFFCQPLNSTDERSESTRGRQKGKVNFVELRSFWHIFRSFDRMWSFFILALQVMIILAWNGSTLANIFDPVVFKKILSIFITSAILNLGQATLDIVFNWRARRTMEFAVKLRYVLKFTLAALWVVLLPVTYAYTWENPTGVVRAIKNWFGNGRDHPSLFVLSVVIYLSPSMLAAVLFLLPFIRRKLESSDFKLVRLIMWWSQPRLFIGRGMHESAFSLFMYTMFWVALLLTKFAFSYYVEIKPLVEPTKDIMKAPIHTFKWHEFFPRENSNIGVVIALWAPIVLVYFMDTQIWYTIFSTLLGGIYGAFQRLGEIRTLGMLRSRFDSIPLAFNACLIPVETSDAKRKKGLKSYLHSRFKEIEHADKEKIAARFAQMWNEIVTSFREEDLINNREKELLLVPYVADQALGVMQWPPFLLASKIPIAVDMAKDSNGKDRDLKKRLDNDYYFSCAIEECYASFKSIIRDLVQGEEEKRVINMIFVEVEKYITDDKVITDLNMHALPDLYNKFIELVKYLKDNDEKDRSAVIKIFQDMLEVVLRDIFEDQLSILESSHGGSYRRPEGATTWDQEYQLFQPAGAIKFPLQVTEAWLEKIKRLELLLTVKESAMDVPSNLEARRRLTFFTNSLFMDMPDAPKVRNMISFSALTPYYNEPVLFSIKELEEENEDGVSTLFYLQKIYPDEWKNFQERVGLEDELKESEDLTEELRLWASYRGQTLTRTVRGMMYYRKALILEAFLDMAKHEDLMEGYKAAESVNDEQWKIQQKSLLAQCEAAADMKFTYVVSCQQYGNDKRAALSNAQDILQLMRTHPSLRVAYIDEVEDRVGDKKMETAYYSTLVKVALTKDSESADPVQNLDQVIYRIKLPGSALLGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKVRNLLQEFLTEHGVRHPSILGVREHIFTGSVSSLAWFMSNQEHSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVCKASKIINLSEDIFAGYNSTLRGGNVTHHEYMQVGKGRDVGLNQISKFEAKVANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLLTVVTVYVFLYGRLYLALSGLEEGLSTQRRFVHNHPLQVALASQSLVQLGFLMALPMMMEIGLEKGFGQALSEFIMMNLQLAAVFFTFSLGTKTHYYGRMLLHGGAQYRGTGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIIYQLFGQSYHSTIAYIFVTFSMWFLVLTWLFAPFLFNPSGFEWAKIVDDWSDWNKWISNRGGIGVSPDKSWESWWEMEQEHLKHSGTVGLFVEIILAIRFFIYQYGLVYHLHITGDKSILVYLISWLVILVVLLVMKTVSVGRRRFSADFQLFFRLIKFLIFVCFIAILIVLIVFLHMTLRDIFVCFLAFLPTGWGILLIAQACKPLARVVGLWGSVRALARAYEIIMGVLLFTPITILAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKERASPATDENNGINQKVVVYGGNAIRRGAGKRNQSPGTPLRQCRR >Et_3B_030212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31344458:31346927:-1 gene:Et_3B_030212 transcript:Et_3B_030212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKEAVATGSSANAGSSRCNRLLRRKSSVAATAVQKPSRAPLQSDNGTANVAKANGEVRNEKKDKAIPSPENTIEEEKEEGFSDKADQVVKDNREVSAVEDKATPILNAVRQKKEVQIKKDEALIKDAKEAIPVVKGKDASTKKAIEEKKQEAGKKDEVVKDKMVAGDQKVNVASTESVISEEKEKDANKYSVAKISTEASFKEKNNERDDEDMPTAETDEAESAEDDVQGSEDDSVTFAVAPMAEEDGSVTFMAASGTEDDVSVTSEAAPTTKEVDGITFADALKTKEDDNVTLMASVIKGDSNVTFEATPVTAADSSVTFETALATKDDDVVAFTDAPGTKDSVSFMTSVTEEDGSVTLETAPATKDDDDVTLDNAPAIKDDDRVNFETAPATKDDDNVTFETAPGTKDDDGVSFETAPATKDDAGVTLASAPVTKEDGDGTFLAASVTEEDGNVTSEYAPMTKDQDDSVTFVADPLVKKEHVAEQLERSDDNEEVRTEADLAEPTDVNVDEVLTKVNGTAEVVAEAEKHMPSEGNEEEVNTKIALTDRTVVQEVVAEVGDAIQVEEKKKVDIVEEIKVDQDLESASKLSEENKSNAASKDEDRESDGKQTIDLKEAITTERKEEELTIPEKNDDENTAGP >Et_3B_030339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32297862:32304173:1 gene:Et_3B_030339 transcript:Et_3B_030339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAAGEDTVAGAVVLEITDTSSSTTGDAPSSPPPPPPPVSVSDLASINPLPSPTIASSSYFRALLGGSFSESGREHVQLGCNLEAAVQVLRYLFEPSESFVITHENFLPLLEGAMFLAVENLLMECEKWFSNMSSQTSSQLVPLDFIIEVWCFAQEHGVTFVQDICPGYLAQNFVRVISRKSFDKTPYDLLCSTIECPHLTVDSEKQLCEALLYWVSENMKPCEQPCQNTIDGQLCLLNKVKIWLLPLEFATGTKIHWLDFGNNIVCMILSLLKNSLQILLDSVADGTLERYRIRITKYSKKIVLSGCPQITTAFLYISVLPTDLDAAFKRRIVSSYTQIDHKSFILYDELETAVKTLSFRNVHMVDLSKCPKVHFGSAIDWLKLSFPELKTFRALYCLSFQFNDLLYLLLRCPWITEIDLTVDTGTVMPRHSVISSSSEILGKVKPNLRRYYIQYPSYDNQLNSVFSNISKLTLEGRNDIDDVNLLQISVLKKSLCYINIRNCTMLTDDGISTFLLKCKKIHSMVLSYTSFGDQSIQTLCMSRLSDSTDHNDEHAHVMAVNMQELHLAGCKGIGSAALSQLMSNINATKFLCLRETSLTDGALCKFVGSFLEYLDVSETKVSMVSLAPVIRRNSYLNCLKSAGCPSLLFEHNEVEPMSDSNYGDFLQEIKSICYLEDVEMGWGFCPILIEDLIPSFSRVRKMTIGLGTTLAESVLHSLPEICPFLEFLILRFQVISDRIVRNLLESALNLQVLCLQCCLGSLTSYSFQAKAPALRIIRLEWVTPWITNDDLTTLTQNCSLVELSLSGCKLLDSSSQEIICSGWPNLTLLHLEECGQITIEGVSSIFNCQALEDVLLRHTGRGIGRAIISDAIRELPLLRKLALDLCDASEEGYDSPNVSTRKISGEALTLIDSC >Et_5B_044290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22254890:22256501:-1 gene:Et_5B_044290 transcript:Et_5B_044290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYTYVSELWRKKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDRARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGVTQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEVILVDVHHNAIRNDPRINWLCNPVHKHRELRGLTSAGKKFRGLRGKGSTHHKNRPSRRATWKRNQTLSLRRYR >Et_7B_054123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15761292:15767516:-1 gene:Et_7B_054123 transcript:Et_7B_054123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TGVSSSIGTRKAPARVFRCAVATRCDSNTIETVNGHVVRIGSILNSSQTLENGFSEEVCECFFAGFPIKWKELANMENLDGHLQSPPKSADSAPSASVEFYLKKFTSDSFANSKGYFNSLRRFTSNTDGPPTQKFSNSSNGNAGNSDNSMSKAGDMPMPEVPLSREIGSNSQEDQHESLQIVACEQENVDRIVSVASCSKIDGNKPPASKTASVEKEGHMRRVGCHQEEQDAEVQHENMRSCSGAKETVTYAVDSHMSRSSSDLGELGTPECSKILVNLGTGDALEAASEKNEGRKLRSGKVLGELSSAQLKSGNKQKRTQHKTSNNMKGFSFLFSVHPHFPPLLNLRAQTYNANYIATTGKARFEGADNKGFQPYNQQRLDDIQEPLIHLIENCSYLRSSSERRAAEQITSSAGLPTSTSPSTTFALQSSSFHDAPNAPFTWSPKISTSWLAPSASGTMHPSAFELGHEQRKKSGAVLPCHMLNRIERFNSIRHERRIPEYESSSRGERRILPARSKLRRKNSSCRAGGIAADRRTPPVAGSSPATQAEAVVCSGSADFLAWELGCRSFFSLCF >Et_1B_012095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28935791:28941193:1 gene:Et_1B_012095 transcript:Et_1B_012095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGRNQQQPNQAAPAVGGEMKEPAAAAQQQRPAAPGIPAARPWPVVFTPTKPATEVKSVTPKKKKHCNCRNSKCLKMYCECFAAQVYCDGCNCSNCGNNLESENLRKEAIETLLARNPLAFQPKIENGPSTHNVRKDNSGAIPLVPKHNKGCHCKKSGCLKKYCECYQANVLCSKNCRCMDCKNFEGSDERKASIQVEYASDRNHIKQGASIIHNSTTGTSGYNYSPMRRKRTYEDALGGKLNTAGVMLEAQFRQGNPADASLLPPPSTGCDGHVATHSPSKSFNPSYRSPLANTIHLNNKVDETGGGKELHTNDGLSNGHCNQQDSKEAQTLACNEPNVKDSRPASPATQALMCNEQDTTFGDDYRSSFPSISCDQDISEINVAQENLVLTGIRDYLRVIITRGKINEHKSSSEAAIELGAQLDHGATPSISPSKAEENDTSSNGTKTLRSNQQSISNDGSKGNNG >Et_2A_017822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6729115:6734655:1 gene:Et_2A_017822 transcript:Et_2A_017822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERAKEWLLAAGAGAAVGALSAAAVTRLLSRSKRREGYVRDLLESNGVTSGNARPGGHPGAIGSSDLLSDEVVSEQLTRNIQFFGLDSQKKVTESYVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTPKAYCLKKHFSMIYPECQIEARVQLYEPSSEDEILSGKPDFVLDCIDNIDTKVALLAACVRRGLRVLSAMGAGARADPTRIRVADLRESSNDPLSRAVRYRLKKDHGIEGGIPVVFSLEKPKAKLLPFQASKEEETPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVVTQLAKLDFQTEPIVNLDLDHYRILHQRLLEHEEITYGTAEQVLVDAEEVMYIVKELWRGRSARDQSLKDTGRKMWRAVNELMLVRWDKTKPAGVSNLILLKFSEADAHESTTLDQIKEEEPEFHSMVSRVLKRAEMDYSKTAAKQPSEHGHRGETK >Et_1B_013108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5495036:5495467:-1 gene:Et_1B_013108 transcript:Et_1B_013108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQHGLLSPVAKQQQQDDGSGSDAFQQMAVPEESSTSSSKADKARLLREERTLHLIPLLTFLCFLLLFLCSRDPSAADMSSFGGGETSGNRKLRML >Et_4B_036078.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21699192:21699467:1 gene:Et_4B_036078 transcript:Et_4B_036078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVLQQLIKEEAELHKKLEVEIREGEKLKSKEKNCDEKMMHMLNCDCEGLELEDLKSFHDKLVEVHSIFKDRTT >Et_7B_053996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14022585:14029572:1 gene:Et_7B_053996 transcript:Et_7B_053996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGAHLLYALTGGAALSRVAGHGRFGPHHCAVYAANAFLGPDLGAFAEWLCSFLPSASAAGGLAMAAVHHPFYYPLLLGLPLAWAYAWLSRRLLRAGVLDSPAGAALNRRQCFLLISAGSLSHFFLDHLFEENGHSAMYTWILSTGWWKGRAPINPDAVVVVGLLCICLIGGFVYINRVKHGMSETEKSNQSFVLILVIAILYCMWCASQIYLRRPSQPAIGEEADLGVIIFLAIYLFLPHGLCILSMNQKYYTEDSYARDSTMLMLLYFSLSFKEISRAFSNLDTTCSIDGRCFLWPVHDRASFKLTSKASA >Et_1B_014277.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:4832117:4832572:-1 gene:Et_1B_014277 transcript:Et_1B_014277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLALSRMLLDRFFLDAGVVGNARPPMDWKETPEAHVFRMDVPGLAKDQVAVEMVDGRVLRVRGGKQDDAAEKEGKQEKEEDGDGAVRWHCRERAGTRAFETQFRLPEDAAADEVRAAMADGVLTVTVPKRKGGKKRHHGGNKPVCCRFWP >Et_1B_014383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7249513:7250050:-1 gene:Et_1B_014383 transcript:Et_1B_014383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METRVAVVTGGNRGIGLEICRQLASNGVLVVLTARDEKKGSQAAEDLQKSGLCGVIFHRLDIADRPSIMKLAEFVKTKFGKLDILVNNAAVAGTTIDPDRLQELQKQDPKASLTGEKNREHTDRL >Et_8B_059542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1910481:1911670:-1 gene:Et_8B_059542 transcript:Et_8B_059542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGADHRRHSKGRHQRLLFAVEENRIHDHGCYTYLVIRINLKEMFTPPGVEEEDWTAAMRTLPSPMAVLRTAGSLPERLNLAVVSDTTTTAPASWPDTVIYDVAAAAEVPCGSELRHAMTGGPALIPLGSRLYAPPCREYQPNFQALLPPAPARRRGGSRARGHGGRWSWRALPAPPAEMWERREKAPFCNVTAFLAAGTRVWVSAPGRGTYSFDTARLAWRKDGEWELPFQGHGLFVPDLGLCFGLCPHRCCLCAFDLPTAGSERPPSARYVWQDETFPRECIDRGFHVCNPGGSLAYLWETASSASLGPLASSTPRHGTLAVRPLPDGRPSCQVPLSVVPGGTSCWLLVLGWRRAAVGEAQGAVLQDVEPRTGRLRASGMR >Et_1A_006899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28842969:28843571:-1 gene:Et_1A_006899 transcript:Et_1A_006899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGARTRTQQNYTNLDVHVGQSYSFLVTTDQNASSDYYVVASARMVNESQWRRVTGVAVPRYSNSKGPASGPLPDPPQDQNDRSFSMNQARSVDGYVTWNLSAGAARPNPQGSFRRTCCGARRRATLNGISFAPPETPRRLADAYGVKGAYTLDFINGTYRGFMELIFQNNDTRMQSYHMDGYAFFVVG >Et_6B_048321.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:12227572:12227796:-1 gene:Et_6B_048321 transcript:Et_6B_048321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFHEEPVEAKKPYYTRDRTRHVRYESNFDLFESRAAYWRDTLAMLMSPAPPAEELPPACRSTQGRSGSWAPH >Et_2A_016366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23980101:23984709:-1 gene:Et_2A_016366 transcript:Et_2A_016366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSAASPDLSLHISLPSSAPGSGSSAGGRAGVGGGGGGGGGAGGDPWRRLNGSTASTELSLSPPAEPDADALPWRHRPSAAAASSSSAAAATTSAGGAALMHMPPMMQPLDAGGGGGGASAAAPIRGIPIYNGPGGFPFLPPAAGGGVDGGHHHAAKVGFYNSYHPATWPSSLGSTSPSPLAPGAPGSFDPAFLSPAAAAHHHHRMLQAASGRLNGMLSDTLRGYGAGVGVGGLGGIAGHHHHHHLHGAQPFGLGSRFMPKLPAKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAASSGPTDGGSGDDEFPSAGQAASGGDNNMCARPFGEHRSTSEGAASSVGGGGDMDQSSAGNTSTRWSNSSRDPWVSMNSCNMDAHRLVGLSSANENAEPCRSSSSQVSNHELSSPSLEFTLGRPDWQGADHD >Et_5A_041149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18830972:18834361:1 gene:Et_5A_041149 transcript:Et_5A_041149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNAESPGGGEAASPTSSYAGGGGGGFFRFDLLGGSPEEEGSSPPVVTRQLFPSPPPPPGALPSDGWPPPSSEVVGPWTFGAANHAAALAPAPAPAPAPSPGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDTGKQVYLGMFNLLVRAIFGELSALVQFADAAVPLLIGGFDTAHEAARAYDRAAIKFRGLDADINFDLKDYEDDLKQMRNWSKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAIRFNGKDSVTNFDPSCYDGDVPPDIEKDAILDGDILDLNLRISQPNVHHEKSDGILTGLRSSCESPEASSVVSPQPGSPQWPVHSQSTSTPTQHPHLYASPCPGFFVNFREAAMEEKRPETVGPPPFPPWAWQMQGFYPVPSPPATAASSGFSTATGAGVPSTHPRQFANGYHFPPTA >Et_2A_015728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17297549:17305925:1 gene:Et_2A_015728 transcript:Et_2A_015728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEIKRVFEIGPVFRLEKSNCELHKCNFIDLGAEMEIKEHYFEVYDIINDLVVELFNHLNENCKKELETKNRQCPFEPLKDAGFQIELFDDLNTQAEKKLGQIVRERYGTDFFILYEYPLALRPFYTMPCSESSEYSNSFDAFIRGEEILSGSQRIHSHGLLLDRIGDCGINLDGLEEFTRSFCYGSPPRGGFGVGLERFIMLYCALPDIRMASLFPRDPNRLTP >Et_8B_060507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1900941:1904560:1 gene:Et_8B_060507 transcript:Et_8B_060507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIVFLRTAAADLTAGKPPLKGVPASAPLSAAAAAIPESQEAAVAVWRDGASPLAPAAASVLGLLSSLDVVAFLASHAGGTAAAMRTPAGDVVAHEPALVREVEPHARLLEIVELMKQGALRVLVRKNIDTEASTVDKKPFAPFFKAVLKITGTPRASARELNQTAASSSSSRSTPAPSTFGCDRYCCLTREDIVRFLINCLGALAPVPMQPLASLGAVNRRYAVVEASSPAIEAAWRVPSEPRAVAVVQTRRDGEHAILADVSAHRLWRRDYAAAADAMATLSSLNFAAGVDGCRTSAPAHDGDDGGAPPSPRFSGRRVGFGASLAGQMMASHGGNAALTCRTTSSLAAVMAQMLSYRATHIWITEGEDDVLVGVVGYMEIFKAVTMGL >Et_3B_027430.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16338548:16339095:-1 gene:Et_3B_027430 transcript:Et_3B_027430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVSQASKTVNLSFFTWSSTYAAQKRATARPCGSAGRKALPAPGNASSRYCTMSMDSQTGLPPWRSTGTFLWTGFEARSSSLLSARSTSTYSYSSPLSCSATRTRSTNGLAHAPSSLISPPPAPVASMGG >Et_2A_015659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16605781:16608296:1 gene:Et_2A_015659 transcript:Et_2A_015659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTVLAAEAGLAVALLFRTPVRKLAMLALDTLKRGRGPVMVRTVAATVLVVLASSVHSMAKIRGRSTAELDAAGAGGLSPTDQVLLARHLLEASLMGYALFLALVIDRLHNYIREIRGLKKNLEAVSKQNKTIMEENKILHNQLQSTNLTQSPSDGKKDS >Et_9A_061645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14798291:14807278:1 gene:Et_9A_061645 transcript:Et_9A_061645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVASEPPHQNPSGLEPAATAAAFMADPRLGLGLAPTGADPSSAAPPTAPPSRRAPRLAKRRHAAATPRSRAPQPPAGSWNPFGGGGGSDGSRQDGSGGALSGDAVGVGFEKAPDGGFVFGAAPAASQQPPEPAVAASPSEAPFVFGSVRESLPRFEEGWSASSKLPDKMGNLNLGTSGEVGAGFGQGKDRKDGSSLFGVPISGLVPNSEVNVLPEKLTQLNLGTGVPSMDGSADGVPKTFLFGAAGAGNSAASRNTADVGQHAFASSSVLGTDAKTMPEVSTHFSIGNQPSSRGMSELNDGAPTAFMFRGTSGTVNIDNNKNAAAADTSSSTVNLSDDASMLPEKITQLNIGSDMPLHDKKSADASHQPKVFAFGSEAIAGAGFGKETSSTSERSSEFLSANSNISSSSSDFLSTANSNAYSSANNADSSLPEKTSDLNVGGEVMSQSMKSDNANCPPEAFVFGNNVSSFSSAQTASTPFTHFQANESSSQPKEKGRNFSNEYRNDSTYSEANSEQGYGPRSFVFGSGNANAHSEAATEYALHEEINKLNISREGTSFSFPELNNFSTPEFLFKSDAENTSGYGSVPQAKAQEPLLFTNLNHSSTFSSFPNAVPSFSFGSRNTGEKENVPYESFAVKQDLPGCSREALFGLDSIKSAYRDKKEAHKSKRKNKRPTRLKQQGQLHQVVSQETCFDEEASDLAGEYSPMDCSPYPAAAEEHAPTEAHVPSDQYVNVCDSSVSKQNSSCPEDDIHGFGESVDFQSSSSNFSGLNFSFGASSSSQSSLLAQRRNTRRKLKTKGAPASKPTTTHASVQPKSSQDAKGNQFFPEASKSGVSGNEQPAKDASASSALQACETWRTSGNQAYANGHFATAEDYYTRGINSISHHGTSGHCSRALMLCYSNRAATRMSLGMMREALQDCLTATSIDPSFLKAKVRAANCQLALGDLEGASRSYTFCLNSNTLSSDPKVFAEASDGLDRVKRVADWISQSKELIKQRTSPEARTALDLISNALHISPHSDSLMEMKAEALLMLRRYEEVIQVCQESVNPAGRNSGLFNANGQPRNSTIAEKTWRSYFVCKSYFLSGKLEEALDLLKKHEQVTLVKESDKSAYQECFSSLSTTIRQLLSLKAAGNESFQAGRYSEAVEQYSAALAQNSESRPFSAVCFCNRAAAYQALGQVTDAIADCSLAMMIRDYGQAANDVRKLISLLEKKVNKSGVSPKVFNKHSDLKQARARLSSVEDEAKKDTPLNLYLILGVDPSCTAADIKKAYRKAALKHHPDKAAQLLVRNENDDGFWRDVAKDVYADADHLFKTIGEAYNVLSDPDKRHEYDFEEDLRKARKRSSKGRSRHRSPEHNYSSRGFNPRQWQSSRGSSQRWYGYSDDYW >Et_2A_016089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2117305:2118289:1 gene:Et_2A_016089 transcript:Et_2A_016089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVLALLAMNSAATRQPRRWRPKAEDVGRVVASLGMEGAGLFLRLTWRHRKKPPITRGTLAAGLLPDSFIAVLIFDDSSSTVCVCQPPVYIDLPKESNLELIHDIFDISAYKSFHGRTRNVVSCAFHLHVRSSQTIPSFAVCGKGSALPVAVRFLLAGSPSLPLLLTVVCLRKIASTSVATMTSGNVLQILFVIH >Et_5A_041378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2215804:2217828:1 gene:Et_5A_041378 transcript:Et_5A_041378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERTRNAGGSLRNPPRSYAGQHGSDRSILASVSDESGRFSVPALQGDQILPPPAGDQVLVRPDELRPESLEVEPDVLPVEVHLLGDVPSALRDGGGVDEDGVAGGVLPRQRALFGEDLVAHVAEAQPRRRLAVVGAGGPVGAERHHLDEHLAGPHEEEVRHGGAVHAHHGVAGVELPVYLAQLGGAVRPDHAHVEAHGGGHGRHLRLVLDHAGPRPRHDRQPRPFLKLKGLGRRAATRRREGNGDAAAVAVLAGGAAFLFLEELDAAAASSRSQRKSSIPLPSGVLAGSTVSSPWMRRPAITSGKQWTRRSAEKSTGGNAERRDTTSPYLVIHQSWYSRITSTTRRRRWPAVTNSGRMPSLNSWYTFPVYPPVENTTAGTSCTTSHRGGESASPAPPGRTRRRRTSSIVAITFASHLRVYGRIRSSSSSAGVELPAPETSWNDALAECMRRSPLAKQMSARSDERSQQMLPLRTAEHGPVISCPALRAAVAAAAAAPPSSLCTKERNDTGATNIVTMRMMANISIDRRRREASDLDCASLPLLPPPAAWSW >Et_1A_009339.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:39819825:39820343:-1 gene:Et_1A_009339 transcript:Et_1A_009339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRVFFDMTVGGQPAGRIVMELYANEVPRTAENFRALCTGEKGTGKSGKPLHYKGSTFHRVIPDFMCQGGDFTRGNGTGGESIYGEKFNDEKFVRKHTGPGVLSMANAGPNTNGSQFFICTVPTPWLDGKHVVFGQVVEGLDVVKAIEKVGSRSGSTSKEVKIAECGQLA >Et_2A_017411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34018900:34024566:-1 gene:Et_2A_017411 transcript:Et_2A_017411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGNILTRRLKVFSMALFIYFDYKAVQKRVQWVSTVKKNAIWTKTHERNARRVLNLMIELEGLWVKLGQYLSTRADVLPEPYINVLKQLQDSLPPRPFEEVRGTMEKELGKPMSDLFADFVVDPLATASIAQVHRATLVDGRDVVVKIQHDGVKEIILEDLKNAKSLIEWIAWAEPQYDFNPMIDEWCKEAPKELDFNHEAENTRTVSRNLSHKTDSASASVSSAVDVLIPEVIQSTDKVLILQYMDGIRLNDHDSLEAYGVDKQKVVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHKPILLDFGLTKRISKSMTQALAKMFLSCAEGDHVALLSAFAEMGLKLRVDMPQQAMDIATIFFRQSTTSSEAKENIKALNDQRERNLKALQEKTKWNKKEVQRFNPVDAFPGDAIIFMRVLNLLRGLSASLNVRIVYLDIMRPFAESTLLGTMVHGPIPNSQWIYDSPTNSDLESKLRNYLLQLGSDKILGIQVCAYKDGKVIIDTAAGMLGKYDPRPVQPDSLFPVFSVTKGITAGMIHWLVHHILNHTSGLHNALGDLMKEDPLLVCDWEETLNKIAMCTPETEPGSAQIYHYLSFGWLCGGVIEFQEVLEEAIVHPLHLDGELYIGIPPGVESRLAALTVDTDELQKLSGRTGPEVPSSLLNNVAQMATGIPVLFNTLNVRRAIIPAANGHCSARALARYYAALATGGFIPPPHTANSKPSLGSHVHTPKFPTTPPKKKKKCAAKKGGSSTQSVQDASQSDKKGYSQLRTSDVDDEAAARAAGGGVSRIFSNDKILDAFMGVGEYDSMIHPNGKFGLGFRKYNNPSGKLKCFGHSGMGGSTGYCDVEHNFAIAVTVNKMSLGSVTRGIVRFVCEDLGLPVPDEFSASGEKGPDMTLNLAPSQQQR >Et_3B_028259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12308660:12310115:-1 gene:Et_3B_028259 transcript:Et_3B_028259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVLSVRRLGRHVGGELLDGGPGHDALPPRLDVRVGVEHGRVRRGHEVVGVGEVGQVREAEEVAGEVLALGEPRLVDVEHLPELLQALVHDILVGRDAAHGRVDEALVHHGRDGRVVLVRLHLRPHVHHRGLLEVALAQEVGVGGLVLAEHAKLDPEKERGGKRASVPKSTTSPSWKAGIWPNGCKGTPASIESPAAALLHTHGNWKPARSRADGLVTYVSGEVLGALVLLGLEVDVDDLVLGADFLQA >Et_8A_057025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20323802:20327910:1 gene:Et_8A_057025 transcript:Et_8A_057025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARRMPAMIGRNGVAYGSSSALSLNQIPASGVDSGDNMIHGHADTLADEFESKSCSENPDGTSGDDGQEDPNQRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQNQHERQENAQLRAENDKLRSENLRYKEALSTASCPSCGGPAALGEMSFDEHHLRIENARLRDEIDRISGIAAKHVGKPMVSFPVLSSPLAAAAARSPLDLAGAYGVQPPSLAADIFGVGAGARELLRNVSTGQLDADKPMIIELAVAAMDELVRMAQLDAPLWGMGPAGAQLDEEEYARMFPGRIGPRQYGLRAEASRDSAVVIMTRDSLVEILMDANRFASVFSSIVSRASVHDLLSSGVAGSYNGALQVMSMEFQVPSPLVPTRESYFVRYCKHNTDGTWAVVDVSLDSLRPGPILKCRRRPSGCIIQEMPNGYSKVTWVEHVEVDDRSVHNIYKPLVNSGLAFGAKRWVGTLDRQCERLASVMASNIPNGDLGVITSIEGRKSMLKLAERMVASFCGGVTASAAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWIPVSPKRVFDFLRDETSRSEWDILSNGGAVQEMAHIANGRDHGNCVSLLRVNSANSNQSNMLILQESCTDSSGSYVVYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPPGAAADGENAAGGGALLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAICVEANPQ >Et_4B_038192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26984043:26985638:-1 gene:Et_4B_038192 transcript:Et_4B_038192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGSDMWDQLPNNHGGDLEPSEHDLRAVNPSLNQRVGVNEAEDDHVLHDAVLPQHGLPREPGLLQHALRRRVRHVHQRLDALQPVHLVEHRGDRPLHGRRGHALAPVRARQHVAQLGAVRVTRGPQGDRADGAAVAGGEADGAVPRVREHGIAQELE >Et_4B_037517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20788661:20789111:-1 gene:Et_4B_037517 transcript:Et_4B_037517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding STLIYGCFSSDFSNRFHQPFFSFWSEQIMFLKPIFVLLAVKISREEIDDKFHEWLASYPAATEIRINMHYIRVGTRPVNIWKQHMLTSGRMESKSSKLKLNGFPWATAEKVNRPWGSVFSTTSFASGNETVNTIFSER >Et_5A_042879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6092965:6097705:1 gene:Et_5A_042879 transcript:Et_5A_042879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASSNTHRSTTVTPHSPVVPLTTFSSSRVHVNPACIPSPAKVKKEAADKQAARQQRYRSRDEEKPRRQSPPIRRRRRDGDVSPERKRRRESASYHRDVRRGSSSGRPYRLDRDDAYRAAKKKDSDRDRDRARQDMTRKTVSGASRSEQRARSTGRPSAAQQHQFPAAVDDRKPTPGKVETAAEDEAEVKRRIEIQRQREAARRAMDKMVKTVEFNDPFITPMYVLKPLSSEEGGRVPKEMAMSQPGDHSDGRQTASGPIYDVQEQGLTTLPVNQLNDERAQATDTKSRFAYASRMKPEIREETNRRTCGDQRLINHITLPLPNKLKAKRKASPSLARWSGERAFSALRTSVRSARFWSATACAAAVDMAAAGTEADAVDRRRGTGRRRR >Et_10A_001259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22747012:22752878:1 gene:Et_10A_001259 transcript:Et_10A_001259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSWKRMRPETVDHDGLADVSRLSAPSLPVTPRLSLLHCLLLVSSSAMPPDAADEWPMVERRGPHLWASDRPFVVHGFNTYWLMYFAADHATRHAVTAALAEAVDADLNVCRTWAFNDGGHRALQIGPFSYDEEVFQALDFVISEARKQNMRLILSLCNNWEDYGGKAQYAYKDDPTILAWELINEPRCPSDPSGDTLQAWIEEMASYVKSIDPVHLLEIGVEGFYGPSSTEFLDVNPDDYSAKAGTDFIRNHRAPGIDLASVHIYSDTWLPHSVEEHHLQFVRNWMQQHIDDAANLLGMPILIGEFGVSLKDGKFDNEFREAFMETVYGIFLNSWKEGVIGGGCLVWQLFPECAEHMDDGYAVIFAKSPTTWNLLASHSRSLSQYQKRFLATGASTLKQAPIPEPGLCWDSRSRWNSGLKATVVGGSSGPAPNVNVTSSSGKIDALEREARGNNKAARPFRVAVVLKYQAQAAE >Et_9A_063125.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:1129870:1130808:-1 gene:Et_9A_063125 transcript:Et_9A_063125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQDDYIDMDLTPSATPLPPASPRFEFQSAAAGGVARRREGEEDEAAFASPADELFYKGNLLPLHLPPRLQLVQRLLHEQLPPPPPPPPTQQQGVKRDAAESDAAGEEGDGGAAAAKAAKKPSWSKRLKVVKRWASREYIRSLFLATRPSDIVVDGNGTGSVSARAPAPDHEEVCHHRKSFSGIIRRVRLVATKAAAPGVSPLCSSSSSSSSSSSTPSCGTANGLFFRAPTAAAAASTPSLKRSSSAGSEEGAIQGAIAHCKRSSQQPPQGMAARRSSASDVVFYSVTNTPRASSVAAGEVTLERQEMCRG >Et_4B_039101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7846930:7851108:1 gene:Et_4B_039101 transcript:Et_4B_039101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFASGLHRLRSRSPWEVLWSALASCGLVLFSQLAVAMVPRLFPSLSLIAMLPFAGLVFFAAIVLGRLWRRFIGVAASAPLFVLFNILLMWGVYVFVIRRDTSSLLDMLINAECALLLWGLYRILSGDPGIVACDPSYLEEAGCKDFVEAIYPSEKLPTLSRVRQCNCCKANVRGYDHHCPAFGTCIGQKNHRLFMALLTGFVVAESTYTMCSTKYITRCINSGTIRSENPVSLNMVISTMLFSILQVLWQIVFLMWHIYCICFNIKTDEWINWKRYPEFQMREQPQSDSEVKFVNPYDKGLLCNIREFLKPK >Et_4A_032197.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:23046241:23046525:1 gene:Et_4A_032197 transcript:Et_4A_032197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVMEFAENLILRLMEDPDKRDQAQREHVYRMKERCERTKAAWSLPLRPYGFWTFDRFNSQLSWDPQISQAAGRRDPYDDVITRHSTPPPSSS >Et_4A_035975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9886538:9889090:1 gene:Et_4A_035975 transcript:Et_4A_035975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVQKRRVQLMLFIMGVLALSMTAEKFRELVGKEAASKSGQFTFLNCFDMGSGSLACTAKEGIKMYVYNLRTAHMEKVRQRAIEKALADAVSEGLTATDAAKQAQKVGAKAAKVAARQANRILGPIISSGWDFFEAMYFGGSMTEGFLRGTGTLFGTYVGGFHGEQRLGKLGYLAGSHLGSWGGGRIGLMIYDVINGLKYMLQYVQPENQSSSYASEDGSEYTDNYIRDESAYTDNYVSDERHESKYYEASEEKQEESKWFGLF >Et_1B_013881.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:22108502:22108897:-1 gene:Et_1B_013881 transcript:Et_1B_013881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFIFIVLPALCGFMTLAGAIVFRDNLKLVAATLAILPRATPCPHYTSPLRTVGQEPNVVYALPLCRGVVLNDTVCNKCVVDLFDRLKPPPEQGECYGTACYYDRSCILLFSGENLLVPANVTENRTFFE >Et_1A_007899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39300414:39303702:1 gene:Et_1A_007899 transcript:Et_1A_007899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAATSPSGDHAHRRQWRYTWEALGHLPLLRLYLFPRPALPDSVPSGLRTDLRLDDSLLLLSFSLAGDAVSLRVPVPRVLVDPSAPVECRAAGDHVEVRLALVLPVDHPVVAAAFPSPPGAEPPAPLTLRNDLKNLSPRDVHLYCKACSSRLTKQPLSDSIAQEAAIADDVSIGNGLTTGKIDLDNSEEQANITCIHSETNGAAPQADQSGTCQLENDVDVNSEKSKNDLCVDIMEKLDKEIDLSLVDPCHGCCASEYSRKAEDNPSPMSLGNQNRQTILETKRDYKLTKTISLGSSFIIKASNLLNDFEWLEFLCARCSSPLGSYPSQHSLVPADGRVRLFKCYTSTELPVAGPHDVFRGHTLERLFVNLLLEIAEDEISFRTVVRDLETKRPILQLVLLSSKAWLFSGYCYENDMDGLCGAADLQPRVKVLYSNCSDASESDIRIVEEWSSKYRAEELYMMKRQTNELIKCLSSAMDNFPFSCSSLEGMCLSSLGR >Et_2B_021281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28239245:28242467:1 gene:Et_2B_021281 transcript:Et_2B_021281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPVPTPAEALRRNRILSSKLYLDVPSSKAPVVYSPSYDIAFMGMEKLHPFDSAKWGRICKFLTKEGYLQKNRVVEPLEASKEDLLVVHTEAYLNSLKSSFRVAAIVEVPPVALIPNWIVQRKLLYPFRKQVGGSILSAKLALERGWAINVGGGFHHCSAEEGGGFCAYADISLCIQFAFVRLNISRVMIIDLDAHQGNGHEKDFANDERVYILDMYNAGIYPFDFAAMRYIDQKVELASGTKTDEYLEQLDKALEISKSRFQPQLIVYNAGTDILDGDPLGRLKISPEGVVIRDEKVFRFAKDQNIPLLMLTSGGYMMSSARVIADSVINLSNKNLIELGSQLG >Et_1A_007882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39100567:39105078:-1 gene:Et_1A_007882 transcript:Et_1A_007882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSLTLQRATGAVCAVIGSFSGRDAKKAAASGSASTQEIAVARGSTLDLLRPDPETGRLRTLLSVDVFGVIRSLAQFRLTGANKDYLVVGSDSGRLVILEYSPDRNRLDKVHQETFGKSGCRRIVPGQLLAVDPKGRALCIAALEKQKLVYVLNRDAAARLTISSPLEAHKSNTLTFSLTALDCGFDNPVFAAIELEYAESDRDPTGQAANQAQKVLTFYELDLGLNHVSRKASEPIDNGANLLVTVPGGGDGPSGVLVCCDNFVLYRNQGHPEVRAVIPRRADLPAERGVLIVAAATHRQKSLFFFLLQTEYGDIFKVDLDHSGDTPRALKNLIRIDEIESLMPVMDMRIANLFDEETPQVFTACGQGPRSTLRILRPGLAISEMARSMLPAEPIAVWTVKKNINDMFDAYIVVSFANVTLVLSIGETIEEVSDSQFLDTTHSLAVTLLGEDSLMQAHPNGIRHIREDGRVNEWRTPGKKTITKVGSNKVQVVIALSGGELIYFEMDVTGQLMEVEKQDMSGDVACLALAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCLQPLSVQSVSSAPESLLFLEVQASVGGEDGADYPANLFLNAGLQNGVLFRTNVDMVTGQLSDTRSRFLGLRPPKLFPCMVSHRQAMLCLSSRPWLGYIHQGHFLLTPLSCDTLESAASFSSDQCSEGVVAVAGDALRIFTIERLGETFNETAIPLRYTPRKFVILPKKKYVAVIESDKGAFSAEEREAAKKECLEASGAGENGNANNGDQMENGDGQEDSGEEGNSFPDEQYGYPKAESERWVSCIRILDPRSRDTTCLLELQDNEAAVSICTVNFHDKEHGTLLAVGTAKGLQFWPKRTLAGGFIHIYKFVDEGRSLELLHKTQVEEVPLALCQFQGRLLAGVGSVLRLYDLGKRKLLRKCENKLFPRTIVSIHTYRDRIYVGDMQESFHYCKYRRDENQLYIFADDSVPRWLTAAQHIDFDTMAGADKFGNIYFARLPQDISDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVVTCLQKASLIPGGGECLIYGTVMGNIGALLAFTSREDVDFFSHLEMHLRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQYPSLPADMQRKIADELDRTPGEILKKLEDIRNKII >Et_3B_030043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30005951:30007186:1 gene:Et_3B_030043 transcript:Et_3B_030043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDLMPRPGPSVSSSVADGRGGWRAALFLLAVVFLERVGFVGVQGNLIMYLTGPLRMSTAAAAASVNAWTGTVQVLPLVGALAADSRLGRYGAVLTAGVLYLLSLGILTISTTLHGPPHQRHHAGRSLSSAGLAFFYIALYMVALAQGFHKPCAQALGADQFVRASRSSYFNWYHFAVAWGYVVATVALSYVEDNVGWTVGFGASWAAMVLCLAVFLVGAPRYRVAQLAGGAPFADAVRAWAARVFRRKNATDTER >Et_1B_012036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28342324:28344715:1 gene:Et_1B_012036 transcript:Et_1B_012036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCAKQFKQTSGHEDPSILAKETTFSVNEVEALYELYRKISHSIIKDGLIHKEEFQLALFRNMNKKNLFADRIFDLFDLKRNGVIDFGEFVRSLNIFHPDTPMAEKITFAFRLYDLRGTGYIEREETFKQADMNSDGKIDHDEWKAFASKNPALLKNMTLPYLKDITTAFPSFVLISGASDDEL >Et_1B_010619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12100544:12106069:1 gene:Et_1B_010619 transcript:Et_1B_010619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQPAAAPEALPSAQVVGNAFVQQYYLVLHQSPDLVYRFYQDASRLARPDREAASAGGMESVTTMEEINKKIMEMDVAKAEIRTVDSQESLGGGVTVLVTGHLTGRNGVRREFSQSFFLAPQEKGYFVLNDMFRFVADGPPPATVEVQPEAVAAHPPVAAPLANGTAAPAVEPAVPEHVPAPQQEQHVAESAPLQPEEEEEVYNPPPEEVVEEEQPVPEVINDVPNNVAPVKATTVVPVSQEEAPKKSYASIVKVMKEVPLPAPAPPARPAPLKPEKQSPAPAPVSDAPAFTSNPENNNTQEPEVDAHAIYVRNLPLNATETQLEDEFKKFGTIKQNGIQVRSNKIQGFCYGFVEFEDATAVQSAIEASPVTIGGRQCYIEEKRTPGARGSSRGGGRFAPGRGNNFRTEGTRGRGNYSGGRGYGRGEFGYRSDYGGRGGGRAARGADVGYQRVDHGAGYAGRGGRTSAAAGAPAKKGFGCYGISQYKSSPEFGAAEAA >Et_4A_032328.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30275908:30276861:1 gene:Et_4A_032328 transcript:Et_4A_032328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAIALPDAAVHVPPPISVVGRRVTAPKHKAVVVLGATGTGKSRLAIDLALRFGGEVINSDKMQLHEGLDVATNKVSPEECAGVAHHLLGVAHPDAEFSAADFRREAGRAAAAVAARGRVPIIAGGSNSYVEELVDGDRRAFRERYDLCFLWVDVQLPVLHGFVARRVDEMCRRGLVDEVAAAFDPRRTDYSRGIWKAIGVPELDAYLRSRGFLNDGERELMLAKAVEEIKDNTFRLACRQRGKIQRLARMWRVRRVDATEVFLKRGHAADEAWQRLVAAPSMDAVRAFLMEDQEYSSMVAAAKGSVFAAVAAAVA >Et_10B_002786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11062762:11063270:1 gene:Et_10B_002786 transcript:Et_10B_002786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKVTQHGDHERRNVSGCLPRPARAGRVGDEEAEQVQHDRYQEDDDDDGRHEGDGVAVKKCQVPWVPVVMHDRVAGGVPGAVALPDSAGWCKTGLDQSLLLSGQRTPLFFPPLLSPPVY >Et_2A_018170.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:16396322:16396684:1 gene:Et_2A_018170 transcript:Et_2A_018170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSGPYGENIYRGSGGGPRAVVADAVAFWVEEREHYDCEGNRCARRRSCLHYTQVVWAATKRVGCAAVECRGGDTFVVCSYDPPGNMDGEAPYPACGRLGVACLPCCLVLSTISRSRR >Et_6A_046690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19564819:19565834:1 gene:Et_6A_046690 transcript:Et_6A_046690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLTVDVHDRSVKQLGSQTHPNLPMEHACIATTAAPVYFPAYGFQHGKDKDGKDKYYNLVDGGAAANNPTLDALWRVISLVAAESRTKGKNAEPFDFTKCLVLSIGTGSAGVQRYSAEECARWGFIRWLYNYKNSHAPLLDILSTANSFLISANTEFLFHLHGCQDNYLRIVPKTDDCDVSLDDATKESMGKLIKVGEGLLNKPVRQVGFGDKKWKPQPKPKRDNKTDNSEQNDAERTDGAEQTKDAEKTNDPWQTNDDELKYFAQKLVTERNRRLDKKRKKKKSQN >Et_3A_026705.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:15838475:15838870:-1 gene:Et_3A_026705 transcript:Et_3A_026705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSRRRPRPSVSQSPVLLSIAARQLFDGMSARKRGKETVPLPASGHIDALPDGVLHHILGFVDAKEAVRTCVLARRWRHLWKSATALRMVRSDLEGVPSFMEHLLLLRRGSPFDAFCLKFDHFEVGDMPT >Et_10A_000472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10928017:10929783:1 gene:Et_10A_000472 transcript:Et_10A_000472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSMQHPWAFAFGLLGNIISFMTFLAPIPTFYRIYKTKSTAGFQSVPYVVALFSAMLWIFYALIKTGEGFLITINAAGCVIESIYVIMYFVYAPKKEKLFTAKIMAALNGGVFGLILLVTLLLFKGDKRVVMLGWICVGFSVSVFVAPLSIIKRVIQTRSVEYMPFSLSLSLTLSAIVWFLYGLLIKDKYVALPNILGFTFGIVQMGLYMFYMNKTPVIAQGKDAGKLPTAADEHIIVNIAKLSPALPEKSSEVHPVAEMARTNCAAQAAAAAENRNFDAFVTRGPTVRVT >Et_7A_051417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18156678:18163982:-1 gene:Et_7A_051417 transcript:Et_7A_051417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASGSHCPTSRKNLRVLLPFSCRGLRIPDELADNVGAGEALVVGPFAKVWPVEVARDGDGAFMGRGWSEYAAACGVGAGWLVILRHRGRGVLTAKAFDASCSIRELGTPFPHPVEASMSTKDAPLKPQFICVLPPDSMKKMPIPPEFVQRYMPKENLDNAVAVILGPLGKVFHIKLEMNQSDVLFADRWPQFLKFHSITKDNVLLLRYEGSMTFTVKVFEPNGCQRECSKQKDIRMQQIPGIEKQQEAPFASILRCKSESNWTSTVGHNESNSSVASMIEASLQRNIYDIGPPPCITKSSNTNAVKKPLTPIDSFDQFNVCTIEKKQEAPSASSQKCKSKTDKSSSEEQKASSKTRCAYMTGPPAWIKKDINTNTIKRYLTTSQTTTPYPPPPKNKILLGEHGYVTNALPMVFCEAIGLPDQPCMIMLKTTLSSSHSWQVRVLPYKNTSYQRGLGWKSFCRENKIKEGDVCTFNIVEIRLWHVIIERQSNSTCNDDMPQHGFYNVDGADVTYLESVLLAKPLPSCPSMVAAVLVW >Et_10B_002500.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:11948400:11948597:-1 gene:Et_10B_002500 transcript:Et_10B_002500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASRHVLSEYGNMSGPSVIFILDKFRRSRAKNCKDTIEECEWAAMVGLGSGLTVETILLRALDV >Et_9B_066215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7482575:7486346:-1 gene:Et_9B_066215 transcript:Et_9B_066215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQPPPSSSTVEIWVRAKTDLVRIFAMSTPIPCVGIKCRRQEHAELFVYAIFWVLFTPAMVSMAKSFDAPIKSSDTSLLRWFISALACWLTEKEALLQHYEEMNQRKQRKYYTGRRRTSEAILNRRCCLRG >Et_8A_057948.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:100245:102110:1 gene:Et_8A_057948 transcript:Et_8A_057948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPPPPPPHFPITPKPDPDGPLFLPPNLLAAAPQSLALTPELCDAYHRELAPSPDDDPAYAQFLIQSQLHVQALAAQLRLSSAAAPPPLLPPPPEPAGSSPKSSSGKKRARPAPEMVRVTHLGLAEHLHFRSLVRRARLTFEALRGIYQRQEISAGTRNRADLRASSRMLSAGHWLHRQHRIVGHIPGVVVGDAFFYRVELCVVGLHTAPQAGIGYIPASIVSEGHPVATSIVSSGGYLDDEDTGDVLVYTGSGGRQRNRLDHHADQTLERGNLALHNSYLYGVEVRVIRGHTWDAVPNRKVYVYDGLYKVVSSTFGPGKSGRDVCKFKLVRLQGQDDLGSKSWNTAKQLKDAMDSRIRPPRYISLDLSKGKEPVTVPVSNMVDDDRSPLDFEYTVCPEFSLLGLVKRQRGCHCAAVCGLRCKCERKNVGGPAYNEDGTLVMGRPVVYECGALCGCPITCANRVTQRGMKHRLEVFRSTETEWGVRTLDLIQPGAFVCEYSGEVVVMDDQTGNALMEDRCIIDPKRFPPRWSEWGDISNVDPGIKGPRFHQFPGAGYLLDVSRKRSVACYISHSDTPNVFLQYVLRGNEDESCPHLMVFAIETIPPMRELSIDYGMP >Et_6B_049132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18446812:18452504:-1 gene:Et_6B_049132 transcript:Et_6B_049132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARRKREREDQRLLDLAVDSGFERATAASCLERLHQAYGTVDSLLGLHWLGWSRDMAMGKCGDCGGVVDAHLEPSRTFVPNAGEEGQDFITVEGCGNDFLGALADAVQPTDEWDDLKGIETEACGNLNDMMMKRVPECKGGVANGSSCNQAADDLEDFSFSSDDSDFEMGDEMDNSFSMQRKAQPRNSEMQSRSSEKSTVTRGTNKYESKTPTSNKECHQNTFQQRREILSYEQLCGLDNINFANVVVFGNKSFRPLQYEACRAAMDNRDCFVLMPTGGGKSLCYQLPATLHPGVTVVVCPLLSLIQDQILALTFKFGIPAAFLNSQQTASQASAIIQELRCGKPSFKLLYVTPERMVGNYSFMEILRGLYQRGLLARFVIDEAHCVSQWGHDFRPDYRGLGCLKQNFPSVAIMALTATATESVRKDILGALRIPNAVMLKRSFDRQNLNYEVIGKTKTAQKQLGDLLKERFMNKSGIVYCLSKNECADTAKFLREKYKIKCAHYHAGMAAKQRTSVQEKWHSGEVKVICATIAFGMGIDKPDVRFVIHNTMSKSIESYYQESGRAGRDDLPAHCIVLYQKKDFSRIVCMLRNADNFKSESFKAAMDQAKKMQAYCELKTECRRQALLEHFDEQYSRQKCKDGPSPCDNCLKTTS >Et_7B_054912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5480435:5485933:-1 gene:Et_7B_054912 transcript:Et_7B_054912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHGWQLPAHTLQVRYARLLLSLLFFSVYVASARKRDVAADLIAAEVAIFRRSSVSSAAFENVHGAALRFRRVIAITVFFLLCIAFYAFFSPFLGKDLYQYIAVGIYSFLALSVLVLYIRCTAIDPADPGILISMNGAQIYRSEANVDTQDGAGKSGLRNGEDIQKHKSCLGGRCFCCAIFTIEDCRKEVEANEDEDYGEEALFCTLCNAEVRKNSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYMTFLCLMAMSLAWLAVECGVGIAVFVRCFTDKTAIEDQIGEKLGYGLSRAPFATIVALATALSILASVPLGELFFFHMILIRKGITTYEYVVAMRAQSEPPGPSVNDDPQSLPSSPMSSAPTAFSGSSFARHYKGAWCTPPRIFIDQDEIIPHLQPGQVPSTVDPDTTDPTERAKSHAKRPVRISAWKLAKLDSNEAMKAAAKARASSSVLKPINARGQYEADRCSSDNLSSRSSIVSVDAGNHRYARSGGNSQFDAPANAERSRSSVYWDPEAGRFVPAQANQGFSSRLGRPDLLYTGQSIFFGGPLIADPAARSFRDPGGSSQRPSGPRPHQLPVFVPSYPQKDQLSRL >Et_8B_060642.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3874539:3875366:-1 gene:Et_8B_060642 transcript:Et_8B_060642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSNLAVAAAALLVVLLPLAATAATTTGSKASPPATKAKAPAAAPPAPPNITALMAKGGCKSFASLVTASPDAHSTFQSAVDGGVTAFCPSDDAVRAFMGSYKNLSAEGKASLLLFHAVPVYYSLRSLKSNNGVMNTLATDGTASNYNLTVQNRGDEVTLRTAATDGAARIRSTVYDRDPVAIYAVDTVLEPVELFEPVEAPAPAPAPVADAPRASKKQAHRRHVADAPGPAADDATPADQKKGSKKNTAPGAPRLRWWLAAVPAAMAVAAVLA >Et_1A_005662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12533935:12554493:1 gene:Et_1A_005662 transcript:Et_1A_005662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMVKGGGVVAKLMLAMAAASVVAAVAATDDAVLRYPGRPGARPVFPGFPGARPSPPSSSGGARPSPPPGVPSTPAFQPPCPKQAPVVGQPSRGLIPGFPGLPGSYGSLPSSPTECVTPLAGLMTCGTFLTGSESETPTPQSECCNGLGAFLNSSSAAGDDDRTLRCLCPVILGDVNRMLPKPIDPVRMMYLPIACGVTLPPQAIYICFTGQQAPPLVSRIPEMWEKPTSGKQLDQPLSILSSLDSHRPCYLKTDTDFGQCLDTESVSTGASYFPTSEDKHEEDRLLAAVTEVARQHELLGWTSQFSNSRKVPLTLSTPGISENCLSMHLKLDLVIPMTGMIQHYELLEKVVEKGVIMNSNALLLCRFYYGFRPVLGDGECFYRSFIFSYLEQILDKEDKHEEYRLLAVVKEVAGQHESLGWTSEFSNRRKVALTILTPGISEIKVDLHNFFKAFEKMIKKVMQWKEGYSGWKHVPTTSSHRKQKLLDFFSGYDATRDKVIPEKIYTDHIQMTALVTALGVPLRVENLFQGDGQDLYTGQDPEDNMPRCTCWPLRRRLLPSDHVVPRVTVLFSNGHYDIIYPHRRDGPQPSLDESDRPGRELHCHWSSAPEETLTCESPNPPSRYKPKRLKAARALEPARGVPSAGRLAWLAMLSLFYSVQKFIDCCLGKRRLPKPPLPAHSWLSVMGSPIINIDGSCTISAATMCIEAQNRLAFERVHGKGSFPFKAKAPRKLKRVCTGRSVWNSEAGGNMEGVLQAIIDTGGAPTTREPNDIKLSIDGYQLARLLYVHGPIIGGLWAAYEDYEDCIGDQIYWGRPKEYRVKDAGDDHAVVCCSYKFDIQTNRLLVRIMDNHEPDGPLRWVWFGAFDDFYIPIIKKPIGVDKLRRKKKKLCGLVAQVSHAAAAAADRKGKKVAATSPSSPSSPSRYADSISRPDAPVDTISAGEDSSSALAVDSRREGTVSWKEPVVGEIVAVPKVVRPIDLKNVIPMTGMIQHYELLEKVVGKGIIMNSNALLLRRSYYGFRPVLGDGECFYRSFIFSYLEQILDREDKHEEYRLLAAVKEVARQHESLGWTSEFSNRRKAFEKMIKKVMQWKEGVTADGSMFQRLAAIWICSHQEEYEPRVDELREDYTLRDWCFQEVIPEKIYTDHIQMTALVTALGVPLRVENLFQGEGQDLYTGQDPEDNMPRCTCWPLRRPLLPSDHVVPRVAVLFTNGHYDIIYPHRRDGPPPSIDESGSGETDLGESSTATGQSSSQQIALGRRPSGGSSSSLESDQGQR >Et_5B_043045.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:17149554:17150257:1 gene:Et_5B_043045 transcript:Et_5B_043045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVAVWSRAPPALGRFRAYALCMLQVLENVNSLGTSDLVTSPAPRTSRSSSSRRNTTIPIAAGSAAASVAAVVCAAFAAAVVTTRIRRRRRRLHGDDNDSEESAPPPPPPPREGVYIFTKAELMQATDGYDKKLLLGTGGAGKVYLGRLPSGQRVAIKRIYRSKKVSEFYAEVSVLARLRHRNLTTLVGYCLADSGGHHALVYEYMAGGNLWRALFDEQVLLPW >Et_3B_029856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28549916:28552758:-1 gene:Et_3B_029856 transcript:Et_3B_029856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGANTRRRRLVERGTDRLAFIIGQTRSLPSDSASDSPLSTVDAASSQLYEQQQTEGGIGGEKFSDRTQLRRPEPSDLVPEFRRPDTHEETKARTLSYEDELFLKFKTGSSVPEIQPVTETPLHSHDQETVSKKTSHALPEIQPQLHRHDQETVNKKTSHDGAGNVQPRTETEMRPRSAPPSQSNQADSAGWSMENLKEHLNFTPQEITKAISATESNRFLASIAIAFLVVLSNWGLDIGGTITRVLVGTRPLLFLIITNITIVLTLLMENKDPNARGRPVGINLGSADSLGQILEIGLLLQKALGALLMDCSVCAVIMICFLGF >Et_9B_064922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19808738:19812927:-1 gene:Et_9B_064922 transcript:Et_9B_064922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIDLNDTVEEDEEEAGTPPPPTPQPRPGAAVCLELWHACAGPVAPLPRKGSVVVYLPQGHLEQLGDAASGGGGAPVPAAVPPHLFCRVVDVTLHADAATDEVYAQLALVDENEEMARRLRGGSEDGSGGDADDGDTVKQRFARMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGTEWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGVRRAAQLKNGSAFPALYNQCSNLGTLANVAHAVATKSEFHIYYNPRLSHCEFIIPYSKFMRSLSQPFSAGMRFKMRYESEDATERRYTGIITGISEADPTWRASKWKCLMVRWDDDVDFRRSNRVSPWEVELTGSVTGSHLSTPNSKRLKPCLSHANADLLVPHGSGCPDFAESAQFHKVLQGQELHGYRTHNRVPRLGARAPLGSPGFSYHCSGFGESQRFQKVLQGQEVLRPYRGPQVDACMRTASVANKWHAQLNGCVYRGPPASLLPSQTSSPPSVLMFQQSLSKMSQFEFGNAYMDQNGDDRSAMFGHVEGIGRTEQMLMTQPHNVYGEVANGHLTVERLHSAIGIGKDVPDNREVRTNSCKLFGISLTEKVPARKEMDCGDASYPSPFQSLKQQVPKSLGNSCATVHEQRPVVGRVIDVSTMDMMI >Et_7B_055247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8674452:8676401:-1 gene:Et_7B_055247 transcript:Et_7B_055247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGSGARRTAAACGRWCLVILAVASALGVSGPAFYWRYKKGFSSSSPATAAVAASSSAPSCPPCTCDCPAPLSLKSIAPGLANFSITDCGKNDPELAKEMEKQFVDLLNEELKLQQVVAEEHSHHMNATLVEAKRQAIQYQREAEKCNAATETCEEARERSEAAISKEKKLTALWEQRARELGWHDSRASSM >Et_9B_063800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14953941:14954354:-1 gene:Et_9B_063800 transcript:Et_9B_063800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGDPSAGHAGAPVARLLRRARGRRRRARRRRVPPPRRRRRRAPQLPRARGRPRRAAAAAVAAVRAARGVRRRHGRRRAAPGRERTLQAVRAGDV >Et_7A_052501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8625259:8630444:-1 gene:Et_7A_052501 transcript:Et_7A_052501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGDGGGEDEEEVFYESRDRVLSSSCSSTSASDDDDHPRRRRDGAAAAALDVWTSEPAPVQERRRRLLQMMGLDGDPTLARLEMGRSASYDGPVRAAAVSPIPRSRSDGAAPASATKRPLGCRSRQASSGSSEATPEGEEEADPRCLIRNLDDGTEFVVKEEFELREVGTGRQLTIDEFDLCVGRSPIVQELMRRQNVASSGSTFDSSNSIQRSNSDSTNGAARHRRRSSWLRSLRNVAGSMVVTSRDRRSSDEKDTSSEKGGRRSSSATDDSQDSAGAVHHGPERVKVRQYGKSYKELSGLFMNQEIQAHTGSIWSIKFSPDGRYLASAGEDCVIHVWEVSELERRREENGVCNPLVAMLCNGSPEPTLALACVDGSHLEKKRRARFLEGRRSASSDRLMLPEHVFALSEKPIRTFEGHLEDVLDLCWSKSQYLLSASMDKTVKLWHISSVSCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWNIQNREIVDWNDLHEMVTAACYAPDGKSALIGSHKGNCHIYDTSDNKLLRNKQIDLQNKKKKSSQKKITGFQFLPGSTSKVLITAADSRIRVVDGVNLVHKYKGFRNTNSQISASLAANRRYVISASEDSHVYVWRNDDTPEQGRNKGNVTVTSSYEHFPCQDVTVAIALPSTGSAVVSRTNSRKHEELDCVYEHPLHAVPEKLQGSSDLQPQGANILSTSSNHSGDRATWPEELMTPTNQSPRSSSSLPIGAGQAPSLSAWGMVIVTAGRGGQIRTYQNFGFPARLERTQVPILLEVEVEVRPLRRNQSPNELKFSAPGPRSIGNLGSDRDVLKSGLYKAIRQC >Et_1A_006857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28369786:28370672:1 gene:Et_1A_006857 transcript:Et_1A_006857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLLPLVHKHVHGAGGGGERMWARPWRWAKTAFFLAAMLASLLLVCAPPLLVVLLDLALPPALLSATLRAGAGAGAEDAGAYGSFASAAIAQARAFDFRSSLVDLPALSAARALLILCAYVVCGGGGAYLWVVAACAAGSVSYVLAKAAAVLPRRAAAQAAASAGARAVSVAVPGAMLLLSLALAAAHMAAAYRTSCRERRRLLVYRIDVDAVSTRPPAYQSSSTFRALRNARATCLAAKTSRPTSTHRK >Et_3B_030455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3951741:3955738:1 gene:Et_3B_030455 transcript:Et_3B_030455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSSSSSNLSDHLLQDELAWPSSSLPFAPALHSASHQWSQPLMLNSADELEVFLSSQGHHQSHQASSPVIHPELSSLLMMQDLGFQWSNCSSTDSTAMVLMNGQQDGHSKIKEEQRRESFNSRSSCAAGSPIAYHDIVDGGGAGQRPAMAAVDLDGSVLPSINISRSLQKLCPAPPPPLPGDAFEILASSRLCKSLLLGQASSVLLHNGRPLLRSEHVPYGPPLPEAAVHPHGPSIDNYKMGVPPLVNGMGGNRRWSAENNAHQKTPSSPAPSPKKPRLESRSSTIVPSFKVRKEKLGDRIAALQQLVSPFGKTDTASVLMEAIGYIKFLQDQVETLSGPYLKSSRNKKPRTTQRGSSNANGEDDEKRLDLRSRGLCLVPLSCTSYVTNENGVWAPPNFSGN >Et_8A_056179.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:12976224:12976307:1 gene:Et_8A_056179 transcript:Et_8A_056179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKMMLNSAYMNVSCHSLGTCGTTSG >Et_6B_048533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10442328:10447403:1 gene:Et_6B_048533 transcript:Et_6B_048533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSYDIEDSIDIFMVRTYRSDMSSGFRGFINRCMDLLTTANVRHRIATDIKGFRARVTEVADRHRRYKIDSVAVPEQSSTSLDPRLQGMYAEPKRLVALRRPREELAEMLMGQEGMRKNQLIVISIMGVGGLGKTTLAYKMYLQLRAQFDCHAFVSVSLKPDLKRTLSFSNIEKWDITEIINTIRQFLEDKRCALIDNNRGSRIITTTRVHSVATSCCSGVDGTIYNLKHISHKHSKKLFKRRIFGSEDGCHPELKEISEKILKKCDEVPLAIITIASLLANKPMNYSVWYKVHNSIGSGVGKSSCVENMRQILSISYYDLPSHLKACLMYLSVFPEDHIISIDLLLRRWIAEGLIQGDHMDNMQEVGGHYFDALMNRSLIQPVIIDDRGWVLDLITSLSMEENFVTKIENEQPACQSRRIHRLSLQSADEIIMPQVTKSLRHVRSLIVFPPASGLIPPLSHFELLRVLDFEGCRDLKKHQIDGIGKLVHLRNWEAHCLRTLELRHTSIRELPSTIVRLTQLVNLYIDREVKLPDGIGKLNSLQVLSVGGVSISPNFAKELGRLTELRVLHLILSGDSCDKSYEKPLVNSLCNMQKIRELWINFEGVMSTEFMSDLGWVPQHLKVFRSNLSRLPNWINSSLLLGHEDVQNLGALPFMHRLRSVKICSASFIDALAFSYEDRHGNHHTSPLWGGVGGDVKTIHLGPSEILTEVSGTTGPYSTIPEVVTSLMLMTNLRTYGPFGVPMGNPFHTPSRRNSNIVGFYARSGVYVYAIGNALLDRGQRLRRLFVRGVGEALGTDEAEQRLPRGELDAAIAVSHDMSWNVGGGAPTRARQGRRRRTGVALLPSIPVGTRD >Et_3A_026049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3838589:3841684:1 gene:Et_3A_026049 transcript:Et_3A_026049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGALKLMTKSSKQAKDAPSTSSPHTAQLLTQVNNLREELQMLSSSKHIAIVTVDGRPGPGAYGITAIVVGAIGYLFIRWKGWKLSDMMFVTKRGLSDACNVVGKQVDQVSENVNAARRHLAGRIDRVDCSLDECQEIAEATQKEVAVIQGDLTAFEKEMQTVHLVVRSLETKLGRLAYSQVASSSPVPAIESSEKIARATSLPPAMEPESPTAVSPRTEVPKVVRSSTTMSASGLSMLVGASMPPKRGAFSRASSMKEGPSELPPRGTPSLTEPSGKKPGSSSLFGALGFPRSTTS >Et_5A_041285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20631418:20634762:-1 gene:Et_5A_041285 transcript:Et_5A_041285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGVLGAVVCMLLVFAIFPLLLWRRRSDAATGDNHRLPPQPLEADRVVHRGAAPRRMRRRPAAASSSAASTSRDVAEDDGETEEEEVEDSRKSSKKEKKRQERERQRQAEEAARDSRNSKKDRYEEMRRKKDEEREAQERLMEEEARARKAKEEEAAALEFEKWKDAFSVDAEGTTESETQDDGQGLLHNFVEYIKKQKCVPLEDLAAEFRMRTQDCINRIVTLESMDRLSGVMDDRGKFIYISTEEMNAVAEYIRKEGRVSISHLANNSNQFIDLEPKAQYSEEESQQADSAMAGTEP >Et_4A_035809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6459513:6461350:-1 gene:Et_4A_035809 transcript:Et_4A_035809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLTHSHTTKTRSDTERFQRPASPVRIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLQALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIKN >Et_4A_034332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31846991:31851837:-1 gene:Et_4A_034332 transcript:Et_4A_034332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRKQLDVLMGANRNGDVEEVSRNYYDRDVCRLFLAGLCPHDLFQLTKMDLGPCSKVHSLQLRKDYEEAKSKGSESFDRELEDMIERLIVECDRKIQRALKRLADEDAKAAIAISVSEVTLTDEILQLSKEIKQKMKEADEFDFEGKTDDKIKTLEVVEELRSKRADMQATLLLDAFNKDRASLPQPAPAPQITPVPPPAPPDARTQEMINEKLKKAEELGEQGMVDEAQKALEEAEALKRLAPRPEPTSESSKYTDVRITDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKRKELQEEKNKKRKDRSEDDRRSREHSKDRNGRASRDRDAERKDRVESREGRRDHDRDRDRRHDRDRRHDRDRDRDYDRSRGHDSRRRDRSRSRER >Et_10A_001865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9282081:9284145:-1 gene:Et_10A_001865 transcript:Et_10A_001865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRKISCGTLANAWLDFVGLSVTEVRAASLKVVIGTTLNYFLDAWSDQQGRGVGCFLIAAVLGSLVHSSSAADNQEKLAKSMVKPYQQHKVVNVVPAGLQLEEAIPDASLAHIQLQGKVEEGTAKFLVSLEEKRSIKLRQRRREDVSCEGYRRRHQSDGRGAGAPGHVAGGARRAGAARPAVVIHRQALGSHALLGVGIVVFAGWRTLPNDGVPRLTVYTAYFYFSLSCFAVSVALNVRFLYRPMAAGVAASSPAAYLADGDGEGTALALLAGTMCGLGNAFTFMAGQAVGYAAADSVQTLPLVSTLWGVVLFGEYRRSSRRTHALLAGMLLMFVVAMALLMVSSAHRK >Et_4A_035007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8778739:8782121:-1 gene:Et_4A_035007 transcript:Et_4A_035007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPSWLTAVPPDLRAYGHLIQRCADSGNLAAGRQLHARLVAASVTPSNFLASKLISLYSRAERLHDARKVFDAIPRNLFAWNAILIALSLHSPDPSAAVRLFAAYGVSPDEITLSALLKSVAASGPALSPLVSGELHAVALLRGFGTELFVSNGLITAYANAGDTLSARAVFDEMPCRDIVSWNSLISAYARAGWYWESLELFQKLTQIHADAGVGPNSVTVSSVLHACAQLKAVDFGISVHQFAAENGLDTDVAVWNSIVGFYAKCGHLQYARELFERMPEKDAVSYSAMITGYMSHGYIDKGMELFRKANVQEISIWNTAIAGLIQNGRQSDALVLLHEMVDSSILPNSATLSIVIPSVPLFSTLLGVKQAHGYAIRNNHDQSVNVVSVFIDAYSKAGFLDEARMVFQLAGDRSKVVWTSIISAVAAHGEATDALRLFHEMISSGTRPDTVAFTAVLAACAHAGMVADARNVFDSMQVVFGITPVMEQYACMVSTLSRAGMLKDAVELVNSMPFEPNAKLWGTLLNGAAEVGDVELGRFVFDRLFKIEPKNTGNYIVMANLYSNAGKWEEAEIIRNMMWGVGLEKVTVIDQR >Et_3B_028442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15440127:15449028:-1 gene:Et_3B_028442 transcript:Et_3B_028442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRARDPHWYPHLDDLPLGSRHLTRLHLAGVTLNNSFCDFSSCPSLEHLELARCDLWGTETISTFCAFGKRSSTVFRTPSLVSLRLDTHLRKAPVLESMPSLQQAFVRVIHTAYERECLDMDCYSCYGVVDDTKCIIFKRELKQCPTLSNLTNLLLNDPWCTAPDFWALTCILNRSPVLEKITLQLFPKEPKQRLVIRGSCNLTEFSSAISKHLKIVNVESWTHAHLMFDRMPPGKICKKAPAMDGSDSIDVLPDGILEHIIGFLPAPQAAQTCLLARRWRHLWKRAAGLHIMCVDGYFEDQKCMNRSPHFVHDLLDHRGGAPLETCELTFSGLDDDLGPNRWISQLLMCQVRILRLSNICRVEFELDDLPLSSKHLTRLELDGIVKISSKSLKCLSIINCGFSGEFRTLFDVPSLVSLRLDGHLYRAPVLESIPSLHESFVRVVHENADSGDCDDYTGYCDVDDCYSCHGVLDDNKCVLLEALSEAENLTLVSESRTFAFERDLKQCPTFRKLKTLLLNESWCVAPNFTALTCILKHSPVLENLTLVLYSKGPKHKVEMIGRYHPVDRTTALSEHLKAINVKCEVVDEKVNKVLKFLCALNIFSNDLELRLHVIAFWYMVSLSQGGAGRRCRVPAWGRLAGAAQGGGVELRGRPGLLRGAGWAGKRRRGIEGSREGCATRDGQEQGRGGASRAGAGKKKHSRTGCAI >Et_1A_006767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27377912:27381733:-1 gene:Et_1A_006767 transcript:Et_1A_006767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRSPIKPALRRSNLHLQKQPSRASALASANPYLFASELEPPRCSVTTCPVHRRSLAVEQEIEGAELAMATVLPETASDGKALTDAWDYKGRPASRATTGGWGCAAMILGAELFERMTTLGIAVNLVPYMTGTMHLGNAEAANTVTNFIGTSFMLCLLGGFIADTYLGRYLTIAIFTAVQATGVMILTISTAAPGLRPPPCADAKGASADCVRADGKQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDDGHDGERKKMLRFFNWFYFFVSIGALLAVTVLVYVQDNVGRRFGYGICAVGILCGLAVFLSGTRKYRFKKLVGSPLTQVAAVTAAAWSKRALPLPSDPDMLYDVDDAAASGTDVKGKQRLPHSKECRFLDHAAILDREETAAGTSSKWTLCTRTDVEEVKQVVRMLPIWATTIMFWTIHAQMTTFSVAQAEVMDRGLGGGSGGFQIPAGSLTVFLIGSILLTVPVYDRLVAPVARRATGNPHGLTPLQRVFVGLFFSVVGMAAAALIERHRQATSERGVVLTVFLLMPQFVLVGAGEAFTYMGQLDFFLRECPKGMKTMSTGLFLSTCALGFFFSTLLVTIVHKVTGHSGRGGWLADNLNDGRLDYFYWLLAVISAINLGLFTVAAKGYVYKEKRLADAGIELADEETIAVGH >Et_3B_028082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1055212:1057772:1 gene:Et_3B_028082 transcript:Et_3B_028082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDVSRQLKQMTDFIRQEAVEKATEIEIAAAEEFQIEKLQLLEAEKKKIRQEYERKEKQVGIKKKIEYSMQLNASRIKVLQAQDDLVTNMMEATRKELLYTSRDHQAYKRLLRILIVQSLLRLKEPAVVLRCRKEDLELVDSVLESARNEYAEKANVYPPEIVVDRHVYLPSAPSHYQAHGPSCSGGVVMASRDGKIVCENTLDARLQVVFRKKLPEIRQSLVGHVAA >Et_3B_030564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:538776:543311:1 gene:Et_3B_030564 transcript:Et_3B_030564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRGGPSSASAGAGGGAAAANFATRMLLLLTLPPLALAAFAFALQWRGGMRDPAGAAWPAETQRFPGMDNSPRGSSRGGGGGSYFAVSSSSSSSAAADCAEILGRSASSHGISLYRGWSFDSDTAITPKICITGSTSAGLHQILPWLYYHKVIGVSHFILFVEGEASKPAVTSVLESIRGVKIIYRTKELKEKQDRSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWIIHLDTDELIHPAGAREYSLRRLLLDVPDNVDMVVFPNYESSIERDDIKDPFTEVSMFKKNYDHLPKDTYFGLYKEATRGNPNYFLTYGNGKSAARVQEHLRPNGAHRWHNYMKTPNEIKLEEAAILHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFILEFDRLAIIRGLKESGVFTSAVTSAKAYSKMKSSNMGLEHKESIRSNVTAGQSTLGDSKNQLQTTARKILEMVEKQEDAMPPMSPPSFVEMLESSF >Et_7A_053071.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6078781:6078978:1 gene:Et_7A_053071 transcript:Et_7A_053071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVGIVAELLDEYTALVARVLEQLLTDAPFPRRMRFLMLRSLPFASPPLPPPPPAHALRVTSRG >Et_2B_022774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4941774:4944672:1 gene:Et_2B_022774 transcript:Et_2B_022774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEHEVYGQEIPVDGEDVDMSAGGDEAAKVPPRAPLLPPPLHRRPNPSGGTDPFSRVQLQELDEMKRRLKEMEEEAAALREMQAKVAKEMQGGDPNAGTSENKEEMDARSVFVGNVDYACTPEEVQQHFNSCGTVNRVTILTDKFGQPKGFAYVEFVEVEAVQEAVKLNETELHGRQIKVAPKRTNVPGLKQHRGRGYNPYHGHPYMRPYGGPFYSPYGGYG >Et_6A_047809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17600793:17602395:1 gene:Et_6A_047809 transcript:Et_6A_047809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRATAATLSAVLDNDDLLGEVLLRIALPTSLVRAALVCRRWLRVAADPAFLLRFHDRNPSRLLGFYVDSRRQRRLRGVPNFAPMPGLPPELDAIVRRAGSTLDAYATDDVTSTVSVLDCLNGHLLVRLGEPNSYRDLVLSPMHPAGTGGPVVVVPPPPSPASIYHREATWLKFEDDDFPNRRGVMVCAAWSPRGDQTISRYELRGGAWHEPSSAAPKTKLPPLMPPNLSYILIPFRDKIYLVSSASANDELRSASSETLDVSLVAFPNGAKYNKLQHKGRLEAWADSSFIYLTKVGTKKLQLRVWRYGKDSETWSLEDTICLRTVFADSSVVLTSVVSQDGRRPEIFRVRRGSKWPLNSGPDATTPPLLLPSPYPHARLPPCHPSRLLLVHAAAAAELAPRRRRRVPPPTEHPQLLTRLRRSGPDVRVSKPPVASRRGRRMLGWGRDALAAPGRQFGGGGGVN >Et_5A_042752.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:3647668:3648309:1 gene:Et_5A_042752 transcript:Et_5A_042752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGERGVICHLECEISSVSVTGLGCGDRGGELFLRCHVPAGGGRAIQIDSRAAAAEPAGAVVSWRDVASLSCDGSPACVRELVERRSVVFEVRRRRRAALRRRIMGSELVGRAEVAWRDAAGVDGGAVERQVALAARRGGGGRAVRGDVPAPMLMLSVRMTVRVSETAAPAGGRRRVAGSASAANWESGGEWSVGDADVFGLAICAADGALE >Et_9A_060905.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:15517613:15518386:-1 gene:Et_9A_060905 transcript:Et_9A_060905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFDPTAAQQSSAKRPRQQLADAGAVIPYNAEAQPISAVPWTQPQPHIIGKESPCLRNHILPALRLRADLPVHFIDAKAVTSTDLDAHQNRFRIPNDGVLRRLRPLLTLEELASANLLYDPEPRQKPKKNLLPEPENSAAASNAGEQKMKRKKRKRRVHGGLPVRLVDLAGGASGVLRLSRWESSHGTIVKGEGPSTSSGGAASRRTTWWRSGPSSSVRSVTSGSPCVTTAFCTSSSSRGTISRRLAVAALLLSKAL >Et_2B_020488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20735162:20736450:1 gene:Et_2B_020488 transcript:Et_2B_020488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPPPADPPRRLTVANLLLLRRPTTGASSLHFPPASVPAPSATSSSPPRKKSKIAAAAAASNPTSKPSTAPFAPISRPVLLSGTLSLPSEASPAACRNNCFSFSDPSTSSPAASVCCCLIDFDPAALGREIRVLAWNYLPSVRLHGAAGVLEVVRWCLAEEPAPAPKPAFLATIPLNCAAKKPVLATHGGVFGVLRSVSVVFSMPRVKVGGTSSSVGFLAELVCCGCRQCLVSPPEGDQGHSFETVKFLYFLESASTWRPVLACLVGKLLYITGLKKQMVSVGEKGSYTMLVSSTKTTMAWCPSYRGNVPSDCLPENCGGVYAGVITGIYMKGMVVELDDTVWLLIDDQQLPPPHSLRVGAVISLKNFRRISLKLSWTRVVLLATCSKTSVTIKSFSLVDSK >Et_1A_006444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22314298:22333984:1 gene:Et_1A_006444 transcript:Et_1A_006444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAPSSAALHALLPLLASPPRFLHLPPRPSAHRHGRSGSGGGLLLRPPPRRRQEPGAARTRRAPICCCSLTSGGAADVLVGGGSLVTAAALLAALQVLWLRWRGDSSEVHVEDDKTTEVVYNSNCGPQTTPYNGFSELSVSGRVTVDEIDSKACNFSCVNTVHKETQNYPVVTPFTSLDILVHIPGPEEVRCSSPTTETSYIEKVTGFPARPVSVSEGQDESKCFSTRSGQVVGLPYQFLSISGQKGVIKNGQGHIDKPLDSEGAHLFGSHQVNQMEHLDLASLSSFKRIAENHRNFVPHASNSSLFQPRKAIEFRNSYTGFSYLPAGHFSPVACLREGPLSEQKKAVKGNENAMVNGWNISKILAKDNPDNFTPSKRGGLKGMNDTLDYLRIYNSFLMDGRLKDCVDLLESMEQKGLLDMKKIHHASFFDMCKRKRAVSEALHFCRLIDSPKMSTFNMLLSVCAHSQDFDGALQVMVLLKDAGLKPDCKLYTTLISTCAKCGKVDAMFEVFHEMVSAGIEPNLNTYSALIDGCARAGQVAKAFGAYGIMRSKKVKPDRVVFNALISACGESGAVDRAFDVLSEMTSESSESKGTGPIRPDHVTVGALMKTCIQAGQADRAREVYKMLQEYDIKGTPEVYTIALRSCSLTGDLGFALKIYEDMNKIGVKPDEMFLSALVDVAGHARRADAAFEIMKDARAKDLQVGTVAYSSLMGACCNAKDWKKALLLYEEIKSIKLIPTVSMMNALITSLCDGDQVSKAVDVLNEMNRFGLRPNEITYSVLFVAFERNCEAQHGLDLLEQIKPNGIGLNPTIVGCLTGLCLQMFDNDLSLGNIIVKFNLGKPQVDNKWTSAAIGAYREAISARLLPSSDVLSQVLGCLRLPHDSSLKNTFIENMGISCDVPQHPNVNSLFEGFGEYDIRAFSILEEAASLGAVESISMKESRIVIDARKSKMYTAEVSLLTTLRSLKHRLAAGARLPNVTILLPTERKQVDLDEREKTLKLAGRIGQAVGALLRRLGISYQGEESHGRMKINGLTLRRWFNPKLQRTPTAAAPTDLLPLQSRLAKGIADQQREIRNLSLE >Et_7A_051552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20273597:20274102:1 gene:Et_7A_051552 transcript:Et_7A_051552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDTTPPPQVACTDEQRLQLDAIRRGFTRIEAQMAGIGGAFDELIGVISALACHVSNLRRLRAAERVYAKKKKQPVTRAQKWEKPAMGKLKVNSDAAFRHAGAAGGADLTGVRRVEAIRSGLENMARSGLGLGGGGEDDAVDALVAGLERIRIDLRPSV >Et_3B_029174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22716409:22720526:1 gene:Et_3B_029174 transcript:Et_3B_029174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKIYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHGKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSIEALCRGYPSEFASYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIATAPPRAVGHGAGPSGLAAQLQNDRQSGPEEARTSGWSSMDRLRMPPPITSVGTLSKQKAPVGNDVPVSKGPVIPGSNFLGRSSGSSRRPAVSSSRDVVATDSSEPSRTRTTDASPGAFRRASGPQRSSPVHSSEPKRSSSGRHASNAKNYDSALKGIEGLHLDGDERVQF >Et_2B_021239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27829786:27838734:1 gene:Et_2B_021239 transcript:Et_2B_021239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFAKHGWKFINKLSGCNSQRIGQTAGVVGKKVKWNSPAASSYCSFEIREEQIRSMASGMVFYRSKVHLVYSKYHDEIFPIDELDISELFYKSTSAAKAAAVAASKKDGKDGRGYKVRELPSLGVTALKPSFIFKPFRSGYYDVVKAKGVPVFAPFGDGEDGPMILCADGMGHTVVHDTSLEGSTMGMPMMNAPKGLFHAAISGWRWRPLPPPPFRREPKYECDPYVAMAVDGNTIFISPSTERRIGTYAFDTVTQEWEKAGEWQLPFVGKAEYVPELKHWFGISRRNPYNLCAVDALGPPWRRHELADLDMPEGAGLSDHCLLYLGSGRFCTVRFFVEDERSEYCKPHDVPVFTGVEVVRCDDQAEGPGIKMIKHKSMFLEDDHEMRSVI >Et_1B_010024.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:18825549:18825554:1 gene:Et_1B_010024 transcript:Et_1B_010024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding M >Et_2A_017247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32392672:32397360:1 gene:Et_2A_017247 transcript:Et_2A_017247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKGAPTPGSAGAPRPSSSASSPSARSASQVYPGNLGAHVPTSEYLIVPMGGQPVQVGASSRPRSRVSLRPPQQVLNVQAGWPGIFPSSPSPSSVGKKMAASPKVQMLNSWPSKSPVQKELQLFESVRVKFREALAAALDMDSDHLNGQQSASNVSPIGFADDNKHSDETVVVASESTWKLHSERDLSSDFDAGATVSLNEPASKRPKTSDEVIVEKNGVIQNVQILAFRIEEELFRLFGGVSKKYKEKGRSLLFNLKDQSNPALREQILSGDITPKFLCSMTTEELASKELSAWRLAKAEELEKKVVRPDREVDLRRLVKKTHKGEFHVEVEESDGISVEVELGGNSLFYALKSVEEQPKSDNGASFNRGDKDSDHTPRDEICGKRNSNLQSNSEECLASEKDVPMEECTADDLKYKENLSGIMSLDKLTEAHDSQLHYEDHSIEIAEDAFTNKADITLKPEKYRITEDKADISESEFTFNTLSPNDKCRSKIKSPKNVPFPSLCQAKSKGDVLIKASSEMTAAEKPDTGSCGVSVPGAIIQSNTTPMAHSILWEGTIQFTLSSLSNIVIVFKSGEKPSTNDWRRSVEIKGSVRLHIFQEFLEQLPNSKSRAVTVTELCWKEGSRESGRQHLVQIIDSYIADERVGLVKPAQGVDLYLCPSHGKAAQILAEHLPKEHLGSLTVTGEASVIGVVVWRRPCASTRIPTKHDGFKRPSKSLSSNQQAVIASSVPMPSERAKPPAFHFIHSNEHPRLSDDDDDRSDAVRPGFGQGSVKHDDGFVNVSNTSINVATSHISSSKSQQHVPAVSPPPDLVNQIVRKYSDKYISGQPWDDNGDFLHPQDQVRQMVRRYGNRYVSTQTWENYDHLSELDFDPIQSGHHEAWHPPSLLPLTEHDQRSHHCCCRRHQHREHYEQEHAMATRHLRSPVPEFYVRPQQRGMPMQEPWNYQSVMHPDDPRSEQVGCWCSPGMHAEEMMPMQDVFRQSCFPAEQEYMMPWHPGPRRFRE >Et_2B_021635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3872044:3883704:1 gene:Et_2B_021635 transcript:Et_2B_021635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNAAPSPPAGEQDGRSSEEEERKYKGVRRRKWGRWVSEIRLPNSRDRICLGSYDTPEQAARAFDAASVCLRGPAGAAINGLNFPGSPPAVCGRTSDVQEVYAAAASHAHNNNKATAAMEAPDSDAVFTSRGRSLAAARTSAPLQVSAERMMDWTTEMVANPPPLFSPTVVGSHAHLTLTAAATDVDIDDMSTTSRSPSPGERMARKYKGVRRRKWGRWVAEIRLPNSRDRIWLGSYDTPEKAARAFDAAFVCLRGRGAADGLNFPSSPPAVARTSDADEVYAIAVSRANNHAIATVEAIRPVPAHDGVPTDSPAQLQVERFDWSELVANPPPLYSPTVMGRHEHLPMSSMADVNMEETESMQRLHNQISNVFKKFTTVP >Et_10A_001934.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:12350413:12350676:1 gene:Et_10A_001934 transcript:Et_10A_001934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTNVISRMVLGKKYVVQGVAGSPITPEEFTGMIEEAIYLKGALNIGDLIPWLNWLDAQGYIKRMKRLHAKFDKFLNYVLQEHEER >Et_4B_038719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4270251:4276619:1 gene:Et_4B_038719 transcript:Et_4B_038719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDEHGAGSGRPAECVGPISRFPNEAEAEEDGVFIASGDDDLGSGKGLSVLENEEETWEGLQNLFFDVAAEEYHACLEPPTGEAEAEEEHECTDLEPFFFDEAAAVAEHAVAEEKRRNKEQMEAREKELRDQKWKAHREALNRIRGRDRLGRCFTRFYLADLSTFDLDEETLYEQDKKLFRPCNSVNVLSVKIASLGLDFSFPIEVCGTVISRDCLDLKCVYLFRRDANDCQLINSADESLILIGPKRGLALIDDMYFEIDLKIKHGEEITELIVQRAVEATFAIEVVQGEFFGQITAYTTSIQDRLVLHDSKVAGVLMTHDGKRVAQLLRPVVAVSLQEKLMVTVAARTGASITERTIGFTPGVNGGDQGEITCGSVEILVKLYKADTLVFGKPQGTV >Et_4B_038463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29182008:29183039:-1 gene:Et_4B_038463 transcript:Et_4B_038463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVQSRDLARLVDTLFASGRSAEAHHRVSLLLLSAASPFSSAASSAPARPSSRSAFSSMPPRRPSPPRSLNYNRLLALLCHADKPPLPLPVHLSHRLLLRICAPTDAASYAALLDGYARVPDPRAARKLLDEMPGRGLVPSSLGRTFLVKAFLRSRDVDAAMDLVDNHLWPSTADGRQFQENQEVTNAAFANLVQCLCSEGFFHVVFRIAEEMPHRRCGVVDEFAYAQMIDSLCRAGQHHGASRIVYIMRNRGMCPSNSLLQLHCSRAMHQPEARRSPQGTPACDGGCEIRLLSEGVDELCRENEVGKAKDVLELMLQPQFGNDMGGD >Et_2A_015786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17954440:17958447:1 gene:Et_2A_015786 transcript:Et_2A_015786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGGEAEASSRPGRSGVTGTGMRLFSPEYYALCAGGGMLAAGATHLAITPLDVLKVNMQVNPVKYNSVFSGLSILVREEGPSSLWRGRGGKFFGYGVQGGFKFGLYEYFKKRYSDVLVGSNKSTIYFLSSASAQIIADIGLCPFESVKVRVQTNPTFAKGLVDGFPKVYATEGLFGFYRGLLPLWGRNLPFSMLMFSTFEHTVDFLYQNVIQKKKEDCSTVQQLGATCLAGYISGAVGTIVSNPADNIVSSLYNKKADNIIHAVKSIGFRNLFLRSLPIRITLVGPVITMQWFFYDTIKILTGFYNDNSSIQSYLLLSFTSGLRIGLSHISAITLDDMLHSTSCPALAAAAAARLFLSALPSP >Et_4B_037493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20374948:20377400:1 gene:Et_4B_037493 transcript:Et_4B_037493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATAASAVPRLRLAAPPPALRQTPGRSHWLLPRRRSLSSSLPNVTPASGAGELEPPDLPRLANAARISLTPQEAEEFAPKIRQVVDWFGLLQAVDLESIEPSLRAGTAVGSLLREDKPETFAKRDAIVEAIPSYDDPYIKVPRVLNKE >Et_2A_015031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26764653:26764974:-1 gene:Et_2A_015031 transcript:Et_2A_015031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSAVVALLAVALVLVASTAMASPSCCSDNAVWGVEDPQHQRCSPDQNGSCNEWCQASCRGGECKLRGDRHVCHCYC >Et_2B_021618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3664393:3666917:1 gene:Et_2B_021618 transcript:Et_2B_021618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLSPPLLLLVLVLLGTAATTQCHGHNKRHHHHTSGGKTPKTTGAATTGIPATVHAAAICHATPHPVSCLAAVAAHPADAATKAVEASAVSVSLTLPPTVLSVVLASLRGALSALSSASPAVAALSGPPASSPWVASLRRGAAQDCAELHEASLGSLSRSAALLATPGGESLPAVRAHLAAALTNKATCLDGLAGAPGPQVGGLLASLDDAYRHVSNSLALVARRDVSAGGFAAEIHSRRLLKDDDDDAGDSNNDGDGDDNGSGDEEGSGNADEPAAETVITVAKDGTGNFRTVGEAVAAAPNNSAARTVIRVKAGTYEENVEVPVYKTNLAIVGDGRDATVITGSRSAADGWTTFRTATFGVSGEGFLARDVTFRNTAGAGKGQAVALRVNADLAALYRCGVDGHQDALYAHSFRQFYRECAVAGTVDVVFGNAAAVLQGCALLAKAPVPGQSVVLTAQGRGDPNEDTGIAVHNCTVAAAGAVADGSPAAGSLPAGTRTFLGRPWGAYARAVVMDSYLGPIVDRAGWIEWPGAEAGRGDTVYFGEYGNGGPGADVGGRVGWAGVHQMGYDEAARFSVQNFIYGDEWLGATSFPYDDDV >Et_3A_025452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:368126:369565:1 gene:Et_3A_025452 transcript:Et_3A_025452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLYRTQDKVIKGLVLSLAGIGDEDMSANIWVPSDLDFLARAMRSFIPNRAMLHTHGLKGSARISSRLNFVCPQLFPSSGFWPVETANGGTEKNVYTSLETCESVPAPNLLKFHLRPYAQLGLDRASIPSLFTYEDIVEELISEIPEVREVPEHVRKFWHHNPDDQSTLAPVGSMLTVEEPWICFFFLSLVSRGGGCLVSSGSISNPV >Et_4B_037159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15627154:15639812:-1 gene:Et_4B_037159 transcript:Et_4B_037159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSITMAAEEERAAAVGEGEEEWSDEAVVYVNGVRRVLPDGLAHLTLLQYLRDIGLRGTKLGCGEGGCGACTVMVSCYDRTTKKSQHFAINACLAPLYSVEGMHIITVEGLGDRQRGLHSVQESLANAHGSQCGFCTPGFVMSMYALLRSSKQPPTEDQIEDSLAGNLCRCTGYRPIIDAFRVFAKTDNSVYTNSSSENTNGQAICPSTGKPCSCRNDADVNANESSLSLPVKRYSPCSYNEIDGNAYDERELIFPPELQLRKVTPLKLNGFNGIRWYRPLKLKQVLNLKSCYPDAKLVIGNSEVGVETKFKNAQYKVIISVTHVPELNVLEAKEDGIQIGCSVRLAQLQNFLKRVIVERESHETSSCQAIVRQLKWFAGTQIRNVASVGGNICTASPISDLNPLWIATGARFQIIDVRGNVRTTLAKDFFLGYRKVDIKPDEILLSVILPWTRPLEYVKEFKQAHRREDDIALVNAGMRVYLREAEGNQIVSDVSIVYGGVAVVPLRAPKTESFLTGKKWGAGLLNDAFDLLKEDIPLSENAPGGMIEFRRSLTLSFFFKFFLSVTHEMNIKGLLKDGLHGTHLSAVQSYSRPVTVATQGYEMVRQGTSVGQPMVHMSAMLQVTGEAEYTDDTPTPPNTLHAALVLSKKAHARILSIDDSLAKSSPGFAGLFLSKDIPGANHTGPIIHDEEVFASEVVTCVGQIIGIVVADTHDNAKNAADKVHIEYSELPAILSIEEAVKVGSFHPNTKKCLVKGDVEKCFLSGACDRVISGEVRVGGQEHFYMEPQGTLVWPVDSGNEIHMVSSTQAPQKHQKYVANVLGLPLSKVVCKTKRIGGGFGGKETRSAIYAAAVAVPSYCLRRPVKLILDRDIDMVTSGQRHSFLGKYKVGFTNDGKILAVDLEIYNNGGNSLDLSLAVLERAMLHSDNVYDISNIRVSGQVCFTNFPSNTAFRGFGGPQGMLIVENWIHHMATELQRSPEEIKELNFHNDGVVLHYGQLLKNCRISSVWNELKASCNFMEARKAVSCFNANNRWRKRGIAMVPTKFGISFTAKFMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQVAASSFNIPLSSVFISETSTDKVPNASPTAASASSDLYGAAVLDACQQIKARMEPIASRGTHNSFAELAQACYMERVDLSAHGFYATPDIGFDWTTGKGSPFHYFTYGAAFAEVEIDTLTGDFHTRTADVVMDLGFSINPAIDIGQIEGAFIQGLGWVAMEELKWGDDNHKWIRPGHLFTCGPGSYKIPSVNDIPLNFKVSLLKGVPNPKVIHSSKAVGEPPFFLGSAVLFAIKDAIIAARAEEGYSDWFPLDNPATPERIRMACVDSITKKFADVYYRPKLSV >Et_3A_023341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21601014:21602447:-1 gene:Et_3A_023341 transcript:Et_3A_023341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAFFPFQWPVEPAPDLGLPPLPTVVPDDAGAVAYYAAAAAAAEQDMHDTDDAALAAAMRREEEEAAGIRLVHLLMSCAGAVQAGDHALASAHLADAHAALAAVSAGSGFGRVAVHFTAALSRRLFPPASPPPPPASADHAAFLYHHFYEACPYLKFAHFTANQAILEAVQGAASVHVIDFSLMQGLQWPALIQALALRPGGPPFLRVTGIGPPSPPGRDDLRDVGLRLADLARSVRVRFSFRGVAANRLDEVRPWMLQVAPGEAVAVNSVLQLHRLLGDPSADRGAAPIDAVLDCVASVRPRVFTVVEQEADHNKPGFLDRFTEALFYYSAVFDSLDAAGDAVAEAYLEREICDIVCAEGADRRERHEPLRRWRERLGRAGLASVPLGANALRQARMLVGLFSGEGHGVEEAEGCLTLGWHGRPLFSASAWRAAENNQTDSCNVDGSSGASGSDESNISCSS >Et_4B_039282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9417786:9430878:-1 gene:Et_4B_039282 transcript:Et_4B_039282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAAAARFPTWPAAKRPRAMTALARVAVAGAEARSPLLRALSGRSPVPERRACFCSESDAAAGSGSEASSSAEEGADAAGGEEGEGEKVTSAIAPTPRPEDCHTVIALPLLNRPLFPGFHMPVYVKDPKLLQALIENRKRSMPYAGAFLVKDDEGTDPNTASSSESAKGIRDLKGKELLKRLHDVGTLAQITSIQGDLVVLLGHRRLRITEMVAEDPLTVKVDHLKHMGDFNYPRLADFGAAISGANKLLCQEVLEELDVSKRLKLTLELVKKELEITKLQQSIAKAIEEKITGDQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERIKVKKNNCPPHVLQVFEEELTKLQLLEASSSEFSVTRNYLDWLTVLPWGNYSDENFNVHQAQRILDEDHYGLTDVKERILEFIAVGKLRGSSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLADVAEIKGHRRTYIGAMPGKMVQCLKSVGTSNPLVLIDEIDKLGRGHSGDPASALLELLDPEQNANFLDHYLDVAIDLSKVLFVCTANVVEMIPSPLLDRMEIISIAGYITDEKMHIARGYLEKNTREASGIKPEQVEITDDALLALIENYCREAGVRNLQKQIEKIYRKIALQLVRQGVSNETPQDVTVLEATKVEDENSKNSLEEDASVDVNPTDSSLENVNAVPLTTISEVDPDKHSNEAPHEISVEETPKIFSTPSTLEVNESTHSTTEVLVDKPVEKVVVDASNLGDFVGKPVFQAERIYDQTPVGVVMGLAWNAMGGSTLYIETAKVEESEGNGALVVTGQLGDVMKESAQIAHTVCRAVLFEKEPNNPFFMKTKLHLHVPAGATPKDGPSAGCTMVTSMLSLAMGKSVKKDMAMTGELTLTGRILPIGGVKEKTIAARRSGVKTIVFPSANRRDFDELASNVKEGLEVHFVDKYSEIYDIAFTSDDETQGRLLISR >Et_3B_030963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9445472:9447737:1 gene:Et_3B_030963 transcript:Et_3B_030963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQSSFSVSDKTKAWPYPSIPSKLSGSCAYTSLASSGSLKNSVMSLLMSWITSSGTPWIISCK >Et_7A_050277.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:18841721:18842462:1 gene:Et_7A_050277 transcript:Et_7A_050277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMATPPAAVRALIAAAVTVPHLHQLHAHLLTSGRLASLGPDLLRRLISIPATPLPLAPRLLLSLPSPPLDLFNLLLPPLAASHDPAAAADLFVGLRRGGLRPDAHTLPHVLKALARLAPGSLPLVASTHADAVKSGLSCAVVYVPNALMAAYSACGHLVRAMQVFDEMSRRTVVSWNTALTACADNGRHDQCAG >Et_1B_011361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21063929:21064860:1 gene:Et_1B_011361 transcript:Et_1B_011361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLTSHILLSSPARSSAVPSLGRLKPAVVALPCAPAGKRRPRSICCSVDPKSTDHPYGISPVALVHPHMPPTSTPRWEIKEDDKNVRLTFFNMPEAATTDDFQVAVEDDVLIIRTKPKPPAERQGEPDADGGVSFDVRLLVPKGYDRENVRADLQLRALVVTVPNAHPAFTKQVPIEGK >Et_1A_008110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4410898:4411424:-1 gene:Et_1A_008110 transcript:Et_1A_008110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREQRAINRRNKLDSVISSGSDGREHSSGVPKHPIGIRKRLKGLNHARKNMLKEKASAAYRKKEQAEREKRYGGIPDQAATGSSFLEKPFGHPVLSANDTSDLSRFVVSLALFDGDCASVSL >Et_7B_053273.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:20052244:20054765:1 gene:Et_7B_053273 transcript:Et_7B_053273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEPLVHKVLSMATSSSRKVRPAAGGTKGGEAAADGGRVGILSFEVANAMSRAANLYRSLSDAEAARLLGPLCLGSHAVRSLVPGDDARLLALALAEKLDALNRVAAVAARLGRRRCTVPALMGFDHVYADLLAGRSDASAAAFSAAASLSADAALVRRLDRLAAATAALYAELEALTELEQSARKLPTDEARRAVEQRARWRRHDARRLREASLWNWTYDKAVLLLARAVCAIYHRIRLVFGDPMLGIHLLDMDHRQCDQSQLLNSGPVRSNLGDAKSGPIVARVDLDASLSPRPVHFRSNCGASPGRMFMECLSLSSSVSWKDGFEDEFLEDASCISTIRSGMLVPFSGEQGASTTPPPKSGKIGGRRVRFGPKSTVTSLAPPNTIGGSALALHYANIIIIIEKLLRYPHLVGEEARDDLYQMLPSSVKAALRKNLKTYVKSVAIYDAFLAHDWRETLEKTLAWLAPMAHNMIRWQAERNFEQQQIVLKGNVLLLQTLYFADREKTEAVICELLVGLNYICRYEQQQNALLDCSSSIDFDDCVEWQLQ >Et_1B_012833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35448560:35451220:-1 gene:Et_1B_012833 transcript:Et_1B_012833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDRDEPAAVRVYTVCDESKYLIVRNVPSLGCGDELAHLFGTYGPVEECKPMDAEDCEPYTDVFFIKFSQVSNARFAKRKLDESVFLGNRLQVSYAPHFESLLDTQEKLEVRRKEVLGRIRSSAGRSEGTIQYPIAQGSSSGNSNRQMNSNKREFMKTIQASHIDDPRFSHVPSNKDYFPSESMNATVNLVREKLDKIQSSGDNSNAAAAPKKPRRDPEKRTSGNVCCAAPPTSPEHAVLLSE >Et_2A_018738.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:6387908:6389008:1 gene:Et_2A_018738 transcript:Et_2A_018738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNMVPLFTLLAAAVAALLVVSSVPSASALPPDLAKAITKLPNPWSAFQNLTDCHFGEQKKGLAKLKNYLSHFGYLPELPSGFTDTFDADLEEAIKMYQRNFRLDITGVLDASTVAQMVKPRCGVADVINGTSTMAGSSSAAHVHGRNLYSYFPGAPRWPRRKTTLRYAITATSATAIDRATLSAVFARAFARWSAATLLNFTEAADAADADITIGFYAGDHGDGEAFDGPLGTLAHAFSPTDGRFHLDAAEAWVAAGDVSRASSDEAVDLESVAVHEIGHLLGLGHSAELDAIMYPTITSRTRKVELASDDVQGIQSLYGGNPNFKGVAPPTSSREMDSSAGARSRPWNAMVAVAAAVGLAVAL >Et_7B_054906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5392588:5405231:-1 gene:Et_7B_054906 transcript:Et_7B_054906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSFQSFALKLPRTLLTLLSVDRQSTPLLDSSTRVDTGGTGGADVPAMLTVFKLNDSQADAAARRLIWGPPGTGKTKTINVLLLLLLMSQSQTARPCRVLTCAPTNTAISRVAPRLVALRKQHPAADDAAGCLGHLLLFGNRERMSVHGDLNGIFLDTRVKRLKRCFSPATGWRHCLVSLQAFLQEPKTVFDQYNEACWQKDGTRRSCFATIMAHVPRAIVLEKNYMSIVSLIKMLDDFSKLLDVAVDVFMTTIDEKCRESAGRPRDSELIQKLMEQKTAILGVTRTLIRDVKLPVTRSDLRIKKFCLKPVEEWPNLVDVILSWSLQDVRNEGLFKDKVKRIPSTFTNLKSYLEYYTSLLLEELRAEISSSLESTSTMPFVKISWIQEKNGNYEIFVDANSQKTNSSNRRECYAPSVGDIIILSDVKPGHIADITRNRRPYRIAFITEGGDEDEDSPPTKYVIIASGKIDAADRKCQYGKMTPLFAAYLLNVVTYIRIWRCLDYETSVTRNTCLLQEMVQYPLATDILQKCTDKSGSIDSMEIWSKLSSMNLNNSQNDAVLNCVSAMHHNSSHFSLIWGPPGTGKTKTVSVLLWLMREMKHGTLTCAPTNLAVKQVASRFLRLIKERSYDTSCLGDVLLIGNKKRMIVDGDLKEIYLHDRVRKLLGCFAPLTGWRHLLSSLSSKVTNKKLELLVIDEAAQLKECETLIPLRLPALKHSILIGDECQLPATVKSKVCTDALFGRSLFERLSSLGHKKHLLNMQYRMHPSISLFPNITFYDGKISDAPSVMQREYQKKYLPSPMFGPYSFINIEEGREDCDELGKSKKNLIEVVVISDSANFGRSVFGRLSSLGYSKHLLNVQYRMHPQISKFPVATFYDGKISDGPNVTCKNYDRMFLTGKMFGPYSFINIDGGHETTEKHRRSLKNTIEAAAVVRLVQRLFKEAASRVIKLSVGVVSPYNAQVRAIQEMIGKSYNMYDGFSVKVKSVDGFQGAEEDVIIISTVRSNGVGSVGFLANLQRTNVALTRAKHCLWIMGNGTTLSNSNTVWHAIIRDAQDRGCFFDASDDKDLSNAIVKAIIELDDAENSAKVEPRHISRSKFQVLQIDLSKSACLVVCLVMVIRFLQTTCVK >Et_5B_044407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23884331:23892267:1 gene:Et_5B_044407 transcript:Et_5B_044407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTKGGKVMNPTDAFRKQQRKKELKRNKKERKKVREVGILKKDPEAIREQIEKLEKQKADGALDKARKHKKRQLEDTYNLIIKKRKEYEQKMKEKGEQPVMFSHLGPPKRRPAADEEDRAKNPMPEDSVYYHPTLNPSGAPPPGKPPMYKSSIGPRIPLPSSSGAGASSSMSESEAGPSTLPPPPPPPPLPATSEPLDPSASSSSLPPLPPPPPPPPKPAIDATTPGLPPPPPPPPVPPPTEPVPGHTLLPPPPPPPQRPSNELIKKQDGGDVASITNSDQPSGVLPPPPPPPGLPPKSNDMEATDNSKDATGFKQNATARVLPPPPPPPQPSNLPPLPPRPLAMPPMQHDMLASGVMRFPLPPPPPESRPPHIPPGVARPPPPPPPGLPPAQMPMAPYGVLPGPPPMVRPPFFPGPPVHTDEFAAFAPRPQPQQPSYVKSAAPTVVKRPLAQHTPELTAMVPASVRVKRESALPKPKPKVQQSSTSSASKPSVTLIKSEAQPSSSVRKPQSIDDSYMAFLEDMKQLGALDESILAAGYN >Et_1B_012613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33807925:33812252:1 gene:Et_1B_012613 transcript:Et_1B_012613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEATKGSSPLISDSSACGDVYSHCFGFALGRRGRQGLVALRRFPGMYVSMAGNIIDPAKCRLMTVEEKRELVRELSKWPESAPDRLQSWSRREIVEILCADLGRERKYTGLSKQRMLDYLFRVVSGKASGPVEHVQEKEPIPEPNPSNHQSPAKRPRKSDNPSRLTVANNSSLADVAGPTHNARFCQNVACRATLSAEDKFCRRCSCCICCSYDDNKDPSLWLFCSSDQPMQKDSCGFSCHLECALKDERTGILQSGQRKKLDGGYYCTRCWKQNDLLGFWKKQLVIAKDARRLDVLCHRIFLSHKVLASTEKYLSLHEIVDTALKKLEAEVGPLSGAPNMGRGIVSRLAVGAEVQKLCAQAIDAMESMFCGEAPINLQGPIAANFIKFEAVTQTSATIYLDLDKCPVPAGEVTCFNLWHRVAVTESYPSAPIGIILAPLKTFLVTGLVPATSYIFKVVAFNKTNKLGSWEVNVKTSCQKEDTRGSMPGGAVLDRNTESPKTNSDGQSDPSSEGVDSNNNTAVYADLNKSPESDFEYCENPEILDSDKASHHPGERMDNLQNIQMAAARVTEVIELDEAPGLSASALDEETNPNTETAPPRESSNSVEHNQRTPEREPGNSSNKRSGKLDDISRKDGCAEASYEYCVKVVRWLECEGFIETNFRMKFLSWFSLRATPHERKIVSVYVDTLIDDPVSLSGQLVDSFSETIYSKKRSSMHSGFCMDLWH >Et_10B_004122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1477278:1479497:1 gene:Et_10B_004122 transcript:Et_10B_004122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAATGNGDAINLSLTLGPMSPPLFSSPASAAASVAGGGGSGAGAGRGGVRLFPCLFCNKKFLKSQALGGHQNAHKKERSVGWNAHLYLPTADMAGGAGNQTPHLMSVSVSHSCRSNEHRTLVDDDVSYNSGGRGSSFGWRRTDGSGGGDEKQRQRDT >Et_4B_037887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24439286:24441505:1 gene:Et_4B_037887 transcript:Et_4B_037887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRHGSSRGQAWFCTTGLPSDVVFEVHDMSFHLHKFPLMAKSRKIHRMLTEQEEERPARERRRRRRSSDGGDVGGDDGAAETEIVSEAEEEEAEEEQQPYSIAFPDFPGGPGTFEAAAKFCYGVRVDFTAWNVAPLRCAAEYLEMTEEHCEDNLAARAEAYLEQTVLRHPGEATKALKSCEELLPLAEELGIVSRCAEAIAARSSSSSSRSWLDDLAVLGLRMYKRVMAAMAARDDARAAEARESCVVSYARGTIPGLSRSMRRRLAASAPVASEVEQRELLEAVVASLPPDKGSGRVVTAKFLFALLRTAHILRASAAARAALERKAATLLEHAALEDVLIPSYSGAAETLYDVDCVGRVVRYFLAEEEEASSSAAITEEVPRPSAVAVVQVGKLVDSYLAEVASDANLKPDKFCELALVLPDHARIYDDGVYRAVDIYLKAHPRLTAEERDRVVGVVDCRKLTVEACTHAAQNERLPLRAVLQVLFFEQLQLRRAITGTLLAAPAAGQPQARGREQQRAGSGGEAWRGAVAQESQVLRLDMDSVASRVQELERECSSMRRAIKKIDGGRSGGSRSPGSADGSRPAAATGWRARHGCKFSTQVCDSNARNVVASRASRMGMSP >Et_9B_064421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1587906:1589175:-1 gene:Et_9B_064421 transcript:Et_9B_064421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRGLRRALPPLASAAAALPRPVPHPLHRPVPLRFLDPIGLRPFSAAAAPASQAPAMGASLSGGLMDTRFPKRRPGFANRRKRASLRPKGPYAWVPCKPGEPIPVNQPNKGSVQGRKEKKRIKQRKAFIMAEKKKRQAQYAAAVKRKEAERTERKMAAVARERAWAERLIELKQLEEEKKAAMA >Et_9A_063147.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:11891361:11891624:-1 gene:Et_9A_063147 transcript:Et_9A_063147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQLRILPDELLADILGRLAPHSLAVSRCVCQAWRAVVDAHNLLRPDLLPLTLGGIFISLTREPAPPEFFTPPFMGHKIASKLQY >Et_1B_014438.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:8609832:8610521:-1 gene:Et_1B_014438 transcript:Et_1B_014438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATHYSLRALSPPVLSSLAASLPRDAVPATPGGGGGTVANQRARANKDAGESSLDVRIMARDPVELRRVFELFDRDGDGRITREELAESLERLGMAAPGDELAAVIARIDADGDGCVDIDEFADLYDAVMHRGGDADREGKEEDEEEADMREAFNVFDRNGDGFITVDELRAVLASLGMKQGGREQDDCDHMIGQVDRDGDGRVDFAEFKEMMRGGGFAALGSGPSG >Et_5B_044498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2945373:2954014:-1 gene:Et_5B_044498 transcript:Et_5B_044498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIPPVVRRYWLPILLITAGFLFQLLVLPHSYPPSHYDALRIERFAPVERVVEAYEQLSKEWMSETNYQSTVEIIKIRYAYELLTNPVLKRDYDLFGLDEHADILERTKEQYQKEHFLKIDLPLLKDSLLNFPGYSFNVLTHESFMRAIAEEYPLLIMVYSKGSARCAQFIEYWKQIGALLDGVAKTAMVEVGDLQLAEHFAEKRFSKQPFFRNGVPALVAYPADCRSPSCYMRYPGGLLVDSVVDWVATSVVGLPRILYYSKEALGPQFIGKVGHHKVKVIFFSSTGERAAPFLRQAAQEYSSYASFAFVLWKEGESQIWWNSLGVESAPALVFLKGPGTKPVVHHGTFSKSEFTEMMEEHKHQELRQLRSDTSLELGCDARGHSRAGNDTTVWYCVVVAGRPGVPLSKKRQVLRKAQDQLISAVDTSTSANEDNSAEISSAATALKDNRLTFVWLDGEVQKQICAFYLATDYNGACGPRGFGDDNDKPELFIVRFQRNATYEALKAEKKNNLMETIQGQHSSDASQLVARYKGPDEIQEINKWVSQIIKDGDTREIPYFTSKVPDLVPEETSKEWLKGTKSIRSAGKSLRNNIDFQFQDYLTDVRIGPTLLMSGCISLGMIWFRNNQPNQSARQDKAPKDETRRRRPKLSTSLFGQPSDSITDVEPKDAHQWEMTDSDSD >Et_3A_024752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23889086:23890713:-1 gene:Et_3A_024752 transcript:Et_3A_024752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPAPANLLYAALLLPTVLYLAVNRRRSRHLPPGPTGLPLVGSLPFVDLNLHTYFAGLGEKHGPVLSIRLGSKVEVVVSSPELAREVFRDKDPVFSNHVTPDAGRAIFFGGEENILGSPVGPKWRMLRRVVVHEMLSPAGLDSVHDLRKREFGSTLRYLHSKSGEPVDVGEQMFLTTMNVLTSTMWGSTIGSENERAAVGREFRILVGEITGLLGSPNLSDFFPALAPFDLQGIRRKMEALRVRFDELFDRIIHQRVQDGGGRAVDLLEIMLKMERQGGDGKTPFNMGNVKNLLLEMVVAGTETASITVEWAMAEMMQNPEVLKKAQHELDSVVGRDVIVQESQLLELHYLRAVIKETLRLHPPVPLMIPHSPSENSTIGGYHVPEGTRVFVNLWAIQRNPLVWNEPLEFDPDRFAGDDAREWDFLGNQLDYFPFGSGRRICAGIAMAEKMTTYSLAMLLQAFDWTLPQGVQLDLSEKFGLVMKKATPLVAIPTPRLSNPELYNSLSEGTTRGS >Et_3A_025556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30762210:30764155:1 gene:Et_3A_025556 transcript:Et_3A_025556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGERFLRQLSASNDVGDGGCGLQHHAPAEEYGGGGDRRRGSRRWSKKRAAAARGYGGGHGKLQGEARKRVMVLVDDSSGAKHAMMWALTHVANKGDFLTLLHVLPHAGAGGEEASSLANSLGTLCKASRPELPAEQRRRVRGAMHQPSGVPDAGCEEAEQRHGRLPRQHTVAEELLAPGLTTI >Et_10A_000883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18679326:18687629:1 gene:Et_10A_000883 transcript:Et_10A_000883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAPVPTLRPDERADLLSLLAAASRPLSDVVDDFLTRFPRERRLRVGATLCFLLEDKKMLHPAGRLIAFAILHQSYSSQPANPYVSLLLNAACDAQSDKSERAFIQLLLTSASGNNNEVLKQSAVDCINGSVSASQALLPREQLEKQYCSNSVQSQPHVNSFRAATVSCAVPDPDIPQSCAVSSDKPKSASDDRDSALAALLQEKSLGRLGPQWIRPAPPRLPLLDGELQWLNPDNNHELLWDYSMCADTSRGAAIRDLIARALKGPLAPAQQEQVIIELAKDPKLVYHCGMTPPKLPELVEHNPLIAVEVLSKLIHSPDISSYFDILVHMDMSLHSMEVVNRLTQAVELPTAFVHEYISNCIQSCQNIKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLEHQGEPLVVADTAHPTQTATTGCGAAPVDLATGFAAVPWW >Et_2B_022701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3300924:3306842:-1 gene:Et_2B_022701 transcript:Et_2B_022701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRARSFCVLFPLAVLGAFVVALLVASTAISWRTMNDLSEADAGAMDVAFRHVAGNTLHLLEANRSAFAIASTMQPMNNKSALFSHVGHKMFVAFAMQPLLAQVSYAGIDGAAFSYYRADNGEPRALFTDVSHQKWFTQAVDPATGHLTGNDTAIAPAELRLPNTTRALLTSNNGSFASLGDGWARPDAEMLFFSAPVGDAGVVSAAVAVDDVLGHAATRIGFKYGMDVYCAIGDYTAGGLTGAAYKPVLDPGQTGHDAVTRAGEMDLFSGVECAASATDAPEAGQLRAVGHGYTYGIACTNFDLSGVQVGFRLVLHTWYGAEMIRLSCIAVFVFVGALLAAAALICVLAVRALRRSAKRNAELKAELVRQKEALRQAERKSMNKSIAFVSASHDIRSALASITGLVEMSLLETEALPGVTKNLKQMDICVRKLLDILNSILDTSKVESGKMLLQEAEFTMADVLEESVDMANVVGVSKGLEVVWDACDFSVLKCANVTGDSKRIKQILDNLLGNALKFTHEGHVILRAWANRPIVSRSCISAPSRARDDGDEHGSFSVAQNDPNLVEFYFEVVDTGIGIPREKWESVFENYVQVNDDQRDTGLGLGIVQSIIRLMGGEICIKEKEPGERGTCFGFNVLLKISERQETEDIEEGPSNTLNDYNLRASVFQEANSCKGVHCVLYVHGAETRRIMQTLMESTGMKVWLVPQAELIASTLEKVQRISMSPTRASSPTVSFDVTDRCFSSKDMVSQVLPMSLRNSNGQRRRSLGGHPSGLLVVTDVSNGVEDIWQEMETAAATEVIAQEQKTEDDKPLAGMHVLLAEDNLVLETIQRKMLTKLGATVKVAVDGSEAVKLYKQALEQGTVSEEGTVVQLPYHVIFMDCQMPIMDGYEATKLIREEEKSYGIHTPIIALTAHTIEEDLQKAIDSGMDLYLTKPIEMMKMVEAVYHICKQTLPSFFLFVR >Et_8B_060674.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:4565529:4566080:-1 gene:Et_8B_060674 transcript:Et_8B_060674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADPWVRDHADAARVSVVGFSAGGNLAHNVTLRAGGLEEPDLPARVQGMALLHPYFLSGTKSEGEVKVPWLREKLEELWAFACGGRTTGPDDPRVNPLAAGAPNLRRLGCRRVLVCVADDELEVRGKAYYHDGLLASGWAPRDAELLVSDGEEHEFHLRHPDSAKAVRLMERLVALVRGDP >Et_1B_012786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35073422:35076375:-1 gene:Et_1B_012786 transcript:Et_1B_012786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPLALAAALFSGVTAIVIYLSGLSSYGGAGVSEADLAALAALQGRFSKCVDANGLGLKAMRGKDYCRVVIQYPSDTDSKWIDPNTGKPEGLSFEFNLCEAVASWEQVRNSTTILTKEYIDALPNGWEEYAWRRINKGIFLNKCQNKTLCMEKLSLVLPETSPYVPRQFDRCAVVGNSGDLLKTKFGDEIDSYDVVIRENGAPIQKYTEYVGTKSTFRLLNRGSAKALDKVVELDETKKEVLIVKTTIHDIMNQMIREIPITNPVYLMLGTSFGSSAKGTGLKALEFALSVCDSVDMYGFTVDPGYKEWTRYFSESRKGHTPLHGRAYYQMMECLGLVKIHSPMRGDPGRVVKWLPSRDTIEAARVASEKLLKRPGAGSDGPLGTCSIIKKRKRGKAPNRTGLRDAAMKHLEYMNGATRYPMERNFGGGYMCMINDR >Et_4B_039448.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:16373602:16373796:1 gene:Et_4B_039448 transcript:Et_4B_039448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGLGSSDPLLACSDGDGTADGAGGAVDHRGVTGGWKSARFIIGKQHALSLYLFVVFAENRDR >Et_4B_039077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7540991:7542580:-1 gene:Et_4B_039077 transcript:Et_4B_039077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATATSSHLLLLSRQAASLRCRLSFLGQPRRPAGRVAAAGGDNVRCMAAVDTVPAAAETSKKSSYEIVTLTTWLLKQEQVGAIDGEMTIVLASISTACKQIASLVQRAPISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLRSSGRTGVIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADIGNNPTLDAVEQRCVVSVCQPGSNLLAAGYCMYSSSVIFVLTIGTGVYVFTLDPMYGEFVLTQEKVQIPKAGKIYAFNEGNYALWDDKLKKYVDSLKEPGDSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDQKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRILDIVPTEVHQRVPLYIGSVEEVEKVEKFLA >Et_4B_039880.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:519770:520129:-1 gene:Et_4B_039880 transcript:Et_4B_039880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQPGMSPFSPAVGGTTPVVGQHAQPSPISSRPPEVQQQRVDAEPEGAGGSSAPASGGFDHEGLSAAAAGDEGERGGSAGNRWPRQETLALLKIRSEMDAAFREAALKGPLWEDVSR >Et_8B_058944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11323560:11325173:1 gene:Et_8B_058944 transcript:Et_8B_058944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGVHTSAIGIGSERFTENLRTRGFHGFRENACLLTHVFRPVPVIMVWKKKTSPHLAGSIQSIEHIVGFQPAEESGRTSLLARRWRNLWKSATGLRVSYVSEKWHDSWIVRKKRKDVEDMLRLRGTAPLETCRLTFGSFYSDDDKACLNRWLRHIVVVCQLDDRPLASPHLTRLQLVGVFFENHFCDFAECPSLEHLELDHCFLWTANKISS >Et_3A_026066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:441563:443119:-1 gene:Et_3A_026066 transcript:Et_3A_026066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCLEEPRNSQSRNAQVSVLEGIEEEGVPAEKWPPTAVRPPETPTETMEFLARSWSLSAAEISKALKVLSSKPTSNSPAAAATTEQRSPPPVNGDHHEQRANTAMAAAAAQAGEAVGAMSPPASPRANLDVKMLRASGKGKTVGSWIKEQREKKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFSAPEQRAAKNGGATKTAAAVASAAALVASHCVEMAQAIGASHDQILAAIHSAVNAQTSGDVMALTAGAATALRGAAMLRARLHKEIQATALPGDGREPERDISPLVFVSRGGELLKRTRQGILHWKLVSVYINSSFQVMIKMQSAHMAGTFIKTKKCVVLDVCSDVPAWAGRELEDGSHKRRYFGIRTAERVIEFECRNKYDQHKWVRGITEMLNRRDNMNTAL >Et_7A_052183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5313260:5315873:-1 gene:Et_7A_052183 transcript:Et_7A_052183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALEFLEAQRATRPELAEWYVALADLYQRKLWHQLTLKLDQFLALAVVQAGDALIQMYNHFISDFETKINLLKFAHFTVVISRQYLDKDAGINYLEGVISKLQDTQESRVEEPILYVNMQIATFHFEKGNQKECKKLLEEGKTALDSMVDVDPSVHASYFWICSQYHKACQDYSEFYKSALLYLAYTTVESLPEPFKQNLAFDLSLAALLGENIYNFGELLAHPIIHSLSGTQVEWIYHMLQAFNSGNLALYQELCKTHNAALSSQPALVQSKRRLHEKINVLCLMDIIFSRSSHDRTIPLSAIAERTRLSIEDVEYLLMKSLSAHLIEGIIDQVDGTVHVSWVQPRVLGTEQVKSLRDRLDTWVGKVHTTILSVEAETPDLISS >Et_8B_060154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6582072:6586906:1 gene:Et_8B_060154 transcript:Et_8B_060154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEAARRPLPSVTIEVLAELIRKSLNTGRQEACERAYFLEVLRLVIPSAGEMAEHSRQQRLIALLASEQEMLRRIPWGNMDRVLEPLRSVSAELSDPGIANGASDAKQWVQQVTEIGRDVEDMLDETRSISSSRRSVLATLAPTINVFRARRRIAKQVKHINSRIDAIKLRLSLLANLDDRESPANATRYRMDDRQLDDTLSFEEVEALGVDSCRNDMAASLLDSSKAPGLRVISVVGIAGVGKTTLVRSVYNEPRVRGRFRCHAWITVGAASSSAANLLKRIMLQVFLERPEMPANADSMDEMQLADTVGGYLRDKPYLVVLDDIWSSDVWDYLSVALPDNGLGSRIVVSSRVPDIGRQCRWASAGRQVFRHGPLAADDSLRLFLRKAFRSSQQAVEECPPELESIAARIAGECKGLPLLLVAMGGLMSTKERSVPVWNNVLDRLHNAKKLQQQLQLTLPSVLWFAYDDLPNRLKACFLYFVLFPRTYCAKRTALIRLWIAEGFVHKEDGKTLEDTAEEYLLELVHRNLVQVMEYYDYGKVKSCSVHDMFREIIIHKSEEQNFGTSVTGDVVGSKLGANVRRLSTVDAKDQDLLQDVRAGNVRTLFMLGASSVSVSSSFISEFKLLRVLDLEGAPVDRLPEELPDGLYLRYLSLRNTRVSQLPKSLKKLTHLQTLDLKGTYVSKLPSGITKLQNIRHLLAYRYYSGRHPPYYYAIGVTLPQGIGQLSELQKLTYVEADKENGTLAELGRLKQLKRLGIVKLRERDGPCLCSSIAKMTELLSLSASSISIDEPLDLDHLPFANPAPQRLERLYLRGSLPTLPRWIFSLRSLVRIRLRWSRLSEDSIKELQSLPVIELALIQAYDGVTLNFGEGFKRLQILEIDHLTNLEHMSFGTAMPKIQKMSIRSCAKLNTIPDGVEGLKYLKEIHLFAMPEVLVSSLKEGGANHDKVGHIPFIRVYNEHRDISSINL >Et_5A_041366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21883890:21884482:-1 gene:Et_5A_041366 transcript:Et_5A_041366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQWRGPAHLQCFDGRDSILSKLPCWFSSLSELSCLSIQVKMLKQCDLQLLGGLPLLRFLDLGVDIHGITEERLVIGADQPFCSLIDFNTVQDVCWCSLQERCQSFKGFIQKREGDGFDVGLENLTLLKQVKVSIDCWCARMREVEDIETKCRDTIDKHPNHPTLELSREYEELIQEDKNKSDSDASLQQW >Et_10A_001770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7994504:7998943:1 gene:Et_10A_001770 transcript:Et_10A_001770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLVLVVLPLGLLFLLSGLIVNAIQVTSRASVAFPPDPFGKFVRFVCNTSNWEVCVMSRSYVDTVLELTSFAVNTATLFSIYSYDYKTLQAVLFLSIRPFSKSLYRRINRFLAELLWLQLIWLVDWWAGVKVQLHADQESYQLMGKEHALIISNHRSDIDWLIGWILAQRSGCLGSTLAIMKKSSKFLPVIGWSMWFAEYLFLERSWAKDENTLKWGLKRLKDFPRSFWLALFVEGTRFTPAKLLAAQEYAASQGLPAPRNVLIPRTKGFVSAVGIMRDFVPAIYDTTVIIPKDSPAPTMLRILKGQSSVVHVRIKRHAMSDMPKSDEDVSKWCKDIFVEKDTLLDKHIATGTFDEEIRPIGRPVKSLLVVLSWSCLLLYGAYRFLQWTQLLSTWKGVTLFVAGLALVTGIMHVFIMFSQSERSSSARAARNRIKKD >Et_6A_046961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23243554:23245388:1 gene:Et_6A_046961 transcript:Et_6A_046961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHDGESARVLFHRRIFGSTGSCPPDLVDIADKILLECSGVPLAITLVSGALANRQCTRKIWDDVYNYVAAPTRDDTDRGTRNIVLLGYHSLPHYLKTCLLYLNIFPENYPINRDRIVRIWIAEGFVFEKHGRTLEEEGESYFSDLVERGLLQPAGGSYDGKPEAFLIHGLLRDLIRSKLFRDNFVTLLDKGKPTVSKPTHKEVRRLSITSEDHGIPESMATSNIRSLFVFGGVMPKLSFKKLLTLRILDLEGCKDLKNHHIVEIAGLKHLRYLSIKDTPISELPDQIGQLQHLATLDLRGTEVQTMPTSIVQLGSLKHLLCDKMRFSEWISKMTAISCLSQFDIFQNEVGAVEELGNMSELRELVLWWLPDAESNNIQRYKYLAISLYRLKKLQSLYIDGSDSSVDVLDHLHHPLEQLQRIQLSRSCYLSRIPEWFSSLSRLAHVCTSVKEIKNEDLQLLSQLPSLRHLYLSSKVLPTEKLVISSKGFPVLKKFQLDSARADLTFEPQALQKARGIAASMYYQKKFMAFPLTLTSLRASKELISEIRGEGAAASQSFEATKVAVRNAAEKHPNRPIWLTLYHLEMLIMRQSREKREQEIESVLNCHQP >Et_6B_048530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10380546:10381176:1 gene:Et_6B_048530 transcript:Et_6B_048530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSLDAAAAAVDEPPDDESPPPSSWPPAVAARYDRLEKIGAGMFSEVYKARDLSRGGQAVAVKHLHALREEDGGDDLCGFGLSEPAGRAEKDGLATLASSAAYRAPELLLGSSRYVGALRRRAALRRRARGCRGCSASSGACPDEGRLRELFPEEVLSEDGFDVLSGLLESGPGERLTAAAALQMPWFKEHRRRRRGFFGGCFSA >Et_1B_014130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31968674:31969842:1 gene:Et_1B_014130 transcript:Et_1B_014130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKGKMTTVPFDPKDAEDTADLLDMCALPPPDELETRAYSIFALCGVCIKERSLKEARLAYRVVGLLALTVRAGSPRILAESFPLLSRTLLDDEADAPTMAAALDCLAAVTFAGAMNKEDVERPWAVSTWTFFLTTMVAVTDALRKADSAVWNATVASLVGLLENDDHAVRMAAGEALAVCVELNMRQHTPRKDMDALAAKVSELASEPAGKGVNNARLTEQKNLFRQIAAFLHHDERPAESMPTSMDGCAALKVSTWAKLAQLNFLRRFLGNGFLKHVEGNELFKEAFSYGAREGKVLSIANKKQGSKMEKDLKPKSKWGRYYWDCNILCDYPYTARYKSETLLQIGWRALN >Et_9A_063046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9040583:9042746:1 gene:Et_9A_063046 transcript:Et_9A_063046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLMAKLVDKCDNGGDAQDAGCVRAVLAELVLTFLFVFTGVAAAMAAGSAGPPGAAMPMATLAAVAIAQALAAGVLVTAGFHVSGGHLNPAVTVALMARGHISALRTVLYVAAQLLASSLACILLRYLTGGMVTPVHALGAGIGPMQGLVMEVILTFSLLFVTYAMIVDPRSSVRTIGPLLTGLIVGANTLAGGNFSGASMNPARSFGPALATGIWTHHWVYWVGPLLGGPLAGFVYESLFLVNKTHEPLLNGAF >Et_4A_034010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29140353:29148164:1 gene:Et_4A_034010 transcript:Et_4A_034010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPSGSVRLVAAFGLLLLVSLLVLHGRGPANPQAAGSPGRRRRSVDPAALFLSLSAGANASIAADLRALTSGPHLAGTAPAAGPADYVIARFSASGLQTLKREYAPLLSYPARASLALLRPDRSLLARLSLEEPADVGRRFVQPYHAYAPSGEAVAEAVFVNLGREEDYLALERLGVSVRGRVAVAVRGGGYRGGVVARAAEKGAVAVLIAGHADGGVERGVVLLGGPGDPLTPGWAAASGAERLGFDNEVVKRRFPTIPSMPVSFKTAVSIVRSLGGPAIPAEWNNASFGVDVGGVGPGPTLVNFTYQEDRKLATVRDVFATIKGYEEPDRYVILGNHRDAWTYGAVDPNSGTAALLDIARRLGIMVKSGWTPRRTIILCSWDAEEFGMIGSTEWVEENLADLHLKTVAYLNVDCAVQGVGLFAGSTPQLDKLLVDVTRQVKDPDVMGKMVHDTWSEMDGGINIERLARTDSDFAPFLHHAGIPCVDLYYGQEFPGYHTALDSYNWMEMHGDPLFLRHLAITEIWGLLALRLADDPVLPFDYQTYASQIQEHANAFSVVMNNSQPVNLMNGFISDFSRAAKEILKEAKELEQLDLHNEYTIMRRRLLNDRLLLAERSFLQAEGLQGREWFKHLLYSPPEDYESKLSFFPGLADAISRSGNLTVQERQLTLQHEVWKVSRAIQRAASVLRGEFSQQNEPSNYGFAVAP >Et_8A_057563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4527292:4529104:-1 gene:Et_8A_057563 transcript:Et_8A_057563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSTNESIKNCSPEKSVANLSDAILCNYPSIQSSSCSHSNLNAGATTILQHTLSYSPRYNLDTVKTSLATCSYLPSIGVGRNMKQVNSCIEYPNGNLRIVTDDHHGKCDETMQECHRFSIPVLSKSPTIKERAFQALCESKKFMNLSSSLSIRYKMKLPDGVYQSLQSKFEKLMNRSPAYSVKFDPSYDTEESGIFGKYSSELDDPVFMSDAYSVQEDNDIRLTPSVEKHNMERLSAKIGSDSDCLGSTKELAIFKIDEDSSTAVETDNLEKFSGYFNRNYSSQGLAGKKLHGHSTSFYQSKETGSLELKAPKGHTREPDHHVHTRVSQAIKNPWEKRAVLIRKVGKVTGQLHSGLTKAETACSRSERYKGESELEKGCRPSDILTDIASFIPLLKQKEQHPTSYDKSYTLILKKDVRVRALKVAEAAKRREEEEK >Et_5A_042222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7724846:7733492:-1 gene:Et_5A_042222 transcript:Et_5A_042222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGHRRHHDMDRVSDLPDELLHSILVRLRSARAAVRTSLLSRRWRRVWVELPEIFLGSDDASPLASFVGVVDAAVAAFSAPAVETFQIDIPSDCHVSARGIAPWLRFASQRLVGTLDLRVECQDKPTVIFYRQEEKEEVVYPVFHRATRIRLFLGQQCCSHLQPAAGSFTALTHLAIHQTSMEARALEALVSVQCPRLEKLKLYITLVAASDVSLRSDSLISLSYHAAETRRLQIAAPRMEKLWISRDIEAHTISAPKLAESVVDSARVVYYPWRQDQLVDTERRIRLLEVGTLTSSSVLQMFDKLRGGKSFVVDETIKLPRCETLRLLWTSYSHYDEGFTPTMLHILRKCNGIKKCKVIFRHSGYVNRLLHSILVRLRSARAAARTSLLSRRWRRVWAGLPEIFLGSDDAPPPAASFVDVVDAALAAFSAPAVEVLEIDMPRGNRVSARRIAPWLRFASERSVVTLDFCVNCRPVPGNAAQVEEEEEVELPVCERAKEMTLFLGEQCRSCLRPAAGSFAALTHLVIEKTIMEARALEALVSTQCPRLKNLVLDITLVAASDVSLQSHSLIFLFCDVQRTRRLEVVMPRVEELDISCEIEAHTISAPKLEEINLNGFRRVYYPCRHDQIVDLEHRRLRLLEVSDEISLSFLQKFDQVDELRLLQETKQDFVDETNKLPRCETLHITWSSYGRKLHGFAPTMLHLLRKCNGIRKLTVEYCHPDAAMWYCCPPVCGCGLPRSLETGDIMLDSLEVVQIKFGSFSREIESFVEHLSKCSATLLEKVVINCTSPSATAPSKEAFEKLNSMCRPNFRVELNIISGVR >Et_7B_054944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5921442:5924085:1 gene:Et_7B_054944 transcript:Et_7B_054944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASFSTLTALAVFASTVEHGAVRSVHGHKVVGRKAGTGWVKWEKWVERGFVLAPDDCREVGVPEDAPRILQLGLHGRPAFREGQMVGEWLCIVAFDSVAAVEPSSLPPPMLCPFGNPQLECLPNLYKDLDTVFQFGTLEDLPQLAHSDSEEQQQTIHSGVVPEHISDVADNASESESDENPQSGTEILSSVQKRQRAKSGHVRSITLVEIAQCFHLPLTEASKTLKIGVSILKKKCREYGIPRWPHRKIKSLDSLISEAERLHMGGQIVQDVQQEKRALLTKIITKRKRLLEIEKETIQQKPTLDLMTRTKQFREEVFKRRNRLKNANRD >Et_2A_015160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33713105:33713563:1 gene:Et_2A_015160 transcript:Et_2A_015160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLIEEHRSGAELGLPDGLLPLASMEEVGKQVWYDKEVTALVEAGRMHSLTGVKSKELLIWVTISEIVVSPSGTKIVFRTPAGLGRAFPVTAFQLEKKEEEAAAAAAN >Et_4A_034304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31574511:31580944:-1 gene:Et_4A_034304 transcript:Et_4A_034304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVKPVFVAKAERERLALELLQAARGRDRDCECVRKRQRDDRNHDRQEKMAERDREKELEAIKEQYLGSKKPKKQVIKPSAKSRFSFDWESADDTSGRDMNVLYQSPHAAGLLFGRGFLAGIDRREQKKAAAAVHEKEILSKQKKAAILPEENVVDKEEATTVPSRKEEAATAAAGTCDDATDMRVGGRHWSEKGLEEMTERDWRVFRDHFEISYKGGSRVPRPMRKWSESKLGAELLRAVEKAGYRHPSPIQMAAIPLGLHQRDIIGVAETGSGKTVAFVLPMLSYITRLPPMTEQNKDQGPYALVLAPTRELAQQIEAETMKLATYLGIQVMTIVGGGGESTVHEQGAVLAKQGCEVMIATPGRLLDLLHSRYVVLNQCNYVVLDEADRMVDSTFEQQVVGVLDAIPSSNLKPENEELYEKRVYRTTVMFSATMPPVVERLARKYLRNPVVVTIGTAGMATALVTQNVVMVKESEKMTRLINILRRLGVDKKAIVFCNTRNAVDMRGKDLDEAGFRVTTLHGGKSQEQREMSLDRFRNRRFSVLVATDLAARGIDVPDVAHVINFEMPGSIEPYTHRIGRTGRAGMKGLATSFLTLQDTDIFFHLKQMLVHSNSPVPPELARHQAAKSQPG >Et_2B_019744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13353117:13356931:-1 gene:Et_2B_019744 transcript:Et_2B_019744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPAAGASYQRFPRVRIRELKPDLAKFELRDTDASMANALRRVMIAEVPTVAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSAAAMGMRFSRDCDACDGDGSCEYCSVEFHLSARAHDSDQTLEVTSNDLRSTDPKVCPVDQARVYEQALTGTDPDDPNAAAEQRQVISCREGILIVKLRRGQELKLRAIARKGIGKDHAKWSPAATVTFMYEPDIHISDQIQDSLTLEEKRSWVESSPTKVFDIDPHTQKLVVVDAEAYTYDDEVIKKAEAMGKPGLVEINAKEDSFIFTVETTGAITAYELIMNAITVLRQKLDAVRLQDDDGDLGELGAHLGGS >Et_1B_011757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25709365:25711963:1 gene:Et_1B_011757 transcript:Et_1B_011757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EKQPPVSHRPPLKQRHVPPPPNVRGHRRRRRPPPSPMPKPHHAASSPHPPPPPLLPLALLPPRHCPLAAALLALLSVLLATGLWLLLVLSPSQGPPPVAAHSAVSDAAGAALADPDAASPLELGHIVFGIAGSAHLWPRRREYVRLWWDPAAMRGHVWLDASAPAAPGPSGPGEDSLPPIRVSEDTSRFRYTNPTGHPSGLRIARIAAEAVRLVGGGGARWVVLVDDDTVLSADNLVAVLSKYDWREMVYVGAPSESHSANTYFSHSMAFGGGGVALSLPLAAALAETLDVCIERYPRLYGSDDRLHACITELGVPLSREYGFHQWDIRGNAHGLLAAHPIAPFISIHHLELVDPIYPGLSSLESLELFTKAMKMEPMSFLQRSICYNQREKITFAISLGYVIEVYPNVILPRELERSERTYIAYNRMSQRNEFDFDTRDAQKSLCKKPILFYLKGVWKDGNITRGSYIRSSDKDDLKRKVFCFRSPPLSDIDEIQVSASPLSKRWHLAPRRLCSALKGSINDDTWKMLSTLHSLNQVFQGALFLPQALDSNQLRIIL >Et_5B_043154.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:12093010:12093033:1 gene:Et_5B_043154 transcript:Et_5B_043154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTRNV >Et_1B_010310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5870532:5871195:-1 gene:Et_1B_010310 transcript:Et_1B_010310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFWPTQRSSTLSNALSVSKIHRGDERPHGLRRLPEACEKGHDPARRGEHGGDRHGHAEGDGDGVRGPPRGAPGGAADGQGRGVLAVAVRWRVLPIRDPVPGGRHIHGHGQVLSPRLQRPDGGHLPLPRLHARPRRRRARRLQRRQRPSLRRNVMQAI >Et_4A_035108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9565105:9567474:1 gene:Et_4A_035108 transcript:Et_4A_035108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRVLDVVEEEVVDGDEDELASCPDAKRRRTFLNSSMQEAIGAQYMQRHLPKLEPFLRRVVQEEVHNVLIRHIDSANRLPLQLKTSSKRYKLQFQGNLPPTLFTGNRVEAENKQPLRVVLTDAATNQTVTSGPLSSMKVELLVLDGDFNADERLEHSEKEFSESVVFEREGKRPLLSGEVIIVLEKGVASVRDISFTDNSSWIRSRKFRLGARMSRASSIEERVQEAVSNPFLVKDHRGEVYKKHHPPALADDVWRLEKIGKDGVFHKKLADFGIHTVQDFLRNLVMDQYGLRSLLGSGMSNKMWESTVEHARECVLDDKLYSYCSGHGIVLLFNCVYEFVGVIVGSNCFTLNTLTPTQKALVAKLQQDAYKFPNRIAEFKVQSQGAADQSSSSPQQHTVQAAAPLPVHGSAQMPGLPQGAALSVGEPGSHDGLLSPLHHHHHQQPLSEALEDVLAQSAQHGQPGEPWFLPPFGFDAHAASRDPFDVQFSGSQPCGLLLSSTGARL >Et_5B_043321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2897765:2897842:-1 gene:Et_5B_043321 transcript:Et_5B_043321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMVAVLEIFQPVF >Et_9A_062616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24472104:24472544:-1 gene:Et_9A_062616 transcript:Et_9A_062616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISGVAGATVLVARAAVAKPSQQGLPQRRAEKVRCGYSKKLEAPASVLAAASAMTAGASPALALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTVYTSTLDEDDDSGLSL >Et_5B_043651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13513767:13516398:1 gene:Et_5B_043651 transcript:Et_5B_043651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPTLSAKPLLHSHLPAKRGKQPPDVLTPVTRLDWRRFPDRILTLFSGVFRRDQRRTFTSRARIMMLKRQDPGAPGGGGGDGAGVPLYLGIDFGTSGARYALIDKEGTIHSEGKRAYAPVGNATDWARSWREALFNLLSDIPVVHRPSISSISIDGTSATTLIVDSKNGELLAGPFLYNESLPDALPAVESIAPANHTVCSGSSTLCKLVSWWSSSGGADSAVLMHQSDWLLWLLHGQYGVSDYNNTLKVGYDPEIDAYPSWLMSQPYSHMLPSVRAPGAPIGTVKEDVRSQYGLSENCVVCAGTTDSIAAFLAARTTEPGRAVTSLGSTLAIKLVSKIRVDDARFGVYSHRLDDTWLVGGASNIGGTVLRQLFTDDQLVVLSKDIDSSVPSPLDYYPLPKKGERFPVSDPNMMPRLQPRPESDAEYLHGILESIARIEANGYNLLKELGATAVEEVFTAGGGAQNEKWTAIRERVLGVPVRKAEQTEAAYGAALLALKGATKAG >Et_1A_004759.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:38601561:38602283:1 gene:Et_1A_004759 transcript:Et_1A_004759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLLMLPPTPPDDDLSLLAAPRSMTPRSASCTDATHSCASNATLWRAHLPPSRHHPALHAHAPDVPAESGVISVQRARIRNTVSARTTSMMRSVRSSQQSDVRSTSRPVPSTTASTASSSASIGSSCAGPEAALSSSRPSRSLARAIKTKPASTNDS >Et_7A_050773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10903725:10905493:-1 gene:Et_7A_050773 transcript:Et_7A_050773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYWPSPSNLLPLYIHSGLWLQGQTTITGSAPPGPPHPLVALRCLKTYKSDGIASFAKMIKFRYSKKLFRRSSSKSSTGSSSSSSDGDAASVVGGGRGEIEWEVRPGGMLVQKRDGRGDVEVITVRVATGFAWHDVSIGATCTFGELKVVLSMVTGLEPREQRLLFRGKEREDSDHLHMVGVRDKDKVLLLEDPALKDMKIRAALAAQATSVRSPYQPFIKV >Et_1B_010220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30655305:30656388:-1 gene:Et_1B_010220 transcript:Et_1B_010220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRGCWRRGWGWRRRGPRGGELVEAAPGAVVVEARRALVLPRQREREGGERVLVSPLRWRRRQWPHVRGGDGVEPAGARDGAEDLRAATTADRAVAELAPVRSRRAPRPGAQALARPLGLAGLRRRRGGGGGELPLERRVPVVLHRVVGAAGKQPRDGGPAVAEPRMPRPIAVQFRAPWRSTSRRRASSSSGLHGPLIRSHSASAPITSELILPRWNKTTVVAMVCACVIRARRTRLGRLDRWREEGEGEAEAYIARRRGAVTGSGRWLGNGVQLAGRHLTLVGRVVFRRARWQVGSRVSPGPHGSGKCRGRLAVNHAVPRVV >Et_4A_032482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10533982:10535237:1 gene:Et_4A_032482 transcript:Et_4A_032482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAALALLALAALLPLPPTARAQISAAPWGAPAASLDCTGALLDLSPCLTYVERRSALTRPDKGCCGALAGVVGGDSAACFCGLVAGYGARGVRVDPARALALPTICRVEAPPPMLCAAFGMPVAEPPGGAAVPAEAGTASAPAPLLFSALRAVNAWFMIRFVSLRFLMWLLFCPCEVRVNSRLSIPHRIIRASTLCIVLLWPRFSYLKLYVSCKVHFGSPPSTFKKVHLGSFEYRDGPPRLLSCTTRQVNERMGHCRFRRTGDHIGDGGGERRPGDDAATGLETSVPPPLLRPLRNAAAVTSWAGTIRLAAA >Et_5B_044772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5601899:5602925:-1 gene:Et_5B_044772 transcript:Et_5B_044772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSASSSSTTAVAALPRNGLRAPSFLGGAGASRPARASFAVRAAADPDRPIWFPGSTPPPWLDGSLPGDFGFDPWGLGSDPESLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPFWYTAGEQQYFTDTTTLFIIELILIGWAEGRRWADILKPGSVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPEKIKELRTKEIKNGRLAMLAVMGAWFQAEYTGTGPIDNLFAHLADPGHATIFQVSYPLRLHPDHLIST >Et_8A_057134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21406402:21406838:-1 gene:Et_8A_057134 transcript:Et_8A_057134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVAVSSRSVAPNESTPASAKVHRLTDARGPAAAHVAFRRCCVKPGVCAVLHKLGRDRDARGGAAVTVDVGFGALVVTLTTTCRSPIEPVDFVADHPYAFFIVEETTGAGGAPLFSPGTCLAFPRKCIDSALNERDTAATS >Et_8B_060500.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:18459017:18459544:-1 gene:Et_8B_060500 transcript:Et_8B_060500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNSSPSSSSAWNAAATAGTKLRGVRKRPWGTYAAEIRDPANQARVWLGTFDTPEQAARAYDAAARRFRGARATTNFPADDDPAARGGSAVVSASSSSSTAPVVTTARLGATRRRPSLLLDLNVEAPSDEAEAQTTDSVSSSSSVVLDDAAPPAVNLGLDLNLPPPAHDDDMLM >Et_4A_035836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7053601:7055002:1 gene:Et_4A_035836 transcript:Et_4A_035836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKFRPELDPTAGIDADQRIINIDNKPTKFQIWDMDGDESRRSFTRALYRGAAAAILVLLMYCFINPSNRRETFDRIGWWLKEAEELAPPNLTIVLVGNKCDLSHERAVSYEEGQEFAEKHGLIFMEASAKNNQNVEEVTAFFTAARTVSKKSEDGVLHPSAQGCGCGIS >Et_2B_022299.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17214245:17215597:-1 gene:Et_2B_022299 transcript:Et_2B_022299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPAVEIDLDAVRAVRVLGRGAMGTVFLVAGGCGGEEEEQAPYCYALKVFDKSASTSRPAGDDDAARRARWEVSVLSRLAAHPHLQSLLGCAETGDLLAWAVPYCPGGDLNELRHAQPDRVFSPAAIRFYVAEVVSALAELHAAGIAYRDLKPENVLLRADGHVTLTDFDLSRLLPPTRSPSASTSSSTSSSSSTPQPQPQSHGPSPYRHLKRIFKRSESSAAATTTTSGHEIEEQHNLAWYLNRSVDGGIDHFKKAKSARVTPTDRSSGKKSFCSAGGERSFSFVGTEEYVAPEVVRGDGHEFAVDWWALGVLVYEMACGRTPFRGRSRRETFRNVLLRDPEFSADARRRWPDLTDLVARLLEKDPARRLGFAGGADEVRAHPFFAGVAWDLLGEVSRPPYIPPPADDDVVVGCEGFSVVEYFDKIHLQAPPSPADRSPEAELLPEF >Et_9B_064442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15244133:15244924:1 gene:Et_9B_064442 transcript:Et_9B_064442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFAGPRSRPWVGMAGADTPSQPDARDDAAAASSVKGGAADASTNASAISFGFAATAVLVSLFLLMAIFEHLIKPGLAASSSSATHHGEDDGNNGDGSGGRGPPPTRRNHHDGSPDKLRHPPKVEEPVTAAADLPVLMPGQRYPTFLAQPAPLRPCPREGVWWPTHDEQQRYSFVPP >Et_8A_056491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10921625:10925192:1 gene:Et_8A_056491 transcript:Et_8A_056491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVATAGQPRRPAGTWLLAFYSSLLLNMLLLAHNFLRPSGGVVATGGDGCGLSWALQPAREAEAVAATECSGHGQVFLDGITGGNGRPGCECNRCFGGPDCSVRMANCSANAASGNPLFLEPYWKRNAAAGSVVVSAWHRLGYITTDGEYQSVELERLIRQLHRAVGNAVVDDKHLVFGTGSIQLVNALVHALSSPDAASPPARVVTTAPYYAAYRTQTRMFDGREYRWGGTTAAWANASSNATHGLIELVTSPNNPDTLLHDRVLRGSTAIVDRAYYWPHFTHIPAAADDDIMLFTASKLSGHAGARFGWALIRDENVAERANDYVQESTMGASRDSQLRMLVIIKAILASLHGKEDIFTFGHDVMSTRWSRLKAVVSRSRRISLQEIPPQYCTYFKRTRAPSPAYAWIKCEREEDKDCHEVLLKAKVITRSGVGNEASSRYTRASLLKTDDDFDMLLESITDLVDNEKYSGTPGSSLMSVNTQRK >Et_7B_054853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:492042:494106:1 gene:Et_7B_054853 transcript:Et_7B_054853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKELISPSDLQSFYGQQQHQQQQHRALGGGGGHSPSSQLGGMHSVIRPLPNMPSMNMSPSAILNSIGGGSLAGMQFQMDPPLLHNNNNMGGSVSASASGTVSVSGPPAPPPAEPVKRKRGRPRKYGPDGTMKASAAAAQQQQLVSAQPRMGGSLSGDMVRNSAMDDPAQKKRRGRPPGTGKKQHLSPPAGNAFTGTAGTSFTPHIITASPSEDVAAKIVAFANQSSKAVCVLSATGSVSRVVLRHPADSSPMARVHASAPYKNPAIYEGFYEILSLSGSYNVSEQGQQSGGLSVTLCSPERNVIGGVLGGALVAASTVQVVLGSFHQGGSKSKSKKAGKQPAFSSDSLTGGQEASPSSGHNQNLTPPSVTGGWPSPGIFDTRSSNIDINSSRG >Et_6A_047558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7280647:7287686:-1 gene:Et_6A_047558 transcript:Et_6A_047558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTHKRRSHLELLKRMEVMLDVSTAMEYLHHEHHEIVLHCDLKPSNLLFDENITAHVADFGIAKLLLGDDTSMITASMLGALGYMAPACLHPRQPKLLQDPSSVHDLNNFLPSIFELGLVCSSDSRDQRISMRDVTVVLKKIKTEYTKSIP >Et_1B_013621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:129854:131558:1 gene:Et_1B_013621 transcript:Et_1B_013621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRRSPALLLRRQLLVRLLSTQSSPPQKSPAEVSRLKSSIRNAATTPDDLASLFISGLPNPAFLADRPIFSLSVHRLASAGRRDLVASILSSSLTALPAPHPSEGFLIRLISLYSAAAMPDHSLTAFRLVNPPSDRALSALLSAYHDNRLYDRVVQSFNSLPAELGIKPGVVTHNVLIKSLVASGDVPAARTVFDEMSDKAGVQPDIVSCNEILKGYLNTGDHAAFDEFLKEITGPKRRLKPNVATYNLRMAALCAKGRSFEAEELLDAMGPKGVLPNRASYNTVIKGLCNEREVGAAMALFKKMPEVPRQHGATGVSPNFETYIMLLEALVNKGVFGPALEVCKECLQNKWAPPFQAVKGLVQGLLKSRKAKQAKEVFVAMRKAVKGDAKEEWIKVEAQFPALLADNKAWYQVVCKKSTVAPVFLAKVDYLIMNSVLSV >Et_7A_051268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16337744:16340632:1 gene:Et_7A_051268 transcript:Et_7A_051268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGAEKSGSGGGGGSGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLADGA >Et_7A_052574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9524791:9538149:1 gene:Et_7A_052574 transcript:Et_7A_052574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAELTWLALLLLPCALALDRDEFPPDFLFGTSTSAYQIEGAYLEGNKGLSNWDVFAHKQGTIKDGSNGDIADDHYHRYMEDIELMHSLGVNSYRFSISWTRILPRGRFGHVNADGVAFYNSLIDALLKKGIQPLATLSHYDIPHELDKQYGGWLSPEIQKDFGCYAEVCFKMFGDRVKFWITFNEPNLLSKFSYMDGTYPPGRCSHPFGNCVFGNSSTEPYIAGHNMILSHANAVSIYRKKYQGKQGGHIGITICSRWYKPFRNITVDILAIERALSFSGPWFLDPIILGDYPLAMRTILGPNLPEFTSEEKKKLQATKLDFIGLNHYTTLYLKDCIFSPCEIDSFDGDARAFSSAVRDDGVLIGEETGAPFFYYVPDGMEHVVMYYKQRYYNTPIYITENGYAQASNSSMTAKDFINDTGRINYLHGYLASLASAIRKGADVRGYFLWSLLDNFEFTAGYTRRFGIYHVDFKTLKRTPKLSAKWYRKFLNRYAQASNNSMTTKDFTNDVGGVKYMRGHITYLASAIRKGADVRGYFVWTLLDSFEWNLGFTQRYRLHHIDFKTLKYPEIVSKVVQHVSEGLTAARKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDIKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELQTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSQYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKIMDGSNGDVALDHYHLYKALTFFSYKLTRKLTLTGGHRDDAFARPYSYRFSLSWSRILPSRAEISNQIGQNPSVYKRLGCAYLTNVEGRFGSVNPAGVKVLQQPYQWSPAKRNRAFVTLNHFDIPKELEDRYGSWLSPEKQEDHTYFAELCSKMFGDRVKHWTTFNEPNLMVKLEYFTGNNPPNHCPSPFGKCASGNSSTETYIAAHNIILAHAKTVDIYRKNYKVPETGGNNQPYIANTLTYIAKQGGSVGMTIYMRWYKPLRNITADHLARFLCPLVFGDYPRQMRQILGANLPKFTKRAEAATEEPNQLYPNQPLQKGQNLQNLMPLNKILQTLVVNNYVVPSSMEKLVMNLKQRYNNTPMYTTENEELINDTKRISYIRDYLTYFGYAIRKGADVRGYFARSLMDNFEWLSGYTIKYSLCHVDMKSLKRTPKLSDKWYSKFINARSVSVQYLEVLDLLLLLGLPLSDHAEHLADHGLHPLGERLREGLPEEECVKDSLALVVACVTPHATADKSKGYQPGLESGRVPPPPPLLRAANLGSLLREEGAAAWKPRRGLGAGKGTQRVAEIVAAGGGTMSLAFVFVRASARSCGRWGLRALSSRLNGRGHRRMRGLGQVKHGHHMN >Et_1B_013411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8125472:8130810:1 gene:Et_1B_013411 transcript:Et_1B_013411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQFEFSSAKFARQVFPDISVYFVLFCNKIPYSVLFLSGFPGQRITSELKKFTNIVENLGANDSSSSGKSNATSLKMLLAKEMANEGESKRRSPSVIAKLMGLEEDLPAEKPTVYHATSGPTIDSKSINKAQVKEHQQSIRLMTPDLQPFHVYKDVYEVCEEQSGTSSFLDRTSEILWSSENKSEQSDVVQEKFMEPCFAMKEQLIHTAELQEGLEIPSSYKDCLLELPEECNYSFSRKLSRLHTNQASPQTKRITVLKPVSSFEISGVGKSETERVNKQNGLKMRQLHQSYNTMEETPSQPSRIIVLRPTPGKPGISKAKLTPKVTSFQLPKRNNLNGLAYNGANLGSSGLVHGVKQHWRDGFHQRDYSLLSSSHSSGYVGDESSCGDSEFDQSSGSEIDYIDEAGGSSDSEGNSSPQKHSWNYNRGHEGSYSGSSFHKVSHFPESSIIKEAKKQLSERCAMVTCDSICQEQVQSSRRTCTLGEMLSIQEAKKEVFTTGIFSSDWSCGTENKKTVATPPRKLPRENSVPVVSSMLDNMEANFQHSNPESYKPKVVVMSDKGKLSFKGKVSDFFLSTRNKKPDRQKSAHYSSDCCAERVDAYVHSQPDHNLNRDANQKTVHCEDKVDCYSMKISTGTSKRTASIGVSLDCPSGNLDKLGVNKCLNSNPDQPSPTSVLDAPSEDSSCNEPETSGRTSKKASMSCFSISKFPITQPVSRSSAVEDVACSLLLDNTTSESELFCIRRPSSLISDADTDESESHVLVQNIMSSTGLGGAQSNMAFTGWHLSGYPLDPVLFNKILELREQSSYRRLLFDCVNVALVEIGENALLSSFPCSRAHSRTLRYVSAPDLRVEVWSILKDWIYGAQMFVVNKKGNAGILWDRIVKQEVEGRGWVKMMIMQVVDITEELEEGVMEELVEEAVLDFSACFQQ >Et_3B_029738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27569916:27570440:1 gene:Et_3B_029738 transcript:Et_3B_029738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HVTDPRHLHHILISPVRFLHIRRRTIPPHPTTGPLNKPVVSLRCIASSIASSHQPNPPNSPNLFSVFQSSAAMSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENTVTAMDVVYALKRQGRTLYGFGG >Et_3A_027241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5717124:5717946:1 gene:Et_3A_027241 transcript:Et_3A_027241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLVQCNIMKAQQHNDDLLRSVSNIMRADGFMDGSEMFLKAAVLCQHRPTRVQFLNLKDRDARIEFINFVWSNSVAVIADDDDDEEDSEDDSEEDTAPVQ >Et_6A_046432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1504677:1505596:1 gene:Et_6A_046432 transcript:Et_6A_046432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHVIKAIEFLERSTSSLLGIHGVRRVGKTTLLRLLRDTCALNDHSFDYILYAGAGDGRSVASLERVLAIQIGLVLPLYESNIYTAKIISSFFRDKSFVLLLDDVWDRIDLATIGLPVPLGHQQKVIFTTRNQSVCNEMGCGSNTIQMQRLEEDVAWDLFRYNVWDNKILDYDLKVKELAKQMVAECRGFPGAICFVGRTMSIKRDFKDWRVAHDMLTMKSPLPNGIQDTDDEGYPCMQFFKDAF >Et_4B_036129.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26481960:26482355:1 gene:Et_4B_036129 transcript:Et_4B_036129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADAGLLSDAREVFDAMAERDCVLWNVMMDGCIKVGDVSGAVGLFRHMRASGCKPNFATLACFLSVCATEADLLSGVQLHSLAVKCGLEPEVAVANTLLSMYATCRCLDDAWRLFDLMPRDDLVTWNGMI >Et_5B_043721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14772372:14773469:-1 gene:Et_5B_043721 transcript:Et_5B_043721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKKIELVDVKPRCCYRHINFTAKSSKEGSQEKLFFVEIYHSGIRRVGKRFLKSTDFTSGVRKSMLISHTALPVLRGRLIQRVKNILLGIATFHTFSS >Et_5A_041162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18889861:18890660:-1 gene:Et_5A_041162 transcript:Et_5A_041162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAIGSCARRSGNIAFTVQNQALHSFGGQRFQASVGSAYASNIMAQATDNAWSLFGQHANSKEKRQLTAVDLVVVDGVAGGGTVATSRTGRIELEARFIGAYPGDIKEEITGILYREVAAVWQADGQGRANAGILNGVADVARIRAGHVPAGWVQAGAGNAWNQHGLGITGRFFDYVDMKYQHFLASLNAGLTKATTGDDLFQKITGKSVEYLWTEYKAAYPAT >Et_1A_008774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12448317:12453182:-1 gene:Et_1A_008774 transcript:Et_1A_008774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTAFKIHSEMPANLTGWFRAFRPSSGLNRELTDPSTLVSKSYAQTLPRQDASDISENVLRYEDTAP >Et_9B_064963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20000127:20003931:1 gene:Et_9B_064963 transcript:Et_9B_064963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHATATPQAFTTRRPCARPQCDARADRGVTQTPDKPISPRPRSGSPRTSFDSRSSFSGAAASVSRDLQGAFCRQDYRRRRPGWFLSGEPCLLGEATENLLGCIDWIPQRLECQYFACHGRSLADTIGYVHEGTYVLSVGTTEEEEPANGVSEGRIVRKGFSNICQRSYGRSFCLQHGFALVQRFAFRMRNLWTFFSSEIHAKLTRILHRFWTTLQGSCEDIGWLQRTRASLFSVDGTSRFKEILHDVRNGVHYLPDTLVYLFIPGLFSNHSPLYFVNTKRFFSKMGLTCHVAKIHSEASVEKNAWELKQYIEELYWGSGKQVLLLGHSKGGVDAAAAISLYWSELKGKVAGLALVQSPYGGTPVASDILREGQIADKETRRIMELIVCKLIKGDIRALEDLTYDKRKNFISRHKLPVNELPIISFHTEASTAPMVLATLTRVAHAELLPWLPLPRFFLSASEYIESMLASLKVPVVAPVSAAMAVTALHLRLRYGERSDGLVTRRDAEVPGSVVVRPERRLDHAWMVYSTLRKGSAEADASEMCEALLAMLVEIGMKKKFAIQC >Et_7B_054947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5961603:5965677:1 gene:Et_7B_054947 transcript:Et_7B_054947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRMADENRRPVAAAGKPLPSVREMGNRRALRDINNLVGAHPYPCAIAKKPLLQKIGGDEQKPAAASRRPRTRMYAASLASKEDPEHQVIETDAAPGVEHHKEASIDDGTADMDVELCETIDDTDMDEQHKDSPNDETIMDIDKADSGNPLAATEYVEELYNFYRETEDASCVKADYMNSQDDINSKMRAILIDWLIEVHYKFELMDETLFLTVNIIDRFLEKQVVPRKKLQLVGVTAMLLACKYEEVSVPVVEDLVLISDRAYTKGQILEMEKLILNTLHFNMSVPTPYVFMRRFLKAADSDKQLELVSFFMLELCLVEYQMLKYRPSLLAAAAVYTAQCALNRCQHWTRICELHSRYTSDQLLECSRMMVDFHQKAGNGKLTGVHRKYSSFKFGCAAKVQPALFLLECRVEQNAS >Et_7B_054291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19408803:19412168:1 gene:Et_7B_054291 transcript:Et_7B_054291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHDTRVQMSNANVGAGTYEGDEARRHGEQLDNSATDNANKPVKPLSGSRYLQSIGAVLLLCALASAFFVFFKGEPSAVVAMLAKSGFTAAFSLIFVSEIGDKTFFIAALLAMQYQRALVLLGSVAALSLMTIVSVVIGRIFQSVPAQFQTTLPIGEYAAVALLAFFGLKSIKDALALPDTTNGNIQGNSEGGEFAEAEELVKEKVVKKLTSPLEVLWKSFSLVFVAEWGDRSMLATIALGAAQSPWGVASGAIAGHLIATALAILGGAFLANYLSEKLVRQLKVLWSW >Et_2A_016381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24051758:24055477:1 gene:Et_2A_016381 transcript:Et_2A_016381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNHTPKSLAVLLRARMQPDPLPSPPPQPSPPPPTDPDPDPAASVRHWLHESASAPSPPAAALERFSDGYRSLDRGGRREVLCSLATDYDVPRARVRDLMRQYMSLASAAAAGGGEQAEPSADEGREGAAASLYRMERGLRDALQPKYSGFLEAMNAQPGGLKLLAVLRADLLALLGEENAPALRALDSYLKEKLVTWLSPAALTLHQITWDDPASLLEKIVAYEAVHPIRNLIDLKRRLGVGRRCFGYFHPAIPGEPLIFIEVALLQDMASSIQEVLWDVPPTPESEASCALFYSISSTQPGLSGINLGKFLLKRVIDMLRRDMPSVQIFATLSPIPGFMQWLLAKLASQIKLAETESQEGNSLGEASSTFRESILLPNEEKMIHDAIQWIKSDKISAALKSPLMRLCARYLAREKKRGKALDAVANFHLQNGAMIERINWMADQSEKGIQQSGGIMVNYLYRLENIEKYALSYSSTGLIHSSPSISQYLEVTFIPWIVFYTAIYVSCPFYHIYFILTFVIL >Et_1A_007806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38399292:38400233:-1 gene:Et_1A_007806 transcript:Et_1A_007806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVLFFSAVLAGLVAVSSCRSLLGDLSEQKTYSSAPQYGGSSTPTYGSGIGGATPAPSDDSAPSTPSSPSIGFPEITMHGFTGSCDYWKNHPDVIIAAVGSLGNLGKTFGAACRLIVGKKLENLHDALSNTGSDGIDALMREGAAAYLNSIVNNKFPFSTQDVKDCILVAVTSDGAASAQAAVFKKANDCHY >Et_6A_046484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1631508:1639026:1 gene:Et_6A_046484 transcript:Et_6A_046484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPVSSSCRHRHPAKAAADSDPSSSPGHVVKMKAVGRRSLLRPGHMVLTSVAVGSLVAAGAANAVNLHDYLQGPSGILLADLRIGDWFGGLLYSAGQQANEAVQDQLSALSFTSVAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKDRAEVVGNSIAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAIIMGMNLLEVVELQLPSFFSDFDPRAAAANLPSSVQAYLAGLTFALAASPCSTPVLATLLGYVATSRDPIIGGSLLLTYTTGYVAPLLIAASFAGALQSLLSFRRYSAWINPISGAFLLGGGIYTLLDRVFPATSMDLPRRHLALPHFLMNETVRSSLQPRRRSRENRTGAGEEEVSWQLAHRFRPPTRMLKSGRSLESAVAVDPPTRPVPPSTSTRALEDFVLLVASAAGAADEAAAAAGGAHALTTAMVAIPMARARVAW >Et_5B_043118.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5505180:5505780:-1 gene:Et_5B_043118 transcript:Et_5B_043118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGKTAALILLCSIVCADQVSGEELVCTDKQKEAILTACEHIITRGSDRIIIPRRNGKCCRNVRDVPNRDMECIVKLLTDKERMDYVDTRILKFEQQCKRYEFDCVFVEIYQFLQYL >Et_6A_046560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1713316:1715311:-1 gene:Et_6A_046560 transcript:Et_6A_046560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRSIASFPWGVSSWIALLLNLLLIRRMIRYQYPRHKAVSILHWDMDWLVLKLNLEMDWRVLPARPAQEVDIMLTALYFGFICFPAANVVWSRCSSRFNHGSNASSRWPNCICSVNNNLQGSSTCMWPRLRHSLVDVGSGSGGSTAPVEGDSEEMIVVVTVTTAGGIDTAYIDMFARRLFYENV >Et_6B_048996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16531757:16532268:1 gene:Et_6B_048996 transcript:Et_6B_048996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSGADERARQRRQPRASRASAGRAATRAEQASCAPGAGHAAREPTEEEHLTLFLVTLVRGRRDVPVPTPAGDHACSVCGKAFASYQALSGHKASTSSARFAPGRCDEWSGAGCAEEPSLAPAGASLILASSSWALATARRVAELGARGGARSAALDTARGGA >Et_10B_002452.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:6731137:6732220:1 gene:Et_10B_002452 transcript:Et_10B_002452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSGGPSSPVGSAAIRGALGGSGGSRADECSPISSPVVPAENTNTADVIEEFSDVDSDEGKKAGRKVWSKDDNKRLIQAWLSNSVDPIDGNSKKGVHYWKDVAAEYNMSAPKGHKRTATQLKNHWNTHSTIVAKFHGAWTTMKNTYASGQSDKQLMDKAQAEYMAIMQTDKPFKFDYWWEAVKDEPKWLNRDVFSDMNKRNKVSASGEYTSSSNRDTDEGSVADRPRPPGQKQAKAQKKGKGKGKSTLTDESIGQFNVLQERKSEAIETMAAAAREHAQAIASKAAAEKERVKLEKMKHLHELMKTDTSTYSQIQMSIHEKMTLSLSDEIYQED >Et_8B_058821.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:8922874:8923167:-1 gene:Et_8B_058821 transcript:Et_8B_058821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWTGLLGISRSTQRTTNLGELSSTRNDAYNGSSAVVLAWEHEPVPSPREATTEPSPRHTGVFFANSTAYRRHTQTRCGCPYADTIHSAFACVITLY >Et_1A_005289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38254457:38255448:1 gene:Et_1A_005289 transcript:Et_1A_005289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKPPLPPKQKPPAAMTTPRPPTAPPPFKKPPQAIPPRPRGLLPRVPLLPPSRAVVPPAAALPRPPPPRQLLGIVRRVGLRRHRGRPRRVVEPERAGCVPVRRRRGPRGAGGRRRRRGPGLGARARVRPRRAHRRHGRVRGDGQGRRARRRRRGARARPVQAAAARVPGRRRRPCRRAGWEVEHRHGARPVAVRRRRHGAARWRELRRRRRRRRHGAHEQVPGVPLQSKMVSPSIRSLPLINASICITCFSCDNSYQFPQDLHANAYACMASISN >Et_5B_045359.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:19953641:19954792:-1 gene:Et_5B_045359 transcript:Et_5B_045359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCPTNPTAAANRDGAESPPPRAAFDSHPSDLALIETLLRPWVASGRKPAADYVHEHDVYAAGPTELARTFAPAVAQDGERAWYFLTRLRLKSVHGRGKRIDRTVATRRGWWHGEARVRPVVDGLAGREAGLRQALSFMADQRGARVRTGWIMAELRLDAALEEGTPVLCKVYRSPRWRPAVDGPGDDDGDASPPKQPAPPPPAAREGDAADDDQSSSAATTASPAPNRAAAADGEIFGGAAAAPARGRKEKDAEGSAETSAAAPERERHRAADDEQSSSATRKRHLVAADDDESPDAARKKMRKADDGLNSSPDDAASIIKLHCPQCGCGFHVEAAPAQVGVAPAKSESETGVVLVAAPQQGGETGGDSSPTKDHAFYHFL >Et_4A_035056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9111797:9113692:1 gene:Et_4A_035056 transcript:Et_4A_035056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTGMYGRKGSFDQNSTEYVAVERPLAYKEVLKTWAKWVDRNIDPNRTTVFFMGMSPNHITPEAWGNQGGIKCAMETLPITNRSSSLDVGTDWRLYAGAQEVLPTLRRVPVHFVDITALSELRKDAHTSVHTLRQGKLLTPEQQADPKTYADCIHWCLPGLPDTWNQFLYARIASSPWPADAKPQQQ >Et_1A_008972.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22723206:22723577:1 gene:Et_1A_008972 transcript:Et_1A_008972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTIDVPPGPVLALSGIVTFFLYLTWQIEDYEEHLRRRTEAGFFVLCVLGLLALAVLAHHALFEGEGRIAVPASWWRGGQADGGGSSGGASPWVVAAVVALLLVLASHKPSFHLFRAPFQPK >Et_9A_062920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6690202:6696881:-1 gene:Et_9A_062920 transcript:Et_9A_062920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHQSIEPSTLNFKNSLPNNYEMETSMLFGRLNNAVPMGTSISIMHLRSAQETEQYILQPKFVVAIAMAKLVLLVALVAAGCSPSSARGVKIQMRHFVAAG >Et_8A_056112.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:21145957:21146697:-1 gene:Et_8A_056112 transcript:Et_8A_056112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPSPIKVNEGATNGDDPAAYATMTYQPAALASAPPAEGAAFPPTSQGASTLPFNLEPVSEQHQTAFQQAQQFYQQQQQYLQEQLQPLWAGQMAEIEQITDFKQHSLPLARIKKIMKADEDVKMIAGEAPVVFAKACEMFILELTLRAWLQTEGTKRRTLQRSDVVAAITKTDMLDFLVDIIPPEELKGDNTVGTPPPTTVPSMVPSYVPGMTIPYETYANQQPVSFMWPLPEHQQQTSDGGRDD >Et_3B_031257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22922167:22922940:-1 gene:Et_3B_031257 transcript:Et_3B_031257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKDSAAGDSRRDQREPSLYYQIAMALLCPFALYVAGLYVAAVTLVLVRAGEAINIDGDYPMSSSVQLAGVKGLEPALAPGAVSPSFDLLVRVYNGRIYDEYREGGSVTVSYAGVALAHGRTPSFRVAAKEAVTFTVKATAGAVGVPAELFRLMSAERRWGAAQLEVSMQLGWPGGESARIESSQVPSTKDGPDAYNS >Et_10A_001237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22389298:22396361:-1 gene:Et_10A_001237 transcript:Et_10A_001237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRMPSLPAGFRFHPTDEELIVHYLMNQAASIPCPVPIIAEVNIYQCNPWDLPPKALFGENEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKAILSTPTSENIGVKKALVFYRGKPPKGIKTDWIMHEYRLAVGNKTTKRKGSSSMRQGDLFLPPGFRFHPTDEEVITSYLLQKFLNPSFAPRAMGEVNLNSCEPWDLPSKAKMGEKEWYFLCHKGMKYPTGSRTNRATKEGYWKATGRDREIFKTASASEGGGRELVGMKKTLVFYMGRAPRGSKTNWVMHEYRLEGKSKDNNHSNLRFNPKDEWVVCKVFNKKIEEKKAAVEYSAGTPNASSISVDTAGDGGNDFLDSMIDPMSYLNSTCSLPNTTTMNDAVAPYNAAATTTTSAVGSFFNLPNYTFSDTTSRNLHQTAVSNSTVSTSSSGYSSWNLLHANHAMGSYNLHDQAMMGRALGSVISPNLAGDLPSYSTSFTGISQQNSQRVPQQKLEPDYGGSYATNYLASGADSTVIGKAARNLGA >Et_1A_008578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8978794:8987822:-1 gene:Et_1A_008578 transcript:Et_1A_008578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLADPYALRCVSDLPLPFRSVFKFRYFNSLQSECFHVCFLSDVNMVISAPTGSGKTVLFELCILRLLSRFLSPDWRFNLHQGTLKTIYIAPSKALVQEKLRDWTAKLGFLGIKCLEMTGDNEFHNNKPIHDADLILTTPEKFDSVSRHGIRDGGLGFFCDISLVLVDEVHLLNDPRGAALEAVVSRIKMLSRRGNMKSAPLANVRFIAVSATIPNIEDIAEWLLAPPEGIKRFGEEMRPGNLTTKVLGYAPAKNDFLFERKKNMFTDILMQHSRGKSALVFCSTRKGALEAAQCLSKTGASLGYSNPFMKSMQQYEHLKEASLSCSDKQLQACIVHGVGFHNGGLCLKDRSLVEGLFLKGDLQVVCTTNTLAHGINLPAHTVVIKSTHNKEKGQYVEYERSMVHQMCGRAGRPPFDDTGTVIIMTRTETVHLYQNILNGCEMVESQLLPCAVEHLNAEIVQLTNPGNYGIKREIPCHLLEKQIQDICIEKIHELEEYGLILTDEDGFLLQPLEPGRLMAKFYLKFDTMKVIVKASACCSLDDLLHIICQSTEITWIQLRRNEKKILNDINTDKEGRLLFHIVCENGKKKKRVRTREEKIFLLANDCLTGDPLIHDLSLTQETNSICLNGSRIAKCMREYFVYKKSYRSAINSMILAKCLYQKLWESSPLLLKQLPGIGIVTAKALKTAGISTFESLAAADARKIELTTGRNYPFGNHIKELMSVLPPKIDIHIEDAGNRLGKSTILVTLTRLSQAVRSSKRSYADMVVGSEEDNVILFHEKISPYSVKVIVHCPQNARVTLKVDLIFEEYVGLDVHKKHVISREDDLQVTKERGVDKPESMYNLPAEICLVSSRTTRISKPLSHTSKSPLSKEVCVIEDDVDVSAPEKADNALGTRKFNNLASLEVPSFDLLAEEDDIGDIGGASISEPAEAGCKSATSDTIFDHIRKKSKDFPYLTLSKSMDSSYEPLILKRKKSTDQFGVENNTRDSPSEKSKMPITTASDEISIGFASKSDSPLEKIKSLCRTSSQTSVQIASKSDSIFGKSKFYPAENCNMLVASTEESKNDGTSGKSKISPSEKSKMLIATPEESPAEFA >Et_1B_013634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10273990:10275692:1 gene:Et_1B_013634 transcript:Et_1B_013634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTSPDPPDYCSTESPELKLYQAFIFSVPVFFTFVLLLFFYLFYLRRRRANWQSLRMRTNNLIRGDNPRSECGIKKEMREMLPVVIFKESFLIRETQCSVCLADYQPDERLQRIPPCGHTFHIDCIDHWLSTNTTCPLCRVSLLPAPKTTSIDPDLEAQIAEESLIVQHQEALAENPRQDDQAAEAGGTADTHDEEPSDAGESVTVIEVAEPHVDAEGSPSTTCKPHKIKK >Et_3A_026740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1827371:1829035:1 gene:Et_3A_026740 transcript:Et_3A_026740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEASWDTSSTSSYASPSPSTSSASKEGGRSSRKRPRAAHDDAEKGEAITVPSYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPCPEMAARAHDVAALSIKGARAVLNFPDLAHALPRPASLAPRDVQAAAARAAALMHPFERHHQSSSSSDVSSAAAPGASADAAAADDDGQQQRQPGDDSSCGGEPEDQPSREEPTKVEVAEPELVFDELAPLWVEDVVDFTPSDHQWTPYDGFDAVAFQPLLWEYYSAIRGRFGE >Et_5A_041399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22138739:22143681:1 gene:Et_5A_041399 transcript:Et_5A_041399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDLFPFSPDPHHIALALAAHEAVLRALAVGTMDAAPEPVAAWLRIAAPRLSGCLIFVNRAPGTGRSSEERGAFELPCLEGATSVILHLGGCLGLPLPLAGVFARLTELSLSCLRFHGTCRLGDAVSSPRSPCLQKLSVHDVRGLSSLSINSESLLQLDLRNVIALQRLAIDAPSLKELKLDHCFVKNRPIANVSAPDLVTLHWKDVYDPSSMQLGKMARLQLVFPNMVLVHGNGHHDSLNRIVIVRGFSSSFRTSQVLPSHSAIQTMKRVASLNIETNILHNKVCQFEHSSLTLQPQNKFPNFRNRGVHLIIGTVLHFWQKSRLLFLHQNFNTSVDIINSQYLLGDITFLPRSEILTVMVVKEGHAFGAGLCHVLRLCTGIKRLFLMLNGDLEPQPACPSSCTCDQPTNWRTEPLSLNCLQEGYEHEVAFVKQLFKWSTVLKSMIITFDRLISGSTALELFQKFSSFSLPETHIQIGGEAAAKRPNLFFSGADAGRDRLSALPDDILVLILLRLETVSEAARTSALSPHWRRIWTLLPELTFNLAPDYQHIREVLTAPEGPALRRIFVVTKDDAPNSAAAWLPLAARRLSGDIVYHILDDDKAIPLPSFGNATVIDLDLGFLALSLPSTGAFIGLTELCLNSIFFQVPCELGDVLYPPRGVRVCERSGSVAPWDWPDSLFDQSLSWK >Et_5A_040862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13714527:13717924:1 gene:Et_5A_040862 transcript:Et_5A_040862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGSARNLTEEEKKEHKEVKWDDPDVCGPYMVRFCPHDLFVNTKSNLGACSRIHDPKLKESFEKSPRHDSYMRRFEAELAQQCEKLVLDLDRKIKRGRERLAQDIAVPLPNTVRKTEQLSALEENIKKMLVQVEELGEAGKVDEAEALMKQVDVLNAQKTALNNQIDNKMAMLEKKMELCETCGSFLVSDDALERTQSHVTGKQHIGYGMVRDFLAEHKAAKEKAREEERLAREKKAEERRNYREKEYDSGGRGIDTRREKSGERDYDRDRQYERNRGRERSYDHRERGSEYRSGSYRNGRDSDRGGYRYRSGNMTSDRGRMKSRSRSPSRHGYERSRMSHLFKSCSAVTALLEPHSFTWKKKSQ >Et_4B_038169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26887176:26890169:-1 gene:Et_4B_038169 transcript:Et_4B_038169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RNRIPNPESQHLSFPDLAEELPTPNPSLPSPASPHPMEDEDAGPGGGGEASPPHAAVASDDRARDMAASPTSSRSVTQTVNGSHKFVIQGYSLAKGMGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTMDFSRPHSIEVPESDIGYHFGSLLDTQEGVDERSFMPINWFWLLDPPFLDLNFSMNRWKTRMKLIQAVLHFVYRDSLVDDDELAASSSDCSIFDTLAGKIMAAADKYELPRLRLLCESYLCKHISVNSVATTLALADRHHAMELKSVCLKFAAENLSAVIRTEGFDYLKENCPSLQSEILRTVAGCEEECSSGGKSQSVWGQLSDGGDTSGRRVRPRV >Et_10A_000755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16561672:16563781:-1 gene:Et_10A_000755 transcript:Et_10A_000755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPVHHLLANCLLRDVPPVHQLLTKFGNFNLGIAMSWTRGLRHGAVPRHAAQLLESRGFAIASKAKKGGKGGAGDAAKIPALTKELKSTTVYGANILKEGSDPKIQPDSEYPDWLWHMLDKRPVLSELRRKDPKTLPYEDLKRFVKLDNRARIKENNALTAKN >Et_7B_053647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10732747:10734498:1 gene:Et_7B_053647 transcript:Et_7B_053647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDGSIFRRNSGFLRMYRIANRDETRLEPMTLCMPTNCHPDRETCRRHLPGRMMQIYSLKLAKIPLEVRSVQLYGYIAVRDERDSLLNYIVNHSRDAPITLQQGSFIEMTGPKRGISMFCDVLFEFDMRIKKGEREEDDLQLMDGMIVYDELSYIGIPFTNRINGDCGAVDITLALVPKAVEATIEVVISEVQSGFNLSLSSFVFINKLYQQIKHFNGTIGESHVLRRFVVAVVMDTWMHLVFKVSQNGSKNIVERYCSFKVNIHGCADQQIVHEVAAISVKRKNERRYRTLDLDDEDGVRKFKGVLIAEVALGLTLGC >Et_1A_009609.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:9478236:9478751:-1 gene:Et_1A_009609 transcript:Et_1A_009609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDPAELRRVFELFDRDGDGRITREELAESLERLGMAAPGDELAAVIARIDADGDGCVDIDEFADLYDAIMHRGGDGGGADADRENDEEEADMREAFNVFDRNGDGFITVDELRAVLASLGMKQGGREQDDCDHMIGQVDRDGDGRVDFAEFKEMMRGGGLAALGSGSGR >Et_7B_054815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4670424:4675755:1 gene:Et_7B_054815 transcript:Et_7B_054815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVVSGPDYDDEYDDYDDYDEDYDEYDETGYGNTQHPAKEEKEPLKNSSNTVPVHWTCSMCTFSNHESMIYCEMCGVFRESFVKSAKDGSIIDTVGAVSSELRTSATSKNGSTKTSVKTLAQDFDGGSERKHASTSRDKADSTQLASSGSTSVTAKKKTPVLSEEVPVERTNFQLKVDESSGASSTSLDDDVNQKLSSDISQLCLEKNNVNVTKPCSPAEYKPEAWMLADQEPEVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISRKDMHKNEKESKEKGKGSFAFAWAMDESTEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDASTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLLVAVNKMDAVGYSKERFEFIKLQLGNFLRSCNFKDSAITWIPLSAVENQNLIKPPSDARLTSWYQGLCLLDAVDSLKLPSRDVSKPLILPICDVIKSQSTGQLAAFGKLETGAIRNGSKVLVLPCGQEATVKSIERDSNPCNIARAGDNVAVNLQGIDGSQLIPGGVLCHPGFPVAVANQMELKILVLDITIPILVGSQVEFHIHHVKEPARIAKIVTLLDKTGKPTKSAPRFLKSKQNAVVQVALDGEVCVQEFSKSRALGRAYLRSSGRTIAVGVVSRIIGQDQN >Et_7B_055371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9693718:9698133:-1 gene:Et_7B_055371 transcript:Et_7B_055371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTEKASFLELVRYADFQDRCLMALGVLGSFGDGMMQPMSMLVLGDIVNSYGGAGTEGSAFSSGAVDKFALRLLYVAVGIGVCSFLEGLCWTRTAERQASRMRRLYLEAVLRQPVEFFDAAAPSSQATTFRVISTISDDADTIQDFLAEKLPNVLANLTLFFGALVVSFVFAWQLALAGLPFTLLFIVPSVVLGRRMAAAAGAARAAYEEAGGVAEQAVSSIRTVASYGGERRTLERFGRALARSTKLGIRQGLIRGAVIGSMGVMYAVWSFMAWLGSLLVIHLHAQGGHVFVATICIVLAGMSIMMALPNLRYFVDAATAAARMREMIDRLSPLEAAGKKGATKENVRGQIVFNDVHFSYPSRPDTKVLNGFSLTIPEGATVGLVGGSGSGKSTVISLLQRFYSPDNGLILLDGHDIDTLNVEWLRSQIGLVSQEPVLFATSIRENILFGDETASLKQVVAAARMANAHDFITKLPHGYETNVGQFGTQLSGGQKQRIAIARALIRDPRILLLDEATSALDSESERAVQDALDRASVGRTTVIVAHRLSTLRKADVIAVLDAGRVVEHGTHDDLVVGEGGGVYASMVHLQQQKASSSVAPREQERHRVVEVDQDESNRVSFRSVEIVSVASSVQPSPVPSFRSVQYSVEDGEIDGYDDAARGRKPSQLRLLKMNRPEWKQALLGCTGAVIFGAVMPLYSYSLGALPEVYFLGDDGLIRSKTRMYSLIFFGIAIICIAANIVQHYNFAVMGERLTERVRRQMLANILSFEVGWFDAAENSSAAVCARLATQATKVRSLVGDRASLLVQASANASLGFSLALAVSWRLAAVMMAMQPVVVASFYFKKVLMTAMSRKAKKAQVRVSQLAGEAVANHRTIAAFSSRRRMLRLYADAQEGPRKDSRVQSWVSGFCLSLCQFSNTGSMALALWYGGKLMARGLITPTHLFQVFFMLMTMGRVIADAGSLTSDLAQGGDAVRSVLDTLDRGPLIQDDDDGVEKKAGKPRKEIKGAIEFKNVSFSYPTRPEVTVLNGFSLEIGAGKTVALVGPSGSGKSTVIGLIERFYDVQKGSVLIDGRDIRSFGLAHLRSHVALVSQEPTLFSGTIRDNIMYGDEHATEDEVTNAAMLANAHEFIRYKHVAVGSARLPLSNLLLNRTLLIPCNSAMDGGYDARVGERGAQLSGGQRQRIALARAILKNARILLLDEATSALDTVSERLVQDAIDRMLQGRTCVVVAHRLSTVQKCDLIAVVKNGKVVERGRHSELIAAGRGGMYYNLIKLQHGRSPCLSPV >Et_5A_040482.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:25078667:25078792:1 gene:Et_5A_040482 transcript:Et_5A_040482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGAADKCMFGGSSKVERCYCCGNIACYRAMEICRSKCPP >Et_1B_012630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33954896:33958481:-1 gene:Et_1B_012630 transcript:Et_1B_012630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding APSTAPVMLSSGSDASPEASPTRTSPSKEQGTGEKEANLDHVGDRNDAEQNKGKTTTARRRKKLTSEKDSFDKCEERPSADEKKDNTPGRGTPKKNLVTLSSGSDASLGSSPSRAGETDHEAETLTPARRKNDQQAKGKKTKVAGSKAVQDQPGDTLEHQEGVAEEDIEDKPTGNSISQRLPLVLPDKVQRSKALIECDGDSIDLSGDIGAVGRLVVSNGPTGNPDLLLDLKGTIYKTTIVPSRTFCVVSVGQSEAKIEAIMNDFIQLEPQSNLFESETMMEGTLDGFTFDSDEEGDKLPEPHASQNDQNNEDEDQPKQKTKRKAEKPVGKGQKKTKVAGKAPKKVARKTQSTKRTRKAKK >Et_1A_007720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37494366:37495106:-1 gene:Et_1A_007720 transcript:Et_1A_007720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDSGNVGNNIDCRSLLILHLSCCPMEFVELQMWNLPVLQELEISNCFLLKSVIDTEWLSTGASNHDRSSIGKFPLLTHLTFRFCWMLETLDERLLHLPKVESFRVTSCQRLSLPTEIFRRFHCLKDLSICDCPCFNRQNRIVLPSSLQKLILQNCGDFSVWFPRCLDNLTSLESLWMEKCDGIVSIPGDLWSSNLASLQELSIDNFADLVSIGGLNAIAHVHIEKFPKLEEVVQPLTRGEF >Et_5A_040902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14401109:14405297:1 gene:Et_5A_040902 transcript:Et_5A_040902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQQSKEELLFQQVNYGNVDGIRTLRSQGAGLEWIDKEGKTPLMVACMRPDLLNVAKVLIELGANVNAYRPGSYCGTALHHAAKKGLEQTVHLLLSHGANPFITNDDCHTALEMAREKGHVNVVRAIEGRISLFCGWMRENYAPGFLEVFASQFMTRKIWAVVLPREVRTPTRPLKLELAIYPELQASKPRVVIKLWKSQIEQPKFNQADPSIIIFDKATKSRYKFLPAYEGDKQQIQWFYNACCGIAQVVNTVQVQPGNSPVPNPLPANSSLASSEGSAPSKEDVELAMAINASIQSAIAEGVPNVQPNAPATNSNGWGNPPSNSLNGWGPSDAPAPSKISGQAKVDAPSSSTYNGWGELVGTSSGQSSSRPDKSQTNPPIVIPQEALPSLPTPTAPPFAEETFYNGPIQYPSIDSTPVDVTMPATTEGGTAATSAKPGEDEANASGSGNTPTGTCVICLDAPVEGACIPCGHMAGCMSCLKDIESKKWGCPICRATINQVIRLYAV >Et_2B_022717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3639573:3641839:1 gene:Et_2B_022717 transcript:Et_2B_022717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSGGGFVSFISLYSGSSDMVSLSLFLQQGERKRRLRAGHTTRVFTVSRGLVRSFDRGDSHFSAVILGALVCSLVADSAWYVGSTLGLGERFLIHSRVAPPRSSSPPTIGGTRITSKHAIFARRPTPNVPSPAKHCPLPPTFSICGGDGVDRISLLPGALLSNIVGRLPVKDAARTTILSSRWGRVWHSTPLILEDDDLILLPVPGHEAVGGRIDAIAYTYTCEHAAAASSNSKDDDDGGGDGALLLPKWIRAIADKGVDDLVLFNRTRPADDTLPADFLRVLPSLHRLDLGNWDFPTTDDLTRDATFLHLRELGLCQTDIRATDLDRVVRFSPNLEKLALIAGDNNNSPPSGVLIRSFSLQCLLLWKTVAQKVHVLAAPMLRRLVLWKLTAGGCSLPTKLSIGHAPKLEAVGYLDPRLHVLELCNTVVDQQASTSSLPVLPSVKILALKFRVRVPEEANMVPVYLRCFPNVQTLHVMFDDELDDEPGVKPDFRMWQEEAGHSRCLLSTTEAVVLKNFRGDELEFEFFMFLLEAAKVLKKVVIALANGNEETGNAVVAKLKSIWANRAGRKPGIKSKSVTDIFTDNVVKE >Et_8B_060728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5622051:5627147:-1 gene:Et_8B_060728 transcript:Et_8B_060728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNYSYLAKRQKEIRRKTPTLPLDSGERRGVANLFLPQKNGSRHTPVVPPQNGSQPVARSLRTRRFDWIRNCTFVLVLYSTEEMGVPKQRWTPEEEAALRAGVARHGVGNWRTILKDPEFSSTLRYRSNVDIKDKWRNMNVIVTASGTREKVKTAAKKTKAAPKNNDHSTAISTVTSDAEDEIVDVKPIPSVSSEGCTLISKKYQSRLDNIIMEAVKNLNEPTGSHRTAIANYIEEQYWPPSDFDHLLSAKLKELTTSGKLLKVNRKYRIAPSSPYSEGRRPKMLLLEDIQRESSKLGSDDSKTLTRIQVDAELARMANMTAEEAAVAAARAVAEAEAILAEAEAAVREAEAAEADAQAAQAFAEAALLTLKNRNAEKLSASACLLC >Et_9A_061963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18398253:18399828:1 gene:Et_9A_061963 transcript:Et_9A_061963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAGGQSGSGGGWLLDYGLVEEEIQGSEFIYMVDDPAVSRSRPESSAPPGTKACREKLRRDRLNERFNELCAILEPGKPPKADKVAILSDATRLMGQLRSEAQKLQKSNESLQDSIKSLKAEKSELRDEKTRLKAERERLEQMLKGVSAASAPAQYVPHPAAAAAAPQFHPAAFAHAGKFVPYASYPPPAAFWQWIPPTSLDTSKDPAHWPPVA >Et_9B_065665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8926190:8930544:-1 gene:Et_9B_065665 transcript:Et_9B_065665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTATVLACGRPLFFIGWECPRPVVPLKHQHSGRHNATRTFATKNSQRKRRNFQDKPKGSSYISEEASSVSGGNASSGLEVNSNDVSTSEEIPGAPRSAVLQACTLTSGLLLAGGLVIRQASHFASLNGWPIADPTDLSFNFETWHLELVAGLVILVSSSRYILLQTWSEFRDSSEAANSQILTSLEPLDYIVVACLPGISEELLFRGALMPIVGLNWISALIIGALFGVLHLGNGRKYSFAIWATFVGFAYGIGTLASSSIIVPMASHSLNNIIGARKKIDDIPDIHPCKIVLLPLITMYCDSRLLLEPSTDEGCANETRPRVKIRRDGRRNATNISFDVDDIDTA >Et_4B_039775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29063482:29067916:-1 gene:Et_4B_039775 transcript:Et_4B_039775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRPRLSSPRVTTYSRRKSQTLVWRGRTGVEATRERRRGGVQAAKRIHLVCFALYSSPSVPLRFGCRWCSPACAGRMLAKSPGSPLVTAEARALPLSATCNLWSLPGVKFSKKNSGGRLNITQNFEFGKTRGASRFHTKWHILSADQAQASVVNTGEIEICEECKQLLTSLNFSSEDANKMLKKAFGWIHSPYWSEERKKEVPNVETVSGVLNYIRSLGLSDNDVHKVLKKFPEVLGCDLNSEVKLNVSKLDSDWGINGKTLRSLLLRNPKVLGYNIDCRGDCMAQCTRCWVSAALQLFGGKRKEVEKYDHGFLDVFVVFSRNTNLARAARYHGPIVFSKRRRADHSSLEEARALRWWRRHRLPDCVLGRIVSLLDTAEGARTAILSRRWRYVWLSAPLNLDDRLRPLYGDRDRLRIISQILDVHLGSARRLSLRSLNLPSSISRFDGWLRLSLFDALEELVLRFGLDAHQPRQPEVPASALRFASLRVLDLDN >Et_2B_022742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4062095:4062551:-1 gene:Et_2B_022742 transcript:Et_2B_022742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLIPVIILALGAVALGGPDALRFLHGIAGRAEPRRGHLPIAAATTAVLGAMLLVRSFRHRGRVARNAAAPAGAEDVFPRMVRMVVALTVAFLVAACQLAAQAQAFAAGINELDACSYTCLLPDARILHSCVRGGRPLVGRGRIRV >Et_4B_039073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7576069:7578478:1 gene:Et_4B_039073 transcript:Et_4B_039073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGQGGGMDTALLDDILRRLLEVRTARPGKQVQLSESEIRQLCTVSRDIFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPDANYLFLGDYVDRGKQSLETICLLLAYKIKRSRTCHAQLMCQIRVYYVTCFDPGKDTQGWGMNDRGVSFTFGADRVTDFLNTHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAERKTKFMASNKM >Et_8A_058115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20745974:20747112:1 gene:Et_8A_058115 transcript:Et_8A_058115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSPASVTTTLETRDWAALPHDILLSVFLRLGPCEVMQGAELVCTTWRRAAVDEPALWRRVDIRTASLRSAAGGLAAARAAVKDDKHKNP >Et_7B_055585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15761152:15764285:1 gene:Et_7B_055585 transcript:Et_7B_055585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAPEPTAAAAGRKRKKPHGPSKTLGKKPVNPSSAPAPAKGKRKNQKHKEKKLRQPNSQAKKSAEPEQTTASACVAGDDPATGGVLLSAAMPPARQLEFFLRSFERAGKMRLSPLELDSYSEGCMVPLAEGASQDVEIFGDHVKGAFGASWKEELCSANVVEGEVDVGSPALLVICSAALRSLELLRGLKTFTKECRPAKLFAKHLKVEEQVAMLNARVNIACGTPSRIKKLIDMEALSLSRLKLVVLDMQRDAKSFTLFTLPQVSNEFWDLYKGYLDQKIRGGDTRICFYGAVSEKDITKAIPPE >Et_1A_006169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18734680:18736400:-1 gene:Et_1A_006169 transcript:Et_1A_006169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFFPANFLLQMQQPLPHHHNPHQHHEQQHLLAPPPPSLSPFLHDFGGAMAPPMLGGGKPPMYPPPDAAVSDELQPQHGGGAADPHPDCGGAASDDEEGSAAAGGVGERKRRLSVEQVRTLERSFEVANKLEPERKAQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDALRRQLDAARAENDALLAHNKKLHAEIMALKGGGGGGGGAGAGGGGSGGRQEAASELINLNVKETEASCSNRSENSSEINLDISRPTADDSPINNTHHRAFYATTGRGGGSLDIDQLLHTSAPKMELGHGGADAGAAAATAGATTFGSLLCGGSVVDEQPPFWPWAADGHHNFQ >Et_4B_036652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10052610:10058141:-1 gene:Et_4B_036652 transcript:Et_4B_036652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HNGNAKGFSALLASPCAGSCLLLVSDTLCCISDGSNCSAECGCFTSECSDDPWQYEINHAGAGGLWAELVSNRGFEAGGPNTPSNIDPWLSIGDESSITVATDRSSCFAGNPVALRMEVLCGATGTNACPSGGVGIYNPGYWGMSIERAKVYKVSMYIRSSDSVELKVSLTSSDGLQYLASHIITADQEDFAEWTKVEFDLQSSERNTNSRLQLTSTKSGIIWFDQVSLMPSDTYMGHGFRKDLASMLANLKPRFFKFPGGNYVMGNYLSNAFRWSETVGPWEERPGHFNDVWGYWTDDGLGFFELLQLAEDLGACPVWVVNDGASIYEEISSATIASFVKDVVDGIEFARGDNQTAWGSVRAAMGHPEPFPLNYVSIGNQECSKRFYKEKYVKFYSAIKASYPDIKIISSCDRSDISPINPADLYDVHVYTSSGDMFSKSRMFDSTARSGPKAIVSEYAVTGNDAGRGTLIAALAEAAFLIGLERNSDVVEMASCAPLFVNDNDRRWNPDAIVFNSWQYYGCPNYWMLHFFKDSSGAMFHPSTVQLSNYDQLVTSAITWKNPQDGNTYLKIKVVNFGNKAVSLNISVTGLEADIQTFGSVKTVLTSGWLRDENSFQQPDKVVPVASPITNAREQMGAVLDSYSLTSFDLLLDSSQTMHSVSVSSLHPTA >Et_1A_006223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19352320:19353264:-1 gene:Et_1A_006223 transcript:Et_1A_006223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIADLIPAKRCTLPAKKRPRHDLGDDDFEAAFEEFEGDSEEDDQYETVARNDEEEENEAVLLPLGNKPVSPLFPRGRHAKKVTRQYHGVRQRPWGKWATEIRDSVRGVRVWLGTFATANSAARAYDAAARRLRGTKAKLNFPSSSRPHRKRRRANASARTLSSPSTNTMADAPASASNDGAPAVPGEELFSAAGDAAMMMALPLPHAAAASWSTGEPEVVDPYDFCGELTSFFTGGQYEPLESLFAGGIAAAERELMGMWTFGEEEGSFYL >Et_6A_048103.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:6293876:6294067:-1 gene:Et_6A_048103 transcript:Et_6A_048103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQYYLYLGLALLSLLVVLAKRRHNRPSHRQRLPPGPWQLPIIGSVHHMVGKLPHRAMRDLA >Et_4B_038675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3920926:3926579:1 gene:Et_4B_038675 transcript:Et_4B_038675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHQRRDEEECRVALLNGDVKKEEWQAVAGGGDDDSGGTLGRRVWEESRKLWVIVAPAIFSRVVTYGMNVITQAFAGHLGDLELAAISIANTVVVGFNFGLMLGMASALETLCGQAFGAKKFHMMGVYMQRSWLVLFMCAVLLLPMYFFAEDVLLLTGQPPELSAMAGKVSVWFIPLHFSFAFLFPLQRFLQCQMKNFVNAAASAAALCIHIFVSWLFISHYKFGLVGIALTLNFSWWATAVMLFAYISCGGCPDTWQGFSVEAFAGMWEFVKLSSASGVMLCLENWYYRILILLTGNLKNAAIAVDALSICMTINGWEMMIPLAFFAGTGVRVANELGAGNGKGARFATIVSSTTSLVIGLFFWVLIMALHNKFALIFTSSAVVLDAVDKLSVLLAFTILLNSIQPVLSGVAVGSGWQSMVAYVNIGCYYLIGIPMGILLGWLFKLGVLGIWAGMIGGTAVQTLILAIITVRCDWEKEALVASTRMDKLSQVR >Et_3A_024755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23914621:23918787:-1 gene:Et_3A_024755 transcript:Et_3A_024755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVDRSATPCEYNVFACFRYMSLGDPITRCTLLSLQCCGVGLLATSVLARPGLVLVGVFEGYAHNDEKKECKSDEDNSEGERKARRGSFKKRAVTAGNKFRHSLRRKRKTRSDNHAFPIEDIRDAQELENVERFRRSLLAEGLLPERHDDYHTMLRFLKARKFNIDKAKHMWSEMLRWRKEFGADNIEEFDYTELNEVLKYYPQFYHGVDKEGRPVYIELLGKVDAHKLVQITTIDRYVKYHVKEFERCLQMRFPACSIAAKRHIDSCTTILDVQGVGLKNFSKDARELIMRLQKVNNDNYPETLYQLYIINAGQGFKMLWGTIKSFLDPETASKIHVLGNKYQNKLLEIIEDSELPDFLGGKCRCEEYGGCLKSDKGPWKDPEIIKRVLNGEANYGRQIISISNVNGKEVCCSEPPHQTKLGNDAFAESSSETEDVSSPSTSMNPMANPHLTPSHASTSDAPPIIEDGIPVVDKVVDACIDPRASSMASTSGSFPLRNIPTTWRALQTRIAAWLTVLIVSLLAFIRSIPSIMSKRLTHQNITIHHHSAEFPQGHVENGTLSFVLIQLRELEEKVQVLEAKPPQMSSDKEDSLNAVVCRGDALEAELISVKKALYETLIRQDEVLAYIDRQKAVKFCVSSWCCSYGVLIFFLREQFLIILDVRINVSTVCLQGKKLCL >Et_10A_000901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18973884:18976314:1 gene:Et_10A_000901 transcript:Et_10A_000901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQRAGAMEAAAAAEEVKGMATLLNLLRVDEKAGAAGTAAKEDKVAWLRSQLIGKDVEFDTPFGRRVLTYADQTASGRSLRHIEDYLVKEVLPFYGNTHTEDSHVGSKTTRLVHKAARYVKRCMGAGAGDALLFCGAGTTAAIKRLQEVIGVAAPPSAELRGRLAAQLRVEERWVVFVGPYEHHSNLLSWRRSLADVVEIGVDGDGLLDVAELRRALGSPEYADRPILGSFSACSNVTGVVTDTRELARVLHEHGAFACFDFAASGPYVKIDMKSGEIDGYDAVFLSPHKFVGGPGTPGILLMNKALYRLNSEPPSTCGGGTVAYVNGFNEKDTLYYDDIEEREDAGTPQIVQKIRASLAFWVKEYIGYDMISLREQVYSDAAMKRLTSNPNIWVLGNTKVERLPIFSFLIYPPVKDSMFGVADEDGSDRLFEDVRRKRLPLHGRFVTRLLNDLFGIQARGGCACAGPYGHTLLNIENELSLRIRAAILQGYSGLKPGWTRLSFSYYLSKEEFKFILAAIEFIASYGHRFLPLYKFDWITGDWIFRKQAIKYHLMKEELALSTRRDLLADNGLSKVANRMEKKPGTKNNQEKFESYIESARKIALSLPDISQQTVSIPKGVDPDLVLFYI >Et_5A_040903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14425119:14426400:1 gene:Et_5A_040903 transcript:Et_5A_040903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKMKKGILRPFRYISNMMDGKEPEMQIGFPTDVKHVAHIGWDGPSAAAPTTTNNAAAPTWMKDYHSAPLDSASFRSETGGAAASNPWASQEIVLDGGSSLGDTSFRDTRSEAGGIDAAGGDSPPSPGTRRTSPPCPRSPTAGKTRAAQKGAAAVRRRTPRKPQRRRGPPRPCPRWLRTRIDGEW >Et_9B_064775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18536278:18538177:1 gene:Et_9B_064775 transcript:Et_9B_064775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWCANAREVGSGDTTVVLAHGYGADQGLWDKLVPSLSQTNKVVLFDWDFAAVEGEAEEHDGGRYTFDRFADDLIALMDQKEVRGAVVVGHSMSAMAACIASVRRPDLFAHLVLLCASPRYINSEEEGYVGGFERAGIDGMLGAMASDFDAWVRGFVPNAAGNPKSVPHLERSFLAMHPVVALEVAEMIFLGDQREVLDGVTAPCTIVQVKNDFAAPPSVAEYMRRRMKGAEVTVEIIDSVGHFPQLVAPQQLLDILRGVLMRHGHDDDEHGVQEEKGDDGIVGQRGNM >Et_3A_023162.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33322777:33325515:1 gene:Et_3A_023162 transcript:Et_3A_023162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRTPSLLAATHPILSATSKLLLTSPPPLLLAALAYFYHVPSTSAHQLLDETPRRRAAAIVRALAASSSPGDGNVVASLHCASLKSGAVLDPPVRTSVLTAYARAHDAGAALALFDEAVSPDVILWNAAICALTLNRCYDDAVALFQRLARELGEFDSTTVVIMLSGVSRAGNLGHGMALHGVSVKRGLDADQLSLWNALIDMYAKCGDFDSSEAVFWRMPYRDTTSWNSLISGSIFNGFDDVAACYFKEMVRSVFQPDEMTLPSVLSACSHLDNLFTFGESVHSCAIKLGYEDTAACSVANSLMTFYSKFGLPEAAEMVFASTTHKNLVSWNALIKGLVENEKVSEALTIFQEMGSENQPDVSTLVTVISACGDQGLLSEGKAVHGYTIRKGLLHEEPSVGNSLLDLYLKCDDTSTASLLFGTMARTDLISWNTMISGYSRNDSLKEEAQSMFKALLSEDLHCSLTTILAVIPSCSSPEELSFGKALHAFSLKYGFTSGVSAVNALMHMYITCSDSLAAFSLMEGIIPVSDIVSWNTIIVGCVQTGLYKDSLDAFQFMCSSLAMNPDSITLVSVLAACGNLNKQSLGKSIHCMTLKDSLASNLRVQNALLAMYFRMGDTVSAELIFYSMGHKNLCSWNCMISGFVQNNKGWRALQFYQKMEDFTPDEMSAVGIICACTQLRDYRQGKSIHGHVVRSALQKNVFISASLVDMYCKCGRLDIALKVFEISEKSIAGWNSMISAFGFHGHGLKSIELFWKMNDSGVKATRSTFIALLSACSHSGLIDEGWTYYHLMSEKFGIIPTIEHHVCIVDMLGRAGQLQEAHKFVESLSSQQAHGVWGALLSACSSKTELKKAESIANHLFCLDPENSGYYVTFSNLYAYRDMWSGAVKVRSILQDKGLMKPRGHSFVG >Et_3A_025724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32155881:32161342:-1 gene:Et_3A_025724 transcript:Et_3A_025724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAPMLLTVAPGSTATLILRRAPLRVPRKGRSLRPARIRAAAAIGGEFGGLGRRRVVAGEFIERLRNVLPGGSWWRLEDGEEAGDGGGRAEGSGTTAVSALRRMWGLVAADRWVVYSGFVSLVGAALAEIAIPHLLAASIFSAQNGGAVFYRNAKLLVVLCLISGVLSGLRSCCFGVANMILVKRMREMLFDSILSQDISFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQGVGALIYLLVLSWPLGLCTMLICATLSTIMLVHGRFQKRAAKFAQEFTASANNVAQEVITLVRTVRTVAYGGWSLSLNYLYHSTQVIAVVIGGLAIMSGKLTAEQLTKFILYAEWLILSTWWIGDNWSSLMQSVGARLKLENLEGRIQYADVSFSYPSRPTVPILGRLNLTLNPNEVVAIVGLSGSGKSTIVNLLLRLYEPTNGQILIDGVPLTELDIRWFRERIGFVGQEPRLFRMNVSSNIKYGCPREVSQEEVIWAAKQAYAHDFIMSLPDGYNTIVDDALLSGGQKQRVAIARALLRNPSILLLDEATSALDAESEHYVKSVITKVSSDSKAKRTVIIIAHRLSTIQAADRIIVMENGNIVEDGKHSELIKKNGLYSRLARRQNDDLKRQKSTSGTCYAEKVKESLERNFILAQGMLCSDTSRFKLQ >Et_10B_003314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18734549:18736912:-1 gene:Et_10B_003314 transcript:Et_10B_003314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIMSSLEEPLGLGDLPKLSINRLERFSSPSAYRRATTDDSNTNKYSNSCNGNSQMVFHRNSHLWQMQCRNAYSSCDGGVELRDLPRKVLRDLPRFVKIVEVGPRDGLQNEKNNVPTSVKIQLIHKLVDAGLSVVEATSFVSPKWVPQLADGKDVLSGIPQVPNVRFPVLTPNLRGFEAAVAAGAKEIAVFASASESFSKSNINCTIEESLIRYRDVTAAAKRHGLRIRGYVSCVIACPVEGAIHPSKVAYVAKELYNMGCSEISLGDTIGVGTPGSVVPMLEAVMSFVPVDKIAVHFHDTYGQALSNILVSLQMGISVVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMEAGDYISDHLGRPLGSKTATALRKLTC >Et_6B_048760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13373881:13374180:1 gene:Et_6B_048760 transcript:Et_6B_048760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEVPSRVIQDNHREILVLLTTNDVLLYRYYFLAAHACRRARGLGTPVQVPLFCELPPNNGWAAYIALEEHSMLQEMMLAGGA >Et_3B_028724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18552318:18553867:1 gene:Et_3B_028724 transcript:Et_3B_028724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYDKAVESYKKAVTTAASLAASAMLVRGVVNELMPYEVHDLLFSGLGYLRSRMSSQQTVVIEETEGWATNQLYDAARTYLATRINTDMQRLRVSRVDESKSLMFSMEEGEEMADVHDGAEFKWRLVCRDNASAGNSNGNGRGGNGNFRAEVRSFEMSFHRKHKEKALQSYLPHILAIAKKIKEQDKTLKIYMNEGESWFAIDLHHPSTFSTLAMDHKMKKSVMDDLERFVKRKEYYKRIGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDVYDLELTEVNWNSTLRRLLIGMTNRSILVIEDIDCTVDLQQREEGQDGNKSNPSEDKVTLSGLLNFVDGLWSTSGEERIIIFTTNYKERLDPALLRPGRMDMHIHMGYCCPESFRILASNYHSIDNHATYPEIEELIKEVMLTPAEVAEVLMRNDDTDTALEGLIQFLRGKKGDTKESKGGNVEHVTNKDEKETMAKKDASDNQNLSDAGKQ >Et_4A_032098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17004280:17004837:-1 gene:Et_4A_032098 transcript:Et_4A_032098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPDKNASPAADGAFKLVQAAWDALSARHPPDPPVSTAAADAAGAPTWPRAPPPRPPDPPAPPKPQQPTPAPPPPMVPNSTSNKPPQPQKPRAARRRQMSPPPARPPSPPRAGMCPNCGASTSSYGKTNFRCMSCQWSPMDGRHDEDYDDDYY >Et_1B_009916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10919234:10920100:-1 gene:Et_1B_009916 transcript:Et_1B_009916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASDRSSSSPRSRQLQGPRPPRLAVSKDSHKVRKPPIAPQQRHHLQPAQQQHQQPQYHHQPQQQQARAPVIIYDASPKVHHIKAGDFMALVQRLTGPGAASAAQFDASQQQHQHQLHQPPEFQPREFLLSPTAALSPAARLAAIERSVRPLPPAPAPANYYGAEFYSSSAAGADVPGILSPAALPPAASTGLFSPMPFDPTSHSWLADLSPFLPSASARAAGLEPPFAPSPRSHHLLATPTMPSPGTFSLLEFFGNYPDL >Et_4B_037342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18776858:18782318:1 gene:Et_4B_037342 transcript:Et_4B_037342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGVLTPRIPILPAAAPAPFSGSRIAWRPSGLVAALQRPKKSLDRRLRLTSVAGGDLCKRAHREKLDVVVLVSKSTLQCEHGVSLQSEYVVPESVKAAGFHICADELASIVESRDHRKLSMHGQLDGIADKLVTSLADGIRADEYSLNQRKDIYGANKFTETEVRNLWEFVWEALQDTTLIILIACALVSFVVGIATEGWPKGAHDGIGIFTSILLVVSVTATSNYQQSLQFRDLDKEKRKIHVQVTRNGFRQRLLIDDLVPGDVVHLAVGDQVPADGLFISGYSVLINESSLTGESEPVAVNEDNPFLLSGTKVLDGSCKMLVTAVGMQTQWGKLMSVITESGDNETPLQVKLNGVAKTIGKIGLSFALLTFFVLSQGLVGQKYHDGLVLSWSGDDILEILEHFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRQLAACETMGSATVICSDKTGTLTTNRMSVVKACFCENTMEVDNPSAPYNFSSRLPEVALEIILESIFNNTAGEVVINQDGKTQTLGTPTETALLDFALSIGGDFKEKRQETKIVKVEPFSSTKKRMSIILELPGGGYRAHCKGASEVVLASCDKFIDARGNIVALDETTTNKFNDIIETFSSEALRTLCFAYREMDDRFSIDEQITLQGYTCIGIVGIKDPVRPGVMQSVATCRSAGIAVRMVTGDNINTAKAIARECGILTEDGLAIEGAEFREKNPEELRELIPKMQVLARSSPLDKHTLVKHLRTTFNEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKENADVVILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNIVALLVNFSSACFTGDAPLTAVQLLWVNMIMDTLGALALATEPPDDNLMKKAPVGRTGKFITNVMWRNILGQSLFQFVVIWYLQTQGKYLFGLEGSDTDTVLNTIIFNTFVFCQVFNEISSRDMEDINVLKGLPDNSIFMGILAGTISFQFILVQFLGDFANTTPLTLLQWLVSVLFGLLGMPIAAAIKLIPAEPRDGNAHPS >Et_1B_012974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4483679:4486432:-1 gene:Et_1B_012974 transcript:Et_1B_012974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPDPVLVIGKVDPELPIMAKGWVFSALLVVFIAFGPPCEAFYLPGSYMHTYRQGEEIWAKVNSLTSIETELPFSYYSLPYCRPKDGIKKSAENLGELLMGDQIDNSPYRFRVNVNESLYLCTTNPLDEADVKLLKQRSRDLYQVNMILDNLPVRRFTEQNGVTIQWTGYPIGYTPEGTSDFYIINHLKFKVLVHKYEGGKVRFVGTGEGMEVISEADSDAKSGYEIVGFEVVPCSVKRDPEAMSKLTMYGKIDSVNCPLELEKSQLIREKERITFTYEVEFINSDIRWPSRWDAYLKMEGAKIHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTSSKLLCVMIGDGVQILGMAIVTILFAAFGFMSPASRGMLLTGMIVLYMLLGIVAGYAAVRLWRTVKGTSEGWRSVSWSTACFFPGIVFIVLTVLNFMLWARNSTGALPISLFFSLLSLWFCVSVPLTLLGGFFGTRAEPIEFPVRTNQIPREIPAKKYSWLFIFGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVVVCAEVSVVLTYMHLCAEDWRWWWKAFFASGTVSIYVFLYSINYLVFDLRSLSGPVSAMLYIGYSFIVSLAIMLATGTVGFLTSFSFVHYLFSSVKID >Et_4A_035491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26810910:26814306:1 gene:Et_4A_035491 transcript:Et_4A_035491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAANGAGRGRSRSFGGLSSAVEGDLFVRAGPDNEMYVRADQIDLKNLDAQLEKTRSKVWLEHQRSASPRPGTPLLEWEIDLAKLDIQNQIAHGTFGVVYRGTYDGHDVAVKVLDWGEDGHEKTMKHREAFEKEVAVWQKLDHPNVTKNDSIWMQFVGASMGTSQLKIPKKGSTSGGGPAVPNECCVVVVEFQHGGTLKTLLYNHRDKKLPYKKVVQLALDLARGLSYLHSQKIMHRDVKAENMLLDRKRTLKIADFGVARVEAGADVTGQTGTLGYMAPEVLQGQSYDHKCDVYSFGILLWETYCCAMAYPNYSLADISYHVVKLGIRPDIPRCCPRALADIMARCWDGNPDNRPEMSEVVALLEKIDTASGKGMTPVDDVPHGCSCFGFPRGG >Et_3A_023137.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30345949:30346686:1 gene:Et_3A_023137 transcript:Et_3A_023137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRRLALSSLFNARAAKDTSRSPPPTQAEPVAPAWPWPPCNNTPTTQSSRSAAPVPGAKTIASIFLESSGESSFTASSAQPEPDCSDSLSTASEPSAAAGDEADAAVVRGLRSERLLFDPGVSATSSILEEKAACAGDKEAFVGGVAVAFESADPYADFRASMVEMVAAHGVGDWGWLEEMLAWYLRANDQDTHCAIVAAFIEVVLAIADPAGEARSSGRSSSRAFPAGELKVAEKSKAGVLTA >Et_4B_036523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27955948:27956535:1 gene:Et_4B_036523 transcript:Et_4B_036523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLQPLLENVTSASRSICSLSRAVVRLLSRDDSVVGGDGDGGGGVAPRPPHCEQCARRGTFLSDVAAVVASLGLVASGGKKAACAGCAAMAVVEELTESKVAGEAELREAFYVFDRDEDGFVTPDELWNVMRRLGMPEGARREDCRRMIAAHDGDGDGRISFVEFRAMLENAV >Et_2B_021577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3225040:3230779:1 gene:Et_2B_021577 transcript:Et_2B_021577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKFFRGSTHNVSEGQYNSRPATERIRNEPSTSPGDILPEFSNEDIDRAIALYLSEEEQTKTRTVDKDMRLEEDEQLARAIQESWNIEASPRDSANGGTTYHPPRENGTPSGGNTYQPLSIMLSSGFRTCAGCHRDLGYGRFLSCMGAVWHPECFRCHDCSQPIYDSEYSMSGSHPYHKNCYKERFHPKCDVCKNFIPTNTNGLIEYRAHPFWLQKYCPSHEVDGTPRCCSCERMEPRDSRCVFLDDGRKLCLECLDSAVMDTRECQPLYLEIQEFYEGLNMKVKQQVPLLLVERQALNEAMDGEKAGHHHRPETRGLCLSEEQTVSTILRRPRMRGNRIMEMITEPYSLTRLCEVTAILILYGLPRLLTGSILAHEMMHAWLRLQGYPRLSPDVEEGICQVLAHMWIESEIMAGSSSNVASTSLAASTSTSSKKKGRSQFEQKLGEFFKHQIESDTSVAYGDGFRAGHRAVLQYGLKSTLEHIRLTGTFPF >Et_3B_031391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27988232:27989830:1 gene:Et_3B_031391 transcript:Et_3B_031391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFTLNTGARIPSVGLGTYKAGPGVVADALAAAVKAGYRHIDCAPLYKNEKEIGVALKNLFDGGVVKREDLFITSKLWCSDLALEDVPDAIGSTLTDLQLDYLDLYLLKKGSEVSPENFVQPDIPKTWQAMEHLYDSSKARAIGVSNFSSKKLADLLAVARVPPAVDQVECHPGWQQAKLRAFCHSNGVHLSAYAPLGRMKVVANNPVVASIAEGLGKTPAQIALRWGIQQGQSVVPKSVHESRLKENIDLFSWSIPEELCAKFSEIEQVKRIRNDSFVHPQSVYKTIEELWDGEI >Et_2A_016345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23615933:23617667:-1 gene:Et_2A_016345 transcript:Et_2A_016345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWGNNPGGLHLVDPSVEGLGRVPEAEEAVLLALVHAVEKLPLCIEQSIDEPPVGHLVELRGHQAVLLHDLRPHGGLPGHGERELDPLLLPLVAHPLEPEPAGEDRPQLLPPEDVAVAAVEGLVPGRGVDGRPDLLLGDEVGVGGGAEPFPRASGSRPPQRDALLAADGGVHAEAAHDVHGTPGRGAEDEVGAVHRPADAAPARGLGDGVEEDVLLVVVQAAPRETRHVLGVGRFVNAAAAAGAAADEDAVDDAADDAAGGDRLRRAGVVLGPGLEADVRERGAVGDHRREDAAEHGAVGPPVPRLDHVRRGQRGVEDVGDVGERGQRRRDGGGVGEVDLEVRDGVGGGAGGPAGRGRAAGERVHLPRPAGRVREREDADEGAPHYASGADHERHAPEVPVGGSFFVLQHRLGRADRAPPPPAHRARSYVAAGLEIDGTQLRQLHRLTANL >Et_4A_032650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12205010:12207165:1 gene:Et_4A_032650 transcript:Et_4A_032650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAESVPETSIHEFTVKDGNGKEVSLEMYKGKALLVVNVASKCGFTETNYTQLTELYQKYRDKDFEILAFPCNQFLRQEPGTADQIKDFACTRFKAEYPVFQKVRVNGPDAAPVYKFLKASKPGLFGSRIKWNFTKFLVDKNGKVIERYATSTAPMSIEKDILKALEE >Et_7B_054280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1980938:1988326:-1 gene:Et_7B_054280 transcript:Et_7B_054280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARSWAASVLPPELANAAGGDPLAALAATAAALVAGLLILAFWFRSGGGTPAKPVAAPVRPPPVKVDADDVDDGRKRVTIFFGTQTGTAEGFAKSMVEEARARYEKAVFKVVDLDDYAQEDEEYEEKLKKETVVLFFLATYGDGEPTDNAARFYKWFTEGKEKEVWLKDLKYGVFGLGNRQYEHFNKVAKVVDELLQEQGGKRLVPVGLGDDDQCIEDDFTAWKELVWPELDQLLRDDDDTTGASTPYTAAIPEYRVVFIDKSDLSFEDRSWTLANGTGVIDAQHPCRSNVAVRKELHKSASDRSCIHLEFDISGTALTYETGDHVGVYSENSVETVEEAEKLLGLSPDTVFSIHADAEDGSPRKGGGSLAPPFPSPCTLRTALLRYADLLNPPKKAALLALASHASDPAEAERLRFLASPAGKDEYSQWITASQRSLLEVMAAFPSAKPPLGVFFAAIAPRLQPRFYSISSSPKMAPSRIHVTCALVYGPSPTGRIHQGVCSTWMKNTIPVEYSEECSWAPIFVRQSNFKLPAKPSTPIIMIGPGTGLAPFRGFLQERLALKQSGVELGTSILFFGCRNRSMDYIYEDELQNFIQEGALSELIVAFSREGPTKEYVQHKMVEKATEIWNILSQGGYIYVCGDAKGMAKDVHRVLHTVVQKQHQEPNFAAMEVKRCL >Et_3B_030297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32072897:32075708:-1 gene:Et_3B_030297 transcript:Et_3B_030297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGEKTHHKQRHQAHAQSGSSKEKFNNKRQPKSIPDNYSSVDEVTAALREAGLESSNLILGIDFTKSNEWSGRHSFGRKSLHAINGIPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDASTHDHSVFSFYPDNRPCRGFEEVLVRYRQIVPHLNLSGPTSFAPLIYAAISVVQNSNWQYHVLVIIADGQVTTTNSNDGRLSPQEQATIQAIVDASYYPLSIVMVGVGDGPWDAMQQFDDCIPERTFDNFQFVNFTGIMSTSKDMSKKEAAFALAALMEIPSQFKATQGLRPSEQQAQRTSSPRILPPPNKVLEHDNAATGISKSGADEQVCPICLTNPKDMAFQCGHLTCKECGPTLSTCPLCRATITMRVRLYS >Et_5A_040327.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:13969771:13970115:-1 gene:Et_5A_040327 transcript:Et_5A_040327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVFSRVAWRCAWRMVQNDLIHGWGLDYKLGYCARGDRAVSVGVVDSEYVLHRGVPVLGDDDGGSNKDNGRAAVRWRSFKEMQIFQKRWDKAVAEDESWTDPYAAQPPAPSSR >Et_8A_056196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14444270:14444731:-1 gene:Et_8A_056196 transcript:Et_8A_056196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRGAKLPLKVRIFIWMLFRDRIPAANQLKKKNWKGDVGAVCWALWLTRNDVIFRNKLYNSPQPVMHRAVALMRGDEDQGGRNHGRS >Et_5B_043851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16812353:16813678:1 gene:Et_5B_043851 transcript:Et_5B_043851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHLAPFVGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTDIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEERTISINKPEGGAEATTSRSACCGS >Et_5B_045284.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:16508010:16508255:1 gene:Et_5B_045284 transcript:Et_5B_045284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRPCFGDQMGHARYVHHVWRVGVELHGELERGKVRAAIEALMGGDELRRNARKLKSRAAECVATARANVDKLVKHILSL >Et_2A_015471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13478401:13479497:1 gene:Et_2A_015471 transcript:Et_2A_015471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NVADSLTNALGRCSVKEYSKGDSRYAARDTATVTVEGSKPSLRMLGLFHPAYLDGFNFWACPFHFWANSS >Et_8B_059525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18902956:18905461:1 gene:Et_8B_059525 transcript:Et_8B_059525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISAMTFILAMLISLAALTTAGDACRNVPTMTWADACLKSSSTPSIDNLCGVTLEHSPDAAEVTVYALTAAKAAKVSYEATTAAAEQLLHNRSSSPFPGAEPAFELCVRQSAVAWARMAAVIGDMSKCEFQNTLQEYRDSRSAVESCREALMDFPGSPLDAVVAADLDATEVAHAHMTFFTLATLFISLAAVFTAGDACANVVNMTWDDACFKTCNTPELYNLCGVTLLHAPDAAQLVEVTVFALAGCSSCRASSSRLSGDEVVAIHHCRRSSSLGREHMAAVASGVSGCAFDDIMKGYRDALAAVVSCRQALSAFKGGSPLDAMNAADYLATEVAHGLGALVFSR >Et_8B_060209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7503978:7507706:1 gene:Et_8B_060209 transcript:Et_8B_060209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIEGMGSVVATVSGYEGQERHRLIKLITDTGASFVGSMSKSITHLVCLRLEGKKYDIAKRVHARIVSHRWFLDCLREGRRLPEGPYLNECGEEAGPVPDVPVQPCKQANKNAVMEDRNLIGGQSSKRDSPDVKGRRKHLKRMHKSKDKEVLHLQDNVSSVMKRRGLHESSSTMSRSISKKRNLSRFPLNEGPSRMGQSNDLTENCEDDNLLDRFDEPQTLDTLSTEARRKGTRINASSSLRQSTLESLFEYGETSRQKPAMRKEQWNIDISESSRSPSPCDLSGQEPGFCTQENMYENGVDTPADHEMEEDKRHMEKPANLERQEELSCVICLTDFSSTRGILPCGHRFCYSCIQGWADCMASEGKVSTCPLCKASFAWISKVDEAGTSDQKIYSQTIPCEASTNIFVFGNEGSVSESMAGQGACYQCHCREPEELLRSCNVCRSQWVHTYCLDPPLMPWTCVHCRDLRMQYYRYR >Et_5B_043882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17085712:17086090:1 gene:Et_5B_043882 transcript:Et_5B_043882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLVVVGSLFCVPHVLPLTFTTKLSGGCTVTDAGGAVVLQINVPLFRPCSRRVLLNADRVPLSVQTKHVSRGDRNNESDLLFTVNALSMRE >Et_2B_020562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21363122:21364355:-1 gene:Et_2B_020562 transcript:Et_2B_020562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRRRPDGSYGPEYGPLPPEHEYALYHRMPSRGRAPWPLHHGDYPERLLEQRLRSETFGFSRNPLQPNPPFRIHGVTGGGGGATMNSRRFQDDSGLTDDELREAMDQLRKQKYRPLNPHKKRGESRGIAQNRGARAEAPLPAPEEEKTCTICLEAFVPGEQVMVTPCNHMFTQGASPPGSRSTAIALCAGLLSAQGGTLSPTMATMVISGLAGDDEDPWRRPSAGSDSLASCHTAR >Et_1A_008569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8935041:8936263:1 gene:Et_1A_008569 transcript:Et_1A_008569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQFVWGREEGGWRKGPWTAQEDKLLLEYVKQHGEGRWNSVAKLTGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESVILELHSLWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNVERARARFLNQRREMQSQLMLQEQDHQQLKQPDRDDAFVREAAPAASSLAQQHENLMMMQDMDDLFYQLCPMSMSSCSSSYLLHGGSAATVSPSAGSEGSSEDQLDGGGDTWGWGSLWNLEDVVDDVEGGGACGWDTSSFALDHGGLAFY >Et_7A_051063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1467330:1470879:-1 gene:Et_7A_051063 transcript:Et_7A_051063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHGRSLHALFGSGAVADVLLWRRRNASVAAVVGATAVWFVFERSGYSFLSVLSNALLLLVAILFFWAKSASLLNRPLPPLPNLEVSDAVVEKTADHAVVWINRLLAVGHDIAIKRDRKVFIQVILILWVISYVGMLFNFLTLIYIGVMLSLLVPPLYERYQDHVDEKLGLAHSVLSRHLDTIISRAGQSNKQKKTECIAGSPMELALGLSSLTHTREPPLKLISTLEQG >Et_8A_058097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19724354:19724900:-1 gene:Et_8A_058097 transcript:Et_8A_058097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLATQLRDKFFGLVGRITSCGRAGDGHKDAAGATEPMSMPAQHVEIRSRGGAPQPKGGSSDHINDDVI >Et_5B_044801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:681808:683569:-1 gene:Et_5B_044801 transcript:Et_5B_044801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METMMMPCSAPAAAATSQLPPGFRFHPTDEELILHYLRNRAASLPCPVPIIADVDIYKFDPWDLPCKAVYGDGEWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHDGATGESVGVKKALVFYKGRPPKGTKTNWIMHEYRLAADPLAASSHRSPPAKYRNVSMRLDDWVLCRIYKKSGHSSPMVPPLADYDHDEHSAGGSDDICSFAYAPASSGTTTSAASTSTTHQQQHALPSVTSFSDLFDDYALAQIFDTAAVPPPEATDHAPFAMHPSLSQLLVISGDTTTTTTTTTAHSEPPELIYSSYPAAGAAAKRKASPDDDCVFGGQPPAKRINGSCFDAPQPASGLSSSTSPALQGMLNHDMLPQF >Et_7B_054254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18571266:18584031:1 gene:Et_7B_054254 transcript:Et_7B_054254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQYELISTNYADWPLDDYAQYAPISENDCQKLCLIDCFCAVAVYHDNDKTCWKKKLPLSNGKMNGDVQRKLFLKVPKNNRSNSEHVHSSKWKADKKYWILGSSLFLAISILVNLLLISALFLGTRSIITRKKFPSSQPPNNLGSPLKSFTYAELEKATSGFQEVLGTGASGIVYWGQLQDELLTSIAVKKIHKLEQETEKEFTIEVQAIGRTHHKNLVRLLGFCNEGKERLHVYEFLTNGSLHEFLFGDIALGVARGLLYLHEECSTQIIHGDIKRQNILLNDNFTAKISHFGLAKLLRINQTQKKYRCPGHPRICCPCVVQEHQYYCQALGSSRWSSFVCRRNVELEAAQDHQKILTYWASDCYRCGRVYLLVAGDDEAVVNLKQVESFVAVALWCLQEDPMARPATLKVAWMLDGTVGIKTPPYSASKEKKMEPLLLSLPFLLLISPAVQAQQNITLGTSLASHGPNSSWLSPSGDFAFGFQPGNTSAYLLAVWFHKISNQTVAWYAKTTGDDPEPVQVSSGSRLQLTSSGALSLLDPTGTEVWNPKVVSAAYANMLDTGNFVLAGADGSTKWGTFNSPADTILLTQVLTPGTTLRSRIIPTDYSNGRFLLNMKTDGVTLYPIAVPSGYGYDSYWSIKGNITNLVFDATGRIYIAANNTQINMTSAVVSSMADYYHRATLDPDGAFRQYVYPKKASNQLSQAWSVVGMEPQNICLALTNGIGSGTCGFNSYCMLDDRTNQTTCMCPAQYSFIDEERKYKGCKPDFLPQSCDLDEEAATMQFQFISMDNVDWPLSDYEQQAPISKDDCQNLCLTDCFCAVAVFHDSDKTCWKKKMPLSNGRMGDSVQRTVFIKVPKNNSSQSEDPDSSKWKKDKKYWILGSSLFLGSSVFVNLLLISVLLFSTYCTITRKRVPSLQSASNLGLPLKAFTYAELENATNGFQEVLGTGASGIVYKGQLQDELRTFIAVKKIDKLEHETEKEFTIEVQTIGRTHHKNLVRLLGFCNEGKERLLVYEFMTNGSLNRFLFGDLALGVARGLLYLHEECSTQIIHCDIKPQNILLDENFTAKISDFGLAKLLRTKQTQTNTGIRGTRGYVAPEWFKNVGITAKVDVYSFGVILLELICCRRNVELEAAEEDQKILTYWAMDCYKGGRVDFLVEGDDEAILNLEKVERFVEVALWCLQEDPTIRPTMLKVTQMLDGATEIPTPADPSSFVTPHP >Et_7B_055310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9239088:9241279:1 gene:Et_7B_055310 transcript:Et_7B_055310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVRASPTFLASTSSPSSSSQAPTPSASFGRSIQRRGGGLISVAAPSQYHASRRSVMAAAGAAKLEDADALIDSVETFIFDCDGVIWKGDKLIDGVPETLDLLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLTVNEEEIFASSFAAAAYLQSIDFPKDKKVYVIGEEGILKELELAGFQHLGGPSDGDKKIELKPGFYMEHDKDVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFLATNRDAVTHLTDAQEWAGGGSMVGAILGSTKQEPLVVGKPSTFMMDYLAKKFGITTSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSLETLQSPDNSIQPDFYTNQISDFLTLKAATV >Et_4A_032114.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:18881235:18881480:1 gene:Et_4A_032114 transcript:Et_4A_032114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAARRLEEEAAPKEEEEQEEFPPHLTVPELPVPEHELPPFPEVHLPPFPEVDLPKEELPPKPEFPAIPEFHFPEPEAKP >Et_2B_019207.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17464825:17465232:-1 gene:Et_2B_019207 transcript:Et_2B_019207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANANHVLLSLCLLLAVAGALATTTTTEPASRRRLQNLTIDPNEPEDSLPRNNGFPGPIVPLPPAAVACWKSILASKECVDDFLESLARLELRVSKVCCSVLEKIGDRCVVNAFSSFPFNPLFPPVVKHVCSLAA >Et_1B_011096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17498995:17500684:-1 gene:Et_1B_011096 transcript:Et_1B_011096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARLFVDALLIALLLVSAKATSYPGPSYEHHGLARYSRIFGFGNSLTDTGNSDIFPATAGGVSTRPPYGQTFFGHPSGRSSDGRLLIDFIALEPEVLRSMGLMAFIPVSLVNETNWFEHVVQLLNSSVPEQRKITATSFFFVGEMGINDYFASLNSNSTVDQTKSLVPHVVGVIRSAITAVLAAGARTVMVTGMPPLGDPSKSVFWDGIHFTEAANKFVARAVLASH >Et_5A_042565.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20567185:20568735:1 gene:Et_5A_042565 transcript:Et_5A_042565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGPAPTMLAAAAAILVAAIVASLPVLRRLLDKKKKNQGRPLPPGSFGLPVVGHTLSFLRALRANTADDWLRRRAAAHGPVSRLFLFGSPTAFLVGPAANKFLFTSAAVAPRSHASFAAMVGRRSIREVDGDDHRRVRAMMTRFLRADAVRRYVAGMDAEVRRHLDAEWRGGAVAVMPSMKSLTFEVMCSVLFGLVGRGSDHADAAVRRELAAEFQTLARGMWAVPVNLPFTTFRRCLAASRRGRRVVAGVVEERRARLARGEGSPADDVVTHMIAEGLPDEEIIDNVVFLMVAAHDTTAALLTFLIRHLDVDVNPDAYAKVLHGNINHQLAISLVITPMADNNNNPWTEQEEIARSKAPGEALTWEDLGRMRYTWAAAQETLRVVPPVFTTLRKTTADVEFGGYRIPAGWQVMQATNATHWDPAVFPDPGRFDPARFEGPTASAAIPPFTFVPFGGGARACPGNEFARAETLVAVHHVVTRFRWKLAAVCDGSFSRSPFPYPAQGLLIDIQSIY >Et_5A_040140.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:14712197:14712895:1 gene:Et_5A_040140 transcript:Et_5A_040140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVGPLNPLFPAGGRHEYCLEWLDRQPASSVLYVSFGTTTSLREEQVRELAAALRRSGQRFVWALRHPDRGDMRTTAPLAAAAAEPDDLAEAARRGASVVVTGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPVLAWPMHSDQPWNAELICKYLKAGVLVRPWERRHEVTPAAAIQEAIRAVMASEEGTAIRNRATALGKAVQDAVQADGGSSRQDLDNFVAYVTRY >Et_3B_030203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31306357:31308789:1 gene:Et_3B_030203 transcript:Et_3B_030203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGISQLLKKAFRHRPSGSPLPSSFRTNHEEASDFTGLRALAILGAGASGLLSCATIASADEAEHGLEAPSYPWPHAGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARYANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLMDGGVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMKWSVYKSRKLVLDVVN >Et_2A_018125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1229533:1233399:-1 gene:Et_2A_018125 transcript:Et_2A_018125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRFCSSTAGGGVATSSHASSRVPPPSIGAALAAFKERLRSGTLGPEDARNMFDEMLSRAHPDSARALNGLLAELARAPPSTACSDGLALAVPLFKRMSESAVPPTVHTYNILMDCCRRARRPELAFAFFGRLLRTGLGVDIVSFSILLKNLCDAKRSQQALKLLRTMTQQGAAYSPDLISYSTVIHGFFKEGDIPKACDLFYEMIQQGVPPDVVTYSSIIHALCKVGAMDNAEVVLGQMVDKGSRPDNRTYTSLIYGYSTLGQWKEALRSKEAREIFDCMAMKGQKPSIVSYATMLQGYATEGSFVEMTHFFNLMGFCTHGCLVKGKELVSEMMNKGMHPGIEFLSSIINSLCKEGRVVDAHAMVAFIVSTGLRPDPIMFNNLMDGYCLVDKMEEASRVFDDIVSAGLEPDVAGRTCAAKEKFNEMIESGITVSIETYGTVLFGLCRNNCYDEAITIFKKLHATNVKINIITVNIMIDSMFRSRKIKEANDLFAALPAYGLVPSVDTYRIMVTNYIRQGLLEEADNMFSLMEKNGLSAQF >Et_1A_007940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39595911:39597739:-1 gene:Et_1A_007940 transcript:Et_1A_007940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRKGVPRVVAVLAGLLERAAERGDGEGAAPASAFRGRTRPGIAVRRYAERIYRYAGCSPACFVVAYVYLDRLARGREEEEDEEANEAGAAVVGVDSYSVHRVLITSVMVAAKFMDDIHYNNAYFARVGGVEVAEMNVLELELLFALRFRLNVTPDTFARYCTALEGEMMLTSLSGVEGAVPLLLPPPPEEEEERRDRARKQDATATVTVVDRAFAVAQRAVVVEIAQ >Et_4A_035294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1841376:1845665:-1 gene:Et_4A_035294 transcript:Et_4A_035294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELTEHFVLQKLRCREVAELLAAISTEPEEEEPLMPILEAEDDIDKELQLVYDLEDEEVEEETEVRAREDDAPSREEEAPPRNRIRQEVKKLQQASTSTVHQRRVCAREEKTEPKKSTKSNVEGLFERNVKKDKSMLMTTSFECV >Et_3A_025860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33400233:33410413:-1 gene:Et_3A_025860 transcript:Et_3A_025860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGLGVAAGCAAVTCAIAAALVARRASARARWRRAVALLREFEEGCATPSPRLRQVVDAMVVEMHAGLASDGGSKLKMLLTFVDALPTGNEEGIYYAIDLGGTNFRLLRVEVGPGPVVISRKVEHQPIPEELTKSTLEELLNYIALALKNFVEREDGKDERRALGFTFSFPVRQTSVSSGSLIRWTKGFTIEDAVGRDVAQCLNEALARNGLDMRVTALVNDTVGTLALGHYYDEDTVAAVIIGAGTNACYIERTDAIIKCQGLLTNSGGMVVNMEWGNFWSSHLPRTPYDISLDDETQNRNDQGFEKMISGIYLGEVARLVLHRMALESDVFGDAADSLSAPFTLSTPLLAAIREDDSPDLSEVRRILQEHLRIPDTPLKTRRLVVKVCDIVTRRAARLAAAGIVGILKKLGRDGSGVALTGRTRSQPRRTVVAIEGGLYQGYPVFREYLDEALVEILGEEVAQTVTLRVTEDGSGIGAALLTAVHSSNRQGGSVGDHVRPKLHGSNTKNGLEASREMEDRILSRFGIHRLSEYACGMGNHLLRGYRFHALHWP >Et_5B_044850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6544056:6552170:1 gene:Et_5B_044850 transcript:Et_5B_044850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAAVMAPAPAAPKSSLEVLLETIKKRDEQPKDAPPALPARPTCRGRLPTSRRPSLPPGFKLENGVAKEFATETMRVEKKPDIGKDIAGLESKEEKAVKGRIFGTKRKFANAEALDESPYVANSHEDRSEATVCKDLPSVSSATAKMNGKPVCTDIMDYVLQKKLRVWCSSPNAKWELGQIQSISGDDAEILLVNGTVFTTSPERLLPANPDILDGVDDLIQMSYLNEPSVLYNLQLRYSRDVIYTKAGPVLIAVNPLKEVALYGKTSIMQYKQKIKDDPHVYAVADLAFNEMLRDGINQSLIISGESGAGKTETAKIAMQYLAALGGASGMESEVLQTNAILEALGNAKTSRNHNSSRFGKLIEIHFSNAGKMCGAKIQTCKPFVSRVVQRAQGERSYHIFYQLCSGAPPVLKKKLFLKSASEYNYLRHSDCLRINGIDDAKRFSVLVDALDTIQISKEDQMKLFSMLAAVLWLGNISFSVIDNENHVEVVSDEGLATAAKLLGCTTNQLVTAMSTRKIRAGNDDIVKKLTLTQAIDARDTLAKSIYAHLFDWIVEQINRSLGTGRQSTWRSISILDIYGFECFDKNGFEQFCINYANERLQQHFNRHLFKLQQEEYLEDGIDWATVEFVDNTDCLSLFEKKPLGLLSLLDEESTFPKATDLSFANKLKQQLSGNSSFKGDQEGAFKIRHYAGEVTYDTTGFLEKNRDPLHSESIQLLSSCKCELPKHFASAMVINSQNKSSLSWHSVVDTQKQSVVTKFKVQLFKLMQQLENTSPHFIRCIQPNSKQRPGLFENDLVLHQLKCCGVLEVVRISRMGYPTRMTHQQFAERYGFLLLRSVASQNPLSISIAVLQQLNIPPEMYQVGYTKMFFRTGPVAVLENAKKQMLNGTLRIQRHYRGLQSRQGYRELKKGAMTLQSFIRGERARIHFDCLVKRWKAAVLIQKYSRRRLAATMFNDQLKHIVLLQSVMRGCLARKKYQCLQDEKETKTTPKVQGDARKSISESRETNGHYPHQPVITELQGRISKAEAALRDKEEENVMLKQQLEQYEKKWSEYEARMKSMEEAWKRQLSSLQLSLVAAKKSLASDEVATRVARTDAAPTPAQYDSEDTVSTGTHTPELVEWRHQSYNPESKVSAGNSDRRVNAVNHLAKEFEDRRQVFEDDAGFLVAVKAGQVGSNMNPDEELRKLKDRFATWKKDYKSRLKETKVNLQKVGTHDERSRKRWWGKKSSK >Et_2B_019901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1518771:1531972:-1 gene:Et_2B_019901 transcript:Et_2B_019901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSRAYLDRKVALAKRCSREATLAGTKAAAIATVASAVPTLASVRMLPWAKANLNPTGQALIICTVAGMAYFVAADKKILSLARRHSFEEAPDHLKNTSYQGMSTVSRASLDQKLALAKRCSREATLAGANAAAVATVASAVPTLASVRMLPWAKANINPTGQALIISTEATLAGAKAAAVATVASAIPTIASVRMLPWARANINPTGQALIISTEATLAGAKAAAVATIASAVPTLASVRMLPWAKANINPTGQALIISTVAGMAYFIAADKKILSLARKHSFEGATEHLKNTSFQGAGRPHPAFFRP >Et_8A_057668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5897602:5898030:-1 gene:Et_8A_057668 transcript:Et_8A_057668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLFVNNILWPGPPRAQPVGDLHAAQSALFLGFINGIVSPAYIRRCLCGDGGGLYYQLANFVVAMLGVALLLVDRAFSAAAFSSPLLPPVVRCMVWLAKVLIGGTLQFGLNVLHLCLKMLCDRLILVFT >Et_7A_051392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17785063:17790473:-1 gene:Et_7A_051392 transcript:Et_7A_051392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAALQVAGGVGCCCQAPLRFHGRPPRRQAAVHAVPDPARAVASAAVTEEEEGKVRLGGSGVAVTKLGIGAWSWGDTTYWNDSEWDERRLKEAQAAFDASIDNGMTFFDTAEVYGTALMGAVNSESLLGEERQRRDQVEVAVATKFAALPWRFGRGSVLSALKKSLDRLGLSSVELYQLHWPGIWGNEGYLDGLADAYEQGLVKAVGVSNYNEKRLRDAHARLKKRGVPLAANQVNYSLIYRTPELNGVKAACDELGITLIAYSPIAQGVLTGKYTPENPPTGPRANTYTPEFLTKLQPLMNRIKEIGGSYGTSPTQVALNWLTCQGNVVPIPGAKNASQAKEFAGALGWSLTGDEVEELRTLAREIKGIKMPIEESEHLQVQASHNYQVKKATL >Et_6B_050027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:485534:489188:1 gene:Et_6B_050027 transcript:Et_6B_050027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSPDRISVGSAPKKSSSSSRGRQRNFSSSTCKDFLREFVDNELLTSSLEDWFSGHSEDCGFRKPAFDVPFDLTELQNFDYALEGVTFQQLVRMPNALHASTSEVFEATAYLALEDFLHAGIKGLWETFWGPDETMPFSVACIHSTSSKFYPAEKAISSGKLDGVCATAVLRKNSKHTQGRWDHIVVLALLRPDIGMVSAQGDQEPSPAVLGEALFFALRVLLSRSLSRSSTVLRNSDCVYLLLVDSQFGGVVKVQGDLNKLDFNLNNVYNCAADWIKNHAKITVSSVDRVWNKLGNANWGDVGTLQVLLAIFHSMIQFCGEPKYSLDELATEHSSRLQSRRSERHLDRQANGNGLFRFQQRSHSPEIVEVQEEATVDVKPQETLKLEIGSVVLMEDAYCQKGFQINDILADSDPPIYNCTPVEEPTKTYLLYVGSSPSHLEPAWEDMNSWYQVQRQTKVLNLMKQRGISSRYIPQMVASGRVAHPGPCNKPSSSGSCGHPWCSTPILVTSPVGETISNLIRNGLFGVEEALRCCHDCLSALSAAASAGIRHGDIRPENLIRVSNGSKHPYFILNGWGHAILEDRDRPVMNLFFSSTFALQEGKLCAASDAESLIYLLYFSCGGVCPELDSVESALEWRETSWSRRVIQQKLGDVSAVLKAFADYVDSLCGTPYPMDYEIWLRRLRRTINEDHGKEIDTSS >Et_10A_001944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13425769:13429177:-1 gene:Et_10A_001944 transcript:Et_10A_001944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTPLLVLLHGFLVLSMALCAHGKVGENTNLTRESFPPGFVFGTASSAYQVEGNALKYGRGPCIWDNFLMHPGATPDNATANVTVDEYHRYMDDVDNMVRVGFDAYRFSISWSRIFPSGVGKINKDGVDYYHRLIDYMLANHIKPYVVLHHFDLPQVLQDQYNGWLSPRVVGDFEKFADFCFKTYGDRVKNWFTINEPRMMAVHGYSDGFFAPGAQVAKSAAIPQLSHISRAITFFCHTLLLSRHIVRSTRLADQNGKIGILLDFIWYEALTDSIEDGYAAHRARMFTLGWFLHPITYGHYPETMEKIVQGRLPNFTFEQAQMVKGSADYIGINHYTTYYASNYINETEKNYRNDWNVKLSYERNGIDQPGNETLPGALYDTFRIDYFEKYLHELKCALNDGANVIGYFAWSLLDTFEWRLGFTSKFGIVYVDRQTFTRYPKDSARWFRKTAGNFPTANVSVETLPSYLNFSQWGSKGTRRSKQREER >Et_7B_053995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14016385:14021724:1 gene:Et_7B_053995 transcript:Et_7B_053995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVAAPAPPATGGTASVRVSNIPASAIASELLAFFDSAVAAAGATFACKIEAAHRGWLSRGYGTVQFDSSAAATLAADLASSGRLPPFLGSRLTVSPAYVDLLPRAPDLSLRIAAASLVLGNRVAERELEVADAWDGVRAEIIPAKRRVDLYLEHDSHKHKLEVLFDDIRESFGCSVDNTSAILLQLTYAPRIYTAVSGSAVNSRFTDERFSACKEEAKSAWVRALDFTPKSSFGKCSNLLLKLGEGARVSDILDSLPFSGELGELVISSLDEFGPSSKIVPLVDCPTGFSVPYETLFRLNSLVHMEKLVARHVNDDLFKILEELTVDTSRRIFEKMSKLKSTCYEPLQFIKQEVHTMKISKNALLSNKGKGKLMKCYRVHITPSKIYCLGPEEEVSNYVVKYHSEYAADFVRVTFVDEDWSKLPANALSARIERGFFSTPLKTGLYHRILSILKEGFCIGPKKYEFLAFSASQLRGNSVWMFASNTSLTAECIRGWMGQFQDIRSVSKCAARMGQLFSSSRPTFEISPYDVEVIPDIEVTTEGTKYIFSDGIGRISLKFARRIATVLGLDPSNPPSAFQIRYGGYKGVIAVDPTTFFHLSLRPSMKKFESKSSMLNITSWSKSQPCYLNRELISLLSTLGIRDEVLESMQQDDMSEADEMLTNKEAALSALGKLGGAETKTAAKMLLQGYEPSSEPYLLMVLKAHRANRLTDIRSKCKIHVPKGRVLIGCLDETGKLEYGQVYIRVTKNQKEQKDNEQPFFCYDDGKTAVVIGKVAVSKNPCLHPGDIRVLEAVYDAGLDARGLVDCVIFPQRGERPHPNECSGGDLDGDLFFVTWDDQLVPEKVDAPMDYAAARPRIMDHVVTLEEIQKHFVDYMINDTLGAISTAHLIHADRNPLKARSPECLQLAALHSMAVDFAKTGAPAQMPQSLRPQEYPDFMERWEKPMYISNGPMGKLYRAALRHAENSEALLPKGPPSCAYDPDLEVPGFHEFLEAAEERYELYAEKLGTLMNYYSAEAEDEILTGNIRNKLVYLKRDNKRYFEMKDRIVAAVDALHDEVRGWLRECQEDDAAKMASAWYHVTYHPDRRGGKRLWSFPWIACDNLLAIKAARSSSRRQVDGAVPMD >Et_4A_033716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26169122:26169469:1 gene:Et_4A_033716 transcript:Et_4A_033716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINFPNVAVILIAGLLILGAVVEPTAAVCNVACVRKGHITCRNYPGQQIPGCDCMCAPPDGRHCFVHMSNGMARRCWRGR >Et_3B_030141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30920240:30922156:1 gene:Et_3B_030141 transcript:Et_3B_030141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRGSPDAAEAELRRGFETLAVARPDPSAAFYEVRLNRPTQRNALSPAAFAEIPRAMALLDRVPSARAVVLSAAGPHFCSGIELGGPGNPLAASSKGVDPVAVAEELRRTILGMQDALTAIERCWKPVIAAVHGACIGGGVDLVAACDIRYCSKDATFVVKEVDMALVADLGTLQRLPRIIGYGNAAELALTGRRITAMEAKEMGLVSRVFDSKQELDAGVAKIAKEIAAKSAWAVMGTKAVLLRSRDVTVEQGLEHVATWNAGMLRSNDLKEAIKAFLEKRKPAFSKL >Et_6A_047256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:285141:291364:-1 gene:Et_6A_047256 transcript:Et_6A_047256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGDRTCPLCAEEMDITDQQLKPCKCGYDICVWCWHHIIDMAEKEETEGRCPACRTPYDKDRIVKMAATCEREYFGQYGKVLKVSVSRPTGPPSQQASSNNNISVYITYAKEEEAIRCIQAVHNFVLEGKVLRACFGTTKYCHAWLRNLTCGNPDCLYLHDVGSQEDSFTKDEIISAYTRTRVPQMASSVSQRRAGTVLPPPADDFSYSAVVSARHTVKNGTINTNNQPRLSPPNSTGVTSSASLSKSKSEPQSSSPMISSTRIPSSWNDDMSALPKISEGRQVSEKDGSSKTSEPYKPGIAKETQALSSLNSSLDIDFSTIPSAWNDDDTVVSDEMSKGNEENQVTNGNGKLTHLGPKSPKKDTIVSSTSKSPSDFVSSLEISVPDVKIGDDDHPVASIAPRSPASKNINCHLGADKKTLEDVGPKETDIEKLSVWISSVTLDVNDEAQSMAGNQQPDAMPCTSVAEPLPSENNKSVRSCQYGSDKHLDWSSDIQSCSVAPLNSIGNSSLNTDKHHMTLLDGTTVPSYSSFIHLSDASDTSLWDDTESDHTLTIRNTTPSLLQTKQSSTNNTYTFVNGVQDGLGTVHTPSNVSGHPGMDSHQHGAISVRAGSIGNFDKTISVNKDENRIISDILLSEFDPWDASYANANNYARMLRESENNDVPFTMPSWKSGNSSKESRFAFARQDNQGNMSDASLRNCGNEKNFSLLSQNSRGNVYQNGLSFQSLENTLAMSDMTTSGTSRSKIPAPPGFSAPARVPPPGFSSGFPSQDGLNPPPGFSSGIPSRDGTNPTPRFPSGISSQDGSNPSPRFPSAFSSGFPSQDRPTSPSRFLSAFSTGFPSQDGPNSPSRFHPAFSSGFPVQDGSNPPSRLPSAFSSGFSSQGGSSQVYGSAYSGLFTAAIYFAAYLTEQVQFLIFLRCLAETPLRDNLLGGLTNHYEPQLARHTSDIEFVDPAILSVGKGRMPGLGDSGLEMKNTSAFPAQLQTSNNDQRIQLLMQQNVQSHQSTHIHDQFNIMSDNYLASRILAQNHGSLSPYAQMPPPQPRSAHLTNGHWDGWSELRQGSNAPISDMSRIYPSEANNLHMMGSNDLYHRAFGM >Et_5A_041018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16900740:16902380:1 gene:Et_5A_041018 transcript:Et_5A_041018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TSAHTHTARVSSGPALEPLPPLPASIVRFSSTLIVTLRFCQITDDILKKLALEFVGISKGSVHSLIAERTVLEWLLLDHSYGFNNIEIKTVSLVSIGVDATYQPHNSGAKNLWHHIHRRLIETLDIRIKKLVILDIKAQITSRHSL >Et_9B_064133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11664084:11666807:-1 gene:Et_9B_064133 transcript:Et_9B_064133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRGDVLEFDTDKQSLAVIQMPEEIHVTGDSRAHVLRTEGGGLGLAIVSGDVIKLWKRTAISDYVVRWVLQKTIDLGKLISLRPSMEAHHPTAIVGFDEDSNVIFVWTAIGVFMIQLESMEATKISSESCVRGYFPFTSVYTSGNSLPSHFTFHKLFVDESWGTLKMKYAAWIPGVEMIGLRREQHMRLYPREVSNNGGDNDKRLMAEPVLGSLGCGLGTLDK >Et_9B_065143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21548598:21551979:-1 gene:Et_9B_065143 transcript:Et_9B_065143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLASGNFAAALGPSGSGRRRSGSFGMKRMSRVMTVPGTLSELDDEDDEAAATSSVASDVPSSAVGERIIVVANQLPVVARRRADGRGWVFSWDEDSLLLRLRDGVPDEMEVLFVGTLRADVPTSEQDEVSQTLIEGFRCAPVFLASELYERFYHHFCKRYLWPLFHYMLPFPSCLPGTESSVPSVEGGRFERAAWEAYVLANKHFYEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRTLPVREEVLRTLLNCDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYFGRTVGIKIMPMGIHMGQLQSVLRLPERERMVAELRQQFEGKVVLLGVDDMDIFKGIDLKLQAFENMLRTHPKWQGRAVLVQIANPPRGKGKEVEVIQAEIRESCQRINREFSQPGYSPVVFIDRNVTSVERLAYYTVAECVVVTAVRDGMNLTPYEYIVCRQGIPGSESELEVSGPKKSVLVVSEFIGCSPSLSGAIRINPWNVEATAEALNEAISMPEQEKQLGHGKHYRYVSTHDVAYWSRSFVQDLERACKDHFRKPCWGIGLGFGFRVVALDQHFTKLNLDTVVMSYERAKSRVIFLDYDGTLIPQTLINKEPSAEIVRIINTLCSDRNNTVFIVSGRSRGSLESLFASCPRLGIAAEHGYFLRWSRDEEWQTNAHTTDFEWMQMAEPVMNLYTEATDGSYIETKETALVWHHQDADPGFGSAQAKDMLDHLESVLTNEPVSVKSGQFIVEVKPQGVSKGLVAENILTSMKEKGRQADFVLCIGDDRSDEDMFENIAAVMKRSIVAPKSPLFACTVGQKPSKARFYLDDTYEVVTMLSLLADASEPEHVEELDDLVTSVSSIDISDESLQLGNKRWAREYNQHLQIPCFYMRDYN >Et_3B_027448.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18133193:18135131:-1 gene:Et_3B_027448 transcript:Et_3B_027448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DVSSWYPAAATSSLSSATAAAAPADGVDRISALPEDILRDVVSRLPVRDGARTAALATRWRGLWRSTPLVLRDSDLLLASTIKDGAARDAAFAAVGRILADHPGPFRKVQLTCCEFGSRERELVEWVRLLAAKDVQDLVLLDVDDSAEGLLQSLPADILSCASLQRLFLSYWKFPFTSSDVIFPHLKKLGMQNTNMMDDDLDHMLAYCPVLEVLALAISRFPQRIHLRSQSLQCMLLWMYMADELAVVDASCLQRLILWKTCAETESGDRRPMLVKIHRAPNLRMLGYLEPRVHQLKIGNIFINVPVLPKFIFINYLCWCLADPWHFLFHNAFLQDKTKESPSSIVPSVKILAVKVNFCAPNEVNMLPSFLRCFPKVETLHIEVTSYTFI >Et_10B_003121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16924335:16925837:1 gene:Et_10B_003121 transcript:Et_10B_003121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRVCVTGAGGFIASWLVKLLLSRGYAVHATVRDPGLLAGASPENLRLFKADVLDDATLTAAFAGREGVFHTASPVPAEKHVDPEKEMMAPAVGGTKNVLEACSAANVQKLVVVSSAATVCLNPTWPEDKIKDETCWSDKELCKQLGLWYCVAKIQAEEMALEYGEQNGLHVVTVLPGVVVGPLLQNVVLNTTMKVLRYIITGGPDTMNNKFWPLVHVHDVANALLLAYHKAEALVHVLCVRCRTPASCLLQSSVRSYWNCECNNGSCCKFGLQNDKLRSLGWKPRSLEETLVDGLEYLEKAGLLKEPCRLPYFYRVTAGE >Et_6B_048858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14672693:14676774:1 gene:Et_6B_048858 transcript:Et_6B_048858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFFSESTCKETKLHSAPHSWLPLERGKLSKFSGHAGGSSMSLQSAWEKASTIHEKLIFGAWLKYEKKGEEAISDLLSSCGKCSQEFRLLDFVSQVSTESHDMSYDDDEDESDEFRGSAVVHFRIIDDMIACDRRKLAALSTPLYAMLNGGFKESYLEVIDMSQNGISPIGMRAISKFSLSGRLPYLSADVILEMLDFAKKFCCKGLKDACERKLASFVCTRQDAIEFMECALELGCSILAASCLQLLLNELPECLNDERVVRIFSSANKDQRLTMVGNASFSLYCLLSEVSMSTNQTSDVTVSFLEKLVESASDSRQKQLALHQLACSRLQSKDYAEAERLFNAAFSAGHLYSVVGLARLASLRGNKHFALKLLDSVMSSRWPLGWMYQERALYLDGDSKLENLNKATELDPTLTYPYMFRAATLMKKQSVEAALMEINRILGFKLVLECLELRFCCYLALEDYRAALCDVQAILTLDPDYRMIGGRVAARQLRMLVLENVEQWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHAASDHERLVYEGWILYDTGHCEEGLQKAEASIAIQRSFEAFFLKAYALADSSLEPSTSATVVSLLEDALRCPSDRLRKGQALNNLGSVYVDCGKLELAAECYINALKIGHTRAHQGLARVHFLRNNRTGAYEEMTKLIEKARNNASAYEKRSEYCDRELTKADLQMVTKLDPLRVYPYRYRAAVLMDNHKEKEAIAELTKAIAFKADLNLLHLRAAFHEHIGDISSALRDCRAALSVDPNHQEMLELHHRVNSQEP >Et_4A_033443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22932816:22935483:1 gene:Et_4A_033443 transcript:Et_4A_033443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGSVPAAALASALLLLSSAVSLCAGQALVPGMMIFGDSVVDAGNNNRLATLVRADFPPYGRDFPATHAPTGRFCNGKLATDYTVENLGLSSYPPAYLSEEAQSNNKSLLHGANFASGAAGYLDATAALYGAISLSRQLDYFREYQSRVAASAGAPRAAALTSGSIYVVSAGTSDYVQNYYVNPVLAATYTPDQFSDALMQPFTTFVENLYGLGARRIGVTSLPPMGCLPASVTLFGGGGSNGGCVERLNNDSLIFNHKLGAAADAVKQRHPDLKLVVFDIYQPLLDLVSNPTSAGFFESRRACCGTGTIETSVLCHQGAPGTCANATGYVFWDGFHPTDAANKVLADSLLIQGLQLI >Et_8B_058871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10261826:10264478:-1 gene:Et_8B_058871 transcript:Et_8B_058871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAACVPMLAPPSHHLHRSTEAELKKASGTRGVQDEATVEAQSVERAGVDDAISSRPRAVVQTLTCERKQITEGFALWRSIGRPELPDLDPFLSFDEFELSPPAGFPDHPHRGFESVTYMLEGGFSYHDFSGHKGTINAGDVQWMTAGRGVVHAEMPGGEGVHRGISIWVNLSVKDKMVEPRYQEMTSDVIPVADNADGVTVKVIAGDCLGARSPLRPRTPAMCLDVTLQPGAALRQPVPRGWSACAYVLAGEAAFAGASATAGARTLVVFGGDGDVVEVRGAGAGEGGRVLLVAARPHGEAVARDGPFVMNTSEEVEQAREDYRRRRNGFEMADGWTSDHAAARS >Et_4A_034996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8696023:8697823:1 gene:Et_4A_034996 transcript:Et_4A_034996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNEEEAEWGRLEFFGLFGRGKKKKSVLAAVDYHGVSLTYDVDDRAIHDIASPNEPKFAQPVSVAVGDALYVMNRGLVPGLGRCFEALTFGPPKNVRGKQDWDWHCLPPPPFVHDPGFKNTRVHAYTVVEGSSIWISLPAMGTYSFDTTSGSWSKVGDWELPFNGRAEFFPEYGVWLGYSGQDRVLWYSSDIGASVKQHEPTLGMVWDDPNPQRDANLINSHLVHLGQVLHRQVFERVENELTEVGYIPQAETFVVLTGVVLKPSGVGGGLEMTPHKSLMYRFEEGIGDAWAF >Et_3B_030573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4989869:5003248:1 gene:Et_3B_030573 transcript:Et_3B_030573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARAALAVLLLLAGVAAGFRPPPVSEDTLEKVAGSLEMYVDLLPQMPKVLGYSIKYGRPTPVHLTIGMYQKKWKFHRDLPATTVFVFGTSPETATFPGPTIEALQGVPLWVTWENHLPRQHILPWDPTVPTAIPKYGGVPTVVHLHGGVHPPQSDGHANAWFTAGFRETGATWTSPTYAYPNAQSPGGVLWYHDHALGLTRANILAGLLGAYVVRNPATEQPLGLPRGDAFDRVLVLADRSFLACGSLYMNCTGDVPRVHPEWQPEYFGEAVTVNGKAWPFLPVARRRYRFRVINASNARYFNLSLSNGLPFHVVGSDASYLPRPVAVTHLLVAVAETFDVVVDFAASAAPEAELLNTAPYPYPDGDAPNRLNGKVMKFIVESSKTWDDSRVPARLPEYAVKVVAEEEPAAQKRYIVMYEYDDAATGNPTHLYVNGKRLEDPATETPRPGTTEVWEVINLTPDNHPLHLHLAAFQAVRAVELVDQDEFARCMAQLNDAARCDVARHAVGEAVAVPEHERTWKNVVKIAPGYMTTVVVKFMMVDTGRAYPFDATAEPGYVYHCHQAAHYLYLFLALLLPLLLLKLRKKHLAGDGGVRLPPGPWQLPVIGSLHHLVGKPLVHRATADLARRLGSPPLMYLKLGEIPAVVATSPDAAREIMKTHDVAFATRPWSTTVRINMADGQGLVFAPYDELWRQLRKVAIVELLSARRVRSFRRVREEEVSRLVAAVTATPPGTPVNVSERIAVLVADTSFRTMIGDRFDRREEFLETLEEGLKLGSGFNLCDLFPSSRLVNLISDTARRAHANHRNNFELMEYVIKQHQGRRASGKLVQEEDLVGVLLRIQKEGGFNVPLTMGIIKAVILDLFGAGSETSATTLQWAMSELMRNPDVMKKAQAEVRAILNGKPTVTEDDFAELKYLKLVIKETLRLHPPAPLLVPRESREACKVLGYDVPKGTMVLVNAWAIGRDPKYWEDAEEFKPERFEGNAIDFKGTDFEYIPFGAGRRICPGMLFAQSSTEIVLAALLYHFDWNLTDGLKPGELDMAEEMGLTVRKKNDLYLHPIVRVPPRAAYYLYLSLALFLPLLLLNKLKKKLRGDGGVRLPPGPWQLPVIGSLHHLVGKPLVHRATADLARRLGSPPLIYLKLGEIPAVIAMSPDAAREIMRTHDVAFATRPWSTTIRIAMADGQGLAFAPYGELWRQLRKVAVVELLSARRVRSFRRVREEEASRLVAAVAEATPTGTPVNVSERLAAHVTDTSFRTMIGDRFDRREEFLNLLDEGVRLSAGFNLCDLFPSSWLVNVVSGTPRQVQASHRKNFELMDYVIKQHEERRAAAVASGSVAQEEDLIDVLLRIQKEGGLNVPLTMGVIKAVILDLFGAGSETSATTLQWAMSELMRNPDVMKRAQAEVRAVVSDKPKVTEDDLPQLSYLKLVIKETLRLHPAAPLLVPRESRETCNVLGYDVPKGTVVLVNAWAIGRDPNYWEDAEEFKPERFERSKIDFKGTNFEYIPFGAGRRICPGMLFAESNIELALAALLYHFDWKLTDALKPSELDMAEEMGITVRRKNDLYLHPVVRVPPRRSLGACLLAVAAMVLQVVVLGRGDESSGGGGGLLDARKLEKFVDELPDMPRLRGYGVAEGGRLVAGNLTIGMYDTTWKFHRDLPPTRVFAYGASKESATVPGPTIEAMQGVPTYVTWTNHLPARHFLPWDHTIAAATPGHGVPTVVHLHGGVQHSSSDGHSLAWFTAGFAATGPRFPSSPPGAPYAYPNRQPPGNLWYHDHAMGLTRVNILAGLMGAYRVSSPAQEAPLNLPSGEAFDRNLVLFDRDFLAGDGALFMNRTGNNPDVHPQWQPEYFGSVVVVNGKAWPFLRVRRRRYRFRILNASNARFFRLSLSGGLRFVHVGSDSVYLARPVPTDAFLLAPSEIADVIVDFAAATADAAVLSDDAPAPYPGDPGEKAETVAVMKFLIEKSPEHDPSSVPATLMPHYPRPDAREASVTRRITMYEYTKNGTDEPTHLYLNARSYMDPVTETPREGATEAWDVINLTDDNHPLHVHLAVFAVLEQRSLRRLDEFKGCMVRRNDADACGLERHLAGGRRHVAPRQERGWKNVFKVRPGAVTRLLVRFKPLHAASAPEAERRFPFDVTTGPGYVYHCHILDHEDNEMMRPMKFGWRSQNQTHP >Et_3B_031443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:330913:337408:-1 gene:Et_3B_031443 transcript:Et_3B_031443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRKRKGMESQEDSGPGDNRRRWKSVVPGDIMKRSKRGNERDSAAVPGDIRKRSKWGNERDFAAVPGDITKRSKRGNERDSAAVESRRPPKRTRPSSSRAPAPAADDSEDTVSMLTPEQHHQICDFGFQDFMKLTTDDLGSRELLVWLMDRTDPVDMCINLEGGRKLYFKPRDVHLVLGLPLGGSLAPLRSEDEINAQMEVLRAHFNIPDKSVKVRGVYVKKKGDISVECLQAEILKKKLDELTWRCFFMILSARFLFPTGKYNVGQRDIEFAIEPTMLGGVDVSNDVWLFLQNALKEWHNRDRRTQNPAIQGFGLFLIIFYLDNLHHKHDANNHQSSELKARGNTIYGVGLTDSKDEDSPAAHEGLCAFHLPSIRELVAPNLRALRPSLRIQALEALDKFDADALVITNKIAGGQRKIAGEQRKLRNKTIEVVRSIAILFDRNAQTQETDAEQPRPLINILRITETPHGAEEHENEGQDVKSPSPAAEEQENDDQLVKSPSLAAEEHQNDDQTTKAAAEEHENEDETPKGNYPADVTEKPHIENEKDADSTDADSSKVTEAMDVEAPEIGTGFDNFPVGNEPDIHRDMSGTQTQIASGQIASDTGDDDQVWDSEAPGLELTYLSPSICFNWDSEGPGAGSTFPNFIPNIDEPLENFGLMNEDACEPNAVATSIAGFSTAPGTETYEETLFASGDFIRQSFDRGQCTDYEFINAFVQCVNAADKRLRPALHEERLIINPAALVSANSEELPQLGPNREFVPENLVCVLKETLPDKVNWKKLKVTLMLVFHHEHYSLYAVNYGQRRIDVIDSINYEDRGSKYEQHHDPIGQKLMLRMQEALDKVRFPCPTMVKPNDCSFLTMRFIEYYTADDSCLENVVNPLRADYVHYLLFHGENGAVLPDEFKEYIVPGVPY >Et_9B_064197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12523495:12525514:-1 gene:Et_9B_064197 transcript:Et_9B_064197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGHENQLQQRQHELAAVQDHHHRHHFVLAHGLCHGAWCWYKAAAALRRAGHAVTALDMAGCGAHPARAVEVRGFEEYSRPLLDAVAALPPGERAVLVAHSHGGCGVALAAERFPDKVAAAVFVTASLPAVGRSMAAAATDEYLRFVGEEPDFFLDTKELDQENPEIPGKPIVFGPNFMAQRLYQLSSPEDLTLGMSLVRPANRFSEDALMRNAQLLTEAGYGSVRRVFVVVEDDLGIPVEFQRRMVVQSPGVEVEEIAGADHMVMLSRPQELVELLVRIADKCRE >Et_5B_044137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20519816:20523230:-1 gene:Et_5B_044137 transcript:Et_5B_044137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVRARVDTPTEMRMAAADSEMPVFIQIRVLLRDISVWDGDPRWLSHTPTVALPSNIASVTSRRTTHESLSYAVLSSQSQETEIHRLLVLPRRLMVEAAATAGDDDQFVYSSFAGANLTLSGAAVVTPSGLLELTNGTLRQKAHAVHPTPFRFRNNGMSRSFSASFVLFSASKVLEILLPNVTAVLLVVLGIVAVILVRRRRRYGMPSYGKIGRLSSGHTGVLPASKLEVAVKKVSHESRQEMKEFVAEVVSIGHIRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKYLHYEGEKTILDWDQRFQIIKGVASGLLYLHEKWDKVVIHRDVKASNVLLDKEMNGRLGGFCLSRLYDHGTDPQTTHIVGIMGYLAPELVRTGKASPFTYVFGFGTFLLEVTCGKRPMKEDTQGDQLMLVDWVLEHWHNGTLLETVETRLQGTYNTEEAYLVLKLGLLCSHPSTSTRPSMQQVMDCLDGDKLVPELASTHLSFDILALLKNKGFDPYIMSYPPSSNMSFGTISDISGGR >Et_10B_002491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11201403:11204324:1 gene:Et_10B_002491 transcript:Et_10B_002491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGRQDPWEYSLRKYLLLLATLVATVTYAAGFNPPGGVWQDTDKNAGRLAGDPIIRDTSYFRYLSFFYCNATAFASSLLLDKKLQPRDRLRCFELYGFILVALAGLVGAYSSGSCREIDTTIYVNALIGAVLSCIFIQVVTVKYFGRAITTSWIWILLGTKWKSFTSNEQQRTQALEKARSLVLLLATLAAAITYQAGLDPPGGLWPTDGDGYIAGDPILLTRSPKRYKAFYYCNSVAFVASLVAIILVRKNTLHKHNALEAAMILDLFGLIGAYAAGSCRNVNTSIYAMALSGAVLVYVVIHVVFFTLDHHDVETKEELEIVEKRRKRLLLFAILAATITYQAGLTPPSGFRLQDDVLGHRAGDPVLLYNSARRYKAFFYCNSVSFMLSIALIILLVNKNLYRPAIRSNALSVCTGVGMCGLVGAYAAGSTQHFKTSIYIFVVAGVVLFVVAVLVLVFLFIRHNKDEENAETKTEKGRPGSNTQEGGAETNIEMEKEKEKKLHAKRKYLMLLGILVASVTYQSGLDPPGGVWQSDGVGHHAGNPIMHDNRHPRYLAFFYSNSTSFVASIVAIILLLPESLHTKKWWLTVMNMTIVLDLLGLLVAYAAGSSRSWKTSGYVSALVIAVLGYFVIHVTLSCFSRLCKRAPVAVSATQPNGEGNV >Et_5A_042446.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:11128481:11128819:-1 gene:Et_5A_042446 transcript:Et_5A_042446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPPRRPSAEMRSIRRELQRRRPKPLAPVKPAAAKKPSAPPRGGESDKVGSHAKMFRRRPPTRRRNRVALSLLRPPLLCLRQRRRLNKPAPCRCRSATRAQPQRLPMPAST >Et_4B_038862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5469231:5470881:1 gene:Et_4B_038862 transcript:Et_4B_038862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITLLSLAPTATFLHIPASTSSSFTAAPGPLTARRAAPRPLSLRARPPRRITIVCSGAAAAAEASNAAPAEKFRLDNLGPQKGSRRRPKRKGRGIAAGQGASCGFGMRGQKSRSGPGVRRGFEGGQMPLYRRIPKLRGIAGGMHIGLPKYVPFNLKDIVRGGFKDGDELSLESLKAKGLINPSGRERKLPLKILGDGDVSVKLNIKAGAFSASAKEKLEAAGCTLTLVPKRKKWLPEAYLKNQARAEEYFAKKKGGAGESDDTSA >Et_9A_063238.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:1765929:1768481:1 gene:Et_9A_063238 transcript:Et_9A_063238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALTPILLVAALLLLLLSPSAVASTLAVSGAYPPTVCGVHKDNGTVLCAPLSGNASSSSVAPFLAFAEVSAGRDFVCGLKTGGAALFCWPPAAPASQLRRVYNGPDAFTDLAVGAYHVAAYDQTARGIQWWRDPLRQFPPAPVRGAFRSLVSGDGFSCAVEEATNSSSNSTTGAVRCWGPSGSAVQAAFANATGISYLAAGGARLCAVETPSGAVRCSGSAASAAVPRDLFPYGLAVGAAHACGLRKPDHTAVCWTLGGPTTTVYYPAVGTPFELLAADGNYTCGVASADFGVLCWSSAAGADLVAAPAPIQGILPGACVADEGSCGDCGIVPQSAQLCVASGGVICNRLCDDAASSPPPPAVSPPPPPSPPPASKGGVSKRWIAFAVVGAVGAFAGLCSIVYCLVFGFCSNKRVHNSVQPNLTTTTAAASAADNNNNNNNNNNNSPYGSPNGSRSRGGLFRRQLSRAMTRQRSGPSSFKDPAEEFSFAALEAATRGFAAENKIGEGSFGTVYRGKLADGREVAIKRGGGELSSAAGLPRARRFQEKESAFRSELAFLSRLHHKHLVGLVGYCEENEERLLVYEYMKNGALYDHLHPKPSTLASSPVVSSWKLRIKILLDASRGIEYLHSYAVPPIIHRDIKSSNILLDAAWTARVSDFGLSLMGPPESPDPPETTTTAHSQSQPHHLVVKAAGTVGYMDPEYYGLHHLTVKSDVYGFGVVMLESLTGKRAIFRDEAEGGSPVSVVDHAVPSIVAGELARVLDPRAPEPAPHEAEAVELVAYTAVHCVRLEGKDRPAMADIVANLETAFALCEGSAGGGDRGGGGGGFGNSSSSASLSVTSMDRSGALV >Et_8A_056632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1439703:1442349:-1 gene:Et_8A_056632 transcript:Et_8A_056632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQSSFRLGALEKLKSFRGMEKQKSFRMMSLERRSRDSPGKRGDTPLHLAARSGSVPHAQKILAELDRALVGEMAARQNQDGETPLYVAAEKGHTEVVRVILMVSDVQTAGIKANNSFDAFHIAAKQGHLEVLKEMLQAFPALAMTTNSVNATALDTAATQGHVDIVNLLLETDASLARIAKNNGKTVLHSAARMGHVEVVRSLLNKDPGIGLRTDKKGQTALHMASKGQNAEIVVELLKPDASVIHIEDNKGNRPLHVATRKGNIIIVQTLLSAEGIDANAVNKSGETAFAIAEKMNNEELVNILKEAGGETAKEKVHPPNSAKHLKQTVSDIRHDVQSQIKQTRQTKMQVHKIKKRLEKLHIGGLNNAINSNTVVAVLIATVAFAAIFTVPGNFVENLNEAPPGMSLGQAYVASNPAFTVFLVFDALALFISLAVVVVQTSLIVVEQKAKKRMVFVMNKLMWLACLFISVAFIALTYVVVGHDDWWLAWCTMAIGTVIMLTTLGSMCYCIIAHRLEEKNSRKIRKAGSQPRSWSRSVDSDEELLNSEYKKMYAL >Et_3A_024470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21083858:21088024:1 gene:Et_3A_024470 transcript:Et_3A_024470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMLSTDCLGTQELHTFCKSTEILEHSHPPESALDKTAVGSSLTNNQNARCTATLSGENAEIMEISLLQDESDAAATSFQPLPFLSCGPRTMAPISVPSSSDLESILSPDSIYSDLQFKEINYNSAAMDESTEFLHLILSGNDEGYNTATEFQVWDVLDFYVSENFSALQFDSLMGFTNEVSTSYHDNMNLVDMVERPIARLSLDDTAETSNPSDEVSVDHTATDPDETSLYLQTKPTDSETGSSSASGDAVETEYLDQKLLSRGLPDLMDVDSPNCLRKSPVRTKNVTLVLDLDETLVHSTLDHCDNADFTLEVFFNMKNNTVYVRERPHLKMFLEKAAQMFELVIFTASQKIYAEQLIDRLDPDGKLISRRLYRDSCKFSDGCYTKDLTILGIDLAKVAIVDNTPQVFQLQLDNGIPIKSWFDDPSDQELVELLPFLESLANAEDVRPIISKTFHNEPQEI >Et_3A_026231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5854413:5856892:-1 gene:Et_3A_026231 transcript:Et_3A_026231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEATVSQPESKKVYLAVGDDPKQRVFSLQWAFHFIPPQIPLVLLHIFRPATMIPHGKKFTLESFYNHLLSVGLGATFATSMLREDFVRDYRNNVRNMIENSLVECLQICKIQAEILIIDRPHVAPALLEFIKDHNITTLVMCTKNRHDWKSKTAVVLEKQADPSCNILYLHNGILVSSRYRHNGILVSSRHQRTNVCTSTRNKISSLGGCHFSGSSNTTTTRSSSFFNSHSTADNFGAEQLDDPSLDMNPKYIFDDNRFNAIIDFESLGTFRELISRRISTEHSRDLYQVFQTQYCDIFARCESIGGFDSVLGVDHQNLGKDHWKYMRSWPAVLEYIVNILNTMHMQLQQNHPACDGFTHGDLLEAAKKPLNRLSTVASVICAHEVRKSPEKIFCVLNMYTSLTDATPTLRKVFGTEFISRYAEGLLAKLKDSARGIVEDLKGLILTYSSQIVVPNGGTMSLTGYIMKYICLLVNHKSSLDVVLEHGCTNDLLTNEGTNSTGRLIFWLISNLDSVLEKQSKLFSSEELKCIFLMNNTHFILQEVEKSDTRLFVGPRWIKIRQYRIKEYMNGYLTVSWGPVISYLESAKSPSPRKRFRLNFIYASPTPLQNFPWAFINATCRAQVSWKIPCPVLRNELREKILQFIISAYHAYLESRRLSIRETPEDFELELKTKIGELFER >Et_3A_025730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32233343:32233959:-1 gene:Et_3A_025730 transcript:Et_3A_025730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVWTLILVVAAAAAGHASAATAEEAVHAVLAKNQLPRGLLPSGIAAFAHDAGSGRFEAVLETACTARSEVGLRYNVTVTGVVSEGRIAGISGVDAKDLFMWFPVRGIHVDIPSTGVIYFDVGVVFKHFPLSVFDAPPPCTPDPVLRAATQRLEDGDIDGLVAGYAVATQ >Et_9A_062462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23184406:23185564:-1 gene:Et_9A_062462 transcript:Et_9A_062462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRLALAAVTAALLLLCGGAEARVLLTLDDFGAVGDGIANDTQAFVDAWAAACGSGEQAVLAVPAGKAYRIWPVQLFGPCRKKLKLLISGAIVSPGSPDAWAGRDPMKWLYVYGVDDLSVSGGGTIDGMGHEWWATSCKRKKTQPCYSGPRPKGLHFEECRRANFFRVIAPEDSPNTDGIHLNGSSRVQIMDNLIMTGMDALSEELIQLFAVTR >Et_3A_023089.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:26592280:26595258:-1 gene:Et_3A_023089 transcript:Et_3A_023089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADENAGPTRPVPAGDASPLRRKVLGERNSVGGGMEAATPPAQPKPVPSPPSLTGCAAGPYDPKVNYTTPRPKFLRYDPERHREMLLRLAREAEVEDDCSSTASGVTASVASASSVSSAPSSDSEAKLDNSDEEEEEEFIPARRGRWARRLFLLLLAIACSSCYIYCMNPTPLPVHSENALDFTGVFGGMYHGAHELDSVRLLRLGPLYMMGPEDVLEETASQIVQGGGEGAVHQYNQRASSRNIMAISMMGLADMCPSVQLGELACIIGFENSESVDDLKESSELSEQNAVVMMGSFENATPCSSGLVHQEVGEDYSNQFEPQLVSVEKAVGSASDALDSGIGLESEGLNLDIELCQYENTAAAAREICSKLKFVWSAMEPHLLQILACLSVAGFVATLFKYYPRSREITVPVSQEMPSKSLEQVPVLVPQNVQLPVSSSPLVMQLPMGSSEQHVQHPVPKQDRFEVPIQLPLPKSGPSVSFNVPVVDHGNHDQKGQAETLKASHGNPRDHRDDDSSKPPVVELLGEFTFADSSRVSNYSAGDVSVQESLESLGEVVEKMPKNSSILQSPSVRRARKEVNSACSNFVIYSSLFSSIV >Et_6B_049689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:908008:913923:1 gene:Et_6B_049689 transcript:Et_6B_049689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSGAGCSARTLAACVVGGIVLGASVLALHFAGPVAIPSLPPVDALRRRFRRRRRPVRVYMDGCFDMMHYGHCNALRQARALGDELVVGVVSDEEIKANKGPPVTPMHERMIMVRAVKWVDDVIPDAPYAITEEFMYKLFNEYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSTTDIVGRMLLCVRERSFSDAHNHSSLQRQFSSGHGQKIDDGGSGSGTRVSHFLPTSRRIVQFSNSRGPCPDSRIVYIDGAFDLFHAGHVETISSTRGRHRPIMNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISLVVHGTIAENMDFMQDDSNPYAVPIAMGIYHRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYESKSFVNGE >Et_3B_030700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6591721:6605025:1 gene:Et_3B_030700 transcript:Et_3B_030700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTFITNSTHYTTTVSLHSCGATDALRHEPLQDPDQLLIRLAPPSDGEAVLLLLELGELHSLPHLLEPRRVAQHAAAEHVAPGRHEQRRRQRQRLQAGARHAPQLVDRRRHGGRVVSGLRRRALDAAEEGGEEDVPGEAHRRAERDLPGREPDHDVMCQVGAGRHAGDERPCEVGRFREPRLGARSELRLRAQPAGEERRAVVDGRREAVLRGEAVLDGEHDGGELGGEALAAGVEHGVVVGADAVAATVELVRHLHRQGHALRRIGLRRRALDADEERREEDVPDDAHGAERDLPRGNPDHDVVGEVGACRHAGHERPGEVGRVREPRVGAGAAERGLLAQPGEERRAVLDGRRETVLWREAVLDGEHDGVELGGETLAGRVEQGLVVGADAVSTSVEVHKHRELLTLFAGGQRRPVQTNLEAARCVVHDVLPLNAGDVGERPRRPEYGFVAADNGAVAEEPNDAEEILHDLWLRGVAGHGVWPADRSSTAQRRLRLSTSISGATTSTGGSSIGLRLGLFGPNGFASGWSLVAPTGSAMRQTWSNTSTVQDTPAGGSVSDAVRSTLPKNAEKRRYPMTRTGSRTICPEATWTMTCQIGAGRHTNVVHQHRELTLSAGRHWWPVHAKLEAARRVVHDILPLDAGDVRERPYRHEDGFVAADDGAVAEEPDDAQEVLHDMRWRCVAGHGVAGLSIDRSSTREASSRIRINSTFASPHCVTEKACFSPLNSVSSTYGLPHLLQPLRVAHTSTSIAGATMSIGSSAVGLRLALSGPKEFASGWSLVAPTGSAMRHSWTRRLEDQSPTPYARRCQRTLRKGRTRRRAPCPARSAPQRPDARRRARGWRGWRRLRRRTRKSWRSQPIPRATSRRRRQARRGRSARRGRRVVLDGRREPVLGGENGENNGGELGGETLAAGVEHRLVVCAVAVATSVEGGSTPALGADYLRPSTGHRGRQGTALAARGDRFITTDDGAVAVEPDDAEEVFHDLRRRGVAGHGVAGRSIIRINFSFASPQRRTKKPCFSPLNSASSTAFPISFSLVA >Et_2A_016639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26843485:26850283:-1 gene:Et_2A_016639 transcript:Et_2A_016639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AVRCYATQTQNIQMKSSTATIQRADPKEKVNGPELDDDSGGFPPFRFGKGGGGGGGGGGGSNYFGGFLLFASVLLLDYIKEIERNLLLQRHQAGDPASIGLFAHMGVKATGHGRVTGITGCTEIAGFGCCCCGGGGGGCGGAPGSIAAAVGGAGAGGSIPCCFSCSITTPKFHRLRSRNQNPQMASPIYPPKPLVETQSQNFPRHRAELVQFGSGSQELEFLVGSQLGRKNKKNKKRGKRRAAL >Et_7B_055315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9286978:9289671:1 gene:Et_7B_055315 transcript:Et_7B_055315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDYEARERELDAEASRRSKEQHPYVGGRHRDADRRGDGGRSRGGRELPNGHGRRRSPPPRSRLVERLGDREPGELPSGSASDDSSGRLHRARENGVSSASISSKKRKFSPIIWDRDSPKPPHSDAAKGKKVVEPEPTELPPPPPLPPQDHIPVRLAVEKSPMDAEPTLGMEISEQLQGHEENRVVEEEEEYPTMRNISTSRWAGANDDEDEAAAPIKKRSASPSDSAELGQRKKAPSPELGELVVSDASGGRTMSKSSDSGRMGNDDNEDLGIDKDDYMDVDRRQTSDSNAEDHISDSDSENETRRPETPEPVKPPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKRTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMETMKQPYTQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLVNGKNEFEQLDKIFRTLGTPNEKIWPGYSKLPGVKVNFVKQPLRDKFPAASFSGRPILSEAGFDLLNSLLTYDPDKRISADEALQHKWFSEVPLPKSRDFMPTFPALNELDRRIKRYMKSPDPLEEQRLKELQGNIGNRGLFG >Et_6B_049303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:313886:318622:1 gene:Et_6B_049303 transcript:Et_6B_049303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSGAASGEVGWYVLGPNQETVGPYALAELREHVANGYLNEGTMLWAEGRSDWMPLSSIPELHSVLSAKGQPAQAAPVLEDDFAKFQQEVTEAEAEAEVEAMKGSAEDGDVKQELDERPATPPDGEEEFTDDDGTIYKWDRTLRAWVPQNDVQGKNEGYALEEMTFALEEEVFQAPEIPGPSALQEINSPAENKNKESDKADKRGEKKRKSSEKPDEKKEANKPPDSWFALKVNTHVYVTGLPDDVTLEEIVEVFSKCGIIKEDPETKKPRVKIYTDKETGRKKGDALVTYLKEPSVALAVQLLDGTSFRPGGEVFIPKKTDKQKKRKTKKVEDKMLGWGGHDDKKVTIPTTVILRHMFTPAELRADEELLPELEADVSEECIKFGPVDNVKICENHPHGVILVKFKDRKDAAKCIEKMNRRWFGGRQVHASEDDGSINHTLIRDYDAEVSRLDRFGEELEST >Et_4B_037407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19514045:19520050:1 gene:Et_4B_037407 transcript:Et_4B_037407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWSNNMGDNSRPLGEDDDLIELLWCNGHVVMQSQTHRKLPPRPEKPAPCAAPAPAAAPAAPAQQEDDAGLWFPFAMADSLDKDIFSDFFCEPPAPAPASAAAAPVAASAGIEAGGKPCSRDVDVPVVDVGVAGACAVSEAPCELMPPPRSTTARVSCSRQQTISLGVDGEDLSELVRARKEASSSSMLSAIGSSICGSNQVPRAPDTTAGAAYGGGGAGSAMPSAVGSANANAIAGGRGGHHEATVASSSGRSNGCFGATATTEPTSTSNRSSKRKRLDTTEDSESPSEDAESESAAAARKPPAKLTTARRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYLKSLQLQVQMMWMGGGMAAAPPVMFPGVHQYLPRMGVGMGAAAAMPSMPRMPFMAPQPVVPSAPVNPLSPGFRGHMPAVSITEPYAHYLGVNPLQPAPSQHFAQGVSYYPLGAKAVPQNPSLHVPSGSMAAAAAAAASGVLSPESAPNRGPGTTAPLCSPGMAKTGVTNNHERTNYMAALESLPEQDGMLRSPNLQGASLVVPISVDCLLGVFMLLSVKPVYKMKHRRQQQHNASNDEYQSLTLLPWSFSS >Et_2A_018314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22700730:22705667:-1 gene:Et_2A_018314 transcript:Et_2A_018314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQTSTQAKFLVELGNKSSVPIISFSANSPTRSPSQTPYFIRTGWNDTAQAEAIASFVQKYNWRDVVPVYEDDDSNARFIPDLVDSLVRVDTRVSCKCKIHPDAGDDEIKRAISRLKGNWTSVFVVRMSYQSALKFFQVAKDEGMMSRGFVWIMAYGLTDIFDVIGSPAFDVMNGVLGFEPYVQDTGEKFRQRWRNKYQSENPGTSLNGPILSGLYAYDTAWALALAAEQAVYVNSDFVPPKANNGSTDFDRISTSKAAEKLLDAFLKTNFSGMSGDFLIQNRQLISTTYKIINIVGRKRRIVGSWTPRFNISKSPEIIDDIDTIIWPGGSKETPRGWLLPANKKLKIGVPVKPGFDNFIRFENGKASGFCGDVFEAVVSTLPYNVPIHYEEFGDGKGHSSGTYDELVYKVYLKSAVFAALLEYIGLSYTASLSSILTVEQLQPTVTNLDEVIRQGANVGYLNDSFLPGLLKRLKIDESKMIAFDSPEEYNDALSTGRVAAIVDEIPYLKAFPRGSPLTSEISRGILEFASNDTMAQLEKNLYGDTQCPEKDDSQTSSSLSLHSFLGLFIITGASSLLALILHAAITLYNHWHDLFSDSSQSFWHRRFASDIFHNSDSSNTPDKDEPGVTNLHCTTESPWPMSDHHIIENFDSDTDTGSPPEGQGTPGAPRTSAAVQRLMIHFSEVAAVLLEALNLPEQHVDLIFHAAKELAVEVELELSMPAAADSPVLVDIGGVQVPSMFS >Et_9B_064531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16273061:16276908:1 gene:Et_9B_064531 transcript:Et_9B_064531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGGGYGGGGGAAEHHHHLLLGQAAGQLYHVPQHSRREKLRFLPDPADSPPTPHAGAWPPPPPPPSFYSPYASSSTSSYSPHSPNTMGHPHAHHQLVGHALPAGGAQIPSQNFALSLSSASSNPPPPPPPRRPQHLAGAATGPYGPFTGYAAVLGRSRFLGPAQKLLEEICDVGGRPPADRRSDDGTLLDMDAMDGGDLDHDMDGGAERAATDAVTVSGAEQQWRKTRLISLMEDVCKRYKQYYQQLQAVISSFETVAGLSNAAPFASMALRTMSKHFKCLKSVILSQLRNTSKVASDGIGKEDMANFALMGGGSSLLRGSNANAFNHPHNIWRPQRGLPERAVSVLHLAAGQTNLDLMKLAFPMQFSLVSNWFINARVRLWKPMVEEIHNLEMRQVHKNSSLEKNQFGKQQAQHSSDSSGKPSDPSSSQRGQSSGMTRNLSSPASRQIQDELSHIPHDMSGHGVSFAYNGLAGHHSLALSHPQQAEGISVGGAGNSGVSLTLGLHQNNRTYIAEPLPAALPLNLAHRFGLEDVSDAYVMGSFGGQDRHFTKEIGGHLVHDFVG >Et_1B_013611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9978509:9980246:-1 gene:Et_1B_013611 transcript:Et_1B_013611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTTPAARALVICWLPPLLLLCLHGCWSCGTAERERTLAMIKPDGLSGNYTEKIKEAILESGFDIIKETAVQLDTERAPRFYAEHSGRSFFNSLVKYMTSGPVLVMVLERPDAISKWRALIGPTDARKAKTSHPNSIRAMCGLDSEKNCVHGSDSRESAAREISFFFGDADSETVEHDEL >Et_2B_019120.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:11459052:11459120:-1 gene:Et_2B_019120 transcript:Et_2B_019120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARSRRQFRSKNFREIIIVAA >Et_1A_006284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:269038:273063:-1 gene:Et_1A_006284 transcript:Et_1A_006284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGGGGGLTAIRLPYRHLRDAEMELVNLNGSPRSGAPGEGPPKDQPPNDQVARSGRGISKTRLVLACMVAAGVQFGWALQLSLLTPYIQTLGIDHAMASFIWLCGPITGFVVQPCVGVWSDKCRSKYGRRRPFILAGCLMICAAVTLIGFSADLGYIIGDTSEHCSTYKGTRYRAAIVFILGFWMLDLANNTVQGPARALLADLSGPDQCNSANAIFCSWMAVGNIVGFSSGASGNWHKWFPFLMTRACCEACGNLKAAFLVAVLSWFPFFLFDTDWMGREVYHGDPNGDLSEKKAYDNGVREGAFGLLLNSVVLGVGSFLVDPLCRLIGARMVWALSNFTVFVCMMATTILSWISSDLYSSKLHHIIGANKSVKNAALIVFSLLGLPLSITYSVPFSVTAELTAGTGGGQGLATGVLNLAIVVPQIIVSLGAGPWDALFGGGNVPAFALASVFSLGAGVLAVLKLPKLSNSYRSVGFHIG >Et_6B_049526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6005472:6005976:-1 gene:Et_6B_049526 transcript:Et_6B_049526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAELT >Et_7B_054370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20464011:20464791:-1 gene:Et_7B_054370 transcript:Et_7B_054370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFDIGIKNQTRNHIHNNNVSAIKLDIERAITYYGVKLDICFPLRHTMELASEDVIFCNVLSRGSQEEVEETYQKGGGWAK >Et_8A_056915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18968293:18970794:1 gene:Et_8A_056915 transcript:Et_8A_056915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTNKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLPRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKATKS >Et_3A_027242.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:5737441:5738127:1 gene:Et_3A_027242 transcript:Et_3A_027242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISAEPAPPPTPDPVADAAAVEAAAAATSSARQGSFSSFPSLKTWGSHRVLRCAHVNRAGDAIATAREQVGKSGGKPSRHHDEADAAGSDAAAGEADADHAAEEAEEGPDLAASSPSSKLRARRRRRRRAAVTPPASASPPSERRPPRAARGEPLDRARFSVTLTTEEIEEDVYAFTGARARRRPRRHPRPVQKQLDVSAAPACPALLRRLRYFLSLHCSPPPGTRS >Et_9A_062425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22846804:22850258:1 gene:Et_9A_062425 transcript:Et_9A_062425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGSGITSAPSAGGNSLAITERQKPAPSCVAALFQMFAKRKLFSASKKSKLLPPVRAQKFSPGRPPAGGDMSPAAKRRPFLLDSADYSRSISEGSRTNFLPPPDQEQNCSEMCTPGVVARLMGLTSMPATSHQTLTKAAESSKLGDHLNTGSHDWSGTSRSIYTSPQKQQKTERLVDNRRDGNASQFSASDTQPLWSRRQAHKLASPIKSPRSISSRNKARLMEVAAKVLEPGLQSRNPHLSRRHAYLEYSRNGVDDAPGAAAALHNLPDQGGMCDVDAPRLGAIGASSLQNSASNQLTEEVGKCSIPNRRSDQNLSCQMQPDGNDKCLMVSLSEKAVFGDSAQRTSNRASVANQDIRKVQLKNMSPGGVPGGPLQQNNTKQNALPVACRVEDPGYAVQRRKHRSRERNVTNSRQDFVSLNKRMTGSTSLRSKRKEMDRFGESHTSQENMRTSTKGRQISPNRARRLHGDSSNKMMSKTAAPRTMEKDMIIAKGVSLVSEKPKSASPNCEKSDLQRQAMPHKVSRCNKKSGTASFTFGSPMNIVPTTSPGDDASRTGSSIHGSSVDTSPRRHSCRDGQSTYLPRELDFREVQGTSSLEAIKSVFINQDKLKTRGIECIAASSLYKVKSAVPVAVESLGDEQQWQWNSVESVTFGLSNPSKPDQLRETHEADVKGRSPSPSLTRGRNKRNTTSNLQPACADGAFVSGAFTDSHPVENCSPAPAKQNVITERNSSCAESNSGQHGAQPFEPAVQDSKLTHTGEVTSTVELLLSNVCSSTGRQSNESSKTFLLQTIESSLATFTTSSKQDLSTIKAVEAGPLRNLAIDFVLELLDLRCTQLCDSGYRSFSRLALICKERLAAGIRKEIARCSDMTGKALDDMAVNDVERTVEDGMNSMLEAFQIAGQIEQDLLQELVNEMLVDMFKRLGLHTSI >Et_7A_050853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11728442:11731902:1 gene:Et_7A_050853 transcript:Et_7A_050853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSGGDKVLQLLVEDWESARSLVRPSMTRGVAKEISTVSWDDIGGLKDLKKKLQQAVEWPIKHSAAFARLGISPVRGVLLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSKYVGEGEALLRRTFQKARLASPSIIFFDEADAIAPKRTGPGGSSGGNATVGERLLSTMLTEMDGLELATGIIVLAATNRPNAIDAALLRPGRFDMVLYVPPPDVEGRYEILRIHTRKMKLGEDVDLWKIAECTELFTGADLEGLCREAGMAALREDLSANLIQNTHFQAARNSLNPSLTKAVVAEYSNAAINNSSTRRTN >Et_7A_050493.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:19612444:19612629:1 gene:Et_7A_050493 transcript:Et_7A_050493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSLTVAMRWARFVILVLQLIMTRLLQLCVESRVALMATWHQRCIRQGALAPLNLTCGL >Et_3A_023837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13171925:13172578:1 gene:Et_3A_023837 transcript:Et_3A_023837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GSLGIEEWNEWTHLCDLLDQVHLSNENDSVKWILQNSRKFTIRTKIREMLRCLFFWGPYAGHCGYPEINDLVCRNQLCLSPQSIIHRMISMLQRWRVLWKQCGKEEADVIIAQIKLKLESRS >Et_4A_034865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7320601:7325731:-1 gene:Et_4A_034865 transcript:Et_4A_034865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRAGTLVLLLLLCLVGGSSAANVTYDHRAVVIDGVRRVLVSGSIHYPRSTPDYDFEGRKDLAAFVKAVADAGLYVHLRIGPYVCAEWNYGGFPLWLHFIPGIKFRTDNAPFKAEMQRFTTKVVDTMKGAGLYASQGGPIILSQIENEYGNIDSAYGAAGKAYIRWAAGMAVALDTGVPWVMCQQADAPDPIINTCNGFYCDQFTPNSASKPKMWTENWSGWFLSFGGAVPYRPVEDLAFAVARFYQRGGTFQNYYMYHGGTNFDRSTGGPFIATSYDYDAPIDEYGLVRQPKWGHLRDVHKAIKLCEPALIATDPSYISLGQNAEAHVYKSGSVCAAFLANIDGQSDKTVTFNGKTYNLPAWSVSILPDCKNVVLNTAQINSQVTSTEMRYLQSSTEASDDSLVTPGLVVSGWSYAIEPVGITKDNSLTKPGLMEQINTTADASDFLWYSTSVTIKGDEPYLNGSQTNLLVNSLGHVLQVYINGKFAGSAQGSASSSLISFQKPITLAPGKNKIDLLSATVGLTNYGAFFDLVGAGITGPVKLSGSSGALDLSSADWTYQIGLKGEDLHLYDPSEASPEWVSANAYPINQPLIWYKTKFTAPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCVNSCNYRGSYSSSKCLKKCGQPSQTLYHVPRSFLQPGSNDLVLFEQFGGDPGKISFVTRQTGSVCAHVSEAHPTQIDSWLSPQQKVQRSGPALLLECPKPGEVISSIKFASFGTPSGTCGSYSHGECSSSQALALVQEACIGVSSCSVPVSSTNFGDPCSGVTKGLAVEAACS >Et_9B_065493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5796617:5798805:-1 gene:Et_9B_065493 transcript:Et_9B_065493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAELANGLHDSAGALEEGRGDQARCESSEQDGLSSNRPMFSVQFMQKILAEIFGTYFLIFAGCAAVAVNLRTGGTVTFPGICIVWGLAVMVMVYSVGHISGAHLNPAVSIAFATCGRFPWKQVPAYAAAQMLGATAASLTLRLLFENVREHFFGTVPAGSDVQSLVIEFIISFNLMFVVSGVATDNRAIGELAGLAVGATVLLNVLFAGPISGASMNPARTLGPAIVVGRYAGIWVYFAGPICGTVAGAWAYNLIRFTDKPLREITRSSSFLRSARRN >Et_6B_048825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14129065:14161361:1 gene:Et_6B_048825 transcript:Et_6B_048825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRSSCFITAAVVTKFARRRSTFGGPTRNGPPPPPVVSCVAFIGLVQTFLTKGLQAMILDQYRKFGSVFTISFGGKKLTFLVGPEVSSHFYQGLDSEVSLNMFEFTIPMLGKDVGYSVDAATRNEQLRFYSDALKPAALRRHVSHMHLEVEDYLEKWGQQGTVDLGQQLGYLIMLITGRCLLGKEVREKMFNEFFNLYHEMVDNGTHRISMLFPYAPTLMNYRRDRARSKLSEMLTDIVRLRKISNRVEEDVLQNLIDSKYRDGRSTTESEIVGLMIALLMAAQATCAATVTWTGVRLLSHPIYLAVALKEQKEIARKYGDSIDYNTLVEMDNLHWCIKETLRMHPPTPALLRKVHKDFTVQTSEGNEYGIPIGHTIVSPILFNSNIPYIYKDPDVYDPVRFGPAREEDKVGGKFSYLAFSGGRHACLGEAYAYTQIKVTMSSNWCLLSLRLIGARLYRNLMGRPLPPVVTGGSIIGLIHTFLTKGFQAMIHDQYTKLGSVFTFSFFGAKITFLIGPEVSSHFFQGSDVEISHGNILEFTVPMFGKDVGHAHGIDATTRNDQNRFVADALKPAKLRCHVGPMLQEVEEYLAEWGQQGMVDLKQELEKLLMLITGRCLLGREVREKMFGEVLTLLHELIDNSLSLTTVMFPYAPILANRRRDKAHARLADIFAGIEDVLQNLIDVKHRDGRSTMEGEVTGLILSLIFAGKHTSSTTSTWTGARLLSNPKWLVATIEEQERIIAKHGENNIDYNETLRMHPPAPAFLRTVKVNFTVRTRDGQEYEIPRGHTIKVSWCHLLRNFELELVSPFPETSWKKPLSLRAKLCCVALYISITAHCISMNITNSAVWFATALVLITAIITKVAGRRTTSDATRNRPSPPPAVNCVAFIGLLHTFFTKGLQAMVLEQYNKLGSVFTVSFFGKKVTFLIGPEVSAHFYQGLDSEVSLNMFEFTVPILGKDVGYSVDAATRNEQLRFYSDALKPSTLRRHTSHMLQEVEDYFGKWGIKGTVDLGQQLGQLIMLITGRCLLGKEVRDKMLDEFFSLYHEMVDNGTHVTSMLFPYAPTLMNYRRDRARAKLSEMLAEIVRSRKSSHRLEEDVLQNLIDSKYGDGRPTTESEITGLMIALLMAAKQSCSATVTWTGFRLLSHPICAAVAIKEQKEIMRKFGNCIDYSTLIEMDYLHCCIKETLRMHPPTPALLRKVHKDFTLKTSEGNEYEIPREHTIVSPILFNSNIPYIYKDPEVFDPDRFSPAREEDKIGGKFSYLGFGGGRHACLGQAYAYTQIKVIWSHLLRNFELKLVSPFPGTDWSKVVPEPKGKVMVTFVIAAVITKLAKGRNSYDPVCNRPLPPVGTSGSIIVLIHTFLTKGFQAMIHDQYTKLGSVFTISFFGAKITFLIGPEVSSHFFQGSDSEISHGKILEFTVPMLGKDVGHAHGIDATTRNDQNRFVADALKPANLRCYVGPMLQEVEEYFAEWGQQGMVDLKQELEQLLMLITGRCLLGREVREKMFGEVLTLLHELIDNSLSLTTVMFPYAPTPANRWRDKAHARLADLFAGIEDVLQNLIDVKHRDGRPTTEGEVTGLILSLIFAGKHTSSTTSTWTGARLLSNPKWLAAAVEEQQRIIAKHGENNIDYNVLQEMDVLHRCIKETLRMHPPAPAFLRTVKENFTVRTRDGQEYEIPRGHTVASPVLFNSSIPYIYKDPEVYDPDRFGPGREEDRVGGRFSYTAFSGGKHACVGENYAYMQIKVIWSHLLRNFELQLVSPFPETSWKKLVLEPKGKVMVKYKRRRLPL >Et_4B_036164.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3130959:3133304:1 gene:Et_4B_036164 transcript:Et_4B_036164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKFCYYNNYNINQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKSKSASAASHFLQRVRAALPMDPLCTSAKTNGTVLSFGSGMSSLNLTEQMKHLKETLVPITRIKNRDDQSVDSCAEGGSAKAEDSKPTNQTEKVEADKSANVLQHPCMNGVTMWPLSCAPPLACYAPGIAIPFYPAAAAYWGCMVPGAWNTPWPPHSQSESATSPSSASPASTKSNCFTPGKRHRDGDEDGNGKVWVPKTIRIDDADEVARSSILSLIGINGDKVGKDGRGCKLASVFEEKDDAKTATHTVINSLPFLRGNPAAISRSLTFQEGS >Et_4A_032695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12633455:12634288:-1 gene:Et_4A_032695 transcript:Et_4A_032695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYGAIGVESPFGLLQVSMESLHLVHIKILAADLLSLTVQPTSPPSFPRCGRTVARAEIVGVVVSRDRREKFLRFLLDDGTGCVPCVLWLNHQYLNANSSSRALDSDPTAEMALKMSEEVRLGTLLRVRGRIVMYRGAIQIAVRDVVLEKDPNVEVLHWLQCVHMAKECYDLSPPAAQHAS >Et_6B_049465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5114269:5119584:-1 gene:Et_6B_049465 transcript:Et_6B_049465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSIFRKEHISMFNYEGLYSDDGTSSENQTSTCTCLQRFFAPSTSDSAAVESTNGENTQVLNALPEENGCTPSSLTDDAEKSVSTTENPRKSSVHQRLKNWISSGHNGIMGRYGNKLDFGVPKKLSAEHVNHGWPDWLMNVAPEAVHGWFPQQSDTYEKLGKIGQGTYSNVYKARDLKTGKFVALKKVRFVSVDPESIRFMAREILILRKLNHPNIIKLEGIITSSISQSLYLVFEYMEHDLVGLAATPGLKFTESQIKCLFQQLLSGLDHCHSNGVLHRDMKASNILIDSCGVLKIADFGLAASFDPDNQQPLTSRVATLWYRPPELLLGTTKYGPSVDMWSTGCILAELFAGKPILPGRTEVEQIHKIFKLCGSPCDDYWKKLDVPQTGMFKPSRQYRRCLAENFKGFPPSALVLLDNLLALEPEARGTAASTLQSDFFRTNPLACSPSSLPKCPASKEYDAKLRREEARRQRKARGSECVILENESVKLSHDANGSIKLKAFIVAQEHEYNTPANISSANLSSGHQPQTLATDVQYPGCDPTWNSRGNEVHASHKDLGTAGPIMNSRNKGKRFQHSGSMITAKGNMDQMLKEHERNIQEAVRKARFNKSREL >Et_1B_012783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35024695:35026196:-1 gene:Et_1B_012783 transcript:Et_1B_012783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKAGGMVVDPACDVPAPSRRRLAAVLAPLLLFLAAALSFPSSALHRLAAGPSLPPQPRVAVCLVGGARRFELTGPSIARHLLPHVGGGDHAVDVFLHSPLDGDAYKFSLLASAAPAPVTLAAVRVFRPEPVEETPEREQVLTAANSPSGIQGLLQYFRLVEGCLELIRDRESRGNFTYAAVLRTRVDGFWTAAPPDDDLLLADDYYVVPEGSRFGGLNDRFGFGGRRASDAALSRLAMLPRLADAGYHALNSEAAFRAQLAVAGVPARERRLPFCVLSDRTYVFPPEAGYGVPVASLASPGPLSGAKCRPCRPACAGFDCVGPHVEALESGWGWAEWRNGTMDLCDASGPWEDGWEDLFDEVAGDDAAAVRRRVARMGARECVADMEAFKARVQRWDAPSPAEICWLGLASSTLSSSSDSDASNSSSDDQP >Et_1A_006791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27605911:27611637:-1 gene:Et_1A_006791 transcript:Et_1A_006791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGSTKRRRPCWAWPSRAPGSRSAPPSLACAPGSARPCSPSSASSSASASPRARTAVAAALLLHLLFLSPSAAQPGFISLDCGGAEDHTDAIGIQWTSDANFVSGGQTAQLLVQNNLHKQQFATVRYFPADNRKYCYTMNVRNRTRYLVRASFLYGNFDNSNVYPKFDLSLGASPWSTIVIDDATTPVIEEAIILAAAPTLSVCLSNATTGQPFISTLELRQFNGSLYYTTDETRFFLTLSARINFGAESNESIRYPDDPFDRIWESDSVRRANYLVDVAPGTERISTTKPIFVGTNEEPPEKVMQTAVVGQDGSLNYRLDLEGFPGNAWGVSYFAEIEDLAPNETRKFKLVVPGMPAFSKPTVDVEENAQGKYRLYEPGYTNMTLPFVFSFGFKKTNDSSKGPILNAMEIYKYSQITMGSQDANTMASLVSQYSQAGWAQEGGDPCLPASWSWVQCTSEAAPRVSSITLSGKNITGSIPVEVTKLSGLVELRLDGNSFTGQIPDFSECRNLQYIHLENNLLTGELPPSFGDLPNLKELYLQNNKLSGQVSKALFKKSIILNFSGNSGLHISNNSFSHTILVICVVIGAILSLCAAIVCFLCLCRRKKKPSDDTVVIAAPAKKLGSYFSEVATESAHRFALSEIEDATEKFGRRIGSGGFGIVYYGKLTDGREIAVKLLTNDSYQGIREFLNEVTLLSRIHHRHLVTFLGYSQQDGKNILVYEFMHNGTLKEHLRGAAEEKITSWLKRLEIAEDAAKGCSPTIIHRDVKSSNILLDKNMRAKVADFGLSKPAVDGSHVSSIVRGTVGYLDPEYYISQQLTEKSDIYSFGVILLELISGHEPISNDNFGLNCRNIVAWARSHIESGNIHAIVDQSLDSGYDLQSMWKIAEVAIMCVKPKGAQRPPISEVLKEIQDAIAIERGPQMQQQLFMSNRSVGGPNNSGDGVEDLEQNAASFDELLMRPGLR >Et_7B_055976.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8510794:8512242:1 gene:Et_7B_055976 transcript:Et_7B_055976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEATEGPHVVLFPFLARGHIPAFLRLAGLLRALRPGLDVTLVSTPGILASLSLPPSSPTFRVHALPFAPADHGLPAGADSLADLQGHQFITFFRASESLRPAFEEFIISSVQQQRPVCIVADAFFAWTADVARARGASHAVFLPGGAFGFAAFFSVWEHLPHTVTDGDEFPLPDFPDVVLHRTQIPRYMLAATGEDPWSAFFRRAIASCRKTDALLVNTVRELEPSGLDMLKQSLGVQPWPIGPVLATPSSPSDSRDDDDADGIIIRWLDARPARSVLYVSFGSQNSISRGQMRELARGLEASGRAFLWAVRPPLESDAKGGFDPAWLPAGFEERVAAKTTTGLLVKGGWAPQLRVLAHPATGAFLTHCGWNSVLESLSHGVPMLGWPLGADQFFNAKLLVEWGVCVEVARGNMESSAVERGTVAEAVGAVMGGETGKGEEMRRKAASVARALAAAWEPRGGSSAESLEGFLRCVETVRR >Et_7B_054139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1626724:1629773:1 gene:Et_7B_054139 transcript:Et_7B_054139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRFMHATIRRFSMEMDAVLQAADAKDWAYKGEGAANLILSYTGSSPSMLGKVLRVKKILKDQSLPEPNCRVFSSHEQLLWGHIPELVESVKQDCLNQAYAVHVMSQHLGVNHVDGGVCVGVSRDFLELVEKNVLDSRPSWRVNASSIDSTANVALLIADHSLFSGNSKGSSCIAVEIKAKCGFLPSSEYISKENCIKKQITRYKMHQHLKFHQGEISKTSGYNPLDLFSGSKERICMAIKAFFLTPQNNFRIFVNGSLVFGGMGGGADSVHPDEIDKCLEDLSKVSGLELSDFVELLSEAIFKSGVLRKLLATQKLDDHDIEGAIHLYYNIISQPCLVCENTTDAELLRKYALLHSLSLDKSLKIVRDFLISATAKDCSLMISFRPRECVTDSEYDSIFLDSVKQSYDYKTYFIDLDVKPLDKMEHYFKLDQKIVKFYTRNGEVGQSTKGSGTVIKR >Et_5A_042319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8774546:8776022:1 gene:Et_5A_042319 transcript:Et_5A_042319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METQPPKAAALTLPDDALAAALDRLPARSLAVSRCVCAAWRDLVDERRLLLRRLLPHSAQGIFLTYEPEHRHPHLFARPTAAGPRPRIGDEFGLDGYVSRYNDKYGTVVDHCDGLVLYTNATPAMFVCNPATRRCVRLPACPAVHWIRDRCGYLSFDPATSPHYKVLVAPHVPAEHHAGRLMQWPPSPWTWNVFSSKEGQWGERTFVREGEAAGTVGGLQVNASDTASAWWFSATHWQGTLYVHFRREYVLRMPLSSDQKYRVIKSPIYGDNSYIGARPHLGKSEKGMYFATNHYEHCQLRVWFLHESQDGTEWLLMHQVDLKPCVFWARTMETAAAAGNPEQSCVGSSWLLDDCCSIVETKKTASHWSEDESEWDSDDDNIVDALAQHSDEAIPVQKLWNAVPRVCRFLGFHPYKDVVFLSASYVGMAYHLNSSKLQHLGNLLPQGRCTGVSKSFVYTPCLIGD >Et_10A_001354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23686750:23690489:1 gene:Et_10A_001354 transcript:Et_10A_001354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQVISSEVVSSLQRRRSRRNREGERRLRPSFLSSIRHRRSRRPHHKWCLDIALRCPSSSRLLHRAPAKKERMQQTQTQTQQMQRRRPAADQEAAAKATEDSQFFDAGKPPPFRIGDIRAAIPAHCWVKSPLRSLSFVARDLAVVLVLAAAAARLDSWLVWPLYWAAQGTMFWALFVLGHDCGHGSFSDSATLNSVVGHLLHSFILVPYHGWRISHRTHHQNHGHIERDESWHPITEKVYRELEPRTKKLRFTPPFPLLAFPVYLLYRSPGKNGSHFHPSSDLFSPKERGDVVVSTTCWCIMLASLLGMACVFGPLQVLKIYAIPYLVFVMWLDLVTYLHHHGHQDLPWYRGEEWSYLRGGLTTVDRDYGWINKIHHDIGTHVIHHLFPQIPHYHLVEATKAARPVLGRYYREPEKSGPLPLHLFGVLLRSLRMDHFVSDHGDVVYYQTDPTLNAAGNWAETDKLKK >Et_2B_020767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23443387:23446499:1 gene:Et_2B_020767 transcript:Et_2B_020767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPSHRRPGACTSTPVASTSSKRDPEEYSDTAPDELGDDEEVSSSSGSDSESESDSDDERERELERALADVPFGELQRARADGSFAARAASAAAAAKKARRASKKRPMEISTKVRPPRLKEVIQVPKKKLQKMIKKSKDPNAVEEMKSRITWIDKQLRSHPQKNTESEILREHIKKEREAAKAGKRPYYLKKSELRQRKLMTKYNELKETGKLDAFMERRRKKNASKDHRYMPYRRNGGGAQ >Et_7B_055844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5689930:5693010:-1 gene:Et_7B_055844 transcript:Et_7B_055844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDHQQQQPPPPPPQQQQQQAARVGSPTQAGGGVMMPPHAAFGAAPPGMSPGAANVMHGMPLGFNPLASPGASSPMKPADMPPGAMFRPDTGAPGPGMQHHRAGSGGAGAGAVVGGSGGEVVKKKRGRPRKYGPDGSIGQGLKPAGSTEAGGQSGGGGSNSNPDGKRRGRPPGSGKKKQLDALGSSGTSFTPHILTVKPNEDVASKIMAFSQQGPRTTCIISANGALGTATLRQPATSGGIVTYEGHFDILSLSGSFLLAEDGDTRSRTGGLSIALAGSDGRIVGGCVAGMLMAATPVQVVVGSFIAKGKKPKEEQPKREPISVASHTAGFGAATTASPPSDGTSSEHSDDPGSPLGPNGSTFTNTGHPMHSSYAPMAWSLSGSQGRYDPDVKMMNE >Et_1A_004923.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:1735158:1735394:1 gene:Et_1A_004923 transcript:Et_1A_004923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAEVPIPVPLPVIPSVNEVSAAAAPAADPKPKKKICCACPDTKRLRDECVVEHGEAACTKWIEAHKRCLRDEGFNV >Et_9B_064971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19992985:19995203:-1 gene:Et_9B_064971 transcript:Et_9B_064971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVAAILGSGAFGGNRGVRPVPPEKGVFPLDHLHECDLEKKDYLACLKSTGFQSEKCRQNLMAKQDMSELGFRNADEVDTQPEKNGKLGSGPSEPNEGA >Et_9B_065669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:913434:913942:1 gene:Et_9B_065669 transcript:Et_9B_065669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ANAASGMAVDDECKLKFLELKAKRTHRFIIYKIDEKKKMVVVDKVGEPMLNYDDFAASLPANECRYAIFDYDFVTEENCQKSKIFFIAWSPDTSRVRSKMIYASSKERFKRELDGIQVELQATDQGEVGLDVIKGRAN >Et_8B_058568.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:19195341:19195769:-1 gene:Et_8B_058568 transcript:Et_8B_058568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAIQQQQPGNDMPAAFDAMHGELLEEAHAVATELGADVRTVAFLPDGCGGGDGDGGAKPQAVAHEFIGSPQKMMRQLVAKDVSRMGAVELAQHAARLRTLRAAVVRKLREKEEKKMAATAAAAGGESTVKREPE >Et_1B_013180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6079644:6082117:-1 gene:Et_1B_013180 transcript:Et_1B_013180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSSILGADGEWGETSLGDMPESCVAAVLLYLDPPEICQVARLNRAFRGAASADCVWAGKLPANYRYLAALAAAADDEGRGDGDANGKRFSLAATKKEIYARLCRPTPFDAGRKEFWIEKNKGGLCLCISSKAMTITGIDDRRYWSQLATEDSRFHSVAYLQQIWWLEVDGELEFCFPAGSYSVFFRLHMGRPYRRMGRRLCGTEHVHGWDVTPTRFQLSTSDEQQASSEYHLQEQGGWKLYHVGDFTVSNSDELIKLKFSMMQIDCTHTKGGLCVDSVFVYPKGYKHEKANIICM >Et_5A_040393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19035587:19037101:1 gene:Et_5A_040393 transcript:Et_5A_040393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVAVWSRAPPALGRFRAYVLCVLQVLENVNSLGTSDLVPSPRPASSSSSSSRRNTTIPIAAGSAAASVAAVVCVAFAAAVVTTRLRRRRRRLKGDEDDSETPPPPPPPLPREGVYIFTKAELLQATNGYDKKLLLGTGGAGKVYLGRLPSGQRVAIKRIYRSKKVSEFYAEVSVLARLRHRNLTTLLGYCLGSGHHALVYEYMGGGNLWRALFHDDGDGEVLLPWRRRLEVAVDVAEGLAYLHGFAEGAVVHRDVKPTNVLLSSSSESGGAGAVVAKLSDFGVSRIIAPAGADGKTHVSTEVRGTRGYVDPESFSAGHVSESADVYSFGVVLLELATGMRAVVPTPSGGAESIVQAAHWAVAEAGEPGPAAENMVDRRLGPGWDRPTVRAVFALACRCVRPYKHERPEIAEVLAVLKAALADYNARVIGNAGDADSSSEGTAAAASTHDPASMPSTSSSTVNTEVVSSSTASLQDEA >Et_2B_020841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24098945:24099596:1 gene:Et_2B_020841 transcript:Et_2B_020841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIFKIMAVLVAVSAVWVTLLETSTVPRNYTWLLPIYLVVALGCYGLFMVGFGLMFFPTCPHEAKQLQQDIVVAMEFLAKNGVDV >Et_7A_052714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1434025:1435157:-1 gene:Et_7A_052714 transcript:Et_7A_052714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPPSKPKPVGVALLALPPSPPSVPVVGPLLWLLRARNRLEPAIRELHARHGPVLSLRFLSPRAAIFVSGRGATHRALVQRGAAFASRPPAIAPFRVLNSGQTTVSSAPYGPLWRSLRRNLTAGVLHPSRVKELFAPARRWSRCSSPCSRCSRACASGSGCTAAACSGRSRPCRGSYLRVRLLPGAHHAAVPATGTTKAVVLEGLRRHPPAHFLLSHAAAAEEDTALDGHRVPAATPVNFSVADVSMDEAVWDRPDEFRPERFLNGGEGVGVDLTGTREIRMMPFGVGRRICPGLGLALLHLEYFVANLVREFEWTMVTDGDGNVGVDLTERPEFTVTMERPLRARVAPRRRPAV >Et_5B_045308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17593413:17597423:1 gene:Et_5B_045308 transcript:Et_5B_045308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFKMAPVRNIAPPKSIMRWRPTILVTRLATSEDSAAVRNNDDVNVATRGGHKAGDHEGKCYPATIAARTCSGVDESFSSHLAHPYAQEALGIESIHGENHPVVLKAAFHPDIFIQSFSHSDNA >Et_8A_058165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22489299:22492993:1 gene:Et_8A_058165 transcript:Et_8A_058165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALCRQPSVGASTSKWNFWSTSRTPSIVSMVQNHRPKITAYSGIRVVHNPAATPVLGFKSIDAFISASSRGQKYDRLITRASLNSFGEEVMNVIALAQEETQHLGRLIGRNHILWSRISECICLFLLEIVLNAAFQMVDANTGRGSSKTSFKTERKMSSKTSSETECKMATPTLDEYGTNLTKLAHEGKLDPVIGRQEQIDQVIHILSRKGKNNACLTGEPGVRKTAIAEGLAQLIARRDVPETMEGKMVISIDMGRLLAGTQYRGDFEERLKNLLEEIKRCGNIILFLDEAHTLVGAGATTEGAVDAANILKPALARGQVQCIGATTTDEYMKHIEKDPALERRFRQVKVPEPTVVETMEILKGLRQQYETHHKVQYSDGALSAAAELSHKYISDRFLPDKAIDLIDEAGSLARLRNVQWKPSKEIEDLLVELKKIMKEKDEAILRQDFKRAKELRERELEITALINKSKGMTNGDVDPGMSARPVVSKEDICRIVSSWTGVPVHEVSTDETKKLLKMEVTLHTRIIGQDAAVTAVSRAIRRSRVGRGRGLGDC >Et_6A_047058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24662922:24670064:-1 gene:Et_6A_047058 transcript:Et_6A_047058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYLCWRQISAESPYMWQPARGTTLVGPANLRILAWLLYMFLHNIYLTFSLSLDRYFVVIDDIWENESWEGTIEWALLENSGSRIIITTRNYTVAEEIGDQVYKIHPLSDDDSKKLFYARIFGGEWKCLNNQSDEISDKILKKCGGIPLAIITMASLLVGKPKEKWSDVFTSIGFGKKLNKQVENTMKILSFSYYDMPSYLKTCLLYLSVFPEDCTIDKGSLIWKWIAEGFIQEPQGISLFELGERYFNDLYTQASPT >Et_1A_004806.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:8159852:8160109:1 gene:Et_1A_004806 transcript:Et_1A_004806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAPWPATLLLLLLMLPAVELRPTFTNRCRAPKPASAQLVHGGAVLWNARTPPPTATGAGDVGALPVHGESKRLVPRGPNPLHN >Et_1B_012595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33501287:33502250:-1 gene:Et_1B_012595 transcript:Et_1B_012595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVLFFSAVLVGLVAVSSCRSLLGDLSEQKSYSSAPQCIFSDLIPFSVTYICILPDGGSPTPTYGSGIGGATPAPSDDSAPSTPSSPSIGFPEITKPGFTGSCDYWKNHPDVIIAAVGSLGDLGKTFGAACRLIVGKKLENLHDALSNTGSDGIDALMREGAAAYLNSIVNNKFPYTTQEVKNCILVAVTSDGAASAQAAVFKKANDYPY >Et_4B_039566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22202441:22208534:-1 gene:Et_4B_039566 transcript:Et_4B_039566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRRDGGGGLRPLLLLLPFAALLSVATLSLRSADRHFVSDTASSSSLRLHRLAVSGLDVRALDASLPIHAVAARAFRSGGRLLRDVLTSSSAPAPPPPASGRGGGGGATRCPASAAASGAHLCGAGGGGVSLPLPCGLTLGSHVTVVGTPRRVPSGGLVQFFVELRGEGDGDAASRILHFNPRLRGDWSGRPVIEQNTRFRGNWGPALRCDGRRSRPDEETVDGLLTCEEWGGAGNTGGMSEELKRLQLRIRGAEQKNRNLIYWPYPFVEGEMFVLTLSAGLEGYHGFVLEDATILSVNGDIDVESIVAGSLPTVHPSIVQRNLELLTEFRAPPLAEEHVELFIGILSAGSHFTERMALRRSWMSSVRNSSSTNGRTEVNEDLKKEADFFGDIVIVPFADSYDLVVLKTVAICDYVAHVVPAKYVMKCDDDTFVRLDSLMAEVKKIPEGKSFYLGNMNYNHRPLREGKWAVSYEEWPREEYPPYADGAGYIVSSDIANFVVSEMEKGRLNLFKMEDVSMGMWVGQFNGSGNAVEYVHSSKFCQSGCEGGYLTAHYQSPAQMVCLWEKLRQGKPHCCNASLHPRPSHSQ >Et_5A_042196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7317349:7330460:1 gene:Et_5A_042196 transcript:Et_5A_042196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLHLTLPLPPYRLHVGVRRLLPAPPAYRARLSIVMRAAAVEVSAATELCTLPFPPDQALHHRELAAAAAVVERACRLCVDVKKSQFLDRRSILEKNDETPVTIADFGVQALISLELQRLFPSIPLVAEEDSASLRRSESDDDCNYAFVESIFSAVADKVSNVDSSLTHDDVLRAIDRGGKDSVSFDSNPATYWVLDPIDGTKGFLKGDDALYVLAVGVMGCPNWTDHPTDNEKDVNATAFSGRGLLMVSHVGCGTWSRRLTADHYGQTWDQIGQFTTVRDIWTRCYVDTCSEVHMARYCLSDGQTWDMIPLSLLFSTTTDEHDPRDENKVLILPIFWGSLSKYLAVAAGRASVFVMRIKETVIRKSWDHAVGIICVQEAGGQTSDWSGEPLDFAADETSRRNIYPSGGFLAAAAGLSSSAEEYRLPFPAENASHHRELAAAVAAVERACRLCVDVKRSLFSDGRNILEKNDQTPVTIADFGVQALRLFPSIPLVAEEDSASLRSSNTDDNSGDILVESIFGAVADKVSVTESPLTRDDVLRAIDRGGKDAVSFDSNPATYWVLDPIDGTKGFLRGDDALYVVGLALVVNGEVTVGVMGCPNWINDTVADKKDDSAAVSYSRGILMISHVGCGTWSRRLSGETSQYSTAQEIWKRCFVDACSVAHMARYCIPDSQTWDTIPLSVLFSSTTDESDPSDEKKILLVPVFCGSLCKYLTVASGRTSVFVLRARATTQIKSWDHAVGVICVQEAGGQISDWSGKPLDLAADLTSRRIIYPSGGVLVTNGALHDKLVEMISANFK >Et_4B_036500.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27217907:27218143:-1 gene:Et_4B_036500 transcript:Et_4B_036500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IQSHATTQDRCISRLGSLFGALVSRFTSPQRLDGHSFNQGLVEGTLLTTCEFEAWSTDSNHPSPLGMVEGAEPPNFSE >Et_7A_051541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20026295:20026589:1 gene:Et_7A_051541 transcript:Et_7A_051541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGHASATKLFRPASLQALNTLLPTQALSFSPGTFNLPDSTESVAMTTDIAMFMAELDACR >Et_4A_035286.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:17463156:17465093:-1 gene:Et_4A_035286 transcript:Et_4A_035286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAACLVFYYSRTSSSPAACPCPCRVGPPVLPAPWRRFRPAPLRASDQERLLADLREQTDPEAALRMLNSALAREDFAPSCAVYEEIIRKLGTAGVFDLMKGLVREMRQEGHEVKLGVLQSFLESYARLQQFDDAVDLVLNQLDFFGIQANTVVYNQLLNVLVEGSKIKLLESVYNEMTTRGITPDVVTFNTLIKALCRAHQVRTAVLMLEEMPSHGVAPDETTFTTLMQGFIEEGSIEAALRVKAKMLEAGCSPTRVTVNVLINGYCKLGRVEDALGYIQQEIAEGFEPDKVTYNTFVHGMCQNGHVGHALKVIDLMLQEGHDPDVFTYNTVINCLSKNGELEEAKGILNQMVDRGCLPDTTTFNTLIVALCTQNRLEEALDLARELTVKGLSPDVYTFNILINALCKVGDPQLGVRLFEEMKSSGCTPDEVTYNILIDHLCSLGKLGKALDLLKEMESSGCPRSTVTYNTIIDGLCKKMRIEDAEEVFDQMDLQGMSRNAVTFNTLVDGLCKAKRIDDATNLIEQMVNEGLRPDNITYNSILAHYCKQGNIKKAADILQTMTANGFEVDVVTYGTLINGLCKAGRTQVALKLLRGMRFKGMRPTPKAYNPVIQSLFKRNNLRDGISLFREMAEVGEPPDALT >Et_1A_006242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19558430:19559747:-1 gene:Et_1A_006242 transcript:Et_1A_006242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPASRGSSVPVISQETPEQQEDSSTRYSMMERDDVVAAGAYNDEEEEDEDDDLGAGRGGLGEKKRRLAADQVRALERSFEADNKLDPERKARIARDLRLHPRQVAVWFQNRRARWKTKQIERDFAALRARHDALRLECDELRRDKDALAAEIRELREKAEKQMAVKLESAEDRPLAAAGAATAAVYKQDGSTDSDSSAVFNEEASPYSGGAAATPTAFDHHHHNPHLHPSFAAGFTSFLASSSALSSSFPSMYSGGGSHLVDQEADHGLLGAADGFFAEDQHGTGLGSWYGGEGW >Et_9B_065617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8022320:8025251:-1 gene:Et_9B_065617 transcript:Et_9B_065617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFALLAAEAALALSLLFRTPARRLALLAVDRAKRGRGPVMAKTVAGIMLIVLASSGYSIGKIRRRSGELGQLTPTDQVLASRHLLEASLMGYSLFLGLIIDRLHHYIRELRTMKKNMEAITKQSRTLEEAKLGGAEKVQVYQKEIASLKEQVQVLKSQSQAKTEELKTAEASIVALQKQSEGLLLEYERLIAENEELRNQVQSIDRRMSHSDGKKNS >Et_9B_065899.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:1764693:1765130:1 gene:Et_9B_065899 transcript:Et_9B_065899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSSPDSHTDGSAGGGGFATDERKRKRMLSNRESARRSRARKQQRLEELVAEVARLQAENAQVQARITAFDGELSKVDGENAVLRARHGELAGRLEALTGVLEVFQMAGAPVDIPEIPDPLLRPWQPPFPLQPIAADAFQF >Et_2B_021901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6732348:6735500:1 gene:Et_2B_021901 transcript:Et_2B_021901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPKLPTLPRSWRPCLLAGAPSPRTRPFPPVPAAPSRRRRHLISPSAAASSGSHPRDSPIPPTKPYSSKKAPDEIGRWKAVPPGMRQSAVPDLEEPPPPPRWSARRTARAAWRKVSSWVPRKARSIILLNLVTFIFASNISVVKEAETMLDPDLFNMLRFTIAAIPFAPFILKSLRDMQIFIRGLELGIWVSLAYLAQAIGLITADAGRSSFISALTVIIVPLLDGLLGAVIPAYTWIGAFLSLIGVSMLELSGSPPCIGDLLNLLSAFSFAVHMLRTEHISRRMKKDNFLTLIGCEVFVVALVSAAWYVFKCLIQNVQHWNFKAWPPTELFGTLALFPWPAILYTGIFSTSFCLWAEVAAMRDVSATETAVIYGMEPVWGAAFAWAMLGERWGIMGFLGAIFIIAMI >Et_4A_032147.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21151144:21151329:-1 gene:Et_4A_032147 transcript:Et_4A_032147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KVKQRWSKTEGEFIKVNCDASYSPSDRSGGWGYIIRDQDGDVVSSRAEEIFCICWMVSMPN >Et_2A_016374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2471005:2472457:-1 gene:Et_2A_016374 transcript:Et_2A_016374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRLRVLDTVRLSPPAPARPAAPLPLSGLDADRNVLDVAFRTLRFFPAPPPLDPLAVLPRAFEAALGLFPALAGSIHDGHVVVSDASAVPLVLAASDLSVRDVDTDSPGSPLLDRLAPGDGDGEGSALALQATRFACGGVALGMRVAHALCDGAGATKFLAAAARFARGQQGAPPVWDRRDLLGPRRPPRVATPAFDRVLALDGDVARNGPYGGVATDGHERLTRECFHVSDTRVEALRARLAGEAGVRLTTFEVVAAFIWRARVKANGTSPGEAVKLVYSMNISKLLAPPLPDGYWGNVCVPVHVALPAGDLVARPLAATAALVKASKREVDDEYVRSYVDFLELHRGEGVTTGGGAAVSAFTDWRRLGHGEVDFGWGGPDVVLPLSWRILGSTEPCFLLPYGAKDERRRRGFKVFVAVQEKALSAFREAMAELLLQQHHSSVGKL >Et_5B_044293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22339860:22344217:1 gene:Et_5B_044293 transcript:Et_5B_044293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSLASREPYDEEAAVRRPLELEGRDAAASASSSSDYLPGSVMPRHQTSSAKSDTSNKYYEEWRQPGTDDVRKSKSGSRYFTAFGVDLSPDNMAVAIVYFVQGVLGLARLATAVVSGFSSLPWLIKPLYGFISDSIPLFGYKRRSYLILSGFLGALSWSFMATVVNSKYDAAFSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDAYGVRFVFGVTAFLPLMTSAVAVLVNEHRVPSGEHNILLSGSGFVESSKQHIRKLWVSVKQPNIFLPTLFIFLWQATPQSDSAMFFFITNKLGFTPEFLGRVKLVTSIASLLGVGLYNYFLKEVPLRKIFLVTTIIGSALGMTQVLLVTGLNRQFGISDEWFSIGDSLIITVLGQASFMPVLVLAAKLCPPGMEATLFATLMSISNAGSVTGGLIGAGLTRVFGVTRDTFGNLPILIVVCNLSSLLPLPLLGLLPEDSGNSENEETKHN >Et_7B_053737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11750583:11751730:1 gene:Et_7B_053737 transcript:Et_7B_053737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHWSDSALAVAVAAVAVLSALCSAHPVPAHGVGGFVPLTPHFYEHTCPQFGAIVGAIVAREHAKDPRMAASLVRLHFHDCFVQGCDASVLLDADGSGRFATEKRSNPNKDSLRGYEVIDEIKAALEHACPHTVSCADIVAVAARDSTVLTGGPGWEVPLGRRDSLTASLSGSNNLIPAPNDSLPTIINKFRNQGLDIADLVALSGAHTIGDSRCVSFRQRLYGQNNNGQVDRTLNPAYAAQLRARCPASGGDQNLFALDPASQFRFDNQYYRNILAMNGLLSSDEILLTQGRETMELVHRFAADQGLFFEQFAKSMVKMGNILPLTGNAGEIRHNCRRVNH >Et_7A_053063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:654635:657623:1 gene:Et_7A_053063 transcript:Et_7A_053063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPERGEAPPSDTSFEGEASVAAVASSSTSEQREDSGTKQAKTSILSSVFTPPFSIFEGHQDSSQPSGSKSPKSSSGSYDWSRILRRIVCSGSMWRLLGCTKVLTSSDVWFLGKCYKEEPSSDSDSHTGHSAFLEDFSSRIWITYRKGFDAISDSKLTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRKPLEKPYNPEYIGILHLFGDSEACAFSIHNLLQAGKSYGLAAGSWVGPYAMCRAWQTLVRTNREQAEVASGKENFPMALYVVSGDEDGERGGAPVVCIDVAAKLCQDFNKGQSTWSPMLLLVPLVLGLDKINPRYIPLLKETFKFPQSLGILGGKPGTSTYLAGIQDDRALFLDPHEVQMAVNISPDNLEADTSSYHCSVVRDMALDQIDPSLAIGFYCRDKDDFDDFCSRASELAEKANGAPLFTVVQSIQPLRQMYSQDEGLSSSGGSMGNNDCLDGSGETGVEDWQIL >Et_4A_032883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15050686:15053746:1 gene:Et_4A_032883 transcript:Et_4A_032883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTQDMSREPCPDRILDDVGGAFAMGVVGGSIFHFAKGLYNSPNGHRFAGGATAARMNVGRVGGSFAVWGGLFSSIDCGMVYVRQKEDPWNSIVSGAATGGLLSLRQGLGATGRSALVGGVLLAFIEGAGIMLNRYFEALQPRPEDMAQFPAGQEDTVQPVPGFLGVPPSPPIVVEEIPVPEPGPAGWLGGFFGKKKQVASVDRKSEVLELDLPSPAIPSFDYNWRPQLNYGALALAPGPTIPDSIPSKPAS >Et_1B_011178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18429739:18438630:1 gene:Et_1B_011178 transcript:Et_1B_011178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGAGECSGGCRRTKAAHAGAGAVAGFLVCLLLVWAIGGCGRSGCGGVAETEEMVGLSRSQLQALASLFSLSERECMGKSVLIGDGNQVNSMSCISDTSHAGDRITGGNKNWLTDVICQGLCTTQDKYDKNAPALLENKLLQNVIHQGIRSPTTHRNVHQCDLCDGISGLNVDQNIVSSSNQTVVFYRSALFGMIIISIVQAICKRGKNSNQLCHDERLLQVPSAKAGRKWSKRAVFICVLLGLCSSICIFSSMYADVVARRKENLVNMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKNPSTIDQRTFEDFTARTTFERPLMSGVAYASKVLHSERELFEKQHGWKIKKMETGDQSLVHDYNPEKLEPSPVQDEYAPVIFSQETVKHIISVDMLSGKEDCDNILRSRATGKGALTSPFELLKSNHLGVVLTYTVYKYDLPPNATPEERIDATLGYLGASFDVPSLVDKLLEQLASKQEIVVKLYDTTNGTKPIKMYGTDFTMSGDLHISSIDFGDPTRKHEMHCGFKHEPTLPWSAITISMAVAIIVLLVGHIIYATLNSLEKAEDNYRVMRELKGRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDTTQQDFVVTAQESGKALINLINEVLDLAKIESGRVELEAVPFDVRDILDNVVSLFSEKSQTKGIELAVLVSDQVPDVLIGDPWRFRQIITNLVGNSMKFTERGHIFIQVHLVAELNRTRNVFDDVSAQNKEVVNDPETLMPCNTLSGLEVADNWKSLNNFKMFKYSNDAMDTINLAVTIEDTGIGITKDAQTRIFTPFMQADSSTSRTYGGTGIGLSITKCLVELMGGEVGFTSKPGVGSTFSFTAIFKKSNAFCKENQKSPGDIKRYCSEPTPSDFHGMSALIVDGRCTCAEITIYHLRRLGIQCDIAGTYESGFSALLDNSSLLADVVIDIYVLNSRRSLNMVLVDKDACGEGSGLAFYRRVVDLRLNCTHKSSQSTPKIFLLGSSISPAESDYLRLTGYGDFIRKPLRLSTIAACFRKAFGVGVTRQHRKDQSLVLRSVLTGKQILVVDDNAVNRKVAAGALKKYGAIVTCVESGNDAITMLQPPHTFDACFMDVQMPEMDGFEATRLIRAVEREINDMIQTGKVSVQSYSDKAHWHVPILAMTADVIQATFEECIQCGMDGYVSKPFEEQQLYSARFMIIVTSEVHYLL >Et_4B_038252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27573948:27579534:-1 gene:Et_4B_038252 transcript:Et_4B_038252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPYRTLAAICSLLSRSLLPANAKGQRNAVADFAMQILRRKLLEASRRLPLFSAAAAATQQRRAHALAFLAAAMRAPTTSSLAAAPWAATQRRGAKMLGSDVKLGNVIQRRGVIKAQHSHQGRGGATIQVELRDVDTGNKITERFRTDEALERVFVEEKSFTYLYQEGDNITLMEPETFEQLEVSKELFGKSAAYLKDEMKVTLQYFDGRPMSASVPQRVTCTVVEAQPNTKGLTAQPHRCDECSLGDGKEPDDGNRGNLESNFECLHGLQRRAAA >Et_6B_048763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13308927:13321341:-1 gene:Et_6B_048763 transcript:Et_6B_048763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVTGAMSTLLPKLANLLTEEYKLQKNIRGEIMFLKAELESMEAALLKISEAPIDEPPDNQVKIWAREVRELSYDIEDSVDTFMVRIDTHAPTRKNPHGFRVFIERTINLLTRAKIRHKIGTNIRGIKNHIKEVSERRDRYKIQNVATRPVGQTVDSLRLAALYRKTTELVGTKEKTEELVELLMVPNQKQLRIVSIVGFGGLGKTTLAKLAYDRLKGRFQCGAFVSLSLTPNMINVFSNMLHQLGQESEATWNEAQLIDELRKVLSGKRYFIVIDDIWNIVVWETIKYALVESELGSKIIMTTRKLDVAKQANVVYPLKPLSLSDSRKLFYLRVFGCEDEYPPNDLAQVSDNILTKCGGVPLAIITIASLLASKKGKEHSHVRWSKVYQSMGSGLEDSSDIKDMRRILSLSYYDLPPHLKTCLLYLGLYPEDSEINTEVLIWKLVGEGFVCKEEGKSIYEEGEHYIKELVNRSMIQPEAIGPDEMVATFRVHDMVLDLITYLSGDDQFLTVLDGQSSNSLPNKVRRLCLQTRNEDDAKQLSTMSLTHVRSLTVSAASFNLVPSFSRFPVLHTLDLNGCKKVVNHHFRDICNLFHLRYLGLRSTRISKIPIEIGNLQFLLVLDIGWTEIEELPSSFVQLRQLMYLCVDYPVSISNGFGNLKYMEALIAIIVVESPTMLQHLEGMTELRSAKFQFLNWDEVCKKHFLQWLFKMPSLKYIEIYGCNGDLDFPFDTLSRTPQELLSIHMVGGIIPAVPRWMSSSILSSVTIVLQTIGDEDLRLLGSMPCLSSLSIKVEEKTKEREKKLAISEVYPFRCLKKLCIRHIMEVTFAPGAMQNLVTIHLAFELRQIIDQFGDSNFGLENLASLVDISAEMICFNANISEVIIAEATFKKAVNRNPNRPTMNLVKRLGAWPQS >Et_4B_036091.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:22613523:22613795:1 gene:Et_4B_036091 transcript:Et_4B_036091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADGCMPNISCFSSVLSACATLRDFREGTRIHDNALKMGSSANVFVSSSLVDMYCKCKQCIDALKIFSSLPLKNIVCWNSSIAEEAFFD >Et_6A_046357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13684484:13684918:1 gene:Et_6A_046357 transcript:Et_6A_046357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQQGIGFIWKLRKGERRNAGR >Et_2B_020492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20716906:20717354:-1 gene:Et_2B_020492 transcript:Et_2B_020492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METNGFLVLRSLAVQIQTTPVDDATTLYCYNSLAHADDNEFQLHSTRRTCHSTAPHRTCLDVVVFLANDNPFSRAVPDFDLTKFAAFNVSNNSLTGPIPRRTGRFGADSFLPNAAGLCGPPLFAPCLISPAPQPSYSDEN >Et_2A_016720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27575607:27579023:-1 gene:Et_2A_016720 transcript:Et_2A_016720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAVDAGDMPEATVRNLLDQETLKWVFVGGKGGVGKTTCSSILSVLLASVRQSVLVISTDPAHNLSDAFQQRFTKFPTLVRGFSNLYAMEIDPKVENDDLSGEGMEGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKVMELKNRFGGLLDQATRLFGLGDDLNEDAMLGRLEGMKDVIEQVNKQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDSHNIIINQVIFDEEAVESKLLKARIKMQQKYIDQFHMLYDDFNITKLPLLPEEVCGVQALQNFSRHFLTPYKPALKRGTVEELEERVSILRSALQEAEAELDRVRKGKQAA >Et_1B_009717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19937326:19938236:1 gene:Et_1B_009717 transcript:Et_1B_009717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIITNSSRTSEENLSCVSTTKCSDVLTWGIKHDLLLVPCNWRSTGCPQSLILMLLKIVPLLNEIWCPRVLASGAFPGSKRARRSHLHTQELLGLSPDDPAAAAAPPAPLRGDLVVPASAARVHGLRSRLQSLEQAPPSSSPVSEKQLPP >Et_3B_027629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6410373:6411225:-1 gene:Et_3B_027629 transcript:Et_3B_027629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HRTTRAGDLGGCELGDGLGALRDGVLGELAGEDEADGGLDLAGGDGGLLVVAGELGCLAGELLEDRGSLTWRKRGREEADGKWFPARVCDVVGVWDEREFFRGMARELR >Et_6A_047167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26205979:26207664:1 gene:Et_6A_047167 transcript:Et_6A_047167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTAEEYYYELISRNLLLPDPLYFDQDRCKMHDLLRQLACHLSREECFTGDPQSLEDKIMPKLRRISVVTEKEVVFSPSFDKQKFRSLAFDHSLCKKLSYVRVLDLDGPSIQTIPDYIGSLIHLRLFFLSGTNITCLPESIGLLKNLQTLCLNRCASLHNLPLALTRLCNLRQLLFNKTPTNQVPKGLARLEFLNTLDGFPIGGGSDNSSKMQDGWNLEELGPLLQLPDVELIKLERATTCNADPILMGKKYMRTLQLWCTERTDKSYSEENISNIEKISEQLIPPQNLENLVIGNIFEFSHLARYCYPFVCLGENEPHRLPILCASSSNCTTQQLEISEKSEEHLQLPRLDPNLLALGWVFLDRQRQLLSPSLNGWVIRDMPNWEDWTFVLEEEATTAGTNGEEYGVATKQKGEAPPPRMRLLPCLKDFSLANCPKLRSLPWQLGQEATGLKSLHLRDLHSLKVVENLPFLSEMLLITDCQFLQRVSNVPQVPELCVGGCPNLRGVEKLGNLQRLRLDRSMLEVSQLWMPGLQQQCRELHGEDLDVHDW >Et_4A_031823.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:18947964:18948614:1 gene:Et_4A_031823 transcript:Et_4A_031823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQCIPLCKDLVAELAFVDCCCLQHVRKTAEFHLAIRTTVFWLHQLIIPRLVINVKIQPHLTLIQIVGRVAITLIIFIAILYSS >Et_10A_002080.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21622605:21622910:-1 gene:Et_10A_002080 transcript:Et_10A_002080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSTTSAATRSPASTLPPVNVPTCDQPSFQTATVAPAAFSPVAARDPFCAKSPAAAQDNDDDFDLVNFLDDTLETEQAEEDEAQDDTDWFAFPLANQIR >Et_3A_025144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27303179:27306812:1 gene:Et_3A_025144 transcript:Et_3A_025144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMGGSVPPASNSRMPPLPHEPAGFYNDHSATVDIPLDSNKDISKKERELQAKEAELNKRERELKRREEAASRAGIVIEEKNWPPFFPIIHHDISNEIPIHLQRMQYLAFSSLLGLTTCLFWNIIATTAAWIKGEGVMIWLLAIIYFISGVPGAYVLWYRPLYNAMRTESALKFGWFFLFYMLHILFCVWSAVAPPFPFKGKSLAGILPAIDVIGNNAIVGIFYFIGFGLFCLESLLSIVVIQQVYMYFRGSGKAAEMRREAARGAMRNAF >Et_8A_057900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:984357:985710:-1 gene:Et_8A_057900 transcript:Et_8A_057900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTVRSDGDEYDNVGGESDGRSLPEDQVFELLTRVSLDDLAACRMVSARWRRLTYEPGFARLHCRRAAAVSGYFVQSMTRNRYAADFVSAHSDKTIKISLAFLPSAHVRIVAVAAHGGLVCCEGQADARRRAPCYYVCKPATRQWRALPNPRVRFRTAATAMVARRPPSGAAAAAEFKVVRLSVVPERRDRLRCEVFDSRRFAWRQAADVALPPGSLVPSAPAVGAHGAMHWLRWPDRLTGAQDVFAFDMKSEAWRLIRLPPEVEGRWARKTITAVEGRLCLLVTMEVADEEVVEVWEMASYVEERWEKKMTVGLNSLHMQEGRAVVLRDLCSLDVAFFDSFCRVMWYDFWRGKMAEVQVNHICVQEVFKFESGSVPCEIGRLTCRSPAHSPLEEAPTACLDDVDKFS >Et_5A_041880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3872201:3873184:1 gene:Et_5A_041880 transcript:Et_5A_041880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITDQRSSGCNKDDILDALLSENEGIREKLSDEQIIDLLITLIYSGYKTVSATTMMVFKYLSDNPKAIEQIRKEHLYIRKGKAPEDALDSNDYKSMTFTRAVSNLRDTKISYYSDVIPKGWRIYTREINYDPSQTHVGEESGITSTLHVVWRRCPNVPEGSYWRKKEPTQYQSSEEWRLPTGYMSEFKITDPATFC >Et_2B_020052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16482478:16488109:1 gene:Et_2B_020052 transcript:Et_2B_020052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEERIVVSVRLRPVNAREAERGDGSDWECTGPTTLAFRGTIPERAMFPAAYTYDRVFSAECSTRQVYEEGARRVALSVLSGINSSVFAYGQTSSGKTYTMVGITEHSMSDIYDYIDKHPEREFVLKFSAMEIYNEAVRDLLSTDATPLRLLDDPEKGTIVEKLTEETLRDKGHLLELLAVCEAQRQIGETALNETSSRSHQILRLTIESSPKQFMGRANSSTLLACVNFVDLAGSERASQTAAAGMRLKEGSHINRSLLTLGKVIRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAHCHIEQSRNTLLFANCAKDVVTDAQVNVVMSDKALVKHLQRELARLENELKFPGSASCSNHAEALREKDELIKQLEQKLKELMEQKDTVQSQLDSFRRVASEGYIDECTSRRWNERSLPHNASEGALSSSDTYDPTYEEQDDFGSKALDASHICNGHHHNPELSERTARQHQKIIEEQPMFSLDPTSNPNSESTDTHQANKEATSEASEEHCKEVQCIETDELRRRKSQVFSPAIRSYADADADEEKHGERITSTAYSAIQLYTCDTDPSSDTEKPKTDESLALKRCVVSSKEGVLSRSRSCRASFMVIPNSWFDNSADMNMTTPSEIFKYSPSRPEKVRRSLYTENGDHQNDLSMDCPVVTGRVASDEVTDKSTCNFEEEGISSDISCITETKTKGCTVSHKEKNEKDVGENSSVTTVESPSRWSINFEKKQKEIIELWQECNVSLVHRTYFFLLFKGDKADNIYLEVEHRRLSFIQSSVGAGGEPNATVTSSLRNLRHERDMLYKHMLKKLHLPERESLYTKWGIDLNSKQRRLQLSRRIWTQTDMEHVRESAALVTKLVEHLERGQAIKEMFGLSFTLNPRDDRRSFSWAGA >Et_2A_015192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35028547:35029578:1 gene:Et_2A_015192 transcript:Et_2A_015192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFVDAVVAVRVSGRRGAGEPADEKLQALILVRDALSMASAQILSSFSSSPCGEDYTDENRDILSAELLAKLDEAIWDTMKETKFSFYSRGTLSVINCINVMWPNYGSVNRILDDAFLRGEFVPENENVSHLTNLTIQMVRSLVEMITRNSQSFPNQNLKFLFLINNFNFILQQLHTNCRLGSLSPMPELAGKIDDHVNSYIQVSWAPVSECLRNPTPHFFFERRSPLPRFELKFQQTYTGQKLWKVRAPEMRKRLRNAIVEEVIPVFREFLKDNSNSTPRVTPQEMTKLLNELFEG >Et_1B_013157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:618419:621481:1 gene:Et_1B_013157 transcript:Et_1B_013157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIDRQRVLLAHLLPSSSSSQPQLAASPCAAGDSAAYQRSSSFGDDVVIVAAYRTPICKAKRGGFKDTYPEDLLTVVLKAVLDNTRINPGDIGDIVVGTVLGPGSQRANECRMAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMSLNSIGWEGQVNPRISAFQKAQDCLLPMGITSENVAHQYGVTRQEQDQAAAESHRRAAEATASGKFKDEIVPVPTKIVDPKTGEEKKVVISIDDGIRPGTTASGLGKLKPVFKKDGTTTAGNSSQVSDGAGAVLLMKRSVAIQKGLPILGIFRSFAAVGVDPAVMGVGPAVAIPAAVKSAGLEIEDIDLFELNEAFASQFVYCCKKLGLDRSKVNVNGGAIALGHPLGATGARCVATLLNEMKRRGRDCRFGVVTMCIGSGMGAAAVFERGDAVDELSNARPIQSHNFLSRDTN >Et_1B_011513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22992287:22992594:-1 gene:Et_1B_011513 transcript:Et_1B_011513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SGRSAISDDARLQLRLPIDLSRAESFAASVRRFRAAGGSGTSLPDHDGAGTGHMTNIALKYLILTGYLWAVGSEDPNATDH >Et_1B_010573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11762261:11771261:-1 gene:Et_1B_010573 transcript:Et_1B_010573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAHKIIYKRDTCANGRYYLPNASPYQLWSRSFASENGDLVEAVVPFMGESVTDGTLANFLKKPGDRVQADEPIAQIETDKVTIDVASPEAGIIEKFIASEGDTVTPGTKVAIISKSDAPTESHAAPSEETFQKETLPPPPSEKNKVEEKSPKVKPIKTQEPKETTPPLKSSSSEPQLPPRERERRVPMPRLRKRIANRLKDSQNTFAMLTTFNEVDMTNMMKLRSDYKDNFVEKHGVKLGLMSCFVKAAVSALQNQPVVNAVIDGDDIIYRDYIDISVAVGTSKGLVVPVIRDTDQMNFADIEKGINNLAKKANEGALSIDDMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQHPVVVNGSIIARPMMYVALTYDHRLIDGREAVLFLRRIKDVYCSQKVLSLNDYIRNATGLSRWSITVPCGGDLSVQWYLMESVVSRSTAAFVVVSR >Et_4A_035245.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:14116215:14118014:1 gene:Et_4A_035245 transcript:Et_4A_035245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDVGQSRKRLFIGVLSVCLLVALVVGLVAFFVTEKAEEASDLHKRTMSTTTRVVDLFCAPTDYRGTCQETLESALSRSSNTSDHPHAAAAAAITAVARELASGFNRSTLLDAVRQSNDTLVWEAVRDCRMLLDDCARNVDRALASIAWRGVDGPAQDLQAWLSAVITFQGSCVDMFPKGEIRDQVRASMQKAKEISSNAIAIIQQGAALAAMLDLHTGVDGGDNVKANGNDTNSKRRLAEEGEEPLIPGWAYEDQDRRKLLDDDADGDGGGTNTSSNTNKLGLTPNVTVAKDGSGDFTNISAALDAVPEMYAGRYVIYIKEGVYEETVNVSTRLANVTMYGDGSKKSIVTGSKSILDGVRMWRTATFSVDGDSFTAMRLGIRNTAGVERQQALALRVKGDKAVFFNCRVEGNQDTLFAQAYRQFYRSCVISGTVDFIFGDAAAVFQRCVILVRPPRAGQPAVVTAHARRDHQQTTGFVVHKSSIVADDGLSGDVKTYLGRPWKEFARTVVMESVIEGFVHGEGYMPWEGQGDLGTAFFGEFANTGDGANVTGRKDIKGVHVMPKDKAVQFTVGRFLHGAEWIPDRGTPVTLELSSS >Et_1B_012524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32839652:32841790:1 gene:Et_1B_012524 transcript:Et_1B_012524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDVRSLHKVGYGSKHVRDLLLLQVVSLDQGGARGAASRAAQEQEGFFKFEVLEAATGRFAASRILGRGGFGTVYRGRLDDGRQVAVKRLHLPTAAWQFENEARLLSRVRHRNLVGLLGFCTRGDSEKLLVYQYVPRHSLAKVLSSSDGRAELSWPRRHAVVTGVARGLVYLHEDAPVRIIHRDIKAANVLLDDRWVPKIADFGIARLFPEASEVYSTVKTAAVGTKRYMAPEYFIHDYLSTKADVYSFGVLMLEVISGSKSHTFVPPPDAESGNLLVHAWTLYKKGRSLELLDPAAQSSATPEQVEPHQRPDTKRLLFMLSTNQGTLEEPTRPEFPMLQYMLRRDYDQLHAAFHTSASTFNSPRALQASSSGAI >Et_1B_012909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4047884:4052020:1 gene:Et_1B_012909 transcript:Et_1B_012909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLHNFVLRGYFAAHAAVPGKPYNEYFGFVATLDVYGYNISRGQMSACSVWIVNHGDGSDKSYNSIRVSPELFGDSNTHFYTHWDGDWNMARGCYNTDCPGFQLEKGSKIAPGAIIPHGSTADGSRHTITVKVFKEQSSQNWWVYCGIDNDTPTAIGYYPANLFAGLARKGDSIVFWW >Et_4B_038524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29677496:29680148:1 gene:Et_4B_038524 transcript:Et_4B_038524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRLRSAAPLRGILLRHFTVGHPASPSPAFSRVTDIQVPQCIMWRHFSTCKPNYPAKQDNFGLVACLYGQTRCASQAAAVKQTDATGTKISIGPKPKQIKEDDKDDSLVYEGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPDMNVILKGAVASTVIFLSATTTAALHWFVSPYIHKLRWRPGSDSFEAEMMSWLATPLKKTVKFADIRPPETNRPFVTFKADGNFYFVDAEHFSNKALLARLTPTKQHHESAFKNLKVDMKGASYMIEGAPLPLVR >Et_3B_031541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3959410:3964696:-1 gene:Et_3B_031541 transcript:Et_3B_031541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKDSSSSSGATVGGKKDKPMSVSAMLASMDAPAAKGKSSKAGAPSSKPKGKPSKAPVSSYLADVDLPPSDDEEDEADIAAAAAAKPKSARAAAVDLNAVAGPSQKDAKKKDKREAMAAAAAEAARQEALRDDRDVFSVVIGSRVPGSAAGGADGDGAVDDNVKDIVLENFSVSARGKELLKSASLRISHGRRYGLVGPNGMGKSTLLKLLAWRQVPVPRNIDVLLVEQEIIGDDRSALEAVVAADEELTALRTEQAELEASNNPDDNDRLAEVYEKLNLRDSDAARARASKILAGLGFDQAMQARSTKSFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEEYLCSQWKKTLIVVSHDRDFLNTVCNEIIHLHDKSLHVYRGNFDDFESGYEQKRKEMNRKFEVYEKQMKAARKTGSKAAQDKVKGHALSKAAKEAAKSKGKGKNTAEDDDDQKQVAVPQKWRDYSVEFHFPEPTELTPPLLQLIEVGFSYPNRPDFKLSGVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLTPTEGEVRRSQKLRIGRYSQHFVDLLTMEENAVQYLLRLHPDQEGMSKAEAVRAKLGKFGLPGHNHLTPIVKLSGGQKARVVFTSISMSHPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCEDEQRSEIWVVEDGTVNSIHKVMRSSSIQQGTEFKNEEEQCSGHDWSRRSIREQVKGLPLEECCKVGAVAGR >Et_5B_043239.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:19560424:19560747:1 gene:Et_5B_043239 transcript:Et_5B_043239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITCGQSRMPWRRSSVSVTFGLEMLLMNKSMDLVVILQRIAETEQEVWWNEQEHHQSCRRSVLSNTYAHALKHMPPNRRENKIWRSFFLLHFFYSIFPKFLKFKMYS >Et_4B_037472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20083258:20091670:1 gene:Et_4B_037472 transcript:Et_4B_037472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEYEEGMEVGYGGHHRGGGHGGYEEDDEAGYGGGGDGDEMDEDVEGEGELRDEEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGRQAEFAETLHKISFGQIYLSKPMMTEADGETATLFPKSARLRNLTYSAPLYVDVSYRVMKKGHDCEEVTETMEYPKVFIGKVPIMLRSSYCTLYQQSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKFAYVAEVRSMAENQNRPASSMFVRMLSRAGAKGGSSGQYIRATLPYIRADIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTREKRIKYAKEILQKEMLPHVGVGEFCETKKAYYFGYIIHRLLMCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKEVNLQFAIKAKTITSGLKYSLATGNWGQANQAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSHWGMMCPAETPEGQACGLVKNLALMVYITVGSAANPILEFLEEWGTENFEEISPAVIPQAAKIFVNGCWVGIHRNPDLLVKTLRRLRRQIDVNTEVGVVRDIRLKELRLYTDYGRCSRPLFIVENQRLLIKKAHIRALQQRETPDEGWHELVSKGYIEYIDTEEEETTMISMTINDLINARQNPEEAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQLRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEEFGRPNRENTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTSPIPQDDAQGQASRYSKRDHSTSLRHSESGMVDQVLLTTNADGLRFVKVRMRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTIEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRKLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAFFLKERLFDQSDAYRVHVCEKCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMAMAIAPRMLTHDIKTGKDQKKRIIAWQKGMLEIT >Et_2A_018185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17071912:17074720:-1 gene:Et_2A_018185 transcript:Et_2A_018185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPADDAAAAPAPDSWETADMSRLILSARRASSSPDLADERDQTPALPSQQQQQAPAAPLAPAREDAVAQVDQFLREALEKPRERLSVLRMEQEILKFIRDPRQTEFEFQGLPTSYLRLAAHRLAQHYFLQSIAIPDNSLPDGTGSRIILRKTSSECRLPAVRLADIPVNLPQDQSLPVTKVAIKQRPQKNLHSMNISGANSSRDNLKSVEERKEEYNKARARIFNNCGSSSSADGRSVDEVTLPNTLHRSSSLELNSSNRLGQGAEITLERSLTTTSASSRSNRNKTEKEPTGNRNRQNNRVAIFRDRESERKDPDYDRSYDRYMQRFDPGFGFNGGAYTIQPLYAPAVTYNTEFPQLGSALRSPVAVEQQPRPIAQHIPGTWSAAQAPNAIGYGPPDGGMSSYSPGQTGAPVRSPVFMHASQQYAMPSRPGVPFVHPQEPMRPFAQTHHQQQSEASLRLARPR >Et_1B_013711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13599552:13603590:-1 gene:Et_1B_013711 transcript:Et_1B_013711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKAARSSVKPGIWRRRVGAPLAALLVVLAVVVFSGKLGKGPNASSQFTNTLQPLRDKPDSAGIGGSPYKEDLATGTSDQELDAGNPGVALLALVSTNKLPKAPIWQWAREGQRQRCRHKRAKRAQQDQSLCRRNFPRMIWKEKRPLQSQCSSILALYGSVPYTKCTPQNGTTICDLSNQRFDICELCGDARIIGQSSTVLYIPQPRASNSEEWSIRAQSRKTLPWIKKVTVKSLNASKPEPRCTSRHGMPAIVFSLGGFTGNVWHDFSDVLVPLFLTARQFDRDVQLLITNNQPWFIKKYSAIFHHLTRHSVIDLDADNKVRCYPHVIVGLRSHRDLGIDPKSSPQNYTLMDFRLFIREAYGLPAPKVAIPYRSDKDDPNKKPRIMLIDRSKTRRFMNAPDVLSGLDWFGFEVVKADLRVDSNLDEFVRIVDSCDAIMGVHGAGLTNMVFLRSGAVLVHIVPYGIEFMADGLYGAAARDMGLKHVKYSISPHESTLLEKYGWNHTVIKDPEAIRKSGWEKLGELYMSQQNIVLNITRFAPTLLKAIEFIM >Et_4B_038796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4941469:4949313:-1 gene:Et_4B_038796 transcript:Et_4B_038796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRAFLGAPCSSLAAGARRLAFASPPSGALTLALRRRVGVRCVTSASSSPDAASAPEPYVLTTPLYYRLLEKKVIFITGTDEHGEKIATSAEACGRNPKEHCDTISNSYKTLWADLDIEYDKFIRTTDLKHEAVVNDFYSRVLDSGDIYRADYEGLYCVSCEEYKDEKELAENNCCPVHLKPCVPRKEENYFFALSKYQHKLEELLTRNPDFVRPSHRLNEAGLQQAIGRGWPASLHLIGKDILRFHAVYWPAMLMSAGLSVPDAVFGHGFLTKDGMKMGKSLGNTLEPKDLVERFGADAVRYFFLREVEFGNDGDYSEERFINIVNAHLANTIGNLLNRTLGLLKKNCKSTLAFDSIAAADGVSFRDNVENLVDKAKYQYENLLLSSACETVLEIGNLGNLYIDEQAPWSCFKQGGDSAEKAAKDLVIILETMRIIAIALSPITPSLSLRIYTQLGFTEDQVRGLRWEDTKWGGLKAGQVMTEPKPVFARIENETEAEAQAGSKASKGGKKKARSKGLVEA >Et_10A_001918.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:11131266:11131928:1 gene:Et_10A_001918 transcript:Et_10A_001918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRYVNSLVARAQVVAVSVGYRLAPEHRLPAAYDDSWAALAWAVSSGSDPWLARHGDLGRVFLVGVSAGGNAAHNMAVHGRLPSPVVVEGVALLHPSFSGEQRMTEEEDEAFWRANNERWRIIFPGAGGADDPRINPTAAGAPNLAKLAGERLLVCTASEDPRAPRAKAYAEAVRDSGWRGEVEWFESEGEGHGFFVLNPGTRTAEELMDRVDAFIAGR >Et_7A_051833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25273755:25277343:-1 gene:Et_7A_051833 transcript:Et_7A_051833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVGNSNAYLGKSKNQVYFGVVARRHLRVWMLAESCGRMEWQLKYEADIWLNAVHVMRQVEGSWMVEEDSDADTQTDREESTDYDSDTEEYIEAKSEGTIEWDSDNDGVSQLKPEMSNGATGVLIFLDFILSRKFAHSSMVDRLPHDAAADVLRVLPPRSLAVARCVCKGWRDIVDAHALLLPHLVPHSVRGVFFNYIDHYRPHLFSRPSSSSTFPRIDGLLSFLPNDGEHDWWMVLDHCNGLVLCEIERRRQFCVCNPATQRWTLLPERTKDNNEHTFAYIAFDPIVSPHYEVFLIPNLPKKPKPAAPESSSVDNGNKEQDEDPCRLMEWPPSPWIFQGVLISDWSVGGQVLSPGRSTNGNSPTPEIEADMVADTERLRCVPERSTLCALSRFSFSSDKYQVVNMPVDKTNAYLGKSKNQVYFGVVSNKHQLRVWMLSESCGRMEWLSKYESDIRFHADHVASVPYNNGRQPDDWMVEEYSNIETETDGSTEYDCDTEDNIEAKSEGTIEWDSDNDGVLTTEAEYEQWCKGYFEILGFHPYKEVVLFMVRWFGVVSYHLNSSKIQFLGKARPHCYCRNHSNGIYESFIYTPCMIGDLHHGENT >Et_8A_057076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20853005:20854752:-1 gene:Et_8A_057076 transcript:Et_8A_057076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SCCRACSTATSAASCTGRDIKGSNPLIDHHGVLKIGDFGLANYYGGSPAAWSRSDTALTDYGVGIDLWSAGCLLAEMFLGKPLMPGRTEARQTFRLDIALCGSPPDDYWPKMKLSATFRPPKAYKPTMAERCRDMPPSALSLLTTLDRAGPAERRK >Et_10A_001475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3864456:3868628:1 gene:Et_10A_001475 transcript:Et_10A_001475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ISWDSVMRMSADLRDLFLYEAFLYYNPLLLVALMIWLWGINLWVFAQSSVNYAKVFDLAQTHLSHREIWRVLLYAVLLIILLSPFDMFYLSSRFYFLRTVWRILLPLQAITFPDFFLADIFTSMSKVFSDLERSVCRMVNRQVATIAWFEADSICGSHSIAIPLVLVFPYLCRFFQCLRQYKDTKEKTCLLNALKYSTAIPVIFLSALKYHLSAHLRHNYLTVFAITALEIVRRFQWVFFRVENEWNKMTSKQSLEMSSDMPSEGDRLLDSSSHT >Et_1B_014125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31517311:31520164:1 gene:Et_1B_014125 transcript:Et_1B_014125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLVASHRLRLPAAGAAHHPHLLRHRPLAAAASLRLPRHLPSPTPLRLPAALPLRPCLPPLRAAASAAASPAPGDAASSSSPKFLGVETKTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAVGFMLLYTKLADVLSKEQLFYVVIFPFIAFFGAFAYVLYPMRDAIHPTALADRLLAALGPSFLGPVAILRVWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANVALIFSGRTVKYFSNMRKNLGPGVDGWAISLKAMMSIVVALGLVIAGIYWGVNRFVIDKSSMPVVERKKKDKPKLSLGESMKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRVILRKFGWGVAATITPAVLLLTGVGFFSLILFGEPLTPLMTKFGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGILLVIVLAWLGAVRSLDSQFSPLAKQELEREKMVKAQTIETTAQVVGTGNGSVQGSENSTNGSAIKPSQEPESTTPEKSGQQAQ >Et_4B_037850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24036081:24036521:1 gene:Et_4B_037850 transcript:Et_4B_037850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVATASRGMRALAVLGRCVRAPFRALVRARDLYVSSMSACAGGGGGPSYGFYRSAAGGDDDVRELVRAASRARPPAGVGPRSHSVAVGRIDEDRPCEFGVDDGVGLGALGPRSKSCAVGPTARRTAARRVGVAAY >Et_4A_034049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29418091:29422645:-1 gene:Et_4A_034049 transcript:Et_4A_034049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGFLLSPPPLPLPLPGATSMYLTSARHGRPTLRPLEQGCRCGRPPEMAPSSTSSSGGVGSYDRESAFSPVGLEGGASSHRQTGDAEPGYQETSTKVHASNGDYEGWQKKQDSKDDLSKSTSKSRYVQAFGVDLSPDNVAVAIVYFVQGVLGLSRLAVSFYLKDDLRLDPAETAVISGFSALPWLIKPLYGFISDSIPLFGYRRRSYLILSGLLGALSWSLMATLVDDKYSAALSIVLGSLSVAVSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGVVSAYFSGSLVDTYGVRFVFGVTSLLPLMTSAVAVLVNEERLPLRERSISVSGSELIENSKLRIIQLWNSVKQPNIFLPTLFIFLWQATPQSDSSMFYFITNKLGFTPEFLGRVKLVTSVASLLGIGVYNTFLKAVPLRKIFLVTTILGSALGMTQVLLVTGLNQELGISDEWFAIGDSLIITVLGQASFMPVLVLAAKLCPSGVEATLFATLMSISNAGGVAGGLLGAGLTQLLGVTRDDFGNLALLIALCNLSSLLPLPLLNLLPDESPDTDNAQAKID >Et_2B_021861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6163958:6170849:-1 gene:Et_2B_021861 transcript:Et_2B_021861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPPAPQSDRAALLKAFDESRTGVRGLVESGVSSVPDLFVHPDPYASAPLAPPGLSIPFVDLSLPAPVAAASAAEAARDWGFFHLVNYHQALAVPEGYPARALDAVRAFNELPAADRAAHYGRAMPGGVSYSSNVDLFRSPAASWRDTIQIGFGPQRADPARIPAVCRDEVLEWDAQATAVGRAVLGLLSEGLGLAPAALEEASCHEGKVMVCHYYPVCPEPERTMGVVAHTDPGVLTVLAQDSIGGLQVKHTDEEGRSHWVDVNPVPGALVINVGDLLQIMSNDKYTSVEHRAIMNTREEARVSIAIFFNPGKRGDSVFYGPLPELVSSENPSKYRTFTMSEFFGTFFKRDLASKALIDHFKLMDAASHLMVLAQDGIGGLQVKHVDEEARSRLVDVKARPGALVINVGDLWQLVSSENLAKHSYFSMCEFLGTFFSRDLASKALIDHFKFMPSDRAALLKAFDESRTGVRGLVESGVSSVPDLFVHPDPYASAPLAPPGVSIPVVDLSLPAPVAAAVAAEAARRWGFFHLVNHHQALAVPDDYPARVLAAVRAFHELPAPERAAHYGRGGGISYYSNIDLFRSPVTSWHDSIMLYLDQLEHPVRMPHACLADVPGWEAHATAVARAVTGLLSEGLGLAPPALEETTACLEGKVMVCHYYPPCPEPERTMGIVAHTDAGVLTVLAQDAVGGLQVKHTDEQGRSQWVDVKPVPGALVINVGDLLQIMSNDKYTSVEHRVIMNTSKEARVSIATFFSPVKKRDSVFHGPLPELVSSENPPKYRTFTMSEFLGTFYKQPLPSKALIDHFKFCLGCACLKALLNIATHEAIKSFKKERPNYISTILDQK >Et_7A_052511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8697347:8699967:-1 gene:Et_7A_052511 transcript:Et_7A_052511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSRQGVQTMGCGVSSQKDAVGPRKRPGSVGDVVVFLPGLRMPRTVDFAQALGGCLDRSVVERLSSLRARVVEMAMQESAVALKPKRRTAARHGSSTANLLQALEDYLPVLLGLLKEGNTLRNKVQFIWTNQEDKAEETAMADAWYEVLSVLHLMAMVCLLQANSLLLPRSYGDGYAPRVSEESRRATVDVFLKAAGYLDCAIRQVLPQIPPELRRQLPVDLAEGNLKALSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMVKYWHQVQESIPELPVSDGWGRKHLLFVKWKYVEAKAAAYYFHGLILDEGNTEKSHGMAIAALHASEEFLKESKRVSEAFHAAPPISRSPTPFGTAKYLFDKVPRDASSKVRINQDLYTQAKVIGTPPPLPDFALALTPDDYELPPLDPVWSKEDNHC >Et_4A_033662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25484130:25490513:-1 gene:Et_4A_033662 transcript:Et_4A_033662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEGSDPLWRASSAYWRGAFFVQCNGSSAVLRISLSDDKYRVIELPADIGEAKYNKPYLGQSKHGVCCALFDGWFSCKFRVWILDESCGKIEWVLKHHIDIEHNVSRVMSSRSQIEGPWILEDGNDDEAYGANVNNNALVKKVMEGDPMGLPALPEDVLADVLRRLPPRSLAASRCVCKAWRAVVDGRRLLRADLLPHSVRGIFLMYHDLDFPVFLSSPSTRPVMIFDKLDLYRLRPYPYLSTFTTVLDHRNGLLLYQDRRGMHVANPATQRRAQLPTPPRFGEPHLLPEGLILFDPTESPHYEVLLVPVDWVTEETEEMQHETTDRSEEWPALTWVLCVFSSRTGQWEERSFVREGEAVKTAYDEYIDPLWQASSANWRGVLFVQCNGGSDVLSEFEWESENDNIVDGEHEVCQSFRRHFTFLGFYPYKDVVFLDISMDRAVACHLNTCKIQDLGKVCPGYYGGPVASLISSFLYTPCLMEEFPESKWEAHGMGLQSLIQSHPQDL >Et_1A_006354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21088895:21090582:-1 gene:Et_1A_006354 transcript:Et_1A_006354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGMKQLRVRFSGFGQEDQIGNETMAIPSRHSPSFGRGLLSNGEEYDASYAATVAAVAYAIAAREEEKLASQEKPAMEKFASGNKRVTEKVGSQKKPASAEERQKTPSFKSPTKRGESLKISRWFSGKEPVDDDFDDDQGANVSVRRPLKPTQKKPEGTTSGQNIVEKVTDSAPSLKKDPSFTRKPPEKRGSRKFEQDDQAYPIVPRAALPAKPTSSYSSGGGSRVAASGMATSSEAEVMADAWEKERLAKIKKQYNETMETIAEWEAENKAKARRQKQLKDESDSERKRAKALDEYNEEMSRINKVAAASRLTAEEKRRNAERKVREKADNIRSTGKLPSTCGCF >Et_5A_042106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6345576:6349854:-1 gene:Et_5A_042106 transcript:Et_5A_042106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPSPIPVASLSARRGKAIAGPRAAAPCTQPPRLFSGRCGPSARRRSKLRVAASSSKVDPVEERVPFALVPGAPVPAAPSPPLEGQPQVSTGTWKWRGYNIRYQQAGTSGPALVLIHGFGANSDHWRKNIPILAMENRVYAIDLIGYGYSDKPNPREIEANFYTFETWGEQLNDFCAEVVKSEAFFICNSIGGVVGLQAAVMEPRKCKGIVLLDISLRMLHIKKQPWFGKPFIKSFQSLLRNTIVGKLFFNAVATPESVKSILCQCYHDTSAVTDELVQMILQPGLDPGAVDVFLEFICYSGGPLPEELLPLVKCPVLVGWGEKDPWEPVELGRAYASFDAVEDFIVLPDVGHCPQDEAPELVNPLVESFVKRHKKNEKTVKKNLVVVVNYSRKI >Et_4B_037993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25370609:25372927:1 gene:Et_4B_037993 transcript:Et_4B_037993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPLLFMPRCPVKLLSAVCKYSAVYPAHARTGHQVKSGPESFKAGYKHRADELARTAMDGFPLTTDRNLLLQSRGMFDGGTDASMFATDGFSGVASFSSGSDAVRTKDQQKNKGKDLFFCDLPELGGFDDFETNMRNFDPTFEIGNINFDDTLWSSVFSPDSAQRVPSSSLDSTNVSSVWNPSTTDAQIQSSVPAPDQNNMTRSANIQQQIRSDERNHTTWSYEAHASSSGEVDQFSLHTYAELFGSFHDDIASSKQAGRCEGLEAIICSNRQMQVPTSSSTMLSDGVTSSTSSGPDLVAAQIPRSKRKLNDPLHRTPDMLLEGMAENPLEMYFPPLTTLEQPEELTSNITSTQAHQFPEQYLCSTSVPNHPGMQFYSKEMSSAGLHEQPRSTVILEAVPVKRFGFEKLQKGMNQMDVAAKGRMRDALYRLANSVEQRHYAASTSRVLGSSSSNRFISSSWTETWTDPMDQSVAQLLLQKPPYRSRPM >Et_2B_022410.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20676200:20678320:-1 gene:Et_2B_022410 transcript:Et_2B_022410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPPDQPKRRRLLSLPAVCPCESIAPAPLLASILSLAADLAGRRAGDTSAFPVLQRGVRQAVRVAGLLLAFLEEIQDATMAASGSLPASAVLGLTELHVAMQKLRFLLTDCARRGARLWVLVNAGLAASELRAVLGSVSAAMDVLPRSVAGASVEAGELARLASEQAWRVVVWPDAVDERAARSVRSILEQFKGGVSPDAEDARRVLDRIGVRSWSDCSEEIAFLEDELRTRVDGAGGDESGSDAVLINSLIAFLVYCRVVLFDAVDANPVKADAVSRPAAASGARCPDWIRPDALQCPITLDLMTDPVTVSTGQTYDRASITRWMKAGCHTCPVTGERLRTADVVPNAALRGIVERVLLSNGVSLPDAGGHHRHGALANTAVPFGPAAAGAARLAVAYVVAQLRTGSTAERRKATSEARKLSKHNVFYRTRLVDANAVPWLLCLLSSTDASVQDNAVASLLNLSKHPRGRAALFEAGGVGLVVDVINVGARAEARQNAAAVLFYLSSNPEHAEEIGRIPEAVPTLVQLVRDGAHRGRKNAMVSLYGLLQCAGNHGKAVAAGAVPALAALLLPADLQQDDLAGDAVTLLARLAEQPVGAAAVLARPGLVARVGEALAASSASRSGKDHCVALLVSLCRHGGDRVVALLGRMPGLMASLYSIVADGSPQTCKRARVLLNLIHRHYEMSHQSAPASEAGERVVRVL >Et_1B_011921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27170153:27179937:-1 gene:Et_1B_011921 transcript:Et_1B_011921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCASMLQRKAKKGHPESRRGTPKALFVIRNERWPLKPQALVVQAQNPGGSGFQKPGKAQADSGKHEKCRYDCTDVLGRRLCLPKPRFPCGSTRSVGVEPVSTEGRGDSVSQGTTTQRSFMEGCRTPMTPRRTPVWQRRILMGTRCELPRFSGLILYDEHGQPLQSGTQNRADHLKSRAPKPKSKGKMKTARTTTTLRDLLREQAMAAACSFRSFARAPPLLRVPTVRRGRCCSAAPGGTASTSKLVSEVKERLEKEQPGLPTGRNGRDDDDMILWFLKDRKFSVDEAVEKLTKAIKWRHDFRVSELSEESVKGLYQTGKAYVHDSLDIYGRPVLVVVAAKHFPSKHDPVENEKLCAYLIEKALSRLPLGAENILGIFDLRGFGVENGDLQFLKFLIDVFYYYYPKRLGQVLFVDAPFVFQPMWQIVKPLLKSYASLVRFCDVETVRKEYFTEETVPPDFRSSRAPCACIDWFLAPLAIRSPPPHCTLLPVYAH >Et_4B_036883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12258820:12261248:-1 gene:Et_4B_036883 transcript:Et_4B_036883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVDGCGSEMAMSSMDIEGSHAKPVPAPFLTKTYQLVDDPSTDHIVSWGDDGATFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANDFFKKGARHLLSEIHRRKSSCSHPSPSPLPPHQPYHSLFSAPPPPAYRVREDHGGGDFLATLSEDNRQLRQRNSLLLAELARMRRLYNDIIYFLQNHVEPVRPPSSAGCRLVAVIGSDTSTVLPRRRREDDEAPVKLFGVRLEEGRKRRAHAVQLEEEYDGGDIKR >Et_5A_041006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16611068:16617966:1 gene:Et_5A_041006 transcript:Et_5A_041006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAEATAAKKRKSDQIDDKKLLATATATRLPAEVLCTIISLLPTKDGARTQAVTRRWRPLWRSVPLNLQVDYRLSGQDRKRFLIVPKILADQPGPARRFSVPGIRLHNRYGKIEGWLCSPALDGLQELDFVYEMEDRLVPYPLPPSVFRFAPTLCIAKIGYCDFPNEMAPSLNFPCRKSTSSRTHKVTTKTKKLLKWSKNCSSRAPKRQPILKTFWFPPKFLSPQLIFEFPLPGNQKTIQTGGKGQLLRAGHFPIRILHPPPMPYSGCPVLLSLLLDGNIGIGRLRIISKILRSISVGGPWDRQTAVEFRELVIQDVPCLERLLPRDPDYGPAKIRVVQAPKLEILGLLPEGICKIEPVTTVYQSQLQKTMRNMRAYNALDPIECLEHHLKKLVVKNYRGMRPDVDFAKFFVLNAKLLNRMEFECRNYCNDKWMANQQRRLQLENRASQGAEFDFKTGSGCFYPSLMYTHSLLKVNPFNLWRTYLDEAN >Et_1A_005265.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:36535170:36535637:-1 gene:Et_1A_005265 transcript:Et_1A_005265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHQHVLATIALSVVLVFYVSISSVYYVRVCAELVPWSALGRCLRWCRRRGRHLPGAGAGGVDALPREPPARRGGARVRDDDDIVPVHEQPDVSKCAICLGEVEKGATATRLPACLHEFHERCIDVWLHLHGHSTCPVCRCHAFVAPLPAQMV >Et_6A_046353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13591629:13592299:1 gene:Et_6A_046353 transcript:Et_6A_046353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKCSAILVALGFTLLACSAIVSAENHVVGDSKGWGFSVAYDSWSSGKVFAAGDTLVFNYQPGVHNAVAVSTSEYRSCKVRSASDSAATASGTAKFDLKKGVNYFICGVPGHCAAGMKVRVVAN >Et_4B_036267.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13997449:13997547:1 gene:Et_4B_036267 transcript:Et_4B_036267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFVQERTLPSYYVECGRYCVCVMIGSTEKM >Et_3B_029668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2771540:2772632:1 gene:Et_3B_029668 transcript:Et_3B_029668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINPFSLGEDQDPSETVGRMAMGYMESSGQGAARFQNKVAPFSVLTGGDQLSGVPVDMSYVMVVLGMAPTGFEMPNGAFGVTSIGDVGGILELHGEQKWSVIPRDLHGRAGKQCRKRWINHLRPGIKVPHAPPLVHAISFEFHFCDHTPNARYL >Et_9A_062849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5804565:5805802:-1 gene:Et_9A_062849 transcript:Et_9A_062849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLAPPPFAPDCEPIKAEERTKLVKPKRTLVPRKDLGRIEQQIKLCTNHFKDCLKTADEFSITTTSGELMQLSWEIKILHMIVRRACSQLVNNHFTVVLKDVSSVKLVCFCCFVLVLELTAANGSPGNDCPSGSDRKRVRRPYDTKTSVLKIPMSAIAQALSGQKSEHTQEAIRVIDIILGQHSAKQVCFLVRQTLFHNNPSNFVDLGGGVIGCRGFHASFRATQSVLALNIGVLCCINVQIYTTCPQQKAGLIDFLLAYQKVDHPNGIDWA >Et_4B_036225.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:11620184:11620273:-1 gene:Et_4B_036225 transcript:Et_4B_036225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWSWIISSCLCTQCPQPAQQILQKWTAY >Et_4B_037459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2048516:2053153:1 gene:Et_4B_037459 transcript:Et_4B_037459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLFDLSAGASAKVASRDGSPVRGTQSERKDYFGSKTVAGSTRSSSDRSVGTPMKMLIAQEMAREGDTNPKTTNVVARLMGLDSDVDLPNSDMPSSRRSFPYGHLSATLARVNNQMSFEKHTRSVEDVEYKDVYEVGYQPPRSEFLSKERPRRRRPNEDHDKRRMDLVRQKFVEAKRLASHDNLLQSKELHDALEVLNSNKDLFLKFLEEPNSLFSNQSGELHSVPTSPQRKRITVLKPSKSVEMKGDKAIKRQKNHIVEGNILERINIQKSDAGHDKEERFPKHTRIVVLKPSSAITSMEQYEQNYHADLDDSEAPVRSRHLSDEIDWSVHGICRQRDESLSGCIQSNLFSTDRSYNQYAEEEGTSLSDSDIGTPTSRHSWDYIYRFSNPYFSSSLSHASCSPEALVTREAKKHTSDRWATVPSNEIGRENVLVRRSLSTLGEMLAMSDMKKEEVADQISPEATNQLCSNEPTVGVPSNCSFVDGEGESSLRKISRSRSVPVSSSAFDSLRLDGGCSDAHHKEPVTSKDVKSKSGKSSLKGKISSFFSKRKKAEKEKVNPSPLGTTNSRSSSAITQPVCTSLQDDAALKIFEEQCYNGPTIVPVDEPEASTSSKSPISLEKALSFEIRNSHFDQPSPTSVLDAQFEENNEKSPSSSESAITAKQEPLSRSLPIGSVARTLSWDDSSQEAPLCSTREDSHEQEQYEFIEKIMASAGFCDKKTQDIFVRWHSLDRPLDPAVLDQLLERKVEDAKCRVRRSNQRLLIDSVNAALLDIGQSKLWGAYPCTGWHANAQRVATCDVLLTDEAWRLVKGWLFDDEKHIVCGGDNAGLAADWVVDKEIHGKGWSEMLRLEVDEISKEICGEVLSELVGETFSGLAGCYMPRSCEYRVVVLWMEMVVVVPFDPCYLREAIYGSLNACFVEASGK >Et_4A_033238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20243159:20246721:-1 gene:Et_4A_033238 transcript:Et_4A_033238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMELIAAVRNPFIVEYKDSWVDKGCYVCIIIGYCEGGDMAEAIKRVNGTYFSEEKLCQWLVQLLMALDYLHANHILHRDVKCSNIFLTRDQNIRLGDFGLAKILTPDDLASSVVGTPSYMCPELLADIPYGTKSDIWSLGCCMYEMAALKPAFKAFDMQALITKITKSIVSPLPSRYSGAFRGLVRSMLRRSPEHRPSAAELLKHPFLQPYVLQVHLKSSPSRNRIPVHQSPTEKRMTFPAESFSGSKGRRSSLGNERMVTFSKPSPMRNSLISIPTIKDYTTTQSIKELSIHNSQVHEVSCKTVASRTSSIMKTPMITPFKNITTPGNRLVPPQASYDRSNHSEVSGTPVNRSSRSARRASLPLPAFETPKRSISILEQLTSPDVSVNSPRIDRIAEFPLASSDEPLFSIGNNKDRSDGSSGRNATAASSRGSSDSRQRRFDTTSYQQRAEALEGLLEFSAQLLQQERYEELGILLKPFGPEKVSPRETAIWLTKSFKETGL >Et_1B_010168.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27500579:27500764:-1 gene:Et_1B_010168 transcript:Et_1B_010168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEYADAAKYRGQNGWTKEGWNNMVTRLNAKYDGANFTVQQLKDREQRLKKDHSSVKSVV >Et_7B_053504.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3402770:3402940:1 gene:Et_7B_053504 transcript:Et_7B_053504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFVNLGLNSNSNHYVACLLIFLLHFSPQLLNPSNSNPSSNCDAEEQSCPNGKQQ >Et_6A_046613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18237095:18238493:1 gene:Et_6A_046613 transcript:Et_6A_046613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVALLLLLLLAAGGASAAAPRKPVDVPFQKNYVPTWASDHIHYVDGGREVQLVLDKTTGTGFQTRGSYLFGHFSMHMKLVAGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKEYHSYSVLWNLYMIAPAQGPQPCTVHGEPARPTHLFFVDDVPIRVFKNSSRELGVRYPFSQPMKLYSSLWNADDWATRGGREKTDWSNAPFVASYRGFHVDGCEASAEARFCATQGARWWDQREFQDLDAAQYRKLKWVRDGYTIYNYCTDRDRYPNMPPECARDRDV >Et_2A_018640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3903023:3906645:1 gene:Et_2A_018640 transcript:Et_2A_018640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFEDDGDSSFQDPVMPRSSARNLFPDLVNSHGDVPRRDSVRSEGIDLNSQASSFPDFTTYQDILMSDGVTDVAGGRRATTGKRHAMSDGPAATGKRPTVSDGPTAMPSRLFCASQTAGASNKRGRGSRGGTGAGGRGGLPRSTSAAAGSGVPPRSTSAAAGSGVPPRSTSTAAGSASGNARSGGPPRVGAGTGGSGIPLRFPYSSSGNGAMAQSFSSAAHSFSGAAESAPAQFMFTSGESGGGGSTSPIDGAQSFDEDDDPFGGPSGDTFDKAIWTTSPVNTTIFYEICVEEIRAGNRSNGNMTPRGYKNIAEKYYLSTGLRHCRIQLKNRWDQLKGLYSFWLRLNKETGLGRTANGTVIADDNFWKTQTKDEEDEALEVARIELEMMENHAAFLCSFDG >Et_8A_058026.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:14830943:14831929:-1 gene:Et_8A_058026 transcript:Et_8A_058026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGAGGHGDDGLRGGHGHPPRPPVLQLRRQQHVDGHARAVRQRAAPTRPAPPHAALLRRGAPAGRGQDRRHRRGSRSPHHLRQPPELVRHAVAAGVHLLAAGRDAGGLQRRHGAPHQRASLHGVHPQLRGGARLLRGAARLRLRRRQARGRRRRPHPGRGRRRSAPPVPLHGHLRKGDRGLDAAVGTHDADLYVGGGVRGVASAAALLTSGEWRTIRGEMAAFENNGRASYVATLVGIAVGRRAAALGAGRLVARMPLFANVTATLALPLVPVLAVVFFGDKMTAVKVVAMLMVVWGFFSYVYQHYLDDRREAARKGSIVAPAECL >Et_5A_040204.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:22432495:22432776:-1 gene:Et_5A_040204 transcript:Et_5A_040204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQGSQKRDTRARLAGSAGGRRRRISVVTPSLIRSSGDAAMHGTEPASTGDAEASLQANKASSKLLILCICISVHSCQLKQLIYADIAKTEN >Et_4A_033334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21644494:21655318:1 gene:Et_4A_033334 transcript:Et_4A_033334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWLTHRREDSSRWGWAHKHEKKTASALPRRPALPSSVGDSSSGEGGGTKSLAGGGVGGAGPLARRLATVPAAAGGREGAGDDEVETRTARGRNWIGVRAGRGEVAVGVHGWGVRNVRNRVLCFEYVPKGSLDKHITDASSGLGWKVRFEMIKGICEGLHYLHTNHILHLDLKPANILLDYNMVPKIADFGLSRCLDQNQNSITSTTVMGSQGYMAPECHDRKFSYKSDVYSLGVVIMEILIGKKFPTAEEVIKSWKNRLEKSQEDIPLEHVKKCAEIGSMCINLSAEKRPITQRIIDVFKDMEGTDEANEAGVSTSSEVPFLFLYDTHSQDFYNIVYC >Et_3B_028644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17678347:17680616:-1 gene:Et_3B_028644 transcript:Et_3B_028644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKRVKLFDMNGKLAAAKFGETHINLWFLEDYKAGEWVHRHQIVTPPMLKELRDPKGKEWSYISRITAASDDEENVILGKSGYLIVYNVRTKTTSRSDFIHESQHGSDERHFFRESLFMPHDVRPSAGHPMVQFGRVCHEMTTLDVEDAMAQEDMICQLTP >Et_2A_015947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19528700:19531531:1 gene:Et_2A_015947 transcript:Et_2A_015947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVAEVLPAYGFPGSGKKSAGEHEVLPVGKRRSDGFFIEEDEADEEVLTENSSLGAPSPSSSSIGENSSSEAGGDDGEEVESKLKEGDGLGCMDALEDSLPIKSGLSSFYSGKSKSFASLAEATSTVAAAKELAKPENPFNKRRRILANWSRRASCSSLVTATYLPPLLAPDHAVAEGDEGEEDDSDEDEYNHLPHRGKNGRDAPALPLPPPRLGAHAPQMGMARRNGHGTFRSPRSFSLSDLQNSGDNNSSPFNVI >Et_6B_049936.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18683914:18685113:-1 gene:Et_6B_049936 transcript:Et_6B_049936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSKKMKLAEAAAVVGLLVLLVVIWLPSSSEAAAPELSIYEWVTQQPPVATGGGCTEKKDSALSAAERIHINNIIDPSGSVGSSYKTIGESVANIPDGSMKRYVLTLKPGVVYREKVLIGKGKPFVTIRSEDPYNPAVIVWNDTAATLGKDGKPLGVDGSSTVTVESDYFVAYGVVFRNDYAPPPSSSKAPAWTKNGEAPALRLVGTKATIYNCTVEGGQGALYDQKGLHYFKSSTIKGTVDFIFGLAKSLYHDCNIVSAAPADLGLLPVAPQPKGSALMIKVAPGSETGFAFKTCIFQGQRIYLGRGDVPSVYSYSKMDKMIVPIILSAHLDNAHVPDRGYFYALFKCHQPEIDDPSLNLGEDIMTYAQAKPFLGTQFVSGDSWIPSLPPPEEADR >Et_9B_065057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20915155:20926651:1 gene:Et_9B_065057 transcript:Et_9B_065057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLSSSPLPPSLQARQDHPGAAMVAPLGSPRTLLTTDHEGNRFLNLHVALYANTATNAGRLIRQTSEIVRSQHIDVKELRICVGTWNVGGICPPTDLDIQEWLDMEETADIYVLGFQEIIPLEAGYMIGSEDNRPIAVWEHIIGESLNKKCPDKPRFKYFSAPPSPSSLSPSDYAHVMDDEFLSESNNDNDGELHPLIELDTNIIINDGTAHAETCKNPTSTSNKQKYKDFSRVPSKYTFDHSQETCLEILRHNLDESNNQKRSTKLLSHSVRLWPEQQLDIRIIWLGDLNYRINLSYENTLELISKQDWDGLFEKDQLKKELGKGCTFDGWVEGLISFPPTYKYEFNSQKYVSDETASASSFDPSDYVLAMEDDLHSESDNDSDGEHHPLIKKDTNANDRIKRKRPQFARIISKQMVGIFHSIWVRRSLRKHIQNLRVSTGSISVSMSIHQTNFCFVCCHLAAGEKDGDDLKRNSNVEEIIRRTVFNPVPVLGMPVRIHDHERIIWLGDLNYRINLSYEKAHELISKQDWEGLFEKDQLKKELGEGCTFDGWVEGVISFPPTYKYEFDSENYVTDETKSGRRTPAWCDRILSYGKGIRLLSYKRGELTLSDHRPVTAVFMAEVDVFRHRKAQRSLTFTDAKVEHHQ >Et_7B_054966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5992333:5995641:1 gene:Et_7B_054966 transcript:Et_7B_054966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRRRHHSHGRWVVPSVAPAAAAFAAAGLLLVVVAFHCFLSPPLGDGGGVRRPNPPFLLNKPAELHRNVIGAEDFAVPSGGSKLGDALWESKAASNFFGCSNATKQFADAKAVTKSDRYLMIATSGGLNQQRTGIIDAVVAARILNATLVIPTLDQKSFWKDASDFAEIFDVESFISSLSNDVKIIRQVPDRNGKTLSPYKMRIPRKCTPKCYENRFTDPILKMGEMLVQRMRAKSGRFIALHLRFEADMLAFSGCYYGGGDIERRKLGEIRKRWKTLRASNPDRERRHGKCPLTPEEVGLILRALGFGKDVHLYVASGDVYGGEETLAPLKALFPNFHSKETLASKEELAPFLPFSSRMAALDFIVCDGSDVFVTNNNGNMARMLAGRRRYFGHKRTIRPNAKKLYSLFLNRTTMSWDSFASRVQTSQKGFMGEPNEVKPGRGEFHEHPIDCICAKTKGKTGRSRPHSIKRPGEVVENHSSDGDLDWRDLDYGEHTPLGKDSSNEIESDDNRIGGSDIPELEDMISD >Et_8A_056175.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:12556504:12556725:1 gene:Et_8A_056175 transcript:Et_8A_056175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DENVQFCVGSAKWLSPVSSLLIAEAEACKAGFKLISKSNQAKMIMETGCKALMDQWNSRNNLHSEITTTLVDI >Et_3B_030277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3223808:3248096:1 gene:Et_3B_030277 transcript:Et_3B_030277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METGVAAALTGEVDDAGILIHGDDFVLGQLKLLKVVNFRGTRLKLVLLSFFMKRAPALEQLVLVLTTVDEDEIPGDEQLKIIQERVSAMRKALPLPEAQVTVCRPSEDRMESRQSKRGSTEEQLEDRLSGLPDNLLHSILHRLSLKDAVCTSGISRQWATTWVRALATSPVLDFTDRAFVARQAPAQVAATVSLYLKRHAGQRAPLHVLRVALDGTRGGAKAFERDVVGWVADAVARGARVVEVAMTPKQGDRAAQAQHDTAVSEGFFVELPGDLFLARNSLVRLALDRFSLRAVLQPGAPAGFSGLRSLSLSHANVTDEEVKAALSSCLLLEFLSLRSCHLLKSVRITAHKLRCLELVTCLGIQQLRVTAPALESFAFHGEIIYARDSDHFSAVDLGDTPALRDVYVSHIGFGKNNMYVVPEFEYGFFGFLNCIARAKSLTLCSVGLKHLWVRLVDDDYPPPMDVDMSNVQELKLLMASLDDDDLEAVSTFLQLYSFLTLDRLFFHLPGEQVEASDVFDALDDEIDELDNCIYMDEFGLDSLRLIKVVNFQGTSGELSLLAFLLQGAPALEQLVLVTLEEEQGASGDTPLKLIQQRVSEMTEACVTMCGPSEDRSHNPAHTSMEGQQEDRLSGLPDNLLHSILHGLSLKDAVCTSGISRQWTTWVRALATSPVLDFTDRAFVRQAPAQVVALVSLYLKRHADQGAPLDVLRVSLDGTRGGAKAFERDVVGWVADAVARGAKEVEVDLTPKRGDGAAAQQHDSTESFFVELPGDLLLARNSLVRLALDRFSLRAVLPRAPAGFAGLRSLSLSHANVTDDEVKAALSSCLLLEFLSLRSCHLLKSLSIVGHKLRGLELVTCLGIHKLQVTAPALESFAFHGEIVCVGHDYRSDSAVYFDDTPALRDAYLSHIGFGNIYDGEHRFGYSDFLDCVACAKSLTLCSVGLKHLWMQAQVRCYENLPLDFDVENVQELQVLMVSLDDYDLKAVAEFLQHNAFSSLDRLFIRVKLDLTQSIASNGEDLPGEQVEASDVVDALDGELDIRFLTDKFVHHHLRLLKVVNFHGTGCELAWLAFLLEGTPTLEELVLVTVEEEHGASGHILQKLIQLRPTEMTKARVTSKMESHPKKRKSMEEDEDRLSSLPDDLLHSILRLLQLKHAARTSALSRRWSRLWLRALAASSVIDFTDRVFARGQPAARAAATVSRCLQLHAENGAPLDVFRVALDVTLGCDGAFERDVVGWVASAVARGAREVEVDLTPKLDNRAQLDAEQDGAVFMELPGDLFLTDNSLMRLSLDRFSLRAVPPGAPGLNGLRSLSLSRADITDEVVQAVLSNCRLLEFLSLTSCHLLTSVRISGENLRRLELVRCPAVRELRVAAPALESFVFHGDVVHSYLNDDVDERVAAVDLGATPALRDAYLSHIGFGCANDTDDKEYAYTDFLSCVAHARTLTLCSVGLQHMWGQLSYDESVDIDMTNIEELQLLMASLGWDDLEAVSSFLELYLYPTAILDRLFIRLLDNLLLARNSLVRHASNRFSRRTFLHGAPRLSGMKSQKSKRGRKEEQEDRLGGLPSDILHSILHELPLKDAVRTSGIAHQWETMWLDAIATSRILDFTDRDFVRQAPAQIAATVSLCLMRHADQGAQLDVLRVAMDGTLGGAKAFGHDVVGWVVDAVTRGAREVEVDLTPAHGHGAAQLDIDDEGLFVELPGDLFLTRNSLVRLALDRFSIGVVPLGAAGLAGLRSLSLSHANITDEEVEAVLPSCHMLEFLSLRSCHLLALVRIISDNLRCLELVNCLGVLELRLAAPSLESFAFHGEIVCLTEEEDDDGHVAAVDLGTTPALRDAYLSHVGFTDLYFLDRETAAYSGFLSCLAHARNLTLCSVGLLVFICPFVDSNRELFSVHFSISNGCRRLA >Et_8B_060095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:603779:604719:1 gene:Et_8B_060095 transcript:Et_8B_060095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKRERWTACSTTETPSYVPGRKEEEDCDDDVHAGYAWKATSPPRAEMASAGCAHIIYCSGAGYQWPCRVPRQASDDDNFAKAVEEASSIAGAGVETNRSS >Et_5B_043214.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:18122418:18122846:1 gene:Et_5B_043214 transcript:Et_5B_043214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSSGFSATGGQGRVPCCITTAASTPPCVPSAPPKKALLIFSCPRLRQLRGWCLPGLPIPDSIIAAVTDITTAFSAHPAALDHTVALLLFWVIWKSRNWKVTGISLDTHAILLMLKDRRIARHAGSQLLRWLIGATTCST >Et_4B_037713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22746470:22748580:-1 gene:Et_4B_037713 transcript:Et_4B_037713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSVSFLPFSASDRQVDALYDMKLKLNATGTQLADWNQNQVNPCTWNYVICDNNNNVVQVSLPGNNITGGIPEQFGNLSRLTSLDLEDNLLVGPIPSSLGKLSKLQLLILSQNNLNGSILETLANISWLEHVSLTGNHITGVIPEQFGNLSYLRKLDLEDNLLVGPIPSCLGKLSTLHLLSLSQNNLNGSIPETLASISSLTLGLLTTISLVKFHSPFEVHYQASSILHINSM >Et_3B_029541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25881389:25886844:-1 gene:Et_3B_029541 transcript:Et_3B_029541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLCLLLYLLRHLAACHARDAVTPGRPLAANETLVSGGDGNFALGFFTPPGANATYVGVWYNKVSVRTVVWVANREAPIAGAVEDNPGATLSVSAGGTLAIAAGNSTVVWSVVPAAKLASPAARILDNGNLVLADGGGGGAVAWEGFDYPTDTLLPEMKLGIDYVKRRNRTLTAWKSPSDPSPGPVVMAMDTSGDPQVFIWDGPVKVWRSGPWDGVQFTGVPDTVTYSGFTFSFINNAQEVTYSFQVHLNASIVSRLGLNSTGNYGLLQRSTWVEAAGAWNLYWYAPKDQCDAVSPCGPNGVCDTNTLPVCSCLRGFTPKTPAAWALRDGRDGCVRSTPLDCRRNGTSTDGFVAVRHAKVPDTERSVVDMGLVTLEQCRQACLRNCSCTAYASANVSGGGGHGVEGAGTGCVMWTSGLADLRVYPDFGQDLFVRLAAADLDLTSKSRKPHIIIAIGASISALAFLLAVAACLLIWARRNIRKTVNGVNGVVHVVLVVATREAVIMMTWNCQSATDGFSVNNKLGEGGFGPVYKGKLEDGQEIAVKTLSKTSVQGLEEFKNEVMLIAKLQHRNLVRLLGFSISGEERILVYEYMANKSLDYFLFEKSNVILDWQVRYRIIEGITRGLLYLHQDSRYRIIHRDLKAANVLLDKEMTPKISDFGMARMFGSEETEINTRKVVGTYGYMSPEYAMDGVYSVKSDIFSYGVLLMEIVSGRRNRGVYSYSNHLNLLGHAWSLWNEGRSLELADETMNGSFNSEEVLKCIRVGLLCVQENPDDRPLMSQVLLMLATTDVASLPTPKQPGFAFRRVLTESDTSSSKPDCSIFDSATITMLEGR >Et_5A_042042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5600760:5606197:1 gene:Et_5A_042042 transcript:Et_5A_042042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGRGWQELGVVDTIYEDDHEEEEEEDEEGFDSPTVSSSAATSLSCSPSAPAAAAAHASLPPALRRAVQAWSRANGSRKPDVIVRVQEHCLPLHRDVITSQSSYLRRRLLDSSDVAVTLPSGLTFDAFAHAVASCYGADAALLSPATLASAWAAAGWLEMSAEDYDGLARAAEDYFFQEVATDHAGAAAAETLRSCAAFLGGEAAGPAAALLVRCLEALAASGLGAGQKWLDDVAALPVEQFMVVVEAMRARFVHDHDLLYTVVDRYLENHKGKLTEEEKSRVCYAVSCTKLSQHLFMHLVQNPRLPLRFVVQAMLVEQLHSHHSMLLSTHHHHASAAAAVAPPPLLNLKGSSLSADAASMTLGDILQRDNALRQSAHIRASMQATSARIESLERELAGLRTRLRRSEQQQQDAAAAAAIDRAPGKSASFRVPRSRLWDGEDLAAAARDNVSKDTSNASRGFKARLVHGFKNLFGRRPAAGTSACGEEVVGTTRGVREKGADAAADVLEREIDADEVLCMEERWRPHRRNHSIV >Et_2B_022302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17334488:17337696:1 gene:Et_2B_022302 transcript:Et_2B_022302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSLFSLPSLRLLSRASAPATSRFQTLAARKSTASTVVSGGAGGGGGGGLLSVLDRALADEEEYRRARAQVQRKGVEVEGYAIEGLSVGGHETCVTVPSLNVAFDIGRGPNFALSQDYLFITHAHLDHIGGLPMYIATRGLYNLKPPTVFVPPCIKDDVEELLQGYIIYSIRKKLKKQYAHLKGSQIAKLKQSGAEITDTILYPEVAFTGDTKSDFITDPRNADALRAKVLITEATFLDDQIDVEHAREHGHMHLSEGHSINGETIPDIRGVVVIRVIQVKWADLRRMPPRRWCPNRLGFYALQRIQDVL >Et_6B_048691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12621422:12624939:-1 gene:Et_6B_048691 transcript:Et_6B_048691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSAGEKSCIAKRHLHHTEETLNSHPELLDRAQPSLRTRLAIAADAVPELAAAAAAKAIAEWGRPASDITHLVVSTNSGAGSPGADLRVAALLGLRPTVQRTLLHFHGCSAGSVALRLAKDLAENNNPGARVLAVSVDATVLSFRGPDASHPEALVAMALFGDGAGAAVVGAGPPAASERRVFRMVAAAQATLPEAEHAVGIKLDENGLNFHMSVELPALVGGGIERCLADAVAPLGLGLAAGGWNGLFWAVHPGGPAILDSYQAALGLEPRKLAASRRVLREHGNMLGATIFFVLDEMRRRRRTGSEEDRENWEWGVMLGLGPGITIETMESWRADGPAAVLAIGTANPATCVRQDEFADWYFRLTNSQHLTELQAKTKRICTA >Et_9A_061895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17532824:17535605:-1 gene:Et_9A_061895 transcript:Et_9A_061895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASWIRPPRAALLLLLLLAANLSLSLAANFEGFDSDELPSAAAGVDADDDDEGIEVDLPPPPTISLSTSAPSPPVTTSTPNPNPTTPTPPNPTPALDLWDEDEFEGIPVPEAVSPDVSSAPAEAGPSDPSAEAAAEAAPAAPRTPRELLRAFYIEIACVSFLICFVINYFTGKKQNETIALAWATKFATRDSIFDKNFSLLGTGDGKDTPLLLKEGQDVFKFYASGRRFCQGMLATMEMRARHDLLSKLVELVFPRKDTITFEVVMNDEAMDHVVLAVARKKAAKMMQKEERDLQKFAGVLTSAPAGRKWVAEELSVVAESKEVAGDMITEAVLDQVLGDKAFEKLGKWFISLHFSDQVPGSYKKVLMFKFVLPDANNMSEMTRLVALIPYYIDLVGRYKLSSHARSKTETARTKAAQEAFKELQSARQEALQKKKAEKKKLMEEAEAKLSAEALRKKEEKERARQMKKSGPKVKMLRS >Et_8A_056265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19001505:19002023:-1 gene:Et_8A_056265 transcript:Et_8A_056265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSVCYCVILPQPLILAVLLCLSSLGLAAPPAADDHPAYAAAATPPDLWALPPPPGVAQPHLPPPPPAPAAVKALLPAVRYADLRRGATCPAVCAVCLGALEARHRVRELGNCAHVFHKACIDKWVDKGHATCPLCRALLLPAAAGADDLAVTSSSSFSF >Et_3A_027365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:915454:917489:1 gene:Et_3A_027365 transcript:Et_3A_027365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRRLLGHQRTSIGSLFLDFSRPVASRNELRHIGPAAVLHSAAFWPLDHGALGVRPAGDDPADLQAAVVHLLPYGGPHYWPEKRLLGVSPDNRLFFIYFGILSGPFTLMAKITYFDIRGGDITTGRMLCIRTGGGQEGTSFEEMIRMPQMKMTSRDTTLKLRWVGHKSGIVLFTMGESSGHSGTFTLNIREGTVEKLAHGGDTSGGASSGGDDRILSWTHEKDTPGGRQVNQRQLALAFDYRSTI >Et_2A_015940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19445837:19449754:1 gene:Et_2A_015940 transcript:Et_2A_015940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GASSSNKTTGGACGAEKFPADRRYANCTALSPLSAKLYWTYQAAAATLSVAFVAKPAGWVAWGVNPTGDGMKTGRAMSSRHLAPQRLLRGPHLQLHGVRPAPTLCDAHRVQERRREGAALRQAAAEARQVRSAVANRAPAKHAFAKANLDAKGKLALVDRRHGDGRAAGGAPTGVVSPSFNVTLRTNRGGVDSADLAVMYSGVALGWASVAPRDCARDVEVVARGGMVGLSRRLRDRMASEWRLGTLELNVDPWAAWRTPLALLPPAARAACAGESFPANRAVYAACSDLPRLGASVHWTYDRASGDLSVAFVAKPAAPGGWVAWGLNPSDDGMPGAQALVAAPYDGEGAAWSVKTYNVSGYALGAPGPIAFPASGLAAELGADGRVRVFARLRLGPGAGVVNQVWQVGAAVSGGSPAPHAMGGDNLAAKGKLDLLRATATASAGADSATRKRNIHGILNAVSWGVLLPMGAIFARYLKTFKSADPAWFYLHVTCQLIGYAVGVSGWATGINLGNESEGVTYTTHRNIGIAVFALGTLQVFALFLRPKKEHKYRVYWNMYHHSVGYTVIVLGIVNIFEGMTILGVGQRWRTAYIAAVCVLGAVALTLEAVTWCVVVRRRKDESKTFNSASNGHLPHPA >Et_6A_046365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13724964:13732666:-1 gene:Et_6A_046365 transcript:Et_6A_046365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSVLLIFLPALLSVSAIKGKLCYALPQMIHVKSAWHTLTSMTLFASITQARTPAEAERTLLLSLVPAMQNLHALLLAGVVRSMTHEAQPMRQIQYRSQTLLSYTIHREYLSPAKEYSAWHLVETTGDGATLPSLAATAMAATAAGDGGDASEPWWRRKRPRRPAPPPEADAEAVKAEALELMAAFPVLPRLVVFDLDYTLWPFHDRLPKDEIPYLYPQATGILNALKDKGVEMAIASRASRRGIAKTFLEKLGIQFIFGAQEIFYTWSPKGDHFQNIRRKTGVPYQSMLFFDDEVRNVLAINKLGVCCVRVEKGVTLEKLRMGLGNYAKSVAIPKAEQIQMGMSSFFKTPAASKAEGRCTTFAYMPSRLNGQPLKVLTEDLEADIISEYDVRTWSNVVS >Et_5B_045473.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:2655843:2656913:1 gene:Et_5B_045473 transcript:Et_5B_045473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIGGTTVERIKSGIGSEQQVAVNLQKTEQQPEAGNALIYADAAASLKDHVQEASKDLDHGDAPSIAQVWPCPSAPKLDPKDCYMYIQDQPMKTTLSRGEATSTTAAITIPDYFCDRTKILHVHDSLSITSVPADSPWYELIWCRVERCPKLDCLFTAPTPMVLTSKLMCYYLKTLWASQLLKARFIWNRNTIFHYRSFDDLIFLHVDFCPRLIHVLPFTNFVSNKGHHLETLEIMWCSDLRAIFPLEWSYQAGDTLEFHKLKRIHLHELPKLHGICGIGRIYAPNLESIKIRGCWSLTCLPAVGGGTYKNKVECDCEKEWWDRLRWDYNHHHRSLYKPTHPQHYKKTLLRGSVLH >Et_2B_020754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23245498:23248602:-1 gene:Et_2B_020754 transcript:Et_2B_020754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAGAVLGRARVSGVHSLASRGRHVADRVSLLPPASLPPRVSWQQGSGLAARRRICATASGSFEQERTGEDAGLTPQVLKESKVDLLKILKSANTVIPHIVLGSTILALVYPPSFTWFTTRYYAPALGFLMFAVGVNSSPKDFIEAIKRPGAIVAGYIGQFIIKPLLGFLFGTVAVTIFNLPTALGAGIMLVSCVSGAQLSNYATFLTDPHMAPLSIVMTSLSTATAVFVTPTLSYLLIGKKLPVDVKGMMSSIVQIVVAPIAAGLLLNRFLPRLCAAIQPFLPPLSVFVTALCVGSPLAINIKAVLSPFGLAIVLLLFAFHASSFVAGYHLAGNWFHKSADVKALQRTISFETGMQSSLLALALANKFFPDPLVGVPPAVSVVLMSLMGFALVMVWSKRTDM >Et_1A_009602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8992965:8997557:-1 gene:Et_1A_009602 transcript:Et_1A_009602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPGGVSSRGRGGTDTSRADHLLPPYPSTGEPAATTRASRRSEEARRAADAEGVRKQVILAESAAGGHGGKYRRPSSSSGSGRAASPSRHHPASPPVSSRGGGYGRKYHGADDASSFFKPRVSPEEDEKDVSPDNRREVARMDGVPRGGPHGGGFDVEGGNQAPPRFFHGHQPHVGAIDATNEERLSKVSDEENEVDGQKYWQSDIVASRILSARDLNSEPGGAFESINRIAEFKKPSALPWNIRLQAHRHPSLLRRDVINEKRLPKLSEDKGEVDGQKDWWTDTVSAHIFSADGTNQMPAKFVHRDMPDKLMRPAHSSKNYHQHVGAIDAMTEWRCPKTSKEQDEVDNQKDRHTATVRSRLPSARGWNVESDGVFEETKPNSDFKKPSATPGNTRLQQHRYPSPQGRSAEATSQVPPRFITGARPDRLLRRACFSDNFCQRMGAIDATNEWRVPKGCLKQYSSYTTSNRFDGVPSRKGLAISAEGSTQAPGGTARAIKKVTYATTLGIGGGYGDPHPPPFYKCKVCCKRTAFFRLKCCHLVVCNHCGCSCDSKYFESKKLQFQNDKQQGNKEKLPKVKLQGTDLFLWQPFSYPADLMLCMAKRAGSLLQYYFAPVQELMDSNMPVINTTICSFRQIGKNAKSLKVTEELITRSQLH >Et_5B_044925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7366890:7370297:-1 gene:Et_5B_044925 transcript:Et_5B_044925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGHYAVAVVALALLLLRAATAVDPICDTITYTANSTFQANLDRLAVVLQVNASASPAGFANATVGVAPEQANGLALCRGDTNASTCNSCVQAAFQDAQQACPLDKGATIFRDVCNLQFADRQLLNFLAPDQWIIQELDPSFDTGQGSVNASDAWFNAAVTGIFTAMINKAVAMTNSTRKYFSTAEMAFNPKIYGLAQCAPVLTAEQCRSCLGYIQTETMAQYMDGRPPSNIGAVVWCLLTYSVLSPVYNGQAMLQLAAPPEPPPEATLPKSGASRRKGIAAGVSAGIAGSVVLMLILSIFFYLRFRQKIKAAKKNHRPKKIGNPQCTVFDLVTLQEATENFSEENKLGEGGFGTVYKGTLSDGEEIAVKTLSGRTGHALDQLHNEIQVLAKLQHKNLVRLLGYCSHKNETFLVYEYIKNGSLDSFLFDKSTGNALHWEQQYNIILGIAKGILYLHEDSSTRIIHRDLKANNILLDDDMEPKIADFGLARLMGQATPVLRQPECGYMPPEYAYHGLVSPKVDIFGFGVLVLEIVMRRSNCGSDDYSGVNLLSDVWDHWRKGSISQMLDQSLDGYGRSQALRCIHVGLLCVQVDPDDRPDISAIVFMLTRDGMELQLPEEPAFFFARGSPSASWSDGQSSLYEQSSLISEQGISVNGLTVTEPYPR >Et_1B_010501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11035852:11038934:-1 gene:Et_1B_010501 transcript:Et_1B_010501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQRPYRVVLFDEVEKADSTVTNLFLHILDDGRLTDGQGRTVDFTNTIFILTSNLGAHHLLHHADADVQRHFLPELVNRLGEMVVFHPLSGEQLRRVARMQLRGLANKGVGLDVTDAALDVVLSRSGDQVQVYGARPVKRCLQKHVIARISRMFVQEEVDDDCYVSVDADEDKKDLVFTVEKPGSEETEAPAASASTSSSKMQTPASSASTKKRKRRPPPKHLVVLDDDDERSMACLLAVSTIVVAHVLSGVDADDRSVMTSAVMRTCKEVADSDKRINYDFCVSEVDKNYKSGEEGGVSLRVANAAAFAASHRMDSAYHDIFVLLDKLGADLNKTRLGQALDQCWRLYNNARINFTISLQLIDSKRYAEAKFFSLGTEQAIQCDDVLAKAKIDVWPLVQHSKYAVQMGRICVAIGNRMLPK >Et_7A_052892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23715213:23716911:-1 gene:Et_7A_052892 transcript:Et_7A_052892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHACIMSLDNFGLVSLDVTVPSSFISSIKSEYKCHFKGHSCTVFEVVTTTRNCRTRVAIFDSNAPAPLTFAVDTRKLVGDHDGYYGYCHTSQAVIATRDALASIPIVEVVKMIKAAKEKIPDMYRDGMVGWTAEISMEEIRKLSKYNNLYFGRSAAEGLRR >Et_5B_045055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:939880:943712:1 gene:Et_5B_045055 transcript:Et_5B_045055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEFGVGMAPRQRREPWRTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDITHSETNEEIYGALSFVFWTLTLIPLLKYVTIVLRADDNGEGGTFALYSLLCRHANVSLLPNRQVADEELSTYKLECPPEDADKSRFKVWLEKHKKLHTALLVMVMIGTCMVIGDGVLTPAISVFSAVSGLELSLSRDQHEYAVIPITCAILVFLFALQHYGTHKVGFLFAPIILSWLLCMSAIGLYNIIHWNPQIYQALNPHYMLKFLKKTKKSGWMSLGGILLCMTACFHFVGVPCTDIGIGFYIAVPGLAVITVMLVTTCLTSLVIMLCWNRSPLLALGFFLFFGAIEALYFSASLIKFLEGAWLPITLALILVAVMFVWHHTTIKKYEFDLHNKVTLEWLLALCDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVPVPHVLPAERYLVGRVGPPDHRSYRCIVRYGYRDVHQDVDSFETELVESLATFIKLDALFRCSDVAGGEGERRDSSFYERENALTVVGSNPLRRHLGGGYDDCHDGASSVETMSATANGGGIELASVRKQVRFAVEAPAPASPGVDERVLAELHELCEAREAGTAFILGHSHVQTKPGSSLLKKLAVGVVYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >Et_1A_007192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31964083:31966593:1 gene:Et_1A_007192 transcript:Et_1A_007192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRPSSNVLPPPADDRDLLTSLPEEMVSQILERLPVKDAVRTSALATPWRRRWASCLGLRFAFSGGDRPAAVDAVLAAYSCIFCELFIVIPIGWAARWVSAIAAKGVKSLTLSFVVNSRFKSVLPSQLFSCTTITKLELRGCYIPPLPTFFQGFPKLVDLCLQGITFPKHGMKTLEKLISTSPLLTVLRIIYPVIERDGDSAPYDDWVIQAPKLTSIEIRSREDYGWQVEDLPLLKQAEVRLQGLQLLRVLSAMTRVTHLFVDLAYDGILLCQPSYFINLTELSVFAMFNQSAQILSVLYMIVSAPKLENLHITIIHETYEDGVEVDMTFLDVKKIGLLSQLKFCKFDGVMGHSSEVQFLEFLISKAKLLQKVEVSLHDESFTDTEVLSTEILNFEKASSRAEIVVNSFLGYHSDMSSDEDEPEN >Et_9A_061322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:175396:181042:-1 gene:Et_9A_061322 transcript:Et_9A_061322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASGVIDRRPFGPLSESSSSFFTEDLVTTERQVGFWKSDSNVDHKGSKSAFASPLENIHPMGVNPVGGLEHPGGQAFKGQLDMLNFRNLVGQEDNTSSLPSISWGDVLSSSRSRLGMSTAFVEPNGANQRVHDYGNGSSRSSLSQVFMKLVAGGVPGQSVDAENSGCNGDEPLGSMKEIEAQTIGDLLPDDDDLISGIMDGFENTVLPNHDDADEDIFCTGGGMELEDDSKNGDKYQEVSFKSKLSGERSINNHPSRYLIVKNVNASIEDSELRLLFQQYGDIQTLHASCKNRGFVTVSYYDIRAAQNAMRALHNKPLQKMNLDVHFSIPEVTSQDPNNGILAVSISDSSISDDDLLQVLGVYGDVKEIWKASTHCNKKLVEFFDVRAAEAALSGLNKGDASCLKIKVEHSSYGGAKSCLTEQYSGEWNQDAITHQLKSSPWTIGKLGPKSWDNSTVHDLYSPVKQQFNKPLHGLSMSDPQKLSSPITIESTRQRNNQATLGEPSGSLGHANIGHGLQAFHPHSLPERHDGICNISKSMALTARNASFRLVEGGHHNNHNISSSDLQGHSCDQNEGFGFAGVGSCPLHGHNYPWSNSTGFLHSPPAQVLWSNFQHQMHMHGYSAVPPHMLNNCAHTMESHIGSAPNNIGGFTNIHTFHPGSHENVRFPGSPYRETMFSPMSVGFPSVQQFFHATNGRNPMVRVSTSYDATNDRMRSRRHDGNAVQSENKKQFELDIDRIAKGEDLRTTLMIKNIPNKYNCKLLLAVIDENHRGTYDFIYLPIDFKNKCNVGYAFINMTDPQHIIPFYKTFNGKKWEKFNSEKVASLAYARIQGRNALITHFQNSSLMNEEKWCRPILFHKDGPNAGDQEPFPVGNNVRSRSGRNRPLTGSDTRDGSPSTSPN >Et_9B_065587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7523583:7527126:1 gene:Et_9B_065587 transcript:Et_9B_065587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLRELLKHIPCLNYRPHSNNPPVIAIPGVLRPEQFHLLGDQLLCPLAIETDPILAFPSNPAHHSRPPCPITVRLNSSTGPELKPTLPRRMLHGRPDKPPMQVLCLLFPTVPLVLIKIPHNVAKGIDHLHHRRREPGVAAAHLPFLQHLDLVPDLVNPDRGLRPDGNRGPRGHPIAVDEVAAGADEARNATVTESAAATTMPDHQPPRRKASMMSWRRKRGGDGPGPTGGGGGRGRTQEGANCSTRAPPSSAARWE >Et_10B_002527.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:13152008:13152070:1 gene:Et_10B_002527 transcript:Et_10B_002527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLIMLRSAQRCRRMCDKY >Et_10A_001167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21881253:21886932:1 gene:Et_10A_001167 transcript:Et_10A_001167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAPPSPPATMPYVDGCPGCAMERRKQMSKSIPYKELFFVAITSIATALPISALFPFLYFMIEDLHVAKRKEDIGLYAGFLGASYFVGRFFASFFWGVVADRIGRKPIIIFSVFSVVIFNTLFGLSVKYWMAITTRLILGALNGMLAPIKAYSIEVCLPEHQALGLSIVSTGWGIGLVLGPSIGGYFARPALQYPDIFSEKSVFGRFPYLLPCLSISIFSIVVLVSCIWLPETLHKHKNINNEVEMSSNSRTPLTEDVHPNKSLYKNRPLMSSIIAYSIFTLHDTAYSEIFSLWAVSDKKYGGLSFSSKEVGQVLAISGAGLLVYQLFFYRSVHKILGSVNSSRISSVLSIPLLAAYPFMSHLSGFRLGLAMYPATIVKGVLGPQSQRGAANGISTTAMSFFKAIGPAGAGILFSWAQKRQDVAFLPGDQIIFFILNIVQFIGLALMFKPFLAIPEYYGLKRC >Et_8B_059456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18023864:18030422:-1 gene:Et_8B_059456 transcript:Et_8B_059456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKAAKKDAAPAERPILGRFSSHLKIGIVGLPNVGKSTFFNIVTKLAIPAENFPFCTIEPNEARVNVPDERFDWLCQLYKPKSEVSAYLEITDIAGLIRGAHAGEGLGNAFLSHIRAVDGIFHVLRAFEDPEITHIDDTVDPVRDLETIGEELRLKDIEFVEKRVEDLEKSMKRSNDKQLKIELELCQRVLAHLNEGKDVRLGDWKAADVEILNTFQLLTAKPVVYLVNMSEKDFQRKKNKFLPKIHAWVKEHGGETILPFSCAFEQKLVDMPEDEAAKYSIHLIYFFTAGPDEIRRQTKAPQAAGAIHTDFERGFICAEVMKFEDLKELGSESAVKAAGKYRQEGKTYVVQDGDIIFFKFNVSGGGKK >Et_4A_035838.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7061841:7062176:-1 gene:Et_4A_035838 transcript:Et_4A_035838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDTVELITLWASSSPSLAAFCFSHLIIAVLFLGSRGSASDIHNHGECAAEAGGAETSNIVQLQSREMNSGGREDSVVATNISERSCASSNASSHAVAEACEAGAGATQQ >Et_1B_013551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9444341:9446629:1 gene:Et_1B_013551 transcript:Et_1B_013551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAPRVLVLVRDAAGYGAALADALRPPRGLTRESAPFELPLGKYGLDGEKASGELVNFSDSGGSPQVSILVLPDYKPPVAACAMNEILELISSEATSTERVLIVPFITRPSSYHHGMVHAKKTLPVLHGAEIGATTDFTHLLVNGTAKPPTSLQIRSEPIVSLLEIVRVLKTPTVLLVMSGGQQVKSSADSDLEVLQCVGEHLARHINLEFSKETVLEKGIEKSPTVQEPWRELYG >Et_2A_016323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23304180:23306529:-1 gene:Et_2A_016323 transcript:Et_2A_016323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKASYADSRTRSTVSLCIVVGMCCFFYILGAWQKSGFGKGDSIALEITKRTDCTSAVLPNLSFDTHHSNGVSSSDLVSPVKKFKPCPDRFTDYTPCQDQNRAMKFPRENMNYRERHCPPQKEKLHCLIPPPKGYVAPFPWPKSRDYVPFANCPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVVPIDNGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIQLPYPSRAFDMAHCSRCLIPWGANDGMYMMEVDRVLRPGGYWVLSGPPINWKVNYKGWQRTKKDLEAEQNRIEEIASLLCWEKVAEKGEMAIFRKRVNTESCPSRQDESTVQMCESKNPDDVWYKKMKACVTPLPDVKDQSEVAGGAIKPFPGRLNAVPPRIANGLIPGVSSQDFQKDNNMWKKHMKAYSTVNKFLLTGRYRNIMDMNAGFGGFAAAIESPKSWVMNVVPTIAKISTLGAVYERGLIGIYHDWCEAFSTYPRTYDLIHASGLFTLYKNKCSMEDILLEMDRILRPEGAVIMRDDVDVLSKVNRLARGMRWNTKLVDHEDGPLVREKILYAVKQYWVGGNQTAAA >Et_9A_061237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23677802:23678402:-1 gene:Et_9A_061237 transcript:Et_9A_061237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WPSSTATATSAACGGSTWATRPPATSVSWPASAWTPPVAGEGIQPRGIWVTSDGADDVAYLVRHICGGRLSRRRDEFVRVCASSFPALYDLKVLAEWMTVGELDPPLAAGSGSGTPLFTRFLALAREFQFTNRMVGYTGFLYGLGAVDNLELVHFKRLSAELEEKNRLMMAFLSQVCDEEYLQRVVLGW >Et_6B_048583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11042951:11043612:-1 gene:Et_6B_048583 transcript:Et_6B_048583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDSEASKREEVSVTFSDLVNLLCSQEKSTISLPKKKNYRPNKLMLNGNVLAIDARHP >Et_5A_041522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2387204:2390401:1 gene:Et_5A_041522 transcript:Et_5A_041522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ETSSRALSRMFTEPSDQPMGKMLACCLSKFVPLVILVLLLRLATMSSAWAPVRRTFTVDWQGRGDFRTVQSAVDSVPDGNSEWIRIRVTAGEYRFVMMEPDSTRYPLELHFWVEIIVCFYSSHLEKVAIPKSKSYILLEGEGSWTTQISFDDHAYPSINEIMSRGDAGINAMVNGDADVSTTFRSATFTVLADIFVARNIAFKVSSFPVLFSHSRLRNTYNTVNPAAPDQAVAALVAGDKAAFYDCSFFGYQDTLCDFMGRHYFLRCYIEGAGYTLEGTGRQFLGRAWNRYMSDVVVPDGWEAWNAGSDVRGVTFAEHSCSGRGSDVSRRVAWEKQLSVEEGLRFVDIKFIDDGWLSSGPELPNVGDSELMQPLCFSSSSSLLLLLLLRLSTPSSAWASVSETITVDWRGRGDFLTVQSAVNSVPDGNREWIRIHVKSGSYWEKVTIPSRKRYILLVGDGSSVTDISFDAHAHGGIDQIMRRRNVSSNSPTFRSATFTVLADNFVARNIAFKNVYNAVEGRKADQAVAALVGGDKSAFYGCAFHGFQDTLCDFQGRHYFRRCLVTGGVDFVFGYGQSVYDGCIVFK >Et_9A_063363.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21662075:21662971:1 gene:Et_9A_063363 transcript:Et_9A_063363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSITARNPHDSLSFSRRHFKWPVLGKSRSHGATVGDAEFMNSEAEEEDEAAMAFSSACPSFHSEDFVSPPLKSAAPAPAPQQQPQPQRRKKVRMAVSRLRSALANAVAGRHRQVGMGARLTGTLYGHRRGHVHLAFQTDPRACPALLLELAAPTAALVREMASGLVRIALECERAKGSAALPTPTAAAIAGGNNNGKKLLEETVWRAYCNGKSCGYAVRRECGAADWRVLRALEPVSMGAGVIPAASCGGGEGDVMYMRARFERVVGSRDSEAFYMMNPDSGGSNGGPELSVYLLRV >Et_3B_030432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3781571:3785835:-1 gene:Et_3B_030432 transcript:Et_3B_030432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNDPRRPYKRPAISDQQRRRELALQAQSSRRADAQARARALASSLITTQAPPASTHHHEDALEEADEEEHTVADVAAAASKLRGSDARRWFARQIMLPEWMVDAPPHLARDWHVFARPAGKRCLVVSSNGLTISRVRNGSILHRFPSALPNGSKRDISGPASSYSILDCIFHEPDETYYIIDMICWRGYSLYDCTAEFRFFWVNSKLTETSAGDPPSTYHRYRFSAVPIYECTLEGLQAAYSGSTPYVKDGLLFYNKHAHYQAGITPLALAWKDEACSQYVIDTDSKGEIPSEQQLVLELQEDGKLTTSDDPPIVFGSLDIEFMQKSNLRPGNLLRFAVRDESVKLVDGKMQIGELQFVGKPNRARAFADSHSKALFQYAARHAPLRIEDLVASIQSNSMELESTDGMSVPLFAVGFRKGTLSSVKHTQLKKESEYKVRMKWMQRRPKYLELLERCDLPVRLDHGLDIGDQGIDDLVLVGLVRRDRDGAGDALGQVLLDLGAQQRDVVLGLVGAIGGVQVLADVVAGVGEEHVCHERDGGRRPLDVQHHALLRPIETRR >Et_1A_007836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38769385:38771538:1 gene:Et_1A_007836 transcript:Et_1A_007836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KMAMLVDPPNGMGNQGKHYYTMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNEKVAIKKIHNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPIHRRSFKDVYMVYELMDTDLHQIIKSPQGLSNDHCQYFLFQLLRGLKYLHSAEILHRDLKPGNLLVNANCDLKICDFGLARTNSSKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSDSDIEFIDNSKARRYIKSLPYTPGVPLASMYPHAHPLAIDLLQKMLIFDPSKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENISAEMIREMMWQEMLHYHPEVVTTINMS >Et_5A_042246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:868084:876303:-1 gene:Et_5A_042246 transcript:Et_5A_042246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALKARIGYFGYSAFRRYQREIIQKVLDGRDCLVVMATGSGKSICYQIPPLVTKKTAVVVSPLLSLMQDQVMSLKQKGVKSEYLGSTQTNSAASREAENGMFDVLYMTPEKAISLPPRFWTNLQAAGICLLAVDEAHCISEWGHDFRVEYKQLHSLRDLLVGVPFVALTATATERVRGDISSSLTLSNPHIVVGSFDRPNLFYGVKSCNRSMSFIDELVKDVSKRSTKGESTIIYCTTIRETEQLHEALVTAGIKSGIYHGQMGSRAREESHRSFIRDEVLVMVATIAFGMGIDKPDVRCVIHYGCPKSLESYYQESGRCGRDGLPSVCWLYYQRSDFTKADFYCSDAKNATQKKAIMDSFMAAQKYCILASCRRRVLLQYFGEQCNTDCGNCDNCTAVKNERDLSKESFLLLSCIKSCGGRWGLNLPIDVLRGSRAKKIVDNNYDKLPMHGRGKDYPPNWWKALGGLLIAHDYLKETVCDTYRSVSVSPKGAKFLSTADKMDGNPLVLQLTAEMIDLEENGTSQQKESTLNPVPTLESEKFSEDELKLYQKLLNVRMDLAQNIGTAPYAICGDQTLRHFAKMRPSTGARLANIDGVNQHFISRFSGIFIQNIARFSKELNLVLDESPAVEDVAAVPKPVLKNNLPGNLADAKSTAWELWQKHEYSFLKIAYFRRAVPIKEQTVIGYILDAARDGYEMDWSRFCREVGLKPEIASGIRLAIAKVGSSEKLKPIKDELPENVSYDMIKTFLTMEKSGFSEQVFGNVPTDGGPSGAVSHASEAGENGDKGDCVLVADACDSNPSSKRCQTDSMVASTDEPAMKLQKMQEHEADSTGTTSATEETILELLGSRDGISLEDVAEHFKGSKRESVVEILNGLECEFLIYKKNGRYHIL >Et_5A_040890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14197811:14199741:-1 gene:Et_5A_040890 transcript:Et_5A_040890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKDITYQLLEAITDGFSKERKLGQGGYGKVYKGVHKTGEEIAVKILHAHLIHNDELFQNEFENLMRLKHDNIVRLVGYCYQSQGKHIKLNGKYSSHIEHSASEYMSNGSLQNHLSDECDRLDWCTSYGIIKGTCEGLRYLHEGSEQSIYHLDLKPDNILLDKDMVAKLADFGLSKILKSKQTITTGNAIGTLGKVSSKYDIFSLGVVIIQIVTGPAGYSKVDEMSSQEFIDMAQRKWKKKLQAAFSGSLLDEYCRQVKVCTEIALSCVETDRNKRPSIVAIIDRLNEAEAAIDKFKVSTITFGIMHHTIPQRIQHFINIKLKARGKFLFIHCNCRASA >Et_2B_022547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25943364:25945500:1 gene:Et_2B_022547 transcript:Et_2B_022547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACLDFGLVAMKHCASLNFSISRNFKMFSAPGNNSLALAAPRPGMELANVQQHPNQALGPGGKQRTSSLEAPIMLLTGHQSAIYCMKFNPAGTVIASGSHDRDIFLWYVHGECKNFMVLRGHKNAILDLQWTTDGTQIISASPDKTVRVWDVETGKQVKKMAEHSSFVNSCCPARKWPPLVVSGSDDGTAKLWDLRQRGAIQTLPDKYQITAVSFSEAADKVFTGGLDNDVKWWDLRKNEVTEYLKGHQDMITGMQLSPDGSYLLTNAMDNELKIWDLRPYAPENRNIKTLTGHQHNFEKNLLKCSWSPDNRKVTAGSADRMVYIWDTTSRRILYKLPGHNGSVNETAFHPTEPIIGSCGSDKQIYLGEL >Et_2B_021534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30360298:30373668:-1 gene:Et_2B_021534 transcript:Et_2B_021534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGRRPDMSSPSPSPAGAGASGGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKVEEKQKLYVPYNILPLDPDSINQSIMRFPEIQAAVYALRNTRGLPWPKDHEKKPDEKNTGKDLLDWLQAMFGFQKDNVSNQREHLVLLLANVHIREVPKADQQPKLEDRALDAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPKDAYPGHLDGENRSAGSVHWMGKVNFVEIRSFWHIFRSFDRMWIFLILSLQAMIIIAWNGGTPSDIFDAGVFKQVLSIFITAAILKLGQALLDIVFGWKARRSMSFAVKLRYVLKLVSAAAWVVILPVTYAYTWENPTGLARTIKSWLGGGQNQPSLYILAVVIYLAPNILASMLFLFPFLRRYLESSNVKVITFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLAMKLIVSFYVEIKPLVQPTKDIMKEPIRTFQWHEFFPHGTNNIGVVIALWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPVAFNERLIPSDTNKSKGLRAAFSRKPKASGDEKEDEKRAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDRDLTKRMGSDPYFSYAIRECYASFKNIINTLVFGQREKNVIKEIFDVVDKHIEDGTLIKDLNMRSLPALSKKFVDLLELLQKNKEEDLGQVVILFQDMLEVVTRDIMEEEQLGGVLDSIHGGNYRRHEGITPLDQLDQLFAKAIKFPVEESNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRNMLPFSVLTPYYKEDVLFSSHNLEEPNEDGVSILFYLQKIYPDEWKNFLERVERKNEEELREDEGLEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDDDLMEGYRATELMSEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSGDTCAHDILRLMTTYPSLRVAYIDEVEAPSQDRNKKGEKVYYSALVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLQEFTKKHDGVRYPSILGVREHIFTGRVRFHYGHPDIFDRLFHLSRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEALATGKRFVHNSSLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVYEIFGQHYRGALPYIFITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVAPEKSWESWWDKEQEPLRHSGKRGTIVEILLALRFFIYQYGLVYHLRITKNITKDTRSVLVYCFSWVVIFVILLVMKTVSVGRRRFSAEFQLVFRLIKGLIFITFISIVVILIAIPGMTVLDIFVCILAFMPTGWGLLLIAQAIRPAIQKIGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRATRNKE >Et_1B_014276.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:4839508:4840980:1 gene:Et_1B_014276 transcript:Et_1B_014276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDHRPKRPSDAVAASPAAKRARDPSAPSFPTYKDAPDLPPKIRLLCEILASGAADVEAALDDADVRVTTADVEQVLRFSYAHARAAVAFFRWAGHRHLSHEHSPYAWNLVVDILGKNRLFDPMWDTVASMESQGLLSLATFASIFSSLAAAPGSSPLKAFVAMPRYRMERDTPALNSLLSALCRANRLDDARAAIPVARAEAGTRPDADSYAILLEGCEAAADPRVAREVFDEMVRVIGFDPANVPAYDSFLTTLVSSDSPSALPEAMDYLAVLTRQGCSPGEKFFRAALAAHLEARELRGAMVLWNDFVGHRGLIPDMEMYNTMIMLKGSLGRAEDIVEYLDDMAFNGVFPDTDTYNLVLQFLLKEKKLREATAVFSEMVKNECWPNEANCSLALRRFLDTRNWEMGMKVWKCMVENGLPPLEESGNMLVSKLKDDRLPEACKLAEDMIDQGIKLKSSTLNQLKQSLQKIKKGEIHDLLLRKWKAHAH >Et_4A_032283.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28197405:28197476:1 gene:Et_4A_032283 transcript:Et_4A_032283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVPCDIVNIMLAPLFPSGQNY >Et_3B_031422.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:2935259:2935501:-1 gene:Et_3B_031422 transcript:Et_3B_031422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVLLLSELLGGESASVLAANRYMCGQSLGEFRPAVTDAPCRQNERSAAGAKREEKQSKEESFEDLAVSRIAIDVMWP >Et_8A_057007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19989774:19992642:-1 gene:Et_8A_057007 transcript:Et_8A_057007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPSSAAQSRRSEEEAEAFFRAAPPLRDRDRVAVDLADFVARHSAGNHGVVCITSGGTTVPLEQRCVRYIDNFSSGQRGAASTEYFLRAGYSVIFIHRRGSKQPYCRFLPEDSFLDLFEIGENSEIQVPQSHSAVVKAAISNYRKAIDEGLLLKLPFTTIFEYLQLLQMAATSMNCLGHRGMFYLAAAVSDFYVPWECMAKHKIESAVGPLNVQLNQVPKMLFILRKHWAPSAFCISFKLETDPNILLQKAEMALKKYGMNVVVANELANYKDLVVMVTSSGRKTVSRKSKEEDLEEQLTDLLVKMHLDHTTQPKSEDHQSNAS >Et_8A_056477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10563545:10566392:-1 gene:Et_8A_056477 transcript:Et_8A_056477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCRHHLTLAAAKSHAALLKSGVSLPTPWNQLLTAYSAAAPSDSGLGLAAARRVFDEIPRPDAVSWNALLAAHVAAGAHYDAWRLLRAMHARGLAASTFALGSTLRSAAAARRPALGAQLQSFAIKSGLADNVFPASALLDVYAKCGRLSDARRVFDGMPERNIVSWNALIAGYAESGKLAQAMELFLEMERKGLIPDEATFAALLTAVEGPSWCFLMQQLHAKIVKYGQALGLVVLNAAITAYSQCGALTDSRRIFDRIDGTKDLISWNAMLGGYAYHGMDDEAMRFFVRMIQEGGVQPDMYSFTSILSVCSMHGRDDHRGRLVHSLAIKIGLEGATPVCNALIAMYTRLTENCMMEDAYKCFNSLALKDTVSWNSMLTGYTQHGLSADALMFFRCMRSENIRTDEYAFSAALRSCSDLAILQLGRQIHSLIIQDGFASNDFVSSSLIFMYSKSGILDDAGKCFEEADKNSSVPWNSMMFGYAQHGQVQNVTNLFNEMLELKVPLDHVTFVALITAYSHAGLVDEGSEILNTMETRYGVPLRMEHYACGVDLYGRAGQLDKAKELIESMPFEPDSMVWMTLLGACRIHGNMELASDVASHLFVAEPRHHSTYVLLSSMYSGLGMWSDRAIVQRVMKNRGLSKVPGWSWIEVKNKVHSFNAEDRLHPRTDEIYEMLRMLFRVAQKLSSCEDEDFLMTISISVTALFIMVLGNKAQDAELRFRTDGRFKPQTQ >Et_2B_022775.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:4904857:4905063:-1 gene:Et_2B_022775 transcript:Et_2B_022775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPSPKKKAAPAPNDKVAKFAGFLREFYSDDLRRILDAEPSELHFPLVIESVLPTLHPPSAPPHQKP >Et_6A_047323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:389698:391977:1 gene:Et_6A_047323 transcript:Et_6A_047323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTAGTVTPQGSPAPAELSSPKSSATKRRRMGTALSDVTNLLLPETPTPIKPRRTARRPLPQPSEASSTCSSSASVTPAPKRSYAAVLEEESSVVKSPAISTVYARRGAAEDGGRGRRAVPTTSKAKGKAPAAAGTASCPPLGKSRTNNSRKTSMAQDTRPISSSAPCHEAKKKRPLSSTPKLPEDFVKEKREYFAEIDAFELPEEEVSETDLE >Et_5A_042965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8010142:8012119:1 gene:Et_5A_042965 transcript:Et_5A_042965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRARAAAAAAAAAAATTATASVASPTSSSTRSSSASRPPPTPRTSLLSRRWRRVWTSVPAIALTDGDEARGASILDAVDAALAAHAAPALGLLAIFLVDEWDSPWRRELDIAGRVAPWLRFASRRLAGELSIRLDRPRRRGWIDISSAPRAVLKVPICERATAIDMNVEHYGLNLRFRASGEFSALRVLKITGGFRLHERSLERAVSSQCPSLQELTLCNNNDCRRNLTIRSDSLERLKLGPEVKGTITIQAPRLVRFKMPPLLGLDRLQRAHIAAPNLAEMAWHDTNDPQHNMITEAPRHLRRLKVRLYCLPSALPVASLFQRFDTVDELDLRVRIPPPQLGLGTLRPFGGPTALPSFMRHREGEFECKAFMKETAKLPQCKVLKLSIYPYGLHEYSIVVLHLLSCANARKFVLYFNLPLVKEQGRSSCGTQLTTDGLVLESLEELELNFYWLDDHEVDMVNQLLLSCGADLNRVVIRALGGPSSKLSSGKLETVAGFCRPETTIEFHGC >Et_8B_059829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3105170:3108545:1 gene:Et_8B_059829 transcript:Et_8B_059829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACLRLRALSHCSYHHCRGGFAAARGGRRRRVGAASCSPAFCSIAASGNGYGGAIGPVGSGMEVARAKRMLHVVLVSPLIPGNTGSIARTCAASAVGLHLVGPLGYKVDDTKLKRAGLDYWPYVIVKIHDSWDDFRDYFLKQEGEKRLLAFTKRGTHVHSDFSYKPGDWLVFGSETKGLPQAALEDCCREGPGGGTIRIPMVETYVRCLNLSVSVGIAVYEAARQLNYEQLQYQPELPEETQGVFPTEDIYA >Et_1B_011417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2240404:2250766:1 gene:Et_1B_011417 transcript:Et_1B_011417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPASRHRKAASPSAAAMAAGAKASHHQQHPQQSGSPTSTTTTPTSSSSRLTPELSLDGPASPLFAGLDEESVPKENVTVTVRFRPLSPREIRQGEEVAWYADGDTVVRSEQNPNVAYAYDRVFAPTTTTRHVYDVAAQHVVSGAMEGVNGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDPQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPGGESNEEEAVTFSQLNLIDLAGSESSRAETTGLRRKEGSYINKSLLTLGTVISKLTDGKATHVPYRDSKLTRLLQSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKRIEIQASQNKIIDEKSLIKKYQTEIRRLKEELEQLKMGIITGTPSKDTGEDNIILWKQKLEDGNVKLQSRLEQEEEAKAALLARIQRLTKLILVSTKATQTSRFSPHPGPRRRHSFGEEELAYLPYRRRDIVLDNESNELLLPTEGFGLSLEDSSKEEKKNRKGLLNWFKLRKRDGGASILTSSEGDKSSLTKSTAPSTPIGDSVNFHTEPRISNSLVGEASADLFSIGHGEFPSGSIPGEETPLASGKTMDHVDLLREQLKILSGEVALQTSVLKRLAEEAGRSPKSEKIQMEMKKISDEIKGKRQQIASLEREVADATLGNQVKVGKLELSPPYADLLEQLNEKSFELEVKAADNRVIQDQLNDKISECMELQAEVTHLKEQLLQALEAKDLLSNGVIQNNIGVNHEVENHADQEKADPREVSTEPLPKQQQSVEIDELKKKVCELTDVKAQLEARNQKLLEESTYAKGLASAAGVELKALSEEVTKLMNQNEKLAGELASLRSPTPRRVNNAPRGGRRDSMSRRHEPASRRETIANHEREKALETTLMEKEQKEAELQRKIEEAKQKEAFLESELANMWVLVAKLKKPQDYGHESSDANQT >Et_1B_013952.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25273388:25274017:-1 gene:Et_1B_013952 transcript:Et_1B_013952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDEVTVLGVWPSPFAIAVRVALNVKGERHAYAEEDLVDKSDLLLRSNPAYRKVPVLIHNGRPVCDSRVILQYIDEAWPDDAAGPPILPRDPRARAAARFWAGYVHDEVDPAWRAFARASTDEARARAVARLVSALDTLETAFRDLAVAEGTQERSPFFGGDTIGYVDAVLGGFLPWFGAIEEMVGRGVRLVDAARTPLLAAWAERF >Et_1A_005881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15000762:15009823:-1 gene:Et_1A_005881 transcript:Et_1A_005881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVEVRSVRKSAALRPRVPSKLQPARSMPLDYRYSSAANGAKLAANGVGRRAAAEEEDEMKEGEVVGAEGEADSPYSSKAATAEEEEGEKTGGGDAGEEVESVAAATPRRVSPTAAASPSQGDSRWGDTSSYGAKKNHRVFCQLPNGDWALCTVLTTSGDESVLKVSEGKVLRLKTESLQHANPEILDGVDDLMQLSYLSEPSVLYNLQYRYSQDLIYTKAGPVLVAVNPFKKVSLYGNEYIDAYRNKAMDCPHVYAIADSALREMTRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILQTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSTTGRICGAMIQTCMQLSRVVQCAVGERSYHIFYQLCAGAHATLREKLNLKKVDEYKYLKQSCCYSIAGVDDAQMFRTVTEAMNIVHISKEDQENVFAMISAVLWLGDVSFTVMDNEDHVEIVVDEASKTVAQLLGCSIEDLNLALSKRHMKVNNENIVQKLTLSQAIDTRDALAKSVYASLFEWLVEQINKSLSVGKRRTGRSISILDIYGFESFDKNSFEQFCINYANERLQQHFNRHLFKLEQEEYVEDGIDWAKVEFEDNQDCLNLFEKKPLGLLSLLDEESTFPNATDLTFANKLKQHLSTNSCFRGERGKAFAVRHYAGEVAYDTSGFLEKNRDLLHMDSIQLLAKCKSSLPQMFASKILAQSDASISAPNRSSAADSQKLSVAMKFKGQLFQLMQRLESTTPHFIRCIKPNNLQLPAIYEQGLVLQQLKCCGVLEVVRISRSGYPTRMTHQKFARRYGFLLLEDVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGMLEDTRNRTLHGILRVQSCFRGHQARHHARERISGVLALQSFIRVENARTHYSALLRKHRAATMLQSNVRCWLARRYFITVRKASVVIQSGIRGSLVRRCDGNVDLINVFREFESKQEAEGDQIFIKASVLAELQRRILKAEATVREKDEENEMLHQKLQQYENRWQEYEQKMKAMEEMWQKQMRSLQAGLSVAKKSLAQDDTPRMSDSSVDQSWEGNGNHVGSGSQLVPRTIGREMNAGISVINRLEEEFDQRSQVFADDVKFLVEVKSGEADASLNPDMELRKLKQNFDSWKKDFNGRIKETKVILNKLNSNESSPNAVKRKWLFRRNTSKFS >Et_3A_026103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4302405:4309609:-1 gene:Et_3A_026103 transcript:Et_3A_026103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAITGTIVSSDPCSLKMAGAILSRFAESTTSHLPSSDYATYLRTAADAAKEHHRFLRFLAANCQQGAAYLDADGYEGTVEGGREPGGGEENWGAEGPTGGPHISAEEVKVAVAADEKKRKMKEDPHEDKAAAGVAPHASVEITSDQRKKEKHSNKELPSLVIVKQEPDLVMEEELVGERKKKKQKHSHKELTSMKRRKGKKKKEKGHVKSEEDVMEVKGQIVNNGVAEQGGIPDGGKKRKKKKHSEEESGSKGVKKEEIMSTAIVLYSEKKKKKRGRGDDDNSEETQKSRVLVIGGTGHLGKHIVAASIHLGHPTAVLIRDDAPSDPAKVQLLKSFVDLGAALLKRFLPSEFGSDVDNVHTVDPAKSLYAVKANLRRLIEAEGIPHTYVCCNGFAETYLPSIGDVTTVGSGPPANKITVLGDGNAKGVFMVEEDIAAYTMRAVNDERTLNKILYMRPPANVLSHNELISLWEKKSGRTLQRVHIPEEEVLNWIKDAAFPLNILLSLAFSIFIRGDQANFGIDPTIGVEATKLYPDVNYTTSKILVVGGTGYLGRHVVAASSRLGHPTFALVRDAAPSDPAKAQLLKSFQDSGVTLVTGDLYDHASLVSAVKAADVVISTLGALQIADQTKLIDAIKEAGNVKRFFPSEFGLDVDRTGAVEPAKSIFSGKVAIRRAVEASGIPYTFVVAGYFAGYGLPGIGQALSPSPPADKAVVLGDGNAKVVFVEEGDIATYTVLAADDPRAENKTLYIKPPANTLSHNELLSLWEKKTGKTFQREYVPEDAVLKQIQESPIPVNIILAIAHAAYVRGEQTGFEIDPAKGVDANELYPDVKYTTVDEYLNRFL >Et_2B_019226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18622139:18622864:1 gene:Et_2B_019226 transcript:Et_2B_019226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYTRNKKKSSLWNHCSFKRVVNQERTFAATEKCAWKDATCPVCMEIPHNAVLLLCSSHDNGCRPYICATNCQLSNCLDQLVESCKSVSSKDPDSIEFACPLCRGAVKGYTLVEPARERLNKKRRSCMQDGCSYMGTYSQLCKHVRKKHPSGNPRAVDPQQAVRWNRLLFHTSVQDMISSTSSTVLRRVLSALLQYEEYMASVWRQNGDPRGGNNDNSLQSANAGSDYP >Et_3B_028510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16357290:16362731:1 gene:Et_3B_028510 transcript:Et_3B_028510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPPLDWMDSVTDDDHVPPTVFLGPEFLRQKLYQLSPPEEYTLSQTLARVSSYYVADQQSKPPFSEARYGAVSKVYVVCKQDKAMLESFQRALISACPVAEVRQIADADHMAMFSTPVDLAGHLADHTTTQHRVPSADPSSAVSTVITMSAPVAAAAEGATRIILVHGTGHGGWCWYRVATLLRAAGHRVDAPDLAASGADARTLRDAPTFEDYTRPLLDAVAALPDDERAVLVGHSFGGMSIALAAEMFPEKVAAAVFVAALLPDCTNPRSHPIEQLPISDWMDTVMDEKHVPPSVFLGPEFLRQQLYNTSPPEDYTLSQSLIRVSSYYVADLQSQPPFNEARYGSVKKVYVICKKDVAVPEEYQRRMVAGSTAVEVRELAGADHMPMFSAPVELAGHLADVGNTFA >Et_6A_046493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16059205:16062197:1 gene:Et_6A_046493 transcript:Et_6A_046493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKPEAMEEEDRPTEALKLAAAKAGPELGFWAAARRRLAPDDPFFAAGDLERELLAKHLALDLSEDDRYQIEKMEVASVCTVFCSIAGCGAQLNCLEEFEDHYITRHTASCSVCSRVYPTARLLSIHVSEAHDSYFQAKVARGFPMYECLVEGCGVKLKTYKSRQQHLIDKHQFPKSFEFFKKARPSQRHRQKYHKRQTAPKGEEPRDTLMDVEGKSTRQTNWRYRPKQHDHKESKENEHQHKEAKENEMEVEQKIDELTSAVSKLSTADSTPANVTFGHRRSRGLTFVPRSIRQNKPASQPEAK >Et_4A_035046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:985295:987171:-1 gene:Et_4A_035046 transcript:Et_4A_035046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMEQWADQQAAQMPFLALLQGAMVDNGARKRQQAAAADLDLLESCVTAASSAPAATSCRAERRIRKRPRPRSRAAPQQENKRKKPEEAQTQRMTHIAVERNRRRLMNDHLASLRSLIPSSYIPRGDQATVVGGAIDYVKQLEQQLVALQAEAAARRGVASDGVFVSPQYTSFSEARGCAGVDVEAMAPVGGHVRVRVAGRRWPGRLVRAVAALEDLRLAVLHLAVTSVGHDAVVYCFNLKMEEGCEVATADEVATVVHQIFAYAGTCC >Et_2B_021192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27342955:27346180:1 gene:Et_2B_021192 transcript:Et_2B_021192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKDSKPSYNNYSYDYGNASSGYNSRYAGNTSSTYNARYAPSSENNVQPETHARLQRKYSRIGDDYRSLSQVTDALAQAGLESSNLIVGIDFTKSNEWTGKISYNRRCLHDIGSSPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENRPCNGFEEALERYREIVPTLRLAGPTSFAPIIETAIGIVDSTGGQYHVLLIIADGQVTRSVDTQSGQLSPQERDTIDAIVKASQFPLSIVLVGVGDGPWDMMHQFDDNIPARSFDNFQFVNFTEIMSKSIAADRKEAEFALSALMEIPTQYKATLDLQLLGRRQRITPRIALPPPTRTAYSRSTSFQSGVYSRSSSFDQQTSGTQQRSGSFKQQQPAATRRPDTYAESNLEDRLLCPICMYKSKDLAFGCGHQTCYECGQTLERCPLCQQHITTRIRLY >Et_5A_042379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9287567:9293202:-1 gene:Et_5A_042379 transcript:Et_5A_042379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSKSGPRPHPRSPNTAQPPPPSTPGGAGGGGAPPPAPGVTPSKNAAMAELKSRVLASLAKLSDRDTHHIAVEDLERIIRAPPSPDAVPMLLNALASESPGLASPARRESLRLLATLCAAHPEAAAPHLHKVLAHLAKRLKDPASDTSVRDACRDTAGQLAAVYLRPLAASGAAEAGNTTVTQFVKPLFEVMGEQSKAVQAGAAACLAKTVEGAGPGPGVMGMFGKLGPRVCKLLGGQGVQAKGALLGVIGSLSQVRAISAQNMQQTLQSIRDCLENSDWATRKAAADTLCVVATHSGHLVGDGAAPTIAALEACRFDKVRPVRDSMIDAVQLWKKLTGEDAAADGKNKETADSEGKLDSKRSMQNSGKTEQFNDSLPDSPTCNEKAAGGSMAEKAAVLLKKRSTFTDRGLNPEFFQKLETRSGDDLPVEVVFPRRTLRSHLRNKDESEEDGDPVGPANSNGSADDEGNLTQMRASSNFQNIRDKWGGQRGNRNRDTKARTLDVEDRSEDSAAAMNSPGEGPSMNNKTNWLAIQKQLALLERQQASLMNMLQDFMGGSHDSMITLENRVRGLERVVEEMARDISLSSGRRGGGFDSSPGRSSGKYNGYHEYPNSKFGRSGDGRMGFAERYFSGDGMSSGLRSSSWRPDSEPWDSYTYSGSRSNMNTRRGLDSVSDSRMPRNERGNDQAGPRRGWDKGPGPFRFGEGPSARSSWRASKDEATLEAIRVAGEENGSSRAAARVAVPDLDGEGLNGDNQGDERGPLWESWTRAMDAVHVGDMDSAYAELLSTGDAELLVKLMEQTGPVVDQLSNEVANEILNAVGQFLVEESFYDIALSWLQQLTDLVMENGSDYLGIPLDAKQDLLLGLHEATAIELPDDWEGATPVQIMKQLASSWRINMQQLIN >Et_9A_063337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20612368:20616232:1 gene:Et_9A_063337 transcript:Et_9A_063337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPPRDSPRRLPGLGRRRPPPPQPGSHPPTPSLARYVNSFPGTPKAQAPACSRTDTPEKEVRPLRERNRLPLTDTQAIPDMAAHAKETDISLGSTIDAGGVQSWVSPSGLFAFGFYPNAEGFSIGVWLVTGASRIIVWMANRDDPPVSGGSIQLTYGGSLQWIPANGGSPVKSISATTSQVASAAMLDTGNFVLYDIKKQITWSTFASPTDTLLPGQNLPPGSQLFSSVSDTNHATGKYRLSNQQDGNLVMYPVDAIDPDSSYWNTGTFGSGYLFTLSLDPNGTLWMFDQNTAYTDVLFLTNQSSKASSDTDVKYRLTLDADGILRLYSHVFFKQGRSPVTEVRWLKPSSNRCEVKGVCGPNSFCQVGSNGETSCSCLPGFEFSSAKETMQGCWRVHTGGCPGNSSNDDIRSVATMIEVKNTSWSDKSYAVPPQTTSIEACKALCLSDCACEVAMFNSYCSKQMLPMRYGRILPRSNTTLFVKVYIYEPKGAIKKNRSAGSVAMLISGAALAIFSLILVSASMLICKHRLSLRYMRAPQQQTSEFDDESIVIRSYSFQDLELSTQGFAEELGRGAYGTVFKGVFTNSNMDIAVKRLERMAENGEREFQREVRAIARTHHRNLVRLLGFCNEGMHRLLVYEYMPNGSLADLLFKSDALPSWSNRVAIALDVARGLQYLHEEIEGPIIHCDIKPENILIDNTGRAKIADFGLSKLLIGGETRTFTGIRGTRGYLAPEWSKNMAITVKADVYSYGIMLLEMISCKKSMELKLCGEECNISEWAYEYVISNDLKKVAAGESVNEIELERMVQIAIWCTQNQPVTRPTMKNVVHMMEGSAEVRRPPPPASFSQSLMRSGST >Et_9B_063768.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:13380512:13380553:-1 gene:Et_9B_063768 transcript:Et_9B_063768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVLDSRKNALT >Et_4B_038263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27650233:27651038:-1 gene:Et_4B_038263 transcript:Et_4B_038263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRLSRAAEAEFAEVSDLIADIVLRDELDERCSELLDCHGQLKASRVYKLVKSANAVDCNFVNFVSKNRAPPKVQFFAWLVERVQCKVNLHAKTIVDDDKCEVTGRHLSLAFWMLVRSIFLEHDRCRANARAISARAMAPAVAGDNAGATQRHTDPFVLVANLEALNWYSDKLNRVSPVSSVTAGKKQACGSAACGVMRRQLVRHGALCLTQCRNSVTRCLFKSFVTLQTGPKWAFISYEMKFRWRVPPTPDRPLKKKLPN >Et_3B_030685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6341057:6342790:-1 gene:Et_3B_030685 transcript:Et_3B_030685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVTASGGGGKPHLPALLLLRRCDAAFRSALQQRFHVLDFFASGEPLPAFLAAAAAAAAPEPPRAAVVVGGGLVRVDAAFLDAVPSLRCVVGTGAGVDHIDLDECARRGVAVANSGRVFSADAADHAVGVLIDVLRRVSAADRFVRRGLWPLQGDYPLGSKLGGKRVGIIGLGNIGSLIAKRLEAFGCVINYNSRRPKDSVSYNYFPDVHDLASESDVLVVACALNKDTRHIVNKDVLAALGKDGIVVNIGRGANIDEAELVKALKEERIAGAALDVFENEPKVPAELLSMDNVVLSPHIAVFTSESRSDLRDHTIANLEAFFSGKPLLTPVHADLFKESFLLVPVDFCN >Et_1B_012115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29066902:29069075:-1 gene:Et_1B_012115 transcript:Et_1B_012115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLRRHSLDKSGSHNSRSSSSFNHHQQQYLDRAADQEEEEIMQEANGTPPLPHGRAAVAAGGPRSRLARDGPPSELDVMKEKFAKLLLGEDMSGTGKGVSSALALSNAVTNLAASVFGEHRKLEPMAPDTKERWKREVGWLLSVTDHIVEFVPTRQTAENGTTMEIMSTAQRRDLAMNIPALRKLDAMLIGYMDNFVDQSEFWYEKGGDNKRDDDKWWMPTVKVPSEGLSDVTRKWLQYQKECVNQVLKAAMAINAQVLMEMEIPEIYIDSLPKKGKTSLGDAIYRSITEETFDPLEFLEGMDLSTEHKVLDLKNRIEASTVIWKRKMQTKDSKSSWSSIISFEKREQFEERAETILHLLKLQFPGTPQSQLDISKIQYNRDVGYALLESYSRVLESLAYSVMSRIEDVLSADAAALNLTAAEANARRLLAEAADGGGIAPPRKLDAKEELEKLNEAPASMTLFDFMGWHFDQDELQKRREDGTLDAEAEAKLLLKKAPSLAPKKFSYVDTLSSGGGMRSPSARH >Et_5B_045528.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:3697821:3698657:1 gene:Et_5B_045528 transcript:Et_5B_045528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANDADEPLTLSTTASVLMELSVTREEAERAREAAVQAWLASMPLGEELERLRAELAAAKARLAATAAEIPPLKSAIESADRAAAARTEEAGRKTAAAEELRRRIDRGRAELRRLRAEAAAARGAKDALERRVLVRRQAALALRLAERAVAAEAHALAWAAAAKAGDDAAHHDDVVAVPARRLEELCRAVEDEERESEARVEEAEAKRRAAKARRAAAVARLDAARARRREADAAERRRRVERVDGDHHGKPARRRRGRPCFAVKKLRRFLCNVGKA >Et_4B_037866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24205163:24205498:1 gene:Et_4B_037866 transcript:Et_4B_037866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINKLALPVVLLLCGNRLYSLPTFSIHLFFASCMSGLMVDGCCIKSSEAGQGRFCPMYCLNAAYMTCKSTGDQHLDPACNCCVVEKKGCTIYLNDGQPMKCS >Et_10A_001303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23093395:23094252:-1 gene:Et_10A_001303 transcript:Et_10A_001303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMSKLRTFPSPPPIWWVPSRHRRKDDKKLTTDDEASVGDGLLSLTSGQRHSKGHAYRTYPLNLTDFADYWNISAGVAPSFSTTFVFSILGRQQQQSSDGLAFPVPGPRRLVESPWQRCSWCQLVVELDTVLNAELGDVDGNHVGIDVDSLVSVASRDAGYYVDGGDEFRPLSLASGEPCPYKRSYGRRAANKAARSNSSHKKTTLLSCFSCCCYQGKEAIKINKRRNG >Et_5A_042532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18922463:18924581:1 gene:Et_5A_042532 transcript:Et_5A_042532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSRAALLAAVALPLLLLLADVARAADCDAGASAAEGGGDDHARARALKIAAFFSILVCGALGCCLPVLGRRVPALRAEGDVFFLIKAFAAGVILATGFIHILPDAFEKLADDCLGAPWTEFPFAGFGAMVGAIGTLVVDTLATGYFTRAQAQLLKKPNGGAETSAAVADVEKQISRSAADGAAGERDGHVHVHTHATHGHAHGTTALVAAVGEDDKENTMRHRVISQVLELGIVVHSVIIGISLGASEDPSTIKPLVVALSFHQMFEGMGLGGCIVQSSATALIVEGLLNSVAAGILIYMALVDLLAEDFMNPKVQSRGKLQLGINVSMLVGAGLMSMLAKWA >Et_8A_056908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18812069:18817774:1 gene:Et_8A_056908 transcript:Et_8A_056908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSTSGGGSGGHGRGHGYGHYHHHSALCCLSGAPPLPGDAPPTPEPANATAPAQAQGAAVAVEGVLHKWTNYGRGWRERWFSLRDGVLSYSKIRASAPGAGPPDEDGEVRLIGARIGGSRRTDKPAGVVSLKVSAFRESKSDDKRFYIFSPTKTLHLKTDSKDDRVAWIEALILARSVYSLRSVSGRVNVVQSDVSISTARLRDRMHQEGLNENLIEECEQIIVSEFSSYRKQLKRRYEDYLSLFGSCRHHFEEGKDENITQEALTRNGFSSSRHGNFSEYSTTESDECEKNDDCELTCEDEPTFFDSVDHFVGSDSSSSTMSSGPGVVGTQNQDSNDMLLQIRRRTRLPEPTEKEKGISLWSIIKDSVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDQAYQYGKVGNSIMRILKVAAFAVSGYASSVARPAKPFNPLLGETYEADYPDRGVRFFAEKVSHHPMLIACHCEGKGWKFWGDSNLKSKFWGQSIQVDPVGTLTVEFDDGEIFQWSKVTTTIHNLILGKLYCSHHGTMYIKGNRQYSCKLKFKEPSLLDRNPHLVQGFVEDNGGNKASFLIGKWDESMYYSNLDTFRVKSADQLQGASLLWEKNKPAPDPTRYNLSSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANSEKLRLERRQRMSTKLQDNGWKPRWFEQDSEDGTYRYKGGYWETRDEGRWDGCLDIFGEFAET >Et_5B_045518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3527279:3528952:1 gene:Et_5B_045518 transcript:Et_5B_045518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTDATLTLGTTSRRPPLPGAPTSPRHRPKWAPSFSFPSPHVNANQKSSSLLLPLHHRRRLPTKLRDDHEEQQQQQLLEPARPAVVFDSAERAWAQWRGQAPGPVPVAAAGGLVLYRDPSSGELTVTNPLTGASRALPPCGAAAHAGALLAVAMYGSSPYRVVLILGDLPDLSMATFDSSANAWEGPVALAPRKPDSSSPPDRAAADGFGDGDDAVYFLSKSGDVVATNMQRSASRQYSCAVASRPGAGGDGEDNNAVAYFLSHSGTVVACDLARRSFAELPRILPAYHEYSIDVVACDASAYVVVLSEFLGAASLRVWEFDGGAWRQVAAMPPAMSQPFYGAKADVNCVGHGGRVMVCVSSSSGEASGCFMCDVKTNRWEELPRCTAGSSDGEEATDFVAALSFEPRMEAAV >Et_10B_002765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10837370:10839862:1 gene:Et_10B_002765 transcript:Et_10B_002765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASSSASGSSAEDAGARSSSSAIQCFKYSKRATLRSVVGRPDGGLGLAGQRAVVGGWVRSSSVLKAKRFGMASPARMPAAETTNLTCTEVLMSRVPLIRCIAKLITGGAGAIDNISSGKSAVGSALVRINDGSCVPDLQIVVDSSLCPLEQVAAVGACLLVEGEIELVEGRSKQHVVELRVDKVLHIGAADSKYPLSNMRHSSELVRDCPQFAARTITVASTVRVRSELIHATHEFFQTNGFFHVNTPIITTTAAGDQSKMFRVMCLLSKSDDRGITPEVVRTSIEAKKKQIEALKRSESNKEALEAAELDLQRANHLARQLERGNTDFADDFFQRPAYLSPSHTLHLETYACALSSVYTLSPAFQAESLEPHKHLTERWTIDAELAFAELEDAFSCAEDCLKWSCSAVLKNCSDELKFLSTQADNKNEDHMESVLSSQWLRITYNEAINTLLQVTDKSFETKVELGTQLSQEHMSYLVEEHHKRPLIIYQYPKQLKPFYARLMEDEKTVSAFDIVMPKVGIVASGAQKEERIDTLTSRIDELGLPQEPLEWYLDIRRHGTAKHSGFSIDMERLILLVTGLKDIRDVSPFSRTKGNARC >Et_2A_017182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31795662:31809523:-1 gene:Et_2A_017182 transcript:Et_2A_017182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVRTIEAASEEVKSIQFSFYSDEEVKKISVKQITKSERLDAKNFPVPGGLLDPAMGPINETDICKSCGQNSVRCPGHFGHIELAKPLFNPLLFMSLKSLLQVVCFHCHRFRLNKEQVDRYVNELELVIKGDFAHAKNLKGSDKEANRSKEGNDSTEAISGDNSSPEEGKKVWTSIQLKEALSTFSKLMKKRQKKCAKCDMKSPTISSPIFGWLVKDTSASSVKGNAIAGFKLKGDGDGHKSGETGVSGLDEEQTSPGMMSNGPASESRRISDETIKEMVASSGKKHLLPTEVETILKDLWRKESRFCMLLCDFQQDTLNVSEKRRAYEMFFLNSLLVSPNRFRPSTSSSLGMMEHPQSVLLSKVQEANIALQNNSAGPNQMDVLKRWMDLQRSVNVLYDSSRGLTKSEKDAHGIRQLLEKKEGVLRQKMMGKRVNYACRSVISPDPYLAVNEIGIPPVFATRLTYPEKVTPWNAKKLQEAVKNGADVYPGATHYRDNNNMYKLQAAPAKRRAIAKMLPASRGSISQPGKDPKCEFESKVVYRHLQDGDIVIVNRQPTLHKPSMMAHFVRVLPGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRAEAMNIVDANKQYIGPRKGDAVRGLIQDHIVGAVLLTKLDTFLSREEYSQLVYGCCVPSTFSSCQSGEKVSIKDDDTLQVVHPAILKPKLLWTGKQVITTILNHLTYGHPPFTVELDGKITEDYLVPRKFDAAKASDGSKKSDGSEASDGAKKSDGAKKSDGGKKSDGGKKSDGDKATSRDASEQVLYIRRNELVKGMIDKAQFGSHGIVHTVHELYGADTAGILLSTFSRLFTLFLQFHGFTCGVADLLLCQKADEFRTDLLATSEEKSKEVHTRFTQNPDPVLQLEVEKVVRSNGESATKVLDTMMTNALNKITSDVNKELFPKGLQKQFPKNCLSLMTASGAKGGMVNMSQISSLLGQQELEGKRVPRMVSGKTLPCFPPWDTSSRAGGYVSDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKSLESLKVSYDHTVRDVDGSIVQFCYGEDGVDVLKSSFLNKFKELTDNREAILHRLGGHNHDQLLSNSNGYITKLPSELKEKAKKFVKAQSELKYNIKKKEEELSKSEGAEKCNSISKEAELLKFEEEKNNYIEEEEKKLLRLLNVKYLSSLVDPGEAVGVIAAQSIGEPSTQMTLNTFHLAGSGGMNVTLGIPRLKEILMAGSASTPVMACPLLMEGEATRLAATLGRVRVVDVVEQIEVCTVPFYNSNGHVSTLYKLRMKLYPKEHYRQWKLSETNCLKTLKTSFVDAMENAIEKHLDLLRKVNEIRAVKVNDTDGSLSDGEPESRTADGEGTGMSDDEDENDNEDDLGADAEKRKRQEKDEIEYDDDDDDDDDDDDEEEEGMDSESEEEANVKLQSEDDIPESGDDSQEDEEGLNTSKSEMASVDDAPKHGKAKIEEEEVDEQEQDKKTQKRRKKLKLTFRINEPAEKGYFGIEYVFHDEPHILLAQIAEKTARSIFVKSCNNIDRCKVVKEKEKDKIMKVYLQTAGVNFVAFWNLEKQLDIKNISSNDISAILKTYGVELARATIIKEVSRVFGHYGITVDERHLNMIADFMTFDGGYRPMNRMGMGQYCTSPFGKMTFETATKFIVEAATHGEVDALECPSASVCLGQPAKVGTGTFGLLQNLDLEEPMVM >Et_6A_048076.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:5443206:5443526:1 gene:Et_6A_048076 transcript:Et_6A_048076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPVKRHNRPPAPQLVVARLSMVQQKDETPKKHVAEVLLFRSGKWFTERPRISGLGNTIKEEKFMSSWFSTTSVIPVGEDMLCWVYLHGGLIFSSVPLPADSICS >Et_2A_017016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30154254:30156987:1 gene:Et_2A_017016 transcript:Et_2A_017016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAIGELKSTLTMVETIQTPYTSAYIWLLVDAERSVWVKGYTVQSVNMSNTMVGKKIKQGDGVSLPEDIIFDILSRLPVKPLCRFRCVSKGWRALTSDSAFIAVPLIVGLFGSLFRSRCMVERLELRVLDTDGNVLLAFKDVSKLLAPARLDLICVDRMRHGAMVIDPAAGRVFTVGGHDPSAGARRFTSLSHSSFGRAAPSGAYKVLLLHESAAEDGRGELCEVATIGDGAVELRGGKGQPRLSLPSCPATVNGVLYFMPRNASGTPQGWNRVAAFDLESEEWKELIHGPAMGSRKGEERWTIALSELKGTLSMVQNVWSRASPDGHYTNIWLLINSEKSIWVKHYTIQMPKHWILLKALDVLVDGRVLLLNALKREEEQTPGALQYILQYYDPGTGAFTDSMKMTQDFRGEMTLYTGSLLS >Et_10A_000271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20874134:20874505:1 gene:Et_10A_000271 transcript:Et_10A_000271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVIQDRKEIKIMRMDGSKVLDMPSPLKVQEALADPLTGHSIPGVADPGGFRVKLVISKQELKRMLDKEGMSLDDMVSVMRKEAMDREREQECCGGWRPALESIPEGTGF >Et_4B_036505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27345434:27346759:1 gene:Et_4B_036505 transcript:Et_4B_036505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHLPLLLLLLAAATACLPPPAACHHSSHHAPPPPPPPHHAKSTGTVVTAALVAAASLLALILLYLRGAVGREPASAGSSSSSAASRAAAFLRRHGLHHHRPAFTYEQLRAATAGFDAGRKLGDGGFGTVYLAYLPPGGRPAAVKRLHVPPSPSPSFPSAASTITKSFCNEVLILSALRHPHLVRLHGFCADPRALLLVYDFVPNGTLSHHLHRRPCVSACAPPPLPWRTRLAMAAQIASALEYLHFGVKPAVVHRDVTSSNIFVEADMRARLGDFGLSRLLAPPDACATGGARDLVCCTAPQGTPGYLDPDYHRSFQLTEKSDVYSFGVVVLELVTGLRPVDVGRERRDVTLADWVVSKIQVGELREVVDRPVLDEGPDVMASVEAVAELAFRCVAPDKDDRPDAKEVLAELRRIHGMLPELPGHRGS >Et_1A_005760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13653571:13676018:1 gene:Et_1A_005760 transcript:Et_1A_005760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPPAAAAAAAAAATEKAVAAAVAKGKEKVEVSMPPPPAVMAGASGRFVAYPARVAEHADVVADAALFKAALEKLHAHMGTRLKIPIIGGKDLDLHQLYKEVKAENRWREVTASFVFPATATNASFMLKKYYMSLLYHFEQLYFFKMQGWHQQETDSRQNSSIEVKTEAQASHKRKRGSACSSDPASSSDNVDVDVIIDGKFEHGYIVTVIMGSKSTKAVLYNCIEEPSLQTPVAPVASNITDLKGGRRRRRRRKKLSTTDPRHPKPNRSGYNFFFQDQHRILKPQYPGQDRLISKMIGERWNNLSPEDKAVYQERGVQDKERYRTQLAAYKEEQRTGQPISNAVPIQQRLPQIEVTIDEVDTKVSEGNILLSNQGYSSSDGSDNSGHKTVEDEDLNTETSPEMSMETADSPGQADPSADGDCFELRRRENPKADEKQNGVLQIVIRLAHLIRLNGRRASEEEQNTESAPLMGTVNDNIRRASILGHLLKKFHGTVKQSILAERTNLCGECHLIRMAIVPNHLGHELLGLLPPLSTAQAFQHGVVGVGVRRHGHGGDEAQGVSKAAGAAVTVDEGGVGDDVGGAGVLGRLEYALRVGEAAVAAVAGDEGVVGDGVGRARSLQRLEHALGVSEPAADTQFLDEDVMTAVGSRGGLEEPRRKRSAASRSSSWTRRRRVPLAAPGRAGALGFTQMEPAPPRRTDGDLRFVHLDAAAAAGRRWRWGLGHFGDSRKGKRRWEATAAGGGGGDDGEAILSRGLRQCEAPSASCIVEIPSQMSMQVEVAAPKDQPVFSMKLKFLLEDQDLQSSD >Et_1A_006557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24371076:24387277:-1 gene:Et_1A_006557 transcript:Et_1A_006557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVDLYLIHNPVSLRQRPAGSSLVAKEDLVAMDMKGVWEEMEECQRRGLAKAIGVSNFSCKKLEHLLSFAKIPPAANQVEVHPYCRQDKLRTFCKDKGIQLCGYSPLGGKGTPWGNNSVMDCSVLKEIARDRGKTVAQVCMRWVYEQGDCVITKSFNEQRTRENLNIFDWELTEEDYLKISSLPESRGNYDFIVHESGPFKTADELWDGEIVAGQSGGSTRSRATGDRTLAMAVPAVALSSGKPMPRIGLGTATSTLGQAEGHAGVEAVLRALEAGYRHFDTAAAYNTEAALGDAIAEAVRPGTTSSSPPSSGSPTRIPAASNRRSTRHSNLYLIHFPVSMRPPAAEGGPLIVKKDLVAMDMEGVWAEMEECLRRGLSKAIGVSNFSCKKLEHLLSFAKIPPAANQVEVHPYCRQNKLREFCRKRGIQLCAYSPLGGKNAPWANGSVMESPFLKQIAQDRGKTVAQVCMRWVYEQGDCVIVKSFNERRMRENLDIFDWELTDEDRHKINDLPESRGTYDFFVHESGPYKTVDEFWDGEITAGECKQTTQSRATGDRTVAMAVPAVALSSGKPMPRIGFGTATSTLGHAEGHAGAKEAVLRGLDAGYRHFDTAAAYNTEAALGDAIAEAVRAGTIASRDEVFVTSKLWIVDAHPGRVLPALKKTLQNLQMEYVDLYLIHHPVSMKPPSAEGGPLVVKKDLVAMDMEGVWREMEECQRRGLAKAIGVSNFSCKKLEHLLSFAEIPPAANQVEVHPYCRQNKLREFCREKGIQLCAYSPLGGKDTPWANGSVMDSPVLKQIAQDRGKTVAEVCLRWVYEQGACVIVKSFNERRMRENLDIFGWELTNEDHNKINELPESRCNYDFFVHESGPYKTVDELWDGEIIAGRFNQTAFVSSD >Et_2B_020740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23113564:23115126:-1 gene:Et_2B_020740 transcript:Et_2B_020740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLISLASLLPSSLASSPDGFDYFVLALQWPGTVCRRSTASGPCRSSNACCRSVPFSALQKPEFLDSEILLLLNVILCNSAALIPLDGSQFISNLTTELEKYWPSLYCSSPSLCSGGHGSLWAHEHGTCSYPIIQDEYSSFSTALHLYSTYNVTAMLARNISISTDDGGKYPVTDVVAAIKSSFGASPLLLCGGESLQELRLCFDKDLKPLDCKYGGRGVENDEVEYRRSCPRYISLPAYKPH >Et_3A_023317.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:20284980:20285105:1 gene:Et_3A_023317 transcript:Et_3A_023317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLTTNSLVLQVGKECFEKKSFSICTESKKNITKLSEHG >Et_2A_018520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31544054:31561838:-1 gene:Et_2A_018520 transcript:Et_2A_018520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYPTPLRSFVLLALFLPAAAVARTTSSLTTGDTLTPPNYITSPSGDFAFGFRSLDSNVSNSYLLAIWFRFHGPVVWFATDEDSGSTVLATTSSILSLAASGQLSLDNTLWTPYTNQIQNYGFLLALRDNGNLQFLGADGTTVVWESFQHPTDTLLPGQLMRPGTRLRSRETDMDVSLPDRFTLIVQKDENFVIFGIPPLGSTSMPGLIQTVFFVYIIPKNTSGNVAWDVADMFPRDGCKSLTNNLRGMCGPNSYCIYHNDNKRLDCECPSGYVFFDAQFRHKGCIPAFLEDNCDGKSHASEFKLLELPNTNWENSKYFQRHQSITEEDCRSLCLNNCRVFTRKELYRATNGFSKLLSQGGFGKVYHGIVKSLQPADVAVKELKSGDEYTETEFENEVQSIGRIHHRNLVRMVGYCKEGVHRMLVFEFMPRGSLDDFLFQSSERPPWSWRAEAALSIARGLEYLHYGCTPRSSIATSSLTIYCWMTNFGIARLLSDDKVKQTITHLRGTVGYLAPEWFISSEGKVDSKVDVFSFGVMLLEMICCKRVPDLQDRMRRDGGGGRVGFGNNNDDDDLGIPVTLRAWVEDMLRGGNTERIVQGDDDALQDLQRVERFARIAIWCVQVDPSTRPTMRSVVWMMEGTAAVAPLSDPPRARDDFSAILSASSDSCDHSNSRENLYHRDSMAGGASTHCEDRWRYALASLDAVVKASKARGGHQNAHKKEIAEMRRSLKEDMENKQAKRAPPTAARTQGPSVPPFQDEAIDAKETKMIVDVRHGHGERRRRA >Et_3B_027691.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:12846308:12846460:1 gene:Et_3B_027691 transcript:Et_3B_027691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASLSPPSLELVHSLGDPLLSRSSVCSSFRPVSDIFAHCSHLISNFNL >Et_3B_030195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31200010:31202613:-1 gene:Et_3B_030195 transcript:Et_3B_030195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRAVLVVAAVLFVLLVAAPRASAQMTVVDPDSWAADHGEACTGTVEECVAAAAARRKLGRGGYISYDAMSRGRVPCSIRGASYYNCRPGAPANPYSRGCSAITRRRRAVLAVAIAALLVVVATQLAQATVVDPDSRVANYGGTACTGTVEECIAGASGRRELAAGSGYIGYNVLRKDRTPCSIPGASYGNCSPGGAANPYNRGCSAIARCREMFSSSNQ >Et_1B_009879.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6220546:6221226:1 gene:Et_1B_009879 transcript:Et_1B_009879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATTQQYPTPTRARAAYNLVSSLRSDRPARGHVVVVARVPRLPLRRHRAGGHGRRSAAAARGGRRPPPVVPRALRRPPNLAGDPPRRRRTAASRGGAVGRPPHRLHRLRVRRGRRGGHARGAPPRDRRGGARRARQAARQPRPQQLRRHGPRLRQPLRHPRDALRHHQDGRHRVPVRRRRLDQHRGRRGRRGRAVPYAGRAAGLRGRGCRRGNLGRGRHCCSEGTDV >Et_2A_016424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24635037:24635854:-1 gene:Et_2A_016424 transcript:Et_2A_016424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTNAKGRSSCTTAGNRPPAGFLLAKFGRLAGAFSLHALRQRRKIVKAPRQKRCRAPAFRGAPMKVRTERDRDRSRTVRLPEGLPDTGRLGEAAVHQYLVEQLGSDNVIWMNENVEFGLPYDIIIKDCGITKYVEVKATVVPEKYWFHVSFNEWQFASQKGDALIIAYVLLSGRRRGKARIALLENPCKLFRQKDLKLALVMSDECGEAVSRCLKETAVVLQTRCNK >Et_8A_057196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22155761:22160590:-1 gene:Et_8A_057196 transcript:Et_8A_057196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSEQKLVLDEKNQESCDKQKHKSRRKSAAPLQPTRKNPPRLPPIAVPFASASAAAAAARKPRPRAEEEEGKERERGGYFEEPVIPPLLPLMAKLGPGQGLGCEAAEGSLVPTRKREYKPCGKHTEGKRPLYAIGFNFMDARYYDVFATVGGNRVTTYRCLENGSFSLLQAYVDEDKDESFYTLSWARDHVDGSPLLVAAGSNGIIRVINCATEKLSKSFVGHGDSINEIRTQALKPSLIISASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDINRFASCGMDNTVRIWSMNEFWPYVEKSYSWTDLPSKFPTKYVQFPVLIASVHSNYVDCTRWLGDFILSKSVDNEIVLWEPKTKEQSPGEGTIDILQKYPVPECDIWFIKFSCDFHFNQLAIGNREGKIYVWEVQSSPPSLIARLTNQQCKSPIRQTAVSFDGSTILGAGEDGTIWRWDEAAALGGVTELNCVHIAASRRREE >Et_4A_031837.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21212771:21213079:-1 gene:Et_4A_031837 transcript:Et_4A_031837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWRKKSSGGGGESPSRGYSSSADEEEKVPRGHVPMVAGGEDDGDGERVLVPVRLLSDPSIAELLDMAAQQYGYAQPGVLRLPCDAAHFRRVVDSAMHRCGIS >Et_2B_020089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16752985:16753459:-1 gene:Et_2B_020089 transcript:Et_2B_020089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPMSRWKTEAATVAAASAKQVFLSPPRMLFLTCLVDAPVRWACSKGYRLSSDTWEWSVLPWVDVPAPEKSEDSDRWLQNEGSCKRMGSSTRLTRVETLMLVHRNIGVLMHTKDDDGVGKWVLDREVPLNR >Et_3A_026642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11359459:11360909:1 gene:Et_3A_026642 transcript:Et_3A_026642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRRNGGVDRLSDLPDDILGHILSYLPTTEEAGRAAVLSRRWRYIFAHVHTLSFNDDFPGDRYEDNITFELESEERRSPNGDFLDRVNAALLCRLRCAGLSHNTLLRAFRVAIDQYEGWDEEMVYSWVSYALEQSRQELHLDLRLHTHQLCQRGDGQTKDRFYYAYSRHEEDWWDGWAFSFPRSLYSSAALRSLRLSHCRLAPPETILLPSLETLHLTAVKNSFKTISRLVSSCPRLADLTLESCRKMKRISVPEKRLRRLALRCCHRLLSVSLDVSELRFLDYRGAVPTQSLFTFHGSHRIIPSCTIDFCGPNRLTVDELAGFRILLQNFVEAPAPQLLPAWLQHRERVLQLFPALSCLHKLELTGCLDNQSISRVLQQTPNLEVLSLFLWHDPKHNPVAIPDASAVLCLQQRLRQINLVYYQGTDAQRMVVQLLLGNAMVLQDLSVAFHESLGMQTTLMDEIKQWVVSNKSPKITFL >Et_9A_062022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18897977:18903785:-1 gene:Et_9A_062022 transcript:Et_9A_062022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFAAEHSFARAASSAFGGADFSAGFDDPQLPPLRLRASDCGGGAPASPCSSSSSEDFVSMSSTPSGMLNPCAQWSPQRAPSEASSSEMEFGTAREYDTADLFFGESWLYNDLLFRGKRESDSGEGEDKFVVGPGVSSPRREVQQNGDGGSHRHLHRYGNAVSDGCAEVQSCCYRERKNDDKLVADACSAVYGRYHIADDQTEVLDECVAEVFRFRLNVDADVELNCGLLDSKDAEDERLDLSTVEKELHILSPYLVNGDPGLKHDSTGNDSVDINTVIEEIANGKEFLKNSYSIHPFPGFDVLEDVSGVEDLGEAHAVVQTSAAHKFQEDPKVDPALAEFLQEYEAFELKIFHRKNRTGFEENKEFPIVMNSVIAGRYRVTEYLGSAAFSRVVRAHDLRTGVDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPEDEHHVLRLYDYFYYQEHLFIVTELLRANLYEFQKYNQESGEEVYFSLPRIQAIARQCLEALVYLHHLHIVHCDLKPENILLKSYSRCEIKVIDLGSSCFLSDNLTSYVQSRSYRAPEVILGLPYDQKIDIWSLGCILAELYTGEVLFPNESVPIILARMIGTIGPIDPEMLASGQETQKYFTADYDLFHKNEETDQLEYLIPEKSSLRRQLQCPDKKFVDFLSYLLQLNPGKRPTASEALQHRWLSFVYP >Et_4B_036133.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26698857:26699975:-1 gene:Et_4B_036133 transcript:Et_4B_036133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSVLQLNAIKKSGSAAEVPIPLFPSKGLRLKRKIGDWLEGFQKLPYVSPYEDSSNINRNSDISDKRVVGVLHELFSLFVTCSAERRRLLCLRQHLGLPQKFDRLFERNPHVFYLLLKEKTCFVVLKEAYMAGEDTAIEKHPMLEVRKKYVELMEESRGIIRCRRSRRPFESYSEGIENSVEMLS >Et_7A_051924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2838295:2841144:-1 gene:Et_7A_051924 transcript:Et_7A_051924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMAASIGIMDGAYFVGRGEILHWINATLQLSLAKVEEAASGAVQCQLMDMVHPGVVPMHKVNFDAKTEYDMIQNYKILQDVFNKLRIGKNIEVNKLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPAERRSKGGKERSLKGSNKSSKSLQANRLSGPDSADGGPGVGKACNTFAEDHYIEQIQLLSEKIADLKVSVDSIEKERDFYFSKLRDIEILCQRPELEHLPMTKAIRKILYAADAKDSPLPEANEIITKSPGLFSDEAE >Et_4B_037351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18794895:18796438:-1 gene:Et_4B_037351 transcript:Et_4B_037351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLSDKLDFVVARASNGRSRAPRMGEAGEENSAGCSFSLMCQEDSADLDGVFVDGAAGGELVLLYSDAEGDEEEEYVGHLVSKESSFCCSPSSPSSSSSDAGVESSPSSMAAEEWFPCARRDTVKWILETRAYFGFGHRTAYLAVSYFDRFCLRRCIDRSVMPWATRLLAVACVSIAAKMEEYRAPPLSEFRTDADEYGFCCESIRRMELLVLSTLDWRMGGVTPFDYLPCLSSRILRRGSGGGGMVAAKAAALIFTAAEAASVLDYRPSTVAAAAVLAATHGALTKEALDSKTINFSPSFLLDKEDVFACYSLMLSASSPPSWVTTSLTSPVKRLSSCSGTYDSTDDLADAASFTPAANNNKRMRLDLLPGIGR >Et_7B_053742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11716538:11721185:-1 gene:Et_7B_053742 transcript:Et_7B_053742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPILKVRVNPSGDDAYGAGGDQYNKSGTGADDEYGSSRDESEKYRKEAKEHKHKEHLGEVGAIAAGAFAMYERHEAKKDPEHAQRHKIEEGVAAVAALGSGGFAFHEHHDKKEAKDAAEDAEEEAGGEGKKKHHFFG >Et_10A_001755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7708933:7713047:-1 gene:Et_10A_001755 transcript:Et_10A_001755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGCSVRAIWILTPHDTVAFSRRFAVVEKRWRAAWEVEGGGVSGDGKGAGAPLPADYEVAAAFAERRRREGTARGSGIRTSASPEGSDSWVDDPITRHIISLHINKKEGSGFMLWPVVLQKRGGYYVLVLPMVDPQSFRAYENLLKKSDCGSSAKETGNLSSILLNLPCITGAFMVAHVIGDIITGDLAEPEVIVTSGPSVGGLLDSLTGSIGISSRPKPIAAPVAAPTASVSSPVGAAQSESFKGGVRPFDKDVLRNFIIGAMPFGTPQDLNYTNVTSIRTNGASADPLPTDQKQPAWKPYLYKGRQRILFSTLETINAAMYDRDDVPDVLSVSGQITCRAELEGLPDVSLPLTGLKASRVEGYKSPFIMEFCMVTMPFPRRRVASYDGNPSVGTVSMTEHSIEWRIVSSGRGLSGRSIEATFSGTVRFFPRTTQRANSSFRSIPSTAYAEDSDSEQENLKNGSNLDDYLMEKMNKDLQAVDLEEPLCWQAYNYAKVSFKIVGGTISGLTIDPKSVNIYPSVKAPAEYSMQASSGDYILWNTLGKCPTAAVPKEF >Et_2A_018040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9348554:9351313:1 gene:Et_2A_018040 transcript:Et_2A_018040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTLKYEVPGRSEKLHALGLKRRTMLLLSQHQAGLNRVQIDTLAQHLLAYLLQPLHLTLGHPNQGILVMVGQRVGVEVVEQRLEHLGFHVIDLHVRRRLRLLHVKELLLEHGGPGPQQPLVRVEHLSADVDGHVCSMRALQEIGEILLQI >Et_4B_036236.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12557802:12557951:-1 gene:Et_4B_036236 transcript:Et_4B_036236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGYDYSPSPPRGYRRRGRSPSPQGHYGGRGRDLPTSLLVRNLRRDCR >Et_4B_038783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4877161:4880565:-1 gene:Et_4B_038783 transcript:Et_4B_038783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLAESLLPGGGAGDASPSAWHDEYEERAYDSDDKVSISISDSDEDDDGAAPSRPPFSWRKLWRFTGPGFLMCIAFLDPGNLEGDLQAGAAAGYQLLWLLLWSTVMGALVQLLSARLGVATGKHLAELCREEYPPWATRALWAMTELALVGADIQEVIGSAIAIKILSGGTVPLWGGVVITAFDCFIFLFLENYGVRKLEAFFGVLIATMAISFAVMFGETKPSGKELLIGLVVPKLSSKTIKQAVGIVGCIIMPHNVFLHSALVQSRKIDTNKKSRVQEAVYYYNIESILALIVSFFINICVTTVFAKGFYGTEQADNIGLENAGQYLQEKYGTAFFPILYIWAIGLLASGQSSTITGTYAGQFVMGGFLNLRLKKWLRALITRSFAIIPTMIVALFFDTEDPTMDVLNEALNVLQSIQIPFALIPLITLVSKEQVMGSFVVGPITKVISWVVTVFLMLINGYLILSFYITDIRGALLRSSLCVVLAVYVAFIIYLIVRNTSLYSRLCSSTSKSS >Et_9B_064517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16062192:16067418:-1 gene:Et_9B_064517 transcript:Et_9B_064517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGASSSGGAASAGESVVIDYGRRRTTCGYCRSTGPTSISHGMWANSLKADDYQVLLDRGWRRSGCFLYKPEMERTCCPSYTIRLKANDFICSKDHGRVLRKMQRFLDGELDQVGSPKCKSSPTKRALSEPMKSPTSKVSKVSANEFQASTCSNILKEDESTCRLSRKIDEAVDTCFQGGIFGSSVQLPKAVVKTVKPQVKKKVGESVQQKKPGEAVQDLAYTCNISFQIVAAFRRALPKEKGSDQSVLLGDLSPNAVAEKLAMTMERLGELAGFEVKACNGHLNFYSTTNQTTQNHTSICAPEQVSDKSGTSKQSSVNINNARPSQKRRNLEIRMRTSHFDPEEFALYRRYQTTVHKEKTVSESSYKRFLVDTPIVFVPPRSGDNSVPPCGFGSFHQQYRIDGKLVAVGVVDILPKCLSSKYLFWDPDLAFLSLGKYTALKEIDWVKTTQEQCPSLQYYYLGYYIHSCNKMRYKAAYRPSELLCPVRYEWVRYDLAKPLLDKSQYSVLSDFDKMQHKTPQPRVCGPNNDSSAQTDHFESASDEEDEDLDDYESDMMVDDGITHSEKSDTTEDSSNINDIENVILDLHGSRVKYKDLQQVFGPIQRRNLSALEGQLSRYVKVVGKELSDRMHS >Et_5B_045272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16017776:16021109:1 gene:Et_5B_045272 transcript:Et_5B_045272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHEQMQCSKKHADRRRRVVPNDTSRGATAVVAPSREVTRIDDPSAALLLVRVSSTAVAAVAVARVCGGTCPHRGPLAAVTCCRRCCTNINAHLPLR >Et_4A_032649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12183279:12185891:-1 gene:Et_4A_032649 transcript:Et_4A_032649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARAIGSLPVANVQELAEGFNRSDDQVPARYLVKEASTDDELVAGDDSSLAIPVIDLAKLLDPLSSEAECAKLGSACHDWGFFQLINHGVPGEVAGNLMNDVAEFLKQPLEAKKACSQQPGSLEGYGQAFVMSEEQKLDWGDMLYLQVQPAASRDLRFWPTHPASFRCSVDVYSSEITAKLSCRLLEFMAKGVGAEPASLLRVFEGQPVGMRVNYYPPCRQADQVLGLSPHTDGSGLTLLRQKNDDVQGLQINKDGRWFAVNVLDGAFVVNVGDALEVLSNGKFKSVEHRAVIHPNKERISVAMFHYPCQDLVMGPLPEFVAEGERVRYKSTSYQDFLMQYFAAKLDGRRHVERLKLE >Et_9B_065505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:581663:592675:1 gene:Et_9B_065505 transcript:Et_9B_065505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAACLRVACTFTSPPIRAPCHVSATRRGPRRAGLAVSAAAGESPPTVLVTGAGGRTGQIVYKKLKERAGQFVGRGLVRTEESKGKIGGGDDVFVGDIRDPESIASAIEGIDALIILTSAVPKMKPGFDPSKGQRPEYYFEEGCFPEQVDWIGQKNQIDAAKSIGVKQIVLVGSMGGTDINHPLNKLGNGDILVWKRKAEQYLADSGVPYTIIRAGGLQDKDGGLRELIVGRDDEILKTETKTIARADVAEVCIQALLFEEAKFKAFDLASKPEDEGTPTKDFRALFAQTENLENRKGKPQYRDITLPVTQNQKNVEFQH >Et_3B_028192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11518699:11520370:-1 gene:Et_3B_028192 transcript:Et_3B_028192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRSGKAVHLTGLGSSPDQRDSKNQSSNGNAPARSPPSSPVRLRFLQRQLVAEKIDGGARLEGDGWRADPGLLEVADGVPLALGSTRTPERGGVRLTAAEAEEAQSAMFVSSSASYRCPDESRRWICVYSLLPALAARLELARSGVKQQQFLLHWPSAAVLKPPARAEEGTCQDSGKTMGNVVMGMIAEEEEEEVEVTEQQSEQESNGGTVVVSTVEEEEEEVLQCSICLDEVVVGSRERSTAMLRCGHQFHLDCIGSAFNAKGAMQCPYCRQIEMGNWIYPTGPRAAPADDWSDEDVEDFPQPEAFVVRVQIL >Et_6A_047857.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21473686:21473919:-1 gene:Et_6A_047857 transcript:Et_6A_047857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAPVDEDEARPAKRRRLAADVDQEECAICFEVLERGLVAWPRCAHVFHGACLERLLVRCDQRCPLCRSELKGPG >Et_1B_012350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31073897:31077127:-1 gene:Et_1B_012350 transcript:Et_1B_012350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKLKQLRSFVEVPAGSHFPIQNLPFGVFRRRGASASPPRPRPAVAIGDFALDLAAVADAGLFDGPVLSGSTCFHQETLNMFLGMGRPAWKEARSTLQKILSADEPVLRDNEALRKKCLVPMSDIEMVLPINVGAYTDFFCSVHHARNCGFIFRGPQTPVNPNWFHLPIGYNGRASSVVVSGTDIIRPRGQGHPTGNSAPYFGPSQKLDFELEMAAVVGPGNELGKPIDINNAEEHIFGLVLMNDWSARDIQAWETIPLGPFLGKSFGTTISPWIVTLDALKPFTCEAPKQEPEPLPYLAEKDHVNYDIPLEVWVKPKDQSEASVVTKTNFKHLYWTVTQQLAHHTVNGCNMSPGDIFATGTLSGPEPESLGCLLELTWNGQKEIPVGNSTRKFLEDGDEVILTGCCKGEGYNVGFGTCTGKVLPALP >Et_3B_030057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30163094:30163718:1 gene:Et_3B_030057 transcript:Et_3B_030057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSPEEDAADVEIILVRVSTGCQWHDVSIDATATFGDLKVMLSLVTGLWPKEQRLLYRGKERDDCDHLHMVGVQDKDKVLLLEDPAVKERKLRSTTLAQLMGVPCHSFIQV >Et_2A_015068.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28625827:28626321:-1 gene:Et_2A_015068 transcript:Et_2A_015068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELGRTLSARGLPVTVLVVDAPYDTGGPGTCRVLADVSAANPSISFHRLPPAAAPVLSSSSKHHEGITMDAVRAHNPQLRAFLLAASPSAAAVLVVDMFCGAALDVAGELGVPAYFFFTSGAATLAFFLHLPVLHRNPAVGGGAAGAAGGGRGRRWGRQIATS >Et_6A_047720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11523266:11528483:-1 gene:Et_6A_047720 transcript:Et_6A_047720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSRHTAAAGTIAMTMTAIIVVMPALLHLVASASDPPFSCGPSSPSRGLPFCDRSLPAARRAADLVARLTVAEKVAQLGDEAPGVARLGVPPYKWWSEGLHGLSYWGHGMRFNGTVTGVTSFPQVLLTAATFDQGLWFRIGQAIGREARALYNLGQAQGLTIWSPNVNIFRDPRWGRGQETPGEDPTTASRYAVAFVRGLQGSSATGGGLPSPLQTSACCKHATAYDLEDWNGVARYSFDAKVTAQDLADTFNPPFRSCVVDGKASCVMCAYTGINGVPACASSDLLTGTFKGAWGLDGYVSSDCDAVALMRDAQRYRPTPEDTVAVAFKAGLDLNCGTYTQEHGMSAFQQGKITEKDMDKALTNLFAVRMRLGHFDGDPRGAPPYGGLGAADVCTADHRNLALEAAQDGIVLLKNDGGLLPLNRAAVGSAAAIGPNANDPTALTGNYFGPPCETTTPLKGLQSYVREVRFVAGCDSPACGYAATAQAAAAASAAEYTFLFMGLSQKQESEGLDRTSLLLPGKQQSLVNAVAAAAKRPVILVLLTGGPVDVTFAQNNPKIGAILWAGYPGQAGGLAIARVLFGDHNPGGRLPVTWYPDEFTKVPMTNMRMRADPATGYPGRSYRFYTGKPVYKFGYGLSYSKVSHRLVAGGKNPAPGASLLAGLTATTTDTASYYHVDDIGQDGCERLKFPAEVEVQNHGPMDGRHSVLMFLRWPNATDGRPASQLIGFQRQHLKVGEKASVRFDVSPCDHFSRAREDGKKVIDQGSHFLMVAKDEWEISFAP >Et_4B_038188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27048286:27050243:1 gene:Et_4B_038188 transcript:Et_4B_038188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPAHVEGWTHSRRGPLIDLWTLGVSERLTDRRRNSRLPGLGLACHSSSFSVLSLLGGARRGEAKARRGAADQSTPPVLCESGRLDLALIQFDRMSKKRCAPNSHTFELLITALCSCGRDDEAVKLFHKMQQLRCTPDRGFHAQVMPLFCKFSKVKEAIKLYQMMRQDGHQLDMHLYSSLIRCLCENQLLDDAIMMFKEMIAQGLAPMTGTYVDIVDCYCTLTKFHNAVSFLEENGVTESEPYNVLLKSLCINGRVQDSVSYLDKFHGRGLVDCQSWNIVIAHLCNEGNIRRATELIGRMVVSSFTPDESTYSAVISCYCQLGLYMDALGMFRRVSFSNLSLNSESFSQLVEILCHMNRIQEGTEVFKYHCKRGCSLTSKSLDMLIQGICVAGKIHEAVKLRSLAVCTGTSCTFSTYNIIIQALSRLKKGKDVLILFAQMLMEGCTLDEYAYNILLPCFLNEETSFEAAILFNRMVNHGFVPDQETFELFVNDMALFYFLNMVAQSLLKVVNTSGTMSPRIYNIIIYGLIKEGFKSEACKFLDQMLEKGWVPDSRTHCVLVGSVGEEETRDVGEFYQTVDNDNSETGWVPDSRTHCVLVGRVGEEETRDVGEFYQTVDNDNVSNILFEGL >Et_9B_065241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2779791:2781015:1 gene:Et_9B_065241 transcript:Et_9B_065241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAHDTIVLILASTLLALAHATVVPGAGAGPDDPQCRPAAPRRGALPVYPSDMEQLQFLLNAKFVEAEWFLHAALGRGVDFLDRNLSGGGPRPVGARKADLDFRTTEIAAELGYQEIGHIRAIKQYVGGFPRPAIDLSADRFAMVMDDAMGARLDPPFDPYANSVNFLLASYVLPHVTAAATMGISSTLMGYLSKRLQASILAVEAGQDAVIRLLLYQRADEVVAPYQGHTVADFTRRISDWRNRLSGCGAKDEGVKVLDRQQGAERRTISNILGAGEDSLGFQRTPAEVLRILYGSRNEQIPGGFLPRGANGTIARGFFQLA >Et_8A_056839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17724641:17733183:-1 gene:Et_8A_056839 transcript:Et_8A_056839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPSFTGPAPPSQLNHLQGRHRKQCRNDGTLSTVKTTCFCPNTACSVSKSNVLQPQQQQLPLQVSESSNLEELRRACSEAGLSSGGQSQTAIPELLLLIRDYQAQGHLRAALDPLGLDDVHNDVDLGLYGFIEADLDRELFLGVDWPRKTSGFLSGGGSVITLRELLGKLQRAYCGAVGYEYMHIADREKLEWLRARIETDTPNGYDKARRTAVLDGLVRATRFESFLAAACPNAKKYGLDGAETLVPGVEALLDRAAELGVESVVMGTSHRGRLNVQANVLGKPVAQIISDLTVGPRPVDQPNIFTGTGELHFQQGVSCDRPSTRGGKSTVQHLSLVAHPSHLEAVGPVVAGKTRARQFFSGDVDRTRATMAVLVHGDGGFAGQGVVYEALSLGALATYGTGGTVHIVLSNRVAVTADLRGGGGGGYCTDVAKALGAPVFHVNGDDVEAVVRVCELAAEWRDTFRSDVVVDLVCYRRFGHNELDDPTVALPQMYQVIKNHPTSLNLYEQKLRQTGQISREELQRIHDKVDKTLSQELERSKDYVPKKRDWLSANWTGLKPPEQVSPARNTGVVLEELKRVGQEITTLPENFELHRKVSTVFEQRATMIESGEGIDWAFAEALAFATLLAEGTHVRLSGQDVERGNYNERHATVHDQKTGEKYCPFHRVAVNQKKDFFTVTNSLLSEFAVLGFEMGYSMENPNSLVLWEAPLGDFANCAQVIFDQFLSCAEARWLRQTGLVVLLHHGYDGLGPDHSDGHLERFLQMCDDNPFVIPEMEPSFCTQIQECNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMAPKNLLRHKDCKSNLSEFGDIEGHPHLDKQGTRFKRLIADPRNHNQVEEDINRLVLCSGKVYYELDEERENSGRSDVAICRIEQLCPFPYNLIQAELNRYPNAEIVWCQEEPMNKGAYSYIAPRLHTAMKALGRGYFNDIMYVGRAPSAAAATAFPSVHAQEQLELVKKALQTEAINFP >Et_4A_032138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20481844:20482299:-1 gene:Et_4A_032138 transcript:Et_4A_032138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVNTDGSFNPQLASGGSGVVIRDHQGEVLVAEAKWYEFLPDVMTIEAMAHGFSRVILEMDCLPLVNLIQAEDGHRSPVGGLWHEIRELSRSCTSFNVCFVRREANSAAHCCAGMASTSSRICSWIDCTPNWIREATENG >Et_10B_003765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5697962:5701685:1 gene:Et_10B_003765 transcript:Et_10B_003765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERISYPYSSYYFTFVWLLTCLDLFQGRAWERQRLLRPSCTLHRDDSEEAKAQALRYDTSQVRPRRLAWAKVAEDESRTWHRQRMYRPASGKMTMAVVGADDNEHSYYQRSSSSRKSVRPDSDSCACLVGPT >Et_1B_011720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25290935:25295433:-1 gene:Et_1B_011720 transcript:Et_1B_011720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHGELKLLGQWASPFVTRVKLALGLKGLSYNYVEEDFRNKSDLLLRSNPVHKAVPVLIHNGKPICESQIILQYIDEVFAGTGPSLLPADPYDRAVARFWVAYIDDKLGGPWDRLFRAKTDEERAEAMRQTFAAAEALEGGLKECSKGRNFFGGDYVGYVDVVLGSLVPWAKATSVLAGAELFDPASMPLLVAWIERFGELDTAKTVLQDVDSLVEQARMEMAVETSKLKLVGQWASPFVTRVKLALWLKDMSYDYVEENLRNKSELLLRSNPVRKSVPVLIHNGKPICESQIILHYIDEVFAGTGPSLLPADPYDRALARFWVAYIDDKLAGPWDRVFRAKTDDERAEAMRQTFAAVEPLEVAMKECSKGKDFFGGDSVGYVDLVVGSLVPWVKATSILAGTELVDAAKMPLLAAWMERFSELDAAKEVLQDVNSLPTRAAQKLKH >Et_3B_030068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30233425:30237209:1 gene:Et_3B_030068 transcript:Et_3B_030068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKSCEQNEADVDRMEHYKSILSDLLSKKDESFSNGVEEVPSTKTQNQGGYDRDSNRDFPLFVEHINGVSKNDKKRLKHTLHEIITFINTDVDEVERDVHAMVGSGEMHQDAVTELSAGLLGKINKLAKSVDDLLNTTASKCRTITAEEKIELGKRIRKLPEEALNRVVEIISAGKPASQSSDKITMKLGELDDAILWRLYYHVEYVLKENKI >Et_10A_000321.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:23005631:23005861:-1 gene:Et_10A_000321 transcript:Et_10A_000321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVLGLEHMHGKGIGHSDVKPANILVSVSNGGKLIAKECDFGNSMYSDEAITNWNGLPHGTYGHMTPEVLDVEC >Et_1A_005603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11978586:11979086:-1 gene:Et_1A_005603 transcript:Et_1A_005603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVLAVLAIIVVLALSVAVDATVVTTCKAAADSDKRVDYKFFGAGDAENAAADINTLLSKAGKDAKTKGVLVKCQLLYRDVEIAFAGAYDSINVRNYAAGKKEVVLATSLAHQCDDAFARIAVPSPLKQHSLYTMKVAVICTVITNLIKR >Et_4B_038687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:423878:427767:-1 gene:Et_4B_038687 transcript:Et_4B_038687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHCGARGAHHYAAAATNPLLLHSPPTAASATRTHLGYTLMRSHLPLAAHLRCLLCSSSTVSAAPARHLIHRAMASTAATQTGKQADQAYLRPAQSLRAGDRSGNNDGEFDRAADGVAAATEYEEVLGRLSSLITQKVRAHSGNRGNQWELMAHYLKILELEEPIARMKVVHVAGTKGKGSTCTFTESILRSCGFHTGLFTSPHLMDVRERFRLDGVDISEEKFLKYFWWCWNKLKEKTDDDVPMPAYFRFLALLAFKIFSAEQVDVAVLEVGLGGRFDATNVVEAPVVCGISSLGYDHMEILGNTLGAIAGEKAGIFKKGVPAYTAPQPEEAMVSLKQRASELGISLQIADPLEPHQLKNQQLGLEGEHQYMNAGLAVALASTWLDKQGHKDLIPANCTDPLPDHFIRGLSSASLQGRAQVVLDSQVSSEEKDQNNSLVFYLDGAHSPESMEICAKWFCNVTKEERTLPSSIGQSQTCGSSKKILLFNCMSVRDPLRLLPHLVNTSMQNGVHFDMALFVPNQSQHNKLGSSASAPAAPEQIDLSWQLSLQEVWEKLLHGSDSTNSSKTSQVFESLPLAIEWLRKNARENKSTFQ >Et_1A_009218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3441842:3444259:-1 gene:Et_1A_009218 transcript:Et_1A_009218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRGSNGVATDLLVCFPSRAHLALMPPKAICSPSRPSASEPVKRRHSTSRAGPLPPSALSRSARNPSRRAADVPVDNEPSSPKVTCVGQIKARPSKPKGLGDGGGKRKKATWLQALGIKKDAMHLLDALHGAFRFNVRGCFGSFPGAVEYTSGEDDEEEEEEERAEKETEHGEALARWFMVLEEGKKVSSKQGPEPQQKQEEDREEEAAPPANALMLMRCRSAPAKGLARRLGGEAEEDKDVKSTKKIPEEDTEEGLVLMTYSPDFFKVSLDIAKETVGLTELRSGNAATLMTHRCESATPSCMIAAARPVDKDSPASDLSSPPSAATSAACSDGGVPPHLIFNIVSPSSDRGFHLTKHAPTCILVVVDGSISPSGW >Et_1A_007278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3280056:3280832:-1 gene:Et_1A_007278 transcript:Et_1A_007278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKIVKETRLHYFQGNCPGITKEAEFLCKLVKERYDSPFSPNEIAQCINIREFALDIMMHKLDEYASAASSAAEKSKETYNVWKRGLIAIIERGDPTWGAEKNYDAWNDDCEMKLIDRWRIKGSHKRK >Et_4B_039342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10822889:10826842:1 gene:Et_4B_039342 transcript:Et_4B_039342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWTLLEGFLLLANAFAILNEDRFLAPRGWSMSEVSGNGQTKSLKGQIVGLIYATQFLRMPLIALNDLELSHSESLAKAGPSPSAKWQEELRGDDLPLVQPGLAVGLEDPAAEEGLVRGLEVGALAEVQRHAEVDVPDHIRPAYVEEHHVPHGVSEYRTCISVSVKPHKLSPGLL >Et_2B_022831.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6125456:6125896:-1 gene:Et_2B_022831 transcript:Et_2B_022831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFFFGSPFRRLLHGRPFAVDWTSSSAAAMDWVETPTSHVLRINVPGLGKDDVKVQVEEGNVLTIRAAPRKEKGKEEGEEKEDGVVWHVTERGKPEFARAVALPENVRVDGIKAGVENGVLTVVVPKEPAPARPRPRPIAVSSKL >Et_3B_031513.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:3420234:3421325:-1 gene:Et_3B_031513 transcript:Et_3B_031513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEHSSAASFVFGLEEGWSPHSYFAAEYGTNTRFSTCSADPDTDTSEPKRSQSSIPVSAFALIFATSWLISMANDLAVEPLAEMIGDLNVNKVPNGTDPTSQDHDRLVSVPENTATFVLAGAAFLLVAAVADSAVRVIATVAMCSGEQGQHTTLGALLGKARPQLKGPVLTLAFVYALEIVYAALVSDMAALVFASVSLTSHAGVAGSVLGKLLPVAASVSLAYLASLCAFAVAVAEPGCHGAGAVRRAWRLLMGRRRGRLAGAPFTFMIGALVAASSHARALARTRGGLLGDHLAPWLLLWLLSIVAMAAVLLLSTGGAITAFYHECKDSAEVAATQFVRLATEDLIDASSPPCHAAPNAC >Et_1A_005231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34333266:34333827:-1 gene:Et_1A_005231 transcript:Et_1A_005231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRCELCGGAAAVHCAADNAFLCLRCDARVHSANFLASRHQRRRLGSAAAAESGAASSASSCSCVSTADSAESTAAAARSGRKPRRPRAEAVLEGWAKRMGFAPGAARRRAAAAWPPRASRSASPWPPRSGPRSPPLRPAAREEERTPCCSAGWRPRPTCPRGWC >Et_3A_024095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17308805:17313595:1 gene:Et_3A_024095 transcript:Et_3A_024095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVKWQKEVFQGIEIDTSQPPIVFKSQLYTLTGVPPERQKIMVKGGILKDDADWSTVAVKHGQKLMMIGTADEIVRAPEKGPVFVEDLPEEEQVAAVGHSAGLYNLGNTCYMNSTLQCLHSVPELKSALLSYSDTVRGNGIDQASHSLTLATRNTFGELDQSVRPVAPLHFLQTLRKKYPQFAQQHNNVYMQQDAEECWTQLVYTLSQTLTSESRVHCAESGEESTETESVYSLKCHISQDVNHLHEGLKHGLKTELEKVSPALGRTAIYTREYLTVQFVRFFWKRESNQKAKILRKVDYPLELDVYEFCSDELKQKLQAPRQMLRDAENAKFGLKVEGKPSSSKENEGSSAESSAPKKQLTGVYDLIAVLTHKGRSADSGHYVGWVKQDDGKWIEFDDDNPNIRKEEDILKLSGGGDWHMAYICLYKARMAESKS >Et_9A_063432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24131938:24133639:1 gene:Et_9A_063432 transcript:Et_9A_063432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGHRPPGKPFSAAAGNICRYNARPRAADHFTAHSPRAHLSSPIGAGGFVSLIFSRLGFWTVREASAAERGGAARGLGRRRSVMALTNFILTVVGVGAAVMLLRSDVKQSATIFRRNVRHIRNWLEEESAAAAKSTEQSSVKELESQAAKKDAAPKEKH >Et_7A_052709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13797726:13799264:1 gene:Et_7A_052709 transcript:Et_7A_052709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGVLYAKKDYNLAKHPQIDVPNLQVIKLMQSFKSKEYVRETFSWQYYYWYLTNDGIEHLRNYLNLPSEIVPATLKKSARPPGRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRSSGGRPGFGRGGGSGFGAGPTSSSME >Et_7A_050575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25732216:25732734:1 gene:Et_7A_050575 transcript:Et_7A_050575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KRVGIIGLGSIGTLIAKRLQAFGCVVSYHSSAPKPSAPYRYFPDALGPRGVVVNIARGGNVDEHELPHVPPELLAMDNVVLTAHEAAFTEESTADLRGVMIGNLQAFFDGKPLLTPVIHPE >Et_9B_066257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8859776:8860230:-1 gene:Et_9B_066257 transcript:Et_9B_066257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPVFFRPDQIGEDEQPQEDIVAGPEPQLLNVPVEAAKWRSSPTGWRCRCQVVVVPEGSFVTREFNPKHVRIFV >Et_2A_014622.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29312367:29313027:-1 gene:Et_2A_014622 transcript:Et_2A_014622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATKEILRTFVLALVFSMYISHKAWGDQECYTEKENIERGCKPSIKIRGNYVFPSDMCCDVVRASDMVCVCRILSDTDEHVISAIKLVQVAHDCEKPLPVGTKCGSKYLFCTFGMK >Et_9A_061730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15794140:15806765:-1 gene:Et_9A_061730 transcript:Et_9A_061730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPCCSLCHVRYDEDERAPLLLHCGHGFCRACLAHMLAAAPGAVLPCPRCRHPTAVGNSVTALRKNFPILSLLSASPSSPSFLHSDSGSSSDGSDDEDDFFARPSRRSAPPAPSAAPPGCSSVDLASHPDLKLARRIGSGPPGPAGQEVWAGTLSRGSGAKRCKHQVAVKRVPIPVGDGLEGVQEEVERLRRASTWCRNVCTFHGSIRVGGHLCFVMDRYAGSVQAEMRQNGGRLTLEQILRYGADIARGVAELHAAGIVCMSIKPSNILLDANGHAVVSDYGLSAILKNLTSRRVPDDSNMAGMDATLLSPNYIAPEAWGPLKKSLNMFWDSANGISPESDAWSFGCTLVEMCTGAVPWAGLSADEICKSVVKEKKPPPQYSRVVGVGLPGELWKMIGECLQFRASRRPSFQDMLKTFLRHLLDIPRSPPASPEIDFTNESLPNGIEPPTTSILEMVHDNPNALHHLVCEGDTAGVRDLLAKAASERNGSFIRSLLEAQNTDGHTALHLACRRGSAELVEAIVAYQENVDILDNNEDPPIVFALAAGSPQCVRALIGRSADVSSRLREGLGPTLAHVCALHGQPDCMRELLMAGADPNAVDGEGETILHIAVARRYTDCAIVILENGGCKSMGVPNSQHNKTPLHLCIETWNTAVVTRWVEVASEEEIAEAIDIPSPAGTALCMAAALKKEHEKEGRELVRILLAAGADPTSQDYPHCRTALHTAAMINDVELVKIILEAGVDVNIRNAQNTTPLHVALNRGANSCVGLLLAAGADCNVQDDDGDNAFHIAADAAKMIRENLTWVVQMLQQPSPAVNVRNHRGWTLQDFLERLPREWIYEELMETLEDKGVHLSPTIYEVADWVKFRRVVTSPAFGWQGAGPRSIGFVQSIVDNDHLVVSFCTGEARVLTSEVIKVIPLNRGQHVQLKPDVAEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWRADPAEIERVEEYNVGNWVRIRPSLTVAVHGMESITPGSVGIVYSIRPDSSLLLGLCYLSNPWLCEPEEVEHVDPFKIGDQVCVKRSVAEPRYAWGGETHHSVGKIIDIESDGLLIIDIPNRALPWQADPSDMEKIENFKVGDWVRIKAAVPSPKYGWEDVTRNSIGIVHSLEEDGDMGVAFCFRSKVFLCSVADVEKAQPFEVGEKDSGYLELAGCFRNGKWLTHNADIEKVQPFKIGQHVRFRPEISEPRWGWRDAKPDSRGIIAGVHADGEVRVAFFDVPGLWRGDPADLETEKIFEVREWVRLRADADHWKSLKPGSIGVVHGVGYQGDVWDGTIHVAFCGEQERWVGLSSQLEEVNKFVVGQRVRIRGCIRQPRFGWSNHNHSSIGTISSIDADGKLRIHTPAGARAWLIDPAEVEKVEEEEEICVGDWVKVKDSIATPTYQWGDVSHNSIGVVHRAEDGELWIAFCFCERLWLCKGWEVEKVRPFRQGDKVRIRPGLVSPRWGWGMETYASKGEIVGVDANGKLRVKFRWRDRLWIGDPADIVLDVQSLAESSNEFYS >Et_4A_035282.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:1755694:1756158:1 gene:Et_4A_035282 transcript:Et_4A_035282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPALISAYLSKLTRSTADSVTVDTTLSEQHNQRRRKKAMEKVVPNMKKAMEEREEKPKVPSRDPDLSELVAGEQPQLQREHQPPNISEMKPLTREAYGGGMYANEGPRRTNKPRASATQSADGPEEATAKPNHPPPPSTGDRDLDITGQSYIQ >Et_3A_024453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2106581:2108601:1 gene:Et_3A_024453 transcript:Et_3A_024453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLAVGGSRRCRALLMCLAVAVAVFPRAADAWKNYTVGEDKGWYDGLTLPGVDYQEWADGKNFSLGDFLIFNTDKNHSVVQTRNNTLFKSCNYYDSGLDDTVEWSAAAPEFSKDAVTVAVPLLKEGRTYFFSGNYDGEQCENGQRFAIDVAHGQGLPPDLRPPAAPAPGPAAPDGVATFNFDHPKNGTTTPNAADADDDGDETSGGRRATSGSSRTLATSRLGPGLALTTLFVAVIFAV >Et_7B_053918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13369255:13375810:-1 gene:Et_7B_053918 transcript:Et_7B_053918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDNHKQYLATLPIRECWSRNLRCRTPGRAEAGYGVRTARGKNWKPRHGESGQGHHREEPRNGGFSVPGMVGSPCQEWRVLRAEQNIGARRSRVPCEDSAGEKLEASPRREKDKAITARNHESGSPCQEWDEIRKRKLTMASASSPFSCSWTTNRFQYQCLTQTLPMDSNGTPLVSGRNSAMKTVMTTTQAA >Et_1A_005783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1389533:1390532:1 gene:Et_1A_005783 transcript:Et_1A_005783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRSMRTCLHSGRLALLAILVSGGIVLQILACALYNNWWPMLTVLMYLILPMPLIFFLGSDTSSMMSNGGDGWVNFTKFLTGASIVGSIAIPSILKHAGVIGWGALTMELSSFVVFGVAILWFLQMSSEDEYSSVF >Et_5B_044963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7785990:7791488:-1 gene:Et_5B_044963 transcript:Et_5B_044963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEPHRRVSSGLAAAVVLVFLLVPLAAAQSWPVCGSSGNYAASSTYQSNLGQLSATLPTNASRALFATLAVGAAPDVAYALALCRGDSNASTCESCVASAIQDALQLCAYRKDAAAYRDACYARFSNSDFLATTDNGIPIVIVEPQNVSSSVVEEFEAAVDALLNATSDYAATNSSRRFATGEEASSRSSSNYTIYGLAQCTPDMSLADCRSCLQEIIAFRPQVLHGSQGGRISGVRCNFRYDLNNKFFTGGPTLRLQTRLWPSPTSSPKNAPPASAPNNATSTSSTPGGRGRNKNRIALKVTLSAASAMLALTLVWLCFLRKRNLARKHVPYSDPEDLECIGSLLLDIATLRAATDNFAESSGIGEGGFGAVYKGVLPDGQEIAVKRLFQSSGQGIQELKNELVLVAKLQHKNLIRLIGVCLQEHEKLLVYEYMPNRSIDTILFDPEKSKELDWGKRFKIITGVARGLRYLHEDSQFKIIHRDLKASNVLLASDYTPKISDFGLARLFGGDQDQSQVATKSVIGTYGYMAPEYAMHGHYSVKSDVFSFGVLILEIITGRRCSGSHNFEESVDLLSLVWEHWNTDTIMEIIDSSLRDNTPGDLLLKLIHIGLLCIQDNPVDRPMMSTVIVMLSSNTVSLQAPLKPVFFIPKSGTYSNLCSESDPAATFSTLESRHSRDYPCTVVVDTAVFWLLFLGKNPGLDGAWRLIVSVPSIITTEDITGRAAGSPWTHSNPIWKHLSTSPSGKLPFMDGSTNSITVPASQCSHTYTLSLFFLPVTISSTMTPKLKASDFTE >Et_1B_012968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4397815:4401471:-1 gene:Et_1B_012968 transcript:Et_1B_012968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTILHPQFRPPVRAPRRVRTHPPPSFARSRTYVPVRASAASASTSAPAQPGATAGVPWGCEIESLENAASLERWLVDSGLPEQRLAIQRVDIGERGLVALKNIRKGEKLLFVPPSLVITADSEWSCPEVGDVMKRNSVPDWPLLATYLISEASLEGSSRWSNYIAALPRQPYSLLYWTRAELDTYLVASPIRERAIRRITDVIGTYNDLRDRIFSKHSDMFPEEVYNIETFLWSFGILFSRLVRLPSMDGRVALVPWADMLNHSPEVETFLDFDKSSQGIVFTTDRSYQPGEQVFISYGKKSSGELLLSYGFVPKEGTNPNDSVELLVSLDKSDKCYKEKLQVLKRNGLSESESFPLRVTGWPVELMAYAFLVVSPPEMSQRFEEMAIAASNKSSSKPGFNYPEIEEQALQFILDSCESNIAKYTKYLEGANRSPQLSMNAKQANRTLLLKQLAKDLCISERRILYRTQYILRRRLRDMRGGELRALSLFNGLRKLFK >Et_8B_060438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15956192:15958750:1 gene:Et_8B_060438 transcript:Et_8B_060438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSDESSDLSDTDIDDYAEKSYLDLKAGKRVARLDADRFRCPFCPGKKKQDYRYNELLQHAVGVGASNRASKVKANHQALAKLLKEDHADAAGTLPPRQAAALSNPPKPVKDQEIFVWPWMGIITNITVEQTESGGASLMRRLAEFKPVQFNAVHCANGYTGIVRFSKDWIGFKNALAFQNHFKSQRLGKMDWKESNRKGKHIFGWLAQEEDYKSGDPVGLFLAENGDLKTVAGLELEMSRKTERVIANLAHQITAKSEYLQELETKFNQTNLSLQRAMEDSDVLHKRYNEEMRNMQSAAREHTQRVFQETDQLRKQLVQKESYIQRRSRQLNELVAQTDMERRKLENERKKNADQNNSLNMARMEQQKADEKVLKLLEKQKKEKEAALKKILQLERQLDEKQKLELDIQQLKGKLEVVKHMEGEGVDVKKRSEELTAELNEKIEEMEDLETLNQTLVIKERMTNDELQDAKKELISGMAELLGPRSNIGIKRMGELDVKPFLAACKQRFGDDAEVKAAELTSEWQENLKDANWHPFKIVTTGSTMKQIIDESDEKLVGLKEQLGEEVYKAVTTALLEINEYNASGSYVVSELWNNKDNRKASITEAIQHVLKQWKLKRRR >Et_6B_049056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17196496:17202093:1 gene:Et_6B_049056 transcript:Et_6B_049056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAPSSSLPRPVSAKTANSAPRLLDLNRKYSSGAAPRPLLLSFPPHAPSRRRTAGLRAAAAQKSSSEYQFEDEEDEEEGDFEYEDDDEEWEEEDDVDVEAMEEEARAAADELAKSLARELHIDDDVKEKRKNSRDKTSAYKQIPDNILPKVAIIGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSYWGDQEFMVIDTGGVITLSKSQAGVMEELAVTTTVGMDGIPLATREAAIARMPSMIEKQAVAAVEEAAVILFIVDGTGDLLDLVCTELKKFEGLDGAEEEKSDVPAIAIVGRPNVGKSSILNALVGEDRTIVSPVSGTTRDAIDTEFTTTDGQKYKLIDTAGIRRRAAVAAAGSTTESLSVKRAFRAIRRSDVVALVIEALACITEQDYKIAERIEKEGKACVIVVNKWDTIPNKNHESTKFYEQDVREKLRILDWAPIVYCSATNGTSVEKIISAAALVEKERSRRLGTSILNQVVREAIAFKPPPRTRGGKRGRVYYTTQCMEYPEY >Et_4B_038565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:297112:302107:1 gene:Et_4B_038565 transcript:Et_4B_038565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPKPRRSQAPSLAGKLRKHSAWLLLIWFALSLYLFLSATPPAAAPLRRSAFLRPKHRALSATTAAGTAPPLRIYVYDLPPRFNRDWVAADARCARHLFAAEVAVHEALLSYAGRAARPEDADLFFVPVYVSCNFSTTNGFPSLSHARGLLADAVELVQTEMPFWNRSAGADHVFVASHDFGACFHPMEDVAIADGIPEFLKRSILLQTFGVTGHHVCQDVEHVVIPPHVPPAVARELPEPEKTQRDIFAFFRGKLELHPKNISGRFYSKKVRTELLQYYGRNKKFYLKRKRFDNYRSEMARSLFCLCPLGWAPWSPRLVESVLFGCIPVIIADNIRLPFPSVVRWSDISLQVAEKDIASLEMVLDHVVATNLTVIQKNLWDPVKRKALVFNRPMEVGDATWQVLRELEVLLDRSERRYAGYWRRWRPESLSEEVTRKTSGNNDLKLKGDSQLENAEPIRDNSKVKDKMPKGKKNIAREAAKKDGDGGGRTLLRAQWKTRVSLAAVAWARSGIRSAKVSLA >Et_2A_016805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28290801:28297730:1 gene:Et_2A_016805 transcript:Et_2A_016805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQDWRSEQSVSSENIVVPHRNDVSVFSSLKERIARVFALLGNLWCFETSNRPMLDERKSTARILHPQGPFLQKWNKIFVISCICAVSVDPLFLYIPVISDEKPCWYLDRKLEITATVLRTFTDIFYIIHIIFQFQTGFISSSPTTFGRGVLVENRYEITKRYLSTYFLIDVFAVLPLPQVVILAVLPNLKKSEVMKAKNILMLIVICQYVPRLIRIRPIYLQITRSAGVITETAGAGAAFNLVLYMLASHVLGALWYLLSIQRTDYCWRQMCLENNGCDHLTFFYCGVNGQENQNDNLMKACIPADQPNNLPDPHFGIYAPAIKNITQSKSFFAKLFFCVWWGLQNLSSLGQNLKTSTYAWENLFAVFVSVSGLVLFALLIGNVQTYVQSASLRVEEMRVKSRDTDQWMSHRLLPENLKERIRRYEQYRWQETRGVDEEHLLMNLPKDLRRDIKRHLCLSLLMRVPLFENMDDQLLDAMCDRLKPVLYTEGSCVILEGDPVNEMLFVMRGNLMSMTTNGGRTGFFNSDVLRGGDFCGEELLTWAIDPTSTSSLPSSTRTVKTMSEVEAFALMAEDLKFVATQFRRLHSKQLQHTFRFYSQQWRTWAACFIQAAWHRYRRKKIEDLLREKEKRLQFAIEKCHAQGSAAGKSACKATAKASRAQLFFRRAAQTSEIVSAWLLQFYSLMFLEVCVPKGQRVS >Et_8B_059140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14296237:14298290:1 gene:Et_8B_059140 transcript:Et_8B_059140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAPAPVRGGGEEAAYRASLRYSGLLQDYQELVKETEAKKRSLYMIKLRKQRLWAEIKFLRKRYKSMTQNPSQTVACRVRNPPMPPPVSHTTAWADDVEHRLVPAVGSSSKSQPVPRRQLGPPRACPVIDLNQACEPSHEVEMAEHYCYVEPLGIVNTKRYPLEGDGAAGPSGVRMPAFWDIGNPAVRAEKRKISWQDQLALRPLKEDQFLISH >Et_5B_043985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18610931:18612357:1 gene:Et_5B_043985 transcript:Et_5B_043985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTDAIAVAARAFVWHLIAVAASAFLFPAKASALLEDGRRLDPSAFRPGRVVAIDLGNTNSCVSGYASGDADDMFRICLPSWVAFPDDGTVLVGEDAKNYAAVHPGAAVYGFKRLFGKRLSYAFEREFARRASEHLPYKVLEKDARSHVQVTTNDGVVNQVGVEQLTLAVFTKLKETAEARLGHTVHAAVVTLPQDCHTDACQDAPLFAATFAGFQATKILHEPVAAAVAYGLSGKLREEGNVVVLHLGGGTAEATVMTFVDGVYEVLGQSYNPFFGGDDFDRRIVEHFVQLVKDKYGKDVSNDSAALQKLRSACEHAKKALSDRDRAEVHVDSLVEGLDLSESLRRAKFEELNRDLFLRVVEMVDTAVSRAEIAKYGDVIDEVVLVGGSTMIPKVRELVKGYFAGKEIHTRLKPDEAVTVGAVLYSKQSYLRRQS >Et_2A_015007.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:25932921:25933151:1 gene:Et_2A_015007 transcript:Et_2A_015007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYLDMRKKQSEDDADLLQKEQQAAEGSDFSIKKCISVLSTMGVTREEKTKAFGVFKDQITERSYVPSKMILNVC >Et_1A_008202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5118093:5120053:1 gene:Et_1A_008202 transcript:Et_1A_008202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGTNGVSNGAAGERAEDGTTVFRGTAYSPLRTTVALALWLGAIHFNVFLVLASLFLFPRRVAALVLATQLFFMFVPVNDKDRLGRKIARFISRYVIGYFPVTLHVEDYDAFDPNRAYVFGYEPHSVLPIAVGILGDLVGFLPIPKMKILASSAVFYTPFLRQIWTWLGVAPASRKSFYSCLGAGYSCIIVPGGVQEILHMDHDSEVAFLKSRKGFVKIAIELGCPLVPVFAFGQSYVYKWWRPSGKLIVKIARAIKFSPIIFWGKLGTPIPLATPMHVVVGRPIEVVKNPQPTADEINEAHRQFVTAMQDLFEKYKARTGYPDLQLRVL >Et_5B_044858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6617173:6619305:1 gene:Et_5B_044858 transcript:Et_5B_044858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAVVNAGGGKDYPGKLTMFVLFACIVAATGGLIFGYDIGISGGVTSMNPFLQKFFPSVYRKEQEAERNQSNQYCKFDSQLLTMFTSSLYLAALVASFFAATVTRVAGRKWSMFGGGVTFLVGAALTGAAKNVLMLIFGRVLLGVGVGFANQSVPVYLSEMAPARLRGMLNIGFQLMITIGILCANLINYGTAKIRGGWGWRVSLALAAVPAAIIAVGALFLPDTPNSLIDRGHTESAKRMLRRVRGTDDVEEEYADLVAASEESKLVSHPWRNILQPRYRPQLTMAIAIPMFQQLTGINVIMFYAPVLFKTLGFADDASLMSAVITGLVNVFATFVSIFTVDRLGRRKLFLQGGAQMLACQVVVGALIGAKFGFSGVAEIPKLYAAIVVLFICAYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSITVSVNMLCTFVIAQAFLPMLCKFKFILFFFFGAWVVVMTVFVALFLPETKNVPIEEMVLVWKQHWYWGRFIRDEDVHVGADVEMPNANAKLAM >Et_7B_054487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21943820:21947879:1 gene:Et_7B_054487 transcript:Et_7B_054487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAASVLLPPARKVLILALLLLTAGSLSRRAASDGVRYDYRAYTECKPHPEPALYNGGILRWASKAPDFRTHDEGNYSPAFVLYNMSAATAYSFSCWVKIDGPTTANVKAKILTLESAASQCIGTAIVRNDCWSFLKGGFTLNSASQTSVLYFQAASPNASTISIRSASLQPFSPEQWNQHREDRIQLIRKRFVNVHVSDINGSRVVGAKVAVRQTTRDFPFGSAINHNILGNKPYQDWFTKRFNAAVFENELKWYATEPAPGKEDYTAADQLLQFVQSNDVIARGHNIFWEDPKYTPAWVKNLTGSQLRAAVARRVDSLLSRYKGDFVHWDVSNEMLHFDFYENRLGGNATAEFFDTARRADPLATLFLNDFNVVEACDDLSSSADSYVSRLRRLADAGVTFEGIGLEGHFGKPNIPYVRAVLDKLGTLRLPVWLTEIDISGALDQKTQAAYLEEVLREGFAHPSVDGIVLWTAMGRNATCYQMCLTDGNFTNLPAGDVVDRLLGEWQTVEVLGATNDRGSFNFSAFLGEYKLTVNYQNVTAEGTFSLARSDDTKHINVRLPAAGSSA >Et_3B_027428.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16215830:16217389:-1 gene:Et_3B_027428 transcript:Et_3B_027428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPHVVVILSLCTNYDHCVIRWSQIASQLPGRTDNEIKNFWNSCIKKKLRQRGIDPSTHKPLNEDASAAEPSSDDCKQQLPGDEHCLAMAAAGSGDPLAPPHSPAVSFDPLSVTTVPAAMQQGSSYSFRSDNLCDYGGVDVVSDAATYSAYTGGGDSSSNSNSTWTCGNVVGGEPMPHMDIFGRDVDTFAFDPAKFSPWHNHHQQHQHHHHDGGASFPIRSMSRDLPESCFDLARGALEDEFSVDFL >Et_7B_055372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9729035:9729965:-1 gene:Et_7B_055372 transcript:Et_7B_055372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSQPQTTLRPRPRLLAPAPRCSNDASPSPSSPAAAGSIRRLVLQPEGRAKLDPRPDRDFYAFPRLVTHVDDGFIATLTDLYRERLRPGWEVLDLMSSWVSHLPPEVQFRRVVGHGLNAQELARNPRLDYFFVKDLNREQQLELESGSFDAVLCTVSVQYLQSPEKVFAEIFRVLKPGGVCIVSFSNRMFYEKAIGAWREGTPYSRVQLVTQYFQCVEGFTQPEVIRKLPSAGGGAAQQPLAAFVRLFGMASSDPFYAVIAYRNFKPM >Et_1B_011393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21532838:21534305:-1 gene:Et_1B_011393 transcript:Et_1B_011393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKAKMSRSMSTEETAGIELRTMEGVERKEVERWRRQQMKGLCTKLGSLIPKKDNYCSKGKMRQLNILDAAASYIKELKERADKLEKKRSSAARLVAAMGGGCSSRSAAILESYGGRSGEGNKETTTTPMVMVRPLPPDGSSLDIVIIGGVERPVKLHELITVLEEEGAEVVNANISVTGQKIFSTLHAKAFSSRIGIEVSRVSERLTTLLVPY >Et_9B_064561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16493522:16494823:1 gene:Et_9B_064561 transcript:Et_9B_064561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPKSGLFVGINKGHVVTKRELPPRPSDRKGVGKDKRALKVAKRKLGTHKRAKKKREEMASVLRKMRAAGTHTDKKK >Et_6A_046856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21600509:21604287:1 gene:Et_6A_046856 transcript:Et_6A_046856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVAVALGRVITKLGKLLKEEYDLQKGVRKKIMCLSKDLEMMQAALEKMAEVPPEQLDQQDKLWAREVRQLSYYAEDVVDRFLLRVDGREAPDPNMFKRAAKKICKELGKIKARHQIDSKIESINQRARELKVRTDTYKVNTEAESAPSSTVDRRTWDYKAMKGLVGLEKPRDELISKLNSDNEMQTVLVVGVGGLGKTTIVKAVYDKLIDFEREREREKEKLKDKEVDSKADFEREREKEKLKDKEVDSKADFEREREKEKLKDKDFVSAFVSVGKNPDMKQVFRDILMGLDKDEYTAANYDKFNANQLSDELEVYLKDKRYLIVIDDLWEAETWNRCKSAFFKVKNQSILITTTRISTLADQDDKVYEPQPLSEKDSKRLFYTRVFGGKGTNPDNQLDKLSGKILKTCSGVPLAIIGLAGLLMDKPREQWIKVSKSIGIDDTTMKILSLSYYDLRPRLKTCLLYLSAFPEDYFIDKSSLIWKWVAEGFVHQEEGTGLFEVGERYFYELINRSLIMATKSEPDLYGCRVHDMVLVLLRKISREENFVTIPDNDGRMTISDCDGARRLAQQKGIVELTHQDRHLNWLGYLKCTHQKRKKDHLISLIACHCDYKVLSLQNFKLLRVLALEHCKAGEGFIYLEHIESLVHLRYIGLRGTSIQELPESIGALKLLQTLDVSNTRIVELPLSIGQLTQLICLHASVVKGQNGVIENLTSLEDLRIAFCGDIAGQLTRELGNLSELKTLNLKSIDTIEQSDLIDSLGKLHKIRYLCLHGNMRYMDDLENGLVLPETLQHLFLRIMFHALPSWINDEALPNLFQLDLSVQYMDEKALKTLGKLPELRYLSLTLPTESTVTLTIHDDHGCFFQKLRSLLLAHSMVWFVPNKGSSVSFTIGNEQHDVAASTGTRKGALAVMPNLEMLQFRIKLNNLVDCNRGSCASLGLNCLPSLQIVKVDFDRNGVCVADVEKEEAALRRATQEHPNRPILQMNTFGKPTDRNRPMRRFRYVTPSTLLIVDSL >Et_5A_042133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6606221:6609615:-1 gene:Et_5A_042133 transcript:Et_5A_042133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPFPAMLLLFLAALSVSASFAAAASGSQPHPLDPLSPAEITAVRAAVLASPLVPARPLTFHYVGLDEPDKADVLAYYAAHHHRVVARRRRRRPPPLPRRAFVIARAGGESHELRVEITTNASSSSSPAAATVLSHAVHRGAGFPTLTLDEQFAAVALPPAHPPFRESVRRRGVDMDDVLCAVFPVGWFGGEQGASEGARRVAKVLCFVAGATANFYARPIEGVTMVVDLDRMAIVEYRDRVSYPVPKAEGTDYRAGKAAPPFAGPEPAPGVVVQPDGRRFHIDGHVVRWANWEFHVGYDMRAGTVISLASVHDADAGAWRRVLYRGFVSEVFVPYMDPVEEWYYRTFLDAGEYGLGLWAFPLQPGADCPSNAIYFDGFYVGQDGKPVQGENMICVFERYAGDVAWRHTEAGFPGQLSRATCCSDDMHFRYVQITEVRPDVTLVVRMVVSAGNYDYILDWEFKTAGSIRLVVSLTGLLEVKGTPYTHADEVTSDAHGTLVAENTLAIYHDHFVTYHLDLDIDGTNNSFVKNHVTVRRNSGSPAAGDGAATPRRSYWTVRREVAETEADGQVDLAAGVADLLFVNRGKKTRMGNEAGYRLVPSGATAASVLADDDFPQRRASYSKKQVWVTPYDPAEKWAPGLYADQSTGDDGLAAWSARDRGIRDADIVLWYTLGLHHVPYQEDFPVMPTISGAFELRPSNFFERNPILRTRPPPANGNGPSPNCSCDAT >Et_5A_041379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2217835:2218063:1 gene:Et_5A_041379 transcript:Et_5A_041379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRPALPLGCDDTLHDTSCSCSPPFLICTIFGAAAAVAGAGAFAPWLLQRGKGWLRRTEERGSHRYKRLGRRV >Et_4B_039664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25913039:25916844:-1 gene:Et_4B_039664 transcript:Et_4B_039664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVASSSRCSGLLLPPSLAGVRRCSPPSSSLRLHARRRRRAIACVAPPDAAEPQTASARSTPKDEQVIKADSTEDNAQTSGTAQDAGLPALPNKDLNRRVALFSTLGALALFASQRLDLGQASLKDLAANAMPYEEALSNGKPTVVEFYADWCEVCRELAPDIYKVEQQYKDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKEGNEEGNVVGRLPKQYFLENVVALASGDPRIPHARVGDDSKAILYAHSGLESRVKASTRVGKSP >Et_3A_025114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26985370:26995426:1 gene:Et_3A_025114 transcript:Et_3A_025114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPSTPRLGDAPPPPAAAEQMFAALVGEKAYPVSSEFWKQLLELPLTLQWPRDRVLQACHAFAQNNYQTKHLAKILIHLVWCLQECTSTTSVSHVVYRKAINAAYISSIFLKFIIENAKTDNWQELCLDIDKNEKGMESFPSEHTVEYFLMRGVLNYIGSVDVSPESCYLHHELLNLMLVLMSTQLCSGPSPEPKDVHPFIDAAMLQDSSMVISVVRKLLVNFVTRPKFPLNGQHPVFSDDGRPGVLQRVGSAAANIVLLPYYTFNYLVSSTPEGASSQLADNSLLVLLIMIHYRKCISINESITSNDTYTIDSNSKDKDTPAFHENPYCRALNNAKDIQYDRADVEGNAQNGPVVRLSFASLFDALGICLNDESSVLLLYSLVHGNCDFQEYVLVRTDLDTLLMPILEMLYNASRKTSNQIYMLLIILLILSQDSTFNASVHKLVLPAVPWYSERLMHQTSLGSLMVVILIRTIKYNLSKLRDVYLHTNCLAILANMAPHVHRLSAYASQRLVSLFDMLSRKYAKLAELKNDKAHKVISDQIEAENFADDTSTELHIYTDFLRIVLEVINAILTYALPRNPEVVYAILHRQEVFEPFKNHPRFNELLENIYTVLDFFNSRMDMQQLDGEWSVDKVLEVINKNCRSWRGEGMKMFTQLRFTYEQESHPEEFFIPYAWRLVLSRGFSFNPGAINLFPVEIHLDGSGSELACAK >Et_10B_002853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12563019:12571715:1 gene:Et_10B_002853 transcript:Et_10B_002853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELSLVSSLSLALFLLSALYVYLTTIRGNRSPKLRLPPSPPGWPVIGHLHLLSDMPHHAMAELARTMRAPLLRMQLGSVPAVVISKPELARAALTSNDAALASRPHLLSGQFLSFGCSDVTFAPAGAYHRMARRVVVSELLSARRVATYGAVRVKELRRLLAHLAKHTTTSPSANQPIDISERFLNLSNDVLCRVAFGRRFPHGEGDKLGAVLAEAQDLFAGFTVGDYFPELEPFASTVTGLRRRLKRCLADLREVCDEIVDEHISGKRQRVAGDDRDEDFVDVLLRVQKSQGLEVPLTDDNLKALVLDMFVAGTDTSFATLEWVMTELVRHPRVLAKAQAEVRRVVASSGAGNTVDESHLGELHYMRAIIKETFRLHPAVPLLVPRESVAACTIGGYDIPAKTRVFINTFAMGRDPEVWGDDVLDFKPERFEVAGGGEIDLKDPDYKLLPFGGGRRGCPGYTFALATVQVSLASLLYHFDWALPAGVKADDVSLEETFGLATRKKEPLKVVVTRNPDFEFKGEELNEVSPLSLVLLALYAAYVYLTARGSRSPRLPLPPSPPGWPVIGHLHLLSGGMPHRAMAELARSMRAPLLRVQLGSVPAVVISTPDLARAALASLPHLLFSGRFLSFGYSHVTFAPAEAYRIRALLVSELLSPPTYGAVCVKELRRLLAYLNLRPWDSWPTPVDISEYFSNLSNDVLCRVALGRRFPHAGDEGRRLDALLAEAQELFAGFTVGDYFPALEPIASAVTGRRRRLKRCLAGLREVCDGIVDEHLKLGACPSGGDGRDVEDLVDVLLRVHKSPDLEEPLTDDNLKALVLDMFVAGTDTSFATLEWAMTELVRHPRVLAKAQAEVRRVVGAAAEVVEESHLGELHYVRAVVKETFRLHPAVPLLLPGESVVPCVLGGYYIPAGTRVFINAFAMGRDPEVWGEDSLRFSPERFELVAGDGGEIDLKLPPFGYTSAIATVQMALASLLYHYDWALPAGETAEDVSLEETFGLATRKKEPLRVVVTSNRHDLSFGGVELEESVLREEESKTLHFRVAS >Et_1A_004548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15439048:15439941:-1 gene:Et_1A_004548 transcript:Et_1A_004548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEKQPPRPKPKPPKPNPKPKIGPKQKPVPTKPRPEPVRDGTSGVVPFPPQLSCETYRRCPPPPAPPATVHLRSGRLPNPLLALSASLLAVSALLLLALFIYRQVRRRREGQNAPHDEESAQGVAATKGLDERAIAAIAAVVYDATKMKERGGDGDGGCAVCLAEFRDGETLRLLPRCGHAFHVACIDTWLRARVNCPLCRAPVQVATAAPGTTTRPRGEAAEANPSAVGGAQTEETAPGVLPPERAVRRAASMVALPRLAWQRGSVRSGGC >Et_10A_001957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14570596:14572652:1 gene:Et_10A_001957 transcript:Et_10A_001957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEHLVSTITSAHEPLVSFTPQESKLALQPWGLHLSSPSSSSSCISGVSSEANCITSMFTLGDSHIDTGNALIMFAEVMPVWIDHPPYGETYFGHPAGRFSDGRVIIGFVGYPSDQARMPIAAEEFGLPFVPAFLTTGGSDLSRGVNFAVGGATAIEVGFFESNNLVPFKLVNNSLDVQLGWFEKTKPSLCNGTGAGYQDCFSKALFFVGELGVNDYNFIWMSGKTEGEVRTYVPMVVERIAMAVERLINEGVVYIVVPGNPPTGCSPSVPTFRRSPNMSEYDLIGCLRNVNRVVRYHNMLLRAAVGRLRGKYPHARIIFADYYQPIIQVLQNPSLFGFGNDVLKACCRSGGGAYNWDPNSFCGMPGVTSCENPAAYVSWDGVRAGSGPGQ >Et_1A_008640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9394239:9398085:1 gene:Et_1A_008640 transcript:Et_1A_008640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMDCVSSKNNQETAPPAKSPWWTSETVAVVTGANRGIGHALAARLAEQGLIVVLTARDEARGEAAAAALRDRGLRGGSVRFRRLDVTDPASVAAFAAWVRDELGGLDILVNNAAVSFNEIDTNSVEHAETVLRTNFYGAKMLTEALLPLFRRSSATSRILNMSSLLGLLNKLRDPALRRMLLDESSLTERDIEAMVSRFLSEVSDGTWQRQGTWPAVWTDYAVSKLALNAYSRLLASRLAGQGVAVNCFCPGFTRTDMTRGWGKRTAEEAGRVAAGLALMPPGELPTGKFFKWSTPQLYSKL >Et_1A_009116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:332758:334038:1 gene:Et_1A_009116 transcript:Et_1A_009116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRHPPCTGSLAKRSGLNSLASWPGQRSGSRCMATTSTHMLVPLGMPATSRSACLCTLGDGGYSRSTSLMTQPILVSRPSAAASNGSMSGRTSRISSRSASCHSGASISRPSFHASYVLRRLSSLASGTPTRRLGWNSIACMACSFSNTSAPAESVSKPKLALAMISAAFRTTPRSTSITLCPDATKPPHSSSILAVVSAMSPSMWLRLVAEKAGATMWRWRAQSSPSAITRPRPNMGILSRRNTSGLPQLLPSAPAVADFRNSGSATYRNGSVPSQYTNTFPNAPRHRAKYGNTLPWMSPPIATPPGFLLLLLSAAAISGRLPKGNDGLGPSNPAQRRRRLVAAGATHAAYM >Et_9B_064785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18625506:18626083:1 gene:Et_9B_064785 transcript:Et_9B_064785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSGVPDYYAVLGVSRGASATEIRAAYLRLAMKWHPDKRGKEEADDAEATACRRSSRLTRAMYDAGLYDPSADDNDEDIKVSDRRSCSSFLFLARLPAMRDLIVCVGLMFSVNG >Et_3A_024792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24239016:24240471:1 gene:Et_3A_024792 transcript:Et_3A_024792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNKQKVRRGLWSPEEDEKLVKYINTHGHGCWSSVPRQAGLQRCGKSCRLRWINYLRPDLKRGSFSQQEEALIIELHRVLGNRWAQIAKHLPGRTDNEVKNFWNSTIKKKLISQAVGSLHAGNIPSPADLYYNILDGAGQSMAAAGCALLHGPDNASAQTVSTPSPPSMVQNPAAWADFGSQPFFLPGHGIHGGGDLQYAAVDGDFIKLCRAADAYPPENGAGVAGQCKAADLVAQEGDAVRSLPVFLEPKGTGTFAGDQQAMGPVVDFMEAILGSSSTSAVSASSVDSFSANTGMQPHCWIP >Et_2B_021075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26273451:26276202:1 gene:Et_2B_021075 transcript:Et_2B_021075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQYTDHGLEMGAAAANPGFGASIGGGLGGGGWEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPMAAAAAAAAVGGAQSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQTLQSLTGASPGEGTGATMSDDEDNQVDSESNMFEVNDGSDGMGFGPLMLTEGERSLVERVRQELKHELKQGYREKLVDIREEIMRKRRAGKLPGDTASTLKAWWQAHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSSSDKSKRKRNTAGDGNAEQSW >Et_3A_027160.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3606634:3608094:-1 gene:Et_3A_027160 transcript:Et_3A_027160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRSLLAWACLLFVLLLLAFGGAPVEARPAPGSSAHHRRVAPRRKFSTNAPESVLATGGDATAAFKKTGPSLHRKLAAARKGTPESGGDLNVESFGAAGDGKTDDTKAFTSAWAKACSSAQPAVILVPAGKKYLIKETPLSGPCKSQVTFQIDGTLVAPEDKSNWNKNGYPHWVSFTNVGNLTVTGKGTLDGTGKSSWKNSCRVNHKRPCTFAPAALTFTSCDHLKVQNITLLNSPQIHLLIQDCRDVTLSYLTITAPGTSPEADGIHISHTEDIKIIMPVIKAGDDCISIATGTKNLYAYKVECGPGHGISIGSLGNKNSEAQVSNITIDTAHLTGTMYGARIKTWQGGSGYAKDIKFLNMVMDNVKHPIYIDQFYCTQPNPSKPNPCEEKKSAVQISNILFKNIKGTSATKDVINLHCSKTFPCRDVVLEDIDLKMKSGGKKNAAMSSCENVMLSKSNSVSPTPCTSVATKHDQTPEEYTD >Et_6B_049817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1400246:1404868:1 gene:Et_6B_049817 transcript:Et_6B_049817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKDQKLRTFVSESAASLLKKTDNELNVQCFTEEQIERITDNYSSVLGEGGFSIVYKGKLNGGRPVAVKRYIFTAQKKEFTKEVIIQSQFSHKNIVRLLGCCVEADAPMLVTEFVPNGNLSDLLHGKNGHRNPLSLDRRLQIASDVAEALVYMHTSQSHPILHGDIKPENIFLNKNYMPKVSDFGISRLLSMSSDEYTRRVIGSMGYIDPVFCQTGRLTTKSDVYSFGVVLLELMTRRKAIDDDNNFLAKTFGLANTKSSRHEIFDKEIATTEDVEVLDDIVNLALKCVKFELEERPDIRDVSEYLRKIQRTREKKHRQQTGFKRFITKFQRTPDEKRPQTNAVGTVEQSVQWSMLVKHLSVCRSRTLPLDATFRCSKFLSACHRTMLCASGASHPRIPRTVSAHSAARTGSHVDDDLANGRRHARSDARILAVSMP >Et_2A_016088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2120340:2120915:1 gene:Et_2A_016088 transcript:Et_2A_016088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVKYHTPSGIFFAHQFDEELATWWSPPGLNVESRCREAISNWPSDATRLSYSDDTFKMLYLVSSDDRLLMVSRYLDSTMSPGGDRCGQKTYGFHVFEALFGGERFHRWEKVDSLHGRALLHAPDG >Et_6A_047770.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:1565965:1566426:1 gene:Et_6A_047770 transcript:Et_6A_047770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAADGGLEHKEQLQNDGPDEESSDDDFLGRVFLALEPPALPAPPTSRRPHPRVRAVSTEPARRNPRQANIKSVVPVAERATHRLIRQLDLAGIEEPIGDAAVQKYGAMYKKPLHKKAMAALKRATRVADKKVMDATMALSVEAEAAVIENA >Et_3B_030525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4733537:4740539:1 gene:Et_3B_030525 transcript:Et_3B_030525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAKPENALKRAEELIHVGQKQAALQALHDVITSKRYRSWQKPLERIMMKYVELCVDLRKGRFAKDGLIQYRIVCQQVNVSSLEDVIKHFMQLSNEKAEQARGQAQALEDALDVEDLEADKRPEDLMLSYVSGEKGKDRSDREVVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHKAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPESLQLYLDTRVEQLKIATELSLWQEAFRSVEDVHGLMSMVKKMPKPSILVVYYAKLTEIFWISESHLYHAYAWLKLFNLQKSYNKNLSQKDLQLIASSVVLAALSVPPYDQKYGASHHETENEKDRSLRMASLVNFSLDSKRENKELPSRASLLTELASKGVISCASQEVKDLYNLLEHDFLPLDLASKVQPLLLKVSKIGGKLSSASSVPEIKLSQYISALEKLTTLRVLQQASRIFQSMKIDMLSKMIPFFDFAVVEKISVDAVKRNFVAMKVNHLSGAVHFGNVDIESDVLSDHLSVLADSLNKARNLIHPCVKKTSKLGDKFASLAVVVENEHKRLLARKSIIEKRKEELERQILEKEKEEEQKRLKLQKKSADDEKLRLHNDKLQRELERIRKEQEEKERLAAQEKLDALQKKKRGRKIVIEGELSKQAILDLALSEQMKERMEAEKKLQKVAKQLDYLERAKRQEEAPLIDQAFQKRQEEEKILHEQEQLREIELSKQHHAGDLQEKNRLSRMLEHKNAFQERIVQRREAEFSRLKKERDERINQLITSRKRERETVRKLMYYLNLEEQRIERQREEEEARKQEERRKREEAERKAKLDAIAAKQQQREKEMAPRVVKKRPLSARMALQLLTVGAQAQDCPRVHPLRQPGGGLATEALLPG >Et_5A_040371.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:17893714:17893977:1 gene:Et_5A_040371 transcript:Et_5A_040371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAAAAAVDGGERTTVRTGAAGHAGHGYSGHSGAAHPNEQQGGAGVVDPRNLAARSHPRSGAASSISGYSPSAICVLLGALLMVVHV >Et_10B_004433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:728904:730353:-1 gene:Et_10B_004433 transcript:Et_10B_004433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVAPPPTSATTCCTRSCSGCRPKTSAASGSSAGGGDLTSDPHFAKSHQSRHRHLVAVLCRKDVSPEVHVLDLSGNILKRIHLGEHLYCVDFNSQLDMVCVHVSWGQAGAVINLTMEPKSIYSVLAPRFILGRVPATGEYKVLPLYRMNKRDGEITRRGCDVITLGDDGGRRRERPYAKLPVSYSSAATVVRGVVYFILKNNLNSGDIEPDSIASFYLAKEEWRPTILKGPLSRRLAPVAEEKPRNKDHWSQFVLAELGDCLVMVHRNIGDKSTTDLWFIDNQGMDDTMLLWTKRYSIPWGRSPRSLWYFLPLEVFHDGRIVLWAEDAKVLRSFDPSTSMWHEFTVSRAGL >Et_2B_021262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2870850:2873935:-1 gene:Et_2B_021262 transcript:Et_2B_021262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCTLQWLLRPVVRKAHWLLCRALLRYGSSSCAVGSPSCPEPSPSPAMADPARSPMLLEAGMKKPVSHPLRVGEASNGIKEDDGEQEVRRRRLFERFMASCGPGRPYLYEAPKVRTHPCVLYTMRVSVKNARASWSHRTSRRFFAERRWSFPIPLCRAAAPDGQVEPVVDRSGAPAEPDLPGIVSGVFENSYDLLELSREKGVIHKEGSPAPWREVAVIRGMPGGRGISVTSHVRYLVVRLHDILFLLPGPSATVDLRFSEQWFHLPTWWKEEKLYAEDGFHIVDIASSVENLVSKWMRKQDDKEIERQRGREGDEAAEGGDDMPARGGEEEDARAKEVLKREEVATKPPVHTPLVWDDQDGLQHMLHRVNDGQYVLPISKIASGLATSMTLETLGFVEERERESSGGVPKRKLTAGTLGLGSKRGHFMDQSGRTLSFVLMVSRSTAKVLTINILVHKLDILFDNGMELLKTNWVYHILCKNIDGEGRTPFSALLVHLKKKLKLHLTKEESRISSLTGVDAGEDNEVLAERQRKQEKEKIPENC >Et_1B_012356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31170242:31171509:1 gene:Et_1B_012356 transcript:Et_1B_012356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TPPVIALSTRLDSIRLDGGRRRRRRVRQPESTTTEEWEEDEDSDVEDYYVDDSDQDDGVIRACVIRGSKHGDGSIYRPDAHWMQRLYRLDDTSETPLKPSNLSYLDTMTCHPDWTNCTRHTGCAMMQIFSLKLVNHSTTTTPDAPIQLYGFMAVRDLLHPLRNYVFQRTRDDPLVIHHPNSDDPSSSSPLLIQIDGPKRGIYLQALRPEDQEAGTKEDDLELIDGAATFSELTPFHGVYTQRIRGGCGSSVDISLALLRHAVEARIELSVPRLPAHGISFSATCSVSKLPEKIQFFDGVVDEAGGHLSFVVAVVIRTPLLLKFKAKQLVGGSGSVQYEQRGFPARAHGCNYCWLQFDFGEIEMKVTWANLMN >Et_8A_056431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:143719:148899:-1 gene:Et_8A_056431 transcript:Et_8A_056431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDPEEIRGRVVVLGADADELARPELEAFHLPSPTLAQEAGSVGGPGPAAAAPVVAPLETELPPNATMPSSSSASSNSNSNEQNKEQENTKKKGVSLAPAPLGSLFRFADGLDCVLMSVGTLGALVHGCSLPVFLRFFADLVDSFGSHADDPDTMVRLVAKYALYFLVVGAAIWASSWAEISCWMWTGERQSTRMRIRYLESALRQDVSFFDTDVRTSDVIYAINADAVIVQDAISEKLGNLIHYMATFVAGFVVGFTAAWQLALVTLAVVPLIAVIGGLSAAALAKLSSRSQDALAEASNIAEQAVAQIRTVQAFVGEERAMRAYSLALAAAQRIGYRSGFAKGLGLGGTYFTVFCCYALLLWYGGLLVRRHHTNGGLAIATMFSVMIGGLALGQSAPSMAAFAKARVAAAKIFKIIDHKPLSVVVHGDDDVQLPSVTGRVEMRGVDFAYPSRPDVPVLRGFSLTVPPGKTIALVGSSGSGKSTVVSLIERFYDPSAGEILLDGHDLKSLNLRWLRQQIGLVSQEPTLFATSIKENLLLGRDSHSATLAEMEEAARVANAHSFIIKLPDGYDTQVGERGLQLSGGQKQRIAIARAMLKNPGILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLHGGAVSEIGTHEELMGKGEDGAYARLIRMQEQAAQEVAARRSSARNSVSARNSVSSPIMTRNSSYGRSPYSRRLSDFSNADFHYHGGGELPEGNTKKMIHQRVAFRAGASSFLRLAKMNSPEWGYALVGSLGSMVCGSFSAIFAYVLSAVLSVYYAPDPGHMRREIAKYCYLLMGMSSAALVCNTVQHVFWDTVGENLTKRVRERMLGAVLRNEMAWFDAEENASARVAARLALDAQNVRSAIGDRISVIVQNSALLLVACTAGFVLQWRLALVLLGVFPLVVAATVLQKMFMKGFSGDLEAAHARATQIAGEAVANLRTVAAFNAEAKIAGLFAGNLRGPLRRCLWKGQVAGCGYGVAQFLLYASYALGLWYAAWLVKHGVSDFSRTIRVFMVLMVSANGAAETLTLAPDFVRGGRAMRSVFETIDRRTEADPDDPDAAPLQLPLLTGVELRHVDFCYPSRPEVQVLQDLSLRARAGKTLALVGPSGCGKSSVLALIQRFYEPTSGRVLLDGRDARKYNLRALRRAVAVVPQEPFLFAASIHDNIAYGREGGATEAEVLEAATQANAHKFISALPDGYRTQVGERGVQLSGGQRQRIAVARALVKQAAVLLLDEATSALDAESERSVQQALDRHAKTRSTTTIVVAHRLATVRNAHTIAVIDEGKVVEQGSHSHLLNHHPDGTYARMLQLQRLTSSTS >Et_9B_063749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11337365:11337859:-1 gene:Et_9B_063749 transcript:Et_9B_063749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGATSKGGIKAYWKRRGYDRLDAAAAQRRPRLPTAELGAGGGGAEPRRRRGWRVRRRAGGLGRRILRALSPRRLLVRLRDAYVNAMLRLASSAAVAGYGAAAPYCTAGDPFSRPRPLVRDYDEKALVEIYRSILARGDAAPSVVAAARLPTVV >Et_2B_022923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8374455:8376391:-1 gene:Et_2B_022923 transcript:Et_2B_022923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQQDICRASYCKQDIFLSSQEAVFESSARKTASLFRAPSFSSCQIHKSLLFGTEPMGRGRGRGRKLTNGRTHEDKGSSGEEVIVPARKRRGRPQKRIAEKINQAEVKNMEDVDDGDEDYQLGAGDDAKLKPSRAENLSGGTGTKRNRVPKEEEGSNLDMEENSSSTRSSNDESTKSNGFRQVGSRRKSTPRRAAEAGCEAMI >Et_4B_038228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27289446:27291886:-1 gene:Et_4B_038228 transcript:Et_4B_038228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLATAAPPLTLRRWRPLLAAHPRANSPPALRLQAPASPLRTIPIHCGSCAGAAVARTSCAAAVSGGIEAEVTGAGAPNLDVLKRAAVLFALGCCACAAAAVLGCGAARAAAEDSIKASGFGLRVAESLRRLGWPDDAVVFALATLPVIELRGAIPAGYWMRLHPVRLTVLSVLGNMVPVPFIILYLKKLAVFLSQRSASATRIMDRLFERARRKAAPVEEFQWLGLMLFVAVPFPGTGAWTGAIIASVLGMPFWSGFSANFVGVVLAGLLVNLLMNLGLKYAIVTGIVLFFISSVMWGVLRSLKKSLNA >Et_8A_057624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5326586:5328983:-1 gene:Et_8A_057624 transcript:Et_8A_057624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIVVQPLTSYVRGVVGRARTDLEPDVLLTSSSSYASATSTEPQEEDGASEGEKDHRWVHGRVPQPANNNGVHHSTGECQGQRHQQEAVLFHGRKDQTQRPASLDFGSPGVATSSMHSPGFSGSSVGVVNKGLGVSSHNRSDVLSSPGTPNYHRRGAAVAVYQQGCNSERGLPPANSHRRHPGSSKVLPPYNSGRTLPSKWEDAERWIFSPNPSIAPGRSVPQYWRPKSKSGPLGPPGRFGGPCSSISSSAMFLESGRVGITANSPFLSRVLLPEHDFGGSMHDGKDLSEASGDDSRNGQGCRSAQSNEGCPATRSTMISQHSESYQSVTTSHESIQDVQSETTKDSTASSVPIIMRKDVATQTSPDISRSTSPSMRPSFSRSLSAQQVKELESCFSKLEIRDVQIDDRVTLTRWSKKHVTRGSDKNSTNIIEWKKRTMESKSSAWEVTETAKCLSKIEGEEAKMTAWENMQKAKAEAAIQKLVIKLEKKRPYSLERIFNTLRSSHRKTQVVRSTETTNHDHQISRTIRTSNLNKNGQVSSLSGCFTCHAF >Et_3B_029974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29538445:29544105:-1 gene:Et_3B_029974 transcript:Et_3B_029974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLAPLKWSRRPLFKHWSIHMTKDGDVFECIDLSVQPAFAHPLLKNHTIQVKPSSYPSGLIDNSSLLASSSEAELCTVKCPPGTIPISAIQTTIDTYGAHATISIYAPIVKGKNGDHSAAWLQISNGPPREAIGAGNIVWPSFSGDNFARFHIYWVDSVLNKECYDHRCPGFVQVSQSIGIGGRIRPVSTYSGEQRVINVLLFKDPKTKNWWLMYGKDKTPVGYWPSTIFTSMKDKGLTFLISCSWVLTRLKHHGPVNIVTQ >Et_9B_064203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12603461:12607847:1 gene:Et_9B_064203 transcript:Et_9B_064203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLPCFGSGGEGAAKKDGARKDASSDRRVSRDGSDKSKPQGGLDSKKDAVILREGNNQHIAAHTFTFRELAAATKNFRQDCLLGEGGFGRVYKGRLENGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPPEKEPLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPHGEQNLVAWARPLFKDRRKFPKMADPLLQGCFPMRGLYQALAVAAMCLQEQAATRPFIGDVVTALSYLASQTYDPNAPVQHSRSNSSTPRASRGGGSDQRRLRSPNHHSPDLRRREAPTTSKYEAEVSRTNSGSGSGRRSGLDDVDMASLQVGSPAHTGRKRESPRTSDRQRAVAEAKTWGENSRERK >Et_8A_058505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9967814:9968580:1 gene:Et_8A_058505 transcript:Et_8A_058505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLAGTWAAYLGVFCAMETAVSAARGGGEDGWNSIAAGAATSGLLAPRRGAAAAAGSALIGASFLAGVVAMHWTAVFLSSRLSNVPRRNPEASSGELWGNKILLYGQKLSN >Et_3B_029268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23622891:23625393:1 gene:Et_3B_029268 transcript:Et_3B_029268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAIFVVGSPVLLSGLSASGIAAAYVLGTLTWRAFGIPGFLLVVTYFVVGTAATKLKIKQKEAQGVAEKRGGRRGPGSVIGSSAAGCVCALLSIYNAGGAPLAALWKLGFVASFCTKLSDTVSSEIGKAFGRTTYLVTTFKTVPRGTEGAISVEGTLAGILASIFLTSIGYVLGQVDVQQGVLCILASQIANYGESYIGATLQDKEGFEWVSFTFYLTKYWFFIYMLV >Et_10B_004350.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4604686:4605072:-1 gene:Et_10B_004350 transcript:Et_10B_004350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSALSFLLLGALLLAAAASPVAAGDGGRMVIVRAAAGRSTTAAGVAGGAPDSRVTTTWLRRLEDEVAPEFPAAAVLLGDGSITYDPGLDPNKAASCSKDGCGGKRPGQPYTRPCAYKDRCSQGHD >Et_4B_038346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28289509:28290850:-1 gene:Et_4B_038346 transcript:Et_4B_038346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTPNKDDGAYSLVNFLNLGASTTSRRWSIILHSTASHVSTSALIHLGNDGVAEAFKLFHLVFKLINLSKLVVVQPLDGLLDSIFNLLVICRKLGCNLLVLDGVSHVVGIVLQGVLGLNLLLVLLVLRLVLLRILDHLLNVLLAQPSLVISDGDLVLLASSLVFRRDIQNTIGINVKADSDLRDIPRSRGDSRELKLPKEVVVPGPGPLTLINLDQHTRLVVRICGEDLLLLGWNGGVPWNKHSHDSSGSLKTKRQWGHIEEEKVLHLLALLHWLHALPEEVHVKLLKPGSGDGSIEINTLKKGVNFNSCLCSGRKGPLSPLTCSSKSSQRPRVPTNILLVLPLKLLDKVVHQAIIKVLPTKVSVTSSGFHLKDTLLNSK >Et_5A_040573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:142623:144590:1 gene:Et_5A_040573 transcript:Et_5A_040573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKSRRAARGRRPLLLRRAMLQSCLCFLLGLFSGLAPSDWTDAASRAAATANAAATAQVFRALHAVDRAINRTTSSSSSSSSSSGIPEVVVVVTTTGQSERERRSAGLTRTAHALRLVSPPVVWLVVEAAPEAAATALLLRRTGVVYRHVTYEQDNFSSSSSGIELHHHQRNLALGYIEQHRLRGVVLFAGLADVYDIRLLDNLRTIRTFGAWPVATVWENRVAVEGPVCSSATTTATAAGWFSIPTTDDQIIIKTAAAAAGPRLPDVHAHGFAFCSDLLWDPARWDRFPTSEPDQSQDSIKFVQRLLVEDYNKTRAMPPDANCSEIMAWRVDVTLL >Et_9A_061303.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:9556007:9556126:-1 gene:Et_9A_061303 transcript:Et_9A_061303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FRYIKHIATSEKERSTGRSGRNNTEIFETGPEQLQNSPV >Et_9B_063820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16090140:16091240:-1 gene:Et_9B_063820 transcript:Et_9B_063820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIVRELQSFALRQMRDALLCGDDVLARVQGEMHASFVWLFQHIFAGTPALMVSLMLLLANFTVHSMGNSVAAAAATIPPPPPPAVAVLDAQLNAEPSRPRFDAGSVKTFSVGRMASVGGNSGGGGKARPVAGATGDGRSDESLYRLSRVAPQQPSAPAADADEQAVWEMMVSEASRMQASSRAEELSDPDVLASLVAPVEAELETEDHAEHARTLRSYEMAVSEEPNNSLILSNFAQFLYLVHNDHDRAEHYFERAVRAEPADAEAMSRYATFLWKARNDVAAAEDTYQEAIAADPGNSHHAAAYAHFLWNTGGEDTCYPLD >Et_6A_048019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3572590:3574812:-1 gene:Et_6A_048019 transcript:Et_6A_048019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAFPSKSSSMMPSRSSPLSLMYFSACLPGLNCCANLPRNDRYAGTMTAAMDTLSSDCSCSSDTTHAPCVRWISSMAALNCLNRSLSTFFCTWPLTVMTPSSRSRLSTRPHVSRWSVDRFRDQASPTTALISGEPRIFCSMSSITSISCAANGSAAVELLGSESGRWCSRNTCERVVLYSHAYFRYRVSGCTAPPGTGCFPASARIELSRSQKMAAADSPSRERTETSSDLRSDRAADEVSSSSSTGRLKASTTGAASRMASYMSSTLKSFSAARLVMATASVKLSICSTAQRARSAKMARPGAAWETKTRAQRSRSPGRPASTVWRKALIHVATSHSSASHGIFTTSSMLAFSYPRLCSAASSALRRATRNVCVHSVSYPAANMASAMARRRATVSGGNGGAAEAEPSPPPASDETSTHRSGSAQCPPNDGGRFIDRPAAVALPVSPAPPSAAALATRGSSSIAWNSSSTNVMVRWSTPVTRCTPIVYVAPSAWFAVSAAASAAMRRIAASSGASPPSAPAGDAAHLSSAPSDCAISISTALANRSSVTSDTTGASASASRAARKASISADSVARSGSLASAGGAASSATASPPLLLPTSPPPSAAFSARLAASLICSLSLMGPSERLRASFSSRAVVAEKLLDFSGGMGTGILSISLAAADQSIELATMLSTVRRTITRVAREACKGGERKMREGRC >Et_6A_045824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1591212:1592784:1 gene:Et_6A_045824 transcript:Et_6A_045824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNYHRMSKTDDEFVFFILPTLEGSSSQVTQRQPIHTSERNGATFVHEALTGHEAVCRIRFHVEKEIFQALAQRLRESGLADLRYVSVEEQLALPTVFVVPNMI >Et_8A_058255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3601678:3603570:-1 gene:Et_8A_058255 transcript:Et_8A_058255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPVSKDLNLPVQPPMTSSGLLRYRSAPSTLLGEVCEDFLQPGPRAGSPDGGTDNVFSRFLVDHQIRDKPPAPAPPAPAAAHQQQQQQMMFHSQQPQQQQMAAVEPGLYRTVSGGMEGASAGGAGVSSLIRQSSSPAGFLNHLNMDNGYTNMLRAGMGVGFRNGSSAAAAAGSPSAGGSRLKGQLSFSSRQGSLMSQISEMESEELGGSSPEAAGGGRGFIPGYPMGSGWEDSSSLMSENMSGMKRPRDSSEPGQNGLAHQFSLPKTSSEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMDKQTNTSDMLDLAVDYIKDLQKQVKVLNESRANCTCSASKHQQYSG >Et_5B_044645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4236874:4238638:-1 gene:Et_5B_044645 transcript:Et_5B_044645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPLHSLRPSPPSAGHAACRCSAAPLFGKRLPLVVAFPRARGGVASCSAVQKSSTTVSEKKDAAGGEKKDAAAAAAKPAAAAKPKKAPAKPLPEMMQEEIIPPLKDALEAEEDVSQVQLSFQNNTLEGSFVKEDVPYYFWAFFPSGDLTGPKGFSISSYSNEVSTIEPFLVDEKRVTAKFVVFWVYKRLAGQGILPVWKDEEGEEEGAKE >Et_1A_006714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26661684:26664664:-1 gene:Et_1A_006714 transcript:Et_1A_006714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLSAAAVEVEVHASLPPPAAEEADVAAAEEAAAAAKRWPGWPGDSVFRLVVPVLKVGSIIGRKGELIKRLVEETKARVRVLEGPVGATERIVLVSGKEEPSLELPPAIDALMRVFRRVNGITDGAAEGTQAAGAPGVCAARLLVPGAQAINLIGKQGATIKAIQEGTGATIRVISIDERERPFYVTEDERIVEIQGETEKVLKALQAVSNHLRKFLVDHSVLPLFEKTNTTTVSQDRSSDNWSDMSHHSIVPAQINQPSSVVDEYILPVKRDPLYLDRDPLVDHNHSIHRSGVSLYGRDPALSTLRPSGIHGASSLLTQITQTMQIPLTYAEDIIGVKGANIAYIRANSGAVVTIQESLGSPDDITVEIKGTSSQVQAAQQLIQDSLAAHREPVRSSYSALDPVYRSSYSQYGSSTYSSSSLPSYSSMEDGRYSSSGLGGYGSSYRY >Et_9A_062245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21080061:21080516:1 gene:Et_9A_062245 transcript:Et_9A_062245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSCGVARLYNVKEKRMHRDIDVRPVSPTFLEFSESIVPHAFFVESPRRWSHSHLPFALPLSQLISLALHARTHTTSAAWSFTCMSDQQGYRFVSPPPPPGATMMASLFELDDGGLRAATMHGARDAA >Et_5B_044904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7103511:7107719:-1 gene:Et_5B_044904 transcript:Et_5B_044904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTAAAAALAGVLVAVLLLAHVDAATLAALSPGQSLGANDTLVSANGTFVLGFFSPRGGDPSRRYLGVMYARAAEQTVPWVANRDAPVSATSAYSATVTADGELQVLQGDRVAWRTNTSWPSPAGGNVTLTLLDNGNLVLASGGGSAQPLWQSFEHPSDTFLPGMRIALDRRNNGDVKRTLFTSWRSDGDPAAGDFTLGLDPLGSAQLYIWKQKNNNNNGSASNATATTYWRSGQWTNTNFLGAPWRSLYVNGFKLNGDPPAQGDGLMYYTFGAYNSSLLRFTLHPNGTETCYMLLDDSGEWETVWSQPTVPCQAYNMCGANAQCDTGDNGQAICTCLKGFEPRSAAEYDNWNWTQGCVRSVPLTCESQNVSGGDRFVDLPGVKLPDSAVWGSTVGDENGCRQLCLGNCSCGAYSYSTGTGCLTWGQELLDIYQFPDADASNPHLHVKVPASVLETGSKTRRWTTVIVIIVIVVVVVLAACSFLLWKCRRRIKERLGIGGREQKKMPSLLRPAREETRQDFSGPKQADPEEGENGKKCELPLFDFETLAAATGDFSSANKLGEGGFGPVYKGTLPGGEEIAVKRLSRSSGQGLEEFKNEVILIAKLQHRNLVKLLGCCIQGEEKILVYEYLPNKSLDAFLFDPARRAQLDWRTRLHVVEGVARGLLYLHRDSRLRVVHRDLKASNILLDAEMSPKISDFGMARIFGGDDKNQQVNTNRVVGTLGYMSPEYAMEGLFSVRSDVYSFGILVLEIVSGQKNSSFHHMEGSLNIVGYAWQLWNAGKGEELIDPAVRAACPAREALRCVHLALLCVQDHACDRPDIPYVVMALGSDSAVLPMPKPPTFTLQCTSSEREVLFRADESYSACDLTVTMPQGR >Et_1B_011564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23653172:23657131:-1 gene:Et_1B_011564 transcript:Et_1B_011564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRIKDALERHLERSSPSTSRGAAKERERLAAGKLPGSLGKAGKVSDGEEFETDSEDSDVSGSEGEDTSWISWFCSLRGNEFFCEIDDDYIQDDFNLCGLSNQVPYYDYALDLILDIESSNGDVFTEEQNELIESSAEMLYGLIHARYILTSKGLAAMLEKFKNYDFGRCPRVYCCGQPCLPAGQSDIPRSSTVKIYCPKCEELHYPRSKYQGNIDGAYYGTTFPHLFLMTYPHLKPQKPSQQYVPRVFGFKLHKQS >Et_5B_044100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:240730:248264:-1 gene:Et_5B_044100 transcript:Et_5B_044100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRQQAEHTQRRLFQGPPAPHSVLALSAPPPVRDHCFPPPPHRMLLSVPKPLLPLGLPSTTRRLLRVAPRAIGPLPRPRALASAPPLRLRAVLSEQPEVGMEPSAEGRPLRVGLVCGGPSAERGVSLNSARSVLDHIQGEDLVVNCYYIDCGMNAFAISPAQLYSNTPSDFDFKLESLAHEFHSLSDFAEHLAATVDIVFPVIHGKFGEDGGIQELLEKANVPFVGTPSKGCHRAFDKYNASLELSTQGFLTVPNFLVEQKDKFAKSELDEWFTSINMNKENGKVVVKPTRAGSSIGVVVAYGVTEAAQKAEDIISGGIDDKVIIEIFLEGGCEFTAIVVDAGTTDNREPVVLLPTEVELQSSSNAETQEDTIFNYRRKYLPTQQVTYHTPPRFPAEVIDCIRQGVSLLFRHLGLHDFARIDGWFLTTPVTSLHSSENSGKFGNTKYGTVLFTDINLISGMEQTSFIFQQASTVGFSHSRILRTIVQHACSRFPSLVPCNNAWTALSRKLQSEKQAEAIHKGTSKQKVFVIFGGDTSERQVSLMSGTNVWLNLQGFGDLDVVPCLLAPSNGYSSSLDQDFSESSREVWMLPYPLVLRHTTEEVHAACIEAIEPERVKTTSRLRNQVMKELAQALGKHDWFEVHGGIGEDGTIQSLLESAGVPYTGPGPTASRTCMDKVSTSLAVDHLTSYGVRTIPKDLRATEDILKSSLVDIWKELKAKLQTETVCVKPARDGCSTGVARLCCPADLEVYANAVRRKFQRLPANCLSRAHGVIEMPVPPPQSLIFEPFIETDEIIISNKSENGSARHLVWNGENEWLEVTVGVVGKHGEMHSLNPSITVKEHGDILWDWNQLDTTSCNNYEVLLIEVNTVPGMTPSTVLIHQALAEDPPVYPHKFFRTLLDLAFERAK >Et_7B_054697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3644870:3651462:1 gene:Et_7B_054697 transcript:Et_7B_054697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGKTASAHRAALEKSTHTSSPSVLKALGGSHTVKSGSFVVAGHPWAVLCHFEGHRLAAVSLERLTTVPPRVFHVKAMVSFTIGGGDPMATTTSTAPPIIQIGNGEEIRTFTNASPVTRRPVPYASREQEARYVKDDDRLTIRCAVRVFAAETSPATYCFVGERADVAFAVDGSTFRVLAARSPVFKAKFFGDSRDSGEDVFAVDDMSASVFRAMLRFIYTDEVVLEKETDAAMLLVAADLYDLERLRLLCEKTLWDSVRGKEGGVGRAVSALALVNGLLNSRPRRSTRGSRRAAPTPSPTFSSESWTTDLVFWVDGVTAVVFKAVLYFVYTDDLPPLDDLVRAAGAGGGDRTTAMGRTRMAGDLLAAADRFQLAERMRPLCENLLCELVTPETAATTLRLAERHRCPELKAFCLDYISSPGLLKAVVASDDYKDLAAGSAQALADIINRIAANS >Et_1A_005572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11611103:11614029:-1 gene:Et_1A_005572 transcript:Et_1A_005572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYNHRGRVPPPPPFGRGGGAWYPRGHRLLYAPPPPLPPVPPVPQRKYEVLMEAGRLAAEYLVSQGALPPAALQRGTGAWAVPPLPPPPPPQQQEPPAFYGRRRYDDEYSNNPAARNRRTNGTASSTSSRDDYSSGSYNGREKRKYGEYRRGHSDSGRDREKERGRAFSNSRRYEDDDEEEDGAPGFRRERRGSRGSDEVRSSVTESAREGTPLMVKAVGELDKEDTRLKVVSSIEEVQTDADAVPEVQVENEEGEVDDDSKVLNSESELVEQEIGADSNIGVMESELKQLPDAKVPDKKAEDGDKISDGAAFDHNTLDVEVTNLENNMHDNTPNLLASCDFVRAPTKARSVRAHRNAASVSRGTSVAATFDLVSSKQASQMVIDESAEESSLSNTESENGEDQMYRESSDLGDEPMLIEENEKSVVTENIREEKSNVRLHVVPGYKEETNLSPFTASHKVSIPQEDSLMQETVLSPLTACHKDSLPQQDILMQETELSPLTTSHKNSLIKETELSPLTVSHKDNLMQEPNLSQTMSHENNLPLQFKEGTQICDFDTLPQNVDLIELSDQEDFVGAELCPNAGAESVTEMEEGRFDQSDSLKVSDLDLVGGTEVAALHANTTLAQLSAAPCSTEQHEKQQEDLGTTAATNASATDDLCQLPLENKDVQLTNIECNAPIEDGGFGSSKSKNEMICSSMDNIMHPGLHTDVLPGIQDSYSLALSDFINADIPCYPPVESDLHAGIGANDSEGITVMDDPIYGSLTDIAITPCFRSPCPLISLLKNSLLPLSYAWLYISYLTIYTTTYAHSRAQQI >Et_10A_001206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22146717:22151015:1 gene:Et_10A_001206 transcript:Et_10A_001206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPGPSSAATDPSPADEEGDRWVLVPASEVEGADAPKVFHWEDLQQELARLWSLSAALQAARDRKANLAARLESALEARRAFLQQDNELAEMRQKLQEHSDHMGDLKMRTKKMSEDVDNQREQLCVKIRTLSVASKTSCAAHSKLEEANKLLSGENGHGRLKNLEQKLRMRQQHMITQVAHIYPVRPLDEQSSVVKRGLTSNIVKTSGAESVLPNGPQNRPLAIFGLQLSKPVKKTGYFSDKTEFQKSSTVLGYAAHAVSFIASYLNVPLRYPLRFGGSRSYVLDHAPSVELSSITVAASSVPPSTGMRTMEFPLFFDGPETTRSAYAIFLLNKDIEQLLNYIGAESLGPRHVLANLKQLTTIIRSQQYISS >Et_1B_010248.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:32076639:32076806:1 gene:Et_1B_010248 transcript:Et_1B_010248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFGLVGRTMMVPARSTVLRKTYNTFSSHVVGLDKCGRCLVQGVHPQLWRSYGA >Et_5B_044720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5029502:5030223:-1 gene:Et_5B_044720 transcript:Et_5B_044720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANALAELLQLLTAGIAARQHICGPLVPGLLHGSLVPGGCRQGALDETILHALRTSLGKPYRLSSLSIGRLRRRVVVGSDWACVLRAFDPITRTWEDLAERLDKENPIGLYQGNLLYPGLRQSRSAATARITCSN >Et_1A_004650.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2693020:2693629:1 gene:Et_1A_004650 transcript:Et_1A_004650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRANKKPLSITPRCKFVSGDKDGVFTGEQLRFPFKLRRYICARCGMHRGDHQYAGVRDEKLPPRKRSVFRSLVQLQVKEEKREALEEGGIWTGDSISKGTRAPRRKRGNVP >Et_5B_044835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6334152:6338785:-1 gene:Et_5B_044835 transcript:Et_5B_044835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWERGRPPRKPRPSPILVPPPPPSPPPPRLPLFLPRSLLALAARSMPWRRPSPILLLLLALALALLFLLLSPVSHSASRLSRSLISGSDAGFSSPASGGPPPPVKIYMYDLPAKFTYGVVRSYMAARVPSGSADTAAALPDEKLRYPGHQHSAEWWLFKDLLRHGPRDRPVARVDDPHDADLFYVPFFSSLSLVVNPIRPPAAANSSGAAAAYSDEAMQEELLEWLERQRFWRRHRGRDHVFICQDPNALYKIVDRISNAVLLVSDFGRLRGDQASLVKDVILPYSHRINSFQGDIGVEGRSSLLFFMGNRYRKEGGKVRDVLFQILENEEDVIIKHGTQSRESRRAATRGMHTSKFCLHPAGDTPSACRLFDALVSLCVPVIVSDYIELPFEDVIDYSKISIFVETSKAVQPGLLTSFLRKISAERILEYQREIKKVKHYFVYEDPNGPVNEIWRQVSLKAPLIKLLTNRNKRMIERGANTTDCSCICSTNPTEISAGSLQNRLFIQALFIGLVYRAN >Et_3A_023667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10625049:10626467:-1 gene:Et_3A_023667 transcript:Et_3A_023667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLDAGRAAPAWLRVLMETTFFNECPEHPEASRATRSGGCNLFCVDCAGRPLCTDCIDGEHDGHRIIQIRKASRHSVVKVRDLESLLGVGEVQRYPINNDLVLFLNERPMEGSGKAGAYRCMKCDRALLKKEYRFCSLGCKGLRAIPNLSDLLSSLYKRLRCLVCFLAACVMERRLRARRACLEADDDDFTISLAVPKGETKPSKDGAAETSTAPLQATGGHTGK >Et_1B_009908.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10435931:10436869:-1 gene:Et_1B_009908 transcript:Et_1B_009908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQQPEPYRNIHKPRARAASERTSKHAPRADPAAMEDDDDDDFTFPTTATVAEQGGDVVVDGTVATPATGLGDRLPLFPGPAAPGASRLWPFAAPLIDSATTAATTVKEDEEAPAPTRRKEEQERERATAAADEDRMDMLWDDTATEARRSAVQAEPAAPERRADANKPAGDDERAAAAAEQERMDLLWESFNEDLLLRRARSSKKADDDKQHRSAADPDVWYLCPSSTWSDSPESDQETSASSPRYGCAPTMLRASSRAGGAGQFYGGGGGSPRRRRRSGGGWALLLRLFRRLFAVDNKAPSRRHGIHVP >Et_4B_037013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13522989:13527585:-1 gene:Et_4B_037013 transcript:Et_4B_037013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHAEVDGSGVPLAVLLKRELHNQKVEKPDILFGEANKTKKGEDFTLLVPKCHRSPAEGGSIGGEIAGDDDGISVFAIFDGHNGSAAAIYTRENLLNNVLAAIPPNLTSEEWTAALPRALVAGFVKTDKDFQTKAARSGTTVTFVIIDGWVVTVASVGDSRCILESAEGTVYFLSADHRLDANEEEVERVTASGGEVGRINIAGGAGIGPLRCWPGGLCLSRSIGDLDVGEFIVPVPHVKQVKLSNAGGRLVIASDGVWDALRFQEALNYTRGLPAEAAANRIVKEAVTSKGLRDDTTCIVVDILPPEKLSPPIKRHGKGGIIALFRRRPSDEMSEDQMDRGCLEPDVVEEIFEEGSAMLARRLNMNYPAGNMFKLHACAVCQLEMKPGEGISVHGNMPKHSRVDPWGGPFLCSSCQVKKEAMEGKLHSTILDL >Et_1B_013810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17642489:17649076:1 gene:Et_1B_013810 transcript:Et_1B_013810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLREPKAKRQRTHEAIDILDDILEELLLRLPVKSLLRFKSVCKSWRDTIGSRRFKRCQLLISRARRPTMLILPLQDMTHPMRMDEIRFFAYPGHGTTAELLRERLWSPGVSSFTLPMHCDGLVVVPAASLSQIFVCNPATKELVLLPSGSPDYSYTCQKVGFGVDPSTGMYKVVRCFWRYCNDHMTEYDIGCEIFVLGSQAWKPVADPPYLVKSMTPVSLPGAIYWGAALNLSTQTILRFNLHDEKFTVFPPPPCMELTDTFSNLMNLAGKLCYGYNTESGSLEQVVDLNQEISYVHPQGTLVNPYIPGGLDWLYPTIPYSETLVSISGVDVGRKEFLVEQSAYVVKGQMQAK >Et_9A_063378.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22010637:22012184:1 gene:Et_9A_063378 transcript:Et_9A_063378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGASPAAAATTPPHRRLPDFLQSVNLKYVKLGYHYLITHLLTLTLLPLMAVILLEAGRTDPDDLRQLWVHLQYNLVSVLVLSAVLVFGVTVYVLTRPRPVYLVDFACYKPPDHLKVPFEEFMSHSRLCGFSDDALEFQRKILERSGLSEETYVPEAMHCIPPQPTMANARAEAETVMFGALDHLFKSTGVKPKDVGILVVNCSLFNPTPSLSAMIVNKYKLRGNIRSFNLGGMGCSAGVIAVDLARDMLQVHRNTYAVVVSTENITQNWYFGNRKSMLIPNCLFRVGGAAVLLSNRGADRRRAKYSLRHVVRTHKGADDKAFNCVYQEQDGEGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPVSEQLLFFATLVAKKLFNAKIKPYIPDFKLAFEHFCIHAGGRAVIDELEKNLQLQPGHVEASRMTLHRFGNTSSSSIWYELAYMEAKGRVRRGNRIWQIAFGSGFKCNSAVWHALRNVKPSPNSPWEDCIDRYPVELIDGMPLHKPQQ >Et_1B_012140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29290637:29291977:-1 gene:Et_1B_012140 transcript:Et_1B_012140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSRHVTDLWWDHAREFVEEEIQPREFLEVAERAGNWPGPVRLLLKRVNTFSFVALPTSVEILPVIQFFSAWNDTSFVQLANSAPGISPVRVFDDTPNSRMLCIPANDAGEPVLGEVYEIELPRAARWTTARCSSSPRAGGSRPPRRLSPSSRTRSCDMEPRKSGSPPVRLLAPRRSSRSLVKPASDAGNLALNVLPERLRYLIFSGSAPGIGPEKAFSDTSR >Et_3A_024764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2483072:2487627:1 gene:Et_3A_024764 transcript:Et_3A_024764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGVSGFQNAPVTRAVVLTSGLLSIVFSAQRRSRAIGISYQDIIKNFRVWKIFPSVFAFQSTPELMFGLYLLYYFRVFERQIGSNKYSVFCLFSITVSSLLEVLSLVLLKDTNYISSLASGPYGLIFASFVPFFLDIPVTSRFRIFGLNFSDKSFIYLAGLQLLLSSWKRSLIPGVFGLVAGSLYRLNVFGIRKMKLPQIISSFFARYFAPSAGSTPRPSRSIVGTTTSQTGRVVQNQSSTGFAPIVEPSESSVAMLVSMGFDGNAARQALMRARNDINAATNILLESQSR >Et_2B_018886.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:13461864:13464834:-1 gene:Et_2B_018886 transcript:Et_2B_018886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTASPPPDFASIAMAATGAALLRPMRPLLLLRLVVLHLLCFAVASALNQDGILLLSFKLSLSADPLELRVLSLAGNGLTGALPEQVGQLRSLRALNLAGNALSGPVPRNLTLLPNLTAVSLANNFFSGELPGAGDGGFPALQILDVSANLLNGTLPPDFGGPALRYVNLSSNRIAGAIPEELAPRLPANVTIDLSYNNLTGAIPAAPPFSAQRPAAFEGNGGLCGKPLDTLCSFASSSSAVEPPRENGTSTAKSPPAIAAIPRDPTEEALPGADTPASDGGQGRMRVATIVAIAAGDVAGVAVLFLVALYVYQLRKRRQRHEAAKQRMGVVFKKPEPEDSSPDAVGRSLSCCLRKKANGEESSDDTDQDATDTSAPFADKNSKVPAAADVEAAKKKGVLVTVDGGAALELETLLKASAYILGSAGGSIVYKAVLADGAALAVRRIGSDDAGVRRFADLDAHMRAVARLRHGNVLRLRGFYWGPDEMLLIHEFAVNGSLANLAVKSKY >Et_7B_053403.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:15571124:15571222:-1 gene:Et_7B_053403 transcript:Et_7B_053403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDEWLEGGIEMVIDLLGDLKSGPSPPTHCC >Et_1B_012339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30983986:30989322:1 gene:Et_1B_012339 transcript:Et_1B_012339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IGLWGLYQTLESPWQLSGWTFQGGDPCGEGGEREQWRGVFCKGPSVVTINISGFGVGGWLGPELLKFQSLKKLDMSFNNIAGEIPSTLPPNLEYLNLAANKFEGNIPSSLPWLHSLKNFSYNRLSGVIGDVFVNMDTLETMDLSFNIFSGNLPRSFSSLTNLHYLYLHHNEFTGSVILLADLPLSTLNIENNRFSGYVPGTFQSILELRLDGNQFQPGFRHASSPFSRRTHSPPPQPLHPLQSLSPPPPPSGPPPPAARQNSKHRPKSPKPPSGYSSLQTNSHHKKSQSRVTAAAIGSATCTVFVLLIVGLVLKSWKSCSCRPKSTSNHTKSLPANIERVPKANEVLYSWSSLLIGSETSSSNNDITSVPKIKSWSKTSKNLPTAKQFPAADILAATRNFSEECLIGEGFTGRVYRGDFPDGKLLAIKRIDMVDLSLSEQDELMDMLWNISRLKHLNICALMGYCVEFGHCALLYEYAGNGSLDDILFSAATRSRPLSWKSRMKIALGVAYALEYMHSTCSPPVAHGNIKSRNILLDAQLMPYLSDSGLTKLSHFASTIRMKDSEALTSAKGYAAPELVDPGADSIKADIYSFGVILLVLLTGKKAFDWQSEQFLVNWAIPHLRDVDCLKRITDTRISGYMPPEAISSLGEIILLCINQSPELRPPMTDIADKLVKLVQTTGLQKTSTTHHLEGDTQDPSFVTTRPYFEPSSTVSQSGTESCISR >Et_1A_006715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26668111:26669792:-1 gene:Et_1A_006715 transcript:Et_1A_006715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGEMVEQAAVPRVVSILSALLQRVAERNDAAAAEDEGALTPAANQRRPVSAFQGLTKPAISIAGYLERIFRFASCSPSCYVVAYIYLDRFLRRRPALAVDSFNVHRLLITSVLTAVKFVDDICYNNAYFARVGGISLVEMNYLEVDFLFGIAFDLNVTPAAFASYCSVLQSEMAYLEPPSPVEAPRLQHYCAGASDHDDAAAVVAAAGCHRSQQPQLTV >Et_7B_055469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11211372:11214546:-1 gene:Et_7B_055469 transcript:Et_7B_055469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFESIAICKETDAQAQWALEATIQPSIHPNGLLSHTLETKAVTAHYAQGFITPIPLARSRSRLFRTLAFFLSSSRRRRRREHADAAMSGRRKTREPKEENVTLGPTVREGEYVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKVELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >Et_9A_061918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17805451:17807832:-1 gene:Et_9A_061918 transcript:Et_9A_061918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEAQRTDLMTITRHVLNEQSRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGETNGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEAAIFVDPALRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKENVSLKDVLQPGTHMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKVPKKGKIYSVNEGNAKNWDEPTTKFVEKCKFPQDGSSPKSLRYIGSMVADIHRTLLYGGIFLYPADKKSPKGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALELSPTKLHDRSPIFLGSYDDVEEIKALYASESTTD >Et_2A_017078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30787125:30790449:1 gene:Et_2A_017078 transcript:Et_2A_017078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTITALQGPERSLPAKLDALLLLDGFGCVLLQGEKKRIRCLKRELEHLISEYLVEPADVGYPASLIFCWVKEVAELAYDIDDGVDKLKHEYARGSLIYRLWKKLRKKVSPLQWLADDVSEFRGRLKRAIQRHTDFHLDQWTVNPDLLSDEHHQLLLPPLDSGDYRAGPLGIDSSIEIVSRWLDDNEGHGLKVVAVAGPGGIGKTTLAKGLCRKLGGQFECLAFVRSSQNPDLRMLLTDLLSQIRPHQHVDTYQVPDLKKQIKAHLRYKKYFIVVDDLWTLSTWRIVNSAFPDGNHGSRILVTTDIQSVAQTCCGHNSRYIFNMEPLTDKESSELFFSKAFGHRCDFLRNQSEALCEIIREKCGGLPLATITTASVLANHPDRAEQWIAEYRRNSSNIDWATNLELARMKQVLCVNYNVLPHRLKACMLYLCIYKEEYLIPKDKLVKLWVAEGFVSENEGKDKEEVARSNFDELIRRGLIQPVDVDHDDEVSTCTVHHLVLSLIRYKSMEYNFITVLDHSQMNITLVEKVRRLSIHFSNAEVSEPPSTMELSHVRSLAFFGLSKSMFSVSNFKLLQVIILHLWPDHNKTVYDLTGISELFRLKVLQIMAHHLIVKLPMQMKHLKNLVTLEIEGRLSAVPVDIVNLPRLLHLSLPSEIDLLDRIGHMISLRALRYFNISNTSIKNVEILGELINLQDLHLTCSRVAAHQLKTCLTSVLGKLGNLRSLILNPVSHNTDILERDASNHEISCELENLSSPPALLKKLELSPRICTFFSLPKWIGELHKLCILKIKVMELSSFDVCTLGRLSSLTALSLYVVTAPAGRIIFGKDNFLGLKYFKFMCTTPCMVFLEGTMPNVQRLKLGVNVNRMDQYCLVVEAGFWHLSDLKEISLKIGVTGVDESNKRALESEMVAMTHKHPGSPVIKLKCVEWLFYGDKEKNILAKKDTLLTPEKQAVITGEELDKDGLQNDKMEDTKKGTCSR >Et_8B_058593.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5196771:5197757:-1 gene:Et_8B_058593 transcript:Et_8B_058593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATKLSPSRRRRSPCSSTTCSIVAAPHVPLQVEPVQPRDPVADVEHSVEEQQLVQHPLELRQRRHWPLRRRGVRAVAEEALAQHHPRDHVHAERGQVVPVELHGARPRRRRGEEAAHERAHLVGADVLERREPGGAEELGGADLARVAPVRAVGRPRDVGVVVGRVLAGGRLGPVEEDHVVGLEQVLGHVDRRADDDGEGAEAEVHERAVLLGQLVDGAVRQRADEVEVAYHRPRPGTWREVVNRRDTLSLHWMMAVSTSARKVTLNGDSSIGVASLESEEEGWSYVTFLGNYRQHTGDWRMAAPPQRGNREEEEGRWVWLTVFTD >Et_6A_047646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:981247:984432:-1 gene:Et_6A_047646 transcript:Et_6A_047646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVRRCWEESRLLWRLAFPALLAEVFQFSIGFVTTGFVGHLGELELAAVTVVENILDSSAYGILVRVLFVTSYACMILACWQHRAGHAERAGRGRRAAPPPGHLHAAVLDHLRCHRGGAVAGVRPRRSVLHQPAAVAAAAGPYALWATPRLFAHAANIPMLVFFQAQSSVWPVAAISGAAHAALTYAAVVRLGYGLRGSAVAGNVSHWLVAVAQLAHMTGGRFPDAWKGFTMRAFRNLGAFVKLSLGSALMICLNYEFMTIMVALGFSTAVGIRVSNELGANKPKETKFAVVVAVCTSVLIGAIFMVVVFIWRTSLPRVFTDSKEVIHGASKLGNLLAATVFMGSIWPVLSGVAVGAGWQVPVAFINVGCYYLVGIPLGILFGFKLKYGTLGIWLGMLIGTLLQMFILLTIIFRTSGTNRPCWLRRGWRSSAKTMRSYRCWNPSHMDDKMAPTDEKIPSNGSQENIELLGFLVIGDLDLDLDVSLGGELMAVVILEIALGLVDGVDDVVHPGRLTPEFVGHVFGLQRWGGLGPWRGLGSEDELDVIIRDPPHHRLCFLLLLRNLIHRSRRIGTLLRLRKSLLVAC >Et_7A_050267.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16860413:16860910:-1 gene:Et_7A_050267 transcript:Et_7A_050267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARTSAGEVEAGLGSVWARCGKLRCAARPLMARAATSCARAAISAVGKVPSQMRDFLRGSRISDTHTPHSRRRTPRYDGCLPAHPIPPPPFLRWWWPPPAGDVLLLLRFDDFRTTLERTWLMLSMAQEPEEEDEMVVGAWTASASMAANLGSNTPTDLARLG >Et_4B_036265.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1425887:1426087:1 gene:Et_4B_036265 transcript:Et_4B_036265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSCAPPHPSLQTTSSSSAPSPPPSGRPLGLSCRPTSPRADRGLSPAPHPSRNDTTIASCSCVVG >Et_7A_051248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16063781:16066907:-1 gene:Et_7A_051248 transcript:Et_7A_051248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPALLSLTPAVISRHPHLLFSPCLPPRRLRLAPPPFASSSSSSPSPGPGVFLSPSALTQLDELAAFRYEHTFPHGHLTVRALSRGPADDAVAEALVRLLATSFSETVRWAPAQRYAQLLTFVIRRYLYDRRGLAPHGAVLVGFYRPADDGGDTEEDKGDGEGGDEGEMACTAEVSFDAVGAPGAPPTPTPPLEFPYMCNMTVKTSLRRRGIGKQLLKACEDLVLKMDAKRRLYLHCRIIDQVPFNMYRKSGYNIVQTDSILVWLSLQKRKHLMSKELPQASVGSEMTTKIIFILERKKDATNFI >Et_8A_056356.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:3305365:3305664:1 gene:Et_8A_056356 transcript:Et_8A_056356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILHQQYPKCGTTWLKALAFTLANRSWHPVTSDKHPLLVQHPQDLVPFLELPDCTFHPVAELDALPSPRLLCTHLTHAMLPSGTSSVGCRVVYLCREPKD >Et_4B_039809.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3167262:3167822:-1 gene:Et_4B_039809 transcript:Et_4B_039809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSTTAAAVSSPILRRVSPPAVSFPAFPSLSKRSAPPTPRLVAVARAAASGAARGGGGDAGAETVFFDGGAHYGDLAANLALGLTLLWLPLTLAAVSRAFILRYRFTSRRVTVISGLSGADRTDFPYSSVTSVVVVPRFIGEWGDIIITLKDGTKVDLRSVPRFREVADYCRNMAAAEGSLASR >Et_1B_014354.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6548246:6550360:-1 gene:Et_1B_014354 transcript:Et_1B_014354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAELFGSERNGAADLVGGGKALFWPEGKNALVEPRSVLDCTRSPSPNNSTSTLSSSLSGGAADPTGVATVSDNSAAAAAADATKWGAPGAHGGGEKEDWAGGCDLPPIPGGLDMGLIGGESWDAVLGNAAAAGQDQTFLNWIIGAAGDLDQPGHQQPLLENAGFGFPATDPLGFSLDPHLGGVASDLSSLGAVSHTSNSGGGGKGTSPFGLFSPDSASLQQPPPPVLFHEGIDTKPHLLAAQAPGLHQYQHQPPPPASTFFMPLPSFTDHNQQSPLLQPPPKRHQSIADGLYLARNRLPSAGATQGLPFPPIHGPAPFQLQPSPPPPRGAMKTTAAEAAQQQLLDELAAAAKAAEAGNSIGAREILARLNHQLPPLGKPFLRSASYLKEALLLALAEGHRGASSLASPLDVALKLAAYKSFSDLSPVLQFTNFTATQALLDEIAGSTASCIHVIDFDLGVGGQWASFLQELAHRRGAGGAALPFVKLSAFISAASHHPLELHLARDNISQFAADLGIPFEFNALSVDTFNPAELISPTGDEVIAVSLPVGCSARAPPLPAILQLVKQLNPKIVVAIDHGGDRGDLLFSQHYLNCFQSCVFLLDSLDAAGIDADSACKIEKFLIQPRIEDAVLGRFKSDRPMAWRSMFAAAGFAPVPPSILAEAQADCLLKRVQVRGFQVEKCGVGLTLYWQRGELVTVSAWRC >Et_5A_042053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5709215:5715391:-1 gene:Et_5A_042053 transcript:Et_5A_042053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PTPPHRPPRARIASSSGESSLAAPAPAESDRAPPLEGWRRGRRRRRRRRGPSVAFLRGCFSFGSAGRRRPKASPLPSPPRLPSTSAAAAAAAAADEVKMKGLFKSKPRTPADVVRQTRELLVYLDLNSGPRSGDAKREEKMAELSKNIRELKSILYGNGESEPVTEACVQLTQEFFRENTLRLLIICLPKLNLETRKDATQVVANLQRQQVSSKIIASEYLESNKDLLDILISGYENMDIALHYGAMLRECIRHQSIARYVLESEHMKKFFDYIQLPNFDIASDASATFKELLTRHKATVAEFLSNNYDWFFTEFNTRLLSSTNYITKRQAIKLLGDMLLDRSNSAVMMRYVSSKDNLMILMNLLRDSSKNIQIEAFHVFKLFAANKNKPPEVVNILVTNRSKLLRFFAGFKIDKEDEQFEADKEQVIKEISGL >Et_4B_037353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18854064:18856815:-1 gene:Et_4B_037353 transcript:Et_4B_037353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NGVLGSNSKPCAGVMLKTVHKVGLPSLRVRASVASSPQKQAPIVETGDEVRIAVLGASGYTGAEIVRLLANHPQFHIKVMTADRKAGEQFGSVFPHLITQDLPNLVAVKDADFSDVDAVFCCLPHGTTQEIIKGLPRQLKIVDLSADFRLRDINEYAEWYGHSHRAPELQEEAVYGLTEVLRDEIRNARLVANPGCYPTSIQLPLVPLLKAKLIKLNNIIIDAKSGVSGAGRGAKEANLYTEIAEGIHAYGVTSHRHVPEIEQGLSDAAESKVTISFTPNLICMKRGMQSTINVEMASGVTANDLYQHLKSTYEGEEFVKLLHGSSVPHTRHVVGSNYCFMNVFEDRIPGRAKIISVIDNLVKGASGQAVQNLNLMMGLPENMGLQYQPLFP >Et_8A_056950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19413768:19416616:1 gene:Et_8A_056950 transcript:Et_8A_056950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSTNRSDEENVNPTANAAAATSTRVPSKKSWIPAGLGGSSKYGATIDIPLEDPKKLEKDLLSWEQDLRREQDIKRREDAMNRAGVTVEVKNWPPFYPIIHHDITNEIPINSQKLQYTAFASWLGLIVCLVWNVLAVLIESIHSDDIVLFLLAVIYAISGCPLSYILWYRPLYRAMRTDSMMNFGQFFVFYSMHVGFCVIAAIAPPIIFRGKSLTGILIAIDVLTGDMFVGRVYLYFRGHSNSKSSQEKFQNSLPERVRALGNARVA >Et_7A_052123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4967414:4975565:1 gene:Et_7A_052123 transcript:Et_7A_052123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICFAVSPHPSPDGSRPQKNSPNSIHKNNASGLEIWRIENFKPVPVAASSYGKFYMGDSYIILKTTALKNGSFRHDIHYWLGKDTSQDEAGTAAILTVELDAALGGRAVQYRELQGNETEKFLSLFRPCIMPQPGGAASGFNHVEINAQEHMTRLYVCRGKYVVHVPVARSSLNHDDIFILDTKSKIFQFNGSNSCIQERAKALEVVQYIKDTFHEGKCEVAAVEDGKLMADVEAGEFWALFGGFAPLPRKASSQDNAEDKEVVTNQGKLENISFESLVHELLQSNKCYLLDCGAEMYVWMGRNTSLQERKAASEAAEKLLIDGRETKPHVIKVIEGFETVMFKSKFTEWPATPELKLTAEDGRGKVAALLKSQGLDVKGLMKAAPVKEEPQPYIDCTGHLQVWRVNGNGKTLLSAADHSKFYTGDCYIFQYTYAGEECLIGTWFGKRSVEEERASAMSLASKMVQSAKFQAVQARLYEGKEPVQFFVILQSFQVFKGGHSSGYKNYIAQNGIDDDTYSEAGLALFRVQGSGPENMQAIQVDAVASSLNSSYCYILHNGNTVFTWTGNLTTSLDQDLVERQLDVIKPDMPSRSQKEGRETDQFWEVLGGKSKYSNQKAKNLKATLIFSHASYPKVKEIHHFSQDDLMAEDIFVLDCHSDVFVWVGQEVDPNMRSKAIDIGEKFLVIDFLMENLSLETPIFIVSEGCEPEFFTRFFNWDSKKSLMHGSSYQRKLAIVKGGAAPSSDKPKRRTMAFSGRSAGQDKLQRPRSMSTSPERPRVRGKSPAFTALTSAFENQNARNLSTPPPAIRKLFPKSGAPDSSKPSSKQAAISTLTSSFEGPMKGIIPKSVKGSPEPEKAIQEEDTTGDGDENENELEDDEGRTIHPYERLTTAAEDPAPDIDVTRREVYLSSAEFREKFGMSRAAFSSLPKWKQNKLKSNLKLF >Et_9B_064962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2082815:2084178:-1 gene:Et_9B_064962 transcript:Et_9B_064962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGETSSKPPSPPPPAAAAGEDLVADNALHVFGFEFTNITGTEVTGRLAVTEKCCQPFMRLNGGVSALMAEATASIGAYVASGHRRVAGVQLSINHLRPARLGDVVQAKAKPVQLGRTIQVWEVQIWLVDPSTSECKHLVSTARVTVLVNLSTPEEMASFKEGLQKKYAKL >Et_4B_039132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8080471:8082570:-1 gene:Et_4B_039132 transcript:Et_4B_039132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGKRSRQQAEEMIWLPEGTDMARFMLLFSSHHHVGATAADAASSAPEKVFECKTCSRRFPSFQALGGHRASHKKPRLADGEAAEPPKPKVHGCSICGLQFAIGQALGGHMRRHRAVDADGGAVGLGLSLGVGNKGDDVAKKAAPVEMVLDLNAPAMEEEPADRVRLGLSAEFPIAVDFLRFIPALASQKEPWIWHGRILARILEHEPNTENNDPHNNTRQWAGVKPTQNPIAEASQAQPTEEEAHNEMLESKNISGLSVVVSIRSEAA >Et_3B_027440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17474295:17474727:1 gene:Et_3B_027440 transcript:Et_3B_027440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRHAASSFLTLRSPQKLVVHDWPRQTRTAATRASERCRENKSEARTRIAGCHWHWEKKVVKKGWSSETGVISQS >Et_2B_019192.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:16682284:16682406:-1 gene:Et_2B_019192 transcript:Et_2B_019192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPLLVKHFTSRKYTAAFYHHQAFRSQELQHFARMDIFL >Et_10B_003693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:501212:506612:1 gene:Et_10B_003693 transcript:Et_10B_003693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDWLLYLLCLIDSGRLEHGTSPICLDPFCLSRALFADLVQRKEMRPTTTLVVSMRGFSMGMGPTVDWIFIQGVKFNFVKVAMEDKKPLVRTKESSGTGGGNEEDDLVFPGFRFHPTDQELIGFYLKRRVENRGFSIEIIKEIDIYKHDPWDLPSEARHVVQGAGEKDWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDKPIHDGAGGECIGLKKSLVYYRGSAGRGTKTDWMMHEFRLPSTSSAAADVQDAEIWTICRIFKRNMSCNRRYQQQKQEHRGNNKRSPQQQQLDYYYGYGYQHHQHHHYTGGGASMASSFESTTTDTSEAHATDHDQPFLLHGFLGASPVDVTAAATKTSATIGSSQLLSSSGWSELTSFSRDGGSSWDELGRILEISTHKLLPIGTIAE >Et_1B_013543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9370217:9372592:-1 gene:Et_1B_013543 transcript:Et_1B_013543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPATAHRCAVAHGHADAVRPSPSRARGAFLLPIRVPLSSDGMEAVAASMPLRVVSLPQLRTHAATGGFAPLETTGGEALQLPRALQRILSDHQKAARPELLKAAVLSAMSLLTVPLEASAETCQPANNSFANMPLFIAVALLGAAVGGLLARQRKAELKRLNNQLRQINTALRRQAQIESFAPGLTYAPVGRTTENDVIVDPRKQQFVTNLRNGKNYLRNQDLDKAVIEFRTALDLAESIGDHFEEKKAARGLGASLQRLGKYREAMRYYYKVLELSKETGEDSGCTEAYGAIADCYAELGDLDQAAKVYDKYISRLQPGE >Et_3A_023501.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:31233380:31233586:1 gene:Et_3A_023501 transcript:Et_3A_023501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLELMTLPRHLRNTKSNLRTMTSSRYRRSGWKRRENYSVQEDEPLVSAWDNVTTDLVMGKDEPRASY >Et_1B_013344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7576653:7581691:1 gene:Et_1B_013344 transcript:Et_1B_013344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFGFFGNKNWEWLEETHRVSYDKQPCLHAQPSDPAMQKWRRVRSTSQKRKRFAGEVALKSFKRNVQVQARGSAEKMSDQSTLNEEVPEKISSSLWQKMPNTSTSIPESEVCSHEKSHRHSNAIEPSSAAYFKSHEKIVKVNKNKTTERDICKSLAAANALELLKLIFLSRSSTSDVQASLVATLKLYSESEILTAFSFLKEKSFVVAGNEVKPYSLSKKFFHYVSRSPFPPGSGEKATKFSKWLLGQQKKATDNGIYLYPDLQCGEVVHLCSLVLSGELFISPFVPNEGIGEAGEPSNSSPLVVDAGQSADNHQKHTADKMKLKSSKTKKHRTSTYIESDHCCRREKGFPGIQVALNQEIERRNHMQVLDENECLIFASSREMSRKKGYLYAESHNVPSLSNYSSSYRHLMSEYQLQNSYSGWPWDAMKKYARELPSFDNEIESFILSSELFRKAFCVIHQAGEQGVTLSELSQALHPLGMKSVYVVVDALKRFQLVIKVNAYNAVQIVDSLHMSKYHITTLAQCNHSSCSGAPASQIVDNKESDLYCACERHIYQPILPWINGDGTTNGFFYEALSRRVVGYVMQHPGIVKEDIIHRMDVLNPQTCKTLLEKLTSDEHIYARVFDEPAPTVPIILKSLLGQDPCKEPSKCKTHYFANAMSTFLL >Et_4A_032190.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22717366:22717740:-1 gene:Et_4A_032190 transcript:Et_4A_032190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAEMRRGEKLKAKEKNCDEKVMHMLNADLKGLGLEDLQSLCNNMAETQSIFKNGTT >Et_10A_000796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17388969:17389822:-1 gene:Et_10A_000796 transcript:Et_10A_000796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVGERRCTSKTPQLPLDLVLEIAARSDPTTLVRCAATSKVVRRRVVDGRFLGSLHLRHGDGFVPSLLLGHLFWNSVRDLYLVDNDTQHATDLLSDNPLTKILWQKVLAARDGLLLIRSIDVDEISKGQKLRVCCPTTGRTQVVPHQRYEGQYVLLVGDDGDGALGRRFRLVKVTLPTSVSWNLAKCRLLQFQIFFSEQGRWGCRVRVPIPCVHGDWLQQKPLISNGALRWLCRSDKLYYIIKLHVDSAKVTTMKLPVSFHHEHCTVTAVRKKLLLAKQEP >Et_2B_021060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26120099:26123332:1 gene:Et_2B_021060 transcript:Et_2B_021060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYDAASALAAFHETRAGVRGLVESGVTTVPPIFLMPATVSPWSSSPARTTAFTVPVVDLSLPRSDTLELVRAAATTFGLFHVTNHGVHAGTIDAALAAVRAFHEQPIAARSAFYSAASAGAVAYSTIPNPPPQRGQPAALPPLAWRDSLTVHFDDGDDAAEPDDLPLVCRDELLEFHRSLTGFGEEMTALLSEALGLPAERLEQELQVQGSVMVCHYYPACPEPLRVVGTREHTDASLFTVLAQDDVGGLQVRLDQDDDGVRDWVDVAPVTGALLVNIGDVLQVVSNDEYKSVEHRVVVKSPQDVRVSIALFFKPAKCGESDFFGPLPELLTEGRQVRYQSLTFPQLMNYRRELGHARPSLDRFSIAFGREAISSRIVSYVTGPALQI >Et_8B_060213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7544285:7546784:1 gene:Et_8B_060213 transcript:Et_8B_060213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPFRRHPHTILPFLLLLPLLAAADLVLEDGYAVTTVADLNHLPPSAAPGPGLHPYALVPRPRAGDLVVLDSAGSAIYTLPLSPGEPRRLAGGAREAGYSDGGPSEAAFDRPRSVAVDAADNVYVADRIHGAVRKVAPSGFTSTIAGGLSSGHGHRDGPAQNATFSPDFELVYVPKICALLVTDRGNRLIRQINLKPEDCARETHSGLGATSVSIIAVLCALLGSAIGFLARHFYPVHEISINRFFSRIQKKYKITQRKATLISFCDIRSAVANSTFNTLLLKLVSVSIGYLTVVLPSVRLERGVASKYSGLAKLYKRGTARSTSFHNSTLPPAKLLGDLISFGGGTGEEEDNGMPCGGILLYTPQGNHKKIDRMIETNLSDFSNREECSGVSRRMLRGDNK >Et_4B_037540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2093482:2096773:1 gene:Et_4B_037540 transcript:Et_4B_037540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITHILLGPLSDQLLLIHPSTHLFSGTHCTLVLVGNMANFAAGTLLLLAIFVSLLAPLLQAAPWPRCLPDNGYYAAHSPYQHNIANLAKTLPANITASATMFSTATQGELPDIIHAEALCRGDINATACGSCVRAAFNSSTCSNSVRTDSTVYSEFCFLRLSNDDFLSDTTNDNIMVHMSHPTGMSSSPIFDGALFLLLNSTIRHAALASATLFTTSQMSFRGNDEFIYDLAQCTPDLRRFDCLRCLEQILDMRMQQQYSGIPAGTIEGIRCRSSYSVTPFFSGRPSLQVQLPALATAPDIVRPSPPPLMVPPAAGDDSGGNHRVRNALVISAAAVFSLVLLVVLAICIYRSREQHDRTDHQGADEEMGGFESLQVAFSTLKLATNNFSEQNRLGKGAFGPVYRGKLQDGQDIAVKRLSQTSQQGREEMRYEVALVAKLQHRNLVRLLGYCAEEQECLLVYEFLSNTSLDKFLYGSASQPKLTWEQRFRVIDGIARGLMYLQEDSRLKIIHRDLKPGNILLDADMNPKISDFGLARIFAMDLSAALTYHPAGTLGYMSPEYIMHGIFSAKSNDDPHLRPRMATVVIMLNSQATLLPAPTEPILGNGKMRPMVAAPAPEPSINDVSMSEVKPQALQFDLYYLFLPYKQKRANTLACAIVIDLFPVFLLHIRLRSVSACSLKQQSKQRLL >Et_1A_006103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17900780:17904037:-1 gene:Et_1A_006103 transcript:Et_1A_006103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METEFQDGKAEVIEAWYMADSQEDQRLPHHREPKESIPLEKLSELGIVSWRLSPDNWENDDNLNKIREARGYSYMDICDVCPEKLPNYETKIKNFFEEHLHTDEEIRYCLEGSGYFDVRDQNDQWIRVAVKKGGMIVLPAGMYHRFTLDSNNYIKAMRLFVGEPVWTPYNRPHDHLPERKEYLDKLVKTGSGNQAVEAR >Et_8B_059161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14640641:14644763:-1 gene:Et_8B_059161 transcript:Et_8B_059161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIVGGYRLSISCLSPNTDSNFERPLASAIPGCHLIQKYALQYMNIGSGMASSSDPWVKEYNEASRLADDINSMIAERGSLPQSGPEIMRHTSAIRRKITILGTRLDSLAALLARIPPKSLTDKELHKRQDMLSNLQSKAKQMATSFNMSNFANREDLLGQGKKADDMSRVAGLDNQGIVGLQRQIMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDVTNSRLQRVQRRLAILNKRTKGGCSCMCLLLSVVAIVILAVIVWLLINIILLDVFEVHPGHSIAQIEDSKGSHNYRNNEQLSAMGKIKGTVPDVRKALEQNY >Et_4A_033689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25871790:25873755:-1 gene:Et_4A_033689 transcript:Et_4A_033689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSQAANGSLSARRLPSKPPGTAAGPNPYPLFANNSNNPRLPRRRLALSDSPRRAAQAPAAAGEGPSGSGPAAATEEPVVVRRVTNDGVPLEGVIQIEKPGAGGAESKLVSYAKLGLLAGGDVLCLLVFSAIGRFSHGLPVFDAETFKTADPFIAGWLLSAYLLGGFGDDAKGRNGVGNAVAAAAKSWVVGIPLGLAIRAVTSGHIPPTPFILVTMGSTGVLLTAWRALVSQVLSAGQKQKDDVYRRGNPFELFEVRNSGSFLWSV >Et_4B_039699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2748136:2749175:-1 gene:Et_4B_039699 transcript:Et_4B_039699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSSSGCDDEVRLSFGALHVGAAAVLQAKAADGGFVLRWATAMDPAVISRSRSLRPANMLSFCANASTYLPGGRLLSTSGTDNSPSPLIPSFSSSSQKSSVSSAVSPPAIASSWLPRPPPFSSCCFRVPSLWMFIARFSSSEPPGSLISSGPKKSAESSSSSL >Et_3B_027702.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:13387120:13387221:1 gene:Et_3B_027702 transcript:Et_3B_027702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWCHNEHQYFYGVVLSFNRWKKSFKHEFALVA >Et_1B_012646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3432996:3435792:-1 gene:Et_1B_012646 transcript:Et_1B_012646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSRTNSRANFSNEIHDISTMQNSGVPNMYYSDKPLADFFPPHLLKKVVSEVVSTFLLVFVTCGASAISGSDLTRISQLGQSVAGGLIVTVMIYAVGHISGAHMNPAVTLAFAVFRHFPWIQVPFYWAAQFTGAICASFVLKAVLHPITVIGTTTPTGPHWHALVIEIIVTFNMMFVTLAVATDTRAVGELAGLAVGSAVCITSIFAGH >Et_1A_005148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29380295:29381743:-1 gene:Et_1A_005148 transcript:Et_1A_005148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHGSTVNGDGTGRVQVISRRVVRADPPPPQSSASETIHLTPWDLRLITVDYIQKGVLLPKPNREPHHNPATAGRAVVDHLASAFTRALSRFHPLAGRLVVDERAGDDATVTTVSLRCTGEGAEFVHAAAPGVAAADITGALYIPRHLVASLFPLNGLLSADAASRVGDEGSSRRAAPLLAAQVTELADAVFVAVSLNHAVGDGTTFWHFVNTWSDLSRSRGGKAPVLERWFLDTCPVPVPLPFAKLEHVVRRAESVKKLKARANAEAENVADTATTISSLQSLLAHLWRSVCRARRVEPSQETTFVLLIGCRGRVKGIPTSGVTSTAGEVVGRGLGWAALQLNRAVASFDEASMVRDSLERWAREPRFSYTADLLSGSSALGTGGSPRFDVYGNDFGWGKPVAVRSGPANKLDGKTTVYQGRGGGGAIGLEVCLAPDALARLVADKEFMDAVTKP >Et_10A_000238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19383563:19384015:1 gene:Et_10A_000238 transcript:Et_10A_000238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRVRRWMVLVLSSGAAMARLSYHLGGPSSNAMDAEVEAIACKEGLALPADWCRAPAVLLPNVVMAHVKRQQNEIVHVLAQLAKRTKHSAVWRFRAPMCAVELVARDCNFAMGN >Et_1A_008146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4717664:4719736:-1 gene:Et_1A_008146 transcript:Et_1A_008146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAGSEQAAVAEGDAGQPPAMSKSARKKLLKQERQAERKAARKAAEKERRRADVERRRREWEEALAAAPSEEARAEMVAARRETRRERVGQRAVERGARAERLRRAAEGAGQKVVLDLEFADLMRPNEIHSLTQQIMYCYAVNGRSANPAHLWLTGCNGEMATHLQRIPGYDKWIIEKEAKPYLEAFEDRKENLVYLTADAETVLDDLDMSKIYIIGGLVDRNRWKGITQKKAAEQGIQSAKLPIGNYLKMSSSQVLTVNQVFEIMLKFVETRDWKTAFFHVIPQRKRGDPEAGDEAGKASLDDDDAAEEVANGDDLHKGFDEDADDDNDADGEEEVEDEEIDVARKRLCVRPGNGEAGDGSSDALAEATPAGDVATPQTEHAKESIED >Et_2B_022537.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25286957:25287361:1 gene:Et_2B_022537 transcript:Et_2B_022537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLRRLSRVAAADACAAAGGAYQPLRPAVKASASSSFPGARRLCGGARVPEGHVPVCVGEEGGLVERYAVRAELLGRPAFAALLRRAAQEYGYGHPGALRIPCAVADFNALLLQLSSSASAGSDDETGLCYY >Et_10A_000780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17119549:17121823:1 gene:Et_10A_000780 transcript:Et_10A_000780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLLRPSAFVTDLDGGIIILPAIDAVEIERRRDRVAKRARDGAVTLREEADARGWSSAVLERSLALRRRRGPASCPRRGCGWVVAAAEAYENGFPREVVATQRPVALVGGAAAEETGPDDSSLISRLRLPCFHSSSSIKPERDVRIQLSKVRAFKASFITLGILSSSAYVAAWGRGRSLPCVRSGSRPES >Et_3B_029895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28959328:28962864:1 gene:Et_3B_029895 transcript:Et_3B_029895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRPESSDLSLGLHSHGLAHAHARVHAVATPLRLFDDSDDVKSEGVGGREAEVDRDEDDGGDQHFSLLGHALCVKRPRRSLTGGGGGGGGGGGGEASSCSSSSAALRPAKRQATGDCGGADLEARRAAVRAWGNQSLAEADPDVHALMERELDRQVRGIELIASENFACRAVLDALGSHLTNKYSEGHPGARYYGGNQHIDAIERLCHERALAAFGLDTACWGVNVQPYSCTSANLAVYTGLLQPKDRIMGLEPPSGGHVSHGYYTPSGKKVSGASIFFESLSYKVNPQTGYIDYDKLEERAMDFHPKILICGGSSYPREWDFARMRLIADKCGAVLMCDMAHISGLVAAKECRSPFDYCDVVTSTTHKNLRGPRGGIIFFRKGKNLRKRAGSFSQGDENDYDFEDKINFAVFPSMQGGPHNNHIAALAITLKQVATPEYKAYIQQVKKNAQALASALLRRKCRLVTGGTDNHMVLWDLRTLGLTGKIFEKVCEACHISINKTPIYGDNGSISPGGVRIGTPAMTTRGCLEDDFEVIADFLIRATQIASNLLKEHGKMQKDFLRGLLNNKDIIELRSQVEAFASQFAMPAFDF >Et_1A_004865.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12805253:12805405:-1 gene:Et_1A_004865 transcript:Et_1A_004865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QQRNEKVFDNCARSFQSWKKNFKKECKLQARRFRESDCTSFVDWHLSDNS >Et_10B_002944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14215629:14223523:-1 gene:Et_10B_002944 transcript:Et_10B_002944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTAKEMLLGQEEKNKAEHIKTHVGDSTLGNSNDILFPQSCADDCQQTESASVEHDHSSDQMDTHNHGLSQCNEPVPEILTSNTSHQLGSTAYTSPKLENIEGTGISVLLLQKSNSNKWLVLEGKTLSATSMLNSEPYYARDGVNIMKRSFGRDSSSAASSSDLWSSRQSVLCVERLRSGKRGDFEKSQISSTISRQSIASMSDMSICSSSASLCPQNDTAGDTCFPMDTLESNASRTSVSTKERDGSCKDALSSAMECSYAAHAIFNDDSFVDVTTSSFVSEVDGDATFEKHNIDRMADNDHFNTNICLSDIEMPSDIQESSTPVESCVPKAEEEASAISQCYTSGAPEDPKEPPEAVEAPRKEIQRCFTSEEAADTILFCSSIVHNLAYKAATIALENEQESECVETIRPTVVGKSIPNEDGLVKLPQRRTTNRKVKRKRLEGETTVSENAEKGSTAKDSSPVRSAWGITRNSDNMKPPMLESKCNCII >Et_4B_039984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7162744:7166075:-1 gene:Et_4B_039984 transcript:Et_4B_039984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSQDYTTAGQSVLNTNIFQWTLPPRPNPIGEKKLRHAILISKTMNEKKDLDANVQPGRQRFVDANVRVRPGLAQRSVDEKVSRESKKYFDVRMAAEAAFESASFAGMAARVVVELSRS >Et_2A_017361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33588547:33588862:-1 gene:Et_2A_017361 transcript:Et_2A_017361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPLYFLTSASCGPPLKSSWSECSRPRCATSMRCRTRPASGRRTARGCRRRRSADTSAAAPPRRWR >Et_3B_030565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:549305:550361:1 gene:Et_3B_030565 transcript:Et_3B_030565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDHLVVRTRGARPDCDLAGIPPELLPPKKRAVRHHPYAAAWAIQEMASRGGVEEDDRIKPGADQAAHPLRPRPRHGAPRPPGAPVARVAAAGHAHRRLVLGPGGLPVPAFDRLGRAYRMTLRADLPPQGAVDALRVVSRHDMRDGDAVQLLAFRPSAWQARLDKHGEGGLGMAILHCPPQRASKSLLLDQPGARRRRRPPPARRVIILLQAHHRRRLGMDSRRLSPVRWE >Et_2A_018636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3805200:3805551:-1 gene:Et_2A_018636 transcript:Et_2A_018636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLDGKEQKKTWRAEHGNETSGVLGKGHCNGPHVAEEITPEEWGHAEITKLAACTVGLVQVQLCNTNAAYLEMEGTGAIGAVLGDSSVERVHAGLILPHIHYKWKQMRQGRE >Et_7B_055062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6953141:6959383:-1 gene:Et_7B_055062 transcript:Et_7B_055062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRNQFRPDRFNDNTNAARRPGGGSGSGTRHWVVSGGRGSAAPAERPRSPPHRSGRPGSVQQQYRPRSPACALTQRNATSHTPAARDAAAGHTEPGSKVSFGSLDNVKPSNVPAQSDVLSSVSAEGTWAADSSTQTIPTKGYSSTAFTLQFGTFSPGTIDKQCTTCTSSAPSDLNAKKDEKAHHGLSEKPYIVSSSPDQEQRKHEATDDLVIGGRTDLTDKYENVPVPELHETRVLNSLAPPSKVCMDSCKLLPRTLSSPTHQQCQNQEGRKDTVNASRSDTVYKYPATKPKISVQIPASYTPNMAPPPFMHPVPARPLPVAFQQKQTQVPVEFRGPGLQMQSIGSVASSLPVKMALPLSNAPHMQPLFAHGAQPRALHQQTFIQQGQGFGRGPPAYCHLSQFGNMRIAQELSQQQPRSGDENKRTIKITHPETHEELMLDRRGHSFIGVPASGQIPLHSTNQLHQPVQTYPPLQKMYYPRPGTYNSAPVFLPNTNSAPLAARQLSSKMQPPMHSFGSTNSNLPITSVKPPMPSPWLDASSTPLSNLHTASEVSSFKGLLPSSLSAPVQIELKSPIAFPTEKNEVSSETSIRIHGAETRISRYSSQSYVSSQQSDYKICLDPEYAKLGSDEDNPRVQAASSDAAYNSMPQAVLTQEATGVQASADHITTTAGHQNISTSNLTSASTSLVIPTTSSSSSHVKCDLLHIKACNRTDSAILTAASFVSTNDGTSPPDRNSKHDSSSILGKPPLIYTQEMLSPKSAGSSTFTGGLRTEKVNILPCLQKNSEVSGSVPLEKQDFTIEEHVLNDKVMCCSKSKTGVTTPGAAYGSEDDTDVSESVRIHTSHESYDSHPSVSVDNLQSSSENTRIPSDAQSTDCTVGSARDVKNAAVVSNSMSSQKKIEQESVDSELSNSSSTVAASVVQTKSSVLESTKAKSTCGRRKRQKETLPKASGQRYPDLDYASSSLNETEIFNTSKEVQSSYTIDIKNCAFDAQKEISTCGGDSLNKTDLIDWEDANGYSTEKLELFGCIYSDSVPEVLKRDKDDLDHKKYSRDFLLTFAQSCIHLPEGFKIGSDISQALLNLHAGAPFIASSELNPIHGRIKKDRGSRSHRHMAGMFDDNKWRKQFISVSGCDSVNQPAFSSWDAIQRFGHGSTRSPKQNQPFNQSSGEMLSRAMKEVVSQRSTSRGTVDERWQHRTNVHGISSLSQVSTPLMHKAEKKYEIGKVSDEEEAKQRQLKAILNKLTPQNFEKLFVQVKGLNIDNVVTLTGVISQIFDKALMEPTFCEMYASFCFRLAGDLPDFVKDDEKITFKRLLLNKCQEEFERGEREQAEADKSEEGATKKSEGEREEKRIRARRRMLGNIRLIGELYKKKMLTERIMHECINKLLGEYQNPDEEDLEALCKLMSTIGEIIDHPRAKVHMDFYFDLMQKLSENCNLSSRMRFMLVDVIDLRRNKWRHRRKVEGPKKIEEVRRDAVKQKLGQPTRFGSSPNYNSSVTRISSGTRAGPPSDNNMHESSSLSHRGSSQVRTYGSQDVNLDARCQRSNKALPVPLHQRRADKSIRLGPQGQLGREMSFCGKPSVSSDILPEVPLNSNHGQTSQNSREGSFTGAAINRTNFKTGADAPINQSWGETDHGLPALSTASQAHTSSMISKGVCAESQTFPDNVLQEKTILTIKEFYRQASIYHASFCMLPNAILLTT >Et_5A_041872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3718092:3721064:1 gene:Et_5A_041872 transcript:Et_5A_041872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPSAGRGRHIFSFAAARDRCFSRRFRRAGLRPVAVPLPPATPGGDPSATPTTVHMWIPSRPPQNPLLLLHGFGASATWQWAPYLRPLIAAGFDPIVPDLVFFGASCTRLPDRTDAFQAAAIKKALDAIGVTRFGLVGVSYGGFVGYRMAAMFPEAVRKVALVCAGVCLEEKDLAAGIFPVATVGEAAQLLVPRRPEEVRRLVRLTFVRPPLIMPSCFLWDYIKVMGSDYIQEKTELLYSLIEGRQLSTLPKLTQPTLLIWGEQDLVFPMELAHRLNRHLEGNSRLVVIKNAGHAVNLEKPKEVCKNIIEFFKEPVTEASNDEKVCKLWAI >Et_2B_022099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8980794:8989162:-1 gene:Et_2B_022099 transcript:Et_2B_022099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVELDTVEQWPEYDVDDVSDSVVSDALDWLDAVEGPDGSAWHSAAFSTAGGAAAERRPNAHGGVLSRPLQPLANRTQKLASHVRATPLEAIDPRTRMVLFKMLNRGVFNNINGCISTGKEANVYHATKTDGQELPIKVYKTSILVFKDRDRYIQGDYRFRHGYCKHNPRKLVKTWAEKEMRNLKRMKAAKVRCPESLFLRLHVLVMQFIGKGGWAAPRLKDAVLSDDKLCESYFEIVTTMRTLYQKCKLVHGDLSEYNILYFEDHLYIIDVSQSVDLDNPSALDFLKDDCLHVSDFFKKRGVAVMTVEDLFNFVIDQNIADEDVSHYLKKVQQKILENGDTIANYDDISPTIMVQTLDYVKQCEAHIANMSMMQCPSLGYEPPADKLYNQPLLGFVRTKNTHTDEKQQDDLCGVRTHVLMKNSKMGPEERKAARKENKKKVKEEKREARKTKKMKKMTTAKCKRQQPVGDQSITRNIPISNKL >Et_1A_004842.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:11637963:11638190:-1 gene:Et_1A_004842 transcript:Et_1A_004842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLCRLLLGFRRCHGACRGLTQAALDFGVAVWCAAAPPGLPDAALLLAPVKTSERGRLPVRDALASPTARDEARQ >Et_4A_033570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24517809:24519326:1 gene:Et_4A_033570 transcript:Et_4A_033570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSMVSVPIATSSLPLSTRGRSSSLSFSAPKKGGIGHGGLRIECIRIGGVEIPNHKRVEYSLQYIHGIGRTRSRQILLDLNFDNKVTKDLSEEEVITLRKEVSKYMIEGDLKRFNRVAIERLKEIRCYRGIRHKLGLPVRGQRTKNNCRTLKGKRASVAKKKSSSQDE >Et_6A_047595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7941955:7953832:-1 gene:Et_6A_047595 transcript:Et_6A_047595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSPTSAAPARAANAVSEEIQDQEVEKVAAASNKRRRRGSSSGKKPKPPPKKAKAAKARRKKKEDARVKDPVEDDVCAEEPDEEEMAMGEEDEAEEKERAAEEGAAVSPGKAGRRRVAQPHARTAVGSGDDHDPEFVGDHVPAAVARAKWPKRYNLNAAARRSGDEDEMKARRHFSSAKVDNVVYCLGDDVYVQAGDNEKDYIGRITEFFEGTDHHPYFTCRWFFRPEDTVINSLVSINVDGHTHDPKRVFLSEEKNDNVLDCITSKVKIIHIDPNMDSKAKARLIQDCDLYYDMSYSVAYSTFANIPSASAISSEEVDLETSSGVLGRTATLLDLYSGCGGMSTGLCLGAALAGLRLETKWAGDLNSYACESLKYNHPQTEVRNEKADEFLSLVKEWANLCNLYVHEDFGSDLAGSTAEEGDGSSSELEDDEYVVEKLIGICYGGSSRENGIYFKGDVDVICGGPPCQGISGFNRFRDYNDPLKDEKNKQMITFMDIVAYLKPKFVLMENVVDILKFADGYLGRYALSCLVAMNYQARLGIVVAGCYGLPQFRMCVFLWGALPSMVLPKYPLPTHDVVVRGGVPNAFLQSIVAYDETQKPSLKKALLLGDAISDLPKVENHQPHEVMEYGAPPKREFQRHIRLSRKDMLDYSFGGEAGPDEGKLLDHQPLRLNNDDHQRVQQVPIKKGANFRDLKGVKVGVNNTVEWDPEIERVYLPSGKPLVPDYAMSFIKGRSLKPFGRLWWDETVPTVVTRAEPHNQIILHPDQARVLSVRENARLQGFPDYYRLFGPIKEKYIQVGNAVAVPVARALGYCLGQAYLGESDGSDPLSVLPDSFSALGQ >Et_1A_009270.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:36141378:36142955:-1 gene:Et_1A_009270 transcript:Et_1A_009270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPAPPSNAGQEAATPAPAPEPSPSRKLPDFQQSVRLKYVKLGYHHLITHGMYLLLTPLMVLVAVHLSTLSPRDVADLWLHLRLNLVSVLACSTLLVFLATAYFFTRPRPVYLVDFACYKPGPERRCSRDTFMRCSRLNGCFTDASLEFQRKILEKSGLGEDTYLPPAVTRVPPNPSMDAARAEAREVMFGAVDELLAKTGVKPKDIGVLVVNCSLFNPTPSLSAMVVNHYKMRGNVVSFNLGGMGCSAGLLSVALARDLLQVHPGSYALVVSTENITLNWYAGNDRSKLVSNCLFRMGGAAVLLSNRRGDRRRAKYELVHTVRTHRGADDRCFGCVTQEEDGEGKLGVSLSRDLMAVAGEALKTNITTLGPLVLPLSEQLLFLWTLVSKKVFKRKGVKPYIPDFKLAFEHFCIHAGGRAVLDELESNLSLTDWHMEPSRMTLHRFGNTSSSSLWYELAYSEAKGRIRRRDRVWQIAFGSGFKCNSAVWRALRSVNPAEETNPWMDEIDRFPVEVPKVSKLSSN >Et_4A_032232.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25266655:25267017:1 gene:Et_4A_032232 transcript:Et_4A_032232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSTAFAATLLAVALVFSAGVDVVGAQSRGNPCPTKALADLKVCADVLVLLKLKINVPANQQCCPLLGNLVNLDVAACLCAAIRLSVLGIPVNLPLDVPLVLNYCGRNATAPGAICS >Et_1B_014404.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7903680:7904321:1 gene:Et_1B_014404 transcript:Et_1B_014404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTPNPESPGCGGGGGEGSGAGGSGSGASSSAGGGAPQTPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHTAACPFYGHPNPPAPCPCPLRQAWGSLDALVGRLRAAYEENGGRPESNPFAARAVRLFLREVREHQARARGVSYEKKKRKKPAPADTSGGGGGHPPHHHHPPPPPPAAGAAC >Et_4B_038543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29704276:29705847:-1 gene:Et_4B_038543 transcript:Et_4B_038543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRRQVVAVLAVVLVQQSVGFDVPTMAFEEGFSPLFGEANMEQSPDGRTVRLTLNRYSGAGFISSDYYHHGFFSANIKLPKDHTAGVVVAFYVTNNDDWLQLSNGDVFEKNHDELDFEFLGNRRRHEWRLQTNVYGNGSTDRGREERYLMPFDPTNGEPHRFSILWSSRVVIFYVDGVAIREVPRSPAMGGDYPSKPMAVYATIWDGSTWATDNGKYKVNYKRGPFTAEFSDLVLRGCPAGAVQQKSSSNLILGSSEQREMCAGAEFELMTAEYAIMNARKRMAMRQWRQRQMLYTVCYDTNRYPQPFPECDVNIAERQKFWEWGESKVVRPRVRGRSNSKRRTPPPALVSLQQAD >Et_2B_019456.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3918559:3918660:1 gene:Et_2B_019456 transcript:Et_2B_019456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSDLLSAARETKSTDRDHPLRVVEHVEGKE >Et_4A_031892.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27196444:27197103:1 gene:Et_4A_031892 transcript:Et_4A_031892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTSASQSTEISCAFLSSPERRLEKVTCRLILFSIRFSCTFPRPIFFSLPPNPTSHSLLQRSTRSMAETDNRRLLGARARANRCYGRGKSVVVATGRGGGGGRGGRGRRSGGAGSGGFKAARGGALCRDGSEEGGGGLGFRASRCRAAIGRGPISGPTSRSSNGGCRFVRSRPRTVETASHTHTHWTEEIHRFVSGIVLLFFSQSIHRSDFIFVSTSS >Et_2B_021827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5917124:5924449:1 gene:Et_2B_021827 transcript:Et_2B_021827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEELSELSESMRQAASLLADDDPSDDSAPRRPTTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQREPGLSSKSIVLQIDSKSQQVSASALRHSLQDRLSKGASGGSGRGRGDEIYLKLRTSTAPSLKLIDLPGIDQRVVDDSVINQYAGHNDAILLVVIPAMQAADVATSRALRLAKDIDSDGTRTVGVISKVDQVSGDAKTIACVQALLSNKGPKNLPDIEWVALIGQSVALASAQSGSAGSENSLETAWRAEAESLKNILSGAPQSKLGRVALVDTIAKQIRKRMKVRLPNLLSGLQGKSQMVQDELARLGESMVQSPEGTRAVALELCREFEDKFLAHITSGEIVLEADGYQPYLISPEKGLRSLIKIVLEMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKPSNALENFKNDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKNRSSRKSQEAEQSMMKRGSSPQTDSEQGSSSSKSTKDKSGQQDKDTKEGSSLQVAGPAGEITAGYLLKKSAKTNGWSRRWFVLNEKSGKLGYTKKQEEKHFRGVITLEDSKKANGPEKTPSLVFKITNRVAYKTVLKAHSAVVLKAESMADKVEWVNKIKAVIQSKGGSFKGPNTEGGSMRQSHSDGSLDAMARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSISGQSNAKIEELLQEDHNAKRRREKFQKQSSLLSKLTRQLSIHDNRASVSSYSNDNSEAESPRTPSRPGEDWRSAFDSASNGPTSSSESRSRSADGRSRRYENGDASAGANSGSRRTPNRLPPAPPRY >Et_7A_052802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16903667:16907280:1 gene:Et_7A_052802 transcript:Et_7A_052802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGDPSLHPPLHGNDSQPPETCSWVLLDVHAYVADRQNSTSASAVTSDGAHVVRVTFCAAPPPLVSYICVWASPGLSPNNKIKIVSEPIVEGAGSDLLLLEVYVRGRRDADFFVYKASAGRGGLPSLQLIHLPKPCLRVHYSIALLAHGATEPDINLEWNWEVSTWSRSVTELCEGDWHNDYNVRCPDISVDEDTENADLLPVFKDSQGATRPTLGNFYIAHPTLSLSDSHVVYVMAKVGRRDKKALVLSIDMKKPRLKGVAVFDAERMHFNSIFTPCDFDNLRYGLWLWRVHV >Et_9B_065024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20613491:20613858:1 gene:Et_9B_065024 transcript:Et_9B_065024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQ >Et_3B_031130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17193859:17196573:1 gene:Et_3B_031130 transcript:Et_3B_031130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTNEETFPLEEEHQGTEKGMDEGEDGEDVLRKRPRIEDEYDELEDLAEFRRFWVSTVSHYFGPLDATTGSNIGPMRYTDSGPPRYGGVPYDAVEIISLKVTELKEGLQWPIRVFGLVAVRDSLDHKRNVLFERAKENCQTLTAEDSYLTLTGPSRAIALIDPLEFEVELRVLGCNPLEETVLSAIYYEYNNSSYNYSNAGLVRTCRKSSKRSTIELKYSQLHVPLEATIEVCHKEGLQDFHGRFYAHMEYMADDDIVLLDSRDLKVNLTPDGRILLSRNVVLVEEGAKLTLGLKAWQNEDAHSAVDVKYEFPSKLNSRSDGFFDVGFSKMSITVAWSVLC >Et_3B_028798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19282493:19286516:1 gene:Et_3B_028798 transcript:Et_3B_028798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGGGMKEREGRSAAPADAASPAAAVARAARPPRPRRLKGLAVQHPLKHIRLLAAAKMVAHAKMREVGEATLVAALAETEEVDEGPAHVYGGWKSEDGRLKCGYSSLRGRRASMEDFYDIKSSKIDDKQINFFGVFDGHGGTHAAEYLKEHLFDNLLKHPAFITDTKSAISEIYRKTDSDFLDAESNTLRQDGSTASTAVLVGNHLFVANVGDSRAVISKAGKAIPLSDDHKPNRSDEKRRIENAGGVVTWSGTWRVGGILAMSRAFGNRLLKQFVVADPEIQHAVAFVKGEDGPEAAAQKLTEIALRRGSTDNITCIVVEFCHDVTGCDSSPSTKQS >Et_9B_066028.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:2114122:2114541:-1 gene:Et_9B_066028 transcript:Et_9B_066028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGKVQLQWIADRKARQKTFLKRRRTLLERARELSTKCDVPVAMVVYTDSPESSKEKVDLEDFLRERVEKLRKKLGDMKVANRAREVNLVVDELLLGRRRQGGDGAAHEGPLQPHEPSSTGSVASIKLPLLRWSHTH >Et_3A_026255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6030947:6034360:1 gene:Et_3A_026255 transcript:Et_3A_026255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKRFAAGDAPAKRCNLERFLEATTPVVASSSSPKESKNGWRQSSSDEPLPFFNLGDLWDSFRECSAYGTAVPIVLNGCRDEVVQYYVPYLSAIQLYGGFKRHVGPSRTGAEESDSDCCQDTGSDLEHETTSSGTNAFSAQETSESSSSSEDEGDSGNYHEQLLFEFVEPESPYQREPLADKISRLAKRFPELNTLRSCDLSPASWISVAWYPIYRIPTGPTLRDLDACFLTYHSLSTQFAGVGHSPKAKAPTTPVTAMWLPAFAMASYKLKAAAWTPAWRDRQLAASLAQAADAWLRLLRADHPDHRFFASRRAPRR >Et_4A_035061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9175172:9177181:1 gene:Et_4A_035061 transcript:Et_4A_035061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREGDACVALLRSKLHGLVERNRALEEENKQLRYQVSRLKGQVSSLEVQDSDKKIQWKKLENSATSISHSKEKQFIQHNDEAKEAVDLNSSLCYNRQQLSRTTLLRSRAPRVPNPPPSPTSNQPVMKVRKEGCMATPPPPPPPPPSSKLQRSTKAVQRVPEVVELYRSLVRREGKNDSKSGSTGIPAVTSSREMIGEIENRSAYVLAIKSDVENQAAFVNFLVSEVQNAAYKEIADVEEFVKWLDGELSYLVDERAVLKHFPNWPEKKADAMREAAFTYRDLKNLESEASSFHDDRRVATPMALKSMQALQDKIEQGIHNTERVRDSASGRYRDLKIPWEWMLDSGIIYQLKMASLKLAKEYMNRIVIALKSDPFANDEELLLQGVRFAFRIHQLAGGFDEGCRKAFQELKAYVSKSE >Et_6A_047016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24092297:24103799:1 gene:Et_6A_047016 transcript:Et_6A_047016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SWVGPSVQWHPVSVALTCDAIGVPLLPSSAEAPCRRNTFAFAPLLPTATTMPEVPRWNTFAFVCVTLASMTIILLGYRLTVRDARANSFFTAPLLPTATTTTPEVPRWNTFAFVCVTLASMTTILLGYNLALMSGAELFIREDLGLSDAQVEVLAGSINVFMLLSILAAGWAADVLGRRGTIVLANASLMAGALAMCLGGSYAALLAARFVTSIGCGFSRVVAPVYNAEISPASMRGVLTSLLDIFINVGILLAYVSNYAFAGMPTHLGWRVMFAAGVLPPVLLAAAVLAMPESPRWLVLRGRHDEARSVLARTSSEGPHEADLRLEEIKQAAKTTDTSSAAGAVWRELLLRPSASLRRIFACVAGLQFFHHASGTEAIVLYSPLVFRQAGMASDAAVLGATVAVGVVKTCFILVAVLLSDRVGRRPLLLASTAGAAVALASLALALRVRATAACAASVYAFVASFSVGLGPLVSTYNAEIVPLRLRAQGASLGMVVNRVTCSVVSMTFISVSNWITMPGCFFVFAGAMVVACVFVYTRVPETRGRSLENMDALFAD >Et_3A_026442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8022609:8025077:1 gene:Et_3A_026442 transcript:Et_3A_026442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQENGVVAAGRGSGKPHAVVMPYPTQGHVIPAVHLALRLAERGFSITFINTESVHHQIGAGDDIFAGARASGQEELDVRYELVSDGFPLSFDRSLHHDQFMEGVLHVLPAHVEELLRRVVTDPATTCLVIDTFYVWPATLARKLGVPYVSFWTEPALIFNLYYHMDLLTRNGHFRCNEPRKDTITYIPGVPAIEPGDLMSYLQDTDTTSVVHRIIFKAFEEARGADLVVCNTVEELEPSTIAALRAEKPFYAVGPILPAGFARSAVATSMWAESDCSRWLDAQPAGSVLYVSFGSYAHVTRHELHEIARGVLASGARFLWVLRPDVVSSDDPDPLPEGFASEAAGRGLVVPWCCQVEVLAHAAVGGFLTHCGWNSVLESVWAGVPMLCFPLLTDQITNRSLVVREWRAGASVGDRGTVQADEVRARVEGLMMGGEESAGLRDQVKKLRATLQAAGASNGSSRRNFDELVDELKRRCGGR >Et_3B_030694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6529250:6531988:1 gene:Et_3B_030694 transcript:Et_3B_030694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHIDTATRRVVFAETTKAAVDYLHSLLESHHQVVSLDDATVAAGCVRNLSNSVKELAAEAASAPLRLPPKKRRRIAPSPVVAQAKRVFECRFKRGPACGGFVTEKSGVACPSCGRSMSTAVPDGEPGAGCSAASAAAGEYAPGPPPVRCLLMDDLSVTPMDWFGDTMATMFLGSVAAIQESILQLGYSEVIDSSLSSKDMSIDPEPSSSSDHPPPLSMRLVVDVAAQRVLFAEAGKDVADFLFSLLALPLARVAKLVADTGGGDGDDGELGAVGKLRRSAAGMDPAHVQPGAARESLLHPVVLAPPAHTGHSFFPLKRKLYTCRGIYSAGCGTFFSDARGVACPSCGGAMTTVTRYVPPAWQATRGVLDGGESGDGGFVRGGGATYVVKDDLTILPAPASAVSSVELLLTSALDTHAVGRKAELQVQNVMFGRAESKEILKASLHSKTVLTDVFLRRMQV >Et_1A_009205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33291002:33292809:-1 gene:Et_1A_009205 transcript:Et_1A_009205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPSYVLQSCVNIIKSRVPFFILHLIDASYSLWSRFFKKVFGKLRIRGHIEPSTAAATTRNDAPESADQGKLGEDGDDASIRAADLRLDMIEKILHCISPLESARFPFVCKSWAAIVSPRLASYPLFPHLFVTETVPRSPAPGNPKWDFYLRGYMVSVPLDGGARLPSPAIISAPKLLEDLITRCSAKLIGATPDGRLALAMSKRVIFINPVTDESKTLDVTSVPVCTGIADDSSDTVLSHDYQTYYYREKTRLYRREHGSEEWSCGAVRDATHHSSGISSVANCGVVVFVLYRDGRMAKIDTIARPALVIEAIAPVYEPRPAWRREDEDPQSSRDYLLESDGNVLFVRQQLLSQKPKMFPDDYWHYDTVGFEVHRLDEIGRRWVKVKTLDGDRALFISARSSFSVRASATAGCMRNCIYFVGESHHVGSCREREDCTSTWGVYSMEQKKVLFEQAVVVTERRTVARILAVANCSGCIYMLDDDGYMSKVHTNVLPLLSMEDLKNASLSNSSLLGHIHYTMASFSQHSLLSALPAVNG >Et_6A_046308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12838683:12840232:1 gene:Et_6A_046308 transcript:Et_6A_046308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRCFFLLAAAVALALAASPARAIPFTEKDLASEESLRGLYERWRSHYTVARHGGDLQVEESASRFNVFKDNVRYIDEANKKDRPFRLALNKFADMTTDEFRRTYAGSRVRHHRALHGGRRAEGGFMYADAGGLPPAVDWRQKGAVTGIKDQGQCGSCWAFSTVAAVEGINKIKTGKLVSLSEQELMDCDNGDNQGCNGGLMDYAFQFIKKNGGITTESNYPYLAEQKRCNKAKAGAHDVTIDGYEDVPANNENALQKAVANQPVSVAIEASSQDFQFYSEGVFTGSCGTDLDHGVAAVGYGTTRDGTKYWIVKNSWGEEWGEKGYIRMQRGIAESHGLCGIAMEPSYPTKSAPHGASNDEL >Et_2B_019986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15856145:15858281:-1 gene:Et_2B_019986 transcript:Et_2B_019986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVAEVAVVPRAKRKSTTLRFLFELEKPDGLLPGTAKLPPPSPEPEAESLIDKIASCTRVFTFADDDGADERVEERDAKAERLGEVLAAVKSSSSSSGGKQQPLDHRVMVALVKMVGANLFRAMPACAMPLPPPDYYDEEAPAVALAPAWPHLQVVYDILLAAVAAADARTLRHHVDRAFLSNLLALLFASEDPRERDRLKTVYHQLYAKLTCERAFMRRSMAAAFLRFVHHETSTSHAERHHCCGVAELLEICGSIINGFAVPLKEEHRAFLARVLLPLHRTRWVHAYHRQLAYCVLQFVRKEPGLAEGVVRDVLRHWPVTNCQKEVLLIEELEEILDVLEPEQFERLAVPICARIARCVSSSSSQVAERALYVWNNERFLELASASPGLMEKILPAFVASIESNLEQHWSKCVQQVTASVKSLLEQVAPELYTRCVDDLAVRRSEAEAAAAVREARWRKLEMAAAAAASSRN >Et_5A_042484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1481935:1484862:-1 gene:Et_5A_042484 transcript:Et_5A_042484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILRPRPPNHALPPATTPSSRLILSRSSLFLRPLPGLKMQTGTARLRHVSRCATDGAFEPSPEEDPRTSRFREEMDKEYRAALRTQKAMANAHLRVDASSEMSPYTVKQIIRTYVSVFLKTAEDACRRSVDRTAFISFIDALRGLAAISHILFEDTLAVVNKIEDNSSYYNPGCDVEALNHELQFSIKELLTSLTSAPERDASEILWPTLSDATLHAK >Et_7A_051424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18380143:18381560:1 gene:Et_7A_051424 transcript:Et_7A_051424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METRGSELPREKQIKGPKALIFLPKLTVQIFGTAYAHYLTSIFFTKPKIRHSEKPPGEADGTREIRGDPAYVRAACEASLTRLDVDCIDLYYQHRVDTRVPIEVGELKKLVEEGKIKYIGLSMKA >Et_1B_010029.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:19041006:19041131:-1 gene:Et_1B_010029 transcript:Et_1B_010029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKDKRKRIVLNSAYRNVGCHLLGLVLSQFAECLPVQSSI >Et_1B_010908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15270996:15287885:-1 gene:Et_1B_010908 transcript:Et_1B_010908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARYAALRRALEEVPAVDAHAHNLVALGSAFPFLRCFSEAEGDALALAPHSLSFKRSLRDIAALYKCEASLEKVEEFRKAQGFKSITSKCFQAANISAILIDDGIVFDKMLDLESHKEFAPIISRVLRIEWLAEIIINDESFSGSSWTLDSFIENYVAKLNIAAYRSGLEINPNVSKMDAEDGLRKELSGQRPFRITNKNLIDYLFTCSLEIAVSLNLPVQIHTGFGDKDLDLRACNPLHLRAVLEDKRFAKCQIVLLHASYPFSKEASYLASVYSQVYLDFGLAIPKLSVQGMTSSLKELLELAPIKKIMFSTDGYAFPETYYLGAKRARDVVPAGRFYEVTKNKGVGLTFASMGMTSFCDGPADGTNLTGVGEIRLVPDMSTLLRLPWSRREEMVIADMQIKPGEAWEYCPRNALRKVTKVLLDEFNVEMKAGFENEFYLRRKLVSDGHEQWVPYDNTNYCSTSAFDGATSILQEVYSFLKDSDIVVEQLHAEAGKGQFEVALKYILCTLAADNLIYAREIIKSVARKHRLLATFLPKPDLNDIGSGSHVHLSLWDNDQNVFMGSDEYNFYGMSKKGERFLAGVYHHLPSILAFTAPHPNSYDRIQPNTWSGAYLCWGKENREAPLRTACPPGVPLHLVSNFEIKSFDGCANPHLGLAAIVAAGIDGLRRGLKLPEPIESNPADYASKLKRLPQNLQEAVESLSADKILHELIGDELVTAAIAIRKAEMEARYAALRRAVEEVPAVDAHAHNLVALGSAFPFLRCFSEAEGDALAFAPHSLSFKRSLRDIAALYNCEASLEKVEEFRKAEGLKSITSKCFQAANIPVILIDDGIVFDKMLDLESHKEFVPTISRVLRIEWLAETIINDESFSGSSWTLDSFTENYVAKLNQIVALKSIAAYRSGLEINPNVSKMDAEDGLRKELSGQRPFRITNKNLIDYLFTCSLEIAVSLNLPVQIHTGFGDKDLDLRTCNPLHLRAVLEDERFAKCQIVLLHASYPFSKEASYLASVYSQVYLDFGLVIPKLSVHGMTSSLKELLELAPIKKVMFSTDGYAFPETYYLGAKRARDVVPAGRFYEVTRNKGVGLAFASMGVTSFADAIAVGTNLTNVGEIRLVPDMSTLLRLPWSRREEMVIADMQIRPREAWEYCPRNALRKVTKVLLDEFKVKMKAGFENEFYLRRKLVSDGHEQWVPFDNTNYCSASAFDSATSILQEVYSSLKASDIVVEQLHAEAGKGQFEVALKYILCTLAADKLIYAREIIKSVARKHGLLATFLPKPDLNDIGSGSHVHLSLWENDQNVFMGSSEYNFYGMSKKGEQFLAGVYHHLPSILAFTAPHPNSYDRIQPNTWSGAYLCWGKENKEAPLRTACPPGVPLDSNLNPLMGAQIHTWVLLLSLLPALMG >Et_2B_019845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14452695:14456667:-1 gene:Et_2B_019845 transcript:Et_2B_019845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKHFKYVILGGGVAAGYAAREFAKQGVKPGELAIISKEAVAPYERPALSKGYLFPQNAARLPGFHVCVGSGGERQLPEWYAEKGIELILSTEIVKADLAAKTLTSAAGATFTYQILLIATGATVIKLTDFGTQGADSNNILYLREIDDADKLVAAIQAKKGGKAVVIGGGYIGLELSAALRLNDYDVTMVFPEPWCMPRLFTAEIAAFYEAYYANKGVNIVKGTIAVGFDADANGDVKAVKLKDGRVLEADIVIVGVGARPLTALFKGQVAEEKGGIKTDAFFETSVPGVYAVGDVATFPMKMYNELRRVEHVDHSRKSAEQAVKAIISKESGESVPEYDYLPYFYSRSFDLGWQFYGDNVGEAILFGDSDPTSAKPKFGSYWIKDGKVLGAFLEGGSPDENKAIAKVAKAQPPVSSVEELKKEGLQFASKI >Et_5A_041727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26386578:26390487:-1 gene:Et_5A_041727 transcript:Et_5A_041727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWCEEAVALLRRPAVAEMAVDVLLCAVPIWAAVMIGLVVGWSWRPRWTGLLFLGLRSRLRILWVPPGFGARRLWLACTALSAFSVAPSLLSSAFRRCRGKHNDKVSPEDASPDAAAAGDAGACADGRAIFEGERDIVTERDLEHLLQLLDNMESGDSSWQHLMERTTSNMIYKAWRREPEVGPIMHCSHTIFEDATPELVRDFFWDGDFRLKWDHMLTYSKTLDEFPQNGTTIVHWIKKFPFFCSDREYIFGGRIWESGKTYYCVTKGVPYPSLPKKEKPRRVELYFSSWRIRAVQSPKHPGQLSACEVTLVHYEDMGIPKDVAKVGVRHGMWGAVKKLQSGFRAYQKMRETENTLSHSAIMARVTTKISIAGSNDLLDQGLSRANKTSDESCGSRAVQHGIDWKWVMIGGAVAAVCVLNTGLVGKVLLLGAARRQAKK >Et_5B_044441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:24175745:24185912:1 gene:Et_5B_044441 transcript:Et_5B_044441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRLSYPPARRDDSVVDNYHGDQNSEETKEFVAKQAELAEKVLAECPYTENLRSEITRLFDHPRQAAPFRRGNKYFYFHNSGLQEQSVLYMLDDLDGKAEVLFDPNTLSKDGTIALINFSISEDAKYLAYGLSECGSDWATIHVMTIADKQPMPDKLSWVKFSAMSWTHDGKGFFYGRFPAPREGGELDADTETNINVNHQLYYHVMGTDQSEDILCWKDPEHPSYTFGASVTEDGKYIIMGIYEGCGSVNKLYYCEFSSLPRGLEGFRDTKEMLPFVKLVDDFDAQYQIVANDGDEFTFLTNKSAPKNKLVRVNIKNPELWTDVVPEHEKDVLESADTVNNNQLLVCYMSDVKNILQLRHLGTGNLIHQLPLEIGSVSEISCGKTRKPSLASCNLASTIPEMKVFREVSVPGFDRMKFQVKQVFVPSKDGTKIPMFVMSKKDIKLDGSHPTLLHGYGGFNICLTPSFSIARVVLCKNMDFVVCVANIRGGGEYGEEWHKAGALAMKQNCFDDFVSCAEFLISHHYTSPKKICIEGGSNGGLLVGATINQRPDLFGCAIAHGGVQDMLRFHKFTIGHAWTTDYGCSDKEEEFQWLIKYSPLHNVRRPWDQSSGNYCQYPATLLLTADNDDRVVPMHSLKLLATLQYVLCTSTEDSPQTNPIIARIERKAGHGSSTTKKLIDEAADKYSFMAKMLGASWTE >Et_1B_012626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33907628:33909437:-1 gene:Et_1B_012626 transcript:Et_1B_012626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGNMHLCCLTLLLFLGLASGQVLFQGFNWESSTQSGGWYNLLMSKVDDIAAAGVTHVWLPPPSHSVSHKPIVKQSVVRGGAGYMPGRLYDLDASKYGTASELKSLIAAFHSKGVQAVADIVINHRCADYKDSRGIYCVFEGGTSDGGLDWGPHMICRDDAQYSDGTGNLDTGAAYAAAPDVDHLNDRVQREFKEWLLWLKSDDVGFDAWRLDFARGYSAEVAKVYVDGTAPSLAVAEIWNGMQNGDDGKPAYEQDAHRQALVDWVDKMGGAASPAMVFDFTTKGILNAAVEGELWRLVDAQGKAPSVIGWWPAKAVTFVHNHDTGSTQNLWPFPSDKVMQGYAYILTHPGNPCIFYDHFFDWGFKDEIAALVAVRKRNGIKPTSTLTILEHDADAYVAEIDGKVIVKIGSRFDVGHLIPAGFQVAAHGNDYAVWEKGASRMNPA >Et_5B_044331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22816674:22819262:1 gene:Et_5B_044331 transcript:Et_5B_044331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRKSALSFLALCFNDTTGIHNRVFDASSNRRFNNIGHSLIGIIASVAAFCYKFDKDPELSCGLLLDILWGLAMFIGCLIQALIFGIIVCPLLVLYVSGPYVPIWLGVWRLSHRDYAEGNGDASSANLTVALDVLYTLVVAQGLLVYYMRLQALAIELIVAAVVKSCKFDKRASWSVRYYMNDTIQGCYKDPSFVGGRNMITYAVDQINSGSADRKLSGARILDTLIAKIQIQNWDDELWRGHLWDKKLAGRHMLLKQLIVDSNDVVLKLLQMLDWRSPYDKETRNRAARIVAYIAGGIHLKQYPQGIQWIASLLGTFQEYNDLEPYQRDWLLHAMEQDWTQLNKLDDDPERDYRDLVLQALRILWRLATNEDNCKIMSNHAGLLSKAMAPVTNDLLHNQSVHDHDEWSSSVVEGSLRLMCQLVTAPGDTGKKLRSDISGNNEAIRTMETILQCDSCGARLKDKAIQTLTELYMETPSSMENFMKTLLDIYKKEPQRRGETIIGIEGGYDVSNGKPIKKEYATEEWSISLQVIYKAETEEPDIIETAARALMRISLQMENSATILMQNADIINLLTTLLLCAKEKTYRRVAAAVVLERLCIYCNDLNNQKKNNMEKDLFLMCKQKLKEKLMTNEMTKILEEIDAYYLNLDQVHGLDHISKFMKRFIPAYLSLFVTVCKISTYQDSVRLLGGSATSVPIWLKNIVEKNFGYSTNNLRIMKLITKIVIATNNLKVRYVNEELDSLMGSLSKALGGMLTVDASMVFASTEDGAWRVRDSMLNRGEGQPFRSFRSLLEQAQKLVEEGRGMDMGLRPLRSF >Et_4A_034374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32036305:32037009:-1 gene:Et_4A_034374 transcript:Et_4A_034374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISGALFDEDSCTIRLDHEHYTGYIKGVGQLLADAIRESATAATDVPSNLYATLQALPGFDDSHVDDYFDYFVEHPSQARAFMQLPFDRKLSRFAKFVADHCSGR >Et_5A_041874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3771353:3774060:1 gene:Et_5A_041874 transcript:Et_5A_041874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSRLTRDDPPGATASAALTFHSAALLLAAAPRPSFPISSLTAASTSFLPTSRGISNPTPHSSHAYRQLVTCSEKKGQHSMGTPARTLSSVEFQPQWVRNPPVARWPSTASWSHHPAVIPRPAMAVSNPSGSFHPPPSPSPRTMSGRTTHRNGHPAAASPHANSSICSAVTTVMLPKLTYTTDASPASSPAATRPSSHARHRSSGLQRLEVRDGRYDGGATSGPSGPTVKVLGRRARMASRARRSSASKVLRRMPSAAGESSSLCLMLIVSIVSSASVVRMNVGRSRRRALGSMPAIQSTGVSRYPLVKQLSSVRLHARAATGNVSCVPISSKIEQITFEWHIASLDELIKVEVRQIPASAGREEEVGREPHLLGDVHDVPHGAVGDDAAHRRDAVVAAEPRDEVPDGGGPRPPRGLAERPDVLRGVEVGRGQPVGDGLEPEAVGAGDGDGAPSPEEAPVVVLGVDEGDVEAARVQGLGQLQERGHVALRRVGDQQDVRLRLAGLRLRRRHVCSALLCSALFCCGERRLDR >Et_2A_015029.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:26691348:26691782:-1 gene:Et_2A_015029 transcript:Et_2A_015029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDDKRELVFKEDGQEYAQVTRMLGNGRCEAICVDGTRRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMNDEARLLKAYGELPDTLRLNEGVDVDGPEGEVEDSDYIQFEDEDIDKI >Et_1A_005482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10742639:10747792:-1 gene:Et_1A_005482 transcript:Et_1A_005482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSHTAGRHPALHRQIAAAEPSHRPDLLHLHATRRWRTGCTMRLADCKAPDAVTWEPFDREYKIIHGKDIPRDVPEMIDSIRAALKSIGDGEINVSAYDTAWVSLVKNVDGGDCPEFPSSIDWIVRNQLADGSWGDKRYFLVHDRIINTLACIVALKSWNIHHDKCRKGVSFIHENIWRLKEADADWMLVGFEITFPTLLEMAKNLGLNMPFDEPVLQAIYAKRDRKLAKIPRDLLHTTTTTLLLSTEGMENLDWERLLTLQCPDGSFMSSPAPTAYALMQTGDKKCFQFLDRLVCKFNGGVPFTYPVDVFERLWVVDRLERLGISRYFTSEITNFLDYAYRQWTQEGLPATRYCPLNDIDDTAMGFRLLRLHGYCVSAGVFKNFERDGEFVCYPGQSNQSVTATHNLYRAAQVAFPGEDELRRANIYSRAFLNKRRASGKLNDKWVIAKDLSGEVAYALDFPWRASLPRIETRMYLEQYGGSGDVWIGKVLYRWNSLRAQHIQVLASTPHTASTLKSELSEFCRMPFISNDLYLEAAKTDFSIFQRRCRLEWHGLRKWYDKNNLGAFGVSSESALRAYFLAAANIFEPSRAAERLAWARTTMIAQAVSWHLQCNGCNDSKRERLVHQLENHGRDELARSRGQKDPTEKALLSALFGVINLAADGNASYHLREAWKRWVRSWTAKANHESCEGSTALLLVHTLEICSGRHRLTEKNWNVSEYSHLEQLTSSICSKLASIILAWNGVNTEKSDSEVDLKMQELTQRVLQSCSSTNKVTRLTFLHVAKSCYYVAHCTPQTIKSHISKVIFEDTLVLPFFSFTKLCSYVNGVLVHAHRHSDSTSSAFIEAAKEEVRKIVAGSQRELLRLRALVEHVPGYAPVLGFCAMQLVAEANAAVYGPLEIQ >Et_9A_061734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15943398:15949834:1 gene:Et_9A_061734 transcript:Et_9A_061734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGRARAASLAAAVRVVAATARPASSAVAAAGVGAMALIVQGEDTPFGSIEWWVYAGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTDAEKEQAAAILPVVQKQHQLLVTLLLCNAAAMEALPLFLDRMFHPVVAVILSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRVLMVLCYPIAYPIGKILDCALGHNESALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVDSKLDWEAIGKILARGHSRVPVYSGNPRNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVRAKPKTAPTPEKTEPDRDAAGAQQLTAPLLSNAEERTDSVAVDIERPHSRQVNGNTTPRSEDIDDGEVIGIITLEDVFEELLQEEIVDETDEYVDGSQNRQGQQQPTGILKKPTEGDSQPSKQVNLVEPLLENKR >Et_6A_046076.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21902605:21902984:-1 gene:Et_6A_046076 transcript:Et_6A_046076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRIHIVFRPHIQYSDSSPSRTLIVSGRGMPLKYTTAI >Et_9A_063021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8388801:8389066:1 gene:Et_9A_063021 transcript:Et_9A_063021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVASDAEQSSRDNDIRESTGHKNISPSNQMRGISSELCSAQSQIERQGPWEATPPRVHRLGKPAGRWVGDDSELDVLLNWRL >Et_7B_055673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20791468:20794123:1 gene:Et_7B_055673 transcript:Et_7B_055673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKLYSHVGTQGLTLKRAHILERITRLFKMANSENLFKMENADADTAASLKEWDDARCPICMDHPHNAVLLMCSSHDKGCRSYICDTSYRHSNCLDRFKKMKVDHGTSSLQPSSSTPRGTRNQNVIEGSQFGLTRDSRRLPTDMSVTDEYFSRQDDSHRSAARAGEQDASNYNEDPVTLEAQEGEGNGLVESNDMLSSNQLLCPLCRGVVGGWKIIKEARHYLDSKSRSCSREACTFSGNYRELRRHARRVHPTTRPADVDPSRRRAWHRLEHQREYGDILSAIRSAMPGAVVFGDYAVEGGEMLPYDHEGSGSSEPSGSLLTTFFLFHMLSSNPVRSGDEPRGTSRGLRRQRRRYLWGENLLGLQYDDDENEEDEEDEEVQRPRSRRRFIRSRSEERA >Et_2A_017534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35243403:35246766:1 gene:Et_2A_017534 transcript:Et_2A_017534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKAPADAEADERRRLRSLAFSNGLLQRGDPAAPRKPLAPSSAVTRLQGRDIVRRGGQRKSRFLFSFPGLLAPVASGGRVGDLADLGTKNPVLYLEFPKGRIKLFGTHVYPKNKYLTLQMTRSAKGIVCEDVFESMIVFSQAWWVGTKEENPQELKLDFPKELQNDGTAAAAADCDFRGGAGAAIDESTSDRPAKENAEPLSPKSQSDASEDSDTKDENGTQTTSSVRQSARTAGRTMKYTELSSGGDSSDNDNEIQVPEEVDDKVTGPAAKNENQSEDIKPADSSARPIPSKKEPLVQATLSNMFKKAEEKKRSTRSPKGSPATKGPAAKKQRASPKEKQPAGKKATGTRKKHKPKVEEDEIEELSGSSQDEAVDDDSDEDWAE >Et_2B_020281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18645499:18646280:1 gene:Et_2B_020281 transcript:Et_2B_020281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTGSASYLYLTTFCLPSLTSSIPTMPREPASSPDDGGSSPPWSLGSGSIVLSSYPPASPTDLFQLAPMLVNNLNGSMTLLQVYAAIIWIFAETAMFEVALLTYIEAKKIGVTVRSCNFLPKCLVQGIKSCLQGSSGPSPNVYSYSVLMSMYTHGERLCLEETRKLLREMEKNGVRPNSATYGILPLWAWQYLETNYQRCYPCNYCFNTVIHGFCSEFSEMKNGGFVPDAHSYIILVDVICKQENLSKIAAY >Et_2A_017215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32041028:32047568:1 gene:Et_2A_017215 transcript:Et_2A_017215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQDSLYSAQLARTLSARKPPLSRGTALLLPPKRPQVLPPNRLPRRNIPAPPPFPLLLRPRAPSISAAAAMDVNEEAMAAHKRAFLDFLDQDVGKGVYMQAVRDMVQNKRRRLIIGMDDLRNHNLDLARRVIRSPGEYMQPASDAVSEVARNLDPKFLTEGERVLVGFSGPFGFHRVTPRDLMSSFIGTMVCVEGIVTKCSLVRPKVVKSVHYCPATGATLSREYRDITSFVGLPTGSVYPTRDDSGNLLVTEYGMSEYKDHQTLSMQEVPENAAPGQLPRTVDVIVEDDLVDCCKPGDRVSIVGLYKALPGKSKGSVSGVFRTVLIANNVSLLNKEANAPVYTREDLKKMKEISKRNDTFDLLGNSLAPSIYGHLWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAVMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVIAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPEIDRQISEHVSRMHRYCTDDGGSRSLDKTGYTEEDDGDANAAIFVKYDRMLHGQDRRRGKKAKQDRLTVKFLKKYIHYAKNLIQPRLNDEASDHIATSYAELRDGSANAKSGGGTLPITARTLETIIRLSTAHAKMKLRHEVLKSDVEAALQVLNFAIYHKELTEMEEREQREMDMKQQADHDVGTNGGTVDGHGSSGNDPMDVDVGNASNDQDLPTERIEAFEAILGQHVLANHIDQISIDEVEQTVNRESSAPYTRRQVEIILERMQDANRIMIRDGIPFSAAATVFLQSL >Et_1B_014118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31338187:31340376:-1 gene:Et_1B_014118 transcript:Et_1B_014118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRNRAPLVDSSACLCRLDKSSAAGAAARRFPLPKGCVQPSLRASIHPLKPKASPRQGGGERSSRAAQCPLLPGLPDDLAIACLIRVPRADHWRLRLVCRRWQSLLAGNYFYGLRRRLGLAEPWLYAVKRDSRDGRVSWEVLHPSAAARGGAAWRALPPVPREYAGADGFGCVALGGCHLYLLGGRDPRRSSGAMRRVVFYSARSNRWHRAPDMLRRRHCFGAAVIGNRLYVAGGESGGAGGGGIKSAEVFDPAKNRWSVVADMAAAMVPFVGAVHGGRWYLKGIGAGQQVLSQVYSPEDDAWSVVLDGMVTGWRSPSACLDGRLYAADCKDGCRIKAYDEAAGAWNACVDSKQHWGSSQAVEAAAIVALHGRLCVVRNDMSVSSVNVAAGTSNQQWETLVGKSHAKNFVTGILSNIAGHGRAKNTILHCQVLEA >Et_10B_004010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9259241:9260983:1 gene:Et_10B_004010 transcript:Et_10B_004010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVANDVVMLDHFQLKKLALLLRNNEELLMNKVMKSENERAVYLKSVNDVYDKTISLIDDGTAMVDKHVAMDGASGERTSIARDIRSYVEHFLNFSMQSLRNYSLRVSCVDKIRVHYDSLVRDLSSLHPENVANVRKMAKETALFKECMWEYCDKHRSGGARALSKAYSMVLKQEGIKFPDLVKRHKNRLGFEGEFEQLSDAQKLEVYNSIIEESGRAKIPKLEIASTVVGIGVLVVTAGLIVWDIFTAEHKIEAVLSNSLFVLKEVGAFAVQVAVQAAVTEAFTEAGLGVFVVSLGGFVAGLAAGFLFSVAAGFLIDLIMGSGGTKAPDLSGLTFHTANMPDGMALANAMTHE >Et_9A_061657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1546590:1553547:1 gene:Et_9A_061657 transcript:Et_9A_061657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADADATAAVLSYAVAAIADEAAGAGEVAAALAALCDVLAVSGPDLILALPAAVLAKRLPALVASSSAAGGEGDVPLLAARAITEACEGASQWGPRFAKGGAVEALRDRLLALDDMELAEECLRALDTISLECPDDCLRLGVAAAKVALRIVSNILNEYDKDYTSTAMEAVPSLCNLLQSSDKMVLGSTISCLAMLAAGASGNVKHMGKLCESNAVEATMSLMNKGGWKSLSDETSTDILGLLTNVSSVSAKAVKSLFELDVCELLKEMISYYSCSHDGNDKVKMLVDLMYQLMPPLKTSDQHSELVIAKKNVIMQQRTYINQLASVATLIVQVAKSAALSSICYNCAVVIGNIVELSTSDFLMELQKIVNLSSFLTCLLARKNRHVVYQTLKISRTLLKKHKQFFFETFTKEGVKHAIYSIVSQELNSDQSKRKNEVQESCLCFYLDSESSSTDEACRIEDNAIMKLAEEIKTSFFAVKGSKKSPNRIGLALKIVRDFFTRLNVHSMTPPIENPDCCKQLSDLSRRLLSDELPVTSTFEFVESGSIKYLADYLSNGACFNANLKNGQELVGHLNEVRSRLQKFTYLALTRSNESSEKPLAILLEKLLDALHIHTGRKELEKYRNVLSVDLFSTPDDIESVLLPHICKRDDQEPSSKVSTYSCLLFQYFFAITSINPSDRNKSLTLTFSYRGTILQPSATFFESILRLTNKVQSDVSIDPSFWDEEHKITYRRRNKSDDISNRSSYNTLLSHMHENLQQSWLKDPFFSTTLIGKLPGDLDESDPSYNILFMLKVLEGLNRLSNQLLVDDQICKFAEGTLLDMSDLKVPIYPIPRHQFMSNLLTKKLELQMQDSLFEDGLIPSWCVYLVENCPFLLSFDTRWKYLCLTVHRSFLTNQANSSPEQVNSSSDQVNSSGDQVKNPPQAKRYRVTRSTILEDAASLMISHCPSSRIVEVEFDGEVGTGRGPTFEFYTTVSHELQRAGLGMWRGDNGEAGFIHAPFGLFPKPWSSSCTSSQGIDFSEVIQKFKLLGHLVARAVLDGRILDIPLSKALYKIMLEQELNIYDIPLIDPELGKTVIEFQALVNRKKFLETSSIQTSSPAADLSFNNVALDDLCIDFTLPGNPEYELVPRGSDKMLTLDNLGEYVSLVVDATVKSGITRQIEAFKSGINEVFSLKTLKMFTEEEMERILCGEQDAWASNNLEVHIEFEHGYDTSSPSIVSFLEILREFGREEQRAFIQFTTGAPQLPLGGLASLDPKLTVVRKDIMRKKLKYALSEGLGSFHLS >Et_9B_063753.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:11618492:11618686:1 gene:Et_9B_063753 transcript:Et_9B_063753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METKNGIIFQNSCHNTTMEKELCGQVRLQLHRYSESRRDNILQWLNAIPTGNSTNTPYTNNTLT >Et_9A_061778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16372774:16378574:1 gene:Et_9A_061778 transcript:Et_9A_061778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AHESNSEEREERGGGKKGKGRSQGVVGFGSGRSRRGTKESRVATRGGGGRWPRAESADHGDGGGYGDRPERGALGWYWAWPAPEAAIADGRRRGPGPEVVGAAAAGSSERALLVSVRRGEGERREERGGSMRRGKGGGRNGLLPSSLRIISSCLKTVSSNAGSVASTMRSAGASVAASIAPQAEDEKDQVLWAGFDKLELKPSSFKHVLLVGYSNGFQVLDVEDAANVCELVSKRDGPVTFLQMQPTPVSSEDNEGTEGFRASHPMLLVVAGDETNGMGAVQGGRLSALIRDTNNEPQTGNCISTPTVVRFYSMRSHTYVHVLRFRSAVYLVRCSPRIVAVALAAQIYCFDAVTLENKLSVLTYPLQGAPGVNIGYGPMAVGPRWLAYATNTPLLSNTGRLSPQNLTPSPGVSPSTSPSSGSLVARYAMESSKQLAAGIINLGDMGYKTLSKYCQDFMPDGSNSPLSSSPGRRSGKIPSSVHPLEADNAGMVVIKDFTSKVVISQFRAHTSPISALCFDPSGTLLVTASVHGHNINVFRIIPTCIANGSAAKRYDWSASHVHLYKLYRGMTAAVIQDISFSHFSQWISIVSSRGTSHIFTLSPFGGDASLQPQNSHSDGPPLAPCQSRPWWSKPSFLMDQQLHPVPSAVTNSVVCRIKNSTSGWLNTVSNVAATASGKLSVPSGAITAVFHNSIYKGSLPVPSKANALEHLLVYSPAGHVIQHELLPSSGSESSGSSPSVGSGPNSQLQDDELHVTAEPIQWWDVCRRTNWPERDENIANIAFYSQRNSMMVMDTSDCEDSEQSDSTPSNDGMSGKEIMRVRERSSWYLSNAEVQINSWRIPIWQKSKICFYVMDHPAEESGETIGANGGEIEIEKLPLHEVEIRRRELLPVFKQFHYSERSSSDRILANGGFQSNSSNIDDAQFSSVKGNGEYESKAVHPISGFYTNMRKTSEMNGVVRQSLSGPGSTVNLQQVATNGSPKAADLTSCYKVENESNVLSTANGVLCNHEITNDSLPCDGASTDIPNGYLTGIDSGDQRPSDSHNSVEFTQFFQEGYCKISELDDCRELTEAVTDADSSSSHCEREKPEEDGDNDDMLGGVFAFSEEGKARDQSSCVRSSTLESEARIPPGSLLIHGSKLMGVRCCREVAIACRNTAPFCSFVHIL >Et_6B_048498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:159183:162589:-1 gene:Et_6B_048498 transcript:Et_6B_048498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTMAVVRACAPPAAAATSSADATKRKSSSARVLVLGGAGRVGGSTATALSKLRPDLSILIGDRNRRGFSSSYCWAFPKGAKVCRLGSSDIYEAYIDVCDDTDYSWRAKGFHEQAKAAGVPAITSAGIYPGVSNVMAAELVHAARSENDCEPERLRFFYYTAGSGGAGPTILTTSFLLLGEDVIAYNKGEEIKLKPYSGVLNIDFGKGVRKKNVYLLNLPEVKSAFKILGVPTVSARFGTAPFFWNWGMQAFANFLPVEFLRDKNKVLKLVQFVDPLVRAVDGIAGERVSMRVDLECSNGRSTVGLFTHRKLSVSVGYATAAFVLAVLEGNTRPGVWFPEEPEGISIEARKLLLERASQGTTNFVMNKPSWMVETDPKEVGLGIYV >Et_7B_054616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2897844:2902999:1 gene:Et_7B_054616 transcript:Et_7B_054616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSMPTRTLTLGGFQGLRQTNFLDTRSVIKRDFGTIVASQIARPRGRGSRAVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVIRMVGESTEAVGAGVGGGTSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNEDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDESIQILRGLRERYELHHKLRYTDDALIAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKELRQITKQKNEAVRGQDFEKAGELRDREMELKAQITAIIDKSKEMIKAETESGEVGPLVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALASYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKEIDLQVTERFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLNGGSGVAEPLEPALSI >Et_10A_001399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2713684:2719124:1 gene:Et_10A_001399 transcript:Et_10A_001399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLQLHLRRQGRSPGPAPLPLLAARLLSSSSAYPAAGAPAGTPGDAGIEKVLVANRGEIACRVMRTARRLGVATVAVYSDADRGALHVRAADEAVRLGPPPARESYLNGAAIIEAARRTGAQAIHPGYGFLSESADFAQLCEAEGLKFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGAEQDIELLKAEADKIGYPVLIKPTHGGGGKGMRIVQRPDEFVDAVLSAQREAAASFGVNTLLIEKYITQPRHIEVQVFGDKHGNVIYLYERDCSLQRRHQKIIEEAPAPNVTPEFRSHIGEAAVSAAKAVGYYNAGTVEFIVDTLSGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGERLPISQEQVPLNGHAFEARIYAENVPRGFLPATGTLHHYRPVPSSPTVRVETGVEEGDAVSMHYDPMIAKLVVWGESRSPALVKLKNCLSNFQKLSVWYNNPPFRMHHFARRPMELELGTELDGFSEELLKLFVTCKSDGSYFIETEDGTSGLDVKVDHKGDHDFRVNVGGLQTDVTLAFYSKDNSKHIHIWHGKHHHHYRQTMRAEPVVDDSSQPSHSSEGKSHPKGSVLAPMAGLIVKVLLEDGAQVEAGQPVMVMEAMKMEHVVKAPRAGYIEGLKVTAGQQVFDSSVLFTIKGSLQFAFLFVTTKFSAKFCRHQMLTNRIY >Et_2A_016758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27975198:27978933:1 gene:Et_2A_016758 transcript:Et_2A_016758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKMPVSWDLAELEHDAVPAISAATAPVAAAASGIAAAAAAAAAARGTPTRAECSVDLKLGGLGEFGAAEVAKEPPPAKGPTASSSAAAVVPSASPLKRPRSGPGGLTCPSCAVDGCKADLSKCRDYHRRHKVCEAHSKTPLVVVAGREMRFCQQCSRFHLLAEFDEAKRSCRKRLDGHNRRRRKPQPDNMNSGSFMTSQQGTRFSSFVAPRPEPSWSGIIKSEDNSSYYTHQVLSNRPHFTGSTSTYSKDGRRFPFLQDGDQVSFSTGAAAAATTLDSCQPLLKTVAAPPPPPESSSSNKIFSPDGLTPVLDSDCALSLLSSPTNSSSVDVSRMVQPTEHIPMAQPLVPNLQQFGSSPSWFACSQASSGVVSTARFTCPSMESEQLNTVLVPSSDGHEMNYHGMFHVGEGSSDGTSPSLPFSWQ >Et_1A_008100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4355982:4359884:-1 gene:Et_1A_008100 transcript:Et_1A_008100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGREGGHQAAVEGGAGAPAPGADGMTELQKHVAFFDRDHDSIITFDETYQGLKDIGVESIQAKASAALINAGLGPMTKPDNANSSSMDIYIVNIHKGIHGSDTGAYDAQGRFVPEKLDEMFSTHGKTVPGAMTKDEIDEMLKANRDDMDFKGWLAASSEWNLLYKLAKDKDGYLRKDTARSVYDGSLFYQLAEQGKKVGRAAAYGGGGGAESAGMTDLQKHAAFFDHDGDGVISISETYDGLRALGLGIGLSGAGAAFINGLLASTTRPENATSSRFDIYIENIHKGIHGSDTGSYDAEGRFLPEKFDGIFAKHAKTVPNALTSDEIDELLQADREPGDYKGWAGAASEWKLLYSLGKDKDGLLRKDVARSVYDGSLFHQLVHEKGYGDK >Et_8B_059039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12823389:12825011:1 gene:Et_8B_059039 transcript:Et_8B_059039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRCRRRLHETPTQRQKDSFVLQLLERMPRGSENCPLKASSAARSVPLPLMFEATLGLGEDKVAPVTRADRKMDNNTSAMEQLAAIGVNLFLVSETGSHHTTAGVHCRCSLSLQDAMLELREEQITTCLQQAGNGT >Et_7A_051934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:349743:352687:1 gene:Et_7A_051934 transcript:Et_7A_051934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQATPLKTLRFSRRRAAGVPRPRRVASFRCSASARSYNITLLPGDGIGPEVVAVAKDVLSLAGVELRFQEKLMGGSALDATGVPLPEDTLAAAKDSDAVLLGAIGGYKWDNNEKHLKPETGLLQLRAGLGVFANLRPAAVLPQLVDASTLKREVAEGVDIMVVRELTGGIYFGKPRGFGTNDKGEDTGFNTEIYSAPEIDRIARVAFEVARKRGGKLCSVDKANVLEASMLWRKRVTALASEFPDIELSHMYVDNAAMQLIRNPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASVGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLRYGLGEENAAKRIEAAVIETLNHGFRTGDIYSPGTTLVGCKRMGDEVLKTVESQKAVAAVN >Et_9B_065089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21068580:21069242:-1 gene:Et_9B_065089 transcript:Et_9B_065089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVVKFVLLVLAAVLLSHSNGYPRGGTAAMGLPPPPPTVNFSIGVQGVVWCKSCKYRGYFPPMDASPLPGAVVYLRCRHGRRAATFRGVSGAGGYFLIQTSQQVAAFTSQECRVYVPRSPVRACSVPFYPTGNKGLQLKFQEFVKRGNGLQGLYSVGNRLFRPKYPNQC >Et_3B_028709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18333534:18337073:1 gene:Et_3B_028709 transcript:Et_3B_028709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGSSQQRLLQRKGKAVAEKGVPAASAEMVVVAVKAATREISKTAIVWALTHVVQPGGSILLLVVLPAHSSGRKFWGFPLFAGDCGSGHKSMVDQKSDIPELCSQMMEKLRDAYDVNKINLKVKIVATSPSGVVAAESKRAQASWVVLDKELKHEEKRCLDELQCNIVVMKRSQPKVLRLNLVGSPDKESKATCSISPMLDNSAGKVATDIKEPRSSIRGPAVTPNSSPDSETPFGSTEVGTSSVSSSDPGTSPFSASDTNGSLKKEVQAAKDKIEHNDVNISDSDSDTLSPPATFSLQPWVADIIQGSASSRSLGKGPRKTRTPTADALLEKISKLDLLNEINSMRSRSDLNFRGNVRDAVSLVRNAPLGPPPLCSICQHKAPVFGKPPRWFTYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEEKRRLLVYEYICNGSLDSHLYGRNRETLEWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVQTRVIGTFGYLAPEYAQSGHITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPFLEECAIDELIDPRLGDRYCENEVSCMLHAANLCIRRDPHSRPRMSHVLRILEGDMVVESGSVTAPSSDSGSRSWRMLNEQQQYQDYSSPARHDSQRSIEGKRSHNALRASWDRDKQSISNRF >Et_7A_050507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20519805:20520239:1 gene:Et_7A_050507 transcript:Et_7A_050507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRTSLAAIFCAALLAGTAATTAPAPSPAAAAAAWSSMRLGAGGEGPQQCWDALVEMKSCTGEIILFLLNGEAYLGPGCCRAIRVVEHRCWAADAVMSVVGFTPEEGDMLKGYCDAGDHGASPPPLPPSNDV >Et_1A_006271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19931646:19936263:-1 gene:Et_1A_006271 transcript:Et_1A_006271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGRELDGDELGGPPPTPLAAPVVCLLRSAGDLAAGAFVGSLVGYGQGLITNKGLKASLSNAGSTAKTFAALAGVQSFIMCLMKRLRGKDDIINAGVAGCSTGLALSFPGAPQAMFHSCVTFAAFSCIMDGLNKQQAAMALTLDGIPPTKKHHDGGVLLPFTLPPLIEAQDALFSFCQTLLKPKH >Et_6A_047063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24701310:24705215:-1 gene:Et_6A_047063 transcript:Et_6A_047063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAEVRTNVQMQTIFNLRIRSAHGYAIFASSHQPARPEEQSCKANGHVGGGVVCSRAALTPVTCHPALVEGKTSIRGVVGELLASAGKGRSLISLGVGDASSHACFRQGGEFAAEAVADAARSGAFDCYAPSYGFPAARRAVADHLSTGARHRTCDSDVFLTAGGTGAITAITIVLGGAPGANILLPRPGFAPYEAACEIAGAEPRFYDLLPRRGWEANLASVRSLADSATAAIVVINPNNPCGAVYSAQHLFQIAETARDLGIPVIADEVYAHMVFGGSKFVPMASVAHIAPVISIGALSKRFMVPGWRLGWLAFCDPNGELKHAAVPKILSDEHSVFHRNVVNLLESAADALYRRVNQIEVLQSYSKPHGSMFMMVEINTSLLYDVADDIDFARQLIKEECVLVLPGSVIGLKNWVRIFFGVPVSLMQEACDRIELFCQRRTVQAKSIKHLSKKF >Et_3B_029144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22393742:22400286:-1 gene:Et_3B_029144 transcript:Et_3B_029144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPAPANLLYAALLLPAVLYLAVNRRRSRHLPPGPTGLPLVGSLPFVDLNLHTYFAGLAEKHGPVLSIRLGSKVEVVVSSPELAREVFRDKDPVFSNHVTPDAGRAIFFDGEENILGSPVGPKWRLLRRVVVHEMLSPAGLDSVHDLRKREFGSTLRYLHSKSGEPVDVGEQMFLTTMNVLTSTMWGGTIGSESERAAVGREFRILVAEITELLGSPNLSDFFPALAPFDLQGIRRKMETLRVRFDELFDRIIQQRATAPANLLYAALLLLAVLYLAVNRRRSRHLPPGPTGLPLVGSLPFIDLNLHTYFAGLAEKYGPVLSIRLGSKVEVVVSSPELAREVLRDKDSVFANRVMPEAGRAVCFDGEDNMSGSQVGPKWRLLRRVVVHEMMSPAGLDSVHDLRKREFGSTLRYLHSKSGEPVDVGEQMFLNTMNVLTSTMWGSTIGSENERAAVGREFRILVGEITGLLGSPNLSDFFPALAPFDLQGIRRKMEGLRVRFDDMFARIIKQRGQDGGRTAVDLLEIMLKMERQGGDGKTPFNMGDVKNLLMEMVVAGTESTSITVEWAMAEMMQNSEVLKKAQHELDTVVGRDIVVQESHLSQLHYLRTVIKETLRLHPPVPLLAPHSPSENSTIGGYHVPQGTRVFVNLWAIQRNPLVWNKPLVFDPDRFARDDARKWDFLGNQFDFFPFGSGRRICAGVAMADKMTTYSLAMLLQAFDWTLPQGVQLDLSEKFGLVMKKATPLVAIPAPRLSNPELYNALSEGITRSG >Et_5B_043628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13120096:13126321:1 gene:Et_5B_043628 transcript:Et_5B_043628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTECSETSSELSNSEITRPEGSKKEFSIFDKKISIKKKLKLFSRMATLRDDGTVVVNIPSNLEAASLDLPPEDHHNVALGEPLDSSGPLHRQPMQIVMLIVGTRGDDYGHRARLATHANFKEFVMTAGLDFYPLGGDPKILAGYMVKNKGFLPSTPSEIPVQRKQIREIIFSLLPACKDPDIDTGDSFSADAIIANPAAYGHVHVAEALNVPIHIIFTMPWTPTCEFPHPFSRVKQPAGYRLSYQIVDSFVWLGIRDMVNDLRKRKLKLRPVTYLSGTHAYSNDIPHSYIWSPYLVPKPKDWGPKIDVVGFCFLDLASNYEPPEPLLRWLGSGDKPIYIGFGSLPIPEPDKLTRIIVEALEITGQRGIINKGWGGLGNLENSKESVYVLDNVPHDWLFLQCKAVIHHGGAGTTAAGLKAACPTTIIPFFGDQFFWGNMVHARGLGAPPVPVEQLQLHLLVDAIKFMIDPKVKERAVELAKAIELEDGVDGAVKSFLRHLPQQRNPEAAPIVPPSTFMQPLLLPVKRCFGIAS >Et_10A_000919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19077077:19078990:-1 gene:Et_10A_000919 transcript:Et_10A_000919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYYLNFLIRFGLMDDYIAALHDASVDISGDSLGYSAQSVAGGSESSMTGPSSVHRKMSTTKVKQPNFSAVEDGVLCKSWLEISCDPAVNTGQRKKAFWTRVCQRYNSYCSNSKYGKYPERTQKSIESRWDHIKAEISKFSGYMADVVRTNQSGMTNADYSEAAAANFAAIENHSFTLLHCWKILKNEPKWMDLKRKMDNPQSSASRDNDLSSEQHNFMDLDPDDASPARKRPMGRDAAKEAKKKAASDSSAYASKMHELSVEKIELFKGTEVERKARLEKMLALEKVKAHETREHRQMMLEIEKKRLAIEEKRLQMEAEKKEREAQKKEKEEDERILAIDLDKCQPRERIYYEAQQQHIILKWQSRRGPSQ >Et_2B_022652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29324180:29325744:1 gene:Et_2B_022652 transcript:Et_2B_022652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATALRTGAAILRALSFASAAPLHAHALKLGVLPSCLHLCSALLKSYAASGRRAAARQLLDEIPHRDVPLWNTLVSAYARSEQPCRALEAASTMARTGFRPNGVSVTTLLSACAQMRSPVHGRELHGYAVRNIHVLDLPVLNALVNMYGKCLRLADARRVFAGMGIMRSAVSWTSMINACCENGRPAEALEVFEEMSLAGAKVDEVTLLAVISVCTKLDCTSSLGDWLEECASENGFLENTRVANALIHMYGKMGEVKRSCVMFDLMSVRTVVSWTAVIQALAMNGHGVAALVRFTQMLRQGFQPDEVIFLSVINACGHSRLVKEGRQLFKSMVEEHHITPWMEHYGSMVDLLCKSGALNEAFEFVLAMPVKPDPVIWRVLAGACRDHGNANLARKVIDHVIEMDPDHEGNYVLASNLYAANEDWRRVVDVRLDMGVWKGTSRICED >Et_4B_037697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22672456:22673525:-1 gene:Et_4B_037697 transcript:Et_4B_037697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWLDAQLANSVVHPSIGLPRAHPRSRAGYDGMGSSGWNPGAQCCGCILDALWVELDHRGTCVWTSFGNAANLRRDQGLNARLKEGKKVGMQVPGNEKDGAFDHDGILSAVRAPVILEVETRQAFVTNAKKLQKIVGGNKLHERCIHLCIQQLISYKVITK >Et_5A_041882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3802894:3805045:-1 gene:Et_5A_041882 transcript:Et_5A_041882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDEQKLRAFYDKRISQEVSGDALGEEFNGYVFKIMGGCDKQGFPMKQGVLTSGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSHDLSVINLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKKKSEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSSAAKAAPTA >Et_5A_042068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5974621:5977712:-1 gene:Et_5A_042068 transcript:Et_5A_042068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKRLSESRDLTRIERIGAHSHIRGLGLDSSLEARDASEGMVGQLHARRGCGLILQLIRQGKIAGRAVLLAGQPGTGKTALAMGIAKSLGAETPFASVAASELFSLDLSKTEALTQAFRRSIGVRIKEEAEIIEGEVVEISIDRPLSAAVGSSGATAPGKTGRLTLKTTDMETVYELGGKMIEALGKEKVQSGDVIALDKASGKVTKLGRSIGRSRDYDAVGAHTKFVKCPEGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDRKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVIATNRGITSIRGTNYRSPHGIPPDFLDRLLIITTQPYTEDDIRKILDIRCDEEEVEMSADAKALLTKVGVETSLRYAINLITSAALACQKRKGKVVEMDDVSRVYRLFLDVKRSTQYLVEYQSQYMFSEGLGEADGEDAMQL >Et_6B_048832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14239070:14240377:-1 gene:Et_6B_048832 transcript:Et_6B_048832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSKRTRVLNVWFCDKDASSQVDEERGYKNIKVSISEEVVLNVPTNIKLHLEPASPSKTTTTPWRPTAAPWWTSSTSERTPATTAATPSSTTATTSWCSLRLSPAQNKQ >Et_6A_047622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8646075:8649377:1 gene:Et_6A_047622 transcript:Et_6A_047622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSSHLRQALRPRRPRGAPFPVDAGLRPFSSARASRAPAPRDAAEATPPPPMLPTRPQKTLLRKLTCGSTSPQKALLPLSFRMDQWIRIQGFFLGRWLDRADTRTTAVQQFCCLDGTCVEVPFVEYDRTRLFAVHDGFKVIKLPYQKGKNERKFSMYIFLPDAHDGLFELTKKIFSESTFLEQHLPTEKCHVDIRVPKFTISFQTGMKDFLKEMGLELPFLRDSDFLDMVKEDESSGPLFLSDVLHKAVLEVNDNGIEETSVAMGLGKPSPAEHFVADHPFFFVIKEEVSDTVIFMGHVRLPSMMAQRKYVATLGHQSIHVDQKGKVSSSRSTKKKMLSIHERSSVTGMI >Et_4A_032107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18166686:18168224:1 gene:Et_4A_032107 transcript:Et_4A_032107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTRCVWSMRSRRRWRRRPLLGDTDGAVAEKGKKQKGPSSRAAEADDAMRDADEVAAAVEKASPLGDIGDNGTRLCPAPSGVVGGTLAGANGGTDTTAPLHPNRKIAVVVPQERSDVAKNATIQRRRPPRPVPAPAVASAPAIAGGGDAEKAVVKTDVEKIPGSHKAEKAKVSPSPHADGVGNTTVGGTDEDMQVDPVCNVMSVGSKLHSAVACDAFSAAANLVPAIATDSTTGLLVSKDQKLESSVEVVAVAPAQVADNSLPLQCKGQEDYKVSPLPLSLGNGVAGARANAGTAMHADAARNSSAQANWPTFRPKIQNKMFVKNHGGRPMGYKPVLKAQGTAPWLKKQEPGPWKGSPGSIVSAADNGMPLANSSRNNGNGKGKSWVNESSKNVNKFCYKCGSEGHVTHKCRTPEHLVQLYLQDKKKKVGMHQICYRCGTRGHMARKCHTPQHLVDLYQNHRAAMKKPSLRLVCLSPISIDTRNCFLTKSTDIILKHSYEL >Et_6B_048237.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:14774953:14775234:-1 gene:Et_6B_048237 transcript:Et_6B_048237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVWSEIESWGGITALPLPATRFRRFKHWWEQMSGPPSDNQHQLRCQVLIYVVWNIWKERCRRFYDSRAMTVSHVALIKQDIQNWRIAWDEIEL >Et_5A_042239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7929508:7949032:-1 gene:Et_5A_042239 transcript:Et_5A_042239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYHTQLVVLVFDSDSDGWAMGTSASWDALSLSYEDPWQFFSLPYYAYGCFYWKIDDQNKLAKLDIGKMEFSRVNLPPSHEEHYVVVVEAGEDRLGMFSYNRNNGKFLNYYNSMQNEDQRTKEWQMRKVITLPVYDNPWLFITHQGCVLVQADSNVLNTKHTTIYSLDIKTLKLEMVTQMSCRFRGHPYFGFPPTISPRRSPASPRLLPSLTDDLLEIFLRIACPADLTRATAFRRLITDRTFLRRYRSRHPPLLFGFLDIDVSKGFHPVEVPHPNALVRAAGSFSFDYLPRGRGDRWDPHDVCDDRVLLKCFPPLDGTSGVFPDLVVCDPLSRSGTRTSLALLRVWLLKFLELDMNRMNFSIVNLPSDPKHEHDMNTIIVEAGKGSLGMFCRNRHSKSLDYYTITHSESERARTWQMENTIPLPANYDCRIAGEAEGYIFLVGVPQGALTEVQDTKLAVCFSLQIRTGEIKMVSRAMQSTRHVCPYFGEADCISALPDELLHGILVYLRDTTVAVRTSVLSRRWRHVWSGVPELYFLNDTVPAPAHDRVDAALAACSSSTVSRLEIRMPYHSWKQSHVPTGHVSGWLRFASQRLGGELRLSLLFDSTRKRDEVLLPPCERVTALTLNLVRVLRFQLPPAGGTFTALTRLRIENTYVDGGELERFVSTCCPLLKELFLDWIIIKDEDRLVLTIQSGTLRRLVTNTNFDGRLHVDAPELQAFYPRSLCGFYITSPKLSELIWFDGRYDPNLHNFAAAGRRLRRLETLIGLSTLPLMRRFDTIDELHLAVYVDKGAEEYKIFHDNISELPRCDVLFVRSSGIKHAFKPTMLHLVRNCVGTRKLVLQLNWTEKDDFRCKSPGCPCGLPEQTSNDVVLDSLEEVEVRNYGEPYHTVELVKLLCDCSTMFLKRVAITISNCGLSPMPPRSHCIAPTETSPHRRPFGRDPPPDLVPRRPRPRLRRVRRLLPPHHRPHLPPPLLLRFLDLDVADGFHCAKAPHPNAAAAAALVRAAGGFSFDYLPRGRGNHWIPCDVRDGRVLLKCLPPDDASGVVPDLVVCDPLSRRYLMLPAIPEEEEHGIKSFDAFFAPCTDAEEASFRVMGMAFCETKLMVLDFSSISGCWTACASANWDDLISKVGFGVGWPRYVYGCFYFKVRRTAKVLKLDMNRKDLSIVNLPSDPQLRNIVIVEAGKGRLGMFCSNKHGESVDYYTIMHSESERAWTWRMENRIPMPANYKYLIAGEAEGYIFLLGVPRGDFPNAQDTKLAVCFSLLIKTGEIERVCGVRQSTHHVRPYFGFPPFLVRSVRSQLESQALPRQLPTLTDDLLEEIIIRIACPAALTRASAACVAFRRLITDRIFLRRYRSRHPPLLLGFLNLNVDKGFHAVEAPHPNAAAAAALGNVSFDFLPPPSLEDWYINDVRDGRVLFSFMEFVELGAFWMGLAVCDPSSHTRRYLLLPPVPKDEDRLKYFNAAFAPCAHDDDETLFRVIGMMYYDTKLVVLIFDSASGSWTIRTSASWDVLSSIIELEGWYPWMSLIFIGCCAYGCFYWKRDKLNKLLKLDIQRMEFSTVDLPPGHEKHVVLVVEAGEGRLGMFSYNPKNRKFLNCYNSMQNEDQRTNEWQMRNVIPLPFNNFGIYEAPQGHVLIQGDSGGQEWNHTTFYSLEIMTLKFEKVTQMSCHFQGLSHEHTRMLAMESTALLIDDLLEEIFLRIGSPADLARASVACAAFRRLIADPSFLRRYRSRHPPLLLGFLDLDVDKGFHAVEAPHPNAPAARAMADVSFDYLPPPSPKDWYISHVRDGLVLFRFSTTESVDGPVVSMGLAVCDPSSLSRRCLLLPPVPDDQQGQQLQHFEAGFAPCAQEDDDEKLFRVIGTIYYEEKMVVLVFDSASGSWTIGTSASWDTLSLGNNLNECPWTFLMCPCCAYGCFYWKIDEQNKLLKLDIN >Et_4A_031881.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:26256108:26257332:-1 gene:Et_4A_031881 transcript:Et_4A_031881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALDAVRLAQAIDQAVVGNDVRLEPLLPHPPEQPPSLVDPPPRRLPAPRAHSDPAVPGDEDRVSVGVGCAARAGAFHLVEKEPGLPEPPVPAQRGDQGVVALRVGGAAELAHAVERGERVAREALLAEGADDVGERGGGDERGMRAEEAEERRERVGADEREGRGAERGLGERDAPGVGGVVVGPREEGEGEGGGEAAVGGGGGGGGRREWALRAEAARGEREEETWDGGERGGDGVRGEAAEGRGRAERGVEAAALEERDEKLWHEAGRGRRRRRRGRGGHGLGLEIRAGFEREVGEGNSQRPVYCLTRSILLAIELTTEVL >Et_5A_040420.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20911647:20912180:1 gene:Et_5A_040420 transcript:Et_5A_040420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FLTYINTHPPAWNGTTFTLICIAPLSFIVVAGLLTSAVVKIAGDKLSSALGEQANLAWNFNKDLEDMKDTMESVAALLQDAEKQSGKNESVRLWLKRLKHAAVDISDMLDDYQDTDAQATCWGSTERASNPHTSLEPTRRVFITQADDSSCYRMSLSLQDLTLGAKRGPQARRSSGI >Et_6B_048954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1684645:1687634:-1 gene:Et_6B_048954 transcript:Et_6B_048954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRMSGLNRKWNGFSLERPSPVQEAYGSLSSVCDAQGLGDEELELLAKRAGRSSTSDLGQIELRVRQCTPAARRPARRQAAAQVKRDCVFRGGRRKAHGGVAARAAARRTDEQRGRGGTGGKYFGFFSPDQEGAGTGRTTVEEGVSLLGSTSPCRPPLSSFISVVHSAGLAPTCALAHSAPSWYISRSNPSGLIRSFLGTHKLAIAQGAQGEEIELAVETTSVLSWTAASDERRLSSRWATAKSTRCEVRWPDDDEVELATFCWPSSLELPWATAKSTRLGLDLARIRGRPTHTHAGSERRRLAKTRAYSGRRGRLGRRCTCSIYVHGAPCRQSICSDDKWRLAAQQERPSLPPALPWIRLTDLTFRSLPGGELRRLNGRKLPSPYTRADSAIRFRSCSSDQWPMYCNSIGTGLFLINPFTGATMVSFPAGSRLPTTVVRGHSLPPRKDLRHHSNGRPLLPRARWRCGRGARDHNQIQFLVMVNEKYKFYVAKLCEFLEADGI >Et_7B_054879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5169885:5171758:-1 gene:Et_7B_054879 transcript:Et_7B_054879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPARAASSAVRLFDAHCHLQDPRVAAVAPGLIRAAAASGVARFAVNGTSEKDWHLVKQMAEDHPPVVPCFGLHPWWVPERSPDWMDSLRRFFSETPEAAVGEIGLDKGSHGKTIDFGEQVEVFWRQLELAKELDRPVSVHCVRAFADLLEILKEIGPFPAGVLLHSYLGSAEMVSGFANLGCYFSLSGFLTAMKTTKAKKMLKSIPLDRLLFETDAPDALPKLDNFPVSPIHVHTSDADTENQPKDSDPQAATTSNESLNHPANIRIVLKYVASLLEMSEAELAEVSYKNAIKLFSYPGSKVHPEAEDT >Et_4A_034898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7745819:7749882:1 gene:Et_4A_034898 transcript:Et_4A_034898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQADNNDVAAPLLQGEDAAEAEWNSRPRRIALFIEPSPFAYISGYKNRFQNFIKHLREMGDEVLVVTTHKGAPEEFHGAKVIGSWSFPCPLYQNVPLSLALSPRIFSEVTKFKPDIIHATSPGIMIFGALAIAKMISVPIVMSYHTHLPAYIPRYNLNWLLEPTWSLIRCLHRAADLTLVPSVAIAEDFETAKVVSANRVRLWNKGVDSESFHPKFRSHEMRIKLSGGEPEKPLIIHVGRFGREKNLDFLKRVMERLPGARIAFVGDGPYRAELEKMFTGMPAVFTGMLQGDELAQAYASGDVFAMPSESETLGQVVLESMASGVPEAIGKAAREEMEKCDWRAASKKIRNEHYSTAMWYWRKKMGRTN >Et_4A_032463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10338491:10342047:-1 gene:Et_4A_032463 transcript:Et_4A_032463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRTDTSTVLDTDDKNQRLENGQSGAAMASNSSDRSDRSDRPMDQKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLASLEQELQKARQQGIFISSSGDQTHAMSGNGAMTFDLEYARWQEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFRQKGVAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTDQQMLGLSNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQAARALLAIHDYFSRLRALSSLWLARPRE >Et_9A_062622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24525019:24536419:-1 gene:Et_9A_062622 transcript:Et_9A_062622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGKGKQVATDTPGASGSSGKRRKDSGDAGPSSSSAKRRRRSGVLQFVDDAAGVDDDYEEEEEEEEGDESEEDLDDDDDDQMFPMDGALKEPTIWRVKCMVGRERQMAFCFMQKFVDLQRMGTKVPIITAFALDHVRGFVFVEAEKACDVTEACKGFCSVYSSRITSVPAAEVPSLLSSRTKPFEIARGTWIRVKNGNYKGDLAQVVSADDRRKRVMIKLIPRVDLHAISKKFGGAISLKEAGIPAPRLISSQELEFFRPHIEMKRDRQTGEVFEVLDGLMFKDGFLYKRVALSSLIYWGIQPTETELLKFSSSPSNKNSVDDLDWVSSIYGSKKRNLPVDGDMKASSSKMKSSKATNLKASTSTEIVDDNEQFDLHDLVLFGRKDFGVVIAVEKDGFKILKGGPEGCSVTVKKQEIKKSCIDKMFTAVDHHKKIISINDTVRVLEGPSQGKEGVVKHLYLGILFIYNESESMNCGLFCAQCVSCENIKKQKEMGSSTTGNLDNPIPMFSEPSYEHTEQRASERPYRSTREQLFSIGQMLRIRKGPLKGYLCRVVRIFRNDVTVKLDSLLKIVTVQAELLSVPSNRGDISSGAPTGNLGSQDTTLFGSEADKTSWDNGLPSFGSDSWHPFSSSTLQVQNTDGASEGDPWGKKTVSTAEDDSDPWSKKTVPSADADSDPWGKKMGSTADDDSDPWGKKTTSSAAEVWNSTATQKESSIDNAWGKQAGFGGSDAAGSSWDRPTVNKESEKSDNWGDVCRVEDEGTGANTDPWGSKVKVADTKENDSWGKASIPSDSKTEDANQGWGQPLGKSNDNQGKDKVSKDVDNSGSWNTRVAEDGAWDKAEEVRGEDQNSSWRRPGSFGGGRGRGRESIDFDGRNDQGSWKSSWGGDNAGRPWRSDNQVDNEAGDSGGYRGRGRGRGQYGGRGRGRDNGSRNGDGNNSGFGREIDNSDEPKWGNSGPFDSDKGASNKGSWGGGGDWNSNPSSSQPWSSSGGSKSFGENQPSTWNGSEDNKPSAEQETDPWASKMSSTVDADDNNASWNTKAKDTPSGDEEKADAWGSKGGNENSKKTDGWGAGSSGSSWDKPSFSLGDQEPAWSKEMFVDGNNGNGRGGFGRGNRGGGRGRSFRDGGSSWNGGNNSDESGGGRSNDQWNRSDFDGSRGRGRGRFGRGGRNEGNDFGSGDGGSWGSGRGNGGRGGHNQVNDFGSGDGGSWGSGRGNGGQGGYRNWSGNNERRPFGQGGGWSQSSDWNSNKGASEGDQGFSKSKPSWGNDNNDSWGARNPFGGDDQAGKNDVKSNEDGWNSSSGTGAAEKSWGGSVAAPKQREGSGSQGGGGSSWDKADDAWNNKGSDAGSGGCFEFTLIK >Et_9B_065023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20602988:20605817:1 gene:Et_9B_065023 transcript:Et_9B_065023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGNGSAAAGGDTKAVFAQIYYKLKEELLADPAFEFTPESHQWIDRMVDYTVLGGKCNRGLSVVDSYKLLKGADVLPEDEMFLACTLGWCIEWLQAFFLVLDDIMDDSHTRRGQPCWFRVGMIACNDGIILRNHISRILRRHFKGKPYYADLLDLFNEVEFKTASGQLLDLITTHEGEKDLTKYNITVHRRIVQYKTAYYSFYLPVACALLLSGEDLNNYSAVENILVEMGIYFQVQDDYLDCYGAPEFIGKIGTDIEDYKCSWLVVQALKRSDESQKKILFENYGKKDPACVMKVKNLYKELDLEAVYLEYESESYKKLIAEIEAQPSVPVQNVLKSFLHKIYKRRK >Et_2B_019067.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29149722:29150294:1 gene:Et_2B_019067 transcript:Et_2B_019067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPALSWSSVGSLLATAVVVRTAVRDILPPEAHGLLRSLLGHVASAFVQPPDTIVIHETDANGVPNELYDAAQLYLGERCLAAAHAVHLHKAHGAPSAVASLPESHAACDVFRGVRVTWTSQYDGSAGAFSSGGRGRGYGGPPVSFGWQQGAWSSSSGAATAPPCATHTS >Et_7B_055181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8041380:8043664:-1 gene:Et_7B_055181 transcript:Et_7B_055181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLACFVFLLCASLGAANGVYGRVGPAAAEESRRLQLHTDGSRGAAQAWPGYLYTRAVGRCTPQFWSSGAEAWPNIAPQEAAVAKVFGSRSVERYGPRLTLLEATMRTDDVGGGAFVKLVKQGSAALLNAYTRRGFPFDSWEVKALLLEALVSEEAAAVQAERFEQANESCI >Et_5B_043095.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:23915120:23915416:-1 gene:Et_5B_043095 transcript:Et_5B_043095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLAGSARRALARALAFLLGGLVLVSLVVDRSAERPSLVSFATEGGRRMLIRANEGLAHQRTSEDFRDDNPFSSKRKVPNGPDPIHNRCLFSFILQK >Et_4B_038080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26057150:26059483:-1 gene:Et_4B_038080 transcript:Et_4B_038080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSRHPEHEEEEEYDDAVFYEDIQAPKFVDLTAPDADRPTDDASWFCLRVMAARSPNVRLHKAISRKNQSSMLKCPHSAPPKPPRARFARLSAATETGARPKPRTQRICAQRASPTRTMAARVEPCSARKKALTTPRSKAVRPRQDPFLSVKHQKQPVAAAERKGTVVKALFMSTPRKEAARTPAPAKAKDDVSEVCSRLKKLNLACREVPSRYMFATPKTAKKGGETVAAKSAKKGQESRTNGKKKILGRSVKCANAEADERNRNGCANIVAVENSLAEIASLNQESKTVLQEVRIEEVISRADNFDDKENLSSADQHYETENTHLENNENVPVKVLPSTDQGKLKTTNPKPFRLRTDERRVLKDANPERKQPFAENNSMAVLKDANRGAKPMSKCPAAKGRDKPICSEKQKKQTTKHASAQPGESKQTFNSIRSKNTKPAVMTKGKVVEKSQKASRLASTTRTTKAAASGLMAPQMGKERKPSVKI >Et_10B_002671.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:6107912:6108190:-1 gene:Et_10B_002671 transcript:Et_10B_002671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAARWKREQQFCSRRQSKIIQDRGPDPMISQEEQSPMVEAKEDRLWKVEGESSYKRGGNNMGVSESRLGKCNGCSKLMWGACCRLILHSS >Et_1A_008686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9871419:9875803:-1 gene:Et_1A_008686 transcript:Et_1A_008686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAWGGLGGAGAWALDAELAEEKERQAAAAPTPAAGFPSLSEAAAGAGGGKSKKKNKGTTLSLSEFAGYGPGRRAAPGEPKGLTPQEMMMLPSGPRERSADELENSRLGGGGFRSYGGGDRRGGGGGFDDDGRRGPPGRGSDLDMPSRADEDRDWSSSKKSFAPSPAADSGPRSRYGALGGGGGAPASVGRADDDGDWSRGKKPMPSAPSRYPSSGGSGGGFRDSPGSTDSDRWSRAAPSNGERERPRIVLDPPKRDASTTPTAPADAGRSRPSPFGAARPREDVLTEKGLDWRKMETEVDQKKTSRPTSSQSSRPGSAHSSRPGSPGSQTSAVGSEGAPRARPKVNPFGDAKPREVILQEKGKDWRKIDLELEHRRIDRPETTEERVLKEEINLLKVELNESEGKMSDDDEKSLSEKIAQMERQLELLTVDLDDKIRFSQRPGSGAGSVTASPPANIASESQYTVSMERTHSRNGTEQYPKPTEERWGFQASRDRGSFGGNRSSDRLLA >Et_5A_041124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18527287:18533995:-1 gene:Et_5A_041124 transcript:Et_5A_041124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGRSPTGRRRVVMFPFPFRSHITPMLQLTGLLRARGLAVTILHTDFNAPDPARHPEFTFVSIRESLPRDVADNDADLVEQMMRLNTACEAPFQAALGELLLLHGQRAICAVVVDGQWYAMLGAAKRSCVPALAMRTDGAATFVSMLATPRLRDAGYVTVKGKLVAQPRSVTRLLDEVVPGLEPLRVRDLIRVAGSDEDKVLGFITLVANAMRASSAGVVVNTFDAIEGAEIAKIRRELSPRLAFAVGPLHLLVARIHRAVFKEMAAGLAGSGVPFLWVLRPGFLRDEQGPPPMLPDHGHNGKIVSWAPQREVLAHPSVGGFWTHCGWNSMMETICAGVPVLAQPYSADQTVTTRYVTNQWGVGLALGEMMDRANIANAIRGLMAEEEGTEARRQRASLLKMDASLCVMKRGSAGLAVDSLDRSTWETMEMGQEWKMCVLESDVTRHEGAEVAGDLFLHGRPRSQSQGTLLA >Et_1B_013359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7699994:7700351:-1 gene:Et_1B_013359 transcript:Et_1B_013359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSVAAPVLFLLLLLVATEMGTTKVAEARHCLSQSHRFKGMCVSSNNCANVCRTENFPDGECKAHGLERKCYCKKIC >Et_5B_043622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1385234:1388703:-1 gene:Et_5B_043622 transcript:Et_5B_043622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAVAAAAFPFRLFSVEARRNTKSVRGKRSAARPIKTAPPPPPRPPASSVAGGGVAATTFTRLPLRDDPDSAEVSLDRFPTAPGNPETQAPASARGNAERLGDEEEEDVGLDATTFTRIPLRGSPDDVELTIGQFDPRAATGRSPGGRALTRQMVEHLDDQDEEEPVGSRLDIFEGAKGRKFRAVTPELLGEGEEDDGEVVVFDPDYGAGDGGNGVVAFRREKYVPYGGPSGGDGGRGGNVYVEVDGEMNSLLPFRKSVHFRAGRGAHGMGQQQAGAKGEDVVVKVPPGTVVRSSDGVALLELMRPGQRALLLPGGRGGRGNAAFKSGANKVPRIAEKGEKGPEMWLDLELKLVADVGIVGAPNAGKSTLLSVISAAKPTIANYPFTTLLPNLGVVSLDFDATMVVADLPGLLEGAHRGYGLGHEFLRHSERCSVLVHVVDGSGQQPEYEFEAVRLELELFSPSLVDKPYVVVYNKMDLPEASERWDIFRENLQAQGIEPYCISAISRQGTQDVIRAAYKLLQKERQRMKETEEWNEPANLNHVADAIKKERRSAMNEFEIFHDKGKNTWNVVGAGIERFVQMTNWQYSDSLKRFQHALEACGVNRTLIKRGVKEGDTVIVGEMEMVWNDEPKSTRPSNTMNSKDDAVRWPEFG >Et_5A_041362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21868467:21869330:1 gene:Et_5A_041362 transcript:Et_5A_041362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQHTSEKKIPNTAARKKKVRVTATSYNICNVIFALKMATCTDERTENAAVLCGAASTGGSCDHIDCHRGSFTIVFVASTEDDDQNFVRLYSSESGSWSEPTYTAGPDDVQYPRSSACCSALVGSTLYFVTSGSKFHRYVQFGHTRDVHDSPTNTRRSHGCRGWWARDGQDYELRRYIWSREDGHDADEGWALGRVVELTNLFPESAFPTDYNVIGGSTEVFGVADRIGVLLLWSRADGLFIIDLKSGQASKIYQANSLLSGVIAYMCFYPP >Et_5A_041470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23240352:23249130:-1 gene:Et_5A_041470 transcript:Et_5A_041470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPELVPTEQSFEALASMQGEGRKCDSVKKTNIKMKLHYPVVLVFHFLNPAAALSGGVGQDRFVFSGFAGANLTLDGTATITGDGLLELTNGSRHLKVHAFHLVPKNRDLFNATDGFKDKHLLGTGGFSRVYKGVLPASKLEKVSHESRQGNKEFVAEVVSIGRIRHRNLVQLLGYCRRTGKLLLVYDYMSNGSLDKYLQYEGEKPMIIKGVASGLLYLHEKWDKVVIHCDIKASNVLLDKEMNAQLDDFGLSRLYDHGTDPQTTHMVGTMGYLAPELVRTGKASPLTDAFAFGTFILEVTCGQRPIKQDAQGAWHNGTLLETVDTRLQGTYNIEETYLVLKLGLLCSHPSTRPSMQQVMDFLDGDKPVPELASTHLSFNMLALLKHKGFDPSIMSYPPSSNMSFGTISDISGGR >Et_10A_001849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9002158:9020810:-1 gene:Et_10A_001849 transcript:Et_10A_001849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFDAHSLGYLKNGSVAVECTVTVFRDQDTFHMPSSPGLQKDLGEILRSEAGADVTFIVSGESMAAHRILLAARLDQKKEIKLLSSKSHKFKYRAEYLVAEIRRR >Et_9A_061220.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22563908:22563967:1 gene:Et_9A_061220 transcript:Et_9A_061220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYPSTKEQPRTMRPLHFI >Et_6A_048022.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:3654221:3654667:-1 gene:Et_6A_048022 transcript:Et_6A_048022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLMASKMAKALLTTTIAYASDSKQMVDTMLRDDYHADPAHWTLHEFTVRMIARQHNSTAHSLAQEASRMNPPTSCQFRCNHLAPQHQCPIREAFQLVSWGQLTPLSVRKNFFYGLKTKEHAFYGPFGLEIPYEDQIQLSIPFVASC >Et_1A_005812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14260610:14264479:1 gene:Et_1A_005812 transcript:Et_1A_005812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSTGCLEGPNQNVHRLTPPPHPRQRPVRLSRSRASPTEISPSRTAAAAMAVPSTPRSPRRPDSILTPDPSSADAPPSLDFGDPASLAALRTLTDAGAATRLLHECIAYQRALDSRLDALLDRRADLDRAAASLLRSAPPLLSLAASDAAALRESSSSTAALADALSSRVRHLDAAHSRADAALARAEAALDRSRALEAARRALASDDLASAATAVHDFLAIDARFPTDDDLRRDLLDIKRRLEGLARRRLAAAVDSQDHPAVLRLVRLFPLLALADEGLQVYVAYLKKVVALRARADFEHLAELTTATQPAAERPDFVGCLTRLFKDIVLAVEENDAVLRELRGEDGVAYAIIELQEECDSRGTQILRRYSDYRKLARLASDINSYTKNLLSVVGSMASAAGGNEGPDPREIELYLEEILSLTQLGEDYTEFMVNKIRGLRDVKPELGPQAMKAFRNGSFNKMEQDLTGFYVIFEEFFMVENVRKAIRIDEPVPDGLTTSMVDDVFFVLQSCCRRAVSTASINSVLAVLGGATSLLSNEYQEALQWRMREPNLGAKLFLGGVGVQKTGEEIATALNNMDLSSEYVLKLRHEIEELCAEVFHAPADREKIKSCLSELGEISASFKKILHSGLEHLVASVAPRIRPVLDTVATVSYELDDAEYGENEVNDPWVQKLVLAVNTNVAWLQPVMTSNNYDSFVHLIIDFIVKRLEVIMMQKRFSQLGGLQLDKEVRSLINHFSEMSQRPVRDKFSRLSQMSTILNFERVSEILDFWGDNAGHLTWLLTPAEVRRVLGLRIDFRPEAIAALRL >Et_9A_063513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5592140:5593709:1 gene:Et_9A_063513 transcript:Et_9A_063513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSASVPSSPRSNETCVEEELQSLKATISSAAAALETMNHGFIKLGSIYSSIDELICFPSSQRQQRKAVEEELERSLILLDLCSAMQESFTELKAIIMEAQVVLKRGDDVAVKAKVQCYARLAKKALKQIKKISSKVATDIEGCRVVKLLSEARETTLSMLESTFDLLSKQIAMPSSSKWSLVSKALHKKTIVCEEEQLQI >Et_3B_027431.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16755058:16758810:-1 gene:Et_3B_027431 transcript:Et_3B_027431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQEMDHVQILAGCILLVLLPCWAYGLGSMSSIAVSYGEDGPVFCGLSSEGSHLVTCFGADASVLYGVPSNMPFLGVTAGDGFVCGLLLDTRQPYCWGSNSYVKSGVPQPMIEGAKYSELSAGDNHLCALQATADGIPGSNGSTSLIDCWGYNMTATHVFSEAVSTISAGSVFNCGLFARNRTVLCWGDETVSGIVGLAPRNVRFQSIGAGGYHVCGVLENAQVFCWGRSLEMQQLAPSSAIGDGDVNVVPMDAMISVVGGRFHACGIRSLDHQVACWGFTLHNSSSPPKGLKMYALVAGDYFTCGLPAETSVMPRCWGNSGALALPMAVPPGICVPTACSHGYYEYVNHDEVSSIKVCKPANSRLCVPCSASCSEESYESSPCNATADRVCQYDCTRCVSDECMSFCLSQKRTNRKLMAFQMRIFMAEIVFAIILVLSVTGIACLYVRHKLRHCHCSKNELRLAKSTAYSFRKDNVKIQPDVDDLKIRRAQEFTYEELEQATGGFSEDSQVGKGSFSCVFKGILRDGTVVAVKRAIKATDVKKSSKEFHNELDLLSRLNHAHLLNLLGYCEDGCERLLVYEFMAHGSLYQHLHGKDPNLKKRLNWARRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEDHNARVADFGLSILGPADSGTPLSELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVVLLEILSGRKAIDMQFEEGNIVEWAVPLIKAGDIFAILDPVLSPPSDVEALKKIASVACKCVRMRGKDRPSMDRVTTALEHALALLMGSPYAEQPILPTEVVLGSSRMHKVSQMSSNHSCSENDLADGEDQRIEYRAPSWITFPSVTSSQRRKSSASEADIAGRTTTDGRNAGSSIGDGLRSLEEEISPASPQENLYLQHNF >Et_2A_018417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27317417:27318817:1 gene:Et_2A_018417 transcript:Et_2A_018417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAAYMASAWRGKTIGPTVPAAYLGDDRLPSDTKYGFHLFELTAAPCMAWLDAHPPRSVPVRPGPAEMREVAQGLLDAGRPFLWVVRSSESGKLPPGYAEACSERGMVVSWCPQLEVLAHRATRVWVGGSLEYDKFRRGAKGGRSVQQWWRVVGRLRWWKDDGWARSG >Et_8B_058644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12686539:12687322:1 gene:Et_8B_058644 transcript:Et_8B_058644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVLLVTDNAKVRARCALSLVDQTTGKSSLIWAWGALEFMKNSTLEASPYLRNDCLVIECCVTIIKEPVVQEAVVTPDFKIQVPPSDLSENLEKLLESGEGADVTFNVAGEVFHAHKIVLAMRSPVFKAQLIGPVGESNRQCITVEDIQSAVFKALLHFIYTNSLPAMDKYAMERLKLMCESILCERLDVETGHYFSSCRPAQLQPAQRCFRWIYQLSR >Et_4B_039196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8639441:8640432:-1 gene:Et_4B_039196 transcript:Et_4B_039196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKGPRPTQELTSDDIKIDEEAPSKSSSSSSTSILNKKSKAEVQREKLFEGSSDVQTPRKKSTQEILTQYKFKGDAAAAAAAAKNKLMERQEKLAKMTEQSAELENEAANFASLAHEINEHGEEMVEALTDAAASLPGRWTSPALSTSASEPCTRLSTSASEPCTRNYSFCIELVPCPCATYRTTVHQLNGN >Et_2A_016307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23052309:23054079:-1 gene:Et_2A_016307 transcript:Et_2A_016307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WCPRSRRRTPINPPYPCRNSRKKNRKEFLCSPSASFARSSSSTPSSSLTPRPQRERERERGEEKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKDYNIEGGSVLHLVLALRGGY >Et_2B_022576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26440287:26442570:1 gene:Et_2B_022576 transcript:Et_2B_022576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKNCFAIASDRRLGVQLQTVATDFKRVFKIHDKLYIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSALLYEKRFGPYFCQPVIAGLGEDNEPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPNMDTDGSTRASSQGQDGLDYG >Et_8B_059265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1636565:1638878:1 gene:Et_8B_059265 transcript:Et_8B_059265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASRALLLSRAALSPLPAAASRRIPILLRPLAAAASLLPAAAGAARGATRCYATQPATSSLRDSSPNWSNRPPKETILLDGCDFEHWLVVMEPPAGDPANPDPTRDEIIRSYIETLAQVVGSEEEARMKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLDVKNKDYGGEPFINGEAVPYDPKYHEEWVRNNARANERSRRNDRPRNFDRSRNFERRRENMQNFQNRDAPPGQGFSGPPPPGQNQMPPREGPPMHHAQGMPPPPPPHAAGGPPNFQPQMPNPQAGYNNPGANYQQGGPAYQGGPPGYQGNQGYQGNPGPAYPGSNPGYQGGATGYQGPPYQGGNPGGAPGYPGQGGNPNYQ >Et_1A_007098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30893450:30902605:1 gene:Et_1A_007098 transcript:Et_1A_007098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMSRTRDLLEGFEGFVREGSFKWALPRRGDDGHDEDPDASPSSGKRASVAGLSAKANAVISQVAGYLADKTFRRLTFDMMLAWEAPSSSSQLSVKVEVDSTVSLEAFIRIAPAIPTVADVVTCSNLFDVLSSSTGGRLSFSIYDKYLSGLDRAIKKMKTQSESSLLSSIRSQRGERILEVDGTLTTQPVLEHVGISTWPGRLTLTDHALYFEALRVVTYDKPKAYELAEDVKQVIKPELTGPWGSRLFDKAVMYKSTTLTEPVIIEFPELTGHSRRDYWLAIMSEVLYAHRFVMKFDISGVDKEETVLKAVLGIMRLQAIEELGFQVPIRYESLLMFNLCDKLPGGDVILETLARAIASRTSDRTTQPGTSRGMHAVLSNLGVVSSVNNGERLFVGEVVVGEMSSLQKAVTDSMNNYKKVELAQATVDGVKVEGLDTNLAVMKELLSPVSELWRVLTVLSSWDEPIKSMVFCSIFSYIIIRGWVVYFVVLVLLFSAAFMFLTRLSNQGKPMAEVKVASPPPMNTMEQLLAVQNAISKIEEVVQDANIVLLKIRALLLAFPSQATDRAILALVLMALSLAIVPTRVLLLVMFLETFTNKSPPRRASTERWTRRLREWWFSIPAAPVVVKDKEDKKTR >Et_8B_058711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17895855:17897371:-1 gene:Et_8B_058711 transcript:Et_8B_058711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVFFFRGRGGGARRHDHEDEDPVRVVRRALGEALVPYYPLAGRLRELKEENNNKLVVDCTGEGVLFVEADADVRLAEIEAAGLLRPPFPRMDQLLFNADGRDGEVIGTALLVIQVTRLLCGGFVLALRLNHTLCDAAGIAQFLSVVGELARGRPPAVAPVWRRELLDARAPPRPSFPHPERQGHGDADLRVRPRRHRRDQAALLRRRQGQRHDDLRGRRGVALARPHGGAGPPSRRDHAAHRGRRRPRRARAGRPARILRQRVRLPGRRRRRGRPDSRVPCRRRGAGAGGEERRGVRRVRAAAAAAGQPAPRRRQPPRRVPPRRLRVGPARVRGPRGRVLRLQLPRRRRERRRLRRGRAAKARHGTVRGGARGPAGQRYVRRFIWRHSLFVYELLDFLYIVVGLVRQCCVSIGISSRCFSSSAPIYVQVTKVVSDVSTGAASNAWSTLVVGRSIQNKQDVFANCASQCHKNKRDFVCCMG >Et_7A_050344.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:7905065:7905857:1 gene:Et_7A_050344 transcript:Et_7A_050344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVVKVFSQLLIFAIVLLTTDQAWGERDCHGEKVSVMHNCMSTIAIGKPYADPSNNCIQVVETSDMDCICGILTLQDQNTISIAKFFRLARNCGKPLTPGIKCGSEYLIYLHFFSFFLKKVMLLNL >Et_9B_065042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20800926:20803455:1 gene:Et_9B_065042 transcript:Et_9B_065042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATALQIAAPFFSPFNLLCGPGVRIGILSREILVLVGADRQERFEEGYACCNGLKLIKDTIVEKDYDMEADKEGAFASLKVLPSMELESAPDDEVVSSSRGKQELWPLGDVDPTRARFPCCIVWTPLPVVSWLAPYIGHVGIAREDGTILDFAGSNFVNVDDLAFGGVARCLQLDRKECCFPVNLAAHVCARSYEHTEAGTAISWDDALRSGTRHFEHKCYNLFTCNSHSFVANCLNRLAYGGSEGWNVLNLAALVWLRGRWLDRMAVVRSFLPFAAVSCVGVLMAGWSFLLGMAAFSLLLLGWFVIGVYCTKGMVC >Et_1A_008904.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:1885678:1886991:1 gene:Et_1A_008904 transcript:Et_1A_008904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSWLLPPPQPPASPSAALDNVQTKISPSIVFIVAILAIVFFVCGLLHVLVRHLLRLHRRRRAREDAESVTAFQGQLQQLFHLHDAGVDQAFIDALPVFLYSNVVGNSGEGKDPFDCAVCLCEFSADDQLRLLPKCSHAFHLECIDTWLLSHSTCPLCRRSLLAELEPTCSPVVMVLESESSRDMAASATRAGDEDGGGEPSARAADDCAAGQDGAEPEEVVEVKLGKFMCVEGNNNANVANATDKAGTSNGDGNAKAGLGQRRCHSMGSYEYVMDERASLRVAIKPPKKKPAAASKSRARGAMSECEFGASRRVGGSLRLSLPRTSEKQQLPDTATAKLAKDSFSVSKIWMVPSKKDADAAGERRAVSFRWPVSKGMEEGNEEKKKSGSEADFDVEAGSCGNSVSSLAEERPSFARRTLLWVVGGRHNRVGSCS >Et_4A_035924.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8629099:8630436:1 gene:Et_4A_035924 transcript:Et_4A_035924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTRRCPAEEEQSHGTGKRRWTDKVKCKIVDAVTPRPRRAAKDAGPISDVDVEMKQRLQREFAEHQARRRRRGAAPLPSGGGGRPNGGNDGATFTEAVANLDAWPKKGILKARSKFAAPEPPTLVELLFPTGVLPRPPKSSGPENAPSVLMTTVIAAAEKRRQGGAGRPDGDGGATEAAVNLDARPKKGILKARSKFASPPPPPEESATSPTLVELLCPVGVLATPPKSFRSSGPENTPAFLMSVEKSQLGGAGGSSSSTRRVDREHVEQWSKAKENSVRRGRYLRDFCPFQKDDDEDGNVTKEALPAESDTSDEETLSDETDSSEEEVETGIPFDSPASEAEFVEAIFSRHELKDDFVDRYAFQRSRDPFMRQQSLQCRLESRMTRNVVTSASSAGDRQESRMTRNIVTPASSAGYRPESRRTRKVTFRDCDVRRTLSYGEEG >Et_8B_060556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20911237:20913252:1 gene:Et_8B_060556 transcript:Et_8B_060556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGGGVSGPDSRVETISRMAQWRIDTFGPCSYRRSDPFKLGLWNWYLSVEKSRSIYVRLFPEPGRVAKEQPPLARFVLRVSWAGPPRRSCVSPVLEHLLRSSEDFVWQVDVMSHGRFTIDVEFLDLRIANNNASSPHILATESSPSIWPSEGMVQNIANKSTLGCLSRMLAESIHADVTINTTDGVLKAHKAILAACSPVFESMFVHDLKEKESSTININDMCLESCSALLGFIYGTIKHDQFWKHRLSLLAAANKYGITEIKDCCEESLLEDINSSNVLERLHMAWLYQLERLKKGCLTYLFVFGKIYDVRDEMHGFFHHADRELMLEMFQEVLSVWKPI >Et_8A_056927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1964331:1968600:-1 gene:Et_8A_056927 transcript:Et_8A_056927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIPGFRLSGKHRLVVMGLPQVSSVKDDAATTSSPSASRPHFGGVGVCDLDGLPAGSSSSRVLSYPLIGDFNRKTALDARTESDGHSRGSHVLDEPLSLRGLNIDSRETNSRLHPKLVPAVPARRVVGFESDCIGFQTSDRTEEDMVHSYSAISNSHSPFDQHELQARKRLLSPLKKVLPKQFHGDVLDISSGDAQFRHSDSTGKLGSSGFQDSKKANTGCLNSFEIQTSPTLRCSNWIPEWDVSRRNSDLFTDGPLLGSKESLSYYDHVVAGAKLVHSPLSLSPLSPKYMNKIKITGSQRQVMKDLENDFLDLKETRRPDGTRLQDVSEETNLLHDELDVMTPKWNSLRRYRHWGPDSAPISPRVGYVRSSSLLVRRSLVGSFEESLLSGRYSYGKDSQTIDGFLAVLNVTGGSFFPTTQKLPFSVTSIDEDSSLLYYSSIDLAGRLSANNSKSPKLQRSLSYNDSRSAKSRLRIPVKGRIQLVISNPEKTPLHTFFCNYDLSDMPSGTKTFMRQKVTLSPSVCPSNPVKEGSKACDVNVGPKSVSCGSCGHGQNCNSNDESEICPSESDSSKESNKYNSPGNKKDNTDSDGCCCQMDKSRLDGKKSCCSSSKINDCSGGRVLRYALHLRFLCPSSKKPSKSMLRCKSDPSSVPYSSNPVPEEERKFYLYNDLRIVFPQRHSDADEGELRVEHDFPADPKYFDISN >Et_9A_063018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8365081:8368650:-1 gene:Et_9A_063018 transcript:Et_9A_063018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGETPRFSSPRGEIGASDVCITATNLLALLPWRVVRVGESHLVEAVIRAQFYILHGINVKSDVRRSVQAIKLGGGVKQFLSHSGARYPAVPLNVVRTLLKSLPTNAAIPKSATLGTNDASRMYDTFMATLMQDQYTTEGSRRFRMTPVLSSTTLYGPKPGLMRLFLEMTCRINPVTLFRPNLAPGRKTSVGWSKLCHNSTSDDGLSTIARFPVFNRMALVFVPVPVILAMLVDQNMDFARFTTTRLPSVENSSWVWLMLGSVIGVSLLATHTVVKTGMLLNHMPRYQLGEVVVLVDLLRVPGAVGWKKPSANLAFLETSLSPIVRT >Et_6A_046951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23031883:23039569:1 gene:Et_6A_046951 transcript:Et_6A_046951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGKVGSLISRSVYTVSGPFHPFGGAVDIVVVQQQDGSFKSSPWYVRFGKFQGVLKTREKVVNISVNGVEAGFHMYLDSNGEAYFLRDADPNAEEGEFMLSPASSGDEQEAPTQLRKSKSTSCDSSTMEADVGEGKILARTTTSRRTTILGRMFGRKTVKDNAHAMDRVSSLERAEIAAELLDTKWSTNLSRGSRSRDSDDQPFKSIVADAGNSDQMETSETVLPEHSFDHGKESDCNNADCNAGSPHGGRSSSANEADHCVQTTSVKEEAVEVCTHDQPSKSIVADAGYSNQMETSETVLPEHCFDHGKEMEPNCKNADCNSGSPHGGRSSSANETDSCVQITSVKEEVVEIYTHDTSEFDITSTTNKDLGTDNSIHESVDTLGGLAHNFEDVTGRQIHTKEFLSDGVFEIHAVENETTNGNSELISQFVTGNSDGANQNYTDINLPTYGTIDVSTERHDISSSSPAQDVVEDKMVIISTTETVESYAMSSTLTNKVLDATDILLTDGVQLEDHSIASDGTIEQLISEEMAVSEEEKGNVVQDHAYCVLEDLQANGPDMENSEGTILDYHGTDAAHDLACKYDDVCPDASSNVVEMLRYVPENIPEDVTKDSTVEKEAYSGELDVSVTQASPMGEGSGECIAQLVNFSNNVEMEEGLPSITDVIEAQNTEIEDDADRPIPTSGDEIGFVQETTAEPKEETQAVVSFAEHIEEIQFQFSDTESFAETKAMDEMRTRKTADEGEYNESDSDPEEQQGCHTDSAKDLENNSALMPETSPLPIPGSELNSGDSIIVAKSLPNLRSHIHDLERSDSVQLSCSLRSNAENNGAEPVKNMSFPEQEPEATVDPEENSKAPELPSNSMPDNKHNDDLKVDSFNPVAELSLCRHLLSEGMGEDAADRAFDSEKVSLEKFRTMKQSLTRNNKLVVRIAGRYFPWDAAAPIVLGMISFSEEQVFEPQGMIKVERVEKSLAPGGGWRIWPFSFRRTRAISTIEPVCESIVETPLSTPVKEAGREWSKARAKKVRSLTPTSEELASLDLREGRNVVTFTFSTAMLGKQQVDCRIYLWKWNTRIVISDVDGTITKSDVLGQFMPLVGVDWSQNGVAHLFSAIKENGYQLLFLSARAISQAHLTRQFLFNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLEAIRALFPPDSNPNPFYAGFGNRDTDELSYLKVGIPMGKIFIINPKGEVAVNRRVDTKSYTSLHALVNGMFPPISSSPEQVYVASAHRPQHRANFMLHGSGLEMVDHRPEDIFENES >Et_6B_049286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2797417:2799155:1 gene:Et_6B_049286 transcript:Et_6B_049286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVVAHWEQRSWIVGVRGTYGDTYIHDPSTAETIQGPELEPILLSMGGKVYAMSRYPEVRKSQLDFEPWFLSLSLRKGVPTICGPGFRAWKRLPSPPCFPCFLDPLEYRNPPRVSVKSYAAVASSHILISLDDNSEAGAWAFDVVKKTWEKVCDEGLPFVGQAVPLGGSLFAACYTRASDTAAAIFHMSMNGASGKLTTSLLSVLEYPVASVGDTLPIFCPTGKGSFCSVWLGPSCQIRKASSHAKKRLKIILTAFKIDNIEDILTACQKESAEAKEDLKVPVQVKHHNQTFKLHGAPTFQNARMPAIAPLSMDTELHELFERTRIKKRPREPTASNIFEAFVLRRRT >Et_1A_004553.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:16889465:16889722:1 gene:Et_1A_004553 transcript:Et_1A_004553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KIEKYKDVVPTTVDLYKDCHVSSKTGCTETVKNAIVSCHVLICWIVFISRMLRFVSCLLLFASAVRLFMLISFLPVMVIGCSCLF >Et_9A_062297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21624889:21627748:-1 gene:Et_9A_062297 transcript:Et_9A_062297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLPASSESLSEETPQQEAGQIISEVIDSATTSRGTKEQEIRKGKTALESTELMREELVQSAISFLKHPKVVSSSDIQRRSFLENKGLSVDEINEAFRRLQQTKVGTKCVDGSERGEPETEAVAPVLPRHPKSYMEIMEMIQRGERPDDIQDINDDPPNPDQPISKPRMAPKPKPWEKQHEENGAWDLKSLSSDSNQLRSEVQNTDQSSESASGSNQGDSLLHAEVVESSESPTDEAAFSK >Et_10A_000666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1553566:1554498:-1 gene:Et_10A_000666 transcript:Et_10A_000666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSPSLARPQLYRTTTLAILSSFLLALVHLKLQEEFTSSSLPCSSNGGATTPGSQLAVIAAAMQPPPVVDVRVFLRVPTVADKYERRAHIRLDRLRAPATPRPRGRRRALRPLRPGQGGGPGAGLWPWRSSRAYGDVLVLSNGTKNMDADMTYACFSAASGDDDTHRGARQCAACATSRARPRITVHGLLNLCHTTCAGVAAHVRDGVRRVLGHAWRSGSRRRRTKRPGRSARGWEDEVLGQGDDGDAEVTCFRHEHVADTVAVHKLKDRLKWARTLYFFNATQGLKPSKMYNVDRLNSNVYRM >Et_1B_010861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14831845:14835417:-1 gene:Et_1B_010861 transcript:Et_1B_010861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVRFSHPPASALCSAAPRPGRRGFYLRAAGQARRFTAVLAASNRVVLGCGLLTLDYLATVDAYPRPDDKIRTGGLQISGGGNAGNALTGAARLGLNTRLISKVGNDEFGETVLQELKGAGIDISHVIVSDGGNTTFSYVIIDKQTRTRTCIITSGSSMVPSDLSMSSLSDALQDVNLLFLDGYSHEMAVAVARQADQVKIPILVDAEPERTKAELEGMLSIASYIVCSAKFPEKWTSIQSIPSALLEILLQYPRAKFVIITLGENGCMMLERSQQGDYSGIEAADIENVAESLKLEVRKDDILPTCVSSKFMRLTGRGFGTLFGRLLIGTAENIPASELVDTTGCGDAFIGAVLHGVSTEMPPEKMLPFACQVAAIKCRAVGARSGLPWQSDPRLAKFLG >Et_1A_009440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5337417:5338361:-1 gene:Et_1A_009440 transcript:Et_1A_009440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKTAGKEGGDKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTNGQYGRFAPQNSGGKVGLTNYFGRD >Et_3B_028460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15577725:15578631:-1 gene:Et_3B_028460 transcript:Et_3B_028460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATLGGASGAVADCEGRVASAAFPFINEKLWESVIDGDMSVVKKLLVTGLLKRVAKKAEHKDAVALFSVLKEATHSRHPFASVHAHHALKASLALLSSAVLRAQPLSGERVRAALHAAERELARAVEEGDAPAVSDLRLLVALLAARDGRFDVALERYAEAARDEPSDPRPHYLAYQLSLFDGSTEEFNKWRASYERLDKGSFDSRVALVALGDELLVAQALGGSPLAFREDCPHRVALLDAALVSALRGKTMTMVKRLQVRAVRAFLHTEVWSALKDLKDKGGCCSTATN >Et_8B_058917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1139266:1159184:1 gene:Et_8B_058917 transcript:Et_8B_058917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHNHGAMDELASHASFCSPASPPSFFSAAGHHQVLEFMSCGVPEQWLVGDEALDKPLHDGAGEWANGGPHSAGSDLSGNPPAVAASLSERSAKRRGRKPGPRSDGPTISHVEAERQRREKLNRRFCDLRAAVPTVSRMDKASLLADAAAYIAELRGRVEQLEAEAKQAAAARKGGAGGQGGGGGPASGLEEKLEVRMVGREQAAVRLTTTAARHAAARLMVALRALDLPVQHACVSRVAGDATVQDAVVDVPAALQDEGCLRAALLHINIYYDMQGWHCHNPFTGKLEAATPPPPPSPRRCEVGIRSSLISKPMDHELASHAASFCSPPSSPSFFSTTAAHSSVLEIMSCGIPEQWLVAGDEVDDEKPPHQLQQDDTDWALWAGSHSACSEPPSAGKQPPVVSAVTDQRAVARKRGRKPGPRLGPAISHVEAERQRREKLNRRFCDLRAAVPTVSRMDKASLLADAAAYIAELRGRVEQLEAKAKQQQATGAAESKGGGVEEKLEVRMGRGGAAADDGGGVAPRAGAPHVRAQGAGPAGAARVREPRRRRRGGGHRAGRRGGRARRAAGRGMPPAMDKLQLVYPTSFGSPSSPSSLFSDVAGGGGAPAHEFVSCEIPDQWLGGDDDLDALWDNGAAADNTDLLLSGEPPAPAAAKRRGRKPGSRAGGDGPVLSHVEAERQRRDKLNRRFCDLRAAVPKVTRMDKASLLADATSYIGELRGRVAKLEAEAKHQQHHAAGVVVGAALEKEKLEVRMLGREAAALRLTSAARHGPARLMEALRLLDLPLQHASVCRAGGVTVHDAVVDVPPGPLQDVAWLRAALLHRLQGRPAATGACMPPRGGLRPLRLETESGDKRRGRKPARSRAAGDGHVEAERQRRDKLNRRFCDLRAAVPTVSRMDKASLLADATAYIAELRGRVKELEAAGAKQQQEAAGVGAGAAAPPLAAAAAPEEKLEVRMLGREAASLRLTSAARHGPARLMEALRQMDLPLQHALVCRAGGVTVHDAVVDVPPGPLQDEAWLRATLLHRLKGSGCLSFLIVRRAMDELARPTSSTSSPPSPASFFSAADSQVLEFLSSEVPEQWLLDGDDLLQDPQPQGHAAAWGADVGSDLSGGIPPEAAPKRRGRRSLPRSADGPAVSHVEAERQRRDKLHRRFCDLRAAVPTVSRMDKASLLADATAYITELRARVEQLEVEVSQAAAWKAAARPAAVAGEAAAASSDHRSSLAVADLEEEEEKLEVRMVGSDAASLRLTSTAAARHGHAPARLMGALRALDLHVQHASVCRLGGVTVQDAVVDVPAALRDEATLRASLLHRLHDSSG >Et_4B_036880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12270847:12278928:1 gene:Et_4B_036880 transcript:Et_4B_036880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAEDAGADLPPPPKKKSAAEEEAEKRRKKLTPGSLMKAVVRSGSGDATPGEGDQVILHCTTRTVDGIVVNSTRREHGGKGIPLRFVLGKSKMILGFAEGFPTMLKGEIAMFKMKPQIHYAEDDCPVTAPDGFPKDDELQFEIEMLDFFKAKVVAEDLGVVKKIVDEGKGWETPREPYEITARIAARTADGKEIIPSKEEPYFFTIGKSEVPKGLEMGIGTMSRKEKATIFVSSTYLTKSLLMPQLEGLEEVHFDVELVQFIQVRDMLGDGRLIKRRVVDGKGEFPMDCPLHDSLLRVHYKGMLLDQPKSVFYDTRVDNDGEPLEFCSGEGLVPEGFEMCVRLMLPGEKSIVTCPPDFAYDKFPRPANVPEGAYVQWEIELLGFELPKDWTGLTFNEIMDEADKIKNTGNRLFKEGKFELAKAKYEKVLREYNHVHPHDDEEGKIFANSRSSLHLNVAACYQKMGEYRKSIETCNKVLDANPVHVKALYRRGMSYMSLGDFDDAKNDFEKMKSIDKSAKSDATAALLKLKQKEQETEKKARKQFKGLFDKKPGEISEVGGESEEGKNADGAKGSGETTSADRDAGAKDSSQGETEHAFEEERPGLLGRLFPSARRIFSSLGINRCTIL >Et_1B_010163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27116391:27116807:-1 gene:Et_1B_010163 transcript:Et_1B_010163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPHAAAAVVVLLWLAVLTVAFHGCGGRSHGCGGLVAAATTKKMLLDVTSFDAAPSPAADHHHHHHHHHRHHQHHHHEHHHHHAGGQWNRQGIPPSLPAGKNGEGVVDTRYGVEKRLVPTGPNPLHH >Et_3A_026150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4926563:4929120:-1 gene:Et_3A_026150 transcript:Et_3A_026150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGAGGEKQGRGRGLPLRLESQRFRLLSIVVGCFVFSLVFLLSSRPDGIAFETMSPKASLEDARRPATAVKTLRSSAGSFGRDFHVDIVPQRGEGQGHLKQSVEQSNDRAATEWVRDTVIVEERHDVEREAETEEHEDHDGSNAAAAVTSVSDDQPARGVEEEKVQGNAVQTTAAAVTAQPAVGTPSARPGQPEERKQVGGEVKLQEQPARQEEERRHKEPASSGDHEKQQQKQPRPPLCDYSDFRSDICDFAGDVRMDANATSFVVVDPAATSAQWHKVRPYPRKGDETCMSRVTEITVRTTSGDGETAPRCTRTHTSPAVVFSIGGYTGNIFHDFSDVLVPLFNTASRYGGDVQLVMANVASWWLVKYDRLLRELSRHAPLDLAKAGAAGEVHCFPRAVVSLRAHKELIVERERSLDGLATPDFTRFLRRALSLPRDAPTSLGAESGRKKPRLLIISRHRTRLLLNLDAVVRAAEEVGFEAVVSESDVGDDIARVGLQINAGDALVGVHGAGLTNMMFLPPGATLVQIVPWGGLQWMARADYGDPAEAMGLRYVQYEVTVEESTLKDKYPRGHQIFTNPTAMHKKGFGFIRNTLMDGQDIVVDVARFRGVLLQVLNNLTQ >Et_1B_011020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16691663:16693628:1 gene:Et_1B_011020 transcript:Et_1B_011020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINATPEEWDRLCKNNPNMKKFRKKSFPLYYSIEKLYEGSIATEELNFTSTEAPDLMPPTSAQPINLDDLEQTKDFMHELNVNTKPNEDYSIKNCLAVLESIDELSEMEKANAAKIFKCEQNREIFLNLKNPEVRLFWIQGEISP >Et_2A_014714.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:9294444:9294949:1 gene:Et_2A_014714 transcript:Et_2A_014714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATRAPQTTSKRRSSLLIRPFFLVSGRADPFHDPQEVMEMKKIACAVLVAASATVAMAADAPAPAPTSASSAAFPAVGAVLGASVLSFFAYYLQ >Et_6A_047190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26597022:26602748:1 gene:Et_6A_047190 transcript:Et_6A_047190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPEKPQELDEYQGVLLENVHQAEGTVLQPLIDKVRVMLRSMNDGEISASAYDTAWVAMVPELDGGKGPQFPATVRWIVSNQLPDGSWGDSALFSAYDRMTNTLACVVALTKWSLEPEKCKTGLSFLHENMWRLAEEEQESMPIGFEIAFASLLQTARSLGIDLPYDHTALQSIYADRELKLKRIPKDMMHRVPTSILFSLEGMHDLDWGKLLKLQSSNGSFLYSPSATAYALMQTGDKKCLDYIDWIVKKFNGGVPNAYPVDLFERIWVVDRLERLGISQYFQREIKQCTEYVNRHWTEKGICWARNSNVQDADDTAMAFRLLRLHGYNVSPSVFKIFEKDEEFFSFEGQSTQAVTGMYNLNRASQISFPGEDILERARIFSYEFLKEREAQGMLRDKWILAKDLAAEVQYTLDFPWYASLPRVEARTYLDQYGGNNDVWIGKTLYMMPLVNNDVYLELARNDFNRCQVLHQVESHGLQRWCMKNGLESFGVTPEDVLRAYFLAASCTFEPSRAAERLAWARVALVANLISEHFHKNVQDMERLERFAHCLYERADLPWLERNINDAIIMKVLLQLTDLLAKEAHQVYGGQNYIHNLLRLAWSQWMMQKINEEKEKCSKFNVKGSRYMVRDGQTCLLLFQIIEICAGQIDESSPAINKKNDQLIQLSCSVVGDINHKALFSQDTEYEAALKCIDKKIKQDMQELSQSLLLRSDENTSNCMTKQTLWNIVRSTYYATHCPSYMMDIHVSKISTKMIAR >Et_3B_029595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26284516:26287203:-1 gene:Et_3B_029595 transcript:Et_3B_029595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAEAAPAPAHTNGHANGGVAEKLDELRRQLGKAGGDPLRIAGVGAGAWGSVFCALLQDAYGHLRDKVQVRIWRRPGRAVDRATAEHLFEVINAREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMVDTPLCPLKVVTNLQEAVWDADIVINGLPSTETREVFGEIGRYWKERITAPIIISLAKGIEASLDPVPRIITPTQMISNATGLPFENVLYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFALCTSEMIYITHLLEEEPEKLAGPLLADTYVTLLKGRNAWYGHKLAKGELTLEMGDSIKGKGTIQGVSAVDAFYELLSQDSLSVMHPDGDRSVAPVEMCPILKALYKILIKRELPPDSILQAIRDETMYDPRERIEMAQGHTLYRPSLLGQPGGVAKA >Et_2B_022613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27877170:27881352:-1 gene:Et_2B_022613 transcript:Et_2B_022613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVVCVTGAGGFIGSWLVKELLRRGYAVRGAVRDPEDSKNAHLRRLDGAEERLSLYRADILDYGSLRAAFSLCDGVFHVACPTTNDDPELLPAAIDGTKNVINAAADVGVRRVVFTSSYGALHLNPNRSPDQILDETCWSDLEFCKQTQNMYCYAKTVAEKTAAEEASKRGIQLPVVVPALTIGEMLQPSLNASIYMMALYLLGAKKAYRNAVAGYVDVQDVARAHVLVYEDAGSHGRYLCIGDVLHQSEFFQTLRELFPQYLIPTECEDKKGPKVKPYKFSTQRLQALGMKFTPLKESLYKTIISLQKHGHIPIQQHMSAL >Et_2A_016515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25517157:25520138:1 gene:Et_2A_016515 transcript:Et_2A_016515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCAFTLLSPASISSSSAKSPRRFRRSGVVAMASVGQKVYAPGVAVTEGNGGLPKIDLKSPHGSEAEIYLFGACVTSLKVSNGKDLLFVRPDAVFNGTKPISGGIPHCFPQFGPGPMQQHGFARNMNWSITDSEVTEGDPAVTLELKDDPYSRSMWDYSFQALYKVALHSTSLSTTLKITNTDDNPFSFNSALHTYFRASISGVSVKGLKGCKTLNKDPDPQNPLEGKEEREQVTFPGFVDCIYLGAPSELTLDNGLGDKIVISSSNWSDAVLWNPHLQMEACYKDFVCMENAKIDMVQLEPKQSWVAEQKIELV >Et_4B_036026.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:14403161:14403445:1 gene:Et_4B_036026 transcript:Et_4B_036026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDAVEVYVISFSSFSSTEEADDAFCKSDLRRLDTEFCPLCATLLEDDALTLAAAWLLFLAAGTSWLCFPSYVVITAFGSCEDLSICFFTSHP >Et_6A_047882.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:22719754:22721364:1 gene:Et_6A_047882 transcript:Et_6A_047882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVQTSKIVRPAYGGNGRAPIADVGATDIVPLTVFDKVTFDEHISSMHFFRPTAPSNAAIELGLARMLAEYPVWAGRRAADGRRAVVLSDAGARFVAATADVPLDSVVTTREVFSGLHPSAGDGAEELMLVQVTRFACGGFAVGTTVWHPVTDGRAARSFMKAWGQATRGAEICPLPLHDRVSFFRPRHPPRVEFEHRGAEFTSRRDKESVSVVSNTRDDDKVVTHRVRFTREMIAELKAQASSSSSSSRRSYSTLQCVVAHLWRCITAARRLDAHTVTRARIAVNGRSRMRDPPVPEEYIGNVVLWAWPTTTAGELLSRPLGHAAELISRAIAGVDDAYFRSFIDFASSGAVEGEGLAPTADPARMVLCPDVQVDSLLGFPFQDLDFGSGPPFLYMPSHSMPAEGGMFLVPSFSGDGSVEAYVPLFSRNLETFKKCCYSEALLASCLQRRLGGVDPLRRQPSALACSSMAAADDDGGCQRQRPMASVRRHIVSATSITSTAAPQPCLGPSRFRHPRAGFGVPTATSSPPSASA >Et_4A_033160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19338936:19339344:1 gene:Et_4A_033160 transcript:Et_4A_033160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSWLKSWNSQPEFVANHIPTTNVEVGQFKVPKLKISFAFEASKLLKTLGLQLHLFDTPNVEAHNLRVPSIFHKSFVDVNVEGTEAATAAAVMLKQKSLQRTNNFVADHPFLFRCSSVVNPLHAP >Et_1B_012903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:401155:404633:-1 gene:Et_1B_012903 transcript:Et_1B_012903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGGEIRPVHNSVDTVNAAAAAIVTAESRPQPPAEPRRKWADKLSVYFCFGSQKNGGRRIRHAALVPEPAPQRTDAPATEIPNHPPPPVFPFVAPPSSPASFLPSEPTSIVQSPRVGAPSFSPRSPNSPSPTGPPSIFAIGPYAHETQLVSPPIFSAFTTEPSTAPYTPPPESVQITTPSSPEVPYAKLLTSVNNNKNVETDDIQSYPNYPDSPIGRLISPSSGCSGTSSPFPDPEMQASSGYSFPSFPVREPPKILDGEGVATQKLIPRHMRNGGSLLDGHITAAVPVVDFSARLQPNDHAMDHRVSFELTVEDVARCLEKKTTISGDSTSASFRLAPVSNGDQPRESGDTRAGLHVDETYHDFPEKARRSLSLRMAKEFNFNNIDAANVETSVGSDWWANEKVAGITAEPKKNWSFFPVAQPGEWMQAVPSEK >Et_3B_029518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25589257:25590721:-1 gene:Et_3B_029518 transcript:Et_3B_029518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTTTTSSPAALPAATTASPAASVSPHAGTSKRPLLAGDDAPWRVAATGAAAGGIRPVPRIHHAPVLRVATQDDSAAYALAVMKHPDPIGEGLAMEAVAEAAGPECIVPGQQAPLRLMGLKVWPLDIEMKFLEPFGRELHSMKKASISHQRDVVSVSGILRVSLI >Et_10B_003068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16199447:16205990:1 gene:Et_10B_003068 transcript:Et_10B_003068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAMVSASTGALNSLLGKLATLMGKEYGKLKGVRREVESLADEFSSMKALLERLADVDELDPQAREWRNQVNNMSYDIEDCIDEFIHHIENNDAATAGFMKKTARVLKKLRARHQIASKIQEIKVRVKEVSERRLRYRLDEYTSHSCYVPVDPRVVAIYNEAAALVGIDVPRDELVHLLMGEEKELKVASIVGFGGLGKTTLASEVYNKLEGNFQCRAFVSVSQKPDIAKLLNKILLEIGGGSCHTGDLDDLLKTIALHLRDKRYFIVLDDLWDSSAWKVIKCALPANDCGSRVLTTTRIHSTASACSSYNQHYVYNMRPLGTMFQGNPSLKDMKQILKLSYRNLPRHLKTCLLYLGMYPEDSIIKKNDLARQWINEGFVSSIHGLDAEDVAASYFNELINMSLIQPVTTDYNDEVLSCKVHDIMLDLIRAKCEEENFIAVVDDPQAATKPHKKIHRASVHYSDAGHDVVVTKINKSLHQVRSVVAFTRVLLPSFLELKYVRVLLLEFKAAQMHKMDITSVCGLFLLRYLKIVTDCGLQLPNKFWCLRYLDTVVLENPMRLFVSSGVVRLSRLLHLIVPCGMVFQDGIGSLKSLRTLQAFDFSRSSLESFRSLRELTNLRDLRLDYERFDMDDETMDAFHSLLESLSSCSSLKSIVISSLVPHFDWLGTLSGFPRNIQRLHLWGLWFPRIPNWVSQLHDLYSLELLVIEVAPKYDSIDILAQLPSLAHLRLEINMIEKKLSLSPTLVWLSQLSSTWH >Et_2B_020372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19447892:19449924:-1 gene:Et_2B_020372 transcript:Et_2B_020372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIQLNKSSSRSSQYTFAYAASEARSANEPSTRLHTSPPQQPPRCAFLSPQPRRPPPRSFHGSALPPNHAATAPYKYPHRHAPSLKHPKPTTLVVPTSPTKHSLETPLLLSSPAMAFRLSNNLIGILNTITFLLSVPILGAGIWLGARADGTECERYLSAPVIALGVFLMVVSIAGLVGACCRVTWLLWVYLLAMFVLIVVLFCFTVFAFVVTNRGAGETVSGRGYKEYRLGDYSNWLQKRVENTKNWNKIRSCLQDSKVCKSLQDKNQNWQQFISSGLSPIESGCCKPPTSCGYTYVGGTNWTVTATPSTDPDCKTWSNAATGLCYDCQSCKAGVVATFKRDWKRVAVVNIVFLVFIVIVYSVGCCAFRNNRRDNAYHGGGWKGGRGYA >Et_8B_059756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2432492:2435396:1 gene:Et_8B_059756 transcript:Et_8B_059756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPFRQESLAAIALVAAAISLAAIAEQQPAITLPGCPDKCGNISIPYPFGMAPDCFLPGFEVTCNNTFDPPRAFLASGSTQENEDGYYLGYKSDVSPNHTWELPVELIDISLGPGEARAYGAISTDCATNDTYHVYRQQLTRLSDPFLFSQTRNVMVGIGWNAETELFQTIRFKVSCPTRLLDPSFAPSGACSGLGCCEINITGGLHMSLVTFVHKNNSMWSSSPCSYGMVVERGWYSFSSQDLYGFQNFSRKHERGVPFVIDFAIRNGSSCPAKGDSPSAGNYACLSGNSSCVNATSGPGYLCRCWEHYEGNPYIPGGCQDIDECELRKQSPSLRDKYPCSSDGVCKNRLGGYDCPCKAGMKGDGKAGTCTEKFPLPAKVAVGAVGVLLLLATVLFVVLLHIEKKKMRDFFMKNGGPVLEKVKNIQIFKKDELKKITNNYGEKLGEGNFGVVFKGYINGEVPVAVKKSEKIDKIQKDQFTNEVIIQSQVIHKNIVRLIGCCLEVDIPILVYEFVSKGSLEDILHGKNKVPLTLDMRLGIAAESAEGLAYMHCKTNTSIQHGDVKPANILLDDNFVPKISDFGISKLLARGTTEHAVDVIGDNIYMDPVYRQTGLLTNKSDVYSFGLVLFELITGKKAVYGDDSSFVKTYLDTYITGIRDSKGTELSCKANEAEHDTEVLHNIAQIAKECLNTDVDQRPEMNDVAERLQNIRRALKK >Et_7A_050231.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1464717:1465712:1 gene:Et_7A_050231 transcript:Et_7A_050231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRGGRRQRGRAKAMAMMRSVPGEVAWDVRDEGAVLRRVGVEERALERGALVGEPQDAVASHVQHPAPHQLHAVVPHRVEVVLRQRQVGELARLGEQAEHVGDVAVQLVPRQVDLLGERQAPERQRDGPRDLVPAGVEQGQPVHEPDLVGEAPGQVVVEEQHLGQVPRRVEDAARDRAREPVVRHHQVLRRGAPERVRDPAGEAVVVEEQRLHADAEHGRRHGPREPVEAEVEEEEPAQGDHGVRERAGEVVVGEVELVEEGQGREVRDGAREVVGVGVEEGQVRERVHEPGQRRRAQAEPVEVDGRHRQRRVGGRRVVAVEPLVH >Et_2A_018700.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5359584:5361041:1 gene:Et_2A_018700 transcript:Et_2A_018700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAVMPYGRRAGLVPRRQEDFGDGGAFPEVHVAQYPLSMGLRAGSDDDVLAVTVDAVVRQGENAAKIVYTSHDDLVPKIAAAAPEDSAEDDDEEVIQETTARTLAALRAIVDARLSAVQPASTVPRFVKYRPARQSAAFNSGAGERVVRVEQAQEDPVMPPKHKRKRVPRPAGTSPPVTVLHSPPRPASRKDQDDWKIPPSVSDRKNAKGYTIPLDKRVASDGRRVREVQVSDGFASLAEALCVAEHKAREAVRMSSMVATELRMKAAGKREQALREIAKKARAEMASDAAVPAPPADVDAAMQRDMMRGERRRQREREKRREASSSKKSMATRDSDRDVGERVALGMASGVGAGGGGGGVTYDERLFNQDRGMDSGFAADDQYNVYSGRLFAAQMPTLYCPKKQGDSEIYGGDSDVQLKKITEAGSRFKADKAFSGDPERVAGKRERPVEFDVPVLPEESGEAYDPFIELAQYITRMKEGKKH >Et_3A_025662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31692825:31696794:-1 gene:Et_3A_025662 transcript:Et_3A_025662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGATLSLIKTPFNIRRFQDVPSLSFRCRNPFGSMQPCWLATDQDSSLTKVRVAADYSDSVPDSKYTRDRGYHPLEEVKERPKKKDVLLTAVETARTVVEANSKGLLVFPARVHNEPHGHVAWSEFQYIVDDYGDIFFEVPESENIMEDDAANNPVTVLIGMDGPIIGENSVVVSDFSDYTDGENFMDVPDDYNKIDTEITDILIEWGMPATMRAIHPIYFAKCLTKAVHDNHEEKMDSPSNGVSIVGYLRPAFIEEESYLRSLFRGEGNNDGYSSDWKVNQTDEYNRETKPVSGVNRLVDDDRSQFDFNDVGTSTDSLIYKLEIMTIELFSIYGKQFMIDPQDFQDIEPDILSNSASAIIERIKENSDQCAVALRSLCRRKKSLTVEEASLIGVDSLGIDVRAFSGLEARTVRFSFNSQALSERSAEKKIRRMLFPRYQRKTVKPSTEDES >Et_7B_054300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19643255:19652475:1 gene:Et_7B_054300 transcript:Et_7B_054300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNFARGVWHKIRINIPQHLSHLQVLKYLWRRLNVPFVMDCNSHVLEHLDALIHMHVDKWDVFYSSCNVWPEKKL >Et_4A_032965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16130909:16132517:1 gene:Et_4A_032965 transcript:Et_4A_032965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARASLVVLVALLVAGAARASNDEGDALYALRQRLKDPNGVLQSWDPTLVNPCTWFHITCDQASRVIRLDLGNSNISGSIGPELGRLVNLKYLELYRNNIDGEIPQELGNLKNLISLDLYANKLTGGIPKSLSKLKSLRFMRLNSNKLTGSIPREFAQLSNLKVIDLSNNDLCGTIPVDGPFSTFPLRSFENNSRLNGPELQGLVPYDFGC >Et_4B_036312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16916447:16916977:-1 gene:Et_4B_036312 transcript:Et_4B_036312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDTDVDALRPRTSKSSTPHEAAGEECVDGSTKSGTPDSPQLPTKKRATGRKQAKENSKNGVEPFKEALQELVAFKKKELELEKERWRWTKEIEERKISMMKRKLPDTRTRILAMRAQIAASAVASLNGGHGGGGGSFRCDFGVGSGSFGSGDNFSQV >Et_7B_054677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3404944:3407152:1 gene:Et_7B_054677 transcript:Et_7B_054677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYGENVRRKSHTPSAIVIGGGFAGLAAADALRNASFQVILLESRDRIGGRVHTDYSFGFPVDLGASWLHGVCEENPLAPIIGRLGLPLYRTSGDDSVLFDHDLESYALYDTKGRQIPQELVEKVGKVFETILKETDKLRKETSEDMSIAKAITIAMERNPHLRQQGIAHEVLQWYLCRMEGWFATDADSISLQGWDQVLLPGGHGLMVRGYRPVINTLAKGLDIRLNHRVVEIVCHRNRVEVTVSNGKTFVADAAVVAVPLGVLKANTIKFEPRLPEWKEEAIRELSVGVENKIILHFSNVFWPNVEFLGVVSSTTYGCSYFLNLHKATGHPVLVYMPAGRLARDIEKMSDEAAAQFAFSQLRKILPNAAEPINYLVSHWGSDENTLGSYTFDGVGKPRDLYEKLRIPVDNLFFAGEATSVKYTGTVHGAFSTGVMAAEECRDRVLERFRELDMLELCHPAMGEESPVSVPLLISRL >Et_1B_010816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14444418:14447406:1 gene:Et_1B_010816 transcript:Et_1B_010816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFAKKPTARGTICFPPPTPQFLIGIRSNPASAAAIPTAIAPSLSPLRTSNSNRQGNRPRAPRSPFQRDGPARGSNYGLLVSGCGCAETIRSSKRELTNATRGIERDIATLQQEEKKLVAEIKRPAKTGNEAATKILARQLIRLRQQISTLQGSRAQIRGIATHTQAMHANTSVAAGLQSATKAMGALNKQMAPAKQMKIMQDFQKQSAQMDMTNEMMSDSIDNILDDDQAEEETEELANQVLDEIGVDIASQLSSAPKGKIAGKKAQVDESSELEELEKRLSALKNP >Et_4B_039134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8098627:8099919:1 gene:Et_4B_039134 transcript:Et_4B_039134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RKETRANGNSKCKSLPSLIDESTMSAEDEEGEMVQWLHVLLRTRFWKPCSRKHTVEKRADGCIFCIKCCKVFCSHCTHYKLDHHLLKIRRYVYCSVVLTKDMDELSIDVSRIQTYIINGQKGVHLRPMRRSSQFRPQPGSPRCLTCSCWLPTTPNAFCSLTCKVKANVSQEDFSGPEAEHRYRSLKTHMAPTIVEYLMPEPAELEPELEPKAKPEPKSEIELELEPKATPELELEAKAEPKHEQETEPEPNHEADHEAELFTAQLTYALSLESPYPLDIGSFRKRSRKQ >Et_1B_012074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28701071:28701716:1 gene:Et_1B_012074 transcript:Et_1B_012074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRPRAPPRCGLPNPSARTSIPKPAAGQIWATSDGTAFPTLDAVGTPSPTTRTLYPATGASSSHKFQASNFATPKIVEETVCHNSAWRATKAKKRGKADATDGKK >Et_10A_001375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2396815:2410554:-1 gene:Et_10A_001375 transcript:Et_10A_001375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTRLSRTSQTCQSLRGLMKEKVKSAACARAAEAAAERCGGSTVWNSGLSEARHPRMGGRWRDRKRRRKRRAETRRRKEVQAAEARARSAASSRRRKMSSRISSGSEAIPRSVRRRQGSGVALAGGNNAAGVKRARTLLDRRPAYIIQPIYSVAGDGRNPDVAAVHGVADNEVLLGEPHVGAPGQEDAAVADAPAGAGFGDEGEGEVCGLLERASALGGAVRRLGRLEHAGAVGGVAADDVEEAEDGRGVDGPEAAEEPAAGDDAAEIGAGGVDGGEVGRAVETEEDVLQDVLGGDAGNLDVPPVQGVADHEDLGEEPGGGGAPGEEEPAVAEVADVPAPGVAGEEGEAEVGGFGEGSGGRRGSPRKLGLVESSVAVVAAAVIQQPEDGRRVERPVAAEEAEAGDDAAEASAGGGRACKVGRVVEVEEDVLQDLVGEDRLHCRGAAVRVLRRRGHRGDGRDRDRSTLDRISRKGSNFSSPECPVEATRDGGNLKLPAMQWVTDDEQCGGGIPREEDTAVADLPRSRLGEEGEGKVGSLREGVSRRSGAVRRLGWVEASVGTAPAEESNDGRRVEGSEAAEEASPGDDPAEGSAGGGRAGEVGRVVEEDEDVLEELVGEIRLRCGGGGWERRRRRHRVAGNGRNLDVPAVQGIIDHKGVKDVRCLGYVYVPAEEEDAVARVAGAPPLGVGEEGEGEVGGLRDGASGRSGATRRLGLVEFYAAVAGIDESEDGRAVEGPEATEEVAPGDDAAEGCAGGGRAGEVGRIVEADEDVL >Et_9B_065129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21463011:21464853:1 gene:Et_9B_065129 transcript:Et_9B_065129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGDQAAAAGNEMSLSNMVLGFYEEAERERWPEADAAGDGSDEEGSSSTAESRAFWQEQRSQLREALAKTSSAESRIRADTEEAITQMRATPGGVCSCSGRCRGCALRFVAERLRNAGYNSAICRSKWSRTMDIPSGEHTYVDVVVPTKSGKAVRVVIELNFRGEFEMARGGAEYKALVAALPEVFVGRTEKLRAVVKVMCAAAKQCARENNMHMAPWRKHRYMEAKWLSTPERVLPGTAAAADVPVGSPEKQPKFRASMLTLDFGGRTAVQVV >Et_2B_020269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18558273:18559622:1 gene:Et_2B_020269 transcript:Et_2B_020269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLPRAAGLEEECREIHGACSQPRRLGLLLAPRCPFERQQIRATYRATFGEDLAATLQRTVMANQENEVKSTVLFPHGDCFATSTDCFSLHGNQVIYFFQLCKLIYLWALEPADRDAIMAREAVDGGMTVAGYRTLVEVFTRRKQNQLFFTKQAYMARFRRNLEQDMFPSVVMFTKYDQQGSDIIVSWWFEQLLVALATSHKSHHDELSQHIAKCDARRLYDTKNSGAGSVVDEAVILEMLSCNYVSVQALKQNGPGEFEEYLRVVVKCIYSPSKYYSKVRRTIAKVSKQRFVVPHISMLLQGSTQCAAQDKRLVTRAILGSDDVGIDEIKTVFKSSYGRNLADFIHESLPESDYRDFLVAVARGSSTS >Et_2B_022938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8801307:8805328:-1 gene:Et_2B_022938 transcript:Et_2B_022938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLHRHATAVLLLLAITTTTRLLLLAAASPALPDPASLEPSLLFPSAGEGGGASSPAQPAASASTIPAFPEQSEAAAAGTSVCQLAPSPPLLPAVLASCNAGGSALPPRLRCCPALAAWLYAAYAPAALSGRAGAGEGGRGAGAGQWRSEAVAGAAVVVDLPVLPDDSAECAGAADRALRAAGASLPRPPPPQVRGGASNGTAAACDVAFCYCGVRLRRPACAAPEGRMARRLERECARPGLDGCSGCLRAINKGKLGLKKNATSTSTSAKAKQQAREDCQIMGLTWLLQRNATRYREAATAVIQALMVAEEGGAGHPATCSLPADDELPVAVGSSQINGASANAAAGVGRVLLCQGVVLYCNFTGY >Et_9A_062787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:543879:544358:1 gene:Et_9A_062787 transcript:Et_9A_062787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIVNSPGASCPCSVARSSPRPASASAIAMAPSMRRRSTAGRLVAVAAVGDVAAEGNTFLIAGAVAVALVGTAFPILFSRKDTCPECDGAGFIRKSGATLRANAARKDEAQIVCPNCNGLGKLGQIDK >Et_2A_015764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17603348:17608578:-1 gene:Et_2A_015764 transcript:Et_2A_015764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GISSLLVVRYRRAAGAAMRVGRRIHSCSSSAAAPSAAPSRSRLPLITSTPAKSYSTRPLRDTPSTGAGATGRGRPRPSSSLPAVHRPIASTSATAVDVAAATISSRDANADDDRMIVRVYMYRHQPAKGCTRRASSQYRSNKMESEEGHPGQSAVVVVVLFTFTPGESEAQCSSRRRLRLLCHPDETFRDNVVMEDGISTYTVDEALVSMGFGKFQAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWELSAQAESLITSVVFVGMLVGAYAWGIITMEEGRFFLTCSFRRLLDFSYECSDFNKYNHFNAPLCFFRVGFNFTALVTGGAGLLSAFAPNYLSLIALRFMVGVGLGGGPVLGSWFLEFIPAPSRGTWMVMFSAFWTIGTIMEASLAWAVMPAFGWRWLLALSSLPSFALLLFYPVTMESPRYLCMKGRTADAVHVLETMARVNRVSLPSGRLVSSHRIEELHEFADSSETSQLMSSRKTNAAELDKAEIGGLNAIWKLLSPNLLRSSLLLWTVFLGHAFLYYGLVLLTSELNHGNRICGSEGAEVIQKTHINDDNLYRNVFITSFGEIPGLLLSAAIVDKIGRKLSMSSMLYISCMCIAPLMFPQTEALTTFFLFGARVCISASFTVLHIYAPEVCSSLYFFYMHVSLAKLLKFIALLCHIYPTAVRATGVGFASSIARFGGILCPLVAVGMVHACHQTAAILIFITVMLASGVAVSYFPLETSGRKLSDHIAA >Et_2B_019635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11491968:11493535:-1 gene:Et_2B_019635 transcript:Et_2B_019635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLQSIGILSFSAATASAGVVILFKRDTEFCRAFPQLACDQYELSAVLAFIAWLFIAASALSSFWFRDTLHLSLLWSGPVPLPCSHALRRKPSRASGFSSPATHHRDSPLRRARPMLDVFGRPGTRSSLVLRVSQLLCAAAAMFTIAAAYGSDHYSAY >Et_2B_021162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27025274:27026042:1 gene:Et_2B_021162 transcript:Et_2B_021162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLGGPPVPSPMGRMPMGPGPNGHFMPPPMPMPDMVPPSTFGASATAKISVDASLAGGIIGKGGTNTKHISRVTGAKLAIRDNEADPNLKNIELEGTFDQIKHASAMVTELIVRIGGKVPQQAKNPGRGSHAGGPGSNFKTKLCDNFNKGSCTFGERCHFAHGEGELRKPAAA >Et_4B_038133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26667311:26668674:1 gene:Et_4B_038133 transcript:Et_4B_038133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRPKPWESAGTSSGPLPFKPPSGGSTSDVVEASGTAKHGEVVSTTGNNVTSNVNSSISRPVPPRPWQQQGYGNSYGGYGSNMYSSYGGFGGAYNSGGLYGNNMYSGYGGGYGGLYGGSGMCGGSMYNSGMGGPYGGYGMGMTPYNQGPNSFGPPAPPPGFWMSFLRVLCDRGGMLYGELARFVLRLLGIKTKPKKGAIQHAGPSSLEGHGQQFADAPKANNSSWDTVWTQDDKRK >Et_6B_049046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1786459:1788308:-1 gene:Et_6B_049046 transcript:Et_6B_049046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVISAAAFLLLWCHAFPSSAAAGRRPPSMLARHLSSSSALLSARQAAATAGDGGEVDPSSMVRYDAVVRAAAGPLQRRALKCTSYDVVTKDFKDESKNCYGVLRNSWNELDDALATEAGRAELNRKFNNMCNGNVDMIPELLGSALVGSAMMDEDGGRRLPRGPRHVPGHRPPDELRLRLRRRRLVPDQRRRQRLLYTHYAADAACFDDGGGSMIDGWGWHSRTEMILMTSGVRDGSVVRPRAFNFTEMLDEEREDTGLPLRPYWIEAEFGGFDIGNVLRRSASNTVFFNGLRDPWSAFGVLKSISDSIIALVEPQGAHVVDLKFSEKDDPDWLKQVRVKETRIIACWLRQ >Et_2B_019556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10063696:10067231:-1 gene:Et_2B_019556 transcript:Et_2B_019556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VDVLRHPSTGAFVTHCGWNSTLEAIRYGVPMLCWPLYAGRELRAQVVARKEEARAALEDGGSSHTAFVQFLTDVNNLAEQEKLGI >Et_4A_032931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15761636:15764581:-1 gene:Et_4A_032931 transcript:Et_4A_032931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASKSAIDQRRPARYYTRGRRGRTRARSIMPEAPDSQLRDSRGRMTGFSMSEIVHVETANSGKSEHSKTFHLTQMQWHHSQRDLKGCSNEDAWFDSVSILEDDSDDEFKSVSGDSTPSMDENESPDSVSRFADALNRIGDICRGVPMTLSIEQYLKRDNGDDPGRRSQSMSLCATKCLPTSFSFKGLKEKNDTDDNNKESTTPSRLRKLLHSISFNDRMQQLTGGSPAKKKSTVIHVSYKRTSCDGCEDSNEPSKSKKYVVRPKVGQTIPCGGDKPTTGCWSRIDPSLFKLRSETFLKDKKKCAAPNYAAYYPIGVDLFACSKKVHHIAQHIDLPQVKTHHKLPSLMIVNIQMPTYPAAMFLGDSDGEGLSLVLYFRISEYFDKEVSEHFKESILRFIENESEKVKGFASESTVAYRDRLKIMAGLVNPDDLQLSSTERKLVHAYNEKPVLSRPQHNFYEGENYFEIDLDIHRFSYIARKGLESFRERLKHGILDLGLTIQAQKQEELPEQVLCCVRLNKIDFINQGQVPTIVTVDDK >Et_9B_064778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18581094:18582043:1 gene:Et_9B_064778 transcript:Et_9B_064778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVVRGCSRCGSYGHTSTACLGKIEHPVMRCGGGGAAGLRLFGVQLHADTAGGGASSQALQLQKSFSMGCLNMQGSSASYALVAAPLLSPSSALLLSIDEGGERRAAADGSGYLSDDGARGGAALRERKKGVPWSEEEHRLFLVGLEKLGRGDWRGISRGFVTTRTPTQVASHAQKFFLRQNSSGKKSSSKRRSSLFDMSCENGASAASEPSVCKGASAPLSPSTSCRVPWKETTAISRMKPSSETPVSEPVPMISEQAHGCHNSLLNLELGMSLSTPSIGT >Et_10A_001337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23525738:23528460:-1 gene:Et_10A_001337 transcript:Et_10A_001337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNVSTNVNLDGVDTSDILAEASKTVASVIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPDCHHHHVLIAILLFVRPIRHKNMLNRSDFGWNGTTF >Et_8B_060696.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5076313:5076966:1 gene:Et_8B_060696 transcript:Et_8B_060696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASVVLPPLPLLRQDMPLPYRPPTAAGPKATLPPALLARTTAAIQTPLRCTASAAAASSKKRCPPLPPSLKGASKKPKTAQQDTTAPASCSGEVAAAAVMTTAERRRALDKKVAGRLLVRSWDAAPHKEGTPRTALRCLCRELPRGSRCALHQDTPSRSWMEQQQGADGEVVVPKLRPGASTEAFAEYARWRRSVRMPSRFYAEHVREINAGWKE >Et_4A_032156.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21540284:21540517:1 gene:Et_4A_032156 transcript:Et_4A_032156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLSGSKEIDVCSMEPNHIRTRSSGPSLRKRMSGGWLEQRDYGRSCRRGCLLSWLCTTRTGAHVLVKLTSDRVWRL >Et_4B_038280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27824369:27828994:-1 gene:Et_4B_038280 transcript:Et_4B_038280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GVVVPTLSVKTKVCFALASILTRKTTQNLKRRKKAKQLVRSFGVKARTMYQHVVDDSSADSVEDSQLFPSLPALNQAASYLAQTASYLTQCLPVPGYVGLPEEGQELVALPPASVSGTSFQTSSIESAGASSSLAQEGCGGITPQENSGQMVPSSVFQNGASLFQGLVERARKTVRGSADDIGWLQRDQNLPTTEDGTARFMEILDSVRKNEHKLPDSMVYLLVPGLFSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVIKNAREIKEYIEEIYWGARKRVLLLGHSKGGVDAAAALSLYWPELKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYEKRKEFLRQHPLPQEVPIVSFHTEASITPSVLTALSHVAHFELPIAVDGNSTRVPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLKNEPGDQADTSQVCEALLTLLVEVGQKRRHEMAMKDEQSTPNGMYASLSSSYVERRDVRLVRSGGLSARCLEVVLPCFKHHHQLLLVSS >Et_9B_065790.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:1255939:1256202:1 gene:Et_9B_065790 transcript:Et_9B_065790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILDRRLLLSSGRTVYAGSPAGLKLYIAELGAPIRGRERQLDDPATLADSNTRLQPTTSADRNKSRDNKLTMSTMPLELAIAESVS >Et_8A_057250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22862696:22864717:1 gene:Et_8A_057250 transcript:Et_8A_057250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQQKIRWGELEEDDGGDLDFLLPPRVVIGPDANGLKKVIEYRFDDEGNKVKVTTTTRVRKLGKKTLSRSAIERRSWPKFGDALKEDAGSRLTMVSTEEILLERPRAPGSKAEEPASSGDPLAAASKGGAVLMVCRTCGKKGDHWTSKCPYKDLAPQAETFSERPPTSDGPPAPGGATKGAYVPPTLRGGADRSGGDSMRRRNDENSVRVTNLSEDTREPDLLELFRAFGPVSRVYVAVDQKTGSSRGFGFVNFVHREDAEKAISKLNGYGYDNLILRVEWATPRPN >Et_1B_011617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24203853:24206135:1 gene:Et_1B_011617 transcript:Et_1B_011617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYGSGAGTPRPRTSSRRPCAASTASRAGSGAFVYEGMRATPLSTSTTANFTRSLRKAASFAHKIKPPSPGADGGDAAATAPPPRRTKSCKENRSAGAEVALASPRRSLPEPGVAARGPWEPPARRRRSTGSEDVAMIARRKEEPEKEQAAHRARVLTGRLLQWRFANARMEKAMARATSAAENKLFYTWLRVAELRNIQAAKRIVAQRRRQKLKLARLLRPELPLLASWEPLAKPHDDATADLTRVLSAACTSLPLAAGAQADVQSLHETMFSCVGTVNEIEAINDMFYATAGATSSALGELARTIQQEMECLEEATRLSSIVTTLQMQEVSLRANLMQAKQRLDLAAVGAPMLATSDNMGQS >Et_4A_033403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22353061:22354238:1 gene:Et_4A_033403 transcript:Et_4A_033403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSMFLQWALNTLQEEHPPAPAYDDDGCQTFSSSVTELLYSSSVNSMVPGEPPAREGHRATSSWSSGDTDSGGGGRNASVTAAVEIDGLSPSQNTVRYSPASAAAPPSSWSNQPVSWNFSSAQARPSKEAMTNSAAASRATDDVGGGVPQIRVQEPPLLTRTAAKGSGSSAPPFHIIAERKRREKINKRFIELSTVIPGLKKMDKATILSDATRYVKELQEKLKAHEDGCSNDGRRKVPRKKPCITVPDGEDRRLPSSNVAAMPTAATSRNTLPEIQVRISEDNVMVRIHCEDVKGVLVTLLDEVEGLHLSIVHANAMPFSASTLIINITAKANTLSQFTIEDGKHIVLPVNSQYIRSK >Et_6A_048153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7966418:7971507:1 gene:Et_6A_048153 transcript:Et_6A_048153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQILACLPLIILLTLSQNSSCQIDPQTQALLEFKDSLKDPLNHLHSWTNGTSPCRFFGVRCDKDSRIVTEISLSNMNLSGEISPSIATLHGLTRLEVDSNSLSGPVPPELSRCTQLRFLNLSCNSLTGELPDLSALTALDTLDVANNYFSGRFPAWVGNLSGLVTLSVGINNYDEGETPASIGNLKNLTYLYLAGCSLTGTIPDSIFELTALETLDMSMNNLAGRIPGAIGNLRNLWKIELYKNNLSGDLPPELGELTGLREIDVSRNQLSGGIPPAMAALKGFTVIQLYHNNLSGPIPEEWGELRSLTSFSVYENRFSGEFPANFGRFSPLNSIDISENSFTGPFPRYLCQGKNLQFLLALQNGFSGEFPGEYSACTSLQRFRINKNQFTGSLPEGLWGLPEVTIIDVSDNEFTGSMSPVIAEAQNLNQLWVQNNMLHGTMPPEIGRLGQLQKLYLSNNSFSGPIPEEIGSLSQLTALHLDNNELTGPLPADIGGCNKLVEIDVSRNGLSGTIPASLSLLSSLNSLNLSSNAFTGQIPTSLQALKLSSVDFSSNQLTGTVPPGLLVITGDEAFGRNPGLCVDGRSELGGVCKVDGGRRDGLARRSLVLVPVLVSATLLLVAGILFVSYRSFKLEELKKRDLERGGGDGGSGQWKLESFHPLELDADEICGVGEENLIGSGGTGRVYRLDLKGGGGTAVAVKRLWKGNAERVMAAEMAVLGKVRHRNILKLHACLSRGDLHFIVYEFMPRGNLHQALRRDAAKGRPEMDWPRRARVALGAAKGLMYLHHDCTPAVIHRDIKSTNILLDEDYEAKIADFGIAKIAVDSSVSEFSCFAGTHGYLAPELAYSLKVTEKTDVYSFGVVLLELITGRSPIDPHFGDGRDIVSWLSTKLASDSLDDVLDPRVATSASVRDEMLRLLKIAVLCTAKLPAGRPTMRDVVKMLTDAGAGPCSPRGMPPSRGNLWAKEAKGMYYFQIVQQVEEKSNQNNEHLKVLKWIEFPSKRTSATKL >Et_5B_044673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4525487:4529526:1 gene:Et_5B_044673 transcript:Et_5B_044673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWACFDDRVAMALQDDSAATSYSPQMVGNAFVNQYYYTLRNSPEHAHRFYYDSSTLGREDSNGNMTSVTTLDGISAQIMSTDYTGYVMELQTVDSQASHGGGVLILFAGSFTTPDAVKKKFTQSFFLAPQENGGYFVLNDMFRFVSEMPSTVITEEASHDNGSTQRATLPAESGTASAQESTVRDLPSAASLPVNRIITSPSANGAPSVTIPPTNGVKAANNGVEKKPEAAPAAPVEKVATTTASVEKATLASAPAEKAAATSAPAPAEKTATTAPAPPAMDVTKRTYASIVKDMREITLPALAPAVKPKPSPRPKAAQNAEKSASSPAKPAHATSTAPSGDKNTSKSKQPDEPGYSIFVKNLPYNATPEMVEQEFKKFGPIKPDGIQVRNSQVDRFCFGFVEFESQQSMQAAIEVEEKRTSTRVVNGVTTRGDDNGNAGGSRFQSGRGYQGENFRGNNGNYHDGDNMRNGYRNHQNDYGGRGQRQQGNGYHQNKNGYHQNGNGYRQNGYAQNVNDQQRRPSHNGNGTGNGKVERASAPHKQTAAAA >Et_4B_037707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22760711:22764864:1 gene:Et_4B_037707 transcript:Et_4B_037707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADNGEGHGHIAVAASPVTVHLLDGTIAHCELLYFSKQYDIAFYAIFGESHLQALSLESNLEDGKDLYVLARDKNMDLILQDSPSECGTGGILLNSSGRIVGMLFDTAPLTAFIQSSLILRRLPRPQLGLKLGTVGFLDIAQIELLSHNYGVTSGIIILEVSAGCAFERVGIRTGDVILSCQGANISNMTQLEEVLLDIGEKHFEKGDGVTSEVDIEETITRHLAAKTNSFIMLGQPVSLKGVYSVDSSSKQQNCRLWQPNIKLLVGSTTGT >Et_3A_023566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4808842:4809210:-1 gene:Et_3A_023566 transcript:Et_3A_023566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HCSPHRLYVSIEYIDTEQTPENIAHVYRVAERARRHWFDMEAEEMIEEECRKMRQKEEERRREYEAERKVREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_2A_017095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30931441:30933695:1 gene:Et_2A_017095 transcript:Et_2A_017095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSSIFADELLPPSPPSPHSSHHHHHPAAKRARRSPARRREALLHQLFALFPDMDPQLLEKALEASGDDLGSAIKSLTELRLESTDAILSSTGLTPENGHPAAIHAASVEGIPNGGLDAVTEHPPAVDNHQTTNNGSEWVELFVREMTNASDIDDARARASRALEALEKSILERAGAEATQNLHKENMMLKEQLTIVLRENAVLKRAVAIQHERQKEFDERSQEVQSLKQLVLQYQEQVRTLEINNYALTMHLKQAQQNSSIPGRYNPDVF >Et_3B_030336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32266027:32266914:-1 gene:Et_3B_030336 transcript:Et_3B_030336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMPGVSSDDEETEVGEEEPAMEIGWPTDVRHVAHVTFDRFHGFRGVPAELQPEIGEKAPSASKTVFGVSTESMQCSYDARGNSVPTILLHMQRRLYDQGGLDVEGIFRITAEDSQEHYVRDQLNAGVVPDGIDVHCLAGLIKAWFRELPGGLLDSLPADEVTRCQSEDDCARLVARWLPPPKAALLDWAVNLMADVAQHERANKMSTRNVAMVFAPNMTHAVDPMTALKHAVQVMNFLNMLIEGTIKQRRAST >Et_8A_057442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3036454:3043239:1 gene:Et_8A_057442 transcript:Et_8A_057442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAGASHQQQQQRLRQQQQQQALLMQQALQQQQQQYQSGVLAAAAAAAMTQMEPISNGNLPPGFDPSTCRSVYVGNVNPNVTESLLIEVFQSAGLVERCKLIRKEKSSFGFVDYYDRRSAALAIMTLHGRHIYGQAIKVNWAYASTQREDTSVMLESCGTTKLDVPEVMALSPSEAENAITEMTGKWLGSRQIRCNWATKNSSEEKQETDNHNAVVLTNGSSSNAATDGSQDGGSKENPENNPDCTTVYVGNLGHEVNRDELHRHFFNLGVGAIEEIRVQQDKGFGFVRYSTHGEAALAIQMGNGLVVRGKPIKCSWGNKPTPPGTASKPLPPPVAAPYQPAVAMPGVPQGFTAAELLAYQRQLALSQAAAGQIAGQHGLAGQVSAGLLAGSQALYDGYPNQSSAQQLIIFCAKFRSPGPTRQPLSFSFLNSVVRSPDLPSLVSWFAEVRDVATGAVEVAVPRNPRRSPSVAVTSTEEAAGSRLLDLEKTVKSWTEPPYRPPNIFSVSSREEIRMGMLPATRSVPNDEDATAGTGEKKRKIIRSSPLSEDDATEAMDIQLQETQNSKSGVEHNLQEEDTGSVFSALDHISLEEENSLWKKLQSRERHSFRQISDEEACKMFGRIHELRLMEYRSKISELSDEGTAPATGEVDLDWQDRRVPSQTFDWTIFRGHFADRDLDVYQRIVPKREVRASALFTISLCKCVHPNSLRLLRNCIVV >Et_7A_052023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3829024:3862794:-1 gene:Et_7A_052023 transcript:Et_7A_052023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAMLISATTMPRGVVVPPRTPRRHSRLLHSDGARKPPRHLACAATGGGGVDRRDVLLGLGGAAAAAGLATSQGALAQPIQPPDLENCHPPADLPATAPNVNCCPAYGPGAGVTDFQPPPACTPLRVRPAAHQVDKEYLAKYERAVALMKALSDDDPRSFAQQWRVHCAYCDGAYDQVGFPNLELQVHNCWLFLPWHRLYLYFHERILGKLIGDDTFALPFWNWDAPDGMTLPPIYANTSSPLYDERRNPVHQPPFLMDLNYNGTDTTIPSDQQIDQNLRIMYRQMVSGSKKPELFFGQPYRQGDAPDPGPGNLENVPHGTVHLWTGDPGQPNGEDMGNFYSAARDPVFFAHHGNVDRMWHVWNGLRGGGNFADADWLDAAFLFYDEDARLVRARVRDCLDVSALRYAYQDVDLPWLNAKPAREAGSPAPAAGSLPATLNTTVRVSVKRPGTSRSRQEKDEQEEVLVVDGIEVADHSRFVKFDVFVNASSSQGGDDAAAAAQCAGSVALIPHVIRGHKEGPVKTVARFGICDLLDDIGADGDETVVVSLVPRSAGDMVTVGGVRIEYVNAMEICTVQRGRAAPRTTCRGSLETLRQRNVVFLHAWSTRRTARYSSCKATGDRVDRSDVLLGLGGAAAAGLATSYRDGGALAQPIQAPDLGDCHPPAELPATTPESRHQLPPDVRAWHRDRRLHSAAGVIAAARSTPAHLVDREYLAKYERAVALMKRLPDDDPRSFAQQWRVHCAYCDGAYDQVGFPNLELQIHNCWLFFPWHRFYCYFHERILGNLIGDDTFALPFWNWDTPGCMTPRAADLLQPELTAMISGAKKSELFFGQPYRQGDLPGTIESVPHNPVHRWTGDPRQPNNEDMGNFYSAARDPVFFAHHANVDRMWYLWNRLRCSNTDITDPDWLNANFLFYDEEARLVRVRVSDCLDTAALRYTYQDVDLPWLNARPSMEAGTPAPATGGAMPATLNQTVRLNATRPRTSRSRQEKEEKEEGGDDSAAAAQRAGSVALTPHLVRADSTTMGSVRTVARFGITDLMDDIGADGDSSIVVSIVPRSAGEMVTVGGVRIEYVNAMEICTTMQRGAAPRTPCSSLQKLRQRNLLHARSTRKPPRYSSCRATGGDRVDRRDVLLGLGGAAAAGLATSYRDGGALAQPIQAPDLRDCHPPAGLPATAPDINCCPTYGPGTEIVDFTPPSAYSPLRVRPAAQLVDKEYLAKYERAVALMKRLPDDDPRSFAQQWRVHCAYCDGAYDQVGFPNLELQIHNCWLFFPWHRFYCYFHERILGKLIGDDTFALPFWNWDAPAGMTFPSIYANRHSPLYDPRRNPLHQPPYPLDLDYNNVETTLPRDQLIDQNLKIIRNVHMSLPQMVSGSKKPLLFFGEPYRQGDLPDPGPGTIQSVPHGPVHRWTGDPRQPNNEDMANFYSAARDPVFYAHHANVDRMWYIWKRLRPGNTDITDPDYLDAAFLFYDEDARLVRVRVRDCLDMNALRYTYQDVDLPWLNAKPSMEAGTPAPATGGTMPATLNQTVRMNVTRPRTSRSRREKEQEEEVLVVHGIEIPDHFRYVKFDVFVNGASAQDGGGSAAAAQCAGSVAMPPHLVRADRTTMSPVRTTARFGITELMDDIGADGDGSIVVSLVPRSAGEMVTVGGVSIEYMESTGLPQSAPRRMPCSLQTLGRRSLLLPHEKATRARRHFSLKATHDRVDRRDVLLGLGGAVAAGLATTTTNNRGALAAPVQAPDLQAPDLQKCETPDIPATAADPSCCMTLYTHFHERILGKLIGDDTFALPFWNWDAPGGMTLPAIYTNESSPLYDERRSPAHQPPSLLDLDFSDTDPNMPRDEQIDQNLKFMYRQASSYSRTLNKCTSPIDLYTAEN >Et_6A_046653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18810445:18812085:1 gene:Et_6A_046653 transcript:Et_6A_046653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTFSSVSSIKTVPVENYTSSDGYLTRRMLLLLTLHAKAAGLLQGIGEHHLFGLLCRTQKVLSLSRPSSREDGAIAKRSDMDGGLFQDTEDNVVLVTWTNVEGSVEMS >Et_7B_054121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15723044:15725545:-1 gene:Et_7B_054121 transcript:Et_7B_054121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRTKERSCHELRVIVVDENPCHAGATESTLAELNFQARVYTSPIKALDFLKDHEADVDFVLVAVHMKEMHGFQFLDISREMHRNLQVIMMSAETTWPTMQRCVELGARFLVKKPVDTSDMCNIWQYLDLKLLRMEKIKQLFKEELEKSVNKCGEGTRKKTAHLMWTPFLQRKFLHAHDLLGDAATPKKIEMIMNVNSIDRKQISAHLQKHRKRMEKKRRVLKKCSKGASRSEPLETCEETVRDNIHIQPGDTNEEEMPSDETKVITKETHGDKVYEAMRKALQLGTVFDEQLSNDPSAEQAKNGEADMMRDGFAQDNNTTAAGQEKVIPETHNANDAKDVINKADSNQAGLCKLVTYSDSEDDEMAVNV >Et_3B_030082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30361956:30363985:1 gene:Et_3B_030082 transcript:Et_3B_030082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTAAALSCSCRPSPSSSSSSAYAFRLRNVDSVAALPSRRSSRLRLAPLHVVDDSKEVEAGAGADRPEERSRTDVLVDGMDFGELCNEFECISSPYVEATARQLARDILELREDNRAFNCYAVSVKYKDPLRTFVGREKYMRPLWITKALESPKVTVQEMSMQSTSTLTIKWAFRGKPKNPIFATIGGDVIVRVESRFVLNQISGQVLEQVDSWDLSASSLPAQAYFWLSRRVYSTVESGKDTIEAAKSTASGTSSNKDENLEAYLDPSGDPTKFFQRPDDGLSQDVYQIALLLAVIYFVVQFLKTTL >Et_2A_015097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29731116:29732428:1 gene:Et_2A_015097 transcript:Et_2A_015097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTQANYLKLCGAISEAPAELQNKSYEISLENMDECNSMPTSEPATNCTLQFEAYSSEELCSWSGEKHYILSPELNTEDSQDLEEVESAPHSAFLEKTPFLNSKQKLLDSSSPFPTPSVVRDDMQTPGTIYTTQRGAPLSGKRVRARKQFIYPVLRPIENKLCHTELREDTQAFPLRNLPRGRSVETESIKKRKQTSSTSGAKPRLSKAPSYSSLSDDLERLDGELPKPNSDEKHAASSLSHWLRPSMDVENQGDVKMSLLTERPVFVASELNWDAEKPTPRFSKIWNGNGIPNTTTRYKEDQKVTWHATPFEERLLKVLCDEDVRPARYFPFYPPCQGMHSA >Et_1A_009569.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:8308564:8309097:1 gene:Et_1A_009569 transcript:Et_1A_009569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLVLLLLPLLTISLPHSVGEATQQPVYETEGHELSSDSSYYILPADKGIDGGLKFLDEFMSCTFFVGLQQGDRYAIPVQFMLPSNGVVGLSTNVTVKFFIIRASPIARNCPKSGISAFKNLLTCSGMWLPGRWIGWGLTHCQAKCSASRGTARHHGDTSFHLALVGRKTANGAMI >Et_3B_030075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30226355:30229709:-1 gene:Et_3B_030075 transcript:Et_3B_030075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHAAGTGGGAVGRRKAGAAAAAASREWMVVPASGPARVEEAGKHAVMARTGLPARDLRVLDPLLSYPSTILGRERAIVVNLERVKAVITAAEVLLPNSKDPAFARFVRDLQARAAELTDMEGESSVAASPFPVPNSSKGHELEMTKKSATVVGGMTNSSSMPTLTAAKDGNTKVLPFEFRALEVCLESACRCLEEETSTLEQEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDEMDMAEMDEDYRSEPDGSNGSFSGYYKPNIEELEMLLEAYFVQIDGTLNKLSHLREYVDDTEDYINIMLDDKQNQLLQMGVMLSTATVVITAGVAVVGIFGMNIGISLYNDPTSDEERRAANMRFWETTFGTIAGCVIMYVIAMGWGKRSGLLQ >Et_1B_013533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9274059:9277779:-1 gene:Et_1B_013533 transcript:Et_1B_013533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKFNPFGGKVQNGLEGRTIDVGNVKIIVRNVIAEGGFSCVYLACDAVHVSKQFALKHIICNDSESLDLVTKEIKVMDLLKGHPNVVTLVAHDVFDMGRTKEALLVMEFCEKSLVSAMESRGNGYYEERKALLIFRDVCNAVFAMHGQSPPIAHRDLKAENVLLGLDGAWKICDFGSTSTNHKCFDKPEEMGIEEDIIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQILNGNYRIPEQPKYSTAVTGLIKDMLEASPNARPDITQARALIHWTFISMILGAYKRTPVMPRRNPPPPPKEQSNSSSHRTSKAGDAPLGAFWATQHAQSSHAADKKNPLFDEEPIKLSPSTKQGQSRVDISTGTPGDRRGHSGQAVRISTPSNSMPNNGVESQSSLKIKAQQPHAKPKSEKDPFNSFVADFDTNNLHTGTSAAGKASDLELEVSSLKEQLKKTTLEKEEMTAKYEKLSAICRSQRQEIQELKRTLAETTPPSNKVNSRTHDSISQRKEKIEGTVWELEQGMLASNSSVPSSEAKTWQAFPEPKAQARPKVDHSTNGRQNLTKNTNASPSPDMWGFGADNFRTSPSSAPSAAAQISRPSAKGSGTSSQRFSTAAAKKAEQPSGWAGF >Et_3B_029962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29405280:29407802:-1 gene:Et_3B_029962 transcript:Et_3B_029962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRRRGPLWSLPVARSDALGKLGPAFGIGAGCGVGVGVGLIGGAGIGAGFPGLQLGFGAGAGCGIGIGFGYGFGKGVAYDESGRYSNIRRPFQNSRNLPYDEQFDVLFDELMESTRKLIKATSKEIDKWRRM >Et_8A_056077.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17263268:17263755:1 gene:Et_8A_056077 transcript:Et_8A_056077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFRMLSTALILLLIHVAGHSPAAAFYLPGSYPQRYRPGDSLAAKVNSLTSPTSKLPYPYYSLPFCAPADGVRRAAESLGELLLGDRIETSPYRFSMLNGTAAPLFLCRTAPLGAGAAALLRSRIDDAYQVNLLLDTLP >Et_6A_048015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3483528:3486143:-1 gene:Et_6A_048015 transcript:Et_6A_048015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRPRLAAAPSPPAPALRRAFRSAAALEAIQSHALPASSSSSDAGDQAGPASLALYNYPTFAGAYAALAARLFHRRARRRLLVLPFSSVEPFRVEDFKAGGFQTCYLLDFIGPNKFAFELSRFVPSNVELHIDTTKTSARAVFDYFSKKLAETKSDAGVSNNLLEQEDHDRVSNILEYIEDADLRRWQLPNTKEFQTALRDERAKLNCVTNPHVFEQAIRADGHSELSHEIGLELSRRSAAAGLRPIGAVVFMQRGILKICLRTTDSITNTAVIAKAYGGGGKPSSSSFALTMDEFNSWTSVNS >Et_2A_015006.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:25793990:25794073:1 gene:Et_2A_015006 transcript:Et_2A_015006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRGRACGTTNMPFLGDEEQQANNVI >Et_3A_024958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25637676:25642910:-1 gene:Et_3A_024958 transcript:Et_3A_024958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLKVAEDLLEVVDRRAKIVATELSDEQSTSQPSGSNSQEAQAKKGKQRGKGPLKLTTADGSNRAAAQKERKSRQPPRERMKIEKIRPSLHADSSSVDASASEPEVTSSDVKEVNSEGASEKGENTTSNPKTDQDVAVVVDTTVEVQQTEKKSEDSTPGVDGVEHPGNSEISIESSSIVSDEKSEPSSSNHTVEIAPVVNLEEKDMVVSDIQERNVSELPNTGGTGKPHESNKESTSDSVESTENQQGQKSDPVSVREQDQLEEAQGLLKSAVKTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVQEREKSSSYEAHIKQLEKELSLSRVEGSRAESNMLDALNAKNAEIESLVKSLDSWKKKASASEEKLASLEEDMDGLKRNRELTETRVIQALREELATAERRAEEERIAHNATKMAAVEREVELEHRAVEASNALARIQRAADQSSSRAMELEHKVAVLEVECGSLQQELQEMEARNRRAQKKPSEEANQVLQMQAWQEEVERARQSQREAETKISSLEAELQKMRVEMAGMRRDAEHYSRQEHVELEKRYRELTDLLYHKQTQLESMASEKAALEFQLEKSLRQFHEVQVEAERSRVSRRSASSWEEDTDIKALEPLPLHHRHMATANQQLQKAAKLLDSGAVRATRFLWRHPVARDFASREMLPSGMGELANVNLP >Et_4A_033931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28111919:28116945:1 gene:Et_4A_033931 transcript:Et_4A_033931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDAKLKSYIEHNGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDRIILSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLFGKQSRKDQRQQQQFMRQATASDGVKQEASGDANGSSAMAAAAYNWHQQAMALPVQPMPGTMMEGHRPGDEVDESIRKLLYKLGGSPFAALQQCVPPPMYEGSPSFVQPSCPVDTTPLHEGGVQCSSSLPALELDQNFHFNQVKLDGLDCFFGMGDQSLKWSEVNPLLACPNNTMASSSQGMQQYGLVDEPSNLGIKVSKKRVALQEKDDTAADKHNMPALGGYLTVGQRIVRRRLLGY >Et_5B_044887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:690112:693698:-1 gene:Et_5B_044887 transcript:Et_5B_044887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSRVGTHFKPPPRRDPEAEVKPRARLKHRCLPRRSFARSISHQRQRQICREACTDALDPAPAAAQEASPAAAGRVRYRTPSSPDLLLSAADAAARMRRYSALNGAGRQDTLADRVHRYRGVLLVVLAPLALVTLVLLAMPRAPAAGAGARKVGPLDAAAGDKKYAVIFDAGSSGSRVHVFCFDANLDLVHIGSEIELFVQKKPGLSAYAKDPQEAAKSLVSLIDEAKRVVPAELRGQTPVKVGATAGLRNLGTEKSEQILQAVRDLLREKTSFKTQPDWTTVLDGSQEGAYEWVTINYLLGKLGKTYEDTVGVVDLGGGSVQMAYAIAEKDAEKAPKPSEGEDSYVKKLYLKGTMYYLYVHSYLHYGLLAARAEVLKAGNANGYSNCMLEGFQGQYKYGDDTFEASAAPSGASYSKCKDDAAKALKVDEACTHMKCSFGGIWNGGGGAGQKNLFVASFFFDRAAEAGFVNPKAAVAKVKPSDFEEAAQRACKLSVKDAEAAYPGVQKDNIPYICLDLVYQYTLLVVGFGVDPNHEMTLVKKVPYSDAYVEAAWPLGSAIEAVSSS >Et_3A_026626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10817163:10818594:1 gene:Et_3A_026626 transcript:Et_3A_026626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQIATGNGKAVGLLALLCFALLVGVAGGGWAGSHLVVAGGELRGVVVFDGGGVGLGDVELEVPLPEHEQHPLAVEDGAVAAQALALHLPLLVSPESLPAQALRLQLLRVPLQVHLLPLHLHRAPPHPAPRLARVRRRGGGQLALQLLHLLARRPTAAAPVGVVLEVRAVVVGAARALVAVVVVVVPVAVAVAAAVVVVALVVGVDVSGAAVVVLVSSSSSSSLLLTPSSLSSSAASADESLVPVGDGCAWRAAEDAAAMGCGGGWWRHAAAAAAEASPPTCAGACEMLTPWEAPLLWYAHISRKKRCFELSSLRASSLALGESSSMASSSAWFSTTRQARPRPSRSTTRLYRLLRSLNLSSHCCGDTMKPLSITARDTDFHLPFFCCCACACACAADEPAFGLAPPPPPPPAAAPPSPSSPTLYTTRISSRTMASVHFIRVHGHPACCCCCTPPPPLPLPAISSSWTRDDELE >Et_10A_002335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9269313:9270135:1 gene:Et_10A_002335 transcript:Et_10A_002335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAMPRKLSSLASDAARGALGFGSAGPGDDAVPWDVVAARLEELLRFLASALQALVAALQDRAAALGRWAQAAAPHAVAMVAVLLFLCCCCGCCRPRRRRGPDGEEVPAAGGGPVVRYRRGGGGVFSMHPNKPIYKKKENNGVTECHKHMHQSLRHPLATDSKAQTGAVSKSLTGSTMTRP >Et_3A_025343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29071832:29072674:1 gene:Et_3A_025343 transcript:Et_3A_025343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLDSYSSIKDVSYSCGYCGYALNLSSSARNTAGIGCKYGKQIKKGVVPFVAVDESRFTQTDEVTCTPHFRSRRSWGLFRKRSRLLCRKCGGRIGCAYEEDEGRDAGLSDGDGFSDDMRASSGSGSSASSQMNYLIKISALQPSSDDSAAASLAL >Et_3B_030859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8043869:8047036:1 gene:Et_3B_030859 transcript:Et_3B_030859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLQKLVVNYCDWGGSSRGIRAFMETYLPALKEKNPQLEVVTELVRGQHPNLKGIYKNHNERVVCVRNLPPEDILLQATRLRNSLGRKVVKLRTRHVTKRPSVQGTWTTEMKMFLQLHIEYTPLIKNTTTTTSWLAAKHGCGRQRRRVELVEPERRPDEAEQDPGADHDEDGGVGELVEPEEQPLLPDVQLQGLLGQAHLLPLLRAPLALPPRRRGSGSLLLRRHKLRRGVHLDPAGLVPSPEAVLDADGLWRCIGGRGGVRGRLGGGGGGRVA >Et_9B_063696.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19628325:19628582:-1 gene:Et_9B_063696 transcript:Et_9B_063696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRSSSPNSITEWSKQENKKFEQALAYYGEDTPNRWDKVSSALGGSKSAEEVWYHYLDLVDDVKKIQSRQVPFPKYKEQGFWT >Et_4A_033300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21170392:21176124:-1 gene:Et_4A_033300 transcript:Et_4A_033300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAVSDGRENLENADIAMDSAGCYKVGVPPRRSVLEEFSGAAKEMFFADDDPLRQYREQPSWPKKAWLGLQYVFPVLEWSRDYTLAKFKGDLIAGLTIASLCIPQDIGYSKLANLPPEIGLYSSFVPPLIYTLMGTSKDIAMGPVAVVSLLLGTLLQNEIDPKKNPMDYRRLAFTATFFAGVTEAALGIFRLGFLIEYLSHAAIVGFMAGAAITIALQQLKGFLGIKNFTTKTDIVSVMQSIVKSAHHGWNWQTILIGASFLAFLLATKYIGKKNKKLFWISAIAPLTSVIVSTFFVYITHADKHGVAVVKNIKKGINPPSASLLYLTGPLALKGFKIGVVAGLIALTESIAIGRTFAEMNDYQLDGNKEMVALGTMNIVGSLTSCYITTGGFGRSAVNCLAGCATAASNMIMSIVVLLTLLFITPLFKYTPNAILSSIIITAVIGLIDYKAAYVIWKVDKLDFLACLGAFFGVIFSSVEYGLLVAVVMSVAKILLQATRPKTVLLGNLPRTSEYRNAEQYPEVTSVPGVVIVKVDSAIYFTNSNYVKDRILRWLKEEEKRHREQKFPQIEFLIVELSPVVDIDTSGVHALKALFRALQKQKIQLILVNPGPEVIQKLRAAKFTEVIGEDKIFLTVRDAVKKFAPKQQKLNGYDKGKPHLSIRTKVCARYGLNHWNCSTPVK >Et_9A_062219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20850473:20853995:1 gene:Et_9A_062219 transcript:Et_9A_062219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKMRWCANAREVGSGDTTVVLAHGYGADQGLWDKLVPSLSQTNKVVLFDWDFAAGEQEAEEHDGGRYTFDRFADDLIALMDEKEVRGAVVVGHSMSAMAACIASVRRPELFAHLVLLCASPRYINSEEDGYVGGFERAGIDDMLGALASDFNAWVRGFVPNAAGDPESIPHLEKSFLAMHPAVAHEVAKMIFLGDQREVLDGVTAPCTIVQVKNDFAAPPSVAEYMRRRMKGAEVAVEIIDSVGHFPQLVAPQQLLDILHGVLLRHGHDDKHGVQEEKGDGGIGATGMSSLIVTTIIVSNSDTAMQWRSYA >Et_6B_049521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:668159:671676:-1 gene:Et_6B_049521 transcript:Et_6B_049521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSTVLLFLLHSLLVPFPFHEFPCCAAAGKQIVHDDLNPVQVTNPTTPPITVPSTNPTPTIITVPSTNPTTTIPSLNPLPTPITAPSTIPPSSTTPVPVTYPLPTPSTSSPNVPVTNPAVTTPSTFPPFAPLTNPVVSNPTAPPAPITTPSASGQQVWCVVKAAGSTEAALQNALDYACGIGGADCSAIQTSGRCYYPNTLQAHASYAFNSYYQRNPAPSSCDFGGTAMLINANPRSGSCIYASSSSSSTVGYNPASTSNTPSTSSSSSPVTRASDSDSGSPVLNASGSGISGVVFGSDISGAANKWSGWCWPWVAVVWMLAFCACIEGRV >Et_6B_049144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18667969:18670068:-1 gene:Et_6B_049144 transcript:Et_6B_049144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLLLLLSAATTTTAAASGSTGEEAAPASLPPWAKQPTLRHLLMDGGGGSWPPAADAVVAQGGGGRYITITDALRDAPKGKRRYVIRVKQGVYNESLNITRKNVVLLGDGIGKTIITGEKSNATGTDMYMTATVNALGHGFVAQDLTIRNTAGPEGNQALAFRSNSNKSVIYRCSLEGFQDTLYAENNLQLYLGCDVYGTVDFVFGNARAVFQSCRLMVRRSKVREAHNVITAQGRNSATHDSGFVFQNCTVQGAEGDDLTGVETFLGRPWKNFSHVIFMDCFLGDVVSSAGWVAWKKGQEVEATKRTVSYSEFGNRGPKADTSQRIAWEGFHALTDARQVVDYSADRFINANLWVPRGIHYINTIQ >Et_1A_006008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16640334:16648923:1 gene:Et_1A_006008 transcript:Et_1A_006008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGALRGDSAAVVADELFGGGEDLQGFFDDAVRAARACKASSRVLAFLGCEMMRTKAMEVAAAGGEEEELEWLSNKDAFPTVDTMAPPAEAGLVATALPQTTKGVLQPGAWSPPPAVSPRPRAPAKPKAGRRCRHCGTDETPQWRKGPEGAPSLCNACGMRYRAGKLLPEYRPAKSPTFSPVLHSNRHRRVVELARRREALVEGKVAGGGRAVREEEEEELEWLSNKDADHGAGGGGAAADERRAAAAASSAAVPALRRDGPEGRRTLCNACGVRYRSGRLVPEYRPLNSPTFCPELHSNRHCRVVEMRRRQAKASDGEEEKGGGEELERPSNKGALPPGETMMMACSPARPQTKGLRRPRRAVAWSSPPLPRAPTARVESATKIQLWRGTTAQTLAASAAAPVAVAGGAPRGESEMGLGPATGQQQQQCRPSGTEKTPQSLAAKPAAATGQLGCGPSVTKKKTTQSAAAKPAASTGQPGCGPRGTKKTPEPPVAKPAAAAGKQKQCRHCGAEETPQWRQGPEGPRTLCNACGVRYRAGLLLPAYRPLRSPTFSPELHTNVRRRIVEMCRRQ >Et_9A_062886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6200773:6201127:-1 gene:Et_9A_062886 transcript:Et_9A_062886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKPLPKQQQLQHFLIVTAAWLVEERWGTGVRKAADDGGVLEAGKLVRCVEAATSDEVTARSAVWEGACEGGRGGRRELELGLETVRERRERPVLCFLDQTVSVTSSYSVSL >Et_3B_029805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28064014:28065115:-1 gene:Et_3B_029805 transcript:Et_3B_029805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRIGVAMDFSPSSKKALRWATDNLVRKGDTLVLLHIRHHGREEAKNVLWSHTGSPLIPLEELLEPSVRQRYDMPEDPDVFDMLNNVSRKKELCVVVKMYWGDPREKVCDAVGELHLESLVMGSRGLGQIQRILLGSVTNYVLSNATCPVTVVKSK >Et_3B_029629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26598519:26601426:-1 gene:Et_3B_029629 transcript:Et_3B_029629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGGADAGTQPPPLSASSGGVRLADLASHFCSSLGSSTPMASEKEAALAAKPIDGPTTFDKIIRKEIPSQVLAFRDISPQAPIHNIVTPKVKDGLSRLSEAEERHVEVVGSRLYAGKIVAKQEGLDDGFRIVLNDGPKGCQSVYHLHVHLLGGRQMNWPPG >Et_8B_059015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12229364:12232177:-1 gene:Et_8B_059015 transcript:Et_8B_059015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVRRLAVAALVLAASLAAAEGFNITKILDDHPEYSQFNKLLTKTRLAGDINRRRTITVLAVANGDMGDLTSGRYSLGTLRHILELHIIVDYFDAKKLKQLSHGATAASTMFQQSGAAPDMTGYVNITQKRGGKVTFIADGADDGTDPATFVGDIYAKRFDYAVLHVSKVLSSPEAQAPVAPPAPVNLTELLSKKYCKSFAELLAANADAFSNINATKDTALTIFCPVDAAVASFMPKFKNLTAKAKTAILLYHAVPDYYSLQLLKSNNDKVTTLATTSVAKKDYTYDVHSEADNVDLDTDVITSSVQATIKDEDPLAVYAVSKFLQPKELFKVAKDLATAPAPEGPKKKSKKKPGSTSAASAPSDDSSDAESPDDAPADDAADKAGAAPSLLARWVTAAATVAAALALAA >Et_5B_045223.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:12411523:12411720:-1 gene:Et_5B_045223 transcript:Et_5B_045223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAEKSVSHNFHGPASYYSGPIVLMARVELDPSGYLIDDAYTVACVITVLRQAPPPAAMEDRQR >Et_6B_049638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7704121:7709190:1 gene:Et_6B_049638 transcript:Et_6B_049638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAQALSLFLLLAAASCSHAVVTASSEHDSNQGFKRIHLYIHEKVSGPNATIVPILVSPFGANSSFGRMDVLDNELRAGPDRASQLVGRFQAFSVGTAMQPGSGYLTFATLVFTAGDYTGSTLTIEGHVRSFADPFERAVVGGTGKFRLARGYAHEKFLGKATPQTDLYEIDTAMASSLQALSLFLVMVGASWSHVFFKASNQDHGNKGLKRIHLYIHETVAGPNATMVPMLVSPLGANSSFGSMDVFNNELRVGPDRASQLVGRFQLFSVGTAMQPGSGYLTSVAVVFTAGEYTGSTLTIEGHVRSFTDPFERAVVGGTGKFRLARGYSHVKFVGKATPETDVYEIDIFVLIHRGKYYNDGPMVI >Et_6B_048627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11876738:11878094:1 gene:Et_6B_048627 transcript:Et_6B_048627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSATSLSATAGCKASTLSWKGSRVSGRSLISMGATRTGLISLRSSRFRVRAAKEETVSTVMSIVKKQLALGDDAVLTPESKFTEFGADSLDTVEIVMALEEEFKINVEEDNAQNIATIQDAADLIDKLVDSKPAA >Et_3B_030484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4115010:4118127:1 gene:Et_3B_030484 transcript:Et_3B_030484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPAPLQPPLPVKLLSCRRVVLACAAVAALALLLAAQTTEDPSRRRAYLVHSILGDAREHTKGNASARFADSASPSPAEALLPSLLETDLSPRTAPASSVFLAPSPSTAENFDDGSMEEPEHHEIKPISSGLLARSFDVSGEKDMNGKSILTGRPEAPLWSTAADEELIYAKKEIANAPLVTDDPDLYAPLFRNVSIFKRSYEMMERFLKVFIYHDGAKPIFHSPELKGIYASEGWFMKLMEGNQNFVVRDPNRAHLFYLPYSSRQLEHNLYVPGSNTIEPLSLFLKNYIDTISAKYPYWNRTKGADHFFVACHDWGPYTTKLHNELRKNTIKALCNADLSEGIFIHGKDVSLPETFLRSPRRPLRNIGGRPAAQRTILAFFAGQMHGRVRPVLLRNWGGKDEDMRIYSRLPRRITRRRNYVQHMKSSKYCICPMGYEVNSPRIVEAIYYECVPVIIADNFVLPFEDALNWSTFSVVVAERDIPKLKEILLAIPESQYTTMQSNVKRVQKHFLWHANPEKYDIFHMILHSVWFSRVNQMQIE >Et_2A_016332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23410916:23413530:-1 gene:Et_2A_016332 transcript:Et_2A_016332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLSPVSLVLLQPLPCRPLSLSRHRRKLPSQRTGCVAAKGAEQRLLLPPVTSRRRRDEGFACFSYNSQNKFPPPFDESSDEWPILRRWDVPWEWQTVVLTMIGCGVSFVLTGLVEQSVLQYVGFKAVEATIDEKAEILFLGQLSVTLVVLGVIFGITNTFRPFPDDLFRYDIKEPFKLRNGWLLWAGVGLFGAIASIAAAGAAMTYLNGDTPQRETDSLILLLPLIGSSTTSTAFLVGITGVLAPLLEETVFRGFLMASLTKWFPTPVCVLVSAAVFALAHLTPGQFPQLFILGVALGFSYAQTRNLLTPITIHAFWNSGVILLLTFLQLQGYDIKELLGAS >Et_3A_023250.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:1442430:1442552:-1 gene:Et_3A_023250 transcript:Et_3A_023250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTQFDTCIISICTTTCNREADSLASFGVHVSDLGSVYDS >Et_3B_029889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28787295:28791134:-1 gene:Et_3B_029889 transcript:Et_3B_029889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMEEIQRKLSVLAYPRANAPAQSLLFAGIERYRLLEWLFFRLLGDRSPFTQQNWQGDSLDRDEENSRIQHLAEIANFLGITPSVDTEAIQGRGSYEERVELLRLIVDLVEASCYADNPEWSVDEQLAKDVQLVDSIAERQAQIFSEECKLFPADVQIQSIYPLPDIAELELKLSEYTKKMSSLQQMVQELASKYDYNPNEDYAETELKLREHLQSFLETVKSFNTIYTKEIHPWTHMMEVPQLHGFGPAANRLLEAYNTLLKFLGNLRSLRDSYTAMAAGSLSASNEPSSVTKIISDCESALTFLNHSLSILSTSVAREQGESLTPD >Et_9B_066044.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21200060:21200836:1 gene:Et_9B_066044 transcript:Et_9B_066044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGPSRTSAAAAPENKSEPARPLALPSPTVHPAPNDAEPEAETAARWRSASYLRKRRCALCCGGCCVSTVVVVGVITLVLALTVFKVKAPRLNINRVWLTAVSAGPGSGITSPVAANATLDADISIKNPNAAAFRFAASETDVSYKGQTISVAYAPAGSVGARRTTRLNVTLDLLGDRLARAANATGLVLGQEYDTDTYTAINGTVKVLGIVKKHVEIKLNCSVIIEVGSLAGALQAGAASIVESKGVNCVAYVKL >Et_9B_063664.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17145351:17146072:-1 gene:Et_9B_063664 transcript:Et_9B_063664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGPEYSTLLFPPLCLCSCRHQAGFDCSRHVTTTATVSLSGRRLWPPPPPSITGRRHVGHEPRESSQASTQRTWNPCRHRGSTSTFSPPANSPRQMAHTSSPSASFSSPPPYTSTGMLLSARFLTPPRPDDDDAPSASPPPRWCPPHRSAHRASELSPMANSSARNSAARMITMFASKLSSPPGAAPPPVPFTNRPAVGAGASESRRPINAARLARTTAPTERPMVSLQAAPAPG >Et_8A_057483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3502238:3505184:1 gene:Et_8A_057483 transcript:Et_8A_057483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARSDDPDASPETAAAAAGGEIWGTWEELLLAAAVKRHGTGSWDSVAMEMQSRCPSAAARLTASGCRLRFRLLHRRFTPGAENGGDEDPDASAADEWVEKLRELRVAELRREVERHDVSIGSLQSKVKRLKEEREQSISGEAGPAVKDEEAEDVEPTLVKGSPEDDELAGEDRVSGGESGRSCKESNSSDLKRPEHDAGTASVAVDADAAAKDKEEAAAGDLVSVKPEAEPSGESVAGSMEAHAEKESSDVQSSASRREREGAGGEEAEAEEASPSSSAPAALPAAEVEALAAFLESVRSSKPGSVFERRLESQDNANYSSIIRRHVDLETIRSKLEPGRACYSSASEFYRDLLLLCANALVFFPRGSPEHTAAMQTRALVSKHMSASLRRDQPATSLKAPKKPKADADVGSLLEKTAPIIVCRKRSSITKAAAAAAKVEKVEKEETDEEEEKENEVKKAATKDKARGVRTKSRGGLARKAGPNQRAAKDSESESAAAEGTKKADKKGGSGSSAAAGGVASKRKAVDFLNRMKQNSGPSTERVSLLETLKVSAAAAEQKKSGKGEGRKEAGSGSKRGKDTPPGRRLGRPPKRAAAPPTPPPSKRAKGSGKRGGKK >Et_1A_007992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:401424:405062:-1 gene:Et_1A_007992 transcript:Et_1A_007992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPGRKRRPSSDTAHCWAALPGDLIAVLASRLLAGDLLDYVRFRAVCTAWRSGTADPRGRGVADPCFHPRRWMMLPEGHCLYPGHPDLHGHVRFLNLDTGALVRARIPLLGEYWAIDSVDGLLLLLRDPDQEGAVRLLHPFTGDIVELPPLGTLASCLTSCPASYRTRRLARDVCASVSIDAAGAITVILALDELRRVAFASSLDRQWTLSTWSYPTRHPPLSFQGKLYVVDSPGPFRAREDPRGRWGRTLPQPNSIATIPATQFGRPKGLVACGSEILVLGHNHSSDLQILVCKLSDLVLQRFIPIQSIGGNTLFLSQRTISVYSKVLSTVKGDNVVYISSGPHHLAQYHLSSGSLSPAIDTCSLYGRKPGPSCLVHYIFSCCIRNRWSRGIIFRSDEPDWSDWSEQDDEEEQVRDMSRKFTEGFRSASHGQAKQV >Et_7B_055601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16531692:16532993:1 gene:Et_7B_055601 transcript:Et_7B_055601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLELPRMKLGSQGLEVSAQGLGCMGMSDYYGPPKPEPNMIALIHHAVASGVTLLDTSDVYGPHTNEILLGKALQGGVREKVQLATKFGVSLPDSKSEVPGDPAYAGMQVRGDPAYVRAACEASLRRLGVDCIDLYYVHRIDTRVPIEVTASTLQLKHCSEETTIEVGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWTRDAEADIIPTCRELGIGIVAYIPGQDSDVPMGAFKLHQ >Et_5B_043189.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:16509216:16509482:-1 gene:Et_5B_043189 transcript:Et_5B_043189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AWGLANSGHPFLWVLRPGLVLDSNDLSLPDGFEDAIEGLGKVIQWAPQQVVLAHHAIGGFWTHNGWNSTLESCEGVPMICRGPSLQIR >Et_4A_032680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12517791:12518317:1 gene:Et_4A_032680 transcript:Et_4A_032680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVTCEFLVFALVCTLFTAHEVWGELAECYNDKITLLAVCAEPLSKNGHYIYPNHHCRSVVEATDMPCVCMILTSADEEKVSAKKLVQLARECGKPLPVGTEYCGCNIYSSSTKEDNTVSKRPSMSYWWEETNQR >Et_1A_007725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37637402:37655628:1 gene:Et_1A_007725 transcript:Et_1A_007725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRTWHCLLALSLLCSAASGQLSPTFYLTSCPTLGIIVRATMIRALLAERRMGASLVRLFFHDCFVQGCDGSILLDGAGNEKFAGPNINSVRGFEVIDQIKANVEAICPGVVSCADIVALAARDSTFLVRVQNAVCFLQLYSSDVHTLITSVLATPSYILQLGGPSWIVPLGRRDSTTANQSQANTDLPAPTSSLSQLITAFGNKGLSPRDLTALSGAHTIGFSQCQNFRDHIYNDTNIDSTFATLRRGNCPAASGSGDSNLAPLDVTTQLTFDNAYYSNLLVQRGLLHSDQELFNGGSQDALVRQYSANPALFASDFAAAMIKMGNISPLTGSAGQIRANCRVVWHCLIALSVLCSVACGQLSPTFYNTTCPTLEATVRNTMVQAINADRRMGASLLRLFFHDCFVQGCDGSILLDGAGNEKFAGPNVNSVRGYEVIDTIKTQVEAVCPSVVSCADIVALVARDGTNLLLLDTLLPLYWLDVHVPFLWLTIDQLGGPTWAVPLGRRDSTTANQSLANTDLPSPKSNLTDLITAFGNKGLNARDLTALSGAHTIGFSQCQNFRDRIYNDANIDSNFAKLRRRNCPAAVGTGDSNLAPLDQKTQSQLLFDNAYYGNLLVRKGLLHSDQELFNGGSQDSLVQTYSLNPAQFNSDFVAAMIKMGNISPLTGYAGQIRANCRVQHLITTMASSFRVWHCLLALSLLCSVACAQLSPTFYATSCPRLEATVRATMIQAINAERRMGASLLRLFFHDCFVQGCDGSILLDGAGNEKFAGPNDKSVRGYEVIDQIKTNVEAICPGVVSCADIVALAARDGTFLLGGPTWAVPLGRRDSTTASQSQANTDLPAPTSNLTKLISAFGNKGLNARDLTALSGAHTIGFSQCQNFRDHIYNDTNIDSTFATLRRGNCPAASGTGDSNLAPLDVTTQQTFDNAYYSNLLVRQGLLHSDQELFNGGSQDALVQQYSSNPALFNSDFVTAMIKMGNISPLMGSAGQIRANCRLSETFYAATCPGLQEIIKTEVTSALTTEKRMGASLLRLFFHDCFVQGCDASVLLDSTVPNSERDASPNANSLRGFNVIDTIKAKVEANCSGVVSCADILALATRQAVVQLGGPSWTLSLGRRDSTTANKSQAESDLPSPSSNIDQLKAAFQKKGFNPNELAALSGAHTIGLARCTFAANTLAVATRPECVLTGMTSLDVQTPEAFDNKYYVSVANKTALLKSDQELMNDSKLRKLVNDYKSTPTLFATDFASAMKKLSELGVLTGTNNGQIRANCNKLTMASSTTFRCFFLALFFLASSSAAYGQLSASFYATTCPSLESTVRSAVSSAVTSESRMGASLVRLFFHDCFVQGCDASILLDDVGTFVGEKNAFPNANSVRGYEVIDQIKTNVEAVCPGVVSCADIVALAARDSTNLLGGPTWAVLLGRRDSTTASQSLANSDLPAPTLGLTQLIQAFANKGLNATDMTALSGAHTFGLAKCSSYRTRIYNDADINNQFARQLQVNCSATPGATDGNTAPLDATTTDKFDNAFYANLLKKKGLLHSDQELYNGGSQDVLVQQYSSNSAQFFNDFKNAMIKMGNIGVLTGADGQIRANCRAVNV >Et_5B_044699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4869957:4872703:-1 gene:Et_5B_044699 transcript:Et_5B_044699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPRGGGGGVRLPPMNALEILRETVRVLRGDPHAFTSILFLLLCPASGCLLLSAAALDGAVVLPLARRLLVAAASSGLPLTHFVRQLAHHLAATLVSVVVSFPALLTLLLAARAGVAYTVAAVYAGKPLAAADVTLLARRAWPRLAATYALGCSAVAAGLVAFLALLVTACSTLKSMLYPPDIVVLAGLFTVLAYSVVYAHTIIVCNLGGVIAVLEDVAGFNALRRSVELMRGQTHVGLLIFLGSTIGLSFVEGLFEHRVKTLSYGDGSSRLWEGPLLVLMYSFVMLVDSMMSAVFYFTCWSSNLDFLDEESGSVEEVEMIVADNSDAIRADKSTSRTVTNKGNQSLIQVTEGINV >Et_4B_039953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6642650:6644708:-1 gene:Et_4B_039953 transcript:Et_4B_039953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCSLPQVGWGLRRPRGFPQLAAAVCAAAVVALELAPASDATSASLVGINYGRVGSNLLPPQAVPPLLKNLGIGRVRVYDADPAVLRAFAQTGVELVVGVPDECLAAVADPGGATQWLKENVLPFLPDTKVAALAVGNEVLTGNDTALSRALLPAMESLHSAVAALGLDKQIAVTTPHSLRVLGTSFPPSAGAFRKDLLPYLCPVLDFHARTGSPFLVNAYPYFAYSDDPEGVHLDYALLDPGYPGVPDPNSGLHYPNLLVAQVDAVYHAIAAANAAASRAVEVRVSETGWPSAGSPNETAATTQNAERYNSNAMRLVAEGKGTPLKPGVPLRAYVFALFNENLKPGLASERNYGLLKPDGTPAYELSFNLTSDRPTGGCDGVGSNLTVCGGGGLYNISAASSNLPVSYALLPPFLPKASCLCGSSFIVSALSLKSRRLARGCGRKRQRQAQRLSWWWQCEIIKAWRGVFPGLMADC >Et_5B_043708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14485746:14487392:1 gene:Et_5B_043708 transcript:Et_5B_043708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRRTKKPRVKEAAAMSQEPPAAGNGCPDQISKVPDAILSFVISLLPTDDGARTQALATRKFPDLDGWLGYPALDHLQELELWWVVTPTAMPPPAFRFSSSLRVLTLSAGASIFCGGEFHFPCLKQLTIQCITIAESSIHALLSKCPVLESLVLSQNEGFQFPTLRSFGVSADCEELMQTERLKQVIIEEAPLLGRFVIRHPKDGLLVRISGPPKLEFSGSLTSGTTKLDLGSTIFVIALCTDVINFYKPANSFSYWFPSQRILPLECESAQIYGARNAPPKLKNRASQDAMCAISCYSYNNDEIHISHIHDISISDPFDQSLCSCKTFQLLY >Et_1A_008315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6331194:6339264:1 gene:Et_1A_008315 transcript:Et_1A_008315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSFFDIEPLPWCETSRHSLDACSLCGKRLAGDCDIFMYRGDTPFCSEECRCHQILRDEQARAKNRKHLKDHLTSEEQQRHRHETPAADDHYYFPVSLEAPEYVGAGFCNLSSPSPMPHRAARDDDAGAALHHHYLDACFLCGRLLGGNTDIFMYRGDTPFCSEECRQQQIETDEAREKRSKQAPTRKEQRQSSSPRARRIPVWAGHGPCPVLPKRRCRRPPASLRLRTYVPTPEPARRDDVRRPMEFTSSYFHAFGNPDFAAVFSGGDAGGSAQARRPRPAADDGVKAAVASGRSPAARHAPSVFCVPDMEAEEAHHFLDECTLCRKALCGDIFMYRGDTPFCSDECRREQIEMDRVKHRRKKQNSPTAQAALAHRERPQRQLQPQR >Et_9B_064816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18921967:18923319:1 gene:Et_9B_064816 transcript:Et_9B_064816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRPVHCLASLLILLLVRYEITYGLVQAAGRGISEQRAEDAVTGTTRLARADDAPERDVTSPLATVPVVNPTVTTTTPLPTATRTPPSLANPVAGGGGSWCVASPSASPTALQVALDYACGQGGADCSAIQQGGSCFNPDTVKDHASYAFNSYYQKNPVQTSCDFGGTAVLTSTNPSTSTCQYPGTSTGASVLNTTTPLTPTYGSPGYDNSPPAGAGYGYGTGNSPPLYGSMSPPDYGDNISAASDVWRGGKMTALSLTTCLLIATLSLAR >Et_8B_058856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1010866:1014204:-1 gene:Et_8B_058856 transcript:Et_8B_058856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDKDAVSASSPPWAELPADALAEIANHAYDPRRLRALPRRWRDASAPWRRAHGFLPWLLVASSRRDAEALAAAASDWRFRSPFSSKKEHRDVRLKTRYPRALRGRRRIHSSDAATGSVLTVGGGNLNGDAEASLVNPLTGHVTVLPPLPQSVTRADVKFMSRGVVSRSGAVMFHETASDISVAVLRRPGPGAGGENAAAGWWEEIPGADALPAGVSKRDVVSLDDHNRRAAALCASGVLGAGGARRAAARLPWQEVRGGGAGRAAVRRRARRRAVPAADEPAVVSVHAPEVVAGDDGIAAPPRWVKRERGRGIGRVCLFLGWESSFAVDAVKEFAGSAEVTGGCAYFVARHHDWTVWKPVFGVYRYSFEDGKGKLVDELPACFHRTSRWFTPRPRVSPVRSRGLRYR >Et_4A_031891.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27153433:27155151:1 gene:Et_4A_031891 transcript:Et_4A_031891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLHQDPEGAHQDPRFVLCLQSLCSLRVLSDQSINPSNGVKNWGVFCFWRAEKGEFCIDDIEYDQKGNRVIVSGPFDADKLADKLCCKACKIIKEIEIVEPPPPPPPKPKEPEPPKKEEPKPPPPQPEVKPPPPPPAKVDPPPEPPKKEEPPPPPPPKPKEPEPPKKEEPKPPPPQPKVVEVPYPWPYPYPFPAWPSECCCHHGHGGCHCCSCGKAPEAPPAPPPQYIPMPQPYPCNPCGGGYRIVCEEDPSYACTIM >Et_4B_039710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27398080:27400553:-1 gene:Et_4B_039710 transcript:Et_4B_039710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAPAVAAELWRPPHLAAGGGHAAEAVSAVTEKSNGSRGGAGAGRRRQRESPASEDDSSRIFSTSGGGGGGQDLVDTRSFIGGSTMFIKLPDFAYQTDSEAKRFKANKTSDDNSSQKMEAQTDSRSAGKAASQNPPAPEPPKQDYIHVRARRGQATDSHSLAERVIGKASVLDEIINYIQSLQCQVEFLSMKLEAVNAHVSNGVEAFPSKDRRTRN >Et_3B_031547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4110871:4112181:-1 gene:Et_3B_031547 transcript:Et_3B_031547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSSSDAQGRHRCAACFRQFNRMEHLVEHMRTARHSAHEPRCGVCFKHCRSFEALRDHLGVGGSTLPKAAHCAAEFAARGCTLCLAVLPAAAKLRAHQSKCQLHRTTTIPMSRLQISQQGGGGGRGGGGALALGCKMVGAGSDGSLDVCARVCLVDEQENMVFETFVKPLVPVTHYRYERTGIRAEHLRGAMTVKQAQEHVQNFLLNGEQPWKVRTSRGRANMLVGHGLDHDLEALGMDYPAYLKRDTATYPPLMKTSKLSNSLRFLTRTYLGYDIQTGHQHPYEDCVAAMRLYHRMSAQPHAKGDGDAASPASADQAFPAWRQRELERMTPEELLQLSVPDYYCWCLDG >Et_10A_000335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23549467:23551503:-1 gene:Et_10A_000335 transcript:Et_10A_000335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGNLVLVAATDSDVLLWQSFDYPTDVGLPGAKLGWNKVTGLKHLFISKKSLIDPGLGSYSVELDTNGAPILRRRSHPSLVYWSWSSENMSYKLLALIKSLVAMDSRTKGLFEPFYVNNNEEQYFTYTSLDESSSVIVLIHVTGQIRLNVWSEARQSWETIYANPYDPCGAYATCGPFTVCDDKSPVSSCTCMESFSLKSPQDSICSVWHGELLEVKLNDGSDNTSEDVLYLRLAAKDSQSATFGLLILAMLVMVWRNNFKWCGLPLFGSQDIGGVRALRYTDLDRATKSFSERLGGGGFCSVFKGLLSDSTNIAVKRLDGARQGDKQFRAEKLIGFCCEGDSRLLVYEHMLNGSLDARLFQSNATVLDWSTRYQMMHQIRGTIGYLAPEWLSGVAITPKVDVFSFGMILLEIISRRRNSPDVYTSSGYNVAYFPVQAINKLHGGDVRSLVDPRLHGCFDLEEAERVCKIACWCIQESEFDRPTMGEVVRVLEGLQELDIAPMPRLLAAITERSDVTSM >Et_6B_049623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7361431:7366176:-1 gene:Et_6B_049623 transcript:Et_6B_049623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAPTRSSSVKHIVLVHGACHGGWCWYKVAARLRSSPSLVAAGIRVLTPDLAASGIDERQLREVPTFRDYTAPLLDLLRPLPDGEKAILVGHSLGGINIALAAEEHPDRVAAAVFLCAFMPDCTSPPSRVLLKNAQGNRALQLDNETKPQDEDGKLPASFMFGPQYIEQNVYQLCSNEDKTLTISLMRVGSAFLEHLQIEKPLSKEQYGSTRKIYIVAKQDRTISEGVKRWMVLNNPVEEVKEIEGADHMAMLSKPNEVAQCIMDIAEKCCPFIKIEEKKTKSHKYKTKGCASSNCRGRARLDDLELGRSHLNLRNC >Et_10A_001891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:164414:168737:1 gene:Et_10A_001891 transcript:Et_10A_001891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFMLRTKQIGTLTQCARSFYLNGSRCTDGASCPSPEDDATVQQRQTTSGIGQKYHPTQRASVKTQSPVQHVGSVGHATGYPAPAVHAVPSTSSPEKAPASIYRGSHPRNNNRVLGSDSVQASKQTARNISQPGIGGAGVYSDVVNFRSTSNNGSSNQAPHIATNHSCEPLSDSRSSNNKGHNQRTFPEAKVSYNPSMENDFGKGVPRAGYAKPKQSFSGPSVMGSGSPSQIRNHGHHAQHHAKYHSNNFNSEARQNEVQGWNLPNANGSGKKSQVSTGTIKAHGGGPQSNLRSLKSLRAVEQYYHTLQQMNWGPMMEHVLDSLHCKIDAFQANQVLKLLHDHTIALGFFHWLKRQPGFKHDGHTYTTMIGILGQARQFGTLRKLLDEMSRAHCKPTVVTYNRIIHAYGRANYLREAVKVFEEMQEAGYEPDRVTYCTLIDIHAKAGYLDVAMDLYRRMQEVGLSPDTFTYSAMVNCLGKGGQLAAAYKLFCEMIENGCTPNLVTYNIMIALQAKARNYENVVKLYKDMQVAGFRPDKITYSIVMEVLGHCGHLDEAEAVFIEMRRDWAPDEPVYGLLVDLWGKAGNVDKALGWYHAMLLDGLQPNVPTCNSLLSAFLKMNRFQDAYSMLQNMLGQGLVPSLQTYTLLLSCCTEAHSHMGLCGQLMAITGHPAHMFLLYLPDAEPGGQNIRDHTGYFLDMMHSEDRESKRGLMDAVIDFLHKSGLKEEAGFIWEVAAQKNVYPDSLKEKGSSYWLINLHLMSEGTAVTAVSRILAWFHRQILTMGTGPERIDIVTGWGRRSRVTGSSLVRQSVQKLLNLFEFPFFTTRGNTGCFVGCGEPLNRWLHNPYVERMHLL >Et_3B_028728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18583797:18586318:1 gene:Et_3B_028728 transcript:Et_3B_028728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPDAARNVVGIIGNVISFGLFLSPVTVFWRICKQRDVEEFKPDPYLATLLNCMLWVFYGLPIVHPNSILVVTINGIGLVIEAAYLIIFFLFSNNKKRLRMLAVLGVEAVFMVIVVCSVLLAAHTHEKRSMIVGILCVIFGSAMYASPLTIMKKVITTKSVEYMPFFLSLVSFLNGVCWTAYALIRFDLYVTIPNGLGTFFGLVQLILYACYYKSTPKKEKNVELPTVVGNTGGGNVTVTVER >Et_8B_060210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7517330:7525771:1 gene:Et_8B_060210 transcript:Et_8B_060210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRVDLRGLEPGAPGWDEARTAVAASMLAHGCVVVVAGGPAPALRDALFGRVLPELFALPRDVKLRNAPGEPPYTGYISRGVFESVRMDHADDAGRVRAFADLLWPGRGNPLFCEAVSASASEMRRLGSVVLRMVLERLGLPEQVAASPHDAVNHTIRLSHYATAANGGLSLEAHYDHSLTTVLMQHDVEGLEVQDGDGRWIAVPPERDTFAVIAGELLTVPGMVLGKVDLRGLEPRAPGWDEARAAVAASMSAHGCVVVMAGGPAPALREALFGRVLPEIFALPRDVKLRNAPGVPPYTGYLSRGVLESVRIDHADDAGNVRAFADLLWRGRGNPLLCETVSASAREMQRLGSTVVRMVLESLGLSENVATSPHTAVNHTVRLSHYASRPDATAADDGGLSMAAHYDSSLSTVLMQHDVEGLEVQARDGRWIAVPPERDTCAVIAGELLMVMTNGRVPACLHRVRTPSGRERFLALMIALPSAGNPVVHPLDELIDSVHPRLYRPVDFEAYVRFKYSNEGRELGNGRLGAFCGLGKVDLRGLEPGAPGWDEARAAVAASMSAHGCVVVVAGGPAPALREALFGRVLPELFALPRDVKLRNAPGAPPHTGYFCRGVQESVRVDRAGDVAAVHAFADLLWPGGGNPLFCEAVSAAASELQRLESAVVRMVLESLGLPEQVAASPHAAENHVRLFHYDAQPDATVDGGLSVAAHYDYALTASLMQHDVEGLEVQAKDGRWIAVPPDRDTYAVIAGELFTVLTNGRVPACLHRVRTPSGRERYLALTSTLPAAGGPVVRPLDGLVDAAHPRLYRPVDFEAYARFKYSDEGLELGNGTLDAFCRIKDGGGDGEDPVQTTTAG >Et_6B_049010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16643390:16647535:-1 gene:Et_6B_049010 transcript:Et_6B_049010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHRTRKQSLTRSVAPAISSSFLSMKSQPHDLSAAEKTCGKPSLAMAAIRLPGDFRKLKHASLSPWQPCCSDRTSVVATKLESMYLLSIPSQINFSLSMLYLSAATRRCCAITILSPSSISDELKKNLERLCFYVIDLDMTCKILFHGAKELSHENWRPSGKHDPVSREVLTANFEGHVTTHLAIQEGTKMAV >Et_10A_002182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3353878:3354676:-1 gene:Et_10A_002182 transcript:Et_10A_002182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSCITLTKSPRTVVLLKIDGCLPYGSSTASMEHNNKYIASRWEVAGYEWEIRFYPKQYGGGDGGYDYMALERVFLSEARANKGHPSDSSGKFSIMARAEAHSSGYLKNGSVALECTITVFRVRHLEDKPVPSSNLQKDLGELLRSGCGADVTFVVSGESLDAHKNVLAARSPVFMAEFFGQMKETTSKCIEIKEMEAAVFKAMLQFIYTDMVPELDEKLDTGHNGSTTSCGR >Et_6A_047229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:27069011:27072684:1 gene:Et_6A_047229 transcript:Et_6A_047229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQGGAKPTITFAGRFTASAIAACFAEVCTIPLDTAKVRLQLQKTVVPAGGGAAVELPKYRGLLGTAATIAREEGAAALWKGIVPGLHRQCIYGGLRIGLYEPVKSFYCGEGHVGDVPLTKKIAAGFTTGAIAIAVANPTDLVKVRLQAEGKLAPGAPRRYAGAMDAYAKIARTEGVAALWTGLGPNVARNAIINAAELASYDQVKQTILKIPGFKDDVITHLFSGLGAGFFAVCIGSPVDVVKSRMMGDSSAYKSTIDCFVKTLKNDGPLAFYKGFLPNFARLGSWNVIMFLTLEQVQKAFVKKQDTADADKRVQGHSLSDVALDAGWQFHLAEERVPTLGDDLPRGGVGDEHVRAGVVSFVSQRPGGDAEDVPGGGGGEVDEGDGHVDGDLVVLPVDDDDDRDADLVHQGHHRARGQLVAAGGVARRDGEGAAEDAAWPADGVHTDPLPGENLEDAGNQLPFLLHLHRGSVERVHPRAVLLAHPQHLLRRRLQIHGGFATRERERRRRRHRGGF >Et_5A_042312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8682123:8687947:1 gene:Et_5A_042312 transcript:Et_5A_042312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLSVVPRVLCDTVAHGCASHSPASRSGAARRTARRASWGSGALFIVSEFGVGRFSHCLRSDANVGAKPTREAERRSPRRRSRVQGRDTLRSTTSWSRRHHRAGGLTVLSGTTFTYLSEAGVALAGAMLTLAAVVIGNHSAATRHDARRLASLSAIGSRTSSFQTLVENGSGAYLVNLSIGSPPLAFPAILDTGSDLTRTQCAPCPACFAQPTPLYDPSNSSTFSKLPCASPECRSLPGAFRACDASDGCAYDYHYTVGYTAGYLDADTVALDGASLPGVAFGCSAANGGPMDKCRASWGSGVARCPSFRSSASAPDLLRLRGERDGRHGGAVHAARSESRGRRTTTSTSPASRSAILTSLSRATRSGSRRPDPGLRHDVRVPHRSCVRDGEAGVPVADGRRPDEGERCIVQLRPLLRRRRQRCRRPLRRRAVLSDACSALRWRRRAEYVVPRKSYFDGVEEQGRAACLLLLPTKGVSVVGNVMQMDLHVLYDLDGGVLSFAPMDCASA >Et_7B_053274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20115121:20115555:-1 gene:Et_7B_053274 transcript:Et_7B_053274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKICLLSNKLNQKKRKRPAKFLDFATARRSSSLAAMVSIVITSGMFSYHLASALIILPRSSRRTAPLAPLLE >Et_4B_036917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12678968:12685292:1 gene:Et_4B_036917 transcript:Et_4B_036917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGGGGQQFRYTQTPSKVLHLRNLPWECGEEELVELCKPFGRIVNAKCGVGANRNQAFVEFTDVNQAISMVSYFASSSEPAQIRGKTVYIQYSNRQEIINNRSPGETAGNVLLVTIEGVQASDVTIDVIHMVFSTFGYVHKIATFEKAAGFQALIQYTDAATASAAREALDGRSIPSYLLPEHVTYCCLRISFSAHKDLNIKFQSNRSRDYNNPYLPINHSAMDGSAFQPTIGADGRKVEAEGNVLLASIENMQYAVSVDVLHTVFSSFGTVQKIAIFEKNGATQALIQYPDVNTATVAKEALEGHCIYDGGYCKLHLSYSRHTDLNVKAHSDKSRDYTIPAGVIQGVPQPPGVAAASSSWQGNPQAAGAHGPPVGAQSHSANGQVPNWNQGNTVYSPAPGAYPGQMYSTSVQYTASGGFPTPPAAPPHELNASQQMPPHHHGNQHFRPASGAPGTGQLPPPQYYRANVSPRSPTAVRPIKSTGIPLKERAAMYLELLLFSS >Et_5A_041923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4364079:4366518:1 gene:Et_5A_041923 transcript:Et_5A_041923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRFCGECNNMLYPREDKDTRTLLYACQACEHQEVATDTCVYKRVLRKPAGEPKDVLKDAATDPSLPRTRSVRCYNCNHPEAAFFQAPTPGEQGMTLYFICCNPSCGHRWRD >Et_1A_005302.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:39156713:39156937:1 gene:Et_1A_005302 transcript:Et_1A_005302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIIKPWWPPCSETTSSDSLETGHFGRSCMSSRQTMKAQTTESRRLAEPATLLRTASTASNSSGSKYTISVHM >Et_10B_003282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18473952:18475258:-1 gene:Et_10B_003282 transcript:Et_10B_003282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVTPAKWAIELSREKYPEHQLVEHTFVANADGVKKNHKKWLSENKRIKYLWILYTDATVVVQCNPPSKYGKDEPLQHVRDLYRESLKKYRINKTEAEYWKKFEGHRMGWSDEIWALIVVGNNGFLKTASPQEP >Et_2B_019124.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:11586306:11586371:-1 gene:Et_2B_019124 transcript:Et_2B_019124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSAGHTQVWHVKSTSYQSL >Et_8A_057313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23520604:23524707:1 gene:Et_8A_057313 transcript:Et_8A_057313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGDNSTKLKSRAGGGAGAGLGDEESDYFPPTPRKDWSTGFLLKLVTATVIFMGGVVLGLSVSGGVARNYYNSHTELFFPATTYGGCADRDCGPGGLPFKAFVHPPHLAHSMSDEELFWRASLVPKTEEFPFQRVPKVAFLFMTRGPLPFLPLWEKFFHNHQGLYSIYVHTLPDYKLNVSRNSVFYGRQIPSEEVSWGSITLVDAEKRLLANALLDFSNERFILLSESCIPVYNFPTVYEYLVNSAHSFVESYNIDTPQSAGRYNRRMAPHILPDQWRKGSEWFELNRELAVQIVADYKYYSIFRKHCRPSCYPDEHYIPTYLHLFHGSLNANRTITWVDWSRGGPHPARYGAESISEGFIQAIRSNGTRCTYNSKPTSVCYLFARKFAPSALGPLMNLTSTDRGNHITGYSEQ >Et_3A_023187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7483765:7484336:-1 gene:Et_3A_023187 transcript:Et_3A_023187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRAGSSSSTIDSAAARANADNCGRAPGGFLRRQIGGTRGSRAHLLRRKRRILQQDGGVPVAGAPGPHCEVTATMRRRRRRRSRDRRSPPRPHPGSTFSAEARISSPPRCLRRTATDHAGGPAGDEGDGGA >Et_2B_020292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18697778:18700301:-1 gene:Et_2B_020292 transcript:Et_2B_020292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTMAISLGSSRRRKRGEMLFPFESFCQPGYPAPLGSGGAFRDNVRVLLGLAHLEAGGAQGETKCWSFQLELHRHPPTVIRLFVVEEDVAASPLRQCHLCRHIGWGRHLICSKRFHFVLPKRESLVEADSLHYGISQAPEKASKGTATSRGHLLHGVVHLNGFGHLVGIHGFEGGSDFVSGQQIMDLWDRICFALHVRKVSVVDTARKGHMELRLLHGVAYGDTWFGRWGYRFGRPSYGVALPSYQQSLHALQSIPLCVLVPHLSRFSQELPMVVNKYQAISGHKLLSLGDLVRFMLELRARLPATSVTAMDYGGIMSEASCRWSAKRVDMAARAVVDALRGADPPARWVTRQEVRDAARAYIGDTGLLDFVLKSLGNHIVGNYVVRRAMNPVTKVLEYCLEDVSSVLPAAAAATVAGVPAGGKVRVRFQLTRTQLMRDLAHLYRHLLKEPSQALATGAFAAVPVAVRMVLDTKHFVKDYHEGFAPIDDGGVGHVHVNLCCTLFVKNGSPELAAPYEMVTVPAHATVGELKWEVQRLFREMYLALRSFTAESVVGVGVGQDGSPVLGVVDVGSTVVVEGRVGELQAAGDQGELEQNELAAVPVSEGGGDGGERVVDCECGADDDDGERMACCDICEAWQHTRCAGIKDTDDAPHVFLCSRCDNDVLTSFTPLNC >Et_9B_063825.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:16473826:16474479:1 gene:Et_9B_063825 transcript:Et_9B_063825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKKHKHKQKDKDKDKQSEQAHFKPCADVKGLRFGGQFIVKSFTVRRASPLELLRLLDIPPSYLSECQSLPFPSTTAYMPTSFTILAHQAWHTLTLGLGTKKSKVVLFVFESESMKAAVDQLWPAMIPLGDVNKKLIRGLTGSEMARFKFRKGCLTIYVYAVRRLGAAGFMRADDLRRILQSVVELKDFLDHTAMLAIPSQKSITLQSRVAVAH >Et_7B_054934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5794192:5795864:1 gene:Et_7B_054934 transcript:Et_7B_054934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAAQNGHSAAAEWRVTVPEGASVTVEHEAGWAARAWAWLVSCALALFRDRVLGSAKRVWRIGADDPRRAVHGLKVGLALALVSVFYYTRPLYDGVGGAAMWAIMTVVVVFEYTVGGCVYKGFNRAAATASAGVLALGVHWIASKSGDTLEPFIRSGSVFLLAAAATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVGALLAMAQQRVSTISIGIAICLAVCVLICPVWAGQELHRATARNMDRLASAVEACVDGYFATEPEAAGNNKPTPSKKAKAAAEGYKCDAQANLARWEPAHGKFGFRHPYAQYKNVGAAMRRCAYCVEALLGCAATTRSSSAADDTAHATTCRRHLAGACTRVAAQCARVLREAASSVSEMTTSRGLDLAVAEMDAAVRELQADLRALPPSELLMTMAEAGTALVDAAQLFTVTTLLMEVSARVEGVVDAVDTLATLAGFEMTRNPQSTQPKCSRP >Et_4B_037155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15561809:15565469:-1 gene:Et_4B_037155 transcript:Et_4B_037155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGDMRQASRFKKICVFCGSSSGKKTSYRDAAVELAEELVSRDIDLVYGGGSIGLMGLVSQAVYHGGRHVIGVIPKTLMTNEITGETVGEVMPVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHRKPVGLLNVDGYYNSLLKFIDQAVEEGFINPSARRIIISAPTAQELMDKLEEYVPYYDRVASGLKWETRIIYDAAEDSGSSVSA >Et_10B_002587.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17369188:17369376:-1 gene:Et_10B_002587 transcript:Et_10B_002587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGNNTSTGESDLVLKFCFSYICEGTGITCYCCDNKPIPDYCFDTMADCRAHCPSCHPRC >Et_7B_055787.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:4541812:4542003:-1 gene:Et_7B_055787 transcript:Et_7B_055787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWDMGYSIHKLDVDALEPADSKPRRLPEPPAIRIEAPTDRCPANLAALGSKIFFITDRFSD >Et_9A_062767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4773087:4774999:1 gene:Et_9A_062767 transcript:Et_9A_062767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKSGDRTALLDFVASSRLGAAVVTGRRLRRTGRLIRVAGAVLLTGEAVTSAGGVAAEGAVETLIRVLRLPEVLMIGLFSHVLIVIVARKNCSNSMQESTVARNQIIEEAIDLAVEQLMIECANKVIAEDAIVVGKGMVTYAGPCATILAAEKDPALSEGGNTVTLPGVLGLMAQDSIIEGRVQSMPAADGGEGVATSSVGSDTEDVPFIPAKVGGAHGVDGVGDVPVATPPLVVAETEDSPLSLSVADRVMGVAPTEEGATSADPANTEMQVAESLAVDGVLLGGVQGHEPLNNDTNSHILTGFATPAKLVQGAAEGSSASLGSRPSYSDVVRDRRVPGR >Et_1A_005352.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:8498998:8499081:1 gene:Et_1A_005352 transcript:Et_1A_005352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHISDIKLIRTDTTLDLSQKAEKGML >Et_3B_030386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3446014:3454541:1 gene:Et_3B_030386 transcript:Et_3B_030386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEEKVHAGEWEEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCSPPNGARASPVSVPLPAVPKAGAAYPQLTAHTPFQPPPAGPSLAGWMANAAASSSVQSAVVAASSIPVPPNQAVSMLKRPTITDYQSAESDQLMKRLRPSGHGVDEATYPAPISQPTWSVDDLPRTVACSLSHGSNVTSMDFHPSRHTLLLVGSANGEFTLYEIGLRETLLSKSFKIRDIQACSPQFQNSVAKDSSISINRVTWSPDGDLIGIAFAKHLIHLHAYHQPNETRHVLEIDAHTGSVNDIAFSRPNKQLCIVTCGDDKLIKVWDMHGQKLFTFEGHEAPVYSICPHHKESIQFIFSTSLDGKIKAWLYDNMGSRVDYDAPGKWCTTMLYSADGTRLFSCGTSKEGDSYLVEWNESEGSIKRTYSGFRKRSAGVGAVVQFDTAQNHFLAAGEDNQIKFWDVDNTNMLTCTEAEGGLPALPRLKFNKEGNLLAVTTADNGFKILANADGLRILRSFGNRPFEPFRPQYEASSMKVSGAPVVAGIPPNIGRMDHLDRNSPAKPSPLMNGGETASRSIDIKPRISEEKPDKAKPWELMEVLNSQQCRVATMPETPDQPSKVVRLLYTNSGGGLLALGSNAIQRLWKWSRNDQNPSGKATASVVPLHWQPNSGLVMTNDPADNPEDAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTRLKGHQKRITGLAFSTNLNILVSSGADAQLCVWTFDTWEKKKTIALQMPVGKTPTGDTRVQFSSDQNRLLVVHETQLAIYDASKMERIHQWIPQDTLSAPISHASYSCNSQLVFAAFTDGNIAVFDAENLRLRCRIAPSVYMSSAAMNSNPPVHPLVVAVHPHEPNQFAVGLSDGSTKVLEPLESEGKWGTPAPMDNGVPNGKTPASSATSNAATDQIQR >Et_5A_041815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3064752:3069952:-1 gene:Et_5A_041815 transcript:Et_5A_041815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPRGKACCEETWMIILETIAAPSTVPSHQLQDVQTSRYCECFASGTKRPAKGRRTYSSVHIERSRSPMDPHPRSPEYNSLLLAGPRLGPLKQAHARLVVAGRSGSLPLTTKLATLAIAAGAASYAHLIAASHPAPDSFLFCSLTRAAAHRGRPLVAIAFYRCLLAAALPFSSFAFTAVAKACADLCALRTGMAVHAHAAGALDLGREVERRIVSERMDITVFLGAALVNMYARCGLVNKARNWFDMLQERNVVTWTSMIAGYGMHGYGYEAIELYHLMRREGPSPNDVTFVAVLSACAHAGLVSEGRDAFASMKTIYGLAPRAEHYCSMVDMYGRAGLLDDAMQFIRDSIPGEPGPEVWTAMLGACKTHKNFNLGVEVAEKLIALEPDNPSHRVLLSNIYALSGKMNHVEKVRNTMIRRRLKKQIGYSLIELGGTAHLFRMGENSHPQTREVYQYLEELIHRITVAGYMPETDSVLHELEEEEREVALRYHGEKLAVAFGLMMSVGSTVPIRVIKNLRICEDCHLAIKFMSAVENREIIVRDKHRFHHFKDGNSNEMLEKVAGFGLNTNMTKQYHLSNVASETMLFVWAAVSNFAPDSRRRGSRYVPTSAGHNLPMAERHDLSGLRGLTRTLFSDGSSSSSKKLYTVDQVEQLKSAIRVLPIWSSTVFLALAMNQSFAVKQADKMDRCVGAGGFTVPSGSLSLWSASYDRWIAPALRRHTGSP >Et_2A_018171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16766959:16768647:-1 gene:Et_2A_018171 transcript:Et_2A_018171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHHNLALSSFRSAATVFKRSLARRVVWIGATTTRIVQLQDHEWEIIKMEAQFYESSDGDEENIVKLNLVSSCGWPSTSEEYEQPESDSQSD >Et_5B_044147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20738550:20744176:-1 gene:Et_5B_044147 transcript:Et_5B_044147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLEFIASDKNTRVTMALDDVERLVRSHPSIVKEVITKILDVREREAAENLDWVSFSIYSEIKTKLPNGKGIRSDALVAGGHSWCISYYPNGYGGIEDDAMSVFLRMDDAEAVAGDFRVEFKFTLHELGGGPPRFASHTLVEDFSTRKPGLGLSPFVSHEEFEKSEILKHDGFTIRCDFAVVPPLPSAPPEPTTAPSSPPEPPAVMAPPPGTGLHADLRHLLETKEGADVDFEVCGELFSAHKLVLAARSPVFKADFFGPAKEESTSYIRICDMNPEAFKAVLHYMYNDTLPETMPFSSREEGALLAEGLLLAADRYELKNMKLLIEDKMCRHIDVSTVLPMLALAEQHQCGKLKEMCLDFIASDQNTRSTMALEDVERLAMSHPSIVKEVITKILDKTEREAAESVDWASFSMYAFILIFAFVMLTLDIHSYSKTRKKLPNGKYVRSDALVAGGHSWRISFYPNGGTSDAADAMAVFLRMDNAWDAVGDDVRVEFKFSLHELGGGPPRFVSSKFVGAFGSRRKSGLGMLAFVSHVDLEKSEFFELDSFTIRCDFAVLPPSTSSAPPEPPAVVAPPSGTGLHADLRRLLETKEGADVDFEVRGEMFAAHKLVLAARSPVFRADFFGPAKEESTSYIRVCDMNPEAFKALLHYMYTDTLPETKPFSSREERALLAEGLLIAADRYELKELKWLIEDKMCNNIFVSTVLPMLALAEQHQCGKLKKMCLEFIASNRNTRATMALDDVERLARSHPSTVKEVITKILDVREREVAKSVVWVSLFMYAFIVLFSFIILLFWKK >Et_1A_008124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4512535:4516397:-1 gene:Et_1A_008124 transcript:Et_1A_008124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEGAGGTAAAANGKRRGESWRATLLLAYQSLGVVYGDVATSPLYVYKSAFAGNDIQHSAGNEEIYGVLSFVFWTLTLITLVKYVLIVLRADDGGEGGTFALYSLICRHVRAGLLPGGGTRDELMEEDKATGRRGERPVSRVRAVLEKYRVLQRLLLLFALLGTCMVIGDGVLTPAVSVFSAVSGLELSMEKEHHKYIELPVACAILVCLFALQHYGTHKVGFLFAPIVCIWLICISAIGAYNIARWNHHVYRALSPYYMYQFLKKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQSSIQIAFISVVYPALVLAYMGQAAFISQHHNFESSYQIGFYVSVPETLRWPVLVIAILAAVVGSQAIITGTFSIIKQCSSLSCFPGVKIVHTSSTVHGQIYIPEVNWLLMILCLAVTIGFRDTKHLANAQGLAVITVMLVTTCLMSLVIVLCWNKSIFLAFGFLIFFGTIEVLYFSASLVKFHEGAWVPITLSFIFMVVMCVWHYGTIKKYEFDVQNKVSVNWLLNLGPSLGIVRVRGIGLIHTELMSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVQPEERFLVGRIGPKEYRLYRVIVRYGYRDVQKDDLEFEKELVSSIAEFIRSSGEYDKNGFVEDTEKSREKLSPISTGIPFWEEDGELDVPRSPHKRVDPYNVAPKQKKTRFVIPKSAQVDIEVRRELQELMDAREAGMSFILGHSYMKAKSGSSFIKRLAINFCYEFLRKNSRGPAYAANIPHASTLEVGMVYQV >Et_7B_054259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18615838:18617199:-1 gene:Et_7B_054259 transcript:Et_7B_054259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSTIESIERDTAQQWQQQQQKEKGNILISISSYRHSYGGGRSISIVDNVGGSHKLAVMMLLDGCFLLHRILKYARMASRDEKDDKEEEDDDWTQVFGRCGVWSMVTCDLLLLNNQIPFFVVRELFKHLKSCSRDRDHVLVDGGIRLFASLRPGPAGTGNSSTSIPCTTSCTSSTYPSTSARRRHRRSPSTRYCRRSSKSGCRAPRNWKMPA >Et_7B_055514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12977043:12978632:1 gene:Et_7B_055514 transcript:Et_7B_055514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNRCVNIIARLFNAPLSAGETAVGVGTVGSSEAIMLAGLAFKRRWQNRRKAAGKPHDKPNIVTGANVQVVCWEKFARYFEVELKEVKLREGCYVMDPDEAVQMVDENTICVAAILGSTLTGEFEDVKRLNNLLAIKNMRTGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVVWRSKEDLPEELIFHINYLGADQPTFTLNFSKGSSQIIAQYYQFLRLGFEGYRNVMENCMESARTLREGLERTGRFTVISKEQGVPLVAFTFKGREYTALAFRLSAALRRFGWIVPAYTMPANLEHMAVLRVVVREDFGRTMAERFLSNVQMALDELDDEAKGGPVPRMRFTIELGPPARGAGEEASVKVVKRESVVAVHRSVSLAGGKTKGVC >Et_5A_042857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5679370:5682897:-1 gene:Et_5A_042857 transcript:Et_5A_042857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCGTGSFKDVDKEEKDAAGAGGGKPAPAAVAKAKAKPKKATKGKDNPYASRGLDKFSTVLSELESRREKILQRVGPDVDADHIMVRFVQSEAKGWVPIVVKLPSEEEQQAAAEAKKKKQSKPAKSTGTSRSNTPPPTEPSSPKEGAAKHVPAPAAKAAALTVKKKKKAGGGERWSWSWGRMMRPCHYWPLALVLLLLSLVVFGRVFAICCTSIWWYLLPILSGDEVLLGASRLPAAKTRVSNKLVAAVEPPPSHGKKRSSGASPDIAWSLRFNGKQCYNQTKNKMKEMS >Et_2B_020338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19110151:19119622:-1 gene:Et_2B_020338 transcript:Et_2B_020338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPTRGSNARRSGHRRIADYLTDDQTTATDASDNESYTTAYGEEFFAAAAAGSAGGGMLPAFLADQGDLVEVMLELDEESMVVRSVTPTSAALYAAANAQALASPLRAPSEGVLSRCSSTSSRIRKKFAWLRSPSPSPTPAELQQREAAMAARERRRELAQQNRSRAGARRALKGLRFISRTTGSVEAAELWRRVEERFNDLARDGLLSRDDFGECIGMVESSKEFAVGIFDALARRRRQNLERITKEELYDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLAKLKEQAEEYASLIMEELDPENLGYIELWQLEALLLQRDTYMTYSRPLSTASGAQWSQNLGVGGGGTLAVATGAGGDGAGDHGGAAPEQRRRRWRVGWGVRKAAARVRVAAEENWRRAWVLALWFAAMAALFVWKFVQYRRTAAFQVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDNITFHKMIATAIVVGITLHAGNHLACDFPRVIAASPEEYALVAGAFGPDKPTYAGLLSGAEGVTGVAMVVLMTVSFTLATHPFRKGGEPKAGAGAAAVTSRLPAPLNRLTGFNAFWYSHHLLGIVYALLLVHGYYLFLVKRWYEKTTWMYISVPLALYCGERMLRALRSNAYTVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPIISPFEWHPFSITSAPGDDYLSVHIRTNGDWTQELKRIFIENYFSPHLNRRASFSELGAGAAEPRSLPKLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKIADELMDLAMETSRSEDSANSFSVSTASSNRKRAYRTSRAHFYWVTREASSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGAPMLAKELKTLAHEMSHKTSTRFHFHKEYF >Et_2B_022908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7772168:7773423:1 gene:Et_2B_022908 transcript:Et_2B_022908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAKSEVAFGLATAIALLAATASAQNAPRDFVRLHNQARAADGVGPVAWDPAVARYARAYAARRAGDCRLLLSGGPSHN >Et_4B_037455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:245987:248526:-1 gene:Et_4B_037455 transcript:Et_4B_037455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESELAVIKPEVLKTYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIALPERVNPSSLSLILDYCRFHQIAGRSNKERKSFDEKFVRIDTERLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNINDDPRIRLLNRLYAKKRKELQERQKLKDVKAQEEQKDERSLDEILCFINGDGASGGGKGAKGKKKNKRRKDQAKNLPKANPEPVNMEEAAGMIPCKVDNGSISRPLCKGPDVQDDVEYPFEDADLDDGLDPAMKEELDREVEDFARRLNSVWPERMHLGQDRRIESHIGDNGSMQRLSGMFFLQINFLICFLPASIVVAPRSERDLSVQCPMRIGSY >Et_3A_024044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16672671:16678912:1 gene:Et_3A_024044 transcript:Et_3A_024044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFDKAPDSSGDIKMISSNFFVDEFNSESGNETLLASPILQNKDAPRTTHGEPLYVTPLRMIPYGGKDPIPFSEEELDRLIEKRKKREKTKKQQVPLPGWLEAHRYYVKGDWVAFTSRRDRRQLVQMAKELAKSNLQKEERLPKVPNSMEQKFQEILEPDLKRFNKLWTKQRHCKSYDMFIDRPCTLVDEDHQSRRCSPVERVEKDVRKSEGKPSWPSRFFALGGMNSKLSLEHRQMIPVVTKTPTVILGMDVSHGSPGRADIPSIAAVCLVSIVFPASLTISYPFYVIYESRDGVSESQFNQVLNVELNQIMKVGVKQRATPHPHHSLELVFKAEVDLQLHSAISPAVINALSEIVRQGRPCRQCGACYDGRIFGLDAERVHFSHGDGQAGERHLNSGASAGAELVLEGARCVESHVEFRRPHLVKPSVLHRFHGELHVP >Et_2A_016096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2167859:2168512:1 gene:Et_2A_016096 transcript:Et_2A_016096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHSSSSSDDGEAVDPDRIPAEVFERDPADQANKYWSMMSTDSVFGLQVAPSSDFTGFFLAHPELMDISTPPRASMANAEADAPVLAPPFESIPELPEATMKGNYSFAFPNLIEDKRHSSKKVQDEQPPATAAAATEATQAAPAPAEAEAKTSSKPEAAPETEAPKGGLFACFPCCS >Et_9B_064536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16298202:16302371:1 gene:Et_9B_064536 transcript:Et_9B_064536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSAVTAEDLVDALREVDWSTPPRPVPEFFSRFTVPRSYSKWTSRLKCNLYYYRTNYFILIMFILGMAFLWKPVAIIAAFLTGVSIAFLNDSFAVTFNEKVTRTVRQFSPHLAAKMRPPMTPVIRGRPSSKRSIHICGRPRWVFVLFFSAVSCILWLTSCSLLTVLWALLIALLATVLHASFRTPNLKARLNTFREEFRAIHVVRKDFSVTEHRRKDSMAGDAATADTYIVDIVV >Et_1A_005660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12522747:12523659:1 gene:Et_1A_005660 transcript:Et_1A_005660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWILYQPRAGAASPQPATVWYFDSVLELRVIDTADGSVLRAVWDVRSAKLSRAARLGLVYVDQGVHGGRVIDPCHSSFGRAAPSGAYKVVHLRDASTEERGDTQVCLVSVIGQEEDPVPVVVRRQGREPPFVTCCCSNCTVTVDGVVYFLDRGLSSLPRAIEILGDGRILALNGFKEDGQDFCDARCVLQLYDANTGGTPTDLMEMTQDLRGLVTLYSGSLLSSCSTRHQVVGSTVRREERRASGDQEELEHDDASAEPRDHMSARGPLASRTVCVSCSAG >Et_1B_014227.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:3872573:3873976:1 gene:Et_1B_014227 transcript:Et_1B_014227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPVSRPRAARVAVSEIPLAVRRPRMVQPAPARLPTADEVDDAGPAVPSHFLCPISLEMMRDPVTGPTGITYDRGSVEAWLERGRATCPVTGRPLRAEELVPNHATRRVIQEWCVANRALGVERVPTPRVPVSAADAAELLAAVAAAARRGDAATCRQVAAKARALGKESERNRRCLAASGAVRALSSALAQLVDRPAVTSLTASAGALEEILAALVVFFPLDEQSRRCIASPASLDAVLSILIHGEAAARVSAVVVLREVASSCDAHCLDAMSKTAGIYDALIDLLQKPVSPQATKAALVTAYYLAANTHDATTAASRFVGLGAVRLLVELLVDADKGTTEKALAVLDSLLLTVGGRSEARGHALAVPVLVKKMQHVSDMATEFAVSALWRLCKNDDDVSGGEERRCEAEALQVGAFQKLLLLLQVGCMGVTKERASDLLRILNGSRGAVECIESIDFKGLKRPF >Et_1A_007557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35944288:35945831:1 gene:Et_1A_007557 transcript:Et_1A_007557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFTSRRLEPELVAPVRATPREIKYLSDIDNQRSLRVYSTIVEFFRGQPQLPRRDPVVAIRSALAQALVHFYPVAGRIRELPPDGRLVVECTAEGVVFVEADVELSLKELGEPLKPPYPCVSELVCDLDDTKAVAGKPLVFFQVTRFSCGGFAVGVHWCHDMLDGFGICKLMKAVGDLARKEPRPTVLPVWEREMLTSHAPPRDMITRHHLLGYEPLSDATQEDVMLTTPPADMVGQYFLFGPAEISAIRSQVPARLAPYCTVFDLLAGLLWQCRTAALGYRPEQRVRFTFASNARRGWKRDPPIPGGFYGCALVFPVAEATAGELLCGSGGLGRAVELVRRAKLEVDGEYMRSTVEMMARRKWPPLVLERTYVVSDITTIGEDAVDFGWGKRVGGGIPMVCDVINKLLTYFMKCKSADGEECTVVSMYLPREAMERFAAQISAWSKNLRE >Et_3A_026612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10513325:10515071:1 gene:Et_3A_026612 transcript:Et_3A_026612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKLKHKHKKVKLAVLQFYKVDDASGKVTRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVFNKEAYTTVLLLNVARKLRCRSPK >Et_2B_021820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5845938:5848864:1 gene:Et_2B_021820 transcript:Et_2B_021820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAPKEPMKQRVNRCLLRLTDRDTEAMAAAELDAIARGLEADELPGFVAVVSDARSSDKTPLRRHTLRLLALVAGEHPRDAVAPLVPRLVNAALRRVRDPDSSVRAALVDAARAAAGVAESPPAALEPLAAALLQEQDQCAQLAAALAAAAAVEASAPTDDLAAYLRGLLPRLLKLLRSAAFKAKPALISLIGAASAASGGGAASTAVPCLRDALTGDDWAARKAAAEALALLAQEHGDDLVAHKPSCITVFEAKKFDKVKIVRESMNRMIEAWKEIPDMDEEVCSSGVPPSPQTRSSHTETSSDSRYPPDSMGSNSVPSISRRNSWPNNRSPPREALQNASNRKTNPSSTLNRKNSLPARRNVDQSKNYDHKVNNTVAPDATPIKMVTEEKLLKEGNVRERLEARRVLFQKTGEKGYKKLAGLKSGSRVVPYNGDGDLEETAKTENTPEECQSAHKDEDLSKIRMQLVQIENQQASLLNLLQKFMGSSQNGIRSLETRVNGLEMVLDEISRDLAASSGRITNNEPDTNACCILSPKFWKRHDGGRYMSRYSVPDIPNYSEESKTCYKWEGQKFGIQGGFVTNPLAEPSTTSVQSTMVTQEGRRRDSAQYR >Et_7A_051633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21726331:21729109:1 gene:Et_7A_051633 transcript:Et_7A_051633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAFNAFKSRVPVAWSPRLYITLVRGLPGTRRLHRRTLEAMRLRRCHRTVEHRTTPSLLGMLTQVKRLVVVETEEMYNARRKADEERRAPRRPLVVSHHPPAPTPKPTAPEGTAASSQN >Et_3B_028390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14639376:14640875:1 gene:Et_3B_028390 transcript:Et_3B_028390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILASLSVLCFLVVVLNGALVESRKSGNNFRYYYQLFVFGDSFADTGNLPKSDLSEVSRQWYSPYGTTSGIQTGRFSDGYVQTDFIATILGRYRIAPTTYRVAKRFGDPAGMNFAHGGAGVFEVPRKAPTLSQQIGYFKELIDSGIIDKWNIKQSVAMIAISGNDYVRVANMTSEKEMLAFVGKVTSEIAKCVKRLQKIGLGKVLVNNMHPLGCTPWQTRPANYTQCKTMPNMGTYYHNDGLNKKLNAAKSDAVYVVDLYKAFSSIVNPSDSTANPPQVARQFTHKLKPCCRSLDPDGYCGQVDDNGKKLYTLCDNPENHFYWDDVHPSEAGWDAVMEQLERDMKDFLYP >Et_5A_042536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1980762:1983479:-1 gene:Et_5A_042536 transcript:Et_5A_042536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHARTGFPWLRLSTVEFHPQWLMNAAVAPCARISSCGAHPVTTTPTPRAVASPSSDDAAAARRASASARMSARSASRSTQMNRWLLPRSAAASSRTCSCRSDDVVPNETYNTDDGGCLSSQSRHSCRARARRSLAGVPELPLAAGRSTGFRGPTANSLWPSEEGIPASTSRNSPSSARQVLTTIPAPGARRRSWPIRLASATNSGVPQAPGGWKTRPWSRRRRCPGSAHPTLYEAARQCTPSDSPLGSRAASAAANAAMRSCSTTMRRWEVESSPRSAARGARAPPQKASKRGSMCVGNGDDGLGAGDAHAGISSGRKRTAARSASAPSQPCSRARASPRWGSGAAKWTGSPRDASSRDRCRSWLRWPCAGNGTVTTATGDSIAAGRGFASGARRAESKTRQEHSNQNINEFVTKYHHSTPRVAPTW >Et_9B_064338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14171621:14173484:1 gene:Et_9B_064338 transcript:Et_9B_064338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGGISVSSAAPGTEFEAKITTTVVISCVVAATGGLMFGYDIGVSGGVTTMRGFLHEFFPSVLKHDGKKQSNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLMAGSFFVIGVILNGAARSLYTLIAGRIFLGSGVGFANQVVPLFLSEIAPTRIRGGLNILFQLNISTGILFANLVNYGTNKINPWGWRLSLFLAGVPGALFTIGALVLVDTPHSLVERGLLEEGRAVLVKIRGTDNVEAEFNEILRASRIAQEVKHPFRNILHRRNRPQLVIAVLLQTFQQLSGINAIMFYAPVLLTVLGLTSDGGLTYVLSTLVSVYTVDRVGRRMLLLEGGAYMFLSQAAVAVVFRIKVTDGSDDLGRGWAVTAVAMVCVFVSAFAWSWGPICWLVPSETFPLETRSAGQSVSVCANLLMNFVIAQAFLTMLCRLKHAVFAFFSAWVLVMTLFVLFFLPETKNVHVEEMAERVWKKHWFWKRFVNEEDNRRSQEILPSPMRDL >Et_1B_010396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10081271:10101343:1 gene:Et_1B_010396 transcript:Et_1B_010396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CKRAPPSRLLLRLPLWPVPVAHESAPVASTPLSIRSRVSPSSRHHQDTACLRVRPSPHWTDIYIYIYGRLASSPALPPPRSATHFSNMTQDSDQGAGAMDSSSWPSGAASPPAAPAADGGGLTVAAIATVTGVLFLFLVFAIGLVSLQYCINSLDQRRRAAQESPSGRRRRRRAGAVVGRGVDPELLRSLPVTVYRAAAKGSSSDDAAECAVCLAELEDGEAARFLPRCGHGFHAECVDTWLASHTTCPLCRLTVAKPDMALALPPVAPEPANYGSGTLPANVLLGVPDHHRGAVSAAASCTDRAATSTAMLVIEIPESGAPTPRDAAKSPGLARLMSFRSLWSFGRQGAGPSSSCSCERPDVEQGTGVTIGIGAEAQLPPEAAATLLPPLTVGAVVGVISGIFLFVVLTIGLVSLWYYISSYDRRRPSVGRRNRAAARGVDPELLRSLPVTVYRAAAAKGSSVEECAVCLAGLEDGDEARFLPRCGHGFHAGCVDMWLASRTTCPLCRLTVAKPDDPALALALPPVAPEPANYDSSTLPASVLLGVPDHHQGDVSAAAPSTDRVPTTAMLAIEIPESGAPTPRDAAKSPPGSARLRSIRRLWSFGRQGAGPSSSCSCERPDVEQGTGIQAHASGGRRVQQSLVPLVQAGVADLCRAAAGVPSSIGVADLGCSSGPNTLFLASVAVDAVRRRCAAAGAGCPEVRVFLNDLPDNDFNTVFRKLPAFQQRQQCGEAEEPSCAGDVFVFGAPGSFFGRLFPAGSLHLVISSFSLHWLSQIPQELTAGELVNSGNSCAGRTSSPAVIDAYARQFGRDLTLFLESRAKEVVAGGWLLASLKGRSARDMSSEGCAINDHPTHILNAMAAQGLVDAARLESYNTPTYDPCAEEVREVVDAEGSFEMVAMESFEAPACDPAGFARAIRAVHEPMLARHFGAGIDMEQFDGGGTFGPDEQGRQLQGNVRARAAGAAAMDSSTRPSAAAAASPPPAAPDGGPLTVGDIAGVTSALVLFVVLSIGFCSLRRYIIASFDRRRNRAAAAGVDPELLRSLPVTVYRAAAAKGSSVEECAPDDPALALALPPVAPEPANYDSSTLPASVLLGVPDHHQGDVSAAAPSTDRVPTTAMLAIEIPESGAPTPRDAAKSPPGSARLRSIRRLWSFGRQGAGAGPSSSCSCERPDVEQGTGIQAHASARCGHGFHAGCVDMWLASRTTCPLCRLTVAKPDDPALALALPPVAPEPANYDSSTLPASVLLGVPDHHQGDVSAAAPSTDRVKATGMPESPARDAAKSPPGSARLRSVRRMWISFGRQGAGPSSSYSWERPDVEQGTGIPVAEARLPSEAYTTGGTSGAGS >Et_4A_035967.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9643046:9643705:1 gene:Et_4A_035967 transcript:Et_4A_035967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPKAAAAAMRKKPSIGIRARRLLRLAVLWARRGGAAHSLRLLRTLRRHGLGGGARGDRLRYGEREFSIDETPAFRFRTPSARVLRLIPCIAPSVPDTPYGDDRYFFSAAALRGKEEDEENDDAASYYGYGCGGADEEESLCEEEESCGAADDEEQLLERAMAEARRASTATPGEGGEDAGVDVKAEEFIARFYAQMKLQRQISWLQYNEMMQRSVS >Et_3A_026558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9708613:9710907:1 gene:Et_3A_026558 transcript:Et_3A_026558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEHRQQSVKAGSSRFTVTCGLLRQYMMKEKGSNGAVRLAPAMGAMSLMPGANDAGGASATEAVPAPEGKTMLDLFPQQPGTLKASQKKEPERAPLTIVYGGRVVVFDDFPAEKAEELIKAAGSYSASSASRQPYLPDMPIARKAALQRFLEKRKNRLVTRDLDRAGGNSINRSNMKAKDQTSDFNGVKGENFSKTIECGVQTWHVQLSYKIRDQTRRNEKV >Et_3A_026236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5934535:5949072:1 gene:Et_3A_026236 transcript:Et_3A_026236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPVLLVLPILASLHHLTAAASSITAEDTGCPPATCGNLTITYPFWLAGRDTSCGPPGFQLTCAAGASLIGSYIKVLDIDYASRSLVAAHAQLAATGACGNNLFNVSSALAIMDRFVISASNREMYVMYNCNGTLPLPGAVPVTNCSDNSSNFVYLGGSYGTAQQPANDGSCELAELLVLGSEAAGATTASYRRLIKAGFRLEWRPVGDCQSCRSSGGLCRYDNNTAAFACLCSDGSLKSSNCVSLSAIAGFAFICLPWLMYRHKKEYRSFAYKFYSSGRSSEEQVLRKCGSLAPRRFNYSELKKITKSFKEKLGQGGFGEVFRGRLHDGQMVAVKLLKGSNSNREDFLNEVVSISQTSHVNIVSLLGFCLEGSKRALVYEYMPNGSLQNHICSELAKWEMLLKVAIGIARGLEYLHEGCKTRIIHFDIKPHNILLDNDFCPKIADFGLAKLCHLNGSILSTAEARGTVGFIAPEVFSRCFGVASTKSDVYSYGMVLLEMVRGRKEIKEDANNSSETYFTHLVYDHLMRDMHDCQVGHGNEEIVNKLTLVGLWCIQMAPENRPSMSKVIEMLERNIDELEMPPKPFLHTPSHHWLDPYMHRLDNIIEKRNNLFILHKYTDNGSNQEEILKGYGSLLPKRYRYLELKSFKDKLGEGGFVLKGSKGDEEDFLNEVVSIRRTSHENIANLLGFCLHGSKRALIYKYMANSSLDKYIYSEESKMVIGWENLKHIAIVIARGLEYLHRVCTRIINFGIKPYNVLLNEYFCPKIADFGLAKLCRLKDSALSIAEARGTIGFIAPEVLEFCPLNSYAAANSITAEDTDCRPATCGNLTISYPFSLAGGGRDTSSCGPPGFQLTCNISDAGAFLIGSYIKVLDIDYGSRSLVAVHALLAAGAACGLLFNVSSALAIMDRFRISPSNREIYVMFNCDGTLPSPAPGAVPVTNCRGNTSNFVYLGGSYGTGQPPANDGSCELAELLVLGSEAAGETAASYSRLIKAGFRLEWGPVGDCQSCRSSGGQCRYDNNTAAFACLCSDGSLRSSNCVSLSAVAGFAFICIPWLMYRHKKEYRSVLHRFYSTGRSNEEEVLRKCGSLAPRRFKYSELKKITKSFKEKLGQGGFGVVFRGRLPDGRNVAVKLLKGSNSNREDFLNEVVSISQTSHVNIVSLLGFCLEGSKRALVYEYMPNGSLQNHIYSELATEWEMLLKIAIGIARGLEYLHEGCKTRIIHFDIKPHNILLDNELCPKIADFGLAKLCHLNGSILSTAEARGTVGFIAPEVFSRCFGVVSTKSDVYSYGMVLLEMVRGRKKIKQVANNSSETSYTHLVYDHLMRDMQDCQVGHGNEEIVNKITLVGLWCIQMSPENRPCMSRVIEMLERNIDELEMPPKPFPCSPSPSMNVSSCTSVVVMLPSPARIEERNTTLRHNLVILHKDTDNGSNIEEILKRYCSLIPKRYRYSELKKITRSFKDKLGEGGYGSKGDEEDFSDEVVSIHRTSRVNVVMLFVCMDQRKPYLQVHGLHSISIFIQKNLKLQSDWEGRNISQLSQQDAWNICIGAILDWQNCITSKTAPSQLLKQEMALVGLRCILTSPANRLSMNRVIEMLEKNINELKMPSKPFLSCPSLPQSAANSLTAEDTGCQPATCGNLTIAYPFWLAGRDASSCGPPSFQLTCNGSTAGAFLSASYIKVLDIDYASRSLVAVHALLASDAACSILFNVSSAFAITDRFRISASNQKLYVLSQCDGTLPPAGAVPVTNCSRNSSRNFVLLGGSYGTGQPPANDGSCELTVVFLVLGSEAAGATASSYRRLIKAGFRLEWDPVGDCSACSASGGRCRYDNNTSAFACLCSDGSLRSSTCVSLSVVAGFVFICLPWLIYHHKKEYMSFAYRFYSAGRSNEEQMLRKCGSLAPRRFKYSDLKKITKSFKENLGQGGFGVVFRGQLHDG >Et_7A_052604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9733185:9735189:-1 gene:Et_7A_052604 transcript:Et_7A_052604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITSSSSSNPESRAMALAKAKEIVASAPVVVFSKSYCPFCVRVKKLFEQLGASFKAIEMDVESDGADLQDALKEWTGQRTVPNVFIKGKHIGGCDDTMALHNQGKLVPLLTEAGAIAGATSKATTTA >Et_3A_027264.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:6170858:6171913:-1 gene:Et_3A_027264 transcript:Et_3A_027264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSELEHKAREAFLDDDFALAAALYTQAIAAAPQPAAALHADRAQAYIKMGDFAAAAADAARAAELDPAMHRAHLRRAHACVKMEQYDAARAAVEAGAALALGDARFAQLMKEIDDKAPKPPAKVEPFGAAVAAAPEVTMQVVDKPKYRHDYYNSAAEVVVTVFAKGVAAEHVSVEFGEQTLSLSVEVPGEAAYHLQPRLFGKIVPDKCSFAVLSTKIEVRLAKAELGTTWASLEFTNKPKHIVAAVNGSGAAAQRPSYPSSRGKKDWDKIEAEVKKAEKEEKLDGDAASNRFFQDIFGQADEDARRAMTKSFVESNGTVLSMDWKDVGSKKIEPSAPEGMEVRKWEY >Et_7B_055964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8119433:8123800:1 gene:Et_7B_055964 transcript:Et_7B_055964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPAVASTQLPVSWAYQIRVAAAQGQFLDAVALFLKMRASAAPRSSVPASLPAAIKCCVALDLRALGASLHALAIRSGAFADRFTANALLNLYCKLPGLYHCSVTGVPSGGGGVGSAALESVRKVFDEMPEKDVVSWNTLVLGCAEDGRHQEAVALVRRMWRDGFKPDSFTLSSVLPIFAETADVKRGMEVHGFALRNGFEDDVYVGSSLIDMYANCTRTDYSVKVFDRLPQRDAILWNSMLAGCAQNGSVEEALGIFRWMLQAGVRPMPVTFSSLIPACGNLASLRLGKQLHAYVIRGGLEDNIFISSSLIDMYCKCGNVSIARSIFDGMESPDLVSWTAMIMGYALHGPAGEALVLFERMELGNAKPNRVTFLAVLTACSHAGLVDKGWKYFNSMSDKYGIVPTLEHCAAYADILGRSGKLDEAYNFISKMQIKPTASVWSTLLRACRVHKNTVLAEEVAKKIMELEPSSVGSHVVLSNIYSTSGRWNEAAHLRKSMRNKGMRKDPACSWIEVKNQLHVFVAHDRNHPWYERIVSALNVFSEQMARHGYVPITLDVFQDVEEEQKRHVLCGHSEKLAIVFGIISTPPGTTICVMKNLRVCVDCHTVTKFISKIADREIVVRDANRFHHFKDGSCSCGDFWTVMHVHKVSCFRIATWKVYRSGCPKRWVQIRTIRRYLCFVHMPIRLQIRYDKDVTT >Et_2B_021642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3936633:3941052:1 gene:Et_2B_021642 transcript:Et_2B_021642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVAAAASAVVSQALRDRQILDAVGTTAAALSLAGSSFIVLCYLLFRELRKFSFKLVFYLAVSDMFCSLFTILGGPSNAFYCFAHDYSAHFFCVASFLWTTTIAFTLHRTVVKHKTDVEEFGSIFHLDITSNHRITLNRK >Et_8A_056447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10174057:10176057:1 gene:Et_8A_056447 transcript:Et_8A_056447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTCDVIGVDEVRNGVFLSRLLYTTGDGFLIGAGAGSAYHFTRGLRNGGRLAGAARAVGTNMPRVASRCAAYLAVFCAIETTISNARGRSRDEDRWNSIFAGAATSGLFNLRRGAAATARGALFGATVLAGLAATRWTADLLCSSLSMYYDETRTTAPVPSTAKGEKGAVPS >Et_7A_053018.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:536362:536610:1 gene:Et_7A_053018 transcript:Et_7A_053018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAAPTLTGLLKKAAAAFPSRRAVAVPGKIELTHAALDALVDAAAARLAADAGVLPGHVVALSFPNTVEASKHQMQLLI >Et_7B_054428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21257490:21261199:1 gene:Et_7B_054428 transcript:Et_7B_054428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTHLLTPPRLHHPTLSSPSSAARLRASASLAHPLLHSRLRLATRPSPRPRRRAMSTTVRSSLIDPDGGALVELVAPPERRAALRAEAEQLPRVRMSLVDLQWAHVLAEGWASPLRGFMREDEYLQSLHFNCIRLPDGGGLVNMSLPIVLAIGDADKEQIGDKPDVALEGPDGGIVAILRRVEVYPHNKEERIARIWGTTAPGLPYVDEAIASSGNWLIGGDLEVLEPIKYNDGLDHYRLSPRQLRNEFDKRGADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGFKNPILLLHPLGGFTKADDVPLPVRMEQHSKVLEDGVLDPETTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYNPDHGKKVLSMAPGLEKLNILPFKVAAYDTVAKEMAFFDPSRSQDFLFISGTKMRTFAKNGENPPDGFMCPGGWKVLVDYYNSLQAEEAVPAPV >Et_10A_002073.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21448655:21448870:-1 gene:Et_10A_002073 transcript:Et_10A_002073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDRMAAYPSHPDPELPHTASSLQPFFMHSDAQARASMEVIAGMLLHVVRRAPSMLHLDPDHPLRNRAL >Et_8A_057811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7520052:7524595:-1 gene:Et_8A_057811 transcript:Et_8A_057811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKTPTRFDRLIDVSGDGFLFGAATGSVFHFVKGLAAGSPGGGGRLAGAARAVIANAPRVAGSCGAYAAVYCASKSAVAIARRMDDDPWNAVAGGAASGGLLNARRGATAAARCAAVGAIAAVAVLGFLWSDEQRQSRAISERDARMYLEFPEPPSEDVPKPQIIPPRSTVHRVFADASIKYKIVKILERSKKLLLNKIGTRLHLPALNVVDDGVSETKSTSQNMTARISQKKI >Et_2A_014871.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:19689895:19690059:-1 gene:Et_2A_014871 transcript:Et_2A_014871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRHRSGMIGGWMRGASLISSQLWQVMPLTRRSACAKCSLRGSAPTWCRACLL >Et_4A_035011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8849037:8852734:1 gene:Et_4A_035011 transcript:Et_4A_035011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILVCSGRFLARRPPLALVPRCSRGRPDRGSNDKGDTSADWDKAWTTFKNKGKRTLFSDFSPDKYVSWNPRRSEYPLSEEVDPIKRTERSNLMLWTSPQFTLVGAIIIVLTLLIYTLVVPANWHGIQKAWEFVCPSGMWKHGVEWAYKTRVFLKTVLPVYIFQTHTVLRLTRDGVNTETWSLGRIQKVSN >Et_3A_025393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29412037:29413053:-1 gene:Et_3A_025393 transcript:Et_3A_025393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIGIPLRAGDSPASPRRAARRRRRTRAINTRRGRQRRGHRPALLGGQALLHGLGLRAPCQRRAPAGGGLRRARPSRGGDRRCRLPHGRPSLGELEYERLVARGSEEAARLPLGDEWDAVTLSYTSGTTSAPKGVVYSHRGAYLSTTSLLLQWGVDNEPVYLWTVPICSTATAGPSRGAWRRQRLHPRRAPGQHLPRHRAPRRHTYLLRARRLHRPPRGRRRQEEAPPRCAGARASLLERVERIGFKVTHAYGLTEATGPALPCEWPDQWDRLPHSERARLKARHGVSVHSLAEADVKNAFFFFAFRCGCAALPGSPCLDRIAAAKSAVCY >Et_4A_031952.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:32538372:32538764:-1 gene:Et_4A_031952 transcript:Et_4A_031952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFMFGLAAPYHHWTTPGNHVGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGSFGFLYAAQNKDRTKADHGYPPGIGVRNSLFVLAVCNVLGLFLTLLVPESKGKSLEE >Et_8A_057712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6331950:6334095:-1 gene:Et_8A_057712 transcript:Et_8A_057712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAWASQARRLFLAGAGAPARSFHAQPYQAKVGVVEFLNGVGKGVETHAAKLDEAVGGDLQRLLETRTLRLKKLGIPCKHRKLILSFAHKYRLGLWKPRAEPRKVE >Et_7A_052553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9233676:9235793:1 gene:Et_7A_052553 transcript:Et_7A_052553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRPLKKPKPLSLEVWKCLFLRLGLGLLLVLAAPLLLPAIPSPGCKRRCGSIEIQYPFGIGDNCALSGGFNVSCEDRNGTATPFIEHYELLSISLADSTVRVLNKVTSYCYNISTSVSGGSRPKLVMDFGEEDEFDFFEGSPYRFSDTHNKFTVIGCNTLAFISDSDTTGYLSACGARCLKTSDLTNVTTCSGMGCCQTVIPKGLDVFNIGFFDAFNTSKIWDFRRVQLRRADGGGGIQP >Et_9A_062419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22735244:22739820:1 gene:Et_9A_062419 transcript:Et_9A_062419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATAARLLFVLAVYLHAAAALRSLGVREGGGAGASEGGAAGSGDAAVDLNATNFDAFLKASPESFAVVEFFAHWCPACRNYKPHYEKVAKLFNGPDAAHPGIIVMTRVDCAEKVNMDLCSRFSVSHYPFLLWGPPTKFVSAQWKPKQENSEIELIDDGRTADPLLLWMTKSMKMKIVRAIYDVEEATAHALQIILEHKMIKPETRDTLIRFLQILVAHHPSKRCRRGSADLLINFDDHWPTNLSLSSQESSRLLESVAADNHQICGKEVPRGYWMFCRGSRSETRGFSCGLWVLMHSLTVRVGDGESQSTFTSLCDFIHNFFICEECRKHFYEMCSSVSVPFKSTRDLALWLWSTHNKVNERLMKEEKELGTGDPSFPKDIWPPKQLCPSCYRSLSRTADGAVQVDWDENEVFQFLVNYYGKMLVSSYKESYMESLLQEKKQLGSISDDSSASSAATVPIGAALGVAVASCTFGALACFWRTQQKNRKQRKNWN >Et_10A_001753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7692748:7694053:-1 gene:Et_10A_001753 transcript:Et_10A_001753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSVKIMKSRGVGKTKADSTREKGEGPLPRAAAVARGPHFAGAERALLLASVLSAAAAFLPVAESSCPRDNSLVKDISQMHQSNYGWEGFSHITVAGALAHGMKEVEVWLQTFGPGQKTPIHRHSCEGVFVVLKGKGTLLLGSSSLKYPGEPQEIPIFHNSTFSVRGNDPHQVWNYDEHEDLQVLVTISRPPVKM >Et_7A_052012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3706188:3706989:-1 gene:Et_7A_052012 transcript:Et_7A_052012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGLPRSASRRMPCGVQTLGRRSLLRPSEKARRARHFSLKAASDRVVDRRDVLLGLATSTANRGAFVAPVKAPDLPNCETRDIPATAAYPSFCMTYRAGAGTGIVDFQPGGAPGGQGVPGQRAVALMKQLPDDDPRSFVQQARVDCSYCKSLQRRLRSTRPDSRGTGTYVDACHVHLTSQETRTFCTVCQKLPFWNWDASGGMTLPAIYTDQSSPLYGERRPSRRFDELLKQSTS >Et_9A_063524.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:5994701:5996287:-1 gene:Et_9A_063524 transcript:Et_9A_063524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLHFVLVPLLAQGHIIPAMDLARLLAGRGARVTVVLTPVTAARNRSVLDHAARAGLAIDFAELAFPGPEVGLPAGCESLDLLPDMSLSKSFFDASWLLAAPLEAHLRRSSSTSRLPDCLVADACSPWAAAVARRLGVPRRLVFHCPSAYYLLAVRSLHDHGAYDRVEGDFEPFEVPGEFPVRGAVVNRATSLGLFQWPGLERFRRDALDAEATADGAVVNTCAAIERAFVEGYAAAISGLEKKKKVWAVGPLCLVPNSGDTTAARGGRAAVDAGAVVSWLDARAPASTLYVSFGSMARLFPRQAAELAAGLEASRVPFIWAAKEDFLDDPEFEARVADRGLVIRGWAPQMAVLSHGAVGGFLTHGGWNSTLEAASHGVPMLTWPHFADQFLNEALVADVLGVGVRAGVTVPATHVALVRPGGELVDVQVRREDVEKAVAELMDEGPAGAARRARAKELAVEVRAAMAEGGSSDVDLMDMIRYVAEHAQSKLVGDSSVLGAAGEVVSKMEDDVDGKFPMVTSEAA >Et_4A_034919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7870781:7873874:-1 gene:Et_4A_034919 transcript:Et_4A_034919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHASRGRRTVRLLLPRPLNSPPVSRREGAQIRWCQIPDPHSRRLRSCLLQLEEIRQKRAAERMQQHVPPTAASQADLYGNQRAGMELLDRVQELENGNTQLEKENQSLLSKIAEKEVEKDALVNRLNDLERNVVPSLKKALNDVSLEKDAAVIAKEDALAQLRSMKKRLKEAEEEQYRAEEDSASLRAQLSTLQQQVMGNSYGGYPIGTSREETIAMEKEIQDLQDQLKQESLLRQQEQHKFAEESLLRQQEQHKLAEEQSRIAALEAEKKQLEDQIAVLSKKATEDASEFAARKAFSVEDREKLESQLHDMALMVERLEGSRQKLLMEIDSQSSEIEKLFEENSALSTSYEEAMAVTKQWENQVRECLKQNEELRSHLEKLRLEQGTLLKSCSTSIQPDGQNEHSILNPPELVTENLSLKDQLIKEQSRSEGLSAEIMKLSAELRKAVQTHSNLTRLYRPVLRDIEINLMKMKQETYATIQ >Et_3B_030041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3068399:3081946:-1 gene:Et_3B_030041 transcript:Et_3B_030041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTSSSIFSQPATTINRALCPPHWTILTAQEAEAISNKLVLASSISCLPPTQQLVITVQLSKASLAAGMASSMGSLLVVLCVAVLAGAARGHPWGGGFPLIPQFYDHSCPQAQYIIKSIVAQAVAREPRMAASLLRLHFHDCFVQGCDGSLLLDNSTTIVSEKDSNPNKNSIRGLELIDEIKVALEHACPSTVSCADVLAIAARDSVVLVGGPYWDVPLGRRDSLTANLQASNNDIPAPNNTLPTIIAKFQRHGLGVVDVVALSGGHTIGLSRCTSFRQRLYNQSGNAAPDFTLDASYAAYLRHDCPRSGGDDNLFPLDLATPASFDNHYFKNLLVGKGLLNSDQVLLTQSAETAGLVKASMDSLLVVLCFAVIAGTASGHPWGGGFPLIPQFYDHSCPQAQYIVKSIVAQAVAREPRMAASLLRLHFHDCFVQGCDGSLLLDNSTTIVSEKDSNPNKNSIRGLELIDEIKVALEHACPSTVSCADVLAIAARDSVVLVGGPYWDVPLGRRDSLTANLQASNNDIPAPNNTLPTIIAKFQRHGLGVVDVVALSGGHTIGLSRCTSFRQRLYNQSGNAAPDFTLDASYAAYLRHDCSRSGGDDNLFPLDLATPASFDNHYFKNLLVGKGLLNSDQVLLTQSAETAGLVKAYAADVGFFFKHFAESMCSTAAHTYMATSMGCLFLLCFVSPFLLAGAVHGHPWGGLFPQFYDHSCPKAKEIVKSIVAQAVAKETRMAASLVRLHFHDCFVKGCDASVLLDNSSSIVSEKGSNPNRNSLRGFEVVDQIKAALEAACPGTVSCADILALAARDSTVLVSAITNSPSQPQKQNKSCIHIISKRQMNKIYDYSLGKTTAPGITIHLNHQSCRLVAHTGTCHLAGGTPWGSNNDIPAPNNTLPTIITKFKRQGLNVVDVVALSASQFKHCITWKNHVSITGGHTIGMSRCTSFRQRLYNQTGNGMADSTLDVSFAAQLRQGCPRSGGDNNLFPLDFVTSSKFDNFYYKNILAGKGLLSSDEVLLTKSAETAALIKAYAADVNLFFQHFAQSMVNMGNISPLTGSQGEIRKNCRRLNNNH >Et_1B_010784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1480792:1484956:-1 gene:Et_1B_010784 transcript:Et_1B_010784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTGREMQGGGGQDDFFDQMLSTLPTAWSELGSGKSPWELPAGVAAEDPAFDESALLASRLRHHQIGGGDKPVMLHLSDLHGQLAGGGEDGGGAGFLPLPLFTDRTREDMGAAFKSPNAAVRFSGRSTASCLGGDQALYNGFGTAGMQPPFGQPRLFSSCRQRADQCQRRASEEARPRVAVRRRQVHRPPERPRAEGRRHRGSSACGRGGDKPRTLTASPNLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGASAVAPLVANMSSEGNGNGNGTSNNGNGNTTNSNSNSENGGSSLRLTEQQVAKLMEEDMGSAMQYLQGKGLCLMPISLASAISSATSSSLLSRSSIHPMGGAGDTLHDGGSPASPPLVNGVGCDDSRTIKDAAAGGKEESKLLVQEGPEGGIKCKVGQQNEILCDNRTKGLRFRYSFKLESLLSTTAEIRCLSDHQINLDIERVL >Et_3B_028048.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:5247541:5247795:-1 gene:Et_3B_028048 transcript:Et_3B_028048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNNKQQNAQVKEENADRSDLLFLVEHMKRAKPKNFSKKSIRRVAGGLHGKREDQRIAKGSQVFRDAQQQEVAVETKILLASL >Et_5B_043165.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:14141820:14142053:-1 gene:Et_5B_043165 transcript:Et_5B_043165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTDWGPIIVAVVLFILLSPGFLFQLPARVRVVEFGNMGTSALSIIVHTILYFCILTIVVVAIGVHVYSTKPDPVE >Et_4B_038814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5001359:5001833:1 gene:Et_4B_038814 transcript:Et_4B_038814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPWRAGARTSVRAVPPPTAALPQAPRATRRLHLLRPCSAKKPVNEEKAGGGSVLSKSVLLRSGVALFALGFVDAGYSGDWSRIGAISKDTEELLKLGSYAVVPLSLVLIFSLSEDK >Et_1B_009675.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:13354214:13355360:-1 gene:Et_1B_009675 transcript:Et_1B_009675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYPALAAVAVVALVLGDDDLLVEILLRLASPTWLGRAAAVCRRWLRVASDPAFLRRFRALHPPRILALLVPGSGWLPVPPPRDLAGAALRALATLYCSHVCDCRNGRLLIEVKYDDPTRFPGYAVRSLLRHHAPPWGQSERLAAIWQLRMPDAFAPGERRRRRFLRVPGLNVQHGPILRPILDPEVRCVGRPARCTDRTPAVRTSNNKSSQIARGQQVIRDDHSWVHPSV >Et_3B_030077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30266474:30269041:-1 gene:Et_3B_030077 transcript:Et_3B_030077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGQEVKPGASVTREVGDGFLVHLSQAALGETKKGTESVVVYVKVDDKKLVIGTLSADKHPQFSCDLLFDQDFELSHSSKTTSVFLCGNPAAKGGEKDTKDDDNKSDDSETSSGTDGLSGSDSSDEDSMSEDDSSDGDDLSSGTDDLDSDDSEEDEDEETPVKAENGKKRAAETALKTPASDKKTKIATPSGKKTGDKKDVHVATPHPAKKGPKTPADSKPKEKAPKTPADNKTKERSPKSGSHSCKTCSKTFNSAGALDSHQKAKKHEA >Et_5A_041728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26391616:26394943:-1 gene:Et_5A_041728 transcript:Et_5A_041728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLLTCFCPRAPLLAAVPSPSTRVLPRTLTFPAGPLPRGLCATPLRPRAAAEAAASAFGGLLASPLSTLEAALRNVNLAPLRAPVAAAMSAAVRWLGVYREVLLVGVLLSWFPNIPWDRQPFSALRDLCDPFLALCREVMPPVFGRKLDLSPLVAFMAIDIIIMILRPQPRMQPPNRIAPVSRDFNPGRFSVETGLPGPVR >Et_1A_009435.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5313550:5314044:1 gene:Et_1A_009435 transcript:Et_1A_009435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCAAETGLVAMDCLVVCCCCPCLVLQVTVFLLVRLPKKVVVKTKRIILRRWHRRRPAPAEEAAAGCPASSGFKLAELVDLGDGFEGAFGIGEESECSNGSGGWRQTCFAVDEDDDGMWETLVEQEGLFWFGSFWGRPEQEDPASGDDGFRLPVALESVRASA >Et_5B_043178.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:15182635:15182679:1 gene:Et_5B_043178 transcript:Et_5B_043178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLKSSIVTASAL >Et_7B_053668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10976686:10982610:-1 gene:Et_7B_053668 transcript:Et_7B_053668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMPAASPPPAAAVRLRGCGSGLATSSPSTACLFRGSRIRVAGSVWSGRRRRCAIACSSSEDGEGPRASAAPSDGSIQLYSQIERVITEAAKQSREGWGSTGDWTEIEGAWVLRPKSSAPSFVVHFIGGIFVGAAPQVTYRFFLEQLADRGALVIATPYASGFDHFFIADEVQFKFDRCLRNLDDSVSDLPTFGIGHSLGSVVHLLIGSRYAVQRSGNVLMAFNNKEASSAVPLFSPVIVPMAQSFGPILSQLTSYPTVRFGAEAAIKQLENLSPPLVKQLLPLVQQLPPLYMDLVKGREDFIPKPEETRRLIKSYYGISRNLLIKFKDDQIDETSILAQVLSSESAISSQLDMSIRSLPGDHGLPLQQVLPDVPPAMADAVNRGGELLANLTTGTPWEAVAKEVSSTLGTDSGILRAQISKDVNTLVDVIVSWISSNSGPRLLLDSTRLILKSGINQLVIEAINF >Et_1A_007005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:382006:382969:-1 gene:Et_1A_007005 transcript:Et_1A_007005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYSERQPIGTAAQGSEEKDYREPPPAPLFEAEELTSWSFYRAGIAEFIATFLFLYISILTVMGVSKSSSKCGTVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMVMQCLGAICGAGVVKGFQQGLYMSAGGGANSVNPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIVYNRSNAWDDHWIFWVGPFIGAALAAIYHVVVIRAIPFKSRD >Et_3A_023716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11271255:11276240:1 gene:Et_3A_023716 transcript:Et_3A_023716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAEELENLSLLWRLKQRLPSSPAFLPSPETKDATASPSSRTTLATSSDFHLPLPVRIAPRNKKRVSLSGFPPPSCFPKNRGQLIVSWDLVAHPFLYRKTQELLQKSPSDFFIPCPIVLEEIAPRNKKHQKPPQQQPQPPPQLPYYLRSLLRQNFALSCRRNYYCFYCERPFCDHCYQDHRIHHQPQGKIAKVQIIHNCPSISALDVKSVGYEWEQIQRLKYGQLYYIPLRRLPNPPHHQRPFNCHICHDRMYKKKVFCSSDCRVSNVGYGKGRTMVQNLVATDFHTPHRIPSVQYAVSSSALIAALSIFSSSFTVNGIPEEAMEGVQILNAEGHVAVPVLTPRNPLDVDAGSTRLVKYKGLNATSFCLLHEIYPLAVLAPSVTTTESEDGAWLSFLPARRLIKVPPAFNQAPPANGTC >Et_1B_010392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10003923:10004317:-1 gene:Et_1B_010392 transcript:Et_1B_010392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAPVTALFSLSRRGYSAAAAAGAEVQQHAPAAMVATISGTEGGKNMVTSAKQISWMRHPETGCWVPEDRFTDVDAAELRARVLGRKN >Et_8B_060846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9046874:9047793:-1 gene:Et_8B_060846 transcript:Et_8B_060846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPDGILEFHMEAGSQTVTKGLLIELGNSPQITWHRNVNSHGVATWVLFKTFDLNNINMLHEQDSWPMPRRRKHRLIYVEDENDNLELLECVRRL >Et_3B_030479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4067958:4071464:-1 gene:Et_3B_030479 transcript:Et_3B_030479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSLCLSPPPQDGSSLKSLADLVLQSPQPMREDYIQNAVKFLSHPKVKGSPIFQRRSFLEKKGLTKEELDEAFRRVPDPQPNSSDAAAIGSQQANSPNSSSVMQPYTAVQSPQAAAGSIAAGPIVPHTKAQFSWVHALLGAGLFLGIGASALKSWTCRVVAEGNENVNDELNSKIYEEVKEAVKFSASAFSDIARTNEEVLASKDEGSECSLKFIIFGLLKILMKLSEALDAQANVFKSLSETLNHIRENRFSQYNMLEEHVQPARWNGPVDSHWRASQTNMYSASPNSGFDSGRHSIMTSPAEPTYGSVSGSYTEQRLQRPGYGFQPQTSNDRSNLGGSSYHPQAGNAVSSPAAVAPESPFQRRWVPPQPPGVIMPEAAAAIRQPRSPLPRQQSQLSDGRPSTDVPRPSEPAMVREQVNGGAHGRESPSDASTCTVMASAGSSRSEEQQQ >Et_3A_024126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17669734:17682270:1 gene:Et_3A_024126 transcript:Et_3A_024126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSVRRENDFSISLLDHGKPDEAFARCGRVIQSAYGYGSGDPGLAKWIVHQGAGVPHELHFNSVGRLFFIGFDPNPYDYDRDGYYCLSGENPIPEKYQRNEAAEEDVMPGFTLAVASMQPGERAIFTIPPELAATPSGWPACIPWNIPPNKRLLFDIELISLITDIHNDQGILKKTVKFGRGNASPSADTSGDYDEVFVSYNARLKDGTTVSESEGVEFKLAEDDVQLAKDKYLTRLMNLGFFCPAFAHALETMTQGEEAVVVIKPQYGFGEQGRPSVGNEAAVPPNATLYVNLQLMYWKVVSTIGESKEILKKTPFERINYAHMVDYTGIFHRRGYDGEDLFEFSIDEGQVIHGIEEAVMTMKEGDVASFVIPPQHAFGSARNNQNQLASVPPDSTVIYDIELPTTVALVEPLAGSGAGLQPRAVVGSAQKLIVRVLS >Et_3B_031504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32394977:32396782:1 gene:Et_3B_031504 transcript:Et_3B_031504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDGSAAAVLDQSNKYSWRRDFGEELMSELLDETSAAAPPVYKVDDDDDEEERRRESMVNKLISTVYSGPTISDIESALSFTGGDHHQLAVDARKYNSGSPGFLPEKVLSKMENKYTLKIKTCGNGLAEDGYKWRKYGQKSIKNSPNPRSYYRCTNPRCNAKKQVERATDEPDTLVVTYEGLHLHYTYSHFLHAAQQQGPPGLPKKPKRGPLVSDSSIPSSLPDLDGPVRALASGLSAGEEGPAHAVVPAASSSSSAAACYYFDEEEVFQQAGLIINQHEEEEEEHMASNGLLEDVVPLLVRQPCGGSTTTTSSSSPPPPGSSPSSVCWTPTSPYIDMAILSNIF >Et_8B_060568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2401785:2403524:-1 gene:Et_8B_060568 transcript:Et_8B_060568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSCYTQFELEHPERTNLAVELSSVSSQEISAAGHLWRIEFYPRGCDVKDDGEYISIVVKLVSHSNNVKAVVHAFVKDTNGQASSSHETRTSQINFPPNGDRSKGWGWPRFAKRSGLGSFVWNGVVTITCGVIIEPQPMDNNPICVPPSDIGRHLGKLLNSTEGSDVSFVIDGDTFPAHRAVLASRSPVFKAQLLGSMADAKMPSITLHDIAAATFKVMLRFMYTDALPGDDELGVSPIEVFQDLLAVADRYALDRLKLICCSKLWENVTVDTIAAILSCVETYNCPELKKKCMAFFTDEKNFKKVVLTDGYIQMAQKFPSCIVVASALPSAPLLGKDLGPVALSNLAYDQWQS >Et_2B_020177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17676183:17678373:-1 gene:Et_2B_020177 transcript:Et_2B_020177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQTTKPKEAGKDDRHEPGAEVKGELKSSHPQEASPASVLDKDSSDTSSDPSVQDASSVLDGDSGELKVVENLDGNGNKEKKTSQKSSTSDGFASAKVSDGTSSLRKTSGSATMSTRADFTESGKSSMCRASTGSDISDESSCSSMSSATTKPHKGNDSRWEAIHVVKSRDNVLGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKTSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSLTVIKSANPGLDALQRNNAAYCVQPACIEPSCIQPSCVAPTTCFGPRFFSRSKSKTKSKSKPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDVPKPVELDCRPKQVPSTNGKVAPVANQKGPDNYLEFEFF >Et_1A_005445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10414521:10419735:-1 gene:Et_1A_005445 transcript:Et_1A_005445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQVKFRSRNPTAAFAAVEELKKAYAGIMLHTTQESAARQLAAEQQIAALRAAVTAAKEDGVAMLVRVKAVMEARIQEMEEQQSLQHIKIKGLEEKVHGFQNDVATLQTELQRANTELEQARKTLAEERINRLPASNKIGSNKRTSSRLKMNLQRRSMSLNNQNSAEDKKAEENVAAQNIESIYRRSPDLPSVMERNKKPKLYHNGSQRIHALKHRIQGVDAFQEQKCKYATALNTRSKIRKNDAAKKTSHTRSIMEQILQTKFLGKCKRKRGTRSRPCYKHDSSGERREAEDNLSETSNGNGCLLLLQALEQDLSSPKVSSGHDGEALTDLKDGLVIKREADLNLCNGSLDLMAILAEKNMLVKRKKRSKTVRVLEADFSDSKSVAESSNTLLRNSGPVLQHIAENLMHQAGASNGKSVCENSSSVLLQSTISETIDYGNLLVEQLGHKTPDTNTASSKEVNGEGSCSLASEKADASTASSGASMQVEGVRQIIYTFNRRKRKSVAMDSTPLRAVPEKSINMLTAPAEGEFHQNPEQQDNLVDCPQGDNPLVQVAEQ >Et_2B_021191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27323486:27325131:1 gene:Et_2B_021191 transcript:Et_2B_021191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVFARHESSTSGRSSDGTKLETKMVEEMQRRALKGTSVKSFNTIIMKFPKIDESLRKCKIIFEQFDEDSNGEIDKEELKRCFQKLEISFTEEEITDLFEACDINEDMGMKFNEFIVFLCLVYLLNEPAVSEAVSFIAHTKIKMGLGELEATFETLVDAFVFLDKNKDGYVSKDEMIQAINETIGGERSSGRIGMRRFEEMDWDKNGMVTFKEFLFAFTRWVGIDENEDEDD >Et_4B_039243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9046753:9053503:1 gene:Et_4B_039243 transcript:Et_4B_039243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDSWTVEIASPVAAPRLFRAAVLDWHTLAPKLAPEIVASAHPVEGEGGVGSVRQFNFTSVMPFNLMKERLEYLDVEKCECKSTLLEGGGIGVAIETATSHIKVEPAANGGSVVKVDSTYKLLPGVEVKDEIAKAKESVTAIFKTAEAWTVEIASPVAAPRLFRAAVLDWHTLAPKLAPEIVASAHPVEGEGGVGSVRQFNFTSAMPFNLMKEKLEFLDVDKCECKSTLIEGGGIGVAIETATSHIKVEPAANGGSLVKVDSTYKLLPGVEVNDEIAKAKESVTAIFKTAEAYLLTMASANSWTLEIASPVAAPRLFRAAVLDWHTLAPKLVPDVVASAHPVEGEGGVGSVRQFNFTSAMPFNLMKERLEFLDADKCECKSTLIEGGGIGVAIETATSHIKVEAAADAGSLVKVDSTYKLLPGVEVNDEIAKAKESVTAIFKAAEAYLVANPDAYN >Et_2B_020068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16535164:16537357:-1 gene:Et_2B_020068 transcript:Et_2B_020068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRRSLHDSKSSQLVTADRWSREEIRPLLLKLKDVMFNKNMDEHRLMKGRKMRVKREEAEPDTDDNRVFSFRRRWIAEWSGNCGSLENVISVSELSGGLQWPLDVFGMITVRDSADHNLNIAYYRTRDACQTLTKKDTYLTLTGPSRAVVLDLESNVVMIEVELKVKGKNESEDKYLSFLAEPVTFITGLSGPSLFNYRSKSSSLSFMLSNIAASVEATIFIRVIEGSWPVGVSGDITVTVEDENVYLLEFGADEVPVGGDGNVELSRRVVSVGVEKVLDVCCYGRTLGEQFVSFKVVEAGRSYATLMLGTCKLEVLAVWSVVSPELGGTSLWCC >Et_6A_047390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:538703:541641:1 gene:Et_6A_047390 transcript:Et_6A_047390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RLRFGSPDRRPWTEEAKKVDLRVVRYPSGQNLLHAAVAKGSVEMCRFLIEEGPGLDVNSLSDKGQTPVLFAAAVGHLPVLTYLLDRGGDPATPDDRGYTPLHNAATHGLFSFSISHLSSSSSYSFLGSVRLAHFTFHLSARFVMQWRSQGLRQNHGKIPIMLAAAWGPRKLTEIIFPSTKPIPSLPDWNIDAIIINLKLKAKGLEQIFIHNRNSHIRSMTLLLKYHAICVTEYAMDAVSVELAERLCNWKLKGKEAFGNGDYFAATYFYGLAIEIDPLDATLFANRSVSFLRMGEGQSALDDAQQCRMMRPRWAKAWYRQGAALRLLKSYEAAVHAFMEALELDSLSDEIRNALRQTLLILLFRFPVVADFEHIILRPLILEICREAMQAMGSSSPTIADFESIILRPSIVEICREAMQAGRRRSEQDPCSIKLVSSHASDVSFVWN >Et_3B_028126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10620483:10623051:1 gene:Et_3B_028126 transcript:Et_3B_028126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAKVPTMLWLLLGVTLTFGVAASPAQASRAKHYDFFIKETNVTRLCHQKTVLTVNGQFPGPAIYASKGDEVFVNVYNQGDKNITIHWHGVDQPRNPWFDGPEYITQCPIQPGANLTYRIILSEEEGTVWWHAHSDFDRATMHGAIVVSPKRGAQYPYKKPHKEIPVIIGEWWKEDLNQLLEEAKRTGGELEPSEANTINGQPGDLFPCSQKDTFKVAVEHGKTYLLRIINAGLTNEMFFGVAGHRLTVVGTDGHYLKPFTVESIMITPGQTINALLVADRPRSNSNSRYYMAARTFMTNTDSEFKFNNSTATAILEYADMPPFAGPPDFPTNLPAIYDIATATAFTARLRSLATPEHPEHVPARVGERMIVTVAVNVVPCPPNQKCDGNNNQSLAASLNNVSFANPTVDVLDAYYRNISGVFEADFPNRPPFVFNYTDPNVPEALWFTKKGTKVKVVEYGTVVEVVFQGTAILGAESHPMHLHGYTFYVVGRGFGIFDQNKDPASYNLIDPPRQNTVSVPRAGWAAIRFRATNPGVWFMHCHFDRHTVWGMNTVFIVKDGKGPKAKMMRRPDTMPKC >Et_3B_030709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6625986:6633499:-1 gene:Et_3B_030709 transcript:Et_3B_030709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSKAVEASGRSGVARTVLGYADAVAHHAGQAVAEGAKIINDRMSAQNYKSVKKTVKILEEAAVSSRGDERLQMLRRWLKALREVEAELRGSDSRPVQTVSSSEPNSSKSSLARVLFYDADLGGAPMNFRDVFLYSQALEGITLSMILEAPKEEEVSLLLEIFGICLTGGKEVNNAIVSSIQDLAKSFSNYNDEVLVKREELLQFTQSAISGLKKNADIVRIDAEAVELWKKLNEKEASRAESIDGPDKVTGENAMAIVEVDKLKVLATSLANSSSKAEKRILDHRRQKEEALNFRTKKENEVTAVEKELTAEISELEKQRNELEAQLKKVNISLSAAVGRLKQTREESDQFHEASNQMVISLQAKENELSKSIESCNVEADVVKTWVNFLEDTWQLQSSYHEQKENKTNDELERCTSSFLKVTKYHLLKFKEVLSPSIERIRTYVDNLAVLNSREESTELGDDEVSEKTSPQKSLEEYLETEKKIVIALSIVDRMKTMFYSEQGANSRRDDPEIRNLFSEIEKLKEAFESVERPTLDIEVRKAKVPTKERSESSPSPVQAPSSPKDVNIDTPKSPTKPEQTVDPDSELAKLELEFGKVNKIPDETSGWDFDELEEELRADISKSSSPK >Et_8B_058580.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20937671:20938273:-1 gene:Et_8B_058580 transcript:Et_8B_058580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSSSTATATTSTSSQRLQGPRPAPLRVRRDSHRIRKQPVQSQQVRQPVIIYTVSPKVVHAEPSEFRSVVQRLTGAPSSSSSLPAPPPPDTTAHPFFVPPPPPPQFPLQQQDHVLVAPPPPLPSSILSPVTGSLPAVPPSFFSPPPPAGINLFGELVSPAFLGAATTTTTAGASQIYPTLPSPPGAYYWDLFNNQHNP >Et_4A_033932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28186609:28188104:1 gene:Et_4A_033932 transcript:Et_4A_033932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDRANWDEHTTFVLLDLVAKQKEQCHWPDRSPTSLGWTNIVRAFNESTKLGYRKKQLQNKFNDLKRAYFNWRDGCRHTGLGRDPDTGEVAADPVWYAAVHGKQSQPGEKYKRPPCCDQLFSLFGHTARDRGELVSAGGHGTDQTCSSGGSPQTPHDLSDEPLGICSGGQSSKRSSREYSVCSPMKKKSSQTPSLDDCLDELGHIIKESKEQKPRHITEAEEMAKVNKILKKDGYSESDLEDSSTSDSCGNVPGVQNLAKAYLVLRRIKALQSMEAPVGNPIPKLSGLSGCN >Et_1B_010437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10546596:10554746:-1 gene:Et_1B_010437 transcript:Et_1B_010437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMTNTNVKHNTKPLKIPASGKAAPGAVPARRPSFISVFKHADAVDVALMVLGLVGAVGDGMATPVRLFIYSQIANDIGSGPDRLQEFSSKINTNARNLFFLACATWVMAFLELLHIIKHANGEAASDTSRSNAEGYCWARTAERQASRMRVRYLRAVLRQDMEDFDLKAGSSTSEVVTSVSNDSVVVQDALGEKLPNFVMNTAMFVGSFAVAFALLWRLSLAALPSVPLLVVPGFMYSRVLTGFARRIREQYARPGAVAEQAVSSVRTVYSFVAERSTITRFSAALDESARLGVKQGLAKGVALGSNGVTYAISAFNVWYGSRLVMHHGYPGGTVFAICATIVIGGVSLGSGLSNVKYFAEASSAAERMLEVIRRVPKIDSESDAGEELADIAGEVEFKNVDFCYPSRPESPVLVSFSLRVPAGRTVALVGSSGSGKSTVIALLERFYDPSAGEVTLDGVDIRRLRLKWLRAQIGLVSQEPALLATTIRENILFGKEDATAEEIIAAAKAANAHAFISELPQGYETQVGEHGIQMSGGQKQRIAIARAILKSPKILLLDEATSALDSESERVVQEALDMASEGRTAIVVTHRLSTIQNADMIAVMQCGNIKEQGPHDELIANVNGLYSSLVCLQWTRDSGQVNEVNGTGSTSAAHGQSSSQNTSRKLCSSTSDAENDDNIDKPKLPVPSFRRLLTLNASEWKQALIGSFCAVVVGSIQPLYAYIMGILSFLLNLGQHYNFGVMGECLTKRIREQILAKLLTFEIGWFDRDENSTGAICSQLAKEASLVKSLVGDRMALVLQTVSAVLISCTIGLVITWRLALIMIAVQPLIIACLTTRRILIKRMSNKLIQAQSESSKLAAEAVSNLRTISAFSSQGRILRLFEQAQDGPRKESIRQSWFAGLGLGTSVALMACTWPLNYWYGGILMVERRITAKAFFQTFLILSSTGRVIADAVSVTTDLAKGLDAVASIFVIFDRKTKIDPDNPKGYKPENLKGEVEITEVDFAYPSRPDVIIFKGLFMSIQPGKSTALVGQSGSGKSTIIGLIERFYEPLSGVVKIDGRDIKNYNLRALRRHIGLVSQEPTLFAGTIRENIMYGTDSASEAEVEIAARSANAHDFITNLKEGYDTWCGERGFQLSGGQKQRIAIAHAILKNPAILLLDEATSALDSQSEKAVQEALDRVMMGRTSVVVAHMLSTIQNCDMIYVLEKGVVVEKGAHAALMSKGPSGTYFGLISLQQGGTQTEVPYGNTTPRSVAEL >Et_2B_020756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23323458:23327433:1 gene:Et_2B_020756 transcript:Et_2B_020756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPALAAAEPMAVDDSAAKKAKRKQLKAAAAAAAEAEAEVTASKKKEKKEKKRKAKEPTPPPASDEERSSTSSEEPAPAAKKAKKEKKKAVEEKSRSSSEEGDGDVTASSDEDAADPNALTNFRISEPLRERLRSKGIKALFPIQATTFDLVLDGSDLVGRARTGQGKTLAFVLPILESLVNGTHKASSKTDYGRLPSVLVLLPTRELANQVHADFEFYGATFGLSACCVYGGAPYRPQEMALRRGVDVVVGTPGRVKDLIVKGHLNLKSLKFRVLDEADEMLNMGFVDDVELILGKVEDATKVQTLLFSATLPDWVNKLSMRFLKTDRRTVDLVGNEKLKASASVKHLALPCNKAARAQVIPDIIKCYSHGGRTIIFTETKESANELSSLIPGSNALHGDVVQARREVLLAGFRSGKFQVLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGIAVMLYEPRYKYSVTRLERESGVQFEHISAPQPTDVAQSAGNEAADAIASVSDSVLPVFRPQAEQLLNSSTMSAVDLLAKALAKAVGYTDIKKRSLLSCMEGYTTLHLQTGRPMWSPGFGFTILKRFMPEDKLSDVKGATLTADGTGVVFDVPAADAEDYIQGAESASMVTIDEVKQLPPLQEKEQSRGHSGGGRFGRGGGGGRGSFGGRGRGGGGRGFSGRGGGGNRFNKRF >Et_7A_051198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15432226:15433337:-1 gene:Et_7A_051198 transcript:Et_7A_051198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLKRKQPNGHGAVMGSAPEPYGLPGGAFSLYPPESSTHDARKESLTHIFLESCRRKNKLSWVNHSYTPDFDGYLWRKYGQKFIKDASYQRLYFRCSYNQDRRCMASKQVQQLDNHDPPLYEVTYMYEHTCNAKPVPAPDFVAAATAAAAPAASAGLLLNFGSSGSGHQKCAAKKQKQPQQFHQWQPVPPGQSSMMKFDSWKGQLHEKPAFFPPDFPPSASSWSPSYPANDSSPSTSNNSEDSLFSSLDMESLGVLIDQLDDFAQFPGNS >Et_8A_056909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18841270:18844677:1 gene:Et_8A_056909 transcript:Et_8A_056909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLFISVDLGQSPDLGTEASSVILHQRAWDPDHLDLWSVEIMKQSSCSMHLTNKTDHYVAFKVKTTNPKQYCVRPNIGVVLPGSTCDVTVTMQAQKDAPPDMQCKDKFLVQSVAAENGATTQDINAAMFNKEPGRVVDEFKLRVVYVPTTPPSPIPEDSELGSSAHSFAQENGTYHSTMPQSVSRSSTEPAKEKSSEATTMISKLTEEKMSAIQQNQKLRQELDLLRKESSKIRGGGFSLTFLIVVGLLGIIAGFILKRT >Et_2A_014608.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27654593:27656816:1 gene:Et_2A_014608 transcript:Et_2A_014608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFVVVVVENGDEDVALCSTRRGAGDELARALGLLRPVGGFDGPQHERGADELRRQHAAPHPAEVEAERPERERQRHGHAHAVERRHVDPRRLLRPRAASQHAAPGRLRAVSQLGHAQQRQRRGREPEDVGVGGEHARPGAAHGHGERARHEAEGRAEAQADAGHEARAVRAPRAELVADARGHGAAERVREDVYQRGGLDEHAHGRHGRLGVDEDAAEEDHDLVPPPLEADGHAAVHAQPHQAPPLLLGVGVADLLVGRRVLLDCAAVDVVVTVAVHSTEVHVGQQEQQEVEVGPDPTQRHAADAEAEHADEEEVDGHVEQQRGRGAVGERQRHGLRAEVDADRVQEALHGQVREAPQDVTVRRAGDVRVLPCPHEHPVHGHPEQRDGHGRREEQQHGAPERGAEEVLAPRAERLAADRVHPAGEAGEDGVAGDVGEAERQGAAGEREPAEPAEEHHGHQGAQVEQDPRADHWPREAEDGAHLGEVAAATTGRQPRAVVQLRITLRRRLERAVDALRRLGAVARFHGSSVRVRCVQCLSSLWFVCSGEA >Et_8A_057805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7491981:7494356:1 gene:Et_8A_057805 transcript:Et_8A_057805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHAPHLRLAVPPRLSAHPSFRFPSTPLPTPSKAPRGGGGGPSSPYAAALLRLLALHALFLLGPAARALPSLAHALALPPLLALLSAAVFLLLHLGVKTQQPHHPFPALPALLRPALVIALSLLLRFVALRVLPSPGLLVLADSAGALLASALRRPSRRRVLAVSVAALSLVCISPSPSVLLALPFSSGFLSSAEQSATARHATRSRRARAAAFALAAAFLLVPALVGLFFLGGSDSDVDGTVPLNRLWWLLLNAAVFGMALGRRQQHEGGSGRPSMDFAMTFLCTLVLELVYYPKMYLPGFLICGFLLWIASRELAPSGYVELGSADVSESVYEAVMGPVRHIMSERKSRKIAAFLLINTAYMFVEFASGFMSDSLGLISDACHMLFDCAALAIGLYASYIARLPANGMYNFGRGRFEVLSGYVNAVFLVLVGVLIVLESFERILEPREISTSSLLAVSIGGLVVNIIGLSIHNSLRDNNGKEKHSHCDSHDHHHPHDHLNHCQQNGDHAHQNYREISIEQPLLQIPPENIHSHSSEGHSSIRELSSSETGSKKSRERHHIDHNMEGIFLHVLADTMGSVGVVISTLLIKYKGWLIADPICSVFISVMIVSSVLPLLRNSAEILLQRVPRSHEKDLAVALDDVMKIEGVLGVQNVHVWNLTNTDIVGTFHLHVSAEADKSSIRNRASHIFHEAGVQDLTIQIE >Et_4A_033099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18499526:18501145:1 gene:Et_4A_033099 transcript:Et_4A_033099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCPSPAEANPPLDTTADDEDEWDLFKLLNCYLIQPHNVAVITDADGFVIPNLSTQDDDAEDEKIYLGPHGAPPSQAKQQDLNAVGRKQRFKNKLKEADKKFTGSAQENKVESLRELMGAKSSDTRMPKSSPRDWLDPHCHESEFDRKPLT >Et_1A_008860.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:16278295:16278504:-1 gene:Et_1A_008860 transcript:Et_1A_008860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLGPYSGGNGRRSGGATTLRQLLRRLRSTWRRRRSAPARRRRAAVRFGYDPQSYAQNFDDGALFAS >Et_7A_051271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16339021:16355033:-1 gene:Et_7A_051271 transcript:Et_7A_051271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLAERLSAFSTNRWLVFVASMWLQSMAGVGYLFGAISPVLKATLGYTQRQVAALGVVKSLGACLGLVAGELSATLPAWVLVLIGAVQNFVGYGWLWLIVTKQAPALPFWMMCALIFVGTNGDTYFNTAALVTCIHNFPMDRGPIVGILKGLNGLTSPILTQLYAVMHTPDRATLVFMAAVGPSFVAIAVMFLIRPVGGHRQARPSDKNSFMFIYTVCLLLASYLVGVMLIQGFLQLSNQVAISVTMILLILIISPIAIPVTLTLSPKPEHPIQEPLLFEPSMVEASTSQANEDQPEVLSSVVEREKPTDIYSFPSSERWKKIADLQAKLVRAAAGGGVRMTRPHLGESFTLTQALMNSDFWLIWISLLLGSGSGLTVIDNLGQMCQAVGFKDAHIFASLISIWNFLGRIGGGYFSEIIVRKNTYPRYIALIFCQIMMAVGHFLFAMAWPGTMYIGTFLVGLGYGGHWAIGPAAVSELFGVKTLVQYRPLECEGPACFFISSLIMTVLCVVAAGLSLIVVYRTKRRLRAFSQNRWLVFVAAMWLESMAGVGYLFGAISPVLKAALGYNQRQVAALGVAKNLGSCVGLVAGALSSTLPAWVLVLIGAGHNFVGYGWMWLIVTRQAPALPLWIMCVLIFVGTNGDTYFNTATLITCIQNFPKNRGPIVGILKGFTGLTSAILTQLYAVMHTPDRAALVFMAAVGPSLVAIGVMFLVRPVGGHRQARPSDKSSFMFVYAICLLLASYLVSVMLIQDFLQLSDSVAVFVTVILFILIISPIAIPVTLMLSPKTEHPTEEPLLSEPSIGEASASQETEDQQEVILSEVEEEKPKDIDSLPSSERRKKIADLQANLVQAAAGGGVRMRRPHCGESFTLLQALANLDFWLIWLSFLLGSGSGLTVMDNLGQMCQAVGFKDAHIFVSLTSIWNFLGRIGGGYFSEIIVRRSTYPRHIALIFCQIMVAIGHFLFAMAWPGTMYIGTFLVGLGYGAHWSIVPAAVSELFGVKHFGAMYNFLTLANPIGSLIFSELITSNLYDYEAEKQARHHQSLALLSPSLLRNMGFLADGPLKCEGPVCFYISSLIMTVLCVAGAGLSLIVVHRTKRLGERLRAFWTNRWLVFVAAMWLQSMAGIGYLFGAISPVVKAALGYNQRQVAALGVAKDLGDCVGFFAGTLSAMLPAWAMLLIGAVQNFVGYGWLWLIVTRQAPELPLWMMCVLIFVGTNGETYFNTTALVTCIQNFPKNRGPTVGIMKGFAGLSSAILTQLYAVMHTPDHATLVFMVAVGPSLIAIGLMFVIRPVGGHRQARPSDKNSFMFIYTICLLLASYLVGVMLVQDFVQLSDSLVNFVTIILFVLLILPIAIPVVLTLYSKTEHPIEEVLLPESLKGEASTSQGKEDQPEIILSEVEEEKPKEIDSLPPRERSRRIEELQAKLVQSAARGGVRIKSRPHRGENFTLMQALVKTDFWLIWFSLLLGSGSGLTVIDNLGQMSQAVGFKDAHIFVSLTSIWNFLGRVGGGYFSEIIVRDHTYPRHIALAAAQILMAAGHFLFAMAWPGTMYVATFLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLTVANPTGSLIFSGLIASNLYDYEAEKQADPQSSALLSQRLLQNMGFLSEGPLKCEGPVCFFISSLIMSAFCVVGAALSLLIVQRTKRFYAQLYHSRSLALNGFTVSPRWSTKVVSIDWDETAAAKKDMLASSNLN >Et_9B_065692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9211599:9213913:1 gene:Et_9B_065692 transcript:Et_9B_065692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FRFHPSDEEIITYYLTRVGNGRCTGHSRRPCTRGDRVGAHRTGRDVVCRAWRSIMDRARRDWRCSMWRGVEGRVCCGRGNGTRQNRWALDGATLIRGQGRCGCRESRQTGHLIGTFEHARSLRRMEDQEQLQQWNSRGPDGLELPPSFRFHPSDEEIITFYLTHKVLDDNFTAIAIGETDINKSEPWELPYEHSYPYMPICSICALNKANMRENEWYFYCLKDRKYPTGFRVNRATEAGYWKATGKDRGIYCGASSVPVLLGMKKTLVFYKGRAPNRVKTDWVMHEYRLDGKGRVPCSATSSKSNIKKTSFLSQGDSRPNLHVMHIDRLPYTFKSFIAYLDFIIFPSQKKDFIIFIVGFNYVHEEWVVCRVFHRSSCAKKESEFTPVSAPS >Et_9B_065490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5864198:5864973:1 gene:Et_9B_065490 transcript:Et_9B_065490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GNKRGCDYHEFLHRAESHYSDPESSPDDVLYGNELPCWYPPPLLCQCGVPAREGVVPSELGYGHYCGNTVGEDDEWVSYDNHKVLKLLTFWDIFNGVENDTRRCDWETFEGKEEFLMKAKKRGPEYFKKALATRRSNMRHKYLTVPPSFIYNTICSKLKIKRECPFWEGAEMDVVIHHWRRNRDKYPPKTCWELLDPPYGLQFNAAKECMEWAMAKMKQLCDPVYVKEKRKKKEEERKKHAQEELEARQKDPNC >Et_5A_040848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13366267:13366798:1 gene:Et_5A_040848 transcript:Et_5A_040848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARWFLAAAVAVAAALSCAIATAEANPYPSSSSSLDCATMTSLLSDCGTFVLLGAAASPLPAPGTPCCAGVAELYAVPADSADNWRSVCRCMAGLERRYSANASAIALLPVVCGVLPGRHTVDNLTYCRRYVSGEHTTFAPPPVTPTMVQAVE >Et_3A_026496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8767660:8778676:-1 gene:Et_3A_026496 transcript:Et_3A_026496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDEQAMETSESAIDRISALPTDILHNILSLVHIRSVVRMRRLSKRWREVCESLQFICLSDEEFESWRVEKFTRFVNNLLLLRAKVDLHTFQLHWCCDRSLNCNDVMMWIAYVVKHNVKVLDLELDGYDRTFLPHCIFTCPSLQELNLQLGGASYGYMGHVPDTINLPSLRKLTLAEVEVCQLSLDQIIAQSPGLEDLKLRGCATYFNLLESKVLKSLTLYGLENGLDKFTVAAPNLIHFQCMGSPLEDIYWRERPCLESAHIESCGNTFDGQSDFTGIILLATRLKLLTGIDMKVMLEKELPTCPVFKNLEFLQIGDWCLIDSLYIILRFLQLSPRLQKLILTHSKLPEAGKGAGRNAMLSSEMAFQCPHLQTVIIQCSKDDSEIDTIVNAMLNNGVSLEKIQVTFSEDIIKWSMGEVTSNIQKRKKEFGIFEKMLKENPEWEEDSSYSESESDNNNDEHDNESWDEDDDDGSSESPDEDDDESGDDAEDESADEDGDGDGIEDEDVEQKMEDEDDDNDLDEVGVGNNEHGDDDL >Et_4A_034818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6834106:6835477:-1 gene:Et_4A_034818 transcript:Et_4A_034818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMADEGSFLLPASFHPPVLPARNAASSGGLPGSSAWVFLDSTAYIAKRKNGTFAETTTRTGQSLGVSFWLAGPPAVSHLCIHCPDMKVTDLLDEPLVVCAGKDVAVIRVAYAYGARLLESLEDLGVTDLDYFVYRAHTGKPTLRRLPDPKPLFFEAREVGFLPSVDGDDFLMAVIRPRHVQLQYDLHIFSSKTNRWTTKLALLEPPSPRCTLGWVDLWRGVLLCDVLASAPVLRYIQFPEPAPGNLCRLLETPARAVRDVTYSNGFVKLIEIEERYRVVVPATSPNDLMNRDAVSVGLETEITMSTCTRVLLTWISKTTDHWSEPWRKTCRAYVSESSVLSMLKHNLPEDSSLENLVIAGLVWSMHGGDVCYLMAKAALDDKIAWGMAIDMRKSTLEGLTSFSAEREFFFQTGISSLRPILSEHDFGRFH >Et_7B_054079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14998546:15010633:-1 gene:Et_7B_054079 transcript:Et_7B_054079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRRRTKAGGASRRRTKNREEAAAMDPVLPPLPDEVAEDIFLRLPVKSLAVSRCVSPSWNGLISSLTFARRHREAALARAGVAPRFVSVPIDRDGHAWPHEACRGCPRFSVLNASTGGELRLPPRCPARNIHSAGLGYDDGEGRHKAVLLEGGDLWRLHRRRRRRAVALAGAALPAEALHLRRRPRVGDTDPVFADGRLHWMLVSREEANGLRRIQIGVLSFEMGSESFSFRRLPMPPFADENLRRPEYATMAEVDGRLCLVRDLRRPRMAVAVFDVCMLRDYNALSWSLDRRIDLTQHIGNVLTTPWEGNLFVVCYAGGQQSSGESRKILLMTTGGASQRAYMYQPDTGELRSVVGRNDYGVQRHLRLVLYQESLLQVDGMVYVDNDFTCSVVTVGAGGQWRWRAPRGRKTPIICEDATVAASMDPVFADGRLHWMLLSPETNGTNGVLSFEMGSESFRRLPLPPFADENLRWPDYTTICRGRWLPLPGARPPPPAKGHRFFWMLRDYKSLSWPWDGDFYVVSYTGDKSSGESRKVLLAITGTSQRAYMHEHDTGKLRIVARRNDCGVQQHLRLVLYQESLLQVDGMEYGNQDIKFTFKDESRLSASTYLSLSDSDLIEES >Et_4A_032217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24725028:24725525:1 gene:Et_4A_032217 transcript:Et_4A_032217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRCGRLLRKASTSFFAWLFIQQKILTADKLAIRNWPCNPTCPLCNNELETAWHIWNERNRRTFVNRAKEPAEVVTLIREDMALRKRACGQPNLAQFVDESHH >Et_3A_023944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1537752:1540741:-1 gene:Et_3A_023944 transcript:Et_3A_023944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKQRQVPAAWMTVKPFVNGGVSGMLATCVIQPIDMIKGLSAGLLRQATYTTARLGSFRVLTNKAVEKNEGKPLPLVQKAFIGLTAGAIGASVGSPADLALIRMQADSGLPAAQRRNYKNAFHALYRIVSDEGVLALWKGAGPTVVRAMSLNMGMLASYDQSVELFRDKFGTGEIATVLGASAVSGFFAAACSLPFDYVKTQIQKMQPDANGKYPYTGSLDCAMKTLKSGGPFKFYSGFPVYCVRIAPHVMMTWIFLNQIQKFEKSIGL >Et_9B_063901.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:20141733:20142023:-1 gene:Et_9B_063901 transcript:Et_9B_063901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEEGDGAEEEMKAAWPGCRVEPVVGDDGGVRVKIVLKRKEVEELVARLEQRDAAESKARMEELNAGLRGGGSAAVTMSPCRDAWRPRLASIQEN >Et_1B_012077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28693684:28695383:-1 gene:Et_1B_012077 transcript:Et_1B_012077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHCFLLLPEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLSTPIEALCKSHPVEFASYFHYCHSLTFDQRPDYSFLRRLFRDLFDREGFQFDYVYDWTLLKCKQSQKAKAQQGCSKDAGVSSRPVATNADKHQVSVSRPVEASGLLEGEQRPAIRMQFRPSAENSRLNNLHTERLRVGASTDNALLQSTLLGRSDASRNDVTTSRTQGSIDPHIRGNNSPGPSNRI >Et_6A_047333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4040486:4042168:-1 gene:Et_6A_047333 transcript:Et_6A_047333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFVMHLGRHISPFVNCIWFSRAFIKSPLIHFIHPDPGARDLNPNLLLASGNFFPQKKKKKVATSPSPMQQPLPRILAGGEHHPPPTSASSPEHPFLSAHVLLLSPSPSPADLSSPHLPLALAFSFLTHPTPLPRSLLAILYAAGARSPSFYPVFASALLSLSFPLLLPHSRTRILLAVTELAHAAAQGFAPLVVSLLRRVPFQGDARLLELLAEHASFLADEEPQLLASAVLAFLRLLASQELPRAVPEQLRLQGLVQQRQESSGVQGKGGVFLRRNAAGSLECVCTNRKGPSEVTPRSDACAGVPGSMEGLSAGPCCQNLQSQHAWLVHCGGHLARDGDTALAHDEQCEVGESEEVLAVVYEEAPDSAWREERIPNIIRFICCGYHPTNEIMQSGVIARWAVIGWLLTSCNKAYVQANAKLAMALIYDWLFFEEGRDSVMNIEPAMLLMVNSVSQYTEITNMLLEFLFLLIENYDVQRKEAIAQSVRRAFGVLVKKGVVPSLEPLIGCDKLSPLLRQKLVSFLSSTCPGTAEEACGKTIDEVSGGTELKRGVCSN >Et_5B_043835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16633983:16634510:-1 gene:Et_5B_043835 transcript:Et_5B_043835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRTTTFIVIMCLVIVGLNANLVTAAQCSCCKSARAKACCFGCIALGRSDAVCKNTCCFPCIMADSVAAKMEELGVLANMEEMGVLANMEEGQA >Et_2A_016091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2128033:2129510:1 gene:Et_2A_016091 transcript:Et_2A_016091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDIMSTSCPTTAGKHFSSWSDLPPDLLGQVLLLLHHLVDRVRVSAVCKPWRSGARLQPLPPPMPWVTHGADVYLDIANNARRRLKLTTPCGRTCRGSLDRLLFVKNAGGGCFLANPFSGKVIPVPDLAFFLEEQTREAKFSLSYNVSVRVDKVVAHWPSSSSQEPVVAAMIRSSENDKKTTIFVCRAGTGTAAVVKESDTYSTMSVHLRLVRDIAVFRGNLYAVLEHGELVVVELGEGSSGKPAISNVKYVIKNSPNLCFLGKLNYEDDGRLAAPSEVFLVESGDQLLMVVLRWLYAIFHRATPCLAVYEADFSVSQCQWTPIQNLHGCALFVGRYGSKSVPGGNGNSGAQEDCIYFIPNDEDAGIYNMRDGTIRPLVIPDGPPVRGRRGLWTPTWVFP >Et_8A_057469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3330334:3334608:-1 gene:Et_8A_057469 transcript:Et_8A_057469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREVGPQTAAAAAAEPGGGGNWNPRMWDWDSRSLTARPSSDALRLGGQSQQQQQQQQPATAAADASRQGSGALNLHLGLREDGAAPMDTSGPAPMASSPSPPAASQEPVVRPSKRVRSGSPGSAGGSGGAAATNGAGSYPMCQVDDCRADLTSAKDYHRRHKVCEIHSKTTKALVGNQMQRFCQQCSRFHPLAEFDEGKRSCRRRLAGHNRRRRKTQPTDVASQLLLPGNQENAANRTQDIVNLITVIARLQGTNNAGKVPSIPPIPDKQNLVEIISKINSLNNTNSATESPPSEDDIHPKMDSANKYLSSESSNPLDERSPSSSPPITHKFFPIHSVDEEDRHPRAADYREDAAMVEVSTSRAWCAPPLELFKDSERPIENGSPPNHGYQSCYASTSCSDHSPSSSNSDGQDRTGRIIFKLFGKEPSTIPGNLRGEIINWLKHSPTEMEGYIRPGCLVLSMYLSMPAIAWDELEENLLKRVNTLVQSSDVDFWRKGRFLVRTDTQLVSYKDGMTRLSKSWRTWNTPELTFVSPIAVVGGKKTSLVLKGRNLTIPGTQIHCTNTGKYISKEVLCSAYPGTIYDDSGVETFDLPGEPSLTLGRCFIEVENRFRGNSFPVIVANSSVCQELRNLEVELEDSKFHDVASDDQVHDHRQPKPRDQALHFLNELGWLFQRAAACTPSTGSDVCDSDLIQFSTIRFKHLLLFSSDRDWCSLTRTLLDILAKRSMVSEELSQEALEMLSDIHLLNRAVKRKSSRMVHLLVQFVVICPDNSKLYPFVPNLPGPGGLTPLHLAASIENAEDIVDALTDDPQQIGLSCWQSVLDDDGQSPETYAKLRNHNSYNELVAQKLVDRKNNQVTIMVNKGEIHMDNKSGAQALQITSCSQCAILESGALRRPLRSRGLLARPYIHSMLAIAAVCVCVCVFMRALLRFNSGRSFKWERLDFGTI >Et_5B_043849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16781578:16783069:-1 gene:Et_5B_043849 transcript:Et_5B_043849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTTPASSNCAQRRRRVLMFPLPFQGHLNPMMQLAGALHARGGLDVTVFHAAFNVPDKAAGDRVVPVGEGVATDDLIPSGSDADFAGVLLRINERLVGPFHDVLRRELAEKDDEPPAACLVVDSNLRGMQLVAEELGVPTLALRTGAAACLVAYMAFPALCDKGVLPPPTQDCKIYIGDQVFSELKRMNLTANSVTVYVSQKSLSSDNFILICEILDQSQWDMAWSELPPLRLRDMVFSTAAAHANMSKCLECLVECSRCSSGIILNTFLQLVWNGLTNKWLILFFM >Et_1A_004739.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35851298:35852032:1 gene:Et_1A_004739 transcript:Et_1A_004739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGVGVPGQDQRRGASGHRRVEQDPHQPVLHHLHRRRRRGGDPQGGAEAVAVLEQEGRQGAGRRRRPRRRVLGPPVRQVRRKQQPGARSRVLRRAGEQRGGGPPPRRRQEGRVQADQVPAVAGGRRARVPPRERARAAKLRGARAARRAEEQGARDRRRDLARRAQGPRDVGHGRRRRAHARQEPAVEVQGQRHGARRPGARAGDLGRARLGLQRPGIACRLRLQARRAAGDRGERRKECHPG >Et_2B_020915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24863914:24866100:1 gene:Et_2B_020915 transcript:Et_2B_020915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAALQGAMASLSISTPGAASVSSFWGNQLPTFSAPSPGISFTIKTCPIVMRLKRWERKKCKPNSLPVLHKMHVRIGDTVQVIAGREKGKVGEVTRLFKHNSTVIVKDLNLKSKHKKGTDDEPGEIVMIEGPIHSSNVMLYSKEKSVASRVGHKFLEDGTKVRYLIKTGEIIDSVEKWVQVFKEGSSE >Et_4A_034538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4075112:4078560:-1 gene:Et_4A_034538 transcript:Et_4A_034538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLNRNSAIRANSTHRQLKHDLIEHIWQCYGPRGGQHPIGSWLQDANCNSSAVKPGTGRTADITRAQSQQSKRSDALVSYYPNAVAFVSRGYVHVDPLTMAVRYAHLRILVVRYKSG >Et_3B_029421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24958540:24963023:1 gene:Et_3B_029421 transcript:Et_3B_029421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKLLQFQSVLAQHALRAAPKPRPQFQPLSHSHLLHSPSAPASASTFPSAQPLWSRLAGAADAAVLPRSAAAVATAARSTASRVTAAAARGASSLELFSLQRRRTSGSGWPSAASAFAQGSWSQWMEFSADGVVLMLIGANVAVFMLWRLADPDFMRRHFMISLDNFKSGRLHTLLTSAFSHSETDHLFTNMIGLYFFGTSIASAFGPAFLLQLYVAGALTGSAFFLLEKAFLAPRKRGYVGWDSSRAPGLGASAAVNATILLEIFLYPKRLIYLYFLIPIPAAIMGAILIGADLLRVQKGQSHVSGSAHLGGALVAALVWARIRKGWI >Et_5B_043929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17894848:17896776:-1 gene:Et_5B_043929 transcript:Et_5B_043929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGERGGERGGFGRGFGRGGRGDRGGRRGGRRGGRQPEEEKWVPVTKLGRLVKENRIHSIEEIYLHSLPVKEHQIIDQLVPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDNNGHVGLGVKCAKELSVVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPEFWSDTRFTKTPFQEFTDLLAKPTKGLVIEAPIETVEA >Et_2A_014800.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:15958513:15959298:-1 gene:Et_2A_014800 transcript:Et_2A_014800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VHEHIVRDPVGRAAGGRHPVIECQRQWNGAAVDEDLEDGVVDEGGGAAPELVHPGERLDGLFVPAGGGVGEDERLECSRGGLEAGGTDVVDGVVHGGVRVGGEEDGDDGAGMEERRAEARGERGEEEEAEAGGGGQRGAEEGIGDGVRGEAPRKEGEAREEGRERGRKRGEERVEGVGGVELGGEGRGDARGEGVDGATGGELEGEEGACRRRTSHAVMEVDRDGGRSRQRRRRRWRRRGGESGRVAGGGKGRAPLDQLVE >Et_5A_041314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20997681:21016122:-1 gene:Et_5A_041314 transcript:Et_5A_041314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGATPVGIRLRRSIPEHDAYTVYLGDHRFAVLATARPSDARRWVSYTRWLHALRLFHGRLVVGLGVQWNPTRRPLGGAPPLPATLQLCVGHRCLVFHLARTDAIPEALRRFLADPRVTFVGSGSAHDRRMLWAHYGLHVARGRDLRAMAGMGNASMEEMADEILGYPGVSKCREVAMSAWHAPRLSMDQVEYAAVDAFLAFLLGVELCPGGAASRHPEPARAAPPAHVRPAPPPNVRPPPPAHVHAAPPAHVRPPGPVPVRPAPPAHVRPTGPVPVRPAPPAHVRPPGSVPVRPGLVLNNPARPAQRGSVFVRRPDPILVHPAPPVQHGPFARAPGVNHGMPRVECSPRAFCEVPTVTVGTGVANCNSNGADTSDGLTGSGTDVDTDYDSYEGDTATRGLPVRVYASDSEDEDFSSDGFEHVRFGAFTDEEDEDDVNENDQEDEEGNTVCTGLGSLSVDDNEEEGYMEEGEIGILIADCNRDDGPEDFTGDGVVADNAEEDDGGGYAYEYLGHCEAMLDDGEDVLGQDDCYEQVDYEGDLDDDDGLEEFYLLVAMVARRASVPPHTVHVARVPVQTIVTARPAVVRSWVRSARWRLPNSGAGRTVGLGVQWTPPPHAGAEPRLGTLQLCAGNRCLVFQIGQSGGAVPTALRRFLADGSVTFAAYGVAVDCRLLRERLGLEVASTLELRAASGMARASMELMASEHLGLRGLTKSKKVARSAWHRAELSKKQIRYACVDAYLSWRLGVHLRRRRRDEEEEEEEEASSDASSWRLRNCRNPTIGMGVQWTPRFRAGAGADERPGTLQLCVGNRCLVFQIARANGGRGVRVPMILRRFLADRRVTFAGYNVGLDCRKLLDHHGLVVASTLELRRASGMGNASMEEMAAMHLGLRGGGLAKSRKVGVSRWDAPRLFRALAARHTLQLCAGRGAVASPSLTGGKTPKLGSILFSTLQQAFFLLPPPIRSPSKTSNPSKPHPAAAAAMDGATPVGIRLRRSIPEHDAYTVRLDHSFAVLATARPSDVRRWVATTRWLHAPRLHRGRLVAGLGVQWTPTFRTLDGKTPAPATLQLCVGHRCLVFHLAQAYAIPEALRRFLADQRVTFVGSGSSYDCRILWEHFGLRVARGRELRAMAGMGNASMEAMADRVLGYPGVSKSWNVGMSAWDAPRLSMDQVEYAAVDAYLSFRLGDYGYGCDMDDDGEEVIGEDDWYDEDYGYGCDIDDDEEEVLGEDDWYDEDYGYGCDMDDDRDEVCGEDDWYEQDYGVICMSTGRRCLEKMIGHWAAIWMWVRATSWRLCSDAGLTVGLGVQWTTPSRASGVAAPPRAATLQLCAENRCLVFQFAQAGAVPDALCRFMADARVMFVGYDVDSDCQKLRAHYGLDVLRTLDLRGVGDDASVEEMAARVLALKGVEKPEKVGASKWDVAKLSKKQVRYACSDAYVSWRLGVHLRGGGGGKKSSREESDDGGS >Et_2B_021414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29537670:29540558:1 gene:Et_2B_021414 transcript:Et_2B_021414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLAKARKGFSPQPARSSSSSCRSQLEQDVKKLEKALQEETALHSVLEGALERAAVTLADMAYLPTNAQELLSNICILETAVSKLEEEMVALHFQLIQERNERRLVEYRLKKLPSQPPSACSCHSGRTETDDTASEKSCRGDKVYSRAVMHEQVMKLQRQISVKGFANPNQLSEDIVRCMRNIFISLSDSCREASRNSSTENQQSVPSPSGNYSISAFWSLSEPSSISSWVQSPQVDLNYNNNLLASETVFDPYKAREKLSWADIGSYGSAAEVSWMSVGQKQLEYAAESLRKFRLFIEQLAEVNLVHLNDDAKLAFWINLYNALMMHAYLAYGVPRSDMKLFSLMQKAAYTIGGHSFSAAFIEYVILKMKPPSHRPQMALLLALQKIKVPEDQRKFCIEAPEPLLTFALSCGMYSSPAVKIYSANNVREELQDAQRDFIRASVGVNRKGKLLIPKMLHCFARGFVDDNCFPIWISHFLPQQQATFVDHCVSQRRQSLLGTRSFGIIPFDSRFRYLFLPDVGSLN >Et_9B_066047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21465411:21468206:-1 gene:Et_9B_066047 transcript:Et_9B_066047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDYSWVRRTRFSQSIVRSSSSREQFGAFAEQFSRTTALKKNGFDSVRRIPRPSPQPITNGAMVSNSARLPIPRAKSSVSLSEKKLKHTSSDGQLNQLNQERRTGGDRSQQEASAKQDRKGNGLSLDIPQRHVVRPPTDESPGSLEFSFHSEEQSLHLQRVCSSPAPFYSQDAVRPVEESRARSASFRTMAEVSKPTPKTKRRSKTPIPTHVISDVFKEAKAASKRFSSPQRQRKPSSPRSPDDSPPFGFASLRTPSKLKITRRTSSWPRNPDNGVSKVAALEILERWTVDRSQLLIGHRFASGAYSRLFHGIYKEEPVAVKFIRQPDDGEDDELSARLEKQFTSEVTILARLQHRNVIKLVGACNCPPVFCVITEFLSGGSLRAFLRKLERKTLPLEKVISIALDIACGLEYVHLQGVVHRDVKPENILFDEEFCAKNLRPVIPSSCPAPLRLLIEQCWSSQPEKRPEFRQIVQILENLKTVLGRDGTLDKIPISILQAQECNDQNKKKLANWIQKLSYSQPDFYSGPPPPKLL >Et_8B_059515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18696192:18697108:1 gene:Et_8B_059515 transcript:Et_8B_059515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEIGSTLFGEVRPGSKHALNGRMLQLHWTYYLAQQKSLGRLKDVCIAFMASLNDKDAMVRIQTYARLKRSGPSVLEAILVEEKPDLKNLDELHILRNMADGDKVSNIFAVLLQYSCWHINREQLSQVDHIDKKDGTYLAVSIVSYAQCFVSIIIWIFCYIGVQWLQWVI >Et_8A_057888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8872646:8875495:-1 gene:Et_8A_057888 transcript:Et_8A_057888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGGMMCRSQATTAVCMPGDARSMVVARRADRTIVADDARALHEVRYARLGDGDHQQQRRRSTSSSSSSRRFTAAPPAPPLPAPPANKPRRRTAGRAPVAVTLPMVTKSPVREAPARGLSAAAKRVPTVTATTATAAPPPGDQVVQVVVMKVAIHCQGCAGKVRKHISKMEGVTSFSIDLESKKVTVTGHVSPAGVLESISKVKKAELLVV >Et_3B_028796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19277925:19279141:1 gene:Et_3B_028796 transcript:Et_3B_028796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGYISYNTTSTNISEAQKNLIMTSSLKLVAKLASTKGETGMKLKRKILEQPFLLSNLVEILEDAENLEQWEAAMVIIAKLCLDEEISQEIGSFQHIIPKLIHVFLGRHKSTNTYYDQSLRKVAGEALLKLSMENVNYYSAILEENKYDLIENLKKMLLEDEYTYVAASLLRNLRVFYKIILCDPGSSEHLSCSLRVVLDKMMDAEGKQLEALIGLALQIPEHIIDELQSHRNGAGLARKLVDTLNSNKQSLEFPKMRRVIVEMAILIMKSCHDYTTIFKEQGMMEVLSKVERTLKFEKELYKEGNRNVFFGKVGVVSGSGEPDLSDLVANAKKLIDPSA >Et_2A_018678.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:496115:496423:1 gene:Et_2A_018678 transcript:Et_2A_018678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMKTKMQDTVSAGKAKAKEKKAAAEGKAEKAAARTHAEKELAQEREKAKVAAAKMELHQEKAQHRAEAIDHKLRKHGGHHSTIVPQPAPAGPMHPPLNRY >Et_9A_061207.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21896931:21897041:-1 gene:Et_9A_061207 transcript:Et_9A_061207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTGSSRERITIRRLGRHGSGGIFCMVHLGLASPA >Et_7A_052683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12094509:12103996:-1 gene:Et_7A_052683 transcript:Et_7A_052683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPKDTSSYILLSNVYSAAGSWQSVSMIRREIKEKGLKRITGCSWIELHDKVHGLHRRATTPRSVLFVLWCEAWTADEGDQAGDSREKGGTPEAAVTRAWAIDQQHHGLGKLGDGLGALGDGVHGELVGENEAHRGLDLPGGDGGLLVVAGSLAASPASFSKMSLMEFMMDIGLGGDPDVGVHLLEHLKDVDLVGLDALLGLLLAALLAGAGDVLLRLGLLVGLLVHRLLLGGLLLGLRRHRGVERARSTAAVVRRRVGDLCDGAEGARGFSVMNWELGVMRLYRQVKRYVIGGGGGGADR >Et_8A_057633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5522578:5525847:1 gene:Et_8A_057633 transcript:Et_8A_057633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTPPPEAPTVGSDQDIILSLPPEVLAEILARLPAKSVGRFRCVSRAWCAMLSSDHFIDLHARRANRRGHPRLLLTPVGSSYDGDVYSWRPGGTVERFMPDRFGDGITVPLTKPCRGLVLIRSTDYGGYFVCNPSTGAVLPLPDSKVPMKMIWLPTMSEAEPLPFFLDVSYGLGYCKLRKEYKVVRFFCHTEGENSEVTSASCEVFVLDKPAYWRPAAEQAPLCLVEEKNPAVFLSGQLHFLCSDGGITTFNVSDETFGSLPPPPGFENVGTMLTELDGCLYYKEARWEKLFCIDQSAWPESQCMLLESLWVAPLGIYYSVNGEKIMFGTGACEVFAVDAGGCGLEVLLTPDETIIGSCEDDNIPALGLYEESLMSVGRTIEEMIISSPTSKAWSDILKWLPTHSVLELSLVCREWRAMIMTDHFIRSHVIHSNLNKSPRIMFIMDPRFGSYMDVEQCIAAHGPNLWSTLACSQPCHGLNAGSCGFWNFVCNPAIGYCEHIEFEDHDGTFFAGRIGLGYNVELNRHVVVHITYKIKNMETRCYELQCKMRYVNDQKWHPIDPPPRPVADTPPTFVNGKIYWMVDPALGPITPTCEIIAFDVKTDEFEVLQGPPCTHESGRMAIIRLQDVLCVACSDRSANTLDIWMMKDSGIWSMEYQIELEEFSPDYLSENTTPLAIDPKDGRILLNTGFSLGYYDPKAAALETMYTDSRQEYGVKFCPIICEESLVCPLGPRSRNCPGAQEFFGLDYKNLDLVR >Et_3A_024485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21230869:21232367:1 gene:Et_3A_024485 transcript:Et_3A_024485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNKGSSSPEWSLSQNKLFERALAVYDRDTPDRWHNVVRYMGGIKSVDEVRRQYQKLEEDVACIESGGVPFHWYGAAAPPPARTGHREYTMLSPGIILREQYIDQLAKNNKEE >Et_6A_047745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1372198:1374090:-1 gene:Et_6A_047745 transcript:Et_6A_047745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASALNDPRLKALIEEERTKALTNEFVAKMTDVCWDKCITGSIGSSFSRSEASCLSNCAKRYAELKILTMQKLTSSR >Et_1A_007749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37934173:37935710:1 gene:Et_1A_007749 transcript:Et_1A_007749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTALSTAANPTQLCRSRASLGKPVKGLGFGRERALRTITCQGGSIAADRVPDMEKRKLMNLLLLGAISLPVGGMLVPYGAFFVPGGGGNAGSGTYAKDKLGNDIKVEDWLKTHGPNDRTLAQGLKGDPTYLVVENDKTLATYGINAVCTHLGCVVPWNAAENKFLCPCHGSQYNNQGKVVRGPAPLSLALVHADVDDGKVLFVPWVETDFRTGEDPWWKA >Et_1A_007035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30266543:30268538:-1 gene:Et_1A_007035 transcript:Et_1A_007035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKELVVQRNGPVDIREIAAMATLREVRQNGHTYVELRRAGKRVIFFCTICLTECFSDNVLFDHLKGNLHARRYAEAKVTLFGPMPWPFNDGVLFFNNSREKDPLLLESSSQNTRELALVPLPESAGNDTEVTSKLKNGSSSHNGVEGARGVAKGCSKGRNSALSDDHVMSKHSATDGPLVIPGVLLQDVVTNLPVHLLGYGNIAYRICEASQSSRKISKIWCAWVGQESDRPNTYEQSGFAIVNFSYTYDLGRKLSSDDQDLPISAGSFFVIDDAGHRGKRRKKSFSDQEASSEESNGQNSSAHGNSQAIVTSSPTGTSSNLQVSLVSSKSVRRELRKQKRIAAEKVCDICGRPMLPGKDVATLLNCNTGNLACSSRNSSGAYHLFHTSCLLHWTILCQYEILTDQLASKGKSNRGRKAKNAPKKSKLTSILCPECQGTGIHVEGDELEKPTISLSEMFRFKLKAIEAHKAWLKSPEVLENCSTGLHFPSEHLLNSEVAHTEY >Et_9A_062940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6988330:6990779:-1 gene:Et_9A_062940 transcript:Et_9A_062940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRHALAEVLGVEISSPRRKDTAMVNRISHPFPWDEHVRLSKGSQLMQSLSFKQWEAAAEQISQITAVAGDDDDDRRDSSSMEEPCSPGASSSPKCELDAAAVKLQKVYKSYRTRRNLADCAVVVEELWWKALDFASLKHSSISFFNGGKPETAASRWARALTRAAKVGKGLSKNGKAQKLARQHWLEAIDPRHRYGHNLHIYYDVWSRSESTEPFFYWLDIGEGKEVNLEKCPRSKLQSQCIKYLGPKERQEYEVVVEGGKFVYRKNGVLVHTLDDSKWIFVLSTTKALYVGQKKKGSFQHSSFLSGGAITSAGRLVVKEGILKAIWPYSGHYLPTEENFREFIRYLEENGVDLTDVKKCPIDKDDEYPLLSKPDAQPTAAVSDDTDHNAASAATDDHLSEPEADGDSHRAAGDDGHMSEAEEDDTDINIHPESSEEVHSSEQQPEEEVEQTTAPASGGADHRKNHQTCRWSSGTGPRIRCVRDYPQDLQSRALEHVNLSPRIASSPTRKRDPVPSPRPSPAMILSPRLASVGFQPPMPVSLTLPDFKRS >Et_1A_009037.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:26473020:26474159:-1 gene:Et_1A_009037 transcript:Et_1A_009037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAVPPPPTAVVPERRVPVVPRLADDGGGGGRGDARESSGGSGSVAGISPSILIIAVIVVVMLLASVAIHYFIRHLCRHVGPSGHAAPPLPLVARGPAAGSTSSATVAPAEQGGGGGKSAAAAEAEAERLISRLPLFTLASSLAAVPKSSRDCAVCQSAFRDDDQLRLLPACRHAFHARCVDPWLRGNPSCPLCRASIALPHPPLTELLRVELGSVSSRRSNPDAAAAAVRAYPLPSLPAGAGADSEYLVGEEVHVVLKPSPPAAAGSSEPPAPTQPQRQLAAVERGQPSSSVGVTPTASFRSAERSSSRWSNRWSSRWTSGRWSSRYDAGTVTAAATAEWWWDMDGGAAPAAPRRREAEENSASFYGFLRWLTGAY >Et_4A_035301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18545642:18551000:1 gene:Et_4A_035301 transcript:Et_4A_035301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVLQLMAESKAKRGSRVYLTWTAEMDSALLAVLVEHHNNGDHAQNGWKPHVYNAAIKHVFEKCSVIITKDNISSRCKTFDKHYEVISKILSQSGFGWDWDNNKLQIDSEEVWTKYVEANKAAACYKTKVVRNWDAISTIYSKDHATGEGALTGAESAEEPAVEGNEPSPDLPQKRQRTGEAILCMLGDMKTSFHDAMKSSEPLQLPQVTPPAEILAALDMIPDLARRDKLRSYSRLSAAFIRGRRADSHRFDPTVMGELLHPWVQDFTFCLEIYSNRRSTVIWDYRT >Et_10B_003489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:20008864:20012989:-1 gene:Et_10B_003489 transcript:Et_10B_003489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVAAARQCLSPAAVTALDAAVASARRRAHAQTTSLHLISSLLAPTAPPLLRDALARARSAAYSPRLQLKALDLCFAVSLDRLPSNSSSQEEPPPPVSNSLMAAIKRSQANQRRNPDAFHLYQPTQTCPTAAVKVDLSHLLIAILDDPLVSRVFADAGFRSADIKLAILRPAPPMPLLARLPTRARPPPPLFLCSFAAADDAQVPSPAASLAGAGPGEDNSRRIAEILSRGRNPMLVGVGAASAASEFAAAASPYRILHVGPDSINQTDLLAAAAATGGGLVVSIGDLKDLVPNDDDQDSSSSKQRVVSEVTRLLETQQRSPPSSGRVWVMGWSATYETYLAFLSKFPLLDKDWDLQLLPVTAAQVSASTPTASTSLMASFVPFGGTFGNPCEADNLTTNSCPQALRCQQCNDRYEQEVATIIKGSGITFQDNHQGGLPSLLQNGGTMGPNSGFDAIKVRDDQMVLNSKILNLQKKWNEYCLRLHQGCQKMDRDPHQLFPHYVGVPAGREREANASQGPGAIAPLREVIRPSAVSISDTNTKAKSISSPSTSSQRNDGLVLKLQVRQSKSDEHLQDRGVQSQQRNSSNCDNHRDHASPSSTGPVATDLVLGTPRESPSKVSSSAWYKNVKDAERSVNMLPRKADDLNLKPAQLFVPPYTCSRSLMNSGQISPAALHSAASGGNSAFGQWQRPSPLATQCSDISNYKVLVERLFKAVGRQEEALSAICQYIVRRKSMDRCHGASKRSDIWFSFHGPDSIAKRRVAAALAELMHGSSDNLIYMDLSHQDWGDSNFRGKTGTDCIVEELRKKRRSVIFLDNIDKADCLVQDSLSRAIEIGRFDWKGRMVDLNDSIVVLSTRMTQKCKNASQGMEEVHAFSEEKVTTARGHQLKILVEPDAGSITGGLGGKAVICSGHSVTRILCSGSVSKRKLNTSDGQDMIQESPSTSKRLHRTSSVPFDLNLPVDEDEAHDANDDSSSNENSYGNPDGSVENLLHSVDESIDFKPFDFGKLCEDMLQEFSSIMSKILGSDCRLEIHVAAMEQILAAAWASDSEERPVRTWLEQVFARSLEELKLKCKNKINSTVRLVACEDVLLKDDGFGALLPSRIILDC >Et_4B_037610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21855717:21858724:1 gene:Et_4B_037610 transcript:Et_4B_037610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCANYFPPFPIHRKQLQRPPPAPRWESLPPFPPSPDGLESLWYDNDLYIVFDPTVSPHHEVLQLSYVPFDFEFKDNLLEGTPWPPSPCIIQIWRTGMWEQRSLILEGESAGTIANVKSSWEPSTHRHAVYWRETLYFDLCSLKLSDDTYQVINQPTFTSADQYHQLYIGKSKNGVYLAGIIYECCRLKIWFLNESNHKTEWIGIWKASPDGNVLTYHFNSSKYQDLWMLRVNCSTDSIETAFMSDPKQPTDMLPEDVLADVLARLPPRDLAVSRCVCREWRAVVDARRALRTDLLPISLGGIFISSRGQQTPPEFFARPSMSLTIAGKIESYVTTDSRCDISDCCNGLLLLLGKHVVINPATKQCARLPPDPALRSIRCCRRTTRCFPCEILSMASANCW >Et_1B_011434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22083136:22084942:-1 gene:Et_1B_011434 transcript:Et_1B_011434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEALMVPAAGVPGEECRINALPEDVLLRALSHLDALQVVQTCVLSRRWRGLWCSGALCRPSTPHAKHTEVGCDALFKVFVNRFLMLRNPVPLDEFNLGYYVQDDVSMDYDAESEDANLWICHALQCNARSVNVSVSWHARLHLDSLVFTSKCVLNSLELSSVVLFRGFFANLQRGCTALERLSLFECPVNDVEIFSQTLKVFTIGSGCHFPIYERATISIPSLVSLDYFSEKPIPLLLPNMESLQAASVSVNATNVNPVDDICQILRSLSGVTNLNFCYNREKLKMEKNVQWCPKFKNLTVLSLGEWCLYENFYALIVVLQNSPNLVKLTQELPEVHASFVWIQKADSTFHGDRRKIFHMQAPQDC >Et_2B_022093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:931420:934040:-1 gene:Et_2B_022093 transcript:Et_2B_022093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREEAGPAGGDGSSRSAMEEGESSSGGELAEALARRRLYREVTLALQTGLRDAKADFSFLRARGLRSLLGFLRSTASATDDASLLLFRHSQSIPDLQVIPVLFQNSLHQPKEDPVVTLDHIFGTEPMKIRSPPTDCEIALALRVLEGCCLLYSRCTALAHKYKAVKVLLNILASRGPTEQGVCLDALISLMLDSPSNQMDFDECSGLEKVAELLKDVQCASLIWAASRFGSTLDAEQRQTALQIQARRVVESLEPY >Et_3B_027408.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:13242272:13242553:1 gene:Et_3B_027408 transcript:Et_3B_027408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGTTSLLKVAAIATICAMLLLSSSPCPSAAQRLCSNCEKDCNSSCLGGSGSCPICSTQPDDPGCTTCKQAYYYKCMNWCTSSCRANCTQG >Et_7B_055238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8634032:8638901:1 gene:Et_7B_055238 transcript:Et_7B_055238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACSRKRGQLADEDVLYSARFSKSGSFKWLLHTLPRSSSDVQRKAQGAVPGRRPSLVELCVAKVREDMSRYSDFSLLPRDLSQQIFNELVESSCLTEESLVAFRDCALQDICLGEYPGVTDAWMEVVASQGQSLLSVDISCSDVTDSGLNLLKDCSSMQSLSCNFCDQISEHGLETLSGFSNLTSLSVKKCAAVSAEGAKAFANLVNLVNLDLERCPKIHGGLVHLKGLKKLETLNMRYCNSITDSDMKYLSDLTNLRELQLSCCKVSDCGVSYLRGLSKLAHLNLEGCAVTTACLDVISGLPLLVLLNLSRCGIRDEGCEKMKGLVKLKALNLGFNHITDACLVHLKDMINLECLNLDSCKIGDEGLVHLKGFLQLKSLELSDTEVGSTGLRILSGLRNLQSMNLSFTLVTDISLKKISGLSSLKSLNLDNRQITDNGLAALTSLTGLTHLDLFGAHITDAGTNCLRYFKNLRSLELCGGFITDTGVKNIKDLKALTLLNLSQNGNLTDKTLELISGLTALVSLNVSNSRVSNLGLRHLEPLQNLRSLTLESCRVTATEMKKLQLAALPNLVSVRPE >Et_5A_040135.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:14535789:14536061:1 gene:Et_5A_040135 transcript:Et_5A_040135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDKVILEVDNNSLANSLRAVVPVLSMTSGLCQEIQELGRSFTTFEVSLVRREANSTAHCCVKVPSVSNRVCSCVGYTPDWLLGVLMKDC >Et_2A_017949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8190499:8193071:1 gene:Et_2A_017949 transcript:Et_2A_017949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQCLSLGARHRIAIQIRTIKQRIQGLNQRRERYKLIQHRLTVSDNVKGDFQATRNFAALYTKEAQLVGFEKPKSDLLNMISRKTDGRNVVSVVGMGGLGKITLTKMVYDSNELHSRFVNRAWITVSQSFNHTYLLKNLIKQLLGAKSMDDLMKKHSGMTLQVQDFNEHLREQLNGRRYFVVLDDLWTIEAWNSIQFAFPEYSSGNCCVVVTTRNADVAKVCSSQYPDHIYYLKHLEKEHSKELLLKKIHQTKDPQVFSQENGPEEDKLVDEILKKCGGVPLAILTIGGLLANKGINEWKTLRDQLPEELASSDPSVEALRQVVTLSYNHLPSHIKPCFLYLSLFPEDHEIKMKHLVNRWIAEGFVIRIGISRRTLEEVAESYIYELISRSLIQPSKLGIIGNVKSCRVYDIVHDIAVLISREENHVFLVDEHTSITNATEHTIRHISCFDQKKLNKIGLICNPTTFSHTYNCDIRGLDCIECLTTETFKICCINDYGCAFNPSLTITSKGMRVLKGIGKLQELQVLEEVDIKRSSSKAIKELGELPQLKKLVVQAVEGTLKSKCKVFSMALGKLPSLRSLRVDSDGGSRIMDILFSDSLHLPSLESLTLNGHLGKLPVWVGQSVNLVKVKEVNALAELPNLVLLHLDVGSCSAQKLVFRRHEFPKLRKFSLVHPDELRELAYEEGTSPHLESIQIVHPRWTPRLNGIKYLANLREVSIVGGGLANADMLREEVDAHHNHPVLLIASQY >Et_8A_057542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4161685:4164711:-1 gene:Et_8A_057542 transcript:Et_8A_057542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGWIEIAMMMVSNLIDDSCAKDVKKGYDIQGIPWLGPSRDLNRQDRLEYYVNVEDESTSTEKGQVYYEFQYNTRAVKQVISHFQNLVWATTKHDVYLVGYYSALHWSSLTFEKREVIDLLGHVAPSEVHEGNLSKRFFKPEVCSLAVRDNLLVAGGFHGEIICKIFENPSGSVHFLASNVDGQVRDFDTETFKICNNLRFPWAVNHSSLSPDGKLAVVVGDSTDGLLVDACSGQRVHELRGHLDYSFASAWNPDGQTFATGNQDMTCRVWDVRNLSQSVVALRGNIGAIRSVRYTSDGKFMAMAESADFVHIFEVASGFRRRQELDFFGEVAGISFSPDTEALYVGVDEEIDGLLQFNRRRFYSYLDAEF >Et_4B_037122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1501712:1503850:1 gene:Et_4B_037122 transcript:Et_4B_037122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISATLSVSFLPSPGRFAVATAAYSSSTPRIKQAGRFRCCAEPSSSEQEAPASPNPTPGTAAVDSPSSLLGISTSIWSVGVAGLGFLETGYLSYLKLTGSEAFCPVSGGGCSDVLDSDYSAVFGIPLPLVGLVAYGLVAALSLQENGKSLLPGLDDLDIRLTLLLIATSMATASAYFLYILSNKFVGVSCSYCLLSAFLSFTLLFIRVKDIGFERIRKFAGLQFAVAAIIALALTNSYSSATTQLKGADDFILEPYETEITTESSPFAISLARHLHSIGAKMYGAFWCSHCNEQKQMFGREATKILDYVECFPNGAGKGKKMASECAAAGLEGFPTWFINGKVLSGDQEFEVLAEASGFVDEGTKQ >Et_10A_000213.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18048294:18048476:1 gene:Et_10A_000213 transcript:Et_10A_000213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTEFVCVTILHNPRSCNAMADGLAKSGASLGPSAVHIWLDCTPAAVDHLVAVDYVSALS >Et_9B_063786.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14012804:14012959:-1 gene:Et_9B_063786 transcript:Et_9B_063786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVAAYCGRFYAEKPELAARRIEAIGFQVGHQLSERYRKKNTTIVSSTLC >Et_6A_045994.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:16393992:16394135:-1 gene:Et_6A_045994 transcript:Et_6A_045994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYVALSENLNGHADAIARGSELITFVWALLMHAGIARRPGAGERV >Et_9B_065741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:173724:174673:-1 gene:Et_9B_065741 transcript:Et_9B_065741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERPLIWRNRRSKNGDALRYRVYAIETERGGLYLIHQLIYKIRWYIKMQMRVEELQGLDTDLRSLVPTMGGFGSLAPKTRNLVVAGGLSAFVLGVYYYTMRAVGGTDELQVAIDKFEEMKKKDVGNSSSTGSS >Et_8A_057018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20157982:20160291:-1 gene:Et_8A_057018 transcript:Et_8A_057018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ISIAKDSEELTSAGRGSKLRAWTTVCVTGAGGFLASWLVKLLLSSGRYAVRGTARDPGANKNAHLMALEGAGERLRLVKADMLDFSSVALAVAGCEGVFHVASPVPFGRCSDPEADVIAPAVTGTLNVLRACHEAKVVKRVVLVSSVAAVFNIPSWPKGKAFDEDSWSDEEYSRKIEEWYFLSKISAEREAFTFAAKTGLDIVSVCPCLVIGPLLQSTVPSSVKYFLGYIKGDQETVENRLANLVDARDVADALLLAYENSEASGRYLCSSTPITVSNIMNIVRTSCPTYSYPKSFVKVEDNITYNTEKLQKLGWSFRPIVETIRDSIECYRALGILN >Et_1B_010920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15410782:15414834:-1 gene:Et_1B_010920 transcript:Et_1B_010920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSESSRSRSKRDSESGWKDDDGQDDVDDGKTRSGKSRKHGNTDGVEDPDDGRRRSSADRNEPRRKSVSSSGRAYSGDEDDYDVTRDSQMSKVPRRSPEERSERRSLGGYKDRDGISSRRREDENEWDSSRRSRGRYESSKGASSYGNRYDSSDSIEIRPNRNLDFGKESSVPGQSDSSNMVSSIHHQGPKGGRLSRVIRGRSSGRDPQRMGVPMPMMPPPPYGPLGLSAGPMQPMGPNMSHSPSPLGPGIFMPPFPGPLVWAGARGVDMSIFSVPPNLHIPSPIAGPMFPPSVGASPNHNIQLDQTTGRGGPINSPVGFNPMATPSRELLHDKPPGGWTPQRNSGPAGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTSVVEDYPKLRELIQRKDEIVSKSASPPMYYKCDLKEHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWTPEEIMNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKKNATPGLRHDSNTLFQRSKEHCLMGIKGTVRRSSDGHIIHANIDTDIIIAEETTDGSSKKPEDMYRIIEHFALGRRRLELFGEDHNIRPGWLTLGKDLSTSNFNKEVYIKNFADRDGKVWQGGGGRNPPPDAPHLVVTTPEIESLRPKSPQKNQQAPMGSSSSTNRRSASNTPQNVVTVVGSETMMPAPWASTPVGGFGMPEGGAGPDSNPFDSYGFHASF >Et_8A_056191.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:13823274:13823333:1 gene:Et_8A_056191 transcript:Et_8A_056191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHARNLSTLQVQHSQRQ >Et_10B_003339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1927769:1929966:1 gene:Et_10B_003339 transcript:Et_10B_003339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASKDTAPAVPDPGVTVPRLLDLWNEWATQFLVVFSLTHQVVLFMFAGIRRRRGRGVRRALLWLAYQLADSTATYALGNLALSGNTLGDHRLAPFWAPFLLLHLGGPDNITAYSLEDSKLWKRHLLTLVVQVLGAGYVLYIHFSRRSGALFSLAAVFMTGVGVVKFWERTWALKRSNFSIIRSSVKTEASPKFDIHLLEEGDDKGPVVSEEILMRRAHSLFPYLQNCDVDTDEAAGGSHTRVLLEEFKNDKTKRMWALMEMELSLMYDILYTKAAMVHTLPGYCVRVISPVVVAASLLIFYFVGGVDDRHRVDVTYVLLVGALLMETASLASALLSTWTFAFLCSTRWSGLRHAALCSGRWERLRRVVVALRRLAYATGIGGYFRLSQKWSGTIGQCNMLDMCVRRWDADHLLLYSACRPPPLLGRWARRRMLGSRTWTVEVPEQVKDFVVDYVDKRIIRKGNINTLGKALGPVGTGALERTGTRIRMFTLNLNTLEAIIIWHIGTDIFLARRGQSRKTEEKAHVEAVKVLSNYMMFLLVKRPGMLPGLAQNKLYERTERSLATEWSKAAESSQQARSSSWMSNSDSRLQQREKLANSLHDDPPPWDDNNPENSRLYYGVELAKELLKDDDTLTLVLEVWTDILIYTANRCSREAHAKKLNSGGELTTIIWLLTEHLHQERINRPRPT >Et_6B_049513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:622056:623259:1 gene:Et_6B_049513 transcript:Et_6B_049513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLGRAGHSGRHKRGGPNLRAAKCWPILAAVGHKVYALCNSPSYIDEPVVRGPRSLQRHSRDNNECVWEALPCPPCFPRKLSPTGYLHPPIISVRSYVLRSCTYAFDTGSGDWHKIGDKSLPFVGSATPHGCMSSSARRYGTGPSTLTASLSQQFQQAAPHRLCWEECLIMVAGAVVLLGYPSLRAVFDDHSYYSAKLVAKLTTYRVEDPALLEDQEKLRAVRYEIAVSKQMEQSFEFSSSYGFSSSLIEPVRHAAAAAAAAEK >Et_4B_036673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10236418:10239655:-1 gene:Et_4B_036673 transcript:Et_4B_036673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PGYPSREAHRRVHDDESRQGPLPDRPSYILSLPLRSNRLASAAPCAPRLASPRLSFSPPRRLDQIAVMPAFARPALAVSPSVDLGAAMAAADCAGGEGPEEIWRRRPKTKIVCTLGPASRSVEMCARLLRAGMCVARFNFSHGSHEYHQETLDNLRKAMEITGIICAVMLDTKGPEIRTGFLKDGKPITLTQGKEITITTDYSIKGDENMISMSYQKIAVDLKPGSTILCADGTITLTVLACDPGQGLVRCRCENSALLGERKNVNLPGVIVDLPTLTEKDKVDILQWGVPNKIDMIALSFVRKGSDLQMVRSVLGEHAKSILLMSKVENQEGVANFDEILANSDAFMVARGDLGMEIPIEKIFYAQKVMIFKCNVQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMSRICLQAESHTDYGAIFKLITNAAPIPMSPLESLASSAVRTANIAKASLILVLTRGGTTARLVAKYRPAMPVLSAVVPEVKTDDNFNWTCSDERPARHSMIVKGLIPMLSAATAKASDTEATEEAISFAIDHAKKLNLCKPGDSVVALHRIGAASIIKILTVE >Et_7A_052031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3967250:3967723:1 gene:Et_7A_052031 transcript:Et_7A_052031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKVEMVRILVFALAFAMFTMHQAWGEKDCQDEKIRFKTQCTPFVENGSFYTTPTRSCPCCQTIREIDMPCVCRIITPKEEKKISVFKTAWVAHACGNPLSPGTKCGSEWHDYWGQVATASKGT >Et_4A_035766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5302249:5304410:-1 gene:Et_4A_035766 transcript:Et_4A_035766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVCALLDEDVRLVANTGEKTPGGVNMSCFASFFKTVRAEEIARRSATPKTLTDWVGEGKVRLVVEAPLKQLEIYTASLHLPATPREIVAELQAVRVAAADGNLVVFTTGAPLPWIPASGEYLVYGAGADELVLAPAIDWGKFKEPFITRRVVLCRHGGSGEFSLVLLVLCYYTGKPFVLVWRSSVSISSSQEANQCLKSPGCYSSVAQGRWEQREVCLPGEDLAHRPFSTDEAFCFRGRWACWVDLGRGMVMCDMSSPDLDCHFAPLPGMTWLRMSGGRPEVYSTAGIFDGEVIKPLVVDGFDDDRVPRDQVTVRTFTLSMAAADDGRTTTEWVRNEEETFRVGELWTDESFLAIPGLPKCTPMCPVLSPKEPGMVYFFMSDIGVLDDGHIGTRGEYVLGIDIRTRKIKTFQDGVASKLSERPVPVLKVTFSGEDSGTYTSTTLSQSINSLVLTPVIFLNLPFSTNAVTSEA >Et_2A_014613.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28332089:28332394:1 gene:Et_2A_014613 transcript:Et_2A_014613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREEAESLSEVKRISQVLQEEEEEVHAEKEAAAAAEGLKVKIVLTRAELEWLMAQLKSGDQRLEDVLHHMHAVNKARATAADSKDAAGWRPRLESIIECQE >Et_2B_021516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30213732:30215074:1 gene:Et_2B_021516 transcript:Et_2B_021516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLMLLFLVTAMFLSPASCSSNYKYYCDWCPRHATASLFPPAADINGTAGTCGYGGAVDMELPNGGHLAAATADFFRAGAGRGACYQLRCRDRRLCGDDGIRVVVTDAAKEETNRTGFVLTREAFTAMARHGMSDQLATMDNVRVDFRRVPCEYKKNLAVRVDEGSGTRQQLLAIRFLYQGGQTDIAAVEIAQGGSVTSAPWRPMARQSKHGVWRAARAPDGPLQLRLVITAGSGGKWLRTALPADWRPGGVYDTGIQLTDVAVRTCSRACRAGDDDELR >Et_5A_041528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2475751:2476036:1 gene:Et_5A_041528 transcript:Et_5A_041528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAPFLPRERLFKQQNYFQNLTKHTYLKGRYDVITSVAIPLALAASSMFMIGRGVYNMSHGIGKKE >Et_1A_004982.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21173207:21173344:1 gene:Et_1A_004982 transcript:Et_1A_004982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRTKTGQLLTVLIMDGLYPLETYLTSYTINGTLWLSSSMNKL >Et_5B_043828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16676286:16685145:1 gene:Et_5B_043828 transcript:Et_5B_043828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRGSKRTKASPAAGDRITDLPLELRTRITSFLHFRQVVQLSVLSRPWCHIHHHSPVVKIYLILLDSVLATRVALRRRAQDASASKVDTLKLTFFADDLHMQRHADRIIALADAREIHIQVPYSGHEVRDAWTVHLPPAARRLEVAAIDHVVPAIAGQGAAALRTLFLHRVIVSEWPHLPSLRSLDLHSVTVETPFTPGQWCPLLEELELICCKIEQDRVDIKLPHLIFLEMDSLDVGHYEGPPFGHITVDTQELVRLYMIFEPGCTRDFKSFTLRAPKLQLLCWYNQFAERVHIDVGKPSSVKDGKIEFMSVYFRKMEYYREQMMRMLQGLLPNVPPDSVADIARPYTTLEECPDSDSEDNTVQEKITCDLGTLIISLRFYSVDNHVRKHAPTNAQRDSMYCGRCPTKGTTNAWTVHLPPATRSLMLYAPHQFVSIAGPGAVALRELTLNRGVLREWSHLPSLRSLTLMSVAVEAPFAPGQWCPLLQELGIIICKIEHARVDICLPHLRLLVMSSVDVSPQGHHDGPPFGHITVDAPELEESSIWAAGERMKDFKSFTLRAPKLRLLCWYYDQGIQRMFFIAMMNTQRKSQGQHVLDQTIDSQNCGSSFRDAQARFHLQKACPLTPRLGTFFPSHQPSDGIRDVGPVHLLPHRQPNTPLVCHVPRGHRLVGEARLREHGHPRAYGLHRRVPAAVRPEAADGRVRQDLSLRRPRYELPFVPLIRQQRWRPLLVAQEAGAEDPEEGHAAAGEPRGHLVEHGAVDARHAAQADVQHGARRVRVQPRQAPAVRRVQAVLRRRRRQHVQRPDGERRARGELAADLGDLGALDGVERVDHHPRRRRAHGVGDEGDEPEHRVLVAAGDADQVAHAERLQARHRLVQPPLLVSDGDVAGVAQPRRGEHAEERGGAVRAHGERRDAGALGRAQHRVQLPVHHHGADRPPPVQEQQLPEGRLERRLAGGVEPHHLLHHVGVVGDLAGKRLADGDEGELRVARGVRGVEVGVKDGDGEPPRPEEAGELKHGGDVAAEGEREHHHAADGGRSSVAAGHRCHAAP >Et_6B_048305.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:11055443:11055505:1 gene:Et_6B_048305 transcript:Et_6B_048305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVFLSGLILVALEDLLIF >Et_9B_064870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19402407:19405538:1 gene:Et_9B_064870 transcript:Et_9B_064870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTSAASSALAFGHPKTLSATPKPLPSVSFPVAQPACLLAASSRRRDVAAMVAAPPAVGTAMPSLDFETSVFKKENVSLAGHEEYIVRGGRNLFPLLPEAFRGVKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSKSFEEARAAGFTEETGTLGDIWETVSGSDLVLLLISDAAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSLGLDFPKNISVVAVCPKGMGPSVRRLYVQGKEVNGAGINASFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGIVEALFRRYTEHGMDEESAYKNTVEGITGIISKTISKKGMLEVYNSFSEDGKKEFNKAYSASFYPCMEILYECYEDVASGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGEKVRSTRPDGDLGPLHPFTAGVYIALMMAQIEILRKKGHSYSEIINESVIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKDAPINQYLISNFMSDPVHSAIEVCAQLRPTVDISVPANADFVRPELRQSS >Et_5B_045676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6914214:6916928:-1 gene:Et_5B_045676 transcript:Et_5B_045676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYPLEQMLPLSVDLLEAIFLRLASPVDLARFSAACTAFRQLIADPDFLTGTAPSTRRSSSASSATASTASRRRPTPTPQLPALATRADSFSFDYLPRRSGRWIHTDVCEGRVLLKRVCDGRKGGGDVLPELAVCDPLSRRYQLLPPIPVDIITSVHAQNHKSGFFGSFLVPSGNHEDTSFQVNGRTCSPEKVVIFIFSSESGQWSVGACTSWTDLGFKVPPTFYNFSWPQYDHGCFYWKFIYRTKVLKLNINTMDLSIVDLPLDTVGEVAIVEAGEGRLAIFNQIQWGSAVYYYTTNTEGEGGNEWQQEKEITLPCQCNMVGAAEGYIFLIGFEDDMKAMVNSIHWPKGIENDEMAEGIAEFNSHLSCGVGPAVAAADPEGGAGRSCRPLIPIRLTDHVKELQDEKCDLYVRRMGH >Et_5B_045572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4674259:4677173:1 gene:Et_5B_045572 transcript:Et_5B_045572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLRLRLFRRLLTTTSSATGSSPAPALTSPAAPKPTDPALLLRLCTVLYQHQNAPDDALNRRLSALPIPTAPADLRELFLQASARFPLSWRPVHRLLAHLSARHGGGFPHSPATAARFLDVLAKSSNIDLLHSSLHSLPRGLLSAAAIRAAVRGLAPAREVGKVAALLTLFPECHRARVLTFVTDVACSTCRLPDVAEKVIKRAEHRFGVSRTARCGELLVVAYCRAGSLADACRVWNGMGKGGLEPGAAAYQEIVVTMFKNNRVADAMQVFDGMRRRGVPDNGGGCCRAVVSWLCKEGRVSGAYMVFADMFKKGVEVDGEVLGDLVYALMARRRVREAYRVFHGAKEKDIALFHGLMKGLIRIKRAGEATEVFREMIVRRCEPNMHTYIMLLQGHLGKRGRKGRDPLVNFESIFVGGLVKAGRTLEATKFVERTMWGGVDVPRFDYNKFLYYFSNEEGVAMFDEVGKRLREVGLIDLGDILSTYGERMATRDRRRRAMNGLLGLVSHPAFEVSRRNWEDGQKVKKWGGREIQCSTMTTESSRKNNAQGPKKVEEEGSTCRRNQLRRPGGEEEEQKVEVGDE >Et_7B_053604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10183356:10185191:-1 gene:Et_7B_053604 transcript:Et_7B_053604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTAPNSGANHAGDATGPTNTTVTLPPLTLRDVPRLPAALAAASAAAPVATNPISRHPYFDPPSTFYISPGDVSLRHAFFDLAAAAPSPLVAYRRAGPREALGVDPAGARAALVTCGGLCPGLNTVLRELVVGLQELYGVRDVFGVAAGYRGFYGADEDHVRVDPIIVDDWHKKGGTVLKTTRGGFDLNKIVDGIVARGYTQIYAIGGDGTMRGAVAIFQEFKRRGLNISITGIPKTVDNDIGIIDRSFGFQTAVEIAQQAIDAAHVEAVSAVNGVGLVKLMGRSTGHIALHATLSSRDVDCCLIPEVPFHLEGKGGLFEFLYARIKKKGHAVVVVAEGAGQELIPRTEDQKREQDESGNIIFLDVGPFLKSEFGRWWKREHPNELFTLKYIDPTYMIRAVPANATDNLYCTLLAHSAIHGVMAGFTGFVPGPINGTYSYIPLEDIAVAKNPVDVNDHKWAWVRSVTNQPDFVKSQA >Et_7A_053180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9235798:9238179:1 gene:Et_7A_053180 transcript:Et_7A_053180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSTSKFNDSSARWAPMVVDWAVRDEVTSSCEVARRNKAGTYACLSKNSVCVNSTNGPGYVCNCSPGYEGNPYLPDGPDGCKDINECNNNPCPSGVACHNTEGGYQCSCGAGRKFSEQNKTCKLDSTSLIIGFTVSFLVLMIFFFSGNMILQKRKLNRIEEHFRQHGGMIVLEKMKSERGLAFTIFSEIELIEATDNYDKSRITGKGGHGIVYKGIVKDNMAVAIKKCSLINERQKKEFGQEMLILSQINHKNIVKLVGCCLEVEVPMLVYEFVPNGTLFGLIHGKNQTLQISFSTLLRIVHEAAEGLHFLRITPNSPWRREEF >Et_7A_051863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25702280:25703629:1 gene:Et_7A_051863 transcript:Et_7A_051863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGSFAALSDDAVADVLRRLPLQSLAVARCVCKAWRDVVDARALLHAARLLPHSVHGVFINYIDHERPHLFARPHTSTTVDNMLSFMPTNDNDDDDHDPNWSVLDHCNGLLLCSVNGGRNLCVCNPATRQWTLLPQLKGASSFGFDPYAFYTDYRYHAGAYLAFDPAISPHYQVFLMPHVPKEDRDRLKLRQQELAKPFCLDWFFSASEDGTLLLASEETEVLFAEENNDDLSLLMTEWPPASWSLNVFASETGQWEERVFCREGDPAGTFESVREDLSRPVWWWPRQRYAAYWRGALYLHCRGAFVTRLPMSGNKYQVVKAPTKATKAIPYIGRSKMGVYFGEVCQGQLSVWTFNESCGKVEWVLKYQHDLRKQAR >Et_1B_010688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1371558:1379104:-1 gene:Et_1B_010688 transcript:Et_1B_010688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGPTGYSAVWIALIVSCHRSRRRVGSLGQCRRVRHQGIFPSHLTLVLVGNMQELTPSYLHDRNMRRATNQSLDPRTQMASSNGHATRNGSQSDSKLELFGFDSLVNILGLKSMTGEQAQAPSTPRDGDDIGIAIESPKEMEPKLGTLMGVFIPCLQNILGIIYFLRFTWIVGMGGIGQSLVLVTFCGTCTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEVGISIGLCFFFGNAVAGAITLPNGTTAGGATTISTPSLHDLQIYGVIVTILLCFIVFGGVKIINKVAPAFLLPVLLSIICIHIGVFIAPRPDASKGGTGLSITTLTDNWSSEYQRTNNAGVPDPNGSVYWDFNALLGLFFPAVTGIMAGSNRSASLKDTQRSIPVGTLSATISTTVMYLVSVFLFGALATREELLADRLLAATIAWPAPVVIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNCFKAYEGSEPHVATLFTSFICIACVVIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLIGAFLCIVIMFMISWTFSVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPDNVPCHPKLADFANCMKKKGRGMSIFMSIIDGNYHESAEDAKMARRQLSTYIDYRRCEGVAEIIVARSVSDGFRSIVQTTGLGNLKPNVVAMRYPEIWRLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKDSFESCKIQVFCIAEEDNEAEELKADVKKFLYDLRMQAEVIVVTMKSWEARADSSSGAQKDDPQEVYTSAQHRIRMHMLSKV >Et_6A_046742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2074041:2075096:1 gene:Et_6A_046742 transcript:Et_6A_046742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQFSIHNLAFLKENGDTLVGHFEYHKKLQHWLEYYFMKHVLYFQNLGTRIGERCRREEGEFRAKIKEHANAPDIYPLNFSLFIRIVFCFLQISCAFCNQIVVRTEMAIRTSFCLTFTFCMSRDKKI >Et_2A_017653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4707377:4708729:1 gene:Et_2A_017653 transcript:Et_2A_017653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSSCLLTLVKQKNLETVNLDLYDITFEYALSVLPSALPSVQNLTMQARLLLKSPWLVETSYKFWQVKFLKMLMFHTDDDMHNILSLASFLKAAPLIESLEIHWKQRLSGASQSAKYNYLRSVCFTGYEGDRGQLELLVHIVENAPALEFLTIDRTTRRGHRVNEHSGDMPGIAARKYLDGKLLPTTRLEII >Et_4A_034039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29368418:29369308:-1 gene:Et_4A_034039 transcript:Et_4A_034039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDNRMVRAAAALALWLLAASPASGQVATSCTATLITTFTPCLNFVTGSTNGGGSPTQGCCGSLAEMVRTSADCACLILTGNVPFSLPINRTLAISLPKLCNSMSVPLQCRDTAAQIPAPAPSPPEPSVEPDSPAMSPAGDSTPISQRPVVVPSTARKSFRVSMAAVAIVLSLAASILA >Et_1B_009847.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:32742368:32742622:-1 gene:Et_1B_009847 transcript:Et_1B_009847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFFGAIGARLDFARVGNRMDVLHFAQAVAFVALLSAAGNVVGTVVAGRALGVVTAQEAVVLGFLLNVKGYADILAINFAAG >Et_5B_044914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7187699:7190073:-1 gene:Et_5B_044914 transcript:Et_5B_044914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRVRCRRRRRVDRLSDLPDELLHNILIRLPPTIEAARTSVLSRRWRRQPSPYASILERIDAHAAPTLCRLAISALSQSDPVARVPRWLRFAAQRLAGELSLKFKAPWTPPITLNPCPPPTEIELSVCDRATSVDLHLTNNQLLRFPCTGAGDQFTALRVLRIHCFDHHGGELERVVSTQCPVLLDLSLSFSCLDGVLSVRSGSVERLVLGGGGTVLIGVDAPQLARLETSRSSAASFGNGVYMTHIAAPELAQVIWDDSYSQRDRIVTSQRRLRKLEVKFNYYQQDRLSLSGKASGVLGWFDANDELQLHMTSRLPDFGGYKTSLMNTVELPQCKVLKVTICQSEDYNVMVLNLVRKCVGITKLSVRLLADDFPKQDIETWNSFPMVTCPSWA >Et_1A_005425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10229581:10232112:-1 gene:Et_1A_005425 transcript:Et_1A_005425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPATAHRCAVAHSHADAVRPSPSRARGAFLLPIRVPLSSDGTEAVAASMLLRVVSLPQLRTHAATSCFAHLETEDCEALQLPRALRRILSDHQKAARPDLLKAAVLSAMSLLAVPLEASAETCQPANNSFANMPLFIAVALIGAAVGGLLARQRKAELKRLNNQLRQINTALRRQAQIESFAPGLTYAPVGRTTENDVIVDPRKQQFVTNLRNGKNYLRNQDLDKAVIEFRTALDLAESIGDRFEEKKAARGLGASLQRLGKYREAMRYYYKVLELSKETGEDSGCTEAYGAIADCYAELGDLDQAAKVYDKYISRLQPGE >Et_2A_015776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17762948:17774841:-1 gene:Et_2A_015776 transcript:Et_2A_015776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAALRHLLRPFGGAQQAASEQPVANPWSWSSLQPAGAGPSNGSLPQVPGSYSTVGDVPDLRAMFKKLSASNAATVDLTRASPLGGLAPSRHGLPASSSNGQSAFGAQFLNKSATGAVAFSGAGPANEGVTRRGIQLQDANAHTLQMLPFKSTPHRLPAPLVGSVRVSCLNTGGEFFMGGSGLFGVICSCHQLRMSIAKFCEHAGGPAEKAGEIVYVENGMNISQWFKFCTGVGGSIADTKWDWPEWACVKNSPEEHRLKSLPSRNNSIGQLGLLGGFGNITGPLNKQVYSGDLYTEGQGCSNVEKRPVEANYKKGIDMHGAFTSNSALVQSSMIMNLGLDKKHKDHDLGLSPVVTSSGSLNFKARIGNNYHGHFDTSSRNHGPSSTGVLNHDSRACRPDISQKILQDSSTVIEFQKPQPHAPLLCKNPCPKKTTKVNRSIAKQGELPFLNGNKKQSNEFPNGTKHSEGDALTDGTTNNSLISLFLSHLERNSASEPIDDILNSNEHHLPKAQDSACSSEGPKIASGQIEKMDNDNRSKMAPSIIRMKGRSEDISLSAASGGYNHQELLHANSQEPLIYGGCRSHFLPSQPNTGISKISAAVSCPTNCRSCTHSVDKSHHVACAEMASTRETLECVADLCAHKRLRTFAKTSYENGKCYCSQKLLPSLCQNDHSQMEKSICGCCSKIQEDVSKLGFRPGHLCRTQFSGDDFPVLASKCTLKGLDELCTCGSYMQRPSLYSGEHILQSSCYACPVDGFQCRSSMGHTVDSLTKNTLFDALSMKERGPCSHGSCCYFVVPKCLPGYGFTKHCGVRIDHTIPGCKHQLQMQIPARCSTSGEDAKSATQCLSNRIAEGSISQSSFQKNASNEVTNQPSVAIVEKLKNVSEASVAGGSWFKSVTEKKGAYRDAVISKGQPKFGLSSGSSSAVVTKFLVSPEFKNIPSCNAKHYKRKNLCDEVSRMEQCSASSYVPTSTRCEKTLNGLKRVPGSHLGPSIVKRKCNQISDGSRLEDSDSEEHCFGLPKKNRTLRYSAKHSDSEDCTSTSSQSSQNGGPQPQNEGASFSHRLFRTKQKYATMHQNKAVKRLHRKNKISTSDDEKQDDKVNCFGGISTSDRKKQVEDVITQDIREQYEGTRVFARKLPKYVSLNCIVNEPKSEDACSGSASIDSTVIATGIANDNRKSPKIVLLNLILKKAKRCLVVKPICKAESTHLSKKKSSDHSVDSSDCSVYESSVGVENCSTQAEDEMQVPQKSTYSFNRPHGDEPKNRRGSVSLNRIKRHENVSNIPSRGSGSDEDNAVRTHEVNATRYSGWLSPEASCCVCGILNLEPCNQMIECSKCYIKVHQGCYGVLKVPRGQWFCRPCKATIRNTVCVLCGYGGGAMTRALKHKNILKSLLKGLRIPTRAKRYIDSFENTSSEGTSLRNLADNGHGDNIINTEDNTSNSWTSFNHDSCLLRRQTTQWVHVVCGLWTPGTKCPNATTMSAFDACSLCNRTGGSFIKCRDAKCSVLFHPWCGHQKGLLQSEPEGEHNENVGFYGRCMNHVNTKKECLRSNNWTCARTEGFKGRKGEGVNHKTSEAYCGEFSVSQEQINAWLHINGWKSCGRGQMERTHCNQLKGPKHLVVYKSGIHGLGLYTSVYISRGSKVVEYVGEIVGQRVADKREVEYQSGKRQQYKSACYFFKIDRDHIIDATRKGGIARFVNHSCQPNCVAKIISVRNEKKVVFFAERHINPGEEITYDYHFNQEDEGQRIPCFCRSRYCR >Et_2B_021638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3795629:3798580:-1 gene:Et_2B_021638 transcript:Et_2B_021638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRASESAGDDLWAKAAELERDFAGYKRRLAERRACAAAAVAADSNGGADEEGRDGDVAGRGRRYEEYVRRRDERLRQEWRARMERKEAEMKDLWARLDRAGSRGRGGDLASASHEREVNPPEILHNGMLMYLLLQCVSCNLPAIFTFSGRTLPFVCSCSGKKQRKKLTVFSWHFLHSGNLTHCCISPPDHVNLQKIPGSLEVKVKPIAPVTPRLSPATKLSRPRTSVPSSPAAASPRLSIPDARRRPSHRDLPQATPRKENRLPPTPSTAAAPAPATPRPRTAFSRSRSMIKDRSSFSSVRESPRPPRFQPPRTSCDSVSNIIREAPLSPEHNAIAVVQSTPRLGEQAVLRHLKKACVVEPEPFHPRGRSGNGAEPASSLLVTLKDKPDYSNMAPGSSNADNKCNDDREGDHCSDKSGSPDITGDSDTEPSYVYIKKDSAGELTPRSYQVSACPGTCPQAELRAEDKDSCNVEGAIESSDANTSPMAEAEEASRRASSESLYSNVWSSFSQRSEELDASATDSPLCDPPSCSTPPTDPSQVEDAARPRKNPEKEDAETKLQIPTTPGTSVTVSITVQSPMDAMTGLKRFLTFGKKNGKAGEVATTVVESERHCIPHGDRVVSGGWPTGDSVKARSGSSDAVASYDLDGSYVLSPHVRSLQSFMPSCPANPELKEAVLHAKSPRVHRSFFSLSSFKSRAN >Et_3B_029136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22375488:22379766:1 gene:Et_3B_029136 transcript:Et_3B_029136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGSKKRAKLKKKQQQGHPDDGANNGSGSDNTNGTSGRRDPDHLRIPPKASHVDASEDSMESSEEMVTPRAAGSEADDDERKAAPEERTVQVAEEGGAAAGEEVMVDALPPETSGQGKDDAEVEVHAVVQEPEVKDVVVDEAPAVQEPEVAEAPVVGVPEVKREVAKVHPAHEPEPKVDEVVVVDEAPEPPVAPEPEEPEAKGGDVVVKDSAGVSRWRVDLQWQWRLQGSGQHGGIAVDFLMHFLVHKDRLSCLDASSPASGSLLSGDHPSASCGDGEQYVNTNSTSDAFKHELELKANTRN >Et_3B_030960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9395960:9398570:1 gene:Et_3B_030960 transcript:Et_3B_030960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ETLKKHPIYTKLGPFIENVRGVEIGDEFRYRAELSIGEWRSHCINHCCFGGYLNELSSSDEKKPPSEYIWFKSKSKGKRISLFTYDGLYAVVDFWQEHPKGAMIFRYTLQRMPGQSKIILHAIKATRLSKQPEGLCVSDISQGSERIPIRVVNTNDTKREYLNTLLNSYIQVRIKRSLQGCDCLNDCFSESNDCVCAVKNGGKLPFNHNGELIWVKLLVYECGPSCRCPPTCQNIAVSYASMLVTYCKINKKLTIKKMICIYLILVATMVIQPFWNGLKLAVAGLDSSFSSSKTNKGLTIDGAKWSKYNVGRFVNHSFSPSLYAQNVLWDHDDMSMHYIMISHRYRSSPTIASCGEVRDKNSVEKVKRCYFGSSNCKGMLY >Et_5A_040119.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:11522346:11528195:1 gene:Et_5A_040119 transcript:Et_5A_040119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKLGVEILSAHDLLPKEQGTSNAFVEVEFDDQKFRTAIKDRDINPVWNEHFYFNISDPSRLPELHLEAYVYHVDRASNSKACLGKVRISGTSFVSQPDAAPLHYPLEKRTILSRARGELGLRVFLTDDPSVRVSAPGPEFDFISTPTTAQEQSAANSIPNPFQETRPNQVRQFQHLPRDQHRPAPMTGQQYYSEGSYADQQQRTFSAVGNKTGAPPPQVQRMYAPGPQQPIDFQLKETSPTLGGGRVVGGRVIPGEKAGAYDLVEKMQYLFVRVVKARDLPNMDITGSLDPYVEVHLGNYKMTTKYFEKNQRPEWDEVFAFPKEVLQSTTLEVVVKDKDVLRDDYVGRVMLDLNEVPLRVPPDSPLAPEWYRLVGKDGMRDRGELMLAVWYGTQADECFPSAIHAGSTPVDSHLHNYIRGKVYPVPRMWYLRVNVIEAHDIIPRENHIPDVFVKVRLGHQLLKTRQVRSPTRNFMWNEELMFVAAEPFEEDLIITIEDRAGPNKDEVIGETVIPLIGLQRRADHKPIKPVWFDLRRPGLIDVNQLKEDKFYAKVHLRICLEGGYHVLDESTQYCSDLRPTMKQLWKPPIGLLELGILNANGLNPTKTRNERGSCDAYCVAKYGQKWVRTRTIVDNLNPRFNEQYTWDVFDHGTVITIGLFDNCHLNGDSNHSSHSHMDRPIGKVRIRLSTLETGRVYTHTYPLLVLHPSGVKKMGELHLAIRFSATSLINVMFTYSRPLLPKMHYAQPLSIVQQEVLRHQAVQLVAQRLGRMEPPVRREVVEYMSDARSHLWSMRRSKANFFRLMQVFSGVIAAGKWFGDVCQWKNPVTTVLVHVLFIMLVFYPDLILPTIFLYMFLIGLWNYRFRPRFPPHMNTRISYADVAHPDELDEEFDTFPTTKSPDLIRMRYDRLRHVAGRIQTVVGDIATQGERLQSLLSWRDPRATAMFLLFCLITAVILYVTPFQVIALCLGFFWMRHPRFRHKVPAAPINFFRRLPAKTDSLL >Et_2A_015352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11510041:11513609:1 gene:Et_2A_015352 transcript:Et_2A_015352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASTAAAAAAADAASQHLLASVCLQHSSERSSELDPILASPKRTYVRNEIDSPALLLIMLSTTSRRTLSPTSVAMPQWPHSMHLYQEFVCCSAKKGHDSMGTPTERLCSVEFHPLCVRNTPTALCRSTSSCGHQLAKRPLPRVASRNSGGSAAAEKHVAAVGEPPRKLDQLLVAHHRDAPFTYTTDPGGFPSWQAWSSFQRLEPSASRGPPAGTSRSVNWLSGPTVYRLLQLVERAVDDGRWRRPSARSRTSLSMGSSRFVVRRCNTPEWRQDSGELPHYKATSI >Et_6B_049789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1230056:1230801:-1 gene:Et_6B_049789 transcript:Et_6B_049789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSSTGGTSPPCASCKLLRRRCTEECVFAPYFPPEDPHKFAIVHKVFGASNVSKMLQELPAQQRADAVSSLVYEANARMRDPVYGCVGAISYLQQQVSQLQMQLALAKAEILCVQMQQNDGQQGHPTAAPASAKQQFQQQEMDGDAYGSMFMQNGLMMNTLNSSTAHQQQHLLGSLSSSRSTAMMLQEACLKKESLWA >Et_2B_022276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16674204:16676779:-1 gene:Et_2B_022276 transcript:Et_2B_022276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLARFGCRNRPFYRVMAADSRSPRDGKHLEVLGYYNPLPGQDGGKRMGLKFDRVKYWLSVGAQPSDPVQRILFRAGLLPPPPLLAMARKGGPRDRRPIHPMTGRPLDLEGVTVVDDSNAPGGDAEEPTDELRHIH >Et_1A_005159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30043126:30043433:1 gene:Et_1A_005159 transcript:Et_1A_005159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AYKPKHPSCFVPLGTSDKIGTIQRGLAWPLRKSMPHKSRNGPNFFEILRTGPSPFTSMPLRRFPLVLLVSSSLTPSSNVVAE >Et_5B_044491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2904274:2908173:1 gene:Et_5B_044491 transcript:Et_5B_044491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPPPPQPPPTPRMPGIAGGRLFSSLPPPPPLQSRREVHVWYLLPDELNDASQLKMYMELLSPSERKNALSMNGEKLQKGAVLSRALVRTTLSRYTECEIDPISFEFKKNKFGKPEILWQSDDLRMKWPLHFNISHTSSLIACGITMGTPIGIDIEEKKRKTAKSILSLARRYFTPPEVDYLAKILDPEAQQKEFIKLWTLKEAYVKALGRGFSGAPFNKFSIMLAEKNRIQISVVPKVFQDSDSTCDSLSENWQFALAELNNSHYMAACIEDDSRTSGSGNGQLPPGLKVWKTTPFVEDTLVSGTEAVKLIR >Et_1B_012330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30975560:30979734:-1 gene:Et_1B_012330 transcript:Et_1B_012330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWGLPSWGDVVVKLGPTSFLRPLGRVDSFCSSLVGGVGNDTFILFVLFVCCITCSVSAESDIKKLYTLRDSFTKTKGFLQSWFDSEIPPCNWSGITCEGHTVVTMNLSSVPLHVPFPPGIMAFQSLVGLYLRECGISGDIPEALGNLQHLQCLDLSNNQLTGPIPLSLYNLKMLEEIALDQNSLSGQLSPAIAQLQHLTKLSISMNSISGALPPELGSLQKLESLVLERNHFTGNIPNQISNLKWLKELSLFECNLSGSIPWSIGRMKSLQTLDLSGNKFNAELPASIGELRNLTRLSLNRARLRGRIPKELGNCKKLVQINLSYNAFTGSIPEELAGLEDVVNFQGEMNKLSGHVPYWIKNWESVNLGNNKLTGSIPPTICQANSLRSLNLQGNNLIGNINETFIGCKNLTNLNLQGNKLCGYIPEYLAELQLVNLDLSYNNFTGVLPSKLWESSTILEISLKNNQLTGLIPESIGKLHSLVSLDMGHNYLEGPIPPSVGGLRNLNVLSLDGNRLSGNIPEELFNCRNLVTLNLSFNKLTGHIPRAISQLTLLTSLVLSHNQLSGSIPAEICWGFTNEAHSDKEFIQHHGFLDLSYNQLSGLIPASIKNCSILVQLHLQGNSLNGSIPAEFSDLANLTTVDLSFNSLVGPMLPWSVPLPLQGLFLSNNHLSGNIPAEIGNILPNIVTLDLSGNAFMATLPQSLLCSKSLCHLDVSNNNLSGQIPLPCPGDKELSRSLVFFNASFNHFSGSLDESISNFTQLSFLDIRNNSLTGSLPSSLSNDRYLNYLDLSNNDFSGTFPSGICNVSSITFANFSGNHIGINNLSDCVAPCICTANSINHNRVHPHHVILRAVTICAIALTIVTLLVLLVVYVRRRLLRSRSQVLVPSSKAKATCEPTSSDELLGQKSWEPPSINLATFESVLLRVTTDDILKATDNFSEGHIIGSGGFGTVYRATLSEGRRVAIKRLHGYREFQGDREFLAEIETIGKVKHRNLVPLLGYCARDNERFLIYEYMHHGSLEMWLRNRADAAEALGWPTRLRICLGSARGLMFLHHGFVPHIIHRDMKSSNILLDENMEPRVSDFGLARIISAYETHVSTNVAGTLGYIPPEYGLTMKCTAKGDVYSFGVVVLEVLTGRPPAGQKQMEEGDGNLVSWVRWMITHGRQGEVFDPCLPVSGLWREQMLCVLSIAGECTTDEPCKRPTMAEVVKDLRMIQLMKREPHNPHGRVEQA >Et_7A_051124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14768824:14773247:1 gene:Et_7A_051124 transcript:Et_7A_051124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQGRHLERSASKRALNHGGGGGEDDDERAPKRPRVPALASVIIESLKVDSLQKLCSSLEPILRRVVSEEVERALVKLGPAGPPRIQGRSSPKRIEGPDGRNLQLQFRSRLSLPLFTGGKVEGEQGAAIHVVLLDANTGHVITSGPESFAKLDILVLEGDFNKEEDEGWTEEDFENHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVSSGFCEGTRIMEAKTEAFTVKDHRGELYKKHYPPALKDEVWRLEKIGKDGAFHKKLNSSGIYTVEHFLQLLVRDQQKLRSILGSNMSNRMWESLVEHAKTCVLSGKHYIYYSRDTQSVGAIFNNIYEFTGLIADDQFISAENLTENQRVFADTLVKQAYDDWINVVEYDGKTLLRFKQKKKSVTTRSETAKALTEYPASYSSAPSHKQLIGEPVNADQSSLSNNMREDGTRIASIGNQVARGYSANPQDIAPSIAMQYDMSSLTPETQFNGSSILTQASRSSSTLALRPTQQQQNLEFPGLGQSTQPSGLNPFDDWSRLQENRGGVDDYLMEEIRARSHEILENDEMQQMLRILGMGGASTSLNNVDGFPSYMPPPTPAFNFEDDRTRSSGKAVVGWLKIKAAMRWGIFVRRKAAERRAQLVELED >Et_10A_000181.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:15731736:15731771:-1 gene:Et_10A_000181 transcript:Et_10A_000181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADGEFTVHR >Et_4A_034334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31875440:31878998:-1 gene:Et_4A_034334 transcript:Et_4A_034334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPASGLAARGDLRSALPYLPVVLRGGALFWPPAAQEPLRALALGPDVSRVVSGDVLADALTALRLALAQPPLPNRAADGFALFFDDLISRAHARVWFAEVLPSLARLLLRLPALLEDHYAKVADGASGLRILESQDTGIVLLSQELIAGLLACSLFCLFPTEGRVETSLPMINFDGLFSALVHHNSRQSQEQKLRCLVHYFERVTDSTPTGSVSFERKVLPSHSVSGGITYPDSDMWMKSSAPLCQFRVFSSGFIEDEVQEALEVDFANKYLGGGALLRGCVQEEIRFMINPELIVGMLFMASMEDNEAIEIVGAERFSQYMGYGSSFRFVGDYLDTKPFDSTGRRRTRILAIDALDCPTMSQYESSGLLREVNKAFCGFLDHSKRQLYVKLFEDSNSKDNCPSTSSGDYIGVSTGNWGCGAFGGNPEIKSMIQWIAASQALRPFVNYYTFEAASLERLEEVIQWVLRHGWTVGELWHMLMDYSSQRLRGETRMGFFDWLLPRDNHSNDLHYMSE >Et_2B_021880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6387348:6394107:1 gene:Et_2B_021880 transcript:Et_2B_021880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGRSSVACCAAALLAAALLLSAPGYTEAYDSLDPNGNITIKWDVMAWTPDGYVAVVTIFNYQQFRHIGEPGWQLGWTWAKDEVIWSMVGAQTTEQGDCSKFKGNIPHSCSKKPTVVDLLPDTPYNMQISNCCKAGVLSTINQDPANASASFQISVGLAGTSKKTVKLPKMFTLKNPGPGYTCGRAMVRRPTTFYTPDRRRSTRAEMTWEVVCTYSQFLAQKTPSCCVSLSSFYNNTTVDCPTCSCGCQNANGTNCKRDNSPTPRSGKWTGLPLVQCTSHMCPIRINWHVEQNNKDYWRVKISITNFNFNMNYAQWNLVVQHPNLDNITQLVGLNYKPINPYGGGINDTAMFWGEKLHNDLLMDAGKHGNVQAEILLRKDSRTFIFDKRWAFPRRVYFNEAYDSLDPNGNITIKWDVMQWTPDGYVAVVTVYNYQQFRHIGEPGWQLGWTWAKHEVIWSMFGAQATEQGDCSKFRGNLPHSCKKSPTIVDLLPDAPYNMQISNCCKGGVLSTFNQDPANAAASFQISVGLAGTSQKTVKLPEKFTLKTPGPGYTCGRAMVRRPTVFYTKDGRRATRAEMTWDVVCTYSQFLAQKTPSCCVSLSSFYKNTTVDCPTCSCGCQNANGTNCKKDSSPTQRPGKWTGQPLVQCTSHMCPVRINWHVEQDNKDYWKVKISIANFNFNMNYAQWNLVVQHPNFDNITQLAGLNYKPIVPYGGGINDVAMFWGEKHHNDFLMDAGKHGHVQGEILLRKDSKTFTLDKGWAFPRRVCFNGDNCVMPPPEAYPSMPHAKL >Et_8B_060327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9772213:9772903:1 gene:Et_8B_060327 transcript:Et_8B_060327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEDEDHPSILDNDALASLKAAFLRRADEGTGSTKVDTLRISYTPDDARIARQADRIIAAARLRRSRRRRARVPEHPQLRYRFGPRRHLPAAAQGPRDGRGPSMSSRPPAPASLLQTSPSIPRSTCTAQHVRVHPGLRVVHGEGASAAPPDLAPSVRTPGSIVLTWNGGFHCRKMKECQALMMQMLGGLLPELSIVGWCRPCSKVRVTSNSNTSSVSFLEL >Et_3A_025918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3434414:3434760:-1 gene:Et_3A_025918 transcript:Et_3A_025918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TQTMDMVLSAVVGELVSRSISFIIENPKLISNDKISRLQLLLLPTGTVVEESDGRAASPTVASFCNLSSLREAMYWGYYTMDNPRQMVLSAKQDGEPRKSSTRDGQYGSHS >Et_6B_049798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12598149:12602691:-1 gene:Et_6B_049798 transcript:Et_6B_049798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINFVGYNSRIKRRYFHHTEDTFLDHPELVDLALPSLDARQAILASAVPELAAARAIAEWGRPASDVTHLVFATYSGAHMPGADLRLASLLGLRRAAQRTMLYLGGCASGSAALRVAKDAAENDSRGAGARVLVVCADLSLVLFRAPRAGRLDTLVMQALFGDGAAAVVVGAGAGAGGVERPLFEMVSASQTTIPDSEDAAAGHLGEGGLAFRPSPKMPALVRQHVEGCLVDAVAPLGIGGDWNDLFWAVHPGGPVILDAVEDELALAPAKLAASRHVLAEYGNMSGASIIFVLDELRRRREELDGGGFGVMLGLGPGITVETMAPSPAAAAASTTFIGSQCGTVSLKNLPTTRASRTAMASGCLMQRGVLYWFTDEPWETQRSMSASKAPGDTMRISSGPTDRSRLRHRRMAPPSGVRRRFAAVTARRRSSSEVLSVSTLSTTLRRCTNRNCGAALWKPDT >Et_3A_025345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29013542:29020124:-1 gene:Et_3A_025345 transcript:Et_3A_025345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSSARMASPPEPGPYMPDLPAVPAWLNKGDNAWQLVAATFVGLQSMPGLVVLYGSIVKKKWAVNSAFMAMYAYASTLIVWVLVGFRMAFGERMLPFWAKAGPALTQDFLVQRAAFPASAHYGKDGALETPRTEPFYAEASLVLFEFEFAAITLVLLAGSLLGRMNIKAWMVFTPLWLLFSYTVGAFSLWGGGFLYQWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWLGWAGFNGGAPYSPNVTASVAVLNTNVSAATSLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVHTWSAMLMGAFAGSVPWFTMMILHKKSTLLMKVDDTLAVFHTHAVAGLLGGVLTGLLATPELCALDSPVPGMRGVFYGAGIGQIGKQLAGALFVTVWNVVVTSAILLCIGLFIPLRMPDDQLMIGDDAAHGEEAYALWGDGEKFDLTRPETTRTGAASGAAREDTVEQRLTSMGARGPGPYAADLPAVPAWLNKGDNAWQLTAATLVGIQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASTLIVWVLVGFRMAFGDRLLPFWGKAGPALAQGFLVGRAAFPATAHYGGDGALVAPRLEPFYPEASLVLFQFQLAAITLVLLAGSLLGRMNIRAWMVFTPLWLLFSYTVCAFSLWGGGFLYHWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRLKSDRERFAPNNILLMIAGGGLLWLGWAGFNGGAPYAPNITASVAVLNTNVRLVHTWAAILMGICGGSLPWVTMMILHKKSELLQKVDDTLAVFHTHAVAGLLGGVLTGLLATPDLTALHSHVPGMRGVLYGGGVAQLGKQLGGALFVVAWNVVVTTAILLGVGRLVPLRMPDEQLKIGDDAAHGEEAYALWGEGERFDVKRHEAARPRPWSNGVREETADNGLTGTGARGITIQL >Et_5B_043301.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:23358889:23360040:1 gene:Et_5B_043301 transcript:Et_5B_043301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVNLVELLQRYLQGRRYLIVLYDVWSWDSWPLLDNAFVKNNNGSRIVITTRIQAVASLADPNCELKLNLLPKEEAWTLFCRKALARLEDRSCPLNLKTCAERIVDKCQGLPLALVALGSLLSYKEMDEHEWELFYNQLRWQLSNNPELSWVASVLNLSYNDLPSYLKNCFLYCGLFPEDYQIERKRLIRLWIAEGFVEDTGTETTLSDIAACYLKELASRSLLQVVKRNEYGRPKRFQMHDLVREISLTISKKVKFATTWDRPSSGSICDGSRRVSVQGSNLMQTARSSAQLRTFIVFVEEVSSSWFRDCYTCFRLLRVLCLRHCHIQQVPDNASNLFNLHYLDLGYTKLKEIPRSIAKLSNLQTLYLKGQILDLPSDMTM >Et_1A_009283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37007077:37010366:-1 gene:Et_1A_009283 transcript:Et_1A_009283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIRVLAFVIISSFFSVVAGQLPGDLFGLGIASRLRTDHNSTKKASTDFGQMVTAAPAAILHPSTPADIAALIRSSASSPAPFPVAPRGQGHSWRGQSLASGGVVVDMRALGRGGHRRGAAARINVSAGGAEPYVDAGGEQLWIDVLRATLKHGLAPRAWTDYLRLTVGGTLSNAGIGGQAFRHGPQIANVHELDVVTGTVRQHKQLQSNLASGTGEMVTCSRDKNSDLFFAALGGLGQFGVITRARIRLERAPKRARWVRLAYSDVVAFTKDQELLISNQASEAGFDYVEGQVQLNRSFAEGPESTPFFSSADLSRLAGLASRTGAGAIYYIEGAMYYNDDTAASLDQKMEALLEQLSFEPGFVFTKDVTYVQFLDRVREEEKVLRSAGIWEVPHPWLNLFIPRSRILDFDAGVFKGLLRDANPVGVILMYPMNKGRWDDRMTAVTPATGDEDDDVFYAVGLLWSALSAGDVDRLERQNEAVLGFCDKAGIGCKQYLPHFASRDGWRQHFGAKWERLVELKANYDPHAILSPGQRIFTSRGEKAGIASAKLAPLPLFLSLEGRRDIALSL >Et_3A_023441.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27808888:27809064:-1 gene:Et_3A_023441 transcript:Et_3A_023441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDNLRVFLVLVIVQVCLLVAMAASAVQGSRFRPVIPACCIRHPDCCRFGAEDVAVP >Et_3A_026055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3946539:3950260:-1 gene:Et_3A_026055 transcript:Et_3A_026055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRIDGSEGTEDTSPLQFVKYFLGIVWLLSNGSLIGKADSIPCRQSLWSNLYHSGCLFDRTLSSRASSAARKDQPSRQGHMSGIAEIIELDKLPEDILHHIHALVPLRDAAHAACVSHRFLRSWRRFPNLAFNWETFGLSMNTGTIDERAKKLADGIHHILGNHSGNGVKTLKIPVHACGNFVTASRLELWLQATVKSGIVELDLDPPRDHGPKYKFPCSLLSCAASSLRTLSLSYCVFQPTLRLGSFINLKSVCLVLVHITEVDLGSLFSCAVSLEKFEISQCDGITFLKIPSNLQLFSFLRVFLCRRLQSIEICAPKISTFLFSGPPMKISIRDSSHLKSITMNGVGYCGMFQYALTKLHLIASNLQDLTLLSSGEAGQSFIATQDPSILKDSNANPLDLRRIPEFHHDALKKVTITGFCSSKSLIELTRQILGNCSSLKCLVLDTTTGYNNTGRCQSMDTNAVKKALEGVEAIEKYIKANVPSTVNLEVLGPCYKCHISTL >Et_9B_064546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16342363:16342926:-1 gene:Et_9B_064546 transcript:Et_9B_064546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNAEKRGKRQVMIRPSSKVIIKFLMVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Et_8A_056810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17131025:17138623:1 gene:Et_8A_056810 transcript:Et_8A_056810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETREHLTEYERRRLENIKRNEAVLSELRRDAADLSASFAASRPKKQPRAAPTVPAWPPRRSGRARPQPPSSSEEASLPSAHLLKPRPAHFPIPDVFVGQTVTDISAPLTSAILAASWPPPPEAGARAYLGLKPGEDLVLKRSNVRRLVDTQILVARVLPLADRTVMAAGTRLGHLVFWDADRPAPARVHWGSVVANGVFKYRPHTGAVGGITAHPSAPRKIYSCTHDGVMCLMDVEKEIFSTIHLCDDSAFSLCQAPDHASCLYFGEGSGVLKTFDERVGKVSSKWQLHGGRISSIDFNPENTYMLATSSVDLTACLWDLRNMKSRKPEKLKVVKHKAYVQAACFSPTGSFLATTSRDNTIGILNIRNFDISCFQQHVCHSTTFKYAFTSHLFLTLEFEILFIYVEASWGWNDSDLLLGTQGGIQIISVDLKDDKVFTSCKARIESEHLTEAPCQFDVHPYRVGHLTCTGRRKHLTEYERRRLENIERNEALLGELRRDAADLSASVAASRPNKRGRPKGKKQPRAAPTVPAAPPRRSGRARLQPPSSSEDASLPSAHLLKPRAAHFPIADVFVGQTVTDVSAPLTSAILAASWPPPKGVVRAGTGLDPGEDLVLKRSNVRRLERCQIEVARVLPLADRTVVVAGTRLGHLVFWDADGPVPARMLWGSVVAKDVFKYRPHTGAVWGITAHPSAPRKIYSCTHKGDMCLMDVEKEIFSTIHMCNDHAFSLCQAPDHASCLYFGEGSGVLKTFDERVGKVSSKWQLHGGRITSIDFNPENACMLATSSVDSTACLWDLRNMKTLEPEKLKAVKHKARVQAAYFSPRGSFLATTSHDNTVGILNTDNFEISCFQEHICHSTTFKASWGWNDSDLLLGTQGDIQIISVDLKDDKISTSCRARIQSEHLTEAPCQFGVHPYRAGHLTCIGLRKVFLWTPEQDGEQKNCPASWGIGCPGMHVLKAPGLLYSVFYGN >Et_7A_050872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11949180:11951115:1 gene:Et_7A_050872 transcript:Et_7A_050872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMSKLSGAALQVGLTALLALVLPAYYVYKLTTYFLGAVFPEDVAGKVVLITGASSGIGEHLAYEYAKRGAYLALVARREMSLREVGDRALALGSPGVLVLPADVSKPDQCEKFIDDTIRYFGRLDHLVNNASIWQVCKFEEVEDVNNFRELMDINFWGHVYPTQLAIPHLRKTHGRIVGVTSNSSYIFIGRNTFYNASKAAALNFYDTLRMELRGDIRITEVVPGVVESEITKGKILTKEGEMKVDQDERDAILGPTPAERVGDFARTVVRDVCRGARYVFEPRWYMGVYLLRACLPEVLAWNSRLLTVDRVGASSTDTLGKWLVDLPGVRRVVQPPSLRSPEIKEL >Et_3A_026069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:458925:462297:-1 gene:Et_3A_026069 transcript:Et_3A_026069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVDRFDRASTATLATRTSLYSLHHHHSLSLSREERGITAHFCIPFPPSSSNQTTTSAPSPPVHVLLPPPAMSPPVEHNYIGLAPPEDLKGTELRLGLPGSQSPDRRPAATTTLDLLPPKGAKRVFAGKGKEEDEEEADGDDKKVAAPPQPAAKAQVVGWPPVRSYRKNTMATSQLKISKEEPDAKQAQGFLYVKVSMDGAPYLRKIDLKTYKNYKELSLGLEKMFVGFSIGRSGSNATSGKEGVSESRNDGEFVLTYEDKDGDWMLVGDVPWQMFTDSCRRLRIMKGSDAIGLAPRAGDKSKNKN >Et_1B_011439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22136987:22140269:-1 gene:Et_1B_011439 transcript:Et_1B_011439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFIFMLIPVLGGLMPLAAALEFCDNLKLVAATLPKNTSLSPVHFATATVGQAPNVVYALALCRGDVLNDTVCTKCVVDLFERMKPSPEQAECYGTASYYDSPCILRFSGENFMVPINITENYTFLERWNIKNITGDARFMAGQIRQLLVDTMDAASRSAPRRFATGVMDSGPTFPSVYSLAQCTPDLSSGECSACLRRLLGMVNASMSLRMGGQLHVIRCSFRYEASRFYDGEPMLRLGLPSAPELSPAAPTKPMKGRSRMTKLLAIVLTPIVAAVCFCFIVYFCWLKKGKVRQQWRRRTHNFQGDDEELAAWGLEGKNSEFTIFEFSQVLEATNNFSEENKLGQGGFGAVYKGKFSEGLDAAVKRLASHSGQGFTEFKNEVQVIAKLQHRNLVRLLGCCSEEDEKILVYEYLENKSLDFFIFDENKRLLLDWNKLRTIIEGIAHGLLYLHRHSRLRVIHRDLKPNNILLDREMIPKISDFGLAKIYSTTNTEGNTTRRVVGTYGYMAPEYASDGLFSIKSDVFSFGVLMLEIISGKRNSGRHQCGDFINLLGYAWTLWEEGKWDELVDASLIPMSHSAEVMRCINIALLCVQENAADRPTMSDVTAMLSNDTMIMDKPKHPAFFYARAANEEMPAATKAWSVNDVTISALSAR >Et_2A_018392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26224924:26226341:-1 gene:Et_2A_018392 transcript:Et_2A_018392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSYRAMHPYTHPYHQQHHHAASVPSKQHGEVDNDDDQSLLRLSLWPPGNHHHHHHHGSMAASPPCSSGPSIISSTTSATTSMAAASSYPCWLNQQGQYSHGLVGSSSFLFHEHQEPDVSISLSIAPPRGNNAAACGGGGGGFAASTVTTGGGMSQQQQQQVPSQYWIPSAAEILVGSTQFSCAVCNKTFNRFNNMQMHMWGHGSQYRKGSESLRGAITAATAAAPPASLMRLPCYCCAEGCRNNIDHPRARPLKDFRTLQTHYRRKHGARPYACRRCGKRFAVRGDWRTHEKNCGKLWFCVCGLEF >Et_3A_024295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19247317:19268275:1 gene:Et_3A_024295 transcript:Et_3A_024295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEREAALLARVAANHLFLAQFEPLRATLLSLRRRADPELAAGFLRAVVAAGGRVSGVLWSAPPACPSPSHLAWLAALELAALPSTPNPEALRLKAEFLILLQPIADDPANGPEARGTLARLLDLGVARLRREVEGGGEVGAGAEDALVTEEDLRELWGVFLDNALVFDALCVGSISRQIGLDAGFGTDVLLSLRQNVQLAHLDAVKSLVAAGDLEGAVGHLRFLCLGHGVEEDEYKVVLSELVRKTWVKASNYGGTWLESRDRVIQLFGAGLQSNSPQLVQAIQLILDDILSEEIKDHGAPDADWTPLPFKKFLETLLLEEDTDSDDSGLLDAAIISCKKDLYHYSRISGKHVLEVVMETALSLVKREQLEEAVNVVSLFPLLQPLVAVLGWDILKGKTALRRKLMHLFWTSKSQALRLQEYSNYRSQTDEVLFDVVPEIKFQDAIELVGMQPLPSTTSAWKRIHDIELMHMRYALQSVALALGEMEKSTEDGNECHYHIAVTYLREMQNFMEAINSSPRKVFMVSIVLSLLHMDECAKLSQAVPSESSSTHECHDSNNESEGKNVVTSFVGLLLDILRHNLLLKGADMDHLSSANLSPAVRQALEWRLKHAQSSIEDLDWRLSVLQRLPPLAERQWSWKEALVLLRAAPSKLLNVCMQRANYDIGEEAVQRFSLPAEDKASLELAEWVAGAYKKTLVEDAVNRATDTTNTTQELDILSFRAQLGSLVTILLCIDVAATSAKSGDMCRFLLDEATSLLSEIFPGSSPKIGPEYWDQIQEVAIISVIKRILQRLHDIVDLEAHPHLQLVFTEMGISLSTESSRAGQKQRPLGLLHQMIDDAFKGKRQFLSGKLHNVARAIVDEDTDSVYLKDGVKLEKKDALSSEKGVVLGYGLRILKQASSDIAASNVLEGSTENKSSANRYLSPVSTKPSTYLSNFIIYIATIGDIVDGTDTTHDFNYFSLVYEWPKDLLTRLVFERGSTDAAAKVADTMGVDFVHEIISACVPPVFPPRTGQGWACIPVLPILSLSDINSENRSCTIPKCLPPSQGWSSHDFSSSSHQEPLYPLQLNLVKHLAQLSSVRAVLACVFGSSILSGDNESSPSYVNDATQAPEIERSFYEFALEQSERYPTLNRWIQMQSNLHRVSESSVTAKTRNELSLHQSKGKFSMKRAREPDSDAESELEDIVISGKTTSSSLESPKHEDSILEPTTFISFDWENEGPYEKAVERLINEGKVTDALAVSDRCLRNGASDRLLQLLIEQKKERSVGSRQTRGHGSRDFGSDTWQYCLRLRDKNLASQLALKYLHSWDLDAANNVLTMCICHLSENDPLRSKVLHMKQSLQRYGHIMSADDQYTRWQEVEVDCEDDPEGLALRLAAKGAVSAALEVAESASLSIDLRRELQGRQLVKLLTTDPLNGGGPASASRFLSSLRDSNDALPVAIGAMKLLPDLRSKQLLVHFFLKRTVGNLSDTEVARLNSWALGLRVLSLLPLPSQQRCSSLHEHPQLILEVLLMMKQLQSASLILKELPSLRDDRLIISYAKKAICVNVSSTPREPRLSISGSRAKSKKPATPVKPNFVQSLGNLQREARKAFSWVPRDIGTKTPPKDVLRKRKSSGSGGERSWEAMPGVQEERTPVYPSEGQERLPFVSAPEEWVLTGDPIKDDATRACHRYETSPDITLFKALLSLCSDELVAAKGALELCIVQMKVVLSSRQLPLDASMDNIARAYHATETYVQALSYAKSLLKKNSVGNDLSSGSERSRDIDGSSADTGSSSTGSQYLDELSDLLGQADMWLGRAELLQSLLGSGIIASLDDIADKESSTSLRDRLVSDERYSMAVYTCKKCKIDAFPVWLAWGHALVRTEHYAQSRVKFKQALQQYKGDATHVVIDIINTIEGGPPVDVSSVRSMYEHLAKSAVTIFDDSLSADAYLNVLYMPSTFPRSERFRQSRDSIDNQFASAGSYPEDGPRSNLDSVRYAECIHYLQEYARPQMLAFMFRHGHYAEACSLFFPSSQPTTEGETSLSLIPHSDPLTTDYGTVDDLCDLCLGYGAMSVLENTISSIMQSPTYHETAVIQYMNTVLTRICNYCETHRHFNYLYNFLVLKGDHVASGRCCIQLFMNSMSQEEALGHLGHAKLLVMQTHFEEALSLRDRTIEATKLVSRTARNKGASEKMTREMIMKFCTRVSYQMDVVKALNSTDGPQWKTSLFGNPTDPETMRRRCMVVETLAEKHFDLAFRMLHEFDLPAADIYAGVAASLAERKKGGQLTEFLKNIRGTIDDDEWDQVLGAAINVYANKHKERPDRLIDMLISNHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >Et_3A_024891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25083140:25084686:-1 gene:Et_3A_024891 transcript:Et_3A_024891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SWPPGKVVSARARALPIYLSPRREADGNICANASHFTTPCLLIANSQSVTCSRLIVVRAMSQNAALSSSSSKRASSLALEREERRRPFTDPIEIPARADGDERAASRREWEDHPGDDGEVVPPHVLLARRRAAFSMCSGQGRTLKGRDLRRDEEFQESDILWPDAAQVLELPQLYYYSHADTDDDDEHRPRRQQIGQKASSPIDIPGRKVSAAAGGEARPGGLSRLGASSGGGSVMIGSHVLVPPHVIVDRRAKRDKALMVFVVPSRRARKIRQQF >Et_2A_016019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20232698:20234958:1 gene:Et_2A_016019 transcript:Et_2A_016019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRLILLAAVAVQLLLLPVVRSGRVFSVADYGAAGDGSRYDTAAIQTAVDACAAAGGGRVLLPAPGDYLTATIHLRSGVVLEVPPGARLLGGTRQQDYPREPDRWYVVLAENTTGAGVTGGGEINGQSGAFVVTPNEKKNIMVSWNATGDCKGDECRPRLVGFIDSKDVRIHDITLNQPAYWCLHLVRCDNSVIHNVSIFGDWNTPNNDGIDITDSNNTVISDCHIDTGDDALCPKSSEGPVYNLTATNCWIRTKSCAIKFGSASFFNFKRLVFDNITIVDSHRGLGMQIRDGGNVSDVVFSNIRMSTRYYHPSWWGRAEPIYITTCPRHPNSKEGTISNIEFINITSVSENGVFLAGSKHGLLRNLKFRNVDLTYKRWTNYTGGLYDYRPGCEKMVEHRTGGMMLEHISGLEIDNVRMRWSRGSLKGWDVNPLLFRPSTIDNLSFHDWQSVDIQ >Et_9A_062677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3033527:3035477:1 gene:Et_9A_062677 transcript:Et_9A_062677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSSSSSKPVKLYGWAVSPFVARALLALEEAGVDYDLVPMNPDAGDHRRPDHLARNPFGQVPVLEDGDLTLFESRAIASHVIRKHKPELLGVGDLERSAAVDMWLEVEAHQFHPPALAIVTECIAAPLRGRVRDQAVVDENVGKLRAVLLVYEARLGCHHYLAGDDVSLADLNHFTLMYYFMSTEYGAALVGPFPRVKEWWEELAARPAARKLQSALPIPPFAPPTRL >Et_9B_064461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15431099:15431652:1 gene:Et_9B_064461 transcript:Et_9B_064461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSMLLFSVSLVLVLLLVETTAPQGQAHAIDCGVSCAYRCSKSGRPKMCLRACNTCCQRCGCVPPGTSGNEDVCPCYANMTTKNGRHKCP >Et_9B_065607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7973239:7973689:1 gene:Et_9B_065607 transcript:Et_9B_065607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSGSWMMNLCGSPVCFNKPVATCVLKEIFNSSTCMNHLLVIGITVLLVIIFSLHLLVRVINRRGTAQELVALNSPLHLAVVLFHGCLGLVYLGLGLWMLWNSFN >Et_4A_033714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26131171:26134963:1 gene:Et_4A_033714 transcript:Et_4A_033714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNFSPAVAVLLSGLLILGTTEPSEAVCTYACVQGTYITCKNKDGNLTGCACPCAPAGGQGCLVHSANGTTLESIMMINNAGLVVLGEIAATAEAACAVQCIQGGHITCENYPYQKLEGCDCQCAPKDGKNCVLHLQHGPPFNCPPPEQA >Et_6B_048310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11219034:11219282:1 gene:Et_6B_048310 transcript:Et_6B_048310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVYDLLTDELNSGLHAISIVAKTAKTPQKNPDLDCLESKHSLVFQNKLIVAVSCNHKLIAKTLE >Et_9B_065552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6735781:6742164:-1 gene:Et_9B_065552 transcript:Et_9B_065552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKARPEAGDYTIFMGLDKHENEDLIKYGFPEDIWFHVDKMSSAHVYVRLNKGQTMDDISEGLLEDCAQLVKANSIQGNKVNNIDVVYTPWYNLKKTPSMEVGQVGFHNPKLVQTVKVEKRINEIVNRLNKTKVERKPDLKAEREAVSAAEKAERKAQLRDKRRKEEMERLEKEKQAEIRSYKGLMVQEKMTSNKQIASGSKTLQELEEDFMTLREGGGLKQRLVGGAAEEGPWARKGAEEGTPIN >Et_3B_030038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3007722:3010801:-1 gene:Et_3B_030038 transcript:Et_3B_030038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSATREVLMLEAPPADPAAAPWRAPPDAETVDALPYIDGDYGNPAVKHEVDRLVEEEMRRGKRKPADFLRDLPPNHPILAKEYERVRAGRPPFMLDMSRYGLEPPPPNKQNDVGAWKQALRNAQSQLQHQITRIENLELMLKYGVEVWKLKNRQMESVLSRMQKMAVEYNERIETVNRERKFHQQNTGGELHALTTEWQELCQKNIAIEAACAELQNHIDQLKLEAKELGMPMDDNAETNPKAS >Et_10A_000648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14416198:14418345:1 gene:Et_10A_000648 transcript:Et_10A_000648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNKVREPPRRACLPLMASGAATDSSEYNLHKYLLLLATLVATVTYTAGFNPPGGVWQDTDATTGRLAADPIIRDTSYRRYRAFYYTNATAFASSLVVIMLILILAVLHEKKKAGPAPLLILRSVMLLDFDLRLIKFTILLEQENKRIPHRLYGIIILSLFGLLGAYSARSCREIDTTIYVNALIFAVLMCIGLQLLMLRYFEPQMNCLGEILEGIHRRVLSLTPRSETRLRSVRYRHCPTHFLVLPLQIIGTKRAQALEKARSLVLLLATLAATITYQPGLDPPGGLCEEHGDGYMAGDPILLTTKPRRYKAFFYCNSIAFVASLVTIILVRSKTLHQHTSLEAAMILDLLGHIGTYAAGSCRDVKTSIYAMGLAGAVLVYVVIHVVFFTLDDEDIAGKPKDDSDSVEKKRKRLLLFAILAATITYQAGLTPPGGFRVKDDASGHHAGDPVLLYNFPRRYLAFFYSNSVSFMLSIALIILLINKNLYRPAIRTHALSICTAAGMFSLVGAYAAGSTQHLKTSFYVFALAAFVLISVAVLVGVLLYIQKRQQVEARNSQENGTSIEEGNMETGTQQQSAPPEIQVEAINSPGKGTADEKGRNKEEQQQSAPAEPQV >Et_4B_037323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18340876:18346057:1 gene:Et_4B_037323 transcript:Et_4B_037323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKMSAAAPPSRSWSNVGGGSIRELRAQHKMDLENLTITKQPLRTLHFFMLAMLRYLKRFATYIHSKGVWLVLLIVLVVAPAITLFVSDGLHKKHVQEFLNYAKFVLWWVSLGSGLHTFVLYLGPHIALFTIKAVQCGRVDLKMAPYDTIQLKAGPSWLDKKCSEFGAPVYPASAHSVRIPVFDLLPQIQLEAVLWGIGTALGELPPYFISRAARLSGSESKAVKELDSAASKEDGRVASTLNQTKRWLLSHSQHLNFFTILILASVPNPLFDLAGIMCGQFGVPFWEFFFATMIGKAIIKTHIQTLFIVSLCNNQLLYLMEKELIWIFGHIPGFSATLPSVIAKLHAAKEKYLSPPAPVSSSSQVEDKQWNFSFTLVWNTIVWLVLVNFFVKIITSTAQDYLKKQQDMEMELLTNSSPPGHSETN >Et_1B_014042.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:28463472:28463696:-1 gene:Et_1B_014042 transcript:Et_1B_014042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDDAWRLHSSGSTHLHDLENSMMKMISIVLADDKIDMGITGDSALVFDLASSSFFTTKFQGEMAFDGEVKLA >Et_4A_034254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31187638:31193361:1 gene:Et_4A_034254 transcript:Et_4A_034254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARLGAQSSHLYGGGLVELDMNRREKRVFGWDLNDWSWDSERFVATPVPSSVANGSALNSSPSSSEEAEAEAARNGAVRGESDKRKRVVVIDDDGTEDQDLMGNSSGALSLRIGGDSVGAGTMENDGVNEEERNGKKIKVQGGSSSGPACQVEGCGADLTAAKDYHRRHKVCEMHAKASTAVVGNTVQRFCQQCSRFHLLQEFDEWKRSCRRRLAGHNRRRRKTRPDIGLGGAASIEDKVSNYLLLSLLDLVVSSYLGGDMTLETVLAAGNSEHSSGQELLSNLLRNLGTVAKSLEPKELSKLLEACQSLQNGSNAGISGTANALVNNSAAEAAGPSNSKPLFMNGNQCGQTSSSAMPIQSKAAMAAIPEPRACKVKDFDLNDTCDDMEGFENGEEGSPTPAFMTADSPNCPSWMQQDSTQSPPQTSGNSDSTSTQSLSSSNGDAQCRTDKIVFKLFEKVPSDLPPVLRSQILGWLSSSPTDIESYIRPGCVILTVYLRLVESSWRELSDNMSSHLDKLLNSSSDNFWASGLVLVMVRHQLAFMYNGRVMLDRPLAPSSYHYCRILCIKPVAAPYSAAVNFKVEGINLINASSRVICSFEGRYLFQEDTAIVADDAELEDRDTECLSFCCPLPDVDDVARSQALEFLNELGWLLHRANSTSKHDKTEPSLATFNLWRFRNLGIFAMEREWCAVMKMLLDFLFIGLVDVGSQSPEEVVLSENLLHIAVRRKSVQMVRFLLRYKPNKNSKGTAPMHLFRPDALGPSTITPLHIAASTGDAEDVLDALTDDPGLVGISAWKHAQDGTGFTPEDYARQRGNDAYLNLVQKKIDKHLSKGHVVLGVPSSMCPVTNDGVKPGNVSLEICRSMPMAKCHLCSRQALKYPRSAVRTFLYRPAMLTMMGVAVVCVCVGILLHTMPKVYAAPTFRWELLERGAM >Et_2B_021333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28756360:28758144:-1 gene:Et_2B_021333 transcript:Et_2B_021333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGKKWKGKRYQLLAAIFYVLRMRRKYCIMTVHVQYVIKCFQKVMKSAYRSVMFYIGQKELEMQYKMNQVVGQCRQRIELMQGKFTEKLEELHTAYKKTGQKCQLMEQEIKNLTKEKQELQEKFAEKSRQKRKLDEMYDKLTEYDSLKRSAIQPANNLFPRAQPDLFSGMPNMMDNSNHLRQGLVFTPETPVRRDEMWAPAPRQRLPNSDTFEISGGSGHMGAPPVDARPRKPAGSVFGAATNNPSAALRNMLISPVKRPQLSRNRTNMFT >Et_10B_003220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17962908:17964742:1 gene:Et_10B_003220 transcript:Et_10B_003220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDLSVAAALQIVLLLVGAASGLQIPHYDASIHKSTPDTRFGYPPGKVVVIDLGNTNSCVAGYGPPGDGATAADVFQFCIPSWVAFTGDGALVGEAAKNHAVDASPENTIFGYKRLLGMRRNHESEEEIVQRLISRAPYKIGARNVVRPVVEVNSNDGGEVEQVDITKVASVVVAALKAAAEPRLGREVRHAVVTVPQHFGVPATMAAMDACKHARLEVEDTVPEPVAVAVAYGLHRKLREDGNALVLRVGGGTADVSVVTLWDGSLEVLGYRDDPFLGGDDFDQRIVDYFVKLIKTKHGKDISEDTAALSKLRTACERAKKALSSQDRVQVSVESLVDGVDFSEPLLRSQFEELNDDLFRKVVALVEKAMVQAKLGKNKIDEIVLVGGSTMIPKIQKLVKVYFDGKEPNIRVKPDEAIALGAAVLTKYELLNPPFALGALFLLDTVLKQSSTLSSRRWSFCSPLLRWPQIGEDEVD >Et_10A_001489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:446608:448693:1 gene:Et_10A_001489 transcript:Et_10A_001489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQQSGRKRVPALGWWLMLVGSLRLASVWFGFFDIWALRLAVFSQVQMTDVHGRTFGVWTLLTCTLCFLCALNLENRPLYLATFLSFIYALGHFLTEYLIYNTMAVANLGTVGFFAGTSIVWMLLQWNAHGNPRCSHAVKQS >Et_6A_047416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5348113:5348890:-1 gene:Et_6A_047416 transcript:Et_6A_047416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGRTGFLSSSNFSRSSRDQDASFVVADLVATYHEPMDPLSNNHGWREFDIHVLWSVSCKWMVFKNLHVRDANGGRDLYWWSTDAVVPYRSRFLLWVDYYRGVIFADMADPEKKPHLRYVPLPVNPPEKYFGSIEWDFGGERECPKASRSLCATSSGIKFVSVDHHGSSNFGVGYWKWTCTFRITTWSLREDGVTWRKDARLYAEDLWALDPKNRFPHVQPKFPVINMENPDAICFVADDDLIEYAWLRYT >Et_5A_042644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23965738:23973120:-1 gene:Et_5A_042644 transcript:Et_5A_042644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTHSLPILVAFLLLVNVQAQSKPLLINCGSGSITDAGGRRWVGDSHPDGSANFTLTLPGAIAPAPEADGEEAAYGDLYRTARVFNASSSYKLSVAAGSYFLRLHFSQLFSSLSAKESVFDVTANGLKLLTKFNVPGEIELRNSKINSSSDVIVKEYLLNVTSGKLEVEFAPDAGSFAFINAMEVVPVPGNSIFDSVNKVGGVGVKGPFSLGDSGVETMYRVCVGGGKIERKEDPRLWRKWDSDEHYIFSLNAAHTVMNSSNISYVKSDDSTSAPLRLYETARVTTETFVVDKKFNVSWSFNVDPGFEYLVRLHFCELEYEKAEQRKFKIYINGKTAAENYDVLARAGGKNKAFHEDFLDADSSQPDTLWVQLGSESSSTGTTAGDALLNGMEIFKVSRNGVLGHPTMKLGGMSGGTVKPKRNPKWVLIGAAAGLVLFVTIAGAVYICFYMRRKKNASDEETKDNPPGFKPLAQHGATAMVKNSRSSPTLRAAGTFGSCRMGRQFSIAEIRAATMNFDESLVIGVGGFGKVYKGEMENGTLVAIKRGHAQSQQGVKEFETEIEMLSRLRHRHLVSLIGYCDEQNEMILVYEHMANGTLRSHLYGSELPALTWKQRLEICIGAARGLHYLHTGLERGIIHRDVKTTNILLDDNFVAKMADFGISKDGPPLDHTHVSTAVKGSFGYLDPEYFRRQQLTQSSDVYSFGVVLFEVLCGRPVINPTLPRDQINLAEWALKWKRQNLLETIIDPRLNGNYTPESIKQFSGIAEKCLADEGRSRPSMGEVLWHLESALQLHQGHLQNLCADDLSRPELELFVASTNIGCIEEVEESAHAKPQGSDGEAVDVKIEVVKVRLTWAVGVQ >Et_2A_018809.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:874210:876768:-1 gene:Et_2A_018809 transcript:Et_2A_018809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGTFPLALLAALAALTSVAGQGRPVVDDGAQTPPTPSSFTPKDSFLIDCGSAEAVNTSDGKSYKTDAQANHLLAAKDAFRVADDKADVPSPIYRTARVFKEEAVYSFPLAVPGWHFIRLYLYPLKGGDVGDLASATFSVVTDANVLLHSFTADAKPVMKEYLVNATENHLALKFQPLKGSAAFINAIEVVNAPDELVPDTALSVAPTLGPVSGLSESAYQVVYRVNVGGPAIGAGNDTLGRRWDGDGAYVQDKAAVTDVSVPTGTVKYPDGASRLVAPTLVYASAAHMADADVKSPNFNLTWRMDVDASFGYLVRLFFADVVSDSLNDLYFNVYVNGRKAISGLDLSTVTGDLAGAYYKDFVVNSSSPASADRITVQVAPMGEDTGRVDALLNGIEVLKMSNSVGSLDGEFGVDGKKADDGTGSRKAVAAVGFAMMFGAFAGLGAMVVKWYKRPQDWERRNSFSSWLLPIHTGQSFTKGGSHGSGNTFSSTMGLGRFFSFAEIQAATKNFDENAVIGVGGFGNVYIGEIDDGVKVAVKRGSAESEQGINEFNTEIQMLSKLRHRHLVSLIGYCDENSEMILVYEYMHNGVFRDHIYGGKPDAPPLSWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDENFVAKVSDFGLSKDGPGMNQLHVSTAVKGSFGYLDPEYFRCQQLTDKSDVYSFGVVLLEALCARPPIDPQLPREQVSLAEWGMQWKRKGLIEKIMDPKLAGTVNQESLNKFAETAEKCLAEFGSDRISMGDVLWNLEYALQMQDANPPEGAPKDGDDQDSSVDGASVATISTEGSSSVVTSVPDTSTTAAGELFQQLADMKGR >Et_4A_032302.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2889869:2890021:1 gene:Et_4A_032302 transcript:Et_4A_032302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHRPLLPPPLPRLPPDTSLARLLPPLVSQQSRILPRTVISPSQHPP >Et_4B_036647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10082030:10084662:1 gene:Et_4B_036647 transcript:Et_4B_036647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQRIADAVQAYTGLSPAAGATILALMLATYLLVSSLFVAPAPASAPPKPPQPEKKDEEEKEKEKEQEEEPAMPFVYPDPVEVGEITLEQLRAYDGKDPAKQILIAIRGQVYDVSRGRIFYGPQGSYSLFAGRDATRALALMSFDPNDLTGDLEGLSPDDLEVLQDWEEKFKERYPRVGHLATDSNQKAAQLEHEGESS >Et_1A_005940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15809481:15813012:-1 gene:Et_1A_005940 transcript:Et_1A_005940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGRKIGSGSFGELYLGVNIQNGEEVGIKLEPVKTKHPQLHYESKVYMLLQGGNGIPHLKWYGVEGEYNVMVIDLLGPSLEDMFNCCNRKFSMKTVLMLADQLINRVEYMHSKGFIHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYKDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESVGYLLLYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTSAEVLCKTYPSEFISYFHYCRSLRFEDRPDYSFLKKLFRDVFIREGYQFDYVFDWTALKYPQMSSNNKLGRQPSAQMVGAGPSVERTDRASAGQEIRDRFTGAVEAFARRNTGSGRHGDHSRHKSLADSFGTSNEAVAADSEKTRIMSRTGSTSKMAAAPSSRPTSSGDHSDYNRNSRLVSSSGSGSARPSTAQRLHHSGGVENSSKSSPRSPVAGNVPPGRTSGSGSSSRDNTTFRSLERLSISTGRRK >Et_2B_019991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1592816:1596122:-1 gene:Et_2B_019991 transcript:Et_2B_019991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQSVRPIEVLMEKVLSVNILSSDYFKELYRFKTYHEVVDEIYNQVDHVEPWMTGNCRGPSSAFCLLYKLFTMKVTVNQMHGLLKHPDSPYIRAIGFLYLRYVAEPKTLWTWYEPYIKDDEEFSPGSNRKMTTMGVYVRDLLLGQYYFDSLLPRVPLPILRQVTGHLEKLKLPTKQSGMTGDSNRHESNDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRRTLTSKRERERSSDGGRARSPKKHQSREHDRDSERDRSDRDRGRYRDRENGRHAHDRKDQDHHRSSYSDRDGERRDRARRDMDSDQNRHSSTRRSRSRSPAHGRTESDKPRSSPFGRVPEASNLAKLKDLYGDATNTKNDAGDDRPHRDSGTEEVIRLGAARWR >Et_4A_034542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4149177:4149820:-1 gene:Et_4A_034542 transcript:Et_4A_034542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKQREEAERRRKQEEDRLAYEAREAERERMRERARRARAAGSDAFRKGKYPRCTQ >Et_7B_053421.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:18160285:18160515:1 gene:Et_7B_053421 transcript:Et_7B_053421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKALVLGALLLAFAAAITDAQNCGSEGCANNLCCSQYGYCGLGGDYCGNGCQSGPCYNSANLGAEQINFPPPPAS >Et_6A_048190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9155752:9160597:1 gene:Et_6A_048190 transcript:Et_6A_048190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGHEEVIIDLENDSDENECKSKCPRTSRRTTATRRGNSKNALPSFYNNLPQNSSRNASSRRNKDNQDKLDTDIFESYLDGHWRLLVLCHFDETDCSETKKGPRMIVLDSLNSRDPALQSVIRKFIFDIYKTEEREESKQFINKIRLEFPKNENLAEVLGNKRLEEDFSELFEDGWFNPEELENFRKDIHSFQEYGMMQAVIQGWNGGAGA >Et_1B_012128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29243770:29247541:1 gene:Et_1B_012128 transcript:Et_1B_012128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMVSFTSKPWPRIVPASRHSRIACKPGVADPDVHVPCFRFVPQQPADHPAQGGHGHVAGRAQVVSNINACTAVWDTLCTTLGPRGMDKLIPDDKGGATISNDGATIMRLLDIVHPAAKILVDIAKSQDSEVSVLHFCDAFQALVVAVVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKAYIRIAVPDFRYVALCFSIYTYVALCCHLYRLSDPLQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLAIDRDIFCAGRVTEEDLQRVAAATGGTVQTSVNNIVDELISLSLYFERYLVLVRYLRRNQVGSDRFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVPGGGAIDMEISKYLRQHARTIAGKSQFFVNSFAKALEVIPRQLCDNAGFDATYVLNKLRQKHASGLF >Et_3A_024013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16074364:16077920:1 gene:Et_3A_024013 transcript:Et_3A_024013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGDDTVYCSALPKFSERRHISPPDLLTPTMLRRSLLSNMEAGDEERPLVHYLHPRDEGSQYTCDGTVDINKQPALKQSTGNWRVCLLILGAEFSESLAFYGVGINLVSYLMSVLHESNVDAAKNVSTWSGTCFFTPLVGAFLADTYWGRYWTVVIFTSFFAFGMLSLTGSAWLPLLLDSSYNENGIHRVAVYLGLYLVAIGAGGVKACISAFGADQFDSTDPVERVRKGSFFNWFFFSINMGSLLSSTVLVWVQENIGWGVGFAIPMVLSVFSLTVFVAGRKVYRYKKLEGSPLTSVSQVVVAAVRNYNLTLPDDYSALHEVPLPNDANCKIEHTCQFRFFDKAAIAVLPSSGEKSTVSRRSPWRLCTVSQVEELKMLLRMCPVWASMVLFFAVTAQKSSTFIEQGMVMDKRVGPFTVPPASLATFHTLTIVVGIPVYDALVAPLARRVTGGGDGRGLSQQLQRLGVGLALSVAAMAYAALVEARRLAPAFAVIGAAEVFTTIGALEFFYDQSPGGMKSLGASLVHLAIAAGSYLNSAVLAAVAAATARGGAAGWIPGDLDEGHLDYFFWLIAALSAVNLLHFLHCSRRYRGNKTAS >Et_9B_065568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7093656:7098956:1 gene:Et_9B_065568 transcript:Et_9B_065568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPRPPPAAAHLGAAASAARMPPLPTRRSVCPPAASASASASAEFPGAVPGGAQMPPRRRRRRSVAGIDQDELLDPDALADPDSSFFEINGVRLHHKICSHEDEESQSASGQSSDATVADVGRNQFGLPVLLLHGFGASVFSWSRVMRPLARIASSKVLAFDRPAFGLTSRTHWSGDDAKPLNPYSMAFSVMATLAFIDYLGAEKAVLVGHSAGCLVAVEAYFEAPERVAALVLVAPAIFAPRKGVKKSGSEEQEAQMQKGSTDENSSQNLFTRIRRGFLELCMCIAGLVSKMVTAIRDVFRSLYLKALVAFLRSTLGVMLIRWIMDKFGILGVRNAWYDPSKVDDNVIQGYTKPLRSKGWERALVEHTISMIIDSASKSRSPVSKRLSEISCPVLVVTGDTDRIVPAWNAERLATAIPGARFEVIKSCGHLPQEERPEEFLSVIERFLRTVFGAPNEQVFEAANRGQSDYEEQKCFKQYVHRCDSTRIDNITTTSWTEAVSPKSETARPKPTSRRSPRCPRPRSKLRPKNRWPSPSPSLASSKNLALQKTDTTTKLWASAVRSLRMSTGSAGSAGAGERRRAQEWEQASMSACRCAGSTRAGSMDTEIGGGALDRGLTGLEREGFGVGEKRASVVRRATLAGAAMADRRGGFWIFFRNATCQRGK >Et_4A_032188.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22768961:22769140:1 gene:Et_4A_032188 transcript:Et_4A_032188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLLPAPVATGTTWETTPLAPWPSTDKALRSARLSCCGGKGAILPMATSSRSRAEQT >Et_7B_053354.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12868524:12868799:-1 gene:Et_7B_053354 transcript:Et_7B_053354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYGPCTSAGARRSTKSVTTPRWLAGSKGSSVPSSVWSIRTMRLYSNLNWVNVPMGQSQIRVIERRIRRVVFEPRLRNWVAVCRDHVEER >Et_4B_038157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26814626:26856337:-1 gene:Et_4B_038157 transcript:Et_4B_038157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETVVLAVNGTRYEAAGVDPSTTLLEFLRTHTPVRGPKLGCGEGGCGACVVLVSKYDPATDEVTEFSASSCLTLLHSVNHCSVTTSEGIGNTKDGYHPVQQRLAGFHASQCGFCTPGMCMSIFSALVKADKDSSRPAPPAGFSKLTASEAEKAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNCFWKKGDEPAEVSKLPGYNSDAVCTFPDFLKSEIKSSVEHANGAPVAVSDDGWYHPKSIQELHRLFDSNWYDENSVKIVASNTGSGVYKDEDLYDKYIDIKGIPELSVINRSSKGVELGSVVTISKAIEVLSDGNLVFRKIADHLNKIASPFIRNTATIGGNIVMAQRLDFASDIATVLLGAASTVTIQVASKRQQLTLEEFLEQPPCDSKTLLLSIFIPDCGSDGITFETFRAAPRPFGNAVSYVNSAFLARTSVDSESGDHCIEDICLAFGAYGVDHATRARKVEELLKGKSMSSSVILEAVRLLKATISPSEDTTHTEYRISLAVSSLFSFLSSLAKDSNAHAKVDTPNGSYPNGTTNRGTKYTTELLKVDSNNLPICSRQEIVFTDEYKPVGKPIKKAGAELQASGEAVYVDDIPAPKDCLYGAFIYSKHPLAYVKGINFKSSLASQKVITVITAKDIPSAGRNLGFSYPGMGDEPLFADPIAEFAGQNIGLVIAETQRYAYMAAKQAVVEYSTENLQPPILTIEDAVQQNSYFQIPPKLAPKPVGDYNRAMSEADQKILSAEVKLESQYYFCMETQVTLAIPDEDNCITVYSSTQIPEVTQNVVAMCLGIPFHNVRVITRRVGGGFGGKTIKGIHVACACAVAAFKLRRPVRMYLDRKTDMIIAGGRHPMKAKYSVGFKSDGKITALHLDLGINAGIALDVSPSMPHAIIGALKKYNWGALAIDTKVCKTNVTSKSAMRGPGDVQGSFIAEAIIEHVASALSVDTNTIRRKNLHDFESLSLFYGDIAGDASTYSLVSIFEKLASSPDYQRRAATVEHFNSSNKWTKRGISCVPITYEVMLRPTPGKVSIMNDGSIAVEVGGIEIGQGLWTKVKQMTAFGLGQLCPDGGECLLEKVRVIQADTLSMVQGGFTGGSTTSEGSCEAVRLSCAALVERLKPIKENMEAKADTVEWSALIAQAIMASINLSAQAYWTPDPTFTGYLNYGAAISEVEIDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGVGFFTNEDYATNTDGLVIHDGTWTYKIPTVDTIPKQFNVEFINSARDQRRVLSSKASGEPPLLLASSVHCAMREAIRAARKEFSVCSGPANSTTTFQMDVPATMPIVKELCGLDVVERYLESVGAALVGNKGMGEAAATATVVLAVNGKRYEAAGVDPSTTLLEFLRTQTPVRGPKLGCGEGGCGACVVLVSKYDPATDEVTEFSASSCLTLLHSVNHCSVTTSEGIGNVRDGYHPVQQRLSGFHASQCGFCTPGMCMSIFSALVKADKDCGRPAPPAGFSKLTASEAEKAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNCFWKKGDEPAEVSKLPGYNSGAVCTFPDFLKSEIKSSVEQANGSAMAVSDDGWYHPKSIQELHRLFDSNWYDENSVKIVASNTGSGVYKDEDLYDKYIDIKGIAELSVINKSSKGVEFGSVVTISKAIEILSDGNLVFRKIADHLNKVASPFVRNTATIGGNIIMAQRRDFPSDIATVLLAAGSTVTIQVASKRQQLTMEEFLEQPPCDLKTLLLSIFIPDWGSDGITFETFRAAPRPFGNAVSYVNSAFLARTSVDAASGDHRIEDICLAFGAYGVDHATRNRKVEELLKGKSVSPSVILEAVRLLKATISPSEGTTHSEYRISVAVSSLFSFLSSLANDSNAHAKVDIPNGSYPNGTTNGSAEYSPELQKVGSNDLPIRSRQEIVFTDEYKPVGKPIKKAGAELQASGEAVYVDDIPAPKDCLYGAFIYSKHPLAYVKGINFKSSLASQKVITVITAKDIPSEGQNIGSSFPGLGDEPLFADPIAEFAGQNIGVVIAETQRYAYMAAKQAVIEYSTENLQPPILTIEDAVQQNSYFQIPPMLAPKPVGDYNQGMSEADHKILSAEVKLESQYYFYMETQVALAIPDEDNCITVYSSTQIPEVTQNVVARCLGIPFHNVRLITRRVGGGFGGKAMKGIHVACACAVAAFKLRRPVRMYLDRKTDMIMAGGRHPMKVKYSVGFKSDGKITALHLDLGINAGISPDVSPCMPLAIIGALKKYNWGALAFDAKVCKTNISSKSAMRGPGDVQGSFIAEAIIEHVASALSVDTNTIRRKSLHDFESLAVFYGDNAGEASTYSLVSIFEKLASSPDYQRRAAMVEHFNSSNKWKKRGISCVPITYAVTLRPTPGKVSIMNDGSIAVEVGGVEIGQGLWTKVKQMTAFGLGQLCPDGGECLLEKVRVIQADTLSMVQGGFTGGSTTSETSCEAVRLSCAALVERLKPIKENLEAKADTVEWSALIAQATMASVNLSAQAYWTPDPTFTGYLNYGAAISEVEVDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFIQGVGFFTNEEYATNADGLVIHDGTWTYKIPTVDTIPKQLNVELINSARDQKRMGKPATVVLAVNGTRHEAAGVDPSTTLLEFLRTQTPVRGPKLGCGEGGCGACVVLVSKYDAAIDEVTEFSASSCLTLLHSVNHCSVTTSEGIGNTKDGYHPVQQRLAGFHASQCGFCTPGMCMSIFSALVKADKDADRPTPPPGFSKLKASEAERAISGNLCRCTGYRPIVDACKSFAADIDLEDLGLNCFWKKGDEPADINKLPGYNSGSVCTFPEFLKSEIKASVDQAAPVAISYGGWYHPKSIEELQSLFDSNWFNEKSVKIVASNTGSGVYKDEDLYDKYIDIKGIPELSVINKNSKGIELGSVVSITKAIEVLSDGNMVFRKIADHLDKVASPFVRNTATIGGNIVMAQRLQFESDIATVLLAAGSSVTIQVASKRQCFTLEEFLEQPPCDSKTLLLSLFIPDWVGKPIMKAGAELQASGEAVFIDDIPAPKDCLYGAFIYSTHPHAHVKSINFKSSLASQKVITVITANDIPNGGENVGSGFLMLGDEALFADPVAEFTGQNIGVVIAETQRYANLAAKQAFIEYSTENLQPPILTIEDAIEKNSYFHIPPFLAPKPAGDFNQGMSEADHKILSAEVKLESQYYFYMETHVALAVPDEDNCITVYSSTQKPEMTQNIMARCIGIPFHNVRVITRRVGGGFGNYGECELISAYWSLDPTFTSYLNYGAAISEVEVDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGVGFFTNEECVTNSDGMVIHDGTWTYKIPTVDTIPKQFNVELINSARDNKRVLSSKGIPELSVINKTSKGIELGSVVSITKAIEVLSDGNVVFRKIAGHLDKVASPFVRNTATIGGNIVMAQRLPFESDIATVLLAAGSTVTIQVSSTRQCLSLEEFLEQPPCDSKTLLLSIFIPHWDSYDITFQTFRAAPRPFGNAVSYVNSAFLARTSVDAASGDHIIKNICLVFGAYGVDHATRARKVEDFLKGKSVSFTIILEAIRLLKETVSPSVGTRHPEYRISLAVSFLFSFLSSLADILNEPAKVIAPNGSFPRVENSLEKFLKVDSNHLPIRSRQEIVFTDEYKPVGKPIKKAGAELQASGEAVFVDDIPAPKDCLYGAFIYSTHPRAHVKSIDFKSSLASQKVITVITAKDIPRGGENVGSGFPMLGDEALFADPVAEFAGQNIGVVIAETQRYAYLAAKQAVVEYSTENLQPPILAIEDAIQQNSYFPIPPFSAPKPVGDFNQGMSEADHKILSAEVKLESQYYFYMETHVALAIPDEDNCITVYSSTQAPEITQSFIARCLGIPFHNVRVITRRVGGGFGGKAMKAANVACACAVAAFKLQRPVRMYLDRKTDMIIAGGRHPMKAKYSVGFKSDGKITAVHLDLGLNAGIAPDLSPMLPTTTIGGFKKYNWGALAFDIKVCKTNMPSKSMFRAPGEAQGSFIAEAIIEHVASVLSVDTNTIRRKNLHDFKSLAVFYGESAGEASTYSLVSIYDKLASSPEYRQRAAMVEHFNSSNRWKKRGISCVPITYDVRLRSTPGKVSIMNDGSIAVEVGGIEIGQGLYTKVKQMTAFGLGQLCLDGGECLLDKVRVIQADSLSLIQGGFTGGSTTSENSCEAVRHSCAALVERLKPIKESLEAKSGTVEWSALIAQASMENVNLSAHAYWSPDPTFTSYLNYGAAISEVEVDVLTGATTILRSDLMYDCGQSLNPAVDLGQVEGAFVQGVGFFTNEECATNSDGLVIHDGTWTYKIPTVDTIPKEFNVELINSAPDQKRVLSSKASGEPPLLLASSVHCAMREAIRAARKEFNVCTGPANSTTTFQMNVPATMPVVKELCGLDVVERYLESRLRFESDIATVLLAAGSTVSIQVASKRLCLTLEEFLQQPPCDSRTLLISIFLQDWDSDNITFETFRAAPRPFGNAISYVNSAFLAMTSVHAASGNHLIEDICLAFGAYGVDSAIRARKVENFLKGKYVTSSVILQAVRLLKEVVSPSNGTTYPEYRISLAVSFLFSFLSSFANRTIAPANTVIPNGNDLPLCSRQEIVFTDEYKPVGKPIKKAGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHPHAHVKSINFKPSLASQKVITVITAKDIPSSGENIGSNFPVLGSGNEALFADPVAEFAGQNIGVVIAETQRYAYMAAKQAVVEYSTENLQPPILTIEDAIQQNSYFQLLPFLVPQTIGDYEKGMSEADHKILSAEVKLESQYYFYMETQVALAIPDEDNCITIYSSTQTPEILQNVVAKCLGIPCHNVRVITRRVGGGFGGKVMKSTHVACACAIAAFKLRRPVRMYLDRKTDMVIAGGRHPMKVKYSVGFKSDGKITAVHIDLGLNAGIALDLSGLMPHGIVGAFKKYNWGALAFDIKICKTNVSPKSAMRAPGDLQGSFIAEAIIEHVASTLSVDTNTIRRKNLHDFKSLAVFYGKSAGEASTYSLVSIFDKLASSPEYQQRVAMVDRFNSSNRWKKRGISCVPITYVVSLRPTPGKVSIMNDGSIAVEVGGVEIGQGLYTKVKQMTAFGLGQLCLDGGECLLDKIRVIQADSLSLIQGGFTGGSSTSEISCEAVRHSCLELVERLKPIKESLEAKGVTVEWSALIAQARMASVNLSAHAYWSPDPTFTSYLNYGAAISEVEVDILTGATTILRSDLIYDCGQSLNPAVDLGQVEGAFIQGVGFFTNEEYATNSDGMVINDGTWTYKIPTVDTIPKQFNVELINNAREQKRVLSSKDPAECRLQ >Et_1B_013434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8353881:8355612:-1 gene:Et_1B_013434 transcript:Et_1B_013434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSTGFRPYGPARLTRVIHLATHRSPIKPKLQPLGFLSSAGFTAHLPAGRAGCAVEVPMAPKAPPKKADGKTQALKVAKAVKSGAAKRKAKKIRTSVTFHRPKTLKKPRDPKYPRVSAPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >Et_2A_018609.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3513942:3514781:-1 gene:Et_2A_018609 transcript:Et_2A_018609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAGSTPTVRQPRQPRGADAEASTTPVFLNVYDVTPANGYARWLGLGVYHSGVQGTSPSPLLSPLAHASSSLPLTNTRRASLLRSAVHGVEYAYGAHDGASSGIFEVVPRRCPGYTFRESVLVGTTDLTRAEVRALMAELAADFPGDAYNLVSRNCNHFCDAACRRLVRRARIPRWVNRLAKIGVVFTCVIPGNGRAVRHRAGGGECPGKQAAAGIRSRSARHEASAPPRTRTFFRSLSVGGRKNVTARSMSTSSPPPPPAPAPATTSTSSSSTSTT >Et_6A_046015.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:18537664:18537765:-1 gene:Et_6A_046015 transcript:Et_6A_046015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLVSEIRDEAGCWIMAGAKRLAGLVGSQISE >Et_7A_053123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7241088:7245476:-1 gene:Et_7A_053123 transcript:Et_7A_053123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFKSKDTNPPAKPQSSGGGGRSSAPSPASDGWDPWAPERRPTYPETPRETRLMIAELASGKLKPTPEILEKLRQYEEEGARRDYERYAWDAARARAQAAGRRGGRETTMPYGYDYGYGYDGEAFQDYARVPRKRARAEAAGASASAAGRGRGLVGTGGLHHEARDKSVRQAKEFVQIDSAGLAKPVLGLGTQLPDRSRFRTKQLPSVHARSQITSEVPEKMIRYKEGLHQDYVNVHQLQQPRQEASAGAMGEGWREHERMMLYEEALQELTEFERLHQASFSATAGVGGLDRVGGVMDQKMTGRLVRQAASVQGMVQALTPYVFSKEFVYAPLLEFVGRYPKWLGANRDKLSKQEYEQYERQLELMVNLTVVYENEPQNFSKIVNIVQKIQESGMPPNDIVADTFPALDLNSMRQRFHLVMKLLTFLDFCCLQTSGVSQCYQLMKLLPRKGRAAKWSRSQI >Et_2A_016160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21665297:21666725:1 gene:Et_2A_016160 transcript:Et_2A_016160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGGGGGKLTRTPSSLLRSPTVRNCSSFQTAVVEDPEPDDKKAQAHACKAPTHLHHHPGAAFLGPAAHPLLVLALPLAFLLLLLLLGGGGGDHRLALLAASAAAALAAAAGAARLLRGRLRLRRSPGSGSVQWFIGDDDDKPQKRDKAGAAAAHGRVVREGVEFYSNGDCYEGEFHKGRCNGSGVYNFFGKGKYEGDWVDGKYDGYGIESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGIGSQTCSDGSSYVGEFKCGVKHGLGSYHFRNGDRYAGEYFGDKIHGFGVYSFANGHCYEGSWHEGKKQGYGMYTFRNGDKRSGDWDSGTLKTPLPPADPAVQRAVQAAQRAAENAFHLPRVDEQVHKAVMAANRAATAARVAAIKAVQNRMDGKFCDTYV >Et_2A_018087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1039688:1040799:1 gene:Et_2A_018087 transcript:Et_2A_018087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEARHRRVYEGLHGRGSFPCQAAAPRELRRSCERKKLWKRGYGADAMGPVLEQVKELGGIRTTNAPPPFPCRLPLRSWEVFLLDGLNGFFTHDRLMELIDEYGPCIGVIPFRSWYKDVDADEDDNKVYRSDVKPAIDEDVMNNIFAAVISLVENDRHAAVCCRYRNRESGLDILMVDNKRRWVRSKDFLEIYTLQVDPMDPTLFRGRHVRYPLTERPLHGHVGGVHMLISAHADLAPVHYASCGGGEVVL >Et_6B_048385.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:17621342:17621359:1 gene:Et_6B_048385 transcript:Et_6B_048385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHN >Et_2B_021735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:518260:519354:-1 gene:Et_2B_021735 transcript:Et_2B_021735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVSWTPRRPVARTRDVQASAKKMRHSSITGSITSSSSISIPQGWADLPQELLESIILLLRSHKKPSPLHPHAILGMLPSTPAYMP >Et_6A_047262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2994827:2998488:-1 gene:Et_6A_047262 transcript:Et_6A_047262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLLERILDGRDEPSNLSLPLLEHITENFSSDCLIDCGGCGGVYKGGLPNGKFVAVKKLFKNHTMDEKMFDQEVQSMMMVKHQNIVRFLGYCSDTQGKAVQMNGKIVMADDRERLLCLEYISKGSLAKHLADELRGLDWCARYQIIKGVCEGLDHLHNDKGILHMDLKPANILVDDKMVPKITDFGLARTDGKTHTMSTARLVSAIYCAPEYRVEGKMSNKSDIYSLGFIIIEMVTGSTLTPNINKVLGRWWHRWNESQECKLLWYEQVKKCLELALECVRDIPIHRPSISDIIRELNEIDNRNDKVRGDHEATYEELRPYLEAMLGIEPLKIHFPFALDNKEISCSVELTNDTDNYIAFMVSTTSLLRYKIQPKKDYIPPRSKCCITIALQAQEKARLHDDNKEEFYVHSTRVDETLTTKAITEKMFHEEESKVVNEVNLPVVFDGPF >Et_2A_015571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15092441:15099576:-1 gene:Et_2A_015571 transcript:Et_2A_015571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSEEAPRLQYFEESLESGGAQGTQNAFDLAVGEIAPDVFALDANYGAALQLSPPSNVTEIMDGGSLRAARYRWDSGKRIWQADEVEAVFKMRLGAL >Et_2B_018893.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:14191622:14192768:1 gene:Et_2B_018893 transcript:Et_2B_018893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELQLLVGELAAVLNEHALSGLAALGADGLHLLDDGVAVDDLAEDDVLAVEPGRAVGADKELGAVGVGPSVGHGQDARAGVAQLEVLVGELGAVDGLAAGAVAAGEVAALAHEAGDDAVEDGALVVQRLARGAQALLAGAEAPEVLGRLGDRVGEELHHDAAGLCLADEDVEEHLGVLGHGCLLVVPRCVQQSARHKQQSLFSDDFTEEGEANNNDLLVVVRVVILA >Et_7B_055932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7591339:7592191:-1 gene:Et_7B_055932 transcript:Et_7B_055932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAMVADVCFTARPSDIIIATYPKSGATWIKSLLYATVLREEHPADAADHPFNSSSPHECIKFFEYNLYTHDKIPDLKGLPGPRLFATHVPFVWLPSTIVTSGCKIVYVCRDPKDTFDLVVALRKQDKRAWDRFEPLSVDIAVESFCNGLSPFGPYWDHVLGYWQAHLAHPDRVLFFSAGEEEDGVVDAIVRLCSFEHTTGLEVTKDGKTDSVRGPVPNSVLFPRGMVRDWVNHMSPEMARRIDVITEEKFKDTALSV >Et_3B_030053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30081371:30089092:-1 gene:Et_3B_030053 transcript:Et_3B_030053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKEVCLEGFKSYAGRTVVSGFDPLFNAITGLNGSGKSNILDSICFVLGITDLRQVRAASLQELVYKQGQAGVTKATVSIVFDNSDRSRSPLGYEDSPEITVTRQIVVGGRNKYLINGHLAQPSRVQTLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYEMKKEAALKTLEKKQNKVDEINKLLDDEILPALEKLRKERCQYMKWANGNAELDRLKRFCIAYEFVQAERVRDGALNDVKQIRAKIVELDESTEKLKASVQEMDTNISTLAAEKEAKLGGEMKALSEKVDKLSHALIKETSVMNNQEETLKSEEKGAEKIFTNIEDIKRSILERDAAVKKAEDDASDMKRRADDLTKELDENEKEYQGVLAGKSSANEKKCLEDQLRDAKAAVGEAETGLKRLTTKISHSEKELKEKKAQLVSKRDEATAAENELKARTKELEAIKTSMGSINYKEGQMEDLQKDRSTELEVIRKLKDKVSQLSAELSNIHFRYRDPVRGFDRSRVKGVVARLIKIKDSSTTTALEVIADGKLFNVVVDTDATGKQLLQNGDLARRVTIIPLNKIQTRTIPDRVQQAAGRLVGPDNVTLALELVGYDEEVQNAVAYVFGSSFVCRNMEAAKEVTFNREVGCTSVTLQGDIFRPDGRLTGGDRSGKVAVLRKLDELAKAETDLCKHEERLSFIEQKIATLLPLQKKYIELKSQFELKSYDLSLFQNRVEQNEHHKLGELVKKIEQEFNESKQELAEKKVLYEKCVSTVSELEETIKTYGTEREGRLKALEKNIRSSKSEMQSMSKKLKAYESERERLIMEKDAVANELVASIKQEYDQAEDELNAERSKLKECDSQINRMTKEQQKLQQQLSDLNVERKKMENEVKRMEIEQKDCSSKVDKLMEKYSWIATEKQLFGKIGTDYDFASCEPHKAREELENLQAQQSSLEKRVNKKVMAMFEKAEDEYNELMSKKNIIENDKAKIKQVIEELDEKKKETLKVTWIKVNKDFGSIFSTLLPGTMAKLEPPEGGSFLDGLEVRVAFGSVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPQSQFIVVSLKEGMFNNANVIFRTKFVDGVSTVTRTVPSKQK >Et_1A_007944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39656225:39657962:-1 gene:Et_1A_007944 transcript:Et_1A_007944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTAFGPRAALPLLRSQTLFRAFASSGSGGQKKGKKAKSSSNKRANQDKALEPPAPVVRRAPAGSASIFQQHQQQQAKGTANPGGKGPTEEELQQRQANENAFLLAWLGLGIFILVEGIALAASGFLPEEYDAFFVKYLYPSFTPTVGLFLGGTVGYGVLKYFESEKSKS >Et_4A_032323.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29901658:29901909:-1 gene:Et_4A_032323 transcript:Et_4A_032323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLPGFPAVGGGYGGGFGNNGGGVFSGVTGPLGGVGGGVGAVGPVGGGVGGFGPLGGGGAGGGTPFGGYGGIGGGGAGGVTP >Et_8B_058619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10707605:10708363:1 gene:Et_8B_058619 transcript:Et_8B_058619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMQADRLLLVDRKAIGPSRFGDFVDWVFSQRGDADLGSLHITHAYGYRAACVKQEQVNQWLRYAMQCVVKSLDIQAVKMPGLGRMSCVRLQLGYYKLQLPVAATAKYEALTELTISSTTFDEEEEEHGGVRTTTLGDFVSTCCPRLRKLDIGCSDGLRQLVLRTEALQELILYFVDDLETVDVAAPNLRVLKIICSTYEPVVTVCSKKLEDVAVQHLRDVTLDIRDLTNVRCLGPVNV >Et_8B_060108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:630146:630424:-1 gene:Et_8B_060108 transcript:Et_8B_060108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WLIGCWANMAEGHSYAQEQESATLTDEDGEIEIMTERLLELPKPSTPRDVEEQKRIWRLESQSAPLSEAEVKAMVVKAKVHV >Et_10A_002232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:577395:578014:-1 gene:Et_10A_002232 transcript:Et_10A_002232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDVEYRCFVGGLAWATDDNSLHAAFSPFGEVLESKIINDRETGRSRGFGFVTFASEQAMRDAIEGMNGKQLDGRSITVNEAQSRGGGGRSGGGGGYRGGGGYGGGGGGGGYGGRREGGYGGGRGGYGGGGGGGYGGNRGGGGGYGNSEGNWRN >Et_1B_010911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15313513:15314091:1 gene:Et_1B_010911 transcript:Et_1B_010911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTKLVALGFIVLMSMGLANAVRVARYSSADGTGIGGGGGGGYVNGGGSGSGSGTGSGVSGANGAHANAGGGGGGGGTSQYGPRYAGASANGNGGGKGTGQYGGSGGGNGAGSGYGDASP >Et_9B_064857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19212208:19216761:-1 gene:Et_9B_064857 transcript:Et_9B_064857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDEPATSPHASIVCHPARAPASLPSAPKRRAPRSGRASPGPREQAGRQAPARAARAPNAQGAARFMGGRARSLLRWLRHRSRRVSSSSPSFPLTTTTDDNTTTSEYGEASRYQVSEVIGKGSYGVVAAAIDTQTGERVAIKKIVDVFDHVSDATRILREIKLLRLLRHPDIVQIKHIMLPPSRREFKDIYVIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAANVFHRDLKPKNILANADCRLKICDFGLARVSFCDTPSAIFWTDYVATRWYRAPELCGSFFAKYTPAIDIWSVGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAESISKIRNEKARRYLSNMRKKPPVPFTKKFPGVDHMALHLLQRLLSFDPKERPTAAEALTDPYFNGLANNEREPIAQPISKLEFEFEKRKLGKDDVRELIYREILEYHPQMLEEYLRGGDQNHISFMYPSGVDRFKRQFAHLEEGKAKGEKNSPQLRQNVSLPRERVMGNKHGDGDYRINVGDKEAHASVTDGISQPLLSARSLLKSESISASKCIGEKQKHVQDEESLESIDETVDEVSKKIAQLKT >Et_5A_042830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5108813:5109619:1 gene:Et_5A_042830 transcript:Et_5A_042830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDLVCLGDENRKVQPDPGWVKVNIDGAFRAETGIGATGAILRNEKGITLAAEGRWLENLADVVTAEAMAAREGLMLAVALGCDKAILEINNISLGVTDRREKMKHAIPAVKKR >Et_8B_059615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:198077:200350:1 gene:Et_8B_059615 transcript:Et_8B_059615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLSSDSAAVVSLGDAAGMVWAESKRLWGIGTPIAIGTLSMYAVSSITTMFVGHLGNLPLAAASIGLSVFATFALGFLLGMGSALETLCGQAFGAGQVAMLGVYLQRSWIILLGATLLMTPVFVFAEPLLLLVGQDPVVARAAARFTLYILPSAFAMAVNFPAAKFLQAQSKVTVLAWIGIAGLVSNLLLNYLLVVVLGWGLPAAAAAYDIAHWVIALGQAGYIIGWCKDGWRGWSTAAFHDIGAFLKLSLESAVMLCLEIWYMSTITVLTGHLDDAQIAVDSLGICMNVNGWEGMIFIGLNAAISVRVSNELGSGRPRAAMHAVMVVVGEALLIGLVCMALILVFRDHFSIIFTNDAGLRHAVSKIAGLLGLTMVLNSVQPVLSGVAIGGGWQGLVAYINLGCYYVLGLPLGYLLGYKFNFGVGGIWSGMLCGIALQTLILLVVVWRTDWKVEAAQASSRVEKWGGRGTDEVKPLLE >Et_3B_029787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27967456:27968295:-1 gene:Et_3B_029787 transcript:Et_3B_029787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLKSKLGYTKEVTALKSVLLPAQRSTPVSFLYFTVPELLMGLVNALWVYNEVAPDQPSLKITNKIISRNPKQVIGDGPIVNIEILFYPFFIHTLRQYTVTHLNVPAKGHLCRSFPKFLCY >Et_6B_048445.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:5005284:5005433:1 gene:Et_6B_048445 transcript:Et_6B_048445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLILDFFKVLRITSEVALSNQPDQLRWGQLMVTLHLLVPTMLSSFDN >Et_2A_017896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7600775:7602274:1 gene:Et_2A_017896 transcript:Et_2A_017896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEFPAPTTDALSTLPLATPVDIVVALPAVNDGERERERSVAATPPLPAMKAVVIPSVRGLRTRHAAAPRSASSVPVLLALIFVTGSALSLVFLGPFDKWPPIASFLGSGSGGENDDVVTRGEWVRDPSAAPYYTNATCAYIEDYQNCMKHGRPSLEFLRWRWRPTAAGGGELDRFDAARFFALVRGRSILFVGDSLASSHVRSLVCLLSQAEPPERDARDAAGFERWRFPAHDFAVSFFWTPFQAVGPERQGEVFAGTSDLHLDEPDARWTSALAAQDFVVVSASHWFARPAVYYRGGRAFGCHACTDRNLTSLKPAHAQRAAFRTVLRALAGTEGGFKGTAILRTMAPTHYENGGWFDGGECTATQPADPEDPVEMAEPEAEFYRAQAEEFRAAEATARRNGLRLRLMDVTHMMLRRPDGHPDRYGHGPGQHEGFDIDCLHWCLPGPIDVWNELLLQILADN >Et_9A_063127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10990657:10993259:-1 gene:Et_9A_063127 transcript:Et_9A_063127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLASLAAEERWLYPAFLAMYAAIYCVGHLVFRRWELRRRLDGASCLISLFHGSPAALAAAAAVLALPPSARGFAAPNARLHDHILDYSVAYFTMDLLHYLTFLPGDILFIAHHLATLFVFVTCRYLVRHGAFALLVLLVLAEVTSALQNAWTLAGIWRDQSPAAARVYSALSPPFYLLYTLVRCVAGPLFFLKMSAFYLSGQAVDVIPWWVRISWIVVVGTAIAVSNLWIWNLWKELFRERKQAVVLSQPVWRCGSCVFHGGVFGWRRRDGPSRSWFLPPWTPVV >Et_10B_002679.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:6945338:6945685:1 gene:Et_10B_002679 transcript:Et_10B_002679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASDLPPPGHARPRSVAVAWGSGGRGTRRRLWNGRERGARIMLPTSVLAETTRCAARGRGDTWGGRGSGAARRSPCPAPPRARPAGVKMVVGRREEKGGGVVVFWEREETRGMK >Et_5B_045745.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8649339:8651627:-1 gene:Et_5B_045745 transcript:Et_5B_045745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRAATAAAAANCLSSLTRARARRRAGAAGRGHATTSTSTSSSPPRRRGNRRAPLASAEDITATAAPRPFPDYHPPRPDSPADDDLARRLAAAVLASPDHASLPPLPFLSHLRPIHLLLALPLLASHPHLTRLLLPLLLLFPSRPKQPPHPHLLRCFAVAAHLAAVRDAGAARAILVRAVRFPSPHRHFVEHFISTYKAFSSDPASFDILLQCLPSAPLLRRLRQYGISPSPEACNAVLSRLPLDEAIELFQELPDKNVCSYNILLKALCSAGRFKDALQLFDEMALPPDVVTYGILIHGYCALDDLDNAVKLLDEMVARGVEPNATVYTSVIALLCEKGRVSDSLRVVEDMVQRKVTLDEAVYTTVLSGFCSKGDLAAARKWFDEMQKKGLATDRVTYTTLINGLCQAGELNEAERVFQVMLARRLDVDEVTYTVLIDGYCKRGKMVDAFRVHNEMVQRRVTPNVVTYTALCDGLCKQGDIQAANELLHEMCSKGLELNTCTYNSLINGLCKAGNLEQAMRTMVDMDTAGLRPDVYTYTTLIDTLCKSGELDRAQNLLQEMLDKEIKPTIVTYNVLMNGFCMSGRVEGGKKLLEWMLEKNIRPNAATYNSLLKQYCIDNNMKSATEIYKGMCTQEVAPNENTYNILIKGHCRARNMKEALYFHSEMIEKGFRLAATSYNALVRLLNKKKRFVEARNLFDEMRKEGLTAEPDVYTFYIDLNFNEDNLESTLALCDELVEASVVTSKADLDQRVPNGRKHS >Et_1B_010658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12700187:12746766:1 gene:Et_1B_010658 transcript:Et_1B_010658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGHVLYLLRKYLGEYVEGLSVEALRISVWKGDVVLKDLKLKAEALNSLRLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRLFILAHPAPDGQTLKEEDREKLFEAKLQQIEAAEAATLEATSRSSKGGGPGPGGNSWLYNLISTIIGNLKVTISNVHIRYEDSVSNPGHPFVLGFTLSKLAAVTVDEDGNETFDAGVALDKLRKSVELHRLAIYHDSDSDPWKLDKKWEDLDPTDWSEIFQDGIDDHSGNSIWAENRNYLVSPINGTLKYKRLGKDERGDPDAPFEKASLVLSNVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPVVPVKEDCRAWWRYAMLAAIGSRGRELGICANFVALYATLLQQASNVDISEIRDIEKILDMKVIILWRLLGHAKVETVKSKETLHKKGTQLVSPNTIRFLVDVSIGQAAARIINIDQTEVLCGRFEQLQVVTKLYPKSTRCDVTLKYCGLSSPEGSLAQSVVSEGKSNALDVSFVRAPTAMDLDWQLTAKISPCHVTVLKGSYERFLEFIKRSNAVSPTVAMETATALQLKLEQVTRRAQEQLQMVLEEQSRFGLDIDLDAPKVRIPLTANKPSQGNEYFVLDFGHFTLHTRDGSRDEERQSLYSRFYIAGRDMAAFLICDLAEDIYSIPDNLGQDVLSGHTSDDQFCSLLDRCGMSVIIDQIKVPHPNYPSTRVSFQVPNLDIHFSPKRYCKIVELLGVLYHLKGSNNEDSSSYQSGSLAPWYPADLAGDARTLVWRGLGYSQAQWHNCYVVLSGMYLYILESELSQTYQKCCSMASRQVFEVPPTSVGGSLYSIGVCSRGADTQKALESTSTLIIEFSNEIEKASWIKGLVQATYRASAPPEVNILGEPVSTATEQSTPRLSSLGSVDLVVNGSVIETKLSVYGKLDRKMKDPQELLMLELLGYGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSNYLACSVINESVESTCSGTEGDLSSFSVDEDSFMDALTEFAPELSPNPQENLISDTNEHTEMNSKGGLWFDGDQQKVKSTEIFYEAQDNNVTDFVVLTFLSRSPDSCLYDGIDSQMSIRMSALEFYCNRPTLVALIEFGFDLSMVNSVPKGDPGMAATTQIAKPTAKEDGGRAVVTGLLGHGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPSSLSIDGMLGNMRFCDMSLGPDHRWGWLCDIRKPGVESLIKFSFQSYSVEDEDFEGYNYSLTGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFMDKVGGFEWLIQKYEMDGASAIKLDLSLDTPIIIVPKNSQSEDYIQLDLGQLKVSNTFSWHGGEESDPSAVRLDILSAEINGINMAVGVNGTLGKCMIQKGHGINVEVRRSLRDVFRKVPMLSMKIQVGLLHAVMSDKEYNVITNCISTNLSETPNLPPSFRENVNRTKESIRLLADKVNLNNNSLLSRTVVVMTVDVQYALLELRNGPDADSPLAELALEGLWVTYRTTSMLEMDLYLSILKFSIHDIRPDTKSEMYLMLGSYSEASNLCTEDPSTEVGVSNLTMLILDYRWRSSFQSFVIRIQQPRILVVLDFLLPVVEYFVPSLGSITGREESLDPKNDPLMRSDDIILSEPVFFQRENVIQLSPGRQLIVDGSDIDEFTYDGCGGTISLCEEYDKKGQLYSGTIIIVGRGKRLRFKNVKIENGALLRRCVYLNTGSSYSISADDGVEVSVLETDFSNDDEDRLKLEEHNKRMGTLQTATDAPANAMLNFTFEAQVISPEFTFYDSSKLSMDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDVSWKYTSVNEKTNIVLTSTDVCVHLSLSVASLLLKLQNQTLAALQFGNISPLISCTNFKRVWSSPDGDLPGYNLTFWRPQAPSNYVILGDCVSSRSVPPSQVVVAVSNTYGRVRKPIGFRLVHILPRSLNLGDSSQSTVGNECSIWIPVPPPGYLALGCVVNIGRQPPSNHVVYCLRSDLVTSATFSDCIHTLTPAPGTISGFSIWRVDNVIASFRAHCSIEQPSRTEAIDLHHVLLRNPNCYIVKDLNADSSVENSQPTDQLTHRKSMSGWDVLRTMSRPSSYCMSTPHFERIWWDKGCDTKKPFSIWRPLPRFGFASVGDCITEGLEPPTLGILFKCDTVVSEKPVQFTKVAQIDKKGFDEIFFWYPVPPPGYASLGCIATKTDEMPSKDSICCPKLGLVSQVNISEDPISRSSNSKGPNCWSIWKVENQACTFLARSDMKKPSSRLAYSIADHAKPKARENITAELKLGCLSVSILDSSCGMVTPLLDTTIANINLATHGRFETMNAVLICSMAASTFNRQLEAWEPFVEPFDGIFKFETYDTSEHPPSNVGKRIRVAATSPLNVNLSSANLDLLIETLVSWRRQVDLEKKSSIKIEDTVVNLTKADDSSSSALNEDDFQRVVFENKLGCDVFVKKLEDTENIVELLQHESTVSLSMPPARFWDKLNVLSNSTESRYYVVIQIFESKGLPIIDDGNDHSYFCALRLLIGSHASDQYKVFPQSARTRCVKPMKTTELQTHYAKWNEHFIFEASANLEIEVTNLASKAGKGEVLGSLSIPIGRGATMLKRAPSMRFLQQDSDVKRVMTCPLTRKGQVPNHEGRKNCGVLVLSSCYVERSTHSNFQSWKDSKSSESDFWIGLSPDGPWESFTAVFPLSIIPKSLNNNHFAFEVTMRNGKKHATLRSLAVIANDSDIKLEVSVCPVNMLNSSVLNTRSTSSINAFDEVFENQWYRPITGWGSNPSNDNGNDLRQWSTRDCSYSSKAFFEPSLPSGWRWTSPWKIEKSSFVDSDGWAYAADFRNLNWPSSFWKSSKSPHDFVRRRRWVRSRQQTQEQSAEIPRKILATVNPHSYTPLPWTAMIRDMDLCLQVRPYSEKSEESYSWSQVISLGSEGIPKQQQQSSLSRQSTLKQSTLPSKNSVLRLAELEKKDVIAYCRPSVGTERYFWLSVGIDASVVHTDLNVPVYDWRISFNSILRLENKLPHEAEYAIWEMSPERNMVERQHGIISSGGSVFIYSADIRKPVYLTLFLQNGWTLEKDAVLLMDLLSLEHVSSFWMVQKQSRRRLRVSVEHDLGASDAATKTLRLFVPYWIKNHSSIPLSYRIVEVEPTESPDADLPGKPDSLSRPIKSSKFSLRYSSKSLVRRSTMPQRNMQIMEVIEDCSTNYVMLSPQDYMNRSAGMRSDSGDNNFSPARIAISVAVGSCTQFSIGVSLFELENKEHVDVKTFASDGSYYWFSAQLKMASDRTKVINFLPRALFINRVGRSIILSEFHNETEEPLQPYEPPKIFQWRSEFGSELLRLRLEGYKWSTPFSINTNGVICVLMNSTTGTDQAFVRVNVRSGTKSSRYEVVFQLACWTSPYRVENRSMFLPIRFRQVGGDDHSWRYLPPNSSAPFFWEDIGRKRLLEVLVDGTDSTNSMTYDIDVVMDHQPLANSSGLKKALCVTVLKEGKLHVAQISDWLPDNRNRGQTIERILSPIFQPSEVDYGHSSPDLDSEFHVTLELTELGISVIDHMPEEVLYLSVQQLLLAYSSGMGSGINRLKMRMQWIQVDNQLPFVPMPVLFCPQKIENQSDYVIKFSMTVQTNNSLDFCVYPYVGVQVPESCVFFVNIHEPIIWRLHEMIQHLKLDRIYSSQPSAVSVDPILKIGLLNISEIRFRVSMAMSPSQRPRGVLGFWSSLMTALGNMEHMPVRIVQRYREELCMRQSALMNAAISNIQKDLLSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQSRMRQDSKGVEDFGDVLRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLLRRRLPRAIGGDSLLYPYDEYKAAGQAILHLAECATFLGQVDIFKIRGKFASTDAYEDHFLLPKGKILLITHRRVLLLQIPMMTQRKFNPAKDPCSVIWDVLWDDLVTVEMTHGKKDAPGSLPSKLILYLKAKPSNSKEVVRLVKCNRGSDQATIIYSAIDKAYKAYGPNSLKELLRWKVPRPYAPRNNTGRSAQDLSFGVFCSKDDLRIYLPYMYIVLMLRQNVVVN >Et_3B_028882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2042825:2045626:-1 gene:Et_3B_028882 transcript:Et_3B_028882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRPDHRRHHPPFLRDLSSPISSSLRLPPASLRRETQASTPPPPPPLLSLDDLSHLSPSPQQATPPQAAMSPSPPPPRGGLFASPLRSNGSPAPSAWWSPSREDKPREGSPMDGVMQHQQQQSPTTPSVQQSQQQKVALITLPPPREVARPEMPRNSVPAAGRVDEEEWVTVFGFSPGDTNMVLREFEKCGIVLRHVPGPRDANWMHILYQCRHDAQKALVKHGQQLNSVLIIGVKPVDSWQRQYLNEEANENYQGGATVPFPSQPVAPSGFVIRNALAPLPSNSIPNGSCNESSRGASGAVASPAKSVLSKVMDLMFG >Et_7B_054144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1602487:1606409:-1 gene:Et_7B_054144 transcript:Et_7B_054144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVEEALAAVVSAAAGHAAPRDVPLHDALGLVLAQDVRAPDPLPPFRASIKDGYAVVASDGPGEYPVITESRAGNDALGVVVAPGTVAYVTTGGPIPDGADAVVQVEDTEQVPAAADGSKRVRILARAAEGQDIRNVACDIEKDSIVLKSREHIGPAEIGLLATVGVTTVKVYPRPTVAVFSTGDELVQPATATLSRGQIRDSNRAMLLAAAVQQKCKVVDLGIAEDTEESLKEHMDAALCSNADIILTSGGVSMGDRDLVKPCLAKMGTIHFEKIRMKPGKPLTFAEITSQNTSKLSRTVLAFGLPGNPVSCMVCFNLFVVPAIRLFSGWSNPHLQRVHARLSHPLRADPHRTEFHRAAIRWVLDDGSGKPGYVAESTGHQASSRLLSMKSANALLEVPSTGQILAAGTSIEAIVISDIVSSPSDKLTAASNPLPSHLVPSAKSVSTDVPQVAASQDAEVKVAILTVSDTVSSGAGPDRSGPRAVSVVNSSSEKLGGATVVATAVVPDEVDKIKATLVQWSDIDRVNLILTLGGTGFTPRDVTPEATKSVIEKEAPGLTFVMLQESLKITPFAMLSRATAGIRGSTLIINMPGNPNAVAECIEALLPALKHALKQIKGDKREKHPRHLPHSQAAPVDQWERSFRAASVGSGCSCEP >Et_9B_065980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19139455:19142111:1 gene:Et_9B_065980 transcript:Et_9B_065980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSVTEHKRLSDVPCHCLAGVNACLLLLLHRSSTASGKGRLPPPGQLTLVFLATFLALRRPIFFILNRCLWICTRAMAPSFPSASSAPSSPSPNNASWYKVAHPSPTACCSSSQAASSTPARAKSPPRHTGPSRPRHLPAPALPSSNAAAAADTATANTLLSILRGPDPTHLPVAGITPTPALLQHLRPALPTLPESAFPTLARWAGAATVASLLASRGLFAAAWRLLLSFSSPTPPLAAFAPLLRRYARLGRATAAFRTFRFLRRHPDRYTMEDNGDDSSSAAAVCPLILTVDALCKEGHPRDAVQLVEQLRREDPSWAPDVRTYNILLNGWSRKRRLNKVEKLWAAMRNTGVRPTVVSYGTLIDALCVMRRPDQAMELLDQMREEGIEANLLTCNPIVYALAQAGRFGDAHKLLEKFPLYGVAPNISTFNSLILGYCKHGDLAGASRTLKVMLGRGISPTARTYNYFFMVFARNRNVELGMNLYNKMVNNGYEPDRLTYHLLIKLLCESNRLELTLQMIQEMRNCGFEPDLATSTMLIHLLCRAHQF >Et_5B_044365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23352909:23358071:1 gene:Et_5B_044365 transcript:Et_5B_044365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRPPKKILESYTIKGSDKVIKPGDCVLMKAADASKPPYVARVETIEATGARGTNARVRVRWYYRPEESLGGRRPFHGSKEVFLSDHYDVQSADTIEGKCNVHSFRSYTKLDSVNAEDFFCRFEYKSATGCFVPDRIAVFCKCEMPYNPDDLMIQCEECSDWFHPSCIGMTIKEAKRLEHFFCQSCTAENGKMAENSHEATAQSEEKPPSPAPFSTPASACISPVYAVARGPGIWSLSIHGHGWNILDVTAASASPFSKQPPTAAEGVSQKKLKKAPLFVLSIGTSK >Et_2A_015211.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:7822406:7822498:1 gene:Et_2A_015211 transcript:Et_2A_015211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVCPCIRRVFPCATFYTKRHIGLELRV >Et_7A_050747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10776226:10777521:1 gene:Et_7A_050747 transcript:Et_7A_050747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTVSKDIITLRGSAAIVSDILYNRGVYPEESFTKVKKYGLTMLLTQDEGVKAFIANLTSQLSEWLEAGKLQRIVLVIMSKATSEVLERWNFNIETDSEVVEKGAIKEKSDKEIMREIQAIMRQVASCITYLPCLDEPCVFDVLAYTDTDVDAPGTWVESDAKLISNPQMVKLHSFDTKIHKVDTLVSYKKDEWEEE >Et_8B_058676.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:15519874:15519960:1 gene:Et_8B_058676 transcript:Et_8B_058676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKTMEKINFNCASRKSAEITTVLMD >Et_1B_012920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4106761:4107764:1 gene:Et_1B_012920 transcript:Et_1B_012920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCEKVGLKKGPWTAEEDQKLVTFLLSHGHCCWRLVPKLAAPMSQILQFCEMRTLTFAVAGLLRCGKSCRLRWTNYLRPDLKRGLLSEDEEALVIDLHAQLGNRFVLLRDVPLTEDTRILQLRLLPPPKLTAPLSIVWSKIAARLPGRTDNEIKNHWNTHIKKKLRKMGIDPATHRPLHPAQSAEPLATQPLQQDPPAEVREERRDDEPKASSQGPAGAADDDGDAEAAPASSSQPQAAASPASTAATVSPSCSSSASASGADVAAWPDPIDLFEVDGIMDMDWAGILSGCGDDGTGVSLDLFDHYPGDGFDQQVWM >Et_2A_017652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4710101:4715716:1 gene:Et_2A_017652 transcript:Et_2A_017652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCDKLVVGVDLVVGATNIVVGACDVVVGVSDFVEYARAGWARLRGKASSGGCDLPNDTLCIILSKLNLKEAGRTSVLSSKWTNMWLICPILRFVDSEMCGKYVPRKQKTQKFIETVNAVLALQQGKVVETLEIKIEFKDRVLLDHLGNWVSFAAASRTKNLALDLAPKKFKHRTGGYVFPFELLDGATMSRLERIQLSFVFLKLPSEFSGFPKLKKLDLHLVKGTKKYLQNMLSGCSNLEWLSMVRCGLKEELIVDCPMSQLLYLHVSHCEMTKMELRAPKLRTFIYNGVLQLPVNLGQVQELKVADICLTCFITLEYALTALPEVLKSVQSLTLDAPLRLTLPGLMENSYQFCQLKNLKLLLLHSSEDVDNILSLAYFLRAAPLLEELEIHEKRLHDRSLLKCQYKHLRCIRITGFKGIRGQAEFLAHTVENAPALEVLTIETTNKIGPPPNRADHFGGRIAKSCLEGKLSPKTSLHILTTDSAMVPVLREDGRYDLQFEDLPNDTLSIIILKLSLKEAVRTSILSSKWTNMWLICPRLRFVGSEMCGKYVSRKKQQAQKFVENVNAVLAVQQGMVAETLEIKYEFENRVSCDHLGNWVSFAAASRTTNLALDLAPKNCESRVGLYLFPIELLDGSAMSRLEQIQLSFVYLGPTSEFSGFPNLKKLDLHLVRGPTNYLQNMLSGCSNLEWLSMVRCSLKDGLTLDRPMSHLLYLHVSHCGVKKIELDVPKLRTFTYKGERLPYIFDLGQAEELKVADIYLEYSTITLEDAVTHLPEVLESVQSLTLDASVRLTSPEQELMENAYQFYQLKHLKLLLPDLSEDTDNCLFLAYFLEAAPLIEELEIHLNISHYRKADIRHDTSMQNCQYEHLRCMRITGFEGIGGQAEFLAHTVENAPALEVLTIETASQISPLSERGDHSGARIADHSGGARIAESCLEGKLSPKTTLHMLTATTN >Et_6A_046541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16789789:16791679:1 gene:Et_6A_046541 transcript:Et_6A_046541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVTGAMATLLPKLGDLLTDEYQLQTSVRDDVAFLKSELESMEAALLKISEAAPMTSKTSSGRGRELSYDIEDCVDAFVARVDRPPRKLLQGLGGFIDRGLDLLRRAKVRHEVCVEIRDIKRRITEVSERRVRYKIDDINVVVKSSGPTVDSLRLSALYAKATELVGTEESSNELIEMLLMEYMVWNFAKLRRLSLQTSREDDAKQLTTMNLSHVRSLIVSEEAFTLLPTLLSFPVIRVLDLLRCSQVDNNHCKNICNLLLLRYLRLSWTSITEIPNEIGNLQVLQILDLYMTNIKALPSTFVQLRKLEFLCIDHQTRLPEFFGNLKSLQKLMPNITVRSPTILHELGKLTELRRLNIRFDEWDVTYEELFVQCLSNLVNLEVLQIFDCHNGLGSGLHYLTPGPQKLRSIIIGPNTIYRVPRWMSSLSALLGEEDLKLLGSTPSLSNLYIWIKEHRKERKKRLVIDHGHLFLCLKQFRIGRGAMEVEFALGAMAKLQTLRLDFHVRHTIDQFGNFNFGLENLSSLELTIVHMNCYNAEPEEVEDAETAIRESLDFNPNTPKLELEKVICRLIMSKIIRYLSFVAHTFLFCAPCFYIISYSIHLPMYYLGV >Et_3A_025096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26903992:26907524:1 gene:Et_3A_025096 transcript:Et_3A_025096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKHLETARADRSVWLMKCPTVVSRAWQEAATASASSSSAAADAGGANPNPVVAKVVLSLDPLRDDQPLQASLLSISAPSSNSCLASDPCLGPFKMEMTQTDNGNTPKSYSLNMYNDFVPMCIFSESNQGKLACEGKVEHKFDMKPHRENLAEYGKLCRERTSKFMTRTRQVQVLADDSGMRMRPMPGMVGLIPSSGPSKEKKKTIPAKPSDMKRTRRDRTEMENILFKLFEKQPNWSLKQLMQETDQPEQFLKEILNDLCVYNKRGPNQGTHELKPEYKKSTGDNDAT >Et_5A_040241.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:2993536:2993910:1 gene:Et_5A_040241 transcript:Et_5A_040241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPCRGLNVSTSIGACTYLGDSSNENLCRIMATTALASIIAKFCPMQDLGPLEKDNNAFGCLAALVTPFSNLSGLNSPASSPQTSLSRWMVAIGMVSIAPSGTRKPPMSRSELAFRFIWDTGP >Et_7A_050390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12141773:12142459:-1 gene:Et_7A_050390 transcript:Et_7A_050390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAADPARGRAVRICEFALDFRRTGDVEDARALLRDALGCGAHRPTIYRAWIAMEEEQRSPAEGVRELFEGWRASEVDEDEGAFWCRYIAFELHHGGGGAARVRAVAERALAACPRDPAVHARYARAEMRLGCPDRARAVLESAIDACAADAEAREWLRKQAAACGASVGEGGWKRLRGLLPFCRGRRSGSAEAYKRVGVA >Et_7B_053505.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3640425:3640658:1 gene:Et_7B_053505 transcript:Et_7B_053505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCAEHHRRLLGQPAPFRHAYTIRTCNNRNGVVFRSETPSLPRLLATCREEGRQWRCRLPRDDEDISSAWCHLFNPM >Et_4B_036458.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:25286824:25287481:1 gene:Et_4B_036458 transcript:Et_4B_036458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLKRRGAGRCRDGSEEGGGGLGFRASRCRAAIGRGPISGPTSPSSNGDADSYGHILVRSKPLRPHTRIGQRKTFGVFPAYCYFSHSSDFIFISSS >Et_3B_028228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12005060:12005773:-1 gene:Et_3B_028228 transcript:Et_3B_028228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSKTHHSSPRLLLAVAVLLLATQLEHGSNALSSNTSSTIHVRPRCHRKQAAALLQLKRSFSFDPSSCTEDSARTALSSWRAGTDCCHWEGIGCDRVAGRVTSLNLSRQVIQINGFHPALFSLTSLKYLNPEENELAQLQVLRLSGCKLSGTIPPSLSLQLMNSLGVVVAALIPPLPRQIAYSAPRHPPVIPLPPATTATPKRFFLRAAPSGPSRSRSSASSA >Et_1B_010992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16156078:16195878:-1 gene:Et_1B_010992 transcript:Et_1B_010992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNHLLDIEGYSRTKALPTGQCITSRSFSVGDTSWRIRYYPNSDTSGTDKYISVFLHLEQQSILLSNPVKARAKFSLLDRAGKPVPESTDVTFEVAGEVFEAHRCILAARSPVFKAELFSATRERTDTTVIHVYDMEAKVFGDLLRFVYTDTVPDVPGMKLQDEVAMAQHLLVAADRYNLERLKLICEDKLSRRNTEAPDGHKDSKPSAGACDEPRSISAIVGDTATGHHLLIVDGYSCTKELLPTGQSTKSQPFTAAGSSWRIHLFPNGQTSKHAESISIYLHRHGSAGDGGEMVKARAKFSLLDRKGKPVKSHTKTAVLYAYSIGGTGYGFHDFIKRDFLEKSEYLFNDCLRIRCDITVFKELRTEDRAAASPFIMPAVPPSDLSRHFGDLLKVEAEGFNDVTFRVAGETFRANRYILAARSPVFKAQLLGAMREGTASAAGDYVPVDDTLADVFKALLHFVYTDSLPEMEEQEEEEAVVMAQHLLEAADRYDMPRLKLICEDILCRPLDVSTVATTLVLAEQQSCQGLKEACVLFLKSSSVWEQVMASDGFDHLTRSSPATVKELMSRLASLLPTHHPFPSQKESSTSMPSAGSASAIVGGTVDGHHLLHIEGYSRIKEDRATGGFIRSRRFTAGNRRWCIKYFPNGINATHNEFISFFLIHDETDFDFVSFKARAKFSLLDQTGKPVPSHTQTTSLCLYSSRGDGHGINDFIKRELLEKSEHLKDDCFKIRCDVIIPTEIYTKDREAAPVPALIPVPPSDLGRHLGDLLEAKDGADVTFQVAGKTFSAHRCVLAARSPVFKAELFGAMKESTNTAVILVDDMEAEVFGALLAFLYTDTLPDFPAKRQSAMIQHLLVAADKYSLERLKLICEDNLCKHIETGTAATILALAEQHNCHRLKRECSQFLSSPSVLNAVMATDGFEHLARSCPSVLKELISNISSSAMSATGGSISAIVGDTATGHHLLLIDGYSCTKEFLPTGESTESQPFSAAGCCWRIHYYPNGQTSKHAGFISVFLHQDGSANDHGEPVKARVRFSLLDWEGRPVASHIRTTDLHGFPIGGSGYGFTGFIKREFLEKSEIGCDITVFKELRAEHRAAASPLISTLDVPPSDLSQHLGDLLRLVKEGVSDVTFQVAGETFRAHRYILAARSPVFKAELLGKMRESTSKDDYIIQIDDMLAAVFKVFLHFVYTDSLPEMEGQEEEAVVMAQHLLEAADTYDMRRLKLICEDKLCRHLDVTTAATTLVLAEQHNCHGLKEACIEFIKAPHALERVMATDGFEHLTKTCPALMKELFSKRRKTIHFRNVALCFPFNLPWFRCPQPGRHGSASAGSSGQIWYAETQADLRRQVVRASRWEHRCNHIGVVLPRSDSVIVGDSVTGYHVFHINCYSRTKEELPTGKHINSRTFTVGGHSWLICYPPMTPPPRVVVPPPDLNRHLGDLLAAEDGADVTFHVAGETFRAHRYILKARSPVFKAEFSGAMKEGTTRDCIRINDMLPQVFKALLHFVYTESLPEMGKQEGAVMAQHLLEAADRYDMPRLKLLCEDILCRHIEVSTAATTLVLAEQHNCKGLKDACIEFLESPKAMEAVVATDGFEHLTVSCPALVKELIPAMPTSSCCGCASAIVADAKPAKIRRTAAMPAPDSDGGVVGSPRSNSAIVGDTVTGHHVLHIDCYSRTRQELPTRNSIDSRPFVVGDRSFLLRYYPNGQTDEYSGFISVFLVLGKTVAVPVRARAKFSLLDREGNPVPSHIVDEAVFEFSVRGSGFGCADFIKRDWLEKSDHLVDDSFKIRCDVTILEGIRTVDLPTPHPRVMLPPSDLNRHLGDLLAAEDNGADVAFQVAGETFRAHRYILKARSPVFKAELFGAMRESTATAEDYCIQIDDMLPQVFKALLHFVYTDSVPEMGKQEEAVMMAQHLLEAADRYDMPRLKLLCEDTLCGHIEVSTAATTLVLAEQHNCKGLKDACIQFLESPDALEAQLPCTSLAPNPKPPPPPHLAAMPTPESTAIDADDHGSASAIVAGTATGHHVLHVEGYSRTKEELPNGKCIKSRPFSVGDRSWCIHYYPNGARPHCAEYVSLFLAPDEDVAAPFKARAKFSLLDRAGKPLLFHTRRTRLCEYAFSGSGFGFDEFVKREFLEKSKHLSGDCFRVRCDIDIVEELRTEDRAAAPPSISIPPSDIHRHFGDLLTSNEGSDVTFQVSGEMFKAHRYILAVRSPVFKAQLLGRMRESRADGDYCIRIDDMQAQVFSNLLHFVYTDSLPEMDREKEALMAQHLLEAADRYDMQRLKLICEDKLCRHLEVSTVATTLVLAEQHNCEGLKQACIDFFKSPHTMEAVMATDGFEHLSKSCPALTHPAMPASSCCGSASAIVADALSGYHVLKIFGYPRTKDEKKSGECIGSRPFRVGGHTWQALYYPKGFDYNSTNHISMYLQFVDDRKRNRKQQAVTVKAQVTISLLDRDGNPVPSHSATTTNTVNFSTDRYWGNRQFIKTDDLERSEHLKDDCFAVRFDVTVIKGVRVEESVPFVVVPPSDMPRHFGDLLSSKEGADVKFQVGGKTFPAQRSVLAARSPIFKAGLYSPMREGAATGVIQIDDMEAEVFDALLTFIYTDEDDAQRGGICHGSALLVAADKYNMKRLKLICEEKLCKHIDTGSAAAILALAEQHNCRGLKEACFEYLGSSRTLNAVMETSGFGHLAKSSTNVLKELISKSSPKSAAIHLPNPPTAAAADSFSIHAKPSAMSLIDDDGKTSGSASEIVADTASGYHVLKISGYSRTKATPTGEFLKSRPFYVGGRRWHLYYYPNGDKSENADWISIVLRLDDALEKAAKAQCQFCFVDEAKKPALFLSVAAVTIPSRGACGTPRFIKREDLEKSKYLKDDSFTICCNIVLINDFHAVDNEPPVFVSVPPSNLHRHFGDLIQTEKGADVVFKVGSERFAAHRCVLAARSPFFSAELFGSMKESDTAEAIRIDDMEAQVFKALLHFVYTDSLPKTKREEKNVMSQHLFVAADRYNLERLKLICEDKLCKCIEVSTVATTLVLAEQHHCLGLKKACFHFLSSPANLRAVVATDSFKCLNTSFPAIVEELLVMLSNLV >Et_7B_053785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12175722:12179002:-1 gene:Et_7B_053785 transcript:Et_7B_053785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGTRLLADGLQRLLRYAPLFVLLCSLIWSVCSRLHRTGLVREFVLIVASVCFSVLKSRRFAGIADVGFEDAADDVSEFSDKISPAYEQKAQGIAETMKMEEKQNSRWKSSKLPSKDDDFLLPGSSKMHATTSEGTSFSGKMGLSESKTHKLGSVIGTASMNGFGKAVEILDTLGCLMTTLSPDGGFISRAKTKGCKISILAFEVANTILKGASIMQSLSEDTVKYFKQVVLPSEGVQSLVSDDMTELMQIAANDKREELKIFSQEIVRFGNRCKDPQWHNLDRYFVKLELETTPQKQLKETATTEMQKLMTLVQRTTDLYHELNALDRFEQEYDSRLKGKDNNTYRFEKGDSIQIMKLELKTQRSYVKSLKKRSLWSKTLEEVVEKLVDIVHYLHIEINNAFGSSVDNSASTDPRNAYAGGGALSAKSTVNCQRLGPAGLSLHYANTIIQIYSVVSRSGYVPASTRDALYQGLPPRVRSALPNRLRNSSVPREVTIDQIRARVENTLKWLVPMAINTTCARGFMRFSEWAKSGSDRVGRRPGQADPIETLYHADKAKTEDYILELVVWLHHLVSQSNGPANARDN >Et_9A_061215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22290877:22291122:-1 gene:Et_9A_061215 transcript:Et_9A_061215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISVALLCILLVLSSAVTKTEAGRHWGRKDATVKGHFTKVMREEMEMDDAVGLGESKRRSPGGPDPQHH >Et_5A_042491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15395780:15403549:1 gene:Et_5A_042491 transcript:Et_5A_042491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVDRMLRFLASHGVVTCATEEEADGGAAVRRYAPAPVCRWLSSKHGEGALGPLALFSFRKDMLMPWQHLSEALLEGGVAFDWVHGVPAFEHMRKNPQLSALYNRAMSQLSALVIDKLLERFTGFDGVRVLVDLGGGVGTTLGMITSRYKHIKGINFDLPFVISQAKPIPGVEHVGGSMLDYVPAGDAIFTKSVLHLLSDDDCVKLLKNCHAAVPEDGKVIAMEVVLPAAPEATLVGRFPFVFDMICLINGLKGGRERTERELVGLARRAGFLGAVRSTVIYGGYCALEFTKQIRYATPQENCSTQNTENCIGSGERVRVPHNWSSRAYKRLDARRALERSGVLCARV >Et_4B_037512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20738348:20743256:-1 gene:Et_4B_037512 transcript:Et_4B_037512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQTVVVAPPSTALQVLVDACRAVFDDSNIPPTAAAVSFVRGTIDKVGPDDVALMDEVRFFKEMNDADHNPPVVTCKTVYECSNFTVTVFFLPQEAAMPLHNHPGLTVFSKLLVGSAHVVAYDWVRPRVCATGSGVGPAAMRLAEKVLDQEFTAASGAWVLFPDSGGNMHRDDGPCAFLDVVTPPYSPGRHGPAAFYQDFPYDLDPDVVSGDLTDEQKRRLAWLQEIDEPKDLRIFNLPYQGPLIV >Et_8B_059143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14378499:14380986:1 gene:Et_8B_059143 transcript:Et_8B_059143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVAAALRRQLLLPRIRCPSHLRLSTSHSLSDQSDFELDHPLPSGPDDDGDLSSFLHRVSSAASAASSPKHALSLLHSSSASGPPPTSPSLLVRALWELRGDPDAAALALRYGDRCGSPDEAQGEGPPPPVEAWHLAIWAAGKARRFDLAWAVVRRMRRRGVLTRRAMVILMERYAVANEVSKAIKTFDVMEKFKVEADQTVFYCLLRALCKSKNIEDAEELLLLRKKFFPLTAEGFNIILDGWCNVITDVAEAKRVWREMSNYCITPDGMSYTLMVSCFSKTGNLFDTLRVYDEMKKRGWTPGIAVYNSLVYVLTKENCMKDAHNIFSKLIDEGLQPDAETYNSMIVPLCESHNLDEARMVMDSMIVKGIVPTILTYHAFLKQEGIEESLKLLRRMKEDGSGPNGNTFLMLFNKFFQLNEAGNALRVWNEMRGYDISPTRSHYMTLVQGLVSHGFIPRALEYYDEMKEKGFASDTQLDKEFKNFLLANRDHWRGAGKYNIIPQRGRHFTRRTRIH >Et_5B_045185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11189002:11194107:1 gene:Et_5B_045185 transcript:Et_5B_045185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYASVRKTAVESALRRLGVTSTTTGGSSGARWRRGSAPGSARHAPPSAAACSPASAAAADASFAEVVKGAAQQLLGFAEAVGAGWPRSPEKLFKIIDIHDALADLLPDISETFSASKATEPIYTQAAKARSTLAGAVPGMLSEFESAVLRDLSKIAVPGGTVHPLTRYVMNYTNLVSGYKASLSVLICVNVSRVSVLSLGRHIRSSQGDTQMREELRISISERLVLAYRPFLGRYRHHIGKGERQNFYIKYSVEDLGEAMADFFEGASPSKYIPDQDEEIPWIHEDGFNWLQRVIPMVRRSHHRVLDLEPLLEMASSSHSATGEKISDYLQEIRGGRQIQSYGCLLALDEMTSKVIAYSSNAPEMLTSDASHPGITGAHVRSLFAEPGASELEKALGTAKVSLLNFIMVKTKTTNKAFYAFLHSATGCVMIDFEPVVPVEFPTMASTYTDMQPLNLAFKASSKLQSVCGGSIKGLCNILAQELLELTGYDRVMVDMFHEDGRSDIIAEATSPGLEPYLGMHYPATDIPQASRFLFMMNRVRMVCDSHAKLVQIVTDRNTPFEISLCSSLLRAPHSCHLQYMKNMKTTASLTMAVIVTDNTDDEADCEVELKELAKKEPG >Et_10B_003143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1782353:1788594:-1 gene:Et_10B_003143 transcript:Et_10B_003143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETLHAREHNIYLVLRKDMCYRHMVLIGMRGLLFLIELNLLHLVPSPSLIVCNPLTRRYQGVGCPPEKLFGNYFADAFLLDGEDGLAQPPYRISGFDHPDDPLSCVFSTADDGDWRVLQRSADDLDADCLGHLADGSLYLGLGTGSVIVLDNASLEFSKVNLPIRVRKCAFLGYRYQSTFRVVHGGASGDSSSTTASAPSPTSRIVHVYGEEVEVFCRVRDHVGGGEWVLERSICRLSGYLEKSIEWPGAGVFADGTGFFILSVKDPSGTRMFFSINVETMKLAVVPELTYRETLTTYTCSVPSPSFMRICLERRVARRLVTVRASSGATMDRRRRRRRIVLDNDSLEFSKVDLPIRVQKCAHFDYRCQPTFRVVHGGAGGDFSASPRIVHVYGEDVEVFRRVHDGQWALERSIRRLSCNLEKSVEWAVAEVIADGTGFFVLSLRNPSGTRCFFSVDVQTMELAVVPEQRYRETSTTITCTLPWPSFMRACLEQRVGRMSKG >Et_3B_027700.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:13349485:13349769:1 gene:Et_3B_027700 transcript:Et_3B_027700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQALRAHNIVLPSHKVRAGHQKPNPAARKTAAAGRRHQGGRKAAPAAEVYAGPAFSTSPEPSALPLPQFPVKKAADGVDAATRDLRRILRLE >Et_1A_005435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10369552:10371902:1 gene:Et_1A_005435 transcript:Et_1A_005435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASPGLLQAPSFCAARRLPAVSRSAARRASFTVRASASESTKYAVLKAFKENRALKIISGLQNFDRSNVASVVTAADKGGATHVDIACEEALIKLALDLTTLPICVSSVDPSSFRSAVQAGAKMVEIGNYDSFYEMGIEFSSEQILNMTRETRKMLPDITLSVTVPHTLSLPDQMRLAELLEEEGADIIQTEGGKCSNPTKPGVLGLIEKATPTLAAAYSISRAVSIPVMCSSGLSSVTAPMAVTAGAAGVGVGSAVNKLNDVVAMIAEVTSIAQAMGLPSRSVSENLRAVRH >Et_3B_029882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28822903:28825307:1 gene:Et_3B_029882 transcript:Et_3B_029882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGPFNMSAGYSPGLGVPVWLNKGDNAWQMISATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVWLCWVIWGYNMSFGDKLTPFWGKARPALGQGFLLAQAGLPQTVHFHSNGTQEAPEIQPAYPMASMVYFQCVFAAITLILLAGSLLGRMNFKAWMIFVPLWLTFSYTIGAFSIWGGGFLFHWGVMDYSGGYVIHLSSGVAGFTAAYWVGPRSTKDRERFPPNNVLLMLTGAGILWMGWAGFNGGDPYSANIDSSLAVLNTNICAATSLLVWTCLDVIFFKKPSVIGAVQGMITGLVAITPGAGLVQGWAAIVMGMVSGSIPWFTMMVVHKRSRLLQQVDDTLGVFHTHAVAGFLGGATTGLFAHPSLCPLFLPVSNSKGAFYGSGIQLAKQVGGALFIISWNVVVTSLVCLVVRFIVPLRMPDDELAIGDDAVHGEEAYALWGDGEKYDSTKHGWYSDNDTQHNKAPSGVTQDV >Et_6B_049934.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18694613:18695812:-1 gene:Et_6B_049934 transcript:Et_6B_049934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSKKMKLAEAAAVVGLLVLLVVIWLPSSSEAAAPELSIYEWVTQQPPVATGGGCTEKKDSALSAAERIHINNIIDPSGSVGSSYKTIGESVANIPDGSTKRYVLTLKPGVVYREKVLIGKGKPFVTIRSEDPYNPAVIVWNDTAATLGKDGKPLGVDGSSTVTVESDYFVAYGVVFRNDYAPPPSSSKAPAWTKNGEAPALRLVGTKATIYNCTVEGGQGALYDQKGLHYFKSSTIKGTVDFIFGLAKSLYHDCNIVSAAPADLGLLPVAPQPKGSALMIKVAPGSETGFAFKTCIFQGQRIYLGRGDVPSVYSYSKMDKMIVPIILSAHLDNAHVPDRGYFYALFKCHQPEIDDPSLNLGEDIMTYAQAKPFLGTQFVSGDSWIPSLPPPEEADR >Et_2B_019497.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:8004147:8004314:1 gene:Et_2B_019497 transcript:Et_2B_019497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ETLQHLFLSAVSAAGLVFFETKLESESRSIRRVVNCTSGVRQQNFQGSAHCNLVS >Et_6B_048874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14846526:14849567:-1 gene:Et_6B_048874 transcript:Et_6B_048874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLAPLTAAAALRLGRGHRPRLLLLASLRPYSAPPATRPPAVPAARRVPSPQPPRRLARPLAASAATAVTDTQSDLGSDSTTLSKGRIYHETYGCQMNVNDMEIVLSIMKKEGYDEIVPDPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRQWKTNVAEGRSKSLRPPKIAVLGCMAERLKEKILDSDKMVDVVCGPDAYRDLPRLLQEVDYGHKGMNTLLSLEETYADITPVRISENSVTAFVSIMRGCNNMCSFCIVPFTRGRERSRPVSSIVREVGELWKAGVKEVMLLGQNVNSYNDTSEVEELEPGKNWQLSEGFSSMCKVKNMGLRFADLLDRLSLEYPEMRFRFTSPHPKDYPDELLYLMRDRHNICKLIHMPAQTGSTAVLERMRRGYTREAYLELVHKIRDVIPDVGLSSDFICGFCGETEDDHAETLSLLREVGFDMAYMFAYSMREKTHAHRNYTDDVPEDVKQRRLTELINTFRENTKKNYDSQVGTVQLVLVEGPNKRAPETELMGKTDRGHRVSLTSVPVPHTFEGDEVRKPVVGDFVEVKILKSSTATLFGEPIARTSLTAFYKNAALEAQAVAV >Et_9B_066253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8854061:8855787:1 gene:Et_9B_066253 transcript:Et_9B_066253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPADPVEVLPDEPGLRGAHFAAVVVKSNPKARSYTIDYDALVDDEDSDRPLRETVPARCVRPRPPPLRAPAPAPGAPPAEYAAVDALIDDAWWLGVALGARAGGDGKVAVCFPETREVMEFDAANIRPHLEWVAGEWLSPDSMLTQPPAIAPMPTLNASSVEEPPLLPVASKNASFGAPTPVTTPTPGTGRSDAHSATVSGMLLAMVFAVLLI >Et_4A_035535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28100731:28105198:-1 gene:Et_4A_035535 transcript:Et_4A_035535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFVDLGGGGSSRSTSNASFLQLPLPAAASASSSASAQAIPPEAQQDRSRLALQQLLVAPSSSVPASRHGHQKDVDGAVVQGEISPADAETIKSKIMSHPQYSALLAAYLDCQKVGAPPDVSDRLSAVAAKLDAQRWQDRRQPARADPELDQFMEAYCNMLVKYQEELTRPIQEAAEFFKSVERQLDSITDNSAGEGAGSSEDDQDTSCAEDVDPSAEDKELKHQLLRKYGGYLGGLRQEFSKRKKKGKLSKEARQKLLHWWEQHYKWPYPSV >Et_3B_030752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:737625:739399:-1 gene:Et_3B_030752 transcript:Et_3B_030752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRLPVALLGDLLLREACMGKGCGCCAAQVCTAPPSTGAGTEAPQKDLVCGGKQLCARGKEQRGRGNCARGEQQIEMPKKADEVTDGIRTMGQRSSIGADFLATRPPIACSTTPLSLLPLSPPAQLKSYVASSPRQLTHRINNEYEPASGVVTAYSSPRNGSCWTHGNFVARPKRSGCFSFLPAPRTLFFYELVAKDDFTGVVSCTPLNEPVTEAYSLLGFVLGGVLVVMAVRIADARHATVSSIGRILLWGNHSRVDITRQSVSVKCATFAPRCCIHLQENLHFPIATRAVDRKD >Et_5B_044843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6457729:6466414:1 gene:Et_5B_044843 transcript:Et_5B_044843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGAPANLESSVAAKQVERASRVKEEPGWVMADRWGGEEIVRFYDLLRAKKRSEIKFMKKRRETNIRREGSVMDRDGEEFFAFRSRRMAEWSGSCGLDNYDYCGCYWSNILTRNLTPIIAAKILSMPFTYHSPPQCAYPCDTLQIYSVRVESLLDGLEWPLDVFGMVALRDSIDRKLNLVFYRTRENCQTLTEKDPYLTLTGPTRAVVLDPKTDLILEVELKVRGETESEDRYLSFVAAPVECNKDLSPNISHYMSYMSKRSLLMFKLGRIESSVEATIFVRSIEGSWPDGVNGQITAEGAECEKVVLLEFGADKVPVSGGGDVELSRQVVSVEYGNQLDVSCNAWKDDRIIAKQCAVFKVAAVGRNNATLQLGTCKLEVIVAWSLVSPERQARSELDCCDMRVFSFRKLWMEQWSRLCNSLESSHYHDYCCCYWSNTLTRNLTSNTIAAKIISMPFTYHPAPKCAYTCFTLQIYSAKIEDLTGGLEWPLDVFGMVAVRDSIDRKRNIAFYRSRDNCQTLTEKDPYLVLTGPTRAVCLDSKTDVILEDRYLSFLAAPLSQCDQCMRDNYYTSKCSTLMFTLARVESSVEATIFARSIEGSWPDGAHGQITANSFAECEKVVLLDFGADNVPIVIGGGDVELSRHVVSVDFNDGLVVSCKAWGDDKFLTEESAVFKVAAAGRSYATLQLRTSRILSMPFTYIHAPQCAYPCDTLQVYSAKVEGLSGGLEWPLDVFGMVVVRDSVDRKLNIVFYRSRDNCQTLTEKVLPELFV >Et_7B_054227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17959266:17967751:-1 gene:Et_7B_054227 transcript:Et_7B_054227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAASLLSSSACALFRRLPSTPHVSRSARFQISPFLARRNVDVVGTEGGASQQPGPAHRDRPRHQGMFRVKDPKVSLDFYTRVMGMSLLKRLDFAELKFSLYFLGYEDVSSAPADHIERTNWTFRQKATLELTHNWGTENDAEFKGYHNGNSDPRGFGHIGVTVDDVYKACERFERLGVEFVKKPNDGSIKGIAFIKDPDGYWIEIFDHNIGAVTASASVRRGVGAEVVVDAT >Et_5B_045533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3817371:3819967:1 gene:Et_5B_045533 transcript:Et_5B_045533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIVDNRFPGAKSLRCVDLTRQLFDNSTPLPWTIGYGSETAPVPEPTAHTPTEALKMERVQLPSPIFTFQGSASALNEPCKVDCFPLADGKIFWSYQSRRCFLFDVKTRHLRFMPSLHKPKWMPFSVFIPKADADANHEDCGRSLFIMEKIPEPEAVSSMQCSPQFEAFVYRKPALTYASKSWHLQLLPPPPFVRDPKYRQICPEISSYTVLDGGKLICISVDRVGSYCLDTENHTWSKLGTWTLPFHGKVEYVPDLNLWFGLSAKDRQLAAADLSAMDSQPQLTRTLKQLDVPEEWKECKDSQFVYLGSGRFCIARFFHALTLNHDSVDDCGQIFTVFTGVEVVPPVSKASGNASSSGKGVELQMIPRKSLEEVHPRSGFSYGLKELLRSFKLIHLDVWGIHGLMPIWV >Et_1A_005299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38946999:38947586:-1 gene:Et_1A_005299 transcript:Et_1A_005299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEELPPAAAAAEAAADEDLVEILEEESGRLDIARYVDHVRDLSAGAIATFEGTTRDHFAGRRVVELRYEAYAAMARRRMAAILREARAKHDLRRLAVAHRLGTVPAGEASVFVAASATHRADAMEACRYVIDEIKASVPIWKKEVYEDGEVWKENSEFFDRHADDSAADAGGCCGSKVRVQEV >Et_9B_064660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17392556:17396636:-1 gene:Et_9B_064660 transcript:Et_9B_064660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQGGQMQGVQSTRLAGSNGASSPKTPKEIVLVEKAKLPLSGSKLSNPEHHQRSCEKSNVSRRKRLMLEATEGVTELKNLPTPIGLYEDECAFCHSFRTSEYHGPMECRLNGRILSIDEGNPSNGIYVHKKCLLWAPQVWFESDESDIVVNLEPEIRRASRLRCHRCELPGAALGCYYESCKKSFHVPCALQINGCRWDVDERLVLCPEHVSMPFDKEHVSQPLPCDKLSSHKKKTDKSSSLALSQCLHKKECFVTFQGEGQQIDQLHTKTNVRIKKHFPHIAQGNANKCISLPHQVLPRDSCHPDEEGISSACQSKEMKEDQQETSRYSSEKWVLLDSSSSASEKEMRMDMEVLSNDHAKDELNTSNSSSLPQGYYTRKEISSAANRRDKIKTDHQLVLLGSSLSASEKESFENFACWTKATVTNGWAKNVTHVIVGKNTGSAWSRTYEVLMAILFGKWIVRAEWMLNSLEARPDLEDSYEVTFSDDSCTLDGPKKGRTRVAEGAPKLFSGLHFCLSAYMNPGDRGLMRDLIAAAGGRLLEGISSLHHLRREDPSVKPYYVYDGGVPAELSATLLQKEMEELLEYGTAGARVTSHLTVMVAIAAYDVEALD >Et_7A_053053.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:5871067:5871387:1 gene:Et_7A_053053 transcript:Et_7A_053053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAIIPEPAKPDRPGGAAARRAPWSCVSATAASLVAVGLGGAALLVWWALAFQAANARLWMVPAGLVLLGTPILAWLSLFASDPCGRQRAPPPAAAPATGAYSSA >Et_5B_045022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8455406:8460200:-1 gene:Et_5B_045022 transcript:Et_5B_045022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDPSAAAAAALPSSFAKAVETYRKAVGTAASVTAYAVLARGMARELLPNDLRAAAIWVASLLRARLEPVPKERRTLVIKRVVGGRHHYDGEADNGLYEEVREYLATRIDPHRMRRLCLSGGGSAGGRRVMSMEPGDSMTDVFEGVEFTWASVAGESQGGSGIGNGNGNGTESLELSFDAEHTDMALDRYIPFITAAAEEARRQHRALEIFMNESSMWQGITHHHPATFDSKRCLSAQPELPTPAGAVDFCSDIRNPLSNVEWGTHSRGVRQPSDKGGAPGTLRRSASTFDTLAMDSALKQSVIDDLDRFLKRKDYYMRIGKAWKRGYLLYGPPGTGKSSLVAAIANYLRFNLYDLDISEVRYNNTLQRLLIAMPNKSILVIEDIDCCFTTASREEEEVDGYGGYSSTPHITLSGLLNFIDGLWSTSGEERIIIFTTNYKDRLDPALLRPGRMDMHIYMGYCCWEAFKTLAWNYHLIDDHPRFPEIQELLSGVQVTPVEVSEMLLRSEDIDMALRVLAEFLREKKKQELQEGKYIPMF >Et_2A_015512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14306623:14318229:1 gene:Et_2A_015512 transcript:Et_2A_015512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIWETTNGGCVRNVGDVLWPSHGNPAFCGTVATFAKNMMDLQLNVGRMILEGLSVRKEHIDSHLQNLNYSVRLSHYGLSDTGHGMSMAPHTDRTVLSIIVQHDVEGLEVRTEDGSWLSVPPEPDTFAIVAGDLLEVVTNGRVRASLHRVRALSGRKRLSSQVVSMPCDGLTVRPLDELVDGDHPPLYSPCNFDEYIRFRFAGDGLKLSEPLKGFCGMIKDEHKEEAWTERRMASGEDVAEQQRIPGLLKVDLRGVESTSRTLACVCRVRTPSNREHFSVLFGSRTGAAVRALDELDEPGRPPLVYNPSSPDEYSVFRLFTEGSKFSDPLKAIGGLTEMPRVDLRGVEPGGPGWDAARAAVTASMTAHGCVAVAHDAVGPDLRRALFGRAMPELFALPLDTMRRNVSSAGPFKGYVGQVPGMAWESVRVEDPCDAARVRSGFADLLWPQGNPEFCDTIVRVVCQEHAEAEGDGGEADPGGPRRPGTEHRRPPPLAHPRPPPVALRAPPDAETKMSMQAHRDDGMMAAIVQHEVEGLELQASDGTWLAAPLEPDTVTFVAGWISQTLLAGRHKREGQACVHRVRTPRPSNRERFSVLFGSRTSAAVRALDELIERGRPPLVYNPSRPDEYSAFRLFAEGRDPLKEICGVKKHA >Et_1A_005672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12655691:12657828:1 gene:Et_1A_005672 transcript:Et_1A_005672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNGKKEGEADKSAPNRRRKGRLKFAPKASPKTDPKTIPKTEPQEENKVTAIDKELLLKLRTLKSTDALGSKAKVEKQETPIQVAFGPAGSSVLRTFSTPRSSSSDVSVVKLPKKDEDPWDHTSKNYPITLPLRRPYSGDPDLAEDEFGQPSSRAHDGEAIAAEELGLMDMVNEPQLLFFQLPRSLPLPRQAGPVAETDTDTNVESEEGNRKRRHDAIRGCRLRELPGGLMGKILVYKSGKVKMKLGDALFDVSAGSNCSFAQEAVAVDTRKKHCSSLGEVGKRAIITPDINYLLGSIRME >Et_3A_025278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28467800:28469281:-1 gene:Et_3A_025278 transcript:Et_3A_025278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLKNWGNGDPCTSRWRGIICAKIPSDSFLHVTEIQLFKMNLSGTLAPEVGLLSQLKTLDFMWNNLTGNIPKEVGNITTLKLITLNGNQLSGSLPEEIGNLVNLDRLQIDQNNISGPIPKSFAKLISVKHLHMNNNSLSGQIPSELSRLPLLLHLLVDNNNLSGPLPPKLVETPSLKIL >Et_10B_002745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10312525:10313411:-1 gene:Et_10B_002745 transcript:Et_10B_002745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPYFQIATRLHQNELYMHLFLHQAFGAGGNRNQEFVVNLNKHIGFGVIVANDWTVTDGSASNSKIVARAQGMHMQTGMVKHSWYTSFNLAFEDERFERSTLQVAGILSDESELSITGGTGEFALARGVIKQKILMGTPGHSGHDNVLGRHMHVQQRSSSGAPNP >Et_2B_019610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1186225:1189579:1 gene:Et_2B_019610 transcript:Et_2B_019610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASQAAAATASLDFAAAPALSRRHRINSSARPSRCPVATLRALRTQGGDRRSAVVVAAAAATAPAKAGAEEVVLQPIREISGVVKLPGSKSLSNRILLLSALAEGTTVVDNLLNSEDVHYMLGALKTLGLSVEADKEAKRAVVVGCGGKFPVEKDAKEEVQLFLGNAGTAMRPLTAAVTAAGGNATYVLDGVPRMRERPIGDLVVGLKQLGADVDCFLGTDCPPVRVKGMGGLPGGKVKLSGSISSQYLSALLMAAPLALGDVEIEIIDKLISIPYVEMTLRLMERFGVKAEHSDSWDRFYIKGGQKYKSPKNAYVEGDASSASYFLAGAAITGGTVTVQGCGTTSLQGDVKFAEVLEMMGAKVTWTETSVTVTGPPREPFGRKHLKAIDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMVAIRTELTKLGASVEEGPDYCIITPPEKLNVTAIDTYDDHRMAMAFSLAACADVPVTIRDPGCTRKTFPDYFDVLSTFVKN >Et_3A_027196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4627013:4627965:1 gene:Et_3A_027196 transcript:Et_3A_027196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTRMSMVPRLEPGSDRSKACHRTPQESLCFTNGTSAASTVQDLAVAALLGARRAALRAKLQSLDLGKYGAAKGTPVGDLLAQCDVVYSDCLRTGAAGGARGSSRREGSRRRWRPWTGRWQRRWRWRTRSFACCLRTFCSDETLRTGAPVSGG >Et_8B_058732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19223256:19224770:1 gene:Et_8B_058732 transcript:Et_8B_058732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRRCSSVASELEGTLLISGSLFPYFLLVALEAGGPLRAAVLLAAYPLDLPLLAMTFLSTAGLKAGDVAAVAWATLPRFFLNDLRGGAFRAFARHAGAGERFVVTRLPRLMAEPFVKEYLGADVRVAGTELAVVGGRFTGAVASPEKAPAATPLPRGEYPRPLVFHDGRLVGRPDPLACLAVALWVPLGVVLSVTRLLLGFLPSGLGLLLAAATGFQISADLSSSSSAPEKTNGGNKGALYACNHQTLMDPVILSTVLRRKVTAVTYSLAGFSELIAPIPTVRLTRDRVRDRRIMEAVLARGDPLVVCPEGTTCREPYLLRFSPLFAEVAAGEVTPAAVRAAGTMFYGSTVRGHKWLDSVFFLMNPAPWYEIRLLAPVATGDVVGGGASTSCDVANWVQEMIGDELGFECTELTRKDKYRMIAGHDGVDARSTSIGVARC >Et_2A_016569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26141360:26141844:1 gene:Et_2A_016569 transcript:Et_2A_016569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNVDGSLVCAMIIIFVVVFGCLASPTECRRSQLHEARGATRGNQHIVPNINATAGNFSSDERKLRVVFCSMIEFCTHHCFCCNFGKKCYETRDLCRKNCDLCDPRCPPSVTDSTLVNATLHK >Et_2A_018559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32814001:32816463:1 gene:Et_2A_018559 transcript:Et_2A_018559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNITACINFVALLCTIPVAGTGLWLAAKQGEDCARLARWPVLILGGLLIVVALAGFLGAYRNRKGLLACYLFAMAGLITLLLALLVFAFAVTRASGGQPVPGRAYEDYRLEGYSAWLRGYVTDDPRRWEGIRACIAASDTCRKIAQDSTIIVPEQFYMTHLSPIQSLPCWITQSGCRKPPTVCGFAYVSPTVWTSPANPAADADCAAWSNDPAQLCYFCASCKAGVLGGLRDEWRKAEVALLIATIALIFVYIIGCSAFRNAQTEDLFRRYKWGNY >Et_1B_013539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9327327:9328092:-1 gene:Et_1B_013539 transcript:Et_1B_013539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPTTSTAVYVVAVPLRAPKGPAQLLMSAGYSLGQWDLQHFMVLLRPDPARTQALVFDFQPHDPEDVLAALAVLSRSEIPGKSSGFAVDSFRQGELGMFVKLTSRERCSGVVRRRTLRRIPDRRCWLVGHCDGDAVGAADRFSERWPTGLVVGEHDCRDYTNGLVEVLTGEKRVLESIRSAPGTSGAAPP >Et_6A_046797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20698205:20710772:1 gene:Et_6A_046797 transcript:Et_6A_046797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLEEHFSRCQSCVPSDMQESPTPSTEGALEEQVMQGFISISVAENTFVTRLLALLYRKTRDTTARLRSDKDDRRHTNPARVAVWVVGRQCGPARTSFAVAGVCICQCSFIIRSGRCTVADGLFATIYKARQVSMNLGHRFSKDISAAFAFTLNLLPASSIMWRLKISEGTGPWLQSGSNFLGRQVWEFDPDAGTPEERAEVERLRQEFTEHRFDKRTSNDLFLRMQYAKRSHPQVGPGIKLVESAQVTEDIILTSVKRAMMQHSALQADDGHWPSDYSGILFIMPIMNEDGGWGTQELGPSTMFGSCLNYVTLRLLGEACTNDALAKARTWILSHGTAAAIPQWGKIWLSMVGLYDWSGNNSIIPELWLVPHFLPIHPGRFWCFCRLVYMPMAYLYGNKFVGPITPTILAIREELYDVPYNEIDWTKARGTCAKEDLRYPRSFVQNAVWNCVNKIVEPMLNFWPANKLRDVALRKIMKHIHYEDETTKYINICPINKALNMICCWVENPNSNAFKMHLPRIYDYLWIAEDGMKAKVYEGCQTWETAFIVQAYCSTNIANEFAPTLRKAHEFLKRSQVRENQPDYENYYRHRSKGSWTLSTVDNGWSVSDCTAESLQALFLLSKISPKLVGDHIKGERLYDAVDCLLSFMNKDGTFSTYEWKRTTPLLEVLNPSETFLNIVVDYSSVECTSSVLQALIMFSQMYPGYRNEEIRKCIESASKFIENEQKKDGSWFGTWGICFTYGIFFAVKGLVAAGRTYENSSSIRKACSFLLSKQLNTGGWGETYLSSESGVYAEATGSHAVNTAWAMLALIVSGQVERDPKPLYHAAKDLINMQLESGEFPQQEHVGCFNCSLYYNYGNYRNLFPIWALGEFRNRLLAQKKTYGFAGQHASTADTGY >Et_5B_044842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6448402:6451611:1 gene:Et_5B_044842 transcript:Et_5B_044842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDSNPFEEEDVNPFSDQGRGKAGGQSNYGGGAFYMPNPRNVPPASNSRLSPLPPEPADFSATVDIPLDSSKDLKKREKELQAREAELIKREKELKRREEAAARAGIVIEEKNWPPFLPLIHHDIANEIPSHLQRMQYVAFASFLGLVCCLFWNVIAVTSAWIKGEGVKIWLLAIIYFISGVPGAYVLWYRPLYNAMRTDSALKFGLFFLLYLLHILFVVFSAVAPPVVFEGKSLAGILPAIDLISNNALVGIFYFVGFGLFCLESLLSIWVIQQVYMYFRGSGKAAEMKRDATRGAFRAAF >Et_2A_018112.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:11560624:11561007:1 gene:Et_2A_018112 transcript:Et_2A_018112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEQQQTVVLYPSPGVGHIVPMVHLGKLFLRHGYGLVGYYHVTVLLAEPPSGSSDHRIVDVGRLAAANPAMITFHVLPPIPETDLVGKPRFLQTLQLLQRYNDGLERFLQPVLGGPKKFTVSLQAL >Et_4B_038560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29850590:29854969:-1 gene:Et_4B_038560 transcript:Et_4B_038560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAAAVSPDPTPQEHSSPAKRSPWKHTAPNGVVEPGVMDANHWPALSETAKATTKPAPAPDSSSRPPDSAPAPVASSAVANSSNSQKHSHHGRHKPARRGGASGGDHSPRDHPDRNTGGWDHGGGGRGGQRNHNNGGGRRGNGGSGSGGGGASHHGGGGGGFGGRRRGGYDGFYHGPPPMGMGPYMRGAPPPPPPMAVPPPFMGPPPPPVSPMRAFAGPMILHYFFHACQWCSIFIQLTRLNNFLCSEMPSPVSPVSPMYYFGPPPPPEALRGMALAPPMGPPAYPYFQAPHEMQPEPEADAEENQAKLLKQIEFYFSKDNLCTDVFLRRKMDDQGWVDISLIAGFKKVRELTNDIQFIKETIQSSSILEIQDDKIRRQNDWDKWVIPRESNPDVPSSSASLTSRTEVSDLTAHLGGVDLHESASSSGMVDAHEVLQNGSPSTNDQGPVVEDNSGHQ >Et_1A_006707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26687261:26689282:1 gene:Et_1A_006707 transcript:Et_1A_006707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTFIMIKPDGVQRGLIGEVISRFEKKGFYLKAMKLVNVERSFAEKHYADLSSKPFFAGLVEYIVSGPVVAMVWEGKSVVATGRKIVGATNPLAAEPGTIRGDFAVDIGRNVIHGSDSVENGRKEIALWFPEGLAEWQSNQHPWIYEA >Et_9B_065411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4801944:4804406:1 gene:Et_9B_065411 transcript:Et_9B_065411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSRRAGAAEDVKIGTGNVFAALETLKKKKKKPAADKAGAKGGSKAAKGQEPPAPKPEVFWAPAPLTAKSWADVEDDDDDDYFATTAPPPRPVWGDGAAAKERPASAMEEEIESEDDGLDDEVDDDAEDEHEHEPEAEDTVPAEPTVKYVVAPPAPPKDTERQLSKKELKKKELAELDAVLAELGLGASSNSNQDETNGKNGADQAAGEKKEDAPAPPETKSSKKKKSKKDKSSKESKETQDQADGSEEAAGAEPDEDTVSVDVKERIKKVASMKKKKSSKEMDTAAKIAASEAAARSAKLAAAKKKEKNHYNQHPVR >Et_4B_035993.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:11205821:11206533:1 gene:Et_4B_035993 transcript:Et_4B_035993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRPYSFIVRHDFRSTMKKASLVPMKRTSKPSTNCSPSMDSGRVPIESSSVSSSTTRLCVHPAYALGPASLDQRWSLDSCTAANPSPPFTVSVSSMCL >Et_2B_022367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19325127:19330931:-1 gene:Et_2B_022367 transcript:Et_2B_022367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERSSLSLFLLFLAALVSLLPTSHGQQPLPAAEAKQTVTVGLIIEADSPVGRIANTTIPMALEDFYAAYPNSSTRVLVLMHDTGGDVVAAASAALQLMTSQGARAILGPQSSAESAFVAGLATRAEVPVVSFSATSPSGAAGGSFFVRAAVSDAAQAGAIAALAVYFGWRRVVPVYQDDDYGAAFVPYLVDALTAAGAEVPYRCALPSAAEASSVDAAVYRLETEQTRAFVVHARPALAGRVLAAAEEAGMMGAGYAWVITDGLTSLLGSVRPPQGVIGLAPYVPATPRLRDVKRRWAHRFMRDHRDADPAEAEMGCYALWAYDAAWAVASAAERLGPGGDLSSPPGLVGGRSGPTDLSRLGKSSSGKKFLSEITNTTLDGLSGRFRLVTGELTVPAFRIVNIVDNARERSIGFWTPKRGLVRRLGVAAGGGESNSGLGPVIWPGDSTAVPSGWVQPTSGRKLRVAVPGSSVDPGYRAIIHVDADPATNRAVAGGYVVEVFEAAVRLLPYALPVEYVPVPSMRYDNLIMNVSLGVYDAVVADMTITANRSQLVDFTLPYMSSGISMVVPYRDQRSKRAWVFLKPLRYDLWLVSFAFLLFTGFAVWAVEHRVNEEFRGPPSYQIGTLLYFGFSTLVFAHRENLRSNLSRFAVVVWVFVVLILQSSYTASLTSMLTVPQLEPTIADYGALWRATEKVGVMNNSFMRAFMTRSGFPPSRLVPYRATQAFHEALLNGTIGAIVDETPYLRLFLKAYCDNFTEIARANRTGGFGFAFPKGSPYVADLSRAILNLTESDELSLIERKWFGDADGCAAQGRPFTSDSLSFRSFWGLFLITGATSLLCCAVHLATFVVANRRPIWELATSASSHVSWRGRFRRFLELFDEKDLSSHTFKTKDGAGGGGSVAGRNSVDAGAASPAVTHNAGGSPLSMSNHTYMSDWSSLGTASPAPAGTGEIIELAAAAQQAEDEVTTAARNPDDGSSDQNGTDPQAGNSSPANS >Et_3A_023051.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:21437275:21437886:1 gene:Et_3A_023051 transcript:Et_3A_023051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRPWRGVLHPPAVRARERAVLARVRVAVPPHAARHVRDRALLPGGVAAAARGLPRRPARVHGVAPREAPVRPRARGGRGRAGAAREQRGGARQEDHDRHGRPERGREERERAEDHERRERGRERDAREHEQRGQDDGQRQEAEEPLGGGAGGDVGRRVVRGRLGLGAPRGAGHVGARAGRRPVCHGEARRGGGTMEGENLVAG >Et_5A_042589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21333100:21333754:1 gene:Et_5A_042589 transcript:Et_5A_042589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIATICFTFRTRRRIVLLTAKRNIRRAMDKFRPAASSCFQRQDAAGRLHALASCIREALGATDNLKVRGRSWLAEWRRELQAVVDRAGPVLLQQQLAAVTTARGRGDDDHVRRAVESVETAAAHLGGFMTFTQDLRHDRGRGS >Et_2A_017585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3889362:3891500:1 gene:Et_2A_017585 transcript:Et_2A_017585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDPRVTARELEDEFRVFGVLRSVWVARKPPGFAFIDFDDKRDAEDAIRDLDEVTAQWGALLGAVVCRQLVGAAIAGHHSTAVAGMSLPTLMVTAAAGARARSGVLSSIADIAGLSAIINMRI >Et_2B_019480.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6822762:6822791:-1 gene:Et_2B_019480 transcript:Et_2B_019480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYLSSIG >Et_5A_040886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14155336:14157210:1 gene:Et_5A_040886 transcript:Et_5A_040886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMDHGVKNAISNLHEFVKSLVGQAFGFQGSICNHDVVSQKITMFSSLVDDFLSDGNGLNEIVIALSEILLESGDVKLVQLRERINEAESSNVDCVDKVTLLEKKVHHESGLCSLFPHSSTYPDFLGSLSDALDDKTAVLCTAEEHEKLKLENRKLEKELNLCNEMIESTNFKFGAMEKNLEELTSKLASCEKSNGLAETQLKCMAESYKTLESQKVKLEEETKVLQRKIDTLSTDLAEERQNHQQEIAKYRILEENMKRYEKDSMCVDEHSDTKLKQDKEIAAAAEKLAQCQETILLLGQQLQTLRPPSAEPLGPAFDKQQSGVFSDNQAGQAQGRHSKKASGQFDTEYIFSSAQGTGNVSPLTGGASPYFTSPSSSKRPKHRSRSSSSSFSNLLPEKQGRVFS >Et_10B_003237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18005214:18006091:1 gene:Et_10B_003237 transcript:Et_10B_003237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVEPLIVGRVIGEVLDSFNPCVKMTVTYNSNKLVFNGHELYPSVVLSKPRVEVQGGDMRSLFTLVMIDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGRELISYESPKPSIGIHRFIFVLFKQKHRQTVIVPSFRDHFNTRHFAEENELGLPVAAVYFNAQRETAARRR >Et_1B_009828.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30501404:30501843:1 gene:Et_1B_009828 transcript:Et_1B_009828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKPVECSVELVEANGLGRPALAPDAESPAIKLLVHINNRHVYGTWDDGGSVVVSYAGVPLARGSTPAFSVEKKKTVTLAVDATSDGVGVPEDLLRLMLAERRSGLAQLEIDLRLFGRLFTCSIELDVRFGACRCNALNIVYSS >Et_3A_024577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22286330:22286662:1 gene:Et_3A_024577 transcript:Et_3A_024577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQRAVLRQRKSCKGVGGPDRGTLDWKAESNTTCKDLRFSGDNREQIRRDTKQGQGSPENILTWTGSMADHEEPWPRSVASIALGRSIGLPSPQVGEWTL >Et_2B_020350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19219831:19223486:-1 gene:Et_2B_020350 transcript:Et_2B_020350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNKAPSITAETINPKVRIFSYEPCGEIARHAERLEQELEENPGSLPFKEITYCNLGNPQALGQRPITFFREVLSLCDNPTLLDRDETPSLFSACAIKRAQTIIDSIPSRDSGGYTSSRGVKNLRQAVADGISARDGFPSDPDDIFLTDGASSAVHLMMQILIRSHEDGILCPLPEYPLYSASIILHGGTMVPYNLTEDDGWGLEIFEVKRCMEEARSSGLTVRAMVVINPGNPTGQVLSITNQEEIVEFCRKEGLVILADEVYQDNVYVENKRFNSFKKVARSLGYDQNDLSIVSFHSVSMGYYGESGRRGGYMEISGFGADVKEQICKVASLTICPNIAGQILISLVMDPPKLGDESFESFEAEKEKIRSSFWKRAKTLEKAFKSMEGISCNKIEGAMYFFPRLHLPPRAIKAANAEGVSPDIFYAHRLLDSTGIAVVPGSGFHQVSGTAHIRCAIVPDEEKIKAMIPRLKAFHEAFMNEFRRDSGACHRCTTEM >Et_3B_029198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2344184:2352371:1 gene:Et_3B_029198 transcript:Et_3B_029198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGENGAAAAVAPLLGARRKHREGCPGCRLDEINKSKTGIPYLNFFYIWVRKTWHEGCPGCRQQRKVQASDKIPYTAFLYIWIACLCAALPIQSLFPFLYFMIRDLKVAKQEQDIGFYAGFVGATYFLGRTISAVPWGMFADKYGRKPCIVISILSVIVFNTLFGLSTSYWMAIVTRGLLGLLCGILGPIKAYATEVCRKEHQALGISLVTSSRAIALVVGPALGGFLAQPAQKYPNLFSEESIYGRFPYFLPCFVISLLAAGACIACIWLPETLHFHNDDKVDAIEELEAQACEPNSEDGKDGSRGETTKNLLKNWQLMSAIILYCVFSLHDTAYLEIFSLWAVSSRKFRGLSLTSQDVGTVLAFSGFGVLVYQLAIYPFLAKYFGPIKPFRPAAVLSILLLATYPFMANLHGTELKILINIASLLKNMFAATITIACNILQNTAVTQQQRGVANGISVTLMSLFKAVAPAAAGILFSWAQKHISGLFLPGDQILFLMLNMVSVIGLVLTFKPFFSLPRQ >Et_1A_005477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10774906:10778562:1 gene:Et_1A_005477 transcript:Et_1A_005477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSSGSVGSGGGSSSGGGAGSTVPLAVLLRREVVSERTAAERPELQTGLFNQAKKGEDFTFLKPECERLPGVPSSSFSAFGLFDGHNGNGAAIYTKENLLNNVLSAIPADLNREDWLAALPRALVAAFVKTDKDFQTKARSSGTTVTFVIIDGLIITVASVGDSRCVLEAEGSIYHLSSDHRFDASKEEVDRVTESGGDVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGEFIVPVPLVKQLSTAGGRLIIASDGVWDALSPEEAFNCSRELPPEPAAEQIVKTAVQSKGLRDDTTCIVVDIIAEKSNHSMPLPKKQPGIGVFKNMFRKKPSSDSSSHADREYMDPDIVEEIFEDECAFLSRRLDSEYPVRNMFKLFICAICQVELKPNQGISVHEDSSQPGSLRRWDGPFLCQSCQEKKEAMEGKRRSRDSSSRNSGSSE >Et_10A_002129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23203547:23205127:1 gene:Et_10A_002129 transcript:Et_10A_002129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYGMKDLETRIFSTMRLVLLGKARLSGANFSDSPDEVVKDYQLKGGTFKRSKGVLLLRVFLKNQAPSHNLCLLHFLENPYKHLWTLN >Et_4A_032129.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:19931942:19932112:1 gene:Et_4A_032129 transcript:Et_4A_032129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGSIPRRPSKEAAYKELKTHLAIMASCVVVIRAAPYVLHFLTRDGSSSDVKLDF >Et_2B_019950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15560312:15565670:1 gene:Et_2B_019950 transcript:Et_2B_019950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQQQSAAMSAPPPRKRKKKGRPSLLDLQKRSLRLEMMQEPAAARRPSTRRNPGPGSADDSDGPAAGGRREKKLRLVMGLHGESAKGEKTRKATDGRQEPSDSGPTTPLPNKKLLLFVLDRLQKKDTYGVFSEPVDPEELPDYHDIIKHPMDFSTVRKKLEKGAYSNLEQFEDDVYLISSNAMCYNSPDTIYYRQARAIQELAKKDFDNLRQDSDASEPEPEPKQEPELEPEPKPQPRRGWPPNKNNVKQKVGRPPAEHANTDFSGSSPATASNSGRHAPSELELSRRAMIADVLRASFANQRNECNWSVERKSERIEDYPGSGSKWSANGKKPLLMEDSRRSTYYEAQPSSSMYELPVSSSYNGPRKLLVGVQLQQSYSRSLARFAAQLGPVGWEIASRRIERSLPSGTKFGPGWVGDDETPRTFQPPVLASFSEAMAPPSNIVSSSKQSTVNSPATTDCAASASHLAGSQPHAVSYASTSTAQRIDSRELPVQQISMEPGGHGVEMKSNHNRHVNPAMQQTVNGFNAVPGPMLFPAAAQLMVNQMQTHTAE >Et_7A_052216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5779501:5781741:1 gene:Et_7A_052216 transcript:Et_7A_052216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TEFMVDMKCEGCVKAVKSKLETLEGIKNIEVDLNNQVVRVLASLPVKTMLDALHQTGRDARLIGQGNPNDFLVSAAVAEFKGPVIFGVVRLAQVNMELARVEATFSGLSPGKHGWSINEFGDLTRGAESTGKVYNPPGCSSDKPLGDLGTLEAGENGEALFSGSKEKLRVVDLIGRSIALYATEDRSDPGIAAAVIARSAGVGENYKKLCTCDGVTIWESS >Et_10B_004080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11425747:11427064:-1 gene:Et_10B_004080 transcript:Et_10B_004080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTADLMTPYKMGKFNLAHRVVLAPVTRCRSYENLAQPHNALYYEQRATPGVLLIAEASAVSEMATGYPHVPGLWSQEQVEAWKPVVEAVHDKGALFFCQLWHTGRKSPTTEFGAPTRMDPEEIPQMVMDFRVAARNAIGAGFDGVEIHAANGFLINQFLFFMDNVRVDSQPLRLDQFTKDNQLNGGISLATDVVAAVADEVGAHRVGVRLSPFASFMDCTEADAEAHALHLVHLMDKLGVLYCHVIEPRKRDNGDDGKHRLSLFRKAFRGTFIVNGGYDQEEGDRVIRDGYANLVSYGRLFLANPDLPERFRKKAGLNKYDRSTFYTSDPVVGYTDYPFLGQETQLVA >Et_6A_047330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:398368:398780:-1 gene:Et_6A_047330 transcript:Et_6A_047330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSLAAMARMSAQETTPGHAFSTADLMASMTSNPLTDPLLGVAIFSPWKLDVSSSSNDASHPCTPSVTWTKQSWKKRRTMEAPMRFSLAMADCTTDLTASYTPGHE >Et_3B_029812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28099604:28101678:-1 gene:Et_3B_029812 transcript:Et_3B_029812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETAVPPPQPDPPADADADAEVTPSISLSMAGVSQNPTEPHPLPQLQYSSPPAAAEPHPLPQPQHSSPSAAADADAEVTLSNSLSMADVSQTLTEPHPLPQPHYSSPPPSGEDDDVAVISGASAEGDAAAAANAAMEERVRGPWSQEEDAVLSRLVEKLGARNWTLIARGIPGRSGKSCRLRWCNQLDPQVKRKPFTAHAVHGNKWACIAKLLVGRTDNAIKNHWNSTLRRRYCHGQCSHGGAVDVATPEVSRAVSEETWALADLPSFNGMDVNDAPAQTVPQSYAGLWYIGGQNYIAEAVDPPYLARPAARIGAFRPFNLGLAESTKHETASSVFKFDSTLKALDPENEVFKFADPTCFAAEVPNKCGHGCCSAHGRPHKSSLLGPEFNEFEDRPPISNSTFASLVSEISSIAWMKSGIQSSDVSSSFQS >Et_3B_031653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6907729:6910184:-1 gene:Et_3B_031653 transcript:Et_3B_031653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDHSSPFLVSLHPPIHGDVDPLRPGWVLLDFCAYTASNAASRNATTASCRTRDGDDEIQVTFFPAPPPRVSYFCVHCSGIEPRLFPADPKIVATDGNFALLRVAVGAPHELGYHCSHEYYIYCADGAGGGPSLEFLPHPSTYMLACSAAEEPATPSPALRDDSSEFFRNPFPLGTYDVCLFNSDDKVWTASAIAIPDQSEEDFEHHTTKVITVGGEHGTMAFVDLWRGILLYDVFRGVDTVRYIAMPEKFCTSTSMMYNGSPWITRDIALVNGSIMRKKAWIIAVDMNRRMLQGVAKFDAKRIFGLSYTYTQSRIVHKNHVNEVGAASYM >Et_10A_001864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9270371:9278796:-1 gene:Et_10A_001864 transcript:Et_10A_001864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTYFVREASVPCGEREKKITREILKNSPRGGAARRSYPVAAASSRGPLASTSPADPAADQASAPPPGSFLISPSEARHAASSPLRGVLRFVGSAALAVAILVPSPRRAPGVRSDGPGTGTLYQDNNTMSEATAGQLQTKEQDGGAQASQQVGQQHVNVADPLSLPKEAEGSNNEQPVKVEQDNSHQQEQQQLENQLQQAESNSFQLAEKETGYFGQQSFASSNVDVAQSSADQQNVKQTAAQQAPAGGQDARKGPSIPFNMLIPILQAHLDRDKDMQLQTVWAKLRRNEVHKDDFLRVIRNIVGDQMLKQAAHKVFMQMQAQAQRNNQANQSQHSLFSQASAQQVPSSGSVQSHDQQVRPPALPNQGQKSQVSSSPRAFAPSLGSQGPNNIHYLAHANPNQNPDAKGANLTLNQAPRPNSAGSLQTRNMQQQPTQFQQTSQQLYGASNPSAQAHSRSITGSIPPRPLSSVQEAQPSMHAHGMVPAKLGTSPTHPTLSHNLVRPMQPKKGVKANAPAPTVNAKQDSESAVKARVGKQATGSAKGNKKSAGQKKALDAAGSTQPPSSKKQKTAGAFQEQSIDQLNDVTAVSGVNLREEEEQLLSAPKEESLATEAARRIAQEEEEKLFLRRHPLLKKLGEITLKYDLKNISGDVEHCLSMCVEERLRRFISTLVRVSKQRIDTEKTGHRLVITSDVGRQILMMNQKAKEEWDKKQAEEADKNKKQTEADGSGGAESEKEKEESRPKNVKPNKEEDDKMRTTAANVAARQAVGGSDMLSKWQLMAEQARQKREGIDVGASSQSGRGLLSRSSSMFGKGPGDRHDGLKRSHSAAFGSGMTRPGRGGFVGPQRTICVKDVINVLEREPQMTKSRLIYRLYERLPGDSTAD >Et_8A_056993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:197894:207159:1 gene:Et_8A_056993 transcript:Et_8A_056993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLISPAAACRGCGFVKSGFLGAFSSFHYQTLSSCSSKRSSSSLKNCSSYRANLRKESSQWIRSKPSLSANSTKFVGKRTSCSVATEPPPAATEEPEMDSPKEIFLKDYKKPDYLFDAVDLQFQLGEGKTIVTSKIVVSPAAEGTSSPLVLHGSDLKLLAIKINGNELKSEDYTVNSRHLTLSRPPAAVFNLEIVTEIYPQLNTSLEGLYKSTGNFCTQCEAEGFRKITYFQDRPDVMAKYTCRIEADKTLYPVLLSNGNLIEQGDLADGKHYALWEDPFKKPSYLFALVAGQLDCREDSFVTCSGRKVTLRIWTPAQDLPKTAHAMYSLKAAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRIYQFPQDSGPMAHPIRPHSYIKGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYAAMCDANNAQLPNFLQWYSQAGTPTIRVASSFDASSQTFSLKFSQEVPPTPGQPVKEPMFVPVAVGLVDSSGKDMPLTSVYNDGTLQTLSSDGQPVFTTVLQFQKKEQEFIFKNVPEKPVPSLLRGYSAPVRLDSDLSESDLFFLLANDSDEFNRWEAGQILARNLMLSLVADFQQQKTLVLNPKFVDGLRSILRNTSLDKEFIAKAITLPGQGEIMDMMAVADPDAVHAVRTFIKKELALQLKDDLLAAVVSNRSSEAYAFNHDSMARRALKNTCLAYLASLDEPDVTELALHEYKSATNMTEQFAALAALSQNPGQVRDDALLDFYNKWQHDYLVVSKWFALQATSDIPGNVVNVQKLLAHPAFDMRNPNKVYSLIGGFCGSAVNFHAKDGSGYKFLGEVVLQLDKINPQVASRMVSAFSRWRRYDETRQALAKAQLEMIISANGLSENVYEIASKSLAD >Et_7A_051617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21379529:21380161:1 gene:Et_7A_051617 transcript:Et_7A_051617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQPRLARLQQNSHFLEFSHRNHRYIKHIATSEKERSPGRFDRNNTEITETGPEQLQNSPEPSSYIKHILTSEKERCPGRFDRNNTEITETGPEQPRLARLHQNSHFLEFSLGNHPVTSNTSQQVKRSDLRVVLTETTQK >Et_8B_060871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9853409:9855789:-1 gene:Et_8B_060871 transcript:Et_8B_060871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPDRHDMIDLVRERQTSRGSSSAAAVDFLSGGGDGDGGSGVASSSSLTTALMDGRLMGRLDVQSSATWSTRTTSSLDAAASLGSSTSSTPPSPHSTAPRTHRTRSFSPSSGPTGLLPVTSSSITTPKLYTSLLSVTRSVYEYSVQSSDERKKRSVSVNESVNVIGDLGGEIGVEEDVVGLDVAVHDGRRAVVVQVGQPLGGVDHDPVPRRPVQEEDGAAALAAMAAAVEEVAEAAVGHVLVHQQPAVVVAAAEAAPQLYDVLVPDPAQRRHLGLERVLGAGGLSQGLAAPQLLHGDDDVLAAVPEDGTVHLPSGARADHVVLIEAAEDVVAVELHLVERRERPLRPPVAAPDHRGAPLPSPLAPLFRRSSRPSVATSASDAGNGPEKPLPAADSSKRDGRVPSSVGSAPVNRFPEMISCDRFLAAATAGPATSPDSSFPASSRRYRRGRPHSSGGTAPESRLFGSRTRVSVLHSPSSGGTGPTSWLLSRSSCSSLPAAQRPAGIRPEMRLSERSRNSSGQWLPNSGGSSPARRLEKRRRSASAGARASVAGSRPESRFWYRCRLSSRGARNRSAGISPARRFMEASRNRRFLSAPSPAGTPPVSWFE >Et_2A_016868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2897396:2901996:1 gene:Et_2A_016868 transcript:Et_2A_016868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATEAATAAVAQPEQSQQANGNGEQKTRHSEVGHKSLLKSDDLYQYILETSVYPREPESMKELREVTAKHPWNLMTTSADEGQFLNMLLKLIGAKKTMEIGVYTGYSLLATALAIPEDGTILAMDINRENYELGLPCIEKAGVAHKIDFREGPALPLLDQLLEDEANHGSFDFVFVDADKDNYLNYHERLMKLVKMGGLVGYDNTLWNGSVVLPADAPMRKYIRYYRDFVLELNKALAADDRVEICQLPVGDGITLCRRVNVEVLGDGPDLQCGAEREQVDEAFRRHTHSADVDALQPPALVHQRHQPGLRHVTTTPQDDALHMENNRRVHEKDIITKESSETRII >Et_10A_000448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10568661:10569529:-1 gene:Et_10A_000448 transcript:Et_10A_000448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGIADRVTRSVEDCLACLNRVPQLPGPPQPCATVFNGTTLLGSLLYLKSKGVGVISASPLSIGLLTDNGSHLACRAAAEHCRKKGESITTLALQYSLMNHGISSFLVGVNNSKQ >Et_8A_058155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22070944:22074988:-1 gene:Et_8A_058155 transcript:Et_8A_058155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLAAPCNLRLLASAHRPNAASASTATPSTSSSLSSSSLPADESVLKPRPRLPRRSPVPRPKPSPARLASLCAAMEQHAAAGRHAEALDVFRLIRAQAPFTPLPAPTYDALVAAAAALREPGAAAAVAWHMESSGFEMDVYACNRVLGMYLACGMLAEARHVFEGMPERSAVTWGIMMGGMVDRGRPRAALALFRELWAEAGAGTGPRTVVVAVRAATTAESLQAGRQLHCCVVKMGSCNDNGGQYLSCALLDMYSKCGRVDNARQVFDGMMPHQRNIVAWNSMLASYALHGCNKVALELYHDMCRSGVSMDQFTFSTMHGVFSRLGLLEHAKQAHAGLIQRGVPLDIVGNTALVDLFSGLVEKGKRIFQLMTQNQRVKPRAMHYACVIELFGREGLLDEAYSMIRRSPFTPTANMWGALLTASRIHKNMHLAKLAAEQLLVIEPEKMNNYVVLLNLYISSGRQDDAHKVVETLKRKGSYIGDACSWVTVKKKDHRFFFKDSLHPQSAEIYERLDALMKEINEFGYVAEDDELLPDINPDDQKISRVYHSERLAIAFGLISTCPHTSLRITQSHRLCRDCHKTKKVTILFIQCEKPVQKAYQLGLAAAVLLVVAHAIANFLGGCACICSQMEFIRASFNRKLAATTIVLSWIALIVGFCLLLAGAMPNSKSNTSCGLKHGHTLALGGIMCFVHAGITVAYYVTATAAAHEAT >Et_10A_001462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3608078:3613265:-1 gene:Et_10A_001462 transcript:Et_10A_001462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQVENPAEDTMSGVPTNLDNEEQPNPGVEEQLQEEQEKPDDEEPKDLNHDELGNLEQSEVNVENVVDLNKEEQAIPPEEEAANVYSEEAEAKQQDTAVPEPEEKKWPGWPGESVFRILVPAQKVGAVIGRKGDFIKKMCEESKARIKVLEGPQGATERAVMISAKDEPDAELPPAVDGLLRVHKRITDGLESEPDQPQRGAATVGPTRLLVPASQAGSLIGKQGATIKSIQDASKCVLRILENVPPVALNDDRVVEIQGEPLAAHKAVELIASHLRKFLVDRSMKAHNVPREQPMPPPQPWGPPPPWGHPPNMPPPGPGYGGNPQYMPPRPQDNYYPPPEMPPVEKQPHYGISSYGRDAPPSAPSGNQTHGSSQVTHSMQVPLSYADAVIGAAGASISYIRRHSGATISIQEGVPGEMTVEITGTASQIQTAQQLIKNFMAEASPQGPPPAPAPPAQDAGYNSYPPYGGASYGSTPGGTGPQGNYGSAPYPPSYGY >Et_8A_056887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18568544:18572932:1 gene:Et_8A_056887 transcript:Et_8A_056887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELKKLSLKVNHCEGNIQFLKSELNAIEETCINLGIKLGNYYSSEVSIANNDTSLEAKQCTFRGILDHDKTAAWIICQLKVRHYEEASKMPFMKNNLGFVATLGKVNDDNLSRLLSEYLGMDNMLSLVCKTSDGLRVLKSMIRMEALIRAVVFMDWVAQLENFLMEGSLPFSGIVNNDDPQRKLILEKPRLPGGKSPPDREHLSCLTASGNGLRETLFYSLFSRLQVSKTRADIQRALPLINDGAISLDGGIWRPNGLFCLGKRLLEDMKREEDLLRPVKELYKQKTQGRAHGLSHSTNSGT >Et_10B_003249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18265684:18274237:1 gene:Et_10B_003249 transcript:Et_10B_003249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEPREVSDRLPDVPAASGPAPADDAVKTEAADGGAPAADEVKTETTDGGAPAADEVKMEAADGSAPAAEPAAAASGAGEGEVTAAGEGSAAAEEERAVVPSVTEKKMDAEDEGGATGAEHSAAPGTSKVNDGSISREVNDLDPAASEVRMEVDGGGVTSQEQSVVLALSHVNVQSIPGEVQDSAPVVSEAKMEVDDAGIPEEGSIAAPVEQDIKMVEGDDKVLNQEAAAPGGTLPVKEEAGERLVGRYIGRTVSRHGRILIGKVASYDSTTEVYSVVFEDGHSEDLGLSQLQQLLMDEENGASGMKVSCRKRKLDLLVSSGGGSEVKAPPRTRQRVDACEVSTKPDASQQSGSGSDMSEDAETSSNSSDCTKETPSDPCPPVQAVELPPSSGDIAVPEEAISYLFSVYNFLRSFNVQLFLSPFGMDDFVAAINCTVQNNLLDAVHVSLLRALRRHLETKSVEGSKRASNCLKYLDWTLLDALTWPTFLLEYLYVMGCIKDLGGQSFGRNLLAIEYYKLPAAMKLRVLQILCDHVIDSEELKIELEDREGYNEEMEYDMDSNVLLEAGSRTVSNRAAKSSAYKRINDLQNQETAPNVTNPEAVVPNASQDGNSDDCRICGMDGTLVCCDGCPWAYHSRCIGLNKAFLPQGQWFCPECVVDKLGPTSSRIERGARGAQMFGVDMCGRLFLGSCNYLLVIGTSSHAESYARYYNNYDVVKVLQVLALSDAYKDICLRIMEYWKDLRDIFQSERSKIGKEIGASHTPQYNMLSFAPMKAGDGIVWTTLEDGVDSKTLALPQTNTQHGSVANQFTLYSAEQMEKQKCMTSLSAATEKNKEVCRQAQSAQNSIHNAPVNGASGPSSVSHHIGPVVPGVSNRSQIQPAQSLFRPVLSTSVSGTMSGGKPTKLSSFRPQAYMNLYIHGNIAASAAANLAVITSEEGKVSASQLAANPRKKMAADNALQLKAFSSAAAQFVWPSTEKKLMEVPRDRCGWCLACRSSAIGNKKACFLNMATANAAKGSARFLSALHVIKNSDSHFASIVAYLANMEESLRGLLVGSLQDMQQKERWHKQLQEASNCRTIIPLLLELESNIRGVAFSASWSKLIDDWPVESAGVSTGASRPAAHQKRGAGGRRGRKRLLASESGTATEDDNSWTWWSRGNISKRILQRGALLCSTLRKAARQGGKKRIAGISYHESSNLPRRTQQFAWRACVGLSQNSSQLAFQVRYLDARIRWKEFIPPDQIPSDGKSSDADFSALRNAVICDRKIVDNKIRYALKFSNQKHLPVRVTKNILEAEDNQDGNSKLWFSENHVPLYMVREFEQKAGASSLSSPGVSDASNFYPIRVKASIKDVFSYLFHKGDVYPCTSCKKDVPYRDVVKCSSCQGNCHKECTTRSVGSKGSNGASSLMCKLCVQKRSLMLTSYNTNTSYIQPQQKINGQQPVTAPKITFKVGFSHSAEPPVKVIGHPVAKVQAQPTVKVEAQPIMNANKAQPTAKVEAQPLAKMEAQPQPLIKMGASPATNVATLQISSPQTEPKTKTKKPKPEKPRKPKKVQAITYFGLVWKKNKNDSDGSDFRANDVILKSKDGIGSSIRPICCLCHKTYSPDFLYVRCERCRNWFHGDALQLEEERIGELVAYRCCRCRRRAIPQCPHSDDYRKPEPEISEQTVATSSHSTMISSEGTFALADQDPLLASYGIVEPIGDDTMDTDLSTNMASFAPGSNQKLSIRRAQVKNCEYLDQASRSVDEYYMHNQSPGSANINFSHMNEYHLSEADSVDASELLGWDFSQGTAYAAPPDFNASYQSNDTSCGSFAADEFEPQTFFSFTELLEADDAQLDNAFEMSTGLQNDGNCTGSFDHQGVNFDEMAFTVEDGSSNMSFPPNVPSSDEVACHYCKYSQPPPDLSCAVCGLHTHRQCSPWGESAQPAESANWSCGTCREWR >Et_7B_055455.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:11020090:11021709:1 gene:Et_7B_055455 transcript:Et_7B_055455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQKPTRGSTALLTDAMTSSVRGSTASFLMLAFTAAVATAVLVVVRYNRRYRGLRLPPGPPGWPVVGNLFQVAFSGKLFIHYIRDLRREYGPILTLRMGERTLVVISSAEVAHEALIEKGQEFASRPRENTTRNIFSSNKFTVNSATYGAEWRALRRNMVSGMLSSSRLREYKHARMRAMDRFVARMRAEAASSPDGASVWVLRNARFAVFCILLDMTFGLLDLDEDHIVRVDAVMKRVLLATAVRMDDYLPFLRPFFWRQQRRALAVRREQVDTLLPLINRRRAVLRDMARSPPDPNVAAPFSYLDSVLGLGRDDAAVADDDGLLVTLCAELINAGTDTTATAIEWAMARIIDSPSIQAQIHEEIMQQVGDARPVDDKDTDAMPYLQAFVKELLRKHPPTYFSLTHAAVRPGSKLAGYDVPVDANLDIFLPTISEDPKLWDRPTEFDPDRFLSGGETADMTGSAGIRMIPFGAGRRICPGLAMGTTHIALMVARMVQAFEWRAHPSQPTLDFKDKVEFTVVMERPLLAAVKPRNLSF >Et_4A_033478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23473860:23477393:-1 gene:Et_4A_033478 transcript:Et_4A_033478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLGAFISKLAFIMMGMAKEEVEMLLGVPGEITKLETTLGDLSSILGDAERRRVRDSATERWMRELKDVMYDADDILDLCQIMESGEDPTATKATPKTKPRCWNTPKFFCFRNPVAAHEIGKKIKALNKRLSDIAERSSRFGFIIQQIHSSSHSINTAATSFSNSNIRMTGPSIIESDVVGEKIEQDTKKIVDLLVKKVDTRVGPNEENMVIAAAITGAGGIGKTTLAKMVFNSASVVDHFDKMIWLSVTKEVNEIGIMQRVIAALGGTYDGLAGDMALLEHALKLMVLQKKVLLVTDDVWSEKVWNSLLRVPLNGGATGSRVLVTTRDEEVACRMNARYLLRVDKLEAEDAWTLLKKQVSKLYLYIHAHYKFTWNLLREAESTMHDVIRSFAQHITKDEGVLVTEGQNVNAALSASSKLRHLSVSNMAIELDALQKQIPLRTLMLFGSTIADLEELCKNLSCLRVLYIDNVNLVELPESIYHLKHLRLLSLSGTSISKIPEVIGDLKFLQAIELVGCKSITQLPNTILKLRKLRLLKIRGTKITSLDLKFASRLRDNGELEDNITEEEKEQIEKMLDNLCPPKCIEKLVIKGYFGNSLPQWARTMSSFGSLRRLTLQDLSCCKQLPDGLGQLPSLEYFWVERAPSIQYIGHDLLLPSLSCAGFPKLTRLGFEDLLGLTQWEWEQQVPAMLALEGLLIDNCKLQRLPPGLAHHACRLVYLDLRNFPHLVYVENFPSLVELKTIENPRLERISNCPSLRKIIVFGCPRLKLFEDLPSLGSAEWQDFDAETLPEYLREAKLNKLTIYCRLSLLKLISLQEEASEWGKIQHVQHLKAYVCEPEKPGLDGYIYYTKEPCSFEVVLSDTTGTLLHLL >Et_1A_004588.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21293522:21295424:1 gene:Et_1A_004588 transcript:Et_1A_004588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLPVLLGSLAVAAVGAWLLLLFRRGSDGHSKSKSKLPLPPGPRGWPVLGNLPQLGAKPHHTMCALAREYGPLFRLRFGSAEVVVAASAGVAAQFLRAHDANFSNRPPNSGAEHVAYNYQDLVFAPYGARWRALRKLCAVHLFSAKALDDLRSVRESEVALFVRELAARAGQVALGQAANVCATNTLARATVGRRVFAADDGGEQGAAREFKEMVVELMQLAGVFNVGDFVPALRPLDPQGVVRRMKKLHRRYDDMMNGIIAERRAAEEGKDLLSVLLARMRDQQPLADGEDGRITDTDIKALLLVSTTSSDDKFILFFFSSFMIA >Et_2A_015335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11140613:11148876:-1 gene:Et_2A_015335 transcript:Et_2A_015335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEEREVPKVRTEQSTAHLKEEPEEGVQEWADKNPIEEQSGSERNSDNWEETQRQPKEKDTVEFTEFGGGTDDFNNVAHTKPLCDTSFGKYDICELSGDARARGGAVPAVTVVSPRAPPREWTIKPYSRKYLDGLKPVTVRSVPFPEHAPPCTTRSNSPAMVIHLGGLTGNYWHDFADVLVPLFVGARRFNGDVQLLVVNLLPFWVDKYRKIFDRITRHEIVDFEKDDGGVVRCYPHVVVGYGSRREFTIDAAVDATGGENYTMLDFTAFLRQAYSLPRHRPIKLAVAGERRRRPRMMIFERTGSRRFMNLREVVAAAEAAGFAVTVAGGRRPHARYEDLARKVNSFDAMVGVHGAGLTNCVYLPTGAVLVQVVPYGRLEGIARADFGDPARDMGLRYLEYAVAADESSLMDVFGKDHPIVRDPVAVHMSGWGNVAEWYLGKQDVRINIDRFRSMASTAYSRPSKPPGPSAGDRRAPRLAKELGRIEPKKLGIGLVAGCCLALLTYLSFARLFAIYSPVFDSSALVVKNAPPLATTTVPAVVEPEPLPEEKDVTDPEADPTIPNLAEQEAVAVQKNEQTTKPSAGGDVPEAKITCDENGVDEGFPYARPPVCELAGDIRISPKEKTMYLANPSGAGPFDANGEKKIRPFARNDAFLLPGVVEVTIKSVSPSSGAKLPECTRRHDVPAVVFSVAGYTDNFFHDNTDVLIPLFLTASHLKGEVQFLITNFKPWWVHKFTPVLKKLSNYELINFDKEPGVHCFRSGHLGLYRDRDLIISPHPTRNPRNLSMVDYNRFLRGAFSLPRAAPTPLGEATSSKPRMLIIERKGTRKLLNLWEVAAECERLGFAVTVAEAGADVRAFAALVNSADALLAVVPWGKMDWMATNFYGQPAKDMRLRYVEYYVSEEETTLKDRYPRDHYVFTDPMRIHAGGWPEIAEIIMTQDVKVNMTRFKPFLLKAIDELQQ >Et_6B_049189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19181768:19184117:-1 gene:Et_6B_049189 transcript:Et_6B_049189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPLPGEGDVEVLVERPYSHERSPSTSTRPTRPPPRVRARPYYRRWSPWIVSASTVACIAVFLVTMYVNDCPRRSATGCAAGFLGRFAFQPLRENPLLGPSSATLVKMGALDVSRVVHGRQGWRLITCMWLHAGVVHLLINMLCLVFIGIRLEQEFGFVRIGLVYLISGLGGSLMSALFLQSNISVGASGALFGLIGSMLSELITNWSLYANKVAALLTLIFVIVLNLALGLLPRVDNFAHIGGLISGFLLGFVFFIRPQFAWLNQRRESAGQQTSLVKRKHKTYQYILWLVAAVLLIVGFTVAIVLLFRGYNANEHCSWCHYLSCVPTKRWKCNSSATTCLTIQQGNTLNVTCEGTGFSKSYPIPNATQDRANELCYKFCS >Et_2B_021203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27385019:27388020:-1 gene:Et_2B_021203 transcript:Et_2B_021203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNEDRVEMSIVEHLPHGNGDASVEVDMEEEHLWPTKDGPLPIFLKFENVEYRVKLTSKNPLTAAKVAFASHMRVDEDSCKHILKGIGGSVDPGEILALMGPSGSGKTTLLKILGGRLSGGIKGQITYNDTAYSPCLKRRIGFVTQDDVLFPQLTVEETLVFAAFLRLPARMSKQQKRDRVDAIITELNLERCRHTKIGGAFVRGVSGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSASKLILILQRLAKTRRTIITTIHQPSSRMFHMFDKLLLISEGHAIYHGKARDCMHHFSSLGFAPEIPMNPAEFLLDLATGNLADISVPDALRGASPPDPQQVVRHLQLKYKQHASSAASRRTTSAAPATAEQLRLAVRARNRDRRGIGWFQQFAVLSRRTFRERAADYLDKMRLAQAVGVALLLGLLWWKSQTGTEAQLRDQVGLIFYICIFWTSSSLFGSVYVFPFEKLYLVKERKADMYRLSAYYASSTLCDAVPHVVYPVLFMAILYFMAGLHRTLPCFCLTLLATLLIVFTSQGTGELLGAAILSVKRAGVMASLVLMLFLLTGGYYVQHIPAFIRWLKYVSFMHYGFNLLLKAQYHGHLTYDCGGRAGCRRLQSSPSFDTVDLDGGMREVWILLAMALAYRLLAYFCLRKRISLMPL >Et_8A_057742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6706980:6712180:1 gene:Et_8A_057742 transcript:Et_8A_057742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTNKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLPRSRRNVNRPYGGVLSGVAVRERVFTGGGDEMVQRLTYRKRHSYATKSNQTRVVKTPGAAHLLFLARRNCWGGRLVYQYTNKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLPRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKTAAK >Et_5B_044187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21170179:21174457:-1 gene:Et_5B_044187 transcript:Et_5B_044187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGSFLVLLLLASPALGQLPSQDILALLAFKKGITHDPAGFITDSWNDESIDFNGCPASWNGVVCNGASVAGVVLDGHGISGTADLSVFANLTMLVKLSMAHNNLSGSLPSNVGSMKSLKFMDISNNRFSGPIPEDIGNLRSLQNLSLAGNNFSGPLPDSIDGLMSLQSLDVSSNSLSGPLPAGLKGLRSLVALNLSRNAFTKGIPVGLGLLVNLQSVDLSWNQMDGGVDWKFLIESTVAHVDFSGNLLTSTTPKELKFLADISETVLYLNLSNNKLTGSLIDGVELSTFGRLKVLDLSNNQLSGDLPGFNYVYDLEVLRLANNAFTGFVPSGLLKGDSLVLSDLDLSANNLTGHINMITSTTLQILNLSSNALFGDLPLLAGSCTVLDLSNNKFRGNLSVIAKWSSDLEYVDLSQNNLTGTIPDVSSQFLRLNYLNLSHNFLSEAIPEAVVQYPKLTVLDLSSNQFRGPIPADLLTSSMLQELYIQDNMLSGGISFPGSSSKNLSLQVLDISGNHFNGSIPDDIASLSGLRVLDISTNNFSGPLPAAVTKLGALTDLDISTNQFTGPLPEELPDDLLSFNASYNDLSGVVPVNLRKFPESSFHPGNSKLEYPASSSGSGNSPSGSGGKSLGTAAKIAIIAASIVVLVILILIAIVCHYKKISRQFPSSEKVSDKNLHRPPKDIASKDSKGGLVSADELVTPRKGSTSEALSQEEKSAAGGFSPSKGSRFSWSPDSGEAYGQEGLSRLDVRSPDRLAGELHFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLENGVFLTVKWLREGVARPKKEFAKEAKKFANIRHPNVVGLRGYYWGPTPHEKLILSDYVAPGSLASFLYDRPGRRGPPLTWAQRLKIAVDIARGLNYLHFDRAMPHGNLKATNILLDGLDLNARVADYCLHRLMTQAGVVEQILDLGVLGYRAPELAASKKPSPSFKSDVYAFGVVLLELLTGRCAGDVVSGSEGSVDLTDWVRLRVAEGRGSDCFDAAMASDSENPQAVKGMKEALGIALRCIRPVSERPGIKSVYEDLSSV >Et_4B_038763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4688887:4690290:-1 gene:Et_4B_038763 transcript:Et_4B_038763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAATSTSAPATPTSILICREDGNDIFLDADDGADFSVAADHRLLAVDQDDEYVAVLLSKESASPGAGAREEQMEEWMKAARSGCVRWIIKTAAMFRFGGKTAYVAVTYFDRFLAQRQVDRGKEWALQLLALACLSLAAKVEEYRSPRLSEFPLDAHDFDSASMLRMELLVLATLQWRMIAVTPFAYISCFAARFRPDERRAIILRAVECVFDSIKEMSSVEYQPSTIALASILVARNKATSANLDELKAILGSSWPQLDTGHVSSCYSAMMIQEDKSSMHSAEVASSGVSVAAHVGSPDTSVNANNVAPATPDNKRRRLRSPQRQ >Et_2A_016128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21276812:21279823:-1 gene:Et_2A_016128 transcript:Et_2A_016128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VMDKDPRKPAMPVRGSANAAATGRTITNRIQSRERKLALQQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLALLAEVAVLEEEVVRLEEQVVNFRQGLYQEAIITSSAKNAHLTGGEGAVPPHQLTPSSPVPNSEVSPSVSPSDNHPPARASLNNGVAGVKQTPRKPVPSTPSQEDRSSAGKENQSCSNSSSRNSRQTPLPQKTPKCRAPAAAVTPDKRRATPATQTTTATPDRKRPAESAGSNSDKATSPDDSSVPNKLSEELMRCLLTIFSRMGSSAAGGHGYDEPSPSVSGSSSESSEDAYPQDPYGVAELGARDIGPYKRFHVVDAASFDWNALAGDTLLSRRLKALLRRLSSVDLAGLSHQQKLAFWINIYNACMMNAFLEQGIPTTPHTLVAMMPKATINVGGRTHSAMSIEHFILRLPYSVKQVDPDGKKGDDVASRGAFGLEWPEPLVTFALSCGSWSSPAVRVYSAARVEEELEAAKREFLQASVGVSAPGKLAVPKLLHWYLLDFAKDVDSLMDWVCLQLPTELRHKAMRAVEDARRAGAEPGRIQVLPYEFRFRYLLAS >Et_8B_059155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14487336:14489566:-1 gene:Et_8B_059155 transcript:Et_8B_059155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPPNFEHDPVVVGFEDEFREIVNKLVGGEHKLSAVSIVGMGGAGKTTLARQVYKSPKIQDHFDSLTWVTLSQNFKGIDLLKDIMKQIVGSKGLRGGKLYPRYAFAKKIVVLDDVWETDTWEQINRTVKVFPDANNGSRVMLTIRNTGAAQHVQIPAYVHNLKLLDEEKAWDLFTIKALPSFRRSPISNLVEFEVLGRKLARKCNGLSLALAVLGGYLSKNLSIEAWSDVILSWTSTKDGQSIRQIIARSYYDLPNSFVKSCFLYLAAFPEDHVVSVSDLIELWIAECLVPQTTRHKQEEIARKYVNELAQRCSVQVVNRSKAHGWIETIRTHDILRDWVIEEARQDDVAIFSFALISEYNLKCAGQAGVLLSRSKISHRTSFHNFYDDKIVQGTPQLRTLVGFELSALGIPKIRFLRVLHIENSILKNFSVVITRCIHLRCLKLRRCKHVMLPSSIGQLLYLQTIDLRGTRLESIVPKSLWDIPSLRHIYLTAGFAPPRKAQQKEIQTIWLDIPFRNIKYCQNDLANFLGQMTQVTTLSLDLIPMPMEMINMLANMPYLVDIYINKFDVLNKLPDSQFFPQTLRQLRLYAVVITKDPMPILEKLPCLVVLELSGYVGKTMCCTAQGFPLLQELEVVRFSTEEWMIETGAMPRLSHLTLYLCEKMSALPEGLLHLPSLNELALLYMSQVSGGDRTLTEMQRKGCKV >Et_10B_003840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6685546:6686146:-1 gene:Et_10B_003840 transcript:Et_10B_003840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RFYIYISLGVEELLHVLETPSPGLRQAGVDEHECRGSHGRVEVERAGHGNGLSEMNVMVTTPLMSRFVAVLSPAPCPRSRSGRISALYIHASGPYPTEKDTTNANKAAKLLTAETTAAASSLAPANAANSEPRTSSDSVMPAVLASMSGRRPTRSASAMAARMEPALATPRRTLRPRRRGLEVTPALASMRGPYCTTV >Et_8B_060164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6592717:6598451:-1 gene:Et_8B_060164 transcript:Et_8B_060164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAIPQRQLFIDGEWRAPALGRRLPVVNPATEAHIGEIPAGTAEDVEAAVAAARAALKRNRGRDWARAAGAVRAKYLRAIAAKIAEKKSELAKLEALDCGKPYDEATWDMDDVSGCFEYFADLAEALDKKQNSPVSLPLENFKCYLRREPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELASVTCLELADICKEVGLPSGVLNIVTGLGPDAGAPLAAHPDVDKVSFTGSSATGQKVMAAAAPLTKPVSLELGGKSPIVVFDDVDIDKAVEWTLFGCFWTNGQICSATSRLLIHTKIAKEFIERMVEWAKNIKVSDPLEEGCRLGPVVSEGQEINAGCIWVNCSQPCFCQAPWGGNKRSGFGRELGEGGLDIYLNIKQITEYNSDEPWGWYKAPAKM >Et_2A_018131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1314368:1317470:1 gene:Et_2A_018131 transcript:Et_2A_018131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALATSQLVATHAGFGIADRSSPSAFRVHGVRPRPPAADAALSMRTGAARPTPRNARRVQRPGGRRFPSLVVCATGGMNVVFVGAEMAPWSKTGGLGDVLGGLPPAMAANGHRVMVISPRYDQYKDAWDTSVVAEIKVADRYERVRFFHCYKRGVDRVFIDHPSFLEKVWGKTSEKIYGPDTGTDYEDNQMRFSLLCQAALEAPRILSLNNNPYFSGPYGEDVLFVCNDWHTGPLSSYLKTNYHPHGIYRNAKVAFCIHNISYQGRFALADYEELNLPERFKSSFDFLDGYDTPVEGRKINWMKAGILEADRVLTVSPYYAQELISGEARGCELDNIMRVTGITGIVNGMDVSEWDPSKDKYIAMKYDAETGTGKKKFERLFKSAEEKYPDKVCAVVKFNAPLAHHIMAGADLLAVTSRFEPCGLIQLQGMRYGTPCACASTGGLVDTVVEGKTGFQMGRLSVDVRLFSDILALIFVLIFFSEQLRIQCKVVEPADVKKVATTIKRALKFVGTPAYEEMVRNCMALDLSWKGPAKNWENVLLSMGVAGSEPGIEGEEIAPLARENVAAP >Et_10A_000628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1430896:1435570:-1 gene:Et_10A_000628 transcript:Et_10A_000628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAVESALNGDSLIGRQSVLPRMKRKTPSELRGEQLKRRANEKPSNDQLPSSAALDRSSNGFRNTEQQKISKYISTRVTEVFPVRKPRNLGKENLKDALQNNEKVAKPADATTASNFASSTLPWYSCGDSAKLDSAEGAKPGFKKVEKCSENALRSVSELHIGDEKRTGSDKFDMEKVLKGFGARDAPVASGFSVPNKQVGDAVLKSSDMCPSKVTIPGKKAPLDFTLKTTLQFVSSSSVKWCHKLNTSFGRSGITGVIAQSCRRGCQNVECPRPENKREFLFSRALQSWVYPQSLLPASIISVMLSSSGRGENDFLLKRHQDWEDSFQNLYYMLRKNILNMFYVYTAQFVALFITGSCSEKKNSCSAYLSQSTRGLRSLLRKHGVCFSMPLCNAEVEQATEDDLIELSEIQKLNVGQALHIDALSDVDNTTQSLLQFNGNDSVHGLYDVLLNYKCLLNSLSAADVPVLYSPQPFQNGCLHIPEVKCREMRRADMGMFSSGGLDTELGSALASSTGNICYSMEIKDAVLPPWVVSGICSAMSSDSKSFDLTIATEPSSMGLNVALKSMSMDAQPEAPAPSDVCASLGIPDAVLVPSLHSASIRRLSYSDGGYIAYTTV >Et_2A_015710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17153685:17160958:1 gene:Et_2A_015710 transcript:Et_2A_015710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPGAARRTTYSLLSQFPDDAPPNVLQRQSSGSSYGAGSSLSGSSDYPFHLQPPASISAAALPAAGAAAATGGSSCKSWAQQAEETYQLQLALALRLCADAASAADPGFLDPGDSGSGNSRAFPLAQPTPSAEAVSHRFWVNGSLSYNTTIPDGFYLIHGMDPFVWSLCTDAHEESRIPSMESLKSVRPDDSSIQAILIDRRTDFELGMLESYAASLLSSSADAKDVVNQLAKLMLADKVNIPCRVVKGCKYCKSDDASSCLVRFGLEREYLVDLIGDPGQLADPDSFVNGPYSLSVSSPLHPPKFRSLEITSNFSSVAKQYFSDCHSLNLLFGDSSTGQPAMKPDIIVPEAPREVLPLITSSNLKLDKKQELALIEGTQQLRNTVSDLSLAADDLIIPWSELVLKEKIGAGSFGTVHRADWHGSDVAVKILMEQDFHPERFREFMREVAIMKSLRHPNIVLFMGAVTEPPNLSIVTEYLSRGSLYKLLHRSGAKEVLDERRRLNMAFDVAKGMNYLHRRSPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSLAGTPEWMAPEVLRDEPSNEKSDIYSFGVILWELMTLQQPWCNLNPAQVVAAVGFKGRRLDIPKDLNPLVAALIESCWINEPWRRPSFANIMETLRPLIKVPVPQLIRSDS >Et_3A_023221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11790875:11792480:-1 gene:Et_3A_023221 transcript:Et_3A_023221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAALRPDAYTLPFLLLAAARCPAPAAARSAHALLEKIGLRDHDHTVHSLITMYSNLGDPLSARRVFDGIPHRDVVSWNAMMKAYERAGMSSEVAGMFRSMIAEGAVAPNGVTTAVVLTACRYEKCGEIAEARRVFDGIIDKDVVAWNAMITGYAQNGMSNEAIAMFHSMREAGVHPDKITLVGVLSSCAAVGALELGAELDRYASQRCLYSNVYVGTALVDMYAKCGDLDKALQVFQKMPSKNEASWNALICGLAFNGRGHDAIQQFELMRNEEGLQMDDITFIGVLSACVHTGLLEYGRRLFDSLTPVFKIIPKIEHYSCMVDLLARAGHLEEAWDFLEKIPGTADAVMLGALLAACWKCKNIEVGEKVIQRIMKLEPSNSWNYVVSSKIYATTDRLDDSARMIGLMRERGVSKTPGCSWVEVNGKVLEFYASTEPQHGAEDMYELMDILVDDMKLEGYIPNLDLV >Et_3A_024436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20842624:20847185:1 gene:Et_3A_024436 transcript:Et_3A_024436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSGGGGGSSSLFASVVGIGVGVGLGLVSARLTGTTSGQGSARGPTAAEVEVELRRLVVEGRDTGVTFDDFPYYISEELRLALTSTAYPYLNQTVLPDRIPVLADSSRTILLCSISGSPSHDPQHHSSVGLQRSCFDTRVLAAAAAAAEPCLHSLAKALAHQFDARLLTLDVLGLATRIHHKFGVTNERAEMPEKSLSDSTLDRVCDWIESFNFMRKKAEPTGSSHNEKGLLDLRTSDCRHKDTSSVRVQVSLLPPAKEDDDSDSSEDYENIPPSWNVDEKILMQSLYKIIVSISEDSPFILYIKHVNLFLAYSERAYSMFQKMLNKLSGRVLIIGSQFLNGDQNSDDVDEDVSHLFPYILQTKPPKEEAQLEKWKTQMETDLEKIQTEDFTRMVTDVLSANNLECDDLSAIPPDDYVAIQTNLYDIVPPAVSYHLMNDKDPEYKNGKLIISSTSLSHGLRIFQESNLGKDTVVKKDESKQVKDNEYEKRIRESVIPAGQIGVTFDDIGALDDIKESIQELVMLPLQRPDLFNGGLLKPCRGILLFGPPGTGKTMLAKAIADEAGASFINISFSSFASKWYGDGEKSIRALFSLAEKISPAIIFLDEVDSMLGTRTNSSEHEVSRRIKTEFMTHWDGLLSKSNEKVLVLAATNRPFDLDEAIVRRFEHRIMVGLPTLESRELILKKLLSKEKVENIDFKELARLTEGYSGSDLKNLCVTAAYRPVRELLQKEKEKKDKVKDKQAESSQKGGKDEVKDEQSEKPPKQGSGKEKVKSEKRKADKVAEGETEGAIALRPLNMEDLKHAKDQISASCASEGPAMKEIKQWNELYGKGGSRKKQHLTYFL >Et_1A_007178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31646802:31657829:-1 gene:Et_1A_007178 transcript:Et_1A_007178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDREKEREAELEGAMYTNCLLLGLDPAVLGSPAGPRVGLFRHSNPRLGEQLLYFLLSSLRGPAQSAKARPQSPSPLLSLLIFASLFSLDFDKVWPIFDSAQSREFRKIVQGIISELEQQGALPRSNSRVSSLATCCGPRFVELLWQLSVHALREVHRRTFAADVASNPLPAALTDVSYLHAAALLPARIALERRKFLKNANIAVQRQTTWSNLAHEMTSEFRSLCAEEAYLQQELEKLQDLRNKAKLEGELWDERLSSSSGQNSHLVSKATRLWESILARKGQHEVLASGPIEDLISHREHRCIPLSNFYGTLRINPVLSSLFMTPINMFSVRYRISGSQLLAAMDLSSSVPHSELLSGRAGEASPILDKQEEAISRLDDRNGRAQQTVDVAEILRRWTHALQRIHKQSLHLAKANDGEGPELLRSASDGETSTHADSLTATLAEHRQHLVSIQGLINQLKEAIPAMQQSIAELSEEVNVSMNPMDQLHSRLAMPVQNTGHGRTEESTSEVSEMTSTLSSTHLDKPAGSPALKLPPLFSLTPSSTGKGTQAQKRNALARQPSQEVTSEEKALALPSTKDQLNGSVHENVGYLAHDIRRSVREAALSKPLRNTERPQDKNSEDGSEHFFIPLSTSASVKDMDSVKNRRKQGLGVSSPQMKFPTSTSDHYYSTSSPISPVLLSELNGHESFITDDALDQVFSPPLLLESSLFPDTDEDLLEIAFHKVAE >Et_3A_023952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15033227:15037862:-1 gene:Et_3A_023952 transcript:Et_3A_023952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLQTILFAASNQSDVIFTLPYYCWGHEEHVYCKHIWNIHNAFACTPKWIYTFKRYMSPVIPFMTKFPHFHLLISFLSTSDNLNRFWMLGYWISPLMYAQNAISTNEFTAGRLFVDAKWYWIGLGALIGYTFLFNGLYNAAFTYFKASDRTFSLPTKAPDKKTDKLRNDVVSKRFDCKRVTNESWSSVNIRRVTLPFVPLSLTFENVRYSVDMPKEKKARGETSDRLEVLKGVSGAFRPSVLTALMGISGAGKTTLMDVLARRKTGGYTEGTINISGYAKNQETFCRVFGYCEQSDIHSPHLTVLESLVFSAWLRLPLMMLMFVDDVMELLELMSLQGTHVGHAGGNGLSNEQWKRLTIAVELVANPSIIFMDEPTSGLDARDASIVMRTVRNLANTGKTVVCIIHQPSIDIIETFDEEIEGVKRIEDGYNPATWMLEVTSTIQEQEPGIDLPAIYKDSKLYQKNQILIRGISMPPPYSTDLHYVICFWKQNLSCWRNTRYTGGRYFITIVIALLFGTVFWNLGMKRSKQQDLFNARGSMSMYYAILMLGIQNASSIQPVVAMERIIFYKERTAGMYSTLPYTFAQVAIELPYIFIQTIIYGALVYTMIGFEWMATKFLWYLFFMYFTLLYFTFFGMMSVGLAPDGTITAIFSSFFYGFWNLFSGFLIPVYKVIHGFLADSIKRIPVWSRWCFWICPVAWTLYGLGASQFGDVDEKLETGETVAEFLRSYYGFRHELLGVVAAVTMAFPVAFAFLFAFSVKYINFQRK >Et_5B_044285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22202062:22204678:-1 gene:Et_5B_044285 transcript:Et_5B_044285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWNFVVRMASVAMAQESWKQESHAPEAPILCINNCGFFGSSMTNNMCSKCYRDFVKLMEMDAPMVEKKQDDAVAAATAVDEKQPSGEPPKPPSNRCLTCRKKVGLTGSARYKS >Et_4A_032385.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:5194180:5194635:1 gene:Et_4A_032385 transcript:Et_4A_032385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDNLLKRRVHKPSSCQFCATDESIHHLFFECVVGKWFWNLTSEFLHCNIGDSFETVASKWLCEKRFSSLNVVTPCVLRALWLTRNNFVFREQNWCSVKDLMGCALKLTKTWMPLYKDGDLEEMRRWNSSWNTISGRCCKFKTHEDLGDG >Et_2B_021783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5411418:5421183:1 gene:Et_2B_021783 transcript:Et_2B_021783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETTGFPLQGTKVNIIVGSHVWAEDSEIAWVDGEVLKINGEEAEIQATNGKKVVANLSKLYPKDMEAAAGGVDDMTKLSYLHEPGVLQNLAIRYELNEIYTYTGNILIAVNPFQRLPHLYDPHMMQQYKGAPFGELSPHVFAVADVAYRAMINERKSNAILVSGESGAGKTETTKMLMRYLAYLGGRVATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCSAPQEEVEKYKLGNPKSFHYLNQSNCYELVGVSDAHEYLATRRAMDIVGISTQEQDAIFRVVAAILHIGNIEFAKGKEVDSSVLKDEKSKFHLETTAELLMCDPGALEDALCKRVMVTPEEVIKRSLDPYNATVSRDGLAKTIYSRLFDWLVDKINSSIGQDASSKCLIGVLDIYGFESFKTNSFEQFCINYTNEKLQQHFNQKPGGVIALLDEACMFPKSTHETFAQKLYQTFQKNKRFVKPKLSRTDFTICHYAGEVLYQSDQFLDKNKDYVVAEHQELLSASKCSFISGLFPPLPEETSKSSKFSSIGARFKQQLQALMDTLNSTEPHYIRCVKPNNVLKPAIFENVNVMQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGILAPEALEGNCDEKVACKRILEKKGLSGFQIGKTKVFLRAGQMAELDARRTEVLSAAAKTIQGKIRTHIMRKKFLSLRKASICLQAIWRGRLACKLYDNMRREAAAIKVQKNQRRHRARMSYKLRYASVLVVQTALRAMAARNEFRFKKQSKAAVTIQARYRCHRAYSYHRKLKCAAIVAQCRWRGKSARKELRKLKMEARETGALKEAKDKLEKKVEELTWRVQLEKRLRTDLEESKAQELSKLHSSMEVLQAKLDETNAMLVKEREAVKTIEEAPPVVKETQVVVQDTEKIDSLTAEVQELKTSLQSEKQRADNLEKKRSEEQQANEEKQKKIEETEIKMRQFQEYLRRLEEKLSNVESENKVLRQQAVSMAPSKILSGRSKSNLQRSSENVNVSSNDLKTTPETNSMSSPKKDYDIDDKPQKSLNEKQQENQDLLIRCIAQHLGFAGNRPVAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGSTGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLSLINGSMISGVETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLMKGSSRSNTNTAAQQALIAHWQGIVKSLGNFLNILKVNNVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYRATDEYAGSAWDELKHIRQAIGFLVLSVQQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSSIPFSVDDISKSMEQIDISDIEPPPLIRENSGFVFLLPPPE >Et_3B_030665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6006388:6011728:-1 gene:Et_3B_030665 transcript:Et_3B_030665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFTRPSRQKDFSRFSSSTPIVIDNGSSTFRIGWAGEAEPRLSFRNVVQRPRHRSSGETVSVVGDNDPALMKFFDCTRSALRSPFDDDVVYQFEYMEYILDYGLDRLGATSEVGHPILMTECECNPSFSRARMAELLFETYGVPSIAFGIDNAFSYKYNQKLGNCGEDGLVVSCEHGTCHVVPFLKGQPVLGACCRTNVGGFHITDFLRQLLSLKYPYHSASISWEKAEELKKEHCYIALDYMSELQMFKNNKEEAEEKTRYWQLPWVPPPKEELPSAEDLARKAALKEKAGQRLREMAAAKRSQKIAGMEKNISDWEELLEQLDEADEEEATAMLSSAGFLSPKEIKSRIMKEKQSLRKAKGESNGNEEKADVSEADKYPLVSVPDETLTPEQLKEKKKQILLKTTTEGKIRAKQRRAEEEALKEKLEEQRHAENPELYLEELRARYSELSEKFEQRKRQKVGGSQTNGNHSSSGGVGRGERLNAAQKERMRLLTSAAFDRGKGEDTFGQKDEDWLVYNKMSKGNDDDGNDDDESELARIESKLQEIDPTFVSKSEAIQPTPEAPKVRPLTAEDYKIAMGIERFRCPEVLFQPGMIGIDQAGIDEMVSISLRRLMEDESVKERLCQSILVTGGSSLFPGMIPRLESGIRQFRPYLAPLKLVRAADPILDAWRGAAAFAASSKFGKQTFTLADYREHGENLFHRYNIVYTL >Et_1A_007813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38569217:38581638:1 gene:Et_1A_007813 transcript:Et_1A_007813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQSSILVAEGAIKSVKLSLSTEEEIDILGTSSYLYPYTIRVIELRQLLSLVCLKCLRIKKGKVKRTNGKESVSATSCYYCRDLPALSLKEVKTTDGAIRLELRAPHQRRTREGSWNFLDKYGFHYGGYSNIRTLLPAEALNILKKIPDDTRKKLASRGYIVQSGYVMKYVPVPPNCLYIPEFTDGQSIMSYDISVALLKKVLQKIEQIKRSRSGSPNFESHDAESCDLQLAIGQYIHLRGTTREILQTKVGYKNDIKDRKVILFLNDCSCSKRFCKERAAIAVQGCLKRFTLADCATDICIEHQKHISLDETAQTAPTLLGHIHLDKISFKNAKKFLIDMQKRKDSFVTCLKRLRSLLGDPRIQEAKIIWVESDATTWVQNKEKVSKGEPALEIIVEKDEAVRNGDAWRTTIDACIPVLNLIDTQRSIPYGIQQRLSTTVKMVAKNVLKDHLILVANSMTCTGNLNGFNTGGYKATFRSLKVQVPFTESTLFTPMKCFEKAAEKCDSDSLGCVVSSCSWGKHAAVGTGSSFQILWNDNQAGVMELQLQLLQTNQTKIIHAGMCLLRWRITPLIGEDGAPRSQMTRSLYLENRLNSIFGLIKTSDKRKSDGSRGDWAKKSSTCNEQSLNIHQDSGDNAWGEKKSDGDGQWEMQPSTCKRKKTNADHDSWGNMMPSSSNAWVAGEGDGRSNTKSDAWSSWGHNQGSVADIKKAGNNSRQEGSWDRMAVKDTNKQQDSWDNVAMQNNGAQNDCWDSVAAKAQPLAAQDSWGNSAANNNNKHEGSWDKMDVKDTSTQKNSWNDDSWGNPLPLDNSQNAALVSQGTTNSDGKQPDSWDAWNAAPAEDSARAVNEANDSDNNKGWKSDGWGAKTGNWRSERNNPGGPPRRPDERGPPMPRQRFELTTEEKNILLEVEPIVLRVRRIFREACRDNSIRDSSFSSIASAQETPNPDSRIWGQGPDPTPTSPAVVPQETLKPESTGDAGILGKGPDPTPAATPQETSNPEPTGDAGILGKGPDL >Et_9B_065352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4024974:4025175:-1 gene:Et_9B_065352 transcript:Et_9B_065352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITSHALLRSETSRFNAGASSSNPGIDSYFYRDGSGSAGVALVGQPETLRTWSRAGFKRTFDTL >Et_3B_029063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21861941:21865758:1 gene:Et_3B_029063 transcript:Et_3B_029063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRALSSVLRSASRLRAASPSPCPRAPLPHRPSPAGFLLNRAAGYASSAAAQAAPAAQPPSTGKTTGGGKITDEFTGAGAIGQVCQVIGAVVDVRFDEGLPPILTALEVLDNNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDERGDIKTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVKSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAS >Et_8B_060867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9830438:9834820:1 gene:Et_8B_060867 transcript:Et_8B_060867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDIYDEEPPTEVSTDPSCSRNIFDEDDDWVIVKKQRISILIPPPSPDASNPQQDMPKISTKQCNLTRSSKRNGDAARKKHPEQLTAKKSQDSPAEDNITDKALVNNSDSIVGKGIPRMKVEMSPHSLGTPVAKSELTKGVGKAVQGPVHQDTGKATSSNESIDKLRMPVVSSNVANKIMRARLLERRVAGLDGLKNWLFDHGLGWFIDILDSEKLGMYQLVSLTMNQLKEMGLVAVGPRRKLIHAIESLSRPHQSEMYTDKSKKTNTNPLQKPYIKKTLRLPRRNPLSMAAPPRSSTPPATAADPNSDRNPKRKRKPKTKPAGPSALNPNWAQLQAKLPHRPAATHLGKRKNREDPPPPPNAAERSPPAEGEVTVKLEPTSDDTSLTKALAVDCEMVGVGSDGSKSALGRVTLVNSFGNVVYDEYVRTVERIVDYRTRISGIRPKHMNKAKEFWTVQKEVAELIKGRILVGHALHNDLKVLLLSHPKKDIRDTSEYEVFRRERKRRSLKDLAAQVLGAKIQQSEHCPIEDARAAMFIYNKHKKGWEKHMKDQFRSKKKLKKRGKKKSAESNGNDPNVPTVLL >Et_3A_024698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23286048:23295770:-1 gene:Et_3A_024698 transcript:Et_3A_024698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSSPDAPKLLSITTSDLFRSSLSSIPSPNTHPLLTSASSPLPPVPASVPATALIPGSRFLVDAFRHAGDFSVAYFLSHFHSDHYTGLGPSWRRGLIFCSAPTARLLTSVLSVPPELVVALEIGVRVTVDGWCVTAVDANHCPGAVQFLFASPGPNSERYVHTGDFRYTESMTRDPNLLEFVRADAVFLDTTYCNPKFTFPPQEEPVDYIVNAIERVKESAGAGERVLCLIATYAVGKERILLEVAHRCGCLIHVDRRKMDILTLLGFGGDDGVFTEDTAATDVHVIGWNLLGETWPYFRPNFVKMKEIMLEKGYKKAVGFVPTGWMYETKKEGFTVRVKDSLEIHLVPYSEHSSYDELRDYIKFLHPKRVIPTVGVDSGKLDSREVIAMQKHFVGLVDETANKQEFLMAFHRRSTHGCDSEVAKGSSQYDDKDAGLLPVTYSASEQSDSLGEKITEEMKKELLDFLPSWVSQEQIMDLLMISGSDVIKAASDFFERERDLFEEANVSSGETPKSEKNHSSDHGSSADASCQQESPSFSQKPVEPSAKLINLTPVRMNSNILKKGRKRGSSTTSKPKKKGRLTSSTESGGRKQSTITKFFVRATTTASNSDIADKVTVDSHQNNEENDDKLSDIAKTCNQNVDQLLQIVNDGMSREYAVSLLKKAKGDVTVAVDMFYSASEDNNTIDIDTNIVLQNTQNEMISNPDMGCDSSQATPKMANLCVQTSLAQADSINISLPIEKYLPIEHACWTAGQPAPYLHLARTFDLVEREKGKIKTIGILCNMLRSLLALSPDDVLPAVYLCTNKISPDHENIELNIGGSLVITALEESLGTSKLKLHEMYKTYGDLGTVAQECRQNQTLLAPPRPLSIRDVYSTLRKLSAISGSGSAGRRKILVLHLIRSCREMEVKFIVRTLVRNLRIGAMMKTILPSLAHAVVLDRKHASDPVVSMESVKSELQSFSTEVANAYNVTPNLDLLIPSLLREGPAFSAPSLAMVPGTPIPPMLARITNGLAQSLKLFHGRAFTCEYKYDGQRAQIHRLLDGSVRIFSRQMKESTARFPDLVNIIKELCSHEVSSFILDAEVVGIDRKKGNKLMSFQELSSRERGNKHSSIAIENIKVDICVFVFDIMFCNGQRLLDCPLRQRRKHIHDLFQEKPGYFELAQQLTVEANEASTDDSSTLDKMNSFFIKACQSSCEGIMLKTLDVDAGYSASKRCDSWLKVKRDYVEGLGDCLDLVPIGAWYGNGRKAGWYSPFLMACYNPETEEFQSVCRVMSGFTDEFYKEMKEFYSGERILPKKPVYYKTDEQPELWFTAEQVWEVRGADLTLSPVHHAAIGLVHPSRGISVRMPRYIRSVPDRNPEDCSTATDTATMFKAQTRKMEVSSEGQKK >Et_5A_042321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8691365:8699818:-1 gene:Et_5A_042321 transcript:Et_5A_042321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLDRTAVYKLLSSLLTKWTCTIILLGFFPLALLLKSLYTSKLRTGQQALRLPPGPRQLPLIGSLHHFLFSRSSNLPHRVMQELSGKYGPLTLIRLGELPTLVVSGAEAVRELVKNNDLAFCSRPLHPTHEIISFGGQDILLSPYNARWRELRKICVLQLLHQRRVLHFRPIRYDEVARLVRSISSDCSSGRAIDIGEKILRTINDIIMRTSVGSRCEHQNELLLLFDDGSRLCSGFSLVDLYPSSQLIRWVSPSAWHIARCQRKVHSIIGSIIRDRTTMPTSEREDDLLEVLLRLQKDGGLEYPLTNEVISSVIFNVFIAGSETSATTLEWAISELMRHPRILLRAQSEVREAFEGQEMITDENMAKLSYIHLVIKETLRMHPPVPVFQRACRETCQVLGYDVPNGIKVMVNAWATGRDKAHWDRADEFIPERFENSSVDFNGTDFQFVPFGAGRRICPGITLARAMMELILANLLYHFDWELPNGAKSGELDMAEAFGISVRRKSKLLLHAKRHINSLNKTHDLAFCSRPLPPTHEIITFSGQDILFSQYSERWRELRKICVLELLNQRRPIREDEVALLVRSISNKCTGNQHLQLVIKETLRLHPPVPVVPRESRETCQVLGYDVPKGIAVLINVWATGRDKNYWDNADEFMPERFENSSVDFKGTDFQYIPFGAGRRLCPGITLGWAMMELILANLLYHFDWELPNGVKSEELAMTEAFAVT >Et_7B_055094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7249558:7251976:1 gene:Et_7B_055094 transcript:Et_7B_055094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSFCFGRNRLAKRMSERAPMADGCVFCDIARCAPTSTTSLLYADDKVVAFRDINSSAFRHYLVIPIEHIPTVKSLQKTEDDHQLVSHMVKVGKDLLNRDAPNSEEHRFGFHQPPFNSVDHLHLHCLALPFMPSWRQVKYTPLGPLGGFIEAEKILEKINPQAEHTV >Et_7A_050985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13204876:13207642:-1 gene:Et_7A_050985 transcript:Et_7A_050985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KINSVNMARSESDRDEVFFDAFEDIRSPSETCYSECSTSDEGWPRKFGYEIWANEPMSVQERRQRFLKGMGFNEFAATRMDSLQCSGEITAVDSFTDMEERTVSSISSSDSSVCDTESVADGACCIRDLDSGRRYIVHNGAHGSLTDMLKEVGSDKVMSLLEFESLLGLSRSVQKVLRRGYGNSPARDAKGAKDLKSSWKKFMVNRSFGGICKYDVHVKNCTTSIPSKARVQHRKKNFVEFSAVYMDQEIRAHKGAIRVMKFSPSGWYLASAGEDCVVRIWQIIEVETSPTLYRGKDSHEDVEKVKMFKTNLAKGQNQAFAVLPKKAFRISETPLNEFHGHTGDILDMTWSQSDCLLTSSKDKTVRLWKAGCDGCLAVFKHKDYVTCVQFNPVDEKYFISGSIDGKVRIWDVLDKRVTDWADTRNIITALSYQSDGKGFIVGTITGACRFYGQSGENIQLGKELFVQGKKKSTASRITSLKLCPSDSSRIIITSKDSKIRVADGDAIHKFNGPSKSKALSSPYVTSDGRYLISAGKDSYVYIWNFANSSGDTKSVHSCEMFFSKDVTTAVPWPGVHQDRHMKPSVIVPTLRRQGEGRSPGPRSFTDCAKGSATWPEEKLPSAKPESGPQLGNCLSMVSAAWNTVIVTASRDGVIRSFPNYGLPVRL >Et_10B_003360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19097742:19099701:1 gene:Et_10B_003360 transcript:Et_10B_003360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSGSAASMCARPALPALPAAASLLIRARRPPLQLQPSSPSHDVLLLRLGRASSDVRCRRRLMTARGERPDQDDEQHVGFDAAVALFNRGDFHACHDVVEELWYGAEDPARTLLHGILQCAVGFHHLFNQNHRGAMMELGEGICKLRKLRLDDATNPFSRFRDEVAAVLQFLYRTQKELAACTDELCLTMDGSPTSYQLLGNFAAGQQLYKMEPEAHGVSNIIFSASTGSQSVPLRVKLPTLHATEQHLTTLQSPARVECLSVETLATTIEKPVSSL >Et_7B_054492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2201469:2202338:1 gene:Et_7B_054492 transcript:Et_7B_054492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHHLHVAYSDKAAPSSSSSSISPSLPSSAIPLALQCLRPLAPKISFPESRKMVVLPEFARVRNESRLLKYTVQVPMSGTTRWNPCPEQIKVLEMLYRGGMRTPNSFQIECITEELGKYGRIEGKNVFYWFQNHKARERQKQKRAALLTLSTTSSLPETKAAVLLLAMLDGAEKKGVSEEDAVSCKRRCKSWGDDVHGDRDGATEVADDCTNDEVTLELFPLHPQGKANN >Et_9A_062818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5352793:5358558:-1 gene:Et_9A_062818 transcript:Et_9A_062818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELETIELTAKEEQIFRRLLDVVNHFDLGTQLRVAGGWVRDKLLGEESTDIDIAMTGQNFRVKVNEYAELIGEEKPDAAPAVIPCNPNKSKHLETAMMSLFGVSIDFVNLRSEKYVETSRIPTTEIGTAKEDAYRRDLTINSLFFNINNNSVEDLTGRDLKKGLIATPLPSKSTFLDDPLRVLRAIRFAASDKEVRTELEFKISRERVGKEIDSMMVGNRPVEAMSYIRDLGLFYVVFAFPKKSNPYNCNRCCISHIEAAWNLAISVNSSISHPVLMVYLYSALLFPLRKMFHLDKKSKIHVTSYIIQESLKLDACLCKSVESIHDASQKFAELVLLFESNNVALGTVKEDLDDVYLDIPTQSLKRVFAGLILEEIKDLWRVALLISMLSYPEAENAGDTPSKQDELHWRREKYIQVEHFISRQGLDGVWKWKPLLNGDAIMEVCSSSQVDWSENGNGVCSSGSSRIRKEPWMIALIR >Et_9B_064087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11007549:11012327:-1 gene:Et_9B_064087 transcript:Et_9B_064087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHLLAAASSSSTSFRPPLRLLYPRPSPHLRLNRTGKRPFPVVRAAETDAKDAKAKGKAPDKAPAASGSSFNQLLGIKGAKQESDIWKIRLQLTKPVTWPPLIWGVICGAAASGNFHWTVEDVAKSIVCMLMSGPCLTGYTQTINDWYDRDIDAINEPYRPIPSGAISENEVITQIWVLLLAGLGLGALLDVWAGHDFPIVFYLAVGGSLISYIYSAPPLKLKQNGWIGNFALGSSYIGLPWWAGQALFGTLTPEIVVLTTFYSIAGLGIAIVNDFKSIEGDRKLGLQSLPVAFGMETAKWICVGAIDLTQLSVAAYLWSTGKTYYALALLGLTIPQVIFQFQYFLKDPVKYDVKYQASAQPFFVLGLLVTALATSH >Et_1B_010397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10101571:10106078:1 gene:Et_1B_010397 transcript:Et_1B_010397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESHGIVVVGGGICGLATALALHRKGIASIVLEKSETLRAEGGSIGIHVNGWRVLEQLGIAAELRETANLVTAFHNVWQLENKSTLVPVRGELRWLKRKDLIETLAKSIPAGSIRFGCHIAAVDAADPGHGHGAVLPTVDGSIIRAKKSETLRADGAAIGIYVNGWRVLEQLGVAAELRETANVITAKEFRCLRRKDLVETLAKNIPAGAIRFGCHIVAIHEDPGTHGAVLKSVDGSTIRAKVLIGCDGTNSVVAKYLGLSAPKTIPITVLRGFTSYQHLHLFETEFLRLRGNSFFLGRLPITDNVVHFFLAMLNVSTAVTTDDASAVKDLALEKLKECPGEVADMVRDTEPGSLNVVTRLWYRPPWQVAFGAFQKGSVTIAGDAMHAMGPFIGQGGAAGMEDAVVLARAPPRAAAAVAGEPCGDDEKKTAVAAIREYVKERRRRVAMLSLECFVMGTLLRAKSIVTKLACVAMLVLLGGKSLRHTNYDCGRL >Et_10A_000864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18366980:18369655:-1 gene:Et_10A_000864 transcript:Et_10A_000864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGACIPNGHAVPLPIAGYARVAPRKDEAALRRAMAESGPLVVGLDGSAPEFARYRGGVYRRPCGNRLSHQAALIGYGKHYDDTGLDFWLIKNSWGERWGEYGYMRLWRDPAHKEGPCGILMDALYPTVKTYMMDDPAVNFPTPRHGRRRDRRRRRRWLLRHQLSNVSLAAYARGGCELPTDMDWRMRRKGGRPGCVTDVSDQGQTQCGSCWAFAAVAVLEGLHSILTDKLVALSTQQLLDYSPGAGGCRGGGAAAAFDYVRETQSLLPASEYPYTVSEGACIPNGHAVPLPVAGYARVAPRNDEAALRRAVAESGPLVVGLDGSALEFARYRGGVYRRPCENTLSH >Et_3A_025501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30330636:30331407:1 gene:Et_3A_025501 transcript:Et_3A_025501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHHHHGAAGQAGRPWSKAEDKVFESALVLWPEHTPDRWATVAAQLPGRTPQEAWEHYEALVVDVDLIERGAVDVPGCWDAEDDGGGGGEASGPGRRAGTGRARTESRRPGIPWSEEEHRLFLQGLEKYGRGDWRNISRFAVRTRTPTQVASHAQKYFNRQLNPASRDSKRKSIHDITTP >Et_7A_051597.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2102413:2103498:-1 gene:Et_7A_051597 transcript:Et_7A_051597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRAGTARTTAPPCTPAPPSPSPSSPRPPRREPARRPCPRPRPGATPTSGTTPGSPGRAGPPAAGSGASRASRTAASRSCTRRTCASRAAASTAPAPRTRACPARR >Et_9A_062889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6258945:6260300:-1 gene:Et_9A_062889 transcript:Et_9A_062889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRVAAEDGAGAGRPRLFAVPRLLVGLKCAPPDCDSPAARSPTSPLDLRPFAALGGSLLRSPRSPRSWDSHRVGLGGIVDTLAEPVADAKNRRLLGPQMRPSSFKLPQCLAMSGTSQPRDCAHPPPELAAVEVAAGAGGVPVPCSRSYGDLKSGPEVVAPGGAQLGAAGSHSADLGKFPAPGSLPASIGGPRRYIGSVSATEVEQSEDYTCIIAHGPNPKTTRIYGDCILEPVTVCVPDGESMEAMEVKEAAESYWLVKCSGEELFPDSCLSCKEKLDGNNACIRRGENGFCSVKCIDQEILIEEEENNTAISSLSSFDSSSSFNDEIFMAGMVVLTGPVDAQLP >Et_1B_011751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25588324:25591163:-1 gene:Et_1B_011751 transcript:Et_1B_011751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFYYLVFGALAGVVAALELGKSGKDRVATSPAFNSFKNNYILVYSLMMSGDWLQGPYVYYLYQQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCISYILSCITKHSPEYKILMIGRVLGGIATSLLFSAFESWLVAEHNKRGFDPQWLSITFSKAIFLGNGLVAIVAGLFANFLADNMGFGPVAPFDAAACFLAIGMAIILSSWGENYGDSSDNKDLMTQFKTAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNEEDIPHGFIFATFMLSSMLGSSIASRLLARKLKVEGYMQIVFSISAFTLFLPVVTNFLVPPSSEKGGSISFGGCLQLLGFCTFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCSIFLFMAAILQRRLMVVSDLHRSTKAVEMPAEDEPLNP >Et_9B_066202.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:701077:702912:-1 gene:Et_9B_066202 transcript:Et_9B_066202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKALGAVKDQTSIGLAKVTAAVAPDLDVAIVRATSHDDAPPDARHADQVLRLTSGAHVAACAASLARRLARTRDYVVAAKCLALAHRLVAEGDPHFRHEVVRPAPAGRRAGEPLLALLDDFRDEAHSASWDHSAFVRAYARYLDARVRFLVSLLPAPRAVRFADDPLAPYAGSPPASSASAVDEMDAEALLTRALQLRSLLDGVLACRPSGRARTSRVVLAALYPLLRDSFQVHADASVVLALLLDRFFEMEYHHCVKAFEAYVSAARQVDELIAFYAWCADAGVARASDFPEVNRIDEKLLETLEQFMRERGRAGQAQATPILRAQLQQHSVPGAQDQSPQYDDMNGVRALPAPHRNAAAPTRSMSEKAPTVTAHKTPDQSDLVDLREPAATADEQGNKLALALFNAPPATNGNGDWVAFPSDDEAPEQVTTAWQTPAAEPGKADWELALVETASNLSRQKPALGGGMDTLLLDGMYDQGAVRHQVAAQAASGSASSVAFPGRGGAAAAPVLALPAPDGTVVTVGGDPFAASLAVPPPAYVQMAEMERKQQLLVQEQHMWTQYRQGGMQGQPAGLAAGSVFASNTSAAMPYGMMPTTMAYNQVGGYY >Et_5A_042944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7489026:7489336:1 gene:Et_5A_042944 transcript:Et_5A_042944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPYNKEILPLEDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQHELPLQRLYFSDGIPKSPPSLGEFI >Et_9B_065354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4021059:4021379:-1 gene:Et_9B_065354 transcript:Et_9B_065354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLVWSAVSDPQLPKYYLNCPIVGVPSAIGNWSSRPSARLLQPKHVPGVAALVALVGPLVTPTTWSRAGFERIFDTLQKT >Et_5A_042721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:341890:344225:1 gene:Et_5A_042721 transcript:Et_5A_042721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKRSDASAGEANQDQKHLYLVLDDWEKGYSIHRLDDNDEDGHWDWEVKKKGQRFIVRRKRRCRRRLVKPPLVRLEASKGSHDPKFGAMVGSKKIVALWQPRNRPTRATVFDAATGAVLPDGPLLPPGDLQHVRFVAASGDRLYAMHAGGLHCLEEASEDDVLGRRFSRGPPDMVDLQWRWSWARACPPLSQLPNVPWGPVDILAHAMHPDGRTLFVSACRFQYNATFSLDVQCQCSGEWTRHGDWLLPFRGQGIYDTSLDAWVGLHSPGHLCTCRVVSLSGDQQQQPEWELIEAKHLFLTRPEDDWLRQSLMTLVRLGDAHFCLLEPLTTLGFDVTPKLRIGANYLLRITKFRLKRGRNGRLRLTPGRVSSRDYKLRKYDLSKLGLSCC >Et_9B_063673.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18070334:18070829:-1 gene:Et_9B_063673 transcript:Et_9B_063673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKHGKKLRILLVPFFAASHIAPFTDLAFHLADARPDDVEAIVAVTPANASVVRSALARRGPSHAAAAAVKVATYAFPAAAGLPPGVENLSTVTAADSWRIDAAAFDESLMRPGQERLVRESSPDVIVTD >Et_1B_013849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20308652:20312875:1 gene:Et_1B_013849 transcript:Et_1B_013849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCGCDQGKDIGEATKYRGVRRRPSGKFAAEIRDSRRQSARVWLGTFDTAEEAARAYDREAYLMRGHLAVLNFPDEARNYVRGSSSSQRQQLGGGHGGNGGQPIELEYLDDKVLQDLLLRGDIYSPEFGFKPRCLAKGSLKVLTLKARFKIDLYSRGS >Et_7B_055151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7852278:7856929:1 gene:Et_7B_055151 transcript:Et_7B_055151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLHLTLNQTQRVRLEAALQSLQSLAPAAASAAAVTVADTIPVNHEDNILKGHGTSDQDGEVVATLCGVVERVNKLVYVRTLRARYKPEVGDIIVGRVIEIAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRTIFEENDVVCAEVRGFQHDGSLHLQARSQKYGKLERGQLLTVPPYLVKRRKQHFHHLEQYDVDLILGCNGFIWVGEHVVVSDSEKASTMVDEKTSSSEVETFTPLETRKHICRIANAVRVLSALGFTLTAELIIETAEASASSNVEINDMLGAEFYVQTAEREAKRRADVLKKKNGARLSSGTNAKPQHTRQISGTIQNFLSWTGNATCRAK >Et_3B_027926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26987039:26988232:-1 gene:Et_3B_027926 transcript:Et_3B_027926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDWASLDQDLVELIGWLVLAGDVLDYVNFRAACPHWRASTIRPGGRGVLDPSFHPRLWMMLPEGHGNVVRVHLPLLDDRTILDSVDGLLLLHRDHDTAICLLNPFTGDIGTVTVMLALDLLDRVAYASNGDQRWTLSFWKLRPLLKPVSFQGKLYAMQFKFKDIQKVHIYQIDPPSTDATGGPPHLQPPVKIAECPMEKFWHTISLILYRLVDLANGKIEPLTSIGDHTLFLDERCLCISHNKGSKGFPFISPNTIFCNHRLPSVLSFIEARFEQYHLGTGVWTVASDGDVRRTPPPSPHTLIHHIFTCCHHKYW >Et_3A_023130.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30005931:30006341:1 gene:Et_3A_023130 transcript:Et_3A_023130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKGIECTRISPAETSSGKPMISLASRSRTRAPTDRERPRQVRGVCGSRLLRSARWTAARFYRRARASVVMAFRSASSSKKASSPAAASPECTPARNSSRRQQPSAPPVVVDDSHKSEAVEECIRFMNSSSRKYR >Et_3A_024381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20228053:20234709:-1 gene:Et_3A_024381 transcript:Et_3A_024381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGEIQKVASMRRGDSGSMWRRGDDVFSRSSREEDDEEALRWAALERLPTHDRVRRAIVPFGLGGGDEAAAAAKGVVDVDVLSLGPRERRALLERLVRVADEDNERFLLKLKERADRVGIDMPTIEVRFQNLDAEAEVRVGSSGLPTVLNSIVNTLEEAANALHILPSSKRTMPVLHDVSGIIKPCRMTLLLGPPGSGKTTLLLALAGRLDKDLKVKGKVTYNGHEMTEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGSRFDMLTELSRREKAANIKPDADIDAFMKARQEANVVTDYILKILGLEICADTMVGDEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIINSLRQSIHILGGTAVISLLQPAPETYNLFDDIILLSDGQMVYQGPRENVLEFFESLGFRCPERKGVADFLQEVTSKKDQKQYWARHDQPYRFVTVKEFATAFKSFHTGRAIANELAVPFDKSKSHPAALTTTRYGVSGKELLKANIDREILLMKRNSFVYMFRTFQLMLMSIISMTLFFRTKMNHDSVTDGGIYMGALFFGVLMIMFNGFSELALTVFKLPVFFKQRDLLFFPAWAYTIPSWILKIPITFVEVGGYVFLTYYVIGFDPNVGRFFKQYLLLLAVNQMAASLFRFIGGAARNMIVANVFASFMLLVVMVLGGFILVRDKIKKWWIWGYWISPMMYAQNAISVNEMFGHGWDKILNSNASNETLGVQVLKSRGVFPEAKWYWIGFGAMIGFTLLFNALFTLALTYLRPYGNSRPSVSEDELKEKHANIAGEVLNGNHLASGSTHRSTSINTESGTVEGDSAPTQRGMILPFVPLSLTFDDVRYSVDMPAEMKAQGVVEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNISISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPKEVDSNTRKIFIEEVMDLVELKPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLLKRGGEEIYVGPLGHHSSELIKYFEGMEGVSKIKEGYNPATWMLEVTTVSQEQILGVDFSDLYKKSELYQRNKALIKELSQPAPGSSDLHFPSKYSQSSFTQCMACLWKQNLSYWRNPPYNTVRFFFTTIIALLLGTIFWDLGGKVKTSQDLFNAMGSMYSAVLFIGVMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIELPYALVQAILYGVIVYSMIGFEWTAAKFFWYLFFGYFTLLYFTFYGMMAVGLTPNYHIASIVSSAFYAIWNLFSGFIIPRPKVPIWWRWYCYVCPVAWTLYGLVVSQFGDNATEMDDGTLVMHFVENYFDFKHSWLGWVATIVVAFAVLFASLFGFAIMKFNFQKR >Et_6A_046915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22337005:22339383:1 gene:Et_6A_046915 transcript:Et_6A_046915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVKSTLSNLAFGNVIAAAARNYQKEILDNEKAQAAPASHDEVDLDELMDDPELEKLHAERIAALKKEAEKREVLKRQGHGEYREITEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKALAPVYVGTKFIKLDAENAPFFVAKLAIKTLPCVILFKKGVAVDRLVGFEDLGRKDDFSTRALENILKMKGIIEEKKKDDEDDDNEGDTSKNRRIRSSTIEDSDSD >Et_6B_049737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9856821:9859093:-1 gene:Et_6B_049737 transcript:Et_6B_049737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVEKTSSGREYKVKDLSQADFGRLEIELAEPFAGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTERCLDWGEAGGPDLIVDDGGDATLLIHEGVKAEEEYEKNGTIPDPASTDNPEFKIVLTIIRDGLKADPKKYRKMKERLVGVSEETTTGVKRLYQMQESGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMLGLETYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKSSGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLSKSQADYISVPIEGPYKPAHYRY >Et_7B_054481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21856019:21856652:-1 gene:Et_7B_054481 transcript:Et_7B_054481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVDVVSEFCTLPRTRRHIKKRKQFQTVEMKVRIDCEGCERKVKKALEDMKGVSSVDVSAKQHKVTVTGYVDAAKVMRRVAYKTGKRVEPWPYVPYEMVAHPYAPGAYDKKAPAGYVRNVVADPTAAPLARATSTEVRYTAAFSDENPNACSVM >Et_9B_064978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20092611:20096374:-1 gene:Et_9B_064978 transcript:Et_9B_064978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVGRVETIKSRAFKLCFPSPLLRSLRSSPFALSDFARTFFSVRSLLGFSGPDRETPRWERGGSERAIAETKAEEKLKKADLMRGSVEPVAVARDVASSSPSKATAALDMMRFQRPNPDCLPLPNGISSGSGGSRKAPPAPAPAHRSSKDEAVPAVATDSSRLASYLASSSLESRQRARAPQPPAPAGPSSSSSLAATSTRSPARDHGHHASDHSDPAASPSYLGAGASSSAGPAAGAGEVLLQWGHNKRTRGRRDASSSSASASAASPLRRQPGAKIQRRSSAPDKLMPPPSGPSHTRGSNLRVGSSSTLPARAGDSHHGRGSLPHHRSTEERAGGKARVEKQRPDGGKAPQPRADAVAAPVMGLTVSNQKQMHEHHHHHQADHLHNPGASSSKPKLEMPRIFTTLSRKEKEEDFLAFKGTKLPVRPKKRPKNVEKAVNFICPGMWLTDVTRNRYEVREKKCPKKVYIDPPSGLLLFSNYLRSLYVTWCDLAEKIRAGKVVVGGKGRDRRKRKGRNGCLSFLLSPCYVSWGPHPVTGEGCCSLMTVLVRSNPHLARALLLPTCSADCAGARRLRGVARCPRLDLRVVPGPWALPCGSLVSSSGLCSSYGEYYPACGEIRGPGSSLR >Et_1A_008148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4728254:4732407:-1 gene:Et_1A_008148 transcript:Et_1A_008148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTTTLHPQFRPPVRAPRRVGTHPSSSFARSRTYVPVRASAASASTSAPAQPEATAGVPWGCEIESLENAASLERWLVDSGLPEQRLAIQRVDIGERGLVALKNIRKGEKLLFVPPSLVITADSEWSCPEVGDVMKRNSVPDWPLLATYLISEASLEGSSRWSNYIAALPRQPYSLLYWTRAELDTYLVASPIRERAIRRITDVIGTYNDLRDRIFSKHSNLFPEEVYNIETFLWSFGILFSRLVRLPSMDGRVALVPWADMLNHSPEVETFLDFDKSSQGIVFTTDRSYQPGEQVFISYGKKSSGELLLSYGFVPKEGTNPNDSVELLVSLDKSDKCYKEKLQVLKRNGLSESESFPLRVTGWPVELMAYAFLVVSPPEMTQRFEEMAIAASNKSSSKPGFNYPEIEEQALQFILDSCESNIAKYTEYLEGANGSPQLSMNAKQANRTLLLKQLAKDLCISERRILYRTQYILRRRLRDMRGGELRALSLFNGLRKLFK >Et_9A_060956.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21237797:21238324:-1 gene:Et_9A_060956 transcript:Et_9A_060956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSLTVLKVRDPTLSMESVAVKWFNVHFDARASRPLRINVTLAGTIVIGNPNYESMRFGASATEIFVDGVAEPVVGVGRAPPGEVPARGATRVAADLDVFVDRVGPAVVGEVLFGSGEVRLASRTAVDGRISVLGGLYGRRTVRVAMRCRVALRVSAAVVVAGDPSCIADFAR >Et_1B_011400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21774197:21775818:1 gene:Et_1B_011400 transcript:Et_1B_011400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQFGELGNLGYLDISGNNFSGPIPDELEDCIKLLSLKINNNNFNGSLPGAIGNLANLQVILDVSNNKLSGYLPQQLGKLKMLEILNLSHNQFSGSIPGSFDSLVSLSTLDMSYNNLEGPIPEGHQFQNASINWFLHNKGLCGNLSALPSCCSTQVSGHQKRRLLRFLLLIVIAMGFTILSTIVIITIRARNKRKANESVITNGQDMFSVWNFNGGIAFEDIIRATENFEDKYIIGAGGYGKVYKAQLQGGQLVAVKKLHPTEDVNDERRFSCEMEILLQIRQRSIVKFYGFCFHPEYRFLVYDCMERGSLHMILENEETAKEFDWQKRSTLIKDVAQAISYLHRECNPPIIHRDITSNNILLDRSFKAYNSETRFIELERTSRDIRLYSSRQYTFFLTLKLSYTSTVTEKCDVYSFGVVLLEAVMGKHPRDLLEHLASSRRQHLHLTEIIDQRPLQPTTEEEKDIVLPVKVALSCLQASPQARPTMQEAYQTLTLHQSSISTHFNATMLDDL >Et_1B_011015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16516982:16517547:-1 gene:Et_1B_011015 transcript:Et_1B_011015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMTTPSRRLPSPTRRTHSDKGNPINSGPIAPAIQIAAFTTQATSPLQAEAMALLTASIAVKALHTSTIRYASDSQIMVDTITKANFRTDPAHWILRPLLYDFIANNTGTQYTVAKITRSDNTAAHNTARQAAVSSVQQQCQFSCTHLAHLDQCPVIEALKLVSWGNITPLAVTCR >Et_5B_043222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18630233:18630542:1 gene:Et_5B_043222 transcript:Et_5B_043222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWRLQDVESPGFATGLVTTFLTDGDCMFGELAQLLERPFVDFEAVTDKLVKLKGCSSR >Et_4B_037627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21886835:21892070:-1 gene:Et_4B_037627 transcript:Et_4B_037627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTPEDGAREGDDAAQEQAAPQPQPELEEAGALEEDDGVEEAEDYEEEAPTHLPFAPSSELPDDSTTVDPSYTISLIRQLLPQGSIVEKEFRQVVKQGVPEEKEANRDNGEATKIEDKDPWEESGCILWDLAASKPQAELMMNNLVLEVLLANLQVTQSPRVKEICLGIMGNLACHESLVNAMSLQNGLIATIVDQIFLDDSACLSETFRLLSAVLRSSASVHWAEALLSDEILSRVLWIVGNTLNSTLLKKSIDFLSTVIDNQDVTAILLQPLIMVGLVDHAISLLASEIEKLSDESKLDRGASLDLILNFIEELSSLDSCSEVMSSSEQLIQVLHSIIKLPDKFEVSSYCASVVIILANILADGKQIVPMLFHDLPFLEGLLDILPVVSDDNQARNALWCILARLLTQTQGIVVNSSLEQFVSLFLGRFTLIKDDLDSHRVDKEEDLSAEDVYLKRGVSASLRAICCVMERWIAEKSALGKEYAPLSENTLESGRMLLRYCQNYGL >Et_2B_019495.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:8004431:8004469:1 gene:Et_2B_019495 transcript:Et_2B_019495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYVIYLKSIK >Et_5A_042816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4943330:4948219:-1 gene:Et_5A_042816 transcript:Et_5A_042816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTLLKLLLLLLLLRDVTSASGGGEREALLKFKAAVTSDPGGLLRDWTPDSADHCRWPGVSCGAGGEVVALNISASPGRALAGALSPAVAALRSLSVLVLPSHALSGLLPPAIWSLRRLRVLDLSGNRLQGEIPATLACVALQTLDLAYNQLNGSVPAALGALPGLRRLSLASNRLGGAIPDELGGTGCRSLQFLDLSGNLLVGGIPRSLGSCSKLEVLLLSSNLLDDVIPPEIGRLRNLRALDVSRNSLSGPVPAELGGCVQLSVLVLSNPYVPLDGSNSSCYGGLDDFNYFQGGIPDAVASLPKLRVLWAPRATLEGELPGNWSSCQSLETMNLGENLFSGGIPKSLAQCENLKFLNLSSNKLAGSVDASLRVPCMDVFDVSGNQLSGSIPLFISKECYSAKLPFDDLVSEYSSFFTYQALAGFMPAMLLPGADLTNYHSFARNNFTGTVTSLPIAAEKLGMQGAYAFLADGNHLEGELQPGLFDKCNSSRGFIVEVSNNLINGTIPAEIGSMCTSLVVLGIAGNQLSGVIPTTIGQLSYLISLDLSRNHIAGAIPTTVKNLLNLECLSVAHNILNGTIPANINQLHSLKILDLSSNLITGVIPDSLADLKNLTELLLDNNKLTGKIPSGFANSASLTKFNVSFNNLSGPVPTNGNTVRCDSVIGNPLLQSCHVYTLAVPSAAQQGRGLNSNDYNDTTSSDQQNDGGNNSFSAIEIASITSATAIVSVLLALIVLFIYTRKCAPRMSGRSSRRREVILFQDIGVPITYETVVRATGSFNASNCIGSGGFGATYKAEISPAVFVAIKRLSVGRFQGAQQFDAEIKTLGRLRHPNLVTLVGYHLGESEMFLIYNYLPGGNLERFIQERSKRPVEWKMLHKIALDIAKALAYLHDSCVPRILHRDVKPSNILLDTNYNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLMELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRAREFFIDGLWDVGPHDDLVETLHLSVMCTVDSLSIRPTMKQSYLYVARTRVP >Et_4B_039247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9073286:9087801:1 gene:Et_4B_039247 transcript:Et_4B_039247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VFGARINDFSFQKVIVEGEDGRGGTPRICGFLFTVLGPLSFWVLWAVNWRPWRLYSWIYARKWPTYVQGPQLSTLCSFLTLSAWLVVISPIAVLLVWGSILIALLERNIIGLAIIMAGTALLLSFYSIMLWWRTQWQSSRAVAYLLLLAVGLLCAYGFCAVYVTAGASSSELNSPSGFFFGVSAISLAINMLFICKILFNVSGFDVDEYVRRSYKFAYSDCVEVAPVSCSPDPPDPSELYMTKSSRVLHLGLLYICSLLVLVAYSILYGLTSKEGRWLGALTSVAVVILDWNLGLCSFRYLGHCISYAFVASVLLSGSVSCWLSISNPSVARIDALRSTVIKLREGFRRKGQNGSSNSSEGCGSSVKRSSGSVEAVQHGNAADSMYRSNSQSDGVNWNSIPFDRSNSCQEGRSSDKNIDSGRASLAHRSNSCLSAVQDSETAITSTDRHGDPTPSLVICSSSGLESHGCESSGSATASGNQQLLDLNLAAIFQDRLNDPRISSMLKKNGGLGDVELANLLQDKGLDPNFSYMLKDKVMDPRILALLQRSSLDADREHQDDVDVTVTDSERLDTTIANQISLSEELRRSGLENWLNLSRLIFHQLAGSPLRAFIVFTLMFILETVTVAVHRPNPIKVINATHEQYEFGFSILLLSPVVCSIMAFIWSLRAEEMMMTSKPRKYGFIAWLLSTCVGLFVSFLSKSSVILGLSLTVPLMVACLSFAIPIWMRNGYRFWISGREFDSRENISQAPGKKERALFAISVAVFIASVIGLGAIVSAKPLDALGYKGWDADKKSFYSPYATSMYLGWALSSAIAVLVTGLIPIVAWFATYRFSPSSAICVGLFTTVLVFFCGASYWGVVNSREDGVPLKADFLAALLPLLCIPAVFSLFTGLYKWKDDDWKISRGVYLFVGMGMLLLFGAVAAVIVIIRPWTVGVACLLAILFLVFVIGVIHYWTSNNFYLTRAQMLLVCSIAFLLALAAFLMGLFHGKPFAGASIGYFSFMFLLTGRALTVLLSPPIIVYSPRVLPVYVYDAHADSAKNVSYAFLILYGIALATEVWGVIASLIVSPPFVGAGVSAATLVIAFSFAVSRPCLTLKMMEEAVHFLSKDTVVQAMSRSANKTRNAISGTYSAPQRSASSAALLVGDPALTVDRAGNFVLPRADVMKLRDRLRNEETTAGSFFDCLMICPQSLANVDCRRNMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAEQIQDEVRLRLFLDSIGLSDLSAKEIKKWMPEDRRQFELIQESYIREKEMEEEALMQRREEEGKGRERRRALLEKEERKWKELEISLLSSIPNAGSRDAAAMAAAVRAVGGDSALEDSFARDRVSSIANHIRKAQLARRAEQTGIPGAVCILDDEPRSIGRHCGELDSCLCQSQKVTLSIAVMVQPVSGPVCLFGSELQKKVCWEILVAGSEQGMEAGQVGLRLVTKGERMTTVAKEWNIGASSIADGRWHLVTVTIDADLGEATSFIDGIYDGYQNGLPLPTNNGIWEPGTDIWVGARPPTDLDAFGRSDSEGSDSKMQIMDAFIWGRCLSEDEVAGLHTTVGPAEYALFDLAAGDAWHGSYSARVDDWESEAAFELYDQEDVEWDGQYSSGRKRLGHDAVAIDIDSFARRPRKPRFESREEVNQRMLSVERAVRDALIAKGERNFTDQEFPPDDRSLYVDPMNPPLKLQVVSEWMRPSDIAKEISISSQPCLFSGSVNSSDVCQGRLGDCWFLSAVAVLTEMSRISEVIITPEYNEEGIYTVRFCIQGDWVAVVVDDWIPCESPGKPAFATSRKENELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQLLHFKQEGFLLGAGSPSGSDAHISSSGIVQGHAYSILQVREVDGHKLVQIRNPWANEVEWNGPWSDSSPEWTERMKHKLMHVPQSKNGVFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGFSAGGCQDYDSWHQNPQYRLRVTGRDALYPVHVFITLTQGVGFSRKTNGFRNYQSSHDSSMFYIGMRILKTQGCRAAYNIYMHESAGGTDYVNSREISCELVLEPYPKGYTIVPTTIHPGEEAPFVLSVFSKAPIKLEAV >Et_7A_052426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7975494:7978852:1 gene:Et_7A_052426 transcript:Et_7A_052426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LQVGGRPYWFLLLIASVTAACRRSLSSSKNRRGGGGGAVGGARCCGTPMQQQHQGGGSQYGAPPPDMGPFSPPAASGAMPLSSRPPPASAQPQPQPQPQQQQLRTSYEELAAVSGAAGGFHDDEMLGDAGGGSGGGGSGASGGNRWPREETLALIRIRSEMDAAFRDATLKGPLWEDVSREKIPNAFAAPFKKPVCLSPASKLADLGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSELEALHAAGAAPPPQQQQQQPQQQLAPASVAPPLHPFAAAVSAPPPMNSLPPSTAPMQPAPISSAAPAPASLELPPPQPLNLQGLSFSSLSDSESDGDSEDDDMTAETGGSLGKRKRGGGKKMMSFFEGLMQQVVERQEEMQRRFLETMEKREAERTAREEAWRRQEVARLNREQDHLAQERAAAASRDAAIISFLHRIGGHGHSVPPPPAVVVPMPVQMQAQTTPPPKQPPPRQPPQATPPPPKPISASPLQQQPPQQQQQHKETSHHEASAPRSTPPTSGASLELVPAAASEQHVDSGLGGELGAASSSRWPKTEVHALIQLRMDMDARYQDSSPKGPLWEDISAGMRRLGYNRSSKRCKEKWENINKYYKKVKESNKKRPEDSKTCPYFHQLEAIYRRKQQLGGGAPPAPAAGNVIAAAAAAAPPAFPDQVNPSSRLEIEGKNINDDKRNNGGSGGGAQVPTSNGEAAPAHDVDSGSKKPEDIVRKLTEQPPRELTTDETDSDDEYTDDGEEAEDDGKMQYRIQFQRPNPSGANSAPAPAPAAAPAVPASTPASTFVAMVQ >Et_7A_050531.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:22776728:22776892:-1 gene:Et_7A_050531 transcript:Et_7A_050531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCVRRRAPITKGSALGTMITVPPSARRRVSPAVNAAAGSGTACVASLARKPD >Et_10A_001158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21724819:21727958:1 gene:Et_10A_001158 transcript:Et_10A_001158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAADLYHVLTAVVPLYVAMTLAYGSVRWWRIFTPDQCAGINRFVALFAVPLLSFHFISSNNPFAMNLRFLAADALQKLIVLALLFLAASPRVSPLSRFLSLDWVITLFSLSTLPNTLVMGIPLLRGMYGPDSAGTLMVQVVVLQCIIWYTLMLFLFEFRAARILIADQFPGDAAASIVSFRVDSDVVSLAAGDAQAEVVEVADDGRMRVTVRKSTSSRSEAACSHSHSHSHSMQNQQQPRVSNLSGVEIYSLQSSRNPTPRGSSFNHAEFFNIVKGPGTTDEEKGAGGHSPQPLPQALAAKRKDLHMFVWSSSASPVSDRAAAGALHVFGAADHGDVLAKGAQAYDEYGRDDFSRNNNGEEKGGPTLSKLGSNSTAQLHPKDDGVERPATMPPASVMTRLILIMVWRKLIRNPNTYSSLLGVIWSLVSYRWGIEMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNSLAAFAMAVRFLVGPAVMAAASIAIGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPNILSTAVIFGMLIALPITLVYYILLGL >Et_1A_006548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24191396:24192535:-1 gene:Et_1A_006548 transcript:Et_1A_006548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNTDEMLQAQLELYHHSFAFVKSMALRAAVQLGIPGAIHNRGGQGQSEVAADSRLHRTKFPLFHGSCARSPSPASSRRHLVGGGGRGNRSAMVNALVNPVAVTALFSMRDWLTDERAAAVSLFEVAHGCMRWEITGSEDGDGDVLNAGMDADSRLVMDVLLRENGAVFESLSSLVDIGGAHGVVASAVARAFPPHQVYRAGPPPCAGTPDDGIVKFVAGDMFQHIPPADAVLLKWILYFWQDEDCIKILRRCKDAIPARDAGEKIIVIDMVVGSAKSQENVSKETQALFDIFVMYVDGVEREEQQWSSIFLEAGFSDYVDHANTRFSITD >Et_1A_005637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12261038:12263636:1 gene:Et_1A_005637 transcript:Et_1A_005637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRDRARSDANAPGAAAYPAASASMEAALLLETKLEDEVTAALPLDQLMPGPAAGEPPPRPLEALLQGPQLPPFLSKTYDLVCEPALDGVISWGAAGNSFVVWDPSTFARDVLPHHFKHNNFSSFVRQLNTYVRTAPLSSPPHVPYSACACTSCSPVLLLIILGASDSCFRVDCRWRCSCRFVLSSFSLSGSWCLLLGLWHMIASSAFSEGTSFPASYNIGFRKVHADRWEFAHEDFLRDSKHLLKRIVRRRSSPTQQSSIQPASSSGESGLDPELQTLRREKSALLQEVARLKQEHRQTIEHMSTLNERLESAEDRQKQMISFLAKLLQNPTFVRQLKLHREQKGIDSSRVKRKFLKHVPHGSIESGDSSSQHTGESASHFPASSPMAVQDDIADLQNFLLEDDDLNFGVNPENIGLEGVEASEDIGALVQGFNTQNALEFGSGAELLEMPPASAPLSQDPTIGRYKGKNVLCPGLDATSSEADCLGSLSENMGVLSGTMLETAADDDQMWGMDSSAALQSSCSGTSQQAYSSLASDPYLMDIANRPEKFWDLDFQALDEGDLQLDKCAIDDPTLQQQQQRNMKKS >Et_8B_059782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2724207:2725755:-1 gene:Et_8B_059782 transcript:Et_8B_059782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEEEEQRFSSEEDAPLLAAPTPASSPHRPLLLNPAYARCKSVIHDELRNFRVFLQWCALDHTTRGARAASYAAFLALALLVPAAVSLSLRADDASLSPASSSSSSAITFNRVAQLPATGLAAISFLTLAAFFRRCGGLRQLLFLDGGLRDDTAYVRRGYSRELDRAFRLLAALLIPSLCAEAAHKAVLFFCTVRVEPPAALLPLLPTRVPWRAVALVATLASWVYRTGVFLLVCVLFRLTCELQILRFEGIYHMFDVEARAAAAEIYAEHRRIRTQLLATSHRYRAFIICCLVTITVSQLGALLVALSSRDAKSFSNTGDLLTEATIRSMSDGSSSRALQVGSAVQLSGFFMCLFGAARITHRAQRIVSIASQWHMNMVCVHHIKPSPASTSASDSDHHVYGSSTAAPASLQDEPGAECSYQSRQALVTYLCHNGGGITLFGFTLDRGLLHTIFVFEMTLVLWILSKVVVLS >Et_2B_021057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26068899:26071469:-1 gene:Et_2B_021057 transcript:Et_2B_021057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METIHLTLLVLVLACASAARGFHFAPVDFRKKDLLAVKVSQLSSYKTPLPYSYYSLPFCRPHTVTNSAKSLGEILRGDRVENSLYEFEMMEPKLCQIVCKIVLSQDEANDLKKKIDDEYHVNMILDNLPMVVPINGFDQQFYQQGVQVAFKGQSRGSDDSIYLLVNHLKFLVKYNKDAHTGLARIVAFEVTPSSVKHGYDGDWKGNATNLKTCDSRPGHLVINTDGPQILEANKEIIFTYDVNFEESDIKWESRWDIYNLVNNEHWFSVVNSLMMVLFLSGTIAMIMLRTLYRDISRYNQLGNQEETQEETGWKLVHGDVFRPPANTDLLCVHVGTGVQFFGMVLVTLLFAILGLLSPSNRGGVITTMLLLWVFMGLFAGYSNARLHKMFGGSEWKKVTIKTAMIFPGVVFVIFIILNALLWREKSSGAVPLTTMFILVLLWFGVSVPLVFVGSYFGFNKPTIENPVRTNKIPRPIPGQPWYMNPFISILIGGILPFGAVLMEFFFILTSIWLHQFYYTFGFLFLVFVVLILTCASATILLCYFQLCSEDYHWWWRSYLTSGSSALYLFLYATFYFFIKVHITKVVSTMLYFGYMLIASYAFFMLTGTMGFFACLWFTRLIYSSVKID >Et_4B_038310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28019489:28021094:1 gene:Et_4B_038310 transcript:Et_4B_038310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIIVTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFLVRNIVEQAAVRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSRENRRNREPPQRFRRRAMAYENISLMMAQGQAKVAHHAPVLVLLPQLLPLLLAPKSRNMFRT >Et_5A_042541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19327558:19331900:1 gene:Et_5A_042541 transcript:Et_5A_042541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHLQRYEGGRRLLAGCVGRGKPPSPTTNRYHSHLYLLPSPITKDVAFLSSAKRHVVVTRGTLERKRHLENQDSRLKIIDGCIPFRYKDKNDEISDDEQKKLVEVLMINSQSGPGLLFPKGGWENDETVEEAAVREAIEEAGVRGDLVQLLGFYDFKSKKHQDEFSPEGMCRAAVFAMHVKEELTSWPEQSTRQRRWLTVSEAAERSRHPWVHEALVTGFSVWLDKWSDGIGCVDSKR >Et_4A_032101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17458652:17459668:-1 gene:Et_4A_032101 transcript:Et_4A_032101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPPRHLLLLLLPLLLLAAAPAGADDLVEELQSLRARSPSGVIHLTDTSVTRFLSAPAPARNPDSGDLFFADIEFAESQHSFHQFGVNSLPHVRLIRPQHSTLAGSEQMDQSHFGRLADSMAEFVESRTGLEVGPIVRPPLLSRNQIILLGILFLISIPFAIKRVIEGETLLHDRRVWMAGALFVYFFSVSGGMYGIIRHTPMFLTDRSDPDKLVFFYQGSGMQLGAEGFAVGFLYTLVGLMIAAVTHLLVKVESLQTQRFAMMAIIAIGWWAVRKVVYLDNWKTGYSIHTFWPSSWR >Et_9B_066272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9456409:9462441:-1 gene:Et_9B_066272 transcript:Et_9B_066272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPSANTVRQIHQRILASGLLSCPPSLLSVSLPPLPSQPYISPKSLGTGIVAAYLACGAINDALSVLERVTPSPAVWWNLLIREHIKEGRLDRAIGISCRMLRAGTRPDHFTLPYALKACGELPSYRCGSTFHGLICCNGFESNVFICNALVAMYARCGYLEEASLVFDEITEKGIDDVISWNSIVAAHVKGSNPWTALDLFSKMTTIMHEKATNERSDIISIVNILPACASLKALPQTKEIHGYSIRNGTFPDAFVGNGLIDTYAKCGSMEDAVKVFNMMESKDEVSWNAMVTGYSQSGNFEAAFEVFKNMRKENIPLGVVTWSAVIAGHSGMIDQGLDYFDSMTKDYGLAASAEHYACVIDLLARSGRLDKAWKTVEEMPMEPTAVIWVALLSACRVHSNVELAEYALNKLVEMNAENDGSYTLISNIYATARRWKDVARIRQLMKNSGIKKRPGCSWVQSKKGTASFFVGDRSHPLSPEIYALLERLIDRIKAMGYVPETNFALHDVDDEEKNNLLAEHSEKLALAYGFLTTSPGCPIRITKNLRVCGDCHSAFTFISKIVDHEIIVRDSSRADSDTVPIHITPQEALLTILLAQKDLERASSIMILHTADRDCCPASIIWANNRPEGTLFLMMSKPLLVFIFLGAVELGLEVHILEENLGNSFPPLQRPELGHLHLLDKVIDLIFTAVAQQPPGDMANDVPFRAAEIVHKDGHDLKGVIRHLILGFLVRLRLDQSVGDEGLAPLRDVVHHLLPEVDGDGRRLPEQITGRAINAHSGQAGEQDLRQPMHCL >Et_10B_002975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14934926:14935521:1 gene:Et_10B_002975 transcript:Et_10B_002975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLLAVAVSFSLILVSLCVFQLIANARRRLPPGPLPLPLLGNLLNTNADSPHPARAVTIMSIRLGVVHGVVISSTDAAHEILQKHNADLAGRPIVDAWRANGHIANSIIISQPDSKWRALRKLAQRHGAVLAGAAERDAAATAAAGGGAGAPHLGARRGLRRPRPGVHEHAVARRLLRRPRLAGSRTS >Et_6A_048101.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:6379059:6379265:-1 gene:Et_6A_048101 transcript:Et_6A_048101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYSRGDLLWVFVQVKCRHALDFPDVVVDRAVGGRLATGMSVSVHALLEGETELTGEPRWEKRGGRS >Et_3A_024160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1835739:1840229:1 gene:Et_3A_024160 transcript:Et_3A_024160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATATEASAPAASGGVARRNHPFPWLDAAISEPFYFLHLVAFFSYFGARSAALSAADGGELHGRLLRREIQAVLVFLVLFVVKMVKEETWETFIADSLLYAKVLLLAVTLVIDYWLALCYFLGFVVIYAVSQQPPCDDLGHSNHLTPLQLESLLTEEPTTRFWLVEFRTSFSATCIQASSVLPELSNIYSNKNISFGIIDLGHFPNAAAKFGISMWDHLPTYILFDKTTEVARFPEITSESKVFVPKVTKVIFIAHYAYGLDKCFSFHLFCGSTYLLLHLHSFENYFGYALQ >Et_2A_018502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30392736:30394913:1 gene:Et_2A_018502 transcript:Et_2A_018502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACLDFGLVAMKHRTSLNLSISRNFKMFSAPGNNSLALAAPRPGMELANVQQHPNQALGPGGKQRTSSLEAPIMLLTGHQSAIYCMKFNPAGTVIASGSHDRDIFLWYVHGECKNFMVLRGHKNAILDLQWTTDGTQIISASPDKTVRVWDVETGKQVKKMAEHSSFVNSCCPARKWPPLVVSGSDDGTAKLWDLRQRGAIQTLPDKYQITAVSFSEAADKVFTGGLDNDVKWWDLRKNEVTEYLKGHQDMITGMQLSPDGSYLLTNAMDNELKIWDLRPYAPENRNIKTLTGHQHNFEKNLLKCSWSPDNRKVTAGSADRMVYIWDTTSRRILYKLPGHNGSVNETAFHPTEPIIGSCGSDKQIYLGEL >Et_8B_058567.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:19260419:19261288:1 gene:Et_8B_058567 transcript:Et_8B_058567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGDASAARRVPPAAGVPRRPPRGPSRPARVPRRGAVGPARRGPVSDAAPPRLPPLRRWPPPRRGHGVPDSADLSSSSASAPETKNGGNKGALYACNHQTLMDPVILSTVLGRKVTAVTYSLAGFSELIAPIPTVRLTRDRVRDRRIMEAVLARGDPLVVCPEGTTCREPYLLRFSPLFAEVAAAAAGEVTPAAVRAGGTMFYGSTVRGHKWLDSVFFLMNPAPWYEIRLLAPVAAPTDGGESTSRDVANRVQKMIGDELGFECTELTRKDKYRMIASHDGVDARSTSST >Et_7B_055883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6775732:6778693:-1 gene:Et_7B_055883 transcript:Et_7B_055883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRWLPLEANPDVMNQFMWGLGVPEDVGFCDVYGLDDELLAMVPQPVLAVLLLYPQDRNKEAQASTASSVETKEPNKNVYFTKQTVGNACGTVGIIHALGNAASRIRLGEGSYFDRFYKRTADMDPIQRAAFLEEDQEMEDAHSVAVTAGDTEAKDGVIEHYVCFSCVDGELYELDGAKSQPIYHGPSSPDTFLQDAAKVIKARIAEYSHSNNFNVMALSSM >Et_2B_022030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8219555:8221441:-1 gene:Et_2B_022030 transcript:Et_2B_022030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFPPQSSGHYACTCTAMEAIVLCAARNTVPLTPISFLQRSAVAYPDRTAIVASGRGSTARTWRETWVRCVSLAAALVELGVVRHDVVAVFAQNIPAVCELHFGIPLAGAVICALNSRLDAAMACTLLTHFVGSVLLDVALEALTLMTKVGSRRPLLVLIKEYYEYEALVNNNGRSSPPGLSIRWPADENDPIALNYTSGTTSRPKGVVYSHRGAYLNSVASVLMHEMVGRPKPPVYLWTVPRFHCNGWCLVWGVAAKGGTNVCLRRVTADCITRHGVTHMGGAPTVLAMTANATAEEERRRAGAGVTVKAGGAPPPPQVLLRMEALGFHVIHGYGLTETYGPATVCAWKPEWDALPPERRAATKSRQGVPLAGGLEEVDVKDPAAMRSVPADGRTVGEIMLRGNTVMRGYYKDAAATAEALDGGGTWLRSGDLAVRDRDGYVRVVDRAKDVVVSGGENVSTVEVEAALSAHPAVAEAAVVARPDEFWGETPCAFVVRLRESVSAEEIMAFCRTRLPRYMAPRTELPKTATGKVQKVVLRERAKAMGSIFGDTSSKRNKGKGSKPDSGMRSKI >Et_10B_002688.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:8049565:8049612:1 gene:Et_10B_002688 transcript:Et_10B_002688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNRKEQSEFVSKSY >Et_5A_040343.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:15647941:15648045:-1 gene:Et_5A_040343 transcript:Et_5A_040343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIIITAWNIWKQRNGKIFENISPTFQAWKKEF >Et_3B_027664.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11528530:11528745:1 gene:Et_3B_027664 transcript:Et_3B_027664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFECAMVDRYGETSTELWKSSSYVFSDCGVEKGFWEFGTENELKDPDFIVVDCFTLSCTVTVLKKPLATS >Et_1B_013633.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10118507:10118791:-1 gene:Et_1B_013633 transcript:Et_1B_013633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQLMNLTPVHAPSIPESFLLPADRLRPATTDVSLPVIDMSRGRDEVRRAILDSGKEYGFIQACCTLSNLDRSIHLMINNPNLLLELNYSDA >Et_3B_027562.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27762066:27763569:1 gene:Et_3B_027562 transcript:Et_3B_027562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ANRGQRLWTRSNDSCDHNWVAGELTDPKPTTEVPSPQPPPACNKSNSGKRHKKAGPFTSVPMSATTAAAVRRLCAAGEVRSALAVLARGAKAGDATLDVAACTALVHGCCKSGDVTEARKVFDLMPRLGVTPNEVTYTALIHGYFVHGHREMAFALFEEMRSGGVEPNLYTYNCLIGEWCRTGEFERARLLFDEIPVKGVVRNVVSYNTLIAGLCRHSKLKDAVQLLEMMRREGIRPTIVTFNLLVDGYGKAGKMSNALHFFNQMKVVGLPPSAVTYNALISGFCRVRDMARTIRAFSNMKERGLAPTKVTYTILIDAFAKENEMDRALEIFSEMEKTGLEVDAHTYGVLLHALCTEGKMMDARKLFESMDSKGVKPNNVIYDMMIYGYGREGSSYKALRLIMEMRKGGLVPNAASYGLTIRLLCNEGKCQEAEALIDDMESVGLQTSESICSVLLDAKARLDGSANASFT >Et_4A_032179.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22522632:22522757:1 gene:Et_4A_032179 transcript:Et_4A_032179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLDHRFRSTGQRSSNRNNRRQQRKDKLVQPNKGSPPFAV >Et_5B_043466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10876095:10882738:-1 gene:Et_5B_043466 transcript:Et_5B_043466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSNKNAPADHMSGAEIADDMYPYLHRYKDGRVERLMVSAFVPASEAPGANGVATRDVVIDPCTGVSVRLFLNAAAAAPDRRLPLVAYFHGGPFCTGSAFSKLFHRYATSLSARAGALVVSVDYRLAPEHPVPAAYEDAWTALRWAATLFDPWLADHADPVHLFLAGESGGANIVHNVAARAGTPDGDGIDIDGLILLQPYFWGTQRLPSEMEFDEGAVDAPKRVDTLWPFLTAGLAGNDDPRINPPADVVRSLPCRRALVAVASKDVLRKQLFHVTMKQNVHGREGTNKVCRAVVGRAPPKARFGLILGRSISFNLVKRGLLTGEVDGVSGERRHRLCRRSCLRHRKDKAMAELKSEVMEALQKEVRSLDDDSWMFAAPHSGINLVSRPERSG >Et_4A_032740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13232824:13235746:1 gene:Et_4A_032740 transcript:Et_4A_032740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSAAGMQMVAARPCISASQGMLTSRAAFSRVERTLSATTGFAKCPKICYSRPLSSKRSGVVVRAMSGESALQGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMDIVKVYPLDAVYDSPEDVPEDVKSNKRYAGSSKWTVKEVAESVKNDYGSIDILVHSLANGPEVTKPLLETSRRGYLAAISASSYSFVSLLQHFLPIMNPGGASISLTYIASERAIPGYGGGMSSAKAALESDTRVLAFEAGRKGQIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNTAAFLVSPLASAITGSTVYVDNGLNTMGLAVDSPTITS >Et_7A_050966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13030132:13034392:1 gene:Et_7A_050966 transcript:Et_7A_050966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIVLPEPETEPSSGATPQIFTAGGGAAVVRRAVVIGNGCAGAENQCLGLLRALGLADRLMLYRVTRPTGGINKWLRFLPISFHKLVDQFLRQTFCDTRFATLVQGKNLDQYPVCKGRPLGLSSALEADTNKIVSMVRDTFEKEGPGLVVACGRDTISYASSIRRLAPDNVFVIQIQHPRFRLDRFDLVVTPRHDYYALTAKGQQEIPRLFRRWITPLEPPGPNVVLTAGALHQADSASLRVAAADWHSELASLPKPLVVVNIGGPTRNCKYDVDLVKKLVSSLHNVLKTCGSVRISFSRRTPGKMSDLILKEFSTHPKIYIWDGEDPNPHLGHLAWADAFIVTADSISMLSEACSSGKRVYVIGTKHCRRKFSDFYNRLHEHGAVRPFTGLEDMSDSWSYPPLTDAIDVAARVREVLAQRGWMVG >Et_8B_059725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:21019362:21020634:1 gene:Et_8B_059725 transcript:Et_8B_059725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSSAKPAARGRKRVEATTVLKRSRDGSAFTRCEACNKDVPIVLIDMHSCSLDSKIRMTLEAQVVEKTVEITKAERKRPAASAAKGNKAAKRSKEDAPKRKRPPTAFFLFMEDFRKEYKAAHPDNKSVSTVAKEGGEKWRSMTEEEKKPYIDKAAELKAHVDNGEGSGENNVAVEKGEAADDEEQEVDQPAEDEDETGDQEEEEKNELDDDI >Et_9A_063002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:814551:818039:-1 gene:Et_9A_063002 transcript:Et_9A_063002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGYEEEERSFLGHFFLGEAEAVSADHLAAEKRRRREQQEEAYRKVLRQRKERRDELRKVFMAQAARLSHNADRYPNGFMVSCGYSYVLRKENWKKAAASKRDEAESEEEECVDLEPFFFDEAAAVAEHAAGEEERRRKAQEEQEEAREQRMLFRRAKAHKSVLNSISDYDRKRKRSYYRRFHFADFSTFDFDEESPLGPMRYAGDDAFHLHGTACKQRENRFLLHDSVNVLSVKIASSDVGFPISVYGTVIARDGLDKKCVYLFRRDRNHCQLINSEDDLLILTGPKRGLALKSYIYFEMDLKIKSDQEQKDKQLSNGFLTWDVIPQSTWHNRVQTDSLDTKLSTAEYSPHRVMCQFGRHQAFPLTVPRVVTPTAHSYTRKGQAAGTVWWSRMEPWVIQWAQALQDVVQETRPFTSEAYEEYLHWYIPRTQTRVTHTPTVPQSHLPTLRDTYPTHRDQNSSLTTDLAQRIAVESGSVLQRMRQGIQLTGHEISTAMKRIYDMAADIIHVGIGWVAENLEPPFTQLKELASTWYAIEA >Et_3B_028108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10331718:10340049:1 gene:Et_3B_028108 transcript:Et_3B_028108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVIIRPWPLSLLIVLVAFEILVASCCAETSPSPELEDQDVLGGGAAGGPRRRRAASIMVPITILKSAVDQGAVCMDGTPPAYHLDLGSGAGKNNWIVNLEGTGRGSSDHMDKQIPFTGIMSSSSVANPDFYNWNRVKIRYCDGGSFAGDTYNKDNGIYFRGQRIWNATIRHLLSIGMASANQVLLTGCSSGGLAVILHCDEFGAFFPPGRGTTVKCLADAGHYLDAVDVSGGRSLRSYFGDIVAMQGIARTLPSACTTRLDATSCFFPQNIIDGIKTPIFLLNAAYDFIQIVLSLAPDRADPSGAWKACKSNRSSCDASQMKFLQDFRDQMVASVKGFSGSNRNGLFIDSCFAHCQSELPGTWNNPAGGSPTIQNKGIARSVGDWYFDRAEVKATDCRYPYQCTYELLISDYNHKALKREQ >Et_5B_043751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15377671:15381083:1 gene:Et_5B_043751 transcript:Et_5B_043751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRSFGCLARYNPRTEVLTMGLPKAPTTSQAHFPIHAMKIPTCLDLPEGQEPRRASSSVGVPQRTMSPVDSPATIICMKGRIVDFCVDSNGSRLIQHALETATPEEIIIVYNEVIPHARALSIDVFANHAVQKLLEYGPKHYKREFIGHLIGHVLPLSRHMYGSHVMQKAFQVGDLDLQIQMAKEFAGEVPKCALEPYANHVIQKCVQCVPSQHIKFIFRSLCRKVTMSCTNTYGCHTVLAFCNDPEIIHAVVSEIIEAVVKLAKDQYGNYVVQHVVEHGGPVVRSIIVNKFRGLVVNMSYHKSASNVIEKCITFGSFQDRQLITTEILTAGNAQLLDMMCHLYANFVIKELATVAEEVPLLVLADVARCNMARLTSVQYGRHVIAHIEGVLAARGK >Et_8A_056342.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23833088:23833399:-1 gene:Et_8A_056342 transcript:Et_8A_056342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLWEDLNDDDATAAGEFLDVSRRRSVGGADAAERARRDAAVLGASRSSRRRAPGLVVMMRALKKMFVAHKAKSRVHRDEQQSNASVSVASPSPSPSPYKKR >Et_4B_038861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5463896:5468399:1 gene:Et_4B_038861 transcript:Et_4B_038861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSDPNGSGGVPDISQLFCDYNVIYFGSSLDACFVSWAEDPLPDRDVSTCDYYSGGGGCMILLSKSLYECHNDSDLKSALLHEMIHAYICVKDNNNNHSDHGTKFQKLMNTINLSSVADPHRPADGYSITTHHEIRKKYYDYECESCGDLVKSIKMSGPSHDSCVEKIGANGLCQNLKCHWHRHKKQCSGSYHKVHELSPRSLELKRSKAEEPLNNGSAAETICKSQHPTSKKSGKGNKDKQEDTSAEFLHLSDDAVGYPGLSSSSRNKSNKKIRLLKDVSFDLPTPETVQEIPKRPRTPVLQNQECSRRQKRKLSNWDGTYSAIIEWLGYYSVTDSDEDEVPLINKRTERRKRQKSIETSLAMEFCGFKLATSTSHSVNGTSDGFVGSGSQDPGNNSKLAIVPAIRSEERSLPNHVVASHGVAGHQGDHESVSSPLDSPIRGDIIDISD >Et_9B_063764.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:12672823:12672972:-1 gene:Et_9B_063764 transcript:Et_9B_063764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLCCSSEEEEEAGFNLLGLLVALVIALVFMLICSPPRRRCVAIYPCC >Et_1A_007180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31711577:31714306:1 gene:Et_1A_007180 transcript:Et_1A_007180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPDTIRTVIGVIGNGTALVLFLSPVPTFVNIWKKGTVEQYSPIPYVATLLNCMMWVVYGLPLVHPHSMLVITINGTGTAIELVYITLFLLYSVGATRRKVMLLLAGEIAFVAGVAALVLATAHTHERRSMIIGILCVLFGIGMYAAPLSVMKLVVQTKSVEYMPLSLSLASLVNGICWTAYALIKFDLYITIPNGLGVLFAVAQLVLYGIYYKSTQEIIEARKRKADHVAMTNVV >Et_8A_057643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5633419:5635156:-1 gene:Et_8A_057643 transcript:Et_8A_057643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VPAELQVDERLGARHRAAAAVVAAPRDVVSVLVAEEATLGQQRRRPQHDAHDDEPHALERQAPRPHEAHREAPGRRDAEHRGGERDGDDALQQQVVLALHARVVRRVEAEQRELLRERRGGGELGHRRVPARHPARAVEADGERGVDEQRQRDGRVLQVVEVIRRDRAVVVERVVPRRADDELREDGRDGACYDEDELQVARAADGEPDGRDVGAGTQEEEPDVAVEMPQADGEEADARRDVADERAHGAAAFLDRALGEERAKAASDGDIGYWEMQLQQSPNKLPPNNMTSGVSFTFLSFVSLTPRAHLILSPRHGHGASVAWRQGRGATPLSHGDRTGRRDAEEGREAGRVADARSRSAEAGLGNVIDGGPRTEGARRNSMPRRGGAT >Et_2A_018810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:884305:885717:-1 gene:Et_2A_018810 transcript:Et_2A_018810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSPKARKGAPAKLGSMKNPPVTAAVAPAAGGKVSAEEVWEVRPGGMLVQKRGGGLSDDEPSVNVKPVPTIRVKVKHAGVTHEIYISSEASFGELKKLVAAKTGLHPDDQKVLYKDKERDSKAFLDMAGVKDRSKVVVVEDPEAKARRLIEERRNGHLEKAAKAVAAATAEVDKLAPKVAALDASVRKGEKVAENDVVQLTELLMNELLKLDAVVADGDVKTQRRMQVKRVQKYVEVLDAVTAKNAAIVRKSGEKVAKQQQPPAAQPRQQQQHQQPRQHQYQQQQPAAQQARWEMFDLLSSLPSTSSASSTTTVSSNASSGAPPANKLDWMLF >Et_5B_045024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8498560:8513726:1 gene:Et_5B_045024 transcript:Et_5B_045024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKACRGRKCGLPSVLHHSSLPLDRSIEAHGPLVRPRLAVLRQGGGGLQEGGDRGGDGRRVHHGGAQHVAGAPPRRAPRRGAMGPGLGPRPPPAKLPRTKTFFIRPGCDDCSPAQLLQRNRLYTAARAYLATHIDPHAASRLCLTVRDGDAVDHDGANGDIDSDGEGAGAGAGQILSIVPGDSTAVVFQGVKFTWTLVSASRRRRRGNSDDDDDSGGVPGAGSLMLSFDEDHTDLALRKYVPFILATAEETQRRERPLKTYLNDGATWGRGINHHHPATFDTLVMDPKLKQAIVADLNRFVKRKDYYRRIGKAWKRGYLLYGPPGTGKSSMVAAMANHLRFDLYDLDLSEVRYNNTLQMLLMAMPNKSILVIEVSEMLLRSEDADVALQGFVDFLQDKKKRREGVTGLPSTRSKPMDLSSVLASSPSYGKAAEAYKKAVTVAATAAAYAMVAHSVSRELLPDEHRAAARRAVAFIRDRLLRAAKQPRTKTIFISPGDDYSLYNRLYTAARAYLATRIDPHAASQLCLAVRLGDGDRQVLSMVPGDSTADVFDGVKFTWTLVGASRRRRRGSDDSDDDDDDDGVSGASRLESGSLVLSFDAEHTDLALGKYVPFIMATVEEARRQRRTLQIYMNEGSSWRGITHRHPATFDTLAMDPALKQSVVADLDRFRKRREYYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFDLFDLDLAEVCSNAELPRLLTGITSRSILVVEDIDCCNSAAAREDDGNDSPAQTQSSSDKAQGRVTVTLSGLLNFIDGLWSASGEERVIVFTTNYKDRLDPALLRPGRMDMHIHMGYCGWEAFKTLTRNYFLIDDHTLFPEIQKLLSCVEVTPAEVSEMLLRSEDADVALQGFLEFLQDKKKSAQKRVDVTLSGLLNFIDGLWSTSGEERVIVFTTNYKDRLDPALLQPGRMDMHIHMGYCGWEAFKTLARNYFLVDDHALFPEIQELLLGVEVTPAEVSERLLRSEDADVALQGFVEFLQDKKKGAMQTNGIRTSEKMTTKRLAVASFLLDASTTPITFKYYLLLPSEELGDAQERSIGILVPQQHFQHLSRWMAMGWPITPLLRMMEGLDLSKVVASPSYAKAADAYKKAVATAATVTAYAVLARSMSPELLPYEVRAAARWAAPAVRDCLSQSPPKPRRTKTIFVGRFFWDARAYLATRIDPRRMSRHCLTTGGGGRQLLSMVPGDSMTDVFEGVEFTWTLVSSRSRGRGDGDYYSPASPDSLAHRSFDAEQTEVALCRYVPFIMPATEGTRQRKRALKMYMNKHRSWEGVNLHHPATFDTVAMDPALKQSIVDDLTAS >Et_9A_062169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20252500:20255525:-1 gene:Et_9A_062169 transcript:Et_9A_062169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRFLAAAGNPHIRLFDVNSNSPQPVISYDSHTSNVMAVGFHCDGNWMYSGSEDGTVRIWDLRTATCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLKGTQTITCFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDHTVKIWNVDGFKLERTLVGHQRWVWDCVFSVDGAYLITASSDNTARLWTMSTGEAIRVYQGHHKATTCCALHDGAESAPA >Et_3B_027974.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:29074966:29075307:-1 gene:Et_3B_027974 transcript:Et_3B_027974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSHPTVAMRPNLPAPPIGISSLHLPAALTSILPPLPPPPPRPFPLRGLAHFLQMKLSGTSSKSLIPEHLVCCQTPHMSHASILSPSSSLAPHEQSTVQFSKPLSILNCTILL >Et_4A_032996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16731693:16734582:1 gene:Et_4A_032996 transcript:Et_4A_032996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGKAAATSLLGKKCDLAMNPKTMIPSWTEAGARLLVARFLDQYVSVGNLILIEEGGTTFSFGAVCEKCHLKAVLQIHSPQFYWKVATEADLGLADAYVSGYFSFVDKREGLLNLLLILISNRDAHKGSNSIASQRRGWWTPFLLTAGFASAKYILSHLSRKNTVTQTRRNISSHYDLSNDFFALFLDPSMSYSSGIFKTEDESLEVAQLRKISLLIDKANVERDHHILEIGSGWGTLAIQVVKQTGCRYTGITLSEEQLIYAQRIVKEAGLEDRITFLLCDYRQIPSCHKYDRIISCEMIEHVGHEYMDEFFRCCEYHLSEHGRFVLQFISIPEERYDEYRRSSDFIKEYIFPGGSLPSLARIISAMSNASRLCVEHVENIGYHYYTTLMRWRDNFMANKDWIQVTHTWRLP >Et_4B_039171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8401180:8404450:-1 gene:Et_4B_039171 transcript:Et_4B_039171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIIAPTKVNCGLVHSIKLDLSVLLIGSTSSDKGYSLRRGFQLTYLSGEKSENRVLFPLFLNAVQALSQSVDGVQETQEPVYRLPLSLLPPSGLPREHRGTSARGGRRDKNLPEHTSISMALSPPTTRFHQPSRPSDPRFFFHSRFFRRADSLLIPETWPRRAVRPLSDSESRPGPPRLRVLGRLLAWTRRAMATWGYRNEARSLAARLRGLLPLPASQLAALAWLADLLPLTLGDAVPALAGEGDAAAVAAHLDTGVALLDACNSITARLERLLRRLLARFELHLLASQRRSGWGEGEGGRDGGALRGEREEAGGAMTRERRTTAAGGLRIGM >Et_1A_006672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2620498:2626225:-1 gene:Et_1A_006672 transcript:Et_1A_006672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQERDDIPMLLRNIELPRFPRSTSMCMPVRDDEYEEDTYVPHTGPLFIQPPTQPAGGNPFTSRDTPTDRPPRPPQGKQVSKQQAVRPEEIGECRWSYNGGVPKNEHLMMSGPLGQCDNPDCVNCPPACKNKRHFRRGPNALDNKFHSILYGHDGGWKKKIEDVLAKIPILNPHAKVVQQWNQFFVISCLISIFIDPLFFFLLSVEQDNKCIVLNWNFATALSVVRSVTDAIYLLHMLLQFRLAYVAPESRVVGAGDLVDEPKKVALHYLRGYFLLDFFVVLPLPQVMILLVIPKFVGLSSANYAKNLLRATVLLQYVPRIIRFVPLLDGQSANGFIFESAWANFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRDACYGSTIPYCDTFIDCGRGSWQSGVNRQQWLNDSNSTACFNTGNDATFQYGIYEQAVLLTTESSAVKRYIYSLFWGFQQISTLAGNLVPSYFAWEVLFTMAIIGLGLLLFALLIGNMQNFLQALGRRRLEMQLRRRDVEKWMSHRRLPEDLRRRVRRAERFTWAATQGVNEEELLSNLPEDIQRDIRRHFFRFLNKVRLFTLMDLPILDAICDKLRQNLYISGSDILYQGGPVEKMVFIVRGKLESISADGSKAPLHEGDVCGEELLTWYLEHSSVNRDGGKVRFHGMRLVAIRTVRCLTNVEAFVLRASDLEEVTSQFARFLRNPRVQGAIRYESPYWRTIAATRIQVAWRYRKRRLKRAEQSRLNEQSYPAYPNIANDAFRCGRRG >Et_2A_016249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22543586:22544224:-1 gene:Et_2A_016249 transcript:Et_2A_016249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRQRSIFHLGEEGGDEHVKSMDKSTRHLGGSRNRERNKQQERNSTDAVVGLRILVAQQRQHARATAPSHIVLKQMVMPTPAARGQHACGFLRACFRCRRELSPDKDVYMYRGDQGFCSQECRWRQILKDEAREREAMFKKHRRGLSIQHRYGSRSAAAPALCGAPGRLLAVA >Et_1B_013865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21224158:21228351:1 gene:Et_1B_013865 transcript:Et_1B_013865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEDNRTCSYYKIKPWDTLQVDFGMTIFATMLTGKTKTSDLESCDGVRNVRAKIQDDILDGRQRNHQVNIDHNIQKEPTLHFDNCRHGGFPIFVEALPRKRICVQVEDIDTDTIGDVKAKIQSQQRFFFNGKQLKNRQTLADDSLRWKTLADYDIWKGSTLHIDYVIGNCPMNINVKTLTGETISLEVAPSDTIEDVKEMIQPHLSLTLDGKQLGDKRTLDDYDIQNHSTLHLDLSLPVKEAKVKETTDYIEEVMLSLVDTQSLLPLMLSLARGSPMESTYFTFGSLLLTAFWASLTRSMNLPCRCAVAIRTCIYEGLFGGSCPYLDDEEVRLAEVVADVIHKGLHATLVELGVLEPESVSLTLIPEQGGHLAAAVVALSDGRPGARHHPLVQLSTPRPVGDGRGALCAGDEPHEASWGNGALAGKYGVGEGHARPNSEDVAEVDAGVQWLACFLPVERRGEAEDAWRGCALGRHDAVPSAAGGATTAAHVRLPRQDEDQRAATCARRDGWEEEQEVEDGHCHCHFLVSPRGAHMV >Et_2A_016109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21052365:21054014:-1 gene:Et_2A_016109 transcript:Et_2A_016109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTLPPTAAPGGQVAQEGSAEYAPATFGSFLSARPRILPAVLQIRAAWTPGTWFPSKNCDLFRPFRDNDSWWVCLTRFISVNRWVVLGKSDIVPADLAAAAAAAAGHQRLGFMPLPMLPIWAQMMLGGVVYTVVPFYKRARKVEDETLDNVETVVEVVERVAEVTEKLAANAAKSLPKDGSLQKVAVEIEYIAELVDKDAHKVEAVIKKIEELSDKIDAAVEPVIEELEKDFKSNPTSS >Et_2B_022662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29697215:29697936:1 gene:Et_2B_022662 transcript:Et_2B_022662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEVKASRTSVSSNLESKLLKCGLLSPGAGAEPERAFQNLLVTFPAPGIADDGQALVPGNQGDEDDYDWEESSQYEGTESLKLSSPSVVLFFFARDLV >Et_5A_041098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18336681:18341522:1 gene:Et_5A_041098 transcript:Et_5A_041098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAASAAPAELREAHRLMGHTDRVWALAWNPAPGPGAGPVLASCAGDKTVRIWKRAPDGAWRCSDVLEDTHNRTVRSCAWSPNGKLLATSSFDATTAIWEYTGGDFECVATLEGHENEVKSVSWSPSGLLLATCSRDKMVWIWEMQPGNEFDCVSVLQGHTQDVKMVQWHPFLDILVSVSYDNSIRVWADDGDDEWHCVQTLTEADNCGHSSTVWALSFNHKGDRMVTCSDDRTLKIWDTSADLSQPRAGDDQESWRHLSTLTGYHGRTIFSAHWSSEDIIASGAGDDAICLFAEEKSNMVEGPSYKLILKKERAHDMDVNCVRWCPQDPRLLASASDDGTRNLLADLAVTK >Et_8A_056105.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:20529218:20529778:1 gene:Et_8A_056105 transcript:Et_8A_056105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFAGRITMEFAPSKLPPIVKRGRFPTILYTIKEDEKEAVESSMAPSSHKARSYGDTPMHCINKLAFLAPMAKTGCLKIKA >Et_1B_012380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31306365:31324627:-1 gene:Et_1B_012380 transcript:Et_1B_012380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRELLRIIEAALLGPGPPSPAQRVELFHAVRDAAPAFRSLLSYPGPKASDRTQVESKEVRLPDMPPITLDDTDVQTALKLSDELNLNEIECVRLLVDANREWVLYGREPLEIYRLAAGLWYMERRDLITSLFILLRSVGLDQGLDADLISEIQEQMEVLFRDGLRQRIITLIKELNREEPTGIGRPGCERYVLDFRGALVERRAIVSRERLSLSHCLALSGIIKLMSPKEVKDVFSILKDCAAEANENASVELQITYGVLFSLVVTFVSDILSTSGEKASLSSDSSFRHEFHELVLRTGNNMTVEGFVGVVRLAWTVHLMLTQDRGSSRDMSDIWSCLEIICRHNAFEFFRERVLKTAAYQNDDEDIVYMYTGYTHKLMMCFLSHPTSRDKIKEMKENAMAALSPYGPPRDHREDPGSNGEQIGQTTNQPFVSLLELVREIYQKEPELVHGNEELWTFVMYAGEDHTNTQTLVAFLGLLSTLASTEVGAAKVYELLQGKVYRSVGWSTLFDCLSIYEEKFKKSIQSSASMLPDFPEGDAQALVAYLAVLQKVVENGNPTERRKWFPDIEPLFKLLSYENVPPYLKGALRNAVAAFINVSPLLKDAIWSYLEQYDLPVVTTPVGHHSATQVYDMRFELNEVEARRESYPSTISFLRLVNALIAEERNISDKGRRFMGIFKFVYEDVFGPFPQRAYADPQEKWELALACLEHFRMVLNMYDLKDDDIYASINTSAPSSIAGQLPVLELLKDFMSGKVAFRNIMNIILVGVDSLINERTTQTYGTLLEKTIHLSLEIFVLVMERDLALADVFRPLYQPLDVVLAQNHRQIIALLEFVRYDYLPQIQQCSIKIMGILSSRIVGLVQLLLKADVAKAIIEDYAACLEFRFDDSQVIDNAKDDVGVLILQLLVDNICRPAPNITHLLLRFDVNGTIERTILKPKSHYSCLKIILDNLEKVAKPDINALLHEFSFQLLYELCLDPLTCGPVMDLLSTKKYQFFSKHVGTIGVMPLPRRNSNQSLRISMLHERAWLLKILALALHQSDISSSVYREACMAILYHTFGQCVENLRSTNLFNSPNTSAGISSVSTNRNKVLDLLEVIQFRCPDTSMKYPQLLSNLSVESKIEEILRNSATSEFGGVYYYSERGDRLIDLDAFHEKLIQVMSQELSSQLTELEKGGLKELVHHLLKWAWRYNKNLEEQAAQLHMLTGWSQIVEVAVSRRMSLLEDRSELLFQLLDASLSATTSPDCSVKMAYVLTNVALTCMAKLRDERFICPAGADSDAVTCVDVISAKQLSNAACNSLLFKLIMATLRSESSEALRRRQYALLLSYFQYCRSILDSDIPPSVLRFLLLEEQEGDEDDLTLQKVLKEHNDLAHANFSIIRKEAQAIVDLVTKDAIHGSETGRAISFYVLDALISIDHEKYFLNQIQSRGILRSCLSDVTNYLSKDMSFSSESSQRFCTIEAQFSLLLRISHHYGKHGSQILLSMGALQNLSSFNLVGLHKKGNSRAITSVVKERAGEIDKKRSLIAPVLRIVTSFTSLVDSADFLEIKNKIVREIMDFAKQHQSVFNSILRENVSGAHLFTLERLNMVVSILSKVWAYEENEECSYVQDLFSIMHSLFSLDFGSLNFMQSANMIENQKSELIVFGVCFSLISYLYFLATKKNMRFQVSYGHNSDQQQPTLQMVSDLLNSITLALERVAEEKYLLLNKARDLNELSRKEVDEIIKVCIKQDCISPNDNIRKRAYIKDISSFSDELLPVLERLEHLKEDKVGRSLKLFHRSVTTLKEMTIRSMTL >Et_1A_009034.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:26395573:26395779:1 gene:Et_1A_009034 transcript:Et_1A_009034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDEVASVGDGNGEAVMEGRPAFPVVVCTAEGTTVKLLFEHQTHCKVWKASIEGMLSEQRLKRANTN >Et_10B_002987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15047473:15048367:1 gene:Et_10B_002987 transcript:Et_10B_002987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYWPRYDGRDGRVLLMSSDSLRGGLAVSPVLSVCDPLTRAYTLLPPIPDNLRASVQDEVQDEQFYFFDAFFGPSRGNENEEAIFSEETQFRVVCWALTFSMTVAFVYSSVSGSWSHGTSIVFAALGLDIPPECYLMTGGLHSYAYGCLYWHVDIGNKMIKPDMNSMETTTVSLSSDHEYRTTMFVEAGEGRIGMFSLVPESEENLQSLLYSIWQNEGENTSEHPVETTIPLSSKKQNQLFFSVEIKTLKVERVCGANFGPDIEYRQFRPFISPRRI >Et_9A_063153.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:12256997:12257272:-1 gene:Et_9A_063153 transcript:Et_9A_063153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSVLRSRTFAAMFFALFLLVSVRPSSARLLRPTEIDGNFASRGSVDDVATQAKESVVEKYAPLLLAMLPRAPVTPSGPSGGTNDAPRN >Et_9B_065606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7918085:7933584:1 gene:Et_9B_065606 transcript:Et_9B_065606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDCLRDLCSCCLAPSSPDSSSSKPAKPEATMAGNGDITHRTVELSTGVRMHVAEAGPAGAPVVLLLHGFPETWYTWRHQMPALAAAGYRAVAPDMRGYGGTDVPDGGAESYTALHVVGDLVALLDSLGEKQVFVAAHDWGAVTAWSLCQFRPDRVRALVALSVAYTPRSAARRPVDGLRTLFGDEYYICRIQEPGAIEAEFARLGTEQVLRKFFSYRTPGPLFIPKSGWGSPDDEVPLPSWVTEEDIKYYANQFDKTGFTGALNYYRALNKTWELTSPWTGAEIKVPVKFIVGDLDLTYHSPGIQDFIHKGGFKKFVPLLDDVVVMKDVGHFINEEKPDDVSDHILALSSPDSSKPKHEAMADDRTSITHRTVELSTGVRMHVAEAGPAGAPVVLLLHGFPETWYTWRHQMPALAAAGFRAVAPDMRGYGDSDVPDGGPEESYTTLHVVGDLVALLDALGEKQVFVAAHDWGAYIAWSLCLFRPDKVRALVALSIAYSPRKAARKPVDGLRAAFGDDYYICRIQEPGAIEAEFARLGTEQVLRKFLSYRTPGPLFIPKSGWGSPDDEVPLPSWITEEEVKYYVSQFDKTGFTGGLNYYRALNKTWELTSPWTGAEIKVPAKFVVGDLDLTYHTPGKQDFIHKGGLKKFVPLLDDVVVMKDVGHFINEEKPKEVSDHILLEILKRSR >Et_5A_040713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11489213:11495867:1 gene:Et_5A_040713 transcript:Et_5A_040713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNGTPAPREEGKPLPAVAEGSIGGYESLHRLLEANLSPELFKEASRLLLGLNCARPLEAISLPEAATTLAETHNFDAQAFSFGADKEFLRQPRIVRVGLIQNSIAVPTTCHFADQKKAIMEKIKTIIDAAGASGVNILCLQEAWTMPFAFCTREKRWCEFAEPVDGESTQFLQKLAQKYNMVIVSPILERDVNHGETVWNTAVVIGNNGNIIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIAVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPQHADFGHFYGSSHFSAPDASCTPSLSRYRDGLMISDMDLNLCRQIKDKWAFRMTARYDMYASLLSEYLKPDFKPQVIADPLIKKRNLHRIQLIVSACIHIQAKYKL >Et_2A_016161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21675969:21681861:1 gene:Et_2A_016161 transcript:Et_2A_016161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLDLLPRLLLRRHAHRRSKPSSPPAPPPPPNPPRPPQKPEPVSIHGETWHDSYAWMGALSDAAAMRHMDVHMEAEEKYTEACLAACGADRLARKLQLEMASRLASEACTPPVRWGPWLYYRRADEGKQYPVLCRRSAALHSEFVSYSDPSAGFDFTAGKRIEQKLVDYNKEAERFGGYSYEELSEVSPDHRFIAYTMYDKEKDSFTLLVRDLVTGTLCDKPRADRVSNISWAMDGKALVYIVTNEDRRPYRLFFTIIGSNKDDILMLEEPDENIFLNIRHTKDFRYITLNVFSDTHSRVYLINASDPLSQMTLVREGESQVHCIVEHHRGRLYLFTDASREGVPVDSHYLMQSDVECLGPKSWKNVFLEEPGVILEDVDFCDTHMVLTLRQGRKLRLCSVNWPLPENIKVPARLSDFRPFDLPLPNDVCQILSGPNYDYYSSTMRFSISSPVMPDAVVDYNLLNGKWQIVQQQNLLHERTKALYGTAFAASRRSSSDRADLSNEDFGDNAWNELSEYYACEYYDVPSKDGVLVPLTLVYSRKHKQDGNPGLLHGHGAYGEILDKRWRSELKSLLDRGWVIAYADVRGGGGYGKKWHQEGSRTKKTNSVYDFVSCGEFLLEKGIIQENKLAGWGYSAGGLLVAAAINSRPDLFRAAVLKVPFLDVCNTLLHPILPLTAIDYEEFGFPVDHEEFLAIRKYSPYDNIQKDIPYPAVFVTSSFNTRFGVWEAAKWVAKVRELTQYDPERPVVLNLTTDVVEESKYLQTKELALETAFLIKMVNGV >Et_10A_000091.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22796853:22797389:1 gene:Et_10A_000091 transcript:Et_10A_000091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTWKPWWHLGSTRTFSPSANSPRQMAHSVSVPGVVPSLVSYSTTGILSSSILFSPAVAEASSITIAAVAAAVAGAATARQCRTQRRRTQSSAARMMTMLESGYTLPLSPPAAGNRAPPVVAAAGVTSSGTVDASSAAGLSLSMRMAHLDIICSMPGPGRQATELLPQPQVSWSLCTR >Et_2B_020667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22558133:22561407:-1 gene:Et_2B_020667 transcript:Et_2B_020667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METATAAVGSALRKLQAYLSNHDDGHRGDVEQLVQELGSIRDALLLRAAQAPPPPGQLIHDEEPSLAWAGEAREVAYDAEDAVDGLLVAPPSGSLPSHPIKGLLQRAADLARSRPRSPDVAATPAVVDRHREQQLPPDGEAAVVGVDDSRDRLVRRLRLRDEAGDGDDPVQVVSIVGSPGLGKTALAGAAFGVLKPRFDCAAFVSVGLDPDVPEVLESALRQLGIDKEAGAGEPRNEELLISQLRGFLQNKRYTCSAKSWKFEELRWNKLVESQLVYIILLLLADLCYYGRNMTRYLIILDDLWDRSSWGKIRCALPDNNLGSRIVTTTRNLDLAGEVGETYELKPLSSENSKKLFFRTVFGGEDTCPDDEFTESADKILEKCGGVPLAIITLAKLLASSVEDKSEWQKVCKTIGPRLDNTPDVKEMRMAVSTSYYNLPPHLRACLLYMSIFPENCEIRRDRLIWWWIAEGFVQPEEQCESLFQLGENYYNELVNRSMIMPLDIDYADDGGPYEPCCRVSFPVMDFISCLSSQENFVAVLDGEQRALPSDVPVRRVSVRGGSKAEDSASLDTISVPQLRSFSVFSPATAETIDLNRFGFLRVLDLEGCDLSEWHLLQRHLGSLIHLRYLGLRETRIVSVPEEIGNLKFLQTLDLDDNLRMNELPASVTQLRELICLRVYWQTRLPSGIGKLTALEELSDATTRDSPELLRELCKLTKLRTLRITLCKPSPSQEAALVESLRSLCELRTLDVYVAGGDGKENLEAVREAWAPPPCLLEFRARSPGTGWSPLRQLPSWLLADSAAAAVPRLAVLVVQVAELRQRDVDALGRLPALRVLRLEPDATAELLHVAGGAFPRLTELRLRDADVAPVFRPGAMPRLRRLEVCFRVRRTVDLGNGGFEFGFENLACLEEATVYVGCQEAWETETEPAEEALRRAADGHPNRASFDVITFGEELMCFEDLMD >Et_10A_001256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22725870:22727946:1 gene:Et_10A_001256 transcript:Et_10A_001256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYGDRIVVDACVCVGSRVASQEIPSDLTIRVGDSVFPLHKAVMVPKCGYIRRAVAAASSKEDGTVVEELELSSVPGGAEAFEKAARYCYGANFEITDRNAAALRCAAAFLDIPQLARRVDEFLAQAGLRTLRSAVAVLRSCEGPLLLPAAAEELGLVRRAADAVALRVCNEAMFPTRSSPPGWWTAELAALSPASFGKVATALRCRRADPAVTAAAAAAYAELALAEVLADPGGSGGNNKDGSAAHLVESVVDVLPSSADAPLPASFLCRLLHAAVNTNSSAKTCRDLELRVAAVLDQATTEDLLGVALDAAGERVTNADTVRRVVAAFVERLQQQQASTAANCRRTRRASLSGLGAGAADEAVDSSASLLSKVARTVDEVAAEIATEAALPVSKFVGVAGAVPKDGRDQHDLVYRAVDIYLKTHPGLDEIEREKVCSVMDPLRLSHQARLHASQNNRLPLQVVLSALYYDNLKIRSAGVADEWDHVDGRSSAAGKARSDASLARENEALRSELAQMRAYLSGMQQAHSKGSASSSWAPASKKKASSFLGSVSRTLSCLNPFNGRWAKDTSTIVDGRNGKTTRDHVVVNPKRRRFSIG >Et_1A_005074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25443916:25444445:1 gene:Et_1A_005074 transcript:Et_1A_005074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDLICTQSKLEPKPAKPQVRWQPSEEGWHKVNSDGSIVADLQSGGGGMVIQDHEDNVTAVESRWYRWVNDALTAEAHDMVVARALWHDIKEAGRSFSSFKVVFARREANNAAHVCAKIAFPSNSMCTWSTQLPVCLMEVVTRECNPASE >Et_2A_018555.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32692810:32693493:1 gene:Et_2A_018555 transcript:Et_2A_018555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGEQKKELVLLDYWVSPFGQRCRIALAEKGLAYEYSEQELFGTKSDLLLRTNPVHEKIPVLLHNGRSVAESLVILNYLDEAFPATPPLLPAGDPCARAQARFWAAYSDKLFQVGSQQWKARGEDASKQARDEMVRVLRNLDGELGEKAFFGGEKFGFVDVALVPFTPWLPVYERHGGFSVAEVAPRLAAWAKRCGERESVAKNLYPPEKVAEFLAQHKKEYGFE >Et_2B_022331.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18087554:18088423:1 gene:Et_2B_022331 transcript:Et_2B_022331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDHDDGDEEMAPLPPVLSSSYETPPQSAGFGGGGAGAAPKPPPPPLGEPGGRAKAPGIGGGGGGGRYRECLKNHAVGIGGHAVDGCGEFMAAGEEGTLDALRCAACNCHRNFHRKESPAAAGEAGSPISPAAIVAYGATPHQQFSPYYRTPAGYFHHHQPPPLHMAAGRPLALPSTSHSGRGDDVDDLSGMAGPMSALGPLSGMSLGGAGPSGSGGSGSGKKRFRTKFTQEQKDRMLAFAERVGWRIQKHDEAAVQQFCDEVGVKRHVLKVWMHNNKHTLGKKPLP >Et_4B_039006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6913193:6916781:-1 gene:Et_4B_039006 transcript:Et_4B_039006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALPVVNATAAALARVSAAFNAPFARAVVFGVHIDGHLVVEGLLIAVIVFQLSRKSYKPPKKPLTEKEIDELCDEWEPEPLCPPIREGARIDSPMLESAAGPHTIVDGKEVVNFASANYLGLVGNEKIIDSCVGSLEKYGVGSCGPRGFYGTIDVHLDCEQKIAKFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLHLSRSTVVYFKHNDMASLASTLEKLTRGNKRAEKIRRYIVVESIYQNSGQIAPLDEIVKLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPVEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSAGYVFSASLPPYLATAAVSAVNYLEENPSVLTNLRSNIALLHKEVSDTPGLEISSHVLSPIVFLKLKKSTGSPATDLDLLETIAERVLKEDSVFIVTSKKSNLDRCKLPTGIRLFVSAGHTESDISTLSSSLKRVSASVLSDYL >Et_1A_005865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14894458:14896965:1 gene:Et_1A_005865 transcript:Et_1A_005865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSAQKHVIGIPLTSFAYADEQTQGKASCSALIQSKSNTLTPFFLISLFQTVILYKKSSFIHRVSKLSQKTDSYVQGFKEHLTLGPKISETIRGKLSFGAKVLQAGGIDKVFREYFAVDKEEKLVKAFQCYLSTTAGPIAGMLFISTEKIAFHSDRPLNLASPKGRNTRVPYKVLIPAKRIKSASVRENLYNPDEKYIDVVTVDGFDFWFMGFLREVLQISSACNFSVEMILMMNGIRQDRNGHSKKLWPCR >Et_4A_035291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17911863:17916106:1 gene:Et_4A_035291 transcript:Et_4A_035291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGCSLVRILFLLLSVSVPFENRIGDSSRGGGALEPSFLPPFLLRYERTRHLENRIMDEGGSNTPFSGATTLATTAPSTANGLQKGEKESAIDAEVARLNKLPAHSSYAMHRMKVLNKLRHLLSIKATTHNALILRVFRKPHGKEDLKGKIVLITGASSGIGEFGVRI >Et_2A_014785.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:14830702:14830803:-1 gene:Et_2A_014785 transcript:Et_2A_014785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITQPTLTYELKERLRGIKNPNSRYLEWIQFY >Et_5A_040608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10233750:10238653:1 gene:Et_5A_040608 transcript:Et_5A_040608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDTGTAAAAAACQIDPAYEFAAPRFFDFINEENDEAVRAAEAWFEASASHAPSPFGSRIRESRAGVKVTFLCDFADAEEPATKSPPVDEMSESPPVEEERKESPKSFEFQPTRDPAAKSDGGSASTPKLQRPPPAIKIAPAVSTYAKSIVKTEARTPKTLANMSKAGVATGSTSIKRSVIKGARDLAAGKAANAATDIAQENQAVKRQKLDDGGVRQILNVKTRVLPHKGRTGLGSAEMRRSHEDIHSAKEVTPYVSAAEMVKKFESGTRELGIPHNKSLSHEDAASASQNRPKLMLTRPKEPELQTAHRVRAVRVKSSAELEEEMLAKIPKFRARPFNKKIAQASVFPPLPRKTPQVPEFNEFHLKTMERATRHADTCSETSSVGSIRSQNKPLKLTAPKPPQLHTSMRARPPSVKSSQELELEELEKAPKFKAKPLNRKILESKGDIGVFAHPKPQVTAPKEFHFSTDDRLGPPPSVTDLFDKMSLYSESSSYSDRKGVPRVTISNPFNLRTEGRGHLKEAQLAAQLAQKQMEEEKARLHKANPYPYTTDYPVVPPKPEPKPCTRPEGFQLESLVRHEIEQQRLMEERQRMEREEAQRRLVKAQPILKEDPIPLPEKERKPLTEVQQFALHVDERAVQRSEFDNMIKEKEKTYKRLREENEFAQKIEEEKALKQLRRTMVPHARPLPKFDRPFRPQRSTKQVTRPKSPQLQVDERGARRHAFIR >Et_4B_039187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8537147:8538822:-1 gene:Et_4B_039187 transcript:Et_4B_039187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGEYQEMAESVPPVLKAITLTHVRYRRGDPLGLFLAWVSLIPVFISLGGFVSHFLFRRELQGICFAAGLLVSQFLNELIKHSVAQSRPAYCELLEACDSHGWPSSHSQYMFFFATYLSLLVMRRSPASRVMAAVTWPLAFLTMLSRVYLGYHTVAQVFAGAVVGLVFGALWYWIVNTMLVEYFSMIEESAIGRWLYIKDTSHIPDVLKFEYDNARAARKKVATD >Et_3A_024709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23500834:23502076:1 gene:Et_3A_024709 transcript:Et_3A_024709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHFPSDHDEGRVGGPFHRHKSIHKLLGGGKVADILLWKDRNLSAGVLVGATLIWYLFHVVEYNIIPLLCQIAIFAMLVIFIWSNAAPLLNIAPPRVPEVIISEHDFRQIAQTIHYKLAYIVATLYDIACGKDLKKFLLVIGPLLVLSEVGSSYSFTSLLYFGILCAHTLPALYQRYETEVDHLVARGSEDIKKFYKRIDSNILNKIPRGPVKAKVY >Et_8B_059211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15374483:15383707:1 gene:Et_8B_059211 transcript:Et_8B_059211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQFIMGRSMEELGANPDPTMQDLMNLLGGADISQVLGLGVSMPLTLAYDAMPDPPVFPAALLASAAARRAPADGVDRISRLPDQILRNVVSRLPAKDAARTGALARRWRGLWRSAPLVLVDEHVLPDRLPSGRTAPGGDDAVSKAVVAAVSRVLAAHPGSFRCVHVTRAHMASHQAEIERWLKLLAAKGVQELAFINRPWPCDVPLPATLFSCGASLTGLHLGAWRFPGTRSLPRAAGFPHLRDLTLSMMVIEDRDLNFLLDRSPVLEKLTIISTQTELRLRLVSRSLRCVQLGMCPLDDVAVVDAPRLERLLLWTIVSDDKCPKIKIGHAPNLRVLGYWQPGDLQLDIASNIIKVLVVYLFRVAVCSFSFSAGLAHFALQANTKVSSSTILPTVRILALEVQFEVCDDVKMMPCFLKCFPNVETIHVHSLNADKPPGKLSLNFWQEAGQIECVQRHMKKFVIHEFRGKKSEIAFLQFIAERAQALEKMVVMAASQCFSTTQVVKEKLKPLTSAEWASKGIRLTIFKSPSSDGASPPWNFQMASDFSLSDPFDLLTADAELMRGASTLRLKTHLDKGVVHIIAEIDDFEGPLQCSPTKRSLHPKSIRPVRALDDKDDYDTSTALMFTYESLPAPPVAPDAPLAAAAAAWSDSDGVDRISVLPDRILRNVVSRLPARDAARTGALARRWRGLWRSVPLVFLDAHLIPGCKESQLWRPGVEDSLGVCNAVAGVLAAHPGPFRCVRITSCYMGMMESNEIKRWVQLVADKGVQELAFINRPHPLDLALPAALFSCTSLTRLHLGAWKFPDTAALPQAAAFPGLREIVLSLIAMKDRDLAFLIGRSPALEVLTIIASQNDVRLCLISRSLRCLQLISSSLGEIVVADAPSLERLFLVDTRCPRVGDKICSRIKIGKAPKLQMLGSLQQGKHELEIGNTIIEAGTKVASSNIVPSIQVLALTVPLEVRKKVKTVPSILKCFPNIETLHIYSMKVNGPTGNVKLKFWQDACPIECVEWHLKKFVIHDFRGNKSELAFLKFIAERAQALEKMVIRYRQECFSSPDDVTAKLTTLVAGKWAGKNAELFVSMQRGCTFWSVRGATDGSYDDPFFIVSVKD >Et_7B_053713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11368664:11372299:1 gene:Et_7B_053713 transcript:Et_7B_053713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADDTPSIAGDGDRSGGAFAFISKGWRELMRARADSMRARADRELEQLLSSAPALAPPPPVAAGSPIAEVEFLRTRIQPKIQELRRQYSSRAALDGWPTAPAGASLRVDLTAIRNAVVAEGDGAGRWRIARWKGDRVEEGAKEWEVVRMIRSGLKEFERRSLSSDMFAGFRGRSEFVEKFKLSLKSLNKEDRESKEVPPLDLPEILAYLVRQSGPFLDQLGIRRDLCDKLVEMLYSKRNGRLMYDSLSADKSLSENISDELDLRIARVLESTGYHEEGFWNDPVKYKISDSRRHVAIVTTASLPWMTGTSINPLFRAAYLARSAKQKVTLLVPWLSKSDQELVYPNNITFTSPEEQETYIRNWLQERLGFEADFKISFYPGKFSKERRSIIPAGDTSQFIPSKEADIAVLEEPEHLNWYHHGKRWTDKFNHVIGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCHKVLRLSAATQDLPRSVICNVHGVNPKFLSIGEKIAAERECGQKAFSKGAYFLGKMVWAKGYKELIDLLSKHKNDLVGFKLDAYGNGEDSEAVQATARKLDLSINFFKGRDHADDALHGYKVFINPSVSDVLCTATAEALAMGKFVICADHPSNDFFKSFPNCLTYKTSEEFVTRIKEAMASEPQPLTPEQRYCLSWEAATERFMEYSELNKVLNDKNGQSGQIRKINKVRKIPLLPKLSDVVDGGLAFAHHCLTGNEILRLATGAIPGTRDYDKQQCMDLNLLPPQVQHPVYGW >Et_1B_011305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2031521:2033195:-1 gene:Et_1B_011305 transcript:Et_1B_011305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VTENMLGGKKVTVVFVLGGPGSGKGTQCANIVEHYGFTHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPSEVTIKLLQEAMIKNENDKFLIDGFPRNEENRAAFENVTKISPAFVLFFDCSEEEMERRLLGRNEGRVDDNIETIRKRFKVFVESSLPVIEYYNSKDKVKKIDAAKPIPEVFEDVKAIFAPYAPKVRNMYVSHIHKFLRFSNMWILFMTALHCANFLSILECTSTDIKVPHECDH >Et_2A_016157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21614154:21615567:1 gene:Et_2A_016157 transcript:Et_2A_016157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSRGKDEWFFLSARARMYQNGVRPSRKTEDGRGRWKASTATKVVAEETVSDGIRYCKNVLNYFSGSTRNEVRTKWLMRELTIPEYEIKRGDSGANETLDEYVMCKIYLSPVHKTSDDDDSGDSPACELSERQNGKRPLEAQPRGHAVARKQARQGSLTIGGTQPNGHIPTIYCLPAQPTEYNVQAPLQQRPSGMHNGQGQQQPGGAFNGQELVPRTPIPTQFQPNAAATSNPNNAFGRMTTMMVRPQNMGFPGNPLRQDPGMGFRPQVSLRCHYDQNYGAVQPPQGNAAYGTPQQRAMAFAPPQPRVMALAPPPTQQQQQQFFNVNADDFAGAFASTWPPAYNGNPYKGSSMEHGPGDQAQAAAPGAGNAGNGNVNAEHHFVELAAINTSLAGARQHMAAAVPDIELATPKEETGVEETSTHTNSLHKQDTA >Et_2B_021686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4477702:4488197:-1 gene:Et_2B_021686 transcript:Et_2B_021686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FSAVAPRRRPPPAAAADASPSSSPRKPGDHAPARAGGQPEAAAGVGPCEQARKALCVRSPFDGEEAVGRDPWLPSRVARWAAVGDVQRKHKKSQPPQQQPEPAAAVEPQPKVPAGSKGFWEQMEPYFREFTADDFEELLTKRQFCSSQIDPCFLIPVVGSGKELGENLDPPHASVADDCSDMNLNLEKHNEELESGGVQDMHESSNLVGGSVEVVCQDESNRENCDQDMQEVIVQQEEHPIEIMLDQTRTESTVSSLGCREDSRTCLNWLLGARGRFVLTSERPNKKRKLLGADAGLEQLMLLPSVEGVAGSICDVCCLGESGTLSNRMLHCKSCEISVHQKCYGVHDVPDRFWFCVWCHRNIGIPRRLTRSDACRTVSTPCVLCPKEKGALKPFKRDPGPSADGGNQEFVHLFCSLWRPEFLVQDMESMEPVTTVVDTQENQTKMVCSLCKMMHGACVRCSHGTCRASFHPICARESKLQMEIWGKLGHDNVEMRVFCAKHSAGRGINSMQNSRSCSEQDSTQVNQRDGKLVTGTEQVVRFTRSNKDKFTNDTPTANSCSLNKARTVEVATSPSTAASLENQETPITDMVVDQPAIDGSLCSNSGDASGVLRKLVDQGKVSVGDIESELGLHSESLEAALEPETTAFSPGLKLKIIKLLQNTVYVPTVQVKSLNEGSLSPQGTLLASENKNYTDAKLHSGHLEGISSSDHRFSQDDNDNKGPDELIQNGPYSCCDSDANPISGRHLVKYGSRNFSVLACYVYTCVSFCNIYQDHIDGHNCYIHPAVEAKLKDILGADFRRNNQANDYHERAAMDQVRKANSSHILEHSPDDEIEGEMVYLQSNLLKDFVSMKQRYEELMLKVVQNMPYELDSFNKRKWDHIIVNQFLRDLREAKKRGNSERRHKEALAILAATAPVVASTSRNAAIRKEAENVTSAKQEGIPKANIGSLRVLQLSSLPQAKDLSCSNSKVSEDTNFGTFDLAKFSKKSTFPCDICMRGDTVLNRIFVCSSCKAAVHLDCYQSLQYPTGPWRCERCQEMPLDTVITVDQSECHGAKACLVQCGLCHGTSGAFRKTLKGQWVHAFCAEWLLETKFRRGQRNAVEGMESLRKGKASCSICNRCVGACLKCSTVDCQITFHPACAKDAGFYMNVKRIGNILRHEAYCGQHSIEQRKDYCQQYGSEEFQSMKRMRVELELLRFLCERIVKREKVKRDLVLCEHDVLSARRISDASSVWSSCYASGPGASSESATTSVNNKSYSGTIQRSDDATVRSDDVTVDSTVTRKHTVRFSVRSRDADRNTADSSTSTISYKRKLDDGESLVCKNLQESSLLELEGVETISTDQKNMTSQHNEPYQTEQVVSKNKSHSERYVYTRRSSLSKRKKCNQHEGPGG >Et_8A_058328.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:5066081:5066854:-1 gene:Et_8A_058328 transcript:Et_8A_058328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLIDSAASLRSLLRPVTDDRRTTTTTKLSGAGDGAGAGGLFKMFKLVPMLTTGYKVAALLGRHHHGGGGGRKLLADHAPTVTLFGHRRGRLSLAIHEDTRAAPAFLIELPMLAPALHREMATGTVRLALECDTRRRRRPLMEEYVWAVYCNGREAGYAIRRKDASDDERHVLRLLRGVSMGAGVLPPPPDERGRKGDGGPDGKLTYMRARVERVVGSKDSEAFYMINPDDGSDNGAGRGGDHSAPELSIFLVRKK >Et_9B_066282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9863282:9865128:1 gene:Et_9B_066282 transcript:Et_9B_066282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKSSPRSRWARTDQGVGKLYCLREHAMNLFTKREKKYKETWERIVPSLVRWKIEFKKEFALIIHKAKPSTRDLLIVG >Et_2A_016666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26976101:27002547:-1 gene:Et_2A_016666 transcript:Et_2A_016666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETIQLWQRKCEDALQSLLVLGARRPVRRLASSAMGRIIEKGDAISVYSRASTLQGWLVDVKRAEPTAFAGAAQCLGEIYRLFGRKITAGLIETSSIVAKLMKYHEVDFVRQDALHLLENALEGSGGGGGAAAYLEAFRIIMRGGVNDKSFIVRVAAARCLKAFANIGGPGLGMAELDTSMTYCVKGLEDTVSSVRDSFAEALGAILALSVNPDAQVKKGGKKQSASAKKFEDGVQKHLILPFVKAHGANTKNLRIGLALSWVFFLQMIHLKYGTTDSELQTYAIQAMEILQGNDSPDPHALACVLYVLRVGVADQMTEPAQREFLVFLGRKLESSNYTAPMRVATLRILSYLLRSLGEVPSEFKDVLDNTVVASLSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYGVTTLQALRETVSFDKGQNLNIELDSLHGQATVLAALVAISPKLLLGYPARLPKSVLELSKKMLNGFSRNPVAAIAEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHVQDWASELRVLSVAIEALTAFIRSFVHPIITTADGGILLNPVLAYLGGALSLISSLSSKQVPNVKSALDLFTTRTLMAYQSLSNPVVYKSEHQHMLQLCSSPFSDPSGWEESSCLKFLLDKRDTSLGPWIPGRDSFEDELRAFDGGVDGFLPSVWDDEISNFPQDNTVKLRLLNNLDQCLKSWKRHSWYTSLVTNTCVALLSGLKDLLTLRGAQSLPIDILSMTQSIFKGILAEAEISTAQRRAACEGLGLLARVGNDIFTARMARSLLGELTTSTDLNYIASVALSLGCIHRSAGGMALSSLVTPTVSSLSHLSKSPNSILQLWSLHALLLTIEAAGLSYVSQVQGTLFLAMEILLLEENGYMDLRQGIGHLINAIVAVLGPELAPGSTFFSRCKSVIAEISSSNEMATLLESVRFAQQLVLFAPQAVPVHSHVQGLIPTLYSRQPSLRYLAVSTLRHLIERDPTAMIDENIEENLFSMLDGETDSEIVVLVRATIIRLLYTSCPLRPSRWLAVLRNMVLATSITRNASEGLSSSGHNPPDNTPENDVYYGEDEDTMISNSKQEQTHHSASTISQFPQRNKHLRYRTRIFAAECVSHVPIAVGSEPAHFDLILARNAIAEGIYMSNDWLVLKLQELVALSYQISTGQFEGMQPIGIKLLCLIMDKFGLAADPEFPGHILLEQFQAQLVSAVRTAINTASDPLLLEAGLELATRVMTSSIIGGDRVALNRLFLLISRPLNDIEGLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRMKENAPDEYQQLAPLLANSSILLGKYWVGALRDYIAISFGLHSKTNYKPFLDGIQSSLVSSKVQMYLDEVWALILQAVALDAAPMEFDMNESEELLEHTFMSGRSMVKLERTEFKFLWGLSVLVLFHAHQSVKNSAVKINLDSRKDKKFGEFIVHGLDDPRPCDLMLSVLLSLTTEVFFTKDLLSVDVCLELLQALTYADCSSAPIVRLFTQIIRLCPESYFEVEAFVFSALELYSRGVGSSQKSSNTLISELFVAIETMAYRMKNEHLWKLMMLLVSISHQSFEHVSTHLCLSNIVSLLQNILPFMRKCFKDGAGSDAESVNSKVVLGALVSLVAYFCTECDKRISLLEDKTSDSCKVFAKMLLCCLVEAIDIAKLVHGYINENESSNDVLIWGSFRHCVQVIQGSLHSTNLQVQMLAVHALRSCAQKELAEVSEITGHSFMIFLGELLGDVFSVLQATLKECSNKESVSIIDECLKLLFLFHSLAQSREYQQDAMVLLLDALLIVFYLSSESGSQELAEVNTISKKLFSHFIQIPSVAILVKDILLSAPPKKRQQLQDMVRASVSQGQIAVPANMSANSEQNVQDCSSKRPGSTAEVSVCDATQETNEKEVDDDDWEDDWDAFQSLPASIANDDERFPESNQNEQNPRENTNMDISDMDIAVGAMEGRASADKELEEPSDLQHSNMEQQGTSEFPGLSISREDCDDPTVSCTKPSAHIEMADELQKNKGISGEFQGIEGDVPDENIAPRDDSTDNSNNLSDVMEDVVNKSSNNVLRVDDKLVKDDSQDCGEDLSCSSQDINNTDVFSTCEGNEHPANLDTKSEPSEDGMPDSRS >Et_2A_014705.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:7706943:7707287:1 gene:Et_2A_014705 transcript:Et_2A_014705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGIVPDNMAADMINHSIMAHAGANNLFSDSSLQMPLHFSAMEREARVLRYREKKKNRKFDKTIRYATRKTYAEARPRIKGRFAKRSEMEAEVDQMFSTAALSDGSYDTVLWF >Et_7A_052378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7419848:7423034:1 gene:Et_7A_052378 transcript:Et_7A_052378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPDAAAKPHYSFGGGGGGGGAAPPRPARRAPPSPVFLGTALFVLGFVSLFTGHVVTDADWSRIRSRWRSKQFRNNEPIDIWKSKYSGLYHGCSERSTNFRSAVPENNSTGYLLIATSGGLNQQRIGITDAVVVAWILNATLVVPQLDHHSFWKDESDFSDIFDVDWFISYLSRDVSIVKRIPYEVMMSMDKLPWTMRAPRKSMPDFYTSEVLPILMRRRVLQLTKFDYRLTSELDEDLQKLRCRVNYHALRFTNSIQAMGQKLVQKLKRMSSRYVAIHLRFEPDMLAFSGCYYGGGEKERKELGEIRKRWDTLPELSAEDERSRGKCPLTPHEVGLMLRALGFGNDTYLYVASGEIYGGEETLKPLKELFPNFYTKEMLAVEDLKPFLPFSSRLSAIDFIVCDESDVFVTNNNGNMAKVLAGRRRYMGHKRTIRPNAKKLNVLFQKRNEMGWDMFCRKVKKVQRGLMGEPDDITPRQYDFHEFPSSCICVRKPGNTSVTT >Et_3B_030977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9621411:9625303:1 gene:Et_3B_030977 transcript:Et_3B_030977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKKGRWTKEEDEILARYIKEHGEGSWRSLPKNAGLLRCGKSCRLRWINYLRADLKRGNISIEEEEMIMKLHATLGNRWSLIAGHLPGRTDNEIKNYWNSHLSRRASDFRSGGDGVVVNVDLTKLPGGGKRRGGRTMAAKGGKVTKGKGKEKQVMAAEVVLKHETESQDTNVSTPMSTQPCTTAHSEEERAQASASGVTSDGLEDGPLGPSEEMVSELLSPKLEMGMDRDGACMDHDTDTGPCIKRESGPGGPSGDVAPEEGEKALEDLDLTGLDISVDDDMWDSLVWDYDGMVVPDGGRQGELMTDLFFLDNM >Et_10A_001409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2806610:2807106:-1 gene:Et_10A_001409 transcript:Et_10A_001409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVQEVMERSSEENVKIVEVDNGGEAHAQRAKGHLQLDPEEPHAGERRAIPGGVAQRWRNVFDDVSLASASESSRRLSPVVRRAVAARLELERRSAQGVARPPRCARRRRALELEGGARGAVRVQGAGMRERVPPGQRVRVVRMARLAQLDQCYFGD >Et_4B_036522.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27940870:27941055:-1 gene:Et_4B_036522 transcript:Et_4B_036522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AECPGACDYRCSKTQYRKACLTFCNKCCAKCRCVPPGTYGHKEACPCYNNWKTKRGGPKCP >Et_5B_044473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2730757:2741017:-1 gene:Et_5B_044473 transcript:Et_5B_044473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TVTRRTLHPRQKKIAASEPSAPFPSPSIPPFSLKITRLKNARPKLAGFGSGAGAGGWGGYDDDDDWGLTAEQLDQIERDAIRQLAERKASSAAASTAPPPALAPASPLPTCDPVPATVPSSPLGGNHPAARASLEARFGKGSSPKISIHLSLYSSGVIAAKFIYNQQIVSAFHKVPKACWNARERVWMFPASSLSTAEEVLHSVPGLAVEIHKLDPLVQRALVAASGAKDLQGLYERIPTDVESKLMPFQREGVRFVLQHGGRALIADEMGLGKTLQAIAVASCLHDAWPVLVITPSALRLHWASMIQNWLNIPTEDILVVLPQTGGSNKAGFRLVYSNSKGDFRLDGLFNVISYDVVPKIQSTLLDLDFKIVIADESHFMKNSVAKRTIASLPVLQKASYVVLLSGTPALSRPIELFTQLQALYPSVYKNVNEYGNRYCRGGFFGLYQGASNHEELHNLMKATVMIRRLKKDVLSQLPVKRRQQVFLDLGEKEMKPIRALSRELETLKTKIQSADFKEMVDSLKLTQKNLISKMYTDSAVAKIPAVLDYLGTVTEANCKFLVFAHHLPLINAIEQYLLKKKVKCIRIDGQTPTTTRQSLVTDFQNNDDIRAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDLIQAEDRAHRIGQVSSVNIYYLLANETVDDMIWETVQGKLENLGQMLDGQEKTLDVSQIDGRPSPSKQQKTLDGYLKRCSAPTDGQPHRKHPRF >Et_5B_045482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2819896:2821638:-1 gene:Et_5B_045482 transcript:Et_5B_045482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHPRSPEYNSLLLAGPRLGPLKQAHARLIVAGHSGSLPLTTKLATLAIAAGAASYAHLIAASHPAPDSFLFCSLTRAAAHRGRPLAALAFYRCLLAAALPFSSFAFTAVAKACADLSSLRIGMAVHAHAERNVVTWTSMIAGYGMHGYGHEAIELYHLMRREGPPPNDVTFVAVLSACAHAGLVSEGRDAFATMKTIYGLAPRAEHYCSMVDMYGRAGLLDDAMQFIRDSIPGEPGPEVWTAMLGACKTHKNFNLGVEVAKQLIALEPDNPSHRVLLSNIYALSGKMNHVEKVRNTMIRRRLKKQIGYSLIELGGTSHLFRMGENSHPQTREIYQYLEELIHRITVAGYMPETNSVLHELEEEEREVALRYHGEKLAVAFGLMMSVGSTVPIRIIKNLRICEDCHLAIKFMSAVENREIIVRDKHRFHHFKDGNCSCQEYW >Et_3A_026211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5567301:5568280:1 gene:Et_3A_026211 transcript:Et_3A_026211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSDNCAIRPCLQWIRSPDAQGNATVFLAKFYGRAGLINLITAGPDHVRPAIFRSLLYEACGRMLNPVYGSVGLLWSGNWPLCQAAVENVLRGVPISPPPPGAVTAVPPLKTCDIRHVAGRRGGVDDVDHGAAADNNIHRVSNMSRGQFKRRARPDTAASFELVFSRPPSSAVLVDVRQAQPLSWAPAGQPASQEYYSASHDAADSNTSVDTVEVSHVSQSGPEPETPREETDGREVGLDLALGLLPTVHKTEQSWHVEKDQHDRFDEPAVKLGLGTTASRAR >Et_5B_044019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1971754:1976188:-1 gene:Et_5B_044019 transcript:Et_5B_044019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTRVAVAAALSAAPLSSSSRRRAALPSSCRPLPASAAVAAACGTRASKALQAAAAAAPPAGAVDEEAPSSPPSDPGKGVENLVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGITGPDLMDRMRKQAERWGAELHQEDVEFVNVKSSPFVIRSSDREVKCHSVIIATGATAKRLRLPREDEFWSRGISACAICDGASPLYKGQVLAVVGGGDTATEEAIYLTKYARHVHLLVRSHQLRASKAMQDRVLNNPNITVHFNTEAVDVVGNDKGQMSGIQLKRVDTGEESILDVKGLFYGIGHTPNSQLLQGQIELDSAGYILVQEGSAKTSVEGVFAAGDVQDHEWRQAVTAAGSGCIAALSVERYLVSNDLLVEFHQPVHEETKKEITDKDVYALRKLYHESPRLICVLYTSPTCGPCRTLKPILSKVVDEYDQHIHFVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMIRTFSGVKMKKEYRDFIESNK >Et_1A_007548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35790850:35795379:1 gene:Et_1A_007548 transcript:Et_1A_007548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTVMTTDEQFLTLDVDPDESVENLKALLEVETNVPLQRQQLHFNGKEMQNAEKLNAIGVRDGDLVTMVRSNDRPSQDIIRVNPDGSAVNPQAFQQHIRGDSQLMAQLLQNDPALAQAILGDDINELQNTLRSRHQQRMELNRKKEEELALLYADPFDVEAQKKIEAAIRQKGIDENWEAALEHNPEAFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDQRYRGIAVGVGQSEILGRIHVAPIKIGHLFYPCSFTVLDAPNMEFLFGLDMLRKHQCMIDLKDNVLRVGGGEVSVPFLQEKDIPSHIRDEEKLSQLASLGQASGESSKARERTPDVPQRAPSTGAPAANPPQGGDFEAKVAKLMELGFDRASVVEALKLFNGNEEQAAAFLF >Et_1B_010998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16262841:16264736:-1 gene:Et_1B_010998 transcript:Et_1B_010998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEEGEDLHGTRELLPLSKLEEIGVLYWHLDPKKPESEEELAEIRKERGYNYMDLIEICPDKLENYEEKVKIFFREHMHADEEIRYCLEGSGYFDVRDKDDKWVRIWMKEGDMIVLPVGIYHRFTVENNYVKLMRLFIGEPVWTAYNWPQEDHPVRQEYVKSLMGNTGFALAAQ >Et_2B_022101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8991378:9027583:-1 gene:Et_2B_022101 transcript:Et_2B_022101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYISLYNIQKAILQHQDDERNRLWTEIGESTHIALFLALESLPDPPVSRAAPLSFTAAAASPPDDGSPPRKLLKNVVSRLPSKDATRTAALASRWRGLWRSTPLALVDEHLLDSDATVRRVAAVADDDASRAVVAAASGALAAHPGPFRCVRLTWNHMASHQTEVARWLQLLAAKGVQELDFINRPWPLDRPLPAALFSLTSLTRLHIGIWRFPDTAALNHAATTFPHLRELFLTLVLMTDQDLAFLLERSPVLEVLTIISSRTGAALNSGAALAFHDFTLYYAIMSDSRYLIIVSISAGTKVINSSTIVPSIRILGLEVQFEVRNEVKAVPTFLKCFPNIETLHISVNFVNPLITAGLPPLNSMKVDEPTGKVNLKFWQEAGPVECVQQHVKKLVFHGFQGKRSEVAFLKFVAENAQVLEKMVIVMCSENFSVENDVNVKLRPLTSAKWACEGVQLMTILKSPVCEEEHPFPWRFRMASEFSCGDPFDLPFMGVSMSEMQATLQQSTGVDHPDLMGLGAAVGLLVLYEGVPDPPVSRAAPLASAVAARPPTDGVDRISRLPDQILRNVVARLPAKDAARTGALARPLALRAPRPRRRARPSAPRSDGAHGALRRRHLLAVASASSALAAHPGPFRCAHLTRGHMASHEAEAKRWLQLLAAKGVQELVFIHRPWPLNFPLPAEIFGCVSVTKLHLGIWMLPSPAKLPRSTRFPHLRELVLSLILMRNQDLAFLIERSPVLERLTITSSHTMSDEPLRLVSRSLRCVQLSMCGWEHITVVDAPHLERLFLLMSAPDEPLRIKIGHAPNLRILGYWQPGDHEPEISRSVIKEGTKVSPKTVIPTIHILALEVQFDVCSKVKMVPTFLKRFPNVETLHVYSQDTEEPTDKLNLNFWLEAGPVECVQYHVKKFVFQEFRGKICELMFLKFIAERAQFLKKMVVTVASECFSSMHAVNAKLKPLTSAKWSSEGCKLIVFKRLVSDGEAPAWCFHIASDSSCMDPFDLGTADAELNRDAYEPIIGISMSEMQASMEQTGGRPDAMGLGTAMVLVCLYDYIPDQPRVDRISRLPDELLKNIVSRLPAKDAARTGALASRWRGIWRSVPLALVDAHIFPDGVPAERMAPGGDDISSVAVVVQASRALAAHPGPFRCVHLTWSNMASHKDEIETWLQLLAAKGVQDLVLINRPWPLDLPLPAALFRCTSLTHLYLGVWSFPDTRALPRTARFPHLKEIMLSLTVITDRDMAVLLERSPVLETLSILGSFLDRVRLRLVSRSLRCVQVGIAALGEIFVVDAPRLQRLFVWMLVTPKLNRTCSRIKIGHAPNLRMLGYLPPGTLELEIGNTHHQVLNHVRLCVWTFPLQEGTVVSSSTTVSSVLTLALEVQFEDRNEVKMVPCFLKCFPNVETLHIYSANTQNPTGKLNLKFWQESGRIECVQAHMKKFVFQEFKAKKSELAFLKFIAEKAQVLEKMVIMVASQSFSSVDDVSAKLQTLTSANWASEDCKLIVFKSPSRNPGLPAWHFRKASDFSVMDPFDLLTAGAERSSSAFTLRHDLRQSTGLDHPDLFGAGTAMFLVYMYDSIPDPPVSPAAPLASAVAARAPADGVDRISRLPDELLKNVVSRLPAKDAARTGALASRWRGLWRSVPLALVDEHILPRHALTERMAPGGDNIWSRLAGVQELVFINHPYPINTPLPAEIFGCVSVTKLHLGLWMFPSTAELPRSTRFPLLRELVLGFILMRDRDLAVLIERSPALENLTIMARPTMVSLRLVSRSLRCVQVAMCGVDNITVVDAPRLERLFLWMSVPVKRSRIKIGHAPKLRMLGHWQPADHELEIGSTVIKEATKVSPSTVVPSVKILALEVQFDVRNDVKMVPTILKRFPNVETLHVYSQGAGESKLDLKFWLDAGPIECVQSHVKKFVFQEFRGNRSELVFLKFIAERAQFLQKMIVMVTSSVHDINAKLKPLTSAKWASEGCKLIVFNSSIPDKGSPLWVFPMASDSSCRDPFDLETADAELNRDAYVLDHSSTL >Et_2A_018776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7220534:7222154:-1 gene:Et_2A_018776 transcript:Et_2A_018776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGGRRKGAKVMQLDGTAFRVKPPAFAGTVLRDHPGFQLLESEEVKLHGVRARPLAHDAPLRPGRLYFLVALPRPPAPPRRAWSGALHVGARERLESLMLTRRSTSDLSFPTTTAPASPLGGGGGGGGGATPVRLKMRLPKAQVERLMSESRDGAEAAARIMQLCAAAGAGSGAATPERGILRTPERSPRFVPTPDWGGAGAFAQTPERPTRFAATPEWGTGFMMPTGTAPRTPERWPTLPRTPEYADVKASRKEVSYEPILVQFQHPDSNQSVVLIVDNNRKQFRIQKGT >Et_4A_035460.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25519300:25519590:1 gene:Et_4A_035460 transcript:Et_4A_035460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSFGEGYATRADEEGFGVVYAKDDDADHHGHPGWCSESLISLSSCVHARARVTLISDAEFAGCDSFYAEYDTSQGSEVKEKEKARHHKDDKHAT >Et_8B_060344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9951852:9954483:1 gene:Et_8B_060344 transcript:Et_8B_060344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSDRGKRYISSCKDRQGIVIRSCSIYGKLRFVITDFTGNMINLFKIKDQKKDDAATAKGKPAAKKQSPGELRLHKDIAELNLPKTTKISFPNGKDDLMNFEATIRPDEGYYVNGKFVFTFHVPPAYPHDPPKVKSKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIVYGLNLLFSQPNDEDPLNHEAAAVLRDDPKKFEKNV >Et_5B_044025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19122834:19124928:1 gene:Et_5B_044025 transcript:Et_5B_044025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RAASAAETVQPHNVAGATTRTLSAAFQSPAYCLDTSRARSVSPEKKRSSTSATSVRTKDRTHTGGHLRPPVRRRARHEPGALCFELQAHRPSRLRRGHPTRRRGALRWTARARASICMRFCPPTRTGRETACPPTSRLARPSTRSVLHSSARDAVGTRSDRFAYPAYSVASPSPVKKRSLLNGLLSSSPFSRLPLRKQQSPSKPVGSSGGTPMRKAAAELSSQREAAEKTLIGAWITILRMRKSVAVAKLHLQLLRNNCKVMAVLRGQMAHLEEWSSLEKAYANFLSGTAHALNATVLRLPVSDGAMVGNVTKIPKFVLLADIQDLKNAVGSAIDVIQRIGNSTSIQLSKLARVNVLVPQLSTVAIQELILMARCRELLSTLASMHVSSSKASSDAALFFSAFNEDGPVC >Et_6B_049736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9829122:9841996:-1 gene:Et_6B_049736 transcript:Et_6B_049736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFASPTAIKTLPGFTSFLTKVSSNHPVAHEDKKTSETKVSTFKPHPSSSSNMEHQGQYGHGTTGRVDEYGNPIAGHGTTGMGMGTHGTGAAGMGGQFQPQREEHKTGGILHRSGSSSSSSQGHGADGYGNPVAGHGTDTTGVVGHGVGPGGAPPAGTGEQFAAEEHRSRGILHRSSSSSSSSAATAGGTGVQGHAAANGNGEAHGVDGTINTTGEKKGLMDKIKQKLPEHAHVVKGTGLACKNDAMKAYIAHVK >Et_3A_023693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1097351:1098378:-1 gene:Et_3A_023693 transcript:Et_3A_023693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPLSDSGLYTGFGGGHDHELISQVLGASSTSPVVLDRNAQELDAAAAKDVGKRKGDRTESLKNHSEAERRRRERINAHLATLRGMVPCTDKMDKAALLAEVITHVKKLKTNAARISQHCPVPADADEVTVELVHDGGVVLVKATLSCDDGADILADVRDALRPLRLRVVGSEVTTLGGRVRFTFLMSSPAGGDDDVSVGGVHQALQSVLDKANNALEFAPRASLLSKRRRFSTFESSSSSS >Et_3A_023154.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32430340:32431372:-1 gene:Et_3A_023154 transcript:Et_3A_023154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASWCVCAGISNSKSPIPSSALAFSEKSVSQSHSSRSLAFHIFTSLALPASFSRSPFPPSFINLPFLLQLPSSPTPTRSSLRILTAAAHHRKPQQNPRQAAMPSGPSSLLPLLLLMLLAAAAASNDDSQVRRAAPGLSESSLASDFRAIPLTLWPRAQTAGAGSVAARRRDLLPVTPAAELGAMALGMNETRRRLGSFQLCAPCTCCGGSRGACVLAPCCYSINCNIPNRPFGYCSFTPKSCDCLGCNL >Et_2B_019107.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:10263022:10263402:1 gene:Et_2B_019107 transcript:Et_2B_019107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAISVLSTASVSDDEEALKRALATMAVTTSEAMRLAPIKATVLQGWESGDARVSPDHLPYVEHYDTICYELVRAHDNGGAWDGPFTELLGERANIRGVEDAVAVVSVIANRTMQQVLMAHARSA >Et_1A_005723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13089519:13090448:1 gene:Et_1A_005723 transcript:Et_1A_005723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEIPDERSLLNTGPTWLLPLLLNLHRDTAAKFILLLWRSWYVRNQFIHEGKRVPIEASKRFLATGKKRGHEQCRSCSRSGCRRILAGSMVRSSWRALFSANNAEDVEMLACREGLALAVDWCPERVVLECDNLIVVNYLKKPQDQRSSTVHLVEETLMTAR >Et_1B_012236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30009656:30011628:1 gene:Et_1B_012236 transcript:Et_1B_012236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHQYHYMSQESPENSLLHCTQVVEKMVHSLVAFLEKLQKFCSFLVTKLLSFTKFAHQSMKHSCHFIYQNNPLLIQVTYFTLISFAGYAALKVLKSREKPNVVSDLDLLFTSVSASTVSSMATVEMEDFSSTQLCMMIILMMVGGEVFTSMLGLYFMKAKLDTKGPASRRGYSVYVDIESITSTNSGPNITHDIKVAVPMSELHLEEKNQFELKAIESLGYAVFIYLLVTNLASSLSIYLYLILVPDAQGVLKRKGIGFVIFSVFTAISSVANCGFTPVNENMIIFQKNTILLLLIIPQVLAGNTLFAPCLRLLLWSCKKITGKEEYNFILQHSETIGYKHLMSSRECVHLMLTVISFITTQTILFCSLEWSSEALREMNSYQKIVDAFFLSVNARHAGESVVDLSSLSSVILVLYTVMMYLPGYTSFLPKYDEQHSRDEMKDRRKRCLENWIFSQLSYLVIFVMLICITEKEAMTTDPLNFNLSGKSLKLTETFSAYANVGFSAGYSCKRQLIHDVHCKDASYGFVGKWSDKGKVILIVVMVFGRLKMFNMKGGRAWKLR >Et_1B_011394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21590968:21594572:1 gene:Et_1B_011394 transcript:Et_1B_011394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMVIGLVGGHGGPNDGSDTRGGGGGGGGGRPCGACKFLRRKCVNGCIFAPFFSSEEGIENFAVVHKVFGASNASKLLHQVSLDKRQDAVLTICYEAKERLSNPVYGCASYILALQEQEDKLRILCMSSPILSRYIEYEDNISNFTGFRRSSVAAPRRTPTPWHCHSTCEQANPKNKNPRG >Et_8B_059747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2383255:2389357:1 gene:Et_8B_059747 transcript:Et_8B_059747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATHFDNLHVLIFTVVMLFLQASTARIITDTLSYPGNITDGETLVSSGGTFTLGFFSPMAWPEKRYLGIWFTASADAVCWVANRDAPVINTTTGVLAISSAGILRLLLDGSSGLLTAWSSNATGGAVSPAVAQLLESGNLVVRAQQGSGDTTLWQSFDYPSNTLLAGMRLGKNPQTGAEWSLTSWRVTPNDPTTGDYRLVMDGTKGLPDCVSWQGGVKRYRTDPWNGLWFSGVPNVSPYSQMFPSQVVIRPDEVFYTFNATAGAPFSRLVLNEAGVLQGLAWDPDSRSWGVFSQAPRDGCDEYAACGAFGLCNVDTASALSCSCLEGFSPVAPLQWALKESSGGCRRNVPLECDNGTTTDGFLLVRSVKLPDTDNATVDVTASLEQCKARCLANCSCVAFAAADIDYTGCIMWTADLVDVRHVDKGQYLYLRLAQSHLVQEKNKLLKILIPIIACPLLLAFTALLWICKNRGKRQKKKVQKRMMQEYFTDNAGKKSLEFPFFNFHDIVAATENFCDSNMLGKGGFGKVYKGMLEGATEVAVKRLGKSSGQGTEEFRNEVALIAKLQHKNLVKLLGCCIYEDEKLLVYEYLPNKSLDKFLFDPARKPMLQWSVRCKIIQGVARGIMYLHQDSRLKIIHRDLKASNILLDMDMCPKISDFGMAKIFCGDQHQANTNRVVGTYGYMSPEYAMEGAFSVKSDTYSFGVLLLEIVSGLKISSPHLIRDFTNLIIYAWKLWKDGKTEDLVDASVKDKCSLYEASRCIHIGLLCVQDSPECRPLMSAVVCMLENKITPLPVPMQPTMCFAHRDAKPGQASGNKLFSVNEMSLTVLEGR >Et_5A_041299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20866978:20872064:1 gene:Et_5A_041299 transcript:Et_5A_041299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPKELPGFYYDPEKNRYFPIKGPIPGAATRRPAPPPPPTPPPADVAGCSRKRARRSELLNAREMYGGGVIVSNKRTVSTFKQQYQYAQASQPTVWKYQGTKFVADKALEQLHAMVQTPEGMRESRLLVTGSMNGSIKLYGLENSLMNIGNDMEFFPQSAWTPLGKQQAGINALLPSIWSSEAPFTNFSSGMDHGAGLLDLETETLSWVYRSKSDILSLKFVHSGNVVLCGLRNGSIVPVDVRQNHHHSIGQASPSTARRTIPMPPPRGNGRRRNQADMNKCSRFISMSSAVCSLVALSSDENYFLGSSMDGSIKLFDLRLAQKGGIQSYEGHVNSHTHLPLVIDPSETLVMSGGEDRTVRIWSIKAGELIFAQNVADTLFTALCWPESSRDMCGSSLFDLNHSWGAWLGSRDGLFYMHGT >Et_7B_056006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9053057:9055834:-1 gene:Et_7B_056006 transcript:Et_7B_056006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSWCCMMLFLLAGVAVRAVFAVSDGLLPNGDFEQGPDKSQLNGTRVMGAHAVPHWEISGFVEFIESGQKLDDMMLPVPKGERAVRLGNDATIRQKLSVTRYTYYSISFGAARTCAQAEKLSVSAPPDSGILPIQTVYTSTGWDTYSWAFKATHSTVWLSIHNPGHEEDPACGPIIDHIAIKALRPPHHTKGKIES >Et_2B_021251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27907073:27909472:-1 gene:Et_2B_021251 transcript:Et_2B_021251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGVRALRLHPVHQIREHAKKFREEDMQIQLDGKNCLVTGANSGIGFATAEGLAKHGATVYMLCRNKERGEVALNQIRSKTGNENVHLEICDLSSINDVKSFASKFNSMDKPLHVLVNNAGLLEHKRVTTEEGLELNFAVNVAATYTLTELVMPLLEKATPDARVITVASGGMYTEPLNKDLQFSEDNFDGTRQYARNKRVQVALTEWWAEKYRDKGVGFYSMHPGWADTPGVAKSLPGLSEKLSGNLRSNDEGADTVMWLALQPKDKLSTGAFYFDRAEAPKHLKFAGTAASHAQISSIVDNIRSICGLPLPAK >Et_4A_034138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30211266:30215427:1 gene:Et_4A_034138 transcript:Et_4A_034138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHDLTAAMAAQLDRHLNPQLVQELRPDKQYNIHMLQERFQNFSSPLNQLHNRIWLMHWSLFIFFNHENGRNGIIDLFFQDRYLNAIQTNAQHLLRYLATAVVVNKRRRNMLKELIKVIQQEQNSYKDPITEFLECLYVNYDFDGAQKKLVDMLAEKLNMSYDEAELWIMNMIKSSKLDAKIDSVSGTLIMTTTQVNVHEQVIESLKNLNMRTYMLAKNIVEPAQAAQQAAR >Et_10A_001025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20236482:20244602:-1 gene:Et_10A_001025 transcript:Et_10A_001025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RFPSCFPRAKYFSQPRARDLVAASRPKPNPTLRCGSQIFFFFPFLAPPQLPARPWSQSAHNPIEPTPAMALVSNDNPRLRVYEPSFSCQYPSDEEESTCRSRVLYKIHISYQKALKQLLKVRAQGSFLYGGGGLCFSFLDPVSNIVFNTLIPYKIKSPPDQSTREREPVVVLKELVRRSLDGLVTFLVRFFPDLAECQALRYLLLVEADLLIVGRIVLSDLGMRRFGSSSEAAVVEEALEMALECAALAAGHPDPTRLVRAWRAVSSGCGFPAPTGCSHACSRHMAISHWILFFFGPSTIQSTARTLHGIFFFFPLPRAAAAARPWSQSAHNPIEPTPAMALVSNDNPRLRVYEPSFSCQYPSDEEESACRSRVLYKIHISYQKALKQLLKVRAEGSFLYGGGGLCFGFLDPVSNIVFNTLIPGNKSSPTKRETVVSERKPKELVRRSLDGLVTFLVRFFPDLAECQALRYLLLAEADLLVAARIALSDLGMRRFGSSSSSAAVAEEALEMGLECAAMAAGHPDPTRLVRAWRTVSSHHVRLLAETDSRSRSVRLRELARLLNESRRSSDPWLPWHLAASRGLHPCPVPFKHTTFLKRTLQDAIHGFYLQALARLPAGELRSRFHRSLVKAGHCYGPLDPVSNIIINTIWYDAQFPPKMDLERDMIGNLSLHRMENCSLYGLASFLCTRYHHVDFHRAVRCLLRADANLTLADPNFGWGEYAIPAACDLLGSRATGICGALDCDKLDTQGGQPPDTDIQEAFLAAATAAHHPNPDAQVKMLTSCKRSLGSAFLHLPEQLSSEDVCLLARLLCPDPPPPCKTPLPPFPLTEYPAIELDRMHTRILKKVNAVLNAYSPPMQNGVGLCCNVFHVTVLYEHRLILN >Et_8B_059678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20622704:20625594:1 gene:Et_8B_059678 transcript:Et_8B_059678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMAAAAAAAASSYLSPPTATAERPTTRGCVFLPGASVSSRSLRLPRAGARSPATRKTRSFKGVVAALADPLKVMISGAPASGKGTQCELIKAKFGLVHISAGDLLRAEIAAGTENGKQAKEFMEKGQLVPDDIVVNMVKDRLLQSDAQEKGWLLDGYPRSYSQAMALETLDIRPDIFLLLDVPDELLVERVVGRRSDPVTGKIYHLKYSPPENEEIAARLTQRFDDTEEKVKLRLETYYSNVDSLLSTYDNVIVKVKGDTTVDEVFAQIDKLLESSLAKKAEKVSSA >Et_10A_002307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8251972:8252271:1 gene:Et_10A_002307 transcript:Et_10A_002307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCSVSRQPDVEKVRPQPAAAAGVLRRQEQLRKRKAAAHMKQPYHANSHEDLVLMVSLDAITKIG >Et_3B_030009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29795553:29802461:-1 gene:Et_3B_030009 transcript:Et_3B_030009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTRRGKKRLLLLLLPLSILCLAVLLASAASPAQAGRGMKRRWAGFDYYVLALQWPGTICRQTSNCCATNGCCRPKPLKWFTIHPNVEANTREVLAIPILRLFFNLLRWKGAILGARETHGTCAYPEIQDEYDYFSTALYLYSKYNVTKALRKSHIYPRGGRKYSVGHIVAVIEYAFGAMPSVVCNNGSVQELRLCFHKDYQPRDCAFETNNAPNRRSHCPRYCWPILRRESATKPAATMTAARRVACVLVAWALVAAGLSGLSAARAPVAKPQREFDYFALSLQWPGTICPSTRHCCAKNGCCRSEPLQTFTIHGLWPDYDDGTWPSCCRHTNFEMDKILPLKEKLDKYWPSLYCSSSSTCFSGKGPFWAHEKHGTCSAPVVQDELQYFSLALDLYFKYNVTDMLSGGGIQISNGKEYALADVIDAIKHAFGGSPQIVCKKGSIEELRLCFDKDLKPRDCLTTTVTNERVSRKKHCPRYITLPTYDPLVLANSTGGIMTQFDDFEVSASLYTA >Et_8B_058687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16121207:16121971:1 gene:Et_8B_058687 transcript:Et_8B_058687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLTPAGVQSSGAGAAQPITRAASGVVAKPARGFQVFRIDGYSWTTTLPGGECISSDPFAVGGRNWQVDYYPNGADASRADSAAVAVYLRLQSSSAAERVRAQFKFSLLDPAGTAAYELPAQTGVFAPLATPQRHNQMAADQEAAGLGCGGNAVFVPKEELERRRHSLLAEDCLAIRCDVGIMQVETVAVGPKPKGRGRNNYGGGYDDGDDSEPEDGGPRQHQPQDDKEFIRRCLTQRRRA >Et_10A_000708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15839152:15842866:-1 gene:Et_10A_000708 transcript:Et_10A_000708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKARPPPTDAEKTEIGEIDTRAPFESVKAAVSLFGEVRFSSDKSAARKPKAPQAERVLAKETELHLAQKELNKYKEQLSNAETTRVQALSELEKAKKTVEELTVKLDAINKSKELAIQATEDAKTRTKQLEGGSSNEGLSTDGPLKQELETAREQYTVALADLDAAKQELRKLKKDFETSLDMRLSAAQQEEESLHSTEANKEKANQLRNEIAEIQESLMHVKAATEQAHEEESQILAEKDVARTTYKQALEETQKKLSSLRNDFDPAAYNSLKEKLDQTNSEIASMQKKIEDARARDLEALAVVSTELDDAKEMLQKVAEEESSLRGLVESLKVELEAVKQEHSQLKEKDTETESIVADLHVKLQKCKSELEAAVAAESKATSASDDLMLALQQLSSESKNALQEAEMMQKSAAELRDEAEKARVELAEAEQKLQLALKEAEDAKAAEAKALDQIKQLSDRASAARASTSESGANITISKEEFDSLGRKVEESQKLSEMKVAAAMAQVEAVRASENEAIKKLEAARKEMEDMELATEEALKRAEMAESAKKAVEGELKRWREKEQKKATEALPSSEAQAQEHATGSPPMQKASGGKAVEKNEGHQRNSRTLLRKSFMLPNITSMFHKKKSHGDSSSPSYLPGEKSV >Et_2A_017040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30412348:30415000:-1 gene:Et_2A_017040 transcript:Et_2A_017040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FKIPTPPGTAIKPLHSPRAPPQNPHVSLVATNRPPHPNRRRRTAAAAAMVRCSNGLLGLLNAGVLVLAIVTLGGGAWLSHHASTTDCERFLERPVIALGVLLLALSLAGLAGSLCRASCLLWLYLLVLFLLILLLFAFTIFAFVVTNRGAGWVVSGRGYKEYRLGDYSTWLQRRVENAENWAKIRSCLQDGKVCEKLGARKETLSQFVSTNLSPVQSGCCKPPTGCNFTYQSETVWTKPNGFNGTEDPDCNTWSNDQAALCYDCTSCKAGVLANLKNSWKKIATVNIVFLVFLIVVYSVGCCAFRNNRQDNSYPAWK >Et_1A_004969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20334018:20334861:-1 gene:Et_1A_004969 transcript:Et_1A_004969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GASPYSGVSDGRVLKWNGDAHGWSTYAYSPGYNAKACTASRRRPAEVTENMCGRPLGLRFHYKSGNLYIADAYKGLMRVGPGVDVDQVTGEIFFTDSSTKYRRSQHEMVTATGDSTGRLMKVKGPKAGKSEPLADLPGYPDNALHREKNESPFGPDNHMLAVRINADGKVVQVMRGPKSVRPTEMMERKDGKLYMGSVELPYVEVVSI >Et_9B_063621.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:11648011:11648478:1 gene:Et_9B_063621 transcript:Et_9B_063621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRWPVIGSHTMASSFSRLKRRARQPLSLARALREGEASRWDAVGGGQGGGEDRCEPIVVVQDEEAEHWRFPVVSPEAAEKARVGQEAPPALADEGGAKEGGQERRQAEQDLPMEVVVVRQGHRRRRGATLSHLDGGCDCQRRKFHYIMRESVI >Et_5B_044015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1954427:1957267:1 gene:Et_5B_044015 transcript:Et_5B_044015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLLHSSRHLRHGLQTLTPATAPSSSSPLPFRRLPDLLPSRILSSRLLSTSGRDDDTNKPWNFAPDSGDPDPFADVEATTGAGQTPLGSAPAADEPWAKGFRAEDGENGDVFEEIYKEAKLSTPARGEADSAGNEEQWTLSGDEEKDPFAAAVLGEGIEGIEGEGAGLDELDTGEDPEAELKQQQTRAREKELMKTLKGPNRAFGDLIAASGITDDMIDSLILLKDVRGVPGLPPLSEIEDRAIQKMNATSSRAEVERQKQEEIAKARVRQVDEKGRAYGTGKRKCSIARVWIQPGDGKFVVNDKDFDAYFPILDHRADLLRPFTVTKTLGLWDVACTVKGGGVSGQVGAIRLGISRALQNWEPGLRPYLKAAGYLTRDSRVVERKKPGKAKARKSFQWVKR >Et_10B_003730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5320735:5322861:1 gene:Et_10B_003730 transcript:Et_10B_003730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWATRFSVAVCFFAAGVLFAPEALLGARSGTGAITAAKLAHLISFATSWGAALWATFIGGIIMFKNLPRHQFGNLQSKMFPAYFTLISACAAISVAAFAYLHPWKTASAVERYQLGFLLSALGCDLSNLLVFTPMTIEMMKKRHKIERDLSIGEEVGWSKNQEVAKTNPTLKAMNKKFGMIHGLSSLANIMSFGSLAMHSWYLASKLEL >Et_6B_049455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4998526:5002169:-1 gene:Et_6B_049455 transcript:Et_6B_049455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKPYVIAVIIQLIYTGMFIISKAAFDQGLNTFVFIFYRQSVSSLLLLPICFFLERNTFSLNLYNVSMKLTSATLASATFNSQPVVTFCLALLLRMEVVKLRSISGIAKVAGIALCLAGVLVIALFIGPEISPINHHRVFAAHTINAAPSRINWIKGTFLMVLANVSWSLWIVQQAAVLKEYPNKLLVTLSQCVFSMMQSFVVAAVAERDFSKWKLRLNISLLAIIYTGFVVNGVSYYLQAWCVEMKGPVFLTVWTPLVLVSTIWCSSLLGESVHLGSIVGGILLVGGLYSVLWGKSKESKIKPCSKVNIMKCVEDEQENRESEVDKDREEREEEKSGSMVEQLVPKINC >Et_3B_030114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30661544:30663684:1 gene:Et_3B_030114 transcript:Et_3B_030114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASVHKDSGFPKKLFLAAPQKAKAINGKGGGGGGVAPVGDGFGDFKFKIDGEQQRAGFVPKSPDSGSKDEIFFESRAWLDSDCEDDFYSVNGDFTPSRGNTPNYQPRTQTVMTNVFQPNNVHNSKSPEPSPTRRKKLAELLQESMHNVSEENTDISKNEKQQTQSTAEEGKPLSESSSACSMEPTPVRVAKSRKEKAWHTGRCCLPSFVHSLTVDESESRQKMNPGPCAV >Et_4A_032737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13208086:13212534:1 gene:Et_4A_032737 transcript:Et_4A_032737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLTENRTRNTLLVVVVFGLCSFFYLLGLWRRSGSGGGDRIQTWVNEQTKCVHLPNLSFETHHSASDLPNGTSNSEIKAFEPCDEEYTDYTPCQEQKRAMAFPRDNMIYRERHCPPENEKPHCLIPAPKGYVAPFTWPKSRDFVPYANVPHKSLTVEKAIQNWVHYEGNVFRFPGGGTQFPQGADKYIDHLASVIPIADGKVRTALDTGCGVASLGAYLLKKNVLTMSFAPRDNHEAQVQFALERGVPAYIGVLGSIKLPFPSRVFDMAHCSRCLIPWSGNDGMYMMEVDRVLRPGGYWVLSGPPIGWKIHYKGWQRTKEDLRNEQRKIEQFAELLCWKKISERDGIAIWRKRLNDKSCSLKRDNPEVGKCELTNDNDVWYKKMAVCITPLPKVTSASEIAGGQLEPFPKRLNVVPPRIALGSVPGFSIQSYEEDNKLWQKHVIAYKKTNNLLDTGRYRNIMDMNAGLGSFAAALESPKLWVMNVVPTIADTSTLGVIYERGLIGMYHDWCEEFSTYPRTYDLIHANAFFTLYQNKCKFEDLLLEMDRILRPEGAIIIRDKVDVLVKVEKIAKAMRWDTRLADHEGGPHVPEKILFAVKKYWAITEKSMKSHQSIIAANMLLPVARRTNEAATPRDAVLSIFSLLPALDSPSPPRLLASRCWGPRRSPSSLSAATAPACTTAGTASSATALPTARRPSSPRAAAPSSAPTRAPAVLVDLLAPGAPAPTSPASSPKSSSSVDGSGGVHVFLRAWDGGGGAGREWGLGSASKRMRERGPGDGWLVLARGWRGRRRGMAATTWEGPSFFLGAEHPTPLLTATT >Et_8B_059919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4021913:4023838:1 gene:Et_8B_059919 transcript:Et_8B_059919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARDGEMFYSGTFGRYLEKQSERTAPAELNGLRLYNNLFNRVSCLQHVGNSKGNSRVKVTNYSISSQCHAII >Et_1A_008328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6500785:6504555:1 gene:Et_1A_008328 transcript:Et_1A_008328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNPKPPSAAASAAPDTPNPHAFTCELPHSIYALAFSPAAPVLASGSFLEDLHNRVSLLAFDPVRPTAASFRALPALSFDHPYPPTKLQFNPRPAAPPLLASSSDTLRLWHAPLDDLSPAAAPPELRSVLDNRKAAASEFCAPLTSFDWNEVEPRRIGTASIDTTCTVWDIDRGVVETQLIAHDKAVHDIAWGEAGVFASVSADGSVRVFDLRDKEHSTIVYESPRPDTPLLRLAWNRADLRYMAALLMDSSAVVVLDVRAPGVPVAELHRHRACANAVAWAPQATRHLCSAGDDGQALIWELPETAAAVPAEGIDPVLVYDAGAEINQLQWSAAHPDWMGIAFENKVQLLRENIDTLVTLDWSVIL >Et_1A_006295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20037140:20043531:-1 gene:Et_1A_006295 transcript:Et_1A_006295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRPLPLPHFTLPPLAGEDHLFVAALRSHLSASPRPAAASLSRFLPGLTPLRLSHLFLLAGPALARGVPHGLLAALLPSPPPPLPFAILLHSLPPRRCCELLTTGLPSVSPQAFPDLLNHVLLTARIAAGWRPAAAAAVPALDVLFSVCARDKKLSRATLAFRAMRAHGLLPAVQSCNVFISAALQLWRPEIAVSFFREMRRCRISPNVYTANMVMRAHCDLGQLADAVQVLDEMSDWGVGRTVASFNTLIAAYCSDIGGMQPALRLKEKMGREGLMPNEVTYNTIVHGLCKEGRMRQAYRMVNEMRMKRVTPNTVTYNTLIYGYVTQGDNESATRVHQEMMKAGVGVDMVTYNALILARCNQGKTKKAAHLVQELCSAKLEPNASTFSALITGQCRKQNSERALDLLNAMKKEGFHPNYDIYKSVVSTFCKNRDFEGAVDVMRDMLERCIPPDEDLLHEFFKGLSEAKKLHLAQHIRSAVNGARLIPDVYYTGEYMNKDNEQKPHVNKYSEYPPILPIFGAARWTTYCLGTSATRPSPRGALVPASSAAHRDRRRELEPSSPPCLPPPRRYAEMRSFASPRPSSGGRRVARGEGMAAAAAAACRRSLLLHHQQWQQPLGAAGPGACTISHLVKTNSRRAFLVDRAGPGRPARRRGGCGAGPAASALVQVRLVVGDEQDDDMEQNLFPKSASDAGHLDSSPRRSNSPPSSSLANLRLTLVPPCSFSSSLAMVGAAPARASSRAVLVAHGRGSLSLLLQGRLRVAGAYPHLCLLAEREAAAAVG >Et_2A_018173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16844907:16849017:1 gene:Et_2A_018173 transcript:Et_2A_018173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLLSCARLMALHRPILPPALTRFLSAGPAGPTTSEPEEKGKKAAAAAAAAVVVEASAKSRREDAEVGSREGSSEDDEDSGLPWMSWRPDVAWLSRALEPAHDLIKQYNWKPFTASGGGGNIPASTRTFSEILSDLQRSKISIKDWSLSDLTIGLYLIYLSQASSKNAETFKGVQIASNKMVQELIYHLELARGCYKGSATGLARYSMLRKRNVVKFVKDSSILRPGYYIGIDPRAKLVILGIRGTHTVYDLVTDLIALSDKKVSPRGFSTHFGTYEAARWYLRHELGVIRTCLEKHQGYKLRLVGHSLGGASAALLAIMLRKKSKEELGFSPDIISAVGFGTPPCVSREVAESCASYVSTVVLQDDIIPRLSAASLARLRTEILKTDWVSVLEKEDWKHIVDIVTNAKLVVSSIQDVARKLGDYAKMVTVSAGSDAPKDPARLANSTEAVSPSKKDEYVPEDLFLPGTLYYLQRDVENIDGVEDESYSLWRGDPGENFQRILLSGNLISDHRCESINYALRDQPSGGGLLVGELAAVLDEHALLGLAILGADGLHLLDDGVAVDDLAEDDVLAVEPGRTVGADEELGAIGVDPSVGHGEDAGSGVAQLEVLVGELGAVDGLATGAVAAGEVAALAHEAGDDAVEDGALVVQRLARGAQALLAGAEAAEVLRRLGHGVGKELHHDAAGLGLADEDVEEHLGVLGHGCLLVVPRCVQQSARQKTKEKQIIMI >Et_1A_008412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7450348:7453928:-1 gene:Et_1A_008412 transcript:Et_1A_008412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFALFLAVNLVVLGVANACGSSYCPTPTPVTPTPTPAASGKCPRDALKLGVCANVLGLIKAKIGGPPALPCCSLLEGLVDLEAAVCLCTAIKANLAAMASKAFLLVALNLLLFTVANACGNSCPTPTPATPTPPSPSYGACPKHALKLAACANVLGLVSAEVGHPPAEPCCSILGGLADLEAAVCLCTAIKANVLGITLDIPVKLSLIVNYCGKSLPSGFICA >Et_9A_062364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22174975:22179023:-1 gene:Et_9A_062364 transcript:Et_9A_062364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRHWPSMYRSSLACNLPQPQPDMNNNGVAGAGGKSSLMSSKPQVPHQAQAARRRRPAAAPGAGATVLQARASPTAPAAPVTPPRHHHHHHLFASAAPVAPTSSSSSSSDRSSGSSKSSVKPAAVTMASPAAAIQLGYLPATAAMDFLTPSPAPAARQLYYHSQIAAAPPAPPTPELITSSPDPLLLQWQQGHHYLPATDLGGLLGAHAHAPAMRSPVVSPNVLLGLCNEALGQDYCVNTSSTKGLGHGQYWNTATTTACGGAELTSNKTDAGCWILVTAPPTATLVVVARDAVMCTSTMQFSVPAMRLDVKQFGEAAVLLRHPGEPVLVEESGVTVEPLQQDAVYYVLITGDLLNTMYAE >Et_9B_064083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11001875:11006083:1 gene:Et_9B_064083 transcript:Et_9B_064083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQEKRELDRKAQEGETVVPGGTGGKSLEAQENLAKGRSKGGQTRKEQLGQEGYSEMGKKGGLSSTDESGGDRAATEGVDIDESKFTNKQREELDRKAQEGETVVPGGTGGKSLEAQEHLAEGRSKGGQTRSEQLGHEGYSEMGKKGGETRKEQLGEEGYKEMGSKGGQARSEQLGHDGYSEMGKKGGETRREQLGHEGYSEMGKKGGLATKEEPGGERAAREGIDIDESKFTNKEA >Et_4A_032722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1387426:1387793:1 gene:Et_4A_032722 transcript:Et_4A_032722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQ >Et_8A_056513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11159941:11162847:-1 gene:Et_8A_056513 transcript:Et_8A_056513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRPRGEEDDEGDGADEHHHRHGHRRIRPALSLRDNALHSLLTWQNQSFFTRSLPLSIQELNVSPPLKLVFARGLMLPIFTNNKLVDDTNNAIEIRLIDARTNYMVSPASTHLGTSIRLEVLVLDGDFKCEDGVGWTVDQFNAATVRAREGKRPLLVGTLNLAMNNHGVAVIDDVSFTDNSSWIRGRKFRIGVHVMPTSYFGVRIQEAVSESFMVKDHRGELYKKHHPPSLTDNVWRLTNIGKGGPIDKKLESEGVKNVQDFLKLNTINPDKLRTLVGMSDKQFTITLNHAKTCKMGGKCYVFKSEGCDITFNPVGEVLSVTIGDHTCPFHELHPQHKAHVMQLATQAYQQWNHLEEVANSNSGPEKSESQGSMISSGSQKAVYLDSSGTATSSAAAAMATNGSSTSEAAAAISANHDMFWSPSMASDEDNFCWQNSTNDLSWDQVD >Et_1A_008519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8334104:8341142:-1 gene:Et_1A_008519 transcript:Et_1A_008519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPASSGSGSMIAEVEMNAGADQGAATVRATVVQASTVFYDTPATLDKAEKLIEEAAGYGSQLVLFPEVFVGGYPHGSTYGLIIGNRSAKGKEDFRKYHAAAIDVPGPEVSRLAALAGKYKVFLVIGVVEREGYTLYNTVLSFDPLGKYLGKHRKLMPTSLERVFWGFGDGSTIPVYDTPLGKIGSVICWENRMPLLRMAMYAKGIEIYCAPTVDCMPSWQASMTHIALEGGCFVLSAVPFTRRKDYPPPPEYTFGGLEEEPSPESVISSGGSVIISPSGTVLAGPNYEGEALLTADLDLGEIPRAKFDFDVVGHYSRPEVLSSGVGPVIAEVEMNTGSDPSSTTVRATVVQASTVFYDTPATLDKAERLIAEAAEHGAQLVVFPEAFVGGYPRGSTFGFGISVSIMNPKDKGKEAFRRYHAAAIDVPGPEVTRLAAMAGKYKVFLVMGVIEREGYTLYCSVLFFDPLGRYLGKHRKLMPTALERIIWGFGDGSTIPVYDTPLGKIGALICWENKMPLLRTALYGKGIEIYCAPTADSRPVWQASMTHIALEGGCFVLSANQFCRRKDYPLPPEYEFAGLDEEPSPETVVCPGGSVIISPSGQVLAGPNYEGEALITADLDLGEIVRAKFDFDVVGHYARPEVLRLVVNDQPQLPVSFTSSAEKTPATKSDNNAKPY >Et_4B_037724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22924384:22928388:1 gene:Et_4B_037724 transcript:Et_4B_037724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLASASWALPLQRGGAAAASLSCRALLAVAAPRLCVSAGHARVLAAPRCAGIEGPGPSGLEEAAGEARVEEEGKKAVRKKRASGRPVWRRILFASKKTRSIIILNALTVIYASDIPVLKEVESLTDPAVFNMVRFVIAAIPFIPFTVRAFGDRRVRTAGVELGVWLSLAYLAQAIGLLSSDAGRASFIAAFTVIVVPLIDGILGASIPKLTWFGAIVSLSGIGLLECGGTPPCVGDVLNLFSAICFGIHMLRTEQIARSTDKKKFLALLSFEVLVVAFSSVLWFMIKDGYVDSSEASFEAWTFGMLWDTAASFPWIPALYTGVLSTVLCMWAEMVAMGDVSATETAIVYGLEPVWGAGFAWFLLGERWDDAAWIGAALVLCGSLSVQLFGSAPKKSKKVKPRSGNDLEISARRQDYLSLSPIPVDSRKIIGSQLHSGYAQTDAKDSLNSASLNRAGHLKRCGSRTARHPASFSLVGEDDARPASSFLGTCCPRKKLEGMITGERGMVVVHTSVPPELPTSQVHAADSVVDDNLVLGVVARVRQHEAEAAVRREDGSSADAGNLAFLNQPSRQNDLAEIFASRFHGRLLSPRSSSVHVVSKSSAQQAVSERWTQTSRMMESPA >Et_10B_003354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19071671:19072424:1 gene:Et_10B_003354 transcript:Et_10B_003354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTFLKFPSNEAQEQIYPSSTHKFGQDEGDTSSGMLVLESKSTEEDITSALGQLSNGHDS >Et_2B_021001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25606470:25606875:1 gene:Et_2B_021001 transcript:Et_2B_021001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKSTVSGTQIKTDGDMAAFFASCACRVLFIALVIVAVLSSNVSKLLGKSRFRSNGGAFVVQRRRGTSAEASARPSWTATTCARRSGITPGAGSACRRSTRTAAASSSDKRMEAMD >Et_4B_036179.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7465015:7465323:1 gene:Et_4B_036179 transcript:Et_4B_036179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGRTAASASRDTPCSCRFPPHQIFSRLDLLLIWLPAPCRRGNRNEPSGGTGSNSNPQGSHLPQIPQLSPIATLTLLIAPPPLQTRNMKRNRPAAPAFFGTE >Et_6A_046832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21064625:21067660:-1 gene:Et_6A_046832 transcript:Et_6A_046832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFAPLTAAAALRLGRGHRPRLLLLASLRNYSAPPIPRPPAVPAARRRVPSPQPPRRLARTLAASAATAVSDTQSDFGSDSTTLSKGRIYHETYGCQMNVNDMEIVLSIMKKEGYDEIVPDPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRQWKTNVAEGRSKSLRPPKIAVLGCMAERLKEKILDSEKMVDVVCGPDAYRDLPRLLQEVDYGHKGMNTLLSLEETYADITPVRISENSVTAFVSIMRGCNNMCSFCIVPFTRGRERSRPVSSIVREVGELWKAGVKEVMLLGQNVNSYNDTSEVEELEPGKNWQLSEGFSSMCKVKNMGLRFADLLDRLSLEYPEMRFRFTSPHPKDYPDELLYLMRDRHNICKLIHMPAQTGSTAVLERMRRGYTREAYLELVHKIRSVIPDVGLSSDFICGFCGETEDDHAETLSLLREVGFDMAYMFAYSMREKTHAHRNYTDDVPEDVKQRRLTELINTFRENTKKNYDSQVGTVQLVLVEGPNKRAPETELMGKTDRGHRVSLTSVPVPHSFVGDEMRKPVVGDFVEVKILKSSTATLFGEPIARTSLTAFYKNAALEAQAVAV >Et_7A_050562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24857157:24858265:-1 gene:Et_7A_050562 transcript:Et_7A_050562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRRWTTTTFSPTSSSVCPPRPSTLPRASLVCKRWRRLISDPQFLRRFRAHHRSMQLWMRKVDSGGMGRWMLQKTIQLDKLLLLPMERSWLPILGYDEDGHAIFVWTDIGVFMIQLDSLQFRNLFKTNIINAYHPFTCFYTAGNTSSFFSCQD >Et_4B_038829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5098639:5102676:1 gene:Et_4B_038829 transcript:Et_4B_038829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRILSAAVRRRSAIATAAAGNAREASTAVAAAPGVIAPDATPVHAPVMQYDRIADAVNARIRRLEHPDPRFLRYANPVPAHADHTAILAAPATRVTTLPNGLRVATESSLAARTATVGVWIDSGSRYENEEAAGVAHFVEHMLFKGTSKRSAAQLEEEIENMGGHLNAYTSREQTTYYAKVLDKDVPRAMEVLADILQNSNLDEARIERERDVILREMEEVEGQSEEVIFDHLHATAFQYTSLGRPILGSADNVKSITKADLEKYISTHYTAPRMVITASGNVKHEDIVEQAKKLFNKLSTDPTTTSMLVAKEPASFTGSEVRIIDDDMPLAQFAVAFNGASWVDPDSIPLMVMQSMLGSWNKSAGGGKHMGSELVQRAAINDIAESVMAFNTNYKDTGLFGVYAVAKADCLDDLAFAIMQEMSKLSYRVTEEDVIRARNQLKSSLQLHLDGSTAIAEDIGRQLLVYGRRIPIPELFARIDAVDASTVRRVANRFIFDQDVAIAAMGPIQGLPDYNWFRRRTYLLRY >Et_4B_036075.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21426710:21427368:-1 gene:Et_4B_036075 transcript:Et_4B_036075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYFFPVISVSDHLSSYLLQEQSVEGIRGLIGSRPPSCEGRCRSCGHCEAVQVPISPQELQKKKKQLDHGSRAAAAFRGATAAGGRAMPDSYYDHSNYKPLSWRCKCGRHILEP >Et_2A_015434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12590611:12596356:-1 gene:Et_2A_015434 transcript:Et_2A_015434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELGCGSATNPTIPIHPWVDKSVTCTSICLKIEFITSNLRGARREVMQITRRLVIECEERRRPGQAIGIDGVAVLLAIDGLQALELAGLHMERVATKPPRLDEHLPSAASVDVAEPGVDDPARPVPGQAGHRVVHRAQAHPPHHHPPIVTVIGLLTHPELELLVFGRPDAEAAVLRRLPDAELSVVVPQHGRRQQERELQRARVELERAAGVADVPVDVPGGVHAALLEPLGVEVDLDVPGPRQGAPGADAVADGGDPGARAVGVDGEADGLEGEPARVRAREHRDADDLPVAAPLVVVGHRVARRGREQHAAAGRRVAYEDPRDERLGAVEEEEEEEAEVGVVDAEAAGGGGREGEGEARGGGVDGDEDGGVVAPGRLLDRRRRRGVAGVEEVAEVRRRSGGGEEGGDALPAAAGERGAEELGGREALEEEAEDVVRKGGSRRRRQRRRGERGGGGSQGTGPAASLPLGRHERQ >Et_5B_044188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21221624:21234728:1 gene:Et_5B_044188 transcript:Et_5B_044188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSEGSKPADAKSVKPRPAAAPTGRFALGTASSNKKRADGGTSAELGVSRSSLTKSTTSVNAGSVQRRSSTGSAGKQQDNGGSDAANAKKASPTLSDGLKKSKPVSTSTASSRSSLEKRTSLTSERTKADLTKKPAVKAAPASTLKKVQSKTESSNGSSGSTRRVASNTSLHSPRSTSVSSNVTKKLGSQASSADKGSVSSRRRTTADSRDSRFMMLPQVDLKASDELRLDSRGHRVRSLKQLRLTNVLEFVYLRDNILSSLEGIEILKGVKVLDLSFNDFKLPGFEALGNCKVLQQLYLAGNQITSLASLPELPNLEFLSVAQNRLKSLCMACQPRLQVLAASRNKISTLKGFPHLPSLEHLRVEENPMLEMPHLEAASILLIGPTLKKFNDRDLNPNEAEVAKQYPAHTAICIRDGWEFCSPELAADSTFSFLLEQWKKKLPQDYTVKKAYVDHPFEEDPCHCHFSFTNLGGESELVVKYQWFLGGQTPTDFVPIPGATSEVYWPKREDAGRCLKVECTPILNDAEFSPIFAVSSPVSPGTGCPKVISLAVNGELVEGNILTGVPEIAWCGATPGNGVSRRRWNDNAVVIDGADGMEYQLTVDDINSSLVFMYIPVTNEGVKGEPQCTMTDFVKAATPSVSDVLVLGDVVEDNIIKGKGKYFGGKEGLSKLQWFREKENSEFLLVLSNSMEYTLTKDDVGRHIKFVYTPINLEGEEGEPACAMTDVVKKAPPKVFNLKIVGEIREGSKVSASATVTGGTEGSSRVQWYKAPSSEFKSEHELEALSTSKVSKTFRIPLGAVGHYIVVKFTPVAPDGEIGEPAYAISDNIVETLPPSLNFLTVTGEFCEGQILTASYGYIGGHEGNSLYNWYLHENEDDEGTPVSEASSLLQYRVAKEAIGKFVSFKCIPIRDDGMVGEPRVFIGKDRVTPGIPTLLSLELTGEAIEGTTIVANRRYWGGEEGETIFCWILVSSDGTQEEIEGARSSSYTLKCDDIGFYISVLCKPVRTDGVQGSSVSTEMIGPIIPGPPTCLSLELVGSMVEGGCLTFHAEYTGGVRGNCIQEWFRLHGDGRKDKLTADECLDLKLADVDCRIELMYTPVREDGVQGPPRRVISDTIVPGEPKGLNLTLPECFEDNDISPIRTYFGGKEGTSKYTWFRKKEKLDNLEYDLVSASSEVVAETLEYKPSLDDVGSYLILYWVPTRSDGKTGVPLMAITDDAVMAAFPSVSDVHLEQKSSDVYYGLGTYYGGYEGSSLYRWYRESSDGTRLLIDGADSVTYEVTDADYSCRLLFGYTPVRSDGIVGEEKLSEPSGVILPELLEIETLLFKGNQVERETLTAVEQIPNNEIQQHIWNNYKKEMKYQWFVSTGSGADQSFEPLATQCSRSYKVRFEDIDRCVKCECFVIDVFGRSSEVVSAVTAPILPGVPKISKLEIEGRGFHTNLFAVRGTYSGGKEGKSKIQWLRSMVGSPDLISIPGEIGRMYEANVDDVGYRLVAIYTPVRDDGVEGQPISVSTEPIAVEPEIYREVKQKLDDGLVKFEVLCDKDRTPKKAQVMGHLERRILEVNRKRIKVVKPGSKTSFPTTEVRGTYAPPFHVELYRNDQHRFKIVVDGDNEVDLMVQTRHMRDVIILVIRGLAQKFNSTSLNTLLKIEA >Et_7B_053637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10568801:10571471:-1 gene:Et_7B_053637 transcript:Et_7B_053637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAGEAGGGGRGRAQRLPRWTRQEILVLIEGKRVVERSGRGRGRGGPARGAGGVGGTAAEAAAAAEPTKWAAVAEYCRRHGVDRGPVQCRKRWSNLAGDYKKIREWERGCGAGKGGEASFWAMRNDARKERRLPGFFDREVYDILEGRGGGGAGCRGNAASAARPDLEHVEGKRREKKPVVLDSGGAGATDGLFSSSTEDDDDDVEEAATPPTMPTPAPVAVPLYEKTDVPRQESSEQGTSKRKEPDELTKDSPQGGHKRQRSGDEASGRTTTDLQGQLIQILDRSGRMVAAQLEAQNINSQLDREQRKDQASTLLGVLGKVADALYRIADKL >Et_5A_043003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9768705:9769901:1 gene:Et_5A_043003 transcript:Et_5A_043003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGPDTEGVILAAMAVIFSEWVFLAYIVDRASSHQSLISALSIILASTVIASMLLTARDPGIIPRNQVSPLEEAGTRTAGSTPSRFIIINGVEMRMRFCRICKIFRPPRSSHCAICDNCVDKFDHHSRWISQCIGLRNYRIYLVLVCSALAFYAFIFTFMATCYLAYLLAFNAFLVAKNKTSHEWHKGRQHTSSNPYDEGTLGNIRECLFQKLPPPRVDFRAVVEPNL >Et_3B_027500.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:22920360:22920866:1 gene:Et_3B_027500 transcript:Et_3B_027500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYYTVFFLAGVAPLVSLFFLLGINDVPPYTLDLVTFEGLNNATHGRTISPAFNLTLHVENSRTFQAWCQSHGEVMVSYSGVTLAWGRVLGLCVQRRSAADFAVVTRGDGIYLSDELRGRLTSELRAGKAVVLVEMKLHYYPNYVFLPIIPRSGTLSVSQGFMIGDT >Et_6A_047112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25448569:25450884:1 gene:Et_6A_047112 transcript:Et_6A_047112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTQILGDDMAEHTWSEVISHARTCVVPGNKLYAYSTEHATIYRQAIQEAYEHRDSLKAVELRLKLAFKSQPRLPIHAGSRILDAAGNPLEIILVDANTGSPTALLTELKIRLVPLFGDFPLYDGWSTEEFHKAIVKPRQRYVSLLKGYNVGLLMKGGHLTVPEELQFTDDSSWVRCRKFRIGAYVQPRDNDHAASSYQYDGSFRIVEAMTEAFVVGDLNRKHYPPVSSDPVWRLEMIDKEGAAHRKLTSNNVHTVQEFVRMLYVKPKKLRAIVGDAMTDRMWMMTTLQARLCEHGDKAYAYSGANSTIYVDSLFERLLKIEIDAVSVHSRQPWPSKTMIARQTIREAYDHRHELQEAEADADMEHLVNPVCHIRNLMSRLFRWEAKKS >Et_4B_037505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20686025:20694487:1 gene:Et_4B_037505 transcript:Et_4B_037505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKALMDARKQIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKAETRDWLNNVVSDLENQIDNFEAELEGLSIKKGKQRPPRLVHLEKSITRHKAHIKKLESILRLLDNEELSPEQVNDVKDFLEDYVERNQEDFDEFSDVEDLYSTLPMEKVEALEDMVSLAASTLVKGVASVTTSAVLSSKSSVATSSTQPTVSTAASQGTSQDQTEETVSQESNPESAPQTPPSKGGNLGPSVPVVPIAVTTGTVPASVSTDTISSPVRPTVPATEAAVLSGSATARSASESTPAVTSTAANLSSSLKDDDSMNFPPRRPSPAITELGIGRGIPRGITSQTLGSAPISIGPLPGNGSLVSPLGNKVQPQPGLRTNDAASTEPANANENTILGTRVFSPPVVSGVQWRPQTGAAFQNQSETGQFRGRPEISADQREKYLQRLQQAQQQGSLLSNSHITGINQKQFPTQQPNPLLQQFNSQSSSLPSQGNLGIGVQGPDSGHIKSEEQQSLAEDVAMESAATTGANKHTSEDDTKIPFSNPSASTTESTQLSRDTDLSPGQPLQHGMSSSGVGVIGRRSVSDLGAIGDNLTGTAASSSHDHIYNLQMLEAAYHRLPQPKDSERAKNYVPRHPAVTPSSYPQVQAPIVSNPNFWERIGSDTMATDMLFFAFYYQQNTYQQYLAARELKKQSWRFHRRYNTWFQRHVEPQVTTDEYERGSYVYFDFHVTEDGSGWCQRIKNDFTFEYNYLEDELSVQTN >Et_2B_022086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8952993:8957137:-1 gene:Et_2B_022086 transcript:Et_2B_022086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTETPNHHSRALHWYSLRYPGTEEEVVPMVKFSKQFEAQLVPEWKEAFVDYWQLKKDVKKLQAAGDGVTSTVVASPSLCQTPTAAHWVRKKLVANGSTDGAVAGEVYQTEVAEAVEFADTEAARAFFERLDQQLNKVNRFYERKEGEFLERGESLRRQLQILVELKAAVTEQQQARRCGGSSADPEDPSVSCSILHGDQSLRGIAEHEQQDEEKHTKDAFATTNDDGGEDQQAVPHGLGYSGRLTKPREEPASKLRTHSGRVVTCQGRSVRINIPVTTPSRTVTAIRELLFEDMLSQSKRIGAHGGDGGEKLSITKTKLHQAEKMIRGALVELYKGLGYLRTYRTLNMMAFVKILKKFDKVTAKEVQPIYLKVVERSYFNSSDKAVRLMDDVEELFVRNFTEGDKRKAMKYLKPNQREESHATTFFIGLCTGGFAALFIGYCIMAHIAGMYTQQSDKVYMSTSYPVLSMFSLFFLHLFLYGCNIFMWRKTRINYAFIFEFAPTKELKYRDVFLICATSMTIVVGVMFAHLTLIVRGYSSCAVQAIPGALLLVFLLILVCPFNIIYRSSRYHFLRVIRNIILTPFYKVVMVDFFMADQLCSQVPMLRSLEYLACYYITSSYRTQDYGYCTRVKHFRDLAYAVSFLPYYWRAMQCARRWFDEGDINHIVNLGKYVSAMLAAGTKVAYENNSSAGWLSLVVIVSSIATTYQLYWDFVKDWGLLQFNSKNTWLRNDLILKQKYIYFLSMGLNLVLRLAWLQTVIHPNIGSLDSRVTLFLLAALEVIRRGHWNFYRLENEHLNNAGKFRAVKVVPLPFHEVEDG >Et_1A_007060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30496915:30499149:1 gene:Et_1A_007060 transcript:Et_1A_007060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHRSTLVFSLLVLLGLSTYTTATITHGKFGIFERHTYIVSLWPPPNFSFDMSQKKLESWYRSFLPPSMLASKPHTPFIHTYREAIVGFSVNLTQDEAEYVTKRVGVLHIYEDKLRPLLTTRTPDFLGLRSNGDAWNSLGMGEGSIIGLLDSGIDFSHTSFDDDGMKPPPSRWHGSCEFGDFKCNNKLIGGRSLVEGDQQPVDDLGHGTHTASTAAGRFVEGANVLGNGNGTASGMAPHAHLAIYKVCSAWSCQDSDIIAGIDAAISDGVDILSISLGGRSQPFHEDIIATGAFQAMLKEILISCAAGNSGPLPSTLENEAPWVLTVGASTMDRKMDAYVKLGNGHSVDGESAYQPSNIYSLPLVYGLGGSDNVTGKVVAYEVEVDGNEIDMGQSVKDAGGVGIILLGSQKSGHITLAEPHVLPASHVNYQDADVIRQYIKTSDNLTASIIFNGTLLGTKPAPVVAYFSIASPGILKPDIIGPGVNVIAAWPFKVGPNIDGQHEKTFNSISGTSMSTPHLSGIAALIKSVHQDWSPAAIKSAIMTTAYVMDDNKGPILDENLNHAGNFSIGAGHVNPSKVINPGLVYDINEEEYTLYLCGLGYSDDDVEKITRQKGVCEKGGKIAEAELNYPSIALRADTGKYVVNRTVTNVGDAMSSYTIQIDMPREVTVSVSPAKLEFTKANEKKTFTVSLSWDTSKTKHAEGSFRWISGEHVVRSPIVIF >Et_8B_058571.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:19407351:19407769:-1 gene:Et_8B_058571 transcript:Et_8B_058571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVRQDLVVRMNTIICSHSNVSSSGGGGFEALRLLPDGHSWHVTPLPPPPVVGPRTTFSNRAAVISAYFVMGSSVWISVTGQGTFSLDAERGTWQAEFPEELRRLAGRALFVPELGNAVVGLTPA >Et_4B_039857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4219515:4222259:-1 gene:Et_4B_039857 transcript:Et_4B_039857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDPQIEDPPRVSYLSMVRPALSDVDSNLQFGQQLDSGFIAAAHERFVVLYAGPYRPANSSKGCYLVYDAAQKSGSLSTVPGIPYSASYNSVGDGTIIMVAPDGTFVLAELLTKLRSEPPQAVLCLWAQGTQSWEEKGGRLPAEVCPPKHVFTAHMAFCFNTSICCWVNLLKGLLLCDSLGDAKFYFVPFPDGYSIKNTSRSPGLHLRPDAFRSFSCVAGTIKFVSLDGYLHQPHTLVSITTWALDLHNDISLPAKWTKETSTLSMNVLLSLGRELWADDSSFFRDLTQIKPMYPVLSTQKNDLVYLVLARTEIVDGHREINVKLLSVYTRYSKMLSGEGKVGNTPSCPNCKSYSDVEGAENLLNHIIKCKAEMKADAKVEAKKEKARKKHKNQKGITIRTRK >Et_6B_048360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15812247:15812699:-1 gene:Et_6B_048360 transcript:Et_6B_048360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQHLLAIALVVVASVLLISGRSAAITDAAGTAYDILVKNNLPRGLLPRGVQSYTIQPDGKMEVFKMRFGSTAGGIVKPGSIHEVYGVRVQVKFAWLGVSAIDRAGDKIMFSVRESSAPPFPVSSFATSPSCS >Et_4B_036350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19348560:19349300:1 gene:Et_4B_036350 transcript:Et_4B_036350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADEADNLLATELRLGLPGTSNDDHKATPPCTPRGKKRATFDAAEGAAEEAANNKQHNDVEAAPPVAKAQVVGWPPVRSYRKSCFQQQQAKQSIKPASNIKEDAAAPAPFVKVSMDGAPYLRKVDLRMYKGYRELREALEAMFVSSNAGAANLSEFAVTYQDKDGDLMLVGDVPFEMFAGTCKKLRIMKRSEATGLGSPRQI >Et_5A_041244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2070296:2074252:1 gene:Et_5A_041244 transcript:Et_5A_041244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHSLARAWEATVRKVQHPQPVGRRRVSPMSAPDDSETASSSASSSSGGGDDSDHNGYVERGLPNGDFYTGQWRGGAPHGSGKYLWTDGCMYEGEWRHGKATGRGKFSWPSGATYEGEFKDGFMDGSGTYTGAAGDTYRGSWSMNLKHGSGKKSYANGDQYDGEWRAGLQDGQGRYTWRNGTEYSGQWRAGLIHGRGELVWPNGNLYDGGWKDGCPCGQGTFRWADGSRYDGHWTRDSATGIVQQVGDYYPSQEAASPTARDPRDVFARDLPGFTGRSSASSSPRKSRNPSGNRMANGRASSVSGLSNSSGGDRKYDKICIWESDGDITCDIVDGLALGDEVVAAQRSVKTEDGGDGWGMPPVSSPAPHITRWVPPQEVRRQGETIAKGHKHYELMLNLQLGIRHAVGKQGPTVTDLKSSAFDPKEKIWTKFPPEGSKHTPPHNSCDFKWKDYCPQVFRKLRELFKVDAADYMLSLCGNEALRELSSPGKSGSSFYLTNDDRYMIKTMKKHEVKVRFVIMGNLFCSEYSIHRRFDLKGSSLGRTTDKPQTEIDQYTTLKDLDLNFIFRLKKQWFEEFQRQVDRDCEFLEQEKIMDYSLLVGVHFKDDREKLLTQGSMDCEINNVSSHHLSRGRTDQFLAEPHRRPKTKLGANMPARAELTARKSDCELQLIGEPTGAYYDVILYFGIIDILQDYDISKKLEHAYKSFQYDSTSISAVDPKQYSRRFRDFIYKAFQEDKLER >Et_4A_033080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18103080:18112589:-1 gene:Et_4A_033080 transcript:Et_4A_033080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEMEAAQAAAAAAEQVISSRGGSVLGKKTILKSDHFPGCQNKRLSPQIDGAPNYRQAGSLRVHGVAMPTMEGIANVLNYIGAKKKGKQTRVLWHSLREEPVIYINGRPFVLRDVERPFSNLEYTGINRERVEQMEFRLKEDILQEASRYGNKILVTDELPSGQMVDQWESVVSDTVKTPLEVYEELQHQGYLVDYERVPITDEKAPKEGDFDNLVRRISQVDLETEIVFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRTCSIGKVFHAGNDVDDYMPSTEEAILRGEYAVIRSLVRVLEGGVEGKRQVDKVIDKCDTMQNLREAIATYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYVHSVSSAHPTTFSVAVSFSDWMRARPELYSILRRLLRRDPMGALGYSSSKPPLTKIVESSNGRPHEMDVVAAMRNGEVLGRQTVLKSDHCPGSHNLNLPERVEGAPNFRKIHGFPVYGVANPTVDGIRAVIQRISTNKGGRPILWHNMREEPVIYINGKPFVLREVERPCKNMLEYTGIDRGRVERMEARLKEDILREAERYDGAIMVIHETESGEIFDTWENVDNDSVLTPLEVYKHLECEGLPIKYARVPITDGKAPKSSDFDTIALNVAAASVDTAFVFNCQMGRGRTTTGTVIACLLKLRIDHGRPIRIPACQNSQEDDDDAGYSSEVETTDHNGDLNSESWKPRTLTKLASGLGINDILLLRKITRLFDNGIECRQTLDAVIDRCSALQNIRQAVLQYTKVINQQHVEPRVRRVALNRGAEYLERYLKLIAFSAYLGSEAFDGFCGQGEAKISFKGWLQQRPEIQTMKWSIRLRPGRFFTVPQEPKVTYPPSQGDQTMETVVKARNGSVLGKGSILKMYFFPGQKKSSNVNFRGAPHVFKVDGYPVYSMATPTIDGASDVLSYLGSKDTSGRSSAQKVILTDLREEVVVYIKGTPFVLRELDQPVDTLKHVGISGPMVEGIETRLKEDILTEIKQLGGRLLLHQEEFNAATNQSSVVGYWEHIEMEDVMTPAEVYKTLSNKGYCIDYKRIPLTREREALAADVDAIRSLIDESARYYLFISHTGYGGVAYAMAITCLGLGADVKFVMEQTAETHFVSTSLTKNVSIKTSTDIALRQGDYRDILNLTRVLVHGPKSKQEVDKVIDRCSGAGHLREDILRYRKALQDCSHDDDDDEERSYLSDMGTKALRRYFFLITYRSYLYSTPLREATFKSWMKARPELGHLCDNLKLDK >Et_8B_059336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16869628:16871613:1 gene:Et_8B_059336 transcript:Et_8B_059336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRRKPLSLVELCLRTVIDNLRYVGSVDGVEMELLKRILPHCTLEQLTRIESRTQMDLSSITDPLWKRFYQRQFGEDHTNGLIKKLKATPDKHYKWKDLFRVKTEKQKEMEDMMVERFAKKFQAEKAEKQSKQIKLCTKVPPSSKRSFFGGGGPSNLSNSNYKSSILKKARIEVNSHARLHAAIQKNSLARSSQPTRTTSVSGQPMKTTTIHRPNSTITITKPAGANRQIQNSRSKF >Et_10A_001068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20793267:20795296:-1 gene:Et_10A_001068 transcript:Et_10A_001068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAVCFVDRGLSQIGFPQLTVAGAYNHVALKTQQHCSIGKFGVSFASVVLQIFASIYCILFGIVATVGVSFIFGLALFLGISILQYFNEYLFSLPWTCQNKCRICFRFNDIKNTEFASAPMEVLIVASVLDNKLEIREIRGNKSDRGLSGFTPFLQHRKGFSDPRHDEFYSFPIMTLYRDPHAEAGAAAQAEATGGEEF >Et_1B_013851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20455253:20458419:1 gene:Et_1B_013851 transcript:Et_1B_013851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSQPVQVASRSPSLTVDAEGDDCIVLDGDPDMVITVREGARCAGDGSSDELQIISEKGPIACRDFPHARHLCSNLPYSTTSHEKHCAKSTPSDQDLYCSEQPPSPLHILYFEVNQQHIIGLAQMDFMP >Et_6B_049476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5344605:5356512:-1 gene:Et_6B_049476 transcript:Et_6B_049476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTGDAAGPSPAPTPALAAVTNPVTPYVPMGELRASECSDLLALVSGVSRPLEDAVADFLARVPPERRLRFGSAVSFVLEDKMMLQPAERLIAFTILHQGYSSQLANPFVPLLINAACAETSEKAERAFLQLLLTSPNADNNKEILKQSPVDYLNGSNYASQVLLQQEQLEKQYFCDTSQPQQYSSTLRDATVRSAIPDPDVSESCGLSSEGSTAKPNRDNMVACLLQQAAIKGLAPQWIRPSPPRFEILEGELQWLNLDNNHELLWDGSMCADTGRGAVIRDLVGRACRAPLAPAQQEQIVAELAKDGKLVYHCGMTPQKLPDLVEHNPEIAIEVLSKLINSSDMDAYFDILVHMNMSLRSMEVVNRLTQVVVLPPGFIHDYISNCIRSCEGITDKFMQNRLVRLVCVFLQSLIQNKIINVQDLFVEVQAFCIAFSRIREAAT >Et_10A_001053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20708674:20712500:1 gene:Et_10A_001053 transcript:Et_10A_001053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSQASNASASSSRFVTASRAFSKQELDGLRAVFASLAAQSQTGGRAISRPVFLEYYGVRGPLGERLFQLVAKESGGGDGVTFEDLIISKATYGRGTRDEVEEFIYQLCDVAGDGVLSRSDLESVLASIHETIFAENKGAGEGSNNRAFEAFINSAVFSKDAEGISEKSMSLSDFKNWCLLMPSLRKFLGNLLMPPDSGRPGFQVPILHYPENISTDLLLLNREYAWHIGGGFSQNEVQEWKLLYHSSLHGQSFNTFLGKVTNTDAQTVLIVKDTEGSVYGGYAS >Et_10A_001707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7064880:7065297:-1 gene:Et_10A_001707 transcript:Et_10A_001707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHGDPVRRARRPPLGNRRRQRPRRLELTAFYVATRHGDVLKVELAPQPHLVYVARLHGPDECRCANPVGMYLVPSLDDDADGDNDGMLLVFCYGFLEETYFFGVHAGSGTFTPRKNISTTNFGGHR >Et_4B_039434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15489715:15492674:-1 gene:Et_4B_039434 transcript:Et_4B_039434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPCSRHLPSVLILTILVLVSTAANAQLSENYYDDSCPAALLTIRTAVSSAVLFDRRMGASLLRLHFHDCFGCDASVLLDDAANFTGEKGAGPNAGSLRGFEVIDNIKTLLELMCPQTVSCADILAVAARDSVEQLGGPSLTVLLGRRDATTASASLANNDLPGPTSNLNNLLSKFSNKGLSTTDMVAVSGAHTVGRAQCKNFRARIYNDTDIDASFAASLQAGCPLQAGSKDGSLEPLDAPSPDSFDNSYFAGLVSQRGLLHSDQALFGGGGGATDGLVSSYASSGDQFASDFAAAMVKMSSISPLTGTNGEVRVNCPRVN >Et_4B_039095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7775310:7779819:1 gene:Et_4B_039095 transcript:Et_4B_039095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDQAGGAASSGGGGGFFSYMRSLGAAVRNGLLGYEGLEVINPDGGTDDAEAEALRGRWRQEDRDSYWKMMHKYIGADVTSLVTLPVIIFEPMTMLQKMAELMEYCDLLDKADECEDPYMRMVYASTWAVSVYFAYQRTWKPFNPILGETYEMVNHQGITFVAEQVSHHPPMSAAHCENEHFTYDITSKLKTKFLGNSVEVYPVGRTRVTLKKSGVVLDLVPPPTKVNNLIFGRTWVDSPGEMVMTNQTTGDKVVLYFQPCGWFGAGRYEIDGYVFSAAEEPKIMMTGKWNQSMSYQPCDQEGEPLPGSELKEIWRVAPTPQNDKYQYTYFAHKINSFDTAPKKLLASDSRLRPDRYALEKGDMSKSGAEKSRLEEQQRAEKRTREAKGDQFTPKWFNMTNEVAPTPWGDLEVYEYNGKYTEHRAAIDSSNVPDETDVTSIEFNPWQYGSSSSQ >Et_2A_018408.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27037208:27037702:1 gene:Et_2A_018408 transcript:Et_2A_018408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLFFIFTSMLVVITIVFCPRHDAASASAAQWWLPPPPPEDPPTRPPMLPAAPPAARRRPPPLHQQPFTPTAWPPAFAYKTTLVRGKATAGGPCEAAAAAAATCSVCLGAFELGEMVRLLPVCLHLFHVECIDVWLAAHPTCPICRSGTDPMTAVDHVPPV >Et_6B_049655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:827426:829831:-1 gene:Et_6B_049655 transcript:Et_6B_049655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKVRRREAELVVPARPTPRETKALSDVDDQEWLRYYETVIGFYRRRRCPGEDRDPVEAIRAALAEALVYYYPIAGRLREAAGGKLVVDCTAEGVLFVEADADVRLQDFGEPLLPPYPCVNELLCDAGDTRDVIGRPLILMQLTRLKCGGFVAGLHMCHNIVDGFGMIQIMTAISELACGATVPSILPVWKRELLSTPHSPFPITYPEPLYEPLLTSLDSPSEDIMLSTPPEHMVSKCFLFGPRDVATLRSHIPEHLVASTTSFELLTAVMWRCRTIALGYRPSQRVRLMITMNARGRWNRHTPIPRGYYGNAHFSPIAEVTVDELCRQPLIDTVEIVQRTKRSVTKECMELMVKTIASLRKKPCLEEVRTYEVCDTKWIGAGNGLQLSFAEHVGGGIPFAGDITSKLGSDHMRCKNKDGEDSTVVSMLLPKAAMERFKKEMDVWVRKPDEWGYDDIIDSINESKCKLQFHYRHEVATKGYVEVEIQVTDHE >Et_1B_012601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33575369:33576960:1 gene:Et_1B_012601 transcript:Et_1B_012601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGLALVPLLLLSLASTSSSCTELEKGSLLRFLAGLSRDNGLAASWQNGSNCCKWYGITCSGNGTVAEISLASRGLEGRLSPSLGNLTDLRHLNLSRNSLSGDLPSELLASSSIAVLDVSFNHLSRVLQHQRELNSSVPLQVLDISSNLFVGEFPSTVWEKKSNLVMLNASNNSFQGRMPASFCISSPSLAVLDLCHNQFSGSIPEGLGKCSALRVLKAGHNNLSDELFDASSLQHLSFQQNNLEGILDGAKIINLRNLVVLDLARNSFTGRIPDSIGHLKRLEEFHLDDNLMYGELPSTLSKCTNLITIDLKHNNFSGQQSTLINLKTLDLLQNRFTGKIPESIYSCNNMIAPRLSNNRLHGQLSPGVGNMKSLVFLSLASNYFSDIRNTLHIPKNLKNLTYLLIGNNFKGEVTPQHQTIDGFQNLQVLSIVGCSLSGNIPHWLSKLRNLEMLFLHNNNLSGTIPPWIKSLELLFYLDISNNSLTGEIPVALMAMPLLKTPETANHFQPGQFELTVYIDSSLQ >Et_2A_016352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23723856:23727328:-1 gene:Et_2A_016352 transcript:Et_2A_016352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAQMRIKEFAVVQGRQPSQVCWLFLLFLACFLMPLFTPVVIVVSLALSDGNCALVLFLLPIYICSNVVSHGLFTLRCCAGHKVLCAFSGIAIHCQPHVSKFLTSASLVRALDKCHDNVKIEVRHDGNVVTGFLEEYDLDYQIAIVKITSSLDVHKVFLNHALKFVLDCNVVAVGRDIGGELLVSSGNLSSNPSGSEDSEVLMFSTCKLSEAWDGGAHFDFHGNFVGMNLYWRTGVSLFVPVSHFGDVYPKGVWGQFNQKISRRISRNVVALASFNGGTKFFACTGFFIDWNESQDRNVSTILTSATLVRNSDPSVLDNGIFDGLKIKVLLPKGKCIEGTLMHYNLHYNVALVSVKNYTPASPAILKHMKRCSELIAVGRCFKSGDLMASRGKLVGWSGSLDCEILQYSSCKITKAGIGGPLVDDDRNYFGMNFYDPKMGTPALFHDDILRILNGFKKTRNHTSGPNGDNTVCMNRWPVLETDWRNPDREPYLDEYERRVLASGRKYKYISGYIVRCK >Et_9A_062595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24369414:24373053:1 gene:Et_9A_062595 transcript:Et_9A_062595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIAATLSGEPDSDADDSQPEPASAQSEESLTHGPKPDPDAEEPEQPNTPSRGVKDDISELTETLTRRLWGVASFLAPPPETSSPRAAAAAEGGDEQQKEDDGDGEEAAQSPRIAGIRSDLAEISGRVRSGISMLQNNLAVAEISKIASSLLPFGEGDAEEGEPVVGVTEEVVEFVRHISTRPETWLDFPLFISERYANDFELSEAQYMHALAVEHLVPSLPDLKVQICSTDMSEACFWKIYFVLLHSKLSKQDAELLSTAQILEAREELLQSLRAKNKQGSKVPVEEKVIEPASIQDKAGTSEVSSFEEPSSDITSDIEAEKFPISVTDMEIIDKSVIEEELAVKNESKDVPVESKTQFVPDEDEVDEWPDDDPAEEVGAASNSNRTSSLGRQEDVSFSDLEDDDDDDNKRDGQQGK >Et_2B_021058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26064452:26067009:-1 gene:Et_2B_021058 transcript:Et_2B_021058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTHPALVRLLFAVVLLAGAGAARGFYLPGVAPADFRKKDLLSVKVNQLSSIKTQLPYSYYSLPFCRPETIVDSAENLGEVLRGDRIENSLYVFEMMEPRLCQIVCKIVLSQDDAKDLKEKIDDEYRINMILDNLPLVVPIKRLDQEGPTVYQHGVHVGVKGQYSGSKDEKHFIHNHFTFLVKYHKDPNTDLARIVGFEVKPYSVKHEYDGDWKGTGTHLKTCDLHSRRLVVDSDSPQEVEANKEIIFTYDVNFEESDIKWASRWDTYLLMTDDQIHWFSIINSLMIVLFLSGMVAMIMLRTLCRDISKYNQLENQEEAQEETGWKLVHGDVFRAPVHADLLCVYVGTGLQFFGMLLVTLLFAILGLLSPSNRGGLMTAMLLLWVFMGLFAGYSSSRLYKMFRGSQWKNVTIKTALMFPAIVFVIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKQPAMEDPVRTNKIPRPIPEQPWYMNPVVSVLIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILILTCAEITIVLCYFQLCGEDYQWWWRSYLTSGSSALYLFLYATFYFFTKLEITKAVSGVLYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >Et_2A_017983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8690833:8694587:1 gene:Et_2A_017983 transcript:Et_2A_017983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAATENAAAPVGVAAPEAAVDADQRVEVATVEDPATPTVAPEAEIGDVDQVIDDAAPEDGKHRDAEVHVDVSPEEMRSIIEVIADTGKFWHDWSFLKRLLSLQLKQALAEYPEAQMISKEDGEQPRSLSGETYSELVNRLNDALLRFEEGPPFTLQRLCEILLDPKGTYTKLPKLALALEKNLLVTSTMTKCTDPYPAAHGGPISSEDSQITENVGVVDAVDAEPESVPEPTGAVPNGEHAGGDGDEEMADAEAEEVSCSHDVEMQEEKPDQVSSVNPDANADVVVAIEAASVSEPSSNPQS >Et_6A_048150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7857671:7860599:-1 gene:Et_6A_048150 transcript:Et_6A_048150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSDAGGTWKEAARRVSMALPCCTEKVCSCARMVLKTMVHAKMGTTHSSNFTCSTSAGVHSRHGLSFPDDAPSCAFMHALSRNLDLQTKDFKNFSKPLRCNAALRRLVAVEGGAGDEADEADAHGAGGDPEPDVHPGARLHPDEHGERHQLADAEAEVGRVEVAGQPPCVAGAATPELVGAVRDDVGLEAAAAQRHQVQRGEEDAGLHPAGLLTRLPGRRRCHHVARRRTQLRQVRLHRQQYEPLRLKNEEKKRGKTIQKADALQHLLLPIF >Et_5B_044698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4785115:4792598:-1 gene:Et_5B_044698 transcript:Et_5B_044698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQPQPQKETEVFDVVIFGASGFTGKYVVREALKFLSPSSSSSPLRSLAVAGRSRDRLAAALRWAASPAPPPENVPILIADSSDPASLAAMAARARVVLSCAGPFRFHGHAVAAACAAAGVDCLDIAGEPEFMERVEADLHDVAARNGSLIVSACGFDSVPAELGFLFHSRQWEPPSAPVSVQAYLSLQSSKRIVGNVATYESAVLGFANAGELQALRRSRPRRPRPNIIVDAPLKGSLIEYGNPLRMWAMKIPTADTTVVKRTLSTMTEHPECLPGVKESPKYIEHRKNFWSSIKPAHSGVKIVSLNIVLRVLVTGLFMSLLGNFSFGRSLLLRHPEVFTLGLFRKTGPTEEEVNNSSFGMWFIGSGFTDASCASERRSKLDKEIITKVSGPNGYVTTQIILVQCALILLSQRDNLPKGGVYTPGVIFGPTDLQQRLGENGFSFEIFPEVFDVVIFGASGFTGKYVIREALKFLGPCAASSPLRSLAVAGRSRDRVAAALHWAAAPAPPPEDVPILIADSSDPASLAALASRARVVLSCAGPFRLHGHAVAAACAAAGTDCLDISGEPEFMERVEADLHEVAARNGSLIITACGFDSIPAELGFLFHSRQWEPPSAPLSVEAYVNLQSSKKMAGNIGTYESAVLGVANAGELQALRRSRPRRPRPNIPGHPPPKGSLIERGNPLGMWAMKLPSADTVVVKRTLSTVTEHPEGLPGAEESPEYTEHRKNFWSSVKPAHFGVKIASRSLMIIVGFLFTGVFIGLLGNFSFGRSLLLKYPEFFSLGIFRKTGPTEEEVESASFKMWFVGRGFSDVARASERGSKPDKEIITRVSGPEVGYVTTPIVLVQCALVLLSQRGNLPKGGVYTPGTVFGPTDLQRRLQENRMSFEVLSTRTVGSD >Et_3A_025063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26503655:26504339:-1 gene:Et_3A_025063 transcript:Et_3A_025063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NTSIPLHKYCSSSRIPSRRLVLRSPYFGLQEMAPASSLLLVTLLFAAAPAPSLATKFDVIWTTNVNYTPWADQHQFHVGDWLNFKYVKGMYDVVQVQNETAYAACDGSAPLVAYDRGTNFPFELNCTGRFYFICNRGYCFSGMKVSVLVHPAAPPPAVAPPAHKSRAFSSRARAEAGVWLAGLAASLGAAFLGSLPFRL >Et_8A_056621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13699836:13704854:1 gene:Et_8A_056621 transcript:Et_8A_056621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVDHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKESDVVDWFIPVVKRLAAGEWFTARVSACGLFHIAYPSAPEPLKAELRTTYGQLCQDDMPMVRRAAASNLGKFAATVEQNHLKTEIMSIFDDLTQDDQDSVRLLAVEGCAALGKLADLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPELAIQHILPCVKDLSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQILEKINNPHYLYRMTTLQAISLLAPVMGADITCQQLLPVVITSSKDRVPNIKFNVAKVLQSLIPILDQSVVEKTVKPCLVELSEDPDVDVRYYANQALQACDQMMISS >Et_8B_059238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15767813:15768530:1 gene:Et_8B_059238 transcript:Et_8B_059238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLHLSKRVRSRKEPKMSSGAGASGGKKKTAWPEVKGMPAAEAAKIIKHDMPEADIIVSLADEDCTMDLVFDRVTILVDTVVITPTVDLAVAGSKSSWPEVVGMSVEEAKKTIRRDKYVADIVAQPIGSPVNDDGGPNPNRVRLFVDTVSNTPFVG >Et_1A_007263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32835647:32836164:-1 gene:Et_1A_007263 transcript:Et_1A_007263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQYQVTIQNKCSCPQANVKVRCDEINTVENVDKTKIRPIDREFCIINCGWQAITKGFPVIFTYSFGTPQDFPVVSAKPQC >Et_10A_000491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11287919:11290284:1 gene:Et_10A_000491 transcript:Et_10A_000491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EDVNIMKNIGMDAYRFSISWTRILPNGSLSGGVNREGVNYYNSLINEILSKVMTTRICSMTIRICLSPLKILTTQIDPGAEMTIRELNTGLPSMSPIPSARGVMHLVCLHQAVVHHLSREIAALGIQESSLTLLAIINYSPMHTKRNISWASLTIYECPSRFMNPLVKGDYTLSMRKLVSSRLPKFTKEQSALVKGAFDFIGLNYYTAYFAESLPPSNGVNNIYNTDARANLTGESH >Et_2A_017290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32826085:32827378:-1 gene:Et_2A_017290 transcript:Et_2A_017290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIYMSQLSTTLPLMEGDHHQDHHHQGHFHAFTLPKDPTLLFPFVIGNSSTSDSSLSYGSPDHQMMRQHQAMLEPQHMIGGSSVASVFATPFPTVESIRDDMIEPSSYDPYDMGKLQVGRSLETGGNWTPPAKMRITRKASADPGAAGMAVKKPRRRAQAYEDMNSQPNLGVIRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMMASAGVVSADGIKAATATPSDAMAVHPKQDEITDAAMLLMTLSCGLVRS >Et_2B_021791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5458048:5465163:-1 gene:Et_2B_021791 transcript:Et_2B_021791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLRKLHIGDSAGDGASSPAAPLPPPSKKGGGGEHKHGSGISGWLSSPAAATAATPVAAAEAEETALAAALASSAEERRVEEEENRARRETLKEAEEERKREVAMEKKEKQQADLEEYHMQLALEMSVREDPEAMQIEVAKQISLGSCPLQSSPAEVIAFRYWSFNALSYDDKILDGFYDICATGDEPLLSTIPSLMELHALPFSHGAKTEAVLVNRAQDSELVALEQKAFIMAVELRSKNTEFVGPTLVRTLATLVSNYMGGPVFDPESMLLKYQDMSSSLRGSIRSAVMPLGRIRVGLARHRALLFKVLADSLAVACRLVKGRQYTGSDDGALNFVKFNDGREYIVDLMSDPGTLIPSDGADLDNQHNNLFGDNQHNKDDNNTQLVSSFSEASSSVYGSFDNELLEKGSAPSDSGPFGPCGTITGRTENDISRVSSSFEELSVSGYTSENMPIAHGSINTDSSMTAKRKEKSATSNNSSSSSPPSSGTGSTPAVRRMKVKDVSEYMISAAKENPQLADKINAVLLESGVVPPPDLFSEASMEQPKDLIVYDTSLFETRDEMIRTMNELESTSHVGQSHGPSLPHPDHELQTTIVPYRAPLDLKPVHGLGIYHAPNIRDNSTSSGHDNALDGASQEAERISDRSTGTESVRSDIALDDVSEFEIQWDEITLGERVGLGSFGEVYRGEWHGTEVAVKKFLQQDITSDALEEFRTEVQIMKRVRHPNVVLFMGAVTRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERRRLRMALDVARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRIKHSTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLSQPWEGMNPMQVVGAVGFQQRRLTIPSNVDPAVAKIIERCWQTLVCFNLLDSNGVLIVIYHKFLFVTCVRSKVAPIIFGYHGFLKTVTEKHACHPVYKKAGATNR >Et_1B_013599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9884176:9888979:1 gene:Et_1B_013599 transcript:Et_1B_013599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HSRELGAAIVLLSKKTGGASFSLPVACLLLPKLSNARGGLDFRVLYSVSPSHVTTFLFSPLFLTPPPPSLSLSISSPPKKSTAPKGDGRRPPVCLHTGFGAAATLVAAWRWRGTMSSRSSGSVGSGGGSSSGGGAGSTVPLAVLLRREVVSERTAAERPELQTGLFSQAKKGEDFTFLKPECERLPGVPSSSFSAFGLFDGHNGNGAAIYTKENLLNNILSAIPADLNREDWLAALPRALVAAFVKTDKDFQTKARSSGTTVTFVIIDGLIITVASVGDSRCVLEAEGSIYHLSSDHRFDASKEEVDRVTESGGDVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGEFIVPVPLVKQLSTAGGRLIIASDGVWDALSPEEAFNCSRELPPEPAAEQIVKTAVQSKGLRDDTTCIVVDIIAEKSNHSMPLPKKQPGIGVFKNMFRKKPSSDSSSHADREYMDPDIVEEIFEDECALLSRRLDSEYPVRNMFKLFICAICQVELKPNQGISVHEDSTQPGSLRRWDGPFLCQSCQEKKEAMEGKRRSRELVSGTNN >Et_4B_038870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5524049:5525686:1 gene:Et_4B_038870 transcript:Et_4B_038870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGDLDRISALPDDLLHLILAYVGDAIDVTRTAAMATRVDSCPRPRSQGQGVFDASALLIYTCMGNTIMVAIRVVVCGSILGNCPGVEHVKVLLQYWEASNVSEGELVDLISSEGAPFTFDSVRSMEMTVTARSFPEGHLVASMSSLLRSFPHLRSLCIQFFQNNRDPWRWDCLSSGFDTWTGHQKITLGSLEEVKIIDFTGADEDIDIVSLLFASSNSIKRMTLSVTAETVATVSLARMMANEDDNGTESVDQKLMNIPGSNRGRWNFKEDVYTWTIENATTVCDSCLRGGRNLTEAPNLRILSLECCFDENVVEKAARVSAKRLEKIGVRDVRGVQLQIDDLTSVP >Et_5A_042694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2665198:2666717:-1 gene:Et_5A_042694 transcript:Et_5A_042694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAIEAPRAGADVVRADAAGCKKAAIELLGEIGLPNGMFPLDDMKEFGYNREAGFMWLVRGEKKKEHTFKKIKQTVSYAGEVTAFVEKGKLRKIAGVKTKELMLWLSVVEVYVDESAPGKVTFKTGTGLSDSFDARHEDIHDLLKGIHKNRRKSDKQKQDDPPLCRR >Et_3B_027521.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24628783:24630756:-1 gene:Et_3B_027521 transcript:Et_3B_027521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPSPPLSASFFNSLITSRARAGRVADALALLVRMLAAGVAPTPFTFAPILSAPSISARCAAHLHARVVKSGMLHGDPYSGTALLGFFARHGRFDDALKMFAETTARSVVTWNCLIASFVQFGRADDAVFWFRELVRSGDELSDGSLVAVLPAFGSPEQVHGLINKLGMDSFAAVANSLLNSYCACCSLRTAEKLFDELIVRDVVSWNTMIANFARSNVPERAFEIFFGMERQGVLPSETTFASVLYACTSMNGHEHGNSIHAKAIKHGLNTSVFVSTALVDFYANCNGRTGAHKILQEVPENSTTCWNALISAHSDSDDPSSLVFLRDMLRSGIKPNEVSFSSSLKDPSLLDLRQIHSLVTRLGHGGNDYVSSAIISSYASLGIVSDALAYGLALDPDSCSVSMNALAGIYNRNRMYNETKELLLHQQSSDTVSWSILITACARNGDYVEAFGFFKQMRILGHHFDKYVFVSLLTICTKNNSLDLGKLIHGLIIKTNSTSSDTYVGNMLLDMYAKCGRMEDCLKVFEEMGDRNLLSWTAVISGLGLNGFSHKALEWFKAMEKDGFKPDKVAIMAVLSACRHGRLVQEGTEIFRNMKADYSIEAEMEHYICVVDMLCRCGHLKEAEVVIRGMPFRPSTVIWRTFLQWCNRGTSI >Et_7A_050367.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:10161298:10162053:1 gene:Et_7A_050367 transcript:Et_7A_050367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHDPIHIGNISSTDKSLVALYAGNYNPGSPSSRGYYLVYDASDGSLSAIPPLPDRHTFYGLGRGAAILSLDGKGSYVLAELVESRSRFPKVDLFLWKSPASPNQAGRWVRRSLRLPYHVFDWDKRHAFFIDRAFSYAGSSICWVDLFSGAIICDLLAAEPQLTFVPLPAACSIDFPVRTRPQPHEFRTMGCVRGAIKFATLDGFYGSTPESRQRRRHRAADLDALAGPHGVEGGRRGAARRRPLGERELP >Et_2A_018706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5451506:5454591:-1 gene:Et_2A_018706 transcript:Et_2A_018706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPGSTPAPTSSSSSPAGSGHHDHEHTRLCRSCGAPTSAPTPAPWSGTSDSPPPAYRPIRLPAINAPTNTAAIVLSPVPQPLPVPPAAPPHAFQVPAKRITSPDDIARFHASLHGRHFLGFVAALSASVHGRKLSDPLPSPPSAAVTALLDLISALSGFVASTPPLPHNSRYGNPAFRLWHEKLADSSNELIGRITATAATPSDLTGAEVELAPYLLDSFGNATRIDYGTGHETNFAAFLYCLARLGLITEPDYPAVVLRVFAAYLDLMRTLQDTYQLEPAGSHGVWGLDDYHFLPFIFGAAQLIDHKYMKPKSIHNPDILENFSKEYMYLACVAYVKKIKKGPFAEHSPMLDDISAVPNWEKVNSGLLKMYKAEVLEKGGLIPSSKSLFLRQAPEHHDL >Et_10B_004333.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4151989:4152630:1 gene:Et_10B_004333 transcript:Et_10B_004333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASRHGAVASPGYEVSSYHASGSLSASASIWSRPVRLESFDGLATAGGEDDDDSRRRRRQRPGNDDAQPPARRRVAGEQAAAGWPSWLSAVAAEAVEGWVPLRAEGFEKLEKVGQGTYSSVFRARELATGRAVALKKVRFDSVEPESVRFMAREVIVLRRLQRHPNVVGLEGIITSRSSAAVYLVFEYMEHDLAGLTSSPDVTFTEPQVG >Et_1B_011958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27491460:27497121:-1 gene:Et_1B_011958 transcript:Et_1B_011958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQGGELSKAGLGRRCGEAGRRAGARRQQGTSEPSLTALLKIEVLYKIRQSSSLSIALESCMSSLVHIVAVFTAMANNLRRNNSKHMRENKWRCVKDKLRQARKKAASDTSETRAKESGTIPSKVPCQESRVKHLAAAGLSLNFGGFKWADGRGGGGGGSVQETRGEGAFVGGEEKMILPVAKLGTLLLRTMSKPIATRLKTEASRHPKFRQLIINLAQANHRISTRIQRRIYGHSDNVVIRPLNEEKAVQAAADLIGELFVFSVAGAAVIYEVQRSARSEARKEEARKQEIEALRQKEDQLAQELVTLKQKLSELERLANSRGLTGVFRSKSVPDQTKAP >Et_8A_056149.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:6272590:6273004:-1 gene:Et_8A_056149 transcript:Et_8A_056149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPKGVVAVLSDALCLACRSRKHMLPCLLLAMIPSSLILLGSHVSVYPLLLGFIARLHSLGREYPATPQFYDLLVRLKRDAGAISQFDVALVAASYLGHAASTLAVVH >Et_6A_047163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26100887:26107123:-1 gene:Et_6A_047163 transcript:Et_6A_047163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAVRFLTAVSFLAAGELFAPGALLGGRSGSGVAAAAKLAHLLSFAAAWGAGLWVTFVGGIVMFKHLPRHQFGSLQGKMFPAYFMLISVCSAVSVAAFAYLHPWKTASTIERYQLGFLISALGFDLSNLLVFTPMTVEMMMKRHKMEKDLGIGSEVGYSRNAEMAKKSTALAAMNRKFGMIHGLSSLANILAFGSLAMHSWYLASKLDL >Et_5B_044528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3175681:3178277:-1 gene:Et_5B_044528 transcript:Et_5B_044528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRSTYAIGASPSRGVPSTPPQNRGPGVPPPLPSRLLHALARELPSLPAMSSLRAMSALLHIYSSSAVAAGRGVNRMRLATQLGGSFRVPSKGQSAFVLDEVANATGSARRTASTRAASWDSEKSPYETLELDRDADEETIKTAYRRLAKFYHPDVYDGKGTLEEGETAEARFIKIQAAYELLIDDERRKSYDREHHVNPMKASQAWMEWVMKKRKAFDKRGDMAVAAWAEQQHREMTLRARRLSRSKVDPEEERKLFAKEKKASMDFYSTTLKRHTLVLRKRDIMRKKAEEDKNSEISRLLAAEGLELDTDEDDNKTFLK >Et_3B_028550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16732459:16734755:1 gene:Et_3B_028550 transcript:Et_3B_028550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATRRWWGRRDGGDNDADDLVPMDTQEQEELVRSLEQKQAHQSRRWRRIFAGFLLGYAAFMVYSSFHHAWSPWELRYHAYFMEDLPASMVIFADWIAALACVFAVKGLLQNPGSSKKWMWYSCYIGILVAIFWTYYILRLPRIRWDVAWLPFGPLIAGALSLYVDHVLLESMRDISTLRGYMYNFKAL >Et_3B_030352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32397564:32400193:1 gene:Et_3B_030352 transcript:Et_3B_030352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATDAKPAAPRLNVPPSMAGALRLDPVASPSPSRRLAADAPKTPSPSKTTTYSDRFIPCRSSSRLQNFALLDSPTASREDTPYSRLLRAELFGPDSPSAPSTPASPNANNNLFRFKKDHSAPTSPFAAAAAQLDCTAGSGDVPSPQKQPRKVPKTPHKVLDAPSLLDDFYLNLVDWSSQNMLAVGLGTCVYLWSASNSKVTKLCDLGPRDSVCAVHWSREGSYLAIGTGLGDVQIWDSSRCKRIRNMGGHQTRTGVLAWSSCILSSGSRDKNILQHDIRVPNDYISKFTGHRSEVCGLKWSHDDRELASGGNDNQLLVWNQRSQQPVLRLTEHTAAVKAIAWSPHQQGLLASGGGTADRCIRFWNTANGNALNSIDTGSQVCNLAWCKNVNELVSTHGYSQNQIMVWKYPSMSKVATLTGHTMRVLYLATSPDGQTIVTGAGDETLRFWNIFPSVKTQTPVRDVGLWSFSRSHIR >Et_2A_018802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7960679:7965697:-1 gene:Et_2A_018802 transcript:Et_2A_018802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSESPVQTQMAVSALDRALSSEYPTKSRSEFRPGGWKRLFVQTDTGCVLAIQLDRGANAHTVKRKLQVALNFPIEESSLTCGDRVLKNDLSTIRNDSPLLLTKTPMHRSSSTPCMSHAVKDTPQQKDRGCPIEVLVCPSCCSRTRQLVKDVARAIRNGVDPVPANGGLGGAYYFRNIKGENVAIVKPNDEEPFAPNNPKGFVGRALGEPGLMRSVRVGETGFREVAAYLLDYDNSANVPPTVLVKISHPVFHVNDGVNCANSNADEGGTQAVRKIASFQQYIPHDFDASDYGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKQTGAGQFGNQTDLIPIDHGLCLPECLEDPYFEWIHWPQASIPFSEDELEYIAKLDPMRDAEMLRMELPMIREACLRVLIVSTIFLKEATAFGLCLAEIGEMMSREFTGKEDQPSELEFVCMEARRLATEREDCSTEHDSSDENLIQFELDAEDHEVTKEPSAYNFDFKGRNTRNSLSKLDEANEEYEEEDDDDDDIEEVDINEGKLACPNPVNKWLANVSKLSTSLKGVTLTDKRLSAIPKAVDSVKTSENNSNLSRSQVGNWASANDKLPTSVSFVKLADMGPEIWMLFLEKFQELLPEAFRSHKRRAAALRAKQRLGTSCQSREWQQYADHESQSHSIPTSKDNFQEVVPKV >Et_4B_038558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29832243:29834424:-1 gene:Et_4B_038558 transcript:Et_4B_038558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYVVTRCCLPTGPSSPSAKAASSSAPPSFATTKGNGHARHLPINFASNLLPLPTLTERRDFVLRSSELATLAAIFHFSGSKPSYLGVQKSPPSLALCPATNNCVSTSEEISDSNHYAPPWNYNPKDGRRGKPISKDEAMKELIEVVTKTKPDNFTPRIVEKANDYIRVEYESPIFGFVDDVEFWFPPGNKPIVQYRSASRSGFIDFSANKKRVKELRLALEKKGWASESNF >Et_3B_029887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28847331:28865004:1 gene:Et_3B_029887 transcript:Et_3B_029887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHAVTDVLLLPRPDGAVAGTVDFRGRPASRLGTGRWPAAMFVLGVEIAERFAYHGVSANLISYLTGPLGESTAGAAAAINAWSGVATMLPLVMACVADAWLGRYRTIVFASLLFVVVSSAPFHPFRTSARMTSFFLTSPAHLGENFSIEVRPLGLVTNAPLHLFLGQQSMGMLTVSALPPFHHDGCRYNSKSLACSPSPLQVAVFYISLYLVALAEAGHKPCAQAFAADQFDQHDSKESVARSSFFNWWYFGMCSGTALTTIVSSYIQDNIGWGLGFGIPCLVMVFALLAFLLGTRRYRYYSTESSPFARLARAFVALIKGSKSNQCDSDHATNDGGGHHDEVKGLLRLFPIWATCIIYAVIFSQSSTFFTKQAATLDRRIGPTLHVPPAALQTFISVTIMTFIPVYDRAFVPLARRFTRLSSGITMLQRIGTGLVLALVAMVVAALVEAKRLGVARDAGLVDHPKAALPMSLWWMVPQYVLFGLSDVFAMIGLQEFFYDQVPDALRSLGLAFFLSIFGVGHFFSSFIISAIDGATKKSGASWFSNNLNRAHLDYFYWLLAALCAVELVAFVIVSRVYVYKKRAPHDNGAVIELLLSPVLMQPAMADTVVAGAANYRGQPTSRAATGGWKSSVFVMAMEIAERFAYKGVAANLITYQTGPLGQPMARAAASIDAWKGVSQMLPLPIACVADAWLGRFRAIILASVIFIVFHLTKVSDDSCLSMGRDILALQSMGSLSLSSAFPVAGSSHVALFYVALYLVALGEGAHKPCAQAFAADQFDEKDPKESVARSSFFNWWYFGMCAGTAVTTMVSSYVQDNVGWGLGFGIPCIVIAVSLVVFLLGSRSYRYYTTREASPFSRAANAFLALIKSWRSNRRTNPVSSKGDSNVAAVEDVKTVLRLLPIWASCIIYAIIFSQTSTFFTKQAATLDRRVGARFKVPPAALQTFISVSIVVFIPVYDRLFVPFARRYTGRPTGITMLQRIGAGLAMSLVAVALSALVEMKRLGVARDAGLVNNPKATLPMSLWWMVPQYVLIGVADVFAMIGLQEFFYDQVPDAARSLGLALFLSIFGVGHLLSSLLISVIDKATAKSGTSWFSNNLDRAHLDYFYWLLTGLCAVELVAFVFFSRVYVYKRKSCELVPYQGLSFTSKPTDGRGGWRAAFFVVAVGFFERIGFVGISANLIMYLTGPLGMSTAAAAASVNAWGGTVAVLPLVGALAADSRLGRYRALMAACVLYLLSLGMLTASSGLQTPHPHAESSASSTSPGQLAFFYIALYLLASAQGFYGPCAEALGADQFVRRDDDPSAIASRSSYFNWYHFSVSWGYAIASAGLSYVQDNIGWTVGFGACWAAMALAFAIFLLGTRIYRAKRPVEGGSFVETARAWTARVFHRRKDLATNTERLLDSQKPEESKGLVAKLLPIWATSLVFAVIFSQVPTLFTKQSSTLDRRLGAATGLEVPPAALQSFINVTFIVMLPLYDRVLVPLARRLTGHETGITMLQRIGASMAVSCVAMAVAAFVETKRLRVARDAGLIDQPDAAVPMSLWWMVPQYVLLGLAGVLGEVGLVEFFYDQVPDALRSVGLALCLSIFGVGSYASSVLVSAIDWATKRNGEESWFADNLNRAHLDYFYWLLAGLAAVEVASFLYFANRYEQRLATIPQTLCKHNSTQLSGCSLYLLALAQGFHRPCTEDIGADQFASSNDDPGARASRSSHYNWFHFFISWGYFISTTVLSLSYIEDNIGWTVGFAGPRWLIGREPDDSTGLAVRLLPAWLSGMVFATVVSQDRLHSVYQAGQHNGPAPRRGTGVLVPPAALLSLVSFTFITMPSIYDRAFVPFARRVTGNHAGITTLQRIGAAIGVSGFAMLVAALVETKRLRVAMDEGLTDRPDVAVPMSLWWMLPQYFLIGLAGVLGNAGLEEFFYDRLPDALRSFGLALSLSATGADSYASGMLVSGIDWATRSGGKSWFSDNLNQAHLDYFYWLLAGLAAMVAAPSLFSSLFAAAAALAASQPQQRIALSTPTMAEVAEQPQQESAELMPRPGTSIVSKPANGRGGWRAALFLVDNTCSAFKLLHSCLCSAAVLSLERFGFYGVQSNLIMYLTGPLGMSTAAAAASVNLWTGAVHILPLAGALAADSRLGRYRAVLAACVLYLLIIVDAMHVTKLQSFGMLTVSSALQTPQPHHASSPPSTPPARYAFFYVALYMLALAQGFHRPCTEAFGADQFAPSDGDTVTSTTTYVGWTVGFGVCLATMVLCLAVFLLGARTYRAERPVDGNPFLEAVSAWASRVFRRKDNTDAASERLVNVGEPEDGNGLVLKLLPICLSGVFYATVTSQYMTLFTKQANTMDRRLGADTGVLVPPAALLSLVSFTFIAMLPIYDRAFVPFARRITGHHAGITTLQRIGAALAVSFFAMVVAALVEARRLRVAMDADLTDRPDVVVPMSLWWVVSQYVLTGIAKVLGDIGFEEFFYDQVPDTVRSFGLALSLSAMGAGSYASGMLVSAIDWVTRSGGESWFSDNLNRAHLDYFYWLLAGLVGLEVAMFVHFAKRFVYTEQEQK >Et_7B_053929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13479055:13482264:-1 gene:Et_7B_053929 transcript:Et_7B_053929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGAFLLNNSGGMADYGGRFTVPVVVTCLMAASGGLIFGYDIGISGGVSEMESFLKKFFPGLLKGTARGSHKDVYCMYNNQALTAFTSSLYLFGMVGTLVASRVTRRVGRQAIMLVGGSLFLAGALVNAAAAHVAMLITGRMLLGLGLGFSGQATPVYLAEVSPPRWRGGFISAFPLFISVGYLAANLVNYGTSRIPEWGWRLSLGLAAVPAAVMVAGAALIPDTPSSLVLRGRHDAARAALQRLRGKGVDVGAEFRDILAAAENDRRNEEGAFRRLLQRREYRPYLVMAVAFPAFLNLTGVAVTAFFSPILFRTVGFESDAALMGAVILGVMNIGGILASGFAMDRYGRKLLFVIGGALMFTCQARKCKDFTFFQFLSTNILRTMLITKKITWSVQVAMAIIIGTHLGNGSKMAKSYAVAVLVLTFIFSASFSWSWGALYWTVPGEIYPVEVRSAGQGAAVALNLGLNFVQAQWFLAMLCAFKYGAFLFYASWLVVMTAFAVALVPETKGVPLEAMGGVFARHWYWGRFVKDQQQAYGDEST >Et_8A_058392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6485773:6490824:-1 gene:Et_8A_058392 transcript:Et_8A_058392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFPGVVVKPVGSFRNTNEQNYAILAGNVNVLKLIQLGLVLSDASGAFPPCGTGGRACIWQFNFRGFDPRSDISNADSIDLLRRSGIDFDRFAAEGVDTTRFAELMMSSGVLLNAEVQWITFHSGHDFGYLLRVLTGQNMPETLEGFLELTKTFFPVLYDVKHIMKYCANGSLYGGLSKLGEKLDVKRIGTGHQAGSDALLTLECFNKLKELYLQESVKKYAEWSHIVGILDISTPFT >Et_10A_000947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19407510:19409119:-1 gene:Et_10A_000947 transcript:Et_10A_000947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQNGEKQAVVAPMEVSVEAGNAGDAEWRDDDGRPRRTGTVWTASSHIITAVIGSGVLSLAWAIAQLGWVAGPAAMLLFAFVTYYTATLLAECYRTGDPETGKRNYTYMDAVRSNLGGAKVTFCGVIQYANLVGIAIGYTIASAISMRAVRRAGCYHKEGHGVPCKSSSNPYMILFGAVQVLFSQIPDFDQIWWLSIVAAVMSFTYSSIGLSLGIAQTISNGGFKGSLTGLSIGAGVTSTQKIWRSLQAFGDIAFAYSFANILIEIQDTIKAPPPSEAKVMSKAARLSVATTTIFYMLCGCMGYAAFGDAAPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFCQPIFAFVERRANAAWPNSAFIARELRVGPFSLSVFRLTWRSAFVCLTTVVAMLLPFFGNVVGLLGAVSFWPLTVYFPVEMYIKQRKVPRGSTKWICLKMLSAGCLVVSLAAAAGSIADVIDALKVYRPFSG >Et_8A_056132.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23836880:23837569:1 gene:Et_8A_056132 transcript:Et_8A_056132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTSSSVRQRQASSVQQPEQQQQVKAAEEEEQDQLLPKQQQESSPARSPLYQALTSTANLANLLPTGTLLAFNLLAPTFTNHGACDATTAMLTRGLLAVLALSCVLASFTDSLKAPDGRVYYGVATPRGLWLLDYPPSGGATPPPPDTARYRLAFVDFVHAALSVAVFGVVAARDKNVVRCFCPAPAKETEEVLDILPLGVGVLCSLLFVAFPTRRHGIGYPVTNGNQ >Et_2A_018789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7602836:7603853:-1 gene:Et_2A_018789 transcript:Et_2A_018789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIVRMVLGDEWSPGCGGGRSSEQGDKAFQRLLKESFTVTGLLGNVGEWVPWLGWLDVQGWVRRMKRVHVLFDQFSEQILSEHHRKKDSAGAAGTMDDKGLVDVLLQLAEDGRLTRDGVKAFLLDIIGGGTDTAAATMEWAVLELLRHPGAAEPDRVGGRGRWIAESDLPGLPYVKAVVKETMRLHTVAPLLIPHHAREDAVVAGGRLRRPRRGARAGQHPDAFRPDRFLAGGSGEGMDVRGTHFRLLPFGSGRRMCPTYNLAMKEVVATVANLVHGFSWRLPDGVAPEDLSMEEFFGLNVCRKEPLLAVPEPRLPAHLYAALD >Et_3B_031079.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:14316737:14318383:1 gene:Et_3B_031079 transcript:Et_3B_031079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRLRRLPAPALPSDAAAAAADIAAANALLSILRGPDPAQLLAASITPTPALLQHLRPAVPALPESALPALARLAGAATAVSLLASRGLFAAAWRLLLSSSSPAPPLAAFAPLLRRYARLGRATAALRAFRFLRRHPDRYTVDEDGEGFSSAATVSPLILTVDALCKEGHPRAAVQLVEQLRREDPGWAPDVLTYNVLLNGWSRKRCLDKVEKLWAAMRDAGVRPTVVSYGTLIDALCVMRRPDQAMGILDQMREEGIQANLLTCNPIVYALAQAGRLGDAHKVLEKFPLYGVAPNISTFNSLILGYCKQGDLAGASGVLKAMLGRGISPTARTYNYFFMIFAKNRNVELGMNLYNKMVNNGYEPDRLTYHLLIKLLCESNRLELTLQMIQEMRSSGFEPDLATSTMLIHLLCRRQQFEEAFAEFELMFERGIVPQYITYRMLMKELKRLGLVELVQKLTDLMRSVPHSTKLPGSYRDKEGDDAIEKKKSILQKAQAVSDVLKECKDPKKLKLQSNEKTDVEAADRIVTSIRRRVYGDGCSVRQALS >Et_8A_056741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16144234:16147652:1 gene:Et_8A_056741 transcript:Et_8A_056741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTITVTSPAAPVSQMPVAEPDGHSRRQYAPSLWGNFFLTHEPFTQKELLSMNEKAQAMKEQVRRILLDTAASDDMARKLDLVDALQRLGVGYHYRKEIDDVLCAVYDDKHGGSDDLYVTSLKFYLLRKHGYAVSSDVFLKFRDEEGNISSDNVNVVIMLYDAAHLRTPGEDILDNIILFNKSRLEYVARTNLETEEVEEARFTLETPRFRRVERVEARRFISVYEKKVERDDTILKFAKVDFNIVQAVYCTELKELTIWWEDFKSRTDMRFARDRMVEMYFWMMGLVYEPFYSYARILLTKVVLFYALLDDVYDNYSTTEEIMMFTAAMERWDDKAPEQLPENLRAFYNNLISNVNEIMEKLKIQKNENAEAVRKLVFHVAKSYHGEVEWRDENYVPASVEEHLQISMPSIVAMQTGNLALVSLGDVTPMEAVEWAFNFPKIVRAVAIVARISNDIMSHEREQASEHMVSTVQTCMKQYGVTAEEAIEKLRVYVEKAWMEIVQGCLDQDYSIVLLEKLVNCARTIDFIYKREDAYTCSSNLKDTITSLVPLNLVVLCH >Et_4B_038329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28139992:28140921:1 gene:Et_4B_038329 transcript:Et_4B_038329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPIYVRTRPCREHNNIQVFRGEAWEVARINMIARMRIRVSMEGTLVDTGNTLQDTLLLPVHIHPDKDTLPHLVGTHLQVDTLNLADIRHKVHTLLGRILQQDILVIRQLVTLVTVLRCKLLLMAMVLCTEEAMGQAAPLQATVP >Et_2B_019149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14111949:14113246:1 gene:Et_2B_019149 transcript:Et_2B_019149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPFLVLLLLLLRQRAASSQHERDAAALRDLRASLRDLPGSSFFDSWDDVGRSPCTYAGVVCAADPVSVLTLGTGLADSPGLAGTLPASLASLSALTDLVLYPGRVEGAIPQDIGSGLRRLRLLSLSGNRLTGRVPDSLAGLPDLHTLDLGNNRLDGAVPAGLLLPSSPSLKVLILANNGGLSALPRRPQPERHHRRAPAAAAHAPLLLRRGERHDRHPRRRIRLRRRRLRRPPGRPGVPRPLHEQLLGPRPGGRVRAAERLVAAPLPERLRRAPRRPLRAPRVRGLGRRRRQPQRHLRRAPGGAGGGGEPLRQQQPHVRRGAPRRGAQRLRRPHDHLLRAAQLPDGLPGAADAAAGLRRALPLLQLHGPPVRRRRRRVPHHRRATGGQAGRSVPERRRQQRRGRLTD >Et_5A_040131.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:14133652:14135678:-1 gene:Et_5A_040131 transcript:Et_5A_040131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GPGWVNVRAWFALSRKLRKARERLRQLSAAKEMYGIRLAADGPALPVATTSSQIIADNAHFVKKEEIIGFDWHAKQLLEWVAKDTEPRRTLVAVCGMGGVGKTTLITRVYKEVVNSHFECAAWVAVSQGFTVDDLLRKILKELRRESRAYGESVTDKDYRWLMCAVQGHLYKRRYLIVLDDVWDAHLWGKLLHLAFPDDATGSRVVITTRSRVVAKAAMLERTMMLEPLQWPEAWTLFCNITFRKVHGRTCPSHLEELATDMLKKCHGLPLAIQSVGNLLALKERTEFVWRKARDSLVWDKNSSDLGIGEAASILNLNIDDLSHHLKKCFLSCSVYPEDMWIKRKMLIRKWVAQGFVEDSPGHCTTEDVADEYIEQLVQRNLMHPVSRNEFGRAQRCLIHDLMRELIIHRSREEEGFCQFAKCKATIDCNVRIRHLAVDRCQELDCQHVPGMPALRSFNAFGSELHASLLSHFRLLTVLNLWFIEMKDSIIGLR >Et_4A_035865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7519832:7522606:-1 gene:Et_4A_035865 transcript:Et_4A_035865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAVAGKLAAALHGRTHRVTSALAHAALEWVLIALLLINGVLAHAIARFADYFGLAPPCLLCSRVDRLFDGGAPLRDALCDAHAAEISALGYCLAHRRLADAAGMCEGCLSSWKEMMIRDAVEKGAAAACSCCEQAVVRTSLRELQDMREDHVEEKITEEKEEEEEEDHGYAPLAQEEHDEEEELQDEVECPLQQDEVQEQGGEQEDEKAVAVQDGSLEVMAQCEEIASEDERLVPVVALDEMTIADESGLHRDVQEEEDGMALADFEQDSRDVDIGVVLEEKRMLNSAVATPANVIEDYVVPVSPIPRPEIVTSPSDPDESFIPRDEDTVQIEDSTAEEEHIVVVPQEAVQEDDNNRAVEVDTNCEVSIGSEICEHESAALEDPSAPTAAPDDQSSPFDSLHDASATEQEATEAEQQEVTDTSRQDHLPEEQNEADEDRAPETPTYGVATQRADRMFLLERKRSLSLSLDGSVASETDGAVEPSSVDQLKSALHAERRALSALYSELEEERNAAAVATNQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELVTKREREKQELERELELCRQKLQHYEDRERRRMAAGFKASGVSASPSGSGTSVSSGSDDSDEVASDEDCELDESPDGGNLQSSSDAARSSSTGQDRTGHLVALDDSLTYFEMERLSILEELKTLEERLFTLEDDDISITNAAAARSSNDFDLSADVLRSPDNGFTGDKANVGVGRRTSICRGKSLLPLFDAVGDENCDQLPSAGVGEAEADNSTTKSASVQEKEQERLAIIEEVDHVYERLQALEADKEFLRHCIKSLKKGDKGMNLLQEILQHLRELRNVDLQVKNAGDVFAANSA >Et_4B_037633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2201742:2222083:1 gene:Et_4B_037633 transcript:Et_4B_037633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAASAAALEAVQVLVASLADDSPVARTAALAALREIAPLNPLLVLDCCATVSRGGRRRFGNMAGVFLVMASAVRALDRWDAEREFLRKIAKSATAEIVSSKEYDADWQRAAASLLVAIGSHDPDLMMEEIFLYFSGPTSALPAMLQILADFASAEALQFTPRLKDVLLRVLPILGSVRDGQRPVFANAFKCWCQAAWQYLGDAPSELPFDADVMSFMNSVFELLIKVWTGSRDLKVRLSSVEALGEMVGLVTRSQLKSALPRIIPTMLDLCRKDQEVAFVACHSLHNLLNASLLSESGPPLLDFEELTAILVSLLPLASVNAGKDEHYVSKGLKTYNELQHCFLVIGLAYPEDLCMFLLSKCKSKDEASIIGALSTIKHLLPRLLESWHTKQTLLVEIVKSLLEDQSLGIRMALAELIVVMASHCYLSGQPAELAIEFLVRHSALTDDDLNDLDTLKNEYFQDKRFEMKISLAGLSELRAVCEKGLLLLAITIPEMELVLWPFVLKLIIPKKYTGAVATVCKCITELCRHKLSQTNPLYAEVNASEIPNPEDLFARLVVLLHNPLARGQLATHILTVLCYLGPLFPRNLSLFWQDEVPKMKAYISDPEDLKQDSTYQEIWDNMIINFLAESLDVVNDSEWVISLGDAFARQYDLYDKSDGHSALLHRCLGMLLQKVDDRIYVREKIDWMCRHSSMSIPINRLGLAQGIGLVAASHLDTVLEKLKSILDNAGQSALQRFLSFFSFKAKVEDVDDTYAALALMYGYAARYAPSTVIEARINALVGTNMLGRFLHVQHPTAKQAVITAIDLLGQAVISAAEMGISFPLKRRDQLLEYVLTLMGRDQSNDLTDFSTELLHTQSLALSACTTLVSLEPRLPMETRNRVMKATLGFFALPTEPSSIVGSLITNLIILLGAILLTSGEDGRSRAEQLLHILRQLDPYVSSSVEHQRRRGCVAVQEIIALFFNISLSLPKQKAYANDIDLESSYSALSSLEDIVSIIRREASVDQTEVFQRVVSSVCILLSKDELVVLLHSCTLATCDKVKQSADASIQAIIMFITRRGKELREADVSRTTQSLLSSAVSLTDKHSRQEVLNAISCLAENTNHIVVFDEVLSVAGRDISTKDLPRIHGGWAIQDVFYAFSQHSVLALRFLEYTLFILHKEPVALNDSENGETTSESSADDCILQATMFALNAFMRGGGKIGKQAVEQSYPTVLSGLILKLGSLHGLAELGRNKLLRSLLIAFQSFCDCVGDVEMGKILARDGEQTEKEKWIELVQEVACSSSVKRPKEVLPTCTILSKALNRNQRAEREAAAAALAEFIRHSEEEPTLLEQMVEELCQHVSDDSSTVRSLCLRGLVQIPESHMHKYIQQVLGVILALLEDANESVQLTAVQCLLTIHATLPRLILHLHDDDLSVRLACRNTFQLLAPLMEVDGLSLLLSKQYFTSDRRSDYEDFIKDLTRQLCRLSPARVDSYLESAIQAFDAPWPVIRANAVFATLVGRMSQSPDAIVRAAASSALGILIKRSNMLSSLTSRFDRADSSRNSQHADSNIETPSEPQEEAVVSRNDAHIEQ >Et_10B_003389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19367350:19370549:-1 gene:Et_10B_003389 transcript:Et_10B_003389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGVSVLRSRAFLAPPPRFLSRLPRLRAVSFSSLPPPPPEMEESYKFGPYKIDAREVFHSTPLSYAMVNLRPLLPVKRFVDLSSDETSDLWITAKEVGARLEQYHKASSLTFAIQDGPQAGQTVPHVHIHVIPRRTGDFEKNDEIYDAIDMKEKELKEKLDLDIERKDRTMEEMAHEANEYRALFSQKFPPEFDRILKKEGQFGVAFAG >Et_3A_024305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19357073:19362575:1 gene:Et_3A_024305 transcript:Et_3A_024305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAQMLEDMRVLVDNSCSRDVVLSLLSSEGCVSADATVMVESNEESNGWCFHGAIDLLQSDPFEVLRIVLTSLPAPPFPAAVGSRSSSSGVVVGGGGGDHISSLPDAVLSNIVSRLPVKEAARTAALSRRWRRVWASTPLVLDDTDLVDHPADGGAAGPVTVPVDWRAVTYAVGRILSGHPGPYRCVSLTCCCMLPVEATGALARWLRRLAAAGVQDLALVSRPWPLDVDLPVDVFRIASLRSLYLGFWDFPDTDGLPRGPDVFPHLLEIGLCHMFIGPAGVDYLLACSPVLEKLAFVVIYLPTAQVRVRSRSLQCVVFWMSLAGEVAVIVAPCLERLILWQTFSRSYYPTRVRIGYATKLKVLGYLEPSIHQLVIGGTVIESGTKMSPSTMVPSVKILAIKVRFGVRKEAKMLPTFLRCFPNVETLHVMSHEADAPAGKLNFKFWQEVGPIECLETHATKVVFDKFRGERSELAFLKFILERARSLLKLVIVLANADPASADEMVTKLKPLGAANRASECPTLLIIARERDSAWCIQRASDLSQPEFRKPHNWILQTNHFQKLPVPIRIHARMDIAQTQQAI >Et_5B_044431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:24053411:24054045:1 gene:Et_5B_044431 transcript:Et_5B_044431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSTMCRDSTNVADDHEAKEPIIMPPSGDADVHDEHVDECKGTGVVLDETKRSKEESMLEVTDPSPSDGEEEGKTFQSSEEEEEEEEEEEEEEEEEEEEEEEEEEEEAIKKDDGGARPECVVFSVGKLKVNGIGALCSFGLAATTVCIFQIGGKLPHHQQRRQRQKIQLQFYGDDK >Et_10A_000076.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22114686:22116160:1 gene:Et_10A_000076 transcript:Et_10A_000076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMMTMTDVLVYIGQGGNSRHKEKHDQKLERGNLALMNSMKKKNLIRVVRSAQDPFCNSSKIYIYDGLSRIEDSWMDKAKNGFSVFKYKLKREPGQLDGISVWKMTEKWKENPATRDKVILRDLSSKIENLPVCLVNDVDDEEGPRYFNYVTGVKYLRPLSKKRTLQSCNCPSVCMPGDANCSCVQLNGGELPYSSSGLLVKHVPMIYECSSNCQCSQHCRNRVTQKGVYLNFEVFWTGDRGWGLRSWDPIRAGTFICEYAGEVIDEKKIEHG >Et_10A_001705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7022455:7023725:-1 gene:Et_10A_001705 transcript:Et_10A_001705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYIKKHKWPCSPSQPLHVAPSNLGTLYCIMALTPVNTSQPLLDAQLELWQSTFGYFKSVALKCALDLHIADAIHHHGGGGATLPQIVDHITIHPSKIPCLRRLMRVLTTTGIFSTLPQQHLSANDGNVDEEPIYVLTPVSRLLVGPQNLCPINAMIIHPMLAFSYFELGTWFQRELPDPCIFKHAHGEALWERAGRDAAFNALVNDGMVSDSRFMMDIVVKECGEVFRGISSLLDVAGGLGAAAQGISKAFPGVKCSVLDLGHVIANAPSDTDVYYIAGDMFESIPPANVMFFKWVFHDWGHEDCVKILKNCRKVLPPREAGGKVIIMDIVLGADPLDSKRREMQVLFDLYVMLVNGIERDEQEWKKIFLEAGFSDYKITPVLGIRSII >Et_4B_036534.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28541618:28543078:1 gene:Et_4B_036534 transcript:Et_4B_036534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFHRRPSEASFCPPTMPEPADDAASLQRPWVLVDNKAYIAKRENATTARAPSSGGHKVQVSFCLADPPALSYLCVHCPGPEGHRRDGGFSSEPLVAAAEGAFVLISVRFNFGPRPFCYDSGTREYFVYRAGPGKPWLGSLPQYIASDIKPCQFGILPIGSDDGKRGFVVAALVHMIKPGHVPVPGEPLELVRFSSAMRRWHAVAPRLDPSCGSDVKVWSHETGKVIVLGGGFMGWVDLWRGILVCNVLDEHPEVSFIPLPKPTCPKVWKSYSGLIRDVTSCNNLLSFVEIQSPETPYDHRPREYPSISDSDLDAAAAGLSPRDWRATKWERMLFNSDGCWRKDCSVGSADTLVGDPGCYLVLPQLRDANTGNLTLENLSIASPVLTKHDDGVVYMVPTLKNTNAQESWVAAFDLRKNALKALAPWPARRTFCVDAACCTPCSFSKYFDRVPGKHYETLEELYGFVLFCMRCCKTCAAAGISSP >Et_5A_042850.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:5489457:5490134:-1 gene:Et_5A_042850 transcript:Et_5A_042850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDALDASTKPPVPPRVDSSWARGGRRSKRRAGSADGQQSEEEYLALCLLMLSRGVREHGGVARKAASQQQQGYECSVCGKVYASYQALGGHKTSHRKPPTPPTPQPPPAAGDEAPSGGGNSPHAEEAKVHQCSLCLRTFPSGQALGGHKRLHYEGGAAADGGVKDKAEPPVNKAKPGAAAAVVLRDFDLNLPAAAAAAAMGEDQAEVVAPPEAKRARTMLLVV >Et_4B_036627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:154358:157422:-1 gene:Et_4B_036627 transcript:Et_4B_036627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLNCPPPSPEPAAQDDLNRSMLLQQDTFRHQVKDLHRLYWAPNNLMDVPPFWKQSDGVLYAAHRPRHPSHMVNFDDTGVFSRCYRQGKYGFQHDHYGVAGNNLDVKGPIRRQPDPPIAQGMRSGYRCVIDLEKPATLDDDVEIVSSQHFINYASCNGVSLDSSPVVGHLCRDLVTPYASYGSSGYSDTSYSHSPVRTKTAVSGHMLFDLNVAQENDCNIFPGPSKAPCSLLDSSATRSTGGCCNNLNKTFHQGSESSIGSSKGSSVTVGTAFSASDGAREVMAAGLFCDSRSSMPFSVKTSKYNALLKGNMDHQQTLDKNSGLDAEGCMEIPQISSVTCNGGNNSSSGIYKLGDNQAANLTGQVPVAGHREPQEDIITVISDTEMEVFDLNVAVESIDLPSKAAGDCRGKHVNNTGSEENSSNHYFTQNQGQRNISLVECLTSTSHHLTQADEDVQSPASEIAINRSVLIPKTPQGRDSACPRLRSSSNRVVIQLETVCIHQAELGEDERSVAKAAETLVSIFAANSACATDSHGSNSQTDAHDGNHKPLISLNSFEENVLGLEELKDDGESIPVRPPDKDGPSCGIKLKRGRGLRDFQREILPGLVTLARHEICDDLHAIGYEIRKTRSRRGFGDQGTPPTRTRLPRRCSIA >Et_7B_054578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2486508:2489170:-1 gene:Et_7B_054578 transcript:Et_7B_054578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAPAPAMAAFLMAPRLELKDLELEQAADEEQVSNSDGRRRARTRKRKRTGTASFGIFRGPQFQLYVVSHKQITPGSYVSMFLEATLSIQLFFSVARLSYDIAVALTCLMFASSSSMLAFEIRARSWHPEHAADTSRLPCWDWQLTSLQYSCFAYWRLCTPRRTLKTEDTASSLQQLTDVYEWHYLESDTGIIMKFEKMKNQKFEKQL >Et_5B_045616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5484533:5487813:-1 gene:Et_5B_045616 transcript:Et_5B_045616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNAVASLMVRVHLHHLPRAAPAPTYRRLLPVPRLRSTASASVPAAAMSTAAAQAVADQKRALRAEVRRALKALSPDQRASEGQEEQTKDLYVPRVEDKNRNMRMLKITTMDDLVKNSMNILEPSPVDANGNDREDGMKGKLSIKLAGDLVVVEVALSYSVQILEDGSIPINSTDVPIDALVTSSGIIPISPSAVERMP >Et_9B_065728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9790480:9791208:1 gene:Et_9B_065728 transcript:Et_9B_065728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGHKAAICQLGRGGTDGSVENFSARNPSAFEKNQKKKEPRSPKERKDEGGRRICSVVEVDPSREDPQLLDTIRYTLLVFLACQPSGEMGQEPAVVVLVAKRLDLLHEEGKDARAPDVRATC >Et_1B_010910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15310064:15313363:1 gene:Et_1B_010910 transcript:Et_1B_010910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLVALGFIVLLSMGLANAARIARYSSSSGTGSGEGGGGAYVNGGGSGSGSGTGSGQSSANGAYASAGGGGGGGGSSYNGGSAYGSGSGSGSGSSQYSQGPYSYGGSSSAGGNGGGGGGGQAGGYYGSSGHGSGSGIGSGSSEAARYWPGYSGYANANANGHGGGSGTGQSGGSGGGNGAGSVNYKKIKVASAMSTVVRGAELFCDQWPLV >Et_4B_036536.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28682472:28682867:1 gene:Et_4B_036536 transcript:Et_4B_036536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQVAAAMGPARPAGVAAAWPYVEYMARWERQVERRQLFLRSYHFSRDADAPRSPRARARRVVWAGLRRLRRAAATGLRRLRARLRLCFGWATRRRSNSARRAGGGFRYGRLSGAGSKARTTTAASVCFW >Et_3A_025890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33686832:33694254:-1 gene:Et_3A_025890 transcript:Et_3A_025890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASSDLGGIRAGPVERDIEQAIFQRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLISRSHQRKWRTESRSDILSSGATSPRTYTRRSSPLSSPFSSNDSVHKDGSENYRLRTPYGSPPKNGLEKAFSDVMLYAAPPKGFFPSDSNAASVHSMSSGHSDNTNGHGRGIPMDAFRVSYSSAVSSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGGSRVGSSSGAKMDCLVPKPLEFAVRLDVQNISCGGRHAALVTKQGEIYSWGEESGGRLGHGVDCDVSQPKLIDTLSHMNIELVACGEYHTCAVTLSGDLYTWGDGAFKFGLLGHGNDVSHWVPKRVNGPLEGIHVSSISCGPWHTALVTSAGQLFTFGDGSFGVLGHGDRESTTIPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKIFTWGDGDKGRLGHGDKEPKLVPTCVAALVEPNFCRVACGHCLTVALTTSGHVYTMGSAVYGQLGNPQADGMLPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNTPTLVEALKDKQVRSVVCGINFTAAICIHKWVSGVDQSMCSGCRQPFNLRRKRHNCYNCALVFCHSCSSKKSMKASLAPNPNKPYRVCDSCYNKLTKGLEADMHSSAKRGATVQGFNDTLEEELETRSNAQLSRLSSMESFKHVDSRYSKKNKKFEFNSTRVSPVPNGSSHWSGLNISRSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRASPPRSTTPTPTLGGLTSPRVVANDGKPTNEAQSQEVLNLRSQVESLTRKSQLLEAELERNTKQLKEAISIAGEETAKCKAAKEVIKSLTAQLKVMAERLPGGAAKNTKLPPLPGISIPSDISSLATESLGSPSSAGEQTTNSYNGMLASNGPSSIRSKTESCQEAEWVEQDEPGVYITLTALPGGARDLKRVRFSRKRFSETQAEQWWQENRARVYQQYNVRVVDKSTASVDNDIAAH >Et_3B_029590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26251246:26252974:1 gene:Et_3B_029590 transcript:Et_3B_029590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVFLACLVLISFAMAVAAARPATATADAPSSGDCDQDLQDLIANCQDYVKFPAEPKIPPSQACCAVIQRANIPCLCSKVTPTIEGIICMEKVVYVASYCKRPLQPGSNCGSKFSGTTPLLTLFAHRGLPSAWACLRSIRLSKHGAVDRPPGTLPSKASLRAPRAARKPRHGNGPRPRVRHPRAHAAPEAPTAQPPAPALLPSVAVPCPRPLQGRRSTPACVHARRFI >Et_4B_037624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21942527:21945633:1 gene:Et_4B_037624 transcript:Et_4B_037624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCERETAFKYAPRLALLPHHFSSPRSHLQSQRTLSIPGLLGLRPPFPGQRTLDFAAASAMGMGPLENAASAVESAAAVEAAVAIAGAGSYALLQCGEDSEYVRKAYGGYFEVFRALLAEDGERWRVFRAVRGELPTDAEAASFDGFVISGSCSDAHADEPWILALVDLIRRLLAAGKRVLGVCFGHQILCRALGGRTGRSSKGWDIGVNCIHPTAAAARLFAPLKLPVHMPVIEFHQDEVWELPPKAEVLARSDKTGVEMFRLGDRAMGVQGHPEYSKDILMSIADRLLRRDLILDAQVEVAKASFDVRQPDRDLWKKVCRGFLKGRLPSQQPQQKQQVAVVL >Et_4A_033172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19513018:19517770:-1 gene:Et_4A_033172 transcript:Et_4A_033172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARRRRRGATSAAADAADESVPSTAAGLLAHAADLIPAAAAALKAPPQLKQLVHSLPPSHLLLLSLPQALALAISTDPGAASSSDAPPPVPTPPRAAAVLLHLLLTHPSLPPRWDDLLRPLALLHDRLSLLATADPPLAALAVACFELAWRADAPGRDALVAQTLPYLVAQALTAGSRARPVLRRLFALRDALPLLDYADESISDFKMLLLRCFVSPLFLKAEEGRKFLALVLGVSEGIAREGLELIKAQVGMTGVKRAAVVAYGEVVFRAWKDGVWVRGEVGEGFLQGMVEASVHAASKEVAKAARKILSAFVEQRAVAGVEKLVFGLAEPVLFRSLQVASSNVRHNALHLLLDLFPLEDPDVTKDVNDPLLEKQFFLLDKLLMDDCPEIRTVAVEGICRILNQYWEVVPSPTISKFLRKIVDDMSKDSCNEVRLSTVNGLIFLLDNPQSHDILKVLLPRLGDMVSDSSLSVRVAAVDLLLAIRDLRSFQYSKVIGLDTLLSSLANDHPRIAQKITKLLIPSYFPSKLTPKEACARCIALIKRAPTAGARFCEFALSEGSSPKSVVELIKFSITLALSQTGINSEQINGLIIASVNLIKSLSDERSSLSALQEFFAKEKLKSVLKTGVSEGARAALLSIAPVVLPDDLSVLHEECMDIVVNVAMISNQEDCKETALAAHKLIALNGWSDELFEALTNILQSKASRFAEIYGLEPPMCPVASSRRKKGKSLKKTPASDHIAGKGSSKSKIGNDELAIASGAAWQINEILKDEEMRDTFLQSSYSEIVFSSLKVISTVYVEQCLYLHSLDLAPVLAYLSLATNSVLPDADQTGISCSESSTANQALEHLLNSFDKLLHGPVRNPLSKLNQKGKASRQKQNQEGTSEGNAVKGTVNVIMLGTSILQFIVDTTAIKLGYGDKVRCLKFASSYTKYSVSSIKKHQEQSSSFKGDDLKDALMLIRSSFTYAAKLLHLVLGSSTELSNPPEEAFFLANYLLDLAPSIEASVGSRFAFSFVSALKQWLPVLVLGLVCRWLIGPQNEMATDVCHFGDSGLPLWVTAIAKNEVLDAEEHGQDEQSEQADGREDSPSSRKLAEMMVMLLKKGSPRILDSVGGVLLSTLQWALQTSEYDIVLGVTHFVCARLLGNNSSELEKLQLTRDFLRENFFEIDRNVRDELVDEDSKEQLERAKALIRSVLTDV >Et_2A_015062.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28458550:28458621:1 gene:Et_2A_015062 transcript:Et_2A_015062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPHLLILLLEDQLRSFPSASL >Et_3A_024410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20505521:20511152:-1 gene:Et_3A_024410 transcript:Et_3A_024410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSESSGAARFPQPLLVLKMQSGRNEGFGDALRRLKLDVLGTDDSPSPSPGRSVDPLRFRATTAGLPSTPILHFPDTIGVRGASAAIRVGLLMGVAGVTSYYTPCQHRKISSYLRLCAESLVPPSNNSYGSRNACPVPGTLINTNNMRGFQNLDRDLLLKAEAKKILHDIISGKVEENPSLLLRFLVISFADLKNWKVYYNVAFPSLIFNSKMTLVSLQSASKVLNEEEATSLHNSLLEWRASNTKTTVVAMDYFSAVPFFLISMSSNSFASVRQLKEWNDCQGNCQKLLFGYYDHGCKGDRVKLDFPGWALRNYIAFLNIRWKIEKVQFFCYRERRGKPDLEHSLIGEASFPPPDGWDDPDYVPEAIGWEGENPGKATKEIKPKEINLESLNPVSQDNEKQLMHLKLMGWRHFPVNIDKLSRVRVLLLGAGTLGCEVARLLMTWGVRKLTVVDSGYVAAPDLVKQSLYIDKDCGLPRATAIIPRLKERCPAVEVEGIKMEISMPGHPVSPSNTASVLDECKHLQTLVASNDAVFLLTDTWESKWLPTLFCADQNKIAITAVLGHDNYLVMRHGAGLGVMDEVIARMDDLNTTKDALERQRLGCFTNGILDQHATSLPGLTSIASGKAVELFARMIHHPDEIYAPGDIAGMDTEHQLGLLPHQLRGSLPKCVLSTELGDSSSNCAACSSAVLSEYRRKGLDFVMQAINHPTYLKDLTGVIDLKRPDDYPKMPAIIPVNSEKFSDVRCLLLGAGTLGCDVARILMDCGVRKLTVVDSGDVVVSNLARQSLYTSDDRGAPKAIAILNHLSERCPSVDAQGIQMEIPIPGHPVSPSEAACVLEDCKRLKELVASHDAIFLLTDTRESRWLPTLLCTDENKIAITAALGYDSYLVMRHGSGPGMNCEGSNVDAAMDKLSTRDALGRQRLGCYFCNDVVAPVDSVSNRTLDQQCTVTRPGLASIASGRAADLFTRMLNHPDGIHAPGDIAGTSGEHMLGLLPHQIRGSLSQYNLLTLLGYSSSNCIACSNAVLSEYRRRGMDFVMQVINEPTYLEDLTGLTDLMKAAAYSHVEWVDESDDEFAEI >Et_7A_052244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:630050:633573:-1 gene:Et_7A_052244 transcript:Et_7A_052244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGDGAMAAADGGIGAGEGNPNPSRRFFVALHVGAGFHSPANEKAYRRAMKRACLAAAAILREDSGTSLDAVAAAIQVLEDDPVTNAGRGSNLTESGHVECDASIMDGSSGSFGAVGAIRGVKNPIQVALQLAKEQMIGSSVLGRIPPMFLVGEGAYQWAKSKGMDILGSTSEASNWLVTENARAQWVKYISLLADTKKSSKQGTASVSGHESIQLETETPGSEAENSADVKKIFTESFTEDNEDCVMDTVGVVCLDSNGNVASGASSGGIALKVNGRVGLAAMYGSGCWASSKGPFGTPFIVSCCATGAGEHLVRGFAARECCISSSLSQFGPASACTKVLRSAVQSSSEMSHDTGAGLLLVQADVLKGGNLSILEAVELVAAYSSPSFGVAYFGSSMNHPKASMLRTPKDASNIINHFATRIKFDTKSFEQ >Et_3A_026074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4014847:4018162:1 gene:Et_3A_026074 transcript:Et_3A_026074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQRTTAAARLGGPITRRHAELLLQSGVDCGGGGVCVKDLRIRRVVPPASASLNSSPDCAAAEKPGPVESTPPESVSAAAAAAAATAAEDLERRPVLPRSKLVRHPGSFGYRRLLPFLNQMAKNANDLKRSRIWLVGESADGGCRESDPLEPAEQVVLKTGGGLEMKDGCAFVEEETNAVTPDLGGSKLWLTRCARSKFVHQQSSFSYKRMLPFLMENEISSQEGERVKFCRVAEERQLTPEENDVSVSGKHHLVASEDSSGECKSAQVEDMEEGKEEKASNADVNHVLEDQQLQPSAPKVSSPECCAAEVQNVTKEEASTLNQDQLTSFEDESTSDGIDVLAGGQHQLPVTEDSPEECSRCVVKTKVQDTGLKSDGSYVVEPTVSEDNHLEESTSEGQKAREEQPLPSDGDEGSTLTSEKGEFRAKEQPQLCDRMELLTVQVEDTADFPLLQCQSSDLGCPDVGFGSPTKAAIPLLKQCSLEHQDAAASLDDQHLDAVMICRTSDPCAVDRVLSVEEMAGSILCPESGLIQRPSEANSLIKQGPSPKRLSPRKGILKRHTRGCKGICMCLDCSMYRLRADRAFEFSRKQMHEASDIIGNLLKEVADLRSLVEKPAGQQELTQAACERAFRVEEVARDRCRQMFIDLNSHCRIPGPRVRFAQYVEEKLGSSPPSIRRAEEKLGSSPPSIRREDKLGSSPRSSSGKRRCLKS >Et_1B_010532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11344377:11350240:1 gene:Et_1B_010532 transcript:Et_1B_010532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EFQFFFHTALDTLAESITEFSAPCPWWQCCLHQCGILFPDSRKWMCMLLKQLASTTMAADGKREGSAVAVASPSSVAMARGTRGGNPLEELTARVKAIEAVFRSWMAKQPIHIEAAVTTAVGAVQGGALGGLMGSLTADGGSPFPMPQPPPNANPQAMASLKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGAEDIQGSMAAAFGSGALFSIVSGMGTPNPVANAITTGVAFAVFQGGFFMIGQKFSKPQAEDTYYSRTRSMLHQLGLQKYEKNFKKGLLTDQTLPLLTDSALRDVKIPPGPRLLILDQIKRFGVYWTKILWDPELAQSKNFDDLFVQMAQARLPLSIYVHPYRVTGLELAKENRFADHILNQIRSFIASDTSKPMPRAKSLPFTSFRIISRIASICKYVKEGIIINFFTNISEFLFNSRGPNTTISTVMLNQM >Et_2B_021403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29388339:29395085:-1 gene:Et_2B_021403 transcript:Et_2B_021403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFIYFDYKAVQKRVRWVSTVKKNAIWMKTHERNARRVLNLMIELEGLWVKLGQYLSTRADVLPEPYINVLKQLQDSLPPRPFEEVRGTIEKELGKPMSDLFTDFVVDPLATASIAQVHRATLVDGRDVVVKIQHDGVKEIILEDLKNAKSLIEWIAWAEPQYDFNPMIDEWCKEAPKELDFNHEAENTRAVSRNLSRKTESASASVSSAVDVLIPEVFQSTDKVLILQYMDGIRLNDHDSLEAYGVDKQKIVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHKPILLDFGLTKRISKSMRQALAKMFLSCAEGDHVALLSAFAEMGLKLRVDMPQQAMEIATIFFRQSTTSSEAKENIKALNDQRERNLKALQEKTKWNKKEVQHFNPVDAFPGDAIIFMRVLNLLRGLSASLNVCAYKDGKVIIDTAAGMLGKYDPRPVQPDSLFPVFSVTKGITAGMIHWLVNKGKLKYEDTVANIWPKFGTNRKELIKVHHILNHTSGLHNALGDLMKEDPLLVCDWEETLNKIATCTPESEPGSAQIYHYLSFGWLCGGVIEHASGKKFQEVLEEAIVHPLHLDGELYIGIPPGVESRLAALTVDTDELQKLSGRTGPEVPSSLLNNVAQMATGIPVLFNTLNVRRAIIPAANGHCSARALARYYAALATGGFIPPPHTANSKPSLGSHVHTPKFPTTPPKKKCAAKKGGSSTQSVQDASQNDKKGYSQLRTSDVDDEAVATAAGGGVSRIFSNDKILDAFMGVGEYDSMIHPNGKFGLGFRRYNNPSGKLKCFGHSGMGGSTGYCDVEHNFAIAVTVNKMSLGSVTRGIVRFVCEELGLPVPDEFSATGEKGPDMTLNLAPSQQQR >Et_7A_052768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15807734:15808139:1 gene:Et_7A_052768 transcript:Et_7A_052768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAPAATSARPAVRTSDDGALAPESPAARAKGTVRPSDTPMMTSRTTSPAVKCRSRWLARFCSIGCPHSLSPPPPSIAAAPGTARSFEVERNGTGDAAERRDGQVYEETGTVVGFRS >Et_1B_011895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2761140:2772855:1 gene:Et_1B_011895 transcript:Et_1B_011895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRKYNRIGSDSEEEEGIVAISSDTDDSESEVERGAGADDDDDDEEYVGESSDAGGGDDVEGGSSDSGEGGDGEGQGDGGPPLRGGRRVVAAPDKERKSQNVDALVRGNLVVRRQPLIPRILSVSDAAAIARKPFKPPCQNGYSENNEQLARRLSARKRFVPWGSVQAFSVTHDIPQSPTIVSDNSLQKEEPLPPGIEPLILWQHDEFDKENSNLAAIEVDHLLVRYLRPHQREGVQFMFDCVSGSLSDDGISGCILADDMGLGKTLQSITLLYTLLCQGFDGKPMVKRAVIVTPTSLVSNWESEISKWLKGKVQLLALCESTRADVLSGIESFLKPLSRLQVLIISYETFRMHSSKFERPGSCDLLICDEAHRLKNDQTLTNKALASLPCTRRILLSGTPMQNDLEEFFSMVNFTNPGVLGDASYFRRYYEAPIICGREPNASSEEKKLGSERSAELSAKFILRRTNALLSNHLPPKIVEVVCCKLTPLQTTLYNHFIHSKNVKRLISEEAKQSKILAYITALKKLCNHPKLIYDTIKSNSSGGSGFDDCLRFFPPELFSGRSGSWTGGGGMWVELSGKMHVLARLLGHLRQKTDDRIVLVSNYTQTLDLFVQLCRERRYPYVRLDGATSISKRQKLVNQFNDLSRDEFVFLLSSKAGGCGLNLVGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQHEQADNKMQVQGSSLSTEDLRDLFTFHEQVRSEIHENLKCSRCKMDGNLLLDGNCLDSAAIEHNASVPRMKDYFDIGGFGEISGCLQKMNRSQHQIGRPSEEDLGSWGHHCDPSTVPDSILQSSAGEEVSFVFTNQVDGKLVPIESVPRSATHQPNRIAATGEKGSRTVSPNCLRGLQKNGPSLDHQPQTKKLHVASDMSDDDFVLSSSIWSLSHGKKATTGLHPCTVGGWT >Et_9B_064544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16310200:16311364:-1 gene:Et_9B_064544 transcript:Et_9B_064544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSNLRRAPWAAAAARALLAARIRGVRAPHPHPRRTGWSTHFSSLSTPQPGAAAAAEAHLLRVINFEISCAQQDCKKRDWAKELGGKFPFQIQDKEGSGRITLTKRDQKEQIDVEVFLPSLVDSDDQNGDQEGQAEDDNRRSQYYIPLMVNIHKGSISLEISCCSYPDELVIESLSFGPSDESGLSSVEAKLCNLPEELQKAFYSYLRSRGISDDVTNFIHAYMINKECNEYLSWLRKLKSS >Et_2A_016335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23494519:23496900:1 gene:Et_2A_016335 transcript:Et_2A_016335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSKAKDAKGKGKQAAGSSGGDDAGGKGGKGKSGKSADGLGTCTYVKARHVLCEKQGKINEAYKKLQDGWLDNGDKVPPAEFAKIAQEYSECPSGKKGGDLGWFPRGKMAGPFQEVAFSTPVGATSAPFKSTHGYHFILCEGRKN >Et_1B_009801.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27671207:27672075:1 gene:Et_1B_009801 transcript:Et_1B_009801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEILRRLKIGEAACTSALSKSWRPRWSNCPGLAFVFDGLHPPAAVDSVLAAYVPRICEFSLEITEESYSYGKTDGWIRALAAKSVRSLKLFFFRHPLKLGAVPVPVFSCSELTSLELQDCQMPRAPSDFRGFPHLLHLKLREIYTRHPKTLEAIISLSSSLATLCIQDIHIGRNNVDGSYGGWVIHAPNVKGFEIRSDNYGENHGWSIENLDSLEEAHVAFDGPHLVTMLRGMRKVQKLCIDVSCCLAPIL >Et_1A_004938.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:17849751:17850260:-1 gene:Et_1A_004938 transcript:Et_1A_004938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDLAAEGRPPSTRSWKKRRIDSATCYEKVHTLGAGGFGVVVRPRHRATGQDVAVKSPLTSHGCDGEGDKEIRSLLREACFVAACQGHPSVVGFRVLGGLPSAARSSIMAATRLAFRGVPASRWSLIMSQMAASRVATKVRSPVAARLARAVTPTSVAVAVVDMTRER >Et_8A_058395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6557018:6559522:1 gene:Et_8A_058395 transcript:Et_8A_058395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPQLIDDAVAEILLRLPPDEPACLVRASLVCKLWRRLLSDPAFLRRYREFHRAPPLLGFFRNIYDEDPLFRFVPTSAASPFSALVLDGRYRWSLECRHGRVLFNNFGPRGQELILWDPVTGHQQSVPKPGIYNTAAVLCGTDGCNHLNCHGGPVHVVFVGNYKEDDDDIIWWVSVYSSDTGALSPPTSIQLNCYLEARPSVLSGDALYFSLDQGKRILKYDLVGRRRGLSVIDAPDAYEQPEGIIVTSEDGGLGFIGVKDDSMYLWSWQVGPNGVSGWVQGRVVKLKTLPTLVSSASFYVIGFAEGTDTVFISTDVGVFTIMLNSGKVRKYLWGRTVGTEDIP >Et_5B_045492.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:2992763:2993971:1 gene:Et_5B_045492 transcript:Et_5B_045492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIATLQPFSSVPCKKQLGGLAASTLNAQQFSGSNSNWHSVSLKSRTPAPSRLRATNRLIVAQAGRYKVAILGAAGGVGEPLSLLIKMSPLVSTLHLYDIANVKGVAADLSHCNTPAQVAGFTGKDELAGCLSGADLVVIVAGVPRRKPGTTLDDLFVTNAGVVKELAEAVADHAPGALVHVVTQPVDATVPVAAEALKRKGAYDPRKLFGVTTLAVVRANALVAKKKGLPLADVDVPVVGGHAGATALPLLSKARPKAAFSDEEVEELTARVRNAGTEVVEAKASAGGGEEGSSSSSATLSAAYAAARFVEASLRGLDGDGDVYECAYVQSQLVAELPFFACRVKLGRDGVEEVVGSELKGLTDYEARALEALKPQLKASIDRGVAYVQQQPTTAALN >Et_7B_055375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9742558:9749630:-1 gene:Et_7B_055375 transcript:Et_7B_055375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ERCAGEAGGSASAVASRREWKDAGEAVSSPDLSSCAWSCGTWPSVARAGSGAAGAGRPAAVCTALACANWAAHLEPAAAEGLARRGVGDIAARVAAMGFNCVRLTWPTYLATNATLASLPLRWSLERLGMLESVAGVRVNNPALLELPLIDVFQEVVSALASKDIMVILDNQMTTPGWCCSRTDGNGFFGDKYFDPDEWLKGLSTMATIFKNAKNVVGMSLRNELRGPNQNVSLWFRYMQLGAEAVHAANPNVLVILSGLDYDKTLSFLLSKKVETSFTGKLIYEQHWYGFSDGGDWESQNQNDVCGMVVDSIRTKGLFLLEQGWPLFFSEIGFDMSGTHIGDNRYLTCFLSVAAEMDLDWAIWALQGSYYIREGILAYDESYGLLSWDWCTARNPSFIKRINSLQSPFQGPGLPNSRERYNVIFHPLTGLCVLVKSSKSLELGPCDESNAWNYTSEYELVLKNSGQCLQAKSDGETARLGTDCSKSNSKWQLISDSKMHVSTELTKNGTRLCLEASPDGAITTNQCKCLSVDPTCDPESQWFKIILSGRDAATLSTASRWIVDESGNRVKLACVNWPSHLEPMLAEGLSKQPVGVIAGDVAAMGFNCVRLTWPTFLVTNASYSDLTVAQSFQRLNLTDSLAGIRANNPGIVDLKLMDAYKASTSRSLTCPSALRNNGTRRRAPLVN >Et_2A_016125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21192156:21194271:-1 gene:Et_2A_016125 transcript:Et_2A_016125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSSTLSPSPSAAASRRSPGTRRVRKQKLEEVLKQVQRAIEMLRDAETDLGVPLSGIDSVEAPPENGGSIGGRDDGDDAAPSLVADDSDYETNELCDLLKSKVESLEFLQNLDGIQKSLCQNGAVGPDTSWDIIKAVDYWEDDVSDDGYVLVNQEDVVDGITSFMAAYLLSLKQTKELSPNQLQKALQKTFSTQKRKSKIQKAWDGTKVIYNVASWGATAVGVYNNRAILAVAATAVRTSFRVISKFL >Et_10A_000139.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:10671458:10672225:-1 gene:Et_10A_000139 transcript:Et_10A_000139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAISLPKPIEWRKVLTAACLLLLLLAAPGGAHTEARSLRPTAMHRKLALRPELATKVNAPASVADDTTPFQKARPNVRRKYAPARRLLEKAPAPAAESGVNLNVDSYGASGDGQSDDTKAFVQAWTKACSSAQPATLTIPSGKKYLVKEVVLSGPCKSPVTFKLDGTLVAPKEKSAWSKQNLPRWIRFSNVEKLTVTGTGTMDGNGNVWWKNSCRTNKKNPSTVAPTALSFTSCNHLKVENIKLLNSPQITCG >Et_9A_062835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5654157:5655675:1 gene:Et_9A_062835 transcript:Et_9A_062835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANVQQLPVSCPPQKKKLLVSCIQKKRQVYVVWFFRTQLMLYVSEHDPFRFWLRAPDIPSGPTVVEVDAPRAAAATAGDVLAPGAFGFKAEPRGRDEAVAPVHDLCVPDVGRARVPFPGEPAAAHLRRRVRPARRRRHLAAEVHPVGGARGQVREQLRRPVPHHRAAQLQPPVALHPDGDDELRAVVRRDLRHGAADGDPAACLDEARAQQVVATRSLTRRVTCHPVSMVLRSLPKVPATIQICERLNPSGDATCAGHTFRLALAP >Et_10A_001963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1503418:1506718:1 gene:Et_10A_001963 transcript:Et_10A_001963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARMMRWPRPPPARNFRVRLVVRRAEGLPPPPAPLSPEGSPEAGTEVFVEVSWKGPKMSPLSSLRRAQRPPRNQTRKEALVAGVAVQADAEDGAAVPAAVAWEEEFERDAALTATSHREAAAFHPWDVSFSVVSESNKISKSKLVLGTASLNLADYASTAEEEIEIILPLSVPSGATEPTPSLHLTLSFAELRSPQQSPDASNRSVVAPMSPSSGDSVPSGKDEVSVIKVGLRNLKILRDLVSTRRFRKTNQDGIEEKYYIHSDGAEFSCDTDSLDDDLDEREQDDDLGGSTVRKSFSYGSLHTMNVGALLHAPRIDGDDEGWVHYSDRNSDASYHVEQVPSSTAEERISIPVRRKRSILPVRWRKTKLPKAKGEPLLKQYGEEGGDDIDYDRRLLTPSDGSASEGSNGSTNSMVSIFGDDDFIVGNWESKEVFSRDGHLKLSTQVFFASIDQRSERAAGESACTALVAVIADWFQANQNLMPIRSQFDSLIREGSLEWRKLCENDTYRERFPDKHFDLETVLHAKIRPLTVAPSKSFIGFFHPEGTEDVSGFDFLDGAMSFDSIWDEISRAAECSTEKPTLYIVSWNDHFFVLKVEANVYYIIDTLGERLSEGCNQAYILKFDDQTMIHKVPAEKKEENSDSSERLKDPSESSSTEQDSGSDNEECEVLLKGKDTCKEYIKSFLAAIPIRELQADIKKGLVASTPLHHRLQIEFHYTESCPKEGTMTAPFPTSEAPFEFCWPEPPPLPGMEVAVTDTLDMEVAVTHSPALEVSVTHAVAVV >Et_3B_028996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21188249:21196487:1 gene:Et_3B_028996 transcript:Et_3B_028996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVSVAAEWDLLSDRFYRRITLYSPLPWSAPAIGATSSFSGGGGAVIGRFDLSTHIVAAAPFGGPIAAVRDDSKIVQLHSEPSRRRLLLFTSSGHPIASAPWPPLLPRLHSLAFSSSLSLVALLSDGSLLRFRLPDLQPTPSSSPVPLLPPASGGVADAVFWGGGVAVLTEDNRVVVTTDIEAADPHPRELADPGVGDEEQVLCMAVVEPQFVMSGSPEAYDNYQLISSSLPEAIEACIDAAGHEFDASRQRTLLRAATYGLAFCSRFPHERFQEMCKTLRVLNAVRDPEIGMPLTIQQYKLLTAPVLIGRLINANKHLLALRISEYLNLNPEVVIMHWACEKITASDTIPDTVLLEGLLDKLRLCKGISYAAVAAHADNSGRRRLAAMLIPLLLSIDEQEKALSKAIESGDTDLVYLVLFHIWQKVAIEKNAPLDFFGLINARPLARELFMAYARHSKHEALKDFFLSTGRLQESRELEKNPMASKGSPLHGPQIRLIEQAHRLFAETKEHVFESKASEEHAKLLRSQHDLEVSTKQAIFVGSSVSDTIKTCIAMGNERAALKVKSEFKVPDKRWYWLKTCYKPFVEACIDAGQKTEALKYIPKLADPRERSEAYARMGMAKEAADAASQAKDSDELFGRLKLTLAQNSTATSIFDTLRDRLSFQGAY >Et_1B_010443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10581232:10586572:1 gene:Et_1B_010443 transcript:Et_1B_010443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIYMPRARRSLGSALSNVKYLSEATTAAERVLEMIRRVPKIDSGSDAGEELANVAGEVEFKNVGFCYPSRPESPIFVSFSLRVPAGLAGSSGSGKSTVIALLQRFYDPSAGLVTLDGIDIRRLRLKWLRAQMGLVSQEPALFTMSVRDNILFGKEDATEEEVVAAAKAANAHSFISQLPQGYDTQVGERGIQISGGQKQRIAIARAIVKSPKILLLDEATSALDTKSERIVQEALELASVGRSTIVIAHRLSTIRNANLIAIMQSGEVKELGSHDELIANKNGLYSSLVRLQQMDEATVVSETCDMFAEVAETSIHSMSRRFSLAGESPSAQSIVDAQDNDNTKNKKSKYRAPSFKSLLMLNAPEWKFALTGSFSAALSGGIEPVFSYGLGSMFSVYFSTDHAEIKSQTRIYALLFFALAVASILLNVGQHYSFGVMGEYLTKRVKEHMLTKILTFEIGWFDRVENSSGAISSQLTNDANVVRSLVGDRIALVIQAVSGVLLSCTMGLAIS >Et_8A_057926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9478812:9479243:1 gene:Et_8A_057926 transcript:Et_8A_057926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYPSLSRPFSISDFTTCLTRDTVPSLTLVASLILEHYTSQSLVLFFAWLVQIKLAEEVCELCSLQTDTCKICNQSAETPDHLIHGCTTARAFLEAIRIQLPPDFTVTTKVVVLAFDF >Et_7B_055971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8386439:8387505:1 gene:Et_7B_055971 transcript:Et_7B_055971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKPAPGAAIQDTATSVMFREDETDDGWIVLDTGATTTMPKPAGGRPPRPPPRRNTSSTAIAAALPGRPFDPCPGRPRRPIHDADVYGAHPAFLASVYPAAAADDDGWFFFACRALLLLLPPHLPDAARETEWRMDEYGDHDGAEVDMVVCKVYPARGGALHKSLRSAKRAVDAGVRPQVLVQLYLASLSVGDSLWCRMHAAATDVFAAHPAVLTSVLPAANDQFEWFFAVRRPRHAHRDEDRTGKGSTCRWRPPAAGTSGTARAGNWGTGACSGTARTTRPCATDRVVDGGIWVPAALPVRRARQRRGAARVQGVPQNGPSVAQIVRVPRVV >Et_6A_046994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23894647:23896022:1 gene:Et_6A_046994 transcript:Et_6A_046994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VQSSMETKFTSVWSEESEMIAHLQSMFWSNSDSDANLSSCNTSTDSCCYNAVSLMNNAMHWCLDHKSQSITATTNAVAGDKRMFPMDEQRKKSRSTKKKLRTVAQLSRTLSSSTLDDESNAQLANQSNSWSCSSEDDSNGVCEEAFSLKRSDSSSVRSRSSKNSQSLYAKKRRERINEKLRILQQLIPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDTWMYAPLAYNGMGIDLSVSTAAYQS >Et_4A_033030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17275585:17290116:1 gene:Et_4A_033030 transcript:Et_4A_033030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHRLKESLHDCCSSSSQPHPQPRSPPPTPLLPRRPPKTSLSQQLLRLEAVSSDFSSVPAKPSPAAPKPPAQKPREDAAGEPPSDEEDEGAPPFCRRRPPPTPALESCGPYEPLVVSPSGERPIVQVPPSINCRLLAHQRDGVRFLYNLYKNNHGGVLGDDMGLGKTIQTIAFLSAVIGKGNGHGEQTDERKNSGPVLILCPTSVIRNWEIEFSEWADFSVAVYHGPNRDLVLERIEAQGLEVLITSFDTFRIHDKILCGISWELVVVDEAHRLKNEKSKLYTACLGITTQKRFGLTGTIMQNKIMELFNLFDWFVPGCLGDREHFRAYYDEPLKHGQRLSAPKRFVQVADTRKKHLVSVLKKFLLRRTKEETIGHLMLGKEDNIVFCKMSDVQKRVYRRMLLQPDIQILINKDLPCSCGSPLTQVECCKTREPHGIIWSYLHRDNPEGCPICPFCLVLPCLVKLQQISNHLELIKPNPKDEIEKQKKDAELAAAVFGTDIDLVGGSAKSENFMGLSDAEHCGKMRALERLLSLWTQQGDKILLFSYSVRMLDILEKFLIRKGYCFSRFDGTTPMNARQLLVDEFNRSPSKQIFLISTRAGNLGVNLVSANRVVIFDPSWNPAQDLQAQDRSFRYGQRRHVTVFRLLGAGSLEELIYSRQIYKQQLSNIAVSGKIEKRYFEGVQDDKKFQGELFGICNLFRDLSDKLFTSEIIEMHGEDGKSNTAEATGIREIVDTDLFGLQENGKPSMATKDTGSQKLVDLGIVYAHRNEDVVNMRTNGRNDCPIDWTVQSSSEELENKPETTKLAVMPKSYSMEQKREEFSRIASFMDMSDLEFSKWLLSASPLKRSEVMQNYKRNKKHHRNHK >Et_9A_062724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3707589:3708066:-1 gene:Et_9A_062724 transcript:Et_9A_062724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVEAVPAPYPRYFHNADLVKFKSTGGDARGLVMVTPDGVDEPLVRVMLADGTAVTPADLRDLTIVDRGCSMSASSCMAVSTELDLIRMPRDDETEAPLVVARGVSPCELRRVTGLCLGDLVVSGPWLGRVVAVHVDVAVGGDG >Et_2A_018077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9905322:9906648:-1 gene:Et_2A_018077 transcript:Et_2A_018077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGGSGGNPWAKEMTIRRRIASIFNKTQEHFPSLRDYNDYLEEVEDMTFNLIEGIDVEAIEAKIARYQQENAEQIYLSRAKRAEDLAAALKASRMNPGKAEANDTAAGSSQGISGGSGAQGQYAPAAVPGGLAQPRPTGMAPQPIGGASDPLQGDDEETRRLRAERGARAGGWTAELGKRRALEEAFSAIFI >Et_7A_050622.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:7520574:7520651:1 gene:Et_7A_050622 transcript:Et_7A_050622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRTACKHDAQLWKYRWLEDSRM >Et_2A_015012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26103362:26103784:-1 gene:Et_2A_015012 transcript:Et_2A_015012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDRAAAQVFCRSQFNLANEACSLRTIPTGSNPARPLQLQQHSSNGTSYELQAERHDRGGHGHRHGGLGHGGGADPYDTACCRRLMGIDNACICQAMSYLPVFMSKVKHSIKLTPVPGCDVSFECAGAY >Et_10B_004299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3243563:3252418:-1 gene:Et_10B_004299 transcript:Et_10B_004299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIAAFSLLLFVAAHGALGFTRSDFPEDFVFGAATSAYQYEGAVAEDGRSPSIWDTFTHAGKMPDKSNGDVAADGYHKFKDDVKLIAETGLEAYRFSISWSRLIPNGRGAVNPKGLQYYNNLIDELYFSEANFSNILINVGTATRREDFTAYADVCFREFGDRVLYWTTLDEVNIAATASYDTGQFPPGRCSDPFGITKCAADAKFNASINEILEPLVFGDYPMVMKKRVGSRLPSFTKVQSEAVRGALDFIGVNHYYSLYVSDRPLKKGIRDFSADMSTHYRGFATSNGSLNDTDRMDYMKQHIGSTLTALRNGANVKGYFAWSFLDVFELVAGFKSQYGLYRVDFDDKALPRQARASARWYSNFLKNKGIRMENEMAETGCARCREWQEHYYWEHMDVTKIRFFKLMTGEFAKGISIPEKFVKNFKGWITKGVDLKAPSSETWHIGVDKNDNEMFFTSGWEYFVKAHELQENDLLLFTSSNNSSFEVMIFEPSGCEKVSSLFDSYHTYKHLNDTRRHCEHNSLSDSDDSSMPSRLIGSQHNASTSKKSSAKESESLNNSSYDTKHEATEEEESDDIYYDSRYYYSRSANRLAYEEKKTILSLAPVEADNPAFVTILQKNHCQRRNNSMTVPSDFAADHLEARSDDTVLCRPNRKEKWLVKYYYTPYNRCLQNLQFFKFVRENKLREGDICVFELMKGARRVMMTVHVIRKKAHGRVLLHKHWQQDRP >Et_6A_045882.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:24062965:24063429:1 gene:Et_6A_045882 transcript:Et_6A_045882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDFESVARWWLSNKKYESLNIVTSAIFWVIWNLRNDICFQGHTWTGMKEIWRRTWNTLKNWRKLTRGELLLRLDGYIDKIMRKSEEAPRLLYFAEGQVNGGWGGANRVSDNNAGVGASENVVLGMIPGAAQQLSRLNNVIATVMDYVDLVSV >Et_8B_060572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2516777:2519029:-1 gene:Et_8B_060572 transcript:Et_8B_060572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSRLSSPPFHSPQSSKATPRTVHSSFLASSSALVVVSISLIELSAVPAHAQPPRRRATAAPRLRATASDAGDTAALMVARAEAGDFEEARSLWAQLLHSSAAPRLPAAAPRLLPAYARLGRFDEILLAVRELSARDPAAARVLYPLAVSCLGAAGELARMEDAVLEMGRRGLRVDHATGDAFLRAYAASGTVPQMESAYRRHKRTGLLVSPDAIRAVASAYISGQKYYKLGAFALDAGLPGRRDAGNLLWNLYLLSLAANFKMKSLQRAFLEMVAAGFRPDLTTFNIRAAAFSKMCMFWDLHLTADHMRRDGVAPDLVTHGCFVDAYLERRLARNLTFAFDRLDGQAEPVVATDGIIFEAFGKGGFHASSEALLEAAGGKRRWTYYKLLGVYLRKQHRRNQAEREKMSIPSSTKTAPVKVTMMENKAQVTNHR >Et_4B_039957.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:6756395:6757699:1 gene:Et_4B_039957 transcript:Et_4B_039957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQTPESKKRGGGGGTTASEKVDRKYAHAATPLHHNGTTKKTPRGGASKGVDGADQAAGYVAAVSCSDCRFKQRAIAPASPGAVIRSLFVSLTRRSTPRSSPSPASSGASDGGGGDGEQWRLAAADLSRRLAAATRTRDEALEETTRLKHSVAELELKLARLEARVLPTPPAAAFPVESFLRAVSTSRAAVRNLARALSAHLRSSSSSPVSPNLESFLNRAFHAGFELDADADALQTPDPAGRCEANLAAYHAVAALTWEEVLLRGTKHYSEGLSRFCDAKMSEVVASLGWARARAWPEPLLQAFFLAAKGVWGVRLLARSVHPPLPVVRAERGARFDPRFMEDAAAGRAGRLEPASVKMMVAPGFHVYLSGAGVVKCKVVCFYSSTTTGRTNGHRDGGSSANGGGVGLGSSCTDINGSATDVVDSCKTSRVG >Et_4B_039462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17181149:17182505:1 gene:Et_4B_039462 transcript:Et_4B_039462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLLPTLCGGGGRAATPVRRKRVQRASRHSPSNKLPAAVTGSGGGKAVKSGGGGGCYVNGNGALMVEVAAGGKKDGGGRRVMVVADGRKEAAGALQWALSQAVRSNDTVVLLSVVKPVAPQEAISDSCVNILGPKCSQHIEAMKSICESTRPETNELKCARVTSCTKSARNHRCQSITFTILNNAQVKVETCVVEAEERAPAVVEAAARHGASLLVLGQRRRLGVARWLQALWRRRRGGLVDYCIEHAPCAALAVRRRKSGGYLVSSKRHKDFWLLA >Et_10A_001798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8284642:8285186:1 gene:Et_10A_001798 transcript:Et_10A_001798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEHIKVAMLRQEQTFRQQVHELHRVYRVQKQLMMQMQLTEMNHHSQATHDAFARSAVKMDHQQWHGNSGEKAAMFEDFDLELTLATGVVKQEKPTNSDSEATMSSSTSAESESGRRFVPDSNVTLRFQNESNRHDDQVMQSPWLYQCLSLKMA >Et_1B_012845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35545257:35547558:1 gene:Et_1B_012845 transcript:Et_1B_012845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGSMVECEDNWNCQLENITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAVGVGRGRAVAMRARAAAGRGGGPVGRGGAPPVR >Et_10B_004379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5230092:5234407:1 gene:Et_10B_004379 transcript:Et_10B_004379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYVWGVDIDGGYSMPKLLEELTSEPIGFEEPIAWCRRKDEEQAHTGVGSGDKLEEGLKTDSQGAAGDGSSVPPQGNVDPGSMDGEDFCAYDDTVFVDHDVKAEADAQEVGLMNQSEDPLEVEPLFYAEADAAQIAVDDHADEEPDNLYDMQSQRIKVNGSFATMEDFRMTVRQHAIERGFMVHKVKTDKRRDAKQKQTGKARKVPADNIFKNHHGVIPNMSRCSDDAPGRPLVNLEEDGLAVATRGAAHGLGAGQCRVGGDVLEDLGELPGAVVHHVPMFQWKTVLLQVDDLVKSQMDKRQKLNEKKTWWSQFLQAKRSTFCSLSSLGYSMLLLHMHRNEESEHLPQS >Et_4A_031983.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9902113:9903433:1 gene:Et_4A_031983 transcript:Et_4A_031983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSRFLASKSQLLLCGNLLPLLKRLCLHLATLVWLARHRHRALGERMSVPKSVLVGIHLTRDDDRVDDLTFRVVHGQHVEPATADVLRINDGVQEPARPVRAAHDEGRAVRHVPAQVRHHVGLLLGRHADKRGEEDDVVLAEHIGQGGDVGRVESHACAEVLVRAEQLPRALVGGAAHVIVVEQRVGQVARGEHQRAERQRARPDEGDAGRGQARDVLDQELVLQLAQVDVVAEVGEAAQVVERVVEAGEQVGVVRLQLPLGVGAEADELLPHLLRLGVQLSHVDRARRDAGHDEVGEQGVDLRGRAQRRQLGDGSVETGDLLHQGSNLHVLGLHWNSCSRGLKSCKCAIACAA >Et_5A_040554.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:9323196:9323417:1 gene:Et_5A_040554 transcript:Et_5A_040554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKLSPPGIRPFHTSRPLSAPVANRPLSPHLPLKKPQLSATFSISHRIFGVALGAAIICVPLATKFSLMFGV >Et_8B_060552.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20802560:20803711:-1 gene:Et_8B_060552 transcript:Et_8B_060552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNKRWLDEDQRQRRRRSDKRPRRRKHLYVALDDRDKDFSIHKIHADTFDSDSDGDEQDIPTSSSRLLREPPVLHLESPAGDLGYSVMSFAALGTKIFALANQRCALVYDAGTAALAVGPHPPAEMAPSCGGFTTYIPAGETTLYALSYHPLEKKHYFFAMSWGGPTTATVDAAFHNKPTTEGWSWKTLPPPPPFTSSRVAAYAVHPDGRAIFVTADHDGRTRTFSFDVKDAVWTCLGDWALPFHDQGHFDSELDAWVGLRFDGAISACPPVVVSPTTTATTTPPVKQPADWKMTDEKLVCAYSEGFMTASLAYMGNSRFCLVQTRARDGTQEDGCVLHVTVFGVKYDRTGDLQITSKHRSTRSFLVSRHRINHFRPAVFWM >Et_3A_024673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23149487:23154107:-1 gene:Et_3A_024673 transcript:Et_3A_024673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSMKHLVQVEAAKEAADGAPSAGPTYRCAAGGQGASPPAVPGLDCCWDIFRLTVEKCPDNRMLGRREIVDGKAGQYSWMTYKEVYDTVLKLGAAIRKCGVGKGGRCGIYGANCPEWVISMQACNAHGIYCVPLYDTLGAGAIEFILCHAEVQIAFVEEKKIAEVLKTFPGSTKFLKTIVSFGKVNPEHKEKVEQNGLSIYSWEEFIQLGGEEKFELPPKEKDDICTIMYTSGTTGDPKGVLISNKSIITIVSAVDEFLRNSNEQLREDDVYISYLPLAHIFDRVIEEVFIHHGASIGFWRGDVKLLVEDIGELKPTVFCAVPRVLDRIYGGLQDKISGGGFLKKTLFNVAYKYKQGNMMKGTKHEEAAAIFDKLVFTKVKQRLGGKVRLILSGAAPLSRHVEEYLRVVTCSHVLQGYGLTETCAGSFVSLPNNMSMLGTVGPPVPYVEARLESVPEMGYDALSSESPRGEICIRGDTLFSGYYKREDLTKEVLVDGWFHTGDIGEWQHDGSMKIIDRKKNIFKLSQGEYVAVENLENIFGQTPGVDSIWVYGNSFESSLVAVVNPNKQALERWAESNGLKGFELVRAVHLDPVPFDMERDLITPTYKKKRPQLLKHYQSVIDDMYKSMK >Et_4A_032864.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:14882554:14884337:1 gene:Et_4A_032864 transcript:Et_4A_032864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVFFTDQGRRAVTASLITSCTGLARGSRRWTLSRGPVRVPSFPNRSVSSPVAPARAKSCTTSWSSLPHGSGLVPCTRSTSSRRRARRGAARWPESPATRRQTTIWWSCTRRARPSPPETGSWRVLTSGAASCCATCSTRPPCCGCSSGPCRRLAAWTLICTLPPRFGMRL >Et_2B_019016.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24367298:24367636:-1 gene:Et_2B_019016 transcript:Et_2B_019016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIVAVVMTVAFLVCALLASTPEAARMGKRGEFAVAAGVNANDGGISSGNTQQRREFIGRRPRLASFTRRDDVAPPSVRDGGSSKREVPGGPDPIHHPGDGVPPASTADP >Et_9A_061660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1501463:1507181:-1 gene:Et_9A_061660 transcript:Et_9A_061660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVAASTATRFLPQVSSPRPRRAREAFLPSPLLPWRPLAVTVAAASRRPEGGEGSRKERKQRRAKGTDKEEGLSVVSSESETKNSTPHAQTDKGSKPVPESSVNAKSGAIRKVTLVILAAVVFGVSIALKDGAEKASEYFAGYLLEQSLSVDNLFVFILVFKYFQVPKEYQNRVLSYGIAGAVVFRAVMIALGVATIQLFAEEDGESDLSDNFIVKTCQKFIPVTDFYDGDRFITNRDGLWKATPLLLTLAVIEFSDIAFAVDSIPAVFGVTRDPLIILSSNIFAITGLRSLYVLISESMAELEYLQPAIGIVLGFIGTKMIFDFFGYHLPTEASLAIVTTCLSGSVILSLRKASKEERNKMNGNLTDHFGTGATHIYKSKRVKATLLMK >Et_9B_065485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5704430:5704830:1 gene:Et_9B_065485 transcript:Et_9B_065485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVRKYLDSFEPMKADGAKPTDVTFLSLLHGCSHVGSAKKGWSIRHMVGRAGQLDDVKAFVQEGHFKDSAFLWHALMGACSFHKNSEVGRYYMQQTSCSSLTRTVLLSASCCQTDTHRRAHGTIELGS >Et_1A_009013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25233749:25235728:-1 gene:Et_1A_009013 transcript:Et_1A_009013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPPSGSIGHQQQPTVSSMEPGGVEAGTAARRKFNWKAPAIVLGWRLRIAVFELLESIAFSGLALNLVVYLGTVLHGSTAFNAAHVDTWNGTTFIVPVIGAFLADSYFGKYRTILASIAFYLVERPQCELRMQGLVLLTVSAAVPSLRPATPCPTGATCPPASKTQFSVFFAALYLTSIGTGGVKSALLPFGAEQYDDDDEERPERKQSFFSWFFAAINLGIFIAGTLISWLQQNVAWALGFGVGTACLALAAAAFVAGTPWYRVQVPAGSPLRDILRVLVAAFRKRRVRLPAGEVHAVVLYEPEPSDKDAGAGGQQQRLARTKGLRCLDKAAAVVEPATDGKARPAGPWDLCTVSEVEGVKILARMLPIWLTCVLYAASLGQMTTTFIQQGMAMDTRLGGGSFKVPVASLVSVEVVFMLLWVLLHDAAVIPLARRATGRPGGLTQLQRMGVGRFLVVLALGTAALVERRRLRGVARGGDAPMSIAWQVPQFVLVAGSDVFCGIAQLEFFYGEAPAAMRSICSAFSFLALSLGFYVNSLVVTLVAAVTGKPGWLAPNLNAGHLDYYFWLWTIISVANLLLYMVLASRYTPKQVAAVEPRQSTSGSGSSADD >Et_2A_018369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24660224:24661292:-1 gene:Et_2A_018369 transcript:Et_2A_018369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQDKDIGTVKEELGIEREQVLSNFIKTMKKLYGFLHRVAGKEIEATLPRLKEIEMAPLSKSMDEDLAEAAKEVEEQRRAANEAAVDPKLLQKYAIDDDDNEIVKALQNGKVSASGVISVKSNKTRSDKKEKHKEMGKSKRKGTDGGRSESKKKRS >Et_6B_049744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9938107:9943590:-1 gene:Et_6B_049744 transcript:Et_6B_049744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPHRRATDNNGGPPLAAAVPLCLLHDLLVAAAFLASHPLHAAYLLFFARHLARPLAAFFAPLLASTALLLAVLATVGPYLRGGAAGWPSLGSRTCGIAVAALCAELRPDGGAGLVAQLCSFVLGPGDAAAVVRVGEIMGELCDFTGSCFVLEDEEKSLVLSCDEECKEPAFELPLMDGAVGDRRFLDREGSGEVNEEIDDKVVISEDLKGSESLAEQCCQSEAMFVQEMEAEEEQSVIIQEQGLISSEMDNVGDVIEEKRLECDPVSVEIKKCEPVRAVELEIKKCEPDHAVESEIKKCETVQAVEMEIKKCEPVQAMEVKEFQPVQHTGIKTCDPVKPCSSIAQRIKLWEAQVSGNFKTIIEDMEDSSEKFSMQNESIKDVKKCVRFEADPSDQTCDRRLKAQDISSSKPSVEQEQSQDFKDVKEWVPSDSQTFSEICSPDLQVEENVPASHTEQVPKDVDPEAVLQEQEFNAVQQDMQECKVEHAEQGPQETEEYKDVTESPAMCNETENSLKSSSIAGRVHSRTSSENLVSEESPSQKEKEWKRTLACKLYEERMQLKLCRDRAVVEGSDNMDMLWEAYEVGGNGGNGCGVKHGGSMVKSSKKVEELVEEGEEDESVEQEDDDDEGSVKQLCCLQALKFSAKKMNFGGGRPSLAKISKVLKRMTVLSTLPSMDGAIGDRSFLDPDDSGEVNHEIDDKIVIFKDLKGSESLTEHYCQDEAGFLQETKAEEEQVIQVEVLILSEMDDVVGDSLWRRG >Et_6A_048172.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:8706617:8707009:-1 gene:Et_6A_048172 transcript:Et_6A_048172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPTRLFLAGESAGANIAHNVASRAATPDGDDVDIEGLVLLQPFFWGSDRLPCEANWDAQGMFTPERVYTFWPFLTLGAAGNDDPRLNPPADQVAALPCRRALVSVASKDLGRDRGRRYAASLKYRSW >Et_7A_051207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15645364:15646734:1 gene:Et_7A_051207 transcript:Et_7A_051207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMLLSEFSGLRSAASLPLRRNATSEDFVSTVSFKTYAVGSSGGARRAPTEAKLKVAINGFGRIGRNFLRCWHGRDDSPLDIIAINDTGGVKQASHLLKYDSTLGIFDADVKPVGDDGISVDGKVIKVVSDRNPTNLPWGDLGIDLVIEGTGVFVDREGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNADQYNPDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPNLKGKLNGIALRVPTPNVSVVDLVVQVSKKTLAEEVNQAFRDAAAAELNGVLEVCDAPLVSVDFRCSDVSSTIDASLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNWK >Et_7A_051744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2426902:2431857:1 gene:Et_7A_051744 transcript:Et_7A_051744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAATPAPHVLVIPFPAQGHALPLLDLTSLLAARGLRLTVFPAFIHAFAALREPILAWARSQQSEPVVAVIADFFCGWAQPLAADLGIPGFVFSPSGVLGTAVPAGSNDDEFSIKFPAIPGEPEFQWRENLMMYRNYMEGRLDEQIGESIRQNFLWNLESRGFVSNTLRALEGRYLDTPLEDLGFKRIWAAAVAAADLSSWLDAFPEGSVVYISFGSQAVLTPAVAAALAEALERTAVPFVRVVGAGNGGVVPEGFEARDGGARVGAAGVGAASPGGGVVRDALRLELDAGGGRRRRAHAGVAMAAEQFMNARLLVDEARIAVRACKGGVGVVPDAGELAVGDKGRGVSERAKELAAEAARAVKEGGSSYADLEEFAEEIRKLLLSITRSLVASEQTDAATPHRRSELASMEEAAPTPAPHVLVVPFPAQGHALPLLDLTALLAARGLRITVVTTPGNLPLLSLFLAAHPVAVRPLTLPFPIHPSLPPGRENTKDCGRESFAVFIHALTALREPVRAWARAHQSDPVVAVIADFFCGWAQPLAADLGIPGFVFSPSGVLGTAVPHSMFRRLVTRPAGSDDTYSITFPAIPGEPAYQWRESSMLYRNYIEGRQEERVRESVRQNFLWNLESSRGFVSNTFRALEGRYLDTPLEDLAFKRVWAVGPVAPEAGRRLSRRRISPRGWTGSRTAVLTRAVAAALAEALEPSAVPFVWVGRRRGERQRRRGPGRARAARNGMVVRGWVPQVAALRHAAVGWFVTHCGWNSTLEAVAAGVPMLAWPMAADQFLNARLLVDEARVAVRACAGGVGVAPDAGELAVGEKGRGLRVRAKELAVEAARAVKEGGSSYADLEALVEEIRKIS >Et_9B_065339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:399845:405617:1 gene:Et_9B_065339 transcript:Et_9B_065339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEEKVFVSLPAEFKAGQSTLSWALSHFAGGRSTIVIAHVHVPSKMIPVMGAKFPASKLSPEQVTLFRWAELGKVNKMLNDYVHQCSKMKVKCEKLVLENDDVGAGLIDLIASHGVTKLVISAAADKHYSREQQEAEMSSAKSLPLISPERQSWVAFGSERAKPRDDETPVIPIKRLPKTANSQTANRPSNRPSPPLAEMPPSPPESETEPEFVELDPTGRYGRYTEVLGKGAFKTVYKAFDQLEGLEVAWNQIKVGDLLRNNDDLERLRSEVRLLKTLKHKNIIKFYNSWLDKKNNNINFITEVFTSGTLRQYRIKHKKVDIRALKKWSRQILSGLYYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLATILDHARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKVTDGEKPSSLAKIDDRQVKFFIEKCIAKAPQRLSAKDLLLDPFLLDVDDEKIFYPLHQNNKASDTAGNSNPSTSYRYDGVGSSVGRHDHTGSITGSHPSGHYIHNSIDPHATTGRIITVESQRKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWAVEESMDNQGDEAAHSETHSSDSDEGTSELRDEPDAGHNGFVQEQLPSGRKYWSDSPRRDNEIQVANPQTGDSVSNGIVKRNDVDDRIDGGVSVGTSPHSSEVEGQHISADVTERLENLLAQQQEELNALQRKHKADIEAILNSVPTEDREETLTRCRLKVDQKNKANQL >Et_1A_005532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11124937:11129426:-1 gene:Et_1A_005532 transcript:Et_1A_005532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGAAPGWFLPLLLLFASSTRVARTGLVGVLAMRCTGNSGMLVLGRWSRYLAKASWFTTFLRLQASTDQQLDQHLPLFNLPSKILCKVVNVELRAETDSDEVYAQIMLQPEADQNEPISPDVDPPEPERCNVHSFCKTLTASDTSTHGGFSVLRRHAEECLPQLDMSQNPPWQELVAKDLHGNEWHFRHIFREFVVSVNKYLEAKNRKMSVGMRFKMRFEGDESPERRFSGTIIGVASMSTNSTSPWADSDWRSLKVQWDEPSAVLRPDRVSPWELEPLDATSPHPPQPQHHSRNKRARPPASPSIAPDLPPVFGLWKSPAEPTKAFSFSGLQRTQELYPSSPNSIFSSSLNVGFSAKNERSTPTNNHFYWPMQDTRADSLSASINKVPFERKQEPTPAGCRLFGIEISSATSPMATAASVVQDQPAASVDVESDQLSQPSHANVSDAPAATSERSPHETESRQVRSCTKVIMQGMAVGRAVDLTRVDGYDDLHRKLEEMFDIQGELSAGLKKWKVVYIDDEDDMMLVGDNPWHEFCSMVKRIYIYSYEEAKQLTPKAKLPVVGDTTKANPNTPAPETDMPQSDLKNNVPVADKD >Et_2A_018511.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31075527:31076399:1 gene:Et_2A_018511 transcript:Et_2A_018511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEADCDRIRGPWSPEEDDALRRLVERHGARNWTAIGREIPGRSGKSCRLRWCNQLSPQVERRPFTAEEDAAIVRAHERLGNRWAAIARLLPGRTDNAVKNHWNCSLKRKLAVANGGEAERPCKRVSLSPDSPSGSGSGSDRSDVSHGAGSGQIFRPVARSGGFEPADCAISRRQEEDPLTSLSLSLPGMDTSGFHHDRAHSQFQELPQSPPSPSPPPPPAPAASYPFNPEFMAAMQDLIRTEVQRYMSSVGVRVGCGVAGGADMFMPQLMEGVMRAAAERVGAVGRMQ >Et_1A_006130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18197995:18201868:-1 gene:Et_1A_006130 transcript:Et_1A_006130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSASSYPAVHHAHLKRFLWWELHAATDNFNENNILGRGAFGSVYKGRLVDGSLVAVKRQKECATAGDLQFQTEVTMINMETHRNLLRILGFCMTPTERLLVYPYMVNGSVASWLYDRQPSEPPLDWDTRRRIAVGSARGLSCLHDHCDPKIIHRDIKAANILLDEDFEAIVSDFGCAKLMDCSDAHETTVCGTIGHIAPEYRSTGSCSEKADVFSYGIMLLQLITGKKAYDPARSANYVMLLDWVTRLVREKKVDEDFEMLVDPDLLLNYDKTEVESLVQVALLCTEASPSARPPMSEVLKLLEGDGLIERWEEWLMAGMESMDQQFVGSTSNHRDEHFVLYTKIRSVELSGPRVPTDSQLENGTAVVNINSAHKPLQASQSVQTSIY >Et_9B_065526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6201450:6202840:1 gene:Et_9B_065526 transcript:Et_9B_065526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVDVELHMGLSKLARILADKVNWEEQTRISEFADFRLNGQLNFLQARTLIKLAVTCLEEDKNRRPTMETVVQILLSIAEADSAIEQIVPNEFETRDNIFNVLDSTRVLSSSHLDYNFGQLVIVLTAGSSLPPGSSMLLLPSMLRVVIVVVAIIVAI >Et_1B_010845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14713730:14718462:-1 gene:Et_1B_010845 transcript:Et_1B_010845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQVGGQGARPTFFEMSAAQQLPASLRAALTYSLGVFALRRPFLHKILDYEDEFFALLMSVLESHSLRTTDGSFSESLYGLRRKPVKVPVRKNIPGTESSDKVYDSALRKRQKILSVVFLVVVPYFKSKLQSIYNKEREARLQATLWGQDDVRFDEAGFLIDQEQTSQVQAEPSGGEVSNLTRFKKNFASLVGVCYPWIHATNEGFSFAYQLLYLLDATAFYSPALHVLGVHVCRATGQELMDSSSRISRIRNRELERLRGPPWFKAVQRVFLSCMYTTLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPAPKVAKDGIPLPPDRTLCPLCCQKRTNPSVLSVSGYVFCYSCIFKSVSQHKRCPVTLMPASVEQIRRLFHDM >Et_1A_008015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40097414:40100843:1 gene:Et_1A_008015 transcript:Et_1A_008015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRGSAFLLGVLLAGSLFAFSVAKEETKKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQKDMKLVPYKIVNKEGKPYIQVKIKDGENKVFSPEEISAMILGKMKDTAEAYLGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKYSKDISKDNRALGKLRREAERAKRALSNQHQVRVEIESLFDGTDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLDKSQIHEIVLVGGSTRIPKVQQLLRDYFDGKEPSKGVNPDEAVAYGAAVQGSILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVFEGERSMTKDCRLLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIDRMVREAEEFAEEDKKVKEKIDARNSLETYVYNMKNQIGDKDKLADKLESEEKEKVEEALKEALEWLDDNQSAEKEDYDEKLKEVEAVCNPIISAVYQRSGGAPGGGADSEGGMDDDHDEL >Et_8A_058394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6554551:6556817:1 gene:Et_8A_058394 transcript:Et_8A_058394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLLGAQDSNKKGDKGTSLKILSFPRQEDKVPEKKPRMLAERNVAGLHNKGYQAMAPSSVDPNRMSDRRVRREIALW >Et_7A_052080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4398028:4407364:-1 gene:Et_7A_052080 transcript:Et_7A_052080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPFRADVLKGKAALVTGGGSGIGFEIATQLARHGAQVAIMGRRREVIDKAVAVLRSQGLRAAGFDGDVRKQEDAARVLAATVEHFGKLDILVNGAAGNFLASPEDLTPKGFRTVLEIDTVGTYIMCHEALKYLKKGGPGRGPSTGGLIINISATQQYTAAWYQVHMTAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIKDTPGMRKLAPEEMNEKLAELMPLFKYGEKWDIAMAAVYLASSAGKYVNGNTMIVDGGLWLSHPRYVPKEEVKALSKVVEKKVRISGVGVPSSKLKRETASTHQRAVDASCLSRPVRPGQEPRHLLSCTQPTAPAATAPRPLHLPTSAARAMESPFRPDVLKGKAALVTGGGSGICFEIAAQLARHGAQVAIMGRRREVLDKAVAVLRSRGLRAVGFDGDVRKQEDAARVLAATVQHFGKLDILVNGAAGNFLASPEDLTPKGFRTVLEIDTLGTYTMCHEALKYLKKGGPGKGPSTGGLIINISATLHYTASWYQIHVSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIQDTPGLRKLAPEEMGKGRREMMPLFKFGEKWDIAMAALYLASDAGKYVNGTTMVVDGGLWLSHPRHVPKEEVKALSRVVEKKVRASGVGVPSSKL >Et_5A_041033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17026318:17029074:1 gene:Et_5A_041033 transcript:Et_5A_041033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRTTRAALPRASARLFDLLLVPLALLLSGAAPARCADLRRVVEVPGEPSSVVWAVQLSDLHISAFHPDRAADFRCHVGDALAMVNPALVLITGDLTDAKSKDLLSSRQEKFEWIEYGRVIDDVANKSGLNKEIFYDLRGNHDSYGVPEVDGMFDFYQKHSINAKLGRTGTVQSITLQSNGNKYLFVRFDSSMGVGLRSPTNIFGQPTEKLLDLDAALSQWDNQSTSAKVTKIAFGHFPISFSAVTSSGRSVRDILLKHSISAYLCGHLHTNFGRNLKRHHTSDQHRLSSMRYYQLDINEGMPAAVSSGNCSARTESVTEFW >Et_8B_060499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18456037:18457158:-1 gene:Et_8B_060499 transcript:Et_8B_060499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKGSSSRAAAGSGGSGVTNIGGREMVVLDEEEEEKSRMRGVRKRPWGRYAAEIRNPINKARVWLGTFATPELAARAYDAAARKFHGANAITNYPEDVPVSTPSKEPSAASSIVVSSTSALSLESPVVVPSLVAPLSLELRLGLPDMVSEEPNVFLDLTMAVNGSTLAPFSPSSNQLHAMKVVAAFEDMQNNFLSLSSSVVVDAPPPVVNWGLDLSLAPPIEIILRKAKEAVGGDDGNTAVVERRPRRRWVAMLTT >Et_1A_008191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5019724:5030569:1 gene:Et_1A_008191 transcript:Et_1A_008191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSKGGKNKGKAQGASQAVSAEPEVPVTDGAEVVKPENGEVNEPPAAESSVAEVETGDGEAAVAAQPAKKPAEGELHLYPVPVQTQSGEKLELQLSPGDSVIDVKQFLLDAPETCFYTCYDLILHTKDGSTHQVEDYNEISEIADITCGGCSLEMVAGIYDERSIRSHLRRVRELLSLSSLHVSLSTSLALQQESAQEKSADSGKTAIQELDGLNYMEDTTSALTNLLTSAPAEIKCIDSIVFSSFNPPPSYRRLHGDLIYIDVVTLEGNKYCITGNSKSFYVNRSNGSILDPRPPKQAVEASTLVGLLQKISAKFKKGFREVLDRRASAHPFENVQSLLPVTSWLGAYPVPEHRRDAARAEDSVVLSYGTELIGMQRDWNEELQSCREFPHGNPQERILRGRALYKVTCDFVDAAVKGAVGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDSDYEHISKDHKTDCQNGPSKSTKVSSPDARAKPDKNHAGSREAANSKPEEPPAISDDASAEAQLADSEQATYASANNDLKGTKAYQEADISGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSDSLLYGSVDNGKKISWNESFHSKVVEAAKRLHLKEHLVLDGSGHPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNYIGQEHRFCVLRPELVSSFVEAESTKQSPKEKVPDTPEGSDDQVASTSDSTAASAEGDDKSAENFTTVPAEKDSSAEILFNPNVFTEYKLAGSPEEIAADEELVKKAGTYLLDIVIPKFVQDLCSLDISPMDGQSLTDVLHLHGINVRYLGKIAGLIKHLPHLWDLFSSEIIVRSAKHVVKEILRQSPDHDVGPSIAHFLNCFIGKILLASTKGGVGSTQSETQKGCENSQTQKSSKVQKSSQSAVSRKGLSAYSHLTSDAVWSKIKEFAKYKYQFEVPDEGRLAAKRVVVLRNLCQKVGITIAARKYDLDASTPFQPSDILNLQPVIKHSVPTCTDARNLMEAGKIRMAEGTLNEAYALFSEAFSLLQQITGPMHKDAANCCRYGNMALFYHGLNQTELALRHMSRTMLLLSLASGPNHPDVAATLINVAMMYQDASNMNTALRYLQEALMKNERLLGPDHIQTAVCYHALAIAFNCMGAYKLSTQHEKKTYDILAKQLGEEDSRTKDSENWLNTFKLREQQVNAQKQKGQGTNPSSNAIDFLKANPAFLQAMKAAVIQSGDGAANVNRSLNAAVVGEGVPRVRGVDERAAKATAEARKKAAARGLSVRNGPTNNASELDHILNLINSAASTSATAAPSNSPKPASEGQVSNGPALNGTTTEPLLILVVPCRQT >Et_3B_031667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7261546:7265595:-1 gene:Et_3B_031667 transcript:Et_3B_031667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEASKGPRYAPPDPSLPKPWRALIDGNTGYMYFWNPETKAVQYERPAPVAPAPSPPVPTFPSSQSQPPGYGRPEERQRTSAPSENKRLQDCHTGLSLVFQPRVDAGGSYTQNAPRADDRARMNQSEPRSAPGSNFAQNVLSTSQVAQAANGNNMSPEVYRAKHEITIIGNEAPAPFTTFHSTGFPSEMLREFQQAGFSAPTPIQAQSWPIALKGRDIVAVAKTGSGKTLGYLLPGFMLLKRLQQNSREGPTVLVLSPTRELATQIQDEAIKFGRSSRISSACLYGGASKGPQLRDLERGADVVVATPGRLNDILEMNKVSLRQVAYLVLDEADRMLDMGFEPQIRKIVRQVPPRRQTLMYTATWPKEVRKIASDLLANPIQVNIGNTDQLVANKSITQHVEVISHGEKSRRLDQILRSQEPGSKIIIFCSTKRMCDQLARNLSRQYGAAAIHGDKSQAERDSVLSDFRNGRCPVLVATDVAARGLDVKDIRIVVNYDFPTGVEDYVHRIGRTGRAGATGSAYTFFGEQDSKYASDLVKILEGADQSVPSQLKELALRGGGYGGGRSRRWASSGDDYGGQGYGSGYAKKSTDNFNNSSFGNQAGGGSSFHSSFDNGNRNNQTGGNASFNPRFYGSGGRDQSRSNNDGFRARSRSPPSKALGVSNW >Et_9B_065813.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:13523792:13525657:1 gene:Et_9B_065813 transcript:Et_9B_065813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCRADSSVATCRSITAISPLPISRRSGGSSGASGSGSRSRAPAPALPPPAIIERFAYAELEAATSHFADAALLGRGSHGAVYKAVLPSGRAVAVKRPSPRRAEVDNEIRILSSVRGPRLVNLLGYSDPGPGASGAGARLLVVEYMPNGTLYDLLHSSPRPPGWPRRLRLALQTARALRALHDADPPVIHRDVKSANVLLDANLDARLGDFGLALRVPKRLPGDTAAATPAPAGTLGYLDPAYVTPESLSTKTDVFSFGILLLEIMSGRKAIDVQHSPPSVVEWAVPLLRKGKVASLFDPRVPPPRDPATRKDLAALAASCVRSCRERRPSMADIVERLVVLSKAVSAKVWNGLAVVGNPCAVVDVQKTISKRAAASSRAESERESTSVIAFDDDDKEEEEEAVEQAMEDQVPLVCVKKPPRPLKNGIVLAEAGARERRNLLELMARIDGVAGQRFGITRARTVRATSELIEKDAVLLLRRNQTVKVVGSEVLPKSERLSRFEVKIKHKQGKEQEEARKVQDKEGEFQEKAEKIKDNASQIQERSVETLRKADQLLQRTEQNIGRVQRIQEKTGKNLEKSENVQENEGKIQGTTEKIQENAGEIQVKVEKTHFNSEES >Et_4A_032624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1251777:1252733:1 gene:Et_4A_032624 transcript:Et_4A_032624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVVRLDLHDNKDKQKAIKAVSVLAGIDAISVDMASRKMTVIGTVDPVNVVGKLRKSWAAQLDSVGPAKEPEKKEEKKEGDAKKDGEGKKEEGGDAKKEGDGGKKEDGDGKKEGDGKKEGEGGDGKKEGDAKKEGGGEKKPAVMPMHHLPPQYMNMITADYMNQYRPPPPPPPAYPYVPPPQYYYVRNMSMEENPNSCVIC >Et_6A_047388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:484406:487931:1 gene:Et_6A_047388 transcript:Et_6A_047388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSMLFILVSEVVACIRRWRSRRDKLLAVDRLRETMEEDHPLAPARRRRRLRQRQRSKMMRSITEVQYGQAVEMWRPGRDGVPGRRQVQRPTAVHALVPQGLHHRMAAPPLDLSDLQCSLLMFFFCAMLLVVAMLILIGVAEAIYRLRRRGRKTPETLSIEQLLERIPDVPYRELPGGDAGSSGGKDRDDTCVICQAAYEDGDKCNRLPGCKHMFHKACIATWLRKHITCPLCRAMVVALPGQTQLNAAENM >Et_1A_005201.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:32638472:32638702:-1 gene:Et_1A_005201 transcript:Et_1A_005201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KCFRHHIVCLDACPDAEVPPLVSLTHPPFGLELARKLVPDRRCHLVLEPVQLHEHRLHLCIAHPEPLSRTKGPMPS >Et_2B_020466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20436767:20440837:-1 gene:Et_2B_020466 transcript:Et_2B_020466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLAAPLVLPATAAPRTPGPWLGASRRVRPAVVRAVALRALPRRVRLWLPRLAPVESTPSLSSTPPTPEEQSTGSGLDAGAGEDGSGGDGGGGADLGWLRVFPHVLTASMANFLFGYHIGVMNGPIEDIARELGFQGNPFLQGLVVSIFIVGAFFGSLGSSTLVDKLGCKKTLQIDSIPLILGALLSAQADSLDEMLLGRFLVGIGIGVNTVLVPLYISEVAPTKYRGFLGTFCQIGTCLGIIAALSLGIPSESDPHWWRTMLYAACVPGVLIVVGMQFAVESPRWLAKVGRFDDARKVVETLWGPSEVDRSMEEIKAVVVNDDSQASWSELLVEPHNRVALIGGALFFLQQFAGINGVLYFSSLTFRDVGIASGALASLYVGITNLGGAIVASNLMDKQGRKKLLIGSYLGMAFAMSLIVYGISFPLDEELAHGLSVTGTLLYIFTFAIGAGPVTGIIIPELSSARTRSKVMGFSFTVHWICNFLVGLYFLEFVEKFGVGAVYAGFAGVSLLTALFAYNFIVETQGRSLEEIEMSLSSTNFGKQK >Et_10B_002482.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:10661948:10662136:1 gene:Et_10B_002482 transcript:Et_10B_002482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQPQLELPSRTYHCLKRANIHILLDLLGETEEDLTRIDSFSTEDIKLIWATLPKHLPIDS >Et_1A_005932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15717881:15721072:-1 gene:Et_1A_005932 transcript:Et_1A_005932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSATDVSKFPVEDVHNILGLEIKGNDVIQYINDKLKSEDETVHSELFQKFANGNNKLELQVLENILHKDRPVDEEFVRAFVLFTIGVLLASNTGSTVCWSYIEAVRDIAQIPLFNWGQFTLNHLLKSCTSYINKREKALKGNLVLLQFWYWERLRVANHYGIKYEERITRPPVMVFWNEENAKLRQAALEKDGLDGGTLILPVASNTKQKREAIVSEAKYKPEGPSNQPDIKFLEHKKELASFRNDNILEDRINTLEFKEIHELLRTLIDKPISQTGHAQHHVQVTPRTRFHQAKDRIYDESPSAGNDTMETTMEHHSDPATTHIKKREPIIDEDYNTGSIDREAGVFLLQSYEDAWVVDIDGIRIKVGHLRRNVSQENIYGEEINAYVKLSDIENDTASFISTFDAQRLADTRWDRTKNYMKRIADQCKGKHLVFVPMNINGNHWGLLVLNFIKQEVEILESLSCRDEELEITVVESIKRCVEFSIMEGLVNFEDQFNVREWEIVSYVDISRQHNRFTCAAFVIQYILAWDGEKMAHEFTSKEAYLLENPDKQEGHGHDSDVEFIEMNPSATKTQSKGEAITASGTTMTKRPRGRPRKIMVEVSAKVPVIEEGTPKVKGAPIRKRGRPSRIKAATAPRTVVEGFNTECLANRINKWRRQLGKQLSSPYQAN >Et_9B_064150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11850213:11851431:1 gene:Et_9B_064150 transcript:Et_9B_064150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIASATLAVISLFVAATAAAAATPLPSNVNDTVVLSPDFHQASCPQLPGIVRSAVRAALRWDVQITAGLLRIFFHDCLPQGCDASIFLDGERRFGPNVSLQPRAEQLVDNIRVLVHAACGPIVSCADILALATRDAVNLASARAQRQLPAGSPAEISILPGPYTTVDALLGVFRRRGLGDAADLVALFGGHTVGKASCGVIRANDDFTRRLARQCSAAPARKQSLDVVTPDVFDNKYYEPRGVLASDQGLADHPRTRPLVDAFAANQAAFFKQCAKSMVKLSSIRGAAGEIRRNSCFRPNGRIGVEEDEELAATPAFQWA >Et_1A_007449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34723328:34724868:1 gene:Et_1A_007449 transcript:Et_1A_007449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMNEAERGKKMLVRVREFDVGRDLRAVEELERRCQVGLSGDQDAAEDKGGAQKKKTRSSKKKGMSLYVEQIGDPFSRVRHAPDHVILVAEYGEEEEVVGVIKACTRMVSRGKKKHHSSKQQSQFVKVACLLGLRVSPSHRRLGIATELVRRAESWCASRGAAYATMATTASNAASLSLFAGRFAYAPFRRPVFLGHPVHRHRARLPRAHRVLRLPPPLAAAAYAALLPPHAAEFLPADLPALLEHKLTLGTYLAVERGGGPDGDPALPPSFAMLSVWDATRSLRLRVGGAPALLRASLAAARALDRHAPWLQVPSVPDIFRPFGTYLLYGLRMSGPEGPALLRSLCRHAHNVARKNPACAVLAADLGPDDPAAAAVPHWPRFSCDEDVWCIKKLGGADGNADGDDKEDDWTTSPPAGLLFVDPREF >Et_10A_000995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:234411:234886:-1 gene:Et_10A_000995 transcript:Et_10A_000995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRVALKDVEYAGYTIPKGIMSCGLAAVASYVPTPDTTTILSPSTPTDGKNQQSRAHTRCSERDTGSAPGTCWLGCSSLSYKYSRFYPARAIHSVHEHLLCEAYSAAQHLLSFNFYLFSNGRAA >Et_5A_040682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11000849:11003555:-1 gene:Et_5A_040682 transcript:Et_5A_040682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKWGLLMCKSSSVFGGERAGGKVERMEEVDGKIRRRSALAFLLASPALSVASSASGKTKSMNPYDERRLLEQNKKIQAANRAPDDFPNFIREGFQVKVVTSDSYITRDSGLMYEDIKVGTGDSPKDGFEEGIRDMKPGGKRRIIIPPELGPPVGPSTFFSAKQFEVFDVELLAVQDCQRRTIAFYSDVVCS >Et_5A_042383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9389300:9397529:1 gene:Et_5A_042383 transcript:Et_5A_042383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRREPAASPFRDLSNIRTPRPNRKPAASALAFPSFETPLQGPTPTTLRRRKPVAGSGAPTRTPHHRRLRALEADQSRSARRAESGRERALRTFAASASSWLSLLLRDPSACGCPRAPGSAAAASRPCAAGKRDTLDGERARGRSPKRRRGGDRGGERRKSMTPSMKAALRDSLREACSLDDVTERMERYMSKDACEEVLVMMCQICKNIDEGRLKMKAHCPLVTDLRLKEKATRIFLCYNPEWLRIGLHIVLGGDSLLQNGSGKQDKEVPFLKLILEKQIFAQIMTAKSFAHKVAEGLYKQGYSEALGNIVLKRIFLLVAALDRAKVESALPLETGIDGLDGGSPLLFHHQHQIKSSRQIIQESLVEAMHGEGDLMMHLTTMGYKLSYQQPALSEYDFTIRSIFEDLQDGIILCRVVQLLLSDSSIILSIKQAGVPLSDSDGITISAEDITTGDKELILSLLWNIFMHMQIPLLADKSSLARELASLQVPVTPENKSHISLLYDWVQVVCSKYGMGYETTSQFNKGAVSCIINYYLNIDAFPLKESLAGCQKELFASHELDSITDVTSCPDSKMGNLLADFLQDIPASGILADDVLFDERSAILLLAFLSSHLTNEKRLGQLKNLVNTRFHYRSSSTKTSARSRSQGKKDMKYQSPQTENKDGSSTDQDWAATTIQNQARCMIAKNKYCNRRKAIFILQGAMRAWSAVIKKRNKRHRFVQMRKCAIIIQRALRIWIKGRKSHENNEHLESHEIFLHNKNLRVIAAIKIQSHLRGLSVLKWFTRQLQAIIAIQTSTKQFFYRRAFQQQRFAAKLIQRVARGWLERKRMLGSSSLQTYTRLGVLDQSQHKKCHQSLELKIVLHSVLRLQRWWRKFLLRQSTQTSVISIQSFIRGWLVRKRLNQIFCCINIIQRWWRKVLFLESRKQAVIVIQAHFRGWIARQAATRKRRCITVIQVRKNHQQNSYFKAYLVRKASKQEVAHIRSRLQKSSAQVDDGMRLINRLVAALSQLVHRRSLSSIRQTCATLSTATKYSKKCCETLVAAGAVDILLKQIHLLNRGIPDQEVLKQVLLTLRNIARYSNLRTVLINTPESVEIVFQELLRYDSVICIAQVHMYHELCFFFPNNFVLIWVDTLRNKADGFFVASAILKNLCESKEGHETARALQHHVKRLRNLLQDLEKKVEVDKSRFQPDSPTDVLFTERASLLSARNGRTGVVKDANLRRLGEAATLYHILTSDHRLGNEAN >Et_6A_046850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21486633:21487759:1 gene:Et_6A_046850 transcript:Et_6A_046850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRTRVQKASSPASREEFKRARNQIVEALCCATDDAVAEGICRLLVDAMAESLVTLRAAVHAEKKNLDLLASDELVAAVGALVRGHGSERVRGIARPGARGDGGRAEGSRHGKGRHALDRVSSPTTTPRPEDTAEASDVSSSFCRDNNASSRAHGRPPQLAAAGVAKIAEPSPKKKVPLVGSCVKSTSPNKHPKKTATSPPPAARSSVGGDRLAARALNL >Et_2A_015857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18450940:18454635:1 gene:Et_2A_015857 transcript:Et_2A_015857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GLVWPILSFLFGLGSNGLHYGSIRRSRTIPRNCESRRLSRDEGETKGIAMASSPQQQGQAQGGGQGSGSGWSPEQFWSLLDKADRRFARVRDLPLVGRQEPDAFAKAFRIYTQLWRMQQEHRHRLLEAGLRRWQVGEIAARIAHLYYTQYQRTADTALLSEAFVFYHAVLDRAYFHDAGDHVAPGKHLRFLARFLLVALLLARRAITVPRVAADIRSLLDESKKALQVEAEYKEWKHVVQAIARFLRADSAFMNMRPLRYSYACDPPPDTLPTVPPTIKRRGLGLSDAILCSYYHNEVKFTDITIDVFRMLQCLEWEPCGSFALNNGYSAHDESGQNHPNLLKDLRDAALPPNPLKTILYRPSATHFLTVLATKCEELPPNSMMLIYLSAAGEVGPSGFGPEASEKIANNFSKFDISNTNRTGSRVDDESGIWLGCREREGSNCIYPCDLIPFTRRPLFLSIHGAERGETAAMLLSPGSRSSTAGFGGDSTRQSGSQFTMFLTAPLQAFCFLIGNNGMDIDRDAYNKAEELLSLSLNEWATALVSSSTIHPVWVEVLGDPLLRRLLLRFIFCRATHSLFKPTNHKAEFLPTCVPSLPESVDAESMLSQSCIMRIASFFGAVNQFSFAELTTWPDADTEEAAVCP >Et_9B_065867.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15826764:15827138:-1 gene:Et_9B_065867 transcript:Et_9B_065867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFDFDLNELPPEDVANDASPAPAPQDPPPPLVREPSPQDLPPPPARESPPQDLPPPPAREPSPEDLPPPPARKPSPKDPPSPVLDLEAPLSPLDEEGDDDADPQQLPGPPPILPAAPEPWS >Et_7B_053572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1026600:1031131:1 gene:Et_7B_053572 transcript:Et_7B_053572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPTPTAPKTIADFFTRPAKRLRAGAPAPAASLSSSSPSSLSPEQRRRADTNLALARARRNLCLAESKAKASGGAAKLEELLVEKTWLEALPGELRKPYALELCRFVTHERLHGPLPVYPPQHLVFHALNATPFDQLKAVIIGQDPYHGPGQAMGLSFSVPEGIKIPSSLGNIFKELQKDLGCTVPSHGNLERWAVQGVLMLNTVLTVREHQANSHAKKGWEQFTDAVIKTISEKKSGLVFLLWGNSAQSKIRLIDETKHHILKSAHPSGLSANRGFFGCRHFSKTNQILERLGLSTIDWQL >Et_9A_062820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5397660:5399608:-1 gene:Et_9A_062820 transcript:Et_9A_062820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSLSLSLSLSLICDTNTENLEGTPFPKRVTTIPVSPSLAFMSTASQWQDHELGNKIKRESAAAAADRMTAARRSCSMPAASGLGAAGAGPGSALNTITPCAACKLLRRRCAQECPFSPYFSPLEPHKFAAVHKVFGASNVSKMLLEVHESQRADATNSLVYEANLRLRDPVYGCMGAILTLQQQVQALEAELAAVRAEIVRHRYRPTAAAVATVLPSSHASQLLAASAGRGLPARPAAARTATLAVAAVGPAASSSSSSTVYAAASNSTDYSSITNDNVTYFG >Et_6B_050067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5284594:5287331:-1 gene:Et_6B_050067 transcript:Et_6B_050067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVQLFSTGMVLLSKIAIGSGMFVFTQLTYRSLFGAAVMLPLALILERGKWKEIGWFAAGWIFLNGFIGYAVPMSLYYWGLRDTTASYAVLFQNIIPLTTFILSVMFSLIGTTMVIAALYVFLWAKAKEFPEK >Et_8B_059549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19034176:19043446:-1 gene:Et_8B_059549 transcript:Et_8B_059549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASWARYLLASSTKQSSESNFDTLVANLSNLRELYLDGVDLSGSGEELYISLTTSVPRLQLAHCGLRGPIHKSLSTLHSLNVINLEYNNHITAGFSQFVRGWFPSRPFESKNLRVLDLSGNQNLSGHVPNFLNASSLETLRVDGTNFSSAKPVSSSEFKSLKELSTDGNLVSMDFLLSLGRLGRFCLYGSYILGSIQEHENNSFSGTIPITIGQLNKMRWLVLAICNFSGRIPSPIVNLTQLQVLDLSYNNLNGEIPSSIFSTIPVLRDLDLSFNRLSGPIPKFNQAIAQLEFVDLRNNEFSNIISTYKSLIKLDLRSNNLIGLVHLALFWKLRNIEILHLSNKKLSVMDTEGDSPSLVWTQEIGLASFNITQFPRSLTRRKFISHLDLSCDNISGDIPKQLWETCSSSIIHLNLSHNMFTGIGFTLDVLPITTSPDILDLSFNRINGLIPMPKSSASVLDYSNNRFSSVHPNWASYIGKTSYLSMSNNGINGYIPPSICNSSLGILLLLHNNFSGPIPSCLLENGQLSALNLRDNHFEGMLSSNITSGCSLQTIDLHGNKIYGQLPRELSNCLQLEVLDFGSNRIVDVFPSWLRVLPGLSVIILESNKFYGTLGDTIGVQYDEFFPSLQIMDLASNNFSGDLRPQWIERLKSMMANCYSGEMGSCQQMVWCNCKSFASLMVVRRCDIQRRTLSMLPLKEAAKASIVSRNWRELWTCHPNLCFDGSKQQSTDEDSMKIEGTKFIETVNSIVQRHSGAVLNKFSIRFLLKKESSDHLDRWIFFAAACKARIMDINLWPGALKAQVGKDYNYPLEALGSQDGPFIQSLFLKHVSLKPHSDIAGFTKLRRLHLHNVIINGDLRGLLNNCCALEDLEIFKCYGVSDLRVPLHFNKLSHMLISQVPTSSIDFHVTCFTQFEYKGQARPIVLHGCSELEKVSLTFKTGSFERDKDLVSYAFNKIPTISAVKVLNVHADMIENQQFWTSQVDRLMTRPMYMFTRLRHLTCEITIFTDDANSHGGILQFAQYLDRAPELEVLELHMLYHTPVEFWPGEATGLFSSSTGLHRLKEVHMSGFRCYRPQMELLCGILGKGAMLENVTIQTNPYSVTSYNYERYILEWARHTSERFGKEINVVSYPL >Et_4A_033759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26664986:26667460:1 gene:Et_4A_033759 transcript:Et_4A_033759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKSAEKDKGKKAPVSRSSRAGLQFPVGRIHRQLKQRTQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >Et_10B_003122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16951781:16962900:1 gene:Et_10B_003122 transcript:Et_10B_003122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPAKSLCRFNCVSRSWRALISDLAERASLTQTLSGFFFSRYKPKSWGFVSLPTPTSSLPPVDPCVFLTASSDDDGVTELLDSCNGLLLLRCRSPSRNNGVAFYVVCNPATGERVTLPQPSVEPGSFNDDELTSFEHAALAFDPAISPHDFNVFQLVEEELQYNNYVTAPEIYSSATGTWVLRECTCKPRGIFLGHTFYFSGFQHLSLGFGNIGLVDTTGQTWRTMDVNFPGCSNLGFLGHSQGRLLYIIDSLRGPDAWVIYVLEDHDRDEWTFKHRVSKQALFGTLSGDSGWRPRYSVAAIHPECDLIFFFDRRRRKLMSYDMCKGNVRVLCTLDEELLYLYPFLRYDEERQCPAGNIPDNLIVEILARLPAKSLCRFKCVSRSWRALIDDPAHLCRLAQTLCGFFFSRYPEGTTGQSWGFTALSESPPPSVDTTLSFLLRSCGEITLLDSCNGLLLLRCSGAAASPPPPQFYIVCNPATGEWVALPQPSHAPGYNDCHEKYAEGLLTESAALGFDPSVSSQFHSWRVTHVPSIGDDHRWSLLGASQGHLLYMDTKDPCDEQSIYVLEDQSSEQWTLKHTVSMLSLSGLRRNSRGRMLYEVAACHPDGDLIFFYDHSQERLISYDVNEGDANVIYPLKKTWKVTTVVADRKDHGSSHGFVGHSRGRLLYLDGDFDEDDTLAIYALEDHDKEWVLKQTVSEMDLFGPNNLQYGWNVAVFHPHGDLIIFCDRPRRRLLSYDMNHRHVHVICTLEEVPNDHRPFKPYLPLYSRALLAAAQKFKDTCFTWISWIHVLSCRFMFLRTKVRLARTTGLRSCCMSSDGDLIFFYERLQERLLSYYVNKGTVNVIRTINETTSAVLGFDPAVSSHFHVFQLVEEDEQCNLLLHAEEIYLSETGRWVLCKFQLDGCNWIHFTNSMTFDGFLHLTILNDGIASVDTKGQTWKVTVVVSDHKLTSDGVVGHSQGRLFYADDVIHDDALTIYVLEDGDKEWILKQRVSKLDLFRPNNFRHGWNFSVPAFHPDGDTIYAAEETHIL >Et_1B_014210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35551064:35553773:1 gene:Et_1B_014210 transcript:Et_1B_014210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSRAAAAWARLISLRPHASAPLPHHHHLASRIMPPRRHLAFSAGGADRSYQQIQSERVVHELLAELERERQRDREERRKQGEGDEKEEEEEEEEEDDYMGVKPLIEKLERRKAKEAAAGDEAFWEPTDSDSDEDDERYTPDAIKKRVDEFDRKCKRHAELLNSFAEAETLDEAHKWMTKIDKFEERHLKLPLEYRVIGDMMNRLKDSTGKERFVLLQKLNRAVRLMECKEAYDPSNPANFGLIQHQQVGSPEDLVLNAGFDKEKQMIQGAELEEGDEEFNEAKERDDMLIEKLNAIEKKIEDKLAELDHTFGKKGRVLEEEIKDLVEERNSLSEKKRRPMYRKGFDVKVIDVNRTCKVTKGGQIAKFTALLATGNYHGVVGFAKAKGPTAKIAIQRAYEKCFQNLHYMERYEDHTIAHAIQAKYEKTKIYLWPGPMRSGMSAAGRTVETVLYLAGFSNVKSKIIGSRNPLNVIKALFIALNAIETPKDVQQKFGRTVVESYLL >Et_1A_006664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2601197:2603472:1 gene:Et_1A_006664 transcript:Et_1A_006664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAFLSLSPEIRDALAKVAVFVLVQALVYLILRNSSNVFAKDSKLRSLSFRPMRSMSVRRVLAPLSDVPVGTDEPSTSPSLSSTASRRRASMMTGCLFSTDHRSASSSFSMESISSIATGLETSQKYALALTRSHLLLCPFPRHRHSRPRPAAAPATVEQVAEACGCVAAAEAASRTQSARGLGARSAIGFGCRSRQ >Et_8B_060488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1820935:1822949:-1 gene:Et_8B_060488 transcript:Et_8B_060488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLSILCRSLSDIDTYTTGFPRGTNQGQANIFRAVKRAIPGPYTFILPATKQFPKQCIKHGRSTRYAKRKQVGVRIPDDPICQAILQDLDAPLICTSVKYLSEDEWILDPVIIADIYEPLGLDFIVDGGVRIADPSTVVDMTGAYPTIIRQGKGPKLDWMVTEEDTEHEGELMLAFEAA >Et_4A_033290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21123423:21133011:1 gene:Et_4A_033290 transcript:Et_4A_033290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDTACALEIAAAVAVALVMIVVVAASSGACGGGAAREARRAAVHDVELALGAATLMTYEQAAAMKNGKAPRPPMVGKEEDYCAICQSEYVKGDEMVRVVPACGHFFHAECDVDRWLRAIRRCPLCRGGLLPLPRPPRPECSPMPPRARAASPQHRTTKMRTPKLALLATISLLVLLLAPSAAAARVGPSVTKPIDASQTQHMELPDIVIGPESVAFDPHGGGPYVSVNDGRILKYEGESAGWKTFSYSPSYAKNNCSVKPNSELPIDFSPISIVAKEPLCGRPLGLRFHRESGNLYIADAYMGLMRVGPDGGEATVLVTEADGVPLSFTNGVDIDQVTGDIYFTSSSTTYTRAQHEMVTKMRDSTGRILKFDPHTNQVTVLQSNVTYPNGISISADRTHLIVASTGPCKLLKHWIQGPKAGTSELFADLPGYPDNVRPDLKGGYWVALHREKGETPYGKDSHLLAIRIGAKGEKLQDMRGSKDVRPTEVVEREGGKLFLGSVELSYTRSLPVSPSLIAALPSATAASMSPDTAFALEIAGVVTIAVLIVAVVAAASCAGAGRAAVHDVELALGAATLMTYAQAAAMKENGKAPAVQSGKAPAAGTDGGDEKKKEECCAICLSEFAGGDELVRVVPACGHFFHAGCGVDGWLRSRGTCPLCRGRLWPLPRPPRPECSPMPPRAGRTTNFGAGIAMLWSSQLIAAAKSLAYSVKPSSQSPCPARHQKAEPAMSPVVVFALQMAALVLIVAALMIAAAAVATGACDGSAPCSAVYDVEGALVAYEKVATKPSPATEGKEEEEQCCLICLTDYARGDELVQVVPASFVRP >Et_4A_034565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4341094:4344149:-1 gene:Et_4A_034565 transcript:Et_4A_034565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLEMWLPPAAGEGAPAAGLFLDAGDAAAHGALLAAMPGCSVSFGAQRRRRRASPGFLSLSMTVKGSRGFVSGAVGLLPGAEEKGGAEAADALVGSANTAVAEVVTDGKVVVVQDKEKEARAGAGAMNTTKHLWAGAVAAMVSRTVVAPLERLKLEYIVRGEQRNLFELIHAIATTQGLKGFWKGNFVNILRTAPFKAVNFYAYDTYRKQLLKWSGNEETTNFERFIAGAFAGVTATLMCLPMDTIRTKMVAPGGEALGGVIGVARHMIQTEGFFSLYKGLVPSLISMAPSGAVFYGVYDILKTAYLHSPEGRKRASMMKEQRQDANALDQLELGTVRTLLYGAVAGCCAEAATYPFEVVRRQLQMQVKATRMNAFATCLKIVDQGGVPALYAGLIPSLLQVLPSASISYFVYELMKIVLKVE >Et_3B_027415.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:14101261:14101737:1 gene:Et_3B_027415 transcript:Et_3B_027415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DQDLTSSSHSSDPSVQSENTSIAYKGPLTRARARELHNKVNLFFSTLNYEINKNNLLPNGCTLLVLNYEGLTSLEEEERDDNVAGFHLCLTAHIYDDGVMREEREAGSSCSRTLHGRGIHYINVQDNHTSIQTQGKKIRRFEFKSDSVRFNQTESEEK >Et_6B_049425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4769909:4771500:1 gene:Et_6B_049425 transcript:Et_6B_049425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPALVAASVVVLTAAAEYNVVDYGARAGGRTDSAKAFLAAWAAACSDDATGGPVVMRVPAGTFLVSHAYFKGPCRSAAGVVVAVDGAVVAPAAAVGSTAWIMFHYAHDLEIRGGGTLDGRGQAYWACKAAAGRRCPPGTTEREREAADAAQREERGATEATDAAQREDCAGVTLRGVRMVAPGDSPNTDGVHVQLSTGVRILSATIRTGDDCVSLGPGTSDVVIRNITCGPGHGLSIGSLRGEAGEAPVRNVTVDGAVLTGTQNGLRIKTWGKPNRGLVAGVACLSFTSIRMQDNPIVIDQNYCPGNINCPGKSSGVKIRDVKLTDIKGTSRTPVAVRFECSGINPCTGITLKNINLTDGKPAQSLCKNAHGSASGVVIPPSCL >Et_4A_034828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6980400:6981956:-1 gene:Et_4A_034828 transcript:Et_4A_034828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGATTLGGGGGGCPSGSGGGGPGGGGGGGGGPCGACKFLRRKCVSGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLQIPAHKRLDAVVTICYEAQARLRDPVYGCVAHIFALQQQVVNLQAELTYLQAHLATLELPSPPPLPAPPQMPMPPAPFSISDLPSATNIPTTVDLSALFDAPPQAQWAVQHQQQHHHQQQQQHQLQLRQAATSYGGASVVRSGGPGMAESSGGGGGGDLQALARELLDRHRSGGVKLESPPPPPHSR >Et_4B_037760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23153162:23156087:1 gene:Et_4B_037760 transcript:Et_4B_037760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVATAVAAPALRRLSHHRAPPRSDSRLSFLLSDLDELELDVSSQPPPHPAPLEQCKVAEESRSGHAGAGTKPAAVDIAHPWPEWVALMELLLRRGLVDPSAFATSSASPSKDANLVRTACLRFGRERPELIRHISRWDIQVAVRCGCPSTDRKVVNSGKRLRAYVGLDEGEVCSQCVLRGSCERAYVKARKEEIGRTVDVLRILLTYGLDVINGNVENRACLSKTVKESIKSLLTEVVEVDSSGSGSSIAKVAQRKGQSGLPMKQGDWSCPKCNFLNFAKNIKCLRCNGEFQERYRLLHEDQEHLPLKKGDWICKKCNFLNFAKNTRCLQCHEKPTNRFLNPGEWECVSCNYLNFKRNGFCLKCGWKRPKSLNNQDSIESIHDLGHNKNPTISFVDDGIQLKRLQSQHKNDPVADDDSDFWSSEEEHGDYSANKTHQMQDYKFLESFPIVGGKTATSQDLLAREKWKEDMSRRTKGLPTEESKESNQAFSPVRLPKSMELVESDDDDEISAWFSDGTSSRNPGKA >Et_1A_008867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16628899:16630913:-1 gene:Et_1A_008867 transcript:Et_1A_008867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGPVAKREYSTYHPNKEESQLLQHRTQHYGKPAICLVSHSSSAPVSRRKSHAVRPATMKWSRPPVARHSGRRASHATRSSASASRVAVLFQRYLPPSRLS >Et_7B_055711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2454333:2455792:1 gene:Et_7B_055711 transcript:Et_7B_055711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSVAVASLLLLLLATTAHGIRPDRQLQEALNKKEMGDPKPGEASIAHSVNKHCEDGHCSSSGTMKQMTPTVVAKDSQVMINAYAAPRFHEDYYGPRTAKRPLTHAESGAEKKQMLGSTGNGHKATVEADAATTAQGRHEAEATTSQEDLAAATPRVPWKGQTYPDIMDIAGMDYSPAQRRSPIHN >Et_9A_061455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12215358:12216479:1 gene:Et_9A_061455 transcript:Et_9A_061455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPYEQVMEDMMRGRDFAAKLQVLLRDSPKAGLLVDQILDTFARAIDAAKAMAAEEGSEVQSEVTCAGGGGGGGKRKPASGAGGRASRRRTQQSSVNTVTTTDLEDGHAWRKYGQKDIQNSKHPKAYFRCTHKYDQNCAAQRQVQLCDDAPGTYRITYIGAHTCRDPATIAPVVVHHHADAGDADRLPAGSRLISFAPNASGTTTTSATTTTTGGNTTNNQQAGHQGPGSILPPLKLEGGVDQEEVLSSLTPTGSARNPVATTPGPDQGDVTSGLHFFGGGVDDMGFPMDDDTFDLEDLLYY >Et_4A_033831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27186730:27189973:1 gene:Et_4A_033831 transcript:Et_4A_033831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGRFNVRPGTPAWSGPRPLGRCSTQKPAPCPVVVIDEDDDMDDGSDSEVYIMDCAAGKSVPTTSRSLARKGEGSSGNVIDLDDEEEVEEISGGDKAGPSTVGAGAGSPGATTPGRASPRNRYGLDCTSDSSESDMSDSEGWDSESDDGGASDCEIMDDRTARKMWETAASSRKKMPGSVHEAREGKATASASSSGSATRVGGNAEGLFGSEEDHLDEDYFQFFSYEDCSLNGNMSFADAWKGEQSSTCRTKNFNGPSSVPDAEECLNGNVSDGKETECGQKSNGGAKDGPKECHLNQEASQYFSDARKESGHNITGDAKDGRNPSSGQTANECSNRNDSNGKGPKNSASPTLDPGTARENETAHFHNGAVPEKASDGVPSPHLDQTFVNSFVSAKRVFPASTSTCWNDGSPPISVSTPEKMDERIPEGACSRKDQSPSDAQNVSGSFTFSQKGLVDDPGLGQFTSVQEASNFQDGLIGEREKHKESAEFKRAAEEEWASRQRQLQIQAEEAKKLRKRKKAEALRLLDMEKRQKQRLQEVRESQKKNEEEIQLKEQYRGVVRKELEDTERRYRDMATILLVLGIPVEGGEVRAALKQAQVKFHPDRVSRSDIYQQVKAEETFKFISRLKEKLPQRSTLVVEQF >Et_1A_005131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28414720:28416792:1 gene:Et_1A_005131 transcript:Et_1A_005131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLPRLAVLILFAHCLLLCSSAAGDVDFVYNGFLQRGANLSLDGSASVLAGGALRLTEDRSHLTGHAFLDSPVRVVLGRAVDAVAVSFSTAFVFRVVSTGSGGGSGMAFVVAASKALLPRGLLGNGTTTGNASSNHVFFAVEFDTAQQETEDGNRVVGVHLNSLASNVSAPAAYFTDDDGGGRSVSVPLESAGAVQAWVDYDGRARVLNVTIAPVSVATRPRRPLISHGIDLLPVFEKDMYVGFAAATGNSARSHYVLSWSFRKGGGLARSIDLSLLPDVPKPRAPPFSRSTVIKIVALSCAGALVAILAAVAAALWLRHRAAAVAETLEEWELDHPHRFTYKELYKATKGFRDSELLGAGGFGKVYRGVLPPRSGGDAVAIKRVSSGGTQGGREFVAEVASLGRLRHRNLVELRGWCKRGGVAAGLAYLHEGWEQVVVHRDVKASNVLLGADMAARLGDFGLARLYDRDGGATTTRVVGTLGYMSPEIVHTGRATTAADVFAFGVLLLEVACGRRPVDPATGVHLLTWVRELGVKGDLVHAVDERLGGCYEEEEARLVLLLGLMCGQMSPPARPTMRQVCQYLDGELVMQEAMVVFADTDSVDLDSPGSLTSSSCAAISVGSLQSGR >Et_10A_000301.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:2286556:2286597:1 gene:Et_10A_000301 transcript:Et_10A_000301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPHLSSLTIG >Et_8A_057131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21486218:21488172:1 gene:Et_8A_057131 transcript:Et_8A_057131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTLPQFISGRQHSNGVSALQTSLTLPSRLKRYLTLSKLLLLALESFGQGTAWLSHRKTGTFSALMWQWVVRACISFHGR >Et_7A_051054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1435668:1438260:1 gene:Et_7A_051054 transcript:Et_7A_051054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLAVVTKISPAAMKQLAVLAVPILLLLLSVSFLLLRPTSPPLFTSHEAPNPNSRLKVYIADLPRSLNYGLLERYWSLPAADSRIPATSDPDHPAPPNHSPYPESPLIKQYSAEYWLLASLRAASVAAVRVVADWREADVVFVPFFATLSAEMELGWGAKGAFRRKEGNDDYRRQREVVDRVTAHPAWRRSGGRDHVFVLTDPVAMWHVRAEIAPAILLVVDFGGWYRLDSKSGTNSSHMIQHTQVSLLKDVIVPYTHLLPTLSLSENKGRPTLLYFKGARHRHRGGLVREKLWDLLDNEPDIVMEEGFPNATGREQSIKGMRSSEFCLHPAGDTPTSCRLFDAIASLCIPVIVSDEVELPFEGMIDYTEFSIFVSVSNALKPGWLTNYLRNISRQQKDEFRRNLAHVQRIYEYDTSYSSSNDPVPPDGAVSYIWKRIHQKLPMIQEAVIREKRKPDGASIPLRCHCT >Et_4A_032997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16797599:16798068:-1 gene:Et_4A_032997 transcript:Et_4A_032997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQQPPVGVPPPQGYPGKDAYPPPGYPPAGYPPPAQGYPPQGYPPQQGYPPQQAYPQQGYPPPYAQQPPPRQQQSSGPSFMEGWYVHSFLLPAVLSTDLSQSSHA >Et_6B_048883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1542593:1546607:1 gene:Et_6B_048883 transcript:Et_6B_048883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTYIALLLVLAASALSLLPAASLGVTSPYVRPPPRETLSLLKNEEDHAGGQTPQQVHISMVGLDKMRVSWITDDDAPAIVEYGTTSGQYTSSATGDTTTYKYVLYHSGKIHNVVIGLLQPSTTYYYRCSYSPSREFSFRTPPATLPLKFVVVGDLGQTGWTASTLKHIAAADYDVLLLPGDLSYADYIQSRWDKFGRLVEPLASARPWMVTHGNHEVEKMPVVERRPFRAYNARWRMTYDDASTASPPSGSNLFYSFDVAGGAVHVVMLGSYADFAAGSAQHEWTAAGRRSWWPCSNEAHRGEGDAMRGAMEELLRGARVDAVFAGHVHAYERFKRVYAGQEDPCAPVYVTIGDGGNREGLARKYVDPQPAISAFREASFGHGRLEVVNATHALWAWHRNDNDEPVVADQVWITSLAANPACNKRKAGVLQLVRRSVARHFARLRGG >Et_3A_025466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3055130:3057941:-1 gene:Et_3A_025466 transcript:Et_3A_025466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFNSMGSYKLWGKATLHRYGKNTKHLSLQDATHLLVSIPPIPGIGDPLLNSKGDLKRILNLGNAMQKKGWLNIMDDPDRSAFVLCLGGIYGPGRRKIFNVVDDDPAPRTEDFAFARSLIERIYPDLITESFEASSTGLDSRAR >Et_7B_055207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8252383:8256559:-1 gene:Et_7B_055207 transcript:Et_7B_055207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQPSAAACRCMQSLPVSTEAPRSAPMTSSSSTFRSNAAHVRRSDLTLSIVHPSDAGTLSAEFISCIELSEQPSWGNYPVLPPQAGHYSDQLGGVQGINGIDSVQRQQIPGSLTDPTETNDGNEWSMYLDLDDITIPDIVDYRMAGSSHVSVPSNSETLHNMVQQSVAVPSNSELLYPTVSANEQTHSPKRPRVRMRWTMEMHNLFVDAVKQLGGSENAKPKAILDIMNVEGLTRDQVKSHLQLEFQKKLHEMLEKTRRDILELHTSMLGELEKRRTLSVDSTTQVPGEGTSTRIAPRMQPVMHRRLPHMIAMLEHDRAFYAAFSCSHFLKEPLGGTRPLVSGTVKKTATSARKETPQKRKKVAEVPSALVRDRNVCVTMRLDTQLATAAMPPHTPLNRSG >Et_2B_021252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27969933:27973380:-1 gene:Et_2B_021252 transcript:Et_2B_021252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVDRATDQCKYAHIREPKPAHGPNTTMLNFLEFIIMLLEPRGHTYISSLVQNDSFHLHKTTTPTIIHMLRKRNDRQALLCFKSQLSIPAQFLDTWSNSSLEFCNWHGVTCSAQSPRRLTSLTKLQLSNNSFHGAIPSELGLLIKLRYLNLSMNSLEGNIPSELSSCSQLQILGLWNNSLNGTVPSSLSRCIHLQEINLSNNLLQGTIPSSFGSLLELRLLVLSSNRFSGDIPLSLGNSLSLTYVDFGRNALTGAIPKSLVNNPSLQVLRLMSNRLSGRLPELRLTSNNLVGSIPESLGHLPSLRLLNLNLNNLSGPVPPSLFNMSSLVALAVANNSLGGRLPSDIGYTLPNIQKLILSSNKFDGPIPGSLLNVSNLQLLYMATNRLTGLLPFFGSLPNLEELVLSYNMLDAGDWGFVSSLSNCSKLTKLLLPGNNLRGQLPSSVGNLSNSLKQLWLRDNTISGPIPPEIGNLKNLNTLYMDYNRFTGSIPPTIGNLDSLVILAFAENRLSGSIPDVIGNFVQLTDLKLNQNNLIGRIPASIGHCTQLEVINLAHNSLNGSIPREIFNIPSLSQEFDLSHNYLSGGITEEIGNFVNLNKLSISNNMLSGYIPSSLGRCVLLEYLELQNNFLAGSIPQSFAKLVGIKELDISQNNLSGKIPEFLSSLTYLHYLNLSFNNFDGEVPAVVFLEILVQYQWKEMAICVQEFKREKCHFVQR >Et_2A_018398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26696513:26697963:-1 gene:Et_2A_018398 transcript:Et_2A_018398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAGGTGGGWLATVRKVLKPASSNKDPRHAKKQLAIGIHVGDPIRGLWQKGGSDVEEAAEVVSVEHFPTAETSPEVTNELGAAVWLGRREEDGYAEVGGARRERRHRRMRGREERAAAVRIQAFYRGYLVLTTFGRALVWLVQARRALRALRGLVRLQALVRGHQVRRQVQRTMLSMQALVRAQDRVRARRLVTSSPYAAAPLRHPSQLLPPVARHNGGGRRSSFGFGDDAEVEGQQAPPRHSNASRFLQETWDQCPTYEYGSQHRRQADELQEERRRDECNSKAGWRWMEACVQPPEQHAPDHQTNQLLSRRRSPTRDLYPVRPDPASILPGYMAATQSARAKARSMAPPPAARGARAGSAAAASSGWSHYGGAGQRATTNSPPESLGGGLCLS >Et_3A_026767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19700464:19706880:-1 gene:Et_3A_026767 transcript:Et_3A_026767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANWSSLPGDLVVSIGDLLLADDDLDYYMNFRAVCSHWRQSSLDPKEHNSDPRFQLKKWAVIKDYGYNQDIKMVNLRTCRVVRKNIPQIFKHYAADGGLLVLGKESLPDGSVWVLNPFTGSMVAFAMPLPSEEVRAVAVTSSPMRLFVSNLWNSVRWAEPNTEEVQQHRVQLPNYLVIMAQFAGDVYVADRYGSIVSTADEGNVMTQRIAAAANGPFNYLVESAGELLLVARRVNVGLVDVHKVDTVGKVLEPVTSIGGRAIFISQVRSFVVDAFPTIEAGCIYFVDADLDLDMELDLDCIARHRIIASSFRLEDQTQEDIMESGKMGRRCGPPTLLEGSLLGLGFQLDEERERGGNQLLVMVCVIPNS >Et_3A_024349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19952537:19956855:-1 gene:Et_3A_024349 transcript:Et_3A_024349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHRPTLLLLTLVLAAVAPGPSSARHIITFAPSRGVSPASLAWDPTAQHFVVAGGGDAVLSVSDAGVTESIISSSASAVAVDDRRRRLLVGSAGAVSAYDLRSPRPHRLVFSTPLPDSTPPGGIAVDPHTGSAFLTVGPRIYKLSTEGDLAPLPASPAYGSETLSSLTAHVSRGFLIVGQPGTGHLLRVDMENGAARTVSGASAHLAPVALAVRTDGAVAVGGAATLRLVVSNDGWASSGVQDEAAPDGTVAAVAVRERRRVYALMEAGAVDGVREWRIEEVSWKQESEGEMIVVFVFVGAALAIFMFWRFQMRQLAGNMNKKIRYIGSLGESEEDALSSSLGIESCNWRYNGDAQYEGQARVLVSMLLVRSFAVLVQCAGMNGKEPIGSIKDDDDFGSELEGSYCIPIKCDGNKEHTCYQCLVEYHREDGGAIWPTLAECNKNCR >Et_5A_042252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8056850:8059091:1 gene:Et_5A_042252 transcript:Et_5A_042252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFGVRLTPMTATHQRRRHPALPLPPGPGAAHLEGPQGDVPSFSITLERALHLKKIVDLERLLVVASTLSEMQVAKCFVLTMEPTSMLLSLPVLQQRSTSKTAAWRDSRCLSFTKPTFETHRHRAPRFPSDFFLPMTATALPLPPGPGVAYHGGPQGVFLLSQSLLNQHTPHQNLRPGTAAGGTLTVSLRCK >Et_7B_053458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20674357:20676651:-1 gene:Et_7B_053458 transcript:Et_7B_053458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLSRAAQFWSDWGVRVVVLSSFAANVILVLFAGVRRRQASGWGTLLLWLAYQLADLAATYALGNLSLSRGTAVSSREHQLVAFWAPFLLLHLGGPDNISAYSLEDNKLSLRQALHVVMQHVYIGDTGPLIPASAIMLALGVAKYVERVCALRRGDLSDIRSSSKKKEKKKQSDLTERRSSRGGRLKLNNEHALLFAHKMFHFCKRAMADSSVDLDSSSHVTSEKIFRMEWSSMIKVVEMELSLMYDILYTKATVVHTWGGYLIRFVSPLATIIAISLFRSYPKDGQRRADVAITYILLVAAFLLDITWLVRALCSTWTFAFLNARPRDDWLRHSVLRTGRWCRLRVAVVSFDPCRRLLGRAPSHYRLWSGTIGRYNLLQECTRGRVDRGQPPSFGREFQEDILIWHIATCIFLGMHDDNQEQILNSSYVRAIEALSEYLMFLVAVRRHMLPGLVLRSLLEVTRQALDEVWTGHIKNSTGPTSRNKVKLAQILHEQKRNNTDWGLDKAKSRLVADGTEVAIALLLVGRRNMPKLLELIFDVWVDKLLYAGTRCSRESHAKQLSRGGELTTIVWIMAEHAGPFRIGEIYDRESHAPEKKQQSLGSGQELEPLRKEKEEKKYWRPPSPNEPIENEPLRKEEEETEFEDHDRPISYATLYPPY >Et_4B_039112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:779124:802607:1 gene:Et_4B_039112 transcript:Et_4B_039112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAEANWERLVRAALRGERLVGAYGQPVTGIAGNVPSSLGAGNVHIEEVLRAADEIQDEEPTVARILCEHAYALAQNLDPNSEGRGVLQFKTGLMSVIRQKLAKRDGGAIDRSQDIAKLQEFYKLYREKHKVDELIADEAKLRESAVFSGNLGELERKTLKRKKVLATLKVLWSVIEDLTKEISPEDAEKLISEEMKKVMRNDAARTEDVVAYNIIPLDALSTTTNAIVNFPEVRAAISALQYHRDLPRLPGNFSVPDARNSDMLDLLQCVFGFQEGNVKNQREHIVHLLANEQSRLGKLSGNEPKIDEGAVHAVFSKSLDNYIKWCNYLPIRPVWDSTDSLNKEKKLLFICLYYLMWGEAANVRFLPEGLCYIFHHLARELEEILRKHTAEQAESCISKDGASFLDQVIFPLYEIVAAEAANSKDGRAAHSEWRNYDDFNEFFWSLNCFNLGWPWKLNNPFFSKPSRKDKGLLGRNHHYGKTSFVEHRTFFHLYHSFHRLWIFLIMMFQGLTIIAFNNGSFDTKTVLQLLSLGPTYVAMKFIESLLDILMMYGAYSTSRGSAITRVLWRFCWFTVASKALQDGTGSPIFKIYVFVISAYAGVQMIISLLTTIPCCHGLTEACHRWAVVRLVKWMHQEQNYVGRGMHESALDYIKYAAFWIVILAAKFSFTYFLQIQPLVKPTRTIISFRGLQYAWHDFVSKNNHNAITILSLWAPVVSIYLLDIHVFYTVLSAIYGFLLGARDRLGEIRSVEAVHRFFEKFPEAFMDKLHVPVPKRKQLLSSGQHTEVNKFDASRFAPFWNEIVRNLREEDYINNTELELLLMPRNDGGLPIVQWPLFLLASKVFLAKDIAIDCKDSQDELWLRISKDEYMQYAVVECFYSIQYILKSILHDEGCLWVERIFSGIRESISKNNIKNDLHFAKLPNVIAKLVAVLGILRGTAESGDLKKGAVNAIQDLYEVVHHEVLSVDMSGNIEDWTRINRARAEGRLFNNLQWPNKPELKDLIKRLYSLLTIKESAANVPINLEARRRLQFFTNSLFMQMPVARPVSEIVFTPYYSEIVLYSKSELQKKNEDGITTLFYLQKIYPDEWRNFLARINRDENTAESELFANPSDELELRLWASYRGQTLARTVRGMMYYRKALMLQSYLERMQSEDLEASAGSADIHFELSPEARGQADLKFTYVVTCQIYGIQKGEGKPEAADIALLMQRNEALRVAYIDVVESVKNGKPSKEYYSKLVKADIHGKDKEIYSIKLPGNPKLGEGKPENQNHAIVFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFSQKRGKHFPSILGVREHVFTGRVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGSITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFYVTTVGFYFCTMLTVLTVYIFLYGKTYLALSGVGESIQNRADILQNTALNTALNTQFLFQIGVFTAVPMILGFILEYGVLAAFVQFITMQFQLCSVFFTFSLGTRTHYFGRTILHGGAKYKATGRGFVVRHIKFAENYRIYSRSHFVKGMEVALLLVIFLAYGFNNGGAVGYILLSISSWFMALSWLFAPYIFNPSGFEWQKIVEDFRDWTNWLFYRGGIGVKGEESWEAWWEEELVHIYTTRGRILETILSFRFFIFQYGVVYHMDASNADTSLLVYWISWAVLGGLLFLLLVFGLNPKAMVHFQLFLRLVKSIALLMVLAGLVAAIVVTDLSVKDVFASILAFVPTGWGILSIAVAWKPIVKKLGLWKTVRSIARLYDAATGMIIFIPIAICSWFPFISTFQTRLLYNQAFSRGLEISLILAGNNPNAGM >Et_9B_064534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16235260:16238622:-1 gene:Et_9B_064534 transcript:Et_9B_064534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLCVISEKLFKVAGDDSPSADGQIPLARRSYYVDVPHVQQAFNWDCGLACVLMVLRTLGNDFCGGIADLEKLCRTTSIWTVDLAYLLNKFSVSFSFLTVTLGANPQYSGETFYREQLQEDIDRVDELFGKAIDAGISIQCRSISAYDIAFLLLSGHCIAIALVDKSKLNSSWMDDVHDVHQLNEESEYMGHYVVICGYDADACEFEIRDPASSRKHERVTMKSLDEARKSFGTDEDILLVSLTGKNGLKLSRKLLADSM >Et_3A_023079.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:25130759:25132003:1 gene:Et_3A_023079 transcript:Et_3A_023079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTPRELKAKVPPSDLGRDWSELPADLLLHIFGKLDIPDVFSSGAVCRNWHGTYLEARRCGSFSPDQSPCLIFSSSCCHPNTATLQNLITEKVYHITLPDPPFRSRYVMGSSYGWLVTADDRSHLLLVNPVTGHQIAMPPPETMKNVKLRSNTEGALDGYDHLYLNLDWQQGFEMVHLSVEEGRFYFYMRVILSSDPSSGNCIVVTLHLLEAIIFFTRVRDSQWTCLDVDHRCCRYHDVFYSSYHNLFYAIRQNGDVDSFDLSGPSPVLNIVIQTGIRCIDNRKYIVRSDWGDLLQVWRYHKYVGDDPRTDTMVVYKIDLVEKKLVEVKDLQGYALFMGLTGAFFLHVKDFPLLAPDNIYYTDDYVEWIFFNRVGFREIGAFSLKDGSFTDLLRLDSRLNWPPPVWIRPSCPG >Et_3B_031666.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:7234526:7234849:-1 gene:Et_3B_031666 transcript:Et_3B_031666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKCLKILVLVIMIPLALRASSSLLLGGHGGGPPATSTSQYQSWHVGRRRAAGATGLSVSSAASSVHKVYARRLRRLDFVDGGGWFEDDKRVAPTGSNPLHNLRRR >Et_7A_052695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12960208:12963148:-1 gene:Et_7A_052695 transcript:Et_7A_052695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPTRLSFLRSLTASSSSSGRRKTREPKEENVTLGPTVREGEYVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >Et_10B_004057.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:129966:130394:1 gene:Et_10B_004057 transcript:Et_10B_004057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFFFLALLALAAIGGATAQYEDLRSEGAHETRCQERQAMDACRDYLMKQCTASTMPITWPWKWRMASCRELKHRCCNQLEQMPPTCRCKAIRGTVQEIHGSKQQGTMMAEVRQMAKTLPSICNMDPTYCNIPTNSGDCYC >Et_10B_003366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19144694:19148192:1 gene:Et_10B_003366 transcript:Et_10B_003366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEQVKELLASVVGEIRSYAGSDPLRPWLRGVRKMEKELPPETLGEKLPRFLQKCAEEFQDHARYRDDARYVRVWIQMMDYVKDAKPLLKKMEQRGIGHKRASFYMAYALYYERTGGLSPRRRCTVWGSRKPIGELHKAHEQFVHRMELHKQRKELKEKMSSKTGANAASTQQTEGESINCKVQKSSTMQKSGSSSNPSLGCYPPIGPAKVSMLSRGMSDVYKNLSRCNSDDTVVVRFVGSALVGRSETEDACHHGLVEPTINTKEAMDAINNQPDGNNPNNVHNSAMMQGRPKFSRQTRGFEIFADGDSPNGNNQNAVQDRNSEKENTKLNQKTGTLEILVDEYEANGNVKNGMCPESKRPPRPLCDSSRHQGKTEFQKPFVGGFAILPDDEDEQCENMKLNQDASGFEIFVDEHEANGNVKNAMHCKNKMSHPRPISDSSSQRGNSDFQKPFIGGFAILPDDEDEQRDKNSSSMFCSEQGDLETRCHEGSQPVISGLREDTVIRRFIGSTVVDEPKVENACHHGLVDPTVNLKEAMNDINSMFGKPLNFKGEKAKNRKINALSNKIAAPVSGFSILADDDLEENSTGKANQSSSCNSGAENGLFEPTITTRDVMAEINDMFGMPLDF >Et_4A_034486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3736763:3737839:1 gene:Et_4A_034486 transcript:Et_4A_034486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANAEPPQHLASMPPLLLALRHLPFPGVHRPRALPAPDLAPLARRLEELASAAAAHPFLKPLFDVHSNLSAFAQGRRRAVAARRAASPLSGEHCFAAVLGDSVAAVVVSNGINNFLNLYNTVLVVRLVLTWFPNAPPAIVGPLSTVCDPYLNIFRGIIPPIGGTLDLSPILAFIVLNAFTSTAAALPAELPNSSSPPQSGIASSSCLAPNDLTANQKKWMRRMRSGKSHSQEGDAR >Et_1B_010868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14937333:14938022:-1 gene:Et_1B_010868 transcript:Et_1B_010868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIDLGRGISGRGGKPRLVMIITDPGRESSAAMEWALSHAVVEGDDILLLHDAAGDGQFMEAMRAACRARHPRARVHAERVEPATEGREAKAQTILAESQRRGVELLVIGHRRVSSFLGLRSASGSSRGHDSTAEFLIEHSKCLCVSVQKKGQNAGYLLNTKTHKNFWLLA >Et_4B_039236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:924456:934286:-1 gene:Et_4B_039236 transcript:Et_4B_039236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGDGGGEHPASASSYWYDACEDGASLLCGIDFAASADFDPGLIPAIDSGADDGFVAEIDRILESINTETAPAPPPPAPVPVAPPQLQLQDAAAVVANNAVAVVDTARRSQDAEGRKEQRRESRVAVANGGGERRDEKRQRLTAAGAGEPHHDSRRRPMAPPLPPSRGWEDRRGRREYERPRKRDRDSHGGRDHHRREARGFWERDRGGKMVFRHGSWEADGEREGKHARTQDGGAVENKAEADRSSSSQKEKPVTEEHARQYQLEVLEQAKSRNTIAFLETGAGKTLIAVLLIQSVHEKMLKENKKMLAIFLVPKVPLVYQQAEVIRDRTGYRVGHYCGEMGQDFWDARKWQREFESKQVLVMTAQILLNILRHSIIKMDSIHLLILDECHHAVKKHPYSLVMSEFYHTTSKDERPTVFGMTASPVNLKGVTSQEDCAIKIRNLESKLDSIVCTIKDRKELEKHVPMPSEVIVQYDKAATLWSMHEQIKQMEVAVEEAALCSSKRSKWQFMGARDAGSRDELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAQSFLTALQNDERANYQVDVKFQESHLKKVVDLLHCQLTEGAAIKSEGDNVEMHNAENLKPNELEEGELPDSHAVSVGEHVDEVIGAAVADGKVTPRVQSLIKILLKYQHTDDFRAIIFVERVVTALVLPKVFAELPSLGFIRCASLIGHNNNQEMRSCQMQDTIAKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNLSHEAFLKNARNSEETLRKEAIERTDLSHLDGTSVLNPVDTSSDSMYQVESTGAVVSLNSAVGLVHFYCSQLPSDRYSILRPEFIMQKHEKPGGATEYSCKLQLPCNAPFEMLEGPICSSIRLAQQAVCLAACKKLHEMGAFTDMLLPDRGSGEGEKTEQNDEGDPLPGTARHREFYPEGVAEILRGEWILSGRDSCQSSQFIKLYMYSVNCINIGNSKDPFVSQISNFALILGNELDAEVLSMTMDLFVARTMITKASLVFRGPIEITESQLVLLKSFHVRLMSIVLDVDVDPSTTPWDPAKAYLFVPVGSEKCVDVLREIDWTLVNSIVNSDAWNNPLQRARPDVYLGTNERSLGGDRREYGFGKLRHGTAFGQKAHPTYGIRGAIAEFDVVKASGLVPARDRGNINEYQYQGKLFMADSSWDDKDLAGMVVTAVHSGKRFYVDSICCNMNAENSFPRKEGYLGPLEYSSYADYYKQKYGVELIYKKQPLIRARGVSYCKNLLSPRFEHSEARDGEFSENLDKTYYVYLPPELCLVHPLPGSLVRGAQRLPSIMRRVESMLLAIQLKDIIGYPVPATKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNLILYQYALNKSLQSYIQADRFAPSRWAAPGVLPVFDEETRDSESSIFDEESTPSSALQKDSFDDDIDNIKEDGEIEGDSSCYRVLSSKTLADVVEALIGVYYVAGGKIAANHLMKWIGIHAELDPQEIPPSKPYNIPDSILKGINFETLEGTLGIKFQTKGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITKHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHVHLRHGSSALETQIREFVKDVQEELSKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDSGYDTSIVWKVFQPLLDPMVTPDTLPMHPVRELQERCQQQAEGLEYKASRAGNIATVEVFVDGIQIGVAQNPQKKMAQKLAARNALVVLKEKETAAKKDTEKDGEKKNGSQMFTRQTLNDICLRRQWPMPQYRCINEGGPAHAKRFVYAVRVNTSDRGWTDECIGEPMPSVKKAKDSAAILLLELLNRNYPIKPDSK >Et_2B_022166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:115804:118056:-1 gene:Et_2B_022166 transcript:Et_2B_022166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQDGSPKIRLPEFQRMRVTLTIGVIGLCVTSYILGAWQGTSNSIKPSFINTDIQCDGAVSRASSGTSARSSGAPLDFHAHHQVGFNESSLEVQKFPPCQLKYNEYTPCQDPRRARKFPKTMMQYRERHCPKKEELFRCLIPAPPGYKNPFKWPQSRDYAWYDNIPHRELSIEKAVQNWIQVEGDHFRFPGGGTMFPHGADAYIDDINALVPLTDGNIRTALDTGCGVASWGAYLMRRNIITMSFAPRDSHEAQVQFALERGVPAMIGVMGTERIPYPARAFDMAHCSRCLIPWNKLDGVYLIEVDRVLRPGGYWILSGPPIHWKRHSKGWERTEEDLKQEQDEIEDLAKRLCWNKVVEKDDLAIWQKPINHIECIDSKKVHETPEICKTNDVDSAWWQLIQMLTFRYKKMDTCISPLPVVNSKGEVAGGALEKWPKRALAVPPRITQGSVPGLTSEKFQADNTLWSERVDYYKKLIPPLAKGRYRNVMDMNAGMAGFAAAMMKYPLWVMNVVPAGSGHDTLGVIYERGFIGTYQDWCEAFSTYPRTYDLIHADKVFSTYQDRCDITYILLEMDRILRPEGTVIIRDTVEVLVKVQALSEGMRWKSQIMDHESGPFNPEKILVAVKTYWTGEPTQKQ >Et_4B_036759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11282005:11283972:-1 gene:Et_4B_036759 transcript:Et_4B_036759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYFYQPLLLSVAAVALLQLVKLSLRPASTTSSPRPPPGPWELPVIGGMHHLANVLPHRALRDLAAAHGPLMMLRLGQTPVVVASSKETARAVLKTHDTNFATRPKLPAGEIVGYEWADILFAPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEVRLRVEEIRAAGPSTPVNMSVMFHSITNSIVSRAAFGNKRANAAEFLAATKAVVGLASGFNVPDLFPAWTRVLARLTGMTRSLRDIHRTVDTILQEIIDERRAARDANKQNLVDVLVGLQEKGGFGFTLTSSIIKAVILDMFAGGTGTSGSAMEWAMSELMRNPSVMAKLQGQIREAFHGKTQVTEGDLQQAFAGNQLRYLKLVIKEALRLHPPAPMLVPRESIEACEVAGYTVPAKARVVINAWAIGRDPRYWGDDADEFRPERFEVDGAVDFTGGSYEFLPFGSGRRMCPGFNYGLASMELALVGLLYNFDWSLPEGVQEVDMGEAPGLGVRRRSPLMLCATPFVPAAAATA >Et_10B_004328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4019450:4023257:1 gene:Et_10B_004328 transcript:Et_10B_004328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVQSRKYSRLNIQQKVYIQLSECIVANKSYDIRDMFSGNIFLLEMMMNNKKSKKLMFPLILLLVILIASYENMIVQVGSQLVCGKFYAEARCAFRCFRPGNCNECCKNLGFNHGKCSALACYCCDK >Et_1B_014111.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31072032:31073258:1 gene:Et_1B_014111 transcript:Et_1B_014111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSNNVVVTLALLLVAAASPARAGAPAAATAAPSMPAGPLDITKLGARGDGKSDSTPAIMQAWKHACAATGTQKIVIPPGNFLTGALELTGPCTSSIIIRLDGNLLGTGDLNAYKKNWIEIMHVDNLSINGHGTIDGQGPLVWKHNECSKNYNCKILPNSLVLEYCTNAQIRGITLLNSKFFHMNIYESKHVLVEKVNISAPGDRPNTDGIHIGDSVNVTIKDTTIGTGDDCISIGPGSKTIRVKGSRCGPGHGISVGSLGRYKDEKDVEDVQVTGTTIVGATNGLRIKSYEDSKSALRASKFLYDGITMDNVSYPIIIDQKYCPNNICVKSGASKVAVNDIIFKNIHGTSNTPEVVTLNCANNIPCQGVQMINVDVKYNKADNKSMAVCHNAIGKSSGVAKELACI >Et_2B_021779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5357511:5359702:-1 gene:Et_2B_021779 transcript:Et_2B_021779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTTMSLTTTSRLPICRAQDVSKQWPPQKRSPPAAKVAPPPSSPDAVSSRRKLLQSAGLGLGLGLAAATTKPARARAETATPALAPDEVTSNRMSYSRFLDYLNAGAVRKVDFFENGTVAVVELDDPALSRVHRVKVQLPGTPPELVRKLRDKGVDFAAHPVEPDMGLMFLDFLLNFGFPLLFLVSLFVRSRMMNNNPGGGPGLPFGLGKSKAKFQMEPNTGITFDDVAGVDEAKQDFQEIVQFLKSPEKFAAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFDRAKAAAPCLVFVDEIDAVGRQRGAGIGGGNDEREQTLNQLLTEMDGFAGDSGVIVIAATNRPDILDAALLRPGRFDRQVAVGLPDVRGREEILRVHCANKKLDPDVSLAVVAMRTPGFSGADLANLMNEAAILAGRRGKDRITVKEIDDSIDRIVAGLEGTSMTDGKTKLLVAYHEIGHALCATLTPGHDEVQKVTLIPRGQARGLTWFLPGDDPTLVTKQQIFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQVTQVARQMVTTYGMSEIGPWALTEPAAQSGDVVMRMLARNSMSERLAADIDRAVKRIVERAYEVAKAHVRRNRAAIDQLVDVLMEKETLSGDEFRAILSEYVDIGKEQRDTAARTELVTA >Et_7A_050960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1365492:1371503:1 gene:Et_7A_050960 transcript:Et_7A_050960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAASIGQPPPAPPPAAPPEEEKKCLNSELWHACAGPLVCLPTVGTRVVYFPQGHSEQVAASTNKEVDGHIPNYPNLPPQLICQLHDVTMHADVETDEVYAQMTLQPLNPQEQNDAYLPAEMGIMSKQPTNYFCKTLTASDTSTHGGFSVPRRAAERVFPPLVISHSSLQHRSLLHETFMMWNGSQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRACRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLSKYIKAVFHTRISVGMRFRMLFETEESSVRRYMGTITEVSDADPVRWPSSYWRSVKVGWDESTAGERPPRVSLWEIEPLTTFPMYPSLFPLRVKHPWYSGVAGLHDDSSALMWLRGVAGEGGFQSLNFQSPGIGSWGQQRLHPSLLSTEHDQYQAVVAAAAASQSGGYLKQQFLHLQQPMQAPQEQCSLNPLLQQQILQQASQQQIVSPEAQNIQSVLSPSAIQQQLHQIQQMQHAHNDQKRKIQHDQTYQVPSSEVLPSPTSLPSHLREKFGFSDPNLNSSSFVSSSSNESMLESNFLQGSSKSVDLARFNQPVVSEQQQQQQQQQAWKQKFLGSQSMPFGGSVSLNSPTGKDGSVENKIGRDVQNQSLFSPQVDSSSLLYNMVPNLTSNVADNNVSTIPSGSTYLQNAMYGCLDDSSGLLQNTGENDPTARTFVKVYKSGSVGRSLDITRFSNYAELREELGQMFGIRGQLDDPDRSGWQLVFVDRENDALLLGDDPWESFVNSVWYIKILSPEDVHKMRKQGSDPRYLS >Et_6A_047257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:321130:323442:-1 gene:Et_6A_047257 transcript:Et_6A_047257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGAAPPDAATNPSPAPGVVVGRGGIRVENCYVFKSRLQEYAQKAGLPAPEYHTLKEGPSHEPIFKSTVVVNNTKYESLPGFFSRKAAEQSAAEVALMEIAWSVPATEGIRAVQETGLCKNLLQEYAQKMNYAIPSYICTKQASGVAPFICTVEIGGIQYIGAAARTKEAEIKAARTALLAIQGQSEGGTNGATQYIVVPGQRQVKEAEKRPIETPKPLKAKKDGYKKKWNKRKFMRKTDQTIDAEMDGEPPSGLAALLHDEVRRVEVETFRDTAMAHDNKEAGILNQELPFDTAMQQPGEEARLVESVLGDTSMLQPNDASILQSCNLACSAELLNLDKEARSIGQESLSFSATMQPNGEATNVKEGPPGNTATMQPEDGTRTIEQVGPSNTECVQPHENHS >Et_7A_052129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:536628:538154:1 gene:Et_7A_052129 transcript:Et_7A_052129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVIAVSMVTGLTWVLLCLQLVIMFLAVIRARGVAAPLNPAYTQEELEFYLSDSEARILLTSAEGNPAAQAAAAKLGLAHAAATLTDGAGPVHLAGLDPAEEAGNGSETTNESSDVALFLHTSGTTSRPKGVPLTQGNLAASVQNIRSVYRLVETDATVVVLPLFHVHGLLCSLLSSLASGAAVTLPAAGRFSASGFWADMRGAGATWYTAVPTIHQIILDRHAAKPETGYPKLRFVRSCSASLAPAILEKLEAAFGAPVLEAYAMTEASHLMTSNPLPQDGARKPGSVGRPVGQEMALLDEDGNLVVAPGKPGEVCIRGANVTRGYKNNPEANEAAFRFGWFHTGDIGVVDDEGYLHLVGRIKELINRGGEKISPIEVDAVLLDHPAVKQAVSFAVPDDKYGEEINCAVIPREGAALAEEEVVAHCRKNLAAFKVPKKVYITDDLPKTATGKIQRRIVAQHFVDAKA >Et_1B_011965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27610469:27613322:1 gene:Et_1B_011965 transcript:Et_1B_011965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDAAILAVVVAFLLPLRLVSLALRLASARGPSAGGLRRSCATLALTAALLAAIFALPRDRARECAAPVSVADGGHDEVGFREELLAEVEQLKLQLARLESLWDNNLKASDDKGDALDEKDGRIMRALGLDIQSLIDAQENIKLWSLRQESLCSSYDNNIKAMENEVRILKDQSRKMDSDIYNVESLAKDTGERVEALHSEIKKVQVITDEWGKMNSKINKMWSFAKDTEKRVEGLYSDLKKVCLFWLSLVHGILCVSQLI >Et_10B_004266.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:2393535:2394758:1 gene:Et_10B_004266 transcript:Et_10B_004266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFACSGVAIPGGQTMLRLTRFVTSARLVRAFVENRYRDDKLRVAVRLPPESKSSPGTTTQIADGLLGLYDDDIAVVTCIGIRSTVCPVDMGRRRPRRRTTTMTNRAAGDEDAAAVVLAAGRGFDSGNLMATHGRSSSLAIEEGSRGLLRTFVVGSDDDGTSRPADRFTEAVLGGPVIGADDGRFLGMITDIQQEQERAASFLTARALYDRLKHFQVLDHTPKPFVGYKLPPGVSQVHPSVFMKTIYRLRSYGYPMPPPLVLELNGRLCNGFEEHFGECLAWRGYPFGDPPGYSTGRIWTELLRKQVVTDLSRRVVALASFHGFVRYFTCTGLLVKWPAPAAASDGAARTVVLTSASLVRSKDGRDVDGSLRIEVFLPPAQNATGHLEFYSLNYNLAVIRRHSSQQQ >Et_8A_058264.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:3802062:3802670:-1 gene:Et_8A_058264 transcript:Et_8A_058264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLVVAGLLLLLQVAATTAAASNIALPGCESKCGDIDVPYPFGTTAGCYRPGFKVTCHNYDHRRPPRLTLGSGRHGRQVLEISVQNSTVRISSSVWYFNYTGNTSTARLQVVHAGLPYVVSAARNRLVHVGCGFRAMSWTPGAPASSSSSSSSSSSSSSSPSSPQRTCSSSCPESEARLIRRYRCDGIGCCDLVPRPVGVD >Et_8B_059299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16305702:16309291:-1 gene:Et_8B_059299 transcript:Et_8B_059299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSSAAAAAIPAASSSTLSPHAAPYTFRARQVCAPTGHQQDGNFSFSPVPTLLANVRRAPLPLLVISYTLMALIASAGYVGDASRLIDDNLVLPTAYFGMKSRDAAYPASTHGMQQSQPSSSSGVHANVCPSSASSNSWYSWMASDLKQEHFPLTSGNGSGIKSKKVTIKCPPNRTLETNNTSFGSKGKLVIRENVECNNEVGKDIPFRRNLEFSNPAEDNSGSQGTVELKPVLKPICVPSTFPSSSVSVADDVNPDPSECSVDSPCWRGALASRVSSFDLLQTSDDLSVKQKIVDFDTGRGSTVQNREASTVIENLVASKSKQNLSQPQAEVGLLKRPGDIDTTSRIDSHGTELQHAKHGALKCNAEQTHYQKVRNNCMKRSGLNSAAPDFIPPSVRKSNTGSGLLSSIVSHGILKEIKSLSEELQNHELEDHDYSLLLLIIENLQSYLDKARKGSVKVASAMAGLKARHSQDAFSKSVAVNHNGIYSADNRKGIIISSIADYSHSRNDFGRNSLTEYQLASNHFGKELSYEDEHSQVLVFKNLWIDAERANCALKYQLKQTRMGIGLESSIAHIGGGPTNPSLQLCDKVTDPSCSVGHALTCPPMLKDHPGSNKSPNLICETTIFHPRSKDYVPENNQCEHFLSDLEETGIRHGQELVPNRSHMGLNSRISDGVQSHSYINGRGHILRASCEFGLSDWKDEIP >Et_10A_001484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3949841:3964580:-1 gene:Et_10A_001484 transcript:Et_10A_001484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLLFCDSVIWLHLAWQIVEKGCASCKEWQQHYYWEHMDVTKIRFFKLMTGDFARGISIPVKFGKNFNGNISKEFNLKAPNGETWHIGVDKDADGLLFLKSGWEDFVKAHKLQENDLLIFTCSGNSSFKVLIFEASGCEKVSFQFAKKTSPSMLKNSVAKPNWLHHDEHCSLSDSEDTTTPSQLVGSPQDATTSKNWMLSESPNSSNYHVKREAIGEEDSDEGCSNGNYYYSRIADRLSDEEKEEVLTLSSIRSDNPVFFTVLQMSHVRRRNNFLIFPSRFAADHLDNRLHEITLCRPNRKDKWCVKYKRGRDSQGIRNYNFFKFVRENKLREGDICAFELMKGAKRVTMTVHAIRKVDDRSDFPEDFVFGAATSAYQYEGAVAEDGRSPSIWDTFTHAGKMPDKSNGDVAADGYHKYKDDVKLIAEIGLEAYRFSISWSRLIPNGRGAVNPKGLQYYNNLIDELVRHGVQIHVMLYQLDLPQVLEDEYGGWLSPRVVEDFTAYADVCFREFGDRVLYWTTLDEVNIAATASYDNGQFPPGRCSDPFGITKCAAGNSSVEPYIAAHNMLLAHASATRLYRGKYQGKQKGYVGINIFTFWTYSLTNTTADLQAAKRCQDFLFGWILEPLVFGDYPMVMKKIVGSRLPSFTKVQSEAVRGALDFIGVNHYYSLYVSDRPLQKGIRDFQADMSTYYRGFATSNGSLNDTDRMDYMKKHIGSTMMALRNRVNVKGYFAWSFLDVFELVAGFKSQYGLYRVNFDDEALPRQARASARWYSNFLKNKGIRMGNEMAETGCARCREWQEHYYWEHMDVTKIRFFKLMTGDFARGISMPEKFVKNFKGQITKGVDLKAPSSETWHIGVDKNDNEMFFTSEWEDFVKAHELQENDLLLFTSSDSSSFEVMIFESSGCEKVSSLFDSYHTYKHLNNTRRHCERNSLSDSDNSSMPSRLIGSQHNASTSKKSSSKTKPTASRSSTMKESESLNNSSYDTKHEATEEEESDDIYCDSKYYYSRSANRLAYEERKTILSLASVKADNPAFVTILQKNHRQRRNNSMTVPSDFAADHLEARSDDTILCRPNRKEKWLVKYYYTPYNRCLQNLQFFKFVRENKLREGDICVFELMKGARRVMMTVHVIRKKADGRFVLTVPSGFDADHFEARSHDTILCRPNRKEKWLVKYYYTPYNPCFQNLQFFKFVRENNLHEGDI >Et_2B_020613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21892545:21899052:-1 gene:Et_2B_020613 transcript:Et_2B_020613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASARGLWARHRRKIIVSLGVAGVGYAAYRLYDAHRSQLVRVEKLRATEEKAADELVRNQLQTHFENVQKISDTTTLPFAMHYLRSRIMEELDISHLTEKLLQGKGESNALTPKEKLETWEKIKVLSFTRTVSSMWAMTLLSLYVRVQVTILGRHLYLDFARDTDGAQLQAESDTFSKSGHKDFLATADYLATYDPMSMDQVLETMLQILDLFASLSEDNSWINYLVPENANLYAQLMAVSSSGFNDTSLLKDVRKLDQLMSETRIVLASDDFKNIMERSLRKIANVVVEDLAAQIGTPIPPLGLPLAKLLARVAQLSLPLLEEPNKNKHIQIIRSMPDVDLFYTFLYANMPPET >Et_9A_061928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1804064:1805824:1 gene:Et_9A_061928 transcript:Et_9A_061928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGPRKPSSPPPAAATAKDSAMASLLLELAAADDLVAFRSAVEDDKSAALDAACQCCVPCPEFRKGGSCRKGDNCEYAHGVFECWLHPAQYRTRLCKDELHALSLKQAGDMPAYSAMPDTQQLHMPTSPMVGGANTAFGMDHSMAKAIMSSRASAFAKRSQSFIDRGGRAPAARSLMSPAPTTSPSMLNDWGSPDGRLDWGVQGDELNKFRKSASFAFRGQSAAPMAAAEPDVSWVNSLVRDGHAGDIFAQWPEQEQM >Et_5A_042261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8107384:8115223:1 gene:Et_5A_042261 transcript:Et_5A_042261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQRKHRILMVSDFFFPNFGGVESHIYYLSQCLLMLGHKVVVMTHAYGNRSGVRYVTNGLKVYYVPWRPFLMQNTLPTLFLTFPIIRTILIREKISVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLADIDQAICVSHTSKENTVRFIVGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQVRSVLISEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPAPEDMVQAIRKAISILPDIDPQAMHLRMRKLYSWDDVAKRTEAVYDHAMQSPTTDLLDRLPRYLSCGAWAGKLFCLVMIINYLLWRLLEFLQPAEGIEEVPDIGPLHAHLGSSGDLCEPQEK >Et_6A_046039.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20187619:20187822:1 gene:Et_6A_046039 transcript:Et_6A_046039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PGLETIKTRGCWSLKRLPIVDSKNVVECDCEKEWWDRLEWKSVEHANHYKRVHPRYYKKTVTSRTKA >Et_4B_036144.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28295419:28296405:1 gene:Et_4B_036144 transcript:Et_4B_036144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPDMATVGAATGSGGPIGGSAVRPGSMTERARLAKIPQPEPGLKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKSSKSSSAASTGGGGTSSSTSSTATGGSSAAGAIMTAPQAQLPFLASLHQFGGDHYSTGASRLGFPGLSSLDPVDYQLGGAGVGLEHWRLPQIQQFPFLSRPDGLPPTMSSGIYPFDAEGGADAAGGFAGHMLAGSKVPGSSGLITQLASVKMEDNPPSTAMTNSPREFLGLSGSLQFWSGGNGAGGGNNNNGGSANGGGGGGSAIAPGSNWVDLSGFNPSSSGNIL >Et_1A_007571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3665746:3668306:1 gene:Et_1A_007571 transcript:Et_1A_007571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGDPFASSLGLFLLFLANNSDGMLEGQSCLISRSLPSSCDQESRLAYMTYHFLEITRSKRPPGTLSIEHDIIGAEAVLTKRTKSADSQKDEPVDCEGSNDQGYSDSSTLIGSIGRDNSINCLARCSRSDYGSIASVNRGFRSLVRSGELFKERRRLGISEHWVYFSCNVQEWEAYDPYRSRWMTLPRMPHNDCFMCSDKESLAVGTELLVFGKEILSHIVLSYSILTNSWSRGVEMNAPRCLFGSASFGEKAIIAGGMDALGQVLRSAEMYNSETKRWITLPSMNKARRMCSGVFMDGKFYVIGGMAGNTVLTCGEEYDFNTGTWRVIENMSEGLNGASGAAPPLVAVVENELYAAQYAGKLVRKYNKMDNTWTTLGELPERPDSVNGWGIAFRGCGERLLVIGGPRVLGGGMIELHSWIPREGPLQWNMIGSKPS >Et_1A_009538.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:7596808:7597485:-1 gene:Et_1A_009538 transcript:Et_1A_009538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDSSSGSEPTTSSSAEAPASPTATATTASSSAASGAKKRRRNKDGHHPTYRGVRMRAWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVAALAIKGRAAHLNFPELVDELPRAASAAPKDVQAAAALAAAADFPPAPDAGGNGNAGAKDPEEPEASAGSASTPASNSDDALFDLPDLLFDLGYGPPSCQLSCAASWDDDACFAGAGAGVFRLEEPLLWEY >Et_1A_008536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8551753:8554640:1 gene:Et_1A_008536 transcript:Et_1A_008536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIAGNAAEPPLMDSYYALVRRCGGGVEGACATVPPAPPVSECELPMIDVGCLTGSCGAVDEEERASCAAAIARAAEEWGFFQVRNHGVRPELLAAMRREQARLFRLPFDVKARAAPGGGLLNDSYRWGTPTATSLQQLSWSEAFHVPLAGVSGDACNFGDLTDLRCVRACWLLRSLAMYVLVGTTMFYTTFHDVIKTSSCCYRDVTREVAGAMSKLASTLATVLAEAVLGHAPAGESFPEGCDETTCFLRLNRYPPCPFSPDTFGLVPHTDSDFLTVLCQDHVGGLQLMKGDAWVAVKPIPGALIVNIGDLFQAWSNNRYKSVEHKVTTNATMERYSVAYFLCPSYDSPIGTCKEPSPYMPFTFGDYRRKVQEDVKRTGKKVGLPSFLV >Et_6B_048539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10538141:10539007:-1 gene:Et_6B_048539 transcript:Et_6B_048539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLCVCARALREVAAHPAVLSRASAAAVAVSASRWSELAAGNLHFLGMVTIPTLTSALLCFHVLLCFVPHLFGLVRGHHLFSGEVLLPQRRQGVRRCTRSRSKSLTAAAAARPTKDPRAGVDAASCSTPRRARSSSCGGEVAEEDTAGRFMEEWWAALAGKKESTPGKGDDSNTDHLLRVRHRRLLLARVPGTRLEAQASWPVRGRALARRRLSATRPACFPNSLVVEYIADNDVFI >Et_2B_019731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13186860:13191839:1 gene:Et_2B_019731 transcript:Et_2B_019731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLLSLLLFALLLIPFCSCQVGGSCSSARDCGAGLYCGNCPAAGKTRPSCIRDLAIQPTSIVNGLPFNRYTWLVTHNSFSILGEPSRTGVERVTFYNQEDSVTNQLRNGIRGLMLDMYDFNDDIWLCHSLQGHCYNFTAFEPALDTLKEVEAFLSENPTEIVTIFIEDYVRSPMGLSKLFTAADLMKYWFPISEMPTNGNDWPSVTDMVAKNRRLLVFTSNPSKEASEGIAYQWSYLLENESGDPGIVPGSCPNRKESQPLNSRSSSLFLQNYFPTMPVQNEACKENSGLPQMVQACYAEAGNKIPNFIAVNFYMRSDGGGVFDVQDRINGLTICGCNTIAACQAGAPMGACKNLGAPNQSSPSSTSSSAVNGNVYSGTIEFKTHPSSDSATSVATSFVLWLCLLSTLKLL >Et_1B_013342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7550759:7553298:1 gene:Et_1B_013342 transcript:Et_1B_013342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGGGGGHYDGGAGGAANANALFSGGGFMPSQSTAVPESSGGGGGISKVRSAQTLLPLTVKQIMDAEQANDDKSNFAVNGVEVSTVRLVGRMLNKVERVTDVAFTLDDGTGRIDVNRWENESSDTKEMADVHDGNYVIVNGGLKGFQGKRHVVAYSVRRVTDFNDVTHHFLHCIHVHLQLTRPKSQVNANIGNPNQANQHRLPNNQAPVSGSTVGNDPSSLILKVLNDPAILEVLKKHVDDGDVYNTIDDNHFKSVMNG >Et_3A_025472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30063972:30065647:1 gene:Et_3A_025472 transcript:Et_3A_025472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFYYAAAATFLLGFLVHHLLTGRKKKQHLPPGPRFAFPVLGHLPLLKKPLQTSFADLAARYGPIVHLRLASHDAVVIGSAELAKECFSGDRDVTLANRPQLPSLREASFDYAGMSQANYGAHWRNMRRVATVHLLSAHRVNLVSDNVIARELRAMLRRLARASDTAAAKVELKTRLFDLSHSVLMEIFAQTRNTYADDTDADMSTEAREMKEIFEEFVPITGVANLWDHVPLLRWLDVSGMRRKLAAAVGRRDKLLYKMIDGERQKQKQLERENSEADTVAADDNKSMIAILLELQKTEPDVYTNTCIAAQLITLLGAGTETTSTTIEWAMALLLNHPDVLKKAQQEIDAHVGGNRLLDKNDLPHLPYLHCIINETLRLYPAAPTLLPHEASADCKIHGYDVPAGSMLLVNAYAIHRDPNIWQDPEEFRPERFEHEKAEGKFMIPFGMGRRKCPGENLAMRTMGLVLGSLLQCFDWRRIGDREIDMTPSYGTILFKANPLEAQCKPRANMFAVLQTV >Et_1B_013231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6593595:6598467:1 gene:Et_1B_013231 transcript:Et_1B_013231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPPPGRGHPGARRPMRDFFAAWLANLRSPLLPLLRRALSSSGSWDDPLSSAAAAVEAHFQAHWSALDAAARQDPAQVVAAGDWRSPLELPFLWLGDVHPSLLTSLLRTLSPSPRLLAAADRVDRRIRASVPVVADRLRRAQEAFVSAEVAGGADVEAFLEELKGVALDANRLRRGVLSELVAAAGGYQAALFLEALSRFVLSLHDPEVLRRFDQCRAPPPSYLTRIVVTSGIFGKHEMGLASVEAA >Et_3A_023962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15207502:15208195:-1 gene:Et_3A_023962 transcript:Et_3A_023962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENPPALSPPARGKRSPETTTTTVEASAQRRATPETERARRRTMNCLYAELAALIPGLPARATKTRILEAAIERVGALRATAAELEAHRAVADGRRRAAAAAARTTTTDGAGAGVLASSVEASCFSVRLPAARRPGSVTRVLEAFHRNGAAVLAATVTTGTAGEAAVTVTTSAVAPAVVERIKADISSSLS >Et_3B_030383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3400589:3403744:1 gene:Et_3B_030383 transcript:Et_3B_030383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGHVRGAPRRDGRAGNGANGGGGGSQAVASGVFQINTAVATFQRLVNTLGTPKDTPDLRDRILKTRQHITQLVKDTSEKLKQASEADHRVEVSATKKIADAKLAKDFQAVLKEFQKAQRLAVEREAAYAPFVSQAGLPQSYNSSEVNNGADKFAEQRTQLLESRRQELVFLDNEIVFNEAIIEERDQGIQEIQHQITEVNEIFKDLAVLVHDQGAMIDDIDSHLENSVAATTQGKVQLSKAAKTQKSNSSLICLLLVIFGVVLLIVIIVLAA >Et_10A_000975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19784056:19784745:-1 gene:Et_10A_000975 transcript:Et_10A_000975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVLFEVDRKVKSSGTPFECEDKVLSYHAFFYNNIIHTRDISFAQRRAESTEHSTTDRRRPLKFKLLNGGDGAGIVKKEGFRPRVALRRLSFMTLGFCKLSIEIAWSML >Et_1B_009920.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11178602:11179102:-1 gene:Et_1B_009920 transcript:Et_1B_009920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLDFDAMARGDVGGVACGNPLFDDSDTEGDEGWVDDPYAPAQWLRLRIGEDIDWCDVGGCAVAVLRRDDSTKGAGANPKSAARRSVQQQQAAAPRSSMSAAEEGRHRRPPGGQGRRAGAASVLPVPARRRVFAAGEQAAGHLVEPGSPKVSCLGAVRPEHRPAG >Et_7A_051540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20020182:20020888:1 gene:Et_7A_051540 transcript:Et_7A_051540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILLRIPPDEPADLFRAALICKPWRRILSDRAFLRRYRSFHGAPPLLGFLQNTQIDGPIPRFVPTTSATHFSIPAFDCRRWYAIDCRHGRALLLSREPTGLITRTCPFPHTRTPASGRRCYAPWTAATTLTAAAARTNGLEDDTWVSIYSSETGAWSEITVVPRCGSCIGEHSPSVLIGDSLYFKFDFGESILKYNLTGRILSVINVPDIRRPQGIITTASDGGLDSLV >Et_2B_020927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24946218:24946905:1 gene:Et_2B_020927 transcript:Et_2B_020927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALPAFRPRPCPPPPRSCARTPSASRATPTRPRASTQPETSRCRKSTSWTCRAGAGVPGVLRRRARWEGKDKRVLGYDERLPAGFNHDELPATARFCLSPIGRDASGARVVEAITAGCQLIATVRQRPGLVPIPAIEDILAAICDMHYSPLRRGVLRVRGHFRQPAPPPGAAVRRVVNMHGLALLHLAATPQPEAQLLTRGRDTHRTARRGLHVPATM >Et_1B_014036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28035703:28037129:-1 gene:Et_1B_014036 transcript:Et_1B_014036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDCRNGRLIVLNWGRFQVRSPLHPARGTAAVPELFRFDKGYRPLLPEAADNCTAVALARDDRRGWIHVYESQDGARGKSYRNSGGGKLYMYRRVGYILGLDLASRSFFQIQLPEGVEYESDVNLVMSRAEYGGFYLICARDFEILVWRHSTGCSTGNWELVDSICLIKVLGDLVDPLFWLSAQDPTVRVSAVGDNADFVFFQIKYEVFYMHIRSWAVEKVHDLQSSELDFDIHPFVMGRRDIFCPIKSQYPR >Et_5B_043393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:165354:174409:-1 gene:Et_5B_043393 transcript:Et_5B_043393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNMRCTVSLHGAAILCTAFSGPAVECEDDKEKEEGPHGSQSPGIKRITGCRLHFIRRRRLLYQSRKPKPQQAPPGGGGGGGAMESSPYALHLAVAALIGASFAAASAYYMHRKTLDQLLRFARSLDRDTRRRARLIPDGEYDNTDGEEDEPPPAHRDHDRRTLPIPPGLPPLHTGRESRPVISPGSTKRLGAIVRPTTPKSSVPTVSAFEAIEDSDDEEEIVTDAKNDAAYLSANGATESDPLPEKVSQNGELKSVPSTHMIRSHSATGSLHAPQLNPIAADILRKEPEHETFSRINITAVETPSPDEIEVYKVLQKCLELRERYIFREEVAPWEKEIITDPSTPKPNPNPFHYEHQPKTEHHFEMVDGVVHVYPSKDSKERLFPVADATTFFTDMHYILRVLAAGDIRTVCHHRLNLLEQKFNLHLMVNADRELLAQKAAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFSDLEASKYQMAEYRISIYGRKKSEWDQMASWIVNNELYSENVVWLIQIPRIYNVYREMGTINSFQNLLDNIFLPLYEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAYAYYVYYCYANLYTLNKLRESKGMTTIKLRPHCGEAGDVDHLAAAFLTCHNIAHGVNLRKSPVLQYLYYLAQIGLAMSPLSNNSLFIDYHRNPLPTFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHRLKSHWIGRNYYRRGPEGNDIHQTNVPHIRVEFRDTIWKEEMELIHLGNVKLPEEIDR >Et_2A_016450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24958483:24958783:1 gene:Et_2A_016450 transcript:Et_2A_016450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCRRAAGPVLPEELVLPAKPLLLCRSWRRRTSEAAFLLAQHRRQPSLPLVSFHGKLPYLRLAWTTPNPGRTSPVGRPSGIQGGRCSE >Et_3B_029173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22709202:22713266:1 gene:Et_3B_029173 transcript:Et_3B_029173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESVALTLAGTAGNNIGKVLQKKGTLILPPLSLKLKVIRAYAFNQLWISGFLMDMCGAALMLTALSQAPVSVVQPIAGCGLAILCVFSHFYLKEVMNGLDWVAITLAGLGTIGVGVGGEEQKVDKIPLIKIPWLVLSIAILFVLLNTWLHMYKKQRREQELTGPEVIEEIIFGLESGILFGISSVISKMGFVMSEMGFPKIVVPAAISCSVCCSAVGFVYQTRGLKHGRAIVVSTCTSVASIVSGVIAGMVALDEHLPTAPTSRLFLLLGWFFIITGVTLLVSSTRLIARLPRSVQKILKSNMERNHSMRRPGSARGKDPIPSTTIHASSLHLLTSPAKEKA >Et_9A_062319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21790847:21791926:-1 gene:Et_9A_062319 transcript:Et_9A_062319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGLAPDCGVAGGGILQNTRYYLVLPSGWQGNRADERTQALPAQGNSGVTTALYMCAIMRRSKSLLLPRVRARGTRLASIPASPGAQLRDLASAAHGRPAPPASASIASTYASRSRASRRAGRVASAIAARSRSAATAGFFHGAERTGSFRPPPRRATFRRRDGEPRRR >Et_1B_011737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25569288:25569999:1 gene:Et_1B_011737 transcript:Et_1B_011737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRFPLPAPFCIKRLFYLSRHGRDFWRATGIKPVPSPWQGDVLPLNYARYIELYSLRPIFYRIYPPGSTVYVRVDRFIFY >Et_4A_033690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25875279:25880894:-1 gene:Et_4A_033690 transcript:Et_4A_033690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSLITPRARASSSGQRVRVPAAHARLPRGRLLRFRRSLTVVSASSGSAPDAGGGTTAWDALGGVSVLATGTGDAVQLRDLWDPTEGVAVVALLRHFGCFCCWELASVLKDSMGELDSAGAKLIAIGVGTPDKARILADRLPFPSETLYADPERKAYNVLGLYHGLGRTLFSPASAKIYSRLDSIKKATKNYTLQGTPADLTGVMQQGGMFVFRGKELVYAWKDEGTGDHAPMDDVLSASARVILPPPTRPTAASPRGFSFPGRRNNHLSLRRSPAGPSPVGTSAASSSSVPSSSPATGSSIGDALGGVEIYSAATCEPVLFRDLWDQNEGMAVVALLRHFGCPCCWELASVLRDTKEKFDSAGVKLIAVGVGSPAKARILGERLPFPLEYLYADPERKAYDLLGLYFGIGRTFFNPASVKVFSRFDSLKEAVKNYTIEATPDDRAGVLQQGGMFVFKGKELLYARKDEGTGDHAPLDDVLNICCKVPVS >Et_1A_005709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1357937:1358825:-1 gene:Et_1A_005709 transcript:Et_1A_005709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGACAVAAVAASAATFQATELNSSLTPRRSSFFRMSCRHRQRAAATGPAAARNGGRARSSRRDPAEAETDAGVGGILKDDSSYLWTLVLRSFGGAAAIKYGSILLPDITRPNIVQALLMVSLPVVAAVFLLLKASSKED >Et_8B_060593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2965974:2969268:-1 gene:Et_8B_060593 transcript:Et_8B_060593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARATTATHHYNALLRRATGHRDLLLALRTMLRAGVAPDHFTFPFALKALSQTTPRGSPPPPTREPTLGCFHAQLAKSGHAADVYAASALVHAYSSRGDAASARAAFDAAPHRNVVTWTAMIAGHAAAGEAREAVALFREAVAGSGGREINAITVAQVMAACSQCGDLESGRWVHATLRAWGVEPVLEGVALATAVLDMYARCGGIRAAFQVFDAMPRRNEISWNAMVEVCSRHGGSDKVLEVFARMHASAMKPDKVAWLSILRACTVKGDAALGQGVHAYLEKTNGCQDVAVCTSLMDMYSKSGNARGALRIFRSLEVKDLMAWTSMIIGLAKHGHGIDAVRLFNQMEHGGAAPDHVAFLGVLIACSHAGMVDEGKKYFNSMKVTYRIKPTIKHYGCMIDLLSRAGQLVEAEGMVQLMPIQPSITIWGSMLNGCKLYGRVDIAERIERQVPEFNPQFGAIYVVLSNIYAGVGRWHAVEQTRWSMRKRGLKKSTKEAYTVYTEETEHC >Et_2A_016394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24170523:24171976:-1 gene:Et_2A_016394 transcript:Et_2A_016394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALLAVLVEHHNNGDHAQNGWKSHVYNAAIKHVFEKCFVIITKDNISSRCKTFDKHYEVISKILSQSGFGWDWDNNKLQIDSEEVWTKYVEANKAATCYKTKVVRNWDAISTVYSKDHATGEGAMTGADIAEEPAIEGNEPSPDLPQKRQRTGEAILCMLGDMKTSFHDAMKSSEPLQLPHVTPPAEILAVLDMIPDLARSAKLRSYGKLILSERLFQALIELPMELRKEWLLISALVSKANAILHPEFRHPNEA >Et_3A_025911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33984728:33988812:1 gene:Et_3A_025911 transcript:Et_3A_025911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKAMLQSTAESAIQSIGLGFDVSNDIRLKYCKQRNSPDPLLIELDHGEVQDIVLPGGLTVAGVPKSIKCDKGERTRFRSDVLSFQQMSEQFNQELSLSGKIPSGLFNTMFEFTGCWQKDAANTKSLAFDGWYITLYTVALSKAQIVLRDHVKQAVPSTWEPAALARFIKKFGTHIIVGLKMGGKDVIYLKQQHSSSLQAVDVQKRLKDMSDRRFFDANGHSDMSFMDTYGKNKSDTREQQLRFLQSSPLNSYSSNEDLVMMPKRRGGRDKDFISHSEWLNTVQAQPDVISMSFIPITSLLNGVPGSGFLNHAINLYLRYKPPIEELHQFLEFQLPRQWAPVYSDLPLGPQRKRQSSASLPVNLIGPKLYVCTNMVDVGKRPVTGLRLFLEGRKSNKLAVHLQHLCSLPQIIKLQDDPYNQQTPEACDRKYYEPIGPWKRFSHVCTAPIESYDDSSIVTGAQLDVVNHGFKKVLFLRLHFSKVGNAALVKNPEWENSPNLGQKSGLISTLISTHFSTVAQKPPPRPADVNINSAVYPGGPPGPVQTPKLLKFVDTAEMVRGPQDPPGYWVVSGAKLNLERGKISLRVKYSLLTAMLPDDEFPSDEEC >Et_10A_001509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4381437:4385796:-1 gene:Et_10A_001509 transcript:Et_10A_001509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETNHPPPQPPPVAAPPPMAMQPMMGPAVGAGAMHPPHEQFHHLAYCVHSNPSWVQVAVLAFLHYLVMLGSTVMLASLIVPAMGGGPGDKARVIQSFLFMSGINTLVQTLVGTRLPTIMNASFAFVVPVLSIARGFDTNDFASNHQRFVHTMRTTQGALIVASILNMILGFSRVWGTYAKKFSPVIMTPVVCVVGLGLFEIGFPQVGKCVEIGLPMLILAVVVQQYAPYYFRHSHERMTFLFERYSLLLCIGIVWAFAAILTVAGAYNHVSLKTQQHCRTDRSFLMSSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVSAFESTGAHFATARLAGATPPPGHVLSRSVGLQGVGMLLEGIFGAPAGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCILFGIVAAVGVSFMQFANKNSMRNIYIIGLALFLGISIPQYFNEYTSSAGRGPARTNAGWFNDIINTVFASGPTVALIVASVLDNTLEVKGSESDRGLSWFTPFLRRRKGFSDPRNEEFYSFPIRVHDIIPSRFL >Et_10B_003902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7388620:7389109:1 gene:Et_10B_003902 transcript:Et_10B_003902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHVHSLPDGRPDGMVRVRAPEAGDHHAFHLPAVVTHHSAVHRLRRGCFRGRKTPTPIALVLYDDYRPPAAHDLEDEDAKAVDVRGSARPASEHALWVNVPHRASERCRVRFPLMVDEPRESKIAELGVEGSVKHNIARLDIPVDNTLLPVLM >Et_1A_009463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6045487:6047100:-1 gene:Et_1A_009463 transcript:Et_1A_009463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYHNVPSCVLVNNWAGSRGTANATTAAYKTSTGLPITATFCAAPPLAFSYISVHCPGIDGTNSFMTPTVISEDADLVLLRVPRERGSMRVNPQRPQLDLLPNPSLENLVDNEIAVVSCGDDKYVVAALQFVFGLKPTFKLHLYRSTGCYKQGTWTSQLLYVEEPLRDKVCPIPESADMQMYHRTTKVIVLGGDKGTVGWVDLWRGIVLCDVLSDRPTLQDLPLPLPAEGNLDRFLNCCPSYYRDIAVNERKDTIKHVEMEITPPTSLFDVPPGSDLYWVSYHRRPESVEPGTWTTTTWTMPIPVASWDDWSVDCSVSLDELSLSNRRVYKTLHRLVTAEDDEEGTNDDVDGADDTDDEEGTDDTNDDDDEQEDTGGTPPIGSLSMAYPTLTILDDDVVYLLSESNCERMSKGNIASLITVDVGKEILQGVEVLGNNRFFGRDFHASGISRCLEHGRLRDGAGGATTQGPQKSRRPGSEPRAIVRVDTENRGRRMENCHLGRGSFLFAFVAFFGLALACGLWRR >Et_2B_022090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:942372:948372:1 gene:Et_2B_022090 transcript:Et_2B_022090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSVGFLADQRIRVKMVNFGKKLMADQIPEWKGYYINYKLMKKKVKQYGQQLQQGEKDRRRVLKDFSKMLDDQIEKIVLFLLEQQGMLASRIEKLGKQRAILAEQPDISGIAELREAYREVGVDLIKLLKFVDLNATGIRKILKKFDKRFGYRFTDYYVTTRSNHPYSQLQQVFKHVGVGAVVGALSRNLAELQERQGSYLSIYDQPTAALKDVLVAMQDPIIDMINSSVDKLTRSTNFLRFLGQHAMIVGEESPSTAGEEEIEDQKYHFMSLMLNLVNTFLYMVNTYIIVPTADDYSVSLGAASTVCGVVIGSMAVAQIFSSVYFSAWSNKSYFKPLVFSSIVLFLGNVCYAMAYDMKSLTVLIVGRLLCGMGSARAVNRRYISDCVPARIRMQASAGFVSASALGMACGPALAGLLQWKFKIYMVTFNQSTLPGWVMAVAWLLYLVWLWFAFKEPNRAFEVNDAPQNPASGQRVDFGELENGLAQPLLRDSENKQNDDEDEDVDDSEEAVEDSRKPATSIGSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVVTNHYFSWNTSAVAIFLAILGLTVLPVNAVVGTYISNMFEDRQLLMVSQFTLLVGILFSFKVTSTYSVIQYVGSALVTFVSAEVLEGVNLSLLSSVMSSRLSRGTYNGGLLSTEAGTLARVVADGTITAAGYLGIEKLLNVTLLPSLVICVASIACTFLTYNSLF >Et_9A_061663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1542996:1544433:-1 gene:Et_9A_061663 transcript:Et_9A_061663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQETHALPVSTTGAGTGSTKPGSGSGSGRLFTAGMVAAWYASNIGVLLLNKYLLSVYGFRFPVFLTACHMSACALLSCLAHAASSTRAGARSRAQLARVAVLGAVFCASVVAGNVSLRYLPVSFNQAVGATTPFFTAVLAYAVAARTEACATYAALLPVVVGVVIATGGEPSFHLFGFIMCVGATAGRALKTVLQGILLSSEEEKMNSMDLLRYMAPVAIALLVPATLIMERDALGVVVSLAREDPSFIWILICNSSLAYFVNLTNFLVTKHTSPLTLQVLGNAKGAVAVVVSILIFRNPVTVVGMLGYGITVAGVVLYGEAKKRNK >Et_3B_029967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29523351:29525221:1 gene:Et_3B_029967 transcript:Et_3B_029967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRHENGHGAAAAAAAMAAAGGGGGRGGGEGGGDAHEDDLVMPGFRFHPTEEELIEFYLRRKVEGKRFNVELITFLDLYRYDPWELPAMAAIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRAENSRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPDDTDRYHKTEISLCRVYKRSGIDDGHGRPSSSARSTPSRGGATAQHDNKQASSSSTPTPPTTPSKLHLLHGECTSSPATTADHAPAHKAAPASRHITTSPKPSSSGYLSVTSAPAPAAGDQHDGTAATSYDHTRNTSAFASTYSLLSLVNAASSMGSGSATAIDELSTLVGHGQPPAYFNHHQAGGHSPFLPMPAPLPSSQTLPMSLAAISDKMWDWNNTMHDAAARDYSSAGFK >Et_5A_042669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2587971:2589031:-1 gene:Et_5A_042669 transcript:Et_5A_042669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGGGSSIGSKTPLCLLLFHVLLLLAASDGAAGIQVGFYRQSCPNAESIVRGVTWAAASRDPSLAAKLLRLFFHDCFPQGCDASVLLDGPESEKTAFPNQSLGGLDVIDAAKAALEKACPGTVSCADALALATRDAVSFQFGRPMWAVETGRRDARASRAADGMDVPHPDFTFQQLKDSFAKRNLGVADLVALSGAHTLGRTSCQFVSPGLFGSGGLAVVDPGYAPELLRQCPDAASRNTVAMDPGSEFRFDSGFFAAVKANRAALPSDAALMHDGEAARIVDELRDQRKFLAAFARSVTRMGAVGVLTGNDGEIRRNCRVVN >Et_7A_052643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10199892:10201015:-1 gene:Et_7A_052643 transcript:Et_7A_052643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEEKSVGGSYWGLNARPCDACGGEAARLYCRADAAFLCAGCDARAHGAASRHARVWLCEVCEHAPAAVTCRADAAALCASCDADIHSANPLARRHERHPVAPFYGALADAPKPFASSAASKAADDVDRRSNEAEAASWLLPEPDPHGHKVDGAADVFFADSDTYLDLDFARSMDDIKAIGVQHGGPTELDLNGAKLFYPDHSMNHSVSSSEAAVVPDAAAAAAAAAPVVAVVSRGREREARLMRYREKRKSRRFEKTIRYASRKAYAETRPRIKGRFAKRASGGGSSAEDHALEKHDEEMYSSAAAAVAALMAPGGAADADYGVDGVVPTF >Et_10B_003777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5815435:5819661:-1 gene:Et_10B_003777 transcript:Et_10B_003777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METMAVLAGTAPPLPGTGSRPSATLLAPASLSFAAAASSRPRATLALRAAGGARAARARRAVPGRIVASSDVEQSYIMIKPDGVQRGLVGEIISRFEKKGFVLKGLKLFQCPKDLAQEHYKDLKDKPFFPKLIDYITSGPVVCMAWEGDGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRNVVHGSDSPDNGKREIDGRTLQSKYQKLDYNSFYWYIITTAYFRK >Et_10A_001404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2693364:2699122:-1 gene:Et_10A_001404 transcript:Et_10A_001404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METEEAPKPPDVEPIGSEPDDAERTAPPPPPPAPTPDLAPAPPAAAEAAAPESVLPAAVSPPPAEVNGSFDRRKKRKADEGDGSKTCSCKKSKCLKLYCVCYASGTHCTESCGCESCHNNKPIQGAPRIAPAPVLPVKFVPTLEAGQDTAEQLIRSPMDLVRRKCTCKKSGCLKKYCDCYQGGAGCSINCKCDDCRNPFGKKVGVILDVKSSLAAPLTLNEGNGAEVDSSDDEDDYYMNRPLSPIPPSPVSRESSFQQETLVGVEVQTMNGHLYPKPLTQVRPESSAWQLSSRRPGEESRVEQWNFSRRPSEDRTSDAMEGHADPKFQRNNKKVESHVDKFSIPRCIEVMNAMTDLSPVEKSLAPDVFLNPSNREIFLSLCVEVRTLWLKRKMKSLV >Et_7A_050248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15308304:15311155:1 gene:Et_7A_050248 transcript:Et_7A_050248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDATALAHATSSCFILLSSPMSTGTSTAAAAPGAPPSVPGSSLAAPTSRAGTDAAGRDLASRA >Et_5A_040698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11203887:11206741:1 gene:Et_5A_040698 transcript:Et_5A_040698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRSTAVAAVPALGRGCWEDGAISLGLVAVQLGGAAYMVVVTPVLALGLDPLFLVAIGSLCTGVLTLPFAVKLERKKWPSELSNRLLLQFVVLALGGVTGFQALMLHGMKMTSPAIASAMPNLAPGFIFIVAGCLGFERVDLRCRYTRAKILGTVLCLGGAVAMSVLQSPATPRGHALHWTLDRATTAAVATTHRDWAAGCLFLLGAVLVLSGTIVLQAATMIHFPAPFTLCSVTSLIGAVLTAAFQVATAGRFSPGTPQISLEIVFSLVLVGGLVSSACIMFQTWAIEKKGPVMVSMFSPTQTVGSAIFSALFLGRVMQPGSILGMVFLLSGLYVVLWAKKKEGQVLAADRMATDLPAEHDMEKPLLFHL >Et_2A_015875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18609343:18612673:-1 gene:Et_2A_015875 transcript:Et_2A_015875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAASRLAGGGGGGADGGDPVAVCRDRKRLIKAAAERRFALAAAHAAYAAALRSVADALDVFVARHTAPAPILITLPTPTSSPPDSPKPAQPQQLPSPATPTPPPAAVQEAPASVPSAEDGDSGVQTPEMGCPYYYAPPATPPPPPPAASSVGGWDFFNPFYGAEEVTPAISDEEMRAVREREGIPDLEEAEEEEEAAKAPESKPPNAEASLGVAKQEESKDLGETVGNNDCLEVAVAQPGRELLAALKEVEELFARAAEAGKEVSGMLEAATRVPELKENSSKIIHAITWHRSPSSVSSSYRSELGASSNSLSWTDKSETKSDIFEDYGGMKSGSHSQTLGRLYAWEKKLYEEVKAIDQIRQTYEKKLVQLRNQDAKGSELRCAEKTRTTVRDLYTRIWVSLRAAESISDRIQKLRDEELQPQLVELLQGFMKSWKIMVDSHETQRQIMFEVNSFTCPAYGKFCNDAQRHATLKLEVELRNWRSCFMVYVNAQKAYIEALDGWLSKFILTDTIRYSRGISSIAPDRAGAPTLVVICHDWYSTLSKFPNKRVSFTMRNFIRSVRVLWLKQGEEQQQKRKVDSLAKEMDKKLTAYKRAENKVIETKLPDFKPELDVKQRMEHLSEKRELINTLRKKVEAEKAKHHACMQDTHDVTLNGFKIGLASIFESLTEFSKDSVKLYEDLLSQAGAKDSEKATEKRPGVEGPYSQIAVEAT >Et_3B_028156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11050014:11062162:1 gene:Et_3B_028156 transcript:Et_3B_028156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSTPTLKDNFVDAGIIAAAVLAIVVVALSTLGRRRCRHPTVRFIVWGISMVFLPLTSSIIQSLLLRSKDLRCDPETAKDVAACKVQIQDMWTLLLWLVLILTVKGNADVAAAAVTVSAASPTSSDVSIDGQRVRPAVELIAQYGYVAYLIVVCFRMAGWVDIWKRVLFVVFAVLGLARFALKMAAFWRANKSYALGMNARLVAGYMEQLVAEDNDDGEEKAALVPRYIVMGERKSHVQEDPQGYRVKRDALKEKSDLVTLDRVWQLAEKSRGIFAERQELRDLCLSFSLFKTLRRRLSGYPLADAGSGEALEFVLRGMDSAGARGAAANADRVFRVLVDELWFASDFYYSPVPLCTFGGWCATLNYICSVLIVAGAVTVGWIYRSKNLIEMQSKPYYKAVISLSLLVAVVLLETWEIVAGVCSNWTKMSLLGHYIRHQSAWRRFGCIHWLLAAVLRLRPAKRWRDKIGQHSVLEPRRFRRTGLFSEKLYGDSGLMKSIDVSPAVKDAVLRSLQSNYSTSTGRVVGKIDWAWNGDDGTITELILAWHIGTRLFEMKSTSASNEMIAASHLSYYCAYLVAAAPELLPDCAAWTKIRYEDVSKDVRAALGKDDGDGSESTAGRYQRLVTALSAESRDKVLRRGAEIGRRLVKEYAEDDASACRTLADFWSEMLLYVAPSENVKGHVQAMARGGEFLTLVWALLLHAGVTTRPEAPGAAILLGVKVSSDDLVNAEVVAAAVLSVLLVALGTYGRRRCCHPVVRLVVLGASTLYLLLTSSIISTYAWLVYLIWVCFPQAGWAGLPLLERSIFIAFCALGLASTVLKLAASYSASNSFALGKNARLIAGYMAQLVADDKKKDNEHQEQQVPRYMVMGERKKYVEKNPQGFRFKRDALEDKHSSLVTLDRVWRLAEDGDGLLTEHRDLCLSYSLFKILRRRLSGYPLADAGSGESLEFVLRGMDSVGAAVDSDRVFRVLVDELWFTSDFYYSPIPLCTLSWWCAALNCLCSVLIIAGAIGVGCIYENKHVVVHPIPYKIITYSLLVAVVLVEAWEIVAGACSNWAKMALLGHYLRHESAWRRCSCVHAVLAAVLRLRPARRWRDKIGQNSVLEPRRFLKRGAAAARRVGGKIDWALYGSKTWSRDGGSNTELILMWHVATSLFEMKSTSSTSADMIAASHLSYYCAYLVAAAPELLPDCSAWTQERYKKVSKDVRVALGKDGHCSESAPERYERLVAALSSESRDMVLRRGAEIGRHLVKEYAKDEASACRILADFWSEMLLYVAPSENVKGHVQAMARGGEFVTLVWALLLHAGVTTRPEAPGDSMV >Et_6A_047316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3910366:3915057:1 gene:Et_6A_047316 transcript:Et_6A_047316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding APPSPFMAMGLDALASYIQSMLAEMATDEMRMLLGVPSEMKKMGVKLGDLKKFLADADRRNITDELVQGWVKELKDAMYDATDILELCQLKAMKRGPSQDMGCLNPLLFCMRNPLHAHDIGSRLRNLNEKLDDIIKRSMTFNFNLTSYEDHGTKMESSCRLPNCETTGELELVVIGEKIKEETGDLVEMLTRKEETIHGDNKVLVFAIVGVGGIGKTTIAKNIFNNEIIQQEFQKKIWVSVNQDYSDTGLLRRTIEAGGGNQAAGNTMEVLQKTLKETLKGCKTFLVMDDVWNHRAWEDVLKTPLTNVVAQGSRVLVTTRNHRIARGMMAQEPYHNIEKLKPEDAWSLLKQQVVTNVTDESQVDMLKDIGMGIIAKCDGLPLAVKVMGGVLLQKNRRRSDWEAVLKDSVWSVSQMPEELNSAVYLSYQDLDPPLKPCFLYFSLLPKSKLFTVDEIVGMWISEGIIHGNLDDLENIGREYYDELILRNLIELDTRYVDHSVCCMHDVVRSFAQYIARDEALVVGQSSQIEITSKLNAQKFIRLSLENKGSESDELEWMSLQAQISVRTLISIGNNIKIKPRDSLVTFSSLRTLYVQNADFDQLAESLVKLKHLRYLSIPHTDISRLPDDIHKMKFLQYINLFGCQSLVKLPGSIGKLQDLRHLILSETSINNVPRGFGGLTSLRILDGFTVHMDGDWCSLEELRPLTKLTRLSISGLENLSSSTIARKTNLRGKVHLRYLVLHCTSKDGHNGQLFKEEDNISGEGHQNIEAVFDELCPPPFLENLVISGYFGRRLPIWMTSNEVMPLGNLRIMSLQDLPCCTELPNGLCQLPCLEFLQIECAPAIKHVGPEFLQPHHHEHLRKLEVIRCSGIERICNLPELQELLIEHCPKLKVLEGVPALERLHLVDYGMGTLPGYLQNVNPRHLLEIDCDVYLLTSMAIGKSSPEWDKFSHIKQVKAYADDDDNNIRRKWYVLYTRDPFSFKTNISSSAIHKARRERTNFACWTTCSIEEERPVGQGACADKYQPLCQRFRCNAYRRLVLWLRQVCLHCSEAARIADSSDQWTEAAVFRASTKITLTAQQFAGTGRA >Et_6B_050175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8942983:8944457:-1 gene:Et_6B_050175 transcript:Et_6B_050175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQTKESQVTSSQTNQTYLSSNLPTKEGCWQPIFVLYQNCWLRPQFLGNIMHLQNSFKARRDDTILVSNPKCGTTWLKALAFAITNRSQYDFERHPLLSCHPQELVPYIEIVPKDKDLTYVETLRSPRILATHMPLSLLPESILDSGCRIVYICREPKDAFVSRWHFENKILIRNGQSSIDLEAYFNEFCEGVSDFGPFWDHCLGYWRESIAKPNKVLFIKYEDMMFEPVKYVVLLAEFLGVPFTELEHDHGIPEEVVRLCSFENLSGLHANQNGVIPPSGNFVMEKSVFFRKGKVGDWMNHITQDMGRKVDQIFEEKLKGSGLLVQ >Et_1B_011433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22180722:22182698:1 gene:Et_1B_011433 transcript:Et_1B_011433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPESSPSSRNSTQSSTSSSADSSPPSPPSSTPGSQASFNSQPKSSSGSTSQSGDGSSSSSSASRSSPSPPQPRKGGGGSGNSGSSNSKDGKKSSQSSSSPTHAEAVIAGVVIGVVAFAALLAVVACLCCCRRKKKRATPMNMPFYTDEKGNVYYPNAGLPPKWQQYGSNGSIAPLPGWHQHGGGNPLSPPPGSAPAPLSGEMGGGAPYSSGPYGPPLPPPSPNVSLGLSKSSFSYEELASATSGFSAANMLGQGGFGYVYKGVLAGSGKEVAVKQLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGNQRMLVYEFVANNTLEHHLYGKGVPVMDWPTRMKIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDNNFEAMVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASSGKLTDRSDVFSFGVMLLELITGRRPIDTANLMEDSLVDWARPLLSAALAGETGFAELVDERLAGEYSPVEVERMAACAAASIRHSARRRPKMSQIVRALEGDASLDDLHQDGVKPGQSVLFSGGGGSDNNISRLRQLAFDSGDYDDYTTDYSTDSSVAGRPPRRP >Et_3A_024212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18470261:18474175:1 gene:Et_3A_024212 transcript:Et_3A_024212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GLVQSSGSLSVAAMAAAPVAGNNDNDADRKARNVVVKSETVEVNGDYTMAQGAMPACGDPMVTFGSLGGRFSDDFQFPEYEDGDSTDCSSSFGDSYSVSDDDLELDTGIMEVDSLFPSHINVDDTTVVPHLKKVTSDWREYISPVMWRCQWLELRMKDLLSQVAKYDKELAIINHEKDLHLEMLKGDSPKSESQHLDLQSRERNTMRRRKRKRDEDIMESSLLTEEHLILSYYNEKQKKKTETDEILDNDDSNSLAVDSMKSSLGLNDTLALLEFKENDIVLEQHSLRDILLSIDEIQSRIISLQNHLSEAQNNRDHNQKAKKAQKKKKLHGLLQKETERDEDEITVEMLFGADKPLIDAHIAGLYRESADDVLIDNQAAREEGYWQFERINQTAEKHPELLKSVAQSPSLEEEKSRELTGREFVSIPVPIVTLMNKRGPKLEKKRDSSLPGLEDQIQKEDNNTEKKQLTEEDFDNSNNENAPLVAVDMRKSRRVRKPKNY >Et_7B_055828.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5464080:5466674:-1 gene:Et_7B_055828 transcript:Et_7B_055828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTQALADALRSCSARRALSGARALHGRLLAMGLASTVFLQNTLLHSYLSCGALPDARRLVAEIYHPNVITHNVMLNGLVKVGRLGDAEELFDRMPARDVASWNTLMSGYFQSRQQLAALETFVSMLRSGDSLPNAFTFSCALKSCGALGWRGLALQLLGMVQKFESQYDSEVEASLVDMFVRCGAVDLASRLFVRIPNPTIFCRNSMLVGCAKTYGVDHALELFDSMPERDVVSWNMIVSALSQSGRVREALDMVVEMQGKGVHLDSTTYTTALTACARLSSLQWGKQIHAQVIRNLPRIDAYVASALVELYAKCGCFKEAKGVFGSLRDRNNVAWTVLISGFLQYGCFTESVELFNQMRAELMTLDQFALATLISGCCSRMDLCLGGQLHSLCMKSGQIQAVVVSNSLISMYAKCGNLQSADSIFRFMDERDIVSWTSMITAYSQVGNIVKAREFFDGMPSRNAITWNAMLGAYIQHGAEEDGLKMYTAILSEKDVRPDWVTYVTLFRGCADIGANKLGDQIIGHTVKVGLILATSVANAVITMYSKCGRISEARKVFDFLHGKDLVSWNAMITGYSQHGMGKQAIEIFDDMLRAGAKPDYISYVAVLSGCSHSVLVQEGKSYFDMMKRVHNVSPGLEHFSCMVDLLGRAGLLSEAKNLIDEMPMQPTAEVWGALLSACKIHGNNELAELAAKHLFELDSPDSGSYMLMAKIYADAGKSDDSAQVRKLMRDKGIKKNPGYSWMEVNNKVHVFKADDVSHPQVLAIRKKLDELMEKIAHLGYVRTDSPRSEIHHSEKLAVAFGVMSLPDWMPIHIMKNLRICSDCHTVIKLISSVTGREFVIRDAVRFHHFKDGSCSCGDYW >Et_1A_008697.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:87972:90008:-1 gene:Et_1A_008697 transcript:Et_1A_008697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMLQGWDNATIAGAVLYIKREFHLESQPAVEGLVVAMSLIGATVITTFSGPVADMVGRRPMLIASSLLYTLGGLLMLWSPNVLVLLLARLVDGFGVGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSGGMFLSYCMVFGMTLAPQPNWRLMLGVLFLPSLLYLVVTLCFLPESPRWLVSKGRMKEARVVLQMLRGRDDVSGEMALLVEGLGTGGDTAIEEYIQVGPPSAVEDANNKLVTTTLYGGPGSQRSSMLMGSAIGLAASRQGSMLDHLRDPVVALMGSVHDLTKPTADGGGSMLSFHDSKGVDWDEENGEDDRLSASTSDHDDDKQQEDENGGLRSPLLAGRQQSAASTTTMGIGGGWQLAWKYEEDGVKRMYLHEEEAGHAAALVSQSALYVPSTGKSSKLDISSVDGPALVHPAAAEEQPTAGWRDLLVEPGVRHALVCGVTMQILQQFSGINGVLYYTPQILDQAGVSVLLSSLGLSADSTSILISGLTTLLMLPTIGVAMRLMDVSGRRSLLLWTIPVLIASLAALVLASVVPMGAAAHAGVATGSVMVYLCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLAFWLGDIAVTYSLPVMLNAVGLAGVFGFYAVVCCIALAFVTLRVPETKGLPLEVIIDFFNVGAKGINNKPIDDTSSFLSHRH >Et_10B_003087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16365816:16369837:-1 gene:Et_10B_003087 transcript:Et_10B_003087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRGAAPLLRPRLRRLLRSPMSRCACLLLAFSALLLLYSLRQVARVDLPRPDLPRQVSSDKLWASNSYGYHSCVTPTSRYKVPIETDHYMTVRSNGGLNQMRTGICDMVAVARLVNATLVIPQLDKRSFWQDTSKFKDIFDEPHFIKALEGDVHIVTDLPESLQSAPRACKHFTSWSSASYYEEVKELWKDHKVVHIPKSDSRLANNGLPIDIQRLRCRCLYQALLQRLRTRGKFLALHLRYEKDMLAFTGCTYGLSDSESNELKIMRESTSHWKLKDINSTEQRSEGNCPLTPNEVGIFLRAMGYPESTWIYLAAGEIYGGDKYISKLRSYFPNLEMLATKEELEKFNNHASQVAALDYIISVESAVFVPSHSGNMAKAVEGHRRFLGHRKTITPDRRGLVELFDLMEKGELMEGPKLSSLVTDMHKYRQGAPRKRYSSLPGSKGRARLRTEESFYENPLPECICLTGKH >Et_3A_024538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21868395:21873313:1 gene:Et_3A_024538 transcript:Et_3A_024538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLQSPSRFLLQILQDRVLSGEKGVDIDCHTVEFDDVRYHIQFSMRNPKVMVLSVALPLPPPEAILYDGLPLGAIEALKSAYGPVVQILDPPKDGFDLTMKINLTKLPPDEEQRNALLTQIASVREVVLGAPLKLLLKHLASKTVAPNVDKLVALVHRPNESFFLAPQADKVTVVYPMRFQDSIDIVLATSFLQEFVEARRTAALNNAPSCMWSPAPPLELKGVPVDALNANAGFVTFVIFPRHVEGKRLDKTVWSLLTFHAYCSEGFMHTRMRRRVESLIQALDRAKSDAEKLKKLVHGASLKRLMMSNLAVEGTGATQVKQKWNRTVLVYFLRIAIFMVETIDNKK >Et_10A_001190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2203323:2208078:-1 gene:Et_10A_001190 transcript:Et_10A_001190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGWRELKRRRNRRPVATRRKERQVAEARARSAPESRRRKMSSRISSGRSGCTAAVWLAVGEAIALPALWLLERLQQHHHQQGRSNQKDAPRQMGGHHGHREKKGMQAET >Et_1B_011380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21287679:21288321:-1 gene:Et_1B_011380 transcript:Et_1B_011380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYWTTSTSSSDRPTSTSGRPLDGGRDTEIAMGAYQPSHLNANGQFARGQVHGFRMSLWYEHLAELKDPGSLECVRTVNKMASEFWQRYTSEQVSDLHGHLLSYPVDVKRDGTVAELKGVEFFPDTTARVLGSIAPRVSVGSLLTNFILTT >Et_10B_002594.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17591301:17591528:1 gene:Et_10B_002594 transcript:Et_10B_002594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LILECDCSSVIKKLKLEEADPSEVAPIILDTLQDARQFESVEFVKIGRGQNKVGHELAQLSIRSGRSCVSFASVP >Et_3B_030464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:409090:417282:1 gene:Et_3B_030464 transcript:Et_3B_030464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASVATKPSPPPLPTLGRRWVPHPRNRTGKLRVLTIKATYSGRSDVSVQSPPVDDEVSVTGAAYSFRGATTSLTNKNLTSSKKITLVRHGLSSWNAESRVQGSSNMSVLTETGVKQAEKCRDALANMNFDVCFSSPISRAKSTAEIIWQGKEEPLVFLDSLKEAHLFFLEGMTNADAKKQYPELYTKWREDPSNFHVNGIYPVKELWGTARQAWEEILLTPGENFLVVTHKSILRALICTALGFAPLMIPLPQWVLEEMDKFPDLSYTDRYQQRNKEYISLGCDILPVLKGRSPMQAYSDFMRSFRNTFEDYLGAIEVQVGMGPGGELRYPSCPTEKLNQPGSSSELGEFQCYDKFMQASLSACAQILGMQEWGSGGPTVTGDSTQNIEETSFFRADGGCWDTPYGRFFLEWYSGMLLLHGERLCMIADAVFTGTGVTISGKVAGIHWHYYTSSHPSELTAGYYNTLLRDGYLPIAQMFARYKAALCCSCFDLRDAERTDSESSPEGTLQQLVGAAKMCNLPLNGENSVTRLDDTSLNQVVRSSRLYSGRSSGTSFSFNYVRMNKSLFEFHNWSRFTKFVRQMSDARTFLARLDFRRGQRYLSSMSVVWVVSRACAYT >Et_4B_036836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11942018:11947734:1 gene:Et_4B_036836 transcript:Et_4B_036836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVKTGPDGPGGDYIKWMCGAGGRAGGAMANLQRGVGSLVRDIGDPCINPSPVKEAKCSNKKNGRHVLTVMEREKYHNLVRQCQSMHASIGTAVNNFVRDSGDFDDIGEPRYDSEAFIDFPSLPGTNLFSNGDGDSNGVEESHCSFSVVEDRLKPRDERMHSFQINKNVDLIIESNSFSNDLFRASNSDSAIFHSDAYKQDRWLDGTGYGREIIDSLRISDAPEEDLVGGTQSNSLIADKDRVSEWLWTLHRIGMLLFSPLCTILVAFSDCVLIRRKFLDAVVDVVRTDSHLDFYGESRNMARMSDILAVYAWVDPSTGYCQGMSDLLSPFVVIYEDDADAFWCFEMLLRRMRENFQMEGPTGVMKQLQSLWKIMELSDVELFDHLSAIGAESLHFAFRMLLVLFRRELSFEESLIMWEMMWAADFDEVAIRRLEESCLEPLLVDLTNELQCEVKEVHRVNSRTRRKSKVKKSLRMNGEIFGACHPIGKSSTKNHLCGLSGATIWARNQQIPHLSANVLAKSEDEELAIFCMFNDNVLKINVKRCVRLAIKLRKKYMNR >Et_8B_058648.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1307766:1307915:-1 gene:Et_8B_058648 transcript:Et_8B_058648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCAVGRCLCDDDGGVATHHHHHSPDTSDVDEDVEAWHRAELAIFGHA >Et_2B_020583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21597483:21599530:1 gene:Et_2B_020583 transcript:Et_2B_020583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTPNDDLSAVAESQDQKNSIDGTRLKESEGDGGGNNNEAIMAALNANQEWLQAKVAINNALIAMDDPPHHNGFFSVGHCEGQKTIDGEQMPLVLTPSGEGKDHTDHEALVNALKSNRQWLESKVIANSAVLLRGFDVRDAVEFDAVVEALGWPEIRYVGPAPRTHVHGRVWTANEGPLEQFVYFHHEMVLIKEFPEKLVLFCEVPPPEGGETPFVPSFRVTERVLEEFPEMVEELDAKGLRYTLTALSKNDTKSMRGRGWEDAFGTTDKAEAEKRARALGMDLEWLPDGSVKTLLGPRGLTRVFPGRRGRRMWFNTVVGMHGGELSSATIADGGEIPANFVRRCAEIIEEESIQFRWLRGDILILDNLATLHARRPSLPPRRILVATCK >Et_1A_008616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9186193:9192040:1 gene:Et_1A_008616 transcript:Et_1A_008616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGAADVNQDVQQLEDRLREVGERLKTPPDTTEELLKLLAEVEECLLKVDQSPPGSTSDAVRPATEALVKKELLFHKDPNVKLAVGSCISEITRITAPDAPYDDDAMKDVFSIIVESFRHLDKIDSPYFERRASILDTVAKVRSCVVMLDLECDDLILDMFNHFVGTISSEHPEAVRSCMETIMKLVIEESEDVHEKLASCLLENVRKEKKESPSFLLAEKVIGMCREKLKPVFLVLLKGKSLSEYSQIVTSVCEEDADDKEDNNADLVKDTVDDGKLSERTISDELPQEPSKMEEDVSRPEQDVTSMNGTPGTTVSSGATPADNGGESIATKPKNSSALESDQNKSDPSDKSEATADADTKKEGPASSGEAANGAADDTSMPAAVTPAKRRGRPPGPKSLEKKAAGKNKRSGDTKKIEEAKDSAGKLTKQTAEDDSKSVEKASEGESSKKQQKISPEQENNETLSEEDPSKDLSLKEMVSTKSSTKGSGRTKGQSAENRKQSVEKGTSKRKREQETETEETPRSRKTKGLDGSLVGARIKVWWPDDKMFYKGVVESFDAASKRHKVSYDDGDVEVLLLRNEKPRGRKRKGSSAQPLKEEKIQTPKSDGGDLPKKRGRPKGFRPGNGTPGTSSATPSSKGKTGSKDVKETPKTGTSIKKEVEKSSKDKATRSTDEKVKDELPKDGDKSASKAKEVGSKGKDPKDEIKSTEGKGRPGRKPKSASTPVESDADKEKQEEKESKTAEIEQEASAVASTGKKRRRKA >Et_3B_031711.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:8588355:8588909:-1 gene:Et_3B_031711 transcript:Et_3B_031711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAAAATEEEEAAGARKRKRGDVGGGDEKGVLLLAEEDDDEEEEGCYEGIAEEAVAEVMRRLEAEISDDYYLAPALQPEAGKAEAEDEAEALGFVTINGNEESCGPSFSCAASTVMAPVDTRPGAPPPPPVPWPFPEAPSTTPAARKKLESTDDADVEMADDEDAWLAELLACGPLLEGFSL >Et_8B_058960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11528613:11531357:-1 gene:Et_8B_058960 transcript:Et_8B_058960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWMPPENERKTSIMIDEDDGVEPQFEDVDEYYFEDGEQKPICISILHLHFGENNGVEDINSKKKVYLRGLVDKSIHIYKNVVAWRIQLDAKQPNIFVLSSEQKWIKLLKPRKCYEEFARSILITTQMMHFFRMPKKKRGHHYLYDHLDDVFKKYDHEPELDDMLKHRGLLKLIMKRDIKLGKSKERTSTTDGDRQWRMQRRHHRVRFVDLQGCSSIEEGPTGLETRLEMGRRS >Et_3B_030491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4125180:4128440:-1 gene:Et_3B_030491 transcript:Et_3B_030491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITARTCRQLHHQLLLSLLAHAFFLAIQLSRSLAAHGNETAVSSSPATAPCRPDQASALLRLGRSFSATNDSTCSLASWRAGADCCGWAGVACAADGHVTGLDLGGCGLESAGGLHPALFNLTSLRYLDLSGNSLGESELPAVGFERLTELTHLDLSYTDFAGKIPHGIKSLSKLEHLDFSNWIYLVEGDNDYFMPLGEGRWSVVEPDIGSLVANLSNLKVLNLGNVDLSGNGAAWCDAFANSTPRLQVLRLRNTNLNAPICGSLSVIHSLTEVNLMYNKVHGQIPESFADLPSLSVLKLGYNLLEGPFPPRIFQNRNLTAVDISYNIKVSGVLPNFSSDSTMTELVCSNTNFSGPIPRSISNIKSLKRLGIAAAAGYHQELPSSLGELRSLTSLQISGAGIAGEFPSWVANLTSLEILQFSNCGLSGQIPSFIGNLKKLSTLKLYACNFSGQLPPHLFNLTNLGVMNFHSNSFIGTMELSSFFKLPNLFRLNLSNNKISVVEGEYNSSWKSIDNFDTLCLASCNISKLPDTLRHMRSVEVLDLSNNHIHGIVPQWAWDNWIDSIILMNLSHNHFSSNIEYSSVISANMFVIDISYNLFEGPIPIPGPQTQLFDCSNNLFSSIPFNFGSHLSSMSLLMASRNKLSGKIPPSLCEATSLNLLDLSNNDLHGSIPFCLIEDMSRLNFIKLRIFDLASNNFSGLLQNEWFRTMKSMMTKDVNEPLVMENQYDLLGQTYQFTTAITYKGSDISFSKILRAIVFIDVSDNAFYGAIPQSIGDLVLLGAINMSHNALTGPIPSELGMLHQLESLDLSSNGLSGEIPQELASLDFLSILNLSYNNLEGRIPESPHFLTFSNLSFLGNIGLCGLEVSKSCNMSPGTVLHQSKKASVDIILFLCAGLGFGVGFAVAIVITWKTSRISSFAFQGDAVRYQDSALSSARSAASPIQLQNLNSDHAGSERRPTGADRRCPYASKPLDSESSSTQICVPNSF >Et_7A_051584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20695892:20696809:-1 gene:Et_7A_051584 transcript:Et_7A_051584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNTRRDRLSALPDAVLIRILSHLPTDEAVCTIVLSRRWRRLYAAVPVVDLVDPNVGERCGFDTPPICFDQKATRPLAAPIRAFRLDVYHPTRTLLGQWIVIALTSGAEELDVKLRLQESSGLRRCPFAPYEEASADFDKGDQGRYIRTYPHMFRSATLRRLSLSRWRLDLPQVSVASLETLALHRIMGSGDALRRLISSCPSLVNLTLEECPGVTKLTVPSAWLQSFAMVCCHNATSVVLQGRRLRSLRYKGGLPRDRSFLSVDNHAALAAVTVDICEDVEQARTPRDVAPVTELLGM >Et_1B_013002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4736123:4741030:1 gene:Et_1B_013002 transcript:Et_1B_013002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYGVPRELSEVQKKRALYQPELPPCLQGTTVRVEYGDAAIAADPAGAHVISHAFPHTYGQPIAHFLRKTAVVPDAKVISEHPAVRVGIVFCGRQSPGGHNVVWGLYDAIKAHNQNSKLIGFLGGSDGLLAQKTLEITDEVLSSYKNQGGYDMLGRTKDQIRTTEQVNGAMASCQALKLDALVIIGGVTSNTDAAQLAETFAEAKCPTKVIGVPVTLNGDLKNQFVETTVGFDTICKVNSQVISNMCTDALSAEKYYYFIRLMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKDKNHGVVLIPEGLVESIPELYALLQEIHGLHGKGVSIENISSQLSPWASALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAQLVETEMNRRLKEGTYKGKKFNAICHFFGYQARGALPSKFDCDYAYVLGHVCYHIMAAGLNGYMATVTNLKSPVTKWRCGAAPISSMMTVKRWSRGPSAAQIGKPAVHMASVDLKGKAYELLRQNSSSFLLEDIYRNPGPLQFEGPGADSKPISLCVEDQDYMGRIKKLQEYLEKVKSIVKPGCSQDILKAALSSMSSVTETLTIMSSSSAGQTQP >Et_4B_037964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25161134:25170195:1 gene:Et_4B_037964 transcript:Et_4B_037964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGGRDPKFEIGQRLLASELSAPHFKPSPHLASSSSLHPHIRSAAAAPAQGRYSAASSAVTAMPSDDFDDELGGGSCAPTPARFELQEDPSFWKDNNVQVVIRIRPLSSSEISLQGHKTCVRQDSSQSLTWTGHPESRFTFDLVADEHVTQESMFKVAGVPMVDNCISGYNSCMFAYGQEKEIRKEEKLRFTCKCSFLEIYNEQILDLLNPNAVNLHIREDAKKGVHVENLTEHEVSNAREAMQQLIEGAANRKVAATNMNRASSRSHSVFTCLIESKWESHGINHHRFSRLNLVDLAGSERLVITNLIAVSNKKSHHVPYRDSKLTFLLQDSLGGNSKTTIIANISPSSCCAAETLSTLKFAQRAKYIRNNAIINEDASGDVLSMRLQIQHLKKEVSRLQGLVNSEKTGGVGSVCESPGTFKWDQGYGMFTPLTVDKRTTQRHDYDAALVGAFRREQEKDAKLKATIAAKQIAEQLAAQRTEEVRSFKMRLRFREERIKRLEQVASGKLSAEAHLLQEKEDLKKELEVLWSQLDRNPEITKFAMENLQLKEDMRRLQSFVDEGEREMMHEQIIILQDKLLEALDWKLMHEKDPVNKGLSLFGESAGDEENEFLRLQAIQNEREIESLRNKLTFNLEAKEKLERRVDELAAELELTKRHDDINKEIKALQLQEQGEGDYHDLPDAQMELKTLVDAIASASQREAEAHETAIGLAKENEELRMQLKVLIEDNKRLVELYEHTIANVEASQQGNCPTFPQTEDASDQTSSSPLEGNSVNKDLLDAQPAGTLDLPVNNSSGSELRLQLEEMHEENDRLMGLYEKAMQERDEFKRKIFEQSNSETRKQIQSDEEDVEMSEAADPDNHEVKNVHDSTIVAFKEVLQHVRIKLELVQDKLGSAQDAVKYFKLLEMASSKAEEISASIQLHSLHVQHDQEGINALKYALSESQENKNAFEGKYFLPAASCWNFDLKTKALAGSKFDVNLELMNQKKEQLNCLQARKKELSAARIKAQESGTELRNKIDGLKLKLRTYEAQRKEEEKVLFAIDNLDTSTALLHKPMKATDLLRSEEERTKLTCQLRKAREQHAMVQRQLKSLNKCDDIDCKITLLEKEIDGCCLSMLEADIEKFVRNNALTEVWEGGAKDMEALLVDYQDCVFQVNLKEEEIKACEETLQHQARGLDELHSKLNEAMGDLSELLRDRRITSSCSLDGSMVSVGEKVEVDLEAIRIRLDILRGFSAVFSVPAAAACCRHRCQERQEQGRMRDGGYGYGAEEEHEPQPGCAEGAGQAAANCAAVCCCCPLALLDVLLMVTVRLPAGVMRRVRRRRHRRSGGRSKKRPSLAAGDAASSSPSGSSKAMIAAAAPALEVEEAEAAASEFEREIMNSRLYGAGFWRSVSSGSSSCASSMRRQ >Et_3A_023900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14265571:14267675:1 gene:Et_3A_023900 transcript:Et_3A_023900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDTGLSARAAGASSSPSTSATGDEDDESKTRKAHPAFVAAAYARLRSSHRAAVALLLLLTVAVAAYLAGRARPGVDCAPPRLDTRFLALPDAAAASDFGSLGVPWCRSKTGKTVEWTWKDLLNGLEEFVPIYETRPIKNNMYGMGFDHSFGLWFMARWLKPDLMIENGAFKGHSTWVLRQAMPNTRIISLSPRHPEKYLKKGHAYVDGNCTYLAGKNFVDFGSVDWGRLLRNHGISDPSKVLVFFDDHQSELKRLKQALRAGFRHLIFEDNYDTGTGDHYSLRQICDQSYIRGGGHSCFWDSDEARLRSKRKKFWEKAVEIHDLCGNDDAWWGVKGYMRDNFNHSNKAISYNEHFENGRFVESVMDLYWELPPVAGPSLTHQTRYDPARTADPIIEDGRYGLFRRIGLARLDASVFNGYTQMAYVQC >Et_1A_005391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:986583:994197:-1 gene:Et_1A_005391 transcript:Et_1A_005391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQAAVSRAFRAATKSEAVWSRFLPRNLPPLAAGELSPAPPSKKGLFLRLAERPALLEGGLTVRERASFPCSFVLGSPISIKVVFVCRSFGWIGRPALIFLNVNTLLICNSFSEAAELLSVCWLEIRGKINSKMLSRHSTYAAYLVFKLTPDWRGLDYSVQEASICIGKSKLTHEVFFQIFGSEDEGEDEMHEPQLPRERGDGWMEVELGQFYNDEGEDGDVSISLAETKDLFTKSGLIVQGIEIRARWMEAADACEIARIPEELLSAAISLTTPLDACRAAAVSPAFRTAADSDAVWSCFLPRDLPLLADGEFSPAPPSSKERFLGLADRPVLLADGLTSMWLNRETGTKCFMLSARKLNIAWDDTPSYWRWIPMTAESRFD >Et_1B_012261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30251551:30256390:1 gene:Et_1B_012261 transcript:Et_1B_012261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTVKTLKGSHFEIRVQPNDTIMHVKKKIEEIHGKYTYPWGLQLLIFNGKVLKDESTLEENKVNEDGFLVVMLSKSKTSGSSGASAGQPSSTPSTRQAPLDAPQQDIQTQVAPITTSQPDGPPARAPSNARDNAASDLLSGGNLDTMINQLMEMGGGSWDRDKVQRALRAAYNNPERAVDYLYSGIPVAAEVAVPIGGQGVNMNDPAPTGEAGLSGIPNTAPLNLFPQQGGPNGGGGAGGGPLDFLRNNQQFQALREMVHTNPQILPPMLQELGKQNPQILRVIEEHYDEFLQLLNEPFEGGARDFLEQAEQDEMPHAINVTAEEQDAIERLEAMGFDRARVIEAFFACDRNEQLAANYLLEHAADED >Et_6B_049411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4445444:4447939:-1 gene:Et_6B_049411 transcript:Et_6B_049411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPQTMVVPTDAELLQAQADLWRHSLYYLTSMALKCVVELGIPTAIHNLGGVTTLPDLVAALSLPTNKLPFLRRLMRLLVTSGIFASDNTATVETYRLNPLSWLLMEGDLPAPLPSPFEELHGVPILDEKTALLDEELDTIVKEGVAAHDNLAMGTIIRECNNLFKGLQSLTDCCGGDGTTARAIMKAYPNIKCNVLDLPQVIETAPADGVVNYVAGDVFKFVPPAQAVLLKVVLHFWNDEDCVKILEQCKKAIPSREEGGKVIIIEIVLSPSMSHITFEAQLLMDKLMMVNTRGRQRDENDLCEIFTKAGFSDYKIMKKIGARSIIE >Et_8A_058174.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:22891101:22891421:-1 gene:Et_8A_058174 transcript:Et_8A_058174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLGHMMTRLHLARSRSASAAAASSTGVTSDVPRGHMAVYVGEARKRFVIPTACLNHPTFVTMLKRVEDEFGFDHRCGGLTFPCASEGDFANIVGSMNMHHHHH >Et_5B_045736.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8454251:8454538:-1 gene:Et_5B_045736 transcript:Et_5B_045736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASNVAGWWWLMPCHDGPSFMWIMSERFRFCMSSAVASTKGTYRSSPTSVCSASKLSSSDSRGLPLPPPPFPFFFPSPSLSTEVHVNPTPSKT >Et_7A_052534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:970786:972852:-1 gene:Et_7A_052534 transcript:Et_7A_052534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSPMRKCFKDSLKVLEADIQHANTLAADFSRDYDGACLQMRMSYSPAAHFFLFLVQWTDCSLAGALGLLRILIYKMEAPPCPPMKGKRASETVIFPSLMQLHKGISDVDDWRQKAICTERYRRRDEDESKRPVSEIDVEREEECGICMEMNSKVVLPNCSHAMCIKCYRQWRSRSQSCPFCRDNLKRVNSADLWMFTDCRDVVDMATVTRENLRRLFMYIEKLPLVAPDNIFYAYDSHRMFLVLGCG >Et_6A_047335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4082304:4087601:-1 gene:Et_6A_047335 transcript:Et_6A_047335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDAVEGDGDASPIAAFAVAKGGVVIKHIFLNAPPPEVAMKGAEEEDPPVLVGRHPDCHVLVDHPSVSRFHLHLRARRRQRRITVTDLSSVHGTWVSGRRIPPNTPVDLAAGDTLRLGASRREYRLLWLTLREAFEMEDPLPPLLEEEKEEAHTHQEASNQLAAGQRDLVDINMHKDTSKKIVSENIAFPVVIPSAPPLPEFAQSFYQEESALSQFHENCEDLTKEKLIDKSPVSESLGSLVIQDMPAAVTNAGRSVQSAKQDASSKVSKRAKLKSVKSLRVDTGRNRDRSNTLSYSQQKQDQNDILVCSQNCGTECAACIALFGISECEGTYLKEEMIAEEKGHMNPPASITMEENKKEPTYPENYIPQDLVDKKSEKSLLHPVELSEPVSENTLVPEVKLNGLNTINLENISNNENVAPNMIAEDPEDCQFKGSTCGNLLGTLDTEVFQDNEENSPLDKENITPDVSGNIIMERSNRGLKPNISQELMDSISPLYLEHDIFSEKENSVLNSDEMISNKPTSENLSPLISADTKLQQDQTEKLSYDASSPVKQEDLFSDKENVTPISRNGKLSVRRVLGSRMDDSVSAKNTSNTAIRKLKCNELSAKSKGFHTVDDDVFYSDKENLTPISSRGVKTRQCLPKNVTVDADHDQEAFFSDKENLTPQSSAARKTRDMSENRARVESAITKKRVADRLPFQTLLSNSSLRPPSSLGCNCAVARAAAAGGMGIRLEDELEKVSRNHQESGRTIQGMKAWTMVADTDSLLDDESMKSIMLLRGIKGTHLIIPRIVIRELDSMKQREGLFRRSSRATSILQWIDECMENESWWIHVQSSSEMFPIAPTPPATPSSAQCIDEEIKISSASFNPMALFSPRSFADIISPKPEDRVLDCALLFKKLRSGQNMVILSNSITLKIKAMAEGLLCEGAKEFRESLMNPCSDRFMWAASVPRGSAWSCLDQAALAENYYNSHHHERKRTPKPVEAAKGLKLILRHNSLFAQATNPARKAPLATLASV >Et_3B_030655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:670135:671027:-1 gene:Et_3B_030655 transcript:Et_3B_030655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFALGHHREATDAGCVRAVLAELILTFLFVFAGVGSAMATGRLAGGADSVVGLTAVALAHTLVVAVMVSAGLHVSGGHINPAVTLGLAVTGRLTLFRSALYIVAQLLGSALACLLLTFLTGGAATPVHALPAGVGAARGVAMEVVLTFSLLFAVYATVVDPRRTVGGIGPLLVGLVVGANVLAGGPFSGASMNPARSFGPALAAGVWTDHWVYWVGPLIGGPLAGLVYEGVFMGPDSHQPLPTDDGNDF >Et_9B_065103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21215650:21217322:1 gene:Et_9B_065103 transcript:Et_9B_065103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQHKEEAAKESLMDKISDKLHGRGDGSSSSSDSEDERSSASAAAAVKAKIYRLFGREKPVHSVLGGGKPADLFLWRNKRISGGVLAGATAIWLLFEVMDYHLLTLLCHCLILTLAILFLWSNASTFVNKSPPNIPEVKIPEDLAVNVARSLRYELNRGFATLREIGRGHDLKKFLIVIAGLWILSVLGSCCNFLTLCYIVFMVLYTVPVLYEKYEDKVDAFGEKAMIELKKYYAIFDEKCLSKIPKGPSKDKKQH >Et_1B_013804.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17307506:17307691:1 gene:Et_1B_013804 transcript:Et_1B_013804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYQYTSQCWSMPAGGGANYYWMVLTATNSTGAVGPYVSKVWDVPGSESKTWKLLSFNCTS >Et_4A_035927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8675511:8679527:-1 gene:Et_4A_035927 transcript:Et_4A_035927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSDPAASSKPTKMDLEDQARPLRRRRLPLTAPLTDAKGKGKAEEEGSGKGEELADSIGSLSIGPGPTNFKKKPVIIIVIGMAGTGKTTFMHRLVCDMQATNKRGYVVNLDPAVMTLPFGANIDIRDTVRYKEVMKEYGLGPNGGILTSLNLFATKFDEVVSVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYIVDTPRSTSPWMQDFEAFQTALDSDKSYYSTFTRSLSLALDEFYKNLRAVGVSAVSGTGVNGFYDAVEASAKEYMETYRADLDKRIAEKERLEAERRKENMERLQKDMEKSKGKTVVLSTGLKDKNPASDMMDDGDEEEEDSSEDFKISEDEEDEDEDEGEDEEREEVNLLGAR >Et_1A_004881.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14006458:14006661:-1 gene:Et_1A_004881 transcript:Et_1A_004881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVLVCDEPERVVATYQAPGRCPYCGGAVVAADVESAPRLCYIPLCFRIRRRLYCSLCSRRLVAVA >Et_4B_039704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27170531:27171138:-1 gene:Et_4B_039704 transcript:Et_4B_039704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIDAELRLGPPGVGDVVVAVQQQPARKRSSSVVKSEASGTDNAAPASKVQVVGWPPVRAYRKNAFHAAAEAAASSSPKGKGEQEGTKKQGGGLYVKVSMDGAPYLRKVDLRTYGGYRELRDALDALFGCFSSSSSADGGAPQFSVAYEDKDGDLMLAGDVPWE >Et_1B_012176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29723782:29726323:1 gene:Et_1B_012176 transcript:Et_1B_012176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CRLRVEASLYYTVAKPRWKLLACRNVLQETVSNDAEVSSYNERKNRKSEGAYIDKDGVARTFTRKKISRKRGGAMRGRGWKYGSGFVDGVFPVLSPMAEDILQFVQKETGVAKIWESLDNIPPAHNLWDDILNVAVQLRLNRQWDPIISVCEWIVYRSSFRPDIICYNLLIDAYGQRRQLNKAESIYMTLLEAQCVPTEDTYALLLRAYCNAGSLHRAEGVISEMQENGMPPSATVYNAYLDGLLKARCTEKAVEVYQRMKKEKCRTNTETYTLMINVYGRSNQPMSAMKVFNEMKSIGCKPNICTYTALVNAFAREGLCEKAEEVFEEMQQAGHEPDVYAYNALMEAYSRAGFPEGASEIFSLMQHMGCEPDRASYNILVDAYGRAGLHQEAEAAFQELKQQGMRPTMKSHMLLLSAHAKSGNVSRCEEVMAQLHKSGLRPDTFALNAMLNAYGRAGRLDDMERLLAAMEKRRGGGVHGGEPDIGTYNVLVNVYGRAGYLDRMEAAFGAAAARGLAADVVTWTSRIGAYARKKEYVRCLEIFEEMVDAGCYPDAGTAKILLAACSDEQQVEQVTAIVRSMHKDAKTLFTL >Et_7A_050288.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:19797622:19797951:1 gene:Et_7A_050288 transcript:Et_7A_050288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GEDYGVFLGHLRCEENKTRISTDQNEGDGDETSGAYTLGGGWLCRGRRAGPGGCRGRCRGRGREGRLRRRRAPSAAAATAGRPSQESSRHWWERRRRVGRASRQSGVGF >Et_6B_049995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2915054:2918847:1 gene:Et_6B_049995 transcript:Et_6B_049995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCGDLVMAFNLYDSPTVSGWVFHKVKHIRLHELPKLQNIVGFKRLHLPELQTIKIRGCWNLRRLPIVRSNNVVKCDCEKEWWDQLEWESEEHASEYKLTYPRHYKKTMLKGSVLSQDRPIKARTWDLPVNLVYYKQVIKEGLYRLGYKFCPKKDEVPKVSRNSMQLEPQFVYKQFSPSFERMIKEEILPDKKSFLSGVPSETVSPSSSLSAVT >Et_3A_024571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22119037:22134201:-1 gene:Et_3A_024571 transcript:Et_3A_024571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FWTKPFTSSEAALHLAAVVVDPVVVAAPQHDPADAVEGGDHRPREVAGRDVAAARRRVGGGRQAEVEAPGLDAAGPLVPRVHQVGVVAVRVLARRVVVELHPHDVELHRLQRRADRRVRVRALRRRREQHLALAPERVCQVVVVRRVAGLDVEVDAVEHSGAQRPGRAGAAQVVVPKVVGHAVGVVAGGEAVAAAAAAEGEEHLDALGLARLDVGGEAGAAAGAGVAVPRQVERRGLPVAEGAQEREDDVGVVAGVAGVGQGALTAVLAPVHGDVAGTGVADHAGDEEKGQNRRPAKLTRHFVLSSYSSDAVLHLAAVVVYPVVVAVPQHDPAVAADALDGGEHRPGEVGGRDVSVARGVGGGRQAEVELPGVDAAGPLVPRVDQVGVVAVGAVLALRHRVVVELHPHDVERHRLQRRADGRVRERALRRRREKHLPLPPERQHLSLGPERVCQVVVVRRVAVLDVEVDAVQHGGAQRPGRRAGAAQEVVPQVAGQVVGVVAGGKVVVATAAAAEGEEHLDAPRLARLDVGGEAGAAAGAGITVSRREVEGRGQAVAEGAEEGDHDVGVAAGVAGVSQGSLVSVLAPVHGVASGTGVADHAGDEEKGQNRRRPAELSRHFEQMSKLQHASLYIGTDG >Et_2B_020406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19978065:19980345:-1 gene:Et_2B_020406 transcript:Et_2B_020406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHLMVNVDDLSVAEPNVVKNMSSEAAIAHPPASFHTLLAVGENMDSEEEPLLQMVECRICQEEDSIKNLESPCACTGSLKYAHRACVQRWCNEKGDVTCEICHEPYEHGYTAPPRAHPDETTIDISGGWTITGTAFDLRDPRILAVAQNHIMEAEYDDYSATNASTAAFCRSAALVLMALLLLRHALTLTDEDDDDTSAMFSLFLLRAAGFLLPFYIMAWAISILQRRRQRQEAAALAATEVAFILQSGQGRGVHFTIAPDSPATPQHEPQP >Et_9A_062276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21405117:21406464:-1 gene:Et_9A_062276 transcript:Et_9A_062276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKGVMKGEGWSSVEVGGGDRGIGVFIAGDRTYPQDNAVHRMLELIYIMAPDLLDEAGEAHDGQNKLLSKHYGCY >Et_4B_039571.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:22289541:22290215:-1 gene:Et_4B_039571 transcript:Et_4B_039571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSKTLVALFLNMLLLAPLLMAADPDPLQDFCVADLNGVPSVNGYPCLPSSLVGDEFLFSSRAAQGGDPTLNPNGSNVTELSVNEWPGLNTLGVSMNRVDFAPGGTNPPHVHPRATEVGLVTRGELLVGVIGSLDSGIRYYSRVLRAGETLVIPRGLMHFQFNVGQEAATMVVSFNSQNPGIVFVPLTLFGSSPPIPTPVLAKALRVDAEVVDLIKSRFTGGY >Et_10A_000059.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20898553:20901984:1 gene:Et_10A_000059 transcript:Et_10A_000059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNIPSCKSQAPVAAAAVAVISSLRFTSSLPALIPSAPPLPKEDQFAALLASDPSPPEPLRLVLATGDVHAALRGLPGLARQLFRWAETTPHGFPRSASAFAAVLVPLAQAKHIHAAYPVSLRALHLGVLLPLVSLLLSTPLYPSHQSLLSLLLRLSTKFSAQCQAHDSAPTTCSTLCLSAFREMARHSVSPDVKDCNRVLRVLCDAARWDDVHAVYAEMLQLGIEPSIVTYNTLMDSYCKEGRIEEALTLLKEMETQGPGCLPNDITYNVLVSGLARNGALEKAAELVDRVRLSKKASSFTYNPLITGLFAEGFVKKVEDLQLEMESEGIMPTVVTYNAIIHGLLKHGQVKAAQMKFVEMRAMGLLPDLITYSSLIKGYCKADKLKEALWLLGDLRCAGLAPTVLKYNILIDGYCRLGLLEEARRFKEEMVKHGCLPDVCTYTILMNGSCKVRNLAMAREFFDEMLSKGLQPDCFAYNTRIWAELTLGDASKALQLREVMTSKGISSDIVTYNILIDGLLKTGNVKDVRKLWMNMLSDGLVANCVTYTCLIHAQCERGDLSEARKTFDEMISRRLQPSAVTYTVLIHTYCRRGNLYSAYGWFQKMLEERIEPNVVTYNVLIHALCSMGRTRLAYHHFHEMLERGLAPNKYTYTLLIDGNCKEGNWEDAIRLYFEMHRNGIHPDYCTHNALFKGFDESDRRHAIEYLENFVLGE >Et_7B_054531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22635133:22636303:-1 gene:Et_7B_054531 transcript:Et_7B_054531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFVLSGQSNMAGRGGVHSRTWDGVVPPECAPDARVLRLSAALQWEEAREPLHAGIDTAKACGVGPGMAFARAVLPRLDAPGPSAEAGLGLGLVPCAIGGTAIRKWAHGERLYEQMIARSRAAAECGEIQAVLWYQGESDTDSDHATAVYRENVEKLITNVREDLGMPQLPFIQVALASGNKTNIEKVRNGQFSVSLPNVVTVDAMGLPLNEDNIHLSTGAQVKLGEMLAEAYIKKFLAPPC >Et_9A_062686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3170635:3175517:1 gene:Et_9A_062686 transcript:Et_9A_062686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEEDLEPLRYQTLALKVRIHCEGCKKKVKKVLHSIEGVYKTDIDMQQQKVVVIGNVSADALVKKLLKTGKHAEPWPEPAAPPPPADGAPGGSPGGGGGAKKKKKKSKSKNAGNSKPAEPAPAPADGGGGPSPPDNAGACDEASDGEHDKPEGGSGGPPGAGDAHDGGAMTPHGAQPVPPAGNGNGGGGGGKRKGKKGGNANTNGDGAVGAIVEVHPPDGPTKPGDGNAGGPITVIDAGPYPPPPTMSYPGYYGGGGGHSPAYVMSYHTAHPSPALRSSAYYHPMVGAAYTTGGGGGGGYFYSTAPASAPPGSYYMFSEENANACTVMKAVQSLRKRILPIVEPLMIKEARNLSVHDQVQILP >Et_4B_039148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8283916:8286354:1 gene:Et_4B_039148 transcript:Et_4B_039148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLAAAVLRRGVAASRDLSSSRLSSFSPAYPRLFSADASGEASAAAADSQDESFLKASDEGLAFGRFYNVISGGNNLGKDMLKTDIIHCLDKCELSLEDVKIDYNRGYYPTGALVRFRSKAAYTTAVRQASQARLYRLDTISREQWDLKKSFDGKALLLQGVPRNALPDDIERFLCGTNFEPSPFESFLRPGVPEPIRVVLVKCPTKTDAMNTFIIKNRTFCLNSPVSVRVLQ >Et_3A_026195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5329126:5329480:1 gene:Et_3A_026195 transcript:Et_3A_026195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRSNIRRTEQGWPISAHYEKILGPTYSLCFLLLCFRFRFPLFPTQSSVVRFRRNNPFSPRAGRRAPPLSSLPEGLKELRKAGMGAQDQEEGEFGSAGGRAPRQPM >Et_3B_029218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23057348:23060153:-1 gene:Et_3B_029218 transcript:Et_3B_029218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPETLFLALKCVQCDTMQVKPQKKSSNKWVCVVCNQRQSVLRIYARGYRAADLRRFVQEASLSRGRRELAPEPEPEWGTEATTEEEQQDEFPRKKKRTDWSEYLDDQEERGGCGGVEEATPDGVTDIEVVTELPQERSKSRPHKAQSGVPGKRPNPSTHPTLPKRQRTEQGSIPYYATATAKAETPKWSKNLDAYLFGEKNGPESSEQHRTELDESATTEVVVDDEKLVGAAELFDW >Et_4A_032248.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:26273618:26274388:1 gene:Et_4A_032248 transcript:Et_4A_032248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIHDALDRLHAANEEGKSLHQPDALRAHRSRVNADIVAVLRRARDIRARLESMDRANAAQRRLSAGCREGTPLDRTRTSVTAGLRKKLKDLMLDFQALRQRMMSEYKETVERRYYTLTGEVPEEEVIERIISDGRGEELMSAAVAEHGKGAVLAAVHEIQDRHDAAREVERSLLELHQVFLDMAVMVETQGEKLDDIESHVANASHYVQGANKELGKAKEYQRSSRRCLCIGIIILLLLVLLVIVPIATSLKKS >Et_9A_061089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15196004:15197602:1 gene:Et_9A_061089 transcript:Et_9A_061089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METIHIAYVLLFLLTVVLLRLRRHGSPQAPKPKTTTTAHCPYPNPVLGNTVEFVRNRRRFFDWYTDLLRAAPCGTIEAWGPFGTSHAVTTASPADVDHLLRGNFANYAKGARFRDATAELIGDGLFAADGRLWSLQRKVASHAFSSRSLRRFGDDVLAVHLQRRLLPFLDDAADGGEAVVVDLQHALRRFGFDAICHVAFGVEASSLGRSANHGKQHEALFAAFDAALEISFRRALTPATWVLRLTKLLDVGKSRRLREAVAVIDRYAMSVVESKEEARQRNGLEEDRDPDLLSRFMAAMDDDAAKRRFLRDVVVSFVLAGKDTTSSALTWFFWLLAANPRCERRVHEEIASRGGGGDVRRMHYLHAALNEAMRLYPPVPFNGRVAAADAALPGGAAVRAGWYANYSAYAMGRMERLWGEDCMDFVPERWLGAGGEVVPVDAARYPIFHAGPRVCLGKEMAYVQMKTVAAAVLRKFRVDVVSPVASMEAPPAYEMTATMKMKGGLWVRLARREESAE >Et_1A_008242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5652273:5655248:1 gene:Et_1A_008242 transcript:Et_1A_008242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFADLEAGALRASGARTARGPDDARGLVFQITTLVASYRRLLNSLGTPKDTPTLRDQLQKTNQKILQLAKDAKEKLRRATEEDKSFDTSADKRVRDMKLTKDFATAMEEFRKLQNLAIQRETAYKPLLPQSAKTNYAPDDRTADSGQMPEQRALLAESKRQEVLQLDNEIVYNETIIEEREQAIQEIQGQIGEVHEMFKDLATLEIDTNLDNSSAATKEAKTEVAKASKTQKSNSSLLCLIMVIFGVVLLVVIIVLAA >Et_3B_030855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7991883:7994661:1 gene:Et_3B_030855 transcript:Et_3B_030855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARGTPTRTPPSPASRTEPVVPPDWASAISLVSSESTPPAVVVCGPKNSGKYGKVGYLDTDVGQPEFAPPGCISFHVIDEAITDLLNPTLREAERFCFFGDISSKRDPEAYLNCLYHLYGYFVEKYRCDVNGMLPLIVNTPGWVKGAGFDMLVEMLRHICPTIVVQIRITVETKNLPGGIFWLDGEQGPVTKMININAPFRDSSDRSLLLLKDTCKMRERRLVEYLKQCFPRHISVTTNKELAHALASLPPYQIPFPDVKVVHLHCEVPSTEIWYSLNATIVGLASEAPGSAPSCVGLGIVRGIDVQKGLLYLITPVPLHRLQSVNLLLQGLIEIPTSLLQVRGCVSPYMSTNVLHKISERDLYAE >Et_6A_046264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11749020:11754012:1 gene:Et_6A_046264 transcript:Et_6A_046264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSALVILLLPLLLALPAPAAALSADGQALLAFKSAVTDDPTSALSSWSAADADPCRWPGVTCVNTSSASGEEPRVVGVAIAGKNLSGRLPGELGALPFLRRLNLHGNRLSGPLPGAALANATSLHSLFLYDNQLTGELPAAALCALPRLQNLDLSRNALSGALPREIGRCGQLQRLLLAGNAISGELPRGGVWPAMASLQLLDLSSNNLTGGIPSELGKLPALAGTLNLSRNHLTGGVPPELGRLPATVTLDLRFNELAGEIPQSGSLASQGPTAFLNNPGLCGFPLQVPCRAAPPPSASASSSSLLPATSSSSGVARGGGPRPPIKTSLIVLISAADAAGVALIGIIAVYVYWKLRDRRRRERTPSKCDAATDGDEEEGRVGLFPCCCPRSDASSSDFSDDDDDGGKPNAGGEGELVAIDKGFRMELDELLRSSAYVLGKGGKGIVYKVVVGNGTTPVAVRRLGGGAAAPERCREFAAEAAAVGRVRHPNVVRLRAYYWSADEKLVVTDFVNNGNLAAALRGRSGQPSLSWPLRLRIARGAARGLAHLHECSPRRFVHGEVKPSNILLDADYTALVADFGLARLLAVAGCTDVYSVAGAGGVMGGALPYARPPGAVFDRPSAYRAPEARAAGARPSQKSDVYSFGVVLLEMLTGRSPEHGSSASASFPAAGHDEQWQAPEMVRWVRQGFEDARPLAELADEAVLRDGAAARKEVVAAFHVALGCVEADPERRPRMKAVAESLDRIGA >Et_7B_053917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13368357:13369221:-1 gene:Et_7B_053917 transcript:Et_7B_053917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNDCVCTKVSRRRMDAFMACPADRMSTGNISLGTTHPSGPHEHPNPAVCKAVNTSSAIAYGLDIAASPLVPICAPMIHATPTCSKNQPSTLRPYRSMTRVDSRRTKRFTEPSMTAPMSAALLPNPTVRKIRGAKKESTTTPVSWKNMGTATPSTRCGRYSRLVAIRRNAPSSLRRATSTARTMSLSSASTSAPGPRTRRSAALAWSTRPRMTRLLGVSVRKSAPTKMKTAGAPARPRERRQPQVGRAVK >Et_1A_008208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5231335:5233733:1 gene:Et_1A_008208 transcript:Et_1A_008208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDKTDGWDEVLEEADELPCAQKDPLGAPFLSSGASRRSKSEKKPTFSLRGYSFAPLDMKTENLRIGEQEGTSGLPTTKASQTIEPERLENIEEETEHLPPEFAFPAHKGNTSVSELLEDLQGRCGSSVRTPASLHLHAMGTAIREQEVSTGVPPTKASQPVIAELLRNTNENTEDLPLESVLSTKKANISVAELLEDLQGRSDSSVRTPASLCQHAPNTAIREQEVSSGVPPTKASETVMSELLGNTKEDIEDLPSEFARPTKKANISVAELLEDLQGRSGSSVGASSSSHWQVRAKDWKLKPPASGKKTLAILGERGLGSEDPLEHVIDGTSSEEEEVIQNYLTPVNKDVKRQTMTDLFQEIFDPTNVESTMLPMRSTGAGYCGRMQKIMQMEKDRHAEFLRQYNREPDDSKGITVQIMSRSLEGKLAVCRCLLQETINFTLTSNASTDQTMDENSPKRTIIFSPKICDNVDLLVGNKIHIFPPWYASSNSFLFFSLLLFCELLFLHRRGLFCQTSNAIL >Et_2A_018229.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:19070483:19070863:-1 gene:Et_2A_018229 transcript:Et_2A_018229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSAQASTKHFGYSSSGTPPTPPSPPPAAAASLPSPTMTSQRTYRSRHPVLNGPVLYLTRPMSTNRSCTRRAAQSASSAPAASADTGTATPPPPSSGDSATSAPRSWAIAGRSAGGATSSPRYVT >Et_1A_006037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1760014:1762644:1 gene:Et_1A_006037 transcript:Et_1A_006037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSETGATLLLGDVPRDAPDEVDAKFVFFNTALATSEARRLLGDIPRDAIDDEGLITFSVKSVLFDKESTLSEASRLLGETPRAAVKVVAGLVPSSARSMLFETTLTPSEARRVLGDRPRIAADEVVGLVPFSARFVLFETVSTPSEESRLNGETPPRRATEEDKFLASFSVLLIQSEMALLASDASLGRGDIPRNAADEVDGLSCLSATSALFDIVPSPSAEEADGLFTLSAKSTPFDTALMLSEASLLRGEIPRDADDEVDGLLSFSEKSVILDIVSTPSEASLLLGETPRDETDKLTALLSFSAKSMFFTVLLTPSEASRLLGEIPLDEPDDEAGLLSFLGRSKFLDIVPMEPEVDILLGERPLDEDRVEMGPFSLSEDFAVVCDVALETLDTDVLGEILGDETEEETALLSFSVRSVLSDRALVSSDAAVLINETLVDEIAKDADLTSLPALVLDMSFEPLEEGDLLGDSPLDETGVETNRSILSARSILLDKAFAAEDIVVLFGETPRDETDGRADLLILSVRSMLFDIALAETLPPIIAGQRCGLLFLSAVELLLILFPLDSCWNEEDRFRIEGHNPSGGARPPDSLLGALCVSATASRNGVDELEDEEDGLEGADDGEVGAEILEGVLGLEPPEDGLVGVAGRRSVDWDLFACDPARAGDDARPAFGDWCLRGVVGAAAGIGATLRLTAASLWPLV >Et_3B_030393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3472190:3475134:-1 gene:Et_3B_030393 transcript:Et_3B_030393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLRFMRGAAPPQPPVAPASVPPAAAAVQQRRPPLPARAALHAPLLRLWPRGGGGGSGEKPAAAGSAVRGAEARSPPDEAPVEEEGNKAEAGERGQGNWVLQMLRVQPRWEEAADAEATGGSGGDREPEEEAESADAGIGAEECASCGGEEGCAVGYDDAEVFDRASFSRLLHKVPLDEVKEYSKMSYLCDIAYMIPRIQPKCLRRYNLRLVTSSVQEKAKTGSDEKQENSTGKTESLDQESEAVENVAPASEEKSGLGINPFGAYRVVSSAASYLHSRAVGILPFGSRNDVDSDLTVMAIMNSENGEGFTMDEASLNSVTSMVAAKEETRQAVADDLNSSRSCPCEWFVCDDDQTNTRHFVVQGSESIASWQANLLFEPVKFEELDVLVHRGIYEAAKGIYDQMLPFVRSHLSECGEAASLRFTGHSLGGSLALLVNLMLLVRGEAPASALLPVITFGAPCIMCGGDQLLRRLGLPRSHVQSITMHRDIVPRVFSCHYPDHVANILKLANGNFRSLPCLTNQKLLYAPMGEVLILQPDQRFSPHHDLLPPDSGIYHLGEGGASPSQVRSALLAFFNSPHPLEILKDGGAYGPRGSVYRDHDVNSYLRSVRGVARKEARRARDAERERWRLLLWWPFGVFGMHGVSSSSPGGVGSGIVDAVMEGGREVGRRAHLLLVVLVPANLIALGALLVVRFR >Et_1B_011551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23509272:23510370:-1 gene:Et_1B_011551 transcript:Et_1B_011551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPARMGAAALTVALAVLALAGAGAAQLQYGFYRGKCNSSDVEAVVQSIVKARFAREAPIAAYLLRMQFHECVINGCDGGLLIDGPGAEKTAPPNLSVKGYDVIAAAKAELERRCPGVVSCADIQILAARDAVALAGGPSYSVRTGRRDGRRSQASDAKLPAADYTAAQAVAYYGRLGLSAFDTVLLLGAHTLGATHCRVIKSRLYGYGATSPAMDPTLAFVYKRYVCPNVPSSDGNTVFLDDQWSALKVDNNYYKNIQRRRGVLAVDQNLYNDGSTRWIVDLLANNVGMFHSLFPYALVKLSEVNVLTGTKGEIRKVCNKFN >Et_5B_045032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8621857:8623604:-1 gene:Et_5B_045032 transcript:Et_5B_045032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHICGLSKQHKWKVAAFVMLISVLLIAFDSPFRAFFSNLLSSTEPSSGSSDGTTLTQENRNLSSEPATNLSNNKSGQQVEHGTSNLNTSSANSTSGWSILKDEFTFPASGRPFNNCHASTIVEIEKDNFLVSYFAGSIEGAPDVKIWTQRYSVGSDQNLPCSLTLSYPWTLEGFWHPPEVADEENATAMWNPVLFQLPSRELLLFYKIGEHPQNWSGAMKRSLNGGVSCPFCLMMGAYCAGPPLKAGNLGVHGLSVKLIIVRIVFLTICRHNVTEDAGRTWKKYGPIFVKGQNLGVIQPVPYQTTNGTIRMLLRSHHTIGRVCIADSTDGGISWSYARPTELPNPNSGLLTVT >Et_3B_029500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25584485:25585421:1 gene:Et_3B_029500 transcript:Et_3B_029500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASTTKSVALIVLALLTAVHSNDVNAAADLPGWVERHERRLLLTDSPRVDAVVAQDGSGGHTSIGAALAKAPPGDARFTVHVKAGVCAEVVEVHRSNVMLIGDGAGRTVITGNRSDVTKHGTPCTATVSAQGAGFMARDLSIENTAGPDANQPSGGFPLQLEPLRGVPLRDQGVPGHAARREPPAVLPRLRDLGHRRHILCSIGDASAVFQNCVILARRPRGTKHNIITAQGRNGADSRTGFSF >Et_5B_044523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3172067:3178095:1 gene:Et_5B_044523 transcript:Et_5B_044523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEANARSASARLAVAGLRPCPLEHGGPRLLPAVVRFVAPAVVRRNHQLEGAEVSVVVKMTVRLQMPTSKSFLQLKRCNWNDKTNTVLCQLLLEQIEMGNYNNGTMNGRGYKQVIERFYAATSLRHDRKQFYNRIRQLKLMYGFIKDLNKKTRLGRRDDGWIDADKNWWETESQGVAKLKKLQHGPPSFLDMLEEIFEGVTVDGSSSYTPGQMSMDQSALETYLERQRAQEDRHRAEEEKDRAERKLVQQLALEAGVTETSAEYYAVSFICQKKELREFFVDMQTPEGRDIAAMLFEDDSDDDDDLLAMISPGPKQRNAQYSRSHLHSVIPRVSYGDFSESQLAARVDAVLRALPVAFATSSSTNADCPFDGTRKLPPSCVASRIRLTPRPAATADDE >Et_1B_010944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15787680:15794895:1 gene:Et_1B_010944 transcript:Et_1B_010944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALPSGGAVHSASTSGGGAVGSPSGHAASASVSTPASESTVARRLNGLDLQGDDAPSSQPAVSKKKKRGARAVGSDKNGRGLRQFSMRVCEKVESKGRTTYNEVADELVAEFSDPNNIDSPDPDNPNAQQYDEKNIRRRVYDALNVLMAMEIISKDKKEIQWKGLPRTSMDEVEELKTEIVGMKGRIDKKSAYLQDLQDQYVSLQNLVQRNEQLYGSGDDAPSGGVALPFILVKTRPHATVEVEISEDMQLVHFDFNSTPFELQDDSFVLKAMGLSGKEETEVVQVPVANGGDVECSSTPTDYWNQSPQPTRSRGLRLPPSAPIPGILKGRYEIFHAIYRVWTAMASQIWLSAVSTSVSISISTFPLAALTEES >Et_6A_046846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21364568:21366719:-1 gene:Et_6A_046846 transcript:Et_6A_046846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVVVQGPARMVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQRHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Et_4A_034967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8328678:8332388:-1 gene:Et_4A_034967 transcript:Et_4A_034967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPPPPAHRRRRHARGPPPRLAYLISGAGPGDGPRIRRLLRALYHPWNFYLVGVAGEEDRADLEAFVRGQEATRRYGNVRVAGAGEWAAVTRRGPTELAATLHAAALLLREFDGWSWFINLSASDYPLMPQDDILHIFSYIPRDLNFIEHTSNIGWKEHQRARPIIVDPALQVPNRTEVVTTKEKRSMPSAFKIFVGSSWVILSRSFLEFCLLGWDNLPRTLLMYFTNFLSSSESYFHTVICNSNYYQNTTINNDLRFMAWDNPPRMHPVNLTTEYFDAMANSGAPFAHSFADDSPVLDMIDAKLLGREPDSFTPGGWCLGSSVGGKDPCTFLGRSFVLRPTKRSVKLEKLLLQLLEPDNFRPKQCK >Et_4A_033532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24143242:24148745:1 gene:Et_4A_033532 transcript:Et_4A_033532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHGIVDRLTGKNKEAWRDGRIRGTVVLVKREVLDFKAFHASLLDGVHHILGQEEGLGFRLGIPGAVLVKNHRSEEVFLKSLTLEGVPGKGTVVFIANSWIYPGNLYAQDRIFFANDTYLPSKMPAPLVPYRQEELKIMRGDGNPGPYKDHDRVYEYDYYNDLGDPDKGADRARPILGGSQHPYPRRCRTGRPKTEADPDSETRLFLLNLNIYVPRDERFGHLKMSDFVGYSLKAIVEAVLPTIKTFIDDTPKEFDSFEDILGLYEHGPEAPNHPIIAAVREKIPSEFLRSLLPNGAHDHPLKMPLPNIIKSDVLKQAPVNKFGWRTDEEFAREMLAGVNPVIISRLREFPAKSTLNPSEYGDHTSKITEAHIQHNLEGMTVQNALRNNRLFILDHHDHFMPYLDRINKLEGNFIYASRTLLFLKDDGTLKPLAIELSLPHPDGQQHGAVSKVYTPAHTGAEGHIWQLAKAYACVNDSAWHQLISHWLNTHAVIEPFAIATNRHLSVVHPVHKLLSPHYRDTLNINALARQTLINAGGIFELTVFPGKYALEMSSDVYKSWNFNEQALPADLVKRGVAVPDASSPYGVRLLIKDYPYAVDGLVIWWAIERYVKEYLDIYYPNDGELRRDEELQAWWKDVREKAHGDLKDKDWWPKMDTVQELARSCTTIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRPMPEPGSKEYEQLEAGQKEADMVLIRTITSQFYCILGISLIEILSKHASDEVYLGQRDEPERWTSDARALEAFKRFGSRLMEIEDRIVRMNGDPALKNRTGPVEMPYMLLYPNTSDVDGKKGEGLTALGIPNSISI >Et_3A_025262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28290361:28293856:-1 gene:Et_3A_025262 transcript:Et_3A_025262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDLPDELWARVLELGAASSALGFRDLCCLAIASRRLGRLSLHPPLWSALIARDFPSQSQPSSSTASTSLQQLHPKSQYKTKFERHKVRMAEARRRAVVEAEARVLTCRRRLAELEESMMTEGERMKATAQELDNLEKIRRTSVALNVWQPQVVRGCQKQLVQQCTVPVDSRLSDLNMELKVCKQQIATYKNVYNKEKKKLNEYEESLKRAKYHPLQDSNTDTIGKEPHAKRKKLKQQGLQRTQYYRIHLGEDASQNGQQSNVLHVFHCLSISSCVDMMYLR >Et_9A_062435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22960613:22964641:1 gene:Et_9A_062435 transcript:Et_9A_062435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAAPVVAVLNGIGEVERKVQKSYWEEHSKDLTVESMMLDSRAADLDKEERPEVLSILPSYKGKTVLELGAGIGRFTGELAKEADHVVALDFIESVIKKNESINGHFKNITFMCADVTSPDLKIENNSIDLIFSNWLLMYLSDKEVEKLVAKMVKWVKVGGHIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKECQSYDQDGNSYELSLVTSKCIGAYVKNKKNQNQLCWLWEKVVSTEDRGFQRFLDNVQYKTSGILRYERVFGEGFVSTGGVETTKEFVDMLNLKPGQKVLDVGCGIGGGDFYMAENYDVHVLGIDLSINMVSFAIERAIGRKCSVEFEVADCTTKDYPENSFDVIYSRDTILHIQDKPALFRSFFKWLKAGGKVLISDYCKNPGKPSEEFAAYIKQRGYDLHDVKAYGQMLENAGFHDVIVEDRTEQFLRVLRRELAEVEKNKDAFLADFSQEDYDDIVNGWNAKLKRSSAGEQRWGLFVATK >Et_10A_002315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8477321:8483569:-1 gene:Et_10A_002315 transcript:Et_10A_002315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPSQGTKGLAWGNKDEGDETGTQEGEKIARVAQPWIQRWSTGSGSTSVRDWGGLVHRKVQFTSNLGSYLGGASHLK >Et_4A_034657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5186494:5187369:-1 gene:Et_4A_034657 transcript:Et_4A_034657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILFLSGLGLTIGLKSTMQFFTKPKNYKGTISFGAGFFLVLIGWPFIGMLLEAYGFVVLFSGFWPTLAVFLQRIPIIGWIFQQPFVTSFLDRYRGKRVPV >Et_3B_030590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5089224:5096225:-1 gene:Et_3B_030590 transcript:Et_3B_030590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDVAGASSSSAAAAHASSDPSHGWKTVSYPKRNRKQAPQAQAPRAGAPDLALNGGKANVFDAVEKRSQERHRALLQQQASKAALLDDPRVAAAAVYSDDEDSDEPAAPRQEGEAKKPKKPKVKKPKVTVAEAAALIDAENLAAHLVEISGSYENQQDIQLMRFADYFGRAFVTVSASQFPWAKMFKESPVAKMVDIPLCNIPEPVIKTASDWISQKSPEALGDFVLWCIDSIMSELSGPAPAKGSKKVAPQSPRAQVAIFVVLAMTLRRKPEVLVNIIPKIMGNNKYLGQEKLPIIVWVISQASQGDLVTGMFCWAHSLFPTLCAKSSGNPLARDLVLQLLERILSVPKARSVLLNGAVRKGERLVPPATFDLFVRATFPVSNARVKATERFEAAYPTIKELALAGPPGSKTVKQASQQLLPLCLKAMQENNAELTKEAVDVVIWCLTQNAESYKQWEKLHPENIEASVAVLSKIAVDWKEVSPKLSSEALKATVKNLKAKNETALESATDAGKQASIKEADKYCKVILGRLSRGATCVKSTLVLRPGRVECARHCCSGARASGTKRTPLARGNGSSPAPPPLFFLLGFASPRGMAHPSASPSALALSPAARVGMPLTLALRHRPGARVPRPLGAQFRPATVSSWQREARPELAAVVPRPDTGRAPPLFRPCAWMTTSQIASSAFTWGTVAVLPFYTLMVVAPNANVTKRTVESSAPYVALGLLYGYLLFLSWTPDTLRAMFASKYWLPEVRPFRTIPWGTAATTAAALQTELHTLPGIVRMFASEMTVASAWIHLLAVDLFAARLGTTERLNPAPFLHCCECIQSPLTIVFAVSQCRQVYHDGIKNNIETRHSVSLCLLFCPIGILAHVLTKVLAGAAG >Et_6A_047153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2654876:2659362:-1 gene:Et_6A_047153 transcript:Et_6A_047153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVALLRGPTNLASPASRSSSLRYLANADSDERRGTEAGGSEQEEEEEERWSFLALLFELLRKSLLGCRTVGGGAEGEGGGCGMEIGLPTDVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSYDSRGNSVPAILLMMQRRLYEQGGLRAEGIFRINAENSQEEFVRDQLNSGIVPDGIDVHCLAGLIKAWFRELPSGVLDSIPPEQVMQCQSEEDCARVAKCLPSTESALLDWAVNLMADVVQEEQINKMNARNIAMVFAPNMTQMVDPLTALMYAVQVMNFLKMLIQKTLKDREESNLEDTALPQKDSPDENGHQKPSVAFDSHPEEGSRRPSFVNEEPLLNSPAHSSEDKYAETIAAEADTAAPAGKTSEVLTSTEDFPNFSQPALVSPAGIADASSASAVNSLQHKGSRSPNSRRTRKGKGQSGTRATPAAEKSRGVSIVSRINCKLHAYEWDGLFLVVVTVTYILCSLSIVEAPYSFEITLAIFSGQVHNWLEKIIVRSLIGENPIWAGRLVS >Et_7A_051510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19793521:19797871:-1 gene:Et_7A_051510 transcript:Et_7A_051510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSPRPPKAPSGGGDVPLSLFLDTDLGTHLALLVAPDTTIRRLKSQVAEEHAVVFPELGPVAVKSFQVHRKGALYHLSESMIVTSAFTRVKSGYFLHVKMAEAAASMHCRQVVPEIYGRKTSEGHTGIHVEKHVRELPAATPEKANDTLAHGPGELFTISSFNAVVLRTAVFNNVHAHYAVPDDGLLPPSSELNAETMASDADIDKSSKEANVSYFVDADAKDLLNGNQDCDIDGVVADDKQIRIEEDMLGEIHVRDDLSQGKEYKNAKRTGSIHLSATDPRIPNESDGRDITESSRAPLETNRTHGDLLTSFGQEVNDNIPEDSLRIENASTVEKKKRRKRHQLTPSKTVSAQETTKLPAQSVELSKSGDDAYGVELTKGDGRSKARSFVLMLSSKPDDEGQGGKHIQFVNDAQASTELTSEHGNFDRAHNGDIHPSIVDTIDSTAEVPAIGEKFVEGSNDPWDEGGKHGEAKQHEYNEENHDGGVAGTSNVEKDVKSTDASEKRPTTDNVSQENKRRKAKKLTIKRCLGISENAGRSDKVYTEREIVHGSSLGPVSDNVQHGDPNVIENRKGDGKRKRKRRRQSESSKGAAPNQDQAKSPEHVTKGSPIQHTNFSSLDAQQTQGNLEGARVSGHTNSAPLDAQQTQGDRSKFGESLDMAAANMIDEILADTKSQDRLNNYLSED >Et_1A_008430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7661405:7664648:1 gene:Et_1A_008430 transcript:Et_1A_008430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLGGGDRQEPAAAQEEAAEAPAPAAGGPDHLVVMVHGIVGSTEDWKFGAEQFDKLLSDKIVVHCSNRNMHKLTLDGIDVMGERLAQEIIEEINRRPQIKKISFVAHSVGGLVARYAIGRLYRSPRQTSQSDHQSLPDNNRGTIHGLEAVNFITVASPHLGSRGKKQVPFLFGVTAIENFACCIIHLIFGRTGKQLFLVDNDDGKPPLLQRMVDDWGDLQFISALQAFTRRVAYSNVGYDHIVGWRTSSIRRDAELPKWVDSINKIYPHIVYEELSKAESPTQVAVISDMDNCTLEERLIRGLKRVSWEKVDVSFHNSKVRYAAHSVIQVKDPLMHCEGADVIQHMIDHLIL >Et_3B_028640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17616177:17617105:-1 gene:Et_3B_028640 transcript:Et_3B_028640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVINKYYPQDFDPSKIPRRRRPAHGQIKVRAMLPMTLRCASCGAYLGRGTKFNARKEDAAGERYLGVIQVYRFYIRCTRCAAEIAPACRDDEPSLREETSAKRRREEAAGDAMAALEDRARDGKREMDADAALEEARSLSARRAGVTPEQLLESLLNRGRTESDREAQRRELEQADEALVKSVGFHSSSTDCVVKRIEDDDEDFFEACLAKTVAADRQARKTQQPVAPLFRREDAFRWHPTAKAPIHHAVTPPEAARAPAGEAKASSGALQVLCCSYGDGSDDDES >Et_9A_061209.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:2194675:2194878:-1 gene:Et_9A_061209 transcript:Et_9A_061209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQANAQRSRHHGAASGLEHLEGTKRSVFNHVTTAPAQIVCNIRAEARLWVMVGAKQSGCVMLRE >Et_2A_017391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33948581:33951608:1 gene:Et_2A_017391 transcript:Et_2A_017391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYTPLRRWRQFFPAFEAIHGAIEASDPAKDDLGWARELQGFTAEVAQHLRGSAWNDPAEHLCVALDELMVEYLVTLRTVPVTSRMLASTGLSKAVGVLHEHQSEKIRGLAREIVGRWREAVKKEFGRARIAMELLLAQDLKPVAAVDGEKKTASVVRSSRVEPAKIDVPAKVEAPSPKKNAPVVAAGRANTANIEKTKPPKKTPAVVGGDHAAGGSDKMEATKRKLQEGYKEAADAKRQRRIQVIAAPKTLEQGQRKMHPIFKERSRARRSLMPAFRRVMAVAHSPLRRWKRFFATFDAIFASGGEDAHECLVVLRPVKADAVQDLCDAAEDDPAEDLCRKLDDVMYEHLVALTTAVPVTAPSTCVAQAVASLQGHESGRIRGLARGIVRMWRASGENEFARARARVEEFIMLCDEAMERSNKTTRASSQVVGQEESSRVKPRKKSSAPGVGGSGRASMAIKNNKMPAVAKMDANTKKRKLQAGCQEAVDAKRQRKTIVSDAPKSRRTSVAGQASNRRAPVNRVEPAKRQGPARVSKKGAALVPATRRANGANVDKKKLPMKAPGAVGNVRLR >Et_3B_028182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11402730:11403797:-1 gene:Et_3B_028182 transcript:Et_3B_028182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GKNSEQKVGVNVDRLHAGVLRLIETIQAESHRQCGPTVSCADITALGAREAVVATGGPAYAFNRMIGEECERHPRRKQSLDVRTPRRFDNQYYVGLLNGQGVLTSDRAIVKDRRSRSRPIVQLFARDQHKFFDAFGEAMFVLGSARKHPGGEVRNVSCFVPNSSTRRSDDDDDGEGHAAYSA >Et_2B_021104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26532042:26535044:1 gene:Et_2B_021104 transcript:Et_2B_021104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSGGAVAGVTAVVLLLMCGGAWGLNADGMLLMSFKNAVTSDPQGALAGWSYSNAEPCGFNGVVCAGFQEPGAASGDGNGTALVGGLGINASLAAATVSRVISLVLPNAQLSGSLPAELGRLEHLRHLDLSGNSLNGSRLPATLLNATELRVLSLAGNGLAGELPDGAAAYARGLQELNLSGNALTGRLPAALCRLPSLAVLGLASNGLSGELPIGGLGALELVDLSNNRFNGSLPSDFGGARLRLLNVSSNNLTGALPAEMSDVVPANATVDLSRNNLTGAIPQAGAFAVQPAAAYEGNPDLCGPPLKQACSIPSSLSNPPNATGSPPAFAAIPKNPTTRAPPSADGAPQAPGGGQEKLHPAAIVAIIVGDIAGVALLFALFLYAYHVRKKRRQRREEDPMPLQQKSMRVMEDGVVKTLDIAGSKEERASTSMACCIGRRNDGTDSSECSVSSDGESDDGEDFKKRGSLIGRSTPQDHGSKKYNPQQQQQAPPAPATLVTVDGDGELEMETLLKASAYILGATGSSIVYKAVLADGTALAVRRIGESGGADKLKDFEAQVRAVARFRHPNILRLRGFYWGADEKLLIHDYAPNGSLANIAFSRRFGASSPLHLSLEARLRIARGVARGLAYIHEKKGVHGNLKPSNILLGADMEPWIGDLGLDRLLSSEAVGHRAGASARLFGSKRSMHSTSSLPDLSQMPGPGASPCGSASAATSSGAAPPPYQAPECLKNLRPNAKWDVYSFGMVLLELLSGRVYSEVELCQWHAGLVAEERGRVLRMADPTLRGEADGREDALLACFRLAFACCAMAPSKRPAMRDAVVVLERTAASAPGASSSGNVGIP >Et_1A_005713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12990055:12993669:1 gene:Et_1A_005713 transcript:Et_1A_005713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYAMVSVAKGVLNPLLGKLSSLVEKEYVQLKGVRKEIISMRDELRSMNALLEKLAAEDDPDVQVKEWRNQIRDLAYDVEDCVDDFLHRVEHGSSKQTEDVGFFHKKLAKLKALGARHDIAEKIRHLKVRVDDVSKRRDRYKFEGANSSSVPAASAVVVPVDTRLPALYSREGSLVGIDGPLEEITKMLLTQEEGEMGKRLKVVSIAGFGGLGKTTLAYEVYRRLGEQFDCKMDVSVSQSPDIIKILTKILSQAKGHSSHIDNVTEPQVLIDELRSYLLHKRYIIIIDDIWDEHLWDFVRCAFPDNNHGSRVITTTRVETVAKVSCTYRHECIYKMEPLNGEDSKKLFFGIIFGSEGACPSELEEVSSQILRKCGGLPLAIITIASLLASQAARVKEYWENVQNSMGSIVGTNRRLDVMRQILNLSYKDLLPHLKTCFLYLGAYREDTVVWRDDLVRQWVAEGFVGGMHGLAVNCFNELVNRSMIQPVTVGYNGEVLSCRVHDMMLDLIIRKYSAEENFLTVVERSQAIKIRGSTHNVRRLFHHSDEKRQQGDRRRMQAVDIDLSKLRSFSGCGSSTQGHIPPLSEFRFIRVLILDFLFAPEGEGREKVNLIAICRLFHLRYLKIRSEVELLLPPQIQALQHLQTLEIVRALPSIRRHISIALPSDVSQLPCLSYLSILPQMASLPDGIGTMRSLRSLASFVLEENSLESIREIRHLANLTELYVRVPVDQRFVESAEARVDVLCSSLPEQGGCKLYLTAWSQEVWFPSVPHWVSRLQKLYSLEIGVDKLCREGVAVLAGLPGLVRLDLWIRGVPREGTVIAGTGFLALKLLIVTCKTLRLTFEAGAMPTLQKLKLEFNVDGAVPEQGGCGNALTGIGRLSAVKDIIVHIGGFGAASTDDSGRRAAVVSAVRDAIGLLPNRVRVDIMCTQGRYG >Et_1A_007818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38513502:38514402:-1 gene:Et_1A_007818 transcript:Et_1A_007818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSWESSAANWSKDIFSMSLHEVAREIKDRRVTRAPVPKGDIANLLVLQLHEECDGCFILYIMILSALFLEGIIRLGQDANLLLRKTFK >Et_5B_043881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17050206:17064832:1 gene:Et_5B_043881 transcript:Et_5B_043881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYGAPSAPPAPVAVVSPQFCAPYVVPLTVTKKALSLSDGDFTVTDANGGVVLRVKGAVFSVRHRRVLLDAAGQPILTMTEKVFSLHNRWEVYRGDSTNAGDLLFTAKKASIIQLKTEVDVFLAGNTAEQVSDFKIRGSYFERSCNFYLGNSNTMVAQVLSMHNRWDVFRGESTDASDLLFTAKRSSIIQLKTEMDVFLAGNTARQVCDFKMKGSYFERSCAFYLGNSDIMIARVDRKYTVSNVMLGKDTFCVTVFPHVDYIDIPMAAPPPNLPAPPAPAPTGGQLEPLPVVAPHFCVPYVVTLNVKQDMSFAEGNFTITDSNDAVVLRVKSPVFTIHNRRFLRDSIGQPVLCMREKIFSLHNRWEVFRGDTYNESDLLFTAKRSKTTSIHQLLKTEMDIFLASNKAMEVCDFKMKGSFNESSCVFYLGNTNTMIAQRPLTIEEEDRLALVLDYQNRIGALLKDLDATSPWSNHFAEESNEDLAASTLLHTDADLNDPGNLWVVHESLLRHEHSSRYYFRTIDCVKTERKAHRINKSKRAAQYSDWTVSVLPCSRMSTTCLLRLLLLSYRKFTRTIMTDETALIHSSIKMHYTQFFKRK >Et_4B_037165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15696606:15702337:-1 gene:Et_4B_037165 transcript:Et_4B_037165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRINIDFKSIFRDSSPDHDDVSFASTTSWAPRTRAGAAKGKRDGDEDWLPRPNTRFGGRRTDGRAGKVGGDVRRGSGSATDVFAFGKIFALELHHWQEIGTDSGRSMKHFGSGVRKSIPVDKMYSSQPCSTTLTGHQQRVHAIDPEESDHARSPHSQNVVLLDDEDMQPEEIVDCGTPDKQIESKIYYPSRDDPEAVELSSSDIACLEPGVYLLSPVINYYIQYIKRTKLSKEEKIYIFNTYFYSKLEEALVRTSDFLKLRRWWKGVNIFHRAYIILPIHGMAHWSLIIICIPGKESNSGPIILHLDSLGMHSSTEIFDTIKRYIEEEWHHLKKNPTPDTSISESVWEDLPRNIHTQIVQVPQQNNAYDCGIFMLYYVERFVREAPERFTSDNLGMFSRSWFNSEDASELRLRIQALLLEEFESARLDDALSEAATSDGSDIEDITKGGELEAVTPSGSSEMVVEGVQSGDGGKNDEGFKVAAGEQGSGESGGIGKSNVGIKEVPALDVAPTDSTRHDVKTLADFVLSEADTFSDEMKDEDPVKAYSDSSKAEEEEEEEEEVFAIVSPDRLKNYVVDDSCDSDSDSVMILDVRSQRANRHNCLII >Et_7A_052647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10329687:10332009:-1 gene:Et_7A_052647 transcript:Et_7A_052647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNGGFEVGPYTFPAVSGGVLVPPLAEDAHSPLPGWIVMSDTKVVKYVDAAHHAVPQGAYAVELVAGRETALVQEVAGTVPGRRYRLSFSVGDARNGCEGTLGVDVYAAQATAQVSYESQGTGGHRRAELEFEAVENVTRVVFQSVNHYMTYQASLCGPVVDDVSLVPAYDTHQHHIPGNGARRRRLLTSYIHISIIGSKDIKAADQGPLGLGPALSCATHVMTNDLALSCSVAWPAYPTSGSRLAASTAVRAVDEIATMSRKKARIPLVWVDNIMGERLKKPYLLMCLVPRK >Et_1A_007285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32972775:32984357:-1 gene:Et_1A_007285 transcript:Et_1A_007285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMAAAEPNPSGLASIHDIPDDLLQMILLRLDYSLWIARAASTCKRWRNVIAADDGGAAFLRLSRAPLPAIAGHYHLCDETEFIPSSPVDGSRFSSFDFLPGFGDKDKTWWVADCYGGLVLLCQLISDTTSEGSKLFVCDPLARMCRRIHDPMVSDVYDPMLYYSSDLSYGDAATLMDGEADEGGISLSNFRIIYRIDYFGKEAYVFSTTTGSDRHWSPLDTVPETDLDLFITAHVAGRVDGSLFLGVKTGRLMVLDKACLDFSEIDLPTSTDPSAPGNRSSFRVVPGMESQTVRVVHVNGEELEVFRRVNGGWVREQRIPRLSQATRGLPGYREKEGCDWTVEAVGDGAGFVVLAVRHGRKRGLIFSVDLETMEVAAVPDQTYRGPTCSYTLPWPSFQACITKAKEATTMSKSNTWQRRKNKKKAAAAAEPPLTGPASIHDVPDDLLKLILLRLDSSLWLIYAASTCKRWRGIIAANDGGAAFLGLSGTLHPPAIAGHCHLDQKTTNFVPSPSPPSVAGSGSRVSSLDFLPAAKASWEVVDCHGGLVLLRDPSGGRFPDLVVCDPIARRHQGILHPPREQWYLSFAGACLLDGGISMSNFRVLYRLKQDFRVYLFSTAEAGDWRLLLQDTVGKDLDHFSTVHVAGRVDGSLFLGLKSGVLIVLDKVSLEFTKVDLPSCMNPLVTTDNFSSFRIVHSSGGAGMEPKIARIVHVRGEELEVFRRVNAGGWVLEHSVPRLSEATRGLPGYRKKKKNRFDWIVEAAADGAGFVILFVLDFGRGWLLSVDLETMEMAPVPKRTCQQGPTCSYRLPWPPTLQACTGQSSRRRRASSRRRRLGKNKKKAAAAAEPPLPGPASIHIVPDDLVKLILLRLDSSLWLLRAASTCKRWRGIVTADDGGTAFLRLFRALYQPAILGHYHLDRETTEFIPTSLPPPSIETIRRLSSLDFLPADKAKWEVIDSHGGLVLLRDPHGDDLVVCDPIARSRQGILHPTRQMMPWYHSFAGAILLEGDGGGISMWNFRVLYWFKHDLRVFLFSTAEDGDWRLVDAFDGDPDLLWLAHVAGRIDGSLVLGVRTGRIVVLDNASLEFSRIDLPTSTDPSDVHDPSSFRVVHSSGGAAMAPWTTVRIVHVCGQELEVFRRVDGVWVLEHMIPRLSEAISRLPGHRETMHECEAVATGAGFVVLSTYHVRIVHVNGEYLEVFRQVNGGWTLEHSFPRLSEATRGLPGYREKNGSDWTVEAVGDGPGFVVLSAHQCEQRLLVFSVNLETMEMAAVPDEAYHGPTCSYILPWPSFNFNV >Et_5A_042555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20179822:20181343:1 gene:Et_5A_042555 transcript:Et_5A_042555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHDDDMSPLSSSSQAVPIFFYDTGELDIPCSQTGSASHHRRRALPPLRHRQRPHIDAGANYMVAEIHARIFQFICCCLSMPDLRKNKDRMFIALTSMCVALYFSASWCLPCRQFTLKLIKPYNGVTSQDMRFEVVFVSNDQDEESFNAYFGKMPWLAIPFFLYHDAFEGLDPPPGAHLSTSSAPSTICGL >Et_4B_037240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1727205:1730141:-1 gene:Et_4B_037240 transcript:Et_4B_037240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KREADKHLGDSAGHCRAARRQASRSRLPQSLRPCYRAVQSLAATSPPPFQNGRRLPALAVAAMGRRTGGGSRKPPPFLSPSSSFSSSSTKRSRSVRRFPSLPKPPSALPPPPFIARRKKKVPARLWMRLDRRGECEIFMCDKAFVAERSGVHARDLRAVGPLFSRSPSILAREKAMVINLEFIRAIVTADEVLLLEPLSREVLPFVDKLKRHFPLKSLEVDVGTKLVDNQDGKHAKTDAECELPFEFQVLELALEAVCMSFNFSVADLNRQAIFVLEDLTKNVSTRNLERVRSLKRNLTSLLAGVHKIRDEVEHLLDDNESMAQLHLLRKTRGQHHEASKKFPLETSLVRPNFSIKQSMGAGISVRLDGDAGNLEMLLEAYFAQLNGIRNRIVLVRGYIVDTEDYLNIQLDNQRNELIQFHLIMIIVSFGIAINTLVAASFGMNLPRNGDGNTAVGAFWPFVVATSSFCLLVIIMLFGYAWKNRLLDRMLLVTILGVSETS >Et_2A_015191.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:35004910:35005122:1 gene:Et_2A_015191 transcript:Et_2A_015191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAHGGTTYKGYTIPHNKRWHTVAGKGLCAVMWYALPLPTRLHPPIHPSVCLRRIWWIYFDSTLGSV >Et_1B_012855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35624570:35634870:1 gene:Et_1B_012855 transcript:Et_1B_012855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EDDGREKENEEKGSSQVAKREGILRLGPSFIKIGQQCSTRVIFFHRNMWINYLSYRKWIQSPQMVPKEIEFASMMIEIDYTIEAFNAEKFSENFKSSDYVKLPEITGSVPHLRFLLHQKLQNRSTSNYGCTIKIKGFFYGCRFYNKGRRFLLRLQILSHGFFNADPCPGNVAIDAVNGGMLIFYDFRMMERISPRIQEGLLGAFYGVYEKDPDNACGLVNKLSIEVQVSDGFGISAGEDLLAIAADQPFQFPATFAFVVRAFPVLDGIGKALILGLKLKRLLSLQFDPGEFPKELEYMPFTKLSAFIDRCAFFALQVHVGPIKVKKLDQLERLIPCVRSEFLVTSGNPNLA >Et_3B_029778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27866224:27871183:-1 gene:Et_3B_029778 transcript:Et_3B_029778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPGYVTVPILSVLAAIGYVYYTTVFLAIPAWLGLATAAGVANAAAFTALAAACVATYAVAVTRDPGRVPASFVPDVEDAESPIHEIKRKGGDLRYCQKCSHYKPPRAHHCRVCKRCVLRMDHHCIWINNCVGHENYKIFLVFVLYAVIASLYSMVLIIGGAMHSFPKDEQTGSDSSRSSIIICGVLLCPLALALMVLLGWHVYLILHNKTTIEYHEGVRAMWLAEKSGNLYHHPYDLGYYENLVSVLGSNIFCWLCPTSRNMGNGVCFRTSYDIPLSTPPKLGFGITDQHLSQAAQITVTEDE >Et_7A_052131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:579549:583456:1 gene:Et_7A_052131 transcript:Et_7A_052131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCDRDHSQRLSLAPGPTQRPSNLVSAATPPPPATAGAQEGRRKPSEAGEKEEEKMVAASSPVVNVYPLANYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLCSKLAVNSPSFPPNWQVGECVAVWWRPNFETVMYPYCPPHITKPKECKKLFIVHLSEREYFAVPRNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMLSNQKHLSH >Et_1A_009077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28455650:28456023:1 gene:Et_1A_009077 transcript:Et_1A_009077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSLTSSSGECRVLYLKRADRLYENGSVVYYHLTVCSLALPRRCIGLPAASLPIEPLIKLGLTFVGYRPHIVLRSCLHWGVCNTWLLIKGHVAGLLYLHIPSGEYRVLYWK >Et_7A_050821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11290230:11293196:1 gene:Et_7A_050821 transcript:Et_7A_050821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILEVAISHEACQPWIIDWKGIVEKVRDQGQLFYVWLSELVSEAYIKCPNCQYCIDCSKVPTVWPKLPVGFKFVPSDSELLQHLEEKSNLPNSVSSVHNKEFIPTIEEPDGICYTHPKNLPGIKTDGSTSYFFYKISNAYGCGHRKRRKIDVGYTVSNDKKFRWHKTGKSKEVYDENGVRKGWKKILVLYVGSVKGRGSKTNWVMHQYHLGVDEAEKDGQLVVSKVFYQLGSKQIDKSEMDISLVEHDVSTVKVDPRTPKIDPPQPHRPNNSPCETEQYTSPFPLDQGEAESSTSNFCVKDEDKYPSRYAGLSQIAEDPAQPDIDEPSVTGMQTLLSESTSGSGVQTFLDKAPQASTHVNEPDAGASSWFGRRGFESSVQVTLDPTLSNGVTENCLQGPMNDGLPSLDNNILSPNGPPAEYDTLADTGSVSQDTLAWLEGILASDA >Et_1B_009665.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:12748486:12751643:1 gene:Et_1B_009665 transcript:Et_1B_009665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSSPPPPPAAVASHRRFPFRLSAKCPKPHRHRLPAAAAASRWTNRRSPSVRRGGSGSIWVNPSAPAHPGAANGTLRRLVQLEDLDAALRFLLGSSKPPLKPTAVITCNILIKKLCVCRRLADAERVLEALKASGTADAVSHNTLVAGYCRDGRLADAERVLEAAKDSGAANVVTYTSLIDGYCRSGRLADALRLIASMPVAPDTYTYNTVLKGLCGAKRWEEAEELMAEMIRNNCHPNEVTFATQIRAFCQIGLLDRAVELLEQMPKYGCTPDVVIYSTLINGFSEHRRVDDVLHLLNTMLCKPNTVCYNAALKGLCIAGRWEEVGEMVVEMVRKDCPPNDATFSTLINSLCHNGLVECAIEVLEQMHNYGCVPDVVSYNTIISCFSEQGRVDDALRLLNSMLCKPDIISFNAVLKGLCRAERWCDATELMAKMFKEDFRLIEMTFNILIDSFCQKGLVNDAIELFEKMPNYGCTPDIVTYSSLINGLSEQGLEEVAFDLFRSMPCKADIFSYNAVLKGLCMAARWEDAGELIADMVSKDCAPNEVTFNILINSLCQKGLVDRAIEVSEQMSNYGIAPDIFTYNSLINGFSEEGRLDDALKLLSRMSCEPDTISYNSILKGLCKAERWKDADKLVSEMLSKNCRPNEVTFKYANQMCMPNRNE >Et_1B_013882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22379008:22380696:1 gene:Et_1B_013882 transcript:Et_1B_013882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPSFLDHVLPEQWILVMLPLRHLLTSSDSEQVEEPAGPPLIGHVHLMMGALPHRSLSELARRHGPVMMLRLGVVPTVVVSSPDAARDALKTHDAECCSRPGTPGPRRMSNFGRPSVDKLIASLTRAGGTPVFLEDHIYAFMDGFIGMVALGKIYFHHVIDDAMSVMASFSAEDYYPNVVGRLIDTLTGVVGRREKIFREIDAFFELIIQHHLDPARAASPDNGHDIIDVLIGLMKNHQGTLSFTKDHIKGLLSSNIFTGAVDTSSVIIVWTMAELARNRTVREKVQQEVRAVVGNKERVQPDDVPKLKYLKMVVKEILRLHPPAPLLVPRETIRDAKICGLFVNVGAIGKDPKFWDNPEEFNPDRFEVNDIDFNGTHFEFLPFGAGRRACPGMAMGLATTEFTVANLLYCFNWELPEGMTPENMNNGRGGRTHRP >Et_9A_061425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11643782:11646230:-1 gene:Et_9A_061425 transcript:Et_9A_061425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENEEHGSILEKINEKIHEYKHSSSSSSSDSDDDKKPKKSKKKKKLFGRKHPLHRVLGGGKAADLVLWRNKQTSGSILAGVTVIWLLFEGIGYHLLTFLSHALIVFLTVCFVWSNAASFINRSPPKFPELILSEVHCLKFAHVMRKEINEAFFTLRNIASGKDLKTYLTSIAVLWFISILGSCFSFLTLSYTIFLMAYTLPMLYEKYEDQVDVVGEKALIEIKKQYAVLDAKLLSKIPMLSDKKQH >Et_10A_000393.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:8033767:8033997:-1 gene:Et_10A_000393 transcript:Et_10A_000393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EVRSTGRKYTNGIVPNITSLDDKKLTLQFCTSTFCVQLNTSSNAFPCYCCQNTRVCYKTRDECKGKCPSCDPVCPL >Et_1A_006082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17533095:17542037:-1 gene:Et_1A_006082 transcript:Et_1A_006082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGHTFAVVSASSPLVASNAQRHLRSETEGKKTPQKGKLQERQQNELTRTEVSGPLASGEREVTCLRRRVRLPPRAHAATLPDQQRQLHHSNGGYHQSARGAEPFPVALRAPMAASSSLLAPSAPRCVVAPSAAAGSAACGCGSAPRGGLLLRSPSAHASRRRSRCPATRPVRFCASVVSRWPVVVAGSVGRGFGKGLRPMGMGADGGDQAGDDDETRITNENLRATIRKSKEVLAMHRNLLEQISEKKKLISVIADSSIHNEQEPFSGQSDSSFPEGKDIGHDHETYLDMHSPQSEFDTTHGEFFDDQNEHYESFESEDTDFSGSSSEHYLYDSFPRASPSVYAPGAANGMNQDYVARLSQVSEKEHSINVGANDNSSVSGGVDLMNIILVAAECGLGDVAGALPKALARRGHRVMVVVPKYGDYAEPQKIGEPRTYHVAGQDMEVNYYHTYIDGVDFVFIENPIFHHVGSEIYSGNRTDILKRMVLLCKAAVEAQWYVPCGGYCYGDGNLVFIANDWHTSLLPVYLKAYYRDNGFMTYARSVLVIHNIAHQGRGPIDDFNYLDLPGHYMDMFKLYDPFGGDHLNIFAAGIKAADRLLTVSHGYAWELKTPEGGWGLHSIINESDWKFQGIVNGIDTADWNPSDDVHLQSDGYTNYSLETVQAGKAQCKEALQKELGLPVRGDVPVIAFIGRLDHQKGVDLIAEAMPWIASQDVQVIMLGTGRQDLEDTLRRLESQHYDRVRGWVGFSVRLAHRMTAGADILLMPSRFEPCGLNQLYAMMYGTVPVVHAVGGLRDTVEHYNPYEETGLGWTFEKAEANRMIDALGHCLNTYRNYRSSWEGIQRRGMMQDLSWDNAAKLYEEVLVAAKYQW >Et_1B_011723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25376871:25378131:-1 gene:Et_1B_011723 transcript:Et_1B_011723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRGEAKEEGSSSSWSEADDKEQLSPVAVMDFPCFDDGEGSPSLDDSLLSRLQTGRKTQKIRRFGSLDELGPVDLEARLDASSDPDDVPAQQLRCHADETTSPSPSSSHRVTDVHHEPDENELLMLIVDMVSAGVDVVSERLLLDFFVEMKVERRSQDAGLPLPPRKAERWGDGEILAAARGWLSDGAGTERWGLTDVLRGGETVVAEMERGQRWMQVAEEEREVGAMVARMLSDQLVDEVVRDLSV >Et_7B_054108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15552161:15553200:1 gene:Et_7B_054108 transcript:Et_7B_054108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HADLRKKSKQQNKLRGRSPRYLCSLAAFNTRLGEAGAGIDEVGINEVGGQKLQLVAIALCNNLRDEQPREPVDTHSHRLNLHGCQVVADGRGRILHPVEVDPFGHRRLLAQVTEVAIKLCLGHVRVDPVIPEVPSAPKKVSRVGRRRLPRLPYTDDPFGEQLGDGPVKYRIILPDQVIAKPVDEELSKSRRVILAILGAYLEDDNLRIRLAQEPLHVVEHDVHGVVGEDPVADAAVFPDAHVEDPAPHGELLVDGQRLALGEAPGDDGVADPVGSRVMSARPHHLVHANFVWVEASHPLLAAGILTLGMHLLSWRAAQPAAAPVRRLGCCVCSRPWQGLQGER >Et_1B_010262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33350375:33350776:-1 gene:Et_1B_010262 transcript:Et_1B_010262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGVVAMKDANEQHEENPVAASNMVVGRGVKSQIAQRVRKGVQACALLTEVAAAVSMLVATRELREALIFAKGTVVAKDVHILIAPKVKVARRVCTVGPNTVLHMEVGRGVW >Et_5B_043494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11089598:11091899:-1 gene:Et_5B_043494 transcript:Et_5B_043494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLRWAAELGVSDSPGPPSAPATTSSSSSCLGYSLVVADFPDAGGRGLAAARDLRRGQLVLRAPRAALLTSDRVMADDPRVAARVRAHQPRLSSVQVEDAIWVAQKAIRSIRSDWEQATPLMKELEFKPKLMMFKSWLWAFATVSSRTLHISWDDAGCLCPIGDLFNYAAPDDEASLEGEDAAEVTNCHQKNEVSDLPERLTDGGYEDDNAYCLYARKTYKKGEQVLLGYGMYTNLELLEHYGFLLSENPNEKTFIQLDLDICLVGTWPNDSLYIQPTGQPSFALLCALRLWITPSNRRKAVSFQIYSGSLVSTENELEVMKWLISKCKGTLQQLPTTVELDESLLIFLRKIQNSTNCKTDVKQSSFEQEFAVFLRFHRVELDCTYQNQLPARLLQSLERWELAVQWRCNYKKTLTKCISYCENAVHDISLQTNQQ >Et_9B_064915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19843305:19853935:1 gene:Et_9B_064915 transcript:Et_9B_064915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGDGGDESAAAPVVGAGSPGPASAPAAVGGGSGAGPSGSGGKPPVKRVMKTPYQLEVLERTYSVGTYGEHLMPCPRRGPGRSSAVPRISVPEIGRRYYEAPQIMLPPMAPVRLTQAEQQVIDTVEELLGEPLREDGPMLGIEFDPLPPGAFGAPIVPEQQKQPFRSYESKMFAAHDHKLMKASTFLPSVDPFAPNTVTGKRKSLAGGSSHLSSQAVQEYQFLPEQPSDLYERASQARFYDAPEEASNSRISSLHTGSRFLHGADQAPSYTFHGQTGSSHLAQHGRLPVLPSASTDHEMDLSNINVTSAPSHGQYGIPQMAGFENSLAPSEMGYHDEDAYRVDRKRKHVEEAKIAKEVEAHEKRIRKELEKQDLLNRKREEQMRREMERHDRERRKEEDRLLREKQKENERLQREQRREKKRMEKFLIKQSLRAEKQKQKEELRKEKEAARQKAANERATARRIAREYMELMEDERLELMELAARSKGLPSMLSLDSDTLQQLDSFRGMLTRFPSESVGLKMPFSVKPWILSEDNIGNLLMVWKFFITFADVLGLPSFTLDEFIQALHDYDSRLLGELHVSLLKSIIKDIEDVARTPSVALGVNQSSANPGGGHPQIVEGAYAWGFNILTWQQHLNFLTWPEILRQFGLCAGFGPPLKKRNAEMGHYRDDNEGHDGENVISTLRSGSAAVNAAAKMKERGYTNRRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVAEKIQKSGLRDLTTSKTPEASISAALSRDTKLFERTAPSTYCVKEPYRKDPADSEAVLSAARDKIRAFQNALSECEEVEKDVDDAERDEDSECDEADDDPDADDVNIEEKDTKSPSIGAQDGASIVTDCALNKESISVVNTLAPATVHTKSLESGAFHTLEGATSTSVDPSIGDDAQGTEIDESNQGEPWVQGLAEGDYCDLSVDERLNALVALIGVATEGNSIRAILEERLEAASALKKQMWAEAQLDKRRLREEFTSKIQYDFAFKADAEQENNTAESVTPLHNFAKDNGGNTSTVNSDSIADKQNLLIAGNNTQERNGVTQDLSANPESFSVQQYASSEKTRSQLKSYIGHKAEQLYVYRSLPLGQDRRRNRYWQFCASASPNDPGSGRIFFESRDGYWRVIDSAEAFDTLVASLDTRGIRESHLHSMLQSIEQTFKEAIGRKKCSGAEHPPERVLKNGSNEIKSPPNCSNEFGSPCSTLSGAASDNSVAYSYTFKIELGRNDVEKIAISKRAHVFLKWMWKECYSHQSTCAMKYGKRRLPVLIQSCDYCYQIHLAEERHCSSCHRTFKPIHNFSEHLSQCEEKQRTDPNWKMQTADNSILVGLRLLKLLLAFIEASLPAEALQPFWTDGYRKSWGVKLYSASSAEEVLQMLTMLEGAIKGDYLSANFETTTELLNQSSQDSATQSSDAHSASAAGLPWVPDTTAAVALRLLDLDSAIAYTNNPKAGSNRDQEARDFTKLPTRYTAAKNKQAIEQFGTAGFDRQAGVTLTHSNGRRGRGRGSRGGSRGGRSRSRGGRVPRGISSSSRIQFRDDNVVPYEEMPRKNARRGRGRGRGRGRGLRTVRPRQPSEPGSRSIPKANLLGNFSMLSKANPSAAVHSPESSGAEEWTLERREYVKDDDNSSVSESEESENDLCPSRWK >Et_5B_044190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21238269:21240897:1 gene:Et_5B_044190 transcript:Et_5B_044190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTAAATKSSETAAAKEEETAAVAGTPEWMVAATMVLVQAFIAGMVLLSKVSIGDGMFIFALLSYRSLFGAAFILPLALLLERGKWKEMDWNTAGWIFINGFIGYAVPMSLYYYGLQDTTASYAAIFLNIIPLTSFVLSLIFRMEASLQIRSIVGSLKIVGVLLSVGGTMLISLYKGKTLHLWNPVLHHHNGEHMEVANHQLRGTILLLGSCVAFACWYLIQSKVLKVYPYKYWSSMATCVVGGFQTAFIGVIFRRDRNAWKIGWDINLVTILYSGALATAGKYSMNSWAVAERGPAYPPILLGTAVVIAGLYIFLWAKAKEAKEQPKN >Et_4A_034461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3403177:3404967:-1 gene:Et_4A_034461 transcript:Et_4A_034461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLQTNFLILRTSPDVGKIYVFFFWRVSKIYVLSKGKDSGAALKRLQNEVVDTELFKCLQEIQASSRGSWFRSSATSGRPTSALPQLADQIEDEADVIIYSAASTTFDERYDVTMDINTVGPFRIMSFAQRFRWLKLFLQVSTSQSVKLKFQPRPTRRERPGPHVSGRGAAHNVECDDDANATPEKYVEVVRELRRGGAQVGGIGLQGHVNPVGEVIYDALDTLSAGTDMPFWLTELDMCETDKAPRADDPKVVLREAYAHPAVQGVVLQEPAVRIKITIISSNARPVAVYTVGPRSSTGRTRPRSRSSSAPSSSFMSRLAARRRAAR >Et_5B_045570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4661975:4663670:1 gene:Et_5B_045570 transcript:Et_5B_045570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSMASPALLLLAMGLMLAASAQAQAPAPTPAPAPSQALCPAGFPNRIAFDRASLQLLSQGTVLLPVPASLSSISSITKNLPSTDTVTICLCYYKLNLFLPGGAGPYDGNSPNFHERMFLCLNLRLLDTTRLNSI >Et_7B_054485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21927363:21928108:1 gene:Et_7B_054485 transcript:Et_7B_054485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAVVLAICALSTAALLLPPARGSEPLVAAVDVAAARDLLRSGGHRYLDVRTEEEFRNGHVEGSLNIPYLFFTSSGKAPDKLRPCIRKSIFAFKKNMMFALLSYEMFSFN >Et_7B_055148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7740389:7751005:-1 gene:Et_7B_055148 transcript:Et_7B_055148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARACNHALRLLPNPIRTQLPSSRSRVGYRNFAVHTQLPAKDDDGYEAEPLKKVRVTRQSIRRSRRRGTGGARQSQVSTRGGGHQWSSDFDLTLRQLHLDDLDGQRDADVLVRLLVQQKFRKICDSCSSQYCTNFDLTVLSSSRKNQSGLPELGHLREIRSPGEEIDLDSVIQETVRLTAAAKSSCSEACEKSTVLWQYSGKQRPISTSQRWSKLLDLKKTLDKSPTYSVWDVDKRASRHIVQKNMRLLLALLYQRIRSTVLSSSRKNQSGLPELGDADRSVIYVRPGEVIDLDSVIQETVRLTLATGHSGKQRPMSTSQRWSKLLDLKKTLDKSSS >Et_1B_012719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34673648:34676679:-1 gene:Et_1B_012719 transcript:Et_1B_012719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRRIAGFLGISRDDADHHDSSSSAAAAEFPQDRAAAAAAATAAHGTRRGFSVQVPVPVERQGPGPVLVPCPQGDGGVQGFRWYTRRLRMDEDGDVADEFLDEIIPESSVNNVASPVGRFQVKYNTKPTTIALKKQIVAINGDIRHSLEYQGQLQWV >Et_8A_057440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:296188:298413:-1 gene:Et_8A_057440 transcript:Et_8A_057440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSIAALSSQQLASPGRLRRRGALSSAARPRLVFRHPLKGGLRCRLAVTCNAQAVAPTSIAQGTPVRPTSILVVGATGTLGRQVVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGIHTVIDCATGRPEEPIRTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKYCTEKFIQDSGLDYTIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDVARLTFIALRNEKASKKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVAVLRFTRQLTRFFQWTNDVADRLAFSEVLSSDTVFSAPMNETYQLLGVNANDILSLEKYLQEYFTNILKKLKDLKASSKQTDIFF >Et_3A_026942.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27233789:27234397:1 gene:Et_3A_026942 transcript:Et_3A_026942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGFEDGRERASWTRHDAIYGIFGEGESDSDESGGSRRRKRRKGAAEPHLSKPVLFVSAGNAMPSQGPETASRSDPASASGAGANEEEEEDMEPLPTAFGKAINDGARARREEKERERAAARRRHQASVDPAPAVGSVGANIMRGMGRVESGAGRAANPLGQSAPRPHKSPPQSWSGSTRATRGSRRRRKPAKTKLLPPES >Et_7B_055909.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7255350:7256798:1 gene:Et_7B_055909 transcript:Et_7B_055909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLCLLVVLLLISALQQVQCHALLLPLTNTLSSLRPTNDTAVHHLVRSSSLRSAARHRGAGHRQLSLPLAPGSDYTLSLSVGPESSASPVSLFLDTGSDLVWFPCAPFTSMLCEGKPTPAHAGPLPPPADSRRVPCASPLCSAAHSSAPPADLCAAAGCALDDIETGSCAPSRAACPPLYYAYGDGSLVARLRRGRVALAASVAVTNFTFACAHAALGEPVGVAGFGRGPLSLPAQLAPSLSGRFSYCLVSHSFRADKLIRPSPLILGRSPDADASGLGAENGFVYTPLLHNPKHPYFYSVALEAVSVGGTRIPAPRALGYVDRAGNGGMVVDSGTTFTMLPDETYAAVAEEFARAMAAARFKRADRAEAQTGLTPCYRYSAASDNRAVPPLALHFRGNATVALPRRNYFMGFKSEEGEDVGCLMLMNGGDVSGNGGGGPAGTLGNFQQQGFEVVYDVEASRVGFARRRCTDLWDTLSRR >Et_5B_045290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16693958:16699359:1 gene:Et_5B_045290 transcript:Et_5B_045290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSLAKPFCGATATPHLSRRRRCQLATNNTKASMSASAARLPALKKPGKPPPPLLSRPKLPVPGVPAPTTTSVDTAGNGDSSSKKPPPEAVPTPLSSSGAGDVLRLLDALRLPPDEELYISLLRDCADAAEVAAVHAHIAGRCASGGGLPLPLANRVLLSYAACGDIGAARGVFDEMPAKNGMAWATMVSAYSDRCLHHDAMLLFAHMCREARGLADDSYAHAIVAVMRSCARVPKSSFSLSSILAVLAESENQGCGGQQVHADALKRGMDNNQFVGSGLVHMYAKQGDLVDAARAFEAISGKPDAVCWNAMAMAYARGGRYREATRVMYQMKAAGMNPSEMMLSAVRLDKETAGP >Et_4B_037643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22035595:22050786:1 gene:Et_4B_037643 transcript:Et_4B_037643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGGNVRADRVTIGICVMEKKVFSSPMEQILERLRAFGEFEIIIFGDKVILDDPIEIWPNCDCLIAFYSSGFPLQKVQAYAALRRPFLVNELEPQYLLHDRRKVYEHLEKYGIPVPNYALVNREYPYQELDYFIEQEDFVEVHGKRFWKPFVEKPVNGDDHRIMIYYPSSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPTEKQMARDVCSAFRQMVCGFDLLRCDGRSYVCDVNGWSFVKNSYKYYDDAACILRKIFLDAKAPHLSSTIPPSLPWKSNEPVQPTEGLTRTGSGIIGTFGQSEELRCVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRAETKLKSAIQLQDLLDATRQLVPPTRSGRESDSDAEDVEHVEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWMKVPKRNGEGEEERPIEALMILKYGGVLTHAGRKQAEELGRFFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASIEMDEAKARLHEIIISNAKSKKTEEPPKFPWMVDGAGLPANASQLLPKMAKLTKEVTAQVKLLAEGEGEKLALTSSFSKYDQAKALGKTTIDVARIAAGLPCGSESFLLMFARWRKLERDLYNERKDRFDITQIPDVYDSCKYDLLHNAHLNLEGLEELFKASQILADGVIPNEYGINPKQKLKIGSKIARRLLGKVLIDLRNTREEAISVAEPKFIEDEALFLPTKETELQQKNQVRNEDGRRSSTTSEKSMDQEDEDDRETKYRLDPKYANVRTPERHVRTRLYFTSESHIHSVMNVLRYCNLDESLQGEDSLVCQSALDRLHKTRELDYMSNIVLRMFENTEVPLEDEKRFRIEMTFSRGADLSPLEDKTSESSSLLQEHTLPIMGPERLQEVGSYLSLDKFEKMVRPFAMPPEDFPPAAPPQAFSGYFSKGAGMLERLASLWPFHKGASGTNGK >Et_10A_001144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21493665:21498057:-1 gene:Et_10A_001144 transcript:Et_10A_001144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEEVGNKMQSQMRLDAAAEEEDLPLPALFDKASRLHSIASSFSLDQEGIRKGVDLLRRCDEMVSKLGLFSSNETKEDVSTANLKYLLVPYYLGQMTEQIVQEDRIPILKATQDHLKEFISICEALELIPEDELEWYRQKQPDTATNIRAQKIARFSRQKAAQTKLQEIKERKERRGRSMRAAALSAPTEAGEEDVLEDDGEEEREAWLATISLALCKAFDLLDMLKKEEEMLVTVKEMQKKDGNAFAREMLDERTQKAEAWHHNAASRAPYSKPADPITCATFAQDVIEGRASVSQAHEHKHQPLIFGPASLVGGGLTTERERMAARVFQPSYRMPTMSIEEAGLQEMKMMEQWQERTAKMIQEANYAWHKDGTSPAQEDEDAEEEKARAWDDWKDDNPRGAGNKKLTPCG >Et_5A_040501.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:26584205:26584423:-1 gene:Et_5A_040501 transcript:Et_5A_040501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYVELLDMGVRIAARFHSHCPQTARLYYHPPAGAPSAAGGDHRKGEAAAAKMMQQQHGFGAEEIILYAVV >Et_6A_047992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:352107:355687:1 gene:Et_6A_047992 transcript:Et_6A_047992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMMQPATIEDSLKRSSPGDVAAGTQHANKLRQRLRIPSQASPYRFPRGLRRGAYVAGRHAPGDHNAERGDVRRAAGALHAVHHPARAGQVALVAERTDTRTPGTRPRRSISSNTSSTVSNSGRPGATPSASIAFFTTTSYASSVFPSRRNASRTARWSVRLGVTPHRRMSPRNAAASRAGAHWQREARTARQRCASGSRRRPGMQRRRSTAAAGWRARQSAEVRMRKVAASGAAWGAARRRTAGATRRNADEVEEEQSAARRELRARVVRREVDKSVAAGWRERQERHLASSRASAGRQHA >Et_7B_055703.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:2336780:2337331:1 gene:Et_7B_055703 transcript:Et_7B_055703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSPKPKVVREAAAGCADANTTFVQADPATFRALVQKLTGAPPDEKHAVTAAQSPPPAPAPMMRRPKLQERRRAAPPRLELARQPQSFYYYHGHHHLSSMHSPVSPMDAYVLASPSPSSSLSLSPSPRGVVMISKEEEEREEKAIASKAFYLHSSPRDSGERPKLLPLFPVHSPRSSFAS >Et_1A_006823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27920204:27924942:-1 gene:Et_1A_006823 transcript:Et_1A_006823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSFVVAVCATAALLLAAWAGGAAAQQGVGSIITRSVFDNMLKQRNNAACPAKGFYTYDAFIAAAKAFPSFGNTGDLATRKRELAAFFGETSHETTGGTRGAADQFQWGYCFKEEQSKTDPPFYGRGPIHRYNYEAAGKALGVNLVGNPNLVATDAVVSFKTAIWFWMTAQSPKPSSHAVATGQWKPSASDRAAGRSPAGYGVITNIINGGVECGMGSNPSGADRIGFYKRYCDMLGVGYGSNLDCYNQRNGVTTNMAMRMRFALAAAALVLAVAASSAAAQGVGSIITESMYNAMLPNRDNSLCSGKGFFTYNAFITAANSFPAFGTSGSADQVKRELAAFFGQTSHETTGGTRGAADQFQWGYCFKEEISKATSPPYYGRGPIQLTG >Et_10B_003922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7570639:7573592:-1 gene:Et_10B_003922 transcript:Et_10B_003922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASGIAALDVKKLKDAGLCTVESVAYSPRKDLLQIKGITSKLVPLGFTSANQLHAQRLEIIQVTTGSRDLDQILEGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRRVHLFLYFCRFALMIVDSATALYRTDFSGRGELSTRQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAMFAGPQIKPIGGNIMAHASTTRLFLRKGRGEERICKVVSSPCLAEAEARFQVSSEGVTDVKD >Et_3A_026448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8244605:8246309:1 gene:Et_3A_026448 transcript:Et_3A_026448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRGGVWIRAPVALAAGGAIAARAVRRKSVDSSAVFVGVPAMVAHTVAGYRFAGLLLVFFFTSSRVTRVGEARKRALDPEFKEGGQRNWKQVLSNSGIASILVVLIALFTEGTDRCLDSRESTVLTALIGGVIGHYACCNGDTWSSELGILSKAEPRIITTFKRVRKGTNGGVTIDGLLAAAAAGFSIGLAFVLIGFLTTQCAPDVFWRQLLVIPLATAAGLCGSLIDSFLGATVQYSGYCSVRKKVVGLDGPSVTRISGMNILDNNGVNVVSVFLTTLLTALACTYIF >Et_2A_018330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23285780:23288641:1 gene:Et_2A_018330 transcript:Et_2A_018330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPATAATAAAPSETKFDEEKAIGFLTNGDWVELEDYLLSFLSSSHQDGQLLDMIEAQDFEAAHALFTDKVEPLLVHDGYNLYRPLDLEDRIEKLRNCVKKRMLPPKGEQVAGEEKAKSLLAFAVVVKEFKNSNQQQNADTQCFRCLACQWVVPVSQSIYSLRDHIKHIGDHQFCPRVTLYMRRRLNEIEGVDETIDMEKLAYNYLRKSKKRKVAQSSSGSFKKEVQAVVDFNISLDSALLSIVKGLANTTLSILQLLTTFTDPTASKVREINRQAGTLIIQLKSACFEAMASLSLAMDARYVFGSVESLQTVSGLWFPFIPLVPDAVRKLVLEQEKLISELRLHCMTAAAQRAGIASDMDSDSEQSSVFSSTRPCVQRG >Et_5A_040878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13972118:13975978:-1 gene:Et_5A_040878 transcript:Et_5A_040878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLPAPPPPFAVHLVTGGGTSPELALLLRSLAAARIVALDAEWKPRRRSVPAAPAPGDGPSPEKAPAPPLFPTVTLLQVACRGGADGEGGAGVCEVFVVDLLAVPLAELWAPLRELFERPDVLKLGFRFKQDLVYLSATFAAALGCDSGFDRVEPFLDVTNVYYYLNGHDRQKRLPKETKSLATICEELLNVSLSKELQCSDWSCRPLSEGQVKYAASDAYYLLDIFDLFQQKIITEENRSPTTELNSEANCSQIGIECSSSGCDIHSDYLVSIVMKYSEKILLTESDSKPRNSRRKEKKKLSTDARCKDKVDLSTEWQGPPPWDPSIGGDGHPKFLCDVMIEGLAKHLRCVGIDAAVPSSKKPEPRELLNQTYKEGRILLTRDVKLLRYQYLASNQVYRVKSLLKHDQLAEVISVFELKISEDKLMSRCTKCNGSFIQKPLTIEEAIEASKGFQVIPSCLFNRNLEFWKCTDCNQLYWEGTQYHNAVQKFTSLCNISD >Et_10A_000279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21044029:21044973:-1 gene:Et_10A_000279 transcript:Et_10A_000279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSLLLPSSVRDLAASCVSDGAVRVACTTPSSTRISSASSTSSPSTLSVDVSYRAIPRSPSALPLLLRLIWTHSPAGPPALSFAASASSPSILLRRRKGTRSVPWDPPLALFWDLTCAKYGASPEPVSGFYFVAVADAEVVLAVGDVAAQFIKAKFEGQIPKARFLPVARTDRVVAAAAGAMHTVWVRFAEGGAEHEVSVGCSTSSSSRPGDEELWVSVDGKRAVQARRLRWNFRGNQTVFIDGAPVDVLWDLHGWWFQDPPRPAVVMLRARSALESRLWLEEEAAAPGFALVVQAFKAPP >Et_2A_014645.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31347697:31348245:-1 gene:Et_2A_014645 transcript:Et_2A_014645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATTVLVGPPTIRGARPTPPPAAEADDADSHPFLVLLDAGFNAPDAKDVNAKARKARTENNSATYGNSGNPCLDLFFQVVPDTPALWMHEHHPRTLACNVPALAEFGYLKDFPELLYRLIHGADVRKLAKAKVDADKARKKARLAQDARAAMFRN >Et_2A_016721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27592438:27596673:1 gene:Et_2A_016721 transcript:Et_2A_016721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGSFWDLLKPYARHEDAGYLRGRRVAVDLSFWIVSHSTAIRARSPMARKPHLRTTFFRTLSLFAKMGAFPVFVVDGEPSPLKSQARAARFFRGSGVDLSALPSTGAEAESSATASPVKGRNAAFTRYVEECVEMLEYLGMPILRAEGEAEALCAQLNNEGLVDACITADSDAFLFGAKTVIKVLRSNCKEPFECYHMADIEAGLGLKRRQMVAMALLIGSDHDLQGVSGFGVETALRFVQLFDEESILEKLYEIGRGIYPFLEGFDKPHIDNLPSPSTKSPIARSPHCSHCGHPGSKKNHMKVGCNYCLVDALENCMEKPAGFKCECPSCDKARNLKEQIRHENWQIKVCKRIAAETNFPNEEIIKLYLSDNNLDRETGVPLLTWNKPDVEALVEFLTYKQNWEPSYIRQRMLPMLSTIYLREMALSPSTSMLLYDQYEFHSIERIKIRHGHPYYLVKWKKATYGMISSESTKKSEMEEEMNREVVVLDDDDEEATVASESPELLDEPDFPQVLTDDGCCFLLTDEDIGLVSAAFPKEAKRFQEEQRLKEAKSRARKSKSSLPISAFETPNGPRPSGVQRSIKEFYRSTKGPSIESGKQPVGESSTAKASSRKSSDTDFSKNQPKSIRRRLFE >Et_3A_024269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19060991:19063413:1 gene:Et_3A_024269 transcript:Et_3A_024269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKTLAFEEILRGAEKAGHLHPKNQQAPWIDARAEEHLRLMRINMAKDDCRSIGNEGEEEYQKARLKRIGAESELRRDEPAAPFLSLRLGTVSAIGTTSRKRGEVTCATARAQAGDASPISLGLALGLRSYDDGEPVGAAAAAGTKRQRTGDSSRDDSASGDKNAPPARPGRVSFRARCSAATINDGCQWRKYGQKVAKGNPCPRAYYRCTGAPDCPVRKKLQRCAHDAAVAISGSGAAPPTASLGRNNPMAGVVEKAVADPKFRAAVMAAVASYVSEQCGGKIGDLLTMAPPS >Et_7A_052317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6951398:6954034:1 gene:Et_7A_052317 transcript:Et_7A_052317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAASASCVGNAPGSILLDVRAYGGARRNATTACSKTSTGLPIEVTFFTEHPPVLSHFSVHCPGLQLQEGTDSSTPRAIASDADLVLLRVPVDPLVLYDDYFVYRAHPQDPKLDLLPKPSHDRLGDKEIAILSCADDQKYAVAALKINPFSNSTFTLYLYRPKPDGEQGSWRVQPVFLEEPLPDRVWPISGETAEMMMYHLTTKVITPGGAKGTIGWVDLWHGILLCDWVRRRECLATPPLIPGRWKVTTWIMSILVTPWEEWRIDCTAKSTCTRVDNPSGCDLLHKMSSSHKDKEASQATLSLGSLCMAYPTMSIDDDDVVYLLTKAASMAENTTGVVVTAVDVRENTLQGVSMIDRKENTVFKRCYLASGISKHLNTTGTSQSFGQAEEHKQIPTRRRRRRGKRV >Et_5B_044490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2899672:2903438:1 gene:Et_5B_044490 transcript:Et_5B_044490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKKKYSEFLIAQPPKNKNMGEGNINSFSYLGFASAHVLQNEGLGQVSRRVQGELPSASSNRCSFVLGSRRRPAAWSKPSRIFSSRFPNYPKTFAQELNGANGLSSSSTETCRLIVRSWWSTLPVGFWNSNMLNKRLQGCLLLLFLLVTNVSGSHPEAIADAEEISPGKRRLLQTTPTASGGMFCVAKQGADPTALQIGLNWACGPGHADCTAIQPGGPCYKQNDLQALASYAYNDYYHRNANSGATCNFNGTAMTTPTDPSSGQCVFSGSSMSGGNSSTTPSANAPSTGFNPPSSFTPGAGGFGNGSSFGGPSGALVPLDGAESLLSAARGALCILLLMALPVFFLFAV >Et_4A_034886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7560203:7564512:-1 gene:Et_4A_034886 transcript:Et_4A_034886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVLHRRLLDAAVAFAVVASLLRPAAADSAAHPSVGPVADADDDDWDDFADNFPAADPLLSPSSWVPLLDTDSSSAASDDGTNSTADALFVAGARAMLSAASAGDHEAFSAAATRIEAAAEGGHPGALSTLAFLSGAGMMRPASRSRALLLHKLAADAGDLQSKMALAYSYFRQEMYEEAVTLYAESAKAALTSSLLSKVPPVFEQNRLHSRTKENKEALMKSRGEDDDYFQVTVYQAQRGNASAMYKLGLIYYYGLRGLRHDYRKSFHWFSKSVENGDTRSMELLGEIYARGAGVERNYTEAYKWLMLAAKQQHYSAYNGLGYLYVKGYGVEKKNLTKAREFFELAAESKEFGGHYNLGILYLKGIGVKRDVLRACNLFLHAVNAGQPNAVYQVAKLFQKGICLKRNLHMAAILYKSVAERGHWSSLSRWALESYLRGHVGKALLLYSRMAELGYEVSQSNAAWILDNYNEQSICMGESGFCTDTERRLRAHAFWWQASEQGNEHAAFLISDAHFYLGGVHYSPLACKNTYPW >Et_8A_057570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4668506:4669665:1 gene:Et_8A_057570 transcript:Et_8A_057570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDESGLKKGPWTPEEDEKLLQYIQKNGHGSWRTLPRLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILHLHSVLGNKWSAIATHLPGRTDNEIKNFWNTHLKKRLIQMGFDPMTHRPRTDFFAALPQLIALAALRDQLAAADPSAAAAAAQMQQPAGGAGVDVAIQAAKLQYLQCLLQSAATTIASTAAPDADETAAPGGAIFSLQQGTTHGGAVPPVSAAGVQMSCTEFDDMAAPVSSEELASQGFSYGGAHDDMMVACRGDSSLPPLTDLSDAANPGDGCSATASSSLGDGASSPLPWPEFFPDDPFITDFL >Et_3A_024551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21901338:21907054:-1 gene:Et_3A_024551 transcript:Et_3A_024551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSRHRSHRSHRRGGSVDRSESEGEELVPAGTRDEATAAARVSRDPEPERRRSSSGKDAARSGNGYAEHGRKRKDRVEEAVVDVVSDRWNSGVCEDHLGDKRSKSDALGPVDAEKQSDKPKGSGDESKRSSRRAAAASDERIEEVSKSDSAKRRSEREKDSGRRESTGQYKDDRDRDREREREKEWERQKEREREKSRDREREKEREREKEREREREREREREREKDRDRERERERERQKDREREKKDYDSKHYDDGGSRKSGSKSGRMEEEVYSYRRDSEINEASAKEKYSHSDKQPDKHSRRKDDSEDTDKWAADNRDSDDRKTLSRYEHGKARSSKEQRFDDDKYKEKYKDDYGRDKRQQDDKFLDDRVTRDHEVERADYKSSKDGHRSSESHYRKDAVQDGDHHDDYGSRYKESRGRKRPPEETDDQYDLKPPSTRDCVNLEKSSGSGRLDSLIERARPDRSSSPGKLHARSSPSPSMDLKIMERETCHMTREIFDQEHLLGERGHLPLDFVIEMQKIGLQKDSNRRKITILVTYHWKFLRQHSMIAHLGKTSILHQSSDQRFSGRLTGGRSLDNKGERNSITKYRDRDGDLSQERSLHQDRTPAKVPFREPTPSGSSISRGGHFSGASPNHPLPPSVRHRPEDSPFMGSHDDDRRPQGGDRRFHGHQKRSDMNSGRGHAAWNNPPNWPGPVANGFVPIQHGAPGFHPPVHQFPAPHMFNLRPQMKLNQPGVSYPMHDGVDRFSTHMRPFGWPNPMDESCPPHLWNGSAFPGEHYMYGRQEWDQNRTHASSRGWEMAGDASKGLNEIPDGELPVAKEEPDSAATAISESSGGEHNHQPLIEHKEIEHLTSENYEAKDDLKTSGKSLESSPGAPLNTSMFSKNGSVFAKSYLSRICVSHDLVESELYNRCISLLGNLGVAKDPQAVKDPQARAMALHKKQAGKVFAFAKTEGKMDLPEATNDTEMLDCAPKESVVSNLAPHHNVDIMGEGSPSKQEHGDGTGAASPAVTESAGVEEPPAISQRDEEMEVMAPQAITEPDKDMEHVVPPAVEVSADVLEDGAPQVTLQHAADQGDATRPDAMEDVAPSAMGESGDSMEVMSPAVTESCQGKEDASATASLPDDHVIPPSIMHGVADTGMEDEMGKAIGENPENGEVNSSSLGPELDVTPSDAQDPEALSVESRVNLSRIPNSPESTH >Et_8B_059393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17506004:17508056:-1 gene:Et_8B_059393 transcript:Et_8B_059393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKLPLWRRPTHAQALARRLLRSTFPSDIDDDPPFTRIPKPHPPRPPSPPPKPKAGASKIRPDEPASSDLPFDFRYSYSETDPAWRPIGFREPTRFSPFGPGRLDRPWDGVAAAAGSDCGEAKECGVGGRSREEVLGEPLSEEEVAGLVERYRHSDCSRQINLGKGGVTHNMLDDIHNHWKRAEAVRIKCLGVPTIDMDNICFHLEDKTGGKIIYRNINILILYRGRNYDPTQQPVIPLMLWKPLAPIYPRLVQNVVEGLTFEETKELRNRGLNSSPLMKLTRNGVYVNVVDRVREAFKTVEVVRLDCSHVGTSDCKKIGVKLRAQTDSKPL >Et_8A_056362.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4081926:4082123:-1 gene:Et_8A_056362 transcript:Et_8A_056362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGASRDAGRQENRAGRVIYIAWNIWKEKCRAPGFRQQGLDRGAIGPQHQAIQAWQMASTHWEE >Et_2B_022917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:798984:803596:-1 gene:Et_2B_022917 transcript:Et_2B_022917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCSFSSSRHQMSTAQRFDIHTCGFSKRSNRGDGAAAPRVAAAADARTGGATCSFRAHPAPPVTQAVSWGAKPEPPAAGGVWERSRAVKRAHEEDAAHVEEYGGPVFRAKRTRMGGDGDEVWFHQSIAGTVRHAAPGEGEEAEEEKVFLVPSAAAFPHGMAAAAGPSLAAAKQEELSKSPSNSPASSGGTDGGSSAAHAWNGAPAAAVEAMELVSALTACADSLATYSQDAANYYLARLGEMASPAGPTPMHRVAAYFAEALALRAVRTWPHAFDVTPPRELTDGAGVGDDDAVALRLLNAVTPIPRFLHFTLNERLLRAFEGHDRVHVIDFDIKQGLQWPGLLQSLASRSTPPAHVRITGVGESRQELQETGARLGHVAAALGLPFEFHAVVDRLEDVRLWMLHVKRGECVAVNCVLAAHRLLRDVTGAALADFLGLARSTGAAVLLLGEHEDELNAGSWEARFPRALRYYAAAFDAVDAAGLADASPARIKAEEMFAREIRNAVAFEDGDRFERHETFAGWRRRMEECGFRNAGIGEREAMQGRMITRMFAPGNYSVQAQGDGEALTLQWQNQAMYTVSAWTPASDADAGGSTNLDGPLPSVNKEAKPPLLPRSGHGVSRDSAGRTVAAAHGRSRGRRERSPAVETSGVFVKDRIREGNGRLKAQADELVTARSTVMAQAKEINELHAAIRRGRVHPKCLTEANAALAKSRKAADAGACEAQSGRWRSNAGSMAWEGP >Et_2B_018918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17053702:17054298:-1 gene:Et_2B_018918 transcript:Et_2B_018918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRLVSFLCAAGSALITFFRHLLSVAKLFETASSAMIVGMLKMHFIC >Et_4A_032244.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25859054:25859164:1 gene:Et_4A_032244 transcript:Et_4A_032244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESISQLQLYTIFRCHAINDTLYTMGSNRREPAMNG >Et_5B_045028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8540627:8541234:-1 gene:Et_5B_045028 transcript:Et_5B_045028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYPMEAEEVHPGLHQWQWQWRMLALLSSGTALSPATARQPRRQPNHVNWEETAAAHLFSAKLPGVRKEEIRVEVEDARYLVIRTELDAAFDAQEEDRRRSFARKFRLPGMVDADGISAEYAHGVLTVTVPRMHTRLRPAVGLLGAGPERDSAAPAA >Et_5A_040808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12928267:12932096:1 gene:Et_5A_040808 transcript:Et_5A_040808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNHVRSAFLPGSRPPHLAAAPQQVVAAASFHSTPVLQRKRKTQSQWHHRFNYYAKRRRNRETKRSMLRNMSEYAEYLFQSWREEDEKADASTGPSWFRGHRWVRNSNNNGFRPHDFYYGNFRSRGGFEFCTSDEEEPETIFRNAFRQKHTYYWSFSSDDDFRWRNSRHAHSENSRNRSDDTDNEDVVSAPSEISLARQALGLRTSGPLKLEDVKSAYRACALRWHPDRHNGSSKATAEEKFKHCSAAYKTFPA >Et_3B_030748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:770117:774448:1 gene:Et_3B_030748 transcript:Et_3B_030748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALYDREDGAPPAPSPHAAGAVDAYDPNYVPDSVKTFVVHLYRHIRDKNVYEIHQMYEGGFQRLSDRLFRDAPWPSAEAVAPYCDGDHVFLLLYRELWYRHAHARLSPLTAAHRAESWTNYCDLFSVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKLKNKTEDELQQLKQFDKAWNVYGVLNYLQALVEKSMITQILEREKEGLEQFTATDGYDYEGGSNVLKMLGYYSMIGLLRIHCLLGDYHTGLKCLAPIDLNQQGVYTIVIGSHISTIYHYGFANLMMRRYVDSIREFNKILLYILKYKQYHQKSPQYDQILKKNEQMYALLAICLSLCPQNKLIDENVATQLKEKYNDKMTKMQRYDDEAYAAYDELFSYACPKFITPSPPVLDQPLTNYNQDAYRLQLKLFLYEVKQQQLLSGIRSYLKLYSTITISKLAQYMEVDEATLRSILMTYKHKMNAVDSDGKIVSSADFDFFIVEDIIHVVESKPTKRHGDYFLRQILKFEEMIGELEKTITLQVQIKRSQNKEPEADVLVIQQNWNPK >Et_1B_012881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3807887:3812438:-1 gene:Et_1B_012881 transcript:Et_1B_012881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATNGPSPGRLASVYSEVQTSRLHHTLPLPSVLCSSFSLVDGPPSSATGNPDEIAKLFPNLFGQPSAVLVPAKEAAEAKPLKVGVVLSGGQAPGGHNDRAKGSTVYGFKGGPAGLMKCKYVELNTEFVYPYRNQGGFDMICSGRDKIETPEQFKQAEDTANKLGLDGLVVIGGDDSNTNACLIAEYFRAKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSSGKYYHFVRLMGRAASHITLECALQTHPNIALIGEEVQKLIAELNEILAHDTVDEAGVWKNKLQPESKELFELLPPSIQEQLMLERDPHGNVQVAKIETEKMLIDMVATELGKRKSEGKYKGNFIGQSHFFGYEGRCGLPTNFDASYCYALGYGAGALLQFGKTGLISSVGNLAAPVAEWSVGGTALTSLMDVERRHGKFKPVIKKAMVELDGAPFKKFASLRDEWAIKNRYISPGPIQFIGSGSDAVNHTLLLELGVQA >Et_1B_010073.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:22086836:22087636:1 gene:Et_1B_010073 transcript:Et_1B_010073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASHAAKKKKIPAFMRHSIARNACATSTVKAKLTATVTLCPAARTSSGKISLGTSHPRGPHDHPNPATNTAIRRTSAAAHALLTPAPLPSRSSAAKTAPISTCDASICAPPARNSVRRPNRSTAGMDGHHQLRPVPTLGEQQAQAVAAVDGRRPGSLVGGDDVVELVGDVDVGAADLLQQPRDVLPVGPALLHEAVGRLRDREEQRAPRVRSAAGAAASASDTRHPNPPPTFSVPKWMALAWYMTPRIPVRFSRISMIHRKIFLV >Et_10A_002277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6978787:6987097:1 gene:Et_10A_002277 transcript:Et_10A_002277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSHGSMRAEPIDYEQRQVMIDVFNKISEQMLGFANIGRNVSLLEGFQSRNEESIVEIFVSLLSKLVLVEGLWEQGLC >Et_5A_041840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3299320:3302026:1 gene:Et_5A_041840 transcript:Et_5A_041840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMNGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKNPRRIFEGEALLRRMNRYGLLGEGQNKLDYVLALTVENFLQRRLQTIVFKNGMAKSIHHARVLIRQRHIRVGRQLVNIPSFMVRLESEKHIDFSLTSPLGGGPAGRVKRKNQKKASGGGGDGEEDEE >Et_3B_029933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29161435:29164071:-1 gene:Et_3B_029933 transcript:Et_3B_029933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLVRLLILILLFHVPFTLSSRHHHGRSPSPSPSAPPASSDAAPLAVLLACNATRFQPVCVSTLSNAGAESSASDLLAATLTALRVRLPPAVSTAKSVLAGSSNVNLSNAATNCLTFLSLSSHRLSPSPSPSLISASTAMLHLYDCWSAYKYVNFSRTISDAMAYLDDTIAVNSNYISMLAARQRYGDDTSRWAPPQTERDGYWPLAAAETAKSDEDAFGVPRGLPVNATVCGAGCDYKTVRDAVAAAPDFGEGNFVVHVKEGVYKETVNVPWEKTNVVLVGDGMGKTVITGDLNADTPGVSTFNTATVEHTYCTGVLRRYLSRASVLGDGFMARDLTIANTAGPDAHQAVAFRSTGDRTVLDTVELLGHQDTLYAHAMRQFYTRCRVAGTVDFVFGNSATVLHDTALVVLPRQLKPEKGETDAVTAQGRTDPVQPTGIVLRGCSVNGSDEYMALYRERPEVHRVYLGRPWKEYSRTVYVRCTLAEIVQPQGWMPWNGDFALKTLYYGEFDSAGPGARDAGQRVKWSSQVPKDHVDVYSVASFIQGHEWIPKV >Et_4B_036812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11753475:11756717:1 gene:Et_4B_036812 transcript:Et_4B_036812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALALRSAARALSAGRWQRRLLGSSSAAETGAEKERDGGERFELSAAREYYDYRKSIYGDVTHRALLVDAVGTLVVPAQPTAQVYKSIGEKYGVKYSEDEILMRYRRAYEQPWGGSRLRYVDDGRPFWQHIVTSSTGCSDAQYFEELYQYFMTEKAWKLCDPEAEHVFKALREAGVKTAVVSNFDTRLRPLLQALKCDQWFDAVAVSAEVAAEKPNPTIFLKACEFLGVKPEEAVHVGDDRRNDLWGARDAGCDAWLWGSDVHSFKEVIVFLYNPTFVIFISPKLLPGHISLCFFLRWLKFAVMDEKKELVAERIGVEVTN >Et_1A_008561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8805969:8810920:-1 gene:Et_1A_008561 transcript:Et_1A_008561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGRKIGSGSFGELYLGVNIQSSEEVAIKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQMITRVEYMHTRGFLHRDIKPDNFLMGLGRKASQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFEDKPDYTYLKKLFRDLFIREGYQLDYVFDWTVSRQVADNNRLRLSGRTGGLAGPSADRAEQTAARQDAPDRLSSLIDPLARRNGSGSGHIGEHTKHRTLLDSLLAPKTAVDSDRRRPSSSRNGSTSRKALLSSSRQGSGDPSDPNRSSHLVPTSSGSSRPSNQRLHQSAGLEGRTTSFPKYGRNVYDDLTMRTFERLTISAERRK >Et_6A_046196.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:9483443:9483532:-1 gene:Et_6A_046196 transcript:Et_6A_046196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGALDAETGAAGLAVAVRDAVGWILLYS >Et_6B_049818.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1420626:1421087:1 gene:Et_6B_049818 transcript:Et_6B_049818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHMRVTHRDEERHKVTERVAIPETRRPDTAKHFERKLEEQGLHRLERHPANAPRGVGIGAPPPKSGRGGKYTWEGPGGLVEDQMDPAPPAIDPNDPNYEPEEEQGKNDDDEVAKEAVVGEVEVAKVAEPRDGVARVDVAPPLHRSSSSSQL >Et_2B_021393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29298833:29302072:1 gene:Et_2B_021393 transcript:Et_2B_021393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASVHKSWRKAYGAIKDSATVGLVKVNGGGRDHKDLDVAVVKATTHVERPPKERHLAAIFSATSSSRPLADVSYCVHALSRRLSKTRNWVVALKTLIVIHRTLRDGDAAFREELLSYWRKGHALQMANFKDDSSPLAWDCSAWVRTYALYLEERLECFRVLRYDIESERLRPVEGNPKGQSRTRSTGKDDLLEQLPALQQLLFRLVGCQPEGAAFGNYLIQYALALVLKESFKIYCAVNDGIINLVDVFFDMTKLDAIKALDIYRRTGNLAQCLSDFYELCRGLELARKFQFPVLREPPSSFLGTMEEYIREAPRTDHVPNKTIEYRQLDFVPYQEEEKSPSPIPEPFEEPVVEEMLPEPEEDPQYAVEEEDEPETPTTADLLGLHDVNPAAAALEESNALALAIVPPGSSTTPAIGYGDITGSSGWELALVTAPTTSSSSQLTESSKLAGGFDKLLLDSLYEDAGRRQQAATEAYGNGAMDPFAMSNGVAPPTGVQMSMMAQQQQAMLGMPQQFQPPYNGAASQFNPFGDAYSVVPFQSAPLHGSSSLI >Et_3B_030595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5255840:5258118:1 gene:Et_3B_030595 transcript:Et_3B_030595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPLCAASCTTPLRSGPPLLRFRRPVVQASASLTRAAPAVSDDLVLRIAEQLEDSVTSSSPLLDPLRSASALSLLSTPWPTRRSSEAFRFTDISYLRSLPISLPSRAPDLAPPASPYASHVHFADGILVSASGAHVSALADLPPGRARDRAAAALAASAEFAHKDLFYDFNAVGVRDVVVVHVPEGVKAADDPVHIMFTYTNSAGGSMLMSNPRVLVVAEKEAEVAIVEEHYGAGEEGGCYWANPVVEIVVDEGARVVHSYVQQQSFAAAHTKWTVVKQDTSSKYEFVEVSTGAKLNRHNLHIQQLGPETETEMSTFHLTSQDKQIHDLHSRLILDHPRGHSQQLHKCIACGSGNSIFDGNIKVNRYAQQTDAGQETKCLVLSPKALVNVKPNLQIIADDVKCTHGAAISGELDPNELFYFQARGINSRTATDALLYFFGAHVIKRIPFKPVTEKTLAQFKDLLASSRQLTDGPLLS >Et_3A_026076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4038202:4040603:1 gene:Et_3A_026076 transcript:Et_3A_026076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDPSGAAVAEALPPSPAPAPPTPLLSWAAPAPAPELSTSPTGWDAVLALEDQQRRRLNRIWERGVAWKPSPAPGETEGSPAAVVFRLDHGGEVDSDGNCLFTAARTAAAAKADARELRQRAVRRFAEVYAAAGDDDKGAVDAAVRHLYAPDLKAGWGVHVVQEIKMLAPKAQRDSLDAAIQELVDIGIQREIAAETIYKERCIAVNNGDSWAKYMSISGSAEDEHDIITMQYTEEGLLTIDENRDGRAAAFGDDIAIECLATEFKREVYVVQAHGADAMVDEDNCVFFLPHRPRGEICEPQPPIFLFMKGTAWCGAGADHYEPLIATVLQHVTPDKAAVVL >Et_7A_052289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6571173:6575895:-1 gene:Et_7A_052289 transcript:Et_7A_052289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYAQAVPPPDLNKNTEWFMYPGVWTTYILILFVSWLLVLSVFGCTPGMAWTLVNLGHFAITYHFFHWKKGTPFADDQGMYNRLTWWEQMDNGKQLTRNRKFLAAVPVVLYLIASHTTDYQHPMLFFNTIAVFVLVVAKLPNMHKVRIFGINAVVHETLPVFHMKKSGDARLEDSDIHYQEAAGVD >Et_9B_065423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4939649:4940244:1 gene:Et_9B_065423 transcript:Et_9B_065423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRPQQSSPRQHQADIPDQQSACPTEPERSCARLLRGAGQLCSISTALVLFLTYSFVQTARRVRDRPCDMAFVILAYADLVALFWCLRRVERLVTTDQASLSPAAGEERRRLKFAVWALSTVLSCAFAYRVSLVLPPVLVLAVWSMTLFVVVVGFYLLVVCKDQGYRVLEDDAEAGNSDAFEKIRPVDGLV >Et_9B_065115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21300488:21304732:-1 gene:Et_9B_065115 transcript:Et_9B_065115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHIILPPEDSDDEHRQHHDEEEDEAEAARRGPPNQTAAKGTLPFSASCVRISRDSYPNLRALRNASSASLADAAYVKISEGDFGYVLDDVPHLTDYLPDIPTYPNPLQDHPAYSTVKQYFVNEDDTVPQKAVVQKNSRRGVHFRRAGPRQRIYFEPDEVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVSKIYGIQNGYKGFYSSNYLPLTPNSVNDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIFKEIRKRGLKVSVAGVPKTIDNDIAIIDKSFGFDTAVEEAQRAIDSAHVEACSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYMDGEGGLLEYIERRLKENKHMVIVVAEGAGQDLIAKSIATSAQQDASGNKLLLDIGLWLTHKIKDYFKSKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHTFIPFYRVTSTRNKVRITDRMWARLLSSTNQPSFLSQKDIDEASEADRLANRPPLPTVVSHRAANSFEQSASSSANGEI >Et_2A_015887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18803174:18807118:1 gene:Et_2A_015887 transcript:Et_2A_015887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ESKMGVISTVLVFSGFGFGFSAGIVIGYFIFIYVQPSDVKDVNVRPLVEYDSKSLEGILPEIPMWVKNPDYDRIDWLNRFVELMWPFLDKAICRMAQDITKPIIAENTAKYKIDSVEFESLTLGSLPPTFQGMKVYVTEEQELIMEPSVKWAANPNVTVVVKAYGLKATVQIVDLQVFASPRITLKPLVPTFPCFAKILVSLMEKPHVDFGLKLLGADLMAIPGLYRFVQETIKKQVASMYLWPKTLEVAIMDPSNASKKPVGILLVKVVRAQNLRKKDLLGKSDPYVKLKMSDDKLPSKKTTVKRSNLNPEWNEDFKFVVTDPENQALEINVGKHERMGMNRVPLKELPPDETKVSTINLLKTMDPNDVQNEKSRGQLTVELTYKPFKEEDMEQEGTEGGDAIEKAPDGTPAGGGLLYVIVHEAQDLEGKHHTNPYAKIIFKGEEKKTKVIKKNRDPRWEDEFEFVCEEPPVNDKLHVEVLSKAPKKGLIHGKETLGYIDVSLADVISNKRINEKYHLIDSKNGQIQIELQWRTS >Et_2A_018629.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3609267:3610253:-1 gene:Et_2A_018629 transcript:Et_2A_018629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGGTPSAKWVKLMCSFGGRFALRPRDGTLRHIGGQTRLISVPRAASFGDLLRKVEAADADAGDGSLVVVKYQLPGEDLGSLVSVLGPEDYENMMEEYDKLAAAVVPGGSAKLRVFLFRAAAGRSSCSCSGSSSGSNNLFAAAGDESGQKRCIHHATNCVSAEHTRRKNSTTGSSARSSELAFEFSSLPLPSLVPERSYSGGAHHHSAFPKPVSLSAVPVTAPAMGIPSFVRTEPPALQPQYQGAVLASSYAMTLQPQLATCVPPQQPQVSSYLQQQMPSYYAQQFTVNFAPVQMSPFLPSISVATVSPVLENMQFTRFGLGYAL >Et_2B_020073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16577529:16580803:-1 gene:Et_2B_020073 transcript:Et_2B_020073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDTSNWLIGLEKRFMARHDILHHIHSLLPLRAAARIACVSKAFLNSWRCRPNLYISIKALGLKENSIWVSRDFATQIHHILTKHSGIGLRTLHLSLYGCWYVGFCDLCSWLYLAITSGNGSSIEYLSLNSCAFHPTVGIGCMRSLKRLSLCFMSITKDELGCLVSNSSALERLELMCCNEITWLKIPCLMLRLSYLEVLQCKRLQVIESEAPILSSLAHVHSHYVQLSLGEASQLKNLAICCPCAVSYAGAKFPIILPNLESLTICSTIEVLSAPTVPSTFLHLKYLDIRHPVPEFEASHHDYFSLASFFDASPSLETFILRIHVIEKPYPYKNWELAWRSTACFPEDPPHLRRLPEHHDKLKRAKIVGFLPAMSLLELACYVVETATSLECLTLDTSHECDSHYDDPECHYNTSHDCHDYLLDFRKYMEGKVPAAARSANIVLSFRDKGIRDVALPPWHCDGMAVALRHATIRVDTADTRALPASRGHKHGWRPLVSDLSLPAPNSPLPSPSPS >Et_5B_045734.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8402411:8402746:-1 gene:Et_5B_045734 transcript:Et_5B_045734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPCTVDLSTRKTTSRRSPRPALQGHGGGEGGGLTTPLLGALSDDVHTVSAPMVLSHGMVVDRSSNACQAKKKWKLNDDKVVDVERSHLMNGRLRKLGLPKLCLWEIFA >Et_3B_029616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26489314:26493296:-1 gene:Et_3B_029616 transcript:Et_3B_029616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAETVASPEGDASGSYGDAGGLSTGRKLVPWSSWAEWLFVRDGLFSPYPAAALRRIAAWRSRGSLPIPVDVTASFVEIRMRDPFFRSGMAGDDALESDEMLTMLYSMAIMRLVNGFVENPHKKTGRSISELAEAVGIPRVLVDIRHESSHRSLPSLRLLRLASIKAFDWLRCIYWDRQTNSIPDPQVELRSRLHEIAHFLKSNDSKKSKSGSKRKRSEKLISKTIKSTRRLYYAFPFEVVSIILDFLLIDAPESTESGDMQETDCVPENHSSHVLISNSDMEIVLLKLSEKEPRLLLSALKSVIEMIEAEEELKDKGESYACLSDEPSKMKRLCSLVLWLVKNIKELKNSGYIGLVHEIGVLSSDKNAVPCFCLAKLLQKLLSLSTIGETCIIDAALLLIEMVNNNNVKEKLRKLPMLSLERLAKVSSPSEPRITRNEQEPIEKATEMMEMFKSQLKRRNNACLAENGSEGLSNRWSIAKSWTPCPIGTVPCSFSSTTVLPTFDITSDHGPENAMLEYHENLEDADHSEMFDPQPVGLEDESMLEVSTPPQEHEIPDMPDLTFPLKGRLLVGGVWKQMTEEELLYMKSEMKILL >Et_3B_029404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24740299:24743182:-1 gene:Et_3B_029404 transcript:Et_3B_029404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLRNYWSPTFTSGRQGAAFGHPQKFNSVVVCGARGPRPRYPRVWKTRKKIGTISKSQKLVECIKELSNVKEEVYGTLDSFVAWELEFPLIVVKKALKTLEDEREWKRIIQVIKWMFNKGQGKTMGSYYTLLNALIEDGRVEEAEELFQKIFSRYLEGLPRTFFMRMISLYYNLGAYDKMFEVFADMEELGVRPEGSIIRMLGDVFQKLGMMDKYEKLKKKYPPPKWELRYIKGKRIRMRVYPDNKTEETKHPDAAELEEAESMHSDNELEEAASAGLERNVLDDAASVDHEYLCSNLRCGDS >Et_2B_020917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24882089:24887097:1 gene:Et_2B_020917 transcript:Et_2B_020917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGPRDRGGADVPGPPPFRGPAYKTKLCALWRGRGGCPRPDCGFAHGEAELRRPPPRPSFQPRPRTGRRDSRDHDFRFRPERRHSPRARYSPERDIRGRSFRDQKPSSQDRGSSHSRSPIRNSERKHRKSSDGGKSDSSESFRTSDNEDIEKKERNPSNDEKNGDYEAQLKQIHVDMEALREEKSKLEIILEKKIEEARKLSSRVDDLESQLNEAKEDCQRSQARFERLADLLASDTLKPSTKEQGSSGIANEDQYNAYEMSPSNQRQNHVSGSRKRSIALSTSEEAKTGKKRRESDDDIPIPMKYRPEHALEPSNNSKGNGMLKPIYLQKKLGEGDYEGANIVSSSNVFTDRVRIKISFCSNSFCVDYFSLTVDASLSYTVAKMRMFMLIK >Et_1B_013989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26611650:26613338:-1 gene:Et_1B_013989 transcript:Et_1B_013989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLSLVSLVYLLLALAAVYAYNTITRSRSPPQQRLPPEPPGWPVIGHLHLLLLSGGTPPHRAMAELSRRMRAPLLRLRLGSVRAVVISAPELARAALTTHDAALASRPRLLSGQVLSFGCTDVTFAAAGPYHRVARRVAVSELLSARRVATYAGVQRDELRRLLARLSGIAAEQEQQATVVDLSACLLTLANDVLCRVTFGRRLPHGGGKGGDKLAAVLAEAQDLFAGFNVGEFFPELEPFASAVTGLRRRLKRCLADLCEVCDEIIDEHVKRQQRVPGDRDEDFLDLLLRAQKSPDLEVPITDDNLKALVLDMFVAGTDTTFAILEWVMTELVRYPRVLKKAQDEVRRACGGKGFVDELDLAGLRYTRAVIKETFRLHPPVPLLVPRESAASCAIGGYDIPAGTRVFVNNYAMGRDPEVWEDPLEFSPERFESDKYGGGGEIDLKDPDCKMLPFGGGRRGCPGYTFAMATAQLTLAGLLYHFEWALPDGVRAEDVDLTESFGLATKKKEPLLVVVRNSEGFEFKE >Et_1B_012517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32756768:32758230:1 gene:Et_1B_012517 transcript:Et_1B_012517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPGILSTSLPCFLRLRFSVCAETGGVDAARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQANTS >Et_4B_039711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27464489:27467953:1 gene:Et_4B_039711 transcript:Et_4B_039711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKALAAEARPRTCRGRVDWLMLDGVLCELPDHYATEVSWTEGFTSKGEKIVGGGPPEISRFLYRLYLNTETLSSSSGVDYGGSWVSRARAVAAHRDCILLCFIIIDDPDPFCFKDESGEYFTEPEIREELFVVQAFPGTRPKLINLPRCVNSNLAVSSNFGIRCSDDGEFVVAQLAVTSKIFSGQSDCPVTAELCCLFGKDGTWRTTKCVPIHNAEGEDHLIWWVTDAVITFGSYICWVDYLRGILFYDVSNLNPELKYVPLPVNPYEGNVNEEFGVRGPVSAYRSLCVTEDGNAIMFVDVSSSKFWFYGRRRHPSDYAISSWKMAKDSFKWIPDGRIDEDHYFALTKQLNLPLAPLEFPLVDRKDPQTIYCTLKRESCVTREIHLVAVKMSSKLITATPAYTTGSSEADMGSSTASFNLSDHEPFLHCDFTQYQDLDVSKARTTKKKEIETRES >Et_10B_004168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17257224:17262970:1 gene:Et_10B_004168 transcript:Et_10B_004168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSTPAKVYKPATEVDLGPGSDEFYISPNVKAPRVAGLLVKIFVWILEMPIIGPMVLYILKKDNLINKLVQDADIPEPPLFTATHSWEDTPEQNVSLTKPDLSPAERVQEAVSCLPARLEATLAADAPSSGLKRWTIRDFSNAYSSGETTPVEVARRFLAAVECSGPCLNMAFFISCDPEDVMRQAEQSTLRYKQGAPLSALDGVLVAVKDEIDCLPYPTTGGTRWLGAARRCAADAACVAQLRACGAVLAGKANMHELGAGTSGINPHHGSTRNPYNVGKVAGGSSSGSAAVVCAGLCPVALGVDGGGSVRMPAALCGVVGFKPTAGRLSNQGVLPLNWTVGMPGILAGTVEDAVIAYSAIVDQSQPSYLRPELNLPLLNSTPSISNVKLAKSAKWFNDSAEDIRSCCDKALQTLHTHYGWQTVEVTIPEIEEMRLAHYVTIGSECTTSLAKYLDNLKRSEIGWDARVALSVYGSFSSRAYLNSQRLRNRQMYFHKQIFKTADVIVSPMTGVTAYTLQDDAFQTGELDYINGAALVRYSIAGNFLGLPAITVMVGHDKEGLPIGLQFIGRPWSEATLLHIAFAMQASD >Et_4A_033678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25746350:25748241:1 gene:Et_4A_033678 transcript:Et_4A_033678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASESILSKQSPQHPRPPWADEITTVSEGRRDDADTDPLLRRIRSLTIAPPLLSGQSESEADSSLTDILVRKPSTSSSSGSGDLNPNVLAELFSMYREWQEENAKKISKKQEEIENKIETADALAVKLLKRYNYSVTSMRSTSHNLAEVHPLQIEVGELKGRLTEVISNCDALCKRIAAEGPESLRSSVKPFTACRVEEEGSGSVQPKQEP >Et_9B_064757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18314769:18317229:-1 gene:Et_9B_064757 transcript:Et_9B_064757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGPALESLVDQVISVITNDGRNIVGTLRGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGEVDEDLDASLDLSKLRAHPLKPVIH >Et_3B_031035.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:1098491:1098763:-1 gene:Et_3B_031035 transcript:Et_3B_031035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METIAAANGGARYGGKSEQQQQQGGCGGHMSTMFQMPLHYPRYSKEDYEAMPEWQLDRLLSEYGLPVTGTLKQKRSFAMGAFLWGAGGGH >Et_3B_029254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23408024:23409496:-1 gene:Et_3B_029254 transcript:Et_3B_029254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKATTAVTLRTRKFMTNRLLSRKQFVLEVIHPGRANVSKAELKERLAKVYEVKDANCIFVFKFRTHFGGGKSTGFGLIYDNLEAAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRSKKIRGVKKTKAADAGKKK >Et_5A_041610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2495311:2497892:1 gene:Et_5A_041610 transcript:Et_5A_041610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHGREERDEKYDECEKNKEESEWITMGTFGEIVNPWQTASQFRCQWDRLWSDDFGSFEDTKKVPQFGAIPNDTLQFFSVQLCGTRRNLKLPVDVFGMIAMRDYIDRNRNIIFNRTRDQCQTLTREDPYLVLTGPTRAVMARVSDPVVIEVELTVKGTTKSEDKYLSILVAPVSISNREKSALLNYSFTSKLSTLEFKIGHIVSSVEATISVAIIDGSWPSGVRGVFAALATGSCRGPTNSIDDEKIILFDSGSKKLPVCDDKLELSRRVVSVEINGNLIVYVEAVHRGMKLAGEHMDFKACTVGTSESDVVNLGFCKMKVFVTWSLISYYSAPRKSVATGVKLEAGM >Et_5A_040496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25890503:25891000:-1 gene:Et_5A_040496 transcript:Et_5A_040496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRIFLWQLDRDRIPSADLLIKKKSKGSAGTICWSLWLYRNGLVFRNQLCPSPQSIIHRIISMLQRWRVLWKSCEREEADVIIAQIKLKLEARSPPFLLT >Et_2A_015539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14670464:14673361:1 gene:Et_2A_015539 transcript:Et_2A_015539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTATGPIPRRCTEYAAAHRFHSLAASSVPKPTFRDTPAHGSQHRSSPAKNQDPLLLLPLAAIRAPELPRVMRQEAATSLVEEEDEDSDAMPPSACAILLRREGEAAAAAPGDELLLVPPLNFAMVDHGVYRSGFPDVSNLPFLESLRLRSVLCLCPEPYPEANLEFLRAHGIRLFQFGIDGSKEPFVNIPEDRIREALKVILDVRNHPVLIHCKRGKHRTGCVVGCLRKLQRWCLTSIFDEYQRFAAAKTRVSDLRFIELFDVSSINHIPAPFTC >Et_10A_001892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:119746:121385:-1 gene:Et_10A_001892 transcript:Et_10A_001892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTTTSTHTTKTVRGTHHLRIVGYSAILKQASPGESIASAPFHVGGYDWAIRTPGRVGLIVELLSDAPRGAKAWFCIDLLDQDPLYYHDYEPVSEMDLGTKQKSPSEDIQPKDDDSVTIICTVEVLVQVPAAAAGRQFVAVPPPDMSQHLLRFLETKRGCDITFQVEDKEFEAHRLLMRMRAPNFTAQSLGPLVTKDGRLYATIADMKANAFEAVLRFIYTDEPPPDVDRLLLLASCSAAGVAAAGDEQDQAATLLTAKVRDLLAAAHRFGLDRMVRMCENALCAAMDAENVAATLRMADALQCVQLKALCIEYMASSPAVLKGVMATEAFQELKDSCPSLLKSA >Et_1B_013177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6032311:6042889:-1 gene:Et_1B_013177 transcript:Et_1B_013177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEQQPPPASSPPDTQPLQQQAGLASQPSGSGPASPHSHSPSLSPVPQGDTITTGSATVPAAATSSGEPSPRSSGKHAFYRGIRCRSGKWVSEIREPRKARRIWLGTYPTAEMAAAAYDVAARALRGADAVLNFPGAIASRQAPASASPADIRAAAAAAAAAAQLEHPHGGGEAPDAAASGAVAPDQQQLLPQGEFGNDVFMDEEAIFEMPQLLRNMAAGMMMSPPRISPYTSDESPDPSETGDSLWSYHDPSDYSSGTPSPVGCGDDGSYMTVSSAPPKRRAGRTKFKETRHPVFKGVRRRNPGRWVCEVREPHGKQRIWLGTFETAEMAARAHDVAALALRGRGACLNFADSPRLLRVPPAGAGHDEIRRAAAEAAEQFRPAPDQGNAAVEEAITAAIPDAFSTSAAQQSAEDHPYCVMDDRFDFGMQGYLDMAQGMLIDPPPMDGASGNGGDDDDGGEVSLWSY >Et_2A_016372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2401060:2405987:-1 gene:Et_2A_016372 transcript:Et_2A_016372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAQPPDTEMADAGGGAGGGGQPPQQPAGGGGAGGMMENIQATLSHGGRFIQYNIFGNVFEVTAKYKPPILPIGKGAYGIVCSALNSETGEQVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENIVAIRDIIPPAQREAFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILCGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNSSEYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPNEADLDFVNENARRYIRQLPRHARQSFPEKFPHVQPLAIDLVEKMLTFDPRQRITVEGALAHPYLASLHDISDEPVCSMPFSFDFEQHALSEEQMKDLIYQEALAFNPEYQ >Et_2B_020040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16310717:16319888:1 gene:Et_2B_020040 transcript:Et_2B_020040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPVELPSRLAILPFRNKVLLPGAIVRIRCTNPSSVKLVEQELWQKEEKGLIGVLPVRDSDAAAVGSLLSPGVGSDSDEGGSKAGGLGAESAKQEVKNGKEPIHWHSRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFNVQELSARGSYHVARVSRLDMTKTELEQAEQDPDLIALSRQFKATAMELISVLEQKQKTVGRTKVLLETVPVYRLADIFVASFEISFEEQLSMLDSVDLKVRLSKATELVDRHLQSILVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVVALERKMQNAGMPANIWKHAQRELRGPVLCFVGPPGVGKTSLASSIAKALNRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVSVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVIFVATANRMQPIPPPLLDRMEVIELPGYTPEEKLKIAMRHLVPRVLEQHGLSSTYLQIPEDMVKLIIERYTREAGVRNLERNLAALARAAAVKVAEQVNTLRLGKEIQPITTSLLDSRLADSGEVEMEVIPMGHDISNSYENPSPMIVDESMLEKVLGPPRFDDREAADRVASPGVSVGLVWTSFGGEVQFVEATAMVGKGDLHLTGQLGDVIKESAQLALTWVRARAADLNLSPTSDINLLESRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSNRKVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNLKDLAEVPAPILSGMEILLVKRIEEVLDHAFEGPVSNNMTQGLFALRLGKAA >Et_7A_052996.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:4123716:4124033:-1 gene:Et_7A_052996 transcript:Et_7A_052996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINWRRKSSGCGREMRERLIPADDGKQAASSPSCVPRGCVAVVVGEEEAAAERVVVEVRALGQPCVRALLEMAEREFGYDQKGVLRIPCAADDFRRALAADSCRR >Et_5B_045605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5320327:5322908:-1 gene:Et_5B_045605 transcript:Et_5B_045605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNWSLSLCIGLSWTTMADTPTSRMVHPFGNVPRQTPKQFLFSGNSQHLYNPYQSAGDSHVVPQHHYNMKSHSPDSGSESHETHQQYTLDSSAGSGCMRHDSPSGHSLTTGSGSPLSQEDSHSGSTNGNGSPVSASCVTEDPNDLKQKLKDLEAAMLGTDLEAVNSLELSVANQLSLEPEEWVHMMSMPRGNLKELLITCARAVEENNNFAINLMIPELRKMVSVSGEPLERLGAYMVEGLVARLAASGNSIYKALKCKEPKGAELLSYMHFLYEACPYFKFGYMSANGAIAEAVKGEDRIHIIDFHIAQGAQWISLLQALAARPGGPPFVRITGIDDSFSAYARGGGLELVGKRLSQIAAMCKVPFEFHAVAISGNDIEVEHLGIIPAEAVAVNFTLELHHIPDETVSTANHRDRILRLVKSLSPKVLTLVEQESNTNTAPFAQRFAETLDYYTAIFESIDLALARDDKERINMEQHCLAREIVNLVACEGEERVERHEVFGKWKARLMMAGFRPSPLSALVNATIKTLLQSYSPNYKLLERDGALFLGWMNRPLVVSSAWH >Et_1B_009819.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:29560159:29561820:-1 gene:Et_1B_009819 transcript:Et_1B_009819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASCIKARDVLSARALFNKISRPSVTTWNTLLSGYCQEELHQETIELFRRMQYQNMTPDRTTLAVILSSCSRLGIFELGKQVHSASVRLLLHNDMFVASGLVDMYSKCGQIGIARSIFSRMTERDVVCWNSMISGLAIHSLNKEAFDFFKQMRQNRMFPTESSYASMINSCARLSSIPQGRQIHGQVLKDGYDQNVYVGSALIDMYAKCGDMDDARLFFDCMIMKNIVAWNEMIHGYAQNGLGDKAVELFEYMLTTKQKPDSVTFIAVLTGCSHSGLVDKAITFFNSMESTYGITPLAEHYTCLIDALGRAGRLVEVESLIDKMPYKDDPIVWEVLLAACVVHDNAELGECASKHLFRLDPKNPSPYVLLSNIYASLGRHGDASAVRALMSSRGVVKGRGYSWVDHKDGVRAFMVADDLGTNAGEFPPSTGHDYSSGITEVHQDETCAG >Et_4B_036083.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:22320107:22320505:-1 gene:Et_4B_036083 transcript:Et_4B_036083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALARGGRGLAAAAVRTSVEAGRRGQVQPARGIVVEVRDGNLERALTIMERKMRSSGMERLIRARTDHHVKDSEKRVLARKALMQRVKSQELGKKLREILIKKIRSGPCPRPSPLLLPANDPFLCACLPLC >Et_1A_005735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13239541:13246920:1 gene:Et_1A_005735 transcript:Et_1A_005735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKALDQGDGRLAEKMAAVRDSNGLGPLHLAAGRARLPVCRYLVEELRLDVNAADCNKGETPLTFAINFESVDTVRYLLDHGADTEKVNNDGLTPLHFAAGQGLCEIVEVLLSKGADIDALTIGGTALHCACHNGRDGVVKILLDHHADHSKKAWGVYMPLVTAVYAGSLSCVKLLIEAGADVQGVVKETPLMVAAANGLTDILKCLVQAGADPNLGQTPVEIAARFGSRKDVEVLFPVTSRIPALRHWSVDGIISYANSRPPVKNKDVYSAMLAGGKFQGREAVKNKDYLAATYIYTEAMDLDPDDSTLYANRSLCWFHLGEGKKALMDAQTCRAMRPGWSKACYREGAALMLLKDCEKACDAFLDALKLEPGNVETKSALREAIEYLKISRSAAK >Et_8A_058400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6673445:6674676:-1 gene:Et_8A_058400 transcript:Et_8A_058400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCEKGGVKKGPWTPEEDLVLVSYVQDHGPGNWRAVPANTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLGKMGGAAAAAAAAGEGAGADARGGRSAAPKGQWERRLQTDIHTARQALRDALSLDSSSSAPAPPPPSAVKPESPPPPPAATYASSAENIARLLEGWMRPGAGAGGKASSGSRSSASTALSGGASASQYSGTARTPEGSTGTSVVKAEGNHRAGHGAAAAAAPGGPAFSMLESWLLDDGMGHGEAGLMGMGVPLADPCDFF >Et_1B_011289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:282663:285945:1 gene:Et_1B_011289 transcript:Et_1B_011289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRESTVASGPNFSSFYAQHRGIGGPGVPGHSPGLHGPPPGGYRQQLDAVSAGYAFHNPHVGAPHIGQGYHHVDASPPVAQQGTGGGATAGGGMDIAMGVAMGADAKGDQGSGVGQDEQVKKKRGRPRKYKPDGPVTLGLSPSSSSTPHSSSLGMGTMVTTPGSGGSGSGAPSEKRARGRPPGSGKMQQLASLGKWFIGSVGTGFTPHVIIISPGEDVAARIMAFSQQGPRAVCIISATGAVSTATLQQDSESGGVVTYEGRFEILCLSGSYLVLDDGGTRTRSGGLCIALCGPDHRVIGGSVGGVLTAAGTVQVIVGSFMYGGSKKNKAKAEPDVEHEEPHAGDEEAPAMPMPELNMPPHPMSGWPEGMMNQMDSRSSNIDINSVRE >Et_4B_039300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9760262:9760876:-1 gene:Et_4B_039300 transcript:Et_4B_039300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDAGTIFEFIHLWDLVQQVQLNNQLDTIVWTPNGQYSQIGLCHIVPKSTLRKSIRMCNQIAKFQDWWNYSMVPITTTEKKRGSNHHLGMYGRSEAMQPQQVFHMIREEMRLRKLAYGDISREQS >Et_4B_036283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15018960:15019847:1 gene:Et_4B_036283 transcript:Et_4B_036283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKKNPRDLAAALLAVLALAGVARGDVAAPTPATPAACDKSDAAALLAVKSSLGNPPALSGWNSTAASCCAWKGVTCNATTGRVTELTVFALNASSPFPAALANLTALRSLNLAYNRLYGAIPAFLGPPALPSLTFVRLDGNRLSGTVPPALAGALDLSLVGNLLAGPLPPSFAAARFNSLDLAAKKLNALRLSRNRFRFDLGRVELPEALDIFVIDHNLVYGSIPPAAAAKTRKWLAFDVSFNQLCGPIPQGRYTRRFGPRHFAGNKCLCGAPLPPCS >Et_6B_049313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3094087:3099246:-1 gene:Et_6B_049313 transcript:Et_6B_049313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTTCPDRPHMPLHQPLPPPLAHRYISYTTSPSTPVLNPSPLSKKKKKRTHHPLHISPAMASPSPLLLLLLCAAALALSSSAADDAAGFTTFKVYFHDVVGGPSPTAIRIAQAASSNTSSTAFGAVVAIDDPLTTGPERAGSGTSKEVGRAQGTYTFADQKTFGLLMTMNFVFTAGEHNGSSLAILGRNEVLSDIREMSIVGGSGKFRMARGYVQAHTIDSGATNGETVVQYTVNHQRRWRRRRATGSQPSRCASTTWSAGPTGRSPPPGNSSAALWGGTVAIDDPLTAGPSRAAPAEVGRAQGMYTLADQQTLGLLMNMNFVFTAGEHKGSSLAIFGWNYVLLDVREMTVVGGTGKFRLARGYVQARAVDLGAATGDTVVEYTINVKA >Et_6B_049153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18799809:18810436:-1 gene:Et_6B_049153 transcript:Et_6B_049153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAFVVAAAKLAVLVAAAATAANAASFARYRRRHLRRIPNPIDESADPVADFRALPHSAAAGDSSEDDSFFFGLATAPAHVEDRLEDAWLQFAIEHSCDEKEAVRNQKPANALLASAAGDGGSQVASMSRGDEQSEDRETRKPLKVAMEAMLRGFETFVEGGESGSSDNCSHNVAAWHNVPCPHERLKFWSDPDTELKLAKETGITVFRMGIDWTRVMPKEPSEDSKSSVNFAALERYKWIIQRVHEYGMKVMLTLFHHSLPPWAGEYGGWRMEKTVNHFMHFVRLVVDCVSDLVDYWVIFNEPHVFVMLTYCAGAWPGGDPNALEVATSALPTGVYNQALHWMAIAHAEAYDYIHLESKNARKPIVGVAHHVSFTRPYGLFDVAAVTLANSLTLFPFIDSICDKLDFIGINYYGQEVISGPGLKLVDNDEYSESGRGVYPDGLFRILIQFNERYKSLNIPFIITENGVSDETDLIRKPYILEHLLAIYAAIIMGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYHLFSKVVTTGKITRQDRAWAWKELQQAAFQKKSRPFFRAVDKHGRMYAGGLDRPIKRPFVLRDWRFGHYEMEGLQDPLSRFIRSIVSPISPKKKIHYIEDDAKLLKQTTEEEQAAWGEINTGSTPIMDKFGISDML >Et_1A_008935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19803499:19806398:-1 gene:Et_1A_008935 transcript:Et_1A_008935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVALLHVRLVVSSVKQYIYGEPSSGVTLPQFLLLLFAVADVAVAAGKPEAQSSYVVLVAHGHAPRPPRRPRLLATRAYAGFLRDRLPEHLLEPEPSGLRGAADAAAGKASGVPALLRARRARCSPTRCSIRAPPGRPSSSASRLCSASASSRRPTAARSDVIDSGVYSKGSGWFAAKPSLPPPPSRFRGGCVSTPAFNATAYCNSKLVGAKFFCKRSEETHGRPINTS >Et_2A_017881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7470236:7475477:1 gene:Et_2A_017881 transcript:Et_2A_017881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPEPSGRRTAAEDRKPHGVVLIVLPDGAHHHGPSSSSFAGEEAAVAFLDRAGEDERPRAAFSLRRAAGAVLALAALAVAGYFCLYAGADAAWRFLAAPEAASGGASSFVLPLHAKEHNSTAAVRGNAFQERQYYTTISIGNPPRPYFLDVDTASDLTWIQCDAPCSNCAKGPHPLYKPAQGNIISRADSSCQEVQGNPSDTSKQCDYDIIYADQSSSLGVLARDNMQLITEDGGSKNLDVVFGCSYDQQGDLLASLENTDGVLGLSSASISLPAQLARQGIISNVFGHCMAADPSAGGYLFLGDDYVPKWGMTWVPIQNGPGNAYSAEVHKLIQGDQQLGVRGQAGKLARVIFDSGSTYTYFPHEAYTGLIAALKDASPRFVQDYSDKTLPFCMKADFPVRSVDDVKQLFKPLSLQFKNRLFVFHRTFTIRPEDYLIISDKGNVCVGVLDGTEIGYGSTIVLGDVSLRGKLVAYDNDKNRIGWALSDCSKPLEQSRIPFFLRRALPNQLLRATNSIRSDANVAKDKALALSYVLDSSSSGAMGWFPDSKT >Et_4B_040070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:923315:923822:1 gene:Et_4B_040070 transcript:Et_4B_040070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLGMKPVTGVSRITIKRAKNILFVVSKPDVFKSPTSETYVIFGEAKIEDLSSQLQAQAAQQFRMQDLSKAMAKQDAAAAAPADEEEEVDETGIEPRDIDLVMTQASVSRAKAVKALKAHDGDIVSAIMELTA >Et_4B_037691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22640528:22646222:1 gene:Et_4B_037691 transcript:Et_4B_037691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTDGTLYCGEWDTSKMTGKGVIQWPSGASYDGDLSGGFIDGTGTFKGVDGSVYKGSWRMNKKHGMGTMVYANSDTYEGLWNEGLPDGFGKYTWNGGNIYIGSWKSGKMNGRGVMRWTNGDTLDCNWLNGLAHGKGFCKYASGACYVGTWDRGLKDGQGLFYQPGSKLPYNLEVSESVTDRNVSSASGSSNENVNNGLSFILQRVCNMWRLRSLFHRPRRISNGTTPVLDDNSGNLLSQDSSTEPVSTDESLQDNGGDKVLVYEREYVQGVLISEKPKGHDSAMLHSGKTQENTWRKQAGGPMETIFKGHRSYYLMLNLQLGIRYTVGKITPVPLREVRSNDFGPRARIRMYFPCEGSQYTPPHCSVNFFWKDYCPMVFRNLREMFHIDAADYMMSICGDDSLKELSSPGKSGSIFYLSQDERFVIKTLRKTELKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKENINENTTLKDLDLSYVFHVDKPWREALFRQISLDCMFLESQSIIDYSMLLGIHFRAPYHLKTASSQQGSLERCGIRDDDLLDYEDKSSWKGFLLVAHEPGTTVGGSHIRGSMVRASEAGYEEVDLVLPGNGRYRVQLGVNMPARAQKVREDMNTELEKMNTELENPGIIEEYDVVLYLGIIDILQEYNVSKRVEHAVKSLKFDPLSISAVDPNTYSKRFINFLE >Et_9A_062349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22057223:22061392:-1 gene:Et_9A_062349 transcript:Et_9A_062349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IATMGTRSVALVILAAVLLQTLLPASSAEDLVRITLKKRPIDQNSRVATRLSGEEGQRRHGLRGANSLGSGGEGDIIALKNYMNAQYFGEIGVGTPAQKFTVIFDTGSSNLWVPSAKCYFSIACYFHSRYKAGQSSTYKKNGKPAEIHYGTGAISGYFSEDSVTVGDLVVKEQEFIEATREPSLTFMVAKFDGILGLGFQEISVGNAKPVWYNMIGQGLIKDPVFSFWFNRHADEGEGGEIVFGGMDPNHYKGNHTYVPVTQKGYWQFNMGDVLVGGKSTGFCAGGCAAIADSGTSLLAGPTAIITEINEKIGATGVVSQECKTVVSQYGQQILDLLLAETQPGKICTQIGLCTFDGTHGVSPGIRSVVDDEAGESNGLRTDPMCGACEMAVVWMQNQLKQNKTQDLILNYINQLCERLPSPMGESSVDCGSLKSMPDISFTIGGKQFSLKPDQYILKVGEGSAAQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGKLRVGFAKSA >Et_1B_012607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33775422:33780433:1 gene:Et_1B_012607 transcript:Et_1B_012607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFHRGLKPQNLLIDRRNNVLKLVEFGLARAFGIPVRTFTHEVATLWYRAPEILLGARHYSTPVDIWSVGCIFAEMVNQKPLLPGDSEVDELFKIFRIMGTPSEESWPGVASLPDYKSTFPRWAPVIFVTNKLTRKMLLCAEPPPPIKLLESTTIELSIGEAPREYDYGSSKLEKRAGMQYPKLLTSDTELNHCQAWISSLIYFRGRPIGASQALNREQKFLRDMIPGALAFLMKGERLKTFLIEMIVGPVCLGRFAPVISDLWWIILLSTYTKWTGDNFLCQMEALLDWGQVSDSAEALVFSAGIMTKKSMDMNLIEKGPSNVIVISLDDPLPESLASYQNGIGCVRWYCRTKGSLEVCTTPPGGCITVRLVYKSRSLDFALYGRDLYTMGWRGPHGTIEIKHPKEKRRNYMLGDQVIILPTYKNYFYLAPSGRVRNVRLGPFAMREAFEILYKYRGGRSDKVLEALAGVSVNLPEPARIQDIYLDICFCFKESVMFTLDTRTESNSFWINNYGFYSGQEMRRLDYMLKGLTPEAITNDKGIHVQSDIQILNTIRILLRDGYSEGRFSHEPIPSEPTEGDSDMEDDFDSSIVSKGWKPAKKQSRSDGGRRGDLKVPQLEGCPNGDGESPYIFPSKLLDEWYPLVNLENHDDDDDEPSTKDSGNESQLPEDCGRINKLDVELREESEFTEKDGETDDEHDGEEDEHVSSIYKTTTTPTASFAGFFDQFPAVKKMFDLHQKSQSNLDMLMRSTEMITWPTVTTAVSSAKRCQFNMKEGFSMSSSRAVMGLGENKTDSVSYS >Et_5B_043614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12692300:12692679:-1 gene:Et_5B_043614 transcript:Et_5B_043614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FYSMVLRLCISFETSLSQDRIPSVAQLIKRKWKKSEWSILCASNETSPLSLDNFVDLVLINRSLDHINFMLYVLGAANWATLLTRNECHSQCYLSFSAGGGLQGNIACGKVEDSA >Et_2B_019414.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28453592:28453729:1 gene:Et_2B_019414 transcript:Et_2B_019414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDRGEDEGHVFGVDLGTGKVTACSGRYKGFNTTGSCTFEPGKL >Et_1A_004649.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:26030222:26030889:-1 gene:Et_1A_004649 transcript:Et_1A_004649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKAYVFFFLLIAGAGAATPPPNYSQPPSECMPTFQQLNDCISFLEDHEILIGAPTSVCCAALRDVVRSPADVCLCHAIGNDLNENLLTHVDPIRVAMLPLLCTTYVPPTLLLKCFSECCLYFNTCFSFMCC >Et_2B_022623.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2834960:2836519:-1 gene:Et_2B_022623 transcript:Et_2B_022623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMAEEGKPAQRSPLSWEPSAKQNDDVDFNNEEMRRRLLCLHEQFTASFGPTSRCVYEVRQARYRPCVLYTIKVSVKNAQVSWSHRSSGRFLPERQGITFPIPLCRADEHDQVEQVVHRSGEPNEPDRPGILPGIIDAGYSLIEWYRERNVIHKEGLAAPWTEVAVIRGLPGGRGITVTSHVPGLVVRLHDTLFSLGGQAATVDQRFSEHWFRLPSRWKEERLYAEDGILIVDITAPVENLVRKLYQMRVQEEQEMERRSSEMEEERARRLQEEEAIRKREEEERMKICERNEEKRRRELAAAAAAVKPSAQAPPVWDAALWDLSEAQPAAAHCYSKFELSMNSNKQVSCKCVGKESLQAMLRRVDDGESVLPISKIAPSSLASASSSRSLETLGFVQGYSDLEAWGSTRKVISSRDRSGRTHSNLLMVSRSAAKVLTLRVFVDKLDILLDDGMVVSGLYDCCVDVHCDDVSASELLKTDWSYHILCKNVDGDGKIAFSTLLVKLKKKLQLQLSKAEE >Et_7B_055820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5332598:5334073:-1 gene:Et_7B_055820 transcript:Et_7B_055820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRAHWDTAATEKLIELCKEEKRLRNFNRVGPTNLGWTHIHQGLQAAFPNQFDHRQVTNKIGSLKRSYFKWLKLQRQSGLGHNRNTGGVTADDSFWDEHEETDEHDETEPSEQDHETHEPTLPQERGTPPPFLEDLEFLYGRTPQDRGNLVCAGGVHQGSPSSPLPAATPRRGHAAGPSRVPDASPVATTSRGHAAVPSGVPDPSSAGTAAGVPEDVPPGSPVGAAADKSASKRTSVDGSVTSPKKKKSRSSLEESVHVMADTLVQCNIMKAQQHDDDSLRSVLNIMRVDGFMDGSEMFLKAAVLCQHRPTRVQFLDLKDRDARIGFINFRTKEVWRSRESLKIRDFTN >Et_7B_055047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6872558:6875659:1 gene:Et_7B_055047 transcript:Et_7B_055047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSQLETCLPSLYGLSSCVPPPPLAPLPNQNKLLQMPLVQEHVGNHGVMLSSDHHGGLYPLLLPGIPFCPSSAAAGDKPAGFTTLDAVEAETAAAKAAAEIASATTCHGSSSWWKGSAMAAEKGRAMKVRRKMREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHSNCRVKKRVERLSEDCRMVITTYEGRHTHTPCSDDADGSDHTGSCAFTSL >Et_1B_012963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4439311:4441280:1 gene:Et_1B_012963 transcript:Et_1B_012963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETCSTLIEQCLFVDSVEELTHISSRSNGKGLSQSLKTGGKASSFSKGDASNGKAYSAGKGGKASASNAVPTKSNAELKLELDVPKNSRMLMNCEAAELLQEIHEHMAILSEDPKIKIPESFDKAFQYAKEGTHFTTANAVKQALEPLKKCEVNDGEICMIANIGPETIEEVYALVPSLKANKSVNKGPITEALAALANIKRAN >Et_5A_042284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8312145:8313517:1 gene:Et_5A_042284 transcript:Et_5A_042284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARFLIFFLGAALAPLLLSSCADASEVGVNYGMVANDLLDPESAVQLILDNGITTVRIYDTNATVLNALANTGIKVLVMMPNDNIAGAARSRSYALGWVRSNVAAYLPDTDIRGLAVGNEVFEQAKNLTSLLLPAMVNVQAALARLGLDDAVKVTTPVAFDAIMDPSFPPSGARFRDDIAQSVMKPMLQFLQRTGSYLTINPYPFLAIAREPQNIELDFALGNYEPGHRDNNTGFVYNSLLDAMRDATFFAIENLTESQMSESILPTTKLTSLINPCSPSQFFIKLDSTWMTEVGDLIFRQTMASGSNGAQTSTVWTETGWASGGTVRLGKKPAATSSDDGTSECPPATVANAKAYNNYVINRVLSGDTGTPRYPDIDMVVYIFALFNENKKGVDSGDAERYYGLFYPNGTKVYDFDFQGTDAK >Et_2A_017355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33537323:33541329:-1 gene:Et_2A_017355 transcript:Et_2A_017355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRGSAGEISCHLVMSSEADWFLDRRTVNTATVSGTGTSRSFQDSTTPKLSPPPPLMAQNRSSPMVVLFRSLPLASTRRASRTLSDARPYLRISVPNPPPLRCPPMPTVGHTPAGNASRGGDADVAERGEVEHGEALAPVGGGVGEALVVVAPAAHADTDAVAAAAAHGRLRVGRLGGRDDCERPLGPRHGETEVTDGGEQDRGVGWGRRGLDERRGDIGGETAEELIGGAGSRGDGDAAGEDDAKGEEEYDSWPIPKRWKRRDALLVPEKIVGINLCLHRSKPLKVRLEVLLSPHSGFLIASDAVFVTLGVHAEVEVPVVRVRSPGIVAHVRLHERVQVPDPGHMPLRRFRPFVKPEPVVLEVVELVPVRERRGRRRDAGHPAAVGVQDEQPGAVLEEPAPRALPHVARDGLAHVVRLGLEEPLRLGAPVQEQLRRLPRAVEREVEDRLDPRHLDAVLRRNAELREALGQCCEEAAAFAEVQGLELRVLVLQDDAEEDVAGQRRRHSLPLGAESGGGLVPGAVDGEHGDGGRHADEAQLPGQDDAEAPAAAAADGPEVVLPHGCPVEEPPLGVHNDGVDDMVRGEAVLPQHRPEAAAAEVAADADRRAEAAGEPEARAPVGDGVVQLAERRAGAHPRRLALHVDADGAECGQVDDGEGA >Et_1A_008797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13348001:13348233:-1 gene:Et_1A_008797 transcript:Et_1A_008797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGHGERVYQKHGATGYSIVANLALLRGFMRKEEGCWRSQCLLDNTIEKREPSEVTSCEQ >Et_3A_026723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17154197:17154658:-1 gene:Et_3A_026723 transcript:Et_3A_026723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACNDGKKGQERPAAWGAGDGGGHLVQDAVDYRGCPADKSSTGGWVAAALALGIELCERLSTMGIAVNLVTYLTGTMHLPSAAAANVVTDFMGTSFLLCLLGGFLADSFLGRYLTIAIFALVQAIVRPSPLLYC >Et_10B_004399.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:6139684:6141723:-1 gene:Et_10B_004399 transcript:Et_10B_004399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSGAGGGNDGAGGGGDGTAGGGGELTGAGGGGDLTGGGGELTGAGGGGDFTRGGGELTGAGGGGDFTGGGGELITGAGGGGDFTGGGGELTGAGGGGDLTGGGGELTGGGGGGELSGAGGGGDFTGGGGELTGTGGGGDFKGGGGELTGTGGGGDFTGGGGELTGAGGGGDFTGGGGELIGAGGGGELTGGGGELTGTGGGGDFTGGGGELTGAGGGGGELTGAGGGGDFSGGGGELTGAGGGGGFTCGGGELTGAGGGGDFTGGGGELTGAGGGDELLVGTGTGGCGCGDGDGGLASVGLLLGDSASGSFLAGGGVSGFGVGFGFGAGAGSFGGSGDDSGAGAGSFGGSGEDSGASSGGGGSGVAFLCNGSRGGLGPAEQTLALQSTGRASTGAHSAGDFCPGRSPRRQLPASSSATAAFPDGTHAAGSELKKLSAKLKLASLGNAHASAGTAPASWFRDTSSCSSAAISPRPISGSAPTSRFPLTSTTAAVLSSVSSGGTQPSRRLLRSTSSSSVSAIFPTLLGMQPTNALLASTTTVAGEFPKFSGTRPTKRLLLTKTASRSFSKSPGGSSPSKSLNRRSRYFKTGIRSVTLGNPPTKRLLLTSSSWRSERREKLSGMTPQKRLELTWKKARSVSRPSSAGRYPAMSPPLRSTPATTTVALVASSGAGEQVTPK >Et_1B_013491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:970757:974853:1 gene:Et_1B_013491 transcript:Et_1B_013491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMMFMACCYNDSEMLIDPDTVYPVRPECRDDTPKTRFKPMPGRTLSPRRWKLLLNEEGCLDITGMIKRVQRGGVHPTIKGEVWEFLLGCYDPKSTTEQRNQLRQQRRLEYEKLKTRCREMDTTVGSGRVITMPVITEDGQPIEDPSSNGGARPSSVGSEQETNGVPLPKEVIQWKLTLHQIGLDVNRTDRTLVYYERQENLARLWDILAVYSWVDKDIGYCQGMSDLCSPISILLEHEADAFWCFERLMRRVRGNFKSTSTSIGVRSQLTTLASIMKTVDPKLHEHLENLDGGEYLFAFRMLMVLFRREFSFVDTMYLWEKDEIPLSVFIVASVLEIKNKRLLSEAKGLDDVVKILSDITGSLDAKKACRGALLIHEKYLNTVSPLLIHKIWSLVHHTLKY >Et_8A_057816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7677683:7680923:-1 gene:Et_8A_057816 transcript:Et_8A_057816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAPPPKQEELQPHPVKDQLPSVSYCITSPPPWPEAIILGFQHYIVMLGTSVIIPSALVPQMGGGNEEKARVIQTLLFVAGINTLCQSFFGTRLPAVMGASYTIVTPTISIILAGRYSNETDPHEKFLRTMRGTQGALIIASTIQIILGFSGLWRNVVRLLSPLSAVPLIALTGFGLYELGFPGYLPHAIHVAKPVFDRFSVIFTIAIVWLYAYILTVSGAYKNARTKTQVHCRVDRSGLIGGASWISVPYPFQWGAPTFDAGESFAMMMAAFVALVESTGTFIAVSRYASATMIPPSVLGRGIGWQGIGTLLGAFFGTASGTAVSVENAGLLALTHVGSRRVVQISAGFMIFFSILGKFGAIFASIPLPIFAALYCIFFAYVGACGISFLQFCNLNSFRTKFILGFSLFMGLSVPQYFNEYTSVAGFGPVHTGARWFNDMINVPFSSKPFVAVLVAFLLDNTIHRHDGAVRRDRGYHWWDKFRSFKTDSRSEEFYSLPFNLNKFFPSV >Et_3A_025515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30434225:30436765:1 gene:Et_3A_025515 transcript:Et_3A_025515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEAHQTDLMTLTRHVLNEQSRNPESRGDFTILISHIVLGCKFVASAVNKAGLAKLIGLAGETNGEEQKKLDVLSNEVFIKALVSSGRTCILVSEEDDEATFVDPALRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMVKDKDNVTLNDVLQPGKNMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKIPKKGKIYSVNEGNAKNWDEPTAKFVEKCKYPKDGSPPRSLRYIGSMVADIHRTLLYGGVFLYPADQKSPNGKLRVLYEVFPMSFLMEEAGGQSFTGKERALDLVPTKIHERSPIVLGSYDDVEEIKALYAEQAKSSSA >Et_8A_057135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21490190:21498033:1 gene:Et_8A_057135 transcript:Et_8A_057135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGGPWLKSGNNHCGRETWEFDPNFGSNEEREAVDSAREEFQKNRFRMRHSSDFLARMQLTKENNFSLDLHEAQDETPVDLNQHAVSEILTKALNYFSAIQAHDGHWPGDFPGPLFTTATMIIVLYVTESLNIILSSEHVKEICRYLYNRQNADGGWGLHAEGESSMLSTALNYTALRLLGESVDDGPDMSIPKARKWIHDHGGATMIPILGKVWLSVLGVFEWSGVNPIPPEFFLLPSLVPIQPGRLWSHFRMAFIPMCYLYGQKFVGPITRLVVSLREELHMHPYKKIDWKQARKLCAKEDVYNPHTWLQECLSDCLYNFGEPFLTCWPISYMRNKALRQIAEFLKYEDENSEYICIGAAQKALSMLCCWTENPNSEAFKRHLARVADFLWVGEDGMKVRVCAGQLWDVAFAVRAILACDIAKEYGSTLKKAHEFIKASQIMDNPSGNFSRKYRHVSKGGWAFQVADQGWQVSDCTAEALKALLMLSKFPTDIAGDQMETCRFHDAVNNPNGGYGTWELARTYPWMEIFNMTEIYADIMVEHQYVECTSSVIQALVLFREKYPGHRKDEIDQCIRRATEFIEKLQNDDGSWFGSWGICFTYGTWFAIEGLSAVGQNYSNSTSIRKACKFLLSKQLANGGWGESHLSSRTKAYTNLDGKKSHIVNTAWAMLALMKAGQVERDPTPLHKAARLIMSMQLGNGDFPQEEMIGSFLKNGPLCYMAYRNIFPIWALGQYQKLGRISQMSSKKPADEIKQASYEMHPVCLRFSLEHTHLTPHINPLETGASVSLGSASLAWL >Et_6A_047435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5968404:5970060:1 gene:Et_6A_047435 transcript:Et_6A_047435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHMEKQAASHRHNNGGGALEVSVEAGNSGDAAWLDDDGRPRRTGTMWTASAHIITAVIGSGVLSLAWAIAQLGWASGPAVMLLFAGVIYYTSTLLAECYRSGDPENGKRNYTYMDAVRSSLGGAKVALCGAIQYANLVGVAIGYTIAASISMQAIRRAGCFHDKGHGNPCKSSSNPYMILFGVVEIVFSQIPDFDRIWWLSIVAAVMSFTYSIIGLGLGVAQTVANGGFKGSLTGVAVGEGVTATQKVWRSLQAFGNIAFAYSYSIILIEIQDTIKAPPPSEAKVMRRATAVSVATTTLFYMLCGCAGYAAFGDDAPDNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVFCQPLFAFVEKRAPRRWAHNSTFVSKEIKLGPFFVINAFRLAWRTAFVCATTVVAMLLPFFGDVVGLLGAVSFWPLTVYFPIEMYVVQRKVPRWSARWVCLQTLSAACLVVSVVAAAGSIADVIGSLKVYRPFSG >Et_1B_013435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8356209:8358882:-1 gene:Et_1B_013435 transcript:Et_1B_013435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIRTAAALAPPPPLAREPRGRCVSLVCSRRAPARPLRAWVLPTSRVPGHALGRLRRLGATEAEEAAQTETQEDSETEVTGDSAADDGAAGADESPSVVLTALQSYKEALMNDDEGKVAEIEAFLLSIEDEKTSLVNKITVLDGELATQRERILRISADFDNFRKRTENEKLNMMTNVQGEVVESLLPVLDNFERAKTQIKVETEGEEKINNSYQSIYKQFIEILNSLGVEDVETVGKPFDPMLHEAIMREESMEYEEGIILQEFRKGFKLGERLLRPAMVKVSAGPGPAKSEDDPTVVEDSVAPQKVEDTEGDGDAE >Et_8B_060066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5671163:5677527:-1 gene:Et_8B_060066 transcript:Et_8B_060066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPATAAPSTSRGVRRRSARLIGPQRRSDQAQPPRPPSPEPTSSPPESKESTPPAVGVALRRSGRNHRLRIRGLPSATPPYAPRQPRKASTAAAAAPRKRIEAWAEEWGREKAASGAPKEECDLPFLRKGAPRKVECLICSKSIQPDERTECSANHCEVTLHKACSVKHDGCCPRHICFYCKRRISRRPVKHTMPACTECSLKQNRMIDSEDPSRAMICWSLWPSTSEDAGPAKGIEEAFRRLPLPYTDQEFSIDPNNKKDLENETEPPPYVHLKSASISCSQACHCSVKCSNKPFRREKKVKIVKTPYCGWGVITLESIEKDDFVIEFVGEVIDDVTCEERLADMKQRRDQNFYMCKVSKDFVIDATFRGNACRFLNHSCQPNCQLEKWQVNGKTRLGVFASEAIEAGMPLTYDYRYIRITLSKARDLVLLTDQR >Et_8A_057879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8689758:8691021:-1 gene:Et_8A_057879 transcript:Et_8A_057879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAISRGLNGIGLALVVPAISSLVADYTDDHTRGAAFGWLQMTCNLGSILGGSFGVLLAPVTFLGVAGWRLAFHAVAVVSVALGALMWLFAADPRAKSKTEASATDEAKELLADAKRVLSVPTFQIIVAQGIAGSIPWSALNFAAMWLELVGFTHWETSVITGLYLFATALGALFGGLVGDPVSRRFPNAGRIVLAQISSASAIPLGAILLLALPNDPSTGVAHAVAFFIMGFAISWNASSTNNPIFAEIVPEKARTTVYALDKCFEAVFASFAPPIVGVLAERVFGYKPVSSETSVDTDRENAAALAKAVYAEIAVPMAICCLTYTLLYCTYPRDRERARRDLLMASDGQLPEETRDISAQEDEESSAGSLNQRLISTD >Et_8B_059047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12966428:12967604:1 gene:Et_8B_059047 transcript:Et_8B_059047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWSHLASLAVALALLQVASSEHWLNDDFMTDGNVRADYDASGKQVAMVSLNQQSGGGFNSKQKYLFGEFSIRMKLIPGNSAGTVSCFYLSSGDDDYRDEIDMEFMGNATGQPVVLNTNVWANGDGKKEHQFDLWFDPAADYHTYTIIWNPDNIIFKVDDNVIRCFKHYTDLAYPNAKPMSVHATLWDGSYWATEKGKIPIDWSSAPFTVSYQDYSVDACVSGGSCPAGSDKWMSRQPSKEEWGTISWAEKNYLRYDYCTDGWRFPQGFPGECSRN >Et_9A_062958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7499480:7506958:1 gene:Et_9A_062958 transcript:Et_9A_062958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKQQPTQAAAAATTGVWKTIKPFVNGGASGMLATCVIQPIDMVKGLSAGLLRQATYTTARLGSFRVLTNKAVEKNEGKPLPLIQKAFIGLTAGAIGACVGSPADLALIRMQADSTLPAAQRRNYKNAFHALYRITADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVELFRDKLGAGEISTVIGASAVSGFFASACSLPFDYVKTQIQKMQPDANGKYPYTGSLDCAVKTFKAGGPFKFYTGFPVYCVRIAPHVMDHGAIVEKSVGQVAEAGRVLGHAGAALKERGGKLEAEAARLEAHLHQPRPQAATGFWRTVKPFVNGGASGMLATCLIQPIDMVKVLHFRLFLARRLPDSMCVRAILQGRGIHEHLAVPAIFSGKIGQSLEIDSVRIQLGEGSAAQVTKNMLANDGLGSFYKGLSAGLLRQAIYTTARLGSFRVLTNKVIEKNEGKPLPLIQKAFIGLTAGAIGACVGSPADLALIRMQADSTLPVAQRRNYKNAFHALDRITADEGVLALWKGAGPTLGRAMTLNLGMLASYDQSVQVFRDKFGAGEVSTIIGKARSPYNLLRFSLLLYE >Et_1A_007027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30185479:30187437:1 gene:Et_1A_007027 transcript:Et_1A_007027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCIASPAAAGAALCRPRRPRCCRVACSAANPGGNDSGSAEPGWLLAGRKNAGRLACGVLAAWTIASASNPVIAAGQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRFCDYTNEKTNLKGKSLAAALMSDAKFDGADMSEVVMSKAYAVGASFKGTDFTNAVIDRVNFEKADLTGAIFKNTVLSGATFEDAKMDDVVFEDTIIGYIDLQKLCRNTSISADSRLELGCR >Et_5B_044726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5130963:5134310:1 gene:Et_5B_044726 transcript:Et_5B_044726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEGEAKERVEAVTVAGEGEGGGKVEAAVVAGEGRIKTSAVTGEGRIEAAAVAGAQRLGIVVEDGFNALHNGVIKLKRILIHGNHDVSFSRDDYTALSMIVYRFSTQDAPNNYCHDLYELYCHSCKDLTYTVSLSIKDKCGEFLLKELLLRYFIPERLLTPLKEVGKAYFWEMIYQEVFEDVIAEVTSMVHKDREGQDIDRFLLKDVLAMFLETGRWNWSNLPSCEKIFEQYLIDDSVRYYTIKTQQWSSESSYAEYMLKAEECARKEKERCRIYLQICNEHYLLKKFWTAVLIPILANDYSGFRAAMHEGKVEDLSSIYRIFCRANSEMKLLSDVFIEVVSEEFKQLMMAAEDSAPEKKLFINFFKEFIGKVSHDQSFPVSLARYCHHTLKKRNCEKLSDKEFSDTCELVQRMVSGITDRDIFPSFMRKELLLISKLSHPYYRMSFLCFMHGLYLQQTLIRENAC >Et_4A_034883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7584757:7589075:1 gene:Et_4A_034883 transcript:Et_4A_034883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPKLLFLLHVTLVHLIVVATNETVFTFNGFSGANLSLDGMAAVTQSGLLMLTNGSTALKGHAFYPTPLRFHGGANQHAVTSFSTAFVFGIIGQYADVRSQGMAFVVSPSKDFSTALPGHFLGLVNASDNGDASNHLLAVEFDTVLNAEFRDIDDNHVAVDVNSLTSVRAASAGYYYDDGAGAFRNLSLISRKAMQVWVEYDGHAMELSVTMAPLETPKLKRPLLSTVVNLSAVVTDTAYVGFSSATGIIFSHHYVLGWSFKMNGTAPALNVSALPTLPRTSVDKAGRPKVLEIVLPIASVLFVLAVTAATVVIARRRAIFLGDWIQVASLCDLFRATEGFKDKNLLGRGGFGRVYMGVLPRSKTKVAVKRISHESRQGMKEFVAEVVSLGRLRHRNVVQLLGYCRRKGELLLVYDYMPNGSLDKHLHDHENPSLDWGQRFKIIKGVASGLLYLHEDWEKVVVHRDIKASNVLLDAEMNGRLGDFGLARLYDHGTDPNTTHVVGTMGYLAPELGHRSKATPCTDVFAFGAFLLEVACGRRPVDENAGGCPAVLVDWVLDRWRNGSVMEVVDPRLGDGYVGEEVELVLKLGLLCSHPLASARPSMRQVVQCLDGDTVLPKHQPMCMNLDMANVMKDQGLEPDAVPCESSSASSIAMVNVMSISFLLFLVLHKIQLMASSASDEQFAFEGFVGANLTLDGAAAITPSGLLALTNDKHTKGHAFSPAPVHLHARMNNTAVASFTATFVFGIISEHAQLSDHGLAFVVAPSKNLSAATGAQYLGLLNINDNGKASNRIFAVELDTVLSPEFHDIDSNHVGVDVNNLQSMESHTAGYYEEGTGKFLNLTLMSRKAMQVWVDYDGQAMELNVTLAPLDVMKPKKPLLSSTLNLSEVITGASYVGFSATTGLSIAYHYVLGWSFSLNGSAPVLNSAKLPALPRVPDQKSSRAETFLIVLPLATAVFIIALITVVFVFVRRHLRYAELREDWEVEFGPHRFSYKDLFHATEGFASKQLLGIGGFGRVYKGVIPASNSEIAVKRVSHDSKQGVKEFVAEVVSMGRLRHKNLVQLLGYCRRKGELLLVYEYMSNGSLDKHLYDKNKSVLNRDIRFNIIKGIASGLLYLHEDWEQVIVHRDIKASNVLLDNEMNGCLGDFGLAKLYDHGTNPKTTHVVGTMGYLSPELLRTGKASPATDVFAFGMFMLEVTCGRRPLEHDLQDNQVVLLDWVHEHWN >Et_3B_030772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7258813:7260840:1 gene:Et_3B_030772 transcript:Et_3B_030772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAAAALRSSTLPGACRAAAAPYAPSRHAAVVVSSPTRQPVLRVVSGGRGLRPLSLLEDGSRNRAPASVSPAAAAAAVPAGDESGDIAGTVQLGAMIVAWYLLNIYFNIYNKQVLKVMPFPYTITAFQLAFGSLLIFVMWATGLHPAPKLTLAQLGRIAPLAMGHMLGTVFTNMSLGKVAVSFTHTIKASEPFFTVLLSAIFLGKVPSLPVLASLVPIVGGVALASMTEVSFNWIGFWSAMASNLTYQSRNVISKKLLASDEEAMDDINLFSGLNLQELCIKAALAGFCFHGYQKLSYLILSRVSPVTHSVANCVKRVVVIASSVLFFRTPISPVNALGTGAALGGVFLYSRLTRTKPKDA >Et_1A_006981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29883543:29886226:-1 gene:Et_1A_006981 transcript:Et_1A_006981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLALAALLTLRTALATDPYAFFDWDVSYSGSDITCRQVIGINGKFPGPVVNITTNWNVVVNVLNDLDEPLLITWCVPMPLEHTLLLFAELGFNLHQKPPMSCLVLVWNGIQHRKNCWQDGVLGTNCPIPSGWNWTYEFQVKDQIGSFFYFPSAGLQRAGGGYGGIVVNNRDVIAVPFGRPDGDITILTGDWYNKNHTDLRKMLDSGKDLGMPDGVLINGKGPYRYNDSLVPAGIEYETFNVHPGRTYRIRVHNVGTSTSLNFRIQGHNMVLVETEGSYTTQQNYTNLDVHVGQSYSFLVTTDQNASSDYYVVASARMVNESQWRRVTGVAVLRYSNSGGPASGPLPDPPQDQNDRSFSMNQARSVRWNLSAGAARPNPQGSFRYSSINVTQAYLLRSAAPVPVAGRRRATLNGISFARPETPLRLADAYGVKGAYTLDFPERPPPRGATPRLARSVINGTYRGFMELIFQNNDTRMQSYHMDGYAFFVVGMDYGEWTEDSRGTYNKGDGVARSTIQIYPGAWAAVLVSLDNVGIWNVRSENLDSWYLGQEVYVRVVNPEDTSNKTEMAIPDNALYCGQLHKEQTPHHKMGLSSAAMAGRSSSMACRLVAAAMLLLGAKSSRRSEV >Et_9A_062434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22952230:22958835:1 gene:Et_9A_062434 transcript:Et_9A_062434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMQSWRKAYGAIKDTTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKIVAATSIARPRADVAYCIHALARRLAKTRNWIVAMKTLVVIHRLLREGDPTFREELLNFTQRGRILQLSNFKDDSSPIAWDCSAWVRTYGLFLEERLECFRVLKYDVEAERLSKQGQGPDKGHSRTRELDSQDLLDQLPALQQLLYRLVGCRPEGAANNNYLVQYALALVLKESFKIYCVINDGIINLVDKFFEMPRHEALKALDIYRRAGQQAGNLSDFYENCRGLELARNFQFPTLREPPQTFLATMEEYVREAPRMVPVREPLEFSERLLLTYKPEESEEIPEPAPVEEEKAPAEEPIPESPVTEAVTAPPKTEVADTGDLLGLNDPIPSVSAIEESNALALAIVPTDASASTTGSTSLQDKGFDPTGWELALVTTPSSTTPAADTQLGGGFDKLILDSLYDEGAYRQRQQQQLYGSAAPNPFMTNDPFAMSNQVAPPPSVQMAAMSQQHPQIPTMMQPNPFGPPMQPQPLGMGSAPNPFLDTGFGAFPVANNGHQQQNPFGGAQLLVGWNLQQARLKKQSDGSKTEKLGVN >Et_3A_026134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4685297:4688467:-1 gene:Et_3A_026134 transcript:Et_3A_026134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDSGSSGEASPVTSPVAAERPSEAAALRALVDRVRAGEVDAAREVRRLTRASARHRRKLAAAVEPLVAMLRAAAPEAGEAALLALLNLAVRDERNKVKIVDSGALEPLLGYLQSSDPNLQEYATAAFLTLSASATNKPIISASGAIPLLIKVLKEGNPQAKNDAVMALYNLSTITDNLQTILSVQPIPPLIGLLKGGKRSSKTADKCCALLESLLAFEQGRVALTSEEGGVLTIVEVLEEGSLQGREHAVGALLTMCESDRSKYRDLILNEGAIPGLLELTVHGTPKSRVKAHVLLDLLRNSPYSRSRLQADTLENIVSNIASQIDGEDRGGKAKKMLAEMVKVSMEQSLRHLQRRASFA >Et_4A_033252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20489177:20494559:-1 gene:Et_4A_033252 transcript:Et_4A_033252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDEILRISNDTWNLMLVGEGMDVTVITGNRSVDQYKMPETATLGVDGAGFMARDLTIRNTAGPDKNQSIALRSTSSRSVLFRCALEGYQDTLYAQHDFQFYSHCKISGTNVLTAQGRDTASGTSGFVFQFCNVTEPWKNYSRTVFMECSMDSVVHPMGYLPWKGTDGIATLYYAEYHNTGPGSDTTGRVKWPGFHVIGAAEASKFTVASFISGGTWLPSRGVDFTPGL >Et_2A_017557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3594476:3600617:1 gene:Et_2A_017557 transcript:Et_2A_017557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGVKILDAKFGADDGGYDHSNSSSGGSSPASSNSSPSESDGSSSSADESFSQELREFGRRRASDHSSPSTSVRGPFDRNSLRSVSEESACVRLDIDRHQQRMLLLLPAFSSSSSSPGARADALSRWLAGFDVGWVLDMDASGRQSLPRREVGRRVRAWAQALSTMERVFRLRHLQLTDSQVASLGKLAEASAGAMLKLACAVAALGSSPSKLLAALDAFPVLARMFSWGPSHPVSAAVETTLAGLVDAARRCGHDLRTLIGTQYYPWRMPQGGEVHPCAGFWMGYFRCMLRNSVTLFFVLGNDDGDGGISLAAELISCLEAVLEAKSAALAFPGLSQVFMLNNTFAIMRRALRSDLKLFLPPEWTRVREERMEGYIKGYVEASWAPVVSRLDSKPAGTLRRRNRLSSFYSALENACSAQRYWKVPNPVLRGILRKTVSENVVPAYRRYLKDHPEIEVANDRTAEEPVAVDIHIHRGLGGSSPASYHSGSSKSEGSISSADEFSQELREFLRNSYSPFRSCVPAEFGYQHTHPVPESTVYVLEDIRRHQQLMLTFLPAFSPPATAAARADALFRWLAGFGVGWVLDMSGGDGMSFPQRREVGRRVREWTQALSTMERVFRLRHRFTDAQEVSMRELATASSGAMLNMADAVATLRSSPWKLLAALDVYVPVSEAFPALAMKFSWGPSHSVSVTAEAKLAALVDAARSCGQDLKTFIRSDHPWRMPKVGEVHPCVGYWMDYFCCMLRSRIYLHVVLGNDDGDGDGQGGLSLVPELISCLESLVEEKSATVAFPGLRHLFMLNNTFAIVRRAVRSDIKMFLPPEWVSVHEERMEGYIKGYLEASWAPVLSRLDGSGTKLITSIFRRRNRLRSFYSALENACSAQRYWKVPNPVLRGILRKTVSENVVPAYCRYLKDHPEIEVANEHTAEALEQQLSDLFEG >Et_1B_012269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30289100:30292055:1 gene:Et_1B_012269 transcript:Et_1B_012269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LVRMGIYLSTPKTEKLSENGENDRLKFGLSSMQGWRASMEDAHSALLDLDSETAFFGVFDGHGGKVVAKFCAKYLHSQVLKTEAYSAGDLGSAVHRAFFRMDEMMRGQRGWRELSALGDKMNKFSGMIEGLIWSPRGNGNQQDDWALEEGPHSDFAGPTCGCTACVALVRDNQLVVANAGDSRCVISRGGQAYNLSRDHKPELTAERERILKAGGFIHMGRINGSLNLTRAIGDVEFKQNKYLPPEKQIVTANPDINVVELCDDDDFLVVACDGIWDCMSSQQLVDFIHEHINKEKSLSAVCEKVLDRCLAPSTITGEGCDNMTMILVQFKKPVNRNQKAEMTERSSSSTNETEIPITEENGS >Et_1A_007957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39729107:39732419:-1 gene:Et_1A_007957 transcript:Et_1A_007957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKLWVRKNRELVRSLESLANGLTWILPERFANSEITPEAVYALLGIVSSVNQHIIDAPAEGHALASKEQSIPWGLVVSILKDVEAVVEVAAQHFVGDDRKWSFLAVTEAVKAGVRLSAFRESGYKMLLQGGEVANEEEVSILEENFGVNGNGVPPIYPTNGHSQNGQKVPLNGLDGKDGFVSKSLEGRAVAALNKFGQNAKMVSDPMWVRRLQPAPVPQALLVEKPKPSLASVWSAKNVSGRLFILGEVVHIFRPLVYVLLIRKFGIKSWTPWLVSLAVEVTSLGIHSRATDINHKGGKLKRRKMMWALYVMRDPFFASYTKRHLQKAEKVLNPVPIIGFLTGKLLELLEGVQTRYTYTSGS >Et_9B_064502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15919019:15920349:-1 gene:Et_9B_064502 transcript:Et_9B_064502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPPGFRFYPTEEELICFYLRSKLDGRRSDIDRVIPVADLCAHDPWELPGTSKVHRGEFAGGEEPWFYFCRRQEREARGGRPSRITPSGYWKAAGTPGWVYAADGRPIGSKKTMVFYRGRAPAGTKTEWKMNEYRALEDADDVAAPPPSCHVLQTRSEFSLCRLYIKSGCPRQFDRRPSAAAAAAAGGGGENPPPSALANVEETGKKRKRSTQHNDTSSSDGDGGRGHYSRRQLDEGTSEGLVDGMDDWTEFLDWPLNV >Et_3A_025523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30440077:30440575:-1 gene:Et_3A_025523 transcript:Et_3A_025523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTKEDVEAAITSALSPSHLVVTDTSGGCGASYEIEVVSEKFEGKRLLERHRMVNTALAPHMEQIHAVSIKKALTPAQAQPQPEPAADKPQA >Et_4A_035080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9254613:9256440:-1 gene:Et_4A_035080 transcript:Et_4A_035080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKTNVKKGLWTPEEDAKLLAFTSTHGTGNWTTVPQRAGLKRCGKSCRLRYTNYLRPNLKHENFTQEEEELIVTLHAMLGSRWSLIANQLPGRTDNDVKNYWNTKLSKKLRQRGIDPITHRPIADLMHSIGALAIRPPQHPNTSAYLPAPPPLLHDAAAYHHAPDVLQQQQQVVIDADAPASPDYLDQKPPPPQQLLEWSDFLADDAAAAAEAQQVLGGQQQQYQQHEAVKAEAAGGPGWQARSSAAAAGGGGRACGDNNGGGVGGDDGAAAFIDAILDCDKETGVDQLIAELLADPAYYAGSSSSSPEMDWGY >Et_4A_032514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1156177:1159755:1 gene:Et_4A_032514 transcript:Et_4A_032514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDDDDDEGGARLLHGSLDIWIHEARNLPNKDILSKTMNDLLGKKSPADGGAIMTSDPYVTVQVASSVVARTFVIPDNENPVWEQHFLVPVAHEVSAVTFLVKDSDLFGAELIGAVSIRTEELQSLDRIEGVYPVLEPNGKQCAPGAVLRLSVQYVPVARLTMYHHGVTPGPDFAGVPNTYFPLRRGGEVTLYQDAHVPDGCLPEISLGNGACYRHGQCWRDVYDAISQARKLVYITGWSVFHTIHLVRDGGGGKALGDLLKSKSQEGVRVLLLVWDDPTSRNVFGMKMEGYMGTRDEETRRFFKHSSVHVLLCPRSAGKRHSWVKQQETGTIFTHHQKTVIVDADARNHRRKIVLDANGPREPWHDLHSKIDGPAAYDVLKNFEERWLKAAKRTGTKKLSKSQNDTLLWIEKIPDIVAIDDEIYSNNNDPERWDVQIFRSIDSNSVKGFPKDPREATSENLVCGKNVLIDMSVHTAYVNAIRAAQHFIYIENQYFLGSSFKWDSHKDVGANNLIPIEIALKIANKIYANERFSAYIVVPMWPEGNPTGAPTQRILYWQKKTMQMMYEIIYKALKEVGLDDKYEPQDYLNFFCLGNREAEETPSASSESSSASNHQDNARKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGTRDTEIAMGSYQPQHTWANTLSAPRGQIFGYRMSLWAEHIGAVQESFARPESLECMRQMRRIGEQNWEKFYSDEVTEMRGHLLKYPVSVDHMGKVKPLPDCVAFPDLGGNICGSFLHIQENLTI >Et_8A_056364.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4433972:4434574:1 gene:Et_8A_056364 transcript:Et_8A_056364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESGRHGQAEAEQQQLPPRPLQPAGGAVANQEAAGTSGRSSGNGGAGDWLRLGLAPVSSPDAASQQLDLVFTDHAPLAAPAPPPSRVSPLFVSSRAIPVILAEAEVRSATKPAANPSHCCCACLLRAGRRGRSCALLCLNTRDERRRAPHRHRRQVAHRLLPVWVLLRVCRRLPQQHGGRQVGRAPHGDSGSPLLRCE >Et_3A_023273.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:16542440:16542601:1 gene:Et_3A_023273 transcript:Et_3A_023273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVTTLIFLSAGFVASLFTLLCCNRGPSTNLYARFSLLFPLSRTRFDPAGS >Et_4A_033900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27788626:27790168:-1 gene:Et_4A_033900 transcript:Et_4A_033900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTALRPMAPAAPSLAHSITGVSTSRSAPAGRRAAAVGVRAVRNYDSIPKREPFSSSRSILDEFLRQEKPLVQRTKDQITGTFLRCTVFNYCTTIEGDECCSCWDAYFELNELEKDLPKEEIARMVKDSEGDVRYLIRSIHHRSNLRKKMAEKSQNSLSSNSLGQATKPRPFPIPDGLPKTQEELDEEAEALMPDSPYTRLLRRMGRYPDWYTPRPDHETD >Et_3A_025221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2855815:2859476:1 gene:Et_3A_025221 transcript:Et_3A_025221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRYFIDKGYLVFDPSLSLHYEVFLIPHACTTTSWYVETDPAIEELEWSPSPCILHVFSSRTKRWEERSFAREGEAAGTVARMRSDWADGPRNAVYWRGTLYIHCQTNFVMRISLSDNKYEVIKPPANIKLVEYPNFYLGRSEKGVYCVLDDLLSVYILNESNGAMEWVLKCDIILRHRQIDRPGPWTLQDSINYHESHSESEDGNNEVKFEWDSDNDNVIDTDGRSNNHYVNYGYFTFLGFHPYKEVAFLSDTLKRGLTYHLNSSKVEDLDNICPAYYGRDISISAIDKIIFSVHTLDGRASRGPIDFKDYHMMCFEVVASTRSCQQGFQVRHSARGFPTCPMNITAPVLSSTMANKKGRSATKSTGGRGARAHCCMHTAVAAAATVPSSSTSTVPLWYTPLMKSPFPPATMREKSPPSKALTTPSFFMSLDASNSNVNLNFGTMNRPTGTSSTGVCLLRNPGVEIILS >Et_10B_004069.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:1007510:1007851:1 gene:Et_10B_004069 transcript:Et_10B_004069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPHKDVAPKEDRKAPEEAGNVSKRTPEEEKEKERGAARGPPDEAVIDGTPSKKKKKKKKKKQAEELPTMGGVSTTDMAAPDPARQLLVNTVAAAAVLVGILVAVWNTLRS >Et_7B_055980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8600976:8601611:1 gene:Et_7B_055980 transcript:Et_7B_055980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAELETIGGGGGDGSAAAPVVVVERVVTVEYLEPSMSRGLLGKFPDSSAFDFDYSQSGIWSPLNKHPRAAPDADDVAASSTDFLVANPKRKARASGCRLKESFGCGGKSRWRRRRLRRDGSFLDLHEAGRTKLDFAPPSPSPAKEGWRRVLKAAITKFKARQRRCRKAPLLQMILPMM >Et_2B_021555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30484018:30490449:-1 gene:Et_2B_021555 transcript:Et_2B_021555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPGTRSTLLTTYISMVMRRGKARQQGRRRMLLRVVASSLFPRAAIPSTLSRRRALLLALVSSSSSSVPSHSSPMAAAPPVARKLPRELVEHGDVRVDNYYWLRDDSRSDPHVLDHLRAENAYTSAVMSDVKELEDEIYAEIRGRIKEDDIDAPLRKGQYYYYERTLTGKEYVQHCRRLVPIDGPITVHDVMPTGPDAPPEHIILDENIKAEGHDYYSIGAFKVSPNNKLVAYAEDTKGMATQVRDFQLFDNHIAVYERENGLPKVTIYRLPSVEKAIGQLQGGRAIDFVDPTYAVDPEESQFHSSVLRFHYSSMRTPPSVFDYDMDSGVSVLKKINTVLGGFDASNYVTERKWAAAADGTQVPMSILYRKDLVKLDGSDPMLLYGYGSYEICIDPSFRGSRFSLVDRGFIYVIAHIRGGGEMGRKWYEDGKLLKKKNTFTDFIACAEHLIENKYCSKEKLCINGRSAGGLLMGAVLNMRPDLFRAAVAGVPFVDVLTTMLDPTIPLTTAEWEEWGDPRKEEYYYYMKSYSPVDNVTAQEYPNILVTAGLNDPRVMYSEPAKYVARLRELKTDDNLLLFKCELGAGHFSKSGRFEKLQEDAFTYAFILKALGMIPNKNYKSSI >Et_1A_006381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21633531:21633801:-1 gene:Et_1A_006381 transcript:Et_1A_006381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSEKERSPGRFDRNNTEITETGPEQLQNNPSAAKLKFLRVFAREPSNYMKDIATSEKERSLGRFFRNNTEITETGPEQLYNNLV >Et_1B_012542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3366314:3369386:1 gene:Et_1B_012542 transcript:Et_1B_012542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESEVGVNHTKQMEEKDKAAGESGDEGKMERSQSINLNRVPAVAKEASRTQKNDETQSAVVSEANDSSTYKAKECSGADQMKSPKLERVDYEGENEGHTENPAKEASLVTVVGNEGRADYGDEDGRAQVLSIVKKDEPADEDGAAINPVTIAGFREDNAVVAASAGVTNVRPAGSRSSSFHGVTRHRWSGKYEAHLWDSSCRVEGRRRKGKQGSYDAEEKAARAYDVAALKYWGPNTRLNFPVSQYEKELQDIKDLSPEECVTYLRRRSSCFSRGASIYRGVTRRQKDGRWQARIGLVAGTRDIYLGTFKTEEEAAEAYDIAAIEIRGKNAVTNFDRSNYMDRGMHCIEGEGLRLLASKPE >Et_3B_030740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6925653:6930205:-1 gene:Et_3B_030740 transcript:Et_3B_030740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPQYDLVGNPLGAVRATFERAAATAAAAESGGSDPVAAFRGKDWGASDLFRSFLFEEGGLDKVPVLDASNIGLIKPNTLVRYRGMVQDMLGTEFYIGAFKDGSTWRTNKFTDFSPFSMPHPCESHLWERHLFHCVPAPGQNAWTLETFKGPDMCMASCLATEQREKRKRDRDDDVMDKEDDVHASSSSAEMPANAPEMNGGDHHIPGSSFSCLVKVYDMLEGQVKLNDVVEFIGAYTFDPELAAPSDNPDDIMLDLIEDVTVQLPPSKVPRLHCLVWRKLSSHDFISRPPVVEPSPSLLKGIRHSLLSHLTLVLGNDEVAAQCLLLHLLSRLRNKVDVVTVGRLSLNLTGFNRESSSIFGNQLHKLIQRLVPYSQAIPLSIEYLNTATLQPRKDNKSGRLITGVLQLPKGAHLIFDETTLQTGSLNTKGVENTVLLKNLMESQTVEYDFEYYKLEMATDVQLLTLSEGKSNILPSDLIVPFRPSSIPAVNAGSEELESWRWYLATVRSLPQSTEPETYQMIQDEMVSAMRHDRSLGCSELSRWLTMAQIMASSFGEKSLSMEHWQMVKELERLRKERQKSRILIHTIFMRRQKEERFR >Et_7A_052533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:959341:965305:-1 gene:Et_7A_052533 transcript:Et_7A_052533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVMVVARNFMDMVAALPREKLLTLYDSVFICEAVLRSLPPLAKKYVLQMLYVSAPVAASAMEEWVLDEYATKHKVAIDRLLQLRVFDEERIGMNKKFQGNMQKYLVEGGSLPSELLQPNVTGRLPTLAELEDYALEQWEYFLLQLINSSQVEKVTSINPAMMRTFQRGLLNSREGEVQKLTENGFQFLLMETNAQLWYIMREYISSAEERGVDPSELISFLLELSFRTQGAAYSLTSLTPVQKSAIKDLASLGLVKLQQGRKDSWFIPTKLATNLSASLSDTSSSKEGFVVVETNFRMYAYSTSKLHCEILRLFSRVEYQLPNLIAGAITKESIYGAFENGITAEQIISFLQQNAHPRVADKIPTVPENVSDQIRLWETDRNRVEMVPSHLYEDFPSRELFEQCCDHARDNGYLLWEDPKKMRLIVRGEFRPEMREFLRRQR >Et_2A_014963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23686633:23687442:1 gene:Et_2A_014963 transcript:Et_2A_014963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALGGRRRAAKVMTVDGATFRYKLPATAGAALRGHAGHQLLESDEVRRLGVRARPLDRDAPLKPGKLYFLVQIPRGGKAPRKTWSGALHVGARERLESLMLSRRTVSDVSALTPSSAAARDASDLTPSSVEVGMDGAVRLRMRLPKAEVARLMKESRDAAEAAEKIMQLCVARDQAAPAPARQPGGGAALMPPSAMSGGKTALMKKEVSICTPSPVTSLFCQFNPTVTLHRFLQFSNYYWQ >Et_8A_058064.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1772060:1773658:-1 gene:Et_8A_058064 transcript:Et_8A_058064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNNDPPAATGEAPAEETSTIVGNVTGDYESRSTGVSSAHAEKPKDDDDADGELMSSSIQRRLDESCTVEVEDGEDAGNDGEADEMAARMQRRLSALPGKAHESEPFTIFRVAGPMRERNRHLYEPQMVSIGPFHRGSAASNRLAAMEAHKWRYLRDLLARNPDAPLSSYARAARAMEPAARRRYAEPAESLDSREFAEMLLLDGCFLVEFFLKGEEGADDALVDASWAMQNVYNDLFLLENQLPFFVVERFYTLATGGLGGGRDFLVTNLLVKYLTVDMGTGKDAGARRAPDGEIHHLLHLYYHWFLPPDDESSIGGGSSSSKDEEEAAFKELMSKPVDERMPWLLPSASELKDAGVTIRAKKSPSSLVDVTFTPRSGVLEIPAAESYTNHAIFANLLAYEQSRGRWELQRLVSYALLMASVVESPRDVELLQRAGVFVSAHGGGDETAAFYSGLGELCPPPEFVNNCYADLARDVRAYCGRSWNRHRAVLVHDYFSNPWTSMSAAAAVLLLVLTVVQTVYTVLPYYHPN >Et_5A_041946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4570002:4573930:-1 gene:Et_5A_041946 transcript:Et_5A_041946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGHRWHFADQHMLMRTQYTSTATSVCDMCRSKLAGLVGYRCNTCNFDVHEACADYFKQTISFFAHPSHALELSRIPTGRVGWSWHCDVCLEDCPAGSLVYRCAQCGFDAHPLCTMLPEVVRSHLHREHELRMVPGTGTCSACRDALPVWHYRCGLCAFKLHVACVAGAPGAGNQGVVARPSRGNRVAKFLLKQSFKIAIDVATGGIASAVIGHKKHFVDPRHLLFKIQYGDASTRTCDICLLGLAGLAGYCCDDCGIRIHEACADHFDNSIDFFADRHALKLTRIPKDRTGHVCDLCREECPPSSFVYRCDECDFDLHPLCSLLPETVESPIHPGHVLCMVTSPSRSCAACHESLPLWHYVCSCDNNFKLHIACAGADDHSGAGGHQGGNGGVDQRGFGPADDNGGYGGVDQRGFNPAGQGGHYGNPVTMQGFSPFYQGFIPTMAGYGPFIPGYGPAHAFPGYGHFIPNIYGGPPIQGHGPPFIPGGYAPAPAGPAKDKPSRCSAIAKFLLKQSFNVAIGVATGGLGSPLVDLLSAALNS >Et_6B_049957.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:250780:251301:-1 gene:Et_6B_049957 transcript:Et_6B_049957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFLSAPAPAPSFSGAAGCVGLGYGIAVAVGVLVLISTVALASYLCILTKAGVAHAAMLLADADAAQPAATGVVPGLDGAAIDALYPKFLQVGRGGHGDVGPCAICLGEFAAGDALRRGPGCGHCFHACCAERWLRVSATCPVCRDSPVPSPAATPLVEAVPLAPRTRILWS >Et_1B_014327.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6058415:6060145:-1 gene:Et_1B_014327 transcript:Et_1B_014327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSGATVPGRDGFRAAEEVLTASLLPKAEVVVPVWDAGEEEEDLPPVLTSKQSSRLARAVKEAWSVSLSVTFPMAPSISAGAAGAEARSIMGLALPMILTGLLLYLRSMTSMLFLGRLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAVGAGHYELLGVTMQRAVLLLVAAAVPIGGLWVHIRPLLLLCGQDAGIAAVAETYILASLPDLLLQAFLHPVRIYLRTQSINLPLTLCAGLAIALHLPINYVLVTVLGLGVRGVALASVLANLNLVLFLFAYILFKGVHKRTGGFFALSAESFRGWGELVGLALPSCVSVCLEWWWYEIMILLCGLLANPQATVASMGILIQTTSLIYIFPSSLSFGVSTRVSNELGANRPDRAGRAATVGLVLGFAFGGVASAFACLVRGAWASMFTADPAIVALTASVLPILGACELGNCPQTAGCGVLRGSARPKDAASINLRSFYLVGTPVALVLAFWYHYDFQGLWMGLLAAQATCMVRMLLVIGRTDWATEAKRAQQLTGAGAVQDEENGGKSNQVTKGAVAASGDKKPDMLVDVLIEHPRDQC >Et_2B_022228.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:14449773:14450090:-1 gene:Et_2B_022228 transcript:Et_2B_022228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATCLVSSSPRLTTPSRGSSGRWRRRHHNMQPRCAAAATGDGRKTTVQSKASGDALEVCRVVNGMWQVSGTSWGRAEPAAAADAMLRYADGGLTTFDMADICM >Et_3A_025219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2831900:2833390:1 gene:Et_3A_025219 transcript:Et_3A_025219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGYVPPQYVPLYGLDTEEDRVPPVEENHAERHKLSRDPTQWSSGICACFDDPQSCCIGAACPCFLFGKNAQFLGSGTLAGSCTTHCMLWGLLTSLCCVFTGGLVLAVPGSAVACYACGYRSALRSKYNLPEAPCGDLTTHLFCHLCAICQEYREIRERTGSSTSSAPNVTPPQMQTMDEP >Et_1B_011343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20835393:20836376:1 gene:Et_1B_011343 transcript:Et_1B_011343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTSCTFLGRGPAVRLPNSSGARIPSVAPAAMASFSSQRRSRALSICCANNPKAKGEHNPKTDVHPFKISPVVLVDLVAPQGERWQLKEEPDKVTLWFEVPGHSKEDLAVEIDEDVLVIKKRTNAPAGENRNNAGGGAPGADPAAKGVVPSGDGIYARLFLPAGYSKESVEAELQSGELRVSIGKIKEQARRKINVNIDVK >Et_10B_003269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18349086:18350521:-1 gene:Et_10B_003269 transcript:Et_10B_003269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADLLRREEEFYSSLFDSANKGDGVKSRSQLIEKKIEALEDMATKVSNRRSRKWLNDRLLIELVPRLHVEEIKGLFAPPPWGEELPMSAFCRTSVGEWDAFRSIDMDTEARLMQHMKQSSEKQKMTRVDEDEMSALNAFSRDLFRCGTTTIDFSMTVPLLKIAKLLL >Et_1B_013469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8845751:8847313:1 gene:Et_1B_013469 transcript:Et_1B_013469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGNVVGDILDPFIKSASLRVIYNNRELTNGSELKPSQVSNAPRIEIAGRDMRALYTLVMVDPDSPSPSNPTKREYLHWLVTDIPELTDVSLGTEVVSYESPKPTAGIHRFVFVLFRQSVRQTIDAPGWRPNFNTRDFSQLYNLGPPVASVFFNCQRENGCGGRRYIR >Et_3B_028691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18004578:18008617:-1 gene:Et_3B_028691 transcript:Et_3B_028691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGRAVAAAAVAAAVMMAVAVLAGGADAGDDCFESCYKNCVANDKSMADYCNYVCGMTCPPNPRPIEPPIFPARMAAGAAVAAAVVMAVALLAGGAGADCFDYCFKNCIGNDKSMADYCNYACGKTCEPGQRPLACQTDCARRNCHGFRKDRQATVDCYHSCFDGCETKTVPRPLRAGAGVDASGGDAVRPASEPDPSRYDAARLASEPDHPFHEKQDAVQPASVPDHP >Et_2A_016919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29429066:29435011:-1 gene:Et_2A_016919 transcript:Et_2A_016919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDGGLSFDFEGGLDSAPAVGGHAPSSVDPGAGGGGGGGEGQGYGRGRGRGSYRQTVCRHWLRGLCMKGEACGFLHQFDKARMPVCRFFRDFGECREPDCAYKHSYDDVKECNMYKMGFCPNGPTCRYKHVKLPGPPPPVEEVLQKILQMRSFNKFGPHRNNIYNQMERPHPPQGSGLPNQNSTDNATASAQPASVQQAQTMNQQTPQQQQKPNSTDQAQGVSNGLSNQATRIAAPLPQGPSRYFIVKSCNRENLEISVQQGIWATQRSNEAKLNEAFESIENVILIFSINRTRNFQGCAKMTSRIGGYIGGGNWKSAHGTAHYGRNFSIQWLKLCELSFQKTHHLRNPYNDNLPVKISRDCQELEPFIGEQLASLLYLEPDSELTAMLTAAEAKREEEKAKGVSADEAADNQDIVLFDDNEEDEEEESEEEDESNGHESQGRGRGRGMMWPPQMPMGRGVVPMMGGRGFPPNMMGDGFGFGGGFGMPDPFGMPRGFPPFGGPRFPGDFPRGPMPGMVFPGRLPQPGGPFPMGLDMMMGPGRGPLMGGMGMGGPGRPNRPMGMAPFMPPPPPNNRGAKREQRKPGGDRNDSLGTDMAEAPFGMMTVRAKRKQLQGGQGSGRAELSFELLPDEKLPVKTELKG >Et_1B_011436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22076273:22079517:-1 gene:Et_1B_011436 transcript:Et_1B_011436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFARFRSREQCMLSRLCVTPTPPVRRNAPVTTTPMAESSGDAPMALAGVPGAACRINELPTDVLHRALSHLDALQVVQTSVLSRRWRDLWRSVPRIEATFDEFEGMADTEAGRDVLFKVFVNRLSMLRYPVALDEFHLVYPLAEYSADLYADSEDANLWIRHALQCNARSGMVFCRGTELHLESVVLLLYFVVLVPGFFKSLQSCTVLERLTLRCCTICDREISSKTLKVLIIDDECYGTYEGHGSISIPSLICLEYFAEGRVPLMNMESLVTASVSVGIDDTLVDIHQLLRSLSGVTDLKFYYNITAVSDLEKNVQWCPKFNNLTTLTLGRRFLHAHLEALIVFLQNSPNLVELTLKLKQVHSSLYTFIDLFYEN >Et_1B_013942.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2534015:2534359:-1 gene:Et_1B_013942 transcript:Et_1B_013942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEEKKGKVKKGWLAVRVGDDGSRRFVIPIAYLYHPLFRRLLEAARDAYGYDYSGGPLRLPCSVDEFLRLRALVDRETQPASGGSASASASSSSHHGHHLVSFSPCTRAKVSS >Et_6A_047675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9474551:9478793:-1 gene:Et_6A_047675 transcript:Et_6A_047675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPPPPEPMAAASDLENLQGLVLDTVIAKAGARPAAALACASTRLRAAVEDDSVWRQFCADDLDLHAPLDPEDRPLPSFKVAHKVWLESFGMYPLPLVKRVKSFWSSFKSWLSENFPEAFITLCKGISEAQIKSAEDDLGFKLPMPTKLLYRFCNAQLPFSADHAANKRISTHGIIGGYAFYNHWVNVHLSPLEQIVEETKEFYREFNEQGVFNGLNLIVVATSWFHPKTFLLNCSSGELYVGTNNLPDGEMIPCVPKSLVRPTDNNMPQDGLLLWLEEHLKRLQTGMIKTRMLTTAKYLSLYPELPPSCTSAVTNGVKVVRASAVFVPEHHQSGGPHRSNLYTYSVRLSLPEACMLDGVYYSSCQLHSRHWTIRSRDSIVSDVHGEGVIGEYPVLSPGKDEFVYESCTPLPHGPGSVEGSFSFVPGMLSRPEGKPFEVTVAPFPLDVPEYIF >Et_4B_037231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16979184:16985390:-1 gene:Et_4B_037231 transcript:Et_4B_037231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEASASGLAEKLAGLSAGGSGGGDEQQPPAGGEEPQLSKNAKKREEKRKKLEEERRLKEEEKKNKAAAAAASGKPQKASAADDDDMDPTQYRENRIKAIDSLKTAGVNPYPHKFQVGISVPDYIEKYKGLKEGEKLADVTECLAGRIMNKRTSSSKLFFYDLYGDGVKVQVMADARTSELEETEFSRYHSGVKRGDIVGICGYPGKSNRGELSVFPRRFVVLSPCLHMMPRQKAEGSAAPAPWTPGMGRNIENYVLRDQETRYRQRYLDLMVNHEVRHIFKTRSKVVSFIRKFLDDREFLEVETPMMNMIAGGAAARPFVTHHNELNMRLFMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMELTESMLSGMVKELTGGYKIQYHANGVTNPPIEIDFTPPFRRIDMIEELEAMAGLKIPDLSKFPDDANKYLIEACAKYDVKCPPPQTTSRLLDKLVGHFLEETCVNPTFIINHPQIMSPLAKWHRSRPGLTERFELFVNKHEVCNAYTELNDPVVQRQRFEEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGSSLVPGYEASRIVVHKAEIHKKVYFHNSGPLVLAGPGFIIATTLHLHLLYNHVGHIQLRSKKVHLWYSFESVEFFEAFGLCSIISCKLNGLHSFLDVEL >Et_9B_065341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:426547:428557:1 gene:Et_9B_065341 transcript:Et_9B_065341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKVFTLEEVAKHNSKDDCWLIIGGKVYDVTKFLEDHPGGDDVLLSSTAKDATDDFEDVGHSTTARAMMDEYYLGDIDSSTIPARTKYVPPKQPHYNQDKTPEFIIKILQFLVPLAILGLAVAVRIYTKSESS >Et_10A_001595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5758080:5758620:-1 gene:Et_10A_001595 transcript:Et_10A_001595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFAFVFHVRLCYLLSIKVGGWKAPFLKKKKSPNGILYQLLHGDATPSFVVRLKIEHEAAVALAYLHNMASPPIIHGDSPKILLDADYTAWPGHLRVPGPGHIRTDRQGRRVQLRRGVLLELLTREEERSLAACFISAIRDCRLDELVDAQIKGEVLAKRCLEI >Et_1B_011243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19286553:19287975:1 gene:Et_1B_011243 transcript:Et_1B_011243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARAGIRLLGLNVSPFAFRARVALNIKGVSYEYIEQDLFNKSELLLSSNPVHRKVPVLIHNGKSICESLVVVQYIDEVWSGTGTPILPTDPYERATSRFWAAYIDDKLYPTFLAVMKAPTEEMKEQKLKETHAVIQHLEEAFSKCPNGKAFFNGDSVGYVDLALGCFLPWFAALRKMSGVEIIDAAKNTHLTAWAERFSETTVVKEVLPKPDKAAEDRVSCEARDLRAERQESHLRRRLSREEGVAEAEKRQELGAECVSHRIWSRTLWRKPIHPTTACLLLER >Et_1A_007177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31643016:31645383:-1 gene:Et_1A_007177 transcript:Et_1A_007177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEEKLRSGAHLHRDPTINPGLGSARCPRCLSLLNTSAGERDWAITSVLHDATAVAGSGAGALLSAVHGFNTGIPYVQKHVKGPKWLQLLVGVPSLLMFSGASAAFGAYALPRFAQLTVTSYYAASSGSHYAVSQITRQIKSAYLSNADEKST >Et_7B_053226.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:13004621:13006616:-1 gene:Et_7B_053226 transcript:Et_7B_053226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVATSIGDALTSQLGALHEREGKLLVGDVVDELEVLLLEVSDDGEPPGRHDREAERAGAGVQHHVVLRGQVEVGAAVEVEHQLGHLHLVHALGRRPEAQVQPDLRPVRRRQRHLRHERLLQRAVPLHLEVRDGRAGVDDDAARAVVLDRERRARERDARGAHGEARQGDVVEGWLARVLHQRRALHRRGGRALGDVGDGAEQERALASGVVQGGKAVGELVEAELGDQRQRAAAEAHQPGALREPVALVRVAAAERDAGERLLGQREGLGGQHALRRRRVAVGVGVPALGRPRRRDARGGGQVAAQGARARHGGVRGRRRRVEHRRALVEAFHARLALRPHQVAPGVHDGGVRQRRRADGQVHQELAVARVDGHLQAPAGRHGLASARRARGTRHEARHAVAAPPRRALQQLQPRVVAVRVHVRQVHAQALEARRRRRRGQGADFVAARRDHHGRKQKQPRAPHPLLPFLCLGTWMCDKAKSLASACLIPSTGRRRQDLYQCDRVYITMIW >Et_3B_029757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27683209:27685357:-1 gene:Et_3B_029757 transcript:Et_3B_029757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPRPPRPRPARAAVVYYLSRNGHLEHPHFMEVALSCADGLYLRDVIDRLDALRGKGMARMYSWASKRSYRNGFVWHDLADDDYIHPVVGREYVLKGTERLHPAVPLPLLDAAAAASSSSSGSHESTDASSSSSARWEQRRPAHRKKSASAAAELGQYMVYKGEDRAADAATQTEDGGRQRRGVVVKAAQQDVLSRAETTSPPTASTSPETLEALIKADGRVVAAVGGSGRARASSVLMQLISCGSVSVKDAHATPVIPRVRPPRAPGSAVAAAEATSYRAKIVEDKEYFSGSIVEMTKRSPDDDDACRDLAVLRRSSSYNADRVIKLELAKEAGDLHDRCIPRMPKTKKDGYLVIACNGQGNNNKG >Et_2B_019539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1014134:1020125:1 gene:Et_2B_019539 transcript:Et_2B_019539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLPWRWAVVLVLVAASAEAEAGGGGGDGDGKVLMAVKAGFGNAANALADWDGGRDHCAWRGVACDSASFAVVGLNLSNLNLGGEISPAIGDLKSLESVDLKGNKLTGQIPDEIGDCVSLKYLDLSFNLLYGDIPFSISKLKQLEELILKNNQLTGPIPSTLSQIPNLKILDLAQNKLTGDIPRLIYWNEVLQYLGLRGNSLTGTLSPDMCQLTGLWYFDVRGNNLTGTIPEGIGNCTSFEILDISYNQISGEIPYNIGYLQVATLSLQGNRLTGKIPEVIGLMQALAVLDLSENELVGPIPPILGNLSYTGKLYLHGNKLTGHIPPELGNMSKLSYLQLNDNELVGTIPSELGKLTELFELNLANNNLEGNIPANISSCAALNKFNVYGNKLNGSIPAGSQKLESLTFLNLSSNNFKGQIPSELGHIINLDTLDISNNNLSGHLPEELGQLQNLDSLILNNNNLVGEIPAQLGNCFSLNTLNLSNNNFSGRVPSAKNFSKFPMDSFMGNPMLHVYCKDSTCGHSHGTKVISRTAVACIILGFIIFLCLMLLAIYKTNRPQPLEKGSDKPVQGPPKLVSLQMDMAIHTYEDIMRLTENLSEKYIIGYGASSTVYKCVLKSGKTIAVKRLYSQYNHSLREFETELDTIGSIRHRNLVSLHGFSLSPHGNLLFYDYMENGSLWDLLHGPSKKVKLDWDTRLKIAVGAAQGLAYLHHDCNPRIVHRDVKSSNILLDENFEAHLSDFGIAKCVPAAKSHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGMKAVDNESNLHQLILSKADDNTVMEAVDSEVSVTCTDMNLVRKAFQLALLCTKRHPSDRPTMHEVARVLLSLLPAPAVKLHSSKTVDYTRFLATTAADMTHDVSDIGDNSSSDEQWFVKFGEVISKHTIS >Et_4B_037162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15656566:15660355:1 gene:Et_4B_037162 transcript:Et_4B_037162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAATYRMTTWCSWHQVRCSSAAPGTPEGARPLPRRRSANYQPSSWDYNALVSLKGRDSRDLELSPRYDKLKDSIKDLMHNITETSCKLKAIDTMQRLGISYYFEQEISGVLNSMSMENADDRHTALKFRLIRENGFPTDQETLSRHNIESRCVKMTQQRDVDGLLSLYEASCLAYADEEILDVARTFSASALKELMPSMLPQLRKRVALDLELPLHWRAPRLETRLSIARNANNISMCPLLLHFAKINFNMVQDVHQKDLSTVTRWWRHTALGDKLTFARDRLMECFHYATGIVWEPNSGACREMLAKVSCLIVHLDDVYDVYGTIWMNLWDANPRDALPEYMQALYSVIYNISNEVADHALKEHGCSMHSLLQKAWHDIYIAFLVEARWHYGNHRPSMQEYLENGWVSSSAPLLLLHAFPMSN >Et_4B_039022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:751268:754898:-1 gene:Et_4B_039022 transcript:Et_4B_039022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDDDEEEGGGARLLHGSLDIWIHDARNLPNKDILSKTMNDLLGKKSPGDGGAIMTSDPYVTVQVASSVVARTFVIPDNENPVWEQHFLVPVAHEVSAVTFLVKDSDLFGAELIGAVAIRAEELQSLDRIEGVYPVLEPKGKQCAPGAVLRLSVQYVPVARLTMYHHGVTPGPDFAGVPNTYFPLRRGGKVTLYQDAHVPDGCLPEISLGNSAGYRHGQCWRDVYDAISQARKLVYITGWSVFHTIHLVRDGGGGKALGDLLKSKSQEGVRVLLLVWDDPTSRNVFGMKMEGYMGTRDEETRRFFKHSSVHVLLSPRSAGKRHSWVKQQETGTIFTHHQKTVIVDADAGNHRRKIVAFVGGLDLCGGRYDTPRHDLFRTLQTLHKEDYYNPNFSVLDANGPREPWHDLHSKIDGPAAYDVLKNFEERWLKAAKRTGTKKLSKSQNDTLLWIEKIPDIVPIDDEIYSNNNDPERWDVQIFRSIDSNSVKGFPKDPREATSKNLVCGKNVLIDMSVHTAYVNAIRAAQHFIYIENQYFLGSSFKWDSHKDVGANNLIPIEIALKIANKIYANERFSAYIVVPMWPEGNPTGAPTQRILYWQKKTMQMMYEIIYKALKEVGLDDKYEPQDYLNFFCLGNREADETPSASSESSSASSHQDNARKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGTRDTEIAMGSYQPQHTWANTLSAPRGQIFGYRMSLWAEHIGAVQESFTRPESLECMRQMRRIGEQNWEKFYSDEVTEMRGHLLKYPVSVDHMGKVKPLPDCGAFPDLGGHICGSFLHIQENLTI >Et_5B_044414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23916557:23918860:-1 gene:Et_5B_044414 transcript:Et_5B_044414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRGVLLVLALLLAATAVVPVILLTEEDEAAAVAPAPPFNSSRVKAVSWQPRIFVYKGFLSDAECDHLVRLGRKKIQRSMVADHTSGKSVMSEVRTSSGMFLDKRQDVVISRIEERIAAWTFLPEENAENIQILRYEHGQKYEPHHDYFHDKVNQARGGHRYATVLMYLSTVEKGGETVFPNAKGWESQRKDDTFSECAKKGLAVKPVKGDAVLFFSLHPNGVPDPLSLHGSCPVIKGEKWSAPKWIHIRSYEHLSVPNETEGCSDKSAYCAQWAAAGECAKNAVYMVGGEGSPGQCRKSCKVCDS >Et_4A_035383.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22454887:22455087:1 gene:Et_4A_035383 transcript:Et_4A_035383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYQVKCGTCGKSTWAGCGRHVASVHAQVPEGQHCACRDWPGVEMKKAAEAAEGSAASTEAARAAQ >Et_4A_034286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31480819:31481842:1 gene:Et_4A_034286 transcript:Et_4A_034286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTQYQYSRGWRRQPPIHILSPRFGSGRSRPQQWKQQAEEACQRADELFRAGDIRGARREARTAKRLCPSLPAAASALACYDIHAAAAAKYVDWREVLGMPPGSAFTGDAFKKQFRHMSLLVHPDKSRCAAAEGAFKLLRRAFDILVSASSGNFAGPSSCDPPGEQRDHPSP >Et_3A_024972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25802592:25807466:1 gene:Et_3A_024972 transcript:Et_3A_024972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGSALEAALQAVGRGLDAAGDHRLLYCKGAGRLVALDEARARDLPIERGGVLPGVSHDVDVEQYRGSPERIRPVFPGAGGDEPFVCSFEKMAEYFNRKAGLLETVPLGNFNSLFSFTGSLKNDAAATKALAIDGYSVPLFRVKITSSELKLLESIKRAIPYSWDPSALASFIENYGTHIITSVTVGGKDEVYIKQHSSSQLSELEFKNYVREIGKERFSDVENKSNAAPINYSEKDMTVIFRRRGGCDLVQNFSDWKGTVTSAPDVIGMTFLPIVSLVDDIPGKKHLARAVDLYLTYKPPIEELQYFLDFQVPLVWAPAPPGIAGHNRKEPVCPSLQFSLMGPKLFISTEQISVGRRPVIGLRLCLEGAKQNRLAIHLQHLGSLPKIFLPHWDSHITIGPPKWQGPEEQDSRWFEPIKWKNFSHVSTAPIEYTETNITDLSGVYIVTGAQLGVWDFGAKSVLHLKLLFSRVPGCTIRRSVWDHSPSSSSILKTDESSSSSSDNAKLVKIVDMTETLKGPQDAPGHWLVTGAKLGVEKGKIIVRAKYSLLNY >Et_4A_033583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24720958:24721800:-1 gene:Et_4A_033583 transcript:Et_4A_033583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLGSSPALVARPVAKPHVSCTQSSRPPSSQGPPNGGQQPQPTQSVQAQPQARPKRAGGADSTDWVASSLTRRFGIGAGLAWAGFLAVGVVSEQLKTRFEVAQQLANTKDVEQEQEVVLPNGIRYYELRVGGGDVPRPGDLVVIDLQGRVAGAAGNEATFVDTFGEGKRPLALVMGSRPYTRGMCDGVEYVLRSMKAGGKRRVIIPPNLGFGDDGADFGMDGAQVPPGAALEYIVQVDKVSIAPA >Et_6B_049441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:496093:500888:1 gene:Et_6B_049441 transcript:Et_6B_049441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIALDADLSLSSSSSGATYAGAPPLVFRQEGKIENLVRSVYAEVDEKDVNLDAAFSVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNYEVLKETLTRHGFTFESDTDTEVIPKLAKFVFDKAHDEKGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSGQNSGKKFHDVKALTTNGKPKELFFSSDLCAIVEHTKNYLAIEDDEIVHIKDGSVSVLKFDQDKEKPASVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRGRLKDGGILLGGLKEHLKTIRRSRRVVFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALDYALANGALCVGITNTVGSTLSRRTHCGVHINAGCEIGVASTKAYTSQIVAMAMMALAIGSDQISTQVRRDAIISGLSSLPKNVSEVLKLDAEMKELASSLNDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLIVMCSKGDASAVCPSGSCRVIEVPEVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >Et_7B_053622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10402217:10407702:1 gene:Et_7B_053622 transcript:Et_7B_053622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DQEQQQQRTLPLLPSSSNHLLHPIAVRFPIWGSQERKEMKSPLRKFRGFGHHHHHHKERKDHRPPPAKLDELGYAAQEMEEMKNCYDSLLSAAAATTNSVYEFSEAMEEMGTCLLEKTALNYDDDDSGRVMMMLGKAQFELQKFVDSYRTNIINTITNPSESLLKELQVVEEMKDQCDEKRMEYEAMRAAYSEKGRSRHSKIESFSSEQLQISFLEYQEEAALFIFRLKSLKQGQFLSILTQAARHHAAQLSFFRRGLKYLEALEPYVKAVAEKQRIDYHFSGLDDDSDIDDYSSFHDNHSDGSELSFDYEINDRDKVLLASRSSMDLDQAHPTSSPKPVKDHEQDNINQMKVNLEVPHLKPEIITQSAPIFAENVLDPSVKFRKMNRSSRNVHSYKLPTPADDKNFTAVVPNTSPLSDKPESKSHVAVNLWHSSPLVKDFKPSSMYSGPVKLPSSNEGISAPLAYSYSTSDFKKMKREAFSGPIPSNAGLSKPLFSARVMSVKPDGPGRQSSVPQKVTPRVTSLPTTSPRISELHELPRPPANAATQRPGLVGYSGPLVSRPPIPNVPTRVSPPSHTASPLPRPPAAMTRSYSIPSNSQRTPIITVNKLLESRHSRESSEVSSPPLTPISLADISRNSRAEIAVDNKG >Et_9B_064528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16204979:16207247:1 gene:Et_9B_064528 transcript:Et_9B_064528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGREQPVIDPNVEDLERGEWRRTDDFAGDFEEDDEESQYFTDAEDRSWPSHSRQESTAYEDYISPCASARASSCDADADAEAAGEHFRKSSCVSEGSLDEIDLEAGLAEIIKASPEKAELNCRICHLGLESAVAEAGAGITLGCSCKGDLSYAHKQCADTWFKIRGNKICEICSATACNVVALGDPELSDQWSEANNTAAVQAPQAETRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >Et_1B_011463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22599265:22601699:1 gene:Et_1B_011463 transcript:Et_1B_011463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVRGVLRGIKEKGLSNFIRDVRDEGYFKALLDGNLMQTKIHNIGATLVGTDKFGNKYYEKLDDVQYGRHRWVEYADKGRYNASQLLEMKTARYLVEHKQNYSGEGEELIYHSKGHALNPGQRDWTRYQPWEPKKDEAS >Et_1B_011389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21402112:21407507:1 gene:Et_1B_011389 transcript:Et_1B_011389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSPSLELSSEVVGAPPAAGAAPKVPALFAFGDSVVDTGNNNYITTFTRSNFEPYGRDFPGNESTGRFSDGRISMDFLASALGLKDILPPYLDKNLTMEDLKTGVSFASAGSGYDNATCTMSLAMTVEQQLYLFVEYKAKVGSIPDRALYLVCWGSNDILQYFTFFDGMTKADYANFMAQRASTFIQTLIDLGARQIAMTGVPPVGCVPAQRLIAGGLRRQCASDRNQLAQLYNRKLSQEVARLTGRFPEVNLVYIDLYAILDDIVRRYLELGFRNNKEACCGLIGLESGVLCNFASPVCEDPAHRSASLPEFLIVAYLLLAGAIAAATADADVAGAAPPLRVPRLGAPPTPAPPRLGKPTPAPAPLPPPRGSQHLLRLPVCRHDWGSLFLLRRLFLLLGLCGLRLLLLARLHSWGSPFPCHRFFLLGCELRLLLLARLHSWHWRSYLGKPLPTPPPRVRAPAPAPRPPPKPGKPLPAPPAVPPRVRAPAPAPAPRLPPRLGKPAPTPAPRPPPRLGDPLPTPGPQTGAPPPLSPPPVAPGGPKVPALFAFGDSIVDTGNNNHLLTVVRANFPPYGKDFPDHRPTGRFCDGKITVDFIASALGLKEFLPPYLHHNLTLEDLKTGVSFASAASGYNNNTCLTSSSLTMEKQLQLFREYKVKVGTVPERALYIVVSGSNDIVQHFTWADGLTEPEYADIMAQRAITFVESLIAEGAGQIGVAGAPPVGCIPSQRRIAGGLRTQCATDRNQLALMFNRKLSRLSGRNRGVNIFFIDMYSILADLVQRYEALGFTNGKDACCGFIGLAAGPLCNIASRLCPDPSKYVFWDSYHPSERAYKLMIDDFMTRYMRYIH >Et_5A_042596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21421302:21425679:-1 gene:Et_5A_042596 transcript:Et_5A_042596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPSTPSQVQFRLRHFSSSSVLFSCSRVDERRMMAMGTSTSPIVISDDDDKPVAPILVLSAVAARQEVPPVNRSGSEEGIPRPEWLPDWFVVGVERYNGTMVLNYTCPVGFMFKEKGDVLNYCSSGALQRAIDAEATLNDKTTLQGKYQWLMGRGWVLEIRAGGENFNKMFKFYAHLRTGVRAASKQDFLSYVNDGKIPGCTSEDCDTSGEDNIIAQLQFRIDGLPPGWVKETAFRKCSDGRIRRDSSYTDPLTKKVHRSLKSAKQYFTSEIDEDSHTPKQSVTDLYFFDSCAEMIPCLANRLKMEGMEDQKCDETSDKSGEPPHPDLPSYFTFISNNPLKSEPLQLLVPCFGIINIISPSSPNRSLCTSTLLIHNTGTALLVVAHTVASSQCKEIEEWHNRTMVVAAAPMCKQRFQASIVASCALLLPTHTRLRHECTGLCITIITLS >Et_3A_024098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17376395:17379840:1 gene:Et_3A_024098 transcript:Et_3A_024098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPRRSGSAAASEPSSAAGSDAEDDRYCSANSALATPSSLASLLPSSDFWDHQMDLLLDERTAVAGFPKSHQLSRLQVQARPATGPAPTAAAGDDASGQESSSGSLAIHPLPDPDQVDRLDDNDLFDDMVQEMEAILLNTGEPHENGSFPDNRRSNTRQGHHFRDGSTTASTSGTDDAYMYPLAHYPSKIDWVEVVGAKQRTGDVSFGERIVGVKEYTVYLLKVRSGEDEWEIERRYREFYALYRQLKDFFYERGLTLPPIWENVERESSKVFGNASPDVVNDRSGLIQDCLRSLLVSSYPFGIPTPLVNFLSPGPPGYEYSFLKTLIPRSLQKRNSDLHSKESDCNKASHDSTSMGKTISLIVEDRPRKSTRQLLELQHYNCAGCHRHLDAGRTLLQELAQTIGWNKPRFCAYTGQLFCASCHTNDTAVLPARVLHHWDFSFYPISQLAKAYLDSIYDQPMLCVSAVNPFLFSKVPALLNIMSVRKKIAAMLPCVSCPFQNSILKGLGVRRYLLDGNDFFALRDLVDLSKGAFAALPVKVQTISNRILVHITEQCLVCYDAGVPCAARQACDDPLSLIFPFQEDEATKCSLCGSIFHKQCFRKICVCPCGKTSSTGRKIVALEQAVQGATNRVTTESLQPPFSSSSGFFSDILSKARPDKLWKPRNSSPVILMGSLPDTI >Et_1A_007442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34573617:34579330:-1 gene:Et_1A_007442 transcript:Et_1A_007442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATVVGAGRRYALLLALKDSEYAKKAYGGYYNVFAAAFGEAGERQQPVAGYVGEARGGYFGVFAAAFGGAGERWDAFRVYEGEFPRPDEVEQYDGFVVTGSPSDAHGDEPWVLRLCDFLRTLHAMEKRLLGVCFGHQILCRALGGRVGKSISGWDLGVRTVNLNVEEMQGIEFLKDLHELPRSAPLIEIHQDEVLELPPGATVLAYSDKTGVEMFAVGDHVLGVQGHPEMDMDIMHHIIDAFVDCNTITSCTADAARKAAEGREPDMELWTGLCKLFLRGKRMEKMAVLTLG >Et_4A_034416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32344347:32346645:-1 gene:Et_4A_034416 transcript:Et_4A_034416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNVMALGDHVLKATARKRKHETATEHTKANDPVVSQHDAKKHLVTFDYGAQFNKKTKMATCGNGGILESYRNFKTSGLPVRVLLYQHGDWSDFPEDIVNLAQRDFQLKRSITTAVFQNKHILLDFVHMVCLDYEMTMNNPIAWVDDNGKSFFPDFSAGLYTSQHDKGGADELAEMSTSVAESSSSASVDEVVSHDKIINHTMEEKSKAYNNPDEAIGENKSHPSMFLNSSGIIQGNTDKQNGGPHVNSTVHKLLLKGLGKPFSGKDIIGIYRTPLLNQQEQVRSSLFQKEVEAIQSRRGNANVRYAWLPCSRHAMEEMMMRGALQISKTQCGAIASYSEFQEDGVIRMMLCRVIMGNVEVIYPGSKQFQPTNGCFDSGVDDLQKPNHYIIWDANVHKHIYAEYAVIIKVPPMTKEHLVSKDSASNISETRNSGLLDSVTKGDGFQNLASSALQPQAPMFGRAPRAPSSPWMPFSMLFAALSTKVPRSHMDLVLRYYEEFKRKKISRPELVIRMRKIVGDKLLVSTVLRLQQKVSFYSVALLFPMMYLLFQIIFQRAETMNRLYDIFQRAAYYVLVS >Et_2B_022765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4630652:4632401:-1 gene:Et_2B_022765 transcript:Et_2B_022765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVGVMEGKRDPSEPEKRRVARPGAVTVFFVLALPLVLVFLLFGDRAASIAASSLVWQRLSLQSSRNASSFTAADAGSAHDRLLGGLLSPDFDAATCLSRYEASKRWKPSPFPVPPYLIQKLRQYEANHRRCGPHTANYREAMAQLMSGRNADHAECKYVVWLPLQGLGNRMLTVVSTFLYALLTGRVLLVHEPPEMEGLFCKPFPGTSWLLPPDFPYKDGFSPDSKESYVNMLANGVVRYDDAGALPAYVYFHLEQIGLRLQNHTFCEEDHRVLDRFNWMVLRSDSYFAVALFLMPMYRTELDRMFPAKGSVFHHLGRYLLHPGNRAWGIIERFYDGYLAGADERLGIQVRIVPYFATPFEVMYEQILRCTREHDLLPQVTDTSVPGARPPTNGTPSKKKVKAVLVVSLKPEYYDKLHGVYYTNATATGEVVTVYQPSHDQDQHTEARAHNERALAEIFLLSYSDRVVTSGFSTFGYVAHSLAGLRPWLLPVPDKRKMWADVACVRAASVEPCLHSPPSLVCRAEQDFDPVKNVPFLRHCEDVGFGLKLVD >Et_8B_059410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17738106:17744956:1 gene:Et_8B_059410 transcript:Et_8B_059410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIGSRYPLSFPSSLWYATLPLLWLVRSGQFHCCAFVVGWKRRGGWCGLFASCEAVKLADAVLSEFAGKARVACGLPICDVRIKSSQRLEVICRGMLETRKFMRRKKKEEVFKDAADEAEQKNWRRMMREIEESGSAVSILKTQRGRKEPLPRDVILGTLVRFKQLKKWNIVSEILEWLRTQHWWDFSEMDFLMLVTAYGKLGDFSKAERVLKYMSKKGHQPTVISQTALMEAYGRAKQYRKAEAVFRRMQTSGPEPSPVTYQIILKSLVEGDKYKEAEAIFEDLLNERRASFKPDQKMFHMMIYMYKKAGDYAQARKLFAQMSERGIPLSTVTFNSLMSFETDYKEVSSIYDQMQRAGLKPDVVSYSLLIKAYGKSRREEEALAVFEEMLDAGVRPTLKSYNILLDAFAISGLVEEANTVFKAMRRHRVEPDLCSYTTMLLAYVNASDMDGAEKFFRRIKEDGLKPNVVVYGTLMKGYSKLNNVERVMRVYERMRIQGVEPNQTIYTTIMDVHGRNSDFGNAAIWFKEMETRGYPPDQKAKNILLSLAKTPEEEQEANELVGNGAIQLEVNPNDEEVDVSDGYEVRQINARNHLLLDKASTRSNLNGRNTTSDYAYDEEEDDEDDYEEEDDEEFNFVSFKDKRELNFANDLLNLVLYPQMTC >Et_3B_027437.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:17036962:17040893:1 gene:Et_3B_027437 transcript:Et_3B_027437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSISGSREPKEFPDLVLLEPIGRTHCYDDTDAAASAVKNDPTAVSVDTSNNRSGHLSFTLSPLPSISYLDLHWPYGMPKVPSRVPALPQVVSVDENLLLIRIDQLPCGTDWFVCSACASSSSSLRRLPECTMQIQDLAGRSRFLQLDTDIGILRRSGDENDYVVADLAVSWKARGGPGSLAGYGYDYNDPPPMKARLCAFSSKTGEWTAKEMVAPQPGNQEQFPVLWCCDTVVPFAGHYLCWVDYYSGLLFGDFSDEGSPRLSYVPFPTSCKYSDEVRVERNFPESFRRVAVSNGNMRFIHIGDDNWQDAGYSWHTSLPVIKVWNLKMRDNGIRWDLDRVIDVRNLWAHPTYQSHSLPNRPPEFPLVIKNDPDVLCCVLREGEYYGKAWIIMLDIKQLQFPALMSCIPYTIEAIEGGDTLRQYDIVSMHVGPPLIPSDFSKYLNNPSGN >Et_10B_004356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4744172:4745942:-1 gene:Et_10B_004356 transcript:Et_10B_004356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWQKTILSSPGARHRCGTGSTSVAVVLVNGHRALNHAASPSFLHATTAPPAPTTRRARGHAALTSAAHPSAGHSPPPTACGLTTAGTLNPSTRLTLYAATSCAPSACTASCATVAGGASPVSHRRGSPPEPAQPVRATTRPAQKVGGKRKETRPRPPPSRRAVLSEMDGKPVFGVTSGHTGYGHRLCSVSVVAAADEAARTRMKPTRNFFAVAAMVSYSWLLLLLLLVVDPKYTC >Et_3A_025535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30514477:30517935:-1 gene:Et_3A_025535 transcript:Et_3A_025535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PVNVASAHGQRLQIPTYAPSTPQSLPVTTLLLCSFSLAPVATATDRASYIVHMDKSSMPAHHSDHRQWYADTVASLAFTPSTNSAGPRLFYTYDEALHGFAATLSASELHALSGTPGFVSAYPDHRSKSRYDTTRSMSFLRLNRDTGLWPAAQFGEGVIIGVIDTGLWPESASFDDHGMPPVPPRWRGTCEPGVDFTPSVCSRKLIGARSFNKGLVAANPNITVSMNSTRDTDGHGTHTSSTAEGSPVPGASFFGYGFGTARGAAPRAHVAMYKVLWPEGWHASDVLAGMDAAIADGVDVISISCGFDDLPLYEDPVAIAAFAATERGILVSASAGNDGPQLGTLHSGIPWLLTVAAGTVDRQMFAGTVLYGNTSGSILGVSMYPENAWIVDAELIYNDAISACNSTRALANVTTSVVVCADTGNVFQQIGIVAEAGIRCMREFVPECVSEGKANNVLAPGDNILASVPPIRPYGSIGHTPLGSHFLVDSGTSMACPHASGVAALLRAAHPDWSPAMMTTATATDNTFRHRRRRLRERRRREPAGHGLGPRQPQRGDGPGAGVRRRAGGLRLPPLRRELHQLPDLGDHEVVDGCSNSSNDANYPSFIAIFGANATSGVMKFRRTVTSVGNGSAVYFASSVSPSNVAVTVSPRKLVFNTPGKTATFQVLLNLTAPTGGEPAFGAVVWTEKRGKYRLRTPY >Et_8B_060409.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1393465:1393827:1 gene:Et_8B_060409 transcript:Et_8B_060409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLPNLAILCLLGDSLDGEERRCLIFHREAPFPSLKVLELYCVCRGLDSVDFEEGAASNLELLRFCGFGDLSGFSGLASLPKLKEFEQHNYKYMFEADVQAQFSKNPNGPIFKKVPRWW >Et_1A_005879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1577855:1580851:-1 gene:Et_1A_005879 transcript:Et_1A_005879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISLEDVRNETVDLETVPVEEVFQHLKCNKQGLSSAEGENRLKIFGPNKLEEKTENKLLTFLGFMWNPLSWVMEAAAIMAIVLANGGGKPPDWQDFVGIVTLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWKEEDASILVPGDIISIKLGDIVPADARLLDGDPLKIDQAALTGESLPVNKHPGQGVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQLVLTAIGNFCIISIAVGMLVEVIFMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVCGKGVDKEMVLLYAARASRVENQDAIDTCIVGMLADPKEARAGIKEVHFLPFNPVEKRTAITYVDGNGDWHRVSKGAPEQIIELCRMSKDAEKRIHALIDSYADRGLRSLGVSYQQVPEKSKDSAGDPWQFIGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETARRLGMGNNFYPSTSLLGDKQASEMGGLPVDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLVALLWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLNEIFATGVVLGTYMALVTVLFFYLAHDTEFFTETFGVRSIKENDKELMAALYLQVSIISQALIFVTRSWSWSFVERPGLLLLFAFFAAQLVATCIAVYANWEFCKMQGIGWGWGAAIWAFSVVTYVPLDVLKFITRYALSGKAWSNINNKTAFVNRNDYGKGEREAQWATAQRTLHGLNQPSATAEILGDNNGYRELSELAEQAAKRAEIARLRELHTLKGHVESVVKLKGLDIDTIQQSYTV >Et_4A_034060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29571205:29571958:-1 gene:Et_4A_034060 transcript:Et_4A_034060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIDAELRLGPPGVGDVVVAAQQPARKRSSSVVKSEASGTDDHDDAAPASKVQVVGWPPVRAYRRNAFHAAAEAAAKAKGEQEVTTSKQGGGLYVKVSMDGAPYLRKVDLRTYGGYRELRDALDALFGCFSSSSSSADGKFSVAYEDKDGDLMLAGDVPWEINEEQQADLRSFLLMCRMFISSCKKLRIMRGSEAR >Et_1A_008380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7051386:7055701:-1 gene:Et_1A_008380 transcript:Et_1A_008380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPRRSGSPRFFYRNRRLRRPGAATPVNHLLTGTPSSDATAKETASMASSSAAEPGIRLSDRTRRSSLGLRFMVLLMHVVFVGAVFILDPTLDRRIHEEPWYIGVYGVLVLLTLVQYFYTAGSSPGYVIDVMRAGSTMHATFVNTAALSKQSSSRNGSTNSPTSRAQLQKLNTMTTTSSWAQMVVDLYPPGSSSRDWTCAYCRVIQPPRTRHCHDCDKCVLQFDHHCIWLGTCIGRKNHCRFWWYIFEETILCIWTVALYIESLRLDIEKAWWKDFVGVIMLAVLIFILIFLLLLWLFHSYIALTNQTTYEVARRRRIFYLRGVPDRVHPFSKGICRNIYDFCSPSQKGFVLEAVPPLEELEARAARYTCRDIICCRCC >Et_4A_034755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6135356:6138670:1 gene:Et_4A_034755 transcript:Et_4A_034755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSLLPSVLPAPASPCRLHLSASASPRHRRSYPPIRPLRAARRRHPDAVVVSPDARPWVGDLSGAAASYRDSGQEDDDDSENDEEEEDARSVDLLVRFLHSVFRKASRRARRAARSVLPPSVPAELVKFSVNGVLVLTFLWIMKGLLEVVCTFGSMVFTSILLVRGIWSGVTYIRENRYSYIQQIDNDDNRWSRVQTAG >Et_4B_038235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27386691:27390704:-1 gene:Et_4B_038235 transcript:Et_4B_038235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKPSKKRKQPVPVPPESDSESEESEQEEGIESEDEEEVKETGKKEKSEKKKKKKEEKKEKEEKKKKKKGEGTGILTNKLFSELPISELTANAIKEMNYTHLTQIQARSIPHLLEGKDVMGAAKTGSGKTLAFLIPAIELLYHLHFSSRNGTGVIVVCPTRELAIQTYNVAKELMKHHSQTLGYVIGGNNRRSEADQLAKGVNLLVATPGRLLDHLQNTKGFIYKRLKCLVIDEADRILEQNFEEDMKQIFKRLPQVEKFAKLSFEKNEESKEKPVYVGVDDDNSKATVEGLQQGYCVIPSEKRFLVLYAFLKKKQSKKIMVFFSSCSSVKFHAELLNFLQIECADIHGKQKQQKRTTTFFNFCKAEKGILLCTNVAARGLDIPDVDYIVQYDPPDEPKDYIHRVGRTARGDKGKGSALLFLLPQELRFLIYLKAARVTLTEYEFSERHVPNLQSHLENIVGENYFLNQSAKEAYRSYILAYNSHSMKDIFNIHQLDLKNVAASFCFRNPPKVNLDLESSASKHRKKMRKVDGGKRHGIGPSNPYGRKDRDGGDKRQFARF >Et_1A_004886.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14154933:14155127:-1 gene:Et_1A_004886 transcript:Et_1A_004886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLILTVAMRWARFVILVLQLIMTRLLQLCVESRVALMATWHLRCIRQGALAPLNLTCGLWVL >Et_2A_015517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14393899:14403697:-1 gene:Et_2A_015517 transcript:Et_2A_015517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSYASRSSGELASAASGGGRSVRVIPLRHPSEAAARGSTSSSPSWWRAAVGKARGMGPLEWAEAVLPCVAWMRRYRWKEDLQADLTAGITVGVMLVPQAMSYAKLAGLHPIYGLYTGFIPLFIYAIFGSSRQLAVGPVALVSLLVSNVLGGIVNSSSELYTELAILLAFMVGILECLMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQIKYFLGYSVTRSSKIIPLIESIFAGIDQFSWPPFVMGSTILAILLVMKSLGKSGKRLRFLRASGPLTAVVLGTIFVKIFRPPAISVVGEIPQGLPKFSMPRGFEHIMSLMPTAVLITGVAILESVGIAKALAAKNGYELDSNKELFGLGIANICGSFFYAYPATGSFSRSAVNHESGAKTGLSGIIMGIIIGSALLFMTPLFTDIPQCALAAIVISAVTGLVDYDEAIFLWGIDKKDFFLWAITFITTLVFGIEIGVLVGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNTLQYPEAYTYNGIVVVRIDAPIYFANISYIKDRLREYELNLPNSNRGPDVGRVYFVILEMSPVTYIDSSAVQALKDLHQEYKARHIQIAIANPNRQVHLLLSRSGIIDMIGAVWCFVRVHDAVQVCLQHVQSSSPTAMKVSTQAPGGLIDSTPAPMADQQQRLHGFFKNLWKARDGGRETGNEVQPLLRQNLV >Et_9A_062235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2116479:2118795:-1 gene:Et_9A_062235 transcript:Et_9A_062235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGVVRPSVGFHNDTSSSSDADRLRNEMGNMNIRDDKDIEDIVVNGNGTEPGHIIVTNIDGKNGQSKQTISYMAERVVGHGSFGTVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRVLDHPNVVSLKHCFFSKTEKEELYLNLVLEYVPETAHRVIKHYNKMNQRMPLIYAKLYMYQICRALAYIHNCIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPLFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPAEAVDLLETLIHPFFDELRDPNTRLPNGRFLPPLFNFKPHELKNMPMEFLVKLIPEHARKQCAFVGW >Et_2A_017566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3660005:3665226:-1 gene:Et_2A_017566 transcript:Et_2A_017566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSTAAAPEGVLHRRIEFHLARRPHTALAVGGGGFRMETLNPDAAGKASAAAAGSGEGEARRPEKGDTGGMDPELSVARIYLGRIGAGLQNLGNTCYLNSVLQCLTYTEPFAAYLQSGKHKSSCRTAGFCALCALQNHVKTALQSTGKIVTPSLIVKNLRCISRSFRNSRQEDAHELMVNLLESMHKCCLPSGVPSESQSAYDKSLVHKIFGGRLRSQVKCTRCSHCSNKFDPFLDLSLDIAKATTLVRALQNFTEEELLDGGEKQYQCERCRHKVVAKKRFTIDKAPNVLTIHLKRFSPFNPRQKIDKKVDFLPTLDLKPFVSDSKGSDLKYSLYGVLVHAGWNTQSGHYYCYVRTSSGMWHNLDDNQVRQVREADVLRQKAYMLFYVRDSLGNSMPRKDIITANVPIKKTPEKISCLNGKTGSDKMDNVSNSSIFAKTSVDCSKHDVKTEDAKASLPFAQKALREEGTSLSDQRPSLLLSSSGKQSITGRFSQELPPKVDTGKVTSVASPVVNSPGPATLSKSDKQTSQPQIVPISKPTAHANGIDTGFTTLTSSNKVQFMMFTDAIASNDVMSISECLTSNKNMNGLPESPEDANETAKAPVTSKNNIPPELSRVDSGGSVQIVVAGSCNGSMAKMAKLKSKKFVRYPVVNIRLGSRQLLVASLKLGKKTKHKRIGKRSVVCKAMSNTACLSDCINEQLTSTSATAHSENVQKVAGACAGAGTSATTESADLPKLAPSSSTDQTQSKKNIDAKLGDSRIVSVRARDLMEATVPSWDDIEAPSTKTAGRQHSKRSIGYVLDEWDEEYDRGKIKKVRQSKDDFDGSNPFQEEADYISQRQMKQKSLPGKSWNKPNRLHELRV >Et_2A_018156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1570759:1571854:1 gene:Et_2A_018156 transcript:Et_2A_018156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMVLSAAILMNCGIEQCMHPSDTSAYIGGHLDEYMPYGDDELSGSDEDVEAEERRDLQDPDFMN >Et_3A_023270.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:16398209:16398805:1 gene:Et_3A_023270 transcript:Et_3A_023270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLHVPRPRWTTKQFIFAVLLGSLALIAVAAGISISLAPAHISFSIANATISASNPSASKNQLQDARRWCYNFTLVANNTSRRPAVRYGALSAEIWYSSTEWVPAEIEPPAPVWQRPRCVAMATVLAEYAQFDARSNKTRIDSDGDNLGVDWPNIRVVVKAKVWFKFGLATTRIYDVSASCWPVNFFNRSDISAGCR >Et_7B_055092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7199638:7203628:1 gene:Et_7B_055092 transcript:Et_7B_055092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWLCGLLSLLAVVAAAADGAQGKWEPLIRMPTEKAGDAAAAPAASAGEDEVGTRWAVLVAGSSGYGNYRHQADVCHAYQILRKGGVKDENIVVFMYDDIANNSLNPRPGVIINHPKGDDVYHGVPKDYTGHQVTTENFFAVLLGNKSAVTGGSKKVIDSKPNDHIFIYYSDHGGPGVLGMPNMPFLYAGDFIKVLKQKHASNSYSKMVIYVEACESGSIFEGLLPEDLNIYVTTASNAVENSWGTYCPGMQPPPPPEYITCLGDLYSVSWMEDSQTHNLKKETIKDQYEVVKARTSNTNNRKEGSHVMEYGDKTFKDEKLFLYQGFDPANVNIANTLLWAGPKATVNQRDADLLFMWKRYEQLNGGSEEKLSALKEIKETVKHRKHLDSSIDFIGRLIFGFESGPSILEAVRSSGQPLVDDWDCLKSMVRIFESHCGSLTQYGMKHMRAFANICNIGVSENTMVEASISACSNYNPERWSTMSQGHSA >Et_3A_025643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31520706:31522789:1 gene:Et_3A_025643 transcript:Et_3A_025643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCVQVHQLVAQFPPSHGHAREKKRWGTFGKSRGPHAEPAINIPLYREPSSIEKILGDAEMEQQQRQFYAATRAQYQVTPARPTTVTAAPSAASSSLPSTTPAAARERARERERGDAKPAAVTLPLPLPPPSPPPLIRRFDHDREQQQRLQQMQLSRAETEWRQQPQPQQQQRRHRAAAARQRAPPPDTARAAAVAIQSAFRGYMASSAICLPSFLARACVAAIDVAGVFTDAIVWVWVQARRNYRSLRGLIRLQGVMRGASVRRQTAQTMRCMQTLVRVQSQVRASRVEAMERRNRQHHGAMLRDGGRWRAGSQDGGMWDDSLLAPEEADARTKRKVEAVIKRERALAYAYSHQLLKATPMAAHAILADLQSGRNPWWWTPIERRHDPDAYHHRTAFEPISKPRPAHAVAQHRETSTAFTTAATTPARSVASAYSANKPRAMTSTRPSVKGAPPSSCHAGSIRDDESLTSCPAFGGVPNYMTPTLSASAKARARAQLLQRQLEQQQKAAQQKPRFSFGLGQSIGSWAKSPFWKGGGGAPSSSSRVGTPAASVAGGRHRRSVSGLSVDSTVSMPAGIGRRPFK >Et_7A_051246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16067930:16068519:1 gene:Et_7A_051246 transcript:Et_7A_051246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAELVVEVKSPADKLWAALRDSTELFPKIFPEQYKSIETVEGDGKSAGTVRLLKYTEGVPMLTFAKEKVEVADDENKVVSYSVVDGELVNYYKNFKVTLKVVPAKEGAVVNWAMEFDKASEQVPDPDVIKETAAKTFHDLDDYLLKN >Et_3B_028280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12871150:12872615:1 gene:Et_3B_028280 transcript:Et_3B_028280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSIVCGDLFGIDDDGGDIYVHGCRCKCKPRGNIFHKLHQPSSNWARRWGGRGPLTGDAYGRDLLYYIMFGLGGKDIISYMTLLAILILILPVVAGSVFIAMSVNRLVALSNLVYGDDGAGGSNANMLRALVFFYILVLVQGVFFIYWLLLLLLCWRLKDRRCSLYRFEGKEKRKLIEKYIDVTSRACITNGVMYTLNRNLVSFAIDLLESEYSQDHISAVLVIHTLTSQHAHKARALSLIQSSSNSIAKMLAILN >Et_1B_013205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6444830:6448077:1 gene:Et_1B_013205 transcript:Et_1B_013205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKAEHISAMILVRIFHVRGGILFFLHKSLSSRLPFGRNSYTKAKASGHAPMSVTRLGCLTLASLATCSVNELVVPLEPDASYSKSVSSTDFNCFEMLCVVPSGAFLRGDDAGIVESCSHDKLGDAMTGNEFVKSFSNTVHLNYYFLTTNLEQ >Et_4A_033214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2073032:2083475:-1 gene:Et_4A_033214 transcript:Et_4A_033214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAMENGEQGGAAEYTQDGSVDMRWNPVLRSKRGGWTACSFIVVYELVERMAYYGIASNLVIYLTDKLHQGTVEASNNVTNWSGTVFLTPLLGAYVADAYLGRYWTFVAGSAIYLMGMVLLTLAVSLPALKPPPCDGSVCPRASTLQLGVYFGGLYIVAFGNGGTKPNISTIGADQFDEFDPREKMHKLSFFNWWMFTIFVGILFSSTVLVYLQDNISWSIGYGIPTLGLMISISIFLAGTKLYRHKLPQGSPFTRMGKVIAAAISKWRVPVPTDAKELHELELEAYTKKRKFRMDSTNAMRFLNKAAVKDDDDGSGSPAKWNLCTVTQVEETKQIVKLVPLLVTMFVPCTLIAQTNTLFVKQGTTMNRHMGPHFQIPAASLGAFVTLTMLVAVVVYDRLFVKAVRHYTKNPRGITILTRMGIGLVLQVVTMATASVIENRRLDYARSHGLDKTGGQLSLTIFVLLPQFVLMGLADAFLVVGKIEFFYDQAPESMKSLGTAMSLLAYGIGNILSSFLLSLVTRITSERGNAWVTNNLNACHLDYYYAFLTVLAAINFLVFAGISSRYRYRAESTETIDIVMGVQVDKAMPSASGAASFQMAAMEKGEAGAAEYTQDGSVDLRGNPVLRSKRGGWKACSFIVVYELFERMAYYGIASNLVIYMTDKLHQGTVEASNNVTNWSGVVFLTPLLGAYVADAYLGRYWTFVVGSAIYLMGMLLLTLAVTVPALKPPPCDGSICPPASALQLGVYFAGLYIVALGNGGTKPNISTIGADQFDEFDPREKMHKLSFFNWWIFTIFVGILFSSTVLVYLQDNISWSVGYGIPTLGLLISIAIFLAGTKLYRHKVPQGSPLTRMGKVIAAAICKWRVPVPDDAKELHELDPDVYTKKRKFRMDSTNTMKFLNKAAVKDEDGGNGSLPKWTLCTVTQVEETKQVMKLVPVFVTMFVPCTFIAQTNTLFVKQGTTMNRHLGADFQVPPASLGAFVTLTMLVAVVIYDRIFVKAVRRYTKNPRGITILTRLGIGMLLQIVTMAAASVTENRRLDYARSLGLDKTGKPLPLTIFVLLPQFVLMGLADAFYVVGIIEFFYDQAPESVKSLGTAMSLVAYGVGNILSSVLLSTVTRITRARGNAWVTNNLNACHLDYYYAFLTVLGVINLLAFVAISCKYSYKAESTETIDIVTGEKGDKAMVPSEPVV >Et_2B_021158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2746837:2749680:-1 gene:Et_2B_021158 transcript:Et_2B_021158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSAALPKTILKWTGACIRISKMAEDLLWRIQRLFFLNGDQDLSSFLLVEFGLVKFPDYACIVSHRIFRERSDLLDYEEAIRVAQVMDESLDDNNMEMMTRCIDLSENRLRTMSKQEIVASSKPLPSFFSCFSASWVYSKVLTLGVSAYERDRRYTDAIRILKILLSTVASDRRRGYWTLRLSVDLEHTGRPNESLSIAEGGVIDPWVRAGSKFALQRRVLRLSKPPRRWKVPNYADSVNIEGRPLNCETGAKNVFYGYDGELCGVEQLALQYYVDEGGGWQGTHSEGGIWMTIFGLLMWDVMFSDMEDVFQSKFQTAPLDLETDDFYETRKDLVESQLKKIQDGMAEEMLISSWELHQGTSCRGVNWDRHSLMDLRAIVACIGGHRLASLLRHLAVDYRSWSSGMPDLLLWRFLDDRGGGEAKLVEVKGPRDQLSEQQRAWIFVLMDFGFDVEVCKVSQVAKRR >Et_4A_034462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3418968:3419739:-1 gene:Et_4A_034462 transcript:Et_4A_034462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRAMLGQPTLPRSAGPGCSASTSLPLVGSGRRVVFPRLHRPGERGHPAVLLRLDCAGIAARRRAYPRIEATARRGARTENAKVRNRRLQRKFNGTATKPRLSVFCSNRQLYAVLADDHNKKILFYGSTLQKSICGDPPCTTVEAAQRVGEELIRVCTELGITEISSYDRNGFARGEKMMAFEVPVSQHGFLPR >Et_4B_038859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5444512:5447314:1 gene:Et_4B_038859 transcript:Et_4B_038859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDKVDAKEREKIEAVRKLLRKQAPLSAKQAQYCNDACVARFLRSRGESVKKAAKHLRTVLSWRETVGADHIMADEFSAELADGVAFVAGHDDDGRPVVVFRIKQDYPKFHSQKSFVRLMVFTLEVAVACMSRFVDQFVLLFDASFFRSASAFLNLLMGTLKIVADYYPGRLHRAFVIDPPSLFSVLWKGVRPFVELAPATAVVCSLDFEDSLEDASFTAYPRTASLRFEPMSAAAVVGKAGVGSASSRFSVVPTDNPIKPWYLSTIPTSVGSRSVVPTSSSPSLVGASPLSARSFSFASPAALRSTPAATPPFQRGGAPSSVSGSKGQKTPPPMSQQQFPRTPRPSFLQSPSMLFAFRNKDGQASRGERERESFLPFLRFYRRPYDEISYRAKMRPPLGGLISIVGEKFKQKPMQPQQPVRRHAGLHHQHQHQQHHHYHHHQPQRF >Et_6B_049929.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18435937:18436665:-1 gene:Et_6B_049929 transcript:Et_6B_049929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNNESKATPKVATSPKLATSTGAKATAPSASSLFSLQDGELTVGGAGNGKATLLSGVPGNVTLTPFAAAFNPSSSGAPRDMLKQAAANAHRGAFLGFTTAGPATDRASCRVGRLAGPGPRRFLSVFRFKTWWSTAWAGERGGDLQMETQWVLVEVPELAGAGAGYVFVLPLVQGAFRSAIFPGEDDGVVLCAESGSTAVKDTDFRRVAYLHAGDDPYKVMQEAYLAARVHLNTFRLVGW >Et_6A_046155.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:5014177:5014341:1 gene:Et_6A_046155 transcript:Et_6A_046155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPVSFSSASLLVLLKRDMQVCRLYSQLPCGFFILATVFAYLVWAFGAISATV >Et_10B_002652.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:3241495:3241764:1 gene:Et_10B_002652 transcript:Et_10B_002652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ETPHHLLIECSFSNHVLRIVCSWFNFAGNLDVVNDEGHIAKWLSVASANTDKEQKWFAVGIILYAWWNSWKERNRRIFDENIYADGTSS >Et_10A_002180.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:3011376:3011570:1 gene:Et_10A_002180 transcript:Et_10A_002180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFGYDRCVGGVLVNNQSAITGTYGITYRFRYGRCLCDEVRFEVPSYLVYLPAIEFAHCPRCN >Et_2B_019859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14717612:14727505:1 gene:Et_2B_019859 transcript:Et_2B_019859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKLHQISATGLSRGELLLVHVRVNGGGDGIREGRRSAGLINDMLSVSVYVLELGQNGGQRLRWVRRDGGSLGDRVLFLGRPASFAVDAAWFGGALAGGCAYFVLSSKRLVWTPLPEACQVYKYSFLDGRATAVVELPDGWETGMSIMWFVPQPVTIAKTSEIRERLQAAASNNSAPRSITLRAQEPPRQFGPYFKIYVGNLPWKVDSPRLRQIFSEHGQVADARVVHDRETGHSRGFGFVTMATLEEPAAAVAALDGQILDGRALRVNFAEERPRQELYMPPLFKATGLVPDLLRQISGSVQDAADFVRFHAVCRTWRDALAKTSSSSHYPPPFLPWLLVTGRYRTARFRSIFSKTTTWRDGAGARLLTTTTDVGPRLVDPFTGAATALPPFPETIAKYVPDCIDGVVCSDGTIFLYAVGNYDKQMCYIVAAVLRPGDAAWREGESRLVYNGFEHSCAAAYHRGSIVLVDLYQIHIVTLRLRVTGGGGGSGDRSGGLVEESDTARSDRGVEPVQVRTRRQSIHVFESRGELLAACVVLTTPKEEEEEDRGGDSRALAAALSVRVYALESEPVVGGMDSQQRWVKRDGRCLRDRILFLGSPTSFAVDAARFAGAIGGGCAYFVLDSRNACWSWRNVPKATRVYRCSFQDGGATVVEELPRIGGTEWGNDNMMWITPRPANIAPTHEIRERLLQPTSTNQGVHSGSIIRRGRTEFRPHFKIFVGNLPSWIDSIQLKRFFNNYVDVTDAIVFCDTRTGRSWGYGFVTMATMEEPDEFFAALDGEIFCGFVLRVKKFAGEPPKGPFVMPLLAQNKGLNSGKHHDEGCMDQHHVDCVMVERASGAAGIAQTWSANTDHTRLMGMVEGVQPKKFSGSKVDRDALATTDARGSHDMGCCWSQSVGVAAGESGKCFIIVNLCFPDVIPFCFGIASLLQPACCIVSSLSGSVVCSDGAVILYTVHDYVCCKYDCYIAIAVLQPGDAAWTQGRLMMYSSFWARLRRRQPPGLHRARGLLSDLPVLLTGGGDLLEEIETRTSDRDPVQRELRRSIHAFESRGELMAACVVVATPDAAQENGDSRALAVAMSLRLRWRWVWRDGRSLSDRVLFPGSPTSFAVGVARLAGKPVSGRCAYFVYDSLKVGRWWRKGPKKRRVYRYSFEDGSATELGELPPNTSGIGWDSDEAMMWIMPVPRPFAVASIMPSCLRWSRFKIFVGNLPSWLRRLGIGNLILIGEWLGVAVVLLVEIDLHVTFGTC >Et_3A_026766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19786797:19788736:1 gene:Et_3A_026766 transcript:Et_3A_026766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESELRQPSSPSPAAAMATAASMSLVLGDDDLLGEILLRLAFPTYLVRAALACKRWLRVVSGSAFLRRFRALHPPRVLGFYANGKTLSKPSEFWPVSNPPEFASAVRRADSVFDVFPAGRKLCVVRSRDGDGSLLVAFVDHNSFIDAVFSPLLYPGRDRAILPSFDPVALMATEHEVYMTTCENIVVLDLVSSTWSVIDYPEKYHRGLFDYPNKYDRGFLSKANDSGVCLVNVNDNSQLRIWLNRMVNGSLGNWLLKDTICLQTVFADIGMPVGSFKLHYAGDNGEFALLEMEGGILYFNTQSRTVEKLFQMAPWNTFSDFCPLKMIWPPSFPTMKENTTAATMEELLKRWFHHPPLSLQLQIDVCRDGRPARRYRSTRK >Et_3A_024918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25356457:25359596:1 gene:Et_3A_024918 transcript:Et_3A_024918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKEEPVIESVMDKISDKFHGGDSSSSDSDDDKKKKGSSSASAAEDMKAKIYRLFGRERPVHSVLGGGKPADLVLWRNKKISGSVLAGATAIWLLFEVMEYHLLTLLCHCLILSLAVLFLWSNASTFINKSPPNIPEVKIPEDLAVNVALSLRYEINKGFATLREIGHGRDLKKFLIVIAGLWLLSVLGSCCNFLTLFYIVFVGLYTVPVLYEKYEDKVDAFGEKAMIELKKYYAIFDEKCLSKIPKDSTRKRKPELREMTT >Et_6A_047448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:611847:617606:-1 gene:Et_6A_047448 transcript:Et_6A_047448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPTCPRLSAPSLPVTPRLSLLHCLLLVSSSAKPPDAADERPMVERRGPHLWASDRPFVLHGFNTYWLMYFAADPATRSSVTAALAEAADAGLNVCRTWAFNDGGHRALQIRPFSYDEEVFQALDFVISEARKQNMRLILSFCNNWEDYGGKAQYAVLTRINTITNEAYKDDPTILAWELINEPRCPSDPSGDTLQAWIEEMASYVKSIDPVHLLEIGVEGFYGLSTPELLDVNPDDYSGKAGTDFMRNHRAPGIDFASVHVYSDTWLPHSVEEHHLQFVKTWMQQHIDDAANLLGMPILIGEFGVSLKDGKFDNEFREAFMETVYGIFLNSWKEGVIGGGCLVWQLFPECAEHMDDGYAVIFAKSPTTWNLLASHSRSLRSRWNSGLKATVVGGSSGPAPNVNVTSSSGKIVIEWLDCVRVGGVNATSGKVDACNTITGALKEPPELEPAPGSICVGT >Et_3B_029024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21420947:21423761:-1 gene:Et_3B_029024 transcript:Et_3B_029024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAVASTRAAAVRPPRAAATSGEAAPPAPAEEPAGRRPVKVILPKKKPQKWSTGMAPGEYGGGPATIKPRKYWWGKEDLDPVGNTDDFIWNKDFLPHMERVIRNGAGGANAAPDITRLAPVDEAEAGFLSINRSMSLDSVDVDLSKELLAPTRPILQTQVEAARRGRAIGAEALNGAPTPRWRLVPTRREQAKWDRAAKAATGGTDVLLRESKSRVQQGDPKEMAAKSREDYLKLKEKLQLLTLGIGGVGIVSAYFSYTPEIAASFGAGLIGSLIYLRMLGTSVDSLAGGTGETVKSAAAQPRLLIPVVLVMAYNRWNAILVPDYGFMHLELIPMLVGFFTYKIATFAQAIQDSIPVAGNREA >Et_6A_045913.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:5973387:5973698:-1 gene:Et_6A_045913 transcript:Et_6A_045913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKQEDGLGRPGGSGCLWLVTVLLFVSLLGGGACLVAYILLPPGQSPAWLPAVGLVLVALPWGFWIVTCAYRCAKAHVAERRMATVAPVTGSMRRDTPAPGS >Et_7A_051694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2309144:2309623:1 gene:Et_7A_051694 transcript:Et_7A_051694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFLLHVLLVAVVARAPATQAWGKEGHYMTCKIAEKFLTKEASTAVKNLLPGWAGGDLAETCSWADTQRFRYKWSSPLHFADSEGGCKFDYASKTLIISTLVSMQIQSLHALSESPIWVVSLSHPKF >Et_7A_052500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8608730:8616842:-1 gene:Et_7A_052500 transcript:Et_7A_052500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSEVYYGNIPVGEVDVWPKGETDLAWAREIRVDRLSPPSERCPPLAVLHAVAPAARCLVMESRPTPTATADEPPPPLVAMHAACLRDNKTAVFPLGAEEIHLVAMVSKRNLTNHACFWGYKVPLGLYNSCLSMLNLRCLGIVFDLDETLIVANTRRSFEDRIDALQRKLVHETDPQRINGMLAEIKRYHDDKSILKQYIESDQVTDGGEVYKVQSEVIPPLGDNHQQPITRPIIRLQEKNIILTRINPLIRDTSVLVRLRPAWDDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLLDRLANFPIPVLCVARNVACSVRGGFFKEFDEALLPQMSEVHYEDELGNIPSAPDVSHYLISEDENAAMSNVNKNPLAFDGMADAEVEKRMKEASSSFQAPIPNYPTTNVDVMSVATNQQFVTITSSSAPVAPPLGMMPLNNDQALPPPSFSLSVAQSSHVDPLQGSPAREEGEVPESELDPDTRRRLLILQHGQDIRDPEPTIPVEPPGQASAQPVQSQGNWVSVADEMNPTNLNIASTGLHLESDTVHYDKKQLQHPSYFPARDNPISSDRHDYENQRYPSHPPHSEDRRMLHIHAPTTYRSFNGEDVATWHAPSRQRSIQVDSGRHFVQYGGNSGILEEIAVKCGFKVEYRSTLCDTTELQFAIEVWIFGEKVGEGTGKTRKEAQHQAADKSLRNLADKFLSFDPDKITVLKENGFNSYSNSQRYPGSNRDASLPTVSTSDDSGYLNGRTDNWRRSSGSVAALKELCTVEGYSLVFQAQPSTDLSAGKEVCAQVQIGGQILGKGVGATWEDAKVQAADEAHGMLKSMLGQFAQRQSASPRSLASNFSKRFKPDSVQTLQRIPSGRYCRGDSRFP >Et_6A_047530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:770399:773744:1 gene:Et_6A_047530 transcript:Et_6A_047530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLQMLGGLSVLHSRAFLTLPPLFLSRLRAVSSSSLPPPPPEIEASYKFGPYKIDAREVFHSTPLSYAMVNLRPLLPVKRFVDLSSDEISDLWITATFANMMVLKLVKQFHMSTFTLSQGGQGILRRMMKYMMREGLKEKLDLDIERKDRTMEEMAHEANEYRALFS >Et_7B_053741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11709697:11711054:-1 gene:Et_7B_053741 transcript:Et_7B_053741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHNLFHRHKEEENSGEVNYEKEKKHHKHLEQLGGLGALAAGAYAIHEKHQAKKDPENAHGHRIKEEVAAVAAVGSAGFAFHEHPEERRQEARSELNVSAILYTSGLF >Et_9A_063321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:199181:201447:-1 gene:Et_9A_063321 transcript:Et_9A_063321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYTIQISTRLVDQLARDDEKVRKTRKPKPKKKIIHEEPREDIPTEPKTSDPAPAPAPMWPLQPPPMFLPVTPTPPPPTAAVPEVDAIRSILKESEMVLEKLEKQEAGARQELSKRAKELHDKEFKLPYQSPMPCTQEREGCLECYKSNVKDPLKCAEAVKRFEACALQALKSVSAKADKFRLKKDEFKSSCTFWRSFELIHASASGAGAAAAGQLPVILHSMLDRPSPSSTVFPLALSLAPMLAVSSLAGPGAGAPSSDDGASAASSDAGEGASPSSMAAAASTNASSSDKTSHEARMLNAFPIFLRKCFASVSMIYHK >Et_3B_030220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31462512:31464232:1 gene:Et_3B_030220 transcript:Et_3B_030220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLVHCFKLLLLLALVGVTMHVPDADVLSSLGALRLDGHFSFHDVSAMARDFGNRCSLLPAAVLHPGSVSDIATTVRHVFLLGEHSPLTVAARGHGHSLMGQSQAAGGIIVRMGSLQGERTRVHDDGTSPFVDAPGGELWINVLRETLKYGLAPKSWTDYLHLTVGGTLSNAGVSGQAFRHGPQVSNVNQLEIVTGRGDLLTCSPEENSDLFYAALGGLGQFGIITRAKIALEPAPKMVRWIRVLYSDFASFTEDQEMLIMAENTFDYIEGFVIINRTGILNNWRTSFKPQDPVQASHFQSDGRVLYCLELTKNFNPDEDDIMEQEVSELLSRLTYIRSTLFHTDVTYVEFLDRVHTSEVKLREQGLWEVPHPWLNLLIPRSTIHKFAREVFGKILKDSNNGPILLYPVNRSKWDNRTSVVIPDEEIFYLVGFLSSAPSLSGHGSVEHAMNLNN >Et_8B_059383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17370771:17373380:-1 gene:Et_8B_059383 transcript:Et_8B_059383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSGQVMLLLAAAHVVLLPLPSAKCYWLNPENEIRDTGGLSRRAFPEGFVFGTAASAYQVEGMAKQGGRGPSIWDAFIATPGTIPNNATADVTVDEYHRYKEDVNIMKNIGFDAYRFSISWSRIFPNGTGTVNQEGVEYYNRLIDYLVEQGITPYANLYHYDLPLALHEQYLGWLSPKIVEAFADYADFCFQTFGDRVKNWFTFNEPRCVAALGYDNGIHAPGRCSSCAAGGNSTTEPYVVAHNLILSHAAAVKRYRDKYQVYQKGKIGILLDFVWYEPLSSSNADQASAQRARDFHLGWFLHPIIYGRYPYSMLEIVKDRLPLFSDKEFRMVKGSIDYVGINHYTSYYMKDPGTWNLTAVSYQDDWHVEFAYDRNGVPIGAHANSYWLYIVPWGINKAVNYVKETYKNPTIILAENGMDQPGNVSITQGIHDTVRIRYYRDYIIELKKAIDDGARVIGYFAWSLLDNFEWSLGYTSRFGIVYVDYKTLKRYPKASAFWFKHMLSKKRS >Et_4B_039618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2412725:2414108:-1 gene:Et_4B_039618 transcript:Et_4B_039618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGKGGDDGGSDAAAAPEAAQPVVLKMDLHCAGCAHKVKRAIKRLPGVESIVADVAAKRVVVAGTADAAAVKARIEAKTGKAVEVVSAGSGPKKAAAADSKDGGAGEKKADKDGGAGEKKGDKDASPKEEKEKKQPPEETKLKEETVLLKIRLHCDGCADRIRRRIYKFKGVKDVVIDGNAKDEVKVTGTMDVPALLAYLKEKLNRAVEALAPGKKEGGGEGKDGKKDKGGDGDKPKDAGGEDKKDKGKGIDPAGPSTAAAAAFMAPAPAEASTYHVTPPYGYVAYPQGPAPSSYYNPPYYGNADGMGYSNPSAATYYHQQQQYPHHEASQQQAYAPYPYHMAPPPQLFSDENPNACSVM >Et_1A_006733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26930924:26933653:-1 gene:Et_1A_006733 transcript:Et_1A_006733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAFPEGSGGGAGDGGRVTPFVVLSCVVAGSGGILFGYDLGISGGVTSMDSFLKRFFPEAYRQKQDSKVSHYCQFNNELLTLFTSSLYIAGLVATLVASSFTRRYGRRASMLIGGAVFIVGSVFGGAAFNVPMLLLNRILLGIGLGFSNQSIPLYLSEMAPPKYRGAINNFFELSVSLGILFANIINYFVVRITAGWGWRISLSMAAVPAAFLNTGAIFLPETPSFIIQRDGDTDKARILLQKLRGTALIHEELDGLVSASNLSRTTGYRFRNIFKRKYRPQLVIALLIPFFSQVTGINIVNFYAPIMFRTIGLKESASLLSTVVTRLCATFANIMAMMMVDRFGRRKLFLVGGFQMILSQLTVGAILAAKFKDQGDMDKEYAYLVLIAMCVFVAGFGWSWGPLTYLVPAEVCPLEIRSAGQGIVVAVTFLMIFAIGQTFLPVLCCIRSATFIVFGGWVCVMTLFVYLFLPETKKLPMEQMEQIWRKHWFWKMVLGVEEKKKQAGENSYTKLLAY >Et_4A_033228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20111148:20111899:1 gene:Et_4A_033228 transcript:Et_4A_033228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVDYMKAPEADNLLATELRLGLPGTSNDDRKATPPCTPRGKKRTTTFDAAEDAEEAATNKHNDVEAAPPVAKAQVVGWPPVRSYRKSCFQQQAKQISKPTSNIKEEAVAPASSNAAAAPSFVKVSMDGAPYLRKVDLRMYKGYRELREALEAMFVSSNNGGANLSEFAVTYQDKDGDLMLVGDVPFEMFAGTCKKLRIMKRSEATGLGSPRQI >Et_7A_052186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5435236:5439943:1 gene:Et_7A_052186 transcript:Et_7A_052186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQTLKKNYRCDRSLQQFYTGGPFAVGRSPGGDEEGGVEEFLACACGGEVRLVSSDDASAIGEPIDGDSEAITALALSPDSRILFAAGHSRLVRVWDLASRSCIRSWKGHDGPIMAMACHASGGLLATAGADKKVCVWDVDGGFCTHFFRGHTGVVKTVMFHKDPKRLLLFSGSEDGTVRVWNLDNKKCVAVLKEHFSTITSLALSEDGQTLLSAGRDKVVNVWDIRKYSSKKTIPTYEMIEAVSFIGWGSEFLACLGIEPANMHGKTDGYFLTVGEHGIVRVWSLESSVCIFEQQSSDVTVNSENEETRRGFTSAIMLPNNQGLLCVTADQQFLFYCPGRTDEGTFQLNLYRRLIGYNDEILDLKFVGEDEQYLAVATNLEQVRVYDVASMSCSYVLAGHTEIVVCLDTCVSSSGKTLVVTGSKDNTVRLWDTERRRCIGVGKGHLGAIGSVAFSKKSKNFFVSGSSDRTIKIWSWDDTLVDNDDEIPLKAKAVVAAHDKDINSLAVSPNDGLVCSGSEDRTACIWKLPNLVSSVVLKGHKRGIWSVEFSPVEQCVITSSGDRTIKLWAVADGSCLKTFEGHTSSVLRASFLSGGAQFVSCGSDGLVKLWTIKTNECIGTYDKHDGKIWALAVGRKAEMLATGGTDSEEEVLRGQELENAVTDSDYTRAIQLAFELRRPHRLLELFSQLCRRADPEDPIEKAIVGLPRDGLRVLLEYIREWNTKPKFCHVAQFVLFRVLRSLPPTDILEIKGISELLEGLIPYSQRHFSRVDRLVRSTFLLDYTLTRMSVLDPDIDVGTTKADMNGSSVENGEISEAKSALPAAETEKSTKKRKSGKSSKKGKEKKVKIAPSGLSNDVSVEA >Et_4B_039658.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:25595126:25597411:-1 gene:Et_4B_039658 transcript:Et_4B_039658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGERCVILAAAAAALLALAAAAGRGDERSTYIVHMSRSAMPNDFVEHGEWYAASLQSVSEAATVLYTYETLVHGYSARLTRAEAEALESQPGVLLVNPEVRYELHTTRTPEFLGLDGTDALFPQSGTVSDVIVGVLDTGVWPERPSYDDAGLGPVPAGWKGKCEEGPDFNASACNKKLIGARFFLAGYEAAKGPVDATKESRSPRDNDGHGTHTSSTAAGAAVQGADLLGYAAGTAKGMAPRARVATYKVCWVGGCFSSDILKAMEVAVTDGVDVLSLSLGGGTADYYRDSIAVGAFSAMEKGIFVSCSAGNAGPGAATLSNGAPWITTVGAGTIDRDFPAYVALGNGKNYTGVSLYSGKPLPPTPVPFVYAGNASNSSMGALCMSGSLIPEKVAGKIVLCDRGTNARVQKGFVVKDAGGAGMILANTAANGEELVADAHIIPGSGVGEKAGNALRSYAASDPNPTATIVFAGTKVGIQPSPVVAAFSSRGPNTVTPSVLKPDIIAPGVNILAAWSGSVGPSGIPGDNRRVGFNIISGTSMSCPHVSGLAALLRAAHPEWSPAAIRSALMTTAYVEYPNGKGILDVATGSPATPLDVGAGHVDPAKAVDPGLVYDIAAADYVDFLCAIDYGPMQIAALTKHSSEGCSANRTYAVTALNYPSFSVMFPAAGGTVKHTRTVTNVGPPGTYKVTASAAAGSTPITVSVEPRTLSFTKAGEKQSYTVSFTAAGMPSGTNGFGRLVWSGDHHVVASPIAATWT >Et_9B_064389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14693489:14696398:1 gene:Et_9B_064389 transcript:Et_9B_064389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAAASPPPAADDGRATETVAATTAADERVASHVDPFLVEALDNPRHRLMVLRMELDIQKFMQNPQLQEFEFQNFPTSYLRCAAHRVAQHYGLETLVADSLVDGSVSRIVARKTPESRYPVIALSEVPSKQARSDQESAEKLKFVIYQRPKASQNGGADAGNKNGASKTVEERLDEYNKARARIFSGSISEDTDATSDPVTPSTGRDEPVKVEPSVDENKASIMNSRSRVAVFKDTEKDRSDPDYDRNYKRYVRGPVPDFNMSPGAFNFVVPQFMQYGVGYMQSAGMSANQPAMYFGQPDLSMGSSSGAAVYPHWPTPAVMYPHCYDNTGPMMSQVSLLLGSGIVYPLQVKVLSECIMQLETSLCYAIAYTYFVEAWELFFPFFFGGGGS >Et_1B_012102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2924530:2926097:1 gene:Et_1B_012102 transcript:Et_1B_012102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPQEHLACTAKMAVAAHSASPDCGIDIDEFEDKGGVVLQEEAEALLLHGVGAATTWDTAALCRPCSIAPGAVEERWDVVQDHSVSPPPVPPALAPERGKASAPAPRRRRRRPKAVKNTEEVENQRRNHIAVERNRRRQMNEYLAVLRSVMPPSYAQRGDQASIVAGAINYVKEMEQLLQSLEAQKRSVQRPEQLAAPPFAGFFTFPQYSTGAATTGVPGEDSSDNGGDVQCAPRRGVADIEVAVVESHANVKVLTPRRPRQLLRMVVALQCLGLTVLHLNVTTTADNLAFYSFSLKMEDECRLSSVDEIAAAVNEIVAKISEECVGQLST >Et_3A_024936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25452699:25453345:-1 gene:Et_3A_024936 transcript:Et_3A_024936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSDAAGLPVHREDEDEELFETSFSISGDSEDEDQFSDGEGDEALENKFMQQAAFTTQQPVRRLNCDSLYDLSSMMAQLPVKKGLSKYYDGKSQSFACMSEVRCLEDLRKKEIPYKKIKPSKSYVALDGGNQDCHRVAKTPSGNSCANLMARNNSTNMLYRPPPISVNKSGYHQ >Et_2A_018153.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:14958562:14959413:-1 gene:Et_2A_018153 transcript:Et_2A_018153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHSSLAGAAAAVLLVVVLLLSPPPPCGADPDDERCLSALHRSLSDPTGGLNWTRSAFSSPCEGFISRLQGVTCNNGRVYKLALPGLALGGAIPPELGNCTNLQSLDLSSNALSGAIPAALSSLLNLAVLNLSANALSGAIPRELAGCAYLNVIDLHGNRLSGPIPDELGLLVRLSAFDVSYNRLSGPIPVLLANRTGGAGTAARFNASSFVGNKDLYGYPLPPMRTRGLSVLAIVGIGLGSGLLSLVLSFSAVCLWLRATDRTAATMPGEEGKISQLMPDY >Et_4A_033363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21984959:21988902:-1 gene:Et_4A_033363 transcript:Et_4A_033363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSQFSHETNQALLLAQGLASEAGHSERTPLHLAAALAADKAGLLRVAIVQAYGGHDVAGDAFECIVSNTLKSLPSRFPPPHTAPESTALTNVISQAQSAQEKRGDPQLGVAQLVLGLVQDLQISDCLMEAGVPASRVRAEVEKLIGESGFADITFEALKTYGNDLVEQARSLDPVIGRGEEIRRVIDILCCRTKSNPLLVGEPGVGKTAVVEGLAQRVARREVPRSLLDVHLIALDLGALVAGAKYRGEFEERLRSVLKEAQDSDGKVILAYGPWSRQDRGIMRCCKFAEANACARKTSLHRATTQAEYRKHFEKDAAFARRFQEVFVPEPSVDDATYILRGLRKKYELYHGVKIQDRAIVLAAELSVRYIRSRQLPDKALDLIDEACAHVRVQLDSQPDEVDNLQEKIFQLEVQRHALEGEKTETSKARLVQVKNNIDNLRDKLHQLIEIYKKERQAIDQLGELKRRREHLQLAMQDLVRQMNVTRVADLNTTLQDIDVSIAQMEKKAEGNSMVTNVIGPEQIAEVVSRLTGIPVTRIRQDDKERLFALFDRLSQRVVGQADAVRAVSDVVLTSRAGLGRQQQPTGSFLFLGPTGVGKTELAKALAEQLFDEDLLVRFDMSEYMEQHSVARLIGAPPGYLGHEEAGQLTEAVRRRPYSVILFDEVEKAHVQVFNILIQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGSEHLLAGMIGTISMEAAHDRVREAVKRHFRPELLNRISEIVIFNPLSQEQMLKVSRLQMKDVAARLGEKGISLDVTEAALGIIVFLSCDQLNGARPIRKWVEKHVVNHLSKMMVKGDIDENCTVCIDSALEKDKLNYMIYRSDLDGRRADRNEDQGHRA >Et_5B_045380.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20261208:20261510:1 gene:Et_5B_045380 transcript:Et_5B_045380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRMMRRHALRTRAARKRSVGSSGGMRRRISATRSAISSGGGRGGGMPRAMERKGLGGERAREAARDCWRRDAEEDGDGRSGVGRFVGNGGGGFERPEE >Et_8B_060487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17960193:17961281:-1 gene:Et_8B_060487 transcript:Et_8B_060487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDWAGGLPTDVLLSIFHRLDHADVLMSAVRVCRAWRRAAVDEPSLWRRITMRWHERFAAVDPFAMAAAAVRRSAGQCEAFCGDYFVDDGFLGYLYLQAPCLKSLRLIYCDLVTDESMMAVIMAQPLLEELELSLCSNIIEDWVSYAREETLKLKHLRRNTHFVNCHESERDVEAQAIATFMHDLQSLQLFGNVLTNKGLEAILDNCPKLEYLDIRHCFNIKMDPTLLSKCVGLTTLRLPNDPTGDYGLEIQTPVLIYESDVWSSDYWYTEYGYSEDSDDSSFYDGRP >Et_7A_050328.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:26390639:26390953:-1 gene:Et_7A_050328 transcript:Et_7A_050328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWADISKILDRKIGDNFEPIASLWLCDKCFGVVNMISSAALEGDVHDLRYDHSYGDKLASPMSSWETDFAAPAYCWIEGDEEKTWQNLDGSAEHASYTTAPEYE >Et_9A_062689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3150973:3155920:-1 gene:Et_9A_062689 transcript:Et_9A_062689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGSRAAPTAAAPPWHALPDEVWEHAFSFLPAASDRGAAAGACRSWLRAERRSRRRLSVANCYAASPQDAVERFPAVRAAEVKGKPHFADFGLVPPAWGAAAAPWVAAAADGWPLLEELSFKRMVVTDECLEMIAASFRNFQVLRLVSCEGFSTAGLAAIAAGCRNLRELDLQENEIEDCSIHWLSLFPESFTSLVTLNFSCLEGDVNINVLERLVTRCRNLKTLKLNNSIPLDKLASLLRKAPQIVELGTGKFSADYHPDLFAKLEAAFAGCKSLRRLSGAWDSVPDYLPAFYCVCEGLTSLNLSYATIRGPELIKFISRCKNLQMLWVMDLIEDHGLAAVASSCNKLQELRVFPSDPFDPAGQVSLTEKGLVDVSASCPMLESVLYFCRRMTNEALITIAKNRPNFTCFRLCIIEPHTPDYITHQPLDAGFSAIVESCKGLTRLSVSGLLTDRVFKSIGAHADRLEMLSIAFAGNSDLGLHYILSGCKSLKKLEIRDCPFGDKPLLANAAKLETMRSLWMSSCSLTLGACRQLARKMPRLSVEVMNDSERACPLDSLTDESPVDKLYVYRTIAGPRSDTPSCVQIV >Et_3A_025638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31388579:31393090:-1 gene:Et_3A_025638 transcript:Et_3A_025638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALGLLLAFLAIGSCIASDNIDLWPMPKSVSHGTQKLYVKKDIAMSMVGSMYFDEKAILKDAFQRMVDFITMNHVVDGSNRSLVLTCVNIVVHTPEDELNFGVDESYNLTVPTTGEPLYAQIEAQTVFGALHALQTFGQLCYFDFTSRLIELNSAPWIISDAPRFPYRGLLIDTARHYLPVRTIKGVIDAMTYSKLNVLHWHIVDEQSFPIEIPSYPKLWNGSYSYSERYTMSDAIDIVRYAEKRGVNVLAEIDVPGHARSWGVGYPSLSPSDTCREPLDISNNFTFEVIDGILSDFSKVFKFKFAHLGGDEVNTSCWTATPHIEGWLKNNHMNVSDAYRDFVLRSQQIAISHGYDIINWEETFNSFGDKLDRKTVVHNWLGEDVAPKVVAAGLRCIVSNQDKWYLDHLDASWEGFYMNEPLKGINDSKQHHLVIGGEVCMWGEEIDASDIEQTIWPRAAAAAERLWTPTEKLAKDPRSVTSRLARFRCLLNQRGVAAAPLAGYGRASPSEPGPCVRQ >Et_10A_001211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22135336:22137981:-1 gene:Et_10A_001211 transcript:Et_10A_001211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWVRQAQVWAGQAESWVRQQPPEQIYVAAAVVAFTILVLIAASCLKSSKANTIVLSGLNGSGKTTLFYQLRDGSSHQGTVTSIEENDDIFVLHSEQERKGKVKPVHVVDVPGHARLKPKLDEVLPKAAGVVFVVDAQDFLSSMQAAAEYLYDILTKATVVKKKVPVLIFCNKTDKVTAHSKEFIKKQLEKEINKLRESRNAISSADISDEVKLGVPGEAFNFSQCQNRVTVAEGAGLTGNVSAVEEFIREYVKA >Et_5B_044232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21772009:21773064:-1 gene:Et_5B_044232 transcript:Et_5B_044232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMIQEILLRLPPSTPATRVQAIQLVVWNPLSGEVRSIPSPGHRLGYSNAAVICSRGCDHRACSSTPFLVAFVRVDKDYVHVYACIFSSITREWSARTLTDSDREDYFNDEEPAAIAGDAVVFSGKDSLLVYHMVDRHLWVIELPPMIESNGFEQIRLLPSEDGELRYACLFKNTLRLFSRDAVAAAWEQTAVIKLHELLPFSITSPAYLGGFSDIITNCLFLRGSDGTIFSLSLTNFCTNRVGRTRAQIFFPYYSFV >Et_6A_046666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19172505:19175090:1 gene:Et_6A_046666 transcript:Et_6A_046666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADDVAPPTASAYLDPTYWDERFGKEEHYEWFKDFSHFRHLLAPLLSPSISVLEVGCGNSRLGEELLREGVAGGITCVDLSPVAVQRMRDRLAAQGTQGVEVVAADMLDLPFESESFDLVIEKGTMDVLFVDSGDPWNPDPATVNNVMKMLEGIHRVLKPEGIFVSITFGQPHFRRRFFEAPEFIWSVEYSTFGDGFHYFFYTLKKGKRSLESNTCQNTLPIAPRMNMFHEELESEDYIFRTNVDEL >Et_3A_026399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7770468:7774779:1 gene:Et_3A_026399 transcript:Et_3A_026399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRLAKEAMTAQLRRGGAAPAGFAPARAFSSTATASSCSIPTREFLLSPPRPLPLPTLGCADAFDIPAPASAIGRNLLQNIWSRYSSPALRARAAEYGASAAARVPLGVRPHLSARPFKDISGSPSGSSKNELSSLWPLVRKFQLPVGLILLIVSGWQNPLGLVINILLLIYCSRPSRYSIYLFLQEMRQREMGQNHVVRKEEVLHTRKVDTKDYKFFSIGTVELKDGKVLQLVGMLGSWWIYQYRILEAASWKKKILKCSILPPPACIIYGDPAKKLQEAVDLVPLNCLEKVYSVKWYLGEWEGAHAPITLKDIEFHGRSSHKPSYEKYYPAINEAIEEYNENSQT >Et_4B_038183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2699429:2705590:-1 gene:Et_4B_038183 transcript:Et_4B_038183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDQKKHAVATAAAAAHAAAAVARLSSRRAHLPANLVEEAAAVRIQATFRGYLARTALCALRGIVKLQALARGQLVRKQANATLRCMQALLAAQSRLRMRVLQEQRHHHQQPPTRPRRSPQHPRHRRSYEMDRSCEENAKIVEVDTGEPARRGAAAKVDNRQSFFLEHHGGRCSPAVTELSPPRAYSGHFDELFSAATTAQSSPQHGSSELCPSYMANTESSRAKRSADAPLERQPSRRKGTPPRTTTSSSARTTMMQRSASLVGAAPRVGSQSPWWSASSSGARLDASNASECGSTTSSVLTAATVYSRTRSLVGFEVDNRQSFFLEHHGGRCSPAPSAVTELSPPRAYRGHFDELFSVATTAQSSPQHASAATELCPSYMANTESSRAKQARSQSAPRQRSADAPLERQPSRRKGTPHQLIQA >Et_2B_019815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14213599:14215498:1 gene:Et_2B_019815 transcript:Et_2B_019815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTKRQPESSKTDRIFQTFGSGWRTKTISADCSVERRHVHVDSVRGSMYHLQIGTCKRNQSDQHVVLPTTKRAPVIHLLPADVWRTMFTSLSSFGPLKNAMTMAPPRQTTVPSILARVLSSLMFTLSSLFYTDPLSATHPTDAAMKKVMPGSKFSKAIAIDDEPRTDTSKVPIDHNGDGHEDYGCNGLPVDDKDHGAHTAGEHRLTPECHGRVDALHRHHGHARQFEVCKSKDAKLQQQVTMELAKTPCVAMGRSKTAPTLKVMDG >Et_1A_009413.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:509313:509663:-1 gene:Et_1A_009413 transcript:Et_1A_009413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALILAYRNSFAVLFTADRDMQKAVPKVAYLLAVTMVLNSVQPVISGVAIGGGWQALVAYINLGCYYVFGLPLGFCLGYLLRLGPQGIWAGICSAGRRSRRSSCSSSSGTRTGRPK >Et_3B_031224.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21892208:21892801:1 gene:Et_3B_031224 transcript:Et_3B_031224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAGGIGGTSVVLPDGRLRQVPLPATCAELMLEAPGHFLADARALRPGRRVEALAADDELQRGVLYAALPMKRLGSPAAPADVARLAATVVASGDKARSSSRRRRVSSPAATAKLAAVVAPLEVLEAVAAAASVGEDAPRTMRAALKLDEMAVDGAEAAAQIEELKQRLSGGGRRSRRPTLETILEESYAPARCC >Et_4B_036631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:998061:1000150:1 gene:Et_4B_036631 transcript:Et_4B_036631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSELKAIKFAVNDDPTGGFRRLLLHSGLPRDLLFVLHPVIAAAEQGPASQAEDPDDQRPRNFTQRLLRGATPRPTPPRVIRPRRQGPPRIASCVLSGNAANVAAVAAFKSFVRLAWLLITHCCAA >Et_5A_042147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6839412:6840385:1 gene:Et_5A_042147 transcript:Et_5A_042147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTAMCRLSTPCSTNASPRALLSRARPGGSRWRPRRRTARRGGRATGRGLVVVSEFGGTYDEGFDDVDKNIINYFTYKATHTVLHQLYEMNPPSYTWLYNYVITNDPLDGDYFLRLLAKERHDLAERVMITRLHLYGKWIKKCDCDHAKMYERISNENLDIMRQRLLETVVWPADDTTKGEDKD >Et_7B_055836.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5679553:5680818:1 gene:Et_7B_055836 transcript:Et_7B_055836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEPPQLFLCPISMELMQDPVTVSTGVTYDRRSIERWFFKYGKTTCPATMQRLASFDLTPNHTLKRVISAWLDRASSSSENKLPRERLPSVLAGIEDTPFKVTALKKLRSCMAGDEAVQADFVACGGIRVLGRVMAQALAESGGDFSAFRACEEAGAVLATLDEPPVEALLLEPESVMAPVVALVQRGSAEARLHAMSILAKVSRATAATTAGDRDWTAGVDVDDLVKSLLELLSDDGGASSKLSSRALDVLLDVVAAARSSSSSSSPRGARPRAKAVVELGAVRVLVELLPDADRHVAERVLLLLKRLCKCPEGRAAFADHALAVSAVARTVLRVSGVATRLAVGVLWLVTCAAAPAEKVLDDMVMSGCVAKLLALLQVETSPSTKEKAAKMLKVHGAFWKQYPCFPTDLRDYLKFLN >Et_1A_006155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18566331:18569088:-1 gene:Et_1A_006155 transcript:Et_1A_006155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSISYPPDDYLPAVEDNMGRLFIRSLSFDDMEMEDAVESPSALLPAFSSGKLIIEGSLSFNQREDDNVQMETTLSIRSPKPETEGCNVNPSATAAAPSRFEPANDCPPDSPVIGMHSPRHQAAAVRLQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLKRNSVSFFDIKKPETAVSKWSRARIRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYHRWLHCQSKQPFFYWLDVGEGKDVSLENCPRWKLHKQCIKYLGPKEREAYEVIVENGRLMYKLSRQIVDTAGSAKGIKWIFVLSTCKNLYIGQKQKGVFQHSSFLAGGATSAAGRLVVEDGILKAVWPHSGHYRPTEQNFQEFMNFLKDRSVDLTNVKLSPSEGEEDADFSLKGGQSQLDLNELCEAEDEQEAEAAQDHGEDEVEACSSSPWNGAANVPSTETETTTPTMIPKIPGASRLQGKRPPKLQVNFNNRFPTPPASHSNTRPSPGAKEIVPDSATFGECLDFCKRNLFVEDGYEDDDRVEVPEELILNRINSKRSMRSYQLGKQLSFQWSTGAGPRIGCVRDYPSELQFLALEEVSLSPRGSRTMRFTSPRPSALTPNSIPTTQAINMNISLKPRQRSITWAAF >Et_7A_052834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18786192:18786578:-1 gene:Et_7A_052834 transcript:Et_7A_052834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRRTLSAAFVVLFLVTASEMIAPAQAYKCTRLSANFHGWCFDDRHCNRVCLGEGNGNTGGVCGTNELKCYCIYDCERAPVPAASPDAANQNAGPIRGHE >Et_4A_032458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10197133:10201023:-1 gene:Et_4A_032458 transcript:Et_4A_032458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAQHVAGLACPPLSGASLHRPAAPRRTPSALVCGTFALTKDEQERERMRQLFDAASERCRTAPMEGVAFSPEDLDTAVETTDIDTEIGSLIKGTVFMTTSNGAYIDIQSKSTAFLPLDEACLLDIDNVEEAGIRPGLIEQFMIIDENPSDETLILSLQAIQQELAWERCRQLQAEDVVITGKVVGGNKGGVVALVEGLKAFVPFSQVSSKTTAEELLGKELSLKFVEVDEEQGRLVLSNRKAMADSQAQLGIGSVVLGTVESLKPYGAFIDIGGINGLLHVSQISHDRVADISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKADEMAQIFRQRIAQAEAMARADMLRFQPESGLTLSSEGILGPLSSDTPSEDSGDDLTDE >Et_2A_016845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28831704:28832464:1 gene:Et_2A_016845 transcript:Et_2A_016845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSPSASTPTSCATLEACPNALHRPLELFPRLAMAAWRGAAPVFSTPLTGRLICDGAAELRILTRLKSVVDLNLVIPGQATCPLGRRHRFDDRKEVINPAREREREGQKKEKRYSPYAHSDPGSESKMMRTLVDTGAELVMVMSMVLLD >Et_10B_002814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11957616:11958615:1 gene:Et_10B_002814 transcript:Et_10B_002814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYTMADDTTDYFVYSAGAETSRPQPSLSLLPQCYMDEEAAGTHHERKQHHMNFRSTVVLCRGDEMPLVADLDVKASTGNNRPLYGDLFMFRSGEWEVKRWLPVHHDDGKGEELSYWEGTDMVVAVGDRFLYWVDLLRGVIFADVSEKDTVLRYMSLPMEPLLRTRNEYEESPETTGECRSTVLLRRPGPDVVRAFPLRRYAFTITTWTLRTDRMTWEKDCVVDCDELWPVRGYQGLPRVRPSHPVVSLEDPHVICLVVHKNATMCDVDGEDGTWVIEVNTRRKNIQSVRNDEAFYDQRFLPSELSKYFITSLEQ >Et_4A_033507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23964417:23967020:-1 gene:Et_4A_033507 transcript:Et_4A_033507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGQEADGTMNQGQLNTANMGSNGDKTTEEESRIVKEAIDIAVEQLLLECANNVITEDATIIGEGMVSYAGNQSLPVEEINSSFSGDAEEVTFTANANEVPLAVADTEVCPLLLDATAVADGGAADSMVCAGQRDKEKQSAPLLAVAETEPISSSPTVADKESLYAGAEADHGTLDRPSGLAEDFATPTRPSQGAEADSPGCLGARSSFSDVVRGS >Et_9A_063341.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:20833410:20833811:1 gene:Et_9A_063341 transcript:Et_9A_063341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAGAAACLLLLLVAQPLLSSSSIEKPSCKFEYTSLALLSCEDTTPQTPTHSCCDALLYAVDVWPLSSLDQGVCCLCSYLVAKGPLAFDLAGACVACRGKDAAAVQVWRQQFITTPADCKGERRNITGPMYE >Et_10B_004400.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:6174655:6175026:-1 gene:Et_10B_004400 transcript:Et_10B_004400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLALALLLLLAVSASVSASALSGGAGDHLDLAFLSSGAGGRKECRGTVAECLAEEEEEQLGSAAAEGHRRSLAGRNYISYGALRRDNVPCSRRGASYYNCRPGAQANPYRRGCSRITRCRG >Et_5A_041041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17041821:17045953:-1 gene:Et_5A_041041 transcript:Et_5A_041041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANRVQAAEAESASSGSCMEGEEEEESAVTQQGFSSGFFLNVRQVAIPRSESTQFIPIRSFRGEQISDSPPVRLSRANLASGRNEPPSSPPPPVRSDGARASGVAEAEGEGQLDDAEIPHYIGVKKRPWGGYTAEIRSPDKTSWAWLGTFDTAEAAVRAYDEAAREHGGPKAKTTFPSPIAWRAPTAPFPSPNPPTGGATSSSRRSTVESSGADIEAKTMRLPFKPPTAAATRSSIGSTVDLLGSYIMASEPTPTLTPTKAEAIRRLLQQLAHVRTTISSPGSELLSDAWLSTVEHVMADAEDCLHFLHYKMILVQLGITREQQTARSSSSSETSLRIPCGEEIHILSIVQRLERFIQVRELSFAALQSSSKMLSLSHSVIHCIRICLGILTTLSIIGIDHKKEAQEHPVFAFDDLTTAGQYRAWSIPPQVSNPIYLFCSAIRDLLYLDLSGCSSLAKLPTSIGTLDNLNALNLSSCYSLHALPVSLGSLKKLHILVLSFCRKLRSLPLSLCELSKLRLLDLSRCSGLEILPNAVVNLGHLENLNLSDCKRLKELPQPFGSLQGLKYLNLSGCHGMDLDVKYLYNLANLECLNLSPHTHIKGFPDSFQDLANRLEMMRWWKKNWVHPRCNPNVSKLFNLQPIIYELLQKLLMFKGIYLLCPQVASLQSYRCHEQRIIDKLLYCAFNEGDATSDQGVTSICIVGESGMGKTEMLHRIYNDPMILDTFNLRIWIPEFTVCDKKSLLIKIMEFTTCTCFSDASMSVLEDIVIEELTGKRLLLALEDSDIENKNFWGDVRRLLNVSAKGSGLVITTKNMEVPNLVSAIETCYLNYLSKEECFLIFKEHVLGCLDMNDYPQLESVGWKIVEKSGGNALCMKALCGLLCHSESSLSEIDMLVDGILSVFRLCYDTLPTHLQQCFKFCSLFPKDYTFNKHHIIRLWIAQGFVFPEEGIQPEDTAMQYFDELLCRSFFQYSPIYNDQKDDFIMHELFHDLAASVSKDECLRSKEPFCSLPENICHLSLVSDCKTIVLNKEVKNLLSLLVVRRSLPLVRILHSNDPYIKYEFLRALNLSYTDILELPSSIGNMKHLRFLALNNTMIKGLPFEIGQVGTMQTLELKNCCHLTELPRSTNNLSKLRHLDVRKKHGDTKVGMPHGMGQLTDLQTLPVFNIGNDLLHCSVSELANLTGLRGHVHITGLENIKTADDTREANMMDKLFIESLTLEWCYDDESIDDDLGKEVSNDILQNLQPNSNLGELVIRNYAGSLFPVWIQNSYLDKLVSITFDNCQECSELPHLGDLPSLKYLFIQRMNSVERLGLDSSSSATESKHSPRFPSLEVLTLWEMYNLQFWFCTSEGDFPRLCHLCVNSIDAQNS >Et_9B_066063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2208974:2214246:-1 gene:Et_9B_066063 transcript:Et_9B_066063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRLRALLLLRPGRRSQRGSHCRRPTRPDWYAPPPPPRLLCIVPFVARRAYEFGAIARPVRAWFPGWTGGGGQAPRYSSTAAQMPELAQDINKGKLECLCHISGNIMLLLGLLILKPRSTYPFHVELALRLNHVIIEFSPIDVERADGETGGITPNGCWKGKYRVGPDERQVDGLSWPAASCSRNSSFGGTLGRGVSARPLSYTGSSIDDWAVSLRRWLKFPRAPVLDGLTSLDSEVLSVLIVGAAPL >Et_8B_060042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5317432:5322984:-1 gene:Et_8B_060042 transcript:Et_8B_060042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAEACTYTTDEALSRLGFGRFQALLLVVLGTGWIAEAMEIMLQSFVGPSVKAEFGVSAEEEGLVTSVVFVGMLIGAWVGGLGSDRFGRRWLLGLSSLPCFILLIFFGLIPESPRYLCSRGRASDAMLVLERIARMNNESLPPGILTSDPKRRVDHTLDASVTTHLLMPEDNLIDENTSSKSDGINGFQALWSHELIRSTLLLWLVNFACYFSYFGIVPLISEVSNGKRSCTSVERHLMLPKDSSLYKNVLAVMPILGWRWLLGLSSLPCFILLIFFGLIPESPRYLCSRGRASDAMLVLERIARMNNESLPPGILTSDPKRRVDHTLDASVTTHLLMPEDNLIDENTSSKSDGINGFQALWSHELIRSTLLLWLVNFACYFSYFGIVPLISEVSNGKRSCTSVERHLMLPKDSSLYKNVLVTSFAEFPGLLVAALLIDRVGRRVSMGGLILLCCASVVPLAINLRGGFAVTLLFSARTCIEGSLAVLHAYAPEIYPTSCRNTGVGVANSIGWIGSTVATLTTITLLENCLEKEAVFVIVLPLFLAGVGCAFFPLETKGRDMY >Et_4B_035995.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:11583691:11585779:-1 gene:Et_4B_035995 transcript:Et_4B_035995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRQSYWCYQCRQQVRPRGREMVCPYCDSGFVAEMDDVDSLMSQFVGMDPDFHRDPRFGIMEAISAVMRHGMVGMNREVDVRGRPNIFSDLEMEFGSGPWLLFRGQLPGHLSEDNAFDVFINGRRGVGMWRANIADYFVGPGLDDLIEQLTQNDRRGPPPAAQSSIDAMPSVKITQRHLIGDSHCPVCKEKFELGSEAREMPCKHLYHSDCIVPWLEQHNSCPVCRYELPTQGSSGASCSRSRSTSQSQTQTASSSSNSSGRNSGRQRRRNPFSFLWPFRSSSSSSSSR >Et_1A_006946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29301994:29305121:1 gene:Et_1A_006946 transcript:Et_1A_006946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQHGGGGGGGGGAAQQFGVQQVEMPPPFSPVGQRISLTEAPSPISSRPPAQQFDELGASGASAGGFDAEGLAAAAAGEEGASGGSAGNRWPRQETLALLKIRSDMDAAFRDATLKGPLWEQVSRKLAEQGYNRSAKKCKEKFENVHKYYKRTKESRAGRSDGKTYRFFTQLEALHGTGSSPAPSPVTSFAPPSTAPLPVRAPAEPPPAAVSAGMPAMGMGNMSFSTSNTEDYSDEEDSDDEGTQELGGDERGKRKRLSSEGGAAAAIGGGGSGSGKMMRFFEGLMRQVMERQEAMQQRFLEAIEKREQDRMIREEAWRRQEMARLAREQEILAQERAMAASRDAAVLSFIQKITGQTIPLPSIAAPAINVMPPSHPHPTPVVASASPAPPPPPAASLAPPPPQQPQPSPRPQKSPTPVTPQAAPPPTQQQSSSDIVMTPLPDTPGYDGSGGGGGATSSRWPKAEVHALIQLRSNLDTRYQEAGPKGPLWEEISAGMRRLGYNRSAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYRNKAALGGGGPAVHANASSTQQEAVTVTAAAPISQTAPPPPQQHAAKNGGGGHAGAGNGNGGGGGASEHGAGGSGGMQMQATNGSVAAAKKPEDMMEMMEQRQPQALLPSSYNRIDGADSDNMDEDEDDDYSDDMDDDDVDGNKMQYEIQFQGQQQQQQQQQQQQQQHHQQHQNVVRPNAGGGNPAATAPPSTAATTTTGSFLGMVQ >Et_1B_012207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29946831:29950302:-1 gene:Et_1B_012207 transcript:Et_1B_012207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKAEAARPESSAQEEEEDWKEAEGDVEVDRAASNGAGEGEVPKDRPIRVYADGIYDLFHFGHAKSLEQAKKLFPNTYLLVGCCNDELTHQYKGRTVMTEDERYESLRHCKWVDEVIPDAPWVVNEEFLNKHNIDFVAHDSLPYADASGAGKDVYEHVKKLGKFKETKRTDGISTSDIIMRIVKDYNEYVMRNLARGYTRKDLGVSYEKRLRVNMGLKNLSDKVKQHQEKVGEKWSTVAKLQEEWVENADRWVAGFLAKFEEGCHSMGTAIKERIQERLKAQSRDFSLLQYDEYEDDDDEEEDEKFQDVKE >Et_1B_010802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14249746:14251472:-1 gene:Et_1B_010802 transcript:Et_1B_010802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGAGSRMGVAGALGLSVTSSVAIVICNKYLISTLGFFFATTLTSWHLMVTFFTLHVAQRLRFFEPKPIDTRTVISFGLLNGISIGLLNLCLGFNSMTKLAIIPFTIVLETLFLSKKFSQSIKASLMVLLLGVGIASVTDLQLNLLGSIIAVLTIAATCVGQILTNQIQRRLKVSSTQLLYQSSPYQSAVLLVTGPFVDKLLTKRDVFAFNYTVQVVAFIVLSCSIAVCVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYVLLRDPFTARNVVGILIAIFGMGLYSYYSVAESRKKTEAAAGSLPVAAHQMGEKDSAPLLGAKSSPWQESKDAESFDYVPRTAKSAFTGR >Et_6B_048367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16378511:16379071:-1 gene:Et_6B_048367 transcript:Et_6B_048367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHMGKLYPVVNGGDLFAHEITEDTDTREPKVSRINHVIRAPSHLDGFYKTWNSATYLVNSLTGKLLLVRLKVFEADFERSLWVELKRLEDQVLFVSSNGSKSMSASADDDYGHANKIYIIDCNVLSWYLWPKHDSCTWVYDMCRKAVHPVYLGGRMIHQLEAAWFFP >Et_5B_043541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11659194:11659996:1 gene:Et_5B_043541 transcript:Et_5B_043541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAARGAGTAEAAKEIDKKVELMKEVRAHEVAISELNSLPPSRPVYQKAGNIFFRKSIQSVVTAEQKQLDLAKARLNKLDQA >Et_7B_053329.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8670852:8672054:1 gene:Et_7B_053329 transcript:Et_7B_053329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYTRENLRGQTTAKLPDEVVFDILAWLPVKTLARFKSVSKAWRATISDPFFIRTHLQKSAEKEKQTPSFLVIPHALDMVIDDEPWPTTVSNTVPFYRWQEGQDDACLVHLASFHGEFGSIYLMSPCDGIVMLPTDTKVYVFNPAIGDVLTLPDGQKDEAPLQSLGLGLDPRSNKYKVVRSFCRSLDFCERTYSLGMEVFTICSDDSALCWRSIAEDPPFPTDCRVPMHFKGSMYWFIMEELVECRPQGFLRLKLDDETFSFISYPLFSPEMQRLDLIDLGGELCLAQCLLTEIVIWTLSSDDGHEWAKIHVISFTEASKSMPFFGTPEYGILIRSGNYFYRHDEPNRPPREVVCLDQLRYKNPNIGSDSNGKDYFFFNLVPYTESLVPVTTARSSMPK >Et_2B_020332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19034667:19040640:-1 gene:Et_2B_020332 transcript:Et_2B_020332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTTFNLGKLTDQDVRFEQFQCRESAGFTSSYGSECVQAYSSVRKTTVESALRRLGVEEETSTDDDDVEWGRIRRWIRAACAAVRGVFASERRLCFLIFHDFPLSSSNTTPFAEAIKGAAQQLLGFTEAVVNNIWFLRRSPEKLFDTIDMHDALADLLPDISGLFAASKATESIYMQAAKARSSLADAVPGMLSQFESVVSHDPSKVPVPGGIVHPLTRYVINYTGNLISWYYKASLSELITSGPPLSCSRVTAIDQEATQAVVPNPDSPLPPLATHVAWIIAALEHNLECNAASLYKDAAPSHFFLMNNGRYIVDKISDDSSELRGLIGEHEGLHVGGGFPMDISKLVLRERFTGFSIGFGEAHKVQSRWYVPDTQLREELRISISEKLLLAYRPFFGKYRHQIVKGKHPNIYIKYSVEDLEEAMADFFEGASPSKLHKSLLERAFRFRIPRI >Et_6B_048465.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:7845800:7845946:1 gene:Et_6B_048465 transcript:Et_6B_048465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYIRDSDGDVVQERRAKLNHLMGSFQAEIIACLQGVHAAMHWPCNN >Et_4B_038079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26040038:26043216:-1 gene:Et_4B_038079 transcript:Et_4B_038079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSGLWGGKAGGEAYRGTPVVVKMANPNWSISEISSPEDDEEDLLAAAGRRKGARTKNAKQIRWVLLLKAHRAAGCLATLASAAAALAAAARRRVTAGRTDAEAGVVAATGECPVVRSRFYAFIKAFLIVSVLLLAVELAAYFNGWNLAASALAIPVIGLESLYASWLRFRAIYVAPTLQFLTDACVVLFLIQSADRLIQCLGCFYIHLKRIKPKPKAPALPDAEDPDAGYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKSNFLVQVLDDSDDPLTQTLIREEVAKWQQQGARILYRHRVLRDGYKAGNLKSAMGCSYVKDYEFVAIFDADFQPNPDFLKRTVPHFKDNDELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGIFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLNGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIKCKIAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPEWVVCYIPALMSLLNILPSPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEVAKEPLALKKDSKKKHNRIYKKELALSMLLLTAAARSLLSKQGIHFYFLLFQGISFLLVGLDLIGEQIE >Et_5A_040208.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:22569120:22569563:1 gene:Et_5A_040208 transcript:Et_5A_040208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHLRKHTLSVIEPPDLYHSDVALVPSQDGLLELAGISDSSLYLWSRKANDDGVVGWVQRRVIKLRTLLPTDNPSKNPYVFVIGSGGVGVIFMSTYVGAFTVDLKSEQARKVAEPGDYFYVIPFMSFYTPGMVAALCLFVTLHCTTY >Et_4B_039241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9001072:9002484:1 gene:Et_4B_039241 transcript:Et_4B_039241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASLASYAPAAAMPALELLPEKAHQPAMSPSHHGWDGNGAAVIPTPMPKRLDGKVAIVTGGARGIGEAIVRLFAKHGARVVIADIDDAAGDALAAALGPQVSCVRCDVSAEDDVRRAVDWAVARHGRLDVFCNNAGVLGRQTRAAKSILSFDAGEFDRVLRVNALGAALGMKHAALAMAPRRCGSIVSVASVAGVLGGLGPHAYTASKHAIVGLTKNAACELGAHGIRVNCVSPFGVATPMLINAWRQGHDDGDGGDADIDITVPSDEEVEKMEEVVRGLATLKGTTLRPRDVAEAVLFLASDESRYISGHNLVVDGGVTTSRNLIGL >Et_4B_037181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1599267:1605908:-1 gene:Et_4B_037181 transcript:Et_4B_037181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALALVLRRILHQHSNSSIGSYLHYQPRYYCNPHLDYHNLARARAFTFSPPCRPRGSSPPPALASLLFSSLAGLGKKGEAEEDEEAEVLDMEAGTVRCAANYAPLTPISFIERAAAVYGGRAAVVYGERRRTWAETRDRCVRAAAALATRFGVARGDVVAVLSPNVPAMYELHFAVPMAGAVLCTFNTRHDAAMVSVLLNHSGAKVFFVESSLLHVGRDALKRLAESNAATRPVLLTISEDDADADSGSNDYEDLVRNAPPQFDIRWPENELDPITLNYTSGTTSRPKGVVYNHRGAYLNTVATVLAYDITAMPTYLWTVPMFHCNGWNLPWGVAMQGGTNICLRHFTAKVIFDCVARHGVTHMGGAPTVLNMIANAPASERKPLPGPVRVMTGGAPPPPRVLLGVEEMGFVVYHIYGLTETYGPATVCTWLPEWDALPAQDRARLKARQGFHHVAVQGVDVKDPATMESVPCDGQTVGEVMFRGNTVMSGYYKDLKATKESMAGGWLHTGDLAVRHADGYIQLKDRAKDIIISGGENISSIEVESVIFSHPAVLEAAVVARPDDHWGETPCAFVKLKDGASATEAEIISFCRERLPRYMAPKTVVFEDLPKTSTGKTQKFVLREKARAMGSLTKTANSKLIGSALCLAEEATYVEYLAREMDTRCHGRLDMVRRDYVPLTPLSFLERAAVVYGDRTAVISGDRQFSWRETRERCLAGASALAHLGVGRRDVVSHRPGVASISSSSFDFLSCQKKKKISLKH >Et_4B_039080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7639591:7639935:1 gene:Et_4B_039080 transcript:Et_4B_039080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLERVTKKSVAGWSRTLTGCIRAGDGEPGFEAAAMHGAYISGARVQDGPRNLEDGLTLASSTEAVLQSAERQGRGRTY >Et_7A_052116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4822044:4824128:1 gene:Et_7A_052116 transcript:Et_7A_052116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSYQVGNGMYVSGRPEPPKEKAPTICSTAMPYTGGDIKKSGELGKMFDLHVEKSRKSGPLGNAPSRNPSFGGAASNSGPVSNVVPGAGGSARAKSNSGPLNKHGEPTKRSSGPQSGAGTPMARQNSGPLPPVLPTTGLITSGPISGPQSTGAPRKVSGPLDSVASMKMRATSFAHNPAVTNLNTEEGYSIQGSFPKPILWAVILLFVMGFIAGGFILGAVHNPILLIVVVVIFCFVASLVIWNVCWGRRGAIGFVNRHPDADLRTARDGQYVKVTGVVTCGNFPLESSFQRVPRCVYTSTCLYEYRGWDSKAANTGHRRFTWGLRSMERHVVDFYISDFQSGLRALVKSGSGARVTPYVDESVVIDINPDNKDMSPEFLRWLREKNLSSDDRIMRLKEGYIKEGSTVSVMGVVQRNENVLMIVPPSEPISTGCQWGKCVLPTNLDGLVLRCEDTSNIDVIPV >Et_5A_041083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1839645:1846490:-1 gene:Et_5A_041083 transcript:Et_5A_041083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKVGLVDVMSSGGKKSLNTVTLLFKLPYYTHWGQSLIIAGSEPALGSWNVKQGLALSPVHQGSELIWCGRVSVVASFTSEYSYYVVDDNKNVLRFESGEKRKLVLPEGIQEGDVVEIRDWWQDASEALFLRSAFKNVIFNTTESDKRESQSVSINKSLDPEEIVVQFVISCPRLESGSSVVVTGSNPQLGRWRAQDGLKLSYVGDSFWKGNCVLRKSDQISQAGNPSLELGPNREVDIDLSSPKQSRYIVLSDGALRDAPWRGAGVAVPVFSIRSDEDIGVGEFLDLKLLVDWAVNSGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSDAIPADIKEEIQQAKKQLDKKDVDYEATLSTKLSIARKMFNLEKEKVLNSSSFKQFLSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSQFTKEKLEKLISEGTLHYDVICFHYYVQYHLYMQLSDAAAYARKKKVILKGDLPIGVDRNSVDTWVYPTLFRMNTATGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQLAKYFTAYRIDHILGFFRIWELPDHAATGLVGKFRPSIPLSQEELLSEGLWDFDRMCRPYIRQEILEEKFGSFWTVIAANFLNEYQKQRYEFKEECNTEKKIIAKIKTSPEKSLWLEKEDSVRRGLFDLLQNIVLIRDPEDSTKYYPRFNLEDTSSFRDLDEHSKNVLRRLYYDYYFARQENLWRENALKTLPVLLNCSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPNLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEGRRSRFYKTVVGSDEEPPSRCTPEVVHFIVQQHFDAPSMWAIFPLQDLLALKDKYTTRPAAEETINDPTNPKHYWRFRVHVTLESLLDDKDIQATIKDLVTSSGRSFPGKVEGADESGEKLSKVQLNGKP >Et_2A_017460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34585604:34589048:-1 gene:Et_2A_017460 transcript:Et_2A_017460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIRRIENATNRQVTYSKRRTGIMKKARELTVLCDAQVAIIMFSSTGKYHEFCSPGTDIKTIFDRYQQAIGTSLWTEQYEVNMQRTLSHLKDINRNLRTEIRQRMGEDLDNLEFDELRGLEQNVDVALKEYHVITTQTETYKKKVKHSYEAYKNLQQELGMREDPAFGFVDNTGAAGWDGAVALGGGGGAPDMYAFRVVPSQPNLHGVAYGSHDLRLG >Et_3B_029627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26657151:26659306:1 gene:Et_3B_029627 transcript:Et_3B_029627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLSPREAASLPREDLEAHVVLLLRQCRGLPALRATHAHLLRLRLPRLTAAFALSKLLASCAATASTTAAASYARNLFGQIPDPTAFCYNSLIRALSTPASPAAAADPFLVYRRMLRAGSPHPNSFTLAFALKACAALPALGEGRQLHAQAFLHGLEPSPYVQTGLLNLYAKCEEVALARTVFDGMAGDKNLVAWSAMIGGYSRVGMVNEALGLFREMQAAGVEPDEVTMVSVISACAKAGALDLGRWVHAYIDRKGITVDLELSTALIDMYAKCGLIERAREVFDAMVERDTKAWSAMIVGLAIHGLVEDALELFSRMLALKVKPNNVTFIGVLSACAHSGLVEDGRRYWSTMQDLGIKPSMENYGCMVDLLTLLAASKSLNRIDIVEPASKRLLELEPLNPENYVLLSNLYASSSQWEQVSYMRKKMKENNVTVVAGCSSIELNGYLHKFVVSDDSHPEIKEIRLVLREIADRVRRTGHKPWTAAVLHDVGEEEKEVALCEHSERLAIAYGLLKTESPHVIRVVKNLRFCPDCHEVAKIISKSYNREIVVRDRVRFHRFTGGSCSCKDFW >Et_7B_055165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:797696:799139:1 gene:Et_7B_055165 transcript:Et_7B_055165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GLELAHSCAEATKSDVFEVRATNGDTHLGGEDFDHRVMDHFIRLVRRKHGKDIAGDGRALGKLRRECEHAKRALSSQHQVRVELEALFDGVDFSEPLTRAKFEELNSDLFRKTMAPVKKAMADAGLIVLVGGSTRIQRQLLKDYFDGKEPSSEINPDEAVAYGATVQGSIVAGDDTTKNVVVLDVAPLTLGIETAGGVMTSLIPKNTVVFTTYQDKQTTVSIKVFEGERTMTKDNRLLAKFDLTGIAPAPRGKPEIEVTFEVDVNGILQVEAADKSTGKSEKIKITSEDRRLSQEEIDRMVREGEEFAEEDRKVKERSYVYNVKSTVDGKLGDKINSDEKEKVEEAVREANEWLDENPDAEKEGYAEMLKEDVCNPVFSAVYQRSGGDDETEEDGHDEL >Et_6B_049693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:962391:966819:1 gene:Et_6B_049693 transcript:Et_6B_049693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVDKYEKLEKVGEGTYGKVYKAQDKVTGQLVALKKTRLEMDEEGIPPTALREISLLNLLSHSLYVVRLLAVEQATKNGKPVLYLVFEFLDTDLKKFVDPYRRGPNAGPLPTQLVKNFLFQLCKGVAHCHGHGVLHRDLKPQNLLVDKEKGILKIADLGLGRAFTVPMKSYTHEIVTLWYRAPEVLLGATHYSTGVDMWSVGCIFAEMVRRQALFPGDSELQQLLHIFRLMGTPTEEQWPGVSALRDWHEFPQWKPQSLARAVPTLEPEGIDLLSKMLQLDPANRISAKVAMEHPYFNSLDKSQSDASYHASQETGLWARRFFA >Et_1A_006911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2908285:2909348:1 gene:Et_1A_006911 transcript:Et_1A_006911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPHQSVQALAPSLDALPPEFVRPEQEQPGATTFRGAAAPVIDMSEPGCGARMADAAQDWGLFQVVNHGVPEAAVAELQRVGRAFFALPQAEKARHAMDPASGRIEGYGTKLQRDVDGKKTWNDFFFHVVAPPAMVDHGVWPAPRPAGYREANEEYCAHVRRLTRALFGHLSLGLGLEEGAMAEAFGGDDLVFLQKINFYPPCPQPELALGVAPHTDMSTLTVLVPNEVQGLQVFRDGHWCDVKHVPDALIVHIGDQIEASIFSNGKYKAVLHRTTVNKDKTRMSWPVFVEPPRELVVGPHPQLVTEENPAKYKAKKYKDYQHCKINKLPM >Et_2A_014466.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:10434039:10434398:-1 gene:Et_2A_014466 transcript:Et_2A_014466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIPATPTTPMMMTPPMTTTLFPNYQVSGAGSAAPRHGGSSSGSVGAFFGVLAAVLVLTVLSCVFGRVCAAHAEGPDELYDCTRLVRRRRRRELRPSPVPAAEAKQPSEPPLPLPEP >Et_9A_061195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21537852:21538689:-1 gene:Et_9A_061195 transcript:Et_9A_061195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRGQQEPVHEEMGTPPAAAVPRAPPPAGASSSSEVRYHECLRNHAAALGGHVVDGCGEFMPGAGAGDDALKCAACGCHRSFHRKDDGQRRQLLLPAPAPPPPRRLPTLTPPPPATPTTTRRRSRTTARPAAAAAPPPSPPARSGARRRRRRLMVGRGSGGSGSGPSSRRSRRSRCWRSRSGWGGGCRSRTRRWWSSSARRSGCAARSSRSGCTTTSTSAGGNSRSCRSNNPNSSSNRSSSSSSHRRRQG >Et_2A_014679.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34148098:34149177:-1 gene:Et_2A_014679 transcript:Et_2A_014679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACIGNKSNCSEELKLPLALFAVERDSKKRLLFDVSSRKVRGINSSVFQDATCAFENGGWLLMIQHKSKYSQEQTLFLVHPCSGRRLDLPVVPSSDKGFFMFYINSCGTPLVVAHIVIWSLVPTIHIACPGDLYWSVYQHDAEPPCMSESMCKLVEPTCIVDVALLGTQAICLDRNGQIMIFSITEMTWRRMGSCPPECSERDHHFLVTSNGEAVLVSGSLMMENAFKFLKLNLEALEWSPLDDWELDDTSWFLRKGQSFCVKEVGKRKVYVFSGPEQFMKSLTENSNGTSAHDTLKSITNAYAFDLDDGSAEMLIPASIVTEVRHWIRPAVLTNPMQGKNIVFVKSGFTFPSLILHP >Et_4B_038843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5264560:5268689:1 gene:Et_4B_038843 transcript:Et_4B_038843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVAPSEHLCYVRCTFCNTVLAVGVPCKRLMDTVTVKCGHCNNLSYLSLRPPMMQPPSPTDHPLGPFQGPCNDCRRNQPPPLASPTSTDLSARVPFVVKPPEKKHRLPSAYNRFMREEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSTAVSTATSNNAPEPRVVSGPQMLLQERAKEQVVESFDIFKQIERSI >Et_6A_047440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:613075:615869:1 gene:Et_6A_047440 transcript:Et_6A_047440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAREHFVLVHGEGHGAWCWFKLRWLLEGSGYRVTCIDLAGGGVDPTNPNTIQPFDHYNKPLIDLISALPEGEKVILVGHGTGGLCVIHAMHEFIDRIKQAVFVAATMLPFGLQTDEDKKDGLPILPEEEVTLTFGAGPDDPPTTVALRPEFQRDRLSQQSPEEESVLASMLMRPWPETAFSTARFEGDDERLNRIKRVFIKTERDHMLDPQQQDSMIKKWPPSEVLVIDTDHCPFFSAPEQLFNLIERAAKQGSLLQ >Et_4B_036033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14972735:14973925:-1 gene:Et_4B_036033 transcript:Et_4B_036033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWTGAIGAVKKRQDEQAAAAEPAYQSVALVVGSTGIVGTSLLDILPLADTPGGPWKVYAISRRPLPPWSPAPSPAVTHLHLDLADSAAVADALAPLTDITHVFYVAWANRPTEAENREYNSAMLRNVLSVVDLPRLDYPNFYYDLEDILFDEVSRRDGAVSWSVHRPTTVFGYSPRSAMNVVGSLCVYAAICKKEGTSLRWPGGRLAWEGFSDASDADLIAEHEIWAAVDPFAKNETFNCSNGDIFKWKQLWPTLAEHFGVEWAGYEGEENRFKLSEAMAGKEAVWQEIVGENELLGTELDEITNWWFVDAVFNVESEHLDSMNKSKEHGFLGFRNTVHSFNTWIEKMKVFKIVP >Et_4B_037410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19598931:19605094:1 gene:Et_4B_037410 transcript:Et_4B_037410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMPRSIRTHRDPSPRGLLMIGPPGCSKTLMARAVASEAKLNFLAVKGPELFSKWVGDSEKAVKSLFAKARANAPAILFFDEIDGLAVTRGHENDGTSVADRVLSQLLVEMDGLDQRIGVTVIAATNRPDKIDHALLRPGRFDRLLDVQPPDEGDREDIFRIHTRSMPCSPDVDLNELARLTEGYTGADIKLVCREAAVAALDVSYSFLNITENLDIPEVSIRHFKYAINRIKPSDVKFYQELAVQFRRFVDDASRRKQ >Et_7A_050820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11232661:11237645:-1 gene:Et_7A_050820 transcript:Et_7A_050820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPMEVSFSVPPPPPDAAPPAHAPAPAPSPSPASAPPLVPAAAVSCSPQPQSQPAAAAVAPSQADDRVRVSVEVLLHATSVARHEEIQAAVERMLEARSLSYVDGPVPIPTDDPFLFENVKRIHICDTDEWVENHKVLLFWQVKPVVHVFQRLLRYAASALLFTEKGVDPCLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFKSRYSMCQLVEVNAHSLFSKWFSESGKLVAKLFQKIHEMVEEESNLVFVLIDEVESLAAARQAAISGSEPSDSIRVVNALLTQMDKLKSWPNVIILTTSNITTAIDIAFVDRADIKAYVGPPTLQARYEILRSCIQELIRVGILTYPQGGSMPCILNYSTLKEKKHCLEAAEPNGAIHLSSLLHQAAELCEGLSGRSLRKLPFLAHASAANATCCDATTFMHTLIQTALREISESRG >Et_1B_009836.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31494104:31494421:-1 gene:Et_1B_009836 transcript:Et_1B_009836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLTRAAQLGMTRVILETDASTLGAALLSEEMDGSEHGFLFRQLRRVMMNQFEHCAASICPCNRVADSLASFGAHVTASGSMYMNQAPEFVSGLVSGDMPGVGN >Et_4B_036869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12135192:12138707:-1 gene:Et_4B_036869 transcript:Et_4B_036869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLLLARRLCSTSTPDPAHAPTPDAISTVADAAAAAILRTPQFESRILSQLPRAVLLHPDCARLTLSRLLPYQVPSLRFLLFLSAHLPAPASDAAPEPAAPAPLPGLDDFLLRLPPPLTADAADILASRLGLHPSLPALNRAFRAALRAGRPDLVFRLFSAFSSSPAFPGDDGTVTGLARACAAEGRPLDGLRLLRDAARGGSPPSIYAASDIIGAFAADGNFAKVSETLHFMIAAGCNPNTIVYQRIIHHLFAQGKGGEALRVFGEIKRRGYDINRVTYTTVIDGLIKLRRFGDAQMIWNEMVDKGIEPNQYAYCTLADSYFEAGDFERAHEETTVSCNILVKGFSVHGRMDDAFRMFDEMARKGVERDVITYNTLIQGLCQVGKLAQAIEMYEQLLASGLEPTVSTFTPLIDAMCNEGQVDAAVDLFKLMQAKGLEPLVRSNESIIDGFCKIDRADDGMAWLAGMLKNNVKPRERTFNYLVESLSTSGRLDDALLVLNIMFKVGFEQSSFACTILVEKLCTGDVSYSHKLDDILEDFESDGSSQLCLKYQWISLNLCPIHPACYPWF >Et_3A_025712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32079747:32087235:1 gene:Et_3A_025712 transcript:Et_3A_025712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKCLFISFLEAQDTCEPKPEQWAFVSPRSKPARTSHENVFFLALPTSLATFSSQPSLLKKAARRREAARNSQQAMGLREKHWGVVVKPGETLKCDPGELYCAVSQIALEGGKGNENVEVFVKVNGNILLMATLSAFRHPQYATELVFEKEFELLHTSKTRNVSVIGYRFSNGERNYPFVLLSSIVAKNLKYVQLLLKHINALVVLVGGIYDVFPAHLSYLAYKLMFSDDEFEYIGFVLLCPGVVIRPGETVKCDPGEIYCHLSQPHRMLPLEKLRINCIPIDVSDDESDEEVPLAIPLYSNSDGILFDAHSIFVLILKTHVLLWDDKSKETKSDAGKSAGSESATMQSAKPKPTLEEAKSPGKVKANADGNDEDDSDESKSGDNEVGVSDEHDSSDEDDSENSDEGVEVGLPKKAKDNIRPAKTPLKTSLVKKAKIETPSKTGSSTAKKSGHVHVGTPYPSKKVKKAPNRHHL >Et_8B_060870.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:9837476:9838612:-1 gene:Et_8B_060870 transcript:Et_8B_060870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDAAVAALHHAVVAGAALALVALAVALFLLWRKRRASAAAAADGVPVEAAAATTPAAAPLPSVPLADVERATDGFHPSRVIGRGRHFAVYAAAAEQGPGLAAKRMHPHLVLGDPGGRRFPAAAVRSLAVPPHPNLAALVGVSEGPGERVLLVERAPAGSVGLDRLLLAAGEDGAPMPWRHRAAVAAGAARGLAHLHVHGVAHGRVRPCNVLVSFAAGDAAAVLTDYGLAGFLDGADASSAEGDVYMFGVVLLELLTRRRWDGGRLADWALPRIRAGAAAAAEVLDVAPADKAEARLLARVARVALACVGNDGRSRPRMAEVAAILSDVEAAYRRRDGDDDEVDDGGDGDGDEGRLSGCLLGPSRSVRKADMLLRPPV >Et_3B_029419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24904977:24912257:1 gene:Et_3B_029419 transcript:Et_3B_029419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQAWARGAVARLMTPFNCRAAHASSPHLLPRYPASYSLLLSRLRRHFASATGSPAYSANTHTLMEVVQEVLKHGSAHGARAAIRADQKSYSLLQLIASALDVHNILCGKNMAQNGMKDSSPNGINGTSFLHGARIGIVAKPSPEFVAGVFGTWLSGGVAVPLALSYPEAELLHVMNDSDISMILSTKEHHGIMDDISTKCSAHCSLLPSVTSIPTEINSQEPSSNDVTSSVSSLIAEINSTSKIKGDDPALILYTSGTTGKPKGVVHTHKGILSQAQILSEAWGYRRSVVEFMPKFSVRGVWQRWRESYPKDASTSDEAITVFTGVPTMYTRLLQGYDGMDPDQQCASSYAAKQLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGARKEGTVGKPLPRVEAKIIMEDGTETTNGVGELCIRSPSLFKEYWKKPEVTADSFIDGGFFKTGDTVTQDEDGYFVILGRTNADIMKVGGYKLSALEIEAVLLEHDDVLECAVLGLPDEAYGEVICAIIVPKEDAKKKVEQDLKPALTLEALTSWSKDKLAPYKIPTRLYLWDSLPRNAMGKVNKKELKKLLGA >Et_4B_038611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3296628:3300911:1 gene:Et_4B_038611 transcript:Et_4B_038611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIRSTKCPVAFLGVAGALAVLVSAVHVFMVPILPSSLNYFGARSSITRPRNVLPGVGVVNSRLRGQFPSDSYGAVTFHGAPWKAEIGRWLAGCHANSSSVNVTEAIGAKRCEKDCSGRGVCNYELGECRCFHGYSGKRCEEVMKLECNLPSSPEWPAGRWIVSMCAAHCDTTRAMCFCGPGTKYPDRPVAEACGFETIPPTKPDGPKLTEWKKPDLENIFTTNSSKPGWCNVVPEDAYSSKTKFKKECDCKYDGLWGQFCETRVECSCINQCSGHGYCHGGFCQCDSGYFGIDCSIPSTYSLANDWPSWLQPPMKLSDLKSSSKGPTDVKVIVQKKRPLIYVYDLPAEFDSHLLEGRHFKLQCVNRIYDDKNRTIWFPQLYGAQMALYESILASPHRTLNGDEADYFYVPVLDSCLITRSDDSPHLLMPRDLRLRSYHTLEYYRMAYDHIAQRYPYWNRTSGRDHIWFFSWDEGACYAPREIWNSMMLVHWGNTNAKHMNSTTAYWADNWDHIPLDRRGSHPCFDPRKDLVLPAWKEPNPGAIWLKLWARPRINRTTLFYFNGNLGPAFEGGRPEDTYSMGIRQKLAAEFSSTPNKQGNLGRQHSTNVTVTNLRTEKYYEELANSIFCGVLPGDGWSGRMEDSMLQGCIPVIIQDGIFLPYENVLNYNSFAVRIREDDIPDLIRTLQGINETQVDFMLGNVRRMWQRFFYRDSILLEAKRQKRLYSEEAPWSVEVSKLDADDDAFATFIQVLHYKLYNDPWRQGLMQTKETGLPDICSKAS >Et_9A_063327.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:20191779:20192537:1 gene:Et_9A_063327 transcript:Et_9A_063327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLLPILLSLSVICATTAATNVDEPIHMDCVDNVTDAHAGAFNAILDVLLSSLPAAAAASQGFAKDTIGSAPNLAYGLAQCRADVNASDCHACLDASARDAGTKCRGQRSAMVVREACMLRYSNASFFGAMDKSYEITKCGGDLHGQNATQQFRSHLSDLLINLTGKAAYRSPRMFAAGELEVSPSVKLYGMVQCTRDLAADDCHLCLASAVLSMLSPEYCDHMIFRSCFIRQEEYPFYNRQALEGANK >Et_9B_065791.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:1218920:1219594:-1 gene:Et_9B_065791 transcript:Et_9B_065791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGCKSAIGCVDARAPVRASYVSLYKWPESDAEFVKSVAMARRFQGGGAPESPAGASVASYYNGNGGGSASMRRSGELGYCSPRVVDSYSCRQMYLRSYTFSKKKETVPERTMACLGRVRERAAVFPFLPHRNGGGGGSDAGSVGSASNTVATAGQGWRSREDDVGLRESRKARRRRRRKKKKKGCAVVRRLQEASCGAVRAIFRRLLACTTTVDVAAPPSSR >Et_9B_065049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20796490:20798389:-1 gene:Et_9B_065049 transcript:Et_9B_065049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSLSPPLHARLLPLLPNPSPCLNPAASAFPTGGTRRSPPRHRRCFAKPPSRNGSSPETGWCPVPPEQQPVNEYEALVASLPFSWAAGDLRVYCSRLAATGAAVALFVGLPVAAFGGRGGAAGDGLHLALGATGSGFLAVTLAVVRMYLGWAYVGNRLLSATVEYEETGWYDGQIWVKTPEVLARDRLLGSFSVKPVLNRVKFTLVGLALSLIFCILLYINTENPKDPYENTGGSAIPGVYSDEAAKSFEPDAFCGEPDLS >Et_10B_003560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3100398:3103388:1 gene:Et_10B_003560 transcript:Et_10B_003560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVGRGGDMNLPASPSSSISCYHGVAPFPVDTDSARPQRGSLRLAAAGRRSGPTAAPKNASLHSPNFRQFKGSSIVTVELHKVRNTAIAVLNRCDFFDLHQQIVPYGDSWAWQQSIVKRRKGLVDGDEDCSDTLIALQHSPVYTLGTDSSEEYLHFNVQDAPFEIHRIDRGGEVTYHGPGQLVMYPILNLRYHQMDLHWYLRSLEEVIIRALKSSFSINASRVDGLTGVWVGERKVAAIGIHVSRWIAYHGLALNRGMKWEEPFVDGELRSLTHTSCTDTGEAAFFETVAGLPKLTSIWSSVMSPDPESESGAAALIRPSYAATSLMEGRTSF >Et_7B_054758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4067312:4069473:-1 gene:Et_7B_054758 transcript:Et_7B_054758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKARRLSGSRHFRQRLVLATLTSTTITIEDIRSGDAAPGLRPYEVSLLRLLDKISDHHSIDLNETGTKLRYRPGVILGGRNLEHDCGVHRGIGYFLEPLILLGLFARVPLSIQLKGITNDTKDPSVDTFRMATLHMLKHFGVPMEGLELKINSRGSPPLGGGDVFLRVPNINSTLTAANWIDEGMVKRIRGVSFTTRVSAQMERRIVHSARGIFNRFIPDVHIFNDHRTGPSGGKSAGYGVSLVAETTTGCLLSVDTAVSYPNVDEINEESEMPELMSPEDLGVKAASMLLEEVAQGGVVDSTHQGLLFILCALCPPDVSKVRVGQLTPYAIETLRNIKDFLDVKFIIKPDPNSTTVTLKCVGAGVKNLARKIS >Et_3B_030493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4221396:4225670:1 gene:Et_3B_030493 transcript:Et_3B_030493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAAGTSAREHGTQGLKPRDVCIVGVARTPIGALLGSLSSLPATKLGSVAIQSALRRANVDPALVQEVFMGNVLSANLGQAPARQAALGAGLPNSVPCTTVNKVCSSGMKAVMLAAQTIQLGINDVVVAGGMESMSNAPKYVAEARRGSRFGHDVLVDGMLKDGLWDVYNDFPMGMCAELCADQHSITREEQDSYSILSNEPRDNGTFDWEITPVEIASGRGRPPVVVDKDESLAKFDPVKLKKLGPTFKTNGSVTAGNSSSISDGAAAIVLVSGEKAKNLGLQVIARIRGYADAAQAPELFTTAPALAIPKAISNAGLQTSQVDYYEINEAFSVVALANQRLLGIPSGKLNLSGGAVSLGHPIGCSGARIIVTLLGILHQKNGKVGVAGVCNGGGGASALASFLSYSFFIVKL >Et_1A_005135.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28735364:28735552:-1 gene:Et_1A_005135 transcript:Et_1A_005135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPKSGNVVHPSNGFTLPLRSTLTGYFMRWPLISVTSSAMNCFQFSSPSCRTRLMHSRLSG >Et_5B_045184.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:11147529:11148191:1 gene:Et_5B_045184 transcript:Et_5B_045184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSASSATTAKPGGPAPSRSLRLFAALVEAEALRSASRAPGPADSDLVRAFRGGATPKAALLDFLERIHRAGRLEGTSFVLAGVYLARFCNSKTAIEAGLKLEPATAHRLAAVAVFVGAKFGGHPHKRWTPVFEVCSGRAIRADEMAALERRFLRAIDYRLYVRSEEYDSFVRILVAGPQTLAAGSCSGGKRKAAGEVAERRRVRPCLPTPPVIAAI >Et_9A_062565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24024547:24028812:-1 gene:Et_9A_062565 transcript:Et_9A_062565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGSGNERTSFLAGSTTPSMGDPGAERDTLPRDRSQDGERLAAARGEGEEGTGRSSEPVGSGEKSAAATRSSRVKMGGGGGAAEVAAAGDAPEAKREVPRWVGIGEARSRKKGDCRVARPPPRREAAGEREEEAMGGGGGGGAGWNRGFGFGVAAPFLGSWLAGCSVERGGGEGGELGGCSLRARKPLPAPLPCLPLLGHSVACGGRQLGNSSPKWRTTFCRNLAATANRLHAAISSPPEAEELPDARLELEPRAGAAAGAGAGPSLGLHEEDRSRKSPRRNPMARPGRKFAAQRLAASHGSSPCERRRG >Et_3B_030188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31188337:31192815:1 gene:Et_3B_030188 transcript:Et_3B_030188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPQAPPASAAAEGSAPAAPLPAPPAPRPYEVAVAAAELRPVDCNLAALCDHVQTEGFGAGAFSDVVVEAMGATYRLHRLILSRSSYFRNMLHGPWREAGAPTVVLHIDDANVDSEAIAIALAYLYGQPPKLNDNNAFRVLAAASFLDLQDLCTICTDFIISELWTSNFLQYQLFAESQDYGSHGERVRNACWGYLCQSATLELREVLPKLSSQTLHALLTSDELWVPNEEKRFELALFTLLAKVTICEIQVSGTETSSPNADRCMRKGKTPMNDPSEEQLTESELQNLKLHDKSSGEASMTKNDRSTGGPSGESTSYQFNENIWLSCDQTAKQYFSRTSSNGLVPTEWSRPNAPLWGGRVVGRRQVRCMEGKSCLSTDECNAFMNIFEKGSLLYCNMSFDSLLSVRKQLEEFGFPCKAVNDGLWLQMLLCHRVQAIVADTCRNCCLTSNSCACKQAYVSSQSHYRQEHDLADAQGDGNGGLGPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQSFANDESEPRIDRNGDVSVDGLTALVNLSQENSAAHKHAESLFETGMQTRYCSAASVSTPGGSSVQMQESKEHEHSPDWEVTEDATISLDMKTPLSHFPPFRFGVQFEDVHRLADGQVKHSPEVFYAGSLWKVSVQAFNDEDPHGRRTLGLFLHRRKAELLDPLRKAHMYIDPREKVTARYQLICPSKREVMIFGSLKQAGTLLPKAPKGWGWRTAILFDELADLLQGGALRIAAVVQLV >Et_7A_050947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12754790:12755677:-1 gene:Et_7A_050947 transcript:Et_7A_050947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGMEQPMQMVLRVKHPSSLGGGGAGDEKEGEASSRSALSVFKAKEEQIERRKMEVREKVFAHLGRVEEESKRLAFIRQELEGMADPTRKEVESIRKRIDTVNRQLKPLGKSCVKKEKEYKEVLESYNEKSKEKALLVNMLIELVSESERMRMKKLEELNKTVDSLY >Et_10A_001136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21486613:21488221:-1 gene:Et_10A_001136 transcript:Et_10A_001136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPRRFRNQELAEPACRRPPGGDGVERLAVPAEEEALSARVVYDLVGASPPAALQQLPGLVHAAVAEPDALHARGLHPSRPLRQPLVALLPLRALARHDAADLLAQHQPLHARPLLRSVAAALEAVEERRVLSASSNRSWNAAALWFASGSVTTMIAGDSAFTADRKLPSFAAALCCGCCASPGEDDCGESGDDDSTTEADESEGLSCSRVLSSRSSSSPKLLGLDDRAAMICSGVRQLLPPPPPPYLPLLPASSAARRRCSCATTLTASASPDRTRRLCRSASASISSSLASTPSNWNGMSNASASLASTPAMFPRNSRLSWTAVTRRCGGPPRRPRNAWKSAIHCTGLAHGPDRSTTCTNRSPSIASRIAWLVVRYASFMNGSMSNTWRRASCSAAPEMLAEEDDDMRSARDRPGTRLSSFRASASANTAARRCSVCGASREASCVICSRYRLARSNAPAATSAAHWYSSPTRRTLCWSWLSSCTHDPPYPCAADGEYSVDDVADDTTSSWSMFSHSSVSAKFSLAGLPV >Et_8B_059212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15326902:15348184:-1 gene:Et_8B_059212 transcript:Et_8B_059212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEPLMGHSMSEALAGLQQLLGMNNPDLLETTTGQLLEFMYDAIPDPPVSPAARLAFAVARRAPSDGVDRISRLPDEILRNVVSRLPAKDAARTGALARRWRGLWRTAPLVLVDEHVLPDCAVVAAVSRVLAAHQGPIRSFHLTRRHMASHEAEAERWLKLLAAKGVQELVFFNHPWPCDFPLPAAVFSCVSVTHLQLGVWRMPDTAALPRNTRFPHLRELVLSFILMRDHDLAFFVEKSPVLENLTIIGSQLDVCLRLVSRSLRCVQLSMFKGNISVVDAPRLERLLLWMSVDEEMSRIKIGHAPNLRMLGHWQPEAYELEIGSTVIKKSTKVRPSTMVPTVRILALEVQFEVCNDVKMVPCFLRCFPNVETLHVYSQNADEPTSKLDIMFWQEAGPIECVHSHVKKFVFQQFRGKRSELMFLKFIAERAQVLKKMVVMVALDSFSSEDDMKAKMKLLTMVKWASKDCMLIFVMNPATEEGSPAWSFHEASDSSCMDPFDLQTTGGECYQRLGMDNPDLLGMATGGMLEVMYSAIPDPPVSPPARLASAVAARAPADGVDRISGLPDQILRNVVSRLPAKDAARTGALARRWRGLWCSAPLVLVDEHVLPARLPAGRMAPGGDDVVSKAVVAAVSRALAAHQGPIRSFHLTRAHMASHEAEAERWLKLLAAKGVQELVFFNHPWPLDFSLPAAAFSCASVTHLQLGAWRLPDTAKLPRNARFPHLKELVLSLMLMRDRDLAFFVEQCPVLESLTIIGSQIPVRLRLVSRSLRCVQLGMCNANIAVVDAPCLERLLLWFSRHSGDKKRIKIGHAPNLRMLGHWQSAEHELEIGSAVIKVLPSTKVWPSTLVPTVRILSLEVQFDVCSDVKMAPCFLKCFPNVETLHSQNAEPTGKLDIMFWQDAGPIECVHSHVKRFVFQRFRGKRSELMFLKFIAERAQILKKMVVMVHHDSFSSEDDMNAKLNLLSMVKWASKDCKREPLMGHSMSQMLAGLQQRLGMDNPDLLGMATGGMLEVMYSAIPDPPVSPAARLASAVARRAPADGVDRISRLPDEILRNVVSRLPAKDAARTGALASRWRGLWRSAPLVVVDEHILPDCVPAGRMAPGGDDVVSKAVVAAVSRALAAHQGPIPCFHLTRGHMASHEAEAERWLKLLAAKGVQELVFFNHPWPCDFPLPAAAFSCVSVTHLQLGVWRLPDTAELPRNARFPHLKELVLSFILMRDHDLAFFVGKSPVLENLTIIGNQFPVLLRLVSRSLRCVQLGMFKGNVAVVDAPRLERLLLWMAVSRREEKSRIKIGHAPNLRMLGYWQPAERELEIGSTFIKESTKVGPSAIVPTVRMLALEVQFEVCNDVQMVPCFLKCFPNVETLHVYSQKAEPTGKLDIMFWQEAGPIECVHSQVKKLVFQQFRGKRSELMFLKFIAERAQVLKEMFVIMTLESFSSEDDMKTKMKLLAMVKWASKDCMMIFVMNPPATVAQGSPSWSFHKASDSSCMDPFDLRTVEDESWVFHDCSSPVSYELREAMRMLHYERLHYERVLCGVDKVTIAILQSSCALIGM >Et_10A_001004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19997287:19997842:-1 gene:Et_10A_001004 transcript:Et_10A_001004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNSNLEHRQGRMVPEYWPVASPTFVASVGACQQSRDLQPVVGDDVRDDGNGGSGDAPGSDGNNKHNVFLVRRQLPVKEYLPTPLHRTLLQPVNDDDGPCVDGGNKDPAVGTVQASGRGGGEDATDAPNTLDLRLLGPYAPVIVDGDFFLR >Et_4B_038854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5355606:5358043:1 gene:Et_4B_038854 transcript:Et_4B_038854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKKLLVAFIVAILILSYILHRASSEEVFPEAADGPIAESPGYLDQPWLSPGKQPMVFDVDDYGAGAAGGDDTEAFLGAWREACNSSEYPATFLVPEGKAYLLMPVTFRGPCRAVSITAMIVGTLEAPSNRSVWLDRSLQEWITFEDIDRLHVLGGGTLNGNGQQWWSNSCKVNKSMHCVTGPTALYFRRCTHLVVEDLEVRDSMQMHVAIAYSWNVLVSKLFITAPGWSPNTDGIHVSNSKEVTISDCVISTGDDCIAIVTGSTFVRVTGISCGPGHGISIGSLGANNSWAHVSDVLVEKTTLLSTTNGVRIKTWQGGHGYAERITFQDIAMHNVTNPVIIDQNYCDSKTPCHEQESAVAVHNIRYKNIRGTSASKVAVKFSCSEAIHCDGIVMQDIYLVGDRSYATCSYTKATVVQLGYNFPFCSTDIE >Et_4A_034163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30418137:30421763:1 gene:Et_4A_034163 transcript:Et_4A_034163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYSQGFSPARNLSPQIRSNPDVDSQYLAELLAEHQKLGPFMQVLPICNKLLSQEIMRVSSIVHNHGFGDFDRHRFRSPSPMSSPNPRSSIPSNGFSPWNGLQERLGFPQGTSMDWQGAPPSPSSHAIKKILRLEVPVDSYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDPGKEDILRGKPGYEHLSEPLHILIEAEFPASIIDARLRHAQEIIEELLKPVDESQDFYKKQQLRELAMLNSTLREDSPHPGSVSPFSNGGMKRAKTGQ >Et_3A_023923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14811660:14811930:1 gene:Et_3A_023923 transcript:Et_3A_023923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNWEQMYPIALCKTLTRIGSAWLTQERFKEHLINKWPQREDLDVQEYWKLVKNTIRKFCKGWGAN >Et_3A_025189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27700345:27702807:-1 gene:Et_3A_025189 transcript:Et_3A_025189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPPVPLRPPAPRASALPLPRAANPNTDPTTTTAAAGTASTMGAAAWWRRTLGQRFNPTGIAAVASVAVSEPHLALPHLSVQDIRWLDWAELRRAGFRGVVFDKDNTLTAPYAPALWPPLAAAFDQCRAVFPPGSLAIYSNSAGLKQYDPDGVDAKAIEAAIEGVHKPGGAAKEIESYFDCSASNLVMVGDRYFTDVVYGNRNGFLTVLTEPLNFAGESYIVRRVRKLEAYLINYWYKRGHKPIEHPLLPDPRRIVKFDPYDDTIASR >Et_6A_046680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19376619:19381385:1 gene:Et_6A_046680 transcript:Et_6A_046680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFDLSDAALVGQLNPSLLSLEYLEYLDLRGNSLEGPNLWIPEFLGSMKNLRHLDLSVSLLNSATFRTATTRPIMGAKSYLDMSYVNLRAVVDWPHVLNRLPSLQAIKLDGCSLQSANQSLKHQNLTNLVQLHLSYNRFDHLVASCWFWNITNIESLSLFGTYLYGQFPTELGHMTSLKDIAFGKNDNRAKMQVDMKDLCALERLWLEGLSLGNAAELLENLPQCPSNKLQIIDLSNNYLAGDIYLGNTENIFTNLELVNLSNNHIRGVISGGIGNSTFLWMFDLSNNDINGALPQGIASCPSLGESGPF >Et_7B_054867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5071463:5072536:1 gene:Et_7B_054867 transcript:Et_7B_054867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPAGTSNEGRPGRSRDLTGSLLKCGNPAGRFEILKPQDGQFRKEVDGIFIFFPYQCHLLIMFSFHIRY >Et_6A_047132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25834771:25836560:1 gene:Et_6A_047132 transcript:Et_6A_047132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPPSPSSSGLRSGLGMESPKSKIARTSVNEEVRLAQSKRFAEGALEHYNKRKKVKFELVDVLDCISMPEPRCFYTHINFTARSSKIGSQEKVFFAELYHFARRRITITSRWRKECSQKEPSAAGRRLVRREFAVTCCEPLGPDPTVGRKLLKRDHTSVARKSADFTYCYGCTEIVSHPKGEKYVAGHCNIPYAYEGVR >Et_9B_064230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1366778:1370114:-1 gene:Et_9B_064230 transcript:Et_9B_064230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSNRTSRQYGSSTSDMAEQALAALQLAPGEPGFWREFLAGMLKPVAATAVVAMAVALSFTQGLGLEAEMVYGVARAFVQLSVIGFVLQFIFAQKSAVVCCFIAYLFMVAVAGVTAGQRARQVPRGKLIACASILAGTAVTMFLLLALDVFPLTPRYIIPVAGMLVGTAMTVTGVTMKKLRDDVKTERTLVETALALGATPWQATLQQARKALVIALSPVIDHAKTVGLITLPGAMTGLIMGGAPPLQAIQLQIVVENMLIGASTVSGILCSYLLCSSAFFTKAFQLRGKRREHEATTPRQPGRTLVIALPPTIDRVKTVGLIPGAMTGIIMGGASPLEAIQLQIVVENMLIAASTVSSILCTYLCWSSFFTKASQLQAKVEPGFWREFLAGMLKPAAAAVVAVAVELSFAQRLRLHRG >Et_8B_059285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16155397:16157657:1 gene:Et_8B_059285 transcript:Et_8B_059285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTNKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLPRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKATKS >Et_9A_063106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10364939:10366811:-1 gene:Et_9A_063106 transcript:Et_9A_063106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGIGIVTYANDGPIVPAVEVLATTFQPADLLQAEAEALLLVSRINAIDNPAGVRYRTDSQVLANALRQDVGLDDPGHWTLGPLIHEFRMNSEGLSHQIHYISRRHNVTTHNLAQQAIAQPNHFIVLSGV >Et_4B_036582.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:6026064:6026201:-1 gene:Et_4B_036582 transcript:Et_4B_036582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGALAWQATVIKDVILCPGGRPHGGWFFGPGISPPLCVPVPASS >Et_2A_015803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18014388:18014840:1 gene:Et_2A_015803 transcript:Et_2A_015803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLPTSMSGSSCSVTSPRCMSTRLVSGWLMLSSGAFQEVKRSVGRTVASSIWINVPYGSKFLYQELFSMEICLKFET >Et_8A_057938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9619071:9622777:-1 gene:Et_8A_057938 transcript:Et_8A_057938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRARRVAVAGRAEAEHGASGGGSSGSSARGAQCADGARGERRRRAGRTPAAPVVARASTGNERLDHEKHRRRMDNQIPICGEQGSQNPPYYGPDQWPYQLESSMDPPEHPQSEQVNYVIGREDVEVVAPPTTRKGRERNMSRRGGGGGSQKKKMESFVLPSSTKDPITGANQRQGGYYKRLHDYYNTFKPEGSNCSQLAIQSRWGTIQRSVNKFCGFKSAVDRLNESGKNEQDRIDDATKMYEAVEPFHFMHCWKILRNESKWNDKVLKLSNNSTGIGREGSSQANSGLAAVPDGGNENSMLSRPEGRGSQTLPHPALQLMEKCQQKEDEQMVQILTRKDEKLSLQRELLELKKQQRDMTCMRASLVALVTAMFSLHTGDGQMDASDWIVHCSGCRIDAAISVMGARKWRRQDSADWAHGKRETGEAKWDSS >Et_8A_058197.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23718191:23719162:1 gene:Et_8A_058197 transcript:Et_8A_058197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLTHNSSSSSSWDLDMSLGSHHHPLLFDSHHPASAAPPPPPLPFHLSSSSSHPPLHLAHGHHHQQDPSPSSSLFPAPHHHRLHHLGLDIDPSHHHHHHRQSYEQEQQQQQQQHDEQAEEEQLLRGQQQEEQRVGLEEVEEELGAMKEMMYRIAAMQPVDIDPATIKKPRRRNVRISDDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIRYIKFLKRQVQDLQHQPPPPPPFPGAGVASGSGVPAAVPPGRPGGPSFLPLGPGPLIDWAGLVRPVDIHGPTSSSSSSSMGGAHAALGFGFSSAGQSSHGGMH >Et_1B_010432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10486443:10490132:1 gene:Et_1B_010432 transcript:Et_1B_010432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSVGIPSLGADVSAALAPDVEYRLREIMQEAIKCMRHAKRTVLTTEDVESALSLRNVEPVYGFASGDPLRFKRAVGHKDLFYLDDREVDFKEIIEAPLPKAPLDTAVVAHWLAIEGIQPAIPENPPIDAIVAPENKRPEHGKDDGLPVDIKLPVKHVLSKELQMYFDKIAELTLRRSDTPLFKEALVSLAKDSGLHPLVPYFSYFIADEVTRSLSDLPVLFALMRVVRSLLRNPHIHIEPYLHQLMPSMITCIVAKRLGHRLLDNHWELRDFSANLVASVCRRFGHVYHNLQTRLTKTLIHAFLDPAKSLTQHYGAVQGISALGPSAIRLLLLPNLVTYMQLLEPELQFEKQKNEMKRKEAWRVYGALLCAAGKCLYDRLKLFPGLLSPSARPLLRSDKRVATNNPNKRKPSTDLSASQPPLKKMATDASMNSMASASMAGNMQGAMDGFSTQLANPGMMQASSTAQMVENSTSVAIRRDHGSDLAQRVSTVLRQAWKEDQDAGHLLGSLYEVFGEAIFSFVQPPEISIFV >Et_10A_002098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22327509:22330936:1 gene:Et_10A_002098 transcript:Et_10A_002098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGRTLLLPLAAATVLVASTIFLFAAAGSRWRPADTGLPVPTNAARVTDFSTTAVPETMTSSSDSSSGKGLSFLDENGQPDDPGSGSTSAAGRCDPRAAAVRVFMYDMPPEFHFGLLGWSPPAGAGDVWPDLTSGAAPPPRYPGGLNQQHSVAYWLTLDLLSSSSAPCGAAVRVADSRDADLVFVPFFASLSYNRHSRAVPPEKVSRDKLLQEKLVRYLMAQPEWKRSGGADHVIVAHHPNSLLHARAALSLAVFVLSDFGRYHHRVASLEKDVIAPYKHMAKTFVNDSVGFDDRPTLLYFRGAIYRKEGGSIRQELYYMLKDEKNVDFSFGSVQDHGASKASQGMHSSKFCLNIAGDTPSSNRLFDAIVTHCVPIIISDDIELPYEDVLEYSKFSIFVRSSDAVKKGYLMGLIRDVSKEQWTRMWKRLKEVDKHYEYQYPSQKDDAVQMIWQALARKVPAIRLKSPVTDSLNLLGSRHQQEQ >Et_6A_047948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25038442:25039955:1 gene:Et_6A_047948 transcript:Et_6A_047948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVARLASERAVVVFTKSNCSMCHAVTSLMSDMGVNAAVHELDRDPRGREMERELARMLGGRVPAVPAVFIGGDLIGGTNRVMALHLSGELVPMLKSAGALWLC >Et_1A_006139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18417792:18419858:-1 gene:Et_1A_006139 transcript:Et_1A_006139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTCSTSRDFLQRFCTTLSYACSELCLIILLHVAAAASYAATRLASICKLKAPCILCSRLDHALHGNPWFSVDSVCSAHRSEISSLAYCKSHNQLAPSEDFCKACLLACNRSVDKHALGVSEDLNSRYVLVVRSHSEMLAVHGSFRKLQNAVDSAHNINRSEDTNTRNQVDASDSTLVMAPMVISEQVHADHPKDKTFVVGIEEVNESDGSPGRDEQYTKETGASANTGPAKLASFRSAAPSRIFVDRNSSVKNAFIGRLNLPSPRPSEIIFARDNNSTTQQEVKALLSQISSRGLDSSPSEGTPSPGINFQADESNVSGRRPSLERNYSVLEPSEGNLVDDIEGKSSVENLRKQLELSKKSMAALYKELEEERSASAIAASQTMAMINRLQEEKAAMQMEALQYLRMMEEQADHDHEAIQNLHDLLTDREKELLDMDSELANCLRLLQNEPSDAGRFDGIDTMNSTGEFLNGTDLMGSTMSYFEDEKAYILESLSRLEENLCISTSRLASDDVENSQDDIPLEEHTKLDGRYMENSQFNGRDSSLGQEHLSGELTAVQQQEENDCSGYPLDNYKMNDVTSAKNAILLLNTRLKALEFDQKFLKKLLSYLTCGNDGLQCVQEVTNHLAELRRVVTQRRAMAVPLVHTIWS >Et_4B_039896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5431907:5436725:-1 gene:Et_4B_039896 transcript:Et_4B_039896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLATLPFLLLPPSTPLTPLHLTFYSREHCLSKLPPVLISTTNKRQQQQGLPKSPLPVPVASGQCVIDAILFRRMAAEPFYTVASDSETTGEDKSQPSFPDVAIGVDIGTSKCSVAVWNGHQVELLKNTRNQKGMRSYVMFKDDTLSAGVTGGAAKENAHEERDVLSGSAIFNMKRLIGRMDTDEVVQASKALPFLVQTLGIGVRPFIAALVNNMWRSTTPEEVLAIFLLELKALAEMHLKHPVRNAVLTIPVAFSRFQQTRIERACAMAGLHVLRLMPEPTAVALLYAQHQQQLMHDNMGSGIEKIALIFNMGAGYCDAAVAATAGGVSQIRALSGCSVGGEDILQNIMRHLVPNFDSLYAGQTMDRIKSMGLLRIATQDAIHKLASQDSVEINVDLGDGQKVSKVLDHSEFEQVNRVIFEKVEKIINQCLADAKLVPEDINDVILVGGCSRIPRIRSLVLGLCKTEVSYKNIDALEAAVSGAALEGAIASGVTDPAGSLDLLTIQATPMNLGIRADGDNFAAVIPRNTTVPARREMLFMTTHDNQTEALISVYEGEGERAEENHLLGYFKITGIPAAPKGTVEISVCMDIDASNVLRVFAGVVKPQGQGVPPFIEVRMPTLDDGHGWCGQALAKMYGSTLDLAVHPKKLHP >Et_5B_044108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2052482:2060752:-1 gene:Et_5B_044108 transcript:Et_5B_044108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSAQRAALTALAPDAPYLAAGTMSGAVDMSFSASANIEIFRLDFQSDSPDLPVLACAPSPDRFNRLAWSRPAAVEGDSFALGLLAGGLSDGSVAVWNPLSMISTDGQAEDAMVARLEKHTGPVSGLEFSELTPNRLASGADEGDLFIWDLKNPSEPTVFPPLKSVGSSAQAGITSVSWNPKFQHIVASTSSNGMTVVWDLRNQKPLTSFSDSNRRQCSVLQWNPDMSTQLIVASDDDNSPSLRVWDVRKTIAPVREFVGHSKGVIAMSWCPYDSSFLLTCSKDNRTICWDTVSGEIISELPTSANGNFDVHWYRKIPGVIAAASFDGKIGVHNLEFSGLYAAGDAVGAPARPRAPAPKWLKCPTGASFGFGGKLVSFHPAAPTQGAQVATSEVHVHDLVIEQSLVSRSTEFEAAMQNGDKSSLRALCEKKSEESVSDEERETWGFLRVMFEDGDVARTKLLAHLGFEPPQEPTVNSTDELSKTLEDTLNLDHGTVTDNIDAQFLVDNGDDFFNNPQPPEPSVTEEISTKVQQKEQEMPEDVVPSDPAVDKSIQHALVVGDYKGAVNQCLAANRMADALVIAHAGGSALWESTRNHYLKNSISPYLKVVSAMVGNDLTSFVSTWPLSSWKETLALLCTFAQKEEWTVLCDMLASRLLSAGDTLAATLCYICAGNIDKTVEIWSRNLKSEDGGKTYVDLLQDLMEKTITLALATGHKRFSASLSKLVENYAELLASQGLLKTAMEYLKLLGSEEHSHELAILRDRIAFSTEENNAAGSSAPETNAPYATNQTSYMTPDPSQNLYQVSQQYNVPSNTYSEVYQQQPNAYGYNNTYQPQPPTHMFVPPSTPATSQPSPGPAPVPQQSVKTFTPANLPGLKNPEQYQQANTLGSQLYTGAANQSYSSGPAAPYQSVPPTTFHQPRSPAQYQTAPQVPSFGASASVPGTGPNQMFNPSVGTNSTARFMPPSNQGFVQRPGLSPVQPSSPTQSQAQPAPPAPPPTVQTADTSKVSAELRPVIATLTRLFDETSKALGGPQATQAKKREIEDNSRKIGTLFAKLNSGDISPNVSSKLIQLCGALDNSDFATAMHLQVLLTTSDWDECNFWLAALKRMIKTRQNFRM >Et_1B_009730.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:21415991:21417272:-1 gene:Et_1B_009730 transcript:Et_1B_009730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYKKKGRALSIVVERKKLVILSSKEKDLEKERFTNCGTRRRIDHAVESGDRVAVLRLHGGARRGGGLGCGCPDEREGGQRQAARRRREHVHQVLDEPPDDLPLQVVQRRVHRRRRGKRRRPLERLPPLLRPRRLVAGPRRTRLLPLVISGHRPPHRRGRRGRRRRRRGVLVALVRRRRRERQERRAARRQRRERQGGVQVRRRVLERRQRRAVSEGAVDAARRRQAHGEASAPTAVAFAVRPAPEVEATGGAIDEDMVHLNLQRTDVRGERQRGKGGERRCGRAKPLLAPP >Et_10B_003531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2605959:2612800:-1 gene:Et_10B_003531 transcript:Et_10B_003531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEMPRGLPFSVDTWTPASSLKRHRFLTHAHRDHLAGIAGNAAAPCIYASSVTVLITLRYFPQLNRAAFVELEAGTPPLLVSDPDGDFTVTAFDANHCPGALMFLFEGAFGAVLHTGDCRLTTDCVHALPLLPHPSRRIDYIFLDCTFARCTLQFPTKHDSIRQVISCIWKHPNAPAVCLVCDMLGQEDVLFEVSRAFGSKIHVDRDKNPDCHYRLSHVAPEILTDDPVSCFHVMSFPRLSDRATEILALARSRQEPEPLIIRPSSQWYAYCEAHEASVKRRPVLTEPMRDEFGVWHVCLSMHSSREELEQALGILRPRWVVSTTPPCIAMDLSYVKHHCCLSPTEQATEIGPSKEGMADKSVEVTEISEVSGKVRNLANESDGELKAIDLAEDDIQIFSKAAEFRMDDNNVKFTKANGEADQAQEQHLNAHNCMMVSKEGKNRTEAVKEISAVHTVVPSQGNMEAAKDGASPSKVHKNADNASERMGDSSTVIGSSKALSASLIRLYRSMNVPVPRHLPSLVEFAGASKRRRVSETVPL >Et_3B_030608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5370941:5374286:1 gene:Et_3B_030608 transcript:Et_3B_030608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAEHGGDYLRRFVSETEWYNEIVLSAVAPGDWWRGLPHPVQSWLRNCVGGYLLYFISGFLWCFVIYYWKRHAYIPKDSIPTTEAMRKQIVVASKAMPFYCALPTLSEFMIESGWTRCYFDISEIGFSMYLIYMALYLIFVEFGIYWMHRELHDIKPLYKYLHATHHIYNKENTLSPFAGLAFHPLDGILQAIPHVFALLVCRTHFRTHIILLFVEAVWTTNIHDCIHGKVWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMFGTLREPEDILKKA >Et_1B_010254.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:32678765:32678899:1 gene:Et_1B_010254 transcript:Et_1B_010254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLWKQIIVMLKNWEVLCPVRHGEAFRQKLMILEELSTRPERL >Et_1B_012121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29083485:29090746:-1 gene:Et_1B_012121 transcript:Et_1B_012121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRLDLGRFLSSMTNQMQNFLFHDRVAAFVNCRFGRCAWWIQRRLCSELGGGAERRRGFKAAVTGELSLVLLVTYHIKLPYIAKMRNRKRQEDELLTQMVNKHGLSNWQTVARAIPNRNALQCRMRWKNNLDPAINKGAWTEQEELRLIRAHQLYGNKWLKMVKHFPGRTNYNLKEYWRGPMKRKLDSYLASGLLEQVPDLHDDISAPDSSQSNIPKDSASLSDRKLESPAFPTSPKSKEVCTEQGSDSSEGECSDFTNAKGLSAHSAKASERIMAKSNQLAAARKKLDFLSTPVELKVCNDAVSYQRPIPKMEQMNPSAKNISSSDVCQDGPPNVPSGCVDMALSEVATDYSNIVPSSETPQQCTLDIHDDFASDLLDMSYCDDLMMDFPSHAHACDCKLRALLRKNPSFSTGLHGWMRLRDDRRAVPAAWAVRADDALHGVVDAEPRALRCARRALVVKRASCFAFTFVLSSATRNLLLYLASITAWRAERLFVDDGLRLGFRSGLGIGGHPPAPDVLAVALVDRLLALGDEAGEAALEAVGAVERIVDGLRRRDWEAQEWRGGAPRRARRR >Et_9B_064837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19004782:19017323:-1 gene:Et_9B_064837 transcript:Et_9B_064837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNGGGTTGSGNVNPPEPEPEDNDDGGSSVPVEDDGDGSSTLEDDDEEGGSSAGDGDDGSSVADSDDDDSSWEDDDDDRSSWEEDVIDCGCASSVEEEDYDYESLLALFGPRLARILTGCMDPPTEAEKAVRALRVVREWQFTEYDPKSGGAVPTRFRLFNTALFDFEKESMAGLDPPFRTLTSSEALSLEDTINPVSVKILESDVGYPISIFGTIGIRLTTNARARDDPQVITSPDDMLTLMSPYRGLAANSHLTIEINLKINGDDGDKNFSKGLIEHCSTNLAHAEDIVARLLTSWMSTVQLVYTYVPFCPGSYRCNQYFEWAIQSAGMRAVIGEGGSVALSRCMVAVPVTEELVLNIWVQGGDDEAACFELTLSQTQDYDFICEQGFCELQVKVAWTSMVASRRHEGFESVGRAWRLVGSWNRKRASWAERVVNVLHLVRIHQITEYDPAYCSYVRTRFCKYNLAFFDFDKESRPMRGRSLLNMTSYDRNLLEESVNVVSLRILKTGVGYPVRVFGTVLARDQVGYKCVYLFRRDRDDAQLINSPRDTLTLTDSMSRACYYKHHVKGDDVCDDRVLSKGVIVHDTCCISDGKKLVRKLLTSWHSTLQLAYTPVPFAVQASLAVSVLYGAREFTGEVIAWTSRNKNKIVLHDSKVAGTSTELGADGCVVLSRRLVAVPVDEMLVLRIRVRDGAREAARFESALGHLWHIVTFERQQLTDEGATRFISVFRFTRFASPVHHWRGHVDFSMSTLPL >Et_7A_050651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:90422:97244:1 gene:Et_7A_050651 transcript:Et_7A_050651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPFDICDDLDGDDESTTAIPTDPCRNPAAPTPNGLNDRLLRLTRTRPQKPNPNPPPPQGVAAEEEARKVKLAGRRRLCKLSDSDSAAAVAAHEELEDDVDNIRDILDDLTSCFDSLSVNKTNTTRPTSKPLAPLPCALTRDPDDGDGNASSSPLQVSSSDEASTVVSKDAKVKPAEPTSVFTSHQTRQEVHDKGLTKNWGKFGGPKASSFVDSDADDSDYGDGEEVEGTATAYAAKHVRRKASTRGVPKVSKCRTYDDNDLEKENLAVADNDAEDVGWQKTEDFTMEPTGSAASSKLYKLPGRIFKMLYPHQREGLQWLWVLHCRGTGGILGDDMGLGKTMQVSAFLAGLFHSRLVKRVLVVAPKTLLAHWTKELSVVGLQEKIRDYYAPSTNIRNYELQYAFKEGGILLTTYDIVRNNYKLIRGNSYNNCDDDEEETMWNYVILDEGHLIKNNKTQRAQSLFEIPCVHRIVISGTPIQNNLKEMWALFNFCCPDVLGDKQQFKTRYEMAILRGNDKNATAREKHIGSNVAKELRERIKPYFLRRMKTEVFLDSNASEEKALAKKNELIVWLKLTACQRQLYEAFLKSELVHLALQPKGSPLAAITILKKICDHPLLLTKRAAEGVLEGMDEMLNDQDKGMVEKMAMNLADMVNDDDALQVGEDVSCKLIFIMSLLRNIIEEGHHVLIFSQTRKMLNLIQEAILLEGYKFLRIDGTTKISERERIVKDFQEGPGAPIFLLTTQVGGLGLTLTKAARVIVVDPAWNPSTDNQSVDRAYRIGQTKDVIVYRLMTSATIEEKIYKLQVFKGALFRTATEQKEQTRYFSKSEIQELFSLPKQGFDVSLTHNQLQEEHGQQVIMDESLRKHIQFLEQQGIAGVSHHSLLFSKTAILPTLSENDALDSKSRAMPMMSQPYYKGSSSDYVANGAAFAFKPKDEQFTARRYSPVNRNAESPEEIKARINRLSQNLSNVALVSKLPDGGERIRKLISELDEKLTVIEFSGEKEQEKGATEVICLDDLSC >Et_6A_047022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24114234:24116820:-1 gene:Et_6A_047022 transcript:Et_6A_047022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQAAEPSPSPWSSLPPEATAAVLRRLASHADRVRFAAVCRPWRSAARKQPPPPLPWLALPEGTFFSFPSPAALRFPAAARYHSSCDDWLLFRHRDEGRYTLLNPFSGATMRLPRLSSFRYVVHDSRKSGGMTRPPPVDIHDGLVLRKVIVLPGRQAVAALVADERQRSKVAVWLPAGTDEDDDDDRWLLSAHDPWRGLRDLAFYDGKLHAVDAYGDLYARAAVVDETNGGEAYYDGDPMVCAANRVVAAPAKRCAAPAARYLVASGGRLLMVHRVLRGDDASELFEVFAADMAASRWAEVARVGRDTALFVGQWSSVSRRVSRHGMPGNTIHFLDDDVVDSKGERRRRGGFGSYDMVDGSKTVVPLLAELRNGANDTPMTWLFPRYSNTTVKQYHVDFTTGAIYIIARINRDDFSCFFLLGIRTCHEPIEMDASLSRGSEQWWDESETMAVQKLVVCPDDGNGVVVAALVGRDNRSKLALCSLESFSWQFSARDRWRRYEDLLFFRGRLYALTSGEDLIAFDYAVDRSGAGEPPRVTRVERVISGVHAIPHDTVDVVTVHYLVASARAGGDEGLLMVRRVFPPARREQQRFAVFRARLAEWPAARWVEQRDLGGETLFVGRRCSRAVAPGPPPPGGVRGDEIFFLGDDCLGMAIWADRGCRRPLPSEYHTSVYDMRTEAVTNLQLRDLSREGPAPPTWIFFPDDNADQE >Et_10A_000612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13730261:13731566:-1 gene:Et_10A_000612 transcript:Et_10A_000612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVATNGSEVPAAAAGATAAAVFTGLKVQVTVPAGRAEEAVAFYKAAFAAEEVSRSTHPKRKGEGEQPALLCAELKVGAATLLVCDQAGDDVPAVSKDAAASGLVLRLETDDVNAAAAQAATAGAALQGEVTEDCCGLGATLVDPFGVTWVLASATSAKKCA >Et_3B_030378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3366218:3377628:1 gene:Et_3B_030378 transcript:Et_3B_030378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRSLLAWVCLLFVLLLLAFGGAPAEARPGPRSSAPRRLVPRRMLSANSVSTANGGDSTVAFKKTHRKLAAAPPKAAPASGNDFNVESYGAAGDGRTDDTKAFLNAWAKACSSPQPAVLIVPAGKKYLAREIPLSGPCKSQVTFQIDGTLVAPEDKSNWNKNGYPHWVSFTKVDSLTVTGKGTLDGTGKSSWKNSCRTNKKNPCTFAPAALTFTSCNHLKVQNIRLVNSPQVHLLMQYCKDVTLFSLTIQSPGSSPEADGIHISHSEDIRIIKPVIKAGDDCISIATGTKNLYAYKVECGPGHGISIGSLGKDNSEAQVSNITIDTAHVTGTLYGARIKTWQGGSGYAKDIKFLNMVMDNVKHPIFIDQYYCNQFDPTKPKPCEEQKTAVQISNVLFKNIKGTGTTKDVISLHCSKAFPCRDVVMEDIDLKMKKKAGISTCENVMFSKTSNVSPAPCTSVATKHDQVPEDSTMNALLSAVASDLVSRLVSFLVGRYQDPGATNDAVRLQRALLRARVVVEEAEGRQIANRAMLLQLSQLRRELCRAAYALDAFRCRAVDPGKSTRSHATASRSRSHTRRPPSSDGADVLSVTVESLEAALSDMREFVVLLGSCPRVTRQPYSAYLFVESCMFGRQMEKEEIIGFLLSSQPSHREDHLDVLPIVGPHGVGKRTLVEHVCLDERVRERFAKVHRLSSSELDLHHESLVADVTTTTTRSLIVIDVADGEEESWRRFHSAVRRRGVHSSGSKVIVISRAEKARSSSGQLLLMGTVAPLRLRAPRRDELWYLFRALAFGAADPDDRPDLAHVAMALCARVCGYATLARFAAVSIVAASLRADMSARAWRRVLKVYADAKVVQLVLLLLAFGGAPVEARPAPGSTYRRLVPRRMPSTNAPESVRATGGDATAPFKKTGPSLHRKLAAARKGAPESGGGDLNVESFGAAGDGKTDDTKAFLNAWSKACSSAQPTVILVPAGKKFLIKETPLSGPCKSQVTFQIDGTLVAPEDKSNWNKNGYPHWISFTNVDSLTVVGKGTLDGTGKSSWKNSCRTNHKRPCTFAPAALIFTSCNHLKEQNITLVNSPQIHLLIQNSKDVTLSYLTITSPGNSPEADVSNITIDTAHLTGTMYGARIKTWQGGSGYAKDIKFLNMVMDNVKNPIYIDQFYCTQPNPSRPKPCEEQKSAVQISNILFKNIKGTSATKDVINVHCSKTFPCCDVVLEDIDLKMKSGGKKNAAMSSCENVMLSKSSSVSPTPCTSAATKHDQTPEEYTD >Et_2A_018054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9552729:9555066:-1 gene:Et_2A_018054 transcript:Et_2A_018054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRWWRLVTRHGSNLHVGAEARRNGGCRRVGSNLHVGSSGLRTPTLPPGFGPNTEVGSMASHQAPSPAASQATPAKNKKKGWLPGTTKSNMKLKAPPKPPPQAQMAVPGLTGVNPHILTVQAGEDVTSRAMSFSKVTLRQGGSSGGTVTYGGRFHILSLVGSYLLLSDGGGLSSQTGGLTVSLVGPHGRVLAGSVAGPLIAAWPVQIDLSLAPGRVVYPFPSSSSPSGSSSGHGSPPIAAGSLNINQPSFPNFPPWK >Et_1A_004798.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6168320:6168710:1 gene:Et_1A_004798 transcript:Et_1A_004798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQSRLASASPFPIRPSGLNPSFSLPGPRPPARRLSRRPPLPAQHAGRRCLRPAAAPSTSAAASRNARATPSTTRLTPSAVCTCCTAAGRRSPVRQCLLLSALGLPDPAPPRRLVALLWYIV >Et_10A_001192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2249229:2254068:-1 gene:Et_10A_001192 transcript:Et_10A_001192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREFPQPLVTRRKIPPFKFLIPFVLVLSVSVIAVTQYFQSISYILRPLWDKPPTPFIRIPHYYAPNISMLQLCQLHGWGILPTPRRVFDAVLFSNELDILEIRYHELLPYVDRFVILESNATFTGIPKSLSFYENINRFSFAGSKLIYDMLSIGNLDAGVTRQPFLVEAYHRRALNMLIRRSGIALGDVLIMADADEIPSPETVQLLKWCDGIPPVMHLELKNYMYSFEFPVDYNSWRATAHVFNERTLYRHSRQSNLILADAGWHCSFCFRDIKEFAFKMKAYSHADRVKQKNFLNPERIQKIICNGEDLFDMLPEEYTFRDLFKKMGPIPKSASAVHLPSYLIENADKFKFLLPGGCLRRRIPPLKFLIPFGLVLSVLVFAVTQHFQSISYFLRPLWDKAPTPFTRIPHYYAPNMSMPQLCRLHGWGVLSSPRRVFDAVLFSNELDILEIRYGELLPYVDRFVILESNSTFTGIPKPLAFYENLNRFAFAGSKIVYDNISIGHLDANAKRQPFDVEANHRRALNKLIRRSGIAVGDVLIMADADEIPSPETLQLLKWCDGIPPVMHLELHNYMYSFEFPVDYNSWRSTAHLFTRRTAYRHSRQSNLIMADAGWHCSFCFRYIKDFVFKMRAYSHADRVRQQSFLNPERIQRVICNGEDLLDMLPEEYTFSDLFKKMGPIPKSASAMHLPSYLIKHEDKFKFLLPGGCSRSHHEDLISHDCKKRA >Et_10A_000713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15956793:15963802:-1 gene:Et_10A_000713 transcript:Et_10A_000713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNSGQVCPDELLDSFASGMANKLMRVNGLMLVNAVLMGVMVFFSVCAPRARRNPLVGILFLGASTLFLPIVSDVAAAIGSDANITGSLIDLQVVAATCQPGMHLILVLIWTVVVIAIAVSTSTVAVTDAREGRSVEPTLELLIKSIWVIYLIFITGFTYQNSDVLSYIGRLWGSDTLIMIIVNFGAVICIPCYKMFSKHVKFYSAKTSVAFGLNPRLIVAYMSKLRGSSLKEKKKLRGSQNIAAQINGEQTPELIVGGEELYYNLVEQPHGFSLQERKPRCDDNGDGDERKLVKLKRVWEQSDDTFLKREHKELCFSFALFKLLRCRFAKYTVSEAGFMEAQFFFRREYLSGKHHHDKVFQVIADELSFINTYYNSSLPLYCTGASVSTFYLASFICIAYCLYMFGFITLVLVRSEGNEQVSCLVWCPGKAAYKFGDAVGTGIDFGYTYFDVIPVVLVLFVFLISEAKDTIFYFCSNWTKVSIICRYLQHSTWSASQRCIISFVVNQCSSKLVNSWGDKMSQCSILVHRPWWKACPLLWRLFGFPEEKSSEVQSAVKESVVEALKKEDLTVEQEGAMKHIRPPSPPVTVPDTQNGSNAFLASGCKSVADTILAWHIATSIFELNDKEKSDSDRRKQEQPQQSGPRRSKENPPQATDSAKIVAIHLSSYCAYLVARRPELLPDEDDWCKKLYGDVLKGAGRVLRAAREAPFQRVIELLKQEPDDHMVLKNGAMLAEELVKDGSNIGWKDLADFWTEMVLYIAPSENLDGHAEAIARGGELVTLLWALLAHAGVVDRLEAEADDADNVALDALNASAQEAPDTSVPYSAKTFYDI >Et_4B_037284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17623559:17626460:-1 gene:Et_4B_037284 transcript:Et_4B_037284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFVAIAAVCLAAILAVAVGQGEMEHRRFRDMQCRREQQENPLDACRQVLDRQLTSRTGYGLAPFRWGTGLRMQCCQQLQDVSRECRCAAIRRMVRGYEQTMPSLGEGGGDYYGGESAGREYYGEGAQRRQQGPAGYYGCGQMAGRREQQGGDYYGEGRQEGARGCGCGQRREGYGESGQPRTTRVRAMRQYAARLPTMCRMEPQEMAKFFFAVLLSVFVAASDAQVEGAQMFRDLQCQREVQQMPLRACRQLLDRQVSGGLRVVVPAWMRSSGPRAQCCQQLQDVSHECRCSAIRQMVRDFEQSMAPLEETCRGEQGYYGECRQQEGGYYGGDCRQQGGYYGGERRQQGGYYGGERCQQGGYYGGERSQQGGYYGETARQQPGWQQSGCRQADGEEYEQQQGPRYYGEQPKQNRGYIGFPPATATGQGTTRVRLTKVRRYAQQLPGMCQIEPQECSIFDAGWY >Et_3A_024995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2620244:2629343:1 gene:Et_3A_024995 transcript:Et_3A_024995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAQDPLAAISASAPFPTIPAAASPRPRGARTRRHAAPFRSDHPAAVAAASSRRLGCDVSHRPTSFAFGQQEGSQSVGSWGVSGDANFVFGSGVVGASETTKSLSSGSGDASLSILLSAVDKLALDGTARQSDADTLRGDDSAPQMNAGDSFGTSGGNSSFHKGSCPDFLGDQTEQLHEGSGCPSQTRRCETVESRPVASPAACSSPCIDENVSTEFAKSADSLPVLNSVDQGNFLKDGSEISAHGGDNQQNFFVFGQDSGCQQFAANANQADANKVDSDNKEGVTYRSEQLNASSAKDSMHTKFILQGAKHAFSSSHKDAPHLEPHEIFAAWKASYAVPSNLGYEDRSAKVSFNNSTLEATKSSHDGTDFMFSAKTEQSGQSGFTFSASTSDQSTLPSQKRQNKKKVGGMSNHANSIQSRPTSTIGLAYSEASASQRSIGSGAQWSEYREMEPKMVTFSRGVTCTETENFRHHGDCEAWRLRGNQAFAEGQLTKAEECYTHGIGSFDPTDASKKALMLCYSNRAAARMSLGKMREALSDCREAIDIDSSFLKAQVRAANCLLALGDVEEAKKAFEMCLKSNTMSNLDHKIVEEASDGLQKVQKLSGFILQSKEYLITKEFDKISSALQMISDALSISIYSDNLMEMKAEALLLLRRYEEVIKFCQETLHLAERNSICFCPGEHSESNNLESCCSVKLWRYHLIAKSYFFLGKLEEAQQFLKKYEQTQVMECRCEKQSQQSITSFSMAISELLRLKAAGNEAFHAGKYSEAVEHYTAALLSNTESIHFSAICFCNRAAAYQAMGQILDAIADCSLAIALDAGYSKAISRRSSLYELIRDYYQAANDLRRLISLLEKQLQENMSMPSEKTESICSNLNRANLRLASLEREARKGATLNMYLILGIEPSCSAVDIKKAYRKAALRHHPDKAGKSLVRNKNMSDALWREVTNEIRRDADYLFKLIGKAYTMLSEPTMDQCSDNNA >Et_7B_054165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16453799:16454381:-1 gene:Et_7B_054165 transcript:Et_7B_054165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSDDHGAISRHLKVLLPSSHKLRISDEFARQLGGAGGTAMVVSPVGSKVWRVEVGRDGGGAFLGRGWPEFVAAHDIGVGWFLVFRHEGGGMLTVKVFDTSFCLRHFSRPLNGACVYIYHSHSVTEAQLHYTCKSNLVQLRRTGGAGLVGRSSSSRVCLAS >Et_9B_066125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4365816:4371569:-1 gene:Et_9B_066125 transcript:Et_9B_066125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRRFAPGRGKRAAALLLLLPVLLLLCLFLSSLFILLLQDSPEEPQEAAPEEKTAAEGGGGRRRVVEAHAEVEEAPLPPGNTKLAFLFIARNRLPLDLVWDAFFRGDKEGRFSIYVHSRPGFVLTRATTRSHFFYNRQVNNSVQVDWGKASMIVAERVLLSHALKDPMNERFVFVSDSCVPLYNFNYTYDYIMSTPTSFVDSFADTKAGRYNPRMDPIIPVENWRKGSQWAVLIRKHAEVVVYDEVVLQQFQKLCRTRPLPEFWRDWDRPIPAEAWKAHNCIPDEHYVQTLLAQSGLEEELTRRSVTHSAWDLSSSKDRERRGWHPVTYKVSDATPALIKSIKDVWNSNVETKIRITMFSRLYDLQIGQTVSILE >Et_1A_005415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10091489:10093724:-1 gene:Et_1A_005415 transcript:Et_1A_005415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPSKIESMRKWVVEHKLRAALTLAALVGSACVEYYDQKYGSTGPKVDKYTSQYLAHSHKD >Et_2A_017138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31283732:31285148:1 gene:Et_2A_017138 transcript:Et_2A_017138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAAPSQEPLEVSSDDSLSSDSEDEVVNAFGLPNTSKKTSASEGALIRKAEMYQQYMKLIPVPPDQGSVIPFTSWMGLGRSMKQLYKQPLHYLTNVLLKKWDQQRIRSGDEHRHLDAIIHPVRAETLIWATEEVHRLTTSGHHLAKLWSTDPMYHANIDPVFPSIKFDLLNWNY >Et_2B_019236.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19246093:19246347:1 gene:Et_2B_019236 transcript:Et_2B_019236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGGWKTKAAAAVALTLLVISAALVRGVPAVHLQGDGGGEGHGRRDRVAEESISAVPDGRGAGPSNCTHNRNQPRNGPCPPDS >Et_7B_053310.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3981281:3982378:1 gene:Et_7B_053310 transcript:Et_7B_053310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTSSACATTLSLTSCTVSLADSHVPFAACLAFSPTACTVSATLLAPSLVISTTFSPADMVPCTARSLASPAPSMARSAASPVRSLAAFAVSCTRSAASWPISLARSFTCSAFSAASRAAPFVSCFALSVTRDAASAASSAMSLVLSLARSAMSDAWSAMRPPFSCARSFASPAIPFTCSLASPVASFASDAASWYLSLMSLRSGALLYAWSRRIMHVQHRISDEIHLQKVSVVVVTTDKKEYLRRSWGCLQAEPAPCHRLRPWWKEVGRPGKGLPNGRGAGQLGGAGDARAPEQHGGHQSGHRRCRRASNRRVEAEKNSRISELTKMCVDWLREL >Et_10A_000241.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:19637201:19637533:-1 gene:Et_10A_000241 transcript:Et_10A_000241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGYHGRCIISPTKEIIPPRSKCSVTITFQAPSHYPKDQFCVQSTRVDGNLTVMDITEDMFNEQMDKVAVDKVEVTVFLDMPRQTSDALHHIYQEGALNYSIHDFSKRE >Et_2A_015765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17654021:17658665:-1 gene:Et_2A_015765 transcript:Et_2A_015765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAALGAAQAAGAPPPPGAAGEQPAAPRVERLSAGVQQQLNLEGMRARAVGLYKAISRILEDFDVIARTNPSASPKWQDVLVQFSMVSMELFNIVEDIKNVSKVFVVYPRNVNAENAAILPVMLSSKLLPEMEIEETTKRENLLSGITNLPVPTQIEKLKARIDMIGSACETAEKVIAEHRKIHGLGARQGTNLVPTLDKAQAAKIQEQENLLRAAVNYGEGLRVPADQRQLHSSLPSHLVEVLPFGDGAQNFGDNSGVYPKNTSTFAPNSVNTQGNTIQASGGQLLGRPAPSPGAAGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQQRQKLMQASQQQQLHAQQQLRPSAAGMLAQNSIPQLQDLQGQAQQKLQVPGQQQMQYNQALSQQFQNRQMQAGRMQPGISQSQLNQGNQLRSHLGQFAGAANNAMFTAAQASSNSQMMANMPGTMQSQTLLPQMQYGLSGGHPQRSHPSQMMTDQMFGMGTANNTGMMGMQQQQQGVYGNMQAGAQNMQQGMVGLQNQTQNPNFPQQRQQNQQ >Et_5A_040982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1673876:1683914:-1 gene:Et_5A_040982 transcript:Et_5A_040982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGSHIGDGAESLPEDVLFKVFSRIRNLKDLFSCAATCKRWLRLFTNPDFLRQLWPEHDRAPPLVGFFSNAHVVKTIRGVTAPPPPPPPPPRCWTLASFVPADHNFISFTQHLASRRGLLLTRFREEIDLHERRTRSRFVLCDPVTGAHDVLPELGCTCVGLFHNGYAILTAGDFDPSHDHEPRGLVSTRRRRRLSTFSQLLLVGLGNHQNGRRVHVHTYSAATRSWSAPVICMPANLAYLREADCPAVVHRSSARWLYADNEPLNQSLPRYLHMLCVDINSGRASFTKLPVQFDGQRLLCVSRDNRLLIACVHATRVNVWTQQHEGNPAAWIQTSQVLLKVPSSLPLEPQSTGCQFRSWLYIDKGTMLAKYRDFNAIFVVVLDTWAMDKVMDFPHSPPRNYLPYTMDLPEFFLKRRSGGKPTRERPFRDLLPRAQPESPFLLRGDVQTVAAALHRPGFSPSAVAGARPRASARRLLLSRACEETIRRKHDGSSALDFTPFPDSPIRYSDDDDCTIASLVPADLGVSSYAQHLASRRGLLLTRFLDIDTCERRTRHRFVLCDPITGAHDVLPDLDCTCVGRREKNGYAILTAADFEDHSCEQRQLLLVGPHKDDAGRHLHIHTYSAATRTWSTPTVVNCAPATNRLCAPAAVVHRSSARWLYVDDDDDVLFDHPQQAAQPHKRYMHMLCVEINSGRVSFAKLPTVRFNGSPAPLLGVRRDDRLLIACVHATRVDVWTQQQDGDPAAWIHAPLTIRIPSATPPPPSDPHSPIRLQFRGWFYIDRGTMLAAYTRFNAIFVVDLETWDMNKVFSRVRDVEALFHCAATCTRWLRLFTDPDFLWLIWPETDRARLRGLFLKGPDGNMHLRAEARVNCGRITSLVLNNHEDERDLLASSCGVILKHIFTSTCCMGSHTDIVLSNPITGAVDKFAPPPGCRCLNVCVAGHAILVGRERRPPSTRLHSTFSQLLLIGRHQDDQCLIQHLHAYSGVTGRWSVPASIRHGARLRMTTGARAGVLHQGAAHWLQQDHEDDSSLVAWLLAPMVGKNWLLSQVIQMPSTVPTNENFMSCKWFYFNKGAMMAVYGGNGVFVLDLETKVMEKIMDLSDCAPSYGYYMCVPYEMDLPEFFLGQLAGLVTEGDSAVGWRWPW >Et_3A_025227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27986491:27995841:-1 gene:Et_3A_025227 transcript:Et_3A_025227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVIHDAGEGVHKEALELVSSDVNFPKGHFPDYRIGPNNQIIDPEETQEVVPLKEIVAKETAQLLEQRRRLSVRDLKEKFEKGLSGASKLSEEAKRRETASLDRQVLLKKLRDVLDTLKGRVAGRNRDDADEAISLVEALAVQLTQREGELIYEKAEVKKLASFLKQATEDARKVAEEERALALAEIEKARAAVEKVEKALQVHDSASSSREKEEIEELRKEVREARRIKMLHQPSKVMDMEFELKALRTLITEKTQLCNQLKKELAMIKRLEEDSSDLFEFEGSDTLGSQLHIIPRVDGAPNITNCPIQWYRVISGGIRELISGATKSTYAPEPFDVGRLLQAEIVLNADKFTVQTNGPIDDGLERYVDSLMKRTDIEFNVVVTQMNGKDYSSKSVHVFHIGKQRMKLRKGWSTKAREVYSSTMKLCGSRGGGNAAARAVFWQARKGLSYTLGFETDRDRNAAITLARKFASNCNVSLIGPGDQVATLTGEEEETRAGRLQSFFASVFSGLFGQGECGDEEGEEGEMATRDHAAAVAAAPQLHQNRGGAAALGKQKAVVAGRADAKNRRNPLGDIGNYVSVRPTEGKPQPQEQVNRPITRSFGAQLVKNAIKQNAVPAAAARPAPRLPRKAPAKPLPPPEHVIEISSDSDESRLQSESSASSVRKVSRKKVINTLTSVLSARSKAAGGITDKPKLLIEDIDKLDGDNELAVVDYIEDIYKFYKIAETESRPLDYIEAQLEINSKMRAILADWIIEVHHKFELMPETLYLTMYIIDQYLSLQPVLRRELQLVGVSAMLIACKYEEIWAPEVNDFILISDSAYTREQILSMEKGILNRLEWNLTVPTPYVFLVRFMKAASSDIKNDKEMEHMVFFFAELSLMQYGMVTHLPSMIAASAVYAARLTLKRTPLWTDTLKHHTGFTESQLMENVKMLVTSHSTAPENKLRIVYKKYSSEQFGGVALRPP >Et_8A_057680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:603146:609011:-1 gene:Et_8A_057680 transcript:Et_8A_057680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQDKEKAVNVQVLLRCRPFSDDEIRSNAPQVVTCNDYQREVAVTQTIAGKQFDRVFTFDKVFGPTAKQRDLYDQAIIPIVNEVLEGFNCTIFAYDRHREDIHHGRRSGPKGQLPADAGVIPRAVKQIFDTLERQNTEYSVKVTFLELYNEEITDLLAPEEISKAALDERQKKTLPLMEDGKGGVLVRGLEEEIVTNASEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKSIKNRPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYLPKDRYQQEENERKAMADQIEKMTASLEADQKQISELQHKYNSELQHSAELSKKLEVTEKCLDHTSNLLSTTKEDLKQAQYNLTVKDYIISEQKKSENALTHQACALRADLEKFSRDNASLYSKISRGEKLSATNRSVVNTFQTDLASKLDILSSTLNASIDQQNQHLKAVEDLCKSCVDSHDKATSELKKKILASKSVYMSHMEAFQNVLLACVEGEAQNIFSDIQKLLTTHRSEMTHFTQELRESFRISLDRTKDMSTFIIGLFDKYLEETSKLHSHSSNTHEAQMKSIEDFQKAYKEQSQSEEQKLLADISSLVSKHITRQRELVDGRLNSLGDAARGNKAFLDEHTSAMDCVTKDAKRKWETFAEQAENDCKVGSTFSAAKHCRMETMVQECACTVDSAVVQWKKSHAAVNDLSKKHVAEVEALTNEQHEADVASCRAMAEEHASKSSKDITQDVENLLEEARDSSSRVVSTVEAHLGELQQLQENHSGQAAGINTHADEAFQSSYKDYQPTGETPVRSEPNVPSKGAIESLRAMPMETLMNEFRENHPYESSKEPKPSLIPRSPLATLN >Et_1B_011478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22735527:22737551:-1 gene:Et_1B_011478 transcript:Et_1B_011478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNGHYHANGAKALHKREKNQEKVQLDNNAASRACQKDRQYIEKLEAELKNCYQEIDYLQDQLNIRTVEANIMGEHIHSLELKLTELEKFPERVRVMDNELARSDSQCWLLMEEVRCKEEELQKAASQIDKLESAALDSQCEIESLKLDLATLEQRLFDSESLAQHAAEHKARIDKQLGEHELQLHETQKIIDQLVLENKQLKELLPVRAHKHSPSTAGEHLDKTLENGSHTEYERDNVVLERMANQNEESELLIEQLKEELREQKLKAKEDAEDLTQEMAELRYQITGMLEEEYKRRSCIEQAAIQHIQELEAQVTKEKRKLNGALRRLQESQELAHTQSIEIKKLKDSLERIKSAVSLGTVCKSCSCGFCAMLIELSTCSIDGSSVARSPHGNHIDVKPENQALIEWRPDETSDGDRG >Et_7B_055121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7528305:7530582:1 gene:Et_7B_055121 transcript:Et_7B_055121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKAFFTDDGIALLDKRFLPAMDKVGRVCHVYLTPTHAMLLHNLLGATATGPDGGGPQCVAQFARDLLFRDYNLSSRNGNRIAFAVDIALLHRALRSVLAVQAQSPAAGYAPGAIQDVPISRPLSRSDVERLQAALDAAQELPQTLVQVPDLPQFQSLVDRLKNVGDLLTVAVTQYGDLHLQVSTSLVTVGSEFRKLRVLGDRATAPVGDQNLTASTRMDMAVDRGEALSVQVNMKHLVKSLHCHLAKPDCTFYGIAPHGACLTVIFQYFIPGTRLTDKSISFYCRLPVLDPGSS >Et_6B_049884.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:16186441:16186725:-1 gene:Et_6B_049884 transcript:Et_6B_049884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEPTPATQSLHPCRHADGVEEMLLVQATRFACGSYVVGYTFYADGHAMASASWAGRAARIAAWPKMSENRAAEQKQQENRVAGNCSCLVWIK >Et_7B_054246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18133631:18136889:-1 gene:Et_7B_054246 transcript:Et_7B_054246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ETHPQNVQDARIYEINIRNVRDSRNALLNRLLEDRDNKVIYFDGWNGFGAAPVLRSIALLRTEALPPKLCIDRIIYIDCSEWENRRTMQRKIAEELKLNPATMAMFDMQDEDDDYNGVDHGSRDIINSVADAIDQTLMDEIDITRFGIQLAKYIIRSNIVIWTFKRRLLTIRQGFHEVENKLRYSHLLVAHGNFNRLSSSELNALLHEEAASIVVRHQCMKDIDLTMVIDCCLYMLLLHYSFHNTTGFDWTAHASNYWTCDGITQKHTRDIVNALHKEIRWNCDSSRLGILFEKFMEDPKAPFLAVKDDLVHQSRHFRWASITSKNLTMQEEDMHTMLERASSLFVGFERSNDPPGLPNGLFKHCSNLCALTLSFCTFSFDSPPFIGCHKIKFLGLDHCTDNKAREGKYSVDWTHLGSLWVLHLRYTDWDDILSDEKIKIMTNLTELNIEGVRCWRYTSQLQKRLPYLERLRIIKPAHHGKAEILDLSGNKDMKNLPTSITKATSLHMLVLDGCDELENVALPSGFPSSLRSFSFDGYGPPFHLTLTVDLPPINSSSKHPSDANKSDVKTLKISLHGCKQLENLFLRGLPNLIELDLSGSAIKILDFNTMVVDVPNLKRLFLLGCEHLHAVNWVRPYFSVEQPKLELLCIDTRPLWSIGCERPCVAKTESFQLQVHAILADIRLGRSLWEPVHLLKDQLKRVYLNIHITNSAVYGDFVQVEATNSEMPGPGIQLNFVLAKPYDDVVTKIGHASSPVQAFPPSPTPQSDRHIEICGGSDIIESEMKRSRQSISWLIKQHANSLNVHDISTISRLPDGLWYRLKWCCVARCPRLDTVFPYYSEVKPNQLETVWASDLLMILHIWSKSSEERSPSFGNLQHLHLESCPRLQFVLPVWVHSFPNLRTLHIIHCGNLGHVFVLDGWYPEEISVHGVRFPKLTTIHLFDLPKLQRICEVKMLAPALETVRIRGCFGLRRLPALEDREPGMKKLAVEMEKDVWDALEWDGLAAGHHPDLFGPPVHSRYYKRRLLKGTVLRYVCAYVFCTFQMISTFVRTATGFQLS >Et_9A_061563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13692478:13693770:-1 gene:Et_9A_061563 transcript:Et_9A_061563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSQPQRSGSGGGVDRLSKLEDHVLGHILSFLPAKEAARAALLSPRWRDVFAGVHTVSMEEPESPPIDDDRLWRSTHCTYCGPQPDPDGPRSFTATVAAALLGRHRRGGAVPLRALRVAMEGYRGKDAATLDQCVSYAVQQAGPALDVGLRFWYRLQVCIRPYALEDEDDQLGLQGIRIPVQNGSFVASEDEERAVQDRDGWPGMPAVYMVPRVLFSCATLRSLSLRSCLLAPPGTVCLPSLEILLLSCVHDEGNEVQRLITGCPRLADLTIEACEVGTALSVLDGPRLRRLALQCCHELASFAVDASELQAFEYRGAVPDSSLLTLQGGTQKIKYCKIDICGMEVTSKEELTNFRELLQLFANAQHLHLESARLGSGIDKDVFLTFPAWPRRLC >Et_9B_065318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3731036:3733901:1 gene:Et_9B_065318 transcript:Et_9B_065318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCISINIDILKLLLQRVKVYSLTDDGKWDDQGTGHVTIDYIEGSRELALTVVDEVDNDTLLLHNITSDDIYRKQEGTIISWKDPEKALELALSFQEAAGCSYIWENMHNIQQNLQINVLHPQVGSHPAFESREASRESIPHVDFGFKHLCVFNT >Et_8A_056860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1879688:1881308:1 gene:Et_8A_056860 transcript:Et_8A_056860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLSPLPANKSSSSKKPKTAKEKISLQAQRSSMADFSSHSLLLKMPAAMTNGHSPTLPAGLLFYSQSHGGHAAPASANATSGGAAAMAEDASLESSSAVVDTSPQGSPSPMDRKRKAAEDSATLSSGHSKDCKEGKSKRGKRSSKEAEEKSTTEDEPPKGYIHVRARRGQATDSHSLAERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASMSPVLYGFGMDSDGIHEQAQKMGAMFQEALAMPGPVPNQASPATSQAIMDTTSTTAFPLHGQGAISFSQDSSSYLMQAVGEPRQELLNQLVFNNMCSFQ >Et_10A_001011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20133588:20135457:1 gene:Et_10A_001011 transcript:Et_10A_001011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHATVADSGEQHWRRPLAADDQGGGGGHLPPPEPEMSPAPALSRSRQEEEMSAMVSALTRVIAGSAPSPPPPAAKSPPFSAEEAEEAWWPREVLDAAPPSSAFVLHGYGASTLPPQQQWPAASSAATMTAATGASSSSSQYRAATEELPSPSSVGSGEGGGGGGAPLRKRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEAAARAYDAAALRFRGSRPKLNFPESVAALPSPPTPPTPLSQHQHSPAPSRPEALLESQAEPYAEYARFLQGAGGDPSGTASASPSPAAFGFGTDSASGGGGVGELLWQVPAAVAVGPVGLTCVNEGAIPRFH >Et_2A_016532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25724136:25731337:1 gene:Et_2A_016532 transcript:Et_2A_016532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHSVPVDIFELVFLRLDSPICLVRASSTCKLWRQIIAGAAFLQRFRSLHEPQVVGSYHNNQLLPDRPRFEPSPFPTIKSSYPSLDFLPVNSTSCTWRIKHGCGSLLLLDYREGSRHMDMFICEPLNRRYEKIPPLTASAPQNDQTDVFLLNGAEPGDTGISNFRLFYLSMRASVAGIFTSGGSWRKLSIDHIHGMEYMGFAAGSLYYYSCTRAVYVLDQGATEFSLSLLPDAEDFDEPIVIFNLAVTTGRDGKARILFLVLGDNLEILARQKGCDWVPERSIQLSAAMFGVPSYRQCSLIRSRPFCIRQTVSTVRISVSTDRKDGWSMFRLDIETKQLERTTNSAAGYPIKLPWPPAMGFHSVPVDIIELILLHLDSPICLVRASSTCKLWRQIIAGAAFLQRFRSLHEPQVVGSYHDTFLSRPRFEPSSSPTINSSYLSLDFLPVDSARCSWLIKHTRRSLLLLQVSYGEGFQHRDMLICEPLNQRYEKIPLPTASPPHYQTDVFLLNGAESGDAFQTSGSFYLSTRDGVASIFTSGGSWRKLSIGHVQGLEYMGFAAGSLYYYSYRRTVYALYLDATEFSSSLLPDAEDFDEPRVIFKLAVTTGRDGRATFYYSTLILAREKGNRGGGDWVPEKSIQLSAPMLGVPSFQLCFHRRPKALSAETTGTVQILVFTALIVGRVLSVFNLDIETKQLERMTYETSTSYPTKLPWPPFAMDDLTDDIVGLILLRLDSPICLLRAGSTCRRWRRIIAGTVFLRRFRSLHEPPVAGFYHNRGVLVPPQFEPSSSSPVINSSYFSLDFLPNKTSCRITIKDSRGSLLLVVVDPYNLFGGQSSDMFVCEPLRRRCEKIPPFTAPVNPLCVKRAFLLDGNRAAADGIRMSNFRLLYLSESDEKIHVGLFTAGSSSWHRTVMDNEAEMSFMGHAAGYVYWHCQGGTVTALDVSTAAEDSSSFALPMPNAHWDPYDPSSIKDITVVTGRDGEARIVVGCAGEIVKVFARLQGAGMEWTLEKSIQMSPTMFGLESLQNWVFRRGSDSGSPGRGQVVIVLFRGQNYYENGTWKFTLDIDTLEAERLLNADAYPTKLPWPPTLHACTDHEQ >Et_10B_003410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19529025:19532210:-1 gene:Et_10B_003410 transcript:Et_10B_003410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPAQLAVAAVLVALVLVAVPAVNSFDVRAGDADVVPLRQVPQRPELQHLALPGCCNAIWNLIVASGQTSTADRHNICNCISQQTVGLDGHKLSLMASLAGRCRINIPYHPINYFRTDCSNLHK >Et_2A_016677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27115961:27119612:1 gene:Et_2A_016677 transcript:Et_2A_016677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEWPPAAAPPRKVSVGGRVALKVLLFVLLAGLAMRLIVGPSAYLLQPTASPDAAALVATAPARERTPGGGTPTIESCNLFHGEWVPHSAGPAYTNASCRFIESPQNCMTNGRPDTSYLYWRWKPYGCDIPPFDSKKFLDGMQGKHWALIGDSILRNHVQSLLCLLSKVEDPTEVYHDEAFRSRKWHFLSHNFTVSLVWAPFLVKAEIFEDENGVSSSETQLHLDLLEPNWTRQWESFDYVVISTGQWFFKTAIYLENETVIGCHSCLNKNLTETTFEYSFRKSLREVFQFITSTRHKPVVFYRTWAPSHFENGEWFSGGTCNRTLPFKPGEAGDREQDNIMWRIEREEFNKAVGNKERSNADHLNLLDTFELSLLRPDGHSGPYRTYHPYEKGRTAKIQNDCLHWCLPGPIDAWNDIIMQMLARD >Et_2A_015105.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:30081006:30081248:-1 gene:Et_2A_015105 transcript:Et_2A_015105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYDEVEIEDMEWNEELKAYTYPCPCGDLFQITLADLRLGEEIARCPSCSLFLTVVYNAEDFADAKEPHKPAPRPVAVA >Et_8A_058385.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:6296637:6298172:-1 gene:Et_8A_058385 transcript:Et_8A_058385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYTMHATINYPAPPPTSPPLQLPLPYLPPPPPPQALFLPPLFPPPAAVAAASSDQGAGFPSRISPSVLLIILILAVIFFVSGLLHLLVRFLLRPPPRGPGGGNAANGGGGGDAGATAFQGQLQQLFHLHDAGVDQAFIDTLPVFLYGAVVGAGRKDPFDCAVCLCEFDGDDRLRLLPKCSHAFHLDCIDTWLLSHSTCPLCRRSLLADFPSPYGGAGCVSPLVFVLESGSSEGSVSDRLDAASSARLSFVMEQEEAGPNRKQQQAAEAAEKKDEVVVPVKLGKFRSQAAATGGGDPGQDVRRCFSMGTYEYVMDDSSLLRVAVKPPAKKLRPSARVPGHRVAMSECGDCHSKRLGSFRVFDAAPLAAKQPQPSSKFVAEKRESFSISKIWMRGGPTRRKDGVAAPSCSTSRRASSFRLASSALQRTASDVGAAAAVAVPKLRADAVSAVTESEYNVSAWDKSANASVVDWDLESACGGGNGGGGGGLSSRADEAPSFARRTLLWIRGHL >Et_4B_038537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29729248:29733680:1 gene:Et_4B_038537 transcript:Et_4B_038537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAMGLLLSLSHRLFMGKQEVRILMLGLDAAGKTTILYKLKIGEVVSTVATIGFNVETVEYGNINFTVWDVGGQGSVKEIWIIMLTCCLHFAGLRNLDEHVRRSIWTGVSSSGAMGMAMGRLLNLSELSLNLRQLLGWKQEIQILFLGLGFAGKTTIIKKFKLGKVVDTTPTIETTVTLSHLMLQPLLRHYFYGTQGYFFVIDSTDREGLPYAKDYLNMILNEEEARNATMLVFANKQDLPNAMSEEEIAEKLGLNSICHRRWSLFFYLLFVNLVSALMDRRPNWFCRHIKSGSATSGEGLFEGMDWLCTNINAKVLEIAAEYPSVIASTLE >Et_1A_008714.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:10219929:10220132:-1 gene:Et_1A_008714 transcript:Et_1A_008714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAGVLKELELDKCLAVTDVWLAKVIVGCPGLEQLSLKWCFEICYIGVDLLAKKCRHLRSLDISYL >Et_7B_055488.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:1188935:1190305:-1 gene:Et_7B_055488 transcript:Et_7B_055488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRMRRHAVVVVVLVLAGAHSVLCGSNHGTELVRTGGKKNAPSTWRPGANVTGAGGGGPAPAPSNALEGCGCGPTPAPWEFLNQKLASLYPVIQAFKRTVTCDPLGVTSTWVGPKLCDSFTGGGMYKGFYCDYPPTANTTLTVASIDFNGFGLCAPSLAGFVDAFPDLALFHANSNNFSGPVPDLTSLPFFYELDLSNNNFSGAFPDTVVPLGGLLFLDLRFNRFAGTVPPPVFSIGVEALFLNNNGFSGGIPDTFGSSTAEYLVVANNRFTGPIPRSIFNTSGHLAEVLFLNNDLSGCLPYEIGLVDGLTLFDAGGNEITGPIPLSFGCLAVAEEINLARNQLYGHVPDVLCLLAKTGKLTNLSLSENYLHSVGHHCMELVRSRVLDVRRNCILGFPDQRPALECALFYADPPQHCPFVPHIPCDLPGYGTHHGHGLGTAALPAASSPHHGSGN >Et_4B_038064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25945537:25949153:-1 gene:Et_4B_038064 transcript:Et_4B_038064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPAMEKKGHRAFAMAAKSFSSSERQKRSKSYLEDVYAKDALRSSEQTFVLPKPEDVKPKVKSDFNKDMQPGRGAQNTLRKEIMQLEKHLKDQQLVRGTLEKALGPNAAPVNLSLENPMPKAANELIREIATLELEVKNMEQYLLTLYRKAFEQQAPAFSPPDRREAPKPSVSSRSGQLREMAMAKKPSRVDSSLRSSYPRPQKKCNDPLTDCSTSARFDRALDSDVLRCQSALSYRGVVSSRILPSEDDSLARALRSCHSQPFSFLEEGETGASGMISLAEYLGTNVADHIPETPNNLSEEMVRCMAGVYCRLADPPLVHHASSSSPTSSFSSTSAISPQYVGDMWSPNYKRETTLDSRLINPFHVEGLKEFSGPYNTMVEVPSISRESRKLKEVEDLLQTYKLILFRLETVDLRRMTNEEKIAFWVNIHNALLMHAYLKNGIPQNNLKKTSLLAKAACKIAGRNIDVAVIQSVVLGCNTHCPGQWLRTLLYPRIKSKVSKAGHEWRAFAVAQSEPLLRFALCSGSHSDPAVRVYTPKRLFHQLEAAKEEFIRATAGVWKEQKLLLPKLVEAYAKDVKLSPQGLVDMVLRYLPESMRMAVQRCQQGGGRSASKVVEWVPYNPSFRYLLARDLAFPHLS >Et_9A_062304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21725668:21731491:-1 gene:Et_9A_062304 transcript:Et_9A_062304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPPQPSSDPATPPQDSGGKPKKKNKRNPARKQSKRADASASGSGTMVEDPFLFLAGGKEGGFLELEEIDEADFGIVGGVVEEVGGGEDKAGKDRGKKKKRKRKRGNDEQGPSGDGDGDLVVESEQEEEDEKKEEKGDKTPKKKRRNRKKRKLKDKVKSVENNDNNAEDTLDDNDNDNMDQDKNDELILGEDEVYSWHELRLHPLLVKAMRRLGFKEPTPIQKACFPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEREKASRLNQDGKTIQESSGGSSLRALILTPTRELAKQVCDHLKEAAKFLGIQVVPIVGGLSMEKQERLLKKKPEIVVGTPGRLWELMSMNNQHLVELHSLSFFVLDEADRMIERGHFHELQSIIEMLPLTNGSDEQSVRTTPNCATVLNVQIKKRQTFVFSATLALSSNFRKKLKRGLSTSKATMPDEVSSMEALSKQAGMKPNAEIVDLTKASILPEKLEESFIECSEDDKDAYLYYILSVHGQGRTIIFCTSIAALRHISSILRILGIDVLTNHAQMQQRARMKAVDRFRGSENSILVATDGFARGMDFDDVRTVIHYQLPHSADVYIHRSGRTARKSLAGCSIALISPADKAKFYSLCKSLSKENLQQFPVDHAYMPAENANKSWLQRNAESMGLILEGSDSEEERVRGHKQRKATSAQLQKLQHAGISPLLQKQLEELSKRNVNNNSSKTVNKGRFVVIGQDRVEPLQALQNSGQEVCVNMDKQREKRRLSENWKRKKHAEKKRTREQKRKEKRKAKERD >Et_2B_021696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4577020:4579081:-1 gene:Et_2B_021696 transcript:Et_2B_021696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNIGSNAEEREQPGWLGIEEALPFTGKKVTKAAADYKRWSTVVNAMLVVLIMTMPPILILFGERLGAPTVWIKSSMASLTTRGRAESKKDTLLGGLLVPGFDEQSCASRYQSVYYRKNMTRQASPYLIKRLREQEALQRRCGPGTEPYIRATERLRSGQEVLDSADGCGYLVLISYRGLGNRILAMTSALLYAMLTNRVLLVDPGRGNTLPELFCEPFPGTSWVLPQDFPLTNFRDLYEDAPENYANVVVNKSSSVSGLRFIYAYLDHSSSQASRLVYCDDHREFLHRVQWMVLRTDQYMPPGLFINPTYKPELDLMFPRKDSVFYVLSRYLLHPSNNVWGMVTRFYNSYLKDADERLGIQIRVFDEKPVQNMLDQILGCTSQEHLLPAVVTTGGGGAPPLPTALGRSKAVLITSLSSWYHDNIREKYWKSATVDGEVVSVHQPSHEEKQQWYHGEHDMKALAEIYLLSLTDKIVTTGWSTFGYVAHGLGGLTPYITFKTGDDTVPNPACARTVSMEPCAFTVQHFECTKKDINQNWLPTTPNIRICQDFVWGVQLFDTA >Et_4A_032715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12922392:12927798:-1 gene:Et_4A_032715 transcript:Et_4A_032715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKGQGGARVSAAAAAVVGAEGSGEEAKGPAGQFVCCICMEPWTCNDAHRICCIPCGHVYGRSCLERVSAAAAAATVVGAEADGEAAKRPEGQLVCCICMETWNCNGAHRICCIPCGHVYGRSCLEKWLHRCGMASAKCPQCGEPFEFNKIMNLYAPGNIWDGCCRMQELQAQMVELWARCDESSKVLVEMKSEGRDTAARLKAMETALSNTLDGIEEFVLMKEPMKKMVEQNATPTDLIGFVEQNSRKLVQRIGKHLIPVLMDD >Et_5B_045108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9678561:9681562:1 gene:Et_5B_045108 transcript:Et_5B_045108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAARRLASRSAVAGRRALSTAEVPAQAAEDSSFVEAWKKVAPNIEPPKTPMSLMQPRPPTPATIPTKLTVNFVLPYKSEIANKEVDMVIVPATTGQMGVLPGHVATIAELKPGVLSVHEGNDITKYFVSSGFAFVHANSVADIVAVEAVPLDQIDPSLVQKGLTDFTAKLGSASTDLEKAEAQIGVDVHSALNAALAGPLKLYHIGNGDEHIAAAETSQKA >Et_8B_059058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1318216:1322710:-1 gene:Et_8B_059058 transcript:Et_8B_059058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EQRFVVLNEKDISERQEEDISKVTAVLSVSREEACVLLHHYKWDISKLNDEWFADEEKIRNIVGLLSSESSFPRSRKLTCGICFERYSSDTMSSAACAHFYCHECWQGYISAAISGGPGCLSLRCPDPSCGAMVLQGMIDKLAKDEDKEKYARFVLRAYVEDTKKTKWCPAPDCTCAVEFLGDENYDVSCNCKFSFCWNCTEEAHRPVNCETVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYESAKKEGVYDEAESRRERAKNSLERYMHYYERWASNQTSRQKAQADLQKAEKEQLARLTDIFGIPETQLKFITEAWSQIIECRRVLKWTYAYGYYLDDKVKSEFFEYLQGEAESGLERLHQCAEKDLGTFLPNPNKSDSVDPTPSVTDFGEFRVKLAGLTSVTRNYFENLVKALEAGLEDVRSTGQAATSTNATTSKKAGTKTKSAKRQQHVRPTSDHSEDGWPCERCTFLNPPSVDVCNVCEKTRY >Et_1A_005820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14305763:14316877:-1 gene:Et_1A_005820 transcript:Et_1A_005820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYIPVQGTEEEVRVALDQLPHDASDILDILKAEQAPLHLWLIIAREYFKQGKIEQFRQILEEGSGPEIDEYYAEVKYERIAILNSLGAFHTFLGKVERAPQKEAHFKDATQYYNRASRIDETEPSTWIGKGQLCVAKGELQMASDYFKIVLDEDGNNFPALLGQASVYFMMGETEQQHKKSLEHYRNSLDLYKRALRVYSNCPAAVRLGIAFCRYKLGQVERARQAFHRVLQLDPENVDALVALAIMDLQTNEASGIRRGMEKMKRAFEIYPYCTLALNHLANHYFFTGQHFVVEQLTETALSSSNHGLLKSQAYYNLARSYHSKGDIETAGRYYMASVKEISKPQDFVLPYIGLGQIQLKFGDYKSSLTSFEKVLEVHPENCESLKAIGHIHAKSGENEKAIETFKKVTRIDPKDHQAFVELGELLVESDWAAAMEYLKTARNLLKKAGEDVPVELLNGIGLLHFEKGELEMAEKSFKEALGDGLWVSVMDGKVGSSMINLGVQYKDQSFFHQLEEEGVPLELHSNKVTPLFNYARLLEELHDTVRASLFYHFIIFKYPDYVDAYLRLAAIAKQRNNVQQSKELIGDALKIDEKNPNALSMLGSLELQFDETWLTAKEHFRVAKEATKGDAYSLLQLGNWNYFAANRPEKKAPKFEATHREKAMELYQSQVLKLHRSNLFAANGIGILYAEKGKWDVAKELFTQVHEAASGSIFVHMPDVWINLAHIYFAQGHFQQAVKMYQNCLRKFFYNTDATILLYLARTQYEAEQWQECRKTLLRAIHMAPSNYLLRFNVGVSMQKFSASTLQKTKRTVDEVRATVTELQNAIRVFSLLSVASTYHSHGFDERKIETHVEYCKHLLDAAKVHRDAAEQAEQQNKQKMEVARQIALADEASRRAEEQRKLQLEKRREEDELKQVMQQEEHFERVKEQWKASSNTPGKRKDRSKHEDEEGGSEKRRRKGGKRRKDQKTNMQYGEEEEDEYRDEPEAEYDYANLSRDNDGDNAQRAPDHLLAAAGLEDSDADGDMGQPQSAIERKRRAWSESEDDEPAQRPAQPSSGVNDTSE >Et_6B_048939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15837444:15841113:1 gene:Et_6B_048939 transcript:Et_6B_048939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSGSLLKVVAKNFDVLAGPLVALAYPLYASVKAIETKSPVDDQQWLTYWVLYSLITLFEITFTSIIQWLPFWPSMKLIFICWLVLPHFNGAAYVYQNYVRPVFVKNQMVNIWYVPQKKGLFGKSDDFLTALDKFVEENGTDALKKLANKAGKPFKQSGKTSKDSKESKSSKESKKKAMEDFMELKKALKDSKEHESLNDPSEPKPKSNKRVTFAEVEPEKEFKASNSHWRPSSDYHNVYPEQNSWTSSFMIFEDENSYWNQAH >Et_4A_032376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32538982:32539553:1 gene:Et_4A_032376 transcript:Et_4A_032376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNHVEAVPTRWSPWRRANSLENNPQDIHLGDDVHLEDLCHVGRGLLGVPGDQRRVPRRLRHLHPPVVCQQRRDGAEHQDEPPHEVRLRRRGPRGVLGVGGHVVPGAERRRHHQRDHAAGEDAEALHGEHGGDEGAPRLLVGVLGHDRRAQWVVAADAESQPEAEEAERGHD >Et_9A_062030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18978142:18994253:1 gene:Et_9A_062030 transcript:Et_9A_062030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGKVLSSSLVDESEGPPWTITSVLKPCVLPQWLGSSRIATAGSFSSAKGDVKSNTFTTTSPPLSLSQRNTGTKMPLRRLLGLSAAAAASGHLRRGLSASTSRPPWSMLYKVNLVNSPARRVSFEPAEPPCPSHLVVPAHLVERPRDQDHDSDTERLFFGGIVKATSGDGLVLLQFLDLRRMVPIGERPRSAPPLELTGVLTNDLTHFVGNPISGQLFRLPDIEGTKRTASCSETGILTQSERPHQPPARYTVAALVGAPYGWQRSFDMRRFFSETGEWDTLVGLPSPFPLARPMHCRDHEVVAFAGWLWWVDVSCGAISADPFSDRPDLHFVELPRGSVTHSELKLRVLGRYRRIGVSEGRLRYAEVSQEEPFVLSSFVLDNDGSSWTLEHRMALSTLRVDGVHSWEGSRPRIGVVDPLNSSVMHITIGNNALAVDMVEEKVLGCSMLDVSDPDVPKVAVCTSTDGSLSSNKVSFRSKTLSDMHFAFPKRPNYTLQNPRPSRSTAGAGLLQPKCCSRSAAAPAWARLRRGLATGASRPPWAIIHQAALVSSPAVRSTLLLAEPPCTSHLTVPAHLVNPRLHPDSPCKPVGVLGGMVRATSGDGLLLLQFVDGTAAVPTTADEVRAVPAGESIHFQMDPDITRFVCNPIIGELFRLPDIDGTKKVPTWHPHGLLTRSERGHSPPDRYAVAELAVDHNAEEQSFVMRRFLSGTGEWEKLVGLPSPVPLPLLMSFDHEVVAFAGRLYLVNLTWGTISVDPFSDRPELRFLELPEGCAWPVPSADRMPAQSVCRRMGVSQGKLCYVRLFGDDPYLLSMFVLNDDGSSWKLERRVQFSSPGSEVKPRIGAIEPLNESVSLILAGNHALSVDMGTGNVLDYSQIDEVEEGVPGAFHFSAFLKPVVLSPWLEAFRIPSAAQHRHQDDAPAPPPPPTSSAACPHPPCALRGRWSTKPSWSPRRRGACLWSSPSPRPPPHLVVPPQRIDPDSGTECLFFDGVVKATSGHDLLLLQFLDLRGMASTGARHRSACESIGVREPNLTRFVCNPISGKLFRLPDIDGTKKCGFCSDTGILTQSERPHQPASRYNVAALVGDPYGWERSFEMRRGMGHADGFAIAVPAHAADALQRPRSSGLWRVDVSCGAISADPFSDRPDLQFVELQRGRVMTEQQERLGVLARYRRIGVSEGRLRYAEVTQEEPFFLSSFVLDNDGSSWSLEHRVALSRLWVDGVHLWQGHRPRIGVVDPMNSSVIHITIGNNALAVDMDKEKGLGCSTLDGSDDMDREKVLGYSTIGVRNPPERLCGFFKPCVLPPWLCIVPDPFCWFLKINRSK >Et_6A_046002.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:17486824:17487147:-1 gene:Et_6A_046002 transcript:Et_6A_046002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ANAEYCKLGDLTVTQAALPGKVDGQEYTVVVENRCICSQANVQLACPGFNSSMGVGAEVLRPDGDTNLCTLNDGRPVFMGPDYAVKFKYASTSQISFVPVSSSIACS >Et_5B_043112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4286791:4287409:-1 gene:Et_5B_043112 transcript:Et_5B_043112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IPCLACRAPTASRHRGRTRLSSPSAAGAIVRRGRRVPLLRGDGAGAGGAGGELLALELAELVEVELERLDVVLEAERGHGPEQVVAVDGLALLALALVGGLPRDEGDELRHALLHRLLGVLGDLGVGRERLLHDPAHVRDRQEPVLLARGREVRVARPAGLVVGVRH >Et_5A_040910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14769661:14773250:-1 gene:Et_5A_040910 transcript:Et_5A_040910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSTNQIYTSGVPVTVPTSLPSIPVSLDESFPRLPNAQTTLMDRELRSTPLSTLQGNVAPVRCQFQSSSTGSVGPLCSPPAVRFSSVSNTEQYTHANPYNSQAPSSASSSTLNYGSQYGGFQPSLTEFPGDAGQPWCSDPVDTLLGYSGDVPAGNTAIGVSDDLTKQSEWWTDFMNDDWKDIVDNPASTENQQVGQPVPSSISVQQSATQQSVSSQSGEHLAVTAPSPSAGSNTAKARMRWTPELHERFVDAVNQLGGSEKATPKGVLKLMKADNLTIYHVKSHLQKYRTARYRPELSEGSSEKKVASKEDIPSIDLKGNFDITEALRLQLELQKRLHEQLEIQRSLQLRIEEQGKCLQMMLEQHSIPGTDKVMDASDPPESSTVKEVSENCQNGLTKQT >Et_10B_003575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3266665:3269829:-1 gene:Et_10B_003575 transcript:Et_10B_003575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRIRFFKLMTGDFAKGISIPEKFVENFNGKITKEFNLKVPSGETWHVGAEKDADELFLKSGWEDFVKAHELQENDLLIFTCSSNSSFEVLIFDASGCEKVSYLFADKTGPNMCINSDDEVNQGQLDGHNSLSDSEDTTTPSQLVGSTRGATTSQKHTLVTRMLLQSPNSSDDHVKHEAIGEGDSDEQNSNSKYYYSMIANRLSDEEKEEIISLASIQPDNPAFFTVLQMSHVRRKNNFLVSVFKSMNISIHSNYVNYVDLTIFKTNTDTTNIVAQIFPSRFVADHLESSLHEITLRRPNRKDKWCVKYYCARTSRGIRNYNFSKFMIDNKLREGDICAFELMKGARRVTMTVHVIRNVDDSMFDQADLKYSQTTAFKNESPKSATN >Et_10A_002211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4586379:4587004:-1 gene:Et_10A_002211 transcript:Et_10A_002211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLRRLQTLPWLRQHSKTQRPLEQLAGALYRAYMLVRSCDQQQTARSYMYQLLTEILWPSLIIKSSIKNQNKGTWQRRISTSTTPDELEDLAVVVADGSDGEHVLEERAVVAAVEQPAAEAATVLDGLPDLGHLALVRLRALQEPAVAADDLLDRVAGHVEEPLAATPAATTTTPPTRYTVVAPALEPARNDGCQFDERARSA >Et_5A_041493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23552048:23554387:-1 gene:Et_5A_041493 transcript:Et_5A_041493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTASSPNSSNPPIRTMSSDCSASPATTSSPSTPASPSSMMRVSSPPSHTTRRLSTQSTHGGSHKSTPPPTDDGSARGDAMTTEVVAATVVAGLLFVVLVAACACGCRSKKRRAAAHHPPGGMMFYADSSGFKDATRHVRNTCVVLVLVVLNIGHGDAMNAGNTSAYYFTTGATRPPWQNQVAPSPSNKWQQHQQHQAAAPPVPQTPAPPAANANATTPAATGSHGGLPPPVPPPPLPMPAGLDTASFSYEELSAATGGFSGANLLGQGGFGYVYRGVLPGTGTEVAVKQLKAGSGQGEREFQAEVDTISRVHHRHLVSLVGYCIAGAQRLLVYEFVPNQTLEHHLHGKGVPVMEWTVRLRIAIGAAKGFAYLHEECDPRIIHRDIKSANILLDNDFEAMVADFGLAKLTNVNHTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELLTGRRPGDRSSYGQDGLVDWARVALSRALADGNYDPIIDPRLDGDYDPVEAARLVASAAACIRHAGRRRPKMSQIVKALQGEMPLEDFNDGVRPGQSVAFGGSGSGSGSGSEYGSGSYTAQMERIRKAALPSPEYSAEYPGSIPEFGHPSPASSAASSHQDDRRRQARR >Et_6A_047961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26129477:26130108:1 gene:Et_6A_047961 transcript:Et_6A_047961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEDRANKILCFACRNCDHQEVSDTNLVYRNVVDHAAGELTQVLYDDVASDPTLPRTKSVRCAACGHGEAVFFQVRDIATNSFSPVWFRVQL >Et_4A_035878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7793326:7794862:1 gene:Et_4A_035878 transcript:Et_4A_035878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGTQQKCKVCTKTVYPMDQLSTDGAVFHRNYSSFEGVPYCKTHFEQLFKETGSYNKSFQSAVKSTPEKVTPELTRSPSKAAKMFSGTQDKCATCGKTAYPLEKVTVEEKSYHKSCFKCSHGGCAISPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKCASVKRAEAQPAQPTGTAADSS >Et_2B_020515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20970464:20971126:-1 gene:Et_2B_020515 transcript:Et_2B_020515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSRQETTASRNPMRRTASLTEFAPPDVLTSVVEDEEVVVVSQQPASGGQDWLAAFGGAGGAEKQEEWLMAYRARAAPARGGLRRNSADCSATEAAAFLRACGLCRRRLGPGRDTFMYKGEAAFCSLECRQQHMTQEEWKDKCAVKSTIKDASAAPTGGRRRGSGKPGTGGSTVAAA >Et_2B_022572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26296009:26296535:-1 gene:Et_2B_022572 transcript:Et_2B_022572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLDSVPTLVVSITDAEFFQPNDRAMTSPSLPPDSPFWRAERASRSSSASRGWHRACAEAAALVAAVADASGGVARELERSICLQPCTSTRIVRRVAFGGDDGGMDDDGAGQAPGRPRQARHGTRCAASSWAATTETRFANPTSRAAAA >Et_1A_004524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12541031:12541433:-1 gene:Et_1A_004524 transcript:Et_1A_004524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVDKVRGTLRLLENWEVHPRSSCCLATSVTAARRRSSSCLSSLSRICSR >Et_9A_062768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4747969:4751490:-1 gene:Et_9A_062768 transcript:Et_9A_062768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRCYWGGGRRPTEAAGGVVVMFAWLSSQERHVRAYVDLYASLGWACLVCHSEFLTLFFPGKAAMLADMVLGELVKLIERRCEGHLSVDDYQLVRDCLCGQIYDSSPVDFVSDLGTRFILHPSVLKMSEPPRVLSWMAKGVASGLDTLFISKFEEQRKEYWETLYSSVHVGPILIFCSEDDELAPCSLVQDFGHHLLELGGVVNIVKWRSSPHVGHYKHHPEEYRAAVTELLLKASIFYRSRRQHNTCEAGMSEHNDLPRSVSNHHRTAASSSDRIRRAPIEPTDHFFLPSSMEYHESNEGPKPELFNMPSVESMNPHGVLGQMLYDVCVPKNIEGWDLKPSVSMNGRHMHAVARHHVHFNPMKCIRRSRL >Et_3A_027167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3712205:3713716:-1 gene:Et_3A_027167 transcript:Et_3A_027167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGMLDAARRIMQDDEDMFSETCRRDSFCLSCNRAYCSYCCFCHHVHLFDLSVIAKIDLDAGGRPVIPTHTAGPTGFRIQSCFAKGMAAQDYTTRLARDAFCLHCGKAFPADVCTHHDHADEGLSDAVVRVVEHGGRRCVVCAGTESWTSHMDEALGDPVHVGEDEQGRYCELLPVLKIKPGTCRGCGARGPYLVLCKDQISKQEKKQKH >Et_3A_026690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14406280:14409375:1 gene:Et_3A_026690 transcript:Et_3A_026690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGQKALLRKAPEKPTAAEVNPYLLQRDKLMMRNSKALVRLGVVRAVELLKSSAPKKTKKKAPTPEHSGSCCDPEDDDIDQGAVDMIIASPSTMKGCSSKRVVAPMEQQMEQPVRRTRQKTRELSTTEADATSNDQEAAESENELLASNEGKQGVKKPGQIVGKLNARRRLDPKIEKYKNMVPTTVDLFMDCHVSSKTGCIETMKNAIAAMEAIMTESVGDGNASKTPADVVAHVLPKTTFLRNVGIKTNGVKTETAAGARVEELESELEGSKKMAEDFKEQMERMAKQIEEAEAARVKEAEEAQARMCKQAEELETLKKASEDTQSLLQELAKRYKGSS >Et_2B_020765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23369772:23372589:-1 gene:Et_2B_020765 transcript:Et_2B_020765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHEHSSSSSSSGSSSSENKAACWSSASSPQPAKKRPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTYVTAESAARAHDAAMLALGGRGAAAPAASLNFPDSAWLLPVRRLPAGSDLADVRRAAVEAVAEFQRREAGSGAAGAVDEVTSGVSEPPSLPHISDAWCSPATKASAEDRLPVKADEPAAMDGGMFEPDWFGDMDLDTYYASLAQALLLEPPPPAAAWEHGDCGDGGADGALWMTSEGACYPPLTPRGTDQAPLHRVTTNDHADTYICFPASFLCSLTQVHSISIPQLELSQHTTPLHGQLIKNGHALAAAVYMTVWSAPPKKPAGRTKFRETRHPVYRGVRRRGAPGRWVCEVRVPGRRGARLWLGTFATPEAAARAHDAAALALSGRGACLNFADSAWLLPPLMPAAGAMAGAREVKRAVAEAVEALRRRAAAGATTSDEESGCGSPPPAPSSPDVEYGCGGSPVAPSSDVVFEFDDGFRFGGMDDDGSYYASLAQGLLVDPPADGAWWEDGESGGAEMALWSY >Et_3A_025061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26577033:26584804:1 gene:Et_3A_025061 transcript:Et_3A_025061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYLTIAALSIAIVTIPIIQRYRGQSYGPTRQPPEFYRSLGNAGEGGPSDGAEPSTPIMSSAVEPPPKKRKLVDGHAPSTSSTLVPAAAPPLSPAPPPPAAPPETLAAAAPSSSAPPPEATSLLAEEARQKRRNQEELCRLYECHRRIRNCLASKDPALLPQLEQDYLLLISASRGSASAQRFLSLLIPRFSPYCPTALEAAAKVSINMYKSSIDIVTKGEGRNGFACQTARACIVGLTDICSAASSEAPKSPVLTGICSAVYMTVLAFFVSTFDRKDIYHIGSRNFSVLQDPVKLLETLKELKDTNLPELDYLFELRALCLLCTFLLFPENVLEASFALLASRDTDDVKGGLYFLNQLTSCLNVDVANDALGDKTDGQCSGVEINMSDAKNIMDPQPSSNDNVLLRNSMVESNECYMTMAMSRHRSLRRWIYLRHKKLCDSGEPALVSEVSACLKVLGSLSELAEDTSHMDTEPSVPEKLDKNIRENVRSDKVISSSEQELLSKSDSIDTNVEKSSLVKNMDMDCTHDKISQKLTDAKIDSSEGASVVSVAAHKGMKPDLLTPKSTYDSAGGSTTVTSPGQHFGKAKHLHSEPFDMYGASVSRDVISVSKELWVGSLGNRAADALVRSKFEEFGPLGNLLFYPSKDFALVEYRNIIHAVRACRNMQGSTIWGGFLQIRYLDRLIGCQGFIGGVAIGESRHIYVANVKNQKDKDEVFDQLKAAGLKRPCGITDISNENALLLEFETAVDAATAKVYVRRQAHADVCSKDNSPGHQILVQNIDNSVPDMEFINAFTRYGEVIRWQFNKPNGNCLIIYRSHDAAAYAKSQLHGARFGMKHPIVSGYHSGFAVPGDRPVYGKSSPSPPNTKQVWHHKEMESNRAPQVPPCPPVSTHRGSVMPPPPIQTSFVRPVYPGPGSPWENTTPNPPFSHVSPRMMPGSNFRVNPSGPLPFRPSSVAPLAQVPGSSAQHPETMPPLPPLTNSARPPFTPLDRPPPPPPLPISQPPSVPPPPSSPPPLQSTASDLKKSCSHPRWEGSLSKSGLHYCRIYASRVELDACKYENAVSEPAEWPSKLDVTKRTDFQHVKTNFSNSPPSKREVCRLLPCSNGDQKGLRDFISYLKQRECAGVIKIPPVKPMWSRLLFILPPTAEACGMLDLSPHPADCLIALIVPKETTVEAA >Et_8B_058785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4914567:4915036:1 gene:Et_8B_058785 transcript:Et_8B_058785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VTRDTSSWASLHEDLVSLIGGYRVLAVELRQYIRFRAACHHWRLSTACPRGRGGHGLCYPPRAHGKMRGYVRFFNLSTGRFRSSRITARSNPSTASGHGRLPPPPLHWRHRRVTAPRHPRALRPPVYTGRPLGVSQKHSCCLH >Et_5B_044838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6417877:6422383:1 gene:Et_5B_044838 transcript:Et_5B_044838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CDSRRFIIINIPVPSTLFVLRDSSSVDAHIGELFKNMLVVMSKSKLVRELRVRPPPLTAFAVILLLLSALPLCQPYTYEQDVFAINGLYTALGSPGLPNWITNGGDPCNEGWQGVECVNSNITSITLSGANLDGQLGNTLANFTSLITLDLSNNNIGGTIPDGLPVTMQKLFLSANQLSGSIPTTLSTLTQLTTVSLNNNHLVGEIPDVFAALTGVANLDFSSNNLTGPLPPSMGNLTALTSLHIQNNQISGILDVLQDLPLQDLNIENNLFSGPVPVKLLNLPNFKKDGNPFNTTIAPSAQPPAASAPLAPPSTGHVPSKEPAHSSNGTGGSNPAPPSGTNKVSEVKLVGYILIGVVSAVVVVLLAIYCLSKYKERKSRDDIYTKGQMGKVPQKLGEPKIKEVTEIKEPSIKLKNNFGKGSNVVYDAQDGRKLDSSVTGIIPLHCKFEFMEVHKHTNMAAAPGLVTMKQNEHVIDMENVDNFVEEQRPTQPAQRTEKAVVNASVRTRRGRVPSVGKATAVKSFSIASLQQYTNSFSEDNFIRDSRFGKVYLAELPDGELLEVLKIDTLKIPADAFLELVVTISEVRHPNILELVGYCAEFEQRLLVYEHCSKMTLHDELHYVDDLNKPLSWNARLQVAVDAAKALQHLHDGCQPPIVHQNFEPSVVLLNSTLVVHISECGLAALTSESSSQLSGRTLFHYEAPEVHESGSTSDRSDVYSFGVVMLELLTGRKPYDSSRPRNEQHLVRWATSQLYDIDAISKMVDPFIQGQCSEKALSRFADIISRCIQHEPEFRPPMSEVVQDLSRMLSNATKASM >Et_3B_028657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17824079:17830038:1 gene:Et_3B_028657 transcript:Et_3B_028657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQRWTAEEEAALKAGVAKHGPGKWRTILRDPEFSALLRLRSNVDLKDKWRNLSVTAGGYGSREKARLALKKGRRVPKVTAEPMEVDEDNMDNAHNAVFDAQPLAMVVEPLQLEDSPDKSVARLDDLILEAIKTIKEASGSNKAAIAAYIEEQYWPPADFQRLLSTKLKALVSTGKLMKVSLPSSSPPSYLNEGRLLSRLLFNLILEMNGQNNVKQLTKPQVDAELDKMKCMTKEEAAAFAARAVAEAEIAIAEAEEAARVAEAAETDAEAAKAFLEAVTATIKNRNAATMVEHTHYPFSRITTDICRYINHTTDSTSINADASSLLILFYPCRLDDFSQWNLLRQHGCSAAKLLARNEWSLKLRNSGDPAATGNVICIFLVAKRHHTNLAAALVVLHQPLVVSAAVRLETSQLLRCNAPQDAILVDQARMQKRGPCNCVWRKWHVPEQTIRLFQPPCTAEEIHGTDVVVKGPLHTRLLGHEIKVAQPLRDQPSVTTGCQDGNEGVAVVHLLFQRAFCNHHGLPPKAVLTKPLDHCVPRYNVSHRHFIEHLLQIKYRATAAVHADQGIADKDIALIEAILDNAGMDLPPKLEQGKY >Et_6B_049096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17713818:17719058:1 gene:Et_6B_049096 transcript:Et_6B_049096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMFGFSRRRMKLGRFKGHLNDHFHGSRSPSRHAKRHNHPNGEDPVTASVSGRADDLAWRCSSDTFDLNGRAFESSENWAVLSTEGDKPVPRFDHAAAMVGSKMIVFGGDSGNRLLDDTKILSLDKLTWDSVAPKVRPSPTGRSPKLRPCKGHCLVPWGKNVILVGGKSDPPSDKISVWTFNSETELWSHMEAKGDIPVSRSGHTVIRAGPALILFGGEDAKGKKLHDLHMFDLKSLTWLPLNYKGSGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDIHALDFETMVWSRVKTHGHHPSPRAGCCGALCGTKWYIAGGGSKKKRHPETWVFDVLESKWSVCVVPPSSSITTKKGFSMVPLYYRDKIVLLAFGGNKKEPSDKVEVLVVLQNEHCFSWRSAPDVDPLLYDDSPPSSRELADHLNNALHYTLLVLQQEVALPQQWITHLGGNPFLIHCYITRIWGARHSAGSSEEDCSLAQKLQKPIDDDKYKDVDDCCELPSITNQKQRDDTHHSPDADARMKRLGRSSSDINHHHDAKITNLVRRNMALEEQLSSVMASKDEAEKNLSLVIDSKDELEKRLAERDREVEALKEKVTGLELAQEESNNLSNTVHADNVRLEREELHSTRGVLAGERARAFQLQVEVFHLKQRLQTMDG >Et_3B_030886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8353621:8357132:1 gene:Et_3B_030886 transcript:Et_3B_030886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METVGVAPAPMVAPEKKKLLDLKDPLPAARASPASAGKWAMKKKLVGGDGGYVLEDVPHLTDYLPELPTYPNPLQDNPAYSVVKQYFVNTDDTVTQKIVVHKTSARGTHFRRAGPRQRVYFQSDEVNAAIVTCGGLCPGLNTVIRELVCGLYDMYGVTSVVGIEGGYKGFYSKNTIPLTPKSVNDIHKRGGTVLGTSRGGHDTAKIVDCLQDRNINQVYIIGGDGTQKGASVIYEEVRRRGLKCSVVGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLEFVEKRLKDNGHMVIVVAEGAGQDLIAKSMNFVDTQDASGNKLLLDVGLWLSQKIKDHFKKKPSFPITLKYIDPTYMIRAVRSNASDNVYCTLLAHSALHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSHEDVENMKHDDDEHHRHNTQLLEGESSPVKNSSKCNGSAQPVAMHKNKYLKEY >Et_3A_023808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12670092:12673416:1 gene:Et_3A_023808 transcript:Et_3A_023808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALESGKSSTKIVVDGAWDYKGRPSLRASSGGWSAAAMILGVELNERLTTLGIAVNLVTYLTSTMHLGSAASANAVTNFLGTSFLLCLLGGFLADTYLGRYLTIAIFTAVQAAGVTVLTISTAAPGLRPQLPGEPPNGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDELEESERTLMARFFSWFFFLISLGSLLAVTVLVYVQDKVGRPWGYGVCVAAILAGLVVFLAGTAKYRFKKLVGSPLAQIAAVTSAAWRKRAMPMPSDPAMLYDVHDAAAAGEDVKGKQKLPHSKHCRFLERAAIVEEGDEEGMRRRWASCTLTDVEEVKQVVRMLPTWATTVLFWTVYAQMTTFSVSQAQIMDRRIGGFEIPAGSLTVFFVGSILITVPVYDRLFVPLARRCCNKHGGGLSPLQRIFVGLLLALLAMVAAALTERLRRMRMTSSSQANKYSSSSVFLLVPQFFLVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLTTLSLGFFLSSALVSLVHALTSGWLADDLNQGSLDCFYWLLAAISALNLIAFVAAAKGYVYNHKRLGLAAEDDHDQVPVHG >Et_3B_031701.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:8179926:8181038:-1 gene:Et_3B_031701 transcript:Et_3B_031701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAKRHLYVALRDYENGYTIRKIDVGAFDAGSGLNPDEHPEPLPEPPAFVVEAEHGQPTLIAALGARILAMPQRLAAGAGALPGFDAAAAAAPGLVIAPPPQGSDHRPPALVAVGGDRVYGLGCSTRAGAGEARHFEVLHAPAPPRRMRWAWSAVPAPPPFNPLLVTCHAAHPDGRAVFFSAEASGSGSSGGRGGTFSFDTKRLEWTCHGAWLLPFSVQAHYDGELDAWVGLCGRHHGDAAGGGGGRVVSCDVVDPDKRRIPAPEWKLGAQPVLCEDKRRHVGAALVYCGDSRFCLLECVEEKNGKKKNAQERRGPPPDQPRRLLHVTAFGLKYGKQGELTTAMRRQRRCYALPDGAANFMGKPIAFWM >Et_5A_040490.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:25395105:25395341:-1 gene:Et_5A_040490 transcript:Et_5A_040490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSGHTVRVMKNIRMCGDCHSFLEHASAATPKESSVRDSSGFHIFRGGRCSCREQGIARLLPVLTLLQTRDYRRYP >Et_9B_066100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3744814:3746003:-1 gene:Et_9B_066100 transcript:Et_9B_066100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVCVDAGLWAGLVIGYVTEYFTSNAYGPVRSVARSCRTGAATNVIFGLAVGYKSVIVPILAISAAIYAAFRLAAMYGVAMAALGMLSTIATGLAIDAYGPISDNAGGIAEMARMPRRVRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVSAVDVLGPRVFVGLLAGAMLPYWFSAMTMRAVGSAALRMVEEVRRQFEEIPGLAEGRAGAKPDYATCVRISTDASLRKMVAPGALVMLSPLVVGTLFGVETLAGLLAGALVSGVQVAISASNSGGAWDNAKKYIEAGASEEARSLGPKGSEAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGIIFNHL >Et_5A_041754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26744080:26765663:-1 gene:Et_5A_041754 transcript:Et_5A_041754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPKKGGAVAGLPDDPLVEILSRIPIKSLCRSKCVSKAWSDLIADPVYCKKLPQTLEGFFYAHHELMEGDDIVVGGEADGIDGGNSSNGDGQNVSGQLASGEIVYRNRRGTGRFIDLLGRSVPLVDPSFSFLTEVPGIQDIRLLDGCNGLLLFRYGRNPHSSGSLGYIVCNPATKEWVAVPNSGWNSDSGEDDDEEDQEWFGVPNSVCDSVPIPEEDEEDEEGYAMEECELNYLIYDPSVSSHFKLVQFLQVISSADKWVGVLTYSSETGVWTDGASEQPLETVGEDQELSSFRTVASWLGSAVVDGMLHLIVFHVQKDRKLVVALDGDGKTRRVIYLPENRDFPCSVPAFVGQSQGRLHCISESYNPDGHLDHNSSQITIWVLEDYNSDEWSVKNSVSSLHLFGRISLDQYKYNVVAIHPDRNLVFFVQHWDRKLISYNMDSKEVRHVCTLEDGSESITPYVPRFSDAPKRAAATAADDIPIDALVEVLSRLPVKPLHRCKRGATSSTGPTTARSFPKPCKAFFFMDKDTYSRRRSGGRFGFINLLPRSVHLDIDPSFSFLMKRPEIKVLTLSVSCNGLFLFEHGLKSAPSDRLGYIVCNPATKQWVTVPRYGTPPPANAARGETRYSYLVFDPAVSSHFHLVQFWLEFRQKHDDESSESEEDSHEAWYLYKYGTWTPGSWSDYADHESGDTAEAWHRYKHGTWTPGSWSDRTGQVESGDEGSSGKEVSRISVHTYSSETGMWSHIPSDWDEPEEQGLEAWRYQGLEPGRGSRRAFVNGMLHFIISHRDEVAAVDAQGATQKIIPVPDPTNGNRWTVPGYIAQSQGRLHYINQESDARLSIWVLEDYDTDKWVLKHAVGFTKLFGKKRRLGHENDCRVVAMHPDGNVVFIVQGSNLKLMSYDMDNKQVRVVGTLKDESWVKHVVPYVPHFLESSLVMDCPTRSAVAGLPDDALAGILACLPAKFLCRSKCVSKPWRDLIADRLRCKKLSQTLEGFLYGILDTLGSDGGSVDGQHYTSDDINDRSGEESNESGRSDENLTPDVHGHFIDLLGRPAPLVDPSFSFLRKMPGFGTINLLDSCGGLVLLGHERDSDMFQTPGYIVCNPATEHWVLVPSSGFIWFVMEELLYDSDDDDEGPCVCSNLIFDPAISPHFQLIEFCHTPKRAVVNTYSSETASWTDRSSQLKQWKSGGKWDMLRTITSSRESTVFNKMLHLIVSPRKGPELIAAIDGEGRTRKIISWQENRGFPVFVGVSKGLLHCMSVSGHPDGNPCTMTELSLWVLQDYGGEVWNMKHTVSFSELFGKATCLLGSGYNVVSIHPEHNLVFFVQHWDYKLISYDMDRKQPVMDCPNRSAVVSLPDDALADILSRLPAKFLCRSKCVSKPWRDLITDRLCGKKFPQTLAGFLYGSLEAEDSDSGEDSSSDDGSDSSDKNLTPDTHGHFIDLLGRAAPLVDPSFSFLRKMSKIGSITLLDSCGGLVLLGNRRDSDMNRTPGYIVCNPASEHWVLVPSSRFVWTSMEELLLFDSDDDDEGPCVATNLIFDPAISLHFQLIEFCHTPKKAVVNTYSSETASWTDRSSQQKQWKRGGKWDMFRTISSLRDSTVFKKILHLIVSPRKGPELIAAIHGKGKVCKIIPWLENRGFPVFVGESKGLLHCMSVSGHPDGKACNMTELSLWVLQDYDGGEEWNKKHTVSFSELFGKVSCQFGSDYNAVSIHPEHNLVFFVQHWDYKLISYDMDRKEVCHLCTVGCDYGRASGGGIRRPSSSPAKVRPPSPTLAASSLSPSFRSFPTNIGSCSQQHGRPQGERGRGGRLPRRPPRGDPLPRPRQVAVPIQVRIQALARPHRRPNRRRKFPQTLEGFFYGRTFQSYGNFTSLAGKSDPPVNPSFSFLTKVPGIQRLAILRSINGLLLFRHEPRSGIYGYIVCNPSPSSGCPCPKRPYGKKSARTNRMMRSLTSVTGTQEHTTF >Et_7B_055156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7806599:7808474:-1 gene:Et_7B_055156 transcript:Et_7B_055156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPSLRIRDSFKHGYLQKLELNLGRMKRAQRQGQGQQSQDEDGQQGPPDGDGKAPLLEDRSRSGSFVAGALELAWDAVLLFTCCCCCFCCGAKMKIIQLLAEKHLSGN >Et_3A_024266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19021409:19027922:1 gene:Et_3A_024266 transcript:Et_3A_024266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKAPAAEQPVDATAGDFDPIYEWLDDGGSYLLRLSLAGFKKDEFRVHVDAGGRLTVIGRGAGAGRLHKAFQLPNTADLDAITGRFDGAVLTLTVPKLRQTDAVAVAAAAPPAQQAKEEEAAGETKGRPEEKTVTAAAKKAGRDGAETEGKIKAGISASLTATQAKEEEEEDKRKAEAAREKARAAEYRASVEREAARRIEAARARVAEAKAAAERTGGQWKERAAAEGLKLAETIGKNKEVVAAAKRSAAAKGGAAPPAGDVDIDPKLEWHDGAAGYIIRLTLPGFKKDDFKVQVDAGGRLTVRGERPAGYVRFHKAFQLPPTANLDGVAGRFDGNTLSLTVPKKASGVAEMVAAKMAETECAAAPAAGEKEEEAAGFKWAAEAAVGKGQMVAAAVAGFALGVFVAHRLLAAANN >Et_2B_019579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10310014:10314234:-1 gene:Et_2B_019579 transcript:Et_2B_019579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADRAGLPRIAVIGAGIFARTQYIPRLREIAHLVVLKAIWSRTEESAKAAAELARDFAPEIECKWGDAGLEEIMSDSSIMGVAVVLAGQVQVELSLKMLKAGKHVIQATTEAETALSIYNSFPNKFPHKPIWALAENYRFEPAFVESRKLMNDIGDMMNIQVIIEGSMNSSNPYFNSSWRRNFVGGFILDMGVHFIAGLRMLVGSEVATVSSISRHVDMALPPPDNICSLFQLENGCAGVFVFAVNSRSPKILWRVDGTKGTIQVERGIDSGKHGYQVLFSSENGQCQKTFYPFCGVDEELKAFVRDISEASKNGDHTDEPRSSYVEGARDVAVLEAMLESSKKQGAPIQVKRF >Et_2A_015183.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34416837:34417178:1 gene:Et_2A_015183 transcript:Et_2A_015183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKEIAAKIDAATTNRGGGKAGLADRLGQEKGGHAKLACPLCRTPAPDIKSMQIHHEARHPKLPFEPEKLLNLHSSTPAAEATTSTSTSTSKPKPGIRGSLKK >Et_7B_053703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11247027:11250821:1 gene:Et_7B_053703 transcript:Et_7B_053703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIFVQRGAAGSSSSSSRSSPQQVQQPAATAREEELPLQPQSQPPELLASDDVTEHSHEGSESISSSNKSLRSDDPVSESSSSAEEKAVRDKPPKDESKVIDPAFLVEELTGLHFSDQFEHGSSAPSGIGSSQMVGAAPHPPPPPAPPPKPSSGNNGLRRMGSGSSNSVRIGSSRRPVAWPPVTARTSPSGSRPSSPRSLADGEGYNSADEQAPCYASSYDDSERERMFEHDLRRVKGLEIRKMAEDGNCLFRAVADQVYGDAESYDMARQMCVDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQAFAEMYNRPIHIYSYSTEPINIFQGSYNTDVPPIRLSYHHGNHYNSVVDPRRQTVGAGLGFSSLRGTNNVDRDQVKAAIKAQQDQQIENALLAEGRFYSDLELTEREIERMVMEASRAEYLAEEKKLNIRESSTSGAEPSSSAAISGSSRSAAAPDRGSEECFVLPDTVLTQSMQLLLAMGFSYIQVMEAYSIFGEDVDSMICYLVETGGPGAPAGGSNRRKGKAAE >Et_3A_024934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25431755:25437530:-1 gene:Et_3A_024934 transcript:Et_3A_024934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRIAGSHLRSLKVPPARPHPIPPQTPSRRHPSKGTRHGSSRLASTGVVKQSSAKTKITTLPNGVKIASETSPGAAASVGLYIDCGSIYETPESSGASHLLERMAFKSTTNRSHLRLVREIEAIGGNVSASVSREQMCYTYDAFKSYVPEMVEVLIDSVRNPAFFDWEVKEQLEKIKSDIAEVSDDPQGLILEALHSSGYSGALAKPLVAPASAVHRLNSSILEEFIAENYTAPRMVLAGLGVEHDELVSIAEPLLADLPSVKHLEEPKSVYVGGDYRCQADSAKTYVSIAFEVPDLRILNNYKQIESFYAFGSIYNHTGLFGIHAITSPDFVSKAVDLAAGELLEVATPGKVTQEQLDRAKQATKSAVLMNLESRAIASEDIGRQILTYGERKPIESFLKDLEEVTLNDISSVAKKIISSPLTMASWGDVIHVPSYESVSRKFHSK >Et_5A_041046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17121453:17128567:-1 gene:Et_5A_041046 transcript:Et_5A_041046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METVGNAINSFDPKSLPQHIEGALGTAGNIINSFEPKWTGQKEFDSDGEDGFLDKYECPDEYRGSAPRTAQNPVNLKNVLGGIVAMIGRSCKNAEAQPTEDSKTSVSFLGSNDNGDTFLHSSVYMPMPSAPPLLDEESLSYNIYRAVIEAEPPEWLPDSYASSCMQCAAPFTAITRGRHHCRFCGGIFCRLCSKGRCLLPVKFRERNPQRVCDSCYDRLDPLQNLLIHSVSNASQTAKHDVMDWTCSRGWLNLPIGLTMEHDIYKAANSLRIYSQAARLDPEKSIPHAILSEASGLAILTVVKAGAILTYKLGTGLVVARKSDGSWSAPSAIVSVGFGWGAQIGGELMDFIIVLHGPEAVQTFSSRMHFSLGAGVSAAAGPIGRVIEADLRAGDKGSGVCYTYSCSKGAFIGVSLEGNMVATRMDANLRFYGDPYQTTSDILMGNVERPNAAKLLYAALDDLYLGLKTMCETVLPIPKEAKIHRKDT >Et_7A_051605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21007836:21015064:1 gene:Et_7A_051605 transcript:Et_7A_051605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLRESIATVFLSVPAAALYSRAAASHLRPGIPRLAALLPVIIFFAAVPVAISSFTAIVRGTTGLFLAWLGTFKIALLAAGRGPLDPALPVIPFVFTAALPMKRPSWLSLLSSAVKLAIIAALLKVYQFKHQLHLYMQHALYGIRIYCFLDLILPCIASIGSALGMEMAPQFDQPYLASSLRDFWGRRCNIMVSAILRPSVYNPVRARAGKAAGILATFFVSGLMHEAIVYYINLEPPTGEMLAFFLLHGVSRVAEELCAQRWAAWGWPPLPRPVATLLVVVYVSATAFWLFFPPICRDGRDDELLGEWAAVAAFLVNAGRNLLGLIIAAAVMELLRDSIPTVSLAVAAAALYARAASTRLRPGLPRLAALAPVVAFLAAVPLAFTASAIVRGVAGFFFAWLAAFKVALLAADRGPLDPALPALTFVFTAALPVKLTRRPSATEATASKAKPAASFLSSAAKVAAIAALLKVYQFKDQLHLYVRLALYGVHTYCFLDLLLPCIAAAGAGALGMEMEPQFDRPYLASSLRDFWGRRWNLMVSAVLRPSVYDPVRARAGKAAGVLATFLVSGLMHEAMVYYMTLRPPTGEMLAFFLLHGACCVAEPWCARRWRRTPPLPRAVATPLVLAFAAGTAFWLLFPPICRDGGEELLLEEWAAVASFPMVSLTVSAAALYSRAASSRLPPGFPRFAALLPVAVFFAAAPLSFTSDNVRGTAGFFLAWLGVFKILLLAAGRGPLDPTLPVLQFVFTAVLPVKLNRRDGRDGAAGSEASKAKSASFVSGAVKLAILVALLQVYKFKDQLHLYIRLALYGIHIYCFYDFMLPCIAAAGRALGMEMEPPFDRPYLASSLRDFWGRRWNLIVSAILRPSVYDPVRARTGKATAALATFLVSGLMHEAMVYYITNEPPTGEMLAFFLIHGVSCVAEALCARQWAARGWPAPPRPVATLLVVVYVSATAFWLFFPPICRDGRDDKLLQEWATAAQFLVNAGRNHTSYPPVLGVRPHVHTGKAAASITMFHCVRANAQGHGVLDDTPVTVTGEMLATHDMWPKCYVAEELCARHGGGSRQWASGLWATLVVFVFVMCTAFRLLLPPICREEGEKMVLEDRDVAGKQAATMEILRDSLPMVSLAVSAAALYSRAASSRLRPGLPRLASLLPVVVVFAAAPLALTSSRTVRGTAGFFFGWLGVFKVLLLAAGRGPLEPRCLFCLKLRRRESAADDKASKSVSLLSSAVKLAIIAALLKVYQFKHHLHLYMRLALYGIHIYCFLHLLLPCIAAAGRALGMEMEPQFDRPYLASSLRDFWGRRWNLMVSAILRPSVYDPVRARAGKAAAELATFLVSGLMHEVMVYYSSLEPPTGEMLAFFLLHGASCVAEELCARQMGGHLRRGQWLRCMW >Et_1B_013221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6516826:6533310:1 gene:Et_1B_013221 transcript:Et_1B_013221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDTREMSDASPPTRQHGIDDRFAAASLADDVYIGDDEEASEAEVSAGSPVAPVELFRPLRKRLAPVVPSDVPEEVVRAVDAVIMGGGVERLREMVSEEDGEVSHFIVDVLMVTMGGMDGLDEGAAPRSPPSIMSSSRAAGIAAELVPYLPCGVEPSPRTRMVRGLLATLSACTRNRTMCSASGLLAVLISSAEKLFVGMGQDRKWDGTPLVQCIQVLAGHSVSVKDLHAWLLLIRKTLGTPWATPLTIALEKAVGSNEAKGPAVTFEFDGESSGLLAPGDSRWPFTNGFGLATWIYIESFSGSLNTATVTAAVAAASASTSGKSSPSAAAAAACTLAGEGTEHMPRIFSFLTSDNHGIEAYFHGQFLVVESGAGKGKKASLHFTYEFRPQCWYFVGLEHTSKQSLLGKAESDLRLYVNGDLHESCPFELPRIVKPMAFCCIGTNPSPTIAGLQQRRRQCPLFAEMGPIYIFMEPIGPERMSRLASRGGDALPSFGNGAGLPWKSTNHHIREIAEDRYTLDIEIGGSLHLLYHPSLLSGRFCPDASPSGSTGTHRRPAEVLGMVHVSYRMRPAESLWALAYGGPMALLPLTVSNVEMDNLEPILGDLSLSLATASLSVPIFRIISLAVQHPRNKEELCRTHGPELLSQVLHYILETLSKLESGNKEILSDEELVTAIVSLCLSQSNDHGQKVQFFSTLLLDLKMWSSCNYVLQKKLLSSLADMVFAESTCMRNANALQVLLDGCRRCYWVIHEADSIDTFTLTGSERPIQKVNALVDELLVVIELLIGAASPTLASDDVRCLVGFVVDCPQPNQVARVLLLIYRLIAHPNISRANMFAQSFISRGGVEALLVLLQREARSGDNNIFHSCDMPENAALWNGSCNSTNRDRDLKVSVKQHEPTSHESSTEIGSTSKWGLLKGQFLKNVQSTSDHVENNIYNIDNGDGVLVGIVHILGSLVASGHLTIASPTARPKLPSGFLTTSNGEGNNMFEDRVSLLLFALQKAFQAAPMRLMTRNVYSALISSVVDASSSSDYQKNLYDSGCRLKHIQLLLVLLRSLPYASWAFQARAIQDLLYLVGSHPKNRSTMTSIREWPEWIVEVLISNHEMGDNKDSDGGSMCEVEDLIHSFLVIMLKYSMRQKDGWMDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGSLLDFSALELQVQQTEGSAAGVAAEGLTPKETRIQAENAANLSATVAENAIVLLMFVEDHLRSRSQHFFMSCSVDNAASPASMASSVASRSNSLSRTGSEHLEAGGSRQSLSSDAGGLPIDVLASTADINGQISAEVMERVTAAAAAEPYGSVRHAFVSYGSCISDLSEGWKYRSRLWYGVCVPPKSNVFGGGGSGSVSWKSVLEKDSTGNWIELQLVKKSVAMLHALLLDSGLATGVGSGPGVGVMGALNQLLDSEQPFFCMLRLVLVSMREDDNGEDDNFMRNISMKNIISGGLGYQTGSMMSLAGNSCPSIRKRPAALLWRVLGSILNMPMSDSKRQRVLVASSILYSELWHAVSSDRKPLRKKYVGLIMPPFVAVLKRYRSILAGIHELTSSDMQNPLLVDDWASAADASPVEAGISMISPGWAAAFASPPVAMALAMIAASASGTETIVPPTNKLRRRDTSLLERRSAKLHTFSSFQKPTDTTPSLPTSAPKDKAAAKAAALAAARDLERSAKVGSRRGLSAVAMATSGQRRAAGDVERAQRWNTSEAMGAAWMECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEMERRTQVEILNHCCMSIGVRAWRHLLHCLIETSRLYGPFGELISTPDSIFWKLDSTESSLRMRRYMKRNYNWLNHLAAIANYEQRFLCAGAESNAYHTEDGDSSLENILSTSSLITVADAVSVHEGHGVTVQTETENICSSVDDQLTNSSPRDPSFTGSVDSRSSDFSGVRNLVRSAVIAPGYKPSEDDERITVELPSLMVRPLKVVRGTFQVTSKRINFIVDENMSDSYMDDVASTSGQCDQQDKDRSWFLSSLHQIYCRRYLLCQSALELFMVDRSNFLFDFERPNQILKQTQLMERWARWEYPVFPWLIANYQSKTLDLECPSTYRDLSKPIGALNPARLEKFREHYTSFKDPIIPKFHYTSHYSSPSTVLCYLARIEPFTTLSVQLLGAKFDHDDCILSDITRTWSSVLEGMNDVKELVPEMFYLPEVLTCVNPCRRLGPVILPPWADNPVDFIHKHRKALESDHVSAHLHEWIDLIFGYKQRGKEAVIANNVFPHVTYEGMVDVDKIRDPVQRRATQNQISYFGQTPSQLLTVPHIRRRPLADILQCQTIFRNPSEVTSYVLPSPDHCNIPASALLVSDDCIVVIDSNIPAVHVALHHWQPNTPDGLGAPFLFHHGRNAINSSGGAIFRIFKGSTGLAEECHFPRAIAFAATAVQNSAAVVVTCDKEVITGRHADNSVKLISPDGARTIETAYGHLAPVTCLALSADSSYLVTGSRDTTVILWKIHQMGLSHQKNAPEPPPTTATTPTSPRPTSSTQSKILETCRRRRIEGPMHVLRGHLGEVTCCSVSSDLGVVGSSSSASGVLLHSLRTGRLIRKLDAQEAHSICLSSQGIVLIWNESAKRLSTFTVNGIHVATSVLSPFSGRVSCIEISTDGQFALIGTCLGSNNRGSCTATNDDYEFDRPDGDEGVQASKEKRLSIHVPSICFLDLYKLEVIHTLKLGRGQDVTALALNKENTSLLVSTADKQLIVFTGPATSAPSVL >Et_8A_058049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16056804:16059671:-1 gene:Et_8A_058049 transcript:Et_8A_058049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTINLNHVAAASAAVASYSGERRTAGSFLRRARGNVCRGAAAVALGWALRAACAAAAAASVAALPLILIYDASPRLLLLDVLLGTLAFQLYFYLGVVFAVAVIASVAEPGRRGPSAIARAWRLVRGTGARALVFLAATWALSEVRPVAVGWLMPQGDGREEKDLVNAAVKYVLDHVVKVFSVAAVTGYYFDCRKTEDKETDKAGLKTTIIMMN >Et_4A_033850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27380325:27384642:-1 gene:Et_4A_033850 transcript:Et_4A_033850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGDRPEGVGGWPFAGADAFPEFSSVFAELGWPGGLAAGAGDLPMLDLPPEAAAPPPAELALPEEITAPARSGDAGASSSSSGDGDGAAPGNGDQKPAAEAVSVKPAPATATKKGQKRARQPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSEDPSVVITTYEGQHCHHIASFQRGAGAAHRHSAAAVALAEQLPFVPAQRRLYGLPSLRPQSSPSSETAITSALTALQHLNGSEDLGRTSYNERVSMALSPSTPSSSSVPAAISVEKGLLDDIVPHGTYVPAYYLKSSPGRRPLDGIEADQQAVT >Et_2A_014464.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:10187555:10192271:1 gene:Et_2A_014464 transcript:Et_2A_014464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMTGRSQKITSWKGLSFVLMEAWGNHHMHQKCTIMLCMFVIANLCIMCSSSTQKQVLLPGFSGSQMDYIDNNGIFLLSNGSTFGFGFVSSSSSNSVSYLLAVVHLATTSVVWSANANSPVSHSDNFVFDEDGNAYLQSGGSSVWTANISSKGATSMQLLDSGNLVVLGKDSSSPLWQSFSYPTDTLLSGQSFVDGMTLVSHSNTQNMTYTLQIQSGDMMLSAGLQTAQPYWSALQDNRMIVNNNGNNIYSANLSSRSWSFYDQSGLLLSQLVIAQQGDVNSTLAASLGNDGLITFYMLQSGNAKSTLPITVPQDSCDMPARCKPYSICNSGTGCQCPSALSSYANCNPGIVSPCNSKDKFQLVQLETGVGYVGTSFTSPVAKTNLTGCKNACMGNCSCVAVFFEQTSGNCFLFNQTGSLKQKDGGTSGFASFIKVSDTNRGSGQGGSESKHTIIIVVIIVGTLAVIGALVYAGFCIYGRRRRHPPSQDEACSSEDDGFLQTISGAPMRFTYKELQDATNNFSNKLGQGGFGSVYLGTLPDGGRIAVKKLEGIGQGKKEFRAEVTIIGSIHHIHLVKLRGFCAEGTYRLLAYEYMANGSLDRWIFQNNDDSSPLDWDTRFNIALGTAKGLAYLHQDCESKIIHCDIKPENVLLDDNFLAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIISGRKSYDPVEVSEKAHFPSYAFKKLEEGDLRDIFDAKLKYNDKDERVETAIRVALWCIQEDFYQRPSMSKVVQMLEGVCDVPQPPISSHIGYRFYANAFKSSSEEGTSSGMSDYNSDALLSAVRLSGPR >Et_1B_013868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21318881:21324859:1 gene:Et_1B_013868 transcript:Et_1B_013868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLGRLGRHAQRIVCVPTPSHPLLELESNWSPSRSGRGCTLPIYIDAQRLNPRKPVNPVKLGQGFFGRVYAAWDTVTEQPVAVKQLSGRTDDEFVATGPREFEQEVSSLLACHGHPNVVKLLAAFDADGDRFIVTELAGPTNLRQLVQLRRKEKKPFAEDEVRDAMAQLLSGVACAHGAAGVLHRDVTPENVVVDQQRVRNNNNNKKKVAYKYTICGFNASEPTTKKERDGSAMLASSGPYRAPELFLGSTDYDDRIDTWALGCVMADLLAGTGKPFFCGGTDEEVFEKMLCVVGGQGIVEWSGFQKVAAPDTVAKLKEQEGHRACLREAFPEEVLSPAGFDVLSGLLQSNPEQRLTAAAALEMPWFRRRGFASCFAASS >Et_1A_007934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39585462:39588730:-1 gene:Et_1A_007934 transcript:Et_1A_007934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCVEPPPHVKLPESKDAELTDDDNHDSSKIEKRTRMHHIERHRSCVVTLSDIELNDVPSKSPGGAGTQCSPREETPTDTNASHRHAIADVAWEALKKSMVYFRGQPIGTVAAIDKSQGAALNYDQVFMRDFIPSALAFLMKGEHLIVKNFLVETARLQSREKMVDLFKLGQGVMPASFKVHHRNPTQKTESLLADFGETAIGRVAPVDSGLWWIILLRAYTRWTGDNSLAESPNCQRAMRLILRLCLSEGCDTSPALLCADGCSMIDRRMGIYGYPIEIQALFFMALRCSLSLLKQDSDDDFVNHITKRIQALSYHLHSYYWLDFQRLNDIYRYKTEEYSQTALNKFNVIPESIPDWIFDFMPSRGGYFIGNVSPARMDFRWFCLGNFIAILSSLATGEQAEAILDLVEERWEELIGEMPLKICYPAMENQEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLVAVSVKLGRRHLARRAVELMERRLVKDDFPEYYDGKAGRYIGKQARKFQTWSVAGYLVAKMLLDDPSHLRIIALEDDGHSRSGMPFLKRSNSCP >Et_8A_057786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7188173:7189375:-1 gene:Et_8A_057786 transcript:Et_8A_057786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDQKLVSFILSNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDAEEKLVIDLHAQLGNRWSKIASQLPGRTDNEIKNHWNTHIKKKLKKMGIDPLTHKPLQPTTNQQQQNPSPTSPEARPPTPEHQRPKPNTVPAEAAAPAVAGGRNDEEPPPPPLLSKSPGFCTDEVPMMHPDEIMVPSSCDDKPAPAAAPPTSTATACAAAAASTPTTSTYSASASSSCDEEALFPAPMEWPDAACLLMGLDDDDDMIGVAPPAVTPWDECLVQPPSPVYVDPCFSAFQFHQEAWNKLELF >Et_10B_002911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13720545:13721158:1 gene:Et_10B_002911 transcript:Et_10B_002911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYDWTSVRFHGHRNTGYSPVNIPAFVNPVMTRDKTLTRDNLAKVREVNDKSCLFCSEHENMNHLFFFLISELVGQQIGTDFDCTVVYLELIGKCTYSISFQEKTWTGIDEGVMEEDAGDVEVLEKDMCKEELKAILDGMMHGFAACHGDWARRWRDYSVAGRAVAECGGEKIWNTRWGAPSPQVRRG >Et_3A_025999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34471935:34474303:-1 gene:Et_3A_025999 transcript:Et_3A_025999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQHVRAAPLARALRLRASATAPSRSSSRRALLGLSEPELRQLAVDLGQQSYRGKQLHDLLYKSRATQIQDFNYVPKVFREALLGAGWSVGRSPVHHAVTASDGTTKILLKLEDNRLIETVGIPVNDDKGSSRLTACVSSQVGCPLRCSFCATGKGGFARNLQPHEIVEQVLAIEDSFKHRVTNVVFMGMGEPMLNLKSVLEAHRCFNKELKIGQRMMTISTVGVPNTIKMLASHKLQSTLAVSLHAPNQKLRETIVPSAKSYPLEALMDDCKNYFIETGRRVSFEYTLLAGINDEKEHAEELAELLRTCGGGYHVNLIPYNPIEGSEYKRPYRKVVQAFVDALEARKITVSVRQTRGLDANAACGQLRNEFQKNPLLESAPAAEPNLITMEVVKMLEVDPFDSQHVVKLMHCDAPSQA >Et_4A_034518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:481029:484390:-1 gene:Et_4A_034518 transcript:Et_4A_034518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DAARAACVSRAFLRFWRCHPNLTFSNKTLGLNENTCGKNGSASVFSSKSSACGASLGHRLYQPFTLTASFTYAAGNYGNTEMKSSLDMSHSPWFAYYVRVGILNPPRRHSKKKVDHILGKHSGIGLKTLNIQIPSCFKVEDHCHLNSWLQSALRPGIEELKLICLPVKAKYDFPFSLLSNGSGDSIRSLHLSGCLFRPTATVGALRSLTRLPLCCVRITDDKLGCLLSHSSALEQLQIRYCDRIVKLKVPCLLQRLTDLEVFGCEKLKVIENKAPSLASFTFEGDTTVKLTLGETSKIKKLILYRSGSVFYARAKLPYSMPNLEALIITSRNEVACTPMLNSKFIHLRHLYIALTGAPFSATYDFLSLSSFLDAAPSLEAFDLNVSHCSWCHTISCYAGNVWQKNMGHVSIFADSKRVTGLCHAKSFVELLCHILASTTSLQCLTFEAPQSILRCSDPGNISGKCWPMDRDILVEAHRSILATRTYIEPKVPSTVKLLVLEPCSCHAVELEKKLAFIKTRRWQEPLLSPKSPAPQLEESKTMTGGPHQSW >Et_7B_055663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20219622:20221443:-1 gene:Et_7B_055663 transcript:Et_7B_055663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLAGKHECRSQDAETVVIPPPERAARVTRFLKPYLLRMNFSNKYVSAQVIHTPTATVACSASSQEKLLKPNMESTRDVAAAAKIGKLLGERLLLNGIPAVLIHMKREQKYHRKVKAVIDSVREAGVKLIRCQLSQSINRKDLHSIFGSR >Et_10A_000482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11096950:11101396:1 gene:Et_10A_000482 transcript:Et_10A_000482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GKTIPMPTSSFLWPVLLLAATAATAEGGLMVMPGCQGSCGGVNIPYPFGIGSGCFRKGFEISCINSGTAGEMPVLATTNQTIRVLNLSVAPPEARVMLPCFDSSRSITKSFYGDVNINPDGVYRISNSSNELYVLGRNTMAYTNGGVPGRFRYTYYTGCIAVSNDSNSLRDGACAGVGCCHVDIPSGLTDNRMRMGSGSDGWSHKNQEFCPCDYAFIVEKGNYTFRASHLKSMPRDTTMPLSLDWAIRDNASTSLSCPPEGNAPACVSRLSKCVNSTNGHINECSKKDTFPCYGICTNTDGSYDCTCKPGYESADPKENECLPKLSRSARIAVGISVGIFVLIITLLGIWLIFKHKQLKANKREQEAIAKKNGSEILKNVKTLMIFTKEEIDDITKNNAMYLGKGSFGKVHKGTLLDKTDVAVKESIEVTEDTKSEFVKEVEIQSRMMHQNILKLLGCCLRVDLPLLVYEYAEKGSLEDILHGNGTQQPQPLSLNSRLNIAIGSAQGLSYMHTYTENGMQHADVKPSNILLDGELVPKISDFGLSKMFQKGKQYTKNVVGCLNYMDPKSIFNSHLTPKSDVYSFGIVLVELICRKPVVYGESRLTSEFKNVYDQDKSGRAMFDKEIAQEENIPILDEIGLLAMKCLNENFEERPTMESVASALVILKDTWNKNNNATSYH >Et_1A_006638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25545849:25551691:1 gene:Et_1A_006638 transcript:Et_1A_006638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSPPYSPIPEEDQDLAVDHEVGDKIEVLLGSEIYGRSWHPATVVAVLPGQQRCVVEYEYEDAARDTVDWADIRRRPPASEVESSDDDGEEERPPSRGGGGLFFKAGDRVELLRCHPDYGEAWYPATVEDVVDPESETYHVERVSGPRRSPDEEEGEEYQEQGLENFRPAVEESECLLIDVRPGAQVEARCDGVWAIGAVLGAVGELQYRVAIGEEVKVIKEARDLRPRYTWDWNTRKWSVLNSFPPKVQSTLYLSRDCIGITISDCDVTVNLIIEKLVGFLSWSNGNVVPKLVYVKARMDREHFNAASVKCPRSPERASDVEHNYDPEPIVTKRPMKQQQHLGAMPDGSEDALVSNMDNVSRNTNCVHRKKKVTTKKINKLKGSLSDQRLTATFNNSFQENRNEDEVATQLPFVKSLPAWSSFEEIEKQKPIQREGTALGLMASFANVVESISNSSIEDPDTSFEDKDYMLSHLKQFGFSVDKLQTCLNKLIKMKSEHAKLITEKDTVQAQKQSKEDSCSKINSLRDEKVKMLMQLVQELQQLDEEKEAREAEFLELEETERMVDKACHDIKEQQFATSGCKLASESLNTYGSMIN >Et_1A_009281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36983856:36984561:-1 gene:Et_1A_009281 transcript:Et_1A_009281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYCRGSAGRTLVVSTAAAAGDLFRNHDLAFASRPRSVAGDKLMYGCSNVSFAPYGEPWRRAKKVAVDEEFLDVLLRLREEGGDGFELTDDRIKSMVLPPRRLSSSPAQSDASIVISERAVVEGTASSAGELRGGVGLSCRRRLAIRRPSLALLNTASQSPARSDNRQGPGVVAISNNDRPVTIRNSLGVPERRRVS >Et_2A_018049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9387075:9387421:-1 gene:Et_2A_018049 transcript:Et_2A_018049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIKVKAKDMASSAKAGVEKAKATATEKVLRIQHGSGILHVEKATTTDPVKKREAEERKKDRKKAVESEKSLHGPERRVTHMAGDGGVGITN >Et_1A_008497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8152930:8157396:-1 gene:Et_1A_008497 transcript:Et_1A_008497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGRAVTLHERSGSGARLIEDVAAEAGDEETEAAARVLYRASFLELMPNYLQYDTIIWALISLLLVLAWGVGLLLPIYLPYKIYVLKRDILSRQLYVTENKIVYKIPFMGIVEKEIKVPLHLVIDVMVEQGCLQSAFSLHTFRIQSIAHGKPAPVDELQFHGVHNPDLLLKVITREASRRIQEVQSWKMIVYSGEGPSDVPPVSGIHSPSAKVKASPSHVVLDSKGSIPENVLLHKLEEVTRSVKKQHRDFAEDKRRDHVPALRDVVDLALDGHEQRFLGVGPIISPQLLLRHLSKLHRRWQRLEHSLLLLWHLHRSRAES >Et_2B_021761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5174569:5178804:-1 gene:Et_2B_021761 transcript:Et_2B_021761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEEKVVSVTEEAPNSGDNGEDEGDLSRKIEMLNDSNDNSEKDSKDNSEKDTDEQMDKSVSSDAMQPNQNMNEIISEEPVFDGTEVPEIEELRRSSTQSVELDSEAQGSVLNERAAAIKNFVKEKGATVSTFIRRLSGKKDETDFSIEHDRSDGSECIGSEKTGSDADAKPKEVQQKTDERTTWNPMNLITIGRDLGTFITGEVGHEVVPGLLEQPAMKGRVILYTKLGCEDCKMVRLFLHQKRLQYIEINIDIFPSRKLELEKNTGSPTVPKVYFNDLLIGGLTELKKMEESGILDESIDVLFKEEPSSLAPLPPLPGEDDKSGSGKMDELATIVIKMRDSLTPKDRFYKMRRFSNCFLGSEAVDFLSEDQYLERDEAVEFGRKLASKHFFRHVLDENVFEDGNQLYRFLDHDPIVITQCYNIPRGIIDVAPKPIAEVASRLRLLSYAIFEAYVSEDGRHVDYRSIQGCEEFKRYIRTIEELQRVETADMSREEKLAFFINLYNMMVVHALVTCGHPAGPLDRRKFFGDFKYVIGGCAYSLSAIQNGILRGNQRPPYNIAKPFGQKDQRLKVALPYPEPLVHFALVCGTKSGPALRCYSPGNIDKELMEAARNFLRNGGLIVDPEAKVASVSNILRWYSADFGKNEMEVLKHASNYLEPSQSEQLLELLAGAQLKVVYQPYDWSINI >Et_7A_051130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14828689:14831827:1 gene:Et_7A_051130 transcript:Et_7A_051130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLLCLILAFTLLIVSTMSSTFSTMAKPGCKETCGNLTIPYPFGIGLGCYYAPGFDVSCEDNRTFMHNSSSRMEIYNISLIAGQARVNTLIATQCYNSNTSGWTSTNTARFFTLSSKANKLTAVGCNTLAFLGGYNEHRAAAGCFSMCLDKQSVDGSGQCSGMGCCQTSIAPNLNSFNITFDERYNNSEVQEFNPCSYAFVAEQDWFKFEASYLEDKNLIEKFDDGVPAVLDWVAERTSCDEAVKNTSSYACISENSQCIKSPNATGYLCSCNNGFAGNPYLVEGCQDINECQFPDQYPCNGTCSNTIGDYHCSCPSGTHSVDPKREPCRPDGASERAKLTKMFIGISSCAIILLISIFVLLIEYQKRKLKREKDRFFQQNGGLLLYEQIRSKQIDTVRIFTTEELKHATNNFDSSREVGKGGYGTVYKGILKDNRVVAIKRSKIMNMVQKDDFVQEMIILSQINHRNIVRLLGCCLEVEVPMLVYEFIPNGTLFDLIHRRSPISLDTRLRIAQESAEALSYLHSSASPPIVHGDVKSPNILLGDNYVAKVTDFGASRMLARDEIQFMTMVQGTLELITRKTAIYSESTEEKKSLASSFLIALKENRLRSILDTKILGLRIELLQEIAQLAKCCLSMNGEERPLMIEVSERLRHIRRTWREQLIEHASDDTERLPDYSNYHPSSTGQYTSLMTELETGR >Et_4A_035066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9194823:9195763:1 gene:Et_4A_035066 transcript:Et_4A_035066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDQQQRYWFPYWTSPPQPVPRPALRQQPSRRDTRAATTPSPPVRQPQPQAPVTAASSHLEASSTRLPSMPSPFRAPPFSPIREPNVSAPPASAPGPAGLKESKPAVKQAILPRPATREEAPKELDTIVPQDKIIHELPSEMKTHNKAIEKEKEKKDKDKEKEKEKKDKEKEKEKDKEKHKEGKEKEKEEEKDHHNEKKEKDKEHKKESETKSKERDESKVHDSKLHKELKAGVADMIHKLGVSAVPSAGHAHERHATGAAGTTVVTLAGENKGAFMKVGSHADHAESKDTMGKERRAARKLDEGK >Et_10A_001376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2445231:2450742:-1 gene:Et_10A_001376 transcript:Et_10A_001376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGGQSYVSAPPAFSADGGLLLICSGRVVSVFSTSTAMLVSELEGHEGDVTAVVVMAPPPAASVAAKLVNYCWTAGLDGALIYWDFMAADALRKVRVGLPIHSMVVPNICRTSKGAEVSTPFAFVSVEDKSKPANEEKTLRGQMRIYDLTKGRQVGGLLAETRKPENIVASTSGEFLGITNRKRLHVWRIPTKNFKAENIRKIKLRHTKNLTTLAFHPSERIVAGGDVSGRIMIWRGFGKVKFSGENGAKSKGDDGRDGVRGEDDADICTSLHWHSSKVKFLKFSSDGAYLFSGGLEGVIVVWQLDTGKRRYKPRLGSPLLFFAESPDSSISCVSCTNNRVYLLKMPNMEVLKSISGIKLPIASPNSGECRRVVYGFDYTNRRVAIPTEDYCIQFYDLVENTEVSEVQVCERNFQPVDDITMYISLVSLSIDGNLMCTVDVKLPEEELGGLVTLKFWNHGSNSGHYFLSTVIYEPHSDAGVSAIAFRPGRNMAVSSSLGGNFKIWVQSLSSQSSNERKYSGWRCQSVGSYKKKPMTAAAFSGDGSVLAVAAESVITLWDPDNNTLVGVISEAISPITNLSFVGTSTFLMSLSQSSRPQVAVWNVSNLSMQWSYTLFVEAACCSPDGSAFAVLALLSCPDGGAPAEQDGVLLLFDAESQNPVASWSVKKARGGGISFVKGGISLDANANDLGDGETMLLVYVNSSHEYVIFDPRKNEELVSSKHKEKRIQAEESAPIGYASIYGELPKLESTKGVSDIPFVPSDRPWETIFTGSSHVLPPLTKLCSTFLSSLLEKRTVANE >Et_2A_014636.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:30408857:30410050:1 gene:Et_2A_014636 transcript:Et_2A_014636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGHRDTQYLSRARAPYCYQSTTASSPSNQHQETMQGRNASTSKSTMAFKQELLKNLLLSLRARTDACRFSAMSLQERKRAVKASADVAMAAARGAGARWPKAILAAAGNSSCSKVRSRRCSRRVVRRCLEKRRGGLASALAGSAAASSVDVARRLVRRRTMALRKVIPGGDAAMDDASLLREAMDYMVHLRAQVDVLRRVSEAVQRSGVLRYVTMQPITRASIHFVEYSFVPYYNSLSGLSMFN >Et_3A_026108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4396502:4408096:1 gene:Et_3A_026108 transcript:Et_3A_026108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRASCVMLQSDRSNLSALLPSRIDSTQRDQAKQNAGAESNTYSRSWRAAEFAARGCTLCLAVFPSAPKHPEYQSKCSDDPLVQAADQPSGRTRRGLSGVGGARWSAPGRVRAGVPRGVRDLREAAHPGDALPVRADGHQGAEHLRGAVTVKQAQEWVQQFLLAASSRGSRGRGNLLVGHGLDHDLDALGMAYLKRDTATHPALMKTSKLSNSLRFLTHAYLGYNIQTGHQHPYEDCFNKVEHMRRRSACRSFCSTARQFAGGPRPGQRPRRAGHGLPGLPQAGHGHAPVGDERASSATLSSSSRTRTYLGYDIKTGHQHPYEDAMRLIQRMHAQLHPKGDGDAASPAWRQRELERMTPEELLQLRHSQRASCRVGRRLMTDLDSAPARSRDTAMHPLLMKTSKLSNSLRFLTLSRTRTSATTSRPAGHQHP >Et_4B_039825.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3466735:3467055:-1 gene:Et_4B_039825 transcript:Et_4B_039825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSSTEKLVCVVVAVLAVLSPLYIDRRPQPERDDEDDDGGASALWLPGLLAVLILAINVTCFMDRRVLRFDPYWIHRAWGSSGGLMAMLLLLGFVLKCKASLYS >Et_10B_004233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:256077:259931:1 gene:Et_10B_004233 transcript:Et_10B_004233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFDLEKSEFCVSYLLDRATSSFSIDRKALLQSNCMEIPDPGHPSVMSQDSSARESDMLGSHQGQHSFNSVKDMKNEMLMHMMDGSQSSTANLIHDDAHNGAQLEFGVLNNHSPSNVPSVQGQGLSLSLNTQILAPSLPYWSVKPDMLSPQSYHDSLRVDDIRMKSMQSEASRAIRNSRYLKAAQELLDEVVNVWKNIKQKAQKEQGDAGKADGKENEGGPKSEGVSSNPQESAANTAPELSTAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQTVVSSFDVVAGPRAAKPYTAVALHTISRHFRCLKDAINDQINVIRKKLGEEENSSGKEGKLTRLRYIDQQLRQQRAFQQYGMIPQNAWRPQRGLPENSVTILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEEIGDIEQDSNSSSDNAPRSKAKVTSSEDKEDLKSSTTRVCETSQLSESRASIGTANVGGAPVGFQNEANPDESFMNLMLKDQRSNDADGGLLLHNAVAHHTDENARFMAYHLAELGRYGNGNVSLTLGLQHSSSNLSVPNAQQSFPGVGDDIYNATAPLGVSVASSDYESMNQMDQRQRFEQSPLLHDFVA >Et_1B_009652.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11623926:11625372:1 gene:Et_1B_009652 transcript:Et_1B_009652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMVKGGVVAKLMLAMAAASIVATVAATDDAVLRYPGRPGARPIFPGFPGARPSPPSSSGGARPSPPPGVPSTPVFQPPCPKQAPVVGQPSRGLIPGFPGLPGSYGSTPSSPTECVTPLAGLMTCGTFLTGSESETPTPQSECCNGLGAFLNSSSAAGDDDRTLRCLCPVILGDVNRMLPKPIDPVRMMYLPIACGVTLPPQAIYICFSKSFNRSPADFLSLNWILPSLTSEMNDG >Et_7B_054567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2424649:2427717:1 gene:Et_7B_054567 transcript:Et_7B_054567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASGLAPPRAPAICPRTHGAPRPGFLRVAPPVAPPPPQLRCCSSTVDDGVVSAEAPKPRLPRVVGMGSKLIGCGSAIPTLSVSNDDLSKIVETSDDWIAARTGIRNRRVLSGDETLRGLSVQAAQRALEMAQVKPEDVDLVLLCTSTPDDLFGGAAQVSTEVGCTKAFGFDITAACSGFIVGLITATRFIKGGGLKNVLVVGADALSKFVDWTDRGTCILFGDAAGAVLVQACSADEDGLLGFCIQSDGNGQKHLNARTLNDDSILSKTNGVPGFPPRKATYSCIEMNGKEVFRFAVRCVPQSIEKALEEAGLPASSIDWLLLHQANQRIIDAAASRLDIPSDKVISNLANYGNTSAASIPLALDEAVRSGKVKTGDIIAVSGFGAGLTWGSAIVKWG >Et_9B_064940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:251643:253223:1 gene:Et_9B_064940 transcript:Et_9B_064940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLTRIGVLPWLQSKIVDPLLLVIRRGAEPKQLAFSAALGITIGIFPICGTTVILGGVMVAMLGSRCNAVTVMVLNLAATPLELSLIIPFLRLGEAVTGSGHFPLTSDALKNILVDRFGGTPSSPRTPIKL >Et_2B_019811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14155820:14156489:-1 gene:Et_2B_019811 transcript:Et_2B_019811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LQLHLRSRLTNAARQELLALRCSLQDYHLDPDRHSSTPEPNPNPSLPPAPMLYTSPPTEMTLSLTTSGPRLPLPAVCITKNLTPTPGFALGEQTTLVFVPSVLLLRTYRTCSLLAQGTPRYGVGFGLPFLGPSMGNRNTKSAIITTILWNIWKCRNAMVFGSEHENNRTIFRRCSEDLKLWTYRTCKYEFKNVLLAWESFFSCLSIV >Et_5B_044865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6590221:6590735:-1 gene:Et_5B_044865 transcript:Et_5B_044865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESRAVIGDADMLKVMQQHALRLAGKALDDFDVVDSTDIARFIKKEFDRSYGPGWQCIVGTDFGSFVTHHSGCFIYFGIGNLAILLFRGGGAAPQDITAPAKARLVAKAVEA >Et_2A_017199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31936000:31939268:-1 gene:Et_2A_017199 transcript:Et_2A_017199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSGSAAAGSTRFTTAFFLLVAVCSLLQSPAALAGPSSSYIVYLGGHPRRDGVSTEDASRSATQSHYDLLGAVLGDREKAREAIFYSYTSSINGFAANMEPAQAAEIAKLPGVLSVFPNRGRKLQTTRSWQFMGMERNTGEIPQWSAWEVGRYGEDTIIANLDSGVWPESKSFDEGEMGPIPDDWKGICQNEHDTKFQCNSKLIGARYFNKGYASASASGAPLDPALMTPRDENGHGTHTLATAGGAAVRGASAFGYGAGTARGGSPRARVAAYRVCFRPVNGSECFDADVLAGFEAAIRDGVHVISASVGGDAYDYLEDAVAIGSIHAVKHGVTVVCSASNAGPEPGTVTNVAPWILTVAASSMDREFTAYAVFNRTRIEGRSLSERWLHSKGFYPIITGYEAVAPGSMLNSARACVMGSLDPEKVKGKIVVCVRGVTARVEKGEAVRHAGGSGMIVVNDEVSGNDLHADPHVIPAVHISYADGQQLLAYLNSTKDPSGFVIKGRTIIGKRPAPVMAAFSSQGPNAVNPEILKPDITAPGTNIIAAWSGATPPSGRPFDKRRVPFNILSGTSMSCPHISGIVGLIKTLHPDWSPSAIKSAIMTSATEMDTERHPILNSSLLPATPFSYGSGHVFPSRALDPGLVYDMGIGDYLNFLCALGYNASAMEVFDEAPYRCPPVAIGLHDLNYPSITVHGLAGGGLPTVRRRVKNVGDPGTYTVAVVQEPEGVQVVVTPSTLVFRAAGEVKDFEVSFVVRNPAAAATDYAFGAIVWSDGVHQVRTPLVVKTRE >Et_2A_016169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21719372:21723459:1 gene:Et_2A_016169 transcript:Et_2A_016169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSALLRVLRRPSSEAALRLAVSANGQTATGYRHLNNRNLSVFNEFSKQLKGEAKSNPEFQKNVKEFSEKLGVVKEDLKVRTKKTTETIYKSVDGVWSEAEETSKKVSANIKEKMSAAKEEVKESFGLGKEETSSCKDGAPEASKHDSTEASAHEDGSSNYGTSGYTLFTKLKSTISSASPAVSGAFAKLKDTRVSTLAKQGYEIVKDELSSTSGRKKKHPRHASATPVEKSTRTDLVIVPTKKSVLGEKWEALKNKMRGHPFYKRVNEYTKPVVTIGQEVAEDVRERWETSDNPVVQKIQDWNVSVFEETTTAITFKEIRQRDPSFSLPDFIADVQEMIKPVLTAYSKGDLEALKKFCTTHVIERCKGERQAYAAQGMFFDHKILHISDADVLETKMMGSTPIILVMFQTQQIYCIRDKEGQITEGGQDVIQTVFYHWAMQLMDSDEVPEEESYYPVWRLREMQQAGVKALI >Et_6B_049609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7099496:7100723:-1 gene:Et_6B_049609 transcript:Et_6B_049609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ISQAPAASALQLSTFTKVSSIPRKERYPEFPVTVSLAAPGADRPVRVPVDIVAAIDISQSSRTNDPMLELEKDVLRIVVEKLGPADRLAVLPFDHEVRDKQGEMATLVAMSRDGSKKVKDLVEELRYSAGGGTILVKPVNKAQKILRERADTDHAAFIILLSDGGDRTVLQDTVWERESELKAGTPPNSYYPVHTFGFTKHDPETLGHIAKKTNGTHYAGDVAGVGDLDPLDAAFEALLSAAVLGRRRRRQPRGRAPRDAGGERKAAIVSGDGVDVGEISAGETPEFTVYLDVPEGNADADVMEVLAVDGSYTQGWDGKVVNLGRSVVSVKRPDQPTKPEPEPKTPQEPETNKPN >Et_5B_043843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16756660:16759625:1 gene:Et_5B_043843 transcript:Et_5B_043843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGPGRRGRRKPWIAPGDGADHITGLPLELRARIASFLPFRRAAVVAVLAVAPHPRPHARRPARARTEASRMRCFVARRRVPDLPRWTSSVPPTAPTTSEFGATPTASSTSPTRQICIKIPRTDSFFVVDAWTLALPPSARDLEVGAVVGPSIPTPIIAGPGAAALQNLRLEKVVLSDWPCLPSLRSLTLDSVRIRAPFPPGAWCPLLEDLCIADSWIVPPSNPIRMDIRLPLLKRLKLNIYGLEQLGDFTVVAPELELLDVIKGNSDYRSLDIQVGKQGTVREGMIEFTCHGGYGGRLDLTDRHALMMRMLKVLLSELQYITLDNYTTERGVRGVKLTCDLDALISPPSFSSISLRIALSILALSNTPSNKIPIFHERSTYLPVANWSPKIGLDNIGTPS >Et_3B_031562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4748078:4754861:-1 gene:Et_3B_031562 transcript:Et_3B_031562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPKDQRDWAGLPVDLLRCAFQLLSVPSRRLLLRRVPLVAAGSRRRGQTDGDAAAVGGHPRRHRLQQVLHPPLHPDHAVVPLDAAGRRCIGSSGGWLAGAYIDAGRMKRVALVNPITGARVEVPASPGRALASAARYGLADFQEAALCRAFQKCALAELPDDEHCPACSYKSRLDVAYRDGKFYYLTSCRLVWVVDMAAPEPSPAPLARFEPAAPGRRCHFSSCNYHIDFSGDGALHVVWSPAAHVADSVYVLTQQYDPTSSPVQGAWTETRRLRGQAFLAGDFNQTMCVPAGGGDGEWLKPGSVYFTNTTLCSLLAEHCERRLNGVGMWRFDLATGDIGRPSSQLRKAPVVVRPLDLKDVVEADSTPEE >Et_4A_034877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7408501:7409346:-1 gene:Et_4A_034877 transcript:Et_4A_034877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSNGEHHGNGYHPGSSHPQRRPHYGYGGGSASFRGCCCCLFLLLVFLALLALAVALVVVLVVKPHKPQFDLNQVSVQYLLVATPSSTPAPGAAPGAAYLSLNITLLFTAVNPNKVGIRYGATAFDVMYHGVPLGVAAVPGFEQPAHSTRMLQTRVIVDRFNVLQADAQDLVRDAVMYDRVDLRITGDVGAKILVLGFSSPKVQVSVDCAISISPKSQALKYKQCGVDGLSV >Et_2B_019832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14301981:14308338:-1 gene:Et_2B_019832 transcript:Et_2B_019832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAPAGGSGEPAANGAKPEEKQFDPSRMIGIIKRKALIKELAAAYHAECVASCKELLQMQLQIRWEEVCILHLPNCPDVAIYVEKFAEAKMLEAPISTLKTPKRRKRHMLCLCESSVANEGLPRLREREIFIERELLHSHLTEATKVSKLHNSDPMQAVDLIPSGAAIGEPVQPGGVYDDCGGLAVAGLMEQDDRKASMCAWHLTRSPLFSSRHLRSASTSQDAAAVGLDPSLVPLTTLVLAAGVDGAAVVDGAAAGSMVGVGLGSELHGALRSQRVYSGPMWPNTTRRVTWGRRREKKRREGFEEKRTTLQHTLAPNHKKQNGRSLNQEAICSVLVKMGDDAYEEPYGANERFILPDDTKGIMETAVSLINNQLVGAPDKYGCSPSHVPDTSDLHNLALPN >Et_7A_050616.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:787427:787642:-1 gene:Et_7A_050616 transcript:Et_7A_050616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTNRIILALSFFLITFKLFFLLLNLASLLFAFAPEDSSSLPHIAELVLLTLIVVITYLCLVMTTIYRVL >Et_5B_043659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13665802:13669510:-1 gene:Et_5B_043659 transcript:Et_5B_043659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISAYGSYQTNGAKALKQSRHMHFQQCCSTGVRFLNKRTQSMDHKARMAKRSARNNGIYPKATRSQAPIVCSAGMTIIFVATEVHPWCKTGGLGDVVGGLPPALAAMGHRVMTIAPRYDQYKDAWDTNVLVEVNVGDKVETVRFFHCYKRGVDRVFVDHPMFLEKVWGKTGAKLYGPTTGTDYRDNQLRFCLLALAALEAPRVLNLNNSEYFSGPYGENVIFVANDWHTAILPCYLKSMYKPNGIYVDAKVAFCIHNIAYQGRFAGADFDLLNLADSFLPSFDFIDGHFKPVAGRKINWMKAGIIESDLVLTVSPHYVKELTSGPEKGVELDGVLRTKPLETGIVNGMDVYEWNPATDKYISVKYDATTVTEARALNKERLQAEVGLPVDPSIPVIVFVGRLEEQKGSDILIAAIPEFVEENVQIIVLGTGKKKMEEELTLLEVKYPNNARGIAKFNVPLAHMMFAGADYIIVPSRFEPCGLIQLQGMRYGVVPICSSTGGLVDTVKEGITGFHMGSFNVECETVDPADVAAVSSTVKRALKQYNTPVFSEMVQNCMAQDLSWKGPAKKWEEVLLGLGVEGSQPGIDGDEIAPLAKENVATP >Et_8A_058486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9014408:9021737:-1 gene:Et_8A_058486 transcript:Et_8A_058486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLWGRRRGSLRAQMFRFPPRRRLSTWSSGHAAASLHELASLLSVGRFHRSVDLAKSLLLSSHPPGASAPDLYHALAATPAAAAACSPDQADPPPWSFLCDAASALVVASARLGHPDGALRLLSLLAADAGNEAGSRAPLPSLSSCNLLLESLVSLGRHADARAAFDLLVAAGAKPDTFAWNKAVQACVAAGDLDEALVMLRRMGRDGAPPPDAFSYNVVIAGLWRAGNGGDAVKVFDEMAERGVLPNRITYNTMIDGHVKGGDLEAGFRLRDRMVLDGPKPNVITYNVLMSGLCRAGRMGEIRALLDEMSSQRLLPDDFTYSILFDGLSKTAGSRTMLSLFGDTLKKGVMIGAYTCSILLNGLCREGKVAEAEQVLQMLVQIGLAPTRVICNTLITGYCQIRDLHGAFSIFEQMKSHHITPDHITYNTLINGLCKAEMVTKAEELVTEMEKAGVDPTVETFNTLIDAYGRAGQLDNCLIVLSDMQEKGIKSNVISFGSVINAFCKNGKIQEAVAILDDMLHKNVSPNAQVYNSIIDAYIESGDTEQAFVLFEKMKRLGISASIITYNLLLKGLCKSSRIAEAEELFYSLRNHGMTPDFVSFNTLISACCNVGDNDRALELHHEMPNEGNGSSWIDHAVVSMILYSDASDR >Et_8B_060625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3657902:3669596:1 gene:Et_8B_060625 transcript:Et_8B_060625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAKNDAHVVEIPVSVDGGEAVGREEAPATPFLDKAAAGGGHPLEEIAASAGHLLLLKLWQREEDRLGRCACALEARMDAARRDAFYLCAAFLAFHGLSLALLFAVSVAASTSASDACKRWWAPSSLSLAASLALAAAVQFRVCAYWRASARLRRERGDARALARVVQELRMKGAAFDLSKEPQYGVTRAKCASVEGTGAWAPLRWCQQNIVTACLLAVAAAALPSGKLILRNSVNSLAGGQLKRADSAMQNIIQSIIVVKICTGAMFMRISLQLMNCLRVVRPILIPLPSDKFGSPAIQNPLDPHRTRVPVIPNPRSPTTPPTPCPGPPPPFCAAHTTAHRNAPAPRQHWRIQWGGAELGDGCGAEINLARCGRSLTAGSRWGGAELGGGCGAEIDLARCGRSLTGDSQRDPTESLLKGLTASICGGTSTQNATNTFRVSGMNPLLSNPNAEHIDLQGVTASQLTAHQQMLSLEPSSDKRGGFPSNASSSFVAPNMEPEQNAPKPITLKVRHGVGGRHACGGMRDPGPDRGGGGLGVLGLVPRHDVDEEVEDLGARDSGGDVGLLQRAALVLLGVRPAAVGELEDEHLAGAREDDGRLGGDHAYVLVGLHDLLDAGERQVVVLEVRGGLDLAVLLRPEHLQLLLRRSALLRRSLPHGRGVGDGAGPGVRGGRRVGDGAGGGVGGRRVRDGRAHHRGRRWRGVGFVLHC >Et_6B_049815.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:13494218:13495150:-1 gene:Et_6B_049815 transcript:Et_6B_049815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSPSPSGRRLSELLEEKQEPFLLDIHLLEKGCPSSRHLDGYDTALCWPAGPANDAAAVLRRLTSKKTQATKNNKKQQQRQPGGLLKLLLSKILHGKAAAGGRKPPALQFSDSFKMASSAAAVAPATPCDAVKTAEVKNQETEWSYSDEDDEKQHSPVSVLEPHPFESSPPAQYGKLSPSRNAAAMDMFRELLDAAASYSPGLLTQLLAKSDDIVVKDTTTDEDDYYYGSYHRTSPKNGRYNDAAYWESHKAELARVSELVAAEVPSARLDSAAAVQPEREDVGAGVAAAVLEALLQELVVDIGSCSC >Et_4A_035797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6120496:6124042:1 gene:Et_4A_035797 transcript:Et_4A_035797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSSSEPAPPPHPPAPASATMSSSPNSTTTCNFLFSSPAQMATPSPGYYYVGGAYGDGTTTAGVYYSHPHLPVMPVKSDGSLCNMEGMMASSSPKLEDFLGGGNGGGHDATTYYSHQDGQEEDEASKSYQYHQIVPYNFQPLTEAEMLQGAAAPMDEAMAAAAKNFLVTNYGACYSNGEMQQPPLSLSMMSPGSQSSGCVGAAPQQQHQIVAVAAAASAAAAQGRSNGGGEQCVGKKRGTGKGGHKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKRDGQTRKGRQGGYDTEDKAARAYDLAALKYWGPATHINFPVEHYREELEEMEGMTRLEYVAHLRRRSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTYSTQEEAAEAYDIAAIKFRGLNAVTNFDVTRYDVEKIMESNVLLPGEEARRVKAIEPVHGASVMHNSGRELNQSGEAITEWRMGLHGASQEPAPCIEAADLQKSVMGDPHSSLHGIAGLDFSNSSSLVTSLGNSREGSPERQGPAMLYTKHPDAAVSLANMNPWMQMPAPHLLRPGNVSHLPVFAAWADA >Et_5A_042470.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:13921257:13922207:1 gene:Et_5A_042470 transcript:Et_5A_042470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVELEYSSLVLAAILLGLFSCLLMVIISRRSLRPGSKGRPAVPPSPPALPIIGNLHQLGRGHHHRKLQALARRHGPLFLLRLGSVPTLVVSSAAIAEEVLRAQDHVFCGRPRQHTARRISYDFHDIGFSPYGERWRQLRRVAVVHLLSVRRVDSFRALRAEEVAALVARLREQSRRREDGINASEMIVSLTYTVISRSAFGNKLGGMDPRVVREMLTDVTVLLETIAVGDLFPMLRWVDRATGLDARIERTARKLDAIFERVLVEHEKSSGDHDGAEPGDLLDDLLSVIKEGGEGSSKLDRTDVKGLILARNNS >Et_1B_010957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15950512:15952154:1 gene:Et_1B_010957 transcript:Et_1B_010957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLKLEEERLFLKVLEAILDLVDVANVHCSSTGLKLQAMDTEHVAVITLFFHANNFDNYQCDKEFDMGIPIDSMVMAFRCAAKDDTITNKVDEDFYETIILSFESPKENNTTDYHFPFADAENQRFKMSSAGFIRGCNYLSNFGDEGQISVSGMVVKFFARGKDRNVNVDYMKTEASDDETGHEPVSVTLDMKYMNSFAKLSALFEQVKIYLSNTHPLVGECKIGDTGYIRYFLFPKVNPENKEGKQLGSKRNGNDEPGAGKEPSKRIKAADTTSDGSGSEKREDEKDVQLALKYV >Et_8A_058095.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19605441:19606031:-1 gene:Et_8A_058095 transcript:Et_8A_058095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRLGRGRKASRRRGCEDGRTRAASARRSWSPPSTAAMRTSGLAIDGRRAAPSPLGTGAVAVAATDGRRAACALCRAQRKEPGCVVGEHEHALFRGGAEVAGCAKRRTGEATVGGRGRELALRAAAAAAAEGFAAAMALGGRRVGVAALAIIQNSEADELELGEERRVVAIPIWLGRYGGDVAKPSVVAGAENL >Et_1B_010148.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26195645:26195890:-1 gene:Et_1B_010148 transcript:Et_1B_010148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRWDILKKKYAQWKTLNLRATGLERDPVTGCIMADDQWWEEQNAVRPNFIPFFHVFCSPPKCWNKVTNLFLYFSGYARL >Et_5A_041321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21098991:21112166:-1 gene:Et_5A_041321 transcript:Et_5A_041321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGQVISISLPSLTIESNRTLPFRERRMVAKVCVVGAGVSGLVSARELRREGHHVTVMEQSPGVGGQWLYDPAPDGDDPLGTAGVQSSVTSFTAFPLYPRDGGDARRYSGHGEFLRYIRDFCDAFGLMDSVRVNTKVLRVAMDDDGSSRWVDIALDLTTVAREVRISVRSVDDVDAGMTKAVSRHQNLHRHPQASTITQQIECLCEDGTVVFADGSRVVADAVVYCTGYDYSFPFLDTGGLVTVDDNRVGPLFEHTFPPALAPSLSFVGVPKRVNVPRFYKVQARWVAQVLSGKRTLPPVEEMLRSVEESDRAREMAGVPKRLAHSIFDLEYCDEFGEKHCGFPRLPEWRKEFLWACFFNKRDNYESFRDDYHDSDIMVLAKKVCVVGAGVSGLASARELRREGHAVTVMEQSGGVGGQWLYDPGADAGDPLGLAGVPREAMSFSDFPFYPRDGGDARRYPGHAEFLRSGSWTPSGSTPGSCARPNTIMDDGDSRWVVRSCTRRGEDEDAVVTAEVFDAVVVAVGTYAHPRLPTINGMDKWRRRQLHSHSYRVPDSFRDAVVVIVGCKQSGKDIALDLATVAREVHISVKSVDDVAAGVHKTVSRHPNIHLHPQIDPPTPSCTAPGLVTVDDNRVGPLFEHTFPPALAPSLSFVGVPKKVVVPLFYEAQARWVAQVLSGRRTLPPVEEMLRSVVEYNRAREMAGVPKRYTHDLFDFEYCDDFGEKHCGFPRMPEWKKELMWSSLHNSRDDHEMFRDNYHDSDLVLEGLRSQGWLPFPSRTRQDDNATDRCAEIISKKVCVVGAGMAGLTAARELRREGHAVTVMEQGRDVGGQWLYDPRTDDADPLGAAAAPVKVHGSMYASVRLLSPREGMGVSDFQFLPRRGVPGRDPRRFPGHREVFCYLKDFCDTFGLMELVRLNTKVVRVAMAQTTPPLPPTELRWLVRSVRVEPDSGEEVAAEEVVFDAVVVANGHYSQPRLPSIPGMGEWRRRQLHSHSYRVPDTFRDEVVVLVGCGDSGMDIALDLCGVAKAVHLTAKSVEQAMTPAMSKMLANHADLHLCPQIDSLCEDGTVAFADGTSVVADAVIYCTGYIYSFPFLETRGAVTVYDNRVGPLFEHTFPPALAPSLSFVGIQRKLFVPWFFEAQGRWIARVLSGRNPLPPPDEMLRAAEEYCRAREAAGVPAKYTHDIGGVNPNETYEFVVKYTDLPPREEWKRELTWAILRDMTEDRENFRNLDNDSESVREGVRRWLCLSDGDGASSSQ >Et_4A_034239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31059846:31063009:-1 gene:Et_4A_034239 transcript:Et_4A_034239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLPGTKRPLAWEPAEQRVPKLRRNTSPPPAETASSLFHGGDGGSRADGILVDTEGERQAGDSEGRLYDFHGTHFFLDLPLSVKFRYTHFTFFILTPSIKPMQVLRLKEFSSHTLNSSVLLNGSFAFDKWLVQNRSIFDGRRVLELKSGTGALAIFLRKALGVDVTTSDFDDKEIEYGIAYNCKINSLPVLPHVQHTWGDPFPVSRPNWDIIVASNIELYAEQSANLVKTLSFLLREYKPKGQGAGCTTITNKSGTQVPVRFPMSLISWRRRIDPSVLFLGCENEGLEVQHLGYLVYLIQKKN >Et_1B_010349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9975025:9975351:1 gene:Et_1B_010349 transcript:Et_1B_010349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAPCNVEPGRRLQWRRLGRRRRKVPVVRLGGGARARGLLRRWLTARWLRRAVRRLAAIYMAALRGRPAPPGASAPWIGVDPCFATPFMVSTRPCW >Et_1A_006549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24268972:24269887:-1 gene:Et_1A_006549 transcript:Et_1A_006549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHTARGLFIDGALTYCLIYLTISHHDLQLSVLQVPNLMDYPFKFSRLKHLKLMLVFVEDVDSISLASFLSTACFIEELELHFSVVGIVHVVQEPIMRLLDRPFNYMTSLCFTGFKACHGQVQLLMHMVQNAPALKVLTIDLSNKYNPPNYEEREEKYVDLVHGIARRYLEGNIAAKCTLNLL >Et_3B_031045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1258150:1260199:1 gene:Et_3B_031045 transcript:Et_3B_031045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNSAGLAPAGHVTTMLAAFPRISHSVTTAAPSPSTTLPGGASAVTPFAAPTPPCRRAARVSSFPSTGSSAASLPLYASLRTASRTSTSSGWSFEMRAFAAGRARDGAVDGGEEGAGGVEVDADGLVGLQGEVGEEEGSDELGRGREVGEEGEGGGGDEDDEEARSGRARVWGDDEDGGGLRKGSGEVVEIVGGEAGRRRRVGDDVLHGACGGCVREWEVGGKIQGLGV >Et_6A_047735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1257853:1259959:-1 gene:Et_6A_047735 transcript:Et_6A_047735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKAKSSKPVRTKPRNTVSLILDGTVEQYLYNYQNSMSYLRYWLLCNAAIRVRIGNRLVYTTPLDETFYFALRYEQRYIVSIIVARLAWLVGFYTANGVFQMDFEGQSCPYMDSDQCQMTGFAGGHGAITAGVTGSTRLGLHRIRDCFLSVADYQGPDHPEAPGFRPAIGTFVIHLMEAKFREIFVRNCKAIIDPNFSRLGLDSVDWVQFLITNWSSLSEEGMTGIDQPNQMINNRGIVQLPSLGHILDQVFFLSLDAYNKGVFMHDVLPPEPRQMTWRPIDPGEGDGDIPLPVEKAASRLTGSKRGGSTLKDDKGVSKRKRGLTGGGAGGPSDVPEWFLKWEQRDDKMQCDEVQLHLSKLGLFVKQQQCSASSAASPEPASTSAAPDPGTPDAPAPLALCHDEAKLYRGDLDSLEEGALLTDGAIDFVLAHINSSFANQDDILLVRPAQATVLGNLEDPLQVAAYAQPLLLGSRRLVLLPVNNVNREDLDVPDSGNHWSLLVVHISQDGGSCRFVHHDSVGKTNLNAARRLADALRPLLPQAPELIEGLTPQQGNGYDCGLYVLAASRVICCWWAESGRPGIGDCWFQAVMSKIDAARVTELRRTLWRKFNKDMEKLASGSNK >Et_10A_001154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21671202:21687816:1 gene:Et_10A_001154 transcript:Et_10A_001154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSHRKLLDSGPTNHSASAPSSCARSRRRKHRRLRESKHPNQHRGEMAEPSAPAAAPQTDAEREEALDRILTRLALADDARLAPLLARVLPYTITSLASPAPVVRKLVMDILSHINKRVKHRPDIQLPMLDLWKIYTESVSSAMVRNFCVVYIEMAFERMPTEEKGSMAPDLLVNISTAPPQHQAVILRLVSKAIGECNKLKVDDTVASKYRAISGSNDGVVFVEFCFHTLLYQSPQQGVGCPAGLSVSQSDRVAGKQPLKGDMLTSRKLGILNVMEAMNLAPEIVYPLYLSAASDSQEPVSKKGEELLKRKASTVNLEDSNLIKRLFTLFNGTVGGENIAAEQKVSPAHTSLRVRFMSVFCRSIAAANAFPYTLQCIFGCIYGSGTTSRLKQSGMEFTVWVFKHAVTDQLKLIGPVILSGILRSLDGSSTTETESSSRDIKIFSYQAIGLLASRMPNLFSDKTDMAMRLFTALRLENQSLRLTIQEAATSLATAYKGASMVVLKDLEALLLEHCEAEQSEVRFSAVRWATTLYDLQHCPSRYICMIGASDVKLDIREMALAGLNLLNDERQSSVGRFDFNYPDVAEMLDYICRQRPQLLHSDEQGNKRLLFPSSTFLAMIKFLMKCFEASDSSDLVQEDLSHSPVARMCVILEHAMSYEGSSELHALALKSLVDLSSRELKLVSSRYADRVHWLKTLLGHIDSDAREAASRLLGITSASLLKSAALNLLSELTSTLDQNRPSRFENCHGILCAVGYVTAGCLKQSYIPEEMVKNVVDVLVKVVNSEGSTLASVAMESLGHIGLRCALPSTNQNSSTAPLLNVLHERLSKLLSENDNKALQKILVSLGHMSWNEMSFPHLSNALDLIFSLSRSKVEDVLFAAGEALSFIWGEVPVTADVILETNFVSLSQATNYLTGDAPLVTSNSYGRSGCEEAHAMAREEVIKKLFDTLIYSSRKEERCAGTVWLVSLTMYCGRHPKILELLPRIQEALSHLLGDSNELTQDLASQGMSIVYELGDASMKENLVHALVNTLTGAARKKKAIKLMEDSEVFQEGTIGNNPTGGKLSTYKELCNLANEMGQPDLIYKFMDLANYQAALNSKRGAAFGFSKIAKQASEALQPHLNTLIPRLVCYQYDPDKNIQDSMAHIWKLIVTDPKKAIDEHYDVIVEDLLVQSGSRLWRSREASCLALADIIQGRRYSQVSKHLRNIWITTFRAMDDIKETVRNAGDSLCRAVSSLTVRLCDVSLTSASEANETMSIVLPYLLSEGILSKVSSVQKASISLVMKLAKGAGPALRSHLPELVSCMLECLSSLEDQRLNYVEMHAGNVGIKTEKLESLRIAVAKDSPMWETLDICIKVVDKNLLDLLVPRLAQMVRSGVGLNTRVGVASFITLLVQKVMIDIKPFTTTLLKLLFSAVLEERSSAAKRAFASSCATVLKYASPSQAQKLIEDTTSLHLGGKNDQLSGAILIKAYLSNAADVLSGYNAVVIPVIFASRFDDDKDSSALYEELWEDIPSSERVTLTLYLPETVSLLCDCMSSSSWAGKRKSAKAIENLCNVLGESLSAHHHNILKSLLKELPGRFWEGKEAILNALASLCSSCHAAITAEDSSLPSVIQNAVCAACSRKSKVYREAAFLCLDKVIAAFRDPGSFNSIFPMLYEVSNPSVIHKAKGSSSASSSGTAEQDESEGASVSLDKVLNCVTSCISIAFPQDIIARKKKVLEVILNSLSPEENWQVKLSSFLCIRELCNKFHNSDDSNTLPEDAPYLVQELFHLVSPKVVESIRLVKIVQFHTAAAECLLELSKLYRDFPLIDRTEAKFEDDLTELCESEKSEQAKALLKQCLAILKNLPGESRSSKDNTNTCIHPRVSV >Et_7A_050484.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:18763737:18763994:-1 gene:Et_7A_050484 transcript:Et_7A_050484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLEQGYGVTAVDNFHSSVPEALDRVRRIAGPVLSARFDFILVRTRTCPVPRVSTCSQPVRSMCLRPPDLRCGFRLISGGPKER >Et_5B_044554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3425274:3427831:1 gene:Et_5B_044554 transcript:Et_5B_044554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGKAPSLAELTVAIRIDARPRTRRFVGPASAPRFRSSSCTSLPGVVPEYSLPPQEVSVKNSADENPAVSTEAEPAADKNAETPPADETTAAIETAPADFRFPTTNQTRHCFTRYIEYHRCIAAKGDGAPECEKFAKYYRSLCPGEWIERWNERRENGTFPGPL >Et_3A_024780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24085983:24087391:-1 gene:Et_3A_024780 transcript:Et_3A_024780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVGYRRNRSVFEDPRASSSQPFMNLLTQDKDADLQLLMQEDINISNDPIIETDQLGKTYWERIAQDFHKNKDFESDRSANSIEHRCQSILKECMKFHGYYEDIEDRHPSGVTYQEHMLEAQALYASKHKGKRCPIANILRNLQHYLRSRNPRGRPTQQTSTSTSRQEVKVMSASKLKEAHRRNLDLQHSKEKLKRGVGDNDEYKDMMKSLIELKEKEMKQREEVDQRKIELEERRLQ >Et_6B_048859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14682353:14695594:1 gene:Et_6B_048859 transcript:Et_6B_048859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AFAALVRPTQSFLFAQPQQLRIRAHQALASSPFLSPTAGDLLMTLPVSGHRGLLLPLLVLVLVTLPAQLPRCASESTTCLAVYREGGAPAVFQSAHCPRWTLLPGGEGDGAQSSPRGCHVATDRGRRRSQEDRAVCALGIRIPFIERMRIKEVDVGVVAVFDGHNGAEASQMASKLLLDYFLLHVYFLLDGIYSIMFRKSIGQLTNREIAILNNVFNMYKEDHSNHREGTCWISPAILDHSYHMEVLKESLKRAEALQENFESGSTATVVLIADGQIVAANVGDSKAFLCSEEHDPHRRNRRRKRRRNSSDHDESALANYDGPLYHARELTKDHHPDREDERSRVEASGGYVLEWAGVYRVNGELALSRAIGDVPYKRYGVISTPELTGWQFLSPNDSFLIASSDGIFEKMTMQDVCDLMLNVKLHAKQESGSFGTTQQNLADYVVHLALKKGTTDNVAAVVVPLGSPSSSATTLEDWSHHDENPKTSILPLQNVPYQLKDDDGASSAVIDMEYFKQSSTKFERFLVESKFKKLGCFYLSESLDEDMDYIFRIPEAYQHQGVHDSETVLSTDGNLEKYKDRNFCWYLDHQDGEMERCNSPDVFTNYFGLLDSIPHNGSKPSGSHSFGHKIADFRYKLKKRFDRGSYGEVWLAFHWNCSEDVDVHKNLRHFTTIFEPGSYNCTSSNTMSSDESHVSDTVDGDLFILKRIMVERGHAAYLSGLREKYFGELFSNASRTLEGLSRTASSSTLFSVDMQFDPYIHLEKNLSATEEPLKHVARFIESFESESREIWLVYRNEGRSLSKLIYTAEETKLVTGSDNERVRHIQVLQPSKWWHWLRTTKDGQKQMQNLLWQLLMGLKACHDRNITHRDIKPENMIICFEDVETGKCLREVPSVSKQNRLNMRLIDFGSAIDDYTLKHLYDSGPTRSEQTFEYTPPEALLNSNWFQGSKNARLKYDIWSVGVVMLELIVGSPHVFQISDRTRVLMDQRLEGWSEQTKELAYKLRSYMELCILIPGISLQHHGSVGPEQGQFGLASWKCSEESFAHQVKIRDPLKLGFPNLWALRLARQLLVWHPVSLLNPAAITAILVSEAYIFFGRMLKFKRY >Et_1A_007109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3121966:3124166:1 gene:Et_1A_007109 transcript:Et_1A_007109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNLASVVVSVDGSEESMNALRWALDNLRLRPDGELVVLHVQPPPSIAAGLNPGPIPFGGPSEVEVPAFTQAIEAHQRRITQAILDHVHKICSEKNVEVKTEVVVGDPKEKICEVTANRKADLLVMGCRAIGPLKRMFLGSVSNYCINHVGCPVIVIKDMSFSNSGDAAAVLLLFSWNSLSSRL >Et_9B_064429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15037070:15038680:-1 gene:Et_9B_064429 transcript:Et_9B_064429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGEIQKVVSMRRGGSGSMWRCGDDVFSRSSRDEDDEEALRWAALEKLPTYDRVRRAIVPDDAAAGNGLVDVDVLSLSPQQRRALLERLVRVADEDNERFLLKLKDRVDRVAIDMPTIEVRFENLEAEAEVRVGSSGLPTVLNSIVNTVEEAANALHILPSRKRIMPILHNVSGIIKPRRMTLLLGPPGSGKTTLLLALAGKLDKDLKVVKTNLYSIIIQIHQHFFRFQLGHKNGQPRNRACVTPWCLSFYFLSC >Et_6B_049860.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:15470040:15470627:-1 gene:Et_6B_049860 transcript:Et_6B_049860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSQACTFHLELVLQEHCGLLLILTFLTWCISRIKIFVPCSCQFCICIHKPSKKATTLAPIVTDQEVIQKRNKHQDDGMELTHEDITIVMRNISLNFDQESSMACVFIDSDYITRIFDDDEPSLHEVKQAFLVFDDNKDGYLDASDLQRVFQSLGLRKGVGLDECEQMIAKYDMNKDRRIDLVEFSKVLEASIC >Et_9B_064200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12577878:12584633:-1 gene:Et_9B_064200 transcript:Et_9B_064200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAKVALLLFFFFFFLANHSVDAQNSSSGGGMELFHVGVILDSETLVGKTALTSISMAVEDFYAAHPSYRTRLALHVRNAGGDDIRAASAALSLLEKHQVEVIIGPQKSSQAVFVSELGSRAHVPVVSFSATNPSLSHRSLPYFVRATVNDSAQVNCIASLIKTYGWTDVVPIYEDTDYGRGIMPYLIDALQGIDARVPYRSLISPTATGEQVKEELLKLMTMQTRVFVVHMDLKLASFLFTKAKEVGMMNKGYAWIMTDGLSNLIGSMSPSVLEAMDGALGVQFYLPESAELEKFTMRWNKRFQTDNPNGPLFQVNVFALWGYDVIWAVARAAELIGVAKSASVQKPKTKEGSTSLESLETSTNGPKLLEAILQNKLSGLSGNFDLSDGYLQSSTFRIINVAGKLWKEIDICTARSGVSLQLNPVTWPGKSVETPRGWELPVAGKKLQVGVRNSGYPEFMTVNKDPFTGAIKATGLSIDVFEEAVKRLPYALPYEYVVFDTLRDTSIRSYNDFVYQVYLKNYDAAIGDITIRYNRSFYVDFTLPYTESGVAMVVPVKASENTNTWIFAKPLSKGLWFGSIALFIGTGFVVWVLEFIGGNEKIGGSLREKLVIMIFLSLFQQKDKLKGFLSRIVLLVWLFFLLVLTSSYTASLASMLTVQQLQPTVTDVHELIKSKEYVGYRRGSYIKGLLEEIGFDSSRIKPYNTPDDFHNALKRGSKNGGIAALVHEVPYIKLFLAEHCKEYTMVGPIYKTAGFGFAFSKGSPLLGDISKAILNVTGGDTIIQIEKKWTADRNNCPNMGPVDEPGSLTFESFRGLFVLTGAVSICSLYIGLCIFLFKRRMGVIPKVGMFQEARAKMKMFKTKQKGYNKMDWMVCVKKMVKEAEVQKSVTSMEKHTEI >Et_7A_052619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9952507:9955494:1 gene:Et_7A_052619 transcript:Et_7A_052619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRAVAAAVAAWAIPIAALVDSIVPEPYMDEIFHVPQAQQYCRGDFLSWDPMITTPPGLYYVSLVYVASLFPGAWMVGLTKTFDALCSTAVLRSTNIIMAMVCAVLFHDILLYIRPGIGTRKATIYSILVALYPVHWFFTFLYYTDVASLATVLAMYLSCLKKRFWVSAMFGAFSILFRQTNVIWMIFVAANGALSYTQDIYLKDNVSHENSESTRKSNKVVSGKDSKITAQGLRRRQRTNSPISKKGAVSESTKLYNSLAEEVWDISLKLWNSKCEVLLAFTPFAVVVVAFIAFIIWNGGIVLGAKEAHVVSPHFAQFLYFGLVSAAGLLPWHFTPSRALDLFRWFEKSKTCSSLAMLMALGLSIIAVHFFSIAHPYLLADNRHYTFYIWRKVIQVNWMMKYILIPLYLYSWFSIINILGKSRTRVWVLSFILSVALVLVPAPLVEFRYYTIPFVILVLHSPVIDNGRLFSLGLLYVIVDLFTVVMFLFRPFHWEHEPGTQRFMW >Et_5B_044445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2553289:2556398:1 gene:Et_5B_044445 transcript:Et_5B_044445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPRTTTATGGRRQRPLPLPLKRAILAAVASAAAVSIVCVVSFTDTLSYLGFRPREVDKRDGNRRYLYWGGRIDCPGKHCGSCAGLGHQESSLRCALEEALFLDRVLVMPARMCLSSVHNTKGILQPTNATSEQRWETDSCAMESLYDIDLISRTVPVLLDNPRSWYEIVSRSTKLGEDGVVHVQGVSRAELKENPHYSGALLINRTASPLAWFMECKDRTKRSSVMLPYTFLPTMAARKLRDAANKMKEFLGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTRPEFIKRRIARWIRPGRTIFIASNERTPGFFSPLSDKYRLAYSSNFSNILEPIIENNYQLFMVERLIMQGARTFVKTMKEFDSDLALCDDPKKNTKVWQKPVYTDN >Et_2B_019353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25168805:25170076:1 gene:Et_2B_019353 transcript:Et_2B_019353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVASNLPAAAPVPYGWLSPRVSFSRDAVAAPEEPAVSLSPVEPAISKDFIDFEFSLGGAATMLPADELFADGKLLPAMPAPAPARSSSEAEPAMPPAQAEPIKPLRAAAAVVAADGADPYVFSPKAPSCSSRWRELLGLKRAAAPSPSPKPSPASAAAARTPARATNSAAARSLKLLLQRNTGRASASDLSSAPLLRDSSDSEASLSLASSRFSLSSSSSSSGHDHDDVPRLSLDSAADPNPPRIRLVRSSHQRQQQQQHRHSTSGSTHRSGRSPARRRPSPPRCLSVDSPRMNSSGKIVFQGLERSSSSPCSFHAASKSRSSRAVDRSYSSGVRVAPVVLNVPVCSRPVFGFFKDKSSKDSSSAAAKDAAAARSRSSLGRKTPPQGWSGELPRSST >Et_2B_020097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16814414:16828028:-1 gene:Et_2B_020097 transcript:Et_2B_020097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAGNATVYIYADIALDLVASIKFFPYKYANRAAIGVTDQNLWQDATRLSLSMAPPQSAFLRLLLLPVLATAFAIPSPASPPQDKPPSGAAADKFLRECCVNTMYAAVCYNSLLPHASSFEGNFVKVAGAATVIAYARFRSFDHELRSFLRGGTGAAGEEVVGALESCVKYFPEVLHDEDDAVAILRRLETDAGRREEKAKSDLNTVNLDISAIFDFTNMCMDGFVSSGGGVLTSPVGKMMLAGNATVHLYAEIAVDLVASLPMAPPPKSVFLRLLLLSALAVFAASARASPHAPSDAAVDKFLQACCANTTHAAVCYDSLLPHAGSITSKSPVLPPSLHTLDCVALTMSSEASCAAAPAPGKKWSVRWNLVSNVLDDEDDAVAILRRLETPAGRTEEKAKDNLNTVNLDISAIYDFTNMCMDGFVSSGSGVLASPVGKMMLAGNATLFLYADIALHLVVSIKLPSLLMSPPKSVFLRLLLLPMHAASFAIAAAREPPAQDKPPSYTAADKFLRASCAACASTTDAAVCYESLLPHAGSFEGNFVKVAGAATVIAYARLRSFDHELRSFLRGGTGAGEDVIRAVKSCVDYFPNVLHREDDALAMLRRLETAAGRGEKKAKFNLHIVNSYVGGVESFTNMCMDDFAGSGGGVLVSPVGKMMLAGNATVHLYGRIAIDLFLWTCCANTMDAVVCYESLLPHASSFEGNLSRSRVLPLFDHELRSFLHGGTGAQEDVVGAVKSCVKYYPDVFYREDDILAMLRRLETAAGRTEENAESNLQTVKSYIGEIYDFTDVSGGGVLASPVGKMMLDGNATVYLYRGIALDLSVFLRRCLLLLLLPPVLAAAFAIPSPASLAQDKPLSDAAADKFLRECCANTTHAAVCYDSLLPHAGSYDGNNVKVAGAATAIAYARFPLTMSSAASCVGALAPPGKITSHCATHASPAQDKPPSYTAADKFLRASCAACPSTTDAAVCYDSLLPHAGSFEGNFVKVAGAATVIAYARLRSFDNELRSFLRGGSRAGEDVVGAVESCVKYFPDVLDDEDDAVAILRRLETPAGRRAEKSKSNLNTVNLDISAIFDFTNMCMDGLVSSGGGVLASPVGKMMLAGNATVHLYAGIAVDLVASIK >Et_8B_059116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14002178:14003349:-1 gene:Et_8B_059116 transcript:Et_8B_059116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIHHALHGCQPFEVTFEVLLPPNLRFCIAGHVVSIQLVCPQIQVPVVDIHTSRVLGRVRLHRLVEPPDPSHPPVQRGRPVVVPARVVLELVEVALAVRERRGRRRDAAHPPTVGVEHEQRGLGPLEPLLPRALPHVLRDGSLHVVGLGRAGRGGGRAAWRGGSEDQEDPGLDPAHLLAVRRLISIPSQSIDYPCFAAKGGNSTWGSALGS >Et_4B_037721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22836609:22844383:1 gene:Et_4B_037721 transcript:Et_4B_037721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCAPLVPVSPAASAASAASSAVAAGAPAAMPPSQPPPVPPPPPRSNSAAGERRVRVAGAGGNGGGSSPPEGVKLNEIVGAGISGILYKWVNYGRGWRPRWFALHDGVLSYYKIHGPDRIVLSRETERGAKVIGEDSIRRLSRPSTSSSSHTNGHHQPRKPIGEIHLKVSTVRESRSDDRRFSIFSGTKRLHLRAETREDRAAWLEALRATKDMFPRMSSSEMVGPEDTAAAVAVSTERLRQRLQQEGVSELAIAESEAIVRAEFESLHKQLVLLKQKHALLVDTLRQLETEKVDLENTLVDESQRQSKEYGSASKSRNEKYSEGSASESDDYNEPQDPAEETDDEENIYFDTRDFLSSSSFKSSGSDFQRSEAGSDDEDDYPMDGIDPSMKSVGISYPYVRRRKKLPDPVEKEKGVSLWSMIKDNIGKDLIKVCLPVYFNEPLSSLQKCYEDLEYSYLLDRASEWGKRGNSLMRILSVAAFAVSGYASTEGRSCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGTGWRFWADSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNREYSCKLKFKEQSIIDRNPHQVQGVVQDRSGRTVATLFGKWDESMHYVMGDCFGKGKGSEQFSEAHLLWKRSKPPKFPTRYNLTRFAITLNELTPGLKEKLPPTDSRLRPDQRCLENGEYERANAEKLRLEQRQRQARKMQESGWKPRWFAKDKATDSYRYLGGYWESRERSSWEGCPDIFGQIPNDVTITD >Et_1B_011342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20794079:20801613:1 gene:Et_1B_011342 transcript:Et_1B_011342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANENGNGLSATNGNGLVNGTGTRGKPIKCKAAVAWGAGEPLSVEEVEVAPPGRLEVRVKVLFTSICHTDLSAWKGENEMQRKFPRILGHEAAGVVESVGEGVEDLAPGDHVVPIFTGECGACSYCVSGKTNLCGPYRVNPFKSTMASDDGTRFTAADKSGDRRPVYHFLNTSTFAEYTVLAAACAVKINPKAPLEQMSLLSCCISTGVGAAWNTANVSKGSTVAVFGLGAVGLAVAEGARLRGAARIIGVDINPEKYTKGKEMGITDFINSKACGKPVHEVIKEMTDGGVDYSFECTGINGVLREAFVSTHDGWGLTVVLGIHATPKMMPLHPMELFDGRRITGCIFGDFKGKSQLPELVDKCMNGEVKINFDGFVTHKMPFADINKAFQLLEEGKSLSTRIFFIPALHSKPRTGAISFFRCPSHTQLKR >Et_9A_062733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3884638:3887575:-1 gene:Et_9A_062733 transcript:Et_9A_062733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLDNGDSPDATTDVAADDDEVVRFGVVRSYDFMDKTVHVSWFKTAARREEEYDETVSAYDLELDHDHTIFHGHIVIRQQPAGDKDDTVPPEEARKVTYDDLSWVGYIIGLCDDGHIQVRWGNNTTSKGIGGEKKWIKRVQNEWKILEHDLPDRMDLLRVAMVGAAGTPYQDGLFFFDLQLPPSYPTVPPLVQYWSFGLNLNPNLGESGTVCLSLLDTFGGEGVELWSPAMSTILQVVVSIQGLVLTSKPFYNESGYEEYLGTPKGTHNEIVFTEDAYLLTLRTMLHLLRRPPVGFEELAYLRKACPVGTLDEEANTTEEVSGGRTCSAGFRLALSRFMPRLVEAFTAISANGCEQFDRFSWSDLVGTPVAAVYPSTAN >Et_10A_000430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10147309:10159947:1 gene:Et_10A_000430 transcript:Et_10A_000430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLEVRARFPPLLFVRALARPPRSPRLVALGAESLRADQGSLALVHGLRAAPDLTPCARSCSISQQQEDDEMLVPHQELPAAEGPQPMEVVAQTEPASAAESQPAEDPQTSRFTWTIQNFSRLNTKKHYSDPFVVGGYKWRVLIFPKGNNVDHFSMYLDVADSGNLPYGWSRYAQFSLAVVNQIHPKYTIRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLVDDTVVVEAEVAVRKMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIEQLFEGHHINYIECINVDYKSSRKESYYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFLDFPPINDRYEFPLQLDLDREDGKYLSPDADRSIRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNTPFKFTKYSNAYMLVYIRESDKEKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKIARDEDLKEQIGNNIYFDLVDHEKVRSFRIQKQLPFTSFKEEVAKEYGIPVQCQRFWLWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKAHNAELKLFLEVERGPDQSPIRPPEKSKEDILLFFKLYNPEKEELRFIGRLFVKALGKPSEILTKLNEMAGFPPNEEIELYEEIKFEPNVMCEHIDKKLTFRSSQLEDGDIICFQKSLVPGGETLVRYPDVPSFLEYVHNRQVVHFRSLDKPKDDDFSLELSKLHTYDDVVERVAHQLGLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVVVHSIRLPKNSTISDVITDLKTKVELSNPDAELRLLEVFYHKIYKIFPPHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDPNQNQIQNFGDPFLLAIREGETAAEVMERIQRKLRVPDEEFSKWKLAFISMNRPEYLQDTDIRRDVYGAWEQYLGLEHTDTTSKRSYTANQNRHTFEKPVKIYN >Et_2B_022310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17615599:17618856:1 gene:Et_2B_022310 transcript:Et_2B_022310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYPMLLLLSISRLLLWLPVVWVPVAGAPPASGCQRRCGNVDIPYPFGIGDRCAFHAGFNISCEDFNGTRRPSQQRVEITKISLADAKAWVKMDIPSTCKDPTIGSIRKPSPPRATKVRLDVFRFSDVENKIFVMGCGTYGYTAIGGDRAGCASDCLGEGPRNETCSGVGCCDADVPKNIVSYQEYNQDLENSSISPCSYAVLMEKAAFKFSTEYLYSRAFLDEHHGQVPVVLDWAIRPYTCREVATQNKSSSACISDKSECVDSTNGPGYRCRCLDGFQGNPYVSDGCKDIDECLDNAKHPCAGICENTPGNFTCSCPTGKEFINGVCKAKQKSSTWVMPAVGASIGAAILVIAVACAYLIRERRKLRRVKETYFRQHGGLLLLEEIKSRQGAAFKIFSEAELQDATDRFSVKRVVGRGGHGNVYKGVLDGGGVEVAVKRCMVVDEHHKKEFGKEMLILSQINHCNIVKLLGCCLEVEVPMLVYEFNINKYII >Et_1A_005466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10677813:10682760:1 gene:Et_1A_005466 transcript:Et_1A_005466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSTPAAAAQAPSRRNVALYLALLTLQYGAQPLISKRFVSRGAIVTSLVLGTEAAKVICAIILLIAEGSLKKQFSNWTLAGSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLLWTAFFAYLILGQRQSPKQIFALTLLISAAVLLSVGESSSKGSKGGSSDYVLLYGIVPVTVASMLSGLASSLCQWASQVKKHTSYMMTIEMSFIGSMCLLASTYQSPDGEAIRKYGFFHEWTLWTLVPVLMNAVGGILVGLVTTYAGGGFVIVSALLVTALLQFVFDGKLPSHYCLMALPLVATSIFVYQKYPYVDRKKKD >Et_1B_011545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23500313:23502438:1 gene:Et_1B_011545 transcript:Et_1B_011545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSARGRASEENRLGYARSVSMDSAARPSLGARSSSLLSRRSSRQGSGGSISLSREMGDSILSSMRHSLQSADQLLGDVDSSVLAQLIDSGDRVLEPDVNAGEDTVNTSDHHKVGPLPDDAMMHNNGKIAAAPVSSMEPKDSLANVPASSSIKVEPYKLSSRLDYAAYLIHLAVFGFFGVFTRYGLQKLFGPDCLALTSDQSPLYPDLPSNMLGSFLMGWFGIIFKADIRQISDHLIVGISTGYMGSLTTFSGWNQKMVGLSSKGHWVYAVAGIVLGMFIVNECITIGAETGERLRSLILKYIRENSSIGSKCDWSHWRVDTRTKHFVLLSVMMVLLSFLWVLNIVLAIVKVHSLDDGAVLWLGCSVAPPGVWLRWYLARLNGQGVGKQRSLKWLPIGTLAANVLAAGIMAALAVTSKAVHTKRSATILSGIQLGFLGCLSTVSTFAAEVYTMRRSGQIAKAFVYAASTFLLSFVLGTLVYSVPVWIKHYG >Et_8B_060684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4857596:4861563:-1 gene:Et_8B_060684 transcript:Et_8B_060684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKEEAAARTGYLIGGNSIEDGEREEEERDGGAPLRDPRVVGEFSCQILAKLLPWRLPCSGPERTLSTRKNKSTRALLCLDATRSGIQELESLDLALRRPPPPVHHCPSSLLPCPPIGVTSFVSPPPLLPDTFLARRSSFFRPCRSIDFVTACLFPQSFHLCTSSSGVQERTRPACVELATVVDLIFPGRVELDVDGARGDARWWGYCVAGEEGQQRMTTARQWWRRATAAVKDRRSLYLTRVAALRPAAPRIPELEAAVIRATSHDERAVDHGAAARVFALARASPSAAMWALARRAGRTRCWAVALKALMLAQGLLLRSDRLGRVPFDLADFRDRSSPPATSSGFSALVRAYFRFLDTRSLFAAQEQQQQLSGSGVTDAADEEDARLDRVARLQHLLDLLMEVRPYGDGMEAQGLVLEAMDCVVIEIFEVYSQVCTGIARFLVGALGSAPTAPRPRPGETPAAARRRRAAQGMRVLRKAADQSAQLSSYFDLCRSLGVLNAAEFPAVERVPDDDIRDLEKLMMSHVVEAHDEPRHREEEKQSIKAEEKVGKELVVVPSKTVVTKEWVVFDDDDSAAGAGVRQGHCGDYVNPFLGAPWAAVAGSGDLLV >Et_5B_043193.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:16868956:16869051:1 gene:Et_5B_043193 transcript:Et_5B_043193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYGSGRPSVMKPTRLLLDEDGWRGFYRGF >Et_8B_060040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5289454:5294112:1 gene:Et_8B_060040 transcript:Et_8B_060040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSRAQCATAILAHTMSLLVLVLLVATEGAQQRCVSLTSQATALHRWKSTMKGNGTLLSSWMPNTHPCNWTGIICSNARCHLAPRALSISEVSLQGIGLVGRLDTLDFLSLPDIISLDLSNNTGLSGPIPPTIGTLQILSNLNLSGGHLNGSIPPTIGELRRLAILDLSNNSLQGHLPISLGNLSQLTVLRLNNNMFSGYIPWQLGSLQNLTALELDNNNISGHIPASLGNLTKLGILSLSLNHISGHIPSELAHIEGLLHLSLHHNKLTGIIPLSFRNLIMLKDLYLNDNFLSGSIPGELGLLSRLLHLDISTNNLTGTIPPSIGNLTSLSYLALATNKLTGAIPQEIGSLRLVSLDVGRNLLTGIIPSTIANLTGLQILKLQANSIGGTIFDKVEHLFNLQVLNIHHNEFIGSIPSSIRNLSSLEELNLGYNNFTGAIPPSVGDLSLLYFLSFASNQLHGTISSELGNLKNLEYLWIYKTHVSGSVPESFENLKNLIDMRLFDNDLSGPLPRGLANLAVLEIASFSQNRFTGNLPDLCKGKMLRRLNCWRNKFNGSVPESIRSCTSLEFISFSDNQLEGDLSQNFGIYPHLTVLDLSRNNFYGHLSQNWGSCQNLEVMWMPRNMISGTIPVEFSNLVNLEELLLYSNNLSGELPPEIGKMRKVYWLDLGFNQLSGMIPSQIGHLNNLVKLDLSNNQLRGTIPDELTGCQKVRLVKLNGNNLTGNLPRVIGRMVSLQILLDLSMNNLNGTIPPELGNLNMLEFLNLSQNQFSGRIPTSITRMKSLSILDVSHNSLGGPVPEGMHNASVNWFIDNKGLCGEYYGLPPCYSPAVHKDGKKQPNLILAIGFLLLVGVLSLITVFFIRQKKKHKDTTTRSDRDVFSIWNFDGRLAFEDIINVTENFDEKHCVGAGGSGSVYKAQLQNGRVFAVKKLHTTGELVNDATFHHEIEILMKIRHRNIVKLYGFCSHGRFKFFIYDYVDRGSLTTVLCHDELSNEFSWQRRISTISDVARAIYYLHHECDPPIIHRDITSGNVLLDADFKAFVTDFGTARIIKSDSSNWTELAGTYGYMAPELSYIYVASEKCDVYSFGVVIMEVLSGKHPGDLIGHLASLDEHDLLLEEIIDKRPAAPTSEEEQYITRLINVAKCCVQASPEDRPTMDQTYLSKTKHIEV >Et_3B_027905.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26095016:26095738:1 gene:Et_3B_027905 transcript:Et_3B_027905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQLNRHEPWWLRHCSCLLLGRRVGGGCAAGVRQAPASAAARLLFKLCAPAVPPAVGGRCGGEAAGGAAPRDVGGRDIDVAGRVSGAGALRLGHLHPGDPTALLPAASLLGVPAASWLLWLGWIRCERGKKASGDFVWGRVSAPCFWSFLLHFRGGCVVVLLLISEVKLEDFVVSGRWRCECHRCQDDVSRREGVAEASARCLEFFLLAFSVSTAWWRRGSARPLFRRARSGLQRSSWC >Et_8A_057256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22918602:22920187:1 gene:Et_8A_057256 transcript:Et_8A_057256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLDEYEKLVIRMNTPRVVIDNAVCTTATLVQVDSARKRGVLLEAVQVLADLDLSIKKAYVSSDGCWFMDVFHVTDRLGRKLTDDSVITYIQQSLGTWNEPERPAALEGLTALELTGADRTGLLSEVFAVLADMRCSVVEARAWTLRGRLGCIAFLRGEDGADGEEDRVPLILARLGHLLREDAGAAAAVPAAAVAHADRRLHQLMAADLDHDRAFPTPAVSVESWAERGYSVVTVQCRDRPKLLFDVVCTLSDMDYVVFHGTVDTTGDQARQEFYIRHADGSPIRSEAESQRVSQCLQAAIERRSLEGVRLELCTPDRPGLLSDVTRTFRENGLLVAQAEVSTKGDDASNVFYVTDAAGNAVDQSAIDAVRERVGTDCLVVKEEPQPQLFQKAGPGDRDGVGGMGLVYLGNLVKRNLYNLGLIKSCS >Et_7A_050361.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1084448:1084822:-1 gene:Et_7A_050361 transcript:Et_7A_050361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ADLAATPLLPATNPVARSNPPPPPPSPPTRRGRRAPALPTTKRHSERLAAKEPSHYIDTTAKAVQLTALRNALASCSRELQKHVTRKGLMGRKKRAINTKDLKKLALAVTSFGLLWLVFVLYFG >Et_1B_011729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25411705:25415381:-1 gene:Et_1B_011729 transcript:Et_1B_011729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVLPVMDLASSDVRAAAASMALSGQKVILFYYQTFKPPVRSLICQRSEQVIILATLVRQACVEHTGSSMYTTTGWTAPCLSRKFFKQRMEEKIALRRDRNHRGTYTPPYTEKVDAASEFEGMKIFISILEGHDETIPINCFDTLPDCFLSLDLDAEFFQKTGVLNCPSAYFFRLLHYRDDTPGLQICKEKDKHPQLWEDVTHIDGTLIDNVVDLLERWTNSLFRYHPLILIAFGGCFLSASKPYFCGAVLRKLLQQGKSSK >Et_4A_031832.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:20631710:20632864:1 gene:Et_4A_031832 transcript:Et_4A_031832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPADRLLDTVARTDATTIVRFAACSKPLRRDILSRGFICRVCHEPRGGGGTTNSWLLSFLHAYDKVSMRPRPPAPFTLAHPATPVAESFSRHQIVHPLSRGTGADILGRYTPLTLRGGLVLLHRRNINCHGRDICVYDPMTGGRTLVPCPPEINKHIPAHMYVILTAADGVGCSFLLPAANFVNCGVSYSIKVQTVSSYSADRKWGPVTMATLPPLPVSVSSLPQPRCGAVVHGTLIHWLIYTRQRSQILTYDVSAKTAGSIELPADSLPKGCERFDIHLTSSSSDGRLRLLLSNRLRVSVWHMLSTGGGWELQHVIDVEEIVSSLAPGLPVLRHHWRAAGEFKGSGARSGAVRLQNAWIRDNRFIVLDSADRLGVDRVGVLV >Et_4B_039392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13177819:13183207:-1 gene:Et_4B_039392 transcript:Et_4B_039392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIYPEFSTSSLLCDESVESIFGFDDGERETMERNTELDFSSFAGLTLESDELEVIGSLIDREKEQLAGIATGQYLERLHSGGIESYWRTAATEWIGKVQAHHNFGPLCICLAVNYLDRCLAVNMPENQPWAQELLSIACLSLAAKMEETISNAKHSFKTKSIERMEFHVLRSLNWRMNAVTPFSYISYFAEKFNGGNQLTTEYVSRCTELILGTLKETKFLQFRPSELAAAVVLSAVADSQALDFSSALLASEVPVDKENVRRCHEAMQEVELVNNSNSSICPSAPKSPSGVLNTPCFSVSTDGSQAAGSSEASNDRNAPSNQSCNLLSKRTRLDLYREVTIALRPEQVLRLCNEMSELNSSPDVVFNQKHFFETKSIERMEFHVLRSLNWRMNAVTPFSYISYLADKVQWRKPANKRMNT >Et_3A_025823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33105672:33108531:-1 gene:Et_3A_025823 transcript:Et_3A_025823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTMTHSSAFLLPTDSTAAAAGATTAATTYALIVLNQRLPRFAPLLWARSRLRLCADGGANRVFDGMPELLPDEDPAEVRKRYKPDVIKGDMDSIRPEVMEYYSNLGADIVDESHDQDTTDLHKCVSFITRNLPSPDNSDLCILVLGALGGRFDHEMGNINVLYRFSEIKIILLSDDSSIFLLPKTHTHKIHIEPSVEGPHCGLFPIGTPSTSTTSTGLRWNLDNTSMSYGGLISTSNIVEEDESV >Et_7A_053094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6473938:6475829:1 gene:Et_7A_053094 transcript:Et_7A_053094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLDNAAAANSQVHEWSSYYLSLPSEPPDIRNWFASYEYESPEVPELDAYLRGYNSSQTLDPLEHLILPRDYGIAARENCLGGQSENEIIHRKDLGSVEKKPPKRKQSLRALFNFLDKHEETTESESQVALPVQRNALEPLPICNATGLFDAKRSEEGATKCNKVSVDCYGIGSLDTQISSPAEEVEYSKLPVTCVGSSLADNQKCFPEAGIDSIEHDKELAGCAGISSVETQVSSPADQELEYSKLSVACVSSSLADIQNCSPEDSTDSIERGKVPVDCDGISSVDTQVSSPADQEVEYSKPPVHCDNSGLADIQKSIQEDSIDSFEMPINNFVVNLTKAAKGSRDGAEHRMLPFCHNRTILADTRENSAVEEISRCKPALDNKGQGQTVSSDGFIAVKRKHKPPEECNIPKYPRVDRAPLQQNNNVLGGQKVLAQIPTRSPLADRTNFPEAAAAPKVEPCGKWKCPTKGKPHVGPPMKQLRLEQWLRPRPLN >Et_1B_014377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7025011:7027353:1 gene:Et_1B_014377 transcript:Et_1B_014377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGCCLPPCVRRDKRERGEPGGRTGSRVSDEPASSSSVGKSGVAAQRFAWAEIESVTAGFSSRVIGQGGFSTVYLACLSSSRLGAVKVQRSSERLHRAFRQELDVLLSLRHPHVVRLLGYCDEREEGVLVFEYAPNGDLHERLHGKKKKRPALPWARRMAIAFQVAMALEHLHESREPAVIHGDIKASNVLLDANLDAKLCDFGNAHVGFSAAVQPAATTRASARRPVMGSPGYVDPHFLRSGVATKRSDVYSFGVLLLELLTGRNAICADTGCRLTATVCPSLDEGKVADVVDSRLGHEYDVEEAATMAALALRCVSDSPGLRPSMAEVVRELQEKTTALFSAAGSKPDGKACDERGTKMTESWEENSTKMAQEQADLSAAVDTLTNILDETIQKHD >Et_8B_059673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20519984:20528381:1 gene:Et_8B_059673 transcript:Et_8B_059673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGESWTRGGPGDAPAPASPGASTSEGASTSYATAGASPDSLRNTPSNIARLEDAIENCAARRKYLARTKSPSDGEDVRWYFCKLPLGDRVLSSSVPRTEIVGKGDYFRFSMRDSLALEASFLEREEELLAYWWREYAQCSEGPRGSLVKCDDSDSEDLYKVEEERVGVPVKGGLYEVDLMRRHCFPVYWNGENRRVLRGHWFARKGGLDWIPMREDVSEQLELAYNCQVWRRRKFQPSGLFAARVDLQGSTPDLHALFTGEDDTWEAWLVFDTGPKLGSNTIKLRRGFSSSGSAKPSQDELRQQKEEEVDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTANLAERYLTPYQRSTQRVLFIPCQWRKSLKLSGERTVEKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSNQLNQLYMKFLKRNPGYSGKVSLYGHSLGSVLSYDILCHQESLSAPFPTEHLKMEVTSDGQMLKSPNTADVHDFSTEEHNTPTVGSSCADNVNGIANEGNRTDPSCTDGTHESCMLENMPKNDDTPASPIAVDEEQNEVECKAESHQNTHTEEGAVSAVSTKDVDVSISTSAEGVHGEVLDKDKLISSLEEEVKRLKARLVELEQQNDFVAENIGCHEGKDANHIRNMSLGISMEQGSSVQSYTPQIRYTKLNFKVDTFYAVGSPLGVFLSLRNVRIGIGRGKDYWQDKNIIEEMPCCRQMFNIFHPYDPVAYRIEPLVCEDYINKRPVIVPYHRGGKRIHVGMQEFTEDVAARSQAIGRQLKSLKVKAVAALLALSKNDAEEDSQSTKEEGRSYGSMMMERLTGSPDGRIDHVLQEKTFQHPYLSALGSHTNYWRDHDTALFILKHLYRDIPEEPPADETERMPIRLFYVRDPIAEDTPLTFSDNSLVREFSRKVRTYSRKAENDANCEAL >Et_8A_056690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15079732:15080891:1 gene:Et_8A_056690 transcript:Et_8A_056690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKKASLLAVLGLAFLCISVVLAARELTDGDDSAMAARHEQWMAQYGRVYKDAAEKARRLEVFKANVRFIDSFNAGSSNGGRKFWLGVNQFADLTNDEFRATKTNKGFKPSTVKVPTGFRYENVSVDDLPASVDWRTKGAVTPIKDQGQCGCCWAFSAVAAMEGIVKLSTGKLVSLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESSYPYAAADDKCKRGSTSAATIKGYEDVPANDEAALMKAVANQPVSVAVDGGDMTFQFYKGGVMTGSCGTDLDHGIAAVGYGVAGDGTKYWIMKNSWGTTWGENGFLRMEKDISDKKGMCGLAMEPSYPTE >Et_2B_022681.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:30122291:30123391:-1 gene:Et_2B_022681 transcript:Et_2B_022681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSSTPSSAPALTVDEEYDRAFKSKSFLDLWSNAQQRLRHTFSYSLSKSSSSVDRSGASRHELEHSQDDGDGAAEQSCSYTVLDDFVLEPRPEALGSRGGLRRLRIRRKRGRVESLLMDYFEVTQAACEACSELLAALGAARRHHLTLRRLLLRMENAGDSSSARDALAEHVRLDNPLSPASLSGFHDAHARCAPLATRLAAAQRRLRRLARAATVARGTAAAALVVAAVVFAAHAVVGIGAAAVGGGVRRWAGERSYATVDAAARGAYIVGRDLDTVSRMVRRAHDELEHGRDVARIAVRGNGELPLLQEVAREEAECEADLRAQLEELEEHVCLCLITINRTRRMVAQHITRQTTTTTPPQD >Et_9B_066088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2886695:2889172:-1 gene:Et_9B_066088 transcript:Et_9B_066088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLYISLLILFLCLHAQSSSASDDTLMAGQALGAGDKLVSRNGKFALGFFQPSIISKSGKKTTSPNWYLGIWFNKIPVFTTVWVANREKPIPAHDLKLTRLKISGDGNLVIVNLAGSESIIWSTHIVNTTVTSMNTTHAILLDTGNLALMGSPSTNVTFWQSFDYPADVLLPNAKIGFNKVTGLQSQFVSRKNLIDPSPGSYCTDLEANGVLVHKSCNNSADVYWSWGPVSSSGPNKLVPLFNSLIDINPETKGLISLSYFESSEEAYYKYTLLNESYHLFFLQDTFGGQVKLIIWSEAQQSWQTKVSLRSRLGIGSFMIPQEDASEIIRWIALLGEGGFGSVFKGVLSDFTIAVKKLNEAVHGEKQFRAEVSSLGLIQHINLVKLIGFCCEGDKRLLVYEHMLNGSLDAHIFVESSAAALNWSTRYQIIVGVARGLSYLHQSCHKCIIHCDIKPENILLDASFAPKIADFGMAAAVGRDFSRVLTTFRGTVGYLAPEWLSGVAITPKVDVYSFGMVLFEIISGRRNTPEACSGNGYQHVGYFPVQAIRKLHEGDVQSLMDPHLHGDFDFEEAERVCKVACWCIQDDELNRPTMGEVARVLEGVQMVGMPPIPRLLAVMTEHSDAAAV >Et_5B_044690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4736240:4748021:-1 gene:Et_5B_044690 transcript:Et_5B_044690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITEEMRQRIEANRLAALEKKRKRFAEAAAAAAAGTSATWRLAKCPRIAAGDGTTSLPSPATTAAVFMLALPPRCLAPPPPRPTPPQPPVGFQVVLEVCSPDEFSVAVGPAEGVAYPGDVDCLSAVQDCLASASVVQFSATQSQSQSSHLRPVFKLVDYDDVSKCLKRLPGAVVQGIPYSTRNVIQNLHTKAIQNWASDNDIDDLLEKLPPHLRDALLPFQLEGVRFGLKRNGRCLIADEMGLGKTLQAIAIACCFKDKGSILVVCPAVLRYTWAEELERWDPSFMPKDIHLVFGHQDRLEHLDATPRAVIISYNMLTRLRASMAKIPWALMIVDESHNIRCTKKQEKHETTAVLELARHIKHIILLSGTPSLSRPGLLGSDKFDFAKKYCSPHIVRSYQGRTYQDFSKGIRLTELNVLLSQTVMIRRLKEHLLKELPPKRRQIIRLKLNAPDIRAATSSCIKGMGTSSRDGALAVELPDNGCDNEKTKAEEDEICKKSPRHLTPQEIGIAKISGFSEWFSNHFIMKGLVADDNLGPQPSCQKTIIFAHHLKVLDGVQVFFSENGIKFVRIDGSTLQRERKEAVDAFRLDPEVKVAIIGITAGGVGLDFSTAQNVVFVELPKSASELLQAEDRAHRRGQTNAVNIYIFCAKNTLDESHWLKLNQSLFRVSSLMNGKKDAIREIEVDQVCHLEEIRNTDEKIQCEILSLENHNADLEHDSDFIIRTIPLQFEDESLEAPMQNNSTQTVDEYKFCNDVSISPAAAFCTAISSCKSSKIRRRLSGNSGTLDKTAAISDFQIQMESLRFEVSQHTGRIHLYSCVPGHDSRPKPLLENFLPEELDSPLSSYSDIKKTRTQLLKRNPAFCKIFNAFIKEWSSLRPVDKRKLLGKPLQLPLSLELCFLKDSMNHSTEGLIKGGSKRRAAPLNDVSNPLPDNAEWRKVVLHNGTTKEKQYTQGWTMDNEPLCKLCQRLCNGKLAKSPEYFEDLFCGLACFQEYRFRTSGRALRQALFQIEHGRCSQCKLDCCKLVKHLKPLPMEKREGYIRKVAPNIASRKKLLDKLVREPIDGNVWHADHIVPVYKGGGECTLENMRTLCVACHYEVTRAQHKELKELRRKEKEHLKNALNQLKDKASEATEELDDLLLVSVPGSAYSAGDVVPDSDLRVVTE >Et_5B_045691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7656260:7658466:1 gene:Et_5B_045691 transcript:Et_5B_045691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPPIPSLLAALPLLCLLLLAAPPPALCRAAPGNAGNGSTIHKLLTDHGLPGGLLPRGVQSYTMDEASGLLEARLSAPCYATYDNGNPAYFDTVVRGNLSVGALRGVEGLAQEELFVWLPVKGIVVDDHQPGVILFDIGYAHKSLSKSLFEDPPVCKPSAAGGMGAAEAARWKTGKDLFVPCFPPRLVRGSVDASYELASRRFFSGFGERCGELERLNRNGVMELEACGGVFRGWGSGGERKEEAAVAKTSGEEQECVHLRDKKIGQC >Et_3B_027661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11343246:11343938:1 gene:Et_3B_027661 transcript:Et_3B_027661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFHISDSFAISQFLLFFSFMLGALTRMMMRLSLTGAAPGFAPASVLLRKATLVVLLVTVHAGAAELLGENVILFILPELAPVLLWFSIHLDCRDGSVITVEKIRAASAVVLAFVAASMDESVVLSWCTKAMVSWSVSGLLVYYIVFMLCQWPARDSTATLFVEEAIKLFKLWANILLIAPVALLVFAFLAAVCLGLHQQMVAELAKILRGYVTRSSSS >Et_2B_018910.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:16139763:16140119:1 gene:Et_2B_018910 transcript:Et_2B_018910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKILSLLPIFSFTNGLESQLTGCLPCLAFSSLPGSSSRTGARTAATRASSKALWSGSSGHLSSAESDFLLPLFSSVICSFSTVPALSMAVPDLVLFRLPKGSCTGAGAGFCCCRCC >Et_4A_035155.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:10000910:10001377:1 gene:Et_4A_035155 transcript:Et_4A_035155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPVMVDLLGSVDLMRPRRSTVSLNATAPPPSAAPAAAAVLSTEEATRDIQDLGWRECPVGSLLAIRAGRRAPAAAIGASPMPLASLAPSPRSTMERVSPPSLLSASSPLPPALPAPARRKRSGTGKGKTAITTRKRRMVELLTLPSVEMATSD >Et_4B_039240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:977297:987787:-1 gene:Et_4B_039240 transcript:Et_4B_039240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSTRGKLYEYASASVQKTIERYRTYTKDNVSSSTVQQDIEQIKADAEGLAKKLEALEAYKKKLLGEKLEECSIDELHSLEVKLEKSLHIIRGRKTQLLEEQLRKLKEKEMTLRKNNEDLREKCKNQPLLVAPLAVVAAQDEQLEQKGDDIDVETELYIGLPGRDNRSNKAIAAIQANHA >Et_2B_020940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2505796:2508527:-1 gene:Et_2B_020940 transcript:Et_2B_020940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCPHDRLTRARAARFHAAASRCTRRPHVTVATCLARARGRTRPSHARFPQLLHSRKPERARGMEKQRDGDGDGDAGAAEADIERLPADLLAHVLFLLPSFRDLSMAGGVSWRWRRAVERSLASRRRLSFAGQRTGDDTAARLVRAAVNLRDLDISRSCWGCHITDDGLIKIASADCVKNLTSISLWGLAGITDKGVVHLVSRAYSLQHLNIGGTFITDESLYAVANNCTNLKSIILWSCRHVTEAGLVALVNKCRRLECINVGGMRVPPESFVNLLSLSPALQIRSIPQILNAGVQVS >Et_7A_050425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14786456:14787711:-1 gene:Et_7A_050425 transcript:Et_7A_050425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAADGHGHRRPARRHAKLKILLVVIATNLVSVYLFSVQRVVAPPRVRPRRARRRARRRAGGAGLRRLARGAQGRAQAGRRAPPPPARLQRQLRHGRAVPGARLRVPQLPGRAGAVHVLRRRRRVPRRRRRRAAADAQGVRAAPSPALPRAVAGWVPAAPPGEHVVPPAGHHRAVGSVHVQELQLPRQPRLLRPGRQGAAAVARRQRRSGVQHRRRAADAPARDGAARARRRRRDGHVRGADGGAERHRGDHHAGPGRAVQRVRRLEGPRAAAGQPRAAAALRRRRDGHRALHERARELGARRRARGRALRLLPGAQARRNLLARPLLLPGDAAQRHLRAHLRPRRVPQAPVEGRTEA >Et_1A_009412.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:570889:572244:1 gene:Et_1A_009412 transcript:Et_1A_009412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVLDRLTVAASPPAPGSVLPLTFFDVPWLFTGPVERVFFYPYPHPIEHFTARLLPNLTSSLSAALHGFYPLLGRVRPCPDGYEFCSTGGDAAGVELTVAESSDDFEELSGGGARDVGRLYALVPQLPQTEDGSFALAAAQVTVFAGKGLAVGVSIHHVACDDSSYMHFVKTWAGQCRVAAGEDAAAAVPPPPFLDRAVIADPEGRAARTLDEMRQLAANGPPPPPPPGPPPKLVIASFALTRDCIDKLKQRVVATGTESANGGGRVHCSAFTVACAFAWACLARVDGSCAGKKRAHLLFSVECRRRLAPPVPQEYLGNCLRPCFVDVDMGDLLGADGVLTAAAAIGAAIRGLDDGVLDGADGWFHKILSLVPERPMSVGGSPRYGVYDTDFGLGRPSKVELVSIDKTPGTVSLAEGRNAQAGIEIGVVLPEAEMAQFSSCFSDGLKQL >Et_9B_066031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21043532:21044414:1 gene:Et_9B_066031 transcript:Et_9B_066031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCCDVPMAQFIVNLNASMPPAERFIVHMLDPTHMFVQPHIAEFIRSKIAEFRDQNSYEKPQG >Et_8B_059308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16514886:16515837:1 gene:Et_8B_059308 transcript:Et_8B_059308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVDEWDSQPPRARGAKSTAPSSTATDKASPSLPHAAACGGAAVLLLAAAYYVPAAYQVFSSILIWIAASLLLAPFAPSSATGGDVSVGRGRLLPSPEPAQEPTPDPALAPRRSRRQNPGPPPPTKPADPITAPVRLAASPQPLREAAAAAAVSDGSEKEEDAGEWTDQEIELLRRQMVKHPAGEPQRWEKIAAAFGGRRTPESVIRAAKSGSAAAAGGSFEQFLRKRKPVDPRAEAAAADAGSNAGGAEGGDSAWSAGDDRALLNALKEFPKDTAMRWEKVAAAVPGKTKAACMKRVTELKRDFRSTKATS >Et_8A_056502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10987602:10993641:-1 gene:Et_8A_056502 transcript:Et_8A_056502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRRAPREVRAGACHLIFDGDYEEYGFNFPYHPEPQQEEVPDMEVAEMKPEEMSHPPMDQLLGMEYCIDSNPSWGEAVVLGLQHFILCLGTAVMIPTLLVPLMGGNAHDKAKVVQTMLFVTGINTMLQTLFGTRLPTVIGGSYAFIIPVVSIITDPSLAHIADDHTRFKMTMRAIQGALIISSCIQIILGFSQLWGICSRFFSPLGMVPVVALAGLGLFERGFPVIGRCVEIGLPMLVLFVALSQYLKHVQVRHFPLLERFSVLISIALVWVYAHILTVGGAYKHSSMPTQINCRTDRANLITTAPWIGIPFPLQWGPPTFSADHSFGMMAAVMVSLVESTGAFKAAARLASATPPPPYVLSRGIGWQIRRCVMPIIINILARYRENVGLLGSTRIGSRRVIQISAGFMIFFSILGRFGALFASIPFTMFAAIYCVLFGYVGAVGLSFLQFTNMNSMRNLFIIGVSLFLGISIPEYFFRFTAVAQHEPAHTRAGWFNDFINTVFSSPPTVGFIISVVLDNTLEVGYALKDRGMPWWARFRTFRGDSRNEEFYNLPFNLNRFFPPS >Et_9B_065712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9476464:9481687:1 gene:Et_9B_065712 transcript:Et_9B_065712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRGRRPLLLLLVLLSVAGSRIASADTNPQDDTQRTLRVQFWSNFLFVGPGWSDEGGCGTNIMEQKVPASSVLIPYCIVFHQPCPTRLSSCLYNILMISIAKSRLTRCCVPPPAAAALRSLMGKWKNLPSSWGKSNDPCGAKWDGIVCNGNSRITSLNLFGMSIRGTLGDDIGSLTELRVLDLSSNRDLGGPLPAAIGKLVKLEYLVLVGCSFSGPVPSDLGNLSQLKFFALNSNKFTGSIPPALGKLSNVTWLDLADNQLTGPIPNSKDNGTGLDQLLKAEHFHLNRNMLQGLIPESLFNSNMHLKHILFDMNNFTGQIPASIGVIPTLEVLRLNDNGFTGQVPFLSNLTKLHVLMLSNNKLSGPLPNLTGMALLENVDISNNNFVPSEVPTWFSDLKNIMNLKMQSVGLSGNLPQKLFSFPKLQHIELNDNQLNGTLDMGNNISNRPDLVDLRNNKISSVMVYNSFEGQTLRLEGNPLCNGSLLSDTTPCTGQQTEPPTQPLHFDANCANPFIETIVFRAPSFGDVIKYLPDLYRNLSSTLSSCTPNRLGLVPYSNDDAYLNVDIKACPVNQKKFNYSQVLNCFNLTLQTYKPPDMFGPYYVKAHPYPFHDKASRTVLIGVVTASVLLIVGLTLVGFYAVKQKKRAQKLVSVNDPFASWGSMGEDIGEAPKLKSAKFFTLEELKLCTNDFREINAIGAGGYGTVYRGKLHDGQLVAIKRSKEGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEKGERMLVYEFIPNGTLSEALYGMKGIQVDWSRRLKIALDSARGLAYLHDHANPPIIHRDVKSTNILLDEKMTAKVADFGLSLLVSDSEEGQLCTNVKGTLGYLDPEYYMTQQLTAKSDVYSFGVVLLELIVAKPPIHENKYIVREVKMALDMEDRMYCGLKDVMDPVLEKMGSLLGFPRFLKLALQCVEEEAANRPSMNSIVREIEVIMQDNGLTPGSMSTSSSFGIDSKMMKVGPKYPYSSTSTSSMTMDSRAFEYSGVFPSSHGSLKP >Et_2B_020845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24158788:24165258:1 gene:Et_2B_020845 transcript:Et_2B_020845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVYLIVRFQDWRSEQSVSSENIVVPRQNDVSVFSSLKERIARAFALLGNLWCFETSNRPMLDERKSTARILHPQGPFLQKWNKIFVISCICAVSVDPLFLYIPVISDEKPCWYLDRKLEITATVLRSFTDIFYIIHIIFQFRTGFISSSPTTFGRGVLVENRYEITKRYLSTYFLIDVFAVIPLPQVVILAVLPNLKGSEVMKAKNILMLIVICQYVPRLIRIRPIYLQITRSAGVITETAGAGAAFNLVLYMLASHVLGALWYLLSIQRTDSCWRQMCLENNGCDHLTFFYCGVNGQEDQNATLMKACIPADQPNNLPDPRFGIYAPAIKNITQSKSFFAKLFFCVWWGLQNLSSLGQNLKTSTYAWENLFAVFVSVSGLVLFALLIGNVQTYVQSASLRVEETRVKSRDTDQWMSYRLLPENLKERIRRYEQYRWQETRGVDEERLLMNLPKDLRRDIKRHLCLSLLMRVPLFENMDDQLLDAMCDRLKPVLYTEGSCIIREGDPVNEMLFVMRGNLMSMTTNGGRTGFFNSDVLRGGDFCGEELLTWAIDPTSTSSLPSSTRTVKTMSEVEAFALMAEDLKFVATQFRRLHSKQLQHTFRFYSQQWRTWAACSLAQVLQKEDRRFVTREGEEAAICDTIYASRFAGNMIRILRRNATRKARLQERVPARLLQKPAEPNFSSEEQ >Et_2A_016343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23638570:23641577:1 gene:Et_2A_016343 transcript:Et_2A_016343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAHTSLSLPTAPRRARLAAAPLRRRRMPVVRAKVREIFMPALSSTMTEGKIVSWTAAEGDRVAKGDPVVVVESDKADMDVETFHDGIVAAVLVPAGGTAPVGAPIALLAESEEEVALAQARAQALSQGQGPEQPPPNAAAAPPAPVPVAAQTKAVATPYAKKLAKEHRVDIAGVAGTGPHGRVTAADVEAAPGIKAKPQVAPAPPPVAVGPATPTAVSRNMVESMSVPTFRVGYSMITDKLDALYEKVKSKGVTKTVLLVKAAAMALAQHPVVNASCRDGKSFSYNSNVNVAVAVAIEGGLLTPLDIYLLAQKWRVLLKKARTKQLQPAEYSSGTFSLSNLGMFGVDRFDAILPPGQGAIMAVGESRPTVIADKDGFFSIKNEMLVNVTADHRIIYGADLAAFLQTFAKIVEDPESLTFSDDRNPNKADPGQEEHTLTLHGSSHLQATSSLDPC >Et_1A_005877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1551394:1557819:-1 gene:Et_1A_005877 transcript:Et_1A_005877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELTLSYLHDRNMRRATNQSLDPRTQMASSNSHATRNGSQNDSKLELFGFDSLVNILGLKSMTGEQTQAPSTPRDGDNIGITIESPKEMEPKLGTLMGVFIPCLQNILGIIYFLRFTWIVGMGGIGQTLVLVTFCGTCTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAILHDLQIYGVIVTILLCFIVFGGVKIINKVAPAFLVPVLLSIVCIHIGVFIAPRPDASKGVTGLSITTLTDNWSSEYQRTNDAGVPDPNGSVYWDFNTLLGLFFPAVTGIMAGSNRSASLKDTQRSIPVGTLNATISTTLMYLVSVFLFGALATREELLADRLLAATIAWPAPVVIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNCFKAYEGSEPHVATLFTSFICIACVVIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLIGAFLCIVIMFMISWTFSVVSLSLASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPDNVPCHPKLADFANCMKKKGRGMSIFMSIIDGDYHESAEDAKMARRQLSTYIDYRRCEGVAEIIVARSVSDGFRSIVQTMGLGNLKPNVVVMRYPEIWRLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKDSFESCKIQVFCIAEEDNEAEELKADVKKFLYDLRMQAEVIVVTMKSWEARADSSSGAQKDDPQEVYTSAQSRIRMHLSEMKEAAQREGRSMMVDGKQVVVDEQKVDKFLYTMLKLNSTILKYSRMAAVVLVSLPPPPLNHPAYCYMEYMDLLVQNVPRMLIVRGYRRDVVTLFT >Et_1B_010339.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9494846:9494992:-1 gene:Et_1B_010339 transcript:Et_1B_010339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWDGRTGYVRKVRSSDDDYGWWVGERDVDRKASDFINNFHQKKVAV >Et_2B_022306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17412449:17413541:1 gene:Et_2B_022306 transcript:Et_2B_022306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGAGEGKQAAAGSSHYSKTLLKSDSLYQYILESTVYPREPDCLRELRLATATHPKALMAASPDEVQLFGLLVEMLGAKNAIEVGVFTGYSLLATALALPDDGKIVAIDVNRESYDKIGAPIIKKAGVAHKIDFREGLALPVLDQLLAETDSDDYYAALQEGNKGRFDFAFVDADKVNYANYHERLLQLVRVGGLVAYDNTLWGGTVAAALDDARFAAWDPAGTVATQEFNAAIAADRRVHVCQLAIADGVTLCRRVA >Et_2B_019531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:92206:98255:-1 gene:Et_2B_019531 transcript:Et_2B_019531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGSRRRPPPPQRRRAMPPAPFSLSTPASLPPTPLYLRRPVVIAAAAALAALLLFAAAYATWLTDSVPTALLRRQAVTPRFYSFELVREYPHDPDAFTQGLLYGGNDTLFESTGLYHRVLVQHEMDGDMFGEGLTLLGNKLFQVTWLKNDGFIYDRHNFSKRESFTHKMRDGWGLATDGEVLFGSDGTSKLYQLDPKSLEVTKIVTVKYQDNEIPYINELEYIDGQVWANVWQTDCIARVSPEDGLVVSWIFLHELRTLTVFSIQNIDVLNGIAWDEEKQRLFVTGKLWPKLYEIKLRPVDESTMTSTSPPPLYLRRPAIAAALLFLLAVVPCVLADSLAPTGPRRRPSTRFYSFDLVHEYPHDQHAFTEGLLYGGNDTLFESTGLYNQVSFGSGENGWTHVWRRSNSSRQQRESFSHKMSDGWGLATDGKVLFGSDGTSTLYQLDRKSLEVVKMVTVKYHGNEVSYLNELEYVNGEVWANVFPTDCIVRVSPNDGRVVGWIFLHELKYAPNHKQKIADIDVLNGIAWDGKKRRVFGEFSVFAIHCLCSEFLCNRNLIVTGKLWPKLYEIKLRPANGPANGSVEELCPKLWRT >Et_9B_065198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2356613:2360337:1 gene:Et_9B_065198 transcript:Et_9B_065198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQDSVKHLLYLKLSFLAGEPPACVLALARKAGGGSITPHVQHFLLENCTGTNLEGRQNCTYTKTILKRIITEVELSSEVVIDELYEEFAQRMLSKAKDPLLNKADHMYKDISFISSSDDSTSPGLVSMVARLSCSSNMLEGDTGCSLWPSSLFLSEFILSYPKIFSKKCCLELGSGVGLVGICLNHIAASKVILTDGDSSTLTNMKENMELNNLRVDEGEDPKLLDEHTNKVECKYLSWEEVSESDLGGCQPDIVLGADIIYDPICVPHLVRVLSTMLRRDGDQGKTSGRSVDEFITEGPIAYIATVVRNVDTFNCFGKAAADAKLSVVNITSDAAPANFLPYMLSYDRSSVQLLRITSVIEH >Et_3B_028323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13415208:13417459:1 gene:Et_3B_028323 transcript:Et_3B_028323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILLNQLPNPLRKRYFAADLEPSFALNLFPDGYSIGQPGMFPTSSLVSEQGLLTYLIGDDPKKRPYSMASKALFSVSIGVLSPYFHNGSMITADIECGCLPPEHVFYFCTGVISVHCAIPRCGTTAFSLMVATIQEMTFPKVNRLSLRLGTECVVNEMSSIVDSSWTYHDQLIAESIVLNALQPRLSLDPTSCLVMLCHPKKIDLGLGKGRQQTKDKYLDLCADAPENCKPGEFNVCKIETVCIESEAIESMNRGKLNHSPVNSPAMIHISNAIPPAEYDPNNTYTVTNNCALSDSKESASSVASEHLPQGNEQQAQVAALQVDCENGQQKDVTFVSCKIKKSSNHLQERRELKKCSSNKTAILGSQHSRGTQKSTGTLNRGLDLGSPKGIHFTADQTIRDKDTKIKQENPLSVHHSNNSCVEAICEKADSLNVSFKERRGAPMVNLQSSAMEDLEESRSPCRTSFNASSREEASKSHVDEAGTEAKHIVSKGRVSGISTISLNQEVKLKRKRKLKVHDNVISSIESDLDDTIRYSRLTNRPAKYDRKLIA >Et_2A_016072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20773606:20789552:-1 gene:Et_2A_016072 transcript:Et_2A_016072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRSDRVEASPSGAGVRYGSMVIRGLLLANQEPPELQSLVLRLQLKRQGGVKIQLLIMTSLITERFIENRLVIHVCIAKAVAAVRLLRTEKGKAFVDLLNETSDDSGENEMGYVERTLGFSTRYLDDRDIRLVTVIVAGTVRWKRYIDYLIMSLCNEEKLFREMEPLLLQILRIGFFEILKLEVPAYAAVDENVRLAKVALRPGAGNMVNAILRKLVTLKETKSLPLPKIEGDDRAQARAISIIYSHPVWMVRRWFRFLGKDEALRLMNWNNSDPHFSLRVNTSKGYTRDDLVKRLESLQIHYEESIMDEFVRIQEGMQAVLQAGLLKEGMCAVQDESLVVSVVDPQPGETIIDCCAAPGGKTLFMASRLLGQGKIWALDVNKGRLRILKDTAKLHSLDDMIIDIHADLRLYAKNTSAKYDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELTCLQDELLDSASMLVKPGGILIYSTCSIDPEENENRITAFIQRHPEFVPQSVCGYVPTEFVTEEGFYSSSPTKHSMDGAFAARLISDVMDKNAKVALTDRIHSVDAEYSNSTTVMNFA >Et_8B_059566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19332140:19334803:1 gene:Et_8B_059566 transcript:Et_8B_059566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRTKAEKKIAYDKKLCQLLDEYTKVLVAVADNVGSKQLQDIRRGLRGDSVVLMGKNTLIRRCIKVYADKTGNTDFDELSKLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPCDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDGGSVFSPEVLDLTEEDLIEKFATGKLLLAK >Et_1B_012453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32071119:32073355:-1 gene:Et_1B_012453 transcript:Et_1B_012453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAASISSPVPVAWYPTLAVAMVAVGLMFTASFFIYEATSSRRSRSFAKEITTAAIASVFLIIIDDNGNLHHIGATT >Et_3B_029854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28514553:28517398:-1 gene:Et_3B_029854 transcript:Et_3B_029854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVRAASSLRSRLLSSSSAWCPRRLLSSSVGSDAHQTETLAFDEIQLSPEKPPTATAFVLHGLLGSGRNWRSFSRTLASELHNRAPSDEWRMVLVDLRNHGRSAGIKGLSPPHNMSTAAKDLADLVKARGWAWPDVVVGHSMGGKVALDFAESCSCGVYGESAVLPKQLWVLDSVPGEVKTDNSDGEVEQVLQTLASLPSPLPSRKWVVDHMLSLGFSKSLSEWIGSNLKKDNEHVTWAFDLQAAIDMFNSYRERDYWPLLENPPKGLEIAIVQAERSDRWDPDDVQRLKALSRRESKPDAGKMSLQVLPNSGHWVHVDNPKGLLEIMAPNFLSTVQN >Et_3B_031641.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6657448:6658002:-1 gene:Et_3B_031641 transcript:Et_3B_031641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPWRQLVRWWAVDARNRCANAHGRLAGVAALLASPAHVAGEQGWRYWRQHVEFDLRAASFDLAVASAVMAACEIFALHAGGGDPTHPLPSIHDLPDAHHSVRLALGMLQSARVCAVDACDSLGRCCVRLRTAYYLLSRPGLPGLYGLVEEERHAARGFLEAARGLAATGAELAAVAVLLVI >Et_5B_043227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1952643:1953185:-1 gene:Et_5B_043227 transcript:Et_5B_043227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPSMCPIRLCSPMSAVAVPQCMTCCSAGSGISWCRGCLQSPAMKFIGASSARRICSPSMSLTTMSATFATPVLRRQTTSSLNVPLQQASGSVSASLHTTCPRSLICGR >Et_8B_060456.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:16920559:16921533:-1 gene:Et_8B_060456 transcript:Et_8B_060456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAIHPAPGRANDAEDAHSRRASSPPASGAGDSTRTSSISSLDGGASDDGHHSQNPPPPPPGHTLVAPSRRHGGSRPAARLFQKLRTVLPVLTLAPRCGRPPSSGQIAGASSGAADSSNHLAPGVISFSGAARPCRRVTGTLYGHRKGRVALALQESPRCLPSLVVELALQTHALLRELGNPAGARIVLETERRADTAGGEGNGSGGGRRRRSHGPPPLLDEPRWTMFCNGRKTGYAVRREATGDDLTVMETLRAVSMGAGVLPAGKSSSSSSSSPAAADDEVPYMRGSFDHFVGSRDSESLYMVAPQGGGTGPELAVFFVRL >Et_1B_011732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25506492:25509188:1 gene:Et_1B_011732 transcript:Et_1B_011732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAASLPWGGGSPAWVDVPEGSKSAFMELKRRKVHRYVIFKIDDRREEIIVEKTGAPGESYDDFTASLPADDCRYAVYDLDFVSDDNCRKSKIFFISWSPSVSRIRAKTIYAVSRNQFRHELDGVHFEIQATDPDDMDLEVLRGRVMKLRRSSSSISPPWTGSNRQRQKLPPMPTIITVSPCAPNATMHRSPPSPSLNRDAAPYRPSTSACSRPKTWTAPPSPAAARNRASPENARLHSSDVGDAAAASARLTSRSSLPSAASNTLTTSEHPAPAVASSRPSRDSARHATADAGWCASTSTVLLASPTPRCRRSTRTCPSRGPGQASTVLPGPSSCVSAHRPRGFGAVSYRCRSVMSAKLKTETLESVTATT >Et_7B_053827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12570860:12575197:1 gene:Et_7B_053827 transcript:Et_7B_053827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMDVASHGTSGMPNDALYRELWHACAGPLVTVPRQGERVYYFPQGHMEQLEASTHQQLDQYLPMFNLPSKILCRVVNVELRAEADSDEVYAQIMLQPEADQSELTSLDPEPQELEKCTVHSFCKTLTASDTSTHGGFSVLRRHAEDCLPPLDMSQNPPCQELVAKDLHGTEWHFRHIFRAKKQKISVGMRFKMRFEGDEAPERRFSGTIIDVGSLPAMSKSLWADSDWRSLKVQWDEPSSILRPDRISPWEVEPLDASNPQAPQPPLRNKRARPPASPSIVPELPSAFGPWKSPAEPTRTLSFSDPQRARELFPSIPASTFSSSSNIGFNTMNESSMLNNQFYWSVRDSRAESCAASTNKVTVEKKRESGSAGCRLFGIEICSAEEEALPAVTAPGLDYDRTAASVDLSSDKLSHPSDVNNCDGPATSSERSLLESQSRQMRSCTKVIMQGMAVGRAVDLTKLSSYSELYQKLEEMFDIHGELDSALKKWQVIYTDDEDDMMLVGDDPWNEFCGMVKRIYIYTYEEAKQLAPKSKIPVNSDSSKLSTVNSSSE >Et_10A_000443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10458808:10461774:1 gene:Et_10A_000443 transcript:Et_10A_000443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEGASGEPELVSIPATPQGLSTPEGAATPTGTRPGKSGAGTPARRVVEGLRGYLEEVGHLTRLDPRDAWLPVTESRGGNARYAAFHSLNAGLGFQALLLPLAFPALGWSWGIVSLIIAYLWQLYTLWLLVKLHEAVPGRRYNRYVELAQAAFGEKLGLWLALFPTIYLSAGTATALILVGGETMKLFFQIVCGPLCSPSPISTVEWYLVFTSLAVVLSQLPNLNSIAGLSLIGGATAIMYCTMSWVLSVSQPRPPTISYEPVGSTSFGTSLFSTLSALGIIAFAFRGHNLSLEIQATMPSTFKHPAHVPMWRGAKVAYLLIAMCLFPMPQGGMLTALYAFHSHDIPRGILATTFLLVVLNCLSSFQIYSMPVFDSFEAYYTGRTNRPCSAWVRSGFRVVYAFLSLFISVAFPFLSSLAGLLGGLTLPVTFAYPCFMWIHIKKPERFTITWYLNWSLGLLGTAFSLAFSLGGIWSIINSGMKFKFFKPS >Et_7B_053337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10918628:10918999:1 gene:Et_7B_053337 transcript:Et_7B_053337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRVLFGCSSAEEAEIEACLEGLRLATEWVRKLIMLESDCAGLVATLKATEADRSLHSFKRRKQNTIAHELAQLAKRTTHTAVWRGRIPRCIEHLIASDCNPNLE >Et_8A_056057.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:13836640:13837308:-1 gene:Et_8A_056057 transcript:Et_8A_056057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKRTSTGRKKVELKRIDKEEARHVSFSKRRQGLFNKANELSILCGAMVGCVVFSPAGRPYSCGHPSVEAVMSRFLAPNPPNVPASGGMTETARMLLEQYTELQGLVEKEMKREESLKEAIDKEMGSRMMQWLNTNICDLGADELLEFNKELHAIHGVVQGQANQVHPMDIASSSQYQFGEQSAMPMYDGFIDGIAVNDPLLTGIYRDGGPENFPNNQNVG >Et_9B_064154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11935262:11938522:1 gene:Et_9B_064154 transcript:Et_9B_064154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METVGVAPAPMAAPEKKKLLDLKDPLAAPAARASPASAGKWAMKKKLVGGDGGYVLEDVPHLTDYLPELPTYPNPLQDNPAYSVVKQYFVNTDDTVTQKIVVHKTSARGTHFRRAGPRQKVYFQSDEVNAAIVTCGGLCPGLNTVIRELVCGLYDMYGVTSVVGIEGGYKGFYSKNTVPLTPKSVNDIHKRGGTVLGTSRGGHDTAKIVDCLQDRNINQVYIIGGDGTQKGASVIYEEVRRRGLKCSVVGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLEFVERRLKDNGHMVIVVAEGAGQDLIAKSMNFVDTKDASGNKLLLDVGLWLSQKIKDHFKKKPSFPITLKYIDPTYMIRAVRSNASDNVYCTLLAHSALHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSHEDVENMKHDDDEHHQHNTQLLEGESSPVKNTSKCNGSAQPV >Et_2B_022157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9906747:9908329:-1 gene:Et_2B_022157 transcript:Et_2B_022157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGFSVTRTNKSLVAPSSPTPRETLGLSVIDRVAGLRHLVRSLHVFDGAARVGEGEGVAPAKTLRAALGKALVDYYPMAGRFVEGEGGETCVACTGEGAWFVEAVAACTLEEAKHLDHPMLIPKEDLLPEPAPDVPPLDMPVMMQVTEFRCGGFVVGLISVHTIADGLGAGQFINAVADYARGLPRPRVAPTWARDLIPDPPKMPAPPPRMDLLDLRSFTVDLGPDAIAKVKARFFAATQQRCSAFDVCVAATWQSRARALLRGLDDADRPVHVCFFANTRHLLAPAMEEAAEGFYGNCFYPVTVTRPAGEVARADVAEVVRAVRDAKARLPADFARWAAGGFDLDPYQLTFSYDWLFVSDWTRLGFLDADYGWGTPKLVVPFAYIPIMGVAIIGAPPKPKAGSRVMTMCVEERHLQEFKDQMNAFAAGN >Et_6B_049774.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1126032:1126436:-1 gene:Et_6B_049774 transcript:Et_6B_049774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLKELVASIHIVFTLIGAAVCCFGIYDEIIRWRRRRAARRRRRLRQRQRSKMMRSITEVQYGQAVERCRVVKDPCVICRAEYQAGDKCSVLPRCTHLFHKACITAWLRHHTTCPICNAVVAAPAARRGQKRS >Et_1B_011638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24393836:24394356:-1 gene:Et_1B_011638 transcript:Et_1B_011638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAELTEILFF >Et_8A_057035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20452219:20459477:1 gene:Et_8A_057035 transcript:Et_8A_057035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SFMRNNKHARSRVTRYRCTVSISGCPNSKSRTTSSPNIKVTAFRGYAPRLTFESSTKKREHSDSDDEPVDKYNRLLTTDVPEWTGGREVESHESGLPQNASSSSTLGEVDAVEEAEMDIFEGDFPENSLNNISRGQADAVNKAESEEDNFEVYLSRISLELDAVNKADGKKDLFAVDMAGYALSNVTMGEIDAVYEAKAEEEKSEVDLQEVALNSAEARKADAMDEAETKEDLSVEDSPGNALSNVTMGEVDAVDEAKSKEDISVVDSLRNPWSNLIGGEVDAMDEAKAKQDKFELALSRTALGSAALDEVVAIDEAKATEDIFVVGLSGIAPNSDAVEEVEALDEVQTEEDIVEVDLFGFPSINATTEEENLMDEDGSIKEAFGVDLSDNASRYEAVNSADETVAEEDNYQHQYTLLSETSMEDKAIDQSTEILKPGLLSLVRVQGPDRLFFSEKGSVADFYQPVIDIHNQVQKFTAFDEQNQSIMGFPKQDLSIAHLPEKSHYIIGSPKQEQSIVGFHKQDQSIVGYCRQDTSIVGIPNQTKSIVGSSKLNQSIVGYGKHHQSIVRFAEQKQAIVSFHKQDLSIVGTARDVHRKRVAIVGSDDAQHVRGVETNIGDYTSQKSDAGKLNVKFDVVNLLQKNKENIIEEAEKIITSKKIDEGHLLMVEEQRIVTKEGTEIQMRIDEDKRLHFLPEEEMSWAKFEAGIINHKEQYDVDETSMPVEPDIEDSEADVDPQAEQRMLQELAEKNYSLGNKLFVFPEVVKADSTISLFLNRDLTALANEPDVLIKGAFNEWRWKLFTERLHKSELGGSWWSCKLYIPKEAYKLDFVFFNGRTVYENNGNNDFVMQIESSMDEHLFEDFLLEEKKREHSVEAERRRQTDDQRRMEEERVANEAVRAQAKAEVGMKKKKLQDLLKSARTHVDDLWYIEPITTRQGSAVRLYYKKNMRSLAHSIEIWIHGGYNNWIDGLSFVEQLVQHNDKDSEWWYVEVVIPERAYVLDWVFADGPPGNARNFDNNGRQDFHAILPNIKTEEGYWIEEEERIYTRLLQERIEREEAIKRKAERSAKMKAEMKEKTMRMFLVSQKHIVYTEPLEIRAGTTVDVLYNPSNTVLNGKPEVWFRCSFNRWMHPDGVLPPQKMVKAENMSHLKATVNVPADAYVMDFVFSESEEGGIFDNRNGLDYHVPVFGSAAKEPSKHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHNVEVILPKHDCLNLSCVKNLHVCQSFSWGGTEIRVWCGLVEDVSVYFLEPQNGMFGVGCVYGRNDDRRFGFFCHSALEFLLQRGSSPHIIHCHDWSSAPVAWLYKEHYAQSSLANARVVFTIHNLEFGAHYIGKAMKYCDKATTVSNTYSREVSGHGAIAPHLGKFYGILNGIDPDIWDPYNDNFIPVHYTSENVVEGKSAAKKALQQKLGLQQNDVPVVGIITRLTAQKGIHLIKHAIHRILEQNGQVVLLGSAPDHRIQNDFCNLANTLHGVNYGRVRMCLTYDEPLSHLIYAGSDFIFVPSIFEPCGLTQLVAMRYGSIPIVRKTGGLYDTVFDVEHDKDRARARGLEPNGAITAWFDAREWFQSLCKRVMEQDWSWNRPALDYIELYRSAGKF >Et_3B_028420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15038064:15041191:1 gene:Et_3B_028420 transcript:Et_3B_028420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQYATAEEAEAALGRAMTWAEAAWFRYSAAMPDYWLYCHTTVIVFVVYTLAPLPLLLLETFAPAAVLPYKLQPRVLLPPAVSLRCYTETACFFVVAVPLQIAFYPAVDKMMGTRMGLPLPSVSEVAVQLVVYSLVEDYLAYWIHRLLHTKWGYEKIHRVHHEFTAPTGFAMSYSHWAENLVLFVPALVGPSIVPCHITTHWLWFAIRLIEGINTHSGYKFPLGPTKLIPFYGGAEYHDYHHFVGGRSQSNFAPLFTYCDYIYGTDKGYRYHKSRLAK >Et_10A_000269.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20863036:20863683:1 gene:Et_10A_000269 transcript:Et_10A_000269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRGKVVVINLGNTNSCVAGYGPPGDRSTAADVFQFCIPSWVAFTGDGALAGEAAKNHAVANPENSICGYKRLLGMRRNHEDEEEIVQRLIARAPYKIGARNVVMPAVEVKSNDGGEVEQVDITKVASVVVAALKAAAEARLGREVRHAVVTVPQHFGVPATRAAMDACKHAGLKVEDTVPEPVAAAVAYGLDRKLREAKERLSSVSAVELLTPAL >Et_7B_054797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4508486:4512008:1 gene:Et_7B_054797 transcript:Et_7B_054797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACSSKEGGEDGSRPAATPHGKDAVKSLTSQIKDMVLKFSGSNKQQYKGAAPGTPAAFVNRNYRHRYPGFIDDTGFAPASRIHGEEYYTRTSTLLAATGAAGSSRDWQIGGKGPGGGGSSSVEDEIVAVEEPAVSREWTAQVEPGVQITFVTLPGGGNDLKRIRFSREMFNKWEAQRWWGENYDRIVELYNVLTFSGRQQGGSTPASSVDDSVMRDSSFSRGGSTTRNSPVVTLPPPAPMAGKEPLPQITPCKETVGSSSSAAYAATLSSTRPAFYPSAAVPDPSDHVWAHHFNMLNNAEAGSSAMGGCGGGPSSYDPSRATTSSRDEASVSVSNASDMEAAEWIEEDEPGVCLTIRELGDGTRELRRIRFSRERFGEERAKEWWEQNRDRIQAQYL >Et_9B_064996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20293266:20295130:1 gene:Et_9B_064996 transcript:Et_9B_064996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQETLSLVGTMRGHNGEVTAIATPIDNSPFIVSSSRDKSLLVWDLSNPVHSTPEVPSEYGVPFRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLSTGLTTRRFVGHEKDVISVAFSVDNRQIVSASRDKTIKLWNTLGECKYTIGGDLGGSEGHSGWVSCVRFSPNPAQPTIVSGSWDRTVKVWNLTNCKLRNTLQGHGGYVNAVAVSPDGSLCASGGKDGVTLLWDLQEGKRLYSLDATSVIHSLCFSPNRYWLCAATEDSVKIWDLESKHVVQDLKPDIPVSKNQILYCTSLSWSADGSTLYTGYTDGTIRVWKISAYSY >Et_2A_018325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23007551:23009384:1 gene:Et_2A_018325 transcript:Et_2A_018325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNFKHASLRRGGPREPWHDVHRRIEGPAAWDVLTNFEQRWWKQAPGDMRGCLLDLSPAAFPEPAKYDDADDADDPWNVQVFRSIDDASVVGFPYDPAEAAAAGLTSGKDVTVEMMYGVVAKAIDDAGMLGLAHPCDYLNFFCLGNREAPCPGEYRPPKAPEEVTDYWRAQVNRRGPIYVHAKLMIVDDEYVMVGSANLNERSLAGNRDTEIAQGSYQPAHLNGPCGRARGQDDASVFLEPESVECVRAVRRAADRLWDAYTRDRVEDLPGHLLPFPITVSEFGEVGDLPADGCFPDTRAPVRGRKSVKLPAILTT >Et_10B_003079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16315624:16319365:1 gene:Et_10B_003079 transcript:Et_10B_003079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPPPVLAARRPSACILFSPSTSPGTPQSPTARPRRLVAARAMPWPHVLTVAGSDSGAGAGIQADIKACAALGAYCSSVITAVTAQNTVGGVHAVPEEFVEEQLKSVLSDMSVDVVKTGMLPSAGIIRVLCESLRKFPVKALVVDPVMVSTSGDILSGPSTLAIYRDELFSMADIVTPNVKEASKLLGDVPLQTVSDMRNAAESIHKFGPKHVLVKGGDMPDSSDAIDVFFDGKEFIELRGQRIKTRNTHGTGCTLASCIAAELAKGATMLHAVQAAKRYLESALYHSKDLVIGNGPQGPFDHLFRLKPPLYNTGSLHMFNPDDLFLYAVTDSGMNKKWGRSIKDAVKAAIEGGATIVQLREKDSETREFLESAKACVEICRSSGVPLLINDRIDVALACHADGVHVGQSDMPAWEVRELLGPGKIIGVSCKTPAQAEQAWKDGADYIGCGGVFPTTTKANNPTLGFEGLKTVCSVSKLPVVAIGGINATNAGSVMELDLPNLKGVAVVSALFDRECVATETRNLRSILTNACSRS >Et_2A_016750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27875387:27877929:-1 gene:Et_2A_016750 transcript:Et_2A_016750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRAEEGDEENRLRASLRHLQAEAGVLERLAYKHRNQHRGAAYFQYLLKVRRDLKLLLGAGLADVINAVFPVLASRKPANTILVPVKQSKKKPSTNHSHHERLLGVARLLSQMAEPVMKAAISFFIELCTAVLALLARVRTLIQQMLLDIVSVYNKVTNLTDRKQAVKISIGGVQAFREYYPSIDDARTILECVWMKDKFVLHEKLKGSCQVTDDEDQRSCGPESTIQYETLGLVSEEMKNLEEKNSPDKQPETNPVEHPDHMNHCSDAGAPQSGGQLENENNAASSLPDTLSTHAPSVHLDVKPETKKRVAFIAVGNPKVTATSSESKSSEVNKKQRLDMVPRTVVESGDLFRKLLDSESANSSLF >Et_4B_037387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19316471:19323475:1 gene:Et_4B_037387 transcript:Et_4B_037387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPKPPPPPRPKSRGSYNCGRCGQPKKGHHRLRRALSFDEAGTPSSPEKKPKVEAVEMEVDGGAGTGGDGEDDDDGEEAMMEVGGRRVPKEVMAEVLRRLGPRGVMAAAGVSRGWRDCAGRVWRATEELRLRAAGLGLLGALLPRCTALARLSLRMESDFDSTTLACLAFSCPSLKTLEITMAANAVNRITGEDLSRLASEKSTLSVLKIGGCSNLDFLNLSSASLSILWLSDLCSLSKSVMNCPSMSELSLCFAQQSDDCTDLVSLMNGLGRTCPNLKKLHVSSNQLSNEAVFALESANLRGLCMLSLILGSKITDAAVASIVRSCANLELLDLSGSSIGDNGVGMICKAFPHTLSRLLLALCPNVTTRGIQLATAQLPRLQLMDCGMSLCANSKNEKEGPYYGEINGGIRFIRKSSTSQKQSIDQKLIIKHGSLKKLSLWGCSAIDALYINCPELNDLNLNSCTNLHPERLLLQCPNLKNVHASGCQDMLIGAIKNQVLNEFAAAEPSLPCKRLADGSKRVQLPQFLQQQPPEPDNKLIELSRTQCNNHFF >Et_5B_044484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2825724:2830305:-1 gene:Et_5B_044484 transcript:Et_5B_044484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSAMDSCVLVLVLTFLVTAARAAEPWLNETEAYGTTANSGGSNGVFVGLTLIQSAAAKGAVCLDGSLPGYHLHRGFGSGANSWLVNLEGGGWCNDVKSCVFRKGGRRGSSNHMERQLQFTGILSNRPEENPDFYNWNRVKVRYCDGGSFTGEGADASAGLYFRGQHIWQAAMDDLMAQGMRYANQALLSGCSAGGVSTILHCDEFRGLFPSNTRVKCLADAGMFLDTVDIAGRREMRSFFNDIVRLQGSGRSLPRSCTSRMDKTSCFFPQNVVPNIQTPTFILNTAYDVWQLQQSVAPKTADPRGQWRGCRMNHASCNSNQLQFLQGFRNQMLNAVSGFSASRQNGVFINSCFAHCQSERQDTWYASNSPRLGNKRIAEAVGDWFFERGNAKYTDCAYPCDGTCHHLTKSQFAPSSHVKHETSEVGHENPSNLPGLHRECIADAYRKTKPRAAHPLTPSPPSSPTSSRGAAADATAVESLSCAGLYPKNPLPPPPDIEGSGWWRRPGGEWRRGDGAAVVAGGRQAAGASWPDELAWRRRGDGCGEFRWRGEAARRRRPPEEVAGRDGEGAAGGDDAGRWQSGGGGGLVGRSGARREEEDD >Et_9A_060962.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21869626:21872914:1 gene:Et_9A_060962 transcript:Et_9A_060962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGGAALQRHAAVAVLRAAAAAGELSKGKALHARLIVSARFDIVLHNHLIAFYAKCARLGLARKVFDAMPSRNSVSGNLLMSGYTSSGRHRDALALLRVMDFGMNEYILSAAVSATAHVRSYNMGRQCHGYAVKAGLADQHYVSNAMLHMYCLCAHMEDAMKIFEIGSGFNVFAFNSMINGFLDRGKLDGSVKIVTRMVREVEQWDHVSYVAVLGHCASMKDLVLGGQVHAQALKRRLELNVYVGSALVDVYGKCDRANDAHCVFEVLPEKNIVSWTAVMNAYNQTELFEDALQLFLDMEMEGVQPNEFTYAVALNSCAGLASLRNGNALSACSLKTGFWSHLPVGNALMNMYSKSGSIKDAWKVFISMPHHDVISWNLIITGYAHYGLAREAMEAFHCMLSAAEVASYVTFIGVLSACAQLGLVDEGFYYLNTMMKEVGVIPGKEHYTCMVGLLCRAGRLDEAEQLILSNFIGTDVVAWRSLLISCQVHKNYGLGHRVAEQILELKPNDVGTYVLLSNMYAKANRWDGVVKVRKLMRNMGVRKEPGVSWIQVGSEVHVFRAEDKVHPQIDQITEKLEELIDQIKAIGYIPNFDVVLHDIEDEQKEDHLMYHSEKLAVAFGLIHTPKGGTIRIMKNLRICDDCHVAIKLISIVTGRTIIIRDAVRFHCIEGGVCSCDDYW >Et_10A_000353.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:4735949:4736281:-1 gene:Et_10A_000353 transcript:Et_10A_000353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPKRLTRRKDAATTGIEDLNDDLLEIILARIFPHVGLVHAAATCKRWRRVMGGEDFLRRFRSLRAPCLLWHGGRTVFVPSPAPPGTVAMDISERVLRKFLLGSLGPS >Et_1B_010572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11744557:11749943:-1 gene:Et_1B_010572 transcript:Et_1B_010572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMWGLKNLLHTLVPREKLQLTKEDRKQKSHGLSKLLDHYGFSHVEPDADWGLLKIATAVKMMYDKTAANDAQEVNGSYVLTQWILVLHVTNWRCFVFQMFSADGQRKLEKLSLLIDKAREEHEAGTQAQISYGSLISTPIINPPQSAILGMHSIVQRPVVVNGSIIARPMMYVALTYDHRLIDGREAVLFLRRIKDVVMWGLKNLLHTLVPREKLQLTKEDRKQKSYGLSKLLDHYGFPHVEPEMLTVEKAAVLFDCDSAEKNHSVFLRGLDHFGMNTQDWGFLKIATAVKMMYGKTVANDAQEMFLADELTKLESYNEYDGYKFPRNGCLRVYKLMALVHKTRLQTVSELSFLIDKAIEAHEGPRQMNDLLIWYHEGR >Et_6B_048405.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18793300:18793380:1 gene:Et_6B_048405 transcript:Et_6B_048405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLAIGRCWRVSGCRRWSTSRRTAT >Et_4B_037628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21915677:21917835:-1 gene:Et_4B_037628 transcript:Et_4B_037628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQQQSLLGIGCSNILARARVQCLAAASHAPRLPLLLHHVNGGKKAHSPALKNGNAISLSRRRGRDLCVVAEASTAASVTPAKQSGVSISDVLWPSAGAFLAMAILGRLDQMMSFKGVTMTIAPLGAIGCAAFGVLALSLFGPGWLARSVALSACIAFMTITGATHPPAASLPLLFIDGAKFHNLQFWYALFPGAAGCVILCLIQEVVVYLKKNFKF >Et_4A_033841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27275768:27276646:-1 gene:Et_4A_033841 transcript:Et_4A_033841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASWMSLGMMVTRLAWMAQRLVSSKRPTSAATADDWNRRSVLKSCAISRTSRWNGSFRMSSSVLFWYLRISRSATVPGRNRCGFFTPPVAGADLRAALVASCFRGALPPVDLRAVCFVRAIGKVARELERRREDDDGFERWIRMRDEDLGGSGWGTCSGGEGGMAKILDGARARERWVGVICTVGSNRWTVRVTVLRSAWKTIGCPSFGADRMAHQMFSFSFLLLHRFAGRGITGPVRPMAGPAATCRPAHTILLQAGERPKGSDASCRVGQRRTSSVEANG >Et_1A_008643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9421766:9427170:1 gene:Et_1A_008643 transcript:Et_1A_008643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECLSWAHLLPSLWEAEVAFSAAALLLAALFLYLLSDQHAAAHKATTGTSSRNSSTSTRSSAAATWRRGGSCARDNAADDEIVPCSPIAGGHVIKLELLSAKYLIGANLSGASDPYAVISCGDQKRFSSMVPSPKNPLWGEEFNFVVERLPVEVTITIYDWDIACKCKVIGSVTIAVLSEDETGASWYELDSKFGQICLRLRSVKVFPASDSFVDECTGDESPRKMILKKQRQTMIEGIGPLQTIYKPAHDEIVHHSFSCALERSFLHHGRMYISEWHLCFQSCVFSKQLNVIIPLQDIDEIKRSQHSLINPAITIFVHASAGGHGTPCSCSLHGRVKYMFTSFWNRNRTFRALESAIQNYQATFEGEKQVRAQLLLERGGNNVTNSKTSRIKAAGKGIEKAVTFQPFINERVLVDVTSDTFPGTSETFLSAILGDNSTFFQQYRDGRKDTDLKMSKWCASQEYGGRVRKVTFRSLCHSPLCPPDTAVTEWQHASFSKDKRNLIYETKHQAHDVPFGSYFEIHCRWSLRTTSSSTCQVDIKIGVNMKKWCILQSKIKSGATDEYRREVCKILEAACSFFLKLESNSQSSDDIVVASSP >Et_2B_022463.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22807634:22807918:-1 gene:Et_2B_022463 transcript:Et_2B_022463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSMAKENGGVDGQRQQPERKENGGVRCGVFGCGFRMPLHYPRYKKADYEEMPEWRVDCLLREYGLPADGDLDSKRRFAMGAFLWPGQY >Et_5B_044357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23248918:23250264:1 gene:Et_5B_044357 transcript:Et_5B_044357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFISNVAFFCYHFFQADGEQETHFQTIENCIAQSLKTQIIGKSHDEVAICFFNTLSSCSNLN >Et_4A_035431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24510309:24512223:-1 gene:Et_4A_035431 transcript:Et_4A_035431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAIRVPRSQRAKRELLKHAPKLVETGKKALILHGTKTSAVLNSVLSDIYHLKRDNAVKYTKKNDNIRPFESGGETSLEFFSLKTDCSLIVYGSHSRKRPNNLVLGRTYDHHIYDLVEVGVEKYKSMESFVYDKKLAPKLGSKPFFAFIGEHFESSEELKHLKEVLLDLFRGEVVENLNLAGVDRIYVCTAISPTTVYMMHCALRLKRSGTSIPRMELVEVGPSMDLVVRRHRLPAEGLKKDAMKTAEPAKKVKNVMKDPVHGRLGKVYMPDQEVGKLTLTNDIKGLKRERREAKKNKEHIKKRKVNPE >Et_4B_039061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7396685:7399855:-1 gene:Et_4B_039061 transcript:Et_4B_039061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPAQPQPNKRKLAPRKSVKIGRPGYTVTKQYDPDTKQHSFLFEIDYPEIEENCKPRHRFMSSYEQKVESWDKRYQYLLFAAEPYETIAFRVPSIEIDKSTNKFFSYWDPDKKEYILQLYFKPRPPEASKPPPAPGTLPNGTGAPGAPPRPPGQIPPPPPQVPPPPPQAPPPAPMGMPPRMPPPPPPQVANGPPRPMIPPPPNFTPGAPPPRPPMQGFPGQ >Et_1A_008918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1945025:1946857:-1 gene:Et_1A_008918 transcript:Et_1A_008918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAATQHPQKMRWGELDDEDDDGNGGLDYLLPPPVMIGPDDKGIKKVIEYRFDEDGNKVKVTTTKRVLKVARTRVSKGAIERRSWPKFGDAVKEDARSRLTMVSTEVIRIEGPRVPGRKEEDTSDDPLTKANNTLMVCRTCLSRGEHWTHQCPYKDLAAQTETFVETPPTADDSKPSGDARKGAYINPFLREGGAGRSGAADVMRRRNDENSVRVNNLSEDTTEADLRELFDVFGHVTRVYVAVDRQTGSSRGFGFVNFQHRQDAEQAIKRLNGHGYDNLILRVEWANPRPN >Et_1A_008486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8087689:8089563:1 gene:Et_1A_008486 transcript:Et_1A_008486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEAKKAEGEAAPKDVVPAPEPPAGDSKALVVVENVANKPPAEKNPQRNSNDRDIALAKVETEKRNSLIKAWEENEKTRAENKAAKNVSAILSWENTKKAVVEAKRKRKEEELEKKKAEYAEKMKNKIAIIHRQAEEKRAMVMALRGEEVLKAEEMAAKYRATGTSPKKFLGCFGG >Et_4B_036697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10797898:10800385:1 gene:Et_4B_036697 transcript:Et_4B_036697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPPEEGKPWELAAHPRLPKGKVVSVVVLDGWGEAAPDPFNCIHAADTPTLDALKKAAPDRWRLIKAHGTAVGLPTDDDMGNSEVGHNALGAGQIYAQGPSESQAEASLITRTATTRRAKLVDLALASGKIFEGEGFKYIQPSLESGTLHLIGLLSDGGVHSRFDQLQVFTYRQFTPQHTIADIAESVAAADRSRDPLHLLLKGASEHGAKRIRVHVLTDGRDVLDGSSVRFVEMLEEDLAKLREKGVDARIASGGGRMYVTMDRYENDWQVVKRGWDAHVLGEATHKFKSGLEAVKKLREDPKANDQYLPPFVVVDESGKPVGPIQDGDAVVTFNFRADRMVMLAKALEYENFDKFDRVRFPKIRYAGMLQYDGELKLPSHYLVAPPEIERTSGEYLARNGVSIYACSETVKFGHVTFFWNGNRSGYFNPDLEKYEEIPSDIGIPFNVQPKMKALEIAQKARDAILSRKFDQVRVNIANGDMVGHTGDIEATIVGCKATDEAVKIILNAIEQVGGIFVLTADHGNAEDMAKRDKSGKPLRDKDGKVQTLTSHTLNPVPIAIGGPGLAPGVRFRTDLQDAGLANVAATVMNLHGFQAPDHYEPTLIEVVDK >Et_8A_057331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23696945:23700583:-1 gene:Et_8A_057331 transcript:Et_8A_057331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEMVKAATSDKLKEMDWSKNIEICELVARDPGKAKDIIKAIKKCVGSRNKNTQLYAVMLLEMLLNNCGEPIHRQVIDNGILPMLVKIVKKKTELPVREKIFLLLDATQTSLGGAKAKFPQYYEAYYDLVSAGVQFSNQPNVIVTRAEVPVLETRTEPNKESLSTRLNGGQQQEVNTQPAPDTSIIRKASSVMEVLRDVLNSMDPRHPEGATDEFVLDLVEQCTFQKQRIMHLVMTSRLRKGKALSQDYADDSIPSFRSIPEDKMRRPLTIQPPQPDKRLGALNIRSPDSDLRPDPAPLIPPPPSKHAERERFFREKSMDGVTNLPGHLRDLSLHSRDGSSSCSGSTDCGD >Et_5B_044499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2956418:2957953:-1 gene:Et_5B_044499 transcript:Et_5B_044499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALFSASVSPCFLSLSSPKNASLPSRLPLPLRAVLASSELAAVPRARFFAPVAVAVSSEYETEDAEQGEGAEFSEDMKLFVGNLPFSVDSAALAGLFEQAGSVEMVEVVYDRVTGRSRGFGFVTMSSAEEAGAAVEQFNGYTFQGRPLRVNCGPPPPRDDSAPRAPRVGGGGGGSFDSGNKVYVGNLAWGVDNSTLENLFSEQGQVLDARVIYDRESGRSRGFGFVTYGSSDEVNNAISNLDGIDLDGRQIRVTVAESKPRREF >Et_5A_042078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6005471:6012513:1 gene:Et_5A_042078 transcript:Et_5A_042078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSELAGDLSIRFPCVPKLPFLPEWVAEPIFNFHLQILSTGPLVGTYSQRGYAGTPATNAERSNGGEKHRTLNVSAYFTASGPRRTPSMFSGRTVIALEGVYSPEDGRMYLIGCRNVEAPWRVSSSARRDLEDGMDCSIEVAVEYPPTTTRWLVSPAAKVYFASTRDADDPRYFNRTELRSPPINYIDQRDVLTEHVVENLLCIAMLSVAIAASVVQLRHVRSHADVAPFVSLAMLGVQALGYGVTLVTDAKMLPAWPNYHHRISTGYLHWDMDSSIRSLTLAALLLTARLAQKVRRARARARARSPIEPGRVPNEGAVLVYTAGVHLCGLLFVLALHWLSSRGVSLSPEEQAGATRLPPSQMRTAGAVVERYLGVVKEWFLLPQVVGNAVWRVNCKPLAKKYYAGVTAVWLMPHVYGYLRPPMVYMYPEIRNDVMDLCAKAFDVVVPVVGLVLAFAVYVQQRWNYKIVGWTTKTERNKSVLGSASTLTHDAYRATGLKRELSFAMGDWRQDAGGDAPLLPFDGSDVSGNARRRPQLDPLSLATFVVTHVDDERRARTAVNISSVLVLSVARRNRDPDVKPDELVSSPEFKLSPGRTNLKITFEGVYTERGDGERVLCMVGNALLPTRSTGGGVDPWDWIKNSNRSSVLPPVTADDNVLLVLRYPKELTMTTREVLGEMRSTRTESAATYFDPVQLVSQLHNTQYQFRSQALVAEACDSLPPSDVVGNRVGHLYNCGNLCDTLERYSYGRGRVLAALPNRHGCNSTATPGAPCRSLGPFEMDRAADANEPAGVGIIIQDLRCHEHIMSGTVSVSAVFRATPPSEDPYTAVRRSGLGGTTLSAEGVWNASAGKACLAACRGGSNEKCHFRVCFYMLTTFSITDRSILVGRITSLNAPAEDGTAHSLSFQIGLALPQYWGWDSERPAFRYNYTKVNQAGELLRRSETPFSLRKISTNLLALSYPKTGDITSLGHLVDSLDLHFTTVPAIFTPEWVESPVLHLKIIFLGQVSDRHTPRANGFSTPSGVAGEGSASETMELPINVSAELTVFGDPWVASSVMSLEGVYSPQDGRMYLIGCRDVVGDGLPWRNSSTSGEGLEDGMDCSIEVQVEYPPTTTNRFMSSTAKVRIASTRNAGDPLRFSMMKLDAIPIRYPQPRPDGPNRGVANGVLGLIVLLHRRIAHPAETSQDPRRGGAAPYVSLVMLVEQAIGLGLPLTTGVEALLARCTLLQSNIATPTSFPGPSHSLIHNRLYQSIDYWARILSLAAFIITRQLFQEVRRSRARVLVPSSPALEPKRAPGDGEVFAYFAVAHLLLAMLVLGLNGRNMTVEQHVGLTQDLFLLPQVIGNAVWRVNCRPLAGSYYLGVTTARLLPHLYDYVRPPVVVRSVNASRHVFSHAGDAVVPAVVQVQQRWNYAIVNRMDLAEQRKQQHVF >Et_3A_026956.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2859062:2860609:-1 gene:Et_3A_026956 transcript:Et_3A_026956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWRSIGSHGAARRRPDGQRRETDAGGGTPTATRAGSHGSDDHRSCDAFLDLELGARLLSFGSPGPSLSFTAPAFAPRPAGIPPWSMPGGPAGEAPPVHGGGPSPMLGNSGNQAAMLPGIRSVAAVPEPGRDADGRASCLPLAREAGLRAAGENKATNFVVSPLSIHAAIALVAAGARGETQRELLGFLGSDSLTELHRAAATDLVGKLRNLQETSFACGVWVSHTSLLRPEFMDTAVSRYAAVAESADFVQAPEQARQRVNAFVSEATNGLIDDVLPPGSVDSSTAVVLANALYFKGSWALPFDRSRTFLAPFHLPDGATTVRAPFMTTSLFEQRVAVFPGFKALKLPYKNRGPDAAFYMLLLLPDGVNVIKIGDLYDKMISTPGFLRRHTPVDEVPVGRFMVPKFKFTFEFEASKDMKKLGVVRAFEGGDFSRMVAGGNGLFIKGVYHKGTVEVDELGTVAAAATAVCMQQCARAPRPPVDFVADRPFLFAIVEERTGAVMFMGHVGNPLAE >Et_7A_050691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10184684:10186263:1 gene:Et_7A_050691 transcript:Et_7A_050691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IELKTAPADFRFPTTNQTRHCFTRYVEYHRCVGAKGDEAADCEKFAKYYRSLCPGEWVGAFADFYRPSAIFFNCKVEKWNEQRENGTFAGPLLLRLTYDSKVEADVKTRLNQIPGGASSGLAASNVSLGDVGVGLGRERHMYSPD >Et_4A_033471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23095003:23097303:1 gene:Et_4A_033471 transcript:Et_4A_033471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRFVNIVAENYQTGLYSLHRLDVFKHLFHQSRADARVLPFLAEAQDITGVGEQPKLERLQELPSATTKWSRSNVDYFSLLSSRHNESRILCTNKMGAAVLYDSYSNCAAIMPGLSEFKGPVHVSVFVDRADAKEEDLYIMHGGSDGLEFQSKYRFDVLRFGSLHRWYGCQGWYWQSLPLPPFVEEPQNKPALISSHTVVDGGHTICLSSVAEGIGTYYFDTVSCEWKGAGDWVLPFTGRADYIPHLKLWLGFSLHSPGYLCAASGLSDMLMDQQPMSQIVWPDHTPKEWMAARVNLVNLGSGMFAIAKVFKVPDTVGDEFVVLTGVKLTGEDDNNQQGLKLFQHKSIIYTSSILGMSYVAWVYSSSAYYSIHHHRSSCLGYPAADVIDNLGTGFCGRPLFALRWRFSLASKGELASNGSAAGCHAMAVGHLFMRFEVRTSPQFAAVEQQLAMGLVEQQLGSMEDKIESWRERSIAA >Et_3A_023979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15514503:15518544:1 gene:Et_3A_023979 transcript:Et_3A_023979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIDFAPGASTRRTPTRAHTEMILVVEGSSRRRLRHHGQQACCPHHTQGRGLRLPARPHRRRTRQGHQVQVPAQVTVVPASQHNVLRKQRREEC >Et_7A_051164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15015167:15021159:1 gene:Et_7A_051164 transcript:Et_7A_051164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELNLKHDVAENHQQELLEKISKLESDNQERVGKVQSVIDEKSNNALSLQGEIAEHDHQVDTLESQFNQLRGILDEKEQLYSCSLKRGKTLDEQKLQVEASLAATECQLIEAKKQYDLMLEGKQIELSRHLRELSLRNDQAINVMCKIYELEKIEITNAEKRKAEKLIKKMESKCNEKITETKKVSKSYLIHLKEEHGAMVARIQQDNEYKESTLRSYHKEELQQIQSQADNELKERLSLLKKGHELQIKPLQIQHEEECQRMQEELELQKSKNANLSRQKKQYDLMLEAHSYLSHNSQMNDSLQNLAAINDIRKKYELEKIEITNAEKQKAEKLISEMESKCNEKISENKDSESYLMHLKEDHGAMVARIQQDNEHKESALRAYHKEELQRIQSQAENELKERLSLLRKEHELQIKSLRIHHEEECQRMQEELELQKSKEEKQRALLQLQWKVMGENQLGQDVNSKKAKLKRSFEKKVTNKARVKLDSADWPASGRRLKRAIRKAQAWCRAYGHHIDLSSYLGQGVEVCTTPPNGTFHMIFEYRDRELTIYLDGRTLYIKGWRGSKNGMFEIQSKDDEKKYIPDPSCKIIKTAVNYCELCKIGGVKTVRTGPEAIMNFFDVLFNCDGTESGAVADAIAGIAVNVAEAIRNEEVLMLVIDAFTKFEPEYRQLGELEIWVRKHDHYSRKIMEAINCLLSGLPVPPIIDLRDGESIIPLDKLLRINRVLLRTALNAGRFVQKEKHRAPLFSPATEDEYSMDEETDEEDLAEEDAAAAS >Et_5B_044790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5847206:5850705:-1 gene:Et_5B_044790 transcript:Et_5B_044790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDLSVSDEDDDLETLVPQNHTKPHSPTSRSRSPASSFSISALRPALPSSAASLGRALWSRRYLLLFVALPLLFLILFLSLGGASRLPTSIRLPSAGPAADPTASRMREAELHALYLLRSQRSGLLSLFNRTAPTNGSASAPISLSDLQSALESQIKINREIQAALLFAHRTGAGNATEDGLDLDLPVAGCRRKELPANRRTIEWNPKKDRFLFAICLSGQMSNHLICLEKHMFMAALLGRILVVPSQKVDYQYDRVLDINNINDCIGRKVVMSYEEFTEKRKKMSIDQFICYAASPPCFLDEEHIKKLKGLGISLGKIEAAWPEDAKLKEPKKRYVGDITPKFSTDAEVLAIGDMFYADVEDEWVNQPGGPVAHKCKTLIQPSRLIMLTAQRFVQTFLGGNYIALHFRRHGFLKFCNVKKESCFFPIPQAAECILRVVEKANAPVIYLSTDAAESETSLLQSLVVFNDNQVPLVKRPEHHSSEKWDALLYRNHMGGDNQVEAMLDKTICALSNVFVGSSGSTFTEDIFRLRRGWGSASHCDEYLCQGERPSFIAEQD >Et_5B_044563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3484959:3486616:-1 gene:Et_5B_044563 transcript:Et_5B_044563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKAPGAHPDAASAAAPTEHAAYPRLSPEDIAPPPPPVVQPAGTNPYVLSAPSPDPPAKSTRENLREMFGMVGKKFNEAARKTEGIAGDVWQHLKTGPSFTDAAMGRIAQISKVISEGGYDKIFKQTFECLPDEKLKKAYVCYLSTSHGPIMGVLYISTVKIAFGSDSPVTYVTEDNKTASSFYKVVLPLAHLRSVTPTASQQNPSERYIQIVSVDNHEFWFMGFVNYDSAVKNLQEAVRGA >Et_1B_012258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30186782:30189452:-1 gene:Et_1B_012258 transcript:Et_1B_012258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLESIGKYRLGRTVGEGTFAKVKLATDVDVGATVAVKVIDKEMVIKNNLMYQVKREISAMKLLNHPNIVKIHEVIATKTKICLVMEYVSGGQLSDKLSYLKRVDEREAKKYFYQLIDAVDYCHKRGVYHRDIKPENLLLDNQGNLKVSDFGLSVLTKPGQLLSTSCGSPCYIAPEVIQHKSYDGAAADLWSCGVILFELLAGHLPFQDRSLATLYRRISLAQFSFPQWFTALQKKLITRILNPSPIKRAKINEIIDDKWFGEDYNPSMQIDNESHGSSDFEEVRGAEELKAERKQFVNAFQLIATCSYLDLSCLFHEQKTKLSSAHPVQETVEKIRVAAQNVSLSVWRMDSSVVKFQHGILLAKCTSDLTLLAEVIEVTPAHCVVEVSRSTGGLRAYEEKPIKLAKWRAATWQFRRLNQ >Et_4B_039702.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27104102:27104620:-1 gene:Et_4B_039702 transcript:Et_4B_039702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINLVTTSLLPSCRTFRAAASSSARVLRSRLHRPPSPPAARLAGVRCHAAMMPPEFPGPTPSEVPCTNRPPEEVPGTSRPPAEVPSIDTPPEFDAPPGVDVPMPGWPGTPAPGPELPGPAIPSPPTPEVPNVPPNPDVTPPPPPEVDPPRPPPEVEPPVPPGAAPVPPPVV >Et_3A_025765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32732432:32735341:1 gene:Et_3A_025765 transcript:Et_3A_025765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAALRQLVGQYSEVPHGLPWLYTITHENAKNNVAMYDPSLKKWHHPSVPLAPTKIVIPVASVGGLVCLLDLSHRNFYICNPLMQSLKEIPPRSVQAWSRVAVGMVLNGRNSNDGYRVMWLGNDGTYEIYESTKNTWSCPGTFPPSIKLPLALNFRSQPVAVGSTLYFMCAEPDGVLSYDVSTGIWKQFAIPLPPHLTDHTLAEFQGRVMLVGLLCKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHMKMTCLGNSGLLMLSLKAKRMNRLVTYNLLKKEWQKVPDCMLPCSRKKQWIACGTAFDPYPSALA >Et_1A_009100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29071810:29074333:1 gene:Et_1A_009100 transcript:Et_1A_009100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQMFSSGSDDRDDASAAGRRLYNPYEGLSIPYKQLYDLPTSPEFLFQEEAVAQRRSWGENLTFYTGIGYLSGAVGGAALGLRHAAAGAEPGETAKIGANRVLNACGSNGRRIGNKFGVLGLMYAGMESAMIAYRDRDDWINSVAAGLGAGALFRAANGPRSAVVAGALGGICGKQYFGCCELVMPDSSTTQTKRLSGMRKKFMIVLLASSGIY >Et_2B_019217.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17805584:17806360:-1 gene:Et_2B_019217 transcript:Et_2B_019217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLVSVRIGEHRRGEVDGAQEERSLGLGRPQLDSVGGNVATPASCLLPFLRGALSNLLPLRVSSSAPVMPPFLSPTARGRPPRSASPTSATRTPSSSTRSATRSSLSPRWPAPLAPTGASTRTPSPSATSWRSRPSTSDGGSASRWRRKRRRPRPRTTSSTSAVPPPPTPWSSTCGAHPPLQPIAMTRTSNPDKSGAVGPTAHTNAILVDSNAAGRAAVWGGCNPTMRTRGREREEERKRKRKMMTWHLTCGTHYAT >Et_3B_027762.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18181813:18181875:-1 gene:Et_3B_027762 transcript:Et_3B_027762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKATALRPASPNARWPMSG >Et_8A_058060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1751580:1753881:1 gene:Et_8A_058060 transcript:Et_8A_058060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLFERLAGTFCCQAIVDEDQEVTRTAHVKEISEHNIEHGPEFNFEVLKLATYNFKESNILGIGGFGAVYRGRLKDGRLVAVKRLKLEKSTVASQFMNEAKVLRSLKHKNIVNLVGYCVHGSSEKLLVYEYLQKKSLNKVLFTAEGCAELSWPRRHAVVIGVARGLLYLHQDAPVQIIHRDIKLDNILLDARWTPKIADFGLARLFPDSDGSIVKTRPAGTYGYMTPEYNVPGSNPRELSTKADVYSFGIVVLETISGKRCYGFIAPPDAEEDISLCEYAWDLYHKGRSIDLVDPAAKSSVVPDQVELLVRTALFCVQPYPHQRPDMKTVVHMLSAQQKSTLLEKEPPRPEFPYSMFGVGRRRHVDLSTTSTSSSSTHKSSSIPRKRRGGLKPRTAGHVSHEQATHASATSIPSSYMALYESVWADLSPSTEIPPLGMPRTMSSNVGV >Et_1A_006334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20971770:20973284:1 gene:Et_1A_006334 transcript:Et_1A_006334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAEHIATKQVSQRRWSGPAACSASPAPSIGLVVCRSIRLLRGTSQQRRSFAKIYVFVRSPRSTSPKRFEKEDVSVFSSDLFLTPFGVGRRA >Et_9B_063670.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17797154:17797666:1 gene:Et_9B_063670 transcript:Et_9B_063670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEAQAEGAAPPPPRAGEAPAQEQASAVPEKRAPAEREEVGVGEDAKEQRPERKRRRACVAALESVPGAVKVAAAAAAAAATTSREDDSSAGGFDGGGFTFHARSFSGVQMTPKFGSFNPAAAVAAEFVAFLPRRATDAVATEEAEDTADAGGGEEETAEGSDGNSR >Et_4A_034592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4586355:4589822:-1 gene:Et_4A_034592 transcript:Et_4A_034592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTSTTSQLPLLFLHSGAANPTPANLSFPSTLRASSLRSRAAPAPSAETISEDGIPDAPPEGEGTGIPLPSSIGEDGEQLAPKQKIRIKLRSYWVPLIEDSCKKIIEAAKTTNAKTMGPVPLPTKRRIYCVLNSPHVHKDSRFHFEIRTHQRLIDIMYPTAQTIDSLMQLQLPAGVDVE >Et_5B_043573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1220883:1223652:-1 gene:Et_5B_043573 transcript:Et_5B_043573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAHSGRKRRRDDGHEGATSIPRRRRRLLLGPSSSPAVRSFSLRVSLANTLHKSRKRRRVAASSSAGLRRCRSRLLCPSQFSPVRSIGLRVALAAGPRRRRKLRVVNARPPVSTSLPRRRRISPLPRIKHFLGLRPFALRFLLATGASARRRRRKLAVVGIGNYISRLLGKNASDNGLVAHRGRVDGSQDVRDLTVEPELEAENADVLRRGLGYWSAPVFQPSTPPEKSPHDKCRRLPETEFEGRLQELKIAELPGVLAHKPDEDLSELFKPLTDKDEIEVNAVLHGSGHSEKIIVMHEPSNIEVTKEKIQCLRPRGWLNDEVINLYIELLKERAEREPRKFLKCHFFNTFFYKKLTCGIAGYDYQSVRRWTTFKKLGYTLTDCETIFVPVHRDVHWCLAVINMKDRTFQYLDSLGGMDRGVLKVLARYIMDELKDKSNIDLDIKSWVEVSDSIPLQKNGWDCGMFMLKFIDFLSRSLKPCFSQVS >Et_3B_027945.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27815344:27815451:-1 gene:Et_3B_027945 transcript:Et_3B_027945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASCSFSVQVWNVFAYMGAVPHQLPPRNYRRLKR >Et_3A_023222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12019720:12021192:-1 gene:Et_3A_023222 transcript:Et_3A_023222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPASQGRRKVPLEDVSSLLTAIRPTSKKARQPPGSGLTPFALHLAKQLAKGGDGEGKNLVFSPLSIYAALALVAAGARGDTLDEFLTVLGAESRDELAEFVRHAAESALADRSGSGGPRVAYACGVWHDQSSALKPAYRAAAVESYKAETRAVDFKSKPKEAREEINSWVSKATNKLIPTILPEGSVHSRTTLVLGNAIYFKGMWSKPFAQKDTRDKRFYRLDGSYVRAPFMHSVKNQFVEEHDGFKVLKLPYQMRRLRPRFSMCVFLPDARDGLPSLLEEIASSPDFLKDHLLTLSSVRVGEFRLPKFKLSFFRSMEDVLKDMGIEAAFDEKAVIEVNEEGTEAAASTMCTVARKCARIIRRPVDFIADHPFAFFLMEEESGAVVFMGLVLDPTESE >Et_9A_062956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7482363:7489555:-1 gene:Et_9A_062956 transcript:Et_9A_062956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDGGMGDEYRREESVALLVIVSLAAVSLLSLIAAFAYYCYITRKGKESPSSNSASGGAAAATAVVVGGERGVQVFSYRQLHAATGGFGRAHMVGQGSFGAVYRGALTDGRKVAVKLMDRPGKQGEQEFEMEVELLTRLRSPYLLSLIGHCSEGGHRLLVYEFMANGSLQEHLYPNRGSCGGISKLDWDTRMRIALEAAKGLEYLHERVNPPVIHRDFKSSNILLDKDFHARVSDFGLAKLGSDRAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRPPGEGVLVNWALPMLTDREKVVRILDPALEGQYSLKDAVQVAAIAAMCVQPEADYRPLMADVVQSLVPLVKSRSTQKACNPNGHHQLLFNV >Et_2B_021704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4685299:4687195:-1 gene:Et_2B_021704 transcript:Et_2B_021704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSATLSVSLWAFSLLGFPTKCTCAAPSVTYTSTSPRHPLRLSPSAYAAARSRKLSRPATVTSTRSPAIRRHFSGAASGFTCGSSMPYLPPHTSAHVFSRPSRTHRSPGSVPTGSEPQKYGFRSTTPAMAAPGSAGSSAPARTARLCAMLPPELSPARKMRVASPWPASQASGPERQLDAVHWSAAHASSYAAGSGCSGARRGDAVHHLGGHLHPGLL >Et_4B_038319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27994502:27997266:-1 gene:Et_4B_038319 transcript:Et_4B_038319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRGANREASSSRGAPSPFPAAGNFPSRAAVSFPPQHHFPANSYGDDEVEEDAGSMDDESDNEEEAEPEDGTAGSSQQRGTSSSPGMGRAEMNGDNVARHIQEEQQWQQHSHVYSRGTERYGCTSSGGDEPGTVPRELMVENGYGVIGRREGGPASSYWDLLRAHLSDPLTGVLMDDATILSCGHSYGNSGMEHIYKMKSCGKCGQPITEDSIRPNLALRLAVQAFKREEESAKALKRRRERLEQDKCGREDPNPSDLSRGKGVQFPFAVFDRVIIKGNKRTPERFVGRLAVVTAICLNGWYVVKTLDNAESVKLQYRSLAKVADGDGGSAVVSNNAQSASLL >Et_6A_046720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19868396:19872433:-1 gene:Et_6A_046720 transcript:Et_6A_046720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRAAAAPRLRSIPLLLPRPDAAATAAATLRRSLCSRASPAPAPTQAMSTPSASSSTPSPFTTLEGRVRCEREIKRSKFIAIAAPVPNERAAMAFLDEVKDPRATHNCWAYKLGEQFRYNDDGEPSSTAGKPIYSAIISSGIDMVMVVVIRYFGGIKLGTGGLVRAYGGVASECLKDAPTCLVKPKARVGMEVPFDLLGTVYHQHFQAEDIKQDYDTGKDGTVMVMFKVGYEKIEDLGNAAGDKDVLPVAMFKTQPNHELQGLAVLGGGAMNGMGGIWNPGMVGIVVGIVVGMDGIVVGIEGIGGRVPGTAGMVGTVVGIVGIGRDGIVPAAAGGKATFGMGMDGIGGTVNLGTAGMEGTGGTVVGTAGRDGIGGSVLGTAGMEG >Et_9A_063501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:579352:580541:-1 gene:Et_9A_063501 transcript:Et_9A_063501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLVSKGAAPGRSKRGAHEKRPDHKKSRTSLREIEWRYQLLSTFRELGIDETERTDLAVNCYGERSSIQTAAAAGAVLVYVVIHVVFFTLDHHTRMDDETIELVEKRRKRLLLFAILAATITYQAGLTPPGGFRTQDDGLGHHAGDPTLLYNFPRRYKAFFYCNSVSFMLSIALILLLVNPNLYRPAIKSHALSICMAAGLSSLVGAYAAGSTQHLKTSVLIFGLVAAILSQRKYLMLLGILAAGVTYQAGLKPPGVVWQANSLGHVAGNPVMRDNGRTWYLAFFYINSTSFVASVIVIILLLLESADGEVVVDEGDEHNNSARPARPTSRLCCRV >Et_5A_040599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10122332:10129803:-1 gene:Et_5A_040599 transcript:Et_5A_040599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAVSALRPRHHSSSSPAAAAAPCHGRLLRPPRGQHLLVNTRILDDIVRRAGIRPGDAVLEVGPGTGNLTARLRASPAATIAAVEVDPRMAHAVAARAAALGLGHKLTVRSRAAHVFGLPRAPVRSNQMHASRVETENLIDTSRLSCSVITGDAMEVDFPDFDVCAANIPYGISSPLVAKLVLGPYRPFRSATLLLQREFARRLAAAPGDREFNRLAENVRLVADVRLLGVDSALVEVLPKAVRPTEVAPGVDLDEWLAFARLCFERRCRRRNKRKEEKTLGAVFKRDELVVELLRLSSTAEEHDGDHAGVLHNDNNVVDDREDDDAQQSSDGPVGFSKEEVAAFKERIAGAVQSAGLANKRLSMVSNSELLRVLRLLIERGVRFRTSSSSSAAAGQDAASEAWDGRFRLHKPRGQHLLTNPRVLDAIARRAALQPGDAVLEVGPGTGNLTARLLASHASHVSAVEIDTRMVEAVTARAAALGLADKLTVITGDAVEVDFPHFDVCVANIPYGISSPLIAKLLFGPYRFRTATLLLQKEFARRLVATPGDGEYNRLAANVRLVADVRLLMDVSKRDFVPMPRVDSSVVEIRPRTPPPGVHLGEWLAFTRVCFGQKNKTLGAIFKQKRMIMELFSRSRRAKVVREGSAGGVGLAALDDDDSEEDINDKEDGRGAIGFSEEELGSFKERIAGALESAELAGKRPSKLSNDELLQLLRLFNERGVRFQ >Et_4B_039743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28340260:28341019:1 gene:Et_4B_039743 transcript:Et_4B_039743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIKLRSTVEVSEKLGIEPTSLLEWRDELKEAASEGQHVLLGFARQVDTAADAKEHQALSPRPSQYAVAVKRLEYVVADITRAVEMTNRRDLGDLEWPAEWADIFWEARKRPPAILGIDTDTRLGKRRRKGKKICLAVLWTTWLAG >Et_3A_026946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27620930:27622636:1 gene:Et_3A_026946 transcript:Et_3A_026946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSSAARRCNDGTRLLRGACACCCSSALCGGRRGKEEASTSAPDHKKKRWRKRKFWRNKKKKARKDGGNGETRRASEGDLTDLVNNISAKSDVCKNVCAAEEILRSSNQNMPSRALTFRELGAATNGFSENNLLGEGGFGRVYKGVLEDTKEVVAVKQLDRNGFQGNREFLVEVLVLSLLHHPNLVKLLGYCTDSDQRILVYEFMPKGSLEDHLLDVPPNWKPLPWHTRMQIAVGAAKGIEYLHEVANPPVIYRDLKASNILLDGDFNAKLSDFGLAKLGPIGDQSHVSTRVMGTYGYCAPEYAMTGKLTKMSDIYSFGVVLLELITGRRAIDTAMPSEEEVLVHWAAPMLRDKKRFVRLADPLLGRKFPPKGLYQALAVASMCLQEDASSRPVISDVVAALTFLADPKYYPPEGTEAEKGTEESKTKDKNVDTDSSSPSPPRTDMVSDVRSDDEIKQRWP >Et_4B_036810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11730979:11739180:1 gene:Et_4B_036810 transcript:Et_4B_036810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPPPNPSSGDPSDATTLATAALAAPARIWKSLVARLPALPDTGLLAAVSDLHRRHFSVRRRRRRRRQRPSLPLPLRHAAAHSARIAGEMPKAFVILDDVVQHTLSNLHNIHKSLLFWQSKAEGTTSQKLYFMIFERGPRAFVDVTCQTLTRFGSNGHPVQYILHSASDMVSTNLAALTSMQHCLAAFLAEVHSEVDKCREGLTESSDKSLHTLFIVLNTTFSKLEISLRNADENELFTHDGNSYETLFGKLPEVDVESSQWTEELSTDGISLIYQNLQKLDDFLLTRLSSRKKPNNLTIYWLPYTCGALGLSVCSLWLLRHSSLMGSSDIDSWIRDAKESMAGFWDEHVEKPILSIRDELFETFKQRDKGIMERRELQLTEDSLNRMLLAFCEQISDGKLPEGVSSDQMMEMLAKRYEKELMHPIKNLFSGELARAMLIQIQKLKLDLESGLLEMDQILRANAINFAVLAALPAFGLSLLLLVFVQTWVRRDHGAEGRGNIARCQRRLLLVDVERRLMEFQHYRDNGMEEEALCKFGLVLYSLDRLCKAVESHAKETGEWLSLREDIFDLAKLDMGMPDKMIVVSRLKWMYNCLLPYSSSRLPRL >Et_9B_065386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4525855:4529404:1 gene:Et_9B_065386 transcript:Et_9B_065386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSGGARLQCAEAAPTADWSCCFLGLPPAAPSGAGGDGGGFDLSWTLHQAFHPPAGLFASVGQQVGVGFPGSSSSAPSPEAPRDQYMKYVSPEVGHRVVETPLPGEGVELREKGKKKVVKLKIKVGNHHLKRLISGAIAGAVSRTAVAPLETIRTHLMVGSNGNSTKEVFQSIMEHEGWTGLFRGNFVNVIRVAPSKAIELFAFDTANKFLTPKSGEEKKIPIPPSLVAGAFAGVSSTICTYPLELIKTRLTIQRGVYNNFLDALVKIVRDEGPTELYRGLTPSLIGVVPYAATNYFAYDTLKKVYKKMFKTNEIGNIPTLLIGSAAGAISSTATFPLEVARKQMQVGAVGGRKVYKNMLHALLSILEDEGVGGLYRGLGPSCLKLVPAAGISFMCYEACKKILIEEEDQ >Et_3A_025043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26379386:26381318:-1 gene:Et_3A_025043 transcript:Et_3A_025043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAEAGGEAGTQHQAVAEASTSSAAVPFDRSSSRLGVPGAESFDGALRELKDLRFQLNEAADCCEKAFLNTEKKKLIMESTKSYICDAVVAVIDHLGTVSSKLEHKMEEKTEITQMEQKLNFLKQRLLTCDQYAVSLKLLTVRADPEAIQFHRRYVSESVQRTKEEIGTSSSKEELPEIANPTVSGATLKPYDDQSATGKEQTMTTANVDESPRELRRSFSLKEEDVHFVLGDQKKKAGRASNILSFLRKTRRHALGSKALQDCMEQS >Et_3A_024209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18435872:18444396:1 gene:Et_3A_024209 transcript:Et_3A_024209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPQASSQDATSPAVSEQRVVVANKHGENLVGVLHHTGSNKVVVLCHGFTGTKDDGVVIDLTAALTKQGISVFRFDFSGNGESDGEFQYGNYRKEADDLHSVISYLSLEKYDVTAIVGHSKGGDVVVLYASIYNDVPMVVNISGRFDLKKGVEERLGKEFMDKINKEGFIDATNRSGKFLYRVTKESLMERLNTDMRAASLSISKECRFFTIHGSADEIIPVEDAYEFAKLIPNHKLRVIEGANHCYTAHRKEISDAVVECITSSEGIPVPSVEHTLATASGFLNHCHSRCDTVSIASGTSSERVTIANKHGEMLVASLHNGGSNKIAILCHGFIDSKVGKCYELSFERAEVRLMEYFVHIQTNGVILDVADSLAEKGIGVLLVDVSGSNKGQIEHDNCRKEVDDLHSFVSYLHLQKYDITAIVGHSKGGNVAVLYASVYDDVHTFVSISGLFDLFLMIHGSADELHPVEDAYNFVIPPNHRLHVIKGANHDYTENRKEVADTVTDFIKSTEGGSIELESTGATIIRGFIWYYPCSSLLGSPI >Et_3B_028083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1058659:1062877:1 gene:Et_3B_028083 transcript:Et_3B_028083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGAAEPRRIVLLVDLDPLLPSPSTSAPSASSYLTAVLPAATSLLAASPSPGCLSAARHFFSSLSPILSSSLLPKPLPAAPTPLSFDLHQATLAGLAPLRRLSLRASPHPRVPSSSSIAKSLLQIEHDYPWDPEPPHARRCAFDPPPNLVVLFTSAAQFQEFGDDASFVRRFRGVFGPVRDRLSAAGLQVCWAAVASASEKIRRAVTELGWRFTTADAVGLGSAVAPPGLVWGGVGLGRREGGRRGEVILEIADVEGKPLVCKGCKVEVVGSKLWEASSDGRCRIHVKAVCDVGNWERLIGSDGDVAMVHGCPLEGTKGDGEETVDKDFLPHQLLELVLGDAKDRVGGAKPIWQLILVFLHRRNYCAVVSVSDGDGNSVDGLLMPFSVNCALLHVEKNGVGGQVVAKGSETLDSCVSNASKEQSARKKRSKLVSRLFEATAWSTFCDVLLKHADGSMPVVDLEDLYFSRYGATSKKLRFLKCWMKQVKLLCVTTPSSTHTEEDKCPPSKDEVEARMHVSEEDASASHVNFSVDETDCSKAETPMDEADCNKMDGLVEAAHCNNVDKTVDDETSMFSSMEDLEELLGSVPHKIEQGLCSEDADLGNLAERLVGLSVHALLIKHGKITVSYFDHGEEEDTSGGKIASKLSDILLKKPKELVSRYKQSDSASAASEQTTQYSTRYKIREYPFQNLNCFPLALAVILMIYICALFLVRLTFVVLCSLTRILLRLEIIKSELGPDIEEGSKQKMIKEICSLLQFIDINLQGDSFQSNSILDFAEKTIKSRYINSMEDVIKKIYTQMEFDLFDDDEVDCSDSLPSSSNHDDAKVDRRRSHRSSSTSASALQLLQRDARGSHDRHEEELVRAQQRRNRDRRLSSFTSWVPDLRRVWALKHPGKESSARAPRSRSSSKRRKRRAACSDVVFETPMTAKRQESESPGSDGGDGMKTALATVSKNLFDDDEEIETDMSSSSV >Et_3B_031488.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:31661444:31661989:1 gene:Et_3B_031488 transcript:Et_3B_031488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISIQSFAKKLSIPSPKRTWSSKKDGKRSLSRSEAPSFASASSSSSDDTLARFATPRSVLHAEISRRELEAVLRRLGHGEPSDDELEAVAAIAAEPPAPGAEDDLMEAFRVFDADGDGRITAEELRAVMESILGDGEACSLDDCRRMISGVDADGDGFVGFQDFARMMMNAATADARSLL >Et_7B_055222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8407378:8409176:1 gene:Et_7B_055222 transcript:Et_7B_055222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVTAAISAAAAAVVTPAGRRRVRSPATVRCSSSASSERQALFSRIAPVYDHLNDVLSLGQHRTWKRICVSWSRAKTGDRVLDLCCGSGDLAFLLSQKVGVDGEVMAVDFSRQQLYTAAERQEQRWKLCYKNIKWIEGDALDLPFTDCYFDAVTVGYGLRNVVDKPKAMREIFRVLKPGSRASILDFNKSSSLFTTSVQSWMIDNVVVPLASGYGLTEEYKYLKSSISQYLTGEELEKLSREAGFSAAKHYELGGGLMGNLVATR >Et_4A_033571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24520500:24522753:1 gene:Et_4A_033571 transcript:Et_4A_033571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLSLSLPLPCAPAASLQVVASSLSFGGVRGLALTAAPAAPSGRRDSSRWRAGVSSFSFLPPFLTGKGEKDAKKAERLKEELLAAIAPLERGAEATPEDKEGVEQIVRQLEAVNQVKEPLKSDLLNGKWELLYTTSTSILQPQRPKFLRPFGKIYQAINADTLRAQNMETWPYFNQVTANLVPLSSKRVAVKFDYFKIFSLIPIKAPGSGKGELEITYLDEELRISRGDKGNLFVLKMVDPTYRVPLSAE >Et_7B_053540.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8750698:8750784:1 gene:Et_7B_053540 transcript:Et_7B_053540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAELTGVLQALLLAGDAGMDGVVVEES >Et_6A_046403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14411655:14414570:-1 gene:Et_6A_046403 transcript:Et_6A_046403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRTPARPTPMDDQRTQALPARSERMVPLLSPMAHQQPHGHATTGVDAYGNPVAPVHGVTHAPAVAGGAPVAGAGGQVQPIAEQRPRGILHRSSSSSSSSVSLSSLHMHMFSQIHLNIFKCCIE >Et_5B_044749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5301512:5303287:-1 gene:Et_5B_044749 transcript:Et_5B_044749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEQRDQQGGEEAFEEVDPTGRFGRYADVLGLGSVKKVYRGFDQEEGIEVAWNRVRLRALADRDPGMVDRLHAEVRLLRSLHHRHIIGFHKVWLDRDAGVLNFITEVCTSGSLREYRQRHRHVSVKALKKWARQILEGLNHLHTHDPCIIHRDLNCSNVFINGNNGQVKIGDLGLAAIVDKTHVAHTILGTPEFMAPELYTETYTESVDIYSYGMCVLEMVTREVPYAECGSVVQIFHNVTRGVPPAALKRLKDPELRAFIERCIGQPRNRPTAAELLQDPFFSGIGEDDDDALTDAAAVVTAGPPVPRPRSYVDDLAGLRLD >Et_9A_062536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23927232:23930162:1 gene:Et_9A_062536 transcript:Et_9A_062536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRELRDGAGGLEEAEEDDRQETAGDGGEVVAVVRLRAKRALVGAGARVLFYPTLLYNVLRNRFEAEFRWWDRVDQHVLLGAVPFPSDVPRLKRLGVKGVVTLNEPYETLVPTSLYQAHGINHLEIPTRDYLFAPSLEDICQAVDFIHRNEMQGGSTYVHCKAGRGRSTTVVLCFLIKYRNMTPEAALDHARSVRPRAVKMFSTIANRCLSIPSSNRTCSVQSGEESSELSSAMITRCLSLSTQSSNEYSSVTSDEESSEASIEDPEGDGYASEFDTDLFVLPRCRSVFNKPTSPNGCCDAVFVTEADLEGYDTYADIGNDAISVDIVVRHKPIMRKLSCFLGSLKLTGNCEPPPSRLTEVRC >Et_1B_013674.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11898231:11898440:1 gene:Et_1B_013674 transcript:Et_1B_013674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDWGPVVVAAALFIVLSPGLLFQIPARTRLVELGNMCTSGISILVHAALFFAVLTILDIVFPVHVRSG >Et_1B_010824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14464084:14464986:-1 gene:Et_1B_010824 transcript:Et_1B_010824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRTIGSCGHNILGNKRGYDFQEWPHGPKSHYPNPESLSNEVLYGNELPCLNPPPLLCQCGMRAREGVVPSQLGYGYFCGNTVGEDDEWVSDTLKYLSISFNMGSCLDTRRCDWEMFEGKEKFLTEAKKRGQEYFRTALVKRRKIRYKYLTMPPTFIYNTICSELKVKRENPLWEGAESEVVIEHWRRNRDRYPPKSCWELLDPPYGLQFESAKDCMAWSMARMKQLCDPVYIEEKRRKEEEEGKKLAQEAYEARIRDL >Et_6A_047285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3270810:3276789:-1 gene:Et_6A_047285 transcript:Et_6A_047285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWNIIDEPNCLATSRKQANYACKSKNANCLDYGMSSIDDTDLYHGYLCQCDMGYTGNPYILDGCINDRDYNPNQTRANCIRQCGEVGVQFPFGLEKGCFARAQFRLVCTNTTSSAALKLGEFQVADMNVNEGLIKYTNFDQQVGGGALFAITGGRILFVGAYFSSMQFAAANLSCIEAQQNKSGYACVSINSRCVEVNSLGSYVGYRCTCSDGFEGNPYIHSGCRDINECLQQNICNGSVCYNRIGGFNCTECPRKTRYDPAENQCIRTREQVLLVGVIIGLSGGFSILLLSFVAAVLLRRWKRNIQKQLRRNYFLKNQGLLLEQLSSEDTNIFSLEELEKATNNFDSTRIIGHGGHGMVYKGILSDQRVVAIKKSKVINYSEIKQFINEVAILSQINHRNIVKLLGCCLESEVPLLVYDYISSGSLSQVLHAESRNDFSLLWDDYTRIAMETAGALSYLHSAASMSIFHRDVKSSNILLDGNYTAKVSDFGASRLVPIDQTHIVTNIQGTFGYLDPEYFQTRQLNEKSDVYSFGVVLLELLFRKKPIFTSRSGLEQNLASYFLQALKEKEITDIVDSQVIEEATKEEISSVASLADMCLRLRREERPTMKQVEMELQILRKKRVRSCQSGPENEQQRETTLLTRRGKANCQPSATEVGERVQLEHSQRCYSLEEEFGLSRVRLGRQCRPLNVSHVCSREFDDVILYEMEGFDKLTTLCRGTVAGISSEPRSHRTEPPMLCLTGGHRRLKEASLAQCLAQIQQLRRSLACGQCQLRRKVARWLWLPEEGNGWEAARPAR >Et_2B_020905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24691995:24697147:-1 gene:Et_2B_020905 transcript:Et_2B_020905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYYDPPHLHSSSSYPPPPPPPGTSTYGTYRHAYLPPPAAPAAYSAYYDRAEQALPPRDELRTLFIAGLPGDAKAREVYNLFRDFPGYVSSHLRSGKSSQAYAFAVFVDQPSALAALSATNGMIFDLEKNCTLHVDLAKSNSRSKRLRSDEASPYPSEKKARNPRGFSDSGAGSYIHMSGMGNSSHSLIGYPSAQSYSNLESGTSFTKDPSTFVPQNNPPCPTLFVANLGSTCAEQELIDVFSSCAGFVKLKMKNKLGAPVAFVDFKDVNSSTEALNRLQGVILYSSPGEGILSNGILHCSCTGTKLSGLRVPQ >Et_4A_035628.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31513393:31515951:-1 gene:Et_4A_035628 transcript:Et_4A_035628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLREGLGDLVVLCFFLLLPLLSHGADMPLGSTLTPGNSASWSSPNSTFSLSFTASPTSPSLFVAAITYNGGVPVWSAGSGAAVDSRGSLRLTSTGDLQLVNGSGAVLWSSNTGGQGVSAAAVQESGSLVLKNSTGGTLWQSFDHPTDTVVMSQNFTSGMNLTSGNYVFTVDRNTGNLTLKWTQSGTTVTYFNKGYNSTFTANKTLSSPTLTMQTNGIVSLTDGSLSSPVVVAYSSNYGESGDMMRFVRLDSDGNFRAYSATRGSNAATEQWSAVADQCQVFGYCGNMGVCGYNGTAPVCGCPSQNFQLKDANDPRSGCRRKVELQNCPGNSTMLQLDNTQFLTYPPEITTEQFFVGITACRLNCLSGASCVASTALSDGSGLCFLKVSNFVSAYQSAALPSTSFVKVCFPAQPNPSPGASTGSGSGGGSGLRGWVVALVVVAAVAGLVLCELALWWWFCRHSPKYGPVSAQYALLEYASGAPVQFSFRDLQRSTKGFKEKLGAGGFGTVYRGVLANRTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDAFLFGDAPPGGKLPWPARFAVAVGTARGITYLHEECRDCIVHCDIKPENILLDDQFNAKVSDFGLAKLVNPKDHRHRTLTSVRGTRGYLAPEWLANLPITAKSDVYSYGMVLLEIVSGHRNFDISEETGRKKFSVWAYEEYEKGNVAGIMDKKLPGEDIDMAQVHRALKVSFWCIQEQPAQRPSMGKVVQMLEGIMELERPPPPKSSDSFMSTTTGTGSSGISTSMVSTFASSVPVVPTPSPNLEQEIALDRSASVNRERVSRSLRSTQPYMTM >Et_1B_011605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2483423:2486921:-1 gene:Et_1B_011605 transcript:Et_1B_011605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKRAAIDLSLEVVEQREDDRTTGGNGDGRSKDGEKDKQEGQLKKQGEAPKEETNDEKVVEVGVDQGESSKEEIKYGTQPGEEMEVDKKSAEEGDGDGESDGSQTRAEDKHVVEAAGNSDDGGDNHNTMEQEEVSAMQEEMEKMKEENQMLRRVVDRTVRDYYELQMKLAAYQQQPADEPKEPEVFLSLGASAAAAAATGGFPEPKRKEPVTRRPSLSSDDTDDGKEDLGLSLSLGSYEEEKLEAAHDHDGGGRAKGYALLESSKLGPPAAGDLASAGITSQSVNPANRKTRVSVRVRCQGPTMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILVTTYEGTHNHPLPVGATAMASTTSAAATFMLLSSTSSTSSISDAGVATAAPPYLSPYLLNSTSQHSSASPFLSTPSSMPGASGSSGMQHLNLFGHSSMLGAQQAPHLNKYPWSSPSTQGTGGGLTGGKRPFWSTSGGDEKAATLPDNVGAVVSDPSKFSVAIAAAINSFMGKDGQVVGGKDGESSSKSSNKWGVVESLPPPNNFGDQGIPNCKW >Et_3A_023582.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7212013:7212747:-1 gene:Et_3A_023582 transcript:Et_3A_023582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CGRRDWANLPSDLTEQIASRLLRNDVIEYLRLRAACKAWRQCTVDPRSLDSLFRPRRWIMLSNCDGVRRRFLNLATDACAQVDLPELSGHHVESSTEGLLVLRDKGSDAIRLLNPLTRSLIDLPPITAALKSVSPGWREDWKASYPSRIVYAGISDETSPPSVALFLRGHLWNIAYAKPGDEFWSLVDDNGWRAFPNQRVISDSEVVKCVWYLSAMTLRGRIYFATFQGNILKLKLHPRPRLCP >Et_9A_063388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22493906:22495314:-1 gene:Et_9A_063388 transcript:Et_9A_063388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLFVVKFPDLVEKAWGWQRLIPFVPGGVDWCSYKSYLVEYSRQNDGEVAALCANKKPDKDKSYFVRAARRAAKRRGLDGHAIASDYSALCADSAARLCLAKETDLLLELVDVSRFYVRDMIDLSKKVRRSALNLVLRVGPESSAAIGAMVGMAKEAKFVRYLLSREDHYMERELDLCGRIRECTEKVFTKLLEEFAFENPSNGDGNDTPGNTKAEKNICYSLNKKKI >Et_6A_048140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7545986:7549840:1 gene:Et_6A_048140 transcript:Et_6A_048140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEGDAGGEPGAQGSELATLHIRCTNGFNFVVRADLSTTVAAFKAIVVESSDVPAPPQRLIYKGRILKDEQTLASYGVETDHTIHMVLGAPPARPLSVLIPPAGINDTDTTPPTPLPLSDGLGGSDGVGLDPFGSSLQELDQMQQQLAENPNLLREIMNTPLMQNTIEAARNPELMRETRQNTDRAMSNIESSPERYNMLRRMYETVQETLLNDTTIGGEGYKNQDPFAAFIGNQGSHQTSEPAANAPTAASDSTSGSSYPNTNPIPNPWSPNGRKRIQGGAGAVPPEELYSAQLAQLQEMGFFDTQENLQALIATAGNIHAAVERLLGNLGQ >Et_1A_005413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10156848:10158396:1 gene:Et_1A_005413 transcript:Et_1A_005413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IWDVTRGKRIRTMEGHSMRVGALAWNSSLLSSGSRDKSILHHDIRAQEDYVSKLTAHKYEVCGLKWSYDNRQLASGGNDKRLFVWNPHSVQPVQKYTWSTEQLLKPLLGHHINMGFSHLVEEQKMDAYDSGIQPQVCNLVWSKNVNELVSTHGDTQDQVATLTGHTNRVLYLAVSPDGQNIATCGGDETLRVWNVFPPSKSHGSGSLSCVGQTSFVRSYIR >Et_10A_000540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12320528:12324787:1 gene:Et_10A_000540 transcript:Et_10A_000540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRKDPTGDAKNRAMALWNGLGQLATVAQLTGVDAGGLISMILEAVQTAKRNGEECRHLARRAMMIGDLLQKLQGWDMMQDPEIRRPLDGLDDALREAYVLIVSCQDCTVMYRFFMGWKQAEQFREVQRKIDTYIQLYPFISHIDITRRLDKICNNSNPSSSQVQVKGETLEPCVISHSNRDTRTAGDGHTETRSVQEDVERYLSEEHQRPGVSPYRLSVLKAATNNFSPQNLIGCGGFGTVYKGKLHGGPVAAIKRCPTFREVSEQKDLSREFEAEIRILPKLRHANIVRLLGYCTERGERILVYEYISNGSLVKFIFGARTSLDWSLRFRIIMGIAQGIVYLHEYCGISILHGDLKPANVLLDYRMNPKIIDFGVARILGSSSTMNEYGIRGTYGYIDPEYIIMGRCSCKSDVYSFGVTLLEIITGRRCMRISSFCEGPLQGGLDYTWKMWTAGRSLELIDPSLRDEPQIAEILRCIQIALLCIEPRQEDRPTMADVIRMLSSDSVTLPFPQRRGYEDAQVGSTSYSKEQTQSGALDSTAQQA >Et_2A_018808.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:839937:840446:-1 gene:Et_2A_018808 transcript:Et_2A_018808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSRKAEVIAPETIDEPEQTKEEVVEKIVEEEKPSAPTEENTAVEVVEETSEVKKDAEEEEKEKPTQS >Et_2B_021991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7791382:7794153:-1 gene:Et_2B_021991 transcript:Et_2B_021991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPVGFLGKLWSFVSFLPFFLLLLLLGSIKAVLIGPVAASVIFFGNSAVIIGLWPAHFVWTYYCVLKTERIGLVLKTLIAILLPLPLLLLPVFAIAGSLLGGAGYGLFVPLMATFEAVGEGIADKLTHCFLDGTVSTVAGACTVVRDVIDFCFHSYFSFMDDLIEKMGDDEAPVDIKLSYLPRSVLVAFIAVPVDVLMISGVALWKSPCMLLKGWQRLCEDLVGREGPFLETVCVPFAGLAIVLWPLAVIAGVIASFLCSFFFGFRAGLIAYQEASFQMGLAYMISAVAFYDEYSNDLLYLREGSCLPRPKYRKADIQRCETSNNKEGYNDTAELAERQQNGHHRHRRVFHRSKTFTQTIQRLRPIQIWDWFFRACELNGRILLSEGLITAEDMEECIMKGKGKKLSIKLPAWCILQCLIRSAKSDSHADNVEVTNFNWPNDKVFDWMLGPFWSSKSK >Et_2B_022817.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5968663:5970036:1 gene:Et_2B_022817 transcript:Et_2B_022817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPMSRATRPVSRLVPDIPLLRRGSRQVAPATTTSGSDDEVSVPAHFRCPISLDLMRDPVTAPTGITYDRESVEGWLARGNATCPVTGRPVRLAELVPNHATRRMIQDWCVANRARGVERVPTPRVPLGDADAADAVAAVSAASRRGDAVSCAAAAARARALGKESERNWRSLASAGAVRALAKAFWRLAGERVEAGGAVAAALGEILAALTVFFPLDDEARLRIASPASLKSLVSVLAHGELAARAAAAIVLRELASSAGHATVEAVSRTPGLCDALVGLVKNPVSPAATKAALVTAYYLVSASDRAAARLAELGAVPVFVELLVDADKGTSEKALAALDGVLCAAAGRQAAAQHALAVPVLVKKMFRVSDMATEFAVSALWRLCQGADGDAGAAACRAEALRVGAFQKLLLLLQVGCAGVTKERASELLKMLNGSRASVECIETVDFKGLKRPF >Et_4A_033269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20852063:20857438:1 gene:Et_4A_033269 transcript:Et_4A_033269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAQTVDILGERQSGQDVRTQNGNHIAAPSLPLAARFHRAIWWRSSPPGLMACGAVANIVKTSLGPVGLDKMLVDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRANELVRNKIHPTSIISGYRLAMREACKYVEEKLAVKVDKLGKDSLVNCAKTSMSSKLINSDSDFFANLVVDAVQAVKTTNPRGEVKYPIKSINILKAHGKSSKDSYLLNGYALNTGRAAQGMPTRVTPAKIACLDFNLQKTKMQMGVQVLVTDPRELEKIRQRESDITKERIEKILKAGANVVLTTKGIDDMSLKYFVEAGAIAVRRVRKEDLRHVAKATGATMVTTFADMEGEETFDSSFLGHADEVVEERIADDDVILVKGTKNTSAVSIILRGANDFMLDEMERSLHDSLCIVKRTLESNVVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESFLIIPKVLSVNAAKDATELVAKLRAYHHTAQTKADKQHYSGMGLELTKGMIRNNLEYGVIEPAMSKVKIIQFATEAAITILRIDDMIKLTKEESGNEE >Et_6B_049715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9299749:9301497:-1 gene:Et_6B_049715 transcript:Et_6B_049715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKIQESFHLPQHADGHANVLAIGTAIPPNCILKEEYADWFFQVTRSDHLTQLKTKMKKIYLLRENQDFLDGSQPSLDARQAIAATAVPELAVAAGAKAMAEWGRPACDITHLIFCTYFSTHMPGAGLHLASLLGLHPSVQRTMLYYNGCNSSSVALRIAKDIARNNRGARVLVACAELTLVFLRAPDENHTDTVVVPALFGDGAGAVIVGSDPDACTERPIFEMVSAAQATIPKMALKWPLILNMLTASRRVLSEYGNMSGVTIIFVLDEMRRGQRGKDGEGCELGAMVGLEPGLTVETMLLRAMGSNLAVPHVKGN >Et_2A_015169.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34086545:34086928:-1 gene:Et_2A_015169 transcript:Et_2A_015169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYFRSAKSATSPRKTKKNGGAPAEAGLRESLLEQPAAAAAEGGVPKGYFAVYVGEDSRRFVVPTGYLREPAFRELMERAADEFGFAQAGGLCVPCAEDDFEDLLRRLQRKNAAAAGKGKKKTVRL >Et_10B_003478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2040728:2046244:-1 gene:Et_10B_003478 transcript:Et_10B_003478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGGQSYVSAPPAFSADGRLLLICSGRVVSVFSTSTAMLVSELEGHEGDVTAVVVMAPPPAASAAAKLVNYCWTAGLDGALVYWDFMAAEALRKVQVGLPVHSMVIPNICRTSKGAEVSTPFAFVSVEDKSKPANEEKALRGQMRIYDLTKGRQVGGLLAETRKPENIVASTSGEFLGITNRKRLHVWHIPTKNFKAEKIRKIKLRHTKNLTTLAFHPSERIVAGGDVSGRIMIWRGFGKVKFSGENGAKAEVDDGRDGVRGEDDADICTSLHWHSGRVRFLKFSSDGAYLFSGGLEGVIVVWQLDTGKRRYKPRLGSPLLFFAESPDSSISCVSCTNNRLYLLKMPNMEVLKSIAGIKLPIASPNSGECHRVVYGFDYTNRRVAIPTEDYCIQFYDLVENTEVTEVQVCERNFQPVDDITMYISLVSLSIDGNLMCTVDVKLPEEELGGLVTLKFWNHGSNAGHYFLTTVIYEPHSDAGVSAIAFRPGRNMAVSSSLGGNFKIWVQSLSSQSSNERKYSGWRCQSVGSYKKKPMTAAAFSGDGSVLAVAAESVITLWDPDHNALVGVISEAISPITNLSFVGTSTFLMSLSQSSRPQVAVWNVSNLSMQWSYTLFVEAACCSPDGNAFAVLSLLSCPDGEAPAEQDGVLLLFEAENQNPVASWSVKKARGGSISFVKGGISLDANANDSDDEKAISLVYVNSSHEYVIFDPHKNEELVSSKHKEKRIQAEESAPIGYASIYGELPKLESTKGVSDIPFVPSDRPWETIFTGSSHVLPPLTKLCSTFLSSLLEKRTVTNE >Et_4B_039229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8977600:8979809:-1 gene:Et_4B_039229 transcript:Et_4B_039229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLPAVAAAAAVRSYARSLRSPSAEEAAAKAAKTPPASLDTPRNAAAGAASSGRAEVRDLAAACGLQDDERVPLADVVLDCTKRWFLDALKEARAGDAAMQVLVGQMYRCGYGVNRNEHKARVWMEKASRYRSTVWKGTMLVTQTQNQMILSKHANNEIFRVNTSMA >Et_9B_064776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18557659:18573144:1 gene:Et_9B_064776 transcript:Et_9B_064776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSQAPEKIKMSLGETLRAELSSRTPPFGLRLWLIIGISIWVVILSILGFMCFWSIYRRKPKKSFEIPVSQIPDVSKEIAVDEVHERAIVENPRVQDGLALTVQEKHHDEDSGKMLAHLVRCKSSDADNLSQCSSAYHCDRAGSSYSGDEGSTGNARRQYPQHATVTASPLVGLPEFSHLGWGHWFTLRDLEHATNRFSKENVIGEGGYGVVYRGQLINGSEVAVKKLLNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMRQHGVLTWEARMKVVLGIAKALAYLHEAIEPKVVHRDIKASNILIDEEFNGKLSDFGLAKLLGAGKSHIATRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAVTGRDPVDYGRPANEVHLVEWLKMMVGARRAEEVVDPDMEVKPAIRALKRALLVALRCVDPDSEKRPTMGQVVRMLEAEDVPSREDRRSRRGHSSNADGESKASSSEFEISRRGRNKMKNRASGSGLQPQRTAAKMRGTEAVVVVAVRAAAREISKTAVVWALTHVVQHGDSILLLAVNPPQTSGRSKFWGFPFFAGGCASGHRAVLNQTSDLSELCSQMLHKLRDVYDPTKINVNVKVLSGTPSGCVATESKRAQASWVVLDKELKHEEKRCMEELQCNIVVMKRSRPKVLRLNLAGSPEKESKSTPPDSSASVGKTTADVKEQRSSIRGPAVTPSSSPESETAFESTDVGASSVSSSDPATSPFCASDTNSSLKKEAAKDNVLHSDVNISDSESEASTPPPASSLQPWMADILERPTPSRLVGNRPRRTPTADALLEKISKLDLLTEISAIRSRSDLNFRGNVRDVISLSRSAPPGPPPLCSICQHKTPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEDKRRLLVYEYICNGSLDSHLYGRNNETLEWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDLGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPLLEDYAIDELIDPRLERRFSENEVYCMLHAANLCIRRDPHSRPRMSHVLRILEGDMVVDASGISTPGSDAGSRSWRLLNERHSSPAQRDSQRLDEGKHSYAWDRDRQNLCHRY >Et_6A_047660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9251600:9269296:1 gene:Et_6A_047660 transcript:Et_6A_047660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EAVGGWPHPGRLQHPEGVHASLGSEAAWWWRQQRLLPLQYRFESTSHDVLRRNLSATGKIMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGMHTRTPLSRACSSSLPDSPTSNLRNTLDLVRRILSATGKMQIFVKTLTGKTITLDVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGGGRCYPYIDPGLRNLTLKHNETKMICRKCAQPPEPTILTIALALDLMRPHGHLNFFTVFCFVLRTASHEGYKLPQEKVWPQQPVGLKTESNTYRIRRVTSATSKMQIFVKMLTGKTITLDVESSDTIDNVKAKIHDKEGIPPDQQRLIFAGKQLEDGRTIADYNIQKESTLHLVFVKTLTGKTITLEVESSDTIGHVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGVWCYPHIDRNLLAIARKKIETKMVLCAPSHQGYKLPQEVWPHQPAEAKKETEHLDPLALESKQAIILLSSKFQK >Et_5B_043575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1250277:1260214:-1 gene:Et_5B_043575 transcript:Et_5B_043575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSAAKRRASSEEASPATAAPEAAASDAGSPPPASLAEPPSPPQPRGRSGKRAKVAVERTEEEEEETAAGAKVAEAAAVDVLDSSVDNLQGVARSAGAAAVSSSAVSHSGGRRKKNRPVRSFATEEGTLWKTRPVPAAGRTDAWGRLISQSSEYPSIHIYSTHFTVGHGGKYDLKLTESSPGSLICKLKHVKRGAALEIYVSKVVHVNGKALDKAARVTLTGGDEVIFNSLGRHAYIFQQLPEDRSSTSSTCVIPQEQLPVINGTPEHQPSKAAKISASLNFGNGRPPLIPQDKDIVSSLCKSMEEQSYYISEENVPYAQHQLLKDDLKKATISASDISESFDSFPYYLSENTKNVLLSSAYVNLCCKDSTQWTKDISSLCKRVLLSGPAGSEIYQELLVKALAKFFGAKLLILDYQLLSGGQSSKSKESESYKKGDRVRYIGSLQSAGVVLEGQRAPDYGAQGEVRLPFEENGSSKVGVRFDNQIPGGIDLGGNCELDHVDSLCLDGPGWEDRAKHPFDVVFEFVSEEIEHEPLVLFLKDVEKMCGNNYSYHGLKNKLETFPAGVFIIGSQTQTDTRKDKSNSVSPWLKFPYSQAAILDLAFQDSFGRVSDKNKEALKMSKHLTKLFPNKVTIEAPQEESELSQWKQLLDRDVEILKTKANISKTQSFLTRHGMECADVESAVCVKDRILTSVDKIVGYALSHQLKHRAAETPVKDAKVVLSAESLKHGVDLLETLQSDPKKKSRKKSLKDVVTENEFEKRLLNDVIPPDEIGVTFEDIGALENVKETLKELVMLPLQRPELFTKGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSIASKWFGEGEKYVKAVFSLASKISPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDAPNRKKILGVILAKEDLSDDVDLDALANLTDGYSGSDLKNLCITAAHCPIREILEREKKERALAEAEKRPLPPQHSSSDVRALKFSDFKQAHEQVCASISSDSTNMNELVQWNDLYGEGGSRQKTSLSYFM >Et_5A_041135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18672996:18673397:1 gene:Et_5A_041135 transcript:Et_5A_041135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LAEKTHYSPDTSELSPSILLLFPLPWPPHLLPSASLLPSQSSLAPAHPPTKILSSMYRPRIFRLQVDPQTGAVIALAPPRRYSPGSRPQLSEQALLVEVPTQSPCPYLALHSRNLLEALLSPLP >Et_2A_018253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20250572:20254913:1 gene:Et_2A_018253 transcript:Et_2A_018253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTKMGAKENGDQRDNHSNDVERDSKQGKEAESDYEPSRDSLSSQGDATSNEDTKVKRVSRVPKKLIKKETKENSPRSARSFSNRQIHTKLQFISSNNAQNKYPKSKVANGAKIVEAKKQETVKVPSCSSSEASEETDDKAIEDRATDDKAVEVRIKDDNGTEERSKDDNAIADSSKDDKANEGITTDDKVMEDRSKVDKAAEGIATDDKAIEGRVTDDAVTECREIDDKTIEEPKEIDVLDEAPKCDQSTGTDDEIADAEESIVDDGKLGSYGKNEELDSRIEKLEQELREVAALEVSLYSVVPEHGCSSHKLHTPARRLSRMYIHASKFLSSDKKASVAKNSVSGLVLVAKSCGNDVSRLTFWLSNTVVLREIIAETFGIPRQSSPVMKVLSTNGVAKKLDRNFSPMQLKSNSNKHARQNIMQMPDDWRETSTLLAVLEKIESWIFSRIVESVWWQAMTPQMQTPVEDLSTPKIGRLSQRSLDDQQHGNFSIDLWKNAFLDAFSRICPLRAGGHECGCLPVLAKLVMEHCVARLDVAMFNGILRESANEIPSDPISDPIVDSRVLPVPAGDLSFGSGAQLKNSVGNWSRWLTDVFGMDAAETEKDGQDAEDNGDDRRDIAEFNCFRLLNELSDLLMLPKDMLLEKSIRKEVCPSIGLPLVTRILCNFTPDEFCPDPVPGMVLEELSSESLLERFTDKDVISTFPVIAAPVVYCPPSVEDVAEKVADTGCSNGELDRRASMVQRRGYTSDDDLDDLDSPLASLYDKSAPPSPCNDGVPHFSTQQVATPMANARYELLREVWSERR >Et_2B_022803.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5512498:5513268:1 gene:Et_2B_022803 transcript:Et_2B_022803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMLIPLFRLAGKWGRRSPETACPIKSTRLLAAPRPRFPPHTTTHRRASNPESPMMEASNGGAAAAGALDDADAAFFSRRGHGRCCCFPWPSSSSSLSPHARRADEEWWHGVGEGGGAGATRRRWWRRGVDALMKVREWSELVAGPRWKTFIRRFRRGPRHHHGAGGGGGPGHGAGGGKLNYDALSYALNFDEGHPGSPEGDYVGYRDFSARFVAPPASAKASMDLGGRDAPPLFHHPQPQQQHSPRTPPALRG >Et_4B_038213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27145890:27151808:-1 gene:Et_4B_038213 transcript:Et_4B_038213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALKASPSMSSSSPAVQSSSQLPRRAAAVATMPARRRAAAVRVRAVAAAPPAAPAPARPAGGRCLPVSQTFARLKAKGKTAFIPYITASDPDLATTAEALRVLDACGADVIELGVPFSDPYADGPVIQASSARALASGTTPDAVLEMLREVTPQLSCPVVLFSYFNPIVRRGIADFTAAAKQAGVHGLLVPDLPYVAACTLRSEAMKNNLELVLLTTPATPEERMKEITKASEGFIYLVSVNGVTGPRANVNSRVRSLIQDVKQVTDKPVAVGFGISKPEHVKQIAEWGADGVIIGSAMVKQLGEAASPKEGLKRLEKYARSMKNAVGETFSRLRELGKTAFIPFITAGDPDLATTSKALKVLDSCGSDVIELGVPYSDPLADGPASATRALKKGTTLDSVIEMLKGVIPELSCPIVLFTYYNPILKRGVRNFMATIRQAGVRGLVVPDLPLEETTLLRSEAIMHGIELVLLTTPTTPTERMIEIAKASEGFLYLVSAVGVTGARSNVNTRVQYLLQEIKQATNKPVAVGFGVSTPAHVKQIAGWGADGVIIGSAMVRQLCEAATPEEGLKRLEEYTKNIKAAMP >Et_1B_013214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6457447:6460476:-1 gene:Et_1B_013214 transcript:Et_1B_013214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGSAALRRWDTSSQYSFRTSVTSAADMDGSEVEEASPWPVEDRVFVAVPEEVRHGKSTLLWALENLAKDGARVVIAHVHCPAQMIPMSKLPSPLELFGCCCLGAKVHYTTVNQQRVSDYRKTVRAEADAKIDAYVLMCRRLKVSCEKILIDKDDVAKGLEEFIALHGATKFVMGAAADKHYSKKMKTPKSKTALRLMEAADPSCKIWFSCKGHLICTREANTSVPAIHPSPACTVASSSASSISSQTRSVRTHHSQSEASSLNGSPRHDPERSRTEAEQYSKTYFNPLFVPDGIPDVTPRNDDEFGGRSQHSLYGPRNDDAVAISGSAMHHQMEEPDYEKFSFPYELAPKKKTLIYGIQLETEISFVIAQENPGFDAGIYERLAEALREAQISKKEAYEESTKRRRAEQDMISALQKRKEMDNLYQHEKRQVPMMKEIVTRQAHEIEEMKRKHDVVSDELHGVKEQKFVLEQQITEMKSVIKDHEEKLVANKNLVQVLQADNEKLKHERDAAVAEVEELRQKEDHKNSMSLQAEALNTEFSSFELEQATQGFNETLKIGEGGFGSVYRGFLRNTTVAIKLLHPESLQGQSEFNQEVRQFIRSLRQFT >Et_3A_023043.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:20751325:20752117:-1 gene:Et_3A_023043 transcript:Et_3A_023043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQMRRPSSRSSRARRRRWPARTARARRSSRSRAARETTAATPSHTAAARRPPPGGSPPRRSPTWASCSGAGSPTWASSAARQARSASGGGPSPSSPSSGPGGSPTTSPPTTPPAPTASSSSAATPRRGPPPAPPCPPRPSSPAPPTRSSTTSGSPAYGSTARTSPSRARRSISGRTAPAG >Et_3A_024638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22847972:22860554:-1 gene:Et_3A_024638 transcript:Et_3A_024638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETPTSSGQSEASSCEPNWWPPDFLGKVESASISRKQEGLGAIRSSSWKASQLLWSTGTYSGLIPNGFYSIIPDKKLKESFPTIPSLDDLQTLEADGLKADIIIVDTERDKKIFMLKQLSSALVKGLNSSPPLVIKKIAGLVFDCFKRQNPDASPARGSTEDNHFFGNRGPQLLGQIKHGSCRPRAILFKVLADAVGLESKLVVGLPDDGAVGFVDSYKHMSVVVSLNSMELLVDLMRFPGQLIPFSAKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGLSDKVEADGIESSSNLSGRSLRNMMLRSRTFSEGKLSTSCSEPNIANAFWRRSQRRGVAEEPRGASSSPEHPLMKARGRSILGGEKQSFQEYAENAVASRSDGLGGSSTPNTRRLRRRSISITPEIGDDIVRAVRAMNETLKQNRLQRDHVEGSCSYVTEDQNNVNDCPNNDDASPTGVATNNGSRNRIGSTQKAMSLPSSPHEYRGQITEKSDYISKEKLALAWNKVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACITPPHLSMVTEYMEMGSLYYLIHMSGQKKKLSWRRRLKIIRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRVMAESPMTDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGISPVQVVYAVANEGSRLEIPEGPLGKLIADCWAEPENRPSCQEILTRLLDCEYAIS >Et_4A_034799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6680845:6685323:-1 gene:Et_4A_034799 transcript:Et_4A_034799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDVTTRSKSQKTSAVQNEQSTPTNPPTAYPDWSQFQAYYNAAGTAPMTPPAFFHSPVAPSPQGHPYMWGPQMMPPYGTPPPYAAMYAQGTPYQQTPMPPGSHPYNPYPVPSPNGTAQTPKSGAGATETDKSSKTKRKTPLKRSKGSLGSLDVVTTKNNKSPAKPSASSSNEGSSQSESGSGSSSEGSSTNSKSGSRVKDGSEHGQNAKGTQGSAVEPTQPSSGPVVLNPMMPFWPVHPPMAGPATTVNMGGDYWGAPTSVPMHGKIVAAPTSAPSSNSRDVLSDPAIQDERELKKQKRKQSNRESARRSRLRKQAEWEEVANRADLLKQENSSLKEELKQLQEKVDSLTSENTSLHEKLKELEDEKSNGN >Et_1B_012815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35313409:35315033:1 gene:Et_1B_012815 transcript:Et_1B_012815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFVKISIYHYAIMATELGIAADENGSTGSWNCTCTSDRGLRREEHAVLHDRSCFTSCNCTSGSSEEGKKHVSNKTVIVTLLVCVVLTTTAFLVTTVYYFRRKDALSPRSQVYSFDKYTSWSSRSNLVSHPSSPLPQLKPKPRLSVLKGKHIYFPLWNFSENGAFPGVIIRFSYTELEQATGKFSDEHLVGVGGSSKVYRGQLTDGKVVAVKKLRPLGGADEDFEFLSEIELLSRLNHCHVVPLLGYCSESQGRQLERLLVFECMSNGNLRDCLDLKQGRKPMDWQTRVSVALGAARGLEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKCLMNDGVTSCSSSPARMLGTFGYFAPEYAIVGKASLKSDVFSFGVVILELITGRPPIHKSSSTRTDESLVIWVRD >Et_1A_008058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40422623:40426447:-1 gene:Et_1A_008058 transcript:Et_1A_008058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAMAAQIEAPDGKKKGRRGRREMRRIEDTTSRQVTFSKRRSGLMKKASELSVLCDAEVGLIVFSPRGRLYQFASETDLQETIDKYLQYTKGTPANLKVDLSGIETWKSEATTLEKKIDAIEAHKRKLLGEGLGSCSIQELQELEERNLMKENEMLRDQAY >Et_6A_047349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4299548:4306229:1 gene:Et_6A_047349 transcript:Et_6A_047349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGECSTSKPTQPCSTSASSPAGASIWAKLVPSDSTFPEVEVAEDDAVVCSLVTPARGEELAWCEIRRGGDASSATIRNLSSDAIIVDGRIVKQESVDIKQGSEIISGPQKEGHLVYTFEITDAKDHEKNHIKIVLDIENAKCSICLNLWHDVVTVAPCLHNFCNGCFSEWLRRSSANSRDKSAACPQCRTAVQSAILQAFSPLQRSDEEIALLESYASVKSNIILGKQKNVSRKRPLPRSSDEINNHDLPCPQCRNDFGGFRCSPGAAHLQCNGCGGMMPVRSNISIPQTCLGCDRAFCAAYWSSQGVNSSHCNLICNQETFRMISQHHISGLPDTVHGGNSYEKDITDRCIQQSGKTLQAVISEWISKFENRELDRSRLQLNNVEAITSRTYVCNHCYNKFIDFLVYWFRVSTPRHLLPPDAANRDSCWYGFLCRTQHHRPDHARKLNHVCRPTRGNP >Et_8B_059647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20157833:20158781:-1 gene:Et_8B_059647 transcript:Et_8B_059647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVACTYAALILHDDGIPITAEKIATVVKAANIKVESYWPALFAKLLEKRSVDDLILSVGSGGGAAPVAAAAAPAGGAAAAAPAAEEKKEEAKEESDDDMGFSLFD >Et_5B_044809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6058044:6062367:1 gene:Et_5B_044809 transcript:Et_5B_044809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTVSGKDQAVSELQDKQRADLEELTLTSRPLKTLTFFVLAIAQSFRRTCSSVLKKGARLKIMVVLVVATWVLLLFTDGPHEKHVQELLRYVRFGLWWVVLGVASSIGLGSGLHTFVLYLGPHIALFTIKAVQCGRVDLKSAPYDTILLKRSPSWLEKDCMEFGPPIYKETIPFSNILLKVYLEAVLWGIGTTLGELPPYFLSKAASMSGHKIDELEELDASTSEGFLSSTLYQAKRWLISHSQNLNFTIILLLASVPNPLFDLAGILCGQFGIPFWKFFLATLIGKAVVKVCIQTTLVITLCNNQLLDLVEKKLIWAFGSVPGVVSVLPSLVTKLKTAKNKFLSAHVAASVSTAVEGKKWNLSFSLIWNTVVWLMVINFIIQIITSTAQGYLRSQQELEISKKLSEAKLSGISK >Et_10A_002118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23013193:23015664:1 gene:Et_10A_002118 transcript:Et_10A_002118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAATVRGLLLGGGALSPLTVKLLHGRLLRLDLHHTDLPELLVRALSSSGLHLHALRLHSLLPNPSHHTFPFALRSASRLPHHHHPLSVGVQLHARSLKLPSHSNPHVLTSLLNLYAKCGLLHQAQRVFDEMPLPSTVSWTALITAYMDSGRVQEAIAIARNAFARGLRPDSFTAVRVLTACARVTDLVTGEAVWNSAQQEGISSSVFVATAAMDLYIKCGEMDKAREVFDNKMPNKDAVAWGTMLTGYASNGLPQEALDLFFTMQAKRMKPDCYTMAGVLSACTRLGALELGRRAVGMLQWDEFLDNPVLGTALIDMYAKCGCTGDAWELFQQMRKRDIVVWNAMILGLGMTGHEKIAFALVGQMNKLGITLNDNSFIGLLCSCTHTGLIQDGRRYFYNMTHLYHISPRIEHYGCMVDLLSRAGQLDEAHQLIQDMPMQANAVVWGALLGGCKIHRDAELAQHVLKQLIRLEPWNSGNYVMLSNIYSNSGRWEDAAKLRLDMKKKGVEKVLACSWVEFNGKVHEFRVGDKSHPLSDQIYTKLNELGMEMKAMGYKPTTEVVMFDIEDEEKESTLVHHSEKIAIAFSLLTAGPGETIRVTKNLRVCSDCHTAIKLISRITHRDIIVRDNNRFHCFADVLVTVTVVGW >Et_7A_052191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5489130:5492471:1 gene:Et_7A_052191 transcript:Et_7A_052191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATEPYGYSQRTTRRKCVFKVDDDLILCPIKGVWEYNDVIIMYLYKKRDDNKLLLYKVTTGKMLMENLPHDMTPKRSHYTLCWGYKPTLLSPGIVFSGLNKNRKRRRNRKVHIIEALKPFDDQDESTLDTVRFMEYLVRIMEKLPKNMQDLIEISSLNSEDHAPQAENHETAAPRARDANKKKRKSDDDTPGAAICDDVLDNIFARLPTRTAVASMVLSKHHHRLICSPEFRSLHFRLSPPALLPHPHIAYISEFHDFHVAVAGKNSDAPMRSLHGERYPKMKYINTCNGVVLFAREREAKPPTCVLWNPAVADDEKELTIPAYTRNVDDFRRLRPRHVNSPSDYSVLGLGYGRRSKAYKILLSFRQQLLEVLLSMPPQSAYSKELLIYTVGDAARKKPQLVTTEILAFDIDDEKVTSIDMPGRSCYPCKNRITSQLIQVYGRPCLVKNDDGHRALWLLTVDYQWERRCVFKVDDDLIFCPIKGVWDYNGVVVLYLYNETGDSKLLFYQVATKKTLMKNLLHGLTPKETHYALCWGYMPTLLSPGSIVGELDQDMERRRNNNVKIMEAKEPFNEQGKRKGQKATLGTISFMDYLVRIMTDAACGRAVRKPSCGVADCRGTTRT >Et_5B_045653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6253132:6255123:1 gene:Et_5B_045653 transcript:Et_5B_045653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCQGVSSGNAQLLAFPGRSSSLKSSQFRVRGNAFPVQALRVGADSFHHNKLTVLPEENSVKGIPSLSTRHNQHRRSKVVCQASSLASFSYPELTSKPRWWWRTLACVPYLLPLHNMWSYADAIYQLHTYLQGFTLLYTFIDTMTLLPGWLFLVIFMTVYFFVVRRKWSPHFLRFHVILAILLDTGSQAVATMCTWMPSIVYQGKPMQYFWMTMAFIQIFTVLECMRCALCGMYPNVPFISHTAFIHSDLNLFR >Et_8B_060818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8276769:8283186:-1 gene:Et_8B_060818 transcript:Et_8B_060818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLPRRRLSTWSSGHAAASLHELASLLSAGRFHRSVDLAKSLLLSSHPPRASAPDLYHALAATPAAGAACSPDQADPPPLSFLCDASSALVVASARLGHPDGALRLLSLLAAGAGHEADSRAPLPSLSSCNLLLESLVSLGRYADARAAFDLLMAAGVQPDTFAWNKAVQACVAAGDLDKALVMLRRMGRDGAPPPDASSYNVVIAGLWRSGKGGDALKVFDEMAEKGVLPNRITYNTMIDGHAKGGDLDAGFRLRDRMVLDGPKPNVITYNVLLSGLCRAGRMGEIRALLDEMASQRLLPDDFTYSILFDGLSKTAGSGTMLSLFGDSLKKGVTIGAYTCSILLNGLCKEGKVAEAEQVLQMLVHTGLAPTRVICNTLITGYCQIRDLQGAFSIFEQMKSHHITPDHITYNALINGLCKAEMVTKAEDLLMEMEKAGVDPTVETFNTLIDAYGRAGQLDKCLIVLSDMQEKGIKTNVISFGSFINAFCKNGKIPEAVAILDDMLQKNVSPNAQVYNSIIDAYIESACFNVGDNDRGLELHQEMENGDTGSEAHTKLETRVTWNPSLVMGQGSLTATATLLYPSNILSSPDRSVTATGRKIDASSTISVSSPNEWLDFHASRIVACQKVASDGSQRAVKDRIDMLE >Et_9B_064329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14051835:14054196:-1 gene:Et_9B_064329 transcript:Et_9B_064329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMLEDDLFFAELSKRISLLITDDDDADFAAAAAAQFIPAAAAPLPGFAHVMPQQRGASMLAPPSYTLFHHAARYGGSGGGDSVAMAWQQQQQQQCGSKGTGVFIPRSTPGAAHHKKKSKGRGAAANKARAAQAGGNALAAGAPTKKRT >Et_8B_060090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5976176:5977387:1 gene:Et_8B_060090 transcript:Et_8B_060090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSSRLSLAILLVLGSAVGYCAAQDYDFFYLVLQWPGSYCDTKQSCCYPKSGKPAADFGIHGLWPNRNDGSYPQNCDPSDEFDPSKVSDLLSSMRTHWPTLACPTNDGVRFWGHEWEKHGTCAANVFDEHGYFQAALRLRDQLGVLAALKAGGVNPDGGYYTLGQIKDAIQQGTGFEPYVECNRDESGNSQLYQLYFCVNAAGDSLEECPVFPRGRGCGNRIEFPAF >Et_6B_049927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18222237:18222995:1 gene:Et_6B_049927 transcript:Et_6B_049927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIRDNSCPSYVTEAAKMVRPNNDECENIASCVATASAVLKGLHESTASAMAMDPAMAGALG >Et_7A_051171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15045062:15050866:-1 gene:Et_7A_051171 transcript:Et_7A_051171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMWVFGYGSLIWNPGFNYDARVVGFVRDYRRVFYQGSTDHRGTPQYPGRTVTLEHQPGATCWGVAYKISREEDKQVALEYLEVREKQYDEKIYLDLYTDSSPKVPALKNVMVYLATTNKESNRNYLGPAPLEEMAKQIYLAVGPSGPNKEYLFKLEDALNKLGVEDSHVQELMNAVREYSDAQLSKEQKRVFRVTLVCCSKELVEQVFVTPFASVEFSLKIESASRYNTPTVKCHDSRAEAYRSSTKRPLKNGEGPWTGGALSGLVPSSWIIPVVGRARYQGGSEEGEMIIDNFKETKRESSEWDKTNGPPVNPPLSDKKAVGPVKKQPHEVSRKNKMSMYGVTDSQ >Et_4A_032330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30382644:30383243:1 gene:Et_4A_032330 transcript:Et_4A_032330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSVSSLLIMLTLHLLVKNAISVSRSVCSVSRSIVRLLARDDSVVADDDGGGGGGGVTPNPRQCARCARRSTSLSDFAAVIASLGLAGGDDVGQVCGGCAAMSVVEELTESKVAGEAELREAFYVFDRDEDGFVTTDELWNVMRRLGMPEGARREDCRRMIAAHDGDGDGRISFVEFRAMMENAV >Et_4A_033725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26219907:26224241:1 gene:Et_4A_033725 transcript:Et_4A_033725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRVHRCGGGAVAWSPSPVVALATSPCASQVAAAREDGSLELWLVSPGSVGWHHQLTIQGNAESRVTSLVWGRRGGGGATGRLLSSSVDGSVAEWDIFHLQQKIVLDTIGVPIWQMALEPSDDSKSSEINGSGLSVNGHSNHHEYSDSDTSNVDDGDSSGDESDSSKTSSSHNVNEFQRLALACDDGSVRLYNVSESGALTYYRALPRVSGRMLSVTWSNDGKFIFSGSSDGLIRCWDSTSFHEKYRITAGLGGAGTGPELCIWSLLFLRCGTLVSGDSTGSVQFWDSRHGTLLQAHSRHKGDVNALATVPGQNRVFSAGSDGQVILYKVSQDEQERKWIYVKYVRVHTHDVRALTMAVPICKEDNSLEEKAVKIRRRENPESSYHKWAHLGVPMLISGGDDTKLFAYSAKEFTQFAPHNFCPAPQRPLISLARDSTVNGDSVMLVQSANWLDVLVVTVHNKLTPTTSSKGDATVRHLARLKSRGSRKIISSAASTNGTLLAYSDCARPCLFALKHKGGKYTLDKLQLPKGLPCSQSMMFTVDSSNLILAGRDGKIYIIDIATREVSNVFHPTRKTDGSKTSSRESPVTKMFLSADGQWLAAVNSFGDIYVFNLEVERQHWFVPRMNDGSVTSGGFCPKNNALVITTSKNEVYVFDVEAKQLGEWSKRYTHHLPRSFQEFPGEVIGLSFPPQSSSSVVVYSTRAMCFIDFGLPVVDDLQLPNGTSGPAERTDSQKSTKTKQKRKAHDEELKQRERNNFDFFAFKDPVLFVGHLLDSSILIVEKRWMDVVEGFGDPVHRHIYGT >Et_3A_023857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13550427:13575790:1 gene:Et_3A_023857 transcript:Et_3A_023857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTGGFAGSFLLPLLLLAASLLDWSLICLINMIILFAIRFVTPRRGFRSWRLYLLLWCTIIYSVLAILAQVIFHIMWCIEGKGWSVAHSWWAKLVGLARAQPWESPSVIYFIVLQLSCAVVALVEVLGNRLHQDSCWLNFSFGVEQIGYHLRVACCLLLPAAQLVVSISHPSWISLPFFVFSCIGLVDWSLTSNFLGLFRWWRLLEIYSVFSILLLYIYQFPLKFPYVVVAFADFIGLFKFSSKSEWPELSSGISLLVYYFMLSSAKRDIQEMDSLISVENDSLTEDLLPSSNAFLVRQSRSGRRHANVLLRGSVFRTFSINFFTYGFPVLLLALAFWSFNFTSICAFGLLAYVGYILYAFPSLFQMHRLNGSLLVFILLWAASTYVFNVAFAFFNKRFQKDMMIWETIGLWHYSIPGLFLLAQFCLGVFVALCNLVNNSVFLYLTSEEGPSSSDDHFIDEKEDTMVLIVATLAWGLRKLSRAITLTLLFLLVMKRGFIHAVYMCFFLVFLVNHSINKRLRQILVLFCEVHFSVLYILQLDLVASALKRSGSITMEVLSQLGLSNNATTKDFIEIGSIVCFCAVHSHGFKMLFSLSAVLRHTPCPPVGFTILKAGLNKSVLLSVYSSQNSRDGEARRNSHEKKIASYLSKIGQKFLSVYRSYGTYVAFLTILLTLYLVTPNYISFGYLFFLLFWIIGRQLVEKTKRRLWFPLKVYATVIFVFTYSLSVSPIFAESVSRFIKLYPDLGFDPKASLLQNVWQSLAVLIVMQLYSYERRQNSDKNFGVSDASESGLLGFLRRFLIWHSEKILSVSVFYACLSSISFSGLIYLIGLIVFSTLPKVSRIPSKVYLVYTGLLAASEYLFQMVCKPAQMCPGQQFYGWSVFLGLKYYDSGFWGVESGLRGKVLVIVACTIQYNVFHWLDLMPTYLVHKDKWEEPCQLFFSSNPPYSPVRSNEETHSSNRFTSFISKVQGLIGSSSSSSLGSGNSHLKSEYVDNAAKDSDEDKRYSFAKVWGLSKESHKWDKKRIISLKRERFETQKTTFKCYMKFWVENLFKLRGLEINMIVLLVASFTLLNVLSIFYIMCLVVCILMNRDLIQKLWPLFVFLFASILILEYFALWNDRMPWFHEINGIEVHCRECWKNSRIFFDYCSKCWLGLTADDPRMLISYYVVFIFSSFKLRSDRFSGFSDSDTFRQMMSQRKNALVWRDLSLETKSFWTFLDYVRLYAYCHLLDIVLALIAITGTLEYDVLHLGYLGFALVFFRMRLEILKKKNKIFRYLRMYNFALIVLSLAYQSPYIGEFSSGKCDQIDYLYEIIGFYKYDYGFKITSRSAFVEIVIFLLVSIQSYIFSSGEFDYVSRYLEAEQIGAMVREQEKKALKKTEQLQHLRRSEEHKRQRNMQVERMKSEVYNLQSQLRRMNSFTPVYDAPHNEGLRRRRNTKLYSDTDTPHKDNEIGSPSKQDKIGNTESSQSFEFSVTDAQKNMADLMFQGSPDTLRSPIRGRSEELLADNIRKSLDLTPEITELEESDDRVDYNLSKGEKAKGHSKENPLKSAVQLIGDGVSQVQSFGNQAVTNIVSFLNIDPDKPHSTVHPEGDIYDDDNHEEPQDGQLLRTHSVSDTSGNKVKSSVPIGVIFRLLSMVYVGALFLYALCVNYGPSYLFWVIILIYTELNILSQYIYQIIIQHCGLNIHLPLLQRIGFPDDKIKASFVVSILPLFLVYISTLLQSSITAKDGEWVPVTEFSFLSARNNIEDKHCTPYRWRDWLNNLHLPVMNIIKMIGRGLCRYWMSLTQGAESPPYFVQVTMEVNHWPEDGIQPERIESAINRVLAIAHEERCQANLPSSCNCYSKVRIQSIERSKENSNMALAVLEVVYAAPVECQSAEWYKSLTPAADVEREIHESQKAGLFEEINFPYPIVSVIGGGKREIDLYAYYFGADLAVFFLVLMFYQSVLKSKSQFLEVYQLEDQFPKEFVFILMALFFLIVVDRIIYLWSFATGKVVFYLFNLVLFTYSVTEYAWGMDLAHKDVGGLVLRAIYLTKSVSLALQALQIRYGIPNKSNLYRQFLTSKVTQVNYLGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIYASLFLVKCDAILNRANHRQGEKQTKMTKFCSGICLFFVLICVIWAPMLIYSSGNPTNIANPIIDVSVKIDIKALGGRLTFFKTTACEKIPWKYLEAYNGTDPLDYLGAYNVDDIQLICCQPDASTMWLIPPPVQSRFVKSLDDTEMLFGKMELILNWEFLRARPKGKELVKYESSIEHCPSVNDVKQVLNRTANSFNIIDAYPRYFRVTGSGEVRRLEAAIDSVSGELRLNNGTPPWWSFYNTNPSDLAGCQGLNGPMAIVVSEETPQGIIGETLSKFSIWSLYITFVLAVARFIRLQCSDLRMRIPYENLPSCDRLLDICEGIYAARAEGELEVEEVLYWTLLANPTQIVRN >Et_5A_041123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18520234:18522419:-1 gene:Et_5A_041123 transcript:Et_5A_041123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPWDAPGDGVDHITGLPMELRAHIASFLPFRQVGQLLSSLSRPWRRIHEHTPVVQIKLDDFLSITDETVDEDDDPLALVLLGILDEDALAALEAALLRRAEEGNGSSKVDVLRITYSPDDLRIRRHADRIIALADAREICVKIPKSGRASRVAWALRLPPSTRDLEVMALHYLVPAIDGPGAAALRTLRLENVVVSHWPCLPSLLSLTLDTVTIEAPFPPGAWCPLLEDLCISVSDVVPARMDIRLPHLKILELDDVNGPLMDVTVVAPELEELDVNCTLGCNEEYGSFTLRAPRLRSLRWCNQFTEHVDIDVGPPGSVTEGMIQFTWNGGFECPAMKDCLALMTRMLNGLLPVSPTDQLTNELRSGTPPFLLSCTAICAGCLRITLDKYTVQGFDAGEMVPEEKLTCDLDAVMSSLQTTYNQKIKQVRKPWHGVGNVDVGE >Et_1B_014344.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6325749:6326840:-1 gene:Et_1B_014344 transcript:Et_1B_014344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGFRRTISFPAPKSPAASPAGNSKSAAYRARSASLPCRFHPLVLQLDDDVADLRATVGRLAASGGGATAGSVAAAAEQLARVLVSLSELLHHPQAQDPLRRLGRSPLAERLLDDFLRLADAHGSFRAATVSLAALQAEARAALRRADPARLASAARALRRSGRDLPRIASSARAVAARAPPAPPADLPADAAAIAAAVVDAAAALAAASAAVFSGVSSLSIAASAARVEVETATPCWMPSPARFATPSATPRTTHHVITTRSSSMPRIWWVADLMRWMSRAKRRSAKKQHAEDHCSTSGAQSQAHATVVAMDPEDKEREVAFERLDNLERCIADVESSGEKVFRALVNTRVSLLNILSPAF >Et_6A_046662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19029378:19031549:1 gene:Et_6A_046662 transcript:Et_6A_046662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPAAVSASGSEPDVLGNPVPLPPTPSLFLPIMDQLESSSFLRAEQLTSSYNMEANVPAVLAPNSYAAADYTSHFGHDHDILHFYPPASHYLDAGNPYSHFSESTFLPTPQDYYFPTLLEENMGIFGVAPHAPMGISYGGGYRAYYLPARGGYAYGHYPLRCQVEGCMADLSKAKRYHRRHRVCEQHSKAPVVIITGAIMPQRFCQQCSRFHEVDEFDDAKKSCRQRLADHNRRRRKPKMTGTNVQLKRRVHVKKSATAKDNGGSSKSMANRDIMEGSASMEYDQSLSHGEMARELVDPKGKAPMEQQECIPQRIPQHAGSPFVLPPSSGTCSPLRQPVSGGNTSNIGPVQETCLALHQYHQHGNIMQLGQAVFDLDFNQ >Et_2B_022040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8329558:8330889:-1 gene:Et_2B_022040 transcript:Et_2B_022040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMADKSHNTNNGGWPARTISFASQSEHFSAATSRRSYMPQSMWRPSPSLPVGYGGVSACAPAEKNFAADFLLGGVSSAVSKTVAAPIERVKMLLQNQEQLIRTGRLSEPYKGIGECFARTVRDEGVLSLWRGNTTNVIRYFPTQALNFAFKDYFKALFNFKRDKDGYWKWFAGNVASGSAAGATSLLFVYSLDYARTRLTNDYKAAAAAKGAQERQFTGLLDVYRKTLRSDGVAGLYRGFNVSVVGIVVYRGLYFGMYDSFKPVLLTGKLQDNFFASLALGWMITNGANLASYPLDTVRRRMMMTSGEAVKYKSSIDAFAQIVKNEGVKSLFKGAGANVLRAIAGAGALAGYDHLQLIFFGKKYGSGGA >Et_2A_014526.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:19842305:19842589:1 gene:Et_2A_014526 transcript:Et_2A_014526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKWKASQCDPSPEFPHCDPFEHCIGLHKTCSVNSRSEICSNGKRKRKSYGLDCSCVHGTIPFEMDGVSLSNARQAARLAAHKPCSSTKFVQW >Et_2B_019775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13943588:13950727:1 gene:Et_2B_019775 transcript:Et_2B_019775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMQEVKRPSATTKLLPPSAPPAPSAFAPTAPPLPYNHRYGTLHPCPATTKAAMQDAKRPPTTTKLPLSSLSTSAFTPTAPPLPYGNHYGTFSPPPPLSQLQPVVGAAYHASPTGFCGQGVAAFPCTVQQQVFVDGVPVREPPLPFCGVGIGWILFLLGFFLAAIPWYTGAFLLFFVALDHREKPGLIACTIAVSYRTFHTFENLNDK >Et_5B_043724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14791305:14794697:-1 gene:Et_5B_043724 transcript:Et_5B_043724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSMIKLIFGPRKPPKSSDKELLGERTPSVLNPLSGSGTPDLISQPPTLSASGLSSARGNNVSTFFSSNFQPLRSFKGVSSTEKQNLLIDKLNFCCTLLEFTDLTTYLREKEIKRQMLHEIAEYIVSADGNGKFPVVVVAAITNMVSVNLFRTLRSTPREKKMIEALEFEEPEPSSDQAWSHLEVVYQLLLNFLMSQQNNTRLAKRYIDHSFILRLVELFDSEDPREREYLKTFIYETEKHHGISELLDVFGSIINGFDLPLKEEHKQFLIRTLVPLHKPRCCAVMYHQQLSYCITRFVEKDCKLADTVIGGLIKYWPIRNTAKEELFLGELAEILQETRLIEFQKCMVSLFCQIVRCLRSSRAQVFEKAMRLWNNEHVDDLISQNSKVILPIILPALEKNINEHWSSSVQIRSLEFRQLLSIRNPEIFEECLQKCEEDKTKANELKLKQEAA >Et_4B_036672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10229017:10232093:-1 gene:Et_4B_036672 transcript:Et_4B_036672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGPGRTPSQGDELASTSPWPLRKLQSFAPGLWSQYKTYEDAVVKNTKGTIADALVLVREHQAEAIGCATVAGFILFRGPRRFLYRNTLGRFKTEKDLLNDAEQSMMEYRATIENLKKNSKYTLDKVAVGESDLQRGQTDLRQNHQLQVASMASDLKIQRCALQERINKISEYGVRV >Et_10A_000308.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22198105:22198197:-1 gene:Et_10A_000308 transcript:Et_10A_000308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNTQYLHIEVFVYWKRINILNRDSLRQK >Et_3A_023809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12647379:12650311:-1 gene:Et_3A_023809 transcript:Et_3A_023809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDDIEWAPSPKNTLGIFPGDERPVVKEDWITRYANLIVLAYQSCGVVYGDLSTSPLYVYKSTFSGSLRQYQDEETVFGVFSIVFWTLTLIPLLKYVFIVLGADDNGEGGTFALYSLLVRHAKFSLMPNQQAADEELSAYYRPGYTAQDTPILTALKNFLEKHKKSRTFLLLMVLFGASLVIGDGVLTPAMSVLSSFSGLQVHSSALTDGEVVLLSCIVLVCLFTLQHWGTHRVAFLFAPVVIVWLLCLAALGIYNIAVWNPRVLRALSPVYLVRFFQRTGKDGWISLGGVLLSMTGTEAMYADLGHFTADSIRVAFVGLIYPCLVLQYMGQAAFLSKSPDCNIHFVFFESIPRRLFWPVLVIASLAAIVGSQAVISATFSIVRQCTALGCFPRVKIVHTSRRIHGQIYSPEINWLLMLVCLAVTVGFRDTNLIGNAYGMACAGVMVVTTLLMALVMVFVWQQGVVVASLFLLAFGSLELAYLSASLMKVPQGGWLPLALSLAVVAVMYVWHYGTRRRHMFDVQNKVSLKWIHALGPSLGIVRVPGIGLIYSELATGVPAVFSHFVTNLPAFHQVLVFVCVKAVPIPHVRKRERHLIGRVGPREFRMYRCVVRHGYKDVLADDTDFENDLVLRLAEFVHMEAADAAACNANAAAPRGSDGSASASSSVEGRMAVVNRPIDPCDAGLHMRLPMPSRLEEASLAMRAAADAGASKSETLQSLQTMYEAESPGFANRRQVQFEIYEEASQGPMDPAVKEELTALVEAKHAGVAYIMGHSYIKARKNSSFFKKFTIDVAYSFLRKNCRGPAVALNIPHISLIEVGMIYY >Et_1B_010836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14619991:14624801:1 gene:Et_1B_010836 transcript:Et_1B_010836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPGLFTEWPWKKLGSFKYLVLAPWVAHGAYLAATKGWRAADLGYLAIMPSLLLRMLHNQAWITVSRLQNARVGRQIVERGIEFEQVDRERNWDDQIILTGILFYLGALHLPGGQHLPLWRADGALLIALLHAGPVEFLYYWFHRALHHHFLYTRYHSHHHQSIVTEPITSVIHPFAELLSYELLFSIPLVACALTGTASIIAFEMYIIYIDFMNNMGHCNFELVPSWLFKWFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDTLYEKSLKGKEEEVDVVHLTHLTSLQSIYHMRPGFAEYASKPYASKWYMRMMWPVSWLSMVLTWTYGSSFTVERNVIKKLRMQSWAIPRYSFHYRLTWEKEAINNLIEKAICEADKKGAKVVSLGLLNQEHNLNGNGELYLQKYPKLGVRLVDGTSLAAAVVVNSIPQGTDQVLLAGNVSKVARAVAAALCKKNVKVIMTNKQDYHYLKPNLPEDAAENLLFSKTGTAKVWLIGEGLDASEQFKAQKGTWFIPYSQFPPKMVRKDSCAYLTTPAMVVPKTLQNVHSCENWLPRRVMSAWRIAGMVHALEGWNEHECGDIVLDMEKVWSAALLHGFRPVSQV >Et_8A_057503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3773983:3774192:1 gene:Et_8A_057503 transcript:Et_8A_057503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITLFCKRYLRFNYLQLQNLDAIILTVVHKVLPDGTIDDNTARTTISCVIIGLVVMLLFYAIFKHL >Et_7A_053009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4703020:4704801:1 gene:Et_7A_053009 transcript:Et_7A_053009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEEGETHVKMAQLAGVETLLSGGGQAVPLSSIEGKTTCLFFSAHWCRPCRNFTPKLLEIYTTLRNTGKNIEIIFISLDRDESSFLDHFEGMPWLALPFDTGLTRKLCAYFDVEHIPALIPLSETPSGGFGFDENAVKLVEEYGVDAYPFSAKRRRELEAMDEARIQGGKLQELLGCKERDYVTGAGGIKVPIVGLIGKTIGLYFGAHWCPPCRVFTKQLKEVYKELTILSPGSFEVIFISIDRNNEEFQSSLNAMPWLAIPYPGTTRQELTRMFSIKGIPALLILGPDGKVLNTDGRRTIATYGSLAFPFTESRVSELEAMLKNEGDKLPHRVNDLRHRHELELDMAKAYICDGCQQQGRYWVFSCKQCDFDLHPSCVAENTLGSVWMPATFTTPRTPQPP >Et_10A_001468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3755091:3760129:1 gene:Et_10A_001468 transcript:Et_10A_001468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPQRTAELEDADGAQSFVHKLQRSISKGLPHAAPVPSLRTEEHELVKAVFQVLQGFETSWLYWDSNVPGYREKPGVYVVHLSLTGLRSLLSPFLFAATCLKHVEFFVGKVRTCHRRIPTLDAFASSIDSWLTRLREAALKEEEQLFTSVSRTITLLGLTDSMSSLCSGAEHLSQVVHGAVPDGFWDSGAHMASSEVTVHILNHLFKKLNDVCLVEDGEGEPYHMLLVIFSGSLLPYLRCLDSWLYDGILDDPYEEMFFYANNAVTIDEPAFWEMSYMLRARDSRADSSSSLTDSESVTKKESGSQESAAAGACLKASNQGNVDILCPVFLKDIARAIVSAGKSFQLIQHVQGVHGVETHKGTQEFNVDQNTNCNSKHKFWPDTSLRMQLGDPRSEEAVEESTGQFGNDSHEMGLLTLSEIFLICLSGLLENGDHAYEYLRKFHVDNGEPDKSFVKSEVRETEDTCAGNNSEKTWVKLLMNTISGRTYAGKALTLSRNTITNEPTSIHDCPKDVSSNRVERQITLSCYENPAIVSCKEVLQGNPNSWSELNISESFHLPPLNDENIRRAIFADGQSFDDLEYVRQEDDRRVLEDLYAFPTLLPCVNENVPLSEILPMQKDSTLASKVLQFIRSMSLKDPLQPVGIIQECLSRCIKRQMDHIGKQILSKLMGEWRLMDELSVLRAIYLLGSGDMLQQFLITIFDKLDRGNSWDDDFELNNLLQESIRNSADNMLLTAPDSLVVALGKHDDESTSTSKKGRALGFGIDALDVLNFTYKVSWPLDLIVNTEALKKYNQVMVFLLKVKRAKFVLDETRKWMWKDKARTGHNFKQRLIVEQKLLHFVDAFHQYVMDRVYHSAWTELCDGMASATTLDEVMEVHEAYLSSIQRQCFVAHDKLWALIASRVKTILGLALDFHNIQQTLSTGGTAPAVRTRCEMEVDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYFMSDNGSFSAIPGSRPR >Et_4B_037475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20092386:20101546:-1 gene:Et_4B_037475 transcript:Et_4B_037475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSRMTTESDSDSDAAAAARGGAAGGSGSGSETSSSSAPSTPGTPVAAPNPAVVGAAGPRPAPGYTVVNAVIEKEEDGPGCRCGHTLTAVPAVGEEGTPGYIGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVLSNKWSRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDAAVELTRRCRHAAAAVNDLIFIYGGLRGGVLLDDLLVAEDLAAAETTTAANHAAASAAATNMQAGRALGKYAYNDERTRQTATDSGPDGAVVLGTPVAPPVNGDMYTDISPENAVLQGQRRLSKGVDYLVEASAAEAEAISATLAAVKARQVNGEMEQLPDKEDSSDSTSTSKHSSLIKPDTASSNNMTAPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEHPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPDHIEDTWMQELNANRPPTPTRGRPQAVTNDRGSLAWI >Et_3A_027182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4035123:4037305:1 gene:Et_3A_027182 transcript:Et_3A_027182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDEQLIHQRRPPPTESEVARQIRYARGDANGNVDDEAWKTMPLYTNNLMQLRLTLACCMGVSRDVTRTTLCVRAGRYGELSPLLVDLPIGNDRIDVVILKHGTQGEDSTDHLGSASGIFHLGCCLASLDSYFAKDCCPCLPDADVTLPILSLFSADGDLRYFYHTVAKWKTIAEC >Et_2A_015167.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33934326:33935474:-1 gene:Et_2A_015167 transcript:Et_2A_015167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQCLEILVELAVPETQPEHHEISDIWVYAGAGQVRDDASRSCRVALHGELAHDGVDALGSAVVDAEKGVGVEIAVVVEVELAGFVRGRGVRRCRGRHRVPELAEEAAHGRRRRTALSGDGAPRRRRRRRGRQVAEEEGGVAEDGERREEVGAAGVVGEGRGKTEEPGRRGLGALGDGEDGGVHGGEQRRRLVVGLVGPLPRRARALQRQRRRRRGGGVGRLRERGGRRGVRRPRRRRARSRRFDHLQVQPEAGRDAGRRHGSIRPKLHTEKDLNFLPRSIRDCDPVDQSNRETSIKDGEWKGEGGIRWRCRPKPNKRNAKPPNSLPPPESQRKQRKGPKNAKEARGTRGISCSQRHRLVLCSARSTALCASETARKLADK >Et_8B_059460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18149055:18152993:1 gene:Et_8B_059460 transcript:Et_8B_059460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WASDNDFTGQIPDYIGSLTKLEDLRFQGNSFQGPIPASLSNLTKLTSLRIGDLVNGSSSLDFISNLTSLNVLYATFFQILRNRKISDNLATVNFSNLAGLTLFSIFFIYWVSFQRDISVLFAQGPELAISLAEFLSPSCIWKSLDSCFLGIIAFTKQFVCQAIQPDFSYNQLSGSFPSWATENNLQLYSRLYRSVAKLKFCFSQGIWWQTTSFLAATPTDYSFAVDCGSNRSMRGSDNTMYEIDPTNLGAASYYVTGQTRWGVSNVGKFNEAQNGSYILYSSQQFQNASDSELFQTARMSPSSLRYHGIGVENGNYTVDLQFAEFAYPDSQTWQSTGRRVFDIYVQGDLKEKNFDIRRTAGGKSYTAVCKKYNATVSRNFLEIHLFWAGKGTCCIPAQGYYGPMISALSVTPKFTPTVRNGVPKKKSKAGAISGIVIGALVFGLASLAGIFMLVQKRRRVALQQEELYNMVGRPNVFSSAELKLATDNFSALNIIREGGYGPIYKGKLPDGSVVAVKQLSQTSHQGKSQFVTEVATISAVQHRNVVKLHGCCIDSNTPLLVYEYLENRSLDRALFGEHTSAHHEDLSTYLHSQFSILCFLMYRGQWLEPGLVKTYLHEESSIHIVHRDIKARNVLLDADLTPKISDFGLAKLYDEKKTHVSTKIAGTFGYLAPEYAMRGHLTEKADVFAFGVVALETAWELYEREQALGIVDPRLEEFESDEALRVIHVALLCTQGSPHQRPSMSRVVALLTGDIEVTEVVTKPSYINEWLRGGNTSYVTTDYSGDTRGEFSMQRNTVALLTPSPAASAVIDGG >Et_1B_013514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9179548:9182469:1 gene:Et_1B_013514 transcript:Et_1B_013514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VKYGDTLKRFYGSVNGTNLDINLSALRAKIVNAFKFGTDAEFILTYTDEDGDAVMLDDDDDLRDAALHQKLNPLRISVQLKTSRPDEEQKSNSESVKPPAQDPVSQIMSAIEALKPLSKSSPAQEECLAKIKSAVGEAIKSVPEPIPDVLAKLSHEVLDSAPQPFADLIKPLVQLIAPNNSNGSSEVHADGSSSTSSGVAQTQMPAKANDANLVSRPLNVQKSESPAESGLRSVLDEAAAAPPSAGASQGQQPSLYPSVEELLFPSYLVDKSYKGKSDAQSKGKSVMSSTAQQAPTAVPNVAPPPPPSISEWFQPRRCHSHRWQYEANAKDKTDSRWRVPIAPFPPGYGSSPHFPYPSRLLPSGRPYGDLKMENSAAQSLHKWIQCDGCGVQPIVGPRYKSNVREDYDLCDSCFQRMGNEVEYTKIDKPVAPHRLSRDPNVYRKVNPRVLMKQKREKLESRFILDVTVLDGTLMAPSTQFTKIWRMHNNGSIMWPLGTQLIWVGGDQFALQTSVPLEIPVNGFPVDKEIDVAVDFVAPARPGRYISYWRLASPSGQKFGQRVWVHIQVEDPSFVNAENKNAAINLNLPPESNGSNTVNLIDVNMEPATSTQGYHSYPVDVSLDAFEPKKSQPAPFTFVVPSVSSTDPDANVPLPSPTTTAFAPSVSVPTPEPVVPVVSFPVSVPNMPATTPVPKTPVSVPNITESTPVNVLASAPSPASASAPAPLDAAAPELFAIDGDTEEKLLRELEEMGFRQVDLNKEILRQNKYNLEQSVDDLCGVNEWDPLLAELEEMGFDDTEMNKELLSKNGGSIKRAVMDLVAREKKDK >Et_2A_014853.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18784788:18785663:-1 gene:Et_2A_014853 transcript:Et_2A_014853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTRWRVTAVCLLAAVHTARLQGVQLDAAQPPPWEGCNVTGNFTEGSRYQANIHRLARTLPVDTANSPAMFATGTAGAFPDTVYALALCRGDTNDTLSCAGCIETAFQNAQQLCALSKGATIYDNPCILRYSSSDFLANKTDNGVEYGTWNTQNVSKTKEAAFLAAAVRLVNQTGANAATDAVRRFSTGEEPFDSESYPHIYSLAQCTPDLSASDCRACLSDSIRLSGWQSNYSGKPGGRSIGVRCNFRFETYPFYFGAPLLQLPNQGKLKFLCYLAYRLFHFECITHR >Et_9A_061228.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22943019:22943048:-1 gene:Et_9A_061228 transcript:Et_9A_061228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHAPLLP >Et_5A_041048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17222328:17222780:-1 gene:Et_5A_041048 transcript:Et_5A_041048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLVNVGDPVAPMKRFLMKVVTEPKLPSSCVLDEIVEVESMEMPKTNNLTPRKRRARKPKAPIDVSGLRRSKRIQKMLGGFRDEASAAAAESSSSSVDPPPHLSVENAQAIGTGFLKMHPGDVSQEALMAQSDGSDDD >Et_5A_041948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4594004:4595586:1 gene:Et_5A_041948 transcript:Et_5A_041948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTQISKKRKFVADGVFYAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPEGGVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGYPVNVYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPAMPLPDLVTIHPPKEEDEIFRPLPAEISVA >Et_4B_038251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27557197:27567265:-1 gene:Et_4B_038251 transcript:Et_4B_038251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAKGSVWEIQARDVEAAGLAPADAAAFLAALRSAAGGAADEAAAWAAVVAARVLRPEHPHALHQLVYYSVYAGWDRAARGPPPFWFPSPVDCKQTNLGRLMEANGPKLLGPAYKDPISSFNLFHKFSVENQEVYWSMVIKELSVKFQQKPKSILDTSDRSRKGGNWLPGAVLNIAECCLLPWPSQNKTDDSTAIVWRDEGLDDHPVNRMSLRELRCQVMTVANALDTMFQKGDRIAIDMPMTCNAVIIYLAIILGGFVVVSIADSFAPQEIGSRMGLAQAMAIFTQDFIIRGGKKVPLYSRVVQGTSAKAIVIPATGGSLGLALRNGDMSWEDFLSRAAGRSSAYSPVYQSADALTNILFSSGTTGEPKAIPWTQLAPIRCGSDTWAHLDVRPQDIGCWPTNLGWVMGPIILYSCFLTGATLALYHGSPLGHGFCKFVQDAGVTVLGSVPSLVKSWKAGNCAKGLDWTKIRVLGTTGEASDIDDNLWLSSRTSYKPIVECCGGTELASSYIQGSLLRPQAFGTFSGASMSTGFVILDEQGNPYPDDLPCAGEVGLFPIYFGATDRLLNADNNKVYFDGMPVYKGRQLRRHGDIIQRTVGGYYIVQGRADDTMNLGGIKTSSVEIERVCNRADEGLLETAAVSIKPAGGGPEQLAILAVLKDTSATYDLNLLKSKFQRAIQKNLNPLFKVSYVKIVPEFPRTASNKLLRRVLRDHVWEIQARHVEATGLGAADAAAFLAAFRSAAAAAAGADEAAVWESVAASGVLRPEHPHALHQLVYYSVYAGWDRVARGPPPYWFPSRIDCKQTNLGRMMEANGPKLLGSSYKDPVSSFNLFYQFSLEHQEVYWSMVLKELSTKFQQEPKSILDASDRSKKGGTWFQGAVLNIAECCLLPWPSQNRTDDSTAIVWRDEGLDDYPVNRMSLKELRSQDCCKLPGYQKGDRIAIGMPMTCNAVIIYLAIILGGFVVVSISDSFAPQEISTRMNVSEAKAIFTQDFILRGGQKIPLYSRVVQGTSCKAIVIPASGGFLKVTLKNGDVSWKDFISRAAGRSSVYSPCYQSADAITNILFSSGTTGEPKAIPWTQLCPIRSAADIWAHVDVHPQDIFCWPTNLGWVAGPVVLYSCFLRGATLALYHGSPIGRSFCKFEQDAGMNILGTVPSLVQSWKAGNCTGLDWTKIRVLTSAGEASDMDDDLWLSARTSYKPIVECCGGTELASAYIQGNLLQPQAFGAFSGASMFTGIVILDEQGNPYQLRRHGDIIQRTRGGYYIVQGRADDTMNLGGIKAKPPLSNTSSVEIERVCNRADEGLRETAAVSIKPAAGGPEQLAILAVLNDRSASYNVNHLKNKFQRAIQKNLNPLFKVSHVKVVPEFPRTASNKLLRRVLRNQLQQELSNRCKL >Et_1A_006547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24184472:24186350:-1 gene:Et_1A_006547 transcript:Et_1A_006547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRLASALAAGPHPSLILVLLPLTFYILARCWSGKTSKKGRLHLPPCPPGLPILGNLQQVGALPHRSLWKLAQRHGPVMMLRLGRVPTVVVSSADAAREVLRTHDADCCSRPDTPGPRRLSYDHKDVAFSPYSEQWRERRKFFIVEFLSKRRVQATWYAREAEMEKLVSRLTSAEGRPVSMEDHIFEYMDGIIGTVAFGNVYGTKQFTHKKHFHDAIDEAMRVRSSFSAEDYFPNALGRLVDCVTGVALLREKVFREFDAFYETIIQQHLGHSCTKSEKNGSLIDVLIDLMKDHQGSLIFSRDHVKALLTNTFIGGVDTGSVTMVWAMAELIRNPCVLKKVQDEIRTTVRKQEMVQPKDLPKLKYLKMVVMETLRLHPALPLLVPRVTLRNIKISGYDVPAKTRLFLNAWAIGRDTTNWDNPEEFNPDRFEGKDINFDGTHFGFLPFGAGRRMCPGMAMGVATIEFTLANLLYNFNWNLPEGATIKGMSMEEEGGLTVHKKTPLMLVPI >Et_5A_042795.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4507387:4508007:-1 gene:Et_5A_042795 transcript:Et_5A_042795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGDRLSALGDSTVTRVLSHLPTNEAVRTSILSRRWRNLHEAIPVVDFLDLKTGNRWGRHGNDHQPMCFEQMVTCALLTRDPTAPIRALRLDSCHPTVTVLVQCILIALRSGAADLKLRCQEASRLRLCPFGQYEGSSADLDEGDVGRYVRTPAALFRSATLRHLSLSRWTLEFPQDVSLMSLETLVLHRIMGSGEALRRLVSS >Et_6A_046420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14778306:14784386:-1 gene:Et_6A_046420 transcript:Et_6A_046420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDEFRAKLQVLAVDDDYVSLMLIEKQLRRCRYNVTTVMHAETALEMLRERRDANQFDLVITDVHMPGMDGFKLLELISLEMDIPVIMLSANDTLEAMMKGIKHGACNYLVKPVGLEQLKNLWIHVVKKNMDDPSNSINEYTDDDHHQSQYGDSEDENVSNHTSMSSRKKKKEDGTRNDKEAKRQRIQWSVELHRLFVEVVHRLGIDKAVPKKIVEMMNVEGLTREHVASHLQKYRLYLKKLSTGTYRSRDPFTDETVWSEGNSINTHELGTFQDNLEHGRYQPSISFVGSSNSSNPFARMISPAVFGTHNTLPTQLMNQSNLSIPLKDSGSVGYGTSSNSSANIQRGSPSLAASMEATYYPYRSYASLCMSDPDPSDGNRRKINRLSRLAASSGQNSEFQNQMAALTRTTNPMAGFIEQVAPLNIGSNTKSTVMPTYNSTPDGASSVMSDLPSIQMYNDVMQSQMLNGEDGNGSLLDHQAVADQLNNNNESLIATSSGQNGLSDDFDDFFSDYLNEDVFKNIDAFMDGDCEFTTLSTCHC >Et_5B_044309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22452230:22457687:-1 gene:Et_5B_044309 transcript:Et_5B_044309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREESAKKSKLSWSKSLVRKWFNIRTKSQDFHADFDAGQGRDGGSGSWRPSCSANEASASTAKRSKPDRSSHKRSADRVRRGRNNFDVARLTEVQDYRIFAATWNVGGKSPPKGLNLDEWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNIPAKKWVSLIRRSLNKNPGTSGCGGYHTPSPVLDPVVELDADFEGSARRQENFSLFHRRSFHNLSRSLRMDGDYMFPQPRLDRRFSVCDPVNLGGRSSDFDGNLQCPGSPDEDNIDVEASDAAQFSPFPHSCNAPAPSEPNEGQSNSSRYCLVASKQMVGIFLTVWVRNEIRDDVRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICCHLTSGEKEGDELRRNSDVLEILRKTRFPRVRGANDVKSPETILEHDRIIWLGDLNYRIALSYCSAKALVEMHNWKQLLEKDQLRMQQRYGRVFQGWKEGRIYFPPTYKYSFNSDRYAGEGLHPKEKRRTPAWCDRILWYGNGLNQLSYVRGESRFSDHRPVYSIFLAEVEIVHQRRRNMGYFSSRIEARRILGNFVTRHLKTSFMSTEKYPPINLQPAFPTDKVRISCAGMVFQAAAKPPA >Et_1A_005958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1652016:1652629:1 gene:Et_1A_005958 transcript:Et_1A_005958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRRRARRASSSMVAACKCQDGDELVAREKRRSASRRGAVPRGFSHRRWSAARLTSLTSPTSGARSAAAAWTATSSRAVARSIWPSCASAWRRRAAGPCGGPPNPGVPRRGRQSRRTRPLRSRCR >Et_7B_054978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6090511:6093642:1 gene:Et_7B_054978 transcript:Et_7B_054978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLLMPAHLLLLLCAHGVTASTAAAATPPPLPVLPVPSYAQLRWQLSEMALFLHFGPNTFTDSEWGTGRADPSVFAPSALDAEQWVRVAAAGGFGRVVLTAKHHDGFCLWPSALTEYSVAASPWRGGEGDVVGELAAAARAEGIGLGLYLSPWDRHEPVYGDTVAYNEHYLGQMTELLTRYGDVEEVWLDGAKGDKKKMEYMFDAWFALIHQLQQRVVIFSDAGPDTRWVGDEAGVAGYTCWSPFNKSSVTIGHTVAEYSSSGDPFGQDWVPAECDVSIRPGWFWHASEKPKNATTLLDIYYKSVGRNCLLILNVPPNSSGLISDEDMQVLQEFTEIRQTIFSQNFAANATVTASSVRGGMDNLQFAPSNVLEDSIYSYWAPQEGETSWEMFFDLGRSISFNVLQLQEPIQMGQRVIEFHVDALIDEQWQTIVEATTIGYKRLLQFPAVESRYLKLSIDNARADPLISFFGVFMDTFSPRYNLENHGKPPRTNSSGVIVLRTDHAAVNWSTAAI >Et_3A_026192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5315055:5328608:1 gene:Et_3A_026192 transcript:Et_3A_026192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSNPKKRSGEPQQEEGRPKGKKGAATSLTVELEADVLDCPICSEPLRPPIFQCAVGHLICSACCDKVSNLKKCHQCSRKCNNKRCYGIEKNVRSVKIPCCNSKYGCDVKTTYYEKEDHEASCPSVPCFCPETGCNFVGSTRMLLGHFTFEHHWPYTKIKYGWGFDANIQDGVRVLSCEDGKLFLLNVSSEMFGCVVSVFYVGHDVEPKFRCAIYFNFGKNNSFHSHFSEFPVPSTTLCDGIPRNCFLFIVPKSYIEKDSKISLTMKNSSKKRQGEPQQEAGEVGGSTKRMSGTATSVTVELDVLDCPVCFVLWGILYVQTCCGKLKNKKCLYCYSKSDYNRCYGIEKIVGSVQVPCSNAKHGCAVKTIYYEKDDHEKTCPHAPCFCPETGCSFAGSSRMLLEHFTAEHHWHLTKVTYGWSFHAEVQEGVRILSNEDGHLFLLNMALEPFGCVVSVFCVQPRDTDPKFRCSLNFSFWKNELFNSFTTLSDGIPRDCFLFIVPKSYLEEDSKISVTVKKSLKCPTSSGPTDGSADTGGS >Et_8A_056576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12704329:12709876:-1 gene:Et_8A_056576 transcript:Et_8A_056576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SIMIDDDDDGVEPQFEDVDEYYFVDGEKKPVCISILHLHFGENNGVEDINPKKKVYLRGLVDKSIQIYKNVVAWRIQLDAKQSNIFVLSSEQKWMKLLKPRKCYEEFARSILITMQMMHFFRMPKKKRGHRYLWDHLDDVFKKYDHEPELDDMLMHRGLLKLIMERDIKLEKSKVLQRFLTYDSQNMINKNVMKTVGDKKQVSFNDEPVSTDDINDSEDENSDEDIDIDNDTDQLCAICDDGGEILSCEGPCKRSFHPRKKDGRESKCKTLGYTSAQVKGIDIYLCNNCKYKQHQCFKCGELEPSDGSNAKVFQCINSSCGHYYHPKCIALLLEPDDSCGASLLEKRIMEGMPFTCPIHWCFKCNKMEDKTQKILELAMCTRCPRAYHRACLPSEISFDAKKKDVKRGWELSKTLLIYCLDHKIHKAIGTARRNHLRFPTPEGGKIRYVGKNEGSKICKRKNSTGQHSTEFTDTRKRPKSQC >Et_1B_010447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10581514:10582886:-1 gene:Et_1B_010447 transcript:Et_1B_010447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASGRAVGKERVGGALRQLRDETVCIGRLGRSDDFLLRCVLLAEQYVVPYRHREQRRLLADEPHLRAQPLEAQPPDVNAVQRHEAGRRVVEPLEQCDYRRLS >Et_7A_051365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17454263:17459822:-1 gene:Et_7A_051365 transcript:Et_7A_051365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRRERRQNSNGPCGSFFPIYVVHCYTILRAGAERTDGTTFTHNQLLGEERLGYTEPVSMRSREVHACLFVVLGVVLALAGPVAAGMKPTFAGGGLQVVGPWSTRLEDGVAPELTVDLGLHRRVLAGGGLAPSVLNADKAACRPNCPAQGRPYTGRGCDKIFGVVHACLLVLLLGVVLALAEPTFAGDGLVVGRPLSTRLEDGVAPELTVDLDLHRRILAGGISPKSLNADRAASLGQCGARGSSYTGRGCQKIFGCH >Et_4A_032333.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30372102:30372275:-1 gene:Et_4A_032333 transcript:Et_4A_032333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GACEYRCSKTQYRKACLTFCNKCCAKCRCVPPGTYGHKEACPCYNNWKTKEGGPKCP >Et_3B_030933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:929268:936201:-1 gene:Et_3B_030933 transcript:Et_3B_030933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSKKKAKKKKKPEPAPAPATPAPPVAAADSSPEPRSPSETLTLEAAAAAAAASETESSGSSGEASTTSAYMASSSGKASTSSSSFFSSSASTASSSAAGDERRDLAWLLDAFGSATIDQVDSAYREAGGDVFVAAGILSSTQPAQPTPQPPPPPDLSPRDGSAGRKAGRRPKKVVCAASGMVADVIGKDYTRSAPSPGDCGGSVDRKYNVEEAEQFLCSMLGDNSELGMGVVRDVLGEPFTNCCSSFLFRLADQISSMTLQSEVEHDFLWGEPQTSYAQAVLGVPRSATLPPRSTASKANPQQVLESLFKIPETRTYEPSSMDWKKVVKKVQSFNSPIESNNQERPKTGDGYREFRGVAARHYDTMKQYYHKAALAYSKGDKSYASYLAEEGKHYRELARLEDEKASREIFEARNKHITNTVTIDLHGQHVKQAMKLLKVHMMICICMPSVLLRVITGCGSEGTGKGKIKRSVIELVEKEHIEWHEENSGTLVLRLGGPREYGFLEHDSDTD >Et_3A_026026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3611379:3615018:1 gene:Et_3A_026026 transcript:Et_3A_026026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREPSPEIDDELFNEVYGKAYSGPVAPATNSVMPEANDEKKPQTCDKSDEEEEPPDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGDAGHFTQGCPSTLGANRRNADFFERVPAREKQVRDLFTEGTISQIEKDVGCKIRMDEKFLFVSGKDRLILAKGVDAVHKVIQEGKGKLSPSSPKRDRSRSPSRNTSEFRPRPSDSQRPRSPRNSYSQPPRSPRNSYSHRSCSPRNSYSQRSRSPRNSYSQRSRSPRNPSHSQSKGYYDERHLDGRLHDNMSKFSKGSPQASANFGAKGHPAQSKSPRHPSYLDDSLRTHGVNNQYAVAHMPSNWCIERHGAESRSGPKFDMPSHQQTLEELELEFKREATELVRARVQEEDEENRRHREALRVMRENHMERVTTMRNMHAWKWDEFLQQTFKRQQAQTSYTQIGYPDFEQRTTHISSTRQPVDTSAYPYASDSYSAPGANAAYGEFQHERLDDFGPNYGRY >Et_2B_021751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5014365:5018222:-1 gene:Et_2B_021751 transcript:Et_2B_021751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPSSSASPGGGAPRPYRSRFGDTTLTKVFVGGLAWETPSEGLRQHFERYGDILEAVVITDRLTGRSKGYGFVTFREAEAAQRAVQDPNPVIAGRRANCNIASLGPPRPAQPRGRASLGPHLQVPPPVAQGPQFFPRAPPQMVAQHGCPAGIYPPQFGYWYPPDFQYQQALANPQVMQNYYAQLYGMASPSPPLFQQYMGYMPSPASTPRAVLPLAPAPQVAAQALVHHPTTPQIQGSFLPVPSFPQDFRLQLPPHAVSILPTSATDLQSADQAATAARATGANNTPGG >Et_2B_021034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25865218:25867651:-1 gene:Et_2B_021034 transcript:Et_2B_021034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVSEVKAEGVLRGACAALAAASALLVALSTQTETVLLVRKKATVKDVQALWVLAMAAAAAAGYHVLQLLKCLYLGWRFAVSPCRHSRALAWTCLLLDKACAYTTFATTVAAAQACMIALEGAHAVQWTKLCNIYTRFCEQIAGSLALGMLAAAGTAVASAVSARNVFRHYPVSPRQPYAEH >Et_1A_005562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11573889:11580036:1 gene:Et_1A_005562 transcript:Et_1A_005562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLPIAYQNSSSSPSWLNKGDNAWQMTSATLVGLQSMPGLVILYGSIVKKKWAINSAFMALYAFAAVWICWVIWAYNMSFGDRLLPFWGKGRPALAQSFLVARSELTATTVRYHNGSLEADMIQPFYPAATMVYFQCMFATITIIILAGSLLGRMNIKAWMAFVPLWITFSYTICAFSLWGGGFLFQWGVIDYSGGYVIHLSSGIAGLTAAYWVGPRSASDRERFPPNNILLVLAGAGLLWLGWTGFNGGDPYSANIDSSMAVLNTHICASTSLLMWTLLDVFFFGKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSIPWYTMMVLHKKWSFMQRIDDTLGVFHTHAVAGFLGGTTTGLFAEPILCSLFLSIPDSRGAFYGGDGGSQFGKQVAGALFVIAWNIVVTSMICILIGLVLPLQISDEQLLIGDDAVHGEEAYAIWAEGENDDTNHHHESSHSGVAVGVTQNGSAFIFQRTWKCTLLLSSKSRSSTGFFQVAQDVVLPVGNWGGVACTWYPAYSIASTELDLPAMSDLADRNRCRSSGLARDQPNNLPFPMVIHSEPHHGLAVGPGMRIVEVGISKLLPFLEPLLGAEVVLGPYPLGASEVGLLPFPDAEPHAVAVVAVELVGRLLAVHHHPEQLLRALLAALHRCGLPLGLTLVSILPSPHHLVAGNNR >Et_4B_039405.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13954418:13954774:-1 gene:Et_4B_039405 transcript:Et_4B_039405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGETTLSGFGGAGVDTKVLHAFQTSFVQVQSLLDQNRVLINEINQNHESKVPGDLSRNVGLIRELNNNIRRVVDLYADLSSLFAAAEGGRAASEGGSVGTVRQAGAGHKRIRSGLD >Et_7B_054099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15313643:15315558:-1 gene:Et_7B_054099 transcript:Et_7B_054099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIQYSGYGGGADALEHVEIPVPSLKNYEVLVKVEAASINPADWKIQKGMLRPFLPKFPCIPVTDVAGEIVGLVLHCAASKLFMSITKHRDNILQLHKGGGLAEYVAALESMTVTRPAGVSAADAAGLPISGLTALQVLMFIGTKFDGTDNGANILVTAASGGVGTYAVQLAKLGNHHVTATCGARNLDLVRSLGADEVLDYKTPEGASLTSPSGRKYDYIINTTDTSSWAALKPSLSSHGRVIDVTPNPANYIASVLTVFSRKKITMLTQVSLGKEQMRFLLDLVAEGKLRTVVDSRYPFEKAADAWKKSMSGHATGKVIVEM >Et_5B_044044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19363590:19367482:-1 gene:Et_5B_044044 transcript:Et_5B_044044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGGADAMGIGAVGEAEHREERPDAPPAAGEDAGPSRGAGVEAEEDEDQSVVGERAEAVLAAGMRALGSDLAAAELHGWKEKGNRLGTSPSLKCGPHPPSKQGRRRIDDQLLQFNQMPQLHLKERLRTDGEIDQPTGVTNNELLQAWALTRGDKVAERRRSVKPGLYQDELGEAGKGARRRQGEAEKS >Et_2B_018881.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1294479:1295812:1 gene:Et_2B_018881 transcript:Et_2B_018881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACNCFLPCPSVRGHAAGAAAGAGPSVAVAVAAAGDREAVEEVVLEMVGERRRLLARVRRQWQRRRRRGGGMPGHALVRRRRERVRLLDVVDDGEALVAGVPEAGGDDHDGGGGHERAGDRAADDLALAAGEVDGEPGGGRRRRRREEGAVEREDLEGAGEVDDGAGVPDGDVGDGAGAAEHADAELAPAREQRDALDNVAAGGDLQHVRAQRGRAVPVDDHRRLRLVLGPSRPAPRAAHHHRRRRLLLNPRRRRRLNLLLLLLVRAGAGLAAAVVAPGAVNGVFGVVDVLLLRRRAASGHPMLVLRGEMRERRRVLPREVEREERRLRPEVVPAAVGSRRRHGIHRSAAHALQSPTASSCSGASRRWRSKGRKQA >Et_5A_041452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23005067:23009184:1 gene:Et_5A_041452 transcript:Et_5A_041452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYHRVLAFPRRRRRWLLYAGAAAGAYLIYHHPAVAARRRRLARLASALASLADAVADVASDLAAFLRSDSDEVPQTLKQISKLAASPEASASTSALSGALAAGVLRGYAAASGSGSPSSGGEVALSDLVLEKMLSPSGERLASAVAGSFGRQLVLAFYSTSSQPATGSSPTSWVDVLTTRRCQRAIGSWVEVFTATAVGVFIDKTIHINTYDQLFAAATNPAYDSRLQELFVALCKSSVETLVNTSHSVLSSASSTGGNAKVSGTSGSNGGVGKGWVETVSGALAVPSNSKLALDLTGRATFEAVRSFLEFVLWKLHAGARAGGDATVGAGLRALRYTSERSIVIATICIALCLHILNGTWLAHFFWLVDLLHLSGSHRLAIPVEAPGMLLTTDPASAGCK >Et_9B_065828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13985753:13990790:1 gene:Et_9B_065828 transcript:Et_9B_065828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSRIENEEAVARCKERRQWMKTAVAARNAFAAAHSAYAFSLRDTGAALSEFAHGEGVPPQPPPEEEAGEAAAPGGAAAPGGTGAAKAARGVAGTSAAGEEPIMPPPPPSIDPLPPPPPPLPEFSPSPAKIHRSISMPLPPSTAAAKGSSVLHSDSIREEDEEDVDRDDEEEGEDDGQLDVRRRRLRHQPPVQPPVSPPPPETPVTPQPPPHLPETKSGIDTWDYFFSMEEGMASIGPDDDEIIPEPEEERYVTPSPPRRFPSPPRPAPIPLSEEFEEPPQTPEMVTPPPSSLPPKPPKNSSKKKKGKGKMKAAHHQHTESAPPITLGGGGKAAKAARPEMPRVDLLKVLAEIDERFLKASESAGEVSKMLEANRMHYHSNFVDNRGHVDHSARVMKIITWNRSFKGMQNGDDGKDEFENDEWETLATVVDKILAWEKKLYDEVKAGELMKLEYQRKVALLNRQKKHNASIEVLEKTKAAVTHLHTRYIVDMQSMDSTVSEIQHLRDNQLYPRLLDLADRMAKMWEDMHMHHANQLKTVLHLKAVDISDSHIETSAHHHSHTRQLREIVEKWNTNFNDLMNHQKEYINALYNWLKLNLIPIESSLKEKVASPPRMQQPPIKTFLQAWNEHLTKLPDDLAKTAIVSFRAVLDTILSVQDEELKQKALCEQTHREYMRRKQAFEDWYHKHSQRGKFDVDPESGEGTGHKDAVTERKFAVESLKSKLNDEFEAHNKLSKQVREKSLSILRAHLPELFRALADFSNASFDMYSKLRLMSLMQDQDFMGAPQHLWRIT >Et_10A_000433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10125483:10128323:-1 gene:Et_10A_000433 transcript:Et_10A_000433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRHECCKHGSGGALWLMLPLVLFIVLKTDFLPQVANFARPDLTNFADEMARKASSLGLDGTAQRQQQQPLDVSKSETAKADRTQQNQTLATNGPKDSSLVNSDVAPPATISKLSCNFSNYHSDFCEMEGDVRIHGRSAMVYVVSSSTYRWENATMKLRPYTRKWEEVTMSRIREFGIRSSPPNANDLVPPRCTATHDVPAVVFSVGGCGGNFFHAVSDVLVPLYVTAREYEGRVQLLAADYDAAWFARHRRLLAALSWFPVIDIAADAEVRCFPAAKVGLESHRVLGIDPARSRNGLDMVGFRDFLRSVFSLPRQWSTPASSGQRPRLVMVLRRHSRSLTNEADAVAALTELGFDVVASGPEDTRDVARFAGVVNSCDVMVGVHGAGLTNMVFLPGNATLVQIIPWGKMKWASWYDFGEPAPRMGLRYVEYEVNAEETTLKDKYPRDHPVFVDPYSIHRAGGAWRYFLDGQNVTLHIPRFKEAMRQVYLSVTTD >Et_3A_026016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3533345:3536856:1 gene:Et_3A_026016 transcript:Et_3A_026016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAVDKERFAESKKELDALLADDSLAKVPFLILGNKIDIPYAASEEELRYYLGLSNFTTGKGNVNLDNSNVRPLEIFMCSVVRKMGYGEGFKWMSQYIK >Et_10B_003126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16898527:16899390:-1 gene:Et_10B_003126 transcript:Et_10B_003126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TYAWCSNNGPFDGCINALPNAVDKDVGACILLLFWPMEAEAEAYLEGVRLAVEWVQQPAIVETDCSLLIDALNSSIKQRSSWKGILQDIHASAGLLPEFIFRRVKREANNVAHLLAQQAISRREFVVSRFNFPSCVRTLVESKLITIPSGNGRSDATPACTFVVP >Et_6A_048059.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4764119:4767382:-1 gene:Et_6A_048059 transcript:Et_6A_048059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVEVVGAHDLPARRRRGGGGVTPFVQVDFAGQRHATAVKPGASVNPTWNETLVFAADAAATGGGGVVGRRSLSDRAVKVGVYHRRASGGKSCLGRVRLFGAAVALSAGEAVLLRCPLDKPGLFSPARGEVTLRLYMAPYASSSAAGGAANAAAGNAYSATDATSTSYDGTDSMAGPEPVVGGGSTQSVHVFHSLPTQPSPGPLIHQQRPPPFLPPPAGVPKSAVMPSPAGVLPKSAIMPPPPDVPKSAVMPPPPGVLPKSAFIPPPPPGFPNSAFIPPPPPGFPNSAFIPPPPAGVPNRVTNKAASYLMVDKLEYLYVNVVRARDLPGTDITLGIDPYVEVRVGNYAAETRHWERNHNPEWNQVFAFAKETLQDNTVDVFVKTRNLLVPNGFVGKVALTIAEVPSLAPPNRPLAPQWYVLEGAGGGGEIMLAVWKGTQADEAFAGALHAGAHQGMSPAAVVATQTKTYHAPRLCYLRCHVIAARDLVAHRSTVNAFATVQLGAQLWRTRALPSSPPGGATWDQEFWFVAAWPFEEPLVVTVLDDVAPGRHEELGRLVLPKGALKTLQFDKKKFAPPPPAWFDLERPRYSDGGDGDGDGHSAVDRSGWRHEFRSKIQLRVYYDAAYHVLDELASYASDFQPSARALRSPPVGVLELGVLRATGLPSTTKPPTNGGGRRRSTSVNAYCVAKYGQKWVRTRTVPDTASPSWQEQFTFDVFDPCTVLTVAVFDNHQLLLSGGTGEISDAPLGKVRIRVSTLSPGRAYEHPYALFVLRPSAGLLRCGELRLAVRFTHASWAAALAGATAMYLRPQLPNQHFAKPIPSQFVTELRRRAVDVVAARLSRAEPPLRPEAVHYLLRDPAAHPSPAAPEQGGVYYSRRRHAAVYARLHAVLAPAIAFATWYRGVRNWDRPPATALVLLLFLTLTWSPRLILPTFFLYFFAVGAWNFRRRPGRPEQMEHHADGLPAMFLDEEFDFGAASGTPPDVVEWRYRRLREIAASVQAMIGNAAGVGERAHALLSWRDRRATAVALVAVAALAVVFYAVPFWAVVAVAGLFEMRPPVMRRKVPSPLFHFFRRLPTNAETML >Et_2A_018055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9538134:9538594:1 gene:Et_2A_018055 transcript:Et_2A_018055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRR >Et_4B_040024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7989036:7989535:-1 gene:Et_4B_040024 transcript:Et_4B_040024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAVRPAFAYTVVYVKDVVKSAAFYSSAFGYTVRRLDESHKQAARHAPLTWVVPRCADNVTRQLPLWAELESGATTIAFTPLHQRETDAITGHVQLPDSPAERGPVEICFDYADVDAAYRRAVDNGAVPVSAPEQKNWGQKVGYVRDIDGIIVRLGSHVRE >Et_9A_062360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22130833:22133249:-1 gene:Et_9A_062360 transcript:Et_9A_062360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDARHDHGGRHQRHLSGGDFQFHEEDLASLFAQRPEAGTPMQQPWFADYLHATAPTPLDYDSFAGEFDVMPAVEEVKRELAVDTGGGIPGGSGAASSAPLTPNSMSLSSTSSEACGAGAGTGEEAAGKCKKEEGEGEDSKEGSAAKGDGEMVDGKNKKGKIFSVLDLRVYISSKVKQVFDAFSLRKAGKGKGEKRPRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTTQKCPVKKRVERSFQDAAVVITTYEGKHTHPIPATLRGSAHLLAAAHHPHFRMPPPPPPHMAGAAGYAAYGRSGAFDALGFLQAQQQQQQQGHHQAMQQLVSGSAATAGAQQVMNAAMASSHAAALDQHASHLAAIAGTASATAATTTSAPLRIQHFMAQDYAGLLQDMLPSFIQNEGGNNHRNS >Et_10A_000369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5948593:5948844:1 gene:Et_10A_000369 transcript:Et_10A_000369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEERREEERKKMRQKEKERRRKYETERKASEAERERMRERARRARAASPDVFRKEKYPRCTQ >Et_1A_005072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25160205:25161257:-1 gene:Et_1A_005072 transcript:Et_1A_005072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLGLEYLPAGGPRRFSYAELKAATKDFSNVVGKGAYGTVYRGELPDRRAVAVKQLHGVGGGEAEFWAEVTIIARMHHLNLVRMWGFCADRDQRMLVYEYVPNGSLDKYLFAPSSSGSSADDLHTRYRIALGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLTSKRDKVTMSRIRGTRGYMAPEWVIHREPITAKADVYSFGMVLLEIVSGRRNYGFRQDSWAYEKVYVERRIDDILDPRIAASYDDAASVATVERMVKTAMWCLQDRAEMRPSMGKVAKMLEGSVEITDPVKPTIFCVQDD >Et_5B_045552.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:4286791:4287411:1 gene:Et_5B_045552 transcript:Et_5B_045552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDNESGGPSNADFSSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYIEPLKLYLHKFRELEGEKLATGAAGSGAVSSQQRDAPSAAHNGAGGYGMYGGGGGGGSGMIMMMGQPMYGSSPPGPAGYPQPPHHQMVMKGGYGHGGGSPSGLGRQDRV >Et_7B_055737.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3145630:3146343:1 gene:Et_7B_055737 transcript:Et_7B_055737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTKANTKTPAISSARVPGARKAAAVSSSKLDTSTVAPPEFEEAATKVSPPAPKPLEGWGLQDFDEEEEEEAVAVAKSAAAKKGGKGATKGKGRAPFKDKYLLSRPSQEADPEGEDLFMSGDPAAAGFGDVPSSFDPFADAARAEDAAAPKDVVHLRVQQRNGRKSLTTVQGLCADFNYAKVLRDLKRELCCSGVVVEDEELGKIIQLQGDHRKSVGAFIIKNGMARKDNVKIHGF >Et_10A_000044.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18920482:18921612:1 gene:Et_10A_000044 transcript:Et_10A_000044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAHGLVLRLGLLADVVVATALLDMYAKCVRVADARRVFDDMAVRNVVSWNAIVVCYGRNGEGKEALELFRLMLRDGFCCPDELTLASVLSSCANMAAANEATQVHTYAVKRGAQDFLQVANALIMAYGKSGFVREATQVFAMTDNPDIFTWSSMVSSYAYLGHAQDAVHLFERMLQKGVQPDGIAFLGVLSACSHAGLIEDGLHFFLVMSREYRIDPSPRHLACLVDLLGRAGRIEDAYNVLVKLSCEGNADVIGAFLSACKTQGEIELAKWAADRLLCLEPSEPVNYLLMSNAFAAAGAWHELAKVRGVMRNSCGNKVPGCSWIEIAGTVQTFVSDDIFLHQSMEMQQMMELITILVQKQHDEDTFYDLFSC >Et_7B_053283.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:21240421:21241131:-1 gene:Et_7B_053283 transcript:Et_7B_053283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SNSITMEGSGSSSMALLSLLILALMTASSSLTTVSHAQSPHFLSLFLIRC >Et_1B_013458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8623206:8627601:1 gene:Et_1B_013458 transcript:Et_1B_013458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGVVECSVCRSRLVAPSPRSVSRAYDKHRSKISSKFRARNVLLVVGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVMKVIFAIVMLIIQSRKQKVGEKPLLARSTFIQAARNNVLLAVPALLYAINNYLKFIMQLYFNPSTVKMLSNLKVLVIAVLLKFIMRRKFSIIQWEALALLLIGISINQLKTVPAGNTAFGLPVTAIAYIYTLVFVTVPSMASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILGTALFQGPESFNILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHKLTINFLLGISVVFISMHQFFSPMAKVKDDKPTELVELEDSQNHRSSESSFVNMTAGAADDVSNNIFKKKVHVLHVLEALHLSCLSFQASHRIGNDERQPLLPT >Et_2A_018830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8562353:8565588:-1 gene:Et_2A_018830 transcript:Et_2A_018830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPIGHGECADSFEDFVCVEGPSRSSSSTVSCKAVKGSHELRIHGYSILKQLRRKRVESPAFDVGGHRWRLQLCPNGDSEDEDDEEDDDDNPGCDDEVLADHVGLFLRRVDSDSDIKNEPVFAKFQFSLLDQQGNPAGDFFKCKSWERFQQVETWGREDWMRREVLEKPEHLKDDSFAVRINTTVTDSLLPGKPLCNIGGK >Et_1A_006980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29844642:29849521:-1 gene:Et_1A_006980 transcript:Et_1A_006980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGAPEPSLPCARCGKPAALQYMPQVCGIEASTTQDCFKAAWSSHKSVHKKLDPQQPPEGWGYCLKKGRSRTLKLPAFAWTGPLRPYPISKMRVVPDEIEKPDWAVDGIPKIEPDSDLQKRVEIKTPELIERMRETCRIAREVLDAGARIIKPGITTDEIDRVIHEETVARGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIVNIDVTVYYKGVHGDLNETYFVGNVDEASKQLVRCTYECLEKAIAIVKPGVRFREVGEIINRHASMSGFSVVKSYCGHGIGELFHCAPNIPHYSRVWLDRLWPDEWTAVTADGKRSAQFEHTLLVTETGCEVLTARLPSSPDVYPWLKP >Et_9A_061525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13084565:13087170:-1 gene:Et_9A_061525 transcript:Et_9A_061525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQESFSSLSSLRQCRICHEEEDEGCATMEAPCRCSGSLEYAHRGCVQKWCDEKGSTVCEICLQNFEPGYIMPPKKTPVAEMAVTFSEPELQHDQNMQPVGSSENLIDSADYTEYCYDMNHGNTWCRSLTIMFAIMLLMWHLVAVVTTEAADHCAFSLVTVYLLRVAGILLPFYIVMRLIRMIKKGQWQYRLQQLEEQRRIASTMNNMYSHQQHLVINVH >Et_3B_030745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:718374:722624:1 gene:Et_3B_030745 transcript:Et_3B_030745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGGELKSSLVQQMMWSGTDSKNMMGSLMPCSEEQGASTKMPPLSSPSMLLPQQLLQQIPSSTGLSPEMNNIATSLASEDLHGAQESSMPESWSQLLLGGLAGDHERYSVATALLSKGLEEGPMSQASAAYNFYGGHGGEEIQTSGANKSQVSQMLMASSPRSCITTSLGSNMLDFSNGTAPAPELRNHQSDNSSESNSNATGSAPKKARVQTSSSAQSTLKVRKERLGDRITALHQIVSPFGKTDTASVLQETIGYIRFLLSQIEDAENGGQAKKDLRSRGLCLVPVSCTSHLADDSGASEYFWAVAAAPPPPATLGGGFIWR >Et_2A_018674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4968672:4970475:1 gene:Et_2A_018674 transcript:Et_2A_018674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGAEVPAREHQSSLSGRWLDAKGREAKRPWRWAVNAVLAVFVTIVTLTAILFGSARTSAPPIWISTSRGGSGYGSVPRWQEGSFDKLLGGLLADGSDERSCHSRYQSAMYRRNPGRKPSPYLVSKLRRQEDLQRRCGPGTIAYSTALDQLRSRNRGVVGSPECKYLVSISFAYSGLGNRILAAAAAFMYALLTDRVLLVDPSSEMGDLFCEPFPNTTWLLPPGFPLTGYTNFSSDTAESYGNMLKNKVLRSDAGDLPASQLPVYAYIHLNFDATQDDKLFYCDEHQRLLRDIPWLVMRTDNYIVPGLLLDRGFREEFARLFPESDTVFHHLGRYLFHPTNHVWGLITRYYDAYLATVHQRVGIQVRVFGALPNKPALLEQITQCTQKHGVLPELLRGTEPFIPGPSRKSKAVLVTSLKSWYFEKLKSMYWEQTAATGEAVSVHQPSHEEFQQYGAKSHDTKAWAEIYLLSLTDTLVTTAWSTFGYVAQGLGGLRPWVMYKPENDSVVPDPPCGQDVSMDPCFHAPPFYDCRLKRGVDTGNIVPQVKHCIDMRWGLKLVESS >Et_10A_001439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3171612:3176150:-1 gene:Et_10A_001439 transcript:Et_10A_001439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPSSVPAPAPVTAVPKKRGSYNCGRCGLPKKGHVCPVPGAPAKGGGEGEAGAVGAAAAGELKPRRALHFDDDAVAAAVPEGVVEAVPLAMAAPPPRPPPTEKKKARADAPLPPPPRPAAKKARVEVVVDDDDEEDGDDDEEEAAAGREGGVELGAGRRVPGEVVVEVLRRLAPRGVAASAAVSRGWRGCARRVWRAAEEVRLRAAGVGPVGALLTRCPVLSRLVLRMDSDVDATMLACIAFSCPNLQTLDISMANNAVNRITGDELTRFVSEKRSLSVLKLEGCSSLGFLNISSSSLSVLWLSGLCSLSKAVINCSNLDELSLAFPKQNNDSTDLIALMDSLGRTCQNLRNMHISSIHLCNEAVFALESANLRGLCMLSLILCSKITDVAVASIVRSCASLELLDLSGSSITDNGLGMICKSFPHTLTRLLLALCPNITSCGVQAAATQLPLLRLLDCGKSLCVKPQPEAGRSYFGDITGGIKFCSKLATQKKQQATYEKLIIKHTNLRKLSLWGCSAIDALYVNCPELVDLNLNSCTNLHPERLLLQCPNLKDVHVSGCRDMLIGAIRNQVLNEFAMAEPRMSCKRLADGSKRVQVPHFMLEQVLEENEKGRGSRRSQCTVHIA >Et_8B_059626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2078036:2080217:1 gene:Et_8B_059626 transcript:Et_8B_059626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVASSSHGVRVLLLLMAATLACQCHVAAAILQCNCSGAHGCRGLGVNYGTVADDLPSAARSVELLRAAGAGGVKIYDVNPAILGALAGTGIPVSVTVPNEAIPSLAASRDAADTWVAANVAPHVPATRVAHLLVGNEVLSNRAIADSTWRAVVPAMANLRRALRARGIGKVKLGTPLAMDALAASYPPSAGAFREDVADAVVRPLLRFLNATGSYYFVDAYPYFAWAGNRQSISLDYALFQGDASTHYVDPGTGLTYTNLLDQMLDAVVAAMAKLGYGGVKLAVSETGWPSGGDASEAGANVRNAATYNRNLAARMSTNPGTPARPGAKMPVFLFSLFNENQKPGPGTERHWGLYYPNETKVYDVDLTGRKPAQSYPPLPDADDQDGAAVWCVLAGGKAADKAAVAAAVKYACEQRSGTCSAIQPGGACREPNTLRAHASYAFNAYWQQFKGVGGTCFFNGLAETTTKDPSHGSCKFASSLEY >Et_8B_059345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1677745:1678315:1 gene:Et_8B_059345 transcript:Et_8B_059345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGDEQPRVHAHGRARPPRRGDVLVGYVVESLHGELLCVDVLDHLDPRRRPEADALPSVTAHHATGARQREAAVGGARPRRCFAVDYREFSGSKEAVAGGGCAFFVGRHPRWVKGKKVHAVYRYSFRDGTARVVDELPEFFDARSNRKTTEHGPCSSAVHTWNTRRLCHHLNLA >Et_7A_050638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9196512:9197882:-1 gene:Et_7A_050638 transcript:Et_7A_050638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATTDPIHLDGLEEDDFWLLFKSCVFGDEKYEGKQNLQIIGQGIAKKLKGYPLAAKSVGALLKRNLDSGHWMGILQSDEWKLQQGPDDIIPALKLSYIHLPFHLQRCFSYCALFPKGHRFDALDLVRIWISQGLISSKNGRMEDIGHQYLNDLVDRGFFERSAHYSIHDLMHDLAQIVSSEECHMIDGVDSRNVTSFPTIRHVSINTRFAWGAVHTRNLSTLILFGKYDDGFSKTFSQVFKDVHHLRVLRLPTLTYSMDFLLGDFNKLIHLRYLELISSGPGEPLPEVISQLYHLQVLDVECWLNLSSLPQGMNSLVNLRHFVAQGELHAMIAGVGRLKFLQELKVFRVGKTTDFGIGQLDGLRELGGSLEIYNLENVRSKEESHSAGLRDKRYLQDLLLSWSNNHCVVRSITEAEVLEGLHPHSCLKRVFI >Et_3A_025648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31581942:31587378:1 gene:Et_3A_025648 transcript:Et_3A_025648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLEYWTAPSRSRDSTPLAERDKAGVSAHVFPQPSLPCSGRYLFNSNRNPEELVRLPSKFTHSSTLVSRSLTPSTHSTTQHPHAGAMGSAGSESEVTRADFPDGFVFGVATSAYQIEGARREGGKGDSIWDVFTEDKERVLDKSNGDVAVDHYHRYKEDIELMASLGFSAYRFSISWARIFPDGLGEKINEQGVAFYNDLINFMIEKGIEPYATLYHWDLPNNLQKTMGGWISDKIVECFALYAEACFANFGDRVKHWITINEPLQTAVNGYGIGVFAPGGCEGEAARCYLAAHHQILAHASAVDVYRRKFKATQGGVVGLVVDCEWAEPFSEKLEDQIASARRIDFQLGWYLDPIYFGDYPESMRQRVGKDLPTFSEKDREFIRDKIDFIGINHYTSRFIAHHPNPGDICFYQVQQVERMEKWNTGEQIGERAASEWLFIVPWGLRKLLTHVAKRYNNPIIYVTENGMDEEDDQSARLDQVLNDTKRVGYFIGYLASVAKAIKDGADIRGYFAWSFLDNFEWAMGYTKRFGIVYVDYKNGLSRHPKASALWFSRFLKGEAAENKADTE >Et_10A_000058.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20859637:20860760:1 gene:Et_10A_000058 transcript:Et_10A_000058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGLGGDPPESTQLRIGDDVAWSDINGVYDRDDSLKENTNPKCVLKQQAHPHHHAGGGASSQRFSGNLKPTAAPIIGLPGKLGGQGGGGGGARRHHPPAIFPKKAKTGGGGRQAKPAVPEPGSPKVSCFGKVRSERERSFRRRPSRSPGPRPAGCCGGFGFLMRRSGSRNSAVECVDQSPPPPPLPSAAAARRWEMKEMETESPAPGLGGMRRFSSGRRAADWAAEMENDGRVAKSGPL >Et_2B_022471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2326229:2327733:-1 gene:Et_2B_022471 transcript:Et_2B_022471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYEKLLESTAYERMRAEHPAEFAPASVFFTHDARSAIDRRNSFRVKAALVFEAITGHHVDDHMLRANRLLLALAKACRSAIRGTSEEINKKPDTETEDKSKQKVEGAAEPGSGGPKDNTQQKEEKAELTDEAWDAEHKRVVDGIFLVVGFLPRLMEAIAASPDRDGVDESFKSRHMHDIVTDVVKLENQLPLKVLLDVAGVVEDVVRKTLDLDEFKDVKESFKGEYKLAFTKESFGDVVHGFCWYYSPFATKKAPADKAAAPAADDDEKSTLLDCLHRSVAPAPPARGARATGRPSRMLAARELRRVGVRIQAAENGRAEIDFKERPWAALRLPALVFDFKLATVARNLLAREYEAQSKPVTRYFQMMNELVEDAADVRVLRRAGAVRGGASAGGDQEVRGLVKNIDGHATYPSVYMAMDREIEKVKQHHDQRMKNFFVRNRPGVIWASSVAAVSVVAIVAARKARG >Et_7B_054564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:23296265:23300677:-1 gene:Et_7B_054564 transcript:Et_7B_054564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METDLLGVGFDFYWQPPPHLMLDTHDLAVDRYYLLLFIMHICDELAKPVACASSMYDVPATEAAGDSMYPYREDSNSPDGANSCSAAAVPTASSSPPPPPQPGCAATSKNMVMERDRRRRLNEKLYALRSVVPNITKMDKASILRDAIAYIERLQEEERRMLAEVSALESSAAVKTEGATAGRGATDDADSFPWRKKPQRASPDDGATRSVTAPPVQILEAGEKVSVVSVRCSRGRDAVAKVCRALEPLRLRVVTATIAAVGDAVVHTMFVQPACLLTHREAPVAYASLSRLNFGACLFSPTGTTSTRTTEEMGVAQLKDTVQAALAQLDVTGRSLKPI >Et_5B_044065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19658589:19658865:1 gene:Et_5B_044065 transcript:Et_5B_044065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHQRLQTRAARTRSAGSSGGGRGGAAMLGKKTLAESRVTLSARPRQYASDAVSRQMELGARGNMVTWCSCSY >Et_1A_006518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23618228:23627107:1 gene:Et_1A_006518 transcript:Et_1A_006518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMLAVLLVLVLLASSAARHASAAVPFTAMSAASNASGVVAGKLNVHLVPHSHDDVGWLKTVDQYYVGSNNTIQGACVMNTLDSVVDALAADPARKFVVVEQAFFQRWWAEKSPKVQATVRKLVDSGQLEFINGGWCMHDEAAVHYIDMIDQTTLGHRMIKKQFNKTPRAGWQIDPFGHSAVQAYLLGVELGFDSMHFARIDYQDRAKRKADKGLEVIWRGSRTFGSSSQIFTNAFPVHYSPPDGFGFEVLDENIIPVQDDLSLFDYNVEERVNDFVAAAIAQANVTRTDHIMWTMGDDFNYQYAESWFRNMDKLIHHVNKDGRVHALYSTPSIYTDAKYASNESWAVKNDDYFPYADAANAYWTGYFTSRPTFKRYVRMLSGYYLAARQVEFLVGKSSLGLFTSSLEDAMGIAQHHDAVSGTAKQHTTDDYSKRLALGASKVEKGVNTALTCLTSSNGTCMSSVVKFSQCQLLNISYCPATEDAVSAAKSLVVVAYNPLGWERSDFIRIPVNDQNLVVKSSDGTIIDSQLVEVDNVTANLRKFYVKAYLGITTEKPPKYWLVFQASVPPMGWNSYFISRPNGTGGFANSTKLSLASGAYIFRPDGNTPTTISGSVPLKVIHGPLVDEVHQQFNSWIYQVTRLYKNREHAEVEYTIGPIPVADNVGKEVVTRLTANMVTNSTFYTDSNGRDFLKRVKNYREDWDLQVTQPVAGNYYPVNLGVYVADGKYELSVLVDRAAGASSIQDGQLEIMLHRRILQDDGRGVGEPLDESVCVDQDCEGLTARGTYYVNVDKLGHGAHWRRTLGQQVYSPFLLAFTQEDEATWKSYNVAKASMMDANYSLPDNVAVVTLQILDDGTTLLRLAHLFEAAEDPQYSVMAKVELRKVFGRRTIKQLTEMSLSANQKKSEMKKLNWRVVGETESGPTPMKGGPVDSQALIVELGPMEIRTFLLQF >Et_3B_031189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20129047:20129479:1 gene:Et_3B_031189 transcript:Et_3B_031189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGLWSSSGHERILIFTTNHMDRLDPALLRPGRMDMHVHMGYCRFGAFKELAATYHGVGDGHPLFPEIEALLREVDAAPAEVAGKLLATDDADAAVEMVAKLLRDRKAGAEEDGGSCTRDLGVRGRGRRRRRRLLAEA >Et_7A_051372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17582511:17583263:1 gene:Et_7A_051372 transcript:Et_7A_051372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSFAAAIGAAVALIADDDEDQEATAPVQQPEEDYAKDSEDKSDVDEPPLPFQKPTGRQWVELTLRDLDHDMRFTFVGAGMSGACHDMAVLTECQRYDNFPEPPP >Et_9A_062095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19739433:19743769:1 gene:Et_9A_062095 transcript:Et_9A_062095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NFGRESVHCSSDFKRRMAFSDDGKMKGCQPKLFGTKDKKVAKRTDRASCSTVKGGSSSSKSPSSSPFRKLSEVRSMRLSHFLAHTSNSTKSEHVRIFVSTWNVGGKAPTAELKLDDFLPADDRSDIYVLGFQEIVPLNAGNVLVIEDNEPAARWLALINRALNRPVDTDTDIFHHKSSPSLDSTSSQSTSDLDASFSNRSRTASGSVIFQKSLKSIRKSYMPSQRKKLKFCNCSVEMTKKSYKDACFRCPQAYANEMDSSEEDELDDKLNDVYGRAVDGIASATSASRDQLKYNLVSCKQMVGIFVTVWAKKELVQHIGHLRTSCIGRGIMGYLGNKGCISVSMTLYQTSFCFICSHLASGEKEGDELRRNLDVLEILRLTQFRRICRRAGRRIPEKILDHDRAIWFGDLNYRISLSYEDTKKLLTENNWDALFEKDQLNIQRASGSAFKGWSEEKIYFAPTYKYSFNSDSYAGETATSKKKRRTPAWCDRILWHGDGIAQLSYFRGESKFSDHRPVCGSFVVEVEIADGKSKRRSSNTNIRIGAEELLPMGDSKVDTVFLQPL >Et_5B_043582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12051951:12053332:1 gene:Et_5B_043582 transcript:Et_5B_043582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADLSPEEQYIINLSFQDLSSHMRQCFLYCSIFPEGYFIEKQYIIQQWISEGFIVKKRKFTLEEIAEYCFYELIGRGLLHLDFGKDGVAGAKMPIIIRSFAKDVSDHENFCNESVSTADLFQARRHAIVRNNEANNHNEDDIKNGPNIVESSLLHGVGSMKYLRTLILRQSIVRDGILVDTFKRLTLLRVLDLREAKGIEVLPVTIGRLEHLRYLNISETKISTVPGTIVSLRMLQYLLLRNCERIHTLPRGIRRLRNLRSLDISGTGIDNINWSFRDMLELTSVQGIPMGKDCSLQMLDLKDPKKLTVLHVEKLENVLDPPSENEYPVQKYYLRELELCYSNAEPPLVTDDRLNNIEKVLDRFFPHKRLVHLKIQNYYGKNYPSSISLMSNLQRLYLRNCLLCEELPSLGKLPQLKFLAVTGFVKLGKLGAELRGDLKNKKVAFPRLSAATHS >Et_1A_009347.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4051804:4053063:-1 gene:Et_1A_009347 transcript:Et_1A_009347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAGELAKKEESQITIMLSQAVSLSSQPSLPSLPSLGTRDDTNVSPSFHQCVATLSGHSSHVSALAVDGDSLYSASSDGRIMVWSLDDASGSQEQHRDDDGRGATVVAVTNSSVKCLLVTGDGIVLSSHQDGKIRAWRAGTRKKDGTRRLVLRAVLPTACDRLRTCPLPWSYVEVRRHRWRTWVHHVDAVTALAVSPDGALLYSASWDRSLKVWRLPGHRCVESIPAAHDDAINAVVASPDGHVYTGSADKRIKAWRRRPEQGNNKHVLVATLERHRSAVNALALGGDGKVLYSGACDRSVVVWERGAGADGRMEATGTLRGHAKAILCLAAAGEVMCSGSADRTVRVWRRGAGNAGYTCLAVLERHGAAVKSLALVRRGVEEGLSGGGHGGSALVCSGALDGEVKIWSVLVPCLVKR >Et_8B_058667.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:15277503:15277622:-1 gene:Et_8B_058667 transcript:Et_8B_058667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQAVVCLSVISRPSYCLHFRILVSISIIEPVIQLLIA >Et_8A_057961.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:10041278:10042273:1 gene:Et_8A_057961 transcript:Et_8A_057961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADGQLARQQQHQHQLVAELCRVQELVRQLDLHLRSPSSSVDQCRLLAAEIVALTDRSIGIAAGTPPSYPGSGAPSPLSDAGSDPFRGGANGGSPKKRKATARWSSQVRVSASAAAGAGAEGGPADDGHSWRKYGQKDILGARHPRAYYRCTHRNSQGCPATKQVQRTDDDPALFDVVYHGDHTCSSSKAAGAAGNRRHQPHQPPQHNPHAESLLQSLGARLTVATAADGTAIGGVAAPPMTPESLPPRGASSPWSLASPVGSDSNNNNVYPLAAGYGEWPADGGDLQEAVSVYAALGTEFMPPPEYFCFDGESFQFGADDAMPSLFYP >Et_4B_036757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11189545:11193819:-1 gene:Et_4B_036757 transcript:Et_4B_036757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKYRRHAEERPWRRPSLYALQECSSGGNASSPLSGSHNSDAVMIYTAWHIWNERNRRVFTQIAKQAEVVHIIREDIRLRFELVWYEADKSGLSQRRTSLVRGELVQCDASVVQKLSGYVRIDSEAD >Et_8B_059269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1613156:1615935:-1 gene:Et_8B_059269 transcript:Et_8B_059269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAPVLVRHAGGVSALPPGFRFRPTDEELVVQYLRRKAFGVPLPAAVIPVVANLYSLDPWDIPGASGGEKYFFAVRPASSGAGECARAKSVGGVSTASGRWKPAGKERPVVLSRPCGGGSLLVGVKRELAFAPRRGKKKNSNRASAAVGLTAGWVMHEYRLAAPLHKNGCSLADAEGEWVACRLFRKSRQQPRRRAGDGTAPRRTMPAPPSLSAASSASCVTDGSDQEEVSS >Et_6A_047469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6209494:6211006:1 gene:Et_6A_047469 transcript:Et_6A_047469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRKNKKLKRTTAPEAEGGEDRISDLHDDLLHRVLCFLPAHETVRTCVLSRRWREVWKWKSTRVLRFTEAESWGSPVRFNKFVNDMLSIRELAPLEEIVFKTYLFWPMLTSHAYYNKKEPVRYAEAWIQHALMRDVKVLRVLVNSRDRILVLKKPFVSQHLRNLELKTTVLGGCSMDFLRCPALQDLEMTDCKIKIHRILSQSLRRLCFNKCKFSDSICTRIVAPNLLSLRLDVRDGSRVPRHNNFEQCDSDTCIDSYGNHAGTNSCVLLESFSNVTDLELAAYNVHRYHHRGVAIFKNDMQLSPTFNMLQTLVLSRLVGASEVHQLINFLRHASVLKKISLEPFEVYMHTNDCSYISRYIISCVID >Et_6A_046762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20283304:20286039:-1 gene:Et_6A_046762 transcript:Et_6A_046762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQGNQDGSRFRAFVDKVPGAVQGSNAGDMEFHQRSSGSQAARGYLNGTRPLPSEFAMYDASMVGSNQHFHDEQSLISAIESLNFRNRAADMPNNHRSAALTNGHFPSGRVDVTLNQPRATHQDEFMPRFSAACAKQKVDELALEDRGQAYSFQPHLGNFSRSSGQPNSDGISVPYHPSTATASPFQQHCYVDGYSPIYAPYDQSGSNFLPRHDLSAQPYSVMQPHYVYQRSHQINGLDVPRNRRSNQQATVCTPAGASSYLGIPNFHGLESGNHYLNGATFQRGNGWLNSTFTDRLPSTSYTDGSCGSGDFLQFQQHEKVAYPYGPGSSQHWITGNSTICYPERTLMRPDGVNSFRSIKISSSANGCAGMNQRTNGYGHNYLDIQRNNSVNLDWLKPQFLSSKSESESAMESPQLTYNSVDEVVGRICIVATDQNGCRFLQKVFTEGTKDYADKVFAEIIDHITELMVDPFAHYLVQKILDECSNDQRMRIICEITKVPADLLKVSCNMHGKKIRTRVVQKVIETINTPEQALKVISALSPGAMRLMTDPNGSHVVHRCLQKLLPEHKAFLLDAAASRHLQLARDRHGCCVLQKCIEHSNDDQRNNLLNNITSGALRLSEDQYGNYVIQFILSLKIEWSTSKIVDELEGHFGNLSMQKCGSHVVEQCLKLAPQLVRDRIINELMNDPKLPHVMLDQYGNFVIQTALKESKGVQHTAFVEAIRPHAAALQSNMFGKRVLSRTYLKNKQHRLGIF >Et_5A_040329.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:14413481:14413921:1 gene:Et_5A_040329 transcript:Et_5A_040329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAICGPRLDCGHVEVVGDRTAAQRASARLHRTAASTWALGSLRRINCRASRGKKRKKRNRQSRSGSRRRSSSHCWASTISSPGRGTRPRSPTPGRRRRRLAPPPPGHQRRGLVLPPPSHGRRRWLLASRSLSAARQAPSSAGRGS >Et_1A_005274.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:37396088:37396213:1 gene:Et_1A_005274 transcript:Et_1A_005274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKFLLDSSPTSSCGASIKKYYYLLILERRRVYFCYRILD >Et_9B_065656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8876819:8879456:-1 gene:Et_9B_065656 transcript:Et_9B_065656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHKKTSWPELLNVPAEAAKRKILADRPDVQVVVVPEGSFVTTEFNPKRVRVFVNGDNDVEQITGFEWTKKMSGYQKTSWPELVGTPAEAAKQKILYDRPDVNVILLPAGSFVTTEYNPKRVRVFFNPNGDVAEIPKIG >Et_2B_019889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14906180:14909369:-1 gene:Et_2B_019889 transcript:Et_2B_019889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRLLPLRHRCFSTSAASNATPTLYSSGTNPFSLLSWGRGASGQLGGGKEERRLYPSPVAHLLLPDSDPRLAPTPGRLPAAADTSGLEVGISCGLFHSALLVDGGAWVWGKGDGGRLGLGDESSAFVPRPNPNLQDLRLLALGGIHSAALITSGDIFTWGYGGFGALGHYVYHRELLPKRVNGPWEGKITHIATSGAHTAAVTDSGELYTWGRDEGDGRLGLGSGGGPGEAGSLSVPSKVNALPVPVAAVACGGFFTMGLTSDGQLWSWGDEGEVLSWGHGGHGQLGHPTLQNHRVPLAIKALSEERIVYIACGGSTSAAISDKGDLYMWGNARDCQLGVPGLPEVQPLPVKVNFLRDGDEDLGPPRVISVAIGASHAMCLVSTQQTEK >Et_9A_062674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:370881:372698:-1 gene:Et_9A_062674 transcript:Et_9A_062674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LGENRYLELTNVAILGQPRIRFAVREKRADAKSALKNILLNGGPYQESSNKQMRKQKGSGKSKGQHPCPGKNRHSKNKCGQNWRNFDEDDGIETPYGTFGGKKSFTWYWPGENGDGSSSSGFQWRDESQSAKSREKFWNESDIEEEEESCHGNLQSHRISLGLPLSGPLKLDHIKSAFRISALKWHPDKHQGPSQAEAEEKFRQCVEAYNALASAFKSSG >Et_6B_048388.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18063783:18064148:1 gene:Et_6B_048388 transcript:Et_6B_048388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHARHVRSLLAGEEEGAGAPPESPRGGGGGLRRLEEGDGVADKTTNSSELTIVLCFRRDCLKSVSSCYCCGLLPDMPCYLTKDKCRSVCPHAQTLPRALPDPQRFVSHQLSLLQDCDIC >Et_1A_006979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29829630:29832963:-1 gene:Et_1A_006979 transcript:Et_1A_006979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRAASGRPSGTDGSDFSYRMVVDSRYQRVAEGRSRLARLILVQALHQVAGGVLLLLSLSKGAEPNKFAVLSVAAGLLAILVGELGRRRTMAALLRMYTSLSSVAVAFSAACIIRSELFLKVTKQNMAAITSYELIDAVRVALGVLLQFVVIATTTRLLQNMSPPKRAS >Et_9B_066004.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:20027658:20030354:1 gene:Et_9B_066004 transcript:Et_9B_066004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPANPSHHSLLVLPLLLLLLIPAAAAAASTSLSTTSYAVHCPALPAAPDLPAVDGSAQLPTLQLSTGYFSGGGNRLFGPEPSSVPRSFSLLPSSILRTTNASLLHVSATLTISGGRRLGRSFGRNLFEYDGHANRFRPRLPRFTGRRGSVTFGLEGFYSTASGELCMVGTGFGRAADGSPVNFLSAMLRVGFPSPANLTRSFVTGRLESVGSPSPLEPVSLVAYAEEGYAYDESASCPPPPSGRLDALQVFEGRNFSCAQLNMMLKTPFRLQYGDNSYSTASSLGLHQMYMYINRMQCAEDGAVHAYVAFTNQTEASRYYFMLGEKAVVAEGFWDQKSSRLCLKGCHVVNSGPSRADLSVGECGIGMTFWFPAVWSIEQRSFAAGLVWNASMKSGEAIAAGSSAITPKFRGNLAGLKYNYTKVDEAKKYYEMSGLNKKRKGKFPDSNSYRDLVFRFYVQKGGGSGYASPVTIGSMLFDGNSLVVPDPASNHLMTDMKQNLINVSYDIYYVGNWSLESFHRRHIAAEGVYDTKTGSLCMIACREVNALSDCEMLVTAQFSSLDAKVTQHVKGTLRSLRKKTDPLFFEELNIASYGLYIDQVDESIWRMDLESTMALISMTLACIFIAVQLFHVKKVPEALPAMSITMLLVLALGYMIPLVLNFEALFKNSNKQTLPLSGGGWLEVNEVMVRIITMITFLLQLRLLQLAWAARSLDGSKAESWAAERKVLWICLPLYFIGGIITWVVHTKFSHGRRMLRQVVIVKPIRHAFWEDLVSYGGLILDGFLLPQVLLNIFSDSKVGALSPGFYIGSTIIRALPHVYDAFRAKHFVPSLRPSYMYASPRDDLFSLAWDIVIPCGAVLLSVILFFQQRLGGAFFVCSKNRKSREYEMVSTVSS >Et_9B_065596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7752665:7757621:1 gene:Et_9B_065596 transcript:Et_9B_065596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTITVTRKSQSFVVPSEPAPAETLELSAIDRVPGLRHTVRSLHVFRHKDATITGRPAEVISAALSRALVDYRPFAGRFVGSLVAGEACVACTDEGAWFVDAVADCSLDDVNGLDYPLMVSEEELLPAPEEGVDPTSIPVMMQVTEFACGGFVVGLVAVHTIADGLGAAQFINAISEFARGLDKPTVTPVWARTLIPSPAKLPPGPPPSFKPLGFQHFATDVTSDRIAHVKAEYFQTTGQYCSTFDIAIAKVWQARTRALKYNPENEVHVCFFANTRHLLTQVLPKDGAFYGNCFYPVTVTATVEDIVGAGLLDVIKMIRDGKARLPLEFARWASGDVKVDPYQLTFEHNVLFVSDWTRLGFFEVDYGWGAPSHIIPFTYADYMAVAVLARADILHHAVLGLSPAPLKPAPGDM >Et_2B_019296.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22097061:22097186:1 gene:Et_2B_019296 transcript:Et_2B_019296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRMCAHDLKLKGLKKALKQQKARLYIIRRCVAMLVTWHD >Et_10A_000306.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22076991:22077239:-1 gene:Et_10A_000306 transcript:Et_10A_000306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLGSCQALYRGIEARQPSCSAAVKGGRFKEAQASLQVSASAAKQCEDGFGRSKVASPLAVEDDNAFKLAKLAVELLNVAS >Et_3B_028384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14572158:14572983:1 gene:Et_3B_028384 transcript:Et_3B_028384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPLSAAPDLAVEKILLDIPSPSAGWRSPLPRASSASTAPGTPRRPCSASTARRRTRSGPTSPSPDSCTGATSSSRASTDGGPDWWLLDCRGGRLLLAAGECRRVVYDPVSGRHVADFVHPQSDALTDTIAECLLQGDDAEPSFRVVSVQHHRRNSTVRAVEYSSRTREWRFHQWAGSIGRPQDYQAMHAGRLIFWRYKESLTLMLETATMDFSIKSLPCAFFPRCKYAIGDTEDGTCCLVGLVGGMYDLELHLWLLEDNGGCQVAGAR >Et_1B_011754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25628663:25633061:-1 gene:Et_1B_011754 transcript:Et_1B_011754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAWGCVERVATGLLGAHGGGRWNTAVAVGVTAAAGLALVAIIVSSRRGGLKSPWWRRRRKAPLTAQEWRDLFTPEGKLQDGGVKLLKKVRGGGIEPSIRAQVWPFLLGVYSLDSSEAEREAVKAQNRKGYLLLRKHCLRQSAYSIEESNQKSDETAGDSREEGISPNKGGESGTSSVASVEVAEKPSIEEQHTLGEETPVDTEHEPQDETSETSPEQVNSPSSSSNAEESESSDVTHEEAPHEDAPAVRHSSVEDEQESIPRYSNTGGNMENDHGLSKAAHPVKSTKAIEDFETWQRIIRLDAVRANDEWASYSPSQTAVSKEKAIESAKAVCLKDYEHLEPYRIHHASRLVAVLEAYAIYDPEIGYCQGMSDLLAPLLAVLEEDDEAFWCFAGFMRKARHNFRLDEAGIRRQLNMVARIIKYKDFRLYRHLEMLEAADCFFVYRMVVVMFRRELTFEQTLCLWEVMWADQAANRAGIAKSSWQKLWLGSPPTDDLLLYAIAASVLQKRKLIIESYSSMDEIIRDCNSMAGQLDIWKLLDDAHDLVVTLHDRIYDNTSKAT >Et_1B_012669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34265432:34266510:-1 gene:Et_1B_012669 transcript:Et_1B_012669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCSTNLGKLFGSGGEDNQAAVSICTVNFHDKEPLLAVGTAKLPMDYSSDLRGPLLVGSSTYKSLLMKGDHSNFFTKHKLRKYL >Et_2A_016079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20900383:20901054:1 gene:Et_2A_016079 transcript:Et_2A_016079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAEDAPTTTTSDASATTKTASDIAATVAHKSLLALALHAWTMCVLVVVLVALLLLAPLVIVMVFPVACMAASLYLLCAITAQLFAPFLLHPDKLKLARELRRGDVRESRKQDCVEVDRAEAPGGLDDDDASSAEEEHGERPEDAGGHEFSSSYEEGLGDSCHGEPRRFFFVDTYYKSFYDLLAFWRSLERGQWR >Et_9B_065389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4530034:4531169:-1 gene:Et_9B_065389 transcript:Et_9B_065389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDTAATAAGGEVQQQKAAGGNRIQVSSSKKPLFFYVNLAKRYMQQHDDVELSALGLAISTVVTIAEILKNNGLAVEKKIRTSTVEIHDVTSGRSIQKAKIEIVLGKTDNFDGLMAAAGEAAVGDGEEQS >Et_1A_005004.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22026846:22026983:1 gene:Et_1A_005004 transcript:Et_1A_005004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PVQAGSPFIIYTSCHARSHRNDFIALRSRTRHHLQPFPLPGTFTK >Et_3B_030163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30989854:30996429:1 gene:Et_3B_030163 transcript:Et_3B_030163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCASLKIQTGPGKHPATKSIVAVNTSNLRGFICAWQKGEEASRVLTAHPPYFALLWALRSPPPPPPFLFRNSRNRGAEAPLRLILSGSGGRVSSYSLAAFFLLAFFEVQWMEGTDSVAVMEVAPVPDPPFKDATPLKPPPPPPALEGLTLRRSARCLNKPRRPSYAEQEPPKAAGGRGRGGGKRKRDEEKQGPLAAALDAKGPGRKPAAAEFEERNLMPVIAAQPISCAGVAGVAAEDDAIEGGKSARLRVKETLRAFNSHYLHFVQEEQKRAQAALEEIKAKGGLKRQTKGGQNKNTEGEEKEKRPSMRPDLKAITKMQETNTVLYPEKRIGHLPGIEVGHQFYSRAELVVLGIHSHWLNGIDYMGMKYMGKKEYENLTFPLATCIVMSGIYEDDLDKADEIIYTGQGGNDLLGNHRQIGSQKLTRGNLALKNSRVNGNPIRVIRGHASKNSYTKKVYTYDGLYKVVDDWVQTGVQGHVVFKFKLKRLEGQPSLTTSEVRFTRAEAPTTISELPGLVCDDISGGQENIPIPATNLVDDPPVPPSGFTYSKSLKISKGIKIPPNITGCDCEGDCASNKNCSCAKRNGSDLPYVSFKDVGRLVEPKAIVFECGANCGCDHDCVNRTSQQGLQYRLEVFKTASKGWGVRTWDTILPGAPICEYVGVLRRTEDLDGLQNNYVFDIDCLQTMKGLDGREKRAGSEMHLPNLHPENESEAPPAPEFCIDASSIGNFARFINHSCQPNLFVQCVLSSHNDVRLAKVTLFAADTILPLQELSYDYGYRLDSCIGPDGNIVKLPCFCGAPDCRKRLY >Et_3A_027209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4935905:4938104:1 gene:Et_3A_027209 transcript:Et_3A_027209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGDKLGRSRPPLLQLESQLFRRVSVVVGCFLFVLVFLFSSRHDVAVFDTVIPKASLRTPVAETLRSSAGQEVGNTTIAAETNGVVTEAEHESNAAAAASTSNSGNRAAPGAAADEAVQDNAVHVTAGAIAAQQPAMETKLTSPQRGLPGNTGIITPRADSVDQRPRRAPLCDLSGARADVCDLAGDIRLDANASTFVVVVDNDDLPAGGANGTAKTEYKVRPYPRKGDATAMGRVTEITVRTTAASAEASAPRCTVTHAAPAVVFSVGGYAGNIFHDFTDVIVPLFGAARLRYRGEDVQLVVTDANPRWLTRYGALLRGLSRHAPLDLAKAAAAGEVHCFARAMVTLRAHRELLIEPEPSNTDLAIAMPEFTRFLRRALSLPRDAPTRPGGNATVKPRLLIISRRGTRRLLNADAVARAASQLGFAASVRELDVSDDVARVGRLINSYDALVGVHGAGLTNMVFLPAGATVVQVVPWGGLRWIARLDFGDPAEAMGFRYVQYEVAVEESTLKDKYPRGHEVFTNPTALHRKGFGFLRRTFLNGQDIVVDVERFRPVLLQVLDNLRQTGSR >Et_3B_028874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:273626:284511:-1 gene:Et_3B_028874 transcript:Et_3B_028874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPRTSFRGGRLASRKVEEAAWRRHQAAAWLETMVGSFGLPPCPSEQQFVAALRNGIVLCKAINKVQPGAVSKVVTNAPCDSQPLTAFQYFENIRNFLVAVQDLKLPSFEASDLEKDNLDVGSVGKIVDSVISLKTYYEWKQGGGPNGTLKYMKSPLAMRSSLLQPEHAASGPSPSQKHLDLAEADADRQPSEKVDQGLAEADADRHPFKLWIKKQWRSSRKDPLKLVGTILASQQGKERELKKKLQDVKVDLRSLQTQFQNDITKLGDNVQELSKAALGYNQAVKENRNLYNMLQELRGNIRVFCRIRPLINLESISSIEHIGNDGSVMFCDPSKPQSTRKIFQFNQVFGPTASQDEVYKETQPLIRSVMDGYNVCIFAYGQTGSGKTHTMCGPSGGLPKDFGINYLALKDLFDISTSREDVKYDIRVQMVEIYNEQVRDLLGEDTSSTKYPCTSYMTSLNNGLLNLPDAKICPVQSSSDVINLMQLGEKHRACGSTVMNHRSSRSHSILTVHVKGKDVSGNVSRSSLHLVDLAGSERVDRSEATGDRLKEAQHINKSLSCLGDVINALAQKNSHIPYRNSKLTQLLQSSLGGNAKTLMFAHISPEAESYSETLGTLKFAQRASTVELGTACANKESKEIRELKEQNGSSGKARMPDRKTPKSPRLTMSFTADQRIIRDKEWSTEIAPFNNELHVKHHESVIQENEKIISTVDTVEFHQLPVDAYTSLYKQSGLNTSERTPCRSRNMSIEGSRDDPCITAKLEKVMTSNTVKKGSHLRKSIQSSIGRLIHGSERRNVQHSVQGTPAITGNTSTDIASPVTSELRLRRRQSLTGLPPPPSTMSRRSSLGGKSDIRNRQTTACAANKYKPLPFSWIPWPEQGSNYKRGPRTPPPVNSTAKVKRWL >Et_9A_062482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23434972:23441180:1 gene:Et_9A_062482 transcript:Et_9A_062482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLSRRHLATAVVLQSAPLAFASRGLHTPSFATVSPQEISGSNPAEGRWTTSANWNWIVDPLNGEKFIKIAEVQGTEIKPFVDSLSSCPKSGLHNPLKAPERYLMYGDISTKAAHMLGQPAVSDFFAKLIQRVSPKSYQQALAEVQVSQKFLENFCGDQVRFLARSFAVPGNHLGQMSNGYRWPYGPVAIITPFNFPLEIPLLQVMGALYMGNKPVLKVDSKVSIVMDQMLRLLHACGLPAEDMDFINSDGITMNKLLLEANPKMTLFTGSSRVAEKLAADLKGRVKLEDAGFDWKILGPDVQEVDYIAWVCDQDAYACSGQKCSAQSVLFMHKVTTEAMMEHMNNLLKIPGSKVLFGGEPLENHSIPKIYGAVKPTAVFVPLEEILKSGNFELVTKEIFGPFQVVTEYAEDQLELVLEACERMNAHLTAAVVSNNPKYLVNLSMEQHMLGFEQGPLALRRTTGLGLLVTQEGQASELQKPSNLSGLATGRSYMTSGPCPRTGRFLRRLDV >Et_3B_028866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:239755:241106:1 gene:Et_3B_028866 transcript:Et_3B_028866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVVGSMSTTVVVDHHDQEEEQDTIVSSSSHSQLKASSTKGVERRLGMATRFHAGYFRISLALSGQALLWRTLRDASPEPRSLLPSAAFLLLWSLALASLVGLCGLYAARCLLRFAAVRAEFRHHVAMNYLFAPWISWLLLLQSAPDLEFLHHQPGIVMNLHRLLWCAFSLPILALDVKVYGQWFTRGRKFLSMVANPASHITVIGNLVTARAAAKMGWHEAAVAIFAVGAAHYLVLFVTLYQRFLGSDSLPAMLRPVFFLFFAAPSMAALAWDAIAASFDTACKMLFFLSLFLFASLVSRPTLFKRAMRRFSVAWWAYSFPLTVLALAAAEYAGEARQPAANVLMLALAVLSVAVTLALMLFTAIRTNDLLPHDDPFDCPRDHLPSRY >Et_1A_007002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:368078:370081:-1 gene:Et_1A_007002 transcript:Et_1A_007002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEARLAMMELANMISVPMALTAVIRLGVPQAIWAAGANAPLSAAELLPAGHPDPTVLERLLRLLASRGVFSEHYSGSPPRRFALTAVGRTLVPEGPSGASYADYVLQHHQDALVVSWLRLHDAVLDPAGPEPFARAHDGVPAYAYYGKDRDANDLMLRAMTGVSEPFMDALLHGYAAGFDAVATLVDVGGSSGACLEMIMRRVGTIKEGINFDLPDVVAAAPPIPGVRHVGGDMFKSIPSGDAIFMKWVLTTWTNDECTAILKNCYNALPDGGKLIACEPVVPDKTDSSVRTRALLENDIFVMTTYRTQGRERSEEEFQQLGLAAGFTGFQAIYLDPFYAVLEYKK >Et_3A_024242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18895080:18901500:1 gene:Et_3A_024242 transcript:Et_3A_024242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSYSKVENEEPVRRSKDRRQLMKQLVRSRPELAAAHIAYLHALRNTGATLRNFAEVESALLQGPPEDLAAPPSPPRPPPPPEALVTSSMPPSPRPPPPPPFSPITIRKMEKRDDELRPPPLTFSPIRLTIRKMERRNDELHGDDSMDGDDSDTDSCSTPLPPPPPPGIAWEDLDPFNVRPSDFPSPFAGHSDAEVASHITMDDNNWVETNTELDGDDDESVLGNAVDIVSRVELNPVKSRALGDNKSSMVNRVTKEPDSSVVAWRSEASLAGIVKEIDEYFLKAAASGSDVVTLLDSAGGRPEHVELEAKKGKKSAKVFSTLSWSWSFKSQQANSDSSIRNSSDASGYGYHGKTLEKLLDEEQKLYKLVKDEESARLQYKKYTSLLQKLESGEHDKLHAERVRDTVEELQTRIISLDEAVTLACLSISKIRDEELYPQIIELSAGLVHMWRNMYECHQVQSHIAQQASLLGNRPGSEPTSDTQCHATSQLEVEVSRWHSAFCNLITLQREYISVLNQWIKLTDCLPDDDGLMKSSSGIRSLSEELQRALDRLPEKVAAEAIKSFMSVIHSIVVQQSEERQLKKKSDNMESKFQTQLEKQNAMQSSTQPSVKNEMKLDTFRKQVEEEKARYLHSVKASRAMTLNNLQTGLPNVFHALMGFSGVCVQAFEGISRCSEAAIGHSGATFSKQMVCTFVFFHATLGH >Et_2A_018701.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5348012:5349523:-1 gene:Et_2A_018701 transcript:Et_2A_018701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPPAPTKPPLPWISPLQYRPSRSAAAPPSPPPAPPPLPAPPPRYLHHPELARLIASSPSAQRALDLFNAAAAQRGFSHTPATFSALLVRLARARLPRAAAAVIRRAAAEPCRFEEPQFLPLVRLLPPDHALTLLRLLPALVRRARVSHKALAVCLDRLVSSRCPELLAELLADLRDPGNKYLPRPNTCVYNILIKHHVKVGEMETAFEVLDEMRQYTCADVKPNLVTFSTLIGGLCRVGKMKEAFELFDEMIEKDRIVPDQLLYNLIINGFCRLGQVEKARSVFDFMRRNECEPNAFNYTTLINGHCKKGEIEAAKLVFKEMRSVGVEPDAVSYTALIGCFCRHGSVDEGLNLVMEMREKGCRADVVAYNLVIEGLCKEGRTMEAMDLLNSVPLEGVELNVASYRIVMNCLCSRGEMDKAVGLLGLMLGRGFVPHYAASNNLLIGLCDTGRVADATIALCGLADMGFMPVASCWERLIETVCRERKQRRSIELLDVLIGEG >Et_9B_065947.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18574452:18574766:1 gene:Et_9B_065947 transcript:Et_9B_065947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGEPVEVKPALNDDVLTEILLRLPSLSVLRSRAVCRAWRALTSTPAFVAAHARRRPLELISQHRMSAGSLLDTPSPWPPSTRRSAGVWIPGTLLLCSSRCT >Et_2B_018971.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20024896:20027902:1 gene:Et_2B_018971 transcript:Et_2B_018971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAALLPPNLPPHIPYSRALQQRLYLLAQHLSRARSPSPSPSAARRGLDQLHAQLLLNGFAGKRFLLAKLLSLAAAAADLPRAESLFLLSSTPSSPASPTLANLLLRAAAASGAAPSALLALFSRLVGRHGLRPNAFSFSTLLAAIAAAGGARALTHGSALHARALAAGTLAPSGAHVMTSLVDVYAAARQLEDARKVFDEMPARTVAAWNCMLAAYVRGGEVDAALRFFGHEMPRRDAVAWTTVIGGCANAGRAAEAVDLFFRMRKARVKDDAVTMVALLTACAELGDLELGRWVHTRVDSECGERRSVLLDNALVHMYVKCGAVEDALRMFHQMPRRSTVSWTTMISGLAMHGRTEEALDLFHKMKERPDGATLLAVLRACNQAGRIDDGRRYFESMERVYGIPPEIQHYGCMVDMLCRWRHLHNAFKLVDKMPFQPNESLWGALLSGCRREGNIGLAAKVTDKLVDLQPDRAAGHLVLLANMYADVGQWEQAKMVRERVAALNAEKPAGRSWVNLN >Et_9B_064791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18678908:18681671:1 gene:Et_9B_064791 transcript:Et_9B_064791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLCVLAASLLLSAAAAQEETCSGVAPAPPRRGAWLSLASVGGRGDGRTLNTAAFARAVARIERRRGARGGTLLYVPPGVWLTGPFNLTSHMTLFLARGAAIRATQDTSNWPLVDPLPSYGRGRELPGGRYMSLIHGSGLQDVFITGENGTIDGQGSVWWDMWKKRTLPFTRPHLLELMYSTDVIISNLVFQDSPFWNIHPVYCSNVVVANVTVLAPHDSPNTDGIDPDSSSNVCIEDSYISTGDDLIAIKSGWDEYGISFGRPSSGITIRRITGSGPFAGFAIGSETSGGVENVLVEHLNLFGMGVGIHIKTNSGRGGFIRNITVSEVTLNGARYGLRISGDVGGHPDTSYNPNVLPVVDSVTIKNVWGQNIRQAGLIRGLRNSVFSRICLSNVKLYGAASIGPWKCRAISGGAFDVQPSPCTELALSFIIHLLVFI >Et_4B_037207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16421505:16429149:-1 gene:Et_4B_037207 transcript:Et_4B_037207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPPPPQQQQWAMAAPPPPQYYQAGPPPPPHQYFQAGPPPPAMWGQPPPQAAPAPAPASGGAGDEAKTLWIGDLQYWMDENYLYSCFSQAGEVVSVKIIRNKQTGQPEGYGFVEFGSHALAEQVLQNYNGQMMPNGNQAFKLNWATSGGGEKRGDDGSDFTIFVGDLASDVTDFILQDTFKSRYPSVKGAKVVFDRTTGRSKGYGFVKFADLDEQTRAMTEMNGQYCSSRPMRLGAASNKKNAGNQQQPSTATYQNAQGTDSDNDPNNTTVFVGGLDPSVTDELLKQTFSPYGELLYVKIPVGKRCGFVQYSNRASAEEAIRILNGSQLGGQNIRLSWGRSPANKQPQQEQNQWSGGYYGYPQGYDPYGYARPPQDPALYAYTAYPGYGSYQQQPPQQPPQQVKDISQCSGTTSVN >Et_1A_008730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1164845:1165780:1 gene:Et_1A_008730 transcript:Et_1A_008730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGDEALRSQYVIGDEIGRGRFGTVRRCHAAATGEPFAVKSTPKAPLREADPLDLALAEQEPKLHLLVSASPPASRHLVALHAAFEDADAVHLVLDLCAGGDLFSLVSARAPLPEPEAADLLAQLADALAGCHRRGVAHRDVKPDNVFFDASGALRLGDFGSAEWFGDGRAMTGLVGTPYYVAPEVVAGREYTEKVDVWSAGVVLYMMLSGTVPFYGATAGEIFESVLRGNLRFPPRAFAAVSPEAKDLMRRMLCKDVSRRFSAEQVLRHPWIVTRGGSAAAG >Et_6B_049685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:881525:884197:1 gene:Et_6B_049685 transcript:Et_6B_049685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSKRFGDGRKMKRNASSPVTTVAVVSDDLIREFFIRLPDLPSLHSAALVSKRWRRVASDPATLRRFSLSRRPALLGVIFSDRGDTSFPWRCPNLRFVPSHTGNPRLAAAAEAGDFLFQHLPHGNDDGAGAARHRDDKWRLRGCDGGLLLLSRGKDSRDLAVYDPFARTAVFFPPPPDALPIRKWWHVASLAIVADEADASFRVIAALFWHDLEAAVFSSRTRDWAAVPTNGLVFDHRSRCEGGERAGRIWDDAIYIGGGEEILVLDTATMEWSIIAAPFAVGESYCVADLAEHGGLCLVSSKDQLLQLWVRGNDDDEEWVIKKEVSLLKEFGFLKDIRRKEWMKRVRPLALRGDYVLMEFWSIRKSHSYLLVLNLKTMKLDMFRNDSTQPHRGPAFPFFMSSESPLLSLDKQTPTNAQADVWLTGKAKKKMECLVFRLTGTWIDSFSLI >Et_1A_004701.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:32175988:32178636:-1 gene:Et_1A_004701 transcript:Et_1A_004701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKLFRSLLADALDGFVPLAVGFRRAHPLPPPAASAALLRKAAVQALERWHHLFGAHYRQLRLAVEYLKESARVQFPGLRATVEARAAREARTQDILAAKVEQLRESLASIKAEIRSTMDEIRNGLDIIRAEYEKFEGYGNDEDAEQEIASLSMRSIRMASLMAGQWVPETEENEAVFDALREAYRLLVSKHLVTVNEWISVLVRVNLPDVRFRDSALKDFIDVKNEIRAVRDQCTDLGLDFDNVRRQKGNQEEEDDDFWVKGDIEVPSPVSVQSSVDVASTSKDTRKGKRVVDGVSSDIGKTPVANNGSRKLDPEKMKLLAEAPVVPWSSVLDRWGSSGDAHVNQRGLELESHWGRVDNDAVIPAEKIAELNVHSSIYKEDPVDILPCRAPLKNGGLCQRRDLKICPFHGPIVPRDDEGNPIEQNSGSCDAGLHPVEHCDTGRSTDELQGSSDGDYMKESSSSRLTNIKSDHEDSDGISDLGKITVDKLAKQAIKNVRKRDLDHKERERAQRARIRQHNEDVLRDAAIASTSHTATAYEQLPEARGRRGRRGKTKAPTLASMLKKKVTTKDRISERLLNSRATDATIREISHNEDMSYREAFPNQW >Et_4A_033136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1960539:1966085:1 gene:Et_4A_033136 transcript:Et_4A_033136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IYTSHRSSCESSVQQDDYKQKADLKQKAEGIDKHANLPRRSIGLQLQKADRISCKKQLQIGGGERKRGQGRKGLRLPKADRAAEPARGAGPGWQWDLVFAMIIAKLLIRVLENRTDLIDQLERFFSYSADDLSPILDSVIVSWDDSGCSGVSHCMLHKSILQVALKCSHLDTTGCLGQFLTLGAKLLPSTAKCIPADMVRAFGDFISDSFDYLTVPEIARAAPVFLDETVKLCKAYFEAAKANNCKMSIPEEPEIIEYRKPDITSDVTMITASAIQNLCKMGIHAASSGGSQVTFLNESWKGVVSLLQIGKGMIEEKISVEDIISTLISLSIESLRVAAKTWCNRHEKPLVPLKLEGHFYQSSFS >Et_2B_019540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1034301:1037146:1 gene:Et_2B_019540 transcript:Et_2B_019540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDDAAAATTLSGKRRAAKPSSSSRERRPRADGGMRIVVPLQGVVQGRGGLVLGSLIPCALFYFLQLYIKRNRPPQPPPRPGSPSSAANASANPAASPIHRSLSRGLLSPRTALPALSARGAVVRAADEDSLYYAGLRRCADDLYHPASNPDGVINLGLAENHLSLDLVGRWIEEHAGAAVLEGMTEERDLTVRGLATYQPYDGILALKMALAGFMRQVMHESVSFDPSQMVITSGATPAMEILSFCLADPGNAFLVPSPYYPGWDRDIKWRTGVELIPVPCRSTDNFNISITALDIAYKQAKKRGIRVRGVLTSNPSNPTGGIVPRETLHDLLEFAAEKNIHLISDEIFAGSTYGSDKFVSVAEVANELEEYDKGRVHIIYGISKDLSLAGFRVGVIYSYNENIVAAAAKIARFSSVSTPTQRLLVSMLSDQKFISDYLRINRERLQKMYLLFVDALKQVGIECFKSSGGFYCWADMSKYIRSYSEKGERKLWDRLLEEAKVNVTPGSSCHCIEPGWFRCCFTTLSEQDISVLVERLRRVTDTHK >Et_7A_050629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8284725:8285717:1 gene:Et_7A_050629 transcript:Et_7A_050629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSSQIKLRKAFVRRRGHESGQNNLPRSVHWNMLHSGHFENLVLRCTKNLSWEASLPYASAEDGTSIIAGANVVDPIDTEEAPEIPIFQSRQDVVEVKKDPSLPRTAFKFPMWLLGPSILLVTGIVPTLWLPLSSVFLGPNIAGFLSLVGLDCIFNMGAMLFFLMADAFGRPENNMFDLKRQVPVSYRLWNLAAGTLGFVAPLALFFASHRGILQPQLPFIQFAVLLVPYLLLLSVQMLTEMLTWHWKSPSLRGLVSWWVLVLGIQLMRVAWFAGLSFARNSRYRVSDDVNQ >Et_6A_048178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8851941:8852615:-1 gene:Et_6A_048178 transcript:Et_6A_048178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHGLNSPGKRLGVVGLGGLGHVVIKLGKAFGMKVTVVSLSPGFVVISSDAEQMMVGAVLCLASQNVVVIRHEVFLTKTRHKILTSASNKVAVAVAGTMDGIIDTVSAWHPIAPLLALLKPMWQIVVLGATSRALELPLAAVGVAGSLVGSVSECQAMLDFAGQHGIGAEVEVIKIDYIRQRAALERLEKNDVRYRFVIDVAGSLGSAA >Et_5B_045595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5086302:5089602:1 gene:Et_5B_045595 transcript:Et_5B_045595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPPPAFTSLPHQCSVLLRRLAAHVTPASAATSSFLRALRRLHARLVTSALLHAPPHPHLTLRLIHLYTLSSDLSAATLLFRARPCPIAATSLVAAHAAAGRLPAAASFFDAVPPARRDTVLHNAMISAYARASHAAPAVAVFRALLASGSLRPDDYTFTALLSAAGHLHRFCASHCAQLHCAVLKSGAGAALSVCNALIALYMKFDAPEARKVLDEMPSKDELTWTTMVVGYVRRGDINAARSVFEEVDGKIDVVWNAMISGYVQSGMYAEAFELFRRMVLERVPLDEFTFTSVLSACANTGLFVHGKSVHGQIIRLQPNFVPESALPVNNALMTLYSKGGQIAVSKRIFDSMKLKDVVSWNTILSGYIDSSCLDKAAEVFEEMPSKNELSWMVMVSGYVHGGRSEDALKLFNRIRAEDVKPCDYTYAGAIAACGELGALKHGKQLHGHLVQLGFEASNSAGNALITMYAKCGAVKDARLVYRVMPNVDSVSWNAMISALGQHGHGTEALQMFDQMVAEVLTACNHAGLVDEGFQYFESMKSEFGIIPGEDHYARLIDLLGRAGRIGEAKDLIKTMPFEPTPSIWEAILSGCRTSGDMELGAYAADQLFKMTPQYDGTYILLSNTYSAAGRWVDAARVRKLMRDRGVKKEPGCSWIEVGNNVHVFLVGDTKHPEAQEVYRFLEMIEDSISSLVKAIDPDVDNSSEQNGLWELGCPVKCKNDELKEHTSPSFIWIASVPYSYRETSVQHFLGDDEQALKANFHS >Et_1B_011146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18009871:18011811:1 gene:Et_1B_011146 transcript:Et_1B_011146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADPQAFFLTPPPRHLAELRIDTAPSAVAFSAPCAAAGAGRKKRRCLVPSSTVRKRMLLELAPFDVAPAPAPASTPPPPPSRTPSPSPPPSPVASRAGSTRSPAGEFSFDTAPRVALPPPASPGNIFAFLENAAWTPGGSEPRSAPSFSAPRVQTAKPAAGFFSFLAAAERPKTPTSTGPTANGGFVFAAPPEGPLTPTSTNTSGGGLSFLASPKQPLTPMGSTATGGGFLASSDEPSLMPAVKGAVAPLISLKPARTGSNDTGDDFAFPSPGPASAAARESMPAAGLATPPSAPRASLSSPPLRKSGDGSRKRLSLFLRRAATLAARRRSQRQLEEQQQQLPPPPQKVDKTNASAAGEASRSSVMSGSGASPCCTFFTSPSKEAARQEAKKVCSEASRSPAGSRCSSPARPASPEVVKKPEREEELSSSPRQRAPTSPVVLCSGAEVVVRVTCKCGVHKEFCFDHRL >Et_7B_053490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22873973:22875112:-1 gene:Et_7B_053490 transcript:Et_7B_053490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLRPPPELIEGPPAELIEDAVAEILLRIPPDEPADLFRAALTARSSAATARSTERRPAAVLCAADSCDHLDCRSGPFLVVAVGTNGLGDHTWVSIYSSETGAWSEITVVPRCGSFICEHSHSLLTGDSLYFKFDFGESILKYNLTGRVLSVINVPELGQPEGIITIASDGGLGFARVNDNMLFLWSWNAGPDGNAGWVKDRVIDLSMLVPISICVYKVIGFAEGANTIFISTLVGVFFTINLKLGKARIRKGVYYDEAILPFMSFYTSGTNNGLMQLEYSYVRITM >Et_5A_040838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13190764:13193064:1 gene:Et_5A_040838 transcript:Et_5A_040838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDCKYGIRFDTLTEMAPSSNSVVLTAALLVAFAIAASTLQPSAATRVHEGGAAAAKKAATAKAAYKEVAAEATLITFADQPPIGGGGGATAAQPTECRPLLLGMMPCAGFLTNPRVPVPGLTCCDGFNAMFAANTITCMCHVVNGDINSLLPAPMQNARMMRLFPICGHNIRVDRFAAACRASNAGRSGTANPSVADPAHTTRSIIPWDGIRSVTAGTIGDSFYS >Et_3A_027218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5069625:5076233:1 gene:Et_3A_027218 transcript:Et_3A_027218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAKPENALKRAEELIHVGQKQAALQALHDVITSKRYRSWQKPLERIMMKYVELCVDLRKGRFAKDGLIQYRIVCQQVNVSSLEDVIKHFMQLSNEKAEQARGQAQALEDALDVEDLEADKRPEDLMLSYVSGEKGKDRSDREVVTPWFKFLWETYRTVLEILRNNSKLEALYAEAFRSVEDVHGLMSMVKKMPKPSILVVYYAKLTEIFWISDSHLYHAYAWLKLFNLQKSYNKNLSQKDLQLIASSVLLAALSVPPYDQKYGASHHETENEKDRNLRMASLVNFSLDSKRENKELASKGVISCASQEVKDLYNLLEHDFLPLDLASKVQPLLSKVSKIGGKLSSASSVPEIKLSQYISALEKLTTLRVLQQASRIFQSMKIDMLSKMIPFFDFAVVEKISVDAVKRNFVAMKVNHLSGAVHFGNVDIESDGLSDHLSVLADSLNKARNLIHPCVKKTSKLGDKFTSLAVVVENEHKRLLARKSIIEKRKEELERQILEKEKEEERKRLNLQKKSADDEKLRLHNDKLQRELERIRKDQEEKERLAAQEKLDALQKKRKGKKIVIEGELSKQAIMDLALSEQMKERMEVEKKLQKVAKQLDYLERAKRQEEAPLIDQTFQKRQEEEKILHEQEQLREIELSKQHHAGDLQEKNRLSRMLEHKNAFQERIVQRREAEFSRLKKERDERINQLISSRKRERETVRKLMYYLNLEEQRIERQREEEEARKREEDERRKREEAERKAKLDAIAAKQLQRERELEEKARQEKEALLKAEPARAPRAAPLTPPAREPVAAPAAPAAAAAAPAPGKYVPKFKRGGDSSSGSAGGGSMRSAGPPEQDRWGPREDRPRPDARLLRQDGPPGRQEAPPGRQDGPAASDRWRPGSRLPSSSSSSSTWGRSRN >Et_7A_051049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1386759:1389946:1 gene:Et_7A_051049 transcript:Et_7A_051049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGAAAAGAGAEAGAKPLTPEEEALRRNTDCVYFLASPLTCKKGNECDFRHSDGARMNPRDCWYWMNGNCMNPKCPFRHPPIDGLFGAPTPGVPSVSSHYGAYNSGKQMVPCYYFQKGNCIKGDRCPFYHGPQGAGNNPPEQLARVSSFPLEQPQAQKREASTEPNNLMQQGAPIIGDRSKLAVDRSMVHAAKNGVVALPSELTSNSVKSRLKSEKVPNTSAVKKSFMTAEEDHSACYQNQLAPDGEPMQDWHQDFTMPPTDDLPQNSRDADDFLGESSPGFDVLVDNDADGAAYLHDEEDFGREMYPVEDYDYAPADFDTQAHNERERFNGMDEHSQIGRLYDGYERKRRRSSPERSMDRPFHSDRRYAHREIDRADMDRSDLRHQIRRRRINTSSAAISPERNIEQHKRGEHYRERAHGGHHTHRDRHSGPRGSTLSSRLQGRIKLPGRSPDRFDTRFENERDRKRLRDRLSPVRHDVHGGHREAGQHHERSQRRQSKLASSVRHADVRYSRRDAIDSVHLGARKNLGEPRKANGIMDSEPSLDFEGPKPLSVILQRKREAAVSNNSSSSCEKSAEAAVRQPGSLVEAEKKDSENNISSEYCKSGSGDEEYKEDEDQVPVEGQGQSSSHGDKFNVEDITEVDPSTNQDADNYEQREGESDDYETMEGQEYKSEDENAYQDDEDFDDDDDDDDFAQK >Et_4B_038517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29567785:29571284:-1 gene:Et_4B_038517 transcript:Et_4B_038517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGDQNLDAAIESLLNVEKQMRLAGEVAGTRKAVIDIIELCFKAGAWKTLNDQIVLLSKRRGQVKQAITAMVQKAMEYIDLTPDMDTRIELIKTLSSVSAGKIYVEIERARLIKRLAKIKEEQGQIDEAADLMQEVAVETFGSMAKTEKIAFILEQVRLCLDRQDFVRAQILSRKISPRVFDADPSKEKKKPKEGDNIVQDAPADIPSLLELKRIYYELMIRLLNATLEDKNLSEIPNFKLLLKQLVTMEVIQWTSLWEFFKDEYENEKNYLGGALGAKAAEDLKLRIIEHNILVVSKYYSRITLKRLSDLLCLSLQEAEKHLSDMVNSKSLIAKIDRPMGVVSFRTAQDSNGILNSWATNLEKLLDLVEKSCHQIHKETMIHKAVLKA >Et_4B_036394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21687544:21688752:-1 gene:Et_4B_036394 transcript:Et_4B_036394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVTRGGRAVLGLFHQEDPGVTAVRKRKRSSSSSSSSSTSSSTQKIDSGHGAQWLEYSRPVTARNGRVVLELRRDRHTDGLKLCVLNPMTGDVALLPPLAGKDKPGFYACALLTGDDLIIQPPPPSSAATIFFRVLVVYNRRSFTALRAYSSDTDRWSAEVGSRSPKIDAGRLRKLGQSVVLLNDDDYDMSACKWERQEGCIMLTHMKVGSVNDNSVNLRWFCEKSGVILFTVGEGSSSPGAYALNVRTHEVEKLADGAACNSWRNVVGYEMDATACLASIACY >Et_7B_055524.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:1366612:1367166:-1 gene:Et_7B_055524 transcript:Et_7B_055524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLSSSISPKISYILQSHVYPRAGRVFRALARFKSLLLHALGKTRRFSSRGKHAISYHARSTKRSKIAGFLTKPHYSSSSARAKELDASRASWSFYESAWNVVVPAPAAIDGASEYCGYLCWLEEETPDEVLVVEEGEDGDGAGVNEIDRLAEKFIERCHAKFLLEKQESYRRYQEMMARSV >Et_4B_037972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25171546:25173617:-1 gene:Et_4B_037972 transcript:Et_4B_037972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAERIRHSHSLHLKRSLAARRRAEKPKREEEGEGERAPRMASAGVVAAALAVAALAAFCGTDPLRWGSMVDFPGFEAHPVDLPSADEMPPHADAGERLRGAEVRFRGEVQGPESVAFDPQGRGPYTGVADGRVVVWDGARWAPFATTSPRWTDELCGGPKASPLEYLPNEHVCGRPLGLRFDKSTGDLYIADAYFGLLKVGPEGGLATPLTTEAEGVRLNFTNDLDLDDEGNVYFTDSSLHYQRRNFMQLVFSGDPSGRLLKYNPQTMETTGEFWVAIHCRRSLYARVMSRNVKLRKFMLSLPIPAKYHYLMQIGGRLHAVIIKYSPEGEVLDILEDTKGEVVRAVSEVEEKDGKLWIGSVLMPFIAVFDLAKAS >Et_3B_030287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32003594:32007068:1 gene:Et_3B_030287 transcript:Et_3B_030287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAMSVAGGGGVGQFGDTTFTKVFVGGLAWETHKEGMRAYFEQFGDILEAVVITDKNTGRSKGYGFVTFREPEAALRACIDPYPVIDGRRANCNLAYLGVNKSKAAPIPLYLQPYGHVHGGGNMRAMKSIQSTAASFGAGTGGASLSFVPADHGIQQGIPTYNVYAGYSPYFSDYGYPLSYYEAAYGGLQGARQYGVFGGGATAAGLTMAAPNPTGGVYPYFQYGPVSATAAGYSMAQYPQYYQYAAAAAVGATTAVATLNGGLQQYGGAVAISPTSVGQAGVTMSLTAPSLPASTVQYQYSRLVPSHLAAAPDQKPSTLA >Et_9B_065571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7095579:7098892:-1 gene:Et_9B_065571 transcript:Et_9B_065571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAKVARLTTLALFSPTPNPSRSRPVKPRSRAPPPISVSMDPALVDPAHLQALMLACSHSCALRLSPAPADPAEPVDIRKLRTALAHSFVVVSVFCSARFLDDARDGDGDGQRFLGLSLDLGLGQRGERRLVGFGRAVSDLGLTASVHDVVVHPSIQRRGIGRKIVDKITRVLHSRGIYDISALCTEKERPFFEACGFGDDMMGSTTMLVYDHGYGVLHQSPLPTLGSQRLTRK >Et_1A_009315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38349141:38349447:1 gene:Et_1A_009315 transcript:Et_1A_009315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTISLMYAGVTTVDISTRMTAFVISIFFLNSSARSLLAAFALGTYAVLAPVAHAAAVLAWLLSGWVSRRGCALRVTS >Et_9B_064186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12394362:12398531:1 gene:Et_9B_064186 transcript:Et_9B_064186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSASSSHGAVASSSEASVEVEQHQQRKHPVPPLPDGVPGDTAAVKVEGGGDEEDDEQVEKFFALLANIRALRRMYGASFAGDGAADRGRKKRAREAEPPWRPAFRLEDFQTQEEEQLAGKKKMMVEGTGGARLPAGTSTGAVDEDDGEVVEPSGHGGKTARAAQPTGSDANEVARRTPPLSDGAAAAPPDAPGADANGGAGVEEEVDDEQVDRFYALLANIRAMRRVYTPGAGDDTADGGGGVAATRKRLRSADPPWRPAFRMEDFADAAATATSSRRATTTETNQLPADDGEKAAGVALPSTSQPPRAGARRDDSDSNSI >Et_5A_040194.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20938288:20938575:1 gene:Et_5A_040194 transcript:Et_5A_040194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMITSAVLWSLWKLRNGICFQRKRWTGDMEVMRIIARTARTWSLLCREEEKGAMEIMLKKLETKASSPPALTWAIQRVQYSRLGMLQASVLGG >Et_3B_028451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15528453:15534200:-1 gene:Et_3B_028451 transcript:Et_3B_028451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISQQLDYLRISAFTVLLVWILVEFANLKRQEETENGVTVSAERKGITLLPCHIIAVSNTSITSINIGFAVSEVWKHQTVSLSLIFASMSWFLVTFFSLYCKYRGAGVVSNWPAVLVSWWVFSFLLESILTSLHVFCLLNSATIVDFTSLPFCIIICLCLVATAITPTNKTQDELNQPLLTREDSGSSSKDRFSSSGWWSQLTFQWLNPVFEKGHKVRLDIKHIPSVPQSDTAEQSYALLQETLHKQKPEPMSLQRAIICSVWTPLVINAVFAGLNTFASYMGPFLITYLVDLLADKKSDKGHGHGYTLAVLFFASKTIESLSQRQWYFGARRIGYKVRAALMVSIYKKALLMKNSTTGTGKIVNFLDVDVEKIGEFFWYIHGIWLLPLQVSLALVILCQSLGMVASLSAVLATVLVMVSNTPLAKSQEKLNMKIMEAKDSRIKATAEALKSMRILKLHAWETAYLDKLLKLRDAERGWLRRYLYTCSAIAFLFWASPTLVSVVTFGICILVEVPLSAGTVLSALATFRILQDPIYNLPELVSMVTQTKVSLYRIEEFIKEDHQGKPSSYGNKSSVDEQFMAGIVDIEVGEYSWEAADNISKKTKFTVKINSKVNIQKGQKVAVCGPVGSGKSSLLCAIMGEIPRISGSKITVVGSSAYVPQSAWIQTGTIQDNVLFGKAMDKTMYDEVLQGCALDKDVDLWANGDMTVVGERGMNLSGGQKQRIQLARALYSDADVYLLDDPFSAVDAHTGAHLFKECLMRQMCTKTVIYVTHQLEFLRDADLVLVMKDGRIVQSGKYDDLIADKDGELSKQMDAHNQSLSQVTPAKVYGLARSKKYKKKRMELTEIEPDHSVLGKESEEERESGRVKWSVYRKFVTSAYKGALIPVILACQVLFQALQICSNYWIAWASERQEQVSRERMIGIFVLLSAGSSAFILGRAFVLSTIAIETAQQLFLGMTKNIFRAPISFFDSTPSSRILNRASTDQCTVDIDIPYRLAGLIFALIQLLSIIFIMSQIAWPIFFLFIIIISISTYYQSYYISSARELARLVGIKKAPVLHHFSETVSGGATIRCFNQDENFFRKSLALIDDYSCITFHNSATMEWLCVRINFLFNLVFFVMLTILVSLPRDSIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQFSKVPSEAALVIEEYRPRENWPWYGTIQIDGLQIKYNHDMPMVLKGISCTFPGERKIGVVGRTGSGKSTLIQALFRIVEPSAGRIMIDGVDISLLGLHDLRSRLSIIPQEPTLFQGTVRSNLDPLQQHTDTDIWEVVRKCRLEKIIREDNRLLDAPVVEDGGNWSGGQRQLVCLARVLLMKRKILVLDEATASVDTATDNIIQRTIRQETESCTVITIAHRIPTVIDSDLVLVLGEGTILEYDSPDNLLRDELSAFSKLVTEFVGRTEDIINQR >Et_5A_042209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7527191:7530111:1 gene:Et_5A_042209 transcript:Et_5A_042209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREEEEVSTPAAAGRPEEERRRGDSESEGEDGIAAVLDFDMLCASVALAAERRKGAVAAADGDGGGEGGGGGGGVQRMWEGDVVLDCLEDRRIALEAACCPCYRFGKNMWRANLGSCFLQAMVYFILLVAVLISMIAFSVTRHHIYVYMGLGSVLLIAIYTGYFRRRIRKQFNIRGTESSLDDCVLHLICPCCTLCQEGRTLEINNVQCGVWHGRGDTICLGSNGEGNKAFAALNKPSFVPIKSPGLCGMDRTSNGADEHEPLVPPAQSEQV >Et_7B_053342.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:11690570:11690752:-1 gene:Et_7B_053342 transcript:Et_7B_053342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSTATGSRRRLPPSLPWAPPATPSTSTTRRRTPRSMPKTERSRTVHCNKQLAAKQCYC >Et_1A_008327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6498214:6499981:1 gene:Et_1A_008327 transcript:Et_1A_008327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSSPSSSGNPNPEVVMEQIKAQLAQAYAQEFLETVGSKCFEKCVTKPGSSLSGSESSCISRCVDRYIEATGIISRALFSTPR >Et_10B_004371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4926398:4927995:-1 gene:Et_10B_004371 transcript:Et_10B_004371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDHHILSKHVLGLSLQPLPVQHVRYNSFPLHLHNLSRASTSPPWVTGLASSLPWPVPPAHRTEERSGPILSSAMIQGVLDARAVTLQVSVRAVGAAAKVFDFHVLLRLNFSLQDPEYMQMCRLTDRSDVYSFGVVLLELLTRRKALSLTAPEDERSLAACFLTAARDGRLDKLVDERIKGEVSGEVLEIVTALAKRCLEMSGERRPSMREVAEEIDRVRKLMCKRAECLDSALQYLEFSQLLHQCGIQSEAVQSAEANIKW >Et_5A_040769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12164932:12165958:1 gene:Et_5A_040769 transcript:Et_5A_040769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPAALWGAKPGVVSQTQKLQLGSGNKGQGKDASGAGVAMAARRAPAGMRSRANQGKRQQRHRWQAEPGQRRQERWHGQPTQNGKGGANQLGQGMKVGGGGAGGTMGGGAGAGGSMGGMPPQHDMMRPNMMSKAGFSSMGQMGGGSIGMPMGHHPHMSGVPADGMPGAGFYQGGGGGMQFGPDMLQAMMQQQMIMGGHGHHVHHGHGGAGYPLMCYGCRRPKMPYPMPPPSNAEATFSATRTPTTAAR >Et_9A_063584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8482616:8484659:1 gene:Et_9A_063584 transcript:Et_9A_063584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLSFPCCLLLLFNFLPAPDAQEFVYNGFADAGLTFEGEASIESGRLGLTSGLEIGGIGHAFYRYPVSFEKIPGGPIVPSFSTTFVFETTMFEDYNPRGKGSDGLAFVLASTNKFLDDSLPGHYVYVQRRSKKAVKQDWEINCGAPSFTYKDIVNATDGFKDKMLLGKGGFGRVYKGFFRDTKQNVAIKRMSLESKQGMKEFISEITILGHVRHRHKHELLLVYDYMANGSLDKYLYGQDELTLGWYQRFHIIKGVASGLFYLHEEWEHVVIHRDIKSSNVLLDEEMNGRLGDFGLARLHDHGVDAHTTRMAGTFGYIAPELSRLGKATKATDVFAFGVFMMEVTCGRRPVVVSDCGEPRVLADHVIDEWQKGTIINSTDPRLEDFVAHEAEMVLKLGLLCSHPVAKVRPDMRVVVQYLESVVTLPDFPPSFFCADGANDEMYDQLVASYTSVATAATSLSGGR >Et_9A_062707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3542677:3545662:1 gene:Et_9A_062707 transcript:Et_9A_062707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHRAMGFAPSGDALWRGLRHLAANHMFGRHREVIRENMVANVTSRMARKCGEVTLRRALYVASLNHIMTTVFGKRYDNFESRDTQALEEMVTEGYDLLGSFNLAGHLPLIRYLDLQGVRRRSNRLAQKKVEAFVGKIIQEHRERRASGVVADEFTGDFVDVLLDLQGDEKLSDSDMIAVLWAPTPLPSCWTTVADADVANLPCIQNIVKETLRMHPPGPLLSWARLAVHDAHVGGHLVPAGTTAIVNMWSIAHDADIWPQPEEFIPERFEKEDVSVLGSDLRLAPFGAGRRACPGKMLALATTHLWVAQLLHKFDFAPTAAGVDLSEHLSMSLEMASPLVCKATPRVSA >Et_7B_054748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3984286:3985817:1 gene:Et_7B_054748 transcript:Et_7B_054748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHNLAFPSGTATAHLINTVHTPHGAKQARKQVSVLFKTFAGTIAWSVFQWFFAGGGNCGFQAFPTFGLAAFRRGFYFDFSMANIGVGMLSPYKITISMLAGSLFSWGILWPHIEAKEGVWYPKGLDEHNLSGINGYRVFTGISMILSDGPVHLLCILIRTLCAMYNARRLRQTQQPFRCLSVEHGDRPVEARISFDDRRRAQVFLRDRVSNPAAALCYVALSAVAVAAVPRLYPQLAHRHVALAYLAAPVLAFCNAYGNGITDMHVATTYGKIAMLVFAYWVGIENGGVVAGLAAGGIFVSALAPAADLMQGCRTGYLTLTAPHTVLISQLAGTALGCVVNPVVFWVFYKLYYDGDVDGPDTVPYAKLYRGIAMLGSGERGLPHLSGHLSRVFFVAALVVAMLREVAARRRWRVGRYFPSTIAVAVAFFVSPKMSVGMCAGSVVMYLWKRHDRDCARVLSPAAAAGLLCGDGFGSLL >Et_8A_057920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9354492:9359608:-1 gene:Et_8A_057920 transcript:Et_8A_057920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRMRGLARFIRQVHALFLKNLSFQRRNARTNAAIAAFPALLCVLLVAIQHVVDSELDRPPFRCGCAGAECGVQHSNPTQALACAVPAPPRWPALVQVPDPERRAPTPGHPRGCDPSEERCPAAVLLTGRDRRVAEALGARLFPPVPLEFGFRPPGAMNLSTYLDDFSITVPGSSSLPAHVLLIEPGLVPNSTLYVLQPKCGWNPRNVSGTSDVMPLQFEMQCVEGVSLWCDNAAVVNHHLFKGYKGGNKRRRTNEFLAGYDFLDTVKGRFHVYVWYNSSLSRDNGHHPMTVLRVARLVNMASTAYLNFFHGPPNKEMQLEYLKEMPKAEIKLRLDLTTLLDALFFTWTVQLLLPVILTYLVYEKQQKLRLMMKMHGLKDAPYWLISYAYFLSLSAAYMIFFMISGSVIGLDIFRLNSYSIQFLFYFICINMQVVLAFLLASFFSSVNTASVIGYIYIFSSCLLGEALLKNFIEDVTFPRRWLVTMELVPGFSLYRGIYELAEYASTGRNMGKPGMKWVDLNDPINGMKDVLILMSVEWMILLLVAFLLDHRPTWKPLFLFGFLSTKHSSPSGRPHKLRRKSNRVHIDMEKSDVFLERKVVKRLLKEMGMRKMIICHNLKKVYPGKNGNPAKVAVRGLSLALRKGQCFGMLGPNGAGKTSFINMMIGLVTPTYGTAYIHGMNLRKDMSEIYANIGVCPQHDLLWETLTGREHLMFYGRMKNLTGAALTEAVDESLKNVNLFHSGFGDKSVSKYSGGMKRRLSVAIALIGNPKVVYMDEPSTGLDTRSRSDLWNVIKRAKKDCTIILTTHSMEEAEELCDRIGIFVNGEFHCLGTPKELKARYGGTRILTITTSPEHAAEAERRVAALSPAAARIYSVSGTQKFALPRRAVGLGAVFGAVAAAREVFPVLGWGVADATLEDVFVAVAKEARAFDVLS >Et_7A_051031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13836131:13838849:-1 gene:Et_7A_051031 transcript:Et_7A_051031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARACRLLPASVVRGRLVPLAAVLLLWSGAVASARKVGQTCAADRNCDAGLHCETCVANGNVRPRCTRVAPVDPQTKARDLPFNRYAWLTTHNSFARLGQRSQTGVAIATAWNQQDTVTEQLNSGVRGLMLDMYDFRNDIWLCHSYGGICQNFTAFQPAVNVLREVERFLSRNPSEVITIFVEDYVESPKGLTRVLNASGLLPYMFPVWRMPKSGGDWPLLSDMVRDNHRLLVFTSKSAKEAAEGIAYEWRYVVENQYGTQGMVRGSCRNRAESAAMNDLSRSLVLVNYFRDLPNFPEACKDNSAALLDKITACHGKSGDRWPNFIAVDFYKRSDRGGAAEATDKANGGLVCGCGSISACNANGTCTPRHGRTPKGIFNATSDATAWRPPPVLQWQRLVLLALPALVPVVLSL >Et_7A_052649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10448364:10450009:1 gene:Et_7A_052649 transcript:Et_7A_052649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPAAGQHAASGESSGKRRRSVKRSLEYPCVSRFRHRRLLAYLRLHRLDDSFESLAMETNVFFCVEHLQDLARRGKWVDAIKYIARFAPSTDDLGDEGLVFYNFVVMHRVLDSIVTGEEYGAFIAGEYERYLKENPGAPPGNVKLVRIHLSVLNSEKLRASINWFLVRQKAADMIEELIDSAPEFNDLLRMPSCPTRPHNVLPIGFSSRRPRRHIKEPNLWKLVCVECRVFGIPLSTETS >Et_7A_052986.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:450190:451761:-1 gene:Et_7A_052986 transcript:Et_7A_052986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAIIMIHGRPQPRTPPPSPPTQAGHKCTSLKPKKILSNREERKQGKVAALGTMARPPLLFPFAVLLLSTTTTTRTLPLYRHLPHVAESAQQHPVSRLAAASLARASHLKRHAPAPAAAAAALYPHSYGGYAFTASLGTPAQEVPVLLDTGSHLSWVPCTSNYQCQNCSSVPPVFHPKRSSSSRLVGCRNPACLWVHSKSKTNNCTAGANNSVCPPYAVVYGSGSTAGLLIADTLRAPGRRAVPSFVLGCSLVSVHQPPSGLAGFGRGAPSVPAQLRLSKFSYCLLSRRYDDNAAVSGQLVLGDARTGGKTAMQYVPLLKSPGAGNKQQQPYSVYYYLALTGVTVAGKPVRLPQRAFVAGPGGGGGAIVDSGTTFTYLDPTVFRPVAAAVVAAVGGRYNRSKELEKGLGLRPCFALPQQQGKKSMELPELSLQFKGGAQMRLPLENYFVVAGRAPTAPGAAAASDEAICLAVVTDIANAGPPGGGPAIILGSFQQQNYYVEYDLHNERLGFRRQPCAASTSS >Et_3A_025379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29345627:29347907:1 gene:Et_3A_025379 transcript:Et_3A_025379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFAGTWTPREAHGRSGVVFASHSHFRASIIVPSQPANANSTSEKSYVARWGRKRENEAGVSQLARLKRHNRDSGARYSPAAGDFIGVFLLPAISCSFSPPSRLSLLSLTPPAKPISCAPNRFPARRINHPRGKSKDETTNTRLFLPYPHIQTLDNHCRFLFTSEMSRHSKRTSAPAPREIPSHVPQVVPSPGTHPVPPAAIPSMSGPGAWCPPCPPQSMAPSSTPFWFLGLHHPGMAGSSAQGPWWAPAGIGSSANIKDSNLQVWGVDSCPPGGLLNFLNKNIPNHGPAQAVSNGSSSQPINVGNDTKGSDCPRTEKRMAWTKDEDRSLKSTKKNGPFLFKHCWDVLRKEPKWDAYLERLAELDPDKRKFNLEDDVVQQFSVDDEKEERPIGGKKAKELQKRKRKDQSCIIDLEDELQIFVDAQNKANEGRKEMLETQKRVASENLEAKKLAYLAAKESKESAMLETYRELLKQDTTVLAEDVRSEHVLALRSFKEKLFGNTN >Et_2A_017045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30471532:30479940:-1 gene:Et_2A_017045 transcript:Et_2A_017045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLYPFSACVPPPRALQRRLSPPPPMAAVAPPLGRSVTLLPSVGALDLPPLPPPATDDFHWLDLFAFLNSPADSYQIPQQDEEELYADEGMELELEFELEKVAEMERERERARKAQHRRLRQRQVKAETEAWARAAEEYREIEREMLDRRLAPSLPYVKSLFVGWFEPLRDAIARDQEVQRSKRVKHVYAKYLLLLPADKVAVIVMHKMMGLLMSSKDGSGSVRVVQAAHCIGEAVEREFKVQAFFQKSRRKGRGKDQGETDPSLEKEQAKSRKRVKSLVRRRRLSEAQKLVQQEIELEEWGTEAQVKLGTRLIELLLDSAFVQSPADQSPDNSPDFRPAFRHVLRQPIVENGKLKKKHWVIECDPLVHEGFESTARHVDIPYLPMLVPPRKWTGYDKGGHLFLPSYIMRTHGVKDQKDAIKSVPRKQLRRVFEALDILGSTKWRVNRRVHDVVETIWNRGGGIAGLVDKGNIPLPERPESEDPDEIQKWKWGLKKAKKTNRELHAEQCDTELKLSVARRMREEDGFYYPHNLDFRGRAYPMHPHLSHLGSDLCRGLLEYAEGRPLGKSGLCWLKIHLANKYGGGIEKLSHEGKIAFVDNQLPDIFDSAANPVDGNGWWMNAEDPFQCLAACMDLCDALNSSSPHRAVSHLPIHQDGSCNGLQHYAALGRDYMGAVAVNLVPGEKPADIYSEIAARVLDVVREDAKKDPATDPTASIARVLVDQVDRKLVKQTVMTSVYGVTYIGARQQITKRLQEKGLITDDKLLYDVSCYATRVTLDALGQMFQSARGIMAWLGDCAKMIASKNQPVKWTSPVGLPVVQPYKKYKNYMIRTSLQCLALRREGDAIAIQRQKAAFPPNFVHSLDSSHMMMTAIACKEAGLHFAGVHDSFWVHACDVDQMNQILREQFVELYNMPILENLLEEFQTLFPTLEFPPCPPQGNFDVREVLTSTYFFN >Et_5A_040706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11399073:11401895:-1 gene:Et_5A_040706 transcript:Et_5A_040706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLRSGRRLAPRGDVRRGRPRRVPGGGGSVEGVDRISSLPDELLLDVICRLGCARQAARTGVLSRRWRGLWTELRELRFHCVGADALETALARVDPNLNHLGFSVSSNDGSFTSARISSVSDFLNSQSAQRIVTVIKMAIGEMNKSPCLIFKMWKFKGFGTADHEVDFLQFLFRSAPNLKRMKVKLSEEDSQSDGGCLKLCSIFEANASRCSSGQAAAWCRRHRRRREEICVAAVLGSTRDATRSSVLSRRWRGLWAELGELSFTGLRAEAVETALALVRPNLNYLNIGFTVDGDTTGAQVSSLLRAADRLAPTELVVSLARDHSPDRVRFEMPCLTSATSMELSISHLEFILAPAGEFASLEQLALSLQHLAADLALLLSRCPRLRRLRLSILVDMRINTVAIESKSLEELTFYMDTSWPAGVVVAAPQLKKASLDFLVSGGGDLILSHSAAPKMEEFLFKQTCEHSRVGFGNKWGL >Et_2B_019429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29187566:29188228:1 gene:Et_2B_019429 transcript:Et_2B_019429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATCRCSSVVFVGNIPYHASEEELRSACEEIGPVVPRGFAFCEYLDDETALSACRNLDGRPLRGRQLRVRLADRGGQQQGVTDEDQPVGLADATHAATLVSAPGARPSGAVTAYLAGLTRRQVRELLNVVGAQDAGLVEQAKRELGGFATLVEQAQDRKGSSSNSDARKRQGDSPEPVGQAPKLRRLEDGKSVACR >Et_1A_004955.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:18910373:18910438:-1 gene:Et_1A_004955 transcript:Et_1A_004955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWQHWDVLVTRRMKLRSYPS >Et_1A_009338.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:39711010:39711252:-1 gene:Et_1A_009338 transcript:Et_1A_009338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSLRAKAAPAPQQQKTSWPEVVGMAATPAVTKIMQDRPDVAVEVLPPGTQLLPGANPKRVRVFINGLGAVAKTPRVG >Et_1B_009991.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17042495:17042746:1 gene:Et_1B_009991 transcript:Et_1B_009991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KRSTRIKDRLKGFKNDQCAGKECFACSSAPSTLSPSMIKNLGHTFCKIPLEGISEPKLTAKCKLKTGAKGDQNNRKEAAQPKA >Et_1B_010752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13687207:13687724:1 gene:Et_1B_010752 transcript:Et_1B_010752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHNLISTLREKPPYFVSTAPPIVHASDQVTGTSPMSVSRPSASHTLLDVSTMLSLHPRRESHSSTTMASTERPFCVLVTRIILWHRAPLAYHRVDSAARCKLSLWYWPSHSDGPPPSSPFSNPFTVITAGVSPLTACGRRATAHDDAWISSRTTSAVRTAI >Et_5A_041072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17879985:17884685:1 gene:Et_5A_041072 transcript:Et_5A_041072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRESGGGPGAARRADAQAPCQICGDEVGVGFDGEPFVACNECAFPICRACYEYERREGSQACPQCRTRYKRLKGCPRVAGDEEEDGVDDLEGEFGLQQGGRGDADDPQLVAEAMLRAQMSYGRGGDAQHFGAAVPNVPLLTNGQMVDDIPPEQHALVPSYMAGAGGGGKRIHPLPFADPVQPRSMDPSKDLAAYGYGSVAWKERMEGWKQKQERMQQLRNEGGGDWDGDDADLPLMDEARQPLSRKVPISSSKINPYRMIIIIRLVVLGFFFHYRVMHPVNDAFALWLISVICEIWFAMSWILDQFPKWLPIERETYLDRLSLRFDKEGRPSQLAPVDFFVSTVDPSKEPPLVTANTVLSILAVDYPVEKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNLEPRAPEWYFQQKIDYLKDKVAASFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGSPWPGNNVRDHPGMIQVFLGQSGGHDVDGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLSNAPYLLNLDCDHYVNNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCLSCCCSDRKKKKTTKPKTEKKKRLFFKKAENPSPAYALGEIEEGVPGADNEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSITEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSKHCPLWYGYGGGLKFLERFSYINSIVYPWTSVPLLAYCTLPAICLLTGKFITPELTNVASIWFMALFICIAVTGILEMRWSGVAIDDWWRNEQFWVIGGVSAHLFAIFQGLLKVLAGIDTSFTVTSKAGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGISNAINNGYESWGPLFGKLFFAFWVIIHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKSDGPLLEECGLDCN >Et_7B_055297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9058207:9078108:-1 gene:Et_7B_055297 transcript:Et_7B_055297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDKRSARCAALLVLVCAAARAVLAVSDGPLQNGNFEYPPDPSQMNGTAVTGEYAIPNWKISGNVEYVTSGQQQGDIVLTVPEGAHAVRLGNNASIEQQMGVTMGMYYSFSFSAARTCGQSQKLRVTITPGSQSGEFPIQTVYSSSGWDTYSWAFQAECNTVTFKIQNPGQEDDPTCGPIIDSVAIKTMYPPQATQSNLLKNGNFEEGPYVGQDYQWGVLVPPMNEDGVSPLPGWMVMSYSKGVKYIDSAHSAVPQGRSAVELVSGVETALVQDVDTVPGGKYRVEFSAGDAADGCDSPMVVQASAADGGTDVTVFSPGPGGYTRGAFEFAATENSTRVVFSSPGYHMRSDGSGTLCGPVVDDASLVCVSAPPARRSEMGTDKWSARCTGLFVLVCAVAAARAVSAVDDGPLLNGNFESPPNRNQMTGSVVTGANAIPYWTISGHIEYIESGQKQGDMILPVPEGSHAVRLGNEASIQQQINVTQGNYYSITFSASRTCAQDERLTLTAEQLNLTVSVESDVLPIQTVYTSSGWDCYSWAFKPRRSAVTLTVHNPGVDEDPACGPLIDSFAIKTLHPPQRTKNNMLRNGDFEEGPYIFPGSPWGVLVPPMDEDDYSPLSPWMILSSTKSIKYNDAAHYAVPQGRYAVELVSGTETALAQDVRTVPGRPYRLEFSAGDAGDGCVGSMTVQAYAARGSVNAYCQMATLSKGRASPS >Et_4A_035493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26848225:26849608:1 gene:Et_4A_035493 transcript:Et_4A_035493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRETDLAAAAAKIRAATASGTPTATHGSSTASSCSSNSNTAAASSGTPPPTTIVQWAGGAGDSCYYPGCRKDANCACEMCLASIDATRDLVRAPEAASARRFFAGAAARDRRPALFRRGASTGSDVTEPWTPPMRSTAKSRRAPGRAAEAAAGGRDEKGGVAAHDWALYAATVIGFLFLLWVDTGLVPEAAARGFGPKLSPAAVARVGIEAPGGLDSKLRVLERRVGQLVGGDRVANCSSQDSAWRFHQNDQHVFHWRCTVYKSVAEEVSVWGSPLRTSGLLPPTLSARHVTLLTGKISEWSDGRVSPTVRASNGSSWAYRRHSAGAVRLEPDTWVLEYQKSVLFEGTRLIPAAAEMFMSKLSTAARRARRWLARRRLSGGAQAIPT >Et_2B_019910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15027553:15030578:-1 gene:Et_2B_019910 transcript:Et_2B_019910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETPEAEEYRCFIGNLSWSTTDESLRDAFEKFGNLTEAKVVFDKFSGRSRGFGFVTFDEKKAMEDAIEGMNDRGGDRYSGRSRDGGSYGGGDRYSRDRSGMEALSVKRYEVAHMICSPVFIPFGPLLMDSGKQNKKT >Et_2B_020873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24483245:24486854:-1 gene:Et_2B_020873 transcript:Et_2B_020873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPAAAAALPRDVLLRIAAPLRESISAAPYEPPAGSSASVKSLLAALLPSPSQPQPPAGKEAADLLLFCAAVLSASPETPALHWVPVGLSSAAAAATEEMAAAGGWGRVGEMVMVMMPEVVPPLKAVVKETCVDAENDEISAAKPPKEHAVVAAHQFRWLVSQVNYPKLGDFCWLVIPCALTTLDHWSPEVKEQGMVSFMHIARNVKVTELNLYEDAILDACCHNIPADDQLWYRVVEVSVLLLTSTQRSNPRSPWYDRMLSEMLGHLERQPLNRERRLAWLTLIGPVFDAMGLFLLAHFRRLFSLFFQWMHVDDDKTVLLVLEQIHAIIKLTWIRKSPYTSRLVDELVLLYKESATRSGREVMRNHILEMLVLLQKCKGEQFEEAWKKHELDPDLTMLLSCFNQLCIKILRDVHFNLVDGILTYLYLGVIFHWEIQYLRLQLTYLDGSAHAAVPSAPHILVQSKLYSPPPAPQQAFRVLAASKPEDATTPTMRQTDNTST >Et_1A_008478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7990634:7991068:1 gene:Et_1A_008478 transcript:Et_1A_008478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPNCHVRANVSVAETEKNYGKIFHKCPCFCAYGCQYYQWDDEIDAAIVPSAQPVLMQVQAPPQAPAIIQVPAGAPPQAPAMIQVPAPPQPQGGRVQDAPGNLQVLMVKINWLEKL >Et_10A_000638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14084185:14085649:-1 gene:Et_10A_000638 transcript:Et_10A_000638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PSVLLLFHQQHKQILSLTLIEGRAASLDSSSQGMEHTADLMTPYKMGKFNLAHRVVLAPVTRCRSYENLAQPHNALYYEQRAAPGALLIAEASAVSEMATGYPHVPGLWSQEQVEAWKPVIEAVHAKGALFFCQLWHTGRKSPTKEFGAPTRMDPEEIPQTVMDFRVAARNAIRAGFDGVEIHAANGFLINQFWFIIDIGRVDSQSPLHLDQFTKDNQLNGGSSPDGVRRRFATDVVAAVVDEVGAHRVGVRLAPFASYMDCTEADAEAHALHLVHFMDKLGVLYCHVVEPRKCVNGDDGKHRLSLFRKAFRGTFIVNGGYDQEEGDRVVRDGYADLVSFGRLFLANPDLPERFRKKAGLNKYDRSTFYTSDPVVGYTDYPFLGEETQVA >Et_2B_020888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24615818:24623965:1 gene:Et_2B_020888 transcript:Et_2B_020888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSGRRAPPSGAAASPGQKRAREDTSASPSDPDYASAKNPRRGFSSSPFADFGSYMAAKNSKLTAQFDANASASGPDSGGLFAGVSIFVDGFTVPSSQELKEIMLNNGGRFVNYFSRHTVTHIVCTHLPDSKMKNLRSFSKGLPVVKPAWVVDSLAEKRLLNCSPYQIRQHSTSSQKQTKLSAFFSEKQNGMRYQGEASNQSEDLGPQSSSIQAGSQCQSGACENEGSLSNEELPNQSLSTDDKKASAFEERGSEDFAFDEIEQDCETTCSEGRDDDMECKIGVAQSPDAKSRSSNLCSTSSTGSHMSLPLEKSEAKPSSRPHSTLTDPNFVENYFKYSRLHFIGTWRNRYRKRFSNLLEDKSIKASSDDPRKQKTIIHIDMDCFFVSVVIRNMPELHDKPVAVCHSDNPKGTAEISSANYPARTYGIKAGMFVRDAKARCPHLIIVPYNFDAYEEVADQFYGTLHKYCNKVQALSCDEAFLDMTECLDDNPEEVTRRIRSEIFDATKCTASAGIAENMLVARLATRSAKPNGQCFIPSEKVDDYLNSLPIKALPGIGHTVSAKLNSKDIEHCGQLRNISKDALQKDFGKKIGDLLWNYCRGIDHSVVGTVQEMKSLGAEINWGVRFNDNKDAEHFLTSLCKEVSLRLQGCGVQGRTITLKVKTRRKGAGEPLKYMGCGDCETMSRSMTIAGATDSFVTLQRIAKQLFASFRADVKEVRGVGLTMSKLEHADLVRGASQGNMLQSWLASSAKIKKQCSEKMRVLDNVDVAGASELPDFRRSGPTSIGAASHSSGLNPRGDRSAGVHNAELPPLSQLDPEVLKNLPPEIISEMNDMYKGELHGFLDTLNSDKGKDNGSKSLALPAVVQNSVPADDAKIKGHGDHRDSMHLEEGTKGESDYVSVVPGANDASCSRSSELVVKTTKSVTQLDWMPDSLSQADLTVLKELPEDVKADLLNALPLHRSGGPSCSTSIQPENKIPTDGGNVDLKDPRITLASGSYQKWIEQFRVSNCLILNVIAEQHTDSRASQPLSSILELVASFVQLCPSSGSEEWNEALSCLSELLTQYIQLKVDMDIEELYKCFCLLKRFASASVFFLELYNSVLPFLQINIMAPDYMAILQDSVSQHYGGTLNF >Et_4A_033569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24512744:24516031:1 gene:Et_4A_033569 transcript:Et_4A_033569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPFARRDIEAAGAGTSASGGDSPAAKKGKPELVAARPSLTRSEALAFAAVLVLFTAGIFCVFLAAPRHEFGQILRLPRSLADVRLLKDNLAVYARDHQVNFILGYCSIYIFMQTFMIPGTIFMSLLAGALFGVIKGGILVVFTATAGASSCYFVSKLIGRPLVCWLWPEKLRYFQSEIAKRKEKLLNYMLFLRITPTLPNTFINMASPIVDIPFHIFFAATLVGLIPASYITVKAGRALGDLRSVRELYDFKTLVVLFLIGSVAVVPTILKRKRTYE >Et_6A_046857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21610535:21622487:1 gene:Et_6A_046857 transcript:Et_6A_046857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKKTRVVAAGTLPDDALAVVLACLPARSLAASRRVCSVWRDLVDERRLLDRHLLPHSVRGLFVNYDDHRRPHFFARPMRKVDGEFGFIEEDDAYYRHKRGAVLDHCNGLVLYTTNSTAPAMYRKKTRVVAAGTLPDDALAVVLACLPARSLAASRRVCSVWRDLVDERRLLDRHLLPHSVRGLFVNYDDHRRPHFFARPMRKVDGEFGFIEEDDAYYRHKRGAVLDHCNGLVLYTTNSTAPAMYVCNPATRRWARLPACSSRRWMAHRRAFLAFDPGTSPQCYLVLLAPHTPSDFSKHRSMEWPPSEWTWPVFSSRDGEWGKRTFVREGEAAGTVAGLRNSTIYVRQAWLSATYWKGTLYLNFFREYVVRMCLSSNKYKVIKSPVYQDDSYIGATTYLGKSEKGMCFATVHHGHCQLRVWMLHESCEDSEWVLIHQSDLKPFVWWAKELAAVAESGKNLDEQYPEAPWLLDDCNAINETKKRNALPWQEHESEWDSDDDDAIDIASMSSNKDSWQRIPRREHYNILGFHPYKEVVLLHASYVAVAYHLKNSKVHHLGSLCPSDTIDKSFRKKTRVAAGALPDDTLTVVLTCLPARSLAASRGVCSAWRDIVDDRRLLDPHLLPNSVRGLFVNYYGQRHSHFFGRPTPPSGRPGRQIDGKFSFIEDGYKHKYGTVVDHCNGLILYTNSTAAMYVCNPTTQRWTRLPSCPDGHWEEDRCAYLTFDPATSPDYEVLVVPHMPLELEACQSMEWPPTPWTWNVFSSRNRQWGERTFVREGEAAGNVGELRLNKHFNDHASSFWSPATYWQGILYLHFHHDYVLRMSLSSNKYRVIETPSCKDDFYIGSLASLGKSEKGMYYAIMHCVHCQLRAWFLHELGDETEWVLLHQSDLKPAVLWMLAASKLKRGAGPWNLEDRYDNAGKKRKARVQLDHDSEWDSEDDNVVDVTSRSDEESQLIRKANGMPRGLAYSLLGFHPYKEVVYLCAARVAVAYHLSGSKVQHLGSVGQQGWPYYVDEAFVYTPCMIGDLTFDS >Et_7B_053740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11687538:11690525:-1 gene:Et_7B_053740 transcript:Et_7B_053740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISLNPWAIISLAAAVFLLVLTVVQTVYTVLQYYDQQQHKAWSPNFHDELLLFHKTNADVIYYDG >Et_4B_039479.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:18362333:18362839:1 gene:Et_4B_039479 transcript:Et_4B_039479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQPSSSGMSSAHREPLAPVRPAATKKLFAPPRERPSCAVPRRPPALVSRSVAGFVPAASPPPSASPRLKPCTAVLVRTRTEKTVKGKAVVLWLPAVVVSATDGGNEVVYEGKLPRRDPFATVHVQRDHVRPQKPPATTKTTTAASYGHQLILLPDARLPCSYDRLV >Et_9B_064679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17581069:17582559:-1 gene:Et_9B_064679 transcript:Et_9B_064679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMPIKTLSLTAPATAAILVAVVVASLAPPAVANEEVQALMALRNSLDDPTGILSSWVSNLVDPCTWVRISCNDDNRVTSIFLGNLDITGRLAPELGKLEQLQYMEMAGNNLQGPIPPEFGDLTNLLSMDLYKNNLSGPIPSTIGNLKSLKFLRIDHNRFTGPIPEELTGLSNLVDVDFSNNDFCGPIPTSGVFQTIPSTSFDNNPRLRRPGMEGDGGDDSSC >Et_5B_044266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22039062:22039673:-1 gene:Et_5B_044266 transcript:Et_5B_044266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLEMKKAKFMKTMTTCTDGAMQVERMVLYMVPMRMLVVVAGFYYLRHPMFRRLPSMS >Et_7A_053177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9152918:9156068:1 gene:Et_7A_053177 transcript:Et_7A_053177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWIGRKIHLYNVTIGLYMLDWWERYLFSILHLCFSDSAPDFSVDFALAATEANSWHEMPPPVIPSLAIRDPRPACGAYRFFHHIHSTRGVCVCLLVVAPCLAGKKRKGERQAASSAMFELVISIPAILLLIIVALGCYLFGRNRGRAEAAAPPQFAPPPELKSHAKQDLSFTQLCNHYVSDKIKSKTNWLD >Et_5A_042066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5942952:5943933:-1 gene:Et_5A_042066 transcript:Et_5A_042066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYDEQQHQLFASSFVDSSLLAPNGAAQGERPRARRRRRRAARCGGDGGELDAGDPKKRRLSDEQVEMLELSFREERKLETGRKVHLASELGLDPKQVAVWFQNRRARHKSKLLEEEFAKLKQAHDAAILHKCHLENEVLRLKERLVVAEDELTRFRSAGSHSHAVSGDGGDVMGRVVCSGSPSSSFSTGTCQQPGVGGVDRLGDDDLIYVPDVTDCGYSDNSVVEWFSLYGLGLM >Et_7A_051687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22947407:22953965:-1 gene:Et_7A_051687 transcript:Et_7A_051687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPCTTWMCFSLEGLGNIKSFIVPQEVHKFIAMVARNVNLVEKNLPPELTHHLSIHNRIVLQKAHSDGGSSKHIVASLPSLASSPQWQLLKVLDLEGCHGLEKHHLRIICKVLLLKYLSLRNTDVCWSVFGPYRGPLLAKKPIVLPQLKHFLAGHKVSASNDARISDEEPIATVSMPLGIQRMESMEIMSHVQVTNSASELADIAQLLKLRKFGVTLRGENAKLNDLFRYIQKLDACLHSLSIRIVQPDTGENHDAEAVDALNPPQFIKKLNVSGLTRGLPLVIQELHQLDKLTLTEIYMKEDALRILGKLGALRCLRLQYNSCTEIELAFQEEEFQSLNFLLVASSNVTNIRFAIGAAPKLERIVWSFPTTASLSGLRHLIHKLREFELNGDCSPDQVRDEMEGHINFPVFKHNPHAQR >Et_8B_059147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14343597:14344741:-1 gene:Et_8B_059147 transcript:Et_8B_059147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKASFLVVLCFAFLCSAVLAGRELSDDSGMAARHEQWMAQFGRVYKDAAEKARRFEVFKANVKFIDSFNAEKHKFWLGVNQFADLTNEEFKATKTNKGFKPSTVKVLPGFRYENVSIDTLPASVDWRTKGAVTPIQDQGQCGCCWAFSSVAATEGIVKIDTGKLFSLSNGGLANESSYPYTAADGKCKRVKNVASIKDYEDVPANNEAALMKAVAYQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGQTSDGTKYWLMKNSWGTTWGENGFLRMEKDISDKRGMCGLAMEASYPIGGFVPN >Et_5A_042023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5368015:5371608:1 gene:Et_5A_042023 transcript:Et_5A_042023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAATGVSLAVADAVWAEIKSAGHVSDEHLSILETLFGKNMLRACKIVDERGVRRVTGAPSGRSLFLVMGESRRKEEYLCFPEHLCTCYSFFYDIVGRGEQLCCKHQLAARLAEAVGEHQEMEVTDEELAQFALKLPGHTNPPASSIAKGFQPLTWPPSNLATTTAALSPCFLRIVEW >Et_5A_040350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1649584:1650012:1 gene:Et_5A_040350 transcript:Et_5A_040350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQCQGSYSTFDTGAVWKASTEGKHKVFAWLVWHHVNNWRQQVIFNTPEASEKLEEWWNNSLMGIGKNEKKTKAAIIIYTIWNIWKERTGEFSTIKR >Et_3A_024365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2072110:2074334:1 gene:Et_3A_024365 transcript:Et_3A_024365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAVALTYRSSRGSAGAFRRVGETAFPTAAAQRPFRTEAGQRTIPLRLQPNGAPPQAGDTLFVTIVAINEFVGSIGYGHVYSINDHATMEDAATDNGFVIKVRTVGVSKRYDCPKFDLILLDMGSDGNMTALSPTSLSLQPPPERIAITISSNGQQRLHQWVSISMRPLPRLSVFGSNDQQLVNMFVSILQELKIPSDRRHC >Et_1B_010381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1015655:1017019:-1 gene:Et_1B_010381 transcript:Et_1B_010381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMATQPVRSKAFLVCFFCPGVSGRGTLPVYHGDCRFPSGACFMSTSPMLGPMRVSLVCNASPNNHRPRNLDTSRQQKGGSSRGKSKPYQDKDESDNIDEFDSDIMFSKNGPSISLASNSRPQSTSAPGEREKEIVELFKRVQAQLRARGKSREDKKPEPAKVQGERGSVDSLLNLLRKHSVDQRRKGSDDKEQNFDLTRRSNDPVNRQSSTMFGSKNESQEEPKKSPPATFRRPASNFRRRSPVPGVKFQPIINADKEADAKNIADNVTDVIQEAEATLDERAATDEPDTVSPYEPDSEIPPENMSMDDFDVISDDESDTDEPNEEYLETSLDSSDTADSDGSHDNSAAESSDLSSLKVAELRELAKSRGIKGYSKMKKVELVEVLSSTA >Et_6A_046122.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:26338287:26338760:-1 gene:Et_6A_046122 transcript:Et_6A_046122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTTNPVVKSVCGKTDAAALCESSIASLPSPPAAVDGAGVLKLAMEAVRLKVVDAINAATDRMHTPGADAASRNALNACIESYDDMKSSIATVADALDAGDQGTVTTNLDSLGTDVDICNEGLKELHLPAIMADHNGYLAKLCSNLLAIRSVIQH >Et_10A_001181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21961302:21961925:-1 gene:Et_10A_001181 transcript:Et_10A_001181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINMAMNNKQSGIPVYLQYGHVSRGKRNTQRVDAVWHDMVQVEPYLPCRWHGVVAYTFLYKTNTWITLTNNENKIVFRVQVSVPLPQTVLPVPVKPNQSSLVRLLKKLMPHMFCKIDAPYIWDQELTCLVEKEPVGVFGVGVRDEKLRRTPVSNSNLPQCRT >Et_2B_019489.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:7432281:7432382:1 gene:Et_2B_019489 transcript:Et_2B_019489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQGSVSDITSCHPGCHRYVPSWPQPTRRGLA >Et_5A_041165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18942721:18943098:-1 gene:Et_5A_041165 transcript:Et_5A_041165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AHQHLLRIKNRKLPDYRRVLLIGVGIPLVFTGRIFREVFMVANWHIWVHRNKIIFDNGVLSTKQWKHVFKNDLALTEQKLLSKFCWIIG >Et_2A_016905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29269914:29272202:-1 gene:Et_2A_016905 transcript:Et_2A_016905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNDVLLVVLAAALAAMWWRRCSKTGGVDGLPPGPPGWPVVGNLFQVILQRRPFMYVVRDLREKYGPIFTMRMGQRTLIVVTSADLIHEALVKQGPMFASRPEDSPTRLLFSVGKCTVNSAPYGPLWRALRRNFVAEIVSPHRVKGFSWIREWAVNAHLRRLRAEHAATGAVRMMANCRLTICSILICICFGAKIPDDLIREIEEVLKDVMMMTMPKLPDFLPLLTPLFKKQLTEARNLRRRQLDCLVPLVRARREFLRDGTKKMAGGVEMMSGPGEAYVDSLFDLEPPGRGKRLGEEELVTLCSEVMSAGTDTSATALEWAMMHLVLDPAVQERLYDEVVGKVGKTARITEADVEAMPYLQAVVKETFRRHPPSHFVLSHAATRDTELGGYRVPADASVEFYTAWVTENPETWPDPDVWRPERFLEGGEGFDTDITGTRALRMMPFGAGRRICPAATLGVLHIQLMLANMVREFRWVPPAGEGPPDPTETFAFTVVMKNSLRAAIVERAAECSK >Et_6B_048689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12620328:12621364:-1 gene:Et_6B_048689 transcript:Et_6B_048689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVDFFWVHFPMLTGENSGIETRHFHHIEEAIAGHPEMIDPAAPSLGARLRLATDAVPELAAAAVAKAIAEWGRPATDITHLVVSTNSGAGSPGADLRLAALLGLSPAVQRTMLYLHGCSAGSAALRVAKDVAENNRGARVLVACVDVFLATVRAPEEEAAGDLGAFLATSCAIIVGDDDDAATAASPGAGVVERPIFHMVSVSQETVPGTERAVVVELGEGGLDVNISAELPRLVRDGVERCLTEALAPLGLAGDGDGWNGLFWAAHPGGRAILDSYEAALGLRPGKLASSRRVLREHGNMLGATVFFVLDDIRRRRGGEEEGGDCEWGVLLGLGRG >Et_4A_035893.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:876408:876731:-1 gene:Et_4A_035893 transcript:Et_4A_035893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRASNPRAHNKARQYYITKPKHTAAVKEDDQLPCCCHRLCYSMQKWWRVRRKPKTHAKAWSDQSKDSLGSHAFRHLGGPESHPQQPYPGQKSFLVEWRNRTSSPA >Et_8A_056189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13634230:13636875:-1 gene:Et_8A_056189 transcript:Et_8A_056189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AEDEVNDHPIEEVRNTVSISDDPSEPCLTFRTWVLGLSSCMMLAFVNEFFMYRSTQLGIGTVVVQIASLPLGRLMASTLPARPIQVPLTGWSFSLNPGPFSLKEHCLISIFAGAGSSGVYAMNIIAVVKVFYKRQINPYAAMLLAQTTQLLGYGWAGLFRAFLVDSPYMWWPINLVQVTLFRAMHEEEKRPKGGLTRLQFFIIVMICSFAYYLIPSYLFPAIGTVSVVCWVYKDSVTAQQIGSGLKGLGIGSFGLDWNTVAGFLGNPLASPAFAIFNVMAGFAVSTYVAVPLLYWTNTYNAKRFPLITSHVYDAAGNIYDTNRVLDPKTFSLNLQEYNAYSRIHLSVLFALNYGISFAALMSTLSHVAIYHGKRKAATAKAKGGKEEDVHTRIMKRNYRPVPQWWFHLMLVIVLALSIFTCEGFGRQLQLPYWGLLLACALAFAFTLPIGIITATTNMTPGLNIITELTIGYLYPGKPLANVVFKTYGFISMGQALTFLSDFKLGHYMKIPPRSMFFAQLAGTLVASTVHFGTAWWLLTTVKNICDVDSLPPGSPWTCPGDDVFYNASIIWGVVGPLRMFGRLGNYWQMNYFFLAGLLAPVPAWLLQRAYPGSAVLRNVNLPLILAGASGLLPARTVNFVMWGLVGYVFNHVVYRRHKAWWMWHNYVLAAGLDAGVAFMGVLTFVALGYFDVYGPRWWGGVADDGCDLASCPTAPGVVVKGCPVV >Et_1A_008565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8883592:8884126:-1 gene:Et_1A_008565 transcript:Et_1A_008565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNPDSMDTDPPGGPSIVVERNPPESRLQQLGVRSWPKWGCPTGKFPLKFDARQTCYLVKGKVRAHIKGSSGAWSSAPATSSSSPRGSAAPGTSSPPSTSSTSSTRPDFYRRSSMALALDLADSSAYSLRCCLIWMLVSI >Et_1A_004582.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:20583001:20583426:1 gene:Et_1A_004582 transcript:Et_1A_004582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSAAPHTAATAPWAHQTTASPGPSSSHSASRTPQRRRGPESPSVEQRLATRAPCLLFSPRNLPGAAPRRWHQWGVVRRLPVARAAPRENGARCARARGPSHESGVAQSSPCYRSTEAPPTPVVACPATARTRAAAWRLA >Et_9B_066080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2662619:2663953:-1 gene:Et_9B_066080 transcript:Et_9B_066080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIELYYLFFGYKMSSGRISLSMVIQSLEQGEEEGCAVDPVIGGAIHSKTLHIHFLASNRYTLGH >Et_7B_055494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1287147:1287854:-1 gene:Et_7B_055494 transcript:Et_7B_055494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKAVLKLDLHDYKDQQKALKAVTSLHGTVLLLSLLSSFSPYQLLLCFPSNPWTRTTETKLQNPISDDENFPSAGIESLSVDMKEGKLTVIGTADPVDVVTKLRKQWPSAQIVSIGPWPEKKDEKKDGGDKKDGGEKKDGGAKKEGDKKEEAPKVVWYPPPPPAWYAQQHPYQYPPQYIVRNHSAEEDPNSCVIC >Et_7B_053967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13831387:13834279:-1 gene:Et_7B_053967 transcript:Et_7B_053967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAFQELERRSRYLSALVRRTKLADPPEAEPKPEVQPEMEVEPKMKAAEPGVGEGKRGDVEADVKERTKVTNAKGEGKQLAEERKVAVRVRAADMPLPLQRRAVRIAAEAVAAMPKLESKRLALALKKDFDATYGPAWHCIVGTSFGSYVTHSMGGFLYFSIDKVYVLLFRTAVEPLDNPR >Et_8A_056524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11355255:11356708:-1 gene:Et_8A_056524 transcript:Et_8A_056524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPILSEVILSGFMINSTLRRGSHLVLSFSVVFLYWFYVFSCQGFKEFVSMSLSGGTISSGTSSGSSHGTQSYGSEGDMELQARMELKRKRRMESNRESAKRSRQRKQQHLDDLTTQVDQLRMKKKQLITTLNVTAQNYTATEAQNSVLRTQMMELESRLFALREIICHMNANQFANAATVTTNPSTIIGATANYDAFGANAWNSGMQMVQQPIDQLLYQCF >Et_7A_053074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5991923:5995717:1 gene:Et_7A_053074 transcript:Et_7A_053074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGYGPTALLDRMVNKTLEKYHDFCYNAQGSNTCFGGESQSWHQEMSRLKAKFESLQRSQRHMLGEDLGPLNIKELQQLEKQLEYALSQARQKKTQIMMEQVDELRRKERQLGELNKQLKNKVGLLEAEGCSSYKAAQTSWTPDATVSVVNDRALSVPNHPGAAMDCEPTLQIGCQQFAAPEAAAMPRSGTGGGENNNFMLGWSL >Et_5B_045243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13881805:13888797:-1 gene:Et_5B_045243 transcript:Et_5B_045243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNLLAEQAEAPKNSKKEKKSKKDKKRKLAAEAEEAEATEEVPKISKKKRAEDVPGEGGETENGAEKTVAVTGKGFQDAKYAPLLSFAAADLPSQVLDCCKAFARPSPIQAHAWPFLLDGRDFIGIAATGSGKTIAFGVPALMHIRKKVGEKRKKAVPRCLVLSPTRELAQQIADVLAEAGAPCGISSVCLYGGTSKGPQISALKSGVDIVIGTPGRMKDLIEMGVCCLSEVSFVVLDEADRMLDLGFEPEVRAILSQTSSARQMVMFSATWPLAVHQLAQEFMDPNPIKVVIGSEDLAANHDVMQIVEVLDDRSRDSRLLALLGKYHEAQRVLVFVLYKKEAARVESMLQRRGWKAVSVHGDKAQHDRTKALSLFKEGKCPLMIATDVASRGLDIPDVEVVINYSYPLTTEDYVHRIGRTGRAGKKGVAHTFFTQANKGLAGELVNVLREASQCVPEELTKFGTHVKKKPDISAGAHDH >Et_2A_017441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34379633:34384145:-1 gene:Et_2A_017441 transcript:Et_2A_017441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPSPYHRRRRSSSAPSFRHLNPFGPIVKVSLQRATLLPLVEHDSVMEILSVLVLDDDPKSLQVISKELEKLNFKVFPFETEDAALDFLKKGSAKEEELNLILAEVHVSTMASLTLSNSALLNHIVNELQVPFVSMCGNKDEEAVTECMAIGSCFHLLKPLNTGNFNVLKHTARQHKLRRSTPEGLNSFCRTASSSTKVPKEMFNLEKNNLCRRDSKEHEESEKPDRLTWTVELHEKFLDAIKVLGDKFASPKRIKDQMNVKNLSLAHISSHLKGMQEGQFQQNASGKPVAELIRSAQNAADTESITPRVSANTREVYPSRLWLNLKKMAAGPLSLKAYSDMCTSGSYTDDSRSVWDRYYKSLGKDSSWSSRRRQYELSPKNKDAARDEGVPNEGPKTAGEIGYGAPESSNNPLTSFVGQAGKNHLTEAAGNIDSVGCINRLEGILNEEQTAAVDPFDGRLHLQSNDTLLDDTNKSPSAQMHLTGPSDGQEKMNSFWMSQLEGPQEQLNIGPEDLLQVNEAWNEALVLGTQPTLINIDEPMTQEVTVGDAPVPEPVMQFAPQTQEAAV >Et_9A_062108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19786452:19789523:-1 gene:Et_9A_062108 transcript:Et_9A_062108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTESRKLSDDYEVVDVLGRGGFSIVRRGVSKSEGKTQVAIKTLRRLGPSMMGTQKGSNSGLPMWKQVSISDALLTNEILVMRRIVENVAPHPNVINLHDVYEDVHGVHLILELCSGGELFDRIVGRDRYSEFDAAAVVRQIARGLEALHKANIIHRDLKPENCLFSDKNEDSTLKIMDFGLSSVEDFSDPIVALFGSIDYVSPEALSRQEVSAASDMWSVGVILYILLSGCPPFHAATNQEKQQRILHGEFSFQDHTWKTISTSAKDLISSLLSVEPYKRPTASDLLRHPWVIGDCAKQDLMHAEVVSKLQRFNARRKLRAAAIASVLSSKVALRTKRLRSLLGTHDLTSEELDNLRVHFARICADGENATLAEFEEVLKAMKMDSLIPLAPRIFDLFDNNRDGTVDMREILCGLSSLRNSRGDDALRLCFQMYDADRSGCISKEELASMLRALPEECLPGDITEPGKLDEIFDQMDANSDGKVTFDEFKAAMQKDSSLQDVVLSSLRPVH >Et_3A_023508.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:31633211:31633378:-1 gene:Et_3A_023508 transcript:Et_3A_023508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALDNEPDVRIFIWGSPLFSSRAAYRCLTETGTDDPNGIAIWSTKLPTKIKFFA >Et_1A_007788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38281932:38288547:1 gene:Et_1A_007788 transcript:Et_1A_007788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHATPPSGANPQAQQGGVAPLPLPQDAVYEILLRLSAKEICRLRVVCRPWRSLFSDPQFIAAHAARHPRPPLVVAGYHTRYRDDGVVCHMVDLSGKVISRVRTAGEIWVACVNADFLCASKDISSRIRLFNMATGDVFALPEGLSEEHAVKRDVLDYISVSAFGQVASTGEYKVLRLLDSASFDNPHQLCEVFTLGSSHARWRVKKAPPTPVSMSRFKGVVVDSIVYFLGQDSPLEDIASFDLEREEWRSGLRGPHYQQYRTNEISMAAVNGSLVLVHHYLSCYVDLWFLVDFERGLWEKRHSITALLHEYTSTMRPLLVLNDGRIVLVHAGNTGGSLKIYNPRTNTFADVKDLGLPFAVGASSAMAASSSLRSGPGSTSHGGGIRPPNADVLYEILLRVPAKDLCRCRAVCRSWCCLLSDPHFIAVHAARHDPLIVAGHSTHNQSTLCDIMDFAGRVVKQVHGTGNWWPTAIHLEFICISNGRTFRLHNLSTGAVYNLPEELAEEHAAEERNTYCFYAEAAFGQARSTGQYKVLRVLKRFSNHHIVQFFEIITLGGGNHARWRAKEAPPYSVYLGMWATVVLEGIAYYFCDEPVVQEEGSRIASFDLETEKWRPTQRGPLRSRMDYAPGQHFHPCETADCSMSTIDGRLVVVHRTYPAPLVNLWFLMDSEKGLWVKKHSIQVQSSDPDMFLCAEPIRPLLVLSDGRILLVQVGDESGLLRIYDPRTNTYSDVAEIMGRCSASRPDPQAQGVFVPLPLPQDAVYEILLRLSAKDICRLRVVCRLWRSLFSDPQFVAAHAARHPRPPLVVVGYHSRYRDDAVLCDIVDLSGKVVRRVRAAGELWVACVRLPLHFQRYQQSHPIAQYGHGRCVCLA >Et_2B_021798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5589881:5603123:1 gene:Et_2B_021798 transcript:Et_2B_021798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIFVTSSTSNRTQDRRVLAASPSAAVTSSRTTFLSSASAAATSTSPSSNGFGREQASRWFQLYLGSKCDGAMVVTTTSLSPPPVDLACPPHHLAVHAEVPDVGLVHVRASVHADDLAALVVHGRVREPVPRRDNNDVHGVEVRRPRLGERVQEHRVALHGVVLRAALGAHQERRPVRGDVDAVRRRERPAPELAPQALLVPVHRLRPRRCGEEADERLRLVPRRGVADEGQALAAHQTEELRRVKRGEVAPCRLPAPVKVAVVRLGVDDALHRCLHVAVLAVVPRTGDGVVPHPPPVATRTVKLEMGSYQPLTPNSQKPSSTNRGCFVSKRACPWLVIAFLSLAVLHILCCSPAGTQHAVFSPVLQYINNTYSFVSSVPGDGRSCNYSEGQWVRAPGHARRYNGTLCNVKESHNCIRNGRPDTGYLDWRWQPDGCPLPAFDAKAFLSAMRGKHVALVGDSMARNQAQSLICLLSASYPYRLLHRDPEPNKNNFWRYAFPSHDVTVSYFWAPFLVRANGKPEDDSIRYNYVHLDEPGDRWAAEAETIDVVVLAGGHWLLNGAIYYNGTQMIGVHNVPEITNSTSIGYALPLRMAYRKAVERLSSGRPRTVVLATFSPSHFEGRPIDSPTACTKMEPYKKGEKDLEWIYKEVRDIVYDEAKAAKEKLGEDATARIEVLDVTTLAAMRPDGHPSVYMQRDPFANGVPERINSDCLHFCLPGPVDTFNEVLLQLLKKRRICSSISLNVSTGPGKQKWRQSDPTFSGASGGKGCRCMYTPGCPSGRITASFVTSSTSILTAPSPPLFRALAASASSYTMSLTSLSTHPRSFSPSLYGSCLVHAKGLSFEYHSKCAGEKHHGFSRPAAGRGQAIQRRPVHHPERPRVADAGVVELRGVVGADHLAAVVVDAPVQEPVAGREHGHVDRVGVGRPPFTRLVEVDVVVAEPVVLGLARGLDEERVPVIGHRHVVRRERVAEEVALAQYRRRYGNAALRRQTRDWAWFRAMESPMKATCFPRTEERNVLASNAGRGHPLAGCHRQSRYPVSGRPLRMQLWLSFTLHSVPLYRRAWPGAGTHCPSEREHCLLSAGGRAADEVDEGQGEEAAGEPRMGAYQSHKGGNPGYFVPKSVCAWLVIGFISLALLHILCCSSSVTQESVLSPFIQYLDDTYSFVSSEPKNCSYSEGKWVYAPGHARRYNGTLCNVKESHNCIRNGRPDTGYLDWRWQPAGCRLPAFNPRTFLSAMRGKHVAFVGDSMARNQFQSLVCLLSSAYPHRIVYRDPDPLKYYFWRWAFPSHNVTVSFYWAPFIARATGKARNDTLGQNMNYVDLETLDERWAADADTMDVVVFSIGHWLLNGAIYYNGTQMIGVHGHPEITNTTYIGYATPMRLAYRKAFERLSFGGRQRHVVLATFSPGHFEGKTLTTMCPRKEPYKEGEKELRPGEEELRALLFEEAEAATRKSGESGPLSVDVLDITKLAVMRPDGHPGPYMHRDPFANGVKEWMSADCLHFCLPGPVDTFNEILQQMLRKKRTSAVTASRGRLCWAACCGCSCGFPSRCTACRCTAVRSLALPPTALPNSCSSCLLSACNEQNQAYTSNASDRPMCTQNEEAS >Et_6A_046614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18256391:18259374:1 gene:Et_6A_046614 transcript:Et_6A_046614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEPKIVRAEEKATSSSWVVEMEELLRDSNPSAEMKRWKQHSIYRVPEFIKEMTNRKAYQPQFVSFGPLHHGESPLLPMEEHKRRAVRHMVRRAVKPLGEYIAAVEEVADELEGAYADLDDKWRGVNRGSFVEMMVTDGCFLLELIRKQVIVTNGEIDDYAASDPVFSNDSFIRLWPYMLIDMITMENQLPLLVLQRLEAVQSGTSPSAAVINNWVLLLLNRPLEDMDNLGLNMLDIFHRSYCGTCPHWEESDNYDDSRTPCAVELAEAGIHFKKSKTESIHDVDFENGVLSMPLFEFFDDTEIELLNLMAFEFLHRGAKQDGKFYISFVDKLIDSERDVALLRSKRIFS >Et_8B_059210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15366063:15367689:1 gene:Et_8B_059210 transcript:Et_8B_059210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAEEPKLEGLQDGCSWRYSEDEAIDADDDMLQELKREWGEDVHNVVISALMEMREYNYLSNKSVSYELWNYKEGRKATMIECIEYMSNQMKLLSSSKCRRTHRTSALCAWRVFRRTKLLAESGDVFLLEECDLGFARLQHLILLVKLCLSHQCQLGQTPRDGGGGVALAGGDASLRRRRSGKRFRRLALPRLVHPGDGGGGGGGFHLDRRELKGN >Et_2B_019289.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:21804136:21804249:-1 gene:Et_2B_019289 transcript:Et_2B_019289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWRHRNAFIICSEPHSLRQLQQSFRDEARLWRCRFP >Et_7A_051259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16209790:16213112:1 gene:Et_7A_051259 transcript:Et_7A_051259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALSRVALMAAADGNLRLLKKAAKQVDLRVITDADGRNALHVASAKGRLDICRFLIEDKEGPGLDVNSRAAGNGRTPVLVAASEGQLPVLRYLLTRGGDPEMPCDGGLTPLHAAAMDGHSDAVRLLLSKGAPVDPLADDYTPLHFAIAGDHHQALVVLLDHGANPNKMNQKLCALLAAACGSRCLKYIKLLVQAGADVNFTTPYGQNFLLEAGADTNIPHEHGKIPMTLAAAWGPRKLVEILFPWTRPIPSLPDWNVDAVIRTMKFKAKDAVSVELEERLRNSKSKGKEAFTNGHYLSAVYFYSQALAIDPLDATLFSNRSVSYLRMGKGQDALVDAVRCRMMRPRWAKAWYRLGASLSSLKNYKEAVHAFEEALKLDSASDDIKKALRQMLLFPSSPISSYWCNEHIIHRISILETCREAMQAMGSSEQDP >Et_3A_023762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1260215:1268178:1 gene:Et_3A_023762 transcript:Et_3A_023762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANSTVLPEAVAPCCSARTLLAAAATLFTFRILLAVILCVCFVLLRQLWRRNARPVQELPQLQPKLGLDAAAIALLPSFPYRRSVADAAGATPAPAECAVCLNDLDEGQTVRRLQGCKHVFHRECIDLASRASCPVCRGNAEPARDEEGAAACIVLIEVLDDDTASSSSTPAETERALATWPEAICSATPVFQASSRRLPSQSPEATSASSGAEEPRSLQQVGLT >Et_10A_002274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6682167:6682886:1 gene:Et_10A_002274 transcript:Et_10A_002274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAPPAATAMVVEEAAATEAEKMTLVVGVDESEPSCYALQWVLNHFFLPAQRPYQYRLVVITAKHASAPAVGPAGPGTHVATSLPLPLPLPLSHQGGAPVLFVDADTTKEDAARVIDKAKDLCAQAINGADAVVFEVVEGDARNVLCEAVERHGAELLAVGSHGYGAIKRAFLGSVSDYCAHHAHCTVMIVKKPKHKH >Et_7A_050546.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:23835645:23835764:-1 gene:Et_7A_050546 transcript:Et_7A_050546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSATTWSHVTPTTNPPQENSVTCISSNGRPVESCPA >Et_9B_064418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1501716:1502554:-1 gene:Et_9B_064418 transcript:Et_9B_064418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGDTTERTLVTVNKLSPGSSPPPPLSPQLAPAVVLSPCAACKILRRRCADGCVLAPYFPPTEPHKFATAHRVFGASNIIKLLQDLPEEQRADAVSSMVYEAAARIRDPVYGCAGAICQLQRQLNDLKAQLARAHAELAGARAQHAHLLALVCCMEVAAGASSSPASSSDPSAAAYCAAAAVDYSVGPAADALYVVDGGAGSLQLQASPVSWPGDQPLWT >Et_2B_020993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25511722:25515577:-1 gene:Et_2B_020993 transcript:Et_2B_020993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLCRLPRLFLAASASAAPKPRHFPVAVNPSPAPAPHVPSFSSPAPALPALSRLFSSSAGGSGMVVVGSSDSFTNILSKVQDEKLPAVFYYTAVWCGPCRAIAPVISKLSDKYPTIPVYKVDIDMPTFHFYHKGEKASEVVGADVKKLEAAMESLHNISRSK >Et_10A_000865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18398745:18399117:-1 gene:Et_10A_000865 transcript:Et_10A_000865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAGVLLAAVAVALLLVAWAAPSVASGGLNFTELDLASNESLGALYERWCEHYSVRRDPGEKARRFAVFAANARYIHEFNTRGDAAYTLGLNLFGDMADDEIAGGGCYWMIIII >Et_6B_049213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:257190:258684:1 gene:Et_6B_049213 transcript:Et_6B_049213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GENLAEVVVVRHGETSGNAFRIIHGQMDLELNETGRQQAVMVARRLSKEAKPAAVYSSDLKRAAETARTIATACDVSNLVLDPALRERHMGDLHGLKFDDAVRIKPDAYKAFSSDDRNQEIPGGGESVDQLSERWERVIVVSHGATIEEICRHADPTSSMRKRIPNTSISVIRISGVEGHWIPVKFGDVSHPNEDGFLQNAFGGDGASA >Et_1B_011879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26792457:26793620:-1 gene:Et_1B_011879 transcript:Et_1B_011879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESAFDFAATVSFASEFWALFDAAITAMPESTSSSSSRQTARTVARASVFPDWVLLDNLARLGSGTTRLRPMFAAAKTSTERPIEVYFRLPEPSAGVSPRLPEPLYPIVCTVSCPDLDPHDKHARPCITGADGPFVLIRVIFPDRDGQRVPTDVFLYRAGPETSSLYLLPRPYPADLHFPCVGVLSDGDSEDCLVVIPERRFEANGRMSYDLRVFSTKTMSWSTKVAKHVPTKVLNCGGGSLAWVDLRHGILICDVLDQDPEMRLIHLPQLMPINKEDFEMGPYGIEPPLDLIRDVTFRDNCFRFIEIGFVDFSDNTKFRWTATMWKHIIGSVNWIEGCTINSRNISCTGSPWEQEDWERSICQAPRLRHVP >Et_4A_034927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7895871:7897366:-1 gene:Et_4A_034927 transcript:Et_4A_034927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEVAAGSDRKAECGGGEAGSRAARRRRMEIRRLRVVADEEPAKRRRLDGGEDDDEAVVRWPVPKYGVTSVCGRRRDMEDTVSTRPGFLEGHHFFGVFDGHGCSHVATSCGERMHEIVAEEAAAAAAGSSGSDEAARWTDVMEKSYARMDAEAVGSRGNAGPAPTCRCEMQLPKCDHVGSTAVVAVVAPRHLVVANCGDSRAVLCSGGVAIPLSSDHKPDRPDELERIHAAGGRVIFWDGARVFGMLAMSRAIGDSYLKPYVISDPEVRVVERKDGEDEFLILASDGLWDVVSNEVACNVVHACLRTRAPRGGGEQSSSSPTSNLSPRQSSGSSGDDEAGPPSVDGAGSEDSDDGDGGEDKACADAAILLTKLALARNSADNVSVVVVNLRRRPRS >Et_2A_016082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20981365:20984457:1 gene:Et_2A_016082 transcript:Et_2A_016082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLPLVAVLVVALLCCAEAAVRELKVGYYAETCPQAEDIVRATMARAQAREARSVASVMRLQFHDCFVNVSLLLLELCVSNISASQSDDGSILSVSGAVACDPWMQGCDGSVLMDATPTMRGEKDALSNIDSLRSFDVVDEIKEALEDRCPGVVSCADVIVMAARDAVELVRSFDIIPGRRAGPLRVLPPFNFTGGPSWEVRLGREDSLRASHKDADDIMPSPRANASALIHLFAGLNLSVTDLVALSGSHSIGKARCFSIVHRLYNQSDSGRPDPNMDAAYRVALDSLCPPDGDQEVTGGMDATPVVFDNQYFKDLVHLRGFLNSDQTLFSDNARTRRVVKHFSRNQDAFFRAFVEGMVRMGELQNPRKGEVRRNCRVANGSPTSAPKEVAPYRVLDF >Et_7B_053939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13571787:13573917:-1 gene:Et_7B_053939 transcript:Et_7B_053939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGEETERARPVVLVTGCSEGGIGHAMARAFAAAGCAVVATARSRASMRGLEGCLLLELDVRSDESVRAAVADALRENGRIDVLINNAGIHLVAPLAEVPMDSFHQVFDTNVYGAMRLIQAVVPHMIERRKGTIVNVGSITALAPGPWAGAYSASKAALHALSDTLRLELKSFGINVMIVAPGGTKSNLGSSSAAKYDQIHDWKYYKKYEASLRARTDISQGPGSTPSEELADKVVASVLKKHPPAWLAYGQFSAILNMLYYAPLWFRDYFYKVVMKC >Et_4B_039329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:180040:183822:-1 gene:Et_4B_039329 transcript:Et_4B_039329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELLIFTRGGLILWSSCRALKGSPIDALIRSCLLEERSADASFSQDNYALKWTFHNELGLVFVAVYQRILHLLYVDDLLAAVRKEFSQIYDPKRTNYHDFSDIFRQLHLEAEARAEEMKKSKQAIVSRPSPTVSNKIAPKVRGGNAAAGKKGGASGKDDSDDGDSGKDQPALENGTFKGKDSSQPRAVVVKGKENGIPKDNGAFDVNKLQKLRNRNNQKKNVPTENGTKKLTKPDTKKKVKDNRVWDDKPSNQKLDFTDPADKRGDEVTDQVLVNQGESMMDKDDYVSSDSEDEEVEDGPKKKGWFSSMFKSIAGNNALEKSDLQPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLGSFTRISSTVQTAMEEALLRILTPRRSIDILRDVQSAKERGRPYVIVFVGVNGVGKSTNLAKVAYWLLQHNLSVTLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEASRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNNPDLVLFVGEALVGNDAVDQLTKFNQKLADLSAVPTARLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >Et_4A_033035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17483391:17487133:-1 gene:Et_4A_033035 transcript:Et_4A_033035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDSSESSGGKALASSSGCSTPFRFNVHAPEFVPMSPLASPMASPLAAAGYYSPFLQLSNDGGGIGDWSFFAEPDPAIFLRDIGQSSIARAAGGNGHPKGASPADIAHKIIKQVEYQFSDTNLIANDFLMKIMNKDPEGYVPMSVISSWKKIKAMGVTNQLLVNALRTSEKLIVSEDGKKVRRAQPFTERHKEELQSRMVIAENLPDNSTRNSLEKIFGIMGTVKNIRTCHPQEPSSARSSKSDANALVSNKLHALIEYETCQQADRAVDKLNDERNWRKGLRVRPVLRRLPKSVIQLKRPDFDQHDEEQLGSLTAGHYHEHNEDQGNKPWGRGRGRSHAAATKLHSMNAGAAGHLESLVAIPRHAPRTPDGTRGFSMGRGKPSPAMAAAAILRNTPVRAPAAVVICVTVLERFCETTDEKLVST >Et_2B_019888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14903641:14904521:-1 gene:Et_2B_019888 transcript:Et_2B_019888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSPWGQIAHPPRWKLLGGTHRARLSTGGPCFLAVWQRPPEFSLIHRPSFISHHNQSTSGAAGTIMAERELIGKDKLVLRGLQFHGFHGVKQEEKTLGQKFVVDVDAWMDLSTAGETDNISDTVSYTDIYRIVKDVVEGPSQNLLESVAHRIASASLLQFPQISAVRVQVGKPHVAVQGIVNYLGVEILRYRKDLGGNSSGASLS >Et_5A_040510.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:2974466:2974852:-1 gene:Et_5A_040510 transcript:Et_5A_040510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NDSDAFPHCSATETQLHLFTRCPAASAVWSALGLPIASLQQISDMHELWPQAEQRWPSIPQKVLNTFLIAALWNIWKCRNAKAFEGIVAQTDVCLRACANDLLWVHRCRTASLAQRLRTGSSTIVSIM >Et_6A_048082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5518003:5519258:1 gene:Et_6A_048082 transcript:Et_6A_048082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDEFERFIAYTPLVKQLMRCSQSQPVLAVSSDGGAKPPAPKNRTRWLKNIKLVASVARLINEKDKDDGNENATIIAIILSPKLRMRQQY >Et_2B_022056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8550560:8553456:1 gene:Et_2B_022056 transcript:Et_2B_022056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATLAVRLLRRGAASSSSPLRALTRRALHSSGPAPLPRRLPAAASSASHWTAPRRFLASQSSTSSPSKSSADENLRRVIESEIECVVASEESTPDKAIDLPDDFPFEIIDQPGDQSITLKRQFAGETIKAAVYTNFDTEEDLNEDDEDDNDEDSFKPAIQMVVTVEKSEGPILEFDCNFNDDELAIENFRMLNRDNLDAENMYEGPPFSVLDESLQKALHRYLEVRGIKHSLHDWLYEYMMHKDEKEYVVWLKNMKEFIGN >Et_1A_005246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35283940:35284314:1 gene:Et_1A_005246 transcript:Et_1A_005246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSPPSLCEFIEYIDTEQTPEHIAHVYRVAERAKRHWFDTEAEERREEERRKMRQKEKERRREYEAERKVREAERERMRERARRARAAGPDAFRKEKYPRCTQ >Et_8A_057974.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:10933602:10934396:-1 gene:Et_8A_057974 transcript:Et_8A_057974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDNRLFSYSDHKPSSEAKISHKGSSQRVMASRNEASTGTVLFFHEEVVRVGETLKVHFLRAMRTPLGLLPRDVADSIPFTTSELPDTFARFGIAARSVPAFNIQETLGMCEAPPVAKEAKFCATSLEALVEGAIAALGTRDIRPVTSTLPRSGAPLQAYTIRAVRPIDRSSFVACHSGYYPYTVYMCHDTGDVRAYMADMEGANGGGKLTLAFVCHTDTSLWNPEHVSFKQLGTKPGGKPVCHYMPYGHLMFAKNNAIRASA >Et_7B_055653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:208810:209494:-1 gene:Et_7B_055653 transcript:Et_7B_055653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYDSQQQPILRLGVPPGRRLPDQQSKQGRMNNIVGRKAATKPQRVEEHVGRHRVRFTKENELFVGHAGLRGVPAGRGRDGTGKGILAQLNLETGASPSTEAEPLLLFFTLLGDRGRFVDDATGLERAVIPPGMGFRAALGLSEGGPLFGFTKANELFVGRLAQLGIAFSLIGEIITGKGALAQLNVEIGVPINEIEPLLRFSILFFFAAINPGTGKFVTDDQQD >Et_8A_056853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17962432:17965792:1 gene:Et_8A_056853 transcript:Et_8A_056853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGAGLPFLMGFQRLRWASLGWLPRRRPSPGLGRDPRGEWSSQMRPKSGDAMRDGERGGAAMPDLGCEGGSTARKGNGIGETLVGTLDQVRSLRRMEDQHQLHQRRSGGAGGLVLPPGFRFHPSDEEIITYYLTNKVCDGNFTAITIGEADINKSEPWELPFKAKMGEKEWYFYCRKDRKYPTGLRANRATESGYWKATGKDRKIYHGASSVPVLLGMKKTLVFYMGRAPSGVKTNWVMHEYRLDGKGGVPCPAASSNTSPRNLVLHINKEEWVVCRVCDKSLGIRKEPELAPVSAPPHRMASMSDREVDLRSMSFPMPTQFHVGINDLTMNSNGFHPLMGDPSTSLYSTDSMESSVLLNPLLPSLLPPLLPMGDMGNMRVEMNNDYFGNSTSITEQASFYRQVGTQTTGDLGFMAELDISQMAIMLLHDVGVLPS >Et_4B_037542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2122645:2126466:1 gene:Et_4B_037542 transcript:Et_4B_037542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAAPAAPAALPLGVAPKDSRPLPCLLLSALLLLLLHLFSSSSPVPSPPPPPPPRAPLHISTAVSSAGPAPPTLAFLLTGSAGDVDRLLRLLLATYHPRNTYLLLLDRAASAADRARLARKARTGPGRDNVHVVGDPGFANPRGASTLAETLHGAALLLQLGQDWDWFVPLDAADYPLVTPDDLLHVLSYLPKDLNFIQHSSYIGWKESRQIRPIVVDPGLYLSSRNDIFYATQKRDLPNAYKLFTGSSSVILSRKFIEYCIVGTDNLPRTLLMYYTNMPLPHTKYFQTVVCNSPEFNRTVVNHDLHYSIWDGSSKKEPRLLTMADVENMTESGAAFGTRFPKDDPVLEHIDENILHRLPREPVTQMLLGLGLQLRNLLSSLLKGCPLGAFISSNVYGIDVCNCIARKPQF >Et_10A_000017.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:14176097:14176368:1 gene:Et_10A_000017 transcript:Et_10A_000017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGVLGLPFAMSQLGWGPGVTVIVGSFVVTLYTLWQMVEMHEIVPGKRFDRYHELGQHAFGERLGLWIIVPQQLIVEVGTDIVYMVT >Et_5B_044721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5016657:5019673:-1 gene:Et_5B_044721 transcript:Et_5B_044721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHRTELHNRLRANGFGVVTSRTELIGSRQACTYGCDVRTRSSSHRRAMAASLLGRQEQAAVADPLAVVANDGVLPTDLLTEVLLRVPAKALCASASCAGRGGRSPPILASPGRTRPATRCSPASATPRTGRSTISSIVKRMRGLGVPDVHMSSQADLVCVKRDTPCEESEDLLLNTATGTIHALPDVSISSGEVTTSYLGRVPSTGEYKVLRVVQYSGPVAEVQFAYEEWRPSIVQGPLIVDCCQRNGTVALFRLNGRLVLQHHKAHDSSMDLWFLMDVDKGLWTKRYSTRCECGPEWNDHTIYSMCPLVVLEDGRLVVGSDSARVLRYYDPRTCTLADLVAKPDEEDRIVSIVLYEGNLLCPGLNPMPSSSKQLVPDL >Et_6A_047367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4519737:4523931:-1 gene:Et_6A_047367 transcript:Et_6A_047367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAASNDPTRALPLMPVEAAALSMHGTEASAKPARRLGRVLVGRRLLLALAYVAGMLMLVLGGGAAPGSRARGVVRVVTHRRAPAPPGSLYRSHLVFERLLPDMRAFVSPTNPLVSSHTKKTGKRWAACGSNNLTRSELPPSNGFLIVEANGGLNQQRISICDAVAVARMINATLVTPAFHVNSVWRDSRRIICFTSFSKFGDIFDEDHFIESLRKYVRVVKELPEDIFLQFDHNISIIPNMRTKAFSSPSYYLQQVLPKLLELGVVRIAPFSNRLAHSVPSNIQALRCLANYEALRFSEPIRIVAENMVDRMIKRSTLAVGKRTLQWKMLAKGAGEGSFTDLVGMMLRGMGFDNTTSLYAASGKIYNAEKYMTSLRQLFPLLQTKETLATPEELAQFKHWTTLFVATQGSNFPHFLVGHRRYLYGGSAKTIKPDKQKLVLLFDNPNIRWDRFKHHMQDMRQHSEMKGFGLRKPKESIYNLPMPDCMCQQADA >Et_8B_058603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6132109:6133765:-1 gene:Et_8B_058603 transcript:Et_8B_058603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTDSPFTCASCMILTALSTVIPGITFIRTWTTYSRLLINRAKKRRSTENVSLT >Et_1A_009387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4553114:4555363:1 gene:Et_1A_009387 transcript:Et_1A_009387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNRASITATASSETDLAVVLLLVHVLVVRVAGEEDGGGGGVVGVVAAALVAARTVVFFRLAELPRVVIHRPHPAVVWYDSTLLSTAAASPPPQSSASASTTALPPLPELSLSSDFTWCASNASSVAAAVASSASSTAKEALTSAAGKSAAALAAPSASSFSCSFSVNDTT >Et_3B_027420.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:15204119:15204490:1 gene:Et_3B_027420 transcript:Et_3B_027420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LYHHLHVEGRTSLPWADRLRIATETARALFYLHMAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPVDQTGIITAIQGTFGYLGPMYYYAGRLTEKSDVYNFGIVLIELLTFIHTDHKR >Et_4B_038421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28936173:28937351:1 gene:Et_4B_038421 transcript:Et_4B_038421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELRVNGGRICNACRSQIAPQSFKMSISTFTTSCSLLSNVRTQAPQTVVKSPSSLSFFSQGLHVPSLKTSKKHDVSAMVTYKVKLVTPEGKEHVFEAPDDTYILDAAEDAGVELPYSCRAGACSTCAGKIEAGEVDQSDGSFLDDGQQAEGYVLTCVSYPKSDCVIHTHKEGDLY >Et_2B_019470.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5726759:5727034:1 gene:Et_2B_019470 transcript:Et_2B_019470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSSFGTSWADQWDYGGDASPRAAARGHNAGKDGSGGAAGKTKAAAASGLKKVKEGTAQGFQWIKDKCQRKNGNGGGGKKQTSSEVAGY >Et_2A_017070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30724947:30727711:1 gene:Et_2A_017070 transcript:Et_2A_017070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQYTDHGLGMDAAAANPGFGASIGGGLGAGGWEREKAAIAAHPLYERLLETHVACLRVATPVDQLPRIDAQIAARPPPMAVAAAAAAVGGAQSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQTLQSLTGASPGEGTGATMSDDEDNQVDSESNMFEGNDGSDGMGFGPLMLTEGERSLVERVRQELKHELKQGYREKLVDIREEIMRKRRAGKLPGDTASTLKAWWQAHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSSSDKSKRKRNTAGDGNAEQSW >Et_4B_036774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11435307:11442194:-1 gene:Et_4B_036774 transcript:Et_4B_036774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLATSLLLVVGLALLLPSVCAAEPIKTTPTQWSFHLPLPEGVTGAESLAFDRRGQGPYAGVSDGRVLKWGGSALGWTTFAYSANYRKIPLCTASEVPSADTESVCGRPLGLQFYAKTGDLYIADAYMGLMKVGPDGGEAEVLATQADGAPFRFVNGLDVDQATGDVYFTDSSATYPRRFNTEVMMNADSTGRLLKYDARTGHITVLKAGLPYPNGVAVSGDRTHVVVAHTAPCQAFRFWIKGDKAGQYELMADLPGYPDNVRRDARGGYWVALNQEKARLIPTAAPAKHLVGVRLDKDGVEVEELTAAKGVTLSDVAEKDGQLWLGSVELDYVGLVCSQQPVRQNYGMSASDYLNLLHQSFDLSYIVISSVYQVNDANSFSQTTQNCTTNSIWMLFACSFTSKKQTICNWPSENIIIPSGCSNCNVRVSASTKGIKAPICYNRCNWIRYRSIPKELPKNYHSIVNMISRTDALSIYSSLPSN >Et_7B_053297.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:23043615:23046112:1 gene:Et_7B_053297 transcript:Et_7B_053297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYRSAFRRTPVLLARTLRAQPSNSPPSRIAAAADAEAQWNTAIRRRLDCGCPAEAVSAFAAMLRAGARPDAFTLPLLNRAAASLPGLVGAAHSVGIRAGLGGNVYFCNTLAEAYARQGMLEHARQVFDEMQTRDVVSWTTLMSAYAGAGDAREVSRLMSSMRINGCEPSAVTLAVALRVYTAQSNLSGGRQLHCYAVKSGLSDDVLVLNSILTHLSRTAGLDDAVRLFEQSQRREAVSWNIIISEYSSEGNVPKVLELYERMRREEVCPTCETLTAVVSAFSQSRCLQQGEKLHSFGLRSGLIDTILAASFITFYMKCGDIPLSYQMFEEFKEKSSCIWSAMIWACFHHGRFLDVISVFGRMMESSLVPTTDVLQGVILSYTELGALRFGKATHGYIIRNSNTAELDSSALETSIVKLYAQCGNIHLAERCFSRILCKDIVSWSSMVEAYSSHGYGMEALSLFYQMLEEGVRPNAVTFLSLLSACSHSGLVSEARELFDCMTRKFGISPELGHYTCMVDVLGRSGNLQEAVQVISDMKVKPDGRIWGALLASCRTHSDSKLAYFAAHKLMELEPDNVGYHVVLSNVQAGGGKWGIVEDIRRSMVEAKMLKSPAWTCVPEIGSPLLCED >Et_6B_048711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12984840:12989337:1 gene:Et_6B_048711 transcript:Et_6B_048711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGAGKRAWVVDVEKTLGEADASVEVSRWERHSIYRVPACIKDLNPKAYRPQVVSLGPFHHGDAALRPMEEHKRRALRHLLRRARRPLEEFAAAVEDAAPQLRSAYQLLDGLDAGADERWRGAGGEDAFVEMMIVDGCFLLEVMRAAGPHGGSSKKDAAGGDYAPNDPIFSRHGVLYMVPYIRRDMLMLENQLPLLLLAKLVAVETAKPPNDDAINRMVLRFLSPTSHLPPAGVGLGLHPLDVYRRSMLYGPYQTPRGGSHQYLDEPETDIIRSAVELYEAGIRFKTSATDSLHDIRFRHGELSMPAVSVDDSTEYMLLNMMAFERLHAGAGNDVTAYVFFMDNLIDSAKDVALLSSRGVIQNAVGSDKAVAKLFNSISKDVVLEPESALDAVHRQVNAYCRKPWNMWRANLVHTYFRSPWAFLSLAAAVFLLTMTIMQTVYTVLPYYKAGPGDSSPGPPTAPSPIKCFKVESGGVTSYSQSGTYMPYKSAV >Et_1B_012743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34796937:34798206:-1 gene:Et_1B_012743 transcript:Et_1B_012743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSAFAPRAAIPLLRSQPVFRAFASSGSGGKKKGKKAKRANQDKALEPPAPVVRRAPAGSASIFQQQQQAKGSTNPGGKGPTEEELQQRQANENAFLLSWLGLGIFILVEGIALAASGFLPEEYDAFFVKYLYPSFTPTVGLFLGGTVGYGVLKYFESEKSKS >Et_5A_041803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:329695:332327:-1 gene:Et_5A_041803 transcript:Et_5A_041803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATKERRLPPALPLATLIGRELRAGGSERPTLRYGHAGFAKRGEDYFLVKPDCLRVPDDPSSAFSVFAVFDGHNGVSAAVYSKEHLLEHVMSALPPDIGRDDWLQALPRALVAGFVKADIDFQRKGEVSGTTATLVVIDGFTVTVASVGDSRCILDTQGGELQLLTVDHRLEENAEERERVTASGGEVGRLNLFGGQEVGPLRCWPGGLCLSRSIGDMDVGEFIVPIPHVKQVKLSNVGGRLIIASDGIWDALSNEAAAQACRGLPAELAAKLVVKQALKTSGLKDDTTCVVVDIIPSDHLTSPQLSPKKNQNKLKSLFRRRSHSSVGKLGGKSASFGSVEELFEEGSAMLEERLGRNLSLKAASPPFRCAICQVDQEAFEGLMTDNGAGFCSSPYAPWGGPYLCLDCRKKKDAMEGKRSSQSTACR >Et_1A_006482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23031183:23037231:1 gene:Et_1A_006482 transcript:Et_1A_006482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGNSNGLANGTGTRGKPIKCKAAVAWGPGEPLLVEEVEVAPPGRLEVRVKVLFTSICHTDLSAWKGENEMQRKYPRILGHEAAGVVESVGEGVEDLAPGDHVVPIFTGECGACSYCGSGKTNLCGPYRVNPFKGTMASDDGTRFTAVDKSGDRRPVYHFLNTSTFTEYTVLAAACAVKINPKAPLEQMSLLSCCISTGVGAAWNTANVSKGSTVAVFGLGAVGLAVAEGARLRGAARIIGVDINPEKYTKGKEMGITDFINSKACGKPVNEVIKEMTDGGVDYSFECTGINDVLREAFVSTHDGWGLTVVLGIHATPKMMPLHPMELFDGRRITGCTFGDFKGKSQLPELVDKCMNGEVKINFDGFITHKMPFSDINKAFQMLEEGKSLRCLLRL >Et_2B_020371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19390716:19394158:-1 gene:Et_2B_020371 transcript:Et_2B_020371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IPSPAEAKEQPLAMASRFWGQGDSDSEEEEEIESEEGSDIEETRGRDDEKRTGVTSRYLKGDDDDSDESDSGHRVVRSLRDKRNEEMKSIVDQMRNAMKINDWVSLQESFEKLNKHLEKVARVNESTEVPRMYIKTLLKEIVASRGKKGTGRIERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVKVWKKCVDNMLLVLDILQQYPNIVVDTTVEPDEKETQKGADYNGTIHVTGDLVAFLERLDSEFFKTLQCTDPYTKDYVLRLREEPLFLVVAQNVQDYLERVGNLKAAAKVALRRVELVYYKPQEVYDAMRKLAEQTEDSMEDGDDEAGDEHQVADDHGGPPPFVVIPEVVPRKPTFPESGRTLMDGLMSLIYKFGDERTKARAMLCDIYHHAISDEFSVARDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLCAFKAGLITEAHSCLTELYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEATHLICAMLIEVPIMAASTYDKRRPMSKTFRRLLEVSERQTFIGPPESVRDHVMAATRALNKGDYQKVFSVISSLEIWKLLRNKEQVLEMLKLKVKEEALRTYLFSYSSCYESLSLDQLTTMFDLTEPHAHSIVSKMMMQEELHASWDQPTKCIVFHSVDQTRLQGLLFQMADKLSVLVESNERAYEARTGGTLEGVPPRRRGDGQDSSNLGRWQDNFVSSQGRQGGNKFGYAGGRGGGQGGGYQKDRGGQGSRGGQGGSRYQDGRGRNQYGSTVRGGDGSARMVSLNRAGRA >Et_4B_036580.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:5046078:5046707:1 gene:Et_4B_036580 transcript:Et_4B_036580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HRRGRILPKAAAIPVPPRTTSRRRPPGRRPRRHAALRPSLPRGAPPHPLPRDARAAPRPNPSLRCGIRRPLRGFRVGRGRRRRPDVPGAVLPPGPGLRRRRGNVLVRLPRRRVRRGPRGEARVLRGRCRLGARLRAGAAARPHCLALCARRCRAPWLPLMCARPASSIPSPSAIRLIRFRDLFPWFLVCCCELRDCPNDFVERCNTIIS >Et_2B_021083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26321250:26324209:1 gene:Et_2B_021083 transcript:Et_2B_021083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LGSDKQWKRRPDNRNCFHIDAPISARCAVFSLMPHAGSCSYIPFDSYMQPSGPYELHPVDHSFEDIGEYTSAGSMVPSATFNDLGYQYNSEREIKRPFVNQVESYLPLLTPKTEVSHLIESGIGSYKAYEMNARFVPRSRKASSNSLKKANVVKGQWTPEEDRKLVKLVEQFGLRKWSCIAQILPGRVGKQCRERWHNHLRPNIKKDIWSDEEDMVLIQAHKEVGNKWAEIAKRLPGRTENSIKNHWNATKRRQFARRRSRSSKGPKSGSLLQSYIKSLGIGPISRNVVAPVAAQPTTPPSSPAFLTAKPSAKTNNGSPEHSPSSILNTQGMFSIQENYCGETRSFEELLAPICDDFSVDMCEGLFDTSAELFQVCGMDDDVDMNSIFNHLDYAGKADNGIDMEMTWDDDVLADCVGLESGRSAQIEVAHVKEELDLVEMIAATQNSAGAED >Et_5B_044430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:24045523:24046591:1 gene:Et_5B_044430 transcript:Et_5B_044430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQFQKPQQSQQVAGTNKAARSKFVGVRQRPSGRWVAEIKDTTHKIRMWLGTFETAEEAARAYDEAACLLRGANTRTNFAGSSPPDSPLASRIRALLNHKKLKKNHGGTVTATSTTGPSSSVTSSTTINFAMIDDDQVRTPNLPAARNLTEEAYLISGSEDQFQLVASQPWALNASLPPTDACSAMMTDQQDKIKPKKESPASPHAMDQAFYDTGNDPSDSLWDLPPICPLSCRSLIAARSRFRTEERMRMMACKQSNVTDIEKFPRHNSWNLDNALEEL >Et_1B_011865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26682674:26687413:1 gene:Et_1B_011865 transcript:Et_1B_011865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAASNVMLAIHEKKTTATDLYRPLRLYIASAYSEREAASADDDLCVVRDLRTAVEQPSLPDPSSLEQRRDALLAYARALALVEPRFPISPDRAHVHSLTFTWHDSFKVKQKCALASVHLEKAAVLFNLGAIYSQIALAADRATEVGIKTACGAFQAAAGAFAWLRESGVAAKAVAAGATTVDITPECAGMLEKLMLAQAQECFFEKVVAGGKPPALCSKVARQVGIYYEEAYAALSAPPLSQHFDKSWVAHVQLKAAQFYADACYRYSLDLHEKEEIAEEIARLKIGMGALADAKKAARGVAAQLLDSVNKLESNMKTNLERAMKENDRVYLMRVPAAGSLGALPAAPLVKPTSLAEALDASKERLFSSLVPDGSMKALSKYTEMVDNIIRTQAEKLQQASEITRVRLKEMDLPDSILSLEGNITLPFDLKEDVEAVQISGGPAGLEAELQQLRDLRRVNQELLVQTEELLQKEANEDAQFRTQFGSRWTRPQSSTLTKNIQDRLNLFAANLKKASDSDSLIERGVKENYPLMSILDKRPIESALPSISRPIMSLDGNEDAIVGALKQSLRQLESLGAQRAGLEDMLKEMKRKDDILPKLMAGVGSHDDLFKKEIAKYDPICAEIADNIVAQEQLLLQIQAQNEQFAAVFNLEDYKAARERCYKQIAAAVAKYQEIKKNINEGLNFYVTLQEAIGKIKQQCSDFIMTRNIQCREMIEDVQRKLAGFNFSSSSQTPLQRNASVPPDQSSPSPPPHVQPPYAAPSGGDSRPGYSQPEPRPPYSQPYPPSYGAPPQQPPYGAPHPGQYHQPPHQPPPSHDYGQPAYPGWRGPYYNAHQPQPQQPGPYPQPPYNTQGSYPPHQNNYYGPR >Et_7B_053479.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:22054738:22054992:1 gene:Et_7B_053479 transcript:Et_7B_053479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAQYFLTSESRDRSPLSFQLNKAKHLCQYLPDVKFLVVKRELDITAHELAQSAKRTTHTAVWRGRIPRCIEHLIAFDCNPNLE >Et_7B_053757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1236558:1246509:1 gene:Et_7B_053757 transcript:Et_7B_053757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RADRAQASTALLTGARSCLSYGASWSHGAVGKKRREREDQSCEAESPPATQIPQKTLEVPTQIELPERREAPRSGREAMVVRRLGRAVLSLPNIRRRASNSWAAVQDTFLSTKQVFENHRIVFTVGTSVASVLTAWAGYSLRHVQQTRMEGRLQKIEESLKDSHKVEHEEIKKIVASSNVSIPACVATALTTTVVGYALGWRGGAWYARRAFRREQQKMMGQIKSHSHHRWHWRPFNRLKNRLRNRASKTKSADPLQLSGQSTDAPVSSGARRLRAEAMKTQQEKEQTEVAVEESFPVRETAPPELADDPAAPTDESWVVKLEQSVNIFLVESVIVVLDNLYRDRNYARFFVLETIARVPYFAFISVLHLYETFGWSRRADYIKVHFAESMNEFHHLMIMEELGGNSFWVDRFLARFLAFFYYFMTVGMYMLSPRMAYHFSECVERHAYSTYDKFLKLNEENLYDVFVNVRDDEAEHCKTMKACQTHGSLRSPHSMKSSLEKDTNDRKHTEAGYTSDP >Et_1A_004557.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:16944407:16944999:1 gene:Et_1A_004557 transcript:Et_1A_004557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLAATPTIMAGKTPTRNCPNVMPLCTAITRFCGLPMGVADDPMLELDARASRNGCGGRLFWRASLRMNSVSTTQHVSLVKRADATADTRQTRNRRSLLPRLRHASALPRYPNMSARSRKMLTTMVPNRRPRIGRSTAA >Et_8A_057830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:878122:881477:1 gene:Et_8A_057830 transcript:Et_8A_057830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDVPMLDSSPQPAAPAPAAAAAPAVSTVHHLKEIAALIEAGSLSKEVRRVSRAVRLTVVLRRRIAARDVSAFIAFALPASSDAYARLSALLPKEDDTVMDVDAVAPATQISIKHGLPEIEIYCYLLVLIFLIDQKKYDEAKECANASIARLKNLNRRTVDVLASRLYFYYSYVYEITNSLAEIRGNLLALHRMATLHRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTSALGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMKKALSPYFELTNAVRVGDLELFRSVADKFASTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSKDPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKAMRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >Et_4B_036642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9971617:9991924:1 gene:Et_4B_036642 transcript:Et_4B_036642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMHKPALLLALLAALLAISSSDAAESVRMHLTHTDAGRGLTRRELLQRMALRSKARAARFHRSGSSASAQVVPGTSEYRVKLAIGTPPQPVELALDTGSDLTWTQCQPCLTCYDQALPYFRSSRSSTFSRLPCGASACQALEMSSCGTRNSFWGNQTCVYTVTYGDRSVSTGILGVDKFRFAGAAGHAAVPGVTFGCGVFNYGRFKYNATGTGLAGFGRGPLSLPSQLKVHNFSYCFTSFSGSTPSTVLLGLPANLYRSSARGAVVKTTPFIHNRDNPTYYYLSLKGITVGSTRLPVPASTFALTKNGTGGAFIDSGTSITTLPTHVYRLLRDAFVSQVKLQTTTDGGDLLCFAVPPRAKPPRVPKLMFHFEGATLDLPRENYVLDYEEKGRRGICIVIADGGELTQNMTVIGNYQQQNMHILYDLAGNKLSFVPAHCDRKLTVRLVALAILCSYAAASTVRLQLTHTDAGRGFNRRELLHRMVQRSKARAARLRSGGKASAAVTPARVSDTAVPYAEYLARFAVGTPPQPVQLVVDTGSDLTWTQCVPCVACFDQALPYLDPSLSSSFGVFSCSSSACQELPYSSCGTARWWGNQTCVYTYDYADRSVTTGLLYLPGHVHLRRRRGRARLFNSISNMTGIAGFGRGALSLPSQLKVDNFSYCFTTVTGSAPSTVLLGLPANLHSGARGAVQTTPLIPNPADQSPTFYYLSLKGITVGSSKLHVPESAFAFTKNGTGGTIIDSGTAFTMLPSKIYRHLRDAFVSRLKLPVTNDDTILCFGVPPGVKPDVPKLALHFEGATLDLPRENYIFEFEEAGRTNICLALLEAEGQDDMTVIGNYQQQNMHVLYDLAGNKLSFVPARCDRPIKMHKLVAILLAALAISCGNAAAAAVRMHIIHTDAGRGLSPRELMHRMAQRSRARAARFLSDAASAPVTPGQISDGFPDTEYLAHFAIGTPAQPVQLTLDSGSDLVWTQCQPCITCFNQPLPLFDASLSSTLSVFSCAQAGCQFLPATSCDAGSSSPAAQPCDYSYSYGDGSVTLGRLVADTFSFAGGAAVPAAFGCGVKNTGIFKSNETGIAGFGRGPLSLPSQLKVDNFSYCFTAITGSTPSPVLLGVPGDLFSSNPGAVQATPLIQNPTRPTYYYLSLKGITVGSTMLPIPESTFALTEDGTGGTIIDSGTGMTSFPPDVYNLVHDAFIAQPGLTVLNATATRVCFTSPPGTKPDVPKLMLHFDGATLDLPRENYMFDLEDASVSLSCLAVNRGGDITIIGNYQQQNMLVLYDLANNVLSFAPAQCDTMHKIVALLLAALAITCGNAAAAAVRMQLIHVDAGRGLSPRELMHRMAQRSKARVARFLSDAETAPVTPGEIDGPVPDAEYLPVQVEVDTGSDLIWTQCRPCISCFDQSLPLFDASLSSTFATLPCGSAACQALPATSCGAGSSSTDEQPCGYGYGDGSTTFGGLAADTFVFAGGAAVPAVAFGCGGITVGSTRLAIPESAFALTNGTGSTFIDSGTAITTFPQDVYSLVQEAFIAQMSLPVVNSTAWPFCFASPSSGTALDVPKLILHFDGATLDLPPENYIVICLAVNSGGDDRTVIIGNFQQQNMHVLYDLANNQKLVAFLLVALALCCGNAAAASVRMQLMHVDAGRGLSPRELMHRMAQRSKARAARFLLSDAASAPVTPGQINDGYPDTEYLAHFAIGTPAQPVQLTLDSGSDLVWTQCRPCVTCFNQSLPLFDASRSSTFARLSCGSAACQALPATSCKTGSSSPDSQTCGYGYGYGDGSLTFGALAADMFSFARGAAVRAAFGCGLNNTGIFKSNETGIAGFGRGPLSLPSQLKVDNFSYCFTPVTGSAPSTILLGLPANLYSSARGAVQTTPLIKNPASPSFYYLSLKGITVGSTRLPIPESAFALTSNGTGGAIIDSGTGMTSFPTDVYNLVHDAFINQTSLPVVNSTATPICFASSRGKTPRVPKLILHFDGATLDLPPENYIFDVEDDAGASFICLAVNPGGDTTTVIGNFQQQNMHVLYDLANNMLSFAPAQCDKL >Et_4A_035580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30040792:30043321:1 gene:Et_4A_035580 transcript:Et_4A_035580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEEFAGVPRRHRIALPLRTDRAVPASRGSCPPPPFGRRLIEQFNPPDSTLACTMYPQIHHFAVEESSATPRYGVRGFPAILLGESAALQMTTIKHHGAHGPHVAMDQDCQLTVTFNSTHLRHQPTDHHAGAPLRSLAPPNQRARLALQGCSCVTVLGCSKPVNLRSAGSALLFQP >Et_8A_056061.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:15007528:15007896:-1 gene:Et_8A_056061 transcript:Et_8A_056061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMVPRRAPTCIIEELADEPVVPAPAPAPATAPRRRARKTKAPIDVSTLRRSKRLNKDLDGFRDKASADAAASSSTAAEVDPAPHLSATNAHAIGTGFLKMRPADVSLEALVASPENSDEE >Et_5B_045244.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:13939506:13939808:1 gene:Et_5B_045244 transcript:Et_5B_045244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAARTREFALGLASCGASFVFLWVKAAVAGLPVLGWPVFAEQTTNFRQACKCWGNGAALPERVGGERRGGRDEEGEAGEGSGMEGQGGGGHRERRLLLA >Et_9A_063005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:828182:829793:-1 gene:Et_9A_063005 transcript:Et_9A_063005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVEAVKKSAGSFQKVPALNERILSSMSRRSVAAHPWHDLEIGPDAPTIFNCVIEIPRGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDSDPLDVLVIMQEPVIPGCFLRAKAIGVMPMIDQGEADDKIIAVCADDPEYKHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPAKEAFEVIQHSMDLYATYIVEGLRR >Et_4B_036753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11270439:11271366:1 gene:Et_4B_036753 transcript:Et_4B_036753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILCPGDHGEFVVAHLEVTPIGKGPTPKTDCPPLRIHYPPGEGENLYWWQTDAVVPIDDKTICWVDYLRGILVCSDALSPDPVLIYVQLPVDPYKERENREMGTRGTLDSYRNVCVAQGGDMKFVELASYTYWFFGKPAKQLSVSPYSSINTWTLSREKLLSMIKQRKAKSFVWMKDGALEDDEFLALTKSRNIPHAELEYPVVDIENPQIIYSVLRQSCCDDNESHFIAIDMSTKRIDTSVRYNFGTSEGSYSDAGPNCKPLYSCNVFYNAPFLCFDFSKYLRIDK >Et_9A_062880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6105695:6107261:-1 gene:Et_9A_062880 transcript:Et_9A_062880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTTIFSASSLTMILPVCRAPFLKSLNLYSCRQVSHQGFVEAIKKFPLLEELELLSCFKVGTKEASEVAAMACPRLKHLRLDNQFCHEDFDPVSPVCECWTCLGYFIRGVYCEETMIVATVRELRSRKLYHNDLTYQGLMAILDECPQTTEELRRLRML >Et_10B_002972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14841989:14849248:-1 gene:Et_10B_002972 transcript:Et_10B_002972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALLQPPPMEDDGVAPPAPAISAATPTPTPAPAPPPPRRRPPVRVTSEFDSERRLFSHRFSCRVLDGLAKLRLRVSHGAGGGGVALTPDVALISRNFSVVVDTASRGAVLRGAADLAGSLRLRASHNTKNCQNGEQSYVHVGCSAHCLSISCSYEYDKSIVSCIHLDRCFQFKYHIRKEQQGELAVTANLGDSPCKIELSSLVPPDGPPRATFLFPNGEVSVKEKKLDGGDRILSLSGLAKSHVLNGVCTAVYSDNVMNLKYRYKDEEISFIPSISLPSNSLSFAFKRQLTPSDKLSYWYHFATNYWGAVYKHKADKHLKWKAGYESDNRLGWASLWVGDASGSTKEVPFKAKVKFTLKVPQDNIQNSVVLFHLALGGGKGMEKKQWLSMIHIPSSQQTVSDPDPFSAHLMASTHRTL >Et_5B_044754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5396337:5398839:-1 gene:Et_5B_044754 transcript:Et_5B_044754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNPTEPEDQPGPAEAPTPAPVKKKRNLPGTPDPEAEVIALSPGTLLATNRFVCEVCGKGFQRDQNLQLHRRGHNLPWRLRQRGPGAAPPRRRVYVCPQPGCVHHSPARALGDLTGIKKHFCRKHGEKRWACPRCGKRYAVQADLKAHAKTCGTREYRCDCGTLFTRRDSFVTHRAFCGALVEETGRVLAVPAPPSPRPPDLEAEENADRDKEKLEDEDNENSGVAEMEQPPRVVDAVAEKPQHVPSPPPLPQEPRRSLSPPSLPQEPQPLRSRAPLPQEPQPLRSRPPFPQEQQPVVALVPNVDEPKVVAEPIVLAKQEEEADQDEVTCFQEAGRYKDAEIESSNLLDKDTPMLPCFLPSPSEAIGTDISSTTCGAGGSVSNSIAPSTTTNTFAGLFASATKSTTSQSRSLRDLIGVDPTFLCLAIGTPSPLFPQTNASKPCSFAPPPAPHMSATALLQKAAEVGASQSSSSFLKEFGLGSSSSSSLPSKVPQGRFADNNTQQWYHQSNTQMEMEHHRRNQQMEMEHHRSNQQMEMEHRRSNQHMEVERHRSNQQMGMEPRPMLSSSLGLGLAYQSGNSGLPDLMMGSSPLFGPKPATLDFLGLGIGGTMGGSTANGGLPALMVRGELDMGSAQAAAPWEDGKRKTNGRTIL >Et_1A_009095.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2904545:2907250:1 gene:Et_1A_009095 transcript:Et_1A_009095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSPMRPHLPVAVAVVVVVLLLLLGVVPFQVRAGKVATEVITPDFSASYLLFIDTFGVFLRSRNGAFQAVVYNPGGQQDRFYLAVVHAPSKTCIWVANRAAPIVDRAAPLHLTARGIAVEDPNGTVVWSTPQFGVPVAALRLDNSGNLALLDVRNATLWQSFDLPTDSVVSSQRLPVGSFLASAASDSDLSEGSYRLNVTASDAVLTWMDSLYWRLSGDGNSIKDRDGVVDYMLVNATGLYLLAEDGGVIIQATLPRAELRVVKLGSDGKLRISSFASVNSSTTPMDTGFEAPSNVCELPLACGALGLCTPKGCTCPPQFAASQDGGCAPSDGSAAFSSAGSCNGSSAGGGGGSSSPASYASLGDGVAYYANKFAPPDLAGRNVSSCQALCSSNCSCLGYFYDESSLSCFLAQHQLGSFMSIDSSTGGSDKLGFIKVQGSPSVQGSSSKSSSSNSTLVAILLPTIVAFVLIVVVSAVVIASWRKEGRRAARDMQLRRQQRSPSDSTHLVREIEDDGGDDIIIPGLPTRFTHEEIEDMTNSFRIKIGAGGFGAVYKGALPDGSLVAVKKIEGVGMQGKREFCTEIAVIGNIHHVNLVRLRGFCTEGQRRLLVYEYMNRGSLDRSLFRPTSGTQLLEWKERMDVAVGAARGLAYLHFGCDQRIIHCDVKPENILLADGGLVKIADFGLAKLLTPEQSGLFTTMRGTRGYLAPEWLSNAPITDRTDVYSFGMVLLELVRGRKNRSEHVSDGGVGGEASSSSNGTTGSASSKSDYFPLAALEAHETGKYADLADPRLQGKVNAKEVERVVKVALCCLHEDPHLRPSMAVVVGMLEGTMDLKEPCVQSLGFLRLYGRGFTGSAAGGSGDHNQMGSVGDRSGTTTLTTMSGWPSYMSSTQLSGPR >Et_8A_056405.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8521871:8522083:-1 gene:Et_8A_056405 transcript:Et_8A_056405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEEDERRRKYEAERKQREEAERRRKQEEDRLAYEAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_2A_018267.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20844229:20844969:1 gene:Et_2A_018267 transcript:Et_2A_018267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPGRRPAPQFAGVDLRRPKGYPAPALQPPAAKEEQAPAPGRDPCPRCESRDTKFCYYNNYNTSQPRHFCKSCRRYWTKGGSLRNVPVGGGTRKSSSPSSSSSSPAAPKRSSKRRRLAPATDPAAPRSTNDDASNADDVADNRAPTTPAAPSAEAAATVAADDHHAAPPEEGGLKTMSVALGLGVTDVGVGREKQELLPEPGQFEWPSSCDLGSFWGSTGVFADTDPAQPVPVVKLISHHPSESR >Et_10B_002448.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:5885544:5885820:-1 gene:Et_10B_002448 transcript:Et_10B_002448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGTGSAAKGTKSLAILIIWSLWKERKCPCVPARGENGALFAVRDKARGQVMELGRSEASCTNHSSFCGHDGAGRPLL >Et_9B_064013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1046044:1050840:-1 gene:Et_9B_064013 transcript:Et_9B_064013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNLLAYLIWALAAASAVAGARRNPWPAANSTASGNPVYLWPLPKNVTSGSQTLTVDPDLALDPQGAGGSSTAVAEAFQRYRNLIFAPWAHHSRAAAGGYDVAKLTVVVASANETLELGVDESYTIYVAAAGGANSIVGGATIEANTIYGAIRGLETFSQLCVFNYDTKNVEVRHAPWHIEDEPRFSFRGLLLDTSRHYLPVDVIKQVIDSMSFAKMNVLHWHIIDEQSFPLEVPSYPNLWKGSYSKWERYTVEDAHDIVEGNGYPKLWPSPNCTEPLDVSSNFTFEVIYGILSDMRKIFPFGLFHLGGDEVYTGCWNVTPHVRQWLDERNMTTKDAYKYFVLKAQELAIKLNWIPVNWEETFNSFKENLNPLTVVHNWLGPGVCPKVVAKGFRCIMSNQGVWYLDHLDVPWENFYTSEPLAGISNKDQQKLVLGGEVCMWGETADTSDVQQTIWPRAAAAAERMWSQLEAISAQDLETTVLSRLHYFRCLLNHRGIAAAPVTNYYARRPPIGPGSCFVQ >Et_4A_033097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18459356:18459853:1 gene:Et_4A_033097 transcript:Et_4A_033097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELMNNPRVMHKAQLEVLKTLKGEEKLTYLPLVTLKLHPPVPFFHEGHTTCQLMGYHIYTKSHECACEYGPRKRLITYWEDPNVFKPERFGNSNVDFKDLALASLLYHFDWEPLDSSQSRSRQMPGGS >Et_5A_042938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7232488:7234789:-1 gene:Et_5A_042938 transcript:Et_5A_042938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFDGVQFVRLRCCGRGEMYLAASGDRSGIDLLPDRSAAASVTWSVRATTSPDGKAAFLLRSVYGLYLATSSDLPVSIRPHDDLPPPPELRWQVVLKEGGLMIRNGVGRFLRGNGRYLQWRTAVTVTLDDGSSMLLWDMEVVQGPEIVATPRPSQEIVESKELFVRHKKELLRAIKSERDERIGEKEGFELKMKLAAKKMCALTSKVKEIKKRETPQALSLALHRPLMDLEIAKNAYRAHDMNRIKCQARICSLNSQEAKTKKMIEVLVRSMYEDD >Et_1B_011105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17671315:17679964:-1 gene:Et_1B_011105 transcript:Et_1B_011105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISFKLSKVGVRVHPAARSASAAQAAEAEKPAAGEKEGAASEPRREDGFVERANNVNGVKIFTGCSKEILPEHEVSFTFSLYDRGYLISKSAAMDPSQTSLQDGKTLHPYDRASEKLFSAIEAGRLPGDILDEIPSKYYNGSVVCEIRDYRKRISNQMPASSAELGLPIVNKVRLRMTFENVVKDITLLSDDSWSYRDFVEAEARIVRALQPELCLDPTPKLDRLCQDPVPHKLNLGIGKKRRMRQNPEVVVTSSNMSHGKKVCIDRLSENGKADEMGIAGGNAAHQAVDSGTIQNTSSVPQPLRPNNSSQDAARMLLSQSGIQQTISYSAVGNDRTAGSSANFTGISSSISSPQNMMTYNDVVSANGLLSVKREMQDAPLQDPKRIKPGGIDDAQQQQLRPQSLGGQEMQWKNQQLHPQLEVKGMQYAASSLGGQRYPSPMMNNMQDSGAPFYLNQPGMRYGAKQEQMDGSDRLKDSLAPEGSMLDQQQSQAQLLSQQSTARNNIANMAQWQNTRFSVEKDMKKDEINQRRKLAPNSRAPSGPMVQSPVSSKSGEISSNSMGGQFGSAVTSAAIGLQKDKFAANSSAAVGYPSVASSPSDSMHRVQQSAVAPSKRKTNSVPKTQPPMSGVGSPASVSNMQSMLNASSPSIGTAPVGDQAILDRFGKIDALSQRYQLHSKKNKIDKVPQRKPLVGASQDVASKLSSCFHTEDYIDTMKPLCNSMITGSINTFKTRIINLVSTNRMYQGQARPFRVIFKEMSDETVRMQYGDLEDFDGPNSPDCVFILPTKYYADLLGEQLIPLMLKDGHSKADDQVVRGTPPGNLSTLSGILPDNSASDIKQEGGVSQQLNANMAPGTPMQQLPSNRMLSSANSNQMMGPGSNLPMGSSQMGNNKSTPTSLQLQMLQQAQQQQPMSRKVMMGLGGLGSAMNMSSMVNNVVGLGGIGNVMGMGNVRPISSPMGSMSLGNNSNPMNLGMASNLVAAGLRPGMNPAALAKMRMAQQRAAGIYPQTGMVGMPGSSSPLSSQTHGSVSSIANSRMEQLQGATKGGPG >Et_1B_010698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13126721:13130474:1 gene:Et_1B_010698 transcript:Et_1B_010698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GAQPKRPPVNPAPSPAAATVRLSRSRASPTEISPSHTAAAAMAVPSTPRSPRRPDSILTPDPSSADAPPSLDFGDPASLAALRTLTDAGAATRLLHECIAYQRALDSRLDALLARRADLDRAASSLLRSAPPLLSLAASDAAALRESSSSTAALADALSSRVRHLDAAHSRADAALARAEAALDRSRALEAARRALAADDLAAAATAVHDFLAIDARFPTDDDLRRDLLDIKRRLEGLARRRLAAAVDSQDHPAVLRLVRLFPLLALADEGLQVYVAYLKKVVALRARADFEHLAELTTATQPAAERPDFVGCLTRLFKDIVLAVEENDAVLRELRGEDGVAYAIIELQEECDSRGTQILRRYSDYRKLARLASDINSYTKNLLSVVGSMASAAGGNEGPDPREIELYLEEILALTQLGEDYTEFMVNKIRGLRDVKPELGPQAMKAFRNGSFNKMEQDLTGFYVIFEEFFMVENVRKAIRIDEPVPDGLTTSMVDDVFFVLQSCCRRAVSTASINSVLAVLGGATSLLSNEYQEALQWRMREPNLGAKLFLGGVGVQKTGEEIATALNNMDVSSEYVLKLRHEIEELCAEVFHAPADREKIKSCLSELGEISASFKKILHSGLEHLVASVAPRIRPVLDTVATVSYELDDAEYGENEVNDPWVQKLILAVNTNVAWLQPVMTSNNYDSFVHLIIDFIVKRLEVIMMQKRFSQLGGLQLDKEVRSLINHFSEMSQRPVRDKFSRLSQMSTILNFERVSEILDFWGDNAGHLTWLLTPAEVRRVLGLRIDFRPEAIAALRL >Et_2B_018863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10407172:10408402:-1 gene:Et_2B_018863 transcript:Et_2B_018863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTTMRNMQVMTKSRSHWKQGVLEATEYTSTVCAFARPVPAKLCSTALSVESNYQVSLPFHQKTTLLELLC >Et_4A_035854.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7359690:7360286:1 gene:Et_4A_035854 transcript:Et_4A_035854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVQQEPPVGHLVVSITWPEKSGCSVWPQLCVLEAYVGGQLVGSRMLPVEREEDKENWRLALRIPVGSNWPSLHSLDLLVARIDYDPWEPSRPLRRDAPHTSRFDAVIARARVPLVDALLVGDEDEDEEARDRWKRRREAKEGRRPRLEGTLMFDETVTLRDWALLARWPPAPDAVVPSVRIGTVNVRMALFEPGSP >Et_10B_002781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11023077:11027586:1 gene:Et_10B_002781 transcript:Et_10B_002781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKNSTTQGESSLRATRAWRTCISPRITQKHPTRALPAMASEESRRPWFGSTEDSWEYNLRKYLLLLATLVATVTYTAGFNPPGGVWQDADAASGRLAGDPIIRDTSPRRYRAFYYTITTAFASSLVVIVPILILAVLQEKKKKKAHLPPLLILRSAMVLDLFSIMGAYAAGTCRDKLTAVYSSVLVLLLGAYVVVRMVWTTVTKMESEIKEKEMMEKERHKDLQKQRKMLMLLATFAASVTYLAGLSAPGGFWNNSEDGHGAGHAVLKGRHDGRLQVFFIFNTTAFVGSLLIIVLLLDKKLRWSNEDNSTPSLRDALIGLLGAYAAGSCREIDTTIYVMALIGAVLVCVGLQLFMLKKFGKQMNSLWNEMERVHDSVIKRLQQMRRCILRKETSIGSGSYVQLQTQGLEKARSLVLLLATLAATITYQAGLDPPGGLWEDDGKGYKAGDPILLTTNPRRYKIFFYWNSVAFVASLVAIILARKKTLHKHNSLEAAMILDLFGLIGAYAAGSCRDVNTSIYAMGLAGVVLVYVVIHVVFFTMDHEDSDVSKDNELVEKRRKRLLLFAILVATITYQAGLTPPSGFRLKDDESGHHAGDPVLHYNFPRRYKAFFYCNSVSFMLSIALIILLVNKNLYRPAIRSNALSVCTAAGMFSLVGAYAAGSTQHVKTSIYIFALAAVVLIFIAVLVVVFMFITDNEKQKKKDQTSSREGTTDEESGRTEETGHGQLLDPMQPERKASQGKKAHETEPGKETVIHGTEAEEDNKIDAKRKYLMLLGILVASVTYQAGLKPPGGVWQSDGVGHAAGNPLMHNNRRSRYLGRHGSTRARRSDSAGGSRERFLREPPRYSRRQRKLQKLAIDVLMGLAMDMEEHGKAAIALRVFVFAGAVDRELGSETGESRTGCAAILKTPDDDVLDRFLLALQNGDARRLNVASVPEPVGARESGLAAPSPTPRRQLECYHIFSGGVGIGKHRDDFLISMVDTVIELLYGRGGGSQAQQLNGMHSIHYFRTGSHKNIHKRALELFERAKRAFLELPPYLTGLEYLFWDLFR >Et_3B_029735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27465922:27468582:-1 gene:Et_3B_029735 transcript:Et_3B_029735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLNKMHFLKLYSSSSSSRNGSMEHPARTSSTGSNKTGRTARTAESPTGLSPKVDRRMAMSAEREKRRPPTKLSELESQLSQLQDELKKAKEQLHSTELSKRRALQEAEEARAQAAAASLQLSSAEDARLHELRRLSQERDRSWQSELEALQKQHAADSAALAAAMGEVHRLRVQLAAAARADRRQDVVEALATIDELRVKLKASEEAEAQARAMHEECKQQLEASRATIDSLLTDGSKLMDSFSLVVKELEESRARVKTLEEEIAETSAKAGDGERCNCSGSEAAELRSELEAAEARFQEEKILSTVETQCAYELMDQLKTESDLRHRKLATALESAKSEVIFLKASLFDKESELQRTLEANKKLQADTRSTDSTTDELKEQLQGALQENGQLKLELQQYESEKGSARTPEADAAEAAKKGEMETELRRLRVQAEQWRKAAETAMALLTVGKGGNGKVVERTESWEGGKYAGLCEEFVDDDAAARKNGNVLKRISGMWKK >Et_6B_049701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9062930:9063358:-1 gene:Et_6B_049701 transcript:Et_6B_049701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LHNSLLVFACSICYCSCESVSSFLLCSILDSGSARGNQRERDRERAAARKPNTKGSQDGLTPEQRRERDKKALEEKAAKKAQQAAAGGGGTSTDTKNKGGKK >Et_6A_048041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4240872:4243103:-1 gene:Et_6A_048041 transcript:Et_6A_048041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRVRDHTADSPPANCSTPGGDEVMKSAKQVYLVAPLKSKKPPAHRVFMVEPAVAAAAADDGREPRRRRPRAVAEFPSGGVRHTMSFVVAHWEQRSWIVGVGGTHGDTYIHDPSTAETIQGPELQPKRRPILLSMGGKVYAMSRYPEVRKSQFDFEPWFESLSLRKGVPTVAGPGFRSWKHLPSPPCFPCLLDPLEYRNPPRVSVKSYAAVASSHILISLDDNNEDGTWAFDVVKKSWEKVCDEGLPFVGQAVPLGGSLFAACYTGASDTATALFHMSINGSSGKLTTSLLSILEYPVASAEDSLPIFCPTGKGSFCSIWLGPSCQIRKASRHAKKRLKIILTAFNIDNIEDILTACQKESGEAKAGLQVPVQVKHQNQTFKLHGAPTFQNARMPAIALLSMDTELHELFEGTRIKKRPREPTASNIFEAFVLRRRT >Et_4B_038026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25576894:25578477:-1 gene:Et_4B_038026 transcript:Et_4B_038026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPMLTAASLSFSATPVHRLGAASPTASFAPRRAASVAVVRAAAASSKSPAPAAAPKKKPTGITLPKPVSPALQAFVGAPEIARTEALKRIWAYIKQHNLQDPADKKVIICDDKLKVLFAGRERVGFLEIAKLLNPHFVK >Et_3A_024599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22480500:22490620:1 gene:Et_3A_024599 transcript:Et_3A_024599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEEQEQSESSAKPHSSSPGFFWDGNGEVKWGREGKEWEDEMPTFKTCTLYYFPSSAPPRDLQILHTRSSWLPRHPGCRTGAPEPAQQEVVKPSPTNGRIRYRSPSAADLIPADDLAVGPSAVDAAAATAAAARMRRYSAPGVRQQTEAIADRVHRYRGVLMVLLALVLLISFVLLLMPRAPASASGGGLLAAGGRRWGRIAQYAVIFDAGGSGGRVHVYCFDGNLDLVPIGKEIELFKQKKPGLSAYAKDPQEAAESLISLLEEAEKVVPAELRAQTPVRVGATAGLRALGSERSEAILQAVKDLLRDKNSFKSQPDWVTFLDGSQEGAFQWVTINYLLGKLGKPYSNTVGVVDLGSANAPEVSDGEDSYVKKLLLKGTTYYLYVHRKVGFDLALFRMDVCGSVLYLYTYTESSLARNRNRWFHYASWDRFCCTEATTTAAAMAAVATTGFNIDLEVQGA >Et_3B_027582.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:29261881:29263284:-1 gene:Et_3B_027582 transcript:Et_3B_027582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRASPEHVAKVHEWTAHHRRSAEIGFELVERDPLAERLWPVRQFRHVHVAFQHPERLRHGRPARHRDVRAQDPDEHEPLHGRHDAGCSLLPQQRLREHLPGPAGPDERPGPVGDEHDARRVRQVQRAAAAHDLQHQHAEAVHVALLRELPVQRVLGGEVAVRAGDARGEVGLALAAPPGEAEVRQAGPHVGVQEDVGGLDVAVEDARLDAVVQVLEPPRHVQDHGPPLRPREQRRLSPPAVGGAVVEDVLVQGAVGHVVVDEEHLLALVDVVVVGNNAAPAQRHQVAVPEVADDLHLVGELLVAALHVGVQHLLHGDDAPVGERAAVHDAEPAATDDVALREAAGRLRQLADVEQHRPGVRPRARPAPLPREMHAPPARHLFVSLPLPEKQSYEGDEQDGGDWDGGGHGDVGNVVGGAGGRRGRGGGRRGGESEAEGAAGRGRGVGPCGAGDAGGVEHGEEEAVE >Et_7A_052879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22742963:22745942:-1 gene:Et_7A_052879 transcript:Et_7A_052879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIEVNKQLNPLASSFASTLVLSASSYRSSVKEGAPTSAPSRNLNAGSILAKQARGTPVGRWKERSGEEAG >Et_10A_000514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1196997:1197612:1 gene:Et_10A_000514 transcript:Et_10A_000514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRIWTSWYAENKHPPIPSRDSPLGYEPAVFCNCISVRKAALWISWSDEDPGRRYVQCAKASEGGCGFIGWYGGPHNPFTLLIDLRDAVRTLRSQKAVHRQAMNEFIEDVDQKENELAEVKAEVARLDPIEGEKEYLEGKVKDLQLEKMVMRAVGGFLASAVAYLLFR >Et_7A_052592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9606759:9611647:-1 gene:Et_7A_052592 transcript:Et_7A_052592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQEIAGHAYALQVGSYFLTGYYNVLANQPQLAIQFYTDNSSVVRVDCETGQWSFGETLEAINDMMMSMNIHKVEVKTANFLESWGGAITLLVTGLVQLKGYPARKRFVQTILLAPKKDGYFVFSDIFKLICDEYDDQYHGADFSGVDNIAQVDAPYTMAETEEPVEEVPKTYASVLRKKGNPGQQAALSAPANKAMVGASESQQSAPVNEKSNFDTHRVAVTEDEEEFLSVYVGNLSPSTSVFDLEKVFQAFGRIKPDGVAIRSRKEAGVFFGFVEFEDMSGIQNALNASPIELNGRLVHVEERRPNCGFPRGGRRGRGRDQAGGRYDGEYATRSKGNGQQKRGGRQYDNYY >Et_6A_046137.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:3533288:3533470:1 gene:Et_6A_046137 transcript:Et_6A_046137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHELAQLAKRNVHMVVWRERVPCCVEGLVVQNCNSLSDRVINKPLSFSQEKEVRASFL >Et_1B_011854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26565075:26568354:-1 gene:Et_1B_011854 transcript:Et_1B_011854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELGCTPNVFFYSILLKGLCDENKNQEALELLHMMAEDGGSCRPNVVSHTTVIDCVFKQGQVDNAYSLFCVMLGHGCSSMIDGLCKARALEKAEAVLHQMIDQGIIPNSTTYNSLLHGYFSLGRSKQAIRMFNEMTRAGQRPDVVTYAMMMYHLCKNGRCAEARKIFDSMVQEGPKPDATAYKILLHGYAIKGDFVEMNHLIDLMAQNRIPHDHSVFNILIKAFGKRGMVDEAMLVFNKMRQEGLMPDIVNYGAVIDGLCRAGRLDDAMFQLNQMIDEGETPNIYIFTALIFGFSTCGKWEQAEVLFYEMLDRGIQPDVVTFTALMHTLCKEGRVMEAQNLFDWMIHAGAKPNTISYNTLIHGYCLAGKMDKVMKLLEAMPLHGLKPDYVTFKTVLDGMISIGLNPDIVTSNTLIKSYCKDAMGQEIEHTHLPIRGLNLHVAQVGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAIAPDCRGYGLSEQPPEHEEASWEDLVADVLAILDALSVPKAVLVGKDFGAMPAYDFALAHPDRTLGVVCLGIPFSPVPFSFDTMPEGFYVLRWREPGRAEADFGRYDVRRVVRTVYVLFSGAEIPTAKEGQEIMDLADLSMPLPEWFTEKDLDAYAALYEKSGFRYPLQMPYRSLHRRPNHMNAKFQVPVFMVMGEKDYAFKFPGFETGVRSGAMEHFAPDLKITYIPEGSHFMQEQLPEQVNELLLGFLKDHPVAA >Et_5A_042206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7422916:7424640:1 gene:Et_5A_042206 transcript:Et_5A_042206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGLRERQQEDEDAYEYEFDLENPFTSPSDEPIASLLDAEVEHSPSVSAAASAARRAAAGVISKVRFGSELSAPPRVAYLALNYVDRYLSKRQLPQPWAPRLLAISCLSIAAKMQRVPAFSIADVQRDEQFMFDEANVRRMERVVLGALEWRTRSVTPLAFLGFFLSACYPPPLHPPQVDAVKARAVDFLLRSQTEVKMAEFSPSVMAAAALLAATGEIAAGNLPAFQAGVVACSFEKLQACGEVMAAACGVGPGRSAASGDTPVTVLGHHRSASSESVWTVGSTANGGGDAKRRCMGPPS >Et_9B_064287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13623646:13626174:1 gene:Et_9B_064287 transcript:Et_9B_064287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQASSDLSSEFDDVCGDCAVLRAQLAALGATTPSCVYGKRLTARAQADLRHGLLSVGGEHLTAALTVGELGTVADHDNTGGLDVPVFDRDGTRYDFKCVSTSAEDHTGFYRLAAASESEFDLFPSDSNVACGDATELFMEVWAFRSPALHKGRKPVDGGHPDGALGMVVLFFDDLGAEGPEDEVFDDDNRSILHLLRHCLKVPEGYELEQSVRVFAGSLCVVQRQQLLRAEGPQLDLQLREVVVLVVVSQETHPPVPEKSIAAFRLSQPPEHHVVAVAVAVEDRHVQAAPAPADPVFVLLLRHSTVLDGEAIVVENLVAQAVGIEVEEQNDHPEGHVGVVVRRRPERPRLHEELLAELVDVADHVVVHHEPLVLRGPDQAVEVGVLRVRHLELVPPPGAVEHRHDYPAGLAGVVDDGVDIAVGERGRDVPAEVLAADAQAPVPERGLDLRDWSFLAYTHDGAVAPSDASCSRSTEQSSPPQTASNLRFT >Et_7B_053469.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:21339466:21339648:1 gene:Et_7B_053469 transcript:Et_7B_053469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYEFISSGVFQARNGNKVAHALAKLGCGREPDTNPIFECIPNCIQNLVVRDFVTHLVS >Et_1B_009651.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11400593:11402269:1 gene:Et_1B_009651 transcript:Et_1B_009651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDNDAWADQEQGNGGGSRGGGGGGEAKRSEIYTYEAPWHVYGMNWSVRRDKKYRLAIASLLEQLSNRVEVVQLDEASGDIAPVLSFDHQYPPTKTMFIPDPHAVRPDLLATSADHLRIWRITSPDDDDGAPANANSGSVRCNGNAQPQQPGVELRCELNGNRNSDYCGPLTSFDWNDADPRRIGTSSIDTTCTIWDVEREAVDTQLIAHDKEVYDIAWGGAGVFASVSADGSVRVFDLRDKEHSTIIYESGSGSGGGSNSGAGDGSAASPTPLVRLGWNKQDPRYMATIIMDSPKVVVLDIRYPTLPVVELHRHHAPVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGTGSNGGGNGNGNAAAAAAAEGGLDPILAYTAGAEIEQLQWSATQPDWVAIAFANKLQILRV >Et_2B_020965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25271667:25276541:-1 gene:Et_2B_020965 transcript:Et_2B_020965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELMRRFSFSDRVSDGSVGGVPRGCVPVLVCGDGEGEGERFVVRVEALRHPSFAELLEKAAQEFGYRQEGVLRVPCAVHHFRDLVRRLSFQDRARDVSGGGGGGTMKKELMRRFSFSDRVRDSGGVPRGCMPVLVCDGDAEGDGEGERFVVRVEALRHPSFAALLEKAAQEFGYGQEGVLRVPCAVHHFRDVLNAASSPRAGGR >Et_10B_003921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7558347:7562388:-1 gene:Et_10B_003921 transcript:Et_10B_003921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPKRSHMRVRLRVTARRRGAGDGADGSGSGSGSGAGGRKRRLETPVLNSAAKLLRREIGGRQLAARGGGPAAAVPERFRNMQLQEEFDTYDDNAHLFVKLQFLKKRSKIIEIVAAKDIIFALAHSGLCAAFNRVTNKRIAFLNLSPDEVIRSLFYNKNNDSLITVSVYASDNFSTLKCRTTPIEYIRRNQLDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYSFLYSIPDENVQEIKISPGIMLLIYDRTPSYVPLKILSIEDGKPLKSFRHLLHRNKKVDFIEQFNEKLLVKQEDENFQILDVRTSDVTEVSVSKFMTPSAFIFLYENNLFLTFRNRTVAVWNFRGELVTSFEDHLLWHHDCSTNNIYITSDQDLIISYCKSEAVAEDGTVTPIGSINMSEIMTGKCISKIAANDPALTVVPRRTGCTRRSRVWSTVPEALEDVTALFYDEDRNEIYTGNKHGLVHVWSN >Et_1A_009254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35543224:35553599:-1 gene:Et_1A_009254 transcript:Et_1A_009254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRITASASNLYKKAGQPNWLTDFRLVDRGPITSSINYKSASGAVMDLKRRGSSCSWGMEPKRRRLVNDCIMERKRSAGRIGGLKHKRRRLVEGKEFFHKPIPIEDDVDTFSSEEYIHLTIGNMSAIKPSQGFSESVDMYSCHQKDSEHYLKYKIHDERDNVPIDGNVLKGVIDIYVEVNLGQTDFYEAHFEKALLEATSLYYCKKACAWILDDSCQQYMAKVELSLEEEKQRAMRYIPSSSEPKILQVDDDGLSRIFVLFAAPDGGLFQLSKIFKEHILKEVTSLKKEAAGAACRVNEFVYKVIKLHDKYTEFIDKCFDGRPMFEKLKQLFGVQYTAFIDEIVKYLTVQLSADKVKLLGGMNCGMGQSGDIHYQVLDEYLSIARVVSIDARTKLSFNQLVREYLTPFKTGVKSEAKYTLEGYSIFGGMISHVTNGHAVNRSWNGQIRLVLEAGASTLDWRKDVIKNPLFTATFDKAKKMGSPYATGPRELRSPAVAGFQGWALLDPSILEEDPAANSTGLAVGTANDDRAVRVSLRLTEPPASSYVQLHTDADLHVEPTLLSADGHLLLVHMVVAVVRDPPFTSFEDNFFVYKPHPNPAMGWLRFLPQFADRIASVRHTGIACRGQDYVVAGFLNMVVRDEAATLGENQLKEVGTLSRFSSSTGRWDILELPIPFDTEKGLHKFVWESDNKFALGGYMFWVDYHRGMLYCDVFAESPSLQFIELPGIMTTPRDASYLRLIG >Et_5A_041560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24395393:24404351:-1 gene:Et_5A_041560 transcript:Et_5A_041560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSPSVHPNRRKAERGDHIAGAPGPGHVSPRQSCKEAKSTRYNTYDHSHAVGGEGGGRGVRLFPCLFCSKTFLKSQALGGHQNAHKKDRVAGSWNPYAASSYGHLYAAALELDAAAGVAPATTTLVAGAPHCGGGVHATAAGEAYGTAIAAALRSERWSAVPAVRHGGVADDHRDRDGLLVCDDVLNWNRGTQASVAATRDTAAAVVDYPCMECSPPDAAADLSLTLAPAGGSGHGTGGKRMYACLFCGKTFLKSQALGGHQNAHKKERSTCKNPYVYDDIAAVVAAAAMRFPAVGSAGSVATMPIAALSAHGGRTVRAEAAGDYDEEYGGDGAPSFRVKMQRRRAALSATQEMSVAADGTIDMLNWDRASRATLTCAWPDGADDASAPSTGAGEELDLQLRL >Et_10A_000112.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5366610:5367709:-1 gene:Et_10A_000112 transcript:Et_10A_000112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPGRGKKGTKKILFTVRHHKPVHVSASMHDAERRRGAGGDINALLSGEREHGGVVEEVLEPRDGLDDGPELVGAREPARAGGADGVDVECDAPLAELEPRHLRRAGRRADEPPADVAERDDVRPRGVGGGLHCRGLEDEHGGLGVPGAQPQRQRVPRVVRQDGEVARDVRRRRRRERQRPAVAGVGGGAGAEEVRELERRGAADGERARDDLGAEAEALHVGARREVRVQGRRRGGGAGLGLLGRCRVVAALGLGLGLALGRLGLRHWCRQWPATSGWLVAGFAVRRVCCRGRRAWLGGVKKRRLEREQGSWKEVALVRAVKR >Et_2A_017067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30692149:30694681:1 gene:Et_2A_017067 transcript:Et_2A_017067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAQNSALVGNKEIFMHRAVVRGSFIRKLANILLLNSCSKILNATLHASSSTPNAWRQSRHNSGLTVRCSAATHHQHNFKPPQFEAIGTEVTKKLRAFYRFCRPHTIYGTIIGVTSVSLLPMKSLDDLSLTVLRGFLEALASALCMNIYVVGLNQLFDVQIDKINKPTLPLASGEFSLATGVLIVVTFLIMSINIGIKSKSAPLMCALLVSFLLGSAYSIDAPLLRWKRHAFLAAFCILFVRAIVVQLAFFTHMQQHVLKRPLTPTRSVVFATFFMCCFSAVIALFKDIPDVDGDRDFGIQSLSVYRLCVNILMTAYGAAILVGASSSNLYQRIVVVLGHGLLAFALWQRAQKFDIADKACITPFYMLIWKLFYVEYFLIPFVQ >Et_4B_038975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6585429:6587518:1 gene:Et_4B_038975 transcript:Et_4B_038975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAHVALCSVALLLLLPAAWSATFTMTNNCGYTVWPGLLSGAGTAPLSTTGFALAHGASATVDAPASWSGRMWARTLCATDATTGKFTCATGDCGSGSIQCSGGGAAPPATLAEFTLDGSGGLDFFDVSLVDGYNLPMLIVPQGGAAVGVAGAGASSNGSAGAGGSKCMATGCLVDLNGACPADLRVTPASTATGAGGGAVACRSACEAFNTPQYCCSGAYGNPNTCKPSTYSQFFKTACPRAYSYAYDDSTSTFTCTAGTNYAITFCPSTTSGKYSGENPQAAGVQSSSNGSMVYLGGEELATGAAPAASHASQLLMAMAVAFAVALM >Et_1B_012654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34181075:34184645:1 gene:Et_1B_012654 transcript:Et_1B_012654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAATTAAAAPAEKASSYRYWVRENTGDAAPPPVPRKLDPVAATANGNGNPPPLGSVWNQAGTWEEKNLNSWANGRIKDLLGSVDSLEFPTGKASVCEVSKCSGDAFQVTVRNKKRVGYNYELSLKFQGEWLIKEENKKFKGHLDIPEFSFGELDDLEVQVRFSDEKGLAADDKTRICKDLKSFLNPIQEKLRLFEEELKVIIDELNCTSVGILPGPDRGVAPQ >Et_7B_055203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8257518:8258901:1 gene:Et_7B_055203 transcript:Et_7B_055203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKARNNDRGAARSTEGKGDAAVGNKVVEDTVKSGDKESYVLKSSDAGGKVGALHGMSPVEAKDSQTIVALQSPVTVMRPVRGDLEEHVPKPYLARALAAPDSYHPDGTTDEYHRHHHMSVMQQHVAFFDRDDNGIIYPWETYAGSRALGFNVIMSFMIALIVNVTMSYPTLPGWLPNPLFPIYVHNIHKSKHGSDSGSYDNEGRFVPANFENMFSKYARTSPDRLTYRELWSMTEGFRVTLDFYGWFAAKVEWTILYLLARDEEGYLSREAMRRTYDGSLFEYVERQRDQHAKMS >Et_8A_056727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1631181:1645570:1 gene:Et_8A_056727 transcript:Et_8A_056727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHEERDEHKVVKTWVKQVRNVAYDAEDSLQDFAVRLGKPSSWRILRKLLDWHHVAKQMKELRAKVEDVSQRNVRYQLIKGSSSKAATSTQSNMVSETMSGVEEAMRLRNKAKVDLIRLIHSKKDEDLRVIALWGTNDLFWETSIIKRVYDDLKRDNNFECQAWIRIRNPFNPMESLQNIVRQFFIYSLQESAKTQEKSSPGVHDLRRMRVMEEGDLIKEFTKYLNDKSYLIVLTDLSTIEDWNQIKAYFPNNKKGSRLIVCTEHDEVGSFCVGPETEMPEYKHLSTDPALFAFFKKFRDHKKEHIQWSQGLARMYTLQKRMVLPMKGSSLAWELFFSAFKESQLIGRVNEISDIIKLISDNSSQQFEVISICGMGGLGKTTLVRYVYQSQELRTMFDKRACITVKRPFNPKELLNSLAMQLGDNQQALPNILEGKKYLIVLDDLSSTEEWDSIIQCFPRTEARSRIIITTRVENVAKHCSTKEKNIHKLKTLGEKDACNLFTEKVFGKITDLDEQYPELAEVAKLILKKCNGLPLAIVTIGGFFSKQPKNPMEWRKLNDHIGAELEMNQELGIIKDILVKSYDGLPYHLKSCFLYMSIFPEDHSISRRRLVRRWVAEGYSSGVRGKSPKDISDNYFMELIDRSMILPFQKSDGKRNGTDSCQLHDLMREISILKSMEENLVFRMEEGCSSNTSGTARHLSISSNWEGDQREFESLVDLSRVRSLTVFGRWKPFFVSDKMRFLRVLDLEGTSNLCDHHLTQISKLLHLKFLSVRGCKGIVHLPDSLGDLKQLETLDIYGTLIIKLQRCIIKLRKLQYIRAGKNSIWHHVASMMYAGIFLMRAQIGMMWTSYRHFLLPFCQGSADPGGVVVPRGLRKLKALHTLGVVNIARGKSILKEIKCLIQLRKLAVTGINKKNCREFCSILAALSFLESLSVRSVVEPGLHGCLDGVSSPPKSLQSLKLYGKLVQLPEWIGGFQNLVKLKLEKTVLSDVDGSMQVMGKLPNLAILRLLQDSLDGEEHHRLIFHREAPFPSLMVLELYGVCFNFYSVDFEEGAAPNLELLRFCELFEQTDYKYHFEADVQAQLAQNPNGPILKKASMESTALSVGKFVLDGALGYAKSALAEEVALQLGVQSDHAFIRDELQMMQAFLMAAHDEHDKHQVRTAWVKQVRDVAYDAEDCLQDFSIHLKKPSWWRLPHTLQQRRRLAKQMSELRARVEDVSQRNLRYQLVKSSSSKSSTFAELSSISAGTVFGIDEARRAARKEESKEDLASLINKKGENLRVIAMWGTSGDLGLTTIINAAYENPDIKRKFPCRAWIRILHPFNPNDFIQSLVKQFRSAEGVDVLLEKEKTGRDLAKEFNGYVNEKSYLIVLNDLSSFEEWNVIKTCFPPSSNKGSRIVVCASQVEVASLCTGQEHQVVELKQLSTDQTIYAFFEKDPHVLVKPPSSMSSSNETTTSTNRSMVLTNDGLANQSEGSNERKVVTKSFTRTKTLATALEESLLIGREKEKSDMVKLLSNQPSQKPIVISVWGMGGLGKTTLVKDVYQSQALINMFEKRAFVTVLRPFILKELIKSLVMQLTVESSERKESIDLEHGTRRRVAAMEIDSLIVELSRLIKGKTCLIVLDDLSSTTEWDRIMHSFPKLDGVCQILVTTREESIAKHCSGKQENMCQLKVLEDKDALNLFTRKAKLILKKCNGLPLAIVTIGGFLANQPKIAVEWRKLIEHISAELEMNPELEVVRTILGKSYDGLPYHLKSCFLYMSIFPEDHKISRRRLIRRWVAEGYAREIREKPAKEISDRYFMKLLDRSMILPTKKSVCSRKGIDSCQVHDLMREISISKSTEENLVFRLEEGTSLNIQKIVRHLAISSNWKGDKMEFESAVDLPRIRSLTVFGEWRSFYISGKMRLLRVLDLESTSGVVDHHLKHIGSLMHLKYISLRGCRDIYHLPDSWGNLMQLETLDIKGTSICQLPKSIIKLRKLQHLVGGDLQPYCVSMNERIPHDLMKLCLACCAPKFLKDVEDMNGDPKRHDVCTFWWHVIFPTLAARRLDPCGFVLPKGIRKLKSLHTLGLVNISGGNKAILQDIRRLTQLRKLAVRGINKKNHQEFCSALADLRRLESLSMGSLGSPVPYFLSEDLRGLLDGVSSPPPNLQSLKLTGKLVKLPEWIEQLHNLVKLKLYETELSDFDSSLQVLGKLPNLAILRLLKGSFKAGEERCRLRFCWKAPFPSLMVLELYFVCGYNNDNLDSVDFGQGAAPKLELLRFYGMRRSPGSFSGLASLPKLKEFGQDNDTYDFEAGVQAQLAQNPNGPIFKKYTE >Et_7B_054714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3819360:3823170:1 gene:Et_7B_054714 transcript:Et_7B_054714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEMVVGLSAPAPVGRWGAAPPQALLERMKDYGQEGAFALWDDLSPEDRELLVRDIESLDLSRIDRIIRRSLGSQGVPVPAVEPVPESSVSKVEDRSPEDKERWWKKGLKAISEGKLAVVLLAGGQGTRLGSSDPKGCFSIGLPSGKSLFQLQAERVLCIQKLAAQSSESPSNTVPIHWYIMTSPFTDAATRKFFETRRYFGLEPDQVTFFQQGTLPCVSADGRFIMETPYRVAKAPDGNGGVYAALKSKMLMEDMSTRGVKYVDCYGVDNALVRVADPTFLGYFIEKGVSSAAKVVRKAYPQETVGVFVQRGRGGPLSVVEYSEMDAAMTTEINQSTGRLRYCWSNVCLHMFTLDFLNQVANSLEKDSVYHLAEKKIPSIHGYTMGLKLEQFIFDAFTYSPSTALFEVLREEEFAPVKNANGAAYDTPDSAKLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPSEISF >Et_1A_008951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20749727:20767261:-1 gene:Et_1A_008951 transcript:Et_1A_008951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLTLVSLLVLLPASAGDVRRALHEPLFPIEWTPPPSTTAPPGPGFAADPSTPVPPDDGGPAFLPPPPPPPPPTTTVPAAASNSRTGPTPRVHGGGTPKAAIVVASAAAAAVLALLAFAAAFLLTGRLARHHAQQQHKPQPATAAHSVAAARSEAAGSSSVAGSSSGTPYRKMRADRARRGMCRDVDTVPSPELRPLPPLRRAGSSDEDAAYYTPGQRSAESDGGGGRGDGGGTWSEASASSPRTTTPSRRSLPSLTSDVFPPTPAAAAAPAPPAPPPAPRSRRTLPRTRFSAGSASDMIKQMVSPPTNQPAPPPPPPPPLATAPRMNNAIPKPPPPPPPESSTRRLLKPTQTEGPSIAMTRAPVASVTRDNDNINSMSTRQDDDDGRPKLKPLHWDKVRACSDRDMVWDRLKSNSFQLDEDMIEVLFTNKAANAPSTRDTPNKAGLPPCRQEKVLDPKKAQNIAILLRALNVTLEEVSDALLDGNAESLGAELLETLVKMAPTKEEELKLRNFPGDVSKLGSAERFLKAVLDIPFSFKRVDAMLYRANFESEINYLRKSFETLEAACDDLKGSRLFLKLLEAVLRTGNRMNVGTNRGQAKAFKLDSLLKLADVKGTDGKTTLLHFVVQEIIRSEDGKSDKAAESKTRNIVKDEQFRKQGINIVSGLSTELGNVKKAASMDFDVLHGYVSKLEGGLGKIKSVLLLEKQCTQGQKFFATMRDFLQEAELEIEQVRREEKRALGTVKEITEYFHGDAAKEEAHPLRIFTVVRDFLSMLDHVCREVSQQDRAVVGSARSFRMSAAAMRVLSTRTQHGSESNSDEERRANKARQLLVFAILARCHDATRETRLLPTPWTTLHEKAELLLRPGTGPRPHTATSTAARHKRTVSKESYRH >Et_3A_023949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15023844:15025025:1 gene:Et_3A_023949 transcript:Et_3A_023949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAASGGGVVKHILLAKFKDVVTPEQLDQLIRGYAALVNVVPSMKAFHWGTDVSIENMHQGFTHVFESTFESTEGIKEYIEHPEHVKFANEFLPALEKTLIIDYKPTAGN >Et_10A_001623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6160158:6166458:1 gene:Et_10A_001623 transcript:Et_10A_001623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKARMTTADVAAEVKCLRRLIGMRLANVYDITPKTYLFKLMNSSGITESGESEKVLLLMESGARFHTTQYVRDKNTTPSGFTLKLRKHIRNKRLEDVRMLGYDRIILFQFGLGSNAHFIILELYAQGNILLADSEYTVLTLLRSHRDDNKGLAIMSRHRYPVEACRVFQRTDFGKLKDTLTLSGNADEVPSETTSGSANAQEPSESASDGVAVTDISEKPLSRKEKKSAAKTKQSGSNSKVSNGAQSNKATLKTILGESLAYGPALAEHIILDAGLVPSTKVGKDPESSIDDNTLQALRESITRFEDWLVDIISGQRIPEGYILMQNKMAAKKNSTPSEGPSTNQKIYDEYCPILLNQFKSREYNEFETFDAALDEFYSKIESQKVNQQQKAKEESAAHRLNKIKLDQENRVHTLRKEADHCVKMAELIEYNLEDVDAAILAVRVSLANEMSWEALTRMIKEERKAGNPVAGLIDKLNFERNCITLLLSNNLDDMDEDEKTAPVEKVEVDISLSAHANARRWYEMKKKQESKQEKTITAHEKAFKAAEKKTRLQLAQEKTVAAITHMRKVHWFEKFNWFISSENYLIISGRDAQQNELIVKRYMSKGDLYVHAELHGASSTIIKNHKPDTQIPPLTLNQAGCFTVCHSKAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGILFRLDESSMSAHLNERRVRGEDEALQEIEAESSKRQSAPESDEEITSEKDTNRETHEDESIANTNIQKNNVLGPDLSTNNGSTESSKSLVSTQAEENFANENSTSKEETVDASVSSQLDDLLDRTLALGPAKVSVKSSLLNRGKSLAEDDDDLVVQNPAVRDKPYISKAERRKQKKGQGEAANDSQNGEAVEMPGTSQQPKSKTNTKAASPGVTEPGNLQHEKSKGNTNVAKSKVSRGQKGKLKKIKEKYAEQDDEEREIRMALLASSGKALRKDKPTQDGDGSTAKQSKPPAGEDDSSKICYKCKKSGHLSRDCPESTSEMDPNNVRIGQSKDGLGSSAAPVGGSSAMDEDDIQELGDEEKEKLIDLDYLTGNPLPSDILLYAVPVCAPYNALQTYKYRVKITPGTAKKGKAAKTAMSLFLHTPDATNREKELMKACTDPELVAAIVGNAKITAPGLTQLKQKQKQKGKKSAKDK >Et_3B_031336.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26022871:26024898:-1 gene:Et_3B_031336 transcript:Et_3B_031336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAILRLRRRLPLPLTARRPVSSSSSSPSSESNEIPTVYSFLQPSIFAPRPKPQPPPPPPPAPSHDPAPRKVLAISDAAALEADLLAAVAEDRSDDAWLAFKSLAAASNSLSPPAAAALVSHLAAAQHRLGLKRAFAAAVFQLEKSPHAAPVPEAALGALFSALAAAGSAAPALALARALLRCGRRLPAFSTWGHPLIELTRADAGAFAAFLKVFDEACKLVVAEKSPAEAAAMRPDLAACNAVLAGCCRILGSVADAERVLETMSAVRVSPDAESFGCLAFLYAWRGVPSRVDELDKLLDALGFSKKEFFKNLVSGYLKAGSLESVSSAVLQAMKERIVKEGNAFDEESYSEVTQCFVDNGRIKELAKLIILAQEIELTQQSISVEDSVGFGIINACVELGLLSRAHSIIDEMTAQGASVGLGVYSSILKAYCKEQKTAEAAQLVTEISAAGLQLDAGSYDALIDASMTAHDFQSAFALFKEMREARLPELKTSYLTIMTGLTENNRPGLMASFLDSVVDDPRIEIAAHDWNSIIHAFCKVGRLEDAKRTYRRMVFLRFEPNNQTFLSLINGYVSAEKYFNVLILWTEVRRKGASFNHELIDAFLYALVKGGFFDMAMQVIEKAQECKIYIDKWRHKQAFMETHKKLKVAKLRKRNFRKMEALVAFKNWAGLNT >Et_3A_024703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23473691:23486266:1 gene:Et_3A_024703 transcript:Et_3A_024703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAALLLPLLLTSLVDAFPASCSKATCGEHEIRYPFWMLNSSASDDNCGYPGLGLACEDDGTLILPVHSHRYRVVRIYYDTHTVAVSDTDLDVYGLSCPRLHSNLTLDYTSSWLQLAPSDSNITFLYNCSNNISWSSALELTGCRESDGNKRITGAEAYEHECEEVVAAPVLGVHKAGIVGAPGRSRPLTNGTFGVMVTTGFEVMYTTHSQQCDRCEASGGWCGYGHNQTAFTCYCQGGPTADNCGMLASTFPCYFSLMLCVLAFHASSNGAPSGDESYDTSLCLWQPSTCGNLSIDYPFYLYQISCPGFNDSTGRGVSFVFSSEENNKSQEHALVDHCSRSTLPREYGGVLKEGFELAWNRSSTDQCYRCEQSGGRCSYSQNRVFLGCLCSDKTVGIRCSSSIASSSSSVAAGGFSLLLVAGVIAFFVIRKKKQKKGVNSSSKYTSKKTMTKDEPRIESFLEKNGNIHPKRYTYEEVKRMTKSFAMKIGQGGFGAVYRGNLGDGREVAVKMLKDNKGDGEEFMNEVSSISRTSHVNVVTLLGFCLQGSKRALVYDYMPNGSLERYAFNSNLSSDNSLTWEKLFDIATGIARGLEYLHRGCNTRIVHFDIKPHNILLDQDFCPKISDFGLAKLCLNKESVISIAGARGTIGYIAPEVYSKQFGTVSSKSDVYSYGMMVLEMVGARDKKTNASSDSSSQYFPQWIYDHLDDYCISASEINGETTELVRKMTVVGLCSGTCGNQTVQYPFWLNSSGSDCGYPGLGLACEDNHTLILNVHSHRYIVARINYDTRTLSVSDAEVDADYGYGAGCPRLHLNLTLDYASSWLQLTSSDSNITFLYNCNKNVSWFWSSARELSGCRGEYDSKRSYVLPDGATTGTEAFEFECEEVVVAPVLDVRKEEMVGAPDGSPPLITNGGVVMAGFELMYKTHSEQCDRCEKSQGWCGYQSNESASSGLRFTCFCDDGPTTDRYCSTYSSPSLRITFFGFPLLASVVFFLIAHVPARHGNPLPSTYDGSICSESFRCGGVEIRYPFYLANATRTAPDYGPLYSCGYTDLKIFCQDETPLIQLGQFNYTVKDISYDNNTILLLDADAFSGGNSGCANVRHNVSFGADWLKYTGYDDYLTFFLGCDAVSPGLDKYRVDCPGLGNPLGGGPSFVFSSDQHDASGGHDLVDHCHDIVVVPVLSDFLAPVRNRSTLPGEFGGVMKEGFELAWNRTTTDQCYRCEQSGGRCAYNQNKAFIGCLCSDEKVQMPDCSSSGASSTRSPRIQGCNCRYFLAVIQSCVLLNINFDSLVMFSCDAAAVAGTSCLLLIILALYLGHKYCSLHFKTKDAPRIESFLQKNGNIHPKRYSYAEVKRMTKSFAVKLGQGGFGAVYRGNLGDGREVAVKMLKDNKGDGEEFMNEVSSISRTSHVNVVTLLGFCLQGSKRALIYEYMPNGSLERYAFNSNLLSESTMTWEKLFDIAIGIARGLEYLHRGCNTRIVHFDIKPHNILLDQEFCPKISDFGLAKLCLNKESVISIGGARGTIGYIAPEVYSKQFGTVSSKSDVYSYGMMVLEMVGARDKKTNASSESSSQYFPQWIYDHLDDYCISASEINGETTELVRKMIVVGLWCIQINPMLRPTMTRVVEMLEGSTSTLEPPPKILLT >Et_4A_035003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8746956:8752202:-1 gene:Et_4A_035003 transcript:Et_4A_035003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLAFLRCPSPLTRASTSGLAHLPVPSALIKIRRLRRTAAAHRIAAQKPPRIVAMAAAAPASSVKEDLPTPLTSVSEPPPLFDGTTRLYVAYHCPYAQRAWIARNFKGLQDKVKIVAIDLADRPAWYKEKVYPENKVPSLEHNNQVKGESLDLVKYIDSNFEGPSFLPDDAAKKQFAEELLAYTDEFNKALYSSIISKEDVSKETVAALDKIEAALGKFSDGPFFLGQFSLVDIAYVPFIERFQIFYSNIKNYDITEGRPNLQKFIEEVNKIDAYTQTKQDPQFLLEHTKKRLGVRYVLNILHLFIGSADYKPFDTLYMSYICRYAQRAWITRNYKGLQEKIKLVPMDMADKPAWYKKVYLKNTVPSLEHNNIIIGESLDVIKYMDSHFDGPKLTTDDPERQRIAGGLLEYSDTFNRSMIAALTCKGFVDIAYAPFIDGFQIFFAAIKDYDIAEGRVNIRRFIEEMNMIDAYTQTKQDPQVLLALTKKKLGV >Et_3A_023016.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:17439431:17439721:-1 gene:Et_3A_023016 transcript:Et_3A_023016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGTPVDMVFNPLGVPSRMNVGQIFESSLGLAGNLLKKHYRIAPFDERYEQEAVNV >Et_5A_040481.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:25075686:25076099:1 gene:Et_5A_040481 transcript:Et_5A_040481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HRPDNHGGKVLLGPHPQHPRGRRASWRRSGATSPYVPVFNVGPLHRLSPAAMTSSSLLRPDRGCLAWLDAQAPASVLYVSFGSLASLRVRRRPRRSGLAGASPAAAGHCSGCSAMARATAPPRPSAASGRTAAGTRR >Et_2B_022636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28738676:28739965:-1 gene:Et_2B_022636 transcript:Et_2B_022636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEYDITRPATSPPAEGVEKRGKGGGGSGRHPSYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDAAALVVKGPTAVLNFPEMAASLPRPASSSPRDVQAAAARAAAMDHHHVGGGATMPAAAPTEQRQASPFDDDSEELEEIVELPPIDEDFAGELMFQDSAAEPWYEQAWTLAGIAAHDEMIVPGLEPDHLWTQPDGTASSDLGGSEVVEGEGPIREQRACDCPSWKDSESGNVLGTMRSQRS >Et_1B_011759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25773097:25774276:1 gene:Et_1B_011759 transcript:Et_1B_011759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQRYRFLFCGCGANAAAGDLEGEDDVDCSVADVFEEKVEKGSEAGPRRLSLAQVEAMTGGFTSAVVGEGGFSTVYLARLSGALAAVKVHRSSERLHRVFRQELDTLLRVRHPHIVRLLAFCDQQEEGVLVLEFAANGNLHERLHGGGKAAGTMPWARRVSVALQVARALEYLHDRCEPQVVHGDVKASNVLLDASMSAKLCDFGSARMGFSAAVRPRSSAHTMLGSPGYVDPHYIRSGVVTKKSDVYSFGVLLLELLTGMEAFCAEEGRLLTAVLAPRLRGTPAAACDARGMVDDRLGTAYDAAEADAVAALAASCVGENPSLRPSMADVVRTLEQSAQGSISSVAVGKRSDGQGKF >Et_8A_056147.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:6088352:6088888:1 gene:Et_8A_056147 transcript:Et_8A_056147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTRRARRATPAAGRRSRASTACARTAPPRSPPPWRRARRRCGRRGSGRAGGGRRPRRRGSGAATCRRTPDTGPWAPRRRRGTTPRGRRRQRGRRRRRRCCRRRGRCGWTSATAGSCTAACCSARPSPSLARDSSSAGLPRRDRTRRPTNTTRSRAARLNKEREKGFGQRGIEEGDR >Et_4A_034170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30426889:30429133:-1 gene:Et_4A_034170 transcript:Et_4A_034170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELLETFEAAKKAADAVAGEDDSPEADRCLDALRTLRGIRVNTEVLVSTQVGKRLRYLTKHPHSGIQSVATDLFGYWKKVVLEETGKKNGTTESERSNNPSPRGKSQPMKIEKNSSSVSVKIEHRDTDVRSPKPAPVKVESSRNDSKASVKIEKRDADVERVSKEVKPSIPRGPPKLTSLVKCNDATRDKIRELLAEAFSKVSEETSLDDREEVRNILDEVDACDPFRVAVTVESALFERLGRSTGAHKAKYRSIMFNLRADNNTDFRRRVLIGQVTPQRLPDIPPEEMASDARKLENKQIKEKALFDCERGGPPKATTDQFKCGRCGQRKTTYYQLQTRSADEPMTTFVTCVNCNNHWKFC >Et_3A_024700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23355978:23358813:-1 gene:Et_3A_024700 transcript:Et_3A_024700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKAAAYYDELNRKGEGARRFKQGLGFASSSDSASFPSKPAGSSSFLSGFVRAGAAPAPAPAKQPPPAPERPSSPRRRSRSPTRARSRSPSRSRRRRSRSRSRSRERRRRSRSRERERRDRRDSRRRSRSRSRSRSPSRRSGRSSHSESRRDQHGDRRHDDRGGRESSKGRSGRDGGKVDYSRLIDGYDRMTPAERVKAKMKLQLSETAAKDSTLGTTTVRWERFDFDKDAPLDDDNDVEVANDDSSLVKNIGKSFRLSAVESKHEDKVRAAHDEAIFGVPTYSILQPDTNEDELKTNDESDKSEDIEAQPSSSLVSDKRCKKGHGENGLRSCGRVEVHE >Et_1B_012030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28187878:28188488:-1 gene:Et_1B_012030 transcript:Et_1B_012030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAWTVSIYPPIDAFVQILLRLPTSSWRRFRLVCKQWRDVIDERPRRGKVRTKILAFVFGGCRASLAYVFEDKHGCTRHTWTYPRSQNHHGNVHMVGTGNGLLCLMELCRCNDSLHNPHHHATQSRTGDKLTLPQLLPSAKSRWCQGRDIFTFEYHPWMGQYKVVHVPCRLETDDFVLIFTLGDESWREAFPVAMATTPL >Et_10B_004453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:863480:866065:-1 gene:Et_10B_004453 transcript:Et_10B_004453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSAAVWAAHHAGCWAGSSSSRTRRLPLPLPARRGGASLASRPPRASSSLATAVAAPARTASEEAVYEVVLRQAALIEEGAGRRTKRTTAPRLPTRPRWAEEDGAARDGELIGWGLLGDAYDRCGEVCAEYAKTFYLGTQLMTPERRKAVWAIYVWCRRTDELVDGPNASYITPTALDRWEKRLEDLFEGRPYDMYDAALSDTVQKFPVDIQPFKDMIEGMRLDLWKSRYVTFDELYLYCYYVAGTVALMTVPVMGLSPDSKASTEWVYNSALALGIANQLTNILRDVGEDARRGRIYLPLDELAQAGLTEDDIFRGKVTDKWRKFMKGQIQRARLFFDEAEKGVAHLDSASRWPVLASLSLYRQILDAIEANDYNNFTKRAYVGKAKKLLSLPVAYARAVVAS >Et_6A_047859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21381075:21383710:-1 gene:Et_6A_047859 transcript:Et_6A_047859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKPYVIAIVVQMIYAGLLVVSKAAFNHGMNTFVFTFYRQAASSLLLLPIAIFLERLVTGTGYGLLTLSATLPRSSFGLFYVSLYLIALAKGADKPCGLAFAADQFDAKHPKERASRGSLFNWWYFCMAMGISVAVSVVGYIQDNIGWGIGFGVPCAIVLCAFVVFLLSTPTYRLPTAAAEGAHGHGHHRQSSPFVRLARGRRRTVTWKQKKRQKQKQGACFGCCPSGSVAWRTIMTFFNKQGRTLDRRLLNLELPPTALQAMGPVSILLFVPLYDRALVPALRRTTGNPSGLSMLQRVGAGMATSLAALVEGRRLATAQEHGLVDNPGATVPMSWAWLVPQYAMLGLADVLAIVGLQELFYDQMPHGLRSLGMALHLSINGIGGFISSLLISLIDALTTTTGRGSWFADNLNRAHLDYFYWLLAGLSAAELVLFLVFARSYVYNSKIISVTSQKY >Et_4A_035194.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:11464085:11464432:1 gene:Et_4A_035194 transcript:Et_4A_035194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSVAASLSVARGLGKPLAVGVGATSLRASPRSSRAAAVVVRAAAQKQQQPVKEWAAAAAVAAALVLPDVAEAATLTPSLKNFLLSIVSGGVVLVAIVGAVVAVSNFDPVKRG >Et_1A_008260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5813896:5819012:1 gene:Et_1A_008260 transcript:Et_1A_008260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSLEGGADGDGGCCGVGDTSPGTIVWVRRRNGSWWPGRILGQDELPPSQIMSPRSGTPVKLLGREDASTDWYNLEKSKRVKAFRCGEFDACIEKAEATQGTLVKKREKYARREDAILHALELERKQLASKHQTQGFRDGPHGNISACTKHHKDLGSTRYKSKKSKKRKDASKPSNVKQESGHCLLHAGSKRNFSESLASGNVVNNHMGGFSHMRGATSESKEKNAIVKMNRSDGSDFEDSLVSNSDRRRPLAQVLQSSAEFSHHLKQNGDHESCLIGENNDSSLATFRSKRSRYTYMHSDSGETHSHSDVPSLQIASVGADFETESNLQHPGAFSEEQTSSDFVEKRGTESSEREGSESETEDDAELLRSANVILPLESRAPDLSSRPVSHKLRHVDYDDNQMSYSTYMPQSNESEEEDGSSELGVSQWHMKGKRNSRNAGKRFVDIADGNTWLNKSCGSVKGSLHMTNGGNPRKEGMQTSGEQYLGQSFYQVKEEPVYDSDETDLVEDTGHSEVNLYHGKGYPSSLRTTRDLSRGYSYFNDYENESSKMTPVNKAADRIFRVDGKACPDGSSFYQGKFNSRSGGMGPMLFDVDLKVQASYQGEHVPLVCLMSRLNGKAIIGHPIQIEILEDGSTDHLVFCGDTSLQESTAAPAAWPTGRRTTMQRVPRLNPSGASLDGDYDSSLVYPDWEMKPSIRKQSALSNNQVKMDKKSTSKFRRSSTKSQKKSSKKASLSSQKVRTLSAISTGKRHHGGGGQTKAHWSSGIFGGLIKAEGAVPLVTCVPTKVVFTRILEAIGRPPLAVAHRARRASPSVRNPP >Et_2B_019745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13423353:13432101:1 gene:Et_2B_019745 transcript:Et_2B_019745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKYLQALGEERRPELHRQVGCVTGILQAFDRRHLLAAHKRLLPPGDMLLLRFVLDPWILSLPPTGPVLSNSPSVVGDRPRYSPQIALENNLSKTWSDNQRTPGAELSQTSYSSSPSSSLSSLDGNRSTQQDLSATDRMLFPERPFKSSLKLKSSFDSDNGLDYTDDALTNPDNMSAADSSLPILGIRNLVKDSIYKDSRDSSVRISTKEEVKGRPVSCGDAPRQLDGPPSMQGKSKGLMDINESLRMLAKLREAAWAPPESGHHARLSYDVPRFSYDGKEAASKLREVPRLSLDIKEGHIWNREMDLRSQPSMNVSGRSSSTINETQQEQPACKRLPSVVAKLMGLEDLPEKNENTAASQAGKAVDENKREPMLSPSSISSHKEPAPRQQRIQESTVRNIPNSKFPVEAAPWKQQERIVLPRRLPKGSKGAHGREQAAVSVFSDLETRLKDINFHQSNKDFRALKQILDSMEAKGLLQNKKREEASVLKSYDGSNDSKAITNANLGLNSKANTMWMTEENKTESSFRSPIVIMKPSKSSNLSSEVDSSVTPLRHPSDIPQLETCNSTDKRKASMINRTAKEQHAKSSPRVSTTRSFASYDRRSNGRNEESSSKQKPTSLLVSDSSFRGQQQPRDNSIGLQKNKSSTSPRLLQKKLDSERRARPPIPSAESNKSQRRTDRNNLDTVSPRSKIRRKPLHAQEGDDGMHSVSNNRTRSLNHQGNDMSTRSDGSMSVASELDLEATSTHKSAEMDISNFHQDIRTPSGRNPQKVETSYDASKDVSSADTSAAIVERPSPVSVLDYSFDQEDPFPTSKTSNSHDERHVSEETWKQSDTQQANQVMPSKNSKLANVASLLEKLQQLSVNKDDDAPPVDHIAFLCETESPDQRYLSEILLASGLLMKDLGSGQTGLQLHSSGYPINPDLFLVLEQRKAGWVSKPGGIHQSRNGAESDPKRAHRKLIFDTVNEVLFHKFEKETAVYSLGAFTRSKDPTSQVLSGQQLVKFLSSRIQDLEKERSRICHMDSSVIPDVEILHKLQGWTSFSRELPGMVLEIERSIFKELVDEVVHVESADGPQMKMAGRRLAHFATTHSTSTHIQSTTHIIDAVLHVRHGNRCSAWSAYAYIALLSGPIAWPVKAHQTASSSSEAAADAALLVVATKLSPSHAPACLPRAARTIARAALTNPRAAGTSARAARGSEEAAAARRRSKRCRM >Et_8A_058353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5593086:5594413:1 gene:Et_8A_058353 transcript:Et_8A_058353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGEAAVADAAGRAAPELGLGLGVGVSAVRREEGGSGSAVAAAAGGRWWAAASPEPAVRLSLVSSLGLQWPPSGSGRAEAPARGFDVNRAPSMSVAAGLDDDEDDDRAAAAALSSSPNDSGGSFPLDLGRRAARAEGAAQGGGGERSSSRASDEDDGASARKKLRLSKEQSAFLEESFKEHSTLNPCYAGSIPTLFFLALKLTNFIAGGKQKQKAALARQLNLRPRQVEVWFQNRRARTKLKQTEVDYEYLKRCCETLTEENRRLHKELAELRALKTAQPFYMHLPATTLSMCPSCERVASNPAAAASTWAPASSAPPAAPAAGPAPPREQHRPSSFAALFAATRSFPLASQPRPPAPASNCL >Et_8A_056481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10590355:10592496:1 gene:Et_8A_056481 transcript:Et_8A_056481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKCFCFSKGTEDTSQSESVVSNGAENSLLLVCNKLSSSVTDFHFCPRLALSIINIFRNSIGNGIWANTVQEAVLKIAKYLEDTEKPNRVIYFDGWDGVGASAVLKYVAKDPPPSLRSKFDSIMHIDCSRWKNRRTMQRAIAQELRLPEHVMGIFSRRDEDDDFAGVDEGSRDEIRSVGAIIYQALRGLRWLVVLHNGSNRAVNLNDFGIPQPDQWSNGMVLWTYRGKLRGIPGLQESLRQRRIEIMKATRLELVRLIPFIDQPYRKQFQPHRKQGVISYTFLLSKSLDTLFWTKILHEEATELALCTNKLGVTSEIAAAFCTYLFSLNSMGDDSLDFNWPTHASNYWVCDGITQECQQDKDWDLAVALHEQMRLRDFSSQRLSKVCGTERWIVATSFDVDVQPETTSFFLANKRREYWATEKENVEFSVQHIGQNVPNKMFQRAEQLHVLKLCHCFFSFSSPPFLHCRTIRFLGLDNCMDIDIKMGREESKEENEDMGMKKNEFF >Et_7B_055018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6540708:6542271:1 gene:Et_7B_055018 transcript:Et_7B_055018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HDREEDGDQSKTRQAKKARGTSAGCLLLDSHGYPYHSERDGAYANGGSSTWTAYAVTSRGARFQLFIHAQEPPGVSRLLFKADMPADILTPCNTIPYFLRGPRSVEFNLRVTSSDDKALLLQALCVGTDYFIMERLGGVSPPVLTRLPEMMPPCVHSELGLMRRNDGYVVAALQQAAPTEAWHVSFFSSSTNAWHRKAAHLAPELGNWSYWEIVAVLACGGRFWWLDLRRGLLSCSCDSLLLQEDDDLPIKSTSSSPPPLCDHCRGGSVQSWTLNDNRLAWAEDHTLKLADVWRDDSYGTTGLPREAPEFPLVDRLDPNVVYFSFIKRGGDGDSHVFGVDLRTRKVKSCSCSLNDHVYNALQRVMCTD >Et_3B_029447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25020847:25023834:-1 gene:Et_3B_029447 transcript:Et_3B_029447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGISICGSVASPRGTNCRTTCVARNDLRLPCEAYSVNYGACSGHWHVSKLRMRNYRRRMKTTMKANARWLFGGDGRTNDARLERSEAANEDILIFYFQMDLQTRIQYALNIEQFDVAKQLREKLTEIETEIIRQCEAKRGSSKTEAQDKALNLLRVRADLQKAIDSENYALAAGLRDEIAKLEAESLAVSAKALAYQNVKYAFRLGQKVRHKVHGYRGVICGMDPVCCESKSWMETANVEKLSKGPNQPFYQVLVDVYVDPELLVAYVAEENLLAAEASEKGRFDHPYIEFLFYGEDTAGDFIPIKQLREKYDQPRYEDSGDETDDNSDS >Et_2A_014786.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:14832706:14832732:1 gene:Et_2A_014786 transcript:Et_2A_014786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSGRP >Et_3B_027918.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26582973:26583779:1 gene:Et_3B_027918 transcript:Et_3B_027918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSVSATSASDPSSSPLYSFHLEKPVPPAPPQPGGTYVVQVPKDKVFRVPPPENARLFEHYTRRAKRRRRCSCVRVCTWTLAALLALAVLLALAAGSAYLAFRPRRPAYAVRALAVSGLGNASAAVSPAFDATVRADNSQNGRVGVHYDGGKSRVSVAYDGVALADGAWPAFYQGPRNVTVFVAKAKGAGLRLSPSVRGQMAAGERLRSVPFDVDVEVPVRLQLGKLRTWAMPVRARCTVTVDRLAADAKVVASSCHVNLRLLFWWT >Et_6B_049700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9041768:9047718:-1 gene:Et_6B_049700 transcript:Et_6B_049700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRLAPALSPLSPASAVLPFPLRPAFLLHPRPPSRCCLACPAVTPKVPLPIASPATIGDDPSKWDPAECEALLRGGEQVASVLQEMLTLMEDMEMDGAFEPVAVELVAQGVIGKRVDEMESGFLMALDYMIQLAQKDGDDERKSLLEVIKQTVLDHLTKKCPPHVQVVGLLCQTEKKESRHELLRRVAAGGGVFKNDKGLKCQIPGANLNDIANQADDLLESMESRDTIPDRKLLARLVIVREEARNMMGGGLLDERNDRGLTTLPEAEVNFLSKLVALKPGKALERMIRDVMHGKREGADNVEGSNAELHSEQEHLSGVSGRGSVSCRKPRPVRPGMFLETVSKVLSGVYASNTSGITAQHLEWTTANYVCTLPLLNL >Et_4A_035398.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2364230:2364418:-1 gene:Et_4A_035398 transcript:Et_4A_035398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGDGIVCVYDLPLLKQKGQIGCNGEARTISVRFARRHLTGDASGEVRVVKWAPRAEAEF >Et_7A_052848.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:208669:209151:-1 gene:Et_7A_052848 transcript:Et_7A_052848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGDDRGRVQEPDQICAGAVLQEQQRTRTKQPEKRSRVEVGLFFTLLGDRGRFVDDATGLVIPPGNGFRAALGLSEGGPLFGFTKANELFVGRLAQLGIAFSLIGEIITGKGALAQLNIETGVPINEIEPLLLFSILFFFFAAINPGTGKFVTDDDQQE >Et_6A_047534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:749285:752566:-1 gene:Et_6A_047534 transcript:Et_6A_047534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAFASHRPNFYVPYQFIMAHDEKLALKIQDDIVSISKDLDRVVAYYLKKNGVESPDDAKTNIIGLPPPKCYADDQKGYKEVDTTGDMLKDLVDSITDGRKSGYVSSEVSLLHQNQKSVFFTDEDDLTQLAEALKQNGYPCRLDGDGLRCNTISLRKKDFPASKRTLLTTTRDLLLTNAKHACEANNGDPRDRLYTSPVFVTREAPDDIDLGGMNIPKGTNVRIQIALVHRDPSVTCAGQNLAMIELKVMLPLLLSKFEFTLSPNFRL >Et_5A_042442.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1160771:1161496:-1 gene:Et_5A_042442 transcript:Et_5A_042442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTARALRLAASRPSATHRNLQALLLHRRPLSASSSTEAAGAGDPAVHSSDDAPSVFSGAEEATTTGGHAKQHPHQPSSEAIKERVPPFGPSGKLGTQELADAAAGSSFTPKRRLSSTSPAGRDAREEATPGAEESAARKVREEDREYYRTHKPSPLAEVEFADTRKPVTQATDGGAADRLADVGLGCTVEDTADDSLARAEAMFREAKERGIPELPHSRALAQMLVRRRQEGDDAPWGR >Et_10B_003101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16527065:16537917:-1 gene:Et_10B_003101 transcript:Et_10B_003101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWLARASSQLARRAAARGHFLQPRHGGGTRPPWFLGSSVPPPVVRSPSAAAVGGYRGFCSVRHFTGKSSSAAAASDEEPEDGFTDSDHQVLDFPGGKVAFEARMNFLPESHGERVSCYRVLDDDGQTISGSRFQEVSKEMARKMYSDMVTLQIMDTIFYEAQRQGRISFYLTSHGEEAINVASAAALSIDDLVLPQEFANQCFGNNMDYGKGRQMPIHYGSNRLNYFTVSSPISTQLPHAVGAAYSLKMDNKDACAITYFGDGGTSEGDFHAALNFAAVMEAPVIFFCRNNGWAISTPTSEQFRSDGIVVRGQAYGIRSIRIDGNDALAVYSTVHAAREMAINEGRPILVEALTYRVGHHSTSDDSTKYRPVDEIEHWRTARDPISRYRKWVQGNDWWSDAEESELRSRVRQELLQAIQVAERAPKPPVAELFTDVYDQIPSNLREQEQLLRDTIMKHPADYPTDVPI >Et_2B_020247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18294512:18301187:-1 gene:Et_2B_020247 transcript:Et_2B_020247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NYHEAVILKMTEFLELEAQDGVRMPWNVIPGTKLEAASCVIPVSAIYTPLKSIPEIPVLPYAPLRCRMCRSILNPFSIVDYVAKMWVCPFCFQRNQFPQHYSSISENNLPAELFPQYTTVEYLSSAETGPAAPPVFIFVVDICMIEEEIGYLKSALAQAVELLPDNSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKEQILEQMCFFAGKKMPTTGVIAGTRDGLSSESISRFLLPAAECEFVLNSVIEEMQKDPWPVPADQRASRCTGAALSVAVNLLGVCVPGSGARIMAFIGGPSTEGPGSIVSKSLSEPIRSHKDLDKDSAPLYDKAVKFYDQIAKQLVHQGHVLDVFACAVDQVGVAEMKVAIEKTGGIVVLAESFGHSVFKDSLLRIFQSADDSLGLSFNGILEINCSKDVKIQGIIGPCTSLEKKSPLSSDTVIGQGNTSAWKMCGLDRKTSLCLFYDIAKKDGPDSVGQSTSNQFYFQFLTYYQHNEGQMRLRSTTVSRRWVSGPGSELIAGFDQEAAAAVMARLVSFKMESEDDFDPVRWLDRSLIRVCSKFGEYQKETPSSFSLSPRLSIFPQFMFNLRRSQFVQVFNNSPDETAYFRMMLDRENVANAVVMIQPSLLSYSFQSGPEPALLDATAIASDKILLLDSYFTVVIFHGITIAQWRNARYHDQEGHEAFAQLLKAPHEEANAIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVSYNSGNPSPGGDVIFTDDVSFEVFMDHLQRLAVQ >Et_9A_062234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2091802:2094351:-1 gene:Et_9A_062234 transcript:Et_9A_062234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATRAPAAAVPCIHLCQSPATYRPVPTAPLRRSRAARLLQATSAPHRLRATAVRAQSATNPGYLPESEFYKIEAILRPWRVPYVSSGLLEMGIRGVTVSDVRGFGSQGGSTERHEGSEFSEDTFIAKVKMEIVVCMEQVEAVVDRIIEKARTGEIGYGKIFLIPVSDVIRIRTGERGEQAERMTGGLSDRMFLCATLPACCQVHACVNLFCKHWSIMCDAAHANQQMHPSQALCTARVCQVHSDAENLVTLSQSSFSLMPLQVQLGVFACKLLCLLCSPL >Et_2A_018022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9096546:9099898:1 gene:Et_2A_018022 transcript:Et_2A_018022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILRSAVKMPPKRQMGFVDVSGCNDSQADEFEDDDDDDFVSAPPWHRFSNESQNEEYYVDDEENSSDADEMAEVLYNHYLKKVEDLNNLKCKLAATINTKYRLMDNIRV >Et_4A_034864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7304442:7308734:-1 gene:Et_4A_034864 transcript:Et_4A_034864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVSQPPVAARASSRFLPRGLGAVPESAPASLRFSVSRRRRAARLEVKAAGNVFGNYFQVATYGESHGGGVGCVISGCPPRIPLTEEDMQVDLDRRRPGQSRITTPRKETDTCKILSGTHEGLTTGTPIHVFVPNTDQRGGDYSEMAKAYRPSHADATYDFKYGVRAVQGGGRSSARETIGRVAAGALAKKILKLKSGVEILAFVSKVHQVVLPEDAVDYETVSMEQIESNIVRCPNPEYAEKMIAAIDKVRVRGDSIGGVVTCIARNVPRGLGSPVFDKLEAELAKAMLSLPASKGFEIGSGFAGTDFTGSEHNDEFYMDEAGNVRTRTNRSGGVQGGISNGEIIYFNVAFKPTATIGKKQSTVSREHQDVELLARGRHDPCVVPRAVPMVESMAALVLMNQLMAHIAQCEMFPLNLALQEPVGSASSVSELAPNLS >Et_6A_048020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3631658:3632185:-1 gene:Et_6A_048020 transcript:Et_6A_048020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKHHHGLFHHKKDDEAVPGGEYGAAAVGYSETVATEVVTTGENEYEKFKKEEKHHKHKEHLGQAGVLAAGAFALYEKHEAKKDPEHAHRHKITEEIAATAAVGAGGYAFHEHHEKKKDHKEADEASGEKKHHHLFG >Et_9A_061380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10832969:10835530:1 gene:Et_9A_061380 transcript:Et_9A_061380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSVGITALLLLQLASSVLPDAVLGQKAVIVDENAPAGPGRYAVIFDAGSTGSRLHVFRFDRKMDLVGIGDKMEIFAQVKPGLSSYAGRPQEAANSLIPLLKKAKIVATAGLRLIGDEKTEKILQAVRDLVRTKSKFQYKCTILTGSMFLRDLKKDLTYGSPIVPDGKDSYVTREYLKGKEYNVYAYSYLHYGAFAARVEILKAKSGRFSSCMLRGFSGNYTYHGEEYDATAAPEGAAYEKCREEITNALNLNAPCKTKSCTFNGVWNGGGGAGQDNLYLASSFYFLASQVGIIDRKFPSAKATPASFRLAAKKVCRLSVKEAKVAYPNFPNTGVPYLCMDLTYQYTLLVDGFGLKSIKIITLVSKVKHGEYYIDAAWPLGTAVEAVSVRRELEDA >Et_4A_032912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15508095:15508415:-1 gene:Et_4A_032912 transcript:Et_4A_032912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFSTNCVWFGLSRVLKEAEANRLKLTPEYLELRFIESIANNSKIFFGDKIPNMIMDQRLLKNYLDDIPRKDLSEM >Et_4B_039130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8071403:8074205:-1 gene:Et_4B_039130 transcript:Et_4B_039130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNCSAPPSLLLPFGDDYGTFCHPSILVLLAKLQSVFSGIRSRLFEVRKLKFTGRCCRSGQDVSFVLMIDTWLAAEYDLCHALSSAPSFQTLQAPMLFPVHGSHNYFGKLFCMSTMSSFLPNCTIDHELGIEESSIYNREARPAFSHQFGCTQPTTAVHPVKWMAAGETMTGDGSSLRGSKRLKTTMAATAQGPHRGLRCNAKPRNQTVKAPSKRSQKLGDKITALQQLVSPYGKTDNASVLHEAAAFIKHLHEQIEDSGEEEQGATDLRRRGLCLAPLTRAVVQLVSSGAARGHLDMAATEDCWRQLGTL >Et_7B_056041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9974211:9975633:-1 gene:Et_7B_056041 transcript:Et_7B_056041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHACFHGGGSGSRITKSVAVIRDLTKSVRHPPPFHLSHCSALACGCVNVLSSRVQMKAMTLKVKGGGGNRARARRRRRKPATEDEERQLDASSSASSSAKIAPAQPHEEEHNDGGGEKHVHCDKCCSPLDDGLAESGTAPADGSDGEWVAEPEPGVLMTLAPRGDGANHLRRIRFSEDHFPDAWAAQAWWADNCDRIVELYSVVVAVRSEHSSHGGDDDDPAAPVTPCQSEDEEHQRPDGELEYSASCSASASASGGSTSNFSGPSSGSGSANKVDSPILGLVTELNSSKRSPQAQHNQKKTDPEQ >Et_5A_041752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26778739:26782447:1 gene:Et_5A_041752 transcript:Et_5A_041752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSVELDASAAAGGGKVACAAWIRRREEKGSARVFAAYGRGPAIEVLGFDSKECTLNPVPLVRKRPTLLQQFDLSALLRSVALQARAVLGEGPADAPRGIAVHPAGDELVCATAKGCRLFKLIFEEFTVRIIPRDASPLESVGPQKCLTFSTDGTKFAIGGEDGHLRIFRWPSMNVLLDEPKAHKSFLDMDISLDSEFLVSTSLDGSARIWKIDEGAPLVNLTRLPEEKIERCRFSRDGMKPFLFCTVAKDTKVVTVVWDISDWKRIGYKKLLGKPISTLSVSMDGKYLALGSRDGDFCAVDVKKMEVCHWSKKVHLGSPVSSIEFCPTERAVISTSRQWGAELTKLDVPADWKEWQLWLVLLALFLASAVLFYIFYERSDSFWKLPSGQHQASKPWSVLKESPPVPEDQTPW >Et_2A_015621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16063283:16068135:1 gene:Et_2A_015621 transcript:Et_2A_015621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWTILAAVEAALARRRRLRRHQRSLESVPSRDWAELPPDLISSIFHRLDQVEIMLSADKVCRSWRLAAREKPELWRCIDMRGPEGLAGRGLADAGKMAADTLPRAVRGVLGVGTGFDDNFLLFLAHQYDSSFRAPLLKSLILIRCGEISRLGFREAVKRFPLLEELEFSKCRHVDILEALKDVAMACPRVKHLILIDTFYMFFECYPNDLLGDREAMAIATMHELRSLQIIYSDITNQGLEAILENCLLLELLDIQKCRKIIMDDALRPMCARIKNMKLLVYDRNAKDYFRGYEAVCSPTIGCSTCESHLQHGFRGYRRQWSISEVKDKEDMVIDIVDDLHSLKLYLKDLTTQGLRAILEKCPYLESNDILQCRNIVMKNAQGGDKSPLWRITTNKLTTRLLNTDNYGYCSGFYRINHEGLNKRNCQNIILENALGDTYSPSSLRKKVKNYRRKTKSLTTEQFKKNFYSMESYREELQPDGSDIGECSTCLMIEYLSWKVLVDSDEHSDYYDPSYGLNSKSSFDVYDKMLHKRLRSRRRRRRHNKNAAPRRERDWSELPLDVISYILGKLDVPELLAGGAPAVCRSWRRAAREDPTLWRHIDMRDF >Et_9B_065104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21239082:21243248:1 gene:Et_9B_065104 transcript:Et_9B_065104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTMAAARTWVLLLCLASVAVTGVLQSHAQLDSIGFISIDCGLPGTETYVDNTTKLLYVTDAAFIDDAGSNHNISAEFMSPRLSKRYHNLRSFPDGVRNCYTLRSLVAGLKYLLRAIFLYGNYDGLNRPSVFDLYVGVNWWTTVNISDADTPEIFEAIVVVPDDFVQVCLVNTGSGTPFISGLDLRPLKSIMYPQVNATQGLVLLARKNFGPTDLTVENIIRYPDDPHDRFWIPWIATTKWATISTKRRVENLGNDIFEAPSKVMQTAITPRNASRNIEFSWDPEPQPKDPTPGYMGILHFSELELLPSNETREFYVNLNGVPWYPNAYSPPYLVTDEAYNSNPSRGFTGYNISLNATANSTLPPIINAVEVFSVISTTNIGTNSQDVSSIMAIKAKYKVHKNWMGDPCVPKTFAWDGLTCSYTISSPPIITGVNMSFIGLNDDISSSFGNLKSLQYLDLSHNNLSGSIPDSLSQLSSLTVLDLTGNKLIGSIPSGLLKRIQDGSLNLKYGNNPNLCTDADPCQTSEGKSKLAIYIAIPVVMVVVIVSVVVLLCCIMRRQKRGRRNNAVKPQNETPMSRVPTGDDAAYTQSSLQLENRRFTYKDLEMITNNFQRVLGRGGFGYVYDGFLENGTQVAVKLRSESSNQGFKEFLAEAQILTRIHHKNLVSMNGYCKEGDYMALIYEYMPEGTLQEHISGNGHNGRFFSWRQRLKIAVESAQGLEYLHKGCNPPLIHRDVKAANILLNAKLEAKIADFGLTKAFSRDNIESHVSTNTIVGTIGYIDPEYYSTMQLTTKSDVYSFGVVLLELTTGRPAILKDPDPISIIQWARQRLARGNIEGVVDPRLKGEHDVNSVWKATDIALKCTAQTSAQRPTMTGVVAQLLECLELEDAHSGSGDANSSFYTAGSTPYSGYNEYPTDGQFTDMSESSTAFEVEHNFGRVPTMPRGPAAR >Et_7A_052950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3186924:3197363:1 gene:Et_7A_052950 transcript:Et_7A_052950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKRKPDPAESAAAAAAEPGTAKVASSESKPRGTIYFPINDDPPEPTAAAEDEEDGVAGDEEEEVEDIGKLLEPLSREQLVALLRTAAEASPATMAAVRRAAESDPASRKLFVHGLGWGAGAEDLRSAFSRFGELEDCRVISDKQSGKSKGYGFVLFRSRRSALRALRRPQLQIGGRLAFCHLAASGPAPPASQTQNPSSNANMNSNSNSGNSNNASGSSSSQPDNMQRKIFVGNVHADVDVDRLYQYFSQFGEIEEGPLGFDKNTGKPKGFALFVYKSVESARRALEEPVRNFDGKMLNIQKAIDGRTKGGSGANANANPNTTAAAAATAAQMVAPASAAISPYDASVYGTTAVPDLGFAQQAAMLGLGAQQQAFAQPNAAMFAMMAAAMQNPAMLAAMNPLAAAALGAGGQQAHTAGLTGFGAQGFGTQFGAGGAAYPNAAGVQGATTPFQAAAPPGFQAVQMDTMTPAALEAAVALHACAGDTAVVEKLLPAIVAEGPICHMPDFKMSEKKSDEFAPIDAGDEDDGGDDGDEDANTYG >Et_5B_043369.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:9375317:9375499:-1 gene:Et_5B_043369 transcript:Et_5B_043369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRKTRKQGSVEFQVFSFTNKIQRLDSHLKLHRKISSRLLRKRQYLLAYLAKKIECVVIRN >Et_4B_038471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29213997:29218604:-1 gene:Et_4B_038471 transcript:Et_4B_038471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALAPGALQPPQCHSRRSSTPRVRQAIAGALRTRRRARGSLSSRCEAVAADERSADPSIPEGQSRAISGALERPEADVVVIGSGLGGLCCAGLLARYGQDVLVLESHDRPGGAAHSFDIKGFNFDSGPSLFSGFQSRGPQANPLAQVLDALGESVPCASYDSWMVYVPEGQFLSRIGPTDFLKDLETFIGAEAVQEWKKLLDAVIPMSAAAMALPPLSIRGDLGVLSTAAGRYAPSLLKSFIQMGPQGALGATKLLRPFSDIVDSLGLKNPFVRNWIDLLCFLLAGVKSDGALSAEMVYMFAEWYKPGCLLEYPLGGTGAIIDALVRGIEKFGGRLALRSHVEKIIIENGRAVGVKLQSGQVVRAKKAVVSNASMWDTLDLLPPEVVPKSYKDKVQATPQCESFMHLHLGFDAENARDDLGIHHIVVNDWNKGVDAEQNVVLISVPTVLGKGLAPPGKHVLHAYTPGTEPFSFWEGLDRKSADYRKLKEERSEVMWKAVELALGPKFNREKCDVKLVGTPLTHKRFLRRNRGTYGPAIKAGEATFPGQATPIPQLFCCGDSTFPGIGVPAVAASGAIVANTLVSVSQHSELLDAVGI >Et_1A_006451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22659152:22669149:1 gene:Et_1A_006451 transcript:Et_1A_006451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRCLSLLAPLLFSTVLLISSTTPVLSYINPGESFGQENKDHSSPYRTHIVLVESPPHVADADDVVAQHRRWHESFLPSPVTDSGEPRLVHSYTEVFTGFAARLTDAELDEVAKKPGFVRAFPARTLRLATTHTPAFLGLTRGTWFWWDAHYGKGVIVGVLDTGIDATHPSFHDGGVPPPPARWKGSCAAGIRCNNKLIGARSFIGYTNDDDASDAIGHGTHTSSTAAGGFVAGAAASRDGLAAGTASGVAPGAHVAMYEVCTDRGCEAFDILAGLDAAVKDGVDVLSLSISSETSAEFDVDAVAVGAFGAVAKGVVVVCAAGNAGPSAESVVNDATWLLTVAAGSVDRRFPAEVRLAGRGAGRVSGEALSQVKFARSKLYPLLYSDERSYCDYSGHNVSIAGKIVVCTAVHGESQNSTISALKSAGAAGAVLINDDIDGYTTVLRDYGPGVVQVTVADGNKIKAYATSARKAAASVTFNHTLLGVRPSSTVASFSSRGPSETSPGVLKPDVMAPGLNILAAWPPLAGGGAGRFNVMSGTSMATPHVSGVAALVKSMTTADAVDHAGGQFVDENHKKAGSYATGAGHVNPARASDPGLVYDLGVPDYAGYICSLLGNGALAAIVRNASLSCATLPKTPEAQLNYPTITVPLLPAPFTVNRTVTNVGPAESTYTVEVDVPNSLTVRVSPGRLVFSKIGEKKIFSVVVSCRGAVGHAVVEGSLRWVSGKHVVRSPIVAVPGLGRPLSSITVSQVDVPESLAVRVSPERVVFSKIEEKKMFSVAVSRRGAVDQAIVDCSLRWVSAKHVVRSPIVAIPGLGRPLSSRTVSQVAADPGLVYDLGVSNYAGYICSLLGDGALAAIVRKSSLSCDKMPEEPLNYPTITVPLLPTPFTVNRTVTNVGPAESTYTVEVDFYDRRRILSSTPTSAATVITPDIIVSTAGKIVVCKPVHGESQKPTISALMSAGAAGAVLINADIDGHPTVAGDGHWRGTAPSPPPSRCSTRCSASARPRRWPRSSPTALARRPPAHPGLNIYICALAAIVRDAAQDSRIAAELIDITVPLLPTPFPLDRTVTTSGRRSRRTLWSKHRGEENVRGGEPPRRGAVDQAIVEGSLRWVSGKHVVLACQRTVTCLGDPLQATVGHICFAALLSLFSQKMLYSANYVL >Et_5A_041873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3722064:3724944:1 gene:Et_5A_041873 transcript:Et_5A_041873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDDHTDYCDFNDNSEFSVPSLCEMEEPRKLMFWDRILAGLEALPESLVENNEAVQGEAGWKKSELDRLGDLDRLLWKKKSDQLLEADEKRRMENGFDETGLDKSDSLVQQAIEFRESWNSKWHDLYGRFDDVSRHRRLPAPAMLYRFIQPRLQQTERAYNGRFMCLAWLPYATLLIGLATLSSTGEEMSAKPSLKSADPSHALHISTGTAACPPLQCSAAKVASISVGLQWPLYVFGMVAIRDSIDRNRNLIFYRRRENCQTLTEKLKVKGAVESDDRYLSYLAVPLTRSSGYGSSSFEYISKHSTLELTVGEVGSAVEAAIFFQVVAGSWPDGFHGQFAASTRGIDHRKVVLLNFGDHKVSAIDKHGYVDLSRQVVPVEVCGELRVSVEGWKDGCKVVEEEVVFTPEKAGRSYGDLRLGSCKLQVLVAWSLVLPEPQPDVPDTFEGYLDESLLKVLERSVNDKSKMHEYKLYLFDRFDLVIVKWKSSLPGYYYRRRCLTCDVVGCLAATHANLKVSKSSVL >Et_3A_022999.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:1533609:1535186:1 gene:Et_3A_022999 transcript:Et_3A_022999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATIDRPRPDSQQAPRQFIGRSEAWRAADVADEVAQAGRELRPEEVVVLGGAAGLAAHLHDEQLRRELVDLRGDGQRAVLALARALDADRPVVHLAHRHARRRRGALERRQDLLHRRRRHKPRRRCGVVARLFLLLLPAATVLDVVEQAREVEPPGEGDDVERIQARPAPAEPVGPGFQVQQRRRRRARRELVSRFLVQAVLSWRVGVGRRACGRRVVDVAVQVEAGDGAVVLDAKREAAEPDLEPLVLHDVFGGGAALRVGVEHAAEEEGELLGEPLGAPELAPVDLAVHAHEVPVLEREVPGHEHVQHDAARPEVRLGRVVSLAGQHLGRHVRRRAAEGVEQPVVPHLLGHGGEPKVGDLEVAGVVDQEVLGLDVAVVHPARVAEGHRGDQLLEVAPRVVLPEPALRHAREQLAAAHVLHHEVDAELGGHHLVQLHDVRVAHAAQHRDLALDVRRQPAAEDLLLLHHLDRHLLPRAHVASVVHLGEVALAQQLPNLVTTQQQRRLLRLPAVAGSLACCHSHH >Et_5A_041416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22328389:22331788:1 gene:Et_5A_041416 transcript:Et_5A_041416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMPGKITRKGPRLQSRWSQVPPRAQRTAAVQLCVYRGGVGSSSCSSVMGSQTTRPQVLVPISEEDAAVAGVKRAPEEAVGLRQAAGSRGHEAGGVDAPSAVFASEENPSIGGVPCNSRNRRRKRGSETRRHHRLQTRAAWMRRAGSSGGKRRRTSSISSSGSGSGGGGMAAEPRGWVVGCGGLDKARGPVVLAFGLAQQWTPLVSDGHFHLDSALQLVFYYCAALKEVNKCMPKQGLPVLKVQRGWRSADTDVVTDGSGSEIQIEACLSISSDTAYVASTSIEVHIDLNQLTFLTEDKKIQLVPITEKKKIGRAIPDHTIRRFQENDTYAICEADDVRRGEEGIIREQLLELDYSALYPFTTHFRSNFPRPQAEFGLMHFGESQPAVLGSCKRSALPIGAFVG >Et_4B_036596.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:8153665:8153769:-1 gene:Et_4B_036596 transcript:Et_4B_036596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVGVCLAHTEAHVCWIYIPTHLGLEESIRLVQS >Et_9A_062814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5254646:5263570:-1 gene:Et_9A_062814 transcript:Et_9A_062814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSGSKGSESAAGGLGGDGGSSEGGAGEAAWHGGAQLYVSLKMANAQQISGDLVPHVCGSEPIIGSWDHSRALAMERELASMWELSFVVPPDHETLDFIFLLKPKGAATPCIIEEGPMRHLTGGMLEGDSRVALFKLNGDDMVLEFSVFNKADVVSPLDLAASWRVYKENFQPSQVRGIPDISINVAPTPSTEDGPPASLELDLEHYVVPAPTAPPEYAANLAANPASLIQSGANDFLLRDGIYSSRSVAEDFEVHGTHQKNVEALVVDYSTKVQTSGLTESKSVGTFTPLQMQDGQKGLFVDRGVGSPRLPKSASACSMASGLSFGSAKTMPEAAGAVAAAAVADRLHGSKEDRKLAIVLVGLPARGKTFTARKLTRYLRWLGHETRHFNVGKYRRLKHGANLSADFFRDDNPEGIEARNEVAALAMEDMIDWMHGGGQVGIFDATNSTRKRRHMLMEMAEGNCKIIFLETICNDPNIVERNIRLKIQQSPDYADHPDFEAGLQDFKERLMNYEKAYEPVGEGSYIKMIDMIKGQDGQLQVNNISGYLPGRIVFFLVNSHLTPRPILLTRHGESLHNVRGRVGGDTVLSENGELYAKKLANFVEKRLKSEKTATIWTSTLQRTILTASPIVGFPKIQWRALDEINSGVCDGMTYEEIKKIMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLREVPDIEMPLHTIIEIQMGVKGVEEKRYKLMD >Et_2B_022028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8178552:8191222:-1 gene:Et_2B_022028 transcript:Et_2B_022028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASRGTMICADLGCSSGPNTLLFVSEVMSVIRTYACDQEMDNRHAVEVQFFLNDLPGNDFNLVFRSLEQLQNHIVGKENPVAPPYYVAGLPGSFQHEALSQPERPFLPFILLPHVALQGIHVPEDLSRGTYLNEGNIYIGKTTPRMVDFELFLMLRYTELARSGRMVLTFLSRKSEEMLMHGDVGRMWELLAEALQSLVQKGSVEKEKLRSFNLPFYAPSVNEVEALIKGHGMFNIEHIRLFESSWDPHDDSDAEAVLDCDQSGENIAKSIRAVVEPLIMDHFGGSIIDDLFLEYASIVANHLKKGKAKYTVVVSARLLLHQLIGRGTIPCYHGEVIMARQPISILIVKRATSTANLSASQACGAEEGDEEGRGPDLEDGLAEPAGGDLERAGPAVAERPGAADPEPHAAAAAQHLGGQRGGPRREQPVGVPAVDVERRAGVGVDEAGAGAGREGHPRLQPRHGDLEAARARAGGGGAAARKPAEVGDRQEEEQA >Et_6B_049524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6053340:6058023:-1 gene:Et_6B_049524 transcript:Et_6B_049524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFSYRKFLTNMKQINAWVARATRNLITEVFNPYGGNPDTVHVVANAIYFKGGWRNPFKKENTVDREFHRLDGSSVEVPFLQSWCYQYIACHDGFKFRLPKFKLTYDSNIVGDLESLGLRLPFSMDANLTDMLLDEDDREVLVSRVVHKAVIEMNEEGSEAAAVTVESDDDMGFSLYDYDEPEPEPVVNFVADHPFAFFIVEETSGAVVLAGHVLDPSNEEACKAGQAALAARLIKHFSDVNANDGDNLVFSPLSIHVALALMSAAGDTLSEILAMAGAPSRDDIAAFVRATVIDLVLADQSGVGGPSLSFACGAWTDKRWPLRPSYVDAVVGTFKGNTWAVDFRHKTVESAKEINAWVAKATRNLITEVINPMILSPDTIHVVANAIYFKGEWFNPFKKVDTADHKFHRIDGSSIDVPFLQSWDYQYIACHDGFKVLKMPYQVMADYNWEQYRSLPKFAMCVFLPDARDGLTSMVKNIASSPEFLHTHLPTKSVRVGEFQVPKFKMTFERDIVDDLKQLGLSLPFDWEKADMGNMLLEADKRSLYVHKVIHKAVIEMNEEGSEAAAVTAELDDDCGFSLGFGDEKPQPVDFVANHPFAFFIVEEMSGTIVSAGHVLDPSKEQEHHVKSFLGSGHTGIELFTI >Et_7B_055911.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7262051:7262728:-1 gene:Et_7B_055911 transcript:Et_7B_055911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDTLDKLVVFLAKRDGIDKLVKTFQYVSKLAHWCAESSHPELARRAKTWETASGLSRKAFRSGRFLTGFNAVRRARPGELGALALLANAGEMVYFFFDHFTWLSRAGVLDPWLARRTSFISAFGESIGYVFFIAMDLIVIRRGLRRERRLLLREEGGKDRDEEVKRIRADRVMRVMATAANAADLVIATAEVEPNPFCCHAVTLGISGLVSAWAGWYRLWPS >Et_2B_022237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14896200:14900028:1 gene:Et_2B_022237 transcript:Et_2B_022237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTPCDRSAKPSKKRKRPVDVPQESESAPSEMEKGKEDKGVETQKRKKGRGAGILTDKLFSDLPISELTARAISEMNYTHLTEIQAKSIPHLMSGSDVMGAAKTGSGKTLAFLIPAVELLYRLGFSPSNGTGVIVICPTRELAIQVKEFTKLGQGQDRKLIYVEVDDTMDYVVQYDPPDEPKDYIHRVGRTARGDKGEGRALLFLLPEELKLLIYLKKVAASFGFREPPKVYLGLNSSASKHRNKKKLVHGGKRHGIDPSNPYGRNGGSRRFARF >Et_2A_017408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3485595:3485852:-1 gene:Et_2A_017408 transcript:Et_2A_017408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNEPATSSNLLCSNSELRELHTLTQWARRGGGEAEGARHGDHSAEPHRVIDGAPPAEVAGELTMP >Et_7A_052959.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:3443145:3444173:1 gene:Et_7A_052959 transcript:Et_7A_052959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADRPLLVVHDPKEGHLVYDLLLLEEEEDGDEALVCLPRPVAHFPFPLDTSAAFAVAGGSILGVRYDFWSDTLFHDSVMQFGGYDLYDKSPYSRRDAEGRVVRCSPKTRIIDGWRGFGSYKDMPAMIPMGDGTVVRMDTVLFHGIYVFERFHRRLLPGDGGGWHAVPLPKPPIGPLGERECTFASAYVAIGARVWISVDRKGTFSLDTERGEWRVEGTWKLPFEGRALYVPELGSVIGLAAKTMFLCAYDIKTGTPPVIRHEWRETLPRPHEECSVISGETTQREVSRPRDMPSLAYLGNGKICICRPMTTKVLNLYFGPRITYDACSFLTVELKRLPNG >Et_10A_001567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5138671:5144124:-1 gene:Et_10A_001567 transcript:Et_10A_001567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHSSGLDRTNAKKPINANCQEKIQTGFGQTKILEFTYYVSHYHPDEDVIGLDSDYHGQDKLRIVKALSALPYHPVFPVSGDASSSLSSPYPRVVPQITDRFGSRFVQDKIATATPDEKAMVFVEIIPHVAELVTDAFANYVVQKLMEDGSPSHKRIITECLTGNILRLSCDKHACRVIQTAIEIGDLDQKIRIAKELDGNIIRCIDDQNADHVVQKCIEYMPQHHIPFIYQNMYGHVVELSAHRYGCRVIQRVLEYCNDPSIQKIILSEIMQQIYWLAKDQYGNYVVQYLLQHGARPLRSAIIKVFAGRVVGMSRGKCSSNVIEKCLIHGDYVEKQLIINEVLSSPDALTVMVGDPFANYVVQKVMETCDDWQLQMILRRLKTHLGELRGNAYGRHIVAPLVKLIQTGERTQTPPRLLQQARNAPTVAGRH >Et_2A_017649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4584893:4590672:-1 gene:Et_2A_017649 transcript:Et_2A_017649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRKRLMDCFGCGGGERPEEQEAAAPPRLGRKKPELRRWCTANLRSLSLQDLSRKLSETRLHAFTLDELRAATKNFSAANKLGEGGFGPVYKGFVDGNLCPGLEPQHVAVKYLEGDGVQGHREWLAEVVYLGMLSHPHLVNLVGYCYQDDHRMLVYEYMPKGSLENHLFKSGLLASLPWSTRLKIAVGAAKGLAFLHEAETPVIYRDFKASNILLDHDYTAKLSDFGLAKEGPSGDATHVTTRRRRAREQNLVDWARPYLRRGADKLHRVMDPAMEMQYSQRAAHKAAQVAHQCLQSVPKARPTMRDVVAALEPLLALDEDVPMGPFVFTVAAEADAKPKPAAQVEAAAVASANDDEEADLAGSRQGKRHVMSAVHAESPLSSRYATAVKRPESPPTLSRAADVYSFGVVFLELLTGLRSVDKRRRARKQNLVDWARPYLRRGADKLH >Et_3B_030838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7954282:7956200:1 gene:Et_3B_030838 transcript:Et_3B_030838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDPPPREEAAAPAPTPTLKRSSSIDRIPEDARRILCRLAGELWGNDVDPDALTVSQLKGAMTNEVFRITWLGGEGDPRKVLVRIYGQGVEVFFDRADEVRTFECMSRHGQGPRLLGRFANGRVEEFINARTLSAADLRDPEISALIAKKLREFNDLDMPGPKNVSLWQRLRRWLEEARGRCSEEEPKEFQLDKLGHEIDALENAFFNPVAFDIANHFCEMAADYHTATPHVLDFTKYPGIEEQRRFVQNYLSSSGEQPSDEEVERLLNLIAKYSLASHLFWGLWGIISAHVNKNIDFEYKEYARQRFDQYWQTKPTILGH >Et_4A_034149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30267655:30270674:-1 gene:Et_4A_034149 transcript:Et_4A_034149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAPAAGAPSTSDPAAEKKPIVVRVKRKPSQTRPDAFWLEINERPTKKAMLDFSSLSISEPSSSSSSAKASEEPRVKKLLVQHIETVHYSEAVGDVVHSILLADSNTREIKSKTKEWNDRIKQDKKQDQLRSAARQRHEDLGRNARFAQIWSRRKGDKNEGDESLREICHLYDAIQGAVLCNFLPLLREYLPSAAEEIESDIISLAPSEESEVYDIYTVKEVDDIDMEDTAAASYPRLQVDDDDEGECYDDDYPYDTDDSNAEDNPLYDYPEEASEDEDDGSDDEDPFGDNEGSDSQYEKEEVEAEEDE >Et_10B_003334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18965699:18967576:-1 gene:Et_10B_003334 transcript:Et_10B_003334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMSKMRPGLASDAVNLAFIEEVTANADAVQGLVLSEILGRNGESEYLAKKCGLSSSDSDRAAFRAKVPMATYEDLLPYIRRIADGERSHVLTGAGNPVTGLFTSSGTSGGERKMIPTVEDEVDRRYLLEGLFTTVMNQHVPGIDKGKSMYFLFVSSQSKTSGGLTAGTVMTSYYKSKQYEGHAYPQNNTSPTAAILCEDASQSTYAQVLCGLCQRLEVMHVGAVFAVALVRAVRFLQDNWDRLAADIDAGELVNPSVVTDPAVREAVEAVLRRPDPGLAAFVRAECGKGEWAGIIPRIWPNTKYLGTVVTGSMAQYRRLADGVRHLGRIGGRLRAQPQPAVRPVGGVLSYTIMPNMAYFEFLPVVDDGDDARDQLVELAKVEAGKEYEMVITTYAGLSRYRLGDVLRVTGFHNAAPMVRFVRRRNVLLSVDVDKTDEADLQRAVERASALLRPQYVVEYTSRACTAHIPGRYVIYWELRFDEQDVLERCCLEMEEALGSVYRQKRVEDGSIAPLQIRVVRSGTFDKLLDYAISRGTSIAQYKVPRCVTENPPIIDLLDSRVVSTHVSSALPHWAPDRPSVNY >Et_1A_007706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37476995:37480106:1 gene:Et_1A_007706 transcript:Et_1A_007706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAERPYPPIDPPPQPRGDRPGVAGAPESPARWDDDGDGVDGLAGLRIFDQEVDEPPAENCVASNPDTTYTATANGCSTEDANKNLVEKEPGKNFFYGDPLHEHTGIWVPVSVPPMTEKDREEWHKGFGRIGGYFPDEEFGWELGEDEKEMTMWDVFSEMVVAAKDKVISVASYDLGRHGMSVMSNFFLQEAWKDMAQTLADANAGIANELLETEPTKWLPDSAATSCMLCGVRFHPIMCSRHHCRFCGGVFCGGCSKGRSLMPPKFRTSEPQRVCDVCGVRLESIQPYLMNEVSRASQLPTQDVTDLSTLRSWLNFPWGHTMEYEIYKAANSLRSYCKVGILKPEKAIPDAILKQAKGLAMVTVAKVGMMVTYKIGTGLVVARRADGSWSPPSAISTCGIGYGAQAGGEVADFIIVLRNTDAIRTFSGKAHLSVGAGVSASAGHLGRVAEADFRAGDGGYAACYTYSCSKGAFVGCAFNGSIVSTRDSENARFYGGPVKGSDILLGSMARPPAASPLYKALSELFDKIVK >Et_9B_063741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10194225:10194599:-1 gene:Et_9B_063741 transcript:Et_9B_063741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGSDAFRKGKYPRCTQ >Et_2A_015631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16172218:16189989:-1 gene:Et_2A_015631 transcript:Et_2A_015631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSCLLAGARAASPSLPSSRRQYHRPLAALSGPLPPSERWRRGLRFCCASSSSSSPPPPVPPEDSSDFELWETTGNCDPLCSVDEVSSQYFEDNYKPKNDLRKALTIFATALAGAAAINHSWVAANQDIAMVLVFAIGIIFEESLAFNKSGVGLLMAVCLWVIRSIGAPSTDVAVQELSQTTVEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNISTRNSKTLLWVIGIVTFFLSSVLDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQITTLKIIQGLFIPSVVSLAVPLALMSFTSEANGSSQKSSSLVSSEQMAPRGQLVLAVGVGALVFVPVFKALTGLPPFMGMMLGLGILWILTDAIHYGDSQRQTLKVPQALSRIDTQGILFFLGILLSVGSLESAGILRQLANYLDANIPNADLIASAIGVASAVVDNVPLVAATMGMYDLTSYPQDSDFWQLIAFCAGTGGSMLIIGSAAGVAFMGMEKVDFFWYFRKVSGYALAGYAAGIFSYLAAQNLHLSLPTSLAEIPFISGS >Et_4A_035354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21087786:21091059:-1 gene:Et_4A_035354 transcript:Et_4A_035354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFKSHEGFGQVAALNQASNGAGAPLPWWAGPQLLYGEPAPLSPEETRRDGQFQVVPAAQGSPDPAPPSAKRAAPEVLKFSVFQGNSDSGSKGDKAPDHSTTIALQSPLPEYNGRFEIGLGQSMVSPNYSGPDQCYGLLTTYAMRSMSGGRMLLPLNAAADAPIYVNAKQYEGILRRRRARAKAERENRMVKARKLPPFMSTTFPPTVTFLITLLIMPQPYLHESRHLHAMRRARGSGGRFLNTKKEDHGKETEASGGNKMATHALPFSYSPQLEPSNNQRHCHSRSSGSSGLSGSEVSNMYEHDDADHYNSSIEHLRTPFFTPLPSIMDGEHGAAAIPSFKWASSDGCCELLKA >Et_7A_051866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25698794:25701082:-1 gene:Et_7A_051866 transcript:Et_7A_051866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADVQMGGAETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIRLVPDKASKTLSIIDSGVGMTKSDLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVVVTTKHNDDEQYVWESQAGGSFTVSLDTTGERLGRGTKITLFLKDDQLEYLEERRLKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDDDSKEEKEEGDLEFKAILFVPRRAPFDLFDTRKKLNNIKLYYGWTANMERIMKAQALRDSSMGAYMSSKKTMEINPDNGIMEELRKRAEADRNDKSVKDLVMLLFETALLTSGFSLDDPNTFAARIHRMLKLGLNIDEEAAEEDADMPPLEEGAAEESKMEEVD >Et_2B_018973.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20227353:20229800:1 gene:Et_2B_018973 transcript:Et_2B_018973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAGTRVVALQLPGAGLAGQIPPGTLGNLTALRTLSLRLNALSGGIPADIGGCAELRYLYLQGNRLDGEIPAGIFELRLLQRLDLSGNRIAGGVSPAFNKLPRLATLYLENNSLNGTLPADLDLPKLQLFNVSNNGRLTGPVPASLAGRPAGEFAGTGLCGGPLSPCSNPAPPSPSPPAPAPPEGGKSSKLSTGAIAGIAAGGAVALIAAIFFLCFRHHHQRSKADRSSPETAGADDDLDGSPASVTVASLSKSETKRSRSRSSQAAASGNTTTAKQLVFVGGAPDTPYDLESLLHASAEVLGKGWLGTTYRATLEGGAATLAVKRLRQASIPEREFRDKVAAIAALRHENLATLRAYFYSREEKLLVYDFVPGARSLCALLHGGGGAGGSPARLGFTARARVALAAARGVAFVHAARSCHGNIKSINVLVPDADADAAFVTDHGVLQLVGARVPLKRVTGYRAPEVAGDPRVASREADAYAFGVLLLELLTGRPPANAVPGGGDGEVDLPQWVRTVVQEEWTAEVFDPTIAVEEEMVRLLRLAVDCTDHRPDRRPAMAEVAARIEDVVQSAIQKTDTDDDFHSISP >Et_5B_044994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7970774:7988491:-1 gene:Et_5B_044994 transcript:Et_5B_044994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRLSSARGRPRSWPRATGASTRRSTARREPATGRWRPASWRRCARAVPEQTRRRRCGRGTNREPTALHEAVRHHRTDVVDLFMIETPELASVTSDDGVSPLYLAATTKSEEMVRSLLRPLPDGRPSPASSAGRNGRTALHVAATVSEDMDQKNDDESAKGEQMGLEEGGMRGTISSGLIATVTFAAALTDAVAEQVEFGPKKQTLSEELLQVLIAGKATRLEELLSSEDGQANGHVAINVHTATPDMVAPPGPRSHSSLLGVTSNGNTALHLVASRGHAKLATLICEKAPSLVATRNTSLDTPLHCAARGGHREVVACLLSTMLAGGVDETTALRGTNRLGDTALLESVRHRREEVVDLFMAGAPELASVTSDDGVSPLYLAASMKSAELVRLLLRPSPDGTPSPASSAGRKGRTALHVAAAMRSKEMVQEMLAWEPEGPALLTRVDSSGRSPLHFALLNSKVGPDVIELFLNANSHLARISDDYGLYPVHYAAACGSTRVMDELIKKCPEYYELVDHKGRNLLHSAVEANSYMMVRYICQNERFAMLLNTTDFKGNTPLHLAVKYGYARIVSILLQSAGVEVNINNKDGLTARVLASFAHPSGRLPAFVVSDTMAFLCSIVGTGLLIYGGILEIPDGLRSFFTQMSYWLVPTAAQFMIAAFAFGLHLVLGAANLGLIIFVYMAASASVLLVSSNTWIPLYVYGFAKAIWRRAGWKGLLNMHERPSGPLDLFERLTYNPLVMFSGGSLALPMCATFFIAIALEVALPNF >Et_5B_043954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18238474:18240030:-1 gene:Et_5B_043954 transcript:Et_5B_043954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGSRTLVIGGTGMMGQHLVNASLAAGHPTLVLVRPDAAAGDPGRTKLVESFVARGANIVYVPDVVISAVGHRGPNDLEDGQLKIVAAIKEAGNIKRFVPSEYGCDVDQAGEEAVVEPARSILLAKHRVREAIRAAGIPHTFICSYWAHGFVLPRLGDPHVDGPPATKATVFGDEKTRVIFVHEEDMSMLVMRAVEDPRTLNKILYVRPPANICSFSHLVSLWEDKTSRSLDKYYMPEDELLKRIQDSPPPLNFQLAMVHATVAAGVCDQAIHQSAGVEATQLYPDFNFATIHDYMDSLLLAHPHLIKNPN >Et_9B_064976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20121275:20126562:1 gene:Et_9B_064976 transcript:Et_9B_064976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLITLVNKLQQACTALGDHGQESALPTLWDSLPSIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDGKTEYAEFMHLPRKRFTDFAAVRQEIADETDRETGRSKAISPVPIHLSIYSPYEGQPDSIVQDIENMVRSFIEKPNCIILAVSPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRAYRLQQPWVGVVNRSQQDINKNVDMIAARRREREYFANTPEYKHLASRMGSEYLAKMLSKHLEQVIKSRIPGLQSLITKTIGELETELKRLGKPIANDAGGKLYTIMEICRMFDGIYKEHLDGIRPGGEKIYHVFDNQFPVAIKRLQFDKQLSMENVKKLITEADGYQPHLIAPEQGYRRLIESCLISIRGPAEAAVDAVHSILKELVRKAINETHELKQFPTLRVEVSNAAFESLDRMRDESKKNTLKLVDMECSYLTVDFFRKLPQDVEKGGNPSHSIFDRYNDSYLRRIGQTVLSYVNMVCSTLRNSIPKSIVYCQVREAKRSLLDHFFTELGAREMKQLSKLLDEDPAVMERRSNLAKRLELYRSAQAEIDAVAWSK >Et_1B_011978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27683416:27689897:1 gene:Et_1B_011978 transcript:Et_1B_011978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLTFQSKMSSAPLPWLKHDATAMEGIHYKRNGLISRSHASTPSLPRMISFIQGSKRPRVFPSSASHPAATPPADGRNLLASLPREQIEEVICRLPIKDAIRTSALATAWRNCWKTCPRLQLVFFSDDPLGVVDSVLNKYTCCVDVFEVHFTKESICMLEGWFHVLSTKGVRSIELYFVPATFWEDALVPNSLFMCTGITKLVLVFCKLPPLPSTFQGFPQLEHLHLCEVIFPNNGEMIFEALISLSPSLSSLDIQFPRFEGHKKDHIYHKWVIQAPKLEDFSIRSDDDCGWQIIELPSLVTANVELEGPQITRILSGITKVQKLYMDFTDDTILEQLPSYFVDLKYLSFHTTFTQSSRILSIFSILRNAPNLEDLEITIWQEEDENIEVDMEFLNAQPSVGLFPKLKSFNLHAIIGQSNEMQFIEFVLSKAARLEKIEVFVRDDSKKTIDVVSSELANYEKASPQADVVISRFNGYYIKGPDDDEEESESI >Et_3B_028987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21160933:21163431:1 gene:Et_3B_028987 transcript:Et_3B_028987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GQLVTLPAQGSPESPPRSVVRRLLLRHPLAANCFAGSWLPSPLLGIRPRPLRERRPPWEMKTRSQTGPKPLKTVALAPRPSSSPKRKARPKPSGKGELGAPKKPPTAFFYFMEDFRKTYKQENPNVKTMQEVGKACGEKWNTMPFEEKVKYFDIATEKRAEFEKAMAEYNKKKNSGELSDESDDD >Et_10B_004028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9469093:9475466:1 gene:Et_10B_004028 transcript:Et_10B_004028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGPSDYSLEPPRHPSLRINAKEPFNAEPARRDLVASYITPVDLFFKRNHGPIPVLDDPDSYYVTIGGLVGRPTHLSLDDIRKLPKYNVTATLQRAGNRRTEMSKSRKVRGVGWDVCALGNATWGGAKLSDVLQLVGVPYHTEITLSGGKHVEFVSVDQCPEERGGPYKASIPLGQATNPAADVLVAYEMNEEVLKRDHGFPLRAIVPGVIGARSVKWLDRIDIIDEESQGFFMQKDYKMFPPSVDWDNIVWSTRKPQMDYPVQSAICSLEDTNAIKPGQVTVAGYALSGGGRGIERVDISTDSGKSWFGAHRYQKEDVPYVAGDITSDKWAWVLFKAVVDVKATWGGAKLSDVLQLVGVPYHTEITPSGGKHVEFVSVDQCPEERGGPYKASFPLGQATNPAADVLVAYEMNGEVLKRDHGFPLRAIVPGVIGARSVKWLDRIDIIEEESQGFFMQKDYKMFPPSVDWDNIAWSTRKPQMDYPVQSAICSLEDTNPIKPGQVTVAGYALSGGGRGIERVDISTDSGKSWFGVHRYQREDVPYVAGDVSSDKWAWVLFKAVIDVKGDTEIIAKAVDSSANVQPESVESIWNLRGILNTCWHRVRLLTTQSIRSFF >Et_4A_034954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8116250:8122023:1 gene:Et_4A_034954 transcript:Et_4A_034954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVNPTSLRLQICFVQAVLLSRMRRQGQYGDADINSMVAAQLHHYQAQQRVQQHPDNSYPGRDSAQASGERQYAAPKVRQSQWDRGGPNVQSQVPSYSYNEGRGAEGAKTFYDGQRSDLKVGIEKQPSKESRDQSRIDRTEKRYEDYNLPRTFDGLEQSFHEEIMMLSKELHDAEDAENSRHIERLKEINAQHQEKLLALRARQATYREEFLHKESLAHQQQYQQASVSHYANNAVPGEQHGYHPTAVAPSPVAAGAAYGEAHRGYASAQYESFGDRPDYPEFHGGGRGRGRGTEHRSQYPGGRAYNSGGRRF >Et_10A_001577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5375360:5378804:1 gene:Et_10A_001577 transcript:Et_10A_001577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKDAEGADTAVDLSILVKAFCVSAMKCSSQSQQQRPWPWHFWPPLSSSCSSAASPGGTQKRKTLNLPPGPRGWPVFGNLGLLSGKLPPHRALAALAARHGQLMHLRVGPSHAVVVASSAEAARLVLKTHDAALGDRPPGTAAGAILSYGCRGILLTPRGAEAFESVREQEARALVRGVFERCAGRGNVAVREHLGRCAMRNVLRMAVGEKWPELYDSKEGEAYRTPLDVVFAMTGAMDGNNVGAWCRGWAGSTCRASGCTSGSTDFTSRSSSSTRRSGGGKGALVDVLLQLLAEEEEDDQESEARLTRDGVKAMVQDIVTGGTDTTAATMEWALAELLRHPDAMAAAVEELDRVYLVPAGASVVVNTASWPDDPDAFRPERFLPGGAGGRMRGPLPPAAVRGRPADVPRVRPRHEASFGNSNPCGDPGPIPGKPARGSPS >Et_10A_001880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9608757:9612580:1 gene:Et_10A_001880 transcript:Et_10A_001880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPMPMQPQAPAMTPAAGITTEQIQKMLPMHSILIGMKRMFHCDYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADAQPQTALSRPQMAPPGASPGAGNFMSQVPMFPPRTPLTPQQMQEQQLQQQQAQMLNFTGQMVMRPGMVNGMSQPQQFQQSHAANPQPPASLSKQDATSEPSGTESHRSTGGDNDGGSD >Et_4A_035039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:976231:981674:1 gene:Et_4A_035039 transcript:Et_4A_035039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSLSLHGRPSQTPTKKLSSPFLGAPASFLRPVAPAPTSGPSRRTLAVRAMAPPKPGGKPKKVVGLIKLALEAGKATPAPPVGPALGAKGVNIMMFCKEYNAKTADKPGYIIPVEITVFDDKSFTFILKTPPASILLLKAAGIEKGSKEPQREKVGKVTADQVRAIAQEKLPDLNCKSIDSAMRIIAGTAANMGIDVDPPILQKKEKEMITD >Et_4A_035019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8836101:8841813:-1 gene:Et_4A_035019 transcript:Et_4A_035019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMTSSSPQPPPHPHRRRRRSHPATPAPSAKSRPRAKALPLLSDVGVGRDPAAIKYYARVASNLAGAGRLRDFLLAAEGLRAATGDDPGFAARISSRLLSRGVVAALRDCGLPHVLEFLRDAERVGVPAAGMLDCEASDAIADACRTVLDERRMTEFVEVVEALAQYGFFVQGIVNPMDVLKIFVRKRDPEMAIRYARIFPNSQLLLCNTMEAFGKRKVLKHALTVFGAIKNQLGGINMFACRSIIDICGHCGSSVQARIVFEGLLADKITPNTYVFNSLMNVNAHSFSYNFSVYKHMQKLGVPPDLTTYNILLKTCCNAREYNLAQEIYEEIKKKECDGLLKLDVFTYSTMMKVFADAKMWKMATNIKENMQAASVRLNLVTWSSLINAYANSGLIDRAIEVLEEMIRDGCQPTAPCFNIILTACVKSCQYDRAFRLFYSWKESGIKISLSPEEKGRIDGSFTFCGEHPNNGGIMLVVPFRPTVTTYNILMKACGTNGERAKSVMNEMRRSGLCPDLISWSILMNIYGTSQNRDGAIQALRRMQRIGIKLNVAAYTVAIKACAESKDLELALHLFEEMKTHQLKPNLVTYKTLLTARSKYGSLQEVQQCLAIYQEMRKAGYEANDYYLKELIVEWCEGVLSSGNGNRDFYDLDLNHNTKESFNFLLEKVATFLQEDVDQNQIVDVRGLSKFEARIVVLSVLRKIKENYIIGKTIHDDVVIITGNEKASSIEVQTSAVDVEHAIVAVLTDDLGLEVLIGPGSCPPVSSTTNASAKSKSNLVQASKNLARRPQGVIKITINSLNHWLRKKVVRVAR >Et_4A_032846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14650815:14654041:1 gene:Et_4A_032846 transcript:Et_4A_032846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAAADVAVASVPATKIAMPASEKGAEAALLGKGRYKVWAFAAIALLALWSMSAASFSLRWSASGDLAVAGDLDVPLGDDLDSLEMEEREKLVGRMWDMYTRTSDEVRLPRFWQEAFEAAYEELAGDDMQVRDAAITEIARMSAHKLELEQPVNVDEVPTDLSTCMPDDSLIAPAHNIFKL >Et_4A_033686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25849498:25850225:-1 gene:Et_4A_033686 transcript:Et_4A_033686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETVDFASGDAAAWRAALAAYDHRLAALDKPDLVAVDSFYRHDLPASLRGRDPDPFIAKPELVRLLQWKLSRGKWRPRLMDFVKGLDDAVVESASRKAFAALPDLRKAISELTVLKGVGPATASAVLAAYAPDVAPFMSDEAMVAALGNAKEYTLKQYLAFAEKMQAKAKVRLLYSK >Et_3A_023196.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:9650244:9652965:1 gene:Et_3A_023196 transcript:Et_3A_023196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >Et_2B_019578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10295100:10301695:-1 gene:Et_2B_019578 transcript:Et_2B_019578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWAVIRASQGARLEKKTTTIVNLSSPTEFPKHLTAGDDSIFHHGFDNSRIVACGRRSYKVWAPIVFLFVGSKESASSYDMAEFDQSAIFLYLDGHDQASIQEQRQTLNIFPSQPMHVGEPIPAKVRYCMQKLDIYIPISAKVAAQHADPEDVQIGVQGGSMGMAKALLANGNHSSPKRPEQGGQRSLVPSPPGLSVPAPKENKSAVTIAKKEATSSGGKGAGSSDQERVKDPKTLRRLAQNREAARKSRLRKKAYIQQLETSRIRLSQLEQQVQTARVQGVFSGTGGQLAGFPSAPSPAVAIFDIEYARWVEEHSKLMFQLRAALNEPLADDQLQGFVNAAMAQHEELLNLKGAIARADVFHLLSGVWATPAERCFLWLGGFRPSEVIKVMLKHVEPLSEGQILGIYNLQQSLQEREEALSHGIDAIQHNISDIVAAPDVAPASFMGQMSLAMNKVASMEGLVRQADGLRQQTLHKLHEILTTQQAARCLVAIADYFHHLRALSTLWVARPRQEDGPVL >Et_10A_001570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5258904:5265612:1 gene:Et_10A_001570 transcript:Et_10A_001570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVYELLGYRDRQELMQFELFCVLDSSGDGAGGAGTSGSMDRVKHENFCRAVVEDNTALLLTAVENFRKEAMGRIRKGSEASRTLDQEMSARLLHLACKHDAVECARLLLDGGGSGIAPAPVDARDQLTRTPLHVAAETNSARCIELLLSRNARADLRVVEGRPLLPLEVALMCRRVQVQWSPDKSIEELLAFLKGKDLTAVRLLAEKTRVVGELAYRYAMEGCVPPLAMLLLVVEEKISGLVSVVIEGIRTKRSIYNSIVDEALSMGDAPARDGGERRKALLCEIQLLNQFGSAAWRDQNDKRTLPPLLRAAKVGDVNVIKMLLMGNVDVNEVDSEGNTALHWCLSGISRTQEPRIVWLLLKNGARVFQGNKLGLTPVHSAAAKGNYKALQSLLLHEPDCVDMPSKTKETPLFFAVKNGSVDCVKLLLRFGADTKAQNLRKQRPIELGTSQDMRFILSSAKVVPCKILTEIYSSCLFTLSNSPILFVYTPSGNHSSHQKHRVMSKESCKELMDDTFDGYDDDDFNECFVNLKKSACQRDSHSTKSKSQFSSKQGSKPAPRHNHWPRHDYTRKIFVGGLPPSVDSEYLIEFFTAEFGPVEEAVVIGLQIGNRVQSRGFGFVKFEREKDMISAKEAHHVYMLGKRVEVKDAVARGYLPLDERATSMRKCTQEFPKVNHTVLDGELTEEHGPQRRRPLPEKCLPSWFFIFRKWLPGFLRDETERLGDRYPLSSLKGDFRATCRMELDHATLGYPKLSDFMRSLPGICRMCVVPVGSGPATHMVLLPPFSRPKYVPLLEPESFDHDELPESVSDNHSPRSPLNANIREDSPHTTDSQQGDACSETNVQSQQGYESSRSNAESLQDESSTGSLLDDFTVSTTQADLVESEPARKPDLFVSVPTRKPDLVESEPTKKPDLSLLSVPTRKPDLIESVPTRNPNLIESGPTRNSKLIESGPTRSSNLIESSPLPQRNECGPMLKLNLLESVATRKLELMESRPTTCFIDRPVERPAVTPSSRETDTRFSFFQSQWDKYLTPHPKSNESCIICRSCEAAMQLVPCLHKICVACMMRCNVRACMTCGSAVSGVKSSPAVDVTYRYMGFMERVSDQRCQLMVVCRGAEAIVRCAPCMHAIACRGCLLASVTLLKNCTTCGYMIEHFKFKRKRMTVNSNLVT >Et_4A_035707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:485586:486482:1 gene:Et_4A_035707 transcript:Et_4A_035707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISGGHVNPAITFGAFLSGQICLVRSIVYWTCQLLGAVTAALLLRITAGGVRLPEHALAAGVKGWHAAVLEAAMAFVLMYAYHATAIDPTRKGILAVGLLAGANVLACGPFDGAVMNPARAFGPAIVGSRRWANQWVYWMGPMAGAGLASLAYQHLVINPADDKTKQN >Et_6B_048476.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:9713094:9713435:1 gene:Et_6B_048476 transcript:Et_6B_048476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAEGGNLKPYVYKISFYKGCLAQNWNQHALHLNTLQVVKFFKRKLAIRFFVDIIIVICWIMWTTRNDCIIKQVDSNVDNWIQIFFRELKMVFHQAKKRHVHQLQQRIQSWD >Et_2A_018853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9308124:9315269:1 gene:Et_2A_018853 transcript:Et_2A_018853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFNFMDTEQLRPSLVGGKMAWPELLGKKGREAKAVIEKENSSVNHAIYAPQDATVTDEYCCNRVRIYVDCKNTCDYANSVVVQIPKEIRPKGLDTTYCLSDSALLVRAIVTGAGSRQSVAGSAEDGVDLVSEVRLVVLEPGGGGALLPPDQRGDALEPEPRVHPPRVRRVAPRGLVPNVGAQEHGVPPLQRLQLLVDHRLRHVAVCAAPAQRDDREHKRVPHASASAKSKLIRSGGLTGAPGKGGGGLAVGEEEQQLHLAVVRGQGAEEALEVRIEVGERRVHLLHRHRAFSLSRPWLAFSCAEQRTAANRSGKKPTAAEFLSPLFTGARALHTVRWDRIVTLRATNASLGLAESMSYWASRVVNGARG >Et_9B_063914.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21034242:21035210:1 gene:Et_9B_063914 transcript:Et_9B_063914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHPHAHAHEPDGGASGAANHSNHHQAHSPPALPAEVVPAYPPPESEDDETWVWTQIKAEARRDADAEPALASFLYATVLSHPSLPRSLAFHLANKLCSSTLLSTLLYDLFLATLTAHPSLRAAVVADLIAARSRDPACVGFSHCLLNYKGFLAIQAHRVAHVLWAQQRRPLALALQSRVADVFAVDIHPAAVVGKGILLDHATGVVIGETAVVGDNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVKIGAGGKIGAGSVVLIDVPARSTAVGNPARLIGGKKADGEKDEDMPGESMDHTSFIRQWSDYTI >Et_2B_020049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16388868:16393125:1 gene:Et_2B_020049 transcript:Et_2B_020049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPKQRLPISTPGGGGGGGRRTASGSGVLPPLVVLVFLFVIAPSLFFVARNGGHVHVAGAMKNHKSILSKEVLDAVAASQEEAGALSLDFFRNHASPSWKTDDLVSEKSIDVDDKAKAENIAAEHVLPTNKSPKNDSGNHQVDAAVKIARRKLREERREKRALDLVRKDDEARVKLENAAIERSNSVDSAVLGKYSIWRKENENENSDATVRLMRDQLIMARVYSSLAKSKNKSDLYQRLQNRIKESHRAVGEATTDADLHHSAHEKIRAMGQVLSKAREEVYDCRAITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEKRKFPRSENLENPNLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATLHVENVDEFKWLNSSYCPVLRQLGSDAMKDYYFKAGRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTGLWDVDLNGNVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKKKNITGIYHKWQTLNEDRVLWKLGTLPPGLLTFYKLTQPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTKYRPYWTKYIKYDHPYIRGCNLSE >Et_2A_015432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12639916:12645221:1 gene:Et_2A_015432 transcript:Et_2A_015432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASEEVIKQFAALMEHREFSTSAPANVHQGYPRGTLVRFLKAREWSVPKAHKMVAKLDISNFSEELKTKCGRLMQLMDCLNWRIQNEIDSVLAKPIVPSDLYKSIRDTLLVGLTGYSKQGQPVYAFGVGLSTMDKASVNYYVQSHIQMNEYRDRVVLPAASKKFGKQINTCLKVMDMTGLKLSALNQVKMLTMITTVDDLNYPEKTETYYIVNAPYVFSACWKVVKPLLQERTKKKIQVLHGSGRDELLKVMDYASLPHFCKREGSGSSRDSFDGVDCYSYDHPFHQQLYNYMKQQAVKQNVVVKQDSFHVDLPTPELEEVKMVETIESELHNLRGRNGLSHQFNIIKIEGP >Et_8B_058891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10486449:10488810:1 gene:Et_8B_058891 transcript:Et_8B_058891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFAFALYVHPIHETVQLLSQYTQLCNIHGKLKVANNIAAGHRIESSGHAQMACRRVRRLAVVLAVLTVARLLRADAPYPDDPYAPLETVCSDGSGFSSGSPYERNLNGLLSKLSYGAIANGGFLVNATVGEPNDVAFGVSMCFQDSNWADCVRCLDMAPSYATRACPYNRTAALLFGDCVVRYSDGNFFSRETEGGASFGTFVTDSLNNSALVQARRALIDGLVGGAVDSQQRFAVGSNKTQDGSEVYGLVQCRRDLSPDHCSTCLTNFVEYVFGNFPNNTAASFKSFSCCVRYSPDPIKLMDPEENTIKPPPPGMKDKKVTPLIIGLVAAAAASTFLFLLGLCILLWIFFRWWKKRRSVGDMEDDDFINRAGPNRFSYDMLATATSNFSESKRLGEGGFGSVYRGLLIKPNLDVAIKRMSKYSRQGWKEYISEITVISRLRHRNLVPLIGFCHTHDELLLVYKIMPKGSFDKHLHNQENILPWKPRYDIVLGIGSALLYLHQDCEQGVLHRDIKPSNVLLDESFTAKVGDFGLARVVDNSKDTHTTEPAGTTGYIDPECIATGRFSMESDIYSFGVVLLEVACGRRPLIMVLQDKTIHLTQWVSEMNGRGNILDAADPRLKGDFDVQQMKCMLVVGLWCTQQDRCLRPSIRQVINTLRLESSLPTVANMPSVRRPSSIPSIELEDGTLSTSSTDLVSVTY >Et_1A_005081.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:25670259:25670906:1 gene:Et_1A_005081 transcript:Et_1A_005081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSGVGTWPPCSSAESVSSAASARRPAISGSTRCSSAASRLRSFSRRRRVTATRSAASASSASENAACFRSASTGTSASPGLSDHAATPFRMAFPCFAMSAAAWRSMCSVASAQSHDSKDRTSASCSSAALARKVLKSSSRPRSISAALSSARDRRNDSSARLIIVDVDGIGTMGTIGHPNNDRSISRRDGRPSPLRETDPTRISEFTSKIIAHV >Et_9A_061487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12634341:12637545:1 gene:Et_9A_061487 transcript:Et_9A_061487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTTTPSPAPAPVAAPAPSSKGAKRGLMSSLMDATALLRSTSFKEDTYVAAALPPSELRALADLKALLATHPDPISIWGAQVQPDERADVVLLKFLRARDFRVRDAHAMLLRCAAWRAEFGADGVADEDLGFKDLEGVVAYMHGWDREGHPVCYNAYAVFRDKGMYDRAFGDGDRLARFLRWRVQVMERGVRALSLRPGGVNAIIQVTDLKDMPKRELRAASNQILSLFQDNYPEMVARKVFINVPWYFSVLFSMISPFLTERTKSKFVIAREGNVAETLYKFIRPELVPVQYGGLSRAGELENGPPKPASEFTIKGGEKVFLEIDGIEAGATITWDLVVGGWDLEYGAEYVPAAEESYTLCVEKTRTVPVTADEPVHNTFTAREAGKMVLSIDNSGSRKRKVAAYRYFVRKPSV >Et_5A_041191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19203683:19209675:-1 gene:Et_5A_041191 transcript:Et_5A_041191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EKKRRLSYLPLSHTIHTTLPILPSHSTNQSTIPKKRSRRAAVSSSPPRCCVLGQGIPRGPLLTCHWYVRCRTCGTELTPYLKELAPMLKERCCGELEAVGPECRCKALREMMDEMSPSPGTVEQHNAGTSCVPGLAIPHDPLERSCRVYVVSRACGVGPYLPMPVMKERCCRELGEVAAYCRCEALRILMDGVIAPWGRHEGRLQDMPGCPRQAQRAFAATLVTEPECNLSTIHGGPYCSPSLGDGGYGGEAQTMPSKSSFIRSQKGYSLLPPSW >Et_1A_009591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8800387:8801636:1 gene:Et_1A_009591 transcript:Et_1A_009591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQMNFKRLSLTDIKIDIKRVPKKSTLIKAMEEADVKGKWENSSWGKKLIVQKRRAALNDFDRFKVMLAKIKRGGAVRQELAKLKKAATA >Et_2B_019626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11462842:11467903:1 gene:Et_2B_019626 transcript:Et_2B_019626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAEMKESDIVDWFIPVVKRLAAGEWFTARVSSCGLFHIAYPSAPDQLKTELRTIYGQLCQDDMPMVRRAAASNLGKFAATIEQPHLKTEIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVLGAEITCQKLLPVVINSSKDRVPNIKFNVAKVLQSLIPILDQSVVEKTVKPCLVELSEDPDVDVRYFANQALQACDQMMVSS >Et_3B_030215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31389518:31394768:1 gene:Et_3B_030215 transcript:Et_3B_030215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEKAAAPGGAAAAADGGGGKEEESVKLFVGQVPKHMTEPELLALFREVAVVDEVTVIKDKATKVSRGCCFLICPSREEADKAISAYHNKHTLPGLFIGMLPKNVTDTELTDLFSKYGNIKDLQILRGSQQTSKAGCAFLKYETKEQALAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQLQLSNIPNTGPMRQSSVFGALQMGYMPQYNGFGYQPPGTYGLMQYPLSPMQNQGTFQNMVQPNQGNSIRGVNPELSPSSIHRSFNAMQLGSPYPAVPGMQYPGSYPGGLMNNRPFGNSQNSMKVPNANANSAISSSPSSNVGGQIEGPPGANLFIYHIPQDYGDQELSNAFQSFGRVLSAKVFVDKATGVSKCFGFVSYDSPASAQAAINMMNGFQLGGKKLKVQLKRENNKHSKTY >Et_8B_060029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5149695:5152200:-1 gene:Et_8B_060029 transcript:Et_8B_060029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLVRMRLGSINGLRCKQLKLVILAFFVVFLLWKWEKGTYYDTDTLQPDPLVLTHPANSKYVDQHTSSEEDFPSEEPLPQSVVKVEKQVTGAPPPLSMIGYSVDVADDNEILPLDKKECNYRNGKWVSDNRRPLYSGFGCKQWLSESWSCRLTQRKDFAYEKFRWQPEACEMPEFEASEFLKRMQDKTIAYVGDSLGRQMFQSMMCMATGGKHRPDVQDVGAEYGFVLAPGAKRPDGWAYRFPKTNTTILYHWSSTLCDLEPLNPSDPRTSYAMHLDRPPAFLKNNLHKFHVLVLNTGHHWNRGKLRANKWEMYLGGAPNNNKNIAVIWKAKNFTIHSVIKWLDAQLPQHPQLKVFYRSISPRHFFNGDWNTGGRCDNTNPLAKGSGIHLNRSQDADAEGAVMGTRIKLLDVTALSQLRDEGHISRYSIRASQGVQDCLHWCLPGVPDTWNEILAAQL >Et_3B_030521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4588882:4591410:-1 gene:Et_3B_030521 transcript:Et_3B_030521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGLGGEKQGRGRGLPLRLESQRFRLLSIVVGCFVFSLVFLLSSRPDGIAFETMSPKASLQDARRPATAVKTLRSSAGFGRDFHVDIVPQRGEGQGHLKQSVEQSNDKAATEWVRDTVIVQERRDVEREAETEEHEDHDGSNGATVTSVSDDQPAREEWKQVGGGVGEVKLQEQKQPARQEERHKEPASSGGDQQKQQQQQQPRPPLCDYSDFRSDICDFAGDVRMDANATSFVVVDPAGNGQQWHKVRPYPRKGDETCMSRVTEITVRTTTPGEPAPRCTRTHSSPAVAFSIGGYTGNIFHDFSDVLVPLFNTAHRYGGDVQLVMANVASWWLVKYDRLLRELSRHAPLDLAKAGAAGEVHCFPRAVVSLRAHKELIVERERSLEGLATPDFTRFLRRALSLPRDAPTHLGEGCKPRLLIISRHRTRLLLNLDAVVRLAEEVGFEAVVSESDVGDDIARVGLQINSGDALVGVHGAGLTNMMFLPPGATLVQIVPWGGLQWMARADYGDPAEAMGLRYIQYEVTVEESTLKDKYPRGHQIFTDPTAMHKKGFGFIRNTLMDGQDIVVDVARFRGVLLQVLNNLTQ >Et_7A_051308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16927819:16933207:1 gene:Et_7A_051308 transcript:Et_7A_051308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFRLAGDMTHLMSVLILLLKIHTTKSCAGVSLKTQELYALVFATRYLDIFTDFISLYKRIMKLIFLGSSFSIVWYMRRHKMVRRSYDKDHDTVMWTFSIYLEAVTIFPQLVLLQRTRNIDNLTGQYVFFLGAYRLLYILNWIYRYFTEPNYVHWIKFGNK >Et_7A_052405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7724086:7727043:1 gene:Et_7A_052405 transcript:Et_7A_052405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGARSRGGMDHNAGSFVAVRRLSGAVHRHASSADGVAGSTAWIGRGLSCVCAQGRESDARLSFDLSPIQEECLHRLQNRIEVPYDSSNQEHQEALKALWRASFPGTELLGLVSEQWKEMGWQGKDPSTDFRGGGFISLENLLYFSRNYPKSFRELLWKQNGDRALWEYPFAVAGVNITFMLIQMLDLEGEKPTSLIGAVFLNLLLENDRAFDILYCITFMLMDQKWLDMHVTYMDFNMVIKSTRRQLERELLLEDIQRIEDMPSYRFLAR >Et_8A_057543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4153356:4153910:-1 gene:Et_8A_057543 transcript:Et_8A_057543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEDRHPEWIYSDSNDDDQYDQSYYVNVNAEDVAHSGRDSEKVHCGRDSEKAYNWYTLQTRTRTH >Et_1B_011683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2527768:2530318:1 gene:Et_1B_011683 transcript:Et_1B_011683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRAFAAAAAAVVVALSMAPPRGAEAKTTIEPCSGSDSCQALLGYTLYADMKVSEVAALFGADPAALLAANAFDFAAPGAAHRILPMGLFVRVPTRCACASGVRKSVSVRYAARPGDTLAAVADVVFAGLASADQIRDENGLASADPDAPLGAGEKLVVPLPCVCFNSSDNNLPALYLSYVVQVGDTVPAIAASYETTVTDVMNVNAMGSPVAAPGDILAIPLPACASTFPKSSSDHGLIVANGTYALTAGNCVQCSCGPGSLNLYCTSASLSGSCPNMQCPNSNVMLGNMSTHPTNAGCNVSSCSYRGFVNGTITASLDTGLQPRCPGPHQFPALTDPPTTVNHDSTFLPPLSAPAPAEAGGIIPAPDSSVQGGSFTLPKVSTANGPAGSVSEAPSMKKPHQILVFFIVFLAFCSM >Et_7B_055919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7453987:7457024:1 gene:Et_7B_055919 transcript:Et_7B_055919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDQNGSVSARDDRVDEEEAAERSRSRSKSIDADGDEREKRSGDRSHRGKSKRREEESESSGEDSGERRKRRRKEKERRRRRRSRSESSGSSSESESESSYSGSSAESESESDSEEERRRRRRRKRKEREEERRRRRKEKERRKRKEKEREKKRKEKKKKKEKEKDLGKKGAVTNSWGKYGIIREVDMWNKRPEFTAWLSEVKQVNLEALSNWEEKQMFKEYYNLDAYHRKMMEKEKKKGIKTMITERTVFNDEEQRRQEMVKERERQKAEEVEAVKRSLMQSGMAQAMKEQARLREEMAYQYKLGNHQAAAAIQKRLDPDAPLQ >Et_4B_036203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10568602:10569504:1 gene:Et_4B_036203 transcript:Et_4B_036203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSGFSGMLFPRGCFPIVDVSLNSLIFGPRPEPVISDPIYIPVDDDSLFVLGSGTFQMLCFPEQGEEDMGWTWMKLSNPPFETQHVTSYALHPDQRNILVSTQSGNIAATFSFDTEVSFSGRGHFDSKLDSFVGLSTDPDTLGHLYSCAAISSDDINGGMCQAPMQRLSKETLFSEDVAKHNIDATQEKLMKNSFCLVQGVGVKEKCVDDEGADDELEEKGALHSSRHMFRLTKFSLEYDSNGDMRFDGIRQVQYYEVPEASIAVLKNPVAFYL >Et_2A_015372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11775971:11786225:1 gene:Et_2A_015372 transcript:Et_2A_015372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELGQQTVELGAVVRRAAEESYLALRELVEKSQAEAEGKGLGGAAYGGRQRSDAEKKIDLLKFIARTRQRMLRLHVLAKWCKQVPLVDYCQQLGSTLSSHETCFTQTADSLFFMHEGLQQAKAPMFDVPSAIEVMLTGGYQRLPRCVEDIGSQNKLSPDEEKHALRKLDASVRYKVLVTPRPKAVSSVSVTDGIADFRVDGEFKVLLTLGYRGNVDLWRILHMELLIGEKKGSFKLEESRRFALGDDIERRMAASDKPFTVLYDILHEFCISLAMDSIIGQANALRQGRWKDAIKSELISDSTTGQTGNAALMQIGQDGEFSSSGFKIPGLKLSYWLDEKSSSSAESDSSPFIKIETWQEMQIKCQHSSFVLDPFTNKEANLSLDLSCIDVEQLILRAIACHRHTRLLDIHRQLCKNVQIFQSPKDVVLKRDNDELRDPHKKAERKGFSDCCGNEVLQVRAYGQAYISLGINIRTGRFILQSPENILPPSSLIDFEEALNKGGRAATEVFASLRTRSILHLFAATGSFLGLKVYPQSHGSLKIPKSILHGSDLMVMGFPHCANAYYLLMQLDKDFKPLFHLLETQCDTSDKANANADAKEAMRFNKIDVGRIQMLKNESSTIPFDVKLQALQGIANCADIRENGIPIQNRIEPLPLLPSCSPSFSSIVDEIFEYEDGSTLTQNARTVSVSPLHGAGLSYTQTSLNNYLPNNSRHLQSNQTFSYSSPVRNSSATNHSVVDGSKSLQLAGHSSYSGSLGNSLPGHLVGLSTTAGGAAKLTTVGSDGAPRKRILSDFLQSLPSLHGPESESRKRRKISESMQNPLHLQAYSSNLQSRASLTCENVLMGRNNFVPATIYASVLLHVIRHCSLCIKHAQLTAQMDSLAIPYVEEVGLRTPSSNLWLRLPFAQDDSWKHICLRLGKAGSMSWEVRINDPHFRELWELNGGSTTTQWGVGIRIANTSEMDSHISFDADGVVLNYSTVEVDSVQRLVSDLRRLSSARSFARGMRRLIGVKLDDKIDDNVASMEMKSQSINKSNNDSADKLSEQMRKTFRIEAVGLMSLWFSYGTMPMVHIVVEWETAKGGCTMHVSPDQLWPHTKFLEDFVNGGEVASFLDCIQLTAGPLLALGGAIRPARMPVTVSSGYNAMPKQSNIPMQGPLANGSSSSSMHHVPAGPTPSITPAAHLGSHNLHAAAMLSAAGRGGPGLVPSSLLPFDVSVVLRGPYWIRIIYRKKFSVDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQGLNALEPNFMNATQASAHLNSNAGAPQSAPTANRLSAAPGVAMSRPTSGVANHVAASLSRAGNAMLATSALASGIGGAPVRLTPGTGLPVHMKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPELLKEILGSILKDNEGALLNLDQEQPALRFYVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQAQSNAQEELSSAEINEICDYFSRRVASEPYDASRVASFITLLTYSFGDNNHVAYLAMDGSHGGRACWLQVEEWERCRQKVARAVEAVNGSAVAGEAGQGRLRMVAEMIQKQLQHCLQQLRDGPLSAGSTAS >Et_10A_000414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:102367:111162:1 gene:Et_10A_000414 transcript:Et_10A_000414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFLVSALLLSLLAIAVLELLKKKTSSSPRLPPGPRNLPVIGSAHRLVNTPAHRALRDMADEHGPLMHLRVGQVAVVVVTSKDLARDVLKTHDANFATRPKLVAGGIVAYDWTDILFSPSGDYWRKLRRLCIQEILSTKCILSFQHIREDEVLNLVDEIRAAAGPSTPVDLSSRLHRITNSIVSRAAFEKKRSNPTDFLAAIKQSVVMFTGILSVLTGMKRKLQAIRETVDGILKEIISEREEILKQSRTNRLQEDNLVDVLLGLQENGEFGFPMTRNTIKAIILDMFAGGTGTSASAMEWAMSELMMNPKVMRKLQAEIREAFHGKQIITETDLRASDLKRLYGYTLPLHC >Et_7A_050949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12787487:12790951:1 gene:Et_7A_050949 transcript:Et_7A_050949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAQVAAPLHLACSPRPTGGAAFRPPAFPGAVTARPRNGGITGRGRAPHWRPSSAPDPRAHHHHDPDLETGGAGSRSVNGAAGAGAASARPHPHAHASPQRKRGAAASVEDEAWELLRESVVRYCGSPVGTIAACDPDDPTPLNYDQVFIRDFVPSGVAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRVIPLDGDDDAIEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILKLCLADGFDMFPTLLALFYSALLCAREMLTPEDGSADLIRALNNRLIALSFHIREYYWLEKRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPSWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIISSLATTQQSHAILDLVEAKWSDLVAEMPMKICYPALEDQEWKFITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPEIAERAVEVAEKRIATDKWPEYYDTKRARFIGKQSRLFQTWSIAGFLVAKLLLENPDKSRILWNNEDEEIVNALSLMADASSPKRKRGRKLLKTYIV >Et_8B_058923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1127522:1129299:-1 gene:Et_8B_058923 transcript:Et_8B_058923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHGDPARHHLSPQLGGAPPVPRSPTPLDLASAAGSGSSYRRLSPSLRPPAHPQVRLPSPYGQIPTPGAGGGGHHARSLSQPLFFSLDSLPPPPPYADLAAAPPHAIPPSPPSSTSDHAPPSLGLPPRKGGHRRSHSDIPFAFGAQLSPPLPPPAPGCRSDGDDAALYDLVNAYMDLDGLDPLNSSEDRHDDRDSRASGTRAGSAAESSENEAESHSSSAERKDGGKSRHCRSLSMDSFMGKLNLAAGDESPKIPLPSPSSGLARSGSGSLEGGAVALFDMEFANGEFSEAEKKKIMANERLAEIALTDPKRVKRILANRQSAARSKERKMRYIQELEHKVQVLQTEATTLSAQLTMLQRDSTGLATQNNELKIRLQAMEQQAQLRDGKLIALLYREKNIKHVLMRRNDPHVPSSWNIVCSFLSLPA >Et_3B_028532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16560936:16564389:1 gene:Et_3B_028532 transcript:Et_3B_028532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPRRSGSAAASEPYSAAGSDAEDDRYCSANSALATPSSLASLLPSSDFWDHQMDLLLDERPAVAGFPKSHQLSRLQVQARPATGTAPPSAAGDDATGQESSSSSLAIHPRPDPDQVDRLDDNDLFDDMVQEMEAILLNTGEPHENGSFTDNRRSNTRQGHHFRDGSTTASTSGTDDAYMYPLAHYPSKIDWVEVVGAKQRTGDVSFGERIVGVKEYTVYLLKVRSGEDEWEIERRYREFYALYRQLKDFFYERGLTLPPIWENVERESSKVFGNASPDVVNDRSGLIQDCLRSLLVSSCPFGTPTPLVNFLSPGPPGYEYSFLKTLIPRSLQKRTSDLHSKESDCNKASHDSTSMGKTISLIVEDRPRKSTRQLLELQHYNCAGCHRHLDAGRTLLQELAQTIGWNKPRFCAYTGQLFCASCHTNDTAVLPARVLHHWDFSFYPISQLAKAYLDSIYDQPMLCVSAVNPFLFSKVPALLNIMSVRKKIAAMLPCVSCPFRNSILKGLGVRRYLLDGNDFFALRDLVDLSKGAFAALPVKVQTISNRILVHITEQCLVCYDAGVPCAARQACDDPLSLIFPFQVLCQEDEATKCSLCGSIFHKQCFRKICVCPCGKTSSTGRKIVALEQAVQGATNRVTTESLQPPSFSSSSGFFSDILSKARPDKLWKPRNSSPVILMGSLPDTI >Et_4B_037689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22602125:22612029:1 gene:Et_4B_037689 transcript:Et_4B_037689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVLLLPSAPACCSRAAGLAAGPRNFAATPSSPSPAASLLRLRPSGRRAGVARAGGGEGDGGKSGASAFFGEDGVVEDMDGYLNYLSLEYDSVSTLDDLLTGTIAVAVSWVLIQSVVITSGVSFIICAWWYIFLYSYPKAYTEMIAERRRKVASGAEDTYGMEKIQ >Et_3A_023961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15285605:15288895:1 gene:Et_3A_023961 transcript:Et_3A_023961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPAATTALLLVWQLSWAAAQAGDAGPPPAASCLTSCGNVSVPYPFGIGAGCYLPGFELTCDRTRQPPRLLLGDGTFEIVEISLANSTVRAVNTAGAVNITNHQNFNANGTWGDLALSSSPYIVSEWRNQLVVTGCNIQITLVGKASVNIITSCSSFCSINDHWSLAVLSSPSDGATACSGIGCCETPIPIGRPTYAVQYKTLDGYELEGVVPTAVRIAEKGWFEGVAARMLNKSLKETTVAAAVPVILEWALESTVVLPNADNGNWSCPRDPARSACRSNRSYCRNVTGGYRNVATFACARKGTRATPTSTADAKVRNGEFDPSNINECEQPEKYVCFGVCENTVGSYVCRCPHGARGDPHIAGGCLKSLLGLSIGIGLGSGAGLLFVVLSAFFLTRKIKRRRARLLKQKFFKLNRGHLLQRLVSQKSDIAERMIIPLAELEKATNNFDDARELGGGGHGTVYKGILSDQHVVAIKKSKEAIQREIDEFINEVAILSQINHRNVVKLFGCCLETEISESEVHLKFKFHRQVDALFDMEL >Et_2A_016940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29694611:29698341:-1 gene:Et_2A_016940 transcript:Et_2A_016940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRYIVRSEGLHAIVQYVVVWIESYKMLQDACLALLILVLLTNHEATASIYHIHSNMGVPSANMTSSLFMALDPRESGDESKFTQHYARYRVDTSPAGGYTGAMATLDFYSSPSTNGGQATAALIWADGYRSFGCFNLDCNGFVPVNGAPITPGDALEPAHGQAKISLKILKNKNDGDWWLYFGYDVNNFRPAGFWPKSLFTHLEDHAEFITWGGTTKCFRGNPSPQMGNGQWPGKNSASFQNVQLVGTDGQGYVPPVWTLHVYADNTKCYQASTFLDDMFYYGGPGCHN >Et_8B_060449.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:16671405:16672988:1 gene:Et_8B_060449 transcript:Et_8B_060449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTAAGAATGFNSPWTLSIRAAADQGRPRRAVALYLSSLRSPHHRPCPFALAAVLKSVPRLPAHAQLPAASSLHAHLLRLGLLSHPYPHAALEHLYSRLLPTHPVLDEAPAAAPLHRHSLLVASNSRLSSLLRAGDVPAARAMFDSMPARDVVSWNSMVAGLAKAGHLDEAVELFDRMPERNSASWNALVCGFIARGQLARARELFERMPLRNNVSWITMISGYGKAGDVHAAVDLFERMENKDLYAWNAMISCYAQNGCARDALGVFSRMLKPHVWVLPNEKTFSSVISACSQLGDLRFGLWVESFMGCVGVELDDHLRTALLDLYTKSGRMDRAFDLFRGLKKRDVVSYSAMIVGCGMHGKLNEALGLFKEMSEAKIDPNAITFVGLLSAYNHVGLMEEARACFASMSSKYRISPSVEHYTIMVDLLGRSGKLNEAFQLITQMPVRPHASVWGALLLACRLHNNVELGEIVASKCFELEPEETGYYILLGNIYAQAEKWDKVKRLRKIMAERGLNKMPGSSWVA >Et_6A_048095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6211736:6214393:-1 gene:Et_6A_048095 transcript:Et_6A_048095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCCAPSSPGVLNFSPLSPLHPSSSPRGVLCSGEQGRSKKSKQQRFKAQSFHAESRSLRGQFNPADGYLPVAEDQAGDLPGAPTPSLPDAEALASCLRSCESLVAVRKAHAVALRSVESLGVFLSNNLISAYARFDEVSDARMVFDEMPERSVVSWTAMMNGYRMMGHHGEVVRLFLDMVASGVQGNSLTFVCLLKSCGELSNASLGRQVHCCLVKGGWSNVIVDSAIAHFYAQCGDVSSASATFDKMTSRDVISWTTMITAYVQHGHGNKALRMFSAMMSEGFRPNEFTVCSVLKACAEEKALNFGKQLHGAVVKKLYKQDIHVGSALVTMYARCGEVFDAQAVFDKMPRRNTITWTSMISGYAQSGYGDKAIMLFRKMQMRRVFVNNLTIVGLLSACGSVQSIYHGKEVHAQIIKNSLEDNLQIGTTLVWFYSKCGQHTYAARILEAMPDRDAVTWTAMISGYNSLGHNVEALKSLDEMLWDGVTPNTYTYSSALKACARLETLRDGRRIHGVVNKTQAFSNIFVGCSLIDMYMRCGKVDEARRVFDAMPEHNLVTWKVIITGFAHNGLSEEALKYMYLMQQEGMPGAVHKIPVDS >Et_1B_011309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2066055:2080865:-1 gene:Et_1B_011309 transcript:Et_1B_011309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRKGSKVWVEEKGEGWVEAEVVEIKDRAVIVVTSHRKKVSVLPGKLLPRDTDEDLGGGHVDDMTKLTYLNEPGVLYNLKKRYALNEIYTYTGSILIAVNPFTRLPHLYNEYMMEQYKGVRLGELSPHVFAVADASYRAMVNESQSQSILVSGESGAGKTETTKLIMQYLTFVGGRAAQDDRTVEQQVLESNPLLEAFGNAKTIRNDNSSRFGKFVEIQFDASGRISGAAIRTYLLERSRVVQITDPERNFHCFYQLCASGKDAELYKLGNASSFHYLNQSKTYELDGTSNEDEYWKTKRAMDIVGISRKDQDAIFRTLAAILHLGNIEFSPGKEPDSSIIKDSTSNFHLQTAANLFMCESDLLVSTLCSRSIHTREGIIIKALDCAAAAANRDALAKTVYARLFDWLVENINKSIGQDVDSKVQIGVLDIYGFESFKNNSFEQFCINFANEKLQQHFNEHVFKMEQEEYKSEEINWSYIEFIDNQDVLDLIEKKPMGIIALLDEACMFPKSTHETFATKMFRNFSSHPRLEKTKFSETDFTMSHYAGKVTYQTDSFLEKNRDYIVAEHCNLLSSSRCPFVSGLFTSLPEESIRSSYKFSSVASRFKQQLQALMETLNSTEPHYIRCVKPNSANRPQLFENQSVLHQLRCGGVLEAVRISLAGYPTRRTYAEFVDRFAVLVPELIIGSYDERTLTKGILEKMKLDNFQLGRTKVFLRAGQIAILDMRRAEVLDNAARHIQGRFRTFITRKEFMKTKEASISIQAYCRGCLARKMFFIRREAAASIIVQKYVRRWLSRQAYHQTRLAALLIQSYIRGFISRRYFSAIREHKAAIVIQAANEAGALREAKNKLEKKMDDLALRLTLEKRLRAASDESKLAEILKRDKIIESLSAECAAAKSAAQNEHGKNLLLQKQLDDSLREITMLQSKKVMTAEAEKENFTLKNLVESLSTKNSVLENELTVARKSSDETMKKLKDVEGKCNHLQQNLDKLQEKLANMENENHILRQKAFSMNNLSVAPKTLSEKFSASIGLNNNEPKLIFETPTPTKYLAPLPQNFTGSRRARLPVERHEENHEILLRCIKENLGFKEGKPVAACIIYKCLLQWRAFESERTAIFDHVIEAINDVLKGKEADGILPYWLSNTSALLCLLQKNLRSNGLFATPSRRSGGAQGLGGKIVQTLRSPSKLVGLSDGLQQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLTLCIQAPKTTRGQPGKASKSPGTGAQVPSNSNWDNIVNFLDLLMDTLRDNHVPSFFIRKLITQMFSFINIQLFNSLLLRRECCTFSNGEYVKAGLSLLEKWITDVTEEFAGTSWHELNYIRQAVGFLVIHQKRKKAFEEIRQDLCPALSVRQIYRICSMYWDDKYNTLGISNEVVAAMREMVNKDTQNLVSNSFLLDDDLSIPFSTEDLSMAIPAIDYTDVDLPESLHHYPSVQLLLRQ >Et_4A_034525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4037433:4044670:1 gene:Et_4A_034525 transcript:Et_4A_034525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVVTGATGFMGGRLCAALVDAGHDVRAFALPGVDISGVPPAAEVAYGDVNVAGLENVLKAAKRTPTVKKIVYTSSYFAIGPTDGYVADEAQVHPGKTFCTEYEKSKALADRIALQAAGEGVPITIVYPGVMYGPGALTIGNLISRVLIERFSGRLPGYIGKGYDRESLSHVDDVVRGHIAALERGRVGERYLLTGENASFVQIFNLAAKITNTEPPKFHFPLWLLEIYGWISVMRVVVTGASGYLGGRLCTALADAGHAVRALVRRTSDVSGLPPTVELAYGDVTDAESLAAAFDGCEAVFHVAAAVEPWLPDPSVFLKVNVGGLENVLKAARRTLTVKKIIYTSSFFAIGPTDGYVADETQIHQGNTFCTEYEKSKFLADKIALQAATEGVPITIVYPGVLYGPGRLTTGNLVSRILIERFNGRLPGYIGDGYDRESFCHVDDVVSGHIAAMEKGRVGERYLLTGQNMSFVQIFNMAANITNTKAPNFNVPLWLIEIYGWISVFVSRVTGKLPLISYPTVHVLRHQWAYSCDKAKRELDYNPRDLTEGLAEVLLWLKDEKLIKLVVNNGQTEPYLLQIDGRKVAIDYNDSVIS >Et_1A_006003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16550970:16554796:1 gene:Et_1A_006003 transcript:Et_1A_006003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAITTLAAARSQAAAVLRLGLRRTPSPAVRAPGLRAAARPLPGYRCIAMAAAAPGSADPLPKGADTFFRSVMSNMEKVYLSRNPTAKTILELVRSYDGDHIFYDHFAFRTFGVDGYGINSLAEFFTDFGYVPREELRFPAKKLRALWFSPPANDCCTGTGIYGPLPRIFISELLVNELTAQSQEIIHKYIKTSGKGNKHAALASTSGELTWEKPIYSDFLVLSRESEYAAWTLVNGYALNHATIATHRLESDIKMSPDGLLQQSSTVADSGLFTFADGVTEYIPRCYIEFAERLLLPQFKDLQDEEVKEHHRRDGFEVGNADKIFESTSKEQLTRRSA >Et_4B_040082.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9214376:9215419:1 gene:Et_4B_040082 transcript:Et_4B_040082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDFDSTCSTPFASAPSSPGRSPVFGGGGGGGYFFSAPASPIHHLLFSSSSAASAAPGAGRGCAGDAEFEFGGPGGPMISADELFHNGQIRPLTLSPLPDLDPGSDDDDAANPAPARGRDLTPRCGSVHRRARSMSPLRSASPRLKLLNALVPAPPDLGPAPDASAGAAQEEAAPPVTASSRSSSSSSTSSSSSSSASSSGRGSRRWVLIKDMLLHRSKSEPGSGARAGDSPAAGAKPERAWPFSPAWAARDKVAAKLRSARAPATDEEAPATRGQGRGKGRRRSTTVAAAHERLYAAPNRAQAEEMRRRTFLPYRQGLLGCLGFSSRGYGALHGLTKTLNPVFSR >Et_8A_058232.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:251044:251766:1 gene:Et_8A_058232 transcript:Et_8A_058232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCAEKQLTRLRQHFAASTSSSSPATAVAAALDGVARVYEHAEPLVRSARADQVEDELRASVALLDACAAARDALGAMRACALDMEAAARRGDGAAAAAAARAYARVARKACADARRLRRENAAADGGHALQEARRLAAAVLERVVVALSKRAAPGAQRPAGAGWSTCVARAFRKRARVACEEDAVVSSLSSKDLHDGSEARRELRALCDTIQHLEDGLDLLFRRLVQCRVFLLNMRSL >Et_9B_063929.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:2346873:2347043:-1 gene:Et_9B_063929 transcript:Et_9B_063929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDNTKTVTVMAKPPFDVVISGKRKAHNGSVKAVASAKEIDDYIAWKKKQFVRPED >Et_4A_033562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24433277:24435397:-1 gene:Et_4A_033562 transcript:Et_4A_033562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHDDPPTPAHSAAFFSSPALALISAPSFSVMSRRMAASTLPLPSSPRNSKPTLHTCSTYLAFPLWSPKQGRHSIGTPSQTLSVVEFHPLCVQNPPTARWRSTSSCGAHSTVTPRPRVAASNPSGNSRVDSHPRTRPGRSTQRNGTPLPAMPHAVSSSSRGLIQASLPKLTYSTESPGHASSHARQLLSCRSRLLSPAPAGENLWSGPISNTGTARSRRRPASSRRSSASNVFRMRPEEAFTPVTARASSSRACAWPSSRKLIRSHTRYGGRSLSGMSSCASASTPRSKKHEDSRACIIARIHGDVAFLAQHRVSAEARETGGAAGAQRQRGQAELGGHLDHRQEVRVGDQARHGVPGVLLQDGGEAVPERGLARAADFDDVRDDVLDAVRPGAGRAVGDRHEAVARVVGRVRGVEVGVEHGDGEAAGVEHAGEPEHGVEVALVREREQKHVPAAPSVDTTGCAIALCCHGWLVLGVAAKKLQREGHLGAIVDRNLNGNYNGQVVEMLIQIALLCTQASPEDRPSMSEVVRMLEGEGLA >Et_2B_020729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23004773:23011269:-1 gene:Et_2B_020729 transcript:Et_2B_020729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQAAGSPASVAAALGFLLPSCWEIEVTCAAAMILVALYAAYELLNPRPASPNGASSSPADDILLARDTDGADKYKGGSSGPSAYVVKLELLAAKNLIAANLNGTSDPYALITCGGEKRFSSMVPGSRNPMWGEEFNFFVDSLPVKINVTIYDWDIVWKSTILGSVTVPVESEGPSGPVWYPLESTSGQVCLHIKAIKVHESSSRALNNSAEADARRRISLDKQGPTVVHQKPGPLQTIFGLPPDEVVDHSYSCALERSFLYHGRMYVSSWHICFHSNVFSKQIKVVLPLRDIDEIRRSQHAVINPAITIFLRMGAGGHGVPPLGCPDGRVRYKFASFWNRNHTFRALQRAVKNFQTMIEAEKQERAQSALRAHSSSIKNSKKEINVPEDCAALTGQLQPFVKDDVLVPVFDGTFPCTAEQFFSILLSDDSNFLTEYRTARKDKDINLGQWHLADGMMVNPMCPPYSAMTEWQHMVLSADKTDLVYETVQQVHDVPFGSFFEIHCRWSVKTTNSSSCSLQISAGAHFKKWCIMQSKIKSGAVDEYKKEVQEILGFAQSYMLKVASPNQDSSVLTQQDNMALDAVPCDQ >Et_8A_056320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22544413:22544970:1 gene:Et_8A_056320 transcript:Et_8A_056320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILGRALMCYPEAEHPAAVGALPTMASSKFAPFAVIVGGSLYGPLDASSSVESHAVVAGAHIVVSNRAAAPRRARTASTRRRGSGARPPATGRCRSPAPPRTYVPEHKLWFGISSGDDGDRFCAADLVARVTRIG >Et_10B_003434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19877944:19878200:1 gene:Et_10B_003434 transcript:Et_10B_003434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESCKLHYMCAVDFAATKHGPPLFRNLKLRLAGRVSARDRLFLVDICFVGFDANDIADIRVYKLNFTATA >Et_9B_065302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3408886:3409899:-1 gene:Et_9B_065302 transcript:Et_9B_065302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRREPAKLPFRSSSLRVDLGCGSLRFYRKSRRGSTSSRLPRPPNPLPHRAAPIPARPRALCAAVSGRRRGAARPPPHCLLRRLARPARRLRTPPCRTELPARLRRPDLLPASGERAASLLAAFASSDPARPFLAQESSAVVPHICRALESGAGAAAEHACGALLLLTTGSRDAAAAVAVTTPGARAGKRVSVCSISFSGYGQKRKRVPDGYDGDCHVADEPVTQRPRVAAEEGGSATSGPGTTMAMFDQGFCMAHASEDRDLLHDSSSWSMTTTLSQESGLPQEEPKKA >Et_2B_019332.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24255329:24255529:1 gene:Et_2B_019332 transcript:Et_2B_019332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GAKVEAPPAGGIKNYRFRSDVPSPPSHTAVGGPASLLPKRKPLTEEEIEAIMVCTLFADAKIYVKF >Et_8B_059262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15940845:15941923:-1 gene:Et_8B_059262 transcript:Et_8B_059262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEEPDYVLVPLGLAVMAGYHVWLLIRVRRRPASTVIGVNAINRRIWIRHVMEDPSGKHAVLAVQTIRNNIMASTLLASTAITLSSLIAVLMSSGGGSATASNSNSSNENGLLPGAPLVIGATGAPALSAKFFAILVCFLVAFLLNVQSIRYYSHASVLVNVPPRAHRRPGRAVGYVTATLNRGSYFWSLGARAFYFSCPVFLWLFGPIPMLVACVAMVAALYFLDVCKDWEDDDDDGAGDHVAADDELA >Et_6B_049532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6072319:6080470:-1 gene:Et_6B_049532 transcript:Et_6B_049532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSNSLCMALGSLATCRSGGSECDYLYPYGSGDHATQGYMGTETFTLGGHAAHRVGFGCTTKSNGNYGTGSGLVGLGRGKLSLVSQLDVGAFSYCLIKDPSVASPLLFGSLATLRRRAVHQAPLNSYYSVNLKSITVGKTATPGTGNNGIIFDSGTTLTLLADPAYTAARRAILSQTSLPLADDGSGPCFRASSAKDISKIPPMVLHFDGADMKLTAANYFREVKTGVICWVVQRSFSNSLSIIGNIMQTNYHIRYDLNKKSTALLQSSTFYNVNLTSISIGSTTTPGAGTDGIVFDSGTTLTLLADPSYTAAKTAILSQIMTSLHRVNGTGGFEACFQASDNDSSNAVPSMVLHFDGADMKLPVANYFVEVETGVVCWVVQRSFSNRLSHIGNIMQMNFNMRPDLNQQVLSFKPAKWDTL >Et_8A_056878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18440556:18443743:1 gene:Et_8A_056878 transcript:Et_8A_056878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAWPVCTICYEDLRPLSDQHLYCLPSCGHVFHALCLEQWLEYCPGGKKKGTCPICKHPCGAAHPPTRLFFQSTGACPTQTCPSLQDESEGADPEALSAEVAQWREQATAAEAMREAARKEKACVQMLLSVKTEELSRKTAECGRLQEKSLALAKELAALKLSSDMNLQEEEILKLASLGNHGNLENAVDVLKRSLAIRNKSYKELMVQCNVLGRSETRMQQKLEKAKELVKKLKARVQELEKELEEKENSLIRDLRSSKKFKADQIKSWNVTANSGFPNPSSGCGNEAMKLDEAMEDPCSGKSRSDFLMPEGKSDLLNAEGNLGKKDADVIDLDADDSVFRNVQKTEFSAKLFRTGGNTLDSQNKSGLCQYDNRQSTAFECNTTYTAKETSFLKHTETVGKSTFQESLTTKPHILQENSILRTTKMTDSTREKETLTIGGISKQASRLTSGTGPQQIHNFNSLCDDDFQAPKIIGMDGSRKSIGKWCKGSTAPGSASTDTNRGHLISVGHDGRGGKVKILRDLGRFQDSKSQALWPKAQKVGGKGGQSQIDHFFGKR >Et_2B_020647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22304421:22305251:-1 gene:Et_2B_020647 transcript:Et_2B_020647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIMGCQIPAFGMWNYCHDLSITQYFDSAMQARLMKRWNRRDGDGDKKGVAGGEQLALFRSYSFQRKPAQIKVIRREVDKNCNGDDLLQDAGVQQAEDGACAVKRKVISKAVDEDLYKVPQPLLYQKPKKMRKVVWSMWIGCLGLDCIA >Et_5A_041401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22166441:22173190:1 gene:Et_5A_041401 transcript:Et_5A_041401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIAGELAAKRPILSFSGADAGEDRLSALPEDILVLILLRLDTISEAARTSVLSLRWRRTWALLPELAFNLAPDYHHIREVLATPETPALRSIFVVTKDDSPDSVAAWLPLAARRLSGDLLYHNLVAEHDDDDLVEANGAIQLPCFGNATAIDLDLGFLALSMPSSGAFKGFTELFLKSVRFQGQCELGDVVSSPRCPGLRKLSVCGSRGLARLNVQSESLLNIDLQYLNVLQQLIISVSVLKELKLLCCFFLNQPVADISAPQLVSLQWSDAYDPSSVHLLQRLATYFKLGYPQQYDIHNRGILQLLHQFQVIDNLHIGLCYMKKIQNFPFLMEDRKVLGNVTFLTLSVINRGHSFGAWSFHVLRLCAGIRRLSLLFQTSRYFEAQSTCPSCCLCDQPTNWKTEGVEDEVVFLKLLFNWAATLEKMRVIFDYSVSKSKAKELCQKLSNFSKPETGVRFYMYQNCDRNSIGGEVAAKRRNPSSTGDDHLSALPDDILVLILLRLDTIAEAARTSVLSLRWRRIWALLPELAFNLAPDYHHIRQVLAAPQAPALRRIFVVTKDNSPDSVAAWLPLAARRLSGDLVYQSLVEGHEDDGKEVDGAIPLPCFGNATAIDLDLGFLALSMPSSGAFKGLTELCLKSVRFQGQCELGDVVSSPRCPGLRKLSVCGSRGLVRLNVQSESLLNIDLQYLNGLQQLSISASVLKELKLLGCFVLSRPVADISAPQLVSLKWSDAYDPSSVRLVNLGQLQRLTTYFMLGPLQQYDIHNRGILQLLHQFQAVDSLHIGLCYMNKIQNFPFLMEERKVLGNVTFLTISVISREHSFGACSFDLLRLCAGIRKLTLLFLTSRHLETQSTCPSGCFCDQPTNWRTEELSLNCLQEVEITRLRGVEDEVVFLKLLFNWAAMLEKMTVIFDYSVSKSKAKELCQKLSNFSKPETCVRFYMYQNCDRNSVHLL >Et_1B_010526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11269496:11270682:-1 gene:Et_1B_010526 transcript:Et_1B_010526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRGAKSASSSKPNPFDSDSDSEDFKPAKKSSTYTAPADAKKRYKDGFQNSGGFENQSVQELEHYAAYKAEETTDTLNGCLRIAEDIKKDASDTLITLHKQGEQISRTHEKAVEIDQDLSKGETLLGSLGGFFSKPWKPKKTRQIKGPAMVSRDDSFKKKATRMEQRDKLGLSPRGKGNTRQYGEATSAMDKVQLEKQKQDDALDDLSGVLGQLKGMAVDMGSELDRQNEALDHLQDDVDELNSRVKGANQRARKLVAK >Et_4A_033129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18955489:18960767:1 gene:Et_4A_033129 transcript:Et_4A_033129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKMFAAAPPSRSWSNVGGGSIRELRAQHKMDLENLTITKQPLRTLHFFMLAMLRYLKRFATYIHSKGFWLVLVIVLVVAPAITLFVSDGLHNKHVQEFLNYAKFVLWWVSLGSGLHTFVLYLGPHIALFTIKAVQCGRVDLKMAPYDTIQLKAGPSWLDKKCSEFGAPVYPASAHSVRIPVFDLLPQIQLEAVLWGIGTALGELPPYFISRAARLSGSESKAVKELDSAASKEDGRVASTLNRTKRWLLSHSQHLNFFTILILASVPNPLFDLAGIMCGQFGVPFWEFFFATMIGKAIIKTHIQTLFIVSLCNNQLLYLMEKELIWIFGHIPGFSATLPSVIAKLHAAKEKYLSPPAPVSPSSQVEDKQWNFSFTLVWNTIVWLVLVNFFVKIITSTAQDYLKKQQDMEMELLTNSSPPGHSDTN >Et_4B_037708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22776594:22778642:1 gene:Et_4B_037708 transcript:Et_4B_037708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLARSAAAVARSALRPAPLVGRVLSAPLPPLVSPSPARAARILRRSAAASAGLETLMPLHTAVAGARLRSCIAVDSSCWSSLSQGNHPFVARFQCEIYSSSLLYYHYLGSGLDRDMLCLCDRAAHEETTN >Et_1A_007427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34417741:34424522:1 gene:Et_1A_007427 transcript:Et_1A_007427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNRLMNSNAMSPTPDVDFAFAPMQPYLGTFDAGMSMPSCVDRPLLQLQHHQNLYDNFDFAAAAASTGFPFQESSVLLPLPPPPPSPSMAMAMPSPLQMPPPLVSTAAELYPFASGFLKREVDGPFVDSGAGAGGGRIGLDLGRRTYFSPADVLAVDRLLTRSRHGGGGVGMGLGLGMAGVLGLGLGAAHHHQQQPPRCQAEGCKADLSAAKHYHRRHKVCEYHAKAAAVAAAGKQQRFCQQCSRFHVLAEFDEAKRSCRKRLTEHNRRRRKPASAHENDSSPPPKKADTTSITTSNNSDHKSASTAAAKSTAISPNGSGVSCLDMDNGQTSSAAPTALSLAALPLPEKDGGLDTMLMQHVVRGRDGDDDDDEQQRFMTSLVLQAQQHQQQHNGGGNILSCSSVSDQQQNSGCNGFFEVDFI >Et_10A_000169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14191728:14192102:1 gene:Et_10A_000169 transcript:Et_10A_000169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSPPSLCEFIEYIDTEQTPENIAHVYRVAERARRHWFDMEAEEKREEERRKMRQKEEERRCEYEAERKAREVERERMRGRARRASTAGPDAFRKEKYPRCTQ >Et_2B_022870.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:769440:770096:1 gene:Et_2B_022870 transcript:Et_2B_022870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDMGYDEQACEGEYATVMSAPPKRPAGRTKFRETRHPVYRGVRRRGPAGRWVCEVREPNKKSRIWLGTFATAEAAARAHDVAALALRGRAACLNFADSARLLRVDPATLATPEDIRRAAIELAEASCQQDAAAAASASSSGAGDAAAAAADPMMMEEAAAAPYDYAMYGNMDFDHSYYYNDGMGGGNWQSNWHMDGDDDGSGAAGCGGGDVPLWNY >Et_5A_041962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4691290:4693321:1 gene:Et_5A_041962 transcript:Et_5A_041962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALTLRAYLLLLLPWVLAGSILPPLDPVQCSTSVGGRFVNCTVTNTHGSFNDRKPCHAADAVYPRTEAELVAAVARAVREKRRAKAATRHSHSFPKLACPGGDGTVISTARLDRVVRVDAARRRVTVESGMLLRELVREAAAAGMALSCPPNFYGVTVGGLLATGAHGSSLVGKGGAVHEHVVGLRIVTPAPLGRRLAAVVRELGEDDPDLNAAKVSLGVLGVVSQVTLQLEPLFKRSVTFIKNESDADLAEMVAAWGRRHEFGEIVWLPGQGAVVLRKGDRVDVSTPGDGADNNYGPRPTVDIVRDQEQEDWLQDAGSDEALCRASRARLAANELRGFGFINDGESFTGYPVVGYQHRIQASGACLGEEDAGGLLRESSSSISSCVWDPRIHGTVIHNPGFSVALSNLEYFDFRLLMIARPQETVRRKQPALPSRSPNLSVTSDKFFLPIKFSFVKDRFDPDGVFSSEWSDRVLGRSTVAAAPGCAVDGLCACTDDAHCAPGYVCSSGKVYPEARVCSAAQPQGASTAQMSIQQVTAVSATSER >Et_3A_024980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25829859:25833756:-1 gene:Et_3A_024980 transcript:Et_3A_024980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSYTNLLDLAEGNFAALGPAGGMGRRRGSFGLKRMSRVMTVPGTLSELDGEDESEPAATNSVASDVPSSLAGDRLIVVSNQLPVVARRRPDGRGWSFSWDDDSLLLQLRDGIPEEMEVLFVGSLRADVPVADQDEVSQALLDRFRCAAVFLPDPLNERFYHRFCKRHLWPLFHYMLPFSSSGPTETTSSSSTTASSSGNGRFDRGAWESYVLANKFFYEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLEYFGRTVGIKIMPVGVHMGQLQSVLRLPDREWRLSELQQQFDGKTVLLGVDDMDIFKGINLKLLAFENMLRTHPKWQGRAVLVQIANPARGKGKDLEAIQSEIWESCERINGEFGQSGYSPVVFIDRDVSSVEKIAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGAPGSESTLEVSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNVEATAEAMNEAISMPEQEKRLRHEKHYRYVSSHDVAYWSKSFIQDLERACKDHFRRTCWGIGLGFGFRVVALDSHFTKLNLDSIVNAYEMSESRAIFLDYDGTLVPQTSINKAPSAEVLSIINTLCSDRRNIVFLVSGRDKDTLGEWFSSCPKLGIAAEHGYFLRWSRDEEWQTCTQALDFGWMQMAKPVMNLYTEATDGSYIETKESALVWHHQDADLGFGSSQAKELLDHLESVLANEPVTVKSGQFIVEVKPQGVSKGVVADRILATMKERGKPADFVLCIGDDRSDEDMFENIADIMKRSMVAPRTSFFACTVGQKPSKAKFYLDDTFEVVTMLSTLADATEPELETDSADELADSISSLDIGDERTESSDRAIRGS >Et_9A_063557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7309626:7311092:1 gene:Et_9A_063557 transcript:Et_9A_063557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKCGNCDCADKTQCVKGNSYGVVIVDTEKTHFEAAQEGSCENDGCKCGSNCTCAGCNCGKKSPNSSVTL >Et_1B_014258.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:4561530:4562648:-1 gene:Et_1B_014258 transcript:Et_1B_014258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPVAYKKATAMLDEAARARLRGPFASNTAPASAPPGRADADDGLVDLVEEFYNGYDRRGTDAAVVAKDVTASRASEWKETLRATLADAAADVAAARIRAEAERVVRDLAPANTGGVGVKKHLVERLRARGFNAGKHSATLCSFVTEAKIMKWNVSRQGTLISKHFRRAAWLTHAGLCRSSWEKSGGVPAPGAHEYVDVTTGPSPSSSPRYIVEVNVASEFDIARPSAEYRDLLRSLPPVLVATPDAFQRVAEAMCAAAADSIRRAGMHLAPWRRAPYVQAKWSGQYERVAVTTVDTAATRQEEAVTPVAAARNAVASLAATARQEVEARAVARAPRPVGLKNCGMEMGRLELAMGREALMSVRPLFRGM >Et_10B_003279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18482689:18486586:1 gene:Et_10B_003279 transcript:Et_10B_003279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLLSRLLLRRSSPLPSHHLPLLRALSSAPSPISSDAELRKYAGYALLLLGCGAATYYSFPFPADALHKKAVPFKYAPLPEDLHAVSNWSGTHEVHTRVLLQPDSVEALEDALAAAHKERRKLRPLGSGLSPNGLALTRAGMVNLALMDKVLDVDVKKKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVGGFTQVGAHGTGAGLPPVDEQVISMKLVTPAKGTIELSREKDPELFYLARCGLGGLGVVAEVTLQCVERHQLVEHTFVSNADEVRKNHKKWLSENKHIKYLWIPYTDSVVVVKCNPPSKWRTPKLTSNYGKDEALQHVRDLYRESLKTYRTEAESNDPEIDALSFTELRDKLLALDPLDKEHVVRINKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSENCFPTGTLGNPSMKDLDFIENLLHLIEKEDIPAPAPIEQRWTARSKSPMSPASSSEDDAVFSWVGIIMYLPTSDARQRKEITEEFFNYRSLTQTSLWDDYSAYEHWAKIEVPKDKDELAELQARLRKRFPVDAYNKARMELDPNKVLSNAKLEKLFPVLEPVHQTK >Et_3A_024787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24163354:24168147:-1 gene:Et_3A_024787 transcript:Et_3A_024787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKIYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHGKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSIEALCRGYPSEFASYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIATAPPRAVGHGAGPSGLAAQLQNDRQSGPEEARTSGWSSMDRLRMPPPITSVGTLSKQKAPVGNDVPVSKGPVIPGSNFLGRSSGSSRRPAVSSSRDVVATDSSEPSRTRTTDASPGAFRRASGPQRSSPVHSSEPKRSSSGRHTSNVKNYDSALKGIEGLHFDGDERVQF >Et_3A_025961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34229814:34231968:-1 gene:Et_3A_025961 transcript:Et_3A_025961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPRWTEEVDDLVDAGDVDGAISLLESVVSNLSTAASPSGADLRLATALGDLAGLHASRGNTLRADELRARAIVHRSRAAAPGALGGHDPAEKSSSQEGVVASKDSEISANLDENNEDEDDDWEAIADRGAHDDTLVRSLEQEAKIPSCTSSEKSSTPSSSGPKRRGRGSFLYDKSVLYSDQCGSERDFDDKVSSPQSGSKGPANERENEAATAVAQFGTRHALVLYDFPPSTRTTDLEKIFDKFGEHGVAIRWINDTSALAVFRSPSAANEAQACIPSKYKVRSLKDDDDVLTKIDGTDLEPPKPRPKTSARTAQRLIAHGMGLKQFSNFDSGELKKQEEARRNRITARQALRDEAWGSD >Et_1A_005229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34319560:34319910:1 gene:Et_1A_005229 transcript:Et_1A_005229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQFPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERRAREAERERMRERARPARAAGPDAFRKEKYPRCTQ >Et_1A_006628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25359379:25363055:-1 gene:Et_1A_006628 transcript:Et_1A_006628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVEGRSYLPAEVRNGLETLKRRRLERMRLTAQKEAEDNPAVAARSGGDGLRSPSNCGVRLHSNNGTSFPGNVEGKNPFAKRKVEKFDMSNLEWIGEIPECPVYCPTKEEFVDPIAYIQKIAPEASKYGICKIVSPVSASVPAGVVLMKEQPNFKFMTRVQPLRLAEWAEDDTVTFFMSGRKYTFRDYEKMANKVFSKKYSSTSCLPARYVEEEFWREIAFGKMDFVEYACDVDGSAFSSSPHDQLGKSNWNLKNFSRLPNSVLRLLQAPIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGDAAPGFERVASQYVYNKDILTGDGEDAAFDVLLGKTTMFPPNVLLDHNVPVYKAVQKPGEFVITFPRSYHAGFSHGFNCGEAVNFAIGDWFPLGSLASKRYALLNRTPLLAHEELLCRSAVLLSDKLLTCDPKYLDNSEHPYSQYCVKSCFVRLMRFQRRARSLLVKMGSLTCYKPKTYPNLSCSLCRRDCYVTHVLCGCNFDPVCLHHEQEMRSCPCKSNRILYIREDIQELEALSRKFDQDDKERGTGSYNEAEMVDMNVQHVPKSEIRQDFSNHKDALSGSVANNGKSSVPSILYHEAPGPAETRVHGTETAQIHSTAKQAINTSTVKATYAVDESSSGMDDGCNELSSCNASAVEYSANSDSEDEIFRVKRRSTTSDKPASSDSRTSNLSEQQVLRRLKKVGPEMQRDSKRLQESDHCSGPSVRISQKISNAASSDEEREDMFPISWRMKRRQLETERHESGHSAQTNSYASSTSSSSREELMDMTRDAAAELRPKRVKIRLPSSATRQKIEQSSSAQRFARDDKSIGWPRTF >Et_7B_053624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10421560:10424296:1 gene:Et_7B_053624 transcript:Et_7B_053624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTPSLLIPAPPFFISDVRRLQFFPRGRRRPGLSLGGLGWEPVRTRMISSSFNGIRRTSRRSVICASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSREFRSTLEREIGIDEVPPSSNYRPTTTNNSQPPAVDPNVKPETTPYTSEELMKVTEEQLAASAAAAWNTQPAAQSQQQEATPTSQSNDAAPSGGNDGPAAATETEPDSRQPNQAEKAKTER >Et_10A_001203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22121388:22124032:1 gene:Et_10A_001203 transcript:Et_10A_001203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVKIEMSSLKKSDKKAALDFAAWSFNITTSVGIIMVNKALMATHGFSFATTLTGLHFVTTTLMTVVFRWLGLSQPSQLPLPDLIKFVIFSNLSIVG >Et_5B_044808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6053921:6057310:1 gene:Et_5B_044808 transcript:Et_5B_044808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRFPTATSPRLPLPPAGNRAAIAATIAAAAAAAAAAAAGLTLTAKSACRPLPHPGPSAPLWASLSLADGVYANGDDLKQQLKEKYQKFSVSELKENAELIKDALERDIPMTVRLQIVYGRLSIRSVRSAFEKSVGSRLEKFGGQDTKDLLQSFVSLFKDEYKLPKGSVIELSRESNHVLKISIEGEEVGSIQSSLLCQSILDLYMGDDPFDKNAKDNIQENIHARLGKLRAGVSKSPELIDSFASIVVATLPGKERMVTRLTSSASSIFAWILSFARVHDISGSVGFPGQRINADVLNQ >Et_3B_028167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11267519:11269018:1 gene:Et_3B_028167 transcript:Et_3B_028167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPPTEGGRGEIHRRNSYKVEEEASHTRRRREDQMASLVKRRIKETPHAQVKEYLQIRSQEKKLAVQSPTSHQGTRNRSRYLVRHGCIEVFCELLLWAHDTVTLTLCLESLENILKVGETEKQPEACDFNIFADMIADCGGLDKIMNLQSHDNDMIYEMAYHLLGSYYYVENVTLGGDATHSSVHRANQHVSVPPA >Et_3A_023397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25351990:25352574:-1 gene:Et_3A_023397 transcript:Et_3A_023397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSSLSNLGLGYSIAIALGFLVLLASLLLASYFCCSRVGGADYWAGNPSGAVTPASSSAAASSPVGLDLAAIASYPKVPFSSSRGADADATCSICLSEYRDSEMLRVMPECRHRFHVACLDAWLRRNGSCPVCRSSPIPTPNATPLSELVPLSQYAADRRRRR >Et_9A_062624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2497262:2501872:1 gene:Et_9A_062624 transcript:Et_9A_062624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPLLRRGAAAVAAAGSGGVLPTARPDPPASLASLLLASRSYAKAKGGAKPASSTSNRGKVRAKDQRGVASADDAAGDFAGGGGGVEDDGIDDEFELPTDPLPPTYDPALDVGPGGRPLFAFTDTFGNFHHRDANVYVDFTLDEWKAVLPEGLPAGMMKEFQETRRCAVMVRKSFVDLRDNFRRIVDPAVTSNWKDVKRQIVLDGPRSCGKSIALAMLVHWARTEGWLVFYVPQGKDWTHGGFFYRNTYSDFFDTPIQAAKIMQDFMKYNEARLQQLPCQIFEPIPLGEGAGVGMMKGADTVEMPEGSTLYDLIQTGITNTHASVGVLVHLRKELSLVKDVPVLFAIGQYNSWFTFSDFQEPVTVRSCRPIHAKELTLVNAYRPMLHNDMMIGAFSHSTAVGKLRQELPDVPADARTMFPRYSVEEAETVCHYYMRQKIIRRENFSEEKWKKIYYLSNGNGSEMSFSYQDYALL >Et_3B_030070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30238795:30240342:1 gene:Et_3B_030070 transcript:Et_3B_030070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRDTEAAGQELKGSGYTIAATAHTVDTDSWQQVGLLLVIGFNCAYVLSFSNLMMVPLGWGWGVACLLLVGGAAWYANWLLAGLHVVEGQRFIRYRDLMGFVFGRNMYYLTWFLQFTTLLLCNMGFILLGARALKAINVEFTHSPARLQWFIAATGAVYFAFAYLVPTISAMRNWLATSAALTIAFDVTLLAVLVKDGKSNHQKDYNVHGTQAEKVFNALGAVAAILVCNTSGLLPEIQSTLREPAVRNMRRALQLQYTAGAAVYYGVSVAGYWAYGSTVSEYLPNQLSGPRWATVLINAAAFLQSVVSQHVPILLALHSLKP >Et_1A_005271.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:3733021:3733158:-1 gene:Et_1A_005271 transcript:Et_1A_005271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLLMQMEATPRHLMRTISIWCFATLVCAHTHLTLVFVVNDHRL >Et_1B_010491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1138116:1139590:-1 gene:Et_1B_010491 transcript:Et_1B_010491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELRLVQGSLLKKVLEAIRDLVTDANFDCSETGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNLSMGMNLNNMAKMLRCAGNDDIITLKADDGSDTVTFMFESPKQDKIADFEMKLMDIDSEHLGIPDSEYQAIVRMPSAEFMRICKDLSSIGDTVVISVTKEGVKFSTSGEIGSANIVCRQNQTVDKPEEATIIEMQEPVSLTFALRYMNSFTKASTLSDQVTISLSSELPVVVEYKIAEMGYIRFYLAPKIEEDEEMKP >Et_4B_036788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11580049:11581883:1 gene:Et_4B_036788 transcript:Et_4B_036788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQTAEELAAQIEQQKLEEQKTEVRLTRNPPPLPRPNGQEGDAGGKSKQSRSEKKSRKAMLKLGMKPITGVSRVTVKKSKNILFVISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSQMMAKPETSGLGQEDNEEDVDETGVEPKDIELVMTQATVSRAKAVKALKASGGDIVSAIMDLTN >Et_4B_038137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26597143:26599900:-1 gene:Et_4B_038137 transcript:Et_4B_038137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAAASQVALSAPVGSDRGVRSSGIKGNNNVSFSNKQWMGATLAWESKATRLRHANKVLCMSVQQASKSKVAVAPHHLENAKEPPLNTYKPKEPYTATIVSVERLVGPKAPGETCHVVIDHGGNVPYWEGQSYGVIPPGENPKKPGAPHNVRLYSIASTRYGDNFDGRTASLCVRRAVYYDPETGKEDPSKNGVCSNFLCNSKPGDKIQLTGPSGKIMLLPEDNPNATHIMIATGTGVAPFRGYLRRLFMEDVPNYKFGGLAWLFLGVANADSLLYDEEFTNYLKQYPDNFRYDKALSREQKNRSGGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKKVAEQRGESWDQKLSQLKKNKQWHVEVY >Et_1B_014093.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30443131:30443658:-1 gene:Et_1B_014093 transcript:Et_1B_014093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVDKEGRETRLNGRDNGHRGLSAAEAPVELDLLAGMVGSVESVVCAAEPLPVLAVPDVAAAPSVAAGLEPPQLFGCHYCRRQFYSSQALGGHQNAHKRERTLARHRGDVVAPLVGGVPFTVHGAFVAAPALGWMHAANAQAAVGERRLVAAGGHAVDGGGEERPKLDLTLKL >Et_4A_034191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30612306:30651491:-1 gene:Et_4A_034191 transcript:Et_4A_034191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSRRSWIYLTLMRIVVHDDTGGHLLARLLSSACCPCLQKLRLSGICIGGVKELVVEAGALTDLSLEHAKETSSLKLNTPSLQHLRIYGCCSLEAIMVSAPRQERIACLFRPMYVDSHRWGRVVLKLNMCQHSVGDCVTNLLAKFTKLRYLYLNFGYDRLDEVSGWGSDFVCDNWKSSELSSKHLQEVECNGVTGTDCELQFLQSVLEVINFVACYLAMGYGLTTLGGRPTTEDVDEAKDQQPKLSTTHEHTAGAEDLISGLCDDVLLRILGLVAKDGDWDAACTGVLSRRWHGLWTRVPALRLHVPCRTYNDEAYFCKLGPCIDREHSSIDPGAMEPTTEDLDEAKDQQPKLSTTHAHAAGAEDFISGLCDDVLLRILGLATKDGDWDAARTGVLPRRWRGLWTRVPSLRFSSTPWSRRSGWSAEQFIAFVDGALVRRAQSGDGVEHLDISLMFDEKEHDLEQVEPPSVAAAEKWIWYAMQRGVKSFVFELDLPPPKAVQTDVDGDDDDDDAEEEGPVIALYDLPSSATLETMHLDLHFVRMRLPSTAVFALLVDLKLEFIEVQDSSGGHLLSRLVSSACCPCLRKLRLCCIQGVNELVVEASALTDLSLEFMLGTRSLKIVTPNLRVLCIQSCGRFEALTLSAAKLEHITCTCCCWRPLSIDIDQDLSCVSSLKLSLCSHVSSDDALNENLMSISLLQRCQFARCLDVDLSVPGQVHIQFYSVSVWISIPFILIWFWKVIALSNSFLMPQLCQVTYLKLDISPGWTWHAFGDCLANFLSTFTNLRYLHLNFGNQRFTKVSRKCSQLIHQIIVSTPFHLTINHMHVLAAAISLFWWESDPVCNHWKSHEFSSLHLEEVEFKGVTGTECELQFLRFMLIGATQLLKAVLNFSPDNELEGQKNDDFHRRLLGHGIWTDYCGDYRSCEWRPPCTTHEHAAGAEDLISGLCDDVLLRILGLAAKDGDWDAARTGVLSRRWRGLWTRVPALRFSSTPWSRHGWNPERFISFVDGALARRAQSGDCVEHLDISFVFDDMHDPEQVEQPSIAAAEKWISYAMQQGVSSFVFVLDLPLPKALETDPDDEQEDNGEEVPVISLDDLPSSATLETMNLDLEGIRMRLPVTAVFASLVDLKFESIQVDDSSGHLLSRIVSSACCPRLRKLYLCSIEGAKELVVEASALTDLLLEFMHETRSLKIMTPNLRVLCIQLWGDLEVLTVSAPKLEHITSGRNCWRSLLIDIHPDLSCVSSLKLSLWSHASLNNAHKNLMSISLLQHCQSARCLDVHLFVPSQVPFVTCWKSDSVCNHWKFYEFSSLHLEEVEFQGVKGTECELRFLQFMLAGAIQLRKYNIDDDVLLHILGLVADDDAKDVVRTAGALSRRWHGLWTRVPALTFSPGPRFIASASDAERYIAFISDALALRAQSSDVIERLEISLQMTNNLVPSSIRAAERWIQYAARQGVKSLVPQETETRRQGEACDDGSRWPSSLREAGDHVFGLEQTRVRLPATVVFPSLVDITLDSMEIAGDSGHLFAGLLSSPRLQKLLLWDVKLPGRRDLLLEAASLTELSLEDMSEMRSLELRTPNLRVLRIERCSRIKALTVLAPKLEEVVSLKNPLIRLGGKLSCVSRLNLDLSSHGYMDGDGKNKRSIRLLQRCSSARVLTVSFYQRNKRRRIDIIKGRVPKLPHVTSLTIEVDFRKEWHSVVDGFINLRYLEIQLLQCYRPKMNDVMRSDVDAGTSNFLSDHKYGLNADKISLSHLQEAKFRGLIGTEDSELPFLQCVLASATNLQKVVRAGEMNFDVRCLVAERGLPASSKEYVDETKLQTPSPPASHDGDDRISGLGDDVLLHILGLVANAKDVVRTGTLSRRWRGLWTRVPALSFFSLPSYGIKTVSDAERYIAFISDALALRAQSSDAIESLEISLNLTDDCGQLLGPPSIRAAERWIHYAVRQGVKSFELVLGIPIDDYVPDNKKGKHGGQQKLVTMALDGLPRSENLKTMYLKLSKARVRFPATVVFPSLVDLTLDSIEIAGDSRHLFAGLLSSPRLQKLCMCNVVLSDRPYLLLEAATLVELSLDRMYDLWSLELWTPNLQFLSIEWLELTALTVLAPKLEKVVSLYNTCLIHLRGELSCLSILHLDLSSHGYIDGRDGGNNSSIHLLKRCSSIRELTVSLNVPGEKWCPIDIIQDRVQQLPHVTSLAIEVNFEMGCHSDVVGLNVDVGTSTFLSDHTYGWSANKISLGHLKEAQFLGLIGTQDNELPFLKYVLASATNLQKLVRAGEMNSDTCCLVAERGLPAYYDVDEAKQHTMASASAGKDGDDDDRISGLGDDVLLHILGLARDARDVLRTGALSRQWRGLWRRVPALTFSPWPQQLIGSASDAQRRYIAFVSDVLSLRAQSSDAIERLAISLVIYNSCGKHVAPSSIEAAERWIQYAVRHGVKSFDLKLLPAEEDGGRNNKKKTRDKGGRERFEMALDELLLPSSAKLETLRLDLSNAKARLPTTVVFPSLVDLTLESVEVIGDCGSNSNPFAAGLLSSPRLQKLRLCDITLTGFEETLLIESPSLAELSLENVYEMESLELRTPNLRVLRIVDCQDIEALTVSAPRLEELELSSNPSLVHIEGDLCCSRLNNVSLSSHGTTDDGNNRSIRLLQQCSSVRDLTLSLTLKRNKWRCIDIIKDRMPQLPHVTSLAIEVRLPREQHSLLGDSLASLLTGFNNLKYLDIQLYHSYNHSYNPYQNVVRFDVDAGTSASLSDRTYGWNDNKIPLVHLEEAKLRGLVESEECELPFLQSVLAGARNLRKVVVSFDAEYSLESGRDEFRDKLLGGGTWSPCRDASAAHGAISRGGDGEDRISCLGDDVLLHILGLLRDADARDLVRTGALSRRWRGLWTRVPALRFDSWPTSTYGDSAERFVAFVDNALALRARSSDVVGLERLAISFSSTDMFPDHELHARLSILASERWVRYALQHGVRSFHFELSLPRRIRKELPTLDLADLPSSSKLETLRLVVDGASVRLPATAVFPALTDLALENMDIALDTGPIFTRFLSPACCPHLHKLRMRSIELGRRGLSPRLFIEANNLSELTPGLRFLRVKKCHALHTLTAVAPRLSAFTSVGNPSLTYVDGDLSCVTAIKVDLSSHVDIEYGEDDDAEYVNDGSAVVLQHFSLAKCLKVDLEIPMRKHDDPGIIEDMMVPQLGDVTSLKVSISGPYEQHSLGDGVASLLTFFQKPHISQPRLQEVSTFLFIALNHKQNLINLSSSANLEALRLNLVHSVRVRLPSTVVFASLKYLALEFIKLESGSGPLLSRLLSSACCPHLQKLRMLYVNFKRGGTKQLVLENDTLSKLSLENMEGIESLQLVAPNLMDLKIEGCSKKLGSVTVTAPELEELTFLHNRLQADVHGDFPCVWALKINLISHMFYGDDINDTRICLLQRCSSIRGLLVCLEIPSILERRVDVIKDKIPQLPHVTSLTVIVNLITERHSFGDGVASLLTRFNNLRLLRLELDEWPGSKKADDFWSEDEDRDSLSFDCDHEDHWEPGAMSFVHLHKAEFIGLTGTDCELRFLQSVLASAMDIQKVTISFSMNYSLEGRMDDFQQKLLAHGTWTACHDDYKNPYKWRPNPRTLERLYVLDLSVPIFLTYRRKQSYIASAHEDVGDAATQTKPGRLSNVTGAGDDDDMISGLCDDVLLRILEQVADARDVVRTGVLSRRWRGLWTRAPVLAFNTWPEFESVGDVELYVAFVNNVLAQRGRWDECVELLAIPLVMDMDFGEEVEQSSEEGDDQVAHGIDRDDLHDSTHLETLYYIRVRLPSTVVFASLTCLALAFMKLEPGSGHLLSRLLSSSCCPRLQKLRMLYVNIKRAGTKQLVLENDALLNLSLKNMEGMESLELVAPNLLDLKIEGCSDKLRSVTVTAPRLEELSFLHNYWQVDIHGDMPCVWGLKIGLVSHLRWDDDINDTSIRLLQRCSSTRELVYLGIPSVVPFKVQIGCYIFQSLNLFLLILPLEQITARLVDLIKDKIPQLPNVTYLGVLVHHMCERHSFGDGVSSRDLKISNIYLLLQLEEVPGVTKKDEFWSQGDDRHSSSFTCDHEDHWESSGMSFVHLQKAKFKGLTGTDCELRFLQSVLASATYIQKVTISFNAVYCLDGRVDDFQLKLLAHGTWTACKDDYKTHSTPQPTAIMEAYEDAGGASRQTKSGRRLHDSHGAAAGDDLISGLCDDVLLRILGCVADARDVVRTGALSRRWRGLWTRVPVIYFHSLPPGSELAHDNEPFIAFVNDVLALRAQSELENGVEHLEISFWMRYTHGEQKLAPRCIQVAEGWIRYAVQHAVRSFHFQLCPVLTLTKPQVDYQQQQQEEEGSDEGADDDDDEEMEMEEEEQNSGDEEESDDDDEETPMMALDNVTSSGKLETMFLALEGASVRLPSTVVFASLSNLTIESIELAADSGHLLGRLLSSACCPRLQKLRIWKIQLPDAREELVLEAGALSELWLEQMGGMRSLELRTPNLLDLEIYWCNELEELNVAAPRLEKLACAFNPSEIVVNGDLRSVRILKIDLCVHRALDYYRNNETSICLLQSCGSAGHLVVYLLENVPRVCIIIMSTNSSVGFALFQLAVSSSFFFLCLSISFDQTENQEADLIKDKIPQLPHITSLVVHPICDRNSFGIGVASLLSRFNNLRYLILHFMKDELCSKSANGVSCFVCDHAYHRKSSDISLASLQEAEFRGLAGTDCEVQFLKCVLSSAVELQKLAVNFKANCPLDERRDNFKHIATDIQKVTVSFNTDYCLEGRVDDFQLKLLAHGTWTACNDDYKTLYTWRPNGGAAGNWYVGIWYHDISVRTSVWVANRERPVSDPAASRLVIAPDGNLVLLDHHGSLAWSTNAAGNANAPPTAAVLHDTGNLVLAPASNASAVLWPLRQHRRQLVLEAGALSELWLEQMGGMKSLELRTPNLLDLDIYWCNELEKLNVAAPRLEKLDCAFNPLEIVVHGDLRSVRNLRIDLCVHRDLDYGNNETGICLLQRCGLAGQLVVYLIENVPRYWLCTVSISSIFPLFLCSKLIVLKYCRLDLSFFFHCYLLIFFSDMYPSTAEDQEVDLIKDKIPQLPHITSLKVRPICERYSFGTAVASLLSSFNNLRYLILNFCKVVYLDMKSISPLLLCFSHGILHISNFCTQQDESRNRNANGVSCFVCDHAYHRNSDVSLPSLQQTEFRGLAGTDCELQFLKRVLSSAVGLRKVLVNFKENCLLDERRDNFKRMLLGDGAWVACEDAYGQWYKRKPCP >Et_2A_017812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6475776:6478880:-1 gene:Et_2A_017812 transcript:Et_2A_017812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGGESQKQFLSIIRDFASEKSHGERRVSDLRRRLADSRAAADAALEELDAAKRAREEAEQELRGSQVQAAIAAASIQALEATMARLQEEISKVGSDLDALKMHEMNTKIREFQQMASQELAEDSRSGLQSAEGQHIADKLKPVDSDSILNDLTDKVITFEAEVQLLEGEYKNDLLDHDKVRQELADVQAKRALMEAFMGDMKQVQELGGYPCTFARAVSTTRSAELDKVRTSLAEELQRRYTCPGCGVNNMAGLEEAAN >Et_4B_037501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20507003:20509223:-1 gene:Et_4B_037501 transcript:Et_4B_037501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGAIYEEYLRAEQHKYLAKCSHVEYKRLKKVLKKCRVGRSFQEDSANGNKQKEGNTESSDMFFTELNKEASEIAGCFSSRVQRLLHLHVPSGLQRYIWRVRQCFRDDQQLMAQEGRMLLNYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTEHIELLQSPWLIELGAFHLNCNDSDADEPVGFFKNEFFKNFSCDLTGAQPILTMAISDAMKYEYSLTCPICLDTLFNPYALSCGHLFCKACACSAASVYIFQGVKSAPPEAKCPVCRAVGVFGRAVHMTELDLLLKTRDKDYWRQRLREERTEMVKQSKEYWDSQAMLSMGI >Et_10B_002734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10150704:10163515:1 gene:Et_10B_002734 transcript:Et_10B_002734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSPAPRAASSPSRRQQQSRGFLLTSLLLVGCYAALAPPHPAAAAGEVLLRQVVADEGPFFEPFNVTYDHRAVRIGGERRMLVSAGLHYPRATPEMWPSLIKKCKEGGADEIETYVFWNGHEPAKGQYYFEGRFDIVKFAKLVAAEGLFLFLRIGPYACAEWNFGGFPVWLRDIPGIEFRTDNEPFKAEMQTFVTKIVDIMKEEKLYSWQGGPIILQQIENEYGNIQGRYGQAGKRYMQWAARMALELETGVPWVMCRQTDAPKPILDTCNAFYCDGFKPNSYDKPTIWTEDWDGWYADWGESLPHRPAQDNAFAVARFYQRGGSLQNYYMFFGGTNFERTAGGPLQITSYDYDAPITEYGILRQPKWGHLKDLHAAIKLCEPALTAVDGSPQYVKLGSMQEAHIYSNRKVHTNGSISGNAQICSAFLANIDEHKSVSVWIFGKSYSLPPWSVSILPDCENVAFNTARVGTQTSVFSVESGSPAYLSRQMPSILPLVGKSPYLSSTWWTSEEPIGTWGDDNFSAEGILEHLNVTKDISDYLWYTTRVNISDEDVALWGSKGVLPSLTIDQIRDVARVFVNGKLAGSKVGKWVSLNQSIQLDQGVNELSLLSEIVGLQNYGAFLEKDGAGFKGQVKLTGLSSGDIDLTNLLWTYQVGLKGEFSMIYSPEKQGCSEWSSMQKDDIQSPFTWYKTMFDAPKGTDPVAIGLGSMGKGQAWVNGHLIGRYWSIVAPESGCPSSCNYAGAYGDSKCRSNCGMPTQSWYHIPREWLQESDNLLETGGDPYQISLEVHYTKTVCSRISENYYPPLSAWSHLANGRASVNKAAPELHLQCDDGHVISKITFASYGTPSGGCQNFSVGNCHASSTLDLVTEACVGKDKCAISVTNDVFGDPCPRVVKDLAVQAECSAPPETKGPREDM >Et_1A_004799.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6366231:6366576:1 gene:Et_1A_004799 transcript:Et_1A_004799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AELFLGKLVGLNFEDIASKWICQKKCAAENVITASVVRSLWLLRNDHVFNKQVWRDMKSVLKISLKLAKTWLPVFKESILLTMERWCIFLEQQVQAPMMIQLQVQHRKILQ >Et_3B_028210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11786604:11790412:-1 gene:Et_3B_028210 transcript:Et_3B_028210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVGLTGGIASGKSTVSNLFKDAGVPIVDADVVARDVVRKGTGGWKKIVKAFGNDILLENGEIDRARLGQIVFSDPAKRQLLNRLLAPHISAGILWEIAKSWMKGCKVIVLDVPLLFETKMDRWTNPVIVVWVDPEIQIQRLMSRDGCSEEQAQNRINAQFALDWKKSEADIVINNSGSLDETKEQFREVLSKVSEPLTWKERLRSRDGLFSVIICTAVGVEKKIPSEGQFQWYNELLGIFDLIGSEESGLHRIIFELIKGAGQDSFLPLLQHTGNLFGVIKVKLGKEGLRIV >Et_1B_011898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2730526:2740312:-1 gene:Et_1B_011898 transcript:Et_1B_011898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDLSPSPHIEYDKLLGGLLIEGFDEKSCRSRYQFARYHKNSKGIPSPYLLERLRKQEALQKKCGPGNKLYKKAAEQLRSGQLINVTECNYLFLTIHAGLGNRMLEITSAFLYALITNRVLLVDRYKEIADLFCEPFPETSWLVPSDFPLNYGEFTQSSPQSYGNMVQNKVFGGSTDRSLAGTRPPYVYLHLDGNYGFHDKLFYCQDDQQFLQDVPWLIMRTDMYFVPSLFLIPAYQEELSRLFPEKDTVFHHLGRYLFHPTNDIWYSVTKYYRSYLAKAEKIVGIQIRIYETKGILQRNGPFPHILNQILSCAQNEKLLPEISMTEEATTSAKNNRTIAILTTSLSSWYSDQIREKYDQHRTVDGTTVKVYQPSHEEYQRSRNKKHNMKALAEIYLLSMTDVLITSGFSTFGYAAQGLAGLKPWIMFRSENHVTPDPPCRRAMSIEPCFHQAPYYDCKAKRDADLGKVVPYVRHCEDVSWGLKIQRKSAAQGAEQEIPVSQGAMARHSWPDAEGAPEHSPMPRKKKPQAAKRWSSAVNVALVAFFVFVVFFAGGGVAPSVWFAGVKAQLRRGSDRGSFPYERSVPDKLLGGLLPEGFDEKSCRSRYESSMYRRNPGRQPSPHLIAKLRKHEELQRRCGPNTDAYSRAIQQLRSGKSVFGSPECKYLVSISYRGLGNRILAAVSAFLYAVLTERVLLIDPSNEMDELFCEPFPGTTWLLPRDFPLASYANFSIDTAESYGNMLKNKVLLNEQLPAFAYIHLDHDYGDEDKMFFCADDQRALSNVPWLVMRTDLYTVPGLFLVASFQEELDALFPERDAVFHHLGRYLFHPTNRVWGLVTRYYRAYLARAELRLGVQVRAFDDWQAKSPHVLQQITSCVWKEKLLPELLATAEEHTAPTPGAVAKSTTVLITSLRAWYYERIKGMYWERATATGEDVSVHQPSHDEYQKFGAKSHEDKAWAEMYLLSLCDALVTSGWSTFGYVAQGLGGMTPWVMYRPLNITEVPDPPCGRDVSMEPCFHAPPVYDCKMKRGADTGKMLPHVRHCEDVSWGLKLVDPKERIRRSPTRQAAASPSGHPRGRKGAAAMLPLSVAALVACAVLLLLLVGGSAARRGQFLDADPSELHGGGSAGRGDLHQARPRDGIHGTSISSKVKKDKLIGGLLAPGFDEQSCLSRYQSVLYRKESPHLPSSYLLERLREHEVLQKKCGPHTELYKKAIDQLKSGQDTKVGDCNYLVWVSYSGLGNRILTIASAFLYAILTNRVLLLDGDQGTADLFCEPFPDTSWLLPPDFPIKQFKNFSIGSPESYGSMLKTGVVHSDGSFMGPSPAFLYLHLAHDYDDYDKLFFCEYNQQHLQKIPWLILRSDNYFVPSLFLIPAYQAELMRLFPQKDAVFHHLGRYLFHPANVVWGLITRYYDSYLATADEKLGIQIRVFDTEPGPFQHVLDQVLACTLKEKLLPDISAQQPIISIGNVRSKVVLITSLNSGYYETIKNMYWEHPTMNGEIISFHQPSHEEHQSSDRTMHNMKALAEIYLLSLSDVMVTSAWSTFGYVAQGLSGLKTWLMFKPENRTSPDPPCRQVMSMEPCFHAPPFYDCKARKGTDTGKLVPHVRHCEDMSWGLKLVDQNE >Et_9A_062391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22461385:22465075:1 gene:Et_9A_062391 transcript:Et_9A_062391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHASKSYLCAGSSSFDDDVIEVSPSVAAAGGWASGHHKRKRSQVVPHEVIEIADDDPEGVVIIGEKASVDKNKKAIVHPIDWPKHSKSDLLQDLAGPSTFTLQKTAPWFDPWFGHNTLNKTDPWLGHKPVHDESVYNYSDDPEGFEDDDAYDEDEYEDDGYDPSLIESDYNYGLTAEFDGLDASGAEASIENANKAKPTKIMAQKIDEKYKAFKQFDTVDDHSDHYYSKPESRKVQVVKKPSKDWAKRIQHEWKVLEKDLPDTIFVRAYEDRMDLLRAVIMGPAGTPYHDGLFFFDVYFPPQYPSVPPNFEDFVAGHFRNYGRNILVACRAYLDGAQVGCLVGNGVQDVDEGDKSCSVKFQQSLKRLFEELLKELTAKGADCEKFLTQKARSGPSAATAADTTLRL >Et_9A_061133.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17896986:17897207:1 gene:Et_9A_061133 transcript:Et_9A_061133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVHTGAAPRYFDAPLLVANLEAHERGGVQGRSTNLSQLLRDCWEEASLWRCRLRRDEATICSSCCSIFNLM >Et_4A_032736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13199512:13203639:1 gene:Et_4A_032736 transcript:Et_4A_032736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIPSLKSLNAFPHAEEHLLKKTYSGAIVTIFGLIIMLTLFVHELKFYLTTYTVHQMPVDLKRGENLPIHINMSFPSLPCEVLSVDAIDMSGKHEVDLHTNIWKLRLDRYGHIIGTEYLSDLVEKEHGAHNHDHGHEHHDEAKKHEHTFNEDAEKMVKSVKQALENGEGCRVYGHLDVQRVAGNFHISVHGLNIFVAEKIFEGSSHVNVSHVIHELSFGPKYPGIDNPLDHTTRILHDTSGTFKYYIKVVPTEYRSLSKKVLPTNQFSVTEYFVPIRPTDRAWPAVYFLYDLSPITVTIKEERRNFLHFITRLCAVLGGTFAMTGMLDRWMYRLIESVTNSKTRSVLR >Et_8B_058570.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:19472873:19473238:1 gene:Et_8B_058570 transcript:Et_8B_058570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHRTALLICSRRTALMGLMPGAAGAEEADPVASSTRHSEPMRWRRDSTSSSAWSQATRKSSHRCLKASSIASMAAVFSALPA >Et_1B_011438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22106847:22108423:-1 gene:Et_1B_011438 transcript:Et_1B_011438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRIRQLLVYTRLSEVVPRKSKDGGNWKDNLKLVAAGLPKNTSSSPVHFATTTFGQAPDAIHALALCRGDVVNDTACGECVATTFQRMLNWTPPPDPPAQCYRSFYYGDPCVVLCSDADFLSNITAGDDAPFEKWNVKNVSAGDARFMAGQIRLLLVETVERAASSAPRRFATGIVDGGPTFPSVYSLAQCTPDLSSG >Et_1A_008095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4308941:4311486:-1 gene:Et_1A_008095 transcript:Et_1A_008095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLKNSRSVLSRLLRRPPAACTPPQPRCYYYTLRDRPGHPSPQPMQAPAARRSQHTGRWTVRWTSRRGGGSRWYEDERVAQAAFLVAVGGAGALLFCRREAVPYTGRTRFVLLSPTAERWLGEILFAVEKEELGSKVLPPHDPRSVRVQRIASEVVRAARRADVKRDVDEAPSQKLHGKAAARRTKQANIDGFNWEVIVVRENEVNAMCMPGGKIIVYTGFLNTFREDAEIATVLGHEVGHAVARHNAESLTVILGFLGFLILHLLISLFVEMPDGTYLVREMEADHVGLMLLAAAGYEIHGRHLLSMRN >Et_1A_008480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8017659:8020660:1 gene:Et_1A_008480 transcript:Et_1A_008480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGDLMTSKVLRHIRGYYREALEQLLEEEFPSLLTAGASFGLLDPVSNIVVNTAAAAVKDGTAPSPGRNKKRKRSQQRIVAEDETDKRKVLAEAARGIAKRSLDGLLAFLADLLVAARLIDLDRCVTTSPSAAAVNPSTTSVQVALKCAALSAKHPCPATLADTWLSMATSPSPDQERKLHELASHSPRLQALLLTLPDLSAGVGHLHTPRQHKAVRLHHKRRGRERAGNVVYPELTEARRGRLHDVINGIYGDRQPAQGRSAAAPPPGPPQGRPMLRPMDDPVSNVLLNAIWYDTMFPPQEEFVADMICTRSLVRVQARSATGLVSFLCARFPDLSEHDAVVFLLEAGANLHDAVSRAQREGHDASGSLEQAYRTAALAAWHPHPEALAEFAVSAAPSTALSLLKVNDSSLSAEDVDVISASLEESLGSPSSGSCRSMPEKLTHTSQKLVGRHQENFDDDRFFFRGLVEAALLEFTKTSIGPKFRLHVICGVNENVAEYCHINFWAKPMGSPSAAETAQKLFFVECSNDDEDTEVVRCCVVDPSTDAGRCFRCEKGGIKIVHPSFGRYRGCDTNFEETACGGLNFPNEGLVTCETSRAEHIGWLKEEDSVYFDPRWDFKLAKDINKSTRKTEPITHANCMEIIMPNGVERLEALCPTDFRWRHKDGSRAELQRIVH >Et_1A_008461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7925691:7929116:1 gene:Et_1A_008461 transcript:Et_1A_008461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAEESALGYGGLVSDLREVYESGRTKDLEWRQSQLRGLLRLLREEEEEIFDVLREDLGKHRGESFRDEAATPLVAFPATAFVMPEPLGVVLIFSCWNLPIGLALEPLSGALAAGNAVVVKPSELAPSTAAFLAANLPKYLDSKAVKVVEGGPEVGEKLMEHRWDKVLFTGSSRVGRLIMTKAAKHLTPVALELGSKCPCIVDWLDSNRDSQVAVNRIIAAKWGTCAGQACIAIDYVLVEEEFAPILIELFKSTLKRFFTKPEFMSRILNKKHFQRLNDLLDDPKVASTVVHGGYFDAKTLTIEPTILLNPPLDSDIMTEEIFGPLLPIITVKKIEDSIAFLKSRPKPLAIYGFTRNEKLKRRIIDETSSGSVTFNDAIVQYGLDSIPFGGVGTSGFGQYHGKYSFEMFSHKKAVLKRSFLIEFMFRYPPWDDSKIGMLRHVFSYDYLSLFLALLGLRR >Et_2A_016062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20725329:20726217:1 gene:Et_2A_016062 transcript:Et_2A_016062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCLRQLVLAAYCVLLLAVFSASTSPPPAAGGHERVLTVPPAPANHWAMRHRFRVGDVLGLDQSAFDRMTRRACTADFKKWDGAVLLVWRGDYDKCGAARPFLRFADGNNNTDTRISLDGPVLNDQ >Et_5B_045020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8400297:8401024:-1 gene:Et_5B_045020 transcript:Et_5B_045020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPCTVQLSARAATSRRTSPRAAAAQGLRTPLLAGAGRRLGWLRPSRLSGVVPASESGRVGPTCWFRFGNKDAEGAGIYGSQGRDDFDRDDVEQYFNYMGMLAVEGTYDKMEALLNQDIHPVDILLMLAASEGDKPKIEELLRAGAKFDVKDVDGRTALDRATDDIREFIVGFAVKKA >Et_10A_001336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23556364:23557906:1 gene:Et_10A_001336 transcript:Et_10A_001336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAVRAAAADAVVTFLWVLCVSTLGASTAAVTSYLSVQGFHYALLITVSLLSVLLFAFNLLCDALGGASFNPTGVAAFYAAGLTSPSLFAVALRFPAQAAGAVGGALAISELMPAQYKHMLGGPSLKVDPHTGAVAEGVLTFVITMAVLWIIVKGPRNPILKTWMLSISTVSLAFGWAYVNNRHNTWEQFYVYWICPFIGAILAAWTFRAFFLPPATKPKAKKA >Et_8B_060010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:586077:587076:-1 gene:Et_8B_060010 transcript:Et_8B_060010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGDGDKLILRGLQFHGFHGVKQEEQTLGQKFVVDIDAWMDLVAAGESDSIADTISYTDIYRIAKSVVEGAPHNLLESVAHSIATSTLHKFPKISAVRVKVGKPHVAVQGVLDYLGVEIMRRRKA >Et_9A_062826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5489204:5491791:-1 gene:Et_9A_062826 transcript:Et_9A_062826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNDMFRIMFDRRFESETDPLFNKLKALNAERSRLSQSFEYNYGDFIPCSAPSSADAQDEGLRGQLRPGAQVMAQTGEIRCAMDHILEAERKGEINHDNVLYIVENINVAAIETTLWSIEWGIAELVNHPTIQSKLRDELTTVLGAGVPVTEPDLERLPYLQAVVKETLRLRMAIPLLVPHMNLNDGKLNGYDIPAESKILVNAWFLANDPKKWVKPEEYRPERFLEEEKAVEAHGNDFRFVPFGVGRRSCPGIILALPIIGITLGRLVQNFQLLPPPGQDKIDTTEKPGQFSNQIAKHATIVCKPLEA >Et_2A_017629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4234485:4238149:-1 gene:Et_2A_017629 transcript:Et_2A_017629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLLPTASAAVAPAAAPRRGRLPGLVSVRASAAAFTAVAPRRETDPKKRVVITGMGLVSVFGNDVDTYYDRLLSGESGIGPIDRFDASKFPTRFAGQIRGFSSEGYIDGKNDRRLDDCLRYCIVSGKKALESAGLPLGSQPMEKIDKARAGVLVGTGMGGLTVFSDGVQNLIEKGHRKITPFFIPYAITNMGSALLGMDVGFMGPNYSISTACATSNYCFYAAANHIRRGEADIMVAGGTEAAIIPIGVGGFVACRALSQRNDDPKTASRPWDKERDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCISQSLEDAGVAAEEVNYINCHATSTLAGDLAEVNAIKQVFKNPSGIKINATKSMIGHCLGAAGGLEAIATIKAITTGWVHPSINQFNPEEAVEFDTVPNVKKQHEVNVGISNSFGFGGHNSVVVFAPFKP >Et_10B_003695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:517704:518138:-1 gene:Et_10B_003695 transcript:Et_10B_003695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQQGIGFIWKLRKGERRNAGR >Et_8B_059845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3274830:3277126:-1 gene:Et_8B_059845 transcript:Et_8B_059845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILVVVALLLLVTTAMAAANIALPGCESKCGDVDVPYPFGTTPGCYRPGFMVTCRPSARRHEPPELVLGRDGQRVLEISVPNSTARIGGAVWFFDVGNTSATRLRVVPAGRPYVLSAAGNRLVHIGCGFRASAWTPRGEEARATSNTTCDSACSTETVEREKARLDRCGGIARCDGVGCCDAPIPAAGLASFGVRFEWSATTLGRSSPSPWLASEASVFAVEKEWWSRRENLLTLKMSLLALGRANGIVTPVLLDWAFDNSSTCSEGVRRADFGCVSKHSECLNSTGSAFGYVCQCRHGYQGNPYVRDGCQRQRGHLRGALYMSTGIIFAMGLGIGMWLLLLILATTYVTKKLVDQRAKKIMRGYFFKKNRGLLLQQLVDKDIAERMIFSLEELENATNKFDQARVLGGGGHGTVYKGILSDQHVVAIKRSKLVIQREIDGFINEVAILSQINHRSVVKLFGCCLETEVPLLVYEFIPNGTLYSHLHVDSPLSLLWKDRLRIALEVASSLAYLHSAASISIVHRDIKTSNILLDDLLASPLLLHKNGVIFY >Et_2B_021067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26091075:26093790:-1 gene:Et_2B_021067 transcript:Et_2B_021067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTHPALVVLVLACSGAARGFYLPGVAPVEFRKNDLLAVKVNHLSSINTQLPYSYYSLPFCRPNTVSNSANNLGEVLRGDRVENSLYEFEMMEPKQCQIVCKIILSQEEANDLNEKIDDEYRVNMILDKLPMVVPIVGFGHTSLFYQQGAHVGFKGQYAGVDNYSTYFIVNHLKFMVKYNKDAHTDLARIVAFEVTPHSVKHEYDGDWKGNATNLKTCDSQSGNLVSDRPQKVEANKEIIFTYDVYFEESDIKWASRWDTYNLVTNEHWFSVVNSLMMVLFLSGTIAMIMLRTLYRDISKYNQLENPEEAPEETGWKLVHGDVFRPPANTDLLCVYVGTGMQFFGMVLVTLLFAILGILSPSNRGGLITTMLLLWVFMGLFAGYSTTRLYKMFGGLEWKKITIKTATVFPGVVFIIFVVLNALLRGEKSSSAVPCSTFSHWFSSGLASQCHWSSYLGYNKPTIEYPVRTNKIPRPIPGRPWYMNPVISILIGGILPFGAVLMEFFFILSSIWLHQFYCNFGFLFLVFVVLILTCASVTILLCYFQLCSEDYHWWWRSYLTSGSSAVYLFLYATFYFFTKLQITKAVSTVLYFGYMLIASYAFFMLNGTMGFYACLWFTRLIYSSVKID >Et_6B_048433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3851100:3851729:-1 gene:Et_6B_048433 transcript:Et_6B_048433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEAEAMPAVVPMYSAPSSPPSLASSPSPNKTRGDDDGDGAAAAAGLTVVRSIEKLEQEVAETQQEVAQLRKRSSEMEMAVASLNAQLHRGLSKLAEMEVDTAARRSIGGDTDVASTVVRSERYWGAGAGDKLGASEYYLPSFSHALSLGGGRRRKVQKVKPIVPLIGDILFSKRKSTKEKGDGLHSGDLYSVIG >Et_2B_020306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18923962:18927541:1 gene:Et_2B_020306 transcript:Et_2B_020306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDLIAGGTESAAVIAEWAISELLKNPETLAKVTEELDRVIGSNRWVTEKDIPHLPYLEAVVKETMRLHIVAPMLSPRLSREDVSVNGYDVPAGTIVLVNVWAISHDPSLWDAPEEFRPERFIGSKIDVKGHDFELLPFGSGRRMCPSYVLGLKVIQLSLANLLHGFAWRLPDGLTKEELSMEEIFAQAGTRAIQMELLPLAACLAVVLISALFLKTVRSYARRACNLPPGPKPWPIIGNLNLMGELPHQSIHALSKRYGPLMQLWFGSVPVVIGSSVEMAKFFLKTHDAVFTDRPRFSVSKYTAYDCTDILWSPYGAYLRQARRICVAELFSPKRLESFEYIRDEEVRTTLRDLRAATPGHAVRLRNYLQMLTLGVISGIVLGQKYVEEEAAAAEGTEPAMRPGEFREIVDEFFELNGAFNIGDFVPWLHWLDLQGYVRRMKRNGKMFDRFLERILDEHNERRRHEGERFVATDMLDVLLMLADDPNLEVPLSRDNVKAITQDLIIGATDTSTMTIEWAVSELLKTPKLLTKAKEELDSVIGRDRLVTERDLPHLPYIEAILKETMRVHPAAPMLAPHKAREDASVDGYDIPAGTIVFVNVWSIGRDPTLWDAPDEFRPERFAGNKVDVKGQDFELLPFGTGRRMCPGYTLALKVTLLSLANLLHAFVWRLPDGVTHEDLCMDENFLLAMPCKVPLEAVVEPCLPARLYTGP >Et_7B_055201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8238031:8240441:1 gene:Et_7B_055201 transcript:Et_7B_055201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLACFGGDGDLRRRRRKSRRQSPARSPPRPNHVSASRLGRPVHEASPPLRGANASKPPLAVEVPDEVVRGAPAADVAAREASPPLPGARASKPLSAVEVTEEVVGEASDAVVKEALRQSHGASSSMAPSAVAVASNVLISASPGKELRELSELGTPSGGYLLPEKKATSPGTPVKCSPMVVAAIVSTPETELRELSDHGSRSSGKKKVTFNMNVTTYENVAAPDQEEGYPEDEDENHVQKAVVLPDNHRYRNCSDSDDDIEDEDEVYVDDSDEEEDYVDCKIDLLDEEELNSEDNKQESHESLFSLPMSNDLQNDDEVISPAPKSSGTSLDEESPLVKGNNLHGRSKYVRPVLNPVRNLSEWKEVKSLKTQPVPSKKLDKENVSVVPGVAASHVSCFASQIKMSASNCSNKEVSVDASLSTWLVSSDNSTVDKLQSKSPCSISSVSQGERSGALTIDGLKKPSDASSPQRSPSHNREGAPILSTVGSYWNCTKQDNEYCSSRSDSGTNGIPNTTSKYREDKRVNWHSTPFNVRLDRALKKTSA >Et_2A_016529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25607721:25609114:1 gene:Et_2A_016529 transcript:Et_2A_016529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLEEAGDGGRAVDGGIGGRDWANSGCLSGSWKGGADIAAVGRAEIAPPLPGARAEVEPLPEKDISERAALVSPSVVVVENPRSGEVYVGCILHKTDNDTYVLTKKLPKARFLVHFSKDMNIGPYTAQPSVSDRTFTHLGPARVRREPLKLSNALCLVPKTVSSFHKVVGSIPKPSHTSAKAGEPNGSVHPGTDEYFLFVCSHSDMVRSRGAPVFHSGGQVNGFIVRNCAISDNETPKQKANRSKRVRAQKAEDDGDVDIEAKLCVKATKVEELLQSMLHNKDKKQPSQVVARQPPSSRSKTAPSIVRGGAK >Et_6A_047204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26748230:26753941:-1 gene:Et_6A_047204 transcript:Et_6A_047204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPPEQAAAAAFLNNVMGKLFQALGLVETYKMLRDLEPESDSLLNDLRMLAAAVDDELTACRGARRTAVARAYSTEMRALTHDVEDCVERFVHRVGLDGGASRLRRAVRTVTTLRTCYQFAAEIKRLKKRVQEASARVLKPLDGGGGGQPSGSRPAAGRRAADHAARRPVGIERPMEELLALLDLDRLEGQARPPAPAQPKVIAIVGFGGVGKTTLARAVYDAAPVADAFPCRAWVAVRSPADGDAAGILEDIHHQLLPEQQYSESLLTNYLKDKRYLIVIDDVDDIEEEQWDIITSALEGNGEGSRIIVTTAFRSTAKRRSDANGYVYKIRTLGTRDSMTIALREKSAAELMQGSETLLKKCDGLPLALVSVARQLSSEDEPTGKFCSDLCSKLGSYLEREDSEPNFARLRDVLMDSYTSLSDLTVRTCLLYLGIFPIDRPLRKGVLIRRWLAEGYARSEDITLSEQSVADGNFKTLIDRNIIMPINISKNAEVKTCRTHGIMHEFLLHRSMCEKFIMCSRTPRDKIVRHLFVHGDGSDTNSSMTWNMDLSRVRSLTVHGSGGGAISDFSKYKLIRVLDLEECTDVKDSHLKKICKLWNLRYLSLSYKITRLPKEIAKLKLLETLALSKTVVSVLPLEVIGLPYLINLIGKFKLFVQDWTSSGELEQLSKCKKVEELCSNSKLETLAGFVVDGGWIQGFLQLIVHMKYLRKVKIWCESTEDVTDFNDLNTALVKAIRQYTRTPMGAGCDRSMSIDFLGLPRGSLRALRDLYNNSFQETYYLSSLKLKGDLSTSHEFVAIVSGLTELCLSFTTMARDLLLSLSTMPYLLYLTLISDEIEDFVIKVGTFQCLRRLRFVLQHENPILPEIEEGALPELISLQHTDSPVASSNETRHEEAVIQERLVDQSSGPSVPQLPLSTCNDSGLGNEMDSPHHVTMENPMGIQGRPTEEASKPSILQTGQQGNYTSSELAHIGSCYNSAKLLNASNCPLQFHGNGMVDDDLWIGCFCMHKTANEKVHHRSSAIWHAPRSERTSQGLRAHAGPEDSIHPDQVVVVAMAA >Et_1B_012565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33260124:33260845:-1 gene:Et_1B_012565 transcript:Et_1B_012565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQQQQQPQAVNAGSNNRFAVTCGLLRQYMKEHGGHGAVRLAPAMATSLMPGENVAGDAGATEAVPAPEVGNTMQELELFPQQPGTLKASQERKEPKRAPLTIFYGGRMVVFEGFPAEKIEELMKIAGSCNARQPCLPGDRPIARKASLQTFLGKRKNRLAPEPGGK >Et_2A_015787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17982273:17984483:1 gene:Et_2A_015787 transcript:Et_2A_015787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLLRSHPLLHLHHAARLSPPRVPGRLLLRRAAQAPLPPLRARTHQPARMAASSGSNASSPAPSPPPAVVHKSKIRYCPSCGSQTKLAIPDGDEKMRAVCSSCGRVHYENPKMVVGCLVEHDNKVLLCRRKIEPAYGLWTLPAGYLEVGESAAEGASRETLEEACADVEIISPFAQLDIPLIGQSYIIFRARLKTPDFSPGPESLECQLFSLDDIPFDSLAFSSIIVTLRMYIEDVKSGNIKFHYCTINKRLGASPSDLRSFDIDNHLAV >Et_9B_063616.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:10225855:10226331:-1 gene:Et_9B_063616 transcript:Et_9B_063616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANSSFGSIGVADDEERVGRDRLSRLLGRSGESSSARAGTRRARRSTCRPPRSCSPPASAPAARCLWMAPYAAGPRASSRSAPWWAAPAGSGWRAATLSPKPWATPRPPRTSSSSICSCSCTARSISLRFALRLRLFYFGVPPVLCSYHKIVLSHAL >Et_8B_059196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15167556:15172191:1 gene:Et_8B_059196 transcript:Et_8B_059196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELISIATLVFLYLISLPITKKRWPPGPWSLPVIGSLLHFLGLHPQDALRDLANKYGPVMFLRMGQIDTVVVASSAAAKEVLHEKDIIFASRPSLLSSQIFCFGNLDVAVTPYGPYWQTLRKLCAVELLSSHPLGITRLCPSLGFGVRKIEAASQRNEPVHLAKLLMSCANTITANTALVQVCSRELTDQFLSAIHVVQKIIADGFSFGDLFLSLWFVDVVTGVKRRWWRVHWQLDIVFDKIIARCETQLGDDLVSVLLRIRVKGELEFPIGTTNIKAIILLVIDWFMVLQSKQKAIKAAME >Et_4A_033949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28243164:28246108:-1 gene:Et_4A_033949 transcript:Et_4A_033949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRRAGFAGDDGMTSSLPNRVPLDLFLDILARSDVETVVRCAATSKAVRRVILGQDFRRRLALRTEANGGFDPALLLGVSYLLENDIPAAVEDRVVQLSRHHLRFDASLLLWFDPVASRDGLIVLQQRQTGRRSPELMSVCVDSLTGETCLLPPADIRQDYPLALLSVGDTAGAGRSFQLLAVDRDLRTQTFSSTTEDGKWGPVRQARYASQPPYLAPVLYEDYTSRPIVLGSSSTVQVLWLRLHRTLTSHERRFCEDPHNVALDVRSAQAARIDLPPECISRMRCIQDTDTGLLLAALPDGRPAVLTAEILVISMWTLSETEDSSPALSTPTWIRQVVIKRQVIGMETGPTGYLRGAANWPTVLLQMDAVGLVQLNLGSKTEPSPPPILEILARSDATTVVRYAATSKPSRRVVLGPDFRRRLALRAEAGGGVDPALLAGISYRFKEGANTTGGARVAQTSRHQHLRFDTGLLELFDPVASRDGLVVLLGRPTTDDRSHGVISKAGELRPGEITSVLPPAPDVGYYKYPHALLAVDAGRRSFKLLAVDAKLRTQTFSSTEGRWGPVVEASYIYKPRVPYRWPFHVPEHASRAVVLGSTVHWMCLAKWNSHGSSAPRGSRSSWTDPYVIALEVGSAQVTVTRMEMPPECLDRMRWAQIFRDRLMLVASPDGRLSFLAAEDRVISLWTLSSASSSESSSLPAAAARWTRQVVIRK >Et_10A_000228.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18819035:18819109:-1 gene:Et_10A_000228 transcript:Et_10A_000228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLTQGIIMESWVLYCGMRMRIL >Et_2B_019133.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:12844662:12844817:1 gene:Et_2B_019133 transcript:Et_2B_019133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLQPRSSVIFVFSSNIGQGCRSRRIKQTMVAHHTTTQSGGTDQERAITI >Et_4A_031910.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28813709:28814956:1 gene:Et_4A_031910 transcript:Et_4A_031910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFSSSWKRGGGGGDGGDLESGGGSVEMSAPPGAAAGANLDRFFEDVESIKDELRDLERIQRSLHDANESGKSLHDASAVRALRARMDADVSAAIKKAKVVKLRLESLDRANAANRSVPGCGPGSSTDRTRTSVVAGLRKKLRDAMESFASLRQRIASEYRDTVARRYFTVTGAQADEATLDALAESGEGERFLQRAIAEQGRGEVMGVVAEIQERHGAVADLERSLLELQQVFNDMAVLVAAQGEQLDDIEGNVGRARSFVDRGREELQVARKHQKSSRKWTCIIIIIVLIIVLIIVLSIVLKNKK >Et_4B_038226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27351925:27352243:1 gene:Et_4B_038226 transcript:Et_4B_038226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPKYAYPYPAQGYYQGPYQGPPVMAPPQYAAPPPRRQTGFLEGCLAALCCCCLVDECCCDPSIIFVS >Et_1A_005915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15497192:15500496:1 gene:Et_1A_005915 transcript:Et_1A_005915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAMVCTRVSLLILILSLCSPYKFIQSPMDFGPLNLLPTTTTASSDFGRILFQSPSAVLKPQSSRDISLLLGFLSGSPLSKVTVAARGAGHSIHGQAQALDGIVVEMCSLPSEIEFYKGREGEISYADVSGGVMWIELLEQSLKLGLAPRSWTDYLYLTVGGTLSNAGISGQTFKHGPQISNVLQLEVVTGRGEIVACSPSKDADLFNAVLGGLGQFGIITKARILLQEAPQKVKWVRAFYDDFGTFTKDQELLVSMPDLVDYVEGFIVLNEQSLHSSSVAFPANVDFSPDFGTKSNPKIYYCIEFAVHDYQHKNTNVEQVVEAVSRQMSHMVSQLYSVEVSYFDFLNRVRMEEMSLRSLGMWEVHHPWLNLFVPKAGISSFRDLLMDNISPDNFDGLILIYPLLRDKWDTNSSVVLPDSGSMDRVMYVVGILRSANPDDGCSHHCLQELLRRHRHIADTAGACIGAKQYLAHHPTPSGWHQHFGRRWERFAERKTRFDPLLILGPGQGIFPRSNNAAYGS >Et_1B_012037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28356349:28362361:1 gene:Et_1B_012037 transcript:Et_1B_012037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVDAAGAERSDQHNRKKPRLGTGMPLADAVVAGGAEAAASVECGSMAVEEIVQHPLPGYEAPGALSFSPDDRRVAFLYSPDGTLHRKVFTFDPAQRRRELLFGPPDGGGLEEGNLSAEERLRRERSRERGLGVTRYEWRAPTSGGPTNRAGIVVPLPSGVYFQDLSGSEPVLKLQSSPTSPIIDPHLSPNGSMIAYVRDDELHTLGFFNGETRQLTYGARESGKVHGLAEYIAQEEMERKMGFWWSPDSKHLAFTEVDSSEIPLYRIMHQGKNSVGPDAQEDHAYPFAGAANVKVRLGVVPSNGGEVTWMDLLCGEPTGIHSDEEYLGRVNWMNNNTLAVQVLNRTHTKLKLIKFDIHTGKREVLLEEYHDIWITLHDSFTPLDKGVNSKHPGGFIWASEKTGFRHLYIHDNDGVCLGPITQGDWMVEQIAGVNESSGLIYFTGTMDGPLETNLYQTNLFPDWSVPLQSPKRLTRGTGRHSVILDHQLLRFIDVYDSMKSPPVILLCSLLDGSVIMPLYEQPLTVPPLQKFQQLSPEIVQFAAKDGTTLYGTLYFPDERIYGPPPYKTLINVYGGPSVQLVSDSWMSTVDMRAQYLRSKGILVWKMDNRGSARRGLHFEGQLKYNIGRVDADDQLAGAEWLIKQGFAKPGHIGLYGWSYGGFLSAMCLARFPEMFCCAVSGAPVTAWDGYDTFYTEKYMGLPSEHPDAYEYGSIMHHARSLKGKLLLIHGMIDENVHFRHTARLINALMAEGKPYEILLFPDERHMPRRLSDRIYMEERIWDFVKRSL >Et_5B_044481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2844317:2846239:1 gene:Et_5B_044481 transcript:Et_5B_044481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSVVTVDVSPVPPECFWSRRMIRRTLSSPPPSEVRSLSGSRHRHPPPPLVPLPLWDEAATASPATSPRSLCPRPGAADASDRVFVRVDGNPYTVPGFADDDGHSHGLGPSSGSPAGGDDAPSSSSVDDGGYALPDHVRREILELGLPDDGYDYLPHLRELRPSLQSTGGGASAVFLPSRRPARRGMSPDVKAYDLSGVTIGSFVVEETAVAVTRIEEAIDLDVAKQLEESEPAAGSGDEDLEDDFAVVANHPDEDNLDKDGERSPHEQFHSLGLEEHGVGEDEQIGESSNAVPDCAECNVRYSDEHRQVERVVLVPESSAGSARSYLEAQPGKPLAPENVKMKLPRFSPGETSMKKVIINKGIEKLPSEYLPQRRTSSGETLKQKPYKEPRDETKET >Et_2A_016457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24977087:24979667:-1 gene:Et_2A_016457 transcript:Et_2A_016457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKKRKAEAARLEETDRALYGAFRGAANSLSQLYTLAMGAQKASFQAGERHAMEKLYEWILRQHENGLRLTVADIASHIQHEIQYGGDNALASPRSQNSNQTTPTMAHIPNTSNQQPSPSLFAPGNPGLTQSKNSMVFSNALSSPIRRSLQPYHLEQGGDTGYFANGANRDVNPTASNDSSMDMHSDSPAHDSF >Et_3A_024822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24560402:24562868:-1 gene:Et_3A_024822 transcript:Et_3A_024822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAEDKAEAPAPAAAAGASDDAIQEESPVPTDARDGKPGSGEAAAAPEVEVQLFRRGRGPVAVFQSKLGGWTQDQLEVGDILEQYGLKSVFAFDPAARTRGVAIRFHPRNGRSLLTYAPGSTIILDGEPKDSLLKPITKVMIGVAAMTLLIAVFLKEAKMPEWLQASKLGNNLNFPPWVLACMVIVFMRLRKRTKDGYCTLFLFFLDIKEHLFGVSSITL >Et_4A_034609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4846925:4856759:1 gene:Et_4A_034609 transcript:Et_4A_034609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPARGALSSSHPIGGGFPYRRASSLSQMASSAAPPPLSPECWFSACGGSFFRTLGAWTWTASLGCRRLHKRFSGRNSVTADSKDRTTTKLLIRLQNGESVEAVIMRYNTTLGKYDGKPRFGGVRSTLCVSSQVGCKMGCRFCATGTMGFKSSLSSGEIVEQLVHASRYSQIRNIVFMGMGEPMNSYDALVEAISVGIIHGINKFNGDLPNVNLAVSLHAPVQDICCQIMPSARAFPLEKLMKALQVYQTESKQTIFIEYIMLNGVNYQEQHAHQLGKLLETFKAVKTSSICSAISDRQKLLEQKGVSYGSQDSVVQ >Et_8A_056243.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17715584:17715946:1 gene:Et_8A_056243 transcript:Et_8A_056243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWQEAGPIECVHSHVKKFIFQHFSGKRSELIFLKFIAERTQVLKEMFVLMDLESFSSEDDMKTKMKLLTMVKWASKDCMLIFVMNPATAAHGSPSWSFHKASDSSCMDPFDLRTVEDES >Et_3A_025618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31246209:31249909:-1 gene:Et_3A_025618 transcript:Et_3A_025618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGSGAAAYAVRTPEEVFRDYRARRAGMIKALTTDVDKFFKLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLSVAFYFGARFGFDKESRRRLFSMINNLPTIFEVVTGSAKKQTKEKTPNSSSKSNKPSSKVQSRAESRSKAKVLVTKDEEESGDDDGDEEEERDNTLCGTCGLNDGKDEFWICCDNCEKWYHGKCVKITPARAEHIKHYKCPDCTNKRARA >Et_2B_018946.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18380685:18381095:1 gene:Et_2B_018946 transcript:Et_2B_018946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSSMSTKPFWFRSISFTIFRQSSRLQLSPSLCSTAITSSALILPSSSLSNTKNALRMSPSCTPCLCTSMNSSRSM >Et_1B_013564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9500052:9509461:-1 gene:Et_1B_013564 transcript:Et_1B_013564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPRPPQQQPHSPSASTRRRERWLVVLGVALHAVYMLSIFDIYFKSPIVHGMAPVPPRLSAPPAKRLVLLVADGLRADKFFEPDERGRYRAPFLRGVIQEKGRWGVSHARPPTESRPGHVSIIAGFYEDPSAVTKGWKANPVEFDSVFNQSRHTISFGSPDIVPIFCSSIPHSTWGTYPHEYEDFATDASFLDHWSFDQFQSLLNRSFDDIKLRQLLLQDKLVIFLHLLGCDTNGHAHRPYSDIYLNNVKVVDQIAESVYNLMENYFNDNQTAYVFTADHGMSDKGSHGDGHPSNTDTPLVVWGAGVKNPKFLTYTEKPDDGFRFVDDHKHDTPTPQDWALEGFERVDVNQADIAPLMATLVGLPCPMNSVGSLPTHYLKLSKADEVEAVLANTKQILNQFLRKSELKQSSSLYFKPFKPLANYSSVLNQIEDLLSARDYETALKHSEELRRMALAGLHYFQTYDWFMLMTTITLGYVGWMVNLILHVYIGGCFIMGLSSIILLLEKSPLLYHAYMFMTIFLWTRIVQNYEFLKAIWRGLSNMSSKYIFNLLNYSVVALIVLELLVVSFFDRKIYTWCFLVLGILGSTYVGLFIQSSPALAIYIWLACWFLSVFTLMPAEIPENNNLVIFSGSLIILVSLASRWTNSNSTSFWLYLTRANKRDPQSSLFFVQVILVAISSIMVWLSTSHRSQNRELHSLHQLINWSVAGVAMVLPLFSPNSVLSRLTSIFLGFAPPFLLLSIGYEAVFYSSFAMVLIGWIFVESANLYCSEQSSSTRRRSLVDGSIFGYEERHLQLSDLRIPLLFVILFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAGLLIFKLFIPFMLVICTFSAITKIVRIPRLGCYFLVILLSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNIYTRDIGASSRQLTSRKVM >Et_10B_003049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15933118:15934773:-1 gene:Et_10B_003049 transcript:Et_10B_003049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AEECPSALERFQDVVELVAGRRIAMFLDYDGTLSPIVNDPETAYMSDEMREMVRRAANLFDTAIVSGRSRRKVTNFVKLEDLSYAGSHGMDIMVSRKHSARTSTAGSEIEADEPCLYQPAARYLPLMQKVKGALEVAITAIEGATVEDNVFSISVHYRNVKKKIFEVRPPIEWNKGNAVVFLLDSLKLNNPEEVFPIYIGDDQTDEDAFKVLRDQGNGAGILVSEENKKTLTYYTLRSTEEVREFLELLVTWKQ >Et_4A_034467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3524720:3525135:1 gene:Et_4A_034467 transcript:Et_4A_034467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRGRISDDEINELISKLQALLPETSRRRNASRSSASKLLKETCSYIKSLHREVGDLSDRLSELMSTMDNDSPQAEIIRSLLR >Et_1B_011892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2710716:2713748:1 gene:Et_1B_011892 transcript:Et_1B_011892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASLQSFLPPSPHAASSRRRPGRARPTQCQAVTVPSSAPSPPPVSGERLEPRVEKRDGRYWVLKEKFRTGLNPQEKVKLEKEPMGLFMEDGIKELAKVPMEEIDAEKLSKDDVDVRLKWLGLFHRRKHQYGRFMMRLKLPNGVTTSEQTRYLASVIEAYGKDGCADVTTRQNWQIRGVTLPDVPAILEGLRAVGLTSLQSGMDNVRNPVGSPLAGIDPDEIVDTRPYTNLLSSFITNNSKGNPEFTNLPRKWNVCVIGTHDLFEHPHINDLAYMPAVKDGKFGFNLLVGGFISPKRWAEALPLDAWVAGDDVIPVCKAILEAYRDLGARGNRQKTRMMWLIDELGMEVFRSEVEKRMPNGVLERAAPEDLIDKKWQRRDYLGVHPQKQEGLSYVGLHVPVGRLQAADMFELARLADEYGSGELRLTVEQNIVLPNVRNERLDALLAEPLLQERLSPRPSLLLRGLVACTGNQFCGQAIIETKARALQVTRDVERRVSVPRPVRMHWTGCPNSCGQVQVADIGFMGCLTKDSRGKIVEAADIFVGGRVGSDSHLADVYKKAVPCDDLVPIVAGLLVERFGAVPREREEDEE >Et_3A_026416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7838410:7839416:-1 gene:Et_3A_026416 transcript:Et_3A_026416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGRCSSCYYSPPTLPLHRRHGNLRPRPQAVRRGRWPRLQVSAASSGARVEESIKAATDAEFFQPADTRPIMLFDGVCNLCNGGVRFVREHDPNRSIRFVPLQSESGRKLLQRSGRAPDDISSVVLVEKDRSYIKSEAVLRIMEYLNLPFPQLAIFLKFLPLFLRDFAYDNVADNRYVVFGRSETEACEIL >Et_3A_025997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34454115:34455244:-1 gene:Et_3A_025997 transcript:Et_3A_025997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTKSSSAAAGRQAHPQNGHVLPSKLAKYLDPEASWDKDQLLDAVHWIRQVLGLVCGLLWGAIPLAGAVWIALFLTISTGVVYWYYTYLLKIDEEDFGGHGALLQEGLFASFTLFLLSWTLVYSLAHF >Et_3B_027554.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27364680:27364951:-1 gene:Et_3B_027554 transcript:Et_3B_027554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQEALRWRYGDVDDSNFGVRDRGVPLLVALLLVLVCFVAVCLYLRWACYRYYHRPDLPRYSASSSSSSAF >Et_3B_030366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32425642:32427842:-1 gene:Et_3B_030366 transcript:Et_3B_030366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQHVRAVPLARALRLRASATAAAPPSRSSSRRALLGLSEPELRQLAVDLGQQSYRGKQLHDLLYKSRATQIQEFNYVPKVFREALLGAGWNVGRSPVHHAVTASDGTTKILLKLEDNRLIETVGIPVNDDKGSSRLTACVSSQVGCPLRCSFCATGKGGFARNLQPHEIVEQVLAIEDKFKHRVTNVVFMGMGEPMLNLKSVLEAHRCFNKELKIGQRMMTISTVGVPSTIKMLASHKLQSTLAVSLHAPNQKLRETIVPSAKSYPLEALMDDCKNYFIETGRRVSFEYTLLAGINDEKEHAEELAELLRTCGGGYHVNLIPYNPIEGSEYKRPYRKVVQAFVDALEARKITVSVRQTRGLDANAACGQLRNEFQKNPLLESAPAAEPNLVPA >Et_9B_064636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17201998:17202888:-1 gene:Et_9B_064636 transcript:Et_9B_064636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPGEIKKRFGRCPYCRAMVYENRDATVFRCTKCQTPIRGKNSKLTDQPDRSLSRIDDGDQPPLFNSPASCSYFNANSQGVVAPAPSSPSSPYGGFSSARPRRVHLLNHGAHRSARFYGGIDDSSEHGELRPLSRRTRQPWSSDSSVLRHGVFTTTEPHLAARALPFVESCGVGSSWPASGCGHRERRRKGHCRPVLGGAPFVVCGKCFELRQMRCGGCGEVLELTAPTTTSSSAHRTTRPCGAGAPKLPLHVALGYSSPDPLLQSRRH >Et_2A_015509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14129344:14132752:-1 gene:Et_2A_015509 transcript:Et_2A_015509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTFDLPVVDLASPDVRAAAAAVRQACVEHGFFYVSNHGVDGALLEAVFAESRKFFEQPMEGKMALQRGSNHRGYTAPYDEKLDAASKFEGDLKESFYIGTDLNQWPSEERFPSWKDTMKQYQSSALAVGEKILSLIALSLDLDAEFFQKNGALDRPSAVVRLLHYPGEVNESDSGNYGASAHSDYGVITLLTTDGTPGLQICREKDRHPQLWEDVPHIDGTLIVNIGDLLERWTNCLYRSTLHRVVAVGKERYSVAFFLDPNPDFVVRCFESCCSEANPPRFPPIRSGDYLKERLSSTYK >Et_8A_058235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3091220:3092148:-1 gene:Et_8A_058235 transcript:Et_8A_058235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVSSSASGDSAMVRYFHRLAPMSSNVVAQFRRCGGSCTRIAVISRGPKKKERCASSASSLSIGPGTMASSSYSRCVKLGRGGLRASRSSRAHTGSTVGAAAPRASSDTAMRNWNKPCPSAIVWFSLTARTNPPHLVKAREGRVQRVTELLEADISICLEKQQALSCAVDGELAPLELPEPPG >Et_4A_034476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3612536:3629697:1 gene:Et_4A_034476 transcript:Et_4A_034476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMEPKDIDWSRVVSRYVRDETYEGIVAPHWADLTDPNAGRADIDDEAWFCRPDCRHPKTAEDFIKLSPSPKGKLLRSVSAMLPFAERNANASNLRDGNYNLKWRGGVPGGAVATFASPKLKAAPKKRVFREDGENQDPALATPPPPPAASRPPFGASRWAKNAKEAIKSSAEKRPGNAEKEALLSKNAGPRQLKSTLSARNLFAGKDILGQISEFYDELKRMVGGAGSRPVTDTQEEISSSPMKGDDVEEKVSCDGGASGPVASPAVKELARHETVKKSPSPMKGKKVGLKVEAGKQRSPSVLKEVKATPPTPQRFPSPSPNRVKNEKGTPSKDPENKREASRQPFGVKDLNNSRACDAEGSSSMFWFLKPCTFLVFSREENDPLDDWHATCAITKSDLF >Et_2A_015323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1145234:1145633:-1 gene:Et_2A_015323 transcript:Et_2A_015323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLNSGNGLNGFPAILRRCIHSPVLATAIALLLVTLYILGVVASFAVFCVREEPRTGHRSLGPCSGCKEFDRLFDAHVLLPIAPAGRLVFPGHNEIWTADPAIIEHRGLKSGFSKYSKAIIFILPQC >Et_9A_062676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3022223:3024758:1 gene:Et_9A_062676 transcript:Et_9A_062676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADDHAASPSSSGEDLEPSISRARIRDILAGGAALVGARVVVGGWVRTGREQCRGALAFLKVTDGSCLAALQLVVDAAAAARLTAAGTSVLVEGEIRKPPAGAGGAAAEAVELRVERVVEVGEVDAAAYPLPKGRIALETLRDFIHLRARTDTIGAVARIRHQLAFATHRFFDENGFLCVQTPIITTSDCEGAGEMFQVTTLFSQADKLAKDLKARPPPSDADIEAAKAIVQSKADTVSQLKAAYAGAEEVAPAVSELRTAKENLSRLEERSKLKPGVPLRDDGKVAFENDFFKRQAFLAVSGQLQAETYACALSNVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFANLQDDMNCAENYVKYLCKWLLEHCREDIKFISDRYERDGRHNRTAIERLELVCSEPFKRISYTMAVEILENVEGHAFENKVEWGMDLASEHERYLTEEVFKKPVIVYNYPKEIKAFYMRLNDDNMTVAAMDVLVPQVGELIGGSQREERLDVLKQRILDAGLPLEPYEWYLDLRRFGSVKHSGFGMGFERMILFATGLDNIRDVIPFPRYPGRADL >Et_3B_031255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22889226:22895481:-1 gene:Et_3B_031255 transcript:Et_3B_031255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDNKDDVCGFRGWIIGTILYAGFFLMLTYLPPMDSVAYPENKPTTCSVELVGFKGLQPATDPGATSPAFDLILHIDNGHDFYLRHNGGDVAVSYAGAPLARGRTPSFQMANKEVRTQPVKATSAGVGVPEDLFRLMTEERKWGVAQLRIELRLAWDTFTCDVDLDGQNRVL >Et_8B_059645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20094211:20097462:-1 gene:Et_8B_059645 transcript:Et_8B_059645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSSLLLLLPLLLLSAVTDAEAASSSPSSRNAELDALMELKAALDPPGRALASWARGGDPCGRGDYFEGVACDAQGRVSTISLQGRGLTGTVPPAVAMLPGLTGLYLHYNQLGGEVPRELGQLPELAELYLGVNNLSGSIPAELGRLGALQVLQLGYNQLSGSIPTQLGQLKKLSVLAVQSNQLTGLTKLHEGFRYENNSELCGAQFSSLKACPDENNEDGKMPSKPESTSVKPQQIPQTTNLKRNCDNGGCSKPSTLSTGAVLAGTIIIIAGAAGCGLSVFSWHRRQKQKVGSSVEHLEGRLSLDQSKETYQKSASSLINVEYSSGWDTSSEGSQHGARLSSEGSPSVRFNLEEVECATQYFADANLLGKSNFAATYKGIMRDGSVVAVKSINKSSCKSEEADFLKGLRMLTSLRHENLVGLRGFCRSRARGECFLVYEFMANGSLSQYLDVKEGDGCATVLDWATRVSIIKGIAKGIDYLHSSNPNQPPLVHQSISADKVLMDHLFVPHLSGAGLHKLLADDVVFSTLKDSAAMGYLAPEYTTTGRFTEKSDVYAFGVVVFQVLAGSRTVSQLRLGAESGRLDDLVDPRLGGRFSRPEAAKLAGIALLCTSEAPSQRPAMAAVLQQLGTSQ >Et_1B_011712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25275791:25277228:1 gene:Et_1B_011712 transcript:Et_1B_011712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSDRLSCSEKGSFLSNIGPTKSKESLQELENLVDVLRQDTLHRTSSAEPLTPRRQERRPLGVQDPDADHLLDHLEPPEVAAEHDVDEPDAVATEERRRPAGAILERALQRLQRGDDLRRRVRVCRTNLVVDVSRPEASGGALVRVGGEDRRASTSENLVDVLHDHLGFGDGLAIVDQHGDLLVDRVGLEEELALVQEVLLDILIADPLEVEHDLHPVHVWAVPHAQQLQLISPGDHCQTRELNRSGSRFLCRWCGASVSGYNLQILAAVQEVHDGPLRKAP >Et_6B_049408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4407319:4414263:-1 gene:Et_6B_049408 transcript:Et_6B_049408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPVAIAGGEDHISALPDDLLHLILSLLPSDQAVRTCVLARRWRHIWKSARAIRIKSRPWGAEELHSFVNHLLLLRGGTPADVCFLTCGELLEDRGYDEQHYQYQKDHLHGQLCEFAQLWIRHVLTVCRVRVLMVWVRTYRRLSLDRTPFASPVLTRLNLRDVSLMFDSWQLEGPRLFHPLDFSNCPALKYLTMTSCKIHVSAIISKTLTWLTIKECHFHSKFRTRVSTPHVEWMELSGTMPNLEAADVRLENDYYDCCENGDYYGDCKDDECRGCLGSNDASSVLLASLSGAMDLELMSDPRHKEQAVVVTDDQYIPTEHFLVSRKLKTVKIKCPEENELVNKLLVILKTYGVLPEHIRIKQNFLPPDGRTMADGLVGRGAPAVDRLSALPDEILHLLLSRLPSDEAVQTCVLARRWRDLWRSTPALRIVAEPIGKKKKNRRRKIWTPRSLTHFVNNLLLFRAAGAPMDEVEISCGVLQCDTCYDDSRNCSCSGDDEGPATLPSEKAREEDLARSAAMWVRHALSVCHARALTVSLQATQKRLRLDGVRFVSDTLTTATISDAAFQSSGVLDFSMCPALKDLNMHMCKIHVNGISSRSVRRLSITECSFDRSGSRRTHISAPCLVSFELDVGSGRAPFIESMPSLEAARVRIRDDCADICDKAYGDRCDRQKRCDGCRRGSSRGKSVLLEGLSRAAELELASDPRAVYMLSLHLYYICYVTCSQLFNCIALTTDPYDYHIIYSQKGQ >Et_2A_017366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33641638:33643128:1 gene:Et_2A_017366 transcript:Et_2A_017366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVGKEAPPPLLMGRYALGKLLGKGSFAKVYQARNVESGEEVAIKMMDKDHLSKSGAVRQQIMSEIDIMRRVRHPNVVRLHEVMANKKRIFVVMELVRGGSLYDYLAPGRGVGEPAARRVFQQLVSALEYCHSRGVYHRDIKPENILVDDKGDIKVADFGLSALADTKRQEALLHTVCGTPMYIAPEVLARKGYDGAVADVWACGIVLFVLVAGRLPFNHLDNAVMYRMICRCDYQCPPSFSASLVRLIRRLLCPNPARRITIPQIKQTAWFRRGFKEINFYIGNDDRPRSLGEPAQREFDSDSDDDSTASATSSDDPSSPVEPPRMRRPKSLNAFDIIASSPSFDLSGLFDEPGEQMRFVSAAPVPKIIAKLQEIAGHVSFTARTKEHQVSIEATRNGHRGALLISARIFELTPDLVMVKVSKKAGDTAEYRQFCDNELKPGLRGLVDGMPAPEDRVPAGESE >Et_3A_023045.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:20788887:20789280:-1 gene:Et_3A_023045 transcript:Et_3A_023045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDLSRNALSGPIPAAITRLSSLRSLILSDNRMQFSTLPGDFFSGLRALTTLVLSGTGLAGSIPESIGDLSELRVLRLDNNQFTGVIPASLRRLERASELRVDNNRLVGPIPFGKAMMWR >Et_6B_049740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9960645:9965626:1 gene:Et_6B_049740 transcript:Et_6B_049740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNARRPATAAASPASDLEVGFAKLKGEGFEYYMQTYSIVLGRHSRRRDRSGAVAAAPPPEADDVDVDLGALGGGMNVSRRHARIFYDFARRRFALEVLGKNGCLVEGVHHFPGAAPVKLDSQDLLQMGDAQFYFLLPSRSVFDAGTARRPSAALPRAVPPPPSDDDDESEEEREGAAVQEEAMAAAKRPRNGNTARRSDAAGSKSYREADNQLLLQLEEKDVISSAATVLSDLCGPQEWISMNKLHQVFLVVLEMCDIISDIPMMFDKYGDIWHHSKVRKYLTSEDFPDSETLGRPWHGLSLLLRKYPEHFVINIRKGGGKSAEFLSLVSLQD >Et_6B_049100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17886501:17886998:1 gene:Et_6B_049100 transcript:Et_6B_049100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTVLLETTTTAKQQLQHTARTPPQIISKATLLNATAARSSDAPSPPASPMSLPPPATCSFLHRCLLCRRELADGVDIYIYRGDRAFCSEECRCRHILLDDDDGAIDCARIAAADRSRRRRQAVAGGFAF >Et_2B_021981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7671262:7680312:-1 gene:Et_2B_021981 transcript:Et_2B_021981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHEIAAAPALPSATADELRLKQLGIELAAAPPTPAGALAELLEVNSHLVLVVCKDEMREAMLRGISRESGAWMAWLDRIERCGGSECLYGMRYSESVIIAIGPVLKAAVREDLLKHEDKDVKALLAFCLCEITRITMPDVPYTDDVLREIFYLIVGAFNGLSDVSSRSFGWRVAMLETLKRSR >Et_3A_027014.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29539727:29540047:1 gene:Et_3A_027014 transcript:Et_3A_027014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLAAEEKSCRLFRKRSGGKGAIGVRANSGSGKSSRSFSGRCARLVKEQRARFYIMRRCVTMLVCWREYA >Et_3A_026855.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24029106:24029714:1 gene:Et_3A_026855 transcript:Et_3A_026855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRALSDATPLQDFCVADLNSATSLDGFPCKPASSVVDDDFFSRAIVAAASTGNPFGANSTRATVSTFPGLNTLGLSITRVDLAPGGLNPPHSHPRASELVMVLKGEVTVGFTTSANQLFSKAVKENELFVVPRGLQHFQLNTGAGDAVFVAMFDSQSPGAVTPTFSLFATKPAMPMEVLTKTFLADEDEVGAMKSKFAGF >Et_2A_014481.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:12140981:12141535:-1 gene:Et_2A_014481 transcript:Et_2A_014481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDHGDASAAGMDDAEAAFFARRGRRCCCFPWPVPSSAHQRVGAASVAEEESWWQCAVDAVLKVREWSELMASPWWKTFIRRFGRNGLVGLCARRPEVPCRRHPSPWPPRPPPRPARRRGPATPAAMTPLPRGPARHRSSSSTPPRAPRFWLAFPAEPSTAASSSSSTRRKQEAQDEVASIRR >Et_9B_064961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2067962:2072213:-1 gene:Et_9B_064961 transcript:Et_9B_064961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAPRIQHHKEEKEEETMILSEQAGDSGFGHGSRRSTEIKEVDFFSTAGTARRGDDGGAGGGSRREPGASGRDDNSMVNTALDLLTSVAATTVNGGEGTVAATASDHREADMATVEGELRQAGEENRRLRRMLEELTRSYGTLYNQLIQAQQHQAASNAPNSMLQAVMPGVQFGDPRMSPAIRSAAAVVDGDRASSDGGSGEADDRKSEQQDRTGTPERGENAEGSSAAAEAPCRRVRVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDKAVLITTYEGTHNHQLPPAAAAMAKTTSAAATMLLSGPAVSRDALFGHHLAAPPLFHHHPYASMGGGATLSASAPFPTITLDLTHSPPGQQLLGNHRPPAVVVPAVPPFPAYGFPGQVHRPLLPPAPPPAIGTDGRSRMAMETMTAAITSDPNFTAVLAAALSSIMAGGAADGGASRDGGAGDAGNGNGEGGAEPAAAAARAQETALRTLIQRLHESRH >Et_8B_059133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14163434:14168478:-1 gene:Et_8B_059133 transcript:Et_8B_059133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRRVKDQFLTYLLYVKENFDELSHKDIESHSGRSLTSANAAATAGLSTAGGAKGKSNWKLKSVVTLALTLLTSSQAILIVWSKRAGKYEYSVTTANFSVEALKCLLSLAALFRTWNRHGVTDDNRLTTSFDEVSVYPIPAVLYMVKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILKKKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQTPIQGWMMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGVLFNLIAICVQDYDAVMNKGFFYGYSFITVLMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFNFHLSLAFFLGSTVVSVSVYLHSIGKLQPQNSCILP >Et_10A_001801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8290141:8292507:1 gene:Et_10A_001801 transcript:Et_10A_001801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PASLDLSRNNLTTRPVEEQPHWRNPFSLGNIPRELITTENQLEGRIPVELGRLHQLTMTLLALGRNNHSGPIPQSIFNLSSLEIMSLESNYLSMPYMPSDLRTTLYNLQRLYLDYNNIRGSIPSSLSNASHLCLTSLGLDSNNFDGTVTEWVERFKNMEKLFLENNRFVGPVPPCLDNLSQLWYLALESNKFEGFVPTLDISDSHLHGSIPDDLFDLPSLTSFNLSCNYLHGVLPLEIGNANQLLEIDISSNKIYGKLPDTCLQSLQQLDLSYNNLEVFTNATALTLAGNNNLCGGVPELQLPSCPVVPSRKRRLSHSLKMLILGACPMLVLVLIITLLLFRRTKRIQNTNPSSMPNVLDKHLPQVSYMDLAKATENFSPSNLIGKGAHGSVYKGSISHLKEIVAVKVLVLETQGAQHSFAVECQALRRVRHRNLDSVLTACSSIDSMGNEFKAIVYEFMNSGNLNMLLHSQAHNEHSPGPGHLSLIHRLNIATDVANALDYLHNSLQPSIVHCDLKPSNILLDDDMDAHMGDFIMIGHHSQQGVLAQLKQDYVYKCYKKHINSNVVSPLICCMISEYAICGHISTSGDVYSFGTVLLEMITGKRQTDKMFVEGTSTVKFVQKNFPDQIVHIIDVNLQDDNAPHKITKASKAKKHACLT >Et_7A_051312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16896834:16899972:-1 gene:Et_7A_051312 transcript:Et_7A_051312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMVEEILLRVSPDDPAALVRAAVAGKPWRRLISDAVFRRRYREIHRTYPPLGFVRNFTDGDGDEFARFVPTSSLRPPRPAGRPGARIRRGTPSSSGTPRRIGSGSCSRCRGTRGTGHAAVLAPYAAPAKTGTSTPSSWSSWAPLTTGGSPASTRQTLMSGASPPLLSILGGITFRWRGNQLLRLPPLHRFWIGGKIRENSLAPAALAGNALYFMFHESTQILKYDLSTRGISMINLPNALSDRRIVLTTAEDWIRHGGGGLSDLRVVEGDRSQGRWAPSKAVDLGTRLPADALRTSPEVVAVADGYAAILLGTDEGGALCYIVSGGYYDAFPYACFCMPVLSPAPAGLTVSPAAGDSRLQPRAVTVGPHSYLSNRHKPEPINCANCWETSAEYNDRLAATAR >Et_4B_038446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29027208:29030179:1 gene:Et_4B_038446 transcript:Et_4B_038446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALAVTDEVGLTNRVVEDLAAAAEVPREEVAVITQCEALGGKLPYDDASVGAVLVVIKNVESLRDQLVSEISRALKAGGRVLVQNSSPSSSLKLNTDIERKLLMGGFVEARDSAASTHDTVQSVTIKAKKASWSMGSSFPLKKSTKALPKILIDDDSELIDEDSLLTEEDLKKPQLPVLGDCVVRATRKACKNCVCGRAEAEQKCGLGDAFRCGTCPYRGLPPFKPGEKVSLSGNFLAADI >Et_10A_001508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4338517:4338764:-1 gene:Et_10A_001508 transcript:Et_10A_001508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTASLRHRDPVDTELFFASTLIHEETSVLGGEMAKWHIAKAAGAKSVDAGQVQIQNSGQGAAQLRLDQESQAHLW >Et_4B_039646.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2559612:2559884:-1 gene:Et_4B_039646 transcript:Et_4B_039646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSIDAFDPLGNDAPRPASILLCDRPYITVDSNETTATAKSIGGHTMAVSLWIANPPGMSFFSVTCSKPPNSDPKSADFRVFPHVVGA >Et_9A_063156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12515525:12518634:1 gene:Et_9A_063156 transcript:Et_9A_063156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTLPSRGGAVWGWDCQETSHPWGLGGAAHGRAVAERLDCEGGTFHGIGVRGVAPGRGGRQAAATGLFCGRGAAARSRSPWPIQVLRLVRRLPADARPYYAKYARENFVNYRDLSADDDLAALFRRAHAHCNTLARL >Et_1B_014026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27901680:27904552:-1 gene:Et_1B_014026 transcript:Et_1B_014026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELARSAAASVLPKLRDLHNRIPHDHVELLARELTSTHAALSRASEPPRRLDGRAKAWARDARELAYDVEDAVDALAMSRTADHAPVSVRLEGLLRRAADLSRARSQLVGAPPATVDNPIPPPLLGAAATGTLVGVDAARDALIRRLRVRDRGGGDGDGVSNGQQLKVVSVVGCAGLGKTALAGTVYDALRSQFDCAAFVAVGPDPDIAGVLERMLRQLAPMSHSDEPRDETQLIDQLGAFLQNKRYFIVIDDIWDKSSWEKIQRALVDNNNGSRILTTTRNLNVADQVVSALFELKPLSPDSSKELFFQTIFGHGDKNCCPGDEFAEVADKIIERCGGVPLAIITLAKLLSSKMGDKLELQKVYKCMGSGLESAPEVKNMRVAASRSYYTLPPHLRACMLYLSTFPVDYEIRRDDLVWRWIAEGFIHEREDQQGQSLFEVGEIYFNELVNRSLIQLLDIDYADDGGWEEQCCRVPFPVMDLIVSLSREENLVAVLDDEEQTCSPSDKPVRRLSVRGGSEAEDSAFMSTMNVVPHLRSLSVFSSSAIAATIDLTRCEFLRVLVLEGCDLRPERESHHHLLKHLGSLTHLRYLGLRDTRLATGVPEEIGNLGYLQVLDLAGTMVEELPASVVRLGHLTCLRVDDCTRLPTGIIGSLTALEELSEVSTHRSPDVVKELAALTELRVLRIRLWKPDRATDEAFAESLRELRKIRTLDVYVTGGDARHEMHAVRERWTPPPRRLREFRAETTSSRWSLLRRLPAWVDAPTAPRLAVLLVQLAELRQRDVDALGRLPALATLRVEPRATPEPLAVAAGAFPRLTECRFPGSSLAPVFRRGAAPRLRRLELCFRVRDTVDLAAGNGGFEFGLGYHASLEEVTVSVGCQGSTMEEAAFRLAADGHPNGASFDVVTFGEELMRLDDDDDH >Et_3A_026412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7800778:7803826:-1 gene:Et_3A_026412 transcript:Et_3A_026412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTVGCAARPATASQKPAGSVDRRPLYFAAFLLLADAALVALIIAFVPYTKIDWDAYMSQVDAFLEGERDYTKIEGDTGPLVYPAGFLYVYSAVKFITGGQVFPAQILFGVLYIVNLSLVLLLYVKSEVLPWWALGLLCLSKRVHSIFVLRLFNDCFAMTLLHAALVLIVYHKWYLGLIVFSGAVSIKMNVLLFAPSLLLLMLKAMSIKGVFFALLGAAVVQVFLGMPFLLLHPVQYISRAFDLGRVFIHFWSVNFKFVPEKLFVSKEFAVTLLILHLTTLVVFAHYKWLKHEGGLIGFMHSRLKSAKSIQQLISCKPGSSDLSAEHIATVMFVGNFIGIVCARSLHYQFYSWYFYSLPFLLWKTRFPTAVRIILFAGVELCWNIYPSTAYSSLLLLFLHVAILFGISISPTEYPYIKRKP >Et_10A_000224.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18592778:18593077:1 gene:Et_10A_000224 transcript:Et_10A_000224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTLNKDDYRANPAHWTLRPMLYEFRRNNEGISFTVRKINRQHNTTAHNLAHEASTMDTSLNCQFLCNHLAHRQQCPIREVFEPVSWGDLIPLAVTCR >Et_2A_018128.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:12665118:12666074:1 gene:Et_2A_018128 transcript:Et_2A_018128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQSLQKKYEASATNMEADHLSILPIREEWGAPLVQYKKFWLKPSVVDGIMKLQVNFNARHDDIILISNPKCGTTWLKALAFTVVNRDGVEDQSHPIRTCHPQELVKSIAIPPGGDLTYFDKLPSPRILFTHTPFSLLPKSITDQGARIVYICRDPKDAFVSRWHFQKKKIEGFNMELDAAVNFFCEGFTSVGPFWDHCLEYWEQSIQRPDKVLFLKYEDLVLETVKWVKVLAAFLGVPFTREEEDGGVPEEVVKLCSFDTLSGANQTGAVTSGIAKSAFFRKGKVGDWANHMTAEMGRKIDSTVGEKLKGSGLVF >Et_6B_049613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7286246:7287660:1 gene:Et_6B_049613 transcript:Et_6B_049613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMGTLVNSSGYHVTGSRPPGLWSPKRTRAMASPPAWPGYPAHKIAPILGLFCANVTSTGPPGGRVVGVGPDGGGGAHGGAAQREDAALVLEEHDAILRRLEGEVLVVGRAHVGGAQAAVRRGAAGVAVEVAQAHPDGEEVGERLVHVLLGDFTLLERGHAVLLRVGPAVQVQSWKEIKFNRFTTGIKLTFNLPALSATATVSSGVALYRCASRMSATASQSDATYPSRPQLRLNVPVSKSELAHAGTPLIPV >Et_3A_026437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:877285:881189:-1 gene:Et_3A_026437 transcript:Et_3A_026437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVASCSCSTLFASSSSTPLRKRTFRTSLRTPRRRRRLPPPGLSALRCLGSGKPAPPVGGDTGLSVRKAAEPVNDRGGFRAVPFDASCGLAFATVAGFLMLQGSQQALAATQFAGLQPADVMGELGDISTGFASAFLLIFFSELGDRTFFIAALLAARSSGGVIFLGTFGALAVMTVISVVLGRAFHYVDGIIPFSFGGTDFPIDDVAAAGLLAELAVSKFSGNGAGVMSAASTIASTFVLVFVAEWGDKSFFSTIALAAASSPLGVIAGSLAGHALATVSAHPTSQVGAEDEIGRYILSSMKPFLLTLFTHTSTKDC >Et_10A_001804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8295821:8296115:-1 gene:Et_10A_001804 transcript:Et_10A_001804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNVFGLGIGGGCGVGLGLGWGFGNAYGCQYRSSRVQFQGIEFQKKPEGGGEPKLVSPELAEKSRPYG >Et_6A_046953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23053298:23053692:1 gene:Et_6A_046953 transcript:Et_6A_046953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMQLPNLHPLTWAKNTISGQVCSPADANLLVRSAWSLWIWCVWRLAYKEPKQIRIQEGWKPPDRGWIKVNTDGACDLASATGVSGAVLRDEHDTLLAAKG >Et_7B_054020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14228760:14232740:-1 gene:Et_7B_054020 transcript:Et_7B_054020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEADSAEITGPLLAGAGAAAAAGPEPVPSWREQVTARGIAVSAVLGVLFCLITHKLNLTVGIIPSLNVAAGLLGYFLVRTWTAALEKFGVVSRPFTKQENTVIQTCVVACYGLAFSGGFGSYMLAMDQRTYELIGTDYPGNRAVDVKNPSLGWMIGFMFVVSFLGLFSLVALRKQVSCLGKYLSISFLWNCFKWFFSGVGDSCGFDNFPSLGLAAFKNTFYFDFSPTYIGCGLICPHIVNCSTLLGAIISWGFLWPYISTKAGEWYPANLGNNDFKGLYGYKVFISVSVILGDGLYNLIKIIYATIKEIMNARSKHGRLPLVGVQDDVEGSKLSAAEKHLNETFVKDSIPPWLAGSGYVGLAAISTATVPMIFPQLKWYLVLSAYIVAPLLAFCNSYGTGLTDWNLASTYGKIGLFIFASWVGQNGGVIAGLAACGVMMSIVSTAADLMQDFKTGYLTLSSPRSMFVSQLIGTALGCVIAPLTFWLYWTAFDIGNPDGMFKAPYAVIFREMSILGVEGFSALPQHCLAICSGFFVAALVINLLRDITPKNVSKFIPIPMAMAIPFYIGAYFAIDMFVGTVILFVWERINRKECDDFVGAVASGLICGDGIWTVPSAILSILRIDPPICMYFKPSS >Et_1A_004904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15284051:15284617:-1 gene:Et_1A_004904 transcript:Et_1A_004904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLLLLLFVAAASLLAADARPCRTFFVSIPADPYTRGDGAVHHRLVPRVATVVTVFRVRRLGPHLTLQIRRPELPHPAGVAHAAAAGPQERATDTLVVVVALLFGVACGALTAASLYLVWSVVGSSAATSTYDELFSDDEDDEVSDTESPKKVGYVIIQELEVHDGGKN >Et_1A_004943.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:18103499:18104392:-1 gene:Et_1A_004943 transcript:Et_1A_004943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVTAFLYLRAARTSEATSRPFLMLVLPPAARASTARFAAALPSADMRVNRISRRASLEKDTTASRSAGPRLSTTKLMACFTSYSLLPDMLPLTSSTVTRSSGARGASASSEPVVVVDPGAVARTSTAKPSGDAPRGTAGRSQCVFSATVDVPASAAGAGYCWTPGSATGSSSAYGPAGSTTGTSRHVALQSGQSRRPWDTLSEMQRRWKTWEHSAVIRVCPRPRSLRQMAHTSAAAELGFAMEAAEKQGTTRARSRSQMRRRLKQEAANLYIRGSHGILIWTLKIGVLSSYVDGN >Et_9B_065381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4424634:4429645:1 gene:Et_9B_065381 transcript:Et_9B_065381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETFEAARISLIPEEIRTVEDRIDYLVRERDELMMSAAKDLVHDQTRSVPKGMKSLERRITYLENRKVALKTMMNNYYMKKGIKGKLLEQDQPAGENTPTSTCTNPSPRSPPSKKLKSKKHNTSLVEHKVNEGSLEGQEQPPGENSPDSIVSDPSLPPLPFRRPLYPHGGDDEDHQVWVEEVERVRKLNAPRDSLPTHLPSVCKCLNDHVTADVVGKDKMMLLPVADLVVSISYSHFGPIVYPGVCAFATQDVIDYCSGMIISWDKPNKKARILTCDYVIGREEFRRKLYVRWHYMPDTVLEGELLFFSEHYRIALIEISVDVQFHIEIPHFGTYPKYDNEVFTLAREHKELSVMVRRGTISRLQESYELRHHFLYVDYELPNCGGGGPVVDHNGDVVGMSLHHTEGTSAVLSISTALSCIEMWNIIGCIPRPSLGMSFKNAERGGFIVETVKKGSAAWDLGIREGNKIVSFDGERPAPLPKFEEFLLSFGFKHLRGMDMKVWELGVQDFTGSVERKVTLRVPFTIVSK >Et_5A_040251.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:5677542:5677820:-1 gene:Et_5A_040251 transcript:Et_5A_040251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVLIFFLLLPSVAAPVVPPLPPPVPKVPDECFDTLSQVYRCIAFISGRVAAPPAECCDNLRAIPGPRRTFVCATSSEPSSARCLEGALIP >Et_7A_050605.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:5762380:5762706:-1 gene:Et_7A_050605 transcript:Et_7A_050605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCFGLLVSSRSSYCQSQQISDMHELWPLAEQRWPSIPQKVLNTFLIVALWNIWKCRNAKAFEGIAAQTDVCLRACANDLLLWAHRCRTASLAQWLRTWSSTIVSIM >Et_9A_062228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20925974:20928723:-1 gene:Et_9A_062228 transcript:Et_9A_062228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKIPTRFQPKIFCPAEKNKAWIGRQLEVAARPHFFYPSRSLFFPLHSRQIPSAIVARQELYGFANDAWQALCLPLLLVLVMFLLVDDAGQASEQISSCLHFMKGPPVCSFNLKPHHGIERGIRQCLNNSFGCSIPEHFKTIIYQLTLSRRSVSSESLAVLALHLLLKHHKYHGGNIVKTPASSSGVLQITENKSSSLTKLKANAERTALDDALDRNKQLDRLNKEMSYWVDMGYTNSNLQYSLLMKNLDELEISLAGEELLMLEKDILVHIEQLGALKLFNESMSLATLDTLTQTSHESDCALLDEIIRLDPETPLTKVDDTEVIVRSGKSQERKLKRMRASEKASGISVNVSKRKQKKSRKSSSSQFISDWKNYPVRRRSIVREQSALLVTIKECANLEKIRENMVKEGQEVSYQRWAEEAGVDEAVLKSKLEAGYCCRERLLVTTEWLVKYIARSYTGMGTAFDDLLQAGKMGVLDGAEKFNSEKGCKFSTYVKYWIRKGMLALLAENSGVTLLPARMESIIRKMKEARRAIRYSAGRNPSDSEIAAMIGVSVANVRLARKCSRRVVSLYTEIGLGQHAKFTEVIPDTSLEAPDEAIFRSQLRDRLLLVLDRLPAREGRVLKLRHGLEDGKCRSLEQIGGIYRVSKEWIRKIEKSAMAKLRNEDVRRELDDFRGF >Et_7A_051169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15061236:15067146:1 gene:Et_7A_051169 transcript:Et_7A_051169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSATSPAKAHFSALFLCHEEPAAHSQCLGAGVGRTRTRARAARLAAAMRSPDAAAQAASPSPAGGAGRRPRVLVAGGGIGGLVFALAARRKGYEVTVFERDLSAVRGEGQYRGPIQIQSNALAALEAIDMAVAEEVMRSGCVTGDRINGLVDGISGSWYIKFDTFTPAAERGLPVTRVISRMTLQQILARAVGADAILNESHVVDFIDDGNKVTAILEDGRRFEGDLLVGADGIWSKVRRTLFGQTDATYSGYTCYTGIADFVPPDIDTVGYRVFLGHKQYFVSSDVGGGKMQWYAFHKEAAGGTDPENGKKKRLLELFGGWCDNVIDLLNATEEDAILRRDIYDRPPTMNWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELENAWQESVKSGTPMDIVSSLKRYEKERRLRVAIIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKYGMPLMLSWVLGGNSSKLEGRPLSCRISDKANDQLPRWFQDDDALEEAMGGEWYLFPTIAGNSNGFQPIRLIRDEQRSISVGNRSDPSDSASSLTLSLPQISETHATITCKNKAFFVTDLGSEHGTWITDNEGRRYRVPPNFPVRVHPCDVIEFGSDKKAVFRVKVLNTLPYESARRGGEQKQQVLQAA >Et_6B_050185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9433054:9438056:-1 gene:Et_6B_050185 transcript:Et_6B_050185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKIGSEDLHSSTSSPNVNLYLGKPCFKPPKWQRSRGSIASFATYRPPLPVDIFSCPISPSSGKDELLLTDVVSYNYNGRPIPVAALKVLVAKKPELASACGATVEDVEKGRATGLAFVSERENGLETLHVSLRFDGKVKVLSLADIYGAAAFGGVRMEDSACFGTGFGPSADPLLIYVSTKEAAPKRRTPWTAVYRTNLRTGDTEKLTTQGQYDLSPVVSPSGTRVAVATFRGNKWNGEIENLKTDITVMNVEKNKLGQLNRSKLISDAGWPSWGSDSVVFFHRGADKTDPATEKITTTWGVFRYDDRTKKIDRVTKENISAMTPAAISETKVAVATIRVRTNQMSGQREESQYRHIEIYDLSVPDQPVKVTQVICPKADYYNPFVLDGGSRIGYHRGRSDKMNGKSDVPRNFHKLASPHKDVGHFRVSGVFPTISSDVSKLAFVDNEFKAVCLADKQGLRVVWERSGPDSVFSTVWNQNPDKDILYVCVGPSFNADSPLEIYAIFNVSKTGGRPQTKRLTDGGLNNAFPSSNPEGTKIVFRSTRDHTKSPFRYKNLYIMQDAQAGETFSDGTVTRLTDGAWTDTHCQWSPRGNWIVFSSTRDKPATAPKTDNGLDFGHFAVFLVNPNDPTTVVRVVTSSDPGPGANSIAGHVNHPVFSPDGRSIAFTSDLSAVSAEPISMPMFLHSVRPYGDIFSVDIDPDDISKNKDIKKFHRITHSRYEYSTPAWTKFATDDPNAQWNMLVTTDAKARSYKPACPYVHPDGGDSWHMTGHLIIPKRCC >Et_3B_030938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9021167:9021527:-1 gene:Et_3B_030938 transcript:Et_3B_030938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWVRTITTPFRKACTIFGPQKDGKKPQQPNSAMVQHVDAERAKLHGEVMACAYEDVQVMWSMLDQARIRDISGSS >Et_3B_028327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13384180:13390293:-1 gene:Et_3B_028327 transcript:Et_3B_028327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHAGATFEEGAARSMAGMDGLEARMARSTVGAVGSQAGQLGYDGTRVNLIHGALINHTNAPRGPNNVDKYRH >Et_10B_004336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4194791:4197452:-1 gene:Et_10B_004336 transcript:Et_10B_004336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKTAFVGNLPANVNEEYLTKLFERFGEFLISGGDNRNKGDYFASLIAKHQAPTFDLVHTLHGTYLPRNLEMHEKHFPRGDYDYMTSGSSGYRASGHYPSPYYADDPSMSEPMIRRYAEERPTMVRNPEPRPRQGERVNMRYPEPTLLHESRHNTGKLLDRRDTQEHSSKVERSPEKLFCLGKEDSCILQGEPYKFDPFTGEPIRPEPNERRSGSLY >Et_2B_022159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9924963:9940456:-1 gene:Et_2B_022159 transcript:Et_2B_022159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLTGTKPEMNEDMYKPHSSVQDILLFILHKFLEPVLLHWPGRKLRERALATAMRHIHYEDECTRYINLGAVPKDPNSEAFKCHIARVYDYLWIAEDGMKMQIYDGSQVWDAGFTVEALVATDLVNELGPTLKRAHAFLKNSQLLENFPGDFNHGYRHISKGGWTFTTADDGWQVSDCTGTALKACLLLSEISPEIFGAPLEIVRQYDAINCLMSFMNSNGGFSAFERIRSYAWLEHIDPSDAFGRAMIEYPYVECTSSSIQCLALFRKLNPGHRTEEVENCISKGADFIERSQRRDGSWYGSWGVCFTYATWFAVVGLVSAGRTFENSAAIRKACDFLLSKELPSGGWGESYLSCHNEVYTNLKGNRPHGTHTAWAMLTLIDAGQAERDPVPLNRATRVLLNLQLEDGEFPQQEIIGVFLQTAMASYSQYRNIFPIWALSEYRRRQD >Et_7A_050619.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:7380946:7381173:-1 gene:Et_7A_050619 transcript:Et_7A_050619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVLALSIVNLTNQRDEVWWKWTPDGKYSVASAYECQYRSHNLFPCHKYLEGTHIESKCNFLLGLSCMTGCSLQIT >Et_6B_049400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4363080:4370362:-1 gene:Et_6B_049400 transcript:Et_6B_049400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRDISMMAQTLQGKSPHYTPSSCFAQEQLSKRDLNAFVQSIHQPNSDVRHAIIWRIEAYLKGMKEFNNWNSDDLLELSKNIDEKLYRDTQDPIQYIDLNTLYARVNAVLSSISFGGHRYPWLSSAASSAQHLQQSGIPAPNYSNIYHGRIGPGFTNAPSCARDLSTEPVFMSNRYAPMDHNMFAANLALTGRAEVFTNTIVAPCFLGSPQCSSGFGGIVNAELHSGHVKDHCPVCEPTTSSRTVIRSSVDSISKTNGHKFATCVGVNFGSKEQSFQQQSFQQYTESHEMVYLGDVTKKRLYPKGEHGVDQSIDTKEKYWRMTDFESCDPLREIVEISEQTSNSTVSKPASPVSDESSGKHRPAKRLKADPHNPAHLNLVGSPEEQKPTVSGTRVYGETVHLDNTGLPTKSPCCSSMEDSNTDINKVLESGGEDVHNMEAARSLETRVSGETVQSENTELPTKSSCCSSMGDSCDDTGKMLEPSEDVHKLETFQSPETCAQTEEKLHYANCETATLDQTPRGLNTNSRKKRGASILYGLTAKEVRDHMSSLNQHICQSKVSSEEIQSTEGLPDQNTCNLCGMERLLFEPPPRFCGLCFKMINSTGCYYVAVENGIDKISICSKCHHLSSSKGKYDKRFNYAETDAEAEWWVQCDKCKAWQHQICALFNQKIVDEEAEYSCAKCFLKEKDSGDIHSLESSTVLGAWELPRTKLSDHVERRLSERLQWDRQQRAIGAGKGVEEVPGVEGLTVRVVSSADRVLQVQPRFQEFFKEKFPRDFPYKSKAILLFQKIDGIDVCLFAMYVQEYGSNCPPPNYRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILIGYLDYCKKRGFVSCSIWACPSTKRDDYVLYCHPTAQKMPKSDKLRSWYQNMIKKAVKEGVVVERNTLYDFFLQREIKADVSAAFLPYCENDFWPGEAEKLLEKKDDDTSQKKETQVGRLLRVAKRDERTGNVEDMLLMHRLGEKMRTMKEDFIMLCLQQFCKNCHQPIVSGKSWVCTSCKNFHLCDKCHAKEQNMALKDRHPATTKQKHIFQRIAVEPLPGTDDGDPTMESKYFDSRIDFLKHCQDNQYQFDTLRRAKHSTMMILYLLHDSACSACHQGMDHCLAWRCQVCLGCSFCDPCYKRSGQSLHIHELRRTDNHKSLQKNTLLDYLEGLVHASRCFDPRNCSSRICVALKKLFFHGVRCDIRARNWGGCMKCVFMWKLLVSHSRVCNQDDCMVPRCRDIKVFIKKKELAGPGRTAHEKSASCIL >Et_10B_002348.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:10097896:10098150:-1 gene:Et_10B_002348 transcript:Et_10B_002348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFILGAFCWALWLTRNDYIFRNVICKSPQAVVHRANALMRKWKALSNEATQTKVELILERFKEYFEAEDSRSSSSCAPRLGPI >Et_2A_017690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5131195:5145797:-1 gene:Et_2A_017690 transcript:Et_2A_017690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAFLSIRNKQEASTRLRVCVEGGVRRAMDSVGVIGGKRDPPEAEKRRVARPGAVTVICVLALPLVLLFLLFGDRAASIAATSLVWQRLSLQGSRNASSFPGADAGSAHDRLLGGLLSPDFDAATCLSRYEASKRWKPSPFPVSPYLVQKLRQYEANHRRCRPHTANYREAMAQLMSGRNADHAECKYVVWLPLQGLGNRMLTLVSTFLYALLTGRVLLVHEPSEMVGLFCEPFPGTSWLLPPDFPYKDGFSPDSKESYVNMLVNGVVRYNDDTGTLPPYVYFHLEQIGLRLQNHTFCEEDHRVLDRFNWMVLRSDSYFAVALFLMPMYRSELDRMFPTKGAVFHHLGRYLLHPGNRAWGIIERFYDGYLAGADERLGIQVRIVPYFATPFEVMYEQILRCTREHDLLPQVTGTSEPGARPSNGSSPKKKVKAVLVVSLKPEYYDKLHGVYYTNATATGEVVTVYQPSHDQDQHTEARAHNERALAEIFLLSYSDRVVTSGFSTFGYVAHSLAGLRPWLLFVPDKRKMWADVACVRAASVEPCLHSPPSLVCRAEQVLDPVKHVPFLRQCEDCWAATVVLVAFVVTVPPMVFLLGARTSAPAVWISSLRDAVQPVLHFTAPGLACTSENFKKPVLQLTSRLARYVKLMISFVLSGSGEGSVLPRQERSFDKHLGGLLADGFDQKSCHSRYKSAMYRRNPGRTPSAYLVSKLRRHEALQRMCGPGTVAYNTAVEELRSGKRGVMASPECKYIVSISYSGLGNRILAAAAAFLYALLTDRVLLIDPSNEMDELFCEPFPNTTWLLPPGFPLTNYTNFDLDTAERYGNMLKNKVLRTDAGDPDTVFHHLGRYLFHPTNHVWGLITRYYDAYLATAHQRVGIQVRVFGPQPNLPALLEQITKCTKKHEVLPELLTLTEPVMPGPSRKSKAVLVTSLKSWYSEKLKSMYWEQAAVTGEAVSVHQPSHEEFQQYGVKSHGAKAWAEIYLLSLTDTLVTTGWSTFGYVAQGLGGLRPWVMYKMMNDAVVPDPPCYQDISLDPCFHAPPSYDCRLKHETDTAKIVPQIKRCIDMSWGLKLNGGPCMHGSIDRARRHGGSGVVRFSGLNMIHGVSPPSPWPTYPNVDQPLVTMASVRVGRRGVARIVLLLSVPLLLLFFLSGGRRVLVAPDSEMLRVIRGRDRPMRQREAKIAVDGTSSPRKEHQRSAAGRLLDAGAAALAFPTRTKKPQCWGINVVLVAFAMTVPPMVFLLGARTGVPAVWIRSLRGGRLSYDLVVHERSFDKLLGGLLADGFDERSCHSRYESAMYRRNPGRKPSPYLVSKLRQQEALQRRCGPGTVAYSTALEQLRSGNRGVMGSPECNYLVSLSISYSGLGNRILAAAAAFMYALLTDRVLLVDPSNEMGDLFCEPFPNTTWLLPPGFPLTNYTNFSIDTAESYGNMLKNKVVRTDAGDVPAAQLPVYAYIHLNFDATQEDKLFYCDEDQRLLRDIPWLVMRTDNYIVPGLLLDRGFQEEFAKLFPEPDTVFHHLGRYLFHPSNNVWGLITRYYDAYLARAQQRVGIQVRVFGELPNSPALLEQITKCTNKHGVLPELLAGTEPKMLGPSRKSKAVLVTSLKSWYSEKLKSMYWEQAAVTGEAVSVHQPSHEEFQQFGAKSHDTKAWAEIYLLSLTDTLVTTAWSTFGYVAQGLGGMRPWMMYKPENDSVVPDPPCAQDVSMDPCFHAPPFYDCRLKHGADPGNIVLQVKNCIDMGWVTMAAVGAEVLAREHQSSLANRLLDNKEAALKFTPRAKIPWRWLVNVVLAAFVMIVPPMVILFGSARTSAPPIWISTANELHGEYLTVSRPPHAIQL >Et_2B_022909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7706820:7715784:-1 gene:Et_2B_022909 transcript:Et_2B_022909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPIWFILLSCLGALYVAAVFSRLLAYLARLRRPKDLRRSYGEWAIVTGPTSGLGRSMAMELASRGLNLVLLDLNAANLRETSDTIKSSHGVETKTVVFDLSLVGSRQVRVSLWALTAAVLPGMLERRRGAIVNVGSGSTVAVPSFPLYTVYSSTKRYVAKFAQSLYVEYKSKGIDVQHQVPFYVHTGMLSSAVKAKLRPWFVATPDGYTRTAARWIGRGPLCVPGAAQKLQWCLTGFVPDSIHDWYRIRLHLQHRAILRGAHKVDINGNSEGKVN >Et_3B_028889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20035815:20038500:-1 gene:Et_3B_028889 transcript:Et_3B_028889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLRLHLCPRLRAFAASSRPLLAAHPGALPLRRAGPAMPLAARARRGLSSSLAAAPPAEDEDFATAADLRFEPPLQVVKYPDPILRARNKRINTFDDKLRALTDEMFDVMYKTDGIGLSAPQVGVNVQLMVFNPAGVKGEGEEIILVNPVVYKSSKRLLVFEEGCLSFPGIYANVVRPDNVKIEAQDVTGAKIKVKLSGLPARVFQHEFDHLQGILFFDRMTMDVLESIREDLKNLEKKYEERTGLTRPETVEDFKGTKDVLSFSR >Et_1A_007619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36542149:36546366:1 gene:Et_1A_007619 transcript:Et_1A_007619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRPRRQAAQQEDRISGLPDDLLLRILLGLGCAREAARAGLVSGRWRGLWAQLREIRLVGVSPGSCYDALGQLEAALVAGVRTSGLDVLHVEPSILSVSGRRAASLLRRAARLAPAELKIFLFSGPPFSRETSVMLPCFASTKSIDLRLQDFQLAPPPDGELFLELERLALRGCVFDPATLLPRCPHLRVLEIGPEAELAATATAVSLPFLEELVVEESFQASRIHVEAPTLNHLSLSNHIEDVSVSAPRLEKLTLDCANHLNRLELGNLPLLQEVVLIGIHRFAFNDLLSMGNGVARFMQQLPKLHLLRISMSLAPRPHRYFAHDWLEALPKTSVLTLELKTYGQHVYPATVLHLLAMSKGIEELSLLLDPSKIKGISILSREVRHLDLLDIEASWLLDIKALCQKLKTVRSKPPKSEKEERSHQLSPVFHTLQSLLSRRFEFGHPRFQERRRLRQPRAPRRGCGDWSVTTGPAAAPSPTAAAQRTASAASQSTCSSIYILLSLRCARAGLVFRCLRGLWALLPEIRQGSFVLLDIWCRRENVHKTAVGKEQNIYTTDKLHGFSAQKVKTVRSKPGRSETEERFFEGRNRGAFTRAQRSTIFLTPHLSRRPAASSSSVLDILGFKTVATPPPAVAPT >Et_9A_062133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:277236:279391:1 gene:Et_9A_062133 transcript:Et_9A_062133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRAEEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLHVEGKIIKAQIWDTAGQERYRAITSAYYRGALGAVLVYDVSKPTTFENISRWLKELRDHADSNIRIMLVGNKTDLRHLRAVTTEDGQNFAEAEGLSYIETSALEATNVEEAFQLILGDIYRAISKKPVASDEPGVGAAGGVKEGKTINVAASDATAEKKQCCSA >Et_4B_037384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19196079:19199940:1 gene:Et_4B_037384 transcript:Et_4B_037384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEGVKHLFGVLLKCCDIDLKQPKGLEDPEVLARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPLDEKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLK >Et_10A_002260.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:616179:617531:1 gene:Et_10A_002260 transcript:Et_10A_002260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAAKWLELLVLVPGALTNQINKVVKYFDPYIQITIDEYSAESFHRSDFFKNVEAYLSDACASRGRKLKVDLGNDFKKLQVNIDDNDEVTDCFEDATLWWYAGTQQRDPRDTHNPPRYFRLVFHRRHRDLVVNSYLPNHVLDNGRAAILTNRRRHLYTNIVSPRESIDKVWSHVPFEHTATFDTLAMDPLKKAAIKADLKAFKESKDEYAKVGKPWKRGYLLYGPPGTGKSSMIAAMASFLNYNIYDLELTAVKSNIGLRKLFIETTAKSIIVIEDIDCSLDLTGKRNGMPNPLIQQPENDDSSKVTLSGLLNFIDGLWSACGGERIIVFTTNHLNELNEALIRPGRMDMHIEMSYCTFEAFKILANNYLHIEEHELFGEIKQLLDETKITPAVVAEKLMSKKSKGEPDDACLAGLVEAMNKAKEEQEETKAKGEQQETKAKRQRRRK >Et_2A_014837.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1886137:1886235:-1 gene:Et_2A_014837 transcript:Et_2A_014837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNAPPPPQDMSYYDHCHKRHEEKGCLYAWYL >Et_1B_013312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7221081:7223352:-1 gene:Et_1B_013312 transcript:Et_1B_013312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKACEKPKPSYRKGLWSPEEDEKLRDYIIRYGHGCWSALPGKAGLNRNGKSCRLRWINYLRPGLKHGMFSPEEEETVMSLHAALGNKWSRIARHLPGRTDNEVKNYWNSYLKKRVEGNKEAGPSTPAPTTATNSSAESDDSQSVKAAGDGTAHELPSSDSGSSEPRESSSADSSCLTVAPKVMFADWLDMDYISGQVAAAPGLDAVGAGASPGDHHQVASQGSVQVDGPCGVDVFGDSGAGCWEFQEQFDGMDQMQTGGFCDLLSMSEFFGLN >Et_3B_027924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26757248:26759109:1 gene:Et_3B_027924 transcript:Et_3B_027924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGAAAALLALALVAVARAEDPYHFFEWKVTYGTKTIMGTPQKVILINDQFPGPTINCTSNNNIVINVFNMLDQPLLFTWHGIQQRKNSWQDGMPGTMCPIKPGTNFTYHWQPKDQIGSFFYFPSIGMQRSAGGYGLISVHSRDLIPVPFDTPSDDFPVLVGDWYTKDHTVLAKHLDAGKGIGRPAGLIINGKNEKDAANPPMYNFEAGKTYRFRVCNVGIKASLNVRVQGHNLKLVEMEGSHTVQNMYDSLDVHVGQCLSFLVTADQKPGDYFLVVSTRFIKEVSTVTAVIRYKGSSTPPSPKLPEGPSGWAWSINQWRSFRWNLTASAARPNPQGSYHYGQINITRTIKLSTSRGKVNGKERYALNGVSHVDAETPLKLAEYFNATDGVFQYNLIGDVPPKEGTPIKVAPNVLTTEFRTFIEVVFENPEKSIDSFHIDGYAFFAAGMGPGTWSPQSRKTYNLLDTVSRHTIQVYPRSWTAVMLTFDNAGMWNVRSNLWERHYLGEQMYISVVSPARSLRDEYNMPETSLRCGKVVGLPMPASYNPA >Et_1A_008162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4886574:4890923:1 gene:Et_1A_008162 transcript:Et_1A_008162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGDDDHASLIRSHAAGAAALSSQSCPSPRGTGHQHLVEVEADEATVTASPRRASGGGVRGLLRHIARGSGRRYQQHQYQQLDRSAAAAEQPLPTTRQRERPAAGEDDELGDGAPPEWALLLIGCLLGLATGICVAAFNRGVHVIHEWAWAGTPNEGAAWLRLQRLSDTWHRILLIPVTGGVVVGMMHGLLEIFEQLKLAKPAQGQGINIIAAIFPTVKAVQAAVTLGTGCSLGPEGPSVDIGRSCANACSEMMENNRERRIALIAAGAAAGIASGFNAAVAGCFFAIETVLRPLKAENSPPFTTAMIILASVISSTVSNVLLGERPAFIVPAYELKSAAELPLYLILGMLCGAVSVVFDRLVAWFSSLFGHIKEKFDFPIVVYPALGGLGAGLIALKYPGILYWGFTNVEEILHTGKSASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAYLINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQPNDGESSGIRIPRRAYSSISSERNGSFRRGDGVNDLELTIIQTDIHNYGTCNEEMLLDDLKVSQAMSKVYVKVLPSATVTEVLKLLRDKQQNCALVVDPEDFLEGIITLGDIQRMGFELHESIMDGDHPKSDENSSTISSCLTRGFQYRGSERGLLTCFPDTDLTTAKGLMEARGVKQLPVVKRGAGHRTAGRRKPIALLHYDSIGCCLREEIENWKTIYQRMAC >Et_8B_060784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7500035:7501589:-1 gene:Et_8B_060784 transcript:Et_8B_060784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFDVDPRSFTAPGFIIRPRNPSRVPIRARVFLGATPERTHENVAIAILEPPVAAEDFHHMARELRNFLVREYQMCDVVITCCPLGAAFVKFCTTIQRQAMLDAGFRPFVSFRMTLDARSFTAIETAVSSFGRLLFVHDSSNMSTVIAHIALRDEVAIPNDITVTMGEAPSMRSWTVPVDILQINNIAIPADEDEVPPVGPLHRRPRRAAPWNGPRIEMDAGESHVDDAPHGNEPGNAAPGVMPADSPMSGAAADFAASAEGMIDDAAVVPIEQIDADEVMEGQPPLVVPVAQALGEEVQPAAANLELVPWPRPNSVENAAPEVNSCDPSIPCTLSFYKKINTSFVAKLDVPSSTLIPEYITDLPILNLVKLMHGDDKLIQDATVEDLVEALQDKDGDGADDHLEGAQDEEEMVEVTKSEVLRSINRVTPKKRRAKIMREPLDDVRFLRRSTHTKNDTQGYKDAKAAAAAAKVASSSAIVPAGSNDLPMYVCVFDREAASSVPPHLLTENA >Et_1A_006683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26367312:26371623:1 gene:Et_1A_006683 transcript:Et_1A_006683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAISMEGIEARARELGVDLSAVDLDSIDLPAGEDFGILSDDEEVLRDEDFPELDAGFSNIIVVDNLPVVPPEKFEKLENVVRKIYSQIGVIKENGLWMPVNPETKKTYGYCFIEYNTPQEAELAREKTHGYKLDKSHIFAVNMFDDFEKYMKVPDTWTPAEIKPYTPGENLLKWLTDDKARDQFVIRAGTYTEVYWNDARKLAPELVFQKQYWTDSFIQWSPLGTYLATVHRQGSQVWGGESGFERLMRFAHAQVKLIDFSPGERYLVTYSSHEPSNPRDTHRVVLNIFDVRTGKVMRDFKGSADEFTTGGHTGVSGVSWPIFRWGGGKDDKYFARLGKNVISVYETNTFSLLDKKSLKIENVVDFSWSPTDPIISLFVPETGGGNQPARVSLVQIPGKEELRQKNLFSVSDCKMYWQNNGEYLAVQVDRYTKTKKSTYTGFELFRIKERDIPIEVLELENKNDKIIAFAWEPKGHRFAVIHGDGPRPDISFYTMRTTNNTSRVTKLTTLKQKQANALFWSPAGRFIVLAGLKGFNGQLEFFNVDELETMATGEHFMATDIMWDPTGRYLATAVTSVHEMENGFQIWSFNGKHLYKVSKDHFYQFMWRPRPPSLLSPEKEEEIAKNLKKYSKKYEQEDQDAFNQLSEQERKRRTELQEEWDSWVAKWKQMHEEERAYRMELRDGEASDAEEEYEAKEVEVEEVVDVQEEVLAFDLDQE >Et_9B_066000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:189803:190425:-1 gene:Et_9B_066000 transcript:Et_9B_066000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPALLFPSPSTSSSPASARVEAVMLFNICDSYVRRPDQAERVIGTLLGSLLPDGTVHVRNSYVVPHSESADQVNNGWPMKAMDIIIWLVGGGGLAEEQRRERAGEAVAADVELKERSSWASLVSFSPRNDGTGPDTPV >Et_5A_041468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23249148:23251054:-1 gene:Et_5A_041468 transcript:Et_5A_041468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVWVDYDGDAKRVDVTKAPLKLDKPVKPLLMANYDLSTVLTDVAYVGFSDGLIQLAALHPWLELRHGRTCSIYRRSPATQAASLWAAAPCQTGTNQIIPPIATATFIFSVAAIAVLLVRRRLRYTEVREDWEVKFGPHRFWYKDLFRATQGFKDKNLLGVGGFGRVYKGVLHDSKMEIAVKRVSHDSKQGMKEFVAEVVSIGRLQHRNLVQFTWLLQNLDKHLYAGDKDMPILDWDQRWENVIIHHDIKASNVLLDSEMNARLGDFSLARYDHGADPHTTHVVGTIGYLAPELGRTSKATPLTDVFAFGIFTLEVICGQRPIKQISVGDQLILVDWVVDHWRKGSLNETVDARLHGSYSISEAYLVLKLGLLCSHPLQMRGQACGKS >Et_2A_018098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10585901:10589043:1 gene:Et_2A_018098 transcript:Et_2A_018098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIAPSPSSSSSGKENGSEDSNDSKGTPPSSGSSSSSSLSSRSPTNSKGESSPPPSSSSGGSKSTPPSDSDDSSSSPSPPSPSESPPTSRSLPSPSSQPSPNPPSSSSNSGSSRSSPPPSPPSNSSPDNGDSNSSPNRDNSNSSPPPGRSSSSPPQRPGGSSPSPPPPSPPSPSEAPPRESGESSSSSAREPPPRSSPSPPQKSNSNDESSNSQHSPPSPSPPAPTNQTVVFIPVPASSNGSPIVLPPPGSVAISPGTSVTTANLPGSTTAGSQVPGSSSGTSNGGGASLTNGDGSSQSSLASSRAGTTHIAAAIAGATITGLMFVVVAVFLVVSRRKKKRSTDGLVYHSDGNYYTHSGQLGGPNQSGVIYPPPPGASTGFSYGPAPDGGGYYHSGNMEPPGSKSSFSYEELTSITSNFSRDNVIGEGGFGYVYKGWLADGKCVAIKQLKASSGQGEREFQAEVEIISRVHHRHLVSLVGYCVAAHHRMLIYEFVPNGTLEHHLHGHPRIIHRDIKSANILLDYSFEAQARVTNEDPTYLAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDQTRALGEESLVEWARPVLADAVETGDLDELVDPRLDGAYNRAEMTVMVEAAVACVRHSAPKRPRMVQVMRALDDDAGGMSDLSNGVKVGQSRVYGSGKHEAAIQQLRLTAFASEEYTGEFEQSREYGDSETQPMNRRLG >Et_2A_015305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10828514:10833096:1 gene:Et_2A_015305 transcript:Et_2A_015305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCIGTADTKLEELLFLAVRLRAALAEDPKVQVSIVDVSTTGNIASQDVKDITFIPRDAVLSCHLGVEPHNLPDDRGEAIALMSKALQNFLKGRYESGTLLGAVGLGGSGGTALLAPALRSLPLGVPKLIVSTVASGHTAPYIGTSDLVLFPSVVDICGINSVSRVILSNAAAAVAGMVRGILMASDEPDETVAKPTIGITMFGVTTPCVNAVKDRLNKEGYETLVFHATGVGGKAMEELVKGGFIQGVLDITTTEVADHIVGGVMACDENRFDAIIENKIPLVLSVGALDMVNFGARDTIPSAFADRKIHVHNEQVSLMRTTVEENKKFARFIADKINKSSSRVTICLPQKGISALDALGMPFYDPEATSTLLGELNTLIERTDIREVKLLPYHINDPEFANALVDAVLSMNLMTSSSVTQKNNTPQPKKDEKSKESSSVQRNSDSSSIWRSPADFPDARTETLQKTKSILHKLKQQISEGIPVIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVVEMANEVLPVVKGVPVLAGVCATDPFRRMDYFLRQLETIGFCGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMISRAHNMGFLTTPYAFNPEEATAMAKAGAHIIVAHMGLTTAGSIGAKTAVTLNDSVVRVQAIADAALGINPEVIVLCHGGPISGPQEAEFILKNTKRVHGFYGASSMERLPVEQAITNTVSEYKQISLQ >Et_9B_065754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10149655:10154123:1 gene:Et_9B_065754 transcript:Et_9B_065754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDALSQIHEAFRLAAELMSELPATQNNPAYLADRCHGIVRAYVAAIRMLQPQAGDVAAQLPPFSGDLLRLFSPADQEAGPANPFLGSPSPQLGRLMDAFGPRASDMVRAGVDVVGTSSGGPVRRVASSSRGSPPVQPRQGRRRRESGERTTVMVPVQRAGNTDQPPDDGYTWRKYGQKDILGSRFPRSYYRCTHKNYYGCEAKKKVQRLDDDPFMYEVTYCGNHTCLTSTTPLLTLPDPGTAAAATMTTNSPTSSAAIRAQDLAMVAAEHHAPALSTAIQLGINWMPSSLVGASSGAGAGEGSSSQMNVATSGTGRDTEYPVMDLADAMFNSGSSGGSSMDALFSSHDPRDRLHSDWKIPSIYGGQFAVKGTS >Et_9A_062454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23032343:23038184:1 gene:Et_9A_062454 transcript:Et_9A_062454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LSHLYKRDRHTKHANAKLPPSLQENRNTTKSRANKQETHHAKHTTQTSSQLARKETEMEMEAPSVSGRWTRVRTLGRGASGAEVFLAADEASGRLFAVKSAASGPAAAALVREHAAMAGLCSPHVVPCAGGRAGRDGSYQLFLEFAPGGTLADAAARSLGERDVARYAADVARGLAYVHGAGLVHGDVKPRNVVVSADGRAKLADFGCARRVAGGGSDSKGQQPIGCTPAFMAPEVARGEEQGPAADVWALGCTVLEMATGRAPWSGVTCGDVLAAVRLIGYTNAVPEVPEWMSAEAKDFLGKCLCRDAGKRWTAEQLLEHPFIASAGCGGDAAAELAACKGKWVSPKSTLDAAFWESDTDDEDDEAAQSAAERIKALAGSSVSTLPDWDSDEDWIDVASTPSESSDATPVPAEGKSTAAIDAGVRGDEELRNSEVEPLVDIDVDSGESPHIVGDTDASPDHEEHPRLNSLACECDDEVPCKLVLVQSSNTNNAMDFILAQITLCSSPALNSEKSCSLPSALLSCVQ >Et_3B_030146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30983929:30989310:1 gene:Et_3B_030146 transcript:Et_3B_030146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGGAAGEGEWLKVAQLRAMVEAQEPQAKEVDNLTLRRFLRARDHNVDKASAMFLKFLRWRKEVAPHGYVPEELVRRELSHDKACMGGFDRTGRPILVAFPARHYSANRDMAEFKNFVIYFFDKICARIPRGQEKFLCIVDFKGWGYSNCDVRAYIAAIEIMQNYYPERLGKALMIHVPYMFMKAWKMVYPFIDNNTRDKFVFIDDKILHETLQQEIDDSQIPEFLGGKMPLIPLKDHVQQPQTRWVAAAKSKRGRAGQRRRWGVLAAAAQWAAAAQRPVRCGVARG >Et_3A_025131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27108174:27110567:-1 gene:Et_3A_025131 transcript:Et_3A_025131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FRSLFSFETKTQLVHVRQSFRGLTGKNVTTIGPWGGLGGDPWDDGVNSAIRQIVIAHGTAVDSIKIEYDMKGSSVWSEKHGSGSRNSKTDQVKLDYPKEVLTSISGCYGAVGASVVIRSLTFESNCSKYGPFGTEQGTFFSLPVSSGRIVGFHGRSGSCLHSIGCHLNKDKNTNLSGAPSALRSITRSSDRNGHRYADSSAGYDMVLAARERGDNYTIQTTSLPKEQYPNPSRDGIVCNRMVSIPSFISDNGSVTISTPDRFGPWGGTSGTIFDDGIYTGVRQINLTRGLGISSMKVLYDRNGQAIWGDKRGVSGGARPEKVIFDFPSEILTHITGYFGSTMIMGPTVIKSITFHTTKKSHGPFGDEHGTFFSSCLTDGRIVGFHGRAGWYIDSIGVHVLEGKVLPQRSDLALTDTSPSSQSDALALARKEIEDQVTYGVVKEPVPVGPGPWGGEGGKPWDDGVYTGVKQVYITRTDFIGSIQIEYDRSGQSVWSAKHGNGGQMIHRIKLDYPHEVLTCIYGYYNTCAEEGPRVLRSITFVSSRGKYGPFGDEIGAYFSSATTEGKVVGFHGRSSQYLDAIGVHMQHWLGINKTSAPSSKYYISRYLF >Et_7A_051055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1442184:1445455:1 gene:Et_7A_051055 transcript:Et_7A_051055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQPSERAELRRSGFKASACAGEAGRLRREHITVGILKASRNNALLKRRRAAAGAEAASKAPVALERMLEALPLLAQGLYSDDSSTRLEAARELRKLLSIVCALTNIALGTSENVKAVVDHNVVPILAVWALGKVAGASPLFHDIVLAHGALFPLLQRLNGRSKLSISRKATWALGNFCRALSTANFEHLKPALLILQRLIHSQDLEILNDACWALFYLSSDSDENIQAVIESGPLFSPVLIAALHVIGNIARGSYVHIQCIIDHQALPCLLNLLTTNQPKCIKSEVCLIISDIMAGTKDQIQAVINENIVGPLVQLMQTAEFDVKKKAACAISNATTGGTHDQIKYLVSQGCIMAFCDLLGYADTCVLIVCLKGLENILKVGEAEEHALRCQHMIDDAGGLEKIENLQTHDNNAISETAVRLLMPYWLEGDNEAPCFDPFLPDLEEALPFGIDFALPRAFDSG >Et_6B_049924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18091923:18093083:1 gene:Et_6B_049924 transcript:Et_6B_049924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSPPSLPSSRRAASRCVCKAWRGVVDDHRLLLPRLLPHAVRGLIVNYRDRRRPCLFARPASPTSTAGGGVVTTATDHCNGLVLRPRRRRPDYRVPRSAYLTFDPAASPHYEVLLVPPGPTLEQVDRSSGRMRWPPSAWTWHKFSSRSGRWEETGVHARRRGGGDGTEVEAGLSDDKYQVIQLPGDLPEGYEYSSSFLGKSENGVYFAVIHPENCVKHLMVWTLNDQTACWSLTHRIELKPTTWWEGSYNYGKLKIWSRPLVDADTGEEKRVLLTKQNVDWSSDDEDVTDLTDEEC >Et_10A_001485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3944336:3949828:-1 gene:Et_10A_001485 transcript:Et_10A_001485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGARRVMMTIHVIRKKDSGRFVLMAQQGCESCQKWQDHYYQEHMDVSGIRFFKLLTGDFAQSISIPEKFGNSLKGKVNKEFNLKAPSSETWRVSLTKHAGEMFFMSGWGDFAKANELQENDFLIFTISGNYAFDVMIFDASGCEKVSSFFKGKRDPCMHKHFQNIVGQQAEHCNLSDSNDTSTPLPLIESAYKASASKKMRGKTKPSTYHILLLSRFTLYNLSSLDYIMCICVTGEEPESPDSSNHHIKREGMGDEEQSDDRHADSIYYYSRSARILTGNEREEILSLASIQPGNPVFMTVLQKTHMGHKNNLLCAERVNAFATQIIPGDFAADHLETRSHDILLLRPNRKDKWCVNYYHGRATRGFSCRRWIKFVQDNGLRKDFICIFELVKGAKRTTMVVHVVRK >Et_4B_039683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26419942:26423855:-1 gene:Et_4B_039683 transcript:Et_4B_039683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLLVSLPVVFFCVMICLGCYHHGKEKGREEMRAAMQLQVHLMPPQPSPRVAGGYPGTLVALIISACQVEWEITNSSATNEKCPSTCNGDQIKNSAKKATDPSSRSGLITEQEPGEHEHPAGGAGEREDGEVPGLPPPGLVASLGLDAEALGPAQRVHLLEQVELEPLALELGDALAEQQRVLALPREQPRQDGLGVGLVAQRPEHGQRGEQRDEAGDPGPLARHGSGCGGGGEEEEEDGGGVEGEEGAVEEGDLLARAVEAGLPQRDAAGQQILLLEPLLQQQPVRPALRDLAQRVLPPRRGLPGGRHDEGAGVGHGRRLDLDSTRLDCSAAAGEVSVWLRRG >Et_8B_060305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:917907:919653:-1 gene:Et_8B_060305 transcript:Et_8B_060305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPDECGWLLYLSLAAKCGGDPDRLVGFAAVFAVAFAVTALLHWAAPGGPAWGWYWWTRRRGVVMGGAAIIPGPRGLPVVGSMGLMTGLAHRKLAAEASGGERRRLMAFSLGETRVVVTADPDVARELLASPAFADRPVKESAYGLLFHRAIGFAPYGAYWRALRRVASTHLFAPRQVAASAAHRAVIARQMLRAMMNKQQQGDSVVVAPRGFLKRASLHNVMWSVFGRRYDDLAAREEAAELKALVDEGYDLLGQLNWSDHLPWLARLDLQRTRARCAALVPRVNRFVGAIIDEHRARLGLGDKASNLMDFTDVLLSLQGADRLSDADMIAVLWEMIFRGTDTVAVLIEWVLARLVMHQDVQRRVHEELDRVVGPGRTVTESDAASLVYLQAVIKEVLRLHPPGPLLSWARLATSDVHVGGYLVPAGTTAMVNMWAITHDPAVWADPTEFKPERFMGAADEVSVMGSDLRLAPFGSGRRSCPGKSLAMATVGFWLATLLHEFEWLPLSNDAIDLSEVLRLSCEMAAPLEARLRPRHAV >Et_9B_065542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6502824:6503605:-1 gene:Et_9B_065542 transcript:Et_9B_065542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVIVSMEHNLWEGVCPQIREGVGVLDRYLSSEVFESGGKVDGAAGTNELGIAALLELVADTANGKHKVGLDRVKHHLLSGAAGLAPSRSLLQLAASSNARGIHECYFVVQ >Et_1B_010585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11928108:11932156:-1 gene:Et_1B_010585 transcript:Et_1B_010585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCRALALQLLPSSAITRATAASRARGEPPQDAVLKAISQIASSKGRVAQTTNVIMGGTVTDDATDEWLVLDKKVNSYPTVRGFTAIGTGGDDFVQSMVIAVESVIQERIPEGQISQKISSKGKYISVKIGPIRVVSSEQSFTFHSLGTVSNSSRHLRPQRAPGAAFLDAPRAERRTCAARPRWSAQLAALLDPNFDLPHPTAQINAVVPPWPALELRCVPIQFLSSAALELLLSHP >Et_7B_055474.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:11312835:11313353:1 gene:Et_7B_055474 transcript:Et_7B_055474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMPGGDGMGSMAMAPPHSGHQASGKASTSAAAGHEMEMMHMSFWGDRAVVLFPGWPGERGAGAYVLCLLFVCALAALAEALAAVSRCLARRGGAGRGHVPASSAALLTAAHAARMGTAYLVMLAVMSFNVGVLLAAVAGHALGFLLARSRVHPSAASAARDGAAADGSKA >Et_5B_043158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13161563:13162746:1 gene:Et_5B_043158 transcript:Et_5B_043158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAIRSKKTGLVSRRWRLVRGRVQKRRTGCRNVTVTVLGPAFGDCAATSGLFVHPEEKESNRNRTIDSAAACISGKHIRHDYETLEAVGEGSHGVVYRARHRGTGEAVAVKQIRDVIADAATGGAFFLVTELLGPSLRRCLLLGRRRFFSERDTRAVMQQLLRGAARMHGAAIVHRDIKPENILVAPGAGVVKICDLGMAGPTKPPGVPYPELRVGTLPYRAPEQLFDGGGRSRAWTCGRSAREVLAGLLAFNPDDRLTAAAALGHRWFAAQEAEDQPWPCRRRVARAPRSASASVVMVAVCNG >Et_4B_039971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6945158:6947706:-1 gene:Et_4B_039971 transcript:Et_4B_039971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKKLSFSFASKSKPPRPTSRPAAAGDDAEPRSAPAPAPRFVTEFDASQTLTPAGAPAVIAPLPNSGNFLNHRPRKPSSLPTPEEEAALAAESGGGGPSFVLDTSTAPEDASSHIGYGLTLRNAAAGTDTAAAKESENAPPPQAAAHASGGDLMLQRYKEDMAALPEHRGLDEYLEIPVEGFGAALLAGYGWSEGKGIGRNNKVGDTKVVEYNRRAGTMGLGYNPSEADPKKTRAGDWIVGEKKVTENGTAKKRDRDNRDKEQERDSSARKKRSGEQRSEREVREKERNGRDSREGNSSGGGTINSVRWLQSHIRVRIVSEKLGKRLYLMKGKVVDVVGPTTCDIMMDDGSELVQGVEQDMLETVLPRTNGRVLVLYGRHKGVYGHLIEKNSKDETGVVEDADTKDMRLIDVWQLHVCWAFGMGYMWKA >Et_10A_001218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22262467:22265609:1 gene:Et_10A_001218 transcript:Et_10A_001218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLLLLLCLATSVAAAGEAPRPPATAFFVLGDSTVNLTSPSLSGSGPCLFPSTRRLLPALLAANMGLPPPPLISTLNGTAAAVAGGVNFGGQYGAGGVFRAGAAVGQQLRLAAETLQLLQLEAATSRDAAEETAPTPTRGCSRAGPPPPTPPRPSTAAAGSAASSPTALFEPWLVAVMGVAQLGCAPRVMWDGMNVLHGRSCVEEANELIQGYNARVAAQLDDLRARLPDADVVFCDVYKGMVEIISNPGRYGFEESREACCGLGPFKASMGCFSKEMACRSPERHVWWDLYSPTDALIANWSWSPLLGSDLSICSPITLQQLAGSPA >Et_5A_040694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11135313:11139735:-1 gene:Et_5A_040694 transcript:Et_5A_040694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVEMPLAESPQWRRKATDFFSTSSFKLKQAGQSAGDNIADAAGKVGSVVKSRWAVFQEARQRRPPGETVQERFITAAATTGVLLRKGISETKEKVAVGKVKVEEAAKKTADKSKTILNNIERWQKGVASTDGLSNEYLFKSEGDRKILQQLVSLYNEDSGASLPEGVSPIDIGALMKCYLASIPEPLTTFALYDELRDARVSIPDLRNILEKLPNVNFMTLEFVTALLLRVSRKSSLNKMDSRSLAVEFAPLIMWRQGDAGTDLRNHLKLTLKPPPKIVDTTLNTATWDLLDEDDEDASSQIPLDDASPPDYSSIEVIQCLIEHHNAIFTDANETVWR >Et_6B_048648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12009848:12013297:1 gene:Et_6B_048648 transcript:Et_6B_048648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSPVCFTRPLALALLLQLVLVAAVCRLGSCDGAADRIRRLPGQPEVSFGQYSGYIGVDDKSKRALFYYFVEAEVEPATKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGQVLVKNEYSWNKEANVIYLETPAGVGYSYSADAAYYQGVDDKMTAMDNMVFLQRWLEKFPQYKGRELYIAGESYAGHYIPQLAEVMVEFNKKDRIFNLKGIALGNPVLEFTTDFNSRAEYFWSHGLISDATYRVFTSVCNYSRYVSEYYGGALSPLCARVMNQVTRETSRFVDKYDVTLDVCLSSVLSQSKILTPHVHQQVGKSIDVCVEDETVRYLNRKDVQAALHARLVGVDKWEVCSSVLEYELLNLQIPTINVVGSLVKSGIRVLVYSGDQDSVIPLTGSRTLVHNLAHNMGLKTTTPYRVWFEGQQVGGWTQVYGDGMLSFATIRGASHEAPFSQPERSLVLFRSFLQGQPLPQTFS >Et_1A_007769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38036590:38038262:-1 gene:Et_1A_007769 transcript:Et_1A_007769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEKFFTPQSSPEPPCSASPATEVPAAAAQRDALEIVVKRRLDQDDGDGEGGGGRMTIFRVPAHVREASKDLYEPRLVSVGPYHRGREALRAMEQHKWRLLRELEARRPDVASLADYVTAVRAVEQRARGCYSERTNIFDAAQVRGGGDHGAAEFAEMLLIDGCFIIEFFIKWHNRMPDELCDVGWGLNLVHSDLLLMENQIPFFVLEELFHVVAPEADGSLDLRRLFLPHLNLPDFTFVREVSDEMVPTREVRHMLHLFYEAFVSRPDDQDSLRVAPPQCVARLREAGVRLRAAACKRFVSVRSVPCWTPLTSLAILLREAAARFGKLTSLHRYSDQSATPPRPTLLGVPSVTQLRGAGVRFERAPSPRHMLDVTFDAATGVVRMPRVELGHANKPLLVNLVAFEQTTSDGLAAARPLSSYAALMASLGRGGAAHRGGVVDNLLDGDEDAAKTFFQKLGNCGTLEYENHLFRDLFEELNAYYRSSWRRHRASFLRDHCSSPWAMVALAAGVFAFCFALVKFCTVMYGLVHPIPRR >Et_3A_027126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33805944:33808950:1 gene:Et_3A_027126 transcript:Et_3A_027126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATSEFSRVFSAFDRDDDGKISAAELRLCMKAALGEDLSAEDAEDLVASADTDGDGLLNQEEFLKLVQLEMEEEERCRGLKEAFRMYEMKGEGCITPVSLKRMLSKLGAHQDIDECQAMICRFDLNGDGVLSFEEFKNYQVIIHRSSVHKCRSSATMVASSEFSSAFSSFDRDNDGKISATELRLCMKATLGDDLSPEDAAALVASVDTDGDGLLSEEEFLKLVDEEREEEERSRGLKEAFRMYEMKGEGCITPVSLKRMLSKLGAHQDVGQCQAMICRFDLNGDGVLSFDEFKIMMDQ >Et_3A_026770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19852066:19854398:1 gene:Et_3A_026770 transcript:Et_3A_026770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAPGKSGRLYVLFPTKSIVVGLDVSTGNISWQRNIGPLRGEKTLPTVDSNGWMSVGSLDGNLYSISPDGDIRKFLQKASNDSAIHASPVLDCSGFSTYVAQTIVGAKSSHKNGDYTHVSALKRKPKRVLFTLLSPATETIDWTGEYPGELSNLLSSRDLNDFEVDETILLSVLSASRIGNTTMQCYTRSGNDLLRGMMIVVFLVIVIQAVAFCSCCIFWRKKKVQGKGLHKFLEKRCSLHKKRRVLSKMISHLEQTAAEDTSSNETLVRLGEMVKAKEGVENKLYSSYSLGRDRLGLKQDSSALPQYNGKYKSHSFHSSQKESITLFHTFSDTSASEDGTSSSSDDSGSFSCSAASSEDMELDTVSGSEEEAGPSNTANVADAMFVGGQSTARNGDVLSQREGMIVTLKDYGPSKRMLKRRRTFN >Et_7B_055792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4779253:4781136:1 gene:Et_7B_055792 transcript:Et_7B_055792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREERFPVWEAALGAGVAAAFAAGLVGVYLSMPDSDYSFLKLPRNLEELQILTGHLENYTSDYTLQVLTFMIPGTIFMSLLAGALFGQLRGVALVVFAASAGASSCYFLSKMIGKPLVFSLWPDKLSFFQKQVAKRREKLLNYMLFLRVTPTLPNTFINLASPIVDVPYHIFLLATLIGLIPAAYVTVRAGIALGELTSLSDLYDTQSIALLFLIGVVSVTPALLGKDEAQEKTSETAVGAS >Et_8B_058830.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:9907638:9907712:1 gene:Et_8B_058830 transcript:Et_8B_058830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHACLLLRAFTCFGNQTMHFFST >Et_2A_016326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23388472:23393379:1 gene:Et_2A_016326 transcript:Et_2A_016326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDGAAASAAAAAAANGSRGLVRAVVGCSSSPLFFWLLTVALVAAIHAASSLISSRNEEKERAKRKARRGGFAAGEEREFAAAERNEDRILEMMRSFSFVQATEEDFLHGMSAFDHVAADEAPEPDALSPASSSFSFKFQHQTDEIPQEAAVVSGELPPREEEEYEREEEKVPSVPLEPSAFSQEHEDEAEETEHVVEEHVEEQRSEVVEAAPSMVVTHNYRFLSERDFRGFVMEPEAMTVRVQESFLPLPPPTPAAAPVQPEERRVVEVAPRGGGGFLKERDFRPADDPDAREMSVASSGKRTPSRRRKPAASSSPAHACESVASSGKRTPPPPRRSPSSVASRGSAAGGRASFASEFSGFGDSDSESSGSDGYSVKELVVDSDSDWFLSEKDFPGAARGGAGTRKSYEAKVLKSIEALEAAKLEQSYEEDSATTASPNSIQQGSPDSIPDGSAKYPEDMWSRSPSPDVEYKEDEKVATQAEEVEERNMEEEESIETSDDEPSGGKELALATAYDAASVADKNLDHSDKETITVSDYSREAVSDVQRSPEAVPQGGFAVSDVQRSPEAVSQRGIAVSHVQGRPEEVSQSGINVSSNQVDGPDARRSPEPSEHELVIDHSPEHISDDRRETASESDQSYEIVFDDKRRPEPKVTFSTENDRSYALVSDDKDIPETPQREFSANDHLDYRKEEEQASANDQSDDFARQAYVSVTGKAKMHEDDGEDPEMKWKDLTEEEEDELESLWEHQDLIEQLKLELKKVRSIGLPTILEESETPKAPMEDLKPWRMDAKFLREDPMDELNKFYKSYRERMRKFDILCYQKMYAIEFLQLRKPQQSNSLKSLSPTVASILSHNFRSSRRRSPEDPSGRFLKELRYDLETVYVGQMCLSWEFLRWQYEEARELPESDPYHSHQYNQVAGEFQQFQVVVQRFIEDESFKGPRLPNYINNRCVLRNLLQIPVIKEDSLKDRMEDQRKGNYVITSEELEEIMEEAMRVMWEFIKADKVETTSVLKGLSSTHVELQDPSDHDLMMHIHAALQKKEKRLKDLLKTGNCLVKKFKKPKEDRTNQNLFFSQVDMKLVARVLRMPRITSDQLQWCKTKLDKIIVVDRVKIHRESSFLLFPCMAIEHNIPYEENNYQTYD >Et_5A_042995.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:9000673:9002700:1 gene:Et_5A_042995 transcript:Et_5A_042995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWRRRWTLLMQVVLVFAAVVTAAVAQDYNKGGGGDGEDEEEKPQFKAQESCNGAFLSYTFVERTKEYPRVKNATAQAYAFKATASVLNTMTEDLKAWQLFVGFQHKEVLVSVGGAVLLDGTDFPANVSGGATFAGYPLADLLNSIDTAGDLTQIENKIEITGTQFGVKPPGKPMPKTIKLANPAGFRCPAPTHKDGVMYACCVKDPKFKSKKANATTRFQARQKADLTFAYDVLQATANTYLAQVTINNWSPISRLDNWNLTWEWKRDEFIYGMRGAYTLRKDGASCVYSPAASYYKDMDFTPVYSCEKRPIIVDLPPEREKDKDVGNVPFCCKNGTLLPPTMDEARSRAVFQMTVYKVPPDLNRTALYPPQNWKISGKLNPQYVCGQPIRVSPQEFPDPSGLMSVTPAVASWQVACNITRPKKRAAKCCVSFSAFYNDSVVPCNTCACGCGNDTATCDPDARAMMLPPEALLVPFDNRTAKARAWAKINHWRVTNPMPCADNCGLSINWHIVNNYKSGWAVRMTIFNWQDYTFKDWFAAVTMGAHFSGYENVYSFNGTRMEAPFNNTIFMQGLPGLTYLDGITDGKTPAEPRLPGKQQSVISFKRKDAPNINIAKGEGFPKRVYFDGEECALPDRIPKASSTHRRAGTVSLGQVAMAAALVVIVVLVDSLRL >Et_9A_060953.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21173594:21174889:-1 gene:Et_9A_060953 transcript:Et_9A_060953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSDSIQMAGGLDHEPLRVRVPSPRAPLPVDGAAASEMPYSVSFSVPASPSGLHFAQLGASASIRCDGGVRVAPSMTTTAEVKLEQGGAEPLPQLLNQARYHSQPTLTIRSEEPPPQRQRTAVSRSDSTRDRRFDHFKTFSGRLERQLSNLRGVAAPVEASEVVEDSKITEAESGVEDDDDSSSEEKEEVPSADRYFAALEGPELDTLRSTEVPVLPKDETWPFLLRFPISAFGMVLGVSSQAMLWKTLASEPATSFLRVSPSVNHVLWWVSVALMVFISFIYLLKLVFYFEAVRREFHHPVRVNFFFAPWIACLFLVKGLPRPVWTLHHGVWYALMAPVFCLDLKLYGQWMSGGERRLSKVANPSNHLAVVGNFVGALLGARMGLHEAPLFFFAVGLAHYLVLFVTLYQRLP >Et_3B_029698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27237748:27240472:1 gene:Et_3B_029698 transcript:Et_3B_029698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSSGQIGDRSNAALVTQVEYCRARLDLVKYKREGPNSRVGDQAVPAQVRLRGAPRPAGPQHRHAREPPHPPHGYDFFTNELQGVAEFPAERTVFVRFAYAHSRISEYLTTRDMV >Et_6A_047908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2475011:2475396:1 gene:Et_6A_047908 transcript:Et_6A_047908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKETLPLGDGLIAPMCLCGTLCKLVKSHVLGNDYDKRLWMCNNYQYELPLQRLHFSDGRPKSSPSLCEFIEYIDTEQTPENIAHVYRVAERARRH >Et_4B_036149.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28946521:28947240:-1 gene:Et_4B_036149 transcript:Et_4B_036149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQSWKRDTNNRTTNSIWCIPRDAQQGLAPGLLCPEDGALGDLERGGGDVRVDELQLERADNPERALGAAPLVRQHRVQPQLHVELAVLFLLVELRHAGVGELDELAGAADLDVERHRLPRLVLQRRQGGLMELGVVEGERRGEGVVADAVVDVGVPERQPGGDVVGDGGVGGGRAGGEVEPLQHGVVDGGLGRLDEGEDDDEGGDEAAEEEEARDPEDDLPPLIEATTAAFIALAHV >Et_7B_054323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:238265:239509:-1 gene:Et_7B_054323 transcript:Et_7B_054323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFPRGALLLALAILCFLPGGAECARVFTIINRCKTTIWPAASPGDSFGGGGFALRPGQSVVFTAPVGWSGRIWGRTDCNFDAGGNGSCATGGCGTSLRCGASGAPPASLAEFTLAATDYYDVSLVDGFNLPVVVTPVNGQGNCSAAGCNGDLRDSCPSELAVKVNGRTVACRSACDVFDTDQYCCRGQFGNPSTCQPTFYSNKFKAACPTAYSYAYDDPTSIFTCSNADYTITFCSNGKQPVCSYHNNRLTCSGSGRSWPLIESSTLVLALLFTFWSLQH >Et_4B_036486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26610499:26611449:-1 gene:Et_4B_036486 transcript:Et_4B_036486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCSFYASTSVPVAKPPQSSPSSKPTSTAAVAAATTIPSRTATTTTTNHLPELPSQVKDKILSLELMGVDYRRALSLNPALRDAAPESIHAVVTFLQSRGLHFKDLGRVFGMCPSVLTASVRAHLRPVFAFLSDDLGLPEPAHRRAIVKCPRLLACSVRDQLRPALIYLRRLGFRDTRALALQDPILLVSSVERTMAPKLEYLAGLGMSRDDAVAMVLRCPALLTFSIERNYTPKFEYLVEAMGGGVEDVKAFPQYFSFSLEKRIVPRHRAATEAGVAMPLPDMLKVTDEEFREMLEKEQKATTD >Et_8A_058333.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:5156336:5156605:1 gene:Et_8A_058333 transcript:Et_8A_058333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACSCSGVIGLAANGGTGGGGSALAELGEGSSNWPSNRIMYSWFAAMDGEKMRLVMRLLCFSVCSCWLMRVLRALSDDDGGWRGVVLK >Et_5B_043296.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22626237:22626716:1 gene:Et_5B_043296 transcript:Et_5B_043296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVTASATSPSMAKRPFQSSASALQNPLLRASALSPCSSGASDAADSTAAETANQARPEPLPACVRRPPPLDASTASADTKPTMARRPLMRSGAGPLNASASHRPGLAGFALGFGVGVLGNGEEGAEVAVDVSSVGSTSGCTYARSKSFVSFCIILAY >Et_3A_023384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24616309:24616751:-1 gene:Et_3A_023384 transcript:Et_3A_023384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGSQNHREEPPLPCANNCGFFGSPATRNLCSKCYRDSIRLAEAQAASTESAVFTTEAAATAAEKEAAGSGRAKRRSRCASCGRKVGLMRRGLLRRAPLLGPARLRLRLQGRRPRRHRPRQPRRQGRQAGRQALN >Et_4A_033903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27898322:27899026:1 gene:Et_4A_033903 transcript:Et_4A_033903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGGGRAGAGSLGSAGSLGLRVGQAVFSSASLLFMSVGVEFFSYTAFCFLVTIMGLVIPWSCTLAMIDVYSIFMGCPLRVPGVMFIVVVGDCVLSILSFAAACSSAAVIDLLLQFHGSHCSPRFCGRYQLSAMMAFLSWLLMAASAIFNFWFLASR >Et_4B_039359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11722899:11725499:1 gene:Et_4B_039359 transcript:Et_4B_039359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVSGGAPGAGSKNKKPRHLARSLTYHHHPYQGQGRHPQPSPAPDPQRATQAVVLYTTSLRGVRRTFADCCAVRAALRGLRVAVDERDVSMDAALRRELQAILAVRGLGFSLPQLLVGGRLVGGADEVRRLHEAGELRRVLGGAAGQDPAFVCGSCGGFRFVPCPTCDGSRKVFVEMEGRARRCIECNENGLNHELRTAAKDGLIIILLFLPNSPSDPQFELLPVTTREE >Et_5B_044783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5705306:5710576:-1 gene:Et_5B_044783 transcript:Et_5B_044783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KSLQAKPTMKMTLLCVGVILALYVVTKPTTAVPIDIHDTDMQALGRWAVTEHNKQAHDRIKFIRLVSGDNNMAPLDKYYNLIIDALNSGGKDAKYEAGLTVRDYFGRRTLQYLSVPRCHHTFANLFIPIKFACPISPTNHCNPTMRTTLLCAVAVIAICVVTSPAASSSPVDINSEDIQMLGSWAVTEHNKQANDRIKFNKVVGGNTVLGGLLDQPYYLIIDALDKAPISPRNHRKPTMKTTLLYVVDFIANISNWYIQDLGRWAVTEHNKQAYDRIKFNKVVSGNNNEAPLDKYYRLLIDPLNSGGKDAKYKAWLTVRDYFGRHTPTMRTSILFVGVVVAIYVAATPTTAVPDTWTLITDGEIQDTEIQELGKWALMEHVKQADDGIKFIKVVSGYIRKRYRGVNYRFVIDASNNGGKEVQYEAVMYSGDWRSSRLLISFKPVIN >Et_10A_000651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14473254:14473621:1 gene:Et_10A_000651 transcript:Et_10A_000651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQ >Et_3B_028262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12423260:12425822:1 gene:Et_3B_028262 transcript:Et_3B_028262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIGSRSSPPPPPPPPPHLQGFLHRVPPPHYHRYPGWPPGAAPPPLAVPAQVERRKAVAVSTGVNIKGDTLRLEPDEDGRGLLLAFSFDADAPGSVTVCFFAQEDEEHILKATKENLLKPVTVAFKEGRGQEFKQPCGTGIDVSLFEESDLTKVGEGGVFPVAFKVDMAVSSNQELEEGHNDEASKCLVKFAIFVKKDDAEYGVRVVQQVLWVNETRYVLQEIYGIGNTADSNNDGDDSGKECVICLSEPRDTTVLPCRHMCLCRECAQLLRIQTNKCPICRQPVQHLLEIEVDMKSGGHEGTH >Et_2B_019928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15314911:15317026:1 gene:Et_2B_019928 transcript:Et_2B_019928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GIRFLDVMGANDIHVWKTFEEKYFKSFLCVATSENPSDRMEVLAERFPAKTIQQPNDQCFDAFGDILCGEIDVEPISNGATSDSFDWYNLLGEDTLDSVLGPSVQVPLNQPSKQLMVKAAGDRVAIKKPRRKSAVRRQKWSTEEHRQFLHGIECFGRGAWKAISMYAVPSKTPAQLASHAHKYFKRIDKNEQNDKRQRYLINGAKPVNQDLNNIARSGTEPEKVKPTESSIPPATPTEDMDFLDDLTFLDDMNFGEDLSFLDDMNFLDDLVQDMPTFGQASNNATNVARQMTLNNDTPGSLQWEALSTCPTTEQGCILLDQTEGISADTRTGPSYGQSLVTGNQIRKDNIALPGVFTAQTPPEVLNYGQGDTMQQTSQESNQYNLFPTMPSPF >Et_10A_002233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5067385:5070245:-1 gene:Et_10A_002233 transcript:Et_10A_002233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METEGGGSGSAEIYDFARGKKRPASPSTSTTSDEGDEWLLSDSGSEEEDDENQVNVRDVGDCSNECRCLPMNLLQFVSANIADYEHTRSGPAKIFGFVAARDTVMPLRNYVYRREMDNCEAVTVKSKTMTPIASI >Et_7A_052980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:405111:408542:1 gene:Et_7A_052980 transcript:Et_7A_052980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALITRLLLLLLVLFAAAASAVTHPADLAVLRDIRKSLTNPDLLGWPDDGGGDACGNPAWPHVSCDQTGRVDNLDLKGLGLAGVLPASISTLSALKDLSLQDNRLSGPLPSFSRMGSLERVFLNGNDFDSIPADFFVGLSGLLQISLTGNQRLNASSGGWTLSDDIATSSPQLQSLELDNCSLVGAIPASLGNMTSLQTLTLTYNNLTGPIPASFKGSSIQTLELNNQRGETKLSGPLDVVATMTNLVRLWLHGNDFSGPIPNGISACTALTSLRLNNNRLVGIVPPALAALPGLNEVMLDNNSLRGPVPALKTTNFTFSHNGFCSDKPGDACSPEVTALLQFLAQLEYPTAILNSWSGGNPCSWLGVTCAQGQGNVTVLNLKGQGLNGTISQSLANLTNLTSIKLAQNHLTGRVPESLTKLGSLQDLDLSMNDLSGPLPKFRTNVKVNVDGNINFNNPAPDTSPSDAPPSTTPGTPASPGHPVSSPPSPGSEDKKKKKHSVVVLAATIPVALSVAALISLGAVFLCRKRAPMPPQSASVVVHPRDSSDPDNLAKIVVVTNGSNSGTSQGNTHSGSNSSALGDVHMFDVQNFRIAVQVLRGATKNFAPENVLGRGGFGVVYKGELHDGTMIAVKRMEAAVVSNKALDEFQAEIAVLTKVRHRNLVSILGFSTEENERLLVYEYMPNGALSKHLFHWKQFELEPLSWKKRLNIALDVARGMEYLHNLGHHRFIHRDLKSANILLGDDFRAKVADFGLMKDAPDGNFSVATRLAGTFGYLAPEYAVTGKISTKSDVFSFGVVLMELITGLAAIDENRVEEETRYLASWFCLIGKDQDKLRAAIDPSLDLTDETFESICVIAELAGHCTAREPSQRPDMGHAVNLLVPMVEKWKPLSDDAEDYLGIDLHLPLLQMVKSWQDAEGSLADGSILSLEDSKGSIPARPAGFAESFTSADGR >Et_10A_002044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20327523:20328216:1 gene:Et_10A_002044 transcript:Et_10A_002044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPANPLEKGHDGLLQACTSSERYGGISLANLCDQTQTCHDLHSDNCDESGGVLRGMDDCLQMLEQNVVAPANGLLSACHLSLACWVTMEFIG >Et_4B_038976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6508819:6510597:-1 gene:Et_4B_038976 transcript:Et_4B_038976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAYKMATEGMNVKEECQRWFMEMKWKKVHRFVVFKIDERSRAVLVDKVGGPGEGYEELVAALPGDDCRYAVFDFDFVTVDNCQKSKIFFIAWSPAASRIRAKILYATSKQGLRRLLDGVHYEVQATDASEMGFDVIRGRAQ >Et_10A_000562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12840830:12847317:1 gene:Et_10A_000562 transcript:Et_10A_000562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPESELSWDDLVVDVLAVDGAFVVGKDFGSIPAFYFALRHPNRTRGVASLGIAFSPGPFDFDTMPEGFYIPRWREPGRAEADFGRNILYTGPHTGPPVMEWIEGDTQQTKSGKPKTRAKNRVYGQFPNKLWAPSTVSAHRPRAAPPRLRRGAGRRISRVTPSVMRGAFFQNDIHQCAVEDIDAMLVLFCEMPNDDESTRHDKI >Et_1A_007154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31448727:31449253:1 gene:Et_1A_007154 transcript:Et_1A_007154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAARKTAPATGGVKKPRRYRPGTVALREIRKYQKGTELLIRKMPFQRLNDLRFQSHAILALQEAAEAYLVGLFEDTNLCAVHAKRVTIMSKDVHLARRIRGERP >Et_3B_027445.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:17832839:17833485:1 gene:Et_3B_027445 transcript:Et_3B_027445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VASIDAREFAELALGLAGSGRPFLWVVRPSLVRGGCDELPAGLEEEVQRGRGRIVPWAPQEEVLAHPAVGAFLTHSGWNSTVEAVSQGVPMICRPCFGDQFGTARYVCDVWRVGVELEVEAPLERWDVQAAVEKLMNGADEGKEVRQRMKDLRNARTPKFGRSERAQPKSESSAGVRLPFFFFLSRG >Et_2A_017324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33194333:33197170:1 gene:Et_2A_017324 transcript:Et_2A_017324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLLAFVLLAVAASASGARPVLLRMVPNVPTAVSSGAGDADALFCDSWMLSVETGNAGPWTSVPTRCLASVLAYMEGDRYASDSAVVAADSLAFASQALAAAKGAAKPAWVFDIDETLLCNEPYYAVDASRLQTFNETSFDEWVDEAKAPALPSSLKLYNELLELGFRVILLTGRSEFQRNSTEANLLFAGYRSWEKLILRQRSDLGKPAVQYKSERRAAMEAEGFKILGNSGDQWSDLLGSPMATRSFKLPNPMYFIS >Et_1B_013641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10561824:10564125:-1 gene:Et_1B_013641 transcript:Et_1B_013641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQKQRIAIARAILMSPKILLLDEATALDTESEHVVQEALDLASMGRTSIVIAHRLSTIRNADLIVVMKSGKVKELGSHAELIANENGLYASLVCLQKIKDSGQADEVHETGTTYFTMDQYSSHRKSRRFSSAIRRSTGDARYDGNTEKSSLPPPSFRRLLMLNAPEWKLALTGSFCAVLFGSIQPVYAYLMGSMFSVYFLTDHAEIKEKTRIYVLIFAALAVVSFFLNIWQHYSFGAMGEYLTKRIREDANENSQFRDRVRSLVGDRMALVIQTVSAVLTACTMGLVRAWRLALVMIAAQPLIIGCYYVRGVLLKGMTKKSIAAQSESSKLAAEAVSNLKTITAFSSQDRILRLFDKALDAPRKENVRQSLFAGFGLGTSVGLMACTKALDFWYRAKLMAQHRITAEELFQTFMILVSTGRVIAEASSMTTDLAKGGDTVASVFAILDRVTDIDPDDPMSHKPDKIKGDVEIAGVNFAYPSRPNITIFKDFSLSIHAGKSTALVGQSGSGKSSIIGLIVRFYDPINGVVMIDGRDITRYNLRALRRHIGLVSQEPTLFTGTIRENIMYGTENASEAEIVDAARLANAHDFISNLKDGYATWCGERGFHLSGGQKQRIAIARAILKNPDIWLLDEATSALDSQSEKLVQEALNRVMIGRTSVVVAHRLSTIQNCDMITVLEKGTVVEKGTHESLMSKGPSGKYFGLVSLQQGGNKH >Et_6A_048079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5389384:5418706:1 gene:Et_6A_048079 transcript:Et_6A_048079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTYQPSRCSPPFGSCARGGDSDAKPYVVAHNVVLVHSTAAEIFKRKYQSTKAKIDQCVDDELQPLVLKYNDAYLVARTPCHRSLSNFTGVFAEHHVNGTDLEPEGKKCDNWQPDLLYVHYRHSIFDLPCESSSNHLFRQKRKDELSCDLDKFWRPGAKP >Et_6A_048045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4365942:4366497:1 gene:Et_6A_048045 transcript:Et_6A_048045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSDKRLLHLLVRFINWKQEVVSKPKVLRAEIDGATRSSPKGTSWPEVGGHAFTVRCDVAVLNGFRVEAAASRGRIPPSDLHKHLGDLLQSGRGADVVFEVGDERLTAHRCVLGAWSPVISAELMGTAVTGSDAAANTDADVVHMDGMGPLVFKALLRYAYIDALPETDKDEEEDMKTSI >Et_5B_043019.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:13601959:13602425:-1 gene:Et_5B_043019 transcript:Et_5B_043019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHRYFLYSSSSDYAASAATSSSSSSSSDYLSFNTGGSGGGDEAPAPTSAEASAPAPAAAAPARRERASPAGISGSKEAFIGVRRRPWGRFAAEIRDSTRNGARVWLGTFESAEAAAMAYDQAALSARGPAAALNFPVE >Et_1A_008404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7402451:7403731:1 gene:Et_1A_008404 transcript:Et_1A_008404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARPGAALGRARREGAGWQVARAWLAATSSRYLVLIQADGPRRDCVLNIGDFVLVYLGSFRPLLDFSPPTLDLLAVAPQITLHAFAIMVLLKSSDGMEFDVETAAVEESQTIRHMMKDGDNSVLVLPITSEALRIVIQCCVMHVHPASDSGLSVDL >Et_2B_019899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1584151:1586999:1 gene:Et_2B_019899 transcript:Et_2B_019899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLAASAFFPGPGSSPAASTRTSKSTTGELPENLSVRGIVAKPNAPPGAMQVKAQAQALPKVNGTKVNHKNVGADTEESIPYSTPKTFYNQLPDWSMLLAAVTTIFLAAEKQWTLLDWKPKRPDMLVDTFGFGRIIQDGMVFRQNFLIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVDKYPSWGDMVQVDTWVAGAGKNGMRRDWHVRDYNSGRTILRATSVWVMMNKQTRRLSKMPDAVRAEIGPYFNDRSAITDEQSEKLAKPGNNIDGDATKQFIRKGLTPRWGDLDVNQHVNNVKYIGWILESAPISILEKHELASMTLDYRKECGRDSVLQSITTVSDECADSPPGSTIQCDHLLQLESGADIVKAHTEWRPKRAQGEGNFGFFPVESA >Et_7B_055535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13490795:13493859:1 gene:Et_7B_055535 transcript:Et_7B_055535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGLIFGYDIGISGGVTAMESFLEGFFPGVLRRMASARRDEYCIYDSHTLTAFTSSLYLAGLAASLVASRVTRAIGRQAVMLAGGALFFAGAAVNAAAVNIAMLIVGRMLLGFGIGFTNQTKEAFISRIVHFGFRQEAAPVYLAETAPPKWRGAFTTGFQLFLGIGNLAANLVNYGTSRIPTWGWRLSLGLAAAPAAVILVGALLIPDTPSSLLVRGRAEEARAALRRVRGGKAAVDAELADVARAVEAARAHEHGAFRRILRREHRHHLAMAVAVPLFQQLTGVIVIAFFSPVLFQTAGFGSNASLMGAVILGAVNLGSTLVSTATVDRYGRRPLLLTGGAAMIACQVAVAWIMRSQIGKDGESPMARGYSIAVLALTCAFSASFGWSWGPLTWVIPGEIFPVEIRSAGQGISVAVNLGATFVLTQTFLSMLCAFKYATFIYYAAWVAVMTAFVAAFLPETKGVPLEAMGDVWASHWYWGRFVQQTAKIAEDA >Et_9B_065412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4862818:4865888:1 gene:Et_9B_065412 transcript:Et_9B_065412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDEMSNKKPKLLAGETSGVGGGDAAMALADRLSSLPDALLHHIMSFLRAWEVVQTCVLSRRWRHLWASAPCIDIRVWRLGRHQPPPYLFSRFVYQFLLEREESAPVDTLRLLSGPMYDKNQYKDEYDDDEEDDYSSGDVDIWIRAAIERRARFIQLAAHPKDEAFSDLERVNIISCHLKHLKLSGSSLGDRTLKHLSSQCPSLEVLDLKNCLLHGFDPKISSTSLKNLSIVECRIMEDFTIAAPNLVSLRCVTPHHRAPLFENVWSITTAIIALDDSFLYVGYEYEFKDIDKNEIYGGSDSDSDCSIRDDHGYGNETRDADSDCDSSTSEYSEIANDHEDKQCGDYHGHGRKQNLEVIVEAITAIVAATELIVTVTDQGVYFHHLKMILNRELKACPIFINLKTLSLGEWCMAAEFDPLIFFLKHTPNLERLFLELKLFIHMFVPLTILVYHKHCDRGKATKDSAIPEGRSFVCAHLKMVKIKCCKHDARVHVLAQLFKANVVSIENIYVRRTRSTCEFLFIIHDGYSGLMVHLMSSFVCICIVSHIRDSVIIRKRCLQCIKLKTLTKGYMNQ >Et_3A_025042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26374931:26378567:-1 gene:Et_3A_025042 transcript:Et_3A_025042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSRPRTQDFFPAPALSLSLAGAFAGNAPAEADGDEVEEGDDESGGAIVRGDLRLGDAADISSENTGPPGSPSGGGSGDEGGHGDGGDQKRRKSYHRHTAEQIGVMEAMFKESPHPDEKQRQQLSKQLGLSPRQVKFWFQNRRTQIKAIQERQENSLLKSELEKLQEENRAIRELIKKPSHCPSCGVAAATDEHLLRLENARLKTEIERLRASTRGGRGADAVASVTSPPRSPSSAVQTNSSSSLHDYVAGGLVGHDKARVLELAGRALDELKTMCSAGEPLWVRSVETGRDVLNYDEYVRLFPRDDDDSGGDRRVGWSVEASRATGVVYLETTQLVRAFMDVNQWKELFPSMVSSASTLSVVQTGEDGDQDGVVQLMFAELQMLTSVVPTREVCFLRYCKKLGAEKWAVVDASVDKAEPDAQTSSTVGKCLKKPSGCIIEEQTNGHCKVTWVEHTTCRNVNVPSMYRAATASGLAFGARRWVAALQLQCERRVFSVATNIPTRDSNGVATMAGRRSVLKLAHRMTSSLCRGIGASRGTTWSKAPNGGGGGDVRVTSRTSTGGDPGEPQGMIACAVLSTWLPVSPTVLLDLLRDETRRHEWDVMLPGGSVQSCANVTKGKDRGNCVTIYAAKSSAAEQDGKWILQDSCVGPCESIVAYARVDAATLRPVVDGHDSSGVAVLPCGFAVTPDGLDSKPAVITSSKDVDRAAGSLVTVVFQVLATASPTDSVATVTGMVACTLGNVKRALRCEDC >Et_4B_037510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20712842:20716942:-1 gene:Et_4B_037510 transcript:Et_4B_037510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFVAAASSVATDTTSASSSYADAPVSPSPPSSSRRAAPTLLLLASLAALLILSSGDDAAAKPLRDVSLENPEVTFAPSSMDGQFCERVRLSGIPRLHLASYASQVHVRMNVSQSMPEKFHWKIEVCLHGNASMGLCQCEMGEWQAFQTGMWNAVKSPYGNKYVDVKLADKKSASFTLSIQEEFQRWRLACLGIGFVLLFLSPIVSKWVPFYYSSSMALGILLVVLIVLFQGMKLLPMGKKSLFYLTIYGSVLGVGSYAVHYFSTLVATILENFGLSEEMHNPVSIFLLIAIVLTGAGFGYWMVRRFILSKDGSVDAGIAQFVKWAMRVVATFFVMQSTLDPLLALVALAACWWVCSLFTANKFQKTIKLKQKQPKASFQPRYTQGSPNSPQVQFLSPTSNMDIGRTASKSSATQYGWNNLANGGLGSTLARRVVPNRDEDYYSTYHNIQPRKYSKKEWEEFTQESTRKALAECTATPEFAKWVADNAHRLQVEKDDDVSDDEIIESSSNSSEETGDEAGKAPGLYWWSREQVD >Et_7B_054467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21636274:21658860:-1 gene:Et_7B_054467 transcript:Et_7B_054467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGAVTAGRLVHLWNEWGIQILVLVSFVLQVFLLVFGGIRRRSSSNVLKFFLWSAYLLADSTAIYTLGHLSVDDTSDEHQLVAFWAPFLLLHLGGPDNITAYALEDNTLWLRHLQTLAVQVLGAAYVIYRYMTSSGTLLLLASISMFVAGFLKYGERIWALKCGNIGSIRSSISISKFKANPYQLLSMGTSEEEILLGAHTQFDICKGVFADIIMLPNPSVLSQSKPRSLISYFGEDLYKLVEMELSLMYDFLYTKAAVIHTWYGFCIHFISLLGTATAFLLFQLSITSRGDGYHKVDVMISYVLLVGALVLEVISVFRALLSTWTCSLLHRRGRGWEWPLHIITSVSRRVHPPSRRLWWGSIGQYNLFHLCTRNTNEIGNRVAMKLGLQDWWNRMHFSGTFSHTDSLSIQDLKKLVLQALQDKEQALQDKDTDLDSRGSFILKSMEVYEDFARWSVNIDFDESILVWHLATELYIRKSKAKHAKELTEATEALSNYMMFLLVVKPNMLPGAARHNVHLTSCEQIEGQCRICFSDKDDSVAASSIPWSPYSLLKELFHHDGPNPSRIPRREKLAEMVWNIMRTGAVTAGRLVHLWNEWGIQILVLVSFVLQVFLLVFGGIRRRSSSNVLKFFLWSAYLLADSTAIYTLGHLSVDDTSDEHQLVAFWAPFLLLHLGGPDNITAYALEDNTLWLRHLQTLAVQVLGAAYVIYRYMTSSGTLLLLASISMFVAGFLKYGERIWALKCGNIGSIRSSISISKFKANPYQLLSMGTSEEEILLGAHTQFDICKGVFADIIMLPNPSVLSQSKPRSLISYFGEDLYKLVEMELSLMYDFLYTKAAVIHTWYGFCIHFISLLGTATAFLLFQLSITSRGDGYHKVDVMISYVLLVGALVLEVISVFRALLSTWTCSLLHRRGRGWEWPLHIITSVSRRVHPPSRRLWWGSIGQYNLFHLCTRNTNEIGNRVAMKLGLQDWWNRMHFSGTFSHTDSLSIQDLKKLVLQALQDKEQALQDKDTDLDSRGSFILKSMEVYEDFARWSVNIDFDESILVWHLATELYIRKSKAKHAKELTEATEALSNYMMFLLVVKPNMLPGAARHNVHLTSCEQIEGQCRICFSDKDDSVAASSIPWSPYSLLKELFHHDGPNPSRIPRREKLAEMVWNIMRTGAVTAGRLVHLWNEWGIQILVLVSFVLQVFLLVFGGIRRRSSSNVLKFFLWSAYLLADSTAIYTLGHLSVDDTSDEHQLVAFWAPFLLLHLGGPDNITAYALEDNTLWLRHLQTLAVQVLGAAYVIYRYMTSSGTLLLLASISMFVAGFLKYGERIWALKCGNIGSIRSSISISKFKANPYQLLSMGTSEEEILLGAHTQFDICKGVFADIIMLPNPSVLSQSKPRSLISYFGEDLYKLVEMELSLMYDFLYTKAAVIHTWYGFCIHFISLLGTATAFLLFQLSITSRGDGYHKVDVMISYVLLVGALVLEVISVFRALLSTWTCSLLHRRGRGWEWPLHIITSVSRRVHPPSRRLWWGSIGQYNLFHLCTRNTNEIGNRVAMKLGLQDWWNRMHFSGTFSHTDSLSIQDLKKLVLQALQDKEQALQDKDTDLDSRGSFILKSMEVYEDFARWSVNIDFDESILVWHLATELYIRKSKAKHAKELTEATEALSNYMMFLLVVKPNMLPGAARHNVHLTSCEQIEGQCRICFSDKDDSVAASSIPWSPYSLLKELFHHDGPNPSRIPRREKLAEMVWSFSQFALGSISAPKPHGASIRDSANMYAVLLANELLSIEMRWHDRDPLELILGVWVEMLLYAADHCSQESHARQLSNGGEFITIVSLLAHHFKYYSGASRGVSKSFNDFGEAKSVSESNPMSGENLV >Et_4B_038583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3006434:3008545:-1 gene:Et_4B_038583 transcript:Et_4B_038583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGRNVTVYRGAGSGGIRLRLGADVSRSRRIKDLLLVSSSRSVTTCALKTPSYGSRSREKINPRDLFTFSYKFSSDIPMSETHGASIDEYLLNRTRIVGAVFPDQRKRRKLNDEEWSVQLLPIQFLFLSASPVIVMRFVSKSGGKEYPPNVPVHATSLLLMEVTGYKLRGLDSNAMPSHLALTVRGMLYPQREGRRSLRGHVEMNVGFNLPPVLALVPESIIRGVGETVLRQLAEQMKQDFDTGLAADFKKYSREKLTERRTSP >Et_6A_047632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8842186:8846189:-1 gene:Et_6A_047632 transcript:Et_6A_047632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSEFKYSPIVERPSVLKINCDASFMAVSGTGGWGYVIRDSDGDVVQTGRGKLDHVIDSFQPELVACLQGQALGGASSMLLAQSGTRVSVPLASPLHGISHAILKTDALLVQQAATSDADDLAPMGGLVQELKELVSLNFNSFSFNCQPRDCNKVAHALASLGCACSVEDNPVCGCASSLYSSSSC >Et_2B_020951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25050307:25051489:-1 gene:Et_2B_020951 transcript:Et_2B_020951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRCTMGSALPRRRSPDQHHAGGHQSLAPDDFRDVFGGPPRTVLLRSFHGEVVDYHSPAGHHQYGNYGGGGADAFCRRAYADGRAAAVPTEDGFFDDIFSARRRRHMRSRSRSMSKSSSVVTSSDELPSGFCRPVATSSGRVDATLSSFTSRLRPVTIPSRRYDSSPPSSASTRGGYQSSFTCSTAAYPAARYYYGDAKAGGRADHSSAASSAADAHHRRNNQRASSRSFCCFTSNPETSSNAPSFRQTRRALSPAAETTITDYSGEDYGYYYSPPSATSSSLFTNPLARTPRRMEDVVMEVRERAPLLMDDDGDIDSVGAAAVDEAIAWAKERFWSQAN >Et_4B_037969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25156126:25156648:-1 gene:Et_4B_037969 transcript:Et_4B_037969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEAVAAAIMAEESAGGTTGTRVDEAERLSTRKAPALKVVAAVDASDESLHALSWALDNIVRGHPDAALVVVYAQNVVDHLVYPVAAHAVAYAPTAALESMRKAQEENSRRIVARALDICKAKQASVQQIISTTSS >Et_10A_001572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5265942:5267221:-1 gene:Et_10A_001572 transcript:Et_10A_001572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPEPEPIIDASPPPPPLLGRQARTEPPHPPPSPPPPPSEPARQEEREETTAPTVAVAADPCAGRYVHILDLPSRFNADLVRDCRALSRWTDMCSAAVQVRRQRRRAPSLPPAAGTTPTSGCWRSSSTPGCSGTAASSRADAVYSWRPYYAGLHVGRHLFGPFGNVRGRGPRALAGYGRLRRRLLAFAGDVGALRDALMDQLLGAGQRHAAVQERRLLPAAAGRGTRTRGGRRSTPSVLAGCVPVFFHPPCPRTRSTSGTSPPSTPATRCALVLEDAAVRNGSVEDVLRRFGLDQVAAMREQVIRLIPRVIYKDPRAGPGGFKDAVDIARVTRIKRGCLPGRRSRTTRGDVYFQFHRR >Et_9A_061907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17719135:17722011:1 gene:Et_9A_061907 transcript:Et_9A_061907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEKEYAPVPLGQAAAEAAAAAVDPEDPVKSPPRPSSPASSTRKACFAVLQSWVSRKFMTGCVVLFPIAVTFFLTWWFIQFVDGFFSPLYAKLGFDIFGLGFLTSLVFILLIGIFVSSWVGSTVFWVGEWFIKKMPLVRHIYSASKQVSTAVSPDQNTTAFKEVAIISHPRVGEYAFGFITSTMVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSAEIIRPNLSIREGIEIIVSGGMTMPQVITSLEPTPHKNQMRLDRVMTDCGGEVAYTPDMFGFILEAGTRSTMKQ >Et_7A_052969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3612679:3638948:-1 gene:Et_7A_052969 transcript:Et_7A_052969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVSAVRWVLGKALAPVTDGLLEAWAASAGLGPNIDALKMELLYAQAMLDNTQGRELRSPALKELLLKLRQQAYKADDVLDELEYFRIQDELDGTYHAANEHAGGCIRNHALNARHTAKSVGKMLGFSSGSRSARRADRDEPDDDDAARVSCGAWPCRREPRTRDDDEQEEESSRGGALCGAAWPCGGRASSEPADMKSPPPTNQADHKIHGGCMGRLASGARDTIGKHLPCYSTSPVQNDSNSNIASSRRGFLCCSSLNKAPQMEHVIKAPKLKFNRVEISERMKEIVEQLKPVCSKVSVVLNLELLDSNRCISQSIARSLDAMSSKEQGKDETSKIIDDIKGEYSNKNITVLPIVGPGVKNKPKGFELREIGQLVELGGSLCIDNLQKVMAKEEAEEASVNHKRHLHKLELIWGSNGPAKDYAHQEQVLEGLKPNEYYLDISGKDVPGSEFWDVLAFHNLAELQGLQISKCPPLSLDHLQMMSSLKTFKIEDSSVAFQPVQARSHGGYRFPVELFVIERSSVSGRELTELLSCFPKLSTFVIRRGCEKITRLSVASNVDQKQIRQHLGQEDVKAEEEIAASVPEGMLLVPPQIQELYIHDIPEIILVSSPIDDREEEAGRTGEGGGWLQGLTSLRILVIQDCPHFLSSYSSSSSSVFLFPASLQQLHVEATETLGPLSNLTSLTELEVSQCGGLRGEGLWPLLAQGHLTELSVSGSPNFFAGSKPQECSPKLQELRTDDAAGVLTADVCNLLASSLTKLVLKYVRVERFTKQQEDALLLLSSIRDLEFLGCAKLQFLPARLHRLPNLKKLQISFCQSIRSLPKDIHRSSILELRIASCPAIQSLPKEGLPSSLRLLDVSSNYGSEELRMRCRKLSGTIPIDSTQGWHPRGCGAGLAFPHPHPQSQWVRNDTRTRTRG >Et_3A_027258.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:6096284:6096733:-1 gene:Et_3A_027258 transcript:Et_3A_027258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYISRMLMEEEEDDRDGRFDHPTLIQAQQSLARIISSPSSSSVDGAATKPCAAAEVEAEHNWSNKANMSFLMSMVKACKFLPTTDNSRLVQPTGTPSCRGPPQPSVLAPLIRQGINIVACEGRNRTNRFDSYRQWQFRMQRPGLKSPF >Et_4B_037846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2432697:2434897:-1 gene:Et_4B_037846 transcript:Et_4B_037846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAALSTASSSLPRSLVSRRPSPLHAGAAHSRLCRFGSRSLHRLRARARKDDPEDIYGPYPWEQPMDLTTGSVRASEKRQRKVKGQKLRRFQESSYMDPNQSLCLGALFNIAATNGLDMGRRLCIFGFCRSVEMLSDVVEDTVLEHGGEIVTAEKASNDGLQEKLTMSVAVPLLWGVPPASETLHVAVRSGGGIVEKIYWQWDLF >Et_5B_043053.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:18089286:18090185:1 gene:Et_5B_043053 transcript:Et_5B_043053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVASFLMGSRLYRHQKPGGSPLTRMLQVLVAAWRKSGVVALPDDASLLHESADASTIQGSRKLEHTDQFRWLDHAAVVTDGEKNDTTSPWRLCTVTQVEELKGVVRLLPVWASGIVMAAVYSQMSTMFVLQGNTLDPRMGKGPGAFKIPAASLSIFDTLAVIIWAVAYDRAVVPLARKYTGRPRGFTQLQRMGIGLAVSVLAMLAAGALEVCRLRVAASHGMLDSADFLPVSIFWQVPQYFIIGAAEVFTFVGQLEFFYDQSPDAMRSMGAALSLTSTALGSYLSAA >Et_3B_030427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3755930:3761214:1 gene:Et_3B_030427 transcript:Et_3B_030427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAKLALRLRAAANDRLAQYEPLALVAAPLLALLVARAVHSAAAAVADRGLVGLAMAFVKLLPGVSSYIAAEKRKVVDQLQSGGTSTKSNLRAELPTVGLSEEVIKDLETLKAKDVDWQGKCSGTVYIAGNESEGHFELINKAYSMFSHTNPLHQDVFKSVAQLEAEVVAMTASLLGSKEQSSGGQVCGNMTSGGTESILLAVKTSRDYMQSKKGITKPEMIIAESAHSAYDKAAQYFNIKVRRVPVNKEFLADVKGFKRCINGNTIMMVGSAPGFPHGLIDPIEELGELASRYDICLHVDLCLGGFVLPFARKLGYSIPPFDFSVKGVTSISSDVHKYGLAPKGTSVVLYRNHEIRKHQFVAVTEWTGGLYVSPTIAGSRPGGLIAGAWAAMMSLGLNGYLENTSRIMEVSKKIQKGIEDIPGFYVIGKPDMTVVAFGSDVVDIFEVNDIMSSKGWHLNALQRPNSLHICVTLQHTAVYEEFLKDLQDSTNTVKANPGPISGGRAPIYGAAGKMPDRGMVRELLVEFMDSTC >Et_9B_065281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3035261:3037276:1 gene:Et_9B_065281 transcript:Et_9B_065281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPKQEPTTVSMQEPPTATSKAAGTRKKASKRGSTTAVCDEEDIKPAKVPASASRARLNNRAAARRDVKLEDTDAFDCGICFLPLKPPIFQCDVGHVLCSQCLNRLKSRSKCHVCGTTNGFRRCHAMERVVDSIHMPCPNSASGCTAKPTLRRAAEPPADVHGALTVPLPRQGLRLRSVGTLLDHFACVHHWPCTTKMREGRYETCDVSLRNGFNFLCTNLPSATATTSTQFLFLLNLERQLDGAAISVLCIRSHPAVGGNGQGDSLKDLECELRYSKYSWGSRQPRNGDFIQYHQSSKFRVTTTDFSEGLPSLDGRFKFVVPKSALQNGSMGTVEINFNFDTT >Et_9A_061116.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16747297:16747488:-1 gene:Et_9A_061116 transcript:Et_9A_061116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSVRQKCLEQRSSGRPRLQIIVDSSFGWCSCWTSNRQLRHNLKDDDTCALCAYDALCTGA >Et_1A_006751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26988428:26992807:-1 gene:Et_1A_006751 transcript:Et_1A_006751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEADAASLAAAVLAATTPKAAAAAVSSVADFLRRHAGDRPRAFFADVLPPLLFRLFVASPSSPSFIDLAAGDAALAGDLASLLGPSGPLLAAAFDADRCALLRFAFPPERLPGWLRLALTSAESDVVVSPLLAGRIGSELHLSVFEYYLFWFAYYPISTAAATTTTTTRGGTQKASPSTSKPLVKSRSRLESWVSTLASTAGRGGKQKAARPLYLKLLYAYLKEFVPGACVPSRGGCGTLLRRTFSEVADAAESFRRAEFFVHTLVQFWLVGDDFSPLPVHIRRACGLPLQSLKSRAHAAVTERSPSPGLGNAVKLFVMYLNKSCGTIVDASNVFEGMPVWKKSSDIPVGYWNQFIQRPMYRFLLRTFLFCPMGADMEHAAQVFSAWMVYMEPWKVQQEDFDEYDLPPPGARNVNRVDEGKRQLCEVSYTPAWQGYILSNYLFYSSLVVHFLGFAHKFIHSDVALVLQMVSKVLQVLCSSNELLELIYNVDAAYHSREFTSKSYSLDHMLKYVPSIREQLQDWEDGLSESDADGSLLHERRNSDLRLFSVDEEGACNLLQLLLLRAESEIQRFQGDAMQTVQTLDSIKSQMKNVFSKHVGSFHLKSSPGRENSQGHVHTGVFAPKHPSLRKSPLADVKNKGDWMTRPISDTEVGWLVVMLIRFSAWLNETLRLDGVGADATHTDIKFDSNELSRVGGPKDGARMVLVGAWTLLVLVGQTILHFMRTRGIKINLRFLASKKVLAAAMLYV >Et_9B_065443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5031202:5033780:-1 gene:Et_9B_065443 transcript:Et_9B_065443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGLNPEAFFNPCSSFSSSPFMADYAPSFPGGATVDAAFCAELEDHRLFDFEYSPASIFAGAGGGDDHNEKKMKCGGDDKRAPMNGRIGFRTRSEVEILDDGFKWRKYGKKAVKNSPNPRNYYQCSSEGCGVKKRVERDRDDPRYVITTYDGVHNHASPAAVIQYGGFYSPPHSGSPPATSYSSGSITNTYNIPKNAL >Et_4B_037118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14888428:14890690:-1 gene:Et_4B_037118 transcript:Et_4B_037118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTQDMSREPCPDRILDDVGGAFAMGVVGGSIFHFAKGLYHSPNGHRFAGGATAARMNVGRVGGSFAVWGGLFSAIDCGMVYVRQKEDPWNSVVSGAATGGLLSLRQGLGATGRSALAGGVLLALIEGAGIMLNRFSESLLPRPEDLAQFPAGHENTVQPAPGFLGVPPGPPIVVEEIPVPEPGPAGWLGGFFGKKKQVASVDRKSEVLELDFPSPAIPDFDYNFGGQVTLLPARSIRIFRLLWLVDNVEDLFYRSHVRIVVVLH >Et_2B_020975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25385151:25390137:1 gene:Et_2B_020975 transcript:Et_2B_020975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDASEFGAEGFDPKRWINAALDARHPSEPLDRFLADAEERLRAAADDAAAALERDSGDALRRIPLACRDALRLRDDAVALRSHLASVLQSLSQAEGSSAESITALARIDTVKQRMEAAYATLQDAAGLAQLSQSVEDVFSSGNLPKAAETLATMRHCLSAVGEVAEFANVRKQLEVLEERLDEMVQPRLVDALSNRKVDAVQDLRGILIRIGRFKSLEVQYTKIHVKPLKKLWEDFDLKQRASRVEMEKQGGEIINSVSFSSWLPNFYDETLLYLEQEWKWCLTAFPEEYKSLVPKVLVETMVELNSSFVSRVNMAAGDVVPETRSVAKGIMDVLSGDLPKSTKLQNKQLRALIELHNMTGTFARNIQHLFSESDLAVVLNTLKAIYYPYEIFKARYGQMERAILSAEMAGIDIRGAVSRGVGAQGIELSETVRRMEESIPQMIVLLEAAVERCISFTGGSEADELVAALDDIMLQYISNLQETLKSLRIVCGLDSDALKKESGLEKKDAQRLVDVSEEEEWAIVQGALQILTVADCLTSRTSVFEASLRATLARIGTNFSLSGFGANLDKSPSTTADEDAEMPLGGRAALDVAAIRLSDLPDKSKKLFTLLEQSKDPRFHALQHTSQRVAAFSDTVNELVYDVLISKVRHRLSEVARLPIWSSVEEQGGLPLPSFSAYPQAYVTSVGEYLLTLPQQLEPLAEGISGNETGNDEAQFFATEWIFKVAEGATALFMEQLRGIQYITDRGAQQLAADIEYLNNVLSALSMPIPPFLSTFHACVWTPRDQVRGMIKSDAGSQLDLPTAHLVCKIRRISLD >Et_7B_053708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11215454:11217705:-1 gene:Et_7B_053708 transcript:Et_7B_053708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPTGSTAAAASSSSLIFLGTGCSGALPYMRCVIKPSTPPCAVCSTALSLPPDQNPNYRTNTSLLIDYRHDDGTHRYILIDCGKTFREQVLRWFVHHKIPSVDSIILTHEHADAVLGLDEVWVVQPSNGRNGFERIPIFLTQFTMDSIAPRFPYLLKQKPEDGDEVADRAAQIEWRIIEDDVGQPFVASGLEFVPLPVMHGEGYICLGFLFGRRNRVAYLSDVSRFLPRTEHVISKSGGGQLDLLVIEANNLDAVGGDPRATHLTLNESLDAIKRIFPKRALLIGMRHMFEHQGENQMLAEWSRREGIPVELAHDGLRVFIDL >Et_4A_034448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32604288:32605664:-1 gene:Et_4A_034448 transcript:Et_4A_034448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTLTSISFQSLRFKLQPGPQHCLPSRHPKIKRKPGSSLQHPIHAVIGPNAAGGLNNANRRGGAIFPSSPLSDVIRELYSSLNNKDSKRLHKVIAPDCVMEDTAYYKPLDAKCTHNYLKRLMEAMGENVKFAIDEVCQGSESNLAVMWHLEWNGHIIPFSRGCSFYICSGNGAALLIRKVHVFDESPLKPGKWAMEILNIFAKLFDMFPKIAEGFLKDPEAVARPFVKLYKFYVEPFILPLLAYYTHFWTYVAKGLTMVLHLLYNILKWLM >Et_7A_051547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20156574:20157242:-1 gene:Et_7A_051547 transcript:Et_7A_051547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQSQQQQAFTFASPISSAETYEDALEFDYQSWIEQSKINNRSSSQDDKLWMDHAEAISKIHREMLLHDLPEEAYEMSLRDLSELDTKGNSMPIKSYQDNSNRYLQIKFMQTHVSLDDNNHVPIEYIIYHLTK >Et_3B_031394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28015183:28022594:-1 gene:Et_3B_031394 transcript:Et_3B_031394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAPTSHHTSLLHLPRRPLGPRRPRHTRLRCLASLAPSSSGQGTSASASPENPNHLIQTLCANGRLARAAALLQGLPAPTQRTYESLLLAAARAGDAALAAAVHRRLEADPVFRSDPFLSTRLIDAYAALNALPAARQVFDEAPEKNIFVRNAMLKALALADQGEEALAQLADMGRLGVPVDSYSYAHGLKACIAASASHEPASARVREVHAHSIRRGYSSHTHVATTLIDCYAKLGMVTYAERVFASMPERNVVSWSAMIGCYTKNERPGDAVELFQEMMASDPDLVPNSITIVSVLHACAGVNALGQGKVLHAYILRRGFDSLVSVLNALMAMYVKCGCLEVGRYIFDWIGCRRNVVSWNSLISGYGMHGFGRESVKLFEEMIKEGISPNIITFVSVLGACSHAGLVDEGKRLFESMIEYNVTPRAEHYACMVDLLGRAGHLDEAVELIQGMHNEPSPQVWGSLLGACRIHGHVEYAEMACSRLFDLEPRNAGNYVLLADIYARAKLPIQADVLKELIEDHALEKVPGCSWMEVKKKLYSFVSVDNNNPQLEELQALIGEFVAQMKNEGYVPDTGSVLYDIEEEEKERILLGHSEKLAVAFGLINTGRGEVIRITKNLRLCEDCHSVTKFISKFTEREIIVRDVNRSKAFTSVLFNVWEMGSSMSAIARMDLASIVEIPRMDQLGG >Et_1A_006267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19900533:19905881:1 gene:Et_1A_006267 transcript:Et_1A_006267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ISAGKRLSDPGLTDILILEATGRIGGRICKTEFAGMDVEIGANWVEGVADDSSVDTVDVNPIWSIVNEELSITTSRSDYNHLASNIYKEDGGLYKEVYVQKRICEANKVQEYGNILSSKLQQDVSVMTMQRLYGHMPFGPSKAVDMVIDYYQNDYKFAEPPRVTSLQNTHPLLTFKDFGEDVCFVADQRGYESVVHHIARQYLKYDAPGAIVDPRLIVNKVVQEINYSLDGVVVKTEDGCIYEADYVIVSVSLGVLQINLIVFTPASPHWKTMAILRFNMAVYTKIFLKFPRKFWPSGPGTEFFLYASSRRGYYPIWQHLEKEYPGCNMLLVTVTDDESRWIEQQSDAQTKEESMEVLRKMFHDIDVPDATDILVSRWWSNRFFRGSFSNWPIGVDRYGYNMIREPVGRVYFTGEHTSERYNGYVHGAYLAGKDSADILIDSAKKETCVYHVPQRNRSIMPQELIIRPLYV >Et_4B_036085.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:22376103:22377747:1 gene:Et_4B_036085 transcript:Et_4B_036085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEDYYFSDTDDDDDVDRYVFLARQPAPARRHAEGDESDEGDEDETEDEREDEDGGRRRGKRPLREVPDSPPPPKKKARIGVITSPTAAQMPAIDTDPSASSPRGVRDRESGGSEEGVEEARERPDPRKGKKAKIKTKKPHSVCGKRKSSPVGEEVDDHQEPRRDAAAAKTSPSSAAGRFLCNLCDRGFDSHQALGGHVLGHQKKAKIAIAAAASLRSGNRKEEVAVVERDQEDANGVIGDHAEQKTSVAVAAPDTAGGDGNCPKKKEVDEVDDATYHEDVDRSVHKKKAKKKKKPSVVAEDHMGAHGDGNTGMSSSVFAASHEGVSNEHERKREKASSRNFHNGNEETAIGAGSHNDGDVKNKKAAIGASRKVAAIGNSNGDACKTQHKCKVCGTECATGWALGGHMRRHRKQPAHAAEGDGSSGTEVGDSLTPVSWQLGATGLHRALLIAG >Et_10B_003683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4815174:4816376:-1 gene:Et_10B_003683 transcript:Et_10B_003683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSRLNSPQARPNVFENRKFTYKELKHMASNFKEEIGRGGFGAVFLGYLENGAPVAIKMRSKTSSQGDKEFLAEAEHLSRVHHRNLVSLIGYCTDKKHLALVYEYMQGGSLECCLRGEASAATPLTWHQRLNIALNSAQGLTGIST >Et_3B_031478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31131051:31131679:1 gene:Et_3B_031478 transcript:Et_3B_031478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRAINRMFVESSSGREAEPVLCAPRPRRVQVHPCSADLILGPPPFLLNISNSKKQKEAKAKAPEVEIDGDEDGGWALFGGSPPARADNPLVHDPHFLLNQRLPDSPSELGIFDHLSRNSHRPTYSSSSSNNNSFAPSFAPTVRIQGFDVASCRSSHSNSGGRVLSARA >Et_8A_058263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3868818:3871776:1 gene:Et_8A_058263 transcript:Et_8A_058263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHHGREAIVFCAGVVATLLLLPPFGAAASAPGAAAGAGLGSNCTRSCGNISIHYPFGIELGCYHASGFNLTCRKNSRRHGLPELFLGNGTVQVLEISVSNGTVRIRSPRVELMDDADGRTAIGPGGARVVTDDRVARTANGTWGAGFPRGGPFFLSEETNNIAVVGCNVQVDLHGGAHDDLVSSCSAVCPTTPGEDGFISGFGNGACTGIGCYIDECKYPDTYHCYGDCKNTQGSFLCDCHTGFIGNASILNGCIELLTRKNPCVYRYNDGDGLVSPFASLLMEGKLVDIIDPQILEEESGEMQEVAALAAMCTKLKGEDRPTMREVEMILENLIVTKKQVPCDATTRTDANETMSYKAIERFAKESSRQYTMEEEILLSATYGR >Et_7B_055352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9649651:9650366:1 gene:Et_7B_055352 transcript:Et_7B_055352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSTVVVDGAAASNNPALLPDTDAPAGEGLALAQFAVGCFWSAELVYQRHPGVARTEVGYTQGHLHEPTYEVVCAGGTGHAEAVRVHYDPNECSYAKLLDVFWDKQLNRQHIEVGTQYRFGIYYYTAEQEALARESLAEQRKKWGDKIVETDILPVKRFYPAEEYHQRHLEKGGQSAEKGCTDHIRCYG >Et_9A_062919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6696926:6698668:-1 gene:Et_9A_062919 transcript:Et_9A_062919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKLLLLVALVAASGSSCSAWRLNIRMPTARAVEDAAERLEENVAAAPVIHALRPLLGSGRQLSDRAGVACDSWRLAVEAYNKRDWKTAVAYAESLKLAGNGKEVWVFDIDETSLSNLPYYATHGFGTKPYNATSFNEYVLEGNAPALPETQRLFNKLISLGIKPVFLTGRSEDQRAITVQNLRRQGYSGWEKLLLKPLGFKANAIAYKSGERHKLQDAGYVIVGNIGDQWSDILGAPEGARTFKLPDPLYYIG >Et_8A_057826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7978334:7983155:-1 gene:Et_8A_057826 transcript:Et_8A_057826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGVGNIGGCKGGFGGAVVLWSVLQQLLNEYGHDVCNAVKTALSKINEQNPRERYPVPELWNFGKRRKATVKDGPKYIFKKLKEKHTWLKEQIAAEDLDLECVDSMRISMEIETLRSQLDGLQTKYNKLDEELHAKYEKQHEELQAKYEKENEELQGKYEKQNQKLEDKFQKKNEELQAKYEKQTEQLRHEGTQPATKPLSKIQAAQATAVVWRPAAEPHNRLRALPSLRAAVSSPIPIPFSRSAVNRSCPQPAPMDGVLLAGSRPS >Et_4B_038322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28032740:28033442:-1 gene:Et_4B_038322 transcript:Et_4B_038322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEAAPALAVVDARFCAADAASLAVAKTLSMSGSDFAVTDAATGALVLRVDGVLFSLRRRCVLVDADRRPVLTVQESALLLNTRWKVFRGDSTSRRDLMFTVVKPSVIPLRWATKVSVFLASNDAEQSCDFRITGSYHDGACAVSLGDSDTVIAKIDRKFSVVSALLGKNTYTVSVNPGIDYAFIVALVVILDEMYYQR >Et_2A_018365.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24567125:24568186:-1 gene:Et_2A_018365 transcript:Et_2A_018365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAVVAMGSGGGGGKGAANTATTDFLVCFPARQRLALMPKPICSPSRVTMDKAAAARRRSHAPGARSSPMFRGSKAKRTAEEDDEEEPRSPKVTCAGQIKVGRPKKARAAVTGNGKRSGEKGGGGAKSWMTVVEEIERMHGRRKKVGWLEAVGIKTDALPFLGGVMRSLRLKARCLGSLRGAAVDSSTDDDEDDGDGVEERGGSAAASVFSKWLMVLDQGGEEPAPEQDSGDDDEERDREDHDERRSKEAADECASAGPPPNALLLMRCRSAPAKGLSRIAAADQPPASETEQEDKGTAHDELGVAAEEDRDEMVFMSSAPGFLKLSIDIAKETWLVGGGGDPLSRSRSWKR >Et_9A_061586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14074948:14078533:-1 gene:Et_9A_061586 transcript:Et_9A_061586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGLAAYRAVLRAARRTFAGDRLMLQESAVEIRRRFEDNRALAPGSDEATRALSDAREAAHFITHMIVQAQRAPSGSFVVKPESVHAGATLEVPSEEILSKLK >Et_5B_045118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9693525:9697584:-1 gene:Et_5B_045118 transcript:Et_5B_045118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIPLLPLLLFLFIAMALLRCGNGSAPSLPSPTPSQRLQAESCPLSPHPFEFLLLGSIAGAAAGDGFCSVEPSSECSGDQPLYWKATHPTLAPAYLQDLPGFTRSVFKRDHALITPESHVFSPLPDWINTLGAYLISPAIGAHFTMYLANMQDGSKSALPPEDVERLVFVLQGSILLSVGTGTTHSLLVDSYAYLPANMKHSMISDELTTVVVFERSVIDDYHPELIVGSTDKQPLLETPGEIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDTIWMAPFVPQWYAALGKTKTRYLLYKDVNRNPLI >Et_9B_064754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18376971:18379164:1 gene:Et_9B_064754 transcript:Et_9B_064754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLALLLVQLLVLVAAVSGARWQDFLRLPSEHSGAGEDVVGTRWAILIAGSNGYYNYRHQASTSLCLTSFSPRPAPKNSADVCHAYQIMKKGGLKDENIVVFMYDDIAHNPDNPRQGVIINHPTGGDVYAGVPKDYTGKDVNVKNFLAALLGDKSGLTGGGSGKVVASGPDDHVFVYYSDHGGPGVLGMPSDDYLYAKDLVQTLKKKHAAGGYKSLVFYLEACESGSIFEGLLPADIGVYATTASNAEESSWGTYCPGDAQAPPPEFDTCLGDLYSVAWMEDSDAHNLRTESLKQQYEVVKERTSAQDTYSLGSHVMQYGDMKQSAQSLYNFIGTNPANDNATFGRDRDNSLRHFFSGGGRVNQRDADLVYFWQKYRKSGEGTAEKREARKRLLRVMARRSQVDSSMELIGGLLFGSDQGSKVLGAVRPAGQPLADDWDCLKSLVRAYEEHCGALAQYGMKHMRSFANICNAGVAPEGMAKVAAQACAVVHSEAQ >Et_6B_048220.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:12503914:12504306:1 gene:Et_6B_048220 transcript:Et_6B_048220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIEKGQARSRSLKQPLIGVWNRPWGKYAAEIRDSTRNGIRVWLGTFNTLEAALAYDQAAFSSSSSCATARIRKRRPRKTTKRTEEAIEHPPKLPHRVNRSYGDTARPAAEAAYVSFQLSGTHMLCSI >Et_1B_014374.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:753173:753355:-1 gene:Et_1B_014374 transcript:Et_1B_014374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWITFLLSMLMCLGMLRALPTSDAARAFFVFGDSLVDSGNNNYLVTAARADSPPYGI >Et_1B_013979.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26165969:26166313:1 gene:Et_1B_013979 transcript:Et_1B_013979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCRLSSLPRLLLLALVLGIVLHGDGAVAARPLLGIAEPPSPGVAAGPGAAEHPGGGSGRHDRSEAGAEVILAGFAAAVMVVIFCYIRVTRKNNGDSSSRVGPDGKPESLGGF >Et_1A_006795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27736606:27742035:1 gene:Et_1A_006795 transcript:Et_1A_006795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSGSRGRRRRPPLAVEEEAAAAAAPVVEVASSSSGDEGGSSSSSEEDERDGSGAGARGRRGDARARVRAGGSEAEKLCLPSCPICMNEWTADGAHRVCCIPCGHVYGRCCLERWLLQFAKKKAPCPQCGRKYKENCIINLYVPEIAVPNNDLEKQVVSLRERNESLEKQQTKLLEEIKEHKRQVILQQNITHESNLKRQKMTEQLSDGRTDAEPITSPTVDIDRSTRGSFVLQNELFLDGARVMAIDASSHVIFTSGRAPGVGPEHILTKSSMFAGLGMQKIHLPPDTKAIRDICILPGGRAVFASLGRKLSIVSMATNNIVHQYDLPSPGWSCSGDRTSSTHIYAGLQNGMLLAFDIRQTSAPLYSVMGLSTHPVHTIQSFVDASGSRKIVSASSIGPCIWDVDGSENRPNLISGMENQGVCISLACNLPSSGLLVASYRPKVEVPDDGVIPQIVTPLSLTPGGSGKLGQHVLMRRTGGRSFAKDQTCYGNVSDLRMTKSAIIPCGNNQHLFAYGDESLYGIRTWRLPSFQTFADLSSHRQPILDLRYAESSTGESYLGCLSAEKLQIFRFSSPVGGVPDGAVRPHLPLRERGLRLPLVPPHRHVVHQEPANQLPAGRQLVFNKLKFFGPGSIERLTYRIWSGFQMMA >Et_8A_057307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23442030:23445040:1 gene:Et_8A_057307 transcript:Et_8A_057307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNNVSCTQPTEDDDMLSKLPSDVLASILEKLSLRDVIRAGVVSRRWRRLPRQLLRLVVDFVDYLPGEEEYFDEDNTQELIDVAVSGDALSAAGDKMLEVATALLGSRAAGQHQLPVCAMSFPLRHNYMSLGRLLDDAIAGGKVRVAELTIFPTCALEIDYDDGDQKRKTFRAMVGYGRRFRNLFDGCPAAFGGLTRLTMENMTVREAVLDDILTNCTRLELLCLEACDAGRPTTLWRVRHARLTDLRISYCVFGAVHLVWLPRLERFAYRYCEYHTHEPLSFGHVPHLTTLTISKNHVAECTTVKLSQILANTAAQDLRLNLGGKDIWVQQEPPKRLTDVFRYLKYLKVRNVHEECSLTWIMFLLQAAPFLKELYIKVYILLYINEDILTRITIQGFYSTEDILVTYVCHLVRAAINLEEIRMRKNAACEDCGSAKTGFPKTGKEIECLIKRVDNDEFA >Et_3A_025244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28225516:28225750:1 gene:Et_3A_025244 transcript:Et_3A_025244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPSHKKSSWCGDWWARLLFPALLPISGNHPPLSLSPRRTQPFKEKCKGRRGEASDHAKKARKQKP >Et_3A_024392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20335276:20342908:-1 gene:Et_3A_024392 transcript:Et_3A_024392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYNNAVHQNVPFGCAHRAQNFQNDGVFAGIIGGAAVARGLTRFSYCLFHGGIETNRRGFLRFGTDVPPRNPRYRTTEIIHAESSSGGHHYVSLVGVSLGALKLEGIRPEMFARREDGQGGCVIDLGMAVTVMARAAYDVVEEAVWSDLERHGAERVARREYGLCVRATETIKRRLQSMSLHFSGFEDKEEAVLVVSPEQLFLMMNDEQGRIACLAVTPGSRTIIGALQQVDTRFVFDLKDSKLSFAPESCIRDTVEVAKLTTSVAILTALLVLIMHHPSLTAAATIARHTTTPANASLAAGFSLELVDPDLDDRDRTVRRGSDGFLYLQSLRPDDATYAPLRLPRSLVVGVGTGPAQQDYLFQVVAAGDIVWMQCKGCDPHSPQRHRFFDTAASPTYRMVLGTDPFCRAPYWSVFQGNACAFRVDGARGMSVEGYMGTDQLTYSNVVHQHVPFGCAYKAHNFQNDGVFAGVIGVAAVARGLTRFSYCLFHGGGAGDTTNRQRRGFLRFGTDVPPGNPRYRTTEILSHESSTTGGHHYVSLVGVSLGARRLDAIRPEMFARRADGEGGCVIDLGTPATVMARAAYDVVEAAVWSDLARHGAERVARREYGLCVRAPEAVKRRLQPLSLHFSGSGDDEEEEAVLVVAPEQLFVMMDDEPGRRVACLAVAPGSRTVIGALQQVDTRFVFDLKDSKLSFAPESCIQDTVEVV >Et_1A_007682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37158239:37159441:-1 gene:Et_1A_007682 transcript:Et_1A_007682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPWAMRRSAINEPTIILRMFPSRMHPMASNLSDDATSSQPGHNPSEPSSASSSMLELDAGDPLYELSSLLVQLPIRKGLSKYYQGKSQSFTLISNATFIQDLEKKVLYSKRMKTCKSYAAGLDMSQLLNNLPRPCKIIAKKPSKTSSALVQQCQIICSPEQEIIANAYCFVKRRKPGNK >Et_8A_057979.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1105871:1107424:-1 gene:Et_8A_057979 transcript:Et_8A_057979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDTSTTTLLYAALLAAAILYLFAVLRRGHRGGALPPGPTGLPLVGSLLSLDPELHVYFARLAGKYGPIFSIRLGSKLGVVVTSPSLAREVLREQDLVFSSRDIPDAARSISYGGGQNIVWNPVGPTWRLLRRVCVREMLSPAGLENVHGLRAREFRATLAHLGAAAREGTPVDVGAQMFLTVMNVITGTLWGGSVGSDSERAAVGKEFRQLVAEMTEMLGAPNVSDFFPALARFDLQGIRRKSDVLKDRFDQMFARIIDQRRVGDAQQEDFLEYMLRLEKEGGDGKAAFTMTNVKALLMDMVVGGTETTSNTVEWAMAELMQRPRILSKVREELDAVVGTDTLVEEAHLPQLHYLQAVIKETLRLHPALPLMVPHCPTADAAVAGFRVPAGCRVFVNVWAIQRDPKVWKDPLEFVPERFMPSAAGDGDGGRRWDFTGNELDYIPFGSGRRICAGIAMAERMTAYSLAMLLQAFDWDLPAGAKLDLAERFAIVMKKATPLVAVPKPRLSKPELYSA >Et_3B_027668.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11571771:11571821:-1 gene:Et_3B_027668 transcript:Et_3B_027668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMERTRRTPGKQEPE >Et_1A_007046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30342682:30347021:-1 gene:Et_1A_007046 transcript:Et_1A_007046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLAFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHDEYPWIVSASDDQTIRIWNWQSRTCVVVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKSVSPADDIMRLAQMNTDLFGGIDGVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWVLAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDTVFYVKDRFLRFYEYSTQKEVQVAPIRRPGTVSLNQSPRTLSYSPTENAILICSDVDGGSYELYIVPKDSAGRSDYLQEARKGAGGSAVFIARNRFAVLEKSSNNVLVKNLKNEVVKKSPLPIATDAIYYAGTGNILCKAEDRVSIFDLQQRLVLGELQAPAVKYLVWSSDMESVALLSKHAVVIANKKLVHRCTLHETIRVKSGAWDENGVFIYSTLNHIKYCLPNGDSGIIKTLDVPIYITRVISNNIFCLDRDGKNKLIPVDASEYIFKLALFRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKELDDKDHWYKLGIEALRQGNVGIVEYAYQRTKNFDRLAFLYLITGYLDKVGFMCKIAGQNNNLMGQFHNALYLGDVRKRVEILENAGQLPLAYVTAATHGLTEIAERLAAELGENVPALPEGKASSLLIPPPPLTSCGMPVSQIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFTPLKPLFLDAHMGSHTFLRAFASAPVISVAVEKGWSESASPNVRGPPALVFSFSQMDDKLKAAYKATTEGKFPEALRQFLNILHTIPLLVVDSRREVDEVKELIEIVKEYVLGLRMEVKRKELRDNPTRQQELAAYFTNCKLQKVHMRLVLTSAMGLCFKGGNYATAANFARMLLENGPNEAQAKKARQVLQACGDRKDGTQLNYDFRNPFVVCGATFVPIYRGQKDVSCPYCGSRFVPSIEGQLCTICELSVVGADASGLLCSPTQSR >Et_2A_018565.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3376883:3378634:1 gene:Et_2A_018565 transcript:Et_2A_018565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDRQDTGRNGSALAFDEVRWVVQIRRSLEEDGAPGGDDDDNGIPVSVFNVPKPLQVHKPEAYTPQFIALGPYHHWRPELYEMERYKLAAARRAQKRLRDGVRLDGLVQGFARLERKVRAYYHRYLDFNGETLAWMMVVDGAFLLEFLQIFAVAADGESGGDGGKALKRVSSRMAHLVDFAGRKSAHNLILRDMLMLENQVPLFLLRKILEPQCVSAEDAGAALQRMVTGLMKELCPFKMMDTFPAVDVAKHAHLLEVLYFLLVPKTADAGAHHDEGYDIEEQPVDGGNGEAEEKEQKPAGAGGEAVKQLLGAVWGIVSGLKSKRLRYVTKPIAFAVKAPWKMLTVLPGFSAIKNPVESFFTSGADGSTHPVADPSNAGYLTRPPLIEEIMIPSVSELASVGIQFSPTAGDLSSIAFDAKTVTFHLPVVTLDSNTEIMLRNLVAYEAAAASGPLVLARYTELMNGIIDTDEDVALLRQRGVVLNRMKSDGEAAKLWNGMTRSVRLTKVAFVDRAVEEVNRYYNGRWRVKTKRFMRKYIFSSWQLLTFLAAIMMLLLTTLQAFCSVYTCSRWFGAVTVATAD >Et_9B_063776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13805496:13805738:1 gene:Et_9B_063776 transcript:Et_9B_063776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFQLRTPMQPAAPIELPTFHSAKNGCARPSVGRRRKCTELKSRNTSA >Et_1B_011537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23345171:23348765:-1 gene:Et_1B_011537 transcript:Et_1B_011537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARGHKAVGALPQLVKSLRSEPVSSGTRLRHLPSLRRTFSLYDQINLIDSVPEDQLRFQSYDDTGFKINNVKYEGSLLIVENKIMTWTPKTFAEITAESLSIFKVVHPIPEILILGCGRHIQPVSPELRKFIRSTGMKLEAVDSRNAASTYNILNEEGRPVAAAVLPYGVTS >Et_3A_024932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25400417:25401578:-1 gene:Et_3A_024932 transcript:Et_3A_024932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRGGILIDSPLEVEDAGSSSSPVTHRLTAARAVIEDGSAGDDVFEAEFAGFSDEKLREKIKCWRFQKTGGVLSKTPDGGEKMRIRVNRMEKELERRQAVRQKKVSKMWVFFFFTVVCVLPLWFGLCEAYIQLDCGFLSMNEVLESIWVSVFYGMRMRQFRVSRLSYQVASTMVLLAPAYWDN >Et_5B_045351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19602671:19603983:-1 gene:Et_5B_045351 transcript:Et_5B_045351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAENGELGLVKVGRDDRNLYTMSRETGMDGELRWENRRVILVSMLLPALTFRTHVAAAVDVLGVIWIGTENGLFSIDMKSNQIRKIWEGNNNHPAGATV >Et_7B_054369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20463679:20463905:-1 gene:Et_7B_054369 transcript:Et_7B_054369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCNLLHYCGRHDREGIPLVGSGGLDTNTRGPSTFLKKELLKYVERTKSRDYNFAGSGRFRNKP >Et_7B_055858.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6012042:6013313:-1 gene:Et_7B_055858 transcript:Et_7B_055858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKLDDQEAVALCRARAELLAAAVRHRYALADAHAALADSLDAVAAPLHRLLLLRLAHDAPRLALPSDRKGGASGKNRTRRSFDPSSQSQLPPHGGHSSSSHLQFGPSPSGSEPDSRADESPPRYIPQQQPPPQQPHYAYGYGYAPQPAFAYPAPAAGSLQFYYARSRPPPASVAVAQRAPRPPERVHFRSFDAASGYAQYHAYGAQSQAAQPAAAAPQRPATAPPSPPKASSWDFLNVFENYDSYGVDNYYYDSAAAAAAAAAATPYTPSRSSGEVREAEGIPDLEDDEEDAAVVKQVSGEYSGRGSGGAARSRRSSVGGVSGVAEFHEPDNVIAHRDVMGEARRRSSAHRHVSVPTPAPPAQTAFGGADADVAGEIKAQLVRTANAARQLAPLLEVGRPSYQGRSSGYHCEHTLVLG >Et_3A_026053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3880024:3894922:1 gene:Et_3A_026053 transcript:Et_3A_026053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAELALRLRAAANDRLAQYEPLALVAAPLLALLVARAVHGAAAAVADRGLVGLAMAFIKLLPGVSSYIAAEKRKVVDQLQSGGTSTKSNLRAELPTVGLSEEVIKDLETLKAKDVDWQGKCSGTVYIAGNESEGHFELINKAYSMFSHTNPLHQDVFKSVAQLEAEVVAMTASLLGSKEQSSGGQVCGNMTSGGTESILLAVKTSRDYMQSKKGITKPEMIIAESAHSAYDKAAQYFNIKVRRVPVNNDFLADVKGFKRCINGNTIMMVGSAPGFPHGLIDPIEELGELASRYDICLHVDLCLGGFILPFARKLGYPIPPFDFSVKGVTSISSDVHKYGLAPKGTSVVLYRNHEIRKHQFVAGYLEHTSCIMEVSKKIQRGIEDIPGLYVIGKPDMTVVAFGSDVVDIFEVNDIISAKGWHLNALQRPNSLHICVTLQHTAIYKEFLKDLQDSVNTVKANPGPISGGRAPIYGAAGKMPDRGMQRFGSKVY >Et_3B_028218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1261191:1269447:1 gene:Et_3B_028218 transcript:Et_3B_028218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPASRIPLKRCTAAAVPGEGGEEPAAAAAATMPPAKRARERAVPSRFSDSVLLPAKKRAVVSSPQDEGDGEVYDVEVRVAEPKGASFGPVQTAVWTPDPPQPTEEELYRACRNISRSVSAASGSVVTSVSNAGADGSVAGNGGLEERPVVVECKPKRENGEKKDDFYWPEDFVLGDVVWARSGKKCPAWPALVIDPLQHAPEVVLNSCIPGALCVMFFGHSASGHSRGQSLYKIRATRFHAAIEEGFLAERGFFDLQVDVGCSLQDSVNDQSVPDGIQEGVASNNEQECQSNAQGVGHSTRCCDSCGNRLPSKLSKKKKQGGEQFLCRHCEKLLQSKQYCGICKKIWHHTDGGNWVCCDECQIWVHVECDLTCSNIEDLENADYFCPDCRSRHKAVPKSTKQTNTSNSSECASTSKDKLPERLPVCCNGVEALYVSEKHMILCECKSCKERLMTLNEWEKHTGSRKKNWKMSIRLKSSGEPLINLLDDIPCGNVKSTPGINKEELLKLQANSYSPVYAKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGANVVQDLTTWVCRACEFPQQKRECCLCPVKGGALKPTNVDQLWVHVTCAWFQPKVSFPVEATMEPAMGILSIPVEYYKKTCVICKQMHGACTQCWKCSTYYHAMCAARAGYRMELQYSEKNGRQVTKMVSYCSFHSTPDPDNVLVVKTPEGVFSTKFFLQSNEKQTATRLVRKDSHQEKVLPAKFSDCLAARCLPYEMLKHKKEPRSPIAHRIMGPRHHSQDLIDALNTCMDHKDDQTFSTFKERLCYLQKTENKRVSCGLSGIHGWGLFAAKNIQEGQMVIEYRGEQVRRSVADLREARYHREKKDCYLFKISEDVVIDATEKGNIARLINHSCMPNCYARIMSVGDDKSQIILIAKRDVSAGEELTYDYLFDPDESEDCRVPCLCNAPNCRGYMN >Et_4A_031990.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:10638487:10638768:1 gene:Et_4A_031990 transcript:Et_4A_031990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DGNLVAARAGKVRFANCASTTKLLAAREALILAEQLGINRSLIESDAQLMVQTIQRKEVDLFLADVIVQEIKQQVSVLQSMSFSKLDVILIVT >Et_4A_035285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16988388:16990831:1 gene:Et_4A_035285 transcript:Et_4A_035285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPELAKLAFSRVQKVEPENAGKILGCILLREPDEEEMVQLAYGTDAELLAKIADAKATLAAIYARCSAHQIGGAHRGAAAAGYHHHHPAVNAGLRHFSPAAAAAFGFHVPSAQYWPAPDSAAKAEGHYPNQVQSHAGLQQDDHHHYDAGGYYYATTAPEDAFHNNTGGGPLLQPRVAAARRANGGALSTSMRRPCHYFFKGVCKNGQNCHYSHHQVYSSDGGLAGADEHHHHSNGTTPGALEALESEITELLNSRRGQPVSIASLPTLYGEKYGKGLQADGYLTESQRHGKAGYSLTKLLSRLNKIRVIERPHGQHSVVLAEDAARYTESRSDKGGDMGSVPASSHQIYLTFPAESVFTEEDVANYFGQYGPVRDVRIPCQERRMFGFVSFQNPETVSTILMRRNPHFICGSRVLVKPYREKSKCIESYPRTYTDNIKPMHCYPTRFFEVDPEFYPEYDASSRLMRKQLAEKRERLIELERKRIAGIRLESFPPQFAYFDCSIEDVHPLNPVPSDSKETDLMNRPLVIPDPFDIVSTSKAPQTQTNNSYTDKESDQIELLPESPFASTAPAGNSISAVI >Et_2B_022759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4549014:4551823:1 gene:Et_2B_022759 transcript:Et_2B_022759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARDDFFSDFMVLHPDKGGFRTLLHLLCSCNVADNDAVDCPIGTEVAERWRRWTIFVSLIAQMMLLWMKRPMAAFGKAIEYWMNLVTDNGGSVFMLIRNAMQGKVRFPDRDSPRYRSFIGLIDTRTDLDKKIRTTDSNYHAALGIMAAKLAYENELVIETVVKDQWEMTFLEYFNCWNEFRGDYTTQAFMCADKPADAELAVIAFRGTQPFDAEQWCTDVDFSWYEIPGVGKVHGGFMKALGLQKNTGWPAEPTDAEPAPAGNGAGAETRRQFAYYAIREKLRAFLKANPRAKFIVTGHSLGGALAVLFPTVLALHNEKVILDQLAGVYTYGQPRVGDAELGKFMARYLDNPRRYFRFVYCNDMVPRVPYDDASLLFKHFGRCLYFDSLYRARSMAEEPNKNYFSPVFVVPKYANAAWELVRGFLIGYVDGREYAEGWVMRAARAVGLVIPGLPPHSPQDYVNATRLGAASLDMLLPDQ >Et_1B_011150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18017068:18018135:-1 gene:Et_1B_011150 transcript:Et_1B_011150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSSKIAARKSRIRTVDCHGKSKFFLEHQAMWEKEGKNKGYDPSIHSSRFAYQLPLPEGKWPSKFPRRPLDEHDKEVAARRGREEKRLNQEAERKEEQRRRRIEEQLRRRREQFASGKVPWRHKTLRKDALSRDEMRHVSNVQMAVDFVNGKCPEKKYELCEITAKHDIIDFGSVHCHYNFIAYSPTHGFEFFFAEVDTSFESKRRVLQCCTVWTGPHGYCWDCQNHGDFLIHPSSDKFFAGDQEDICWL >Et_10B_003815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6417248:6417773:1 gene:Et_10B_003815 transcript:Et_10B_003815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGRGRAKGTKAVSRSSKAGLQFPVGRIARFLKAGKYAQRVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGAVTIAAGGVLPNIHQTLLPKKGGGKGKDEIGSASQEF >Et_6B_049302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:306512:309602:1 gene:Et_6B_049302 transcript:Et_6B_049302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAVDLYHVLTAVVPLYVAMTLAYGSVRWWRIFTPDQCAGINRFVALFAVPLLSFHFISSNNPFAMNLRFLAADTLQKLIVLALLFLAASPGVSPLSRFLSLDWVITLFSLSTLPNTLVMGIPLLRGMYGPDSAGTLMVQVVVLQCIIWYTLMLFLFEFRAARILIADQFPGDAAASIVSFRVDSDVVSLAAGDAQAEVVEVADDGRLRVTVRKSTSSRSEAACSHSHSHSHSMQQQQPRVSNLSGVEIYSLQSSRNPTPRGSSFNHAEFFNIVKGPGTTDEEKGAGGHSPQQLPQALAAKRKDLHMFVWSSSASPVSDRAAGGALHVFGAADQGDVLAKGAQAYDEYGRDDFSRNNNGEEKGGPTLSKLGSNSTAQLHPKDDGVERPATMPPASVMTRLILIMVWRKLIRNPNTYSSLLGVIWSLVSYRWGIEMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNSLAAFAMAVRFLVGPAVMAAASIAIGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPNILSTAVIFGMLIALPITLVYYILLGL >Et_5B_043864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16938332:16941787:-1 gene:Et_5B_043864 transcript:Et_5B_043864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMIITRSVLLLLLVASVLHGNPDVAKATAVTGGHSHETKVRVANTLDRSISCIGAAVVANLLTPPPVGRCVQVYIVFTERQTPPASAVAPLDMTEPADVSAKIKTFHHALLSEALAGSRYPLFRTARRDSRIDLDCSSARDRVVYHYTRSLHGFAARLTEEEKNNLAGKEGVLSIHERVVYRPQTTRSWDFLGLPLHEDPSLPFEQDVIIGVIDTGITPGSESFSDDGLAPPPAKWRGRCSKRINCNKKIIGAWAYDGGYPDGPASPIDDLGHGTHVASTAAGRAVGNASLYGVANGTARGAVPGARLAIYKVCWYNSGCASEDILAAFDDAIADGVDVISAPISLETVSEYADDVLAIGAFHAVRRGVLTSVPAGNCGPKLGTVSNVAPWMITAAGTTTDRRIVSRMVLGNGKRILAHSMNTFPDIGKQSILVAPGSCNETLEGAMYKGAILLCPPDQDIKPSVLMRSGAAGVILVDTSEDKDNSFTFPLPVVLVWPAQFQDIIRYYNESRYPVARILNSETVYNAAAPSVAGFSSRGPNLITPGVLKPDISAPGVEILAAWSPLSAPSLSYEDVRVVPYNIISGTSMACPHVTGAAAFVKSVHAHWSPAAIISSLVTTATPLQSDVHEAEFAYGAGQVNPTRAVDPGLVYNASEADYINLLCAQGYNTTQLATMTGTNTTCSLPTGSMANLNYPSIAVPVINYGVYFAVEITRKVTNVGPVSSVYRAKINSEQGITVSVEPEELAFTAERQEMNFTVSVMGSLQTPAADGGSLGASASIIWSDGKHQVRSPIYVFPQQFRSYVEPAECRCRPGKCDSQLDY >Et_10B_002538.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:14319986:14320102:1 gene:Et_10B_002538 transcript:Et_10B_002538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHILIGCVFARHIWFHLFDRVGLSLLTPPAEDSPSVP >Et_4B_037751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23054654:23057523:-1 gene:Et_4B_037751 transcript:Et_4B_037751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSDSVEGIVLGFVNELTITMTLQQNRPLNSQNVADALQKFNLKKTAVQKALDALADSGQISFKEYGKQKIYIARQDQFDIPNGEELEEMKKANSKLQEELADQKKAISEVESEVRGLQSNLTLEEIKSKEAKLQSEVQEMEEKINKLRSGVILVKPEDKKIIEDSFSEKVNQWRKRKRMFKELWDNITENSPKDQKEFKEELGLEYDEDVDVNLQSYIDMLASLNKRRKVSL >Et_1A_006494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23265028:23268753:1 gene:Et_1A_006494 transcript:Et_1A_006494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDGGRRRAPSLPGTITALTDDLLRDILFRLPPHPFHLASASCVSKDRRRVVRDHDFLDRVRRHHRSPAVVGFFDNRPDPRFPRPTLVGGRERFVARIPFGRWWMYGCRHGRVLLHERHTGMLLVSDLITRENHYLPLPPSFRAGCESLGTVLCADDHEHGDCHARPFLVAFMFVNPGRSATHACVYSSETHEWGAVACLSLAFAFIDMEVMHTALVGRTIYWMVSGYQILKYDLDSHTLDLVNESPFYVYTCCVDGMLLLPAEDGGLGIAAVRGFYLRFWSRKPNNDGVIEWKIYRSIDLRQFVKPEQMKIIIEKNLPLRTIAIAEGADVIFISVTYSVYSLHLRSLEFKEVLRGVYINRMIHPYSAFYTAGANAGAEDGPANLAGAMILDVICNIAAVEVGGGLSLKGGNIER >Et_7B_054684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3466640:3471109:-1 gene:Et_7B_054684 transcript:Et_7B_054684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVMHRSSSDGGSSSGWSDAAAAVAAAAEERAGWEVRPSGMVVQAREDGGGGKAPPRPPPPEIRVRVKYGAARHEVPVSPIATFGQLKKLLAPRTGLQPADQQLTYKGRVRSNSDYLDACGVKNKSKLVLAEDPASLERRYIERQKNAKIESANRAIGAIALEVDKLADQAKRVQKCVETLDVLKVSNARLQAVVVTTKWETFDAPATPQWELFD >Et_1B_014098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30640208:30641996:-1 gene:Et_1B_014098 transcript:Et_1B_014098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKWAQKTVVVPAQRRGCHLITPKILREIESDLAGFKCGLAHFFLQHTSASLTINENYDSDVQADTETFLNKIVPEGRNAPWKHTMEGPDDMPAHIKSSMFGCALTIPITDGCLNMGTWQGIWLCEHRDHASPRKIVVTLNGV >Et_2A_015508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14082162:14085710:-1 gene:Et_2A_015508 transcript:Et_2A_015508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLLSAGPPARTERTGIENRGTKFFDTEGQLVGRQTEKSHIIQNVMKDDKVLQIIPIWGMGGIGKTAIARSICQNPGIFQMFQMVARISLSYYSLNKEESFKSFILKLLKPDLTRDVEISLRKIELQYLIEELNRLLQAKRYLILLDNVSSSDWNILINLFKEKKDGSRIIVTTRERSVAENCSIENMYQLEALKDDDALELLKEKWASPCDMRSRPLFSGQEASTATEWEKFNKNFSSELEKNQRLDIIKTVLASTCNEPYRLKLCFLYLSIFCEDQNMIRRKRLIRRWIAEGLDDTNAEENENNNFTNLPSDPEAAGSGVGIRDYHVNNLLRVIIMAQSMEEKFCFVLGSRSRSSQTHDTVRHLSISSSWRNANDLKAIGDISHVRSLTVCGRWQQIPLGEMRMFRVLDLEGTGGLLRDHHIELHLDKLLHLKYLSLRGCHNIFWLTHSLGNLWDLQTLDVRGTSIIILPATIVQLQKLQYLRAGQIPKDEQPRDCTGLGEPEENATLTFARVMAGLFVNSFRAEPVNSGEFSKRDRFNKIFFYDGHLLWPTRDKHGVKVPEGTGELNSLETLGVIDIGARKETSAELEKLTGLRKLGVTDLKRENSQQFFSAIAKLSLLVSLSTRSEGKPGLQDCLCDKSLSPPTDLESLRLYGNLVTLPPWIIQLKNLTKLKLRNTRLGQGAIPVLGKELPHLAILRLLSNSIEGEDLHFHFKCESFPDLVLLHLDGLPDLRSLEFEHGATPKLEKVKVENCPNLVVIRKN >Et_7A_052573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9519965:9523795:1 gene:Et_7A_052573 transcript:Et_7A_052573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFSIAIVSSFDLVPQRCNIEGAYLEGNRGLSNWDVYTHIPGTVQDGSNGDTADDHYHRYMEDIELMNSLGVNSYRFSIAWTRILPRGRFGNVNPDGVAFYDALIDTLLKKGIQPFVTISHYDIPHELDKQYGGWLSPEIQKDFGYFAEVCFKMFGDRVKLWTTLNEPNLFAKFSYMDGWYPPGHCSHPFGNCASGNSSIEPYIAGHNMILSHANAVNIYRKKWYEPFRNTTADILAVERALAFSGPWFLDPIIRGDYPPEMRMILGPGLPEFTRTQKRKLLETKLDFIGLNHYTAVYVKDCMFSPCEVDPVDGDARVVTSSERDGVLIGEPTGSGHYYSVPYAMERVVMYYKQRYNNTPIYITENGYAQASNSSMTAKDFTNDTQRVDYIRSYLIFLASAIRKGADVRGYFVWSLLDNFEWTSGYTIRFGLYHVDFKTLKRTPKLSAKWYGKFLKGSLLGTGLLRKESSQALHYSA >Et_7B_054105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15431314:15434344:1 gene:Et_7B_054105 transcript:Et_7B_054105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDFDLPSAGEEEEVMGGLDEDDAMKDLDGMDEEEDEFPVTTMKAGEEKEIGKQGLKKRLVKEGEGWERPETGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFKLGQGQVIKGWDQGIKTMKKGENAVFTIPPELAYGESGSPPTIPPNATLQFDVELLSWASVKDICKDGGIFKKIVKEGEKWENPKDLDEVFVKYEARLEDGTVVSKSDGVEFAVKDGYFCPALAKAVKTMKKGEKVLLTVKPQYGFGEQGRPASGAEGAVPPNATLHIDLELVSWKTVTLIGDDKRILKKVLKEGEGYERPNDGAIVRVRLIGKLEDGTVFVKKGHDGEEPFEFKVDEEQVIGGLDITVVNMKKGEVALARIPPQHAFGSTETKQDLAVVPPNSTVYYEVELVSFEKDKESWDLKSNVEKIEAAAKKKEEGNVWFKMGKYAKASKRYEKAAKFVEYDSSFSEDEKKQSKALKISCKLNNAACKLKLKEYREAEKLCSKVLELESTNVKALYRRAQAYIELVDLELAEVDIKKALEIDPDNRDVKMVYKTLKEKMKEYNRRDAKFYGNMFAKWRKLEHMDKVPGKQEPQPMAIDSAA >Et_10A_001348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23668234:23671013:1 gene:Et_10A_001348 transcript:Et_10A_001348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAAAASLRLPFPLLSAPAVSSTLRFPLRRRRAPRFLAVAAFKKLSEASPLPIPQESSEPLAEEEALPPKPGVYGVYDPSGELQFVGISRNVRASVEGHRRKVPADLCASVKVAIADEETPDRSALTNAWKSWMEEHIEATGKAPPGNVAGNYTWVGAPQRPPDLRLTPGRHVQLTVPLEQLIDRLVKENKVVAFIKGSRSAPQCGFSQRVVGILEAHGVVFVTVDVLDEEHNPGLRETLKMYSNWPTFPQIFVGGDLVGGCDIVSSMAEKGELAALLQK >Et_7B_054588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2582996:2594215:-1 gene:Et_7B_054588 transcript:Et_7B_054588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSCGPSWAAYGGLRRRLALCRRPPSFDGDFGLEDLFEEPKIESSEEDHVDDATGSDSKDPKLDHSFMEDYEDEATGSDTKDSKPDYSFMEDLFWNCMKETAEEEAERLKREAEEKKREEEEKKREAEEKKRKEEMSVLGWKKHEAVIKSIRKYDPKEKCLVYTRSSFTDFSTFDIDEESPVPPMRHTRRKYQSEDQLERSMEYSANILSVKIVSDVGYPINVYGTVIARDNLDEKCIYLFRCHRRDSQLIKSEDESLILTGPSRGLILEDFIFLEVNLMIKGERGQDKPLSKTLLEIDGRVVTRQNIEVRSVSRPSRLSIVKVEYAAVRNAVEATIEVRVINGDLFGEITAHTTSIHYKMLIYNSKRGCVMTCDDNGAIQLWRYAIAVCVKDMLIVTFVARAGKDVTASSSRTIEFAPSLNGSDEDIIYCGTAKLRVKVTWSGELESIKQAKEEKTQKDEREAEEKKMEVAEKERREEETKRSWKKHESVLDSIREFDPKKKTIVWTRYFMDHFGAFDLDEESPVPPMRHTGKTYQEGCSMRWSANVFSIKIVSSDVGFPINVYGTVIARDSLDHKCIYLFRCHRRDSQLIKSEALLSALLVTCPFEVKSVSHASWFSTVELHYAAVPKAVEAAVEFQALQGDFYGEITAHTASILNRIMLYDSGAVGKVTCDDNGAIQLLRRVVAACLNEMLIFTIVVRAENNAAGAASTRVIEFPPSVHGADEDIVYCGAVRLPHVPPMRHTGKKYQAEDQIERTMEHSANILSVKIVSLDVGYPINVYGTVIARDHLDYKWIPNSSSQSMELTTIQDESLILIGPSRGLILRDFIYLEVDLKIKGERGEDRPLSKRLLEIDGRVVTRQNIEVRSVSRPSSLSIVKVEYAAKAVEATIEVRVIKGDFFGEITAHSTSIPYKVLLYNSKRGGVVTSDGNGTIELWRRVIAVCFKEMLIFTVVSQVSSDAVDASTPMITRELEFTPKLSGADEYDGFYCGRAVFHVKFTPAVTPSVGKTLLLYFLVIFTHSCYQQDRAPNSSSSSSFPKS >Et_2A_017107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3116830:3124842:-1 gene:Et_2A_017107 transcript:Et_2A_017107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLMSSSCARAVASTSTSSFPSPRPRPLPRRINTRPCRPLLLASLPRALRLRLPVPVPPRCVCQSTCPCLPLHSPGFPVGIRCSSFRTFATTKGSLSPAQRSQRREEGNKAGEEMTISSSVKLAGGALSVCGRTVLSGVPDAVVASSAAAGGAVDGIFLGADFAEQDSHHVVSLGTLRGARFMACFRFKLWWMAQRMGDKGGDVPHETQFLLVESKAAGAGGEDAYVVFLPLVEGAFRASLQGGAGDALELCVESGDADTRAQSFERALFVGAAEADPFKALAGAVAAARSTLKTFRLRAEKKLPGIVDYFGWCTWDAFYQDVTQQGVEAGLRSLADGGTPPKFVIIDDGWQSVGTDQSAVGEAAGEDKPPLLSRLTGIKENRKFQSDDDPAAGIKTVVRAAKEKYGLKYVYVWHAITGYWGGVRPGAAGTEHYRSSMQFPKISPGVAENEPGMKTDVLTMQGLGLVHPRAVYRFYDELHAYLAAAGVDGVKVDVQCILETLGAGHGGRVELTRQYHQALDASIAKNFPENGIIACMSHNTDALYCSKQTAVVRASDDFYPRDPVSHTIHIASVAYNSVFLGEFMLPDWDMFHSLHPAGEYHGSARAISGGPVYVSDAPGKHNFELLKKIVLPDGSVLRARLPGRPTKDCLFTDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWSSVEKKNIFHQTGTEALSCGVKGSDVHLISEAATDPEWNGDCAVYRHASGELVLLPSGAALPISLKVLEHDILTVSPIKDLAPGFRFAPIGLVDMFNSGGAAVGLVCMEVRGRGRFGSYSSVRPKKCMLGSAELEFSYDTSSGLMILQLEDMPKQGVHKIVVEFVVRGGRRSNRRRHPRRVFGRTSLPPRRLPRRLEPIRSSVRFGIDFGSGDVWSRRGLRFMACFRFKLWWMAQRMGDKGGDVPHETQFLLVESKAAGAGGEDAYVVFLPLVDGAFRASLQGSGAGDAIELCVESGDADTRGVRALFVGAAESDPFAAIAGAVAAARSCLKTFRLRTEKNLPGIVAYFGWCTWDAFYQDVTQEGVEAGLRSLVAGGAAPKFVIIDDGWQSVGTDEPTPDDAAVDEAKQRGIPRLTGIRENSKFQNAADPAAGIKAVVRAAKEEHGLKYVYVWQSITDYWGGVRPGADGMEPYRAILQYPKISPSVAVNDPRRAADWVFVQGVGLVPPRAAYRFFDEQHAYLAAAGVDGVKVDVQCVLETLGAGHGGRAELTRRYHRALDASVAKNFPDNGVIASMSHGTDALSEQTAVVRASDDFQPRRPVSHTIHIAALAYNSVFLGEFMLPDWDMFHSLHQAAEYHGSARAISGGPVYVSDAPGKHDFEFLKKIVLPDGSVLRERLPARPTKDCLFTDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWSFAEKNNVFHHTGTEALTCGVKGSDVHLISEAAMDPEWNGDCAVYRHASGELVVLPNGVALPISLKILEQDILTVSPIKDLAPGFRFARIGLIDMFNGGAAVEVSPRKCMLGSAQVEFSYESSSGLVILQLKDMANERIHRIVVEL >Et_7B_053206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11721605:11722756:1 gene:Et_7B_053206 transcript:Et_7B_053206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GVRVLGSGVVVTGTGLVVASSATVVIRAGFVVATSATVVISAGLVGITAQVARTGLLVGTTAAIVVGAGLVVGIAAAILIGAGLGVGATAARVVGVGLVVGVAAAIFVGVRLLGGTTTAIVVSAGLVVGAAAAIFAGAGFVVGVAAAIFVGAGLLVGVAAAIFIGAGFLVATTTAIVIGAGLVVAAAAIFVGAGLVGNTTAILVSVGLVVGAATAIVVGTGLVVAAAAAIFIGVGLLIATATAIIVGAGLVGAAAAIVVGVGPVVVVGVGLVVCAAAAIVVSAGLVVGATTAIFIGAGLLIASTTAIIVGARLVEAPGAATIVISAGLVVATFIVDATRGFVAAAVILICHF >Et_9A_062734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:401147:404684:1 gene:Et_9A_062734 transcript:Et_9A_062734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >Et_1A_005057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24010659:24011546:-1 gene:Et_1A_005057 transcript:Et_1A_005057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIIVLQENQLRQITNDFSNVLGNGAFGMVYRGTLLDGRHVAVKVPMRATETDMTDFKNEGGAPKLVYELAGNGNLYEKLHGKNRIPLSLDIRLRIALECAEGLAYIHSSTDTCILHDDVKSENILLDDNFTAKVSDFGLSRLLSTSSSTMYTQTVRGSFGYMDPMFKKVGILTQKSDVYSFGVVLVELITRRKARDEVGAELAECFQSCFARKKMSVHSMVDKEIATKNDKGFVEEIAQLAFECLRADLKDRPEMKKLAHRLTGLYKKGKFI >Et_2B_021927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:708866:709364:-1 gene:Et_2B_021927 transcript:Et_2B_021927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKHKTLEGKTPEEVAPISTPKVAPETTIPNEVAAEPIIEKVVEEAKEKPEVEAAIELPTTAEPEQKAEVITPEAIDEPEQTKEEVVEKIVEEEKPSAPTEEKTAAEAVEETSEVKKDAEEEKEKPTQS >Et_3A_026893.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:25215456:25216451:1 gene:Et_3A_026893 transcript:Et_3A_026893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSAGTGSGRKKLKHRLAAILSVFSRRGGGGSRKRRESEAEAEATPSPALAFPSYCSRVGSGKKQHEQRRFSVSAPRAPPLVHITIDCAGRRSVDAADPSLLPLPLDADRRLTETGRLYETGGEWEGRKCPPSSPFVAHHLPPLPPVARWRERSSSRRLSTHSSSRGRLGASSSSSDDEDSRRNLFSSRSFSSDSSDFYNCGPRKSSSSTTRQAARASISGPCSRAPPAAAARRGASQSCRYSFEQPRGSTASEGGGGGFAVVKRSADPYEDFRKSMQEMIAEWPAGEEGEEEEHSAERLLETYLVLNSPRHYPAILAAFADVREALFP >Et_1A_004981.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21153911:21154111:-1 gene:Et_1A_004981 transcript:Et_1A_004981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFVLYFASQIVKRLGHVVIGWCCVPKDLGRLALENEPLIEQVFVSQNPYSGADFEKQVSMRIPI >Et_5B_043435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10342036:10344532:-1 gene:Et_5B_043435 transcript:Et_5B_043435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKAVHAVNAGRVASKDEMDLMRENKQTLEKSIREIERKRQGLQAQEKKLIAEIKKVAKLGQMVRAVASHVQDFEMQNEKMEMVSEVMGDAIDEALVGDDEEEETEELVNQVLDEIGIDINSQLNMLLHYSLLYVDPGKFFATIAKPTAGGKVSAQAEAADWVDGGIDDDLQARLDNLRKIKEELGPSWSIKKMISTMIEIHENIVEKGHNSRNIPKKLAYDNNISVDHKSVTDRANNMASIFESNITAQTIYCVSFAN >Et_3A_025110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26982546:26984184:-1 gene:Et_3A_025110 transcript:Et_3A_025110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGDGFGDAAAALRGFLRVVGGCAVLDGGLATELEAHGAELQDELWSANCLVSAPHLIRKVHLDYLEAGANIITSASYQATIEGFQSRGVSREQSEALLRRSVHVAQEARAAFAERDARPVLVAASIGSYGAYLADGSEYRRARTGDYGKSVTKETLKDFHRRRLQVLAGAGPDLIAFETIPNKLEAQAYAELLEEEEIHIPAWFSFTSKDGINAASGDPIMECAAIADSCGSVAAVGINCTAPRFIHGLILSIKKVTDKPVIVYPNSGETYIAETKEWVNSDDASGTDFASYAGKWRQAGAVLIGGCCRTNPATVRAIAQALREDDAVADEFDDYPAVAVL >Et_2A_015952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19493318:19500239:-1 gene:Et_2A_015952 transcript:Et_2A_015952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDEEALREVAAAVTRAPGNQRRGISYSQPLSRDAASARRAALRNHSLDDEHILPSSHSLSYTHHDPSAGVGAGGAGAGGGAYHPPLPPQHHHQPSASYSSGANANASSRRSSGGASEGSMTLERAMSEYGGGAGTLPEFVGAGGGKGIFRVPLRAAMHPGRPPPLEVRPHPLRETQAGSFLRTLAAEPQRRHLWAGAESGIRVWALDEVFAGWGAGARRGDEESAPFREGVPAPPALCVAVDRANRLLWTGHKDGRIRSWRMDLDTAATAPAPQAAVFREALTWQAYGRTPVLSMVVTSYGEIWSGSEGGVIKAWPYDAIAKSLSLSPEERHMAALLVERAYIDLRNHCTVGNVCSLPASDVKHMLADHSRAKVWTVTSMTFALWDARTRELLKVFGMDGQVESAKLETPVMPEQPVEEEVKVKHSKKDKSQGSLNFFQKSRNALIGAADAVRRVATKGTFVEDNRRTGAVAQSMDGTIWSGCTNGCIIQWDGNGNRVQEFQHHTSSVQCIKALGDRVWVGYASGMIQVMDSEGNILVGWTGHSCPVIRMAIGGSYIYTLAHHGGIRGWPLTSPGPLDDIIRTELSNKELSYTRMEKINIMVGSWNVAQGKATAESLRTWLGSVSSDVGLVVVGLQEVEMGAGFLAISAAKETVGLEGSANGQWWIDNIGKALDEGTSFHRVGSRQLAALLIAAWARKSLKPYVGDVDAAAVPCGLGRAIGNKGGVGLRIRVYDRKMCFVSNHFAAHLEAVSRRNADFDHIYRTMAFNKPHGSTASATSVQLHRTVNVNGNQVEEVRPDLAEADMVVFLGDFNYRLYGISYDEARDMVSQRSFDWLREKDQLRAEMKAGKVFQGMREGLIKFPPTYKFQKHAPGLGGYDSGEKKRIPAWCDRVLYRDSRSVSVAECSLECPVVASITSYVACMEVTESDHKPVRCTFSVDIARVDELTRRQEYGEIIESNEKVRSLLQESCFVPDTTVSTGEITLEKQENIVFQITNKCETSKASFEILCDGQSTKEEDGTKSELVPRASFGFPLWLEVQPAVGLIKPGETVEITVHHEDFYTQEEFVDGIPQNWWCEDTRDKEAVLRIIISGSTSTETKTHTINVRHRCPAASAPPPIINRSAAAVPPSNVLSTEAHSKRSSKKSQSRHQQQQQQQDYAQFSSSEQIP >Et_7B_053626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10443142:10446747:1 gene:Et_7B_053626 transcript:Et_7B_053626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFMIITLACLLAAIAYATTTASAAQPQCTFDILVKTGDRDDAGTDSRISLQLSGASGPKLAIRSLKAWGGKMGAGHDYFERGNLDRFRGTGPCLSGTPCNLVLTSSGSGNKPGWYVSYVQVTQVGQGSGASTTRRWKLEQWLAVTAPPHELSTVRNDCSFPAATALP >Et_1A_007547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35777628:35783780:-1 gene:Et_1A_007547 transcript:Et_1A_007547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTEGLDESAISWIKQGTDSPAPAPPRSPLSERPPAGQLGTALPPRSPALHSVDAVGVGLFSPKRLPPVKTTSTRSGLLGRHSVLLSVAGSDDEWEGEERESTASWGLTEDCYGGNCFSETGDEDGGACSSDSSLLRRAMDPCGGGWDDEVTSQPSRRGGGGGGIVRGQSKEFLRVEVRAPGAFAAGKCSSAQDTVDTSSHGRYFEEHKFQTLGTPSAPPIAGDEEEVISNIQEDGVNLPYVENNLLAQIPSFTANVQNAWQSFVAYDACFRLCLNAWARNCMEAPEFLRDECMVLRSAFGIQNFLLHPRYSNQVEGKQVYDKDGNCTVKARKLVKQIEIEVKKIRVVPQKPKLRATSSFRNLYMQAGSEYVRQISKVLKSQVTMLTSTSSTSLPEEMFTCTLELQSSCKDHQRDSISPQYLKPGTGESQLFYLESQVDSILVEVQDNNKVVIGRAKIPVSSFTDTHQEEIIKWWPLYLDEQECVGKIQLCMNLSMSSNNYGSAKMLQGGPAVDTIIYDMVLEAAMRAQSFNSKMLHISGPWKWLLDEFSNYYGVSDSYRKLRYLSYIMNVAIPTKDCLELIYELLLPVMKARDDRTLTRQERSIMQDCEHRIKSLLAAVFENYKSLDEHSATGFLDLFGPISDFAAPALAPAVQIFSTMHDILSKEAQDILRSYLQTAAAKRCRRHMIETDEFMSSNNDSLLTDPMTISAAYLKMKTLCINISREIQADIKIHNQNILPSSIDLPNIAASLYSTELCKRLRGFLSSSPPSRPLQHVAELIIATSDFERDLDSWQVRPVHGGVVSRELFHDYIMVWIEDTRLQLLDNCKAEKAIADVEREIMKALEKQYMETLMPLRDGIPKILEKQVQRLTRRQSIPPYVVPNQLGTFMNSVKRMLDVLHCRVEDILKSWAAYLTITNGHTVFGEQMNSITVMLRKKYKKYLQAIVEKLVYSTQANRNTRLKRILEETREADGESDIRERMHAVRLQLSDSIHNLHEVFSSRIFVAICRGFWDRLGQIVLRFLEGRKENRIWYRGSDYALGILDDVFASEMQKLLGNALQDKDLDPPQSVIDARSILC >Et_2A_014625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29714611:29715424:1 gene:Et_2A_014625 transcript:Et_2A_014625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRCGVDVSSRSGVHVGAKVHVGSRHGVDVSSRCGVGVGVHVGSRRSVEPECSMGVDISTRRGVDVSSRCGVGVGVHVGSRRSVEPECSMGVDISTRRGVGVSSRYGVGVGVHVGSRRSVEPECSMSGVDISTRCGVDVSSRRSVGVGVHVGSRRSVKPECSMSGVDISTRRGVDVSSWCGVGVGTGG >Et_2B_021850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6069590:6075862:1 gene:Et_2B_021850 transcript:Et_2B_021850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERAKEWLLAAGAGAVVGALSAAAVTRLLSRSKRREGYVRDLLESNGVTSGNARPGGHPGAVGRSDLLSDEVVSEQLTRNIQFFGLDSQKKVTESYVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTSKAFCLKKHFSMIYPECQIEARVQLYEPSSEDEILSGKPDFVLDCIDNIDTKVALLAACVRRGLRVLSAMGAGARADPTRIRVADLRESSNDPLSRAVRYRLKKDHGIEGGIPVVFSLEKPKAKLLPFQASKEEETPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVVTQLAKLDFQTEPIVNLDLDHYRILHQRLLEHEEITYGTAEQVLVDAEEVMYIVKELWRGRSARDQSLKDTGRKMWRAVNELMLVRWDKTKPAGVSNLILLKFSEADAHESTTLDQIKEEEPEFHSMVSRVLKRAEMEFFRRMNRFGTGNKLCAVMYGRTESWMRQVIREIVGVCDHFRRRWQHGASALQRYGESINDEADAERREDAIKF >Et_3B_028857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19980646:19982123:1 gene:Et_3B_028857 transcript:Et_3B_028857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RTHHLHPKPIVLGISTSTRAGLQHLLHQLSRENMQGITKALRFHGSQLRHTVLQHMNKGIFSWATLISRIQSESPTVIIPHMGLENITVREILKAKGEAEAGAVYWCNTSHLVHEAIKHMTAHNVGALVVLKAGDEKHLAGIVTERDFARKVLLPGRPSNETRVQDIMTEEDKLITVSSSTSVLRAMELMTDRHIRHVPVFDEKVVGMISIGDVVRAIVDQQHQEVKQLKKYIRGDYY >Et_7B_053371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13899038:13899544:-1 gene:Et_7B_053371 transcript:Et_7B_053371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLATAEVCDANPHLIMNGELRALQPIFQIYVYEDNVLIREFLEEKGQGRVLVVDGGGSMRCAILGGNPVQQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALNSHPMKANKKGMGEKHVPVTFAGTRICDGEWLYADTDGILVSRTELTV >Et_2B_019590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10556834:10565537:-1 gene:Et_2B_019590 transcript:Et_2B_019590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVDPAAPLLATCGGDTVKLFDVTVESGDPCVLAYTPAPGNPVNAVKWNHTNLIVASAGDDKKISLWHKKGQNVGQLPTSTVDRGDDIEECIYSISFSNKGSRYLCSGGSSHIVRIWDLQRKRCIKWLSGHTDTITGVMYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRNSRHLLVTAGDDGSVHLWDTTAKAPKVSWLKQHSAPTSGVCISPSSDKIIATVGLDKKLFTLDAGTRRPTHTIPHEAPFSSLAYNDDGTILAAGTNSGRVVFYDVRGKPQPLTILRAYNSSEAVTGLCWQRSKPVIVNENSSSEVALLGGSSEESVLMPDPLPSTTSSFPSGAVTPNLRSPLTSNPSTLEETPYRTRSISGGPLSKLQAPRGTYNLKDDMDVFSPLVDVQPFTPSSGSWWDEQGSDDTKKYDKPGEKKPSTTRKFPYTDDNNEPHPISDWRSIANSRQDGTSSMTTASMPSWKSEPSISSPETSTVTALPDRLTQRQQISRFGASAFPTGSMALSGLQDSASTASHSLKGSLTSNILMNLQNKGILSNAHASLATSSASLQSSLPSPYASKTVSLGNSDLVGAAQSTPTWRPSTYTDRLSSSSVFSEGLASAFGSPKSKKTGVETKDELLSSLLSRQEAATPSSSANLLSSNMDMSGVLNSVLEKLEGLTKEVQQLRRENQQLRHQLL >Et_2A_016329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23424706:23433939:1 gene:Et_2A_016329 transcript:Et_2A_016329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYRSSTALRQALTPLRINPRPQIQLPNQGPTVVPGIVNWEIHDFVIVCDLGSGNFGSVMEGIHRTTGVHVAIKYLRDLFGFWQEAIMFSQCSGSIGVVQFFGVARDRNRNMMYIVMELGGTPLEDAIRAGHAHGRALSEDDVRVIMKSLLFGLKNMHEKGIIHRDLKPSNILIDSNGRHVEGKICDFGLEIYYDQAVATWSRIPRGTYGYMAPEVHKAKSACIFESDMWSLGAVMYEVIPGSPRIKGCDPAGMITCMRSLFGTLSNEASTSLEVANRPQADPKWATHGVLIRRQFSPQCLEVLHGLLKLTHQKDFLRLMRSRWTGPVVPGIANWDIRDFLIVYDIGSGSFGSVVKGIHRSTGVCVALKSLHDISLSRFWHEAIIAFQCSGSSGIVQFFGVAYDRNRNTLYMVMELGGTSLEDAIRTCHAHGKAPLEYDVCVIMKSLLLGLKHMHEKGIIHRDIKPSNILIDSDSDCVVGKICNFGLATYYDEADTTWCGIPHGTYGYMAPEVYELKSSCTFESDMWSLGAVMYEFITGCPLISGRNSTGTITRMCNLFGSPGKGADLETTAHDESNPADEEKNPVQTTVDSSDKETKTHADQEREIRHAIFHRRFSI >Et_10A_000322.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:23037083:23037826:1 gene:Et_10A_000322 transcript:Et_10A_000322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILSLLLINLSLDFRATIFTPKFRLALSMALELFLPVMSYLFSSAKDAAAVRSRGSTERIDDELLFRTWAILYNMLLVEILRRRLDEIRMRAYSEIFQRAGRAVWLGSLIFFNIKNIGERIVATGLWILCASRLVHRIILAEVLKRSHPDQSWLISSYMAQILPQNDQEPLGEELLKRFKYIVMGEEKLVGEVTADGHKLTKPNNDADPGIITVGKVWQLAEVDTRFKRPRLSFALFFVEGSSTCR >Et_1B_011018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16667562:16671373:-1 gene:Et_1B_011018 transcript:Et_1B_011018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NVAGKRAPVPGRVKKSHRYRPGTVALREIRKYQKSTDLLIRKLPFQRLVRDIAQDFKTALRFQSHAILALQEATEAYLVGLFEDTNLCAIHAKRVKKPRRYRPGTVALREIRKYQKSTDLLIRKLPFHRLVREIAQDFKTDLRFQSHAVLALREAAEAYLVGLFEDTNLCAVHAKRVTIMSKDIQLARRIRGERT >Et_10B_003457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19967690:19977488:-1 gene:Et_10B_003457 transcript:Et_10B_003457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPIAMREALTLTSLGIAPQFVTFTHVTMESEKYICVRETSPQNSVVIIDMAMPMQPLRRPITADSALMNPNARILALKAQIPGTTQDHLQIFNIEAKTKIKSHQMPEQPVKMFDRTANLANNQIINYRCDPSEKWLVLIGIAPGAPERPQLVKGNMQLFSVEQQRSQALEAHAASFATFKVPGNENPSTLICFASKSTNAGQITSKLHVIELGAQPGKPSFSKKQADLFFPPDFQDDFPVAMQISQKYGLIFVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSTTGGFYAINRRGQVLHATVNDATVVPFVSGQLNNLELAVNLAKRANLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGLLRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAYESLELSRLVVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAFLQTKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHNSAFSGNFNLQNLLILTAIKADPSRVMDYVNRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVDVLLDNIRSIERAEEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDATHFLDVIRAAEEANVYDDLVKYLLMVRQKAREPKVDGELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVCVKVANVELYYKAVHFYLQEHPDLINDMLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNEALNELYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRPDVALELAWMNNMMDFAFPYLLQVDDLVKDKIESQKEEKAKEKEEKELVAQQNMYAQLLPLALPAPPMPGMGGPPPPMGGMGMPPMGGWVCPDGSWSDAIIWDASNGKLLS >Et_10B_003239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18000170:18000546:-1 gene:Et_10B_003239 transcript:Et_10B_003239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEELADKLELGQKFYVSGVSNSHKQTRTPGIYILMEYATLQISDYLKLIYVVFAGLQELHWLHQLSVTGGWPSFPAEAALTELIAPEHRNLWIALQKSVLALPVDRLEVAFIFYSSHTPS >Et_1A_008152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4772619:4773932:-1 gene:Et_1A_008152 transcript:Et_1A_008152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSGRAPWLGDALLFTAGAVAATVLLLAFANPFPQPGDYYYNDDGGAAPRSSGPGSSPAAGGGGRTFYDDPRVAYTVDRPITGWDEKRAAWLRLHPELSGGGGNVERVLMAEWVWWVDSDAVLTDMDFRLPLRRYAAHNLVVHGWPRLVYEARSWTSLNAGVFLLRNCQWSLDLMDEWARMGPDSPDYARWGAVLKATFRDKVFDESDDQSALVYMLLRRGSPWREKVFLESDYYFQGYWVEIVGRLGNVTERYDAMERRPEAAPLRRRHAEREHAAYAAARDKALPGAGLAESGVHGWRRPFVTHFTGCQPCSGQRNEHYSGSTCDEGMRRALNFADDQVLRAYGFRHAGPLSDDVQPLPFDYPGAKQ >Et_3B_029624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26642205:26645512:1 gene:Et_3B_029624 transcript:Et_3B_029624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFHPPRPNRNQPHHARPGGDPGPPPLHTVPMHPGFPPQVPNLAAATNPMAAAAAANPFLAMQLLGQAQQLQNLGFLAAAALQQQQQQQPFFPGGFPPNPNQFAPFPGQHAGFNGGGAFRPGGAGFCGPRPPRPMMNPGCNNGGASQGPRPMLNVGLKDRNGRGGSNGEVNHFENKADVMSDFASENGGRNKTSDQKARFNAGRDGRDDRQFGSPRGRGRGRNFNQGRGRGSNNWRETRSNLTSHGSPAAGRCNDIPAPASGGRRKRPPIIYDANEVKQWLEARKKNYPTSVNVNKKLAQSQSGGQNKDEEAQMRRQQLKEVLAKQQELGFELPELPPGYLSETEDQGDERKSKWNTQRRNSRFGNRNSNTKRPRFERGDSRSKRTKVWNHTPRDDGAMPKRRDPTLLQKLLSSDIKRDRHRLLHTFKFMVMNNFFKDWPDKPLEFPSVKVNQIEIDNNIPADELDDLQNADVIMDNALDLKENGDGKELTSIDEETASVGHNEEEEDDEDDGAESSDEDGNQAVYEEQCNESEDDAA >Et_9A_062943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7161673:7166509:1 gene:Et_9A_062943 transcript:Et_9A_062943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRYHGWLASRKEFSDSDHNITTGGINKFYHNLYDTLYEYYVQTKEWYESNPRIDCIG >Et_4A_035387.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22598700:22599083:-1 gene:Et_4A_035387 transcript:Et_4A_035387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAVERRRSGAYEAAHRPPPARPVRGGGGAPGPLKVYCVEPRNFRELVQRLTGAEAAAVPAPPMQRVVQAPAAISLNHGRAEASSAAAAAAAEQLDYASWFSGPLLSPAYAQAGFDGGHHGSGALL >Et_5B_044883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6860804:6882247:-1 gene:Et_5B_044883 transcript:Et_5B_044883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWVLLGDTTPNGEQTDEYLLPLHGGDDLDWFSLNLKTFQIELFCQTNCEMYLEQLHQRSALRLVMASRSIELLAFNDDILAEILIRLPSLSELGRACTVCSVFRRVITGHFFLRRMYLIHPPSLLGFRTFTGRFQPVEPPHPSTALAGALAGASDFRFSFIPDWGFWVVRDARAGRFVLDYAENEDAIFTKIAVCDPLFKRYVILPPIPQELPSDILHARNVEGFHTRKYHVFLAPGGIEGQASKVGAPERFRIIWMVLCPSKIIMFVFSSVTWEWQAIASPSQLDQDPKMSVSMGPRLLWRSYAYGSFYWLLGTDKLFVLETSMMELSYVSPLLFAHRSELAIVELGGSKCGMFLFDYSTDNVVLRLYSANMQNRGDGNTEWFLENEVSLSRSYEYKYRILGDADGKLILQRQSFISEPEFSCISLDFKTLHIQMHEASEPPLMRLTDDLLADILIRVPTLADLGRAAAACPAFRRVITDHSFLRRIRAVHQPLLLGALSVRGGTEFVPAQPHHPSAAVARAFAAAADFSCSFLPSPDRWRHRDFRDGRALLSKLPDGRASSPNSPSRDFAICDVLHRRYLLLPAIPDHLADLADRGRLKKFDPFLAPCFGNEHEVGTSFRVICLAECLYEKLVLFTFSSSNGQWHAAEFDGWGALITLPMNQASNLAISELYGRHFAHGCFCWVMIMRDKLLVLDTRTMEFSSVDTPPVNVAIHPKAIVEASEGRFGMFDICSDGDSGISNLSYSILRNDVQSANQWQSKAKILLPVNYHYDILGVAGGYLLLLCYPHFHYQDLIPISERQNTDCLRLNLKSLVESFLSTKLYIEDALFHWRSASQLPMAPRSRELLAFNDDILAEILIRLPTLSDLGRACVVCVVFCRVITGHSFLRRISTLLPSWASVPTPSSHLIPLAAAYSLAGGDGFRFLFLPCAGYWVVRDVRMGRFILDYDEHQDGIFTKIAVCDPLTERYWCRPLPLRHACTVEGPHSRRYYVFLAPCGEEEHASKVGGSESFRIFWIAHCPSKVLTFVFSSVNWEWRTIASPSWLDQSYEMSWRSYAYGCFYWSLGSDKLGVLDTSRMEFSCVSHLPFAVADGLATVELGGRKCGMFVLDHSTGTVLRLFSAYSQNFCAGTTEWVLENEVSLSSLYQYHILGDADGKLILQTQSFMGEPKFSSISLDFKTLQIQRIRGMLRGGHSIPFLYIGYPPSPSLPTSPSPVRQRFPDPLAPTLSSLTDDLLAVILIRLPTLADLGRACTVSVAFHRVISGRPLLRRLRALHPLPLLGIMSASFIPAQLPHPCSKLARAITPAAAADFWCHFVPSPDRWHHCDFRDGRALLAAVPEGSGSRPGDFDPRALIREFAVCDPLHRGYRLLPAIPDDLAALVHQLDIAWFSAFLAPYDPDEGGTLFRVICLAECETKLLYFIFSSVGGSWHAGEFDDWRPLISGSANPSSGFVPELCTRSYAHGCFCWLMQRSHKLLMLNTRTMEFSFVDIPQCNPTIQRTIVEAEGGKFGMFALCCDLESEKYALLYNVLQYGDNNATQWLMEAFIPLLLNYRYNITDVAGGYLLLQGIPEDLHSFPASERPNIDYFSLNLKTFQSPSRLPDLPAPMVTLTSLTDDLLAAILIRLPTLADVGRACTVSVAFHRVISGHFFLRRLRAIHPLPLLGIMSISFIPAQPPHPCATLARTITPTAAADFWCRFVPSPERWHHCDFRDGRALLAAIPEGSGSRPRDYNPRALVREFAVCDPLHRRYRLLPAIPEDLAALVRQPDIVRFSPFLAPYEEDEGGTSFRVICLAQCQTKLIYFIFSSVGGSWHATEFDGWRALTAGSANPAPRLEPELSRRSYAHGCFCWVMQWSYKFLMLDIRTMEFSSVDIPQTSKPLPRAIVEAEGGKFGMFTLCVDVGYENYALFYTVLQNDGENAARWPMEEFIPLPSSYRYNITGVAGGYLLLQGIPEDLHSFPASEAPNFDYFSLNLKTFQVERFCETQNMILSAPLYAGFPPSLSPPTI >Et_1A_008376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7020908:7023409:1 gene:Et_1A_008376 transcript:Et_1A_008376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCFGSDVPEVEAVKVMAHAHHAHPQVAMAKRVMAASNAHAVMSPGMPGKSPPRAPTASTSSGGGRRPESSGASNGVSVANTEGRILESANIRVFTFAELRAATRNFKPDTVLGEGGFGRVYKGWVDERTMNPARSGAGVPVAVKKLNPESLQGVQEWQSEVNFLGRLSHPNLVRLLGYCWEDKELLLVYEYMAKGSLENHLFRTEPRKGGAAAQPLSWSLRLRIAIGAARGLAFLHSSEKHVIYRDFKASNILLDTHFNAKLSDFGLAKDGPAGGSSHVTTRVMGTYGYAAPEYVATGTSSHARSIHSSFVCLCTFRFDKSSSPAGHARAGHLYVKSDVYGFGVVLLEILTGLRALDTDRPAAQHNLVDWAKPYLADRRKLARLIDPRLEGQYSSRGTQRAAQLTLRCLAAEHKNRPSMNEVVAVLEEIDSMSRAAARLDGSASPRGGHGNQRPRSGSVSDWAGPAHSHPSPRVR >Et_9A_061909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17776013:17780281:1 gene:Et_9A_061909 transcript:Et_9A_061909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCYFVCSFHQAYTVQYFLSYCDCAFLVYYLFYFHSVKLCSQLFRVYIAAFGQSSSTSTSGQSSLGTQQQRFGQATTAVNNPFASKQFGSPTTTFGAQTGSSPFGNTSSGAFGQQQSTPTFGTRPSSPFGSSSPAFGASPTPAFGATSSTFGSGPLFGEKPSFGSFGSSPSQSTPFGSMFQQTQPTTTPAFGATTMPAFGVTSTPAFGTTTMPSATTNPTFGSTSTSLFGATSTSAFGSTVFGSSTCGFGNSGTISFGVSSPGFGVSSTPIFGQSTGSFGFGSSPPVGQPTTPFGTSSFGATPSPFGAQTSPFGSKPATTFGQMTFGNQDGGTRIKPNAQTPDVDSATIGVPDAKLDSISGMPEYKDKSHEELRWEGYQRGDKVLACLLLYKMGVKHFSMFVFKYKSCFCFMESKPIQHWSVAQHSIVNYAGFLLLWRSFKHIQSVWQRFFTFLNTNIPAIHQSSQTSSMFSFQTTTRPALIGGFTGLSNTTSQTLTGQPTPSQSNMAVQPAPVSHPYGTLPAMPQMSIGNAGYSPSVQYGISSLPVAEKSLPSRMLSTVVPRHLSQRRIKLHPRKYNPICDVKVPFFAEDEESPVTPKADAYFIPRENPRSLIIRPIDQWSSRSGIDRQLVSKDSADPEKCKGVSAESARDKTATSSSRPSPVENGDHHETGAATRYGNDASVERLMPKLPHTDYFTEPSLEELAAKERAEPGYCGRVMDFVVGRHGYGSIKFLGETDVSFNNREVVVYNDDSKKPPVGEGLNKAAEVTLLNIKCMNKKTDEQYREGPRVHKYKEMLVKKAEKQGAEFMSFDAAKGEWKFRVKTSAPTGFSE >Et_4A_033972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28624957:28627540:-1 gene:Et_4A_033972 transcript:Et_4A_033972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IQPQFAGSAAKDPRSARRGDLSQVAAMINFVLLISRQGKVRLTKWYSPYTQKERTKVIRELSGLILTRGPKLCNFVEWRGYKVVYRRYASLYFCMCIDADDNELEVLEIIHHFVEILDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKNVARLIAAQDSLVEAAKEEASSISNIIAQATK >Et_4B_037262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17387248:17394858:1 gene:Et_4B_037262 transcript:Et_4B_037262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPEKVGTGADNSKTPDSMEVDAALNEQLSNVAKVAQQNESTTVHKLMSLGDRKRENESTNVVRKHGLETDQSKGVLWARNHTTSESYTELKEKIDKVTEELIERDEDVQYLESLNETLLIMERRTNDELSEARTELIEVLRKMKKTQSIIGVKNVGQIDQEVFLNTRRLKGTDDDLKDAAFFCSKWQEEIGNSGWHPFKVTIVDGVEKREQESFGCRSHQTFDKALQEKYWKASLTKQVRGRRGRRLDGIDFFIDIGAPEHYDELA >Et_9A_061566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13806306:13808129:-1 gene:Et_9A_061566 transcript:Et_9A_061566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KDERVILETQMKMKTSRFGRPKVFTYALSYQKEVPAKGFFTYREARKAKAGLASLKNSCRSESIKKNKRLRVDAHSKLRAEGTSSRSKRGREGSRRKMDAAGANVIRAIDVITLARDKLKMVTADGVSMPSKLRRDLQDLWNTMNTMEVLLRDAIERGSINRRWLREVKEAGLRTWDMIDEFWATKGAPSSKIYTSLAKVPVLCYGFVINA >Et_8A_056488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10828048:10828863:-1 gene:Et_8A_056488 transcript:Et_8A_056488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELITLNTHLKNSLQTDVRSVGKIWPWGGNGGTPRDIRIGSKPRYLETITVRSTDRYGGRINGFLFVYNDYRGQSIPVGFWGSNAKGNEDTIMMGYGDVLNYMSGTVDETGVRSLTFGTNKGVQRTYGYQQSGTPFSMPLQQEGGEVLAFFGRADACLDALGAYVAFGNGWG >Et_4B_038985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6622097:6625236:-1 gene:Et_4B_038985 transcript:Et_4B_038985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRLVPQAAVLIVAVVALLAVGLRAAAAAPVVVGSVKCLDCSPMDVNAEDALKGLKVAVKCKSSADETYETKALAPLDDNGAFTIPLAAELLRDNGELDRDCFTQLHTAPDNTPCVGQAPPRIAPAELGQVDGSNTTSSTIYLAAAADTVFAPVACACGKFKKKHFMFGPPPPPKPDAPKPPTPTYGPPMPTPAPEPKPPAPPVEEPEPFFHKHPKMKKFMHKKKPCPPLVDDDTTKPAAGGNEKILTRQLLLGVCAIVTAMGVANAVVHGETQPVVVGLAKCADCTRKNMNAEAAFSGLQVSVKCKNIRGEYESKAVAPVDKSGAFRVPLAADLVGDDGELKQDCFAQLHGGASRAPCPGQEPSKIVAAPSHAGQKKTFVALAGKVHRRSSPECASAFLCDPFIHHHIHPVHPVVVPVPTHDDHGHSLPPVTTVPEHKPPATTPTPIYHPPAQRDAVNDPQMFKKMFPFFKKKPFFFKLPFFPPAAEESKH >Et_7B_053895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13048138:13051170:-1 gene:Et_7B_053895 transcript:Et_7B_053895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAKPGYRGGFSGGRGWGWRWTGGWEAKRARRDGGWRRGEPVVRWSHAEAMKKKLAVGGDLVVAGRGGEFSGEVLRAQQNVRFGGPSCGSRGFGMSWWDAAAKNAGTKTTAGGGNGGSTWEWGWPEPEATKSKPCDAGGREVGAGGDEEPVAYKWRWTEAVSPEILALVLRGRLPADEVARGAAGVCRAWRAAAASPDMWGEVDTEAWCRRVNCRAKADAAVRRLVARAQGTIRRLSAYRVGDAALTYIAASGKLLNVLQIPMSEVTDQAVEKHAECLPALRVLDISNCLKITSRGIEALGRHCKLLVQLKRNMPPPEPPQGNNSAAKVVQEEALAVANTMPMLQQLELAYGLFSDLSLDAILTKCPLLRTLDILGCWNVRLEGDIEERCCSLESFREPWEPEYSTDSSSGGDYGDNYSDSVAGVKFISKSHV >Et_1A_006250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19633273:19643839:-1 gene:Et_1A_006250 transcript:Et_1A_006250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPAPPPRTGGGRGRWLPRLSPTVARDRCYTRAFRSAGLRQAAVPLADGAVLHLWLPPPAAGPRHPILLLHGFGASATWQWAPFLRPLLAAGLAPYVPDLIFFGASASPSADRSPAYQAACVAAAMAALPGAPQRYSVVGVSYGGFVAYHLAHAFPAVVDRLVLVAAGVCLEETDLAAGLFAVEDIVEAASLLLPQRPEDLRKLVGLTFCQPPRFMPSCFIRDYIRVMCTEHVKEKTELLYALINGRKLSDLPRICQQTLIIWGEQDRVFPLELGLRLKRHLGDTSELVIVKNAGHAINREKPAELCRLIKNYTVDPSVKYRDDRKGSWKNMTRRLAGSSLRKELKAKVVTQDVVRHAARVKLRHVSLNTTFVQGRDKLLSQNEFELINIIYKNTTPRGGQTNNM >Et_4B_039742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28173289:28174198:-1 gene:Et_4B_039742 transcript:Et_4B_039742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLASHIDMLSDDDINDTSICLLQRCGSARDLLVYLDIPPITERLVDVIKDKIPQLPDITSLTVLVHPMCQRHSFGDGVAGLLTRFNNLRYLRLQLEEVPGVTKKDEFWSKEDGRDSASFACDHQDHWESSGMSFVHLQKAKFKGLTGTDCELRFLQTVLASATDIQKVTISFNAGYCLDGRVDDFQLKLLAHGTWTACNDDV >Et_4B_036574.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:4189710:4189727:1 gene:Et_4B_036574 transcript:Et_4B_036574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDG >Et_5A_040963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15885536:15886629:1 gene:Et_5A_040963 transcript:Et_5A_040963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDATTESGKAAAPAPRPAGNFSGADLFLRALLFAATLSALVVLVTAKQTITVPVALLPRPLFVSLPAKFKDSPALIYLLVALCVTCLYSLVTAVISFRFLSGSASAKTLFILVAHDVYYAGIMASATGAAGGVAWVGLKGNSHTRWNEICSMYGKFCRHIGGSAFVSLIASIILVLLAVLNAYSLYRRSH >Et_5B_043887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17168869:17187877:1 gene:Et_5B_043887 transcript:Et_5B_043887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKTSEGGRPWMQTVSDFHGRQVWEFLPDVGTEAERAQVERLRHEFTEHRFRRKESQDLLMRMQFTGLKHLRADMPTLVKLEEGDEVTEDILLASLRRGLGWMSALQADDGHWPGDYSGIMYLMPFWIFALHNEDGGWGFNILDESAMFSSCLNYVTLRLLGEEQQDEHDGLAKGRPITQTILELRDELYSLTYDKINWNKARNTCAKEDMRHQPSAIYRSVSTCLNMFVEPVLNCWPFNKLRERALNHIIEHIHHEDKTTQYVGLCPVTKALNMICGWVENPNSDALKRHLPRIHDYLWIAEDGMTTKIMDGAQNWEIALIVQAFLSANLNDEYGPTMERALRYMKKAQVIRNPPGDQSYWFRNRSKGAWTLSTVDSGWASSDTSAEAIILLSRISLNLNQHSEERQSLFDSIDCLLTFKILNPLESFRNIVADYPTVECTSSIMQTLILFRQCYAGYHREQISRYIDKAAVYIELNQKKDGSWSVWNLKHMFYLWNIYGTFFAIKGLVAAGRTYENSICIRKACSFLLSTQLRTGGWEESYLFCKREVYVEGTSTHAVSTAWAMLGLICAGQEHVGNTNSSVYFNYPNYRNLFPIWALGEYRRGLLTKIS >Et_4B_039364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1228537:1229530:1 gene:Et_4B_039364 transcript:Et_4B_039364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMDGEFEVPEFNPRERVKQQISVPFLWEVKPGAPKRDWVISKPMPTVFACPSPTPAKLIVSVPFQWEEKPGKPLQEASPFHVGADHGGFSASSRSLNPFVVESEEEYALGFDLEAFGFPDSKDTSGAAAWIGGSSRRDDWFSFSDSEDYSHSSGDTSAQDFQFPPAPSEKSWEVANDDDQLTTIQFPRAPSEKSWEVANDDDQLTNSWSPSRNTVTTLEELMVLSRRLRCGKGLPVDIRKKGFSSMLWEWDPSHLHLP >Et_4A_032274.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27850616:27850981:1 gene:Et_4A_032274 transcript:Et_4A_032274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CLHPFQLFFVLLLLPSSKGKISLPVNSPCNLQDLMSGLIDIWTVERERMARTGGVRQVFRSVAFLGAREQQRRTPPSEPDGSRGTAIPSAIAVAAEETAASAPAIVHEDTFLSILVDCFGQ >Et_4B_038120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26463348:26466719:1 gene:Et_4B_038120 transcript:Et_4B_038120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSGDLADDGYAAPRLFSQGVSYTYDDVIFLPGFIDFPADAVDLSTRLSRRVPLSIPCVASPMDTVSEAAMAAAMASLGAAAVVHCNTEAPAQAAIIRAAKSRRLPFVSSVPFFSPSSAPSLNEFGGYEYGLVTERGDSLSKLVGVAVAADAAAREVPVPVSEYMLPAPRSASATFDFEQAAAFLADEGLDYAPLLSDDGEVIDLITAKDVERIRSYPKLGKPSLGADGKFVVAASIGTREDDKRRLEQLVKAGANAIVIDSSQGNSIYQLDMIKYAKKMYPEVDWIGGNVVTIAQAQNLVQAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSSYAKDHDVPVIADGGISNSGHIVKALSLGASTVMMGSFLAGSHEAPGTYEYKDGRRVKKYRGMGSLEAMTKGSDARYLGDTLKLKIAQGVVGAVADKGSVLRFIPYTMQAVKQGFQDLGASSLQSAHDLLRSETLRLEVRTGAAQVEGGIHGLVSYEKKAF >Et_9B_065635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8477380:8479025:1 gene:Et_9B_065635 transcript:Et_9B_065635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMRGEEGQVPVYASGSQVLQKLQEKWKSTKQRYPAMYSSVVGGIILDPCMMVIPIDDHMVHRGHGIFDTATISDGCLYELDSHLDRLLVSASKAKIDSPFPRETLRTILIQMTAASGCKNGSIKYWVTAGPGDFMLSPKGCTEPAFYAVITAATTSSSHLQPREGVKAITTTVPMKHPFFAGMKSVNYLPNVLAMMEAEERGAYASVWVDEEGYVAEGPMMNVAFVTKSGELVLPAFDKILSGCTAKRVLALAPKLVEAGLLKSVRAARISVDEARHCAEMMFLASGLPVQPVVEWDGQPVGDGQVGQISLAVYDLLRHDINSGPDRIPVPYI >Et_10B_003145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17009447:17010145:1 gene:Et_10B_003145 transcript:Et_10B_003145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLVSYIKANGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTSEEDDLIIKLHQVLGNKWSLIAARLPGRTDNEIKNYWNTHIKRKLLARGIDPQTHHLLDETAAASTGKRRMEVRSSCSPAASGSGHSSDDDSRVAPHLGGIDLNLSLSPPS >Et_3B_029645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26766968:26770599:-1 gene:Et_3B_029645 transcript:Et_3B_029645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNFGAQEQVLWPASILAGVLMCGVVYEITRKVSCRCFKSYNGLSDMQKVEWNNRGFSTVHALVAAAVSFYLVLISDLFNENVHNGILIDRKSWLSDSMFGVSLGYFLTDLAMILWYFPSLGGKEYLLHHGLSMYAIGLALLSGKAHVYILMVLFTEVTTPFVNLRWYLDVAGQKTSNLYVYNGLALFAGWLIARIILFVYIFTHMYLHLDQVMSIFTLGAYSVVAVPSAVAVMNVIWFSKIFRGMLKTLSKRKKHSENGKTE >Et_3A_024168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18051183:18057652:1 gene:Et_3A_024168 transcript:Et_3A_024168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYQGGKRPSRVEEGEGREPIPGLVLLAGPVLGRSGGMDLHSARPTPSRLKTRRGGGKSSTRCGCLACSSEQKAAPAALVLLGEDDSRNEFFEAVRYNSVSNHIEFLGLPRRLADGTQNRCVGAGVIDGVRYAQSDAGVIEVWVAEVTGDEWARVFRAGVAQLMELNPEAAAFMSSDKNVLTPVGFHPNYETIVFVALPGAVFALSARTVAEWTYGAHMIAAWQLLSMFSLTSIRLS >Et_10A_002152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2569907:2572142:1 gene:Et_10A_002152 transcript:Et_10A_002152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGLIMSSSMQPSYLPATTESIAKAQEAKDASESISILYQVLEDPSSSADALRTKELAITNLTNYLTKENRAEDLRNLLTKLRPFFALIPKAKTAKIVRGIIDAVAKIPGTSELQISLCKEMVEWTRAEKRTFLRQRVEARLAALLLENQEYTEALNLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPSQQGTIDLQSGILHAEEKDYKTAYSYLFEAFEAFSSLDDPKAISSLKYMLLCKIMVSQADDVAGIVSSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIAHIAEMIELPIEHVEKKLSQMILDKKFAGTLDQGAGCLVIFEDPKTEEIFPATLETIANVGKVVDSLYMRSAKIMA >Et_8A_057341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23814189:23821015:-1 gene:Et_8A_057341 transcript:Et_8A_057341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPTPAPCPRPGLVSTTEEARRGRAIWIMDPFVIWTSHSESPPCFCRLIISGSEHFGAQFALNGETAGEEELKNKTRPMATVVVSAYKGVIESVLAKLKELMTGDKCTNLIAGVSSKDIHFLRDELPAINVLLKKLEDADELDLQAKNWRNQAREMAYDIEDCIDEFSNSVESVDAKASFLEKASHFLKTCRAHLETAWQIKELKCRLKEINERRKRYKVEDCISNTTSVIVDPRISAFYKEAANLVGIDSPKRELAKMVMDEGKHLKVMSIVGFGGLGKTTLASQVYREVGGQFNCNKAFVSVSQKPDMVRLLTSVLLQLKQHPPSHACGVQDIINILREYLLDKRYFIVVDDLWDVPSWNIVACAFPQNKHHSRVMITTRNGDVARACSSNHGCVHNMKPLSEPDSRKLFFNRIFGSEDDCPSHLKEVSCHILKKCGGLPLAIVTVASVLACHPTRLKEQWEYVRSSLSTNEFARKSTLEDMMHILELSYKSLPHHLKACFLYLGAYPEDCVINKFELVKRWVAEGFVSTHTGQDAWIVAESYFNELVNRSMIQLPYKDYYNEVSYCRVHDMMLDMILRRCKEDNFISVIHDPQAAAEVQDKIRRLTINLNGAEDDTMAMTVTRQVSQVRSLALFGGSKWIPPLLEFKFLRVLFLEFFLREMIIDLTGINQLSQLRYLKVECKECLLDGDIPSQLSIMLPGQIRRLQYLETLELPWVSDCSIPSISDIVDLPRLSHLVLRQHKGGLPDGIGKVKSLRTLHGFNLPVSSFENIFGLGELTNLSDLSLHCGKGCPESTTLGWMAALSCSLQKLSNLKGLSVRSSSSCCADALSSWVSPPFLNLEQFDLLDWTFSRIPRWISHLHSLRDLALGAKHILQEDVDMLGTRLPFLVHLSLRMIPGGPAKERRILISGSTGFSALRFFCFDCSEMSCLAFGVGAMPRLRRLLLGLDPREWDKATPAGLDHLPCLEEIRVLTASTAGEGSELMKIKSEQIKDVFQEVANTLPSPPAFNLLPRFRSLSDHVNCCKMNMETFK >Et_3A_023957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15088019:15090288:-1 gene:Et_3A_023957 transcript:Et_3A_023957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLPQLWQRPRQIRAQALAVTAALVLLSALGSVCCTAQDIDVVELTLLAGAQDKGAVCLDGTPAGYHLQTGSGTGSQNWIIHLQGGGWCSTVQGCSNRKMNAFGSSNFMKPQSFSGILSNDQQINPGFYNWNRVYVRYCDGASFAGDSNLEDQNGNKLFFRGQRIWGAVIDELMEKGLADSRQALLTGCSAGGLATLLHCDNFRARFPPNVSVKCLPDAGFFLDVKDLSGQRSMWSVYNGVVHLQNVAKVLPKDCLTHKDATECFFPLELMKSIKTPTFVLNSAYDSWQIRNVVAPDGSYSDSSWLSCKADIRNCNPAQIQVLHGFRNTMVDELKSVEENMGWGWFIDSCFTHCQTVYDISWNSAVSPRLGNKTVSEAVGDWYFGNQQEVAVREVDCEFPCNPTCSSQLPNTAAA >Et_8A_056218.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:16200650:16200802:-1 gene:Et_8A_056218 transcript:Et_8A_056218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVWSVWKERNNRTFQRPPATESQVVDRIKDQIKHWITAGAKKLLGCLT >Et_7A_050374.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:10565869:10566144:-1 gene:Et_7A_050374 transcript:Et_7A_050374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDIDRVTDKDRFGNVRGVNLVRKARYVLRLDTMQGKLLHHKVVLTNMSPTFPNLVASEFSAHLEASKDHQVPLRSSLFCCFPYLPLGNLY >Et_1B_009645.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10512651:10516136:-1 gene:Et_1B_009645 transcript:Et_1B_009645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFIIGNCCSGLARPIDMPAHLRRLPSLTAPAALQCAAAAGFSTAASRGCPLHAALARRGAPAGTALALYSQIRAAASPTPYTFSLLLTSLAAASSHSSSTAGGACDRLAAAGLAHAQALKCGALAHTVVANCLLKLYCALGLLQEARRVFESGDALLDTVSWNTMMSGYGKSGELPAARELFGRMPQRSLVSWSAIIDACVRAGEFAEALMVFDQMIREEFRPDVVVLVSVLKACAHLGAIERGRSIHRFLETEKLRGTPGNFMLATALIDMYCKCGCMEEAWQVFEGVRNGDVVLCNAMIGGLAINGHGDRAIELFRRMLEKGLVPNESTFVAVLCACTHTGRVAEGKKIFKSMKDHGVEPRREHYGSLADLLGRAGLVEEAEAVLLDMPMEPHASQWGALMSSCRMHNNIHVGERVGKRLIELEPEDGGRYVVLFNLYAVNGLWEEARAVRQLMEERGAKKETGLTS >Et_2A_014518.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18746888:18747190:1 gene:Et_2A_014518 transcript:Et_2A_014518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNYHRMSKTDDEFVFFILPTLEGSCSQVTQRQPIHTSERNGATFVHEALTGHEAVCRIRFHVEKEIFQALAQRLRESGLADSRYVSVEEQLGIFLYAI >Et_1A_008096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4311607:4313396:-1 gene:Et_1A_008096 transcript:Et_1A_008096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAFLREEAGAGAVARLDEEELGAKQPQTSSLLLGGWEVIVVKNKQVNATCAPGGKIIVYTGLLDKFREDAEIATVLGHEVRWSRYCKARGSDLHKAPAASFPAFGHLEISVQARLGTCLVDALKLIGKKTEADHIGLLLLAAAGYDPRAGPLVYEKIGKIVGDRRCTANEKDSHPPTIERVRFLSRADIMNEALQLYRGVRSSVLRLIKVGPSFE >Et_7A_052221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5832211:5832901:1 gene:Et_7A_052221 transcript:Et_7A_052221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMAKPASRTSKAAAAPKPKAAAAKPKAAATGAAHPPYFEMIKEAISALKERTGSSAHAIAKYMEDKHGASLPANYKKMLSIQLRGFAAKGKLVKVKASYKLSDAAKDTSPKPKAKPAAAAKAAPKPAKTAAKSKKDAAAKPKKSAAAAGTKRKAPEKKKIVSTPKKSPAAKAKAKPKTVKSPVAKKARKVAA >Et_7A_051589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20925866:20933659:1 gene:Et_7A_051589 transcript:Et_7A_051589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAEGAEDDVDRLSSLPDGVLGHILSFLPTYEAGRAAVLASRWRHILASVHTFSFDQPKSPLTDCESCYYSYVDERQSRNSYFLNAVTAAFLCRRRCAGGRDPPLRAFRVSFDWYHIWDGVMVDLWLACATRNGAEDVELRLEGGRETCDYEDPHRSTKLEDKMYKEVTDSSEDEVDLDLPNFVEPDSDDNDVEQAGYHWQKTLDYSVPKRIFTCVALRTLCLGGCLLNLPATVSLPSLEALLLTGLIDSEEVIQRLISSCPRLVDLTLEACSKVHAISVLDNRLHRLSLRCCHKLLSVTVDSWDLRIFEYKGAIPSPSLLTLHGGAQRMSSCTIDFCGKEFSMIGQFVWFKKLLKMFVGTKHLLLRSACMGSGFEDPIFKRFPVFCRLRYLELTGRLQDYYAVDAITRFLSRPLGYWQGDTTISCLRHHVREIKIVDYQGLEVQRKLLKFLLNNALVLDEVCCVFPKGPLDRQTQMMDEIKGWMAEEAAAAGGHVGVDRLSDLPDGVLGHILSFLPTYEAGRAAVLSSRWRHVFAHPLRRLRELLLQLRRREEEPQLLLPQRRHRRVPLPRRCAGCHDPPLRALRVDAFEWFHDWDGVMVDRWLASATQNDGKDLNHLDIRLESREDSCERVHRYRSTEHEDKVYEQVTYSLEDDDDLETPEEFQNIIVEPAASDDDKRPSILFRLSYVVPKRIFSCVALRTLCLVACIFHLPETVSLPSLETLLLTGLLYPESEKEIQRLVSSCPRLVNLTLEACTRLRATSILRQAPPSIGPALLSVNVDASDLRDFEYRGVVPAPWLLTLHGGAKRIASCSIDFCGKRLSIKGQFVWFKRLLKMFLDTTQLHLRSARLGSGMEDPIFNRFPVFFRLRHLELAGSLQDYDAVDAVIRILKQAPNLEVLSLVIIVPEKYPEYRIQPLEDWEGDTEISCLREHVREIKIVDYQGLEPQKTLLKFLLHNALVLYAFRCVFQKRPQLDDLQNEIMNEINGWATNKSAKITFEWWGVIRQSSSSSSIDCRGNILGTFLDVDIGSICKHILGQRANQSSGTSRKNTTAQQIRPC >Et_2A_016063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20764920:20766338:1 gene:Et_2A_016063 transcript:Et_2A_016063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSALQRGGAAARLAAWEGGSTRDILKTRVAERERGRRRRRDPGRDEFFVPTPESLAWLDSVSLPMVLTAAAVALFTKLLMMEHESTDQERRERKIKNSHPDQGKVRMLTREEWDEIQEVRPRTPFESKLARPHARLRTGEPVRLEDVKDWATDVIADAFTRAQESTKQK >Et_7A_051331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1784037:1785936:-1 gene:Et_7A_051331 transcript:Et_7A_051331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGGSDGAAAGDLTSWQERHAVLVLSKAKELAKVRYDLCPRHMKDKQFWRIYFLLAKTYISPYELRAIQKEKLRRMETESVRSKEVVTVEVEMQESKGNRGSQSEVDLESQAS >Et_1B_012672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34296298:34299146:1 gene:Et_1B_012672 transcript:Et_1B_012672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEMEADMDRVLERFDLLLDRDGGHGSSPCEPAGSDRSRSAGSSAAGAAAGKEADDDGGGAEAAEVDDELLGGGGGVLVQVWAPARDGARRVVATRGQPFVLSPPQCHRLFEYRTVSLTHAFPVGGPGAAAPGERGMPGRVFDAGTPEWTPNVQYYGTGEYARISYALIYDIQAALALPILDPGTGACLAVIELVTTSPRLRFAAEVDKLCKALQAVALRGSDIGCPVPQVCNDDAVTRCAEVAMSEVSDILTTVCEAHKLPLAQAWLRCKRCSTSTEHSALTAAGAPFHLAADDDEGLRAFREACVEQHLRQGRGGLVEEAAAARGPRFCADVTKYSMDAYPLAHHARFCGLAGCLAVCVEMRRGAAGASGDGDDDGGREECVLEFFLPRDCRDGAAQKAAVEAMTATMTERFSNGDLKAITLCGLQELAFEVVEDDECVLRPDPAIMDDTPELELHDYGGYERDSEEADEHPAAAVGSADVEEPKMDNGEDEQKEGEDPKPRVGRRRKKKKIGKRKNEKTVGLEELQQYFSGRMKDAARSLGVCPTTMKRICRQHGISRWPFRQIAKANNSLDKVKRIFESVQYSPNPVAASASQQAPAPATAHHPPAALPCLSSAPGVASSQGSCQEPPPWRNTTLRKPFHAVNAGVVTIKASYKRDIVRFRVPSSAGVEAVKGEVAKRLNMETDAFDVKYLDDDNEWVLLSCDADFQECLEVVPALSTTSSSSGSGAAQPVVRLMVQEVADSHGSSCGSSD >Et_9A_062115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19845815:19846587:-1 gene:Et_9A_062115 transcript:Et_9A_062115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKIVALFFAFSMVSATLPPSAAARAQAFNPADENQDAPAQPLSDAAGLLHPSAAAAAAAPALPGIPAGLLPLIGLLFPPLGIGGLFAGLIPSLLPPQPGAGGAAAPPQPTECMTPLMGMMPCTDYLTNLTVLTPPGVCCDGLKSVISGAPICLCHGMNGDLNRFLPKPVDPVRMLVLPLACGTALPLQTLFACSSHQVPPIMPPVPAQPPATPVTPTASP >Et_9B_065894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16777085:16780651:1 gene:Et_9B_065894 transcript:Et_9B_065894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRRLLGLSAAVSGHLRRGISTAASSRPPWAMIHMTPEMETPARRVSLQLAEAPRISELIVPARLVVHESGSHDISLHTAGFVKASSGDGLLLLNFLECRATFSMVLSRSGTLERRLTGFNVDPEVSRFVCNPLTGQLLRLPDIDGRKKTLTCAGVDLLTQSERPNRPPDRFAVAVLSAPDDGAEDWRFFMRRYLSETGRWETSAVAFPSPLPLVRRMDIDREVIAFAGRLWCVDVSWGAVSVDPFSDRPDLRFIELPRGSVTEPPEGPMEPDLDGYRRIGVSEGRLRYAEVSRKEPFVLSYFALGDDGSTWTLEHRAELGRILPHEDLVSAEHTPRIGVLDPMNASVIHITLDNQVFSVDIDKGTMLGSSPTCLSLKSGYLKSCVLPPWLESSRIPSSGTLPSSKANVKSKTLSDILDVSNELRQRFLCKPMQSILSS >Et_4B_039570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22196502:22199734:-1 gene:Et_4B_039570 transcript:Et_4B_039570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGRRPRSPERHRPPALRKVPVVYYLTRSRHLEHPHFVEVPVASPEGLYLRDVMNHLNTVRGKGMASMYSWSCKRSYKNGFVWHDLAEDDLVLPATEGEYILKGSELVDQSSSPGQFYHGSNGNQKQQSRLKEGTPTRQPLQREPSYPPSPPTVIVREAHHRRSPSVPPPDEDDSPSPRRGHSTGTMSPELEPQRTQMPASGSASPAEFRVHKPTGCMDAATQTDDLGRRSGRRGPEMRKKSLSTDHDAVVREISEYRQSLPRRSAELQGFSRELLSQCPTPVSIASTRGKSESLESLIRADNATNSFRIIEEDDIVVPTCPKLKPTNVLMQLITCGSLSVKDNENIGIVQAYKPRFPNLKFPSPLISRTMMMGELDYLSENPRLMGMKLEEKEYFSGSIIETKAQRDVPADRSGETLDCSRADEDVMDTSRSRCLPRTPLLSSFLHPKSETLKSPVSDCRKSSSTRQDCDLASGDGSRRFTDAASARTDSFRKEEKLVKIEES >Et_5A_040980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1655243:1665825:1 gene:Et_5A_040980 transcript:Et_5A_040980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKTWRVVEDRNMNGMLWRALCNISASKLSLLMMQNETKRSKTRLGDEPPPTLAAVHVPHLPEDIVTEILVRLPSKSVLRARAVSRAWRCITTDPAFLAAHARRRPLEIVIRSRTAVTCPCDHPCGYHCEDTVLDSIPVSDRQQAGRRRLILYPHSTCEPPHTVCLVIASCEGVLLLKVVSGGNYLPRLPKLTTNRSSIVEYGFYCHQPSREYRLLCRYSTQMTSTTTTTHTFYILSAAATEPRLLSMAGVDVIEIGYFGSIPVALHGRLNWLRWPMPCRMVVLDTKEIFVLGGLLVAAEFVNTMQIDHWFLEDYANGRWERRHQVSTPPIHAQLRAAQPDSRVQLYRNETKMSTQLGDEQPPATMPVHVHLPEELVTEILTRLPSKSVLRFRVVSRAWRRITTDPAFLAAHARRRPLEILLWTTVRRPRDHPRGDGQDTVLDTIPVSADVHQAGRRLLIRYPNVMKCKLPDRWLDYKSCLLIASCDGVLLLRVGDGIYLICNPVTRQWAELPRLPASNVLVYGFYYHQRSGEFRLL >Et_9A_062125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19929724:19932213:-1 gene:Et_9A_062125 transcript:Et_9A_062125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPNLLLFFSEQNGANRSQTKQPSREFPSRHSLPAFPSTATPPLPWKKNSLRAPHRPPGNRPRFPCASSSPARRRPSRRWRRPAMGNCCPGSSEEPAAPPSSSGSSRPAGGSGAAVATTTSVSPSSAPAPVKPPAPVGPVLGRPMEDVKSTYTVGKELGRGQFGVTSLCTHKATGQKFACKTISKRKLSTKEDIEDVRREVQIMYHLSGQPGVVELKGAYEDKSSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVEIVHTCHTMGVIHRDLKPENFLLLSKDEHAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRSYGPEADIWSIGVIVYILLSGHGIFNAILRGQVDFTSDPWPRISSGAKDLVRKMLNSDPKQRISAYDVLNHPWIKEDGDAPDTPLDNAVLGRLKQFRAMNQFKKAALRVIAGCLSEEEIKGLKEMFKSMDADNSGTITVDELRRGLANKGTKLTEAEVQQLMEAADADGNGTIDYDEFITATMHMNRMDRDEHLYTAFQYFDKDNSGYITIEELEQALREKGLLDEGRDIKDIISEVDADNDGRINYTEFVAMMRKGDPEVANPKKRRDVVL >Et_9B_064880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19545759:19555582:1 gene:Et_9B_064880 transcript:Et_9B_064880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTVLSLTCAGLGAPEEDDDGAIVGYAKSEHCLDNLKDLQRFLRRDDPQKREVFKQVCKWKIASRNLVPIIENYQDDRNLVITAVKVLVFLTMPVEPSSENVAQQIEYLWDLKAALTRNVAVAVIVSLLEDPLDRLERTSFTEDDWKLVQLVLTLFRNVLAIQEITLPQKASGEATHLLFLADSFLELMFQENVMDLILVLTQHIDEPSEYLRQENLLLMEIFHYLFLGRDPGLVARTSGTGSKDGSKSLCKGNPSSASASSLRKIRNVQRGPQKRIAWDNDLLYIPKEGIIEMLRNFMDQFLSGGYNTIFFKVARFVLAFQHEKSSNDQKSNKGIQPAEVSPSNGRDENLPFHGDICGPVAATLNEDMFNLVISRWREAYESLKETNDYKTLSAAGSLMKSMIDMIYLVVKILPEDSREPQTARVLLYKLFYDQTEQGLTQFLLNLFKSFDSHKQPKSDLADLLETIHIMLQLMEKLQARGALRVAKKTRKGRRKKTSNGKKENSESKTENVEPDNVNPTDGTKCPPESLPDLRPKDPTAEASPLEEGKIDQSGADVPDTLEDAAVNKEGTAHPEGDTPCAGNGDMTRNLIDEDDETSDSSIDDHQPATSEVNFDVSRLISSLANNSVVQNICWLLKHYKSNSFRTNHYIICMLRRFCEDLQLSPMLYQLSLLTAFYDILAEQKLSGSKEYANIVNFLSKVVRKMLRAMKKQPLLFVDILFWKTHRECHCIDADALLNELKKDAGNKDGGIGSSKGWRGPINIADSLGDDEVDFVIPQAPYDENKDGDSSDGDHEDDFQKSTTYKRNRLMSLSESEDDDRNNISRDSQVPKRRGRSIFSEEQEKLIRDLYEKHKDDRKCSHLIAEALDPTGKISSAQVSRKLTQLGLRSVTRRRKLTDESVLPGVLAAEPQSNLDDMNPTLGEHNHGPKSASSRSRRKRLHGLSSSHDDTSHGRSSDEETLQVIKSRNKSKNLPLVDSSLGESQHQEAQQDPDSDDVTLGSMIRSGKKKRLSMSDFEVNEQYQQESSTNVDIKDSASNISQHQEAMQDIHPDDESIGSILRNGKKKRLVTSNFSVNIQEESSRNIDSNVETNDSNITDAPLHHELDSVTNSGDAGEAELLDDFIELEVDNHEKTDRSVTGDGSIATSWDNANSDANGRAGLKRRHRLVIDDDDDDE >Et_5B_043080.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:21935571:21936255:1 gene:Et_5B_043080 transcript:Et_5B_043080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRKLVILVLLLYVITCPHLVASDCTVEQKVKILIKCQSFIRIHNPVHRIHEKSGRCCQAVREVPDWDMHCISLLLTHEDKSKIYILKLLNLGDNCAVETPPPPPPPPAPPMNQVKLITLDLFQTALHASPEKLK >Et_3A_023601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:82330:83221:1 gene:Et_3A_023601 transcript:Et_3A_023601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VKPPNPRAVTTRHEARERRKVASEWCTQPNSTQPGNSSSSSSASSKSSRVPKAPQSKWRSGTQQKIYGRRLLDALRATGGGGAPQPRAVKAAADSALALTARGQTRWSRAILLSTCRRRVLVKAGGKIRRRHHRRPAKAAALEPPALRERKVKERLRVLGRLVPGCRKLPAPALLEETADYVAALEMQVKTMRALADALAAAQLSSASPPPDSEMERP >Et_1A_005728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13175098:13181481:1 gene:Et_1A_005728 transcript:Et_1A_005728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDACFSTQLIDGDGVFNVSGLENFMKEVKLGECGLSYAVVSIMGPQSSGKSTLLNHLFGTNFREMDAFKGRSQTTKGIWLAKAQNIEPCTIVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQIWDAVPKPHAHKETPLSEFFNVEVVALSSYEEKEELFKEQVSHLRDRFQHSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEISNEKLTSFTADEEWQQIEEAVQHDYIPGFGKKLSSLLDRYLSEYDMEAIYFDEGVRASKRQQLESKLLQLVNPAYQSVLGHLRTRTLDAFKESFDKALAKDGFAIAARNCTQAFLEKFDKGSEDAAIHQVKWDSSKVKDKLKRDIEAHVASVRASKLSELCAKYEAQLTKALAEPVEALLDSASEDTWPAIRKLLQRETKAAVSGLESSIAAFELDEATEKELLGKLENHGRSVVESKAKEEAGRVLIRMKDSRDADSMPRVWTGKEDIKAITKTARSASMKLLSQLAAIRLDEDGDNIENTLSLALVETTRPGTTDRSIQSFDTLASSSWERVPEEKTLITPVQCKSLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWALAAMAILGFNEFMTLLRNPLYLAVIFVVFLVGKAFWVQLDIANEFQNGFLPALLSLSTKFVPTIMNILKRLADEGHRPAAPERQREMELQPTNGSSHSNVTSAGSSSVTASEIGPEYSSPMTK >Et_1A_007750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37969887:37985761:1 gene:Et_1A_007750 transcript:Et_1A_007750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAGDAGAGGEEPAVAPPQAAPVAAPAPARHRWPSEIKVYSRKYPRKNPKPPPPPEPSPNPSPLSEALYSIQPSVGHPEAVFAGGTCPAATSSTPSPPPLPAAVVSAPPPSPPTATAALISPSPPSPAPAASISPPPPAPALEAATSPPSAPEPAPPGEPTSAFNDISSGPVPNGHGTDMALVTAEKAEKARKRRARSELRRQLASELDQVRVLSNRLKVAADALAQEAAVTMPLAMVAPPSHSIDAGYMHSQFSPTGPVTPIPARATSVAPVQSLLQRRQLTVSVVHTEPFEKEKRTPKANPLYQNSEFLLAKDKFPPADSHSHGRKKSKHHKKKHRSREARGLDFEADRRLFSHAFKKSSALLSRLMKHRFAWVFNKPVDPITLGLHDYFLIIKHPMDLGTIRGRLSHGQYRNPKEFAADVRLTFHNAMTYNPKGQDVHFMAEQLLGIFEAQWPEIEAEVDYLASCPPLPKEFPPPPIDLRFLERSDSVKHHMALDPNSRLMSHTPNYNARTPSSRKPRAKDPNKRNMTIEEKRKLSDNLQNLPPEKLNAVVQIIKNKNLSVTQHEDEIEVEIDSMDAETLWELDRFVANYKKYLSKQKRKAERAMLARQDAELRAQYAAQQPQTTQEPNIGGKSPMQSKIGLLDFDISSSKVISNFFLIKDLMAAEKLASSMPNQNGTNGQNASSSSSSSSSSTPSPAPAPDPAPSAPARHRWGSEIKVYSRKYPRKNPKPPPPPEPEPAPNPNPLSETLSSIRRSIRRGEAGLPVARTDTAAAGSAPPPKQPGEPAAASGSLSSGPNRDVGVVVPNGHGDDPAAAAVKAEKAQKRRERSELRRRLAGELDQVRVLSKRLKEAAEALAREPPAPAPVPLALPPPPQVVDAGYMQTQFPASGMVTPMSAQLAAAVPPVRSVLQRRPLTVSVVHSEPLEKEKRTPKANQLYQNSEFLLAKDRIPTSDSHGRKKSKHHKKKHRSHEAHSSEFDAERRLYSHAFKKSSSLLSRLMKHKFGWVFNKPVDPVALGLHDYFAIIKHPMDLGTIRARLSRGQYRNPKEFAADVRLTFHNAMTYNPKGQDVHIMAEQMLAIFEAQWPEIEAEVNYLASCPPLPKKFPPPPIDLRFLERSDSFRQQMALDSNSRPISHTPTYSARTPSMKKPRAKDPNKRDMTIEEKRKLSDNLQNLPPEKLDAVVQIIKNKNLSVRQHDDEIEVEIDSMDAETLWELDRFVANYKKNLSKQKRKAERLLLARQDAELRAQYAAQQPQPIQVTQEPNVGEKSPKQNLIAGEQPATSVPDQNDNNKQNASSSSNSSSSSSDSGSSSSDSDSDSSSSDESEAAKPLFVFMASQPCTQHKVDSMYELSSCCASSLFYFPLSAVHEVPGWLQHMEHSGGVGQELFGVMSIREVWAAANMHYSP >Et_2B_019153.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:14205448:14206263:1 gene:Et_2B_019153 transcript:Et_2B_019153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPRWWSRVKAKLLCFGARHGHPHRIAAASPEPVRRSSSNSYCTHHATQPTTVAFAAPPPSPASSSLFASQAASPAQVLRLDASSSSYSSPTTASMFAVGPYAREPQQLVSPPAFSAGLTEPSTAPLTPPPESAGGSLQLLVAGSSPEVPFARFLSPSFQGYPLQPGSPIGGTLVLSPASTSSSPPAWLHHRRIEEGPVAAGSFEAGGDQEGCGARRKPSGEFVFGSAAGEWTTTFVDGDDGERRSSTSMADDAAEGGNRQWPFGSSFPS >Et_9A_063404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23238985:23241475:1 gene:Et_9A_063404 transcript:Et_9A_063404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMDRLKIFVVKEPVVAASCLIAGFGLFLPAVVRPILDSWETAEKVPPPPLNDVVAGVTGKKN >Et_8A_056101.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:20283050:20283726:1 gene:Et_8A_056101 transcript:Et_8A_056101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLYVVAVTMIIYTIAAPTVRADEFVPVNVNDPHVQDVGSWAVAMHNQLAKSALVFNKVVSGEKELAYSTIYHLVLYASNAKYLAYVFEAEDPESRVLNKFQPI >Et_4B_038872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5542173:5549106:1 gene:Et_4B_038872 transcript:Et_4B_038872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATALLPRATPNPTPRPSCSLRHSTPHSVPCNLHLRLRRASARTRVPAAFGKGSAAAAATGRKEEDYYATLNIRRDATLQEVKAAYRTLARKYHPDMNKSPDSEEKFKEISAAYEILSDEEKRSLYDRFGEAGLSGGYGSGDIGTHEIDPYELFNAFFGGSDKFFGDNMSGEGFHYSTKNMNKRVLDVRYDLLLSFEESILGGKREINIFRHETCDTCHGTGAKSSNGIGECYQCRGQGRIMKTQKTPFGTVSQISSCSKCDGSGKVITDHCTSCYGSGKVQVERSIRVDIPGGIDDGSTIRVTGGGSVDKQRGLSGDLYIFVRVNEKQGIHREGLNLYSSVTIDYIEAILGTTVKVETIEGLKDLHIPPGTQPGENVKFSQLGAPDMKKPNVRGDHNFVIKVKIPKSISDLERSLLKELAALKEAKSISVPETTNTENSRKPNHHSSARRKRSFWGSVRNLFRSDEGGQRFASISTQAVIPNWTSHRGKHPVPPLLKGLLMLTAFLFVVTRTSKLRLSRKRNDNAIQANVAAEAE >Et_3A_027240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5664762:5666589:1 gene:Et_3A_027240 transcript:Et_3A_027240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLNHQQMLIKKALAKKPSKTKRISGFGLKPSTGLLKAKSQPPPAAMAQPRRRVRILFEDPYATDSDSDDEEAGPPKSKRFSFELFVGKATPKPVMPAAAVAANTSGGQPESYRGVRLRKWGKWAAEIRNPFTGKRQWLGTFDTAAAASTAYLSASRSFAEEKRRRRGQPAAASSATPSPCTTPTASSSSSASAAPFAHPSPSSVLEATKPAPKPLSPEPAPTPIPPATDAAQLPDDPEFYQDLLRGLQLPDIDPLDFRAGLDALDVSEVPFGLDDDQDLLLGDFGDEELELDLDLDDIDDVFPEMSGYDLGRGMDDFLQTVDFCVTVIYAQKWFVHGHGINKLRWWHM >Et_1B_010370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:160020:162850:1 gene:Et_1B_010370 transcript:Et_1B_010370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPALLRAAAAELRRRARRAPLPLPALSTLLSPPPQAPASSCPDALITIRRPSFHGRLVLPSSFFTSSPLSTSSSSSEPADKASPAPITWVHKWLPQAARPYALLARLDKPIGTWLLAWPCMWSITIAAVPGELPDLKMLALFGCGAVLLRGAGCTVNDLLDRDIDNKVERTKSRPFASGALTPSQGVAFLGFQLLLGLGILLQLNNYSRILGASSLLLVFSYPLMKRFTFWPQAYLGLTFNWGALLGWAAIKESLDPAIILPLYSAGICWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDLTKYWISGFGAACIGSLALSGYNAELAWPYYPFLTAAAAQLAWQISTVDLSNRSDCNRKFVSNKWFGALEEAKHEQSAETS >Et_9A_062811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5267529:5270462:1 gene:Et_9A_062811 transcript:Et_9A_062811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAFTVRGPLKIAARRGTSNQSCGSCKSSYPFSQGWNRDCSSVAIRHVAFHCQAASVRWRSSLKASATDAGIASDEKITVLVIGGGGREHALCYALKRSPSCSSVLCAPGNAGISQSGDATCISDLDISDSNAVISFCRKRGVGMVVVGPEAPLVAGLSNDLVKAGIPSFGPSSEAAALEGSKDFMKKLCDKYNIPTAKYCTFMDAAEAKQYVQQQGAPIVVKADGLAAGKGVVVAMTLDEAFEAIDSMLVQGSFGSAGSQVIIEEFLEGEEASFFALVDGENALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPILTEEMKGIVMESIIIPTVKGMAAEGCKFVGVLYAGLMIEKKSGMPKLIEYNVRFGDPECQVLMMRLESDLAQVLLSACRGELGNVSLTWSPEMAMVVVMASQGYPGSYKKGTVIRNINKAEQVSPAVKIFHAGTALDGEGNLVAVGGRVLGATAKGKDIEEARARAYAAVDAVDWPEGFVRRDIGWRALRQKQMAN >Et_3A_024521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21669191:21672257:1 gene:Et_3A_024521 transcript:Et_3A_024521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTRTHARTNDLGILVGSPTGEIALPNQQVVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFSTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDQNLHFVEAVALKPPEVQIDMAMQQQHEAELAAAAAQPLPDDDDDLIE >Et_8A_056568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12567981:12576537:1 gene:Et_8A_056568 transcript:Et_8A_056568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEVNNFIFREEDKLFQLWYPDTVNSIFGKKTIIDASNGPIHKYVRSTAGPIFAATNLKETFLYEMERIMTESLREWATKPSIELKEAVTNMMFGLIVKALIGFEPKSQSSKELRKNMDLFMDGFLSFPVYVLGTKFYRSMQARKDVQRMLKDLLKQRACAPTNQKRDFLDIVVEELKSGKALEENEAILKDRGVVDSGITWEEYKSMKFTGQVINEIARLSSNSPGIFRKTLKDVQVKGYTIPAGWLVMISPVAVHLNPELLKIYSPLIHGDGRSMMKNFIPFGDGKRHCMGAEFVKLQFAVFLHTLVTKYRWRDMKGGEISHVSEIVFMQDYHIQLLR >Et_8B_058928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11035383:11039995:-1 gene:Et_8B_058928 transcript:Et_8B_058928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNSKTAGPVNTELGNMAFFFSLRSPLASSLVLCFSMLLLGVHGGSRRLYIVYLGDVKHAHPNDVIASHHDILSIVLGSKEDTMGSMVHNYKHGFSGFAAMLTEDEAKQLEGLEEVISVQPSRMYKAKTTRSWDFLGMNYQMPSELLRRSNYGEDIIIGVIDTGIWPESRSFSDEGYGPVPSRWKGECLVGEAWDRNNCSPKIIGARFYSAGVDQEVLKTDYHSARDFDGHGTHTASTAAGSVVEAASFHGLAAGAARDGAPRARIAVYKSLWGQGGFGNSATVLAAIDDAIHDGVDVLSLSLAVADENSFGALHAVQKGITVVYAAGNDGPVPQTLENTAPWVITVAASKIDRSFPTVITLGNNQSIVGQSLYYQRKNSTGSSFRSLEYGGLEISALHFTSINTEKRPIGVFHRRVSERRGGRGSGIIFAQYMTDIVSITAKCEGAPCVLVDLNTGQRIINYITAISSPQARIEPARSVTGEEAMVPKVASFSSRGPSINYADIIKPDIAAPGANILAAVGDSYGIKLGTLMATPHVAGVIALLKALHPKWSPAALKSAIVTTASVTDERDMPILAEGLPRKIADPFDYGGGHINPNKAADPGLIYDIDPSDYNYFIGCIIKTSVSCNATSVPGYLLNLPSISVPDLRSPVTIPRTVTNVGEVDAVYQAEIQSPTGVNMEVEPSVLVFNATNKVVTFQVKLSPQCMLQGDYTFGSLTWHNSQYTVRIPIAARITNHDFYADGGRLQMEKARMMAKALATTLADR >Et_8A_057949.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:109255:110025:1 gene:Et_8A_057949 transcript:Et_8A_057949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQQQQQQMMMSQNHHQNQMMSKESKGSTRKCCPLRRSRKGCMKGKGGPENQQCPFRGVRQRTWGKWVAEIREPNRGARLWLGTFATALEAARAYDAAARALYGDCARLNLQGASSQQQLRGVVGGHQQIIPPPDHPSPNHLSCCSADDSNSNSNSSAVTTAASPTQFDYYYAAAAANMDMDQQLDVAEEEDFEQYVTRLPKAEDFGLEGFPPEVFDDAGDGGGGIWDVNYAAAAWPEATICTMQTTMPQVVPRV >Et_4B_036468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25928647:25929543:-1 gene:Et_4B_036468 transcript:Et_4B_036468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISPRPAPPTAPRPSTSSTTRTRIRGWSRPTGAASRRPHCDGTRPLLRSAAAVTSGVLPQPADRQNGGDDLGTLRRQPELEGAVVLLDEMLRGDTGAADGLEPGEQLALLQACAEKRSLVALRRAHRLLSSRSVHGLPAPILLRIATLYIKLGARGDARRVLEKKGAEDAAAVRAKRREAYEKVVELHEQIRAAGYVPDTRHVLHDVDEDAKARALFYHSERLAIAFGLVSTPPGTPLRVMKNLRICGDCHNAVKLIAKVTGREIVVRDNKRFHHFKDGVCSCGDYW >Et_6B_049226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2055881:2057151:1 gene:Et_6B_049226 transcript:Et_6B_049226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSMLRSTGDRVVIVGGGIAGALLAKTLQNHADVVLIDPENACVLLNLGGGTTDESRSMQWMDGCRKEYFEIPWANLRAKVDQFAVERAVIPHSDYLTNAWIVAASATGVDDSVVLTSIGRAVAYDFLVIATGRTCIRPARRSERLEMFTQDKERIQRAKTVMIVGGGPIGVELAAEIVITYPDKRVTLVHGGPRLLTSVMSPKASTKALEWLRYKKVTVLLDQTVDVSSAPPDAKEFTTSAGETVAADCHFLCTGQPLASAWLLETFLAEHVNADGQLAVDEHLRVGGRKNVFAVGDITDVPEAKQGHLAQRQAMVVSRNLKLLVKGGAVKEEKLHRYKPGAKSGMTVMLGRYDALSELPFMSLIGSLPGAVKPRDLFVSRTRRMMGLKSKPYNNMPRLNM >Et_1A_009158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31275763:31279747:1 gene:Et_1A_009158 transcript:Et_1A_009158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEGTRKKKTLLNFAYRNFARYRVDTPPVGGYTGGMATLDVSSFPSIDSGSVTAAVIWVSTGKNSLIGSNDIQAGWMADGYGSTGCFNLDCYGFVPVNDAPITPGDSLEPANGQSKISLKIFKNKEDGDWWLHFGYDINNMKPVGFWPKGLFTNMKDHAELFAAANHSFPFALLCGLTRCDRSPTRSGGKEHMRANEVPSWHSSVRAIELAVLCCCRVGVDRGQMGTQLDQCLVLPASLRCPTLSVTRRRGNC >Et_5B_044135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20472472:20475887:-1 gene:Et_5B_044135 transcript:Et_5B_044135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKNLACFLLVLLNLRASPVTITSSPTPASPARTSPLMPQLPSQRAAFSSSPTARFVSKATPSTQPLRFRNQAAGNGYGGGAVRSFSASFAFGILSAYSNVSANGIAFFVAPSNNLSGAMPSQYLGLFNSSNNGNATNRVFAVELDTMQNNEFRDISDNHVGIDINGLFSVNSTNAGYYDDSSGDFHSLTLISHEAMQAWVDYDGEGKRINVTLAPLNMGKPVRLLLSVINDLSTVIPDTAYIGFSSSTGLVSSRHYVLGWSFAMDGPAPDIDIANLPKLPREYPKPRSKVMEIILPIATAALVMFVGTVLVLLRRRQLRYTELKEDWELEFGLHRFSYKDLFRATEGFKSKNLLGVGGFGKVYRGVLPVSKSEIAVKRVSHNSKQGMKEFVAEIVSIGRMHHPNLVQLLGYCRRKGELLLVYEYMSNESLDKYLYCKEEKSTLNWVQRLHIIKGIASGLLYLHEEWEKVVVHRDIKASNVLLDSGMNFRLGDFGLAMLYDHSANPQTSHVVGTIGYLAPEIGRTSKATTLTDIFAFGIFVLEVICGQKPIMQDSEENQIMLVDWVVHHWNKGSLTETVDTRIRSDYIIDEACVALKVGLLCSHPFPEARPSMRQVLHYLNAEIPVPELVPAHLSFQILALMQNEGFDSYVMPYPSLESIHNISDLRLHSGMTMKIHMFLLLLPFLSTGLILRSLAATDGGDQFLYTSFTGANITLDGAATITATGLIELTNTSTHKKGHAFHPTLLHLRNASSGDGAVRSFSVAFVFGIVSSYTDFSTHGLALVFALRKALPGALTDQ >Et_5A_042144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6773411:6774778:-1 gene:Et_5A_042144 transcript:Et_5A_042144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTISFSFLHYVTLFLFLLLAQITHSARIPEPKDYEDHISTYIVHADHLAKPSQFTTHEHWYTSMVATHSPRPATNSSGRLLYTYDTVMHGFAVRLTGDEARRMSNTAGVTGVHEDRELQYLTTRSPGFLGLDPGFGAWKDTDFGDGAIIGFLDSGIWPESASFNDSGLGPVRSTLRGTQSAAAAAGSEVRDAGMAMFARGMARGAAPKAKIAMYSMGVELTAAAAVAAMDAAVKDGVDIISASIGDEVRRQFYNDSVSIAAFGAERKGVLVVLGAGNDGPKASTVTNVAPWMTSVGASTVDRLFPANLNLGDGAVLAGQSTYTIKTNVTTMIPLSSTWSRDDTTVWIFQFFTLPALTLSYTAGENLRAYMASTLYPMASFSFPCETVVGENRAPIVASFSSRGPNAIVPELIKPDIVAPGQNILVATANFVHSLLLISSRRC >Et_3A_023379.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24242325:24242642:-1 gene:Et_3A_023379 transcript:Et_3A_023379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPATTTVTVALAVAAALAAAALLSAAPRAEAGQNCICECMKLCAQADIPSMRDCISKCREPACVRSCEEACTRKGFPKLPVEGVALCEYEPLTPNEEHMLQH >Et_4B_039201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8786722:8789788:1 gene:Et_4B_039201 transcript:Et_4B_039201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPLRYLLVASLVLCSIAGLAAKTVDPYKVLGVDKNASQRDIQKAFHKLSLKYHPDKNKGKGAQEKFEEINNAYEILSDEEKRKNYDLYGDEKGNPGFDGGNFGNREGYTYFTGGGPKNSYFTSGDGWQTMGGPGNSKTFSFSFGGNPGASGGSPFGFDLGDVFSNFFGGGSMGGSHHGGSAGSGRTNTGTSGQHSSAAKIHDVTTQVFNKEIADQGITWLLLFYSPQSKGQFVLESVMQDVANSLDGAVRAGKVNCEKEKALCKKVGVSLGKSARLFIYSYSTTEKGSLHEYSGEHDAKSLKTFCQEHLPRFSKRVDISQFSFHTNVLPNLPQVLLLSTKKDTPAMWRAVSGMFHNRLIFYDAEVHDISLPLLKRLGVKNLPALIARTVNGDEFLLKDGISVKDLRSGIRELKTLLDSFEKKNKKLASNQAKKPCQTSQQEENKVPLLTASNFEEICGDKTSVCIIGVFGSNKAKGQLEAVLSEISKKTLVRGQNSGNAISYALLDGNKQSSFLSSFDKSGFKSSDKLLIAYKPRRARFAVYKGEVTLEEAEIFVGSVLNGDVQLSATKQKPVLR >Et_1B_012341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31022527:31027107:1 gene:Et_1B_012341 transcript:Et_1B_012341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARGMPPAAAAVLGIVVLWVAAAFRGAEAKLCTNAFTGLSHTERAAAQLRSAPALEHLHLDRDHEQHLTPTDESTWMSRMPLRALQGEEAFDWLMLYRKLRGAAGEPRPGAAGPAFLSEASLHDVRLEPGTLYWRAQQTNLEYLLLLDVDRLVWSFRTQAGLTAPGTPYGGWEGPDVQLRGHFVGHYLSATAKMWASTHNDTLNAKMSSVVDVLYDCQKKMATGYLSAFPPEFFDWVEAIKPVWAPYYTIHKIMQGLLDQYTVAGNSKALDMVVKMANYFSDRVKNVIQKYSIERHWESLNEETGGMNDADSISGFHSNTHIPVVIGAQMRYEVTGDTLYKQIATFFMDTINSSHSYATGGTSAGEFWTDPKRLAQALSTENEESCTTYNMLKISRNLFRWTKATEYADYYERALINGVLSIQRGSDPGVMIYMLPQAPGRSKAVSYHGWGTKYDSFWCCYGTGIESFSKLGDSIYFEEKGDVPALNIIQYIPSTFNWKTAGLTVNQQINPLSSSDLHLQVSLSISAVTNSQSSKLNVRIPSWTSVDGAKATLNDKDLGSISPGSFLSITKLWNSGDQLVLHLPISLRTEAIKESNGKTFVLSSANGSLTMQEKPEVDGTDAAIHATTTIKGTSMLIEPFDLPGTVITNNLTLSAQKGSDSLFNIVPGLDGNPNSVSLELGTKRGCFLVTGTNYSAGIEIQVSCKSSLQSIGGILEQAASFVQTAPLRQYHPISFIAKGVARNFLLEPLYSLRDEFYTVYFNIGA >Et_3A_026363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7269636:7273996:-1 gene:Et_3A_026363 transcript:Et_3A_026363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAGRGRVVSPPRTVEEIYKDYRARRSAILRAVTHDVEDFYAQCDPEKENLCLYGYANEAWEVALPAEEVPTELPEPALGINFARDGMNRRDWLALVAVHSDSWLLAVAFYYAARLNRNDRKRLFGMINDLQTVFEVVSGVRQSKERSNMDNGGRAKPSIKPSLDYQVENNGKSADEAYGEDDSDHGETLCGTCGGIYSADEFWIGCDICERWYHGKCVKITPAKAESIKHYKCPSCCSKRARQ >Et_9B_065868.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15831851:15833221:-1 gene:Et_9B_065868 transcript:Et_9B_065868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAIAGQQTRRRIRPPEPLVMASTPQTPAAFRCPISLEVMRSPVSLPTGATYDRASIQRWLDSGHRTCPATRIPLASTDLVPNLLLRRLIHLHAATLPPSPSPEEVLSQLAAADGEPAAAEKAVRSLAAKIAPEKGNQASVASAVAADLDSAVPALLSFAKGGAGADARVDAVRILATVAPELVSYLTDKEGGDSGEKRGRVKMAVEALAAVLSAVGVGDEAKEALVVTLAAGDLNRVVTTLLAAGANGAAVLEAILTSPVADADAKTAIADRSELFPDLLRILRDATSPAAIRCMAAAVQVRGRPARASMVRAGAVPSLALAVAAAPTAAAESAMRLLAEAARCSDGKAAIASDAVEVVAAVMGRMIRVGPAGREAAVALLWLCCCSGGGERRMREAVAAAPEAVGKLLVVMQGDCSPTTSRMAGELLRAVRLEQERKGMAAAYDSRTIHVMPY >Et_9B_066259.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:919789:920253:1 gene:Et_9B_066259 transcript:Et_9B_066259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGKVKKAAAGRKLGGGPKKKPVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGRLLSGVTIAHGGVLPNINPVLLPKKAAERAEKAEKSGAKSPKKVATKSPKK >Et_7B_053983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1440570:1443640:1 gene:Et_7B_053983 transcript:Et_7B_053983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASASTSNPSPEAHEQREQETLASAALAIPLLRTAHSRTTAASSNALPDALSPPRAAFRLPGSSSPPPHLDALLARLGPAIASLFFAHGEEEGAAEAGWVGFLKGFNRCCARVPASQSLALLLRVYAAACADAGAPCGVRFQPEDGDEGKVDGELAPEEITVLLWMCWVMAWSGSAPRVAGDGGGEKSEPVAVLLPDLSHLVLSALVSAGAVPDDAGVWAWEVSGGGKGVKVQEFTSWVLSTAVGLGSCLSRYVQERFRSLAADPVEESSVSTANADFDTSDVYLLTCGRAWAISLSLRSKLSEKFLLASVVGMDTDDLLYRSSVHGKGLSRFWSCVEGYKGPMLILLSAFSNGGADNVDADQRWGIGVLTEEGFENKDTFYGSSGFLCATNPIFRMLQPSGKEKNFMYCHMHPQIRVYEAKPKPVGLAFGGTIGNERIFLDEDFSKVTIRHHAVDKTYQHGSLVPNQGYLPVEASVHEVEVWGLGGETTKRQQDMYKKRENIFSEQRRKVDLKTFGNWEDSPEKMMMDMISDPNAVRREER >Et_2B_021537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30446545:30446864:1 gene:Et_2B_021537 transcript:Et_2B_021537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMVKPRSLLQAPKIDCPGTCLGRCGHNWKNEMCNKMCNVCCNRCNCVPPGTGQDTRHLCPCYDTMVNPHTGKLKCP >Et_7B_054446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21457358:21459167:1 gene:Et_7B_054446 transcript:Et_7B_054446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQSSSFWPSRRRSASAPATPRAAAEPPLGCMSMVHYLIFAPGAGCVGRPPSSSHGAVVAPPNQEVGDVGNNRRRDSHDIDKEKRGGFEAPRNSLELDADNLNDIPIGVQIEPVLDALACRKMRAGKATAPSSEAETPRTPSLVARLMGIDGLPENQSPAQQVKKHSRRASTGNSSNKSSEKKKRVIPESVKRRGEALGSSLSCNVARSPRGSTSARASWEGALKENVLDRAAQYMSLPSSPTSAAAEKNKKRRARREDNKEIIRERTTAKETIRQAKENVASRKADSNKENVRKDKLLAVVAQAGGGNKASPPPPAASKAPSPPRVPLAPKHPPTRARKPDGCERFATRAKKPPPGATAGCLSPAASPSTQPAPACQLASRHAAASKLPLEADPEYSYLRTVLERGGFMRPSGLRGRPFKGPHSVASPVDPIVFHLLELELPADESRLGPLRHRWNRKLMFHLAQEILADLLHASAPVSMARVWRRVRSFPAADCRVVGDIDALVAADLASASVRRLGDHPAVAEEAADVADDLAQRVLEDLLGECVAEGEMGIFSFSLRQPVR >Et_2A_018515.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31285244:31285639:1 gene:Et_2A_018515 transcript:Et_2A_018515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKKSGGVDFNALSRHGYRGGPSVLTVRPQEEANWSWSTGKDRDAKDDAPESYEERERTRAAVTEGEKLIGLHNAPPNPLLLEKDKKNESFAQKEKRKRDRGQASRGKNYVEEEKRLLRGSGVYSGFDT >Et_5B_045777.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:9468487:9469206:-1 gene:Et_5B_045777 transcript:Et_5B_045777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVASSDAGERVLREDERGLPRSLRLLAALVEAESLRHAAAASRPANSDLVRAFRGGAAPSVPIGEFLERIHRFIQLEDVRHVIQLQGVCFVLAGIYLTRFFRSPAARESGILVEPCTAHRLVAAALFVGAKFGADNTLPKRWTVVFEISSECAIRASEMAALERQFLRAVDYRLFIDGKCFDWFCGILEKGPPPVERGTCRGGKRTSAAAARAGEEHEDKRRRLRPCLPPPPIASN >Et_6A_047839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:277701:279602:1 gene:Et_6A_047839 transcript:Et_6A_047839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDVANMSAAATVKTVEDGEGTASVVRSMSDKFDLLLVGRRGGVADDNNDHADGSSTSSSSAAALTSGLSEWSECPELGVLGDMLASAEFASKVSILVIQQQPPKNTGSNSNYWVL >Et_8B_059808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2940591:2940948:-1 gene:Et_8B_059808 transcript:Et_8B_059808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDALKSGFYDLQLARDEYILSCGVAGMNRDLLWRPSLSLQFVLIMMGMCDRRSEKEGFAIKADWPILNTPDPTLRTTNKYLQDFIVLMRKVLHKQQSGSKKLKKGAAPPRGPR >Et_7B_055103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7293901:7301849:1 gene:Et_7B_055103 transcript:Et_7B_055103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASGLGVKAAPFTYAAHALAAAAAVMVLVWCVHFRGGLALEAENKNLIFNVHPVLMLIGYIILGSEAIMIYKIFPNWNHDTAKLTHLILHAIATVLGAFGIYCAFKFHNDSGIANLYSLHSWLGIGTISLYGVQWVFGFVTFFFPGAAPSVRRGVLPWHALFGLFVYVLALATAELGFLEKLTFLQSGGLDKYGAEAFLVNFTALIVVLFGAAVVVAAVAPAHVNEEPQVMVLVWCIHFRGGLALEAENKSLIFNVHPVLMLIGYIILGSEAIMIYKVFPDWHHDTAKLTHLILHGIATVLGAFGIYCAFKFHNESSIANMYSLHSWLGIGTIVLYGIQWVFGFVTFFFPRAAPSVRRAALPWHALFGLFVYVLAMVTAELGFLEKLTFLQSFANLDLYGAEAFLVNFTALVVVLFGAAVVVAAIAPADVEEERKGYDPLRED >Et_3A_023783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12187632:12198012:-1 gene:Et_3A_023783 transcript:Et_3A_023783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTIASSCEAPSSGAGLGDWAGFVFLSACSQRALLSAASAAFLLALLCLAAAKLVSRWRDDKRTRHGNGELNGADKPLLDRPRRGDAVGAGFVVALAASALLAAFYAVLLVLSLVARGFVVGEAAFLALQFLAHASAAALVAHEKRLRAAAHPLTLRLFWLAAPALTALLAASAIYRLASGAAVAVLPDDALAVAALALSLPLPILAVSGDDRLEDADDRLEDAEKNVTPYDTASWLSRATWAWMNPLIRRGHAAALDLSDVPTLAPPHRPERMHSLFLSHWPSSSSATQATTHPVGRTLLRCFWPLLLLNASLAILRLTVMYVGPTLIQSFVDYTSNAAATGDRPLGVGARLVGTLLAAKAAEALASHQYNFHCQKLGMQIRGALIVALYRKGLRLSCSARQKHGLGMIVNYMAVDAQQLSDMMLQINYLWLMPLQVGVALALLYTYLGPPVMAALVGIAGVTVFILLGSRRNNRYQFRLMGERDKRMKATNEMLSYMRVIKFQAWEDHFAARIGRFRRLEFGWLTRFMYSISGNIVALWSAPVVVSALVFATCVLTGVRLDAGLVFTATSLFKILQEPMRNFPQAMIQASQAMISLQRLDSYMTSAELDEAAVEREPAAAAGCDGVAVQVKDGVFTWDDEVDAGQEVLRGVDVEVRAGALAAVVGMVGSGKSSLLGCVLGEMRKISGKDCDIYLLDDVFSAVDAHTGTEIFKECVRGALKNKTVLLVTHQVDFLHNADIIYVMKDGAIVQTGKYDELLQAGTDFAALVAAHDSSMELVESAAQEGDHGEMPISRQPSSNNKQQSSSGNGGDSSSSSIVAPIKEKASARLIKEEERASGHVSLAVYKQYMTEAWGWWGPLLVVAVSTVWQGSLMASDYWLADQTSAENAASFRPALFIDVYAVIAGVSVVLVAARSFLVAVVGLKTAEKFFTQILNSILHAPMSFFDTTPHRRIRPTSTSSCRSSSG >Et_1B_009837.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31656694:31661147:-1 gene:Et_1B_009837 transcript:Et_1B_009837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLGASSTNLIPLLAFLIFSCSLLLVTSDDSDTDREALFCFKSQISDPTGALTSWSNTSLNFCNWNGVSCSAQTPLRVTALNLSSLGIGGSIPPCIGNLSSITSLDLSNNGFRGNIPPELGRLSQIIYLNLSINSLDGRIPAELSSCTRLQVIGLRKNSIQGEIPPDLTQCINLQQVVLSSNKLQGSIPGGFGLLRQLKTLNLSNNALTGSIPSLLGSSPSLVYVDLGANQLTGGIPEFLANSSSLQVLRLMQNTLTGEIPPSLFNTSTLTTIYLHSNNLVGSIPPVTATAAPIKILSLAQNRLTGEIPISLGNLSSLTRLSLAVNNLVGTIPESLSNIPSLQMLILTMNNLSGQVPRSIFNMSSLTFIEMANNSLTAQLPPDIGYRLPNLRSLILSTTRLIGSIPASIVNASKLEMLYLLITGLTGSVPSFGSLPNLRELDLAYNQLEAGDWSFLSSLANCTRMQKLLLDGNGMRGSLPSSIGNLPSQLEWLWLKQNKFTGTIPAEIGNLKSLTVLYMDMNMFTGTIPPTIGNLSNLLVLSFAQNNLSGHVPESIGNLVQLTEFYLDGNNFSGSIPAGLGRWRQLEKLNLSHNSFDGSIPSEIFKISSLSKSLDLSHNLFSGPLPMEIGNLINLGSIQISNNRLTGDIPSTLGKCVLLEVLHMEGNLLTGTIPQSLMNLKSIKEMDLSRNKLSGKIPEFLATLSSLQALNLSFNDFEGPVPSGGIFSNSSRIALNGNHRLCANDPDTTLPLCPELTSKGKHKSIVLKIVIPIAVAALVVSFICFIIILVRRRKEELSSQHSSSNLEKITYEDIAKATNGFSPVNLVGLGSFGAVYKGIFPFEDDPVAIKVFNLNRYGAPTSFIAECTALRNTRHRNLVKVITLCSTVDPTGSDFKALIFQYMPNGSLERWLHPEDHGYEKKRILSLGERINIALDIAYALDYLHNHCATPVIHCDLKPSNVLLDHQMTAYVSDFGLARFMCATSTPAPANSTSLADLKGSIGYIAPGERNYNYSFVGILVLTCE >Et_2B_022214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1444246:1447988:-1 gene:Et_2B_022214 transcript:Et_2B_022214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAIKCVTLGWTGADLSLTYPNVGGPFRSVNEAVHATKRFVDELWREARQKELLMTQALAASYSLLKCHMSKENRSGRSTVAAGSVVNLTENDARKNMEDDKEEEDDEEDDDAEETRWPAFNPLWTPMFSPQVDRDFTGVAARAKASFYLAALTAFASPDDELPYAAAPASLNPQKNFRWPGSAAAAGAGEATRQALAAAWCPCWCAARTLGDGDDDDEEETRLQERHMLSVVSAGAGAAVTALSALRSASSFPRRRFSSASCSHQRLRYSQSTSVCFSLVRARRFWNHTSTCRGRRPRLFASATFCFCN >Et_2B_020843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24119381:24125822:1 gene:Et_2B_020843 transcript:Et_2B_020843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TQDVVGARAVRDDSRRRGVPELRPLRAAPTRPAPSYSSAVDEIFTPFDARHLVSVLTTRESFVERLYYVEAPDIDREAPGDGERGRPARASRVHAVRRRRLGRVNLAPSTLLLFNAASSCSAVTASCSACRVGVRGRCGWRPMALLWASR >Et_10A_001774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8051962:8052440:-1 gene:Et_10A_001774 transcript:Et_10A_001774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVPILRDLLYAAYIYVRALRVLPPPVRRTRKAEAESSVDSNRAVAGGSPTRVSGPAVSDHAGGDNISWQLTAFSYAYCVTPFMFSVHSGDVCTVTYFLHHGGDPMKTDAKGRSVLHYAVCTGSSPACLTKYFV >Et_7B_055675.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:2126408:2127826:1 gene:Et_7B_055675 transcript:Et_7B_055675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAPTPAPHVLVVPFPAQGHALPLLDLATLLAARGLRLTVVTTPANLPLLSPLLAAHPAAVRPLVLPFPTHPSLPAGRESTNDCGPEYFAVFIHAFAALREPVLAWARSQQSDPFVAVIADFFCGWAQPLAADLGIPGFVFSPSGVLGTAVPHSMFRRLVTRPAGSDDMYSITFPAIPGEPAYQWREGSMIYRNYVEGRLDEQVRESVRQNFLCNLESRGFVSNTFRALEGRYLDTPLEDLAFKRVWAVGPVAPEADAAGARGGEAAVAAANLAAWLDGFPEGSVVYVSFGSQALLTPAVAAALAEALERSAVPFVWVVGAGNGGVVVPDGFEARARNGMVVRGWAPQVAALRHAAVGWFVTHCGWNSVLEAVAAGVPMLAWPMAADQFLNARLLVDEARVAVRACSGGVGVVPDAGELAAVLADAVGEKGRDLRARAKVLAAEAARAVKEGGSSYADLEALVQEIRKIS >Et_7A_052677.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1255762:1256652:1 gene:Et_7A_052677 transcript:Et_7A_052677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTDKYSALLPLHPKAHRQGKAAAPSATRFDAALAARLRGLLPLPASQLAALARLADLLALTLGDAVPALAGEGDAAAVAAHLDAGVALLDACNAITARLERLRRRRLLARFALHLLSSSVGRARAALADRDNGAASPPPAFPSLPFHQPRGRLSGAARVLVAVNAVSSLAAAAAAAVLGGRGGALLAAAFPLVSGDFPWANAFNAVSSQLSAVAANSGEVDAIDEAVQKLASVLNGEGGGIDEAAQEVEKRTEELTARLDRLSDAVNGVFRAALCLRNAELGSFIAGPAEKTCK >Et_7A_051595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20977365:20980055:-1 gene:Et_7A_051595 transcript:Et_7A_051595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATPLPILFISLATAIFVILLFGFRSKRRHLRLPPSPPSLPVIGHLYLFKKPLHRSLSRLAAAHGPVLLLRFGSHRVLHVADPAAAEECLTTHDVTFANRPRLPSARHLSNGYTTLGSSSYGPNWRNLRRIATIDVFSSHRLLLSSDVRAGEVLDLARRLFKAATGTTPARADVKARAFELVLNTVARMIAGKRYYGDDDDDAAATEEAERFRAMVREYFAMHGASNLQDFVPVLGLLDIGGANRRAIRLSKARNEWAQRLIDEHRAAAATAGREQGKKTMVGDLLEMQATDPEAYSDKVIRALCLTGTDTSSGTIEWGMALLLNHPAAMAKLRAEIDDVVGKARLLEETDLPNLPYLQCVITETLRLHPIAPLMAPHESSADCTVAGYDVPAGTMLLVNVHAMHRDVAVWGEDAATFSPERFEGGKSDGKWMLPFGMGRRRCPGEGLAGKVVGLALGTLVQCFEWRRIGDAEVDTTEGSGITMPKAVPLEALYWPRPEMVPALSAL >Et_3A_024925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25393215:25393678:1 gene:Et_3A_024925 transcript:Et_3A_024925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGVRRALGALRSGSPPTLSTTLSRQAVARSPELAAASLPRASRRRLAISRVPVAVLGGVQGSLMPMHSATASSLLTSMLGLKPGSWGWLSEGKLVQLRTLCLRAVNDACHFFLW >Et_8B_059825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3017985:3024863:-1 gene:Et_8B_059825 transcript:Et_8B_059825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHAPDDVIVQVNAAAVAAVDERSSTSQIEEAVGDDGAVKAGTGITRRTFSESYKMRHRNPLEFTTWQVALLSYQSLGVVYGDIGTSPLYTFSSFTLTNPGEEDFLGILSLILWTLTLISLVKYVLVVLHADDHGEGGTFALYSLLRQHVNFKGSMPVPVTRLASDINLKFHSKKRNLPSRMHEFLEGSTTAQAVITYIVLVGTCMVIGDGALTPSISVLSAVQGIQSRSSNITQDHVVILCVIILIFLFLFQRYGTSKVSFTFSPIMLMWFALISFIGVYNIIKYYPPVLKAVSPHYIYIFFARNKSAGWEQLGTVVLCITGAEAMFADLGHFNKSSIQMAFSFLVYPSLILAYAGQAAFLIKNPSKLSTTFYSSIPEPLFWPMFIVATLAAIVASQALISASFSIIRQSTALGCFPRVTMKHTSEKYEGQVYSPEVNYFLMIVCILITVGFKGGPEIGQAYGVAVIWVMLITTLLITVVMVIIWQLHISLAGSFFAVYTTLEGLITVSLLYKIAQGGWVPFAITVFFLIITLSWTYGRRKKNEYEASNLMDKQEFIKTVTMSNRVPGICLFCTDLMNGIPPIVRHYVHHMGCLRELMVFVTLRDLPVTSVLPEERFLIDKLEPFGVYRCIVQYGYMDNRNMDDDEYVISVIASLKEIAENEDEIMAMDSALVNGSTFVHGRIILKMSDNHNCFKRFVINNLYRFLQKNFRSNISGLKIAPEGQSLSQIPRIPALLTKASTRPKASQAFCTAASKVEPPVDTSSSTATARDASSEAEALISSQSERRRSVRRAAATTRQPARARSRQKSRPMPDEAPVTNTTCPSSLLHGAAPAVVEAIAGALSPLLTRHSGRWPHSLV >Et_1A_006327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20773518:20778241:-1 gene:Et_1A_006327 transcript:Et_1A_006327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSPANPGTRLAAYPTMADIMAASRAQGLRVRFRTVGPFFRVTATRGEGEDAVELGRAEGVVRPWPGGAVLHLDSMRMTRATLGVSDRPLFGLGIFLGAVAVRHGYDTGCARAELLAINDTPLYHEKLIRFYTRMGFKAVHEVDGSSMADLAHMLVWGGRGTRMDANIEDLLIKWGKRFRSQEHQVNKKTGARVRVGALGKLGGRGALNDPITQSGLFRLANLDFSHETTGSHENGINEP >Et_3B_031086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1500097:1501635:1 gene:Et_3B_031086 transcript:Et_3B_031086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEQSSSHHHQQLPKYGTGVPATGVARASKKNKPKKIPQRGLGVAQLEKLRIEEQKKMDGGALAVSSSAHSRALAAGGGGLGHLLPLHPPPVPLSALTRPAADGGGFHCGFSPVLWDPAADPAMKHPYMRSLCPQPPLPMVSTGLSLTASSSHHHQTEPPSNQMYSSSGSRSSAAPPPEDDRESAGMLDRSWPFMFEGMTAATFRTTTGKAAPTTAPFAARMAREAGFADVCPDLSRHEFRTTNYFSNTNASYSDWPPDFAHCKNSKANGWAGEPAFLTLSAQPATLMKQPHHVIPSVHLPEYSDFGVMQPQARPSRPFYSFLPAGPVRCERSLSDYRADMSDGVDLELKL >Et_4A_031855.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:23933621:23934550:1 gene:Et_4A_031855 transcript:Et_4A_031855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GFNGTLPREVHKLPTLQLLDFRDNNINGPVAVAPFPELRRLHIDSNNFSSVPTGFLFFFPSLEVLTMSNNNQSEPWTLRKAAPNLPNLRVLQASNASITGTLSLFLGNSTSFPNLAQLSLADNKLNGPVPATFVSQTLRYLDLSNNKLTGSIEFVMNFLNIKAVRLDGNAFTGRLPDFSKLPKLRYLSVAQNQFTGYVPASLAEHTGLKAVYLAGGNVFQGPMPQFGPSVQTDVLDAASRGSFCRPEPGPCQESVTQLLSVAAGFKFPAMLVASWKGNDPCSRVAGRPLRHERSGHRRQLVPSRARRDT >Et_10A_002239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5268777:5273620:-1 gene:Et_10A_002239 transcript:Et_10A_002239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLSKVDSKIFFHKDVVMEYMEMKELIGGIGEEKARMEQEALRRAAAAPPELLVPVLGQLAAAREDLAATKKVLAARNEELAAMKEELTVEGLLPEVKASRRNRQGEASTQQSTNSQVPRAPIAPSLADHCASTDDELEGLRETLIKEFMQIDSGGRVLAVKQMGRLDEKPFHDACAAKLPPKEAKREASKLYTTWEKLLKNPSWKPFKMDAVGDNSQEEAIDVDDDMLQELKRAWGEGPHNAVVRALMEMREYNRLSSRSTTYELWNYKAGRKATTLECVDYMSKQVKQLSLSKRRKTRGQCNGCGLQHSTGWSAGTA >Et_5A_042809.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4732097:4732309:-1 gene:Et_5A_042809 transcript:Et_5A_042809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLAFRAACTYLWPPAAAAPAPAPVVMMRAPGAAGRLISRVAFLADAKRYFELLRKAGVEAAVAAFAA >Et_10B_004079.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:11316490:11316996:1 gene:Et_10B_004079 transcript:Et_10B_004079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQETAEPAWPQWTSLLLRAMSRRRTWVALFLAVYAALLSSSWSLLASVRAWYYSASAAAAAAPAWPAALYASVMYGAVFGLLSMGAALAVAAPAMLVTWITVLVLLAFAGKPRRSLVAEGRRATRDIAGLALRVLLREGNAIAALCAAGSFAALLLGRRDDDGVES >Et_5A_042747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3586852:3587525:1 gene:Et_5A_042747 transcript:Et_5A_042747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVVRIFFGGTVNKNGELVDMSEQVVSFVKAPMFSEVETRAASLVGARSGLRFRGCFDAGGGGRAHYVLLELGTDDQWSLYKECLKDAQVKIAECCKLPETEVLEDEPVDLLTQEGLDVPDCQIASPVWEDVDAVVSPPGVTTDDTLVLNNDVPDNTFEEEDREREEENNVEQLLGSDSDSENEEGDAHATGEEDTHENGERKAVVV >Et_4A_033177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19553810:19557070:-1 gene:Et_4A_033177 transcript:Et_4A_033177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEDHCNGLLLSYDFMLNPATGRRAPLPRPPPPAHTGMEDFMQDSFLVCDPTVSSHYEVFSIPHVETEDEDVELVRGVDSDGCRTFTYVLQAKHRDATLLASEWPPSPFILNVFSSVTGQWEKRLFHWDGEAAGTIEDMRLDYPPVVQPPPCCLLSGLSLPNNKYQVIKPPIRDLCKSPQFHLGRSEKGIYYALFDEQDRLRVWNLDESRGNTEWELNHDSVCSLLKPSLHCIQKVYGPWMLHDITYNRDKEIDALVEHESEWNSEDENIPHSQDSGQNRQVDGYLEILAFHPYKEIVFFLRRTLSRLIAYHLNTSKLEDLGSLSPDNTEIDDIGISFESKKGKGGKNTILSGMARKNKMANVVRAVADSCTYACSSM >Et_1B_010133.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25411053:25411220:1 gene:Et_1B_010133 transcript:Et_1B_010133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLTVWATWKERNRRVFKNESWLPQRLINEIVDEAKLWNWAGYKELSHYCSLDG >Et_3A_023516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32191612:32192694:1 gene:Et_3A_023516 transcript:Et_3A_023516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRATDSTGAGLAKRKEALKKKAEELATRCGVDVAVVYEGSAPGDDDFSWPSEEEATATLRRFGALPLERRTENRADNLEHRLAEERSKLHRVQACGIAGALGSWDGSLEGMGEVELRELLASIDVSLAAARSRELEGQPQSGGAADFARILRGPARTDEVAAETSGPVRARGASKKAPRQRPRRGKADAGGGVPALPLEENPKAPNAAPVVADQVTARNAVYDAAAGDDVQILQPPDGAAADDEDAEWMRNLVEALKENPRPSNAAPYCSETEYLYMGGYVVERDAHDFISFDLEMPPPCIGPSSPEPDDGEPLNLWSWE >Et_1A_005127.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28247657:28247854:1 gene:Et_1A_005127 transcript:Et_1A_005127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDEAVAVFQGLSFTLDAGISKIELEADAINIRTALTSQAFDMATDGVLFPSFVILRLHRCEGDV >Et_9B_065090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21075976:21076616:-1 gene:Et_9B_065090 transcript:Et_9B_065090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLTRGLATCSPRHATASFPSTSRYICLHPWAPSSPRPGSDGEAMEEYQEADILWPGSDDKQDRNNKVIDADADATSIVPAKKVSPPEPSSAPVDISRRKRRCRPWASEHAMFDEISTVAGDGDDEDGSPIVPPHLLVARRRIRGGRTAAYSMCAGKGRTLKGRDLRDVRNRVLKMTGFIEK >Et_9A_062408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22596396:22598485:1 gene:Et_9A_062408 transcript:Et_9A_062408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSAAAVALASLPHFPAASSSSSRVSARSHRSSSRSSGVPTIRCSSASPNLSQGAPAPAPSKPRIELEFVGPKPEADGSYPVDRKAAASGDKLLRDIMNENKIELYAAYGKVMNCGGGGSCGTCIVEILDGKELLNERTNTENRYLKKKPESWRLACQTIVGNKENSGKGSKVLHMPMVAENEII >Et_4B_036337.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:18489928:18490161:1 gene:Et_4B_036337 transcript:Et_4B_036337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RAQPAREPPAPSLGEDAPYSGAGAVSSAWDNGTSPSPREATLAGSTRGGGRFFAKLPAKRRGIPTRCTCPNSHGNKC >Et_5B_043213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18116895:18117167:-1 gene:Et_5B_043213 transcript:Et_5B_043213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQGRHPSPPTLGCPPCTTAAGTMSASSCKPPRRRRRTTMTASSSQMVRPLPEGIGGKVYAATTIIIISVPNMQACMCQ >Et_1B_013311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7209412:7214863:-1 gene:Et_1B_013311 transcript:Et_1B_013311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRARYPPGMGNGRGGNPNYYGRGGPAPPQQYNHQHQQTSAAHHQQYAQRQPQYHNHHQQQQQQQQQWLRRNQIAREAASAAGTSEPKALAPSTAADGVDSSSQDWKAQLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIIRLYQPVHVLVGTPGRILDLTKKGVCILKDCSMLIMDEADKLLSPEFQPSVEQLIRYLPASRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDQAIYCQ >Et_6B_049589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:748713:752474:1 gene:Et_6B_049589 transcript:Et_6B_049589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGRTLLLPLAAATVLVASTIFLFAAAGSRWRPADTGLPVPTNAARGTDFSTTVVPENVTSSSDSSSGKGLSFLDENGRPDDPGSGSGSTSAAVRCDPRAAAVRVFMYDMPPEFHFGLLGWSPPAGAGDVWPDLTNGAAPPPRYPGGLNQQHSVAYWLTLDLLSSSSLPCGAAVRVADSRDADLVFVPFFASLSYNRHSRPVPPEKVSRDKALQENLVRYLMAQPEWKRSGGADHVIVAHHPNSLLHARAALSPAVFVLSDFGRYHHRMASLEKDVIAPYKHMAKTFVNDSAGFDDRPTLLYFRGAIYRKEGGSIRQELYYMLKDEKDVYFSFGSVQDHGASKASQGMHSSKFCLNIAGDTPSSNRLFDAIVTHCVPIIISDDIELPYEDVLEYSKFSIFVRSSDAVKKGYLMGLVRDVSKERWTKMWKRLKEVDKHYEYQYPSQKDDAVQMIWQALSRKVPAIRLKPAVTVSLNLNLGAGTSKNNEPSKRFVQLTEESGVL >Et_3B_031266.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23255817:23256764:1 gene:Et_3B_031266 transcript:Et_3B_031266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGQPLHTDSSPASAPQHRPPRRVGSMPSLHASVVPSYPPPESDGAESWVWSQIKAEARRDADAEPALASFLYATVISHPSLDRSLAFHLANKLCSSTLLSTLLYDLFLASLSSHPTLRAAAVADLVAARARDPACAGFAHCLLNYKGFLAVQAHRVAHVLWAQSRRALALALQSRVAEVFAVDIHPAAAIGKGVLLDHATGVVIGETAVVGDNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVRIGAGAKVGAGSVVLIDVPPRCTAVGNPARLIGGKKGEDVVMPGESMDHTSFIQQWSDYTI >Et_9A_063162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1324515:1325882:-1 gene:Et_9A_063162 transcript:Et_9A_063162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFNGSVVGLTRQALPEHALPGINGQVISTGFNATPNLWDSSRNPFFPGMIDKQVADLCIAHGLASSSSSKLKGKMQKPLPELLLKEHGLPVGRRQQRRRDDSDDRKGERHTSPTSASSSGTNTVGLSAKHRHDVLEVVSWGAMIPTGVALERFLKRFDLFWFYAHVAAQDLGFVLGVVAIVAGFKLDDDDGVPSPQTRQSASSCWSACACS >Et_7A_050254.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15716648:15717067:-1 gene:Et_7A_050254 transcript:Et_7A_050254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPEGSPRLHSSLLRRLGLGVLAFNSALVAYRARDDLASVAFVGVAYAALLLFFRSLREFKLAGRLEDRGKVKGVVWALSTLLTSMFAAMVAPLVPPLVGVVLWLAAAAMAGSRSWALLIPNPEELLPCASTLADFCC >Et_4A_035199.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:11771546:11772763:-1 gene:Et_4A_035199 transcript:Et_4A_035199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLSCPRLQSAASTTASTSARPTTHFISFRAARRNRSGPLLASSAPPTPAPAPAPAVGEQPFRALPASETTVLVTGATGYIGRYVVRELLRRGHRVLAVARRRSGIRGRNSPEDVVADLAPARVVFSDVTDPAALLADLSPHGPVHAAVCCLASRGGGVRDSWRVDYEATLHTLQAARGLGAQHFVLLSAICVQKPLLEFQRAKLKFEEDLAAEAARDPAFTYSVVRPTAFFKSLGGQVESVKNGGPYVMFGDGKLCACKPISEEDLAAFIADCIFDQDKANKVLPIGGPGKALTPLEQGEMLFRLLGREPKFIKAPIQIMDSVIWVLDGLAKLFPGLEDAAEFGKIGRYYASESMLVLDPKTGEYSDELTPSYGNDTLEQFFEKVIREGMAGQELGEQTIF >Et_1A_008071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4088004:4094350:1 gene:Et_1A_008071 transcript:Et_1A_008071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLRRRLPLLRLIRPLQAASAPFTSSSSSSSSSSSSSSTSGPLPPLQKPPVTASSSVELGSRLGFLNARPLASAQGDSSSSSSYSAAPYLAIGAAAAVASLPVAYADGNEQGAGAVDKEVKTDAAEGEDLARKERKRIMELIQNKGMQRGSYPHFDVAVKGQKVVVKFNMPSTCNVSHLIVDLVTHIGLEAEQFGGGSEVLVRAWDSPAARQITFNPPKKTSVGDPNEGGLCVLIFEPLIGSEYSEIEFIKPGSFSSKEIEALISALKIAGEKDVKGSSGKGNKHTQRKGNGQRSKQVPSMEKTISDLEAMGVRVYGFDETSSVPMDGTSPEVYDDIARGTRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEVVMSKYYGESERLLGSVFSLANDLPDGGIIFLDEVDSFASARDSEMHEATRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFGLPDLQTRVEIAAQYAKHLTKSELVQFSLATNEMSGRDIRDVCQQAERHWASKLIRGQVPKDDKGEPSLPPVDEYLSCADQRRKSLPDRTRHTSSSTALKQDIFNGSYDPMPRERTQQRSAPHQ >Et_7A_050858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11707957:11709212:-1 gene:Et_7A_050858 transcript:Et_7A_050858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRRRTTCTPPRGSTTASSSPTPVLPACLLADIAARTDAATIFRCAATSKLFRREILHPSSIRRVCRAPNGVVPSTPLLGLLDRSFSLVHPTTPTAAASFAETHLAPFVLLEEYEALTSRGGLVLLERREINGRRRSQCRSDMCVYDPMTGRRAFFPFPPDINRNPLRRACVYRYVLLTAADGIIAGCSSSFTVLAVDMTSLQDCNSTIGVQTVSSSSSSGDCQWSPVSYAHYRGPMWSTPLEGHDAAVVLGGVVHWVMIEHEHILTYNVATGKAGLIGLPDEFGFGDRMAWRSRLRSSSDGTRLTLLFADGFRVRWLGAAGVRSQLPDVVGPLKSGSMSFRNFGDHPRSGVVLFQYVHFFKLGKICHRKFILLALDMETQEIHRITGNNTRESPTRWILHLDYPL >Et_2A_015968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19803813:19806434:1 gene:Et_2A_015968 transcript:Et_2A_015968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKCFPGDSSAPWLHCSIGWKSEAASATTQRCSPSPGPPPPPHVEEPRVHNKPTNQALEALNAAIADMCRPLLRNLRDMMSLATVYDLKDYQVGMLSGAMLLFVACYKLCKAAPSIFLDAAIGYMIYKLSVVSSELDRQRKSNSLITRLLFGVIIVMFAKEFQKKYELLDIIRVPLFVMYLGTFIFDVARMKKYGKRALKSFVNLLKTKGGIREIFRTVWYPGYVSPYDDGFWE >Et_1B_012470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32285392:32291471:1 gene:Et_1B_012470 transcript:Et_1B_012470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQDQEAAESSGSGGGSGLPLAVRELLAGGVAGGVAKSAVAPLERTRRAEFHGSGLMGSFRTIFRTEGLLGFYRGNGANVARIVPYAALHYMAYEEYRRWIILGFPNVEQGPILDLVAGSIAGGTAVICTYPLDLVRTKLSYQVKGAVNINFRESKPSEQVYKGILHCVKTIYRQHGLKGLYRGMAPSLYGIFPYSGLKFYFYEKMKTHVPEEHRKDIIAKLGCGSVAGLIGQTITYPLDVVRRQMQAEALSSSSHGMRKGTLGSLVMIAKQQGWRQLFAGLSINYLKVVPSVAIGFTVYDSMKVWLKVPSREEAVPVLTEEISNTAPIHSTASAAVVWLSALWSRGREKKMKIWLEEDRGIVVTVSNGKRNDRFTPPLLLSPHVALTPHYKKNSLPPTQRHAGGRGVLCCCCVVPLLSSSAATSASRPPDLSAFLNTLLEIGNEQHAGELLSSSDQQWREGKSGQEGMESRALVTTTPFVESVWVYAVLAGNDDEEFSGYRSRGRRGKVWGRIKIRAGMWSVEGEGGAARKKREK >Et_4B_038489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29470721:29471635:1 gene:Et_4B_038489 transcript:Et_4B_038489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HQGVPKFGSWEDEGDHLYTQYFENARKGKSPGRSVSQNDHKGDMEGLSKDPPSVKASPLRTGSDPVTRKPKDERRANREDELRHQEATARKPYAESPNHRYGDNATYENSARKNSAERSPLHPRQQARIANKGGVSSPSWERRGLTEGNRGAAPTTPGRSKLRSSGHGDETPDRGSAVPKFGEWDEKDPSTGEGFTDIFNKVREEKQSGSAPVATSDTGYNRSNQNRKYESSVSPLQTCCYASGFEFFYNQ >Et_2A_015941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19455059:19455998:1 gene:Et_2A_015941 transcript:Et_2A_015941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IFNEFNSRKPEEKNVFRGVTKNHLFMGIVGITTVLQILIIEFLGKFFETARLTWRLWLLSIAIGAVSWPLAYIGKFIPVPARPLQNYFKHCSCWRRSRQDEELGGKS >Et_9B_066211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7091682:7093152:1 gene:Et_9B_066211 transcript:Et_9B_066211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFESTLPLKHEADKRDSETSQVVSCESTVVEEMEKSLSEEPFLLVRLENKEDTKSFGTVCDSKFNKDDVAEAVTPRADVLELAISSEAPDDSLSVGCQTPRESIFDPFAPGPEEVACAPMKKVIRSVEVPSRRQLNFESGDYPVKRLSFDSDDDEEEDQYLLVLENMILDIIMPDGFLDRQEKTDTTLIYKTPDSKPLLTGIATTCPDAPMRLFVT >Et_1A_007759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3825384:3826457:-1 gene:Et_1A_007759 transcript:Et_1A_007759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRQAVLVVALLAALLPLALSRGLGLGHGHPHGLLHRPGLGHVHPHPHGPGVGHAHAAPLGGAGWSSAHATFYGGGDASGTMGGACGYGNLYSQGVACNKKGGIRFTINGHSYFNLVLVTNVGGSGDVHAVSVKGERSGWQAMSRNWGQNWQSNALLDRQALSFRVTTGDGRSVVSNNAAPSGWSFGQTFSGAQF >Et_2A_018679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:582722:587056:1 gene:Et_2A_018679 transcript:Et_2A_018679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGGGGGGGGLRHSNSSRLSRMSYSGDDARAQGPGANADRPMVTFARRTHSGRYVSYSRDDLDSELGNSGYFSPDHQEFHSYHVHIPATPDNQPMDPAISARVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEASHPQMAGAKGSSCAVNGCDGKVMSDERGEDILPCECDFKICAECFGDAVKNGGGVCPGCKEPYKNTELDEVVGGARPTLSLPPPPGSGAAPASRMERRLSIMRSQKAMTRSQTGDWDHNRWLFETKGTYGYGNAIWPKENELESGGGGGLGGADGQPAEFTSKPWRPLTRKLPIPAGILSPYRLLILIRMAVLGLFLEWRIRNKNEDAMWLWGMSVVCELWFGFSWLLDQLPKLCPVNRATDLAVLKDKFETPTPSNPNGRSDLPGLDLFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANMWVPFCRKHNIEPRNPESYFNLKKDPYKNKVRSDFVKDRRRIKREYDEFKVRINGLPDSIRRRSDAYHAREEIKAMKRQREVALDEAVEPVKIPKATWMADGTHWPGTWIQPSAEHSRGDHAGIIQVMLKPPSDDPLYGSTGEEGRPLDFTEVDIRLPMLVYMSREKRPGYDHNKKAGAMNALVRASAVMSNGPFILNLDCDHYVYNSQAFREGMCFMMDRGGDRIGYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRVALYGFDPPRSKEHGGCCSCCFPQRRKIKTSAAQPEETRALRMADFDEDEMNMSSFPKKFGNSNFLINSIPIAEFQGRPLADHPGVKNGRPPGALTVPRDLLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASRRMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVARLSVTFLTYLLVITLTLSMLAVLEIKWSGISLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDVDDEFADLYIVKWTSLMIPPIVIMMVNLIAIAVGFSRTIYSEIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLLAITISLLWVAINPPSQNAQIGGSFTFP >Et_1B_010916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15305212:15307772:-1 gene:Et_1B_010916 transcript:Et_1B_010916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANSSGASSNAPLPLDGRVALVTGGSRGIGREVCSHLAALGARVVVNYASNSAKADELVAELATRGGHRAVAVRADVSDPDDVRALFDRAEEAFGSPPHIVVTCAGVLDANYPALADTTVADFDAMFAVNVRGTFLVCREAANRVPARSGGRIVTFSSSIVGTLLPGYAAYTATNAAVEAMTRILAKEVAAKGITANVVAPGPVRTELFLAGKDEEFLKKVAEQSMGRIAETTDVAPVVAFLASDAAGWVNGQVIRQNSRTDEKQTSEARTDDGKQSSSIAMAASTAAALPLEGRVAIVTGGSRGIGREVSSHLSALGARVVVNYASNPAKADELVAELASRGHRAVAVKADVSDPDAVRVLFDHAEEAFGSPPRIVVTCAGILDSKYPKLADTAVEDFDAMFAVNTRGKFLVCREAANRIPANTGGRIVTFSSTLVATLVPGYAAYAASNAAVEAMTRILAKEVAAKGITANVVAPGPVRTELFLAGKDEATLRRMEQSSVGGRIAETTDIAPAVGFLVSDAAAWVNGQVVRVNGGIA >Et_3A_025041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26368538:26373404:-1 gene:Et_3A_025041 transcript:Et_3A_025041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAANVHPGSPQQQPSAERRLNSLVRHLLPSSPRRTAESSSATLESSPTMASQVASVFAGIAQAPEDPILGVTVAFNKDPNPNKVNLGVGAYRTEEGKPLVLNVVRRAEQMLINDPTRYKEYLPITGLAEFNKLSAKLIFGADSPAILENRVATVQCLSGTGSLRVGGEFLAKHYHEHTVYIPQPTWGNHPKVFTLAGLKNVRYYRYYDPATRGLDFKGLLEDLSSAPTGAIVLIHACAHNPTGVDPTLEQWEQIRQLMRSKSLLPFFDSAYQGFASGSLDKDAQSVRMFVADGGELLMAQSYAKNMGMYGERVGALSIVCRSADVASRVESQLKLVIRPMYSSPPLHGPSVVATILKDSEMFKEWTVELKGMADRIISMRQQLFDALKARGTPGDWSHIIKQIGMFTFTGLTSEQVAFMRQEYHIYMTSDGRISMAGLNGKNVPILADAIHAAVTQLKSDTMVNPFKMTRSTRWVSSTENPV >Et_4A_034903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7692335:7693821:-1 gene:Et_4A_034903 transcript:Et_4A_034903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKLQLRRGRDGIVQYPHLFFAALALALVITDPFRLSPLAGVDYRPVKHELAPYREVMGSWPRDNASRLRRGRLEFVGEVFGPESIEFDRHGRGPYAGLADGRVVRWMGEKAGWETFAVMNPGWSAKVCANGVNSTTSNQHDKEEFCGRPLGLRFHRETGELYVADAYHGLMVIGRSGGVATSLARVAGGDPILFANDLDIHRNGSVFFTDTSMRYNRKDHLNILLEGEGTGRLLRYDPETSDVHVVLKGLVFPNGVQISEDQQFLLFSETTNCRIMRYWLEGSRMGELEVFADLPGFPDNVRSNGKGQFWVAIDCCRTPAQEVFAKRPWLRAVYFKLPMTLTMLTRRATSRMHTVLALLDGEGNVVMKLVSEVREVGRKLWIGTVAHNHIATIPYPLD >Et_6B_049370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:482488:484763:1 gene:Et_6B_049370 transcript:Et_6B_049370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SECGDIIDCIDIYKQPSLKNPLLKDHLKPEMEPPKILDKLRGRNYSFPQQTWRRSGSCPEGTIPILRKPIVADDEIANRTLPFSSYGRPTDANIQDNSNGKLEIAAAYAVSGPYYGASAALPIWKVRVEPNEFSKNYLLIASPHERHFTPIKGKSPPDINNQIAVGTANDGGETSHCLNHECGFIKTNNHFALGTRFQDGDSKVGGNLYFITALLYRATGPAVWWLAINEVALGYFDPNWFPVPFIESFHHEMDGRVLDSCPGG >Et_7B_055169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:837708:840828:1 gene:Et_7B_055169 transcript:Et_7B_055169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAPTPIPPLSTTAASVVHRCARIVGVPVDELLRRFDDEEQPPGPLEYARSVVEYCSYIALRVETRRHGHLGDREFHSLTYDMMLAWEAPDEDTDAEFQKTAFSILGDNADDEDGGSIFHSSPTQMAIQVDGRRTVGPEAFAKIAPACPAMAHPITVRNLFDTLTNSNGGRLHFLIYHKYLKNLDQVLNSAIRISGGHKAPPLQLSEGEVILDVDGAATTKPVLQHIGTSTWPGRLTLTTNALYFEAIGVDFSYGEAVVYDLAKDLKQLVKRESTGPWGAPLFDKGVMYKSSSTTEPVFFEFPQFQGCTRRDYWFAIIKEVLHAHKFIRKYSLANFQKAEALSVATLGILRYRTLKEGFHVVPSHFKTTLAFNLAEKLPKGDKILEALYGQLQQHCSRLRGGGDFAQSSSSELTLVDPFPLSAYTMVRMGLLTLEEEENTEERDFTVRDVQIGRTSSVQMALDRSFGYSGRVEAARASLDQVKLEDIDTNVAVLKELLFPLIELGKALFALAEWEDQLKSYVFLLCFLYMVYSGWIWFMFPGFLLACTLFMLWHKHYGNGQLIGAFGSTNKVVSALVVVAAAFTFMPFRSIVLLIFLEAYTRQMPVRKTSSEKLVRRLREWWLRIPAAPVQLLRPQDNTWRSRLRSR >Et_6A_048001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3151178:3155772:-1 gene:Et_6A_048001 transcript:Et_6A_048001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSSSSSQPPDHPESKSPPPPAPAMGYPANPSPTPNGAASYYAAAPPPANGNGAASAAAFGVAYPYPAPPPHHPPPPHPYHHPYPPPHHHYPAHPPPPTCLRRLLALVVGGFLLLGAATFIVWLLLRPRTPAFSLTSLNLSRVAYSPTNSSLTASFDAALLAANPNSKLSVTYFSPLASVSITPSSPLAVASLPPFSQGPGNTTTLAFRVAVEDAYVGSNDASALKSGSGGTLEVEVRLAAVALFDRGGWRTRRRVMRVMCDRVPVVFSGKNSTEASFSGPARRCDVVNEEGHGIRYAGVGDEWSGDVLGWMILSPGWAIRKSTEPWNLGF >Et_1B_013512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9105299:9105940:1 gene:Et_1B_013512 transcript:Et_1B_013512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSAAASDDDEYEICNDNGFVYKRRRGLYPDVARPSSQAAGPELEAERLRLRRRRRVLLRLRDKRRRELAAWDALEEKLAQLPAPQPTPPQAPPASPGPAPPAAASSASVSVLDDLLAQAEKTEAILERVSGLCDEYKALCRTHEEDVVDAITALPVWGDPKELMISLQNPDEPGTS >Et_5A_041577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24642123:24645680:1 gene:Et_5A_041577 transcript:Et_5A_041577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSVRLSPAPAAFAGSSLRSKSASVPSVSSLKPSKYVVSSLRPLYLAPLDGPRTAELKPQRQPLEFRCAASAADDKESKAEVVPVPSEGAQRLKISIYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLACGSAMMLFSWATRLVEAPKTDLDFWKVLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSAEPAFSVLVSRFLLGETFPVPVYLSLLPIIGGCALAAVTELNFNMVGFMGAMISNLAFVFRNIFSKRGMKGKSVSGMNYYACLSIMSLVILTPFAIAMEGPQMWAAGWQKALAEVGPNVIWWVAAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSIIIFHTPVRPVNALGAAIAILGTFLYSQPNLGVRPPA >Et_10A_000345.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:3667126:3667236:1 gene:Et_10A_000345 transcript:Et_10A_000345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANKAFREMFSKVWLGSYPDYAATLIAVVSNPDLS >Et_2B_022764.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:4659181:4659516:1 gene:Et_2B_022764 transcript:Et_2B_022764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVNGGAGRRRPLGLLANAAKRKDGFVQMFLMAGVFMMSLRSLGQKHRLRDLADDAADLRRERDELSHRMVDLQDALHREAEADASGLLASHLRRIFAAHPAPAAAAEDR >Et_10A_001989.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:1770670:1772499:-1 gene:Et_10A_001989 transcript:Et_10A_001989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLSAHSPASFSSVNRGGVAAAGPSAAHASVRFAPRVAAAANSVLAAPSTKPVRSPPSYVPAKPIAPARRGGNKKQSPSPRQDTKNEKSLNFLQRAAMAALDAFEESVITNLLEKPHTLPKTSDPAVQIAGNFAPVGEQPPVQSLPVSGRIPPFINGVYARNGANPHFTPTAGHHLFDGDGMVHAVRIRNGAAESYACRFTETSRLAQEKALGRPVFPKAIGELHGHSGVARLALFFARGLCGLVDPAHGTGVANAGLVYFNGRLLAMSEDDLPYHVRVAADGDLETVGRYDFDGQLNCAMIAHPKLDPDSGELFALSYDVIKRPYLKYFYFRADGTKSDDIEIELEQPTMIHDFAITENFVVVPDHQVVFKLAEMFRGGSPVVLDKEKTSRFGVLPKYAKDSSEMVWVDVPDCFCFHLWNAWEEPETDEVVVVGSCMTPADSIFNESDARLESVLTEIRLNTVTGEHVRRAVLPPASQVNLEVGMVNRNLLGRKTRYAYLAVAEPWPKVSGFAKVDLATGELTRFEYGAGRFGGEPCFVPIEGAPARRGEDDGYVLSFVRDEEAGTSELLVVNAADMRLEATVQLPSRVPYGFHGTFIGARELEAQA >Et_7A_052734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14776103:14779840:1 gene:Et_7A_052734 transcript:Et_7A_052734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRSGAGSGGSVSSSRSSTSGQVKTHGEEPEPGCGAGFWDKCFSVPFLLLLGVTASLVILPLVLPPLPPPPSMLMLVPVAMLLVLLVMAFMPTSSGGRIGTNPTYLCTSAPKAARQSAALA >Et_1B_013889.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:22521787:22522890:1 gene:Et_1B_013889 transcript:Et_1B_013889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHRRPMVRSSTVTAARCSSRSPETVATPPPESLEVLRSLEVWAEANLLPLLKPVDRSWQPHDLLPDSSSEGFRDAVDELRARAREIPDDYYVVLVGNMVTEEALPTYHAAFNSFAGGGGDGGAPSSSAWARWSRGWTAEENRHGDLLNRYLYLCGRVDVRRVEQTVHHLIAAGMRLAADGCPYRGFIYTSFQERATAVSHGNTARRAKELGDLSLAKICGAIAADEKRHEAAYTRVVDKLFELDPDAAVRALAHMMRERIHMPAVYMFDGADRDLFRHYAAVAQRLGVYTAADYADLVEHFVARWGVAGLGAGLSGEGRRAQEYVCRLPERVRRMDRRQADARRRQEPRGVPFSWVFDRQVDLHL >Et_4A_032088.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:16640011:16640325:-1 gene:Et_4A_032088 transcript:Et_4A_032088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPKKMAAAAASADLTDDLIVEILSWLPVKSICRFKCVSWHWHRLITHPEHRRKLPQTLSGFFYPSGRLDEENDYINYPDFVNISGDEELPFSNPSLPLLDRI >Et_9A_063498.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:548665:549042:-1 gene:Et_9A_063498 transcript:Et_9A_063498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESEKKEAKKQLMMSSGKKKKAAGFRFRSLKKPCRRWPFSTPDSDVEETAMADGGAAAQLLSRSYSSTAGDDSKQGGAAAVGRKGGGGVGARLYRKVKEQRARIYIVRRCVAMLVCWRDDIDN >Et_9B_065475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5579113:5581580:1 gene:Et_9B_065475 transcript:Et_9B_065475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCSWLLFQGHGDSTDSEGSYGSAGLDELQDLAVSLQIYMMMETEEHGFAEAVTTSSSSTTFPSLSGSSDEYSSLMLTGSTTTTTSFHLPAEASPLQLPIPLSPIAYDDHHHGLFFDNLDMIVNLDHLMAQEPHQEQGQSKKDHGFGGAFRPYVAHLSPRKKPKPGACSQRAIKTAMSALERINMARLAKWQASTLIRARDYVNTLKSRVSELEEKSRMPAETQLHGDQAAQQDDYSTEQVEVDISRPSGRYNDKLLKESVIKIVKDAMKSETIGLQIAYRLRFLKRMCA >Et_4A_034561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4304454:4307580:-1 gene:Et_4A_034561 transcript:Et_4A_034561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISCFSFLLGPGNKKRSKVWSKVACASRATGNDCPKVKPAEFIMDKADVINDGGNAPARETNVTSYSYAAPASLARQERDANEDKASPKHDAALVAGEDSSTSRDSDDDAGKNGGVGAEPEAGLSSPSAAGRMSSSPTASPRLQRSCSNIETARCVPKEFVDLPAKSRSYGDLKALRSGRLLLGLATPSGAPDASPTASVRTSCSADRVMLKKRSSRQVLPSRSRKLWWRLLLWSHRSLHRPGATPEPPSSTDTYGAPRQKDGYTSDTLDAVTAATREPKDKEVVAEEAAIPNQWVAFSAEASSSLDRVSAWVNSLGNGSFHVVEEDDVAEHDAPCSEVVECSVLAAEKHARGKRRAADEAVQASSVAQTLNMVSSVAHISGMGLKAVPMIAAFSTLRAVNLSGNFIVHISPGSLPKGLHSLDLSRNNIANIEGLRELTRLRVLNLSYNRISRIGHGLSSCTAIRELYLAGNKISDVEGLHRLLKLAVLDVSFNKITTAKALGQLVANYGSLRALNLVGNPVQANVGDETLRKAVSGLLPRLEYLNKQAVKPQRAREVAKDSVAQAALGNSGWGAARSSRRVARRVMSQSPGSSSVRSRGRDGSGSRSRSKTRPQGSSLSRR >Et_3B_028153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1175673:1177468:1 gene:Et_3B_028153 transcript:Et_3B_028153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVPSSRRPLLAAVLCSLAFLLLAEGKVHHHTWDIAYKYKSPDCFEKLAVTINGEFPGPTIRATQGDTVVVTVNNHLETENTGIHWHGIRQRGSPWADGTVGVTQCPILPGETFTYRFVVDRAGTYFYHAHYGMQRVAGLDGMIVVSVPDGVAEPFAYDEERTVLLMDWWHKSVYEQAVGLASDPLVFVGEPQSLLINGRGRFNCGAAAAACNPNCSAALPTLFTAVPGKTYRLRIGSLTSLSALNFEIEGHSMTVVEADGYYVSPVVVKSLFVYSGETYSVLVTADQDPSRSYWAASHVVGRERSTTSALAVLRYQPGNGGDPWTPQPAGPAWNDTAARVQQSRSFVALPGGHVEPPPARSDRTLLLLNTQNRIGGHVKWAINGVSLRFPATPYLVSMKRGLRGAYDERPPADGYDYRGYDIASPAQWNGTVASAAYRLALGSVVDVVLQNSVALNNKSETHPWHLHGHDFWVLAYGDGKFDPEADAARFNVRDPVMKNTVALHPKGWTAIRFRADNPGVWLFHCHIESHVYMGMGVVFEEGVDKVGRLPKSITGCGRST >Et_1A_004534.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:13571578:13572171:1 gene:Et_1A_004534 transcript:Et_1A_004534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GQRRHRQGTGDDDHRRRQGAEQHGRPGQAPPDGRRAGLRGALAVLHGRRKLHSRQPRAHRLPRRRLRALLPLAQLHRQLPRPRHGRRALRLRHAQRPPPPRRRRCIAAAAAEGREVQADRARRVPRAAVVRGVPGSGHGGPQRGGVLLAGGVRVHEAAAGGGARGGRRGGELPLRHVPVHEARDRLPHWSFMICYQA >Et_4B_037516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20779216:20782573:-1 gene:Et_4B_037516 transcript:Et_4B_037516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSGGGTGGGATLSEMYQNARRLLLSARDGVARVERLASAPTSSSYSSSTPLVGGGAAGVPAVAEEVRREVAQIQTLCAQMDRLWRSIPNKGQRDLWKRKVEQLSEEVDSLKETLDRHSLRQQKRILEAKERAELFERANGESSHVLRIFDDEAQAMQSARSSSLMLEEAYETGVAILHKYADQRDRLKSAQRKALDILNTVGLSNSVLKLIERRHRVDKWIAYAGMVITVVVMIAFWRLTH >Et_1A_008810.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14357317:14359785:1 gene:Et_1A_008810 transcript:Et_1A_008810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAKEEHPPPPPAAAAAAAAVRPMGGEEAAARAAQKRYEGLLTVRAKAVKGKGAWYWAHLEPVLIPPADSGMPPKAVKLRCGLCSAVFSASNPSRTASEHLKRGTCPNFSAPPPGAASASGSQPPPQQQPAAQMLPSNSAASSPIPISSIAPSSPRHHHHSNPHHHHHHPQQQQQQQYHQHHHSGSRKRHSMPPAYTAADSLSHHHHLVVVEPSSVYSPALPALPAPPQHSSALVLSGGKEDLGALAMLEDSVKRLKSPKASPGAMMPKPQADAALALLSDWFLESSGGVSLSAASHPKLRAFLRQVGLPDLPRADLAGPRLDARFAEARADAAARVRDALFFQLAADGWGDQVVTLAVNLPNDTSVFHRAVPMPATAPTDYARELLLDAVASVSASGSSNDIHRCAGIVSDRFKSKALRDLESTHHWMVNLSCQIHGFTRLVRDFARELPLFRSAAAKSAKLAAYFNSKQAARSLLHKYQIEELGHASLLRVAHVPFSGNGSNFRAAFEMLEDILASAHSLRLAVTAESYKLVCIDDLVARELGETVQNVDFWTEVEAVHSLVKLIMDMVKEMEADRPLVGQCLPLWEDLRSKVRDWCHKFKIDESSALNVVEKRFRKNYHPAWSAAFILDPLYLVKDASGRYLPPFKCLTPDQEKDVDRLITRMVSREEAHLALMELMKWRSDGLDPLYAQAVQVRRPDPSTGKMKLANKQSSRLVWETETCLSELKSLGKVAVRLIFLHATSRGFKCTPSMVRWLSGPGSLGTGIDRANRLVFVAANSKLERRDFSSDEDKDAELLADEGDDDVVNEQGNVESSSV >Et_7B_054076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1531390:1533301:-1 gene:Et_7B_054076 transcript:Et_7B_054076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGGSEGAAAGDLTSWQERHAVLVLSKAKELAKVRYDLCPRHMKDKQFWRIYFLLAKTYISPYELRAIQKEKLRRMETESVRSKEVVTVEVEMQESKGNRGSQSEVDLESQAS >Et_6A_048141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7515584:7518445:-1 gene:Et_6A_048141 transcript:Et_6A_048141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSCHEQVLLAPPQPVLVAPAPRDVVVAENHINKKKKVACAMMRRPSRLVIPVADDADEVAAGWGAAAAAASENADVEVEGLGFCLASKAGPRHAMEDGYAVITDKNGGDSELAFYGVYDGHGGRAAVDFVSEHLGTLPPCRRRRSGRGPLSSPAARQRACVAWCRNSLVGLTTDWSRRGAVAPWLQGGPRAAEARRGRGGVELLRTRDVRVGRWCEADLPPWRSAYCGGRIARSAGFGSRPRSLLPQISKIGGVGMIGGRMEDVWTNISKLKLLSSKFDLKD >Et_10A_001306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23168180:23169399:-1 gene:Et_10A_001306 transcript:Et_10A_001306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQNQSVPPPTSAELLQAQAELWCHTYGYLKSMALRCAIKLGIPNAISRCGGGASLSELHAALPVAPSKQPCLSRLMRFLAASGIFKEDDDTTAAQGDDHAGVVRYRLTAASRLLVDDDGGRASLSPFTASCLVPSYFMASLRLAEWLEIEDGGAAAETPFMMAHGTDFWGMVGGDAEFGAGFNEAMGSDSRFVAGIVVRECGEVFAGVRSLVDVGGGDGTMAKAIAEAFPHMRCSVLELPQLVDGMQVHDGKVEFLAGDMIDFIPPADALLLKLILHDWSDEDCVTILKRCKEAISARGPKGKVIIIDTVISSAASKQTFEAQLLMDFAMMVLVTGKEREEEQWSRMFMDAGFTRYKISPILGPRSLIEVYP >Et_3A_025789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32897119:32899569:-1 gene:Et_3A_025789 transcript:Et_3A_025789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTVSKLAAPRPAAGALLPPAGVRSAALAFAPSPRRVSVSIAGRARSPIIAMASAKEGNGAPTKRTRLHDLYELQGLSPWYDNLCRPVTDLLPLIASGVRGVTSNPTIFQKAISSSSAYDDQFKQLISAGKDAESAYWELVIKDIQDACKLFEPIYNETDGADGYVSVEVSPRLANDTQGTVEAAKWLHKVVNRPNVYIKIPATAECVPSIREVISNGISVNVTLIFSIARYEAVIDAYLDGLEASGLSDLSRVTSVASFFVSRVDSLIDKMLEKIGTPEALALRGKAAVAQAKLANQLYQKKFSGPRWEALAKKGAKKQRLLWASTGVKNPAYPDTLYVDSLIGPDTVNTMPDQALQAFIDHGTISRTVDANVSEAEGVYSALEKLGINWGEVGKQLELEGVDSFKKSFDSLLVSLEEKGNTLKTANV >Et_2A_015931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19278881:19284984:-1 gene:Et_2A_015931 transcript:Et_2A_015931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLAFQDPSWCFNYHQQGHVNKRTMVELQASSAPMGNKETMQVPCHRDNDKHEEGATADNMDPSRPFLFLEKFDVAKMREWNRLRSAWLARSKPNDIIIPDPTPQWVIDAFYGISSRLGPILEKDNVPCFLRLFVEEEGMCWNLTVTSQTLTFIIIYNALQCAKAVLEGKELELNGKRANPNCMNPFGYFPLHEAAEKFSVDMIKLLFRHGASANIRTVGDTVIEGLLPLHIAVENTCLHKYLEENLFPPMKDNQDCIYNQDYIYKLITLLCLPELKIFLDTVKLLAEKTNNLVDEVWTYIKDRKLVQTAILLLAAQKQFRGDCTYGMYIYGKQSGLEIIMQRLLNHSAIGSPEWNKGENADTQQQLDESITFNHSAFLLVRIISRAGEALDAYIQGHSEVPRVAHEEIIKHVSAILNESGFSPTGETIDVKNLLPYDYVKATNEVKDSACLLAAEEKAVRKKLPRGWDPEYRRRMFLPYWRSVLAARCRVRLYPSYAPADAYKLDPERIGSWIKSMSKGSSMSPTRNLGPLGRTAELASNHQFRRPFGTSAFMANGYSRFPNHNLCLLGKNQQPASNNQSRRLFGASASTLLKIRRGPETLPMSM >Et_8A_057853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8384278:8385584:-1 gene:Et_8A_057853 transcript:Et_8A_057853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWKGLDWMAPWAGPLSPVAQMTAAVMFFPNSGGMATRCRSPETGSHTRRQHRFQSTQRTRPWRQSSTPMLWWSNRREKRSEGMRSGGSRAEVNLILLATESKLKYPMRGGLPWWARKRRRKSGSETRRRHRLQTREARGTEDGCGGRRIRHSPSRSSPSSAVSGAGGLRRRRSGTISPSSVMCGGGRNNM >Et_9A_061677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15224061:15225459:1 gene:Et_9A_061677 transcript:Et_9A_061677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSAVTPTEPELVARGKNVSTHRRKVPQHGFHYKWKHRVLKIIEEIKIGIQRLRLGRVVLVAHAAFLDAGFVSCGKPAGPHRLPREAGLTASTLSLRYTIPELMTRSRNTAVVVADAVVLRLCAHGNFLILYAYLTGGDGSRPSTRWACVDALLVAPVLAGDLDAAAHALTSDAQGVRLWAALADGMCRRLFDDIYRKNGMMLPPRFILLPADLKAAILNRVAAVDLAMVECTCAELRDLVAGRELWKAKYMAERRFLLLSEQSQVDGRSCSWKEMYLSARESWAWRRPRSRHRLWCFTMVMDDVILSRRHAFTDSYALYVDRPDLDGCTRDPTEHLIRRVRERRSNVPVRDGRRKPPVGDGDAGKRQCSHGVIHSPSARYNWRHR >Et_4B_038776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4826353:4827056:-1 gene:Et_4B_038776 transcript:Et_4B_038776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCRRVPIESMGRTARSRCAGRGLATTREGRRPGGREEGSIAHLGDDRLCLLEHLKTEGVLKSRPFTVGGHRWRIEYYPNGINAGCEGHVSLFLALDEDVAKPVTAEFRLSFLVDARALCCINWKQEVYSQPKLMHDFVSHNGRGYSKFATREVLLAEVGGHNHKDDAFTIRCDISVLNGFRVEAAAPRDRIPPSDLHKHLGDLLQSGRGADVVFEVGGERFAAHILPLI >Et_8A_056389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7244275:7244583:-1 gene:Et_8A_056389 transcript:Et_8A_056389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVRCVHKNMRTPIISCMAVPLLKHSGRPLVLSCWCSQARNYGNIGMKLSSEKQPRRSLACSRPAGKKLSYGDGA >Et_3A_026964.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28189546:28190598:1 gene:Et_3A_026964 transcript:Et_3A_026964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKARIHADPALEFDQFDSLPDSLVLLILNKLEDVRSLGRCSAVSRRFSGLVPLVHDVCVKIDRVITVDGDSEDGLNLSSPKPRNIVSHFFKLMLFAITKPFHDIRNPNGTGRPLFPQLAHHSPVQVLKNFSQVRDLRVELPSGDVGVEEGVLLKWRAEYGSSLQNCVILGGTLVNRKPISTEHDDNGCMPESFYTNGGLKLRVVWTISCLIAASTRHFLLRSIIKDHPTLRSLVLADADGQGTLCMGTEQLKEFRENELSASACSNRTQVPACNMKLKYAPYLELPGGLALQGATLLVIKPANDGSSGGHGSRKETEAFVSGAFDGPLKFAVKALMKRRTYLLEMNGF >Et_3A_023452.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28313855:28313932:-1 gene:Et_3A_023452 transcript:Et_3A_023452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEVNDYPGSGANNRHEPRSPGRA >Et_2B_022202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1344760:1348917:1 gene:Et_2B_022202 transcript:Et_2B_022202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSNGAHPATADAVADGEAAAIPRSLAPARKVALITGITGQDGSYLTELLLSKGYEVHGLIRRSSNFNTQRLDHIYHDPHAVPSTPRPPMRLHYADLSDSSSLRRALDSILPDEVYNLAAQSHVAVSFEIPDYTADVTATGALRLLEAVRLSRKPIRYYQAGSSEMFGSTPPPQSEDTPFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGVLFNHESPRRGENFVTRKITRAVGRIKVGLQTKVFLGNLTAARDWGFAGDYVEAMWLMLQQDQPGDYVVATEESHTVEEFLQAAFGYAGLDWKDHVVIDKKYFRPAEVDSLKGDSSKARRELKWKPKVGFQQLVEMMVDHDIELAKKEKVLVDAGYQLSGYEWAPWYFFSQACRTE >Et_9B_063880.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19147117:19147332:1 gene:Et_9B_063880 transcript:Et_9B_063880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTNLSDRVAIPGKESLEEPSAEINVLLGLKLEGLSLSLHQTGNMFFLYITFLFFSFLHVHQPVAPVNKF >Et_1A_004915.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:16330948:16331178:1 gene:Et_1A_004915 transcript:Et_1A_004915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPKLQDIIRRLERTAVQECFFTFSTARIKKLKARDRRCFSEPARPNCPRKEPGHLNSVMSILCNNCECFRKKVC >Et_6B_048309.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:11199740:11200054:1 gene:Et_6B_048309 transcript:Et_6B_048309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPASRSTAAAERDEAVRRDGESVTNAARVTVRCGTCTSTTATYRFRQARPVDPDLLLQVGPGDCQLIDGGAIAAGGTVSSSYANYVRYSMAVVNASFPRRRL >Et_5A_040394.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:19117771:19118010:1 gene:Et_5A_040394 transcript:Et_5A_040394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDTDSLVAMTDSSYDADLAASSDSDIDSSDNEYDLDDYVVDEDDDDDIPPFSYDVDDPCIERSYWTSRRIGHQHRCR >Et_9B_064929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19968083:19969483:1 gene:Et_9B_064929 transcript:Et_9B_064929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASNSADSMEWGRGRSSGSRKGKRTGNSSSDKPRQPQRGLGVAQLEKIRIESEMAEYLHHPLGHRPPIHRTGSFNLEDVRLAHSLPSSPSSSFHANIGVSSSYPIHRPNLAMAYGERGDIRYGEFQTNPVIRSPYYHGAIFGSEAPYSHQSNVTLPLFEPEESISLKRQHDINQSAPPLNPDDDQQEVDLELKL >Et_5B_043482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11050009:11050389:1 gene:Et_5B_043482 transcript:Et_5B_043482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNKLHQISPHSPSRNRDDKQGTALNFSHSTSSSNETNDDPFKKVVVTDDTNIPDPIYDSSPAGSQKPASVGLTIDANVVQDGNYRPLDQN >Et_10A_000771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1716904:1721578:1 gene:Et_10A_000771 transcript:Et_10A_000771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAAQSHVLLSPSPAPRGRRATARQQRLAASVSVQCCVLRPCGLCARRWPPAPARLATAARRRRRGVRAPRAGMDMDLASGAVEVINDLGFDTLTFLGVTVLVVPAFRVLRASPILGFFGAGVVLNQFGLIRNITDVKLLSEWGILFLLFEMGLELSLSRLKALARYAFGMGLPQVLLSTLAFTAFELPPNNAIGTKILQFLFDSRPDLVNIRSVDEAIVIGAALSLSSSAFVLQLLAERGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNIVEQSVWPILLAESLKALGGLGILSLGGKFLIRRIFEFVAESRSSEAFVALCLLTVAGTSLLTQKLGFSDTLGAFLAGAILAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMQLLIREWPNVLSLLGGLIAIKTLIITAIGPRVGLTLQESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNDVGRRAAGIIEQRSEPKEKPAETVNYGATEPIVILGFGEMGQILAKFLSAPLSFGLDNDTEGWPYVAFDLNPAVVKSARKSGFPVLYGDGSRPTVLQSAGISFPKAVMVMYTGKEKTVEAVSRLRQAFAAVPIYARAQDLSHLLDLKKAGATDVVLENAETSLQLGSILLKGLGVMSDDVSFFSKLVRDSMELQAQEALKTIGEQVDTLKPLEVRVTDLVESNGSSSGMVARKQSLSLSKRPEVIVVKRKPAGNLVPEGVEVENDQPGYDLEDMESGDGVKYCLLETDDDSDGASSTSKEMIDQSA >Et_8A_057573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4626775:4628553:-1 gene:Et_8A_057573 transcript:Et_8A_057573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFAWASVSQTAGLAGGNAVCDGRGGVLLPPMPGFHLPPQAGHEHFPADSGIVERAVRFGPANGTATSAGHQTKVATGGRGGDDNAEPEDDGLSAGVCSSGRQEPDSNKRKRSNEEVPGTDQGKEPDASTGSAQGSERSNDARGGEKGQSAAAGKKKGKGAKEGDDAGYIHVRARKGQATNNHSIAERLRREKINERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPQLGLSIEGLLSKDLIRYPGAPPSAPIGLSFSQEMMPKLPLMSESGMLQGGFQSMGNSNVFRAVMQEQLHEKEFRDHASQMHHTLDGSFHHAGQLAYRSAVGPEHLSIKPDQD >Et_6B_049227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2074246:2078304:1 gene:Et_6B_049227 transcript:Et_6B_049227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGVDTRPAAAAAASSSSTGGGGAASGESALSYLSRSLREDLRLIRARAGELETFLNAPVPEPELFARLRRAYTSSASSGRTQLDLSAIRKAFEVEGWKGARAAKWRWEEEAEEWEPVRMVKARLKELERTTQGQSASDMLHKVKLSLKSMSFAPEGSEEVPPLDLGELFALFLKQSGPLFDQLGIKRDVCDKLVESLCSKRKDQQAYNFLSTSEPSSFRHDNVGDELDLRIASVLQSTGHRYEGGFWNDGPKCDITNKRHVAIVTTASLPWMTGTAVNPLFRAAYLSKSSKQDVTLVVPWLCKSDQELVYPNSMTFDSPEEQETYMRNWLEERVGFKTDFKISFYPGKFQKERRSIIPAGDTSQVIPSKEADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGAIQAFFVKHINNMVARAYCNKILRLSGATQDLPKSMICNVHGVNPKFLEVGERIAAQRESGQQSFSKGAYFLGKMVWAKGYRELIDLFAKHKSDLEEFKLDIYGNGEDSHEVQSAAKKLNLNLNFHKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVVCADHPSNEFFRSFPNCLTYKDSDDFVAKVKEAMTRDPQPLTPEQRYNLSWEAATQRFMEHSELDKFLNNNTVSDGANTTEHAASANTRMRKSVSLPNMSDVVDGGLAFAHYCLTGNELFRLSTGAIPGTLNYNKQHSSDLRLLPPQVQNPIYGW >Et_10A_002214.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:4610985:4611617:-1 gene:Et_10A_002214 transcript:Et_10A_002214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFRLVCEHYMGYGMHIKVFDPNHGSDTSSTAWKEQDVGSIVEAGVSQLHELGRAAGCWYFCDVYRSNILVVLDGRTGEFSTSMLPASESWEIGASCDFCVTEGRDSKPRVCSVVDGTMKVFVMRDNMECALEKTLLLGETIPGLMCTWLYRPVRILTRGPGFIILLLQAFGKWIFSVDLETMEVAPGVDYMRSMVYPFELPWPQRLRR >Et_6B_049102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17861867:17870126:-1 gene:Et_6B_049102 transcript:Et_6B_049102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDHDSGNGRSNSGTNLSSLSLDLLAHALSTITDPRDRKSCRLVSRAFAHAESASRRAARPLRRESLHHTLRLFPRLTTLDLSACAGLDDAALAAGGGTPLTVRRVRLARATGVGWRGLEALATACGARLEAVDLSHCVAAGDREVAALAAAAPGLRELDLDKCLAVTDVGLAKVAVGCPRLERLSLKWCREISDIGVDLLAKKCRRLRSLDISYLKVTNESLRSLSTLENLEHLAMVSCSFIDDDGLELLSTGGSNSLKSIDVSRCDHVTSQGLASLIAGHNFIQKINVAHSLLEVETCFLSKLLTIKHTLTVLRLDGLEVFSSSLQAIGDSCMNLVEIGLSKCSSVTDAGIASLVNNCKYIRTIDLTCCNLLTDDALAAIAKNCRRLECIRLESCPFVSEKGLERIGTLCSHLKEIDLTDCCINDAALQHLSRCSELLTLKLGLCSNISDKGLAYISSNCGKLLELDLYRCTAVTDDGLAALAGGCKKIRMLNLRYCDQISDAGLKQLSSLEELTNLEMRCLARITGIGIASIASGCTNLVELDLKRCYSVDDASIWAVARYSQNLRQLDISYCQITGLGLCQLLSSLRCLQDLKMVHLSWVSIEGFEMALRAACGRLKKVKMLGGLRSVLSPELLQMLQSCGCRVRWIDKPLVYKGRQRRVRPVPRIPKHALAASERGLEAVAAACSRLEAFYLAHCVVAGDREVAALDAAAALGELELDKCLAVTDVGLAKVAAVGCPGLERLSLRWCRQISDTGVDLLDKKCRHLRSLATSLPVSNESVRSLSTLEKLERLAMDLASLTAGHNIIQKINVTHSWLEVETFFLSKFSRIKDTLTVLRLDGLEVFSSSLQAIGDSCKNLVDIGLSKCSSITYAGVASLINNCKYVRTIDLTCCNLLTDDALASIAENCRRLECIQLESCPFVSEKGLERIGTLCSRLKQIYLTVFCINDAALQHLSMCPELILKLSLCISISNKGLANVSSNSGQLMEFDLYHCSADTNEGLAALAGGCKKIRMLNLRYCDQITDAACWFKASLEDLINLEMRCLARITGIGIASIASSSANLVELTRTSVILWTMLASGLLQDTLRTSDSGNLMSCLLVLQLDISYCQVTGLGLCHLLSSLRCLQDMKMVHLSWVSIEGFEMALQPACGRLPEEGEDAGQAAVRALPGAAPDAAGLRLPCPVDRQASCLQGLNSCQTGQAGIVIQVKERRSELGAGAFPCSSPIVFIGSRLTDYTCDVM >Et_2A_017895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7571476:7574347:-1 gene:Et_2A_017895 transcript:Et_2A_017895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHPSQELHRRQIKESRNGSVVSRDKEDENSQASKNICYFGPDLPEDILQHIHSLMSLRDAGRAACVCRSLLSSWRRHPNLILTMEKLGYFDFTRKVDRILRKHSGIGVKKLNLEFGECYNVNASNYLDRWLQIAVTPELEELTLKLYSHEISYELNYKFPCSLLSDGRGSSVKHLELQCCSFRPTVQLDSLGSLTRLHLCYVRITGDELGCLFSNSVVLEQLVLSNCREIVCFKIPCCLRRLSYLHVYGCDRMRQIQNKAQNLQSIFLRNFPALQTPEESLQLGELLLLKNIYMWCFNVAYHARAELPPIAPNVETLTVGSLFETVNTPMASSKFLHLKSLTIQLGRLNVAPTYDYFFLASFIDASPSLESFSLSVLPELMEHDSIFGGFPSDSRQMLQHGHQSLRSLQITCFCSARSLVELICHILENTPSLECVRLDTTLGVSRCSDNNSEICLPMTRRSVTDAQKALLVIDTYIKPKVPHTAQLNVVEPCRRCHAVEP >Et_6B_048714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1347116:1350286:1 gene:Et_6B_048714 transcript:Et_6B_048714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGSPVKRLARRAVKGPLEKAGLAGLAAVAVAAAALLLLVCAASLRCSAAFGSALAAAPRKLWTGGVSIAAEASSSSSAAAAATGEGARRNVAEEECDLFDGKWVWDDGYPLYDSRDCPFLDVGFRCSENGRPDASYTKWRWQPARCDLARFHAKSMLEKLRNRRVVFVGDSIGRNQWESLLCMLSSAILNKSSIYEVNGNPISKHMGFLIFNFRDYNCTVEYYRSPFIVLQGRAPAGAPEIVKYTIRVDAMDWMSDRGKWKDADVLIFNTGHWWNNEKTIRGGAYFQVGDEVKMDMTVADAYRRSIQTLSDWLHKEVNTSKTHVIYRTYAPVHFRGGDWNTGGSCHLETLPDVTPLTSLQEWADLLQPVNDFLGDNLKPKLHGVNMLNVTQMTALRKDGHLSVYLSPSGPVPRYKQDCSHWCLPGVPDTWNELLYALFMKRQMKMDQNVSLAGSETLSIGR >Et_3B_029310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23968173:23968828:1 gene:Et_3B_029310 transcript:Et_3B_029310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDDHLMCEIEGHHLTSAAIIGHDGTVWAQSTAFPSFKPEEMANIMKDFDEPGFLAPTGLFLGPTKYMVIQGEPGAVIRGKKGSGGVTVKKTGQALVIGIYDEPMTPGQCNMVVERLGDYLVEQGL >Et_5A_040881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1376320:1378250:1 gene:Et_5A_040881 transcript:Et_5A_040881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELDLTGDVTWLQCAPSVPALPQESPIFNSSLSPSFVNVDARSCKAPYTPVVGQDRCAFQAWFHGASAHGYLGIDSFHFANTMGIDESVPGIVFGCAHRTEGFQNQGTLSGVLSISRQRTSLITQLGGAGHHPARFSYCLAPQGNWTGFLRFGDDLNVS >Et_9B_065853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15134959:15135149:-1 gene:Et_9B_065853 transcript:Et_9B_065853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALQAEKHDGFELTRDTIKALLLADMESHVLFIVLERAISELVKNPTAMRGAARVPR >Et_5B_044998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8139403:8167818:1 gene:Et_5B_044998 transcript:Et_5B_044998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIGSSTSPMVMVKINWDKPEHRRCIVACIVKGVYIMEKERKKSWSKRLAPAWWESFHFRCCEVLEDLRAPDGAWRHRSAPRYVVAFRGTMLWHSSCFDDLHHDLKLAFNVQHEFGRYPKARLAVARLLNGIVRGHVAPGTVWLAGHSLGASIALDVGRHVMDERQWRLPTFLFNPPHVSVAPVINKLGVAEETKRDLYFTSFMVKAILAKTVRRSQERSMTELFERLAPWVPELYVHDGDLFCQGYIDYFEQRQKMLDMSRLFRSVAEVAMKLSYRDMWTSSSDENAENGDEPRAQPHLLPSATLWKNSSCCNGPHKLKHWWLPDSVLNLRSRQFEDSGPVHMMIKSDDGSCPTMIKEIDWDNQEHRRCITACLVKGAYLLQRDRTWGAQEEDKLTPAWWKSFHFRLDKVLECACKCLHRKIPGTKKCTYGAIFEYGPPDGACCYQSAPRYIVAFRGTMIRDPAICGDAAVDIKILLNRQHDCSRFRNAHETEVAPSGSPATRLVSPAAAITWLPQPLMKLVKSVMHPANNGLRAALVKTLMKSHEKYMEEIFRQLEFWVPELYVNERDIICKGFIYYFEQRRKMLNCRSRIVRKIANIAMKQSFRDIMHVALHSGDGDEQRVQPHLLPSARLWTNSSRDADSHGLQQGNQEHRRCVAACLVKGAYVLQSDRSKRRLEQDQLGPKWWESFHFRLHKVLECKHLNCAYGAIFEYVPPDGAPRHHLAPRYIVAFRGTMIGDPAICGDAAIDIKIVLNRQHDCSRFSDARAKVLDLLDSVVTRGGADSSDIWLAGHSLGASIALDVGRDMMTRDDGPRWNLPTFLFNPPQVSPAAAVDWLPEPLKILTKSVIHPASNVVKAAVVTTFLRSHEEYMEELFKELKPWVPEMYVHEQDVICKGFIYYFEQRQEMLDGRFWLRQEIAKIAEKQSFRDMRVALLHSDHGDEQRVQPHLLPSARLWTNSRDGYSHGIQQWWKPDSELNDGSSLIKMKEIDWYATNLDLTCDLRNNQEHRRCVTACLVKGAYILQSDRSKRRLEQDQLAPKWWESFHFRLHQVLECKCIHCEFPGARKCTYGAIFEYVPPDGAAHHLQLAPRYIVAFRGTMPRDPAIRCDVPVDMKILLNMQHDCSRFRDARAQVHILLDSVVPRPSGGAVWLAGHSLGASIALDVGRDMMMTRDDGNLPTFLFNPPQVSLAPVLKMLRMAEQAKSDLYVTGSVAKAALAKTVCRSQEKSMTELFEQLEHWIPELFVHERDYICLGYVDYFEQRQKVLDGRSCSFLPEIGKTATKQSLREMCVALLTSNDGDKQRVQPHLLPSARLWTNSSTDSDSHGLQQWWKIKLICSNWILPFHYFRDNDEHRRCVAACAVQGIYALENDQTERRARAWWESFSFRKRDVLKFRCECVLCRTNVLNFASSKSSCCTYGAILEHAPPPGARRHPSAPRYIVAFRGTLTRHATILADMHLNLKILVNRQHACGRFGHARDAVGSLLRSSIATTGKIRADDVWIAGHSLGASIALDVGRDTARGGCYLPTFLFNPPQVSLAPGMLPPVLNRVAKGVIYPTSYAVKAALGKTVMRSLERDMEDLFEKLAPWTPEIYVHERDVICRGFIDYFEQRKKMLDRFRRVARVGMKMSFRDMHVHILHNSSNPANGEDLQVRPHLLPSARLWKTSSGDDPHGLPQWWQPDSKLKLIPMPYSCQLNLMGGEEHRRCIAACIVKGVHILVKDSEDGMTQKLAPAWWESFHFRRRKDLKDRFGAIFGAIFEYTPPDGARPHPSAPRYVVAFRGTSLQMSTCVNDMRHNLKIALNRQHGYGRYGDARRVVGELLNRRIAPGLVWLAGHSLGASIALDVGRYMTIERRCCLQTFLFNPPHVSLASVINKLGMGEEAKTKLYFMGNTIKAGIAMAFKRSHERSMTELFELLAPWVPELYVHERDPICQGYINYFELRQNMLEQEGVVQSITEVGMKMSYRDMWASSSHDTNHENSDETRVQPHLLPSARLWKNSSTCACDGPHKLKHWWQAHKLKHWWQPDGVLKLNCRRYTWHGPQTSAILSPTKE >Et_10B_004022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9370116:9374566:-1 gene:Et_10B_004022 transcript:Et_10B_004022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFIAEGSRAVAALCSRLLPPPSSPPKSSGSNKQKRGKRRRAADAVPPPTALAAEKYPAALLDSDPEPHCAPPDSRLDSAAVVRGLAASGGALRRFRCCLGGLIVVPELGGESLGVRPLSAAAAARSERFRSGWCSLSRAINFLGNGIPFWRKSAIRFMPPKKEWGFMMEQISLGNRYKECRPRRSSNCQTKVHLGRDVLKELEERRSSPSVIAKLMGIDVLPLPNVAQNRHQDFKDVFEVSEEPQETARRERPHHFPKGLPSLKQSALKLKRLMPSKTVYRDDTHDCIVQSTDGLERLNSVEINNPLFEKRPHDMNFSPNYHYEKNPATVCRKYPVGLANSSLSDFRNLLREKVEDFNNIVVLEPSMERDHELENIFSIPYLSPVNKNCKRGTKHKKTVFPVVQDGRLLHHDLGNEYVDESRIRSERCLASDSIDQLSNGQEASFDHFSTIGVHSGSSHRYSTGNNNLRCSNKSLAKQRQQYQESASGSKTLAEMFALSDSERLKLNSDSHSAIRYSKFDQNIGHSKQGCFIVLPKHAPPLSLKSSLDWDACLEGPPKGRNNNSATSIRYNDGKFHMDSSWDEPRLLNQIGNDSEDGLRNASNLKHLMSDNFSSPRCLNEKVLFTTDEDMVRQCAGSKASAFDLQLARKQKARRLQFQCREYESTSLSDQADGRKSCKGLKEVEQPSPVSILEPLTDEDSSGYFKHDLHEMTRKRRDSHQLHDEPEVSMSSDDEDHCDYQSLEAFQVEEDRDFSYLLDMLIISGIIVADWQLVCKSWYSPGCPVGPHVFDRLERKYNKLSTWAKPERRLLFDLVNSILSEVLAPCIDVHPWVPSRSQRAPHWGPEGPVEKVWQIIVRQREEFIVGHPDEMVLDPSWLDIGDDINMVGKQIARILHGDLLEEVIVECLSGL >Et_3B_031220.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21508585:21509658:-1 gene:Et_3B_031220 transcript:Et_3B_031220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCRELNDSTGCQVLITVQFTSFEEKYGSGHGTISSLRDKTDDLYFETRNITHLGMYSRQVSESIGRMDLESVIKLASTTLSCAFAVLQILHTKRNHRDAAVPNTSITMLVVLVLGYAAPLALDLDAVLANRGNRLVQLSGNGLLELNELVRKVPALTAIALQLRLLQLVAWSGRRSEDRRSSGAEWRVLRLCLPLYLLGAGVAAAVHAANNRAARSNPLMVRDGRDAGTLGEALASYAGLVLDAFLLPQVVLNAVSGSSAKAISPWFYVGVTVTRVAPHVYDLLRARRSYVLRVRSSYVYASRRDGLFGVAWDVLVTGGAAALALLLFLQQRRGGDSRIRSGGYAMMPVVSNFPS >Et_4A_033681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25851274:25858428:1 gene:Et_4A_033681 transcript:Et_4A_033681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVKPAPVMEAEAEADEATKERTGGAEGSADAGAAVGEEVKEEEEEEKEVSFDELGLDEQLKRALRKKGMIMATPIQREAIPLILEGKDVVAKAKTGSGKTFAYLLPMLHELLKLSAEGRIRKSAPNALILVPTRELCQQVYNEASSLLEFCTSKLKTIALSGPPNILVSTPACVAACISKGIIRGSSIKESVSMMILDEADLLLSYRCEDDLKALVPHIPRSCQSILMSATSSSDVEKLTKLLLHNPFILTLTEVGRAKDDISCNAKDKMLYIVALLKLELIQKKVLIFVNSIDMAFRLRLFLEKFGIRSAVLNAELPQNSRLHIIEAFNARLFDYLIATDDNKPKEGNQTKKENKKESKVSRKHLQQTLDAEFGVVRGIDFKNVFTVVNFDMPPDPAGYVHRVGRTGRANKIGASISLVSPEENDTFEEIESMLHEVEKKDTSCISPFPLLTTEAVEALRYRAQDVARSVTARDIQEARRQDIKNEILNSEKLKSHFEENPRDLDLLKHDKLLSNKAIPAHLRDVPEYLIDPKTKEASNVVKLTRAAMGIDKPQRRKRQGFKGGSGRSRDPLKTFSAEGKSRRGGRKDREGEPDRRKRKKVES >Et_2B_020452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20311729:20312069:-1 gene:Et_2B_020452 transcript:Et_2B_020452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWTTPRASSRRCSTMTSPPTPRCLAPSSSAAMARPSSSRRRQGVRKA >Et_3A_023738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11588356:11609747:-1 gene:Et_3A_023738 transcript:Et_3A_023738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSAPTTRHRRLFAAALVAFSLLPEAIAARDEKNGDRVAFLPGQPRRPPVSQFAGYVTVNESNGRALFYWFFEAQASPAQKPLLLWLNGGPGCSSVGYGAASELGPLLVNGNGTGLELNKFSWNREANLLFLESPVGVGFSYTNTTSDLDKLNDRFVAEDTYNFLVNWFNKFPLYKSNDFYISGESYAGHYVPQLAEVVYEGNKHLESNQQINLKGFIVGNAITDEYYDEKGLVDFAWSHSVISDQIYEHVKNVCDFRLSYFTNECAYAMNLVYTQYHKIDIFNVYAPKCNADESAHSSSSVSTVETNAKKKFNRLRMYSGYDPCYSSHIEDYLNRMDVQKSLHANVSGWIKDRRWSICSGDVDGRVPVIGSRYWVEALGLPVKSQWQPWYLKDQVAGRFVEYEGLTMATVRGAGHDVPQDKPAEAFVIIMNKR >Et_2A_018252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20219911:20222702:1 gene:Et_2A_018252 transcript:Et_2A_018252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSHAPTAADANAGVEADGDAYRIRPLELADLSRGFCDLLAQLSPSPPLTEDAFRARLAELAALGADHLVLVAEDAATGRLAGAGSVLVERKFIRRCGLVGHVEDVVVDAAARGRGLGEQLVRRLVEHAREQGCYKVILNCTPELRGFYAKCGHRITPASGWIAKI >Et_1A_007011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3008895:3009813:-1 gene:Et_1A_007011 transcript:Et_1A_007011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSAPPDAYNKISTGAPPTAPPPAAYQQHGLDMNPAGPGGGLRKWSTGLFHCMDDPGNCLITCICPCITFGQIADIVDKGTCSCIASGMIYGLICASTGMGCMYSCLYRSRMRAEYDLDEGECPDFLVHCCCEHLALCQEYRELKNRGFDLGIGWDANIARQRRGISGGTVMGAPAMPHGMMR >Et_6B_048228.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:13721573:13722082:1 gene:Et_6B_048228 transcript:Et_6B_048228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNLSWNLLSGNIPEKIGDIQSAVSLDLSWNNLSGQIPWSLSELTYLSKLDLSYNNLSGTIPAGRQLDTLYLENPSIYDGDNDLCGPPLQRNCSGNNAPENGNEKTRAKFSEPMFFYFGLGSGFTAGLWTVFCAMLFKKTWRISYFRLFDRVYDKVYVLVVVTWGQKE >Et_8A_057438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:369914:378802:1 gene:Et_8A_057438 transcript:Et_8A_057438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERLSVSGYHANFTDLSSRCPRLRALQLSISINYPEEIRVQSSTLRELVVVGAGIDICGPRKCIDIEAPLLETLSLSRCIASIFTEDFSLRFPCLRSLRLLHQYKLLYLRVHSTTVEEIVLDDVNKMLSINVDAPALTSFTLCNASLEGPIHIVAPVLKVFTLRVWMDWNVNMLLSAPAVENLSWKCRFSRPPSGIHWMWFLETLSLWKEEGVYNFYLNIYLEHPGADPPKFEEMFPLPNISTLKIRIRTNGHAYGTTAAQIIKICSTTRRLHLVIGNCKYDKGCGQKCTCSQPESWRDQDISLMALEVVTIEEFGGKYCEVDLLKLLFRCAPLMREVNVKPCTGMLPSHRACKEICNLFKAHPSVRCCVYDSCGKKVVYERSVYASRCISAEMSNADDFLSPAKRTATGKLSVTLPAQEVVLHCDEVAWVKTDLAQRIAVESGSVLQRMRQGIQLTGHEISTAMKRIYDTAADIMSFHTLLSPHTGQSDLGFSSRPTHRRPHHRLLVLRLQQSHHLAVGAHLLMLQAPLHRCHPWLQMDDYDYEEEDEASRHPDRYSRRSPTPFDFEAYMAEGQQDTLPASQLAGAPPQTQPTQDYQDTPAAAVLRSTRQVVPPSPLAYSAGHVRAGRKTAKPGT >Et_5B_043444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10416366:10425541:-1 gene:Et_5B_043444 transcript:Et_5B_043444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRAKILQSISSEKQKEKSPIISPLRPVSTAGRLFPKHSLTALSPPSTPSSQRSRRRAAGTARAMDPAGGVCPDCRKAQMSSTCCLGGLLRRSIRNRRRIHGADHISALPDDLLLQILAHLGCARAAAHTGLVSRRWRGLWTRLPELDFHRISPDWLRAALDRVAQPAGSLRITIPRHHMLLPAGVSSMLQAVALLAPANLDVDVRARGRGDADVVELPCFDRTTSLKLSFASRFTVPPVGDFKALESLSLDSCHTDLGDLLPRCPHLCKLKISSWKLDLLTVHSPSLEELDVRAVMQLQHVDIVAPMLKNLMFGSFHGRNNEHSFSFSGDFSALENLSLDFCHTNLGDLLLLCPSLCKLRMSSWKLDSLTVHSPSLEELDVSAVVQLQFVNIVVPALKKLRFSAINGINNECSFLFSAPLVEDVSWHCPSRSASDRFGVLWHMYYLTLKTLESTGNTQLTESKQNTCSLMPDIPRGNVLSLSIREDVNLGVAYQSFGQEISRIPVRKFTILKLNIATGGHVYGAMILDLLGLCTSIQKLKVKLDRYQVTKPCSTNCSCDQHENWRSQIISLKDLKEVEIKGFNGEEHGVALLKVLLRCARMLNRVTVKLSRNVPQSCSAHTEFPGILKAHPSVKFGVYRWCGDQLRRRMACSGESLPRRLRQRADGGKDLISALPDDLIFEFLVRLRCARAAVRTSLLSRRWRRLCNRLPELRFRDIAPDHLDAALAGVATAGRTLSLLEIHVSDRHQLPSRRISSLLHAAARLAPAALNVGILGFEGKWRGAMADAVELPCFRRATSLNLEVPGVRFTLPPAGDDFPALESISLPSCCHVDLAGLVPRCPRLRKLLVSSLMLPSVTVHSPSLEELDVVAHGPVRRIDISAPSLKKLRLKALGGLVNEFSLSFSAPLLEEVQEMWCSSSSPSVGFGQIWQLTLLNLNIPKMANGSKSGIIDLQPPRRLLLCIEPSVSFRSISISPLCLLTRFPLTCCRTFMQIIWTDAVHSIEGHLSRFLVTSFSFLELMIGKANHVYGPLVLRLLGASRFIRRLKVKLCEVREEACSVNCPCDRNNWRSQRISLTNLKIVEIEGFAGESHEVDLLKVILRSAAMLQTVSLHLSRKASSITNECMEEVYQLSKVYPSVILNTYHCSGDRLVYTVSLIKNPAYARVI >Et_1B_012644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3418981:3420577:-1 gene:Et_1B_012644 transcript:Et_1B_012644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRASSRRRAVVAAVITLILLASVSFLLSATATSSTAAAVNSPASRLAVVQRHAEDHAAVLAAYAAHARRLSDASASQTDAFIAISARLSSMASRISLSTVGLLEKETRAQVKRARALAGAAKEAFDTQSKIQKLSDTVFAVGQQLLRARRAGVLNSRIAAGSTPKSLHCLAMRLLEARLANASSAVPDDGPPVPPPELTDPSLYHYAVFSDNVLAVSVVVASAARAAAEPARHVFHVVTAPMYLPAFRVWFARRPPPLGARVQLLAASDFPYLNASYSPVLAQIEAGDRDVAMLDYLRFYLPEMFPALRRVVLLEDDVVVQRDLAGLWRVDMGANVNAALHTCFGGFRRYGKYLNFSDPFVQERFSHRACAWSYGVNVFDLQAWRREQCTEQFHRFMEMNENGTLWDRTSVLPAGLMTFYGKTKPLDKSWHVMGLGYNPHIRPEDIREAAVIHFNGNMKPWLDVAFNQYKHLWTKYVDTEMEFLTLCNFGL >Et_1A_009532.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:7474654:7475595:1 gene:Et_1A_009532 transcript:Et_1A_009532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKPPPAPLRRRHMAAGSWVRSLQCKSTAAEDVAARGAAVPKKLLRPLLPRSGCASTGDARRSVAPSKPAKPTSSSSDVLRKKPSAKPSPNKPATKKSTKPATVPPSPPPGPLGPLPALTELPAGHSSRQVVEIIFLSSWSPFPLPQPPPPPPPGTPTTGSGAFPGEVEMLFRVHNPARAVARFEEYRAGVRARAGGASRSAADGNEMMRFSPAPPYGSPSSSSAAAGVGEDAMRVRTFDGSGGAHASARGPASGRRAMFLCRVIAGRVAETGTGSDSELGKEHDSLRVGKGELVVFDRRAVLPCFLIIYKL >Et_4A_033764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26672554:26675547:-1 gene:Et_4A_033764 transcript:Et_4A_033764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAAFLARLPQLALLQQPGGGDRAAAPVRVRVPGAVGSQGTKAVAATATARVRRGVRCRASLIEPDGGQLVDLVAREEGGRRAALRREAAALPHRVRLSQVDKEWVHVLSEGWASPLRGFMREAEFLQALHFNAIRGEDGRMVNMSVPIVLALGDAQRRAIQADGATRVALVDERDRPIAILSDIEIYKHNKEERIARTWGTTAPGLPYAEEAITNAGDWLIGGDLEVIEPIKYNDGLDQYRLSPAQLREEFARRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPVLLLHPLGGFTKADDVPLSWRMKQHEKVLEAGVLNPESTVVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDTKQKKMDFFDPSRKDDFLFISGTKMRTLAKNRESPPDGFMCPGGWKVLVEYYDSLVPSEGSSKLREPVAA >Et_3A_026792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2099754:2101229:-1 gene:Et_3A_026792 transcript:Et_3A_026792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSSQAAGTNDAALELWCKFFGHMDEASREMEVLRIKAVILNHRHEQPIDLFDSLRRLQLMQLFVDIPKSIEIEDSVRALPTKRKSIINGLVRDINKGWKVRADQAPTSSSPTPMAQHIDDIKFNNDVILTMFTSSAQVVESFIWEVHGDHRHHLVVGLDTEWRVVQEDGELRHRMALLQLCVDKRCLVFQIHHADVIPEALKDFLKCPQCMFVGAALDGDIRRLRKDHEIEVHSWSDLQTEAHKFNTYSKSRPSLKDIAQNMMDVTMDKDIVHMFWGEPELTPSQIKYAAIDAYVSSKLGLLMNLKPVTN >Et_9B_065581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7289552:7289962:-1 gene:Et_9B_065581 transcript:Et_9B_065581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFCHHFLFSSSPNSPTHKHGARPVLHPHHIVALPMPLHDTHPFRSRSMLRYPCPCPVHTIFADQDLFDHVKAKRHKEFLAESKAPAADGHGGNNDDILKLIYEKFRRVFCMDLPHLPITVRSSSCSCL >Et_5B_044502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:298383:305825:1 gene:Et_5B_044502 transcript:Et_5B_044502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEDYHVIELVGEGSFGKVYKGRRKYTRQTVAMKFILKHGKTDKDIHNLRQEIEILRKLKHENIIEMIDAFETPQEFCVVTEFAQGELFEVLEDDKCLPEDQVQAIAKQLVKALYYLHSNRIIHRDMKPQNILIGKGSVVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDNMSSNFKGFLKGLLNKVPQSRLTWPALLEHPFVKDASLQTAVDARITPFEVKRSEDTRKAEEIQASRNQTSPVDQQSRSAATIRENACDKVRGNSKVDDPMGAIKDHGDSELNIDGANQSLRIVKNLIDAGSCQPSAATDGIISVFLEFTSLIIRTKISDGYGLAVKCLAIARKLLDTSEAVILSSYDRHWSTLYELYSQILVATVDPSGRISRESTACLALMLSRVISGLKASMSSEGPKPVEESLLKIIDHARKSQLLELLCECLIASGSDIISGSTNMVPAACEACKAIWYLAHAVDIMSISAHHFSFPLANSWRQVHSKLGGKLQEQGSMEHSNSTNLIDIFVKSFLASRPMQIAVYHCLHNGLESAIHASLQLISRACLLNVTFCEIICGPLNSPPDGNEVEYGGEGTIVADMFSLLSLCSSYLNKESKQNSNQKCKLSNPHALVVHCCLALATIAACLKSEGKSTASVVLTRSQKKQRSRLSVLAHLSSVDDTVKSCLQPHCASAMLALSSLVSLENGGETRSSLCETALALFPRMATLHTLLKLWLSDGSEALCRYNAGLLNLFGLRDGSIGLLEIRLKWGGPLAIEQACSVGIPQLLVRLLTDGFSKENSDGKDGATNRSGLSPLGVVWTLSALSQCLAGGFFREILYKREQLKLLTDLLSDVHLKALAAWTGLGGGKRGVQELLNSVVDILAFPFVAVQSSPNMPSTSASINSGFLLNVASPGGRIGTENKEMLKTIEHSMSQYIQVLLEVGVPGCILRCLDYVDMEGEARPLAILAKMVGYRPLAVQLLKEGLLNPSRVATLLEGPIAKETLLDFLMIVSDLARMSKDFYEPIDKAGLVGFLKNFLSSEDPDIRAKACSAIGNMCRHSSYFYGPLVGNAAYHNGVLYEELRRSIPQLTNLLLGPEEDKTKGNAAGALSNLVRNSDILCEDIVSQGAIQALLKMVSSFSTVALSPSRRDVLTESPLRIVLFALRKMCDHAICRNFLRSSELLPVIVHLRQSPDPTISEYASAITSRACQA >Et_8B_058700.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1778063:1778134:-1 gene:Et_8B_058700 transcript:Et_8B_058700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITTGCGCGNPKLKTNANSLVG >Et_3A_026057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:470970:474901:1 gene:Et_3A_026057 transcript:Et_3A_026057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGGELKSSLVQQMMWSGTDSKNMMGSLMPCGEEQGASTKMPPLSSPSMLLPQQLLQQIPSSTGLGPEVNNIATSLASEDLHGGQESSMPESWSQLLLGGLAGNHERYSVATALLSKGLEEGPMSQAAAAYNFYGGHGGEEIQTSGANKSQVSQMLMASSPRSCITTSLGSNMLDFSNGTAPAPELRNHQSDNSSESNSNATGSTPKKARVQTSSSAQSTLKVRKERLGDRITALHQIVSPFGKTDTASVLQETIGYIRFLLSQIEALSYPYLGPVGAGNEGSMQQHQQQTMISANLFARLYLHCNQPILMHQFCTQQDVENGGEGKKDLRSRGLCLVPVSCTSHLADDNGASDFWAVAAAPPPPAPLGGGFIWR >Et_1B_009855.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:33508542:33513013:1 gene:Et_1B_009855 transcript:Et_1B_009855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWPPASRNEGPIAAMAPRYTNSIRVLDERFIRILKIFKWGPDAEKALEVLMLRVDHWLVREVMKTDVGVNVKMQFFRWAAKKRNYEHDTSTYMALIHCLEVVEQYGEMWKMILEMVRNPICVVTPTELSEVIRMLGNAKMISKAIAIFYQIKVRKCQPTAQAYNSMIIMLMHEGQYEKVHELYNEMSNEGHCFPDSVTYSALISAFCKLSRRDSAIQLLNEMKENGMQPTAKIYTMLIALFFKLDDVHGALSLFEEMKYQYCCPDVFTYTELIRGLGKAGRIDEAYNFFHEMQREGCRPDTVVMNNMINFLGKAGRLDDAMKLFHDMGNLRCIPNVVTYNTIMKALFETKSHASEVPSWFERMKGSGIAPSSFTYSILIDGFCKTNRVEKAMMLLEEMDEKGFPPCPAAYCSLIDALGKAKRYDLACELFQELKENCGSSSARVYAVMIKYLGKAGRLDDAISLFDEMNKLGCTPNVYTYNALMSGLARTGMLDEALTTMRRMQEHGCIPDINSYNIILNGLAKIGGPHRAMEMLSNMKQSAVKPDAISYNTVLSCLSHAGMFEEAAKLMKEMNELGFEYDLITYSSILEAIGKVDHE >Et_7B_053495.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:23286953:23287513:1 gene:Et_7B_053495 transcript:Et_7B_053495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLGIDTIEFFWIWIIAKNFRNRKRLAVCKHAAKSRTVKSALYQQEQVIEIKGILSIPDIMEYLRLWIRLNSVILTLEARQVHLESGPPRPPSQRQVHIKHSSWGKHPFLVCQEAMEDAGTKQMQTLHLDGIAWSLLDIGPAPTSTTSPIMAPARAMRSMRQDYGPSACWWLINPGDMVPYPMSL >Et_2B_019380.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26227421:26227504:-1 gene:Et_2B_019380 transcript:Et_2B_019380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALFHCFIYLEFLLGKDNVPYKRGSR >Et_10A_001312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23230661:23234897:-1 gene:Et_10A_001312 transcript:Et_10A_001312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAAAAARRLSLLLHRHLLPKHSISATFSSTADDIDDGGGGGRVKIFNRDRAAWAAGGTDALTGAVAENLLDRLEDCRKAFPSALCLGGSAGAVRRSLRGRDGIGKLIMMDMSADMVKKRRELDNATEDGLETHFVVGDEEFLPIKESSQDLIISCLRLHWTNDLPGAMIQVAVCSAIFSHSLPKYRNYCNCLIVALSWQCRLALKPDGLFLAAILGGETLNPRMSPLAQVRDAGNLLTRAGFTLPGVDVDQYTVKYNSALELVEPLRTMGETNALFRRNPVLKRDTALATAAIYQSMFGLEDGSVPATFQVIYMTGWKEHPPQLKAKRRGSATVSFSDIQKQFGIRSSHMNRIADKSGHHRKI >Et_6B_049923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18048278:18050635:1 gene:Et_6B_049923 transcript:Et_6B_049923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSAHTLRAARRLALLLCLFCAVAAGEVVTHNIPAFNAATDDQYYIQLTKSGLTTSVIGGYDTFQSSPVYNTSEGFVLLSNDVDFWRSGVEASFSTSFTLVAGAGPVSFAVRGISTNIIRAPRGPDPANASAAGLAFVEAGGLNPGCSCLPEAGLNVTVSPTGAGGCAVWVDYRAADEHRLSVYVAGAGEPKPANNLLNISMPHGIEGNWTTATAPVGFFAGKVGDVMVGVRDWNLIVDSNLPVDHSEGPNTLVVVLSAVFGSAAAIAISTIAVYFYFNSKYRRWSKEQEKRAKVMRGLPGVPVEIEFADIKKATKNFHDTMKLGKGGFGAVYRCTLPAAASRTGEAMEVAVKKFTQEVEDQRYSDFLAEVSIINRLRHRNIVPLVGWSYNKGVPVLVYEYMTNGSLDQDLFRQGGHDRKDPRDATMSHWGTRYSIVRDIATGLHYVHHEHEPMVLHRDIKASNIMLDSMFRARLGDFGIACTVAANKSYVTGLAGTFGYIGPDYAMSGKATRKTDIYAFGVLVLEVVTGKKNADVQPDDAHITDWVWRLHRQGMLLQAADNMLTGGDNPDKGILIDEVERLLLLGLACTNPNPSNRPSMVEVVQIINKLALMPDVPLERPAFVWPPEDWHTRNSMYSTTVSDWESSFTNTMDRVLLSQDQPSSTSTGEPPLVVKLGV >Et_8B_060620.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3503337:3504353:1 gene:Et_8B_060620 transcript:Et_8B_060620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKILTKSGSFQEKVSHSFQRSNNNVIEEIILSSSKSNGDQFLALLCTSTSSATKAGVKESEQSAAAAEPPAAKIETINVSELLAGLEDESCAAEEAVEEQRSDDRKEGDRSPAQCASSDGGAAGRARSFRTVEEFDALVTQSGSSQRAPAPEPEREEPGTATPAAVSSDAATDAAAESSSSSGQEQEQAGKGEDGAGAKRRARARQLGELKVPTTFDFSKSGSLRDWLLRGGQIFSPGSYVTPRFGTAPAAERGGGGGENAGEKQQQQQQHAVFDPELVAQFERAMEQLSEDEERVLTEILEALELGTGEKDSAALGKGSDQPAAVAVPVPQD >Et_5B_044075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19747982:19755431:1 gene:Et_5B_044075 transcript:Et_5B_044075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMVSSALVQETVSLILSGLVNKSEGKEKPSANENVERLEMAHIKLEAALEISNKYENKDSSDKYEDFERLEMAHIKMEAALEASDKWHITDASLLRWRKKLKRAAKECDGTLHKCKQRIIEEEQMEKAVRESSFPKRLAHTTRSFVFSIFNHNDDESTRSIVRRFERYADGASDFLRFLNLRKKLQHWIVRANECPLFLLWTPFTTADYGIEASLIFMRKDGNEPENDFFYCIMLQLSESTDIVGIAIKCLQSFAPLFKSTVETIRKDLMRLPTRDFSWVSYVDSEQKEHWDNLHSFRTQWYRANPLCCKQHDHRELRSNSMGHMQYFSAKYSQLKAGLLYVPHGSSEDLVPGNKSSATEVINSGEQHCLLTNVSLEQLEEIMLPKAVNYFLQNTEASAYQMLWKSKHGTAYFQESMSRPRTGGARKRKLLQGQEQGTSGTSMICRLLDLWGAHMPIRLRSFFVDWMQKQKEGQLTAQQAHTVQ >Et_7B_055986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8860511:8865348:1 gene:Et_7B_055986 transcript:Et_7B_055986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQPVISLRPGGGGGPRASRLFSPAFAVATSGSGDFLRPHGGSASGISKIGDSHFEPRERVRYSRDQLLELREIADVTEDILRIKHGIDAELHGEDQSWVQAQAQAQPNNRYAETDNRDWRARSAQPPAVAEEKSWDKIREAKESYASSGRQQEQVHRQDHSQFASRTQAGPTPALIKAEVPWSARRASLSEKEKVLKTVKGILNKLTPEKFDLLKGQLIEAGITTADILKDVISLIFEKAVFEPTFCPMYAQLCSDLNEKLPSFPSEEPGGKEITFKRVLLNNCQEAFEGAGSLRAEIASMTDPDQEMERRDKERLVKLRTLGNIRLIGELLKQKMVPEKIVHHIVMELLGAGPDKKPCPEEENVEAICHFFNTIGKQLDENPKSRRINDTYFIQMKELASNPQLAPRLRFMVRDVVDLRSNNWVPRREEIKAKTISEIHSEAEKNLGLRPGAASAIRNGRNSPGGPLSPGGFSMNRPGTGGMMPGMPGSRKMPGMPGIDNDNWEVPRSRSMPRGDSLRNQAPLLNKPSSVNKPTSFNTRLLPQGSGAALIGKSALLGTGGPPSRPTSVTPGLIHAPAQTAPSPKPVTVAPTTPASARKASADLQKKTIALLEEYFGIRILDEAQQCIEELKSPGFYPEIVKEAINLALDKGTNFVDPLVRLLEHLYTKKIFKTEDLETGCLLYGSLLDDIGIDLPKAPSQFGEIIARLVLSCGLRFEAVEEILKKMEDTFYRKAVFNSFMKTMGANPSGQAILSSHAAVIDSCNSLLE >Et_8B_059793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2843066:2846379:-1 gene:Et_8B_059793 transcript:Et_8B_059793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFGLIASLIYLLSFFGIAFVQSIVSSSDDDEDFLVGSGRPAAAQGSPAAAPEKMPEEDEDIVASVVAGKIPSYVLETKLGDCRRAAGIRREAVRRITGREIEGLPLDGFDYDSILGQCCELPVGYVQLPVGIAGPLLLDGQRFYVPMATTEGCLVASTNRGCKAIAESGGASSVVLRDGMTRAPVARLPTARRAAELKAFLEDPANFETLSVVFNRSSRFARLQGVQCGMAGRNLYMRFSCSTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVISISGNFCSDKKPAAVNWIEGRGKSVVCEAVIKEEVVKKVLKTNVQSLVELNTIKNLAGSAIAGALGGFNAHASNIVTAIFIATGQDPAQNVESSQCITMLEPVNDGKDLHISVTMPSIEVGTVGGGTQLASQAACLDLLGVKGANRESPGSNARLLATVVAGGVLAGELSLLSALAAGQLVKSHMKYNRSSKDMSKAAS >Et_1A_006467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22870891:22872168:-1 gene:Et_1A_006467 transcript:Et_1A_006467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGSPHLSDFFPAVAAADLTGCRRRMERLFARLHGMFNDAIDQRIQGREAGETRKNDFLNHLLDAALGEDGTAGLDRDTLLSMFTDLFTAGSDTSSNTVEWAMVELLRNPFSMVRTCDELAKVIGSGRNIEESEISRLPYLQSELKKRFGCILQLHCCYHDKLR >Et_10A_002255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5767986:5772666:1 gene:Et_10A_002255 transcript:Et_10A_002255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAGGPATGLNRTGKSCRLRWVNYLHPGLKRGRMTPNEERLILELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKRTMSPSSSSSSLTYQSGYPDTSSIIGTEEQELHGGNSGCITSILKGTAPDMDVYPMDQIWMEIEAPEAPSATGFDGEKTQDHAFSSLPGPLPPSAAWDYYCPPADTCWKMDDEIKMAPQFGFSEGAGPFPIIDNNNNKDVR >Et_9A_061720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15756151:15759620:-1 gene:Et_9A_061720 transcript:Et_9A_061720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDRVSPHAAVAGGGAGSGPKSPRGAPGADRRHPRPFAKNLDFATWASEHSTKLLLLLFAVASAAAVFLLRGAAPDAAALLCLDRSSSASHSGPARLPYPDVAWSKVPPLAIAAAAPFATFRAERWIVVAVSSPPTAALAALARVKGWQLLAVGDSHTPAGWELKGAIFLSLELQAQLGYRSVDFLPYGSHVRKTAGYLFAIQHGAKVIFDADDRAEVPGNDLGRHFDVDLGSGATNHPVLLQYSHADPNRTVVNPYVHFGQRSVWPRGMPLDKVGEVAHEAFYTEVFSGRQFIQQGLSDGLPDVDAVFYFTRKPPTSAFDLRFDAEAPKVALPQGMMAPVNSFNTLFQSPAFWGLMMPVSVSSMAADVIRGYWAQRILWEIGGYVAFYPPTIYRKDHVQAYPFAEEKDLHVNVGRLIKFLNEWRSNKRTLFEKILDLSYAMAEEGFWTEQDVRLTAAWLQDLLAVGYRQPRLMSLEIDRQRATIGEGDMKEFVPKKLPSVHLGVDEIGTVNYEIGNLIKWRKNFGNVVMIMHVSGPVDRTALEWRLLYGRIFKTVIILAEQSNAELAVERCALSHAYKYLPKVFARYSGADGFLFLQDHMILNYWNLLQADKEKLWITNKIAHSWVTVPLETNKEEWFVKQGAMVKKVIGSSPVHFQTSYKESMSKDKIAFCGSELFYVPRRFVEDFGDLVGLVGDLDLHHKIAVPMFFLAMDSPQNFDSDALAGTVFKTNLAANETFSTIYTAQAPAVFPVKVMNEMDFIKVIRLMSIGDPLLMELV >Et_4A_033633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25220586:25226296:1 gene:Et_4A_033633 transcript:Et_4A_033633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGAGEAAVEALPLPAGGAAAAGKDGGSKKRRLAVALWVLLAAAVWFILHFHLRRSAQRRAEEGLVSMCEERARMLQDQFAVSVNHVHALAILVATFHYDKDPPALDQDTFADYTARTSFERPLLSGVAYAQRVVHADRESFERRQGWIIKTMKHEPSPVQDEYAPVIYSQETISYIEGLDMMSGEEDRENILRSRATGKAVLTRPFRLMSNHLGVVLTFPVYLRELPADAKVEDRVAATAGYLGGAFDVESLVENLLKQLAGNQELVVNVYDVTNQSNPLVMYGSEVPLGYPSPSHICMLDFGDPFRKHHMICRYRNKLNVPWSAISTPSGIFIICMLVGYIVFAAWSRYDNVKEDCRKMEELKKGAEAADIAKSQFLATVSHEIRTPMNGVLGMLDMLLDTDLKSTQRDYAQTARVCGKALISLINEVLDRAKIEAGKLDLESVPFDLRSILDDVISLFSSKSREKGIELAVYVSEKVPEILLGDPGRFRQIITNLVGNSIKFTERGHIFVQVHLADHSNLATEAKLEPVANGVNGHKDETTTISTNVSHNTLSGFEAADSRNSWENFKHFLSYETSEMRYGNDSDKVTLVVSVEDTGIGIPLDAQGRVFAPFMQADSSTSRNYGGTGIGLSISKCLVELMGGQINFVSRPHVGSTFTFTAILQRCDRSAISDSKPDSKPVNVMLHPVPSSFKGLSAILVDKRPVRATVTKYHLQRLGITSEVVGSIEVALGVLSGRNGSSLISMKQPSMLLIERDSWGSKIDACLRTRLLELKQSGCTPVSPKVILLAAAESDKLRANYTVDSVITKPLKASTIATCLFQALGITQSNNEKREGSGSLRGLLLGKNILVVDDNKVNLRVAAGTLKKYGAKVECVESGKDALALLQVPYKFDLCLMDIQMPEMDGFEATRQIRAMEEKVNEQAEAAKWHLPILAMTADVIQATYEECTKFGMDGYVSKPFEEKQLFQAVQKFLDPSMLN >Et_2A_018379.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:25388464:25388835:-1 gene:Et_2A_018379 transcript:Et_2A_018379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAHPVPLWDPATRELASFNTDFSFQINDERDGLPRGDGMAFFLSYYPSVTPTNSGGGGLGLMSLGSGNKASGDEQFVAIEFDTFNNTWDVLSGDHFGIDINSMVSNVSKDIFEAGKNNLVG >Et_10A_001594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5742642:5742964:-1 gene:Et_10A_001594 transcript:Et_10A_001594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCPPNLGSAMLSMLPSENFWRTHCAIWTISESLMRTAGGWPVMSSNSTTPKLYTSLFSLSLDVLIKIPQGACRVGGHMSPKIICKRLHEPKISYLRLKRRR >Et_9B_064959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2011469:2015450:-1 gene:Et_9B_064959 transcript:Et_9B_064959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGAGIVAEAGAGGRRGCGLLGAYEMGRTVGEGNFGKVKQARHRATGAHFAVKILDRARILSLHIDDQIRREIATLKLLKHPNVVRLHEVAASKTKIYMVLEFVNGGELFDRIDDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYIMLVGYLPFDDRNIVVLYQKIFRGETEIPKWLSPGAQNLLQRILEPNPMKRIDMAGIKAHEWFQPNYIPVVPYDSEDEDSQLDALLPVKEQTNEAPGDKTHQINAFQLIGMASSLDLSGFFEEEGVSQRKIRFTATHEPKDLFDKIESSASGMGFQVQRGHNKVFELGPSLYVVELKKSHGDPALYRQLCERIGSDLGVLKMDQILGRQSVENNVKSFDNRSATPLVAL >Et_6A_045923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8040916:8041440:-1 gene:Et_6A_045923 transcript:Et_6A_045923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLAFFALAALAVTGDGDKATTTHLRFYLHDVVTAGTTPLPADPRTRFGDMYVFDDPLTEGPDAASPAVGRAQGFYLAASQTELALMFSFNVVFTAGPRNGSTVAVQARDLITAKVREVPVVGGTGVFRGATGYGLVRTHSVDFANMNAVLKIDMYLRS >Et_1B_009890.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9102624:9103657:1 gene:Et_1B_009890 transcript:Et_1B_009890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLDFRYLDEGLGGERGKRKRREEAEAEEAAGDSMDLDADGSAPRPSKLRAVPSLSDPSKPASFGQPTYDGVIAGRVSGRRWKEPRTRRASAVVASRKPTPLEQRVREKSLKRAYQARKAELKEEIRANKVAKRKAREEREKKKQENILRTGTKLQRVSNPKTIQKIAKSKKRKQLRLVSDDVLGGKKSEASRRMQVPGLET >Et_2B_020014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16096530:16109746:1 gene:Et_2B_020014 transcript:Et_2B_020014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWPLPAMVDAQCRLPSLVVLVTANYRCRATGSDVWLECWKGITIELRNALSTSLDAFLLSKHIMYSKLLLGPRQQFTEDCCAKVHQWDLKMPTICCVYRRMALVQTATCIVVWHCHGAQKCLLYLCKSSPSEQAHGILDFMTTASQGSVVCPSLVKPNSSWDIYRNSVKTVVPRYASGTSNHLLSDVYTTQWPLTATEASTRRDSLGTDRTVNGNLLTNYYRWRHTACIVVRYCHGAQKGLLYLRRGASSEQAHGILHDCGITRKRDPPIAREAKLLLGHPQELCEDRCAKLRKEEVEAAMISAKRLVQMAKKWQRIAALARKRLTASTPVKEIDGSSCSTSSVASKGHCVVYSADGRRFEVPLVYLGTTVFGELLAMSQEEFGFATNDGKITLPCDAEVMEYILCLLRREASEDVESVFLSSMIWSCHYGNSLGHAIRFNQQISVSSF >Et_7A_053100.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6505813:6506412:1 gene:Et_7A_053100 transcript:Et_7A_053100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSEEPAVKHITLHQLLKQQQHLLQLQHRIKPAVMWSWAAAAAATGGRPALDDAADDDVLGGAWPPRSYTCAFCHREFRSAQALGGHMNVHRRDRAKMRGHHHGAAGQKLAGAAAATAAAGDQTTHAAAAPAEYAVLYPILNSNAAGAVLIPTSGDVLLSTSLALAAPAHDRCHVSDDYEEEDDKEVDLELRLWWP >Et_3B_031081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14458982:14464012:1 gene:Et_3B_031081 transcript:Et_3B_031081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVSREKLPPLPPRRAGQRQLAAARHRDCDDEQVEKFYELLHNIRAERHLFKLRQTNCRKRLRMEEPPWKPAFTMQDFEEAKGGHVGGPSNTKPADHKVGAKGETSSGSRDTGGEDSLFAIS >Et_1B_010217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30398908:30400095:1 gene:Et_1B_010217 transcript:Et_1B_010217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGDAALGEAEAGSTKKNRRRISDYLGDSDGGELETSLPSPETPPQLRLPRFTCARFVRLGRKRGGRKEVAEAVRSEDASVDTSGGSHVSGAKQAETSAPTAEAGMGVSMLLLLATTCVELNRMAEVRAQMETLLNEIRDEASRVKASADHVVGTPGTCCNLQPSSTTVSSSCTSDTDTNRHATTSSCAEEIARQEDESKPGEEGHLEAELETEHAQHRLLECSNTEQETSEVQYSFSPYVSVQSSDDEFIELEGGRFGGGGSGGLEWDVGDEDSEEESTGTPDQDGGVCAIELERRLHELLHRRNRERIEELESALRRAEQKLREKEVEAQMWQETVTLALQQPPPPGDGQ >Et_3B_031560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4712261:4715571:1 gene:Et_3B_031560 transcript:Et_3B_031560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRCSPPRRLQLLRPHHLRLLSAAALASAAPAPAQPPTDWAEAPIASVRPATADASLFHVSLDLSAHRGLLASHAAAGQFLPFRLPATPYPIFLAIASPPPAASLDGPSSFDFLVKRLPGTPSARLCDLRPGDLVHVGASVVGRGFEVAKIADARDVLVFATGSGISPIRSLIESGFGENGKIGVNLFYGVRNLQRMAYQERFSDWESRGVKIVPVLSRPDGQWTGERGYVQEITRVLVADEGLLFFPHVTFKGFLVAIQNVLLVVRFCTVIPSTMMVMAMNCHQTTLLRIGSCDAYGCWNLMLDPHHLI >Et_3A_024835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24724871:24730372:1 gene:Et_3A_024835 transcript:Et_3A_024835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEKAGEEAEEEYESDLDDAPLPTARRRAAASDDDEEDEGGGGWRGSSPPSTVSDSDGQGAAELYDDGEEEEVYGTEEDEECEVVYEELGTGGIGEGGGEAEMVTGEAAVAQEDEGKCDVEEEATAAVEGEKEQTVGGEEKDEEAAVVGEAEAKKGNEPYAVPTIGAFYMHDNRFQDKENCSRGQFFGGKKLWYPKETVWVHDRFHEINFHDSQKDNASNTHSFDHRYLERIKSNNGTKNFDNVLMQSRSYDGNAKGYNNLKDEAMWLHDRYCEINCHDAQHDNVSCIHSVGHCYFDGSGTCDDIKNFDNVQSRSYDGNSKGYNNASNLYCEKVSRTYQSHWTTLRFSSAANNRPKNTEVSSNAIMGKHISQTSSTTPFSSSRSSHQGPPFGQREKARVVKFSKLFSSAVHMAHNSLASQSCPVLRKKAFVPSAEHGNTVDTRCMVPIEVMPCSALHSLSTTDNYNEYSESCDQGKDLNTAESAHNTVYLTVSPAIRPYAQAHNVVYQQKSVEPPILPAQRAFAPIFSPDSSGTNKTQSHPQATLASSTKDGDVTTPTEPNNSVVLSEIKGHDDVVEAERTSSHGAGDCVLGVTGASRFTIGHPGSIGIPAKLPGLCSSFIMHEAICVGTRETCRVNPTIEGAFSLHVMLFSGQHPTGSGFPSVAMALPGFEDQQLGENSEMGLMNWDRSTTKDPISLPSQEIPGHELVRHQNRPRSITKYARYQKLDAPGFSS >Et_7A_051699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23140031:23144296:-1 gene:Et_7A_051699 transcript:Et_7A_051699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAISTASLLRPLSQGRSRRSRNSRTQRLVVRAEAKDIAFDQRSRAALQAGVEKLANAVGVTLGPRGRNVVLDEYGAPKVVNDGVTIARAIELYDPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSLKKGIDKTVQGIIEELEKKARPVKGGGDIKAVASISAGNDESIGSMIAEAIDKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNLEKSIVEFENAKVLITDQKITTIKEIIPLLEKTTQLRAPLLIIAEDITGEALATLVVNKLRGILNVAAIKAPSFGERRKAVLQDIAIVTGAEFLAKDLGLLVENATVDQLGTARKVTIHQTTTTLIADAASKDEIQARVAQLKKELAETDSVYDSEKLAERIAKLSGGVAVIKVGAATETELEDRQLRIEDAKNATFAAIEEGIVPGGGTAYVHLSTLVPAIKEKIEDPEERLDRLQ >Et_7A_051693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2289013:2306092:1 gene:Et_7A_051693 transcript:Et_7A_051693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLLFLHLLVVAVVAGAPAAHAWGKEGHYMTCKIAESFLTEEASTAVKGLLPKWAGGVLAETCSWADDQRKTLPWSTELHFADSEGGCLFNYTRDCHNTKGEKNMCVVGGINNYTAALEDSSNPYDRTISLMFLAHFVGDIHQPLHCGRLTDLGGNTIRVNWYTNATQTNLHKVWDVNVIETALKNFYNDDLNTMLNAIKLNITHGWSYEEKQWEACHTSKTTCADRYADESAALSCDAYKGAEQGSTLGDEYFYSALPVVQKRIAQGGVRLAAILNMIFSGNRRLQSSYRMGLQLFLHLLLVAVVARAPASHAWGKKGHYMTCKIAESFLTEEASTAVKGILPEWAGGVLAETCSWADDQRKTLPWSTELHFADSEGGCVFNYARDCHNTKGEKNMCVVGGINNYTAALKDSSSPCKHQSHLKIPYFITFFYHHKFTTSLPELLPSDDPTISLMFLAHFVGDIHQPLHCGHVNDLGGNTIKVNWYTNATASNLHKVWDRNVIDTAMEDFYNNNTNTMIEAIKLNITHGWSYEEKQWQACHASKMTCADRYADESAALACEAYKGVKQGSTLGDEYFFSALPVVQKRLAQGGVWDVNVIETAMEDFYNNDTTTMIEAIKMNITKRLAQGGVRLAAILNKIFSGNRRLQNTRMGLLLLLHVLLVAVVARAPATQAWAKEGHYMTCKIAESFLTKEASTAVKDLLPGWAGGDLAETCSWADTQRFRYKWSSPLHFADSEGGCKFDYARDCHNKQGETNMCVVGAINNYTAALKDSSSSFDPTESLMFLAHFVGDVHQPLHCGNADDLGGNTIIVHWYRRKSNLHHVWDVNVIETAMKAFYNNDMGTMIKAIQLNITGEWSNEEKQWETCRTRTKTCADKYADESAKLACKAYEGVEQDSTLEDDYFFSALPVVQKRIAQGGVRLAAILNKIFSGNRRLQSNFSSWAISRPSESCTKRSSSLRTLTGVMAQLEDAEYSLVTPSVTVSPR >Et_5A_042062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5883832:5887565:-1 gene:Et_5A_042062 transcript:Et_5A_042062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLLQPALAAARPSLFHPSPAAPTGDATATAWRARARPGVAFSLQTNVRLLKPNRRVRRSRDPYYDFDEDDDAEDEEFGFEEEEEDEEEERDDDLSGLEYPGVLYSKSARAPSKKPGLHSLKESWEGRQPKTHDKYGSPEKSDSRSKAGRSSPGLVDMSGEVELKNESISRNLFQKLQEEYEFDDKWLPLIDYLCTFGLKESHFVYIYERHMACFQISQASAEERLEFLLSAGVKTKDMKRILVRQPQILEYTLGNLKSHVDFLASIGVPSARIGQIISAAPSMFSYSVDQSLKPTVRYLIEEVGIEESDVGKVVQLSPQILVQRIDSAWKSRSLFLSKELGAPKDSIVKMVQKHPQLLHYSIEDGILPRINFLRSIGMRNSDILKVLTSLTQVLSLSLERNLKPKYLYLVNDLKNEVKSLTKYPMYLSLSLDQRIRPRHRFLVSLKKAPKGPFPLSSFVPTDEQFCQRWAGTSLEKYHTFRQSLLLTGFTENSGRKTLISRRDH >Et_2A_014631.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:30326185:30327950:-1 gene:Et_2A_014631 transcript:Et_2A_014631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWMRQLKCAVASPAGDADVPPTGNGAASGVEDLRVAGREPGELGGALDHLPVHHLLLAAVDAGHGSHGDVEPGAHHVNPPPPAGELPDLAGDDVRPPHLGRHPAAGHHPPLVPRLVVPLQPPLPVLRHDVHPAAGDGAGARPALAHPARHLGPGVRRRVIHRAARRALERPRPPLRHVQLATGGGGVVPRHRRAVPRRGRRPPPLLRVEHLRLAVLAGHHHATSDLPGRHVPPGRPHRRRLLPPVPRGAVHRHAPHLLVAAFLDAANHVDLAAAGEPHHRERPGRGAAWHRRQQKPPSGERVECLALEPPRHRVEGEREEEGQRERRGRRCHAACRREEQRLGQRVCEESVPGGGDSAEESVVEERQVEEALLGHLLRQARDHLHGLLSHGLTGVQRLLLVHGGWILRWNLVRFASRWVWGALSVW >Et_7B_055937.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7633018:7633932:-1 gene:Et_7B_055937 transcript:Et_7B_055937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPAGPTRLTPAPTSPSQSRFVAAAPGPKPQQNPLRLNPSAASAAMLRRLAAAAAPRAFFSSSPHAAPASGYSPRREYGLVPMVIEHTSRGERAYDIFSRLLKERIVCIHGPITDDTASLVVAQLLFLESENPAKPVHLYINSPGGVVTAGLAIYDTMQYIRSPVTTLCIGQAASMASLLLAAGARGERRALPNARVMIHQPSGGASGQASDIAIHAKEILKVRDRLNKIYAKHTGQAIDRIEQCMERDMFMDPEEAHNWGLIDEVIEHRPVSLVSDAVGSDLPSLGGGGGGTDKGTEEPSPA >Et_8B_059721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20998912:21004462:1 gene:Et_8B_059721 transcript:Et_8B_059721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKNLWDILDSCKKKLPLQHLQNKKVCVDLSCWLVQLCSANRSPAFLKDKVYLKNLFHRIRALLALNCSLIFVTDGAIPSVKLGTYRRRLGTAAEAAREEANLQPLTSLRRNKSSEFSRMIKEAKHLGMALGIPCLDGVEEAEAQCALLNFASLCDGCFTSDSDAFLFGARTVYRDVFIGEGGYVICYEMEDIENKLGFGRNSLISLAVLLGSDYSDGESACRLVKSAGDDVILDQILSDGVKARRKCKGKNSGINEGKVGGICPNASTCEVGMSKDSGAQFHHVINAYLEPKCHSPDSEAVQRACSQRPFLRSQLQQICEEFFEWSAEKTDEYILPKIAERELRKFSNLRSTSSALGMKPLLSEIPVPCPVLAIVKQRKVHGSEYYEVSWRNIDGLQVSVVPGDLIRSACPEKITEYLEKKDENKKQKRRARPKKSGQAAVKHVDAQLQELLLDIESESGGAFPSTVNGPQTGDISTMVPIKDIVDLSSPSPPLRACKIARSRKFTASVTITMDGIDLPCGSLLPGTIGDSIDLSSPLASAAHKPQTARDDVEAERGALADISNVPEKGSTPVAASCYKHEPGENRTDVQLEASRLSSHGTGLAGEAACLWRCDTEYNAVAEADMIDLSSPSPVRSNNNKHDADVIDIGESDNDQSPEHDRKARELRLFLDSIRNELN >Et_4B_036940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12876575:12880010:1 gene:Et_4B_036940 transcript:Et_4B_036940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYPVLNNRPIDQWRVTDLKDELRKRRLPVKGLKEELVRRLFDSIQTEQAATEATEDVGVTAADDQQLDINVTQETTVTITEVHQETVVHVTQQVEVPGTEISTDVKSDLTPSEVTSAVTEVSRIQKQDLAPAPVDAFTSERKDSKLLNEDPEPIVSKPNNQVPEVSPDLGSQIKCESISSDDISTNKKNNIEDNLNANNFDLELESMEEDVMESKQVESNMKSDDLMETTKLSSKDVKELSLPDSAVDTKEVIAEEKPAASAEKRKLEAEGTVANTEPIKRQRRWTGDGGKVPERQTLSETVSDAPKDVFQPALKRSFGRSDSTASGDSPKERIVPPSQKPATTSLRIDRFVRPFTLKAVQELLGKTGSVENFWMDHIKTHCYVTFSSVDEAVATRNAVYNLQWPPNNGNHLVAEFVDPQEVKLKLEPPPPAAAPVSPATTPRVPTVQQAQANPTVPRQVASPREQVPPPPPLAKPPTSDPASARERLPPTPKKPEPPVVTLDDLFRKTQSSPRIYYLPLSEEQVAAKLAEQDKGKI >Et_2B_019528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:109382:115267:1 gene:Et_2B_019528 transcript:Et_2B_019528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSSSSDQSSSSSSSRSNSLVGDTMMEVEKRWEFKEEVDIMEEEEDAGALHTRESKKKAADHHGNGQPPQVEEDDEEQKQSVFFDSTNGLWKCRHCDWTYRFSSPSTDDILNHQSYCQIAWNLELLVRNEPFYYSSGKDNVTKSSAEQEVTEAVQPAGEKENINESVEVKELEKQENFDALENNQNSSNGEFETGSHSNGVHGVSSSSETVTIADGEAGLKLIATIGESATSSENWSGTLDISNSITNRTQVHEIEVEKDENVIKGKVTIEEYDLEKILDEQETHDLYCPNCKSCITRRVILRKRKRTVRQALRDEPPKRPQTAEPSASTPNQTAAEGQGQDTPEVFRCLSCFTFFIPTGYGFNIFRIFERRDVNPQVQDPSAPRESENCGSWLLSCFQTVDSPKESANAGPEKEPPLSGSQSTNDKIASLEDTTASGHSHGTDGKTEQQKKPLPAGSSSVVQPAVIVSSPTSPMVPWQSITGFMPTKPGQMVTAQPDLGPQEQIPLPKPAGDAVTEVKFPVPGGSEVKLPVPGGFEVKFPAASPGKVVPGDSVTSREPTSVIPPPIQSESRPHVTVPIRHDEVPLTPVPAPAQRDEWDILKAVVYGGLVESITSLSVVSAAAASGAKTLDIFVLGIANLIGGLPIIFHNIADLRTIGDANENDERVGHYWLQLGRRSKYRLHMVIAILSYILFGLLPPVIYGLSFRKSDNRENKMMVVAAASLACVALLAIGKAHVKRPRTYFTTLLYYLSIGLSGSGLSYVAGVLITRLLAHFGLIDQGGSTPTAAPSPPSLLLPDAMGAGATAWASY >Et_4B_036168.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3990898:3991185:-1 gene:Et_4B_036168 transcript:Et_4B_036168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRPVILPPLEAGSDRCSVKPASRRSCHSRSREAQAFARDPTGYYSTWNGQASKRTHRSRHRAHSKHPHHLDAPTWVTSSRYLARRGRSVKTSI >Et_2A_017094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30923196:30930458:1 gene:Et_2A_017094 transcript:Et_2A_017094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSAGRKKALSPPKHRHDGTSPLPLGMDWSPPPKRWEGRNTVWPHNPQTGWSYCVMIPSWIAQTPEAGATAESFLKSVVSPEGFSSSHGVLRRFSDFLKLASDLKRAFPRKDVPAAPPKHAFLRINSSRLLLEERRHSLEEWMQKLLSDIDLSRSAPVASFLELEAAARLYFQEWNRCPSEGDSSAKRSSHPDEPGSVAHAQFNQINPSFARGSSLTGSTGNGLHGEAIIDQADLHVSGVRKSDRAFLENDGRNGSAETYRGVASEDDHDSNPGHARKDSTESLGSDLSSLRGSEISAPGASSSLWDGPVDLPSGVDRHNSQSEQYGGLDMQILFDVDAQIILPNDEKQKLTRLLVTMQRRIGTAKTDMEDLIARLNQEAAVKEYLATKVKDLEVELEATKQKGRETLQQAILAERERITQMQWDMDELRRKYSEMESNLKTEQNEKTRVESEKTTASGEREMLLEELESKRKEVDSLQQHLGEVEAKSKADIKVLVKEVKSLRNSQKEMKKVLNQYLEEKTDLERVINREKQRSTRMKLSREKILHECRLLRERLQECSAKFLAEEQDNVTIDPSSLPDALDLLSTSDNRIRLLVAEAQLLARDDEQGSSDDGDNSDSKSSLTMGSEEANSTDEDTIKMLSDLLIDNAQLRMRLNAVIRNAVNTAVKPEKDGSDEVVPKKTVLNWSFPLPLVHLSNEHSTVGF >Et_2A_014553.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:22207931:22209317:-1 gene:Et_2A_014553 transcript:Et_2A_014553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGYGSECVQAYASVRKTTVESALHRLGVAEETSTDDDEWAKIRRWIRVARAAVRGVFASERRLCFLIFHDFPLSSSNTTPFAEAVKGAAQQLLGFAEAVVSSIWFLRRSPEKLFDTIDMHGALADLLPDISGLFAASKATESIYMQAAKARSSLADAVPGMLSQFESAVLHDPSKVPVPGGTVHPLTRYVINYTGDLISSYYKASLSELITSGPPSSCSRVTAIDQEAIPAVVPNPDSQLPPLAAHVAWIIAALEHNLECKAASLYKDAALSHFFLMNNGRYIVDKISDDSSELRGLIGDEYLKRLTCKFQQAAIRYQRSGWPKILNYLKHEGLRVGGGFSADISKMVLRERFKGFSTGFGEAHKVQSRWYVPDTQLREELRISISEKLLLAYRPFFGKYRHHLGKGKRPNIYIKYSVEDLEEAMADFFEGASPSKLHKSLLERAFGFRIPRI >Et_9B_064428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15016677:15019755:-1 gene:Et_9B_064428 transcript:Et_9B_064428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFTILFNALFTLALTYLKPYGNSRPSVSEEELKEKHANMKGEVLDDNHMLSRSSHHSRGVHADTDSTIMKDDYVPTKKGMILPFVPLSLTFDNIKYSVDMPQEMKAQGAHEDRLEILKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDINISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPEDEDSSKIKIFIEEVMELVELKPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPNSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSSELIKYFEGIHGVSKIRDGYNPATWMLEVTTMSQEQILGVDFSDIYQKSELYQRNKALIKELSQPAPGSSDLHFPSKYAQSSITQCVACLWKQNLSYWRNPPYNTVRFLFTTVIALLLGTIFWDLGGKISTKQDLTNAMGSMYAAVLFIGIMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVKSSPGNQFHTRLFLLLVPPYSREEATDQLVSSQVVIELPYALAQDILYGVIVYAMIGFEWTAAKFFWYLFFGYFTMLYFTFYGMMAVGLTPNHHIAAVVSAAFYGIWNLFSGYLIPRPKVPIWWRWYCWVCPVAWSLYGLVVSQFGDIMTPMDDDKGRWVGWAAAMVVAFTVLFAALFGFAIMKLNFQKR >Et_7B_054223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17889418:17891800:-1 gene:Et_7B_054223 transcript:Et_7B_054223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNISSLISFNLSNNMLAGKIPSDIGHSLPNLESLIMNSNTFHGVIPASLAIMLKLQVIDLSSNLISGSVPSLGSLSKLNRLILGNNMLQTEDWAFLTSLTNCSQLSWLLMDQNVLNGSLPKSVGNLSTNLERLNFGGNNISGNIPVEIGGNLVNLVLLELDQNRLSGVIPASFGNLRNLSVLVLSRNRLSGEIPSTIGNSSQLYKLYLDDNMLSGNIPASLGQCKRLGMLNLSVNNLDGSIPSEILGISSLSLGLDLSNNNLTGTIPPEIGNLINLGLLNVSNNNLSGNIPPTIGSCAVLLSLQMEGNMLNGSIPDSLNELRSIQQIDLSENNLSGPIPEFFAAFNFLYHLNMSYNKLEGPIPASGIFNNSTAVALEGNKALCQQINIFALPICPSASATKRKINARPLIIMVPPVTIALLSFLCVIATFMKGHTIKPSESYKETMKKVSYGDILKATNWFSPVNRISSSNTASVYIGRFEFDTDLVAIKVFHLNEQGSLNSFFTECNVLKHTRHRNLIQAITSCSTVDFENNEFKALVYEFMANGSLDMWIHPRLHRGVPTRVLSLGQRISIAADVASALDYLHNQLIPPLIHGDLKPNNVLLDYDMTSRIGDFGSAKFLSSSLSSNPQGLVGVGGTIGYIAPEYGMGCKISTGGDVYGFGVLLLEMLTGKRPTDTLFVKGLSLHMYVDSASPDKINEILDPQMPHEDGDVGILCMQKYIIPLVQIGLMCSMESPKDRPRMRDVCAKIVHIQEAYVETF >Et_1B_013073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5208547:5211083:-1 gene:Et_1B_013073 transcript:Et_1B_013073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPRCAPSTSLRCPLALSPPFASPRPALRLLTPPLLPRRLAFSSPRAASALEALVLDPESDEEYEEEGEEAEAGLFQGEAWAASAEERDAVRSPELKVFELEELPEQWRRSRIAWLCKELPAYKHSTFTRILNAQRKWLTQDDATYVAVHCLRIRNNDAAFRVYSWMVRQHWYRFNFALATRVADFLGRDGKVEKCREMFDAMVKQGRVPAESTFHILVVAYLSVPGGRCLEEACTIYNQMIQMGGYKPRLSLHNSLFRALVSKTGGTAKHNLRQAEFVYHNIVTCNLAVHKEVYAGLIWLHSYQDVIDRDRIRALRDEMKRAGFEESTDVLVSVMRAFSKEGDVEGTEATWRTLLQSGCEVPAQAYVCRMELYARTGEPMKSLEMFREMKSRNIAPNVASYHKIIEIMAQAREIEMAEKLMEEFGSSHMKHLMPAFLDLMYLYLDLNMHEKLEQTFTKCLARCRPNRLLYTIYLESLTRVGNIEKAEEIFGEMHINGTIGTNAKSCNIMLRGYLSAEDYQKAEGIYDIMCKKKYDVPVESIEKLQSGLLISKKVVKPKPVSMKLDQEQREILIGLLLGGTQIESHAQKGVHIVHFKFQEDSNAHSVLRTHIHERFFEWLPSAYRSLNDESEIPYRFSTMPHSHFGFFADQFFLKGQPVLPKLVHRWLSPRVLAYWFMFGGFRLESGDIVLKVSGGNIDGVERIVNSLQTEFLTCKVKRKGRFFWIGFQGSNADSFWKIIEPYVLDSFMSSTIGRSGSIGSDSSQDSDTDSEGDTQRYDTESEERS >Et_4A_034492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3743180:3750899:-1 gene:Et_4A_034492 transcript:Et_4A_034492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTAATARAAAAAAVKQHQQPGTASTVMALLSLSLLLLRLLLRLRLAAFRDAALSLNLLARVRLRPVLLRLPAGPDGGGATVLRVWCPSSPSSKPPLLLLHGFGGDAKWTWARNLPRLARRFHVYAPDLLFFGAHSRSASPLRSVAFQARCAADAMRLLGVPRYDVAGISYGGFVAYRMAALEARDRVGRVVVMTSGVAATPGEMRDMADREERAVEDALLPKTAEGLRFLVRRSMHRPPPWMPDFVLDDFIQLMCVNQRKERTELLQELLKNGAGMDPLPVLTQETLIIWGDKDRVFPVDLGHRLHRHLGERSRLEIVKNAGHALQLEGAAQVNRFIESFLLDERNGPGCELAQNLSLVPVLDYFSRREFLAAGLQPGTVTIPYPDGGAGSTCALHYWAPPGEPILPPLLLVHGFGPRATWQWRCQVAPLSRHFHVIVPDLLGFGGSECPADAPPPSEATQAAALAALLDALPGVAGRRVAVAGTSYGGFVAYWLARAAGPHRVGPVVIASSNLLKTAADDRAFVKRAGEPWSSVDEVLLPAEPAAMRKLMEMASYRSPPAVMTPDFLLRDFIQKLFTDNREKLIHLLKGITVGTDKFQVTPLAQEVLIIWGDHDQLFPVEKAFEAAALAALLDAVLPGLASGVSRVAVAGTSYGGFVAYALARAAGPSRVGPVVISNSDLLKTAADDAALLARAGGGWARTADLLMPLDARGARRLMELSFYRRQAITLLPDFLIRGAVQQLFTDKREEKIELMKAITIGTEEFQLTPLPQEVLLIWGQHDQIFPLEKAFAVKRCLGENVRLEIFQETGHVPQMEDPDRFNRVVLDFLLASHKPPAAGNDQ >Et_3B_030059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30179441:30185884:1 gene:Et_3B_030059 transcript:Et_3B_030059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLVPPWLKSLPVAPEFRPTAAEFADPVAYILKIEPIAAPFGICKVVPPHPPPPKRTTLANLTRSFAAAHPDDPSPTFPTRHQQVGLCPRRPRPAIKPVWLSSHRYTLPEFEAKAGSTRKALLSHLNVPASRPLSPLDVEALFWSAMADRPVAVEYASDMPGSGFVPCAGRPRQQSAAAAQVNVGDTEWNMPGVARSPGSLLRFLREEVPGVTTPMLYVAMMFSWFAWHVEDHDLHSVNYMHSGAPKTWYGVPRDAALAFEEVVRVHGYGGEINSLETFAMLGNKTTVMSPEVLVESGIPCCRLVQNAGEFVVTFPGAYHSGFSHGFNCAEASNIATPEWLRVAKEAAVRRASINRPPMVSHYQLLYELAMSMCVRDLSSGVMEPRSSRLKEKKKCDGEQLVRRIFVRNVIEDNKLLSHFVNDGSSCVILPTSAQDGPVQTNLMSKSQSKAELRVLDGMCTNEEDSGSLPLNEAHGKNGELGNCSALSSSKGNPSSACPGKKYPPTTCMHDCVNISCSSEAHNAESDTGDVINAAGLLDQGLLSCVTCGILSFSCVAVIKPREPAAQFLMSADSNLIGKRLLGSGGSNLVDALRSGESDFEPNNNKIIFDAAPLNWNSALDLLASAYGDHSDSDEDAQKKVIQVSDDSNKFLSPVAESLPNSSSNGNFDGTKVSSSSKECQQEPVSQSSRCIGKLNIPNGPKGVRTRNKYQLKLMLSEGFRPKDIYSATEKKVQCQPPSSNNTSSEQSRGTDYDATRNSTSFCMDGNRSSTTTMNVIPNVKPDKDSSRMHVFCLEHAAEVEKQLQTIGGSHIVLLCRPEYPKIEAEARLLAKEMEAEYDWKDIHFREASMEDREQIQEVVRDEETIPTSSDWAVKLGINLYYSANLAKSPLYNKQLPYNRVIYKAFGCNSPNNSPVKLKTYARSQGRQKRVVLAGHWCGKVWMSNQVHPYLADRSENQEPEETYEICSSHLDKKTKGEPVENSTREAASTGKSNNRAVEEKTSNMEQEPVEKTNTKKPKRTEEHNSKVSESDIVYTRKSSSRTVVEQASDMDKEPVNEANTEKPKYTEEDNSRGLKGAAEASCLLPTGVVLRSSTRIANRKNKLKSKMEEEDNDPASHLKSVVKEGKDGPASNLRARSPSQKAEVDTKKQLKETRGQKRKTSSATDEEGPSDAKGCSANSDRKQQLSVCKQTNKVEAKQETKRGKRRAPSAPEKEEEYKCNIDGCSMSFDTKQELSLHKRDICPMKGCQKKFFSHKYLLQHRKVHADDRPLKCSWKGCNMAFKWQWARTEHMRVHTGDRPYVCHEPGCQQTFRFVSDFSRHKRRTGHLDKLKTKS >Et_8A_056297.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:20218619:20218840:-1 gene:Et_8A_056297 transcript:Et_8A_056297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSLANSLRANVEDRFLISGLWHEIQELGRSFNSFCIQFVRREAILQHTAVRRSLALRVGCSLVLAIPRTR >Et_8B_059720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2153509:2156767:-1 gene:Et_8B_059720 transcript:Et_8B_059720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDSEGEAEEYLFKVVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSMDIDGKEVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDISRRSTFDNVGRWLQELNTHSDTTVAKMLVGNKCDLENIREVPTEEGKALAEAEGLFFMETSALDSTNVKTAFEMVIKEIYSNVSRKILNSDSYKAELSLNRVSIDGESKDEQKQTNRFGLKSASGKRIDVTGVLTGVALLLE >Et_1A_007334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33417331:33421300:-1 gene:Et_1A_007334 transcript:Et_1A_007334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRPTERSGRGRSETRRRPVRFLLSHLCCSCSSRRRTNTRPRRREGTEMEIARSAAGMTCSKKHQRIYAEWFALADPDGDGRVTGADATSFFAMSGLSRADLKQVWAVADTKRQGYLGFAEFVIAMQLVSLAQAGNEITQDSLISEDLSTLDLPVMEGVDELVAKSKAVVKRVHPDDNGTPQVQAPSIYHWFGSKSAQKNQMPLTAVTSVIDGLKKLYVEKLKPLEAAYRFNDFVSPLLTSSDFDSKPMVMLLGQYSTGKTTFIKHLLKTSYPGAHVGPEPTTDRFVVVMSGPDGRTVPGNTIAVQADMPFTGLTKFGGAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVSRVYIGSFNDKPVNDSAVGPIGKDLFEKEQDDLLCDLKDIPKKACDRQVNEFVKRARAAKIHAYIIGHLKKEMPAMMGKAKAQQRLIDKLEDEFAKVQREYHLPAGDFPEVEHFKEVLGAYSIDKFEKLKPKMVQAVDDMLAYDIPELLKNFRNPYE >Et_6A_047951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25437366:25438587:1 gene:Et_6A_047951 transcript:Et_6A_047951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVKKLDASRDVSDQQFLGEVKCLQTVNHKNIVRYLGYCAYTHDLVMEMEKEVVMAGRAKRFLCFEYAPNGNLHDYLKEKTHGYEWSVRYKIIKGICQGLRHLHQKGIIHLDLKPGNVLLGAQMEPKITDFGLSRGYMPPELINERKISFKSDIYSLGIIMIRLLTGINEDIPEKDSVSKLGKIVI >Et_8B_059976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4627681:4634238:-1 gene:Et_8B_059976 transcript:Et_8B_059976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHSATLCGVFVAVLCLASSLAQAQVLFQGFNWESWKKQGGWYNFLREHVEDIASTGVTHVWLPPPSHSVSPQGYMPGRLYDLDASKYGTAAELKSLIESFHDKNVECLADIVINHRCADYKDARGVYCLFEGGTRDARLDWGPDEVCSDDAQYGNGRGHRDTGADFGAAPDVDHLSARVQRELSDWLNWLRRDLGFDGWRLDFAKGYSPAVARVYVQNTSPSLVVGEIWSSLRYNGDGKPDANQDDDRRELVNWVRGVGAPAAAFDFTTKGVLQVAVQGELWRMRDGNGKAPGLVGWMPEKAVTFVDNHDTGSTQALWPFPSDKVMQGYAYILTHPGIPCIFYDHVFDWNLKQEITILAEIRSRNGIHPGSTLEILKAESDVYVAMIGGTVITKIGPRYDVGNLIPSDFHAVAHGNNYCAFNWESSKVQGGWYNCLKGQVDDIAEAGVTHVWLPPPSHSVSPQGMCIFFLFHT >Et_1B_012843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35513982:35514276:1 gene:Et_1B_012843 transcript:Et_1B_012843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCGANDLITIFVAPECFSLCSYLLSEYTKRDLRSNETTMKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIEFQLRLYSSL >Et_2B_020990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25574379:25575794:1 gene:Et_2B_020990 transcript:Et_2B_020990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFRSIAIVIPSLVDNMVTATNCCSLLILLCCFSTVSVSSERPEDPCAGRRIHVRRLPARFNADLLRHCGTAFPLSDAADPNNRWSCANHGLGRRTHNRSRSWYRTDGRFLEPLFHRRVLERDCLVARPALADAVFVPYYGALDALPYVLDQALLNHSSLHGVSLAQFLATDQPRVLARRHGHDHFFLHNFTVLTVESRAWPWQEHAVPHPTSFHPPTLPLLRTWLARARRSRRTTLVLFAGGVSKPSRPNIRGSILAECANRTEACALVDCSGGACALDPAAYMRPMLRAKFCLQPPGDTPTRRATFDAVVAGCVPVFFEHAAARTQYGWHLPRGEYDRFSVTIPKESVVLGGVSIVDVLAAVPDAEVARMRARLLELAPRVVYRRHGSTAELREAAKDAVDLAVDGVLRRIRRRVRALEDGHPDAIYALDDDDDTDSL >Et_1A_004694.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31187641:31188057:-1 gene:Et_1A_004694 transcript:Et_1A_004694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGYCNEGKHRMLVFEFMPGGSLRGSLFENAAETPPWPSPAGWSTFTTGAAPRSSTATSSRTTSCSTAAASRGSPTLASPSCWGATRCTPPSRTSGGPEGTSRRNGSAATRASTPRRTSTASASCCWRWSAAGGARSP >Et_8B_058909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10810764:10811614:-1 gene:Et_8B_058909 transcript:Et_8B_058909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSQVGNASSEASPHVLVVDDAMVDRFIASKLLQHSNIRVTAVDCPKQALKVLDEENDIKLILTDYCMPEMTGYDLLMTVKESTKLKHLPVVIMSAESTPSRIKECMDGGAKEYIVKPIRATDIARILSYI >Et_8B_059711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20920622:20927107:-1 gene:Et_8B_059711 transcript:Et_8B_059711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRESFGSLSRRSFETFGLPGLSGHNRGKSVASLGELRDRPAVVDQSRWAGLPPELLRDVMKRLEEGESTWPSRKDVVACAAVCGTWREICKDIVPSPEFCGKLTFPVSLKQPGPRDGVIHYCYALDHVAVLSENGKFLLAAKRNRRTTYTEYIVSVDPKNISRSSNGYVGKMSANRSNFLGTKFVVYDTQPPYNAGSLVSCGRGSHRISSRRVSPKVPTGSYPIARVNYELNVLGTRGPRRMNCTMHSIPASAVDPEGVVPGQPNQLLLPGPSSLEESFRSANTSASSRFSVTDRSLDFSSSRFSEISGGPEHEVAGGQAKEKPLVLRNKEPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAPQLEMVSSESSQQAQPLNSSSTSDHDKVILQFGKVAKDLFTMDYRYPLSAFQAFAICLTSFDSKANLVCCNKGNGEYAELKRHEKTLPQVGVNSAVQYLDLEMRKDVYEMPRHKDAEDSNRDRD >Et_10A_000144.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:11375740:11375769:1 gene:Et_10A_000144 transcript:Et_10A_000144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAKSWII >Et_5B_043778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1644006:1644395:1 gene:Et_5B_043778 transcript:Et_5B_043778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPTPERASSPATARTSPTTACFETGTMVHGDTAQHAGGAGRADDAAAAPRHHDPRGVLHAGATARAFTVMTRLKSSRSSALIGTDVRTPLTLALLKTTSRRPWRATARSTAAATWDSSVTSQRT >Et_1B_012001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2829948:2830637:1 gene:Et_1B_012001 transcript:Et_1B_012001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKCTDKKAKQTTHQSLPKRSPDNATHAIYVGYRTLAIQAMQRFAPAKFGSMSHTFMIA >Et_1A_006577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24804692:24805773:1 gene:Et_1A_006577 transcript:Et_1A_006577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEHEDVGLALGLSLGSGHQAPNPSPSSQPCALVPSLSLSLPADDGGLTTPVRPLFVAVKRELPVEEDDYVMDRALYSVVSSAADDDEGCNSRKKLRLTKEQSALLENRFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQREADCELLKRCCETLTEENHRLHRELQQLRSLNQAHPAAFFMPATALSLCPSCERLASAPGATAADQPKVAGPGRAAHLFSTFTKSAAC >Et_1B_010107.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:24274038:24274586:1 gene:Et_1B_010107 transcript:Et_1B_010107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPEGHSSRRVVELIFTSGWGAAERAPAVEALFRVHSASRAVARFEEARAAARAHGAAARCGADGNEMMRFQCRASADAGGVFGAGVATCRLGPSASAVRTFACSGAAHASAGGGAAASGRRAMLVCRVIAGRVRPADGDPAARHASAVDDYDSVDMGNGELVVLDSRAVLPCFLIIYKV >Et_2B_019364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25548956:25549225:1 gene:Et_2B_019364 transcript:Et_2B_019364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYACGSGADYTLMAHASYAFNSYWQRTKVVGGTCDFAGAAMLVTKDPSKYTVIGRASDALLRKLPSTYLGPSISN >Et_5A_040239.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:2866812:2867399:1 gene:Et_5A_040239 transcript:Et_5A_040239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTSKRVCYYLKTLWASQLLKARFIWNRNTVFDYRSFHKLIFLHVDFCPRLIHVLPFTDFINNKGRHLETLEIMWCSDLRAIFPLERSYEARDTVEFQKLKRIHLHELPKLHGICGIGRMYAPNLESIKIRGCWSLTCLPAVGGGTYKNKVECDCEKEWWDRLRWDYNHHHRSLYKPTHPQHYKKTLLRGSVLH >Et_7A_050697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10210257:10213821:1 gene:Et_7A_050697 transcript:Et_7A_050697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSASLRAPGAAAASYPASRLRPVCCQTGAVKVSLASTGAGGAAELPAPLLPNARRRVLDPLWHGGGFSLGVDLGGSRTGLAVGRGITLPRPLTVLKLRGQKLELMLLDIARQQVAFHPRVLAAPFPFKFQMRCFKFGGFAIRLQEADELIVGLPVSADGSETPQSNKVRSVVGRLAVQAAERGLRVYLQDEHGTTIDALDFMISRGVKKSARDVKSDAYAAVMILERYFSSSGRGANIVLPKQPELQDKLIISSSSLNRILLSCGVLDKSFYAYLAMFYFSKYLAFREHSGHIRFEDSTAAANARAFAALADGGYLIMKGHTVTLEPVTGIGRVAEVRGAMHFVGIGVVTSALSGASQKVDA >Et_2B_019555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10083017:10086170:1 gene:Et_2B_019555 transcript:Et_2B_019555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAATPAPSPRPDMPPPPPPLPVPPTTARRPRRRAREVSSRYLSTPVPATPRLSTASSASACSTRSRSPTPSPRARTQRAGTPFANENHPPPPPPLHTGTVARRRAVQKLFDETGTCNPRASVSSVSSAISAATPRPLPRSTSGPAASTARRGYPRPPTPARAGSCPSAAGPADDAASCCSSDTASTVTDFSEAEVAPAAPCESPPLLGTASCRGGRLSSELRASVPESGGSARASNPLCYRSLNSALSVSTAAAGKVAPAPKPPQPQGAKAAELKRAAIVGGRKVAGKQEDVHQLRMMDNRHLQYRFLNARAEAAVKAKTAVAEKSLYGLAEGITELRESVAEKRAEVERIRREQRLSSVVSAQVPYLDQWCDIEGDHSSCLRGATTALQNASLRLPIIGNVRANCGEIEEVLNSAALLLEPLSSCFENFLPKVGEIDDVASSLAQVISTEKTLIEECGNLIYQAENLQMREYSLRSQLMQLKQMKNT >Et_10B_003572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3264582:3265170:-1 gene:Et_10B_003572 transcript:Et_10B_003572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFSPGWSQFIRDNEVQEGDAFAFEASKMERRLSFVRQNKLNEGDICIYELMKAAKRVTMMVHVIRKINDRFVRVN >Et_8A_057268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2369100:2371889:1 gene:Et_8A_057268 transcript:Et_8A_057268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGDGSGWCFCSGGAKLERIKSSLLAAKGAAVAAVSFPGGGGGKGGSGFLIHRALLLTTHGTIPSAAAASAAEVRLSHGRLLTRLVPQRFFITSPILDLTIVGLDVVDDESSSQGQQPHFLKTCLNPTLDLGSTVLVLGHNRRDLAVGEAKVVIATDNLIKFSTDEVLWRPGSAGFDMHGSLAFMVCDPMKLAPSTPTGYASVSSTALLASRKDVPAQFGIPIPAVCEWLKQHWNGNLEDVNKPTMTPARLTSSGERSGRASLGNLRYIKTTEREGGDVLSSSQIPPVQAWQHGSCSSASAKISYGGKDSINSHSFHEQHELTSKMIKPKNKQAASLMDISFPPEHPRSIRLPLPLKQMMPDEEKAEANRSAPHGTRPSNVRINCDALHNVAYQENCWSEGQSSSSPLEISELGDERDGFSSGEETMYSAETRESRNIPSPKEKKTDIAGRSQSFVNHSKWDSPKSVESSKGPSSKAHSFIPLRKPHLQAAAISQKSQVYFSPTVSSNMKKRNLSRIPMKPRQSAQVTSKWIT >Et_2B_022840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6431841:6432702:-1 gene:Et_2B_022840 transcript:Et_2B_022840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGSRRKGAKVMQLDGTAFRVKPPAFAGTVLRDHPGFQLLESEEVKLHGVRARPLAHDAPLRPGRLYFLVALPRPPAPPRRAWSGALHVGARERLESLMLTRRSTSDLSFPMTSSSATAPASPLSGSDAGGGAGPVRLKMRLPKAQVEKLMSESRDGAEAAARIMQLCAAGSGAATPERGILRTPERSPRFVPTPDWAGAAFAQTPERPTRFAATPEWGTGFMMPPSAGAGTAPRTPERWPTLPRTPEYADVKASRKENCSSF >Et_9A_063599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:976840:982026:-1 gene:Et_9A_063599 transcript:Et_9A_063599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDDGPAPAGGGVGAGRKFWRSASWSASRAAAEPPPDAAASGTGGQGRRVPPPPPLTPRSMSAKARSCLPPLQPLAITRRSLDEWPKAGSDDVGEWPNPTTPGAEQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQFVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSQYAPLHLVPKMLNDPSPSALDSRGAFIVHVLSSIYVWVGMKCDPVMEKDAKAAAYQVVRYEKVQGHIKLVREGLEPQEFWDAFSSTPLNSDRNTKVSKDQIDSASKSNLGSRQVESYDADFELVYKAITGGVVPAFSTSGAGDETHLPARESSWSLLRRKFITRSLSRVHSDSALIRDFDPRADRVQLLAAEASTSPPFLSPSSLSSDSSVSSKYSSDSPSLSPSTSSPPSLGYSPASSNLPHALVPSSRSPLSQSSNKEPIKPGLESVRSPSKTSSIAERRGSFLPLKLPSLQKDLVLSPRVPSSIRRTEEVADKSNTNGVKQMTGGEYEGSSGGDTIDWQKVADDLLRLKGLSNALPVKVFKEHETEDLLELPAMCDDRSSPRGRPIAEAFLVLPLLPLLRFTRRALPKSAKS >Et_6B_049497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5758906:5759727:-1 gene:Et_6B_049497 transcript:Et_6B_049497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANCGLKTLIVNATTIKIIVIVTLCGNKCVLLKGLSEACIFKGDLGLCPTFNKLKTLLLNDYWCVPDDFWALACILEHSPFLEKLTLQLFSKYRQEKVQFEGSVNPTLRYYKVKCSVVDERTIKLLKFLGMLHLLT >Et_7B_053534.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:843379:843633:-1 gene:Et_7B_053534 transcript:Et_7B_053534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EQINVARNRLAGAVPPSYSNLSRLAYLDVSNNLLSGDVPAFLGRLKNLALLDLSNNSFSGEIPASLCALPSLTYLSLSRNTRTI >Et_2B_022684.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:30222174:30223052:1 gene:Et_2B_022684 transcript:Et_2B_022684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFARSISFPLSPSRSNNNNKAPRMASGAGGHARSVSLPCRSHPILAHLHMHIRAVRAWAAEPTAAAPSTGLAHLDALHAALGDLLDLPEAQAALSGAASCDRLLDAFLRLADAHGSFQEALLDLKQHVAEALAALRRRDAARLASALRSQRRAGKELARLAAAARDGARPSRLGFAFGGGSAAEVEVVGLLAESAAATASASAALFNIVAAMSASASAEVCSSCKRTAALMCLVKKASDQQEDKETMALTERLEELQECIEELETGSDKVFRSLVQTRVALLNVHTHIF >Et_6B_048869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14841031:14848413:1 gene:Et_6B_048869 transcript:Et_6B_048869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFVLRSCPPLPRLYTRPLAGTEPPLLGTEQSHFAMRAVVCLFTAAISFPLPSTSASTSTSCLHTRRCAETLLRCSSPRRRRLHGGAARALDERLLEAALVETEVEETPGSSEDVGEEEDGDEVGMPVEEEEQRPPAKAFVKSRRQRQEEEEDAAAGHDRFKIINGKEIFQEKAYLVGVECKKTGGNLFGIEESLKELEQLADTAGLVVVGSTYQKLSNPNPRTYIGSGKVSEIRSAIQALDVETVIFDDELSPGQLRNIEKSFGGNVRVCDRTALILDIFNQRAATHEAALQVTLAQMEYQLPRLTKLWTHLERQSGGQVKGMGEKQIEVDKRILRTQVGYTNAGKSTLLNRLTGADVLAEDKLFATLDPTTRRVLMKNGTEFLLTDTVGFIQKLPTMLVAAFRATLEEIAESSVIVHLVDISHPLAQQQIEAVDKVLKELDIESIPKLVVWNKIDNTDEPLRVKEEAENQGIICISAMNGDGLEEFCNAIQAKLKDSLVPIEAFVPYDKGDLLNDIHKVGMVEKTEYKENGTFVKAHVPLPLARLLTPLRQQLLKALHHSLIKSKQPTNYHKAKNAVKLVRAIGSPNNVAVDDLRIFTSTKSPTTGLRTSSPSNKPKVVEALPDFLLCIVTDCT >Et_5A_042957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7702271:7703031:1 gene:Et_5A_042957 transcript:Et_5A_042957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLPEFTPLPRVYEPELQEVSGQQDAHIAQDGVLVARVEATDALVDDAGGVDPIHASAETDGKLLPPMANITTSQGCNAVAPMEADMGFSASVTTSEKSVLNSEDFKNELARMGEEEVESDHSSVNELAAIAEACAASADDDIGVRADKLKATRNEGNGFGQ >Et_4B_039277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9458867:9467424:1 gene:Et_4B_039277 transcript:Et_4B_039277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQRSASASSSYYHLLSPKSLLLSLAASSLLFSFFALRHDRLLLASAPLGANVSTEISRAQGAGSRAVPTSLEVEEPVLGRWVANGGEIIEGNEFAEAGDRSIGDLGPISAVKEVAAGVGGGERWEKDLVLGSPSLADGRNLSKEILDSSTEMQLHAWNVSSSQEAPASTEKLEGIYSVRPMNFSMEASGGHVNDRHVSSVHGAYASQLDGEWESSDQSTDYNCIVEYHVTHFLVHENKARTSQKRTKTLRIDTIDKRSSRWKGADVLVFNTAHWWSDHKTQSGVNFYQEGDHVHPYLDAYTAFQRALTTWASWVDRSSIRSEPECSSKARPHRISGEWNSGGHYRESTLALNDSHVWPLPGINVMLEQVSKQMKNPVTILNITNLSGLRVAGHPSVYGRKAAGLASWNGQDCSHWCLPGIHGNELLFYHLVSSQEKDVTRSNARRHPSSSDSLLNNISSQSGPASSNSRSPSHRPQSEAMERQRSASPSSSSYHLLSPKSLVLLSFASSSLLFSFLFALFALRHGHPLLFPFASSPLGANVSAAIERAPILGDSGSGRAVLGRGRGDLVAGEDRRVVAGYLPDGTMGSAFGVKKAVTGGGSGGGAPASGEVLEGQENAEAGNYSLGVLDSKKEVKEVVHGGGDGEKLATGSPLDKANLAEGKNLSKEVVDSAAGTLLNVTNVSASQAAAATKERLEATESDRALNFSMEASGRAKGANGEFLQGGHLERSGSSSAPVLGVDAYEQEARWETSDNSADYNCSVEYHVTHFLVHEGKARIGQKRTKTLRIDTIDRSSSRWRGADVLVFNTAHWWSHHKTKAGGGEWNSGGHCRESTHPLNDTRVRSMPERNVIVEQVTKQMKTPVTILNVTNLSGLRIDGHPSVYGRNVVDLTASSVQDCSHWCLPGVPDTWNELLFYNLVSSQEKDVTS >Et_8A_058468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8402579:8404851:-1 gene:Et_8A_058468 transcript:Et_8A_058468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPVLPHQRRRRCTVGSDGPQRVYEVTLEQPVDARGVSKMTSNKALISGNVEAEQEATGSSWHATAMDTFGSGKQQPHRRSGRAQVFWRGCGVGESSTKAGGLIARRAMAMSPPLPGHHPSLEQEAGTSRHGDGYVYFRTPEGQRLGVCVILWMRMRCVELRSGRVDEEGDAGKVAGVSVLVESFVLKWMNGSVAGAFDFIHLNKIRAK >Et_9B_065834.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14459416:14460621:1 gene:Et_9B_065834 transcript:Et_9B_065834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATARLGAVVFVVLALAAAPRPAAGILDPVDFLALQAVRRSLDDMPGSNFFDGWDFTADPCGFPGVYCDGDRVAGLALGDPRAGSPGLTGRLDPAIGRLSALTELSLVPGRVEGELPTTLAACSGLRFLAVSKNLLSGGIPDGFGALSSLRTLDLSFNQISGAIPPSLASLPSLANLILCHNQLSGGIPSFPDSSPLLRLDLKHNALSGGVPTSLPPQLQYLSLAGNQLTGRVDAALPRLTRLNFLDLSANQLDGPIPASVFALPLSVLQLQRNYFAGPVQPAGDVTIPVVDLSYNRFWGQVSPLLAGVGQLFLNNNRFTGDVPARLVQELVGSGGLQLLYLQHNFLTGIEISPSSSLPSGVSLCLMYNCMVPPVYAPCPIKAGSQNTRPADQCPEWRG >Et_3A_026081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4041991:4044064:-1 gene:Et_3A_026081 transcript:Et_3A_026081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HKQAADCGDDSIQIGGGEAKDKLEQDGAMGHPYAPAELEVSGYVPLQLSQGEILVTYIGTSLFVLLAVWLISGQCRLSKIDRLLMCWWAFTGLTHILIEGPFVFTPNFFQKENPNFFDEVWKEYSKGDSRYVARDAATVTVEGITAVLEGPASLLAVYAIASRKSYSHILQFAVCLGQLYGCLVYFITAYLDGFNFWASPFYFWAYFIGANSSWVVIPTLIAIRSWKKICAAFQVQKVKTK >Et_3B_030472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:469574:473147:-1 gene:Et_3B_030472 transcript:Et_3B_030472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRATPFKRPFQKNSSDPSGRGMWKKTKHASSHHAQLKIRSGAPVFRILCPASKSGNVIGKGGNIIAKIRQESGAKITVEEAVHGCDERVIVITAIEKDKETGHEQDRENNRNVAISARDDHEKDRDNIKEENDDSEKDQGKEEKDDPEKDYDKEENDDSGRDHGKVEKDDPENNNSKEEVDDSEKHISKEEVDDSGKHIKEDHSKEEKDNPLLAKDTMLEPETEIPSVVKAILLVFDRIFAVEDENETGNALDARHHVSVRLLVLYSQAGWLLGKNGCVIKQMSVDNGCEIRVSKDKLPSCALSQDKLCQITGEVHSVRKGLNAVAEVLFAHPPKEIDAGAGGHISGPSSHSSFNRSDGSSGMQSNFHIPFQGPSPVNRPFDITDHRPNIVPFPTLPEAPIHGHAAVPVEPLTFRLLCSQDKVGSIIGRCGNIVNSIQKDTGCEIKVLETVPKSEDRIVRISGPAHPSDGISPAQNAILHVQRKIVLPTADKEGPTVCRLIVSPNQVGCLLGKGGSIIAEMRKLSGAHLVLLSKDKIPKGVPQNDEVIQISGDCEAIQEALMQITARLRNHLFRDRMPAAGPNVRPPFGLLDSQFGPYMGSQESPSMFHKDFMGRPLDGVSAPWTMKGMHDVGDPMPIPGVPGAARCGFAGPGQSSMMPNLTAEVLVPRLVIPALCGEDGGCLDRIREFSEAKITVAEPMADAMDTPVLVSGTPDQMHAARSLIQAFVISESFPA >Et_2B_019809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14141463:14142866:-1 gene:Et_2B_019809 transcript:Et_2B_019809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILCCFQSHHAGGGGGKNGGGDQAVPSSSSSASSGRNNGRTEPPRRAGEEKSSRNNNNNNNNNNSVDNGHLVALVNEIVADSASYRHKRVAEEILKMGKAGKVTARAFTYAELSEATGGFRAESLLGEGGFGPVYRGRLPPRGSGGPEVAVKQLDRNGMQGTREFLVEALMLSLLKHPNLVTLLGFCTDADHRMLVYEYMPLGSLEDHLLDLPPGRAPLDWATRMRVAQGAARGLEYLHDAARPPVIYRDFKASNILLDAGFRARLSDFGLAKVGPSGDKTHVSTRVMGTYGYCAPEYALTGKLTTMSDVYSFGVVFLEIITGRRAIDTARPPDQHNLVLWAGPRFKDKRRFAEMADPLLQGSYPTKGLCQALAIAAMCLQEDATMRPAISDVVTALEYLTVAGGGAADDDPATQAPKQQQKIHEQDAQA >Et_2A_018337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23819137:23820133:-1 gene:Et_2A_018337 transcript:Et_2A_018337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDQKLVSFLLANGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEEEERTVIELHEQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKMGIDPVTHKPLQPNSPPPPPQQPSQQPEVEEEEKAAAVAVAGFGNDAFCTDEVSTAQLLDDIVLPCDVLGAPAPTYGIGTASSPESSSSSLSSSFYTGAAAQASSGDSSSSIVDGEWQDLSQMVMEWPESMWLDDVVVTGPASWEFEDPFVTYQRIALFDHQEEAWNGSKPQLF >Et_4A_032158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21660429:21660597:1 gene:Et_4A_032158 transcript:Et_4A_032158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNYSTVYCFAVSVAPLVTQSMSKHV >Et_8A_056398.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:884014:884454:-1 gene:Et_8A_056398 transcript:Et_8A_056398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGTTHAPAPAGPDGRPSPSPFTALELAAAEHLIHLSESSSSAAAFTPRGPASVGSASSTSSPRSVNAPAVPRALAALAAAEEDDDEQEVGGRPRRNKRYRLIAEIYAATAPKRKTKPIGARGGRKDRPKPAAGAGKETVRKK >Et_1A_005529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11178429:11182275:1 gene:Et_1A_005529 transcript:Et_1A_005529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLCAFYHRLLDYRRPEVESLAELFGGPGAGDAVEWRMPENHHEDSPFHLVRLPGDERFAAQVANRSLLVKGLYELWGHGTTYEELEKSVREYPDERKLPYLTPESTFKIFVETFGKAISSQEQNEIMNSLTYIPFKGRVNLKKPENKFFVIETDDYGSNNGLPPVMKRTIFFGREVGAADRHLLPTYQLKSRKYLGPTAMDAEMAFLMANQGLARPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNVWSNFEQYKLPAPLSLLRADNNLPPWRPGLKEIFDAIICDPPYGVRAGGRKSGGRKLLKGVKGPYTVPEEKRDNHIPSTAAYSLAECVHDLLHLAARMLVMGGRLVFFYPILRGEDGSSNTQFPEHPCFKLICSCEQILSLRYSRVLLTMVKVSPYTEEIKKMAEEQHRDFRENHQKWLDEGNLHSAVFSPAESAADGKSKFDRDSKPKYRGKLAQYAGKC >Et_2B_020220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18040097:18041100:1 gene:Et_2B_020220 transcript:Et_2B_020220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQNSFTNFLNQATQGHQYESNSQNSPPQQFPINFQHSQFPQNFAPHFLQNFHPFSPATTQSYRHSPPMFQGVHHPRNWRQSTTANLQGMQVTKVSFTHQISGLEQQLIYGHLYCSMDSRLEQVAIVLPKGQNHPDGALQGSKRRKLSPSTSQFCGVYSRIMSGWSSGHSDDMIMDKARMMYKKKNNEKPFTSTLEHMWRDLRNEPKWRKVLIQEEKKSKRTKNSESGAYTSSSNQDTETES >Et_7B_055331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9418128:9419719:-1 gene:Et_7B_055331 transcript:Et_7B_055331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHSATVTALAVAVGIQEGFRSATFATALIFALVVMHDAFGVRLHAGKQAEVLNQIVYELPLDHPLAETKPLREILGHTVPQVGLICQMPFLMLCFVVSHVKA >Et_3B_027825.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20937491:20937562:1 gene:Et_3B_027825 transcript:Et_3B_027825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQEEPWPRSIALGRSIGLPSP >Et_10A_001627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6166981:6167757:-1 gene:Et_10A_001627 transcript:Et_10A_001627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTSTLLLFGPQITLAETTGGTFREYIDTFDGYSFLYPKNWIQVRGAGADIFFRDPFVLDENISVEMSSPSSSKYETVEDLGPPERAAEKVLKQYLTEFMSTRLGVRRESNVLSASSKVADDGRLYYEVEVNIKSYASNNELAVMPQDRNKRLYELRLQTPEQVFMEEEDDLRRVMDSFRVMKTEA >Et_6A_045912.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:5647867:5648124:-1 gene:Et_6A_045912 transcript:Et_6A_045912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPFVLWWLTTRKMVAKVLHPGFDSLIILVAWRLRKDRNKRIHDFEALQPIALAQEIIGEANLWALARFTKLRLLLNPRRAIAS >Et_7A_052109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4705552:4707724:-1 gene:Et_7A_052109 transcript:Et_7A_052109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKGRRLSGSRHFRQRLVLATLTSTTITIEDIRSGDAAPGLRPYEVSLLRLLDKISDHHSIDLNETGTKLRYRPGVILGGRNLEHDCGVHRGIGYFLEPLILLGLFARVPLSIQLKGITNDTKDPSVDTFRMATLHMLKHFGVPMEGLDLKINSRGSPPLGGGDVFLRVPNINSTLTSANWIDEGMVKRIRGVSFTTRVSAQMERRIVHSARGIFNRFIPDVHIFNDHKTGPSGGKSAGYGVSLVAETTTGCLLSVDTAVSYPNVDEINEESEMPELMSPEDLGVKAASMLLEEVAQGGVVDSTHQGLLFILCALCPPDVSKVRVGQLTPYAIETLRNIKDFLDVKFIIKPDPNSTTVTLKCVGAGVKNLARKIS >Et_10A_000442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10438522:10442765:1 gene:Et_10A_000442 transcript:Et_10A_000442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHCEMEEEELEDDDQGPGEVLVLEMEDEHDDPSQVILQKAKPSENKRKQEKQWGPVIAKRFSIRNKKDNIIGVDKTQELQKERSIDNTHNVERKQILGLITNWSILCPEKSKGELAEYQQAVKEVMRRPERLKNEE >Et_4B_040096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9557824:9563506:1 gene:Et_4B_040096 transcript:Et_4B_040096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYMTKEVNLGETNREIVDGTQGVLMRRAASNVTESNLTRRGVKEVIKIVPVANNIWHATILPYSSHHDGTIYKEKLYWEREFSVDVTDRNETRVDPMRYSVSTDCNPDPDNCVCHLPCEMVQIFSLKLAKILVNSGSVQLYGYIAARDDVDSMLNYVFHRTRDDPIIVNQDALIEMTGPKRGIALISNVLFEFDMRIKNGEQEQDDIQLIDGVTEFHEIHMPWTPFTVRINGEYGAIDMSLANVYNGVEATVEVVVTDVQNGFNLSLSSVVSMLEVSKESQLFSGTIGRPCGLRRFVMAVLLDTVLHLKFKVDQKGSNIAEYCCSFEAKLHGSASLEIKHDLASILVKVTWSRLIE >Et_3B_029638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26696778:26699476:1 gene:Et_3B_029638 transcript:Et_3B_029638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLARSSKPYPWPQPSSPTPAAAAAAPSSLEDIHFLASRTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGATLEVIQKKRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKTQTKGGAAPKGGKGPKIGGGGGKR >Et_9A_061506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12864502:12865122:1 gene:Et_9A_061506 transcript:Et_9A_061506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQARREELDRKAQEGETVVPGGTGGKSLEAQENLAEGRSKGGQTRSEQLGHEGYSEMGKKGGETRKEKLGEEGYKEMGSKGGQARSEQLGHEGYSEMGKKGGETRSEQLGHDGYKEMGKKGGLATKDESGGERAAREGIDIDESKFTNKQA >Et_3A_024682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23245809:23253328:1 gene:Et_3A_024682 transcript:Et_3A_024682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGFINDAPILHAENLIGNVKSINYSRTFLSIISGVVAGIWGFTGLMGFVFYFIVMMVGSLGLLVKAKFSVHSYFDSWSRILIEGVLGGLMLCASMVLDLVQQLYTIMHDNTQMVNWAWCQVADQNIVKYSMYFKSSSYAMLRGLCRAFA >Et_9B_065058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20968338:20969662:1 gene:Et_9B_065058 transcript:Et_9B_065058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNKIKRMKRLGSFELPDFFNYPPYFTLQPIGATREVQVRVWKQLILDYCRSQLIYIVSLDDDFPLFSNPVIARSLSREAKELFLSALVTEGQESQQVKENGLEGTMPVEDIRSGFDTHGTELAGIDIGVLMRALRLLEQNGKAAVFKVNDCEGVKFCV >Et_10A_001335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23535304:23535899:1 gene:Et_10A_001335 transcript:Et_10A_001335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAGWYLKIAAVGASIGAAMELFMIHTGFYDKVTVLESEKRAWESSPEAQAMREALNPWRKHDEQQEK >Et_1A_007358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33826422:33828379:1 gene:Et_1A_007358 transcript:Et_1A_007358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHAVYVPRHPGLLPRLRGRRFRMAVYVAAWGVAGLLSTTVPAGLAANPDHVMLYFLFLLAGVLLLLLAVAAHEDQPVAERAAARFEGWIGALTFRKFRHRNTRSI >Et_4B_038199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27073916:27074530:-1 gene:Et_4B_038199 transcript:Et_4B_038199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TCGWTLGFEYAAWAETKQFKVGDTIVQQPQAHGGGGLGGADFAACTKPADAAVMRTGEDRVTVDAPGRRWFVCSVGKHCQNGMKLKITVADAGAAPWSPFTAPTPASNPADVEGDFGALALGSPSTAPAPASGPAAGVDGHRPCFGGRGGWPVVSGAVLAF >Et_4A_031986.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:10156865:10156975:1 gene:Et_4A_031986 transcript:Et_4A_031986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRGVQNPIKKSILVLVWIRDLPMLYYSTINHELI >Et_9B_065394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4600476:4603012:-1 gene:Et_9B_065394 transcript:Et_9B_065394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAPADGGEDPRWRRSNTDCVSFLASRFACTKGAECEYRHCEGARFNFQSCWYWFQGNCVNPSCTFRHPVSNRPLADVLNSTFALSWHPLESLNIAKSVADPISSHGSACVKTASPCFFYYNSYCAKGDRCPFLHEPLTNNVVGTCSEATTLNPTVNENSAGMIQSLKTSLTNPAEDSSNHIKEHHSKGVIESSNPDIDGVVSVASERSVLTGGCMKSSTPSDQSSEHSEMEHIEQDEWRDSSPDFDVLVDDRDTNKNDLEHRLAGERDANGPYVKYDGGNSVGYGLDYLDSDCYEQDFCGFDSVCNPVGSFYLDHFKEQDTTATSGHLPDNRINLAVSTFEEYGRTFFGPRNFVGSMEDVAFHRQYTEIEHSSKRRPENRKGAKGRNRRNKRRRGFEPSIGSEEIESRSTHGRQHSSVGDGSRPAVSSTFREQKKRSKRKQRHSHYAKSVECTANPKHQDCRADFTRPKTLAQIKQERINSKLSSQPDACMPHGRPLSNDFKGPKSLSEILKAKGRTHGEWVLWWQRNHAVANAGLLKAK >Et_2B_020397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19799360:19802498:-1 gene:Et_2B_020397 transcript:Et_2B_020397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVVALGVKKERAAEYKGRMTWYVGMTCLVAAVGGAIFGYDIGISGGVTSMDPFLKEFFPVVYRKKNSGGQNNYCKYDNQGLASFTSSLYLAGLVATLGASPVTRNYGRRASIVCGGISFLIGATLNAAAKNLAMLILGRIMLGVGIGFGNQAVPLYLSEMAPAHLRGGLNMMFQLATTLGIFTANLINYGTQNIKPWGWRLSLGLAAVPAALMTVGGLLLPETPNSLIERGKIDEGRRVLERIRGTSDVDAEFTDMVEASELANTIEHPFRNILERRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQSMGFGSDASLYSSVLTGAVLFSSTLISIATVDRLGRRKLLISGGVQMIVCQVIVAVILGVKFGTDKQLSKSFSIAVVLVICLFVLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLLFTFAIAQAFLSLLCAFKFGIFLFFAGWITVMTVFVWVFLPETKGVPIEEMVLLWRKHWFWKKVMPDLPLEDGWGAADGAAPANTGHK >Et_9A_062982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7877606:7879672:-1 gene:Et_9A_062982 transcript:Et_9A_062982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRFIWVVRRPDENPLGCGSFFGTQRGVDEALDFLPDGFVERTRGIGLVLPSWAPQTSILSHSSIGCFVTHRGWNLLLEGILNGIPMVAWPPYAEQRINAAMLEGLVCKEEVARAIEWFFSSCPSSIFKFGETHRDLLNSVELDAAVAGEYRDATEQIRLPGCMPIVASDLPSRMLADRSSELYTKFLQGVKEYRKTGRPMASS >Et_2B_019673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1248845:1251204:-1 gene:Et_2B_019673 transcript:Et_2B_019673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAFFVFCGCVDQASVAVVEKWGRFVRLAEPGLHFFNPFAGECIAGTLTTRVQSLDVRVETKTKDNVFVQLICTIQYRVVKEHADDAFYELQNPQQQIQAYVFDVVRALVPRINLDDLFEQKNDVAKAVLEELEKVMADYGYSIEHILMVDIIPDAAVRKAMNDINAAQRLQLASVYKGEAEKVLLVKKAEAEAEAKYLSGVGIAKQRQAITDGLRENILNFSHSVSGTSAKEVMDLIMVTQYFDTIKELGDGSKNTTVFIPHGPGHVKDISEQIRNGMMQASTSNV >Et_1B_013267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6962433:6963749:1 gene:Et_1B_013267 transcript:Et_1B_013267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCQDSYSSISFLFGSYSQLDQGHKQWLAEVQFLGVVEHPNLVKLIGYCAARSERGPQRLLVYEFVSKKTLDDHLFNRAYPVLPWDIRLEIALSAAEGLLYLHEGLEVQIIYRDFKASNVLLDEEFRAKLSDFGLAREGPSAGDTHVSTANFLMNLVLRTTCIQVMGTHGYAAPDYIETGHLTTKSDVWSFGVVLYELLTGRRSMERNRPKNEQKLLEWVRQYPVESKRFSRIIDTRLDGRYSKQGAREIAKLANNCLAKQRKDRPTMREVVENLKQVTQRKELDGDVSASGENSPPHKASGKTTAEDVAVASARRRMLHLAALGENANSVAKKRFMLMRAAAAPTPT >Et_2B_019535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:173295:175713:-1 gene:Et_2B_019535 transcript:Et_2B_019535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARAALQLRRQCLAAATNPVLFSGHGLRYRKLEVILTTSIDKLGKAGEVVKVAPGHFRNHLMPKMLAVPNIDKFAILIQEQRKLYQREEEVVKEVTKEDDDARQQEERLKEYQTAAKRLDNALLVLRRYISTGNELRTPVTKDEIVSEVARQLNINIHPDNLHLQSPLASLGEFELPLRLPRDIPRPEGKLQWTLTVKIRRK >Et_1A_005542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11235839:11239380:-1 gene:Et_1A_005542 transcript:Et_1A_005542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGFMVVAVVYGLKQRGFRSFAVKRNFEVCGKENLVKGSGRIHPLTKMRPYFDPEYENFNQRINPPRVCIDNSSCSDCTLVKVDSMNKNGILLEVLQVLSDLDLHISKAYITSDGGWFMDVFHVVDKQGQKVTDEKTIKYIEKALGPDSNLLGANNSSNSPGRSVGLHSIGDHTAIELKGPDRTGLLSEIFAVLAELQCNVLAAEMWTHRTRVACVVYVNDVATGQAIGDPRRLSRIEDRLRHVLRGYGGGDALGALANFAVGTTSHVDRRLHQLMHADVDVDVDGAAPVAGQAGGGDRPVVTVEHCVEKSYSVVNVKCRDRSKLLYDIVCTLTDMEYVVFHAAVTSEASYGVQELYIRRQDGKTLFKDEAEKVIRCLEAAISRRVCEGFTLELCGRDRVGLLSDVTRVLREHGLTVTRADVTTVGGQAMNVFYVRDPSGKPVDMKTIEGLRGEVGQTVMLSVKSVPAATAKPPEPAAGMSKTSFFSFGSLFAKLRA >Et_3B_028007.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:31343064:31343210:-1 gene:Et_3B_028007 transcript:Et_3B_028007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLCCSQEDDEPAFNLLGLLITLVIAMLILMACTPPRRKRCYIYPCC >Et_2A_014472.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1096806:1099300:-1 gene:Et_2A_014472 transcript:Et_2A_014472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVKLVEGFKFDDPTTSDVRVCFKLIDEQPEWFSCHSSILSQNSKYFSDRLGQSDIRSNSCIEIECPRVEYDHYVKMLKLLYLSGESIVDSFDSVKSAIGVLRASNSFGCKLITRCCIEYIEAASWDENEEEEILEVARCLGPEAVSLLARLQAPSADAVKNVFISAIRFATGMESLCPPFLDDLKTSAQEQIDFMIHEDDDTALITMDDDVRSVVQEGLRKLLSALRTGLDLLSTEFDQSPDQAEQRILCCLGDIDWIANFLTKIEMMHDFVSGWSGISDPIISVIQDNKYNSGLLAVRAKLIEVTGKALDAVGYGSVVLPASFRVHFLKTWIPYIQKTKLLLDVKTKDESSPLMDSDLCQNIESAIVAMVLALPSGDQADILAEWMKKAEQFRYPDLTEAFEVWCYRSKTAKRRLVGGLNGAGNPTVSL >Et_5A_040455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23640402:23641814:-1 gene:Et_5A_040455 transcript:Et_5A_040455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TAAKASEVGVCYGRVANDLPDPASVAELLKQHGITMVRMFDADPAVLAPLANTGIKVMVALPNEGDNLTSAAASPAFALDWVRRNVAAHLPATRIHAVAVGNEVFYARPELLPLLVPAMANVHAALDALGLAGDVKVTSPLAFDVLADPTFPPSAARYRGDLAEPVMRPMLELLRRTGSFLAVNAYPFWAYATQPDDVSRDFALGNDLPNPNPNPVVDGRTGLVYRSLLDAERDAMHAAMDALGFGAGSVGLHVTETGVPTEAKDGSPVFSAANARAYNTNLIRRVRAGDTGTPLRPDADMDVYLFALFNENLKGAGPDDIEQNFGLFYPNMTKVYDFDFHGGGGDGGSDQDSWCVANAAAGDARLQAALDYACGNGADCGGIQSGAACFEPDTVPAHASHAFNSYYQRNHRANGTCDFAGAAYVVYQQPSECMLIRASDASFVLHATVPYVIFTAVS >Et_1B_014014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27531343:27533280:1 gene:Et_1B_014014 transcript:Et_1B_014014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADLRSLPPGYRFVPKEKELVEFYLLPRSRGLPDPFPGVDITDDDTAASTQPWKLFKRHNRRDDDEPYFFVHSNAGARQDRVVDGGFKWNSQKRVRGVLDVGGEKIQWSKHLLSLQRHGDRDSGSGSLGWVMHEYTITDPRCASVKICQIAFTGHGQNRKRIPDGFEDCESEPESQRPRVAAAPSDSRKRRKLNQKTEQVPGAMEQLHGDDCLRESATQHAHIAVARALASPSSSGSGTTVSFRQEAEQVGKEAEHLDGEGIHGGSTPQCAPVVAADGDSTTTTFDQDCSSAEHASAHQDPSDDDNIAAMLREMTDREATLEDILKPLPMVQEPGYPRFCGIAATAAGSLGGVLYQFN >Et_5A_040961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15856633:15859116:1 gene:Et_5A_040961 transcript:Et_5A_040961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVVMVPNGCDGGGEGQAEERQQQQGQVLALVLAALRKSVVLPCQMADADDPAGAAWGMEIGWPTDVRHVAHVTFDRLHGFLGLPVEFELEIPGQVPSASASVFGVSPESMQCGYDDKGNSVPKILLLMQERLYTQDGLKAEGIFRITPENGQEEHVREQLNSGIVPDDIDVHCLASLIKAWFRELPEGVLDSLSPEQVLHCNTEERCIELVKLLPPTQAALLNWVVELMTDVVEEEESNKMNARNVAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLILKTFREREDDDAGAYSSFSSPSSLSDEVDEDGHDSQDDGSDSDTEHYNDSNNESPKDIVKASALRVDNEQLIGVSRRHTSIDSHLPCISYDDDDEDLPLNDIEECFLRRLEWKGVEKGANEDDSTKFPLPSKEEHLGSGEPCIELK >Et_4B_037304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17914865:17916319:1 gene:Et_4B_037304 transcript:Et_4B_037304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVYGRIEVFHQHFVPSKESMESPAGLSTSGLDTTPSSRIRSWTPKRVKGAASLLHLLSIPRIRWSTSSEDDDKIELTRAEVESLRTEIADAEERESQLKARLENIDEVLRYARLSGYLYIRSRWTQLPGEPPILDDADVDDWLPRFVVLQGQCIYYYLKSTDLSPQESTLLCDVVEVGQLPNFVPEDEKTRYGFYLLTRQGLKVECSSTSEIQIDSWVRALRSDPKVGDGAGEDKTTMKTRGREEDASW >Et_7B_054953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:616967:621281:1 gene:Et_7B_054953 transcript:Et_7B_054953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLGAIIGRYPSAAAGGEEQLGGAGGTGIIQHNRRCRDIAFLVLFAAFWVAMIVNSSFGFNQGNPLRLTYELDYKGNVCGDKHGDPNLHELDVKYWMNPNQVYQSGVKDSKVNLADAKAICLMECPIPAVDGLNFICDYPEGDIHLSVDDWINRDYDYFEFLAPDMRNSSLQLQGPCYPVIFPTVNVYWSCQFIARASNVSLKHWHEMGGVSIDENILIDKTVHKAIDSRSAVLKRYVADIGKSWPVFIVCGGILPLFLSVIWLLMIRYFVAAMTWITVILFNALVISVTMFCYIKAGWIGNDPLTVVIGESDPYVHISGREISHLHTVTIFMTAIMVIAFLSSIAIVRRILIATPVLKVAAKVIGEVQALIIFPVVPYFILAIFYMFWFSATLHLFSSGQVVRNDCNTDCCSYDLKLGKVNCDNCCGYSIHYTPHISIAILFHLFGCYWATQFFLACSSTVIAGSVASYYWARGEISHDIPFVTVVSSLKQLLRYSLGSVALGSLVVSVVEWVRFILECLRRKLKLVDSARESCFGKMTSSSSECCLGCIDWTLKSVNRNAYIMIAITGKGFCKASVLATGLIMNNILRIGKVNVIGDVILFLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLIPVLVTWALGYIVAKLFFQVVEMSIDTIILSFCQDAEEHQGTAQYAPPLLMETLDEQSELQRLTQGP >Et_10A_002114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2336937:2341993:-1 gene:Et_10A_002114 transcript:Et_10A_002114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDAEGMDRFDMDGDYEGGVFGRDGEFYYRSRRERAPQTRDDALYGVFAEGDSDYDSDDDEGSRRRSRRKRKRDGGEPDLTKPVQFVSTGNFMPTQEPEPEERPGLGRASVSAKEETVEEEVDEDVDTEMMPSMFGKIKEGAMAKQEAKEWERIAAERRRQAAGLGAGKQAAAPGSLHANSAVAKMMAKMGYKQGMGLGKDSQGITAPLESKLRPKNAGLGSVEGFKEPKPMAAKENLPASQPPPASTKKEKRWSKKASVKKSPVLTKNELLAMRAEQEQDEQPAVVQKVIDMRGPQARVLTDLKGLNVEQEMEANDVPMPELQYNVRLLVDEAKADVVRLDGQLRREQEKVASLVREKEKVSKQEALQRRQLQVMEKIAETLEQVRVDDTAGMLTLDGLLHTFQTLKLQHEEEFMMCSIAWIACRFAHPLLIRVFQGWQPLQDPKFGLDVMKKWKALLQGDQSFDFADGAASMGPYVQLVSEVILPAVRISGTNSWEAREPEPMLQFLELWERQQLLPPIVLQSILEHVIMPKLSAAVDSWDPRRESVPIHVWVHPWLPMLRERIDTLCHSIRYKLSSVLHVWQPHDSSAYAVLSPWKGVFDSASWEDLIVRYIIPKLRMALQEFQINPANQKLDQFNWVMLWASAIPVHHMVHMLEIDFFSKWQHVLYHWLCSPNPDFNEIMNWYKGWKGLFPPELLANERIRMLLTAGLDMMNQAAEGLEVVQPGARENVGYLRATEKRQFDAAQQAYHAAPGAAMADLTFKESIQAFAMEQGLLFMPRVGKFYNGMPVYEFGVVHDVCDEARPHDLVTDCTLILQHLGDRRHGGPDLCSILGAQEAYFQQPMRFFGVEIALQGPVNDALKITGLEILVFKRQCECSCNSGADMCHVAGEEFGQPKISYLGVEVPVKENVASLDITVDDRWPDFLMKVSEPFGNADADFHPCPPVQSDATVGTA >Et_3B_028066.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:8532270:8532320:1 gene:Et_3B_028066 transcript:Et_3B_028066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKKSKNFSEQRTIL >Et_3B_029217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23047015:23047780:-1 gene:Et_3B_029217 transcript:Et_3B_029217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAADKAEAPAAAAGASDDAIQEESSAPTVARDGKPRSGEAAAAPEVEVQLFRRGRGPVAVFQSKLGGWTQDQLEVGDILEQYGLKSVFAFDPAARTRGVAIRFHPRNGRSLLTYAPGSTIFLDGEPKDSLLKPITKVMIGVAAITLVIAVFLKEAKMPEWLQASKLGNNLNFPPWVLACMVIVFMRLRKRTKDIMKKFGWSS >Et_4B_036071.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:20963534:20964431:1 gene:Et_4B_036071 transcript:Et_4B_036071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKILAFFALLAVSASAASAYHYPQFSALSYHYPQYSALSVPCVQYATLQQVMAAGISPSSTCTLQRPLAILRQQCLTHLMLQGITTLPQQQAISIVQQQIIQQQLLPILLHQAQLQQVQQQQAWSIVQQQIVQQQLLAIQLQQAQQYQQVLPIVLQQVQQQVLLPYLFNIMAASNPVAYMQQQLPYLYNTMAALNPFSYGQQQILPFTFSAIAATNPAACGQQQLSSYFYNSLATTNPAVYWQQPFFASPCF >Et_1B_012383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31349648:31351242:-1 gene:Et_1B_012383 transcript:Et_1B_012383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQAASSLPSSSERSSSSAHHMEMELKEGKHPAQLLPLLFRLYPGMESDEEIRRVPELGLELPGGASTSGREAGSADRAQASAAQAGGRRRVRSPADKEHKRLKRLLRNRVSAQQARERKKAYLTDLEVKVKDLEKKNSEMEEKLSTLQNENQMLRQ >Et_7A_053102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6563070:6564988:1 gene:Et_7A_053102 transcript:Et_7A_053102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMLTAATNAGANPSQQGGSTPSSAPPAVRGGAPAPAAVAGGGAGSTERRVRPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSSSSAPASASTNSPMAAANKNPKLTHEAGAQDLNLAFPHHLQAPPGGEFAAFPSLESSSVCNNNNPMTASNGRGGGALSAMELLRSTGCYMPLQGMAMQMPGGDYAPAGFSFGEFRAPPPSQSVLGFSLDAHGAASGAAAAAAAAGYGSGGAGLQGVPENASRLLFPFEDLKPPVSSGGGAGGGASGGAGAGDGNGGHHQFEQGKEQGGAGHGQHDTQGFWNGMIGGSGTSWRASSEMSCLAIIPNQESSSHEKH >Et_7A_050545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23699088:23700365:-1 gene:Et_7A_050545 transcript:Et_7A_050545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVVTKSAPLVVRPPQPTPTEKIELSSLDKTGDGLYFNLVLVFDHPIQQTAQTLKSALSQALVHYYPIAGRIEVAAEDGKLSLACNGEGVLFVAASANTTLEHVRFIEQPFSAELLKDLTVCSSGKAWSHADPLLLMQVTEFACGGFVVAVTWNHVIADAHGMGQFMVAVGELARGLSQLSVVPFRFQQVLSLDRLDLSNLDITLPSGLISSIKSDYASNYSGRPCTQFEVTAAVLWQCRTRAAMLDPRAPAPLFFAVDMRKRIGAKEGFYGNFAFTQVVFATCDTVVSSPTRDLVKLIKDAKEEISDVTKNDMVDSTCVTALERLGMISKHSVFGITSWRNIGFEAVDFGGGRPARVTTCTSGWVPMPFYVMCPSSKVKDGAIVVRMFDKEDLTIGFLNELARLCKQN >Et_1B_011145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1873861:1888475:-1 gene:Et_1B_011145 transcript:Et_1B_011145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEQEAEASCLQSFELYESESRLYILGTNSDKILWRLLKIDRLEPSELDIDECSTVYTQSEYPDLLKDLDEEHRSSGGVKFVTKFYGIIGFIKFLGPYYMLIITEQKKIGELFGHPVYQVARTSMVELANSKSRSYFQNSRDENRYKKILNTTDLRKDFFFSYSYSIMRSLQKNLSDPQDGWNLYETMFVWNEFMTRRIRNSLRNTLWTVALVYGFFKQDKFSISGKDIMLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVYEAVPGPAEVSSIVQNRGSIPLFWSQETSKLNIKPNIILHEMDNNYEATRLHFENLRARYGDPIIILNLIKARERRESLLRCEFDKAIKILNKNLAEENHLKFLHWDLHQNSQGKPTNVLDVLLKVAFRALSLTEFFYCQVAPRSEAAEHCPALLSGLDPYICDNNSNSDNTECSDLAGDVFQEEISGSSDSSGNATAEDKVENTELPPLKALKFQRGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGSVESPDLDLESPLAHHLMCFYERMGDTLAVQYSGSAAHNKIFSAKRGHLKLFIRSQEFFRTLQRHYSNTCIDPNKQAAINLFLGYFQPQQDKPALWELESSSGEHSNELLDDHTSTLKRIKSDGGILHESNMSMSGSGHCQNEPLNAAHSDGIPDFEPDSAYEHEISSACESGVSNLRASHILHFPSTELEYDNDSGDSNFFDLAASGNSSDERSIAVSTPDVNLSTVNVIGGINPDTKENQIAEVQAQNLPEHFVQWVTHGDTIWY >Et_1A_008807.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14026102:14027367:1 gene:Et_1A_008807 transcript:Et_1A_008807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRREPHLSARTNNRTSRNMSPALKPSESDQALLRRARSVPSSPDRRSSASPASASAATASRPSSSFNPRTASSRSTSGSSSTAHGKSTPLHSVSAVVGAKQAGSVMMRRRADKSGGATSVWPHALTSPNPSPKDPASRAAKSSPSPSPSSVQKSKLSSRSGAEKMTTAAASPKPRTTQKATGKAQAASSSAARGPGAMAKRRTGPETSAPSIQRTTSVPVAATKTEEQEVELLMEEFDEIESISDPSIEEHLQERLPDPVDFATHATFEHEEHKNVQEEHVFSEEKRDDLNGGGTDADDSELVVAKEDVDEAELNEAAGEAVSKESADETEVLNEIVMDDETELKDPELAVKEEAKANEDMIIQPTTKTPEAMQTWRKDDERSNEVTEEGRSKTTLQERRNKVMALVGRFETAMSGRE >Et_3B_030877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8200441:8202479:1 gene:Et_3B_030877 transcript:Et_3B_030877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFQDGAVPQQRVVEGVAFPAVLVPSASAAGEGGGGLEEFLAAVRAERESRLEPLVRAAGALLLRGFPARTAADFDRAVEAFGYEELPYVGGAAPRTNVVGRVFTANESPPDQKIPFHHEMAQVPTFPSKLFFFCEVEPKIGGETPIVLSHYVYKRMKEKFPEFVEKLEKDGLIYTRVLGEGDDPSSPIGRGWQSTFLTKDKAIAEERAAKLGMKLEWTEDGVKTIMGPIPAIKWDESRGRKIWFNSMVAAYTGWKDARNDPVKAVTFGDGSPLPADVISECGKILEEECVAIPWQQGDVLLIDNWAVLHSRRSFEPPRRVLASLCK >Et_1B_012955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4376436:4385224:1 gene:Et_1B_012955 transcript:Et_1B_012955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAKRGKKLCCTKGARGEKRPANHEGSDGEEHDATAAGNNGLPMANLVRLMRQVIPAQVKISTRAKQLTHDCAVEFVGFVGGEASECAVEQHRRTIAPEDFTWAFERLGFDDYVDPMTTYIRRYRETQHVLGGTSCSFAPAPAGGSCSFTGEEMQFLRSVVPPPHGGYDGAASSSEQAAPPLAEQSYDGRGKAAAGREGRQQEGRNAQPAQVPMSTVVRMMRRVLAAHATKISYPAKTLATSCAAEFLGAVVREADKLCGQEERTVLTPEDLAVSLRNLGLDRYVEPMGIYLRRYREAAARNGAGASASGTRAVDELTDDELLAILGQMLDEDAAAGSSTKLRSGQPMESAVSFPAGGAANGSAGSGNNNGAQQAAPAIREQDRLMPIANVIRIMRRVLPAHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSRLGFDDYVEPLSVYLHRYREFEGEARGVGAAARGGAGAGGDHHHHAMLKSRAPAAMAHHHHHDMQMHAAAAMYGAGGAVPPHHHGFPAMPPPHLQGQYVPYPYDAYGHGEHAMAAYYGGAYGPAGNGGDGSGSSGGSAAHTPQAGSLQEHQHPFAYK >Et_2B_022161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18448:22340:1 gene:Et_2B_022161 transcript:Et_2B_022161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAILAAPDSTHSRRIRPRGPVRVVARICPGGRTGGGGSFQVAARVVSDADSSAVVSLLPLQEDTTPRPGAPPARKDYDYRLDGCYPKDDDSCHQIFDAEVKPLVDAIFRGTNSCVVACGATAKTNLIMGQPSGLLTMAMEQILLLSHPIAAAVSVSSYQVLQDNHVFDLLEPKDNEVLVLEGADGRTNLKGLSRLRVKSIQEFADLCCCGTNMLEHPSKPSSHSQPKGGHRGFIVYISRLDQEGKECILGKMDFLILAVPRSVVHYILLSFCSLISPGYVDPKQRNNGGGLALPNCNKSMHALMNVVQSLNSNQSFIPYRQNKLTRILQDSLCKTNSAVLIACMDEFSSQDAVSTLSLASRSSQVVNEQCYNLSLGSRSSSKSNVNHSASAKNLSRSKATCSSKKSASALSTYANQRGVKPALSGRKLFCQGTNKPKEDKIVAAPTGVTKAEELQSSLGMEIQAPLPAEDSSSDLHADHSCTNLEKMCLSDVADDTVEKIPDDATESSPNLTDRLREISNTLKRLNTRPLSVTKQKLDVVGAQDFSADLPEPKTPAIQLKFGHAEDSHECSTGIQGIGEKRANYILERREEAPELFKEVDDLKTIIGMNKKEIKSLMTGMIMDL >Et_9B_064810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18836047:18837366:-1 gene:Et_9B_064810 transcript:Et_9B_064810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILTYLAPKSTTPPYEPHLATLIHDLSPIGYRALHATKNCKPFMESSNDWDLQAVVRSCGTAACSSSSWAEPPPAPQHKEVMAPARRETNVVGVPEFLGQPSASLRDLDYLNLDHELPRTPFSITPSSERAHVDHEVLISFPAASTSGLRSRKQPGRKPGGRTARPKRSKKSQLKKVVCEVPAADGGVSTDLWAWRKYGQKPIKGSPYPRGYYKCSSLKACMARKLVERSQEKPGVLIVTYIAEHCHAVPTMLNSLAGTTRHRPDSPDHHHEPSPNSPSIDDASSGAGASKREDSADASSMTVDCGGSAETPQNDDQNDLWPVDMALDDYSLDDLLGPFDDDGADRFLEVDGDGHGGLGRRLSL >Et_8B_058717.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:18204352:18204468:-1 gene:Et_8B_058717 transcript:Et_8B_058717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WSCVVSTKRTILSPFTKEYRVHATSSSIPIFLEDPNNI >Et_2A_018654.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4293059:4293418:-1 gene:Et_2A_018654 transcript:Et_2A_018654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVEKARCECCGFTEECTPAYIAAVRADHMGRWVCGLCAEAVGDEVVRERITTAEALDRHVAFARAVRAPADQDDDLVAAVARLLRRCLVDSPPASPAAAHHARKVAAGPGCPDGADA >Et_7B_055016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6455224:6460311:-1 gene:Et_7B_055016 transcript:Et_7B_055016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAASLLLRPTSATTRSLLNPSSARINPKPQLFHLHPSRRCLLVPCLSLTPTTASNNSPPFPPPPDPSPESPPPSTPSLLANWSPPRAIWRGLSALLLAGQVFHRVLTGRVHRRNLLAQLRRVGPGSAGVALLTAAFVGMAFTIQFVREFTRLGLHRSVGGVLALALARELSPVVTAVVAAGRVGSAFAAELGTMQVSEQTDTLRVLGAHPVDYLVVPRVLACVIALPVLTLISFALGLASSAFLADSVFGVSVSIILESARRALRPWDLISSLLKSQVFGAIIAVVSCAWGVTTHGGAKGVGESTTSAVVVSLVGVFIADFALSCLFFQGAGDSLKYAMANVYCVVYDPVLVKFIVPDRHLDLEKLVLLPVIAYRKHRVEVVLYLEEPDGIKLVRRADSLSILITQAAVFGNGDRRILKLGGFLNSVKRPDPARTCLPGDQQAVAIAGAEAYPVGPVDLRGEAGDMRNEAAHLQQHCDCCYLNVGSERSLQPNISHAFAATSGNTRVGR >Et_9A_063029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8714158:8717285:-1 gene:Et_9A_063029 transcript:Et_9A_063029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNKSGVRQPFLGLSLLLLVLGLFCSARAAIPESTARAIRRVNKHGPYIGVVVPNVFEMEPLLRSPSFSPAKSKTPYLDVQGRRFRLGTIGKQKVIIVMTGLGMLNAGVTTQLLLTLFDVKGIVHLGIAGNADPDRQIGDVVVPKYWAHTGLWNWQRYGDGPEDELSLESNGDYTRKYGNLNFTDYSVDKNGGNSNLLNSVWYQPEEVFPATGTPEQRYHALWVPVDGRYYDLAGKLEGTSKLEACVANGTACLPRRPAVARVERGCSASVFVDNAAYREFLRSRFGVTAVDMETAAVALVAMQQGAPFVAVRALSDLAGGGSAESNEAGVFAPLAAQNAAAVTVEFISLLSA >Et_2B_019709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12794911:12798122:-1 gene:Et_2B_019709 transcript:Et_2B_019709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTPKKKKLSESGSRTSLSSSRNSRCSGELADEDDAVFVGLAAELKEEGTRLFQKRDYAGAAFKFDKAIKLLTKGHADIAFLHCNIAACYMHMNPEEYHRAIDECNSALEAAPTHTKALLKRARCFEALDRLDLACRDVEKVLSLEPNNVTALELHESIREVMEEEVLLDKQIASPEEPATNFAKEKIQRRVSRKFRNSIVEEEVWEMIHEEDHVNDEEKCSDENHMENNCEENATREIQAENHQENHSKHTEEVEMKNPQNKQGVIDDGQQLQHASWDMDDTNLKERHGHDKHEKHLKEILVKGIQLDQERHTISNQVRNAEKYQNHFEVNSNSELEKNTEEKYERYLNANQEKCSLERNIDRCEDILEKKITIKTENHGTDKHQKHHGENHEYVSEGAKKGFKFVLGDDIRVVLIPENCSLLQLMDIARYKYNPHLKSFLLKFMDKEGDLVTITSTEDLRWVEELYAQVPVRLYIKEVSPEREITRDLIMPASSFHLQESNHYSTSECGNSRKEDEKNCSVDDWMVQFARLFRNHAGFDSDACLDLRDLGMRLYYDAMEDTITSEEAQEIFPAAEAKFQEMAALALFNWGNVHMSRARKRLFLSDDASKESILSQLKDAYEWACTEYVKAGKKFEDSIDIKPDFYEGLIALGQQQFEQAKLSWRYADTCKVEMGTEVLELFNHAEDNMEKGMEMWEGIEYLRVKGLAKSRKGKIVLDKMGLNEHGKDLSPDEAFEQASNMRSQLNISWGTILYERSVVEYKLGLPSWEESLQEAIEKFKTGGASVADISVMVKNHCVNQNTQEGLSFKIDEIVQAWNEMYDAKKLKNRTSSFRLEPLFRRRPSKLQNILEHIHCT >Et_1A_009192.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:32671760:32673049:-1 gene:Et_1A_009192 transcript:Et_1A_009192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTRASCTPSARRLRRRKKKSKAPATGTTSIHDVPDKLLRLVLLRLDSSACLVRAAATCKRWRGIIASKDGGGGGFLRLARAIHRPGIVGHYHIDSEPTEFVPSSSSSSSSRFSMSLDFLPGGKASWEVADCHGGLVLLRQPDPVVFPDLVVCDPVSRAHQGITHPQVRPGYSFADASLLDGEDDGGVSMSSFRILYRFYMGPRACVFTTAEGGDWRELDAADDGDGDLDHFTMAHVAGRLVDGAGGSRLCMGLMQSGRAIVLDNSTLEFSQIDLPTSIVVKDDDEEEEGCSNFRVVHSYGKDPNKKATRIVHVRGQELEVFRHVTSSDQWVLEHSIRRLSEACRGMPGYPTNKRYHWVVEVVANGAGFVVLSVMDGGRRWLFSVDVETMAMATVPDRTFRSATCPYTMPWPPVIAAGVGQSRRRRRR >Et_1B_011971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27603889:27609064:-1 gene:Et_1B_011971 transcript:Et_1B_011971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLQVERGVTDDELKKAYRKLAMKWHPDKNPSNKKEAEAKFKQISEAYEVLSDSQKRAVYDQYGEEGLKGQVPPPGAGGPSGSSYYGGDSSTFRFNPRSADDIFAEFFGFSSPFSSMGGMGGMGGGADRGTRGSRFGMFGDDICGGSYPHFPSEASMHVPQRPQKAAPIENRLPCNLADLYKGTTRKMKISREISDSGGRTMVVEEILTIDIKPGWKKGTKITFPEKGNEAPHIIPADIVFVIDEKPHDLFTRDGNDLVMTQKISLAEALTGCTVHVTTLDGRNLSVPINNVISPGYEEVVPREGMPIPKDPSKKGNLRIKFTIKFPSRLTSEQKAEIKRLLGCREQSISVKTEHSLGNQSTCKITGSHWTLSFKGTVPIPVPEGCSTITGRRSGSHVSVILGLWHTAEGVEIIPRHRRSVIHRRLHGARLLLQQLRRRHLDVCRMASRGLGIGRQSTKKMAHLLMQLQSIV >Et_4B_036044.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:16410379:16412905:1 gene:Et_4B_036044 transcript:Et_4B_036044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSLPPPTIPGSKPAKPRPAASASAVVSAPADAIGGGGGGRLSALIRSLCSAGRTAEAARALAAAGDGAGVVAYNAMVAGYCRAGQLGAARRLAAAVPVPPNAYTYFPIVRGLCSRGRIADALAVLDEMAARGCAPIPPMYHVIIEAACRGGGFRSAVWVLEALHAKGCTLDTGNCNLVLNAICEQGSVDEASKLLRKLPSFGCQADVVSYNAVLKGLCMAKRWGDVEELMEEMVRVDCPPNIVTFNTLIGYLCRSGLFERVHEVLAQMCEHGCTPDIRMYATIIDGICKEGHLEVAYEILGRMPSYGLKPNVVCYNTVLKGLCSAERWNEAEELLAEMFDKDCPLDDVTFNILVDFFCQNGLVYRVIELLEQMLEHGCMPDVITYTTVINGFCKEGLIDEAVMLLKSMSACGCKPNTISYTIVLKGLCSAERWVDAEELMSQMIQQGCLPNPVTFNTLINFLCKKGSVEQAIELLKQMLVNGCSPDLISYSTVIDGLGKAGKTDEAMELLNVMVNKGMSPNTIIYSSIACALSREGRINKVIQLFDNIQDSTIRTDAVLYNAVISSLCKRGETDRAIEFFAYMVSSGCMPNESTYTILIRGLASEGLVKEAQELLSELCSRGALRKHLMRHFGIV >Et_1A_005605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1197668:1200539:1 gene:Et_1A_005605 transcript:Et_1A_005605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTRATIVRNESLEGVLGDYVGGKQGKRGGGGARNSSRVVGALTCLQFGFAIYATFLLYYMSPAVDLRVGVKPDLAWASRLAQHWKQLLASQPGEASALSPQEVCEQESIDFEQKKSTDEVMIRLKRELYDEVLSFQHRSFGAETLPELLRMRSRWSLSASGDNAKPRVTVILNHFKRRTLCAQLEQLRRQTLPFHRAWVLSFGSPNEATLRRIVESYNDSRVSFVSSGYDFKYYGRFQMALQSESDFVYVLDDDMIPGTRMLEILCHVAGTEKYGNAVLGSIGRILPFRQKKDFTFPSYRKFRSKEAGLYLPDPAYDITVDRIVQVDFLSSSWFLPADLVKTLFIETPFTFMTGEDLHLSYQLQKYMGAGSFVLPVDPNDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWRALTSGYVTQWAAMNPQKVDALFYAHSLAEVRALAPLLEKFRTTPGRKAYLVVSGGGHCPCEEAATVLKWPKVVCRERRFKIFDLGLGALSGPSRSEVPVVQAVFASMRGIVRMHNPSVIVALDDVDAKVRDALRMAADSSTGVVNRTALVLLPRRSVSKVLWMATLKPASLPNWNRMRISVNIITQNRAKSLLRLLASLRDAYYLGDEVPISFNMDSRVDATTLNVVNSFAWPHGPKTLRRRIIQGGLIRAVSESWYPSSDHDYGLLLEDDIEVSPYYYLWVKYALLAYHYDPAVSLPELSSISLYTPRLVEVVKERPKWNATQFFSKIHPNTPYLHQLPCSWGAVFFPKHWREFYAYMAARFTEDAKQNPVQIPRSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQTSFSTNHMEPGAHISAKDNRLKHDRMDFVVPLVDHDFSTLLPMGKMPPASKLPVINLFNQAVSLKGLKAAGAKLRQDVIECEAKAVVAVDQITGLPTNCTLF >Et_9B_064047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10627517:10631471:-1 gene:Et_9B_064047 transcript:Et_9B_064047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPPSVSFKLVLLGDGTAHFRSPKPRRSPALESSEDVRLIGLPNERVKEIDVALIRHKELWGRVGKTSLVLRYVNDVFSDKQEATVQASYLTKRLVVEGVPITLSIWDTAGQEKFHALGPIYYRDADDSDTFLRVTKWVKELQQMASKDIVMAIAANKSDLVRLKSIDTQDAASYAESIGASLFVTSAKAGTGIDDVFRDIAKRLLEKRKNSSGSLSPPHPKKGILIVDDEPEKEPPPKCCS >Et_7A_050304.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:23071732:23071990:1 gene:Et_7A_050304 transcript:Et_7A_050304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKIIVVVVIGIMAISSCAFVQDKTEGGRMYKDLHGKEIPKLTNTDGRTAPSGDKSIDHVCPLGSYPC >Et_7A_050373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10550037:10552025:-1 gene:Et_7A_050373 transcript:Et_7A_050373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLSYLQSLWPFSTLLREEDDLLASARLLRGLSVPDETKQFVLALREPGGSPRDGGGVIYILAAQNLSEQSAADAERLIREVRPGAVVTQVSRAALEDVRIEEDCLAGDSGGSGGVPVPASPFQVIKRCVTEKRSKDQYVKAAACQVLREIFGVGFYGHLLAAKRAADETESCFLLLESPYASSSVTHRATDDVQLPTSCLLPRSVTSIVSTYGTNTCLMDDYGGKLIKTMAPTLSSLMSQAISSNATTECKPSECRPADGYEAPPFAQSVYPLLADLHNIFVDIPSIGRAMSSAQKLLTQVHEGKPISSEMLTDIYVFRIAIEALRMGLNNAGRCHIDNRDKHGSQKLDFSDLSSDEKCHILLVQALRSQLREFGSVVAIVDASCLTGIRRHWNTPVPSEITELASRCFSQYGDEANTNEKRSWISEKPVVAVGAGGTAILGFSSLSKTVHASAFFKLAPYKSPVILKYGLMHLQRHAAIVLSKILPHGFVSAGSKASALQLTASAEKIRAVTHTVISSAQRTSLMAIRTSFYEIMQKRHKQPFRIAPWATFGCSIIACAGLVTHGDGIECAAEAAPSVPMIASLGRGLESLRLTSQEVRQTKGQNIKEALRVLMNNLKKSAK >Et_4B_039949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6599839:6607445:-1 gene:Et_4B_039949 transcript:Et_4B_039949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGSKAMLAKPIQLADQVAKQAGWQCLRTECTELRSRAEKLATLLRQAARTDLYERPAARVMADTALALNKAAGSAARCFQSHSRLRRFFTLNPVSGVPRTLALLDTALEDVAWLIRISTPHNGNGGDDDDQLLRGMPNIAQTEPVLGMVWDHIARLQTGAPAARADSAATLASLARDNPHFAKYIVREDGVAPLVKLLKEGSDDAREAAAAALGLLGQDEDGVTRLLQFGVCPALAAALKEPPLRVQAAAAEAVATLAHHSQKCQDLFAQTHAVRHLVGHLAAGIIQEHVKYSVNNPAANSSSEASNGQQRKSQMQSVVHSAIAANTTPNGVAAARSSHQLRPNGSSGSSRGSRDAEDPATKAQTKLAATKALWKLARGHLGVCTSITESRALLCFARLLDRGADSGRELRLYSAMAVMEIARVAEHNLALRQSAFKPSAPAAKAVVEQLLRVAREEGGGDDDLLRPCVTALGCLSRTFTASETRVIGPLVQLLDDREVPVTREAVLALAKFACTENHLHLNHCKAIIDAGGARHLVQLVYLGDRLQVEALILLCYVALHVPDSEEVAQAGVLAVLLWASKQAHMVQDPRVEALLPDAKARLELFQCRATRKD >Et_6B_049765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10108020:10116115:-1 gene:Et_6B_049765 transcript:Et_6B_049765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAGAGGGAGAGEGSSSSAAAAAAVTIGTHGVHEGEHLLLLFLLVLLLRCMLPESVGSRACRGAVGFRWDWGHAVPVCGELGFAPATVGHLITEDSIPDLMPRTAVKATPLVARSSSCATEESCFSAAVVAFTAAAAVIGPHEADEEAIWHMNLGEAAESGPYPERVGEPDCSYYMRTGLCRFGMTCKFNHPPDRKLAVAAAQMKGEYPQRNGQPECQYYLKTGTCKFGATCKFHHPREKAAMANRVQWNSLGYPLRPNEKECDYYLRTGQCKFGMTCKFHHPEPSNTMVAVRGSVYSPGHAATSPGQHPYQGAVTSWPLSRSGSFIASPRWPGHSSYAQVIVPPGLVQLPGWNPYAAQIGSSSSDDQQRTTGGAQYYTGSRRSEPTGMGDPGPGMFSPYQAGSVPAGIYSRENVFPDRPDQPECQFYMKTGDCKFGAVCKFHHPKDRTIPAPNCQLSSIGLPLRPGEPICTFYSRYGMCKFGPNCKFDHPMAAVMYGLASSPTSEAPTARRMLAHVPSQSEVSPDNGSGRSRRITHSDSQQTSPGERSTEREAS >Et_10A_001298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23066982:23070760:-1 gene:Et_10A_001298 transcript:Et_10A_001298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICCSKAGAGELDDDDAFPWKHDDFFHEQLWTSAGVSLHTKQGWKGANQDAMTVCQDFVDQKGQIFCGVFDGHGPLGREVARHVRDTLPSKLSSYLKPPNEDLSSNSDLDSFDKSDSTSFSDTSGEIKLLSTWRNIFVKTFEDVDQELRQHSGIDCICSGTTAVTVVRQGDNLIIANLGDSRAVLCTRDSKDRLSAVQLTTDLKPNLPSEAARILSCKGRVFAMDDEPDVPRLWLPDQDAPGLAMARAFGDLCLKDHGLICTPEVYYRKLSGKDEFLVLATDGIWDVLSNKEVIKIVSSVSDPSKAAKQLIDRAVRAWRRKFPTSMVDDCAVICLFLNRDSSEDPADAKVPAVSSSFTGSFRKVLSRREASSSEEEGTTAWRALQGVARVNSVVRLPRIGAVLSWRRRSASLDEDEDDRD >Et_5A_040113.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:10915507:10918019:1 gene:Et_5A_040113 transcript:Et_5A_040113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPAGVTEWGGPSRHTTVEHAHAASGHESWRAPNSIHRSTPLPMASQQPPPLPPPGDVASSTTITALGDDLLREIFLRLPSLASLIRAALACHTFLAAVTSSGSFRRRFRELHPPPLLGFFFDPDGPAIPSFAPLRRRADPDLAAAVRGGDFFLTRVPGDHDDAAPGWEIQDCRGGRVLFLNPRTRTDQIAAYDPLTRALHLIPRPPEDITIGCRGYFTYLNHFILPSSDDEDPGSVRVLCTCHDDSQARAAVFSSATGEWQIFPWAHAFKRRRGDDKYWLYSGSLVNGSVYWTHTNKPYMLVLNTATMQFSRIDLPSYLKGQGHSFRVGEAKDGEPCIVGVVVFSLLVWYWRPDDNGVEKWILHKMFPLQAEVLELTEGSPEEHAQLKVIEIIDGYVYLSTFETFNDPDVPGWFMSFCLETEKLEKFFQKKYDCHVYPYIMPWPRALLGNKVNPQLEGA >Et_7B_053556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:121476:123402:1 gene:Et_7B_053556 transcript:Et_7B_053556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQLPADDSVLLLITHSNLSTFAADIRISQQTTVEALKDKLWRKTGTAVPSMRLELRDDTGAKIADLDDDAAPLAAYRPYNGYRIHVVDLDPSSLTSGGWLEDTSLVDKYKMSDEAYNKLDTSFRKFKEKMVSKNPTSDDKEQSEKHMEELCSRIKVGDRCEVEPGAKRGTVKFVGRAEALGRGFWVGVQYDEPLGKHDGMVKGIRFFECPQGHGAIVRPEKVKVGDFPERDPFEDEEI >Et_4B_037688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22588050:22599991:1 gene:Et_4B_037688 transcript:Et_4B_037688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNSPFDCVMLDLDDTLYPGNTGIGVVLKRHIDEFLVAKLGVTNKNAADMRVELFRSHGSTLAGLIAIGYDVHPDEYHSYVHGRLPYDRIAADPQVAQLLQSIPQRKVLFTNSDRAHMKRALERLGVDEAIFDDVVCFETMNPHLFGEERVNGDSSGERPVVILKPAVDAIKAGLRAAGTDPGRTLFLDDSERNIAAGKALGLRTALIGKKVRSKDADYTMESIGSLRLVIPEIWGIDVGKKVRSKDADYAMKIIGSFPLVIPEIWATIDRNIDEFLVAKLGVTDDAAAAMRVELFRSHGSTLAGLIALGYDVHPDEYHSYVHGRLPYDRIAADPQLALLLESIPQRKVLFTNSDRAHIKRALERLGVDEGIFDDVVCFETMNPHLFGEERVNGDVAGERPVVILKPAEDAIMAGLLAAGTDPRRTLFLDDSERNITAGKALGLRTALVGKKVRSKDADYAMESIGSLRLVIPEIWGVITGDQPELGIEKKGIRSEMDSFLHPTTVQA >Et_5A_042988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8884413:8889734:1 gene:Et_5A_042988 transcript:Et_5A_042988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERRFDAEVAEVESWWSTDRFRLTRRPYAARDVVLLRGTLRQSYASGEMARKLWRTLRSHQAAGTASRTFGALDPVQVTMMAKHLDTVYVSGWQCSSTHTTTNEPGPDLADYPYDTVPNKVEHLFCAQLYHDRKQREARMSLPSRADRARTPCVDYLKPIIADGDTGFGGATATVKLCKLFVERGAAGVHLEDQSSVTKKCGHMAGKVLVAVSEHVNRLVAARLQFDVMGVETVLVARTDAVAATLIQTNVDARDHRFILGATNPRLRDRGLAAVLAEGTAAGKTGRELQAAEDEWLAAAGLKTFSDCVRDAIAGLGNITEHEKRRRLREWADATGSGVDSKCVSHSQARDAAARLGVGDVFWDWDLPRTREGFYRFRGSVDAAVVRGRAFAPHADVLWMETSSPDVAECTAFASGVKAAFPEAMLAYNLSPSFNWDASGMTDADMAEFIPRVARLGYVWQFITLAGFHADALVTDTFARDFARRGMLAYVERIQREERINGVETLEHQKWSGANFYDRVLKTVQGGISSTAAMGKGKLLLCQCSLLCAVPRLTTGTLIMINGTEASNCHGLLHVGPFEISSANFRITTGSQNKEAQVHRNLEREYTVRRLFILSSWEKETAEPSSFGFLARRTDENCERAWRTKCFGEAVLVRIITEQAEDDLGSAGQH >Et_8A_056743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16105402:16112109:-1 gene:Et_8A_056743 transcript:Et_8A_056743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEGASPLLEKVYVPGCPGCDYDRKKDLHQGLPYKEFLYVWMICLATALPVSSLFPFLYFMIRDLHVAKRTEDIGFYAGFVGASYMFGRFLTSTIWGIAADRIGRKPVVIVGTFSVVVFNTLFGLSTSYWMAIATRFLLGALNGLLGPIKAYAIEICRPEHEALGLSLVSTAWGIGLIIGPALGGYLALETLHKHEVHKSGIGRVESLEDHTIIDQEEKVEQSASLDDKKSLFKNWPLMSSLIIYCIFSFHDMAYTELFSLWAESDKKYGGLGLSSENVGQVLAVTGASLLVFQLCIYPRINKVLGPVKSTRIAAILCIPILFAYPYMTYLSPPGLSIILNIASVLKATIITSIFILQNNVVPQDQRGAANGLSMTAMSLFKAVAPAVAGVIFSWAQKRQQAFFFPGDQMVFFLLNVVEFLGLVLSFEPLMAVSEQHDTS >Et_2A_015268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10008936:10015605:-1 gene:Et_2A_015268 transcript:Et_2A_015268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLHRHATALLLLAITTTSLLLLAAASPASLEPSLLFPSAGGSGASSPAQPAAAASTTTTTIPAFPEQSEAAAAGTSVCQLAPSPPLLPAVLASCNTGGAALPPRLRCCPALAAWLYAAYAPAALSGREGGERQWRSEAVAGAAVVVDLPVLPDDSAECAGAADRALRAAGAALPRPQPPQVGGGASNGRAAAACDVAFCYCGVRLRRPACAAPEGRMARRLERECARPGLDGCSGCLRAINKLGFKKNATSTSTSAKAKQQAREDCQIMGLTWLLQRNATRYREAATAVIQALMVAEEGGAGHPATCSLPVDDDELPVAVGSSQINGASANAAAAVGCVLLVLLGSLRTTCKQDRKAWMRLKSKQQAHLGIAGWRSPWRPKSAQRGVVAGFLAAADDRTPASSLPPTTQPRTTTTLPPMTYPRTPAAAQTRAHVVVPAACVVQGTCFSGSRSTKSPFNIRCQRGLKTTSGTDACRTSSFGRFCGMSSSMRDWLVGALQGDELATDEVLP >Et_2B_022600.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27573665:27574279:1 gene:Et_2B_022600 transcript:Et_2B_022600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFDGDVPRHGGAGSGVLGMHAASRKIGKQQERKPVIIYMVSPKVIHVEAHEFMPLVQRLTGPDSGGRGDRKGRPSTSSGARSQDQEGARDNKGAAGDRTAPPVRVKARALNRPAGAAVSVSVTATKMANASSSAAPSPSGLMFQNLSPIRGGALKGEHPLVSPGWLHQVGDHFLSPSATAGLGSPSAGFFDIFGPLSSQQQ >Et_3A_024135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17718244:17721044:-1 gene:Et_3A_024135 transcript:Et_3A_024135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYALYVGAELDGLTNLQPLRGCDDPNFPYYLKLRCENCGEVTAKDSYVTLSETVEVPKGRSTANLVQKCKLCGRDGTITMIQGQGTALTIEQSQKGEKACLMVFDCRGYEPVEFSFGDGWTAESLEGTSFNIDCSEGEFADYDEKGECPVGLGKLESTFKVVKKIERAGKARYV >Et_1B_012119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29155765:29172329:1 gene:Et_1B_012119 transcript:Et_1B_012119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLAMQPTTNNGVAATAACTTTDAGKGSKKGSDAGGGGRVVDLPLHITEKILCHMSPMESARLATVCKSWASTVAALRAAPAPHLYVCMPPDNTSDRRGLVASVALEDYHGALALAGGGGGAPPATIPARVRSSDTNGLRCIGATPSGRVAFGAGCFSEHVVVVNPVTGARQSIHVGVSLLGMDPRYSQVLAAGSGAGGVDSLFAVDGYKLVLWRQAPAGDGGEEKWSTCAVAATLEHLRSPILSAVNCDGCYYLLHDDGSLSMVDATAPAPLRMEKLPVARLVESLGGHYVSGHLLESEGEVLFVQPLVTTCKEGDSLSVGRFEVHRLDLKEQRWAKVNELPGERALFVSPGSSFAVRASDTPGCRRNCIYFVGEKRYFLDPACHEGRGSSWGVYSMEEQRVLFEHAVTGPGSFTDAMCRLTDGVAARACTSPEADKVSNSDTVGGGPPVELPLHLTEKLLCHMSLLESARLATVCKSWAGTVSALLATPAPHLYVCVGGARRLHRAGRGALATGDDPCPRALVVVHDRTAFDASAPRRAAVNDVVLVNPVTGVHRSIDVGTQRIDPLQRRVLAAGGAGGDSLFAVDGKETWYSGGRPRTAARSGRGRIGRPINPISSAVNCNGCFYLSRVDVRVPVHGRHHRAGAASHGEASRGEASGPVGCPLHAPEPDGEVLFVQQANGSRFSTGGFEVHRLDVNEQRWTKVKELSGDGVLFRRVIVRRPCARLTRQAAGGTASTFVGEKRYVLLKSRVQQRRSRNLDRGGGGGGYSMEDRRVLFEHAVTGPGRGTEAMWFLPRSYCLGLLVESDGEVLFVQRLVACTDDGKSLSTGGFEVQRLDAKEQRWTTVRELPDDRALFVSTGSSFAVRASDRAGCCRSNYIYFVGEKGHDSNPACSWGMYSMADRRVLFEHAVVGPGRGTEAMWFLPRPTNGMAATSSSNDDANCNISSGKVRGGPVDLPLDITEKILRCISPLESARLATVCKSWADTISDQLATPLAPHLFVTERVRTRPNASDTGGFHLRGYMVSVPLDGSARLPPPAIIPVRKNLEHLLSQGGAKIIGVTAGGRLGLAISKEVFFVNPVTDVSKTLNVMSFPGFTGLAGDGGDTVLSHDIEVSVSHGRTWLYWREHGSEEWSCEAVRDVTYYSSRISSLAKCSGVVYVLYCNFSMAKINTNAGTPLLIQDLVCSRPWRGGGGDDNLLASKYYLLESDGEVRFVRQLLRQKAKTCPSEYHRLYETIGFEVYKLDEIDSRRWVKVETLDGDRELFVSTRSSFSVRASQMDGCRNNCVYFVVESQHCRACREDCMSRLGVYSMEQGKVLFEHTILVTERGTMARVVAACSTSTEADGARSSKVSRRSDERIPEDVNAGSVELPLHVTEEILRRISPHESVHLAAVCKSWAATVSDRLARPLAPHLFVCATPDNISDRRGVIVPIPLDQASRSFHMDMRSSRRPVLGTSGGDSIICSIDIIRLVLRRSAGAREDGKWTYTTLAMAAHWRNNFLSAVERNGCLYLLHKDVFVVSMVDITMPPPLRMEILPNVPTVGHHTSKNSEGYLLESAGEILFVLQLRASKRVAFSFCHHTKFHPVTVGFEVYSLHVKDRCWTKVDKLVGDQALFVSPQSSFALRSPEMVGCMSNCIYFIGKKKYCYQCEDDGGNNTWVVYSLEERKFLFEHTFKQPGGTFTSTLCEPNTTVQSRNAPESAERKLEEDDDASSRSVELPLHLTEHILCCISPIESARLATVCKSWAATVSVRLAARLAPAPRTYYVSGRRGFIVPVQFDGAVSSDAAIPARARLTGLIGQWLRCLGATPCGLLALASADSNNISLVNPVTYAGQSLYVADSWYALLASGDGDYSFLSFDDTVHGVMLWRRAGGREEAWSRQRVQTAPNQGYNILSAAICNGCIYVLRYDRCVCKIDTSASPLVLEKLPVAGLMEHFATPRDRPSSGRHLIESAGQILFVRQLLDYQKSAAVACTHGEFRRIVGFELEEMAKCWTLVEKLAGDQALFVSPDSSFAVGASEMAACRSNCIYFVVTCSTALCVVKNVGAPGACTLWKAGNASTEADGARVSRRRRAAELPFHSARFATVCKSWAATVAERLARPGPHLFSCVMSENKSHSHGFIVGAAHLSPVAIPSRERMVDTKVRRCCIGATPNGRLAYKSIWREGVLLFNPVTGMSQNINIAMDKPPRRPMLTRPVLLGTGGGGSIICSIVIDRLLLRQAANGCDDVEWTYKMFAVAAHQKNIIYLSTVVERNGCLYILNKRGHVFMIDTTMPPPLHMEMLPVAGSRIIANRRENYLLESAGEILFVLGLRARKHVVFSFCDHRVFDSIIVGFEVYRLDVKDRRWTKIEKLANDQSLFVSRESSFSLSSPETVGCMSNCIYFVGEKKYCKWCRKDEESNTWGVYSLEDRRVLFEHAFKEPGSCVLECGGEILLVRRLVAFKELAVPTGCKHNRLDLAVVGQRLDVEGRRWTKVEELPGDQAIFVSPGSSFAVRASETPGCRSNCVYYVREERSCSLCHTDRGNACWGVYSMEEQEVLFEHHLTETGRCVAAMWFVPSVQSRAL >Et_1B_014410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:863268:863711:-1 gene:Et_1B_014410 transcript:Et_1B_014410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRVGACDISRLPEELLSAALSLTTPPEAARAATVSRAFRSAANSDDVWACFLPTDLPPLASGEIDPAPPSKKEMFLRLSDRPALLADGLMQGSVVDRSVVFVSMIKACGWTRRPAPNATCCRRGR >Et_10A_001150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21599762:21601596:1 gene:Et_10A_001150 transcript:Et_10A_001150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRLKGLHWSPWNCGDLARVHMFHSPYFCLSCQFSLVKEFSLGNNSSPDIDQTTELMSDSEKTLCIRDELSALIEFPTSELLKTDRDRVDIFIAWALLLSHLQLVESSSSSWEMILKYILDNRIPLKTAAPSGKKKDVELMPEAEVAAKASKNAIVTSSLLSYMESLWSIGTWQMASLAGSLYGMMIRLLPSFVKDSIYADDTFSVSVNRSAYEIVATYKKEETGIDLVIRLPNCYPLRHVDVECTRSLGISEVKCRKWLLSLTSFVRNQNGAIAEAIRTWKSNFAKEFEGVEECPICYSILHTSNHSLPKLACKTCKHKFHGDCLYKWFSTSNKSTCPLWQTPF >Et_2B_020771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23459281:23463473:-1 gene:Et_2B_020771 transcript:Et_2B_020771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPQEAIDTFISITGADEALAVRKLEEHGGDLNQAINSHFNEGDGTLNRINQGTAPPSHDDMMDLDGPLDNTFQRSFPQTFRDPFALMDPNFQQQFFDRIGSTDTSSHGPLVSQPIEAREVPIEVRDSDPQTGPSDQAPVIENVTGHESSQDRGVRETIIIDDEDDGLSSAASARHANIPNNMSPPNPTVPSAPPLVHVNNYDDDIEEEMIRAAIEASKKDAEGLTNTVEQDRDQHPEGVNMGAYSEETDMGIADGTVGRQGQASGMAGTSRQPIDDESLQEEGEDVEEEPLVRRRSRRFPSESTELAQPVHPDASPVLDFPPPSNLSDRQNNGDEFPSEWGGISAEEHDEAVMLEAAMFGGVPEGPTYPFAMPSHRSSTHYPPIVHSPPPELTEQRLLREQQDDEYLASLQADQEKAELRRQEENAAREAAIEKQKQEEEERCKKKLEEEELESNLASKQASLPLEPPPDIEGAVTLVVRMPDGSRQGRRFLKSDKLQFLFDFLDVGRTCKPGTYRLVRSYPRRTFTSSEGDTSFSDLGLTSKQEALFLEQITE >Et_7B_054058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14855522:14857493:1 gene:Et_7B_054058 transcript:Et_7B_054058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCTVVLLHWNLYPISEFSMCRLWDWQVGEHSEPNDASHADATKFVWDCLNQDDEELLGLLGNQTPLRDCRDFFADIGVDISCKETLEPEESREAKRRRMLEYPSESSHSEAATHETASPLVTSEVTDISLLCTDEPQSLSYEMQHNSTDLDTMNSLSCGIPYLPEDNQLENCSDTTPTYFTPDQTPGSQESVTYIDDQIGVSGTSNVVPVTERLIMQETMKLSTLKVSKGGRSLSKTKHDITTSITYPFTLIKPSWEETDVTLNDINQRIRAPPKKPPEILGTSAFSGKPVIGKTRIRTDGGKGSITILRTKG >Et_6A_047261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3082238:3084104:1 gene:Et_6A_047261 transcript:Et_6A_047261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSSWRYASPWAIPLAIAIRVAQSTGVFGARAGSMALARINTSRALGLLFGDGCTQRSASLSVSVRSSTKVPPSGSRLSSRLMMDATHCGRSKPLILYAGDLPVRSSRMMTPRLYTSVFAFASSTLDSSGARYPSLPMAASILEFPSAVIRRVRSISAILGLPCSSRRMLLAVRLRWMVLLLSWRYTSPRAIPMAMVIRVAQ >Et_2B_022518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24401950:24404532:-1 gene:Et_2B_022518 transcript:Et_2B_022518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAATAPAYPWRDHLFGDAVSARQSALVLASYPVLLLLVILSAFIRYLWVALAMYCALLFVLSCAARSVVDRRAAAAARRAGSLGGLSPAAIAAVAPAFLYDASPGGEEECAVCLEALRGGEKARRLPACAHTFHVGCIDMWLDSHATCPVCRCHLVPQKAVKEAPEPSPAQTPTEAPLPPVYGEHCASAVNVAKPSTEESYTGGNVSGDGADATAGAPLVATLRGGSSQRQIGHVRWERSHASTQHTCLTTSPARTSSRHTAQSAQLPRAGSPSSAARGGGGSYANVGSAPSAAASLSPHAHMCRSAWSRTGAAAAAGVGASGARRRLRRAARRDPIHGATSQPASMSMSIIAPAATTT >Et_4B_038810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:565910:568764:-1 gene:Et_4B_038810 transcript:Et_4B_038810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGEEQARRLFGVSLTDRPRWQQFLICSGGFFFGYLVNGICEEYVYNRLQFSYGWYFTFVQGFVYLALIRLQGFTVKQMVNPWRAYVRLSAVLMGSNGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPLHEYVSAVMLVIGLILFTLADAQTSPNFSIIGVAMVSGALVMDAFLGNLQEAIFKMNPDTTQMEMLFCSTVVGLPFLMVPMILTGELMTAWTSCSQHLYVYAVLVFEALATFVGQVSVLSLIALFGAATTALTTTARKAVTLLLSYLIFTKPMTEQHVTGLLLITMGIVLKLLPENKENGPRRQATMTDDKQGKGREVEEEKTSLV >Et_10B_004271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2512045:2513316:1 gene:Et_10B_004271 transcript:Et_10B_004271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPSSSRAKLRLLKHQSTNPPNLAKYDRVMRPSVLLLVLVAGCAAGVHAAAGTSAAQFWEQTLPGSPMPKSIADLVQEGLDHSPLVEHYPVPATLGVNINWACTGGCPEEPAEAELPGFFFQRQVRVGSTMTLFFPPAAIPPILPLDVADKVPFNNLADVLATFHIAPGSAAAATVEKSLRLCQAPPNAGEVKSCTSSLEATVRSAVNMLGGEVWASGSALAGAAGLPRQAYVVEAVAPLDGDRHVGCHALSYPYAVFVCHITGRPTRAYRMTLRGLRGGQAVDMAAVCHLDTSNWSPSHPAMRILHTQPGGAPVCHFVAPVNLVFGKKTSNA >Et_8A_058312.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4705388:4705732:-1 gene:Et_8A_058312 transcript:Et_8A_058312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLPVPFTTIVVFIWCLSVVQGGGTTAREEKMRLGSSPPSCRGKCYECSPCTAVQVPTMSSASPSGPSVSAVAAARRGARLRTRAEVVPLVAALSNYKPVGWKCQCRDRVYEP >Et_5A_041703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26029973:26030384:-1 gene:Et_5A_041703 transcript:Et_5A_041703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKISPGRIVYLTTALFLVLITMSSTSPSCEAIQENLFHCMCAGFRFRCWWKKSPPKPKPPARSCFSPYSYDHCTASDCGFTCRSHGYSNGGRCVQEQYPMCCC >Et_6A_045869.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21884712:21885242:1 gene:Et_6A_045869 transcript:Et_6A_045869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVLTMSKKTFNCICSLVKDLTTKTYGFRNFRFGDKTILGVDDQVAVALMRLTSGESLQNIGMWFGMNHSAISNIHWRFIESMEERAICHLKWQSTEEIATIKARFEKIYGLPKCIESMDDSCILTTSGFYRLCEKGVRLDKQMSFLMSMLVMLLVHIECMPNPRQACKLVAAYI >Et_2B_019070.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29408193:29408468:-1 gene:Et_2B_019070 transcript:Et_2B_019070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYTFVCRNSGGEWTAKQHSGEIEASAATTYELQRQLVAAASAADSASGVQSSFAMVSPNSAVFQVWSPTASPPDPPDLTLCRLQLRCIR >Et_1B_011472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22765475:22768853:1 gene:Et_1B_011472 transcript:Et_1B_011472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRVLMATTWLAVVAAVAAAALDMSPVPFHAGFTPLFGDDNLVPSPDGRSVRLKLDRRTGSGFVSKTAYHHGFFSASIQLPDDYTAGVVVAFYLSNGDVFPRNHDEVDFELLGNRRGHGWRVQTNIYGNGSTSRGREERYLLPFDPTARPHDYAVSWTPTSIVFYVDGAPVREAVRVPAMRGDFPAKPMSVYATIWDGSAWATDGGRHRADYAYAPFAADFSHLVLRGCPAAVDDEVGLAGGAAAAECQVAVMTHERAVMTPAKRAAMRRFRRRYLIYTVCHDRVRYGGAVFPECDVDDGDRDGFHEWGESKRVLLNLFDPKQILISIQASTDPHRAASANFWRIP >Et_7B_054070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1504093:1505590:1 gene:Et_7B_054070 transcript:Et_7B_054070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSSAVPFLPTVSKEATLRPSFVREEDERPKVAHDSFSDAVPVVSLRGIDGARRDEIRARVAAACEDWGIFQVVDHGVDAALVADMTRLAREFFALPPEDKLRFDMSGGKKGGFIVSSHLQGEAVQDWREIVTYFSYPVKARDYSRWPDKPAAWRAVVEQYSEQLMGLSCKLLGVLSEAMGLDTGALAEACVDMDQKVVVNFYPRCPQPDLTLGLKRHTDPGTITLLLQDLVGGLQATRDGGRTWITVQPVEGAFVVNLGDHGHYLSNGRFKNADHQAVVNSECSRLSIATFQNPAPDATVYPLAVREGEAPVLDEPITFAEMYRRKMARDLEIAKLKKKAKAEKMLQMQQQQSAKEFAVPKAKSLDEILA >Et_1B_013185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6166598:6171305:-1 gene:Et_1B_013185 transcript:Et_1B_013185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLGALCRAGGWSYAGIWRSDRRDPRLLTIGECHCEDEARKVVERMVNQVHVVGEGVIGSALISGEYQWISDDTHFSLSQTSDADNLGLFQTIAVVPILELGVAQFGSMQKVCESFEFLDQVKSAFFTRESTSWHPSTEGIQKNVFPYGRQIKLDSPSTKGHDSHVCIKVESENPGFRENIMMGDSLKNTAFASMAMPVNSKSISAVKVFHNVTDLQHNNTPENSLQIKSNKQTGSSLATVATTYSSLNSLPRIEHGLSRGPSNPLYYPENEKSSSFRDSYSSFFSTGCELKAPTFDNATSIGQSAVTQEVGATVSSSHACELQQLPNEIWGETDVVSTKQVIKGGNDNNGMIESTIFDPVMHDWWDDTALLAGNVSHFGATNTNSATEQGNSDALSGEGKGLFSESVLEELLGFGGNVGPVVTSTSLAGSVSGCQLPTYNHEDPFSGQKSQIPSLTVPCSSSTSENVQIRAKTIPMSMGSLSMDDGCSLNTANSKVSQVKKPEGVKAIKKRARRGESTRPRPKDRQQIQERVKELREIVPNSAKCSIDALLERTIKHMLFLQSVTKYAEKIKQADEPKMISQDSGAVLNDKSSGVVLKDDPSAGSNGGATWAYEVAGQTMVCPLIVEDLTPPGQMLVEMLCEERGFFLEIADTIRGFGLTILKGLMELRDGKIMARFLVEANKNVTRMDIFLSLVQLLQQNSLNRSSEQLTKVINNGVPSFAEHQQSPISIPVSLKYAAYVLVKEGETFIR >Et_4B_038702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4066049:4066825:-1 gene:Et_4B_038702 transcript:Et_4B_038702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQSALLPEAKRPPCLSLAGGGGVVASTATSKKRKREDVSGGDDAHEDSRGEVVDGIELNFDAAPLPPDWQRCLDIKSGQIHYYNTRTHKRTWKDPRADDPDQHRAAADDEDEESANCAAAGLDLELNLNFEPRRVVAVKEKRPKPSAPAADRRPPAVEAEMVPAVCVRCHMLVMMCRASPACPNCKFQPTAQTRAAPPPPPPEPEPLKLGLQLLCCRD >Et_4A_032760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13514623:13523578:1 gene:Et_4A_032760 transcript:Et_4A_032760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVYGTGVFEFRHPRAAEYPLPADAAAAPAVGAPDKAAAAAPASGASITLLDIQRDRLTRVAAEHWGTPAAAAAFDAGLVKEIYATELRVEGRGRKTVPLHRVMILEVSQYLENYLWPHFDPADASFEHVMSIILMVNEKFRENVAAWTCFHDRKDAFKGFLWRVLKLKEEERALNMAEKTNYLLFMINAFQSLEDELVRETILQLVSLKLWHTLSFGRLQMELCLNPELIKKWTKIKRKEAKEAKKSDQRINPSEMLENKFLRNLIEEFLEILDSKVILSSQDGGEESVLNESLSGQVDDSCVLYCERFMEFLIDMLSQLPTRRFLRPLVADVAVVAKCHLSALYTHEKGRLFAQLVDLLQFYEGFEINDHSGTQLSDDDVLQAHYSRFQAFQLLAFKQVPKLRDFALSSIGSLHKRTDLTKKLLVLSDEELRDLVCNKLKLISEEDSCSGMRDFLIEVLVAFFEKRQSQKDAVNALPLYPNEQIMWDESLVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLHAYINNEGDTAFRGWSRMAVPIKEFKITEVKQPNIGEVKPSAVTADVTFSISSYRPQIKSEWDALKEHDVLFLLSIRPSFEPLSSEELAKSTVPERLGLQYVRGCEVIEIRDEEGTLMNDFTGRIKREEWKPPKGEFRTVKVALDTAQYQIDTTETAEKGAENVYGTFNILMRRKPKENNFKAILESIRDLMNETCVVPEWLHNIFLGYGNPSAAQWINMPDLLEVIDFKDTFVDASHVQQSFPDYQVTFVNSDGTENLHPSPPFKIKLSEKMRQNSHALPGNVNSNSTAKNADNMADGGSQKEKLIVETYIPADPGPYPQDKPKQNSVRFTPTQIGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLGEVSKLARSLRLPEDVGYTCETAAYFWLLHVYARWEQFLAVCAQNEDKPTFVKDRFPFSEFFSDTPQPIFTGESFEKDMHAAKGCFKHLSTIFQELEECRAFELLKSTVERANYLMTKQAKIVAMTCTHAALKRRDFLQLGFKFDNLLMEESAQILEIETFIPMLLQRQEDGHARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGVPYIELNAQGRARPSIARLYNWRYRELGDLPYVREQEIFHKANAGFTFEYQLVDVPDYRGRGESAPSPWFYQNEGEAEYIVSVYIYMRLIGYPANKISILTTYNGQKLLIRDVINRRCKPWNIEPPNKITTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDKLGLNLEECTPFTERPLGETGSIHYVTGIEDIGHLVNFRLEHLRQANESSLAVPENNADAIPPENGTAGSALNNTNQHMVVEENGDASDTVINNKMEEDAIKEKDDKEDVATEDKVGEHADAKDKMEEDNALSKDNMDEDNAEPKDKMEEE >Et_8A_056479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10577251:10577892:-1 gene:Et_8A_056479 transcript:Et_8A_056479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVASGSAENCPIQDRLRFDAELQRKGHRTVVPGEGIPQDDLIPLPGEEKHAAVAAAEDPPCVGATVVLVAMPPQEALSWDKIRRIHDRPEAGDPASVLKDADEGWFPVARAEAAAEAGVGDEAAPALADEGGAGDRRGLRREAEEELAEQVVVVRQSRRRGGAVGHLAGRRHHSGSGVRVDFEGKGDTIAFSRAAGPKPVPS >Et_1A_004715.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:33444640:33446294:-1 gene:Et_1A_004715 transcript:Et_1A_004715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMSGPKLLVVHTSSNKAANGMAPTSPSSSSPFFGSSRCLWLVVLLALFTCVSLLTVFSTARASVVGAAYQATLLTVGAGAGAAASEERAGLPGYVFDALVRYAAVAGNSTSASMPDADVRAIASVLRRRAPCNLLVFGLGAETPLWRALNHGGRTVFLDENPYYVEHLEGAHPGLEAYDVAYATAVRELPDLILHASTRAAECRPVQNLLFSECRLAINDLPNQLYDVAWDVILVDGPRGYVLPTRSHTCCSIHDPCFFSLD >Et_1B_011941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27422840:27426079:1 gene:Et_1B_011941 transcript:Et_1B_011941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHGYTHHHFSVLLVASLLLSSSSWASAATRSAGADTDHRALKRFRSLISDDPYGTLASWGGGNASAPCGWRGVTCGTRGRRRGRVTALDLKGLGLAGSVAPSSLDSLTYLRRLDLSQNHLTGGVPSPLPPSLEHLNLSCNALRGLMPPALGSLRRLQMLSLDRNNLTGTIPAFLGNLTSLTVLSLSSNNLTGTIPDALGNLQGLTDLYLNFNMLHGSIPPAVFNLSSLKNLVLQDNSLTGTLPPGAISRLPSLQMLSVDANRFHGAIPVSLCNASKLEMIEMSENSFSGVIPDCLGALKNLVALELHMNQLEANVDADWAFMDSLTNCSNLKEIGLAYNKLGGVLPNSIANLSTSLELLDMFANMISGQIPQEIGNLVNLTRYRMNENNFTGTIPASFGRLDKLSILYLQGNRLSGQIPPEIGNITVLSELVLANNTLTGPIPSSLGNCPLEALSLDNNLLTGPIPKVLMSTLFYASFRGNLLTGSLALQVSHMINLLVLDVSGNRLTGEIPVSLGDCQVLEYCYLDGNIFEGKIPDSIGRLKGLVELNLSQNKLSGRIPDFLGTIKGLQQLGLSYNKFDGEVPNIGIFLNSSAFSVEGNTALCGGIAQLKLPPCPNHGSTTGKRLHKVIMIIILSTGILSIFLLLALFVFCHKKRKLRKEERTLPNINDQHVRISYINLANATNGFASENLVCVGSFGSVYKGTMMISDQEMVVAVKVLNLQQRGASQSFIAECETLRCARHRNLVKILTVCSSIESGGLDFKALVFNFVPNGNLDQWLHIWEQGTHRGLDLSQRIDIAIDVASALEYLHHYRPTPIVHCDLKPSNILLDNDMVAHVGDFGLARFVHQDQTSLSDISSGWATRRGTTGYAAPEYGLGNGVSIHGDIYSFGVLLLEIFTGKRPTASSFVDDLSLPSYVQLALQDQQVTSVVDQRLLPLQDQEERTSSSSRTAEMILSCISSVLQIGILCSKEVPTDRLLIGDALRELHGVKDRYSRYITK >Et_3A_027079.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32168550:32170481:-1 gene:Et_3A_027079 transcript:Et_3A_027079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKHSLALAKFSSMTGGPGQDGSHAQRELLGGVGGKKYGRRGEAGVGGVALPTAPGARHVWLAAASRFPPLSSSPAPSTSCFSCSSPLSNCTHLHTYTPNRETATHTPSLPAAVSLCMDATAGSSPPHSQENRPKHGRGEEPAEEIGGEAADDFMFADDTFPSLPDFPCLSSPSSSTYSSSSSSNSSSTYTNTAGAGGVRGGGEPSSERASAGEGFDALEDIDQLLDFASLSVPWDSEPLFPDVGMMIEDAMSAPPHPVGAGGPDGRGGHGKALLEGSGGEEACMDAAAAAGEDLPRFFMEWLTNNRESISAEDLRGIRLKRSTIEAAAARLGGGRQGTMQLLKLILTWVQNHHLQKKRPRDAMEEATAAAGSHGHGQGQLSSPGVNAGYEFPAGGHDVAPAGAGTSWVPYQQPFSPPPAYGAEAVFPSGAGHGQFTFHQQGCGTSSVVVNSQAFSPPAVGDMHAPSGSWAPQQQYVTFAAGGASTGSYPMPPVVPPPFSPGFAGQYSPRMAAAVEASATKEARKKRMARQRRLSCLQQQRSQQLSFGQIQSPLLQQEPSPRSVHSAPVTPSGGGWFWPSAGQQIRNPLSKSNSSAPLQVPSPEAAAAPPPAAAKTASAARQEKSPQRAAASDKRQVVACRA >Et_1B_012777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35004309:35006162:1 gene:Et_1B_012777 transcript:Et_1B_012777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVSSLSAPALAVAPRRRRLSPAAASLRRVASGGGSSWRSERRLMSELERTVTAGAAERVIRSYVGNKSERAALAALSRLLMDSDPLAIPFYEAVKQARWFKWSSIHAAAVAALLEANGITGESRSLISESISQHLKSTNEVALFYCDLMAAFSSRGLKDRAMDFYKELRSMPLTGRKTYMAMIKSLCLMGLATEAEEALKEMVSLGYQPEAFQFGLVAKCYGKSGSIVEMERVIVSMSDAGIRLGTGAANTVLSCYSSCRDHSKMLVWLKKMRKLRIAPSTKAYNFVLNSCPTLASMVQELGPSLPLSTKGLVKKLKTVSPQATEPKLVHELLASTSVLDRAMEWSETEVKLNLHGFSTVAAYVLMLQWVDMMKARTLPLEVYVVCGIGKHSDVRGEPKVRELAQEILSRMESPFRLSTRNKGRLVAKRDKVKQWLTSLPMSEESTDQSPDASNQQPFVFTLFRKLGQFFSALM >Et_6B_049248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2291115:2292566:1 gene:Et_6B_049248 transcript:Et_6B_049248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLSTAQPAESSVGTKINMVYEVGELFELGIQLSYLLILLGLLGAGSFFVVRQVLVRRELDLSAKELQEQVRSGDASATEYFELGAVMLRRKFYPAAIKYLQQAIQKWDRDEQDLAQVYNALGVSYKRDNKLDKAIQQFEKAVELQPGYVTAWNNLGDAYEQKKDLKSALKAFEEVLLFDPNNKVARPRRDDLRQRVGMYKGVPVKTEKR >Et_8A_056452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10245790:10246634:1 gene:Et_8A_056452 transcript:Et_8A_056452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLKILRARHPSSVMYQEHMLEAQALYASKHKGKRCPFIHCWFVVRHTEKFAALPGFNKSRRSSKSTNLNLNMQGSSSKKPQPPGRKQSKEKLKRGEGDDDEYMMKSLIELKEKEMKRKEEVDQRKIELEERRLQWKQEDHVLRCKQTG >Et_4B_037615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21845892:21855048:-1 gene:Et_4B_037615 transcript:Et_4B_037615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSIAAAVVAILLVSVLNPVFSTPLNGTAGGRLSEDFYANSCPQLVNIVSMDVYRALLGDINIAAGLIRIFFHDCFPQGCDASILLRGRNSERDMGPNKTLQPKALQLIEQIRFKVHAACGPVVSCADILAVATREAVYFATGGVSTAPASRQHVGALPDPATRDVSVLLDAFASRGFFHPAELVALSGAHSIGRAHCGSFIDRARSREDPFSSSLLNACLRNPAHVQILDVRTPDMLDSQYYWDLLAGQGVFSSDMALARDGRTAPFVHMFANNQPAFLGAFTQAMVKLSFFKPFGNFVAMARSSTAAALVAVTLVSVLSPVLSVLLNGTAGGRISEDFHANSCPQFENIVSMEVYRALLTDIKIAAGLIRIFFHDCFPQGCDASILLQGPNSEQRMGPNLTLQPKALLLIEQIRFKVHAACGPTVSCADILAMATREAVYFSRGGPRYSVPLGFFDSLAPASQQDVGSLPDPATGDVSVLLNAFASRGFWDPAELVAHSIGSAHCDSFRDRARRQEDQFSRGLLMACARNPAHVQILDVRTPDLLDNQYYWDLLAGQGVFTSDMALVRDWRTAPIVQHFTNNQPAFQNLFVRSMIKLSFFRPFGNFVAMARSGTAAALVTILLVSVLSPALSVPLNATAGASLSDYFTAASCPNLEQAIFLAMFQVLRSDVSIAAGLLRIYFHDCFPQGCDASVLLEGRRSEQRLGANRTLHPKALELIEQLRETVHFACGPTVSCTDILALATKAAVKWLGGPNYDVALGLFDIVEPATEQEVDGLPGPTSEVPELLSAFASRGFGDPTELVALSGAHTIGIAHCDSFRDRAQRREDVFATLLLVECARNPRVLQPLDVQTWNVFDNKYFVDLINRQGVFTSDMALVKDGRTAPIVQQFAQDQNAFFAAFARAMTKLSHFRPHGNRGEIRRHCFRTNGRRMEDAEEGLAASA >Et_3A_023791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12379932:12380939:1 gene:Et_3A_023791 transcript:Et_3A_023791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVSPSISFLASPSRVLYTASPVSYTAPRLQCKILASLPSPLNVTATCVPFAEKRPVLVHAATDGSAAEAEQPEEAKPAAKIEQMPLESKLKMIEEQRARMKLAKKLRQRRKRLVRKRHLRKKGRWPPSKMKKLKN >Et_8A_056448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10126480:10133523:-1 gene:Et_8A_056448 transcript:Et_8A_056448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDSPVPKKKKSPALMIGPWGGRGGTPRDIRKGNGNRPRQLESITVRSTDSYGGRINGFSFVYVDQKGQSIPVGVWGSATKGYEDTITMGPGEHVNHVSGTADAGGVTSLTFVTNTGVEYGTYGYPSGTPFSVPLQQGNGEVLGFFGRGGDCLVALGVGPWGGHGGTPRDMNSRVPADHLESITVRSPLVPGVHICGLSFVYVDRKGQSIPVGPWGASKAGGAVETISFGEDEYLAEVSGTTDGFGVTSLTFVTSSGAEYPYGFPLGTPFSLSLQHSNSHVIGFFGRSGEVLNALGLYLAPRT >Et_5A_040224.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24362886:24365139:-1 gene:Et_5A_040224 transcript:Et_5A_040224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVRVSSHHSPVHKLGDSQMKLTPKFRLASASALPSPMPSADLDQSSWETALIPGLPDDAALNCLLRLPVEAHEACRLVCRRWHHLLADKARFFMQRKLLGFRTPWLFTLAFHRCTGKIQWKVLDLNYLTWHTIPAMPCRDRACPRGFGCIAIPSDGTLLVCGGLVSDMDCPLHLVLKYDIFMNRWTVMTRMLAARSFFAGGVIDGRVYVAGGYSTNQFELNSAEVLDTDKGVWQPIASMGMNMASSDSAVINGRLYVTEGCAWPFFSSPRGQVYDPKIDRWEAMSVGMREGWTGLSVVIDEHLFVISEHERMKVKIYDPETDSWDSVHGPPMPERIMKPFSVSCLDRKMVVVGRGLHVAIGHVEKQPGSNSDARRSSYAIHWQDVDVPKEFSDLTPSSSQILHA >Et_9A_061452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12100547:12103174:-1 gene:Et_9A_061452 transcript:Et_9A_061452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQGGSSSAAQQQTGAASGSSGVRMRKSAWSSEEDALLRAVVEQHGPQNWERISAAVPWRNAKSCRLRWRQHLDQTVVAGSTPFTADEDAVVVRLQRVFPNKWATIAGFLPGRTDNAVKNRWNSVLRKQQQQSAAAAAEEEEQHPVRPPHRHDGRTLELFPLKRGDLRVQDRQGPVLEHHVAPPPAESGQSGGACLHFFPLTPGDLVTRSNADAAPMDVDAGAGDPLTELKLGQFKATMVQAVRAPNALFRTLSILISRQLLCGLDHDLNLESSSPLFHGNSQMEMAWPKRNVVYSRRKERINDNWMPAPMDVDAGAGDPLTELKLGQFKVMVVQAVRAP >Et_3B_031192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20196543:20198641:-1 gene:Et_3B_031192 transcript:Et_3B_031192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRNRQKTVASDGSAPQELPDDLVLEIVARCPTVADVIRCAATSKPLRRGILNAPFLRRLRYFLLRDGRDGTLIPSLLVGLYSKAHDPHHPVDYIPTADGTWRPSSVAALPPAAPGRDDTGACRYGSYLPVASGRSLLVLRRKCRVTEQEHLVERHGLYPVELSVCNPTTGERWVLPPQDVSDTSHAVLDVCPLAPSSFKLLVAQLTEDKPRTLYVQIFSSEEGDWGPVFECRIRRRCEFAESGRRGPVVLGDRVHWLCSTKAGPRILTWRWRGGVLKRPRDTSLVKLQKSCRSASVDVMCLAASPPTNGAQGRSQALLSLIVLVRGGIDLWVRDKTGAVSTWRLLHCIQNTDIPHTMMDFFSVRWLNGVERSLFCNGKIGRIFPRANDVTGDGPLLIDLGCMLEQKFCPYEVDLFSYMLFGMKQFLEVLASAGLATRNAANKADDMNDMVSLRLMWFLSLVPWTLVDCIN >Et_4B_039924.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:606944:608626:-1 gene:Et_4B_039924 transcript:Et_4B_039924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLESDPGAAGEETAEEMLARVRGMVPAALDAARAAGGFPGRWKAIAAKLERLPAWLSDLSSHPCFAKNALCRELLQAVADTLAEAAELAARCREPPAAGKLQTQSAIDALAGKLDLNLRDCALLVKTGVLSDASGPSPPPETAPSAAQADVRELLARLQIGHTEAKNRAVDGLLEALHKDEKSVLSVLGRANVSAMVQLLTASSPKVREKAATVVRQIAESGSCEGLLVSEGVLPPLIRLAESGSLVGREEAAVTLHRLSASPDTARAIVGHGGAGPLMEICQTGDSVSRSAAAGALKNLSAVPEVRQALADEGIVRVMVGLLDCGSKEHAAECLQNLTSSDDGLRRAVVSEGGLRGLLLYLDGPMPQESAVSALRNLVGAVSPVDTLVSLGVLPRLVHVLRVGSTGAQQQAAAAICLVTSNSTEQMKRVVGEQHGCVALLVRMLEAKSNGAREVAAQALASLASCAPNAREMKKDDKCVPSLVQLLDPSPANTAKKYAILCLLALSSAKRCKKLMISHGAIGYLKKLSDMDVAGAAKLLDRLERGTLRSLLLFSTATK >Et_2A_014650.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32084927:32088060:-1 gene:Et_2A_014650 transcript:Et_2A_014650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDDADLERTLEGKEATDARLTAAAVGDALRGNLVSVSIRGSHPTRGVTDTGLSALARGCPALRSLALWDVPQVTDAGLAEIAAECRSLERLDITGCPLITDKGLVAIAQGCPDLKSLTIEACSGVSNEGFKAIGRCCAKLQAVNIKNCAHVDDQGVSGLICSATASLAKVRLQGLNITDASLAVIGYYGKAITDLTLARLPVVGERGFWVMANALGLQKLRCMTVVSCPGLTDLALAAIAKFCPCLKLIHLKKCSKVSDGCVKEFAESAKVLESLQIEECNKVTLMGILAFLLNCSPKFKALSLVKCIGIKDICSAPAQLPVCKSLRSLTIKDCPGFTDASLAVVGMICPRLENVNLSGLGAVTDNGFLPLIKSSESGLVNVDLNGCENLTDTAVSALVKAHGSSLAHLSLEGCSKITDASLFAISESCSQLAELDLSNCMVSDYGVAVLSSAKQLKLRILSLSGCMMVTQKSVPFLGSMSSSLEGLNLQFNFIGNHNIASLEKQLWRCDILA >Et_1B_013282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:765892:768431:-1 gene:Et_1B_013282 transcript:Et_1B_013282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVVRRPLLAAFLPAAGVASSPFGFHLRRRRSPRPFLAVSSDSTKPVASTSSSAGGDNPEEEPPVLPLLQELADCLVLPPKFLSQLPSDLRQDLNDAAFDLSNGPVLDECGQEVGDLLLNLAKAWELADTSTSNSLAKQLPVAFGKRLVSAGRRFQSMGQYGEGELKTIAETMIRNGKLLSKRPVIQSDVQAMKEKRKLKFGELELELTPERANIGAAIGAGFGFLSWQLAQGVQSIPDNSMQYANDNAVQLAKSLKVALLVLGYTSTGLSLFAAAGLLLLAQQISAENKSE >Et_2B_020469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20524855:20526996:1 gene:Et_2B_020469 transcript:Et_2B_020469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKEFAMVQERRPSQGHFGDVYPKGVWRQFHKKVSRKLSRNVVSLASFNASLVGDSDLANKIFDGLRIEVLLPKGQRVDGTLMHYNLHYNVALVSVKNYTAASPAILKHKKSPSEVIAVGRRFESGDLMASRGELVGWSGSLDCDILQYSTCKITKAGIGGPLVDDDGNYIGMNFYDPKIGTPALFHDDILHILKGFKKPRKRTSGRNRDDTVCMKSLMFFPCGLCLSV >Et_3B_030761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6995560:6998260:-1 gene:Et_3B_030761 transcript:Et_3B_030761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSSSRRALHSLHRRLLLHPSPSPAATRRPLPTIPRHHHTPSFPSSSSSSSRFFTTARQHYAALRRELLPPLPRLTGGVRSVATGRSKLAPLGKGVKGLGRPVEAARSAAARYREAVGLQVEAFWRRNYMVLVGAGAVIVCVALWRIMFGIASTFVGLSEGMAKYGFLALATSIVAFGVMYARARLTINPDKVYRLAMTKLNTSAAILEVMGAPLTGTDVRAYIMSGGGPKLKDFKFRLGGKRCFLIFPIKGSERKGLVSVEVKKKKGQYDLKLLAVDIPMASGPDQRLFLVGDEQEYKVGGGLISELRDPIVKAMAAEKEFDDIDEREDAEDERREREEAEQRQREEEAEALRREEERLREEAEERRRREAENLEKAT >Et_8A_058401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6578165:6581948:-1 gene:Et_8A_058401 transcript:Et_8A_058401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAGMRHEGSWWDPEGELCPGSDDVLSCYKDRRIGIDADYFVQWAAKVFTIAKARKKFLAALGAMAEEGPSQIKYTTPQHTFGPQMYLAIYLPFRLLVYIICGHLATELDAATLRCFLQHFFYRCLDATARFVLLGRCEDSARPLLEVGHVDPDLPVFVATEDVVGARARLAIGVPPQPLMPHPGPVRHPPVGHLSPVWRDQHTSVALSNLTYTENCRKKKLFELDHENAIRSGLTGGKSRTWSQNVKLFECAAVTAHPGPGHAGALLLEHPCDGRLVLERPRAPAEEERHAKGRRAFAAASGSRRRVRDAAVRPGLAPHEVVSRAQPLPAQPLHLPPEHHSLLEAHGPGRRGGERLDFHLRGRDRLGQRRQPSADPRPHRAVEEQRPPRRRPRPARPAAPRVPRRERDQRREPVPEVAVVVWRLGHEREPRAEHRAAGQRVAPRERVGGVGAWRRRDAEDAAVGRRGREDKVVPGFPFRLRAVVLQGADEPRARARLARVPEPEERGRPAEALHEVLGGGRLTGAPEVGGHEDSELEEEEDD >Et_7B_055859.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6026251:6027330:-1 gene:Et_7B_055859 transcript:Et_7B_055859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRYRRTISFPAPKPGGTTTDLASASDKLAAYRIRSASLPCRFHPLVLQLDDDVAALRLVIGQSSPPASVAPSASSVSSAASLVGRVLVSLSELLHHPQAQEPLRRLGRCSSPLLAERLLDDFLRLADAHGSFRQALVALAALQAETRAALRRGDPARLASASRAQRRAGRDLPRLAAAVRAVAGKPPAPMPEDLPADTAALAAAVADATVAVASGSAAVFSGLSSLSNSAAAARVEVASTPCWITVPSKLTAASSDGPRTKQQQPRIWWVADLVRWMSRAKRRSAEKQNGGDDSEESSTTQLRSEARVKPEERARKAAFERHENLERCIANVEASGEKVFRALVNTRVSLLNILSPSF >Et_4B_039418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14839263:14840790:-1 gene:Et_4B_039418 transcript:Et_4B_039418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPAAPIPDHQALLPVPTLTDELLEDIFLRLPTPTDLVRASAACPSFRALHPPPLLGFVNSLGFHPAEPPPPPPRHPSAPLAGAADFSYSFVPRGRWPEPWRARDVRQGRVLIECVPEPSIADIFSLKDLELAVCDPLSRRYVLLPSVPEDLTAPDERLIDSESFLLPTGEDEEETSFRVMCTAWNGTTLFAFVFTSITGQWHLAASPTFSSLGTSPPHYFMEFMTFHFIGDRIYWTVPWIDILLVLDTLSMDFSIVKKMPYRKPRRDSRTRIVAGEDGTPLILFLSDNNEDVSGDKLLVTKKLKITSAPEIIIS >Et_7A_051069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14074903:14078216:-1 gene:Et_7A_051069 transcript:Et_7A_051069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLAAAATLRAAVTTISSARRAARASRAFFPPSPASPALALCAAAPPRLPQVRTPRTVLRAMAARSAAATEAASDPAQLKAAREDIRELLRTTHCHPILVRLGWHDAGTYDRNIKEWPQRGGANGSLRFDVELKHGANAGLINALKLIQPIKDKYPSISYADLFQLASATAIEEAGGPKIPMKYGRVDVTGPEQCPPEGKLPDAGPKSPADHLREVFYRMGLDDKEIVALSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTAEWLKFDNSYFKEIKEKRDQDLLVLPTDAALFEDPAFKVVYAEKYTEDQDTFFKDYALAHAKLSNLGAEFNPPQGFSLDD >Et_2A_017999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8837648:8846556:-1 gene:Et_2A_017999 transcript:Et_2A_017999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTVLFFCLLLLPQLGTSSHFSYTLGSRQFFVRSSEKTQATSAPASCSSIPSGLGNDRLPVHHRLSPCSPLNGAGENSKPTGTDVYRRDVRRVRSLFGGPPLEIVPGSAPAPAPAPAGGVTIPAHGLTVPTEPGVQDYAVVVAYGTPVQPFPMYLETSIGLSLVRCKPCASGASSCDPAFDPSKSSTFSRVPCGSPDCRANCSGSVCPLNSRFLRGAVVRDVLSLRPSAGIVHDFTFGCIVADHPAYGPVAGVLDLSRDTRSVASRLATPGAPAFSYCLPRSTSGDGFLTVAAARPEAQEPGPHVQRAPLFVNPAFPTAYFIEVAGISLGGNDLPIDPAADTAHTTAIDAMSSFTFLKPAVYAPLRDAFRAEMARYPTAPPMHGLDTCYNFTGLPELTVPLVRFKFGNGESFLLHTERMMYYEDPSAFPFSVACLAFTTSPSGYEQYSVVGTLAQTSTEVVYDVSGGKDCHNVSYGALLLLASSSSAWHVNHFSYTLGSKQFFVKTSDKTQATSAPTSCSSIPSGVSNKDRLPVVHRLSPCSPLNGVGKNSNPSPTEVYRRDVRRVRSLFAGPAGDIVSSAPGDTTMPTNGVIVNTVPGTEDYAVVVSFGTPMQPWSIMVLETSLGLTLLRCEPCTTGAPDCGPAPFNATESSTFSRVPCGSPDCRTNNCSGSVCPLPSRYPRGSVVQDVLTLAPYATPVAISNFTFGCVDNPGVGHLDGLLDLSRDSRSVASRLATPDAPAFSYCLPPSTSSSSNGFLTVGVSRPLGTRVQHDAPMVVNPAFPNMYFIELVGIRLGVKDLPIPPATETANTTAIDVGTSFTFIKPAVYALLRDVFRAEMADYPTAPPRHGLDTCYNFTGLKGIAVPLVRLVFGNGESFLLHGDQMLYYEVPSAAPFTVACLAFAASPYGDQYSHFSVIGTLAQATTEVVYNVSGGKLGTSSHFIYTRESRQFFVRLPVHVPPAEPMLATERCSRAGKNSKPTATDVYRRDVRRVRSLFGGPAGEIVSGSAPGPAPAPAPAGGVTVPAHGLKVPTEPGVQDYAVVVAYGTPVQPFPMFLETSLPAATCARRGRRAATRRSTPRGPPPSPTSPAAPRTAGPTAPAPSARCRPGSGPARSCRTCSASGRPPASSTTSLSPAPRPSTLPPGPSPDCSTSAGTAAPSRLASPRSERRHSPTASRSPRPPPPASSPSPPPAPKPRPRSPRAVQRAPLVLNSAFPNAYFIELTGMSLGGRDLPIPPDADTVHTTPRRSTCRPPSHSGHGRLPRHGLDTCYNFTWLNEVTMPLVRLKFGNGESFVLHTEQTMYSEDPSAFPFTIVCLTFTTSPSGHDQYSVIGTLAQTSTEVVYDVSGGMAAFIPRQLLRASRHISTSNIGTELCVIFLFISAVCM >Et_9A_063310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19649679:19651437:-1 gene:Et_9A_063310 transcript:Et_9A_063310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRAAVAVLLALSALAAVSRAEDPYVFFEWKVTYGTKSLLGVPQKVILINGEFPGPRINCSSNNNIVVNVFNQLDQPLLFTWNGMQHRKNSWMDGLPGTNCPIAPGTNWTYKWQPKDQIGSFFYFPSLRMHRAAGGYGGISVVSRLLIPVPFDPPADDHVVLVGDWYTKDHDVMAKLLDAGRSVGRPAGVLINGRGGKAEPTTPPMYTVEAGKTYRFRVCNSGIKSSLNFRIQGHDMKLVEMDGSHTVQDMYDSLDVHPGHCFSVMVDADQPPGDYYMVASTRFIHDPRSASAVIRYAGSNAPPKPEVPEPPEGWAWSINQWRSFRWNLTASAARPNPQGSYHYGQINITRTIKLLISRGHVDGKLRYGFNGVSHVDPADTPLKLAEYFNVTDGVFKYNRMADVPPAVNGPLRVLPNVINAEFRTFIEVVFENPEKSMDSLHLDGYAFFAVGMGPGKWSPELRKTYNLMDAVSRHAIQVYPRSWTAIMLTFDNAGMWNIRSNIWERHYLGEQVYVSVTSPERSLRDEYNMPENALRCGKVVGLPLPPSYLPAR >Et_4B_036688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10671614:10677184:-1 gene:Et_4B_036688 transcript:Et_4B_036688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGTGPCGSGSALRAVAPAFPVTGNALFPFAASTDTAIAARQPPRIEPIRHALSSSVPRHHLDRNVTPGSNRTVTVTRGGEISRVEPVAPRSRAVARPNHPARSTAAARGRPAPAPWSPRSRRRSSRPRPTAASATADRVHRYRGVLMVLLAPVLLVSFVLLLMPRAPASASGGGLLAAGGRRWGPRAIEDGLRKYAVIFDAGSSGSRVHVYCFDGNLDLVPIGKEIELFKQKKPGLSAYAKDPQEAAESLISLLEEAEKVVPAELRAQTPVRVGATAGLRALGSERSEAILQAVKDLLRDKSSFKSQPDWVTVLDGSQEGAFQWVTINYLLGKLGKTYSNTVGVVDLGGGSVQMAYAISDKDAAKAPELSDGEDSYVKKLLLKGTTYYLYVHSYLHYGLLAARAQILKAGEGNDYSNCMLEGHHGKYRYDDDIFDASGSPSGASYSKCRALAVRALKVDEPACTHMKCTFGGVWNGGGGDGQKNLFACFVNPKAAVAKVKPSDFEEAALRVCKLNVKEAHATYPDVSVENIPYLCIDLVYQYTLLVDGFGIDPYQDITLVKKVPYSNSFVEAAWPLGSAIERELTT >Et_7B_054794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4470175:4471970:-1 gene:Et_7B_054794 transcript:Et_7B_054794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARAVASAARPARPLLLPRRLQSSFAVLPAQPRSGSTGSVRCMARRPDSSYSPLRSGQGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKEQMIDCYIQTLAKVVGSEEEAKKRIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELFVNGEIVQRSPERQRRVEPVPQRAQDRPRYSDRTRYVKRRENQSYQR >Et_9A_061127.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17465199:17465285:1 gene:Et_9A_061127 transcript:Et_9A_061127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSLYMSQAPEFVNSLVSGDLPGAGS >Et_2A_017251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32454672:32457540:-1 gene:Et_2A_017251 transcript:Et_2A_017251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARRGRGGNGPIGRPRPRDVSGGGGSMATRVAVLAFCVAGIWSAYITQGVLQETLSTKRFGPEARRFEHLAFLNFAQNVVCFVWSFIMIKLWSGGTSTGSAPLWKYWGVSVTNTIGPTMGIEALKYISYPAQVLAKSSKMIPVMLMGTILYGVKYTFPEYICTFLVAGGVSSFALLKTSSKTIKKLANPNAPLGYTLCFLNLAFDGYTNSTQDLIKSRYPKTSPWDIMLGMNLWGTIYNAVIMFVAPLLFSNWPYANGFEAVRFCQENPEVAWDIFLFCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFMSIVVSSVISGNPLSKEQWGSVVLVFSGLSLQIYLKWKRKKGREHKE >Et_3B_029050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21675725:21680360:-1 gene:Et_3B_029050 transcript:Et_3B_029050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSMKHLVQVEAAKEAADGAPSAGPTYRCAAGGQGASPPAVPGLDCCWDIFRLTVEKCPDNRMLGRREIVDGKPGKYTWMTYKEVYDTVLKLGAAIRKCGVGKGGRCGIYGANCPEWVISMQACNAHGIYCVPLYDTLGAGAIEFILCHAEVQIAFVEEKKIAEVLKTFPGSTKFLKTIVSFGKVNPEHKEKVEQNGLSIYSWEEFIQLGGEEKFELPPKEKDDICTIMYTSGTTGDPKGVLISNKSIITIVSAVDEFLRNSKEQLREDDVYISYLPLAHIFDRVIEEVFIHHGASIGFWRGDVKLLVEDIGELKPTVFCAVPRVLDRIYGGLQDKISGGGFLKKTLFNVAYKYKQGNMMKGSKHEEAAAIFDKLVFTKVKQRLGGKVRLILSGAAPLSRHVEEYLRVVTCSHVLQGYGLTETCAGSFVSLPNNMSMLGTVGPPVPYVEARLESVPEMGYDALLSESPRGEICIRGDTLFSGYYKREDLTKEVLVDGWFHTGDIGEWQHDGSMKIIDRKKNIFKLSQGEYVAVENLENIFGQTPGVDSIWVYGNSFESSLVAVVNPNKQALERWAESNGLKGFELVRAVHLDPVPFDMERDLITPTYKKKRPQLLKHYQSVIDDMYKSMK >Et_4B_038241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27491192:27493043:1 gene:Et_4B_038241 transcript:Et_4B_038241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPARRLATPCRHGSGCNVLRLLVFLLFPALLLSSAAHSAEVRTLSIGEELFAETMPLRHGQRFYRIEGLRPSAWYEVKISYPASIPSSFSIRLIDDPVSAEDLGSKNRRLLNTEKIIFKAQSSEPVYVLVTVEPEGVVAKPNVPERELALFNIVCDELMLGIPRFAWWVGIGALLCIVLALVAPLVLPLHKLLNYEPTELSKADTSKMS >Et_5B_044033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19211445:19214082:-1 gene:Et_5B_044033 transcript:Et_5B_044033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWGLTGRGDSGVSPAAGRHGGRLAPPQGRHWKAGEFPGTVDGPKSPAPSKLSDRKKHWKAGEFPGTAAAPNSSKPSRTPLKNVKKKLDDRADAKAWACTVTEALADRISSKNWQEALQVFEMLKEQTFYHPKEGTYMKLILLLGRLGQPSRAHQLFDEMQQQGLAPTPELYTALIGAYCRSGLLDEALQLLNEMKASPQCQPDVYTYSTIIKACVDASRFDLVDAMYKDMAERSIAPNTVTQNIVLSGYGKAGRLEDMERVLSAMLESATCKPDVWTMNIILSLFGNRGQVESMEKWYEKFRSYGIEPETRTLNILIGAYGKKRMYDKMSAVMEYMRKLAFQWTTATYNNVIEAFAAAGDAKNMEHTFNQMRSEGMKPDTKTFCCLIKGFSKAGLFHKVVGMVKLAERLEVPSNTSFHNAVLDACAKADDLMEMERVFMYMKHKQCDPDAETYSILVEAYRKEGMTDKIYALHQENPTFVPNEVVMWDLWVSLVRNLPVANDGA >Et_4B_040090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9364294:9365088:-1 gene:Et_4B_040090 transcript:Et_4B_040090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVVAPEGRRILVAVDEGDESVRALRWCLGTFFAGRGDTVILLYVRPSPPAYSVLDASGACLSLCFLATPCPALFVSGNEEVTVLRCDRAAAGYMFADEVTAAIDRYSREVADAVVEKAQKLCTLYNKEIGEDDREMKVEVKVAVGDARSVICQMADKLGADLLVMGSHGYGFIKRALLGSVSDYCLKNASCPVLIVKSQHG >Et_7B_053831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12559503:12564238:-1 gene:Et_7B_053831 transcript:Et_7B_053831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAVAAAGAAQPQGRGPAARQGGGGLGQSIAGIVRMAVFWYFAAKFFGPKRPPAEPGMLMSNLFQKGEPMDMWMYLSENEKFNDFANEDALIWHEANIPYAVWGPTSTRTRSLTYYPSESLKHNGSLYAHVYFARSGYPVDPTDPEYEQTSAFGRTHPIVTYLPKSKAGKKKSLLGDSEESEEQAPPEENKEPEEKNEGPVEYIAYWKPNVTINLVDDFTRGADLNVDPATNNYYPTVFFNEFWLLRDKLVALNETVEELPLNLEVGPISMTKWQLFLQIEQSFQVHRSYGSMLEGEADELKRVFLEGNPYLLGLTMIVSLFHSLFDFLAFKNDIQFWNKNKSMEGLSAKSVVLNFVCQLIVFLYLLDNDTSWMILASSGIGVCIEFWKIGKAMHIEIDRSGTIPKLRFRDRESYAQNKTKEYDAIAMKYLTYVLFLLVIGFSIYSLKYEKHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHMPWRQMTYKFLNTIIDDLFAFVIKMPMLHRLSVFRDDVIFLIYLYQRWKYPVDKKRVNEFGFGGEDEQQAREAVEGTDSAVAAQQTEAEAETSTEDKKTK >Et_7B_053526.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6673998:6674189:1 gene:Et_7B_053526 transcript:Et_7B_053526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILDLAIWIIPMTLVFVPCRRLVVLVARLQELEECIMRPRSLPPDSWSWNRVGGLHTMSIMM >Et_4B_036358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19690378:19691694:-1 gene:Et_4B_036358 transcript:Et_4B_036358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSYEIFSLLESKFLFGAGGCLSGPGTPARAAFLDGGGVRVLSVDGCGAGAEDALLAAATLARLEAGLREQSGDPDARVAEFFDLAAGAGAGGVLAAMLFLRGADGRPRYSAAEALAFVAASVGKKKDWAGGRGGGDRSLRRVFGDATLRDTVAPLLVPCYDLATAAPFMFSRADAVESDSYDFRLRDVCAATCAAGAAAPLVVRSVDGATAIAAASAGVAGMGNPAAAAVTHVLHNKQEFPLAAAVDDVILLSIGAGSRTPMPTRSPSPRELARVTAEGVADMVDESVAMAFGHACGSNYVRIQAGKAPSTPVHADTATAAAGAMLAQRNVESVLFRGRRLSERTNAEKVDALAAELVKEQERRRRSPLPNVAIKHVAAPSPRLSSATTVSSVTTTPRTASTMPSPASFHSRR >Et_3A_025046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26442041:26446683:1 gene:Et_3A_025046 transcript:Et_3A_025046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKLLQFQSVLAQHALRAAPKPRPQFQPLSHSHLLHSPSAPASASTFPSAQLLWNRLAGATDAAVLPRSAAALAAAARSAASRVTAATARGASSLELFSLQRRRTSGSGRPSSVSAFTQGGSWSQWMEFSADSVVLMLIGANVAVFMLWRLADPDFMRRHFMISLDNFKSGRLHTLLTSAFSHSETDHLFTNMIGLYFFGTSRIWSCFSTAVVVAGALTGSAFFLLEKAFLAPQKRGYVGWNSSRTSGLGASAAVNATILLEIFLYPKRLVYLYFLIPIPAAIMGAILIGADLLRVQKGQSHVSGSAHLGGALVAALVWARIRKGWI >Et_4A_035290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17814414:17818236:-1 gene:Et_4A_035290 transcript:Et_4A_035290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNKSRGGGGGLGAGGAEELVVLRGTISKKWTFLLCLGSFCIGLFFTNRMWTMPEPKEIIRRSTMEVEKMNLVSGDCAPKSIGDVKDIHGEVPRTQDAIHNLTVTRTLDKTISNLEMELASAKAAQESMLKIAPSSESEGKRKYFMVIGINTAFSSRKRRDSVRATWMPQGEKRRKLEEEKGIIIRFVIGHSATSGGILDRAIDAEDRKHGDFMRLDHVEGYLELAAKTKSYFATAVSMWDAEYYIKVDDDVHVNIATLGNTLARHRSKPRVYIGCMKSGPVLAQKGVRYHEPEYWKFGEWGNKYFRHATGQLYAISKDLASYIALNKHVLHKYANEDVSIGAWFIGLDIEHVDDRRLCCGTPPDCEWKAQAGNVCVASFDWSCSGICKSADRIKEVHQRCGESENAILSAKF >Et_9B_064143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11732504:11735943:-1 gene:Et_9B_064143 transcript:Et_9B_064143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELTYRGGGASPVAGAGPGAYSPKPSKPLAWLPRAVRYAAAEHRPVFALAGMLFAAAVFSIASPSGSSSATGGAYSTSSYSSSHNTNPLARYSVEPASRRDVPLGLKRKGLRVLVTGGAGFVGSHLVDRLLERGDSVIVVDNLFTGRKDNVVHHFGNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKYNPTNVVGTLNMLGLAKRINARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHIGPFNLGNPGEFTMLELAKVVQDTIDPNAKIEFRENTQDDPHKRKPDISRAKELLGWEPKIPLREGLPLMVTDFRKRIFGDQDGAAATNGGQQG >Et_6A_046578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17590458:17590702:-1 gene:Et_6A_046578 transcript:Et_6A_046578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNLLAHFTIIFRTKSVIQPNQDCLFLSVCGFSKALPDLCSPTDDTEKASPDYSPTSPDYR >Et_9B_065122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21389205:21395587:1 gene:Et_9B_065122 transcript:Et_9B_065122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDGDSDGIHAANRRAEVIEWLGALLPEFSLASDSSDEDLRELLSDGTVLCNIVNTLIPGVLEGSWDGYASSDQRSGNVKKFLSVIADMGLPGFSVKDLDEGSISSVVECLLVLRDSMDTRLGDNTQLDVAKTASRKQWGVPETDRPQVPGAMQGRRSPGENKRNGVPDPKGQHKTSVFSGQKFHEVFHLRRGSYSDLPAAKISEMMHSSSLDSAPTQSLINVVNGILDESIERKKGEIPHRVVYLLRKVIQEIERRLCTQAEHIRSQNIIVKTREEKYRSKIKALEILVNGTNEENQMAINRLQVVKEEKSKIEERRKVGEQDVIRLTKEKEHSENSIESLKKEIEVMNRTHGQQIEQIERKAKQMEEQLTTKVKEMEYLLLQSNKKIEEVEAASELNSQLWNKRENIFQSYVDNQILHVKDVRISSRSIRNDMYALQMKWREEMSELGSGLKCLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDKKSTTVDYIGENGELLISNPFKQGKDGHRMFKFNKVFSPFASQADVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPSTSKKDWGVNYRALNDLFDISLSRRNAFSYEVGVQMVEIYNEQPNGLVVPDASLHSVKSTSDVLDLMEIGQANRAVGSTALNERSSRSHSILTVHVRGLDLKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFALAQKNSHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVASLKDTISRKDMEIEQLQLIKVKAPNLSFDRNGAGLTKNTANQSSQLLSGERILKASDRVVSDPQSFVEVNGDSDHNSPTDIAHEGLGEAEYEDNASDGGLSAGETENSTSDRTNEMTTERMYRGTSRISRFTLTKNGQPAMNRSKPKDAVLKTPGMFCDFVNHPSILLLSNKSSTQPRDRGFFSQGLQKMAVEH >Et_1B_010571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11721222:11729811:-1 gene:Et_1B_010571 transcript:Et_1B_010571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECSLATLRGRYHGRWTQSNSRLSSRNMQIGMLKRSHTHKIHLPYHSNVARVWKYGAFTPGFHGRGHRVETLVKGSFLEPLIDSESIVSPNLMLVLDEALLSISIVFAYLAGVVPSGQTFPRSRNQSAKQHPTPPSSSDAGRDKKILPEKNTGFNPSDTWNDVRGKLCEALRANVQDASLNSGEDELTRDRKNYPLSMLAIHGGPRNISESSELADGTRWLQVSTMLIDSLIQPAFMKWIQEEEALENGKINEKLLKMISSKIKEEDRVLKNFNKIGKSELYLDLLFYLRFGSARPDSYFDAKFLAEHGARILEDLVISLADVIAGIYLELMSVDGDMSTEVVRSSLALCSLSTRELQKLRNEVAINLWLHQYFESIVAMYEDRFELYVLSRKRCEKPADNEAEKTNWWRLALGKPDVPIDVNYVNISPFSLPARRTKELRALTGWRYYFSLFLELSDIAMPFLRVAVSKISAAVSYFWVSMIGRSLGLIFSGIRQPPIQIQTSHKLHIIL >Et_2A_015499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1467769:1479231:1 gene:Et_2A_015499 transcript:Et_2A_015499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLEQQEKLRRHVDEWRFRSREALSDLGAGSACPSNSASSAPVRLRVAPADPAGAGAASLLTAAAADDNVAVSKFVAVLSHSCIELSRLSDAASKGIYKQLLLFGHNAVDSSEALLEGEPQKIFAHSVPLFLELYEIINGLMVILGNMLRQLDAICSVRDKNVRPLNSFRSFDLRTVFGLLGEGLSVFLLLDEILRHNGNVQSYLSLFSRMMSKVKSEVDIFGMSAEDVDFLDQVVHNLQRLFESGFFHRLVQVDSPLCSSISLVRSNQKFLDAFYSFFFEISSEILQRIGSLKELPFDRKTVLHLVALLLFFASVTGEAPNKKSMKLLVEIFQLVPVVYIEGGKRIVLSDLLRCYCPPALSLLPPIKEACESFGIMKNSYLTHLNEVHSRDIQAINDSLSCWSVSFQSAVHPSSQMLTEEWVRHLQKQILQGVVLADRIHMLVLSILDLHIHLEVPLRREKAKALCQMIVSLKSIGDLFNTRGPSIVRSLPHIINIIQSDIEQLIIPLKNKLQTEIAKGDQVSKTGFLSLLRRGGAEMETKLLDSFSLGHLDVELGKVRKLLSKFRILSNFQSLIDERTNCSFMYWRKEMFSTWLSMLYGDACKLSWLQNIIDAFSDGMLFLKLANVGPVSLQSYEEEIENALKEEVVAPLCRDIETDLRLHVHSTHLKGTVAVNPTKTGVRNLSWCLRMRPLRLPFKFVDVKLLVENHLNSAFYTYSVMPNYDTKIYAEMHQLGALKYGVELEDFHLTADTQDQGFDLRKTVQHLDSFCEKYSYVIAKQNAGVFSAHPSIHGELKFGLRKHGLGDHTLDFLEQVQDIVTRIGNTLGLVRILVAGCTRYSNNISRYATKSNYDLGYSTSCKLVGWDDDIAEIGKMLDMASGNKEALEERIETFTSLVASFSQKLRSNYLQDMKDFFQIVPLLFANMVDNKLLYKDKLLRREHEVKSSIRTYDSFLLGVAFVMKVLEHENSFNELNWFASIKPKVEGATEDRDNKMDKSASRAAFTSLKLWRAAPSVMPEPHKGVDKEKRYQQEIELIECGLRLAKTILG >Et_7B_055912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7273656:7278403:-1 gene:Et_7B_055912 transcript:Et_7B_055912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARLLGIIPLLFVLVVASSESVSDDVSALLAFKTAIYDDPLSRLSDWNAKDRDPCTWSGVGCSPFNSRVVTLDLSNSSLQGFLVPQIGSLRSLQKLMLDHNIFEGSIPKEIGMLKNLTELNLGTNQLTGPIPSEIGDMPKITKIDLHANRLNGDIPSEIGKLANLVELQLSNNSLTGAIPASNDSNMESANGNGQIGLCQLSHLTYIDLSYNLLVGDIPACLKKIQRSSLVWNCFQNNGTENRPAQQCPSSPDTGKDNPAGGDEKKGLPEPLWLLILEVVAAVTMLCMLTLCTVTGLRRCRARSSGSEDSVPWTRAVSWKENTVISIDDDLLGNVPKISRRELAEACEDFSNIIGSSHETVVYKGTLKDGREIAVVSLSVSTHYWNNHVELSFQKEVAEMARLSHENVAKMVGYCKESDPFSRMLVFQYPPNGTLHEHLHDGEGSQISWPRRMKLALGIARVLRYLHNEIQPPFAVAALSSSSVYLTEDFSPKIIDFERWRYLVTKPGLGSVGAANGGSVNSVTDPRHKRFMDVQANTFAFGVILLELISGRASVSKDAVDLVNWARKHLEQPEEFCKLADPKLQNVNQESLGIVCNVVNLCIDPEPSRRPSMSMIAAILEEGIEASAATLLRDSSLAWAEAELAMS >Et_9A_061464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12246641:12250814:-1 gene:Et_9A_061464 transcript:Et_9A_061464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAISFPISLSPPLHPSLRRAPRPSCTNSERGVSFDPGSAFYRSDSAAGRDLAVLAATLNRGGRADPASPFLCLDAMCGCGVRALRYLAQAGADFVWANDASEALRPVIVANLSRFDSGAAAPETGRRRWVVSHNVADRLLAERYLRREYFDVIDVDSFGGDSAYVRASLLALKIGGLLYLTSTDWRSARGYGSRSSLSSYGAYVRPMPYPNEVGLRMVIGGAAREAAMLGFHITPLFSYFAYHGPIYRVMVQLCNGKEDGISNYGFICHCKSCGQSQTFGFDELGQIACGCTDRIDADSITVVGPLWIGPLHDASFLTRMLTLASEWGWATENDATLGKLLRTMIEESDPRLPPGYIRLDEISHRAKVNSPPLGTLINSLRKEGFAACRSHIGANAIKTNSPISSCIDVAREIRNMPITPYGPLRGGSKGVVTERAVVGGTGRFRLASGYSLTKSQDPGQHHAHHGRLPVRSVRDLAPRQVLISILRCVATAAVRNG >Et_2A_017806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6466208:6468378:1 gene:Et_2A_017806 transcript:Et_2A_017806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTINIETELHMIAGDGETSYAKNSRLQEKAMVEIKPVLEKVTREVYADLLHRSMVIVDLGCSSGPNTLNFISEVISTISRHCDELGQSQDNLELQFFLNDLPGNDFNNLFRLFEQFKKLTARKHMGETLPPYYISGSPGSYYTRLFPRQSVHLFHSLFCLQWRSQAPKGLEGTRKTHTDGGDIYITSTSSPSTVKLFQKQFQKDFSLFLKLRHEELVFGGQMVLTFVGRKKEDVHSGEPNQFYGLLAQSLQSLVDEGLVEKEKLESFYIPIYSPSVHEVEEIVKQNGSFNLNHIQLFELNWDPYDDSESDVLNDSVQSGANVAKCLRAVMESLLASHFGDSIIDTLFTEYARRVAKHLKKEKTKHAVIVLSMKKVL >Et_6A_047718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1114197:1117669:-1 gene:Et_6A_047718 transcript:Et_6A_047718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAERVKELLASVVGEIRSYAGSDPLRPCLRYDIHHLPLLLLSQFPFSLRRFLADQSIHALFVRGVRKMEKELPPETLREKLPRFLQKCAEEFQDHARYRDDARYVRVWIQMVSLPPHTAYCYNQPMDYVKDAKPLLKKMEQRGIGLKRASFYMAYALYYEKNRRFESAEKMYRLGIQNWVLTEMFNSLAEPIGELHKAHEQFVQRMELHKRRKELKEKMSSKTGSNATSTQQAEGESINCKVQKSSTMQKSGSSSNPSLGYPPLGPAKVSMLSRGMSDVYKNLSRCNSDDTVVVRFVGSALVGRSETEDACHHGLVEPTINTKEAMDAINSMFLEPLEPETMLKRRSKHEKPNCNQQTSTFDIFVDEDKPDSNDPNNVHNNAMMQGHPKFSRQSRGFEIFTDEDSPNGNNQNAVQDRNSEKENTKLNQKTGTFEIFVDEYEANGNVKNGMCPESKRPPRPLCDSSGKSEFQKPFVGGFAILPDDEDEQCENMKLNQDASGFEIFVDEHEANGNVKNAMHRKNNMSHLRPISDSSSQRGNNDFQKPFVGGFAILPDDEDEQREKNSSSVFCSEQGNLETRYYEGSQPVISGVREDTVIHRFIGSTVVDEPNVENACHHGLVDPTVNLKEAMNDINSMFGKPLNFKGEKAKNRKINALSNKIAAPVSGFSILADDDLEENSTGKANQSSSCNSGAENGLFEPTITTRDVMAEINDMFGMPLDF >Et_4A_032339.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30588065:30588160:-1 gene:Et_4A_032339 transcript:Et_4A_032339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVSRMRSPECGAERVSSAEPWMVEAAKSR >Et_9A_062215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20758231:20762052:-1 gene:Et_9A_062215 transcript:Et_9A_062215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGTSVERRNENPRDEESTGRRKRSGCWIRLCVPPSSSRSKVDNALSCAAPAQGEAKNDVTQDQPVRQIEPCGTATSNAENSSASTIVADGLKVAFQLRKFTYGELKYATRNFRPECLLGEGGFGRVYKGWIEDSGAAPVRPGTRLTVAVKTLNHDGHQGHKEWVAEVNFLGNLHHPNLVKLIGYCIEDNQRQLVYEFMPRGSLEHHLFRKSVPLPWAIRMKIALGAARGLAFLHEEAERPVIYRDFKTSNVLLDADYNAKLSDFGLARDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLELMTGRRSMDKNRPVGEHNLVEWARPLLKQKQGFHSLMDPKFGGNISMKGAQKVTQLARYCLAKDPKNRPLMSQVVEVLKPLPNLNDMASSSSLYQSLQAQRAARLAYPSGSQSMKPQSSFAWNGHQAARSLSCGPNGHASPYRPQASPYRQSPRSNAK >Et_4A_033174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19538322:19539705:-1 gene:Et_4A_033174 transcript:Et_4A_033174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGGVIVGASWSSLDLSSQVEESELMERLLGTFPSSGEESHHEMPWSIQASNSCYFHCNASSSTFSSTSSNSSGNPSLVMPSDYGSYWLSDSNEALGIYSFTAPMHLNMVQEQGATQFMEAILDPPHGSSNSSCEDLEDSTTSVLGSIGTSVKRKHPEQDKLDGQTRSRKRTRKSDSKRAKKIMQREVEDGTIALGNVQSLSCCTSENHSNASHDPHVASNPNGKPQADRRSATESQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAAQYVKFLQLQIKLLSSEEMWMYAPIAYNGMNIGIDLNLSQQCASNKLAAESSANSTHNMIQL >Et_3A_027072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3251915:3255217:1 gene:Et_3A_027072 transcript:Et_3A_027072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPYLSCRTKATPASIWRRGRRSQRGSGADGGEQGVDVDREAGRRQGRPDGRVGAGAPLPGVAGVGGDKAGAGAGSRAGVDTGTSGEGASRRRASGRGRRRRRASGRGHRRRRASGRGARGGCGGEGWARRWRVDEARVDEDECGRGMESQQSKRGRKEEQEDRLGGLPNDLLHSILHDLTLKDVVRTSGISHQWEIMWLDAIATSRILDFTDRDFIRQAPAQVAALVSLCLKRHADQGAPLDTLRVALDGTLGGAKEFGHDVVGWVVDAVVRGAREVEVDLTPACADGAAQLDTDDEGFFVELPGNLFLARNSLFRLALDRFSIGVVPLGAPGLAGLRSLSLSHANVTDEEVEAVLPSCHMLEFLSLRSCHLLALVRIISDKLRCLELVSCLGVLELRVAAPSLESFAFHGDIVCLTEEEEDDGHVAAVDLGTTPALRDAYLSHVGFTDLYFLDRETAAYSGFLSCLAHARTLTLCSVGLLMLAQDGSGPNWGIDMTKVQELQLLMECLGFVDVQSTASLFLLNPLPLLDRLFIHILGDPSDEGGATSALTGELVDDRDIFIYGEDIVLGQLKLIKVVNLRGTRLEIGLLAFLVKRAPALEQLVLVTAEEEQGGPGDELLKIIQGQVLAMQKASPVVSITVCRKSEDQSPNPAHTRYYHEY >Et_2B_021676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4377114:4377707:1 gene:Et_2B_021676 transcript:Et_2B_021676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVALPPTCLLHGRVEICGVVANGHHSSERKDGVTCNGSVGGKPEPSDAASNGVVLAVAPPPAVTAKDEEKVSSNGIVVVGGGANKKRRGPPVLLEGSRCSRVNGRGWRCSQPTLVGYSLCEHHLGKGRARSAAAAAAGGGGGARGGPGQLGRTEHRPRIPASAAGAVTVAAAAPSATEGPSLPQC >Et_3B_031309.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25314616:25315098:1 gene:Et_3B_031309 transcript:Et_3B_031309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGLSEDGEEHRTFAERHPWALGIRVHGCYIRDYSPCMFVFVLFFACQFYFGFQQPKFWVKMTGAEGLHQSPDAVTAPTFNFTLRVNYEHGSVVVAWRTQAFQSRTAISPSSRVGPRRRVVEGLGLPAARSSFERMEGQREQNERVPLVADVGVVNRI >Et_2A_015041.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27221027:27221128:-1 gene:Et_2A_015041 transcript:Et_2A_015041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAAVVEKARETVATLLLARLPAGPSPRGPGH >Et_10B_003449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19951643:19953096:1 gene:Et_10B_003449 transcript:Et_10B_003449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSKAFVESSTDQMPLDGGAEKDAADAPHGGEGSSSNSSTVDVEEGGGGKMAGSSSSSAVRPYVRSKNPRLRWTPELHLCFLRAVERLGGQDRATPKLVLQLMNVKGFSIGHVKSHLQMYRSKKIDDSGQVTGGSWRDHFHDGGQVYNRGHLSLHHGQTGVRHLLSARLGAWPHWNNFHEQKWLHGHHFLASKQYYSAEAEMLIRARDNYVARAPTSVHQGFLSQNDQIMNHQRRKLPQETHPLSDKANNDDPLDLELTLDIRPRREKRKRSGGSWGKEHDNKVDQEAESSTATGLSLSLFLSPHPPRNFVSDSDYRVQGFKRESTCSEGKYS >Et_9A_061465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12270006:12274802:-1 gene:Et_9A_061465 transcript:Et_9A_061465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPPAATREHDEEEMAALVTPPTLPDQSTEDGASPCENDDTASMGVDQGEQLRRQSTEKPAWKKVFPTRGVVLVMSSLLVLALLVGSNSSGWIPLGSSSFLLGNRTFGHGRQRRAPHHSPPSPAGPPRVPVPFACGNATSFPHVPYCTRVPPPLPSPSPAAAAMPSSTSPAPTCPEYFRYIHTDLSPWREKGITREMVEKARDLATFRLVVVNGRAYVERLHRAFQTRDVFTLWGILQLLARYPGRVPDLDLMFFCGDVAVVRRRHHPDAAAAPPLFMYCRDGDALDIVFPDWSFWGWPEVNIRPWAPFLAEAARENARVAWADRRPYAFWKGNPWVSNQRRDLLRCNPNATGDGKDWNARVFVQDWGQAHRNGYKDSNLAKQCLYRYKIYVQGRGWSVSEKYILACDSPMLHVDTPFQDFFSRGLVAGKHYWPVDAARKCPSIKFAVDWGNAHPAEAQRMGEEGSAFTQEDLGMDYVYDYMLHLLTGYAGLLRYKPAVPENAVELCVDSMACTARGLVRDFMMQSMERYVADYEPCTLPPPFTEDEVAEMARTEEEARSKVKTMEEAAEKET >Et_5B_044364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23333572:23339215:1 gene:Et_5B_044364 transcript:Et_5B_044364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTFASATATRFAALWVVDALAGDETLEFSVLKALVGASPECLTGAPEATRERVALRCLQEVASLASEGDAAATAGTLRIEAARSCEDVLLELIGQVGSSGTMDMLPPFSQDIQNIISMKKHGLPETSFELLKEVDPDIVSVVSPSRLEQNDTNPNDNDQSLCSSHNHVNIDRPRFPKDNVEFQQETSANLVDETESRNLENQPCTSNSKSCDHQLADDAEAVGNRPPENSPAVDENVPLGAVHASAGCDVALQGSTTEPLSKKDTEVHATTVQPQSPREHSPNPPPNYNDGERLHDDDQSMKNLSHEGLDTYAAVAPAIDRNSNALPTNAAEPGHVPDFVSQEDTNVISQPHSSKADPNALQHESGKKVNRDLDDVSATIQPVEKDHVHEETTLQAASTVPPVSCNDAIQGDQSETNHLPGNATKHTAVFEEQNGDRPHIESGGVDKVNYALHDDASMLEKNTVHDSLNEQDAPLSQNCNSVLHDKTSEANNSCEQNTGKSRTDVQKNDCGTSIPNSVQHGNGSSTMNASNKTNLGDTSAGIPHVSSSYDSLPGIAAAGLLSMTNKKPFCPEDQDVNDSLEGMSQQYLCIKCGKGGRLLKCSGCLLAAHNSCYGSSVIFQETDLFYCPVCFYTKATEAYQKARKTYCEARKNLATFLGTTQMISQPDEQQTGVLPTAPNREGRSNVSDTSKRKNMNQNEAEAANLAHQDKEPDHQRKKQKNYATENGYPEETVTEKTSLVRDSNVPTMSKHSVLKNNSSDKVQDAERQQWRESKEDGNGNSSHETRSPSQNRCGPPANQEVEADKEDGPTKSHQSNDSDEIEASSSNDSGKRSSPPWRKMRHRKSKLRQKETMVSSDSRKTITQKDQHMSSPSRKRNYAPHKRYSNPVAPPGRRSKLCWTEEEETTLKEAMAKFSPQDDGPIPWVQILEYGRDVFHKTRLPADLRVKWRNMMKKGGF >Et_5B_043915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17657093:17659988:1 gene:Et_5B_043915 transcript:Et_5B_043915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIDRVAPWNVAGITTTKKILGFSVSLILINLASIMERADENLLPAVYKEVSAAFSAGPTDLGYLTFLMNFVKSIASPLAGILALHYDRPTVLAIGTVFWALSTGAVGVSQHFQQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGAVGGIGGSILATIMAGKDYWGFPGWRVAFMMVALVSLLIGILVYLYATDPRRIPDNHLLDEDDYERQVTFVQQRCSSSTFFLEGFLGSNEFCYESKNIPDNCSARDNWFIAVDSHSFDNSSSAALNSLFAIGCASGAFLGGVIADRLSQYYPDSARVMCAQFSAFMGIPFSWILLTVIPQSVDYWYAFAVTLFFMGITISWCATSANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGLITEKIYGYDAKTVNLANGSAEGAYALSRGLLTMMTVPFGVCVLFYSPLYLVFRRDRDNAKLASFKEQELI >Et_3A_023704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11088550:11092195:1 gene:Et_3A_023704 transcript:Et_3A_023704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPRLASRSRRLLRPAVAAPPRTSPPAPRPHPPLVGPASPTSSSSPLLRRFLQLRSPAAASLASPARLLDDGNGRRWFASEASAAPAPAAELVEVPLAQTGEGIAECELLRWFVSEGDLVDEFQPLCEVQSDKATIEITSRFKGKVHQVHFGPGDIVKVGENLLNMIVGDSQIVWHDNIAPQSDNSPGVDSTRPSSEDSSPSGTLSTPAVRHLAKQYGLNINEIQGTGKDGRVLKEDVLNYAVSKGLYKESSPVFEDSTGQVELLEEEKSLLDVHCYGDKKIPLRGYQRSMVKSMSLAAKVPHFHYLEEINCDALVKLKASFQNENKDHNIKHTFLPFLIKSLSMALSKYPLLNSSLIEETNEVVLKGSHNIGVAMATEHGLVVPNIKTVQSLSILEITKELARLHEMASHNRLGSADIAGGTVTLSNIGAIGGKFGSPLLNLPEVAIIALGRIQKLPRFDADGNVYPSSIINVTIGADHRVVDGATVARFCNEWKGLVEKPEMLLLHMR >Et_2B_022222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14275878:14279204:-1 gene:Et_2B_022222 transcript:Et_2B_022222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEVHIAVGKNFRKEKANILWAAAKFPRATIVLVHVHWPSKWMPFMGGKVLYKLADEKEKAMHRGRETEVMVQMLSQYKSLCGKRKVSAHYLTHNDIVAGVVNLIKKLKIKRIVIGSRSMSKKAVVRQCCQVHEITDVEEMIEMDDSDQLVTEAKTMTEESTDESATYEEVETFAEEGADQSDEIQSFRNITEKAEKIMAEIDKLQQKLRDLQVESHNNHGESSFSPRQLAAASQPKYPELQIPENIAQFSMSQIRKATDNFHSRNFIGEGGYGVVYKGVLGGEPVAIKLLRPHGSQGFPQYQQEMVVLSRLEHPHIVRLVGVCPESCSLVYEHLPNGTLLDRLSRGLLWKDRVRILAEQRSALAYLHSSRPHAIIHADLKLTNILLDARNVSRLGDFGTARAVRVKPLQEEETIGRRTNPMGTTGYMDPVFFMTGELTTESDVYAFGVVALQLLTGLLDLNVADQAREAVKMDAVHSVLDASAGPWPEVQAERLLKLALRCCSLERRHRPAITSDAEWRCLDILRAMAAPPGSNKSRKWSYHGS >Et_1B_013765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15693075:15696622:1 gene:Et_1B_013765 transcript:Et_1B_013765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCFRFAGWERDREREADERQSSSTAAPAMRSLSARSNSSTTSTTDRDVRRSGSEFSLNASELSSAGSFGRCRQLSQRPANALRVFTFQELRSATRGFSRALMLGEGGFGCVYRGAVRAAAGRGSVDVAIKQLGRKGLQGHKEWMTEVNVLGVVDHPNLVKLIGYCAEDDERGMQLLLVYEFMPNGSLADHLSSRSRRPASWAMRLRVALDTARGLKYLHEESEVKIIFRDLKPSNILLDENWNAKLSDFGLARLGPQEGSHVSTAVVGTIGYAAPEYIHTGRLSTKNDIWSYGVVLYELLTGRRPLDRNRPRGEQNLVDWVKPYSSDAKKLETILDPRLEGNYSIKSAAKLASVANKCLVRHARYRPKMSEVLEMVQKIVESSELGTPEHPLISNSKELVSDEKKRKGINLKRRISDIKNGEGRWFAWQRWTPKLPLGSARHKFLPSLDESCPGQ >Et_7B_054062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14836714:14840018:-1 gene:Et_7B_054062 transcript:Et_7B_054062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLAPEKRHAFVHNGQKVFEWDQTLEEVNMYIELPKGVPTKLFRCTIQAGHIEVAIRGNPPYLNHDLTHPVKTDSSFWTIEDGEMHIILQKREKGKTWSSPIQGQGLLDPYAADQEQKRLMLQRFQEEDLTFRKLSFLETALTQELSWEEYDPIEGIPVF >Et_1A_008271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5902906:5904358:1 gene:Et_1A_008271 transcript:Et_1A_008271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVPKLLLLTLLCSYHSLVAHAADARSHKVLDIESMKPKAVCSESKAVPSSSVGTRLPLHHRHGPCSPVSSNKTPTLEEMLQRDQLRAAYIQRKFSRAGGSGVQQSDATVPTSLGTSLNTLEYVITVGLGSQAATQTMLIDTGSDVSWVQCKPCSQCHNQADAFFDPSASSTYSPFSCSSGACAQLGQEGAGCSSSQCQYTVNYGDGSSTTGTYSSDTLKLGSDSVQSFQFGCSNVESGFNDQTDGLMGLGGGAQSLVSQTAGTFGKAFSYCLPASPGSSGFLTLGAGTSSGFVKTQMLRSQQIPTFYGVLLQAIRVGGKQLDVASSVFSGGAIMDSGTVITRLPRTAYAALSSAFKDGMKQYPSAPATGILGTCFDFSGQSSVTIPTVELVFSGGASVNLDSNGIILDNCLAFAASDDTSIIGNVQQRTFEVLYDVGGGSVGFRAGAC >Et_10B_004115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13326894:13327417:1 gene:Et_10B_004115 transcript:Et_10B_004115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSYDNHNRRQQAQDLQLALDDLGQFLADGNLRLYILDDGDSVTWMAFGNSCNANAVCLYAPGHGRANAGDWTKGCRPLFRRAGGGNEASWRCRDACACVAFQHKENIECCLKSVLFNGRTFPGLPGTVYIKVPADFATLEIHVHQ >Et_2A_017282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32819778:32821714:1 gene:Et_2A_017282 transcript:Et_2A_017282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTNSTSFPATISSTFCNNMPQASLATLLVVTSFLSTANHFHHMVAGNALPNDGLFPLDGDVVSKLRIDHEAITKASSDFGHIVEAIPNGVFHPTSHADIATLIRLSLAQPKPFTVAPRGRGHSARGQALAPGGVVIDMHSLGRDDHGHRVNVSSSAGEPWVDVGGEQLWIDVLRATLEHGLAPRVWTDYLRITVGGTLSNGGIGGQAFRHGPQISNVHELDVVAGTGEMVICSPAKNSDLFFAALGGLGQFGVITRARIALEPAPKRVRWVRLAYSDVGTFTSDQELLISRRDGESGFDYLEGQVQLNRTLTEGRRSSSFFSSSELDRLAGLAVETRSGAIYYIEAAMYYGDDDTAAVVDQKLETLLEGLSFAPGHAFVRDVSYVEFLDRVGREEQKLRSAGAWDVPHPWLNLFVPRSRILDFDAGVFKGVLRDAKPVGLILMYPLNRDTWDGRMTTVTPEEDVFYAVGLLRSAVAAGDLERLERENEAVLEFCERAGIGCKQYLPHHASQEGWKRHFGEKWGRVAALKRRYDPGAILSPGQGIFPAAQVAGDDSL >Et_6A_047737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12336458:12352334:1 gene:Et_6A_047737 transcript:Et_6A_047737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAHGGAATLPQILNDVKLHPSKTRNLRRLMRVLSTTNVFTIQQPSTEESEPIYVLTPSSQLLLSSQIPFAAMLLNPMIVSPFFELGTWFQRELPDPCIFKQTHGQAIWELTKQDSTFDALGNDGLASDSQFILDIAIKLCAGVFRGISSLIDVGGGLGGAAQTISKAFPHVKCSVLELEHVVTEAPSNTDVQYITGNMFESIPQADAVLLKSVLHDWDHEDCVKILKNCRKAIPPREAGGKDHQI >Et_4B_037485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20191123:20195620:-1 gene:Et_4B_037485 transcript:Et_4B_037485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEEHVTAAAAAVEQTPEKKEADTTTELPAPSGWRKKLNPIRGGKFEVTFVSPTGEEIKSKRQLTQYLKAHPGGPASSEFDWNTSETPRRSARISEKVKTTESPEGEKTPKRQRSSSKRGKKEKKEDEVDATETGDHGTSEEAKSADVEMKDAENAKEDKKEAPSVDAGEKTEEGSQGPVSENSADGKPAETEMAPPAPVGGEKKENTENSQAAESVVVPPASSEGEKKEEPSEGEKKEEPSQVEKKADGGASEITAPEVAEMKADAPPAEAAKETENSEEAKAVPQETSAMNCDNKGQIQPGASAVRCT >Et_4B_039091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7695899:7700834:1 gene:Et_4B_039091 transcript:Et_4B_039091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISQGISLRSPPVGPRGQGGRRSASSSPAAASRSWGQAAAPGRQALSITSARYDSAAHPPRGGRNPGCSSSAIRAAASSGSQPESDRVPGEPRIELPAIFSLFSEAAKTGAAFFIASSGAVFLLGSFGGFGGGAGGLFGGGGGGGGWGAGGGGGAGGGGGGFWSRLFSAGAAHADDKSSADWDAHGLPVNMTVPLTKLSGLKRYKLSELKFFDKAAGGGGAYTGPEDSFFEMVTLQPGGVYTKSQLLKELETLVSCGMFEKVDLEVKPKPDNTIGLTVSFVESVWSAAKQFKCINVGLMSQSGQVDFDQDMTEREKMDYLRKQERDYQQRVKGAKPCILPENVRGEVLGMMKKQEKVSARMLQKIRDHVQKWYHNEGFVCAQVVNFGNLNTNEVVCEVVEGDITKVEYQFQDKLGNIVEGNTALPIIDRELPQQLRPGHIFNIGAGKQALKNINSLALFSNIEVNPRPDETKEGGIVVEIKLKELEPKSAEASIQPGGTVSFEHRNIYGLNRSIVGSVTSSNLLNPQDDLSFKLEYVHPYLDGVDDRSKNRTFKTSCFNTRKLSPVFVAGPNMDEAPPVWIDRVGFKANITESFTRQSKFTYGLVVEEITTRDETNSICTHGSRAMPSGGLSMDGPPTTLSGTGVDRMAFLQANITRDNTEFVNGTIIGDRYIFQLDQGLGIGSKNPLFNRHQLTMTKFINLNNPEKGAGKPLPAVLVLHGHYAGCVGDLPSYDAFALGGPYSVRGFTNGELGAGRNILELATELRVPVRNTHVYGFVEHGTDLGSSKDVKGNPTEFFRRVGHGTSYGVGVKLGLVRGEYIVDHNAGTGTIFFRFGERF >Et_4A_034084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29944033:29945882:1 gene:Et_4A_034084 transcript:Et_4A_034084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPARRLAAPCRHGGGRNLLRVLVLILFPALLLSSAAHSAEVRTLSIGEELFGETMTLRHGRRVYRIDGLRPSAWYEVKISYPASIPSSFSIRLIDDPDSAEDLGSKNRRLLNTEKIIFKAKSSEPVYVLVTVEPEAVVAKPNVPERELTLFNIVCDELMLGIPRFAWWVGIGALLCIVLALVAPLVLPLHKLLNYEPTELSKADTSKMS >Et_2B_019792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1463039:1464199:-1 gene:Et_2B_019792 transcript:Et_2B_019792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNQAFQIGPITADLYTARAMPLINLKNYNEALADLQDAIDLEPSNYKAHYLKGSVASIFVCLKYKTTHIIFSVVFYSLTCFKLKDYQTAKVALEQGSPYADLRLAILLKKCEECISVILSIYAKGVPKDCVHVEFNEEMLKVSIKVPGQELYHLEHHLFSK >Et_9B_064352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14282454:14285904:-1 gene:Et_9B_064352 transcript:Et_9B_064352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSEMQPLAPAGYRRAPEMKEKVEASMVDLEAGNGETLYPGISRGESALRWGFVRKVYGILAAQLILTTAVSTLTVLHPTLNATLSSSPGLALVLAVLPFLLMIPLYHYQHKHPHNFVFLGLFTLCLSFSIGVACANTQGRIVLEALILTAGVVASLTAYAFWAAKKGKEFGYLGPFLFSGLTILVLTSFIQIFIPLGPASVALFGGLGAVVFSGFILYDTESLIKRHTYDEYIWASVGLYLDILNLFLTILNILRSMQSDN >Et_7B_054294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19471418:19473226:1 gene:Et_7B_054294 transcript:Et_7B_054294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDDEERWVHRVRQSLERETAEELGAAAKVFDVPRALRDTKPEAYTPHRFALGTWSATSSPPPKKLFTGEHKIDDLVQCFLADGLDNKIRATYHRYMELNDVTLAWMMAIDTCFLLDFLERYHRDEATDMVSSSNNWINAVVRDAVMLENQIPFFLFARTLRFCLDSGTEQEATDVLRTVFDRFTKDVSPIKINADRDIGDVAKPAHLLELLYRFLVPDAYVFEENCQDAPPAAAQNNHQVTEDQPSLDELEKQQLPDNYDKVKKACLHVSSVKVIKENLISRPMSLVSSLQEQIMNKVPALAGVLPVIGKLMASNEVKERLKGMNLGSVANSPLAQEIKVPSVTQLTECGVRFAPAPEGIAGISFDRRTATLSLPVVTLDGNTEAILRNQVAYEAVAVRGPLVPTRYTELMNGIVDTAKDVKVLRQSGVVVNQMKSNREAAEMWNGMSRATRLGKVPRLDAVVRAVNEHRDGGAGAEARQEVRVQVVEDAHAARLPRHAAHDGAADILLGLPLRKHLVRRRAAAAATVLRAGSIEELLPLNPSIIYSFCKGRNYHIN >Et_5B_045311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1811343:1816369:1 gene:Et_5B_045311 transcript:Et_5B_045311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPVAVVTVPFPAQGHLNQLLHLSLLLASRGLPVHFAAPEPHLGEARARLHGWDGADALLAAVRFRPLEIPACASPVPDPSSPFPTHMLPLFEAFCGGARAPLAALLGELSASHRRVVVLHDRMAAFAAAEAARLPNGESLGVHCLAASYNVGWADPGHRLLRDHGLVFHPPGACATPEFVALAKRMGQERRRAPGAGMVVNTCRALEGEFLDVLAGIPSSDGRKLFAVGPLNPVLLPDGSGSARALAARARHECLDWLDKQPPSSVLYVSFGTTSSLRQEQVRELAAALRGSNQRFIWVLRDADRADMREAAGTDACLAAAASSELGDATARGAGVVVTGWAPQLEILAHGATAAFMSHCGWNSTVESLSHGKPVLAWPMHSDQPWDAELVCKYLGAGVLVRPWEQRYDVTPAAAIREAIERVMASDEGAAMRRRVAALGEAVRVAVADGGSSRRDLDDLVAYMTSKAATSKAQNRRGLPPPPPLRQPGMSKPAASVASLLPQLWHRPFASPSLIPRALSSSSPLFTAHRARRRRSLLSHATPLTAAASVSTAAAVEAPITPAYPVYDRLLPCPPVDDPPRIEHLVARENELAGDFISKSLDLPPLYVADLIKFGAVYYALVAPQPPPYSSPEHFRIFKEVTQPSVLRRRASIKGKTVREAQKTFRVTDPNQQLEAGTYLRVHVHPKRFPRCYEIDWKSREKQVKKLYLALTTAPVSTGIITHYMRPLNRAPRLVSEDHIGGWYLCQMEILDCTKIPWPSSSIRKAHKVNDCGWPEQEAAYECKINLITGKTHQIRAQLAAMGTPIIGDSAYMTAAMAAMANPSINPFGRERLNYDSEEEKEAAVEEWIASHGKEPKSVIGLQASEISWDYEGEHHYYKAGVPWWRQDSVEFDLA >Et_10B_003703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5008848:5011009:-1 gene:Et_10B_003703 transcript:Et_10B_003703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWHIVGLILSGPSARLNSEENAMMKNASGNMLCTILGESQSTQNMHQPLFQIPHLILKIELTQAGFLIYRLLQHILPIPAYRVGSNLIKADLNLMLSVLANSATQCLFYELWLMYIDSRLRFDDQLDAYNDALSMLSQMTADTDNDLKERSASILDIFLQMIYFLCMSGNVEKAISRIFGILPSATHGNTGDIDKLLADVISCLTMSDRCIFWISCMYVSIYRKLPEEITNQLEKLYLIHISCLAALEGFKFSADMLVKYMEEYPMCPQILLFSARLDRKHATCPGLKGFDELLRIGLEKCKEFNISRIIILSMFCLIILSLLRSCWPAGMKNMEKIIVSKKSALNRLDIHHLLQPRKSVLVPSSTDQVYHLLNLSLYKILENNIKEALVAVDKALKSAHEECYEHCLREHAAIHILEKSSAVDAFSFIIGYLADHRNLPTRELLSRRFCENVKKNSLKQLIDDTIGPPSVDTSLINSVLEVCFGPSLLPEKIGKVKYLVDFVESVMEVLPANYRLTLAVGRFVAKHYTGADPTSMGTRFWSGYVLINSIFRAVPVAPESVME >Et_5B_045476.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:2756147:2757898:1 gene:Et_5B_045476 transcript:Et_5B_045476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPPAVASPISEVKSKKKKSKSKDAPAVAASDPPSLAEAEEKTDGYLIKPQSLVPSLDTSTWPLLLKNYDRLNVRTGHYTPLPSGHSPLKRPLAEYIRYGVINLDKPSNPSSHEVVAWIKRLLRAEKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYVCVARFHAAVPDTAKVARALEALTGAVFQRPPLISAVKRQLRVRTIYESKLLEHDPERHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGEQDNMVTMHDVMDAMWALDNYKDESYLRRVIMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIETGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKLIAEGLLDKHGKPNEKTPSEWLRNVVLPTGGDVSIASIAAAPESEKVKAEQDVVTTEEVSEKKKKKRQKDDEGDDADASVPAKKIKVEAEEVAEVVDGEKSEKKKKKKDKGESGTAEAVQVKEEKDVGDESEKKKKKKKKNKEGSDVADPESAQNGDGAEAEKSEKKKKKKKSRDTEEAQ >Et_8A_057782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7063183:7065190:1 gene:Et_8A_057782 transcript:Et_8A_057782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGAEAAAAVLALLLLLLLLTTRAAWVAVSCYVVTPLRIRRALAAQGVRGPPPRLLVGNLRDVSALVAEATAGDMGNLGHDIVGRLLPHYVRWSKAYGKPFVYWYGSEPRVCVTDAGMARELLSSRHALGGATGRSWMQRQGARHFIGGGLIMANGAAWSHQRHVVAPAFMADRLRGRVAHMAECARQAARAMQEAVARGGNEVEVGAHMARLAGDIVARTEFDTSYDVGKRIFDLIDQLQRLTARSSRYLWVPGSQYFPSKYKREIKRLNAELERLLHESIQRSREIADEGRTPSSACGMGLLGMLLAETDKKKNKNSDQGYDARMMIDECKTFFFAGHETSALLLTWAVMLLATNPAWQEKARAEVAAVCGDAPPAADHLPKLAVLRVPKGASVWIPVLAIHHDEAAWGADAHEFRPDRFARRPPSGCFLPFASGPRNCVGQAYAMAEAKVVLAVMLASFRFGISDEYRHAPVNVLTLRPRHGVPVRLLPLR >Et_7A_052460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8287099:8289437:1 gene:Et_7A_052460 transcript:Et_7A_052460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSKELLEIEPLELRFPFEINKQISCPMQLTNRTDHYIAFKVKTTSPKKYCVRPNSGVVPPRSTSHVIVSMQVQREAPPDMQCKDKFLVQSVIVGQGTSAKDITGEMFTKQSGNVVDEVKLKVAYVPPPKPPSPVHEGSEEGSSPRASLSEGSYLNYLEATRESDEPSFSAVKSEKDQQDSSSEASALISRLKEERNSAIQQNNKLREELDLARREVSQQNGGFSFVFVIAVALLGILLGFIMKR >Et_9B_066112.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:421954:423009:1 gene:Et_9B_066112 transcript:Et_9B_066112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLSVAIVICVAWVVAAEARPHDGNGDFSIVGYAPEDLESHDRLIKLFEEWVAKYRKAYASFEEKLHRFEVFKDNLQHIDEVNKKVSSYWLGLNEFADLTHDEFKAAYLGLRPTTSGRSFRYGGSSGADSDLPKAVDWRKKGAVTEVKNQGQCGSCWAFSTVAAVEGINQIVTGNLTSLSEQELIDCSTDGNNGCNGGMMDYAFAYIAGSGGLHTEEAYPYLMEEGDCDQKSGDEESSAVVTISGYEDVPRNNEQALIKALAHQPVSVAIEASGRHFQFYKGGVFDGPCGTDLDHGVAAVGYGPDHIIVKNSWGPHWGEKGYIRMKRGTGKPEGLCGINKMASYPTKDH >Et_6B_048652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12158660:12160678:1 gene:Et_6B_048652 transcript:Et_6B_048652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFLVLSTMSYLPQIPLHQRTMPHGKRHTKKKKKKYEVGDKVDVEIEGDVRSSWVPATVKLDEGGRSGHFGHSNYYLVQYRDLQKQGDLDMDFQKEYINARYMRPAEEHCSLQEVNVVSPDIYAVKYDHVEEACETDKDMDEGEVVGKGKREDCDKVDVDSGIGGTVREPKQSEKLSMPIRPLQNELQINPAVQGRPYRRLSILEENQELLLMGSSVFGPEIFYSASIRQDLPLWSQIEALEDKYKVIRQRPHFLPLQKEDPLEHELLALMVMLRFSYIVHKIKNSSLEDDETSFEGMKNTLMDLKN >Et_1A_006839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28012449:28018780:-1 gene:Et_1A_006839 transcript:Et_1A_006839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAVVLLSLPGLLTGAMAATPYPHGRGVDALIGASKRYEGSFDLMDLRYHMGPVLSAAPLRLYVLWYGRWDPAHQSPIRDFLLSLTNPSPPRPSVAGWWATASLYADQTLANVTRRVALAGEAATVVDDAAGRNSLKRLDVQRVLAAAVAGGRLPANARGGAYLVLTAPGVDVQDFCRAYISRISMAALRPPNGDAGVDGMISVIGHELAELATNPLINAWYVGEDPTAPTEIADLCEGVYGTGGGGGYAGKVNVDAQGRSWNVNGRNRRKFLVQWLWSPEAKACRMEEKEALA >Et_10B_002506.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:12540478:12540609:1 gene:Et_10B_002506 transcript:Et_10B_002506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSVRPGALCLIYCSQNLVHMLLIVNQFVLFNGAVRGHSIS >Et_10A_002083.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21639710:21641038:-1 gene:Et_10A_002083 transcript:Et_10A_002083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESCHSRSLSWLARSCIPADPARHVAVPVPIPADPGRHIAVPLPIPAASTNLSSTHPASDSAEESPISALPDDLLLECLARVPRASLPPLPAVCRRFASLLASDAFLHLRRAHGLLRPTLVAVSVPSQGSTFARALIQFDASSPEAETAVLPLPPTLLHCRGSSSAFAHARAVAVGREVFLIGRGATLRVDALTGAARACAATLFPRKKFAAAAVGGRIYVAGGSARTAAVEEYDPAADAWRVVAEAPRRRYGCAGAATAGGVFYVAGGVAVSAPGGDGGALLEARACAGSVDALQVASSSGTTAWARPRAMPGGGCVVGACGAGEHLYVVASHAVELSFWRWGGNGGGSRGAGGGWVALEAPPVPRGSVGLGMAVRVAMTGVGGDRVVAVVNVSAVRGHIAAVAVEGLVLVYDIAAGKWSRAPDLPPGFRRAACAVVEC >Et_2B_021096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26400242:26403344:-1 gene:Et_2B_021096 transcript:Et_2B_021096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPSHRSLLLVVLAVATLSLCFGAAAAAQAGSGEGYTIAGRIKIDGASSKGFGLPAKTSNTKVILNGGQRVTFARPDGYFSFHNVPAGTHLIEVSSIGYFFSPVRVDISARNPGYIQAALTETRRVLNELVLEPLKEEQYYEMREPFSIMSLLKSPMGLMVGFMVIMVFVMPKMMENIDPEEMRQAQEQMRNSPVSFSNLLARTQG >Et_7A_052203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5664339:5666560:1 gene:Et_7A_052203 transcript:Et_7A_052203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGPSSMMDPEGYARGWGQTSLGDMPESCVAAVLFYLDPPEICHVARLNRAFRGAASADCVWAAKLPANYRYLAALAAAADDDCGCDDATVGNGRCCSSAMIKKEIYARLCRPTQFDGGTKEFWIQKNNGGLCLSISSRAMAITGIDDRRYWSHLPTEESRFHNAVYLQQIWWLEVAGEIDFCFPAGSYSLFFRLHLGRPHKRMGRRVSSSELIHGWDIKPTRFQLSTSDDQHAASEHFLDDPGQWIMYPVGDFVISSSDKLTKLKFSMMQIDCTHTKGGLCVDSVFICPKDHQLEKEHLFCK >Et_7A_050646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:85232:89751:1 gene:Et_7A_050646 transcript:Et_7A_050646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQSSRERGRRALDAMKALGFSKKEAAPVLKTLLNLFDNSWEPIEDESYRALADAILDARDRSQNNGEQEQCGRTASLVPLPPEDDHNLFSTPLAVIDTSCHLDSETDAPRIKRPTNFSTGPRLLPSTTEAQDDTTMSISPLCESNRLQTRVPTQSRQSMADGMPSSVPAHKRARQMLDQDFQHAVFLKEPKPEPEMEYSAPSSSCKNAAQAAIISHPLNISSSSHAADHPIALLPHNQYTSNISGSKGRAVQYRRTAASTSFGNPVNIKMKQPQIRENDLDHRTVMQNTGTGSAVKNTQKTPSLHTVVASSTTGDVEMSIKCSLDPSNFHMPDLETVFKMAEDKCLRSCKVLPPDFSIGSLMNEICHCVVEMVNYHSGEHNIQSDAGDNGRNSQNESMSRNALFMEPVASMSNGGEKDQSIEEALVLEASQSAPPNATVAQQPHLALSHLRPTHDVSDISKGEEKMRIPVVNEFGGPKCPSFFYYTPKNIVFSKAHVNISVARIGDEDCCADCFGNCLSAPVPCTCARETGGEFAYTPDGLVRTAFLDECVSMNRFPEKHHKFFCKSCLIERSRNEASPEPCRGHLVRKFIKECWTKCGCNMQCGNRVVQRGMTCNLQIFFTHEGKGWGLRTLDELPKGSFVCEYVGELLTSTELHERTSRNLHNGRCMYPVLLDANWCSERVLKDEEALCLDATFYGNVGRFINHRCYDANLVEIPVEVETPDRHYYCLAFFTTKKVEPFEELTWDYGIDFDDDKTPINTFECLCGSRYCRGRKNLRKRGKAAATLNL >Et_9A_062753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4085950:4090791:-1 gene:Et_9A_062753 transcript:Et_9A_062753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGTEPKGAAAAGPAEEEDGGGKEEKAAAVSCSICLDAVVAAGGERSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRKIEKGNWLYANGSRPAQDVNMDEWTHDEDLYDVSYSEMVRSHVNLTLQLFIFMYSIYLLLVLLPFRFHWCPFGRLAQLPSLFDIVQVMIDKLLGCASYRILAQALIKFANDIQLCQHGIESMAYVSLYEEPLLCGKNWCHSLTNTFPIFYQGRGVITSCYLYLTNLSYMLNMFYIGRAVHDFMGQHVFTEHVAVSAASGATHPCPYVAYLHPLPPLTSSSSSHVPERTMDRPAYHDHWNPLAGPSDGRPVPTVQPADFHHNPWAHMPNSYAPPNSNNGVTEQPGIPFGTRAARVDGDSQRRASIVSPSYFSNGSGSRSRTPNVPPLMPQFMRAHGSINEQYQQNSSSSLFAGAHRSGGMRPAPTPLPENPTFSLFPPGSSGHSSMETDDVGGNRFYAWERDRFAPYPLMPVDCETSWWTSQQSHNTSEPAPAPRRLFGQWIGVGRSSPENRSPEGSSYRQMHTPRM >Et_6B_049457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5027450:5030828:-1 gene:Et_6B_049457 transcript:Et_6B_049457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKPYVIAIIVQVMYAGMFIVSKAAYNQGMNTFVFVFYRQATSSLLLLPIAFFRERKNVPSISFWMLSKLFVYALIGNTVPLNLISASVKLTSATLASATFNSIPVVTFCLALLLRMEAVKLRSSSGIAKVIGIALCLAGVLVIGLYIGPGISPINHHHVFPMHSSTDANRVEWIKGTFLMVLGFILWSFWIVQQAAVLKEYPNKMLMTLSQWL >Et_1A_007854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38931840:38941327:1 gene:Et_1A_007854 transcript:Et_1A_007854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKVAEGSGPWLQSTNGFVGRAVWEFDPELGTPEERAEVERVRREFTERRFERRESADLLMRMQCAKQNRGGHRRDLPRIKLQEDEQVTEEIALNSLRRALDQLSYLQACDGHWPGDYSGIMYIMAGLIFGLYVTGSINTNEDGGWSDSVGRGKSNMYATCTNYIVLRLLGEDLDDKKSALANGRAWILSHGGATLVPAWGKIWLSILGVYDWSGNNPIFPELWLAPWFIPFHPGKFWCLSRMLYLPMAYLYGKKFVGPVTPTIQAIREEIYNTPYDKIEWSEARSACAKEDILCPRTTLQNVVWSSLYKCVEPVLSSWPINKLRERALGQLMEHIHYEDENTQYVCICAMNKPLNMVCCWVEDPNSDAFKRHLARIPDFLWLSEDGMKSQVLRNNPGDLNYWHRHISKGSWTLSSADNGWAVSDTTAEALEALLLLSKIPSNLVGNPIQRERVYDAVDILLSLMNKDGSFSTYECKRTSSWIEILSPCENFRNIFVDYPYPECTSSVLQALVMVKELYPTYRTEEIEKCISNGAAFIESKQLEDGSWYGTWGVCFTYGAFLTVKGLVAAGRTYENSSSLRKACHFLLSKQLNTGGWGESQESIRTQVYENIKGDHAHAVNTAWAMLALIYAGQEHVGSANCAVVFNYPNYRNLFPIWALGEYRRCLRANNLNGMAAIIAPKAK >Et_6A_046190.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:918226:918435:1 gene:Et_6A_046190 transcript:Et_6A_046190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSVAIAANSTSVDETKLKLVFCTVSRCGYYSSIDVLCYCCPDLSRKEYCHLTMEECKNNCATCNPKCSP >Et_6A_047656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9131540:9136307:-1 gene:Et_6A_047656 transcript:Et_6A_047656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCSALLFLAVAIYIHSHGTTNTAATTTTQLHFFMHDDYTGPRPTAARVVSGRTLLPPSPSGDDNATTTSTPRQFGDIVVLNNALTEGPDAGSARVGTAQGFAVRVSEGGVVSQLAMHLVLDGAGEHRGSSVAVNGRIDVDVEVRESVVVGGTGRFRFARGYVLSRSYDYDLARGGIVEMDVYVQH >Et_8A_057649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5647167:5682602:1 gene:Et_8A_057649 transcript:Et_8A_057649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPPRRGSRPPSPPSETTLSSFPLDILEKVFSHLPVRDVVRTSAVSRAWRRIWDFDLGEGSFWRADGWVRLLADKGVQDLALHFSQGRGIEPHDMDASIFSCRELTCLRLSGCDIPAAPLGLAVFPNLTWLSLCGVGFPDHGVRDLEALISNCPLLQDQDELEECVIQAPNLRDLAIESELGYDFGWQIGELPSIEKVEISFNNYSHNRDFVQLLTPLARVRKLTLKIPIEYDELQHAELGVDFLNAQWVEGLFANLRSVNMDLATCQTNEMHFIEFVLSKAQRLQKFDICINEYCPKSNEELLVEIVKYRRASPHAKVFFERMAFDSPPRRKPRAAAAPSLDCLPPEILEKIVSYLPLRDSVRTSALSRAWRHRWESVPGLRILRSDDAPPASFSAILARYACPVREFLQCFLDKEACGHTDEWLLLLAGKGVQSLTLFFDEIGPGRHTIHPRIFSCVELTDLSLGHCRLPSVPSCFTGFPNLTSLNFTWVGVPKHREKDLEAIISSAPSLRSLELVNVWINGNDFDDWVIRAPNLQRLTIKSDSDYGWQLEELSSLQRATIDVDDYDIDRDFVQLLTCFTQVMHLEFHMPSTEGNALEGLTCSFQKLKSLTLHTNFRHASIMLSTFSLLRSAPNLVELEIEIPQSYIQDEVDTVDIDFLNSIWTNDIFANLDIVTMKDVSCWSNEMNFIEFVLSKARLLSAFYIYRDDIFPHSKPPEETVIKIAKYKRVSLQKLWFSLGIWKTCPLAASRGRRRRRASTASRRISSRRSSLASPSATPAHFRALPRLAPPLGVCPRPQHREGRRRAAFSAVLARYACPIRRFHRWFLHKEACGHMDEWLRLLAGKGVQSLTLFFDEDGLSSHTIHPRIFTCLELTELRLGHCRLPSHFTGFPNLKSLSLTWVSVPEHGERDLEAFINSSPSLQYLDLMNVWVDSDDSDDWVIQGPNLQRLVIESDGDAGWQFEDLSSLHSAMINVDDYDIDRDFIKLLTCFAQVTHLNFYMPIPPGYILDEEADIVDIDFFNSLWTNDMFAKLDIVTMKDVPCWSNEMHFIEFVLSKARLLSAFYIYRDDIFPHAKARSLLKRQLSRLLNIKECLQKLWNMDVKMASPPRRKPRAPSPEAPPPGLDCLPPEILEDIVSLLPIRDAVRTSALSRAWRRRWESARGLRFILRPGTLPSSVVGAVLEQYACPVREFRHGWVVAKAFDHTDEWLRLLAGKGVQSLTLHFAEPTEVPIEFYMIDEAIFSCRELTRLDLARCWLRPAPSCFAGFPNLTSLCLARVGLAEHGEKVLETMIGAPPLLELLELNDVWIDSTEVDEYHDYRLQIEELPSLQRVSINIDEYSDDRDFVKFFACFAQTRHLEFHMPIDYQFRLENLFCYTTALILTNADIKYSAKELVSANITEGNIIEGLPFSFRNLKKLTLHIGFCDIASILCLFSLLRSGPDLSELEIKILYGVHNEEFNTDFYNTLWNNDLFTALDVVTMKDVRCWSNEMHFIEFVLSKARLLSALYVYRDDDDYHDDPHSKPSEEVVIELTKYRRVSPKAKVFFKNIDGSLAHRRPRLSPQDAPEATMDLESLPPELLNEILSRTSLWDAIRTSVLARAWRRRWESVPSLTFFGTDIFEDTAKPGAISGILRRYSCPIREFSYSYIVQGSFDHSDCWLRLLAVKAVQSLYLQFERLEDELLHTLHPSIFSCRELTVLHLYDCKIPAAPPGFAGLPNLIRLGLNNVGFPEGARILEFLIATSPLLESLCMEFLLLPDSNGGHYHWVIQGPKLRSLSIDAVSDDNWQINDLPSLEEAEIDCGEYSSDRDLVKLMTGLAHVKKLKIAVPLNVLSLFQTINVNTLEGTSYTFVNLKSLSLRTSLGLLSSVSCVFYLLRNTPELEVLDFELSEDEYKDDEVDLDFLNALWTDDLFSSLIRVVVTDMNGKLSEMYFIEFVLSKARRLEEFRVSLDALCTKSNEETVTKLAKYRRASPRAKASPARRRLRPPSPDTEEALGSLASLPPELLNEILSRLPLRDAVRISALARAWRRRWESVSSLSFRWTGRSDPGAISDVLRRYSCPFLEFRHDYVEEALFGLSDCWLRLLALKGVQSLYLDFEDDDDELLHTLHPSMYSCRQLTVLHLRGCNVPAPAPGFTGLPNLTVLSLNHAGFPEGARVLELLIATSPLLESIRLEYLSIPYSSGYHPWIIQAPKLRSLYIEAMFDDEWEMNDLPSLEEANIDLVGYSPDRDLSKLMIGLAHAKKLKVTLPHNVSSLFQTNGHILEGLSYPFVNLKSLSLRTSLRFLSSVSSIFCLLRNTPELEVLDFEFPSMSPVQLEEHYFGEDDVGRDFLNALWTDDLFSNLIHVVVTYVACVSSEMHFIEFVLSKARRLQELHVCLDAFCTKSNEEAVIELVKYQRASPRAKRA >Et_5B_044710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:490773:495184:1 gene:Et_5B_044710 transcript:Et_5B_044710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLAAAAPVPWWLYGPMAPSDLSDDTSPSGSPEPPTLPFLVPPPPPPGYPLLPPPRPIVIPLHPGFLAEVDSRRCLSLVKFLKDERAVPTPEDDKKREKVIRELKKIVMRWVKAVAHEQNVPPSVVTATVLTYGSYTLGAHGPESDIDALCAGPCIATLQYHFFVLLRQILEGRPEVSEVQTVESAKSINTLTSQLLQQIDTRSWRSLSGVRVNERIVQLVPNAEKFQILLRCVKLWAKKRGIHCHHLGFFAGIHLAILAAYVCQKYPNASVNGLFTVFFQTFAHWSWQVPVSLQDQLTDCLHPEGRLMPIVMPCTPPEFCISNVTISTFKKIRQELLRGYALLMGQLRHDFKWTRLFEPFPYAANYQLFLRIALSAPTSEELREWAGWVKCRFRNLILKLEKIGVDCDPCPLEEVDHTVIKPNAVYYWGLISQTIVDVDTYSLRKEFMKDVITDIYGKVKCTHSELTVSVVGLPQLPKSMYSHSVYWQYMPYCVLGYPYQVATHDQSAIS >Et_2B_020274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18509026:18511260:-1 gene:Et_2B_020274 transcript:Et_2B_020274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDGDCGGCGMNSVPEGVLQHLLSMLSNVRDVAACACVCRRWYECVPYLPALFFPRNAFDAPAAAVGAADDAIGRMVGAVERLRELVIYCPFSMARLPAWLAARSATLRVLELRMDAAADKAAPDGGGHLDCIGLVPNLEELRLWGVSLVAAPAWGRLERLRVLEVVGAPMRDSAVKEAIAACPNLTDLSLLGCECSGAVAIELALLQRCRLDFLCASNCSLLLTAPRMESLEVQGFTWISLRGGNSLRRLSIAKSIGTVYKVDTGNLPHLDHLSLRGVQWSWAAVTSVLRSASEVKHLVMKIEFCGDLNMLQPFPEVDLVEFFNSHPKLRRFEIHGAMFAALCQKNSLNNLDSRFLIPCLEEVLITVRSPLNAEQKLNTLESLMKCSVRLRTMVIRISQMKNCHDAADDFFEEICKFKYMNYKKDAQRMNDDQG >Et_7B_054164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16447555:16453293:-1 gene:Et_7B_054164 transcript:Et_7B_054164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTEIKKEPDASSSSTGKRKNTNKRPRVAEKKRPRRSAAPSNKAPSAVSKCTYEIGPPAWIRKEIKAYALKNLVAGRFFGTRTSPQLGPGWGSFCRDNGLNEGDVCTFNIIHATLWRVDIERRS >Et_10B_003115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16838268:16841090:1 gene:Et_10B_003115 transcript:Et_10B_003115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SCRHLCNSHASINIGYPYGRKDRLSLRCSFHFSKARNNHSSAVVPKDYCFYQFLRDKQIVPTSDPPSSKDVDLLKKLMVLTGAGISTESGIPDYRSPNGAYSTGFKPLTHQEFVRSIRARRRYWARSYAGWRRFTRAQPNSAHYALASLERSGRVHSMITQNVDRLHHRAGSSPVELHGSVYGVICLDCGTSISRQSFQEQVKDLNPKWAQAIESLEVGQPGSDKSFGMQQRPDGDIEIDEKFWEQDFDIPSCSQCGGVLKPDVVMFGDNVPQERAERAKEAARNCDALLVVGSALMTMSAFRLARLAHEANAPVAAVSIGETRADSILSLKINARCGEILPRILQMGSLVVPNIS >Et_2A_015906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1902311:1907563:-1 gene:Et_2A_015906 transcript:Et_2A_015906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQSVRPIEVLMEKVLSVNILSSDYFKELYRFKTYHEVVDEIYNQVDHVEPWMTGNCRGPSSAFCLLYKLFTMKVTVNQMHGLLKHPDSPYIRAIGFLYLRYVAEPKTLWTWYEPYIQDDEEFSPGSNRKMTTMGVYVRDLLLGQYYFDSLLPRVPLPILRQVTGHLEKLKLPTKQSGMTGDSNRHESNDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRRTLTSKREREKSSDGDRARSPKKHQSRERDRDSERHRSDRDRGSYRDRENGRHARDRRDQDHHRSSNSDRDGERRDRARRDMDSDRNKHSTIRRSRSRSRSPARRRTESDKSRSSPFGRVPESSNLAKLKDLYGDATNTKNDAGDDRPHRDSGTEEVIRLGGARWRCDSNPSLIDAA >Et_3A_024924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25389520:25391766:1 gene:Et_3A_024924 transcript:Et_3A_024924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVGARRALLASRYSTRGAIAAATPVFPYRRVDSSAWYLALPRLLDETLQGERGYLQSLLPHRSAGSFASERADEDDHRGWGANNDGSYRNPQSTHFNHKLQRDLPSVGSSTGVDRSRGANVAGSANRHYGGDSEQPYGNVRSYGLPNSHHPYTNARMNNGTPGYDARQSYGNSSAYNQQNLSADHPNARQPYSGTERFRQDDNNHFGYNASQAYQSPYATSKADTHSNHQGYSMNQNTGVQHHPHGIYQEKHSHSQTAASFGNHLNSTPHHDGVYNQPAPGNPSIDGSPYEVPNQVCQNYEVTVEELERLCEDGKVQEALEALDELQAKGTELHPPQYFRLMQACGDATALAEARTLHSKISESPHAVDTDVQNKILEMYARCGSMEDAKKLFSSMDPPNLASWNAMISGFVHNGLGDEATDFFDQFKQKGNKPDSGMFKHIFLACGILGSVDEGLLHFESMQKDYGITPTMEHYACIVGMLGQSGYIAEAHEFVEWLPVEPSIDVWENLMAF >Et_4B_036809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11725551:11726519:1 gene:Et_4B_036809 transcript:Et_4B_036809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDAPRHPLPDHLAPGATYLQAVAVVLCFCVISHEPQEGNVDRCNHCACQLCDHLSSDLPSMECHQQLCIQIQHMDNCMACTGIVTRASVCCSKDKCLSNQNSGTCMEVPEMQPPSPEQGILCYPVHAYKLDSDHEPLVCIQSTMYDH >Et_1A_007889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39217907:39223071:-1 gene:Et_1A_007889 transcript:Et_1A_007889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTNGFASLHCAGAMHVEKGHMQASGLPFLTFRRCAAQPDILRLGSTSRFLGAKSATVSQQHVKHRISVIRASTLSCSQDDTTKYFDFVVIGSGVAGLRYALEVSKHGSVAIITKAEPHESNTMYAQGGVSAVLCPSDSVESHMNDTIVAGAYLCDEETVRVVCTEGPERVKELIAMGASFDHGEDGRLHLAREGGHSHNRIVHSADMTGREIERALLEAVDNDENISLFGHHFAIDLLTCENNGEIYCYGVDSIDTETQKVVRFISKVTLLASGGAGHIYPTTTNPPVATGDGIAISHRAQAVISNMEFVQFHPTALSDEGLPIKPSVRRENAFLITEAVRGDGGILFNQSMERFMPLYDDRAELAPRDVVARSIDDQLKKRGEKYVLLDISHRPREKVLAHFPNIAAECLRYGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVKGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMVDADTDPSLAAKWARPMLPLSLRDTVLADIIERTKQTRMELQSVMWEYVGIVRSTSRLKNAEWKIGDLESEWEEFLFGRGWKPTMVGIEACEMRNLFCCAKLVVKSALARRESRGLHFTEDFPYLEESKRKPTVIFPAAIQELTWSSKPLQRQLQCKSRRNRLYAEIRALIAKTTNARKDMTMEPFAMFGKGSLPQLSIQSPTLAVADDDDDIKRKVTMLTATAAPMEREGRNH >Et_4B_039535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20905867:20906596:-1 gene:Et_4B_039535 transcript:Et_4B_039535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDGYEWKKYGQKFIKNIQKIRSYFRCRHKRCGAKKKVEWHPSDADGDVRVVYEGTHQHGDPPSAAGGQDGGAANQYELGAQYFGGGARSQ >Et_8B_059071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13148462:13157651:1 gene:Et_8B_059071 transcript:Et_8B_059071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRLLLRRAMPLPPPPQPPPAPRTPGVAGGRLFASLPPPPPLHSRREVHVWYLLPDELNDASQLKMYMELLSPSERKNALSMNGEKLQKGAVLSRALVRTTLSRYCKIDPRSFEFQKNKFGKPEILWQSDDVRMEWPLHFNISHTSSLIACGITMGTPIGIDIEEKRRKTVKSILSLARRYFTPPEVHYLTKLPDPEAQQKEFIKLWTLKEAYVKALGRGFSGAPFNKFSIMLSAKNGIRISVDSNSSCDGLSEDWQFALAELNSSHYMAACIGDDSRASGSGNGQLPLGLKVWKTVPFVEDTLVSGTEAVKLIC >Et_6B_049433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4858061:4861432:-1 gene:Et_6B_049433 transcript:Et_6B_049433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGKTPYVVAIVTQVIYTGSFVISKAAYDEGLDIFVFVFYRQVFGAAVLLPLALLLQSCVIHFMSFALEALHACLDRTPYVVAIVTQLFYTGSFVISKAAYDEGLDIFVFVFYRQAFGSALLLPLTLLLQSLTSLSLYQVSLKYTSATVVSAIDNSLPAVTFFMALLLRMESVKLKNISGIGKLTGVALSLAGVLVIAFYVGPALSPVNHHRAFASHASGSGAHVITRGAWVKYTFLMVVANMAFALCIVMLPPILKECPNKLLITTVQSVFTVLQSFVATVVFQRDFSRWKLQFDVSLLAVLYSGFVVMGGNTYLQAWCVEMKGPVFLSVWTPLCFVFTMFCSSFFLGEVVHLGSIIGASLLVGGLYIVLWGKTNENKVGDGEKHEEHQQENPAEKKRNSDVEDQEHRMENGHKWGPGI >Et_7B_054711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3799918:3804945:1 gene:Et_7B_054711 transcript:Et_7B_054711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSESEATNRGSMWELEQNIDQPMDEEAGRLRNMYREKKFSSILLLRLAFQSLGVVFGDLGTSPLYVFYNIFPHGVDDDEDVIGALSLIIYTLTLIPLLKYVFVVLRANDNGQGGTFALYSLLCRHAKINTIPNQHRTDEELTTYSRQTYEENSVAAKIKRWLEAHAYKRNSLLILVLIGTCTAIGDGILTPAISVLSASGGIKVQNQNMSTVGLFSMQHYGTDKVGWLFAPIVLLWFILIGGVGAVNIHKYGNSVLKAYNPVYIYLFLRRRGSSDVWTSLGGIMLSITGTEALFADLCHFPVLAIQIAFAVIVFPCLLLAYTGQAAYIISNKNHVADAFYRSIPGTAVVIVMLVTTFLMAPIMLLVWKSHWVLVVTFIVLSLMVEVPYFVACILKIDQGGWVPLVIAAAFFAIMYVWHYCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPTEERFLVRRIGPKNFHMFRCVARYGYKDLHKRDEDFERMLFDCVQFFVRLESMMDGYSDSDEFSVPEAPPARSRNAFLQLADQIQDKTTCGTMCSNGELGSCYSSSQDSIGPAAQSPARGNGGGMLMQYSMGQGSGCTVGDELEFLNRCKDAGVVHILGNTIVRARRDSGMVKKIAVDYMYAFMRRMCRENSVIFNVPHESLLNVGQIYYI >Et_6A_046705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19647048:19649612:-1 gene:Et_6A_046705 transcript:Et_6A_046705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEAQAGSSFPLNPRPEGPELHPHPSQCAPLPPPPARPPPLQELTMPSAFHSLRLPLKRRRKSKNRRSLTHPKLNKSKNHLAGGGGGDPSFHLKSSASASSRTSGCLVQPLGNLLLSASPRANLRDASLGTLRQLSDELLLDVLGLLPARDLAALSAASKALYVVASHDPLWRPLVLDELGGRFDFAGTWRATYIAAVSGGRASLPTRVPDIRGFYSDYLFQSWLCANMEMRDEWLARDNIERRRGLSVEQFIANFEEPNIPVLLEGCLNTWPALQKWTAEYLLDVSAGKEFAVGPVSMTLDRYFQYADTVQEERPLYLFDAKFAEKVPEMGRDYEVPEYFREDLFSVLGEERPDYRWVIIGPTGSGSSFHVDPNSTSAWNAIIRGAKKWVMFPPEVVPPGVHPSADGAEVTSPVSIMEWFMNFYSACKTWEKRPIECVCRAGEVVFVPNGWWHLVINLEDSVAITQNYVSRRNLLNVLDFLKKPNASELVSGTKDRVNLHDKFHGAIEAAHPGMINQLELEAQQKAAARKKRASLWDSAVNANNGGFKFSF >Et_6A_046787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20583638:20585684:-1 gene:Et_6A_046787 transcript:Et_6A_046787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGASFAAMASLPWSLLFGGLLGLVVVWQIGRMLNKLWWQPRRLERKLRAQGLRGTSYRFLTGDLKDYGRLNTKAWSRPLPLRCHDIAPRVAPLVHKLVTEHGKTNISWFGPTPKVTLMDPELIRDVMSNKLGHFEKLKFPALCKLLGEGVGSHEGEKWVKHRKILNPAFRLEKLKGMLPAFSACCEDMVSRWVESLCPDGSCELDVWPELRSLTGDVISRTAFSSSYLEGRKIFQLQAEQAERIIRNMQKIIIPGYLYLPTRSNQKLHQVNKEIETILRSIISKRIQAMKDGKSTKDDLLGLLLESNMRLSDVNGQSSMGMTIESVVEECKVFYFAGMETTSVLLTWTMVMLSMHPEWQDRAREEVLGLIGKTMPEYDGLCRLKIVTMILYEVLRLYPPIVAFVRKTCKEMDIGGITYPAGVIIELPVLFIHHDQDIWGSDVNEFRPDRFSEGMAKASKDQGAFFPFGWGPRICIGQNFALLEATMAICIILQKFKFDLAPSYTHAPHTVLTLHPMHGAQVYLRAI >Et_2A_015924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19139891:19143487:-1 gene:Et_2A_015924 transcript:Et_2A_015924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASPESGGAAAGSAPPRKGKSCKGCLYYSSVLKSRGYNPICVGIPRSIPQVPSYVVDEPKEEAASQGHDLRKFRYGCAGYSMFVDNRDGQGSESDGKTLLPYCRGLEVGLPILFTVYKTLKLLVDSRLVERKPSTAEQAPAYVSKDATRSNQQGQQRPANLAREEFMGRKVTVHIPSWLPRCYIDLLMFKRSAGLVASGVVKNLNRTANYVKGYIEDIVYPDRRSPK >Et_9A_061934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18064419:18072069:1 gene:Et_9A_061934 transcript:Et_9A_061934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRGDREAAALLLFEATSLSELRLAWPVLRLMEMTRGAWRRLLYSLFLGQLAAFVKAVVSLITSLIANLGADAPLTQSFVSYLLLSLVYGTFFLHRRQKLCIPWYWYLSLAFIDVQGGFLAIKAYNYSYITSINLLDCWTILWVMILIRFALGTRYSFWQFVGAGACMAGLALVLLSDSNSPDVQGASKRALLGDALIIAATFCDAFSDVGQIGLFIGFAVSTFMYYTICSFVLQMSGATMFNLSLLTSDIWAVIIRIFFYHQQVNWLYYIAFAVVAIGLTIYSMNETSYDGNAASATETATPYEQLASEETGYLDILFEHTIQSKPSDWNHTIHDHGLGKLGQRRKNPKPLGWPNTGDEPTLNTLV >Et_8B_059609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19895822:19902159:-1 gene:Et_8B_059609 transcript:Et_8B_059609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPMAAAATEKKKSKGKKKGNNAPAKVAMKARGAAAAAAENPFESIWSRRKFDVLGKKRKGEERRIGRSRSEAIRKRENTLLKEFEASGKSSAFHDRRIGERDDSLPEFDKAILRQQRERLAKLKRESKYNLSDEDDDELNDHDAHMLSGKDDFDEEVPLDDGSDEEGKMVLSKNRISLQSGNHPSETDLPEEAQGPKSKKEVMMEIISKSKYYKAQKAKEREEDEHLVDKLDSDFASLAQTKALLSLTESAKGKVNKNDSSAGLTGKEIFNKAKPDTYEKLVKEMVMDQRARPSDRTKTPEEIAQEEKERLEKLEKERQRRMLGITDSSDEDDDGEDDHHMKRDSSKPISGDDLGDSFNLDESTKKKKGWVDEIYEREGREIGDDAAGSDDEGDSDDDDDDDDDDDDDEEEEEEEEEEDAGDEEDAGDNDFGNISARDWEQSDDDEVDIKEDEIEDLGEKEREISGKVVKKGAQILKGGSSEKPPVKDGSLPFVIDAPSNLKDLSSLLDGRPETEIIEIISRIRTCNSIRLAAENRRKMQVFYGVLLQYFAVLATQSPVKFKIIDTLVKPLIEMSADTPYFAAICARERLVHTRTRLCDDIKVPGKSSWPNLKTLLLLRLWSLIFPSSDFRHVVATPMLLLMCEYLMRCPIQSGRDVAVGSFLCSMVLVATKESKKFCPEAIVFLQSLLVTSLKGKVGTQLPCQINDQFMELKTMKPWLNIHEQVHQVNPVNVIEIMEMDPDAPYFSSDNFKAGVLVSVAECLRGFVIIYEELCSFPEIFLPISSLLQEILDKSDLPGLLNDIFHEVIDLIKKRSNEHHSSREPLRMRKKKPEPIKQLNPKFEENYIKGLDYDPDRERAQLKKLKRRMRDEMKAAGRELRKDNHFLFAVKEKERMKREQEIAEKRRKDMAFLEQQESAFKSGQLGKGRGMKRRR >Et_5B_043246.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:2004922:2005479:1 gene:Et_5B_043246 transcript:Et_5B_043246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KSIVRSRTVSGKTLRLLAAAFFFGSHTTISLTPMTDFGAAKRTMMEHAPSVGRWKTSFIYSSLVVKLDRFGRWSERQQLQHLWKSSGASHCRAQEWGIKDQNRPSSPPSSGIFRSAAMPRFFKTLEELHVLLRRRADDLKLWAFRAPKQSCKILLILGLPTFFLLRSCNFAPGFVLFFFSLYSEL >Et_8A_058382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6251678:6253009:1 gene:Et_8A_058382 transcript:Et_8A_058382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGHASALLSFRFTWTTIGLLKYLNTLDLSINNISGSIPPQLGNCSILDYLNLSENVLSGEIPASLGNLKKMTYISLSFNSLSGTIPEELFKNQFLVEVYLHYNQLSGPIPFTIGEMTSLGFLWLHGGNMLSGVLPGSIGNCTNLEEFYLLDNQLSGALPETLGQIKSLKIFDAKNNRFTGKIPFSFGNCRSLEKLALVDNNFSGRIPTSLGLLSNLRHLLLSDNSLSGPIP >Et_3A_027304.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7237441:7238118:-1 gene:Et_3A_027304 transcript:Et_3A_027304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERGEMEEETDPWEKQIGEGVAELSACCRHVRDLLLERVPEHVYHPRMFRTSEAEFGAELLALAEKKLGDASISLAEAVAGMGAAEILALRSGGRSPASPLSSIDALLLADRDTTPLWRALGSLQKARALADDLFGAMERCRGRLGATAVLLDHPALPGVDDCMEAEREAAESERAAAEQGAVEIEDLVSAACQFLGVSGLSESMEVPLDSGSDSEDLWKSSDE >Et_2B_022691.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:30579562:30580008:1 gene:Et_2B_022691 transcript:Et_2B_022691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAKPDHAIPTDSSSSVSFSRTPARERRVETLGWPAAIRWLLPRLRRGRRWLIRNHLVALAEAFPSLHPKATLFIHNDGRAAHLLQAYGTIPIHHAGESFNLPAVIWLPEPYPRSPPLVFLSPTRNMVVRPNHPLVDCSGLVASLRS >Et_6B_049185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19114379:19122820:-1 gene:Et_6B_049185 transcript:Et_6B_049185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASALEPAKNLLHLLSLSLSPASKVIVKQGKKRVHLMDGEFQCRTCGRRFATFQALGGHRTSHKRPRVRADGLDLLLGARPGKGAAAAKDVHRCNTCGAVFPTGQALGGHMHRHRAAIFHVATTALKTMPTMTTSGLRPLPLRRRWVPAGCSWTPPQPEASTQATPRPRPPPTTAPAAPVRASLRVHVHWTGRLPRTNAVLFRLTVPVEDPAWWDAHPCFPVDYYVIAAVADEAAAVLRGRLDKPFRPYRNQQQRCMVDQDMGILCHGSNVDGGDLWTTDAIFPWTDACAGSITTKACYYIVKLICVFTKHSSSSSSSSSSSSSSSSSSSSSSSSSSSSNFTIITWSFDIRKGNKWTKDCGNTVDDAEFFRMLDAARSRRLPRVMPSFPVVSLADPDVVCFMLEEELEDHSDYWMIEVNIFFSKRELPTPIQKDGGGGGKVIIEVNMRNKKLLSSAPYMTEDQEEGLLPWKASQELLWSLFYTQQVLITLINKQNGVLTGYSINSLSQIRIYIPLPRQALRSRRFYIDAFSQDPFRHVSVTDAGIVELVCIFTKHSSSLSNFTMEF >Et_3B_027958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28259770:28261056:1 gene:Et_3B_027958 transcript:Et_3B_027958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQAAFHDLLGQGIFNSDGETWVLQRKTAALEFTTRTLRQAMARWANRIIKNRLWSILADHSQAAASVDLQDLLLRLTFDNICGLTFGKDPETLSPGLPENPFANAFDSATEATLQRFLFPSFLWRVKKALGVGSERSLRESLAVVDHYLTEAITARKATPSDDLLSRFMKKRDSNGRAFPEDVLQWIALNFVLAGRDTSSVALSWFFWMVMQRRDVERKVLLEIASVLRETRGDDTRSLVYLKAALAETLRLYPSVPQDSKYVVADDVLPDGTVVPAGSAITYSIYSVGRMESIWGKDCAEFRPERWLSADGTRFEPPKDAYRFVAFNGGPRTCLGKDLAYLQMKSIASAILLRHSVELVPGHKVEQKMSLTLFMKNGLRVQVKPRDLAGYAALPVEASRQGVVVIPTTTAAAA >Et_8B_059605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19951643:19956359:1 gene:Et_8B_059605 transcript:Et_8B_059605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRKDAGFLTQDQREKLRIAVQNAETLSLASPRSPTGGTTSALLQQYEQQLEQKRAGAAAAAAARGGGGCGGGGGGVRHVRRSHSGKTIKVKKDGAGGKGTWGKLIDTDVDACLDRNDPNYDSGEEPYELVEAPVSTPLDDYKKAVVPIIEEYFSNGDVKLAASDLKELGYDDFHRYFVKKLVSMAMDRHDKEKEMASVLLSSLYGNVISSTQIRLGFVLLLDAVDDLAVDIPDAVDILALFIARAVVDDILPPAFLSKAKVSLSESSKGLQVVQIAEKSYLSAPHHAELIERKWGGSTQITVEEAKKRITDLLKEYIKNGDTAEACRCIRELAVPFFHHEVVKRALTLGMESPVAENLITKLLKEASEECLISSSQMMKGFSRVAESLDDLSLDIPSAKSEFQLLVSKAISEGWLDSSYGRSDVNGDVEDDEHEKLARYKREAVSIIHEYFLSDDTPELIRSLKELGYLEYNPIFVKKLITIALDRKNREKEMASVLLSSLSMELFSTEDIVKGFVMLLESAEDTALDILDASDELGLFLARAVIDDVLAPLNLDEISSKLPPDCSGVEILNTARSLASARHAGERLLRCWGGGTGWAVEDAKDKITKLLEEYESGGDVGEACNCIRELGMSFFNHEVVKKALVMAMEKKNERILDLLQECFGEGIITINQMTKGFSRVRDGLDDLALDIPDAREKFLTYVEHAKKSGWLLPSFNVASST >Et_8A_057446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3116065:3116832:1 gene:Et_8A_057446 transcript:Et_8A_057446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEEERQRRAKEKGKEKAGGAGAGGPSSGAGGSGVSRGVGVDGGLSSGAGDSTTFPTVVTKSGRTVRLRGPIDKPWTHGQRWKTQGFMCSYCPVARDSGGATRLRNHLAGVAGDVVSCSNVPRNVRDIMLNLVASGKQK >Et_1B_010586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11957361:11962252:-1 gene:Et_1B_010586 transcript:Et_1B_010586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNASSTGHVGPALLLRLAGVDPESRSTPLNPSPVSFTGQRRRRSRASSSGREEEAMEEEQLSALLRDLDALKQRPDDTASIERMRERVVAMMKPADVAAAARSKIKDMSAEVVDSNPYSRLMALQRMGVVDNYELIRDYSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPEQVGMTKTDAAVQTLSGINPDVVLESYSLNITTVNGFETFLASLKARSSHGRTTGVDLVLSCVDNYEARMVVNQACNELGQTWMESGVSEDAVSGHIQLLVPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNALKYLLKFGQVSPYLGYNSLKDFFPTMEMRPNPQCSNPACVQRQKEYMESKPARDAAAKAKMEAEASAADEGPIHQDNEWNISVLDDDDTATSSIKSSADILPEGLIRELPDADSYPEPPATVSSGAIDDDLEELQRQLDALNSS >Et_1A_008237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5553858:5560003:1 gene:Et_1A_008237 transcript:Et_1A_008237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRATARRLPWPPLRSQRTRWLSSDAGPVPRRRVAALCGNGDYGRLGLGALESQWITTACPFFLSRSDDPPASLACGGAHTLFQSFSHSGGVFATGLNDFGQLGTGSSATHTPEPIEVSGIQEKVVAIAAGNHHSCARMVISLFGGRNSSGQLGLGKGAGKVVSTPTKVDYLADFRVKVAALGSEHSIIVTESEIHPLLSSQEVGEVLSWGAAGAGRLGHGHKSGFLGFSLTSRGTDMVRSPEILKSSLFELPVSK >Et_6A_046224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:991044:991913:1 gene:Et_6A_046224 transcript:Et_6A_046224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEATINLTTHDSTYIHLSAMNGQEDQGNEVKLFGVWSSPYVLKVVWALRMKGIQYDYIEEDLKNKSSQLLEYNPVHNKVPVLVYKGNPVAESEAILEFINEEWKHFGDNILPEDPYERAMARFWARFVTDKLSPPIWKWFTMQGQEQEAAHAAAMEQLLVLEKELDGKRFFAGKKMGFVDLSLGPLSYVIPLYEEITGVKMITEEKFPSLCAWMASFLSSPIVKDHLPPLDKLKLRYQALRESFLKSAPACS >Et_7A_051651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21993705:21994230:1 gene:Et_7A_051651 transcript:Et_7A_051651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTINYIKHIATSEKERSPGRFDRNNTEITETGPERLHNSPVLPTFCMGTINYVKHIATSEKERSPGRFDRNNTEITETGPEQLHNNPVCLSAAKLTFFRVFVREPSSYIKHIATSEK >Et_3B_029101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22047550:22048848:-1 gene:Et_3B_029101 transcript:Et_3B_029101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLSLVAALATASLVASLLLAGPAAADFSALLPCDVMQLSPCASAFGGKGAPTPSCCAKLKSHSSNCLCRYKDDANLKRLVDTRHKRQVFTACKRAMKLYAMLFLLAVVVASPCTTMVCMASRTAPPKCDPLALRPCAPVIWGEAPSASCCAKLREQKRCLCKYRKNPYLSRYINSPDGMKVAIACHLEGLRC >Et_4A_031792.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:15586675:15587352:1 gene:Et_4A_031792 transcript:Et_4A_031792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCRQWREIAKDDYFWKCICARKWPSICKHPPSDTNYQKLYLTFSKPRKMQHLPVPRLTFSDLVLYIDMWLDESLIFSQAVSGCIFRAGLQSTPSGIPDVLLTHLDSLDCTLMMEVEPKLRIPMGPTITVSVLAHRKDTNKMACIINKSMFDYIDGSAARAMAYEYLRFSPRHPFISDIRAWMSLLFLYKGTNVLEVFGIELDFCDAARSENEILWLLDMLDWK >Et_1A_005183.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31126712:31127923:-1 gene:Et_1A_005183 transcript:Et_1A_005183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAILGRIFSYLKHPRARARCSTICKHWRFVEAWRPWANLRQHLVARILAFLPSDRVRDRCSAACKGWYFVARLRPWSSLHQDLLGIVFSALPCIGDRACFSGVCTNWRFVAVQQEQAAAPLLPSLLRPSTAGSSYLRIFCETTGGNPAAIPDHIRSARFCGSFSSGWFLVARRQWHGYALVNLQSGQEIGLPDGLRGNLIRYGLRGGTHQRRALVILAAAISAVSDPEGRHVVAAITSGECSLAFWRPGMEKWSSAGRLNEDNEENIALLRRITVENVYDDVIYYRCPADEGFFFLTSQERLVVAQPLHYPDGRMDIDFPDHAFVGHCMTTPRDGEVIAGRYLVKSADSGSLLMIKRFIAPGLGTVSFQVLTLQWAGQMAYWQRTCTVLTGQLLFIGRRIS >Et_1B_011657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24675442:24679552:1 gene:Et_1B_011657 transcript:Et_1B_011657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWKEEEGGLLVVYLKQTEMGSRRWAYTPGSSRNWPNPQLKQYAVHKTNNGSYYGMVATFDVYGYHLSDGQASVSAIWIGNLDGDYKSNLNSIAVGWERDAYQKTGCQNTRCPGFQVVSASEIVPGAVIDPVSNINGQRQKITVAVFKDKTSGDWWIHYGFNSAPKAVGFYPANLFDSLDKKATHIAFGGAVGVLPSHTITLPPMGSGSFPSDKAATVSDISLSEEDGKTTPISADTATIETKSTCYSTTPIQGAMFSYGGPGGCSAQV >Et_3B_030429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3767823:3770793:1 gene:Et_3B_030429 transcript:Et_3B_030429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KTIDRGFHRLQERIELPNKDVLHHIHSLVPLRDAARAACVSHSFLRSWRCFPNLAFCWETFGLNMNKGTIDERAKILAAGIHHILRNHSGNGVKTLTLPVNACGDFVTANLLDRWLQAAVKSGIVELDLHFPRDHGPKYEFPCSILSSAASSLWSLSLSYCVFQPTQTLGSFINLKSVCLALVHITEEELGYLFSRTLSLEKFEISHSDGITFLKIPSNLQLLCILRPLHTIQICAPKITTFVFHGPPMKISISDSSQLKNIGMYGICYCGMFQYALTKLHSIAPKLQALELSSSGELLPTCLQALTMPTSPEKFLHLRHLKIRCSGIRFERFDYLCLVSFLKACPVLESFVLLVGQLFIARHSNANPLDIRRIPEFNHDTLKKVTITGFCSSNSLIELTRQILGSCSSLQCLVLDTTRGYNNTGICQSMEKNAVLKALEGVEAIERYIKGNVPSSVNFEVLEPCSKCHIPKL >Et_4A_033695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25965391:25980474:1 gene:Et_4A_033695 transcript:Et_4A_033695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFPCRVHVRCHRSLLLLRLALLPLLSHAASVVTHLPGFDGPLPFYLETGYVGVEEATGTELFYYFVESERSPATDAVVLWFSGGPRCSALTGLLLELGPLKFALKPYDGTVPQLVSSPNSWTQMASVLFVDSPVGAGFSYARDPKGYPTGDISSSMQMVTFLRKWFHDHPKYISNPFYVAGDSYAGKLGYIVGNPVTGDKIDENYRIPASHSFGIISDQLYEAAMKNCEGDYTSPKNKLCAGVIQTITDLMSEVPKENVLETVCNSVTLKRKSLLEERYGLGDPPYEPSPECSAYRYNRYLSYFWANDNATQAALGIKEEATGTDLFYYFVESERNPATDAVLLWLTGGPRCSAFSGLAFETGPIRFVLEPYNGSLPQLVRNPYSWTKVASILFLDSPVGLGFSYARDPKGYYVGDYSSSLQVLTFLKKGYLVGNPITDPKFDQNAIIPASHGFGIISDQLYEAAVDNCKGDYVNPVNEICDAVLHNINNTYGYFLAYFWMNNNATRHALGVKELPCVHAGGHCRRSLLLLLVALLLPLPELASAAPTVVTHLPGFDGPLPFYLETGYVGVEEETGTELFYYFVESERNPATDAVILWLTSGPRCSAFSGLAYEIGPVRFIVKPYDGTLPQLIYNPHSWTQWFDDHPQYFSNTFYVGGDSYAGKLVPLIAQYISEGIDQEMQNPLINLKGYLVGNPITGDKIDDNSQIPYLHSHGIISDQLYEAAVKNCKGDYVNLTNKACADVVQTINALKSEVNSGHILFPVCPFSSSKPLRADLEIKSVADHEDHRVNSPPDEPHFGCFSYHYYLSYFWANDKATRVALGIKEGTVTEWIRCKNSAGLPYTKDLPSSIRYHFNLTARGYRALIYSGDHDSLVPFSGTQAWIRSFNFSVTYDWRAWHLDSQAAGFTIAYANSLTFATIK >Et_8A_056569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12519367:12521767:-1 gene:Et_8A_056569 transcript:Et_8A_056569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARLGRTSARYGPATTFTGPVRKWRKEWVPVAAPAAAANGGAASSTGTGSGSGSRGNNLLLFKWTPTNGANGGGNGDQAAAEPAPRRRRYVPVSVVEEQSPESPKSDDENKANDDDPSSEPSNGKTDINDTPMDESQASDEDARDSGKNGGTDLNLNLGLKDPDGDNDGDIGEQDEAARNPQTENRSKKKSVTPDLEMRM >Et_5A_041398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22125372:22132517:1 gene:Et_5A_041398 transcript:Et_5A_041398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSGGELAEPSDTGDGEDRLSGLPDDILVLILLRLDTATAAAWSSVLSRRWRCIWALLPELRFPFSPDPHHIVSALAAHEAFLRLLVVGTLDSAPEPVAALLRVAAPRLSGDPIFMNRVPGTGRIADDVSEEEEEDAFEIPCLEGATAITLDLGGLLGLALPLAGVFSRLTDLFLSSLSFHGPCRLGDAVSLARCPCLQKLSLCNVHGLFRLSIHSESLLQLDLRKVVGLQQLAIDAPALKELALVRCFVRNQPIANISAPELKSLCWRDVYDPSSTQLGKMAQLQMVCPDFFLVHGDGLHDSINRSHNHNTQHFQVIHSLTISLGYMQGISNSHYLEGITFLPRSADLTVLVVNQGHAFGAGLYHVFRLCTGIKRLFVALESDLKAQPVCPGPADCTCDEPTNWKTEKLLLNCLQEIQITDMKGSEREVTFVKQLFNWSTVLKSMNIYFDCSISGIVALELFQKFSSFSLPETQIQVYMCSDPGNKQSMTELLLLNCLQEVQITNLKGYEHEVAFVQQLCNWSTALKSMKITFDCSINGSTALELFQKFSSFSLPETYIQVYMYNNPGNKQSMYLFAVKSGGDLAEPSGDGDDRLSELPDDILVLILLCLGTATAAVRTSVLSRRWRRVWTLLPELRFPFSPDPHHIASALAAHEAVLRILLVGTVDAALEPVAAWLRVAAPRLSGCLVFMNRVPGVGSNAVERGPFELPCLEGATAVNLDLGGFLGLALPVAGVFARLTELSLSCLRLHAPCRLGDAVSSARSPCLKKLSVSDVHGLFSLSVYSESLIQLDLQKVVGLQQLSIDAPGLKDLTLA >Et_10B_004224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19704935:19705522:-1 gene:Et_10B_004224 transcript:Et_10B_004224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKEKKAAALEEKLQLLRSATKSNAGNKTSILVDASKYIRELKDKVDEAAAAASQAADSRSMQVNVSSVDLDSGGRGFRINVSMERSRPCLLVSVLEAFEELGLDVLDADVSCADDSAFRLQALGSGQGETVDEQMVRQAVLQAISKCINDE >Et_4B_037572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21229295:21231294:-1 gene:Et_4B_037572 transcript:Et_4B_037572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPEALLADILGRLPPRPLAVCRSVSKDLCAVVDGRGLLLALAHRVPAGLHGFFINYVGQDRPYFFSRHAAAPRVDAEFDFLPPIGWGEVVHQSNGLLLIKDGNTLYCFDDAAHLVFDPTVSLHYEVISFTEVPHKPKIPIQPDIERPYYFRHFRDYTSEEIEMLPLPIRAKHDREVETKGSVEWPPRSYAAQVFSSRTGQWEETAYVREDDLLSDVWSDPRGPDIYSSPRCNAVYWRGAFYLHCYGGFIMRLSLTEHKYRVIKTPMLDNVFMQPRLDIDDYLRREGRYTNKESCLQMFQIKQSCLDGVKPSTYLGKSEHGIYYIAIRWHQLQVWLLHEASESQPTPAWELKHKADIAPSIRQHYIREDRDEIETSWSLDRGEERPCDSVDNGWDSSDDTIVEGEDVVHDDDLNCNMSYHMDLLGYHPTKEIAFLGDHFEGFAYYLGSSKLQYLGTFRPVGCLHIQVAATHESFIYTPCMDDLLPHHKQDRTHEFVSSDDANYFGGEYGDLQDEMNTDEDENLLEEEVDIDKDEDQEDVSDEDFQASEEDRDNDEE >Et_10A_001459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3614378:3620577:1 gene:Et_10A_001459 transcript:Et_10A_001459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPHTARLLLLACLVAASAAAGHDDAAARRTMEAFAGFPSTDDGESPFSVDSDGLQRQIDELASFSDSPAPSVTRILYSDKDVQARRYIKGIMNQLGLSVREDAVGNIFGRWEGSEAGLGAVATGSHVDAIPFSGKYDGIVGVLGALEAISMLKRSGFQPKRSMEVIMFTSEEPTRFGISCLGSRLMAGIEELAQSLIKVVDNQNVSFLEAASSAGYKMHPKDLHSVFLKKDGYSAFIELHIEQGPILEKEGIPIGIVTAIAAPASIKVEFEGDGGHAGAVLMPARNDAGLAAAELALAVEKHVLDSRSIDTVGTVGILQLHPGAVNSIPSKSLIEIDIRDIDEKRRNDVIEKVRQSATVISKKRGVVLSEFQIINQDPPAQSDKSVIDAMEFAAKQLNLEYKLMISRAYHDSLFMARISPMGMIFIPCYKGYSHKPEEYASPEDMANGVKVLALAMAKLSLE >Et_7B_053941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13618017:13622644:1 gene:Et_7B_053941 transcript:Et_7B_053941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAALVPVVLLLCLALAGSADAGRKMVGVYELKNKKGDFSIKVTNWGATLMSVIVPDSKGNRADVVLGYDTVAGFVNGSSVFGALVGRVANRIAKGRFVLDGKAYHLFKNDGNNTLHSGHRGFNKVIWTVKEYVASGGSPYITLYYHSFDGEQGFPGAVDVYVTYQLWSPYDLRIRMNATALDKATPVNLVNHAYWNLAGHASGDVLGHLIQVFASRYTPVDKSMIPTGEVAGVAGTAYDLRRLTPLGSRIKLVSGGGAVGYDVNYAVQGDGFRQVAYVRDSVSGRAFQLWADQPGVQLYTANWLKNEKGKAGAVYQPHGALCLETQGFPDAVNHPNFPSVIVRPGGVYKHDMLFKFSGADSERKKVGFYELKNKKGDFSIKVTNWGAALVSVIVPDSKGNLGDVVLGYDTVAEYVDSGAVSGAIVGRVANRIANGSFVLDGKTYRLNKDGTTVLHGGVRGFHKVLWTVKQHVPGGDSPYITLYYHSFDGEEGFPGALDVYVTYQLSSPYGLRIRMNATALNKATPVNLASHAYWNLAGAGSGDVLDHLVQLHAPRYTPLDISMIPTGEVAPVAGTPYDLRAPTPLGSRIRLVSGAGMAGFDINYAVQGSGFRRVAHVRDPASGRKLQLWADQPGVQLYTANWLSNVTGKGGKVYGQYGALCLETQGFPDAVHHPNFPSVIVRPGRAYKHNMLVKFSS >Et_4B_039160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8336245:8339075:-1 gene:Et_4B_039160 transcript:Et_4B_039160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSWLTAVPPDLRAYGHLIQRCADSGNLAAGRQLHARLVAASVTPSNFLASKLISLYSRAERLHDARKVFDAIPRPNLFAWNAILIALSLHSPDPSAAVRLFAAYGVSPDEITLSALLKSVAASGPALSPLVSGELHAVALLRGFGTELFVSNGLITAYANAGDMLSARAVFDEMPCRDIVSWNSLISAYARAGWYRESLELFQKLTQIHTNAGVGPNSVTVSSVLHACALLKAVDFGISVHRFAAENGLDTDVAVWNSIIGFYAKCGHLQYARELFERMPEKDAVSYSAMITGYMSHGYIDKGMELFRKANVQGISIWNTAIAGLIQNGRQSDVLGLLHEMVDSSILPNSATLSIIIPSVPLFSTLLGVKQAHGYAIRNNHDQSVIVVSVLIDAYSKAGFLDEARTVFELAGDRSKVVWTSIISAVAAHGEATDALRLFNEMISSGTRPDTVAFTAVLTACAHAGMVADARNVFDSMQVVFGITPVMEQYACMVSTLSRAGMLKDAVELVNSMPFEPNAKLWGTLLNGAAEVGDVELGRFVFDRLFEIEPKNTGNYIVMANLYSNAGKWEEAEIIRNMMWGVGLEKGSHAKLKTSQAEMRQ >Et_10A_001333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23447534:23460879:-1 gene:Et_10A_001333 transcript:Et_10A_001333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVMGALPSILRKLAELAAGEYNLQKGLKGEIMLLQQELESIKRALKNISDVHPNQLSDNDKIWARNVTEMSYDMEDKIDTFIVQCNGGKEVKHQGLKKVIDRSLDLLMQPMIRHKLASEIRDIKSRLMEEHSRRHRYTVPVVRMPPTVDPRLLSPQLEARELVGIDRPRDEVIKILQQGNERSKQRGRILSIVGFGGLGKTTLANVVYDELKQKIDCSAFVSVSQTPDADKLLKNILRQLDKTTYANINGQSSWGVTDLIQELRVLLQGKRYFIVIDDIWDISSWRLVKGALPDNNVGYTIMTTTRILSVAEQIGDVYKLEPLPHCASRKLLFGIIFGNENQGECPDKQLLEVSEKILKKCGGVPLAITTIASLLARKGKNKIDWYEVCNSIGSGVENSQDMNNTRMILSLSCYELPSHLRACLLYLSVFPEDSVIDKGRLIRMWIAEGFVQYEENGKKSLLELGESYFNDLISRSLIQPDFSKYGISGCRLHDILLDMLRSYSCAENFVTILNHMDLTTPAKTVRRLCLTGGHLDHACSDAGSMQKVRSVIAISSSVNQLPTHGSFRVLRVLDLQGCVLSRGISLNYVGKLLHLRYLGLRGTGIDELPEEVGKLEFLETLDVMFNDIYDLPTTIVQLRHLMCLHIDTHTRVPKGIRSLTSLEELSYIGIHESTRIIEELGYLTELKQLFIAFSNTDNLESTNISKQFVECLCKLHKIQMLQVDVHKGQLITGNLDGWVGPPQHIRQWRIRKFCWFSKMPAWMNGSHLINLTVLEISIRVVKQEDLESLGTLQVLCYLELNVDHVNLAITERLVFGAYSFPSLGFCYLEGFVGPLVFQQGAMPRLDTISFPFSVREAIEINGSFDTGLGNLQSVRKVFIKLQSEGASKEELKDAFDSIWHTLEIHPNVRKWSIEGAQSTDSDDESLAGEDGDSDDESCNVEDQDSGDESLADEDGDADSSGNKDEEKNTRIAHYTIMLYICDHHGFLDHRSMHNFAFD >Et_2B_021935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6990873:6995231:1 gene:Et_2B_021935 transcript:Et_2B_021935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFFGRNTDATPPPTFKVFGEANKFDGARCLAVGDGALVLAAADPGDERQHWTKDVRYSRVIKDEEGNPVFSLVNKATSLAIQHSLGPGRPVRLARFYPDNYDESLLWTESADLREAFGCIRMMHNVDVCLDAPLDGTAVVLSNARGESRSEGQSWKTVPWSGEVCTDGLESLHTSRIYCKANGFSVTVRDGIVCLAPTDSSDKYQYWFKDTRPGDVIKDEDGCPAFALVNAVTFEAIAGEAAKGPLKLKLYNPNYLDESVLWAKSADMDDGFHCIHMVRNLSLNIHAFLANEDHDRVHDSTNIGLSRSFEGDNVKWKIAPWCKSPYTAPYNLQECAGMELH >Et_4B_037814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23618318:23623179:-1 gene:Et_4B_037814 transcript:Et_4B_037814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLVERLEAAVARLEAVASGASLSSAASRDIDIPAASDPAIVAYDEFVAEAVGRLTAAAEKIGGKVLDATKVLAEAFAVAKDLLVQAKQLQKPASMADAQDFFKPLNDVITKASAMTEGRRPDYFNHLKSVSDSLAALAWVAFLGKDCGMSFPTAHVEESWQMAEFYNNKVLVEYRNKDPDHVEWAKALKDLYMPGLRDYVKKYYPLGPVWGPVGGVSQPKAAAPAPKAPAVKAPPPPAPPSAPLFSTEKSPESSQPKKGMSAVFQEISSKPVTAGLRKVTDDMKTKNRADRSGVVSSTTTAPAPAPEKTPRAGSFSFKSGPPKLELLMGRKWVVENQVGKKTLAIDDCDSKQSVYVYGCKDSVLQVNGKVNNITVDKCTKVGIVFKDVVAAFEVVNCNGVEVQCQGTAPTISIDNTSGCQLYLSKDSLGASITSAKSSEINVMVPSGVTDGDWVEHSLPQQYIHNFKDGQFITSPVSHSGA >Et_3B_029695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27191681:27193865:1 gene:Et_3B_029695 transcript:Et_3B_029695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNITQEIGDVPCSDPNSPILTEYNITVPTLHDGLMQGTARHERRLLDFLKATPSVQWLNEVNLCSRLTTFQLPSTGVHSYLHANFVRKIHWSSFFTICKKWLKHPLNIALLIWLLCVAASGAMLILLMLGLLNKVFPSKFLRHHWIEIDNQILNALCTLMSIYQHPNLIHHLVLLCRWQPEGISELRKVYCKNGGCRLNERAHISFVVALLHITCVSQYVVCSLYWGYPSRSRSEFAENFFFILGIVAPVIAGVYTVYSPLGRVINSGFDEETKQPDPIQVELLGTSTAVSNPVWAGGLFDCSEDLTACYLSFFCTFCVFGWNMERLGFGNMYVHTIMFLLLCITPFWVFNITALNIHDYVLGDVIGAAGIVLCFFGLLYGGFWRIQMRKTFGLPRSRWLCGSASLTDYVQWLFCWPCALAQEVRTGNLYDVENGNFYVILRGDVGDVESEPGPTVATDLPVSVGGEEGNDTGVTLTLDGEMIPPIQPVIECGDREGTDAEVVADEKTKVRMQLYKLQDTERQT >Et_8A_057804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7476455:7482183:-1 gene:Et_8A_057804 transcript:Et_8A_057804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPATIPQRQLFIDGEWRVPALGRRLPVVNPATEAHIGEIPAGTAEDVEAAVAAARAALKRNRGRDWARATGAVRAKYLRAIAAKIAERKSEFAKLEALDCGKPYDEATWDMDDVSGCFEYFADLAEALDKKQNSPVSLPLENFKCYLRREPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELASVTCLELADVCKEVGLPSGVLNIVTGLGPDAGAPLAAHPDVDKVSFTGSSATGQKVMAAAAPLTKPVSLELGGKSPIVVFDDVDIDKAVEWTLFGCFWTNGQICSATSRLLIHTKIAKEFVERMVEWAKNIKVSDPLEEGCRLGPVVSEGQYEKIKKFISTAKSQGATILTGGVRPKHLEKGYFIEPTIITDVSTSMQIWREEVFGPVLCVKEFSTEDEAIELANDTHYGLAGAVLSGDRERCQRLSEEINAGCIWVNCSQPCFCQAPWGGNKRSGFGRELGEGGLDIYLNIKQITEYNSDEPWGWYKSPSKI >Et_3A_026214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5515979:5523072:-1 gene:Et_3A_026214 transcript:Et_3A_026214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDVAGASSSSAAAHVASDPSHGWQTVSYPKRNRKQAPQAQAPRAGAPDLALNGGKANVFDAVEKRSQERHRALQQQQASKAALLDDPRVAAAAVYSDDEGSDEPAAPRQEGEAKKPKKPKVKKPKVTVAEAAALIDAENLAAHLIEISGSYENQQDIQLMRFADYFGRAFVTVSASQFPWAKMFKELPVAKMVDIPLCNIPEPVIKTASDWISQKSPEALGDFVLWCIDSIMSELSGPAPAKGSKKVAPQSPRAQVAIFVVLAMTLRRKPEVLVNIMPKIMGNNKYLGQEKLPIIVWVIAQASQGDLVTGMFCWAHSLFPTLCAKSSGNPLARDLVLQLLERILSVPKARSILLNGAVRKGERLVPPATFDLFVRAAFPVSNARVKATERFEAAYPTIKELALAGPPGSKTVKQASQQLLPLCLKAMQENNAGLTKEAVDVVIWCLTQNAESYKQWEKLHPENIEASVAVLSKITIDWKEISPKLSSEALKATVKNLKAKNETALESATDAGKQASIKEADKYCKVILGRLSRGATCVKSTLVLLPAKLRLKLGRPALSVLATVQWWEAASGTKRTPLAPGNGSSPAPPSLFFLLLGFASSRGMAHSSASPSALALSSAARVGMPLTLALRQRPGARVPRALGAQFRPATAWSWPRGERPELAAVVPRPGARRAPPLFRPCAWMTTSQIASSAFTWGTVAVLPFYTLMVVAPNANITKRTVESSAPYVALGLLYGYLLFLSWTPDTLRAMFASKYWLPELPGIVRMFASEMTVASAWIHLLAVDLFAARQVYHDGIKNNIETRHSVSLCLLFCPIGILAHVVTKVLAGAAGRPH >Et_8A_056716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15794145:15804885:-1 gene:Et_8A_056716 transcript:Et_8A_056716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTATLRQCWLPATARPLPHPRSLPLRPHSFATYASSSRLPRRRTGAAARGRRARVACSPRCTLETAGTGFDPLGLYKEGPSGSDSTQSPLSNLFNILSPVLGSSGGGARRDKASYGRGVAAAIEDSSIDIGDFFKGPLPGKFLKLLGYLALSRLGVYIPLGGVNREAFAGNLDQNSLLGTLDSFSGGGIGRLGICSLGIVPFINASIVFQLLTQLYPKLQDLQRKEGEAGRKKVLQYTRYASVGFAIVQAIGQVLYLRPYVNDFSTEWVLTSVTLLTLGSVFTTFIGERITDLKLGNGTSLLIFTSIISYLPASFGRTVAEAFQDGNYLGLLTIILSFFSLVLGIVYVQEAERKIPLNYASRYRSRTGGLQRSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLEVLKKAAIALNPGGALYLPTNVLLIAFFNYYYTFLQLDPDDLSEQLKRQGASIPLVRPGKSTAAYIKTVLSRISVLGSAFLAVLAAGPSVVEQISHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDVNHHANKTEL >Et_3B_031293.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24609349:24614706:-1 gene:Et_3B_031293 transcript:Et_3B_031293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRNRRAAAAAAASAAGQPEAAPYNIIPIHDVVMHGDHPSLRFPEVRASVEALSHAADLPPPPLARVWDARRADLFDWLGATFGFQLHNVRNQREHLVLLLANAQLRDGGTLPQDHPADVLHYSIARGIRKKLLKNYTSWCSYLGQKPHIQVHGVGRPVQGVGPDIRRDLLYTALYLLIWGEAANLRFMPECLCYIFHYMALDLNHVIDRSIDIETGRPAIPAVCGEDAFLEKVVTPIYNVLNGEVEFSRNGTKPHSAWRNYDDVNEYFWSRRVFRRLQWPLSPARSFFIEPGKAGRIGKTGFVEQRSFWNVYRSFDRVWVMLILFFQAAMIVAWDGRTPWDSLSHRDIQVRVLSVFITWAGLRIVQAVLDAGTQYSLVRRETTMLAVRMVLKVLVAIGWTITFIVLYVRMWDQRWRDRRWSFSANTRVLNYLEAVAVFVIPQVLAVVLFIVPWIRNLLEKTNWRILYVLTWWFQTRTFVGRGVREGLIDNIKYSIFWVCLLAAKFSFSYFLQIKPMVGPTKTILRLDDIRRNWFEFMPHTERIAVILLWAPVVLIYLMDIQIWYAVFSSLAGALIGLFSHLGEIRSVEQLRLRFQFFASAMQFNLMPEEHLDAVRGGLRSKLYDAINRLKLRYGFGRPYRKIEGNEVEAKRFALIWNEIITTFREEDIVSDKEVELLELPPVMWKIRVVRWPCFLLNNELLLALSQADELVADDRTQWMKICSSEYRRCAVVEAYDSIRFLLLEIIEDRTVEHIIVNQLFLAFDSAIQDGKFTEEYKLKKLPEIHSYAVTLVELLLKENKDQTKIVNTLQTLYVLAVHDFPVNKKDMDQLRREGLAPSRHNESGLLFEDAVKCPDNDDVSFYKQVRRLHTILTSRDSMNNVPKNPEARRRITFFSNSLFMNMPRAPTVEKMMAFSVLTPYYNEDVMYSKDQLRRENEDGISILFYLQKIYEDDWANFLERMRREGMVDDSEIWAGKFQELKLWASYRGQTLARTVRGMMYYHRALKMLAFLDTASEVDITEGTKHLASFGSIRHENDVHPLNGGFQRRPQRRLDRGTSSVSQLFKGQEDGTALMKYTYVVTCQIYGNQKIAKDQRADDILTLMKKNDALRVAYVDEVHHQGYTQYYSVLVKFDQALQKEVEIYRIRLPGPLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLQQYNYYHGSRKPTLLGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLASLKVRMHYGHPDVFDRLWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVSHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQTLSRDIYRLGHRLDFFRMLSVFYTTVGFYFNTMMVVLTVYTFVWGRLYLALSGLEAGIQGSANSTNNKALGTVLNQQFIIQLGLFTALPMIIENSLEQGFLPAIWDFFTMQMNFSSLFYTFSMGTKSHYYGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFIKAIELGIILTVYAVHSVIAKNTLVYIIMNISSWFLVVSWVMAPFAFNPSGFDWLKTVYDFEDFMNWIWYPGGLFSKAEQSWEVWWYEEQDHLRTTGLWGKILEILLDLRYFFFQYGVVYQLKIANNSRSIAVYLLSWICVAVIFGVFVLMSYARDKYAAKQHLYYRCVQAAVIILAVLVLIMFLEFTPFEIIDIFTSLLAFIPTGWGLISIAQVIRPFIESTVVWDSVVSVARLYEVLLGVMIMAPVALLSWMPGFQEMQTRVLFNEGFSRGLQISRILAGKKPNTS >Et_1B_012308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30698687:30700746:-1 gene:Et_1B_012308 transcript:Et_1B_012308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQGQSSSLHRLHGVEKRIVRVLELAGAVMEELGNSQGPRSDAVASHCREFMTAIKEIQTTLREEIKSACEYRPFEKCDYSARIANEICVKKLEYLIEKLDAMQQNVEQSTHDV >Et_6A_046219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:183642:184796:1 gene:Et_6A_046219 transcript:Et_6A_046219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSGRGRRISKQLTGEGPGRGWRTRPLRNQLRLIWVLLWSAVSLYLLFLLSSQAAEKRREALASICDERARMLQDQFNHTANHLLSLAITVSTFHHSKTPSAIDQTTFAMYAKRTAFERPLTSGVAYAVKVMHAEREQFERQQGWSIKKMYSSKKKSSPGPGNAASSEIQEAAEEYAPVIFAQDAYKHVVSFDMLSGSVRTLSLSLSLSLSLSLSLSLSRVKISPFRQPRPGAVKRKLKTLVFAMPCARLCFCKRFHHGFWSLEDRKHILRARASGKGVLTAPCMRLNNRLGVILTYTVYKSELPPNAKPQERIQAAIG >Et_5B_043269.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20991530:20991658:-1 gene:Et_5B_043269 transcript:Et_5B_043269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVLGVPAATLPPLPAMPAVPNMQNAALPPQGDTATDARRS >Et_1A_007860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3934126:3936043:1 gene:Et_1A_007860 transcript:Et_1A_007860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENIMKKWIPELQHYAPGVPIVLVGTKLDLREDKHYLMDHPGLIPVTTAQGEELRRQIGAMYYIECSSKTQQNVKAVFDAAIKVVIQPPTKVREKKKKKSRQGCSTL >Et_1A_006977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29797042:29798925:-1 gene:Et_1A_006977 transcript:Et_1A_006977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGKSVIAEPSNVIFLSAILNTEGSNPGHKCDRRCQNEHVFGNMYRCKLTGITHICDKNCNQRILYDNHNSLCRVSGQLFPLSPLEQQAVRGIRRKHEVDSNEGCSFKRRRGAQLHPSPFERSYSAVSPIPSQSIEWKVKRTIWEGLRYWNFGRSN >Et_7A_052932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2743020:2743389:-1 gene:Et_7A_052932 transcript:Et_7A_052932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSTGNDHKTTVQADATTTTQGRHEAEATTSSQEELAAATPRVPWKGQTYPDIMDIAGMDYSPAQRRSPIHN >Et_5B_045135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9926296:9935865:-1 gene:Et_5B_045135 transcript:Et_5B_045135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGVGSLTLHGSHEDWISGLPEGLLLDVLGHLGSAREAARTSLISRRWRGLWVELRELTFTFRGIRTDALEIALAQVRPGLHRLDISNLEDVDDDKEWDVVSTSERLSSLLRAADRLTPAELIVKIDTMFYWLKFEYCKLPCFGRATLIDLYLPGESNVVLPPAGEFACLEQLNMTLGFVDLNELIRRCPRLRKLQVNFRCWTRNTVCIESNSLEELDLYCLPTKGVVIVAPELTKFVFQFGYSGESAISLSSPKLEDFFLMYYDEYLDVGYGNNWFLERLQMETCWSDRHKQLSMSIFYAQREGTVYKRTFAQEVARLPVNQFSVLMISIATEGHVFAPLLLQLFFIRTSIQRLKLVLKDNLKCSDNCDCDQDGSWRNERISLPDLEDVEIEGFSAADYEVDFLELVFGSAPMLKRINVKLSAEVPPGDGGCQKLRSIFQANACVECNPPSGRRLVSPRPAPQTERHITDGEGVPEVLGFLDDVKAELREEGPDAAPAAGEEAGSSGIYAPVGPSWLEASKPPPG >Et_7A_052464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8384231:8385425:1 gene:Et_7A_052464 transcript:Et_7A_052464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PARALCSRPTSRSLSPGPLPLTTITNSERFVYLPTRPGGGEKRQGFGRARASKEGVGTMSFTGTQDKCNACDKTVHFIDLLTADGVIYHKTCFKCSHCKGILSMCSYSSIDGVLYCKTHFEQLFKETGSFSKKFTPGGKSSEKGELARAPSKLSSAFSGTQDKCAACQKTVYPLEKLTLEGEPYHKSCFKCSHGGCILTTSSYAALNGVLFCKIHFQQLFMEKGSYSHMKKKTESQETLPDVVAEEQPEAPPQHEEGQGEKVEDY >Et_5A_041897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:434741:439957:1 gene:Et_5A_041897 transcript:Et_5A_041897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWWPLLVLAVAYALCRLLLFLIPPTVPAIEVDASDVLEDPKTREDGFIYIPPRKGKASQADKVQCYEPATMKYLGYFPALTPDEVKEHVAQARKAQKMWAKSSFKQRRQFLRILLKYILEHQDLICEVSSRDTGKTLVDASLGEIMTTCEKITWLLDEGEKWLKPEYRSSGRSMLHKRAKVEFYPLGVIGAIVSWNYPFHNVFNPMLAAVFSGNGAVIKVSEHASWSGCFYHRIIQAALSAVGAPENLVHIITGFAETGQALVSSVDKIIFVGSPGVGRMIMERASETLIPVTLELGGKDSFIVCEDVDLPNVVQVAVRAALQSSGQNCAGAERFYVHKDIYASFVSQVVKIVKSICVGPPLSGKYDMGAICMIGHSEKLQNLVNDALEKGAEIAVRGSFGNLGEDAVDQFFPPTVLVNVNHTMKIMQEEAFGPILPIMKFDSDEEAIRLANDSKYGLGCAVFSGDQKRAIRIASQLHCGVAAINDFASSYMCQSLPFGGVKDSGFGRFAGVEGLRACCLVKAVVEDRFWPYIKTMIPKPIQYPISEHGFEFQQLLVETLYGVSVWDRLRSLVNLLKMISEKNAPPAPVTTKKRR >Et_6B_048446.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:5276485:5276574:1 gene:Et_6B_048446 transcript:Et_6B_048446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEISLHRLANGLQEHNVAAVTGKVEV >Et_7B_054727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3944295:3946789:1 gene:Et_7B_054727 transcript:Et_7B_054727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTCLTERGAAAPAGEWPSVLFAGVRTVSSGFLPLRNCAHQLKQVAADATSPMRCAQLKPSARPPHLKTNGAGYLDVEQRREEELGPEHLVVAELLDPVGVAVVAEPAVHVAVALGLHDAHAAVVALDVRPAPPAGAGGAVVLGAADAAGDGEVGVEPGEHGVLEHLGGERARRVARRVVVHPAQVVQLVGQVRVRQLVVHLPRHRARHHRREAVPVDGARGGLLLLEHHLACLLEMELADGLGGWQGRAAGKGSGGARARRRTRDI >Et_8B_059811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:360147:362166:1 gene:Et_8B_059811 transcript:Et_8B_059811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWKLTYLLEPASLALIATAISVAYASASRALDYGKEMERNLDFSEASITLDRSQALMIPLASSCSLLVMFYLFSSVSHLVTAFTTVASGMALFFCLSPYITYVKSQFNLVDPFVSRCCSKSFTRLQGLLMLFCIVTVLAWLVSGHWLLNNLLGISICIAFVSHVRLPNIKICALLLVCLFVYDIFWVFFSERFFGANVMVSVATQKASNPVHTVANKLSLPGLQMITKKLELPVKLVFPRNLLGGIVPGSSPGDYMMLGLGDMAIPGMLLALVLCFDNRKHKDVNAPSDMPPSKRQNYVWYALIGYGVGLVAALAAGILSQSPQPALLYLVPSTLGPVMYLSWLRNELWELWEGSGPILTEKARLLEV >Et_2A_016031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20313915:20315766:-1 gene:Et_2A_016031 transcript:Et_2A_016031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVEKPRQVVRKFLARPQYEGIGAVVRRSIGRFELRYLDPFLVLDEFSVAAPAGFPDHPHRGFETVTYMLEGAVTHEDFEGHRGTIKAGDVQWMTAGRGIVHSEMPAGPGTSKGLQLWVNLSSGNKMIEPGYQEIQSKDIACTTADGVTVRVIAGNSMGVRSPVCTRTPTMYLDFTVRPRAAAVRQPVCAAWNAFAYVLEGEGVFGAEKGAPVGPHHLLLLGAGDGVEVWNKSADKPLRFLLIAGEPIGEPVAQLGPFVMNTEEEIDMTVNDFEGYVNGFEKARHWKSQAMMAFGVE >Et_1A_009404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4911017:4912501:1 gene:Et_1A_009404 transcript:Et_1A_009404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVPKLLLLALLCSYHSVAAHAADARTHKVLDMESMKPKTVCSESKAVPSSTGGTTLPLHHRHGPCSPVSSSKKTPTLEEMLQRDALRAAYIQRKFNRASGGSGVQHSDATVPTILGTDLNTLEYVITVGIGSPPVNQTVLIDTGSDVSWVQCKPCAKCHDQADALFDPSASSTYSPFSCTSGACAQLGQEQEGAGCRSSQCQYQVGYGDLSTTTGTYASDTLKLGTNSIKNFQFGCSNVESGFNEEQTDGLMGLGGGPQSLSFSYCLPASSDSSGFLTLGGAGTTTSGFVKTPLFRREQPTFYVVRLQAIRVAGKQLNIPSSVFSDGLVCDSGTVLTYLPQPAYSALSSAFKAGMKRYPSAPPRGPLDTCFNFDGHSRITMPTIELVFTGGAVLNLHGNGILAENCLAFSVNDENPSIIGNVQQRTFEVLYEVGGGSMGFRAGAC >Et_5B_043245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:218395:218776:-1 gene:Et_5B_043245 transcript:Et_5B_043245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVIFVRKEANCVAHCCASKPTRDHSVYSWYDHLPVWLSEVVAMDCNPASYL >Et_8B_058752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20781009:20781719:1 gene:Et_8B_058752 transcript:Et_8B_058752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSKKALLQVLLVVVQLALLPLSTLGSRAGPSAHHSHGSHGGGHNKHSPPPAAIVSPPPAVVVSPPPAASPASAAQLVRSTCNSTAYYDVCVSALGSDPSSATADAVNASGGAATAAALANGTATEQADGTATAALLRTCAGKYGQARDALAAARQSIADQDYDYASIHVSAAAEYPQVCKALFRRQRPGAYPAELAAREDALNKLCAVALDIINLLSANNS >Et_2A_016863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28924880:28927654:-1 gene:Et_2A_016863 transcript:Et_2A_016863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDKDLLEVVRKLDDACKEAGFFYVRGHGITESLMREVRDVTRRFFQLPYEEKLKIKMSPQSGYRGYQRVGENVTKGKPDMHEAIDCYTPIKAGKYGDLGKPMEGSNLWPENPSNFEVLLENYVSLCKDLSRKIMRGIALALGGKVDAFEGETAGDPFWVLRLIGYPVDIPEEQRTDTGCGAHTDYGLLTLVNQDDDICALEVQNRSGEWIYAKPIPGTFVCNIGDMLKVWSNGIYLPTLHRVVNNSPCYRVSVAFFYESNFDAAIEPVDFCRKKTGGVAKYETVVYGKHLVQKVQTNFVM >Et_1A_007478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3521419:3525520:-1 gene:Et_1A_007478 transcript:Et_1A_007478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPVPILLLLLAAASTAAAAATLSSRMVHRLSDEARLQAGPRGAQWPRRGSGGYYRALVRSDLQRQKRRVGGKFQLLSLSKGGQTFSPGNDFGWLYYTWVDVGTPNTSFMVALDTGSDLFWVPCDCIQCAPLSGYSGNLDRDLGIYKPAESTTSRHLPCSHELCLWGSDCANPKQPCPYNIEYFSENTTSSGLLVEDTLHLDSREGHVPVNASVIIGKQSGDYLDGIAPDGLLGLGMADISVPSFLARAGLVRNSFSMCFKLESGRIFFGDQGVPTQQSTPFVPLHGKLQTYAVNVDESCVGRKCLEGTSFQALVDSGTSFTSLPEDIYKAVTTEFDKQMNASSVPNDNFKYCYSASPLEMPDVPTITLTFAGNKSFRAVNPIVRLGDQQEALTGFCLAVLPSPEPIGIIAQNFLVGYHVVFDREDMKLGWYRSECHDVDNSTTVPLGPSQHNSPEDPLPSNEQQTAPSVTPAVAVTAPPSSGSTNLQKLVASSYPLLLLTMSTVFSIS >Et_3B_028473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15914530:15916833:1 gene:Et_3B_028473 transcript:Et_3B_028473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELRQAREKLEREQRDRVQRAKAKAERERRAKAEAARRRDALEASHRERRLDAARAQEEADQKMEEVMLQSKGVSFLHMFEALRYDGPGDKIKLPPSSFKELSDEGALDKGPMYFKLSKVRDRVPGASQEQDAEEATCSGVLEFTAKEGSAELPPHVWNNLFRSDSPDVPLIEVRYVSLPKGTYAKLKPEGAGFSDLPNHRAVLETALRNHATLSENDVVVVNYGQLRYKLRVLELKPASSVSVLETDVEVDIEGSDSVLDNEEDQHVLVPLSIGKVESSVVEEGKFRYYKFSVEESVSEKVASGHANIEVKIDTDASGGDTDIYVSRHPLVFPTQHRHEWSSHEMGSKVLILKPRDTSMVSGVYSIGVYGFKGTSKFQLSVAIKDVNSQRVGEHASASSSVDADTVLCKNCKRHIASRTAPLHEAYCLRHNVACMHDGCGVVLRKEEAADHVHCNKCGRAFQQREMEKHMKVFHEPLQCPCGVVLEKEDMVQHQSSTCPLRLIVCRFCGDTVHAGGEPADVRDRLRNMCEHESICGSRTAPCDSCGRSVMLKEMDIHVVAVHQKS >Et_2A_016184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21825126:21829387:-1 gene:Et_2A_016184 transcript:Et_2A_016184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPPKFLFRTGANANILIARSSLSRLPTRPSSATSCADRRVPAVAATLRPGGAHMAQPLRKLILPRAAGSSSATAAAEGMSDPELRLVLELATDEELIELEEILYGTSYFSPLLKSVAKRPNSDSAVILDDIEERDMFISKLESRFLYLAADARSVIRGWRPSYRDVLLGVRKKLGVQCSSKLCTADLEAEIFLHLLNEYSSHNQKGTISFPWSKQKSSKENSSLGVNKWKAVTDASRRIGAKGLESALLKLAKRLSGKMLMEAANYEIKKEIVKQGGRLAAVNLESRAGLLAARQGLARAASRYAGLRSIMTFLGPIMWGTLLADIVIQMLGTDYARIVQAIYAFAQELIVLGLTD >Et_3B_030467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:398503:399641:-1 gene:Et_3B_030467 transcript:Et_3B_030467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENDHEDEEPSVEAAFAGQPPPPWWRQITARSVVVSVVVAPLFTLISMRLGLTTGIVPSFAMSASLVSFFAIGSWARLLGRCGVATRPFTRQENIVVQTCISACTTLSVYGGFTSFLPAMSETVAKSIGETGTENDALHPWKVMTFLFLTSFSSLFCNLPLTKIMIVDYKLMYPTGSAIAGIINSFHTPKGAATAKLQVRALVQTLIGSFTWASFQWFYTGGDGCGFQDFPLFGLYAYRQRFYFDFSPSLVGVGMICPYLINFSLLFGAVISSGIMWPLLQKKQGEWFTDPSRSSFRGINGYKITS >Et_9B_065901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1727547:1729909:-1 gene:Et_9B_065901 transcript:Et_9B_065901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSGSPTPLSASGVMDNHNSSPQIAEVKMDISPSASGAAGSKVCKGAPCDFSDTSNASKDATERFASMRKLLIAVILCVIFMTVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPQQSYGFFRIEILGALVSIQLIWLLAGILVYEAIVRLINESGEVQGSLMFAVSAFGLFVNIIMAVLLGHDHGHGHGHSHGHDHGHGHSHGHSHGDSDDDHSHHEEQEQGHVHRHDHGHGTSITVTTHHHHHSGTGQHDAEEPLLKHEADRESTQSGTRAAKKSRRNINVHSAYLHVLGDSIQSIGVMIGGAVIWYKPEWKIIDLICTLIFSVIVLVTTIRMLRNILEVLMESTPREIDATRLERGLCEMDGVVAVHELHIWAITVGKVLLACHVTITPEADADQILDKVIGYIKTEYNISHVTIQIERQ >Et_1B_011990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27896811:27901427:1 gene:Et_1B_011990 transcript:Et_1B_011990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLVVAVLLLATTKAAAAHIALPGCQSRCGHVEIPYPFGIGDKCSHRPGFKVECRRGTNGKTDWLSVQNEYGPWAEVLEISVPNSTVRIRSQVWSFGIDDTSVTAPYSVYYPMPYVLSGSRNRLVHTGCGFSASLWHDGFLGSNFVSTCSSSCPAENTTHLSGGDGCDGVGCCSAPVPKGGLKWFLAQFQWNVTSLRRNASLVAVESEWWSEKKNVKKLKKNLLQGNGSRILIPAILDWSLDDSTRAEAVQRPDYGCVSENSKCQDSTGSADGYFCRCSQGYEGNPYLKDGCQRTTGPSTRQPPPGKIFAWGVFIGMCLLLILLGATYAIKKLKDRKAKKMRECFFKQNRGLLLQQLVDKDIAERMIFSLEELDKATNKFDEARILGGGGHGTVYKGILSNQHVVAIKKSKLVIQREIDGFINEVAILSQINHRNVVRLFGCCLETEVPLLVYEFISNGTLYAHLHVDTPISLPWKDRLRIASEVASSLAYLHSEASISIVHRDIKTSNILLDDRLTAKVSDFGASRGISIDKSSVTTAIQGTYGYLDPEYFYTRRLTEKSDIYSYGVMLVELLTRKKPTIDISDGVSLITHFIQLLSEDRLNEILDVQVIEEGEEEAKQVAVVAALCLQIKGDNRPTMRQVETVLQGIQSSDDYFQGNPGAQGMRLSNNTTFEGSNVVVHDNNSRRFSMEREMLMSATFPR >Et_2B_018985.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:21499355:21499666:1 gene:Et_2B_018985 transcript:Et_2B_018985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSNLQVLQVVNIMKRKLVVPFYMDIIILVSWNMWVIKNKWIFNDIDPIVQNYREYFIKELDMDAHHRAKLKQACSAVKALDCRLGLVLFLVFCFLPFCCLL >Et_5A_040564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:85923:89368:1 gene:Et_5A_040564 transcript:Et_5A_040564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTTQSKWLDANLQEMENRVKIMLKLLGEEADTFGKRAEMYYRTRPEVISHVEQVYRAYRALVERYDHMSKELHKANHTIATACPEEVQYALLEEEDDNFPKAITPINSNKIHKSTVEEILKRKREGLSGQNKGWPQGSAPHMNEETAQEEISRLQKAILVLQTEKEYIKSSYENGIARYWEIEKQIADTQEEICHIQDAFDTHAAIEDDEARALMMLTALRSCHGTVAKLVDKFEELIRIAVMEAATTKSLRAHLHAMNGNTDISSGEAVSTDMSVNKRVYPITQEILELQPIYEKIENFFANSSESSVEEMAEKVDELVDKVMSLELKFPKQSAKIKQLRQENENLKNKLDELQDEMALRDDPSDLNEQIKLLEDEFNRIRALEISIIEEEVFVSTAFSEVFSCVTGISKVLVSSDPTELYNISTASVNGEMISLNVGLESNTKEGNLLKNEEYRSIEAPHMSRSLSRGKVVDNNSSCGTECICEGKIPRYNCLNDSKEEDSLEDTSLLKVDCSCFSGADKSLDFHHTDEVKSVQELPENGGQLNAMQNIESLNKYSKVESPEDGCIPLHVDNIQDLRNTIPVDKYSTEASDEPSICMTARGSEETGRLHQQATLVPTDSENVASDIFRSQLEKKSSNGKEHANEAITLSNHGGKGQGELTTLTGEGVSSWQEFLRDGLEGREEILLADYTSVLRNYKETKRRLAELEKKNQEHLEETKAVIRELRNANSMKYVEIRSLRNLVDSSEMQLSKADCNPTGFLSTRSFRETVISNSTLDREISTVEGINVSHIEETKSTSPFEVKFRNEIDALVDQNLQFVVRYSMASHHMQDFDRKHLEALNEMANMEDKKTGESDTKTESEPAEKKLRELRTELDVWFEQNALLHQEVQLKTTSLCSLQEEIAEALRCSSEIDGTRFTPYEAAKFQGEVLNMQQSNIKIESELQAAQERMRELQSNVNDDLRKLHESFELSSRRLSQTETEGSYRNQFKHFPSKSRVPLRNFLFGTKRKKKSMFACINPTLQKQFSDL >Et_3B_028585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17082172:17084258:1 gene:Et_3B_028585 transcript:Et_3B_028585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKTLARAGSSLLGRLLASPSTSTSVLRAGLPLARLQAYVPPPPPTAAGVDAYDAYAVARLSSLPGEITFPCGLPSLRFLIDDGKDPVENEPLELLPKRTYQPSTIKRKRTHGFLTRKSTKGGRKVIARRIAKGRHRISV >Et_9A_063142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11878737:11879833:-1 gene:Et_9A_063142 transcript:Et_9A_063142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARACVLVLAAVVAVCAAAASVAAQPPGKRPLPSNYRMINPGKFKRDQTLACDDPATKQPPCNAKCDPRCPNQCIVLCPGCKTYCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDQDFCIVSDSNLHINAHFIGKRNPAMSRDFTWIQALGIRFADHRLFMGAQKTAKWNNDVDRLELAFDGAPVEIRAESGAVWESTAVPGLTVTRTAATNAVRVQLNGVFDIVANVVPISKEDSRIHNYGITEDDSLAHFDLGFKFFDLTDDVHGVLGQTYRTDYVNQLSVSSKMPVMGGTPKYVSSDIFATDCAVARFGASHEGISTVTARAY >Et_2B_019111.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:10397686:10397802:-1 gene:Et_2B_019111 transcript:Et_2B_019111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNTLLAIFVWAMAVVFLAAAMPTAARKQGFLPQGIH >Et_4A_034821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6923057:6926640:1 gene:Et_4A_034821 transcript:Et_4A_034821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTPFALVSRLSPAARLPVRAWRVARPTLPASGRARSLAVASAAQENRDNAVDVQVSQNGGNRQQQGNAVQRRPRRAAPLEMSPFGLVDPMSPMRTMRQMLDTMDRLFDDTMGFPTSPSRRSPAATGEVRLPWDIVEDDKEVKMRFDMPGLARDEVKVMVEDDTLVIRGEHKKEAGEGDEGAGDGWWKERSVSAYDMRLALPDECDKSKVRAELKNGVLLVTVPKTEVERKVIDVHVHRLSPAARLPVRAWRAARPMVPASGRARSLSVASAAQENRDNAVDVQVSQNGGERQQQGNAIQRRSRRASPLEISPFVLSVARFSSVTTHKKQITLRSSEANKHDYASHAGLVDPMSPMRTMRTILDTMDRVFDDTMGFPTSPSRRSPAATSEVRRSWDIVEDDKEVKMRFDMPGLARDEVKVMVEDDTLVMRGGHKKEAAGEDA >Et_4B_037923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24836764:24841955:-1 gene:Et_4B_037923 transcript:Et_4B_037923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLASALSSNYGSFSRVWRFVYHNFTKGKSFNDLRKLLERFTVRATTVGDRTPLHHLVDMRPRAPLLLREALRKLLIATWRALGLHALPWYYFLIGAGGVVIAYLIDTARRRRQHRDDDDEDGGGDGGPGNGGGGGDCGPGNGGGGGDDGPGNGGGDGGDGPGNGADHGRGPGDGGGSDDDTDARGGRRPGGGGGDKSEGGGGRGGSDEEEDGGGGPRGQHARCAVRLVSESEYLIGLRLRISPNRWCSRFRRSPPPLCPSPTNRAAPPGPSSSAAAPSASRHPLPHLRPNQLHAPPAMVRAFPLPPAATGRSGTLRWPALRQAPRREASPPDQLQRYMVSLNEYSQLFCKDPNMMVYLLVNNEFTVVLKNVSTGKTAANGSPGNDSPSGDRKKVRRPYQTMTFKVVLCFAATIPMNAIAQALKTGNGEDIFTRNGRWNFNNKRLIQTSSVEKWAVVKFSARCNVRDLVRDLIKCGGMKGINVENPFEVFEANPSMRWAPAIRRIEDMFEQVKTKLPGASKFVLCILAEKKNSDIYGPWKKKCLAEFGIFTQCVALTRVNDQYLTNVLLKINAKLGGLNAFPAIPLVSKEPTIILGMDVSHGSPGQSDVPPIAALVSSRRWPHISKYGASVCAQSKMEIIDSLFKPQGTEDDGLIRECLINFYTSSGKRKPEQIIIFRDGVSETQFNQVLNIELDQIIEACKFLDETWCPNFTLIVAQKNHHTKFFLPGAPDNVPPCTVVDNGVCHPRNYDSYMCVHAGMMGTTRPTHYHILHDEIGFTPDDLEELLLRYAMHILLLLRSASSWYRRRRPAKVATRPQAVSRCKSCLANLKNVSSSMFFCGAALATSVM >Et_3A_025769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32721775:32722567:-1 gene:Et_3A_025769 transcript:Et_3A_025769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCKSSMCLHCISTINGRYDPSSIGHCTSPPPGFHGLPFPRPHIKTPHPLLPLSFLSLLKSLYIQPCISCIDPFLCCDHMDPIIIEKISAMKKYSKSRRQQQQLLPTLTLYLVATAVLCLLLTSPAWFPRLYTFLSFFLLTTLPDLATTFLLSPKCLFVVGNLIVAFLVGESRLAPRRDQPSLVNEIHEEHVKRNTVTIPKAKTAAVVVADHSPFVEALEDGEAVKEEEGEEELHKRVEDFIARVKKQRKLEVKSFFDADR >Et_8A_058259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3774268:3778904:-1 gene:Et_8A_058259 transcript:Et_8A_058259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQELAHYVESLVRQTASRGGSGISADGVVRQLESQLRVDLAPKAQLIRDILVALLGPAHGTQLPSRKDPFEAAAGASASAAPAQPHFSTSAPASASAPPHEEARQYQQQGQQRHMAAAAGAAPAATPAESPRAPAAPAASKKDSAVTGVKRRGGSGGLNKVCGVSPELQAIVGEPTMARTEIVKQLWAYIRKNNLQDPNNKRKIICNDELRLVFETDETDMFKMNKLLSKHILPLEPTSRTCVQILAEDSKRNAKKLKPADGESISPVEVDANQLPITVSDALASFLGTEEREMPHSEAVKRVWEHIKSNDLEDPANPTVILCDSKLKELFGCESLTALGVSELLSQHLSSNLPKSNCLKAAYSARAVAEELRPMRCY >Et_1A_007862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3911577:3914693:-1 gene:Et_1A_007862 transcript:Et_1A_007862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAVALTDVCILFAQHKLGKGSRDKVQQFMAITGASEKVALQALKASNWHLEGAFDVFYSQPQVAVANTRHLEELFNRYKEPDADMIMVEGISQLCNDLQVDPQDIVMLVISWHMKASTMCEFTRQEFIGGLQSIGVDSIEKLREKLPSLRAELKDDQKFHEIYNFAFAWAREKVRHNKAISRDTWSQLLEFVKTIDPQLTNYDEEGAWPYLIDEFVDYLKENGAVQHRK >Et_10A_000162.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:13701530:13701583:1 gene:Et_10A_000162 transcript:Et_10A_000162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTLRQQVKDWLESRM >Et_5A_042125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6515307:6518878:-1 gene:Et_5A_042125 transcript:Et_5A_042125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDPSVSDEDDDLETLVPPNHTKPHSPTSRSRSPASSFSISALHPALPSSAASLGRVLWSRRYLLLFVALPLLFLILFLSLGGASRLPASIRLPSVGPAADPAASRMREAELHALYLLRSQRSGLLSLFNRTAPTNGSASATISLSDLQSALESQIKINREIQAALLSAHRTGAGNATEDGLDLDLPLAGCRRKELPANRRTIEWNPKKDRFLFAICLSGQMSNHLICLEKHMLMAALLGRTLVVPSQKVDYQYDRVLDINHINDCIGRKVVMSYEEFTEKRKKVSIDQFICYAASPPCFLDEEHIKKLKGSGISLGKIEAAWPEDAKLKEPKKRYVGDITPKFSTDAEVLAIGDMFYADVEDEWVNQPGGPLAHKCKTLIQPSRLIMLTAQRFVQTFLGGNYIALHFRRHGFLKFCNVKKESCFFPIPQAAECILRVVEKANAPVIYLSTDAAESETSLLQSLVVFNDRQVPLVKRPEHHSSEKWDALLYRNHIGGDNQVEAMLDKTICALSNVFIGSSGSTFTEDIFRLRRGWGSASHCDEYLCQGERPNFIAEQD >Et_1B_010036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19463347:19463638:1 gene:Et_1B_010036 transcript:Et_1B_010036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ISLYAVRAFTPKAPPPPRKFVAGPRWREEEGDLAAAAAAQRAAGARIPLLEAVLFLALGWLCACTVPITVLSYMAATVNGNSAAAG >Et_3A_026360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7264414:7268958:1 gene:Et_3A_026360 transcript:Et_3A_026360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPDIGGDAASTSCPADSQMQHQAPAGAVSPANSHADHGVESVKEQLCRIVASSLSATSPGVDVEPILEVSKPGFGDYQCNNAMSLFARIRRTGTTFQNPIAVGQEIANNLPPSDIVESTSVAGPGYVNIVISSDWIAERIQNMLIHGVKTWAPKLPVKRALLDFSSPNIAKEMHVGHIRSTIIRDTLARMFEFANVEVLRRNHVGDWGTQFGMLIQFLFEKFPNWEEAGNQAIGDLQSFYKASKTKFDEDEDFKGRAQQAVVRLQQGEDTYRAAWKNICQISRNEFDLVYKRLGVELEEKGESFYNPYIPQVLEEFTAKGLITENKDARVIFIEGQNVPLIVVKKDGGFNYASTDLAALWYRLNVEKAEWIIYVTDVGQQQHFHKFFSAASMAGWLPDQNGKRCPKTSHVGFGLVLGPDGKRFRTRASEVVRLVDLLDEAESRCKAELKKRLIENGKIADWTDYELEKTSEIIGLGAVKYADLKNNRLTDYTFSFEQMLSDKGNTAVYLQYARARICSIIRKADKDIEKLKMSGSITCIHSDERTLGLHLIRFQEVVEQACTDLFPHYLCDYLYSLSEAFSKFYTNCPVMGSPEETSRLLLCEATAVVMRQCFYMLGITPVYKL >Et_2A_015615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1656216:1656630:-1 gene:Et_2A_015615 transcript:Et_2A_015615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLQANELLTGLLLVLVSVLLIKQLRLASGFPVIGNLHQLGALPHNSLAALATKLGAPLMLLRLGSVPTLVVSTADALRAAFQPNDRAMSGRPATYAATRITYGLQDIVFSLSELLGAPRSSPPSRTCPEQALP >Et_7B_055622.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:17505526:17506455:-1 gene:Et_7B_055622 transcript:Et_7B_055622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDKDVFVVIYKWLFSPRTYHVAMWRRGSNASWATIPSERFWTRMLMLRRRLSMGLELLEDDDAGNDGGGVAPWVPRGVDTHVLEHEGRVRFLYRRWEETRWGQFPWPRTSFVLKADLDDAAHDVDWAEALELCDKIIVHTWNNPCYVIPVPTVGLRKNGVYFFSYKHYLEGGMRQGAYCLCRYDWLERVTTVVKLMPGDNWNWAQGTWFLPTLNK >Et_2A_015893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18834867:18838282:-1 gene:Et_2A_015893 transcript:Et_2A_015893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGSDTVVLGVEKKSTPKLQDSRSVRKIARLDDHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYNDKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETVKLAIRALLEVVESGGKNIEIAVMTKKDGLRELEESEIDEYVAEIEAEKAAAEAAKKGAPKDA >Et_7B_055446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10809858:10810759:-1 gene:Et_7B_055446 transcript:Et_7B_055446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKVALFISVLLVVVSLASAAQARAPPLAAGNGSNCDPLKLAVYANVLDGLIKVTAGLGALILGAGGGDGGQCCTVIGGLVDLNVASCLCTTVRVRANVLRLVKIDVVPLVGASSSPAVARPCP >Et_7B_054842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4868495:4884192:-1 gene:Et_7B_054842 transcript:Et_7B_054842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAELLEMYRRDRRQLLGFLLSAGGRAVDLSRVDLDAVSADYAVECVASGAQFDASEGTRRYFDERRYPIMIGSSSGNSYFLLTRPEPSYSPPNEAAPGIGSQAPAHEGSNPAEQQKDFLRSSVNTSGIDYGTEDVALADISPQQVEKADDLSLGLPGLRTGLSDDDIRETAYEVLLASLFMSGKVHFSEEKKEKKPKFLKGLRTKTDGSNSSTQMENSYAHILDLIRISESLDTLTKRALRLNNLKMAQGWLDVPRISLQLLSSVGKLDFPTERLRANVLEELLLFSASRENDMSETLRIEWVVSVPEGRVEVLTIIERYNARFSAMPKKFGLKGETYHWTHNYHFNFRLYEKLLCSVFDILEDGQLVEESDEILETVKLTWPILGITEKLHGVFYAWVLFQKFAQTGEILLVKHAGIQIQKLRLHNEVKDIELYTNNFTCSADACGSNRALSLVDSALLKINVWCRRQLENYHAYFNKNNCAIFEAMLNLLLLLAANPTEDDDEESMLIVSPVGSTPESKLIHLLVVRSIQAAYKQALVSSDGLSKPEFGHPLMMLANGLRLVAEKECTAFSPILHKYYPEAQKVALILLHLLYGQQLVSLTPFPATYVHFPDLHLCRVPIGDKELFLERVDHLGDSKEMLAASNNFELFVAQKLYSVYGEAVGSSFSSYLKPYMIDRFSSPLILQWLHTQHENVMEWTKRTVDIEDWEPLSVHEKQATSVVEVFRFVEETIDQFFNANLPLNIVHLRSLLIGITSSLQVYLLHMENQQVSGSTLFPPAPVLTRYAESINPFVKRKLIEPTFPEEKVDAKLNNLTVPKLCVKLNTLQFIRDQLDTIEEGIKHSWVSVLSAVRLLDYLSCMAGGRALSDNSSSSDELVDELFTIFDDVRMTAVSITDTILKFIGTRAVFWDMRDSLLFSLYRDSVEGARMEIFIPTVDQVLDQVCDLIVDVLRDQVVLRIFQACMEGFLWVLLDGGPSRAFLETDVDLMQQDLAMLKDLFIAEGQGLPLDVVEKEAKQAQQILDLYLLKADVIIDMLINANYDDVPMKDVSYKNCSKDTEEQSALTNIQIGVINFFNIQ >Et_4B_037132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15062424:15072507:-1 gene:Et_4B_037132 transcript:Et_4B_037132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTSPNRSTTRLASHVVLVLIFGSRLAGAASENCEENDDDELMTRKTSTPNPLWLSPGHCLVLVAWSPASSVARQPARGASSGFAKVPSSRNVAAARRGGRGAVRASLFSPKPAASKEARPSKVQELFVYEINERDRESPAYLRLSAKQTENALGDLVPFTNKLYSGSLDKRLGITAGICLLIQHVPERNGDRYEAIYSFYFGDYGHLTVQGPYLTYEESYLAVTGGSGVFEGAYGQVKLHQIVFPFKVLYTFYLKGIPDLPNDLMGTPVPPSPTVEPTPAARAPARGASSGFAKVPSSRRRGGRGAVRASLFSPKPAASKEARPSKVQELYVYEINERDRESPAYLRLSAKQTENALGDLVPFTNKLYNGSLDKRLGITAGICLLIQHVPERNGDRYEAIYSFYFGDYGHLTVQGPYLTYEESYLAVTGGSGVFEGAYGQVKLHQIVFPFKIFYTFYLKGIPDLPKDLLCTPVPPSPTVEPTPAAKAAEPHACINNFTN >Et_10A_000696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15750499:15750934:-1 gene:Et_10A_000696 transcript:Et_10A_000696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILRESGQCPFFLENEDIEHLFLRCKRATSIWNGLGFSSPQMNTSIENLWNDIAQLYSIKLKIRSAVLTTVLWNIYMEMKSNVFQHMDESNITIAAHCCDDLSLWSHRCHQSADRDILKFWSSRLSLSRRTESRRRCSPFDM >Et_8B_058845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:127380:128624:1 gene:Et_8B_058845 transcript:Et_8B_058845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPHRKVKKRRLSHKTARRGKFLLKADDAVYDELVKLADEGKDAQGKELPVDEDLPGMGQFYCLHCDRYFANETVKDDHYRSKRHKKRVKLMSGPAPHTQLDADLAAGMGMPDNGLKLMSM >Et_4A_035085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9336631:9338914:1 gene:Et_4A_035085 transcript:Et_4A_035085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTTDDAAAAATAEKPPDAEKPSYASYNGASAADGGAAARTPRGGVVDSVVARWRREDMLDKSPLALRAAAAVFAFVALVLVATNRHGPRGDWMQFDRYQEYRYLLAIAALAVLYSLLQAGRHLYRMRGGVDPISAPSGRLLDFVADQAINSFPPGIYYYLQISRPYVGAERDHWMHLQVVAYLLMSALSAAVPITNRMRSAVVNTFTDATAAAISMTFFAFVALALSAVVSGYKLSKQ >Et_1A_007227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32334128:32336097:1 gene:Et_1A_007227 transcript:Et_1A_007227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCAKQFKQTSGHEDPSILAKETTFSINEVEALYELYRKISHSIIKDGLIHKEEFQLALFRNMNKKNLFADRIFDLFDLKRNGVIEFGEFVRSLNIFHPDTPTAEKITFAFRLYDLRGTGYIEREELKEMVLALLNESDLLLSDEAVEQIVDQTFKQADMNSDGKIDHDEWKAFASKNPALLKNMTLPYLKDITMAFPSFVLISGASDDEL >Et_2A_015297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10692342:10692878:1 gene:Et_2A_015297 transcript:Et_2A_015297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFLRKLFPNVHRRMPGDTRVSSHCKFDTRQPAADGLHLLGLRRPALPLGDGAGEVPRRVHNGFQLSVGLGALVANVINFGTEKIAGDCASHPWRATPSSLVQQGRDREDVSRLLQRIRGTDVNVADELDDIVAANPAMLWRRRHAVEATACG >Et_2B_020337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19097394:19101375:-1 gene:Et_2B_020337 transcript:Et_2B_020337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGRSWNGGAPAVASRGGGDGGSGAEEKPTTAVVAAAAPAEVPTGLCKELVRGWSSLDSCFSIQTVSGGITNLLLKVSAEEGTCNESSVTVRLYGPNTDLVIDRKRELQAIPYLSAAGFGARLLGIFENGVVQSFIHARTLSPSDMKEPRIAAKIAKELQKFHQVDIPGSKEPQLWNDIFKFLKKASALKFEDIEKHKRYETILFGEIEDEVKELKDLSDLLHAPVVYAHNDLLSGNLMLNDAEDKLYFIDFEYGSYSYRGYDIANHFNEYAGFDCEYDLYPDKDAQYHFFRNYLQADKPNEVPIGDLEALYVETNTFRLASHVYWALWALIQAKVSPIDFDYLGYFFLRYGEYKKQRESCFYLAQSFLSEMRNG >Et_5B_044674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4544215:4546415:1 gene:Et_5B_044674 transcript:Et_5B_044674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDFLGGFGREGGAKEDKARNAAGGESDDLELSLGLSLGGCFGSDPAREAKKQCLARSSSIASICSLRGIAGEDLATAEPTPPLLHRTSSLPTEYDEARFQRKAMQCQRRMAAKRKRLERRNSMNSSKSSAGAGRDDAQEALQQLRRSGASLGSSSSSLPDQGGSGSGGADAKSSSAMNTSSDNSGSGGQNSSLPPTAPSTGTTRPPLNGSTREQPPLRTLRSLTMRTASTGDFRKTMMEEMPMVFSRLDGPNARRIDGFLYKFGKANDVRIVCVCHGNFLTPAEFVKHAGGAGDDLAHPLRHIIINKQPSEFL >Et_5A_040832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13175836:13176925:1 gene:Et_5A_040832 transcript:Et_5A_040832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILLPFPSAAASATTVRPARSCRARLLPPRARGDMDAAADALLWSGLRPESLPRHVAVVMDGNTRWARARGLPAADGHAAGGRNLERIVGLSRAWGIRALTAFAEVDSMMGLSEWLIGDNVEKLSRYNYKIHGTVVQHGSYVLQFFGFFICTQPRQGIRLQVIGDSSKQPASLQVAAKQAADATRNNSELHVMLAICYSGRWDVLQACRALARDVLTNELGPDDIDESTLAGKLATSVAGGDPDLVIRTSGELRLSNFLLWQSAYSELYFTDTMWPDFGADEYLQALRSFQSRDRRFGQQRL >Et_1A_006193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1892204:1895584:-1 gene:Et_1A_006193 transcript:Et_1A_006193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSCHNLLDLAAADEAPLPSPTPLRLPRVMSVASPASPTSPSPDAPPRRVIVAHRLPLRAVSDPAAPFGFAFSIDAGTVAYQLRSGLPANAPVLHIGTLPAVAEEAASDELSNYLVANFSCLPVYLPTELHHRFYHGFCKHYLWPLLHYLLPLTPSSLGGLPFDRSLYHSFLSANRAFADRLTEVLSPDDDFVWIHDYHLLALPTFLRKRFPRAKVGFFLHSPFPSSEIFRTIPVREDLVRALLNADLVGFHTFDYARHFLSACSRLLGLDYQSKRGYIGIEYYGRTVTVKILPVGIDMGQLRSVVSAPETGDVARRVAETYKGRRLMVGVDDVDLFKGIGLKFLAMEQLLVEHPELRGRAVLVQIANPARSEGRDVQGVQDEARAISARVNERFGSPGYTPIVLMDGPVSAQEKAAYYASAECCVLSAVRDGLNRIPYIYTVCRQEGDDAPKRSVIVLSEFVGCSPSLSGAIRVNPWSVESVAEAMNAALRMSDAEQRLRHEKHYKYVSTHDVAYWARSFDQDLQRACKDHFSRRHWGIGFGMSFKVVALGPNFRRLSVEHIVPSYRRTDNRLILLDYDGTVMPENSIDKTPSTEVISVLNRLCEDPKNRVFIVSGRGKDELSKWFAPCEKLGIAAEHGYFTRWSRDSPWETSCLAADFDWKKTAEPVMQLYTEATDGSYIEHKESAIVWHHQEADPDFGSCQAKELLDHLENVLANEPVVVKRGQQIVEVNPQGISKGVVVDSLLASMVKTGKAPDFVLCIGDDRSDEDMFESIVCPSNSSVKLPATSEVFACTVGKKPSMAKYYLDDTVDVIKMLGGLASAPSQRSRSTVQLRVSFEGSL >Et_4A_032844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14619945:14623632:1 gene:Et_4A_032844 transcript:Et_4A_032844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLARSNSDGDCSSTSSEQSRQDVSAVSDSSLNGQYTPIHTDNNDNCGKQDQDAIKSVLSLGNPEAAFSPQKFDYSQPFACVSYPYAADSYYGGILTGYTSNANTHPNGTTNSRVPLPVEPAAEEPIFVNAKQYHAILRRRQVRAKLEAQNKLVKARKPYLHESRHRHAMKRARGSGGRFLNKKELQEQQKAPPSPQTPTGGVSKVGNDGNPYTANRTSKLPSTPTSSGISSVSNGGGMLAHQGHISFSSTNFLPSMNFAIQNRGEKMAVNDVPYRASTVR >Et_8A_056712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15742332:15745610:1 gene:Et_8A_056712 transcript:Et_8A_056712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISIVKLAERRGTSEMPVPDADFYNFGDNPENSFQNDQVWALYDEEDGMPRYYALIRKTGLYLKANDCNEFGATKWISCGYSKTCGVFKAGVSKVTEQLKAFSHKVICENGPGGMIRIFPKKGDIWALYQNWYRDWDELAPDDTMYKYKLVEVLDNYNPANGISVMPIVKIPGFVSVFKPHDDATKKWRIPEEEMLRFLHQVPFHILTGEEAPNAPRKCYELDPGSTPKELLQVVPPRCLNFDKGARAARPAGPARHGSSATAEWVVLGPARLSIVFLVHVMASSGGVTPNISVMPCFEWGARIGVQPSRTGNRRHGMLLQAYDVVACCWRRFHMADYLGPEEGTADKYKLVEVLDNYNPANGVSLMPE >Et_4B_037779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23215707:23219151:-1 gene:Et_4B_037779 transcript:Et_4B_037779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVARALRRSKPLLTSRCPATAASCRWISPTAAAGSPEAGAAVAPADPELPPPREPVGGARVELPSNPEDALEVFVDGHAVRIPKGFTVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTNTPVAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGADRGRFTEMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEVAGVQDLGILGRGSGEEIGTYVERLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRVDSRGPEVMRIVPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGPDGRFKAVTWRDALAVVAEVLQQVKPEEITGVAGKLSDAESMMALKDFVNRMGSDNLLCEGNGPNPPADLRSNYLMNTSIAGLEKADVFLLVGTQPRVEAAMVNARIRKTVRATGAKVGYIGAPADFNYDHEHLGTGPEALVEIAEGRHPFCSVLQSAKNPVIIAGAGLFEREDQDALFSKIETVAKKYNVTRPDWNGLNVLLLHAAQAAALDLGLVANPAESIKSAKFLYLMGADDINLHKLPEDAFVVYQGHHGDKAVYRANVILPSSAFSEKEGTYENTEGCTQWTIPAVPTVGDARDDWKIIRALSEVAGAQLPYDSLEAVRNRISTVAPNLIHVDEREPSTISAEVKPPVKQQVSSTPFKTVVENFYMTDAITRASKIMAQCSASLLKK >Et_3B_030052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30079282:30080608:-1 gene:Et_3B_030052 transcript:Et_3B_030052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQLASEEMASTCHVEASSGSHVFNISGYSLTQGMGVGQCLQSSTFTVACHDWAIVLYPDGHCVNDTADHVSVFVTLVSDTAGPDGNDGAVVRAHVDFGLLDQRRSPPVIKESRLSYSFAARGAAAGYARFMSKAEVASSGLVHDDCLAVRCMVHVVRVREGEVAGTVAAVPLANVLTNAYRHVTTRTWPALKRRFGTEDGLAFLFELLLKCLMVYLNKQLQDEDDCSCDRKRCRCKCGGCCCKGDQTPAVAVEGSGDPSFLCFFSRSSHLGLESLHFLPLGIYLLFLLAGDGKGAGWVRLLHGGSVEVGGDIDVSNFIGSTTWRLGFSGGDIKTEMMPPSWNNFLRSLLRFVMVRSGHNEGLGRICRLVFLFLRWQKEEEEKDTRKKKFLYFACRSVGCRSSGFCSRDCCRVFARARRVFACAAFYTKRHIGLELRF >Et_1B_013667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11550164:11551529:1 gene:Et_1B_013667 transcript:Et_1B_013667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPWALRRLLLSTHKEAAIEENDLLEPDQEGHRHVDEVSFSVSLPDDIIFDVLSRLPVKSLHRFRCVCRAWRALISDDDPAFAAAARDSRAAPLVVAMFGNKGRGYYYPSSVQPPRDLELRVIDTADGSVLRVVKGIRGRYLATTPLDLAFVDHGMYGSTVIDPSARSGRVVATIAGLRAVDYGYADLHEFCCSYFGRAAPSGAYKVARLRGQPLTTPETGRWMTEVATLLDMNEPTAITAWRQRPAPPVCVCWWLYTCTATVNGVVHFMHGGAPAGGASLRPEHSDWNRVARFDLESEEWKTTIDGPPMTGWWCRRSGREGTWWDVALTELKGTLAVVETAGPGSLHICNVNVWRLVDSAHDSVWVKERAIEMGQQTCRLFKALEIFQDGRMLMVRAFGKDPVILQLYNINTGAATDLMEMAKDFRGPITLYTGCLLGRHEFCP >Et_5A_041450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2321847:2326058:-1 gene:Et_5A_041450 transcript:Et_5A_041450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLASPSSATKRVAYVLLAALASAPFFLLLLHSGGNPTSLCPASTGAPRRLPYPSVLWSRVPPLPALPTSPHPSLHAARWIVISASPHHARHRPLRAVPGWQLLAVADEATPDGWTHPGAVLLTLADQARLGFRSVALLPARGPARKAAGYLFAVQRGARVVYDADARGAVVGGNLSRRFDVDLQQRLGGDVLLQYSHADPNRTVVNPYVHFGQPSVWPRGLPLEKAGEVGAEEFYTEVFGGGQFIQQGLCNGLPDVDALFYLTRKSSEMDPFNFRFDEDAPKVALPQGVMTPVNSINTLFHSPAFWGLALPVSASPMASDVIRGYWAQRILWEIGGYLVVYPPTVHRVDNVHSHPFDDEKDIHVNVGRLIKFLMEWRSKKQTLFERILDLSYAMMEEGFWGEKDLQFMAAWLQDMVAVGYRQPRLMSLEIDRPRATIGHGDKQEFVPKKLPSVHLGVEEIGEVSTEIGNLIKWRKHFGDIVLIVHCTEHVERTALEWRLLYGRIFRAVVILSEQASSDLSVEFRNLAQAYKYLPKVFDRFAGAEGFLFLQDHMVLNYWNLLNSDKTKLWITNQVKESWSDIPLQGNKIDWFVNQGDLVKKAVGNFPLHYQDHYKRNVGENKIIRCSSEVFYIPQRHVSGFSHLVKVVGSLDIHHSIAVPMLFLAMDSASNFEPKAFTKLVYRADLPSNTTFSTIYTTEAHAVYPVKIQNELDCWNGMFTLVLAHLPGINSRDLWVLNSRMQNIICLDKEQSYGMDWLILRAEAEFDHLHSQMGTVQILLSLFPQTRTFSVLPIKLRWFASPLRQQ >Et_8B_059294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16264626:16266660:-1 gene:Et_8B_059294 transcript:Et_8B_059294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAADMAAVADEEACMYALQLASSSILPMTLKNAIELGLLDVLQEWARKSGAAAASLAPEEVVARLPVAPRNPDAAAMVDRMLRLLASYEIVKCEMEEGKDGKYSRRYAALPVCKWLTPNEDGVSMAALALMNQDKVLMESWYYLKDAVLDGGIPFNKAYGMTAFEYHGTDPRFNRVFNEGMKNHSVIITKKLLQFYTGFDGIGTLVDVGGGVGATLHAITSHYPQIKGVNFDLPHVISEAPPFPGVEHVGGDMFAAVPAGDAILMKWILHDWSDAHCATLLKNCYAALPPGGKVIVVECILPVDPEATPKAQGVFHVDMIMLAHNPGGKERYEKEFEELARGAGFAGFKATYIYANAWAIEFTK >Et_2A_016390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24131815:24133822:1 gene:Et_2A_016390 transcript:Et_2A_016390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRRGGGYRRSSDENKRICYGAGETTESDEGHFGDVYPKGVWRQFHKKVSRKLSRNVVSLASFNGGTRLFACTGFFIDWNESQDRNASTILTSASLVGDSDLANKIFDGLRIEVLLPKGQRVDGTLMHYNLHYNVARVSVKNYTAASPAILKHKKSPSEVIAVGRRFESGDLMASRGELVGWSGSLDCDILQYSTCKITKRTSGRNGDDTVCMNRRVAFTSLLCNANNPFLTRLRRMGWQARLMLVNDVLRRANGLMEKVDYYDDVVYRLGDYRDPQGELSMADITAALVSLT >Et_7B_055337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9476108:9481460:-1 gene:Et_7B_055337 transcript:Et_7B_055337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYVTADSSRQESETQGHEQEDRLSKLQDDILLNILGKLELLSEAARTCVLSKRWRHLVGLHSKIVLDVAHYQSEIGGSECTLEELVQSNVSLVEAAKSVLAHKSHHTITHLRICFYLRYEAFDIVRSVNNAMSNRGVTRAEFKILTETHGKHCSPDDMIVYGRRFMTLFDSCPCTFGGLTDLYLHNLRLGESDIPNVFGTCKKLEYLRLQNCDAGIRSVIQIAHSQLAELTIIACLFERVELKWLPRLTHLTCQTWLPSNGSCPLSFGYVPQLWALILSNMASTYHHNVKLSELLSNVVIAELDLNFQCKKNLQMVKLRFVHDECDLTWTMFFLQSSPSLKGNNHTCLFISFVSTKEKFIRYIRQVMESAVNLELVSLLKGRLCGRCRYCPSTAYPQTDEEREQIRKQLSDWRSLGKLPDDVLLSILKRLDLRDAVRSSVLSRRWRHVPSVLPDIDIDVEYFINPSGVDADGFKSTLSNTARGNMAVARAANSILARRSDQPINNLSLTFFLRNESIGILRAAEDAMSARSRGVVAAEINFLGEKTDILCTDGDMNRQGRRFLSCLSACPRAFAGLTGLHVESVKLRDSDIPSVLRPCQKLERLSLLNCETGRDRPLVLEHSRLTELKLVSCNCDGVELKWLPKLAQVICESWFPSRHGRPMLFGHVPQLRRLMLTTAGNAQYTTLKLSELLVDHAMLGELHLDFRSARVSNHECIRMDEWLRKLDGVCTKVNITWELSDFKHSNLAVLDVTGFQPDDKFVGYIIRVVKAAVNLEQIALHDDWSSSTPVSPRRCSTYVTYLHFAAARD >Et_3B_029471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25331301:25335083:1 gene:Et_3B_029471 transcript:Et_3B_029471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGHSEDEHSSFAERHPNAADCIVVTLASIVLARIPAFSNFYIVHSFRQPEFWVRPCSHRCGDRAHLQLHPPRQLRPWLPRHAVCGKGGSVVVVYAGVPIAHGDLPEFCAKLDVVTSVPVVATSEGLGLPDELFDRMESQRQRNERVSLAVHVRIDKLTGNRGRPVQLWCTAILHGQPYDWYRIGSLINSIAFDSFKLNDPVAIFYKMCSFLMFWAGLQNSEEGKEAIKRGVKHLQKRAREVQEQADGASVAMPFSALQSSW >Et_5B_043553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11746990:11747638:-1 gene:Et_5B_043553 transcript:Et_5B_043553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLELCDVNMSLTDDMLSSISRMSCLMHSGLGIEPGADSELDLESISPLPLKLQKLALTGKLARGKVPSWFCSLTSLVQLRLCGSHIAQDSLVLLAALPRLVNLSIVTAYHEKNMIFAQGSFPKLQKLTLEDLPNLNHIDFKEGCLVNLRDLVLGFCSQLTQTPQGMESRAYAELGIVWNAKRVYKLKEQNGGDAAYHNPATSDFYQAPRFLRL >Et_2A_018607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34967768:34980728:1 gene:Et_2A_018607 transcript:Et_2A_018607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEGQPRAGSERTRLGVYHDVLRRLRDAGATEALAPDFPDKLWAHFHRFNFRYAIDVNVDRAEDVLTHMRLLDKATHPENQPAFSVRVVQVPVPTEDDASEPDSSRSNPTEDDSAVIPRRLAAHPEPIFGSTQNLKALVRQASSKNLLDDSVALDALLRPMHEITFASNDRPKGLTQLSTLLGKLNLDIKEAHALSTNDGYFLDIFIVVGWDHKETQQLEEALDKEIHTYKPQIPSTSSCWPPELAGKQCGTNTKDNHIDVPKDNTDEWEINFKALKFQDKVASGTYGDLYRGTYFGEDVAIKVLKSDRLNENMENEFAHEVYIMRKIRHKNIVRFLGACTKPKTLCIVTGYTAREAPAEAFCHYTLIKVADFGVARVKAESGVMTAETGTYRWMAPEVIQHKPYDSKADVFSFGIVLWELLTGKIPHEFLTPLQAAIGVVQECIRPVIPKGTNPKLKQLMEKCWQQNPINRPDFTEILQCLDGIIEEEGEDVDNESCTTKPPLSVPLSSCFASSIYSVERKVLLVTP >Et_5B_044725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5134356:5136530:1 gene:Et_5B_044725 transcript:Et_5B_044725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSFGPEFTLGMETMFKNFSVGTDHQPAFNEYIANTPDLNLGMDLAVTVLPVGPWANRGSCLNLPEKMARCVETFKTFFNARNLHKKLTWMYSYGTCTVTAKFERKTIDLVLSTYQAAVLLLFDECDTLSYSEIRTLLGILNIDAESVLHSLSCGRYKILNKEPASPKVSHDDSFKLNLQFTHRSRKLLIPQPLNDLQNEKKILGDVSKSRRYAISCALVRIMKSCQKIHHQLLVAECTAQLSSRFQPDIKDIKREIESLLKRDYLERDVEDANPLRNPPTMAGFWARLCREWTYDGLCRAAEWTYDGLGLFRLESDADASLN >Et_7A_050591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3293303:3293578:1 gene:Et_7A_050591 transcript:Et_7A_050591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRHASRILFRAAQAVRVSRQPATRPMPGAVKPGAQSSSGGGGLTGVSAEKAVAMETARRRRAVEKAEKAVHLVCWGPN >Et_1A_008322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6441277:6444847:1 gene:Et_1A_008322 transcript:Et_1A_008322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKDSTASAIAKYEALAPSLPRADGIRGAASYYKHGGFWYPSHLLAPMLAARDTFAARPSDIILATMPKAGTTWLKALAFAVAHRGRHAPDDPRHPLLGSSPHDLVPFLHSLYERHRSVPPAKLLDAMPAPRVLAVHSPLSALPTSVADSGCRVVYLCRDPKDVVVSLWHYLGKISPADCSVGPISKVVDRCCNGVAPLGPIWDHMAEYWKASVERPEEVMFLRYEELKEDTVGSVRRLAKFLGCPFTDEEVARGVPEAVVALCSMERMKNVEVNRDGVHGIGWSVFKNSAFFRKGEVGDWKEHMTPEMASRIDSVYEALASSLQYFDGVGGATPYRKYGNFWYPAHLLAPMLAARDTFVARSSDIILATTPKAGTSRTTWLKALVFAIAHRHRHAPDDLHHPLLSSSPHDLVPFVHTFYEDRHSIPRLDAMSTPRVLALHSPFSALPNSVVDSKCRVIYLCRDPKDVVVSFWHVKEQSKSYI >Et_1A_007231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32360775:32365459:1 gene:Et_1A_007231 transcript:Et_1A_007231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVTEKGPDLENIVSCMNIFHLLTQREVSPRTKHQAKRIWSNPPKCDGGSVELRFADTDAKQDIFSWAESQSLHHWSAKYCPLVPPPRSTIAAAFSPDGKTLASTHGDHTVKVIDCHTGKCLKVLSGHRRTPWVVRYHPLHSDILASGSLDHEVRLWDANTSDCIGSHDFNRPIASIAFHAWGEILAVASGHKLYIWNYNKRRESSAPSIILKTRRSLRAVHFHPLGWLMAQNYTDLHPSLVNNNVLEDLSLGGSAEINNMTRESQHMHNSGHPGTSSLIPVTAGSTRGSYRRYALRSLPGVGSSLLRPQIDEAELHNTASLGVGSELATSLFAVGSTELPCTVKLRIWRHDIKDPCVALGPEACRLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDRGSQMPVQYDSTGAGSSPTRHPLPSHRVIYELRVYSLEDATFGNILASRAIRAAHCLTSIQFSPTSEHILLAYGRRHGSLLRSFVMDEDSNGIPVYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSPGGGIVYGTKEGKLRILQHSGADVTSMGLNCFIEENMLEVVS >Et_1A_007734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37692867:37697151:1 gene:Et_1A_007734 transcript:Et_1A_007734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KKIGRKSQAPASFPLRSAAFHLAATSPSARRDDPSGDHARDAASCSSLALPRTALPFSPSMTSSTAAATSSLVTKPSSRAAGRSARPATSARMAAFIFCSAYSGHATIGTPAATLSSVEFHPQCDTNAAVAGWDSTRTCGAHAATARPRPFVLSRNPSGSHASGSSSARRTVPRAQGLPSRHGMRTTQRNLWPLDSSPAASSAVCSTDRAPPVPKETYTTEPSGWRSSHSKHADSSASSPEELDRTNGPTGYTVGNTPSSASRLNDSAMAASISSKLLTKNPARRDDPSGAHARAAACCRSPACLLMARPFSVPSISSLTAAATSSLGSTVVPSSSGRSAIPTLSDSTAAFIRCSACSGHATMGTPPATLSSVEFHPQCDTNTAVAGWRSTRTCGAHADTARPRPRVRSTNPSGSHASGASSFSSREGVFFPMEQLVPSRLGMRTTQRNRWPQLSNPAASSAVCSTDSAPELPNETYTTDPVGCRSSQSMHSDFTGSSELDRTNGPTGYAGGNAPRSAACLAASTLAGSISNPCAARYRRPSSMMCAYTGRPLSLNPSGRSARRSDTRPGSSRGSGRPRYSPGLLTPSLSSTAARMTASAVRLLGKKTYPGTPSSAASGSVAEQKKSGTSAASGARDATSRSRARRCGTERRISSRSTVSMGEPAGRSSSGTAGSAAVATSAAEWASGAEARPVKVTTVAARPWCATSRRASSAIGMRWPAPGLETSTTCGRGRWAGCRAPVPAKPDDAIRSAAAGNRARSATCAVMCV >Et_4B_038260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27628808:27631847:-1 gene:Et_4B_038260 transcript:Et_4B_038260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLAVEAPAAGETAATAVAEAPEQKEETLEEVLARHRLRASRKSVLRIVGLGNAFVETINFPPWCVLEDSRIGLVTGNGVDGAFHDPGTASPFKSRDRTGLMARFWLNCGPISAETLHGQRLHRLLQRAWGREAAGGSGIPREGPQQAATAPSRGREGCRHRSGGVHSPLGMRDGACLDEVAALCWKPKQDVGKEKSKLQDKETSLKKAAAKGSKAEQKAKKKQVEEEISRLSAELEARHAAELASFGYKPSGSSDKGNLDNLVKAIAGVSVSSNSESAKPSKGARRREKKAKEEAAQEQRIQEEQSNLVSDRMMEDEKLEKKLEPLGLTIQEIKPDGHCLYRAVENQLSLHPNGTTHSYQELRQMTAKYMREHAADFLPFFLAEGKVETRPDPSESFERYCQEIESTAAWGGQLELGALTHCLKKHIVVYSGSFPDVEMGKEYKSGSGVNSSVKLSYHRHAYGLGEHYNSVIPT >Et_2A_016112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21131299:21134419:1 gene:Et_2A_016112 transcript:Et_2A_016112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPRKRKSARGACSLAGSLHDAPAARKRTCREPKPRPEKKKKPPAAGDADEASARGGGGGVVMTAPPASGRAAPDSPGRGLKRKLGCIESATRMGRKKRLDSEYELGAEIGQGKFGSVRICRAKAGGEEFACKALPKNGEETVHREVEIMQHLSGHPGVVTLKAVFEDADKFYLVMELCSGGRLLDEIAREGKFSEQRAAIVIKDLMAVVKYCHEMGVVHRDIKPENILLTKAGKTKLADFGLAARVTNGQKLSGVAGSPAYVAPEVLSGSYSEKVDVWGAGVLLHVLLLGSLPFQGGCLDAVFEAIKTVELDFNSGPWESISGLGRDLIGRMLNRDVSSRITADEVLSHPWVLFYTECPLKAVTANLCVTNKIVAPRIPWDRIRSECELSSSDSSSQRSEDQGECGLVDALTAAITRVRISEPKRSRLCSPAITIQQECSSNLKSNLCTAF >Et_4B_038566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:303640:307852:1 gene:Et_4B_038566 transcript:Et_4B_038566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSRAVRRAAIQPVVLEDLFGEDVVEVDQEEGEEQESDDDEGSEEEEGGSSEGSDSEDEDEEEDEESDEEDCAPSAGPRVSEIAAAVGAAERGNTPTCPVCMEAWTSEGAHRIRRYKQKDIINLYAPEVAIPNNELEKVRSEISNLREKNVSLEKTVEQRIVDAGSSKRQNELFLDGARVMSIDASNQIILASGRATSVCGEHVLTKISMLSTSGVSKVRLPPDTKAVKDMCILPGGSAIFTSLGRRLSLFSMTTDSIVLQCDLPAPGWSCTAHGSGSHLIYAGLQNGMLLAFDIRQCARPLCSMAGLSTHPIHTLHSVVDNSGSRKVLSASVRGPCMWDADGNQSRPNLLTGMEDQRICISLACAPPSSDLLVASFRPKVVPTSEDVTASQGYLLSQTPSRSGSGKLGHHALIRRTSNTSFAEETTCYASVSEIRMAKSAVIPYGNDQHLFAYGDESLRGVRTWQLPSFAVHADLSPHRQPIFDLRYAESSGGGRYLGCVSEEKLQVFRVR >Et_7A_051384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17762249:17768048:-1 gene:Et_7A_051384 transcript:Et_7A_051384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEWWLASVEGDDRKIKVAGFYERNQADVEFSAPIAKRHQGCILETEDGVVVDIHGTFNIDRTMNNGFTIELCQEFLLGFPYWWENWNVRYPKVMASQTGAQPSSSRTGKSHEDSTRFYLEQFQLGQFVSSVGSIICNAECNGEPFSSSDAEAFPDCSRLPDGTPRFEEYTQDDDIPMHENAAASNCNSGRCADLCSEVDNLETVLIGASTSKERSLDGIDSNVPPAPAVERAGANNASRRNKGRQKTPVASSKRQGCMKKPQCIELNEKAVPGEATPAVAHSNVQSTEEVSFNCYILCPDKVRSHDGIDSNVPSAPAVECTNSESNAGADNASCRNKGHQKTSVASSKRRGCMKKSQCIALNEEAVPGEAAPALAHSNVQSSEEVRSHDGIDSNVPSTPAVECRNDESNAGANNASCRNKGHQKTPLASSKRRGCMKKPQRTALDENAVPGEASPALAYSNMQSSEKGDQSPLTCGWPKSLSVSTPESLKLKKTRSGRVVVPTLDTGCQSIAYAPKEWSLVNSTSNHTRIGPLCSCLFLAQYARNEVHPLPSSEGGGFVR >Et_10A_001131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21444874:21448552:-1 gene:Et_10A_001131 transcript:Et_10A_001131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLPESTVGEGEVMNKAECAVCLETYEAGATLRTMPCSHGFHESCIFGWLRVSRLCPLCRFALPAETEEEDVLLRPHRASPSSFPLAPSIRVSSVSIPPTGIMLTVPIVNHHLDYYDGVGGGEYDDAYRNGGFGAVGASCEAIASLPKAECAVCLEGYEVGDALRMMPCKHDFHERCIVDWLRVSRMCPLCRFKPPPAEETEESDAGEEEDGDGDRLAAVSVCNVLIDGQNEIDCSCVRAISLIGRAVDMTRADNQVGQECCI >Et_5A_041572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24560646:24567700:-1 gene:Et_5A_041572 transcript:Et_5A_041572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPGDAPLTAASNIQPFFVLHKASTAFSAPSSRGRRRIDASQPSSPKPKSAKRSRDVDAADEDSSELHERLRLEAFHRTWSGIQSTIDEVLRGINLKLFDQVLHWVQESFYAIRAIAKPVHTEIQQPYPLLTDVVCRRIPTAFRMQSLLMTPQRFEISRSILSAMDATWPANELSTKNGVGGCFRNLLRQLLSDVPDVADVSALASWYCDTENYDQPIIIIIDDLEQCSGDVLGELVMMLSEWVVKIPIFFVIGIATTLDATRKLISSEALQRLEPCRLTLGSPSDRMNALVEAILVKPCAGFCISHEVAVFLRNYFFRHDGTITSFISALKLACSKHFSIEPLSFLCVGMLEADCEEFWHGKFEVLPEAMRKYASGLPSCTSGKNSSNSSKNVAKGLSELLKAHKDWSAVLLCLYEAGRHDKVQLLDIFCEAVNPDLQTQDSFSRMTCEKVSEVGFIEQAMNTVRYLPMNTLSQVLDVWSIHLNGMSEISDRLKELQSATVGADSLRVTKEKLTRRSTSSIGNGKVPLNDKAAVLLHDVNRKYLVPVESLPFHEIICFKNVGILQSALIGNPRRMVQLDLLKSHSHLKCSCCSRSGTALSGSLHDTSIMCNLAQEYGDVINLHDWYISFEGIINRTESKAKRKSHSSPSKKKSKSTPSEGDAMIQAKFCRAVTEMQITGLLRMPSKRRPDLVQRITFGQVIGFVVCGAEARAPCGFHLGFAAADSTEDPGQL >Et_9B_066167.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:5521909:5522796:-1 gene:Et_9B_066167 transcript:Et_9B_066167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVYPAAKPNPPPAAAAAAGSFPAPKPQMYQRPIYRPQAPAKRRRRSCRCSFCCCFCWALLVLILLALVAVVAGGAFYLLYRPQRPTFTVSAVRLAALNLTSSATAPALTSSITLTITARNPNKKVVYLYDDLTLTAATAANGVPLGSVTVPGFAHQAGNTTVLAATLAENAVTVDPSAAGSDIKKSGSLAVALDAETRAGVRVGGLKTKKIGIRVHCEGIKVTVPTKPAVASKKVVVKGKGGGKGNSTAIAAAPAPAPVASADDAAPATPAVSTAAHSCEVRVRVKIWRWTF >Et_10B_003441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19876164:19877677:-1 gene:Et_10B_003441 transcript:Et_10B_003441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLPDDMVANILGRLPPCSLAASRCVRKRWCAIIDTRRLLRADLLPLHLDGFFFLGPELGLDLGQTYFFSRPSAGRRICGHLSNLVDEHDDRWILDHCNGLLLLWERVVNPATRQWVALPPFPELPDSLFESYFLAYDPLASSPHHYEVLLFPTHRIPLEADNHKYRSSADQCCWPPSPFTMHVFSSRKWRWEERSFVREGTAIADMPRSADYSQEEQQLRHTVYLRGALYVLCQNGSVMRITMQNDKYQMIKSPAENKVGAAYLGKSQNQVFYASLSEENRWPRFRVWLLNESSSSMEWVLKNDISLHAMVENFPPDYSTARHITPWILNYQKDVSQEDDPEWDFEGGTVLDETDDANAITTCYKGILFLGFHPYKEIAFFLVSFSTVVSYHFNSSKVQELGILNKGIVKSFPYTSCWVGELFENN >Et_2A_016463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2522935:2527900:-1 gene:Et_2A_016463 transcript:Et_2A_016463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLRLGTFALLLVVVSAALLLPLTSADDDDYKRCERVVKRWADSAAGSEKDADKLSLKDLLFFLHIPRTGGRTYFHCFLKKLYTNAQECPRSYDKLRFDPSHPDCTLVVSHDDYSLLSKLPSERTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNISSAMQMTNRVLKKSRAVSTLDIWPWKYLVPWMREDLFARRDARENDKVHSSKKVNAYDVEDMVMPLHQYINDPVSHEIIHNGATFQITGLTNNSYYDGAHEVRHCVRKHPDLGRLVLEVAKNRLDRMLYVGLTEDHEESARLFAHMVGAQVLSQSSTLNLDIKEDLPSGNDSHTSMLDPEDEDTNEHLNSTHGWQNSEAPNTTDDHGKGNMTVGKLMEAYETCIAKLRNSQSNRRKISLKKVEGANFSKEARKLVPEAVLKQIISLNSLDMELYEHAKKIFTQEHLMLKEHAMVGQHRQLAEQKGWISVVCNEGICSPWMVVLLGLGITMIVVFVSVVTTRRKTSKLKDLKETVVHYGK >Et_6B_049593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:758971:760213:-1 gene:Et_6B_049593 transcript:Et_6B_049593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMATSSSLLFCSSPTRTSSSHGFRSFLVLPRLPCNSYSTFCDKQQHLICLVAPRVNIKKSSFRSSSPRCSAGATNVGSSVRWFLDPAGDGDWRHIGYKVPRPGAFEIASDSVTVGRVADKADIVLPVATVSGTHARLEKKDGSLLVTDLDSTNGTYINERRLVAGFPVPVDPGSLLIFGDIHLAMFRVSNMVVEVPSDTEGAEQEPKAEVVSATIEETTS >Et_7B_055519.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:1357619:1358089:1 gene:Et_7B_055519 transcript:Et_7B_055519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHATKLPSRAAASSSSSSAMSAQKLSHTIAKAPPRKIRIVHVLAPEIIKTEARHFRELVQRLTGKPSPSVVTGAAASPEAASSPSPPASCDTAGDEGSGAGFAAVVKAEAKEEAESSSGGGYLRALGEEDGSNELFFQGLEDFLFSSCNMDGFSF >Et_5B_043595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12309766:12311497:1 gene:Et_5B_043595 transcript:Et_5B_043595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRVVWVNGPIVVGAGPAGLSVAACLRARGVPSVVLDRADCVASLWQRRTYDRLRLHLPRCFCELPGMPFPDDYPEYPTKLQFVDYLERYAARAGVEPRFNTAVTSARYDAAAGLWRVAAEDVVSGEATEFIGRWLVVATGENAERVMPEFEGADEFAGPVSHVSEYKSGEAFRGKRVLVVGCGNSGMEVCLDLCDHNALPAMVVRDSVHVLPREMLGVATFSVAVFLLRFLPLWLVDRILVLLARVFLGDLEKLGIRRPSRGPLELKNSRGRTPVLDIGALARIRSGDIEVVPGIKRLRRGGAELVDGRVVDADAVIMATGYHSNVPQWLKGSDFFTPEGYPRVPFPDGWKGESGLYSVGFTRRGLSGVSADAVKVAQDIAMAWEQQTSSSR >Et_3A_026261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6041832:6044590:-1 gene:Et_3A_026261 transcript:Et_3A_026261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTISSACSPLRLSGNTAGPGRSPTPRPNIVAAGRQPAVAGAASARLHAVSPSPSPPAPVESFGFDALKETFSVDVAAAEARPLDVPLAAPFTIASSRLEAVSNVAVRVELRSGAVGWGEAPVLPSVTAEDQPAALAAVGRACAALKGAPAVPLGALLRGVASVLPGHDFASARAGVEMALIDAVANSIRIPLWRLFGGASDCVTTDITIPIVTPNEAAQLAAKYRGQGFQTLKLKVGKNLNSDIEVLKAIRLVHPDCSFILDANEGYTAEQAIEVLDRLNEMGVTPVLFEQPVHRDDWEGLRDVSIVAMDKYRVAVAADESCRSLVDAQKIIHGNLAHVINIKLAKLGVLGALEIIDAARKANVALMIGGMVETRIAMGFAGHLAAGLGCFSFVDLDTPLLLSEDPVYGGYEAFGPLYKFRNARGHGGFLDLENAGLVCHSSSLLQDCIIAMFPIKILYTYR >Et_1B_013274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:749716:751946:1 gene:Et_1B_013274 transcript:Et_1B_013274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAMDHDGGGGDVVTPGELLGNSSSLVAGRGAYADGRSVRASVTGHRRIVPPQPGSSDQRSTVEVVGHKAHGAVPQPGSVVIARVTKVMARMASADIMCVDSKAVKEKFTGMIRQQDVRATEIDKVDMYQSYRPGDIVRAMLSLGDARAYYLSTAKNELGVVSAQSIAGGTLVPISWTEMQCDLTGQIEQRKVAKVMMVYNMSMRLRRHQ >Et_8B_059195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14997792:15003424:-1 gene:Et_8B_059195 transcript:Et_8B_059195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYARREKRSSELNYKSLALPTASNIGPYGSATKDWENINRCKAQLLNFLSSLQHPTERSVISDKMMNGEMTNRSAEQKDVIEISDDEDEDSAGCTKLAPEINKQLTTSETGNVLKLMASNRRDQAYESMLVVLQKKPEEERMQDLVAANIAEKKAEAQVFLSLPTEKGRKRSDPSLHAHIAEDSATVPRKRKRKCDPDPDPAAADCSFDLQKVDISSEADMFLEEEEKPKSESDGLEDYWKDFALAVESTKLDAVDETANEKEADSDRVEGIDCNHDIRIHEDLGHVCRICGMIVRRAESIIDYQWKKAARKRTNYYEGNSKEADEIDYGPVKLSEDFMVSDIAIHPRHAKKMRSHQLEGFNFLVKNLVVDKPGGCILAHAPGSGKTFMIISFIQSFLAKYPSARPLIVLPKGIIGHWKDEFQQWQVQDIPLYDFYSVKAEKRVEQLEILKSWESNMSILFLGYTQFSRIVSGDGGGNVAAACRDRLLKVPNLLILDEGTLFQNHVREVFTILDLVRPKFLKMESARPIVRRIMSQAEISGRPKGLADSAFTSAVEDTLLHDDNFKRKAHVIRGLRELTKDVLHYYKGDILEELPGLVDFSVFLKLTPKQKDIVHKLRAYEKFKRNVVGASLYIHPCLSEISEVNAEDRNNSLTDKSIDSMIDSINVRDGVKARFFMNILSLAESAGEKLLAFSQYILPMKFLERLLVKMKGWHVGKEIFMISGDTSSEDRDLAKDQFNNSADAKILFGSITACGEGISLVGASRVIILDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAAESPEEKSHETTLKKEVIPKLWFEWSEHCTTEDFKLDPIEVDDCGDELLDNKAMRQDIKALYKSWNKNPQPDKPRMV >Et_10A_001368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23757734:23764795:-1 gene:Et_10A_001368 transcript:Et_10A_001368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEWCSAGLARDSRGSLEVFDPSSSIAAASSSFLRHPPKFLRGEESLAEEDAVGRATQRAAEWGLVLHTDEHTGRPQGVAARSSGSSLDHTGGGAAVPRVSEELRAALSAFQQTFVVSDATRPDHPILYASAGFFNMTGYSSKEVVGRNCRFLQGSGTDQAEIAKIRQALAAGSNYCGRVLNYKKDGTPFWNLLTIAPIKDEDGRILKFIGMQVEVSKYTEGNKDTVVRPNGLPESLIKYDARQKDQARSSVSELVLALKNPRSLSESVNSTFQRKSQESIGVSSKEVPGKRNSESGSRRNSRTGMKSSLQKISEVPEGGGKTRKSGLRSFMGFLGMSHGNVEKNMVKPRDEDPLVDSDDERPESFDDELRRKEIRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLQLTEYSREEILGRNCRFLQGPETDRETVNRIRDAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTERVPDTAAKDGAILVKKTADNIDEAAKELPDANLRPEDLWANHSKLVSPKPHMKDTAPWRAIQKVLGSGESIDLKHFRPVKPLGSGDTGSVHLVELLGTGEYFAMKAMDKSVMLNRNKVHRATAERQILDIMDHPFLPTLYASFQTKTHICLITDYCSGGELFLLLDRQPTKVLKEDAVRFYAAEVVIALEYLHCQGIIYRDLKPENILLRRDGHISLTDFDLSCLTSCRPQVVFLPENIDKKKRRKNRSCPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDIRFPASIPVSLAGRQLIYRLLHRDPANRLGSYEGANEIKQHPFFHGINWALVRATAPPKLEAPLFFSDGSGMGDDPNARHTDTVDTF >Et_4A_032294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28777085:28777843:-1 gene:Et_4A_032294 transcript:Et_4A_032294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGVGEVATACVDGKDVRLFPCLFCNKKFLKSQALGGHQNAHKKERSIGWNPYFYMPPTTTHNAAPPSPTAPGVPYAGVGFVGVGPAAGTPAAAAGAGAGAGVLPAHAHAYAASHHHGYAAAVPPPFPIASHGSSAGGAGGLQYYEQGGAAAAGAGAATTTTTAAASSTSTSASSA >Et_9A_061902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17674541:17677279:1 gene:Et_9A_061902 transcript:Et_9A_061902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAMARSPCSTVSASYLSPAPLLPVRLAVRPRHVHALSLPAARPLVARCAAAGDKVEAETPIEKRFAAFPTVIDINQIRDILPHRVIDYKPGEYAVAIKNVSINDDFFQGHFPDRPIMPGVLMVEALAQVGGIVMLQPEVGGSRENFFFAGIDKVRFRKPVVPGDTLIMRMTLIKLQKRFGIAKMEGKAYVGADLVCEGEFLMATGSE >Et_5B_045224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12615555:12618513:-1 gene:Et_5B_045224 transcript:Et_5B_045224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYERAEPLDAWKEEALNFLDVENDVNLILADYFTPSSMTGYDLLTKVKEWSKLKHIPMVITSTWDDLDMIKKCMDGGAKGFFLTPLKFEDVPTLLRRKTRAINRAGSLLSIK >Et_2B_021093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26451394:26453700:1 gene:Et_2B_021093 transcript:Et_2B_021093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSSIFADELLPPSPPSPHSSHHHHHPAAKRARRSPARRREALLHQLFALFPDMDPQLLEKALEASGDDLDSAIKSLTELRLESTDAILSSTGLTPENGHPAAIHASVEGIPNGGLDAVTEHPPAVDNHQTTNNGSEWVELFVREMTNASDIDDARARASRALEALEKSILERAGAEATQNLHKENMMLKEQLTIVLRENAVLKRAVAIQHERQKEFDERSQEVQSLKQLVLQYQEQVRTLEINNYALTMHLKQAQQNSSIPGRYNPDVF >Et_8A_056647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14303810:14304238:1 gene:Et_8A_056647 transcript:Et_8A_056647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIATSEKDDPRFSRRNHPITSNTSQQVKRSDPRVVFTEITKKLPKWVPNSSRTASFDQSAAKLTFLRFFVREPSSYIKHIATSEKERSLGRFGRNNTEITETGPEQL >Et_1B_009935.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11995827:11996066:1 gene:Et_1B_009935 transcript:Et_1B_009935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQGGNCFYCSKKESTADSIRKTHSTVSHHRMAFEKARTTKTETSKFKNLISASYKPLSLTIPIGDGFHESLHLSHCHL >Et_6B_048863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14727051:14733677:-1 gene:Et_6B_048863 transcript:Et_6B_048863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAAKTLGLDRHQRQFLCRPRHPPTANGLVVAPYKHELKKEGKHLLQSREYALSSCVRTRPVRWGKQSECGRDQGSWDGNGMEVRAGLRFSAEAQQGSTTAALGGRGSKNGFDSERNRDPKTQPEPKLGRPRNPSRQPVPDPSLAGKLTHQSFAGVAPVPSYSVVPLTVFVSVAYFPGWARGLNRREKGGCTENKERDRDRVVLERENKDKRKLSDAFGQAFNGFSQIMGNLLQAVSEVVGPLESTQRQSKRRRVRFEDAEEEDEFSDHASDSKDENDFVGSDTSISEEEDNDLDPDDEREYLEDQQEEQGHVNGHAEDQRHVQLQMEEHEDDEDVANVPPFIRRPGRADAIMKVTEPQQADQVGGQPPQADANEVKLDGQQVPQAEAFQGQPQVDASQQHPPADHVHQQQPQVDVQIRQSTVNSSDCTPQTIVVQPHVPSTSQIKVFSRKRRMTRLRSPPPKEEIVDLDSLESVGVDKNPRADDETTTELFLVHNLSSSEDEEDDCSIVDIKTANHTPFSVPRISENQSKLNIRSDPKKQHFSPKGNIPVCDHSDQAPSSSHAAASSTTWNFKAPSSFEIHDIVEEQILEVKVQREVNPSHPSDPNESFLDGPSQVVYGKLEDDAYRRVEIKKRKLGDTASDGLQDAAKPTLEATPPAAQLNVKCTATASELKSNQYTSQQFKNLVELQQALTVPISTLSSGTLSYQPAPRRILKPTTAMQPPFINEGEKLSFKCSKEVSEVQVIINFRNFWVTLRELSDSVKIGNELLSPVAEVAICTLNVFVEDQNTRIRKQVLPLRIATFLLSNDLNRPEVKQVFRKVDNPLDGCDMVMFPVLQRWKPQGLKEIGDYFLLVLNLRDQRFEVLDSMRTLQDKRLKDCCTTLMDAIKSLWEAHYPDTRKKIQNYDIKEIQVPRQTTIIDCGFHMLVHAEHWDGRSIPSFRGFHLRNIRKLMLYKWITHKNNDVDWKEKLNLK >Et_8A_058318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4844677:4845418:1 gene:Et_8A_058318 transcript:Et_8A_058318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLFVLPEALRREYIVNMLHGKKRTTGWHKIGYYAFSAEEEREDSSWTETGENGHCNNQSNSVFLVSTFHRAS >Et_2A_015086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29203277:29203789:1 gene:Et_2A_015086 transcript:Et_2A_015086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFDTVARLKELLQDRTDGALPAARTAVFFDKAEMEDGKTLAEYDPPVDGMDVCVVVRQPPGGGRNNQQQRVAVKVKCGARAVALEVGAMDAVRDLRREVERVAPHLHLPPRDSGGGGGGGYFFVYKQNVMEEERTLRWHEVKSGDTIEIFNGSVTGGA >Et_5A_042622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22511442:22517722:-1 gene:Et_5A_042622 transcript:Et_5A_042622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPSPCPHTAATSPHAAATRQLAARRAAATRPLAHGAPPRLATSPRAAPPRHLAARRRRDAATSRQGKTSTVRGAGVRDPVAGGADEGHEEGAVIAAAEVVAGAARGGGAEHRRVPVDELEIREGQLPLLVRDGVPDDEGLVVGAVEEDAAVADVERDQIRVVGRARAEGGGGDEPRGVGAFVVEDEAEHGRRAAVEVAVPAEEGPVNKYSNFILYSLGVEDRRSKLHRYKSKTWSVEAHVG >Et_2A_014670.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33745143:33745732:1 gene:Et_2A_014670 transcript:Et_2A_014670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGRGIAVVFAVTPGQAAHAGDQKQEDPHGDADPCDDSPGRFGRVISWRMWWRTPRRRLCLRQSWRKNKVWRQETGH >Et_6A_047062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24748890:24750730:1 gene:Et_6A_047062 transcript:Et_6A_047062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTFQLAPDLPTVSRLCFGTMTMGEQNRAASSLRLLDAAFDAGVNFFDSAEMYPVPQRRETHGRSEELLGHWLRARRAPRDSVVIATKVAGPSGQMTWIRGGPTALDSQNIAGAIDDSLRRLGADYIDLYQIHWPDRYVPMFGETEYDPSRQYMSVPMEEQLEALGRAIDAGKIRYIGLSNETPYGLMKFLQLSNDFQLRSKLLTLQLCRNFDSGLAECCHHERVSLLAYSPMAMGILSGKYHLSDDCGPPDARMNLFK >Et_5A_042428.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1034927:1035799:1 gene:Et_5A_042428 transcript:Et_5A_042428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCCVECKPCTGGGGLGALFKCRRPTFQLRRAFGKMRGGHRRRRRAGSFSSVRAVFWPLMSMRSDADARTDDRPPSASTDDDSGARAPSPSLDDTPGGGAASTTASRVLALQARLGEADDHEPPPQPQKAVVATASPAHAPSPPPPPPRDDAPASTTAARVLALQARLADDAPAAPLMKTAATTTAAKAGSIRVQAVREHAKVVDDEREVDDVEGACRSFERHLMEMLVDERKVVDLMDVEELLVCWDKLRSPVFVQLVGRFYGELCMDLFSSDGCDADLSAEQEALTV >Et_5B_044405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23872372:23880662:-1 gene:Et_5B_044405 transcript:Et_5B_044405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLWSSVKVWGSGRIAGVFVLIRRAELGVDLLQGEEGRGGTAVVAMEAADYSGKLFVGGISWETDEDRLRDYFGRFGEVTEAVIMRDRSTGRARGFGFVVFSDAAVAERVTMDKHMIDGRMVEAKKAVPRDDQSIVSKSNASSIGSPGPGRTRKIFVGGLPSNVTEAEFRRYFEQFGVITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKNFHELNGKMVEVKRAVPKEQSPSPVTRSPAGGQNYGISRAHSFLNGFNQGYNPNPIGGYGMRVDGRYGLLSGSRNGFSSFGPGFGMGMNLESGMGASFGANSGFISSSNGRQTGSYYNGSSNRLASPIGYLGLNEDSGSMLSSMARNVWGNGNPNYAGNPTNANAFASPGSGGQVGINGDTWGGFSSAHGMGNLSSLGSGNLGRGAGDNSFGLTSGSYGRTNSTGTIGEPFPTSGNTYEANNPDTYGSNSIYGGTAWRYAASEVDMPPFGHDLGNGDPNVKSELSASYMGNYTVSNDQPSRGQLLFSTFL >Et_10A_000250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19980589:19980790:-1 gene:Et_10A_000250 transcript:Et_10A_000250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFFKRNNTLVPKSLGKFSWLHARPHGVIAIGSFVTMVSCPLMLGNESKALKLC >Et_5B_044423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2456568:2459457:1 gene:Et_5B_044423 transcript:Et_5B_044423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSTIRAKDMKQSSCIKTNYHTHQKAHLGSSKYQSRAPSLDLINRLPEACITEIFKRVENPEDRQSCASACWIWAKILVSLRADFWGPFSVHQAVHPCLHLCDIVDAKLAAAVIQINTKEMITDLELRCSPCGAVVAGVSRLTDKGIHFVTDACRNLKSVRLINCSSLTEKAAMIIASNCPALQYLMMVRSSITDDGVSQVAKKCNNLRSLHIEGSSYVTEASLRALVQDAKRLEYLVLGSCPKIGEDAVMSFLLDHPYLDKFELKDMMVGESQLSRVRQSSSSERRVCSLRQLRSLILVKCPGLHDLSMLNFSNIHFRMLHHLVIDDCRGVTQVGLMWLVGNLSNPMKLQTIKLARFYFSTYAELIKVLSLFSRTIESIILDSCDFGLVRPLGLRESEVQKCPKLKVIRLENCESVMSFFLEWVSMVCSGLEELRLIGFKADVKEHGISDYFPRIMHNNRITKIEVRRSCQLTDMDVCSIAKFCMETLQELILDECCLIFGHDPLAYSYIFPNLIKLDLSRTQVIDNQIRILLAHGYEHLQELNLMECYWITDSTLQILASFQLSLPKLERVNLTGCPHITQADVDNYQGPWEIKF >Et_2A_017634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4308840:4311489:-1 gene:Et_2A_017634 transcript:Et_2A_017634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYASASPNYPPVLRLRSSSSSWKIELSSSGRADGYIGASTGKSDARLPTAYGVILYTDDDEWSTWKKIGDEVLHIELRKWADIMVIAPLSANTLAKIAGGLCDNLLTCIVRAWDYRKPLFVAPAMNTFMWNNPFTERHLQTINQLGISLIPPVTKKLACGDYGNGAMAETSQIYAFVRLACKPRTHDASSSLVNPVRRQIENDMGQAKVKRMQTGSYQKKNANWWLADKRQR >Et_4B_036429.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:23751161:23751481:1 gene:Et_4B_036429 transcript:Et_4B_036429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RLQLIEARGTGRSYPNSHTNTSHTATSVNYSLSDEIKLKLVFCRIKLYREASPCFGCANPDKCYSTEEECRANCIVCNPHCTPQAYVEGQMLNEIMKNSTDLGGAR >Et_1A_007293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33049615:33052501:-1 gene:Et_1A_007293 transcript:Et_1A_007293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVRSSGSGYSDNILPNFADVKELWDSWEIHFLIIVSLFLQVFLFLFAGMRRRSSSLILRTVLWLAYLSADVVAIFVLGNLAVHASEPRHQLMSFWAPFVLVHLGGQDTITAFSKQDNELWGRHLLNMVTQAVVAAYVVAKASWPDPRLKAAMVLMFLSGISKYIERTLCLGVTSPKRLKGENLIRLSKFTQGVRQNFHVKAVTSDIISEMREILDKILKGAPIPFLDNDDGSDELGTDSSIIKDVMSVDAPLNRVHTILIADKLPDMLAEFLSSANHCRAYEYVGAWLVHSYQLLYTKFSLRMNFHNINCYFIRALARREFLLPDSTSVFKVTPILLYSLFHYASIPITLVLFTSAGKGDRLQTSRADIIVSYILIIVAIVLDVSSAVRLIFSDIRFSPPASILHITSYIQPAWSRRQWCEELAQYSMIKRYTVQDTAGMATIRQWIGRRFGALGVQLLDVTEVPITEYHVPIKEFILDNLLRFGIMKQWNIASSRGHLALQKWISTHQDPDYANRAGKTLEKSINVDFPTSMLLWHIATDICYYFGDNTSTHSNQTKTDKQISRKLSNYIMYLVFKCAVMLSPNSQVVHDKAHDEITELQGQQVPLGEMDAIRKLFEAVKEKQRQDSQVTKIQKHARYNAANSHLQELLRIARDLESPVLPRACELAQELIGINDETDRWGLIAAVWLEMLYYIAPRCGGAFHYEHLSTGGEFITHVLLLMYFLGPFLPPPTASAS >Et_10B_002504.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:12209458:12209577:-1 gene:Et_10B_002504 transcript:Et_10B_002504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPTLLRIFQIIQYPLILIALVSRLGLIVLVLEVLLFF >Et_3B_027694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12931292:12931745:-1 gene:Et_3B_027694 transcript:Et_3B_027694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQALLITLAVVAVLATVPLAQGLGERFAAALQRGAKATSRPCCDKCSISCTRSSPPLCKCMDTFPTGRHPGCKNCDKFTDSNGAALFKCQDLVRIYEYMSPHILIIFLLNLKGPLCGERPIIM >Et_8B_058708.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:17538614:17538859:-1 gene:Et_8B_058708 transcript:Et_8B_058708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPRVFSPASFITLTKVTLDRTLILVVSIGLSRLAAKKKSSTLTSLTSLQGKPLTRIAPTNQNIYVSKLKKTYYCIVTEV >Et_7A_050902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12089963:12092459:-1 gene:Et_7A_050902 transcript:Et_7A_050902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDDKSKIKKEIDGSLGKLKFAPKVPPKKAAKVVPKTEPIEDSKDDIVDKELLMKLKTSQITDPFARKIKIEDKRESAAKLPKEYADPWDYDNTDYPVTLPLRRPYSGDPEILDEEEFGESSGSRAQDTELTAAEQLGLMDQSDTPQLLFFQMPSSLPITLQPQSVAERDKGLEDNAEPANTSTKERRQPHSVHGMKLKDLPGGFMGKMLVYKSGKVKMKIGDTVYDVSAGSNCMFVQEVAAMNIREKHCCTLGEVSKRAVVTPDIDYLLGSVDKM >Et_4A_033053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17793267:17797174:1 gene:Et_4A_033053 transcript:Et_4A_033053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYHADEVEEMEDEYDMNEPADVMEEEGEEVYHEPVERDDEDEDEDQLHKLTDTTLADVRSGKDIQGIPWEKMAITRETYRQARLEQYKNYENIPNSGEEAMAACKSTEKGETYYEFRQNTRSVKSSISHFQLRNLVWATTKHDVYLLLHYSVLHWSAVSGVDTEIMDVHGHVAPTEKHPGSLLEGFCHTQISTMAVKDNFLVAGGFQGELICKHTSLSPDGKLLVIVGDDTDALLIDSNSGKTVSSMKGHLDYSFASAWSPDGRTFATEAADFVHIFDVKSDYYKRQELDFFGEVSGMSFSPDTDALYVGVSDRTYGSLLQFGRLYNNSYLDSLL >Et_4B_040093.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9435744:9436130:1 gene:Et_4B_040093 transcript:Et_4B_040093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVTTMAAIAAFPAPRTVVQSSQAPLPPRQNAVSFVAWPVRAHRRLVAVAAATPSDLSNKVSESIKQAQETCADDPVSGECVAAWDEVEELSAAASHARDRQKGADPLEEFCKDNPETDECRMYED >Et_4A_033273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20983622:20986277:1 gene:Et_4A_033273 transcript:Et_4A_033273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSLHAAAPAATPCRAGITSTPVARSVRVATAAARPGAGRGRLVARAAVAAKADAPSSAAASNSDGHELLLFEALREGLMEEMNLDPTVCVFGEDVGHYGGSYKVTKGLAEMYGDLRVLDTPIAENSFTGMGVGAAMKGLRPVVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPLVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPNEEYVLCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYAGTLEDATVVQPAQIVAAVEQLCQ >Et_9B_065179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21824356:21830098:-1 gene:Et_9B_065179 transcript:Et_9B_065179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAEPSSPPLQQPRRPRTGPPPGLKNLGNTCYLNSVLQCLASTPPLATFCLASRHSNLCKKVFPNKDKECAFCVLERQIARLIRAEAGALDSPGKIIRCLPLFAEHFRWGRQEDAHEFLRYVVDACHTAGLRIRKRLGVEEEGRGQGSCMVMRETFGGALLSQVKCLVCKGESNKTDEIMDISLDLPGTTSVGDALARFFKPEILEGANKYSCERCKKLTSARKQMFILRAPKVLVIQLKRFEGLNGGKINRNIEFKEALVLSDFIYNKNKDSQPVYNLFGSIVHSGLSPDSGHYYAYVKDAIGRWYCCNDSHVSLSSSQDVLSEKVYILFYILSPKIQKPSTNGYSSTAAKSFSTNGNGISSSTSNETLKVPLVKLNGSFSSKENAPLPPKSGKSTSGLHIKPIHLKNGPTEKVTSNDKVNLTSRKTLTVSESAMPSELNGCKTGKSMEPSRKSANGTISCETMGNSERILRDTNGNCHPSGTQYLIETSNAKAPCPQQDLEQSAGAAASKNEECNLLKDVASSAKNSVCLEQQLEEKKFIEMLAKSASSELRSSGWVDDVHDFMRSAKRRRIQNTDMPQEIDAMRCQLDPVGQLPVPLRLIFEDIFVFLAEVETKSGRLIL >Et_2B_022461.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22801809:22802387:1 gene:Et_2B_022461 transcript:Et_2B_022461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHQTYCPWGIELLEAAVIFAAAIAIAAVAAVGFFRGHAVDAVLCLLLGGLPSVIFFVIGVRLCLTALRRRTEGDDDEEEEEEETPQGVAGHGLPRNHPRGSIQLPAIAIAQLQKLYPATKAEGAFAGCGGDQECAICLGRVGDGGVATTQLPLCRHAFHTYCIEQWLRVHPTCPICRRQGPPEVIIRLHS >Et_8B_059425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17865011:17876988:1 gene:Et_8B_059425 transcript:Et_8B_059425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAESTCKAWRRAALKEPVLTYGVPNLEDLQISSSYVSASICLPSLSSSKKLKLRFTADGGFYNPQLMMPIDWTIPGTHELSSMLWDLPQLLTMALFSNLSESLASLSPGRRREKPDVPAPAPPEAIRDWSALPGDILFAVFLKLGPREIMRGSDKVCTAWRRGAVGEPALWRRVDLTTVPARSTRLWKAMARAAVDRAAGQCEAYRGPCDNDFLLYLVERATSLKSIHLSHDDTREVVLNVMQLNKLPLLEDVDISLSHFSDAMRELRSLKLLGYYLTDAGLTAILDSCPVLESLNVPVGDFFITSTMDEKLRAKCAKLKTTRDWSALPGDILFAVFLKLGSREIMRGADKVCAAWRRGAVGEPALWRRLELTTIPEWKAMARAAVDRAAGQCEAYRGPCDNEFLLYLVERATSLKSIHLSHDESRVVLNVEQLNKLPLLEDVDISVTHFSNGVSRNLFQSVCRACPRLKELRMCFSMNRDSKYNDGDLIGFYQEKYAIPVMCELQSLELFGYYLTVTGLTAILDSCPVLESLNVPGGDYLITSRMDEKLRANCAKLKKVTLSFDSDEESDEEECDLEDGYESEAPLLKVLRLSDIDIGNEVLRMALKKLTLLEDLDIATLNNCTFDGKMFAFVCQTFPHLKELRFILPDPFFSSLFEDIHDGGPKLFGFDIYNEELNLILDNCPILESLYITGWFIDMELDEESSTCHCSMEPSSPASATTTLEMRDWSALPRYILLDVFHRLGPCEIMQRAQLVCTTWRRAAVDEPSLWRRVDMSKASLRSAVVEHKAARAAVTRGAGQCEAFSGRCDFTLLFFLVKRYISLLHIIQRPKIGAEVYSFLLQLKKSFDFSLFIISCLAPSLKSLHLSDYDGPNKTLSALKKLPLLEDLEISPSCAHPSTGNLFQIICEACPLLRTLTLRSYFSVTVKGEIPTMSELRSLKLFDC >Et_9B_065841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14732244:14735924:-1 gene:Et_9B_065841 transcript:Et_9B_065841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEARKSSLGWSVLAGLAASREGDFGVRKAVAAEEGQDGVRLRGGARGVEADGTRGAGGGTRGEAAAGDEVGDRGVGGGGGGGVGSGEGGGKVAGPEEEEAAADGGQHSGKWWKPTSKRLKKRSANRRPWNGISPFMARTTSGTSSMCGRTYTSRNFSLAQTSSRGSRCSVCCFPALLGTSSTAHLREWASRDRTIKVGYTTMSSRDDARMFGDEPGYIAGGDPVDVAEQRDVAEEGGLRLASDDERLPVERGNDAGVGAKDLAERGEERFQVVLDTAKEDDGANQDETPQERRLNANGGEEVELGLERLVWIGGKQGG >Et_3B_030477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3983553:3995425:-1 gene:Et_3B_030477 transcript:Et_3B_030477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAITGTIASSDPCSVKMAGAILSRFAESTTSHLPSSDYATYLCTAADAAKEHHRFLCFLAANYQQGAAYLDADGYEGPVEGGREPGGGEENWGAEVPAGGPHISVEEMKVAVAADEKKSKMRKIKEDLHEYKAVAGVAPLAVKQEPDLVVEEELGSEKKGKRKKKKGHVKSEDHMVEEKTQKNRVLVIGGTGHLGKHIVAASIHLGHPTAVLIRDDAPSDPAKAQLLKSFIELGAAPLKGDLFDHESLVKAIKCVDVVISVVGPRQVKEQTRIIAAIKEAGNVKRFLPSEFGSDVDNVHTVDPAKSLYAVKANLRRLIEAEGIPHTYVCCNGFAETYLPSIGDVTAVGSGPPANKITVLGDGNAKGVFMVEEDIAAYTMRAVNDERTLNKILYMRPPANVLSHNELISLWEKKSGRTLQRVHIPEEEVLNWIKDAAFPLNILLSLAFSIFIRGDQANFDIDPTIGVEATKLYPDVDYTTSTTSEQGSCCQSIISRARRRAESCSKMASEKSKILVVGGTGYLGRHVVAASARLGHPTFALVRDAAPADPAKAQLLKSFQDSGVTLVTGDLYDHASLVSAVKAADVVISTLGSLQIADQTRLIDAIKEAGNVKRFFPSEFGLDVDRTGAVEPARSIFSGKVAIRRAVEASGIPYTFVVAGYFAGYGLPGIGQALSPSPPTDKAVVLGDGNAKVVFVEEGDIATYTVLAADDPRAENKTLYIKPPANTLSHNELLSLWEKKTGKTFQREYVPEDAVLKQIQESPIPVNIILAIAHAAYVRGEQTGFEIDPAKGVDANELYPDVKYTTVDEYLNRFL >Et_7B_055754.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3578281:3578664:1 gene:Et_7B_055754 transcript:Et_7B_055754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGRHIDRRRRRGGAADGDFVAIGFAAVATAVSFLLVVFVGGDAAAATTIEAETLWQVILAFATMAAGLLFIAHGMRVRDAQPPVVVRRAVDAVGAVLWHAGGPERRLLVLILVICPFLEAWFDFF >Et_9A_061229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22898018:22899967:1 gene:Et_9A_061229 transcript:Et_9A_061229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAMDLDSVEGRSGEAMSIFGQSMDVRRPGRGRRRATQKILSPGGMEQHARPTTDRRRHRRAVAERERARAELELSRATTMAKELERQIEQASAKANSHRSELQRTRARGSRTNVEAPPGADQAAEEGHTLYAEVMQELDRVKSELRKLQREVMSAREAKARAEAERDAAETPTPGRATMSSSDSRVHADVNREAGGVASEVLGVNREAGGLGGIKGVQMLHASRRTRGRGTRRGTGTSRASDTDGTAFATGEVMAMVHARTEEMDHADDSELTITRLVEHDDDDDDGASRQAAEAELNSARTELASIREEGHRFAASTERTRKETARVAEELTRLAEQEEKAAAQVRQLNARLVRARCKLEAAAAADEGADATLAELSLAVRRVEEEAAAAEKEKALTEVEARRVAEDAESVAAEVAAAEQRVRRAVRELEAARAAEAAELKDVLDGDGSVVARAAVAAAAQRSSGGNVTVPRFEYEYLAGRGEVVRAAAEKKVAAAEAWVEALQAGEKEIVMRADVIEMEIEGLRQAEAEDAAEHETQPSRGRAKASSATPARKKSAGRMQPSSSTSLARKPGSRKASAPTCMKLIATKCLGQN >Et_8A_058307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4689016:4692691:1 gene:Et_8A_058307 transcript:Et_8A_058307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSLVLPTAGATAVATARRRPFVGYRYRSRVPATRLRRASPLLVVSASTSAPPASATSLDALIFDCDGVILESENLHRQAYNDAFAHFGVRCPPDSTDPLCWDETFYDVLQNQIGGGKPKMRWYFGENGWPSSKIFESPPSTDSDKEKLVDIIQDWKTERYKEIIKSGAVEPRPGVLRLMDEVKGAERFNGLDCFLAGDDVKLKKPDPTIYITAAENWSHKDSIYSTQKLGVDSKNCLVVEDSVIGLLDFKDAIATYPDLSNVSGRLPVELEVNPWSYPVEWLVLDALAFAFTIGRRLIIMHLSFDWLANHNLLALLLGDSG >Et_7A_051754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24010182:24013819:-1 gene:Et_7A_051754 transcript:Et_7A_051754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPNLELMREECRIRSPTQLWRGPRPDDLVTTRRHSSSPAAMAAAAIPLRASLPPPLTRARRGPHRVRAVLLFAMVPVPSVAAVVPAASSQRLFINSNDSNDNVLRWQVTSSVHVRKSNASASSAQAEDGARPSTDYRSPGRGIESHSRVSECCCIVRAGRPRTGRPSGKSKYIPYCTKEEATEYIQSIPLHGFYVKVLNHYRLAPSQLAPNSWAYMAVFCEDAGVEQLVSVFRYFFTISAHKSKGAPSPTGWHHILPKHQWTKTIL >Et_5B_044518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3079910:3081972:1 gene:Et_5B_044518 transcript:Et_5B_044518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEFVPASPDSRWAGETAARRRQRRLSSPSLRTYLTQAFDAAAGGDGGLTGHSSSSSSGGLDLGFDASLLRYRRACFAATADLDSRILHYSPQSAPPPPQARMAYPVAEEGIWGPGGYHYGSKQEAGGRLTAAPVFQDFEGMSFISPGQTIADHPAAARGVTNSIKLPSDLQEGVAPGMKVDFSTPKAEAKALTEQPDPIEDIDSPMITNALYGKSARRRLPIFRDICPE >Et_1A_005647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12316161:12321494:-1 gene:Et_1A_005647 transcript:Et_1A_005647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTANGEQPAGASSDKLRHVESMSQLPSGAGKISGINALVLGESLAAEEHDLIFPSPEFSSNALVSAPQQYREMYERSIKDPAGFWSEIAETFYWKEKWNASEVCSENLDVTKGPVQISWFKGGKTNICYNAVDRNIEAGNGDKIAMYWEGNELGQDGKLTYSELLEKVCQLANYLRSVGVGKGDAVVIYLPMLLELPIAMLACARIGAVHSVVFAGFSADSLAQRVVDCKPKLVLTCNAVKRGVKPIFLKDIVDAALVESEKNGVSVGICLTYENQSAMKREDTKWLAERDVWWQDVVSKFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTSGGYMVYTATTFKYAFDYKPADIYWCTADCGWITGHSYVTYGPLLNGATVLVFEGTPNYPDSGRCWDIVDKYNVTIFYTAPTLVRSLMRDGTEYVTRYSRKSLRVLGSVGEPINPSAWRWFYNVVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGQEIEGECSGYLCIKKSWPGAFRTLYGDHERYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHEVKGQGIYAFVTLVDGVPYSEELRKSLIMTVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIAARQLDELGDTSTLAEPGVVDQLIALSDC >Et_9B_063731.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:7507306:7507560:1 gene:Et_9B_063731 transcript:Et_9B_063731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTSAQLTPSKKGCCLISVAPLVKAILFCGSLTSRPRMRSFAAALAIAGPPPSEGNRSGCSMTLQSVARLPAPLKGVDPWSSS >Et_9A_061063.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:13325061:13325480:1 gene:Et_9A_061063 transcript:Et_9A_061063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYYEYSQFVTSGESGDVRQTTFKVPACNVQEPEVRLLTTTQADDVQSRCFGRGAPSVSGDSSVIASQAGSSASFVETRRLGGWGFIVRNVGGHTVGSGAGRITNCSDALQAQAIAAIQELIFAADAGVTRVDWRQMQT >Et_3B_029039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21595807:21604507:1 gene:Et_3B_029039 transcript:Et_3B_029039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQHGILLAGSIISDHFGPIVSKVIRCLLRHGPLSLPEVVRRVKLGPGQVKNALLVLIQHNCGLM >Et_1B_012763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34978598:34979420:-1 gene:Et_1B_012763 transcript:Et_1B_012763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRIIKELKDLQRDPPTSCSAGPVSDDMFHWQATIMGPNDSPYSGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGNICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMCKTDRLRYESTARSWTQKYAMA >Et_7A_052243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:617191:617926:-1 gene:Et_7A_052243 transcript:Et_7A_052243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKGKGKMDADGPSRERTLTWEEDQSKFMLDWYIEYKKDQHAGFVWKKTHHMKCADALNKEFGMGVTVAQKALNNSGNRFDVTRCKGTISESEKAKLNDRARRLLSKPSKFFHEMQELFQGTNADGSLAKDQETCMDDGEESGSDDFGGINDMSGYEKTVDIPSDDSDTLPSAKRLKTSPTSAEGGSSSSTPRSGAN >Et_1B_011364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21023595:21024708:-1 gene:Et_1B_011364 transcript:Et_1B_011364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLAHDVGLHLAVLLPGYTCSQLEARLTDEYQPPPGCAVQKGDRHVCMKRLVQALEAVGYEDGVNLFGAPYDFRYAAGSAVFSRFVSSLKLLVERASMANGNKPVILVTHSFGGEKALAFLNGATLPWRRRYVKHFVMISTGAGGAVTSLRAVGSSSPGSVLSYGKKVFSMHCNR >Et_9A_061630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14607993:14609801:-1 gene:Et_9A_061630 transcript:Et_9A_061630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMIKCETILRSMSVEVCEEGYDKVCGIFGQYPHLEDFEYSFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFLSVLLSRAWIEDCATH >Et_8A_057987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11151281:11154497:1 gene:Et_8A_057987 transcript:Et_8A_057987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLPSSWEDLPPDVLGLVLRRLPSLTDRVRLCAVCRSWRAGAQSQRHPPLPPPLPWLALRDGGMVDLDGATVRCPPILREGVDFGFRAFENLAFLVHRDGSSCSLKNPLSGLTLPLPKLASAILRAMDKSNFYEQSNIHIRTTPVKAVLSSSLHSTSDHLVAVLIRSGNCVSVAACERHDAITITISPDLPTPSIYDIAFLDGKLYALSEREGLHVAELDSGQLSMPESSSGFNQCIDNDPKERQIYYDGDHGYLFGSFSSIVKHDKKYKVRSPSDSMSCNVFIGADLFKDEKNRQKKQSIQIGSMLVEIDAEISPHHHDRTVKFKVFEADLAIVPGRWRKVESLCGHAIFLGSQCSKSVLASQCAGGVQEDCIYFMHRVFDDLSNEWLGPRVDPLADSGVYNVRDGEFMPLRSEARFCGFDKRILEKYGIS >Et_6A_046006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18078675:18079025:-1 gene:Et_6A_046006 transcript:Et_6A_046006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKQEAMATSKRTTPKKRRTKLPQEPLETKFLRMSKRKIDELKGFKNAASAAEATAADGDSTSAPPPHLSADNVKAIGSGFLKMQPMAVSDAALLESSDEENE >Et_2A_017495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34899477:34901674:-1 gene:Et_2A_017495 transcript:Et_2A_017495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQPSTPQLDEHIKKVNVTRLCRQRSILTVNGQFPGPTIYARKGDVIAVNVYNHGDRNITIHWHGVDQPRNPWWDGPEYITQCPIQPGGSFRRTGRDFKPSDANTINGLPGHLFPCSEEEGIFRARVRRGKTYLVRVINAGLSNDMFFAVAGHPVTVVATDARYTKPFTVDYVMTVDLLLHANVSTDVGRLFYMAARTYCSDTGVGYNNSTATAILEYLVHTGADEKPHRPEKLPAVTDVDAATAYTARLRSLHPAHVPACVDERMLVAMTVDLLPCAPDEPACTGPNGDRLRLASSLNNVSFVNPSGADILAAYYHHHQHHRGARGVYEAGFPDEPPRLFNFTEPHPPGVGPLTARGTKVKVVEYGAVVEVVFQDTAVLGTESHPMHLHGFSFYVVGRGPGNFDEATDPATSYNLVDPPLQNTVSVPKAGWAAIRFRAANPGVWFMHCHFDRHSFWGMDTVFIVKDGNTTHTRMMPPPHDMPKC >Et_3A_024446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20897932:20899013:1 gene:Et_3A_024446 transcript:Et_3A_024446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHTELALGLIRFGGAGGAGSCGDPLQTAPFVAKTYQMVCDPRTDAFVRWGRENNSFVVVDPAGFSQLLLPCFFKHGNFSSFVRQLNTYVDGNGLLVYLLCLIFGSWIVQGFRKVHPDRWEFAHESFLRGQTHLLPRIVRRKKRGELGGASSSCSGAAAASLSGDGAHHHHHPDDEEEEEAALASEVQRLRQEQAAIGEELARMSRRLQATERRPDQLMSFLARLAEDPDGVTRHLVEQAAQKKRRRLQLDAVRRAPFVSPIPPPLPPAPPPPPPMLALGGAAMDCDDWQWAEQKPAMLPAFDPTASCGVQQVPDFAASGGVGDMDLTTDLDAPVEMPFPFCLLGQGFF >Et_1A_005507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1092912:1096648:1 gene:Et_1A_005507 transcript:Et_1A_005507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVALVSGGKDSCFAMMRCLDYGHKARQLQLSNSIHLPSPQFIRVISTRPPGSLQVVALANLIPLDDAVDELDSYMYQTVGHQIVVSYAKCMGLPLFRRRIRGSTREQGLKYNVTAGDEVEDMFALLSEVKRKIPSISAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQTLLLEEMIRRGIVAITVKVAALGLKPSSHLGKELAELKCHLLRMNENYGINVCGEGGEYETLTLDCPLFRNARIILDDSEVILHSADSIASVGILHPVAFHLEHKPNLSDIIGNSGVVQENSSCLYDVDENITHTDLEENQTFDPVTAVDAYTNIDLCISKTGKNLRSIGCWIQDSTSASQGLKEDLVAVLGRIDNQLKEDGLGWVNVLYVHLYISSMKEFGLANEVYVSFITEKKCYLGVPSRSTIELPLVQVGLGKAFVEVLVSDKLVKRVLHVQSISCWAPSCIGPYSQATLYGEILYMAGQLGLEPPTMKLCSGGPTAELESALQNSEAVANAFSCSIYTSAIHFLVYCSAHLTSDEKEELKQTLHSSYIARLDCSKTGSYPTVLYVFAPDLPKGARVEIKPILYVPTNDDGVTTEEMDTALMQPVLGEAWSHWSAQYSDLHESCCQIHTIGGQICSALVSITNDIASKICSTAGQPDQTEEQMKAITRFCAFQLAKILVDNQFSWDNITMLRFYYSVEHPVAVDTMSRAFSEAFTDLAEADCSLKTGGVPFYNIVPVSGSGRSACTNDILTCELLASKV >Et_3A_026463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8357838:8360371:-1 gene:Et_3A_026463 transcript:Et_3A_026463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSVGDVDVEAGRKVVVVVVAPPMERDGGGDQGGEGCGGRFVEDWCCSCARLLLGPNPMLARYLYALVFLVANLLAWTLRDYGHSALSELQRLKVCQGSRACFGAEGVLRISLGCFLFFMVMFLSTVKARKVHNWRNSWHSEWWPAKLVLWLGFTAIAFFAPSPLVQLYWKVAHFGAGAFLVIQLISVTRFIMWLNDCCRSEINQKKCHMQITVVSAGLYVGSILGIILMYVWYAPSLMTCKLNIIFITITLILVMLMTLVSMSPKVKAGYLASGLMGVYVVFMCWSAIRSEPHTEVCNRKAAVATSADWVNIASFVIAVIVIVAATFSTGIDSKCIQFKKPQSEEEDDDIPYGFGFFHFVFAMGAMYFAMIFVGWNAHQTMEKWTIDVGWASTWVRVANEWLAAIVYVWMMVAPVIWKSRQVGSST >Et_4A_035436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24762524:24764556:-1 gene:Et_4A_035436 transcript:Et_4A_035436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAATAAALRSPTAAAAPSRRPAVPGASSLPFDRRRSFAFGSIKGLGRQQLTSRRRSSVDRAARSPSPSESLPPSSPIAPLRMESPAGQFLSQILHTHPHLLPAAAEQQLEQLQTDLEAEKEKDWRRQAGSVGWRPRAVQRQADATIAAISKLRVGQVYATSVMYGYFLKGVDQRFQLEKSMKSLPWGSEEEGDALNQVMTTDSKPSAPSSSHPEMASWTAPNFNAGGPNQTVKPCQLRSYVMSFDSDTLQRYATIRSKEAFDIIEKHTEALFGRPEIVITPEGTVDSSKDEHIRISFAGLRRLILEAVTFGSFLYDVESYVDSRYHFVAN >Et_10A_000691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15599838:15609062:-1 gene:Et_10A_000691 transcript:Et_10A_000691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRSSTALRQGLTPLRINPRPQIQPPNQGPAVVPGIVNWEIHDFVIVCDLGSGNFGFVMKGIHRTTVVRVAIKSLRDLSGFWQEAIMFSQCSGSIGVVQFFGVARDRNRNMMYIVMDLGGTPLEDAIRAGHAHGRALSEDDVRVIKKSLFHVTWSKNMHEKGFIHRDLKPSNIVIDSNGRYVEGKICDFGPAIYYDQAVATWSRIPRDTYGYMAPEVHKAKSSCTFESDMWSLGAVMYEVITGSPLIKGRDPTGMITCMRSLFGTLSNEASTSLEVADGPQADPKWATHGALIRRQFSPQCLEVLHGLLKLDPSERLSVADALEMDWPCCPGIANWDIHDFFIVCDIGSGSFGSVVKGIHRSTGVCVALKSLHDISLSRFWHEAIITFQCSGSSGIVQFFGVAYDRNHNTLYMVMELGGTSLEDAIRTCHAHGKAPL >Et_5B_043424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10210606:10211315:1 gene:Et_5B_043424 transcript:Et_5B_043424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PPVNRAATTGGRSRPDPSRHPTTKVLDSLHSVHLFVWTRRVVRLPGEVVSHVLLHRCRHRSSPLSLPTAAQAQDGCARPWVNGYDTIAVESKSLIGGTHGCLLHQFACGCSHRALITEEARFVSHSAPKLKQFLSCDTCEHPRVGLGDKWRLDKLKMATQYFRKFFKLTSLRHRGLQVPEGAQRIVTAIKMAIGGTKKSPYLISKM >Et_4A_032632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12029530:12037265:1 gene:Et_4A_032632 transcript:Et_4A_032632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPPPNPSSGEPSDARTPATAALAAPARIWKSLVARLAALPDTGLVAAVSDLHRRHFSVRRHRRRRRQRPALPLPLRPAAAHSARIVGEMPKAFVILDDVVQHTLSNLHNIHKSLLFWQSKAEGTTSQKLYFMIFERGPRAFVDVTCQTLTRFGSNGRPVQFILHSASDMVSTNLAALTSMQHCLASFLAEVHTEVDKCRGGLTESSDKSLHTLFIVLNTTFSKLEISLRNACENELFKHDGNSYETLFGKLPEVDVESSQWTEDLSTDGIGLIYQNFQKLDDFLSSRLSSHKKPNNLTIYWVPYTCGALGLSVCSLWLLRHSSLMGSSDIDNWVRDAKESMAGFWDEHVEKPILSIRDELFETFKQRDKGIMERRELQLTEDSLNRMLLAFCEQISDGKLPEGVSSDQMMEMLAKQYEKELMHPIKNLFSGELARAMLIQIQKLKLDLESGLLEMDQILRANAINFAVLAALPAFGLSLLLIFFVQTWVRRDHGAEGKGNIARCQRRLLLVDVERRLMEFQHYRDNGMAEEALCKFGLVLYSLDRLCKAVESHAKETGEWLSLREDIFDLAKLDMGMPDKLIVVSRLKWMYNCLLPYSSSRLPRL >Et_2B_020059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16471804:16475271:-1 gene:Et_2B_020059 transcript:Et_2B_020059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTICDVCESAPAVLFCAADEAALCRPCDEKVHMCNKLASRHVRVGLADPNKLVRCDICENSPAFFYCEVDGTSLCLSCDMTVHVGGKRTHGRYLLLRQRVEFPGDKPGHMDDVAMQTKDPENQRDQKKAPHSVTKEQMANNHNGTDDPASDGNCNDQGNIDSKMIDLNMQPVRTHGQGSNSQTQGADHSVNNHDSPGVVPSSNYERDADK >Et_2A_018487.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29832691:29832996:-1 gene:Et_2A_018487 transcript:Et_2A_018487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECNAARSARGGPSTSSSGYRGRGCGLALGRLVRKLRRQSRLLCTASARPAASAAARCQQYDPLSYARNFDFGTALDGGADGYSFASRFVLAASTARQQQ >Et_1B_010456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10741620:10742855:1 gene:Et_1B_010456 transcript:Et_1B_010456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSVPVALGLDTAGLQVPWYFRCPISLELMRDPVTVSTGQTYDRASIESWVATGNTTCPVTRAPLADFTLIPNHTLRRLIQEWCVAHRSMGVERIPTPKQPADADLVRSLVAQGPVLPALRRLRALARESDKNRLVMATHETRAALVEVAFGSAGGCEEVEAEAMAVLALVGLGEAEAVEVVGREDRVARLGKALQASAASPLEARVNAGAVVEAAASASGAEARAVLGAADGVMAGLVALVEEKAHPRAGGCSERAKRKAQHLLKLLRSAWPATDCIANSDDFLQPY >Et_2A_015230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9630446:9630880:1 gene:Et_2A_015230 transcript:Et_2A_015230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQVDRKISKEEEATIKPTRSFRYEDYNTRRVFLRSYPLQWDWSAEADEKQGLAGAAAPEDDEDDRGEGRCGGRGWKRQVVEAVVEWGEEKLVLLRRAKKRLALYLLGCHYGRPALPYRSGGSGATAAMLTSR >Et_1A_007994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:475215:479340:-1 gene:Et_1A_007994 transcript:Et_1A_007994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGSPYASSPESAPKRAPRSPPPQQQPSEEGDDSVKPTHLRFLVSNTAAGCIIGKGGSTINEFQSQSGARIQLSRSHEFFPGTNDRIIMVSGVFDEVLKAMELILEKLLAEGEEFNEAEARPKVRLVVPNSSCGGIIGKGGATIKSFIEQSHAGIKISPQDNNFVGLHDRLVTVTGTFDNQMQAIDLILRKLSEDVHYPPNLSSPYPYAGLTFPNYPGVPVGYMIPQVPYNPAAVNYGPNGYGGRYQNNKPSTPMRSPANNDAQESHTIGVADEHIGAVVGRAGRNITEIIQASGARIKISDRGDFIAGTSDRKVTITGTPEAIQAAESMIMERVSASSER >Et_2A_018752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6786844:6787892:1 gene:Et_2A_018752 transcript:Et_2A_018752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASSSKLALLAVSFLLLFLVPSTAAARVGASITNTINAFQTQHLELPDMIYGPESVAFDGTAQGLTVNDGRILKYGGESTGWTTFAYSPSYVKNNCSAPPFSELPTEAKESSCGRPLGLRFHRASSYLYIADAYMGLMRVGPSGGEATVLATMADGVPLSFTNGVDVDQVTGDVYFTSSSTTYSRAQHEMVTATKDSTGRIMKYDPRTNEVTVLQSNVTYPNGISISADRTHLVVALTGPCKLMKYWLQGPKAKTSEILTNLPGYPDNVRPDEKGGYWVALHREMYELPFGKDSHLLAIRIGAKGEKVQVMRGPKSVRPTEVVEREGGKICLGSVELSYVSIVST >Et_10B_002887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12997294:12997727:-1 gene:Et_10B_002887 transcript:Et_10B_002887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYVDPRDRGREGSGDQGMGKSRAIVDRSAERAAATGTCTSPAATTSRRTRSAPRSRTASRPTPGARSAAGHVRGARRAAALVAARGVVLAASRYPTQAEDFGNAGGGDAWTSVDVPGVKAVGIRDGAAVWVFGKVQADAV >Et_1A_007562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35917174:35919463:-1 gene:Et_1A_007562 transcript:Et_1A_007562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLFLLSQRLPSPSFAPFFPCRSGDRVQFSLLRRAVNASGPLRRRRSGVSCALFRSGGLNSCGRGRGLFVLGGFEDMPAFSAYGDGGCLVSAPSEFAGLFCRGVQQRKRTLVAASAVAAAAAAECVRVSKKQRQLQQPSLDALPDACLFEILRRVPGGRERGASACVSRRWLALLGNIRASELDQAAAAVPSLPDLNEEFVMEEDKDESPADPCVERVLEGKEATDVRLAAMAVVAGSRGGLEKLAVRGSHPTRGVTDQGLTAVARCSPNLCSLALWDVQLVTDAGLAEIAAGCPLLERLDISRCPLITDKGLAAVAQGCPNLVSLTIEACSGVGNEGLRAIGRCCTKLQIVNIKNCVHVGDQGISSLVCSATASLAKIRLQGLNITDASLAVIGYYGKAVTDLTLARLSAVGERGFWVMANAAGLQNLKCISVTSCPGVTDLALASIAKFCPSLKQLYLRRCGHVSDAGLKAFTESAKSFENLQLEECNRVTLVGILAFLLNCSQKFRALSLVKCMGVKDICSAPAQLPLCRSLRFLTIKDCPGFTDSSLAVVGMICPQLEQVDLSGLGEVTDNGLLPLIRSSESGLIKVDLSGCKNITDVAVSSLVKAHGKSLKKVSLEGCSKITDASLFAISESCTELAELDLSNCMVSDYGVAVLASASHLKLRVLSLSGCAKVTQKSVPFLTNLGKSLEGLNLQFCNMIGNHNIASLEKQLWWCDILA >Et_6B_048838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14364339:14365195:-1 gene:Et_6B_048838 transcript:Et_6B_048838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPTASTVLLLLAAAAVFTTADEASLPKPFPSVSMSKLSSSKSQNPASVAPPKPSLKLTSPPAPVATPPKSSPPASATCVASLLELSPCLSFFRDAGATAAPPGCCEGLRTIIDTQALCLAPSASTSPSTARSSSSATSAASGCHRRSSPAAATKIECPHSTLAQLHLLEV >Et_5A_042103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6368236:6378197:1 gene:Et_5A_042103 transcript:Et_5A_042103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEDQAAAVALLGGDPAAFDALLSTLMSSSNNERAAAEAAFHRLRASHPEPLALRLASSLAAPATPAELRAMAGTALKSQLLSALQSDPPKAIAKKVCDAVSELAASLLPENTWQELLPFLFSAASGADKPNLQESALLIFARLADYIAESLLDHLMTIHNLLAAALAHPTSPDVRIAALSATVNLVQCLPTNADRDKMQDLLPAMMRALTDCLNSGQEASAQEALELLVELAGAEPRFLRKQIADVVGAMLQVAEASQLEDGTRHLAVEFVITLAEARERAPGMMRRLPQFVGRLFAVLMQMLLDVEDDPSWHSAETEDEDAGEGNNYGVAQECLDRLAIAIGGNAIVPIASELLPQYLSAPEWQKHHAALITLAQIAEGCAKVMLKNLEQVVSMILNGFQHHHARVRWAAINAIGQLSTDLGPDLQVHYHQKVLPALANAMDDFQNPRVQAHAASAILNFSENCTPEILTPYLDGIVGKLLVLLQNGKQMVQEGALTALASVADSSQEHFNKYYDAVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKDKFREDAKQVMEVLMALQGTPMETDDPITSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSAESDDEIDSDDDSIETITLGDKRIGIRTSVLEEKATACNMLCCYADELKEGFFPWIEQVAPTLVPLLKFYFHEEVRRAAVAAMPELLRSAKLAVEKGQAPGRDESYVKQLSDYIIPALVEALHKEPETEMCSSMLDSLNECMLLSGRLLDENQVRAISDEIKNVIIASATRKRERTERTKAEDFDADEGELLKEENEQEEEVFDQVGECLGTLIKTFKASFLPFFDELSVYITPMLGKDKTAEERRIAICIFDDVAEQCRESALKYYDTYVPFLLEASNDENSDVRQAAVYGVGVCAEFGGHVFRPLVGEALSKLNNVIRHPEARHPDNIMAYDNAVSALGKICQFHRDSIDATQVVPAWLGCLPIRDDKIEAKVVHEQLSSMVERSDADILGPHSQYLPKIISIFAEVLCNGTELATDETRNKMINILRRFQQTLPPDFLASTFSNLQPQQQLLLQRMRHQKRNQSKQSK >Et_1B_012938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4210415:4213288:1 gene:Et_1B_012938 transcript:Et_1B_012938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKAASSLLSRRLLTRPCPASSSGSSPLLGAGDDFYQDLDRAQELLPGVVPLRRFSTAQAAATATDEPIQPLVEVKHTQLLINGNFVDAASGKTFPTVDPRTGEVIARVAEGDAEDIDRAVAAARRAFDEGPWPRMTAYDRCRVLLRFADLIERHAEAIAALETWDSGKPLEQAAGAEVPMVARFVRYYAGWADKIHGLVVPADGPHHAQVLHEPVGVAGQIIPWNFPLLMFAWKVAPALACGNTVVLKTAQQTPLSALYVASLLHEAGLPEGVLNVVSGFGPTAGAALCSHMGVDKLAFTGSTGTGKIILELAARSNLKPVTLELGGKSPFIVMDDADVDQAVELAHHAVFFNQQSADPDTAFQRQGQCCCAGSRTFVHERVYDEFVEKSKARAENRVVGDPFRKGVEQGPQIDGEQFKKILRYVQSGVDTGATLVTGGDRVGNRGFYVQPTDEMKIAREEIFGPVQTILKGVEEVIRRANATPYGLAAGVFTRSLDAANTLSRALRAGTVWVNCYDVFDATIPFGGYKMSGVGREKGPYGLRNYLQTKSVVTPIKDAAWL >Et_1B_010535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11317749:11325212:-1 gene:Et_1B_010535 transcript:Et_1B_010535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEREEQVAMVRAVLGEGTTEMDIIRALHMAGDDPTKAINILLDFDHRPPPLPPSPSPSPPPAKPAKTLTESTPPGKAPTQPRPRAEKPKPALAPSPATTNGGGEHWWLIGSAEVAGLSTCKGRRIAPGDVVIFSIPTAAAATAPAKSRPGRFALASCSSEIMRFSTPSHGEVGRIPNEWARCLLPLLRENKIKIQGLCKSAPEALSIMDTVLLSVSVYINSSIFRDHKLSAPKEARVSTEDSTFHPLPALFKLIGLAPFKKAACTPEDLYSRKRPMETKSSIGAPPTKLSSEKLRVSSAGNEDGHGEETVSDSDLDDIIGISDSSALDERDPPDSLLCDLRPYQKQALHWMLQLEKGSSSQDAATTLHPCWEAYKLEDKRELVLYLNVFSGGATTEFPSTLQLARGGILADAMGLGKTIMTIALLLSDSSKGCITTQHATQICEEAIDLSEVPAHSHDAVKKLASPFSFGKQRKHKAPLIGGGNLIICPMTLLGQWKAEIEAHTKPGTVNTYVHYGQNRPKDASSIAQSDIVLTTYGVVASEFSTDGSTENGALYSVHWFRVVLDEAHTIKSSKSLISLAAAGLTADRRWCLTGTPIQNNLGDLYSLFRFLRVEPWRNWALWNKLVQKPHEEGDERGLKLVQSILKPIMLRRTKNSTDKEGRPILTLPPANIEVKYCDLSEAEKDFYEALFQRSKVKFDKFVEQGKVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEFADLNKLAKRFLQGGNGTANGDSSCIPSKAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRLCRECLLSSWRSTTAGLCPVCRKSMSKQDLITAPTNSRFQIDVDKNWVESSKISSLLRELEDLRSSGAKSIVFSQWTAFLDLLQIPLSRLDGTLNLQQREKVIREFSEDKNILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAVMRIHRIGQTKTVSIKRFIVKGTVEERMEAVQARKQRMISGALTDQEVRTARLEELKMLFS >Et_7B_054683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3436532:3438574:-1 gene:Et_7B_054683 transcript:Et_7B_054683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGALSPTKLRMKLMGAHNRVRVITSNSSSRTSPGKNTETSQAQNRLLVCDVLEEDTSDGSKRPSAIDKTEAVVSALDSSKVQNTSKSSVPQPASGNSSIIYPVRTVDEDGNDCDSGHDNASTSSFEFHGSERSVTQNPATGYFSRQTSSKWNDAEKWIVNRQNVNISKDTVQNQNAHQMNSAVSRGAKTSGRMVQNMKRVNPAYSASRSILERLSFSSHQPKLVSHADIFPVNNATTTSEHHKATRPGSSIAEFVVEPCNDPKAIPAVQPVSLRDVGTEMTPIPSQEPSRIGTPLGSMTPTRSPNCSIPSTPVGGRSTASPGEENTDNGPYSNRKGGANELSETETRLKTRQEIAALGIQLGKMNIATWASKEELELVSAPTIADLERMRKEYAARAAAYEEAENSKHTARFKKEAVKIEAWESRQRAKIESEMRRIEEDAERMRSEAMAKMAEKLEITRRMAEEKRASANARMNQQAAIAVQKANKIRQTGRVPGSNILCCSCFCEP >Et_7A_050920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12440714:12442116:1 gene:Et_7A_050920 transcript:Et_7A_050920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFDEARLEPMTLSMPTNCHPDRETCRRHLPGRMMQIYSLKLAKILAEVRSVQLYGYIAVRDERDSLLNYIVNHSRDAPITLQQGSFIEMTGPKRGISIFCDVLFEFDMRIKKGEREEDDLQLIDGMIVYDELSYLGIPFTNHINGDCGAVDITLALVPRAVEATIEVVISEVQSGFNLSLSSFVFINELYQEIKHFSGAIGESRVLRRFVVAVVMDTWMHLVFKRKNERRYRILDLDDEDGVHEFKGHSYRRGGSRIDTGLRVG >Et_3B_031453.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:30187459:30188568:1 gene:Et_3B_031453 transcript:Et_3B_031453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTRQLLLVALVVSMAAVELCRAIPFNESDLASDEALWNLYERWQVHHKVHRHHGEKGRRFELFKENVHFIHRHNKHGKHPYRLRLNHFGDMGREEFRSTFANSRISELRHEERRAPAVPGFMYDGAFDIPQSVDWRQTGAVTPVKNQGKCGSCWAFSAVVAMEGINAIRTGRLVSLSEQELIDCDTAENGCQGGLMENAFEFVKAHGGVTTEAAYPYRAANGSCDNARSRRAPVVVIDGHQMVPASSEDALAKAVANQPVSVAIDAGGQAFQFYSEGVFTGECGTDLDHGVAAVGYGVSDDGTAYWIVKNSWGPTWGEDGYIRMQRGVGDGGLCGIAMEASFPVKNSRNPAPTPRRALISRDTSSQ >Et_2B_019313.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2362161:2362319:-1 gene:Et_2B_019313 transcript:Et_2B_019313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SKKKVRGVARIKLATSRTQSENHTTRPNALFVIVTNIYVYIELIVRAGREPT >Et_2A_014564.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23345040:23345312:-1 gene:Et_2A_014564 transcript:Et_2A_014564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRLLILRRCEPIVRFSCCSVQYRECRRNHAASTGGHAIDGCREFISEGEEGTGGAFKCAACGCHRSFHRRVQVYEVSWDCVSDTSPTE >Et_10B_004338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4200395:4203180:-1 gene:Et_10B_004338 transcript:Et_10B_004338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRGDYDEHDRRVRGTEVFVGGLPRSATEGMLRELLGKKLDVDLSLDQDTLFFGNLHKACMSRNSESIDRND >Et_2B_019877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14813796:14820189:-1 gene:Et_2B_019877 transcript:Et_2B_019877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHLSVCASWRWSGLYNTSSSVLLPSSHEVTARHWYELATIAISSYTMALPTSAAVLAAALLAMASLLQESTAASPAAPIGLPGCNTTCGDVLVPHPFGFGPSRCYQPGFNLTCDTSRNPPRLLLGGGGNRSLLQVVDISLKNNTVRVVGAGNLVSGTRVNSDRNVTFVGGLFTGGWPYSLSYRNELVLFGCNVMATLVGVSYGSYISCGCASFCSLGFGFGGSDRYCSGTGCCQASMSPVVQNQQRAPMEVHLRWISSGDGIPWNDQLPVAVFVGEEGWLDRQWKWGYGPHEKQHVLAQHIPFLLRWDITQVPVVFSGSGTIDASGPPPPPCPQNVSSLCKSQHSECTVDDAKSSFICRCIAGYDGNPYVPDGCQVFFFLSNIDECQQPKEHACYGECINTVGWYICRCPPGTQGNHSLPNGCVPVQAHRFSTGSIVGITVGGTVASMLFVLVAIFIIQRFKHIRAIKLKQKYFKQNRGQLLQQLVSQRADIAERMIIPVDELAKATNDFDKARELGGGGHGTVYKGILSDLHVVAIKKPKITVQKEIDEFINEVAILSQINHRNIVRLFGCCLETEVPLLVYEFISNGTLYDHLHDEQGLRSLSWGNRLRIATETAKAIAYLHSSISVPIIHRDIKSSNILLDDTLTSKVSDFGASRYIPIDRTGLTTRVQGTVGYLDPMYFYTGRLNEKSDVYSFGVILVELLTRKKPFLYLSSNGDGLVMHFTKLLAKDNLSVILDPLVIEEGGQEVQEVATLAASCINLTSNNRPTMRQVELMLEGLQESKKSVNGNMVPAEFGDEGIAMAFPSMEESKSTEELSKAHSMEEEFLMSARHHRLRIATETANALAYLYSSVSIPIIHRDIKTTNILLDDTLTSKVSDFGASRYIPVDKTGLSTAVQGTIGYLDPMCLYTGRLNEKSDVYSFGVILVEFLARKKPFSKKPFSYLSPDGYGLVISFTKLHAEGNLDEKLDPQVKEEGGEEIEEVATLAASCIKLIGDDRPTMRQVEHTLEGLQASVNYIKGNIMVPEKCEEKGNIFGEIKENHRGIEPKE >Et_3A_026756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19080769:19082393:1 gene:Et_3A_026756 transcript:Et_3A_026756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFLFPSSPSNTPGMHKRSLLILFLSTFISLPSASCPDGQKRRVCYYYDRGIADVDYGIVEQSPWARRLMTTYHITGTSATNRARTTSSIT >Et_4A_032930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15757891:15758328:-1 gene:Et_4A_032930 transcript:Et_4A_032930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWVVPHAPDAYNHWASRYRFLVGDVLHFKYSLFQGPFCVVTEEEYNNCELKNIRATLNFGTGDVRFVLDRPGLFFFIGFEHENCVHGQKMAVKVIGNDAPLPAPTPAATPSA >Et_1A_006472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2289233:2299361:-1 gene:Et_1A_006472 transcript:Et_1A_006472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTGARACLVCWKLQSHAPRSSRPHLLARAYSSFLRDTLPESISQPAPRVLYSYAHAATGFAARLTKLQAAHLESRPSVLAVVPDAMRQLHTTLTPSFLGLSGSWGLLPASNGAKDVVIGVIDTGIYPKDRPSFAADPSLPPPPSKFRGGCVSTPSFNASAYCNNKLVGAKFFVEGYAAETGGFNETEESKSPLDTNGHGTHTASTAAGSAVANAGFFNYARGKAVGMAPGARIAAYKACWNKGCTDSDILAAIDAAIADGVDVISASLGPSGAAPEFHNDTTAIGAFRAVRKGIIFSASAGNSGPDEFTVGNIAPWILTVGASTINRRFPATVVLGNGDTYTGTSIYDGAPLGAAKIPLVYGGDVGSNVCEAGIGKLNASLVAGKIVLCDPGVIGRAAKGEAVKLAGGAGAILAGNKEFREQALTTAHVLPASAITFADAERIKKYIRKRPFPVATIVFHGTVVGKKPSSPRMASFSSRGPNLHAPAILKPDVTAPGVDILAAWTGESSPSGLASDTRRVQYNIISGTSMSCPHVSGIAALLRQAHPDWSPAAIKSALMTSAYSKDNYDNYIRDMSTGKAATPFVRGAGHVDPNRAVDPGLVYDAGADDYISFLCSLGYTAEQIALFATDGMAIDCSAKRTTPATEVNYPAFSVVFDSTYGEVTQRRVVRNVGRNVMATYRADIKSPGGVRVTVKPRVLSFSATQQKQEYEITFKPRMDGSETKNYTFGSIEWTDGVHRVTSPIAVTWPARPVAEMLPGVLCCLLLAAVAVAVAAMEAKTEAQSSYIVHVAHAHAPRVSRPRLLARAHACFLRDSLPASLTRPAPRVLYSYAHAATGFAARLTASQAAHLASLGSVLAVHPDETLQLHTTMTPSFLRLSASSGLLKASSGATDVVIGVIDSGIYPIDRASFAADPSLPPPPTKFRGGCVSTPSFNASAYCNNKLVGAKFFYQGSEAAHGSPVDVTADSRSALDDNGHGTHAASTAAGSAVSGAAFYNYGKGNAVGMAPGARIAAYKACSKKGCKSSDILAAFDEAIGDGVDVISISLGAVQKAPKFYDDTMAMAALRAVRKGIVVSASAGNTGPGEFTAVNIAPWILTVGASSINRWYPASVVLGNGETYTGTSLYAGTRLGADKVPLVYGGDVGSNACVAGKLNASLVAGKIVLCDPGMNGRAAKGEAVRQAGGAGAIIAGTEEFGEQSVTTPHVLPATAVTFAATEKIKKYIGKQAAPVATIVFHGTFVGNKPSFPRMASFSSRGPVLQAPEILKPDITAPGVDILAAWTGDNSPTQLDSDKRRVEFNIISGTSMACPHVSGIAALIREVRPDWSPAAIKSALMTTAYNVDDAGDIIRDMSTGKASTPFVRGAGHVDPNRAADPGLVYDAGADDYLSFLCALGYTPEQIALFTTKEDPPVDCSTRTASVGDLNYPAFSAVFNSTNGEVKQRRVVRNVGRNVQATYTASVTSPAGVRVTVKPQKLQFSATQQKQEYVITFAAQGGGSVIEKYSFGSIVWSDGVHSVTSPIAITWPASQVTAIATAAHTGEPAETEARSSYIVHVAPAHAPRLSRRGVHARRAYTSFLPAHLLTHPAPSVHYSYAHAATGFAARLTRSQAAHLRAQPSVLAVVPDVMLQLHTTMTPSFLGLEASSELLVESGGSSDVVIGVIDSGIYPKGRASFAADPSLPPPPSTFRGSCASTRSFNGSAFCNNKLVGAKFFHRGYEALLGRRINDTENPASPLDTIGHGTHTASTAAGSAAVGASFYKYGEGTAVGAAPGARIAVYKACWEEGCGPSDLLAAFEEAIADGVDVISMLVGGKGPPEFHEDPTAVGAFNAVRKGIIVSASAGNDGPRESTAGNLAPWMLTVGASTINRRFPDTVILGNGDTFTGTSLYTGPPLGATEIPLIFGGHAGSPFCEAGN >Et_1A_006173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18849665:18851485:1 gene:Et_1A_006173 transcript:Et_1A_006173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATASACTDATWWAYALPAFLGANTLCAHPALVAGALLLAAVSATLLAWAASSGGPAWAHGRGHRGATPIKGPRGLPVFGSIFTLSRGLPHRALAAMARAAGAQDLMAFSVGDTPAVVSSSPGVAKEILAHPSFADRPIKQSARELMFARAIGFAPSGEYWRRLRRIASTHLFAPRRVAAHEPGRQGDADAMLRAVAGEQAAAGAVVLRGHLQDAALNNIMGSVFGRRYGAGDAEAERLKAMVREGFELLGAFNWSDHLPWLAHLYDPSNVARRCASLVPRVQAFVRGVIDDHRNNSAAVAAGDNADFVDVLLSLDGDEKLADDDMVAVLWEMIFRGTDTTALLTEWCMAELVRHPAVQARLRAEVDAAVGGAGGRVITDADVARMPYLQAVVKETLRAHPPGPLLSWARLATADVALSNGMVVPAGTTAMVNMWSITHDAAVWADPEAFAPERFLPSEGGADVDVRGGDLRLAPFGAGRRVCPGKNLGLVTVGLWVARLVHAFEWALPAGAPPVCLDEVLKLSLEMKTPLAAAAVPRAGVAA >Et_3B_030824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7715272:7717154:-1 gene:Et_3B_030824 transcript:Et_3B_030824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIFIPTADLQYFLRAPGVTIDGFVSIDEITEFELKGLVAAQPVAVTIGADAGFMEYTEGILYGPNYTIDEFTSVRVDGSSIGHWVTVVGYGVDHLGGLFWLVKNSYGEKFGRYGGYVLLARETGKPGGAFGITRQIAYPLKGCGNMFCYVRTESDMGLTRSAEDLTSSEDLSPSGDEDLS >Et_3B_030380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3360583:3364664:-1 gene:Et_3B_030380 transcript:Et_3B_030380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDARRGSAGGAAQAALRASSEDRKAAGAAGSGSPPPVGHKIQLKSADMKEEMRQEAFEIARVAFEKNTMEKDIAEYIKKEFDKNHGPTWHCIVGRNFGSYVTHETNYFVYFYIDSKAVLLFKSG >Et_2A_018772.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:7031989:7033008:-1 gene:Et_2A_018772 transcript:Et_2A_018772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPTLVPACDAEEPLLAESSDRFSMFPIRYPQIWEFYKKAVASFWTAEEVDLSADARHWDAALSPDERHFISHVLAFFAASDGIVLENLASRFMSDVQVAEARAFYGFQIAIENIHSEMYSLLLETYIRDGAEKDRLFRAIETVPAVRRKAEWAMRWIDGGERFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYDLLRGKLDESRVREIVADAVDIEREFVCDALPCALVGMNGVLMSQYIEFVADRLLMALGHKKMYNVTNPFDWMELISLQGKTNFFEKRVGEYQKASVMNSLNGGNAANHVFSIDEDF >Et_4A_033161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19293695:19294342:-1 gene:Et_4A_033161 transcript:Et_4A_033161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVDTVLDAAAVPWRPSREDDGDQAQAWAKRKRSRRHPRAVTEEEHLALCLLMLARGHRDRDAQPAPEHRCSVCGKTFPSHQALGGHKSSHRARPPATTTPAPKLAAVEADPATAASSSSASPATSSSTSGRGTIGAAATAAMARGFDLNIPALPDVTDQRCLPAADEEEEVLSPLAFKKPRLMISA >Et_8B_060360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1058508:1063444:-1 gene:Et_8B_060360 transcript:Et_8B_060360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAGEVDVGNEEQEEVQVGADGGERDAADAEAEAVHEEEVDGDVEEERGGGGVRERERDGLRAEEDAERVEERLHGEVRERAQDELVRRGGDVGVLPGGDEDAAHGEPEEADGHGRRQEQRHGAAERGGEHGPAAGAERLPAHGVHPGGEAGEDGVAGDVGEAQREGPAGEGEPAEPAEEEHGHEGPHVHQQPRADHGHGQPKDGRRLGDDGSASIAAAAATRAVTQLRAGRGFREQGLVVVALCRLIGALAHCNATRVCCFGQCAANSMSLSATRCLRSCMIGAVEGLAGVIHEPSRFRRSALFA >Et_1B_010697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13115214:13126202:1 gene:Et_1B_010697 transcript:Et_1B_010697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDAETAPPIASHAPAVEADDWAERDDFEESRSQPPPAAAAAAAEEEAPQAPATQDLNGVQSSLQSLELQTSDDGVKMETEVEHTRKRHLNVVFIGHVDAGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERAKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVLLAKTLGVSKLVVVINKMDDPTVGWSKERYDEIEGKMVPFLKSSGYNVKKDVHFLPISGLLGSNMKTRMDKSICSWWNGPCLFEVLDGIEVPLRDPKGPVRMPIMDRYKDMGTVVMGKIESGTIREGDSLLVMPNKAIVKVISVYCDEDKVRSAAPGENVRVKLSGIEEEDITAGFVLSSVTNPVGAVSEFNAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVELIEEIDMKRKKESDMKKKPKRKPLFVKNGAVVVCRIQVNNLICVENFSNFPQLGRFTLRTEGKTVAVGKIVAVPPGGSSTFKVWADAGNTVVMWLQPQEP >Et_1A_009630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9922669:9925025:1 gene:Et_1A_009630 transcript:Et_1A_009630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGYCNRKKTDSICEGVCDGEVRLRSRSVPFCSRRVESESDRPVRGGSPPVLAHGSKSVLSMSRLKCALRGFDLRALLILLIGVPLLIFVIYVHGQKVTYFLRPIWEKPPKPFQVLPHYYHENVTMDNLCKLHGWKVRETPRRVFDAVLFSNELDILDIRWHELSPYVSEFVLLESNSTFTGIKKDLHFKENRQKFEFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAGITDDDLLIMSDVDEIPSGHTINLLRWCDDIPEILHLQLRNYLYSFEFLLDDKSWRASVHRYRAGKTRYAHFRQTDDLLADSGWHCSFCFRYINDFIFKMKAYSHVDRIRFKYFLNPKRIQHVICQGADLFDMLPEEYTFQEIIAKLGPIPSTYSAVHLPAYLLEQFDQYRYLLPGNCMRESG >Et_1B_014440.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:8706705:8708027:1 gene:Et_1B_014440 transcript:Et_1B_014440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMAPSPPQQLSLADLKALSVLGQGARGVVFHVVATGAGNNPVAMALKAMSRAAARHRGRGPGGAHGGGGADGHRRIWFERDVLLALHHPLLPSLRGVVATDAVVGFAIDRCPGGDLKSLMVRRRRTALPDSVIRFYAAELVLALEHLHGLGVVYRDLKPENVLIQGSGHIMLVDFDLSTTLPPPPPPPPPDAEASSPSLSSRHHLNRKSKNKVAAMILACLSSSRHAVAGASSESSTYQPSPQSTTSRTASSSSSGCGSSGPAAKSKSFVGTEDYVAPEIVAGSGHDHAVDWWGLGVVLYEMLYGRTPFRGRSRRETFRRVLAAPPELPPLLAGDGDGGGRAPLRDLIARLLDKDPATRLGARGVRRHAFFRGVDWDRVLDVARPPFIPAPDDDDGSVGAVALDVEKVVGEVFGGETPSPKAAGSEDVTDDDDFSIFF >Et_4B_038219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27253537:27256653:1 gene:Et_4B_038219 transcript:Et_4B_038219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGAEAAAVGKVTCAAWIQRKNGEGLGPRLLVAFGRGATASSPPLLDLLAFDAKASALDTEPLTRVVMGEEGANAPRAIAVHPGGRELVCATAKGCRLYKIVYQEFGFRLISTDASALESVGPQKCLAFSTDGAKFAIGGEDGHLRVFHWRSLNMILDEPKAHKSFFDMDISLDSEFLVSTSTDGSARIWNIDEGTPLVNLTRPSKIEHCRFSRDGNKPFLFCTLVKGEGVLTMVLDIRNWKRIGYKRLSAKPISTLSVSLDGKYLALGNRDGDFCVVDVKKMEISHRSQKVHLGSPISSIEFCPTERVVISTSHKWGAEITKLEVPADWKVWQLWLVLAILFVASAVLFYMFFKYAKLNL >Et_5B_043462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10734008:10735824:-1 gene:Et_5B_043462 transcript:Et_5B_043462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSTESGMFRGAGVGAVSGAVFSIEAVESCIEIWRSSPSGKYSILFVLDIISSLFSGRIVWEKVCPALQRAVQSQMSLLSTPFIDNNDLFETGGTGGMSRDFINRIPEMRFRAASSCDQETENSCCSVCLQDFGAQHLVRVLPQCQHIFHVRCIDNWLLRHASCPLCRAGVHMDHIHM >Et_4A_031854.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:23923950:23925054:1 gene:Et_4A_031854 transcript:Et_4A_031854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPSVKPRRASPPIPPNYVSLRQLQELRLKEKEEEERRQREEEAAAAAAAAAKLEAARKAEMKAIAATIKAEKKVSAASSVATGWAKERRDGGRGHQWVAVAHQPAREEGLLGKREGATGVGRGKKGPADAAAKAPRGGGCKQEGKAKGPGEEKASAVTSAQTLAAGEPGKPAEASSRGGRPENRGEGKAKEKASGDKSAEADSVGASGESACASSRRRRYPKWKKGAGGRSAEMNADAAPAKTTGASPPQGVNPEAAGKPTPPGPRRADASQSTVPSEGKKAAPRPPAGRSGVELRAAVETKPEGLAEGSAVVVHVAAEQKPRVVRR >Et_2B_020524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2158733:2162234:-1 gene:Et_2B_020524 transcript:Et_2B_020524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLYGDLPPPSSSAGDDDKASTASVWSSATKMAPPTLRKPAFAPPPSVLRNQHLRPPKPATAPTPAAPASAPIIEAPAPVPASTFQPAFVAVQSTVLEEYDPARPNDYEDYRKEKLRRAKEAELSKELERRRREEQEREREREQREKEAREREERDYQSRASSLNISGEEAWKRRAAMSGGGASAQRTHSSPPNGDGFAIGSSTSAGLGLGAGGQMTAAQRMMAKMGWKEGQGLGKQEQGITAPLVAKKTDRRGGVIIDESNSRPEKKPKSVNFDGQPTRVLLLRNMVGPGEVDDELEDEVASECAKYGTVSRVLIFEITQPNFPADEAVRIFIQFERAEEATKAMIDLQGRFFGGRVVQATFFDEEKFGRNELAPMPGEVPGFEDSMPVLPIFVVDLSFTNISVEFLFARSGPVKLQG >Et_2B_020193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17822947:17827908:-1 gene:Et_2B_020193 transcript:Et_2B_020193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNVGPPLTPHPLLECSTPVAAPTGGALLRGFRAASPLPAASERGRALVHHSIVAAARRMPPPTVPFFLTSTTLSAANNPQPQTPAPAPPPCDAQAQASDAASLASASYAARMRLNPHLALRVFDHMLRSGADPDPAAYALALASCARGRDPDAAAQLHAHAAKRGLASHRRVRGRLVHAYAVCGMVSHARRVFDRGADNDMVAWNCLLRGYAQEGGDGDALRDFFSRMPSRDSVSWNTVLSWCVMNGEYEEAIAVFREMLASRECEPDRVTLVSVISAIAYSGALAQGIWAHAYVFRKRIQVDEKLSSALINMYSKCGFIDGAVYVFHNIAAKRSLDSWNAMLAGFTANGCSERALELFIRMESTGLVPNKITFNFLLNACSHGGLVDEGVRYFGRMSTVYVIKPDIAHYGCMVDLYCRAGLFEKAEEMIQKMPMEPDASMLKALLGACRTHKNMELGKKAGCRLIESAPNDHAGYVLLSNIYALDGNWGGVHKVRKLMLDRGVQKIPGSSSVELDGQLRIAGYTPDTSQVLLDIDDKDVRASSLALHSEKLAVAFGLISTATGTPIRVVNNLRICGDCHNAIKLLSKIYRRCITVRDANRFHHFRDGACSCGDYCLYDSKLNMDLRLKKRNIHEAVKQKDSLYREGAGSESSDFTSTGWPLTDSSSCVKLLLAGKL >Et_1B_011418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2257655:2264253:1 gene:Et_1B_011418 transcript:Et_1B_011418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPAASTPMEIDAAAPPAGATAKGKAPLSATGRAAPWVEKYRPQSLADVAAHRDIVDTIDRLTNENRLPHLLLYGPPGTGKTSTILAVARKLYGSQYSNMILELNASDERGIDVVRQQIQDFAGARSLSFGAKPAVKMVLLDEADAMTKDAQFALRRVIEKYTRSTRFALICNHVNKIIPALQSRCTRFRFAPLDGSHVRERLQHIIKSEGLDVDDGGLTALVRLSNGDMRKALNILQASHMASQQITEESVYLCTGNPMPKDIEQIAFWLLNEPFLTSFKYISDMKMRKGLALVDIIREVTMFVFKIQMPSDVRVKLINDLADIEYRLSLACNDKLQLGALISTFTDARTAMVAAAS >Et_6A_046024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19144001:19144366:1 gene:Et_6A_046024 transcript:Et_6A_046024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPRRHTAAVLLLSLLFLAVVVSHAAKETATTRSQADLHDQKHHQVMTKRARLYLQAEEAMSLTAVDKRCGGAGGGGEGEDEEECLMRRTLVAHTDYIYTQGGGHN >Et_3A_023572.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:5573259:5573477:-1 gene:Et_3A_023572 transcript:Et_3A_023572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIYLMWLCVHGDSNLAAAVPVRRPSAFVGLHVVGSRPIPAGAGRSSPSSAARFADDKRPIPSCPDALHNR >Et_2A_016158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21647043:21648576:1 gene:Et_2A_016158 transcript:Et_2A_016158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FIGNAVTFFGLLSHNPHRALARLAEVYGPIFSFRPGMTCTFIVLSSPDLAREALAEKDVALAARFVPDSVRALAYSAGSMAFLPSSSPLWKQHRSTVGVHLISGKGLNVTRPIRDRHARQLAELLRACSHRPVKVGEIVFGAANNVISNILFSENVVDLHMQGGEPFKDIVGGLFGEWSKPNISDAFPFLAPLDLLGSRHRTSKNLAKLYKLFDEFIERRLASGEIHNDMLDAALKLHAKSQLRRSEIAKLFTDMFIGASETSNITVEWAMAHLLRLPNKMEKLCAEISTTLGTKDFVEESDLHKLPYLHAMVKETLRLHPAVPVVTREVSANGVSLGGFPIPVGTCVLINLWAIGRDETLWPEAEEFMPERFYGVDRALHFRGSDFAYRPFGAGRRMCPGLDFAARFVPLVLASILHKIDWKLPDGMTPDDIGLSDHCTLVLGLAKPLHAVPVFKA >Et_7B_053482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22551605:22552293:-1 gene:Et_7B_053482 transcript:Et_7B_053482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHMIPFLELSQQVAKRGHLVSFVSAPSNIARLRPMIPAELSPRIRLVSLPLPAVDGLPEGAESTADVPPEKVELLKKAFDGLAAPFAAFLAGAIDAPAPARADWIVVDFAHHWAPRIADEHRVRCALFLIFPAAFVAFFGPKALNDAHPRTSGGVQAARGRVDCAVGVPAQRVRRLRRRPLLGHGGALPAHLISQQPGGRRRVLRSPR >Et_1A_007430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34442435:34445989:-1 gene:Et_1A_007430 transcript:Et_1A_007430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAGKPSPPPPGGWLSDLISGASRILAAVLGPESSTSDGTSSSPESSQSPLPPRRARRTPEIVLKDNGDGSLGMVSRMDPKDAAAQLLMQETFSRSECDALMKIIEERVVDSGPSVVEPDVVLPLAWRPRPEEHPVEYSSLSPKNSACQTSGVPLFGNVGEKIELKKSSTTVEDPCTLKDLSDDHRHVVRRSSSYKADTFEEPRRVRPKLNESNNSGKLSDDLCYPEMFSNPSIHDAGELRIPGEYKDIPLLGTDNLTFANMASQSETARTVQHLATSSRQAHMKKRGSGKFYPHSNQDLTKTIPVKVEPFNEYAPFEPEMMHVGQKNDERTLSNESCSASKMILKEDIEAAASSSVRLQGENRSRNCKRGLKLQCSIPTKKRSPAISNDRPSKHRKIGSWNGSPQQRNPASVGQEPNACHRQGKRPVG >Et_1A_007080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30693847:30702080:1 gene:Et_1A_007080 transcript:Et_1A_007080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLASAKPSPRAAGACPPLLFSPLKPFPLLRFPRRRAAAGAARLRLRPCAAAEGAAPAGEEVFGARRELTGVQPLVEALPPAARTAAELAVAAAAVAAGYGIGLRAGGGSRAAAYAGAAVLGAASVAAAAAVNSVVPEVAAVGLHNYVAGHDDPTNLESGEVEAIANKYGVSTQDAAFKAELCDLYARFVYSVLPPGDEDLTGTEVPAIVKFKNALGLDDVDAANMHMEIGRRIYRERLESGDRDADMEQRRAFQKLIYVSNLVFGDASTFLLPWKRLFGVTDSQIDIAMRDNAKNLYSSQLKAIGRGLDIGTLIDVRRAQLAYKLSDEIAAEMFREHAKKLVQENISSAVDVLKSRKPDSLTEAVDEVKSVLEFNRLLTTLSKHPQEDQFARGLGPISLGGEYDHDRRADDLKLLFRAYAAEVISDGIVDDEKLAPLNELRNIFGLGKREAEGILTDVKALIYRRTLAKVFNTELASVPSKAAYLQILCEKLQYDPELASKMHEDIYRQKLKQFVADGELSKEEVEALMAFQVRLCIPQETVDAVHTEICGQLFEKVVKEAIASVDGYDANRREAVKKAAQSLNLKKEAAMAIFSKAVRKLFLNYIQRAKAAGNRIETAKELKKLISFNTVVVSELLADIKGELSPTVETEPSSTTSESEGEDDEPEWESLETLRKTRPDKELREKLRKSSQIEITLKDDIPLRDRAELYETYLMFCITGETTNVSFGTAISTKKDDSEFLMLKQLGDILGLSRKEAQDVHIKFAEKAFVQQAEVILADGKLTEAKADQLAKIQKQVGLPTEHAQKIIKSITTTKLSSAIQASVARGQIGIQQVRGLKEANFQLESLIEEPLRESIYRKAVEEIFSSGTGDFDEEEVYVKYPADLIISPEKAKKMVQDIAKVRLENSLVQAIALLRQKKRDDVVSSLNDLLACDAAVPASQPLSWPTPGELDDLYGIYLKSIPKPEKLSRLQFLLGISKEKANQIRDAASEGTLTVSSQEEEEEVAF >Et_7A_052803.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16918334:16920292:1 gene:Et_7A_052803 transcript:Et_7A_052803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKSCLYITYSPEITDGVPLYVSSNCLPVKASKYEPAGHSFHAAAMKLRGLGEQEDTETDDRSVSSDDKSQDFAAGSDNFSSKGKKKSGSQQQDHYALLGLGNLRYLATEDQIRKSYRDMALKHHPDKQAALLLSETTEEAKQAKKDEIENHFKAIQEAYEVLIDPTKRRIFDSTDEFDDDVPTDCAPQDFFKVFGPAFMRNGRWSVNQPIPTLGDDSTPISEVDKFYNFWYNFKSWREFPDDDEYDLEQAESREHKRWMERQNLKLQEKAKKVEYARVRTLVDNAYKKDPRIQRRKEEEKAEKQRRKEAKYMAKKLQEEEAARAVEEERKRKEEEAKKAAEAALNQKKLKEKEKKLLRKEKTRLRTLAAPVITENHFGLSGDDVEAACASLDMERLKQLCDSMDGKDAAEKARLLRGALNKEVSLKEEKKSEANGVESSTAKSNSTGNKVTQGSILNSYEKKERPWGKEEVEMLRKAIQKYPKGTSRRWEVVSEFIGTGRSVEEILKATKTVLLQKPDSTKAFDSFLEKRKPAVSIASPLSTRDETVGSSTEGAATASSKVTAQPASSQTASEKTAADPVQNGATSAADPDAWTEAQVLALVQALKAFPKDASQRWERVAAAVPGKTVVQCKKKVASMRENFRSKKGAE >Et_2B_022762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4628431:4629755:1 gene:Et_2B_022762 transcript:Et_2B_022762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGAGGTGITKRPPQQCQDAVEKASQRAEAKDTVDQDARPWIARKKTTTLAICLVALPILVTTVSLRDALWTAEPFWPLATPSTRQESNADDLLGGLLVPGFDERSCHSRYGSAFYRKNATRLPSAHLVTRLRRQEALQRRCGPGTEAYRAAAARLRSRHRADDGANDTCKYLVLVPYRGLGNRMLAVASAFLYAVLTDRVLLLDRATSLSDLFCEPFQGTSWLLPRRFPIKNLQNLTGEVRESYRNLVRNDSSVSGIRYVFVDLDHTCTYHDKLFYCDDDREFLHRAPWLVMRTDGYFVPALFLNPAYQEELDRMFPRKDAVFYLLAHYLFHPTNKVWGLITRFYNSYLRN >Et_4B_037663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22351252:22354694:1 gene:Et_4B_037663 transcript:Et_4B_037663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTQPSAGERDPLLPSSASPPPYLDSHPADSYAVLLVPVRLRRRLRRGCGSRCLGPLLFVLAILALAGFLLWPSDPDVSVARLSLEHVSVAARPAVAINISAALKVRVRNPDLFALDYSRLAVDIGYRGSQLGRVTSGGGRVRARAVSYIDADLHLNGIRIVEDAFYLLEDLARGSVPFDTIAEVEGHLRFFFFSIPVKGRISCVVHVNPHNQTIVHQDCYPEVNNIHSSWLVEPLVVIFLKLT >Et_9B_066166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5453877:5456350:-1 gene:Et_9B_066166 transcript:Et_9B_066166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRHALAEVLGVEISSPRTKDTTMVNRISHPWHEHDKLRFSKGSQLMQSLSFKQWEAAAEQISQITAGDDDRRRGSSSDASMEEPCSPGASSSPKCELDAAAVKLQKVYKSYRTRRNLADCAVVVEELWWKALDFASLKHSSISFFNGGKPETAASRWARALTRAAKVGKGLSKNGKAQKLARQHWLEAIDPRHRYGHNLHIYYDVWSRSESTEPFFYWLDIGEGKEVNLEKCPRSKLQSQCIKYLGPKERQEYEVVVEGGKFVYKKNGVLVHTLDDSKWIFVLSTTKALYVGQKKKGSFQHSSFLSGGAITSAGRLVVKEGILKAIWPYSGHYLPTEENFREFIRYLEENGVDLTGVKKCPIDKDDEYPLLSKPDAQPTAAVSDDTDHNAAGAAADDHLSEPEVDGDSHRSAGDDGPMSEAEEDDTDINIHPESSEEAHISGHLQPEEEVVVVEQTPAPSSGGAADHGKNHQTCRWSTGTGPRIRCVRDYPQDLQSRALEHVNLSPRLAGSPTRKRDPVPSPRPSPAMILSPRLASVGFQPPMPVSLTLPDFKRSRLQ >Et_1A_007004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:377644:380190:-1 gene:Et_1A_007004 transcript:Et_1A_007004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQRKSNQYIIHLLRVTFYLRDGDSNHQALNAFFDDLIDYGGQFISLFNACHNAFGGLTRLHLANLIFGEMDVANILSTCRRLRHLCFYNCDSRDWTLLKVVHSQLNELSIVSSSFEILEFKWLPKLTKFTFEGWISFQYQLSFGSVPMLKTVSLGNTCLSWHKMVVLRKFLATISTWVQPEGPKGLKLCSVNLIDLPERCDLTWIMFILEAELYLTETDEERRTYSYSEKKSVEWKASDFKQQSLASLTIFNFQMEDYFVSYIRCVMEAAVNLEDVFLHNIQGLQRSSGASIKVFKVPIVKEADVDKVTGNHKEHACEYAYLARMTRLSLDID >Et_2B_021504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30134297:30138575:1 gene:Et_2B_021504 transcript:Et_2B_021504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSGAGDYSPYYPPYPSPAAAAPPPPTYPSVPAAASAPPYSSYPIDFAPAPSYPPADTPPYAPPAAAPPPPQPYYPYEPPPLPPSPHNPVPSPYPSLDQAGSYGYGSGYGQELYPPTKPAGGGWSDDGVYAYDGGDAPEPYGARRTAPRSGSGSASALFDDYGRSIGSATERGGRGGGAASPKVVRAVPKAETTEDVRGGVQKFRVKLLPEGAGSPMDVLCQVGLDGIRMLDPNTSRTLRIYPLETVTRWDVLDSSIFAFWSKSSVDVEARRIRLKSNSYTSNTILDTVTAATVQFKEMGASSISRSRVAADAAKPAEQQNERKKNFLDWRNLMKPMNEEKDHWVPDEAVSKCTACASDFSAFNRRHHCRNCGDIFCDKCTQGRTPLTSDADAQPVRVCDRCMAEVTQRLNNAREAVNRPIVHSHEDLAKKLQEAMDINKRSSSSGMRSSDGSGKRMREVACPICTVHLQVQVPTSGSETIECGVCQHPFLVSAR >Et_4B_037801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23553284:23554443:1 gene:Et_4B_037801 transcript:Et_4B_037801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTMLKLTITNRRRPVQPLPSHFISEKILIMHPTSGKEKWSEKEKEREEIKVFMCHALNGPRFMARDLTIRNTAGPEKNQSIPLHSTNGHSIVYQCVLDEYQEALYAQSDIRLYCGCRISIFSSLNMLHKTVINYSKKVPLRNHYCIHVSVLQCDRKSYSTQERRVDIPWMVLS >Et_2A_017886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7473675:7482699:-1 gene:Et_2A_017886 transcript:Et_2A_017886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAAPQPPRRLGSLWSTLEDQRWAGREPPPAVVPLLSSAWSLPTSEYGEDGERTKEGPLRRAGAAVARWWGAARAAAAELWAFARADPRKPVFAAKVALALALISLLVFLREPRDIVSHSVWAILTVVVVFEFSIGKRSLNTLPSPNTFLSFFRCRVSSSWLEDWDGEVSRFLRSMACSSPGATLSKGFNRGLGTLTAGVLALAVAELSKHFGKLEEVILITSTFIVAFCATLTKLHPKMKPYEYGFRVFLLTFCYVMISGYNTGLFTDTAISRFILIAIGAAVSLGINIGIYPIWAGEDLHNLVAKNFAGVAKSLEGCVDGYLKCMEYQRIPSKILVYQASDDPLYSGYRAAVEASAQEESLLGFAIWEPPHGPYKMLHYPWKSFTKLGGALRHCSFAVMALHGCILSEIQAPPESRRVFGAEIHRVGREGAKVLRELGNNVKTMTKLSSLDILSEVHLAAEELQRKIDEKSYLLVNTEKWDASKQAEGIKKVLNGPAIVEKENREKENKSEVTERRIADQTLAHQSKSFRANSLLRRFDSAESFKSLQSWPARRSFHPNLPVEDEDSKTYESASALSLATFASLLIEFVARLQNVVNAFEELSDNANFKDPVEEPAAAGTNGGGDQRGLSRETVPLLASAWGLPIGEDAERRRKEGLRQRAARWWREACAGAVDMWAFARADRRKPVFAAKVASALALITLLVFIREPRDIASHSVWAILTVVVVFEFSIGATLSKGFNRALGTLTAGVLALAFAELSAYLGSLEEVILVVSIFIVAFFATLTKQHPKMKAYEYGFRIFVLTFCMVMVSGYTNGTFIHTATSRFILIAVGAAVSLGINIGIYPIWAGEDLHNLVAKNFAGVAKSLEGCVDGYLNCMEYERIPSKILVYQAADDPLYSGYRAAVEASAQEETLVCASSKPNSSTRIILVDSDRILLMSLQLGFAIWEPPHGPYKMMNYPWKNFTKVGGALRHCSFAVMALHGCILSEIQAPPEIRRLFATEINKVGQEGAKVLLELGNRVKTMTKLSSSDVLLEVHLAAEELQKKIDEKSYLLVNIERWDANKRAQGSKVVQNGTSIVEKENKNEMEPPIVDQTLLHQSRSFLANSFMSRFDSASTIDGFKPPVWPARKSFYPNVPPEDEESKTYESASALSLATFASLLIEFVARLQNVVNAFEELSDKANFKEPVEEPAQVSMNVGGCFDIICKLKLVGQSSSEKERNSALFKWLRAV >Et_5B_043591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12225042:12225424:-1 gene:Et_5B_043591 transcript:Et_5B_043591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTKTRPSLRHGLHLYVEVDEDNGTEILYYIVRVWLRRRRPFPFLWFVLEARALQWYAAARAGQPKLMVQSLSCTHSLLGPI >Et_1A_004917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16442088:16444327:-1 gene:Et_1A_004917 transcript:Et_1A_004917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLMHELARYVSSGECAAIIDSTMLENVEGTIRHLRIASIDKFSTEDVKKITHFKNLRTIIIDGPGLINKDMLRTVENVIENSKSLRLLRSNLENAYHLPKLADLKHLRYINLYRISSMGICGLAKLYHLLLIDCLTDRGEEPRQARYIGLEPHPSIRILQISGFCGARLPVWIENVHVTNLVSLELARCLYWEHLPSFGGLKYLKKLWLECLPNLQQIGQPSNTSCIDSYLPPNLGTLIVRRCNLLTQLPILPPSLVHLEICKVGLTELPIIGRLHSVSTETKQSKLLFVSIEQCEYLISLGESLLSQTQYIGTIQVLRINDCKKLESIPLSFKEMSELRDLDIRNCPTLRIISSDVGDKVLPPSLEKLVVKQCGDLELVLIESLHGLVNLSQLVLENCPGLKYLPSADVWKNLESLKFMEIIGCENLTSFGACGGGDDDGMQEENPVMPVASSLHVDYLEVDIPSVLNIDPLKSLCHTKGLVIGGGRQMESLPEQWLMQNQRQLESLRVQGASFLESLPLGMRDLTALNSLILSGAEKLRSLVELPSTLRLLHIMGCCPELETNIREKNSAEWKKISHIPKVHVTAVKIVQSRPHVFHGTDASL >Et_2B_019108.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:10357913:10358377:-1 gene:Et_2B_019108 transcript:Et_2B_019108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGKSASALESAKEAAANVGASAWAGKEKTKAVVQETVAKARAHDPEEKAAAETRKQERVREVEAVKQDAMRANAAAKERATAAVHQPTLPAPVDREVPPTTDGGGHLVGASGAPATGGHVTGAAPTATETGAAATGGHAAGAPETTGAVVNP >Et_10B_002539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14653675:14656914:1 gene:Et_10B_002539 transcript:Et_10B_002539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLDKFRCVQWNVGVGASACFTQSISSIVKDHKIRLHGPFDYVIEVDMKQEAALSFKNQTSSVAVALRQENNELEKKDDDVQYYSYGLEDAMNSLQNLSSSVALRQIYEKLSTKKYLLVVWNLHKPIKPIKVQDFTEDSCLPPPQWNGSFWLISATSRDVYEKSKPGYECTIESFDGEDILLLTLYSLHQAAKYILQTIGDKDEIYWHTVALRCFHYAAMLLIPFCSSSYGGDGDHLSSDANADINSEELIRQWAAQGILPIISQSSQERMEQVTHSDHGKFNDDDIYQIGNVIIHAFQEYSLLQLPLSCTTKTEEATKTAAHFLVCSGLIAEQLTIDELYDDSHHGSECMQWISHVGDQGWHVRRDLLSLGARGPTVLIIHHCLQQSTLFAKLDPLLAKFACLCVLDLSYTPLVSLPSSICFLENLQMLSLRGCSNLSSPFRFPDNERTKLPRKNSNTMISLRYLDLSYSNVKAFDRDVFHHVPNLQQLILLKCSNLIELPPSIAALTSLTTLELTGTKIKSIRVDIFVQMNKLQSLKLIDNTTPYIKRLSLCGCKKLEFVDIKELDALEELNLSATGIKELPVEIPNLPQLRRLLLIGVPSLRRFPWHEVQRLPDVFCLDQYSDGKGSSLSQPQFITQQVRINDCRFFNSFNSYSRNLVRGGKLFKCFYILVASCKARCRKMQYEEDTTFIKKLLVSVAYADVDHCYQTEGVSMVPMDDVPPIRETECHVEISAVEQYPHGLKHLLEVTKSMSMMDDTHVSCLNDLSLLHDLEECLLRRCHKMVYVFRERKFRSWFLSNFSVSYLNSLTHLYLKEHHYPDDFRALKHLFLEHCPRLEAIMPRGYWLPSLVTLDILFCYNLKEFFYDKGDSSPDSYQLPCLRRVHLQELPHLNHLHVDDAIITAPAWEELHVRGCWSLRRLPRLNQRPYKMAAVKVSREPAWWRNLRWDREEDDGASLHRQSYQPVFPPASASFRDRVVIKSYLR >Et_3A_025936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34119525:34122612:1 gene:Et_3A_025936 transcript:Et_3A_025936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVASPYSVPHLLIKPSFRLCSRKGVGRDGGIKVYAVLRKDGAEFARNNNLEALFHVDDPGPRVPIKKGKLLDVNQALEVVRFDIQYCDWRARQDLLTIMVLHNKLAQMETLVNDRLLPDGGSSTSTADSTSLAPSTSSTSRVRAKKQPRRALNVSGPVKPYNPSLKNFWYPVAFSSDLKEDTMVPIDCFEEQWVIFRGKDGRPGCVQNTCAHRACPLHLGSVNEGRIQCPYHGWEYSTDGKCEKMPSTRMLDVRIQSLPCFEQEGMVWIWPGDDPPKSTIPSLLPPSGFTVHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPASGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGKSTQQCSTHLHQLHVCLPSSRNKTRLLYRMSLDFAPWLKHIPMMHLLWSHFAEKVLNEDLRLVLGQQERMINGANVWNWPVSYDKLGIRYRLWRDAIECGSGRLPFSNQIESGS >Et_3A_025342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29068758:29070648:1 gene:Et_3A_025342 transcript:Et_3A_025342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTQQQSEEQVRTVKLRAVEATPKSFAPFGQVIAASPDGDHFGPHDAQLDLSRGIPRRPLQFSSITHHASVTQCLGSIGGHDWYLGVAKSSIVESPEESGGEEGRKPVQSRAGHYYLPPDPAEVCVFWVSGPKFLKLHKGTWHAGPLFKANAVDFYNLELSNTNVVDHTTHHFKKQDG >Et_5A_042043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5607246:5609458:1 gene:Et_5A_042043 transcript:Et_5A_042043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRREAPLMRGGGGGPPLSRGARIAAAVAVGVTLGCVCAFLYPDGLISRSADSALHWASRADSVACDTSGEVGDLKSQLKSLERKNAEFRKQINELSMKLQLAGQGKKEVPYKAGPFGTVKALRTNPTVTPDESINPRLAKILEQVAVKKELIVALANSNVRENLEMWFTNIKRVGIPNYLVVALDESIESFCKSKDVPVYRPDPDDSIDSIGKTGGNHAVSGLKFHILRQFLQLGYSVLLSDIDIIFFQNPFDHLYRDSDVESMSDGHNNMTAYGFNDVFDEPSMGWARYAHTMRIWVYNSGFFFIRPTIPSIELLDRVAGRLSSEPKSWDQAVFNEELFFPSHPGYEGLHASKRTMDIYLFMNSKVLFKTVRKDAQLRKLKPVIVHLNYHPDKSDRMRAVIEYYVNGKQDALDRFPDGSE >Et_8A_057232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22515691:22516494:-1 gene:Et_8A_057232 transcript:Et_8A_057232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGYASRNREVYVRLACNTFSTAGKRDAKMDCLHAFSSLAQPCSPASSRVVVTVVILRLLHRTPSQRFLSLATACRCISVLASSSSRSSAMAGSSSVYTKRSKALKTAVCMSSTRTRPDAFSFIGPRSSALNTGDRAASTARCARNWTSPPPTSNVTSPAATPSDDSSSSPRSLANPGGGGATCGSGRVGDTRGSLMTVTSHAMASRSPTRYGGDSSVLRSTSIQLVRMSGVWMGMAMATRKVCSFFAAPSGSSERV >Et_3A_023921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14731222:14735230:1 gene:Et_3A_023921 transcript:Et_3A_023921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNNAAVLLLLLAVQCLAALVVACEARLRQTDSLRRLGWSASSRKSGNVDESLAASAADDVAPRGAQGVGPRGRAARATGGRGLRAVRRVRDRGRALFYYLAEAAGGNGSASTKPLLLWLNGGPGCSSLGYGALEELGPFRVMSDGKTLYRNPYAWNHGTQGLYIFVHEDGELPIDVIIICAAANVLFLESPAGVGFSYSNTTANYDRRGDNRTADDAYEFLLSWMDRFPEYKGRDFYIAGESYAGHYVPQLADVILRNARRTNGKSSTTIINLKGIMIGNALIHQWSDVKGVYDFYWSHALISDEMADRVTKHCDFTDGAEWDQLCLDVQKEVGTFPGIDVYNIYEPICQSDTLVTPPVTPSTYDPCTDTYVEAYLNNPDVQKALHANVTRLNHPCGPMLNWNDSPSSMLPIIKELLRNGIKVLVFSGDTDGNVLVTSSRYSVNRLQHPVVAKWRPWFVSNKGPREVAGYVVQYKSNLSLVTVRGAGHQVPSYQPQRALALVQYFLAGKILPDCTKCEQD >Et_7A_053159.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:8553130:8554167:-1 gene:Et_7A_053159 transcript:Et_7A_053159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLAVVLLAAAAACAAASAAAAGEVPEFREAPAFRNGAGCAGAPTIHIAMTLDATYLRGSLAGVLSVLRHAACPESIAFHFVASSASPARRLAALRRALAAAFPTLPATVHRFDARLVRGKISSSVRRALDQPLNYARIYLADLLPRSVSRVLYLDSDLLVVDDVARLWATDLGPDASLAAPEYCHANFTSYFTDAFWGHPEYASIFANRTRAPCYFNTGVMVIDLARWRAGGYTAKLEYWMEVQKQEARIYELGSLPPFLLVFAGEVKAVEHRWNQHGLGGDNVAGQCRELHPGPVSLLHWSGKGKPWLRLDAGRACPLDSLWAPYDLLRRRGARDDLLAAVA >Et_10B_004187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18056378:18058594:-1 gene:Et_10B_004187 transcript:Et_10B_004187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRHLPPVLLLLLLFLLSLSFRRCLFLSRNPSQSAGDQLLRRLAAIDVGGDQLVSDAAALFANASLSSFLSLGNHHRLLYLRLPFHNPSTPGPAKPRAVSRLRIPFVGIPSDASLLAAFRASLRGFLLARRTRWGTIAGVMADLPGLLGRRRRFPTCAVVGNSGILLGSVRGAQIDAHDFVVRLNNARVAGFAADVGAKTSLSFVNSNILHLCAVRSAVSVAGCGCHPYGRAVPMAMYVCQPAHLLDAVICNATATPESPFPLLVTDARLDALCARVAKYYSLRRFVAATGAPASNWTRGHDERFFHYSSGLQAVVMALGVCDEVSLFGFGKVAGAKHHYHTNQKKELDLHDYEAEYDFYRDLQERPEAVPYLDEAPEFKVPPVKLYCLRKK >Et_3A_024937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25516648:25520363:1 gene:Et_3A_024937 transcript:Et_3A_024937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRAYLPAVVPGASERELQNVEQPTHHCCNKECMPPLLQYVKQFPPIVKMVMFTVEEMRKIMDKKNNIRNMSVVAHVGHGKSTLTDSLVAAAGIIAQDVSGDIRMTDACADEAERGITIKSTGISLCYEMSDDSLKSYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDSIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFSRVIENANVIMATYEDALLGDVQVYPEKGTVAFSAGLHGWAFTLSNFAKMYASKFGVDESKMMERLWGENYFDPSTKKWTSKHTGSATCNRGFVQFCYEPIKQIINTCMNDQKDKLLPMLQKLGINMKSDEKDLRGKALMKRVMQNWLPASNALLEMMIYHLPLPAKAQKYRVENLYEGPLDDIYASSIRKCDPEGPLMLYVSKVIPASDKGRFYAFGRKVSTGMKVRIMGPNYVPGQKKDLYVKNVQRTVIWMGKKQESVGDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIKAMKFSVSPVVRVAVQCKIASDLPKLVEGLKRLAKSDPMVLCTVEESGEHIIAGAGELHLEICLKDLQEDFMGGAEITVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGIPEAIDEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMYLNEIKDSVVAGFQWALKEGALAEENMRGICFEVCDVVLHSDAIHRGGGQIIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYSVLREGMCLRRCRGLALHYTISRLTSLLLSHLGSQAPSGLQPLARRFLSVSLIIGKCYLPILWSLAHRQLTLSWTYAREGTEGADHTSLRI >Et_1B_009838.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31707443:31707700:-1 gene:Et_1B_009838 transcript:Et_1B_009838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVVLCFIRTCEHNNLKSCYCCGMLPNTPCYPDRQQCWNICPRQRQTLPPALPARETSSSGSQPAPKEVVFHRLISQLQTCDIC >Et_2A_015990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:246573:248682:-1 gene:Et_2A_015990 transcript:Et_2A_015990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARAALHLRRQGLAAATNPVLFSGHGLRYRKLEVILTTSIDKLGKAGEVVKVAPGHFRNHLMPKMLAVPNIDKFAILVQEQRKLYQREEEVVKEVTKEDDDARQQEERLKEYQTAAKRLDNALLVLRRYISTGNELRTPVTKDEIVSEVARQLNINIHPDNLHLQSPLASLGEFELPLRLPRDIPRPEGKLQWTLTVKIRRK >Et_6B_049787.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1232865:1233668:1 gene:Et_6B_049787 transcript:Et_6B_049787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVNRKLSARGIQSYALRGCVILVLMGLMGAFAAGSCRKVSTSIYVFVLVLAVLLCIAFQVAMVVSQSVRSIVQKFLSFGMLKEEASDLLPHNTNNRGKHDLWDVKLPKYLLLLAALAAAVTYQAAMNPPGGLWGDDQTNHTPSDPILRSSYPHRYKVFFYCNATSFMASLVIMVLLLIKRVSKARPALLALHAAMILALFGLMGAYAAGSSRRVRTSAYILALVIGVSVYIVVLVIVSIGVAKWLKGVMNKMVERVSSCFFVDDL >Et_1B_012660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34163070:34167886:-1 gene:Et_1B_012660 transcript:Et_1B_012660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HHHLALSQSVIPVLARQCCRSLWLQPSPSEHSFEMPSEAWPLHLFPAKISSFSRPGELKNKISATVLGAWLLPVSSIPSSSDRFQDLSFRVCSWSKAKESTKTVRKIPYFGASSWSCNSLSMGSWSGHGAAAAAAVALVSWLCFTAAGVGAIGANWGTQASHPLSPDTVVRMLKENGFQKVKLFDAEEGTMNALKKSGLEVMVGIPNDLLYTMATSMKSAEKWVDRNVSHFLNDGVSIRYVAVGNEPFLETYNGSFLQTTFPAIRNIQSALIKAGLGNQVKVTCPLNADVYQSTTSKPSDGDFRTDIHDLMITIVKFLSDNGGAFTVNIYPFISLYSDPNFPVDYAFFEGRGTPMRPGPVDAYLFSLIDEDEKSIQPGNFERHWGIFTYDGLPKYQLNMGAANSHSLVRARDVKYLEKKWCVLKPTVSLNDQKVADSVSYACSQADCTSLGYKTSCGFLDIRGNVSYAFNSYYQKNDQDDVACGFNNLATITGQDPTTGSCRFGIMIEVDSAFSWKLQQLRSDFLLMLLLVILQLCISFL >Et_7B_054983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6150702:6157182:1 gene:Et_7B_054983 transcript:Et_7B_054983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWWGKSSSKDKKSTKENLIDTFHRLISTNEQKGSTKSKRNGRHGNNTAVEKVCQSTTVSRPTSPSKEVSRCQSFSADRPHAHPLPIPGVRPRVTRTVSDVIESKPILEKRGKPPLLLPLPKPNRPHRRPGTSELDSEIVIASISSNCSAGSEDNGDPQLQSPVGNDAENTKKVTSDSKSSNVGKERPGTITTKTRKEMSKPVNAFISSHTQSTSPRGITGDSYQPNIQNLRPVVLESAPNSLMSSPSRSPRRICPDHIPTSAFWTVKPHADVTFLGSGQCSSPGSGQTSGHNSVGGEMLGQIFWQPSRGSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRSGGMEHESPTNRHDDGKKKQTHKLPLPPLSISNSSFFPNNSMPTSPISVPRSPGRTESLPSPASRWKKGKLIGRGTFGHVYVGFNSDSGEMCAMKEVTLFLDDSKSKESAKQLGQEISLLSRLRHPNIVRYYGSDTVDDKLYIYLEYVSGGSIHKLLQEYGQLGEPAIRSYTRQILSGLAYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHVNGQHCPFSFKGSPYWMAPEVIKNSTGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAVFKIGNSKELPPIPDHLSERCKDFIRKCLQRDPSQRPTAVELLQHPFILNGSLLEKSTAPDPLQHLAAISCRPDPKMAVESRNNSFSFENQTIYQRRGVKLSSKHSDIQIRSNISCPVSPCGSPLLKSRSPQHTSGRMTPSPISSPRTTSGASTPLSGGNGAIPFNHLRYAAYSSEGFGVTSRGQDDLFPRHQVSQGPRERVVSEADILSPQFGKKLGNVFDLRERLSPSEHFTHHGFADHGELNPSLDLTSGSPNLGLKHGK >Et_4A_035464.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25600612:25600902:1 gene:Et_4A_035464 transcript:Et_4A_035464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSASMLFLSYHQLHGPAAAEAPRKQETEAAGGGGFRFSVGSVFSLGAFARRREEAAPAEAKVGCKRDAVAEKSAAAAELDEKFEEALRLSCWSS >Et_2B_019643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11764284:11766805:1 gene:Et_2B_019643 transcript:Et_2B_019643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRSPAFRRRSSSGRSWSAYLPSPSSAAAPSAAHGAASSPPTSSSSRTTATSPPSPLVSGFQHGGSMYQDILALNRRATDPAAQLIPINRLDDASLIPHASCDGLLILSIQCFYFSICNPVTREEAPLDLVCGFTFLGFYPHRPTGEYRLLLYRGAKLMFEDLIPGPRDAYYVYALGSGLPRRIGSPSSSERPLIGCAPVLFRGCLHWNPGQHKSGRGVIAVFNTETESFQLMRAPTLGNRNYGFGVYTLFEMDGTLGMFSCNDGDLQCIDIWVLQDYEKEVWKLRYQVELPMSEIMHKFDASLRWRAMAVYEEGSIVVLVHGDRWLLHVDTEGKLQASFHRDGQSLMPSSEMIKQSLVRHAFFPKLNDYEVNDCIGPLI >Et_3A_026747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18753416:18766268:-1 gene:Et_3A_026747 transcript:Et_3A_026747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFRISNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRDLERYKLADARSFHYLNQSSCIEVEGINDAEEYLATRRAMDIVGINEEEQEAIFRVVAAILHLGNINFAKGSEIDSSVIKDDKSRLHLNIAAELLKIVEKINVSIGQDPNSKQLIGVLDIYGFESFKLCINYTNEKLQQHFNQHVFKMEQEEYTREEINWSYIEFVDNQDVLDLIEKKGGLIALLDEACMFPRSTHETFAQKLYTTFTKNKRFAKPKLSRTDFTIVHYAGDVTYQADQFLDKNKDYVVAEHQDLLNASSCSFVAGLFPSLPEETAKSSKFSSIGSRFKGVLEAIRISCAGYPTRKTFYEFVNRFGVLAPEVLEGSNDDKIACQKILAKMGLENYQIGKTKVFLRAGQMADLDARRAEVLGRAARIIQRQISTYIARKQFAVLRRSAIQLQSFVRGTLARKLYECKRREAAAIKIQKNVRRHKARESYLQLQAAAITLQTGLRAMSARKEFRFRKETKAAIHIQARWRCHRDYSHYKNLQDATLTYQCAWRQRLARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLGLEKRLRTDAEEAKAQEIAKLQETLHDMQLQVEEAKALVVKEREAARKAIEEAPPVIKETPVLVEDTEKINSLTAEVEQLKALLETERQATEAAKREHAEAERRNEELIQKFEGAEKKIEQLQDTVQRLEEKATNMESENKVLRQQAVAISPTAKSLAAYPKSPFQTPENGNALNGEVKSTPDVTPISLSAKELEAEEKPQKSLNEKQQENQDLLIKCVSQDLGFSSGVFCIGDHLKLKEPIQDNNDKLAYWLSNSSTLLLLLQRTLKTTGAAGLTPQRRRSSAASLGRVFSGIRASPQSVGRPFMGSRLIGGLGDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLIKGSRSQANALAQQTLIAHWQSIVNILTNYLNILKANYLFNSLLLRRECCSFSNGEYVKAGLAELEQWCIYATEEVISSMRTMMTDDSNNPVSSSFLLDDDSSIPFSVDDISKSMTEIEVTDVDMPPLVRENSGFTFLHQRKD >Et_3B_027733.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16689321:16689602:-1 gene:Et_3B_027733 transcript:Et_3B_027733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSGRPRSLIGVPAERGGMTQFSGSSSMPRIRPGHQDPLRRRTQTSAPTSASAPVTPPGHSSSPAKLPIASSLRRTTSRQPSPPPSAPPHQN >Et_7B_055559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14367538:14369022:1 gene:Et_7B_055559 transcript:Et_7B_055559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGLFSYKRARGIKHKAAVPFYPRQPAATTTAPAQAGGMAKPVTAPWPAPAPPPSAVTVEVIGNGGAPTGGDDVDRRAALYISRVQERLRRERMNEDWRKFASLEI >Et_1B_014305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5618171:5619822:1 gene:Et_1B_014305 transcript:Et_1B_014305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMPPPPPAIVGPQPTWVPLEPTRDCSQGLCSMYCPQWCYFVFPPPPPAFDIAGPSGDDDSSGPTFSPLVIAIIGVLAAAFLLVSYYTIISKYCGTFTSLWNWLFGSRRGHGGHGHGHGHGDGHGGSRSQEPWDAVPSDGLDETLINKITVCKYKRGDGFVDSTDCSVCLAEFRDGESLRLLPKCSHAFHLPCIDTWLKSHSNCPLCRCNIAFVTVGVVSPEPERRAPREDRRDNRELVLTIDDYSEQTREEPQNQNAASGDGGDGQEAPKDRPGRSEEASSTVEIKEDGAPRVRTSSSLSDSHRDGRMSIADVLQASLEDELIMARESGLLAGSSGSSRRYHGEHSKESGRGGRALPDAANSTQTKRLPAVGRSCFSSRSGRGKDSDVPIVVVNVEQPFADVYPEKETAKNSA >Et_2A_017873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7342138:7344390:-1 gene:Et_2A_017873 transcript:Et_2A_017873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLSLVCSSRFTFKPVQRHKKEDEGLFRLPTPPFLNCKSGHHDGIITAIRNHGHDADVVRWRPFSQIRASQSAHDRDLIVARVARELHTATELGAHHGPGSVATSERGFRSAVGLDGDDGDTVPQWCRRRRLEEEEAQVAVGVAVARMSNNSL >Et_4B_036736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11029175:11033500:1 gene:Et_4B_036736 transcript:Et_4B_036736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACPPLSLPSTSVLRSGRSARAGPARRRLATVRCTAIGEAVAEEASVGTAEEPLLVSAIRGKKVERPPVWLMRQAGSYQLLCEKYPSFRERSENVDLVVEISLQPWKVFKPDGVILFSDILTPLPGMNIPFDIVKGKGPVIYDPLRTAAAVNEVREFVPKEWVPYVGQALNLLRKEVKNEAAVLGFVGAPFTLASYCVEGGSSKNFTMIKKLAFSEPQILHNLLQKFTTSMANYIKYQADNGAQAVQIFDSWATELSPADFEEFSLPYLKQIVDSVKETHPDLPLILYASGSGGLLERLPLTGVDVVSLDWTVDMAEGRKRLGSNIAVQGNVDPGVLFGSKEFISKRIHDTVQKAGNIGHVLNLGHGIKVGTPEENVAHFFETFSFILFGAVHIL >Et_1A_005554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11394881:11398461:-1 gene:Et_1A_005554 transcript:Et_1A_005554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YQSEEPVTLWVNKVGPYNNPQETYNYYSLPFCHATENHKHKWGGLGEVLGGNELIDSQIDIKFRRNVDKGTICSLELDLEKAKQLSEAIENSYWFEFFIGFVGEADRNNDNKYFLFTHKNIVIRYNDNQIIHVNLTQESPKLIDVGKALDMTYSVKWEPTNITFAHRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYARDDDDIETLERDVNEESGWKLVHGDVFRPPRNLVLLSALVGIGTQLAALILLVILLAIIGMLYVGRGAIVTTFIVCYALTSFISGYVSGALYSRHGGKNWIKAMAMTASLFPFMCFGIGLALNTIAIFYGSLAAIPFGTMVVVFILWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVIALMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYHMKTKMSGFFQTSFYFGYTLMFCLGLGTLCGAVGYLGSTLFVRRIYRNIKCD >Et_4A_032616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11954587:11958156:-1 gene:Et_4A_032616 transcript:Et_4A_032616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRWPMPPRTTLLLLLAAVLLAPARAGDPYAYYDWEVSYVSAQPLGVKQKVIGINGQFPGPALNVTTNWNVVVNVRNALDEPLLLTWNGVQQRKSAWQDGVAGTNCAVPPGWNWTYQFQVKDQVGSFFYFPSTPLHRAAGGYGAITINNRDVIPIPFPFPDGDITLFIGDWYNAGHKVLRRALDAGTPLAAPDGVLINGLGPYRYNESVVPPGLVYERINVEPGKTYRFRVHNVGVSTSLNFRIQNHNMLLVETEGSYTSQQNYTNLDIHVGQSYSFLVTMDQNASTDYYVVASARFVDPSVVDKLTGVAILHYSNSLGPATGPLPDPPNDQYDTAFSINQARSIRWNVTASGARPNPQGSFHYGDITVTDVYLLQSGPPELINGQLRSTLNGISYIAPSTPLLLAQLFNVPGVYKLDFPNHPMNRLPKVDTSIINGTYKGFMEIIFQNNATNLLTYHLDGYAFFVVGMDYGLWTENSRGTYNKWDGVARSTIQVFPGAWTAVLVFLDNAGIWNLHVQNLDSWYLGQEVYINVVNPEDTSNMTDLPDNAIFCGALASLQKEQSHRFQYSEASPVAQLRKMVSLLLFMAWFAIWL >Et_5B_045146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10056981:10061208:1 gene:Et_5B_045146 transcript:Et_5B_045146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHFVVVDFEATCEENARIYPQEIIEFPAVLVDAATGLLVSEFRTYVRPRHHPRLTAFCTELTGIQQDQVDGGVEISDALAKHDAWLAASGADKNRLAVVTWGDWDCKTMLEFECRFKGISKPAYFDRWFHLRVPFEAAFGSGGRQGGGLAVDRPPPLRPGRCAQHGVPPRRTDATGVVISITGSLAPALAPKKPDEILSQPQPQPPAQPVGPNLSMWGGGADACALTCCCYCGVASRCGVVMALGPMQGRCFYGCGNCTPAFGPRRFLINNAKISMSSEVARHGSRPPWRQTSVRGAQSMCLMAVAAWPVAPTGVEENVGIEGGRGVVLNQDWGGSSSFLFGTSSTVVILAADNTEQLLLFFSSWK >Et_3B_028717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18473731:18474450:1 gene:Et_3B_028717 transcript:Et_3B_028717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YLLKIASKSHRTPTSFRVVLLAHDESRVRANVFSSETGEWSLLPWVDVPASLDNDHSWLENDGGMQANGFLYWGYEDGRYLISLDTSTMEFSVTELPHYLKQCTFHVGETKDGATCIVYSDKLNVGILMHTKDDDGVERWVLDRVVPLDRELARVLRDGLEDDSEGYAYLVISVTGDDQTPHWFMSLCLETMKLERLFRRTFDTDVHPYIMAWPSSLVGNYG >Et_2A_015625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16115824:16117093:1 gene:Et_2A_015625 transcript:Et_2A_015625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTPPGEMGRVRLSLKDFLSWQPPSGRDWAELPLDVLSVVFEKLHTVELLIGGAAGVCRSWRRAARREPELWRHVDMRGCGRDRWVRLHVPTRDLVQAAVGRSAGQCQAFWSDDADDDLLAYLAKEAPVLMSLRLISCGGGVSNEGLIASIKSFPLLEELELSLCADVQDNAVFESVAKSCPRLKHLRLIRKKRHYTWSTVEQREAKAIATMKELRSLELYGNLLTRKELTAILDNCTRLNLLVVQDCPNLAIDDPFLAMYAGLRIVTLLKGDSNEYKPKSRSYDMWWIDCPTCDLKYLIRGLEYEFNNLLVDGFFPEDLQDYEEYSRYLNGVYVTDFDDEEDCRMLSKRLQRYLKVNNGVYC >Et_8A_058148.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:21840984:21842480:-1 gene:Et_8A_058148 transcript:Et_8A_058148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAPTPLTLHRGASAVTAGNNRVAVAPGNNNRAHLANLETLFRNRGAAAVESAEPVRPVVGKKQPLLRLPSFLAGRTTTTTEPAAAAPALSPRRLERLLQPVAPDGPSPRGDIAASWRRLHGEDGWRGLLDPLHPDLRRELVRHGEFVDAAYGAFLSRPDAAPSGTSTTTRGPVPLQDAAYRVTAPLFATASVGLPPWLAAAAPCAAQRTSLVGYVAVCDSAAEVRRMGRRDIVVALRGTCTVLEWAENVRAGLVPATDDSNSSSSNSPDEAKVECGFWNLYKTAAGDGSPSLSQMVVSEIRKLLDTYKGEEISITVTGHSLGAALAVLIADELAGGVAAAAKAPVAVFSFGGPRVGNRAFASRVEARGARVLRVVNAHDVVPRFPPRLTLPVVPGYADVGRELRLDSRASPYLRPDADAACCHDLEAYIHLVDGFLGSHCPFRANAKRSILRLVKNQGGNVKQLYISKAKDMRIRLDGADMSAGAANTVVECVH >Et_9A_062633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2646893:2649824:1 gene:Et_9A_062633 transcript:Et_9A_062633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPRPACVHDELAGGKDQCLFCEMTRQHHPQCARRLPKRIILVRHGESQGNLDMSAYTTTPDYRIPLTTLGAEQARAAGRGIRDVVSSSDAAANWKVYFYVSPYARTRATLREIGRAFPRDRVIGVREECRVREQDFGNFQVEERMRAVKETRQRFGRFFFRFPEGESAADVFDRVASFLESLWRDIDMGRLEQDASCETNLVIVSHGLTSRVFLMKWFKWTVDQFERLNNFDNCEFRVMQLGPGGEYSLLVHHTKEELENWGLSPEMIADQQWRASANRRSWAEECSSFIDAFFEEPKDSESSDSEEDVEENGKIKSLEYSSW >Et_10B_002882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1355520:1360106:1 gene:Et_10B_002882 transcript:Et_10B_002882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAAQSPAARCRRAPARQQRLASSVSVQCCVLRPCGLCARRWPPTPARLAAAARRRRGVRAPRAGMDMDLASGAVEVINDLGFDTLTFLGVTVLVVPAFRVLRASPILGFFGAGVVLNQFGLIRNITDVKLLSEWGILFLLFEMGLELSLSRLKALARYAFGMGLPQVLLSTLAFTAFELPPNNAIGTKILQFLFDSRPDLVNIRSVDEAIVIGAALSLSSSAFVLQLLAERGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNIVEQSVWPILLAESLKALGGLGILSLGGKFLIRRIFEFVAESRSSEAFVALCLLTVAGTSLLTQKLGFSDTLGAFLAGAILAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMQLLIREWPNVLSLLGGLIAIKTLIITAIGPRVGLTLQESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNDLGRRAAGIIEERSEPKEKPAETVNYGATEPIVILGFGEMGQVLAKFLSAPLSFGLDNDTEGWPYVAFDLNPNVVKTARKSGFPVLYGDGSRPTVLQSAGISFPKAVMVMYTGKEKTVEAVSRLRQAFAAVPIYARAQDLSHLLDLKKAGATDVVLENAETSLQLGSILLKGLGVMSDDVSFFSKLVRDSMELQAQEALKTIGEQVDTLKPLEVRVTDLVESNGNGSGMVARKQSLSLSKRPEVIVVKRKPAGNLVPEGVEVGTDQPGYDLEDMESGDGVKYCLLETDDDSDGASSTSKEMIDQSA >Et_3B_029640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26735387:26756268:1 gene:Et_3B_029640 transcript:Et_3B_029640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLLLLFLVLAAGLQSSSSQTNSEDMSTLQALMKNWKNEPQTWIGSTDPCTSWDGISCSNGRVTSLDLSNNPKLGGPITPNIGSPDQLTTLNLLGCSFAGNIPPEIGNLMQLTFLALNSNNLTGEIPPTIGFLSNLFLLDLSENQLSGQIPVSTSSTPGLDLLVNTKHFQFGLPICIISLLPVIIVRYFSNNKITGPIPESLGLVKTLQSIRLDQNQFRGAVPNSIGNLRDLTELRLASNLLTGRLPDLSNATRLNYVDLSNNNFASSPAPGWFSTLTSLNTLFMDDDGLTGTIPSALFSFPQLQQVSLANNAFSVLNMRSNISSALRVVNVTNNQIIEANIDLSYNISLILTGNPICLDNPSTCKLKQNQSCGAVSCPGNQSASPVASQNCGCTICFQGLMTSIVLTLMNHRVGQDQLIPVPHGMEFHVRMGGDLSNNPNLGGPLTPNVGNLKQLTTLILLGCSFSGNIPKEIGNLAKLTFLALNSNKFTGGIPSTLGLLSNLIWLDLSANQLSGQIPVSPGLNQLVNAQHFHFSENQLTGPMSEGLFSANMSLIHAIFDNNKFNGLIPRSLGLVPNLTIIRLDHNQFSGPVPNSIGNLRNLMELSLASNLLNGAVPDLTDATQLNYVDLSNNDFTSSPAPGWFSTFMDNDRLTGTIPSALFSLPQLQQVSLAKNAFTALNMGSNISSRLRVVNLTRNQIITANATSYSNSLILTENPVCLDNISFCTLKQKQQVPYATSLGPCGAILCPPDQSANPVTSQNCACANPFQGLMIFRAPAFSDVTDPTTFQLLESTLAQYLSMVPASVALSNVELSPGTPLTFTVKIFPVSGTVFNRTDVIRISSALVNQTYKAPRTFGPYSFIASTYFPSPSSKRSLMSKGAIIGIAIAGFVLIVGLVLVAIYALRQKRLAKEAVERTTNPFASWGAGGKDNGDVPQLKGARYFSFDELKKCTNNFSEIHEIGSGGYGKVYKGALSNGQIAAIKRAQQGSMQGAAEFKNEIELLSRVHHKNLVSLVGFCYEQGEQMLVYEYIPNGTLRDNLMGKGGIHLDWKKRLSIAIGSAKGLSYLHELADPPIIHRDIKSTNILLDESLNAKVADFGLSKLVSDTQKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELITARQPIEKGRYIVREIRTSVDQYDQEYYGLKALIDPSIRDSAKLVGFRRFVQLAMECVEESAVDRPTMNDVVKELEIIIQNEEAQLSDSSSLSADRFGNAKGLDPYAEHLPMKDESSSSTFDYNSVYSYSAVEPKMKAMYKALLLLMILACLPAGFCDTDSQDVAALQSLRRGWQNFPSSWEESNDPCGAQWDGVMCSNGRVTSLRLSSINLQGTLSNSIGELSELVYLDLSSNSGLSGPLPTSIGNLKQLTTLILAGCSFTGGIPQELGNLGQLSFLALNSNSFTGRIPPSIGLLTNLFWLDLAENQLSGPIPISTTTSPGLDLLTHTKHFHLNKNQLTGTLNGLFNPSMRLEHILFDNNQLSGPIPAELGGITTLQILRLDKNKFTEVPTNISNLVNLNGLNLADNQLGGTIPDLSTLTKLNVVDLSNNSFDPSVIPTWLLSMEALTSVAISSGGLYGQVPNRLFTLPGLQQVILSNNAFNGTLEMTGNISQQLQSVNLLNNRIVAANITKNYSRTLVLVGNPVCLDTEFSSSPFCSLQQDKVIPYVTNVTKCGTISCFSDQSLDPSNCGCAYPYTGNMFFRAPLFTDLSNTAHFQQLETSLWTELGLRPGSAFLSDVHFNEDDYLQIQVRLFPLTGTSFNLSELIRIGFALSNQTYKPPQDFGPYYFVADPYLQFAAGSAGSKKSQLSTGAVAGIAIACGLILIGLTSWAIFSLLQKRRTRELSGRTNPFASWGVAQKDSGGAPQLKGARFFTFDELKNCTNNFSENNEIGSGGYGKVYKGILADGTRVAIKRAEYGSKQGGVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYVSNGTLRQNLQARGIYLDWKKRLRIALGSARGLAYLHDLADPPIIHRDVKSTNILLDDNFKAKVADFGLSKLVADTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLEILSGRMPIAKGRYIVRDFRMAIDPNDLEYYGLQGIVDPAIHEAAHTAGFRRFVQLAMECVEESASRRPTMNLVVKEIEAMLHSEGLSSGSSSVTEFEHAGATASHLYSGTVVAARSNSSGSIVEEPPYPETQDRER >Et_2A_016124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21254851:21259469:1 gene:Et_2A_016124 transcript:Et_2A_016124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALLRAASPCLHPASPSAAAAGSADSGRGHGAVKIGDARPRDVALRVGGSGRRELSCITKASRDHSGLTRELLDFQHETIDEVGEDHDPFSELKARFMDFKKRNYMENYSNYQNLAEQQTPKFMVVACADSRVCPTGILGFQPGEAFTVRNVANLVPPFQVENVLVVGHSRCGGIQALMSMKDDSNSGSFIKNWVSIGKSARLSTEAAAGNLSFEMQCRHCEKESINSSLLNLLTYPWIEKRVSEGTLNLHGGYYNFIDCTFEKWTLVYRKGLAGGSKYAIKNRSTCKDADASALYQLLDTWTLYRRKTKPQWSEVQHGEQYGSKVTHSKSPNSATVQLDLGEVRRGEALPLHSAASSSSRLSSTARSAACNADLDGALSPSAAACCWPPTLSSSTLRVLPPTVAISARTGLALTDRAARASSDMSPGRSAQHTHALKRRAAPPGQQQCGGPSSTPTSSPSPGRRTAMDDAPATAASASPRSSRTWRTTSPSNAALSICMRAHVTLTHKVCSPRPLPRVDVTDSKEAPPRRAKEQGDGLTREAAGRRVRSVARCALMRCLRLRSASLWFLLGPLRVVVFGAGAEHSPSNAAASASSLWKGAINSGGAKAISSTRTGFQKEKRREADRSGRH >Et_1B_013374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7958212:7962885:1 gene:Et_1B_013374 transcript:Et_1B_013374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSLQTGCNSLTVPYYPNLIYHLLVQLVAICHVSGVSIKLCMDCGNPIVLCVGNLIDISRLLHHLLLKLEPVDYKRREKEILEEEKHMETYSPQLIEFYNSKKNEVGKDGENGLEDGKIRPPQEVSCNGNVVNDQSKIIKLEDVSCPLCKEMLYQPAVLNCGHVYCVSCLSSSNEEPLKCQVCGGLHPGDVPNVCLDLDHFLEEYFPAEYVSRGEKVQVEKRKCNREASSSGTSSTKKGSAAQLDDDLSNVHVGVGCDSCGVYPIRGKRYKCQDCTEVIGFDQCEACYTSSSNLPGRFNQQHTPDHRMEVDETTLLHRLLRFHGIPGEGLGDLMLQEVVVDPGAMMQFVAEDEAMEDTDEEEALAPGAMVQDVIDNQEVEDDGEEDHPL >Et_8B_060240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7816477:7819104:-1 gene:Et_8B_060240 transcript:Et_8B_060240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSAASAAALLLLPILAAAGEGVCPRPPAPDAVLRRVSATCPAGDSIRGRYAGVVEGDDGALQKAVTLVLQNKGDFVAILFYASWCPFSKIFRTDFQKLSSFFPTIAHFSFEETRIKPRILSRYGVRAFPTLFLVNSTVRVRYHGSRTMNSLAMFYEDVTGMNPVSLDATSLESIADTVDIIDNEKNTEQEDSLFIWARSPDRLLHQDTCLALASSFVLLRLLHFLLPKLNACVKQAWRMQLHELNRLFPGLS >Et_3A_026223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5746646:5752135:-1 gene:Et_3A_026223 transcript:Et_3A_026223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKASGGAGSAPGPTAAAAAAAAQKQKSLLQKADADVSTLVDYFSSLINIARVNDPPVRNSQEAFQMEMRAARMVHAADSLLKLVSELKRTAIFSGLASLNENVDRRIEVLGQQAEGTERMLERIGQEAAASLKELEAHYYSSVVRSPACGEDVELGLTRRSRQATTSEEGLEPVLGCQP >Et_1A_006368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21414100:21415141:1 gene:Et_1A_006368 transcript:Et_1A_006368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFPILTFIICNSVVLILGAWSLWKHKNAGVFEGTAPSVQVVMNNLKHEARPQGFGAASVAWGSLSTSCISFYGFEPLVQQLMSYEQFAWSFQRK >Et_2A_018851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9079927:9082911:-1 gene:Et_2A_018851 transcript:Et_2A_018851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGARPVGRSSAGVTSVTFCEDSFYLFDGRSCTGTVVDTGTLAVVAEITPPPAMETTMPSSWNFHASLATELLHGRGLTSGWPRLVKAFRADRAAGGRSPGRWSEVAGVGDRAVFVDHLRAFCVEADGTSSGVRRNCVYFASSHEVANDDYGMDVCGKYTVRVLDLADLSKEDLWHGNLSKVLKNPEQS >Et_1B_013357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7670551:7671745:-1 gene:Et_1B_013357 transcript:Et_1B_013357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGMELKGCVCRIKNCAVELLSMEEDLVTDLDDDESWDLARRDLKLKAAFLYIDLSRVISRSEGEERREALTLLANEFFYFMDELGDAVASRSVSVVKVCYGDAVQALHDVIAAVAPPAAV >Et_8A_057138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21542516:21546947:1 gene:Et_8A_057138 transcript:Et_8A_057138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFMSCSTFSSNSIALRRLIEERFQYRGICAYEMLALGSQRRRVRHPVVFAKKKRRRPKRWQQPWWKPFFSDWNDDEESLAGWREDDELLKEIGSYNDMTENEKFQAWKRKAEAIVELREAQQDAVNAEEQSWEDWISGASTSGGDDWGGDVSMSDQITDDPAEIVRDKSSIETFRDSIDEDYDDMLFEDTVFMYASTNSAKFLALLIVVPWVIDFLVHDFVMMPFLERYVQKVPLAAELLDVRRSQKLHMVKDLKIEKARYRYEVEIGKSPPLSDEEVWSELREKAIELRDEWRLENRKAFANIWSDMVYGIVLFLLICFNQSKVAVLKFTGYKLLNNISDSGKAFLIILVSDILLGYHSEPGWHTLTEVILEHYGFEVDQAAVTFFVCLVPVALDVFIKFWVYKYLPRLSPSVGNILDEFLSSGSHLESVIFQF >Et_9A_062869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5996022:6002148:1 gene:Et_9A_062869 transcript:Et_9A_062869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRSRLSQPAGRPTSGPGKASSAKSMASPARAAWSRTDRLRAAVTGVSTTVTRAPRPASRRARSIAGMMWPCARSGTSTKWSGAAAMAVSSPLFQESVNRDSHDKCSRRSIRNHRDGDLQQRRGRLAAQGVHGFRGHAKEIAMNFTDDVPKPHFVLVPYMAQGHTIPMIDMAHLLAKHGAFVSFITTPANAKRIESTIDRARALNLPIQFVPLKLQCAAVGLPNGCENADMILDKGLLKKMIDAYRMLHEPLVLYLRAQSNPPSCIISDLCQPWTGDVARELGIPRLMFNGFCAFSSLCRYIIHQKKVFENVADANEFITLPRFPHRIEVSRARSPGNFSSPGFEEFGNKILEEERRADGVVTNSFYELEQLYHEAYQNEIGKKVWSLGPMFLCNTDMSAMASRGDNSSVAEKRCLKWLDSMKKGSVLYVSFGSMARTVLPQIEEIALGLESSKSPFIWVIKSDDNASDIDKMMAEEFEKKTKGRGLIIRGWAPQAMILSHPSVGGFMTHCGWNSSIEGISCGVPMITWPHCSEQFLNEKLILNTLKIGVPVGVQSITTRMMEAHEVSVVKQDQIENAVLKLMGEGIDAEERRMRAWELKKKATEAISGGSSYNNLKPLHKFLDDRAFQVLNPSSLSMGHSVRREGRRWGVPSNSWRAPGGGHYTSLYIHPHRLCSGVLARKSTRNLSITLRCQ >Et_9A_061912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17738146:17741571:-1 gene:Et_9A_061912 transcript:Et_9A_061912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPHPHGRGDTIPLHPSSARSDMDEIEDLINAAPSPAARPSSPQRASIPVFSSSSSSSSAPPPFAAAASKPAALPAFASGSGSVAVPIGSDGFGPETDTLTEPVWDTVKRDLTRIVSNLKLVVFPNPFREDPGKALRDWDLWGPFFFIVFLGLILSWSATVKKSEVFAVAFAVLAAGAIVLTFNVLLLGGHINFFQSISLLGYCLFPLDVGALICLLKDSVVLKIVVVTITLAWSSWAAYPFMSAAVSPRRKALALYPVFLMYVSVGCFIIAID >Et_2B_020461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20466615:20469779:1 gene:Et_2B_020461 transcript:Et_2B_020461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDSPAPPRPAELSDDDRLLVARCAALCFPSSATPSASSSSFQVHHASHPYPCAAFSFPPSWSAAEWVEGGRPPFGETEVDPALFPSIRAVGSGVAARANAAFLAAFRGLLDGSTLQSEVSRAIAEEKRIVFTGHSSGGSVATLAAIWFLEKSTAQGSVNQAHPFCVTFGAPLIGDNIFNHAIRREGWSQCILHFVLPLDIVPRIPLTPLAPLREEIQAVLDCLSPQTPSHSPVGRSHGIPEYYETLLRSTLSITSYEACSFMGCTSTILGTLTSFIELSPYRPCGTYFFLTSSEQLIVLTNSDAVLQLFFYCLQLDPHQQLLDAAARSLTAHWQYELIKQNMQDIVCVDYLGTISSALTARQSNRTAIGSVELSKEAILHLSAAAQWEKQRQRNQTKIIDDNCKKIQEALKLLNEYKRTCELHGVSYYDSFKFQREVHDFYANVRRLELAGLWDEIVEMLRRRELPDGFEGLEEWVNLGTLYRRLVEPLDIANYYRHSKNEDTGSYLSKGRPRRYKYTQKWHEQLQRIPFGSSLESCFWAIVEELQAEMANGRSLEDLRDKVTKLESDAHGWLTSGSLGKDVLLSSSSFVVWWKTLPEQHRSKSCILKFMPH >Et_1A_008181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:488687:491689:-1 gene:Et_1A_008181 transcript:Et_1A_008181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGGEIRPVHNSVDTVNAAAAAIVTAESRAQPPAEPRRKWADKLSVYFCFGSQKNGGRRIRHAALVPEPAPQRTDAPATEIPNHPPPPVFPFDAPPSSPASFLPSEPTSIVQSPRVGAPSFSPRSPNCPSPTGPPSIFAIGPYAHETQLVSPPIFSAFTTEPSTAPYTPPPESVHMTTPSSPEVPYAKLLTSVNNNKNDETGDIQSYPNYPDSPIGRLISPSSGCSGTSSPFPDPEMQASSGYSFPSFPVREPPKILDGEAVATQKLIPRHMRNGGSLLDGHITAAVPVVDFSARLQHNDHAMDHRVSFELTVEDVARCLEKKTAICGDSTSASFRLAPVSNGDHPRESGDIRAGLHVDESYHDLPEKARRSLSLRMAKEFNFNNIDAANVETSVGSDWWANEKVAGITAEPKKNWSFFPVAQPGVS >Et_4B_038574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:300946:302238:-1 gene:Et_4B_038574 transcript:Et_4B_038574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNGVSYVSAAQLVSLSGDPSVAIVDVRDEERSYDGHIAGSHHYASDTFAERMPDLAQATAAKETLVFHCALSKVRGPSCAQMFHDYLKEATEESGVKNIMVLDRGFNGWELSGRPVCRCKEAPCKGACS >Et_3B_027784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19121811:19123097:-1 gene:Et_3B_027784 transcript:Et_3B_027784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVDDCVLREEKGAPAPAARAAAKKGKALSDAVRWLNKGKWLAPAERTTSPVAPQPQPPVSKFMHDGIRSFARASERSLHHAHRNAHDDAEEEAADDAPTKTKGVRAQLKQLGATAPRFVHRKRLEKSDVCTNQNRLLISCKRESMAGCPITGCFSPAEMRRVEDKHVGLQVTALDRGGGRHALTCKFLDSNSGYRFISGWGEFLKWNGLVLDGRGRWTRDVDVEIWAFRSRALRKQPALRPDGTLVKGKDGKPVEGAPEVDDHFHPDGSLGLLLLHREKGRRHDDDEPPVPAAPRREKRGNKQRDNKKRDASVPTSADREQGEAGAGATMSKVEMDAKFGVSTSNAVIGMMGLRDAMLRERRQNVEAGCATSGTASVAASVPPLETIHVQDTRGCLKR >Et_4B_038597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3173909:3175993:-1 gene:Et_4B_038597 transcript:Et_4B_038597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGMASSPSSFFPPNFLLQMQQTTPDHDPQEHHHLPPPPLHPHHNPFLPSSQCPSLQDFRGMAAPLLGKRAMYDVGGGADEVNGGGANEDELWDDGSQAGEKKRRLNVEQVRTLEKNFELGNKLEPERKLQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDVLKRQLDAVKADNDALLSHNKKLQAEILALKGGREAGSELINLNKETEASCSNRSENSSEINLDISRTPPSEGAMDPPPPHQHAGNGGGGSMIPFYPSVGRPAGGVDFDQLLHSSSGPKMEPHGNGGVHQAPETASFGNLLCGVDETPPFWPWADQQHFH >Et_3B_031219.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21565140:21567869:1 gene:Et_3B_031219 transcript:Et_3B_031219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSNPRHCTTRQLCFLLPLLILSGVGTFVAADLTSYSSHCPSPAPSPDSHTEDGDDVELLKPFHLSTGFFSGSGAGSLFIPDDPTGSYFSFLPHGVSRTADPSLLHLTATLTLFGRRDEQRNISSQESFWRMYEVAVSFYLDGYYSSTSSELCMVGTGTEFADTDRPAVKQHYADVAVRLRVPSRPSLTDPFVTGRLEGADFEPVSLVAYAEGDVYNYSGRASCPPPDAAAPTARRALQPQHGGFSCDALRSQLVFKYKLEYGSRHAPRDSSSLPRLHEPVMIVNQVRCAANGAVRLYVVFSSDGSPWSPMDRFLVEEQAVVADGFWDAETSRLCLHACLVVRSASGSSSATENLEVRECGIGMSFWFPAVWTVRDRSTTAGLLWNASQTADGGGDSHADAVSNPITASSFQNRIRANLSDVKYNYNQTMLETAARHLKAGLSNGDKAMVSFLGNYTYSYRDLEFEFFTDNEVSSGHGYPVSIGSAMVDGDKLAAEYSFSRHAAAEMKQSRLVNVSYGILYSFAPRDWPALSEIKAEGVYDTKTGFLSMVGCRELNVTSTDCQVLITVQFASLGGPLGFRHGTGRISSLGDKTDVLHFETMNITLFGMYSQQVSESIGRMDLESVIKLASTTLSCAFAVLQILHTKRNRDVVPPATSITMLVVLALGYATPLALDLHALLANRGKRFVQLSGNGLLELNELVRKAPALIAFALQLRLLQLAWWGRRSSDHRWSGAERRVLRVCLPLYLIGAAVTAAVHAANSRAARMNPLMVRLGRDAGTLVEALASYAGLVMDGFLLPQVVLNAISGPGAKAISPWFYVGGTVTRVAPHVYDVFRARRYVQSMEPSYVYASPRDGLFGVAWDFVAICGAASLAFLLFLQQRLGGDLSQRSRSKSGGYEMVSTFRS >Et_1B_011335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20608071:20611378:-1 gene:Et_1B_011335 transcript:Et_1B_011335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDWTACHNGEVNGAVVCTAGDDAQGRHSDCRACPYLVVLIAGRAPRALLSVYSSVAREWSETISYDGLPMWADVRLQPCVVIGTTLYQPLHASHTLSFDLETRNFSVIPHPLETAWMDVQIMKLDGRTLGLPLSAAAATPHATGNLGSAKLLGSCEYGNVIFLGTRRGILLFYLDSMQLKKILFGDAIPALGTLSPYESFYAPRPATTTSSTAQSYSRPVTTPMAGTGTAALAHFRWYAGRAPHALVIIYSSVVRDFSEEISMCADVCPRPCIVISNTLDQPLRESHTLFLGLADKEFRHCTGTMLGIFKMMIFWEREQADDWVLHRTVNFDTVEPLSAALMPMGLAT >Et_4B_038046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25716154:25739234:-1 gene:Et_4B_038046 transcript:Et_4B_038046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWKRSSSPSRSSSASASTPSSPARSSTSRLIGGGASNRRDGSGESQPKLIRTPRMRYVVDDFEVGSSGLGLDADPARRESAGGFALATASSTPISRSPSNMEVAPARSSSTPVMADGDWGMPAAEAPGALETGSERIPPLLASRVAGQTVQKAHEHNDLRLNGVTCGQRRKAFKEKFQDKNSTETLNFRLNIPAKSAPSSGFSSPVQSPRRLSNVDFSSAAISIQDTNVWSARSVWSSDLLGSSPPSASPEIFAGGHERSPRSSPLRSPVLRSRNPSGPPSPMHPKLFPENHVSRPDGNGSAGFHPLPLPPASVSPKQTNGSHQIVPKVEMPSVAGQWQKGKLLGSGTFGCEIKFLSQFKHENIVQYYGSETIEDRFYIYLEYVHPGSIHKYVHQHCGSLTESVIRNFTRHILKGLAFLHSQKIMHRDIKGANLLVDINGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEVVRATLDKSVGYDLAVDIWSLGCTIIEMFTGKPPWSGLEGPAAMFKVLRTDPPIPDNLSPEGKDFLRCCFKRNPAERPTASKLLEHPFILSSNHHNQHVSVHSFAGNKSPDVGHNGLRDKVPWKIDSCTRGKHANGETSNARSPGSLAYRVTPLPNLGTNSLSPPPLSSASSSGSTGHTPKSMHYSIAYPQPTKATAHNVLEHMLFDESVKPTKLPFSLLQAITNSFSHEQEIGRGGFSVVYKGVVGNGMVAVKKLFETLDMDDKKFIKEIQSLLKVRHKNIVRFLGYCADTQGEMAEYEGRSVMADVRNRLLCFEFVPNGSLCEHITDASCGLEWRVRYQMIKGICEGLHYLHENYIVHLDLKPANILLDDNMESKIADLGLSRCFDENQSKIITSKLIGSVGYLPPEFYSGQITFKLDIYSLGIIILEILTGEKGYSKVEKILESWRDRLELSQEDSPLQEVRACAQIGLMCIDPNPENRPITQYIIKMLKELGSTEEFEVLQVISMIVAGQTVQKAHEHNDIRLNGVTCGQRRKAFKEKFQDKNSTETLNFRLNIPAKSAPSSGFSSPVQSPPTAMHPKLFLGNHVSRPEGNGSPRFHPLPLPPASVSSKQTNGSHQIVPKVEMPSVAGQWQKGNFIGTGTFGCVYEATNRHTGALCAMKEVIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSETIEDRFYIYLEYVHPGSIHKYVHQHCGSLTESVIRNFTRHILKGLTFLHSQKIMHRPFWRLGLYNLTFAVPNLLSDLMLMHDSENVHIDIKGANLLVDINGVVKVADFGLAKHLSTAAPNLSLKGTPYWMAPEVVRATIDKSVGYDLAVDIWSLGCTIIEMFTGKPPWSGLKGPAAMFKVLRTDPPIPGNLSLEGKDFLRCCFKRNPAERPTASKLLEHQFIQISNNPLPLPPASVSPKQTNGSHQIVPKVEMPSVAGQWQKGKLIGTGRFGCVYEATNRHTGALCAMKEVIILDDALSAESLKQLEQIMLNVIEYYLLRCNDYTSLAVVLADRFSQLFQEVKFLSQFKHENIVRYYGSEVITTAILLSNGFVDSVQIADRFCVYLEYAHPGSVHKYIRQHCGSLTELLIRNFTRHILKGLAFLHSQMIMHRDIKGAKLLVDINGVVKLAGFGMAKHLSTAVPNLSLKGTPHWMAPEVVRAAHDKSVGYDLAIDIWSLGCTIIEMFTGKPPWSDLEGVAAMFKVLHTDPPIPDNLSPEGKDFLRCCLKRNPAERPTASKLLEHPFILSSNHHNQHVSVHSFAGNKSPVSLSPIFSHAYVRTMYNVSSLMP >Et_10B_003081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16343686:16351300:1 gene:Et_10B_003081 transcript:Et_10B_003081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHYHHIFRGTNSTKPKIRNAVLTTILWNIWKCMNAKIFRHEDESNSSVVARCIEDLTLWSNRCSQPDEYKLEKKPGANQKFESYLESAKEISITKGVNPDLMPSLQRAGAMEAAAAEEEVKGMATLLNLLRVDEKAGTKGTAAEEKVAWLRSQLIGKDVEFDTPFGRRVLTYADQTASGRSLRHIEDYLVKEVLPFYGNTHTEDSHVGSKTTRLVHKAARYVKRCMGAGAGDALLFCGAGTTAAIKRLQEVIGVAAPPSAELRGRLAAQLRVEERWVVFVGPYEHHSNLLSWRRSLADVVEIGVDGDGLLNVAELRRALSSPEYASRPMLGSFSACSNVTGVMTDTREIARVLHEHGAFACFDFAASGPYVKIDMKSGEIDGYDAVFLSPHKFVGGPGTPGILLMNKALYRLNSQPPSTCGGGTVAYVNGFNEQDTLYYDDIEEREDAGTPQIVQKIRASLAFWVKEYIGYDMMSLREQVYSEAAMKRLTSNPNIWVLGNTNVERLPIFSFLIYPPAKDSMFGVVDEDGSDRLLFEDMRRKRLPLHGRFVTRLLNDLFGIQARGGCACAGPYGHTLLNIEKELSLRIRAAILQGYSGLKPGWTRLSFSYYLSKEEFKFILAAIEFIASYGHRFLPLYKFDWITGDWTFRKQAIKYHLMKEELALSTRRELLADNGNGVSKVANRTEKKPGTNNNQEKFESYIESARKIALSLPDISQQTVSIPKGVDPDLVLFYI >Et_8A_056418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:60109:62075:-1 gene:Et_8A_056418 transcript:Et_8A_056418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVVAMAGQAYMRQLQAHPLRTKAITSGVLAGCSDAVAQKISGVARLQLRRLLLVAVLVEQLTASPWNNMMFMMYYGLVVEGRPFAQVKSKVKKDYASVQLTAWKVWPIVSWINYEYMPLQLRVLFHSFVASCWAVFLNLKAARSIANSKKA >Et_5B_044189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21235726:21236248:1 gene:Et_5B_044189 transcript:Et_5B_044189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLSAAATVPQFHGLRGYASPRSVVAVPSMRVGRKRSQGIRCDYIGSATNQIMVVTTTLMLVAGRFGLAPSANRKATAGLKLEARDSGLQTGDPAGFTLADTLACGAVGHILGVGIVLGLKNTGALDQIIG >Et_8A_056790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16968848:16971329:1 gene:Et_8A_056790 transcript:Et_8A_056790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALRPRRRFPLVPLRRLFSSPSSSGAGEVGPPVDAAAAAAARARAEAAARAEAYRQVQNFDWSSSADWKTAANILFTVPPKRKEFGLDFHLVQLFFVCMPSLAVYLVAQYARREIKRMEAEAEEKKKKDEEVEKQKQLEEESAKEDADTKLSKVLVRLDTLEGVVKEIVVDKGKNDSSNSHPKEEVVKKSEISPEKASDLKTGANDSQPVTVKSKDINGAANLPSNTTQPSSKGEGDKAQSKSV >Et_3A_024092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17225962:17227777:-1 gene:Et_3A_024092 transcript:Et_3A_024092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVGSFGNRVVGVAGMSSTLEEVQMQESLVFSDTIKDLKTLRSQLYSAAEYFEIAYMQEDSKQAVMSNLKEYAVKALVNTVDHLGSISFKVSSLVDQSFDDVSDANLRLYCIQERTQISQACMDREGFSQQALVITAPKYHKRYTLPAGYESIPSAVPNFREMNKATNRAAQMHQLFSGKSATPVICSKLRSIARAPSQRARSASPAPAQRSRSVPPSDTAVPTNRDKLSDSPIPTTRLTRSGSINSKKPSLLKTSSVRVQATSQPKKLAPLMSQADRSIDTKDGEQTPKKSRKFLKSLLSRRKSRKDEPLPSYFDDY >Et_8A_056406.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8725794:8725928:-1 gene:Et_8A_056406 transcript:Et_8A_056406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRTLSRHLLLPPLPRQPPAGGRLSRLLPPLQALRPSILHPG >Et_9B_063766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13191845:13192399:-1 gene:Et_9B_063766 transcript:Et_9B_063766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFPLHFHYEDVLRQDLLLKLNHTNIMEIPGLFEIRLVPKTASDFKPQIGKLAMEILCGQKFIQPQAGPAGEKDAASGFARQTVLRGHGMYNFLVRMLTVMSMLDSKVEVKENTIKFFMETEFWFNVTVVTSASTKDVTSLLWSGFMLKDEGETN >Et_7B_053630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10397236:10399611:-1 gene:Et_7B_053630 transcript:Et_7B_053630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLKAARAADVPSACITGVLLSPYTLPFANLLILVLAAAAEARGAIAAGRPPLVVIGHRGKGMNALASSPDALGDVVRENTLRSFNDAARCPGASYVEFDVQVTKDGCPVIFHDTFIFTTEQDGQISEKRVTDLCLDEFLSYGPQRDHGKAGKPLLRKLKDGRIVKWDVQSDDALCTLREAFQGVHCRVGFNVELKFDDGTAYTEDELTGTLQAIVFEHANGRPIIFSSFQPDAALLMRRLQDKYSVYFLTKGGTEVFADPRRNSLDEAVRLSLAGGLQGVVSEVRAILRQPSAVAEIKEARLSLLTYGQLNNVPEVVYVQHLMGVDGVIVDLVCEIAKAVSAFNATPETGSEEGGGGEGEKTAAEVGTPMFSHREISFLLRLIPELVQ >Et_7A_051821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25187943:25198223:1 gene:Et_7A_051821 transcript:Et_7A_051821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCVSTTRRRPPVPPLVTALLIISLVAKSLCWTASGGRSSTPSARFLASATSAASLANCCDWEGVGCGIHGNVPRVVTLFLAQLGIRGTIDGAVFSPFTELRELDLSLNQITSFVSAPDSGYIGLDKLKILRLSHNKMTDDGVAALVHNLTTVSELHLDGNQLLYSNWISNMTSLKVVVLSMNTLQEVDGICKLRKVEFLNLDANMLHGIINPCLGNLHQLNYLNMGRNFLSGEISPNLLANITQLETMHLGGNNLTGTFMFSWLANYSMLSELVLSNNQQLEIETELETWTPLFQLDYLDLSDCIINKRRNGAIPAFLSTQMGLSRIDLSRCSLRGTVPSWLIYNLSDILLLQGNDIDFIEMVPVKWLDGNMTSVLQVLDLSNNKISMVIPDDFASPFACMEYLDMSSNFLYGGVPSLAEATSLQVLDFSFNRLTGEILPSLTGNGSMLTSLLLSDNDLTGPMPPSHCSLTELVHLSLENNRFSGNLSPVLSSSSGLKELNVRNNDLSGIIRDGILSSSQELGLVLLGGNDFHGAIPFDLCSNNHLHFLDLSNNQFSGEIPTIDLSMNQLTGNIPQPIGFLRQLKSLNLSHNHLVGPVPETFMYLQDMESLDLSHNHLNGSLPVHLGNLSFLGYFSVAYNDLSGTIPFQSQLMRFNASSFEGNGNLCGEIINKNCSTPHQQEEAILSSDAIDTPLLYWSFIVGSFALGFWGIIAFLAWSASCRRRLFALMDGCLYILGWSLT >Et_5B_043980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18495899:18497683:-1 gene:Et_5B_043980 transcript:Et_5B_043980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIKTEFQTSVLFSFQTGLSGSLETLCGQGYGAKAYRMMGVHLQAALLTSALFSVPVSFLWLYSESLLVSLGQDPEVSRLAAAFLRYSIPAQFAYGFIQCALRFVQAQSVAAPLVAFSALPLALQVGVSHALVRGRLGLGFAGAAASTSVSLWVSFLMLAAYVRFSDKFRDTWRGLTAEAFRHVLPGLKLAVPSAVMVCFEYWSIEMLVLLAGLMTESKLSTSIIAMCENTQAVSYMMTYGFAAVISTWVSNELGAGNIASAKKALTVSLSLSLLLAVAFLLLLGLGHDLWASLFSNSETVVSAFASMTPLLIGSVVLDSTQVVLSGVARGCGWQHMAAWTNLVAFYILGLPLALLFGFTLGFHIKGLWLGQICGLLCQNCVLLFITLRTNWEKIDLTTPNQENDFFC >Et_8B_058718.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:18291642:18291992:-1 gene:Et_8B_058718 transcript:Et_8B_058718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEAVAVIQGLSFALDAGISKIELETDDINIRTALTSQAFDMATDGVLSRDIKYLIYSEFVDVRVMYKPRSCNALADRLAKLGGELEPGGVIIWPDGNPAIVNDLVAADVQSASS >Et_8B_059404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17678606:17679036:-1 gene:Et_8B_059404 transcript:Et_8B_059404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAMVLSLYPAQGVKDKYAAALENAVARKLLLARSHVNGRLSAGGARLAGGSQAAEQTHRVQDKTLQGSAGGGAW >Et_5B_044325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22686500:22688066:-1 gene:Et_5B_044325 transcript:Et_5B_044325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKCAISSSRPVSQPRFIQHKQEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPAELSNQGLKVVDVGGGTGFTTLGIVQHVDSQNVILLDQSPHQLEKARQKEALKGVTIIEGDAEDLPFPTDTFDRYVSAGSIEYWPDPQRGIKEAYRVLKPGGVACLIGPVHPTFWLSRFFADMWMLFPKEEEYTEWFQRAGFEDVKIKRIGPSWYRGVRRHGLIMGCSVSGVKRASGDSPLQLGPKAEDVEKPVNPLAFLFRFVIGTVCASYYVLVPIYMWIKDQIVPKGLPL >Et_2A_016854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28848509:28849297:-1 gene:Et_2A_016854 transcript:Et_2A_016854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSRACRPLVLPLLLMLLLLLSSCSPAWCMELSAASAAGDNVRPARRLLVSPPSTSREKAEQQMRVAGAKKPFKQAASSLGRRRIPRSGERRPAANNETEYRILASSKLSSQSDGSHSCNISSWYMEETS >Et_6A_046974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23451463:23456601:-1 gene:Et_6A_046974 transcript:Et_6A_046974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSTSGEGENEGSGRALVELLSMRANLSVVGTEIVVFDGRRGQIIYTHKEEKAQVQAYDAGGDDRPRADWTLQRHLSTRTLRHQNDIPAAAPIKWEWDCYDQERINEVDEPPRTEKICNGMAEVTYAVMSDALEAIVHKVKLAGLPDEHSRISIHGEIAALIDSFNGRKSFLFKRTLEAPLCLTLGDDKSWFLLPLARNVIAVPCGDFLHIEVNLHIVEIPNKQFKANLTFGNGIQSQVNNDKDVEVNIAWYPETEMATAQPSYQLEQTSEEIGELGLTTAQKPIQEGYINMGDYPEMLRLIEMFQAVSEANVEVDLHLIDEQHSRVYGKISALIKDERNANFQVWRGIHSFNSQLELKMSVVAVPSGWVLDVVKVDLCIENDNSEANNLKVTVELCDVKGCTKTSHTCYREKGEKFVVTITRDPEVKIINGHPTVVYTIGDELSFTEFIMILRRILADHPDRQDFLDITNLNFYSREHPMLAKQRSGQPARWLHVRLEVANDDGEITSWTTLIMRDDNLCVLGFENQEGDVYGLVDGMYSDGMLPEHVYRHHRLDWTVEYESILGVEDQKQAAYKLLCAHLGRDFAKEAVRVLSRFTPGEKEGDDDDDPARLALAGLIVMVRESAGMYPIHDAFVAGWRTGSGFTEDLMDSFVWKYGEMSGDLRAWKRRNYAAPYPFKQLETIYLVLNDST >Et_1A_005821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14387123:14389961:1 gene:Et_1A_005821 transcript:Et_1A_005821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAQTPERRKGRSPRPAGVRVGRSPPSRRCGSGSGSGARAAVRPALGWSRSRAPKGKGRTLARSASEPALWLGARVHAAPDGIEPSASPPSPPPPPLERPHTCFDVFAPDSPFGRSPSAASLTKLNSSEEPKVLVSVTVEGSVGPVKAMVRLGASVGEAIAAVVERYAREGRSPRLDPAAAEGFQLHHSHFCLESLNKNDKIGDVGGRNFYLHKNDRTNGLYLQSEEPDANSSGSEIALSYGGQPSGVPYHYQVLAIVIKKLDKIGRRTKRIWRFITCNN >Et_1A_008671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9749304:9757248:-1 gene:Et_1A_008671 transcript:Et_1A_008671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHSDSDSSPKSASSSSSSTSARRRSSPQRARAHSDESGSSDGVLVELPAQEARSTGADADGGVLVNMPADDATSGETFEDAPEDLAATGSRSARSLDESIAVIDYPEVSSVDAEYRKYKVLLPGHSPSVCDVTLNGFVQEEREVFEREAVALRRMLQEMVGQEASVSSQGEDTAETPLHSMLDDCSRLVLELHSVARSREQQIESLHARALEAEVSKEVAERSEQAAGRMLSSIDAVVGQDDASFEGADQDTVSILERKTLSLVERHWQVLMGIQQLEQVLAEVKPGFVTTGQGDHATIVGIVTEELVSSKRNEVDLLHKMNAFAEEKKALADELEEVKAARDAAIAEGSKAKADLEQMEHKLSTTKEKLSMAVTKGKSLVQHRDSLKQALAEKTGELESCLSESQKKSDALQEAERRVEELRLLLDEKSNEHERCLDELRETYGAWEAAKATIEQLNEANTALSSIQASVSLKDEILQRMEEVLSEATFPEDLLSLEMTDRLGWLVEQKKIADMIFSEHHKVKDILSSVDIPHSVLTSKLDSQISWLVNSLNEAKEDAVRLHSESSAMLVKLAAHESKLVSMHEEIDRLTIILLEEKQEKDMLVNEHSELMTLYNAAVDKLSVVSSQNNELVKAFAEFSDVVLEGNEPLDTAKLVQQGLSNIKQRTRSSSIDDESFEKLKTLLYTLDQESTLCKIILEDDMTDRSERTGEHQKMTREIFVLKNEKDSLQKELERVEEKSSLLREKLSMAVKKGKGLVQEREGLKRVLDEKSSEIENLKQVLDEKNSEIEKLAYVQVLDGKNYEVEKFKHALEASSLEIENLKQSLADKSSEAEKIGQELDAKNMGIESLKHEIELRESAITDLREQAEHRSLQAAHLEKLQEDIITLDDEKAKLESMLEEAKLRWRTLADSISSLALPIDQPFEEPLEKISQIAHYIKETDVAKSSLDNELRKANEQITLNASMFSDALSTISMLEDELSKLKDYISSSDEEKRQIQLHVVAVEEELEKTNEELVINVNKIEDANATIKSLQDELSLARSNLSNLEAEKNDAQVKYETELSALNAKLTNCLDELDQTHGNLQSHSTEHHSYLEKLNMLVMDDSLLSQMTEEFGKTISSLRDIGLIVKSMHEHLTAKGFQTDPVMEGSEFATLLSLPDYDSFVTERLVNIRSTKRNIDNTSSFATIVEQLRNQAEYLSGLFRDLSGYMNNNIMSAFRALQLASNNFAHTLEEHHSLKIELGNKDAHNSAREDEMLSLQKELRAMSSKCVYCTQQIKIIFDDVLGVGYAVESATGSSRKGSESEGITFVLKDEDAGDYAKVADTLLSAINALKSESEKLSDTKGPVITSLGELKMRLNHAESAAETASQDRQLYVDRVCVLEKDLKTLQDACNGMELKIQEYQGREDTLKARELDLMSLEHSQVTIDRGVADTISKDQLEALVEKITKLDIPPGDSHSQNEMATFSSPTEKIFMVIDQFVALQHEVETLKYENEDLQLNVESYAREIEQLREVSRNSDLNNRELESKGSELLEVTVSMERMIQRLGHLGGKDVIEDNKPTTTQALLSKLEKLIIASISEAGIAKSTIQDMEAKLQSREKAVDELSTKVKMLEDWYHARLAQPEASKERAFEASSSAIGSDMSEIEDLGPMGKPSISSVSTAAHARTMRKGSSDHLVLNIGTESERLISSQDTDDKGRIKSLHTSGMIPAQGKHIADRGLREPNSHESSASKARAYGVLDLLALMVAWQHLIT >Et_9B_065592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7545383:7549903:-1 gene:Et_9B_065592 transcript:Et_9B_065592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLYVPLLLGFWLVRWWKIFTPEQCETVNRLVAFFAIPFFTFGFTVHTDPFHANYRAIAADVISKVVIVAGIGAWVLFTGRGHDAVNWSITSFSLSTLTSSLVVGVPMARAMYGDWAQQLVVQLSVFQAIVWLTLLLFALEVRKAAIGTQASQVNDVEASAAVVADTEGAVQVTGARPPPSIWALVKVVSYKLGRNPNAHASLGGIIVACIANRLQISLPIIIENSIAIMAQCGNGLAMFSMGLFIAQQDNLIPCGAGLTFLGLVLKFVLGPIAMTIGSIAVGLRGDVVRVAIIQAAVPQSITSFIFAKEYGLHPDVLSTAVIIGMLVSVPLIILFYVGLEGL >Et_4B_037902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24578052:24579498:-1 gene:Et_4B_037902 transcript:Et_4B_037902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSTPPFSKRSGLNYLCKPKIEIGYSPEFARQCFERTGYVDDYNITTEFVCKEDHRNVVEAIRASFP >Et_2A_014517.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18522993:18523674:-1 gene:Et_2A_014517 transcript:Et_2A_014517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVVSSKLVKPSYPAGTPPPDTTEHVPSSVFDKVTYHMQMAIIYAFSPPGPSTSDIERGLASVLGAYRLFAGQVRPGPDGEPGVLLNDHGARLVEASVDAPLADIAPTKPSPVVLRLHPDLEGEIQEVVQVQLTRFACGSLAVGFTANHAVADGHSTSDFLVAWGRAARGLSPITGQPPPHHHPGLFPPRDPPRVEFEHRGVEYHRPAPSS >Et_7B_053541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8964559:8964887:1 gene:Et_7B_053541 transcript:Et_7B_053541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QEDTDHIIYSCPFVDTPHRHRDCLTLLCLWQLWKHRNGVVFRSEMPSLPVSSPLAVKKLACGVVAYRNMEVSSAWCHMFNPM >Et_4B_037346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18750722:18754592:-1 gene:Et_4B_037346 transcript:Et_4B_037346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCLRGKSAAAAGEAALRAAAPWRRTASASYHHTIQAVPRETAGPRAAARERRHGRVPAALLSLAGAGPGEGVAHRKLLTADRKQLAEMLKQSPYFLSTPVRLQVRAGERSTAVVHSGTVLPIKIHKDETTGNILNLVMVQADEGTMLKVNVPVEFKGEDACPGLKKGGFLQKIRTSLVYLCPAEHIPPKIEVDLTNLDVGDRVLMHDIPVHSSLKLLSKNEAMPVCKILASKPVE >Et_7A_053056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5878024:5878950:-1 gene:Et_7A_053056 transcript:Et_7A_053056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWWCGALSARKWRSSSRSSSPVAIRFSTIMFTIDRRKSLSGSSDCFTTATVPSSLPLPSKLFAFRCFFGAGGGGGGGGGGGGGGGGGEKLPWPSLLSRLSPLCTARCFTAGRDVDNGGGGGDKWLLLVLFRPHDTTLCSSSLSWRSNPEKLGVFATELSRLQSTSSSPSPSPSITSASSHGKDEDDAEGTVSVPVDPDADGCAALLALLRHVVAVDASVAVAEE >Et_4B_038117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26365617:26368045:-1 gene:Et_4B_038117 transcript:Et_4B_038117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCLFGCFRVAVADGGGSGREVKGGGGGDGHLVAPTTTREDGVGKRTRPPSRNALSATIMQKSCGASLETTNEIQGVLANADSVQQKAWDLECVSSISETVCFMETPKVEDCETPSRFHQNSSVPDAMSSSKENDEMQTPVTSNATDLDDLTEENNTEASTQIEYHVLDPAEDYEKFGVSRLGEDSIQLDQPAEDPNCAKNNNMISMEISISEVCSLFQSSEDSVSSFNKISDSTNTTSMEKSLTTEVTSLDNRKKVLKNSDSELEFPSLSEWLKPPNPKKTFKDEALTGDRSAKSSDEDRPIIGMVAAHWKDEEPEKFTPKWWDGNGIPNSTNKYKEDQKVSWHAMSFEERLEKALYEEKLLSERNCSSGKTSQFLGMEAEESDTAESNCRYAAAHA >Et_8B_059956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4467567:4486479:1 gene:Et_8B_059956 transcript:Et_8B_059956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFYGTRRRARAHTHTKEKRSENKRSAFIGGPLGLMKSMAGPKYDGKYLHSIVRKLLGETRVSQALQNIVIPTFDIKLLQPTVFSRYDALNDVSKDALMSDVCISTSAAPTYLPGHQFETKDKDGNTRAFNLIDGGVAANNPALLAMTHVSKQILLQGNQQADFFPIKPVDYGKFLVLSLGTGTAKIEEKYDAVQSNKWGVLGWLYNKGNTPLIDSFSHASSDLVDIHISVLFQALHSDKGYLRIQDDELKGDTASVDVSTKDNLNRLVEAGKGLLKKPVCKVNLETGKNEPDPSRGTNEEELIHFAKKLSNERRARLQKQGNLVTNGSGSGSGLILNPAQRLLSRKGSLLSTPKTPSPAYGNVVTVLSIDGGGVRGIIPGTILAFLEEKLQEIDGPDARIADYFDVVSGTSTGGLVTAMLTAPNENGRPLFAAKDINNFYLEHCPKIFPSHSGGPLGLLKNMMTGPKYNGEYLHSIVRKLLGETRVSQALQNIVIPTFDIKLLQPTVFSRYDAKTDVSKDALLSDVCISTSAAPTYLPGHQFETKCKDGSARAFNLIDGGVAANNPALLAMTHVSKQILLGNKDFFPIKPADYGKFLVLSLGTGTAKVEEKYDAVQSGKWGVLGWLYNKGNTPLIDSFSQASSDLVDIHISVLFQALHCDKGASVDVSTEENLNRLVGVGKALLKKPACKVNVETGRNEPDVHRGTNEDELTRFAEMLSRERRARLQKQGQNLLHVAARARSRARHQRVQRPDPEPGAATPSPAYESVVTVLSIDGGGVRGIIPGTILAFLEEKLQELDGPDARIADYFDVVSGTSTSGLVTAMLTAPNENGRPLFAAKDINSFYLEHCPKIFPSHSISISAHMSYSCRAFSGGPLGLVKSMMYDGEYLHSIVRKLLGETRISQALQNIVIPTFDIKLLQPTVFSRYDAKTDVSKDALLSDVCISTSAAPTYLPGHQFETKCKDGSTRAFNLIDGGVAANNPALLAMTHVSKQILLGNKDFFPIKPADYGKFLVLSLGTGTAKVEEKYDAVQSGKWGVLGWLYNQGNTPLTDSSSQASSDLVDIHISELFQALHCDKGYLRIQDDELTGETASVDVSTEENLNRLVGVGKALLKKPVCKVNVETGKNEPDVHRGTNEEELTRFAEMLSRERRARLLKQGQNLL >Et_5B_044942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7487953:7488597:-1 gene:Et_5B_044942 transcript:Et_5B_044942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGRRVGDAAADRISGLPDELLLRLPSPAADAARTSLLSRRWRRVWAGLQKCAGVRKLVVRLSYSKEYGYPYKSFACPCKLLENRKINRIVLDSLEEVEVTEDGEPDQKVELVRLLTKCSATSKKNVLVTVPEGMQSQYTRMRLRSIIPPNNKVKVVILSR >Et_4A_032802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14007276:14012988:1 gene:Et_4A_032802 transcript:Et_4A_032802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSERKVHDLMDAARRRAQRRAAYLARRRGDPLQALQVSGTRCRVHRDDAVYQATEDQQGLIPWNGKQDILIDRFDGRALLDFIRDSSSRPFRVQEKSEEEEELEEFVNFERYRDLIKHRRRGFSDEAGLQHVVQELEAKAVLPYSFEKPQLSQPPASKGAYSQVGYAYKGDGNEESEDLNGDDEDEEEEDEDDEDDKDFSSDDSSDERMESIAKEFGVKRYNWLVYMDKKAKEEEKRQKEIIKGDPSVKKLSRRERRKASQVEREREREAARSVGRVSYRDPYREQRQSPSYESYSRGRRSRSRSRSRSPSYSRRHGRGTHTESSYRSKPKPPKVEYITEFGGSDDTSAPKVAGISPPSSPIRIDIPIRSSGSQILEALHSDPASSLSVEQERGAKTLKPLASTSALTKLSKGASGGSGKTPQTEKKETPQERLKRIMSKQLNKQIRKDTAAEIAKKREQERQRQEKFAEVGRYRRRSSSRSLSRSPPRRRRYSRSRSRSRSPRRYNSRSRSSSRSPSRSPSVFESKLARTDERGLQTQATRRTA >Et_3B_031456.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:30252472:30254001:1 gene:Et_3B_031456 transcript:Et_3B_031456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRLARKLPSLISKHQRLISPETEAPELTEPPSTSTSIPLDSSLPILPVAVSHLSPPSPLPSLPSAHASSPASLLRLLRRARHHPRLAPLDLHLLLAAADASPAFRPDHGLTSLLAARFAASRRLPSLRRLLQLVLSRPCPCADDSIFACPELLPTFRKAIVAFAGSGDIPAASEALASLRRVADSPLPAEYYNIILHALARLRRHDEAIRFYGEMTSVHRVAPDAYTFNILINSSCHAEGVDTAMRWFGEMQRRSCAPTGVSFNTLMRGFFREGRFKEGTKVAREMLELGVGLSVASLEILIGGLCRGGEALMAAEVFVEFLEDGVVPEGFDTLELIEALCRAGWMHKAVEVVDMMLEKTKACYLSVPAGVTVLDCLMKARMLDELCLLMGRMVDQGIVPDTISCNCIFEALCEAGRASDANRLRLIAKEKGFEADSVTYSMLVQGFGRQGKRKEGEALLNEMLDSGFIPNIASYNRLLDSLHKGRFSRSQGMWKHQAERMPLGD >Et_4B_039633.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24453093:24453701:-1 gene:Et_4B_039633 transcript:Et_4B_039633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARIIFCVVIAAAVLAVVLLATVSPLPHRSGGHKAPPNLRTFTVYVHPTTSASVQQQQQQKQSQREAVHGGEASALVFHHRLTAGPENTSRTIGAASGFLLPAGEGGAAAAAAMSVFDMVHLAFDAPGLSGSLCVEAGNEKAPGSGRRGRDVEEEEELRVVGGTGAFAFARGHAVVRGHRPGIGAMAAALRLELSVSPAGS >Et_4A_035639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31924066:31925437:-1 gene:Et_4A_035639 transcript:Et_4A_035639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVALAQGIIDDAHLWASARFSKLRKLLVSRYQLLNQTTEHGHRQVFESSLEHAPLVSVLNRDGFTLAPIEEDTGSISGVEQNHLVVAAQFHRLDTKVERELVRSKKDFPRRRGEDDWEAFTGASPERLLCHRRARMGRRPRRLGRWSSSGWTDRAN >Et_8B_059854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3319318:3320924:-1 gene:Et_8B_059854 transcript:Et_8B_059854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLKENFGGVTAKHTSDEVRGRWRKVVGVVKNPTRRLRFTANLRKRSEAAAMKRSNQEKLRVAVLVSKAALEFIHGTEYVSKPSRLPPQEEYTVPADVAAAGFGICAEELSSIIEGHDLKRLASHGGVDGILSKLSTSASNGITASDDDDKQLSTRRELFGVNRFAEAEPRGFWVFVWEALQDMTLMILAACALISSSSASRPRAGPEIFFCGCPELRPSFQTKTHSEFLHFLPPRESQPLPSPLNRQVAPIGRGRTSGPSQKNRVEGWPRGAHDGVGIAASNALVVLVTATSDYRQSLQFRDLDTEKKRVAVQVTRAGYRRRLSIQGLLAVGDQVPADGLFVSGFSLLIDESSLTGESEPVAVAADNPARSSCPGPKCRMLFTTVGMRTQWGKLMMTLSEGSDDETPLQVKLNGVATVIGKVGLAFAVVTFAVLTQGLLSRKVTDGPWFWGWTCDDALELLEFFAVAVNIVVVAVPEGLPLAVTLSSASRSP >Et_5A_042833.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:5173669:5174979:-1 gene:Et_5A_042833 transcript:Et_5A_042833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAARHGCKVCGKRFPCGRSLGGHMRSHLSLGVATVAEADADDEVKHESANLGRSSSNGVVVGYGLRENPRKTRRLSDFAEEEEDEVDGGYGDGDGEKLFGSWRSQLVRSHASGGRDRDEDDDVDVEEEFVPEEAEAEEVEMAVPMKAPAPVAVPRRRRRSMRVAAPAPAPPPPVLIGFEKEQEDVALCLLMLSRDTGLWSSPVKVKAEPFDADHGHATPAKQAHVRRNGHAYNSDEDSSALLQHGYGYGGDAKTKRSRKRRSSTKQQRQQQRPPAPKRTRYECPGCGKAFGSYQALGGHRASHKRINTSCSAPPKAGVVPAPEPSAETYTSFGGTPSPSASPDSVVAIGITGESLVSGKARASEAVVVEKFECPVCFKVFASGQALGGHKRSHMLAGDGDGDLCVAGEDQVQHAPAAAGFLDLNFPPVPAEEA >Et_8B_060330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9689994:9691598:-1 gene:Et_8B_060330 transcript:Et_8B_060330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDCGRFAVDPATTAWASMDPSEEQLAEAAKYFETALISVDESNKAFIERSIELSREFRKYSNDCARRVRKLNATLPKDQVFVPINIRPRDPKLLIMALEPVYKEFQMDNTSPTTWGCKHFTHPEPRENYAASTMLQVFSLRFAGDFPDDQQPLPVYGFVAVRDDCEPLRNYVLNHGREDAVNLSPDSRLLPLTTPVRGMSVWIKALVEISLKVKNNRSTCDEDDDVLVDICIDFRWDQIIRGKKVKSRIECPLGTLEIEYMFIKHGIEAVVEFDIPMELAGDHVVIIARSRGFKHELTLYDAVVQAETARVSSVVVASLGGSLYFGYLAVGARASGCTRVEVAKHGTYKGHVDLALGTSLKTCLIPFTVTFSTMGYYNNGS >Et_6A_046492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16036752:16042985:1 gene:Et_6A_046492 transcript:Et_6A_046492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGAEEELTAQETALYDRQIRVWGVDAQKRLSKAHVLVCGMNGTTIEFCKNIVLAGVGSLSLMDDHLFMEDDLNANFLIHPDESIYGGRSLADVCCESLKDFNPMVQVSIEKGDPSLIDGEVLDKLLVNENCRKRSKHIAFYAIDCKDSCGEIFVDLQKHCYVQKRGGETEQQELIYPSLQEAISIPWKHLPRKTAKLYFAMRVLENYELTEGRGPGETTFSDMPAVLARKKDMCDKMSFNESQIPTALMERLLAAGKKEHPPVCAILGGILGQEVIKYISCKGDPIKNFFYFDAVDESQTSVHSVKNYPALAD >Et_4B_036690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10746354:10755071:1 gene:Et_4B_036690 transcript:Et_4B_036690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELLELQRQLEAAQSARSSVRLSERNVVELVQKLQERGIIDFELLHTVSGKEYITSDHLKHEIKMEIKKRGRASLVDLSDTLGVDLYHVERQSQKVVSEDPTLMLINGEIMSQSYWDSVTEEINEKLQESSQIALAEIAAQLHIGSELIINILEPRLGTIVKGRLEGGQLYTPAYVSRITAMVRGAARGITVPTNLPSVWNALQQQLQEMHGASGVSVEGSFFQSIFNGLLKEGAVLGSVRPGGQWTPSVFAHAQKESVDAFFSQNSYIGYDVLRKLAIPQPKQYLEARYPDGITLEAVFVHHSVVDMLDAAVGDAIESGHWIDSLSVLPSYISGLDATKILSLCPSLQRALKSSKAVVFGESCVFSNVFIKVSIFDRLEKEMDSFGIKHNVGQGKAMNIIVGSEQKTRSGSDTKDLGDTDVSTTGVSSDRGSKKKRGKGAGSAKGVTLEKDDDTEENIPVKGKKGHKKNKDATSGDAKHGGKKSSEKMKEDNTNIFPDDLIEQKVLTVAPELEELGGSDELNGPLKLLSSHLRPMLVESWMKKRNTMLSGNAERRRRLLDNMQKQLDEAVLDMQLYEKGLDVFEDDPTTSGILHRHLLRSMGVPIVDKVLITLDKDNKMKNGMEVEDTEEELAQLSTADRSSLAKDLPGALSLKAQALVEALEGKRFDSFMDALRDVLEESGLVFKKLDKRLERTMLHSYRKDLTAQVSSENDPVSFLPKVVALLFLQAFNKALQAPGRAVGAVITLLKDKLPASTYKVLADYHSTTVKLLALQAATTDEEDCASYRMLEKKEDLEERLMPELKSLVLGTSKE >Et_1A_007774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38118243:38118958:-1 gene:Et_1A_007774 transcript:Et_1A_007774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQQQQPQAVKAGSNRFAVTCGLLRQYIKEQGGHGAVRQLAPAMATSLTPPGEDVAGEGGATEAVLPAPEVGKTMQELELFPLQPGKLKASQERKEPERAPLTIIYGGRMVVFEDFPAEEAEELIKVARSCCNAGQPCLPGDMPVARKASLQRFLGKRKNRLAPGPDGN >Et_1B_012132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29237756:29241873:-1 gene:Et_1B_012132 transcript:Et_1B_012132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EARVKWGRLFQNAFASNGEEWEREKRNEAAAVRFLLSHLCCSCSSRRRTNTRPQRREGTEMEIARSAAGMTCSKKHQRIYAEWFALADPDGDGRVTGADATSFFAMSGLSRADLKQVWAIADTKRQGYLGFAEFVIAMQLVSLAQAGNKITQDSLISEDLSTLDPPVMEGVDELVAKSKAVVKRVHPDDNGTPQVQAPSIYHWFGSKSAQKKQVPLTAVTSVIDGLKKLYVEKLKPLEAAYRFNDFASPLLTSSDFDSKPMVMLLGQYSTGKTTFIKHLLKTSYPGAHVGPEPTTDRFVVVMSGPDGRTVPGNTIAVQADMPFTGLTKFGGAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVSRVYIGSFNDKPVNDSAVGPIGKDLFEKEQDDLLCDLKDIPKKACDRRVNEFVKRARAAKIHAYIIGHLKKEMPAMMGKAKAQQRLIDNLEDEFAKVQREQHLPAGDFPDVEHFKEVLGAYSIDKFEKLKPKMVQAVDDMLAYDIPELLKNFSNPYE >Et_4B_038326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28114330:28117638:1 gene:Et_4B_038326 transcript:Et_4B_038326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVESPADSGTSSSSVLNSGDGGFRFGLLGSPDDEDCSGDLAAPAAASAGFMTRQLFPVPSPLAQPEPEPAAAPVPVWQPRRVEDLVVAQRPVVAAKKTRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFTLSDYEEDLKQELDQGRVCAHTPTPEHGFRKGELKIPRRHAARVVAGRRGWGSFLARSMSILGSLTAKLKLQAYDRAALRFNGREAVTNFEPSSYNGGDALPDTENEAIVDGDAVDLDLRISQPNVHDSKRSNPLSGLQLDSPESSSTMASQPMSSSSPWPVYHQSTVVQPHHQRLYPSASPSFFPNYQERPMERRPELGPPSFPNWGWQMQGSPHMPLLNSAASSGFSTAVGGVPLPSHPPAPFADRQFYFPPTA >Et_1B_011426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22042540:22050843:1 gene:Et_1B_011426 transcript:Et_1B_011426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVAGLTVQGTVCVQHATRHMAASSSPRSAAVAVALLVVAALCLLHGAAAQSPLCEDYYDDTCPDAYDITRQVLIDAHQSDPRIFASLIRLHFHDCFVQGCDASLLLDSVPGMPSEKTSAPNNGSARGFEVVDAVKAALEDSCPGVVSCADILALAAEISVELSGGPSWGVLLGRLDGLTSDFNGSLDLPAPTENLTVLQGKFRALNLNDVDLVALSGGHTFGRVQCQFVTDRLYNFSGTNRPDPTLDPAYRTFLSQRCPRNGDPTSLNDLDPTTPDTFDKNYYSNLEVNRGFLDSDQELKSSPQAQGTTAPIVDQFANSQDAFFRSFAQSMINMGNLNPVTDPSRGQVRTNCRRVN >Et_2A_014838.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1883752:1883841:-1 gene:Et_2A_014838 transcript:Et_2A_014838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNAPTAQEMSYFDHVQRRHEEKGCLYAW >Et_1B_010818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14405358:14409632:-1 gene:Et_1B_010818 transcript:Et_1B_010818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRNDGGQSKRPIVLCCMMIVCLCLLFLYFSGSNGQAGSAAFEYGTKFSRSLGWGSDDGDDGSEESIFGTGDADDIKPKSFPVCDDRHSELIPCLDRNLIYQMRLKLDLNLMEHYERHCPPPERRFNCLIPPPHGYKVPIKWPKSRDVVWKANIPHTHLAKEKSDQNWMVEAGEKIKFPGGGTHFHHGADKYIANIANMLDFKDNNINNEGMLRTVLDVGCGVASFGGYLLSSDVIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWKEMSALVERMCWKIAEKRNQTVIWVKPLNNDCYRSRARGTKPPLCKSGDDPDSVWGVPMEACITPYPEQMHRDGGSGLAPWPARLTTPPPRLADLYVTAETFEKDTEMWQQRVDNYWSLLGSKVKPDTIRNIMDMKANFGSFAAALKEKNVWVMNVVPHDGPSTLKIIYDRGLIGSNHDWCEAFSTYPRTYDLLHAWTVFSDLDKRGCSAEDLLIEMDRILRPTGFIIVRDKSAVIEFIKKYLHALHWEAITVVDAQPSPESEENEMILVIRKKLWLPEAGTQDST >Et_6B_049471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5261461:5273923:1 gene:Et_6B_049471 transcript:Et_6B_049471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLASLLMTIPFLVAIILASPTTTASSPLPTAASPTAAVANSSTPVLADASPATPTAYDMLEQYGLARGVLPEGVTGYVLRPDGSFEVYLPGDCNLRAGGMNVRYSSVIKGNIQTGSIRDLQGVNVEVIVWIGITQVDASDGQLHFVAGPISKAFPVDKFASSPHTDGRLSVSGRCHRKLVYLPGDCNLRAGGMNVRYSSVIKGNIQTGSIRDLQGVNVEVIVWIGITQVDASDGQLHFVAGPISKAFPVDKFASSPHSAAASSGNSTTPTAYEMLERYNLPRGILPVGVQSYELHPDGSFEVFLSGADGCDLLLAHKYKLRYDQRIAGTVRRGSIRRLEGVSVKMLFMWLSVTEVRRAGDQLRFIVGPLSASSHTARAAMAFNHHLFLLLLVIFFAAGGPSAAAAPSSSGNSTTPTAYEMLERYNFPRGILPEGVQRYELRPDGSFQVFFSRRGGCEFRVGGRYVVRYDQRIAGVARAGSIRALQGVSVKVLFVWLGVSEVDRAGDQLSFVVGPLAASFPLNNFAESPHCRCGFDCATAATGGGVAAA >Et_1A_007401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34146095:34148496:-1 gene:Et_1A_007401 transcript:Et_1A_007401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEPTPPVMKSARRTPPPSPLAQAQAGGASAAEDRLSALDDGTLHAILALLPLRDAAATSVLSRRWPRVFATLPRLVLQPNTFNRRDFDDGGDEDYCEDAHRWMHSLACVLESRATPIAAFEIHFKYMGLYDRWFDDLFRELCASGGLLELSIINTKYSECYPLPAAVYNCGTLTTLDLFNWRLKVPGRMTGLRALRLLRLRNVVASDDDLRRIITRCSAMEHLETDDVHKARNIVITRAPRLEKLEIFAHRPLCISVKKAPRLDSVRLSLSYSHPDISWSIYDSKDSDEDYSFAEIEEMYDYKKMAEREHQLTDEVGNMVTFLSVLGRAKKLDMYLPTEFSQVLSMANVSMPKRLAKKSYLLGLETLTLTLDHNHEALATLVSCLLNSSPNLKDLRIMGSRLQSSPVSLAAEFWEKLINADCVLNHLSSFTFFIEPPFEGHPCKGLCQFLLMNARVLKRLRIEYRPWLVKPEHAAKLEAVRNELNLWPRASPDMLVELCPFRYPSY >Et_1B_009936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12654813:12655658:-1 gene:Et_1B_009936 transcript:Et_1B_009936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVTLKLIADRSARRATFKKRRENLMKKARELSTLCGVDACVVVYGEGGAPPASAAEAARVLNRFREMPEEEQSKKMLDMEGFLRQRNDKLMAQLEKARRANDEREAALLLSGAVDGRRPVADLSADELAVLGRAVSERLKSVDERIQKLHEQGHAGDPLTALHLSLASLPPPLLVPYTAGSGRFRGDAVGASSSGGADDTDMPQAQLRNTTFAGGFPWAARQGPSFFPPPM >Et_2A_017869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7331255:7332020:1 gene:Et_2A_017869 transcript:Et_2A_017869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKLIALFFAFAVAAATLPSSEARPQVLKPDCADAGQAPHPAPVPVPVLSGSPPPAPQLPVVGGAASPPPPQPTTCLTPLLGMMSCMDYLTNLTVLTPPSPCCDGLKSVIKDAPICLCHGLNGDMNSLLAHPINPVRMMILPLTCGAVLPLQTIFSCGIKSFSCISSSVIYVDYVCMYTDTMVVVFDSNNCSASNALGPFRSTNSVTVEDLSSKGIDG >Et_3B_028400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14744605:14747234:1 gene:Et_3B_028400 transcript:Et_3B_028400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRHHRPHAVLLLVLLLAAADALTPDGQALLAFKAAVLHDPDGALSNWDAADADPCAWNGVACSSSGPDGRRVVSLSLPRKRLVASLPAAAALPSSLRHLNLRSNRLFGPVPGDLLAGAPALQSLVLYGNSLNGPLPDALGDLPYLQILDLSSNSLNGSLPRSILKCRRLRTLALARNNLTGPLPDGFGRELAALERLDLSRNRFSGAVPEDIGNLTRLQGTVDLSHNEFSGPIPSSLGRLPEKVYIDLTYNNLSGPIPQNGALENRGPTAFVGNPGLCGPPLKNPCSPDAMPPVPKDGNSSSAAPEAGSNGKKNKGLGKVAIVAIVLSDVVGILIIALVFFYCYWRAVSSKDKGLGTAAAGSKGSKYGKDCGCFSRDESETPSEHAEQYDLVVLDQQVRFDLDELLKASAFVLGKSGIGIVYKVVLEDGLTMAVRRLGEGGLQRFKEFQTEVEAIGKVRHPNIVTLRAYYWSFDEKLLIYDYIPNGNLSAAIHGKPGTMTLTPLPWEARLNIMKGVAKGMSFLHEYSPKKYVHGDLRPNNVLLGTNMEPYISDFGLGRLANIAGGSPYVQSDRVGLEKGQSHNSDASVSPLVSKGSCYQAPEALKTLKPSQKWDVYSYGVVLLEMITGRSPVVLLETMQMDLVQWVRFCIEEKKPSADVLDPFLARDSECEDEMIAVLKVALACVQANPERRPSMRHVAETLERLNGSS >Et_4B_038997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6837351:6840458:1 gene:Et_4B_038997 transcript:Et_4B_038997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGAAAIVPARAAAPRKSMKEESDDEFDDVPLSVSRSKKASNASASKVKKGEEEDDDDELDNMPISKSRAKKGNEKQKSPANNNAKASKVKKEDSDSEDDFKPLAQKKSGGAAASARTSKVKKMKDEDVDDVKDEKKRKRASVKDEKIGTGKKDKEKVKKERKVYDLPGQKHDPPDERDSLRIFYESLYEQIPTSDMAATWLMEWGLLPFDVAKKVFERKQGQKQLKSPVKTTSVKRIPASPAKKITPSSAKKTASAANNAGKTTSQKKRKANSDSDDDDDDEFMAPRAKTKRQKASS >Et_3A_025814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33133558:33134723:1 gene:Et_3A_025814 transcript:Et_3A_025814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFYFADQRREEARLWRCRLLRVDTSLNSIPKLSSKCLNLHAIINGISGTVAVRMCSHRSVTFHGRCVSDKNCASTCHTENQGLGAGHCVGTIRPRCMCIKPCETPTPPADGQVSSSAKTRAAKVLY >Et_3B_027390.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11067096:11068001:-1 gene:Et_3B_027390 transcript:Et_3B_027390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QLDKDVPAGSLHQAQGGVAPIQLRPHGACRRAVAQAGAAVARQARAELGAGAPPVPKEDWASLRETVRELGADEVCRRRAGREGRRAPVTAEQLREADRARRRQDRLGAVRFQGKLGVERRRWHRHRTHPRLTHRHEALRDEVNVGLQRDDRRQPPLLLLRLPGGGNAGAPAGLCRVDQGTVRGGVQGRARGGKDTKGDSMAGRYERLVAELSADSRDKVLRRGAEIGRHLVKQYAEDEASACRVLADFWSEMLLYVAPSENVKGHVEAMARGGEFLTLVWALLLHAGVTTRPEAPGAAIV >Et_3B_029979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29615911:29620473:1 gene:Et_3B_029979 transcript:Et_3B_029979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTKPLLRLLLHLHAALPFLPADPAAGAMYNVLRYGARPDGATDSSAPFLRAWADACRSRRPATVFVPPGRFLVGSATFSGPCRGGAVTFANAGTVVAPARHGGGGSSSGRWITFENVEGLRVTGGTFDGNGRALWACRKRGHGHCPAGASSLTISNSRDVVVDGVRSVDSEMFHVVVIQSRGVTLRGVTVQAPADSPNTDGVHVHMSSHVAVYDARVATGDDCVSIGPGNSNIWIERVACGPGHGIRYVQRGQDEPSRTNPPTSSATPSLPPRTASAMEQPAVARNRKVVLRSYIDRAPREDDMELVDGGAVPLRVPEGAGPAVLVKNLYLSCDPYMRGRMRDFHGSYIPPFKPGSAIEGLGLGRVVDSTHPGFSAGDVVSGMTGWEDYSLISKPEPLRKIQQNDIPLSYHLGLLGMPGFTAYVGFYEICSPKKGEFVYVSAASGAVGQIVGQLAKLHGCYVVGSAGTNQKVELLKEKFGFDEAFNYKEEPDLTAALKRYFPEGIDIYFENVGGPMLDAVLLNMRMHGRIAVCGMVSQHGLTDPVGIHNLICLVSKRIQMKGFIQSDHLHLFPQFVEDITKHYRDGKIVYVEDMTVGLENGPAAFVGLFSGKNVGKQIVCVAQD >Et_3A_026889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25109989:25110987:-1 gene:Et_3A_026889 transcript:Et_3A_026889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDQNPAPAPASATGEPVPPPPGRPTTVSSQVLDMGAQMVQALKPVKQMKQHACSFALYAHDLGRQLEVHHFVSRLNQDVLQCAVYDSDKPSARLIGTRYTPECSLSHARLHVSPSQESHGVEYIVSDAIFEGLPPEEQRLWHSHAYEVKAGLWTDVGVPEALQRSEMASLAKTYGKFWCTWQVDRGDALPLGAPALMVSPQAAEPGRARDDLVARRDERFRVNSSAGGLKAARVEMDEPEWINPNADYWRVHGKGFAVDVVPAEMKCHAPFP >Et_9B_064745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18262249:18263015:-1 gene:Et_9B_064745 transcript:Et_9B_064745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIAVERNRRRQMNEHLKVLRSLTPALYIKRGDQASIIGGAIDFIRELQQVLESLEARKKRRRSSGSSFSAGGSPTPSPRSLLTTGSSSSVDAVVSPASVATVKVKELAACCNSPVADVEAKISGSNVLLRTLSGRVPGQALRMIAVLEALHLEVLHLNISTMEDTVLHSFVLKARSPTDEPCMHINMCKLCLIGLECQVSVEDLAYEVQQTFACCQQELDQHQDNLIMYPSAMPMAI >Et_1A_005961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1683934:1687318:1 gene:Et_1A_005961 transcript:Et_1A_005961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKSSGGRCAGAKRPALQRKQHLYLLVDDWDRGYSVRKLDVDAFDSADADHSPKRFVEPPVARIETVADERYQSFVSNGTKIFALQPGNASPAIPVFDTRTLGLSLCPWPTSDRDYGLFASAAGKLFVFMNDLTALYLAGDPPPHDESNRKPAAVAWSWTSMEARPPFYASRVVCHALHPDGRTLFVSAAGRHRDDGSGRSGTFSLDTETMEWTRHGSWLLPFAGEACFDAELDAWVGLCGERDGAGRLCSCDVDPVAAEFTRPPSWKLGKFCLIEFMFHKDDEHLLRREPKEAGRRRVLDITAFGLKYSKEGQLCTTLRRARSCNMYKQPPDYGIAMSKRESSEESCAGANKRPALQRKQHLSLVLDDWDKGYNIFKLDVDDALDTELPPPVVARIEAAHHKIQSFVSHGTKIFAMPHQEASPAIPVFDTDTLSLSLCPCIDGNYGEPLFTSAAGKLFAFMDDLTAVYLAGDGNSAAAWSWTSMDWPPPFCADHVTCYALHPDGRTLFVSADASRHRDRGWGRDVSGTFSLDTESMQWTRHGDWLLPFAGQAYFDAELKAWVGLCDEPDGAGYLCSCDVVEPVAAQFMRPPLWKLGEDKLFRNEPERHLGAKLVYMGGSRFSLVEFMFHEDDEHLLRRVPKEAGRRRVLHVTAFGLKYSEEGQLRTTLRRGRYCKTYSKQPPINCYGMSVEPVAFWL >Et_1A_007504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35297243:35302573:1 gene:Et_1A_007504 transcript:Et_1A_007504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAALTAGLLLALAAAPAGADTDSADAAALVNLYTSWNSPSQLSGWSAGGGDPCGAGWQGVTCTGAGVTEIKLPGIGLDGTLGYELSSLFSLKKLDLSNNNLHGSIPYQLPPNLTYLNLASNNFSSNLPYSISTMASIEYLNVSHNLLSQQMGELFATLNSLSELDVSFNKLTGDLPKSMGSLSNLSSLYMQNNQFTGVVNVLSGLSLTTLNIANNNFSGWIPQEFSSIPDLTLEGNSFTNGPAPPPPPFMPPPPRRPRNRPKLPQGQGDAPKGSGSPDQGGKKQGLGTGLLVGIVAGSIVAVLCVVFLLVCCIRNVRKTKDDASSESKDFVGPLTVNIERASSREIPERSPENTSMAAVKLPLPEKMTPERVYGKNGSMKKTKVPVTATSYTVASLQVATSSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSAALSLQEEDNFLEAVSSMSRLRHPNIVPLTGYCVEHGQRLLVYEYIGNGTLHDMLHFSDEMSRKLTWNIRVRIALGTARICMRCACHLLSIETLSLRTSYLMKSIIHTFQIVSTEVVGSFGYSAPEFAMSGIYTVKSDVYSFGVVMLELLTGRKPLDSSRERSEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPISEVVQQLVRLMQRASIVRRQSGEELGFSYRAPEREGDLRDISF >Et_2A_017804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6404489:6408815:1 gene:Et_2A_017804 transcript:Et_2A_017804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAAALPLQAAPAAGSPVAPLQRARRRQHSRRWRRPRGLLAWGALVAFFFVMNWWMFSRLQDPAARPHFRLRRHPPRATNSSLSTLGEVAGAGKGKRHHKIMLTRLLALAAHTLAEADTRPEPKDLWKEPINATMWKPCSDQRDWQASEGTNGYIMISANGGINQQRVAICNAVTITRLLNATLVIPKFLYSNVWLDKSQFGDIYQEDYFINYLKSDIRIVKNLPVELQSLDLEAIGSLVNDTDVMKEAKPSVYLKKILPILLKNRVVHFIGFGNRLSFDPIPSELQRLRCRCNFHALRFVHKIQETGALLIERLHGHRPLSSSLEDNLLGQFAVKSVPNGNMSDASKYLAVHLRFEIDMVAYSLCYFGGGKEEEDELEAYRQIHFPVLTEIKKTTKLPSAAFLRSEGKCPLAPEEAVLMLAAIGFKRSTKIYIAGAEIYGGSHRMAAISRLYPALVTKETLLSQSELEPFRNFSSQLAALDFIACAAADAFAMTDSGSQLSSLVQGYRMYYGGGDLPTIRPNKRRLASILVKNATIEWKEFETRVRKLIQQTKQVHERPVARSIFRHPRCPECMCRTES >Et_7B_053210.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:11992033:11993878:-1 gene:Et_7B_053210 transcript:Et_7B_053210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LTNPTSLFHSHSLSVFNLHPKSPPKPSLGRAPPSTRAPMESPRTPPELNLDAVTEVLLRVPPDDPARLVRASAVCGLWRRLLAGPAFRVRYRALHGAGPQVLGFLHDPADRQLPRFVPTASFRPAAADQLNWYPLDCRHGRALLYNCGSGSRGGSVASSSGTPSPATSSACPTRSATCTCTSRWSAPTLGAATTPPAAGAPSSWPARAWTANTTVRTLASSRSRPATRAYRSTSNVTDAASRIGPAALVGDSLYFVGKSGILLRYRYDLLLRLGHKDIYKAGIYSKDVLSVIKPPRAKHLGNVFVMATEGGGLGLASLHLHKLSLWARETGLDGGAGWVQRRTIDLKMLLPAGNPMRRPCLSGLAEGANVIFVSTEDGVFTIELESLQARKVCEMGKVEQIYPFVTFYTETLLVRLF >Et_6A_047680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9577216:9581590:1 gene:Et_6A_047680 transcript:Et_6A_047680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALVLAGLTGAGAFLLFKFATVVDGDVTLVTRGPPRRERVEGKVVWITGASRGIGEVLATQFASLGAKLILSARNRDELERVKQNIVSKNPDCRVEVLPMDLSSGEECLKDVVHAAESLFSNAGIDYMIHNAAFERPKRGALEETEEGIKDTFNVNVFGTITLTRLLAPSMMDRGMGHFVVMSSAAGKVPAPGQALYSASKHALNGYFSSLRSELCTKGIKVTVVCPGPIETPQSSASASSSQRSEKRVSVERCAELTIVAATHGLKEAWISYQPVLTVMYLVQYMPTIGYWLMDKVGAKRLDAAAKKGNAYSWNLMFGGKKSP >Et_5A_042413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9884465:9888760:-1 gene:Et_5A_042413 transcript:Et_5A_042413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHHCPTIQRPKPAQSNGSQNFSQKAAGSHRPFHYQIQLLKIKILPLDSAPLPPHASSLSPRPDADGSGGRSRTPSPRVSLDREPDAGAAPEVKLPSPRDEMLEVTPSQDEDCSNMLHESTSSTKRTFPPASTIKQLVAAIENGKNFKSMNDMRSTGDQLLKEKGGLSLSVVKSLVRREKEERSSSEFFGDEETQSLMYSLFKEEQFPPDGSQCNPELLHSTSLSKDLHGAPPGSFIHQLAEVIGSISSVHKMAFFWQSVVLELRKLWSDWQPVPRMPLDSAPDLNCCLLHQEIQVINCCIARKKRRKAGKESLDSLLNGASIDHSNPRSNGESPDSGMYARDRTGGYVLRLGADCLCENLTLLETGEPIYSPILQEGPIMTAELIKETEELAANPGCVLEDFIRWHSPPDWSEDCAASTTTVGEGSSRRGRLSDRMQTKEGNLWKELWEAAKPIPAVEQTPIYDEDLAVESIFDALEAIEPSKLFEQLLAVILSVCFVAAESVLPADNNLSKVFYDCKDYVIGVYQDDKSKEKLDEICKVYETMEAIVTHPEEALQIMDQPDEKSIESKNRFKLKLNFMGKDRPPIWKRGGKDEKKTPSKDERKPSEEKNTIFSSLLDRKVSIFSKKNAKSPEVAQAPPSSDPGPLDESDWTIL >Et_7A_051990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3430117:3446234:-1 gene:Et_7A_051990 transcript:Et_7A_051990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGDTMKTACVTGGSGYIASALVKMLLETGYAVKTTVRNPDDVEKNSHLKDLQALGSLEVLRANLDEEGSFDDAVAGCDYVFLVAAPVNLAAEDQEKELIEPAVRGTLNVLRSCAKAGTVRRVILTSSAAGVYIRPELQGDGHVLDEESWSDVEYLTAEKPPTWGYCVSKVLLEKAACRFAEEHGINLVTICPVITIGAAPARKVGTSVIDSLSLLSGSEAGLGVLKGIEKTSGAVQLVHVNDLCRAELFVAEEPAAAGRYVCCSLNTTVVELARFVAQKYPQYNKTACVTGGNGYIASTLIKMLLEKGYAVKTTVRNPDDTKKNSHFKELQALGPLEVLGADLDKEGSFDDAVAGCDYAFLVAAPVNLHAKDPENELIEPAVRGTLNILRSCVKAGTVKRVVLTSSAAAVSNRPLQGDGHVLDEESWADVEHLRAHKSGPWAYPVSKVLLEKEASKFADAHGISVVTVCPVLTVGAAPARDIHASVPASLSLLSGDEAAFGMLRGVEMDTGCVPMVHVADLCRAEVFVAEEAAAAGRYVCCAVNTTVAEIARVLGDKYPQYPEELIGPAVRGTLNVLRSCVKAGTVKRVILTSSAAAVSRTRLQGDGHILDEESWADVEFLKANKPPMWGYPVSKVLLEKEASRFAAEHGISVVTVCPVITIGTAPAPNAVTSVPNCLSLLSGDEAEFAVLRGIEWASGTVALVHVDDVCRAELFLAEEEAAAGRYLCCSLNTTVVQLARFLTDKYPEYPVKTNLLSGDLLEKPRVCLSSAKLIREGFGYEYKTLDQIYDDVVEYGKLCLVYKTTGWQPRSTNHNTASAFQSSPSRDSSILVLLAEMAAAAGDRKKTACVTGGNGYIASALIKMLLEKGYVVKTTVRNPEDREKNSHLEELKELGTLEVFRAELEEEGSFDDAIAGCDYAFLLAAPVNYTAPNPEKELIEPAVQGTLNVLRSCVKAGTVKRVVLTSSTAAVSSRPLEGDGNVLDEDSWSDVEFLTAKRTGLWAYPVSKVLLEKAASKFAEENGVNLVTLCPSVTVGEAPDRKVYTTVPAILSLLSGDEAELRVLKGIEKASGSVPLVHVDDVCRAEVFVAETEELPAGRYICNGLDTTVGETAKFLAEKYPEYNVNTNIAGEVLEKPIALLPSMKLIEQGFQFKYGTLAEIYNDMEKKTACVTGGNGYIASALVKMLLEKGYTVKTTVRNPDDMEKNSHLRDLRALGPLEILRADLDEDGSFDDAMAGCDYAFLIAAPVNLTSEDPVKDQIEPSLRGILNVMRSCVKAGTVRRVVLTSSASSVCIRPLEGDGHVLDEESWSDLEYVTADKPPSWGYVVSKVLSEKEASKFADEHSISLVTVCPVLTVGASPVPKVYTSVPAALSMLSGDEAAFDMLKGIEKTFGGVPVVGLDDLCRAEVFLAEAETASGRYICCSFNTTIVEIVRFLAEKYQQYGVNTKGSCDELLEKPRVRLSSEKLVREGFEFKCKTLDETYEGLVEYGKALGILRY >Et_2A_017840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:731586:731941:1 gene:Et_2A_017840 transcript:Et_2A_017840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANPFYSMERQPLAQARIEGTSHPAVYLCDTFVSDKKAFGGSTPKTVSEKERWQATDTKFQAWPRTAGPPVVMNPISRQNFIVKDTQ >Et_7B_055057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6969495:6971390:1 gene:Et_7B_055057 transcript:Et_7B_055057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLRLFHDRRRSRRRPESKAPVPAPAAATFTEARSSVSAPTAAASSFASSSANATTLSEASSARPAASGTSSGSGSGSASSSSARSIPELYEERGRGCLREFALRELRAATRDFSPTLVVGEGGFGCVYRGVLRGPIPGAGKDGTPVAVKRLNPNGRQGHKEWLAEVHFLGVVEHRNLVRLVGFCASETDRGPQRLLVYEFMPNKTLDDHLFNRAFPVLPWDVRLQIALGAAEGLLYLHEGLEIQIIYRDFKASNVLLDEEFRPKLSDFGLAREGPSEGQTHVSTAVRATFTRLTKLDHAAHVMGTFGYAAPDYVQTGHLTTKSDVWSFGVVLYEILTARRSIERNRPRNEQKLLDWVRRHPPESARFGEIMDARLQGRYATRGAREVAKLASACLAKHAKDRPAMGEVVERLRRAMRHTEMDGVADAAEECKGSPSLDQEDATGLPDAEDAGAAARRRMQHLAALGEAADAHARRRLMLMRAATAAAAAAPA >Et_2B_022145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9674270:9677291:-1 gene:Et_2B_022145 transcript:Et_2B_022145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DPPLSAQCLLSPPPFLLRRHAAPPRAVPWGLRRRRSPVAVRASWQELAGVLVFSAIPFTAVKALANSPLGALLRRRLEDRKAAAAAEAEALRAAARDARNRSFWYGGDRPRWLGPVPYEYPDHLTGEYPGDYGFDIAGLGRDRDAFANYFNFEILHCRWAMLAALGVVVPEVLDLFGLVHFVEPVWWKVGYAKLQGDTLDYLGIPGFRIAGGQGVIVIAICQALLMVGPEYARYCGIEALEPLGIYLPGDINYPGGALFDPLGLSKDPVAFEELKVKEIKNGRLAMVAWLGFYIQAAVTGKGPVQNLVEHLSDPLHNNILSSVLYPRAVRKAF >Et_3B_029930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29109086:29117073:-1 gene:Et_3B_029930 transcript:Et_3B_029930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNQARPKISATREQQQRGDVAKPEGERRSPQAATAMDTFYLSHGSPTLSIDESIPARHFFKSWLPARVAGDQTPRAILVVSGHWETDTPAVNVIRGTNDTIYDFYGFPKPMYQLKYPAPGAPDVALRTKELLEQAGFGPVKEDHSRGLDHGAWVPLMLMYPDANIPVFQLSVQTDRDGTYHYNLGRALAPLREDGVLIVGSGSATHNLRKMGPNGSPVPQWAAEFDTWLKDSLLSGRYEDVNRYDERAPHGKLAHPWPDHFYPLHVALGAAGDGCKAEQIHSSWTNATLSYASLTGSCCRRPVELRPVFFFKPYSGQLFINACEASTDLYTHVCNILLPVSTPCVDTMSELVVVSLSAVAYLQKLSAVPVVPLHFYFKFFFDTGYAFSNTLL >Et_9B_066051.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21555689:21556525:1 gene:Et_9B_066051 transcript:Et_9B_066051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGIRRKQHAAASAQCVQEDESGGKGKKGKAFSFSPLSWLGKLTSGKDKPGAAASTPKNNAKRTSPSPAVVAPGRNSGCSPAAADVVPRRLSVGNDSADAVAARRHSRRHCSLGGDRELPPLGHLIPFSLSASPALPPSLAVPSDTDAGPARRNRRRRSSRRLSTTNSGSVSGRRSSFSVSGRKVRVRSPRPAELERLAVVRRTRDPQRAFRESMVEMIGSSSGGWRPEEVERLLACYLSLNAKEHHECIVKVFRQVWFEYINLLKLHRRQGLSQRR >Et_2B_019381.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26431520:26431831:-1 gene:Et_2B_019381 transcript:Et_2B_019381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DEGYNGATTVSTASEHGTRPSSREATGCPSLSRGGVISAKWPAWRRDEDGRCTCPHVAKHIYVACSWGVSQWTLQLYRELHLLYCPFAILLSKMSAEDSSQVS >Et_3A_023608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:135609:137096:1 gene:Et_3A_023608 transcript:Et_3A_023608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAGLVFRGCQLPPGFRFQPTDQEMIVCYLKKKIAGTSASVTSIIADVDIYKFDPWELPEKATFGDGEWFFFSPRERKYPNGARPNRTAGSGYWKATGTDKPILSGAGGEAKCLGVKKALVFYQGRSPKGTKTEWLMHEYRLLLDTRPNHNSMRLDDWVLCRVRNKQACVSSSQLAPAENSSSSDPTISHDDEADMAPPSNVDDGHLLRYLIEGGGPDLQEPNIAASSSSTDHHRLQVSSVLESIKRKLSFQAIDELYLLQPPPSVRPTTASSTTPTTKRPSTASSTS >Et_9A_061584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14036876:14040476:-1 gene:Et_9A_061584 transcript:Et_9A_061584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELTYRGGGASPVAGAGPGAYSPKPSKPLAWLPRAVRYAASEHRPVFALAGMLFAAAVFSIASPSGSSSSAASSYSSSHNTNPLARYSVEPASRRDVPLGLKRKGLRVLVTGGAGFVGSHLVDRLLERGDSVIVVDNLFTGRKDNVVHHFGNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKYNPTNVVGTLNMLGLAKRINARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHIGPFNLGNPGEFTMLELAKVVQDTIDPNAKIEFRENTQDDPHKRKPDISRAKELLGWEPKIPLREGLPLMVTDFRKRIFGDQDSAATTTGGQQG >Et_4A_035945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9083726:9087166:-1 gene:Et_4A_035945 transcript:Et_4A_035945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAALGCADWSQVTRARVLACSRRLKHRGPDWSGLYQHEGNFLAQQRLAVVSPLSGDQPLFNEDRTVVVVANGEIYNHKKIRKQFVGKHTFTTGSDCEVIIPLYEEYGENFVGMLDGVFAFVLYDTRTKTYMAARDAIGVNPLYIGWGGDGSVWISSEMKALNEDCVRFEIFPPGHLYSSAGGGFRRWYNPHWFLEQVPATPYQPLVLREAFEKAVIKRLMTDVPFGVLLSGGLDSSLVASVTKRHLIETEAAEKFGTELHTFVVGLEGSPDLKAAREVADYLGTIHHEFHFTVQDGIDAIEEVIYHDETYDVTTIRASTPMFLMARKIKSLGVKMVLSGEGSDELLGGYLYFHFAPNKEEFHKETCRKVKALHQYDCLRANKATSAWGLEVRVPFLDKEFIDVAMSMDPEWKLYDPELGRMEKWVMRKAFDDEEQPYLPKHILYRQKEQFSDGVGYSWIDGLKAFTDQQVTDEMMKNAAQAFPHNTPVNKEAYYYRMVFERLFPQDSARETVPWGPSIACSTPAAIEWVAQWKASNDPSGRLISSHNDSTHGNGNGNGNANGNGVAVAANGHGAGAAANGKVVNGGAEVAVAVSV >Et_9A_062601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24360384:24362978:-1 gene:Et_9A_062601 transcript:Et_9A_062601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVASTPRPPHALGGASWQAPHHFNARQLQARRPRLAVSASAGAEEEGARGGAERFYFNFTGFPFPLGPFLNRRTIRTEAVRGSVWLFEQEQALGFSSVSTNIRMTVIKLKSGGLWVHAPIAPTKECIQLLKELDAPVEYIVLPTFAYEHKIFVGPFSRKFPKAQVWVAPRQWSWPINLPLEFFGIFRAKSLKDEDDATPWAPEIEQKVLSSPEVGIGPYVEVAFYHKPSRTLLVTDAVIFVPRQPPACISKESLLASAENGLAVKLLSKGKEVPDEPVVDNKLNRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWVDSIAADWPFRRIIPAHFAAPISASRSDFLAAFAFLDELLPERSAAVPGLSLLFASFMGKAASYFPPDDMKTLSSLDEFLVSVGAVKKTVSGRKR >Et_3A_023115.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28972445:28974876:-1 gene:Et_3A_023115 transcript:Et_3A_023115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEAAGSSRRMDLNLYLGPPLVPRPRRSNLGSDLSLSTPMPSSPSSSAASVDAPPPPPEPLLQPYSPFRADLSPPPPEAYSPYNPEDTPAPEAHLPYMPPTEPLIPELHDDTRFAFQHPPPLVRASELLGGWDSLEDRPSSSTASSSFFPDTAARYRRLLEQTGSRWLRTRRFRSDLPPLSSEARPTGQDAAVPVLPREPASDTAEVNKVTAVVAEFGASEESAEDRGKTAATFECNICFEMASEPVVTSCGHLFCWPCLYQWLNVYSNHKECPVCKGEVTEANITPIYGRGNSDGEKAVEDVKPPVLTIPPRPHGNRLESFRQHFHHMRPVSRRLGEAHGILSSWRRLLDQQIMNSAEGPSEAGQEINDSGQHTSRLSRLGTFATWMRARRLQRETESPADGGSSGPDGGLPANSTSESRRASTPLDLLEHLTMIGIANTERLTTAMNDLRRIASPRQSGGSASSSNPQNNEPLVGATHVAGTPSADQASNSSTVAVIQGDAGISESAGEPSNAGSSRSLRRRGRNGLGSLDVDGGGRNKRRRMN >Et_7A_050364.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:10035975:10037615:-1 gene:Et_7A_050364 transcript:Et_7A_050364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YYYRTSPQRTVHCCSDDGRRRRLPYVEDVLLIVQGEEAVAADGEVADCAAEAPSQRGREHELGPAEHGDAVVHGGEELPAVRGEPRVAALLAGHLPAHELAPRAPPHAAGASHPEVEEAQVVVLVDHADGALVVHGDGVEHALVVVERRRGRAGVGPRPGHGLGPEVEQADEAVVRLGEQRRHHERARGVQPLQLVGVAHGAPGRLVEEVGLRARGAVPHADAVLVEAALAAGEDGARVAVEGQRAGGEGLGLPAVRDAEAVPELAGGRGERGHAAVVSPSQPLARRDQERGGVEVRGVVDLRVVERDGAALVEHPVVHVHGAAVRDAAAAIGGGPDGRAVARGAAGDGGRQAEAVAVEGAPPRGADVVEEAVVERLAVEELLGEVRRHVEAPRAEQVEQHREAGRVAVDEVLGGGGGGGGEVPGGVEQRAEHGVAAGVGERCGRRLEHLPAHVEPHAPAARHRKETRQKNRALVGLHHPGAGAAKESRPSLRSIRRPESESNQAGNCAGDGMVDCTRSQSGYRINSLICFLYGVMAAETRPVARE >Et_5B_045699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7859876:7866838:-1 gene:Et_5B_045699 transcript:Et_5B_045699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSSFLPLVLFLLAVSPVAAAWPPFTECTGDATYATNSTYEANLRRLAAVLTAAVNASHGSYTHRAVGCWPYRVQASALCRRRDDDDSDNGILLTCEDGVCHGTDDGPASRYTSCADCIAGAFRELESTCPYHKETYFSDRNCTLQVNEVRIFGTDGIYYGMAWPEKRHHDVRQHLKINNSHALPKLNYFCFANDENTASSLPRTTPPVLKPFSTLFHITYISPAKNTVGANLITFLESIYFSNTQVTGEKESTQVKQRNALTVEKRK >Et_2B_021712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4738587:4745345:-1 gene:Et_2B_021712 transcript:Et_2B_021712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQEAIPLMKPYKMGQFELSHRVVLPPMTRLRSYGNVPQPHAALYYSQRATRGGLLISEGTSVSAIGAHGYPDIPGIWTQEQVEAWKPIVDAVHRKGGVFFCQIAHVGRVSTGDSRFDGEAPVSSTDKLVTPDAETGMVYVKPRRLRTDEISGIVDEFRRAARNAIEAGFDGVEIHGAHGFLLEQFMKDSANDRDDEYGGSLENRCRFVVEITDAVVREVGAHRVGVRLSPFVDYMDCVDSDPVALGTYMVEQLNRYAGLLYCHMVEPRMAIVDGRRQIPHGLLPLRKVFSGTFIAVGGYDKDEGNKIVAEDYADLVAYGRLFLANPDLPRRFELGAPLNKYDRSTFYTQDPVVGLFLANPDIPRRFELNAPLNKYDRSTFYIQDPPIDQEVVPCKMINQEAIPLLMPYKMGQFELSHRVVLAPLTRLRSYGNVPQPHAALYYSQRATRGGLLISEGTAVSAIGVHGYPDFPGIWSQEQVEAWKPIVDAVHRKGGVFFCQIAHVGRAITPDAETGMVYVKPRRLRTDEIPGIVDEFRRAARNAIEAGFDGVEIHGAHGSLPDQFMKDSANDRDDEYGGSLENRCRFAVEVIDAVVREVGAHRVGIRLSPFVDYMDCVDSDPVALGNYMVQQLNRHEGFLYCHMVEPRMAIVDGRRQIPHMLLPFRKGFKGTFIAAGGYDREEGNKVMAEGYADLVAYGRLFLANPDLPRRFELGAPLNKYDRSTFYTQDPVVGYTDYPFLDDDTIDSKNEA >Et_3B_027765.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18150391:18150657:1 gene:Et_3B_027765 transcript:Et_3B_027765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETMVTSSVQEVESFIMEVRGDHSHHLVVGLDLEWRVVQEDGELKHRTILLQLCVDIRSLVFQIHHADVIPDALKDFLTCRDASLSV >Et_3A_024054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16844562:16846059:-1 gene:Et_3A_024054 transcript:Et_3A_024054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPRRTGGLDTVHEVPVPDLHHEPPPCRSGRRRTVKAWVRSHFGRLGRRRDGAPPSPSDLQLMIRVIGAPMVMRPAEPWTTGLAGREISELPLAVSKAKYVVEQYVAAAGGRAVLHAASSLCAVGSVRMRTTTSKRGGGGGKGKVAAAGGGFVVWQQRRPAPRWCVEMAVSGSGGRKLSAGSDGAVAWRQAPWQPAGAAPGPPRPLRRCVQGLDPASTADLFSGAAWAGERRVDGGDDCFVLRVDAAAAELRARSGGGGAEVVRHALWGYFSQRTGLLVGLEDRHLVRRRGAGGEGAYCCYWETSMASSIGDFRRVDGVCVAHASRTVATVTRSGGGGWRRRTRTCVEETWRIQEVGFNVAGLSTDCFLPPSDMVAACDTTTSGRGLEKERVAVHRNDAAAASTTKSVVPSCCDVAHGVEAVVRPAAVTKKVHVPAASTRLGWLGFVNVAAVGTVYGTESLKVGTAI >Et_10A_001473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3819108:3830333:1 gene:Et_10A_001473 transcript:Et_10A_001473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAAPPPSFCHVYHHRASHFVAAAASASARSRKVTSLHIAAAALPTAAAPTVDAALPPRRAPAGDGRSDDVSFHRCECFDLHRQVVPIADACAWQRSDVSKRRGLKNTCEDRSDTLIALQHWPVYSLGSATRVEEDLLFDVEDAPFELYRVDRGGKVTYHGPGQLVVYPILNIRSHKMDHHRYLRSLEEVIIRALKSAFSINASRAEGLTGVWVGDKKVAAIGIRVVSAYHGLALNVTTDLTPFEMIVSCGIKDRGVCSIKEILQKASDGREIDDISLMDMAYDSIMEEFTGLFDLSLDWSAIQPNCSTEERVSAFRSRGFRRQEKLHKVRNTAIGCVVLVILMRLAVLNRCECFDLHQQIVPYADSWAWQQSIVKRRKGLVDGDEDCSDTLIALQHSPVYTLGTDSSEEYLHFNVQDAPFEIHRIDRGGEVTYHGPGQLVMYPILNLRYHQMDLHWYLRSLEEVIIRALKSSFSIKASRVDGLTGVWVGERKVAAIGIHVSRWIAYHGLALNVTTDLTPFEMIVPCGIKDRGVGSIKKILQETSDGRVMDDTSLMDIAYKSLIEEFAEIFKLSLEFSSDNSNLLHN >Et_3B_029394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24618806:24622986:-1 gene:Et_3B_029394 transcript:Et_3B_029394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGVPPGRGSGGGSRSFDFGGDDVLCSYDDFTATSSEPKRPDPVDKDFHESRLGRPFVKVYEQESYGKEDVLSAVEKCMKKYADNLLRSLEGITSRLSQLEIYCYKLERSIGEFRSDVLRDDTDQRLKSLEKHLHEVHRSIQILRDKQELAEAQKELAKFQLAQDTSKKKEDVPTPSFSEPKKLEENPDTSGQQLALVLPHQVNSTSLAPRPSEPVPQYKDQPVQQPASSSLVPQQDRYVLSQAIVYYPQRQTPGIQDTQGQQVQPEVQYLPARPPAQDVPVHASSQQPQASNQSQLQTYPPYQQQWNQQSSQPTPAPVAQSQQTYSQPFPPPTQQPQPQLTNVQQFPPQPVQQAQPSAQQYPPPPVQQAQPSAQQYPPPPVQPQQSSPQLPPQAMQPQQSSPQLPPQAMQPQHPPVQPQMRPQTPPNYPHYPPHQPLNPTPESLPSNVALHGQYNAVASSGGSRSEVAYSYGGPGISPSQPPQQHNMQRHQLPPSQASFGPPPSKGTYAGPLQYAPQGNPQGYNTGYGYPPSGASSAPPPQMPPGGAGMNHPGSHMMRGHPHGEMIEKAIIMGYPREQVLNVTQRMAESGQQMDFNTLLDRLNEAGSGAPPRAW >Et_3B_030956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9282317:9295065:-1 gene:Et_3B_030956 transcript:Et_3B_030956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSAAFLCALVAVQAAVLLAAVSSAQAGELEVGYYSKKCRGVENVIKWHVIRALKANRRTGAALVRLLFHDCFVRGCDGSVFLDKSYENPHPEKEAPVNIGLAAFDLLEEIKAAVEDRCPGVVSCSDILIYAARDAASILSNGHVHFEVPAGRLDGFVSKADEAQAELPDSTHDVEKLIETFAKKNFTVEELVILTGAHSIGQGHCSSFRGRLSEPPSQITPAYRDLLNYKCPQGSDPVVDNNVRDEDYNVVARFMPGFVSRVRKIPDFLDNSFYHNTLAKIVTFHSDWTLLTHKEALGHVHEYADNATLWDEDFADSLLKLSKLPMPAGSKGEIRKKATKMKLSAVAVVLFALQATVAVVQATAPGTLTVGYYNDKCNRSVEDIVREAVRTALDADITKGAALIRLLFHDCFVRGCDASVLLDPTYSNPYPEKTSGANIGLRGFDVIETIKANLEAACPNTVSCADIVAFAARDASVYLSNGGVNFTVPAGRPDGVVSSAADAEANLPGSTFEVAKLVSNFAAKGFTPEEVVILSGAHSVGRAHCSSFRDRLAAPSSEINAYFRDSVLAKFCGAGPADPYYHNNLNNLVLFHSDRVLVGNNATLKHVHEYADNGTLWNLDFAEALVKLSKLAMPPGSTALYALLPTATNAALQDGFYRSNTNCSVDVEATVMSVVQQYVSADRGVGAGLIRLHFHDCFVKGCDGSVLIDASPVNPNPEKASPANGGLRGVEVIEEAKRQLETACPGTVSCADILAFAARDAAAILSSWTISYNVPSGRRDGLASAASDASQSLPPPFAELDQLAALFAAKGFAADELVTLSGAHSVGRAHCGSFSQRIRPNVSDTMDAEYGAAMQRQCPPDAGAEVAVDQDQATPADLDNRYYGNVLAGKVLFNSDWALISDDTTRRMVEDSAADPGRWAAKFGDAMRKMGELDVLTGDQGEIRRFCHVTNSG >Et_2A_018261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20545462:20548969:-1 gene:Et_2A_018261 transcript:Et_2A_018261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARGRRRFRGALLLLLIASVLAPLVLYGGSPVSVSHLPDSTASSAFDREDPSNLVWPQMAASEVSLAKDLTIERLGDHKNRVLSATDHWRVVEAESRNRVSGKPDASVVREESELRNEDEIVVGNDDGQLGQDGVIREVVGRERRDDGSDQAVDSKAESQNAIGVGTELPHEIGVEQKDGLDEAGQNHISGTHATGNLDSSSNEESTDHRLSEQARNAHPRDHQKRASDAVVHSTNSSAGQSATPPDVTIRVIKDQLGRAKTYLGFLASRGNHGFGRELRARMRDIQRALGDATSDRQLPNNVHSKIRAMEQTLVKVRKIHDSCSGAVNRLRASLHSTEQQLQAHKRQANYLAQVAAKSLPKGLHCLTLRLTNEYYPTNSNNKNFPYMEKLEDPKLYHYALFSDNVLAAAVVVNSTLVHAKKPGNHVFHIVTDRLNYAAMRMWFLANPLGRAAIQVQNIEEFTWLNSSYSPVLKQLESRFMIDYYFRSGQARRDENPKFRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDTVVQRDLSALWLIDLKGKVNGAVETCRETFHRFDRYLNFSNPLIAKNFDPHACGWAYGMNMFDLSEWRKQNITEVYHTWQKLNENRLLWKLGTLPAGLVTFWNRTFPLDRSWHQLGLGYNPNVNEKDIRRASVIHYNGNLKPWLETGVPKYRKYWSTYVDFEQEFLRECNINP >Et_3B_030253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31776819:31784263:-1 gene:Et_3B_030253 transcript:Et_3B_030253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASSDLGGIRAGPVERDIEQAIFQRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLISRSHQRKWRTESRSDILSSGATSPRTYTRRSSPLSSPFSSNDSVHKDGSENYRLRTPYGSPPKNGLEKAFSDVMLYAAPPKGFFPSDSNAASVHSMSSGHSDNTNGHSRGIPMDAFRVSYSSAVSSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGGSRVGSSSGAKMDCLVPKPLEFAVRLDVQNISCGGRHAALVTKQGEIYSWGEESGGRLGHGVDCDVSQPKLIDALSHMSTELVACGEYHTCAVTLSGDLYTWGDGAFKFGLLGHGNDVSHWVPKRVNGPLEGIHVSSISCGPWHTALVTSAGQLFTFGDGSFGVLGHGDRDSTTVPREVESLKGLRTVRAACGVWHTAAVVEVMAGNSSSSNCSSGKIFTWGDGDKGRLGHGDKEPKLVPTCVAALVEPNFCQVACGHCLTVALTTSGHVYTMGSAVYGQLGNPQADGMLPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNTPTLVEALKDKQVRSVVCGINFTAAICIHKWVSGVDQSMCSGCRQPFNLRRKRHNCYNCALVFCHSCSSKKSMKASLAPNPNKPYRVCDSCYNKLTKGLEADMHSSAKRGATVQGFNDTLEEELETRSNAQLSRLSSMESFKHVDSRYSKKNKKFEFNSTRVSPVPNGSSHWSGLNISRSFNPVFGSSKKLFSASVPGSRIVSRATSPISRRASPPRSTTPTPTLGGLTSPRVVANDGKPTNEAQSQEVLNLRSQVESLTRKSQLLEAELERNTKQLKEAISIAGEETAKCKAAKEVIKSLTAQVMAERLPGGAAKNSKLPPLPGISIPSDISSLATESLGSPSSVGEQTTNSYNGMLASNGPSSIRSKTESCQEAEWVEQDEPGVYITLTALAGGARDLKRVRFSRKRFSETQAEQWWQENRARVYQQYNVRVVDKSTASVDNDIAAH >Et_8A_056182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13354914:13356911:1 gene:Et_8A_056182 transcript:Et_8A_056182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEWHTSFLVCFVLSLGHCLLASNDSQVQFVYSGFRGANLSLDGVAAVRSDGLLQLTNNSDVIGYAFYPIPLHFRKSPNGTVQSFSVSFVFGIQSVFLDESFDGMTFFVSPGKNFSTAFANHLLGLFNDQNDDNTSNHIFAVELDTYKNTELKDINDNHVGIDLWVDYNESTLQIKATLAPLSVTKPLTPLFSATVNLSNVLKEPSYIGLSGATGPISTLFYVLGWSFGMDSPAPAINITNLPKLPHGHQKRRSVVLEITLPLATGVFVLAVGSTIFLLITRRLRYAEVREDWEAEFGPHRFPYKDLYDATDGFKEKHLLGVGGFGKVYKGVLPTTKLEVAIKKVSHESRQGMKEFISEIVTIGRLRHRNLVQLLGYSRRKDELILVYDYMRNGSLDKYLHSEARLYDHGTDPQTTHVVGTMGYLAPELLRTGTSSPLTDVFSFGAFLLEVTCGQRPIKQGSQDKPFLLVDWVLEHWHSGSLMETVDWRLEGNYHSDDTNMVLKLGLLCLHPLPSARPSMRQVMQYLDGDMPLPEISSNMLSTMQHKGFRSAMLSYPDLSMSVGSFSGLSGGR >Et_2B_020735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23100157:23104297:1 gene:Et_2B_020735 transcript:Et_2B_020735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARSVLDVVLSSVRSTVADEVARLLGVPSEVEFIRNELEMMRSFLRAASVHPETGLGRTDQVRTLVKQVRDLAYDVEDCLLDFALYAARTSSSRAGSTLLPGAVAERRRIADRIRDLKASFVEVNQRYQRYNIAVHQPAAQAEEDAGTLIPKHDVNSAELAFQESDIIGRLNEKAELIKLISRPEPETETEEGQVLQRSSASWLVTLHSWIDYMVPSLWEASKPRPLPAALRSLRRGDGALRVVSVWGMGGMGKSSLARMVHNDSVLLDEFDYGAWITVPHPLDNPEVIRRRLRKELGLAANQNIELHLRDKRFRVVVDDVNTQEEWDEIWKVFQFQNNKGSRIIVTTRREDVAKHCVRKGNEADGNELIYKLEPLQEESMNLLCRKIFKKTEYTLSEDMKEQALHILNRCNGLPLAISTIGGLLANRPQTSIEWRNLHEHLAAVLESDLRDIPNAIVSSYDGLPYHLKLIFLYLSIFPENHEITRTRLLRRWMAEGYIAKHREMPVEIVGERYYNELINRSMIQSSKVSPGARAYRCRVHSIVRQMILSKSIDENQLFVIEKQPYEVPQSKIRHLVVSGWKRSDEKLQSIDLSYIRSLTIFGEYPASLNSPKMRLLRVLDLEDTINLKNDDVKHLGVLRHLRYLGLRGTDISNIPSSLQNLRYLETLDIQDTQVTQLPGGIAKLEKLRYLLAGFNFAKDLLQKMRESRTKNHEAVRFGNIEACRCYNSSESFKVFDAYEFSLRAPEGLEKLKNLHTLEVVNFGSGRGVAGRLKKLTMLSNLCELGVTGLTEKEGNELCNSIGELSRLQRLELRSDSLKFLSKWNEPLVPRHLVSLRLCGNLSRLPEWISSLNNLAKVKLLATRLERETLCALRTCLWENSYIGDSLRFFAGTFPKLKFLDIEGLDKIKKVQIKKGAMPKLEQLWVKKCPSLHDNSSGLSGVPYLLSLNELLLKKCGDKEDLINVLQRQVNRHQKRPKFLIGKSIVQTNPGLPLRTAEQ >Et_9B_065708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9370737:9373872:-1 gene:Et_9B_065708 transcript:Et_9B_065708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLDRLLQDKCIDGSAPRTILLKGKEFGGFLDLLEKVFFLFKCPGAVILARRSIYRTFRLSGTYFGATATFDVQGLNIKDRELSSGKIWIQNYDNNDASTINSLQAGWQIKPDLYGDSKIHFFVYWTNNPYSFCYQADASKTTGCYDLRCVGFIPVNGAPITPGDTLEPANGQKKISVKIFKNKDDGDWWLHYGSEINNLRPVGYWPKSLLTNMQDHASQLGWGGVTHANGG >Et_7B_055427.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:10311302:10311721:1 gene:Et_7B_055427 transcript:Et_7B_055427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRRTEHLLLCFLLLPSLALAAGTTKAPASPSSATAGCRRGELVVRQRATGRTVEGKPEYAVEVRNACRCAVSRVVLRCYGLSSVEAVDPRAIRAVDDERCLLRGGRALAPRGGAVRFTYAWMTPQDFPLLSSRAHC >Et_1B_014132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3286287:3288858:1 gene:Et_1B_014132 transcript:Et_1B_014132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFRTHLSSAIRAFTPLAADSFLHRLLLYSTTTKSPSFIADDFLVTTCGLTPAQARKSSIYLAHLKSPSKPEAVLAFFAGIGLSKADVAAIIAKEPRLLRSSVSGTLAPSVDKLGDIGLSPPQISRLITIVPAILLRPLAASRLTFYLSFLGSYEKVHTALTRSPYFLSQDVERVVKPNIAFLKQCGLTDCDIGKLVWMAPRLVVLQPERVKEIVSCADEIGVPRDSAMFKHALVSIFSIRPGKIPSRLDFLRKALGCSEAELRIAVRRLPNILNFSEDRMSRLLDFLKMEVGLEPNYILERPALLGYSVTKRLVPRFFVLRALKAKGLVKEDIDFYGVVIQNEKTFVKRFLDPYKECVPGLADAYAAACAGQGRVNAYIHSLTWEDSRPAHPPNAM >Et_2B_020001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15972482:15978290:1 gene:Et_2B_020001 transcript:Et_2B_020001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEETAESCGSQAAAAAAGGGGAASGPATSSSSAGAAAQARKQQQQQRHKLEVYTEVLRRLHDSGMPEARAPGFDDELWSHFNRLPARYAMDVNVERAEDVLTHKRLLEQARDPAQRPAFAVRAVQVSPILDGNQTDGDSNTAGEEVASRLLNRQQSIYPPPAFGSSTNLEALALEASKSHGQDHDSTSDNGRSFYRPMHEITFSTIDKPKLLSELTSLLGELGLNIQEAHAFSTNDGYSLDVFVVVGWHDELPGQDVAIKVLKPERINADMQREFAQEVYIMRKVRHKNVVQFIGACTKPPNLCIVTANLLMDENGIPYEYLTPLQAAVGVVQKSLRPTIPKHTHAKLAELLQKCWQQEPAERPDFSEILETLQRIAEEVGDEHEGKHKDKILGGFFSALRGRGH >Et_3A_023275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17165170:17166297:-1 gene:Et_3A_023275 transcript:Et_3A_023275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDDAAKCLRIGKGALEAGDRARAIKFFSKAKRLDPSLPIDHLLSPLLDPQSSSPKPPPQPAAAAAEGAETDGLRERKGKKRQEGQEETAAKEYTAEQLEVVRQVKKHARDYYQILGLDKECTVEDVRKAYRKLSLKVHPDKNNAPGAEDAFKAVSKAFQCLSNPESRKRYDMVGSDEPVTYNRRAASTARAYNGFYEDDFDPNEIFRNFFYGGMAPATTRQFGQFGTFHFRTGGTRAHGQQNSDASTVRMLVQLLPVLLLLLLNFLPSSEPVYSLSRSHPYEHKFQTTRGVTYYVKLPNFEEQYPHQSTERSTLERHVERDYYSIVTQNCRVELQRRQWGLSYQTPHCDMLKKFEATAQ >Et_8A_056294.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:2037132:2037149:1 gene:Et_8A_056294 transcript:Et_8A_056294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEM >Et_1B_012688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34395052:34397679:-1 gene:Et_1B_012688 transcript:Et_1B_012688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPQGRLFQVEYAMEAVKQGSACVGLRSRTHAVLAAVNKAASELSSHQRKVFRVADHAGVALAGLTADGRVLSRFLRSECINHSFVYDAPLPVSRLALRLADKAQVCTQRSWKRPYGVGLLVAGLDETGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTFLERRFEGYNDYTPEQLIKDALSAIKETLQGEKLTSSNCTVAIVGRKDDGTMEPFEMIDAKRIQEIIDSMEAAEEAPAEPSAMQQEEPGTDAAAPMDI >Et_7B_054448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21441640:21443418:-1 gene:Et_7B_054448 transcript:Et_7B_054448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQAPEPAASGDKPLVLLAQPLFPEFAAALEGRYRFVLASDADAAAEARVLLVPGLKTVTAEDLDRLPALELVGATSVGVDHVDLEACRRRGLAVTNAGAAFAADSADYAVGLVVAVMRRVAAADAYVRRGRWAADGDYPLTTKVSGKRVGIVGLGRIGSLVARRLAAFGCAVSYHSRSPKPSAPYRFFATARELAADSDVLVLSCALTEETRRMVNREVLEALGGGGVLVNVGRGDLVDEPELVRCLREGVIAGAGLDVYDNEPHVPPELMAMDNVVLSDHRAVLTPESIRGALDMVAGNLDAFFAGRPLLSPVTL >Et_4A_032724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1347165:1353224:-1 gene:Et_4A_032724 transcript:Et_4A_032724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAGPSPRWRLAAAVLAFALALAFAPAAARPDKEMREKFYGTLVTNGTQNATGDGSIAEMFGRVLEKEFSDSDTPDAPDKNSFNNSISDHQAVLETVAVITHDKKKNDSQQTNSPKPFQIGDMFGGQDENSDDMETVIDKEDNVFVMSNRKTKYPTLQLDIRLIKDLVVIIVSATGGGIIFSCMGQPVIVGYLLAGSLVGPGGLNLISEMVQVETFAQFGVVFLLFALGLEFSLTKLKVVGPVAVVGGLLQIALFMFLCGLTAALCGAKSSEGVFVGAFLSMSSTAVVSKFLVEKGSTNTLHGQVTIGTLILQDCAVGLLFSLLPVLGGASGIFGGVMSMAKLLLVLSIFIAVTYMMTWSIVPRFLKLMIQLSSQTNELYQLASVAFCLLLAWCSDYLGLSLELGSFLAGVMISTTDFAHHTLEQVEAIRNLFAALFLASIGMLIHVKFLWNHVDILLAAVILVIIVKSIVVTVVVKAFGYGIRTAFVVGLSLAQIGEFAFVLLSRASHLHLVGGKMYLLLLGTTALSLVTTPLIFKLIPVVMHLGILMRWFPTENGTQNEEKATMLDTHNRSL >Et_2A_015946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19505145:19508864:1 gene:Et_2A_015946 transcript:Et_2A_015946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRLVSRLLGMFQSRTQVGVDKAGNRYFTRVEEVDGGIEWICWLNGQRKKAPTPEELAEMEARRERVKQNIELLKRKEEEERKAGVRPVKKIGKAESPNLQSFVKQQYTGPSSDQKKEPEEVSRPKDAADSEDATTDNERCIHVQCLLFKLIKHKGKCILLVGITIRDGQHYTSARHKMSFAVAGDYN >Et_9B_065809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13471668:13472439:1 gene:Et_9B_065809 transcript:Et_9B_065809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATLRKRKAPAPSSPQEQKPKPRPPPRLEFRAPSDGAWYGARVAVQRGALRVMYEEFTEEQDEWYEPAAAGLDVAALRARFRAASPALDDARCRDLRPCALLCVALEIDRGELKELKYYDAVLHSGMRRAVLVCFGVELSRGRLPPKSMQVSPAAHETVDGEERCACRFTVRWTEGPRAGSTEQVGVGRVCCVQSSPAQDPVLTEFLDGVTKLLGNGNRSDSEAADGGVSDAPPGFQRKYGTTK >Et_4A_032399.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8217398:8217613:-1 gene:Et_4A_032399 transcript:Et_4A_032399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLFICPVVVSFAETFKTPLASMSKVTSQLIAPLGARGRPERRNVPSKLLPLVLALSPSYTGKDMLLWLS >Et_4A_035979.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9899626:9900717:1 gene:Et_4A_035979 transcript:Et_4A_035979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLPVPVLIKHSGSTQAIPDFEPSSDCECSTASPMEAQNMEVAALVQKITGLHAAIAKLPSLSPSPEVDALFTDLVMTCVPASPVDVTKLDPETQKMREELIRLCSDAEGKLEAHYSDLLAGFDNPLDHLGRFPYFSNYINLSKLEYELLVQYIPGLAPSRVAFVGSGPLPFSSLVLAARHLPNTLFDNYDMCGPANERARKLFRADEDLRARMSFQTADVAALTDVLGEYDVVFLAALVGVAAEEKANVVAHLGRHMADGAALVVRSAHGARGFLYPIVDPEDIRRGGFDVLAVYHPEGEVINSVIIARKVDARATGLQKNGLAHAKGVVPMASKPDESCKMEASVRQKMEELAAEEQLAF >Et_4B_036142.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28114206:28114598:-1 gene:Et_4B_036142 transcript:Et_4B_036142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSTRRGCHTGTGAAAGSGSGWASGDGTGKSCRVMNPAEAAAGAARSPEQSSSSGLPRRPKRKPPSPELRTDELDVPESAGDSTLRSSTICDPQPTGAKRLLPPRLSFNSPIKSTGSHHRASSSISGFDQ >Et_1A_008059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40443247:40459437:-1 gene:Et_1A_008059 transcript:Et_1A_008059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPRAPSLRSLAAPVTNPVDKLPLLPAFLQTRGLVKEHINSFNYFLTKGIKNIVRANNRIQATNDPNIYLRHTLTSPFQLIIINMNDHHTSHLVSIYAPFQSIADTPTSVSASPPCNTISPLVISRHTFAALLIALDFEYTVGKHHELRTKRDHVIGYMPIMLRSRTCILNGKDEAELARLGECPLDPGGYFVVKGTEKVILIQEQLSNNRIIIETDNKGRLTASVTSSTHEIKSKTMIVMDKGKVYLQMNRFTKLIPIMVVMKALGMETDQEVMQMFGRDPRYGDLLFSSIQECATERIHTQQQALQYMDEKEGRSKSILHGVFIAHVPVNDGNFELKCIYTAVMIMSGIRGWSCQDLFKSMNSEAANEMNKCSEKLHSSPADFSQLLKHERISTGLERAISTGNWDIKRFKMHKKGVSQVLSRLSYMASLGYMTRITPQFEKTRKTSGPRALQPSQKFADYLRMLRRSGNIGEFHCIHIASDSGRVCRPLIIADKGISMVKEDHMKELRDGIRSFDDFLGDGQNKALIEYLDVNEENNALITLYEHVDQGDIERSSITHIEIEPLSILGVVSGLIPYPHHNQSPHSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNAIVAVMGYSGYDIEDAIVMNKSSLDRGFGRCIALKKYTVLQEKNENVGSDRIIKPQRDKHGVLVKKSMRVLDEDGIAAPGQIICNHDIYDTPAIYKGVDGETTVVDRVMLCSDNNDNLSIKCIVRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDLPFSERGICPDLIMNPHGFPSRMTIGKMIELVGGKAGVSCGRFHYGSAFGEPSGNADKVEDISETLIKHGFSYNGKDFLYSGILGHPVDAYIFTGPIYYQKRKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLLSSDSSQVQVCRKCGLLGYYNQKLKTSCCSMCKNGENMAKMRLPYACKLLFQELHAMNVVPRLKLSDG >Et_3B_031322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25840129:25846220:-1 gene:Et_3B_031322 transcript:Et_3B_031322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSYCTLQGNRDRIGWRAGGKIDQCTMLLQAEPTADVHGLRNHMCCLMQANASFEFVSRSATDCIAVQPSTWTTMGDQFGSASEQDTFFKWYFVFFYASFMIGDTAVFYLQDGVSWAIGFGSRRLPRHHRGELGPTAAWCELLRDAGAEEAAAAAARRGRGRGRRSAPVRDGGTRAASGTRWEATVAHARDRVEAAATRRVRGGGSRRGA >Et_9B_064735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18126303:18128781:-1 gene:Et_9B_064735 transcript:Et_9B_064735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTTHFLRIPLLLPLVLCCCSASAAPHTLGTGASLAVEDHASPFLMSRDGTFSCGFRQFGENAFAFSIWFTDAADKTAVWTASPGNLVNGRASKVTFRRDGNLALLDANGTTVWETKTKGRDASLSLLNTGNLVILSSDTTTLASFDSPTDTLVPTQPLTKDTRLVAAAGGGGFINLYYDHTNNLLRLMYDGPVMSSNYWPNPDNNAYKNQRITYNSSRLGVLDDAGVFTSSDNLGRPGVIKRRLTVDPDGNARIYSLNASTGGWTVTWAAIRQPCSAHGLCGENALCEYVPRLQCSCPPEFEMVDRRDWGKGCRPLFEMVPNPNCSSSSSSSSSNSSTTEEQRRRFKFVEMPHTDFYGFDLGYTYTQSVSFDYCRQLCLDNCLCSAFSYRVDGRGLCFPKFRLFNGFRSASFFGNIYLKAPIDFNAPDERSSSDVVGLEACDPNVVVPAGSPQELFATARNDMRWSYLFVFVGVLGVLDLVFIATGWWFLSSKHSIPRSLEAGYRMMVAAGQFRRFTYRELKDATGNFKEELGRGGSGVVYRGGGNKVVAVKRLTDVAQQGDEEFWAEMTLIGRINHINLARIWGFCSEGKRGSKLLVYEFVDNESLDKHLFAVGTDRPPLPWRERYRIALGTARGLAYLHHECLEWVIHCDVKPENILITREYDAKIADFGLAKLSTRLRDGGAGGVQLSKMRGTTRYMAPEWALNLPINAKVDVYSYGVVLMEMVIGRRVCDQVTPEGEPLEMPRIVQALSRVVDTGDVTPLVDARLLGQFNPRQALEMVRISLSCLEERSSSRLTMDDVAKALTAYDDEDEQPAYRS >Et_4B_039602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23662072:23665235:1 gene:Et_4B_039602 transcript:Et_4B_039602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGRTAHCPRSAFSRAGGPSSIQTASAPRRTGEPSAPWLGSALSIAVANHHPLTPRRLVRMKLVKSWLPVLNVCRDIVQPMQFHKSSNCKELEEAFLQIISTLPVPEAQELLQQCLGFSTRSVDDCPHLVAAFQTWFRRAGRAPQKPCTGHRRSGSGSHDERFFFLSFFPSSPPSSPSFSPSSELLESPSDAVPHPPPPAPPLPALCLDRTYSISLHAAGFCCCVAGGIGGGCGGDAPFLAGAGCCCTATANGGVVFLGSSWSSGRRADWDSRS >Et_2B_022712.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3527808:3529295:-1 gene:Et_2B_022712 transcript:Et_2B_022712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDAAVRGRDHVVVFPFMAKGHTLPLLHFAAALAARHGGDDDGGLRVTVVTTPGNVAFARRRLPARVHVTALPFPAHPDLPPGVESTDALPSPSLFPAFLRATAHLREPFAAYLAAFPSPPLALVSDFFLGFTQRVATEAGVRRVTFHGMSAFSLALCFSLATSPTKPAEGGGEPFQVPRFPDGVTITAGEVPHAVLQSADTEDPVTRFLADEVRDWDYRSWGVLVNSFAALDGDYAAILESFYLPGARAWLVGPLFLAASGDGEGAREEEADDGDEDPEGCLSWLEEQAAGSVVYVSFGTQVHVAAAQLDELAHGLADSGHAFLWAVRSDAWASPVEPGPRGKVVRGWVPQRRVLAHPAVSGFLSHCGWNSVLESLAAGRPMLAWPVMAEQAANAKHVADVIGAGVCVGAATPATAETTTTLVGRAQVAEKVRELMDDGEAGKRMHARAEQVRREARAAVGEGGTSRVALGRLVDELRRSYDGHGDMTATTS >Et_2A_016156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21559915:21568441:-1 gene:Et_2A_016156 transcript:Et_2A_016156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFADEVAVVLRFHHLSVEIAVIESHCTSSLSFGLGKGKKSGKTSSSMSSTRGKDGASGSGFKKRKLNRLWTAVVRDEETVPLAVGLKPLHLPAPSAAPHGVAVRPPPRRPRVLRRRADQQPLAGQLRQARRSGRHRVDPRVVQAVRRAGEDEDPSDPVRSHELFVFLCFQLPFRGEGRVEQDHALDAHRCRPRGAQAGGDGHVVRDVGAGADAGDEDAAEVTVLRQPRVCAGYGPLQRGPGVVVGRRERVLRRQAVPDGDRDDAGAGHQLVDVAVVQGMPRRVDDEVAPVEEDEDGELGRGTGVVVVVVAAGLGRRGGLDGWWQVEPRGDAGGGVDDDVPGRDAGGGVEAGGHNGLCAVGTFDVAVLVHSEVAALEDDLSAAGFHRFGFPAGASKALDRNTEQEELVSRT >Et_7B_055036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6658879:6662554:-1 gene:Et_7B_055036 transcript:Et_7B_055036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPRRSNNTKYYEVLGVSNTASQDELKKAYRKAAIKNHPDKGGDPEKFKELSQAYEVLSDPEKRDIYDQYGEDGLKEGMGGGGDFHNPFDIFEQFFGGGAFGGSSSRVRRQKRGEDVVHTLKVSLEDVYNGATKKLSLSRNVLCSKCKGKGTKSGAPGACYGCHGIGMRTITRQIGLGMIQQMNTVCPECRGSGEIISDRDRCPSCRANKVVQEKKVLEVHIEKGMQHGQKIVFQGEADEAPDTVTGDIVFILQVKEHPRFKRKYDDLFIEHTISLTEALCGFQFVLTHLDGRQLLIKSNPGEIIKPGQHKAINDEGMPQHGRPFMKSRLFVEFNVEFPESGALSLDQCRALKKVLPRKPGEQLSDMELDLCEETIMHDVNIEEEMRRRQYQRQQEAYDEDEEDTAPRVQCAQQSTALSFK >Et_2A_016664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27037921:27040390:1 gene:Et_2A_016664 transcript:Et_2A_016664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAEAVGMGIAGFIDTMENHEDGRGDEAGREARARRRGVEGRRRLREVEMVASYEKKVAPSQWDRSSGPMTNSPTTLSFSIIYDCLAIEPELAVSAVVIIADLIVQETSLEWMVGFDESNNLSAEARSRHRYDPERGECCHTTVGLPSPSVSASTYRLVLCSGSLHHLALATPGNATPASSLAYNVSLVVAVHNQNWRMSVRRTEPLDAELRFAGRPFARVRLVGAADSNRIRPRRSTASPRLTGTRRRWRSGLGRHAAAELARERAAGAFELELVVAGELKYHRVTLGSAGTEFCDECIIQTLGIVRALPEFAAPAYPRRPPLLAACHVRPPHGPARRRAPLPRRPVRARMAASGAGRDRIRSRRTEVYRVSSAGESAAVALGDDGVAELAGQSAAGGVFELERELVVVGEFRYQAHDRPRRFRAYVLPAEARAVDGACAGGVRKGQVRACSLSISRQAGIGVARRSL >Et_9B_066274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9553743:9554552:1 gene:Et_9B_066274 transcript:Et_9B_066274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVHPNVASSAAAAAGKSAATAAVAPAAVARKEEEKGEAVSLTVWRRSLLFNGKGFTVFDRKGNLVYRVETYAGGSPREVVLMDADGHGLLTIRRKKLSFSDEWLIYDGDTAAASPAPKRFTARRHVSLRPTRSLAHLSPATRAPACADDGAAPSCRYDVEGSYAGRCLDVFASAVSGGGGQRRRVAAVCQKEAAVGPDVFRLVVEPGFDPALAMAVVILLDQMNAS >Et_2B_020165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17504524:17513114:1 gene:Et_2B_020165 transcript:Et_2B_020165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAVLLVGIGRKGSHDEVIGQVRRLAFDMEDIVDQFIYVTKLLLKSKEYQELKQLAESRDRWTKPLDKTDFPSAGYETEQELYLPGHICSIRDDELVGSDKNRQILIDLLHSGDRLQRIITVWGMGGIGKSILVNHVYKNEASKFDCHAWVSISQSYTLGDIWKMLLTDLIGKDKSEFDPGRMNSSELRVELKKILDERRDVLVDNGLKSRIIITTRIEEVASVAEDGCKIKIEPLDDHDAWLLFCRKAFPNNKHHICPPDLHLCARDIVEKCDGLPLALVAVGGLLSLRPKNDKEWRLFYNQLIWELHNNVNLNRVEKILNLSYKYLPDYLKNCFLYCAMFPEDYLIHRKRLIRLWIAEGFIEQKGQCRLEDVAEGYLGELIRRSMLQVAKRNSFDRVKCLRMHDLVRELAIFLSAKEGFSTIYDENHEVIQVGLDSRRVSVLQCSKGRPSSIDSCRLRTFITFETSLALSSCYSSIYSVEVIPNSVGELFNLRFLCLDNTKVKDLPNSIIKLQNLQTLSLESTQLLNFPRGLSKLKKLRYLYALRSHDVTRSNFFSWDAVVPCKGLWNLNELQTLGSIKATEGLVAELGNLSQLRRLSICDVRSHYCAQLCHSLSKMHHLSRLLIRASNEDELLHLDDLTFPNPLQKLYLSGRLSEGSLESPFFINHGNSLLQSNLTENQLSRLAKLSDLTELGLVKAYSKQHIYFHTDWFQNLKRLVLLELPHVKQICIRKGALVSLEYLLFGRVHGLRDVSVGMDYLTFFLCIKCLKTHWREREAARSQREEVEGGRERERERERERAAARSGGGDFIPARSKVGPVDFPWRPSVDFGEAARSADEGSREGGGEVSRRRLLTGTVEGCTHRIFAASNGNEFRHRISAEQVLALGFVPRGEELMLIGNFVHSKAAIDLNNRMVVNCLFEGTTRFLNLLTVYCYDPVVVPTTFRKADLTSLVAVAGTMSAPNLRLTITECCRRILIKQGHASSSSRWQWTAHRSNKRTRVNIRFANAADDLDPRTPLMTSTRCAPWQLAVMPMNSKNRQLERGSSA >Et_4B_037233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1722234:1724946:1 gene:Et_4B_037233 transcript:Et_4B_037233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGFSFLLPGTVAWNQLKRQAGRPCLRSVRLCLSAVAGSTRSDLSKSIDHDLLYKDYNFDQKFSLSTSSNSGLGITATGVKINEDFVGDIRAQHKCGRTTVDVIIDTDSKVSTTVTVDEALPGLKTSLNTRVPDHKSGKLDLQYIHNRFALSSTIGLASAPLVELAATVGTSELCVGAEVGFDSTSATVTKYNSGIVYNKSDFSASLLLADKGETLKVSYIHLFNPTNGATVAAEVTHKLKTKENYFTIGSSHALDSSTLLKTRFSNTGKVGLLCQHEWRPKSLVTLSAEYDPKVVRSPSRFGVAMSLKP >Et_9A_061300.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:9574981:9575100:-1 gene:Et_9A_061300 transcript:Et_9A_061300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FRYIKHIATSEKERSTGRFGRNNTEIFETGPEQLQNSPV >Et_9B_065726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9761249:9768669:-1 gene:Et_9B_065726 transcript:Et_9B_065726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAGGSADRRGAGSGAGAAPSGLRRYGLNFSASSLLQPPLAALLEYSGVVPSGPAPQPDSIEATAGSEVDQASSASGRGAGDAEANGGGGGAAGAAGNGAGDRAYQRYDVHHVARWIEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKISVLVGITVIFMIHVFGVYWWYRNDDLLRPLFMLPPKEIPPFWHAIFIIMVNDTMVRQAAMAIKCILLMYYKNCRGRNYRRQGQMLTLVEYLLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLAAVKALSRKDVHYGSYATAEQVIAAGDMCAICQEKMHVPVLLRCKHIFCEDCVSEWFERERTCPLCRALVKPADIRSFGDGSTSGGGLVLLLKDEARESDFGEAGTMGQASGTTMDVEARYELPDFGVAGVVARLRLDPVR >Et_3A_027194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4599426:4600085:1 gene:Et_3A_027194 transcript:Et_3A_027194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRPPLFELPHDVVVEIAGHVAASSPRPMDAISAAYEPRTYKAMHAACSDPAVARRVALENETATTKWDDDTARYDELVGRLAAAGNPEACFVASVALIFAHRNAREGAAALDRAAAAGHKAAAYYIAQLEADVVVHGAGGGKAKKTNRECRRCRAQAGAAFGSSERLL >Et_1B_011706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25190406:25192712:-1 gene:Et_1B_011706 transcript:Et_1B_011706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVVLHVYDVTNSDSEKTNNTILQINRIFKDRIGLGGIFHSAIQVYGEEEWSFGFCENGSGVFSCPVGKNPMYTYRERIVLGDTECTVAQVNRILRELSREWPGHSYDLLSRNCNHFCDVLCERLGAPKLPGWVNRFANAGDTAAVVAENAAVKFRQAKTEIVNASRVCYRFMAGLTSKNQAAQESPSNSNQNRGSPTFQGAWFKNIVSAGAKPSSSGSTPSQDTDDGSPPPRQNSTDQSTRL >Et_6A_046611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18165554:18171616:1 gene:Et_6A_046611 transcript:Et_6A_046611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGWARGAAAFAAVALAVGAGRRYGWDRESAVAAFRRARGALGPWAAPAYVAAHALTLALCPPYAIFFEGAAALIFGFLPGVACVFSAKVLGASLSFWIGRAIFRYFTSAMEWLQRNKYFHVVVKGVERDGWKFVLLARFSPLPSYIINYALSATEVGFFRDFLLPTIIGCLPMILQNVSIVSLAGAAVASTTGSKKSSIYSYLFPTIGIVSSILISWRIKQYSSALAIPEELKSSSSNGNANGGAKLVSEPSKNTSSGKTKKRR >Et_8B_058790.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5698411:5698695:1 gene:Et_8B_058790 transcript:Et_8B_058790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYTSSVRSFPPIKHCLIALQRFRLACDSTNAVKSIREGILKVYGHIVQEIKERAKSFAFSEIVHESRVSNVDAHTLARSSVYFELGRQVFGF >Et_2A_015234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:81985:82964:-1 gene:Et_2A_015234 transcript:Et_2A_015234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGMGAYGGAVRAVESASGETMLLWGLGQPTAHRNNAMVRQSAHSFDLDACGRRLSLLQSPSSMSTPGVTGAVVWDSGVVLAKFLEHAVDSRHLVLRGTRAVDLGSGCGLVGCVAALLGSHVVLTDLPDRLKLLRKNVSLNVDDPHVAGSAKVTDLVWGDDPHPNLLQQPLPDFLLGSDVIYNEEAVDDLLLTLDQLSGQHTTILLAGELRNDAVLECFLEAAMDNFLVGCIEQDQWHPDFRSNRVALFILVKKPPNTTD >Et_6A_047849.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20905045:20907090:-1 gene:Et_6A_047849 transcript:Et_6A_047849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVTYRYQALKESLAAAISAFHAGGDPRRAHALAVVSSLAANGYLASLLIARYSRLGDADAARGAFDAAVASSASSSAAAPPKTLLYNAMLRGYLFLGLPREAAALFRDMPPSCVPDRHTYHLAATACARAASSPEDVELGWRIEGDAAARGLASDLLVGTALIGMHAEAGDMLAARRVFVGMPMKDSVAWNAMIGGYVRAGSVNEAVELFNRMRSTKGASTTEATLVSLISGYADFGYWKARGMMHSIVIKSGFQHSLFACNALLEMYAEFGCLSEAVSLFRHMAVKDSVTWSSMVGGLVRNGKADYALKIFHWMVSSSEVLVTRSTLLNVIMACAELGDWREGKWIKDNYVMCNGSEFKSDPSVVTTLIYMYAKCGNLDSAVNLLCGVAQVRGDVVAWNAIIKGCGEVGQVERAIKFAVEMQRIGVDPDAVTFLEILPLVSLIPSLRMGMEAHGQIVKRGFLNNRTIANSLVCMYGRCGNLSLAVGAFRGIMDKDAISWTSMLQVYAWNGLAGEVFKLLELMKKLAVQPNKYTFVAVLSACKNTGLVEEGMALLKCMKEQYGLQPDIEHISCVVDMLCRTGRLTDAYHLIQSSVSEHAKNPILWGTLLSGSRSWGDLVIGEAAARHLLSLDPENRANYKMLADIYVSLGRRDDANDILRLLMSRRLDLRPGCSWTAKG >Et_4A_034347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31956391:31958290:-1 gene:Et_4A_034347 transcript:Et_4A_034347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDLLPPTTMGGRVVTKKRKRGDHGGAEEDARAPPDPDLISSLPDCVLGTIVSLLGTEDGARTAALARRWRHVWRDAAPLNLDDPLSNVADDDRRVQRISQILAAHPGPTRRVAFRSLRLRRNALHYDAWFRLPLLDTIQELVLCFRHDIGYPQLPVSALRFAAALRVLDVRHCRFPAAGSVSGSPAFPCLTDLSLHEVVVDEELLEGIFSNSPGIDSMRLHNNLGHRRLRVSNLPRLRRLAVAVKRCSTASIKEEEEIELHDLVVEDAVSLEQLVLDQIDHGPSVDITGATKLKVLGYLQTGFPVSDLGNLVFNKGMLPVSPGKQLSTVRTLALDVAKLNLKQVADSLTYFPSIEKLHMKIESQWMSMESTGVFYAPVTPIECLDRSLRIIELQPYKGYVSHVEFAKFFVERAKVLELMKFGVCGGHSPRWVQHQCTQFSTESKASRRAQFSFGHEIESPNWMEDCFTRDDPFSKK >Et_3B_029842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28478207:28480415:1 gene:Et_3B_029842 transcript:Et_3B_029842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQNDAVREAIASITNDAREKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAERAGLDSMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKDLLFSVLIRKFPTLVTHQESLENKVNEIKATVKFQLKKVLCMGVAVGNCGMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGK >Et_2B_022490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23533740:23540151:-1 gene:Et_2B_022490 transcript:Et_2B_022490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVELNPEDDWSDSDVEDVSDSEVGDALDWLDAVEGPDGSARPSAAFSTAGAAAAARRPNAHGGVLSRPFQPLSNRTQKLTSHIRATPLEEWEGRMNVGMSNSVTTAIRDSIRDTAIGKIRNNEKADRATVEQAIDPRTRMVLFKMLNRGVFNNINGCISTGKEANVYHATKTDGQELAIKVYKTSVLVFKDRDRYVQGDYRFRHGYCKHNPRKMVKTWAEKEMRNLLRVRAAGIRCPVPLLLRLHVLVMEFIGKGGWAAPRLKDAALSDDKLRESYFEIITTMRTLYQKCKLVHGDLSEYNILYFEDFFKKRGVPVMTVTDLFNFVIDQNIGDEDVDDYLDMVQQKILENGDSLANDDEISPTVMVQTLDYVKQCEADIVNMSMMQRPSLGYEPPADKLYDQPLLGFVRTKNSHTVEKQQEQLPQNTVEESLDLQNKCSLESSGSGSSCSSDDDDSWHEADPKMGPEERKAARKENKKKVKEEKREARKTKIPKAEKKKRKKMAKAKCKRHEHKGLRKKRADISDLEINNTRKSQGIVRDSGLEINDTIKNANASAESNQTLRANLWLQIGMPGNIKHKMLYKALRELSA >Et_2A_015032.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:26784328:26785317:-1 gene:Et_2A_015032 transcript:Et_2A_015032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSMPVDILEQILLRLDSPRCLIRASCTCKQWRRIIAGTVFLQRIRSLHEVPVVGSYQNTLTSRRPRFQASPSPTIDSSYLSLDFLPLISTSRSYWKIWGSRGSLLLLDHVSFRYGFSNPDMFICEPLTRRYEKIPPLMACRPQFETEAFLLDSAEPGDLDMSNFRLLYMYHMEGIFAIFTSGGSWRKLRICDEIKSSIDMEYLGFAAGSMYWYTYRRTVYALDQRAADAELSSSLLPDVEDSDDQPLIISKFTVTGRDGKARIIFFDVCDNLKIFARKKGSHGGDDWFLEKSIQVSAAMLGVPSYQQCFITRSVALSAETIGTVQI >Et_2B_022064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8666142:8673414:1 gene:Et_2B_022064 transcript:Et_2B_022064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVITKNGHGIAWAPYGDHWRQLCKICIMELLTEVREVFKGPMKVTEDRLSELFYLHLIIKETLRLHVPAPLLIPRECQEQCRVLGYDVPKGTTVLVNVWAICRNPDYWDEPETFNPERFLEETRDFEGNDFDFLPFGAGRRICPGMAFGLANVELALANLLFCFDWSLPEGIIPSELDMTETMGITARRKADLMDLNILPMEYSAVFFCLLALLPLLHLLKSYLASTSSRHGSLRLPPGPWQLPILGGLHHLHGALPHRALRALARRHGPVMLLKFGAVPVVVASSRDAAKEVMKTHDAVFATRPQTPTVKILSKRGVGIALAPYGDHWRQLRKICIMELLSAKRVRAFRAIREEEAARLVSSVSSASASGSLVNVSKMVAAYVADAVLRAIMGDRLKDRDAFIDQLAEGVRLAAGFSLSDLYPSSRLARVLSPSARLTEIHRVAMLKLMDRVVAEHMERKSDQEEPQEEDMVDVLLRLQRDGCLKIPLTMDTVKGVIIDLFAGSNDTSMTTLQWAMGELMRNPSVMSRLQDEVRGAFAATNKVSEEGLRELSYLHLVIKETLRLHLPAPLLVPRESQEQCKILGYDVPKGTMVMVNAGAMSTDPEYWDELEAFRPERFQDGEKDFKGNDFDFVPFGAGRRMCPGMFFGLANIELALATLIFYFDWSLPEGVTSSTLDMTEAAGITVRKKSDLWLKATLRAQLPY >Et_1B_012288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30561401:30566480:1 gene:Et_1B_012288 transcript:Et_1B_012288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVELPPHLSWPRPNYCGCNCTQNPPAVRRHGLAPGALEILPDLVLQFIYGFIPVPPVSVVAPLACAAARRPKAGDTADRISALPDDILRRIVSRLPAKDGARTAALSSRWTRIWCSAPLVLVDTHFLPRRGEEGVRPPRRGAVSRAVTKAFSAALAAHPGPFSLVSLTCAFLEAAAADRAMLARWFTLLATKGVKELVFVNRPWTVPGLSLPAALFSCASLRRLWLGAWVFPDTTKLPRGVSFPNLQELTLGAMIMEDRDFEFLLAACPVLEVLSVIGSLDRSHARVASHSLKLAQFCMCMFQELAVVDTPCLERLFIWRCCWTQRRSPKVKIGHVPKLKMLGYLEPGVEILEIGNTIIKSGTSPSPRTTVPSVKILALHLHFDIRNEVKMLPCFLRCFPNAETLCIEVKLIPLFTSLATCIIVTMAQREVAARFKALNSARWASGGSKLKPLVARFPEFGGTLGLREGATPLDLRHGLDPTTLERRQDVVLGFFYPFIPKPPVSAAGSLSCATAVGPEYGDGVDRISTLPDEILLRVVSRLPAKDGARTAALSTRWTRLWRSAPLVLVDTHFLPRRGDEGRPPRSGAVSRAVTDAVSAALAAHPGPFPFVSLTCGFFEAVSADRAVLARWFQLLATKGVEELVFVNRPWPVRGLRLPTALFSCAPLPRLWLGAWMFPDTATLPRGSALPNLQELVLACVGMEDRDLEFVLAASPVLESLTIVAGQQELHARLASHSLRCAHICFSCLEEVAAVDTPILERLVMQRCWTGHRSGRKLGARVKIGNAPKLSTLGYLEPGVHVLEIGNTVIKVLVFIPFFDCLWLILMPLR >Et_5B_044932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7405178:7406560:-1 gene:Et_5B_044932 transcript:Et_5B_044932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARLLIFFLGAALAPLLLYSCADASEVGVNYGMVANDLLPPESVVQLLLDNGITTVRIYDTNATVLNALANTGIKVLVMMPNDNIAGAAGSRSYALGWVRRNVAAYVPGTDIRGLAVGNEVFEQAKNLTPLLLPAMVNVQAALARLGLGDAVKVTTPVAFDAIMDPSFPPSGARFRDDIAQSVMKPMLQFLQRTGSYLTINPYPFLAIAREPQNIELDFALGNYEPGHRDNNTGFVYNSLLDAMRDATFFAIENLTEPQMQTMASGGSNGAQTSTVWTETGWASRGTVRIGKRPAETSSDDGTSECPPATVANAMAYNNYVINRVLSGDTGTPRYPDIDMVVYIFALFNENKKGVDSDDAERYYGLFYPNGTKVYDFDFQGTDAK >Et_7A_050199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11055396:11056697:-1 gene:Et_7A_050199 transcript:Et_7A_050199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LQTRRHPQRPDPHLAVEARAAHPPLLLGVLATAVTATPPLLGRRQRGRRGHRPDGGAVALDHCDAGVPAAAAVAPPGAHRLVRRAADHQAAGGARDGEHRPAVAAQRPDGDHVARAVLPLPHHDGAVVAAGVERRAAAPDGQGVHRRRVPRQGGHQVVHGLLAATPADADAAVGGGGVHGAVGRDGDGVDGVVVRRQRLQAAERREGPHLERPVPGHGEEEAAVGGGRDARHGVGVLDPEAAVVAPDGHVVGRDGEAAEAVGDRGEGRGEAEPGRRARGDAPQAQAPVLVARHGGRRAAAAAVEEVRHALDRPRGGRGVRRVGGGRRLERGEVVERHGRRPRHDEAVRRVHRRDGGGHGGRGGQREERGQVDQRHGKAAGARRGRERRKRREGRRRRRLRHRRRRRGGGRRGDGRRGGGHRRGQLV >Et_7B_053913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13262631:13265842:-1 gene:Et_7B_053913 transcript:Et_7B_053913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSARFRDGVRDRSGASWSRAREASVAVEASECRAVLFTLAVADCSACTQFTGHASTASGKGKGCQGQVKVCYGFNLVKGNTNHPMEDYHVAELVEAKGNELGLFAIFDGHLGDTVPAYLQKNLFSNILNEEEFWTHPDRAITKAYEKTDQTILSHTPDLGQGGSTAVTAILINGRKLWVANVGDSRAVLLKGGQAIAMSIDHDPNVERSVIENRGGFVSNMPGDVPRVCGQLAVSRAFGDRNLKSLLRSEPDIRVEDIDYTAELLILASDGLWKVWMVMNNQEAVDLAKRFKDPHTAAKQLTAEALKRESKDDISCIVVRFKL >Et_5A_041708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26113694:26115734:1 gene:Et_5A_041708 transcript:Et_5A_041708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASRPLVSVKALEGDMATDSAGLPLPAVFRAPIRPDWARFVHKLLSCNKRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRKWHHRVNINLRRAAVASALAATAVPALVMARGHRVENVPEFPLVISDSAESIEKTSQAIKILKQVGADADAEKAKDSVGIRPGKGKMRNRRYINRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLEEVYGSFETPSLKKKGFVLPRSKMANADLGRIINSDEVQSVVKPLNKEVKRREKRKNPLKNMAAVLKLNPYLGTARKMATLAEAARVKARTEKLDSKRTKLSPEEAAKVKAAGKSWYKTMISDSDYTEFEVFTKWLGVT >Et_4B_039670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2640032:2646238:-1 gene:Et_4B_039670 transcript:Et_4B_039670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPGQRNKHINKMIHPYHIKSHLDPPSFINLAIGTDYPKDVFLVVAEQGKVDPPVYIVIITTSIIAPFDKFAWNADTIGKNLHRGPPILSVTSSAILCTKTARLATGKSNRMHCATCSISCKSSPPPPAAPAAAVAPVGVTPAEGDEEDPVEVESAGAAPVPEGEVAGDADADGCCPCPCGCPAGGAGSLDRCCHLILLLNKFFISLFP >Et_6A_046241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1092097:1102832:1 gene:Et_6A_046241 transcript:Et_6A_046241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKLKTRKEHEENKCGKRRGGMEACLFASFLVPGKQQQQYLGDMLSNTSGRAIFFRFGLTDSSSLGAHLGNHHVHVDHSSPSFHSSTLLQRQRNPLASWPSHPPLSGQATTNHDAHAAAPSVDSRRVSLSILTWRFQPSRFPRVLGTKDGKSTSIRSPDCSINSTVDLKESQARSSMASPSASSSSFFKSLSESRSLKFSGFSSPATISSSHTEAFRVFAATWNVAGKTPDKGLNLIDFLPSDDYSDIYVLGFQEVVPLNAGNVLVIEDNEPAVRWLALINQALNRPSPSDASAFSDASVSLSLASSAASSPALATPSSSPLDPSLFHKSSHREVRGAAITRGRRLKSCTCPENRRPRRSYRSPCLMGCGGGGGKSARDVEGDATTSDEEDEVVVAVDDEATSVANNSDSFLGSDVKNLTAAAARRRERYCLVACKQMVGLFATVWVRRGLVPHVAHVRFSCVGRGIMGRLGNKGCISVSMSLHHTSLCFVCSHLASGEKEGDELRRNSDVVEILKNTQFRRLCKRSGRRIPERILDHDRVIWLGDLNYRIALSYSEAKKLVEANDWATLFEKDQLKTERENGVFRGWNEGKIFFAPTYKYSWNSDSYAGEDVTSKKKRRTPAWCDRILWHGEGIVQLSYIRGESKFSDHRPVCSVFIVEVAVLDNKLIKAASGPNMKVGAEELLYTQTTKYKPLATTQTSNRPVSINGQYRKWKFKH >Et_6A_047072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24906445:24911565:-1 gene:Et_6A_047072 transcript:Et_6A_047072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLACARAGRRRGGGGAGRGCGASSGGPASRWSLRLREKTLGVERESSNEGHPGAERTASRTRVRQSALYADRPRRSRHRRSTRHLEHSSNVSSSELEATNPATFEALSVSDSSTMFPSEIEEHPIEVGSSELGRVVTDLPRLEALSLMSELPLLTPEETSEESDDEDVDEELTDLPIIETLSENDTGAPLEVSGDYNEYTFFLFNQPKGLSRSMVLDADSHFITVSDDEEEQTKSDSDVDSDEFQREMEEYLAARPCINLWETFDRTSASEHATPAHPAEWGTEPPSVIARAAAEVQQQKETGSLQAPQQAPAAATQRHEASTEDIVRKGKTWMCEEVMLCFKKYLERSADLVGLEYKLDELCHQCFNVESYNKVFHHYNFKVMMKVPSSVDWIMELYFAEVKQIFGRKYYFCCPLEPDEDGDCYACKNQGVEDLKHPGTGGFDMGSPDAKYSLW >Et_2B_022663.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29685133:29690778:-1 gene:Et_2B_022663 transcript:Et_2B_022663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTSTLRLSQPTRSPRRHPPAPASSQQLYSPAAASRRWAATLRSRSHRGVIRALDAAQPFDFESRAAGLLEERQRLKIAIVGFGNFGQFLARTFARQGHTLLAHSRTDHSALATTLGASFFTDPHDLCECHPDVVLLATSILSAEAVLRTLPVHRLRRNTLFVDVLSVKEFPKNLLLTSLPPDFDVICTHPMFGPESARDGWDGLPFVFDKVRVGDCPARRARAEAFLNIFEREGCRMVEMSCAEHDAHAAETQFLTHTVGRMLATLELRSTPINTKGYETLLRLVDNTCSDSFDLYNGLFMYNKNSTELLNRLEWAMDSVKKRLFDGLHDVLRKQLFEGSAQAPDTSNVRK >Et_2B_020349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19201588:19202288:-1 gene:Et_2B_020349 transcript:Et_2B_020349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRDGRHETNRGTMEKRAAETLHHRRNRPIWTHYRQIKRWWDDMLGPRQHQNAASRAQAIIYITWNLWKERYRRVFDNKALSVDQLVTVIRQDLQAWHTTQHIWE >Et_1A_005588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11792756:11795587:1 gene:Et_1A_005588 transcript:Et_1A_005588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVDSDEEGDIFFDACEDDTRISTDASSSVGCSTSDQLSASWSPEYELWTSEPLSIEERRHRFLKGMGFAETVSTGIAFSQWQRQITSDCVSRGLEEKFSSICSSFRSSFSQDAAAPDSAYCIRDLDSGNRIVVHEIEPQGLTSMLEEFGADTIMNINQSQGFLSFSQLVHELLRKGRGRIPEGGINIAYGVKQKDHKSFCGRFMINKEEARICSMFDVPMKSLKTSTLCRTKVDQQNKKWIDFSAVYMCQEIQAHGGSIRVMKFSPSGWHLASVGDDYIVRIWMIREVESSPDLYGREPSGEYMDKTKGLRMKRGKSQSRTLAIIPKNVFSIWETPQHEFHGHTSDILDMTWSKSDFLLTASKDRTIRMWKVGCDGCLAVFEHLNYVTCVQFNPVDERYFISGSIDGKVRIWDVSEKRVVDWDDTRDIITAVSYKPDGKGLVVGNVAGKCRFYDRSGQSMELEKVRRMKKKKSSSNQITGLQFSRGNPSRMVIASADSKIRVSEGTTVAQKFKGRWSSKALLQPSLTSDGRYLISAGADSNVYIWSFDASPSPAGKRRSPRSVRSCETFVSKGVTSVAAWPGPHERGGGGDLRSPEQGPTVCRDRERCSFGTWFFADGMRGAATWPEEKLLPSLKYVNCAGLDDCRSKVSAAWNMVVVTGGSDGVIRCFHNYGLPLKL >Et_4A_035335.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:20668261:20668632:1 gene:Et_4A_035335 transcript:Et_4A_035335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFWLRPWSPTHHPHSLALYSLSWHAVIDTKVIVKSLLTPDTPPHWWLQDVIDFGSFGGRSGAILVRPFNEYFNDQPQEDGEGLVVLDMETTEMRRAKREKHVMAFPFEIDLKSRLSAMKTF >Et_9B_064082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1157023:1164394:-1 gene:Et_9B_064082 transcript:Et_9B_064082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAPASDAEFPAWARSVSECEARLGVSASRGLSSSDAATRLRSHGPNELAEHPGPTLLQLLLAQFEDTLVRILLAAAAVSFLLALSTSAGELTLSAFVEPLVIFLILVVNAAVGIWQETNAEKALEALREIQSDHAAVLRDGRWVPALPARDLVPGDVVQLRVGDKVPADMRVLRLVTSTLRVEQGSLTGETASVNKTSRAVPLDDADIQAKECMVFAGTTVVNGSAVCLVVHTGMATEIGKIHAQIHEASQEDDDTPLKKKLNEFGEALTKIIGLICALVWLINVKYFLTFDLDDSWVPRNVRFSFGKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAAKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSVAKLVAIGDSAEQVRDFKVDGTTYDPQDGKIHDWPAGTMDANLETIAKVAAVCNDATVAHSSHQYVATGMPTEAALKVLVEKMGLPGGKNGLSLDPSDALVCCNWWNNVAKRIATLEFDRTRRSMGVIVKTGSGSNALLVKGAVETLLERSSHIQLKDGSVVPIDEKSRKSILASLEEMSTKALRCLGFAYKEDLSDFATYDGENHPAHKLLLDPANYAGIETDLVFAGLVGLRDPPREEVFDAIEDCRAAGIRVMVITGDNKETAEAICREIGVFSPGEDISLKSLTGKQFMALEDKKSLLRRKGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITPWILFRYLIIGLYVGVATVGIFVIWYTHGSFMGIDLTGDGHSLVSYSQLSNWGQCPTWDNFTVAPFTAGTRTFTFDSPCEYFAAGKVKATTLSLSVLVAIEMFNSLNALSEDTSLLSMPPWVNPWLLVAMSVSFGLHSLILYVPFLAQVFGIVPLSLNEWLLVLLVAFPVVLIDEALKFVGRCMSPSSPKRASKKLKGESLKEDGR >Et_5A_042077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5985284:5986594:1 gene:Et_5A_042077 transcript:Et_5A_042077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFHPLAASRVHRAPLLPCPAAPAAAHRRRFSAIVATAAASATTEFDFKAYMGERAAAVNRALDAAIPAGEPPAALHDAMRYALLAGGKRVRPALCLAACGLAGGREAWAMPPAAAVEMVHTMSLVHDDLPCMDDDDLRRGKPTCHVVYGEPIAVLAGDALLSLAFRHMVCVDSYPPDVDPEKHPARVVRAIGELARCIGSEGLVAGQVVDLEMTGSTGNVPLERLEYIHLHKTAALLEASVVIGAIIGGGSDEQIERLRKYARSIGLLFQVVDDILDVTKSSEELGKTAGKDLASDKTTYPKLLGLDKSREFAEKLLCEAREQLAEFDKEKAAPLLHLANYIAYRQN >Et_1A_008885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17203567:17204603:1 gene:Et_1A_008885 transcript:Et_1A_008885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSKQSSSPPPPPLAAVMADAAAPGPFRFFLSAFSVASPVPDRRRWVVPARSTAVDAAVDVPEFTLRELRKATGSFSSKRLIGKGHYATVYRASLRSGRAAAAKRLDLPDPCGSREWDAVAVFRQQMQLCPLSSTAATYGFEQLQILSKLRHQNVVRLLGYTVAGDLRVLIYEFAAMGTLHDALHGPKGESRPAISLNWAQRVQIALDTARGLEYMHEKAWQPTKHGDVRSTNVLLFEGFRAKIGDYNLFRDAQHQNSSHIYSGLCPRGCIAPEYCS >Et_4B_037742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23036905:23037941:1 gene:Et_4B_037742 transcript:Et_4B_037742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLDVLLGRTTKQTARLKTLLGLATTRLGVLRGHRQVRCAQARGDAEQLLRLGHRDRALLRAEQVVREQNALDALLMLESYCGLVVERAALVDAHRECPEELREAAAGLVYAAARCGDLPELQEVRSIFAAKFGRDFVAAASDLRSGCGVNAKIVQNLSTRQPSLESQQMVLQEIAAEKGIAASYDDAGRSDQRNKQQNRAPREQVEIEEDISGDSAQRYKDVEAAAQAAFESAASAAAAAKAAMELSRGKPSGVSDKIGHARNYSSEIEDFPEDQANHEQQEPVRGRPASVRTTKRGF >Et_3B_028470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15785097:15792397:-1 gene:Et_3B_028470 transcript:Et_3B_028470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLIPDSPYCDRTLRPYLYNVTSSYADLRNDPTKEATSAGMLFLAATFLIVNLFSGYSDLSASLNPTMRSILSTALSLFLPVMSYLFSEAKDEGGPTSQMTELPLRARMILMWMLLMELLRHKVVAIRIAAGMQGYSATIERAGRIVWLGYLVFVNLGTTGKRALYGILWVLAAAKLVQSFAAMELGKRSYAYGKNPLFLVTYMAQKFALQPSRFDHDAARAHGWEVLKRCIYIVMGEDELARRSSPDQLSDALIQGEVVMVGTIWQMDDVDELLRSDPRLKMLCLSFALYKLLRPRLEQEFGFSRDDTDYCRHLLFRGLCQAETEPEASAVTLFQLDFLSEYYNSVHPVVFASPFFFLANYIIYPIIVSASCVLMIILCGNGEVLYAFRSFRTDNYGITVGLTRLTGCIFIRATKSSPEMFAAIDICIGILLGLTFIYGEVRELILFLVSNWFKLRLLAPPVARQPASKASMLNQPNICFKQLSLLSSLQLPWPLRTATSCAVPDEAKISIMERLANVSDDAPISNGKSVLWSHRYRLGELSWACDSANIAEVILTWHIATALFEARDPRPDRKVATALSRYCMYLVAFCPDLLPEMTEFVYKLMRRQLKMKIGWWRYYCSPPLMEIAVPMQQEGEGMTTLHKGVRLGTHLVDRYGVEPPELGWNLLADVWTEIMVYNALPLPRTRGEKLAEAHKKALEHGVEFITMIWALALHAGLFHMPPPTPAAAGV >Et_5A_042126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6623201:6635873:1 gene:Et_5A_042126 transcript:Et_5A_042126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHSFRAKSRWRCLLNVDTGERIHLPHLDGRHYLVLGPTTEGLLVLCRRGYHLMQLLNPLTVHRPPACRSVVTRHLSPYFHLDDLTLLSAGLAEDSMMIALLLQHPKYGLNCLVVARPSGKYWILVHTNDRIVSALSFAGHFYCATPDKVVVLQATMAGQQPQLVLAADYGFHTSPDSSVCLVDDNGGLSLTRWFLDYTGQRTGACKVYRVDLGEGKTVVVPRVDRERAVFISPYCGRALSVRAGLSPSITGNAIYFCAYQIGICGLNFNVCRVMDGSIAEDCRMKPGCSIVDHLARYILGYWRDWASLTAGPAERIAERALSNDVADYVRFRAVCAAWRACAADPRASGVSNRRFHPRRWIVLPRAHTFMNVHARERHVRVPDLLRHHMFGRIAGGLLVLCQKGTHVVQLLNPVTGQLTDLPPVTGLLPPCNMKRSTDSRAGRVRLRNGCVADDSTVALVCNDGRTLAVAKPGDDDWTRLWPDGGDDDRTELWADDERILLALPFAGRVYCVTNWNILQGTRRHGSRFVDVHGTTTTCTYRSSARGARSLILRSAGVTSDGAVVLLYNFKNSPLAAVAKPGQKSWARLNLGQHRIVSALPFAGRMYLVTNKDVLAVETPAYEQARLVVVGELKVLYGGNVILVHRRLRHLFAAGHCSNEQSTAYRVKLETGNMEQVHGFGGQALFACCDWDGSMSVPAKFSSSISADTVYICRNYHENRPKVMAFDLSAGTCLETNFDKEDTANYLLSYLLPGTNRSVAACRRDWANLTAGPASLIAERTLANNITDYIRFRAACTAWRAVCADPRAHEISDRRFHPRQWIMLPSNFNVNRRRRFVNLSTGECIHVGIPDLRSHYLFGPTAEGFLVLCRMTTHVVHLLNPITGQATDLPCAATLFDPSFPSCWGASNLVLRNAVVTNEGAVVLLYNFLERQLAAVAKPGQKCWTRLNLGQHRIMSALSFAGRMCCVTNKDVLVVETSSADEQAPRLVVVAQLKVLDGRDVILVHRRLYRLSVAAGHYAMEKYTAYRVELETGNMELVHGLGGQALFICWNRSQLVPAKFSSSIGSGTVYVCSNYRDENGPKVIAFDLLSGNCLETKFDKKDTARYLVSAVALGSETLLWLVRFGGGSYVRFRRSIPSEELVVRPVTRWSCFIATIKICIQAGVVTLTSAGRCSTLAVYSSKPMLFACRFYTVTLSSPRTS >Et_3A_025644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31533587:31538436:1 gene:Et_3A_025644 transcript:Et_3A_025644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTMVLGVAQPEEAPNRETRDDSGPSQMQVDGPVVLNQSAEIESDDLMAIDDSPSQQPASQPLEATQHSPATLTDTVVEVQKQLKRKRASGGPAIATADKDALLAGCRQELEGLLQYYKEVSGRKIQFEGGNLSGNALVGFLLEESSLGLTKLVEEIYEKLKGTVGVSEASVRSSVLLIGQRLMYGKSSPDADVLEDESDLALWCWEIRDLRLLPVKIRAVLNTRRSARKKIRERITAIYSTLSVLENPGVEAQVNDLRKASLKLNKSLNLEEIRLMVERVTQNKNAARGVQDARSPGVELMQETGGIDQDVSRPEDTSVSQLQIGNSPANEKEIHKAQKQIEKEMKRQEKEDDQMRKLQKKQQEEALREQKRRDKEEAEAKKQQKKLEEEAQKEQKRREKEEAEVKKQQKKQQEEAEKEQKRREKEAAQLKKQLAIQKQASLMERFFKSKDSGKLQKSGEKEAVDDPCTDNKEAIPATTSQIDSFLSQQESWVAEDLWRLHVSCWKKLSSYNRSSRWGIRHKPKMDAFKELKLQKSSDDMVDEILSTPNEDNCQNSSQENEHHKLESDIVMLPVSEIQCHATSNAKPLQTRLIRRKLLQFDKSNRPAYYGTWRKKSAVVNPRCPLKMDPDLDYEVDSDDEWEEEEPGESLSDCEKDSDEIMEEDSKITDEEDEDSFVVPDGYLSDNEGIQIESLLDDKDEEASYSPTGHCTEIEELRSLLRQQKVLNTLTEQALRKSQPLVISNLNNEKAELMTAEDLKGLAKIEQLCLQVLSMRICPGGAVVDLPCIDSSPPRAEGINQSNVKNGSPAAASAIPETDLPEIVQVIRSCRDGINKVVELLQQKFPNVSKSQLKSKVREIADFIDNHWKVKKEVLDKLGLDSSPVKSKKTKGIATYFSKRCLPPEEAVNALASSPELRLKSKTIQNGTTGNEAPQINLFPSPLTR >Et_7A_052576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9538284:9538987:1 gene:Et_7A_052576 transcript:Et_7A_052576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCAIPVHGPRLLTDPARRRRSSRGHAPAVRSCEPAACALDKWRGGGERRLEGSPMTPTSCLTKCQLRRRQQDKRQVIELLLSEEADCSGVYISVVPVVGPAGVGKTILVEQIYNEEILSSKFDITMWVWVCKSSISEEATESPCCFTEMNQMHRVITDQLGGKRFLLVLDDVWDESQARWESLQVPLKCAAPGSKIIVTTRSTKVAKVMAAKIYQLGYL >Et_4B_038995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6743328:6744434:-1 gene:Et_4B_038995 transcript:Et_4B_038995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRAHWDLATTEKLIELCKEEKSLRNFNRIDPTHLGWTHIHHFDHKQVSNKIGSLKRSYHKWLKLQRQSGLGHNRSTGGVSADDSFWDEHEKIDEHDETEPSEEDHEAHEPTLPQDRGTPLPFLEDLEFLFGRTPQDRGNLVCAGGVHQGSPSSPLPAPTPRSGHAAGPSRVPDASPVATTRRGYAAGPSRVPDPSPAGTAAGVPEDVPPSSPVGAAADKSASKRTSVDGSVNIPKKKKSRSSLKESVHVMADTLVQCNIMKAQQHDDDLLRSVSNIMCVDGFMDGSEMFLKAAVLCQHRPTRVQFLDLKDHDARIEFINFVWSNRDARI >Et_2B_022325.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1885080:1886477:1 gene:Et_2B_022325 transcript:Et_2B_022325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITHFDLEIASRTLVRASRPPPGFPAVLAVSNLDLVLGPFPIFLVSVYSAPAAGLDAVVSAVRAALPSYLSHFFPFAGRIVRDPKTNIPVVACNNAGAELVVADAAVPLAAVDFADVDRSLGLIQVPFDASLAMSVQVVRFACGGFALTLGTTHLLADGRAFTVLLSALAETARDGGLSREPVFDRALFRPRTPPRYSASLDAEFARFTPDTMINPLLAAAIRRRLYRIEAADLAALQAEASPLADGGGRRRVSRFVALCAHVWKLLARAVGDSDPSCRMAWIVDGRKQIEPSDGALDRYVGNVVTYTSREASAAELRRAPLRDVAATVRACIAGVMTRARFQELTDWMEERKAAFKDGGKWTEAVNLGLGSPTIIISGLLPFHIDGDLGFGKPRLVMPWIRHGRLGSASVTVVPCPSGDGSWFVGGTRLWPRLVELVESDPGSLLKPVTAASLGLATPAGSRL >Et_9B_066010.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:20326613:20326858:1 gene:Et_9B_066010 transcript:Et_9B_066010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHNLLMLLGASAVVFAVVGEPSAHAGYALAGFMLWLLVVAQVQRLFPAIAAANLAVGKLKHYIHGLPGLPAARRNAAL >Et_8A_057265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2344221:2348305:1 gene:Et_8A_057265 transcript:Et_8A_057265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLRLRRRRRHEGLEADGLRVPGWWPEVVGDGVHPGSLWDEGDAAGHGVAELRPGRHLGGGGGVVNDGAPVGADGDNVHASDDGEVEALGPARERVELRDGAGEVSHRRPIVGALVVGGGCEHVLEVHLEDKVCQATTVQPFLLHYKKKALPPLAVPPVLASAGGHLTPGRLAFSIDIIIKINIIKIKLKFTNFVLNCAIETDGGSVPQWTRNLDRTRLALAFPPLLLLAFELRNAWLGPVPPSSTNDGAGDVETDAEGAEAPDRTRLWPIGKTVGMRGTPTCTPASSASRGHARVAYSYVRTGRSVHISLTGRLSSLACTRAPSFQGSLRSHTDADDPGKVMTRVFWMSLFIAGCSLLPEDTSTSGCNCWCHGPKPEVKRN >Et_7A_051766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24277756:24278358:1 gene:Et_7A_051766 transcript:Et_7A_051766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPMLSEPLRRNISSTIHGNSSGVATELALAPTPTPPYFLPITMREITFMFNMSKWSLSSTARPLDDAACRSRSMACTSSRRTCSCNLSCVSLSSFVCHSLRACRQYLPMATRGRSMNASSLVLRSNLAASADDATTTRMEPVQMDISGPYRTESSWRERCVSGPNRFRFPMTGQGLGPDGRL >Et_10B_002832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12121465:12127175:-1 gene:Et_10B_002832 transcript:Et_10B_002832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESAIDQLEHVGMEIVRRCGGLPLAIKAVGGLLRTKTPNEREWKVVLDDPMWLTDKTHHDLNSALLLSYEDLSPPMKQCFLYYSLIPKGAHMLADTVVEMWMSEGFLQVTADDVGTSKEAEDIGICFYRDLIARNLIEPEGSESRMHDVIRSFAQYIAKEEAFTIPPGQISNLISSKKFRRLSIESTEMELDPMELPTWSSISGKQELLRSLIINGRIEFEPSTDSSLSSFPSLRTLYVRHAESNRFVESLGKLKHLRFLYLQNTDISRLPNDIGRLRDLCIDRERVASVFIVPKGFGRLTNLRTIPVFPAKIIHEALLLTNEEVDLDKSPADCQLVEEVFNELCPPHHLEILFINDYIGLQPPSWMGASSVHFDSLTSLQMYGLPFCTQLPDGLCQLPRLERLIIGSALAIRRVGPEFLGNRGLAMALSFPRLQTLTFDRLPEWVEWEWNGEAEATDIAMPALQTLYICSCKLGCLPAGLARSRRLALRKLTLEDVSSLTVLENFPSVVDLIVEWCPSLKIIRGFYMAQTAVIEHCPHLKIVSGFPSTHKLIVTSCPAIEQLEASTFRGEYSTFRKTFLLSDQSVFQDYGDHSGFIHHE >Et_10B_003107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16642136:16646484:1 gene:Et_10B_003107 transcript:Et_10B_003107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERGRYCPCTKHGFFLLLVSFVLFLFRDATVAAAADAPSSPPLGKRQADIMATLSRVVGNNRWNNTLNPCDSWSGVVCSSSGSVSSFVISLNLRGFGISSSAVFTSICPLDTLEVLDLSKNFISSLPNQSFPFPCAISARLRNLNLSSNRLSGPLANFSVFPKLEILDLSFNNFSGRLSTELNSLPKLTSLNLSSNNLEGDVPHPASISVILEELVLSRNQFTGHIPKDLFQCSNLTMLDLSQNYLTGEVDSNFEKLHKLQNLLLSSNNLSGQIPASLTTLKNLSRFAANQNNFIGPIPIGITENLRMLDLSYNNLNGTIPSELLSPSSLETVDLTSNQLEGNITGNISSSLYRLRLSSNNISGVIPESIGDALALAYLELDSNNLVGNIPSQLGSCKSLTLLNLANNMLEGSVPDFGGLRKLEVLKLQENNLSGPIPTVFSGLTNLGILNLSQNFFIGEVPPDLLNLVNLTNLNLQGNNISGELPSTISSTSLIELNIANNALIGPIPKMPISLKTALNLSHNQLDGPIPPSIGLLNDLEILDLSYNNLSGQVPSSLESLQSLTILVLSYNQLSGSLPRFRPYVSVTSNGNPGLINVTHENKDASTSSRRHTILIIILALAGTLIGIIVLAAVAVYSYSKRIYRVEDEGIPDENIPQIVNGHLITMNSIHTSAIEFMKAMEAVTNHNNIFLKTRFCTYYKAVMPNGSTYSVKKLNWSDKIFQIGSQEKFGREIEVLGKLTNSNVMVPLAYILTVDCAYLIYEHVYKGTVSELLHGGSSDVLDWPSRYSIALGVAQGLTSLQGCTHPVLLLDLSTRTIHLKSSNEPQIGDIELYKIIDPSRSTGSFSAIAGTVGYIPPEYAYTMRLTMAGNIYSFGVILLELLTGKPAVSEGIELAKWALSLSAKPDQREQILDTRVSRTSAAVHSQMLSVLNIALSCVAFSPDARPKMRNVLRMLFNANKNIPQKRKR >Et_4A_033923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28068446:28072264:1 gene:Et_4A_033923 transcript:Et_4A_033923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRCPPVVAGLALAILLLHTAAPLARGQPQPPLARQDVAALYGLRASLGVAARDWPARADPCALWTGVTCRAGRVVELRLAWVRRTRAGARRASFSVDPLRGLTALEALNASGFPLPGRIPGWFGRGLPPSLAVVDLRAARVNGELPADLGTSGNLTTLLLSGNSLSGPIPASLFSVTGLRYLDLSGNNLTGLLPNVSFSGSEGAGVLFNVSGNSLYGAIGDAIVGSLKKRFFVVDASSNYFDQVVGIGFGNGTDGVVDLNMNCLPGVASQRTRGECEAFYQRNGARLVEPPQVLPAPSPGKRGVKLKYVLAGVLGSAAFVVVLGLIVLVLYLTRRRGRRKPRTRGIEQNEEGIRSGRRSSSVNPVSMSPEASPGANGSPKAIIDDFTYEQLDHSTGGFGDDNLVKHGHSGDIYHGVLESGFQVVIKKVDLKSSKKSQGELTFLTKNSHARIVPFLGHLAKDEEELLVYKHMAKGDLTTALHKKPVEVEEGLLSLDWITRLKIAIGVAEALCFLHDECSPPLVHRDIQASSVLLDDKFEVCLGSLSEICTQQSEGSQSFFSRILRSSRSLDKNTTGPPASRTYDVYCFGKVLLELITGNFGVSGSNDTASDEWLVSMLGYIDANDKESVSSIVDPSLVVDEDHLEEVWAVAIIAKTCLNTKPSRRPIARYILKALENPLRVIREREELHSNSSRLRSTSSRSSWRFAFHGNLHHSWEVMPTSGRAPPRRNTAKSQGTEGSDGEEDDSFSFKRASREGFPDPIELEDNDVV >Et_3A_024294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19234147:19242777:1 gene:Et_3A_024294 transcript:Et_3A_024294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERKGTKLRKSLQLYLSKKLKKIPPIHIPSSAIPTNITSTRLLNACRFPRTQSLDLDGDPSAADGDSKDHAATLSDVDRFLFDNFRSLYIHDNDKDPCFPSSSGTSTSLVDETQPTAETSSSSELVAEDIKDSRPGEESGDSTAIVVFSMNPYTDFRSSMQNMIKMHHGSIPQPLDWDFLEELLFYYLQLNEQAVHKHILKAFADLTAGTRQGSPSNGKAHWTDKSDLKKLYPEKIGFSSMASESKGDKVPEVNIMERTPNLRNSSIASPGLKHHQLKVLEDLPGVQEMVKLFIGSAFEGPLCCTQLDAFVSFRNTRTEWNSSKLKDTVLRKPSYSKEQSALTKLCASSNISTALSHGMEFKERRFPGSIR >Et_8A_056696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15089764:15094780:-1 gene:Et_8A_056696 transcript:Et_8A_056696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFDLRASSQHLQPPPSLSGMSDGGGGAFANAGNLEHCAKYLNQTLVTFGFPASLDLFATDPVSIARTCNCIYALLQQRQRDIEFRESTNDLRQRMQSDISRLEAKIERMDAQLAAKDRELATLTRTEAKNTAALKAQIDKLQQERDEFQKMVIGNQQVRTQQLHEMKKREKEYIKLQEKLNQVLMEKKKESSRSGMEIMNLLQADAYEVKKQELMQENADLRGMLRSMQMDMREFLNAPNGSSQATVSANGKKDGGSPQSPLGGKTDVFDLPFHMARDQIEESLRTKMASIKARMTQLQDAQKGAEVTSEATDRELELEAQLVEARSIIQEQASIMSKHFTKSDKPRRLSGLDSEREASTEV >Et_1A_006777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27585243:27588857:1 gene:Et_1A_006777 transcript:Et_1A_006777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEAKGPHSIPLPSRVDRLGSKFHVSKLRPEHISAYRKYEKEKIEKHLDEYINQCSKVKVKCEKLVIENVDVARGITELVSTHGITKLVMGAAADKHYSRKMKMPKSKTALTVLKRADASCKIWFVCKEHLIFTREAVFREFGDLISLDVPTPPASRSSISPLSEWGVPPNHCASNAVDGRIQRSMSEKVVPKSVRTTFRLPLSRLSLEDSSVHSWDSIPRGSVPSSHQTSSTVTDDGHSDSSSFSTPRDDASEILHAVRAGHDLLNPTSYHRQGALDPNVDTFDKPDETFTESEKYQKKAFDESLRRQEGEAEKIEDIYLNESKQRKEVEAELGKANKDIELMKQEMDALRHDQEDIINKLIKTSEQKATLEQRVNEYGGIVKHLEDVLAAKRSFIHSLRLDYDQMKRERDNALRDADDLRKEQEKVVPCSDLTWNTEFSFSELKEATENFSDTMKVGEGGFGRVYKGLLRNTTVAIKMLRSHNLQGQSQFQQEVVVLSRVRHPNLVTLIGSCSEASGLVYEFLPNGSLEDRLACENNSSPLTWQVRTRIISEICSALVFLHSNKPHPVIHGDLKPANILLDANLVSKLCDFGISCLLHKSSTMSTSLYQTTNPRGTFAYMDPEFLTTGELTARSDIYSFGIVILQLVTGKPALGIGRAVEDALEKDELELLVDQSAGEWPFDQAKKLMLLGLHCVELSRRRRPDRMIDVWCVVEPLVKSALSAAPPSFGHRFVEGQIPSYFLCPISQEVMRNPHIAADGFTYEAEVIKGWLDSGRITSPMTKSPLAHYHLTPNHALRSAIQECFQQRQQQ >Et_10B_002523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13039532:13040287:-1 gene:Et_10B_002523 transcript:Et_10B_002523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPFLAMFASIYLVGYFVVFRGWGPRRRPEAASCFTSLFHGTPAALLALRAVLSRHHAVAGGSSLGLLAARNTAAEELVLDFSTAYFAVDLAHYLLFLPGETLFVAHHLATLYVLATCRRLAGAGAHALLALEALAEATSAAQNAWTLAGMWVGNLWVAYFRERKESRTLDKKNKQQ >Et_3A_023651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10386353:10389619:-1 gene:Et_3A_023651 transcript:Et_3A_023651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSRLIRPIPTTLHRRIYLPGISQNPVPEPQGCHHLSLGSQLQRHVPCRTGVKLPSAHTTPRSAMSAARAALLALALTATVLALPSAAAAAANGFSCRAGARPVVFAFGDSNTDTGGMAAAAGWPLMRPEGRAFFPRPTGRFCDGRLTIDFLCESLNISYLSPYLKALGSNYSNGANFAIAGAATLPHDVRFALHIQVMQFLYFRDRSLELISQGLNGPIDAQGFQNALYIIDIGQNDVNALLDNYPYDQAVAKFPPVLAEIKDAVQTLYSNGSRNFWIHGTGALGCLPQKLAIPRKNDSDLDQYGCLKTYNRAAVTFNAALGSLCDQLRSQMKDATIVYTDLFPIKYDLVANHTKYGFDKPLMTCCGNGGPPYNYDPKKGCQSPGAALAACDDGSKFVSWDGVHLTQAANAAVAAGILSSQYSKPQIMFDQFCRV >Et_3A_026024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3591939:3601802:1 gene:Et_3A_026024 transcript:Et_3A_026024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLMRAGCLSGRGFSEDAWKTLTFNLLLVLLTMEFLAGINLIIQTSALKVVTPAMAPPHSWRPVDSTASRAKTVISISVQPVRPQRTKKLHSSTVALSSTESPISVPSYSSMPGVLDLAFYSSDQLHPLVEHRRRLATAVPTHVNADPPDSSNSSAAPSGLVQPPESPHSSCCGPNMVPKRGTQDCHCVYPVKIELFLRNVSLTSNWSNEFLDELASQLNLRVAQFEIVNFYVVGAGLNITMDIAPHTGISFSADQVTAMNYSLSSHTVRIKPVLVGDYYLLNLTWFRPLASAPAPAFTISPKASPSTSSSPSRPKGAPSSDKNKHPSLITVIIICVAALIGVLLVVFTICFCTFRKGKKKGPPVETPKQRTPDAVSAVESLPRPTSTRFLSYEELKQATNNFETSSVLGEGGFGRVFKGILSDGTAVAIKKLTTGGHQGDKEFLVEVEMLSRLHHRNLVKLIGYYSNRESSQNLLCYELVPNGSLEAWLHGALGANCPLDWDTRMKIALDAARGLAYLHEDSQPSPEGRTNYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRRPVDMSQPSGQENLVTWARPILRDKERLEELADPRLGGQYPTDDFVRVCTIAAACVSPEANQRPTMGEVVQSLKMVQRSVEFQESLPTPPARPNIRQSSTTYESDGTSSMFSSGPFSGLSPFETETIPRTAVFSEDLHEVLVVLLLATGIAAIADAKVYTPGDRILVNCGSTTDGLDAEGRKWIADMNENTWLTDSGKSSLMAGADDMDPSLPSTIPYMTGRVFTMEAEYNFTVNPQERHWLRLHFYPAAYNGLPAADFQFSVWTSTGITLLHNFSAYITAKALTQAYVVKEFSLPPTPAGFLAVTFAPMPWGNETYAFVNGIEVVSMPDLFNEPATMVGFADQTVDIAGAAMQTMHRLNVGGVGTHIPPANDSGLTRTWYDDTPFVLGPTQGVVYQAGPHFRINYPSDLAEYAAPAEVYLDTRSMGSDPRVNQNYNLTWTMAVDGNFTYVARLHFCELLLNKPNQRAFDIYVNNKTAQSDADVIGMTSERGVPTYKDFVVHVADEPGDEAMWVALHPSVALRPQFYDAILNGLEVFKLNDSAGNLAAPNPEPSRLLAKAELGAGGPGASGQGGAASGRHHNMAAVMGGTAGGAAAIGIVAAICVVVYHDRKRRRRNRDLGGGSGGGSHTSGWLPLYHSHTSAKSSGHLAANLAGMCRHFSFADIKTATKNFSESLVIGVGGFGKVYRGVVDGDTKVAIKRANPSSEQGVHEFQTEVEMLSKLRHRHLVSLIGFCEDAGEMILVYDYMEHGTLREHLYMGGKPPLSWRHRLDICIGAARGLHYLHTGAKYTIIHRDVKTTNILVDEDWVAKVSDFGLSKSGPTTVNQTHVSTMVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLLARPALDPALPREKVSLADYALACQRNGTLPDVVDPAVKDQIAPDCLKKFADTAEKCLAEQGIERPSMGDVLWNLEFALQLQDTFEGGGSSRGRALQVGCNSSDTGGGRAAEVIEQSNSMGSAASVDTTTMETSSTSRTHETRVIVEETDDEVANSAAFSQLVRPTGR >Et_10A_000137.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:10491769:10491921:-1 gene:Et_10A_000137 transcript:Et_10A_000137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLITSSSPAPLLSPSGPPSASRCQSEPCTSNRGLWSGHPMCRVATKIA >Et_4B_036188.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9199191:9199445:1 gene:Et_4B_036188 transcript:Et_4B_036188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKILQWHAVASWTWDAQDETCGICRMAFDGCCPDCKFPGDDCPLIWGACNHAYHLHCILKWVNSQTSTPLCPMCRREWQFKG >Et_2B_019998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1676392:1677016:-1 gene:Et_2B_019998 transcript:Et_2B_019998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWSTHTLLEDITEMPSPSLALRVADEPAAVTRRVVHVHAVDDHVLHALDRHLRAHEVHLRAAPVDRLVPVHDQLLTEPDDHVPREGDPEGPVLDHAVPERALLRDDHLVVARVGHHISPSAPPAVLWPNPMAHLARRLRSVAQSLRQRQQRSIGFVAMHGPRYLARERRVVALHRSSIHDQFLLFYARGTDSGMASEITCARPC >Et_1B_012532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32967462:32968645:1 gene:Et_1B_012532 transcript:Et_1B_012532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSYYYQNSGDDCAMEEGHYQVDLDQAINLSEVDDEGLLMELLQDLPSSDTVDGDVDQLSHVIRSLEAEIDGATAAVMVDDGNAAGPSSEEDRNLLEEMLSDLDDGSFGYWPPEVPLVGDGAEGWYLYAEGCVGSEVVGYEAMDHQYHYAESSIEQEYLFSQRLQSSQNYVIQ >Et_10B_004213.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19384262:19384939:1 gene:Et_10B_004213 transcript:Et_10B_004213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNSGGVPISATAAPPSEEAEALVLTVWRKSLLFNCDGFTVFDAKGDLAFRVDCYDASSHTRRAEVVLMDVAGKPLLTLRRKRLRLVEHWVIYDGDAAADKDTKPLLSVRRRVSLRSSSKGAVAHVTPLGSSTSESSYVVEGSYGRRACAVRDGNGDAVAEVRRKESVGDDVFRLVADPRLGAPLAMGLVIALDEMFQPKTGKGRGVSGSARSLLRRTWSA >Et_10B_002699.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:969651:970058:1 gene:Et_10B_002699 transcript:Et_10B_002699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KRVRGAGSGRGGKKETDQGGLVREEVAEGVGGRIRRVHVHAPRHAAAAERRKRGSRRRSNRPPAGRRCRREKRGEVGEGISRAFCLGAEVFMGMRWWRKRVFGFFFFYFCDEIQGLKEKTQPVRQAAVATAAAEK >Et_1B_014229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3898248:3898681:-1 gene:Et_1B_014229 transcript:Et_1B_014229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMREFVLPVLMVVLVLSVVVIGAARPLASEKWAGEGTAGESVIRSLRQRLSGPGVRSLVKDASYRILDERNTVGGSCSCVIV >Et_4B_038957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6300032:6304477:-1 gene:Et_4B_038957 transcript:Et_4B_038957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSPPPSTAAAAAGDHPRHLLRDFLSHETCKELEFVHRSCGTAGYRPSVVSTSLPHLAATGCGHLLLPFVPIRERLREAVESLFSCQFDLFIEFTGLISWCKGASIGWHSDDNKPYLRQRAFTAVCYLNDHGTDYKGGILQFQDGHPSSIVPVAGDVVIYTADNRNVHCVDEVTEGERLTLTLWFTRDNAYDEDPKLLKFLSQTSLSCDPADQNYIPVPASDNMYWFPYDQSGFDIRCARVHILGFNFHIGSGEDNKSVLSADDPIELLGKQLWLGRGDEVFEKPFSNSLHALQVVQFYFWKASDLAVRREQTGLGSGTNQYNYPLMNWICSFFGDIARVLEEVGRGRNLVAYIVATSE >Et_8A_057429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:252795:275049:1 gene:Et_8A_057429 transcript:Et_8A_057429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSAQPPDHISREGWRVSIDKLNSSFALFAFLISHSNTNRAPILSFQTWLAIRDQRAGRLWLIQTRAKLKKLYTALVLSSPSVTIEVVCDGLRRLGDVYSCINEIIFLHSNQAHGKRLEEEMERSLEVLDLCNMMQESFTDLKMTIQELQMALNRGDLAVVQVKAQSYARIVKKAKHHLKKTANKSSSNEDRWLISLLTAARGITVSVLKSAVELLSKQMTICNSSKWSLISKASRISCEEVQWQALELGVEGLEGSVENLFRSLIQTRREARLRTNKLQLVLPNLPSPFQFSIHSIKTSTSSMACHMRSASAPSSPRSNEAGVEQQLQSLKTAISSSFTTIDTMCDGLRRLGSIHDSIEEMICTPSNQVSLCQTLQRKAVEEEHARSLVVLDLCNEMQETFIELKMTVQELLLALKRGDDAAAQVKAYIQLTKKAQKQFKKVCKKTTSDEKDCRVVKVLAEARLATTLLLKSTWGLLSKQIEIPKRPLISKTSQKKRVVCEEEQLQALECIIMDLESGAELLSAEGIDTSKQTKASTFFLINSNLQRQTLERKMAFHQRSVSFPSKGHSNEAEVEVELQSLEASISSPSATIEVICDGLKRLGDVYSHIEEIIHLPSNQVCSIQQRRELDGEMESSLELIDLCNAMQENLAELKTTVQDLLLVLRRGDETSSQAKIQSYICLVKKAQKQFKKTSKKTASDKDDCKLVRLMIKARLVAASLLESVLCLLSKQVAMPKRSLVSKAFQKRSMIVCNEEQFQALECIVGDLEHGAELLFRRMIQSRGHSNEAEVEVELQSLEASISSPSATIEVICDGLKRLGDAKTQSYIRLVKKAQKQFKKTSKKTTSDKDDCKLARLMIKARLVAASLLESTLCLLSKQVAMPKRSVVSKAFQKRSVIVCNEEQFQALECIVGDLEHGAELLFLLSAASYVLLCPPLSLYKQGSQSRVDTITAASLLFCFKRKEFHSIHPIPRMACHQRSSSVPSSPRSNKTTVEEQLQSLTATISKTSVTIGAMLDGFSKLGSIYTNIDELLCLPSNQRQQRKAVEEELERSLVLLDLCNAMQECFAELKASVQEMHLVLKRGDDAAVQAKVQSYARSAKKALKQFKKFNNKAASATEGCRVVKLLAEAREISASMLESTLNLLSKQIPVPSTNKWSFQKKRVVCEEEQLQALELDIVDLETGVETLFRRMIQSRVSLLNSLSLNCLHLFRYIKKEAKACQTPLQQLAFSSIPNIKRLHSFHLIPGMAFHQRSASVPSSPRSNKTTVKEQLQSLTATISKTSLGSIYTNIDELLCLPRNQIQQRKAVEEELERSLILLDLCNAMQECFAELKASVQEMHLVLKRGDDTAVQAKVQSYARSAKKAQKQFKKINNKATSVSEGCRVVKLLAEAREISLSMLESTLNLLSKQIAVPSTSKWSFQKKRVVCELEQLQALELEIVGLETGVETLFRRMIQSRVSLLNSLNLCLHLFCYIKREAKAEKTPRLHSFYSTPRMACHQRSASVPSSPRFNETTVQEQFQSLKAIISSPSATIKTMVDGLTKLGSIYTHIDELLCLPRNQSQQRKAVEEELEHSLVLLDLCNVMQELFSELKASIQEMHLVLKRGDGAAVQVKFQSYARSAKKAQKQFKKINSKAASATEGCRVVELLAEAREFSVSMLESTLNLLSKQIAIPSMSKWSLVSKAFQKKKVVCEEEQLQALELAIVELESGTETLFRRMIESRVSLLNTLSLFAIASASLIIYRGKPRQRIETIEAACLRSSSIHFH >Et_10B_004154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16176505:16179237:-1 gene:Et_10B_004154 transcript:Et_10B_004154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGRLQKQALLPPRSPFPVASAPAPAAHAELGPIARPREAHHRHGHQRTSSESFLVDEQPSWLDDLLDEPETPARAHGRPGHRRSSSDSFALFDGGASSGMYDNVLDGRRGGRQVASWTGAPEFFPDPSSFGRQQGRPWDSRQMFRQGGGVPMPAREKIGGRHGPSSAFGDHEHGPVPNGVDRKGHGDAALDQRKEGVNLRHSQSEADTKRAKQQYAQRSRVRKLQYIAELERRVQSLQTEGIEVSAEMDFLGQQNIMLDLENKALKHRLESLSQEHLIKRYQQEIFEREISRLRSLFQQQQQQQQQPQQQPQHVPQQQAPTHSRSNSRDLDSQFANLSLKHSDPNSGRDAVSGLRI >Et_7A_052775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15857933:15861621:-1 gene:Et_7A_052775 transcript:Et_7A_052775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSLGSDGGRADCTARLYKGLLPRNPIRIPIELSSHWDSSAADDKRRTSATTKLSWCQSHLPHRRVDRIRLVIQPSLANMAALPLATAEVCDANPHLITNGELRALQPIFQIYGRRQVFAGPIVTLKVYEDNVLIREFLEEKGQGRVLVVDGGGSMRCAILGGNPVQQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALNSHPMKANKKGMGEKHEPEQQTSKYIMCKRNVTVQPPTPESSPSESSFHHGLPLALPANSSTPSPSLSSNTTTSSSSSSSYFHSSLLSTSLSRHFSDAAGAAFLAFPAITFAQLNPTSASLASPDSPPTTTDRTPLSLATGSRDASSSRPDTSTRHPADPSSSTCRARSRRDAAGVRVSSARTPARRRSSAGEAGPAAAAASSRAAFSYLESLRESAAARRTRRPPRAESTRARRLACSRVWMDSKWCSRASRSRKRRPQTRQRWVVAAAGGGRRWEGWRKAAERWRRPKEVAARWSRTAAS >Et_6A_046242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1156341:1158994:1 gene:Et_6A_046242 transcript:Et_6A_046242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIKRRPFSADTDKTSEKPMDTTISDSTTEPLLENTSHEDKPKIYEAASRSDSWDWTRRECLRWLHLLSNFIAQSTRKIVNVITDFGSLLARLFGRSSATQSSQNGQTVPINLSPIQEERLKLLRQRLDVPYDCDCFKHQDSFHRLLRKADGKRAEWEYPFAAAGVNISYMLVQMLDLQSGNMSTKAALHFVQLLDDDDAAFDNLFCIAFQMLDAQWLERRASYMEFNEVLKSTRVQLEQELTIEGISSVQNMPSFKMLKR >Et_3B_028022.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:32042701:32042958:1 gene:Et_3B_028022 transcript:Et_3B_028022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPCVPSLGKVFPKKSPSSSSSSVNESDDDIIKQQEKQRQRQEKQKQVAEKERGMQQQQKKKSNLDRAALTTPYFPFHSRPGLL >Et_4B_038873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5549900:5550527:1 gene:Et_4B_038873 transcript:Et_4B_038873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRPPPRPSHRSPRRSSAACCLLLITLAAFFAFAAAKSSRRPITDIEIRQKKDACYTDVENGLWGWTCRSSPTEKENCVLRCLSPECYNLIYGGDPLEEGELDYVRSQEYKYCMHK >Et_1A_006735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26969914:26973566:-1 gene:Et_1A_006735 transcript:Et_1A_006735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDELVDAALARLAARSLLRATRPIALAPPPAAPRTFPGPGPWDRAAVEIRLDRATLQEWLAEGGEARVKEEESDGNLVLFSGNDYIGLSSHPAIREAAVKAAQEYGMGPRGSALICGYTTYHKLVEESLAELKKKQDCLLCPTGFAANMAVMTALGSISSLLAVGRKPAADERIAIFSDALNHASIIDGLRLVERQQEAVVFVYKHCDMAHLDSLLLFSMDGDFAPFPGLVKLRRKYGFLLVIDDAHGTLVCGENGGGAPELFECENDIDICVGTLSKAAGCQGGFVACSTRWKRLIQSRGRSFIFSTALPVPVVASVHAALYVSRKERWRRSVIWRHVHDFASLTKLNITSPIISIVVGSEEAALRAGRHLLRSGFHVTPIRPPTVPPNSCRLRITLSASHSSDDIKTLVNALTPWLPVKHAEQSYITASKL >Et_4B_037112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14874279:14874558:-1 gene:Et_4B_037112 transcript:Et_4B_037112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSSMLLALLLAVTCSVAGFDRLLCFDIQLALLYFDAVAYDPLDPNGNITLKWDVISWTPDGYVVSLLVTDDEQLPAVTRSI >Et_3B_028285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12896717:12898098:1 gene:Et_3B_028285 transcript:Et_3B_028285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IGLALQKLFQEGVVKRDDLNVRHSPEDLPEALNESLNDLQLEYFPVENAGNPKRINHTFSLDVKYIRAGHNLPMPQRAGCPADV >Et_1A_005085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25701222:25703297:-1 gene:Et_1A_005085 transcript:Et_1A_005085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWTDDNASMMEAFMASADFPALPWGVVPGGAAAPPPQPQQQEEVPPAAAAPGFLDTLQQRLQAIIEGSRDTWTYAIYWQSSVDQASGTSMLGWGDGYYKGCEEDKRKPKATTPAALAEQENRKRVLRELNSLIGAAAAPDEAVEEEVTDTEWFFLVSMTQCFPSGTGLPGQAFVTGQATWISSGLSSAPCERARQAYTFGLRTMVCVPVGTGVLELGSTDVIFHTTESMGNIRSLFGGGAGGGAVVQQQQPPAPAAEETDLWFADAPVMDTKDSMSHPEISVSKPPPPLPQIHFENGSASTVTENPSPTVQPPPPPQPYNQSQQQGPFRRELNFSDHTATLTAAAANDAKNNKRSMEATSRASNTNILPAATANEGMLSFSSAPSTRPSTGTGAPANSESDHSDLDASVREVEAEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELRGKMTALESDKETMQSQIEALKKERDARPAPKAGVFGHDAGPRCHAVEIDAKILGLEAMIRVQCHKRNHPSARLMTALRELDLDVYHASVSVVKDLMIQQVAVKMASRIYSQDQLNAALYSRLAEPGTVMGR >Et_1A_004552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1613657:1613984:-1 gene:Et_1A_004552 transcript:Et_1A_004552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELLCFSKAARLWNLTYYVPLYVDVSYRVMKKGRDCRKFQRQWSIQNYSLERFQSCCVLVTAHYISNLKDLTEIWEVSSLPRK >Et_9A_062311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21805704:21809146:1 gene:Et_9A_062311 transcript:Et_9A_062311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLLKSASEILRRRSYSSAAGPERKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIAGTPGVAADVSHINSSALVKGFMGDDQLGEALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKALCTAIAKHCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRARTFYAGKGGVPVNDVDVPVVGGHAGITILPLFSQATPASNSLSPEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACLKGLNGVPDIVECSYVQSTVTELPFFASKVKLGKNGVEEVLGLGELSAFEKEGLEKLKGELKSSIEKGVKFAQSK >Et_7A_050987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13218580:13222050:-1 gene:Et_7A_050987 transcript:Et_7A_050987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DPCDSSEATGTIGMETTHVEKVKSASHEVHGTSMDEYDPWNPPYPPRRPVPPGLDLHSRVKMTSEWFDEVDEVVATSRRTKIIIPDRTPESVDDDLWNAIPRLVPILATDNVRGFLDLFDQDDRGLAWGFIITPLTLTQMVKQNALQCAKVALVGKAPQLRGFRANPNCMNRYGYFPLHEAAEMFSVDMIKLLLRYNASANVRTAGPEVIEGLLPLHVAVENTCLHKYLEDNAFLDQENLDDNQTNANYVCKLIHLLCLPEMRIFLDTTRLLGEHTDNLIDEIWNYMKDGRLVESAILLLASQKQIRGGRNKQDGFSVLIRRILEYIVSLDRGQTGKENRQLVVQKKLINAGLLLVYAVSDAGEALDAYIRSHPEHLKSTYYCYTSLLILIFNHAYENLETVNLYRCCYLKSSPKL >Et_7A_052318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6978365:6985884:1 gene:Et_7A_052318 transcript:Et_7A_052318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWWGKSSSKDKKSTKENLIDTFHRLISTNEQKGSTKSKRNGRRGNNTAVEKVCQSTTVSRPTSPSKEVSRCQSFSVDRPHAHPLPIPGVRPRVTRTVSDVIESKPILEKRGKPPLLLPLPKPNRPHRRPGTSEIDSEIVIASVSSNCSADSEDNGDPQLQSPVGNDAENTKKVTSYSKSSNVGKERTDTVSTKTRKEMSKPVNAFISSHTQSTSPRGITGDSYQPNIQNLRPVVLESAPNSLMSSPSRSPRRICPDHIPTSAFWAVKPHADVTFLGSGQCSSPGSGQTSGHNSVGGDMLAQIFWQPSRGSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRSGGMGHESPTNRHDDGKKKQTHKLPLPPLSISNSSFFPNNSMPTSPISVPRSPGRTESLPSPASRWKKGKLIGRGTFGHVYVGFNSDSGEMCAMKEVTLFLDDSKSKESAKQLGQEISLLSRLRHPNIVRYYGSDTVDDKLYIYLEYVSGGSIHKLLQEYGQLGEPAIRSYTKQILSGLAYLHAKNTVHRDIKGANILVDPNGHVKLADFGMAKHVNGEHCPFSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAVFKIGNSKELPPIPDHLSERCKDFIRKCLQRDPSQRPTAVELLQHPFIQNGSLVEKSIAPDPLQHLAAISWRPDPKTAVQTRNDSFSFESQTMYQRRGVKLSSKHSDIQIRSNISCPVSPCGSPLLKSRSPQHTSGRMSPSPISSPRTTSGASTPLSGGNGAIPFNHLRYATYSSEGFGVTSRGQDDLFPRHQVSQGPRERVVSEADILSPQFGKKLGNVFDLRERLSPSEHFTRHAFVDHVELNPSLDLTSGSPNLGLKHVTYLTRGPKLVLQVDSTQSAEIYCSTCHLLALLNSI >Et_10B_003540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2897141:2911092:-1 gene:Et_10B_003540 transcript:Et_10B_003540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTATEVLLGAATDGNIRLLKKMARKVNLREAAGFKGRNALHHAAAAGRLEICRYLIEEAGFDANSPSGEGETPMLLAVEYEEGKGNVHVLRYLLDHGGDPARPDARGYTPLHNAAEFGLSAPPGHCEAARLLLSRGAPVDPINHRGTPLHLAAAKGHDQVVKILLEHGADAGADVNVKSMNGQTVLMSAIDDGFTDIAQFLIESGADPNIDDGDGRFPIMAAAENEQRELVEILFPRTKQIPSLPDWNVDGIIRAMKYMQSEPHEDESATYWKTRGKKAFVKGDYAAAAYFYSVAIGLDPLDASLYANRSLCWLRQREGELALTDAQHCRTLRPGWSKAWYREGAALSMLRNYKGAIDAFTEALKLEPGCDETKKALRQGSCSPFYCYFQLPPSTATSASSRMASKVDLREVKDGNGKNVLHFAAGKGRLDCCKFLVEQYGLDVNSLSTEGETPTLLAAFSGSVPVLKYILDRGGDPAIATSRGSMPLHEAAELGGIVRLSKGVPVDPLNHRGTPLHLAVVAKSQDGTAKILLEHGADAGADVNFKSSSGPSALMMAVDDELTDIVKFLLDVGADPNIADHHGRIPIMYAAGSGHRELVEILFPRTKPIPSVPNWSVDGIIGTVKYVPINSQDAVSAKEHIADAKSQGKEAFAKGEYLAAIYFYGLAMEKDPLDATLFANRSLCWLRMNEGDLALEDAQQCKVMRPNWSKAWYREGKALSLLKNYQGAADAFVEARKLDPESVEIQTALREAMEAMKIQARSEEQKP >Et_1B_010352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30671:32308:1 gene:Et_1B_010352 transcript:Et_1B_010352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRLRSDGGGLRIHAKEKLPLGYNSLLQAHGEVDTTGGGAAPSYLALFVRHFYPQLSANVGVGVQLHNAHDFTYNLRAKKAIPFTSHGFLGINLKARLLADKKFKPRTRTGAVELAWTILDFRKGQDVRLKLGYELYRKVPYFQVRENNWTLNTYMDGKWDVRFDM >Et_5A_041787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2803171:2823936:-1 gene:Et_5A_041787 transcript:Et_5A_041787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAFWLSCVLLLAASCQGLRYGYYSRSCPPAEFIVRAVVGRAVRRNPGIGAGLIRMAFHDCFVQGCDASVLLDPTPANPRPEKLGPPNFPSLRGFEVIDAAKAAVERACPGVVSCADIVQFAGRDASFFLSKGRVNYDLPGGRFDGRISFENETLAFLPPPSFNLSQLIGSFKVKGLSVDDLVVLSGSHTVGRSHCSSFSDRISTPPSDMDPALATVLKRQCPANPNFTNDPTVVLDTVTPTKLDNQYYKNVLRHRVLFDSDAALLTSRQTARKVRENAVIRGSWEKKFARAMVKMANIEIKTAANGEVRRNCRILCVLILFALLGAAAAQLMSANPERSPGAYTSETHESSPPAASPVGSPPQAYSPYPVPSPPAASPVGSPQPYSSYPAPSPPTPSQAPAPLPSPAGPKLQYGYYRYSCPRAEQIVRDTVRNATSRNPSIGAGLIRMAFHDCFVQGCDASVLLDPTTANPRPEKIGGPNFPSLRGFDVIDAAKAKLEAACPGTVSCADIVQFAGRDASFFLSNGRVNYGLPGGRFDGRVSLENETLAFLPPPSFNLTELIDSFKVKGLSVDDLVVLSGSHTIGRSHCSSFSDRISTQPTNMDPTLATVLKRQCPANPNFTNDPTVVQDVVTPTKLDNQYYKNVLKRKVLFNSDAALLTSRQTARKVVENAVVRGRWERKFARAMVKMAAIEIKTATNGEVRRNCRIARRVRRGCVARRRGRGCSERGHWRQSHSQPDSSGVSAAEPAERKPTSSGLSGAEPTERKPTSSGPSGAKPAERKPDSSELPAAEPTERKPTSSELPAAEPTERKPDSSELPAAEPTERKPPSSKLPSAEPARPGSSTPSPRRAQHRLLQELDIVSEVVRDATSRNPGIGGGLIRMAFHDCFVEGCDASVLLDPTPSNPQPEKLGPPNYPSLRGFEVIDAAKSKLEAACPGVVSCADVVQFAARDAIVFLSGGKVSFRLPGGRRDGLVSLAGRTLAFLPPPFFNLQQLIDNFRAKGLTVEDLVVLSGAHSVGGSHCSSFSGRLASPGSDMNRLLAIKMKRVCPAKTSLGNDPLVAQDFVTPDAMDNQYFRNLLSGNVLFDSDAALMASPDTSQMVFFHAYNTRAWEQKFAAAMVKMAAIEVKTGTSGEIRKNCRLTCPDAEKIVRGVVEAEVNKNPGIGAGLIRMLFHDCFVEGCDASVLLDPTTANPQPEKLSPPNNPSLRGFEAIDAAKAALEAACPGTVSCADVVAFAGRDASAVLSNGRVSFAMPGGRRDGNVSLSSAALQFLPPPSFNLSQLTASFAVKGLDVDDLVVLSGAHTVGRSHCSSFSDRLAPGSNSTMNPGLASQLRQQCPASPNATDDPTVDQDVVTPDVLDNQYYKNLLNGSVLFTSDAALLSSARTNASVLLNSLFPGLFEFKFATAMVKMASIEVKTGANGEIRKNCR >Et_5B_044230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21864594:21869132:1 gene:Et_5B_044230 transcript:Et_5B_044230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEHTPQAVHVRPDAFMAVVQRLTGRTICRLRRRATDADAVVVTIGETKAPPVDNLMNIKVSIQLLVYASVFALFTMPQAMREQECYEDKVVFKEKCHRNITRGIIYMHPTDSCCRTVQKVDMPCVCRIITSEEEHQINPRYDCNNPVPAGKNCGSEFLWLFSFSNSFTFSCFLKLDYSTVTTTTPPHVATYGTRALIFNGKSSNLDAYHLLVAQLRPVRWANMANAMASAYRVCEIMAKPKNPRISKR >Et_1B_013079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5319412:5324527:1 gene:Et_1B_013079 transcript:Et_1B_013079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSLEGGADGDGGCCGVGDTSPGTIVWVRRRNGSWWPGRILGQDELPPSQIMSPRSGTPVKLLGREDASTDWYNLEKSKRVKAFRCGEFDACIEKAEATQGTLVKKREKYARREDAILHALELERKQLASKCQGFRSGSHGNISACTKHHKDLGSTRYKSKKSKKRKDASKPSNVKQESGHCLLHAGSKRNFSESLASGNVVNNHMGDFSHVRGATSESKEKNAIVKTNRSDGSDFEDSLVSNSDRRRPLAQVLQSSAELSHHLKQNGDHESCLIGENNDSSLATFRSKRSRYTYMPSDSGETHSHSDVPSLQIASVGADFESNLQHPGAFSEEQTSSDVVEKRGTESSERECSESETEDDAELLRSANVILPLESRAPDLYSRPVSHKSRHVDYDDNQMSYSTYMPQSNESEEEDGSSELGVSQWHMKGKRNSRNAGKRFVDIADGNTWLNKSGGSVKGPLHMTNGGNPRKEGMQTSGEQYLGQSFYDVKEEPIYDSDETDLVEDTGHSEVNLYHGKGYPSSLRTTRDLSRGYSYFNDYENESSKMSPVNKASDRIFRVDGKACPDGSSFYQGKFNSRFGGMGPMLFDVDLKVQASYQGEHVPLVCLMSRLNGKAIIGHPIQIEILEDGSTDHLVFCGDTSLQESTAAPAAWPTGRRTTMQRVPRLNPSGASLDGDFDGSLVYPDWEMKPSIRKQSAPSNNQVKVDKKSASKSRRSSTKSQKKSSKKASLSSHKVRALSAISTGKRHHGGGGQTKAHWSSGIFGGLIKAEGAVPLVTCVPTKVVFTRILEAIGRPPLAVAHRARMASPSVRNPP >Et_3B_028480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1624749:1630709:1 gene:Et_3B_028480 transcript:Et_3B_028480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNQKDESGGRCPGCRSVYNKDRIMGTSVSNKILKGLCADKSNLQKEQNKSHKPKSSNAQPALAEEPKDPNNVRVIQRKLVYIVGMPSEFASPKVLKQKNFLGQYGKIESIVIDNVGANQQIPDSGRVYVTFSREEEAAQCIQAVHGYILDGRPLKATFGVTRYCHIWLSNRPCFKQNCSYVHYKAAPEDICSRDDVSVFCARLQQLMGMDMKGSQHRSGSTLPPPGHCNSRAAVCSETSKECGRNLRLSFSVLFLPIFVLTVSPSMKRFAQMVTDYFPVVLPRILIYCQPLLHGKFFCWLGANPYEKTSSPFDHPFCLCCRDLSLSSSSQKGHVNEQLISNNNKASAQSGYGASNSKQTISAENGTSGISLQKPQYVSVVSQGQGGSGRRFTVLSRQATSTDTWSKATAQVGNGTLTSTKPTLTKNEQSDSITIPRSQNVNLVSKKLEQSLHLLASKSVESHAQAEKKNESSDTSAKLALGNQKQLLKNTVSNSLTEVHTTSGKPMLGNVSMSDAKSQASDRPCNLSGSNGKLASQNHLQLGNQQNAPVSYTFNTGTTKASLGRDILNCQEPSADSKCQNSAQGGPHCLFNRKITRPGDQSLDGIPLSKPVSVVSSTDWVAPDGKGRKKQVSCPPGFEKLQHSSDSGKFVSLCSSTHSAPCSTTDALVQDSCGITDEPHIISMVSHCLDDGDVTQNKNVIISSPLSSTDTIWRGAQFQGTYFSGLSNHTQVSPYPSGFLQWAPPSVSCTSYQQPSYLDGTTSSYMSTGGYNAFRQGTASGMTTGVVGTLLQQPTMPSPGHGWTHGNADSGMNCPQVDISYPRGYTLF >Et_9B_063915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21230947:21231792:1 gene:Et_9B_063915 transcript:Et_9B_063915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREQPHFSHDYSHLVLDPAALGASSSWSDPSSAVEISPQLLAALGEYLSAAGRCSSGGESDAVDAAEAEADDEFMMYEFKVRRCARARSHDWTACPYAHPGEAARRRDPRRVAYTGEPCPDFRRRPGAACPRGNACPFAHGTFELWLHPSRYRTRPCRAGASCRRRVCFFAHAAAELRAAAPTNSRSRPSPRSPRSGSRRPCRPCRAEMEDLMLAMRELSFRKAKAKAVPPVAPVLPLVTEEDGPDFGWVSDLVM >Et_2A_014711.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:9007367:9008593:-1 gene:Et_2A_014711 transcript:Et_2A_014711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRSAHLLAPPGSQTRIVRIVAKPLSRVAPYIPDDFIFEILVRLPAKSATRFRCVCKAWLAMISSQRFVVAHLELSKFRPTMLIVPGEYSYRAERGCKIAFWMRFFKFDGGIMAGLIHSEQFPSGIAQWSQPLHCDGLILTFTRDDEIMVCNPLTREFFLLPKGSSSYHKRHRVGFGFDPCTNKYKVLRFFYQREHGSFETICRSEVLTLGTNIWRRTIDPPYPIHGVSPVHVQGFIYWRVDLPLKVDPKVLVRFSLANETFSLIPYPPCEARPVSFVEREGELYCACFRKPCELVEIWTCDTTDVPTWTRRWTVSLPHEIVTPIPGGFFKCPKVAFHGNYLFIIGDHKLYQYSIGTGEMKKVTRAIEDLWYYHSDRNKYQPFLGKDVAFETVNYVESLVQIGEYS >Et_1A_006208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19144439:19147752:-1 gene:Et_1A_006208 transcript:Et_1A_006208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLPLLLNVLLLLLAGRSLSANAGDGGNTTTYIVFMDAARMPAAHASPADWHAAHLQSLSIDPERHLLYSYSAAAHGFAAALLPSHLPLLRGSPAVLQVVPDAVLHLHTTRTPEFLGLLSPAYQPAIRGLDAASHDVVVGVLDTGVWPESPSFAGGDLPPPPARWKGACEAGVDFPPSACGRKLVGARSFSRGLHAAINGGGAGGPVVVGRRGFRSARDRDGHGTHTASTAAGAVVANASLLGYATGTARGMAPGARVAAYKVCWPEGCLASDILAGIDAAVADGVGVLSLSLGGGAAPYFRDTVAIGAFGASAAGVFVSCSAGNSGPSGATVSNSAPWVATVGAGTLDRDFPAYVTLPTGARLAGVSLYAGPSSSRSRPAMLPLVYGGGRDNASKLCLSGTLDPSAVRGKIVLCDRGVNARVEKGAVVKAAGGAGMVLANTAASGEELVADSHLLPAIAVGRSVGDKIRDYVARAGRRQPPMATLSFGGTVLGVRPSPVVAAFSSRGPNTVVPEVLKPDMIGPGVNILAGWTGVAGPTGLAKDGRRTHFNIISGTSMSCPHISGVAALLKAAHPDWSPAAIKSALMTTAYTVDNTNSSLRDAAGGSPANAFSYGAGHVDPQKALSPGLVYDASTKDYVDFLCSLKYNAVHIQVITKMSNVSCPKKFRPGDLNYPSFSVVFKPKSKRVMRFRREVTNVGPAMSVYNVKVSSPASVSVTVSPAKLRFKKVGEKQKYYVTFSSKAVQGSAKPDFGWISWVNDEHVVRSPVAYTWKM >Et_5A_040850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13326021:13332660:-1 gene:Et_5A_040850 transcript:Et_5A_040850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDFRPWADRDGDELHPVLTGTVAPRNNLSGRLARTRSAFVYGRVLQEVFHIRNKLGLQQDLGLDDEMTCRMAAFKQKKRLINSIDSEQYRPGKKAKSQSSNCLVTLNPHIGLKWDHQLRRVVPEKEQVGLLWSDLAPFVETRKHRSGLADVTYVSPEAFSFEDLRGMLSYEVWTTCLTEDERKFLLQFLPSETDAEENVHMLLTGENYHFGSPFLSWQLLNYRASSLCYGDMHPDALLDKEKHIKAAEKAYRIDLHNYHSKYANTGNMLETLKKWRKRWLSCGDTENLFSDSPVKKKQGVMQVAAAKSGMALKVAPSVDVSKFMSYIEISRTQLNHIKRLKQSGDGIQTKHVSRVLGGLDNFPVKPFGALMEGELMRLREHWLNMAHKDLPAAFEVLKDRKLLMEKSRKLLGLELGEKSVSVMRKADKLSDVRKDLGQRGACENDGSPILQNDQVEHSPQSISKGRSDQSMSLHDQDGEKTKYTETSIYHIEGSNVNDLDPMVANATLITGQSEQISDVLLNQDHKDVKCFDVSMSCCADIPDEQNEDIIDIKLSKDGLDAQHEEITEVSYEDTAVQNCSSENQQIKYINYTSTPIHTLDSQNMQVQDLDGFALKGQSIHAHERDQDLKCISNAIVNQSNHDINSPSKTRHQQMNTVIAEREDPENILMMPPCSSSLLPKSSEEQIIVEDFLDSTDHVECVKNRWQMADPLESYCHPPEDRMYEGSGDPHITPSYLSSGQQSSSVYAHNDIIGQQLTPVTTSSFPVDNSASFVEPFSNQQSNGQLQIVKDIGRISYSLQHANGIQQSTGLHSSTNNSLVQSAPFPVQEQQLIEQSHAGLYAQQLPNDLYSDVRFPNSGNPLIAKQHSYNAFAPMDHRYNNWPTEGNQSHSNILSGLASDNCLTQALPSGSNTDGSLFSAISQYRQPSVHMQPGRLSPSQLLERGSQVLPPQNFVPRPQDTNPPFSDIYGHIQTVANSPSSHLASVGTLNNMNWTNFIQQNPGMPDFTNRQFRGPWTR >Et_4B_038010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25427940:25430620:-1 gene:Et_4B_038010 transcript:Et_4B_038010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHGARRKQLSSKSRKLRSMPPVAVLHAAMLLVLPLAGAAAQHSSVGVALPNCAATCGDVAVPYPFGVGAGCYYSEKPGFNLTCDTASDPPRLLLGPGAAFQVLNISVANATVRAARTGGVNFTFSSPSAADGRGAWRGLGDDARGNPYALSDDGNELVLVRGCDVLAQLTAAAGSNVTINGAGSGGNSTGGGAGGSGNVTICGCASFCPGTGAGRTSLSVSGGRCTGIGCCEMPVSVGLASYDVQLRRLDPTQPLPPDDTWPPLVVIAEQGWLQQAAAGTRGAPLPVNLDETPVPVLLAWAIAAAPLGQDGTPPDSSACPADAARSACKSSHSSCRNVTTATRGGYVCDCQDGYRGNPYLTDGCQDINECDKPQEHGCFGECTNLPGTFECRCPPGTNGDHTQLHGCVKSSSPGLSIGIGVSSGGGLMLLVLVGIFVARKHKQLKTKRLRQKFFKQNRGQLLQQLVAQRADIAERMIVPLEELEKATNNFDKAREIGGGGHGTVYKGILSDLHVVAIKKSKIVVQREIDEFINEVAILSQISHRNVVKILGCCLETEVPLLVYEFVSNGTLYNHLHVTEPRSLSWNDRLRIAIETAKAIAYLHSAVSVPIIHRDIKSTNILLDDTLTSKVSDFGASRHIPVDRTGVTTKVQGTIGYMDPAYYYTRRLTEKSDVYSFGVLLIELLTRKKPSSYVSLEDEGLVAHFIGLLASGRLRDILDWQVTEEGGKHVEEVAALAATCVKLNSEERPTMRQVEMALEGTQARVCVSDNVASEAFEENNIRRLLADPEGRSVNDMTRRYSLEEEFLLSARYPR >Et_1B_013880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22160662:22166624:1 gene:Et_1B_013880 transcript:Et_1B_013880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLRIPMRFWSALSPRQRNELLRLDKQTLIEHARKNLYCSRCNGLLLESFTQIVMYGKSLHQECLGEPRIQEVEAEEVQDPSVHPWGGLSTTKDGILTVFDNARAREREREMLYPDACGGGGRGWISPVIPNYGRGHGTRDTCALHTARLSCDTLVDFWSALGEETRSSLLRMKEEDFIERLMHRFDSKRFCRDCRRNVIREFKELKELKRMRKEPRCTSWFCVADTDFICEVFEDAVRVDWHQSLSEENGSYHHFEWAIGTDEGKSDILDFENVGLKAQVHREGLDLDQFEDYFVTLRAWRLDGRCTELCVKAHALKGQSCVHRRLIVGDGFVTITKGESIRSFFEHAEEAEEEDEDDAMERDGNDPDSDAAHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGTARQNAHSVFVSLALKLLEERVHVACKEIITLEKQIKLLEEEEKEKREEEERRERRRTKEREKKHRRKERLKGKDKDKEKTVVQSKTSDDIPPSSLSKLAASTNNQSQDIEDSRYSSSEDEDKGLVVDNYCPDTFVDQSPSRDIDEQSNEYQCDTEADFVPTDCNDSFLCEQSKSSRRNFRFRRDFTQEQDGNYWYEDSQDESGDMGDIHHQSRERTRNCTRGYNSVFSANNRTRDRYNPCSCGHQEDYRYFSATGRPSREMKMARKTVVEKPRLQYRRCYPLDSFIVSKGGRVGGTPNKNAGLKQVWEPMDARKKASIGHPNNACEAANDVDRSNQLECSKDINACQKHETGCESISEVCSKRSEESCQSDTDQACNEGEKNQVACNTEPHVVDKPDCCSTNDTGRTANLTSSDSSSCLSEGDRDSSMSSMTSLSAQNAESSSTSDSEESSERNNSSPGDPPTKNGSRSLLEMCAGNGFREFKPKSIQPPDSNQIGFGMVGPFQDQLLLHQKVQAPSYSSAFVGFHSHPLPIPTNGYIPYAQPGHFYPSPVAPVGYGVAGSQCVDFPVPYSNNIHPYSGSEFGYVPPQPVHKAPVTFHAMPPAPLCRNGAPVVMNPDRQQSHVLPPSLNVAVPQNGCSEDNTTHKDDDSTPFSLFQFNLPIAPPAPAASKEEQCGGAMASRPPIAQAQPCSREETNIKEYNLFSGGCTGVIFPFD >Et_1B_010466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10846724:10847892:1 gene:Et_1B_010466 transcript:Et_1B_010466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLKLPGDFTVEILSYTSVLLRVICVACSVFRADEEVSDPKALLEESSKAKCVSQWYEYQKCVKRIAEDETGQKHCTGQYFDYWQCVDKNVAEKLFQMLK >Et_3A_023511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31700202:31700576:-1 gene:Et_3A_023511 transcript:Et_3A_023511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEADERRRKYEAERKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_1B_012018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28059829:28071001:-1 gene:Et_1B_012018 transcript:Et_1B_012018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVLSNDDTLREILLCLGFPTNLVRAAAVSKRWLRHASDPVFLRLFRAPHPPRLLGFYACTNGSTLCFIPLPKQDAEVAAAIRKGNMELGEDVSGISHCLNGRLLVNTFYPSNNFNLAICSPFHPERGTAALLKHPATVYSNFINMDFRHRFRLLHEDIGNGMPCTEVQVMGRGDDRRVLVNIPDLQIGAYTNCRTSDPTETPENMIHLKYGLFAYDKLYMICMKGHILGLDLPSLSLFCIKLPDGVERKCGTDLGLSIAEGSGFYLIHIKGFHVHVWHHSTSCSINGKWKLVDTICLRGALRNFADPSWYSQGAVVHVLAVHDKVFYMQMHSKAVEKVYELDNEDTFLFGVYPFMMPWPPTFPVMIDGHDQDGKQRALPPQEASAAAISAVLGNDDILREILVRLDFPTCLVRAAAVSKRWLKHASDPAFLRHFRRLHPPRLLGFFIRTSHSPLRFVPRPQPPELAAVIRRGRFDFGRGAGSVSDCRNGRLIVYIMPDDDMPGEYTMCSPLHPGRFTEALPWPPEISVTHEGLSLYSEDFLFHKDGSNHMSCTAVSVMRSEQRAWVQVSGLQDGAWGEARNSDLIQLPGRWRRCEKFALLAYGKLYMICMTHYILGLDVPSMSLFCIKLPDGVEYEYDANLAMSCADGSGFCLVHVRRFQICAWRYTVDCNSIGNWTRINTVCVHQVFGHLADPAWCSRDTVVRVAAVGDNADFVFLRIHNKVFYVHISSRTVEKVCELTQEQESLWGVYPFMMVWPPTFPVAKRKKAELTPPEAAPLAAAMEAVLGNEDILREILLCLGFPTNLVRAAAVSKRWLRHASDPVFLRLFRARHPPRLLGFYADSSGPTPCFIPLPKQDPEVAAVVRKGNMELGEDVSGISHCLNGRLLVKTWYPPHVFNIAVYSPLHPERGTAALLKHPATIYSNIVSTDLCRRFRLLHEDIGNGMPCTEVQVMGGGDDRRVWVNIPDLQVGAYTKGRTSDPIEIPENMIHLKCCLFAYEKLYMICMKRHILGLDLPSLSLFYIKLPDGVECDYGKGLGLSSAEGTGFYLIHIKGFQVYVWHHSTSCSVDSKWKLIDTICLREAFGNLADPSWYSQGAAVHVAAVGDNADFVFLEDTG >Et_3B_030994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9833508:9839337:1 gene:Et_3B_030994 transcript:Et_3B_030994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREAQRQAEAEAVQEPSVPPKENEDDPDLKLSSRVVSLLFGGDLSPAQTFEKWVSLVRKRSGAFRPSGFPRRNSRIEVMPSGSFSLFSSGDLSDLVRTESTGKEESSLIFDQPPEISLWERLGNAAPLDIESSDFSWSMLSSLHHTEHSSSSEHSEDETSKALEVTVNSGGVVFFSLFNSSGLSGVPKEAAAVIKFASSKMATQAERLGYEFARLLRVKTPQARIVYNSCEEWQQIRHAAENARAVAISNNDEVGEMTCSELLEALELSRCLLLMSYIHGSPLLENSKAFNSVDAACITASSLGRVLMLDLILRNEDRLPCRQLGWRGNPANLMISDKSCSPNVNRLEDSKSTMGSPNQQITKNFHREKHSHSENGRFEPQELNAMSSKVESLRSEKENEECTNGNFHIVAIDTGVPRRPPAGRRVKDHERYPKVVELIVNNSDYCSNILYEISSGKLGQPGPDDFASTDPSCSLSDEENTAAIHEFRGSFRAALRDLEGFHLFLLQLYQKLDGVLRVFWSIISKSSEESENNDVAILDFPSPRGSYSTPCPPSKQINNELHGDSEMLKSATKPSSAGSRGSLDSVSPMSRDSGSNKYLKGSAEAPRSLRMTMKLRDLYKNPKVDPETLKEIEQWNESLKSDVIKFCQENNFHSGFFDGTESNMAADAYELKIRLEHIIERVSLISDAANTERPSLVVNNLFIGGALAARSKYTLQHLGITHVLCLCSNEIGQSDSQFPDLFEYKNFSISDDDDANISDLFEEASDFIEQVDHVGGKVLVHCFEGKSRSATVVLAYLMLRKGFTLAKAWNLLKKVHRRAQPNDGFAKALLTLDKKLHGKVSMDWQHKRPEMKVCPICSKNVGLSTSSLKLHLQKAHKRLSAGSVDSAMTMEIQKSIESLRISRGGSLSPSQKLTKAFADELSL >Et_4A_034532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4111086:4114985:1 gene:Et_4A_034532 transcript:Et_4A_034532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSSRSPFFSLPSRTFRGRPTRRLVAGAPGRFVACSSTPPDVVVTRERGKNAKLIAALEKHNIQSLELPLIKHVDGPDTDRLSDVLRNDKFDWITVTSPEAAAVFLRGWKAAGCPKVRIAVVGVGTARVFDEVSESDGQSLEIAFSPSKALGKVLALELPRGSEGPCKVLYPASAKAGHEIQNGLSNRGFDVTRLNTYSTVPVQDVEPLTLKLAVSAPVVAVASPSALRAWLNLVSQVDDWNNAVACIGETTASAAKTLGLKSVYYPTSPGVDGWVESILEALRVHKQSKEVFRLSLHTVHIPWFSWELSS >Et_2A_017144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31288607:31294977:-1 gene:Et_2A_017144 transcript:Et_2A_017144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITVIGGEGNTMGFVDLWRGILLCDLHQGEANPMLSYIPFPQPPRPDELMLADPYRIKFVHQQLRFRPLSDLRGQYIKDDWVSTMWTRPVAATTSQMLDHTWEKVCCIESNKMDCCDNNLLFDLVPKVGDGEGRPMSPFKRIVAYRPTPSLDNDGDTVCFMVKINISDENASWVISVDMRENRLRGIVEFAADRQSYGSFAWLQSIVSKCLKRATGTFKANTWAWADHSFAHQPKPITVWLNL >Et_3A_024861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24906334:24908641:-1 gene:Et_3A_024861 transcript:Et_3A_024861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVTSDSGQLIIITVGHGEQFNYTSIQDAVNFVPENNGGKVVISLAPGIYREKIVINKPNITLIGRGARSTIVTCNERWVTIHNTTVSVLASDFVAKGITFENTYGSGTDTQAIAMTVKADRVAFYQCSFLSFQDTLLDDVGRHYYHRCYIQGATDFICGNGKAFFEECHLHSTSTSGGAFTAQRRVDEGNDTGYSFVGCRLTGIGVGTSILGRPWGPYSRVVFALSNISSTVNPVGWNDWGTPNQRKTVYYGEYKCSGQGSGTKGRVPWSRQLSHDEAKPFLTKTWVDKGN >Et_2B_021797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5549239:5551184:-1 gene:Et_2B_021797 transcript:Et_2B_021797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLSPVAVTHLLQHTLRSLCTGDAPQWAYAVFWRILPRNYPPPKWDLPGSAYDRTRGNRRNWILAWEDGFCNFAATTSAAYTGDCEAAVQQQAEVAKQQGLQPELFFKMSHDIYNYGEGLIGKVAADHSHKWVFKEPPEQETNLISSWSNPADSHPRTWEAQFQSGIQTIALIAVREGVVQLGSMKKVAEDLSYVVMLRRKFGYLESIPGVLLPHPSSAGAFPGGCVGPVPPDLAAWQGLLPHAGPLAPLDLYDPYGAVAAGPAAAAAASMHIMPSMSSLEALLSKLPSVVPAPPPPPQQQAPAAGSAAPGVAPPASAKEEEVDDYVARCHGMVDDTPSGNGAGGESAGTSAAGATAPMSSYFVDVGGKPGEGF >Et_2B_020315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18950004:18953499:-1 gene:Et_2B_020315 transcript:Et_2B_020315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSGVEVVASRGCARLVLPGMQQPSSAASVASSSASRGAKAGAGLESAARADRNGPFAGLVICVTGLSKEARGQVKEATERLGGEYSGSLHPKCTHLVHSFAGRKFEHALKHGPKNGLFLVTLGWFVDCVRRNMRLDESLYSIKNIGENGRPLGEFNRLVGVPVSENSCLPPVVFQDKACADTTQKHPPQTPRKEDGLDEFVFTNETIYIDPGISGEMRKKISGAATREGAKLLEHWFVGCHATYVVCEDVSVKKYVGHSDNIVTPLWILKTVKEKNLQRLVHLSSDLARHVAMVLENVQISGESCAVPIHPITPTTLLDSICWTISEPTSSASIYMDSSWSDDATEPQSTTYFDANGDGRDPDQLTDNFSRALRESEQSELIFKNHFLTILFPIDRFGELGPSSRTFYSNGGFTRIQVLDHIYNFYQENMSTDEIDMALHTDSRHADRLRSLYASAESAEKGFVAFKRIDFLGSRRSFEALKRLNRENNSNVYELIIRA >Et_6A_046431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1490764:1495191:1 gene:Et_6A_046431 transcript:Et_6A_046431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAFPPPPAPFLDDDFDFGDFTFASAPAPAAPLADPRAATFAAFDDDWGDFVASPLGSNPDASSAPPTPPTATSAASWEKPRGPLPLSLFGADEQEEEDRREEEGPAAPPTTATAHQRAPSFTSSGSRPADLKDLIAGLYGSQPASAADGADAAVREEAEDDDGFGDDGWEFKAATPEPAGLVGDAQGDGIEKVEDISKSLSSDQEDWSSFTSVNEELNHNSSFETDENHSIRSTSDSILIEFYHRLRKESLEVISQHVKDHKEAQGSSTLSDENSKAIAIGKEIQEIYGKLEESSLPKGFGADEHPSRDVSITELLNSIKEEHLKDFELEYHLAEKLAQVTKDVSVAVELYKHSVSTIQALELASKEEQCNYVRAWYGMLLSCAQEMQHGAALWQESCHTIVCDQVISEGGYWFIALGEIYRVAQILSFSLQYFKPWVLADPGMLTKMLASLESCNNAWSDGLQMALKLVAESTRLDASVAEALMESIKETNELEVSSLQNFHPNNDTTCRLTLLPASLIRGMKVIMWNGDHYFVKVANLWANRISADPPHLSQTPVSLRNSLGLLWHRHARRLVLFLLARLLRGQRVADPEPVEDAVLMESVGARQRPELVVVRMEILQAHGAIRDSSTLIIRLFMLLSPGRESSKVAGKPPFRLYTGEKLVHTFRNRRHPETPGEIVVVLLGDVEVPLWRQRGSEDCVRRAINLYRKASVRR >Et_5A_041086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18004816:18009217:-1 gene:Et_5A_041086 transcript:Et_5A_041086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEREGSERARSQTTNAAQDESNKVQDSYQMIKLLWVILIGLIFALIIQSLAANLGVVTGRHLAEICKSEYPKFVRICLWILAEVAVIAADIPEVIGTAFAFNLLFHIPVWVGVLITGSSTLLLLGLQKYGVRKLEFLISMLVFVMAACFFGEMSFVKPPAVEVIKGLFIPRLKGPGATGDAIALLGALIMPHNLFLHSALVLSRKTPQSVRGIKDACRFFLYESGFALFVALLINIAVVSVSGTNVLGRSSAIVYGVALLASGQSSTITGTYSGQYIMQGFLDIRMKKWLRNLMTRCIAIAPSLVVSIIGGSSGAGRLIIIASMILSFELPFALIPLLKFSSSSSKMGPHKNSIYIIVLSWLLGLLIIGINMYFLSTSFVGWLIHNSLPKYANVLVGIMVFPLMLIYILAVIYLTFRKDSVVTFVADSSQADAEKAKKADEDDDDEPVPYRQDLADIPFPE >Et_5B_043981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18499282:18503392:-1 gene:Et_5B_043981 transcript:Et_5B_043981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPLDYDQLNENVKKVQYAVRGELYLRASELQKEGKRIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLIFPADAIARAKHYLAMAPGGLGAYSDSRGIPGIRKEVAEFIQRRDGYPSDPELIYLTDGASKGVMQMLNAIIRNERDGILVPVPQYPLYSAAISLFGGALVPYYLEEEANWGLDIVSTRQSVAAARAKGMTVRAMVIINPGNPTGQCLSEANIREILRFCYEENLVLLADEVYQENIYQDERPFISARKVLFDMGPPLSREVQLVSFHTVSKGYWGECGQRGGYFEMTNLPPKTVDEIYKVASIALSPNVPGQIFMGLMVNPPKPGDISYPKYASESKAILGSLRRRARIMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPRAIEAAKRAGKEPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDFPAIMSSFKKFNDSFMEQYEGYSRM >Et_7B_053250.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:14905416:14906265:-1 gene:Et_7B_053250 transcript:Et_7B_053250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFMATEMPPSIRRLCPLMCRASSLARKSTALAMSSALRRPPPTPRSTFWASMACLNAASSRPRYLRASGVATPAGETQLTRIPCRPSSAARLCVRPTSACLEHVYACFAMPATLDATLAVETMHAPAAAGRHHHPGRVLRAQERARHVHGQHAVQVGPAGVSDAAGRVAVLADAGVVEHHVQRAERRHRVVHRRRDVGLHGDVAVHVGGGGRAELGAQARPGVVLDVGDHDAGAVLHEQPRRALPDAARAARDHRHLPLQPALAYMHFVTN >Et_2A_016794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28201176:28204196:1 gene:Et_2A_016794 transcript:Et_2A_016794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQSKNSKPDKSSSAPDAGLPNPFDFSSMGNLLNDPSIREMAEQIASDPVFTQMAEQLQKSAQGAGEQGIPALDPQQYMETMQQVMQNPGFVSMAERLGNALMQDPAMSSMLENLASPSHKEQLEERMARIKDDPSLKPILDEIESGGPSAMVKYWNDPEVLQKIGQAMGVTTPGDSSASAVPPGPEETEEEGVYDDESIVHNTASVGDAEGLKKALDDGGDKDEEDAEGRRALHFACGYGELKCAEILLEAGAAVDALDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTLQNLDGKTPIEVARLNNQDEVVKLLEKDAFL >Et_10A_001712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7156580:7157595:1 gene:Et_10A_001712 transcript:Et_10A_001712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTETFRLATKEGAEAETNPELYQHYESLVTSLPSSNGLSDLPLHRHEQGWYASQVPLVGAMVADACFTKRSSDIIVATPPKAGTTWMKALLYATVHRRKHPVDAADHPFKSLSPHECIKFLDLQLYTRKRIPSLDKVPDPRLFATHVRFMSLPRTVMSSGSKIVYVWRDPKDTLVSMWHFVNKINVYKGKEPLGVETAVDLFCRVDCRCSGHTRIMQAHLTHPHQVLLFKYEEMQRDPAAHGRRLAEFIGCSFSTEEEEHGVVDGIVKLCDFEHMSGLEVTTGGKFEFGSGIAEKSFFFRRGEVGDWVKHLSPEAARRIDEITEAKFQGF >Et_10A_000989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19983202:19988560:1 gene:Et_10A_000989 transcript:Et_10A_000989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSSPAKVYKPAAEVDLGPGSDEFYISPNVKAPRVAGLLVKIFVWILEMPIIGPMVLYILKKDNLINKLVQDADIPEPPLFTATHSWEDTPEQNVSLTKPDLSPAERVQEAVSCLPARLEATLAADAPSSGLKRWTIRDFSNAYSSGETTPVEVAKRFLTAVKECSGPDLNMAFFISCDPEDVMRQAEQSTLRYKQGAPLSALDGVLVAVKDEIDCLPYPTTGGTRWLGAARRCSADAACVAQLRACGAVLAGKANMHELGAGTSGINPHHGSTRNPYNVGKVAGGSSSGSAAVVCAGLCPVALGVDGGGSVRMPAALCGVVGFKPTAGRLSNQGVLPLNWTVGMPGILAGTVEDALIAYSAIVDQSQPSYLRPELNLPLLNSTPSISNVKLAKSAKWFNDSAEDIRSCCDKALQTLHAHYGWQTVEVTIPEIEEMRLAHYVTIGSECTTSLAKYLDNLKRSEIGWDARVALSVYGSFSSRAYLNSQRLRNRQMYFHKQIFKTADVIVSPMTGVTAYTLQDDAFQTGELDYINGAALVRYSIAGNFLGLPAITVMVGHDKEGLPIGLQFIGRPWSEATLLHIAKPAPRATRSRQCSTTS >Et_4A_035380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22210942:22215778:-1 gene:Et_4A_035380 transcript:Et_4A_035380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNQSGRSCVRSRRRASTTASHALQTHLDAVRSRGARRRRMSASTSSGSRAGRSTVVATVPRSASALQSPPISPSARRSISVDFFWDLAPGSGRETMPVGWIIPADRNRFLRGTIDGEIGDLVLGVSPGLGRAGTGIDGVGERSMRRGCGLAVADEFRARSRGILASNVQISSRRKRDSKIGRYELDDWEGDTTQ >Et_4B_036108.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24533247:24533537:-1 gene:Et_4B_036108 transcript:Et_4B_036108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNTFELTNLLSLRPSGYDPVVILTLDEDSNMMFTLEDDGVTFMLHLRTMQFKKLTMKMSIRRYHPFISFYNAGKYRDYAFSVISKVIFRSWHIM >Et_2B_022898.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:7455670:7456158:1 gene:Et_2B_022898 transcript:Et_2B_022898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNAAACQSCSPAACSVCNTACRDPAEPILKKSLLQQLPAGSVLAFQALAATFTNQGNCYTSNWWLTVGLVTFLSATCIFFSFTDTVKDDSGKVHKGVALPGRLHILNLTRKQQRAMAGELKKRGRKSVDWVHAFLSLVVFLTIAAGELAAGELLLPESQR >Et_3B_028610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17279191:17283162:-1 gene:Et_3B_028610 transcript:Et_3B_028610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVAVLVPPLTAPPVFSPAAAGLTLIAAAAADPIAAAVAGAMEGVPVPAVPPVRTASAVEDDALPPGAEAEAEGGEEAAAGSPCSVASDCSSVASADFEGVGLGFFGAAAGGAMVFEDSAASAATVEAEARVAAGGRSVFAVECVPLWGYTSICGRRPEMEDAVATVPRFFDVPLWMLTGNAAVDGLDPMTFRLPSHFFGVYDGHGGAQVADYCRERLHVALVEQLRRIEGTVSAANLGEVEFKKQWERAFVDCFSRVDDEVGGKASGGGGSGAGTSDAAAVLDPVAPETVGSTAVVSIICSSHIIVSNCGDSRAVLCRGKQPVPLSVDHKPNREDEYARIEAEGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPVPEVTIVPRAKEDECLILASDGLWDVMTNEEVCDVARKRILLWHKKNGTSSSSAPRTGDSADPAAQAAAECLSKLALQKGSKDNITVVVVDLKAHRKFKSKT >Et_2A_016901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29261720:29264317:1 gene:Et_2A_016901 transcript:Et_2A_016901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGKRCFWLEEGSRMSEERPVPRRESPWGLPEGDKRQPKAHRCNDRAEDVVQACFEGNPFKTVPGPFKLFWQCMRSNPGYEFCLFTYGPVICREEPTEPYTYLQLDPPKRAEAKLE >Et_1A_008838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15594988:15597521:-1 gene:Et_1A_008838 transcript:Et_1A_008838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNPAADPLPLLRLRGTPAALARRVAMARDAAGPALRPWLADLVPLLVILLIAAHVLALARHGRVQAARAEQEALGPRGGWPDVMRATSVCDLSLASSPY >Et_7A_051598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2110944:2111924:-1 gene:Et_7A_051598 transcript:Et_7A_051598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPVDVQGDTHRTEIYDKSLEPGFRFRPTDKELILFYLKRKILGENSFQAIAEVQIYEFCPWDLPEKTLLPNDDEWYFFCPSGQKCRKGYRSKRTTPTGYWKSTCKDRKICPNSHMIGTKKSLVFHIGKVPDGEKTNWVMHEYRLEENVVGSKPSNGFLKDDYVLCKLFKKKASGRSKGEQYGAPLSEGETEDTHVDAPLYAPTPSSEAIHEDPAIQGDVLDGAGLLAVPS >Et_2B_021723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4854865:4861909:-1 gene:Et_2B_021723 transcript:Et_2B_021723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFGWLRLASRSLALRTSEVAAQRSAWINLENAACSSTGIYISDRFCSRSTLTSFVLRRSFHATGQRCSMDKDYYKILGVSKDASQDDIKKAFHSTLRDPSKREQYDMLFTRGTRGSTQDTFSGSNNQNHDPFTEFHRQNDGRFSSKFYKIFSEVFENDINMHAHDMEVEMNLSFREAAKGCMKQVSFSAKNVCDSCGKSLCTRSHPSVLLAEVLENYRPVSDMMVFTGSLLDMQRFRGGIDSGDTIHVPEAGNSGGIGAQPGSLHIKIKVASDPVFARDGADIHVEKRISFTEAILGGKVEVPTINGNAEVKIPKGVQPGQVIVLRGKGLPNLAGYSGDQYVRFRIHFPSVVNERQRALLEEFAVEEATKEHNTFVAGNWWELVAENLTAQNVVVGVGILLLLHMILSKVVS >Et_7B_055758.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3636256:3636603:1 gene:Et_7B_055758 transcript:Et_7B_055758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKGLLRCVSTGACRVAPGAVAEPWSPGKVPAGHVPVEVGAEGEETERFVVPAELLGRPPIAELLRRAAQEYGYARRGPLRIPCPAAAFRRLLGALAGAGDGGLAARPYFTVVV >Et_9A_061386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10980969:10983009:1 gene:Et_9A_061386 transcript:Et_9A_061386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPSRRPGKRPAPPGAEEVGDSDGADWISGLPDDLLHLVLVRLGCAREAARTSILARRWRGLWIRLPEYTFMGMELEAVEAALARVTRPALDRLDIKADIKSKPALGRVSSLLRAAAQLSPETLSVSLHNSLFEGDAVVELPCFDRTSSLVLELDWLPIAPPPAGEFSRLETLQLTTGSNILAALLPSCPRLRVLRIDACVGLTDIIVHSATLEELVVEYVYELTDIPRIDIDAPEVKKMKLHVDMGREFSMTISAPKAVELDWKLGSTNGTIGFATHRLYSLNYRLSQGIRTLHLDIDSEVRLVLLSKFLPIFYICGSLECPFYIISYENPVPDKTFAQEIARLRVANFSVLELVVETNEHDFGPLLLHLLQIQPAIQRLKNCSCLQPVSWKRENISFTNLEEVLISYYRIKGGDEELDFLKLLFRCASMLKRMGVEAHRKVYKKIHRICEENPHVKCDYTH >Et_10B_003760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5629823:5637003:-1 gene:Et_10B_003760 transcript:Et_10B_003760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAASSSSSESYSTGSSSDSGSSSWSDRRRRRRHRRHRKESSSSSSALKARKDRRSRHKRRRWERRRSPSDDESYSSASSYDSDREASGRSRKHKKSSRSRKSRERERSRDKHHKRDKSKHKEKKESEHTDGPVQLSKFLGRDKEKEEGVQRSAISGKKIMMKLEKSKEDKVAESKRNELLKLTKKEMDRSLDIRTSSVTPATASEKKRIEEFWKKRKEEIEAIEDFGERAIPMTRMKKLICAEKGDMMMTFDTPSFLTKACEIFVQELAFRAWMRAEPHHRSIILESDIAEAIATTESYDFLKDILHAYQMEQSSTTCSKRTKKHYKSIYQPSTSCHPPPHQVPQFHLPQFSHYPPIVHSPLPFPLTNIHPMPLPFPCPFPLQEAYPLMSTTMTPTPIVRPTMSPINYTASGLGFFGNGTNNIPSNFVVNNNIIASGAMNYPLQVYAGATPSIPGTFFYINNMTNASAPSCGVGGTSNSNIVAHDQRLAIELDDSSLEVAKTTCTAQVSSTANENDNIDLEDNLGIEDGQQQQQHQVEDTIFNHPSNALDGTLNAAVAGVGASSAGEDNFDINWDDFEIADESWLSKFWEDVMIEENPSP >Et_2A_016334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23451041:23455027:-1 gene:Et_2A_016334 transcript:Et_2A_016334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALRGLGRYPSCCFGFPSRDYQGIRQDHLMVNVDDLSVAEPNVVKNMSSEAAIAHPPASFHTLLAVGENMDSEEEPLLQMVECRICQEEDSIKNLESPCACTGSLKYAHRACVQRWCNEKGDVTCEICHEPYEHGYTAPPRAHPDETTIDISGGWTITGTAFDLRDPRILAVAQNHIMEAEYDDYSATNASTAAFCRSAALVLMALLLLRHALTLTDEDDDDTSAMFSLFLLRAAGFLLPFYIMAWAISILQRRRQRQEAAALAATEVAFILQSGQGRGVHFTIAPDSPATPQHEPQP >Et_5B_044041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19380312:19381091:-1 gene:Et_5B_044041 transcript:Et_5B_044041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCNAITFRRSSWRRDSELMERLDSPRTSCTLSFCKHGDLGEETASPSLQVPWNLRQLRESSVSRAKTPASGKERPRHPPRSRMTEVAPSRHGSSKAPLSSELLPVPGTRFTKIRQPERRGAAMRSRAATGSRAASMVPTARARSTASWAASAEAMWCGSGEKGKRSSGRSAQTRRQRRERTLVRAAAVAVSRHSRMRTSISSGSPLRRSSPSPASEGSASSPPLCSMDVGDKFALSSACISMG >Et_2A_017543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35308101:35310341:1 gene:Et_2A_017543 transcript:Et_2A_017543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRLPTTALLVLPSSSSPSSSIVAAAAAPWEWKRWSGGRRPRLLLHPLPAACSTASASSSSSYNGWGELAAAPDVSSFPLSNGLILLLLLPASASALALSRLPPLPLLVAAFTAGFAARHLSSPQAQAAAASTPRRLTLVLADLDAQLRDLRETSQSEPLVDAVDRLRDAVLQATRLANHNTATSLEDLADSFAAWAWDTMSDLLTSTRNNKPPKDPAVHPSSKVVSSNSQTDAAAQAQLDPPVHAATRAHSLQDMLPFDDDDHGPEDAGTTNLEDGPLERLLLKHRQNHHFRGASSRFAMDSSYLHKRKLEIRDRSYSLKIESESQMSEAQERLDDLFSAGLDPDIAATDSDCEEFSRNVKEAAEVLKKARECMMARANEETADALLYKSARLLSAAVALRPTSLVAVGQLGNTYLLHGELKLKISRELRTLLANTDALLIRERVPRSRKVDRRVLSRDNISTALVDVCEECESLLVEAGRSYRTALSIDSGDVKALYNWGLALIFRAQLLADIGPEAAVDADQVYLAAIDKFDAMLSKSNTYAPEALYRWGTALQQRSHLRPRNNKEKIRLLEQAKSLFEDVLYVEADNKMVREALSSCISELNYHGRWI >Et_6B_050134.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:747641:748311:1 gene:Et_6B_050134 transcript:Et_6B_050134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRLRWPASTPALHKDTLTLLCLWQVWKHRNAVVFREEAPNLSRLLRDCLEEASLWRCRLRRDEAAISSSWCSLFNPM >Et_1A_008758.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:11973381:11974187:-1 gene:Et_1A_008758 transcript:Et_1A_008758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKGSNGGGSNKKKPSPQQQPQPQHPPTQTQTMLAAFGRDLTAAAESADPVVGREDEIDRVVCILSRKSKNSAVLVGAPGVGKTAIAEGLAQRIARGEVSGVLAGARVVELSVPAMISGTSYRGTFEERVTGVIAEAEAAAAVLFVDEIHMLLGAGRVQGSCMDASNMLKPALARGRVRCLGATTHDEYQRYFVRDAAFERRFQKVDVAEPGEGDTVAILRRIKAAYEEHHGMEIQDEALVAAARLSGRYIPGELAETERRSRRAAS >Et_2A_016896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29152013:29155079:-1 gene:Et_2A_016896 transcript:Et_2A_016896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLTLVHILISFAACAEAIRRADFPPGFVFGTASSAYQYEGAVNEGQRGATIWDTLTRRPGRVIDFSNADVAVDHYHRYKEDVDLMKDIGMDAYRFSISWSRIFPNGTGEPNEEGLDYYNSLIDALLDKGIQPYATIFHWDLPQALQDRYGGWLDSQIVEDFVHYASTCFKEFGDRVKHWITFNEPHNFAIEGYDLGIQAPGRCSIMSHLFCREGESSTEPYVVAHNILLAHAGAFHAYKKYYKKAQGGLIGIALDSKWYEPLSDVNEDREASARAMDFELGWFLDPLMFGHYPPSMQKLAGDRLPQFSIEASKLVSGSLDFVGINHYTTLYVRNDRMRIRKLVMNDVSTDSATIPTAYRHGKRIGDTAASSWLHIVPWGMFKLMKHIKEKYGNPPVIITENGMDDANHPFSRLENVLQDDKRIQYHNDYMTNLLDAIRKEGCNVHGYFVWSLLDNWEWNSGYTVRFGLYYIDYNNNLTRIPKASEEWFSQVLGQKTAVM >Et_1B_009941.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:12946199:12946450:1 gene:Et_1B_009941 transcript:Et_1B_009941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLQQHADNGHGGDARAQQAASRAKNQEQQQPASSLNPTAARLLREAIVSQPDGDGKPAAAAGSSDILAFARAVDRADSPLE >Et_1A_006820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27953094:27956455:1 gene:Et_1A_006820 transcript:Et_1A_006820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TAPPNSESPPRHATNPARRSPQPRRGSAFRRKILRVTLCSFFFFSHGTEKNKKETENLAAHARTPEPNRSPAADSTGSRLGVETDHTIHLVRGVAQPAASGTPAAASPASTTPSSGPAGMGSLFPGLGAPGSGGSSGIFGSAGFPELEQVEQQLSQNPNLMREIMNMPAMQNLMNNPDLIRNMIMNNPQLRELMDRNPDLAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPLLNATTMGAESNTASNPFAALLGNQGANQPTLPATNAPTPGSESTTGTPAPNTNPLPNPWSSNVA >Et_10B_002613.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18250549:18250998:-1 gene:Et_10B_002613 transcript:Et_10B_002613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHMRVTHRDEEGKKVSERMPIPETRRPDTAKHFERKLEEQGFHRFERHPANAPRGVGIGTPPPKSGRGGKYTWEGPGGLVEDQMDPAPAAIDRNDPNYDEEEEQGNDGDEVAKEAVVGEVEVAKVAEPRDGVARVDVTPPLLQEQQQ >Et_4A_032009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11867384:11867734:-1 gene:Et_4A_032009 transcript:Et_4A_032009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQFLESFHDVLFTNRQNSELLMTGGERKADDLRPAPRWMPPDPGRVKVNVDAAFFSEIGAAASGVIARDETGAAASGMIARDETGAVASRVIARDESVIYCTG >Et_6A_046127.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:26798299:26798430:1 gene:Et_6A_046127 transcript:Et_6A_046127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCALKLARTWLPMHKEEKLELMTRWICFLEQNLQAPLRIQNA >Et_9B_065990.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19494399:19494734:-1 gene:Et_9B_065990 transcript:Et_9B_065990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGEEEVEMKPLATASPPWDCGSPLYDAFELASVYRVLDGHLMALPFARRSPDADVAVASSRRTTSLAVVARRSGTRSRSRRAVAKAARRGGKAVLRSICRTVTCSRKL >Et_10A_001748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7672621:7686465:-1 gene:Et_10A_001748 transcript:Et_10A_001748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVEHQARRCLEAALIHTTGAAAAAAERLRLEQVGDVSSCIPEVGSRLRAKAARFFLSSSRTLHPSVICFVALLLANTPSFSSASSLLFLTDIPSFLFSFPSSLVYIHIHPTQLQQGQDHSSHSLAVAQRRRVFHLRRARDNTQRPHGDCVDHSASAQDVVCRWTKRYKKFSCTAWSDIFTDERVTSLSQKVSTLRDKVFELERQNALLSYEKGILEKELEETAKRTQEFSSQKEEVERSLQEYKNAAEVSQAQLEEQIKAKVDELKVLGTQKEEVDAGAASLESDIEARKRECELLKWGNDELRSKVLMVEEKYSVSEEEVKRLKTELDALTEAKEAAAKAFDAEKEEIIKELEDLKKKLEEIKANKDLVESENDKLRSEVLSAEQKYSMSEDDVKRLKMQLSALEEAKEATVRAFDVEKAEIMKESDDLKRKLEEIQVKKDLLETENDKLRSEVLMAEQKKCLHEEEIKRIKMELDTLVEMKEAAAITFDADKTRIMKELEDHKRRVEELQTNFDLTKGENDKLQLELSTIEQKYSLSEAEAKRLQIELSALAEAKEAIVKAFDAEKAEMTKELENLNKIVEETQTHKDLLKGENERLQSDVLTIERNYIQSEAEVKRLQMEFSVLADVKEANAKGFDAEKAKIMKELENLRSEVGEIQASKEAAEEIGRDKDAQAEKLRTDLEDLHISMSQLKASYDELEAKHSHLNHEKDSVQKELDAEKAEAMKLKSKIEVLESYNNEKDTSKTHVADPFNVSRVRSLQNRVRYLENRVRELETQLSDDRRMFGYRIRDLVRKNGELSGQKDRLERQLEEKMRAAQVFSNQASTLEYRVQELKRKNIELFSEKGQLKKQLEDTRKAGLLFMNAADEYQEVVHKQVMAIVEESNDTKKAGLLFMNAADEYQEVVQKEVTEKVEELKDTRMAGLLFMNTADEFQEIVEKEFKEKVEELKDTRMAGLLFMNAADDYQELVENEHKAKVEELKDTRMACLVIMNAADEYQEVVEKEFKVKSKEYEVLQAQKAKMDVMATSLESEFQETMAEKEMEVDAMVKKREYNVKEENDKLQLDALTLHSKMAKKKSSAAAAANGNGHHAVSAAAPAEAPVGKENVPGMQDRKAEQLKALNTMLLKEATERRGQVAALTARLDELSADDAALASTERAVALAALAAPLRAAADEVAALRARLAAVQESLRAAESRAALEAGARGEADARFEAAAAEMAMSMKLLREKEAEVASMSENVASMSVAEVKSLRVEFGAMVKAKEAAAKAFDVEKARTVSELIGLKKKVEEVQAEKEAAEGATRQKDAQTSKLKAELEELHASMSQLQASFNELDTKRSRLHDEKNSVLKVLDAEKAEAAKLRSKIEKLENCSDKKDEDIGKLKSALEEKKGKIDTMSKDIELLQLAVAEAQKRKGGIWTWLYAATTTMVAAISFIYAT >Et_2B_019933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15326782:15328702:-1 gene:Et_2B_019933 transcript:Et_2B_019933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPDLPLFELLSDLLQQVESMSNQEEVELRAKIEALGLEVTKVPEQAPKNLDELEIAAELDKLSARLDNVDKMISSAMASDPEVKSLLSSTADIWMPVITASADERREFAGTSGEGSQGEQEDTKQELVHGPVGM >Et_2B_022970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9920013:9920399:1 gene:Et_2B_022970 transcript:Et_2B_022970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVRRICTDRLAPSPADALSAMVVEQGSYQGVPCEPLPAGPRSAVPPASASTPTRSSSPCSAPMLTSRPVCSNVLRSRDMEEHDPMVSGATQAIMFFLQLTALEIER >Et_4A_034114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3046063:3050179:-1 gene:Et_4A_034114 transcript:Et_4A_034114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYAFLSVERAGKKLTSFIDSIKAFFAAPASSSSCSSSQLDDLEELMKLQNTMEYIKASLHDADNWNIREETTKLKLKDLKELAYDMEDVVEEYEYEVNRRKVEALNLERCADVDSTNKRKRQQENEACLEDIGAVAVPKELVDRARKITERFNHLKDLSNFLTLSENDGERRIIHDISDLRHTSSFVAEKTILGRDQDRDKIIDKLLSDEGKNVESHVSVMAIVGMGGLGKTTVVQLVYNDPRVRRSFDKYAWVCVSEPFNVTTITRNVIKSLIGGTCEYTELPDVQRTLANEIKDKKVLIVLDDVWNERGDCWDVLCRPMSEARICQFVVTTRSEAVAKLVQTMDMYRMNCLNPDDSWSLFEQAAFSVSTPEKLKDIGKKIVQKCEGLPLAIKTVGSMLRFETNETEWDDVLKSEVLPVLELCYKRMPVYLKRCFIALSLYRKYSMTMTERKIIRLWQFLDLLNCNGSYDDDDEIGSLYLKELVQRSILETGYIDNLYDDDDDDDDDDDDDDDDEEKYCPIYYMHDLVHDLACFLSDGEFYRLDDDSIVRIPQTVRYISLSLGYNRPIELPHALRAFIMLDDVEIKIPQSVFSSCKNLRALDLRESSFPKALTGSIGSLKLIRHLALSRRADISLLQSYNLQTLKHFIRRSPYSSVPHDIGNLINLRALRLPISVCGCCHGNIRELRNINKIRYLTISGLGRVSGIEEANEAQMQSKRKLRSLNLDLHSRCRSRQHNQHSAPITLPHVQLLESLQPHRSLTKLMIQGYKSDEYPSWLGNDTFLKLTKISLVGCEGKHLPPLGRLPSLKYLKIRCMLNVEHIGREFSGHPSNGFSSLTNMKLEGMKNWLEWSGVDDADFGRLYTLSLTHCTRLKSLPSLRSLVTVHLYSCDGIATIPAWSTLCKLRIESCETLSELPTLPSLLNLHLWRCPNLKAVDSQPKLTSMVLDRINVYPVRFGSLSSLTSLKLEYDLPRLGRKFFGMIPKYEWYSLFCNLPSLKCLEIIGDSSTCLRLKQQSMPSLTRLGLWNCQNLQYCDGLADLTSLQHLEVHWCPKFSRNNLHLPQLKTLIMKDK >Et_4A_031856.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:23916642:23916986:-1 gene:Et_4A_031856 transcript:Et_4A_031856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPARPPAIIKFLKPYVLKMHFTNNFVSAQVIHTPSATVACAASSQEKVLRPNMESTRDVAAAAKIGKLLGERLLFNGIPAVSVSMSRDQKYHGKVKAVIDSLRAAGVKLL >Et_2B_022252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15475062:15478459:1 gene:Et_2B_022252 transcript:Et_2B_022252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRVTYKTRAGERLVSWKAPDDPSPGSFAFGMDPGTFLQTYIWNGTLPIARTAPWTGYMVNSGQYQVNTSVILYLAVVNNADEIYITYSFSDGSARTRYVLTYSGVYHLEIWRPSGWAVTGKWPAGECNRYGHCGPYGYRDNTVAVPTCKCLEGYEPTSPEDWNSGNFSQGCRRRKVALRCGDGFLALPGMKPPDKFVLVENRTSEECAAECSRNCSCVAYAYVNLSTSRWTGDATRCLVWAGELIDTENVGDTAGSDTLYLRVAARKRNRDDPNKLILGDTSTSDELGEGNTAQEFEFPFVRFEDIVAATNNFSEACKIGQGGFGKVYKVVTGSRRSDIDNIKGFPNLVVYAWNMWKEGNANDLADLSIMDTCLLDEVLLCVHLSLLCVQGNPDDRPPMSFVVLSLENGSTTLPTPNHPAYFAQRSNDMDQIRNNIYNSVNNLTVTHLEGR >Et_8B_060688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4932856:4937008:-1 gene:Et_8B_060688 transcript:Et_8B_060688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAPSPPAGAAPEPAAEPLMEFFCYECESSVSLPAAAALPWARRPPCPLCRGNSLEPGPNPFPEEDPPPPLPPGLLTLSGSEDSDDFDELDDIGMMDPAEARLYLTRLIQERLYEPGDVAATAAAAAVSVLEEHQRGGEPPAPAASIAALPTIEVSRPAETCAICREDLPPASAALKLPCTHLYHSCCVVPWLQMHNSCPVCRSRLPATDPMEASSSEQDPNTTRITIRFTTTPRRRIRDNHDAMLVAAPVSASPTQLAQAVNGEGGSGPANSGETVSSEWPPQPESDTVITRANRGRKQH >Et_3B_031360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26792330:26800585:-1 gene:Et_3B_031360 transcript:Et_3B_031360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMRASPRVSGARRVLARKRGRPDDASTNSARKLQRREVEAGSGRAFSSSFRRERFRNIQLQEEFDTHDHKKSFFLLQSLRARSAVSEIFGAQDIIFALSYSGVCAALSRVTKQRICFLNGSPDEKIVSLFYNKANESIITVSAYASEDLQNLFCRTTPIECIQQAMPSAGLPLFEEELLNGKIFVEVDDVNGKGLIYSAQDSPGILVLIYRKEGYVSLEVRSIEDNKSLRSLKHLLHRSKPVEFIEQFDEKFFIKQKGEDLQILDIRDSSLAKMFLTFHGRSMSVWNYRGERVTSFADHVLWYPKCHESISITGNEDIIVSYCRADPNDPSSDGNACSINMSEMLTGKCLAKIKAGDLCKQKKVLKFQKTPAEALRDITSLYYDEEHEEIYTGNTEGLVHIWLSECRDAVFHLARLPPEFENPNPRWSWATGRPLSSDRHLSVRQPLVDGEVWMEARRVSIDRRLSCPRRVLARKRRRLDASANSTRKLQQREIAAGPSCAFAASVTRERFRNIQLQEEYDTNGPNAASFIPPYLKNSSEIIEIIGVNDIIFALAQSGICSAFSRESLELPGFVEFDDANDKVLTYSAENSKKVDFIEQFNEKLLIKQQGENLQILDLTLHLIAAKRLQASRTFSINISEILTGKCLAKIKGGDLCKQKKASMFQNSPSEAMKDITALYYDEERDEIYTGNGQGLVHVWAS >Et_7A_052572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9506557:9506825:1 gene:Et_7A_052572 transcript:Et_7A_052572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELAWLALLLVHALLMPCASAVVGRGDFPTSFLFGTSTSAYQGSLVMQGLVVQKIWHENDESREN >Et_8B_059367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17143583:17151572:1 gene:Et_8B_059367 transcript:Et_8B_059367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGGQLFSVDPLERHAARGHGVVTSMAAGSDVIVLGTSRGWLVRHDFSFEDAHADLDLGAGRSGDHAVHRVFLDPGGKHCIATVVHPGGAETFYHHARWPRPKLLPRLRGLLVNAVAWNRQSITEASTKEVILGTETGQIFEMAMDEADKREKYVKPLFELTEQREGIKGLQMETAVVGNATRYYVMAVTPTRLYSFTGIGSLETVFASYSDRAIHFMELPGEIPNSELHFFIKQRRAKHFGWLSGSGIYHGELNFGAQHSSSSGDENFVENKGFFDYSKLGDAGIKPRSFALSEFHFLLLIGDKIKVVNRISQRIVEELVVDNIPETSRGIIGLCSDASTGLFYAYDESSIFQVSTSDEGRDMWQVYLDMNDYAAALSHCRNPFQRDRVYRVQADAAFASKEYYIAASFYAKMNYVLSFEEISLKFISIGEQDALRTFLLRRLDNLTKDDKMQITMISTWATELYLDKINRLLLEDSTGATTNAVAEPNNSEYRAIVNEFRAFLSDSKDVLDEATTMRLLESYGRVDELVFFAALKEQYEIVVHHYIQQGEARKALEVLQRRNVPVDLVYKFAPDLIMLDAYETVESWMMARNKLNPGKLIPAMMRYVSEPHAKNETHEVIKYLEFCVKDLDNEDPGVHNLLLSLYAKKEDESQLLQFLDTKFGKGQTNGPEFFYDPKYALRLCLQEKRMRACVRIYSMMSMHEEAVALALKVDLELAKAEADKVEDDEELRKKLWLKVAKHVIEQEKGVKRENIKKAIEFLSETNNLLKIEDILPFFPDFVLIDDFKEEICKSLKDYNSQIEQLKQEMDDATRGADNIRSDIGALAQRYTVIDREQDCGVCRRKILTVGGLHQVGRSYTSAGHMAPFYVFPCGHAFHANCLIAHVTRCSSQAQAERILDLQKQLSLIDRKAAKDNGASLNGESIMSMAPVDKLRSQLDDAVASECPFCGDMMIKEISQPFILPEESEEKASWEIKPQPMAQKILPMTMSI >Et_3B_028069.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:9241968:9242318:-1 gene:Et_3B_028069 transcript:Et_3B_028069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDMIERWCDSAHIQARNVSSCTNISQVFVRKDGVSNVIIILPFSAHMTFFIRVMGIARSPKSSIDSISSFFFLQNSSTLCFSDSGAGVNDDVRISRERSIFFVGLVEMYLDII >Et_1A_005301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38988111:38988491:-1 gene:Et_1A_005301 transcript:Et_1A_005301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGSDAFRKGKYPVALSRH >Et_2A_018099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1092486:1095886:-1 gene:Et_2A_018099 transcript:Et_2A_018099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPKWPEEPAGDKQMTIHIVDYQNFRLSMLLREGHGTVRVGALDLEPEAGGDMNTHLLNLLCCYGVREGPQPGGLLAAGGQPRRRRLVAVGEVLPGFSGHRRVRRDVARRGGRLRNRLEELGCQEEHCICTRGGGGGGGGGGGGGGGGEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKRRRRRRRRRRRRRRRRRGGGGGGGGVLVTRPSRSRMRRVLTMPGWTQLAVTLAFISFSFSASALVWRTLASLDSAYARVGSYGLEVNKQPKKSVIMVSNLNLCHGIISNSRRES >Et_9B_064212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12591796:12594469:-1 gene:Et_9B_064212 transcript:Et_9B_064212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPIAVVIGIAAGGATFLAAAVVLALWCAAQLRARRKNRNSDTGSSDPSTQVEWGKGGRISSAPPEGARQFSLEELVQATKHFSEANVVGAGSFGLVYMGLLLDGTVVAIKKRVAAPTQEFAEEARRISEISHRNIVTLIGYCQEGGLQMVVFEYLPNGGVCGHLYDTGKGSTARLEFKQRLSIAIGAAKGSKVLKIGFLFWDIRKLSVSANAVAGLSHLHCLVPPLIHKDFKTSNVLVDENFIAKVADAGLVRLLRGPGDVGPSHGFSSSVYQDPEVYSVEQFSESSDVYSFGVFLLELITGKEAARLISPESTEPLAHWMEAHFSSDELIDPRLGGSFTSESMKELVGITFQCLNPLSRRRPKMRLIATELDRILETEMSMTTFMGDGTAIVTLGSQLFTS >Et_1B_013660.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11200075:11200578:-1 gene:Et_1B_013660 transcript:Et_1B_013660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSDSEPCTQRPPSSELQQPAAACATALEERAPAAETSSESDQAPLPAKTRPEMAEAEKKKKAAEGSSCGSEPSRRPAGAAEESARERLKRHRTEMAGRVRIPDMWGQERLLKDWVDCAVFDRPLAATAGLLNARDALVAECVAARRPAGHAAAGRPLLRVQNGCS >Et_9B_063849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17420034:17421032:-1 gene:Et_9B_063849 transcript:Et_9B_063849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAALTRYWCHQCDQAIEEAMVEEIKCPFCDGEFIEEMTGQEFEGLTSRQSERSLSQWGGATRDSDDEDDDDDDDMGREFEGFIRRHRRASALRRVLDSIQDDLRADRERDNSILINAFNQALSLQGSVLDPDEAQGDQGGSNNDDGLLEEYVLGAGLSLLLQHLAENDPNRHGTPPAKKEVVEALPTVQIEEVVSCSVCLDDLELGSQAKQMPCEHKFHSPCILPWLELHSSCPVCRFELPSEETKDSNEPSNIDRMESSQEEIRAEGPGNSSESSNRSWALVPWFNGLFSTPEPQATSGSFADQQPSSASGANPNAGGS >Et_6A_045813.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:14770428:14771108:-1 gene:Et_6A_045813 transcript:Et_6A_045813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTYRSVSLVLLSVAICFLAATPSTSAVLENRTGQVTVFWGRHREEGSLREACDTGTYTAAVMSFLNVDAARGRYDLDLSGHPLAGVGDDIKHCQRVGVHVSLSIFIVDNNNNSSLPTTNKQSALQVQLVDYLWNAYLAGGGKRNGGVHRRPFGDARLDGIDFFVNDGTPLVAQNYAVVVAGELAKRSRLTGGGAGTKPLWLTATPRCDFRGSLAAGIFEGNYFI >Et_4B_038142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26675010:26676961:-1 gene:Et_4B_038142 transcript:Et_4B_038142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLRSTLRLCRRPSLFPRRHPGATAQRDPPLNSAASALPDKSPDRLSRFAYEPWNTRLGIGVGSEKKEVKLDGTIKQMDAPGMSKVTYDIWPATICQGSSHRDGSIYRGKWEEAYSMDIADRDETVLERKKRSTDFDCFPDQETCICHGPDDMIQIFSLSLAKTPINNGPTQLYGYMAARDDIDGKLNYIFNHSRNDPVIVQQGYETITISQGSFLEMTGPKRGIVMLADLLFEFDMRIKTGERGRGYTAD >Et_1B_013875.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2231547:2232365:1 gene:Et_1B_013875 transcript:Et_1B_013875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQDVRSVDSFSQLPFIRSAPSQQQQPRDTIRLFGCEFSNEQMQQAAKDASGDESPDAANDNSTVTSESNGAAKSSGGGGERKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQASLAMHRYVPGHMYGLFNYHLGRYDHPTAPLPPPPPPAHYPMWTSASPAGPYVGGPGSMSQPINGNPVPPGLWRMQQPPPPMENFGRHGGGADAAMVVGRPGEMMTCKDEKVPMSLLSSSPSLSSCSSTSPEKLGRCELGQKESVSLDLHL >Et_2A_018583.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33887103:33889133:1 gene:Et_2A_018583 transcript:Et_2A_018583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAQDDDEERGMASLLAARRALRAGVEQSRALSRALEREGTRLEEIQGRLPAMEASVRPIRAPRQDLHAAGPNIDRAVGPAAAVLKVFDAVHGLEPPLLARAAVSEDLPGYLAVLAQVEDARRFLADNLGIAAQWLTDIVKYLGERKLADPGFVTGLAEALGQLKNPAADLDGGLLSASLDMLELEFRRLLAEHSAPLAMRPLDSSNPASIAPPRIPAAVVYRLSLILDRLAANGRLDICSTAYADARGETVSASLRALGLDYLQETTEDAQALSPSVDLWGRHLEFAVHHLLEAERKLCVAVHEKRPEAASSCFAEIAARAGILDFLKFGRAVADARKDPIKLLRLLDVFDSLNKLRPDFNRLFGGKACVEIQSRTRELVKRVVDGAVNIFEELIVQVELQRNMPPPVDGGVPLLVSFVARYCNQLLGDRYRSVLTQVITIHRSWRKEPFNDKMLVDAVLKIVKTLEANFETWSKAYGDVTLSYLFMMNTHWHFFKHLKGTRLGELLGDGWLREHEQYKDYYSAVFLRESWGTLPPLLSREGLILFSKGRATARDLVKQRLKSFNATFDEMYQKQSTWVISDRDLQQKTCHLVVQAIVPVYRSFMQNYGPLVEQDISASKYVKYNTEELDKMLNTLFLPKPGRPRRAGSFQIRPSDGKITSAMTGLYRSASTLK >Et_3B_030361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32499321:32502270:1 gene:Et_3B_030361 transcript:Et_3B_030361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISGEIPGEGSDGEEEVYINEEDIIHEVTFDDEVDSEENDDSTYVFRDEVFAVACSPTDASLVASGGKDDKGFLWRIGSADGALELTGHRDTVGTIAFSSDGNLLACGSFDGQINVWTTASQTLQATLEGSGSGFEWLKWHPRGHLIIAGSEDCNVWMWNADHSAILNTFVGHSSTVTCGNFTPDGKLICTGSDDASLRIWDPKTAQSRHVIRGHGYHTDGLTCLSVTLDSQTIVSGSKDNSVHIVNVNSGQVVGSLIGHTNSVECIGTSPSYTWVATGSMDQKLIIWDLARQSSRCTCEHDEGVTSLAWLGSSRYVASGCIDGKVRIWDSLSGDCARTFSGHADIVQSLAVSADGNSIISVSSDGSARVFDISMFK >Et_4A_031846.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22391152:22391763:-1 gene:Et_4A_031846 transcript:Et_4A_031846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLAHIDALHAALGELLDLPEAQAALSGAACSTPSSVSLTPTAATRRPSSTSSSTSPRPSLLSAATTSRASPPCSARSARLARSSHASLPQPGTAPGPRASASVAALPPRSRLSASWPSPHGHGVRVRHALQRASSARWCSCSTTARFRSPGRWPARPRCSTKWQGEEERWKTVTCGPYCHVDKTASENNSRGKTSGFTSS >Et_1B_010655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12597713:12610612:-1 gene:Et_1B_010655 transcript:Et_1B_010655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSLALVLRAALSHVPEERKAAEESLNQFQYTPQHLVRLLQIIVDGSCDMAVRQVASIHFKNFIAKNWSPNDPDEPQKVLDSDKAMVRDNILGFIVQVPPLLRAQLGESIKTIIHSDYPEQWPSLLHWVTHNLELQNQIFGALYVLRVLARKYEFKSEDERIPLYHIVEETFPRLLSIFSKLVQIVNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMVLFINLLERPVPVEGQPIDPEIRKSWGWWKVKKWTIHILNRLYTRFGDLKLQKPESKAFAQLFQKNYAGKILACHMQLLNAIRTGDYLPDRVINLVLQYLTNSVTKNSMYQMMQPQIDIILFEIIFPLMCFNDNDQKLWEEDPHEYVRKGYAAMDFVSELVRKRGKGTLQKFIHFIVDIFRRYDEASADHKPYRQKDGALLAIGTLCDKLKQTDPYKSELERMLVQHVFPEFSSPVGHLRAKAAWVAGQYAHINFSDPDNFRRAMHCIVSGMRDHDLPVRIDSVFALRSFVEACKDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMASSEADDEADDSGALAAVGCLRAISTILESISSLPHLFERIEPTLLPIMRRMLTSDGQDVYEEVLEIVSYMTFFSPTISFDMWSLWPLMMEALNDWAIDFFENILVPLDNYISRGTAHFLACKDPDYQQSLWNALSSIMRDENMEDSDIEPAPKLIEVFFQNCKGSVDRWVQPYLMLTIERLRKTQKPYLKCLLVKVIANALYYNPLLTLETLQKLGVAADIFAHWFAMLQEVKKSGACANFKREHDKKVCCLGLTSLIGLPADKIPADALDRIFKATLELLVAYKDQVAESKKRSEEAGDDMDGFDADEEDDEEVDSDKEMGLDDEDGDEVSSLHLQKLAAEARGFQPADEDDDSDDDFSDDEELTSPIDEVDPFIFFVESIQGLQASDPARFQNLMQTLDFRYQALANGIAQHAEERKVEIEKEKAEKANAQ >Et_1B_010833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14565662:14568136:-1 gene:Et_1B_010833 transcript:Et_1B_010833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRSRFAFARFSCFGGQARTKKMAEDGHPVKLHVYDLSQGMARQLSATILGKAIEAIWHTGVVVYGREYYFGGGIQQGQPGRTPYGVPVRVVDLGVTHVPREIFEDFLREIGPRYTPATYNLLTHNCNNFSNEAAQFLAGASVPSYILELPNEVMNSPVGALILPMIQGLETSLRAGAVPRPPQYQPTPAPAFAATTVTKPSSDGVAAARSSTDAGKPEADKTVDNGSGSAVPPAVQPAAAPVSVSPAPPAAAAVESPDPLVEAKRRAQEEIKREFAAIMAAGTARAGEAAALAMRRVMERHGLQRAAVQRA >Et_4A_035454.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25134477:25134938:1 gene:Et_4A_035454 transcript:Et_4A_035454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCPVHGMLPLLLAACFLFIQAADSARPSPSAGVPRPALPRVDVPHKHDAAAGTGRPTAPGVAAEHEGSARADDKNVVTAASSATTRGEGQGGLGSGLAWSAVSKPVVLLRSKLARRFLEAGVVEGADSAAGPSCHSHDVHNKSCPPASAKP >Et_1B_011573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23723043:23725380:-1 gene:Et_1B_011573 transcript:Et_1B_011573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRERRLPPPSFRMENPFSVKVLQVFTGFGVGCGVGIGVGRPIYLGMIPGLQQVMSATSGATNAFSGVTRHVNSALRKAGLKNIEAGIGCGVGIGHGLGIGIALKPRVLHEIQSSVGELMSKVTSRLKDAPETSSESNPVTGSLSSNKKTHNDMSMDLDAKTAERNFDHSASNEIPLFQNDLKTESRDASGNLHGMDNVLQLVIKHQRAIEELQEENENLRQILVEELKVSPTKLQIDRKHGVNAYYPCSDCFECRRRSRKTTR >Et_7A_052732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14628974:14630842:-1 gene:Et_7A_052732 transcript:Et_7A_052732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSGYANLQYVASASFLLTTYAKYMAVSNRTFYCGQSLRVTAKSLRALAKKQVDYILGDNPLGMSYMVNYGARWPQRIHHRASSLPSVASHPAHIGCQEGFQSYYYSSSANPNVHTGAVVGGPDEHDAFPDDRTDYSRSEPTTYTNAPLVGCLAYLAGKSK >Et_7A_052382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7423617:7425222:-1 gene:Et_7A_052382 transcript:Et_7A_052382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSLLQRLVKWCPWLLRDEPKQPVNVLVTGAAGQIGYAIVAMIARGLMLGPDQPVVLHMLDLPRMADALNGVKMELIDAALPLLRGVVATSDEAKAFKGVNFAVLIGGWPRKEGMERKDLIAKNVTIYRAQASALQQHAAPNCKVLVVANPANTNALVLKEFAPAIPAKNITCLTRLDHNRALGQISKKLGVHVGDVKNTVVWGNHSSTQFPDASHATVKTEQGAEKPVRELVSDKTWLREEFVSIVQQRGAAVIKLRKQSSSLSAASAACDHMRDWVLGTPKGTWVSMGVYSDGSYGVPEGIFYSFPVTCDKGEWSIVQGLQVDDFARSKMELSAKELEEEKAIADELVSIERDH >Et_4B_036310.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:16547037:16547276:1 gene:Et_4B_036310 transcript:Et_4B_036310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKEEMDQRKIELEEHRLQWKQEETIMFCDVSKLDQHGKTYVMARRVEIARLAALRASLGESGSVSESGGASGHCSSV >Et_9A_061314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:163764:164698:1 gene:Et_9A_061314 transcript:Et_9A_061314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMREIVFFDVETTAPSSEGRWWLLEFGAILVCPRKLLEVGSYETLIRPGDLSAVSRRFTDVEAMISSAPPFEDVAGDIFDILDGRVWAGHNIQRFDCPRIREAFAEVGRAAPEPAGVIDSLNVLASEFGRRAGDLKMATLASYFGIGKQKHRSLDDARMNLEVLKHCATVLLLESSLPHVLRHGGGGGGGSGAVTRSMKTSTTTPPSRMMQTKLPFTPVVASPPSDQATQHKVNNGPCKRDSLGKVVGGRASKEALSTRRATTPFHMILRHSRAILR >Et_3A_024592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22372923:22375246:-1 gene:Et_3A_024592 transcript:Et_3A_024592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNNSVSEALSRTTPVFHLKLWVLIAIGVGIVMAILFILALCLSIRRKKKPVKGFDNASLAEIPIVSKEINVDRVDAQSLHDSEAPFMPVHDKYTQMKGAAQLAENRSVDVDTFSQCSSVYNIEKAGSSYSEDYSSSGPARKGSSPYAYSASPLVGLPEMSHLGWGHWFTLRDLEYATNRFAKTNVLGEGGYGVVYKGRLVNGTEIAVKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMSQHGVLSWENRMKILLGTAKALAYLHEAIDPKVVHRDIKSSNILIDDEFNSKVSDFGLAKLLNSDKSHINTRVMGTYGYVAPEYANSGMLNEKSDIYSFGVVLLESVTARDPVDYSKPADEANLVEWLKMMVTNKKAEEVVDPNLEIKPPKRALKRAILVGLKCVDPDAEKRPKMSHVVQMLEAVQNAYQQDQRKLSQVGSMDIESQQSLEEVSNSAES >Et_9B_064318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1481106:1485824:1 gene:Et_9B_064318 transcript:Et_9B_064318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLGLGECCRRKKLGERKGFGKEPHHADFKNKVRPLFVCEGRNGSSDALPKTSPRTPRASRVAKTGGNETDSTGVTPTRTPTDRSPKVTERRSPRSPITEKKRPSRLSELESRVSQLQDELKKAKEQLSASEARRRQAQQESEEAKRQEQAAISKVEDLERQLAEFSAAEDTRLQELRKLSQERDRAWESELGAVQKQQSVDASALSSAMSEIQRLKQQLEAAAESDATRAKQCEYAEAEIEGLRQEMEIRLATIEGLKVNVGESDKAAAEANAMAAETKQQLETAKATIDSLVAEGARLQEYLRSKDMELSESNARIASLEEDLKKAQAEMIESLKKAQNVDNMDVSFGNPEAEAVKKVLTSSDANGSCVSSDQEIEQLRTVLEVAEIRYHEEQTRMCIETKTAYEMLENVKADCARKVHELELQLKNKHDELTEAKAAYAGNAQQDLDLSKSDVTNGMQPELEAKLMKSITDITELKASLMDKENALQSMAEENETLKSDAGRTEAELRVKYEAAVAELELAKAAEQDIRMRLGLVTEEADKSSRRAARASEQLDAAQAAGAEMEAELRRLRVQSDQWRKAAEAAAAALGGAGVGDDNSSGNNGGRAVERTGSLEPEYNSIGGKLMSSPFSDEVDEESPIRRRNSGGVLRRMSGLWKKSPK >Et_8A_057120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21298784:21301813:-1 gene:Et_8A_057120 transcript:Et_8A_057120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLETKGGSRSSPAAGAGGMAVHGHRLSTVVPSSVTGEAVDYELADADLLHKLHYLRAVHVFRAPAPGGDAAALTVRALKEPMFPWLDLYFPVAGRLRRRDVAGGGEGAAAEAGRPYVRCNDCGVRIVEVDCDATVDEWLKEEAKRGGQCGILSYDKVIGPELFFSPLLYAQITSFKCGGIAVGFTWAHLIGDIPSAAACFSAWAHLVSGKKPLYPTLRDDPSAVPPLAPPGSVKAPPPSVKVTVAPVGDHWAVPTSHDMEHFSFHVTEQQLEALQRGVPKAKDSSHVGPFELVAAMMWRALAAIRGGGEEGEEATRTVTVVKAGAAFPGSGGCGLSNEHRIIHVAASGSSVPPPAEASVAKLAALLAAAGARRTDEAGAAAAVAAGGADVVVYGANLTFVDAGGVDVYGGVELAGRRPAHVEYAVGGVGDGGAAVVHRDAGGRGRVVAAVVRRGEADRLRDALRA >Et_1A_008419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7549169:7553443:1 gene:Et_1A_008419 transcript:Et_1A_008419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPGGHCIGDVSLGHQLRQLKGWPDLWLAPDKSTLLEHSAAMAAAQPPPPPDQTLALSDALLLRVLACLPEPTLTGAASLVCRRWMRLAGRLRRRLAVRDWAFVAHRLPYRFPDLADLDLFPASIAAPTARPHASSPLLTCAELSLTLDTSADPPLGSCRFLDDDVLDRGLAAVAASFSNLRRLSATAAAESGGLMAIAGGCPTLQELELHRCTDLALRPVSAFAHLQILRIVASSPALYGPCEGGGVTDIGLTILAHGCKRLVKLELQGCEGSYDGIAAVGRCCAMLEELTIADHRMDGGWLAALAFCGNLKTLRLQGCPRIDDDPGPAEHLGSCLTLESLQLHRCQLRDRRALHALFLVCEGAREIQVQNCWGLEDDMFALAGLCRRVKFLSLEGCSLLTTRGLESVITSWNDLQSLAVVSCNKIKDEEISPALSELFSNLKELKWRPDNKSLLAASLVGTGMGKKGRVFFKRILPAHQRIKGKVLNYSTVTRARLRGEGGG >Et_3A_023806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12552454:12559456:-1 gene:Et_3A_023806 transcript:Et_3A_023806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVKYDDEEEISSSGEEEEEQEDQSDGAGSGSGDEEDEATPAGEADGEGQEEEEVDEEEIEAVTTGAGDEEEEDAGAAAAEEGDDDSQSTEDDEAAAGDNDDGDEPENAVVGKREKARLKELQKMKKQKIQEILDTQNAAIDADMNNKGKGRLKYLLQQTEIFAHFAKGSQSNEKKPRGRGRHASKMTEEEEDEEYLKEEEDALAGTGGTRIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWIKEIQRFCPILRAVKFLGNPEERNHIRDNLLQPGKFDVVVTSFEMAIKEKTALKRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGEHLIENAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMYRGYQYCRIDGNTGGEDRDASIEAFNTPGSEKFVFLLSTRAGGLGINLATADVVVLYDSDWNPQADLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDDLLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEETTAELDAKMKKFTEDAIKFKMDDTAELYDFDDEKDENKVDFKKLVSDNWIEPPRRERKRNYSESEYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNNQRLNELYEKEVRYLMQANQKKDTIDGEDEEQLEPLTAEEQEEKEQLLEEGFASWTRRDFNTFIRACEKYGRNDIKSIASEMEGKTEEEVQRYAKVFKERYKELSDYDRIIKNIERGEARISRKDEIMRAIGKKLDRYKNPWLELKIQYGQNKGKFYNEECDRFMLCMVHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDEQERQARKEKRLAKNMTPTKRAASREGETTPSNSFKRRRQSVMEDYVGSGRRKRG >Et_7B_055015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6426629:6428495:-1 gene:Et_7B_055015 transcript:Et_7B_055015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLLRVYPSELKIPFEVKKQRSCCMQLTNRTDQYVAFKVKTTNPRKYSVRHACGILLPRSSCNLTVTMQAPVEMLSDYHCKDKFLVQSVVVRDGTTMKEFAPQLFTKAPDRVIEEFKLRVVYIAANPPSPVPEEEEGEEVDSSPRSEVMGCDANRPSVRDAGTSVISRLVGETEYAVDENQKLQKEMELLRGTRSSQQGFSLVFVVLVFMSSVFVGHMMNGIKV >Et_7A_051738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23947121:23949178:1 gene:Et_7A_051738 transcript:Et_7A_051738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLLGARGTTAASHMAPATMAPQTRRSSGQAVAADAAQGPATDLAMNEGQEQVAAETIPGGRQQQRRGRGVTINEKLAKLRARGTRIEIHFASQFGKVCGRHASVFKSEVTVCIRQEAPLRVMKWREMDNASISAMWNFLKDKFPEISPEDEQIVMRQVERQYNVRRHRLYKTYRTTGQRPSDVAPEDWQWLIDNLWSNEKFLNRSRQNSQNRAQQEMKSLVGTKSIVQIAYDLRNPATGEWPSAMDVWKAMYQKTDGTWSVPNGEEILTNLHAVAETEQERIASAAVPLAEHFALVLGRKPNHSRGVGVAAVNQGAQERHRLHAQAQIAREHADNAREQAAALEEEVQRLTQANMQLRDDMESQREELASQRRTVEAQNADMERLMDQKLEERMNALARIAARTISSPNSTSGTTPCKLTTLVLFTLLITASI >Et_5A_042032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5440069:5445831:-1 gene:Et_5A_042032 transcript:Et_5A_042032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLAIFNFSHNSQSQGFHTVVAAKDFPLGAVTVMSRDEYLSLCLMAFAGATGQQQHRGQGAPPATASATSVASSSEPRLNFGCATCGKAFASYQALGGHMASHRKPGSAAAAPAPRDGGAGPSPAAGGSSGSGRHVCTLCRRGFATGQALGGHKRFHYLHGPSVSASTLPREASPRARWFDLNLAPEITFAGMKREDEEVQSSSLPVKKKPRRPLNSSGAPKRSQPENCRRGFATGQALGGHKRFHYLHGSSVSASTLPPREAAGPRARWFDLNLAPTSTAPEIAFAGTERADEVEEEVQNPNLPVKKKPRRLFKSSRSPKRSRAENVNASTNGMRSGEPWLKF >Et_5A_040108.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:10315246:10316120:-1 gene:Et_5A_040108 transcript:Et_5A_040108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTVVLVPLWFPGHLASMLEAGGRLLRCSGGAFSLTMLIVPPPMDSDASDVTSQIDHAMASGGSVRVHRLPAVDHGRELIHPSEYMQLYVPHVKDAIAGLAAAAPVAAVVIDWFATMLLDVARDLAVPSYVYFTSTAALLSLMLRLPEVLDEVAATLRDTTTGEGVVDVPGMPPVPAASMPCPVENDYGWFAYHGHRFMEARAIILNTAAELEPDVLKAIADGRCVPAGHRVPTVYPIGPVLSLKPREAEDDDQ >Et_4A_034008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29041414:29045358:-1 gene:Et_4A_034008 transcript:Et_4A_034008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFASNSQDFNKKQAGTTKKYVGVPGMNAICKSLCLDDGLVAKFGVTVGKMDWLHDRSSWSLASLDGKDLGCFDYVVATDKIIASPRFSGLTGRPPPLDVSSFPQLSAMVRDIPVRPCFALMVAFSEPLSEVPVHGLSFNNSDFLSRAFCDSTEYASKVIHEIGPRKPSADALSKVAEELFKEFQSTGLNIPQPVFMRAHRWGGAFPSISIGGEDKCVWDKSTKLAICGDFCASPSVEGAILSAMSGASKILGCLNYPSGL >Et_5A_040370.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:17926930:17927277:-1 gene:Et_5A_040370 transcript:Et_5A_040370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SGNASNAVGKICDFGLATYYDQAVTTLCRIPRGTYGYMAPEVHKARSSCTFESDMWSLGAIIYEVITGSPLIKGCDPANMTTCMRSLFGILSNPAHTLSNEVCAGLNSPPEGVKL >Et_9B_063701.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:20371936:20373531:1 gene:Et_9B_063701 transcript:Et_9B_063701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVSKRYGDGAIPVSTLGPKLPTCESWPENDEAALTLPSARNKTQARPHPCGLKTSSPPTSHLSLCLAPSRSPRRRRSRLSTPPAPPPLRAEQVAMAATADPRAKPPAAPPHHLEPWAHQPPPAAAAHRMAPVVAPPAGGGCAARDRRRPSSHHRRGGAARDVGEEAYDGGIEELRAKLMGHLRDAADRLRVPQPGLSLPPPPPPPPPRKTASPPPETDSEPEPELRAPPPPPLPPPPPPPPQEQPQADVATTKPWNLRERTRRRPSSAAARSWAASPPPPSSSSSRRRRKRAPFSVSLTAEEIEEDIYALTGARPRRRPRKRPRVVQRQLDVRRIPRAALPSPIPNHYVCLIN >Et_4B_040066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8963941:8964846:1 gene:Et_4B_040066 transcript:Et_4B_040066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELAYKSQCHPNHGEEPKGQAGQEERVSQSEQSQPAQPRIMGNSLRCCLACVLPCGSFDVIRIVHLSGHIEEYTRPVTAGEVIAAHPNHVLSRPCSQGGARRILIVAPDSELKRGCFYFLVPASSVPEKKRKPAVPRPPTQQKKSRPQKAAVLSDAAAQVEKDNGDRYLSEVLSKGKASLKRRRSVRKTVWRPHLHSIVEEADHDS >Et_3A_025932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34080862:34087527:-1 gene:Et_3A_025932 transcript:Et_3A_025932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SFVLLALTAAFPSLRPPPCAAASPSCQPPNALQSAVLYAAVCLLAVGNGGTRSTAAAAAAGTRSSAGIPFLYASYMADDTALVYMQDSVSWALGFGVCAATTAAGFVALVAGSRHYRRPVPKESPFTALARVIVAAARKAAMVYSVPHGTVQYYHGSSHDTAGGGTPSETQGRAPRSSQPWRLCTVQEVEDLKSLIRVLPLWSSGILVSTTVNAQVSLTVLQALTMDRRILGAHHLAVPAASITVTVLAAFVLFAALFDRLAAAPRCREITPLRRVGLGHALNVASTSHSHCGVLSCPTR >Et_7B_055028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6542759:6543060:-1 gene:Et_7B_055028 transcript:Et_7B_055028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGCQRVVLDLDNRSLVESLNASTVDRSVVAGLWHEIQELGIRETNFAAHCCAKKPTQIEIVCSWSAHLPQWLREVAEKDCNPVSLNE >Et_6B_049063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17333823:17339522:-1 gene:Et_6B_049063 transcript:Et_6B_049063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding APRPPTPPPQLPAPASGGARPEEATAPPSASTSAASCMGTRPEELTARLAAAGASAGAGGGEVERERVRALREIKNQIIGNRTKKLLYLRLGAVPAVVSALAELGASPATLVQAAAAAGSFACGVDDGARAVLDAGATGHLTRLLEHPDEKVVDASARALRMIYQSKLAPKFDVNNDKNMNFLLSLLSSENENVTELAATIISHSCESNAEQLVLYSAGVPQRLVSLFGGSMNLRDACLDSMTAITRNNQEVASRFASMDHGKAFRSIVGLIHDRSPRTRLLACLCLIALGHASPYHFQDRQIKTKLILVLLELMEEPGHVGDEAPLALTTLVKDSLELQRQALTTNAVEKLSNHLLANSLETRRAVTILLALSELCSKLEESRSQLMSVEVSALILDALKHDCADIRVAACSCLKNISRSPKVLSGGRLSCDTVIGPLVQLLYDSSTSVQVAALGAICNIAVNLTPRKSVLLHSGVVSQLVHLSKSMDPTLRLKSVWALRNIMFLLSPKDKDFIMKELTLSTLSSLICDSEHFVQEQTLALVHNLVDGYVDSTHYVIGEDGMIIDAISRQLNNASAPGVCIQGMFVLANIAAGNELNKEAVMNVLVPHRGDRVKPSFVVNFLQSKDKQLRVATLWCILNLIYPKCEAASGRVVRLQSAGVILQVRSMINDPCLDCKLRVRMVLEHCLDNTDDCFM >Et_2B_019090.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6911218:6911739:-1 gene:Et_2B_019090 transcript:Et_2B_019090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAGEVASAVLPYLPPADAASYFGLGPHYHTPADDHFSFPCNRNAVASPYSAAARCQPHGGQPPFLLHDPPHVPPFSDDPQQVADQQERRRRRTASNRESARRSRVRKQRQLGQLRADTRDLLARLNRAIRDLDRVTRGSGTSGPSCRVGSRSSPSTARTTAPASNRLELAK >Et_8B_059073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13141471:13146575:-1 gene:Et_8B_059073 transcript:Et_8B_059073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFDLRASSQHLQPPPSLSGMSDGGGGGGAFANAGNLEHCAKYLNQTLVTFGFPASLDLFATDPVSIARTCNCIYALLQQRQRDIEFRESTNDLRQRMQSDISRLEAKIERMDAQLAAKDRELATLTRTEAKSTAALKAQIDKLQQERDEFQKMVIGNQQVRTQQLHEMKKREKEYIKLQEKLNQVLMEKKKESSRSGMEIMNLLQADAYEVKKQELMQENADLRGMLRSMQMDMREFLNAPNGPSQTTVNANGKKDGGSPQSPLGGKTDVFDLPFHMARDQIEESLRTKMASIKARMTQLQDAQKGAEVTSEATDRELELEAQLVEARSIIQEQASIMSKHFTKSDKPR >Et_3A_026201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5391814:5395833:1 gene:Et_3A_026201 transcript:Et_3A_026201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQHRENRDEQFVWPWMGVLVNVPTERKNGRQVGESGNRLKERLSQFCPQKVIPLWNHRGHTGNAIVEFAKDWSGFKNALAFENHFEAEGLGKRDWRTTRHPWSEMYGWVARADDHRCPGPIGEHLRRNGDLKTIADLESEGIRKTDKLVANLANQIDVKNKHVQELEIKCNETTASLDRVMEQKDQLLQNYNEEIRKIQQIARRHSQKIMDENQKLRSELESKMQELDLRSRELDDLASQSDHDRRKMEQEKEKNKMKTKHLMMATREQQRADENVLKLVEEQKREKEAALDKILKLEQQLNAKQKLELEIQQLQGKLEVMKHMPGEEDSESKKKIDDLSEELQEKYDEMDAMESLNQTLVVKERKSNDELQHARKELIAGFENLTVGRPNISVKRMGELDVKPFATACAKRFSKGDAQAAYAMLCSKWEAEIRDPNWYPFRVVMVEGKETLILSEDDEKLQKLKEEHGEEIYASVTKALIELNEYNPSGRYPVPELWNNKEGRKATLKEAVQHVIKQWRTNKRKR >Et_4B_039614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23957202:23960362:-1 gene:Et_4B_039614 transcript:Et_4B_039614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSQAANGSLSARRLPSKPPGPAAGPNPYPLFANSNNPRLPRRRLALSDAPRRAAQAPAAAGEGPSGSAPAAATEEPVVVRRVTNDGVPLEGVIQIEKPGDGGAESKLVSYAKLGLLAGGDVLCLLVFSAIGRFSHGLPVFDAETFKTVDPFIAGWLLSAYLLGGFGDDAKGRNGMGNAVAAAAKSWVVGIPLGLAIRAVTSAHIPPTPFILVTMGSTGVLLTAWRALVSQLLSAGQKQKDDVYRRGSPFELFEEQYSSNILLSLQLQRKSGLKKVGKKGNFDCPGVDCKKHEEAVAGPTH >Et_2A_017480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34819251:34820672:1 gene:Et_2A_017480 transcript:Et_2A_017480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFAFAPLSMFLSSMGELLFLLCLTLLLKGAEPATFTITNSCDYTVWPGILSNAGASPPSTTGFVLPPGQSLSVTFAWVWSGRIWGRTLCATDSSGSFTCATGDCGSGAVECSGRGAAPPATLAEFTLAGGTGGDDFYDVSLVDGFNVPMIVSPQAPSPAVGNGSCQATGCPADLNTACPADLRVASAGGGGGAVACRSACEAFGTAQYCCSGAYGSPATCAATSYSRFFKDACPRAYSYAYDDATSTFTCAAAGGGYDVVFCPSSSRLKSGGNPEAAGLPPSNPTMVFSGDADSLMTSGNAFLAILTVVISAMSMQCC >Et_10B_004421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6825634:6827710:1 gene:Et_10B_004421 transcript:Et_10B_004421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCRRLTAAIAAAAIFFLSAPASPCAATVVEHTFLVSQVNMTRLCKETLVTVVNGQLPGPTIEVTEGDSVAVHVVNKSPYNITVHWHGVKQRLNCWADGMPMVTQCPILPDHSFTYRFNVTGQEGTLWWHAHVSFLRGTLHGAFIIRLRHGAGSYPFPKPYKDVPIIIVGSTGALVTLKMAGELWEMDLDLLDKNMMNGFFDDNPSAATINGKPGDACNCSGAVKDGYALEVDPGETYLLRIINAALSSEYYLKIAGHKFTVVAADANYVSPLHHGRHRGGARRDPGRPRGCRCAAGRRQSPEPDLQVPVLVSRAVVQYKTNYVTGHVDHDDGVLPAVSPEMPDQHDSMTSFYFHGNLTSSQLRARAPPRDVDEHLFVTLGLGSVCRGDRPSCNRSGSHESIIVGTMNNVSFQLPAAASTTPLLEAHYRNPAGDITGSSSLLQELPVTPARPFNYTDASLIPWGPKEARGSSRRPGAPRRGGSGTAPWWRWCSRARR >Et_1A_006335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20894565:20900126:-1 gene:Et_1A_006335 transcript:Et_1A_006335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAVGRTPDGWGEEEMSVLPRHTKVVVTGNNRTKSVLVGLHGIVKKAVGLGGWHWLVLTNGIEVKLQRNALCVIEPPTGNEDDDKFDCENIQWNSSASDEAHSPKPHRSRNRPHKGFYSKSLSRSTSCDSHSKASLLSSSRALTKVDLRKLELTALWRYWRHFNLDASSNPSREQLVDAVQRHFKSQQLDESQVIVGFVHAAKRLKTSRAQPLSQHLTKHLRASAALPASNRIFTHAV >Et_4B_036503.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27237074:27237298:1 gene:Et_4B_036503 transcript:Et_4B_036503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPMFAAAVVLVLVFLSSGVGVAARPVVSHGVSDVAIDRAVAVEFTGTDSSAQPSNCTYGNNVGGTCPPSVGH >Et_9B_064268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13447355:13454752:-1 gene:Et_9B_064268 transcript:Et_9B_064268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPNGTATEQPRLRTLGSLTTRTSSTGDIRKIMMEDMPMVSSKVDGPNGKRIEGFLYRYKNGEDVRIVCVCHGSFLTPAEFVKHAGGVDVSNPLRHIVVNPSPSRLKEEMLLRLDGECVDGVHLHIFILLSPRPQCIGGLPLALALLVEALNLALVLSSHPPDIPTRTNPNCPLNSQQLETTPPSKAQGVGKRKAPRPRRSLAAYLSMDFWSNLRTRSAPRLSLL >Et_3A_024532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21759318:21761519:1 gene:Et_3A_024532 transcript:Et_3A_024532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFFLLLSALVATTLPSAVDARRSWAELSGKYNWDGLLDPLDVDLRRTIIRYGELAQATSDAFIGDPASPYAGASRYAPQAFLRKAQVPGDPDAYRVTRYVYATSTSARVHADAFMTRPAPPGAWSTESNWMGYVAVATDRGAAALGRRDIVVAWRGTKRAVEWADDLDITLVPATGVVGPGPGWSQPSVHRGFLSVYASKNSTSRFNKQSAREQVLAEIRRLLDAYKGENCSITLTGHSLGAALSTLTAIDIVTNGFNVRGPASSRDTVPVTAIVFGSPRVGDDQWKKAFDSTPRARLLRVRNAPDIVPTILPSAFYKDVGVELLLDTLKSPYLRKPGVGPAAWHNLECYLHGVAGTQGAGDGAGFGMAVDRDLALVNKDVDALKDEYPVPAAWWAERNKGMVKDASGRWVLQDHEEGNLAM >Et_4A_034333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31852425:31854458:-1 gene:Et_4A_034333 transcript:Et_4A_034333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEMEVAGAQAEIEWDGGGGGADAVLGLAGGSASVSLCYHQAFGPHDDLVLLEAADDLLPDLLQGRVTIRGRPDEEAVLCTPSATYAMKFVGTSNSMFLIPPGEPSAPSLRPDNTNGDAVAAAIKLAPGSIELIQTAPRLDKLRNLLRERPYVLDEDLGDRFQHKKGLYTWKDLCELVQASDSEMSDGLNSLSAVEIDGFWRTVDSSSLNTILDMILHNSVLHDWPLNALPENDVISVMESDGFMHKIVAHCLSIFGTKIDQEARSFWSLDVSRVCLQFARRALGAGKMKFGNFMDKWERSIPSGMRADLQMLEGEVLCEKLGAETWVHAFSVADLPLTPAERFAALFRERPKWEWKDLQPYIRDLRVPGVSSEGLLIKYTRRTQPSADADPIFTAR >Et_6A_046752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19997157:19999542:-1 gene:Et_6A_046752 transcript:Et_6A_046752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRYLSSAPNNSQVHNHVNTQQHGEPSQQIQHTKVHLNGGNMDSTMHATLTDEHIGGDVSSDGDGQGTCSETRSEVVQFPAAVHHMCPPLPFADSDTIGSMTPDPLDPNFVATQNLSRQDAYACLKILGKRKAVDGIYNSYNRNSYENNRQRKNNTLSVDDEMFDCNVACSDDKSVGHVPSEVDKQEEQNMAHDGIEQQYESETTDIGNQMSGIPTITYECPDFFDFRELCRFDSIAANQIWAMYDEHDFMPRVYAQINNVNASNHEVQLTWLVHNTTNEHNVKRAHEEFPVAFGNFCLGEIDVIKDPSMCFSHTVSWKKGKNNDNSFYIQPNKGEIWALYKESSMLQTSDAGKHRSCNYDVVGVSDICENIGVIVSPLIRIEGFVSLFAEAKDKSRILISSSELLQFSHSIPFYKTNGNEKAGVAEGFLELVTAAFLCDLVTAFPSISLDSYKDLNKKLVTEFIVGTIQFGAGRQVKILSKVRSGPSTGTEHLAGFLLVMIVVLNTLSAKSRSALRQPDVGKGVLEIPMKEKLRFSHRIPSFRLTKENGGQLRGFSELDQAVVPAAFLQKSVTHITAVDV >Et_1A_006520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23644435:23645751:1 gene:Et_1A_006520 transcript:Et_1A_006520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPWLLLLAAVPLFIVLLSGNKKNRANLPPGPVAVPVLGHLLWVRYKGMDVLRAIRRLHARHGPVLALRVGSLLQVTVSDRRLAHAALVERGAALADRPASASRHMLGLDAATIARRGPRRDRKTKLGDDEGGRALTDDEMIAMCSEFLNGGTDTTSTALQWIMAELVKNPAVQDKLHDEIKHTLASTGSVNPYVSEEDVQRMPYLKAVALEGLRRQAEDMELGGYTIPTGATVNFMVADMGMDERAWERPAEFAPERFMPGGDGEGTDITGTREIMMMPFGAGRRICPGLNVATLHLEYFVANLVQAFQWHAPGGEEVDVASKKAEFTVVMANPLCARLVPRGDVA >Et_3B_031587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5498128:5498746:1 gene:Et_3B_031587 transcript:Et_3B_031587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSFVLFISAAWVAVGLPLVFTAAADGQGGEPCSPAICGNVNISFPFGIVPDQATDTNCGAIGFQVRCSNNTPYLGYNQQGHWLQILDIFYDNASLLVADMHKLQDLDGSGTRSCRIPENNSSTRFALPFSISPFNQELIFYNCTEAPGRVQAGKGLVETRCQNDTFVRAGGRYDMESSGGYGSNFLEVLPSCRCVEDLAR >Et_2B_022335.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18236138:18236404:-1 gene:Et_2B_022335 transcript:Et_2B_022335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKEPCACILIILIVSTCGVALGEARKLKAETIPGGEVCASSACRRPAVLGGHAALTATKMASTDGRPTAPGHSPGIGNKIAGNTR >Et_2A_015467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13389886:13394293:1 gene:Et_2A_015467 transcript:Et_2A_015467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLAFNKKGTLVAAAGDDDGIKLIATIDNTISKVLKGHKGSVTGLSFDPRNDYLASVDSFGTVIYWDLCIGGEVRTLTRVAPTFRSDNSVKNVLCWSPDGQTLAVPGLRNNVVLYDRDTGEEVSTLKGDHEQPVCSICWSPNGRYLATAGLDRQVLIWDVKSRQDIERQKFDEKICSLAWKPDGNALLVINVMGRFGIWESVVPLTMKSPTEGTPELNSTIAPLFDDEDDEEKPSTSGGLEDDFDESLGDSAPFSHKRLKRKSAFDDHSNVDSEDEDLLHQLESSKRMKDKYKDKKEDVGKAKSDSAISGRLGTTRMQAAFQPGSTPPQPGMRNFLAYNMLGSITTIQNEGHSHVEVDFHDTGRGPRVPSMTDYFGFTMAALNESGSVFANPCKGEKNMSTLMYRPFGSWAGNSEWSMRFEGEEVKAVALGAGWVAAVTSLNFLHIFTEGGLQMHILSLSGPVVATAGHGDRLAIVSHASDCLPSGDQVLDVKVFNISEGAQSMSGRLVLTPSSQLSWFGFSENGQLSSYDSKGILRVFSSQFGGSWHPVFSSIKARKSEDESHWVVGLDANNIFCILCKSPHSYPQVMPKPVLTILELSFPLASSDLGANSLENEFMMRKLHLSQIQRKMEEMTTLGLDTTSYDDEAFNMEAALDRCILRLISNCCNGDKLVRAIELAKLLTLEKSMKGALTLVTRLKHPVLQEKFSAILEERMLNETRTSGAVGFCSDATIEKNPPFISARSVPSAKVLQTGDSVQDLVNNLINSSVTSKEEAGQPKEVKDSTQKVSSFTPLAKVPKNSETKRDRSGASNATALHQTQKGGAAQTGVENKGTEDSHRAEPQRPVNPFAKLSSSKEQSSSLLDSIKKMKVENEKADKANTKKVKV >Et_2A_014917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21694596:21695938:1 gene:Et_2A_014917 transcript:Et_2A_014917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLPGAAGFEEECREIHGACSQPRRLGLLLAPRCPSERQQIRATYRATFGEDLAATLRRTVMANQENEVKSTLCKLLYLWTLEPADRDAIMAREAVDGGMTVAGYRTLVEVFTRRKQNQLFFTKQAYMARFRRNLEQDMVTEPSHPYQRLLVALATSHKSHHDELSQHIAKCDARRLYDTKNSGAGSVVDEAVILEMFSKRSIPQLRLAFCSYKHIYGHDYSKALKQNGPGEFEESLRVVVKSIYGPSKYYSKLLQRSMQCAAQDKRLVTRAILGSDDVGIDEIKTVFKSSYGRNLADFIHESLPESDYRDFLVAVARGSSTS >Et_3A_025249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28284186:28284897:1 gene:Et_3A_025249 transcript:Et_3A_025249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPTSSQPFGEVAAGYNLKYLCVTGIRFHPWDQELIGYLQRKLRGEPQPTDTVNDADQDVYSEHPKDLGESTQLCSSLLVGKLRKSFEGTWYLFSPRGRKYAGGGRPRRSTDDGVGFWKSMEAKKEVRGGADGKEVIGYKRGLTYHVFVEKPVDLKKPWKLTEWKMVEFVSINTDRPPKENVANPMLVCDRSSCCFMLVLKLCFQHLQYLDR >Et_8B_060600.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3031139:3031558:1 gene:Et_8B_060600 transcript:Et_8B_060600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRGKGKKTIETASNNDDGGSGSEEAAPKRRGRPHQKPPKDDDADEMEDTAKQAHEENGDATMKPVVQTGQEDSMSSAEAGSKKKRRRRRLKRSADDSAEEDELGRVKSKPSNGFRQNGSRRKSTPRRAAEAGVECK >Et_4A_033047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17628249:17634407:-1 gene:Et_4A_033047 transcript:Et_4A_033047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPPPPQQQQWAMAAPPPPQYYQAGPPPPPHQYFQAGPPPPAMWGQPPPQAAPAPAPAPSSGGAGDEAKTLWIGDLQYWMDENYLYSCFSQAGEVVSVKIIRNKQTGQPEGYGFVEFGSHALAEQVLQNYNGQMMPNGNQAFKLNWATSGGGEKRGDDGSDFTIFVGDLSADVTDFILQDTFKSRYPSVKGAKVVFDRSTGRSKGYGFVKFADLDEQTRAMTEMNGQYCSSRPMRLGAASNKKNAGNQQQPSTATYQNAQGTDSDNDPNNTTVFVGGLDPSVTDELLKQTFSPYGELLYVKIPVGKRCGFVQYSNRASAEEAIRILNGSQLGGQNVRLSWGRSPANKQPQQEQNQWSGGYYGYPQGYDPYGYARPPQDPALYAYTAYPGYGSYQQQPPQQPPQQVKYISHCSGTTLVD >Et_8B_059088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13811097:13820792:1 gene:Et_8B_059088 transcript:Et_8B_059088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLWSSSSSTSSPTPADREPQEPRSPTPPPPPPPLVGALIESLSFRSCGFGRAAASAFEKEDLRSRAAFPSRLRAAVQAAMRARDPAAGAFAFDGGEGAGGGGADATNPWFDAVAHDDAPESPLVAFVNPRSGGRLGPVLKARLQELIGEDQAALVTSGRLRRSAELHDALIRALSRSARPHLALPLYAHLLRSGLLPTPHTLPSLLKSVALSPSAAALALAVHAHAVKLGLDRFLLVSNALIRVHAGLLGRLADGLRLLRTAAAVDAASFNTLVTAHARAGRVADARALFDEMPARNAVSWSAMVNGYVQAGDGREALAVFARMQAEGVLPDDTVLVRVLAACAQQGALEQGKWVHGYLRTNGIRITVFLGAALVDMYTKCGEVQLALEVFEGMKEKNVLAWTTMIKGLAMHGRGSEALALFSQMESSGVKPDDIAFIGALCACTHAGLVDRGRELLNSMVRKYGIKPKIEHYGCMVDLLARNGSLNEAKQMIEKMPMEPDALIWGALMAGCRFHKCVELAEYVAKHWIVLEPDKSGAYVLLANIYAASGRHASARDIRHLMREKGVDKTPGCSTVEIKGVIHQFIVGDLSHPRIKDIMAKWHEIDSRIRLEEGYIPDKKEVLLDIEEEEKESALSRHSEKLAIAFALISTDDNMPIRIVKNLRVCHDCHHVTKLISKVFDLTVVKPSDFVEYGLACLEQLADSGDHSARSVRHNLRVMVAGGDGTVGWVLGCLGELYVQNREPVPPVAVIPLGTGNDLSRSFGWGASFSFSWKSAAKRSLYKAIFGSVSCLDSWHVVVSMPEDGVEEEEELDLPHSLRHLGECTFYDDGTAEGELPETVSCFDGVFYNYFSIGMDAQVAYGFHHLRDEKPFLASGPLSNKLIYAGYTCKQGWFFTQCISDPELRGLRNIIRLAIKRMDSSEWENIPRGFVEAQSDDGLLEIFGLKQGWHASLVMVELISAKHIAQAAAVRIEIKGGQWRDAYMQMDGEPWKQPLSSEYSTFVDIKKVPYPSLIINGGDR >Et_4B_036456.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24912114:24912482:1 gene:Et_4B_036456 transcript:Et_4B_036456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAVSCQCCGLEEECTGDYIGGVRAYFGGRWLCGLCSEAVKYEAGKCSGAAADVEEAVRAHMAICRTLKSGGPAGRVAAGMRQMLRTASVKRTTSSSTSSTSSPSPRGERHRASPVSVGL >Et_3A_025567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30856464:30858409:1 gene:Et_3A_025567 transcript:Et_3A_025567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQPQLEKKSLRARAQFSRKTVAALCFTSFVVGLLFSGKVSLMPESATSGSRDGATIRTSDCENKRKHGENQPRDLLNEVSRTHLAIQSIDKAVSTLEMDLAVQRARSGAGTSKPPQKAFVVIGINTAFTSKKRRDSLRETWVPRGNACWLAVDLGRRNDTPRATITTRCNRYAGDKLRRLEKEKGIVIRFVIGHSGTPGGGGALDRALDAEEAETRDFMRLDHAEGYHELSSKTRIYFTTAVATWDADFYVKVDDDVHLNLGMLTSRLAKYRTRPRVYVGCMKSGPVLSQKGVKYHEPEYWKFGDEGNKYFRHATGQIYAISKDLAAYISINQPILHRFANEDVSLGAWLLGLEVEHVDDRSMCCATPPDCEWKKRAGNVCVASFDWSCSGVCKSVERMRHIHQACGEGEGAVWNVEI >Et_7B_054629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:348327:353501:1 gene:Et_7B_054629 transcript:Et_7B_054629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGGADEELTAQETALYDRQIRVWGVDAQKRLSKAHVLVCGMNGTTIEFCKNIVLAGVGSLSLMDDHTVTEDDLNANFLIPPDESIYGGRSRADVCCEALKDFNPMVRVSVEKGDPSLIDGEFLDKFDIVVVSCASLKTKLFINDNCRKRSKRIAFYAIDCKDSCGEIFVDLQTHNYIQKKTGGEAEQQELIYPSLQEAISAPWKSLPRKTTKLYFAMRVLENYESSEGRSPGETTLSDMPAVLARRKDMCDRMAFNESQIPTALIERLLAAGKKEHPPVCAILGGILGQEVIKSISCKGDPIKNFFYFDAADGKGVIEDIPAPAS >Et_3B_028024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32149585:32150655:1 gene:Et_3B_028024 transcript:Et_3B_028024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVVAGALAVAVVALLGVASAADVGNLQQARVAPGLSLGFYRRSCPKAESVVRSFVKEAVRRDVGLAAGLLRLHFHDCFVQGCDASVLLDGSATGPGEQQAPPNLTLRPAAFKAVNDLRARLDRACGGGASVVSCSDILALAARDSVVAVGGPSYKVPLGRRDSPAFATQEDVLDGLPPPTAAVPELLAALTKINLDAADLVALSGGHTIGLGHCASFEDRLFPQPDPTLNATFAGRLRRTCPAKGADRSKYYVNLVNREGLFTSDQDLFTNNATRPIVAKFARDQKAFFDQFAFSVVKMGQINVLTGSQGQIRKNCSARNPAAALPWSVVVAQDAVDLLV >Et_3A_026504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8869291:8874132:-1 gene:Et_3A_026504 transcript:Et_3A_026504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAHAAAAAASSAALWKRGGGEGGSCNGCRSCRDVVRRRAAAVRVHAAAPRRVEAVAMGFALIWVSGYPLLRDPHHNKGLAFTEKERDAHYLRGLLPPAVVSQDIQIKKFMHNLRQYQVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRQPQGLYISLRDKGKVLEVLRNWPQRNIQCLPITIDVGTNNEELLNDEFYIGIRQRRATGQEYHELMEEFMNAVKQIYGEKVLVQFEDFANHNAFDLLEKYRKSHLVFNDDIQAGTGIAELIALEISKQTKAPIEECRKRVWLVDSKGLIVNSRKDSLQSFKKPWAHDHEPLTTLLDAVQSIKPTVLIGTSGVGKTFTKEVVEAMASFNERPVIFSLSNPTSHSECTAEEAYNWTQGRAVFASGSPFAPVEYNGKLHVPGQANNAYIFPGFGLGVVISGAIRVHEDMLLAASEALAEQATEENFEKGSIFPPFTNIRKISAHIAAAVAAKAYELGLATRLPPPKDLVKYAESCMYTPIYRNYR >Et_4A_033100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18520598:18524741:1 gene:Et_4A_033100 transcript:Et_4A_033100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNKVLVRAAATASSSSAPLPPFFLRQLSLPNAIPIPAIDLDILCSRLSRRHRLACLPQAPADVLLVYQRCQSHEADAAVAEVAAGFPGALVGEEEVLECSGELVAKAVECGLRSLMLENGWRCLGETIYVCSTFAESEEKTDLCALNVEIRLGRNGDFEFVVSPDAFRFATHKISDADGLETFQPRNDVVLDNCNRVNVCTTLPALQEGHVIGYSKILPSEQCLDKFMELCSLKHGLDTSCNYHVAVKLRYGTSLEAQWLPSSLVLQGPGLQPALKSVRPSKAMSSLQSFAKLLSAWNFFGQNQLVIKEQLLLNCTAALPTWDKATSNLTIHTAKTDNSRDQSYIGRPNLVATEQSFVLEFHTPKPPILCSLSVKSLDAKGHKITHSMDENDDFSATSPIQYGYQSKPPVVTESCESQVTIFKPSFSRKKPAERRKMGYSLENPDVHNSNKSSHPDPASSLANHVSSSSASLPMSVTQVWTQSAWPIE >Et_8A_058219.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:2761925:2762185:1 gene:Et_8A_058219 transcript:Et_8A_058219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVHPTGDHRSAAEQEIRARTRAELAFMQMMHGAPPSTLILPPPVASEVHNNGGRLLAVRHEEGEEEEAPPMDPDELVPRPRRTF >Et_3B_029958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29392901:29395856:1 gene:Et_3B_029958 transcript:Et_3B_029958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENTTSRQVTFCKRRNGLLKKAYELSILCDAEIALIIFSGRGRLYEYSNNSIRSTIERYKKASASTSGLAPVIDVNSHQYFQQEAAKLRQQIQILQNSNRHLMGESIGNMTVKELKNLENRLERGIGRIRSKKHELLFAEIEYMQKREADLQNENMYLRAKVAEADRAQEQAAEGHEIVPATAGGGATELQALPASFDPRSYYQQVSMLAAAAAASASSSQYSEHPGQEHHQTALHLGYHIKVDAAAGKGLI >Et_7B_053564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:180364:182978:-1 gene:Et_7B_053564 transcript:Et_7B_053564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRNGVVIFPLFLCVIVCITAELGAANVVLMGKNLTSSFDDIEASFAPGVKGTGISGVVYTSEPLNACTPLTNKAIKGPPSPFALIIRGGCPFDEKVRNAQDAGFEAAIVYDNENSGVLVSNRPQVPEAREFHGMSSQLVKAMPSLIFTKVLEDNCTSSMCAICLEDYDVGEKLRVLPCRHKFHAACVDLWLTSWRTFCPVCKRDARTGVSDLPASETTPLLSSAVRLPSRSSSFRSSVAASPPRPISRRPSTQSVSRAYSVSSTPHSPNPLRSYTNSPAIGISRSNADLRNMSSPHPRISHISSTHSLVGSHLSPPISIRYSTPHMSHSVYGSPSPHVSSSYVSNSGYGSSSYYLGSSSQHRSYLRRCGESGPSLSTLGPQSPQQSQLPHGESEANLAGTSSAQSFRQSYLRHCGDSDASLSDMTSAQSLPGC >Et_6B_048596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11232939:11233197:1 gene:Et_6B_048596 transcript:Et_6B_048596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIHLEDMLLDQNANPIDLPVTVLKAITKDFCDDLRIGEGGFAVVYKLSSAKCNLLTPDCKDCLETVDVSP >Et_3B_031294.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24676812:24677315:-1 gene:Et_3B_031294 transcript:Et_3B_031294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTDQQQQRREEDGVVEVPEIDDDLLVELLDASLAASEEQSPQVDFAADVDGWVANQELNSIHPHHDCEDCGLDGGVLSDFELDGCGCSRSPLPYVVFDDDDDTVQWTEAAEDALGPFTGACMGEWYMDGMAMEWEEEDEGEGFSFGPYYGGEAAMEQVYGSPLWE >Et_10A_001776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8053788:8059077:-1 gene:Et_10A_001776 transcript:Et_10A_001776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFIYLTPAVAATGKSALPFSSSFPLLLARAERSDRAALILTVVAMFACLCVDAGDGSLLQAALDGNLRRLKGIIRNLGMGPAAVHSVIIDGFGVLHCAAAHGHLEVCKYLVGELGGDPNMAAGVTPFMFSAQSGDVCTVKYFLHHGGDPMKADAKGRSVLHYAVCSGNCKVTEFLLSKGIPVDIDCGSGTPLDHAAANDQDKTLKILLDHNANAGADVHCKGSLASPLFFATGQGGYTDLITFLLKDGADPNVPDDMGFLPIERAALRECREEIKMLLPLTSPIPDVPNWSIDGVIAYTKFKSTGPLDEEVVEKRLAIFKSQTNDVFRQKKYAQASKNYTLCKPDAKLYSNRSLCKLKMGDGKGALSDANQCRMLRPDWAKACYRQAAAHMLLKDYKKAYDTLLDADKLDPGNDDIERELRKAMELMKLSPGQ >Et_6A_046417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14700047:14710660:-1 gene:Et_6A_046417 transcript:Et_6A_046417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDVNSPLFRSFLSQKGGADKRKMEEHKPKEQRPKANENKPVMNEFYGLAGKRAMCDPAADM >Et_1A_004731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35173223:35173778:1 gene:Et_1A_004731 transcript:Et_1A_004731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLLGLKHMHEKDIIHRDMKPSNILIDSDSDCVVGKICDFGLATYYDEAVTTWCGMPHGTYGYMAPEVYELKSSCTFESDMWSLGALMYEFITGCPLISGRDSTGTITRMRSLFGSPDKCT >Et_4A_034593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4593967:4596393:-1 gene:Et_4A_034593 transcript:Et_4A_034593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKVMEALVASEALVFLNEPRPHMSSSIILKLVLGLLWRIIHLAISIFNFWSHLIYNLECYLISSGLLWKYRDLNLGKLKYLAIVVDSKEAKNIAKIKRLLYWLSTIGVKYVCLYDIEGVLKKSFDPAMSGSRDGNLGEYLDIGANIKDARFGHKKMVIECISGSDGKDGIAKAASLLCSAYMNGDTQENGKRDPRFTEADMASALKAVGCGGPEPDVLLMYGFARCHLGFPAWRLRYTEIMHMGPLKSMKYGAVVKALYNFSKKHQNYGNPWFSNFALFSSEITIIYN >Et_2A_017942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8083757:8085579:1 gene:Et_2A_017942 transcript:Et_2A_017942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLRLVGFVAPTADPDRASSSNPGAVAESTEEREGEAKRPEPLRREVTDLGGGSELVLVTRFASRETAWDWFDCLDKTIPWTRPSIRVFGRSAAQPRDSCYVADKGLTVLKYSGHQPHAHSWDEFPVLKDILKAVHAALPGSYFNSLLLNRYKTGSDYVSWHADDESLYGPTPEIASISLGCEREFLLRKKPTKLQVASGSGEGAKKRLKVTQQQHSFLLKHGSLLVMKGYTQRDWQHSVPKRAKASSPRINLTFRRVLT >Et_2B_020853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24248854:24252945:1 gene:Et_2B_020853 transcript:Et_2B_020853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSVFSKSRISPSPARRHLRLHCLLPCSLHTSTLHRPAAVGATLAPSPPSSDPHLSSPRPSHDYYTATLRSCIAARAVRPGRQLHARLLVSGLGFHAALTTRLVDLYASSGHVAHARRLFDEMPQRSVFLWNVLIRAYARDGPREAAVQLYRAMLESGMEPDNFTYPPVLKACAALLDLDAGREVHDRVARTRWFADVFVRAGLVDMYAKCGCVDEARAVFDGTAVRDAVVWNSMIAACGQNGRPTEALALCRDMAAEGVGPTIATLVSTISAAADAAALPRGRELHGYGWRRGFGLQDKLKTSLLNMYAKSGWLRVARILFEQLMHRELVSWNAMICGYGMHGHADEALELFSKMRTEAQVTPDNITFVGVLSACNHGGMAKEAKEFFDLMVNVYSIKPTVAHYTCLVDVLGHSGRFDEASDLIKGMSVEPDSGIWGALLNGCKIHKNVELAELALQKLIELEPEDAGNYVLLSNIYAQSGKWEEAARVRKLMTNKGLKKIIACSWIELKGKSHGFLVDDTSHPRSDEIYEELERLEGLMAQAGYVPDTRPVFHNVEDDEKRNMVRGHSERLAIAFGLISTPPGTKLLVTKNLRVCEDCHVVIKLISRIVNREIIIRDVNRYHQFVNGECSCKDHCSFKI >Et_1A_008701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:174984:176653:1 gene:Et_1A_008701 transcript:Et_1A_008701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAAAAAALRRSPALLLRRQLLVRLLSTQSSPPQKSPAEVSRLKSSIRNAATTPDDLASLFLSGLPNPAFLADRPIFSLSVHRLASAGRRDLVASILSSSLTALPAPHPSEGFLIRLISLYSAAAMPDHSLTTFRLVNPPSDRALSALLSAYHDNRLYDRVVQSFNSLPAELGIKPGVVTHNVLIKSLVASGDVAAARTVFDEMPDKAGVQPDIVSCNEILKGYLNTGDHAAFDEFLKEITGPERRLKPNVATYNLRMAALCAKGRSFEAEELLDAMGAKGVLPNWASYNTVIKGLCNEREVGAAMALFKKMPEVPRQHGATGVSPNFETYIMLLEALVNKGVFGPALEVYKECLQNKRAPPFQAVKGLVQGLLKSRKAKQAKEVLVAMRKAVKGDAKEEWIKVEAQFPALLADNKVVSSCM >Et_3B_029861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28598682:28601842:-1 gene:Et_3B_029861 transcript:Et_3B_029861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYEKQQPQLNAAYYGPAIPPHQPYYGPPPPARPRRSGASCLFCFLFKVIAVAVIVLGAATLVLWLIFRPDNLKAYADSAALSRFDLGLGDDGRELLQYNLTVNIRVRNPNRFGIRYDYAEAQAFYDGDRFGFDPLNPFYLDSKGDGKITATFNGATVVNDDDVQRTYRRETREGFYYVKVKVYSDLSFKVRAFRLHNYKSKITCVLRLPVPAGGNASSTALTSLGTRYTYTPSTIDLSCPSTGPATNCETAMASQEHKIEHLDQPYYGPPIPPTDDPGARRDTYRLFCWAFRALTLVLIALGAVALVLWLVYQPSRLKAYVDSAALTRFDLDVDNNNGTRLRYDLAVGVSVRNPNRRQAVLYTRLEAVALYGGERFGYAGLPRTRQERKSTVQMRPSFTGQQAVVLGGAAPATFRREKAEGFFNINVKLHTRVRLKVIIVNSVEYRPDVDCYIRVPDPTNATAVALGFTPTQCHVDDFS >Et_2A_016939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29783696:29785585:1 gene:Et_2A_016939 transcript:Et_2A_016939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VAGYFRIIINFVAFAVCFSNRALFAILYFISFVLDGVDGWFARKFNQASTFGAVLDMVTDRVSTACLLALLSQFYRPGLVFLILLGLDITSHWFQMYSSFLSGKTSHKDVKHTGNWLLKLYYGYRPFMAFCCVSCEVLYIMLFLFADEKSTSLLSVCRGLLKQSPLIVLLFISTLAGWAVKQITNVIQMKTAADACVVYDLKRSK >Et_3A_023888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14013972:14017614:1 gene:Et_3A_023888 transcript:Et_3A_023888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPRLVLFGDSITEQSFRPGGWGAALADTYSRKADVVVRGYGGYNTRWALFLIHHIFPLDDLAPPIATTIFFGANDAALLGRTSERQHVPISEYKENLKKIVNHLKDCSKSMLIVLITPPPVDEEGRERSLYGEDARKLPERTNEMAGVYAGQCIELAREMHIPCINIWSKMQETDGWQKLYLSDGLHLTPQGNAVVHKEVVQTFRAAGLKPENMSYDFPHHSNIDGSCPEKSFQ >Et_4B_036773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11402715:11407448:-1 gene:Et_4B_036773 transcript:Et_4B_036773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGSYMAIWSVITWPGRVLSRLVSVLIVVRAFLCAGSCVDLVGAAVFLGPAPLLGCSVRLATKRLAVESWTACRMESPDLENNGDQHSSEASLASDVIYDDLLVCPVGREHQAEIPNLATEDERRELMTSSLSGSTFTGYGYPIAVGLALPITWTSPCEVEKKKEEFPLQTMLETEARISLKDVGSQMNSIGPASSNTIKCDPINGNPHTGVPVVQCDSDSNHAHDEKLASCPTQESLKWADCRKAKTKRCILGERIFQGSRLPELTSRLKSKIPKEAHDLLIEIFRSFSASQTSFEEFVFTLKSTVGPEAFVEAVGIGKGKLDLTGFVTDQSKPSNPDLPTGKDCSSLASEDIIKFLTGDFRRSKTRSNDIFWEAVWPHLLAKGWHSEQPKDVSKTKNCLVFLVPGVKRFSRSKLTKGTHYFDCVSDVLKKVVADPVLLELEADGIDNGFPAEKNGYTTDMALSQDSPLDGYQELPKFTIIDTSLVEGEEPFKVRELRNLPADANINFVFQHHLSKTVSDSSSEEQDASDGLSDDQGASRRLPADVKEIEMAPADSLQNMMTANGHSSNDRDDKIDLTSSYDLKTKTERRKYLSPVSKRRKLASCSNEQTSRRAFSFSKGVDLEKEKIKPVSTSSKPAAADAGESSQTKILASCSTKEKPSKQKMGAKNSFVNDGANEKMSMEDAVAEVRSKINVTETKFAKKRAQVNAAIKSNKQETHDDAKTSGSIHITSSGNHGGMKAGEAPSISNSSMVNDTSEETQKGQVSPQPDPANPRRHGTRNRPPTAKALEAVAFGLLGSGKRKGDPKNTVTNRPSQRARKATKDSGSMAASGEAENSMRATTDSSSTAATGDAEKSRMNAETQQ >Et_1B_011012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16497736:16502684:1 gene:Et_1B_011012 transcript:Et_1B_011012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAISSIAGDGAPSASTSAIVAGATSGYHELRIDGYSRTKQALPNGKHVESRPFSVAGHTWVIRYFPNGDRRDSADYISLFLVLKSSSAEDVLVQFVLSFIDQVKTHAPSYVRTASASRFSAKSCSYGRTEFIKREDLERSEHLRDDCFTIRCDMIVIGELQAEDTVASPSVVPPPDWPQHFRALLMSEQGADIRFSVGGEVFAAHRCVLAARSPVFNAKLFGGMKEGTNTEACIRIDDMMPQPCRTPLPPPWPRPPPSPPAARSVTTCSRSRATRPPRPPSRSTGERIESRPFRVAGRAWAIQCYPNGDRAEASDYISVYLVLKDRVAGDKGVTARSCFSFVDEVGKQRPSFLRALAARKFVANDPQYHWGFYKFVKREDLERSSTRLKDDCFTVRCDMIVLGETRVADAAPAVVFAPPPPDWPRHFRALLRGGQGADVRFVVESKTFAAHRCVLAARSPVFSAELYGTMREGAVVDNNNTNSAVRIDDMRADVFGHLLHFIYTDSLLQEEETAADQPARQPREEEEEEEATSMAQHLLVAADRYGMERPKLICEDKLCKHVTLGTVGTTLVLAEQHHCKRLKDACFDFIKAHKKLDVVVATDGFQHLAKSCPFVLFELIAKLGDR >Et_3B_029030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21516895:21518433:1 gene:Et_3B_029030 transcript:Et_3B_029030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNFGDSMGWSNSGRSPGSSRKGRRGGGSSGADKPKQPQRGLGVAQLEKIRLQSEMAEYFHPLGQPPSLIHRTGSLSLEDARTSTSSLSSSPSSSLHATTVSSPFPIHPNYAMAYGERGDVRYGEFQTPIIRSPSSSAIYGAPHYAHPGITLPLFEPEESARLKGHHDRSRSADSTSMNSDDPQDVDLELKL >Et_6B_049806.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:13092692:13094205:1 gene:Et_6B_049806 transcript:Et_6B_049806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITASEAARKGAGTPRLHGHAAAGRGRDALVFAAGAAAAVALVVLLAPAPSLWPGGSAPFPAAAAPESSTRTFYDDPDLSYAMDRRVTGWDAKRAAWLRSRGLDPGSPSVTSRVVMVSGSKPEPCRDSGGDHLLLRFLKNKLDYCRLHGIELLYNRNLLHPGMPSYWAKTPAVRAAMLAHPEAEWVWWLDADAVFTDMDFALPLDRYADHNLVVYGWPKEVYERRSWLGLNAGVFLIRNCQWSLDLMDAWASMGPASPAYARWGKTMKAEMAGKPTEESDDQSALVYLLSGDPERWGEKTLVASDYYFQGYWVGIVDQLDGVAARYEAVERRDQAGAGVGLRRRHAEREHLRYAAARNAAVKEAGVPGPDGGGEHGWRRPFVTHFTGCSPCDGRWRNPVFSPESCDAGMRRALDFTDDQVLRAYGFRHAALGNDSVRPLPFDYPAAAATERNR >Et_10A_001173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21915794:21917930:1 gene:Et_10A_001173 transcript:Et_10A_001173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLKKVAHAGGVNRIRSMTQKPHICATWGDTGHVQVWDMSSFLNSLADSGTRAHNEEDIIHKHLPLKVFSGHKDEGYAIDWSPLVPGRLISGDCNSGIHLWEPTSSNWNVDANPFVGHSKSVEDLQWSPTEPDVFASCSVDKTIAIWDIRVGKTPCIQFKAHNSDVNVISWNGLASSMIASGCDDGSFSIHDLSLLKGNGDTLIGHFEYHKKAITSIEWSPHEASTLAVTSEDHQLTIWDLALERDAEEEAEFRAKMKEQANAPDDLPPQLLFVHQGQKDLKELHWHPQIPSMIISTAADGFNVLMPSNIDTTIPGAEPSNNDTTMQSDDP >Et_8B_060518.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:19762692:19762922:-1 gene:Et_8B_060518 transcript:Et_8B_060518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRWFLYLAADDCAERTHSLRRIDVSRFFFHASTSSEAEQQQPTPLDASGGAGAADLPAVNDGGLPPQSTCAIRG >Et_2A_018512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31104686:31107929:1 gene:Et_2A_018512 transcript:Et_2A_018512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQIGSGMYVSGPLPDRKKERQLGSAATPPYTGGDPKRSGELGRMFDITGASHSQAPSPASSSRRSSGPLPRPSPASGPLSQLTHPGLLVGPSPSPAHVASARKGSSSSSRRSAGKEVEAAAGGAAVARGKARLGVPCVWYVLVAVAAAAALGAGVFFLVSGGRWPVLAAAGGAVAVVAAAFVSNVCRTGAEAERFLRRFPDTGFDQADMPIGELVKITGQVTCGRNPLGASFHDAARCVFTSVQLFERRGCVRCCSRWQLRHSEARVTNFYISDRNSGKRFYVRAGEGAKITPMIKRKTISFDGDTKGTSRHLKNLMASYDFSCDGDVRVKEGFIREGETASVIGVLKKQHAYDIVDAPAGVISTGCQLTRCMFPVLVEGLILIGSEDPDEDLQDFSFLQRRNVYQLYGQEYRMVRDLEAGVQQRLV >Et_2B_022815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5870930:5871993:-1 gene:Et_2B_022815 transcript:Et_2B_022815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEWYLALVPVGEGKMQRSLAALVLWAIWKEINNGVLDRDPKTVLGLITVLKDEARQWLLAGAKHLEPAIVMQTSENAPFYDIESTPGRNRLSTTKTRQTCLPAWSSEGKQEIHASRETGGEMD >Et_10B_003222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17987201:17987793:-1 gene:Et_10B_003222 transcript:Et_10B_003222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARAYAVVKRFMRKESVYDKIAEGLYLGGWPFLLKHLPPGIPSVIDCTCQLPRSSFVPPDEYLCLATWDTRAPALDQIEVATRWACDKISYVHCAFGHGRSACVMCTVLVVLGFAENWKDAENIIRQRRKIKMNVLHRKNLEDWSKHRVAKKKEN >Et_5B_045545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4065429:4072014:1 gene:Et_5B_045545 transcript:Et_5B_045545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDTIIHFSHPEHELVKQHYTWSFGCDFCLEDLSGSGYGCRSGCNFDIHESCAGHPLTLSFAPLHAHELMLVQIQRDELVCDICIGQCVPGSFLYRCLPCGFDIHPRCTALPLAAVRSSLHPEHDLTLVIADGSSCAACHKGTPGHGPRGWFYSCSACNVDLHVSCASGGGHFRSMRQQQTLQNASDHVSPPDPEGKYEALEKHGKDLTAMARQGKIDPVIGRDDEIRRCIQILSRRTKNNPLIALDMGALIAGTKYRGEFEDKLKAVLKEVTDSDGQIILFIDEIHTIVRAGAVEHGAMDASNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTISILRGLRERYELHHGVRISDSALVAAAILSDRYISGRFLPDKAIDLVDESAAKLKMEITSKPTALDEIDRAVLKLEMERLSLTNDTDKESKDRLSRIEAELSLLKIKQRQLTEQWDHEKSVMKKIQSIKEKIDRVNVEIQQAEREYHLNRAAELKYGSLNALQRQLQATEKELDEYQNSGKSMLREEVTQEDISEIVSRWTGIPVSKLKQSDKEKLLYLEEDLHKRVVGQDPAVKAVAEAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALASFMFNTEEALVRIDMSEYLEKHSVSRLIGAPPGYFGYEEGGQLTETVRRIPYSIVLFDEIEKAHSDVFNIFLQILDDGRVTDSQGRKVSFTNTIIIMTSNVGSQYILNMDEEDKSSDSPYENIKRRVMDAARNVFRPEFMNRVDEYIVFKPLQREQINNIVKLQLARVQKRITDCKIKLDVSPGAVEFLGSLGYDPNYGARPVKRVIQQYVENELAKGILRGDFKDEDNIFLDTQVTLPSNGHLQQQNLVFRKVGEESKPADEDEKFFPFDHYFRDQPAPLQKHLKHLLDE >Et_1B_012134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29265997:29268911:-1 gene:Et_1B_012134 transcript:Et_1B_012134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPDVEMELEQSPPQPPPAPTPEAGEGWNMLSRARALLEEGKPSLALQAVLLAIRSQGGEHALIHTLNRARELYAQRSQATPNVDELASLLAQCAIAEAQSTNANPPQGPGSDPVMMLDSDEACILAESGRKQIILDAFADGSSFICLKCGGLFSTSRKDEHLAYWCGMA >Et_10B_002871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12982395:12986549:1 gene:Et_10B_002871 transcript:Et_10B_002871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAIKNDFFLSARPNTHLSTVNSNRRRRPLPLPPPPPLANSSALVFLRRRLRLLFFSAVAATSAFGSTPSPYRTLPLSGHNAAALTCMTGSSKKHELKSKQKLEKKLSFYTKVKDAVTTLNANKTISKHGISRTRGSRLRGCILSDKRVLGKTISQSYVLKTVSMSKTTAFVTLEEFYYDVHSGCILFLALQKKKQRTRSRQRKLKAYDLSVLGEFLPESAAPEQKPEAKLNCKSRQALVQREAAQLKAVLNNPQFQLDPFASIHQHLLATQRPDAAKMDASAKHGKDSKDKKRRRKKNASSGSQSMEI >Et_7B_055656.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:234296:235510:-1 gene:Et_7B_055656 transcript:Et_7B_055656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPGRSAAAREAKKKQSRQCTEPESPSYRLALRSIFSCRNSTSAPDSRSKNKQQLSCSSAPSICKVKDNDSRLPASKSKTEEASIAAGEPCKRRASVSGGERCVKKPLSEAASSLNKLRGVGSSFRAGMQLRRLSGCYECHMVVDPIFRDSSMRTICPCPDCGEIFVRQESLQLHQSIRHAVSELGSEDTSRNIIQIIFQSSWLKKQSPVCRIERILKVHNTARTLARFEEYRDAVKAKAAAASASGQGHPGRSGGGGAAFFYPRCAADGNELLRFHCATLACSLGLHGATHLCDSSACAACAIIRDGFRAATNGIRTMATSGRAHDAIATGEEEERVRAMLVCRVIAGRVKRPQQQQQEEEDEGTSEFESVAGSAGVYSNMEELQVFNPTAILPCFVVIYKA >Et_1B_013758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15611051:15611516:1 gene:Et_1B_013758 transcript:Et_1B_013758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQATPKSDVFSFGVVLLELLTGIKPSDPRLRSVINGRKTPRVDPKLGKQYPLTRACKLAMVAMQCLQQRPASRPSMATVARDIEFGVVREETAAVQGCSGTALESGSASS >Et_1B_010604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12017990:12020796:1 gene:Et_1B_010604 transcript:Et_1B_010604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFKDDKRVADANFSGEGTGGGFFIRRVESPGALAVRGVAGKHRRRRFISSSNNKENMPPVWAVRATPSKRRSPLPEWYPRTPLRDITTIAKAIQRSRLRIAAAQQQSQRPEQSPESVNLTTPAQTEQDVPLSAEASLAVASNSGSTEKENVASSATILAEDNLKLLSSPAESSSKTASKPNDPVVAGIVEKKLSSSIEQIEKLVKKNMKREPKADQPSKRVTQRRNLMSMR >Et_7B_055179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8053071:8055223:1 gene:Et_7B_055179 transcript:Et_7B_055179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARVVDLRSDTVTKPSDAMRAAMAAADVDDDVLGADPTAQRFEAEMAATMGKEAALFVPSGTMSNLIAVLVHCDVRGSEVILGDNSHIHVYENGGISTIGGVHPKTVRNNPDGTMDVDEIVAAIRSKDGALLYPTTRLICLENTHANVGGKCLSVEYTDKVGEIAKSHGLKLHIDGARIFNASVALGVPVDRLVKAADSVSVCLSKGLGAPVGSVLVGSKAFIDKAKILRKTLGGGMRQVGVLCAAAYVAVRDNVGKLADDHRRAKVLAEGLKKMKQFTVDSSSVETNMVFFDIVDSLITPDKLCQVLEKRNVLTMPAGSKSVRFVLHYQISDSDVQYALTCVEKAVEEILTGGGKLEHLANGATKNYYGH >Et_2B_018909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15754511:15755905:1 gene:Et_2B_018909 transcript:Et_2B_018909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TDSGASVAEATYDKGGRHDGYTEQGRGHDEVDDGAGRPEVVSLEHGHVAVEAVVAVLVGVLEEARVVVRPGVEERAPAEAVEERRGGLVVGEHVVEDAAALEHGDVGGGVDERVHEHHVAGDDPFLPVPGALLQREQVGQQDPRAGVDGQARDLHRAAAERHVELAPRRGLLPERHAELLQRRHARDGQRRLRCAAGDHPRARGRAARTPARKPPAALLRGRYHPLVLEVEQVVDGEMARCRDLAQGLVQAEEFEGEIEKPLVHY >Et_9B_065169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21832656:21836266:1 gene:Et_9B_065169 transcript:Et_9B_065169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQQSVNAGKAKVDVNVDLTHMLCEALLPPPLRSSGMSFSQTVGRISLKHPSLFGRSEKLDVILDKGINDSNVVVAFRRPRPEWLSQQSFVFQHSMTPEIAVHGFPADNFTRSGSRGINLSRFSFGLELNEPATSNWTSGTSVKFEHIRPVNNQGRSIARDHDGFPLTSSGNLHDNMIILKQESGYADVNDNSFLKANFQMEQGLPLVPKSLTFNRVKCAVSKGIKLGPTFLVASLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGSGRLCLVANCEYTIPLAKHLEGSVFMDCGSDLGSARHVPGNPALRQGKPGFGVGFGYGLHFNTDLGQIRVDYAVNAFVRKTIYFGINGGGGT >Et_5B_045582.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:4970029:4970880:1 gene:Et_5B_045582 transcript:Et_5B_045582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTGTKESHADADTDDFEFCILPSGALSQAGAGAGAEKDMCVADELFSDGKLLPLRPSSAAATDGPTYVLLPRSESAASTAGFGSRSDSRSASSSGSSSGSVSRSQSSKSGGSSDQVAAAPPRRSLSSSVFYAHPSPSPQLRWARPRRSTGSAPPPPPAAWGILRLGVVGAPEVYPPRAAELPKNAAAAAVARGGGGGSRSARFERVSAAVEKKLGLGGLLGDGLGCKCSPDAIEPVRLPATAKKKKKVQSGKKDGVKSGGVRRSRILDWFEELSVVAKEKK >Et_6B_049599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7057870:7063410:1 gene:Et_6B_049599 transcript:Et_6B_049599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAPILSRIGLSLPPAAMRRTRAASSRLVRPDLNPADFVKVIVWSMKHQFNAFSGVREVKRESSASFDISKSESVASVKRKRVKQELEVNGENSKKQVAIVPDIEDFRYDKNKVSTSSNKAAPSLIRLEKKVRVSSVVRVGAPENWEAVLRGIKNMRLSGQAPVDTMGCEKAGSLLPPKEKRFAVLISTMMSSQTKDEVTHAAVERLAENGLLDPEAIVRTDEVTLANLIKPVGFYQRKAQFIKEASKICLERFGGDIPDSLNELLALRGVGPKIAHLVMSIAWKNTQGICVDTHVHRISNRLGWVFREGTKQKTTTPEQTRMSLEKWLPKDEWEPINPLLVGFGQTICTPLRPKCGICSISSICPSAFKESSSPNPKQKKTRSP >Et_8A_057794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7307556:7309232:1 gene:Et_8A_057794 transcript:Et_8A_057794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRQAYKVDRDNPGSDLVGETAAAMASASIVFRRSDPHYSHLLLHHAQQLFEFGDKYRGKYDSSVAEARRYYGSVSGYGDEMLWAALWLHRATGRAEYLDYAVDMADEFGGTGWAITEFSWDVKYAGLQILAAKLLLEGNHRPEHQATLEQYKSKAEHYLCACLGKNGAAGNVNRTAGGMLFVRQWNNMQYVTNAAFLHTVYARYLAAASSSSNSGEPPLLNCPDGPARADELMALARSQADYVLGANPAGVSYLVGHGRRFPRRVHHRAASIVSHRADGRFIGCVQGYDHWYRRPGANPNVVVGAIVGGPDHRDRFRDRRDNYEQTEACTYNTAPMVGVFAHLHSEVAAERRHRVCVYRDLGM >Et_5B_044696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4849536:4860429:1 gene:Et_5B_044696 transcript:Et_5B_044696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAPPPPPPPPPPPSMPPPPPPMAHSAPPPPPLPSKRSGPPPPPPPPPMTHSAGPPPPGRGAPPPPPLPPGREAPPPPPPPPVRGAPPPPPPPPGRAPPPPPPGAHAGPPPPPPPPGARTGAPPPPPPPGSRPGAPPPPPPGRPGAPPPPPPPGSRPGSPPPPPPPGGGGRAPPPPPAPGGRLGGPPPPPPPGGRAPGAPAPPRAPGMPPPPGGNPSLGRGRGSVRPMGSAYGAAASRKSTLKPLHWVKVTRALQGSLWEELQRSDDSQSTSEFDLSELESLFPAAVPKSDDSSKSDSRRKSLGSKPEKVHLIELRRANNTEIMLTKVKMPLSDLVSAALALDQSTLDVDQVENLIKFCPTKEEMELLKNYTGDKENLGKCEQFFLELMKVPRMESKLRVFSFKIQFGSQVADLRKSLNTIDSSCNEIRTSLKLKEIMKKILLLGNTLNQGTARGAAVGFRLDSLLKLTDTRATNNKMTLMHYLCKVLAAKSPQLLNFYVDLVSLDAASKIQLKMLAEEMQAVSKGLEKVQLEYDASERDGPVSEIFREKLKEFTDNAGADVQSLSLLFSEVGKKADALIKYFGEDPVRCPFEQVISTLLTFVTTFRKAHEENLKQAELEKKKAEKEAVAEKSKSTHLTSKNDSKASNPSRQAKQSLERTKSTSRRGRDVG >Et_4B_040020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7923119:7925091:-1 gene:Et_4B_040020 transcript:Et_4B_040020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQKSMPLWSESTSMVVCAVDESILFALSQAVLNRHRAFWLLLMSVFSCFLMNSRRKNFTISLSKSAPPRYCLHLEDTHVYVDARINVEERDIQGTTTKIKDEHRPPFVADGHLLKAIGDGSSAKRFNRFSKCLNAAVSGEPRDLALCFLLLNGHRSGAPTKPPATNGKPQAKAMNSYLRGYGGDQYGGVVLTEDGVGGVQGGLVHGGVADETLGAGEGDAGRREAVALVVGDDLAAVSPPHRHAGVGGAKVDSDRQTVALRGGGCHLRPQIRGGEKSRATVFP >Et_2B_022554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2621284:2622040:1 gene:Et_2B_022554 transcript:Et_2B_022554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLPANLPEHEFLNNLEPLGWMHTQPNEAPQLSPQDLTLHAEILENNKHWDGEKCIILTCSLTPGSCSLTAYKLTPSGYEWGRSNKNNGSNPHGYLPAHYEKVQMLLSDRFLGFYMVPDNVPWNYNFMGIKHDPLMKYAMKLGTPRDFYHEDHRPTHFLEFSNIDEGEDDEGDREDTFS >Et_4A_032985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16412337:16415419:-1 gene:Et_4A_032985 transcript:Et_4A_032985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVEGTEQENFSGKADDRAAGSMAKQRRKLTCTCLHIVNRAIVQLRMGEINSLTVPHHGGGHDDMGAVILSGGQEIWNMENRDKLEQSATLYGKEKCSLVKM >Et_10B_004466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8420271:8426444:1 gene:Et_10B_004466 transcript:Et_10B_004466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAVVSASHTHSEIYEVGKLKSIQELRRFEEFIYECAPVPLDHLQMLSSLKTLKMYNCSSVLWPSEAENDAQFKIPAEHLTVAGCCVTGKELTQLISYFPNLSKLQLRDCDNQKSGVEETEAAVEGLLLMPLQINELSIIRCPDVRPLLQFTPDSDIPHITDYMELPQNLELGGVMFTMTPLSFLTKLELSDCEGIRSDDIWHLLAKGHLRELEILQSTNKFDCSEASRIMQALETDGESGNPAAVPIRGHFSSSLTRLYFEFPDMEYFTTEQSEALQLLTSLQDLLIVSCRKLQSLPAGLSGLPNLKSLSIGSCDSIRSPPKGGLPSSLIELIIWRCLAIRSLPKGTLRSSLMELTIDRCSAFRSLPKDSLPSSLTKLSIKHCPAIRSLHKDSLPSSLQKLNVKGSNEKLQRQCRKLKGIIPILLVETLEVLGETACNILQLPPSTFLFIQAKPRTEQRAVFVSIMVREFLIAAAPPSAKKSPDADDRDRASECTYIQFLKWLWHHLSRLMHA >Et_9A_061446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12105894:12108641:1 gene:Et_9A_061446 transcript:Et_9A_061446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METVCTEKTRNNSLIYFVVTILLLTDGKHAYADCVEMFGDDIDSPTQRSYDNSLVVDEQWGMVKTKGTQFVVGDQPFYVNGFNSYWLMILAVDSTTRGKVTQVFQQAAAIGLTVCRTWGFNDGGWRALQKSPSVYDMDVFEALDFVVSEARKYRIRLILSLINNWDDYGGKAQYVKWARDAGVNVTSHDDFFSDQTVKTYFKNHVKNVLTRVNTYTKVMYKDDPTIFAWELMNEPQCASDPTGNRLQAWIQEMAFHVKSIDPDHLLEVGAEGFYGPSSPARLQANPNTYAAQVGTDFIRNHRVLGIDFASVHIYPDNWMSGATLGTQLQFVQSWMQAHIADADGALGMPVVFTEFGVSTTKARRAFNTTSRDQFIQTVYAALLGSARRGGAGAGGLLWQLFPEGTEYMDDGYGVVLPREAVTAGIVSAHSKKLQTFNARCAWSCRWGCRKWEDQSEDVDRMFNNDEL >Et_7A_052752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15377785:15379697:-1 gene:Et_7A_052752 transcript:Et_7A_052752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRGTGERSGAEWLQVHLSAQEVGWVTDQPFTTILSTRVHAGLWKHRHEVVFNSQAPSLARLLRACKDEAMLWRYRLSQRNRIVVDRWCTLFTI >Et_4A_031956.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:5045037:5045414:-1 gene:Et_4A_031956 transcript:Et_4A_031956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDESELLRSPACPLLDIIFPMAFFGVAAPFTLPLVPLFPPWFFSESVPAVSSFVVLDKLRSSTAMTPSHSSSVFVVAPDLELSYEPMPMLSARMVAAAEALVCSWYSTCTTILRGSRSFCTA >Et_1B_010793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14141841:14143665:1 gene:Et_1B_010793 transcript:Et_1B_010793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGADGSEPGPGPAPAPGPGPGPAAEPEKERDPPAEQAPQLELAAAEAPAPAPAPAVTIVISRPGEEVQVTEPKGVAPPPLPKAGDPKAREASVSVTAAAAAKEAELARTDSFDDGEQCRVCQQKTEEPLVDLGCQCRGDLAKAHRTCIDVWFRTRGSNKCEICQ >Et_9B_065711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9463562:9468143:-1 gene:Et_9B_065711 transcript:Et_9B_065711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANADPSGSLGGPHRHLPNPVPAPPPQQGVAASTVAALRHDPGLAVRWSPEEQALLDNGLAKYASDAAVVRYAKIAMSLPDKTVRDVALRCRWMAKKESNKKRKEELSKKSKEKKEKAGESSSKAPAHLVARPNAPAYTLPVLPMDDDDVSFKAIGGPTGQLLEHNAQILNQIYTNISNMQVQDNLSLLCQTRDNIITVLKEISDVPEIMRQMPPLPVKLNEDLANSMLPRPPGT >Et_2A_017343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33411896:33417930:1 gene:Et_2A_017343 transcript:Et_2A_017343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTDRLYPPIEPYDLEPPQVVTAGGDEEARVCDWPVMWDEEPEASSSPQEAPHIFEPGTKDYPPIKFEEESLDVVNAYECSNEMTLTKETGENIYSKSPFREQTIWVPASVPPMTKHDHEEWQKGFGYNSGCFSEEEYKWDIDEENLELTMWDVVTEMFVAGKDKILSVASYDFGRHGMSLVSQFFLEEALKEKTQTLEDTCAGSEHVLLETEPVKWLPDSAASSCMLCEARFHPIICSRHHCRFCGGIFCGGCSKGRSLLPPKFKTSDPQRVCDVCGVRLECIQPCLMNQLSRACQLPTQDLTDLSTLRSWLNFPWATTMEYEIYKAANSIYGYCKVGELKPEKSIPDSILRQAKGLAIITEVKVGVIMSYKIGTGLVVARRADGSWSPPSAISTCGLGYGAQVGGELADYIIVLRNTDAIKTFSGNAHLSVGAGISASAAHLGRAAEADFRAGDGGYAACYTYSCSKGAFVGCAFNGSVVSTRNSINDRFYGGPIKASEILLGSLPRPPAAATLYKALSILFEKIEKSLKEDGYHLQKTMMWSLKPFSLSTNTELIE >Et_1A_006573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24597769:24605162:-1 gene:Et_1A_006573 transcript:Et_1A_006573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSFQYWNSVALPSVALAAAPEEEDEEEKPRRQSSSLVADTLPKNTSSSPVQFATATVGQAPNVVYALALCRGDVLNDTVCNKCVVHLFERMKPPPEQAECYGTCSYYDSPCILRFSGDNFMVPINITENYTFLEWWNEKNITGDARFMAGQIHQLLVETTDRAARSTPRRFATGVMDGGPTFPSVYSLAQCTPDLSSGQCSACLRRLLGMVNASMSLRMGGKLHVIRCSFRYGASRFYDGEPMLRLGVPSAPEPSPAAPTKPMKGRSRMTKILAMVLIPVVAAVCFCFTVYFCWFRKGKVRQQWRHRTHNFQGDEEELAAWGLEGKNSEFTIFEFSQVLEATNNFSEENKLGQGGFGAVYKGKFFEGLDAAVKRLASHSGQGFIEFKNEVQVIAKLQHMNLSEYLENKSLDFFIFDESKRLLLDWNKLRTIIEGIAHGLLYLHRHSRLRVIHRDLKPSNVLLDREMIPKISDFGLAKIYSTTNTEGNTTRRVVGTYGYMAPEHASDGLFSIKSDVFSFGVLMLEIISGKRNSGRHQCGDFINLLGYAWALWEEEKWDELVDASLIPMSHSAEVMRCINIALLCVQENAADRPTMSDVTAMLSNDTMIMDKPKHPAFFYARVANEEMPAATKTWSVNDVTISALNSAKATLSEFVVFQKIDEAEKCPCRDRNYNDGNCPELVHTP >Et_7A_050895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12021415:12025612:-1 gene:Et_7A_050895 transcript:Et_7A_050895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHERAASLALAGLSLAPLVVKVNPNVNVILTACLTVYVGCYRSVKPTPPSETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTAYFFILGIVALSATLLPSIKRFLPKEWNDNVIVWKAPFFHSLSVEFTKSQVVASIPGFFFCMWYASKKHWLANNVLGIAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTAGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKQILEFDESKAEGEEAVEETDDGSKENKKVD >Et_6A_046340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13351363:13358728:-1 gene:Et_6A_046340 transcript:Et_6A_046340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRARCTLSSAQAARAFGFPTNSAAGGGGGDGGGDAPAVPTLHIDRFRPSYNVAPGAYLPVGAVRARPAGGGDGGAGAAEEVGPVIQCMKWGLVPSFTGKTEKPDHFRMFNARSESVKEKASFRRLIPKNRCLVAVEGFYEWKKDGSKKQPYYIHFQDHRPLVFAALYDMWKNSEGETMYTFTILTTRASTSLKWLHDRMPVILGNKDSLSAWVNDASVKLEEMTAPYEGSDLVWYPVTSAMGKTSFDGPECVKEIHMGPSEKPISKFFVKKSNVILADANVVKQDALGMKRKVDDTEVNPHIKMENSGWSPSMKKGKGSKAAPDGQASLLSYFAKK >Et_4B_036872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12168655:12170114:-1 gene:Et_4B_036872 transcript:Et_4B_036872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNTWAIMRDPVVWYRPNDFGDERPRQCPGLLMAKRVLPRAFEWQLPDGVSERFVTSNTLAVALKVAPVVFN >Et_1A_005471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10711146:10714696:1 gene:Et_1A_005471 transcript:Et_1A_005471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAPPSSSVAALSRQPSQFLKGCGVSKETKGSICSFFNANSHNAKVKSAGLRIAASLKRDGGYPASSISGNGEMLLPKSTSVRGQDHSVADTVPMMDSMIAPEILSANLTRVADKFSNDDTDTELDLDSPTEGFASIADAIEDIRQGKLVIVVDDESRENEGDLIMAASLATPEAMAFIVRHGTGIVCVSMKEDDLERLNIPLMVTTKENEEKLCTAFTITVDAKEGTTTGVSAKDRAKTVLTLASPNSKPDDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPMAVLCEVVNDADGSMARLPQLRVFAERENLKVISIADLIRYRRKRDRLIERASAARLPLRWGNVRAYCYKSVIDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGDQLAMAMEMIEKAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVRSMKLMTNNPAKYSGLKGYGLSIAGRVPLITPITSENRRYLETKRTKMGHVYGLANGQANHPSDSNNTEEKH >Et_1A_007910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39415819:39418548:1 gene:Et_1A_007910 transcript:Et_1A_007910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGMRRGARTMLFLVFMFVAVARSAVGDVDGGGAEGEDGDSFLRSWTDGGGDHGEEEFLKWEEEDDDDDDDDDEEEDDDYYEDAHITMVGSSGTDRPPKGKNVVNVDSFGAAGDGNADDTQAFQNAWNKACSLDNAVFLVPAGRRYKVGASKFLGPCKKKMMIEIQGTIVAPEEPSEWDPRSPRLWLLFGGLVGARIEGGGVIDGSGSKWWARSCKIVKSNPCRAAPTAVTIDSCRGVRVRRLRVQNAQQMHFTVSRSRNVRVAGLHVESPEDSPNTDGIHIAESRGVTVQSCRIGTGDDCISISNASFNIRMSNIDCGPGHGISIGSLGKDGSYAAVANVALDDARIRRAQNGVRIKTWQGGKGYVRNVRFSNVAVEDVDHPIVIDQFYCDSRTPCRNSTSNVQVSNVEYRHITGTSTRAEAIKFACSDAVPCRGIVLGDVDLRRADGGGEVQAVCNCVMGLDEGGVRPAVDCLRTSACGGASDDHHTDDDDDDEQGKDDAIRHTEL >Et_5B_044432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:24079175:24079540:1 gene:Et_5B_044432 transcript:Et_5B_044432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLHSILSPLRRMWVRAHSERRNRRGMHLLYKDVQSCQDEDVHVLWSILIDSHRHPALLKLKL >Et_1B_011896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2775438:2776145:1 gene:Et_1B_011896 transcript:Et_1B_011896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINMYNPDGAAGFGGGPQQPVALGPRISFSSDFAVEPPPPVQNRAMSLRCQQEEDVNFEFSVGSHPMMAADQLFSKGRILPLKDGAAGFAARPPTTLRDELRGDGDDERGSAAKASSRWKEMLGLRKALCVGGLGAAKKSDKGSSVADADMVTDTPASNQVGTNSSSACMCAIVVMLIVQRCILSRHHRSYDQMADGGRRDMGLKKPHSTPYEAFVIDPSWWT >Et_2A_017952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8261989:8262224:1 gene:Et_2A_017952 transcript:Et_2A_017952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLGRRWRPFLAVAGGWGQRRQGHGRALGFHATCVLTNNSSGCCVIWTSSSLTTISVRFHWWPLNL >Et_2A_016674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27088924:27091522:1 gene:Et_2A_016674 transcript:Et_2A_016674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTPRRGGVEAASGPSLGVPTEARLPRVTRFKNKSPAEVQITAEQIIREARERQEPEHPRGPARKIADTQELAEYRLVERKKFEDVRLRFGVGRERQGDLHRMRSVYERALSSSAAAQRDHALWVRYAEFEMRSRCVGHARNVWDRAVALLPRVDQLWLKYAHMEESLGAVANARQVFDRWMAWRPGAAAWGSYVKFELRYGEVDRARAVYERFVAEHPRPEAFLRYAKFEARRGEAERARRVYERAADVLADDDEDAEALFVAFAEFEQGCGAVERARAIYKYALDRVPKGRAEELYGKFLAFEKQFGDREGIEDAIVVKRRVQYEDEVRRNPLSYDCWFDLIRLEETVGNKQLIREVYERAVANVPPADDKRYWKRYIYLWINYAMYEEMDALDMERAREIYRTCLKLIPHKMFSFAKVWLMAAQFEIRQKNLNGARRILGNAIGVAPKGKLFKKYIEMEIYLGNFDRVRTLYEKFIECFPASTYAWSRYAELEKSLGEFDRARSVYELAVTQPALDTPEIIWKVCFVCCYPERTHMFYALLSDAYICRIYILANNLVSFPHFPKSVNPLSIEFPAVWLSYAEFEASAVLGGEDCESQRIKCAQRGRAIFERAFDYFRTSAPESKEERAMLLEEWLNKEVSFGDLGDLSLVQSKAPRKVKRRRPIPSEDGSGIEYEEFVDYIFPEEIALAPNLKIIEAAYQWKRQKTGDDDEI >Et_10B_003338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1909672:1913428:1 gene:Et_10B_003338 transcript:Et_10B_003338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAALRRAAPLRRRAVSALAAAVLQQQPAALGAVVPRPPLPAAATAAATWFHSSPAWLAFRETGAAGAAARPEFAADEGSLSEEEKKPAAAAGDAGLEIAKLGISPKIVDQLARKGITKLFPIQRAVLEPAMQGRDMVGRAKTGTGKTLAFGIPIMDAIIKHNEKHKPGRFPLAIVLAPTRELAKQVEREFVESSPLETICVYGGNPIGPQMRKLNYGVDVVIGTPGRVIDLLERRALNLSEIQFVVLDEADQMLSVGFDKDVETILQNVPAQPQTLMFSATMPPWIRKLTQKFLKNPVIVDLVGEDDQKLAEGITLHSISSENREKPAVLGKLIEQHAKGGKCIVFTQTKRDADRLSYTMSRSFQCEALHGDITQAQRERTLAGFREGRFNILIATDVAARGLDIPNVDLVIHYELPNSSEIFVHRSGRTGRAGKKGTAIVMYNYQQSRSIRVIEHDVGSKFKELPKINVEGSDLLSGGGFDSFGGGGFGRDGGGRGSRGRSGGFGRSGGGGFGDSGFGRSGGGFGDSGFGRSGGGGGFGDSGFGRSSGGFGDSGFGRSGGGGGFGDSGSGRSGFGRSGGFGDSGSGRFGGGFGNSS >Et_7B_053650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10720584:10722937:-1 gene:Et_7B_053650 transcript:Et_7B_053650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVYLYIPNIIGYFRIIINFIAFAVCYSNRALFAILYFVSFVLDGVDGWFARKFNQASTFGAVLDMHGLFVGSSLTVLQTWLSVLDASWVGYYEPLVSNVQTSHKDVKHTGNWLLKLYYGYRPFMAFCCVSCEVLYIVLFLFADEKTTSLLTVCRGVLKQSPLIVFVFISTLVGWSVKQVTNIIQMKTAADACVVFDLKRGK >Et_9B_063889.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19577178:19577546:-1 gene:Et_9B_063889 transcript:Et_9B_063889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTTTPLYAGGAHPQNASAGGGGGSYTPVLVVLGVIAALLVISCLVGQVCTKRHLRPRPRRDRVAYYDDDMEDGFGHGPPHGGRGVAKMEAPAPAPAASVVVEMRPVGDGAAAVQQTAA >Et_1A_006426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22027459:22027929:-1 gene:Et_1A_006426 transcript:Et_1A_006426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPILTEFWRSRTTKPKNQIRMSPTRPDCNYIFFLGEGKKDMLFPISPKRIPPSFHGPLTEEEMREDPGPEQVGLGYRAVSAVGVTEDLLVRFIVGYDILVDCWERPLYSKYAFLGALRSAAQMVSYEVSIGLILIVCLVSTFGSAKAITRIFP >Et_1B_009902.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9843901:9844445:-1 gene:Et_1B_009902 transcript:Et_1B_009902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQQKPHLLFGSIIQDRSLVLLQPAMAIPKTRRRHDPLAVLSLHLAVVCALTTVTAQAQMTTGGRPAPTTVVAIAIVAFFVLAVFCVLVNQYWRGGSSVDGSEGGQLGSLRKRGRRGLDPAALAALPILPYAEVKKHRAGGGELECAVCLAAFEDGEELRLLPQCFHAFH >Et_3A_023925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14851994:14853534:1 gene:Et_3A_023925 transcript:Et_3A_023925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISASLSVLCFLVVVLNGALVESRKSGNNFRYYYQLFVFGDSFADTGNLPKSDLSEVSRQWYSPYGTTSGIQTGRFSDGHVQTDFVATILGRYRIAPTTYRVAKRFGDPAGMNFAHGGAGVFEVPRKAPTLSQQIGYFKEMIDNGILDKWNIKQSVAMIAISGNDYTQVANMTSEKEMIAFVGKVMTEIAKCVKRLQKIGLGKVLVNNMHPLGCTPWQTRPANYTQCKIMPNMGTYYHNDGLNKKLNAAKSDAVYIVDLYRAFSSIVNPSDSTAIVLCVVPPDPPQVARHFTHKLKPCCRSLDAEGYCGHVDDDGKKLYTLCDNPENHFYWDDVHPSEAGWDAVMEQLERDMKDFLYP >Et_1A_009503.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6887328:6888425:1 gene:Et_1A_009503 transcript:Et_1A_009503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMLMAGNPNPNQNQNPPPAAPSAAGAQRGGAPAAPAAAAPGAGSGAAGGAGTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSSVSAAAVAATSAAMSGSVPVGLAAKNPKLMHEGAQDLNLAFPHHHNGRGMQPPEFAAFPSLESSSVCNTGAAAMAGNGGAGGRGSMGAFSAMELLRSTGCYVPLQHMQLGMPAEYASAGFAFGELRMPPQPQSQSVLGFSLDTHGAGGVGGGAGGYSAGLQESAAGRLLFPFEDLKPAVNAAGVENTGGGGDHQYEHNKEQGGDGGRAGSGVTGGHQEAQGFWHNSMIGNGNSNGGGGTPW >Et_9B_064374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14507346:14513156:-1 gene:Et_9B_064374 transcript:Et_9B_064374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRTRSLLPVLRGGFHRRSLTSIPAPPDSIPSPSSPWPPNSQPPPADPALRWRVTWSPNSPPLSSSTVSHHRAAVSSLATSLLALLGPDPDPEPTLRAHSFPTLLAVSPLASLELLSRLRSRPRLGLAVFCVRRGLSPAPTLDEFSLAITLASRAREPDAAAALFTDATSVHSPDQALYNALMAAYMHNGLMDSCLDTFRALERDPRCGPPNVDSYNILIALFGRSLLVDQMEAMLQSLDASGHPRTIGTYNAVIAGYLTAWMWDKMEAAFCEMESGPVAPDATTHLLMLRGYAHAGMIYKMESAYERACKHAGKVDVVHIRAMICAYCKFEHVDRIQKIEELLQKLGPDDYRPWLNVLLIRVYAQEGLVDGMEQRIAEALDRNITVTTAKVMRSIISSYFKCDAIDKLINFVRQAEEAGWKLCRSLYHCKMVMYGKHHRLEEMHGVLDEMECFRFDRTKMTFWIMYKAYASCGRRGEANGILAMMWKHGFELPCGATSAAQQDSRDAQVGGAAGLHAQLVGRCCGQGARQAGEVRQASACGGRASEAGKACASSRVGRRAVGDVQLADEAWRWLAGGDGLANPWWPWRQPRDPAPWQVEEGRSTRLICVGREVEQG >Et_2A_017630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4272700:4274754:-1 gene:Et_2A_017630 transcript:Et_2A_017630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSTEKKTAAEIVAELDLQRHPDGGFYLETFRDPSISLPKSALPPRYKVDRAVSSAIYFLLPAGEIARLHRIPCAETWHYYMGEPLTVFEVHDDGQIKMTVVGPDLQDGQRPQYTVPPNVWFGAFLTCDIESFTEDGSVFVKNPGRDPAVHYSFVGVTCAPAFQFEDNELATREDMKALAPKAEAFINYLVPP >Et_7A_051729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23747598:23750520:1 gene:Et_7A_051729 transcript:Et_7A_051729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRHKLVERRGTNDASLRTLPHIQNLQGLFGKEWDWYLSQLDDYSYLVRFPPNKIVKDIVISHKGINYFYLEKEGVMVSLKAWNGEVEPVGELVDVWVQVTGIPPNSGSDCGGGELELKWRRPWWLGFACEEEKRHEHARGKGRAGWGNG >Et_2A_015662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16664666:16672773:1 gene:Et_2A_015662 transcript:Et_2A_015662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTAAADEDHQPPLPPPQSTMPHVAREQREHHGQDAPPGFVPRMSAEDVSAVEAVLGYAFADKSLVEQALTHGSFYYPYRPGETYERLEYLGDGVLTCLMSREVFRTYATLPPGPLTRLRAANVDKEKLARVAVARGLDRFLRHKAPHLEGQIHVFIEEMCLYPVHSNGLLDPPKVLSDIVESLIGAIYFDSNFNQEEAWRVFRNLADPLISLETLGKHPVSELFEFCQKTRRGVKIVKDEWDKNLKVEVLIDGELVGSAIYAQKKEIAQNRAAKAALDKLKEIMGQCQTESVSADVSEPLDRLDLAGTIKCQVMIKGPNLHQWVTKTTLF >Et_1A_004742.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:36126678:36128252:-1 gene:Et_1A_004742 transcript:Et_1A_004742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSSTAGLLPNGNFERGPLPSQLRGTRVLSASAIPSWQTSGFVEYIPSGKKQGDMLLVVPEGAYAVRLGNEASIRQRLRGAVRGARYAVTFSAARTCAQAEQLNVSASGQWAVLPMQTMYSSNGWDSYAWAWDVANDANDEVELVIHNPGVTEDPACGPLIDSVAVKTLYPPRRTNSEHIPCTCVFVVSQKVGCDFDVSSSWFAYFACYLLSAENLVKNGDFEEGPYIIPGTKWGVLIPSRVVDDHSPLPGWMVESLKAIKYIDAGSFAVPRGRRAVELLAGKESAISQVVRTVPGRQYALSFTVGDASNACRGSLMVEAYAGRESTKVAYESAGKGGVKRAVLPFRAASTRTRLVFFSSFYSTRSDDLSSLCGPVLDDVAVVSVRAPKRG >Et_2A_014647.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31766767:31767225:-1 gene:Et_2A_014647 transcript:Et_2A_014647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVKEAMEHKETGCQAPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAKLAASSIDSIVNGTDAVKEPVVSGNTVVAVAQVEVQTLNVQPADVAGPSEGAAVIAKGKEGPSRCTTCRKRVGLTGFNCRCGNLFCALHRYSDKH >Et_6B_049345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3482907:3483983:-1 gene:Et_6B_049345 transcript:Et_6B_049345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSLYWPQRQGPGPWDLDHRVVSADGDAVLFRIAASRDPSRQPSSLTRLPVLYRNRESSPDRHLQHVMSTQATGILYCNRETFIVAELTPRNDYTVDMFTLLSGSDEWKTFEHVHVRHQPPVLNAGQLTRHRFLIWVHHRNGMIVADTADYPEPPRLRYVELPSVPDQLFPDDCNDGRGCPQASRSLCATRSGIKFVSVDFKHSSAPCRCCRARSTFRITTWSLRDDYTWRRDATLDDDEFGALASEDSFPHIPPEFPVVKMENPDAVCFMLSERRHTMKDEPKTWMLELDMKKKVVLELNAHPNRISQAYRFIPSEMPRYLYGEACRKRRK >Et_10A_000686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15536960:15543337:-1 gene:Et_10A_000686 transcript:Et_10A_000686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAAFHPTLPHAHPHRHPARPNPTTGLLRLLPPRRRPRPRAAARLLAVSATASPTSPAPPPSADRSPDSATSSLERCLSAAAGAAPASAPPRAPPLMKGGRKQFGAVTLEKAKLDLSQRRKKIMPELATGGGGGDIGKRIGHGGGDGGDDDGDDDDYFDEFDDGEDEEGGLFRRRIVVQELFNREFLDAVLQEWCKTMSNLPAGLRQAYEMGLVSSAQLVRYLSIFARPTNTRSFSRALPGWLSRGLVGRTLADPSFPHKMAFEFMATFFSSVWWEMNIRKESTSRFDFQNTIEKLPNNIFEKSYPLREFDLSKRISAFFYKAAELSLVGVVAGSVQGGVSKVLSSRKERRLSVSIPSVGTNALGYGAFLGLYANLRYQLLCGLDHYMVKRFDVLGVAIFFSTAARLMNIQIGEASRRTWLGEEADPQYSDRLLRAYKRPEVYADQQDSRWFISKDAMVSGLGLLGIKQGGSEAAMSKPRRKRVVRKKVASG >Et_7A_051792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24851034:24853330:1 gene:Et_7A_051792 transcript:Et_7A_051792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPYALSVVKAVLPVQPPPSSAVLGRRAAPGVLSLRAASSKARRGAALVAAAAVEDQRPAIDEYPEGILSGEWPDNFSLLSYADLRAYLESQIVTSDKMSPTAKLGDVMSRPVQVATPGQRLADIDAFFAAQSGLPVVDDEGRCIGVVSKKDKAKATKGMESTVGEVMSSPAITLTPEKTVLEAAALMLKAKVHRIPVVNEQQQVIGIVTRTDVFQALEANKA >Et_4B_037243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17003780:17005191:1 gene:Et_4B_037243 transcript:Et_4B_037243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALAASSVGAGFGSQRPPSLGFRDRLPRPARPSPSRSWLHPSRPAARLLCGGGVRTRGVISTATSRDQLGELEAEAIGSSALEPGRSSPLEVREEMARCFDLVHRLGRGAVYLGSSRVPPTHPHYLHTAELAREASNTTCIHAFSELTSCDQPVFVSRQIARLLDCTTWTGAGPGLMDAATQGALEAEKPVGGFKISKEAGEWTTSNFHPYLPPESYLTCRFFSARKHGLVDAAVRSNPTDRTAVVALPGGVGTLDELFEIMALIQLERIGSTLPVPFLLLNYDSYYSKLLDFLNDCQEWGTVAPGEVASIWKVCNGNHEALEYLAEFYNVPANERNYRLSAQNNQQRTSYIMT >Et_7A_051711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23446548:23450892:1 gene:Et_7A_051711 transcript:Et_7A_051711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRDLRSCRPSVHISNPSMIICPSADSTSLNNAWTKVDLPLPVLHTTPIFSPPLMLRLIPLRIRGVFDRCHHHLLTLSNGSRAKRRESSYSSRLRMYIILTNMHITTNVKVT >Et_5B_043800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16242335:16242967:-1 gene:Et_5B_043800 transcript:Et_5B_043800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMSGCCDDCGYWWDEWKYCLACIAIVIGVVLFAVLLAAYGFVRHIDVSVEDASLTRFDLMSSPNTKPLEAAYKFDDQQFDRVLLAEKGDKHPPGKTRVYHLDRSSNSSFVALGNAGEQEYKKEKPTGRFQIEVAVAGEVRYTARYTKCKVEASCKLDLQLAPPGTQAVVFQKVKCKLAPAEKNC >Et_3A_024375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20095940:20101993:-1 gene:Et_3A_024375 transcript:Et_3A_024375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ICCLVPIDIELLLSNPNIGEMEVTVPDGVMHLSDSSAEDRSNLSHHRRELEKGESSRIRKLTAQVEQMAYNLRPRKVDPATEQRRSEFEKRRKQKKQKRDKQKAEDFKSLSGQIKTMMDAFPEDSDAEDDQDLNRKWCPYFSGLDIEYSCTLKSASWEVEKLALQAAPSVVALRSSTGEDKLYFSCSGTIVEVLEGNGFIVTVANLVKCEDADEVFEELKIEVYLQNGRVLDGDVLHCDFNYNVCVIRIGWSEVGSSYHLTRKSFDDTRDVGCLKDVVALGRDRRTCCLLVSTGMITPKVSELDCEELLVSTCRISKEEVGGPLMDFDGNFIGMNYYDTAETPFIPSSIVSTCLWHLKTLGKVSRPWLGLRVRTLYANGGANGVIIKKACIFSVLLSFLLIERESSAEASGLIEGDIINRVNGMHFSSAAEFGVRDSELEGKIFVRKSVAGGGFN >Et_10A_000868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18478513:18482724:1 gene:Et_10A_000868 transcript:Et_10A_000868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQDSSKWTAAMKPTLAKGCIPLKVDFYINAVPFCKSLQGQSKGRSSAGTQKTMVRGKVRMRRIENPVHRRVTFSKRREGLLKKARELSVLCSADVGVIIFSSLGKVHELATNGNMQSLVERYRSITAGSQVESKTLQSEVAEHGISLLREEIGLLQQGLRSTFGGGAEDVRLDRLHALEKGLQSWIYQTRSCSERLNFLRIRVILEHFPAYILQVKGKQRRRGHLCGDCQCQSSARRMRRRIAAMKEYLMAAVKIERKMSVSCRSREPPAQASTPVSTTATALEGSGERRSPNRMSDSAGNDGRLCWSGPAPIAVAVAVVARRRAGDREAGTSRAEGGECGPVGESGVEERAMQEGKGEEEGRS >Et_9B_065528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6220264:6228861:1 gene:Et_9B_065528 transcript:Et_9B_065528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRRRAEESTTSPPPPPLRAAKRQPVVVFAHGAGAPSSSDWMIHWKEMVKEALDAVEVVTFDYPCELIPVNSITLLRHLAGSIVSAGTELSPTNVVADMSGGKRRAPPKAEKLVDHHLGVVKDAVAKHQGHPLVLMGKSMGSRVSCMVATSEDIDVSAVVCLGYPLKGVNGVVRDETLLQLKVPTMFVQGSKDCLCPLDKLESTRMKMNCENKLHVVDGGDHSLKIGKRHQESTGVNQHDAEMEAVKAIACFVQNSITYGSFFNVNNKCTKLGRVWNCGCTPTAPNFESSMQILIKLVKKENNDKLIVLTTATSGELYIDMAATIPMLPTNSFRIWWVWIIMLENNTVTPKIARIDDTISMTSFCKLWLALDLPRNSCLAGDARSLTPQQSNLKHKEKLNRCAILFAATMDASIHKGTLRCDE >Et_2A_016570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26132686:26133853:1 gene:Et_2A_016570 transcript:Et_2A_016570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LGINKFVTNVLLCRADELRIYLLGRRSQLREAGGNQHIVPNTSTNNATAGNVSPDERKLRIVYCSMTEFCTHRCFCCDFGPECYETRELCRENCQVCDPHCHPPRVTENTLVHGTCTPQSAHPPGCQHALADLLCSLEFTTR >Et_7A_052960.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:3470987:3471340:1 gene:Et_7A_052960 transcript:Et_7A_052960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCYVGKATKIFLCLVAAVLVAGLVLGFGLAHRTWGGQKAQPACSWPHCQTDDPAYGGDPLLPAAGVATAPPNPLTQPAVAAFPGVTSSSTAAPPAARPYFGPPNPFAMGLAPTAHA >Et_6B_049278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2665343:2670446:-1 gene:Et_6B_049278 transcript:Et_6B_049278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDAVEGDGDASPIAAFAVAKGGVVIKHIFLNAPPPEVAVKRGKGAVEEEEDPPVLVGRHPDCHVLVDHPSVSRFHLQLRARRRQRRITVTDLSSVHGTWVSGRRIPPNTPVDLAAGDMLRLGASRREYRLLWLTLREAFEMEEPLPPLLEEDKEEAHTHQEASNQLVAGKRDLVDINTHEDISKKIVSENIAFPVVIPSAPPLPEFAQSFYQEESALSQFHENCEELTKEKLIDKSAVSESMGSLVIKDMPAAVTNARRSVQSAKQDASSKASKRAKLKSVKSLRVDTGRNRDRSCTLSYSQQKEDQNDIVVCSQNCGTECAACIALFGISKCEGTYLKEEMIAEEKGHMNPPASITMEETKKEPTYPENYIPQDLVDEKSEKSLLHLVELSEPVSENTPVPEVKLNGLNTINSEENISNNENVALNMIAEDPEDCQFEGSTCGNLFGTLDTEEFQDNEENSPLDKENITPDISGNIIMERRNRGLKPNISQDLMDSISPLYLEHDIFSEKENSVLNSDEMSNKPTSKNLSPLISADTKLQQDQREFMPISHLEFNDEILSDTENSVLAPGKYDATSPVKQEDLFSDKENVTPISRNGKLSVTRVLGSRMDDSVSAKNISNTGIRKLKCNELSAKSKGFHIVDDDVFYSDKENLTPISSRGVKTRQCLPKNFTVEADQDQEAFFSDKENLTPQSSAARKTRDMSETRARVQSAITKKRVADRLPFQTLLSNSPLRPPSSLGCNCAVARAAAAGGMGIRLEDELEKVSRNHQESGRTVQGMKAWTMVVDTDSLLDDESMKSIMLLRGIKGTHLVIPRIVIRELDSMKQREGLFRRSSKATSILQWIDECMENESWWIHVQSSSEMFPIAPTPPATPSSAQCIDEEIKISSGSFNPMALFSPRSFADIISPKPEDRVLDCSLLFRKLRSEQHMVILSNSITLKIKAMAEGLLCEGAKEFRESLMNPCSERFMWAASVPRGSAWSCLDQAALAENYYNSHHHERKRTPKPVEAAKGLKLILRHNSLFAQGTNPARKAPLATLASV >Et_9B_064208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12653999:12657197:1 gene:Et_9B_064208 transcript:Et_9B_064208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWRGRSKETLSGNQIGGGPDKRVTTQITLTPDCLIGRAGEFISRQNPPSYRTVKFGDFIRIYNVVNLRSSLNLTTNLKSVQNDINERHRMSSKEYPAPSQSFMSHNKRIPNIPLAFTDKTSRAEHCFFHHPFELLRSLFRPQLFTLPL >Et_1B_011907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27056027:27060859:-1 gene:Et_1B_011907 transcript:Et_1B_011907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARHLMAGVVKWKLIHSESAPDSFSLRSNSSKCHSYPHKGHVGTYNCFVCHSLKSILRPKVRRHVIVKVHNKDADESCRSKFGYEDNEPISSAYQRREGNQLRALESYFSKLNSGKARQLGYLPPKKNYKNDPSSVNEGEASIANDNANFKNRIGSLQINYKKGNTGAKSFRNNSTEDYKDNLLFDEKDFLDMHEDDPTSSFFLTNLLTAINIAVLLFETASPVKDSDNEYLSLPLIYGAKVNNLILSGEWWRLLTPMWLHSGFLHVALGCWALLTFGPRVSRAYGQMTFFLIYILGGVCGNLTSFVHTPELTVCGTGPVFSLIGAWLIYQSQNKQFIDKDISENMFWQAVIAAALSFLLSIFGGIDNWAHLGATVSGLFFGYLTCPSIELDNAAKSGQKEALTLVRRQADPCKSVAIFAISILALGALAFAYGQFSAMDLE >Et_2A_018767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:779194:784074:-1 gene:Et_2A_018767 transcript:Et_2A_018767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALGPGRFYGSGLPRPRFILGGGDRVDPPAPIHDALLSWAHEAHWSMGGLGVKRLRLQGRIEGSIDKLRRRARRDARVKARAAGQKPASLAALGSDDDASDGDSDEEEVAAQERILKRELVDDDEDSDGSDESEEEDGDEEDESLATIATAAKKKRARKLGDEFDRIAAVEKKQKPAAATPARTSPRRKAAEASAPVAAARANTATKRKASTPAAGAPARSSPRRKAAAPVAASAPLLNSSSLSCLKLCDMFLNPNISILGAAAAPIEFSITAFRKISSLVFLNKSDKPLPSISMNPSQLNFATPPEKHLTR >Et_9A_062229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20929524:20932297:-1 gene:Et_9A_062229 transcript:Et_9A_062229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADQEQKRAAAAAYDYDGDARWADYWSNILVPPNLASRPDVIDHYKRKFYQRFIDRDLVVEPMSSTGYTQPSRPDVRSSSSSSSDNVRARSSGSSSRTATPQPPPAQTDRAATPLQFDARTIHFSINAWVLVVAGLGMLPILPKHLADRACKLSLLGTVFSSAYSLYSIYGKPRAWNMPAIQGWLQSVLGTKDFIHLMFSLMLFTSQLHLKIAALPVFCWALDHVARFLRRNFARSSFYRKYLEEPCLWVETNNTTLSLLSSNAEIALGFLLIISLFSWRRSIIQTFMYWQVLKLMYHAPVTSSYHQSAWAKIGRIVNPYIHRYAPFLQTPISAIQRWWFR >Et_2B_019705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12664417:12667903:-1 gene:Et_2B_019705 transcript:Et_2B_019705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGTTSLLKVAAIATICAMLLLSSSPCPSAAQRLCSNCEKDCNSSCLGGSGSCPICSTQPDDPGCTTCKQAYYYNILKLDLIHQTITQDMASTATSLLKAAAVAAICAMVILSFFPGPAAAQSLCSNCDEECKSSCRGSGSGWCPICSSKPCSPGCASCKEAYYHKCLIWCPSSCRATCIQG >Et_1B_013717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13953041:13955309:1 gene:Et_1B_013717 transcript:Et_1B_013717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILLRRRHAAHPRAAAAASARLVRAFSALPDVDPSSVSGSVSNSAAPTSSTSTRSSVLDLQLAIRGETDPARIHSLVASALSSHDLPRLHTSRPLFSLAVSRLERLRRPDLAASLLDLLLASAPPSPGLLARALSLFPGPDEALRAFSNSATSARSDVSLSALLSALLRAGRLEDLKSTFASAESSLGVVPGRASHNVFLHALVKNSELAAARKLLDEMANKKLKHRPAPDIISYNTVLAGYSAQDDKEGFEKVLKEISSSKLEPNVVTYNCRIQWFTKKGESFKGEELLDLMESKEVAPNYLTYNALVQGYCKEGNVGAAMRVFKKMKVMKRREGRSDLGVSAHSQTYVVLFRSLVEKEKLDDALWICKSCFAMKAAPPFEAVKGLVEGLVKGGRSAEAKDVVAKMELLVKGDAKPAWEKIRFGLRMMAEVEEDRLSHGIKDIEDCVAAHIPIVHQCFSTDLEFLWLKLKAEL >Et_8A_056108.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:20643463:20643825:1 gene:Et_8A_056108 transcript:Et_8A_056108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDGKPATAVGVRRPEGGGGDEAHDAFPVLVGEAADEAQQRGRPVVLAESAAEAGAGDEAAPGRARGGGAHQARGLVWRDSEEDLLHDLVRQLGQRRRRHDGARVWSVACVSESDPSL >Et_9B_064385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14634627:14649488:-1 gene:Et_9B_064385 transcript:Et_9B_064385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFQHRTTSSGAHTVPWSALFDHYNTSISRGVGSWPPAAMATATAAERDGGGEQKKWKKGGYKTLPFIMANEICDKFATAGFNANLITYLTQQLNMSLVDASNALANFGGTTSLTVVLGAFVADSFIGRFWSIVAGSLFYQIGMLGLVMSAVVPALRPPPCSPPSSTNCQRPSAGQLAVLYVSMLCMSLGTGGIRPSVVAFGADQFDHQQAGAEAWANRKRRYFNVYFFTMGSATLLALTLVVYIQENVGWGWGFGIPAISMFVSIVVFVVAYPLYVRAKPGGSAFTRVAQVLVAAVRKRNAAAPEDTGMLYQDKELDAPISTKGRLLHTDNIKCLDRAAIVKPGDISDSGQPNLWRVATVHRVEELKAIARMLPIWSAGIVLATAGSHNGSFTIMQARTMERHITRTVEIPPATLSIFTTGTTLVSIICYDRVFVPLARRVTGLPSGVTYFQRMGIGLTIAVFGVASAALVETRRRRTAVEHGLLDTPKGVVPMSVFWLVPQYAIHGIADAFASVGQMEFLYDQAPESMRSTAVALFWLCGSFGSYLSTVLVTVVQRATRGRGDWLQDNINRGRIDNYYWLITFIMVANLGHYLLCFHYYTLKPLDSNEHSSLQKTMATTTPAVDRNGDGEQKQRKQGGFRTMPFILVNEICDRFATAGFSANLITYLTQQLHLPLVEATNTLTNFGGTSSLTPILGALAADALAGRFWTIIGGSVFYQIGMIGLVVSALLPSLRPPLCSSAPGAPPCRRATGWQLAVLYLSLLCTSLGSGGIRPCVENVGWGWGFGIPAIAMFVSIVVFLVGYPLYVKLKPGGSPFTRLVQVAAAAIKKRKAPLPEDPGMLYQDKELDALISTNGRLLHTNQLTFFDRAAILTPGDITSSGQPDLWRVSTVHRVEELKSIVRLLPIWSAGIMLATAGSHNYTFTILQARTMDRHVAGHFDIPPATLSIFSTLAMLISLALYDRAFVPLARRVTGLQSGITYFQRMGIGLAISVVSVATAAIVETKRRGVAAEHGLADNPAAVVPLSVFWLVPQFAIHGVADAFSSVGHMEFLYDQAPESMRSSAAALFWLAGKRDAAVPEDPTMLYEDKELDALISTTGRLLHTNQLTSLGSYMGTVLVTAVQRATRGRSDWLQDNINRGRIDNYYWLVTCVMVLNLGYYLLCFHFYTMKPLEVAEEHGDPDKECELPSVHKNGGGSTVVGTV >Et_8B_060371.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:10870603:10874004:-1 gene:Et_8B_060371 transcript:Et_8B_060371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELGGGADYTNYTVHIPPTPDNQPMASVAAKAEEQYVSSSLFTGGFNSVTRAHLMDKVIIDSEVSHPQMAGAKGSRCGMPACDGKAMRDERGQDVDPCECRFKICRDCYLDAQKDGCVCPGCKEHYKIGEYADDDDDPSDHVGGSGGKLHLPAPMTNHSKSLLARNQNGEFDHNRWLFESSGTYGYGNAYTPKGGMHDGNGGNNNDGLLPEQKPFKPLTRKIPMPTSVISPYRIFIVIRLFVLVFYLTWRVRNPNMEALWLWGMSIVCELWFAFSWLLDMLPKVNPINRSTDLAVLREKFETPSPSNPHGRSDLPGLDVFVSTADPEKEPVLTTATTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCKKHDIEPRQPDSYFAQKGDPTKGKRRSDFVKDRRKVKREFDEFKVRINSLPDSIRRRSDAFNAREDMKALKHLRESGGGEQPEVKKATWMADGTHWPGTWAASAPDHAKGNHAGILQVMLKPPSPDPLHGLHDDDDQQQLIDLSDVDIRLPMLVYMSREKRPGYDHNKKAGAMNALVRCSAVMSNGPFILNFDCDHYINNAQAIREGMCFMMDRGGERVAYIQFPQRFEGIDPSDRYANNNTVFFDGNMRALDGLQGPMYVGTGCMFRRFALYGFDPPRTTEYAAGLLFNNKQKKKVGFGNDDPDSRSMTRGAEEADFDAELSSMLVPKRFGNSSALMASIPVAEFQARPLADHPAVQHGRPPGSLTVPRPPLDPPTVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVSGYRMHNRGWRSVYCIPKRDAFLGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASRRLMFLQRIAYLNVGIYPFTSIFLLVYCFIPALSLFSGFFIVQTLSVAFLCYLLTMTISLIALGVLEVKWSGIALEDWWRNEQFWLISGTMQCSFFPLIIIVITCFICFNLFVTRRKAAQQIQSNLCLAGTSAHLYAVVQGLLKVMAGIEISFTLTAKAAADDNEDIYADLYVVKWSSLLIPPITIGMINIIAIAFAFARTIYSENPRWGKFIGGGFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWSGLISITISLLWVAISPPEASSSGGRGGGFQFP >Et_5A_041681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25810670:25811307:-1 gene:Et_5A_041681 transcript:Et_5A_041681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METPARVTVQDLFVFDVGSAPCSIKAISPCTLPDLEYDVVHDDSPPPSPPPLPPQQKQEENKRRRRLMTAKSMGILCRGGEHDEFAVAEELWDFAVTSDELWEANPADRLPRCVLMFPQVDVDRPRVVHFLVASEFEYVMKTIWVVSIDMTTRTVESSYRYVNGLEDRGTEDADLTQERSSGPAPFLPCDFSRFLLRRQP >Et_3A_023344.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:21874261:21874587:-1 gene:Et_3A_023344 transcript:Et_3A_023344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITQETENPSLGRRERRAEPRVRSRMSGAQGAHPVGQTTPTTYESVGGGENRTRTDLRSREDQGNIQIEKVQDKVDDAAGRGVDTRAFGAKKEDPSDARDAGATGTGA >Et_1B_014252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4303062:4305345:-1 gene:Et_1B_014252 transcript:Et_1B_014252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLAHHLLLFPLAAGCSGVDDDDNDNTEPAAVAFPSFWPPFPPLSPDSDSDAPGFAPPRRREAAASSAFFGLGFHDVDDDEWAPPEDDGEVELPLCWDCLQLEDHDDDDHRRWDVAGVSDADEWEQVAGREDEETSAVRSLEWEVLLAANSLGSLVLDDGDGVLDGGVETSYFLDEADDVLFGQLAAAEAADHEPPPGKGGRPAAKAAVESLPTVAVAEADDAQCAVCKDGVEAGGERARRLPCAHLYHDGCILPWLAIRNTCPLCRHELPTDDPEYEKWKARRAGGGGDDDLRGAPAVWGWLCDL >Et_3A_024313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19387087:19388892:-1 gene:Et_3A_024313 transcript:Et_3A_024313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPECSTSSLLCDESEFSTSSLLCDESVESIFGFDDGEGGTPECNTDLDFSSFAGLSLESDELEVIGSMIVQEKEQLPGIATGQYLERLNSGGIESSWRTAAIEWIGKVQAHHNFGPLCICLAVNYLDRCLAINMPENQPWAQELLSIACLSIAAKMEEIVVPQYLDFQIFNQKHFFETKSIERMEFHVLRSLNWRMNAVTPFSYISYFADKLNGGKNPLTSECVSRCTELILGTLKETRFLQFRPSEIAAAVVLSVVADSKVVDFSSSLLASEIPVDKQKW >Et_9B_064077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1098406:1099820:1 gene:Et_9B_064077 transcript:Et_9B_064077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVKRYYDDDDDSGEEMESLDPLFLQDEAEEMAGLEPFFVDEAEAVADHQRRLLREQEAFRQEQLVQNRFDRVTSYDPKQGGLYYTRFGFYDLATFDPEEESPLGPMRHTDAVYEGGDDAEVFLYPGINVLSVKIVTLDDLKFPIHVYGTVVARDSVDCKCVCLFRRDEDHCQVISSESESLVLAGPKRGLVLVDDTHVEIDLKIKDPRWQKGVDLSKGYASVRGINRRLKDVVESKCHDSRLSTVEVTYAVVKDAVEATIAIDVLQGEFDGKITARTASIPDRLVLYDGKMAGTKDIRCSDGAIQLLRPVVTVDVNDMLIIVAETSDGEAERTVEFTPRIKMYVLLVSLICV >Et_4A_034209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30915133:30915983:1 gene:Et_4A_034209 transcript:Et_4A_034209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPSQSDISEERQIEERQILDELEADIENLEREIIDSLCRLARHLQSKMQMPFNPSHALILVNLTKKQGPMKTRHGDTVYYCRKQQNHPVVSWK >Et_4B_037055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14001299:14005375:1 gene:Et_4B_037055 transcript:Et_4B_037055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMAAVSTPLAVRSAPSGLLSHRRNGAKAHLGLRGMQFVTHGVSSRPHDRLHCCSSLRQNTIVASENENPPLMPAIMTPAGALDLATVLLGNRVIFIGQYINSQVAQRVISQLVTLAAVDEESDILIYLNCPGGSLYSILAIYDCMSWIKPNVGTVGFGVVASQAAIILAGGEKGMRYAMPNTRVMIHQPQGGSEGNVEEVRRQVGETIYARDKVDKMFAAFTGQPLDMVQQWTERDRFMSSSEAMDFGLVDSLLETRY >Et_1B_013798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1732002:1733732:-1 gene:Et_1B_013798 transcript:Et_1B_013798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAATQHPQKMRWGELDDEDDDGNGGLDYLLPPPIVIGPDDKGIKKVVEYRFDEEGNKVKVTTTKRILKVALTRVSKGAIERRSWPKFGDAVKEDAGSRLTMVSTEVIRIEGPRAPGGKAEDTSDDPLTKANNTLMVCRTCLLRGEHWTHQCPYKDLAAQTETFVETPPTADDSKPSGDARKSTYINPFLREGGAGRSGAADVMRRRNDENSVRVNNLSEDTSEADLRELFDVFGHVTRVDVAVDRQTGSSRGFGFVNFQHRQDAEQAIKRLNGYGYDNLILRVEWANPRPN >Et_2B_020619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2192671:2193561:-1 gene:Et_2B_020619 transcript:Et_2B_020619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRDRDPLVVGRVVGDVLDPFVRTTNLRVSYGNRTVSNGCELKPSMVAHQPRVEVGGPDLRTFYTLVMVDPDAPSPSDPSLREYLHWLVTDIPGTTGAAFGQEVMCYESPRPTMGIHRFVLVLFQQLGRQTVYAPGWRQNFNTRDFAELYNLGPPVAAVYFNCQREAGSGGRRMYP >Et_9B_064358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14367494:14369590:1 gene:Et_9B_064358 transcript:Et_9B_064358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLEVFLQASEKQFILYRACTPMETCSRAGIIGLWGLDIQPKNLCSLIFRVLLKLLGRSPSLLKTFREDEHVHSPPVGIEVSTLPELPQDILMAIFATLEIPDLTRTGSVCSSWRSAYSQLRNLAKYKLSQTPCLLYTSESAGEDVAFLYSLVEKRAYKLALPEPPIRSRFIIGSSHGWLVTVDDRSEMHLLNPITGEQVALPSVITMEHVNPVFNKYGAVDKYEYLWHTATEARYTPSIFALSQLRDYLQCKAFVFPEISRGSYIVVLIHNPLSQLSFARVGDDRWTWLPPHTDYEDCNYKNGLLYALTMMGQIHAFDINGPVITMKMITGMTESTKCDNMYIVEAPWGDLLNVWRMTTEEHEGEDRDVGPATLVRYTEEIEIYKIDAMTKELVELKCIHDHVLILGYNQSLCLSAEEYPSLKANHVYFTDNHELWLRGYKNNRRDIGVLNLDGNIREELVSPQLWSNWPAPVWITPSLGKLHTASIRQADRVLNTHAVKSSQ >Et_2A_014740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11236650:11237485:-1 gene:Et_2A_014740 transcript:Et_2A_014740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVVADGEGLWQYVGARGRGGGSLARPTFDHAAINRHPKAEEAARTFLRTLAPALPTVNRYPAPDITIQRQKTYLLTAGQIRSLKERISRQSNAFAESETAAVEPPTPSTYAGRRVPGLDVRRPCQERPEPRRLPRAPSSAAARRVLRQLRQDVLRERHGRRCGTRSGSSWWPTRWPTPSGGWSAEGRSRRTGSS >Et_3B_029151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22510072:22511575:1 gene:Et_3B_029151 transcript:Et_3B_029151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSGVPCPTTMRPPSSSSSSSTSAASAFTMRAVARMSRARWFIFLRRVYQYQNGPRSDLGSNPFNSPGWLAFELGVIVAQMVLTTAVVATSPKERPAWPLRVWVAAYNVGNVLSLPLLYWRHRHSAAAGRGDALSDDLEMHGANDVLRNSSYLMNKARAFLELFFAMWFVMGNVWVFDARLGSFQRAPRLYALCIGLLAWNAIVYSLPFLLFLLLCCFVPMVGYALGYNMNSAAVGRGASDEQLAALPRWRFKEPDVPRDRDHDDQECCICLAPYREKEEVRQLPCTHMFHLKCVDRWLRIISSCPLCKQELE >Et_5B_045753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8817890:8821896:-1 gene:Et_5B_045753 transcript:Et_5B_045753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLPPPAPAGAASSSSSSAPAPLSLVSRARSAIHSAAARVLTDIKADLRDADGSGGRSRTPSPRVSLDREPDAGAAPEVKLPSPRDEVLEVTPSQDEDCSNMLHESTSSTKRTFPPASTVKQLVAAIENGKNFKSMNDMRSTGDQLLKEKGGLSLSVVKSLVRREKEERSSSEFFGDEETQSLMYSLFKLGRPHHDSGTYKGNRGACLTYRKAANPGCVLEDFIRWHSPPDWSEDCAASTTTVGEGSSRRGRLSDRMQTKAAESVLPSDNNLSKVFYDCKDYVIGVYQDDMSKEKLDEICKVYETMEAIVTHPQEALQIMDQPDEKSIENKIRFKLKLNFMGKDRPPIWKRGGKDEKKTPPKDERKPSEEKNTIFSNLLDRKVSIFSKKNAKSPEAAQAPPSSDPGPLDESEWTIL >Et_5A_042424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:150775:151613:1 gene:Et_5A_042424 transcript:Et_5A_042424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSPAPPTSNSPLLPFYNNATHNPPHVAVASPAPKAYYLEIKEEEPPLLEELGIDIDRIRRKALAVLLHPIHAADLHADPADLSGPLLILLAFTLIQFLVTGRFLLGVALWWVAAASVFLYHGVLKPTTMQMTPAQQMDLYRCASLVGYSTLPGAAAMALGMVFAAWSAAVCVRLLVVGVGNGDRGLLLYPCCLVFMLLALLVIF >Et_1A_006056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17247307:17249548:-1 gene:Et_1A_006056 transcript:Et_1A_006056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPPTLLDYGIDIDSSKMIDSDIMEIKLLLIGPKDERNLDECLGGTGSELYTLTRNGEVALEHEFTDHDLEDYVEPNMLVSNAPGPFLSPLRRGLRRIRRRGRFWGVQLSYLFGSQSPFTSQGVTKHGRKNNDHWSQDEMRKLVQSVSIKGVGKWSKVKEDHFPTSIRTAVNLKASYTKRSLIPPLKNLVKACRAKVNRRKKVNMLCSLKILKLIIRSTADLNRCPCRPPLVGKGTRGDRADCARIKHHILAIDAGKNPARKKKRSKNRSFSLY >Et_3A_024153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17974725:17977848:1 gene:Et_3A_024153 transcript:Et_3A_024153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQESTFSSASTAAQVNANALDLLPAYAKELIAGGTAGAFAKTAVAPLERVKILLQTRTEGFQSLGIVQSLRKLWQCEGIRGFYKGNGASVLRIVPYAALHFMTYEQYRHWILDNFAPSVGTGPVVDLLAGSAAGGTAVLCTYPLDLVRTKLAYQVSNVGQSGNALGNSGQQQAYSGIKDAFKTVYKEGGARSLYRGVGPTLIGILPYAGLKFYIYEDLKSRVPEDYKKSVILKLSCGALAGLFGQTLTYPLDVLRRQMQVQSKQPKNASDGVCIRGTLQGLLLIIRCQGWRQLFAGLSLNYVKVVPSVAIGFTTYDVMKSLLRVPPRRRPNSSGEQNFSNSMAKNPKKPIRLDGSSFSTSRVVAS >Et_7B_054472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21742125:21743328:1 gene:Et_7B_054472 transcript:Et_7B_054472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNLKNLVIAFLVPLPSILFYLSFVRPGAGDNAISSWCFAHPLLLANILFFLNVNVLFWLVGLILSNHWLIDLYWTVIPVMLLHYYRGHPASEADTVRSAVVVALTWLWSARLTHNYLRREGWEFGKREDWRFNEMRGQYGKTWWWMSFFAVYLSQQVFLIGICLPMYAIHSSNQPWGIWDIVAAATCIAGIVMAHFADTQLHKFVTRNEKLKKLGEPTVPTLEDGLWQYSRHPNYFGEQLWWWGLYLFAWNLGQGWMFVGPLVNSLCLGYVTVLVERRMLKQEHRAQAYKLYQKRTSVWIPWFRKAVPEPKEKET >Et_3B_030676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6176683:6179762:-1 gene:Et_3B_030676 transcript:Et_3B_030676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKFFVGGNWKCGKGLDDARNGTTDQVDKIVKTLNEGQIPSTDVVEVVVSPPYVFIPVVKSQLRPEIQVAAQNCWVKKGGAFTGEVSAEMLVNLGVPWVILGHSERRALLGESNEFVGDKVAYALAQGLKVIACVGETLEQRESGSTMDVVAAQTKAIAERIKDWSNVVIAYEPVWAIGTGKVATPAQAQEVHASLRDWLKTNVSPEVAESTRIIYGGSVTAANSKELAGQPDVNGFLVGGASLKPEFIDIINSATVKSA >Et_5B_045756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8894429:8895632:1 gene:Et_5B_045756 transcript:Et_5B_045756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPKKEIKLMTYNVWSREDVVVYKRMQAIADLVHTHDPDVIFFQEITPYIHSIFEKTAWWNKYHSSLKYPEEQATTKQQQDFCLLLSKAPLENFARREFPNSPTSRGFLEADINPDPATAMKPIRLATTQLERPIPPASMNCLERQAQAKHAIKSLSFSENVVFGGDMSWDDDTNGPFPRAAGWLDAWTQLKPHAFPDWTHDAIWNEEAVAFNGHVAAHECVTKRSDRFVCKLKDYKPSSIERIGDRGLGLHNYTRYYGSSKYQ >Et_8A_058082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18804997:18807608:-1 gene:Et_8A_058082 transcript:Et_8A_058082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRTQLQPPRASLLVASWRMSISTPSGGSRTYRTTEPLMKQFRTDNCQRKMGTIELRQSVLMKLYDWCKVATRIVD >Et_4A_035332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20453224:20454241:1 gene:Et_4A_035332 transcript:Et_4A_035332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCFLQLLLVLATCTATAAATNNSPLAAAPAPGPSSSTALSFLRASCALTLDRAADCYNLLLPYADTFHGSIARVARTSGVLAAARQHDFTAELARLKLRGTGAGSVADQTLDGCLDTISSDDTGANVTLARLDRLVAGIKNETEFKSERSLAQYWLYSSGSEMLQCVDWIHFAGDAALASPVLKEVIAGCNTIAGACRRLGVSSSWASLSLYIFASSDLVSGRAVSRLSSSGDSFRYPHAELTDDVVFLLFEKIGGSSKGSNGVDDSDILLGEATWRLVCPDDNN >Et_8B_059712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20944886:20947551:-1 gene:Et_8B_059712 transcript:Et_8B_059712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ELELHSRVELDVDGEGGVVAGLASEGDSALERGLAEEDDGEGGVAGVGDEEDAGDVGGGGTGRRCACWGCPREGGNKTDRSITKQRDSMQRRHWKAGYQQSFCGGLKKTLRIEKSDVYDARCGSVELEVALSSAGVGSVAALAVVDGEEGGAPDAEVVVAGDEGPGLPSDGGDEADGDEQVPRRLVLRQRLGEVGRQRHVGRGEERRPNGGVEVALVRHRQRRGHRDLQAAVLGEHVGAVVVDAHPRVRVAPRQRRLHRHRQVAGAHVDAHDGHVVEVEPGLRRAEHQPHDQHEQQHQQNHGHQRRAATTVQTLPLAVLVPIAVLDRHLPRFLFFFFLSYPILFYSVLFYREQRSSLEHRAEGVEETDSKQATWSVCI >Et_3A_023145.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:31805623:31806228:1 gene:Et_3A_023145 transcript:Et_3A_023145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HQRPLPHGCVRGRPAFGPPRPGTGRRHLRRPAVALRAGSPLHRRVARHKLLAVRALLAPRPPEPRRGSAAPGPCEPLRAGEAAGGGSARGRPPPRGQRRRIEEGRGGSAVVPSRSVRAAGVHEPRRQARRRGARRDPGSAAADPPLHHLLSRLCLLPVRHHEALPRAVGVVCRRAPEAGRDLRPAHTRHARRGERPASYPP >Et_5B_045448.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22898749:22899645:-1 gene:Et_5B_045448 transcript:Et_5B_045448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSRRAASLLALAAIAAVVLAGPAAATGKTGQVTVFWGRNKNEGSLREACDTGTYTIAVISFLNVFGHGKYNLDISGHPVAGLGDDIKHCQSKGLLVYLSIGGFGTGYSLPTPQAATELADYLWFSYLAGNRTGAPRPLGGDAYVDGIDFFVETGSPANYDVLAKRLWSFNKDFRARTPVQLMATPRCKFPDAGSGVHRALATGLVGRINVRFYGDGYCAAYWQQEWDKWTAAYPDSQVYVGLPASEQVVGYVHPKNLFYGVIPVVQKAANYGGVMVWERYADKQSNYSGYAIQWA >Et_2B_020577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21537219:21538753:1 gene:Et_2B_020577 transcript:Et_2B_020577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILIYISLAVLLVLGVVFVVPKSNRKGKMSTTYTKNEVSAHNTRKDCWIIIKDKVGKVDFRPCDFRYIDFAVIKVYDVTSYVEEHPGGDAILNNAGDDSTEGFFGPQHGTRVFDIIEDFCIGKLKD >Et_1B_013855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20910331:20913517:1 gene:Et_1B_013855 transcript:Et_1B_013855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKSNRRNPKVFLDLTIGGQPVGRVVIELYADKLPKTAENFRLLCTGERGVGPWSGKRLHYKGVPFHRVVPGFMCQGGDISAGNGTGGESALGGGRYFPDEAVGAVRHDGPGVVSMANAGPNTNGSQFFITFDGAPWLDGRHVAFGRVVDGMNAVRAVDKAGSMSGRTVKPVVIADCGELVDSTPQSLPAARPKAVTRLPVLHHLRRGAVAGLGGRHVAFGRVVDGMDVVRDVDKAGSMSGRTVKPVVIADCGELKDATPFY >Et_3B_030346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32318418:32319644:-1 gene:Et_3B_030346 transcript:Et_3B_030346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSAPELAKNAADAEPRRAPPSTVRFVPEAAGNQARQPPPIKLEEEEGAPPPPAAEEDMAPRNLWQVYALGAFIVLRWAWAKWNENRDRNDSPDGDSPDASS >Et_9A_062975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7746022:7748267:1 gene:Et_9A_062975 transcript:Et_9A_062975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AWRSPPPSVAITTPSRGFIAVSATYRTLFPCDIFSCQVSPSCSMDEASLTDGFGNNQNGRSIPMVALKALISKKPELATECGATMEDVEEGRAAGVVFVSERDVGLETLHVALRYNGRVKVLSLADIFGNDTFGGVRMEDSACFGTSFGHKADPSLIYVSTKKVVEMRRTPWTVVYRTNLRTGKTKQLTPDGQYDLSPAVSPSGTRVAVATFRSGKWTGEVEHLKTDIVVMNVDRYAHGGGLDRGKPIIEDGGWPSWGSDNIIFFHRGIDKQCTSGKVTTTWGVFRYDLTTNATERVTPEEFDAMTPAAISETKVVAATMHERTNKMVEQRDVNRYRHIEILDFAMPEKPPVKITQNIFPGADYYNPFVLDGGTRIGYDRARRHDMLYQNGNAYVPRISSKMQSPLSNVGLVRMPGVSHSISTDGSKLAFIDNEFKAVWVADKKGLRIVWERRGRNSIFSVAWNQNPEMDILYVSVGPPFNSHSAVEIYAIFFAQKSVRRLTGGGCNNAFPSSNPEGTKIVSDQTGITEASSIRTFTSWRMLLQGNLGRRLGSRG >Et_8A_058348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5520248:5521870:1 gene:Et_8A_058348 transcript:Et_8A_058348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAQERELLHQRQAWWPLDTMACSLETSSCSLFGWDPHLFYFGQGAIGNGASDSGSHEYHELDILVPKCMEFPVSEASAAVNGLLTVQDAMAMPVELDELLQNLMDSDEEGFSSWCALKEASAMSLFQHDDYFDLGTVSPTVPTSLEKTLTPPQAELPGSSSSQGSGGPRASDTTNGAQCQTVGANCPSKRWAPADQESRMGESCKRSRTASSIVGIGSSVARPFAVVKPSGADGEVTLADINKWILAPPARPVRHPVGEFACVPRVSAGNRPAPSGKTVAGFTRVHTAGRGTVTVVRTIG >Et_3B_031715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8690275:8693214:-1 gene:Et_3B_031715 transcript:Et_3B_031715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYGTIPTSSSPGGVPLGGASPLDFISRAKARGATALATRRPWRELGDIHALGLPPSLGDAYLRVRANLAHFAMNYAIVVLVVVFLSLLWHPISLIVFLVCMLAWLVLYFLRDEPLVLFGRVVGDGVVLAVLAAITLVLLLLTGATANILSSLLIGLVLVVLHGSLHKSEDNVDDEAGRWI >Et_8B_059882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3782140:3783965:1 gene:Et_8B_059882 transcript:Et_8B_059882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMGRLPDDLFMRIVLPFSVSPRRRLRLVCKRWRDIIDRAAPERHVRTKVLTFTDLSWARVRECDDSCSFTSRWGRDGSAVVFDVKDGSRMHEWTYPCCNKNSCVNLVGTCNGLLCLQEIMVSSSDNRLSTTLRVSLTNPITNETTVLPPVPSTSWDHLEQQLMSQQYSFGYHAVTGQYKVVHIPCLQNEEVKSVQVFTLGSTTSSWRDVAVLDPAGASYGRHRRIVSVDGMTYWLTACADRVMALDLKDERITSFNAMAAERPDATPMKDVRWTLTNIHAKLGLALHVCTSVEVWVLESGGLKPRWSQVYNLTRGSLITSPHLTHGEYILSSSWEFSEKETWSQLHRRHKVGNLTGGDSKKRQLQPSEWAKLIMRAEDSNGGLNTFAYVETLEPLPLPNTLCDSTIMWC >Et_3B_029429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24947973:24949281:-1 gene:Et_3B_029429 transcript:Et_3B_029429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPTKTIKVPEGFDYELYNRNDINRILGPRASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPTGKDIDALAQHIKNMLCPSTPLFFNTLYDPFAEGADFVRGYPFSLREGAPTAVSHGLWLNIPDYDAPTQLVKPRERNQRYVNAVMTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEDIIPFFQAVVLPKDCDTVQKCYVALSQQVKDKLGKIDPYFTKLADAMVTWIEAWDMLNSGEAAANGK >Et_9A_062949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7242968:7250578:-1 gene:Et_9A_062949 transcript:Et_9A_062949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDSAARSIDRAGAAPGDDGVTTPLPETIQIGNSPTYRLDRKLGKGGFGQVYVGRRISSPSLNDRTPGANALEVAIKFEHRTSRGCNYNAPYEWQVYNTLSGIHGVPRVHYKGKQGEYYIMIMDMLGPSLWDVWNNNSHSMSVEMVACIAIEAISILEKMHSKGWKDTATGEHVEYDQRPDAFRGTVRYASVHAHLGRIGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFRQFIEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKVGQKRGRFMMEEDDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADNRLAQHISKGAQDGLFISSVASCSNLWALIMDAGTGFSSQIYELSPYFLHKEWIMQHWDRNYYITALAGANNGSSLVIMSRGTQYAQQSYKVSDSFPYKWINKKWKEGFYVTAMATAGSRWAVVMSRNAGFIEQVVELDFLYPSEGIHRRWDNGFRITATAATWDQAAFILSIPKRKPADETQETLRTSAFPSQHVKEKWAKNLYLASICYGKTVS >Et_8B_059685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20703589:20704871:1 gene:Et_8B_059685 transcript:Et_8B_059685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKDYCIGFVAVAKSQEGTAPSKTLIVAPTSFVERMGKEDFTVCFYDGEESPAQVLSENGAFSLLATDYHGSCLQVSWMEPLIPAATFLLPPLNHTNTEFVSSFTMVESSESFFVDDHTMAEAGSKSFFLVSCEHIGCRNDGEKLLDCKALLSSLWTLAVQKLVLWELDREPGSEIRVAATAACMKDFLDGLGCLEQSEQSRGEEMEENPQENVEEDDEEMEENPQENVEENDPSVPRRKQRKNRENIEKDTSRRKRRKITEDEKDDPSVPRRKQRKNRENIEKDTSRRKQRKITEDDPSAPLPVPGGKLRKNTENREKDDSPVPRRKGSKRKEEQRKRKITEDNPSASLLVPGGKLRKNTENREKDDSPVPRRKGSKRKEEQRKNREKDSSRMKRRKIAEDPRRKGSKSQWRTEQTRDDPSD >Et_2A_015265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9989940:9991484:1 gene:Et_2A_015265 transcript:Et_2A_015265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLTTILLALCSLLCYRVISYYYRLEANAVVPREWPVLGHLVALIANLHRFHDWVTVGLAAVDLNNEFRGGLTGVRYFATCDPANVRHIFTSNVANYPKGDHFTEIFDVLGGGIVNADGDSWRRQRAKIQMVLTGPRFRAFAARCSRDKVEKSLLPFLAGVADDAGGRPCDLQDVFLRLTFDMACCVICGVDPGCLAADSPEVPFARAMDDASETCFIRHVLPAPWWKMMRRMGVGPERKMAAARKTIDGFVAEVISQRRRADDSKQLDDDLLSSFLCHESASSDEFLRDTAVTLLLAGRDTTATALSWFFHLLSQNPRVEQRLLHELSLVITSRKGSTNNTAANGGFCLRLYPPVPFEHKSAVADDVLPSGREVKAGETILVFNYCMGRMERVWGEDCTEFRPERWITEDGKKKKLRYEPSYKFIAFNAGPRTCLGKELAFVQMKAAAAAVLWNFAVEAVPGHVVEPKLSIILHMKNGLAVRVRRRNAVKLA >Et_2A_018389.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:2650540:2651526:1 gene:Et_2A_018389 transcript:Et_2A_018389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASLLLLLAHVVLAAFLHPCAAQGRVGNISAVEAAVRDRAFELLRRTSQLIDVAPLPAVAGGVEASALRVRSNALWADGVNATAGARGPGAVSFVIPPRVVPAPFARRVAIVFERFDVGSAAGAALFAAPPGYALAAPVVGLVAYDASEGPDPDGRVSLRATAAPVRVEFGDASSSSATGKFDAATARCLTFADGGEVVATHAVAAPGPACAVTGTGHFGVAVRLPETPPPPPPPPMAVRARWWAWTVGVGAGGVLGASFLALAVAGAVSWCRRRRREEMELRALAGEELGRMTVRGSRMPSGKVMRTRPELEEGSPLPWRGRASS >Et_1A_007322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33273600:33284927:-1 gene:Et_1A_007322 transcript:Et_1A_007322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRDWAGLPNLTLQFITDRLNDPIDFISFRAVCQSWRTAIQRKAHSRFRPWILKSDGVGVDGDIFFHRVSSDKLVKIHFPALKGKRTRLAGFGAGHIIAIDADDKLSAVLVNPLLPAGPRIALPRLPEWCDGGRTYGFVTDPEMTGDKDIFVVVYNWWPFALDRMEVAMWRCGSDAGWATIPAERFWPRMPLLRSRLAKHGPMGLELEEDAVFGNGGMAWVPGMANAQLMEHNGMVRFLIRQEDDRLPFPWPRVTFALQDMNWEHVDWADAPELHDKVVLQSSDSSCYVLPASDGDSVGLTKNCIYFFSLQQLEEGREEYCLCRWDFLQRISTVVKRMPGVWDCADARWFLPTLKTGLAFPTTRSGPPNFPGRPCSDRLNDPIDFISFRAVCQPWRTAIQREAHGRFCPWILKSDGVSVNGNVFFHRVSSDKIIKIHVPALKGRRTRLAGFGADHIIAIDADDKLSAVLVNPLLPAGSTALPRLPEWCDGGRTYGFATDPEMTGEDDVFVVVYNWWPFALDRTDVAMWRCGSGAGWATIPAERFWLRMPRLRSRLAKHGPMALDLEEDVFIGDSGMAWVPSIANAQLMEHNGMVRFLVRQEDDGLPVPWPRVTFALQAIVGDNCWELIDWADAHELHDKVILQSSVSSCYVLPASNGDSAGLTKNTIYFFSLQQLDGGTAACCLCRWDFVQRVSTIVKQMPGVWDCAEARWFLPTLKNWASLPNDSLRCISDRLNDPIDFISFRAVCQPWRTAIQRKAHGRFRPWILKSDGVGVDGNVVFHLVSSDKIVKIHVPALKGRRTRLAGFGAGHLIAIDADDKLSAVLVNPLLPAGSTTLPRLPEWCDGGWTYGFATDPEMTGDKDIFVVVYNWWPFALDRMDIAMWRRGSHAGWATIPAERFWPRMPLLQSRVAKGLLPEEDVVVGNGGMAWVPGMANGHLMEHNGIVRFLVRQEEDGLPFPWPRVTFALQAMVGEVWEHVDWADAPELHDKIILQGSDSSCYVLPGKQRLQQLEEGREAYCLCRWDFLQHVSTVVKQMPGVWDCAEARWFLPTLKY >Et_4A_034200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30733403:30737303:-1 gene:Et_4A_034200 transcript:Et_4A_034200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLACFCCAGGAAGRRRHVAPAALPSDPAYDEGLGHSFCYVRPDKGLLGVPFSADDLVADAKAAAAAEEATTFRAISGAALSANVSTPLSTSVLLLFPDESTASSAAAMSSGFESSESFAAVPLQPVPRFPSGPICPPAGGGFLSGPIERGFLSGPLDAALMSGPLPGAATSGRMGGAVPALRRSLSHGGRRLRNFTRALLARAEKFQDSMDLGSPDAAAAVAACGGDSAGLQWAQGKAGEDRVHIVVSEERGWVFVGIYDGFNGPDATDFLVSNLYAAVHTELRGLLWDQHEQEDEQDHRSDQPTSTTASDHQDQSARRRRARRSRPPRGADDDQRRWKCEWERDCSSLKPPTQRPPRSTSENDHIAVLKALARALRKTEEAYLDIADKMVGEFPELALMGSCVLAMLMKGEDMYLMNVGDSRAVLGTMDSADLEQLSAGSFDGLMGDCSPCLSAVQLTSDHSTSVPEVETFIATTPEGDPAQHLVEEVLFRAANKAGMDFHELIEIPQGDRRRYHDDVSVIVISLEGRIWRSYNTILKQEKAGAGAFP >Et_10B_002716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:148191:149066:1 gene:Et_10B_002716 transcript:Et_10B_002716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVRQQQRSSNSNSSKTAELDPVLHSIGFEIEELSPSQLTGRLPVTDKCCQPFKVLHGGVSALVAEALASMGAHMASGYRRVAGVSLSINHFRSASVGDVVLARAAPVHVGRSTQVWEVKLWKQEPSTPGKKGHLISESRVTLLCNLPVPDHLKHAGDALLKYAQPTAAKPTSRL >Et_1B_014239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4081910:4083583:1 gene:Et_1B_014239 transcript:Et_1B_014239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALALVFATAVLTHLVLCGAVAAADTTAAAKRNFTIPYAPSPSTKNQGPVTYVFGDSMSDVGNNNYFQMSLAKSNYPWYGIDYPNGQATGRFTNGKTIGDYMAEKFGVPPPPPFLSLSLYGKDVLGGVNFASGGAGILNETGVYFVRHTLCRSAAEVLVTYLFVSVTRRRMCRALQVRYLSFDEQISCFETVKKAMIAKIGKEAAEAVVNAALFQIGLGSNDYINNFLQPFMADGTTYTHDQFVRLLITTLERQLKAMLHSDRFRCACRLYGLGARKVAFNGLAPLGCIPSQRVRSADGQCMRQVNEYALQFNAAAKKLLDGLNAKLPGAQMALADTYSVVMELIQHPEKHGFTTAHTSCCNVDTEVGGLCLPNTRPCRDRSAFVFWDAYHTSDAANKVIADRLWADMMTASAVPRAGAPSPDMAPAPSPSWSD >Et_3A_024558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2188946:2189996:-1 gene:Et_3A_024558 transcript:Et_3A_024558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAAASALLRRAGGLPSSVPVEEAFPSSFRRQWRDFKRSGPLKKMGVVTPEGLVTLPRATAVLMETVASGNPIGEHVLPNGRTILVDFLRKIGIVLNKEGDTISPTFPFYWVDEEGELFMSARLGGVDEAFVRQAFEEPSQGRLTITGVRRCRHREALVFGFLEKMKTSAWLFGWYGEPAADVQEAADGGGLAANWQVIGAAQAHGRGVHVSSRDSLEPSASLSLQSAKGNPAQVLFCALAPGVREVVPVGSSQSQPSNAWFHTAVDSVYRTKWHVFWEGTAKNSILPLYSVTFSADGMSKLRQERQIG >Et_6B_049037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16979735:16981559:1 gene:Et_6B_049037 transcript:Et_6B_049037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTKAAMAHYGAAMAPLLASCTETVLATVVGRLICGLVSMTFISLADAITMAGCFVLYASVAVVACVFVYMRLPETRGRSLEDMDALCAK >Et_4A_033301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21191967:21194444:1 gene:Et_4A_033301 transcript:Et_4A_033301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKMSALDVAAVVGGVDAVTETTQAMIEKEENFAVEPEEAEDGSGNDNMQLLPAPPAALPLQQLVDACRVVFTDTTNPPTDEAIAFIRDAMDKVRTLDVWLMDEVAFFYNRSTAGHQDPPMLTWKIIYECATFKVAVFYLPMGVAMPLHDHPDVTVISKLLIGSSHIEAYDWVSPCVNAAGSGSAMLAKKVIDQHVTVPSGASVKIRDYIHRFMAGQDGPCAFLNVFVPLNSPAEKHRSAFYQDFPYGFHPNIMSGEATEEQKGELTWLSKIDELKDAKAFRLPYRGIPIV >Et_10B_002847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12483141:12487287:1 gene:Et_10B_002847 transcript:Et_10B_002847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RQRPYNARGRELAHARRPACGRAPRSVSPQAPKTPRGSAHTRPLSPAASYHQTNKHMATPSSSLAASSSHLARRAATAAAVPSCMPTQQQQLRAVRPGGAEAARARCLLGLAAIDVAIPNATTASVPAGRISVSSLLEVVADDLLRLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAGLSELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLFGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDVSLDDYLLKSYYKTASLIAASTRSAAIFSGVSITICEQMYEYGKNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQEEPELRAIIDSEFSETDSLAAAIELVHRSGGISRARELAREKGDLAIQNLQCLPRSDFRSTLEKMSSNIFHIPLGVTIWIAECKTF >Et_9B_065624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8245000:8257145:1 gene:Et_9B_065624 transcript:Et_9B_065624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASIGDPRLMSSVERAFEGHLQPRFWGQVMLRAMLVSTVVGIMFCFITLRIHMTAGIVPSLNTPTTVLSFFFIKWSISLLKSCGINVAPFTRQENVFVVYAVNNAVNVALVGGMATCATGMSSIVAKTLVDHPNKPDIVDNIDAGKYMLFLLLTGLAGVFLIVPMMQIMLVDYKLLFPSGTVAALLVNSFHTPEGAHVAKFYFDFSALFVGLGMICPKQGDWYHEKSPTSINGSNGYKVFLGVTIILTDGLFNFFTLITASISDFYNKRQEQDSQMASYFSKHPSLFYDDRKRIKQFISNRIPHLLPVVGYILCATITSVVIPSIFHQIKFYHVAALYIIAPVFSFCNCYGEGLTDWSAAPTYAKFTIFIIAAWVGQPGAIVAGLASCVIMNAAVHVSAFSMQDFKTGYMTLTSPQVMVAGEIFGIILGSIVNPFIFYAFKETVKNKIPVGAQRSEFPCPYAGVYRAIGIIGMGGVKELPKHCFTLCMLAFFITVAVDSLRVVSQKKGWTLQNYISSMTAFAVPFFTGSAFTIPMCLGSVVLYVWKNIDSKSEELLSAAVAAGLISGEGLFALPTAILTMKKAEPPICMKFLPSEELNKVNAFLGNLASAN >Et_2B_020100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16843610:16847081:-1 gene:Et_2B_020100 transcript:Et_2B_020100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERESRSGVLSETGSCVGTPRSVNSSCSLQHRFSSRSLLKTHEGALDMSPRFSYCKPTTHREKMLHRRHSLNLPEQLPGHYSRKTMERTQKATSKSVADLAGEIAALEQEVVRKELHLLSLYRRAFDQYLSESCSFTSEKVDQETLKNIDEGALRLRDMKHSAAFKLPTISNSEVSKPASRHSSLVNFLSASISDYVPKISCKLSEDILSCIASVYCKLGRTPSQDVDCMASPSPSVSSASTFSPRHRNDSWSPRYNFDSPRQYGFQKERNEQNIGMIIVPNIRVDADKFDYASRMLETMRALIQRLEKIDPMKMTHEEQLCFWINIHNALVMHAFLAYGLQDKRMKSTDMILKAVYNVGGQSVNAQIIQNSILGCQSHRPSLWVRALFTPTKRSSAGTIRHPYALQHPEPIAHFALSTGTFSDPPVRLYTAKKIHHQLELARTEFIQANVMVRKQVLLLPKVLHYYAKDASLELRHLVELVCESMSEAQLQEAQRCLRRRVDKCVEWLPYKSSFRYVVHRDLAE >Et_3A_025540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30597321:30603080:1 gene:Et_3A_025540 transcript:Et_3A_025540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEAVAGGESAGELLLRAAAVVPAERYAIAALVVLSVIVYRFLELHVIGDFLRGFRGGRVELTFHPASEIYHRVVSKCRSVHGRYLATPWLASPHLQTLFLGISGRPPSFTYKRQLYTVHDGGTVALDWLLGSDLEGADGFISKDASTPLVVVVPGLTSDSSAAYVKHLAYSMASKGWNVVISNHRGLGGVSITSDCLYNGGWTEDIREVINYLHKKYPKTPLFCVGTSIGANILVKYLGEEGEASPVAGAVSICSPWDLLIGDRFISRKLVQRLYDKALAIGLKGYAKLHQPVLARLANWEGIKKSRSIREFDHHATCIVARFETVDTYYRRCSSASYVGNVSVPLLCINALDDPLCTREAIPWDECRWVGATSEFLCALHDSSYMHRQKAQDRVLHSSLESSIDKSPYVNFMEDGMVAPVTNDGTGNDGSPSNQTVDEVEISDTVGVIEQNKKSTEKQIECTSGVENKSPVGAPDQQKDDVYSNKLREIIIPVKRSINQLTRYQGRSVWLLAYIAFVTSWPLLGSLAFITFRKKIKNPLRAK >Et_2B_022741.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:4068680:4069261:1 gene:Et_2B_022741 transcript:Et_2B_022741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATSAATAAAMSTAFAAMELLPFAGPRPVPGASSTSTPASTINLKGAVQIPLLLSLFGSVAFVHRRLHRAAAAGAGTGTELPALVVCAAVSLLELNLFVLQPAGVIDDGVVALARALGAAAAAALPATATVTFVLGITLVIAHIRAGGEGGGTGAVANHRRVPVPVEFLKKLALGAAAALLVQMAMAIYFT >Et_2A_018697.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5291428:5292627:-1 gene:Et_2A_018697 transcript:Et_2A_018697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSRSSSDALPSQRAPSSRRSSSSAKRNPTAAGAGVSSSSPFTSSSGGLASGAGAATASAQRRATSSSYASSASSSLASLAAARASLPDPPVLYPFRELAAATNNFLAKRVGGSASAYWRCSLRGRDAALFQLPLRAGAAAPDAAALARVGRYHHTGLARLLGACPAGAHVYLAYELPPGAATLAACLRSARNPGFTALRTWLSRVQVAADVAQGLEYIHHHADAVHGRVSPSTVLVSDPGLRARLTHFGAAEFAAAADAREAGDAPYAPPGSSEPSREADVYAFGVLLLELLSGEEPEKYHFDRSTKEFQRVSVIETAAAAVAAGTVRSWVDRRLGDSFPVATAEKLVGVGLRCAAAEDRPDMTWVAGKVSKVYLQSRAWEQKLRVPTEFSVSVAPR >Et_6B_048919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15534192:15534692:-1 gene:Et_6B_048919 transcript:Et_6B_048919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPCARAHAGILVLRRLVPHPQTTKNGRLEVPNTTLAHDGEKQLESASSVPVGLYAVQRENVFLDRTDKHKSGDCKFGVVCKFRHPKDRTVHVPNCALSSIELPLCLGEPICTFYLAMKCASLVQNANSIIQCKPSYTSLFVVTNMFESGG >Et_3B_030580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5085141:5089109:1 gene:Et_3B_030580 transcript:Et_3B_030580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPRALLVLPLVLLLLASVSPPGADAWGKEGHIMVCQIAEKYLSEKAAAAVQDLLPPSAGGELSAVCPWADQARWHYHWSSPLHYANTPEVCGFKYSRDCHNSRGQQGMCVVGAINNYTDQLYSYGHSKSSYNLTESLMFLAHFVGDVHQPLHVGYEEDEGGNTINVHWYRRKANLHHVWDVSIIDTAIKDFYNKSMDIMVETLKMNLTDGWSDDITHWENCANKRATCANDYAIESIHLSCNYAYKDVEQGITLGDDYFFSRYPVVQKRLAQAGIRLALILNRIFDGDETDVKPVQGLKSHWLEIRNIESRETLYDKQIEVAAIISSIRTSETR >Et_1B_010667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12900538:12903082:1 gene:Et_1B_010667 transcript:Et_1B_010667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWVFGYGSLIWNPGFDFDDKILGFIKGYKRTFNLACIDHRGTPEHPARTCTLETDEEAICWGIAYCVKGGPEKEQKAMQYLERRECEYDQKISVDFYKEGDSLKPAVTGVLVFVSTPDPVGNKYYLGPAPLKDMARQIATANGPNGYNRDYLFSMEKALSNICHEDDSIIELANEVRKVLNRASKETKITGTDASLKSHVPLVSLSALPEGTVVDSR >Et_5B_045220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12316594:12326234:-1 gene:Et_5B_045220 transcript:Et_5B_045220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPASVAARLAAVAADPGGVPLPVFNSLLSVLAASDLSHAHLPLHLFRRRLLPLRRPDAFTLSALASSFPAGADALHAFALRLGLLHSDPVLANSLLLLYLRRNRRPDLARRLFDEMPARTASSYNTLITHSPPGADVMWCLVRRMVADGCAPDRFTVSAVLPACPSLSRGRELHCFAVRMGMCGADDFHVNSGLVSMYCRVGQPDLARRVLDGIAQRNVVSWTAMVGGYAENGMYNDAVDAFREMWASDGVLPNKIALLSVLSAVEALSGLAEGKQVHGFAVRMGMSREVSLNNALIDTYAKCGALNYARRVFDDGSWRKDVISWGAMILGYGIHGLGIEAVALFDQMLASGVQPDSIVGLGVLSACCRAGLVMKGLEIYNSMVKDHGVHPTEEIWLSMFSCMIALIGHECAIMKQAWMKGNRAPQEAMESESFVQIDGLANVYWEIIYYLSDSSDSNISLLAKKVYNLSWLVIAVVVNVLKLLVHLSIPSILLAAMWCSGLARCSQAE >Et_4A_033452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2316038:2326506:1 gene:Et_4A_033452 transcript:Et_4A_033452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGKRWLPRFLFIVALSATPWLLVVYTHLLVFHGAPTSAPHASLVAVDPTDGGEQDAQRFLLQQEQQLNELPFSATAAGALPAAREEERRRAHGDAAAADGCAGRYVYIHDLPPRFNADILAQCHVWYPWHNMCSYLTNAGLGLPVNNSDGVFADQGWYATDHFGLDVIFHRRVEQYGCLTNDSSRAAAFFVPFYAGFDVVQHLWGHNASTKDALALDLARWLTRRPEWRAMGGRDHFVISGRTAYDHLRDSDSDSEWGNKFLRVPAVQNMTVLVVEKTPWLDFPSPIPYPTYFHPAKDAEIFDWQRRMRAMKRDWLFTFAGGERPDDPASIRNHLVRQCNASSSSCKLIRCRKSSRQCLNPSTFMGVFQRTRFCLQPAGDTYTRRSAFDAIMAGCVPVFFHPLSAHAQYKWHLPDDHDSYSVLIPEDDVRAGNVSIEERLRRIPTDVAERMTQTVIDLIPRLVYADPRSKLETVKDAFDVTMEAIIAKVNKLREEMRRGQGHLQVKPGNGRDDMEKGGGKHPPSRLCFLATLAAMFWVLIFYFHFAVISDDPEASTARTVPVRIARPGRPFRVPDGASRAELPPAPVARASEPPPHVARPEMVESSAQEAPPKVAVSYPFERALKTAENKSDPCGGRYIYVHDLPPRFNEDMIRNCKRLSVWTDMCRYLWGYNISTRDAASLDLVDWLMKKPEWKVMGGRDHFLVAGRITWDFRRLTEEESDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPSKDADVFQWQDRMRSLERPWLFSFAGAPRPGDPKSIRGQLIDQCRSSSVCKLLECDLGESKCHSPSTIMKMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYVQYTWHLPKNYTRYSVFIPEDGVRTGNVSIEEKLKGIHPDVVRKMREEVINLIPRVIYADPRSKLETLKDAFDVSVDAIINKVTTLRRDIIAGREDKDFIEENSWKYDLLEDGQHKIGPHEWDPFFSKPKDKGGDSSSSSAEAAKNSWRSEQRDRS >Et_3A_026621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10693621:10696209:1 gene:Et_3A_026621 transcript:Et_3A_026621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCCCVSDDDDVGRRKKIDDPYVPIPTQGGNYGPRRPQAQPYAMPTVRAQPIAVPAIPLEDLKEVTRNFSGDALIGEGSYARVYFGVLKDGKKSAVKRLDSSKQPDNEFLAQVSAVSRMKHENVLQLLGYCAEGNIRVLAYEYAPRGSLHDILHGKKGVKGAQPGPTLSWMQRVRIAVSAARGLEFLHEKAEPRVVHRDIKSSNVLLFDNDVAKIGDFDVSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSTKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWSTPRLSEDKVRQCVDPRLEGEYPPKAVAKMAAVAALCVQYEGEFRPNMSIVVKALSPLLHSRSRPSTSAAEGSGP >Et_3A_023193.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:951600:951875:-1 gene:Et_3A_023193 transcript:Et_3A_023193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAPSSSPAVRCSRSGGPSRVTTLCRRPTAAAGCLYSSSHNPCTTTMYVVVGLVVVEPIRLASLQRLFCSSYCVSVISSTAAIVFVVIFL >Et_1A_005133.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28529807:28529986:-1 gene:Et_1A_005133 transcript:Et_1A_005133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGVILYTWWNIWKERNRRIFESKQGDHFQVALQAKEEITLYRMANGEQEHGGVVTEGPA >Et_7A_052248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6013912:6015805:-1 gene:Et_7A_052248 transcript:Et_7A_052248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIAKPPLLSDLVAQTGQVPSSHVRPVGDRPDLANVDNESGAGIPLIDLKKLNGPERSKVVEAIGKACESDGFFMVTDHGIPTEVVEGMLRVAREFFHLPESERMKCYSDDPKKAIRLSTSFNVRTEKVSNWRDFLRLHCYPLENFIDQWPSNPPSFRQVVGTYSKEARALALRLLEAVSESLGLERSHMVTAMGRHAQHMAVNYYPPCPQPELTYGLPGHKDPNAITLLLQDGVSGLQVQRNGRWVAVNPVPNALVVNIGDQLQALSNDRYKSVLHRVIVNSESERISVPTFYCPSPDAVISPAGALVDDGHPLAYRPFTYQEYYDEFWNMGLQSASCLDRFRPG >Et_3B_029184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22848360:22854519:1 gene:Et_3B_029184 transcript:Et_3B_029184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARRLANRALLRRLLAAPASTTSPAPAREISTLAPASAAGRRPSPRGPRARGGAHRHSQGREVSVAALQPSDTFPRRHNSIAPDEQGAMASACGFNSLDALIDATVPAAIRAPPMKFHGKFDAGFTESQMIDHMQRLASMNKAYKSFIGMGYYNTHVPGVILRNLMENPAWYTQYTPYQAEIAQGRLESLLNFQTMVADLTGLPMSNASLLDEATAAAEAMAMCLGILRGKKKTFFIASNCHPQTIDVCQTRAAGFGINVIVADPKDFDYSSGDEYKRLMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIADRVHGLAGTFAHGLKKLGTVTVQELPFFDTVKVKCADARAIAEEAVKNEINLRVVDANTITVAFDETTTLEDVDKLFKVFSGGKPVNFTAESLAPEVSSAIPSSLVRDTPYLTHPIFNMYHTEHELLRYLHKLQSKDLSLCHSMIPLGSCTMKLNATVEMMPVTYPNFANMHPFAPTEQAAGYHEMFQDLGHLLCEITGFDSFSLQPNAGAAGEYAGLMVIRAYHQSRGDHHRDVCIIPVSAHGTNPASAAMCGMKIVAVGTDSKGNINIEELRKAAEANKDNLAALMVTYPSTHGVYEEGVDEICRIIHENGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVIPTGGFPLPEKADPLGTISAAPWGSALILPISYTYIAMMGSKGLTEASKIAILNANYMAKRLENHYPVLFRGVNGTVAHEFIIDLRGFKAAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDALISIRQEIAEIESGKADAHNNVLKSAPHPLQLLMSDTWTKPYTREYAAFPAAWLRGAKFWPTTCRVDNVYGDRNLICTLQQASQVAEEAAAATA >Et_8B_060727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5613650:5618724:-1 gene:Et_8B_060727 transcript:Et_8B_060727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSAPLASWPWENLGSYKYLLYGPLVAKAVASRAWESGSPDHWCFQLLLLFALRAATYHFWAMFSNMFWLNRRRLIVRDGADFEQIDKEWHWDNFLILQFWMAAMALYAFPSLRHLPIWDARGAAVAILVHVAATEPLFYLLHRAFHRGHLFSSYHSLHHSVKVLQPPTAGFATPLEHLATGGLMALPVAAACAAGLGSAALLFGYTLAFDFLRAMTHCNVEVFPGRLFRAVPAARYLIGTPTYHSIHHMKKDSNFCLFMPLFDALGGTMNDKSWEMQKKNSAGRSGSNDVPGFVFLVHVVDVMASMHSQMLSRSYASRPCSATPAIVALWPVALAFVPVLWAWSKTFVYSFYRLQGRLCQTWIVPRYGFQYFLPFAQDGINSQIELAILRANKMGVKVISLAALNKNEALNGGGALFVKRHPGLRVRVVHGNTLTAAVILREIPEGTAEVFLTGATSKLGRAIALYLCRKGVRVMMLTASEERFKKVQEEAPAEAQQHLVRVTKYQSAQHCKTWVVGKWLSPREQLWAPAGTHFHQFVVPPIIRFRRDCTYGKLAAMRLPKDVEGLGTCEYTLERGVVHACHAGGVVHFLEGYEHHEVGAIDVDRIDVVWEAALRHGFSPA >Et_8A_056706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15561322:15565275:-1 gene:Et_8A_056706 transcript:Et_8A_056706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAGELGAGADVVVVQLPNPSEEDPLHQDKKISDIDGVSSGSYSAISQFLYGLKFCESHVFLNPVWQLENFFSKFTFQVQKILESRSLSCVFQVPISCSAMDASKLLDQMIQAARVVQMDELEHYFAGDDDIGPFSGRNELESLNLLFRIMNTLLPTSNAVAKEVLQRLQDEIVVRLRSVGKTDDSQMIVQTQNHDAEDSLLKWGEHHGVKTKLQIAFFQGAGRGMLASESIGVGDIALEIPESLIISQELLSQSEVFVALKDFNNITPETMLLLWSMKERHNLSSKFRTYFEALPANFNSGLSFGIDALGTLEGTLLFHEIIQAKQHLRQQYDELFPLLCINFPEIFKKDVCTWDNFLWACELWYSNGMMVILSSGKLSTCLVPVAGLLNHSVSPHILNYGRVDEATKSLKFALSRPCNAGDQCFLSYGKHPGSHLITFYGFLPRGDNPYDVIPLDLDISVDDEDGTNQSVSTSQTNHMVRGTWLSRSNGFPTYGLPQRLLSHLRAVLGCDFDGLTAEAVLMENDRVVLETLLSIFNPMLEALHEPDDFDRENASWDVKLALDFKNLQRRIIGSIVTSCSSALEKF >Et_3B_029439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2517700:2524844:-1 gene:Et_3B_029439 transcript:Et_3B_029439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFRLNTSWSTMNAILVSGGLWQSFHLNFRNATCTTYTVNCSSRPLLLTKKSASSVVTLRDRPGMPSHGDPLATCLPFLIAVQLIHDSSSPFLSLMCKFPCTSTRYKLPLIIFTRFVLVFGQPTKMDVGTLIAKR >Et_4A_035456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25348549:25354328:-1 gene:Et_4A_035456 transcript:Et_4A_035456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPASSSSSRTRQSSRARILAQTTLDAELNAEYEESADSFDYSKLVESQRTTPLEQQGRSEKVIAYLQHIQRAKLIQPFGCLLALDEKSFRVIAFSENAPEMLTTVSHAVPNVDDPPKLGIGTNVRSLFTDPGATALQKALGFADVSLLNPILVQCKTSGKPFYAIVHRATGCLVVDFEPVKPTEFPATAAGALQSYKLAAKAISKIQSLPGGSMEALCNTVVKEVFDLTGYDRVMAYKFHEDDHGEVFAEITKPGIEPYLGLHYPATDIPQAARFLFMKNKVRMICDCRARSVKVIEDEAASIDVSLCGSTLRAPHSCHLQYMENMNSIASLVMAVVVNENEEGDEPEPEQPPQQQKKKKLWGLIVCHHESPRYVPFPLRYACEFLAQVFAVHVNKEFELEKQIQEKSILRMQTMLSDMLFKEASPVGIISGSPNIMDLVRCDGAALLYGDKIWRLRTAPTESQIRDIAFWLSDVHRDSTGLSTDSLHDAGYPGASSLGDMICGMAVAKITSKDILFWFRSHTAAEIKWGGAKHDPSEKDDSRRMHPRLSFKAFLEVVKMKSLPWSDYEMDAIHSLQLILRGTLNNANKPAKESGLDNQINDLKLDGLAELQAVTSEMVRLMETATVPILAVDGNGLINGWNQKVAELTGLRVDEAIGRHILTLVEDSSVPIVQRMLYLALQGKEEKEVRFEVKTHGSKREDGPVILVVNACASRDLHDHVVGVCFVAQDMTVHKLVMDKFTRVEGDYKAIVHNPNPLIPPIFGADQFGWCSEWNAAMTKLTGWHRDDVIDKMLLGEVFDSNNASCRLKDKDSFVRLCIIINSALAGEEAEKSPFGFYDRNGKYIECLLSVNRKENEDGVVTGVFCFIHVPSDELQHALHVQQASEQTALRKLKAFSYMRHAINKPLSGMVYSREALKNTGLNEEQMRQVHVADSCHHQLNKILADLDQDNITDKSSCLDLEMVEFLLQDVVVAAVSQSLIACQRKGIRVSCNLPEKFMKQKVYGDGVRLQQILSDFLFVSVKFSPVGGSVEISSKLTKNSIGENLHLIDMELRIRHHGTGVPADILSQMYEEDNREQSDEGLSLLVSRNLLRLMNGDVRHLREAGMSTFILTAELASAPAKAMTVDK >Et_5B_045437.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22300948:22302135:-1 gene:Et_5B_045437 transcript:Et_5B_045437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQEDDGHGKAKEADADAVAVTSYATASWASRATWAWMNPLVSRGRRAALQPSDVPALAPSHRPERVHERFAVRWPSPSSPASGGGNSKKNPVRRALLGCFWPQLLLNAALALARAAAMYVGPLLIWSFVELCSSSSSSAPSSAKPDHRPPPLWHGARLVLLLLAAKAFEAFCGGQYAFRCSLLGTQVRGALAAALYRKGLRLSCAARRRAPGAGGAGASVVNLVAVDAQQLADMAPTVNYLWLTPLQLAVALFLLHRYVGVPATLAALAGVLAVVALVLASARSKSLYQMRMMSAGDARVRSLTEMLGGMRVVKLHAWERRFRARVAAARRLEFGWVARFTYSVGCNIVAVWSAPLLLSTLLFAACEISGDARRLDAGAAFTATAVVQTLQEP >Et_9B_063816.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15894200:15894574:1 gene:Et_9B_063816 transcript:Et_9B_063816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGKVSKLQELRLSLSRSRGGAGGPSANAGVGGGAAVSPRRLSSSSSSTASPPSSCVSSEGSPEAGGGGGGGAPMILAGCPRCMMYVMLSREDPRCPKCHSTVLLDFNDAGAGAKSKGRRG >Et_1B_014301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5565022:5567209:1 gene:Et_1B_014301 transcript:Et_1B_014301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDRFPAWEAALLAGVEAVFAAGLVGVYVSMPPSDYSFLKLPRNLQELQVLTDHLEDYSSDYTLQVLVGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLGGLALVVFAATAGASSCYFLSKLVGKPLVFSLWPDKLSFFQKQVAKRKEKLLNYMLFLRVTPTLPNTFINFASPIVDVPYHIFFLATAIGLIPAAYVTVRAGIALSDLRSLNDLYDPQSIALLFLIGIVSVTPTLMGKNEPQAKASDMAASAN >Et_5A_040507.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:26827106:26827153:1 gene:Et_5A_040507 transcript:Et_5A_040507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILVFVTARRGVK >Et_4B_038450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29077847:29080067:1 gene:Et_4B_038450 transcript:Et_4B_038450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVQGKVAKGGGGAQAVVDVEDQPSAAAELRALWSMAAPITALNCVVYLRAMVSVLCLGRLGPLDLAGGALAIGLTNITGHSVLFGLASGLEPVCAQAFGSRNYELLTLSLQRAMLLLLIAAAPIALLWLNVGPILVALGQDPTISAHAAAYAAYALPDLAASVVLQPLRVYLRSQGIAKPMAACSAIAVALHVPLNVILVFGPLGLGVRGVAVAQALTNTNMVLFLLAYIRWARACEDTWRGWARPAAVASGLPGLASLAVPSCVSVCLEWWWYEVVTVLAGYLPNPAAAVGAAGVLIQTTSLMYTFPMALAACVSTRVGNELGAGKPRRARMAAMVALVCALAIGVVHVTWTVAFSRQWVRLFTTEPSVVALASSAMPVIGLCELGNCPQTTGCGVLRGTARPAVGARINLLSFYLVGTPVAVYLAFGSPRAGFRGLWYGLLSAQAACVALVLLAVVWRTDWHVEAMRAKKLAGLELVPTTTTATDEETKRLVVAANGEPAEDV >Et_9A_062458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23129531:23132257:1 gene:Et_9A_062458 transcript:Et_9A_062458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGNGSAAAGGDTKAVFAQIYYKLKEELLADPAFEFTPESHQWIDRMVDYTVLGGKCNRGLSVVDSYKLLKGADVLPEDEMFLACTLGWCIEWLQAFFLVLDDIMDDSHTRRGQPCWFRVPQVGMIACNDGIILRNHISRILRRHFKGKPYYADLLDLFNEVEFKTASGQLLDLITTHEGEKDLTKYNITVHRRIVQYKTAYYSFYLPVACALLLSGEDLNNYGTVENILVEMGIYFQVQDDYLDCYGAPEFIGKIGTDIEDYKCSWLVVQALKRSDESQKKILFENYGKKDPACVMKVKNLYKELDLEAVYLEYESESYKKLIAEIEAQPSVPVQNVLKSFLHKIYKRQK >Et_4B_038468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29263090:29264202:1 gene:Et_4B_038468 transcript:Et_4B_038468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSMALPLSSASSTTAPILPPFRPCLLAALRLPSSRAHARAVSAGYAASFYGGSASAAGGVDDEEVGDEEGSASGFGAGLGLGGGGLGMSAAEAALALEEREMPPCPPGLRPYETMVVLRPDMSEEERLALIQRYEELLVAGGAMYVEVFNRGVIPLAYSIRKRNSRTGLPSTYYDGIYLLVTYFTKPESLKALQERLNADDDVIRSTSFKVRKRKAF >Et_9A_061497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12776004:12778588:1 gene:Et_9A_061497 transcript:Et_9A_061497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRGVVAVLVLVLLSLLADPGSCYDAEQGTMNQDEDTRSTAARLIQGDRTRKILQEQNKYWSGQFGNNKAGWPDFTSYSPPAYNGGGRLQGSSVPTPPSTSFPQWPTWPWTPKASPPMSFPPLDAPAPSPVASAEPELKPRHDTSPARSIALPPQHAAAAPSDAGGIPAVVAGAAAGAGRRSGVARHVYVIAAAGASLLAAMSVALFVLCYRSSSKVVTVRPWATGLSGQLQKAFVTGVPSLKRSELELACEDFSNVIGSLSDYMVYKGTLSSGVEIAVVSTTKSSAKEWSKHCETQFRKKITSLSRVNHKNFLNLLGYCQEEQPFTRMMVFEYAPNGTLYEHLHVREDGHLDWPTRLRVAVGVAYCLEHMHQLSPPEIIRTLDTSTICLTDDFAAKISDVFFCDEPREELASLSPSALSDKESVVYSYGMVLLETVTGRFTASQGGLLESWAASYLRGERQLRDVMDPTMRRSSFHAATVDKLDAVIRGCTDREPRRRLTIPEVARRLREITAMPPDAATPKVSPLWWAELEIISTEVN >Et_9A_061905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17695023:17697708:1 gene:Et_9A_061905 transcript:Et_9A_061905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGRPAAEEPGTPVARGTPWKGRLRTHHETPQSMSTQRRPCRAKNREEAEEGETSKKRGSPKNTRRGRSAGAARLPKAPQRRSPRLSGSDPEHPIVIDEVSEEFKGRGNQVAIKPLRRSPRFQTENKDSSDKQVLLPDPQETAHNRKTEKALGKDRSQESLKRSKKNAGVKPLARMKSQKEPQEPCQEPCHCEVLTGKRKRGTGGKSSSKRQSCQDPKSSPSDWQEIAPGNEPTKSVDSKIEKDPSVIRHPKIGDEILMNADKINKAASGIEREGREHCFDSDDWTEEQDMALRKAYFTARPSPHFWKRVSKMVPGRSAEECFNRIHADLSTPTPIGPRPRTSKSFSPLGKFTLSDAKLPNLLEPTVARQRTAKQKSLAAQKTVRHLLQKHCRIDQAQQADHFSIFETSPSAIQLNISLEDSPGTPDSYMNTGSLNKCSGSSFARKKPLSRLRTKQAEPSPAVLKPIKNAVLHERYINQLSRREGTKQRSRKRTPGSEAAESENTFHDKQAGGLKAAKNALISEATDFIGRFKKLQAHSHAHIVENSEDDESDCNEHASDDDHADKE >Et_4B_036240.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12677856:12677915:1 gene:Et_4B_036240 transcript:Et_4B_036240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSCLFLDGSRSLETCSN >Et_3A_024869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2550227:2552443:1 gene:Et_3A_024869 transcript:Et_3A_024869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAPPPAEPPSPPPPAPTEDAGVEMEAAGSRSRASSVGTVNWGTATLVGVFAGLLYGGSREASANVSKDAEVMLKMGSTTDKREQYRLMRDAMEKRFIRVAKGSLIGGVRLGMFTATFFGIQNLLIENRGVHDVFNIAGAGSATAAAFGLILPGSMMWRARNVLVGSVLGAGICFPLGWIQLKLAEKAKLEIANSKSPSDLAEEKGNQSGVGAAIARLESSLKK >Et_8B_060014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4976452:4980151:1 gene:Et_8B_060014 transcript:Et_8B_060014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPPRRGCGSRPPSPPSETTLSSLPLEILERVVSHLPVRDAVRTSAVSRAWRRIWDFDLHEGSFWHADDWVRLLAGKGVRDLELHFSQGRDIEPHDMDASIFSCRELTCLRLSGCDIPAAPLGLTVFPNLTWLYLRWVGFPDNGVRDLEAFISNCPLLQVLWLYELWFPEEDEEQDELEECVLQAPNLRDLAIVSESEYDFGWQTGELPSIEKVEINFNNYSHNRDFVQLLTPLARVRKLTLKMPWRESNMLEGLSCCFENLKCLSLHTDFCTVSGILSIICLLKNAPNLEELLFQIEDDELQHAEVGVDFLNAQWADGLFANLRSVNMYLATCQTNEMHFIEFVLSKAQRLQKFDICLNEYCPKSNEELLVEILKYRRASPHAKDSPPRRKPRAAAAPSLNCLPPEILEKIVSYLPLRDSVRTSALSRAWRHRWESVPGLRILRSDDAPPAAFSAVLTRYACPVREFLQCFLDKEACGHTDEWLRLLASKGVQSLTLFFDEIGPGRHTIHPRIFSCVELTDLSLGHCRLPSVPSCFTGFPNLTSLNFT >Et_3B_027954.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28063258:28063464:1 gene:Et_3B_027954 transcript:Et_3B_027954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDWAPAIIATALFALLCPGGILQMPGRHRPVDFMNMKTSFASMIVHAVIYGLLLMLFLVILQPHLYI >Et_1B_013472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8861292:8870711:-1 gene:Et_1B_013472 transcript:Et_1B_013472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARHGGLRGRDVAREREREREIDLERSRRSKECHHHRRHPSRDRDSDRRRDGGRSRGREPSDGHSCRRSPHPPPRSRPLGRVEDREPGELSSRSGSEESRGASLKVREPRENGDVGVCRYGSSLSPSRKRKHSPVRDANVSKLQATYSVRSGREVDTIATELPQPSPPPLSDASTVATAGECSPMIFSHEAEQLPDHGKIETLEVEEECPMMRNIFTSRWADAEEEEEVAVPKKKSSSLANSVEQRPTKRASSLEPGEVLGDNISGGNSSISPNSMVVQGSENEDLEDNIDSPAGYLLDTDSDNNLRRSRTPENAQPSRRCINMLQSCRSIDEFERLNTINEGTYGVVFRVRDKKTDEVVALKKVKMDKEREGREGFPLTSLREINILLSLHHPSIVDVKEVVVGGRDNDDTFMVMEYMEHDLKGVMETLTQPYHQKLLLGAKEYSTAIDMWSLGCIMAELLTKEPLFNGNSEIDQLNKIFRMLGAPNDEIWPGYSKLPGAKAKFVKQTRNRLREKFPAVSFTGGLTLSEAGFDLLNRLLTYDPEKRISVDAALNHEWFCEVPLPKTKDFMPTFRALNEHDRRFKQYVKSPDPLEEQLLKEQGSLALVDKKTPSLNIPVQAAFDDITVLIRGAKGKASGDPPDLAGRGGGVGGGDGEAPGEEGGGAPVVTVLFGAAVALSALFWAGWRRS >Et_1A_009016.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:25426854:25427798:1 gene:Et_1A_009016 transcript:Et_1A_009016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTTSSGGGTSAASQNPHLGVNKLGRSIRKATPPPPAPPPQQQPAARPPQPQPQVYNISKNQFRDMVQQLTAGTPSPSPPPPQYNQQHRPYPPPPQQPKPPSMRLQRIRPPPIATPVARPPPVHPQHHHNPAVVPNPNHHQANPAAFHRPPHPHPQQGMQPPPGPAWADSPVSAYMRILENSLFSATPPGAAAAAAAAAAASVAARQAPPQQHPHPPPPPPVPSPGILPSPSGFLNLLSPTPRSPYPLLSPGFQHPPPLTPNFPALSPLPGTGILGPGPMPPPSPGLWFPQSPSGLLSPSGFLPILSPRWRDM >Et_1B_010433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10490638:10496199:1 gene:Et_1B_010433 transcript:Et_1B_010433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPLVPRSPPLAGVAAAAMPPRRRRAIRPPPSPPHLSSTPPPPRPPSVEAPKTGSSGDHDPPSAARRRLPLASTAADQEAQGEWHPLPLSTADLSLPLTLPTGQTFLWRRTSLSPLRFTGAVGPHLISLSHLPNGRLAFLLHNDGGAHPASSSKLTAARASLCDYLNAAVPLADLWRQFAAADERFAEVAARIGGGGARVLRQDPVECVFQFLCSSNNNIKRIEKMVWTLAGHGERLGEVGGFVFHQFPTIERLARVSEQELREAGFGYRAKYIVGTAKELQAKPDGGEKWLASLRERELPEVIEALCTLPGVGPKVAACIALFSLDQNHAIPVDTHVWKVATKYLLPELAGKSLTPKLSVVVSDAFVTRFGSYAGWAQNVLFIGQLSSQKLTVTEVTTDTTKLTKRKRTAMCRNLKPLELYRK >Et_4A_033176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19548966:19552301:-1 gene:Et_4A_033176 transcript:Et_4A_033176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSMNEAFVQAPEHRPKPTVVEASGIPVIDLSPLLAGDGVDAVAAEVGAASRDWGFFVVVGHGVPADTVARAEAAQRAFYALPADRKAAVRRSEAEPLGYYESEHTKNVRDWKEVYDLVPRKPPVALADGQLVFDNKWPQDVPGFRESLEEYDAAMEDLAFKLLELISRSLNLRPDRLHGFFKDQTTFIRLNHYPPCPSPDLALGVGRHKDAGALTILYQDDTGGLDVRRRSDGEWVRVKPVPNSFIINVGDLIQVWSNDRYESAEHRVSVNSAKERFSMPYFFNPASYTMVEPLEELVSEDNPARYNAYNWGEFFSTRKNSNFKKLNVENAAGVPVIDLSPLAAGAAVDALAAEVGAACRDWGFFVALGHGVPAETVARATDAGRAFFALPAERKDAVRRTERAPLGYYDAEHVRNVRDWKEVFDMFPREMPAPAEDDGELVFQNKKALEEYAAAMDKLAFKLLELISRSLNLTPGRLYGFFREQTTYMRINRYPPCPRPDLVLGLGRHKDSGAIAILSQDDVGGLDVRRPSDGEWVRVKPVAGSFIVNVGDIIQVWSNDRYESVEHRASVNSEKERFSIAYFFNPSKDTLIEPLQEMVSDDNPSRYNAYHWGEFFNTRRRSNFRKLNVENIQIAHFRKDGLVV >Et_4B_037343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18722520:18726848:-1 gene:Et_4B_037343 transcript:Et_4B_037343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAAVTVGPGMDMPIMHDGDRYELVRDIGSGNFGVARLMRNRADGQLVAVKYIERGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTIQRILSVQYSIPDYVHISPECRDLISRIFVADPSTRITIPEITNHPWFTKNLPADLMDESTTSNKYEEPEQPMQSMDEIMQILAEATIPAAGSRINQFLNDGLDLDDDMEDLDSDADLDLESSGEILAESSSRWKQQYFTSELEGNSGHM >Et_8A_057797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7336737:7338740:-1 gene:Et_8A_057797 transcript:Et_8A_057797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSPLCAAALALAIMLIGEAASAAGNVGVKGLFDYGKALHSSLLYFEAQRSGHLPYNQRVRWRGHSGLADGLQEGVDLVGGYYDAGDNVKFGLPMAFTVTMLSWSAIEFGDEIAAAGERRHVLEAIKWGTDYFLKAHAEPDVLWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRDNPGSDLVGETAAAMASASIVFRRSDPHYSHLLLHHAQQLFEFGDKYRGKYDSSVAEARRYYGSVSGYGDEMLWAALWLHRATGRAEYLDYAVDMADEFGGTGWAITEFSWDVKYAGLQILAAKLLLEGNHRPEHQATLEQYKSKAEHYLCACLGKNGAAGNVNRTAGGMLFVRQWNNMQYVTNAAFLHTVYARYLAAASSSSNSGEPPLLNCPDGPARADELMALARSQADYVLGANPAGVSYLVGHDRRFPRRVHHRAASIVSHRADGRFIGCVQGYDHWYRRPGANPNVVVGAIVGGPDHRDRFRDRRDNYEQTEACTYNTAPMVGVFAHLHSEVAAERRHR >Et_9B_065704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9343144:9350496:1 gene:Et_9B_065704 transcript:Et_9B_065704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKTIESIESGYTISRERTEEKGKYEIEQLVQNLHVSGGGTIVDMAHRYYKLALDHGFTRGRRTTHVAAACLYIACRQSDKPYLLIDFSEYLQISVYALGAVFLQLCQVLLLSDHPVFQKLIDPTLFIKRFTECLGVERNKAKAVSNTAIQITGRKPSGICGAALYIAALSHGLNYTKADVVAVVHVCEATLTKRLVEFENTDSGGLTIEELEKADDFSKVPVSKHSPNYREILCKHKDKGAEYFAHGLCEECYDEFTRLSGGLEGGADPPAFQRAERKRFDTSKVEEASAIKEAALGESFCHKPDSDIENTIRTPRKEVVKDSILSKDPEDGGENFGDNLDRESLSDIDDVEVEGYLHNEEQAQYKKAIWEEINKEYLEEQAQKEALAAELAARGVVVEDVNKKKRRRNNDAKGSTPEETPAEAAHNELKRKGLTSKINVEALDKLFNTKDDDADANKKYEMDFGGESSEDKGDGETFDYGYEYPDHNYDDYADDGGGANIDDDPFDFL >Et_6A_047609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7995088:8001389:-1 gene:Et_6A_047609 transcript:Et_6A_047609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRRRKSAGNGLTALALRLSKHLGDDDQADRKGGGNLVFSPLSLLAALGARTRGELAEFVSGVADRALKDRSLDVWALLPEYRDEAAESYKAVARAVDFGKQLEKAIKEINSWVASATNNLIPSIVNETSVGPDTSLVLANAIYFNGRWETPFLKADTRVDKFYRLDGAAVDAPFMSSGRRQFIAVRNRFKVLKLPYKSGGTDDELPRYSMYVFLPDERDGQDRGQRGNPARPPAGEEGRGGGLPGAQVRALVLRQPPACSDMFDAKQADLSDMATCNQAITGLPLYMSDVLNKAVLEVNEEGTEAAAATAVITCYSSSGMSPPRTVDFVADHPFVFFRIEEVSGAIVFVGHVLDPTKLGTVGFSHNQSKSNGPITSETLVDAIGVESRREELAEFVRGVTERALSDRLARKQGWGRWKDPFSKAHTTADTFYLLDGRAVDARFMTNGRNQYIAVHDGFKSPALQRDGVHVRYSLNVFLPDARDGLPALDGVTNKDSSVQDYLPRKRVPTDVFRLPKFKLSFSGSLKDVLRDDLGINAAFDADQADFSDMAAAGSSPDEILFVGDVYHKAVLEVDEEGTEAAAVTACDMVMATCSRLPPKPVDFVADHPFAFLLIEELSGAIIFAGQVLDPSTCPVPSYDFGQIGGFARFLARAMGLGSCI >Et_2B_022553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2618026:2621237:1 gene:Et_2B_022553 transcript:Et_2B_022553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVSILDRSVKEVLEDELSEGYLSCNERAIFETTTASPVKEVLVDELSEDYSSCDERAIFEIASSPINEVLEDELFEGYSSCNERLRFGLNVASRSNDILDFASFLSESLEATVSSPVSVDSPDQSSSGWPEHVGSEEEAEEPSAKLMLRPALGAATPYRPGYCTACNWDASPNLCYRCMARLRIGIQEACKHPLGFGRFYYCLQCQTLVEGNHVHLDDCIQLGTYNGSPVAWSDRYWNPVSGRPFLLQKPVGNRCKHCLDIVGDDTLYATLVQFCTIECWLHSRGAAAEYAWVNQMVGANFDRWPQPPFDAFCVSCCLPFSCVEGGEHKHDNKDQHIQIITDICGQTVKVEIARDHFMARPWTCVQDHSGDQFMCSANHIFRLRDEGAMRCRSCRVRLEDGGGNQCSLDCSLSAPVAPKPLKLKALRNSIVTTRTTDVNGDGHIVTTTSTYEQAAFASKTDWRVGAISATNLYLRVNHIYVNSDEKEIGYTCIMPKNILKKFICIADLRTQIAGFLYGLSPQDNPQV >Et_4A_034926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7985578:7986601:1 gene:Et_4A_034926 transcript:Et_4A_034926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQITARTKSCSVDCKTELCQTLDMTEFFYNLGAQTLPKSNHCLPMRSDSDGFFSPKFNECYCCFSCHQLNCDQFQEIFNYLKKKVVLDDYVVFQAESCGIQYGNKGNGAVEFVGQVRNLLDKIVYDTNFLSYHYLVCPHDEKLILGRLRYDYGVVAEAAWSSASLRYNGNMKPWLELAILNEVLEEVSPSRR >Et_10B_003173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17295604:17299924:1 gene:Et_10B_003173 transcript:Et_10B_003173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEGKWRFAMVCSSNMNRSMEAHSLLGRAGLDVASYGTGTHVKLPGPSIHEPNVYDFGTPYGAIYDDLRRKDPDLYKRNGLLPMLKRNTSVKLAPQRWQDNAGDGVFDMVLTFEERVFDLVNEDMNNREPRLMKSVLIINMDVKDNHEEAGVGAKLALELCQKLQGVDGDWEEIIDDLMIAFEKQHKRKLAYNISFY >Et_7A_050437.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15449373:15450029:-1 gene:Et_7A_050437 transcript:Et_7A_050437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWTQPSLEFGVKVVRVAGLEPADHRRQLEGGGALFVRYYVPAGDGTRRRLRVDTREVPCGSCGDAFWGELARFERWDIAAGFTPGGGIVFELRWRPPARPSSGLAALLRTAGKGGRPSSRVLARAELAWQDAAASSAERWLTLSPAGRELGGCKAPKLLVGVDVVNNAVASEHHEPTARRKAGGVDACCSAGERCGQCGWVGSEEDMFLAATFSQ >Et_1A_007107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30978775:30985732:-1 gene:Et_1A_007107 transcript:Et_1A_007107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPDRSGLERLAAALEPQPLEVIALPEAKPRKRNPAPGVRVVGRRIYDPANGKTCHQCRQKTTDFSAACKEVKKRGPCTIKFCKNCLLNRYGEDAEEVEKNKHWTCPKCRGICNCSFCRKKNGQEPTGIMAHLAKASGCSSVHDLLIKGSDVVTAAQTILKAKAGDKQQGTKRARETDTGADEAVAERDENVAIDLNAVPSVEKDENVFQIRKAQPEKILQDIVGGRELRLVSSHVAEFHINLLCVIQEGMGKKPPAYTRDGDAWIIDVGKYVSESTFMSKELPPNFLNQGVLGYKNLSPSSKLRVLNFLCDETLSTDKLRNWINAQIEVAAEPMNAAREKARAAKEKEKELRERLKNSIEKTTLAPNEAATLGAEENKDLISQIKEAEEVKRAALKDMATIDKKGDVLWTKPLMVVEGLAYWKLEGYCDNRSILLQEYGDAELTGNKDKWFLFTEEEEKVIEEHIATRWMDKAGLLVAKRPGSASFWRP >Et_3B_030729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6834477:6838963:-1 gene:Et_3B_030729 transcript:Et_3B_030729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGSLTFSGGGRSRRDLMLMDRDMAPRRARLGCGGDDSGGDRSRRRSKNSASRQGAATAWRVVLDGWALIVLARILKRLVLRLSSVAVSNGPKLKKDGKLCGYAVDVARPARHLSSPQAALRIRHQRPKREIAMAASPASRLSIPRFHTPILSRDSPLRRSCFSPVRAVKSEAVLSIGTHLIPHPRKAASGGEDAFFAKSDAGGVFAIADGVSGWAEKDINPALFSRELIRNSSNFLNDEEINNDPQILLMKAHAATSSVGSATVIIAMLEKTGTLKIASVGDCGLKVIRKGKVMFSTCPQEHYFDCPYQISSEAAGQTYQDAVVCSVNLMEGDMIVSGSDGLFDNIFDQEIISVISESPGVDEAAKALAELARKHSVDVTFDSPYSMEARSGKMDDITVIVAQVKTVMVPDDEGGDVEEQTGNEQVSASTVASAQ >Et_6A_047073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24934470:24936755:-1 gene:Et_6A_047073 transcript:Et_6A_047073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKGSKALTIIFRIAALALSVAAAIVMGTACQLLPVVDAGHGHEWSTYTVSYSDYKALVYFVAAGAFAAVCSAAGLFLVAVNRKAGAVVAVIDVLAQAFLFSAAGAAFATRGVVGVCDAAGAFCGKVAVAAVLGALAAVAVALAALASKDGGRSLRGPNQLGCAISTSMDGYRRLATNDWAAQAWLIPRM >Et_1B_012284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30480671:30484904:-1 gene:Et_1B_012284 transcript:Et_1B_012284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGDPAASRAPPAALSASARALDSVHFSSGNPRIEEARGVVVLHPDPPAASTSSSDLPPGRKPRVCVLAVPNHMTYADFCRFCGAFVPHTLEMRIVRIDETEDQYSVLIKFDTQKSTDSFYKHFNGKQFSSLEVDVCQVRFVEDVHYTQLIEHAHSSVTSSAEQPTCPNGLTRTLEAFSLQSAIILFTAHAYRNGQTLHVRSVDTVSSNPRSPFVLFAELRRIFGFVYKGGHAIEHWKATQHCYSLELETQKVWDYAGDNYVHRLIQSKTDGKLVEYNCHGGHAADSTCWLCSGDAGIGEALLNSKVEAIVEEYNDLLTSQLEKQRNYYESLLLEAKEENEREISAAIEKAVSAKAQKLQAKLDKCLEEKRFLDEINGNLVKDQEMCKESIRKVLEREKSVVRLKDEKIQKLEEELTDLIAHFECQDAIAQAPESISSDIQGGTILPVASASSSSSGSPVRGTRNKKPK >Et_9A_063342.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:20873693:20875306:1 gene:Et_9A_063342 transcript:Et_9A_063342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASTRPPSTLFLRSASPSQNCLLLCPSSTAADAMASAPPSQLSLIFLLVLLLCRLADAVADPTRYHTLVATPLSPDPVPRDERTIPDASLTDISEDDHHNASTVELILAHREAFAPPNATASQLLAYRLARDATRAAGIALAATAATGNRTRARRGFGGGFTAPVVSGLSQGSGEYFAQVGVGTPPSPALLVLDTGSDVVWLQCAPCRRCYAQSGHVFDPRRSRSYTAVACAAPLCGRLDSGGCDARRGACQYEVSYGDGSVTAGDFATETLTFGRGRGARRVPRVAIGCGHDNEGLFVAAAGLLGLGRGRLSFPTQVAARRYGRSFAYCLVDRTSSLKPSSSRSSTITFGSGALTTAATAASFTPMVRNPRMATFYYVRLVGFSVGGARVPGVSARDLALNPSTGRGGVILDSGTSVTRLARPAYAALRDAFRAGATGLRLARGGFSLFDTCFDLGGRRTVKVPTLAMHLVGGGAAVALPPENYLIPVDTRGTFCFAFAPTDGGVSIVGNIQQQGFRVVFDGDRQRVGFVPKSC >Et_8A_056615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13628304:13635985:1 gene:Et_8A_056615 transcript:Et_8A_056615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRMKELDGASPAKIFIGGLSKDTGMGTFKEHFGKYGEITDAVIMKDRLTQKPRGFGFITFADPAVVDRVIEDEHVINGKQVEIKRTIPKGAAPLKDFKTKKIFVGGLPSALKDDEFKEFFSKFGKVMEHEIIRDHATKRSRGFGFIIFDAEKTVDELLAKKGNMIDLNGSQVEIKKAEPKKPSNQPPRSFDSEPRSRPYVDSYEGFGSSYNYGGSFGPYRSPGSFGARPGGYSSAYGPTDYGSSYGGYGGALGGYRGEPSLYSSRYGSSYGGSYGGGYGSGGYAGGLAGAYGRDAGGYSGSSYGPSYDSPGADAGAGFGMGGLYGARTGYGSTGGSGATDDWASLDHHSRRRGARRQVAAVVGDAAPPPWPVHIEVPQRDERLVPAVHDVVEHVAHEPPHDEVDGARRQQPAGAGEDERQVDVAEHGAARVRALKQPGRHRREEAREEEVVHLPVVAQAAEHPQRADDAPDDGGVVEDVVAGARPGAARGQAVHVADVLHRRQQPPRRAEVHRRRHQRPGQLREEHGPRRDLHWPSLKSDRNVSACPMLMKPYVLNTTFASGFPGYRYPMVSSVMIFNPGVWRNTHGRRIKHKLMMITNAGRRDIVTGFLFLTMLVVARESEGEGTGEKEAPVGQLQLPAEPLTGEDRQRQDDDQHEVEPPLRHGPVVPLHYPCVDVFLLAAFGLCRRRLPPKIPDFPVQNSQPKVSGPSTTKSVQQRGTGARKWQHDDSLAVVDGHVRERGHEGGEADAVVEGEEDAEVDAAVCVVLLEVEREGLGVEDAVGVVDVARGVVDVRGDEREALGVSSGTATYVETANPAMTLKMANAGDASGLPRNPATVFQSRPKEPMPRPLSPDPICCAVTESLYTQHTTDTVPIAGNR >Et_8A_057082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20940886:20942643:-1 gene:Et_8A_057082 transcript:Et_8A_057082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGPACCRNGGATSGRPCPASTSASARTTTTRCRRTSLTKFVRLLFHRRDASASLDTLRLRSSNVDGAHDEDHARSWIRDGNKRGARVMHVVGHRRVHFLKGRGSSLAVLEHTAFVSSQLKILTMFKCKINVNLSIASPNISEFSIFCSTLQYCYVVVFHRTSLSTSCKRKYIA >Et_1B_010840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14673872:14674578:-1 gene:Et_1B_010840 transcript:Et_1B_010840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CQTLLGSILSSYYWPSQATEVEEAVIALTEFDHLRIFRDGIPYPIQGGIAPKNLLFPTSRVASPPHPLTTDGKTPVKPLPARWSDWREQLWLNGAAGISPENALLERSMNCRACMSHQQFGNSPVSWLVDKSSIRILELLHKFAGIGPEKELRFRWRLTNMPRLPNSGGIAPVKLFSDKLRSFKFAILPNAAGMLPDMRLPSMRSPVTLVHCPQSSDSWPVSLLPDTSR >Et_4B_037052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1475578:1478065:-1 gene:Et_4B_037052 transcript:Et_4B_037052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKFRPSSAFNSPMWSTNSGAPVWNNDNSLTVGARGNFYSIGDASVSPIHMEPLSSEMSNQNSSPSCANQSKPARSSVVLCKKECTMMACKGTEQALANWHMGVTRSTTGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDITHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNWDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHVQENWRILDFFSHHPESLHMFTFLFDDVGIPADYRHMDGSGVNTYTLVNRAGKAHYVKFHWRPSCGVKSLLDDEAVTVGGTNHSHATKDLYDAIAAGNFPEWTLYIQTIDPDHEDRFDFDPLDVTKTWPEDILPLQPVGRMVLNRNIDNFFTENEQLAFCPGIIVPGIYYSDDKLLQTRIFSYSDTQRHRLGPNYLLLPANAPKCAHHNNHYDGFMNFMHRDEEVDYFPSRYDPAKHAPRYPIPAVTLNGRREKTVIKKENNFKQPGERYRSMDPARQERFIKRWIDALSDPRLTHEIRSIWLSYWSQADRSLGQKLASRLSAKPS >Et_5B_044592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3920353:3922890:-1 gene:Et_5B_044592 transcript:Et_5B_044592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAKTGEKATSFAMACSLLSRLVRQNGAAAAELGLGIKGEAAPVTMSLLPGTDGEEAERKKETMELLPQSAGFGTKDAASDAARDQEKKEKQQLTIFYAGKVLVFDDFPADKAKDLMQLASKGSPVVQNVGLPQSFAPTTVTDNTNVQKVVPAPVSTLPGVQAEAQKPARPNASDMPIARKASLHRFLEKRKDRLNAKMPYQTSQDATPVKKEPENQPWLGLGPNAQL >Et_4A_032537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11136916:11140011:1 gene:Et_4A_032537 transcript:Et_4A_032537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLALLLLALLAAAAAEAKGGGAGLDDDVLGLIVFKSDVVDPDGRLATWTEDDARACAWAGVTCDPRTGRVSGLSLAGFGLSGKLGRGLLRLEALQSLDLARNNLSGDVPAELARLPALQTLDLSANAFAGAVPAGLFARCRSLRDVSLAGNAFTGDVPRGVGACATLASLNLSSNRLAGALPSDIWSLNALRTLDLSGNAVTGDLPVGISRMFNLRELNLRGNRLTGSLPDDIGDCPLLRSVDLGANSLSGNLPESLRRLSTCTYLDLSSNEFTGSVPTWVGEMASLEVLDLSGNKFSGEIPGSIGGLMSLRELRLSGNGFTGVLPESIGGCKSLMHVDVSWNSLTGGLPGWVFATGVQWVSVSQNTLSGEVSVPVNASSELRGVDLSSNAFSGGIPSEISKLQNLQLLNMSWNSISGSIPPSILEMKSLEALDLTGNHLNGSIPDAIGGDSLKELRLGKNSLTGKIPPQIGNCSSLASLDLSHNSLTGVIPETIANLTNLQIVDLSRNNLTGGLPKQLSNLPLLMHFNISHNQLSGDLPPGSFFDTIPLSSVADNPGLCGAKLNSSCPGVLPKPIVLNPDSSSDPLSQTVPMPDGGPRHKKTILSISALVAIGAAVLIAVGVITITVLNLRVRAPGPGSHSAAALELSDGYLSQSPTTDVNAGKLVMFGGGNPEFSASTHALLNKDCELGRGGFGTVYKTNLRDGQPVAIKKLTVSSLVKSQIEFEREVKMLGKLRHRNLVALKGYYWTPSLQLLIYEFVSGGNLHKQLHETSTTNCLSWKERFDIILGIARSLAHLHRHDIIHYNLKSSNILLDGSGEAKVGDYGLAKLLPMLDRYVLSSKVQSALGYMAPEFACRTVKITEKCDVYGFGVLILEILTGRTPVEYMEDDVIVLCDVVRAALDEGKVDECVDERLCGKFPLEEAVPIMKLGLVCTSQVPSNRPDMCEVVNILELIRCPQDSPETELS >Et_3A_024027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16311875:16314981:-1 gene:Et_3A_024027 transcript:Et_3A_024027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRKSEADLTVYVHPANAGDVRRAVARQLSSLLFTYEDRFDGVLLAHEFTIGSRKEKKDKEDNRGKEDNMNKQENVKGKILNGLVPYFGVPVQATLLLFSPQPNMMLEGTVEMLGKESIHAIILGVFSAAIMSDDIHEKFKFKRKGDGGRFVSRSDKHHVIKKGSMIRFSVKRVDTEMNCHITGSLIPPHTGSMLWLSLHDDEYASGVNRDRRRSRDISIKVVQDEQVYGEVHKQDGVRNSERPHKFRKRSFDERQ >Et_1B_013289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7070572:7075249:1 gene:Et_1B_013289 transcript:Et_1B_013289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGDELVEWDKMAGHEAVNGGGGGPAKLDRIQVLVRLRPLSEKEIARGEPAEWECINDTTVMFRSTFPDRPTAPTAYTFDRVFHSDCSTKEVYEEGVKEVALSVVSGINSSIFAYGQTSSGKTYTMTGVTEHTVADIYDYINKHEERAFVLKFSAIEIYNEVVRDLLSAENTPLRLWDDAEKGTYVENLTEVVLRDWNHLKGLISVCEAQRRTGETFLNEKSSRSHQILRLTVESSAREFLGKDKSTTLVASANFVDLAGSERASQAMSAGTRLKEGCHINKSLLALGTVIRKLSMGSNAHIPYRDSKLTRILQPSLGGNARTAIICTLSHATSHIEQSRNTLLFGSCAKEVVTNAQVNVVMSDKTLVKHLQKEVARLESELRRPASNSTLEAQVKEKDIQIKKMEKEIKELKSQRDLAQSRLQNLLRTVGDRSKHSGSGKRSARSPPTELPPEISRDDSSQISNDDSDLYKEVRCIETNGTGGNEQLDVSAGESSSPQGSNMNSGVRGSGSNTSVNSRRSRLLGETPITLEQHLENIRRPFVSLTRDLGSSTRNSSGSRVLGRSRSCRSLTGSTIFDALEVDDGTRLHRSTVDFAGRLEGYHRRGSALNNDAESETLSRAGSLLSEVSTSKGATKANGAGDAEFTGIGEFVAELKEMAQNTNGDEDGTIKSIGLDPVADALQSPSRWPLEFEKKQQQIIELWHACSISLVHRTYFFLLFKGDQADSIYMEVELRRLSFLRDTYSRGSTPSNAVVGSLNSSPVASAKKLQRERDMLARQMQKQLTAEEREHLYTKWGVSLDSKKRKLQVARRLWTETKDLEHVRESVSLVARLIGLQEPGQVLREMFGLSFAPQQQPPPRRRSSNGWRYGIPSFS >Et_7A_051215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15689599:15690370:1 gene:Et_7A_051215 transcript:Et_7A_051215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDVVVEHGESSKAPLVAPVSSGVNRAVSIIDVFLRFIAIIGTIGSAISMGTTNETLPFFTQFIQFEAKYSDLPSFTFFVAANAVVCSYLVLSIPLSIVNIIRPRARYSRLILVFLDTASLALLTAGASAAAAIVYLAHKGNVRANWFAICQQFDSFCERISGSLIGSFAAMVILIALITLSAFALARRHH >Et_2A_017316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33102284:33104958:-1 gene:Et_2A_017316 transcript:Et_2A_017316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHVERALRTTLTDAEVRALEATVRERHTFPGRARGATCTSLVAQRVSAPVRCVWPILRSFAHPQRYKHFVRTCALAAGDGATVGSVREVTVVSGLPASTSTERLELLDDDRHVLSFRVLGGDHRLRNYRSVTSVTEFQQQQPAGPYCVVVESYVVDVPEGNTEEDTRMFTDTVVRLNLQKLAAVAEETATAADKTSISSD >Et_3A_026634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1109788:1110292:1 gene:Et_3A_026634 transcript:Et_3A_026634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFLRGPAAVVREPVVVAAADSSGILLLSGSPDDDPDRTCYFLCDAGGNAGLIVVGDHNKIFVAELVLPPFSDADAAATLHCISLSPEAETAGDGVWINKTLRAPSLIRTPWRTDRAFTYMGKLWWADHSQGLLSCECDDPMSTEERPTELRFLCFPNMR >Et_1A_006583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24911551:24917362:1 gene:Et_1A_006583 transcript:Et_1A_006583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPTCCSLCNWTDSETAASVSAPLRRTLQANAARHVGNPGPPLASLVFCNDPAPATVVLGGVVHLLVRGYVVTYDVGKAMIGMIQLPKDCDVAHHLVHIGLTPDGNLRVFAPIRGFTLSFWVLSGGSWSQRVDVDAFAKLHSLVGWWRDEEEDESEEDDDDNYFEEFCSWYSDCVRVEGIGDQRSGVVFLRLPNSKFLLDMDTADVRRRTRPPARDRHHLPVRCRGQAPRAPDGEPRLSPSAGPPRLLGFLLNRGFSRVHPATLAATSSFAESHLAHFMSRGADDDLLEHYRAMTSRSGLVLLKLRLDDMEDWEQRPDICVYHPMTSGRTFLPLPPDNTDHKIHNNHRRYKYVLLP >Et_5B_044628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4133218:4146226:1 gene:Et_5B_044628 transcript:Et_5B_044628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCEDLTGLAYGCGAGCDFAIHDSCAAHPQTHSLVLAFRSFQMPACGSLFVCPVAGGFFGASTIFKQVLCGFDVLPCCTALPLTPVRSLRHPEHDLTLVVTDSSCMCRVPPRRGPPVRPIRRASREMAKDTISHFSHQGHELLKRHYTRRDVVADGCGICAGRCAPGAFLFCCPPCGFHMHPRCTAPPQAVRSKRHPAHDLTLVVADGRCAACHLGAGHAWYYRCSACTVDLHVSCAAGSDGNNEQAAEQQIQAALVRARIQARGRSAALDLLSPPCVRDGRY >Et_7A_052277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6480080:6480426:1 gene:Et_7A_052277 transcript:Et_7A_052277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KNDGYKRDPSIPSLCFSFRYIPNKSSLRKMMSIRGILRFLVFALVFATFPTNQVCGEDDCELTKY >Et_1A_004682.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30418190:30420365:1 gene:Et_1A_004682 transcript:Et_1A_004682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVHCPWLKKVKRIVGRRLRSGSLTAQDALRLCEEVLSSIQSQPPPPETSTVLNVPRRGLERFIGECYRSGCLSPEDALDLFDALLPQAHHRSVIALTQLLTTIGRAPASSSIGDGPAVAVSLFNRMARAGANKVAPDMQTYSVIIGCCCQAGRLDLGFAALGKIFKTGWQVDAITFTYLLRALCAVQRTSDAMDIVLRRMQELGRTPDVFSYSILLKGLCDENKNEEALELLHMMAEDKGSCRPDLVSYTTVIDGFFKQGQVHKAYSLFCEMLDHGIFPNVVTCSSIIDGLCKARALEKAEAVLHQMLDKGIIPDCTTYTSLLDGYFSLGQWKQAIRMLRNEKSWSKARCCYLWYDNGLSLQEWKMRRS >Et_4B_035998.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:11642383:11642871:-1 gene:Et_4B_035998 transcript:Et_4B_035998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SREEYRTSLEGGGGSLDGGALVVLDEEVLIVEGVGEERGGVELVGHVVEVGVLAGPVVAEPGGGGDEVPPLRREVLHLQVRRRDEERRVRVQRRRHRRGPHGPPLLRFLRRPDRIGSESAEPQHQARESARKSPCPRHEKPATLADLRPRRPGRNGSWNPQI >Et_4B_038330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28104663:28107971:-1 gene:Et_4B_038330 transcript:Et_4B_038330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAPAPVSGNPRSVEEIFKDFSARRSGLVRALTSEVDEFYGHCDPEKENLCLYGLPNGTWQVAPPAEEVPPEMPEPALGINFARDGMHRRDWLSLVAVHSDSWLLSVAFFFGARLTANERKRLFSMINDLPSVFEAFAERKHGRDRSGVDSSGKSRHSSKRGSDGHAKKSRAPPPTEEYDDDEDEDHNETFCGTCGGLYNANEFWIGCDICERWFHGKCVRITPAKAEHIKHYKCPDCSSKKMRQ >Et_6B_048747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13144939:13146031:-1 gene:Et_6B_048747 transcript:Et_6B_048747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EFLTSLSKAAGGLLRTAIFPNQANKPALVYNIQMASVRNHPTSQGRTMGRCRRELAGHRSRDHQRHCRRRPLLSLLLLLLLAVADRQLAAASIFGGGDDDCSRTCESEHCTAPLMRYGKYCGVSYTGCPGEAPCDALDACCLLHDACVQATDTTLMRARSPGRERYALNFAVAAGAGDLERFR >Et_7A_051865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25747412:25749200:1 gene:Et_7A_051865 transcript:Et_7A_051865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQSSSSSFWHSRRRSASAPATPRAAAEPPLGCMSMVHYLIFAPGAGCVGRPPSSSHAAVVAPPNNYHQNGDVGNNRRRDSHGDKEKRGGFEAPRNSLELDADNLNDIPIGVQIEPVFDALACRKMRAIKATAPSSEAETPRTPSLVARLMGIDGLPENQSPSPAPAQQVKKQVKQRPPASSKSEKKKRVIPESVKVKRREPLGTSPSCNVARSLPESPRASWEGARLSLQALKENVLDRAAQYMSLPSSPTSAAKNKKKQKQDAGEENSKEIIRQAKGKVASRKRQSAAADNNKENVSPVPARKDKLLAVVAQAGGGNKKPSPPPPTRKPDGCERFATRAKKPPHAPPSATVGCLSPASQVPSSRPACQLPLEADPEYRYLRSVLERGGSKGHSVASPVDPIVFHLLELELPADEARLGPLRHRCNRKLMFHLAQEILADLLLLGLDGASASVSLSRVWRRVRSFPAADCRVVGDIDALVAADLASASVRRLGDHPAVAEEAGDVADDLADRVLEDLLGECVAEEDESSRNGNTGVPCSAMGHRAESF >Et_1A_008195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5039337:5042010:-1 gene:Et_1A_008195 transcript:Et_1A_008195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTVETGDGAKLSVRLFKPSPAEAAAAAEDVAVVLVHPFTILGGVQGLLRGMAEGVARQGYTAVTFDMRGAGRSTGRASLTGFAEVGDVVAVCRWVTENIKPRGILLVGSSAGAPIAGSAVDKVDQVIGYVSIGYPFGLMASILFGRHHDAILKSEKPKLFIMGTKDGFTSVKQLQNKLKSAAGRVDTHLIEGAGHFQMEGPAFDAQMISPGQDAITRCPVFCTDATITVMGMQRLQKYWTGLKARRSKLVPFCSNPAC >Et_10B_004006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9098752:9101874:-1 gene:Et_10B_004006 transcript:Et_10B_004006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVLCCIATWLAGNCQRCTPSSIEIQQTNAGKKVGALDTINSDVYLQSNGFSSSTPVDPKLFRRAGNGYLLADGQQIPSTKSVSFQYSFDHYFKITPQSIQAGC >Et_10B_002787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10996518:10997970:-1 gene:Et_10B_002787 transcript:Et_10B_002787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGAPASEVGFFESNNLVPFKLVNNSLDVQLGWFEKTKPSPCNGTGGSLSKDLPEMRIHHHAYDLIFSDAYLNQLTIACCSWDCFSKALFFVGELGVNDYNFIWMSGKTEDEVRTYVPMVVERIAMAVEMNLSKPALMFSLLFSFSYSLAPQRLINQGAVYIVVPGNPPTGCSPSFLTFRRSPNMSEYDPIGCLRNVKRVVRYHNMLLCAALGCLRGKFPHARIIFADYYEPIIQVLQNQSLFGKVLDVLRITSTCEFDRNSATCTGFGNDVLKACFFFTCYDVFDVIG >Et_5A_041554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24292280:24295750:-1 gene:Et_5A_041554 transcript:Et_5A_041554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVARLLLPAVILFAVLDSAPAAEEEAAALQEFKRAMQDVDGRLASWGAGAGNPCGWAGIACSGGGEVTGVTLHGFNLHGALSAALCGALPRLAVLNVSKNALSGPVPAGLAACAALEVLDLSTNAFHGAVPPELGALRALRRLFLSENLLYGEIPAAVGNLTALEELEIYSNNLTGGIPSSIRALRRLRVIRAGLNELSGPIPVELTECASLQVLGLAQNQLDGELPRELSRLKNLTTLILWQNALSGEVPPELGNCTKLQMLALNDNAFTGSVPGELGALPSLAKLYIYRNHLDGTIPPELGNLQSALEIDLSENKLTGVIPGELGRIPTLRLLYLFENRLQGSIPPELGQLSSIRRIDLSINNLTGTIPVEFQNLSSLEYLELFDNQLHGTIPPLLGANSNLSVLDLSDNQLTGSIPPHLCKYQKLIFLSLGSNRLVGNIPLGVKACRTLTQLRLGGNMLTGSLPAELSLLQNLSSLEMNQNRFSGPIPPEIGKFRSIERLILSNNYFVGQIPASIGNLTNLVAFNISSNQLTGPIPRELAQCTKLQRLDLSRNSLTGVIPQELGSLVSLEQLKLSDNSLNGSIPSSFGGLSRLTELQMGGNRLSGHVPIELGELASLQIALNVSHNMLSGEIPTQLGNLRMLEYLYLNNNELEGHVPSSFGELSSLLECNLSYNNLIGPLPSTPLFKHLDSSNFLGNNGLCGIKGKSCQGASAFSSKEAAAQKKRFLREKIISIVSIVIALVSLVLIAVVCWALRAKIPELVSNEERKTTFSGPQYFMKERVTYQELMKATESFSDSTVIGRGACGTVYKAVMPDGRKIAVKKLKTQGEGSNIDRSFRAEITTLGNVRHRNIVKLYGFCSHQDSHLILYEYMANGSLGELLHGSKDASLLDWDTRYRIALGAAEGLRYLHSDCKPQVIHRDIKSNNILLDEMMEAHVGDFGLAKLIDISNSRTMSAIAGSYGYIAPEYAFTMKVTEKCDVYSFGVVLLELLTGQSPIQPLEKGGDLVNLVRRMMNSMTPNSEMFDSRLNLNSKRTMEEMSLVLKIALFCTNESPFDRPTMREVISMLMDSRASSYDSFSSPASEDPTENDFSLKL >Et_3A_026807.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:21467185:21468330:-1 gene:Et_3A_026807 transcript:Et_3A_026807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLIQEESTQFMIRLYERSGIGEETSVPASYRYIPPERSIEASREEAELVILSAVREVFARTTLMPEDIDAVIFACSFTTLTPSFTDLIVNRFKLRADVQSVNLSGMGCSAALIAIGLARNLLRVAPPGKHALIVCTEILSSQLYAGTKREMMVPNVLFRMGAAAMIMSNSPDRARFRLGPVMRTLTAARDADYRCAFQEEDDDGITGINLSKDLPALAGNALKENIMAFGRLVLPASELFRVAVSLLKQKLLINGRTTLPYRPSFHKVFEHFCIHPGGRRVLVEVQRGLGLTDGDMEASHMTLHRFGNMASSSLLYELAYIEAKGRMRKGDRVCMISFSPGLDCSNVVWECVRPATNPGPWAGCIHRYPIQLPHAVKGN >Et_3A_027049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30803675:30804929:-1 gene:Et_3A_027049 transcript:Et_3A_027049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAVDDFKVMVTRKESFTGLAKAVVFLVIFGLGVAAGLWATAAPGGGSNAYPRIVYVDPGRVCCRSDPDPDFAEFAAPTRLMHAMTDEQLFWRATMVPAAAEFPFERTPKVAFMFLDGSGVLPLAPLWERFFRGHEDRFSVYVHAPPGVAINVSEDSPFYGRQIPSQITSWGSVSLMDAEKRLLANALLDFSNERFVLLSESCIPVHNFSTVYDYLVGSRHSFVEVYYRSNKQCRGRYSRRMAPDITLQQWRKGSQWFEMSRDVARSILTDDRYYPLFRRHCLPATSCYPDEHYVHTYVNMRHAKRNANRTVTYVDWSRGGPHPAVYGARDVTPAFIRSIRMSPEPCLYNSRLTSTCYLFARKFAPSALAPLLNISSTVMQY >Et_3B_031412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28510771:28513560:-1 gene:Et_3B_031412 transcript:Et_3B_031412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGGGEDAAEWRTRAPGGTEYSWCRAVPGGTGTTLFALRLAPSAAAVTAAVQGALRSLQSAHPALRARLRASPSGPALAFPSPAPPPPPLLPLAPLPAPESAPDFDALLEHELNRNPWAEPEPSPSSDSDSPVLFATLYELPPPGGAALFVRIHTVVCDRSATAALARELVSLLGGGAEEDGEREPEAAAAEAGLEERIPQRDTWKPFWARGMDMVGYSINGLRTSTLPFVETGTERSTQMLRLGLGRDDTARLLDVSSSRQQLVCSDSDPAVACKENGVKLCAAMAAATMLAARQSKELESGRQETYSIVTLINCRKFLEPPLDDHNVGFFYSAITNTHSVHGEEGLWELAKRCHDAYTSAKNNKKHLTDISDLNFLITRFRRVSLALMSTCTRLWVFFALVCCSCRAGDVSGQRCSGASAALICLATLGVTGYPGSVSGISVPSAMHFQQGALVCHTAGLNA >Et_1B_013910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23501985:23505598:-1 gene:Et_1B_013910 transcript:Et_1B_013910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGEPPATRSYSGLLGALHHCISGGDASAAVSLLPTLARAGLRAPFPLLSSLAHLLLQRRAAPSFPSLAGRLLLYVRLAGLKRLVPCSTQLADRLLSLHFLLGRPRDARRLFAKMPRPSIHSYNAMLAGYARLALAGPAAEVFAEMPHRDLVSYNATMLALARGGEMAGAVAAYSELRNRSPSLGYNYHTFLALLVACAELMDGDLAKQLHAHLAALGFLSDVNIASSLLDVYRKCGCVDDAGRLFDEMPVKGLQAWTTIVCAYAEDGQLSAAHELFDQMPERDIISWNALIEGYAAHGKPLEALTAFRLLILEDLGPDQFTFASCLSACSATCSLKLGQQIHGMLLRTAFDQSVTISTSLIDMYSKCGYMAGANQNDITKLYCIKSYRFGRYSKRRHDASRKNIGCKGPNWQPFERSLLANSLAIEPCQVPA >Et_2A_014754.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:12007403:12007561:1 gene:Et_2A_014754 transcript:Et_2A_014754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLLVVWAIWKERNARIFQSKEKTIVRQKDEVAFWGMACAKHLASLIVHRGSE >Et_3B_027483.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21050284:21052033:1 gene:Et_3B_027483 transcript:Et_3B_027483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSSAGLLRTTRPPSSPPTPSSPRSCARPAASSPGTLSFSVLATSCSSTSVMVPSLTCSQSNETAQDPLPEAKEDINSAHSLAVEATYINQNFSQQVLQRNGEVTFDEPNPFATEGEEAASVAYRYRRWKLDDETSLVARCEVHAVNVDPRGERQFLTLNALNEFDPKITGVDWRKKLETQRGAVLATELKNNANKLACWTAQALLGGADMMKLGYVSRLHPRDHYNHSILSVIGYKPRDFAAQINLNTANMWGIVKSIVDVCMKLGEGKYVLVKDPLKPQVRLYEVPNDAFENDYVEEPLPEEEQVRPFAENVDATAQEMDAVAEAEATGATEDADGGAEKAVEAAV >Et_4A_035371.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2233446:2235824:1 gene:Et_4A_035371 transcript:Et_4A_035371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDDGAASSAPAAKKAANRLVVEEATIDDNSVCNLHPATLQKLSLFKGDIILLKGKRRRDTLCTVLPDEQCAEQALKINKSVRSNLRVRLADVVSVHECQNVGYGKRVHILPVDDTVEGLTGDLFEAYLKPYFVDAYRPVRKGDLFLVRGGMRSVEFKVMDIEPAGEYCVVAPDTEIFCDGEPVKREDEEKLDEVGYDDVGGMRKQLGQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKMAGESESNLRKAFEEAEKNAPAIIFIDEIDSIAPKRDKTNGEVEKRIVSQLLTLMDGLKARAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVNLEVVARDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAITNDHLKTALGGTNPSALRETVVEVPNVSWNDIGGLDGVKRELQETVQYPVEHPDMFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGGSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDSALLRPGRLDQLIYIPLPDEASRLQIFKACLRKSPVAKNVDLGALARFTAGFSGADITEICQRACKYAIREDIEKDIERQRKAKESTEDMEVDCAAEPAEIKAAHFEESMKFARRSVSDADVRKYRAFAQTLRQSRGFGSEFRFPAKSQAAEPASTAIDTDEDDLYN >Et_1A_008104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4413068:4424389:1 gene:Et_1A_008104 transcript:Et_1A_008104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSHGPRQPMSPAISANVVLPQQRQMQLHHHPARPAIADLFTLYLGMNSRQRAEDPGRESSNKLQKRVTAPNRDLPPRDEQFISDYEQLRMQFPDQEQLQSVTESVLISFMLQCSNHAPQSEFLLFATRCLCARGHLRWDSLLPALLNTISSMDAPVGQGSSVTVGGPVTPSSSTITMPNAPHFHPSTPASPLSVMNTIGFPTQSGIDQPVGANVSPIKAAEFSSPGQLITAVRGDQSRRGAEISYLHHLCCRTILAGLESDLKPATHSVIFQHMVNWLVNWDQRPHGMDDADAFQTWRLEKPLHEWMHLCLDVIWILVNDDKCRVPFYELVRSNLQFLENIPDDEALVSIIMEIHRRRDMVCMHMQMLDQHLHCPTFATHRFLSQSHPSIAGESVTNLRYSPITYPSVLGEPLHGEDLANSIPKGGLDWERALRCLRHALRTTPSPDWWRRVLLVAPCYRSHSQQSSTPGAVFSPDMIGEAVADRTIELLRLTNSGTQCWQDWLLFADIFFFLMKSGCIDFLDFVDKLASRVTNSDRQILRSNHVTWLLAQIIRIEIVMNTLSSDPRKVETTRKIISFHKEDKSLDANNVGPQSILLDFISSSQTLRIWSFNTSIREHLNSDQLQKGKQIDEWWKQVSKASGERMIDFTNLGERAMGMFWVLSFTMAQPACEAVMNWFTSAGMADLIQGPNMQPNERIMMMRETYPLSMTLLSGLSINLCLKLAFQLEETIFLGQAVPSIAMVETYVRLLLITPHSLFRPHFTTLTQRSPSILSKSGVSLLLLEILNYRLLPLYRYHGKSKALMYDVTKIISMIKGKRGEHRLFRLAENLCMNLILSLKDFFFVKKELKGPTEFTETLNRITIISLAITIKTRGIAEVEHMIYLQPLLEQIMATSQHTWSEKTLQTTVINQCNQLLSPSAEPNYVMTYLSHSFPQHRQYLCAGAWMLMNGHNEINSANLARVLREFSPEEVTANIYTMVDVLLHHIQLELQRGHLVQDLLSKAIANLSYFIWTHELLPLDILLLALIDRDDDPYALRLVINLLERPELQQRVKAFCNSHSPEHWLKDQPPKRAELQKALGNHLSWKDRYPPFFDDIAARLLPVIPLIIYRLIENDAPDIADRVLAHYSTLLAFHPLRFTFVRDILAYFYGHLPSKLIVRILNVLGVSTKTPFSTSFAKYLGSSNSTVCPPPEYYANLLLGLVDNVIPPLSSKSKSNPSDSTSTTFNKHHPSSQAGGISNTDGQRAFYQNQDPGSYTQLVLETAAIEILSLPVPASQIVSSLVQIIAHVQAMLIQSNSGQGMSGGLGQNSGLPNSPSGGGAESTGPNQANNAASGISATNFVSRSGYSCQQLSVLMIQACGLLLAQLPPEFHMQLYSEAARIIKDCWWLADSSRPVKELDSAVGYALLDPTWASQDNTSTAIGNTVALLHSFFSNLPQEWLESTHTVIKHLRPVNSVAMLRIAFRILGPLLPRLAFARPLFMKTLALLFNVLGDVFGKNSPASAPVEASEIRDIIDFLHHAVMYEGQGGPVQSTSKPKLEILTLCGKVIEILRPDVQHLLSHLKTDPNSSIYAATHPKLVQNAS >Et_8B_060436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15438815:15441769:-1 gene:Et_8B_060436 transcript:Et_8B_060436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIGLHTLITHRSVELRLEDRRPHREHNLVGMENLTLNLDRQICSLCELLQFPKTIQDV >Et_4A_034424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32434992:32436992:-1 gene:Et_4A_034424 transcript:Et_4A_034424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVWLSPPSRLPSLANTPRRFHSPSFRAPCALPSLTLRRRAVVRRLRATEQQGQVQQQQDEDEVVDSNMLPYCSIDKKQKKTIGEMEQEFLQALQSFYYDQKAIMSNEEFDNLKEELMWEGSSVVMLTPDEQRLLEASMAYAAGNPIMSDAEFDELKLRLKQDGSDIVTEGPRCSLRSRKVYSDLSVDYLKMFLLNVPATVVALGLFFFFDELTGFEINVFQLPEPFGFIFTWFAALPLILFLAQSLTNAIIKDFLILKGPCPNCGAENLSFFGTILSVSSGGTTNNVKCADCGADLVYDSKSRLITPAEASQA >Et_1B_011957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27527807:27528579:1 gene:Et_1B_011957 transcript:Et_1B_011957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQRLVREIAQLSHSDLRFQSHALLALQEAAEAYLVGLFEDTNLCAIHAKRITIMSKDVHLARRIRGEGP >Et_6B_048645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1266136:1270867:-1 gene:Et_6B_048645 transcript:Et_6B_048645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTAAFRCAKIPRTLPTKRSGKAAQASASGDPRTEAAKEKAASPWCVYLIASSRIPRTYVGVTTDFPRRLRQHNGELKGGAKASCAGRPWTLACLVEGFVNRSEACEFESKWKNISRKMARKRSEPGIESVLQHREVALTRMETLMDCSHLNIKWRPIVSAIYHYTQEFTEVQVLTRNSIGRLELVLWNLDMASHSLVLNQIRLLGLIPLPIRQGNVEAEDISFSIAFIGVLLPPSLVHLGTINVAVPKPIRVVPDGRLEVSPVGRPAKAGVAGGAEVDDLDLEVPGAGRDPAGGAHHAVALCAAGGEAVVGELDPLGLEDAGEEHGQVGRVVGALGGEAGRRDEEELLLAGGGGLGAAAVGGDAEQRLALGRLLSLLLPLAGGGPGPDAVAALLLGLLAGRGRRRQRHVLPGAAPRGVDPVFVVAVGGGVRWRDGGGPRVGGIGEGGVGVRGVGICGGGGVGEAWG >Et_5A_040164.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:17673727:17674050:-1 gene:Et_5A_040164 transcript:Et_5A_040164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AIAFNNVLILLIQFRTSLPPRYLNHVAGVFAVLWIIGSINFFRGLFVMSGVGFRCRVAQLIYIFFALFVVYALYLISQPVGGTLINRCVSSYFNSFFHLNRLFMKLC >Et_4A_035041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:899946:903672:-1 gene:Et_4A_035041 transcript:Et_4A_035041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPSLGFADYFSAADATAVMPAMEEAPPELFGLHADMELLGMRGLAAMPGAAHGHSKTVLIDAGADGSTMRFLSDGQQQQQPLSLSLCRPDAAGGVGMTLHQHHHLGASSRHQQQPAAWMQDYSAAPHGGAWQLRGSRFLLPAQQLLQEFCSLPVDASANSKRAKAATKPSQEQQQQQEDGGDGSSSSASWAPSAQIQSMDAVELQRLKDKLYIMLEEVDRRYRRYCEQMRALAGGFETVAGDRASAAYTALASRTISRHFRNLRDGIVAQLQGVRKALGEKDVSMPGMTRGETPRLRVLDQCIRQQKALNQAGLMETHPWRPQRGLPERAVTILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYMEEMKGEQDGGGQHQQQSLNPNPSSCSQASEAHDSGHGGPTREQLLHDAGSLAAVVNVGGMARAGTGGGARLENFGIMDPLDFDAYDGGGGGHHQAGFGAGGGVSLTLGLQQHGDSHVDSGVNIAFGAPSADRYGGAAAGSYMFAAGHQQMDGGGGVHHGHHVQFGAAMDGEASSHGQDHYRSLSAGFHLLRDLAG >Et_4A_033222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20042348:20044276:-1 gene:Et_4A_033222 transcript:Et_4A_033222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEEANRAAVESCHRVLALLSQPQDPAQLRSIAIGTDEACAKFRKVVSLLSNGGGAGPSGASGSHPKAKVVSRRQNSGFFSQKGFLDSNTPVVVLNSAHPSPSSAQVYPRNGALDAQTVNPLGGPPKMVQPLSAHFQFGNVSSRYQFHNQQQKQKLQAEMFRRSNSGINLKFDSTSGTGTMSSARSFLSSLSMDGSVASLDGKSSSFHLIGGPAMSDPVNAQQAPRRRCTGRGEDGSGKCAVTGRCHCSKRSRKLRVKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDSSMLIVTYEGEHNHTRMPTQSAQA >Et_3A_024114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17547526:17551264:1 gene:Et_3A_024114 transcript:Et_3A_024114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLHDHSCEDHNCAADWSLYNHVDIPKVVALNESVPGSVKSVFKPWEQRLDTSGGFLESNEGDPELLIFIPFTSDVKIKSISVVGGADGTSPSRMRAFINREGIDFSDAQNMQPVQEWELAENLQGALEYQTRYSRFQGVANLTLHFSDNFGGDTTKIYYIGLRGEATQNKRDVVATIVYEVMPNPSDHKVEADAGLLRRLEAN >Et_7A_051071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14095456:14097288:1 gene:Et_7A_051071 transcript:Et_7A_051071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EPNEEEKTPKNDRNDRYWETSKHILHLYCYYTCRISCRAFASVGPGVRLGTAAGQAVEGIARQPEAEENTRRYRTDPMLSSMFPFPKLLDPLFLPLPGSPSYYWKNSELRARSLNSVAILKRKERLRTIGMGGIGKHQCFDPMARGNKWFMFSTMFPLPLLLGPLFLPLPTDLCAYNYTILQKHEL >Et_1B_010862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14840963:14841866:-1 gene:Et_1B_010862 transcript:Et_1B_010862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNLAQSTAAAAASVAPCKSSRRVAAPASVSMRRSSAHQASPAGLRLQRQACCEPSVAPARPAMSAACRAAAEGVAAGAAAGRRSGVPVFVMMPLDTVKKCGSALNRRKAVQASLAALKSAGVEGVMVDVWWGIAEAEGPGRYNFAGYMELMEMARKTGLKVQAVMSFHQCGGNVGDCVTIPLPRWVVEEMEKDNDLCYTDQWGHRNFEYVSLGCDAMPVLKGRTPVECYTDFMRAFRDHFADYLGNTIVVCISFYAVGFLCFKLIRQR >Et_3B_030785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7307582:7313098:-1 gene:Et_3B_030785 transcript:Et_3B_030785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AKQLKNMNEQVCQICGDTVGLSATGDVFVACNECAFPVCRPCYEYERKEGNQCCPQCKTRYKRHKGSPRVPGDDEEDGVDDLDNEFNYAQGNGKGPHWQLQGQGEDADISSSSRHEPHHRIPRLTSGHQISGDIPDASPDRHSIRSPTPSYVDPSVPVPVRIVDPSKDLNSYGVGSVDWKERVESWRVKQEKNMIQVNRYPAEGRGDIEGTGSNGEDLQMADDARLPLSRVVPISPNQLNLYRVVIVLRLIILCFFFQYRITHPVRDAYGLWLVSVICEVWFALSWLLDQFPKYDREGEPSQLAPIDVFVSTVDPLKEPPLITANTVLSILSVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMADGTAWPGNNPRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPVYVGTGCCFNRQALYGYDPELTEADLEPNIIFKSCCGGRKKKDKSYIDTKNRAMKRTESSAPIFNMEDIEEGFEGYEDERSLLMSQKSLEKRFGQSPIFIASTFMTQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPLRPCFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYNGRLKLLERLAYINTIVYPITSIPLIAYCVLPAICLLTNKFIIPEISNYAGMFFILLFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKANDEDGDFAELYVFKWTSLLIPPTTVLVINLVGIVAGVSYAINSGYQSWGPLFGKLFFSIWVILHLYPFLKGLMGKQNRTPTIVIVWSILLASIFSLLWVKIDPFISPTQRAVSQGQCGVNC >Et_2B_020482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20617397:20620555:-1 gene:Et_2B_020482 transcript:Et_2B_020482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATAAAFLARPLPRLRRIGGRVADGALVRGGLVALPPRLLGPRCSMSLSIGAGAGAGGDREFSYEHVPVFPRYRMRDPYKLLGVDRDASEEEIRSARNFLIQQYAGHEPSEEAIEGAYEKIIMKSYQQRKKTKINLKTKLKKKVEESPSWVKALLGYFEVPPMDIISRRLFFFAFIAGWSIATSAENGPAFQLAISLFSCIYFLNDKMKNLLRASTTGFGVLVGGWIMGSLLVPLIPTFLIPPTWSLELLTSLVAYVFLFLGCTFGVLVGGWIMGSLLVPLIPTFLIPPTWSLELLTSLVAYVFLFLGCTFLK >Et_2B_020197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17920812:17929253:1 gene:Et_2B_020197 transcript:Et_2B_020197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQQFDAVRSNTARAQSRSAGGSATEAMECTWSGTEMPLKYSSGKAFPLGVSQVDDGLNFSIFSQHASSVVLCLKLAESGTQYVDIVEFTLDHQKNKTGDIWHVLVEGLPASGVLYGYHIDGPRGWEQGHRFDSSVILLDPYAKLVSGRKLFGVDEKSSQLFGTYDFESSPFDWGDDYRTPNLPETDLVIYEMNVRAFTADESSGLDQAVRGSYLGVIEKIPHLLELGVNAVELLPVFEFDELEFKRYPNPRDHMVNTWGYSTKNFFAPMSRYASAGGGPVSASKELKQMVKALHNAGIEVILDVVYNHTNEADDANPYLTSFRGIDNKVYYMLDMNNNAQLLNFSGCGNTLNCNHPVVMELILDSLRHWVNEYHIDGFRFDLASVLCRGQNGCPLDAPPLIKEIAKDSVLSRCKIIAEPWDCGGLYLVGRFPNWDRWAEWNGQYRDDIRRYIKGDPGMKGVFATRVSGSADLYQVNQRKPHHSVNFVIAHDGFTLYDLVSYNFKHNDANGESGRDGCNDNFSWNCGVEGETNDSNVLSIRSRQMKNFHVALMISQGTPMMLMGDEYAHTRYGNNNSYGHDTCINHFQWGQLEERRNGHFRFFSEMIKFRHNHPMLRRDRFLNKNDVTWHEDCWENQESKFLAFTVHDHNSGEDIYLAFNAHDYSVDAVIPPPPHHKCWNRVVDTNLESPNDIVPEGAPFTGSRYRIAPYSSILLKAKA >Et_4A_033212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2058410:2062398:1 gene:Et_4A_033212 transcript:Et_4A_033212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPSLSLSLLENLLRMEENSTLAFVSENPYTFWTPKEDGLWMVVNKNPLNYHAWMVLIDVVEKAFKPDLSKIRQVYKAFLRRFPLCVEQWNNLAHHEGHVDSNAAMKVYEEAIPVYSVKLWHHYCQFAISIGKDSSFIRRLFERGLELVGTDYRSNLLWDSYIKYEETKLAWNNVANIYTRLFNHPIQDLDLFFSRFKELAELNALALLLHEDDADLDGSDKDSGVKCSLEKDTLTKYISARQVLYNKAKEYESKIVILSNGSQDQVMNRAMWKILRLELGITILNLLRGKILQRYALHLSRILLSRIGFPMLHATKLYERCLIPCATRADIWMRYIEFLEERNMLEEANRALCRALCLVEKSAKSEICIFSARYKERVGDIFGAREQYCYIYSKICPGSHEALEAHANMERRLGNNECASSVYEAAIAAERQKQPLGLPALLVQYAEFTYFAVIDLESMFPRRCVELLDSLVQKFFVPDSTDGLIPTEIEKREVSDIYLE >Et_2B_022582.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26583457:26583777:-1 gene:Et_2B_022582 transcript:Et_2B_022582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVRAWLLVMALACALLLVRSTDAADAPAKPAVSSGAAKPKCVPGASNDKACRVGVGHDPENQEEEGGFSRRAMAPAGAPDAERDDDYSDPDIPNNDRLEVVGH >Et_6A_047586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7747760:7748275:1 gene:Et_6A_047586 transcript:Et_6A_047586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHIHIYSSKTLDPRRLLEWLSCMDNGTLLGLLYNLRRTCYKYANAINCAYIGEFGGHLQMIGYTKKDKLIACFDVPIHGLILSREVVEPKDNVKLIERKLGGYCVWKLSRKVGSEATQRQHLEVSCSTHQPGWGVNIDEDLTE >Et_4A_035593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30475007:30477651:1 gene:Et_4A_035593 transcript:Et_4A_035593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYQIRNEYGQSDPELYAAPGEEDDPEALLEGVAMAGLVGLLRQLGDLAEFAAEIFHDLHEDVMATASRGHGLMLRLQQLEAEFPAAEKAIISQTDHSNYPHDEGLEWHPNLQFKQNMITQGDMPRFILDSYEECRGPPHLFTLDKFDVAGAGASLKRYSDPSFFKIEHASSMIESDDIMEKKPRRIKKKAMRWRKGVTLEALLVANSE >Et_1B_013055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5076740:5079988:-1 gene:Et_1B_013055 transcript:Et_1B_013055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METVEEDVEEYSWREVVLPHLVPVVPDAPPELERESGERRRGRDILVAVDFGPNSKHAFDWALAHFARLADTVHLVHAVSSVHNEIVYEKSRELMEDLAVEAFKTLLVRTKARIVEGEAGKVICQEAERLKPAAGSVSEYCFHNCKAAPVIIVPGKGCQFNKSMMANVMTKEFLF >Et_7A_051930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2921643:2939001:-1 gene:Et_7A_051930 transcript:Et_7A_051930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVHLGLPGPWAEDYREKADHYTTKIGGLPDWPTGDMEIKPELLQCSLCGTKLCLVAQVYAPVEKLNIEERTIYVFVCPTPKCGPNPQRQVLRAQKCHSGMKVHVSGNDLGQSNVSSTNSSEKQNDQSNVPSSTSFPEEENDEKSKSPEIDDDDFDLDALAEALEQAATLASNSKKQNKPKRANTPIKRAVLKDKGSDLSTPVLPCFYIYYDKERYGGKGTVGSSSNELVLSKELMDIANDEEEKWQGEKYEYDKAIGADRNFLKFKKRLDAHPQQCFRYAYGGEPLSPATKLQEGSNCGLCGSQRQYEMQLMSPLSYFLHEAGNGSSNYAPDSWTWLTLIIYTCSKGSTSAKRKVGGYVLPLLFAPRSEDLCPNGTPSETNVQQHEGTRCDSEDDPGLSLNHHEVEHSPDLSITTDCSFAATSDIVVSTTSGEQRSHQRHPKKCRFNGCSKGARGASGLCISHGGGQRCQKPGCNKGAESRTAYCKAHGGGKRCQELGCTKSAEGKTEFCIAHGGGSRCGIEGCAKAARGRSGFCIKHGGGKRCRIEGCTRSAEGQPGLCISHGGGRRCQYPNCGKGAQGSTIFCKSHVDNSGAETSHNMDGANFESPIDVDEGPSSMDVDMKGKSPLDDDTKGKSSSEAHAQGSIEISVESLEKFCKEASRSFFDEIGLISHQINSYNEFISHGLQELFDSLGEVIVEPGYDPSKKGSGGWKHATIKFGRVKLEKPVFWSGKDEADINFKPKHARLQNMTYASRMKVEVTIQVYSLEKSDKSKTGNDGFLQKRDYLNETHWIFIGRLPVMVNSNLCWLHSLKESDCLFDSGGYFLVKGMEKVFISQEQRCLSRVWIADRPCWTASFLSEIKRQRIYVKLLESAKSEDISGSKIISISFLYATMPIWLLFFALGISSDKEAFDLIDMQDCDASVINTISMTIKESDEVCEGFRKSNKARQYVDELIKNSRFPPAESFDDYVARYLFPDISGNRNKALFLGYMVKCLLMAYTGKRKCDNKDDFRNKRLELAGGLLGRELRAHIRHAERRMVKAIQRDLNSDNDLKELERYLDASIITNGLNRAFSTGSWCHPYKRNERCSGIVATLRRTNPLQMISDLRKTRQRVAYAGKAGDARYPNPSYWGKLCFMSTPDGENCGLVKNLAATAIVSSKVVQPLIDSFISCGMSKLDEIPSEEVARMHKIFLNGTWVGSCDDPASFVLRVRCMRRSSLIDRQVEIKRDKHQKEVRVFSDAGRILRPLLVVENLNKIRKPKGRSYSFQELIQQEIIEFIGVEEEEDIICAWGIRHLFGSEGESTNYTHCELDPSFLLGLSCSLIPFANHNFARRVLYQSEKHSQQAIGYSTTNPLIRVDTLSHQLYYPQKPLFKTVVADCIGRADYSSGKTDDFARPEYFNGQNAIVAVNVHQGFNQEDSIVMNKASLERGMFRTEHLRSYKAEVENKEGAKRLRLKEKIDFGKMESKRGRVDNLDDDGFPYIGASLQTNDIVIGKVSDTGEDHSIKLKHTEKGMVQKVLLSANDEGKNFAVVTLRQVRSPCLGDKFSSMHGQKGVVGFLESQENFPFTCDGIVPDIVINPHAFPTRQTPGQLLEAALGKGIALGGTMRYATPFTTASVDVIAEQLHKAGFSRWGAESVLNGRTGERVQSLVFMGPTFYQRLIHMSEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLLAHGAAANLHERLFVLSDFSQMHVCQSCERVANVIIRCVPGGKKIRGPYCGFCKSSENIVQINVPYGAKLLYQELFSMGICLKFETEVC >Et_7B_054366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20388950:20413634:1 gene:Et_7B_054366 transcript:Et_7B_054366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQLRQSFYRKVVLSGSYAAMLVTAPTFGAPAFATSEDPSWRFAPRASRTRSTTAAGSTLLPTRVSSRPGILRRVGVYSFRKLRVDKIVTKPEELPRWPLPAWLAPPPPAAAAAASTEAAACREVERRGRWVQHLRTPPSGHPRGDAPPPDLPRPHRVRAGRPPRHRPRDDDGHAAVARPPASSNQTATLVSIADHKRTAAVPTCDPAMRGGHVVVGSSHGWLVTADAKGALRLANPVTGAQAELPSLATIPSFTVRSGVWYILDGAAFARTFAAMLVTAPTFGAPAFATSDDPNWRFAPSREGIEDAIHHDGRFYSLTYSGLIEAWDRDVDNSGGGFTSTVVAPRLTVAGDDKRRKYLAFMVVFKEPMEVEGKYGRRKWTCAFKVRMLDETRLRWEEADPDIIRDMAVFVGVNSSICVSTTEHPEIRAGCVYFTDDEIGKAFLHQETQYRSFSEPDNELRSVSAYSFSEDRVDKVIVNPQEYPRWPLPAWFTPSRQQAPAKRTADVVRVNFVDRLSHDLLANIHGRLGSLDRVAFALVCKTAGHPMNPPAAAATAPWIVFPGASAETATFFPLADRRAATARTADLGTRGHVVVGSSFGWLATADELGALHIVDPVTGERARLPDARTFPFMRYSRSCSIEGHYHVDTAIFHHDGRFYSVSCSGAVEAWERDGVTGDFTSKPVAVARIMDVEDFVIDRKYIAAKPDGQLVLVVKYTREEAATGYYDKKKWSCAFVLQELDAARGQWKMTTDIGDAALFVGMSSSIFLPTAAHPGIKPGCVYFADDELHQAAERRHREAANGYHSRDEEKGDHGPQHVGVYNLTDGTVEVVEGLGRQSWSSPPRERARTATVVRVNLVKHLPQDLLANIHGRLTFLDRLAFAAVCKAAGHPMNYLEERTRTPPWIVFPGETEDKATFFSLADGRAAAARTADLGTRGHVVLGSSFGWLATADNLGGLQIVNPITGQHTRLPDITTIPFIDHSSKYSNKEGHYRLDMEPFLRARYGGLPVPVDEHYETSMVVPQTSTLAGGQMVILSASPRPDSYQAMLIFNQNYGGVPAFATSEHPMWKTSVFKVHVLVDDDDDELQGAVRHSLKETTSIGDAAVFVGVNAYPCVCQQRAPGAQGRLRLLHQEDWRHQEEEADMGVYSLEDGTVQVLGTMDQRWPPAAWLTPSLAWIAPPRHGHSHSMRGLDWIAPRLEAQAHGKQAHVVFFFLSINVV >Et_3A_027187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4279523:4279992:1 gene:Et_3A_027187 transcript:Et_3A_027187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKETLPLGDGLIAPMCLCGTPCKLVKSLVLGNDYGKRLWMYNNYQYELPLQRLYFSDGRPKSSPSLCEFIEYIDTEQTPENIAHVYRVAERARRHWFDMEAEERREEERKKMRQKEEERRREY >Et_1B_009825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30207044:30207360:1 gene:Et_1B_009825 transcript:Et_1B_009825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDSVVDPLREFAKDSVRLVKRCHKPDRKEFTKVATRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >Et_3B_028635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17660448:17663977:1 gene:Et_3B_028635 transcript:Et_3B_028635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAERMAAIEALLERCRAVKDEDFSGMTEPERAAEAERRREQVLEEARQLERKARMELSQEEAARIIDFDPKQEGGVYYNRLYYVDLATFDHNEESPIGPMRYTNRVSEPDQKPFTTDSIDKKCIYLFRRDRDNCQLIDSEHGSLMLTGPKRGLALINDDYVEMDLKIKEHGGKGDFHGEITAHTTSIKNRLVLFDNNNEVNDCMNGDATGAIGLLRPVISVSEEEMPVIVVTSDGKDERTVRFTPLLNGDDEDTVTVGAAKIRVNELDLFSI >Et_4A_032090.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:16737632:16738030:1 gene:Et_4A_032090 transcript:Et_4A_032090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRIFHRDLKPSNVLIDSNGSNAVGKICDFGLATYYDQAVTTLCRIPHGTYGYMAPELHKARSSCTFESDMWSLGAIMYEVITGSPLIKGCDPANMTTCMRSLFGILSNPAHTLSNEVCAGLNSPPEGVKL >Et_9A_061428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11746607:11754459:-1 gene:Et_9A_061428 transcript:Et_9A_061428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCLWPSAMGSGARRKGWGLFRSNKAKSPMEVVRHTRDLLRYVTENREACSSNGDAKREQKLADLRKSIREMKCILYGNGEAEPIAEACTLLTKEFFRENTNTLRLLIMCLPYLDLETQKDVTQVIANLQRQKVDSRIVASDYVEANKDLLDILMSGYGTMDIAIHYSTILRDCIRHQVSARHVLESEHMRKFFDYIQLQDFNIASDAFRTFKELLTRHKSSAAEFFSRNYDWFFPEFNCKLLSSSNYIIRRQATQLLADILLDRSNTTVMVCYVSSKENLIVLMNLLRLFAANQNKPPEIVGILSANKNKILRFLADFTLDKDDQQFEVDKAKIQQQVPRLAERNGISMTKKMRHVTEAKLRQIEGGLLGANLASLQLSSVDKGHV >Et_10A_000991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:244580:248195:1 gene:Et_10A_000991 transcript:Et_10A_000991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNSKGARYGVGLRAAAIAIAALLLCSTASMALTPDGEALLELKLAFNATAQRLTSWRASDPNPCGWEGISCSVPDLRVQSINLPYMQLGGIISPSIGKLDKLQRLALHQNSLHGSIPPDIKNCTELKAIYLRANYLQGGIPSEIGELVHLTILDLSSNLLRGAIPASIGSLTHLRFLNLSTNFFSGEIPNVGVLGTFRSSSFVGNLELCGLPIQKACRGTLGFPAVLPHSDPLSSSGAKLVTYQWNLPYSSSEIIRRLELLDDEDVVGCGGFGTVYKMVMEDGTAFAVKRIDLQRQGRDRTFEKELEILGSIRHINLVNLRGYCQLPTAKLLIYDFVELGSLDCYLHGDAQEDQPLNWNARMKISLGSARGLAYLHHDCSPGIVHRDIKASNILLDISLEPRVSDFGLARLLVDNGAHVTTVVAGTFGYLAPEYLQNGHATEKSDVYSFGVLLLELVTGKRPTDSCFIKKGLNIVGWLNTLTGEHRLEDIIDERCGDVEVEAVEAILDIAAMCTDADPGQRPSMSAVLKMLEEEILSPCMSELCYEQHLEL >Et_8A_056173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12315654:12317948:-1 gene:Et_8A_056173 transcript:Et_8A_056173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGRLTLQGLVELPQLRSLNLGSNGFYGNLSDHTLWSSPCLLRDVDLSSNTLNGTLPRAFLPSCGRLRFLNMSSNSLIGGGFPFPQSLRTLDMSNNQLSDAGFLNYSLTGCHGVQYLNLSANRFTGRLPDIPPCSKVAVLDLSWNLLLGLLPPNLVAAAPVTLTHLTIAGNKFSGDISAYEFVRRLKLAGNNFFGEIPDRLSLLCDKLVELDLSSNQLIGSLPESFLKCRSLEVLDLGRNQLSGEFVVTVISNILLEEIALGSNEFYGEIMPNLCSSLPLLRKLILPNNYLSGAIPPSLGHCHSLETIHLSFNLLVGRIPPEILVLPKLVELVLWANNLSGEIPDMLCSNGTTLETLDISYNNFSGSIPPSITMCVNLIWVSLAGNHLTGNVPPGFGNLKKLAILQLNNNSLSGLVPAELGSCSNLIWLDLNRNYFTGTIPQQLAAQAGLITGCIYCGKQYAYLRNEVGNICPGAGVAFEFLDIRPERLLQLPSVKSCPSTRIYSGPTISTFSHNGSMLYLDLSFNGLTGVIPSSLGSMMHLQILNLGNNKLAGLIPETFAGLKSLGVLVLSHNQLTGAIPQGLASLTFLADLDVSYNHLTGQIPTSGQLMTFPPSSYENNSGLCGIPLPPCGRFDNGDGEHKLQDIPDAPVPVVSPTGLGIGLAFGFIVGFGSGIFLPL >Et_1B_010952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15838431:15847876:-1 gene:Et_1B_010952 transcript:Et_1B_010952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CSTPCISSNTHNGLTSFSHLVLCCHSSPASTSMEMAKYLVFVTALAACLALGAADWSLATATFYGGADGSGTMGGACGYGNLYNAGYGVNNAALSSALFNGGASCGQCYNIMCSRDGGVRFVVNGFNYFELVNIQNLAGTGSVAAAWIKGTNTGWIQMSRNWGANWQANAALARQALSFAVTSTGGQYIQFLNVAPEGSDRPSTPTRTSIGASMEIAKSLALLTALAACLALAAAQWSPATATFYGGADGSGTMGGACGYGNLYDAGYGVNNAALSSVLFNDGVSCGHGGVRFTIAGFNYFELVNIKNLAGSGSVGSAWIKGTKTGWIQMSRNWGANWHSLSGLTNQALSFAVTSTGGQHIQFLNVAPEWWKFGQTFNSNQNFQY >Et_7A_050588.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2978912:2979013:1 gene:Et_7A_050588 transcript:Et_7A_050588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSTIKLFHSMLGKDVSKKRWRRSCLEQSPR >Et_7B_055044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6779716:6782240:-1 gene:Et_7B_055044 transcript:Et_7B_055044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVDKIAEPKDPLVVTARKIQSLEPQIPIKASWKGKNSQQHDKKDLLADGEESFRSLDSSDEGGRGSFSGASHPPEPIDMDIMKTVYVAIDEEKSELPVSLVRGLSTKGPSIDDLSVGVTGTKANVVVATGSAEGLAEERKVSSSAVASVATARSSQATSLPQDPEEKECVWDASLPPSGNVSPHSSIDSMGVVTAMSTLNSCTSTYKSEAMASEAILTVERNSGSVNGIRGDMLESAKTSMSRASDSSGVSDDSSWSHITGGANKPHKGNDPRWKAIHAVRTRDGVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRTSAFDSDPRRAGGFCVQPACIEPTSACMQPACFLPKFFGQKSKKKTRKTGSELGQSTTTLPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPESPSTSYSSRDLIRGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVEAELPVKYRVPEAIGSNSKRIVGADVKSGGKYLDFEFF >Et_10A_001863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9233134:9235586:-1 gene:Et_10A_001863 transcript:Et_10A_001863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVVVAIWGMGGSGKTTLASRLYKKPDVRKSFDCYMWIQVSPNYRVEDLLSRIMKQLHISSGENTSDPRDMMERIKSPCRQKINNPGNSSTKRRFQSSVRPHVLEVSFIGQKKILEKCQGLPLAIVAIGSLLSYKDMDEHEWGSFYNQLNWHWVSNALNSSLNDLPAHLKNCFLHCSLFQEDYLIRRKWIIRLWIAEGFDEERGAETTLEEVTENYLKELTQRSLIQVVERNEFGRSKRFQKHDPLREITLLVSRKERQSSCALLYYLTNKSRFLGSAQPHQVLVAPKNKFVGTILWESLGDALRVLCLRYSLLEVIPDGVASLFNLHYLDMSHTKVKKIPRSVAKLKNLQTLHLRFARVKKLPREVTMLKSLRHLSVSNDLFGTSISSNICGRKSLLREVKASKDLVTNLGYLTQLRSLGITGVLTSYNKDLWASISKMAILSKSAVATRGDNEMLDLEKLSPCRNLEKLYLTGKMAEGNITSLSRGFQKLKLLSMRWSRITLDPLISLSQMPILV >Et_1A_004566.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:18403255:18408386:1 gene:Et_1A_004566 transcript:Et_1A_004566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQAKRRGAVENGDGSMGASLAAVIANNEDVGPIVRHAFEAGKPEALLNSLNNIVKKKEVEIEEICRIHYEEFILAVDELRRVLVDADELKGTLSGENQLLQEVASALLLKLDELLESFAVNKNVGEALAALKICVRVTSLCKICNRDITEGRYHSAMKTLDLIEKDYLQKIPLNLLKKVIQKQIPMIKLFIEKKVCSEFNEWLVYVRRIAKEIGQAAMGQASLARQKDEEMRARQKEAEDCSRIGFDEHAFALDFEHMDEDAIIEFDLAPLYRAHHIHILLGLGEKFREYYYNNRLMQLNLDMQISTSQPFVESYQPLLAQVAGFFIVEDRVLRTADGLLSETQVESMWETANSKITAILEEQFSQMNAANHHLLVKNHVNLLCAAMKKYGYQPTSLIQVLDKSRDKYLGLLLSDFRKQLGDIFSKDSYERMVIKKENEYEMNVAAFQLEPADKVPDLPYVAPFSSSVPSACRIVRSFIEDVVSYLSYGDSMNFYDLVKSCLDKFLIEALNDGFLKLIHGGSLEFSQMVQIAGNISILEQSCDMFLWHAAQLCAVPRRLLEKPHSGLTARAVLKASGNAAYNVSISLVNTKIDEFMMMLTSINWTVEEAPEHANDYMNEVIIYLHAVVSSGLHILPRDALHKVVSGALSHISDSIVTVLLSDRVKRFNANAVAGIDIDLKLLEGFADDTFRSTGLSDLGMETSFKDCLLEIRQLINLLLSNQPESFANPIIKEKNYGSLDPKKVAIICDKFKDAPDSLFGSLSSRNTVQNARKKSLDVLKRRLKDFS >Et_1A_004498.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:1044543:1044858:1 gene:Et_1A_004498 transcript:Et_1A_004498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWSKEPKLEHGNCCAHRPSPPSTLTASCSSVVGFFGSTRNEVVFRHETQSVDRLLRACKDEAFLWRCRLPPDDYNVSDAWCIFFRVNM >Et_10A_001667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6634617:6639561:1 gene:Et_10A_001667 transcript:Et_10A_001667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGVASAAGTAVLVYLAAAARRRRKEEARARREQRRARKRPRWPERAPAGWGEAAALAARTVRFTWAETLGKWPLGELAFGIKYYMRQQGNLQHEYAGSHSVPLDGPEARLELISLLRYLRLCMYFSKKPYDVFMEFGGYSQNDVLRKKSKGRLLKPTFTIVRDESTKCFLLFIRGAISVRERLTAATGADVPFHHVVVQEGRVSNLVMGYAHCGMVVAARWIAKQVIPCLIKAVEEFPDYGIKIIGHSMGAAIAAILTYILRENEKLLSSTCLAFGPAACMTWDLAESGKDFVTTIVNRNDVVPSLGRASATKLRTEVMASSWVHDLRDHIQQTRFLGFVNRSVSFIRSHVPFISDPRSKVVDIDMLQSDSSKAGKKPSTDNHDMVKKRSARCWSCVAAQKQTVESSKQTEDMKNKTETGVKIEKNSEEDDAELLSVAVGDLNLHESDSEDADREEKESVLKGKDEKEALELLESLTSEQQVPPATSSQECHQLYPPGRILHMIGLPAAEPNTSEQAGLEEVVTLYATPRHLYSKIRLARSMIREHYMPKYIKTLEQLIDKLAKENIDNQLDSLHQGRM >Et_4A_035083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9311556:9314236:1 gene:Et_4A_035083 transcript:Et_4A_035083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRLLPRRLLQALTGAAAAGDLRRRAFSSSAASSPSLSIWRRKKEMGKEGLMVVPQLKRLAALPPVGRSPRLEQFMRSHVSRLLRTDLLAVLAELLRQDHVILSMKIYGVVRKEIWYRPDMYFYRDMLYMLARNKKIDETRQVWADLKSEDVLFDQHTYGDIVRAFCDADLIDLAMEIYEDMRSSPDPPLSLPFRVILKGLVPYPELREKIKQDFLELFPDMIVYDPPDSLSDVDDEFKF >Et_8A_057546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4260702:4272689:-1 gene:Et_8A_057546 transcript:Et_8A_057546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERYKIVHVPCLSDRNGEFSAVQVFTLGDDAASWRDVPVPGGSSCCLDAGIVSIDGSTYWVTTGGAEAERLVVSFDLKDERVAFTKALPARADHDQCGGGYTWRLTELHGRLGIVSARNDVKRAPEERNEVLVLERGKDDRRQQSRWIRRCSVQVNAGSSRRIARPLFVHGDHVLSSSKTEVFAHRLRSAGRSSKQCGDVRSVKVSEQMRGTAVSGLSGGCVRGMMKGAARSFEEATKTPTSSRPIRQWWARATACCASVTTPSRAAPSISLVNPATGETLAVPKLPGSGQWARTWSEMMMQMSSGWHETFSFAYEPMTEQYKVVHLPCYLNKSGGFNMQTRRGGTSLFPAGASCCLAAGVVGIDGATYWVTSDGPESVVVSFELREERAISFRTPHTDWQGWSWRYSVEMSRVEDYNIWIARPHFVHGDYVLLTDRKQVVCRHRTMSAGRWRSSGEVVPVRVSVQMPHVVVCGETSEILLRIPPIKRWRLRLVCRNWRDVIHERTADAGTYWASAYAIHDLEKGSCTGLCRLPVRRCTVEDDDATMMLHVRAEPMTERYKIVHVPYVFTNRGVFTLGETASSSWRDVPVPGGSSCCLDAGVVSVDGATYRITITGDSGAAEAERLVVSFDLKDERVAFTKALPARAEQQGGGATRGA >Et_8B_060020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5098179:5103676:1 gene:Et_8B_060020 transcript:Et_8B_060020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLRSRAKAGGPSSAAAPPSPPPAAGVPSGTPAPSPTTSLGLGLGAASPDDVAGSLKRGRGRGRGRARVSASPAASPIAGDSGSDGGGSGDKVLAGGGSGGVGAFMSLRSGSRVAKRQVETGAQRDAAISAKRCKRVLVGGIETDYVADSESDSDEDCVMQGEVCTRLQLSIGPSKEDIGDGVSMKNDFLNGEVGHMYNFLGTSVGVAIEQAASPVGSPSRPEMDMQADMYFKEELRRYDSRDRGKGKGKLVLEDNDSGAGASDWPGPFSTAAKIYEDRDAKLRARESSSSKLKKSANKAILWAPSNDRKGPLRSAPSLTDLCLNTLADHAEGIESLGGIPEELKHRLLKMLCRSRKMNTHLLNELWCDSPTELQLSECSWLSEDDFEKTFGKCRTENLQVLQLDISGRCLPDYILRTTLAKVPNCMPLKLTSSSIKTIGQNCPHLSSLDLRNLNRLRDSAMRHLRNGCRQIKKLKLQRNSFSDEALSQYLEASGGCLTELMLNNVEKAGDLTALAIASKCSVRLEVLDLSFCRELTNEALGLVVDSCSSLRVLKLFGCTQLSDIFLKGHSNRLVKIVGLEGNILDQMDSH >Et_1A_009394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4654677:4657089:-1 gene:Et_1A_009394 transcript:Et_1A_009394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVSLLLLHSSPAHPLHRAGPPSRLLCSPHQRGLLLPTATTPRPRLLLAAPPRASNNNDNSGAVEAPDRLVAAVAYLYPFLDGAHHGRFLLSQFPVFTALLRPLDPAARLFHSSPLTPFLLFLTLYFAVVRNQQAFSRFVRFNAMQAVVLDVLLIFPDLLAQSFAPSSATGVGFEIFQSMESTVFLFLLVSLVYGGGSCLLGKTPRLPIVADAAERQTFKGKKSSNSL >Et_3B_030777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7245333:7249027:-1 gene:Et_3B_030777 transcript:Et_3B_030777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSTNSALSSSAMAVDTTDPEYWLNWKFLLCGIWVYSCMAMACYWIWKYEGANSRGGNVDDGEDREEARPRIRPGVVYLEDCWRPCLEYIHPGWLLAFRLVAFFVMASLLVVDLITDGWSIFLYYTQWTFLLVTLYFGLGSLLSIYGCYQYAYKTRGDGSGADHGTYVIAPTGEATYDHAIKSPCYNKIHGGQELAGFWGYLFQIMFQTNAGAVMITDLVFWFILYPFLARNEYEMNFILIGTHTINVVFIIGDAALNSLRFPWFRIAYFLLWTGIFVNVQWIIHANVALPISRLGIPCSACMVFGGGSAALPLLRLIRPVPEAQAFSVGKLVSSHLRGVTFMKQIVPYTVIRPAKSEWSY >Et_5A_040187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20460549:20463954:1 gene:Et_5A_040187 transcript:Et_5A_040187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYSGTEMIMIVVAAYTLPPITSGSSRTIWLLLAVVVVLLRRAVGWFANERGDVVPAAVVHGGRPKVGGLGRRPCCC >Et_3A_025191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27721101:27723169:-1 gene:Et_3A_025191 transcript:Et_3A_025191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHAHMSVAERLLKEFGCSICRNFCKSCLLGAYESQSSMRDRSCGGQTLRNQKITDKQLRKMAKHAHMSVAERLLKEIGCSICRNFCKGCLLGAYESQSSMSDRSCGGQTLRNQKIVKEPVSRKPIGFVDPKTDKQLRKMAKHAHMSVAERLLKEFGCSICHNFCKGKDDKKTKMSAPEVVAVLVEENAAKQTKKGSEGVENKEIQQQSHKRSRPNG >Et_6A_047289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3300905:3303382:-1 gene:Et_6A_047289 transcript:Et_6A_047289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPELAAGEQPEPPDEDEDDPDVDEVDPTGRYFRVRAFAAASRVCSCHFVRVGLEVLNRIAHYKEVLGSGAFKRVYKGFDSVDGIEVAWTKVEITDRIMGSPKKLQRLKTEIQLLRSLQHKHILKLYASWIDKKKGTVNIITELFTSGNLREYRTKHKKVDMKAMRRWAKQILTGLAYLHSQKPPIIHRDLKCDNIFINGNHGKVKIGDFGLAMVMQQRKTQSIQGTLEFMAPELFGENYNELVDIYSFGMCMLEMVTGECPYSECQGFGIKPVALSKVKDAEVRNFIESCLASAADRLSASELLNSSFLQKDDINEKGSISVREPVAFPQNLDLDLEATPIFVSMVPNGDVNNGKESCSLVLRRGELVLEGNMSVINPVTLLLRLPDLNGKCKNIEFQFDLEKDTSLSLSTEMIQELELPSWSATVIAKLIDGFLLKTVRGWRPCIQIGQMIQVVHNTASANGKLSRSCGASQGFL >Et_3B_030997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9787792:9791463:-1 gene:Et_3B_030997 transcript:Et_3B_030997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSCWKSRFAKRFGHSHRHSQHSRFSKEATATAEAGTATALPKRPVVTAVTVLEIVPQETTTPCSPVDLIVCSCMDYRGGNLREFLQTNGHVMLQRMNNNNNLRSFTSKEIEYITNGYSTSIGKGAFGEVYKGALDDRRLVAVKKFKDGTMKEEFAKELIVHSQINHKNVVRLLGYCTEENNLMIVMEFICNGNLDSILHSRNANGHAPFPLYLDKRLDIAIVLAEVLSYTHSMYSPVLHGDIKPANILLDENLVPKLSDFGIARFLSTNEAQQTNTIIGFFGYLDPLFSQSGILTVKSDVYSFGVVLVELITRKKAADGSYNLIQNFNNFIKRRKDVRQMFDKEIAVGKRNTKLLEDIAKLAADCLRLEDKLRPEMVEVADRLRKCRKDLHLCRSGERAGYSVRLKSPGGNLLPVQPPVPISVSATRKSPPTSLLNISLAELRKITRNFSYDSLIGVGSHAEVFLGELTDGRKAAVKKLGQNPVVKELDGFFSEPDEEFISQIQDVSRLKHDNVVQLLGYCVEGNVRALIYEYASRGSLHDILKNLNGKKDSRGMQSGSNLSWAQRVKIAVSAAEGIEFLHQAEPSVIHSDIKSSNILLFDNDVAKIGDLRVSKNRPGFLDDILLDCACLRHHGYDAPECEVSKEFTRASDVFSFGVVLLDLLTGRNPLDGMQGYGQQSYMTRARRLSMYPMLGGQDNSKAAAEMAKIASRCLERKAVSRPSMTVVVNGLRSLLQNQPSKPLHCIGEASGA >Et_3B_028031.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:32304305:32304451:1 gene:Et_3B_028031 transcript:Et_3B_028031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQKADNHDSERETVMTLELTQTLHSAPHKSTRLIVHACSSIRIKKS >Et_8B_059144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14384863:14385560:1 gene:Et_8B_059144 transcript:Et_8B_059144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSALLLCASVLFASAVLSQANDVVVGGADHGWKVPVQPDGLNLWSSVHRFQVGDNLVFKYDDPADAVLEVTRDDYNRCSTANPLAVHKASAPGSGRVTVPLPRSGPFYFVGGAPGSCQKGERVVVVVVSEKHSRMPRRGGFFAPAAAPSMHFGAALAPAPVTGGAAATGSGGALLLGAVAVLGALLGGW >Et_3A_026771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:196337:198638:1 gene:Et_3A_026771 transcript:Et_3A_026771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRILNDALRTMVNAERRGKATALLQPISGVMVSFLNIMKHRGRSILSLPFSSSPHALQATASSGYIKNFEVIDPHRVGRINVELHGRIKDCKALTYRQDLRSKEIEQYRVRMLPTRQWGYVVITTPNGVLDHEEAIKQNVGGQQRD >Et_1A_006183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18982165:18987918:1 gene:Et_1A_006183 transcript:Et_1A_006183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILHVPACEHGFANAGDAPLSRQQATHTLICYTLMRSHLPLRRFLFSSSCIPDAPARHLTHHAMAPAVATQTKATEESARSKAGDRCGKSDELDRAADAAAAATEYEEVLRRLSSLITRKERSRGGGGNPGGRGRTWHRMARYLKMLELEEPIARMKVIHVAGTKGKGSTCTFTESILRSCGFHTGLFISPHLMDVRERFRLDGMDISEEKFLKYFWWCWNKLKEKADDDAPMPGLFRFLALLAFKIFSAEQVDVAILEVGLGGTFDATNVKGVPAYTAPQPEEAMISLKPRASELGISLQVADPLEPHKLKYQQLGLHGEHQYMNAGLAVALASTWLEKQGRTDLLVPANFTDPLPDHFTKGLSSASLQGRAQVVPDSQVNLEEKDQNYSLVFYLDGAHTPESVEICAKWFSNATKQSPSCGNSKKILLFNCMSVRDPIRLLPHLVNTPTQNGVQFDMALFVPNQSQYNKLGSSASAPAQPEEIDLSWQLSLQEVWEKLLHGSDSTNSSKTSKVFESVPLAIEWLRKNARENRSSSFQVLVTGSLHLVGDVLRLIKK >Et_3A_026010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34528460:34528765:-1 gene:Et_3A_026010 transcript:Et_3A_026010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDLSKLVKEKRFWLASALVAWAAALQGHMMWLQRQDAFKHKFGGGLDNPAAASHEDSSSSSSFDE >Et_9A_063230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16499425:16501226:-1 gene:Et_9A_063230 transcript:Et_9A_063230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCIGLCVVQWRPLVLPDRYIDHGSPADQLAEAGLTPSHIAATVFNILGQNREALAIMAVPNAYAPGGMVNVAVVVLGPPKVQQSNCDADLR >Et_10B_002725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1077594:1081933:1 gene:Et_10B_002725 transcript:Et_10B_002725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRFPFALRRSCGLLRVSSSSSRRGLSAMAGVGSGGGGEEAQLRDFFEYMERLRNYERSGVPRGAGTDSDDGFDLGRMRRLLRRLGDPHTHFPAVHIAGTKGKGSTAAFLSNILREQGYNVGCYSSPHLLTIRERISVGNDGGPVSVGLLRDLFDEAKDTIDESIVSENGTLTHFEVFTALSFLLFSRENVDIAIIEAGLGGARDATNVIQSTELAASVITTVGREHLAALGGSLQSIAVAKSGIIKQGRPTSCKQFQVVIGGPFPADIEQIIRDRAFLTQSPVISAYDPGVKSITKCIDWDNGKPYQICGIGINISNGMPLSVELNDVKLQLLGDHQRQNAVTASCTALCLRNLGWDITEPSIKAGLEETRLPGRSQFLTQEEVSVLGLDGSSSVLIDGAHTEASAKALSDVIETVKPGGPLALVVGMANDKEHLAFAEQLLSGPRPDIVLLTQASIAGGNSRAMPASSLKELWIAAAEGQGIDYIDIGMVSGKETPECISNLAVSSSSSGKCLLMGCQDAPFSCDLIKVASRLLESRGADGAAPGLICVTGSLHLVASVLKQLEQQ >Et_1A_005736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13220655:13239506:1 gene:Et_1A_005736 transcript:Et_1A_005736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMLLQAAFHGDHRAFNSTCFPLHLNNPVLVTGSAALILVGFGGRLARALDKGRGRLRETVEAVTEEDEEIKGVGVLHLAAGNGKRDMCAYLVEGVRVNIDVADGAGRTPLIHAIYGEQVDIVKYLLEHGANKDKVDHEGFARLHSAAGLGYCEIVELLLARGAYTDPVTCCGTPLHIAATEGQCRTIKILLDHKADSNKKVNGMTPLYFAINAASVNCVKLLIEAGAVANGDCFVTALMDAPKNDSSECLNCFLGVADGWQAPNDNQPVNKNKIAELKSQGKEAVGTKDFLSAAEVYSKALGLDPEDVTLFSNRSLCWFHLGKPLLSLLDALECIKKRPDWPKAFYRQSKALMLLKDYKGAGDALLHALRLDPRNAEIKNGLRYPICPFNCSSGAPSQRLLRAAADGDLRRFKSIAGALDGGKGRIREAVEAIRDRGAGALHYAARCGRIPVCAYLIEELHVDVDTADDSGDTPLICSVRGCARGCTVDTVRYLLDHGADPNKRGEQGCTALHVAAAAGMCEMIQVLVSKGADVDSFTYSGTPLLVAIVGKHDAATEVLLDNHADCNKALSIHYTPLIAALYACSLKCLKLLIKAGADVNGVGHLTPLIIAANEGLTDFYKCLLEAGADPDARDDGGQLPIEIAAQNDRRKDVEILFPVTSRVPYVRDWSVDGILAYVRSAPKEEDHPLYKMGPAFLKSEGSKAYKRKDYVSAVNFYSMAIKLDPKDITLRSNKCLCWINLGEGDKALAEAEFCRAMRPDWPKASYRQGAAHMLLKNYEKACDAFLDGLKMDPGNAEIENALREAFNSLRISHPVAVLISALI >Et_4B_039158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8305034:8323777:-1 gene:Et_4B_039158 transcript:Et_4B_039158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASALASSAKEALPPALGSISEPPSVFDGITRLYICYFCPFAQRAWVTRNFKGLQDKIKLVSIDLQDKPAWYKEKVYPQGTVPSLEHNNEVKGESLDLIKYIDSNFDGPALLPEDAEKRKFADELIAYADAFTKALYSPLISHADMSEEAVAALDKLEVALTKFNDGPFFLGQFSLADIAFVTILERVQIYYYHLRNYEITKGKPNLKKFIEEMNKVEAYTQTKNDPLSLLDIAKNHLKLLALWFSSHLPTANRDFFSRLLEDISLFRRLMAPCGFLLIRRSRRRAAAHHIAAQKPSRIVAMAAVALASSVKEDLPTPLTAASEPPPLFDGTTRLYVAYPCPYAQRAWIARNYKGLHDKVKIVAIDLADRPGWYKEKVYPANKVPSLEHNNQVQGESLDVVKYIDSHFEGPSLLPDDAAKKQFAEELLAYTDDFNKALYSSLVSKEDVSKETVAALDKIEAALGKFSDGPFFLGQFSLVDIAYVTFIERFQIFYSNIKNYEITEGRPNLQKFIEEVNKIDAYTQTKQDPQFLLEQTKKWLGVRYVLNILPSSIGSADYNPFDTPIGTYKDLPLASDNSSSPHRRAEALPHRRHGSRRAGKEDLPTPLTSASEPPPLFDGTTRLYVAYHCPYAQRAWIARNYKGLHDKVKIVAIDLADRPAWYKEKVYPENKVPSLEHNNQVKGESLDLIKYIDSNFEGPSLLPDDAAKKQFAEELLAYTDEFNKALYSSIVSKEDVSKETALDKIEAALGKFSDGPFFLGQFSLVDIAYVPFIERFQIFYSNIKNYDITEGRPNLQKFIEEVNTIYAYTQTKQDPQFLLEHTKKRLGVRYVLNIFPLSIGPADYKPFDTLAMEALPPTLTSASEQPPLHDGTTRLYMSYICPYAQRAWITRNYKGLQEKIKLVPMDMEDKPAWYKKVYLKNMVPSLEHNVEIIGESLDVIKYIDIHFDGPKLTPDDPERQRLAEELLEYSDTYNRSMITALTSKGAVDIAYAPFIDGFQIFFAAIKNYDIAEGRVNIRRFIEEMNTIDAFTQTKQDPQVLLALTKKKLGV >Et_3A_023770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12007233:12012629:-1 gene:Et_3A_023770 transcript:Et_3A_023770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTRASMGAMEGAAVDEVVRRLVEGGRGGRQVQLSEAEIRQLCVEAKRVLLSQPNLLRIHAPVKICGDIHGQFVDLLRLFDLGGYPPTSTYLFLGDYVDRGKQSLETICLLLAYKLKYPDNIFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFCDCFNCLPMAALIDDKVLCMHGGLSPELNSLDQINDIERPTEIPDEGLLCDLLWSDPSSDTQGWGESDRGVSCTFGADKLVEFLEKNDLDLVCRAHQILKPNETGAPRSKRPIPNKQTKGGLLTKGIPFAALSARIRSGLSLVLVLVKPLSSGFT >Et_10A_001339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23537613:23541811:-1 gene:Et_10A_001339 transcript:Et_10A_001339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQQRRRPRGDFGGRWAVLVATVWIQALTGTNFDFSAYSSALKSSLGVSQEALNYLATASDLGKAFGWSSGLALLHMPLHAVLLLSAFMGLAAYAAQYLFLNGALAVPYPLVFLVCLIAGCSICWFNTVCFVLCIRSFSASNRSLALSLSISFNGLSAAFYTLFANALSPFSTSVYLLLNAILPLAVSILALPAILLCQPHSSHLQSMPRHDRRVFLGLYILAFITGIYLVIFGSYTTTSSIAWVILTGAMVLLALPLIVPTSSSCLHGGTHRPYPVFPLNHDDDAQKPLLLSTDHQVESDDTVQKTAEHQLQDCCCETLLGKGRIVVLGEEHSAKKLIRSVDFWLYYTAYFCGATVGLVYSNNLGQIAQSLHQQSRLTMLLAVYSSCSFFGRLLSALPDILHRKVSFARTGWLTAALVPMPLAFFLMWNLQDEATLVAGTAIIGLSSGFIFAAAVSVTSELFGPNSIGVNHNILITNIPLGSLLYGQIAALVYDANGQRMTTTDNRTGIIDTMVVCMGAKCYSNTFLVWGCITLLGLISSIALFLRTRPAYATAAGRSNCKHIHQVSS >Et_2A_015759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17651937:17655254:1 gene:Et_2A_015759 transcript:Et_2A_015759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLAQRRDRRSIPFSLHQIRCAFQCWPCISGLWLSAGLGYKSFASFITIGGHWLFHRSHREVYSVADVKITYAVLCCTTLLDVVNIHLPALYFFGQDFVSQHSLIGLFCRDVKHTTMMRILSFFGCKDFIDQHWCMEPCWSCSQVTTLVLRYLKTGWKESIRDVASYRMFNDNRGQWTLERNECNHLGWSLNRPFDESVLLWHIATDFCFWSSQRNERALACKSVQCRHISNYMVYLLFVNPEMLLPGSRRKMFAAAYDKVNGVLEDNKSAPIGTGFTQRPYSMWNLFPSVYGKLKDDKESVVEKEFMERIIIAVQSRHGSQDKGFVDDAWVLARGLLALSDEKMWEVIEGVWVEMLCFCRGYLHAKALGSGGGELLTRVWLLLSHMGMETLAERLQGLRDFPSSVGNTSASSSTSEAPTAGVAPSPPEVPTGAAPSAPAEHVAAGDDMRTDPDDFSADFLGSIDSGICLVAYDQPASVCSETVEIRLDCTASAADQGPIQGFLQKVKFS >Et_4B_037258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17289194:17289767:-1 gene:Et_4B_037258 transcript:Et_4B_037258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPNVTSVVLAVMVCLAMVSLVAGAAGTATFYTPPYTPSKCHGFQNDGTMIAAGSDVFWSGGSPCDQVYEVTCTGATNAGVPHPCTGQSVTVRMVDLCPSPACRGTIDLSQEAFAVIADPNAGKINIEYRRYVA >Et_3A_024574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22221450:22223621:1 gene:Et_3A_024574 transcript:Et_3A_024574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTAPSPAPPSPLPSSAPPKRPPHGALPSLRRRDLFLLPAAALALSLAPAPASARGLFRMPPQRLANRYYLVRAGESVYEGQGVLHTNPVAKTSVDSGLSPAGLRQTARAALELQRLGACEDDCWIWPSITQRAYQAAEIIAAANGINRSKIVPEYSFLDARGLGAFEGKSLDALPELYASDSISPDIKPPPISDGTPNESVADVFVRVTQLMSILETQYSGETVVIVSPDSDNLSILQAGLIGLDLRRHSSLYFQPGEVRPVDPSSIPEYKQPASTVFKCANPPSLEFDSKATVYSTYHRSLGMAMGQVRGGQRKSVPAPSNVSGRNLYLALSL >Et_4A_031997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11153144:11154775:1 gene:Et_4A_031997 transcript:Et_4A_031997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYHHSSLPLSPSHHLAAAETTRAPSPASRLSVPPSCHHAGSAAVPRAAACHCKPTQWREHDADLLCALQSNGNGSLHREHALDSCSDDNSGGGRRSSRLRARDCAERIMGLPVEERVKVLDLLQRDDAALTVSDYNDILSALARSGDHATAVALFRAMREPDAYSFATAVQCLCRQGAPDEAKRALEEMVARGFRPSVATFSAVVGCLCKRGRVTRAMEVFDAMRAAGCEPTIRTYNSLVGGLCYVGRLEEALDLLHKLRGSPKTPDIYTFTIVLDGFCKVGRTDEAMAIFHDAVAMGLSPTIFTYNALLNGHCKEGNPLRAYGLLMEMCGANNGECPAPDKISFGIVLPALLRAGEVAAAWQTYKRMERAGFEADGRALDTLARGLCRRCAADPSALGDAREVFARLVASGHEPASYAYCLMAQALARGGEVDAAVALLEEMARTGYALRKRAYTDVVRAGRWHDAMAVYAAAVKRGVVVSWKHLGKAAPAEPLQLGVPQ >Et_5B_043252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20265386:20266225:1 gene:Et_5B_043252 transcript:Et_5B_043252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRLWRQSRTSVSRPSKSGEGKAGTAVVGVKQEHLKRWRRPSSGGAPWKARYLWGKTGSVMMRSQVLQTREARKRCLGSSGGRRRRISAMASSISSGCSAIARPWSAAAERGRVAAARVWSWIGGRRVGRRECGRGSGEEQWSAQKSGAWMPSCSVPSVKAVPSPSPSPSLPPLFLLQKHPVPVPPLFLQKQRDPFFFRRKKRECTGKFSFQHCIHVTWQANFLFSTAYVVDSLFFLDMLSYYAINTTWANRTERPFFFRRRKRECTV >Et_8A_056612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13450203:13453168:-1 gene:Et_8A_056612 transcript:Et_8A_056612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAAASASALPASAGSGENLVLILDFGSQYTHLITRRVRQLGVLSLCVSGTAPLASLEGLRPRAVILSGGPHSVHAPGAPSFPKGFLDFAAGAGAHVLGVCYGMQLLVQSLGGAVESGEKQEYGKMDVEVTAGSSALYGGESEVGKRQTVWMSHGDEVVRLPEGFEVVARSVQGAVAAIENREKRFYGLQYHPEVTHSPKGMETLCRFLFDICGIKADWKMQDVMDEEIKTIQGMVGPDEHVICALSGGVDSTVAATLVHKAIGDRLHCVFVDNGLLRYNERERVMSTFESDLHLPVTCVDASEQFLSQLRGVKDPEQKRKIIGREFIAVFDAFAQKLEQEIGKRPEYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRKLGSILNVPESFLKRHPFPGPGLAVRVLGDVTEGNALETLRQVDEIFVQAIKDAGLYDTIWQAFAVFLPVQTVGVQGDQRTHSNAVTLRAITSEDGMTADWYYFEHDFLVDVVNKICNNVRGINRVCYDITSKPPATVEWE >Et_2A_016862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28907039:28907612:-1 gene:Et_2A_016862 transcript:Et_2A_016862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGLRATVPFLPRPGARSATPSPTARIVGVHGGRRRRGLMVVRAGGPPSTNVLILAFVLPLSLIAGTVITAARVADDLDERFLREMEINQAILEENKASDEEDDDRHYDAGEEEAEDEQPAVEKKEAVVVGAAATRTRNRPIRKV >Et_8B_060064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5605919:5612974:-1 gene:Et_8B_060064 transcript:Et_8B_060064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKDLLGVRKKTAPGAAKRRRRVVAPAKAGGGRGGGAGRLAKAIADYLAFDSYMYAPLVSDPPPPLAPQSPPQAAAPSAAAPPSAPPGTVSFNSPMCAVISSRVVLIGSRFPVASGRRFISMDCVASLLHESAQDDMVKLPVLKGPTETALDRSYGDLLSSCHAFYESMAMRQEFEESSHIDILDREFLVLPLSHISPFVTGQSQRGTVCYYLMGGRVELKREVARARNVALSLPSYMCSGNWHANDELTP >Et_4B_039199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8756783:8765828:1 gene:Et_4B_039199 transcript:Et_4B_039199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTVAIPSSPVFSPSRRPLSCKAASASPEPVPVSVSSPATAPPAGSPLRPFAFRALLREEASPSSAPQPGSAAAVASAPAGPVLKRRRPAPLVLPVTGGAAAAAAAAAVAAVEADPRNEVEEEGEEFAAYCRRGNGKRRVEMEDRHVAKVGLGGDPKVALFGVFDGHGGKNAAEFAAENMPKFMAEEMKKVDSGEIEGAVKRGYLKTDEEFLKRDESGGACCVTAVIQKGGLVVSNAGDCRAVLSRAGKAEALTSDHRASREDEKERIENLGGFVVNYRGTWRVQGSLAVSRGIGDAHLKQWIVADPDTRSLLVDDQCEFLILASDGLWDKIDNQEAVDLARPLCISNDKASRMAACRRLVETSISRGSTDDISVVIVQLQKFSKPTVE >Et_7B_055846.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5749528:5750082:1 gene:Et_7B_055846 transcript:Et_7B_055846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEWRCRRHPAPPFGGVCPHCLRDRLLRLCPDCACPRPCPCAACPSSTSSPSSSSSVASGSALGRVHSLIERDRRVARSRSIAASGGVGGDDQARTKSRVWGWVSFRKPPVAAAEAAARCRDVEEEYAEAVALARSMSVSTAAAAAATTTAQAKGASKGSGWGRLIPGKIKALRRRKSSVAR >Et_7A_050305.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:23146443:23147389:1 gene:Et_7A_050305 transcript:Et_7A_050305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSELVLYNLVPAQTLNPAPATAADLSVSVAAPQQPQQLPLRGRARRAAGSSDRHAKVAGRGRRVRIPAMVAARVFQLTRELGHRTDGETIEWLLRQAEPSIIAATGSGVTPEEAPPAAVPVTHSPAAGQGGAASAPYVPVPYYTALLMQPPPVEEPAASASVSAATAEQND >Et_8B_058801.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:6713280:6713312:1 gene:Et_8B_058801 transcript:Et_8B_058801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESVNHSRT >Et_4B_036617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:81749:84600:-1 gene:Et_4B_036617 transcript:Et_4B_036617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGALVDAGAGYNGRVTSFVILSCIVAGSGGILFGYDLGISGGVTSMESFLRKFFPDVYHQMKGDKNVSNYCRFDSELLTVFTSSLYVAGLVATLFASSVTRRFGRRASILIGGTVFIAGSVFGGAAVNVYMLLLNRILLGIGLGFTNQSIPLYLSEMAPPQYRGAISNGFELCISIGILIANLINYGVEKIVEGWGWRISLSLAAVPAAFLTIGAIFLPETPSFIIQRDGNIDEAKILLQRLRGTTGIQKELDDLVSASNVSRTIRHPFRNILQKKYRPQLVIALLVPFFNQVTGINVINFYAPVMFRTIGLKESASLMSAVVTRICATVANIVAMVVVDRFGRRKLFLVGGVQMILSQITVGAVLATKFKDHGGMEKEYAYLVLIIMCVFVAGFAWSWGPLTYLVPTEICPLEIRSAGQSIVIAVIFLVTFVIGQTFLAMLCHLKFGTFFLFGGWVCVMTLFVYFFLPETKKLPMEQMEQVWRRHWFWKRIVGEEEDGGEARGIALTST >Et_7B_053417.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:17989466:17989741:-1 gene:Et_7B_053417 transcript:Et_7B_053417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LPLCFPSQNWAFLDDLKVSKNSLPFCSSIFCLALSHLCSEAKISMILGKALPAASVSRKASRHLLAIKSIADSQYSCLAQYATSASKNGYP >Et_3B_029460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25213669:25218941:1 gene:Et_3B_029460 transcript:Et_3B_029460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVEADGSGRGGGHGHGGGPSSSSASENFDAGQYAFFGKESLEGLDLGCLEDGGGDGNGGGFSGPEEGLYRLSSVGEEIDTMSNLSDIDDLASTFAKLNRSISGTRNPGVIGDRRSISRGSSLTVDWTEDVEFTNWADQDMLENEEFQENKRWWSSNSSVQQGDNNSKPLSRTSSYPQQPLQHRSSEPIVLPKTSSFTSFPPPAGGRSPYAAQGLTRHGSIPSLGAGLQMGSPSMSVSGSPYHMAGPSHGLPYGGGMPYGALNLPLNNPMQNDWSNQASPFGGEHLNLLPNLLHKQLSLPNNPMSSLLFSQQQQRLAQAHSSHHNYPNLPPHLFYPHHSPEILGRFDSVGSVPSSRDKRSRSGRGKHNIRFSQQQSDTSSQNGDSGGLKFRSKYMSSDEIESILRMQHSASHSSDPYVVDYYHQACMAKRGTTARQKTNFGPTSMKDLPSKSRSSSDQHTYLQVDALGRVSFSSIRRPRSLLEVDHPSSADGSHDQKSIVRPLEKEPMLAARVTVEDALCLLLEVDDIDRLLQSSQAQDNSFQLKRRRQVLLEGLAASLQLVDPLGPNKSGQSSGLATKEDVVFLRIVSLPKGRKFLARYLRLLVAGSELPRIVCMAIFRHLRFLFGGLPSDASAAETTVALAKTVSSCVHHMELGALSACLAAVVCSSEQPPLRPLGSSAGDGASLIIKAVLDRATELLTDHHAAASYTVSNRTLWQASFDAFFGLLTKYCLSKFESILQMFVMQTPSSVIGPEASKATSKEMPVELLRASLPHTNEQQRQMLLDFAQRSMPVTGFNPPGASGGHITSESVPG >Et_3A_026497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8818459:8830006:1 gene:Et_3A_026497 transcript:Et_3A_026497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLGLLLIHLRLFLLLGAALCNAGPVGGGWAEASDRAEPDPYSILTWHDYSPPSPPPPPPPPVAPAATCAGNLHGKGDFRTRCEVSEEVELHEDVYITGNGSLVLLAGAALTCQKAGCVISANLSGEVRLGRGVRVIAGRVSLVATNITIADTVVVNTTALAGKPPDRTSGIPTGTHGDGGGHGGRGASCYVKDGQTQEDSWGGDAYAWSDLEHPFSYGSKGGSTSVEKDYGGAGGGIVWLFAEDLVMNGTVLADGGASSEKGGGGSGGSIYIKATTMHGGGKISASGGDGLAGGGGGRVSINVFSRHDDTKIFVHGGRSSGCPDNAGAAGTLYEAVPKSLVVSNNNLTTQTDTLLLEFPNQPLWTNVFVRNHAKVAVPLLWSRVQVQGQLSLLTGAVLTFGLTRYPYSEFELMAEELLMSDSTIKVFGALRMSVKMLLMWNSKMFIDGGRESLVATSLLDASNLIVLKESSVIHSNANLGVRGQGLLNLSGDGDTIEAQRLILSLFYSIRVCRVEDIDVWGLVQGTVIHFNRARSITVHTSGIISASGLGCRTGVGQGKMLSSGVSGGGGHGGKGGDGVYNGSRAEGGPIYGNADLPCELGSGSGNGTAGLSTAGGGIIVMGSWEYSLPSLALYGSVESNGGSYANAVTNGTIGGPGGGSGGTILLFVHTLSLAESSLLSSVGGLGSAGSGGGGGGRIHFHWSHIPTGDEYVPVAAVKGSILSSGGTSKGQGFSGGNGTVTGKACPKGLYGTFCKECPLGTYKNVTGSSKSLCIQCPPEELPHRAIYISVRGGAAETPCPYKCVSDRYRMPHCYTALEELIYTFGGPWLFGLLLSGLLILLALVLSVARMKFVGTDELPGPAPTQQGSQIDHSFPFLESLNEVLETNRAEESHGHVHRMYFMGPNTFSEPWHLPHTPPEEITEIVYEDAFNRFVDDINTLATYQWWEGSVYSILCILAYPLAWSWQQWRRRKKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVTATPDLMLGYLDFFLGGDEKRPDLPPRLRQRFPLTLIFGGDGSYMAPFSLHSDSVLTSLMSQAVPSWIWHRLVAGLNAQLRLVRRGNLKVTFLPVLDWLETHANPSLAVNGIRVDLAWFQATALGYYQLGLVVYALERERVVAEPDGSPRVKIEQRQPTQDMLADIQLGQSRIKDALMRKRITGGVLDSNSLRTLKDKRDLFYPFSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMVDVLLVLFILPLGILSPFPAGINALFSHGPRRSAGLARVYALWNISSLVNVVIAFVCGFVHYKSSTKRHPSMQPWNLGTDESGWWLFPTGLMLLKCIQARLVDWHVANLEIQDRAVYSNDPNIFWQS >Et_1B_013439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8425172:8427814:1 gene:Et_1B_013439 transcript:Et_1B_013439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACATSLYLSASLAAPASCSSSSRFLSVGHPSVFRWTTQEKQSGHALYQRGHVQSFVAFASADAPQGKRSSGENVVMVDPLEAKRLAAKQMQEIRAREKFKRSRQAEAINGALAMIGLTAGLVVEGHTGKGILGQLAGYVAAISSLLGQ >Et_3B_027618.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:32472610:32473073:-1 gene:Et_3B_027618 transcript:Et_3B_027618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTPSAGRPLCLRDFTERLDMVRGKGMASLYSWSSKRSYKNNGFVWHDLAADDDIIHPAAAAANNNNGEYVLKGSRRRLLDLPPVEEEATTTITRRRKNWSSFDLADQYKQQRMTPSSSSYTETTELAIDEISPPPSTSRSSPD >Et_3A_025600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31068355:31070531:-1 gene:Et_3A_025600 transcript:Et_3A_025600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSTNRQVTFSKRRNGLLKKAREISVLCDAEVGAVIFSSAGKLYDFCSPKTSLSKILEKYQTNSGKILWDEKHKSISAEIDRIKKENDNMQIELRHLKGEDLNSLQPKELIMIEEALDNGLTNLNEKLNTMLEDENKLLAFKLHQQDAELSGSMRDLELGYHPDRDFEAQMPITFRVQPSHPNLQDNN >Et_1B_013286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6995012:6999988:1 gene:Et_1B_013286 transcript:Et_1B_013286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAVPTPSPFVASTRRFAPRLRSLLSTAAMSPSSSSAAPVPSADAGEEGASPLPHSTLEVAGARRGVLSGFASLRAPYRPFPVLASNRHVETIFAAFARSLPDVRLRRECLRAPDDGAVVLDWVSGDDRALPRDAPVLILLFYSASFTGDLRQVIEHVRGRYPQANVYAAGWSLGANILVRYLGEETDKCPLSGAVSLCNPFNLVIADEDFHKGFNNIYDKALARALRTIFKKHALLFEEMEGEYDIPKAANARTVRDFDEGLTRVSFGFKSVDDYYSNSSSSDSIKNVCIPLLCIQADNDPIAPSRGIPREDIKANPNCLLIVTPQGGHLGWVAGDEAPFGCPWTDPIVMEYLEYLQNDKNSSTKYNISYDQQNVPQTSASHLKVHVQR >Et_8B_060037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5249492:5256257:-1 gene:Et_8B_060037 transcript:Et_8B_060037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTAVEDLIRRLLDGKKHKVTGKKVVLSEAEIRHLCVAAKEIFLSQPNLLELEAPINVCGDIHGQFSDLLRLFEHGGLPPTANYLFLGDYVDRGKQSIETICLLLAYKIRYPDNFFLLRGNHDSGWGDNDRGVSFTFGADKVAEFLNKHDLDLICRAHQAMRMNALQCRGGRVRVLRRPAAGHHLLRAKLLRRVQQRRRAHERRRQPALLLPDPQAVQGERADGVTTRRRPAGWVGPRRSRFVVRGLFRRRGSQGVFACPLAFFVAWRAAVEISTSSGNFCFSRTCSACSSTAASADGELPFPRRLRGPREAEHRDDLPAAGVQDPVPGQLLPAAGQPRRPVDVPDQGLLCDLLWSDPDRESSGWGDNDRGVSFTFGADKVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMNVDASLLCSFQILKPYRGKGQTE >Et_6A_047391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:543849:557662:1 gene:Et_6A_047391 transcript:Et_6A_047391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAAQLAVLVVALLVAAPAVNAVTCGQVVSMLSPCIRYAMGKDATTSPACCKGVRDLNTAARSTADRQATCNCLKQQSSGIGGLKPNLVAGIPAKCGVSVPYAISPSTDCSSSNLCNNGSPGRRSNRGGGGCAGCGGDGVGGDQLRGREVGDHAVPDVRHGQGWVVAVGAVLQRRQVLSTRASSTADRQAACSCLKSLAGAARGVSMGNAASIPGKCGVSIGMPISANKLVLVAALVVAAALLMADHQANAAISCGQAACRCLKSLAGSVGKINMGTVAGVPGKCGVSVPFPLSLSTDCNKYMALNNKKQALVAFAVVVALLASEASAAITCGQVGSSLAPCIAYATGRGSLTSGCCSGVRSLNGMARTSADRQAACRCLKSLAGSVGKINMGTVAGIPGKCGVSVPFPISMSTDCNKSVTYFPGVTNYLLSTRVAHACYTY >Et_4A_033436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22819773:22822242:-1 gene:Et_4A_033436 transcript:Et_4A_033436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TRGSGPLTLVNLRQNTKRRPAAPPSFPQPLVFAAPPPIQPQAANSAARAPIPFPRHPLPRHAMTSSSSSSPSRKALSKIACIRLQKELAEWQMNPPAEFKHKVTDNLQRWVVEVAGAPGTLYEGETYQLQVDFPEHYPMEAPQVIFLHPAPMHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSSPAKQRPTDNDHYVRNCRSGRSPKETRWWFHDDKV >Et_10B_003659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4398720:4399222:-1 gene:Et_10B_003659 transcript:Et_10B_003659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLCDLHKDVRWESCGHEPGKCSSNLINVIEKGITYGYYEEKKLIITEFLSLGAEPDDFTQPFADVNLRFQFLVFNRIRFFGDTQVMVSDPFANYKVIETCKNWQCQMILRRLKTHLGELSGNAYGRHIVASVQKLIQSKGTRRIL >Et_8A_056215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15999738:16000616:-1 gene:Et_8A_056215 transcript:Et_8A_056215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEGSTGPAAAGGAGACAVCGGAALVYCAADAAALCAPCDAAVHAANPLASRHERVPLAAVAAASGVYDDLFAADDVDAASSWPLPPGTAPGSSSTTSFTTSDSGGGGPSLFDLLSDVDVAACVTGGAGHLAPDAWASTAAWSPADAAAAAVVVPGAAAAVVAAAAERVARVQRYREKRKNRKFQKTIRYASRKAYAEARPRIKGRFVKRAPGAASGNDAAASTMDAGGDTAKFWLSFSDDTRDDGVGFYVDAATYGVVPSF >Et_6B_049161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18952486:18966870:-1 gene:Et_6B_049161 transcript:Et_6B_049161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQALLRLFFLGSALLLILSSHLAAAAVSNEAMAVPPISNPGCTDRCGNVVIPYPFGIGDGCFADWPESGSFNITCNQRFSPPKPFSGDFEILDITVETGEMRVVSPVLYQCYNSSNTTESDVTGSSIDLGPSFLISKRRNKFTAIGCNTVAFLQGTEVLTGCISSCNSLDAVSDGEDCAGLGCCQMGIPRNLSSIEVSWGDSNNTYNPAWEYSPCSYAFVAEENRYRFNRDNLRNKSFITSNVSTTLPLVLDWAIKSDGSCRVGSGTSAINACASENNICVNATQGNGYLCNCSEGYQGNPYVQRGCADINECKLNRPCFKHSTCQNTPGNYTCKCKFWYRGDGKTQDGCQPIVPVSVQASVATFVIVALACVVIVLLQRRKRGKRFSKNGGDILKDIGIKTFTESDLRKITNSYTENIGGGSFGKVYKGTINRTQEVAVKRPREKVEAPPLEEFRNEIVFQFRINHDNVVRLVGCCLETDVPILVFEYIPKGSLQDVLHGSAEKPPCALSLMDRLDIAIGSAGALAYMHSHSERHIHGDIKSANILLDDDLKPKVSDFGSSKVLSANRYAKDVPADMAYIDPVYYKTGRFTVKSDVYSFGVVLVELITRRKPRVGDSNVTIEFKKSYKNKGNGREMYDPEINSESHSYMECLDMVGALAIQCLKEEDVDERPAMDEAQSNGCCMQLGHASTLGDLAVSLFPGVPSSSPNSTRSSGNGSHTDLAALLAFKSQLSDPLRVLANNWSTNVSFCHWVGVSCSRHQQRVTALYLPDVPLQGELSPHLGNLSFLSLLNLTGTGLTGTIPADLGRLGRLRVLGLLKNGLTGAIPGTIGNLSRLEYLDLGNNSLSKQIPTVMLQNMGQYLYLQRNQFSGEVPTTIYNMSRLQYVSLAVNNLTGSIPCNQTFSLPMLKWISLSWNKFLPHLAYLYLGANHLVGSIPTVMGNLTRLTVLDLSYCMNLTGHIPTELGKMGELSCLHLGSNELTGAIPSSIGNLSKLSFLELAVNQLSGSVPTTLGNIPTLYLLKLHINNLKGHMDFLSSLSNCGQLQFLTLSYNSFTGVVPDYVGNLSTQLLWFYANNNALTGDLPSTLSNLSSLDMIQLSNNLLTGAIPESVTQMQNLTCLDVSNNDMSGPIPTQVGIAKSLQRLYLYGNKFFGPIPDSIGNLSSLEYISFCNNKFNSTIPASIFYLVKLIYLNFSQNSFTGPLPADVSGLKQATYIDFSSNHLVGSIPETFGEIVMLTTLILSYNSFDDSIPYSFQELTSLEYLDLSSNNLSGTIPNFLANFTDLTTLNLSFNRLEGKIPDRGVFSNITLTSLIGNVGLCGASRLGFQPCIQKSESSDNGHVLKLILPIVIIAIGSIVLCIYLTTRRKHKKKGEVDASAIDLSNATSHTVALYHELVRATDNFSENNLLGTGSFGKVFKGQLSKGLVVAIKVLDMQKEQVIRTFDAECRVLHIARHRNLIKIINTCCNLEFRALVLPYMPNGSLEMLLHSEGRSHLGFLKRLDIMLDVSMAMEYLHHEHHEVVLHCDLKPSNVLFDEDMTAHVSDFGISKLLLGDDNSMITSSMPGTLGYMAPEYRSFGKASRKSDVFSYGIMLLEVFAGKRPTDPMFVGGMSIRQWLGLLCSSDSPDQRMSMRDVAVALKRIQRNYGQSTTQHADRYSWLS >Et_9B_064680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17584800:17587089:-1 gene:Et_9B_064680 transcript:Et_9B_064680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYHAKSFSVPFAPQRAQNNEHGSNIGAIGGSNISNPANPVGNGKQRLRWTSDLHNRFVDAIAQLGGPDRATPKGVLTVMGVPGITIYHVKSHLQKYRLAKYIPESPAEGCKEEKKESSDSLSNTDSAPGLQINEALKMQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQMIIEEQQKLGGSVKASEDQKLSHSPPRLDDYPDSIQRSPKKPRMDELSPDSSRDITQPEFESHLIGPWDQEICGKNICGVAFPLEDSKQTQV >Et_1A_005556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11423979:11442496:-1 gene:Et_1A_005556 transcript:Et_1A_005556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTWALDFWFGGKLLAEHRITAEELFQTFMILVSTGRVIAEAGSMTTDLAKGGDTVASVFAILDRVTEIDPDDPMGHKTDKIKGNIDIAEVYFAYPSRPNMFIFKDFSLSIEAGKSMALVGQSGSGKSTIIGLIERFYDPLSGIVMIDGRDIKRYNLRALRQHIGLVSQEPTLFTGTIKENIMYGTGNSSEAEIEHAARLANAHDFISNLKDGYATWCGERGLQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKLVQDALNRMMIGRTSVVVAHRLSTIQNCDMITVLEKGSVVEKSTHASLMSKGPSGKYFGLAPPSLSSSFILVFMNADAVDVALMLLGLVGAMGDGMSMPVMLILFIRITNDLGRGPDVLQDFSSRINAVRFWYKPQPLDSDELASSNYATQFLILANHNKNARRLVFLACASWLMAFLGERTATQHTNKPVQRLCLTRRACRGVLLGAHGGKAGVADAGAVSVCGAPAGHGTPRRRWSPLTSVSSDSLVVQDALGKKLPNFVMNATMFVSSYVFGFAVLWRLTLVALPSVLLLVIPGIVYGRILDGIARRVREQDARPGAVAEQAVSSARTVYAFVAEAGTVARSQPRSRSRRGWGSSRGSSCWPSGRSTFGTAAASSCTMHGCRGGTVSIITTQIVIEKLKWLPLGLTNDGVTVTTVFNRVTKIDTDDPLGYKPHNVVRSKAMLK >Et_6A_048004.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:3201003:3201920:-1 gene:Et_6A_048004 transcript:Et_6A_048004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQPTKYNSSLVCFHQMCCAGLSESRTVFMDPHSCGAFLYDADERCVVTLPNLRARETWFPVFLSAAGAGEEDDKEDRIYIMERTLKPDGLQFQALRRRDPRWGYSQSWHYDDLPPPPFNDIGPVDSYALVTTGASSSDVIFDTASRTWSKAGDWALPFAGKVEHDADLDVWVGFLDPSLNTVRFNTICASSGDLFSAADSHTRRELLWSDPCDALKPPYDHVFSDENQINRYPRIVGLGSGKFCAVQFFKTVRGTCRCSHHEGDDDFDADRFAVFTGVEVTRRGSDEPDDNGCSASNGQWTTP >Et_7B_054390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20776514:20780652:-1 gene:Et_7B_054390 transcript:Et_7B_054390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARRLSASASPSSLLRRGLSAQPQPTTPPPEEAGLGAGAWARRAVALSLLGLTGAVGASAVSDLSVFLSCSSQAIEKATQNQQIIDAIGKPIVRGPWYSASIAVNHARHSVSCTFPVSAPQGNGLLKFKAVRLGDESWFSFVQRSDWEILLMDAILDIPTEDGKHRTMRVTIPDNTAPPADCRACKTQPTPAPAPSAPAPAEK >Et_7B_055523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1362970:1365612:-1 gene:Et_7B_055523 transcript:Et_7B_055523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAARKRSRPETANGGSAGGKRSKALLASTEQPLLQIANKEFSLYLTLLWTVRPNDTSGDKVLRYLLQNLSLMYEDIARSSSFILKIAGYNFIPNSTIGCPFGEGCHFSHFIPGGYPAVAKMLNLGSPTVSAPSRAPVDHAAVASSHPASTGKTRMCTKYNTAEGCKFGEKCHFAHSERELGRPAYMSHHEGPPMGGRFGGRPEPRQPSAMGPPAGSFGASATAKISVDASLAGGIIGKGGVNTKQISRVTGVKLSIRDHESDPNLKNIELEGNFDQIKQASNMVGELIATISANMPVKNPSGPTAPVGRGGGGSGGRSNYKTKLCENFVKGTCTFGERCHFAHGESEQRRGPV >Et_5A_042999.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:9555374:9557665:-1 gene:Et_5A_042999 transcript:Et_5A_042999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRAATAAAAANCLSSLTRARALRRAGAAGRGNATASTSTSSSPLRRRGNRRAPLASAEDIKATAAPRPFPDYHPPCPDSPADDDLARLLAAAVLASADPASLPPLPFRSHLRPIHLLLALPLLASHPHLACLLLPLLLLLFPSRPKQHPHPHLLRCFAVAAHLAAVRDAGAARAILARAVRFPSPHRHFVEHFISTYKAFSSDPASFDILLQCLPSAPLLRRLRQYGISPSPEACNAVLSRLPLDEAIELFQELPDKNVCSYNILLKALCSAGRLKDALQLFDEMALPPDVVTYGILIHGYCALDDLDNAVKLLDEMVARGVEPNATVYTSVIALLCEKGRVSDSLRVVEDMVQRKVTLDEAVYTTVLSGFCSKGDLAAARRWFDEMQKKGLATDRVTYTTLICGLCQAGELNEAERVFQGMLARRLDVDEVTYTVLIDGYCKRGKMVDAFRVHNEMVQRRVKPNVVTYTALCDGLCKQGDIQAANELLHEMCSKGLELNTCTYNSLINGLCKAGNLEQAMRTMVDMDTAGLQPDVYTYTTLIDALCKSGELDRAQNLLQEMLDKEIKPTIVTYNVLMNGFCMSGRVEGGKKLLEWMLEKNIRPNAATYNALLKKYCIDNNMKSTTEIYKGMCTQEVAPNENTYNILIKGHCKARNMKEALYFHSEMIEKGFRLTATSYNALIRLLNKKKKFVEARKLFDEMRKEGLTAEPDVYTFYIDLNFNEDNLESTLALCDELVEATVVTSKPDLDQRVANGRKHS >Et_9B_065549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6692164:6694820:1 gene:Et_9B_065549 transcript:Et_9B_065549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSRKSPQNWEFEDRTGGCIRETPLDCTSKENTTRSTDVFHPIAHVTLPYNPYRVQEASTESNCTQVCLSDCSCTAYSYNNSRCSVWHGELLSVNKNDGIDNYSEDVLYLRLAAKNLQNLRKEKRKPSVGVVTAATIICSGLLVLILLLVIWRNKFKSCGAPLYDSQGSNGIIAFKYTDLSHATKNFSQQLGAGGFGSVFKGVLSDSTPIAVKRIDRALQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGDNRLLVYEHMSNGSLDGHLLKSNSAVLNWNTRYQIILGVAKGLSYLHQSCHECIIHCDIKPENILLDASFVPKVADFGMAAFVGRDFSRVLTTFRGTAGYLAPEWLSGVAITPKVDVYAFGLVLLEILSGRRNSPKTYISDSYHVEYFPVRAISKLHEGDVQSLVDPQLHGDFSLEQVERVCKVACWCIQENEFDRPTMGEVVRVLEGLQEIDMPPMPRLLAAITANSKDQRRCNAEIRGAAAAYTPEEGAHLLRVASHCPWMANGAGSAAEAGGR >Et_3B_029061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21825559:21828380:1 gene:Et_3B_029061 transcript:Et_3B_029061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEKLDLVLVPLALAALAGYHLWLLSTILRHPTRTVIGVNAMARKRWVSAMIANTEKNGVLAVQTLRNNIMASTVLATTAITLVSVISVFLGVTSSSSAAPLRLVYGSKSGEVFAAKYLAVSLCFMLAFVCNVQAIRLYAHASFLLGGLPPGEATGAGEEFAAYVARTVNRGSHAWSLGLRAFYVSLALFLWTFGPIPMLVCSVFMCGLLYFLDTTSEHAHTHGQAGGEKDATRLAGWASAMVREEKLDLVLVPLALAALAGYHVWLLYTILRHPTRTVIGVNAIARKRWVAAMMAVGITRLPISPIDLLSTQTEFTE >Et_7B_054916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5506072:5511700:-1 gene:Et_7B_054916 transcript:Et_7B_054916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSATAAAAGETKRKSKTGTLTLEEVKSLGRELLSSRAHLNHAPVLLALLSPSAPLDLALEAVISLQSFFVPLIPSIPSAAAAAAGDASSDPELVFGSWLRQRFDELVASLVDLSVSPESDDAIRDVALDALMDFVKLGKDGKFQWAIYHKFLHALVHATDPIEPLLELLGLKYFKYADVCYFTYTSLDKIANSLGIRTTGSGKDASQNGGDGSESRSVIFINNLYNILAHVPVIDFQKESAFEMWSTVGISKGEKDSSKDSATYIKKKLKLKFTKAWLSFLKLPLPLDVYKEVLASIHQNVIPSIDFLTRSYDIGGVISVMALSGLFILMTQHGLEYPKFYEKLYALLTPAAFMAKHRSVFLQLLDTCLKSSYLPAYLAAAFAKRLSRIALSVPPAGALIIIALIHNLLRRHPSINFLVHWEVDESDSNATGEGNQTKKIGTDPFNNQDADPAKSGAMRSSLWEIDTLRHHYSPAVSRFVESLENDLTVRAKTTEMKITDFSSGSYATVFRDEVRRRIKQVPLAFYRTTPTSLFQGSEFPGWTFGDHSNSVVQAMETLDASDSTPSKRLRVDT >Et_2B_021985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7778526:7781059:-1 gene:Et_2B_021985 transcript:Et_2B_021985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTAQAWFTGGGAASTATSGESQPSLLADWNSYAATRSDASSSASPLPFDIEAAVRTANDTVSGTFNSVTKGVRELPGSFQSATSSFPSGKALMYFGLFLASGIFFVFIAFALFLPVMVIMPQKFAISFTLGCALIIASLFALKGPANQLAHMTSKERLPFTVGFVGCMVGTIYVSMVLHSYFLSVIFSILQVLALAYYTISYFPGGSSGLKFISSGLLSSVTSCFGR >Et_3B_027950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27900276:27901823:1 gene:Et_3B_027950 transcript:Et_3B_027950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEEAKSTPAPAPTTAAAAAAVTATAAAAVAVASSALERRRRRLEMRRFRVASDLEQPALPDRMPRFGVSSVCGRRREMEDAVSIRPDFLPGATVGRHHFFSVFDGHGCSHVATTCQDRMHEVVADEFKNAGSGEESAWKGIMAASWATSRSADEPACRCEQQMPSRCDHVGSTAVVGVVSPTQIIVGNAGDSRAVLSRAGVAVPLSVDHKPDRPDELARIEAAGGRVIYWDGARVLGVLAMSRAIGDGYLKPYVSSEPEVTVTERTDDDECLILASDGLWDVVTNEMACEVVRGVSKAESDKACSDAAMLLAKLALARRSADNVSVVVVDLRRGL >Et_4B_037657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22259799:22264186:-1 gene:Et_4B_037657 transcript:Et_4B_037657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSRPSACVGKPHTPRSGDAAGRAGGGGARRRRGRRGGKGRRKPPSRAASMETIQEAEVPGAATGPEAAADHRTYSNPAFQVSGSIEEAWYDSFAMSESDCEDDFHSVQDDAFSLNGFENEAALSMKDGNGGSFNGAGLSGEHHHRKPRSSELSRSSVSHEDVASVSGDDSAHGGRILDDCGLLPNNCLPCITSAAGVNDKKRALTSSPTHSMKMPSLKLSFKKKSGEAHPSSTLLSTKDFLERPLAGSQVQLCLLETKVLNSWSHVDPGTFRVRGSNYFRDKKKEFAPNYAAYYPFGVDVYLSPQKLNHISRFVQLPDIQLSSKLPPLLVVNVQVPLYPATLFQNETDGEGISFVLYFRLSEGYSKELPPSFIENIRRLVDDHIEKIKAFPMETTIPFRDRLKILGRVANIEDLPLSAAERKLMTAYNEKPVLSRPQHEFYLGDNYFEIDIDMHRFSYISRKGFETFLDRLKVCFLDVGLTIQGNKAEELPEQILCCVRLNGIDYTKYQRLMTHGA >Et_3B_027773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18640627:18641136:1 gene:Et_3B_027773 transcript:Et_3B_027773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRAAIILIACTIIAVAPAPAAAARGFANHGKCKCFMCVCDFDPHPLPPEMPEEHHRPPPPAPPEQHYYPPPVVEHEPVPGEYHYYPPPYGYYPYVPGQLPYQTPSAAHDQGPSRFLLAVIVVLASAVLLPSSLPMRPASF >Et_1A_006651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25737484:25744184:1 gene:Et_1A_006651 transcript:Et_1A_006651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGCRSEASRATAAPFVYCPTSVDGDGEGALLKAALDSDLGRVKGIIRNLGHGSGDLAAVFSLNKFGCGVMHCAASAGHLEVCKYLVEELGGDPNMTGEGGLTPFMSAAQSGDLSTVKYLLDRGGDLMKTDEKGRTVLHHAACLGACKVTEFLLSKGIPVDIDCGFGTPLHQAVVNEQDKTAKILLDHQANAGADVDGKGALMPPLLFATEQGGYTDYVRLLLKAGANPNIPDIMGRLPIELDAINDCRKEVEMLFPFTSPIPSVPNWSIDGVVSYAKIKNALPMDQRHAEGRKAIIKPLADEAFRRKDYKSALEAYNLVIGLAPTATLYSNRSLCKLKMGDGEGALSDAYQCRMMRPDWAKACYRQAAAHMLLKEYKQAYDALLDAQKLEPGNDEIEKELRKAMELMKSLLTKICSEAPSSDLGCAG >Et_9A_063249.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17624585:17624842:1 gene:Et_9A_063249 transcript:Et_9A_063249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVCRIAALSAGASYLQGAVWNAPSTRNAFADGCYILKGGVFTRAAVLSLVAAALGIASYILLTRAPAAAPTRAATGTEPKPGGP >Et_4B_039982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7180646:7183001:1 gene:Et_4B_039982 transcript:Et_4B_039982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLEEARENHVKKKVEEALRSKMKQKALKECDFYCSKYAECARGRTFSVCGKRNIVQFRNHINTYANIWV >Et_1B_011562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23641275:23645057:-1 gene:Et_1B_011562 transcript:Et_1B_011562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMLIDCSGCRTPLQLPHGAPCIRCAICGTITHVAAPPDPARGAGAVQPAWGPPPPPAHGRKRAVVCGISYRYSRHELKGCINDAKCMRHLLMTRFHFPDDSIIMLNEEQTDPYKIPTKHNIRMAMYWLLQGCQPGDSLVFHYSGHGAQQRNYSGDEVDGFDETLCPLDFETQGMIVDDEINAALVRPLPHGVKLHALIDACHSGTALDLPYLCRMNRSGQYVWEDHRPRSGVWKGTSGGEAISFSGCDDHETSADTSALSKITSTGAMTFCFIQAIERGQAATYGSILNSMRSTIRSTGESMGSGGGTVTSLITMLITGGSLSSGGLRQCTLSLLLFRVIIIVHAACAGELRAQQRLRQPESGSEAFQPHRQARPVTGQPRDIVDVLAEAPPHRGDEPGQVVGELATAGDHLHEVGARVGEPRDPPPVDQAAAAERRGEPTPSRRCASTSRPRPARQATEWAAQGSPAAGSKSERLNSHAASRPSLVQTARPTCSGLSASTFAFTTAYRASAVSRLWSTAWQPPPPAPWTTTPG >Et_7A_051989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3424334:3429497:-1 gene:Et_7A_051989 transcript:Et_7A_051989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASLSTSLFLLSLVSLGVSDDQLEPAKPLVFPNEKLISNNGFFVLGLFSPPAHSSSTPRFYLGIWYNNLPERMVVWVANRDNPITTTRSSSTLAVTNQSELVLSDPEGRIYWSTKKSSVTATGGAGASAALLNNGNLVLFSTNGTILWQSFHHPTDTLLPGMPFRMNYRTRATERLVSWKGPEDPSTGDFSVTGDAGSGIQSFIWHGSNISWRHTDVATGYSYQPAGASYVIVRSVVVNGDEISLTYSVSDGSLGVHFRVAYTGRFEFRIWNSTASAWTVVDGHPGPGCDRYASCGPLGYCDFTEAVPTCKCPDGFQPNGSSPSAGCTRAETLRCGDGDHFATLPRVKIPAMSVFVRNRSSDGCAAECLGNCSCTAYAYANLSKAMSGGDSSRCLLWFGELVDMGKYTNNAGEDLYLRLAGSTVALHLHAPFFTPHRAKLDMGKACVPVFLILLFLCSTSHSEDQLTQAKPLSPGDELISEGGDFALGFFSPTNDSSTKLYIGIWYHNLPERERTVVWVANRDSPITTPSSAQLAVTNSSELVLSDGKGRTLWTSSANNATAVGAGAAAVLLSSGNFILRSSKGTTIWQSFDHPTDTILPTMKILPRYKAQTATHIFAWKGPDDPSTGDISGGVDPDSDLQFLIWNGTVPYCRTTVFNDATLSSLTYQSNVTSIFYQSMVTGDEYYYYTFIGSPYARLSLDYTGILSLRSWNNKASAWAVVFQHPSAKCDLYASCGPFGYCDYTAPVPACRCLDGFVPVDAGSFSKGCHRMEALTCGKKTQFVNVPGIKVPDKFLRIKNTSYDQCAAECSANCSCTAYAYANLSNVGTMSDTSRCLVWTGDLVDMGKAGVGENLYVRLADSLGTFSSIVWLHGREFKCSQISCSIMCKKPQTIASVEKKSSLVKILLPIMSCVLLFAFVALVWICKNRGTNNTIPCFFPKCNTVGESSLIFLLAGTRQKKKAHKRMMLEYLRTTDEAGDKDVEFPFISFEDIVAATDNFSDSNMLGKGGFGKVYKGMLEGRKDVAIKRLSKGSGQGTEEFRNEVVLIAKLQHKNLVKVLGCCIHEDEKLLVYEYLPNKSLDQFLFDSGIKSMLQWPTRFKIVQGIARGIMYLHHDSRLTIIHRDLKASNILLDNEMSPKISDFGMARIFCGDQLEANTNRVVGTYGYMSPEYAMGGAFSVKSDTYSFGVLLLEIVSGLKISSPQLIMDFPNLIAYAWNLWKDGKTEDLVDSYVKENCPLDEVSRCIHIGLLCVQDSPDCRPLMSAVVFMLENKTTPLPLPMQPVYFARRDANPGQDSDNRVFSVNNMSLTALEGR >Et_3A_024096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17352837:17374211:1 gene:Et_3A_024096 transcript:Et_3A_024096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQFFPAMLRALKATKEAESAAATRDMLAALVTLEGAFLELSGGKGFFAGDAPGYVDVALGGFVAWLRAWDKLAGVTLLDTGRIPLLVAWAQRFAALDAAKGVLPDDDPIVEARMTGVGRDEQLKLLGAWPSPFLHRVRVALHLKGLDYENVEEDLTNKSELLLVSNPVQKKIPVLLHADRPVCESLVIVQYLSPWAWFGSTQWTNRPTKRFYWVTADHDEGQLVRVHSNPRVKWVDPYMPSPVTAMPSSPPIPRTALSRASGPPMSMTRYARYLRMQIVSSIRAALTATTDEGKTAGTTNMLAALETLEGAFVELSGGKGFFGGDAPGYVDVALGGFVAWLRAWDKLFGVTLLDAGRIPLLAGWAQRFAALDAAKEVLPDVDKLVGFAQAVLQARRAAAAVPEARMAGRGRDEQLQLLGAWASPFVHRVRVALHLKGLHYENVEEDLVNKGELLLASNPVHKKVPVLLHGGRPVCESLVIVQYLDDAFPGAGQAILPADPYERAIARFWAAYVDDKIFPPMGKTLTATTDDVKTAATENLLAALETLEGAFAELSVGKGFFGGDAPGYVDVALGGFVAWLRAWDRLFGVTVLDTSRIPLLAAWAQRFAALDAAKEVLPDVDKLVEFAKALQARGGSSGGRDEQLKLLGAWPSPFVHRVRVALHLKGLDYENVEEDLVNKSELLLASNPVHKKVPVLLHAGRSVCESLVIVQYLDDAFPGAGQAILPANPYDRAVACFWAAYVDDKIFPLMTKALAATTDEVKTTAMANLLAALDTLEGAFAELSRGKGFFAGDAPGYVDVALGGFVAWMRLSDKLVGMTILDAGRIPLLSAWAHRFAALDAAKEVLPDVDKLVEFAKALQAPPARMAGVGRDEQLRLLGEWPSPFVHRVRVALHLKGLDYENVEVDIFNKSELLLASNPVHKKVPVLLHAGKPVCESMLIVQYLDDAFPGAGQAILPAATPTTAPSRASGPPTSTTLYYIYFFTAMIRALKATKEAESAAATADMLAALDTLEGRARRGQRILRAPEYVDVALGGFIAWLRAWDKLAGVTLLDAARIPLLVAWAQRFAKGVLPDADPIVEFAKELQARWAAAAPSDASPMGMASPAAAAAAVRVVGEPVRDARVRRAEAQGRGEPLGAKSDLLIASNPVHKKMPVLLHAGRPICESLVIVQYVDEAFPGPPAILPADPYDRAAHRFWADYADAKVREETEQSNPRSNALLP >Et_6A_047081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2587632:2589085:1 gene:Et_6A_047081 transcript:Et_6A_047081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHAHQCWSSLAHGLMPRDSKSVQRPARTFELTARLCKTLRTVDFVDIRERKKKKKTRKEGKRRPRSPKNDCVSLDLPLKCVINSSPSSHFLDSCLPQFPRVASTGSMERANSNLLLQNLCIMQQNEELRRMARKLDQENKALLAQIQRKHHQQQQAASSSSPSASQQHVPGGGASSGGGQSASAGGKQQHK >Et_1A_005249.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35353923:35354348:-1 gene:Et_1A_005249 transcript:Et_1A_005249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGGASSSVQPQHPAFVYGHGQPPPFWSTPTPYLFIGFAVVMSLIAVALAVLLCSRRKEEESRGRGGDGQEVMSVRVLTPLDREDAAMPKVVVVMAGDHAPSFLASAAPFAGFAGVGKAEAAQMPPHVVGGEKDGAAVV >Et_1A_009170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31614082:31618367:1 gene:Et_1A_009170 transcript:Et_1A_009170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYSAKIMPILALCFLFDSMQCALSVKLVGSLQASKAKDRVILLLLPLTQHQARVYHATGVAATNTLWLLWLQQVSYAGKTSRLLQCGPSKSQLKKDNKEIQSYAQLIATDKKEHEKNMDPNPNHSVDFATFLHITSSTILSQRPSHPDDATAAAALSAPCAALGAATLVDFLLRAFLAAASSSGSTSFSSLRLARRAFLGDASAGAAHCSPAPRRLRLGGVGVPATISRLSSTPLPLVLDRWRRELGGGGDSRSSSWSEQPPDTCSGDGRGDRDRTFGGGVTASAGDAGWWYGGAGSVAAAGAGEEGASTAMASMCSTICFASLRLASLARCFGRCGLWASLPFRLKEMRKRVLRF >Et_6A_046109.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:25189327:25189374:1 gene:Et_6A_046109 transcript:Et_6A_046109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHTGLLSSYGATC >Et_4A_033658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25513670:25519189:1 gene:Et_4A_033658 transcript:Et_4A_033658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSLRAAASASSGSTGLGFALGRLGGSARGGGGATAAPGSAAPSSRRGRSVSATSSAGAPVPGDQAVGMEQPKQQQPQVPPQDADVMTHSFGEGYSTRSDEEGFGGVYGGNDPVEHPGTEIHPSHPEYDTSQGSEVKEKEKARNLKDDKHATYSGGKGLIKFALGRFGGTRGGGGAVAAAAPGSVIPSRGRSFSVTPPAPGAQGVGMDLPKQQQQDPVHGDEKNARDGKHKGHWYVP >Et_6B_048256.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:19149111:19150843:1 gene:Et_6B_048256 transcript:Et_6B_048256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALSRLGVGLVVVSALLLLALTAELYYIFVHKRRLRRRAAAISDVASSPSSSSRELLQLFCFKKPPALASTFTVQDPHAAGTAVAVAIGGDDDDETVEAQLMRLGNLVGPPRLLFTIKEETKEDLESEDGRSRCGRSRSLADLLHCPGTPFLTPASSPAPPSVAAADNSYNPLFESPMASPGPALPAVSPPPKFQFLKDAEEKLYRRALAEEAMRTRRPQTPSPVAGEDDGGYITIMVGKNNRVTPLQSPPGGGHH >Et_4A_032669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12413812:12415872:1 gene:Et_4A_032669 transcript:Et_4A_032669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESDKILEVYVGLMNEVCKFIDGDQFGAELRCSAIEDRAYIERMASILRHFKNPEIVVPGAPDAAVRGATALWLMTSSSAGGRYVELLREVGMERLLQNVSDTTSELECYHVFSGSVGAIVESAIELLAGRGGDAAEGCLQFI >Et_7B_055677.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:2185333:2185659:1 gene:Et_7B_055677 transcript:Et_7B_055677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHVALLAVPAVASGFLQAFQFAFVLWPFNLALPLARHLPRACVALRSLTSFYAAELRLYVNGARRGVQLPPAPTSHQYASLLGVQQRRMHEEVVAHAMIALIDISY >Et_7B_054895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5363563:5367072:1 gene:Et_7B_054895 transcript:Et_7B_054895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVVVLAELLQEYTAKVAGALEQLRNDAPLPRRLRFLFLRSLLALPPPQGHGLLEEYTALVARVLEQLLNDAPFPRRMRFLMLRSLPFASPPLPPPPPAHALRVTTSRG >Et_2A_017404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3424033:3428617:-1 gene:Et_2A_017404 transcript:Et_2A_017404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLQQYHGRAVEELAIKFEFDTLLVDHLNNWVGFAASSYTKFLALDLIPRGLEAFDDPQYIFPLQLFDRRSINYLQQVHLRFVCIKLPTQFSGFPKLQKLDLHKVKITAKDFQDMLSICCALEWLSIVFCKMDGELKVHSPLPCLVHLNVEFCEITKMELCAVKLRTFVYEGSAVPIKFREVSELENAKVLLCGVTFGDVIPALANVLTNVQNLTLNFFVHPPKVPCLMENRCKFSHLRHLQLLLSYKLDVDNLSLVPFLGSAPFIEKLEIHFSSIFGFFYLGASIKRFEYRHNYLKDICITGFKASNGQIEFLVHIVENTPTLEILTVDQSDRVMKNDPMLIKMEVAKYMDEVVYGNIRRYVEATEKVTNMGDITSLKHALSKLDNLMAKMTLVEALPPFWWHSQAQTLRSQAVTLQKPKHFVVLS >Et_7B_053379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14427735:14428082:-1 gene:Et_7B_053379 transcript:Et_7B_053379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATIATVASAGLGMLAGVAMASMPCSSSSSSIKNPCAACGGTGKEACRMCARWSDGDCGACAGTRRAPCRCCGGGGKGRREPVRVAAAAARGAGMASSVARSR >Et_1B_012418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31796087:31815681:1 gene:Et_1B_012418 transcript:Et_1B_012418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRPRRQAAQQDEDRISGLPDDLLLRILLGLGCARDAARAGLVSSRWRGLWAQLREIRLVGVSPGSCYDALGQLEAALAAGVRASGMDVLHVEPFIRGVSHRRAASLLRRAARLAPAELKMSLFSGPPFSRELLRLPCFGSTKSIDLRMLGFQLAPPRSDGELFLNLERLALRGCVFDPAAFLPRCPRLRVLEIGPEAELAPTATAVNLPLLEELVVAESFQPSRLHVEAPMLTHLSLSNHIEDVSITAPNLEKLTMDCANNAGARQPESAPGAGAARSMDRISGFPDDLLLRILLDLGCARDAARAGLVSSRWRGLWAQLREINLIGVSPGSCNDALAQLEVALATGVRASGLDVLHVEPFILGVSHRRAASLLRRAARLAPADQLKIFLFSGTPFSREISVMLPCFGSTKSIDLRLQGFQLAPPPDGELFHELERLALRGCAFHPAALLPRCPRLRVLEIGPEAELAATATAVSLPFLEELMVEESFQASRLHVGAPMLRHLSLSNHIQDRARSIARLPRRRASSRAAQQQQDHIRRLTDDLLLQILQGLGCARTAARASLISGQWRGLWAELREIRLVGVSPRSSSHALARLRAAIIAGVRTSRLDVLHVEPFRRGASRSRAASLLRRAARLAPAELKMSLFSKPPLSRARVRLPCCATTKSIDLRLHGFRLALPRGDHLFVQLERLALRGCIFDPAALLPRCPRLRVLEIGPEVELVATAAAVSLPLLEELVVTESEQPSRLHVEAPMLKRLSLSNNLEDVSVSAPMLEKLTLDCAKDMDRLELGNLPQFQELVLVGIHRLASTDRLRMGNGVENFLRQLPDLRVIRISMSMAPVHKETSTVLHLLHKVKGVEELTVLFDPPKPQGECSQDCICHYNRDMSMNDFSLPVLRKVEFKVPISISLRTASIELRSFNRDFHLAPGHGPGI >Et_1A_005224.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:34059876:34060034:1 gene:Et_1A_005224 transcript:Et_1A_005224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGASCTQEATASSTSPTRTTASACAPHPAHRRRRRRRGEVWFSRLMRMTD >Et_9A_063047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9047473:9060965:-1 gene:Et_9A_063047 transcript:Et_9A_063047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDGAGRPMSLLERRKTTVPSPCMHNQLQAIAQFEAADISHVLLHELLRNGAVVAGLCEGIKHSSRALRPQKIVKCPSCLPDIYDFPENPLKNKVVAARMNFSCNEKLHVNFLHAYGRGLSWLQHNTSDLFSVMFEHYGSKAVDFLFSAQDVLYEFLTTIRGSIVHLSDIYMFSDDSREFSYHRMKLKKHFSRQHIIRYSITTFKNMTVQANFPLGFLHNSFHFCWNKEKLSNSEIIEGIKDNLGDICMLLMMGLVEVSYGKHIMQFSYYSVDGMYCDRDLVGELISLVDHFCTTQPKRNTDICVGDTFKYRQRSRQWVLIFPTQLVLLASPNMHSHEVTKTIRTMRSGFLILEGCRFIFLGGRDLSRNKRTSKLQYFDKTFDDLNEALRLSCLNLRVVRCRTMRVLPMPLYLVCDMMEFTKLRDARGKLVCSIKLDEGIKLGLDEAEEALCIKQIANTPILKCYEDILKQYHINQYIFRYSIMAFKDVSVNVKDDSIAY >Et_8B_059898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3859255:3861838:-1 gene:Et_8B_059898 transcript:Et_8B_059898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLMQVFERRDAGEAQMRQQVDSYSHTLASALLAAGHLPPPWLLPHPAPRTAVERRESVEARMRQQVDYYSQSLACTLVAAGHQPPPWLLPPRNDVAAEGCTVRKPFADLIDPKRAECVHHLSTELNQAEQTPFEAVGVKPGILNISSSAEVNQCHKGAAEVPHPAVNYLLHNDAFHSVIVDFYEVPHFINSPLSDKESTHADETESLDVPGSMAAPMLNIESHSAGKPYFLGGSDNVDESLTESVGIESAENDSLDVPHSTLSSLFEKDTSHLDEASFHEETKSVASLLLEKETSANSLEKSCLMASPLLDEDVLHFVHPNSHERHYFVPSLHLDKKLAYAAESESLEEPNSMANPLPEYSLSCSGNPKFLEGRDFISNPIPEKDAIKPAENVSTEVQHCTISVLIEEDTPHAGEASSLEGTCPMAILLLERKSTSTDSLDSMARPVDCVMRKQGTHLTPLSVKDLLFFSVQSLKWIQCTQLSIQ >Et_8A_056299.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:20484166:20484243:1 gene:Et_8A_056299 transcript:Et_8A_056299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLKLMLTPVLLSGLTRLVRELSS >Et_6A_046892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2283997:2287566:1 gene:Et_6A_046892 transcript:Et_6A_046892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGKKMNPLPAPASRLWEASIRKLQTIRRAGSVVPAALPGDAVAAAAAGDPVMTSLLSVSSSASNTIYQYNDDEAGGGGGGDSDSATEGDDDTDAGKDDGDASAAALGGASHAEQLLPSGEFYQGDLRGDLPHGAGKFLWTDGSMYEGAWRRGRASGRGKFSWPSGATYEGDLAGGYMHGHGTYIGEHGDTFGGTWAHNLRHGRGTQAYANGDVYDGHWREGMQDGHGRYIWRDGHEYIGTWRAGEMHGCGTVIWADCDRYDGAWEDARPRGQGTFRWADGSMYIGAWCLEDGAMLAKGVYYPSSGGPPVPASAREPCDAFTALLKELEVSEGKTASLMPWQKVLTWPGVEGVLKKPVWRPPEVSAAEQGRRSSAHGRSSISSDVDSLVVEGEDGGEESRTDRLCLRTPSCMRTPPPKPGKKQGETISKGHKNYELMLNLQLGIRHAVGRQSAPTSLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCEFRWKDYCPLVFRTLRKLFDVDPADYMISLCGDDALRELSSPGKSGSFFYLTNDDKYMIKTMKKSEVKVRFVIMGNLFCSNYAIHRRFDLKGSSHGRMTDKPIDQISEHTTLKDLDLNFIFRLEGSWFQEFCRQVDKDCELLEQERIMDYSMLVGIHFKDRCKDDNGATPTSAEDSEQNRKASLRLGISMPSRVENVMKNPDSESLLIGEPTGEFQDVILFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIYKAFTEDVQ >Et_2B_022702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3346118:3349474:-1 gene:Et_2B_022702 transcript:Et_2B_022702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANRSSTAASRTRSVVLRLDDLSLPPRYLTVPSHLPVSDLIGALPLPSSSYYLTSEGRPLPAASPVSTLPPSGSVQLRLRALRGGGGDGGATGAESRDCYLSMYLAKKPDKVDPNEARLSRFTCCALSGEPLAAPAVADRLGNLFNKEALVEALLNKRLPKALSHIRGLRDMIPIHLHPKPDADADGAEVRFQCPITGLDFNGKYQFLALRGCGHVLSVKALKEVKTSACLVCHKEFEEADKMPINGTEEEVAVLRKRMEEERRKVKEKKDKKVGNGLSGSKHAAAAAAAEARAEKLGNGKKGETAPAKRFKAADHAPAYANKESHACNEDLHTALKFLHIRGSEAQQVLVSCQEQSISTYPSEMMHEEGCRGWSSKRPQRWQGVASQAGVMT >Et_8A_058087.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:18908578:18908868:-1 gene:Et_8A_058087 transcript:Et_8A_058087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDIEQPQYNNSSARSCRRRAPAYGSYDRQRSFVPPPYYTHPPPQAYSGDNHNYGAGVADTSARDEGSHRQELPDDKEYVRRCLAEKQQRTDCDE >Et_7A_052390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7506053:7508534:-1 gene:Et_7A_052390 transcript:Et_7A_052390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASVGARRRASDRRRDAAAGGAAAVRKGPWNADEDAVLREHVRTHGPRDWSSIRSKGLLPRTGKSCRLRWVNKLRPNLKSGCKFSAEEERVVLDLQEQIGNKWARIATYLPGRTDNDVKNFWSTRQKKIARLLRSPLPARSGKNRRAKAAVNSSLESGVSRLTLGPFLDQVPFDGSSSSGQCRAATSFMDAQNAALVPYDQTSSQLLGFEGARLLHMPYPGRFGKNLSSKAAGASSLEPRLTLGPLLDHVPFEGSSSSGQCCAATSFVDAQSTALVPYHQTGSQLLGFEGALLPGVPAIDSQACSANARPLPDMTDSHVKNFGCTREKRPAGLLLRTPLPAAPSRNRSVKTEATSSLQSQPTVGPCLDLVPFEGSSSSRQDHAGTPFMDAQNSEPVSYDQTGSQLFSFAGAQPPVALATDSQASSSNAASVFPPQMSFYQPQYPLLDFPGTLGSGDTTPWFVNAGAMDDLASQELLPLLQPAPVMFPFFGMHDGNRIEPRCAPSYFDDLPPDMFDSDDQQPPTSSPSRTISDP >Et_5B_043223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18617274:18618236:-1 gene:Et_5B_043223 transcript:Et_5B_043223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FALYNSFIWRHTFLAGSDIGLKNKDGALQFPVFHLKHPCLQFDSLVHPAAEASPVVVNDNIHKGKFMMVIGLGTPAAFNLVSIDTGSTLSWVQCQPCQIWCKSLPTEVGPTFDPSNSTTYQRVSCSSKDCAGVHELLGIPFGCKEETDTCLYRLRYGSGPLAQFSIGKLGKDRLRLTVAADNDDVVDDFVFGCSEDVKYEGLEAGIVGLGNDRFSFFNQVAASRQTNAFSYCFPGDHGARADGMAFTNLVFGYGRDGRSFVYSIQQLDMVVDGRRLDIPRRVCTSQMMVVDSGTELTFLLAPSSTLSTRR >Et_8B_058714.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:18145736:18145867:1 gene:Et_8B_058714 transcript:Et_8B_058714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HGRPDVAVEVLPPGSPFTPGFNVKRVRVFIDYNGIVALMPMIG >Et_7B_053279.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:2182165:2182998:-1 gene:Et_7B_053279 transcript:Et_7B_053279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITCIYEPRLQLKSFPDLSTLDTHAASREKEKKNRQKARRKRNILDYPEMGNRQFATTPAKLGSVGDAEERVADVLVDVAVPAAPAPAAAAAAAPAVAPDGPARVGGAQRGRVRREHAAEGGARLGQVARRRQPEVERPQQGRREVEHLQEAPRRRERQQVDPHRTEPIPRCSSCSSTSRRVHPLAQSILFCRVRADQPISSPPENAACNRG >Et_2B_020532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21058856:21066389:-1 gene:Et_2B_020532 transcript:Et_2B_020532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSAAGAIAGVLMKMNHAPLVRPPSRAFTGLAAARLPFLRARAAAASPSSSPRLRLLQRLSSARSFCGVARASLGGAAIDSAAEQRLQESELIFIGTGTSEGIPRVSCLTDPTKNCPVCTKAAEPGNRNRRRNTCILLRHTTPSGTANILVDAGKFFYHSALQWFPAFGYHLFAATMLRTIDAVIITHSHADAIGGLDCLRDWTNNVQPSIPIYVAERDYEVMKMTHYYLIDTSVVIPGAAVSALQFNIINEEPFTVHNLEVIPLPVWHGQGYRSLGFRFGDVCYISDVSDIPEETYKLLENCELLILDALRPDRSSSTHFGLPRALEEVRKIKPKKTLFTGMMHLMDHEKVNNDLAKLMETEGLDIQLSYDGLRIPFTLACTLILSTLSIENPAPLAASSEKRLPRCDLWPPDNLLLNPREGLVHKVFIPAYLLHKAVDTRKQGYPPNTPYYASSHKIELILELPFDCSEKQNISSQNMMSEGTKTSGPVLVPPPNTLARALMTPSVEMKACAGESGAIFISPMWKFSR >Et_7B_054732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3924374:3925950:-1 gene:Et_7B_054732 transcript:Et_7B_054732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGIGMGLDLPLSQANVDAILRLREVETTRCAGSCTASGRKAFMAYVAEGLGNLQDWDQVMPYQRKNGSFFNSPSTTAAAAIHTYNDRALGYLDSLASKFGSSAPTTCPLNLYSQLCMVDTLEKMGISNGFVSEISSILDMAYRSWLDNDEEIILDMETCAMAFRLLRMHGYDIPTDRLAQFSEESSFHDSIQGYLDDTKSLLELYKASQVRIHEEEPILENIGSWSGKLLTEQLCYSKVSRSVQRDEVEHVLKFPFYATLERLEHKRNIVSFRTDSYRMLKSAYCECQATEEIVALAAHEFRSSQSVYQEELQYINRWKKIGCGPSAVVNCGPSLDCRH >Et_9A_062745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4003284:4010841:1 gene:Et_9A_062745 transcript:Et_9A_062745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIKRVQDEWKILENDLPGFYVFPELFALKLNTTMYNYLIPTTQFASLFRQHLQRSRTAWTYSGWRCWVPLVRHTKMAFFFDLQLPPTYPAVPPLVHHHSLGLKLNPNLDTFWSPETSTILQVVVSIQGLVLTAQPFYNKSANEEYLGTPEGARNELIYAEDACLLTLRTMLHLQRRPPAGFEELVRRHFRRRGRFVLRACEAYLNKACPIGTLDEEANTTEASRGRTCSAGFRLSLSTSSCRGLLTRSPASVPTGAISSTGSWSDLTSRRPPRPSTSSSWAAMAASRCRCQGACRRPRCGASGSSASPTHVEGTVANVEMAAVRVNWVASAKLGTNRDLVRASAPPACQQNPPQLTRFGAASDCHFGWGVADHCFFRRRTTSNVDPIPSSSSEIERPMSVADTHTTANVVWQDGTRRRGVPSMSRVTFDVLKDFEFFPGDRVATTTDTADDNMVVRFGIRLRPDHERVSWFKTAARREEVCDETMSAYDLELDHDHSIFYGDIVILLQPAGDDDETAPRLEEARKVAADDLSWVGHVIDLCDDGRVQVKWGDNTKSKFDVVQSPPDHSFIDDTKQGIGGGNKWIKRVQKEWKILEHDLPETIYMTAFEDRMDLLRVAMVGAAGTPYQDGLFFFDMQLPPSYPASPPLVQYRSFALNLNPNLYESGTVCLSLLDTFGGEGVELWSPAMSTILQVVVSIQGLVLTSQPFYNKAGYEEYIGTPKGARNEIVYTEDAYLLTLRTMLHLLRRPPAGFEEFVRCHFRGLYLELSDKRKNLQQAAVTGRAEAAHKAVPFQMDDAFKFPFYATLER >Et_3A_027274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6653524:6654288:1 gene:Et_3A_027274 transcript:Et_3A_027274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLHRTTRKKKISGTSVQQLPDEIVWGILALLPLAAISDPAFIRAHLRRSASNRDHDEYPAFLISPNNNTASDSSSQTSIRFYRWTPRARGTSTSAAAEHLHTKDFGNNNYGGEFRRVRALAHCDGLVLAPTSSTRRRGTPSRCYWDGLRLGLDPRSTPIAIAPLRFRRLSLMERAAQQVERERKREAFDREGLRRPCRSIMIGKVGEATDGLQRLIYKHKRSCGEEMGLACRRERAGR >Et_10B_003348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19036641:19037008:1 gene:Et_10B_003348 transcript:Et_10B_003348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRIYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQ >Et_4B_036643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10018806:10023336:1 gene:Et_4B_036643 transcript:Et_4B_036643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGREEDAAAVYGDGADVEDGGGDSSVRSSERGFPPYGGGGANNVRRAPSVGVVGGGGGGAGSPPGSPGHSLSPRMFVPQTPVPPLIRAADVTPVFNQILMNEQEEEYDGPPQKEIPALIVWTLGGKSVYVEGSWDNWKSRKAMQKSGKDHSLLLVLPSGVYRYRFVVDGERRCLPDLPCETDSMGNAVNLLDVNDFVPESVESVAEFEPPPSPDSSYSFQAPEDKDFAKEPPSLPSQLHLGVLNSQNSEESCARPQHIVLNHLFIEKGWGNHPLVALGLTHRFESKYVTVVLYKPIER >Et_1B_011606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23985422:23994912:1 gene:Et_1B_011606 transcript:Et_1B_011606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDDTDFQSQNFQLAGEDNSKFSSGLQPFAVPKLDIDDQLQNHLRFDSLVDSEAFFSAQGHDSSWIEALSTGSSIVDFSSSAAESCSISKANNVWSEATSTESVEMLLKSVGESEMTGNMDGNAHNQLSGMDSQIDPSNEQPKSRDSPTDSTVAPTEKDQSQSTCSGMTGGPECSQSTHSRITEDPSSTRSKLDHFAPFSMDEKGIVSEKFSSHKTLESCPAAGNYFDAVHDDRSLNRLNMPSAELGSGKLNNEPFPELAPLHNIYVTDTYHFDHVNQESEVGVPQDSKICHIKENKVEGGLLDLQNLSRTGQPLGAVSNEHLLPGSSDGLLEAITNPVKMLQRNDDASKTVSGTLQPSFSPLQHTSEGMKTSAGMSNELVDKEFDIGSNSALSHQSEPNLRNSSPHHVTSLSIESSKMNLSPKRNLDLVTTVPEERKNIGPHGTNIFIGDESKHGVLGDRQNSVDDPKIGAMEENAIREEMSEVSGNIKQMVESDHEENITGVTGTSNNNFDSSDNIAPDNHSADSLHTSEDPNIPSVNHEKLLKEGDTPALGERPESTHLVLSSSGPQENSSAPLSSSSGDIVSTPVTDTFDGSKDKNDPSEDVSVDGSSALLPDEKDSRKSTMNHEESFKEDAKSTLEDKDSIISPGSEPRVEIPAASMSPNTNAASVAKEAEYKEHTISLGGLTAEETQDKSDFYLEKCQIDESSTQSECHTGLATPSPSTLGASSEKAVEKAGGTPQTAKENLDAHVEDTVLTPGIDHNPATVLSQGKLGSSGDGISADATSGSPSVISCTEPSPQKGGHGSSSVVHQALDKLSENVTDNEASADVTKSLKQSSNKNAKSILASEETNTAGDDRSFSFEVGGSPNVSEKAHSSAWSPFPGFSALQSTEVTTENPQPKSPGRSLNNSSDDSKKTSVTEAGKEPLPERKVTESSAGPSDNSIDGDSTKSSPLASRQHSTLECSVSSADLVNLPFTDAQHVQLRAQIFVYGALIQGTPPGETYMVAAFGEPVSGGKPTWEAAWRAAVERFQFQKSIYTGLETPTSSRIGTVSEKATKGTAVKTAPGSKKGGKTVLPAHSAVALHSPSAPASTSTFNLQRGTHLDFSQAVSPVFGYNSHMRHPSSSTASLHPSPGPRPAWLVQPQNLIFDSSMQPAVHTNETSKGQSSQSISISHAVSPGIFAPSPAPPIVAPLAVIHEEKQKAPTSTSKGKSSQKPRKRKKASASPEQQSVVASPQLSTDMVSFTPAAKHTAGFTLSTHSPSNALGSRLVPNTGQITSVPNYPITGSMDAELRNIFSEQIHGAIEQSTGQAKGASLHSIEAVRHKESIWTGQFNVNMNPPSLLSPSPASSWKIKDNSHAPGSIVSAAREVARKRVEEASAAAKRAENLDAILKAAELAAEAVFNAGTIIGMGEPLPFTLSELLEAGPNGFWKSERVKNIKAGNSNGNPVTQTLQVDVPADFSKPGKKRGRKPKSDQSVMNLEPSSSARELQPDGMQSGHVVNDVASVDGKSNDTAQRSIIWNGIEKGSVVEVSSFAGGFGVAWFPAKVVDINEDGALISYDNHNEGTGPREEWLPLKLEGDKAPRIRLAHPATLSKFKTRKRRREPAGNCSWVAGDHVDAWLNDRWREGVIAQNHGGDETKFVVQFPAGGGADSIVVDAWNLRPSLIWNDGQWTDWSRARERKSKVTKGDSPLEKRQRTEPLLVGSDKPFGGEAGGPSKDKITNNSKKPEELKPLALSQRDMIFNVGKSVVKNKTDALAFKRPGLQKEGSKVVYGVPKHGKKKKFMEVSKHYVAGQSDKISEGNASSRVPKHTVPPLPRSRDNTSKMDQRGRRVGEVRSRGPPKSSKSQSVAANTILDKDSLSMPVPNSGVFGRNFAFTGSMASTSNTEKSTVEKNKVLLGAVPRIEDLSVSEVQAVSSIPTSNLDVPTTNRTKRKHVPSVDDRSIRKTSERTSSDAAEPQRTSSDSAEPRRSNRRIQPTSRLLEGLQSSLIVSKVPGQKGPRSNFRSTTSRGNSCLFPLSYSGSRFLTPVMVNLFWKTGRAHG >Et_4B_039117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:840391:843185:-1 gene:Et_4B_039117 transcript:Et_4B_039117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SAGFSPAKLRAMLRGLEKHQRNGDDTSPDANDSGELDDRRSLECSTSTEMSSNSGNRSINRAPEDDSFDSESSSSGPPTVKRSAVAALLPPFSRPTPSKWDDAEKWISSPTSNRTGRVGAAAGTVPKKSALAFPEHGGRPPVVAKVVAEAPINTAALAKNSDGLTQPDLYKPAKSASIIDEAAPAVRSVSMRDMGTEMTPIASQEPSRTGTPIIASSPTSSRTPTPQRTVEFSINKTDPNKKEMSEEELQMSTRQEIMDLGQRLGKTTIAAWASKEEKAAANSMNTTEDNAVDMDRKARAADWQETEKAKYIARFQREEVKIQAWENHQKAKIEAEMKRIEAKIERKKAREQDRLTNKLAEVSHRAEAKREAAEARRNQEAARTEEQAAQIRKTGHTPSSFSCWCWCL >Et_3B_029379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24492239:24495541:-1 gene:Et_3B_029379 transcript:Et_3B_029379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYYKARPDAGDYTIYMGADKNENEELIKYGLPEDVWFHVDKMSSAHVYLRLKKGETIDTITEGLLEDCAQLVKAHSIQGNKMNNVEVVYTPWSNLKKSPSMDVGQVGFHNHRMVRMLTVEKRVNDIINRLNKTRVERRPDLKAEKEASNAAEKAERKIQLKEKKRREELERLEKERWAEIRSYKGLMVSEKMTSNRQIASAGKSMQEMEDEFV >Et_2A_018825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8364990:8385685:1 gene:Et_2A_018825 transcript:Et_2A_018825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWRNSCTDNELVARPDYRFPVLKKLSVVSDYDEHKILKFEEGSMKMLETVEYRFHNWDKKIIGIGHLKSLKEVTLYGNMGNPALVRTVEELKAENNSRSEGKKFNDIAEFCKNN >Et_4A_035687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3751793:3752472:1 gene:Et_4A_035687 transcript:Et_4A_035687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAADHAATITHRILLCTLLLATLCKSDPDLLLDYCVADTAATASFHLNGLPCIDPSAARAEHFVTSALSRPTNPGATLFGFNVTSTSPSASLPGANAQGLAMARIDLAPGGVAPPHSHPRASEAAVVLAGSVLVGFADTSYRLYTQLLRAGEAFGDGALHVQRRRRVLSGLDSQSPGAQLVPFSAFRTEPRVPDEVLKKAFRINGQDLQRIQRNLAGSPS >Et_1A_009381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4459748:4461271:1 gene:Et_1A_009381 transcript:Et_1A_009381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHSSKAAAGAMGGKGARACDSCLRRRARWYCAADDAFLCQACDASVHSANPLARRHDRLRLRATSPTTHRPSATSSLAAAVESSKRRQVAPAWSKRKARSRRPHVKSVGQLLSRKLVVVPEVAAESTTSEERKGADEEEEQLLYRVPSFDRALAELCSPPPADDTAAAASCFRDNVVDDGAVDNAQNNKDPAVGTTSPVQQLPDSLAGFGPTDAELREFAADMEALLGHGLDDGTELDESFYMESLGFMTPVEDDDDDGRVKMELDGAMSNSEGALGFGHAEMMKSEASAEALDIDFNYCSPAVVDDDDDDNFERKATTASNGDAADAQFLRKSLDLRLNYEAVIESWGSSPWTDGQRPDVQLDDFWPQAYHSVSDNIARSRVCTTDRSMHGVRRNLLGAFGAWTAGGGRPGGEPLLTPRWGAAGGREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRPAAPGGGAAVAAASCGVT >Et_2A_015816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18107580:18110236:1 gene:Et_2A_015816 transcript:Et_2A_015816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTNPLKILLPTVLISSLLILGSVFLACHKFRGTNLHVFISLTQKKESTPDNFGEGNSAQDFEFPFFKYEDIVATTDNFSEACKIGQGGFGKVYKAIIGSKEGAIKRLSKDSRQGTEEFRNEVVLIAKLQRRNLGDEKILIYEYLANESLDATLFDNSRKMLLDWPERFNVIKGVAKGLRYLHQDSRLTIIHRDLKAANVLLDVEMRPKIADFSMARIFKDSQKNANTNRVVGTYGYMAPEYAMEGTFSIKSDVYSFGILLLEVVTSIKRSSISNIMGFPNLIVYVWNMWKGGGQKIWQIHQLQILENPDDRPLMSSVVFALENGSNTLPVPNQPAYFAQRSNEMVQRRENIQNSMNTFTITAIEGCLYFVAGFPNQRTFSVIAVRDEGNIRRDFDLDLAMAATALARPQGRWETMILISPRRAAWRDDPLHRAH >Et_5B_043840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16705094:16711924:1 gene:Et_5B_043840 transcript:Et_5B_043840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAPPRASLTRPALVLRTTPSRRAATVCPRRAVSPVAAAASCVLADAPQGIKVEPVDAGAQSAAAARRDVSPDTVASIILGGGAGTRLFPLTRTRAKPAVPVGGCYRLIDIPMSNCINSKINKIYVLTQFNSQSLNRHIARTYNFGEGVGFGDGSVEVLAATQTAGESGKKWFQGTADAVRQFLWLFEDARLKRIENILILSGDHLYRMDYMDFVQKHVDSGADISVACVPMDESRASDFGLMKTDRTGRITDFFEKPKGENLKSMQVDMEIFGLSPEVADTYKYMASMGIYVFKIDVLLRLLRGHYPTANDFGSEVIPLAAKDYNLQAYLFNGYWEDIGTIKSFFEANLALTDQSPNFYFYDPVKPIFTSPRFLPPTKVENCKVLNSIVSHGCFLTECNVEHSVIGIRSRLEPGVQLKDTMMMGADYYQTEAERFSELSDGKVPVGVGENTQIRNCIIDKNARIGKNVVIVNSENVQEADRPAQGFYIRSGITVVLKNAIPIPHDMLLLEYTIKDYYGVTSKINHKMVTAVKSYGAGPYHQYGQAVQ >Et_9A_062037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19081187:19098911:1 gene:Et_9A_062037 transcript:Et_9A_062037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWGLRGLLSGAASGHLRRGLSTASSRPPWAMIYHAIPVRSGPTLHASFKLSEPPCASHILIPDHLIDTRPRPDHPDGDVEPQLSGGVSAASGDGLLLLEFMRGRATAPVLGEHGTAQARRLMGFDLDRDRTRFVCNPLSGQLLRVPDIDGDNKTSAYQVFGILTQSRRPNAPPDRYAVAWLGEDHGGEDRRFAMRRFLSQTGEWDKLVGLPSPLPLARKMCIDHEAVAFAGRLWWVDVSWGAVSVDPFSDRPDLRFVELPKDSVVEPVEGLRMLGRYRRMGVSEGRLRYAEVSQEEPFVLSSFVLDDDGSCWTLEHRLPLTQLWAHGSDLREEDKPRIGVIDPLNATVMHLTLGNHAFSVDMERQTVLGCCIIDESADTSLQYSSGFLTQCVLPPWLGSSHIPAKMLLPLRRSLCAAASASGRQLRRALTTAASDSRRPPWALIYRISVEGETRGGAHFSLAPPPAPSSIFVPERVYGLDAHPRQEGCTNLQGCGVHAASAEGLLLLLPFTVRYKVRPGCENIPVHLLPKSSPFEVVHQFLYRFVCNPISGELFQLPNFDGLEKNLVDHHLGIITDAGGRNGPPERYAVAQLSDEDGKGPWWGQRRFVFRRFASETGKWDKRVLPSLLPPGRRMHLNHEVVAFGGRLWWVDVSWGVVSADPFRARPVLRCFELPRESMLPRQEGDEEMKQLVKRRRVGVSDGRLRYAEVCAEEPFLIKLFTLDEESGRWTLDHQVPFAELFSRGGFTPAPLFGAIDPVNADLVHVSIDKELTLVVDMRNKEIVGSSPLGNVHPAKNSSSFFIPCLLADGLESYRIPGEFRILFEQNFHLRRGLSTAASRPPWAIIEDTGLIHSTAPRSFLELAEPPRFSRLLVPAHFINPKPRIDSDPASEGILYSTVSATSGDGHLLLKFADGPATAADVAKVRAAREGEPVRQDIDPDITRFVCNALTGEMFRLPDIDGSKKSHTWHPKGILTQSDRGDGPPDRYAVAELSDEGEGAERTFVMRRFFSETGEWDKLVGLPCLLPRPRRIDLDHDVIAFAGRLWWVDLTWGAVSADPFSDRPELRFVELPWGSVRPVPGPDPNEKYPQAQATYRRLGVSEGRLRYVEVSQKEPFILSSFTLDEDAGGWTLEHQAALSRIWADAGKQEGTPRIGVIDPLNAHTMCVIIGNYALVVDMDMGKVLRCLHIGDRGGPLAMFSAFLKPCLLPPWLGSSRLPFAGKKKGTQNKTLADVLAEIRRDDAVACVGCTESKNMYAPGDWHSGNRLVHSSPISTKPVPQQPSAVWLKPATHRQHKLNIISCVRGCNATKLSSCLPDLLLQLTTSTYANVEPVELASSLVSICWSLVLYICASAFGRSLQPPNVSGGSSACKVFCTGRQPTGGSPATMKFRAPRLYRLCDVEKQSKLVISVPIDSKQDRFMEES >Et_1B_011736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25570043:25570405:1 gene:Et_1B_011736 transcript:Et_1B_011736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHNNEREEVLSFYLFCIGLLNTKQIQEMRELRIATKKTNPIHNNVPENTTFLLFDQPSREANTRGTLITKTEEVAINAKTANWKAIVIFIILQTTIK >Et_4A_033286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21043506:21051566:1 gene:Et_4A_033286 transcript:Et_4A_033286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEYEEGMEVGYGGHHRGGGHGGYEEDDEAGYGGGGDGDEMEEDVEGEGELRDEEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGRQAEFAETLHKISFGQIYLSKPMMTEADGETATLFPKSARLRNLTYSAPLYVDVSYRVMKKGHDCEEVTETMEYPKVFIGKVPIMLRSSYCTLYQQSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKFAYVAEVRSMAENQNRPASSMFVRMLSRAGAKGGSSGQYIRATLPYIRADIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTREKRIKYAKEILQKEMLPHVGVGEFCETKKAYYFGYIIHRLLMCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKEVNLQFAIKAKTITSGLKYSLATGNWGQANQAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSHWGMMCPAETPEGQACGLVKNLALMVYITVGSAANPILEFLEEWGTENFEEISPAVIPQAAKIFVNGCWVGIHRNPDLLVKTLRRLRRQIDVNTEVGVVRDIRLKELRLYTDYGRCSRPLFIVENQRLLIKKAHIRALQQRETPDEGWHELVSKGYIEYIDTEEEETTMISMTINDLINARQNPEEAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQLRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEEFGRPNRENTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTSPIPQDDAQGQASRYSKRDHSTSLRHSESGMVDQVLLTTNADGLRFVKVRMRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTIEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRKLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAFFLKERLFDQSDAYRVHVCEKCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMAMAIAPRMLTHDFKTGKDQKKRLHHAALVTDFEADVLTRDSSYIVRETN >Et_7B_053258.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:17183532:17185587:1 gene:Et_7B_053258 transcript:Et_7B_053258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HQLPPPAITLVFSTNANAKAASLFQFNTSLNTNAQELAAATSSNSMGDAAATGVVSEEEEHIFRSRFPAVTVPDDVTVPEFVLAGAEAHADKVALVEAAPGGRRYTYGEVARDVSRFARALRSVGVRKGHVVVVALPNLAVYPVVSLGVMAAGAVFSGVNPRAAAAEIRKQVEDSEARLVVANEVAFDKVKDAGNGVPVIFVGESGGEVPAGAIGWDELLAAADRTGAAVVAVEPVQQSDLCALPYSSGTTGVSKGVMLSHRNLVSNLCSSMFGVGKETEGEVVTLGLMPFFHIYGITGICCATLRHKGTVVVMDRFDLRAFLRALVAHRVAFAPIVPPVMLAMVKSPIVDAEFDLSDLALRSVMTAAAPLAPDLLAAFQEKFPGVQVEEAYGLTEHSCITLTHAADPARAAKRNSVGFILPNLEVKFVDPETGRSLPKNTPGELCVRSQAVMQGYYKNKEETELTIDADGWLHTGDVGYIDDDGDVFIVDRIKELIKYKGFQVRIMYTSPIDRAELLLAHTDAPFRSSHCSVLFFFCYQVAPAELEAILLSHPSVEDAAVFG >Et_8B_060523.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:228996:230144:1 gene:Et_8B_060523 transcript:Et_8B_060523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSMIPVGGGGGGDPYFLQIQQQQLFHGLDGGGMDGAFMGATAAESETQCHALLYNLSVLKDKVQQLQPLVGLAVAHDGAGGPVAAASGASAVIQEIISAASSMMYAFQQLCSSSSSAAQQPADGGHYGGAGAKNTHHVHTIDAHVMQQWQHHQPAVHAAAAGVGSYDDDDDDSRIHTSGKSTAPLDTSSATIIELDAAELLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKSSAALANPAKQQAGAGEEASGSKSYYSCPQEGCRWNRKHAKFQPLKSVICAKNHYKRSHCPKMYVCNRCNLKHFSVLSDLRTHEKHCGDHRWLCSCGTSFSRKDKLVGHLALFAGHHPAVPLDTTRSANGGKRTSTSAASTPAPPHL >Et_6A_047118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25515920:25522796:1 gene:Et_6A_047118 transcript:Et_6A_047118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNNEPKAAAGPKVAATATASATAKPTPPPASAASLFSLQDGELTVGDAGNGKATLLSGVPGNVTLTPFAAAFDPAASDAPRDMVKRAAASAHRGAFLGFTAASATDRASCRVGRLAGPGPSRRRFLSVFRFKTWWSTAWAGERGRDLQMETQWVLLEVPELAGAGAGYVFVLPLVQGAFRSAIFPAAGDDGVVLCAESGSAAVTATDFRRVAYLHAGDDPYKVMQEAYLAARVHLNTFRLLQEKALPPLMDRFGWCTWDAFYLTVDPAGVWRGVSELAGAGLPPRFLIIDDGWQSVNRDGDPSPQEDAPGLVLGGDQMTARLYRFDECARFRAYCEGALLRRPPEQFYDAGLPKAVIRKAAEIENAGKAKKKAARHGGATDTELLEFDARIVQLRRELDQLLAKRETMLAKLCENDGDGAGGETTGLKAFLKDMRRRFPSLDDVYVWQALCGGWGGVRPGATPLDVPRVVPARPSPGLAGTMEDLAVDRLLEGGIGLVHPSHAGELYESMHSYLAGAGVTGVKVDVVHALEYVCEDHGGRVALAKAYYDGLSKSIAKNFHGTGIIASMQQCNDFFLLGTKQVAMARAGDDFWFDDPNGDPMGVYWLQGAHMVNCAYNSLWMGQFIWPDWDMFQSDHACGAFHAASRAICGGPVYVSDALGGHDFDLLRRLVFPDGTVPKCLHYALPTRDCLFTNPLFDQKTVLKLWNHNKFGGVIGAFNCQGAGWDPAEHRVRGYPHCYKPVPGNVRPTDVEWEHREEISAMANAAEYAVYRCQSEELLLMTPQSEPIQFTLQPSTFELFTFAPIMTINRGVRFAPIGLVNMLNCGGTVVDVEYGGGAEVRVKVKGAGRLLVYSDVKPKWSLVDRREAPFEWENGGKVMVDVTWNQEKNARPASRQERGHALAQLCGAGAGETTRLAGTMEDLAVDRRRDLPIHALLPRRRRRHPREGGRGPRARVRLRGPRRPRRASKAYYDGLSKSVAKNFAGGTGVIASMQQCNDFFFLGTKHVSVARAGDDFWFDDPNGDPMGVYWLQGAHMVNCSFNSLWMGHFIWLSAAARYTSVTRSAAMTFILSGGSCYRMAPCPTRDCLFANPLFDQKTVLKMWNLNKASFSFYLPFLAVASNYLKWQFCICCSLEGSLKHSTAREPVEHRVRGYPHCYKPVSGNVQPADVEWGHREDTSVMANAGEYAVYRCQSEELLLMTPQSEPIQFTLQPSTFELFTFAPVMTIGRGVRFAPIGLVNMLNCGGTVVDVEYSGGAEVMVKVKGAGRLLAYSDVRPKSSLVDGCEAAFEWENGGKVMVDVTWKQEKNGVSEVVFCY >Et_10A_000382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6892869:6893078:-1 gene:Et_10A_000382 transcript:Et_10A_000382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHAKQQFGSRIFREILMVACWCIWCHRRWKQSFKEEMKMVVLKAKSSVKPLLEE >Et_10A_002101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22567740:22572256:-1 gene:Et_10A_002101 transcript:Et_10A_002101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVPAHAQVQQLHLLQHPTKGTNADRKHTRTSSDASDPSTPRKIEDAKNISIYNNVISFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRRMASSLPWATRMSIALGAAKGLACLHNAQRPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDATHVSTRVMGTFGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREQSLVDWALPKLSDKRRLLQIMDPKLEGQYAVRAAHKACSLAFYCLSHNPKARPLMSDVVETLEPLQGSGGSDGSGQSSGLPDYRVRRRLTGNSVHYRAIPNPKCSPAVPTCRVR >Et_1A_006251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19654041:19658558:-1 gene:Et_1A_006251 transcript:Et_1A_006251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPVSAFLGAMGPLIWKLEVLLLEASEGDPKRWPRLPKGLNDGFHLLKEDIEDISTCLEDLSELEDPPLKAKCWMKENQHQDQICIQDQPCSANRLQKRKKLTWHKQVRIKISEFRIRIREANERHERYELGCLNSRRRYAPSGPMLPWRHDEAGELVIDGQMSEFMDTLACHGDQQLKVVAVIGSGGLGKTTFARVLFNKIRGQFDCRAFVAMTRKPSIKKVLCDILTQVQRQQPHDECKNLDITGRIRKHLQDKRYLIVVDDLWAASAWNVISRAFPEGSQRSRIIITTPVENVALTCCCYQSELVCEMKPLDVYHSRKLFFNRIFGSVSDCPETFKEVSYRIVGRCGGSPQSTINMASLLASHPVLSMEQWEYISDSLSTKLKISSASEGMKLVLNLSYNNLTHYLKTCLLYLNMYPDGCIICKDDLVKQWVAEGFIEATEGRDVESVARSYFDELIDRRFIQPACIKYNNEIVSCTAHDIVRDLIAHNSAEENFVMVVDSNRKNIELSDKVRRLFLNFGDEMFAKKPRNIGPSHVRSLAFSGVFACMPAITEFKLLRVLNLQFSGHRCDEILELTGISELFQLKYLKVVSDVCIKLPKHMRGLQYLETLDIDTKVTSVPWDIIHLPCLLHLHLPFETILMDWFRGIGSDIVQRLDKLTNLLDLRLTCSTPPPAHLQENMKALGYLLAGLGNLKSLAVVPGSPHENTIVRNPSKIIVSWDGFAPPLHIKKFEWSLCNCTFSRVPKWVGQFRNLYILKIVLKELLRDGVDILKRLPALTSLSLYVYSAPIERIVFQKAGFSVLKFFKFRCSVPWLKFEADAMPNLLQLKLGFDANRVAQHGKAYIVIEH >Et_3B_030818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7740083:7754367:1 gene:Et_3B_030818 transcript:Et_3B_030818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCPCAAAFLAPPPPLLLLRHRVVPRRRRRGGRQASRPLLTLARVDPPPLLRLKVSDSSDCPTDAHHHHSHLAPLLLRPRALIGSLAPVWREGLFLVRCSVFAAVVAVAAALSWFAQFRARSFVEARLLPAACAALGEYLQREVRLGKVRSVSPLGITLQTCSIGPHREEFSCAEVPVMKIRVRPFASLRRGKVVIDAVLSEPSVLVAQTKDFSWLGIPGPSEDTLKRHSGEEGIDYRTKTRRLAREKAAEEWYEERDKAAKEAAERGYFLRTGQSVSPSNDESPEDDGPTEIGKSSPPLCADEMHKKDHHMATGIDSSSKHSDLEKSFGVKSRIPGINLWSRMISSPSKRRYRRKVHSKVASDIDNSSQQRILRRSADAAVAYFQSIGRSNLDDPSPGTGSSSSDGGHTNVGSDQTTSSDRTLGKGKSARHTPHNLDSDALVYNHLEDLQLGHANFSQGPVSEKCSENKLVHQREIFFGNFGSCTHAYNWSSFWHFPLKGFPVTFDAPYSSLDVQIQKLKSHFAIGSEEISAEPSEGVSQMHPGAVQHALPITLDSVYFNGGNLVLLGYGDQEPREMKHANGHVKFKNSYNRVHVHATGNCMEWRQDRTSQGGGYLSTDVFVDIAEQTWHANLNVVNAFAPLFERILEIPVVWHKGRATGEVHICMSKGDTFPSIHGQLDVKGLAFQILDAPSSFSEIVATLSFRGQRVFLHNASGWFGDAPVEASGDFGLNPEDGEFHLMCQVPSVEVNALMRTMKMKPLMFPLAGSVTAVFNCQGPLDAPVFVGSGIVSRKSLSLSGMPPSAASEAVMQNKEAGAVAAFDHIPFSHVSANFTFNLDNCVADLYGIRACLLDGGEIRGAGNVWICPEGEGDDSAMDINLSGSILLDKVLHRYIPGGVQLIPLKIGELNGETRLSGSLIKPKFDIKWAAPNAEDSFSDARGNIVIAHDYIMVNSSSVAFDLNTRVQTSYIDDYVLNKEIYQMNKIMPLIVEGVDLDLRMRGFEFAHIASSIPFDSPRPLHLKASGRLKFQGKVVKSSNIVDGKIDGVPQNTVDQNKLVGDISLSGIKLNQLMLAPQSTGSLSISRDSVMLNATGRPDENFSIEVNGPLLFGKNEAIQGGRLFSVFLQKGQLRSNICYNPENLTSLEVRNLPLDELEFASLRGFVQKAEVQLNFQKRRGHGLLSVFRPKFSGVLGEALDIAARWSGDVITMEKSVLEQANSKYELQGEYVFPGTRDRFPLESQSNGFIEKAMGGHLGSVMSSMGRWRMRLEVPGAEVAEMLPLARLLSRSTDPVIRSRSKELFMQCLNSVGFHAESLRDQLKALEMHHDWLDDDTMEDITLPGLAELRGYWCGSLDASGGGNGDTMADFDFNGEDWEWGTYKTQRVLASGLFSNNDGLRLDKLFIQKDNATLHADGSILGPLTNLHFAVLNFPVGLIPALVQAIESSTTDSIHFLRQWLTPIKGILHMEGDLKGTLAKPECDVQIRLLDGTIGGIDLGRAEVLASVTPTSRFVFDANFEPTIQSGHVNIQGSIPVTYVDSSSIEERLEEEGGKQGIIRIPVWAKDRGSSNDISETRIVRDKGEEGWDFQLAESLKGLSWNMLEPGEVRINADIKDGGMMLITALSPYANWLQGYADVLLQVKGTVDQPVVDGSASFHRATVVSPFLRTPLTNFASTIHVISNRLCISSMESRVGRKGRLSMKGTLPLKDSEPSANDKIELKCEVLDVRAKNILSGQVDSQLQVTGSILRPDVSGMIRLSHGEAYLPHDKGNGAVATTLPSKKSSYLPPGFDQSTTSQDVSRFLGQLSSSQDRQQSDAERTLENGSFKPNIDARLNDLKLSFGPELRIVYPLILNFAVSGDLELNGMVHPKYIRPKGILTFENGEVNLVATQVRLKNDHLNVAKFEPDLGLDPILDLVLVGSEWQFKIQSRASSWQDNLVVTSTRSVDQDVLSPSEAAKVFESQLAESLLEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLKSLANNISFATEVEVQLGRRLQASVVRQMKDSEMAMQWTLIYQLTSRLRVLFQSTPSNRLLFEYSATSQD >Et_4B_036848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1197541:1199630:-1 gene:Et_4B_036848 transcript:Et_4B_036848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HATRELYHLGGWIFRCSGVAESDAHTTYSGAAAGDHMDSMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQVHCGMGYDEQNEWYFFSYKDRKYPTGTRTNRATMAGFWKATGRDKAVHDKNKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETDENAPPQARSLISAIYHFSCLILCSSWVVCRAFKKRTAYPGRSMALPWNPSYAYLEHNVMGGAEAAAAAFVDPNAAYAQIRRQSKNARFKQEADQLDGAAAFLHYSSHLVELPQLESPSAPLAPTTNASTDEDDAHGAGRRRGKKKARAADEVATDWRALDKFVASQLSPAAEGGGGALDAAATASAAGGGVGSQQLDHGDDDMAALLFLNSDGRDEVERWTGLLGPAGGDGDLGICVFEK >Et_4A_034806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6691861:6695779:-1 gene:Et_4A_034806 transcript:Et_4A_034806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPIPREWGGLQQFPAATQAKLHELLGKLKEENVSTLTILVMGKGGVGKSSTVNSIVGERVATVSAFQSEGLRPTMCSRTRAGFTLNIIDTPGLIEGGYINEQAVEIIKRFLLGKTIDVLLYVDRLDAYRMDTLDEQVIRAITNSFGKDIWRRSLVVLTHAQLSPPDGIDYNDFFTRRSEALLRYIRSGAGINKRESGDFPLPIALVENSGRCKTNENGEKILPDGTPWVPNLMKEITIVISNGSKPIHVDQKLIDGPNPNNRWKIFIPLILAVEYFLVVKGIRRAIHADIANGKVDEWEQRYRDLVGSRDPVGQKGSAPRNRKA >Et_4A_035861.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7495716:7495979:1 gene:Et_4A_035861 transcript:Et_4A_035861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCCRRRDRPAAGGLLGPWLLIAVLMYSSFLGSTVFTAVDAARTSPFVVAEAPAPLTMAPAPSPADDTVGDNKRKVPTGSNPLHNR >Et_5B_044773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5606356:5609073:-1 gene:Et_5B_044773 transcript:Et_5B_044773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFPNSRFPFAAGGAGAGPPGVGGGAAGSSVRPWGSSGGTSVSSSGKRIQKELLDLNASDCSAGPQGDNLYHWLSTIIGPEGSPYEGGIFFLDIVFPPDYPFKPPMTLRL >Et_7A_051214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15651126:15653788:-1 gene:Et_7A_051214 transcript:Et_7A_051214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAQEKGKRARIDDAEEEEGEPIDSALLVSIEKLQEVQEEIEKVNEEASNKVLEVELKYNEIRRPVYVRRNEVIQKIPDFWLTTFLSHPILGELLTEEDQEIFKHLESIDVEESEDIKSICSITLIFSANPYFEDKKLTKTYSISDDGRISVKATSIKWKDGMDIVNGKTCMKNGDKRLLVDESFFTWFSDKNSRLTNGEMDEVADIIKEDLWPNPLKFFNNEFEGEFEQEDEDEGSDEEEADDDSEEEDGDN >Et_3A_023147.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32078026:32079366:-1 gene:Et_3A_023147 transcript:Et_3A_023147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEPATAPQAGDEPPIRSNAAPSQPGARRSRHAGERQAVRGALHDGAGTSSAMAAQGGHERHVSLVLPGDEVVHPGQRQERPYRGDERPPPGHGGAGVTQQPAQAGRPRPSYVPPSQQRRREQPYAAPTPSWPPLEEERPMYPLGGFQEERLSTPLPQGGAPPIGGSLRPMPGEDAPPRRKPFRIRKKTTTTILTPDAHDARPHQPLTPEEAARPGVGGLATAGGAAPPGMGTGTLAAAPPYGGRAPSRGRFGIPSTKSIQRVPTLVDFAPETGGSQTSPGEAEPPGVGGHATAEGAAPPGVGGLAAPGSGAAPPYGGRAPTSRGRFGVPNTKSIQRVSTLVDFTPATGGTPSPPPQEHPRPQTDKHPPPPRQEHDAYPHRHPRQHKEKYPVPPPPP >Et_4A_035240.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:1481848:1482456:-1 gene:Et_4A_035240 transcript:Et_4A_035240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARRFLQTNSGLYPTLPAAEPPDPLAVDSDVVVILAALLCALVCVVGLAAVARCARNNNNGAASAPDAASKGLKKKALRALPKLAYEDAVAAAIAARGGASSPETETTLLAECAICLSEFAEKEEIRVLPQCGHAFHVACVDTWLAAHSSCPSCRRVLVVDDATPKLKLLLQPEPKRCRNCEAMEEASSSSGDGAAGFQP >Et_2B_018896.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:14222950:14224368:-1 gene:Et_2B_018896 transcript:Et_2B_018896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNACSLAPHSMNSSSTSKAGPSCFWSDHRRACMSSQQFCLVESIDEYEEAVGAVGNGHLRCSARLRILPAPRSPCRRGRPVGSGCRGTAPPPPAQVRRTRPVGGEDGGTAQRHPGPHRRPPGLRQLHQPAEGRGRGAVGVGQPQRRGGPDADRRGRRRVRGEGGGVEQQDGRDGAGPGQPEQHRGLERHRQLQRRHVLQRDARRSRRRLRCLQPQRPEHGSRGRPGRRRRAGGGAACGRGRGGVPLVRVLRRAGHAAGRAGAPPVPRLRRRGLHRLHLRQRAVALPRLHHQLRRRRRRLRWSHGERDGARARVGGGADGVRVRGVRRRGHGPGVAGPGVGALRHRRLRPDAPRRRGGARRVGRLGRPRQAADGLLRRVRVHGPGAQAPRVPYARQLDQRPSWTSPTSTAASGRCRRCSRPTSSQQLLISEYLRTSPTGFLIFWSTGTPDHPVLETILLPSDVKLICTKAR >Et_3B_028369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14268934:14273791:-1 gene:Et_3B_028369 transcript:Et_3B_028369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSETAVPLLLAAPGKESNQQQDGKRRRWWWGGDDGGWWAEATAEAGRLAALAAPMIAVALLQLTMQLISTVMVGHLGEVPLAGAAIASSLTNVAGFSVLIGLATGLETLCGQAYGAEQYHKLSLYTYRSIVVLLIVSVPIAIMWVFIPEVLPLIGQDPQIASEAGKYALWLIPGLFAFSVAQCFSKFLQTQSLIFPMVLSSLTTLIVFIPLCWFMIYKVGMGNAGAALSVSICDWVEVTVLGLYIKYSPSCEKTRAPFTWEAFRGIGSFMRLAVPAAVMLCLEWWSYELLVLLSGILPNPALETSVLSICISTVVLVYNLPYGIGTAASVRVSNELGAGNPEGARLVVVVALSIVIFSAILVSMTLLSLRRFIGIAFSNEEEVINHVTRMVPLLSISVLADNLQGVLTGISRGCGWQHLGAYVNLGAFYLIGVPVAVVLGFRFHLGGAGFWMGMIAGGGTQVALLSIITAMTNWRKMSDKARERVLEESLPTQA >Et_3B_028659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17855733:17858204:1 gene:Et_3B_028659 transcript:Et_3B_028659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSHGLALLLLGKKNEEKAVKASPWNQYRLVDREAEHVLHLAPGKDDEVPGKCAPFVCFGCPATGLEGASPPKAGSSTALRSSLDESTDSANKVIADGSITGIEIRGCLKSNSKRDSLEHCIVVSEGEEPRESLEEVQTLRASMERRKVQWTDTCGRDLFEIREFETSYVELENFRIVIQYYITKYNGNPGFVTEVRGNKLMRHSHKF >Et_4B_037612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21858754:21866969:1 gene:Et_4B_037612 transcript:Et_4B_037612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCSSRTGKWEQRPFLLEERPPCATTDECVFMGHGAYWHGALDVHCATDFIMRISLSNDKYCEIKLPEDISAGGYLGKSKNGVYFALVVDCPPRLQIWFLDESGTQMKWVLKQVVSISLLLAALYSNNRDDQVDRPWILQDSQDYNQENTKDVTAEKNLGWDSDNDNDAIGVEDSDKECFHGRTEIFDFIPKKRSSSCFCLGAYHFNSSKIQNLGKLSLKYHVDKIDRAFSYIRLPNLGMDRLPQDALVAILSRLAPRSLAASRCVCKEWRATVDARCNLRKDLLPLSLGGIFVTPWMEPAPSLFFARPSMARKIAGKLEWYVKLKYPDDYAYIESSCNGLLLLDQGRVVNPATRQWACLPPYPPPPDGFEGLWYDYDQCLVFDPTVSPHYEVLLLPYVPYDFEFKGNLLEGTAWPPSPCILHVFSSRTGRWEQRSFILEGEPAGILADMKLCWEPHALRHAVYWHETLYVHCQGDFLMRLNLTDHTYRVIKLPVSTTENKYLQLYLGKSKKGVYLAHVKVYTCQLEIWFLSESDHKTEWVFKRSVSVKALADHYSQNHDDQTSKHWILQDRNYDDGSKGPIEEANLDWNSDDDNALNLEDWDSERFCGYQIFGFHPYKEIIFLHLPRRVVAYHFDISKFQDLGKLHMPYCSGDGVDTAFIYTPCWIGELSENRPCPVAFHPQHLPDDRQPLGGPQSVASATSSAPFSVFRWLDTFPATLCSIAIVRLHSDRRRVVGGPREHVRGERLGAGLRLVGEEEGVRRDLLRLARPEHDALARPDTPSSLANSSRPVQGPCASTTASAPTTPSRPFTATRATLPSRTTTRSTSPMTTSAPDAAWTALVQRPGWTCAVVSRVPSSRGSGTDASGSTQAGSSGCGGEVGMEIVETQMESVWTRRKPSVSAPSRVAVVEREGGPRERRERRSESHQSRARKPPTFPDAAQAGAHFSNRVGTVGAAAWQQGCPRRSTMNRLPEDVLAGILSRLAPRSLATSRGVCRWWRAAVDARGLLRTAHLPLWLGGIFVNLLGPAPSEFFARPSSPPPTTRARPTKKIAANLERYVEEEPSMSHIMDWPPSVAGSCNGLLLVNKRVVNPATRQWARLPAYPPLPHGLHGSADDCKECLVFDPAVSLHYEVLLVPYVYIDQGNLAEGSDQWPPSPCVVHAFSSRTTRWEQRLFVREADQEIHQDAGTVVAAGSQYGYYCRAATYCHGALYLHCQGDSVMRLTLSNDTYRVFKLPATGVDTSTGDLHHLGKSAKGVYFAVLHDSYKLKVWFLDESGGTIQWVLKHDANVKPVVAHFYRPARSDDPTGKFWTVQDQDSDGDDDTERSGTVDENLGWDSDDDNVHDIQDSGENLNFGYIATIFGFHPFPEVVFLRLGNDRIVAYHLNSSTFKVQDMGECQVEYLGKTISDAFVYTPCWIRELFDFDG >Et_8B_059997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4890786:4893056:-1 gene:Et_8B_059997 transcript:Et_8B_059997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASIAMDVEAARKGSDRKGFYQDLVLAYKTLDVVFGGLVTSPLYVYPSTNLTNPTEEDYLGIYSIMFWTLTLIGVVKYICIALHADDHSEGGTFAMNSLLCQHANIGILPSKKIYTEEEEEDTVPARPVVTGRPSRLRSFIERNIFARRLLLLTAILGMCMLIGDGILTPAISVLSAIDGLRGPFPSVSKPAVEGLSAAILIGLFLLQKYGTSKVSFMFSPIMAAWTLSTPIIGIYSIWRYYPGIFKAVSPYYIVRFFTNNQTRGWQLLGGTVLCITGAEAMFADLGHFNKRSIQIAFLSSIYPSLVLTYAGQTAYLINNADDFSDGFYKFVPRPVYWPMFAVATLAAILASQSLISATFSVVKRSVALDYFPRVRVVHTSVRKEGEVYSPETNYLLMLLCVGAVVGFGDGRDIGNAFGVVIILVMLITTVLLALVMLIIWGTHAVLVALYLVPFLALEGAYVSAVCAKILRGGWLPFAVSAALELVMFGWYYGRQRKAEYEAANKVTLERLGELRAVGAKERVAVRRFGPRGVYGCTIQYGYADPLDREEDDDVAAQVVRALRDHIQREAAASTAAVAEETAELEEALEAGVVHVRGKTRFHIGRDTGAFDRVLLGFYEFLHGTCRSALPALGVPLQQRVEIGMLYKA >Et_2B_020379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19516557:19525458:-1 gene:Et_2B_020379 transcript:Et_2B_020379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEDGASDADGFGGGGWLGAVLEPVRWLRMLCRELGTTFVAGVVLVYGLSQGFASSFYRVASDYYWKDVQQLQPATVQLLTVFFFLPWVLKPLWGVMTDVFPIRGYRRRPYFLFSGILGTTSAAAVAMITGLPVTSAVICFVGISTGVAIADVTIDACIAKNSIDKPALAPDMQSLCAFSSSLGALIGYATSGMFVHHLGAQGALGLMAIPPAMLVFLGFFIYELQTYQHSAKAKVLNKVSVAVKGMIQTIKYPVVWKPSLYMFLSLALSISTHEGQFYWYTNKTPHNPGFSQEFVGLVHAIGAVASMVGVLIYHKCLKNYPFRSLLFYAQLLYGVSGLLDLTFVLRWNLALGVLDAAFVTLEECVSRVVGRFRLMPMMVLSTKLCPPGVEGTFFALLMCIDSVGMLAAKAGGAAVLRALHVTRTDFGGLWVAVLERAAARHAGLHLPRAHRGPDRRAGAARAPGRVAGGWGRRGGEDAARETDLTY >Et_10B_004434.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:748621:749667:-1 gene:Et_10B_004434 transcript:Et_10B_004434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSPLKRPSAGGRLGRLLASLRPPSRAGPLPVQTGFPTSLADLVVKNHVRLKNPRRRHRSSAALPSPSPPVATAIAEPPPPQQQQQQQRREASPVQNAVVRPKAKGAAFRIRPELLVVGGAVVLALLAIWSKWLVAAATAASVALFWIESVRSPASRRRPRPETPDQDVRGSRGFVSPIREAESEAETPRSSSADSDDRGSEVSSLWVADAATHLRGSGDDSVTPKTKEKRRSLRKLIAKKLRNGKRSKDKDTTASRDGGESKHPDAAGEAGVNARPVKAEPLPAPATDTTPPDAFPNERSRHGGAFPTAAFIPIVLVGLVAGKLPAVALTVLCAVFFSSVERVPSS >Et_2B_021156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2719313:2728185:-1 gene:Et_2B_021156 transcript:Et_2B_021156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHAVESINTGTSTKTSFLTTVIDGSNKKLSVEMLPIHLMLCFSLVIALPSAVGLPRDGCPSKCGDVDVEYPFGVGPDCAMKGFELRCNKSEDGSQDLAFFSDLPVLNISLSQGQVRMKVYAASMCGNRSSSSNHGAFADLEGTPFTVSERDNMFTVIGANALAFMIGSSQSTTLVIGCFSRPSPFNNITAEDGSCAGVGCCQVALTGSMSYHNISFGSDDNSTDAADTEQCKYAMVIEAARFRFRTAYLNTTAFWDEYRDEVPVILDWLVGDEVCNVAKTDAASYACRSSNSECIDSTSRRGYLCRCSEGYHGNPYLPDGCQDIDECAASNPRPCPGQCINTQGGFYCPNERSSLSGTTKLTLGASLGIVILVIFISGSYLIRERRKLSNIKEKYFRQHGGLLLLEKITSSGQGTGFTIFTEAELMETTAQFDDRNVLGRGGHGTVYKGTLKNGSAVAIKRCTSSVTTNDQRKREFGKEMLILSQVNHRNIVKLLGCCLEVEVPMLVYEFIPNGTLYHFIHGSGDNGCRNHIPFSTRLRIAHESAQALDYLHSCASPPILHGDVKSSNILLADNYAAKVSDFGASIVAPTDEAQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELITGKKALSLDGPESERSLSMSFLCAVKEGRLMDMIDDRIRSEGNVGLLEEVAELARLCLEMVGDNRPAMREVAERLGWLRKAMQHPWMLRQDDDQEEMGSLLGKQSAVASVENLEMVDTAFFTMEKGVGQGLLEFGLTLQFQAQAVALPGPSCPKSCGDVAIEYPFGIEKGCAMDGFWLNCSKTGDGRRILLLAVPSNNDTLIPVLDPSYNDALIPVLDILLLHGQVCAMKHVSTMTTRPGTNDSYDYWDLDFSDTPFTYSAASNMFVVVGSDTIAYITDDTYYSIGCASLCSPNNNLKAQDGLCSCQVALTKNMSNSYVSFSELRNRSVGGSADSYGVIMETAAFGFNTSRPNLTDFLKAAASRINKSDPNGGRVPVILNWAIGNETCDVARNKSSYACISDNHICVDSSLNNGTGYICNCTEGYHGNPYLPHGCQDIDECATVPPPCRHCKNMPGSFRCRPSMGLIIMFLAISCTYLVHQRKKLARIKRMYFQQHGGRLLLQEISLKQGTSFSIFTESELIEATNKFDDENIIGRGAHGIVYKGTIKDSGLIAVKRCVSMTSEQQKKEFGKEMLILSQINHKNIVKLPGCCLEVDVPMLVYEFIPNGTLFHFIHGNSGCNIPFLTRLRISLESAQALAYLHSWASPPILHGDVKSSNILLDENYVAKVSHFGASVLAPADEAQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTGKKAFKLDGPEDERSLSQCFLRAMKQDKLMEVIDNRIKNDRDSGLLKEVAELARQCLEMVGERRPTMRDVAEKLERLNKVMQQSWVPVEHDFEEMESLLGESSVVSLEMTSTGNFSMEKRIVQGLLESGR >Et_6B_048308.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:11106403:11106612:1 gene:Et_6B_048308 transcript:Et_6B_048308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCICLLLTILGYIPGMIYAIYVLVALDSDRHEREYYTLASGLNLYGAQLSRISIFMWIVWHGITGNG >Et_9A_061725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15831473:15836445:1 gene:Et_9A_061725 transcript:Et_9A_061725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSRRLTRGRGGESFAAKQQQPPASSSSHRARRSRAKEPAVVIDLGGDDDEDCRGGEAAGGAASGRGGSAAAASYSPPPMMVPAGGVAMRTRSRRRALQAAAEEDATPRSKRTRKGSREDAAEAAGSGASKAVAPSREKRRASSRRKPKPASRGRSRERKGKDSPAQAKAKAPARGKQAKVPRANESDENGGDDASDEEHEESRPGVSSNGIGRGNGVRRGAASSRGDQIEEHRWKQEANAVNLNQAVNELVSGDAEEGEGGNGGRARPVVGFNVREDTGDCGNRELLPIADAVPLEAAATFEEEDDDEMLEEQLVGEVIRAYSNVASRKEDGADIKAQTEPKRVEAPDFVQGSSHVEDLDSDEEVVVLENVSSPPSRKASAKAKLAVIPSCVAWRTRSSWGMKQDRLSYNTYFEALSDEPKEEDDDTEVELDEDDDNEDSSDSYANDEEEEEEAKEEEEAQRRKLKNPAVVSDDDMIDCTASTSKYDFQWEEVEDPDVDIYQPMTYKKNCNLYPVGNDTLTEQQKRLRFTWELERRKKLKLGMVATHRLYERNLDSDSNSSGSEQIKKYGFQKVADHKIGTKREHSSSKSGKKSATATALKRQSLMKLLIDKMSGDKNGESLTFDQNPQLKFTFKEMHPLVFSFGDEDPIPAEKSKEDLALDMLWADFDFALESENIGTYYDDETKEEGNQVNLGLPPCSQARGKHDFIIDDRIGIRCNYCSLVNLEIRFMLPSLVSGFSEKPTWTNGSGVKNTVMFHDLYEQAGCGSEQSQDYHLYGTVWDLIPGAINTMYEHQREAFEFMWTNLVGDIKLDELKHGAKPDVVGGCVICHAPGTGKTRLAIVFIQTYMKVFPDCRPVIIAPRGMLFAWDEEFKKWNVDVPFHIMNTTDYTGKEDRDICRLIKKEHRTEKLTRLVKLLSWNKGHGVLGISYGLYTKLTSEKPGCTEENKVRSILLDNPGLLVLDEGHTPRNDRSVMWKTLGKVKTEKRIILSGTPFQNNFLELYNILCLVRPRFGEMFLTKGKVGRRHYVSKKQRDKFSDKYEKGVWVSLTSNVTDDNAEKVRSILKPFVHIHNGNILRTLPGLRESVIILKPGPLQKSIIRKVENIGSGNNFEHEYVISLASTHPSLVTSINMSDEEAALIDKSMLDRLRSNPYEGVKTRFVIEVVRLCEALREKVLIFSQFIQPLELIKEHLRKFFKWREGKEILQMDGKILPRYRQASIEAFNNPDNESKVLLASTRACCEGISLTGASRVVLLDVVWNPAVGRQAISRAFRIGQKKFVYTYNLITYGTGEGDKYDRQAEKDHLSKLVFSTEDEFNNVRNMLSKAEMEHCSKLISEDKVLEEMTSHDQLKGMFLKIHYPPTESNMVSTYNQIAPELS >Et_2A_017119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31011691:31013028:-1 gene:Et_2A_017119 transcript:Et_2A_017119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTSKLPVLATISLLLLLLVAPSNVAARAIDASGAQRLELPDNVFGPESVAFDDHGGGPYVSASDGRVLRWDGEAAGWKTFAYSPSYAKHKQCQPSPNSDLPSEATESLCGRPLGLRFHNASGDLYIADAYMGLMRVGKDGGEATVLATQADGVPFKFTNGVDVDQVTGDVYFTDSSTTYTRADHQRVTTTSDSTGRILKYNPRTNQATVLQSGLPYPNGVAISSDRTHLIVALTGPCKLQKVWLTGPKAGKSETFAYLRGYPDNVRQDGNGGYWVAFNMEKFEWPRNAKHMIATSIGGQGEKLQEISGPTTVSLSEVVQRPDGKLYFGSVERIVSRLTSAGLDCYDITSDAMDATRLAASASHPGRAGGTGYTHSLRRKLQRSPLAVPITPKLPHGGGAVRRKECRRGSDHAPCRWRTGG >Et_1A_005086.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:25827566:25827925:-1 gene:Et_1A_005086 transcript:Et_1A_005086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAGAAHPRPSGRGLGHRPPLRLPPGVQALHPQLRAPPRPRRRRRAPPRPPPRGQRHLGPPRQHQHRAPRPPRRRRQGLRLLHHRRGAPPAQLPLRHHRLRARRPRDLHRRARVLHR >Et_5A_042143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6735497:6738455:-1 gene:Et_5A_042143 transcript:Et_5A_042143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAVSPAAASSLPRALTGRFTQRAGCGCLAKQSVLMSSSTGFARLQVGTADFVSRPLKEKMDKAIENIQSNFNTVRTGRANPAMLDRIEVEYYGTPVSLKSIAQISTPDATSLLIQPYDKSSLKLIEKTIVSANLGVSTSSDGEVIRATVPPLTSDRRKELTKTVAKLAEDGKEKKLSEDNVKDLSADLQKVTDVYMKKIETLQKQKEEVLLSIHWPFR >Et_1B_012985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4563491:4566128:-1 gene:Et_1B_012985 transcript:Et_1B_012985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCGICGHYHKYEEGEVCGVCGHRLKPAEEEAASARIHESAFPTEVLKDFLFLGSYDNASRSEVLKTLSISHILNTVPACQNLYKNSFTYHSLQRDKPLDFDEANQFLEQCERERSRVLVHCMTGRSRSAAFVAAFLMKSRGWRLAQCFQWVKDRRPQVQLTDAAQQELLEYEHKLFGPSAQSMVPTESFASLGFGFPQPAGDIQAPTFNQMPSIFERVNPNNVPASFTFGAESTPGVNPQDNNNNGAVNPTSTDNLLMDGS >Et_10B_003571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3202632:3206450:-1 gene:Et_10B_003571 transcript:Et_10B_003571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTATSSMTPDLSLHISPPSPAAGGEVPEPRPPLIGLDTAQRQRLQVQQRLHQPSQTAHGFKKSSGAVAGGKRSSRAPRMRWTTALHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHMYRTVKGTDRSCVAGHGQARDMGFLIRGAAEMDGFDGFNSSMVNTNALNNTASRSNYLAHA >Et_3A_025598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31036268:31045818:-1 gene:Et_3A_025598 transcript:Et_3A_025598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVGGEAWAVPAVAELGQHDRGGRGKLLDPAPSPAAPGGGPVPVAAAYNSPHGGSVTPVSALSPVLPARQLVVLALDATRDHRDEEIRMAIRGLVARGDILRGGDSLLVLGVLHTITIPKGYQCKASSEALNGMSDYIKYQVAKVADCYQNKLHKDVEELNKVGISVTLKISVGSPAKAVIVQEVSSSGAAWVVLDRHFRRDFVHFKKYIACKISVLEDNLSVTYLKIIRTSPSSKSNRELKALQHLAATLDLSSKIPDSMEAYMTSQYNSSEKLIMCRVCGIKSVLYMNSLKLSFSEIQAATSDFSKDSLLGEGGFGHVYKGQLKDGRFIAAKVRKIASSQGYTEFFSEVQVNSFARHRNIIALLGYCYEESYNILARHAIAFDIAKVLRFLHEECRAGPIIHRALCPSNVLLTHEFVPMLGDFGLAKWNTKESIETTILGQSGYLAPEYAEFCISSVKTDVYAFGVLLFQLISGRKVLDDDGTKCTHILTWAEPLVESLALHELIDDRIKDKYDTYGLYRLAKAAYLCVRTNPHERPSMGEAQASAVRRRVPPSIPTPGRRRCRCEAGIYSRPAPSRCPPCLQRDRMYSVISRIYSAVRSNVEALITALPGSHNGGSRRGSRRRRRGRSPFSSCSPFSSPMSMSSIIGAADDQATPASSSAAYETPEGGAPTPVSALSPVLPARQLVVVALDATRDRRDEEIRMAIRGLVARGDILRGGDSLLVLGVLHTITHPMGYQTKACTDFLTGTSDRYLGDQVVKVADFYQSKLLQDVEELRKVGITVTLKISPGSPAKVVIIQEVNSSKAAWVVIDRHFRRDFGHFKKHIACKIAVFEDNLSVTYLKIIRTCPSNKSPGEVKALQHLAVKRDLSSKTLDADTHRGSIKSSPVSYFASLSNHEIHESSSVVISRMPYLTPSMSGMSLTIDDLESLSNGKYIEANTSSVYDSPERPVLCTGCGLKSVLYIKESMKFPFSEIQAATSDFSKDKLLGEGGFGHVYKGQLKDGQVIAAKLRKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNNSLEWHLFDKTANLLEWHTRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKASNGSIKTRILGQSGYLAPEYAEYGIVSVRTDVYAFGIVLFQLISGRKVLDECGGQCTHILQWAEPLVESLALHELIDERIKDTYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIETENEHIRDLSRQFIPHFTNM >Et_7A_050318.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:25012493:25014271:1 gene:Et_7A_050318 transcript:Et_7A_050318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIPTDRMDSLFSPRCVWVNGPIIVGAGPSGLAVAACLREQGVPFVVLEREDCIASLWQKRTYDRLKLHLPKQFCELPRMPFPDTYPEYPTRRQFIDYLEEYVAKFEIKPEFNSTVLSARYDETSGLWRVRTSAPGAGEMEYIGRWLVVATGENAENVVPDIPGLEGFKGEVTHVSDYKSGESYRGKSVLVVGCGNSGMEVSLDLCDHGARPAMVVRDAVHVLPREVLGKSTFELACLLIRWLPLWIVDKIMVLLAWIVLGDLAKLGLRRPATGPLELKETHGRTPVLDYGAMARIRAGDITVVPAVTHFGKSHVELADGRVLNFDAVILATGYRSNVPQWLQVNSHTNTGPFYSYICTIATVPLVENNIPTRTPV >Et_3A_025338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28987894:28989752:1 gene:Et_3A_025338 transcript:Et_3A_025338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAGRRKSSFVGAGAGAAAYDPFGAKHAVTSLRKGGRLPVYVAGVFFCVFVIIMYGEDIRSLTLEPLSRVPPAPKLTVTTSSGGGATHVVAGPRRDASSSSQHKAAVLHHDDEKQTPAATTTTVKETAARQAEEVEVPKVAATPKKETKKPKKAKKARRQRSAKKTVMPPVLGVPETCDLSKGKWVFDNTSYPLYKEEECQFLTSQVTCMKNGRRDDTYQKWRWQPKGCDMPRFDAKQFIERLRNKRMMFVGDSLNRNQWESMVCLVQSAVSPGKKYVKWEGQSIVFHAWVRNASCPARFSLHDSFWDEPGRHCLSGHGTNQLTGNWQWLQEYNATVEFYWAPFLVESNSDDPKIHSIQHRIINADKIAAHAEHWRGVDYLIFNTYIWWMNTLNMKVMYVFFFFFFFLVPKAKPCATRKLPTHPVWRPGLESDANDVFARIGRRPGGGSWEENDEVVRIEAYKKVLTTWASWVNENVDPARTSVFFMSMSPLHIRYR >Et_4B_036224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11542293:11543317:-1 gene:Et_4B_036224 transcript:Et_4B_036224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLSSTRLQSAASTAASTSARPTTHFISFRNARRNRGGPLLAAASPPSPAPAVAEQPFRALPASETTVLVTGATGYIGRYVVRELLRRGHRVLAVARRRSGIRGRNSPEDVVADLAPARVVFSDVTDPAALLADLSPHGPVHAAVCCLASRGGGVRDSWRVDYEATLHTLQAARGLGAQHFVLLSAICVQKPLLEFQRAKLKFEEELAAEAARDPAFTYSVVRPTAFFKSLGGQRGGPRLVHRRLHLRRGQGQQGAANRRAREGAHAAGAGGDAVPAARARAQVHQGAHPDHGQCDLGARWIGQAVPRAGGRR >Et_8A_057253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22840047:22847977:-1 gene:Et_8A_057253 transcript:Et_8A_057253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPKVATNRRPTATRKPQPRRGRAATAAEPASSSADIEDMAPTVLGPAAAPAALRAQLLRWYDAHRRDLPWRRASGGDEDRAYAVWVSEVMLQQTRVPVVVGYYDRWMTRWPTVRSLAAATQEEVNEMWAGLGYYRRARFLLEGAKQIVEKGEFPRTASALREVRGIGDYTAGAIASIAFNEAVPVVDGNVVRVLSRLYAIADNPKESSTMKREIAGQLVDPSRPGDFNQAMMELGATLCSKTKPGCAECPISSHCQALAISCENPPVQVTDYPRVVPKAKPRSDFAAVCVVQISHGLEQEVNGRKDQDDLFLLTKRPEEGLLAGLWEFPLVLVDKEKTDLANRRKEMDKYLKQLLKIDVGRKSIAILREDIGEHVHIFSHIRLTMYVELLILNLKDNVDPVCKTVHDSVKLKFVDESSVESMGLTSGIRKVYNMVKAFKLRRFSVSEEGPVPTRKRSRGQKQPGPRKDFDDVRFALSKLDLNTSGIPSLS >Et_7A_051688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22974526:22989655:-1 gene:Et_7A_051688 transcript:Et_7A_051688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNARSSQLQRRRCVVDLAVLLLLLLAGAQLTNLTKDVASTISDSFSFCVADPVEDWNEAFNYTSNLEFVDKCLNETRGDLEQRLCTPAEVKFYFSSLYDKDGEKNLFLKTNINCNRSSWVQGCDPGWACALDPSLPPSKSDNDNIPLRTRTCQACCDGFFCPRGLTCMLPCPLGSYCPRATVNITTGLCDPYKYQITPNSTNGNGCGGADMWADFGSTEDVFCPAGYYCPTTTKKLSCTSTIADWVPRQKRGALCVLLLLIYNCSGQFLTIRERRKARSRENAIQLARQQLKAHEGWKAAKQLARRHMHGMQGHLSRTFSRRRSFRQLTDQENSSRRVHEAPLMAKTQELSDSAVFAAKSTNEITEAMPSVIVDLSEDGEVVAPKDKPAPKGKHRSTHTQVFKYAYGEIEKEKFQQQDNKNITFSGVIAMVKDQQKEITRPLLKVEFKDLTLMLGKKKLLRSITGELHPGRVTAVMGPSGAGKTTFLNAVTGKVNGYKMTGSVLVNGKNVNIRSYKKIIGFVPQDDIVHGNLTVEENLWFSAKCRLSSKMNHRDKVLIVERVIDSLDLQGIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTSGLDSSSSQLLLKALRHEALEGVNVCAVVHQPSYTLYNMFDDLILLAKGGLMVYNGPVKTVEEYFTTLGIDVPDRVNPPDHYIDILEGIVKPQSGIIAKHLPVHWMLYSGYEVPSDMQDDLKAIGDRSPQIRSSPSISDSTPHCLPHVRDAFVEERDRLEHHLSKPKDLSSRKTPGIFRQYKYYLGRVTKQRLREARLLAVDFLILGLAGICLGTIAKLSDSTFGMPGYTYTIIAIAALRSFSLERLQYFRERESGMSTLAYFLARDTVDHFNTVVKPIIYLSMFYYFNNPRSSIGDNYIVLLALVYCVTGIGYTFAICFSPGSAQLCSALVPVVLTLLSTQSGTPKFLKKMCYPKWALEGFIIGNAKKYPGVWLITRCALLFNSGFDIHNYKLCILILFMYGLFFRLLAFVAMCFALVPVVLTLLSTQNSTPTLLKRLCYSKWALEGFIIGNAKKYPGVWLITRCALLFDSGFDIHNYKLCILIRFMYGLFFRMLAFAAMRITFDQTVT >Et_5A_041545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23994549:23997528:-1 gene:Et_5A_041545 transcript:Et_5A_041545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALGAQVAAAAAPIGSDGFLRGSSLKGSSNLNFQNRPWIGKTLAWESKTQQSRHLNKVLCMSVQQASKSKVAVKPVELESAQEPPLNLYKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGSPNTVRLYSIASTRYGDSFDGRTASLCVRRAVYYDPETGKEDPSKKGICSNFLCDSKPGDKVQITGPSGKIMLLPEDDPKATHIMIATGTGVAPYRGYLRRMFMEDVPTFKFGGLAWLFLGVANSDSLLYDEEFTNYLQQYPDNFRYDKALSREQKNRNGGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKRVAEQRGESWDQKLSQLKKNKQWHVEVY >Et_4A_033234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20171134:20176268:-1 gene:Et_4A_033234 transcript:Et_4A_033234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPRARASGDLRPPEPPLDPLEFLSRSWSASGRAHGPPPPTPPAAALVSPIAEDAACELDDGGAFPSVGPAAAGGSSFSFASAATSQLIMERILAQSQEVAPLTSGRLSHSSGPLNGGGSLSDSPPVSPEIDDAKYCRAVSTPKPQAYRASNKTVGRWLKDRKEKKKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAAASGSGKDDRAARTDMAVASAATLVAAQCVEAAESMGAEREHLEAVVGSAVNVRTPGDIVTVTAAAATALRGAATLKARALKEVWNIAAVIPVEKSTVGGGHHQKPSVVKQHRKLESNGSSISDDISLEEESNFLGICSQELLARGTELLKRTRKGVLHWKVVSVYINRMGLVMLKMKSRHVAGTITKKKKSVVIDVCKDLPAWPGRHLLEDGEHRRYFGLRTAEHRVIEFECTSQREYEMWTKGVARLLSLAGERKRLA >Et_1B_012065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28620560:28625400:-1 gene:Et_1B_012065 transcript:Et_1B_012065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRLLVLVLVVSFPCFPASDRQGDALIDMKLKLNVTGGQLSDWNQNQVNPCTWNSVICDNNNNVVQVTLAARGFTGVLSPRIGDLQYLTVLSLAGNRITGNIPEQFGNLSSLTSLDLEGNLLVGEIPASLGKLSKLQLLILSENNFDGPIPDSISNISSLTDIRLAYNNLSGQIPGPLFQVARYNFSGNQLNCGTNFSHPCASNMSYQSGPHASKIGLVLGIVGGVIGLLFVVALFLLCIVRKKSRLREVFVDVAGEDDRRIAFGQLKRFAWRELQIATDSFNERNVLGQGGFGKVYKGVLPDGTKIAVKRLTDYESPGGESAFLREVELISVAVHRNLLRLIGFCTTQTERLLIYPFMQNLSVAYRLRDFKPGEPIFDWSLRKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEYFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQRDGELSSIVDSNLNQDYDDEELEMMIQIALLCTQASPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRHEYERMHRRFDWAEDSIYNPEAIELSAGR >Et_8B_058733.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:19240816:19241631:1 gene:Et_8B_058733 transcript:Et_8B_058733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSSLENINGLGQLNNLASLSIYCGREHPSASREEVMAALSSSLGRLCNLKCLVMKRDSEGLFADSGVSTIFPNLDLEQLDVAEWIFCRVPGWIGDLHNLRELNLQVQQTGEEDVGVIGRLPSLVKLDLHIRDIPSGRMAIRGSTGLKFLKSFTFHYSGKSCLTIFEVEAMPSLISLVAGGASVWDKVIQVRLHHLPVLREIILPVRLHHLSRLREIIVPVMLVDESRWVWDAFQKAADALPGHPELIASFPHIVSEGHGAKHWRHWRHV >Et_5A_042411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9817759:9821997:1 gene:Et_5A_042411 transcript:Et_5A_042411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSLIDVSAEDDLFLGLASPPALPQPPDREPPRAGFRVSAEAVADLCPAGGSPAAAGRAADPDGVTEDQVGLERTESPKQRKAKMGVNLRKSLAWDSAFFTSEGVLDTEELAIVNSTFRKTQGSRLPGITEESRRSGESSTSTLESESWAMESIETQLFDNVRASIQRSLGKPNKVTGGPPASSKPPKATANTTRVAARKAVDRMPQMKTRAPVSTSHGVGGNQRPQVTLKEPTAARATLPEAGEAKPSSKPPRALPRVATMRSSTNTSITSAISDKRSSTGGVVKRQAVGKSANTSASVRPGGVMKSSSVSKSSGFTSVASKIDANTKSAALNNTNRTAQRIPIRSSSKSDCSKTNPTRLSGNKIPARVHSGHASPSISPNSSIDSMSSVISGASTASTVGKASHTSESLNAPSSALSPSLRKSNDHPVTRKLRPSNVTEGQSSGTSVSGDSLIVIAGTTNLGKNSKPSGLRRPTPNIGFFGADKAIDQNSGAQMQVQSTKIQRLLPATPKSKLSSTQIMNTSSSSFGQQESKSSAPPEQSGASKSKAANVLSSKVAQMEVVPFQVAEPEACTHQTASVMAEPEAEKYVIQTTSAQAQLQQHLEIQCPLPATPNSQRSSIQTKIPASSPISQQESKATSVLHDEIFASTSEAVIVVPLKVAGIETIASTSEGVKVAEPESLLHETGPVVADHEAEKSIEQNIGGQAQEQPMNIQLSLPGTTKSELSSTQATNPASSTLDQQESRPTAEPHKESSALKGKIEKVPLNIAKAAEPFDMSDLKVEETEAVEVVEHDVVTTSEASKESIPAVHQNIQANDDANALSVDLLIQKLSSVSMGPAKVVQHEVAATAEASKENIPALHQNIQANDDASALSVDLLI >Et_7B_054203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1780881:1788506:-1 gene:Et_7B_054203 transcript:Et_7B_054203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLDYCFSNDYMVLRPDRAGPVELLRLLFSPKVGRNRAVDCFTSTEIRSFRRRVAIFLNLLLQIILLSLAGPLAALGAAVELVFNLVDNILHGRMAYPYKSSPTYRSMTGLIDRRVDLDRSIKPTDSRFDAALCIMASKVAYENEAFIRNVVTRHWQMEFVRFYNCWNEFQNAYTAQAFVFCDKPADADLILVAFRGTRPFDLARWCADLDPSWYKIPRLGRAHAAYTHALGAQRNIGWPKWVEHIKGKPQKVYAYYTIRDTLKDLLEANRNARVLVTGHGSGGALAVLFTAVLAYHKEKAVLDRVAGVYTFGQPRVGDAMLAMFVERNLERPRKRHFRITYGDDALPRLPNESSAAHFLHFGFGVHFDRSYKLKVLRDIPGEATSSSPRHFVTSRINSAWELGRSVHLPFTRGAYFREGWLLLLMRVLALALPALPFHRVQDYVNAILLGEHIPKDN >Et_3B_030034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3068873:3070164:1 gene:Et_3B_030034 transcript:Et_3B_030034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGEKLPERATTSTTFKPWRLNFVMMVGRVLLGAGMSLFEPWMLAPRESLRPSGTSQYGPPTCRIDGLDESLCQGQLFCQGCRLLVMALTRTVESRAARARMSAQETVPGQAASRAALIWSTTSNPLREFLLGLDPFSLTMLLLLSSSTEASHPYTEKDVEKGWDVASTITVSKKVKNHARKADKESNSRMEEKFTLTKQSWKCSLTKDAAILVSLATACATMDFTISFALGHEWS >Et_1B_010085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22992944:22993684:1 gene:Et_1B_010085 transcript:Et_1B_010085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVGEGDGAAVVRMDTIIFDGFETLRLLPDGGGWHATPLPKLPLGRMLTRKGTFSLDIAAANGAGGAWRTEGTWMLPFEGRALHVPELDAVIGLTVGSRLLCACDVTTTGSRPVVTRHVWRETFPWSWEERPRDMPSLAYLGNGRFCICRPMSAMEPRPFAPEHIKYDASSFLVVEVKRLQNGELQLAKRGKTTYMRPPQGRQCPYIGFIQPAT >Et_7A_052686.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:12413740:12414702:-1 gene:Et_7A_052686 transcript:Et_7A_052686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQARVAEKKPKVVFVLGATATGKSKLAIALAKRFDGEVINADKIQLHAGAPIITNKVTEEEQAGVPHHLLGVLPPDAEFTAEDFRREAAGDVARVLAAGRLPVVAGGSNTYIEALVEGDGAAFRAAHDALFIWIDAAPELLAWYTAARVDDMVARGLVAEARAAFVPGGGGAADYTRGVRRAIGLPEMHAYLAAERGGAAPAGEAELSALLARAVREIKDNTFALVRAQVEKIRRLSRLDGWDVRRVDVTALFARMAEASGACDEQTWEALLWEPCEKMVRRFLETPAAPITVLDGTVSVVAGGDGESTAAPASGGDGE >Et_9A_061994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18638172:18657846:-1 gene:Et_9A_061994 transcript:Et_9A_061994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HGWLESENTWEPFENLKACSVLIEAFVKRHGCEKLISHIFSFFYLHFLGSDELVCWMSQTPRSSPKHKRKTTTSPTTNPNPYRGKRGRLGPDPKTLPGWTSTRRTRNNSNKTSFGGPEASEASSGVVSVGFRPEGTHLFVRLTHQQDELHTMKTLTNTRTLISGWPGDRCQETQLDEATEEQGGHRDITSEKEGNDYIDSTEGEIFDKNTWMNMQTKFILLRYVATIMNGMQQVSITFKALRRFMLDEATQEQGGHHDITNEKEAMSMLILEKEKLLIRTKGMNANQVHITKLIKPVRYIVTIMTGMEQVTITSKALSHTRARRASDITSIKAVNEYVDSIEGEIVDKNKGDEYANQIIKPVRYVATIMNGMQQVSIMIKALMRFKLDEATQEQGGHRDITSEKADNEYVDSTEGEIYVDKNNEDEYANQVHIIKIIKPVRYVATIMNGMQQVSIKFKALRFIAFLRLLPCLAPPISVHSAYSVVGELICLLQTCAILETVHAAIGLVPTAPFLAFLQWGGRTHFALAVVRQIPEVQSSLSVFITFIAWSISEVIRYSHYALTTLKVCPAWLTYLRYTAFIPLYPIGVGPGEMWTIYQGLPFVKERNLYSGFFEKFSMSYHSFLVGVLVCYPFLWLKLYMHVFKQRKSKLGKVNRKKRA >Et_1B_009793.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27272099:27272633:-1 gene:Et_1B_009793 transcript:Et_1B_009793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYCNEGKHRMLVFEFMPGGSLRGFLFDNDDAPPWRWRAEAAVAVARGLEYLHDGCAAPIIHCDIKPDNILLDGRGVPRITDFGISKLLGSHQVHATVTNVRGTRGYIAPEWLRGDARVDTKADVYSFGVVLLEMVCCRRCQEPVAADGDQLDETVTLFGWAAQLVTAR >Et_5A_042008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5137023:5140161:1 gene:Et_5A_042008 transcript:Et_5A_042008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATSLLLRRQRNLQAPHLLLLRAAISSSRALPQQPALSPDATAPDPVGAAPLPPNPSTGSPFYGENWRNPAAANPPSSLLPAVVAGGQFAAHSHMALYSATLDAAGLKETFAKSMAEQKWEEMKQLFEVWVRSLDPATGKPNRPDVDLFNHYLRANLMSGASPHQMLDLADHMREFDIEPNTASHNLVLKSMVGAQEADGAEKLLERMLQTGTLPDDESYNLVVGLLTRQNCVDSALKYVDLMLKSGYTISFAVFTDYVRACLRSGRLDTLASVIEKCKATDKNKVLCPQWAMCLDISEAAFEANNSKLAHFSLEFLARWIARGETAKPPIQLSVNEGLVISALSAAGRTYSTDLLNAAWSLLRKSLRQKRAPTPEAYLAKIYAHSSIGQLQRAFGTLREFENAYGNSEDIDLELFSPFTSLHPLVVACCKSGFTTLDSVYAQLENLSRADPPYKSVAALNCVILGCANIWDLDRAYETFEAIKQKFELTPDIHSYNALLYAFGKKKKTDEACNVFQHILSVGVKPNATTYALLVDTHIVNRDPKAALAVIDQMVDAGFTPSKDTLKKVRRRCSRESDFDSDEKVQSLAKQFNYRMGGENRRELLYNLQYSPEF >Et_7A_052612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9812945:9815800:-1 gene:Et_7A_052612 transcript:Et_7A_052612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTCTTLVPLLSILLLIATSFTCTATDTISPGRPLVAGSKLVSSNGKFALGFFQRSSNKASSRNTAPRRYLGVWFNTVSKLTPAWVANRENPLPDGSSSELTFSGDGNLAIVNRANRSSVLWSSKANTTTNNTVAVLLNTGNLVLSDASNATVIFWESFDHTTDTFLPGAKMGWNKVTGWSRGLVSNKNVLDFAPGVYSGRPSYVAPDKGQWILVWNSSRTYWSSGEWTGNHFSNILEMQAGTLFIFDIVSNDHEKYFTYRLRNDTLITRYVLDVSGQAENMIWSTVSEDWIPFYTQPGDQCDVYAVCGPFTLCREGMLPFCSCMKGFSIKSPEDWELGDRIGGCTRNIPLHCHNNQNKNGLSDKFYAMDDVRLPDNASYIDVRSTDECAQACLSDCSCHAYSYHERCSVWNVELLNVAQKYNGSTSNGILYLRLAAEEFESPKHNRWTVIASVAAATLLILSLFAVGTMLIISRKGMHRSTMKQISNSGGIVAFRYKDLHHATKGFTERLGGGGFGAVFKGVLPDATVIAVKRLDGARQGEKEFRAEVRSIGMIQHINLVKLIGFCCEGSKRLLVYEYMPNRSLDAHLFRSDGTSLSWATRYKIALGVARGLAYLHEKCQQCIIHCDIKPQNILLDASLVPKIADFGMAKFVARDHSRALTTMRGTIGYLAPEWIAGVAISSKVDVYSFGMVLLDIIFGRKNLRGEYTSDMIYFPVQVVTQLTEGNVQCLVDQGIQDGINLEEVHRASRVACWCIQDDESSRPTMGQVVQILEGLLEVVKPPMPKSFKQFQDAEIVSTDRYYISLAAEPQTQRG >Et_5A_042517.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1819934:1820185:-1 gene:Et_5A_042517 transcript:Et_5A_042517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTRRGSPGPWISWSHPTARSVMYNNASIVGVLHARRSLCVLDVADIDHVMAINTRGALAGCDGVEPAPVVEGVLLAVRGGR >Et_9B_065232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2630377:2635308:-1 gene:Et_9B_065232 transcript:Et_9B_065232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AEVAGSGGSAEVAGDMGLGLGALLKSADLAGTDYDSIVSINIFIALLCGCIVIGHLLEGNKWVNESITALVMGLITGGVILLVTNGANSRILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIILFGALGTLISFVIITLGAMGLFEKLDVGPLDLGDYLAIGAIFSATDSVCTLQVLDQDETPLLYSLVFGEGVVNDATSVVLFNAIENLDIGHFDALVLLNFVGKFLYLFFSSTILGVAAGLLSAYIIKKLCFARHSTDREVAIMMLMAYLSYMLSVLLDLSGILTVFFCGIVMSHYTWHNVTESSRITTKHSFATLSFIAEIFLFLYVGMDALDIEKWRLASSSPKKPIALSAIILGLVMVGRAAFVFPLSFLSNLSKKEARPKISFRQQIIIWWAGVMRGAVSIALAYNKFTASGHTAVRVNAIMITSTVIVVLFSTMVFGLLTKPLLSLLIPPRPSLTMSSQLSSQSILDPLLGSLLGSDFDVGQTTPQYNLQYILTAPTRSVHRLWRKFDDRFMRPVFGGRGFVPFVPGSPVERSAPESHLGTVTEAENS >Et_2B_019892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14927567:14936064:-1 gene:Et_2B_019892 transcript:Et_2B_019892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRPLPLLPLVGLAVLLSVAATTVAKTDQSDVTALNVMFNSMNKPSKLSGWKEGGGDPCGDDDSWKGIECSGSSVTEINLSGLGLSGTLGYQLSSLKSVTKFDVSKNNLNGDIPYQLPPNVVQLNLYGNSFTGGVPYSISQMVDLETLNLGKNRLNGQLTDMFSQVPKLSTLDLSFNRFSGNLPQSFRYLINLKTLNLESNQFSGHIDVLAKLPLEDLNLQNNKFTGWIPSKLKDVDNLQIGGNSWSLGSAPPGMEKGSSAGGSSGGGNGSGITGFVIGGIVIAVLLAALILLSVLKRNKSSPVSSHYYTDESGHNRSSTPLIDDFKGHKESSMINMKSMEQSSSIGSRTPSAVPRKSISDNEFENKLNYSRRSSEPISLVTYVSSDLEAATGNFHSSRLLGQGTIGQVYKAKYADGQVLVVKKFDPLSFSGSSDFMDLVNGISKLHHANIAELVGYCSDPGRYMLVYDYHMNGSLYDFLHLSDDYSKPLTWDTRVRIALGTARALEYLHEVCSPPVIHKNIKASNVLLDADLNPQLSDCGFSYFYEDTNESLGPGYNPPECTRSSGYVLKSDVYCFGVVMLQLLTGRKPYDSSKPRMEQSLVNYVTPQLHDIDALGALADPALRGLYPPKALSRFADVLSRCVQSDPEFRPSMSEVVQSLLQCVQRATSNKRMGGLRSVSQRSDDSDWLSHEVWILEQLNNTEKHLRENRSREVTAFIYDLGQAQC >Et_7B_054676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3394887:3396533:1 gene:Et_7B_054676 transcript:Et_7B_054676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLTLCFNLLRNNIGITCPVRFLKQHVGISSAKWLDPRIYEKRHFTTGVQTLQTKCTGAPSWPKAESSSCVPGIQSLFLQQATEHEHPGTVLVFDIETTGFLHKDHRIIEFALRDLSGGKNCTFETLINPERDVPRSATAITRIDTDLVCRPEIPRFRDVLPILLAYVRSRQAPGKPVLWVAHNAKNFDVPFLVHEFRLCSADIPSDWFFIDREKRRLINLEALREHYGIHSEETAHRAMRDVTILSQVIQKITFDLKLTYQGLRNESIRAADCRKLSY >Et_1A_005481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10715644:10719355:-1 gene:Et_1A_005481 transcript:Et_1A_005481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLESNRRNRRTQLELNHVPCKANQALLFSSPTAPRDEFSRRLIDDASAFRDLSSPSTFRPAPGAKSDISLATAPRTLPPMVDPWALPSLTLTDSTALVTCLSLCDMRISRRTCSGSRSANRSIPPAPTTTPSCPVELDRGSACRPAARRFLARHWILVQSSSSSLSPISTTAGRRLRWQRRGYQRLEWQSRRRVEEAGAAERAGGVPLEPEADALRVERVRALGEQPEELAVAELAEAHGAVGGSAEHAAGVRAVPRERDGLDRRLVQPDGPDVPRVVHDFSSFTLLEAGGGRSSNRLGHGCFKKRLPPPPPLPPVADAEEYEEADGQGCEHRAGDDESGDEGGAAVGARRGGRGR >Et_6B_048962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15973098:15991340:-1 gene:Et_6B_048962 transcript:Et_6B_048962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQGEPELFSVYDIKKGCATVALPEDVLWGPGTVRCLSCARRPAQVMPEMPRRRRLPSTWSDIPLELADLVLGRLRAHADPIRFVFDPCRTWIEHEDARGLTLHKAVFCSPHLVAAFVSVNHNQNGKIAVCRPGATSWCSGKESSMPMIILTAFVPLTSESANPQAILGFLRSDRSSAISMHEMPGDRIIFMENDDEEDRSRYDEESSSSCSVYNMTDGNVSTLLPTVTWKRGLRATLVAMPSPLWSEMRPELIVMVLSCLPTYVDRVRFAAVCWKWRHAAQTAKLPPSFPLLMIPNGTPFRISSCSGYTGVCGKWLVFSSEDGDFLRDPLSNITVKLPSTSRVRARQVNDNDLMDGMSLPKMLIIKLIFGSSSLIVAFVAFGRSLRIAVCKPGAASWWSVYMGNMFQDMAFHQGTLYALGIRDKGLFSIDIKVDRDTGDPWVSQIQHVINGTPTCPVRYGDNPLSVLRMKEYLVESCGKLLMVRKTVMSLESIATATEHGNAKVEAFEAIFEQSQWNKLTSIGKDQVLFLCESYCRSVCISQYNMLGDQIVFFENNSESCFKFANQRQSCCTPMADSASYPRQLSWSDIPLELAGLVLRRLPAKVDRVRFAAVCPQWRSAAQQVPLPPPLPLVALLDGTMYSLPSSEKLHFPDCAGYIGACGNWLVFSSEEGCFLRNPFSNATMTLPALSRARIRYVGDAFGQAWRESLLATDLTVSKVMYCSPHLIATFVRIQQSYWIAVCQPGANSWWSVHVDDRLPQFVDMTLHQGKLYALANDNGNLFSVDISIDQSTGDPRVSRVRRAINGVSLPGFTGAGDYVMLKMLYLAELHGRLLMVHRGIFGWLPIRTPGAFVTTGQNNFDVYEADFSRSEWTRVPTIGDDHVLFLRRRCFSSVCVSQYEMPGDRIFFFDNDDEDRWWYDEESPSCCKVYNMRDGKVSNPLPTVSWKRGLVPPPSPWRPWSNLPLELAYLVLRRLPAHVDRIRFTAVCSHWRAAAGQGPLPPPPPLLALPDGTVYSLPLDEPFRFPRYAGYTDACANWLVFSGDGDNNSSIVLKDPFSDATVTLPPPSRFRYGPRRVKPKDAKRLSSTNKLKLCSPQLVVAIVKFRGSTRVAVCRPGSYWWSVSMPVDDDTMPLFADIAFHDGKLYALDHNEGLLYSVDFDTDRNTGCPWISRVRRVIDSRVRVTAMEGYVYTGRNTVIQTMYLVESRGDLLMVLRGMFGVLKSQTSWGELGVVDVDGRNEFQVFRADFARSRWTRVKTLGDDQVLFLRRRCCRSVRLSPEQMPGDSIVFMENDDEVRDCYDNESSSSCSVYSMKHASVSTLLPAVWKHGTWRRVASLYNKAVPINPRPPPPPSPWSDVPVELAGLVLGRLPSLLDRARFAAVCRQWRAAAREAPPPTPRWWSMGVSYPMRRPHDPPWSIYDQTKHSGNYGHGDGLPG >Et_5A_040805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12793702:12798031:-1 gene:Et_5A_040805 transcript:Et_5A_040805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEGSEASVASSPPPPPSATSSSSTTSSWWRDMQPAAYGAWPPPPATAVATRWPPLTQRTSSSGADDDLSASNATMTSFTNTSGGNSMEGTGGEVGRSMQAVHDAHDDSENFLELLNSRTLAPELFAEPPACDYLKKMEYGGGHGHGGAGAGGWPDHHHHFTAAAALEKHLSSSGGGYGAGALAHAPERLTANLSDLVSNWSLAPPSPSCLGGDGAAAGHHHHHGGSKAGGGFFMAHEASAAAAAGQEFLRPVGYSSMLGLGGHRMYGAGGAMVDVPWGNNAGAARSLTDLISFGGAPLGKPEPAAAPAAKSTPAEYKKQAQEISSPAKTSSGGGSRGSSGASEGKKKRSEEQQGSEGNAKKSKSDSSSPTSSLKSSSQLPKVKLGDKITALQQIVSPFGKTDTASVLYEAINYIKWLHEQVQLLSDPYMKTSSSKDYNAWGGLDRKEKTEAEVDLRSRGLCLVPVSCTPQAYRDNNGPDYWTPPYRSCLYR >Et_4B_036399.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21691087:21691155:-1 gene:Et_4B_036399 transcript:Et_4B_036399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMETLLEATRRSTPIMCFLLT >Et_4A_032992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16626482:16633239:1 gene:Et_4A_032992 transcript:Et_4A_032992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAHRSGGGAAAGETAQRVFHFGKGWSDGNKAMKDLLGGKGANLAEMATIGLSVPPGFTVSTEACRQYQQLGGGGAMPAGLWDEILGALRWVEGAMAAALGDPRRPLLLSVRSGAAVSMPGMMDTVLNLGLNDDVVAGLADRSGRRFAYDSYRRFLDMFGNVVMGIPHGLFEEKLEAMKCAKGVRNDTQLSVTDLEELVSQYKNVYVMAKGEQFPSDPKKQLHLAVLAVFDSWDSARAKKYRNINQITGLKGTAVNVQCMVFGNMGDTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPQNLDAMKECMPEPYAELVENCTILERHYHEMMDIEFTVQENKLWMLQCRTGKRTGQGAVKIAVDMVNEGLIDHRSAIKMVEPRHLDQLLHPQFESSSSYKEKVIATGLPASPGAAVGQIVFTADAAETWHAEGKKVILVRTETSAEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVAGCSSIHVNDIEKTVVIGDRVLCEGDWLSLNGATGEVILGKLPLSPPALSGDLGTFMSWVDEVKQLKVMVNADTPADALTGRNNGAQGIGLCRTEHMFFSSDERIKAMRQMIMADTAKLRQKALDVLLPYQRIDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNIEDIVHMLSSGMKSTCEEILARIEKLSEVNPMLGFRVCRLGISYPELTAMQARAIFEAAIAMNDQGFQVFPEIMVPLVGTPQELEQQVRVIRQVAEKVFANAETTISYKIGSMIEVPRAALIADEIAELAEFFSFGTNDLTQMTFGYSRDDVGKFLPTYLSQGILQHDPFEVFDQKGVGELVKIATERGRRARPDLEVGICGEHGGEPSSVAFFAKAGLDYVSCSPFRVPIARLAAAQAAL >Et_6A_046998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2403310:2416423:1 gene:Et_6A_046998 transcript:Et_6A_046998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAENEAMHEPWAQESLGQLGPTLCECAAHVEAGSTEKAARCLARATGLAAAAAGKPLHRLAVVMADCLARLILRPIPATSDALIDPSECIDRRCVRAARRGLFELSPFPKVAYAVSNRAIIEAMENEKNVHVIDFAGPAAQPRQWIELLHEFHRRPEGPPHLRLTVVHDDKEFLAKTSKLLADEADELDMAFQFHYVVGQLETLDFNDLHGTLQLKSGEARAIICTQQLHRLLATDHDASGSFGASRHFNEQVASVARLQQIAASSSSSSWPPSCDEDEVYRSPATPLSFVSPPASTPLFQTPPALASFLSAARAQASPKILVVTEQDASHNGVSFRKRFADALHYYAAAYGCLDAAAASAAHRRRPTAAAELALGEEVRDWAARMEAAGFRGVPLSYAAIRRGNDVLRRCGVRGCENREHGGCLLMCWSSYPLFSVSAWRPNGGEASGGSEEYLSASSQMVTPAHSFDHYVNIPSIPQYQRVMQKSFVQDHATVDRLAQLGPVLYECAVHVTEGNFEKTDNSLRQIKGLASIVDGSLQRLSSTIAYSLARRILCPIQGFDGALIHPSDYFEQSSIQTARENFANLNPYISTGFVTINQAILEALEEEKVVRIVDLSCSVSHPWQWLKLLHDFHQRPGGAPEVRFTVVHDDSDYLAKMQKLLSKEAEALKIPFQFTCVLGRLETLDFSNLRNTLQIKFGEAVAISCALEMHRLLVVDDNVTCAGIAQLQRMTNIAQLKQMASSVYSPASTLYYPQTPSPQTPKLLASFLNAVRALKPNIMLVMEQDANHNALLFCDRFMEVLNYYAALFDSLHAMALASRQKADERARVERMILGEEIKNILVYEGVRRHERHERLIQWATYMGGYGFNHVPLSFSAIRKCNEQLMSFGLKECQNKQDTGCLLLCWGSTQVYSISAWRPHNELSSGSREHMLDFIVREECENMLQDDAVSSATSSLYSPSAHGYGGSWVQELSQDQQSVRLVGLLYQCAAEVAAGAFDRANLYLEQITQLASLDAPHTLQRLAAVFADALARKLLNLVPGLSRALHMSSSSSSASEHLRRQLFDMVPFMKLAYLTTNHAILEAMEGERFVHVVDLSGPCANPVQWIALFHAFRGRRGGPPHLRVTAVHESREFLGNMAGVLAREAEAFDIPFQFSGVEARLEEMEADALRHSLRVRSGEALAVSVVAQLHRLLAADDARGRGGGSSCLTPLQIIAARSSSPRSFGELLDRELNTRLQLSPSDSSSSVVLSSSSPQSSSPGLAQQPQHRAAAKLGSFLSAVKALSPAIMVMTEPEANHNAASFQERFDEALNYYASLFDCLERSSSSSSGHHHHHHHHHRAAVERLVLGEEIRGVVAREGAERKERHERLAQWARRMEAAGMERVGLSYGGMNEARKLLQSCGWGGSYEVVHDARGQGFFFCWHRKPLYSISAWRPAACRPSS >Et_7A_051827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25300366:25303907:1 gene:Et_7A_051827 transcript:Et_7A_051827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKSFCLTSALEQMGSFKRHSSIERNNLHDWIDPLRLKPAQQKTEGKEATQPTHPTQARASAAEKGNTMSSAAGGEGEMVRASHILIKHEGSRRKASWKDPDGRIISATTRADAAARLLDLRNQILSGQAAFADLAARHSDCSSAKRGGDLGTFGRRQMQKPFEDATFALKVGELSDIVDTESGVHIILRTA >Et_1B_010838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14640579:14653050:-1 gene:Et_1B_010838 transcript:Et_1B_010838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEGELGGEYTKDGSVDLQGNPVLRSKRGGWTACTFIVVYELFERMAYYGVASNLVMYLTTKLHQGTVDAANNVTNWSGTVFLTPLIGAFVADAYLGRYWTCIFLLYGNATADAGGLRAGAEAAALRRRRRLPARVVAAAGRVLRRPVHDRAGHGGTKPNISTIGADQFDDFHPAERQRKLSFFNWWMFSVFLGILFSTTVLVYLQDNVGWAVGYAVPALALAAAAAVFLAGTPRYRHKPLPPKGGSPLAMMGKVLAAAAWKWRVAVPEDPRELHELEPERYASSGRRRFRRVAATTKSTGSSSMRFLDKAAVRSAAPAWALCTVTQVEETKQIARLLPLLFTLFVPCTLMAQVGTLFVKQGATLDRRIVSSSSFRVPPASLGAFVTLAMLVCVAVYDRAVVPALRRRTKNPRGVTLLQRIGAGLLLQVATMATTAVVENRRLSFAKTHHHAAGQEEALPLTIFVLLPQFVLMGAADALLVPGKIEFFYDQSPDSMKSLGTAISLTAYAAGSFLSSAILSLVERATPWVGNDLNASRLDRYYAFLAALAAANLAVFVALSCRYTYRAEAIIDDVDGADVARVHSEPQAPMAPLEAAAAVEDEYTRDGTVDLHGNPVLRSKRGGWKACSFVVVYEVFERMAYYGISSNLVLYLTTKLHQGTVPSANNVTNWVGTIWMTPILGAYIADAHLGRYRTFMIASVIYFLGMSLLTLSVSLPALRPPKCGAGTSDPDCLQKASSEQLGVFFLALYILAVGTGGTKPNISTIGADQFDDTHPRERRHKLSFFNWWMFSIFFGTLFANTVLVYIQDNVGWTVGYALPTLGLAVSIAIFTAGTPFYRHKPTSESPFAKMARVIVAAARKCAVAMPADPARELHELDAEHYAKKNAAMLPHTPNLRVLSKAAVKTAGDSDSNASPWALSTVTQVEETKRMLKMLPVLAITFVPSAMMAQINTLFVKQGTTLDRHVGGHFEIPPASLQGFVTISMLVAVVLYDRAFMPFARRVTGNPRGISMLQRMGVGLVIHIVIMGIASVTERHRLAVAREHGLFDSKGTTIPLTIFVLLPQFVLMGVADAFLEVAKIEFFYDQAPEGMKSLGTSYAMTSLGVGNFLSSALLSTVEHITRRHGREGWILNNLNASRLDKYYAFFALLNCANLVVFFFVSRIYVYNAELSRVVDGESRDVVAKGEQKREVAMQPPAAVAAVDNTIL >Et_5B_044759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5544286:5554256:1 gene:Et_5B_044759 transcript:Et_5B_044759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASFASSQVTHSFSSAMALMITTRPLLLLTLFLFLAQLMHSVHVPLPEIKSNPEVQAPVSPYIVHADPLAKPNHFATHDLWYTSMVASDSGRVFHVYDTVMHGFAAELTDDQARRLASTPGVAGVYKADKVVPLHTTRSPGFLGLDTDFGVWPETDFGDGVVIGFVDSGIWAESASFNDSGLGPVRPSWKGKCVDADGFHAAASCNNKLVGARSFLDSSTQARRLNDDTNLQSPRDGDGHGTHVASTAAGAEVRDADLFSFARGTARGVAPRARVAMYKACSHGGCDLPGIVAAVDAAVKDGVDVLSVSLGGTEQDFYDNPMSIALFGAVRAGVFVACSAGNGGPAESSLSNVAPWIATVGAASVDRVFPVSVTLGDGQVLTGQSLYPEKANKTEMVELVFSSCGDDDLVPDRVMGKIVVCAHLFASNGFAVQGAGASGLISLEMADWRMDGIDAQAFTLPSVSLSSVDSGKLLAYMYSSPHPVASFCFTCRTVTGENRAPVVASFSSRGPNHVVPEILKPDVIAPGTAILAAWPDELPLTHGSQFADPRRSKFNIISGTSMACPHVAGVAALLRHKNRDWTPAMIRSAIMTTATMLDSHGRAITDNGLDGGLSATPFSAGAGLVRPQLALDPGLVYDATEQDYVDFLCTLNYTTAQIRLFVPGFAGCTSTLPGGVAGLNYPSFVVAFGNGTDVRVLERTVIKAPEKLVAVTVTPGKLEFGKKNEKKSYKVVFTSRSSSAKNRTRFGNIVWENDVHQVRSPSRELRRRRDASLAPNPPASAEFLITRCGLTPAQALKSSKLLAHVKSPSKPEAVLAFLADTGVAGADVAAAIARWPPLLCAKVDKTLKPRIDMLRDIGFSISQISRLTATAPTMFRSAAAISRLAFYLSFLGSFENLYSVLRSRYCGHLLGQDVERVVKPNLAFLQQCGLTNCDIVKLLLYAPILLLEQDRAKEIVACADKLGVPRHSAMFKHALWTVHVVGPGRVDAKLDFLKNALGCSETELSLAVCRFPRTLALNEVNITQTVEFLKMEVGLEAEYIAHRPALLSYSTKKRLRPRHYVLKVLKAKGLVKDTEFYNFVSLSEKKFAKRFLDCYDDSVPGLTSAYAAACDGKCILRLEVTRSFFSHGAHDHHPPLAPPDIVSPPRPARAPSSCSRDNKNNTEVKPPLPTTYIVHADPMAKPSHFATHGHWYTSMVASDTNTKSGRVFYVYDTVMHGFAAELTDDQARRLASTPGVAGVYRDRVVPLHTTRSPGFLGLDTEFGIWPETNFGDGVIIGLVDTGIWPESASFNDSGLGPVRKSWKGRCVDGERFNASMCNKKLVGARFFLEDMPMKAGEQKEFQSPRDSYGHGTHVASTAAGSEVHDANLFKFAHGTARGVAPRARIAMYKACRQHGCSGSGIVAAIDAAVKDGVDILSLSIGDDATEIYADPMSIALFGAVRAGVFVACAAGNTGPRERKVSNVAPWIMTVGAASVDRVFPVTVTLGDGQVLTGQSLYTEMASKTEMLHLLPSRCGDDDLVPDRIMGKVVVCRILGVSQGLAVQRGGGSGLISLDTRGWHMDGLEVQAFTLPAVSLGYTEAKKLTAYIHTAPYPVASFCFTCRTVISEGRAPAVAFFSARGPNQFVREILKPDVIAPGLNILAAWPDENPLILGDTGTSAFNMISGTSMACPHVAGVAALLRHKHRDWTPAMIRSAIMTTATTHDSHGRAITDSAGKSGGASATPFVAGAGLIRPQLALDPGLVYDATEQDYVDFLCTLKYTTAQIRLFVPGFTGCTSTLHGGVGGLNYPSFVVAFRKGTHVRVLERTVTKVSEGPETYTVRVKAPNRLVAVTVTPRTLEFGRKNEKKTYKVEFRSRSSSAAGARGFGNIVWESDVHQVRSPVVFMWG >Et_1B_012805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35211354:35212921:1 gene:Et_1B_012805 transcript:Et_1B_012805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGHRFPPVSDYDASARARRTAAADLDGTLLVSSSAFPYYFLVALEAGSCLRALALLLAAPVILLLYVALSEAAAIGILVFITFAGLRVRDVEAVARGVLPRHYAAGVRADTWAVFRGCAERRVVITASPAVMVGEFVREFLGAEVAGTELETFAGGKRFTGRIDHVLVGERKREVVEKLFAGGDMPDVGLGDRESDHDFMAICKEAYMVPPDKRAPRAAADALLSRAIFHDGRLVRRPDPAHALFALAYLPVGFLLALFRVFFNLPMPARLVRRTYRLTGIQLTVRGTPPRPGSPGSLFVCNHRTALDPIVISIALGRPVTCVTYSVSRLSTAIAPIRAVALTRDRAADAARMAALLEEGDVVVCPEGTTCREPFLLRFSALFAELTDRIVPVAVEARQGTYYGSTARGCKWLDPYFFYMNPRPGYDVTFLPPLRPEDTCGAGGKTAVDVANHVQRVIAKELGFQCTTLTRKDKYMKLAGNDGTTTKKVL >Et_3B_031611.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6022594:6022938:1 gene:Et_3B_031611 transcript:Et_3B_031611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGREVEAGAGAPKLRQVATTACFEAGLLGLLALSTAITLAASVPPPPGLDTNAYFVALSGVFFAGVTQVTASVWAADDGGRRFAAARKLVRASLVMPVVVAVGISVAWLLQ >Et_8A_057802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7430771:7437934:-1 gene:Et_8A_057802 transcript:Et_8A_057802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVVKGLVSGLAKPTLDSVSYWIELLQGKHTATEEMKKESALLEAAIWDTYNCKRSSHLLRAARDQAEDLHIEMEVILDNARRLSRYDHPGSPLSCFLKYGHNIWHTPSLWKTANKMVKLRSQINRSLNIMTVFVNIDENTSGTARLQNRHVTPALPGADFVGMEEQLSSLYKYLMTGKSQIQVAIVVGMHGAGKTTLVRHVYEQSTVRGQFNCHAWIPIDASLERKDLLKSIIRRLYNEANLPTPNGIDQYDDDMLGSKIRNFLEGEQRRYVIVLDHISTRVQLKDILDLAFPDESSKNFGRIIVTTSNLDVVKSCKSPCIVDVKQLSDAKVWELFCKKVSTDDFHPELKVGNNLRERITGLCAGLPLAVDLLVGLLYPTQPHQWSSIIDQFQENGYKEILERSINDLSDMSETNIKKCLMYFSIFPKDSTVTHNTLVRLWTAEGFIRVSRGKTLEEIATNYLNTLIERHIVQVAEHYEYGRPKSYKLNGLMHDEIRKQAEEDNFCTTLVASLSSLPERIRRLSVQVTVKQSPKNEHLPNVISLFISSRNSNIPKLLSRARSLKILSLTDESIQVFPKEISKLTHLRYLNLGNTKISKIPASVGNLINLQTIILKGTLVSELPKAILKARQLQHLLAYRYDVEKKADRQPDIIYGVKVSKGIGDLKELKTLSIIEANKDNSTVKELHKLTKLKRLGIVKLNGDDGPDLCKAVSEMAQLSSISLTSSDNEPINLTNLLTVPPNLQRVYLRGRLNARDNFFPYLQTLVRLRLVRSSLTNNVFNELQHLPKLAELALIQALDAEELNCQHDGFPNLKILDLDQLNNLVQMKVSGSLKSLSKLIIRNCSRLTSIPQGIDHLRELKELHLFDMPETFIKKLLKGNENYEHVRHINVICCYKEGFPQVTEPWDAGSTSQNRSGYRISYSSMQERAVDVHPRFEPLLEELIRQRSPG >Et_10B_003204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17677438:17678909:1 gene:Et_10B_003204 transcript:Et_10B_003204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPRKPTPNTYHQQDISSADFPRISKKHKRTKAAVMIYTTWHIWNERNRRVFTNNSLTPVEVTKIIKDDMALREH >Et_1B_012935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4174640:4180206:-1 gene:Et_1B_012935 transcript:Et_1B_012935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSASLPPPPPPPPLGSLQSALDQCGAREPLSLAFDWGVPSSAAAGGGREEVERLREAGEAVRAAAAEMWAFARKDPRKPVFAAKVAAALAFTTLLVFLREPRDIASHSIWAILTVVVVFEFSIGATLSKGMNRGLGTVTAGGLALAVAELAAHVGKYNMLVIITSTFVVAFGATLTKLHPKMKPYEYGLRVFLITFCIITISGYKAGKFTSMAISRFVLIAIGAAISLSVNIGLYPIWAGEDLHKLVAKNFSGVAKSLEGCVDGYLRCMEYERVPSKILTYQASDDDPLYSGCRAAVEASAQEETLLGFAIWEPPHGPYKMMKYPWRSYTKVSGALRHCSFAVMALHGCILSEIQAPPESRKVFSAELHRVGQEGAKVLREIGHKVKTMTKLSSSNILAEVHLATEELQKMIDERSYLLINRERWEVPRRDDGTEQTPDGAGAADKENKHELPEHTVLNLEAAHESNSLASNPFLGRFKSGSMANGLFKPKSSWPARHSFHPSLPFEVVQSRTYASASALSLATFASLLIEFVARLQNLVDAFEELSEKANFKDPVEEPSAVRREPCGVSVRIRKLLRLSNPSGKTLPLIGSKAVEFVAAFLTSILFLAFRPPPSSTLLAASLTSPNSRSERPCRAFGYSGSRNDLVSFLCASSCSIPRNCTATSE >Et_8A_057004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19996662:20014977:1 gene:Et_8A_057004 transcript:Et_8A_057004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGPAPLLRCPGSSSPSPFCFHRLRRRSSPSPVLSGCRRILYRPCDLCFLTLEMLLVNRQDYSHSSEMGVRESSNFKVGLYANFHVQNIAQEWVEESKRLHFLRTINNVTKSICNGTTPLRAGNTHHEPVDDHRDLNDQSLHNMRDHVSSLSIVNRCNSTELVRHAMVNQPAQSVSAAMGVVNNRVKQFNMPATGVALGPDDKAYHGDKVVAKRVVLSLPTTETFSKESANARKELESIYNKVMIVDNIESARNVANINVKEETPVGHGEVICFSIYSENSNAEAADFGDQKTCIWVDVLDGGRDVLMEFAPFFEDPSIKKVWHNYSFDSHVIENHGIKVAGFHADTMHLARLWDSSRRSDGGYSLEGLTNDHRVMNGVPADFYNAGKTSMKTIFGRKKVRKDGSEGTIVSVESVEKLQREDRRLWICYSSLDSIATLRLYESVKSKLEAKKWVFDGCLRGTMYDFYEEYWRPFGDLLVKMETEGMLVDRAFLSEIEKTAVAELKLAADKFRKWAAKYCPDAKYMNVNSDTQIRQLLFGGIENRNKSGETWPQSKTFKVPHEEYEATEEKKTSKCRTIELYSIVEDLKIDMFTQSGWPSVGGDALRSLAGKIPTEHIYSIDDNKEDDEGGDGYEDPEQDVRDDFPYGTAYEAFGGGKQGKEACHAIAALCEICSIKSLISNFILPLQGDHIACTEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLTDCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVEEERVLLEWHAQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAVGLSRDWKVSVKEARDTVKLWYRDRKEVLAWQKRQKELAHEKCEVYTLLGRSRRFPKPAHVRSSQRGHIERAAINAPVQGSAADVAMCAMLEIERNTRLKELGWRFLLQVHDEVILEGPEESADTAKAIVVECMSKPFYGTNFLKVDLAVDAKCAKILLADDHPSATGMDMGADDNAHHDDKLLTKRVASSLPSTEAFSKESANARKELESIYNKFMIVDSIESARNVVQLLTTKYKNFIHACDTQVANIKVKEETPVGYGEVICFSIYSENSNAEAADFGNQKTCIWVDVLDGGRDVLMEFAPFFKDPSIKKVWHNYSFDSHVIENHGIKVAGFHADTMHLARLWDSSRRSDGGYSLEGLTNDHRVKNGVPADFYNAGKTSMKTIFGRKKVRKDGSEGTIVSVESVEKLQREDRRLWICYSSLDSIATLRLYESVKSKLEAKKWVFDGCLRGTMYDFYEEYWRPFGDLLVKMETEGMLVDRAFLSEIEKTAVAELKLAADKFRKWAAKYCPDAKYMNVNSDTQIRQLLFGGIDNRNKSGETWPQSKTFKVPNEEYEAMEEKKTSKCCTIKLYSIVEDLKINMFTPSGWPSVNGDALKSLAGKIPTEHIYSIDDNKEDDEGGGGYKDPEQDVHDDFSYGTSYEAFGGGKKGKDACRPIAALSYFMYRGRIHCSLNINTETRRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLTDCKSMLKAFKAGGDFHSRTAMNMYQHIRDAVEDERVLLEWHPQQGQEKPPVPLLKDAFGAERIKAKMLNFSIAFGKTAVGLSRDWKVSVKEARETLKLWYSDRKEVLAWQKRQKELAHEKCEVYTLLGRSRRFPNPAHVRSSQRGQIERAAINAPVQGSAADVAMCAMLEIERNTRLKELGWRLLLQVHDEVILEGPEESADTAKAIVVECMSKPFYGTNFLKVDLAVDAKCAKSWCAAK >Et_5B_043389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:85427:96327:-1 gene:Et_5B_043389 transcript:Et_5B_043389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACQQPSVDGPSLRDARLLGNGAVGEAYRLRGEDDFRNNEPDGLLSKPTSGAQQDELENQQQQVCWERFLQKKTIKVLLVESDDSTRQVVSALLRHCMYEVIPAENGQQAWTYLEDMQNNIDVVLAEVFMPGVSGISLLSKIMSHNICKNIPVIMMSSNDAMNTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKGAKLKSGDESDNNSGSNDDDDDDASMGLNARDGSDNGSGTQTQSSWTKRAVEIDSPQAMSPDQLADPPDSTCAQVIHPKSEICSNRWLPGTTNRNCKKQKETNDNFKGKDLEIGGHRNVNTDHQSFPNERPSSPSDRCREFAPQHNSKEKTMENLEPIVRAADLIGSMAKNMDAQQAARAADAPNCSTEVPEGKDKNCDNVLPSLELSLKRFRSSGDGVNPIQDEQRNVLIRSDPSAFTRYHTSAASNQGGTGLVGSCSPHDNSSEAMKTDSTYNMKSNSDAAQIKQGSNGSSNNNDMGSSTKNVVTKPTTNKERVMLPSAIKANAHTSAFHPVQHWTLPANATGKAKANETVNNAAKNAHPGEVQSNLMQHSRPILQCVRSCENGVSGSPQCGSSNVFDPPLEGQAANYGVNGSNTGSNNGTNGQNGSTAAANWEQTNTDLANGSIDKSGPGVGNGSGSGNEAYAKWITPARETTLREKILSKYKLKRKERNFGRKVRYPSRKDLADQRPRVRGQFVKQAVQDQGGREGCMDR >Et_3B_028105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10277892:10279514:1 gene:Et_3B_028105 transcript:Et_3B_028105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGDGGGGGMAARGGGGGVVGSAAMLGLDMHLAPQQMHPVAFQPDHHHHVAGGGGGGFQLQQAAPVRHQPPSSFSPYSNSSSRVVTASHDEEMAGNGKGGGAVQQQQQQAGCPWTRMKWTDAMVRLLIRVVYSVGDDGEGVAAGGGGGGGKPKAGGSSSAHAHAQQQQQQKKGKWKSVSRAMMDNGFMVSPQQCEDKFNDLNKRYKRVVDLLGRGRACRVVENHALLDAIDELTPKAKDEARKLLSSKHLFFREMCAYHNSGVAHGAPHALAAHAAAGGAGGGDASAAGAACLHHPPPQPMAAAAAAAGEDFEDDDRGRAAGEKVQQLQSELASATAAAADPSQARSWVRRRAVEVEREQVDLEWHAQQLETQRLRWERFRGNKEREMQRQRLRNDRAILDGQRMLLMLRQRDLELDIAEANSSSVEHHHPAQIAAGHHQHQQMGSSPSTAGHPN >Et_1B_011820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26308154:26315907:1 gene:Et_1B_011820 transcript:Et_1B_011820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGGGASPRPAPLATLLARAPSSATVAMPPARLAHLRRLLFPLRSASPHPSAPTSGRPLPLLRPPLPLLSRAMAGAAHAGVAATGSAEYEEVLGCLASLITQKVRADTGNRGNQWQLMDKYVQILELEEPIAQLKVVHVAGTKGKGSTCAFAESILRACGFRTGLFTSPHLMDVRERFRLDGLDISEEKFIRYFWWCWNRLKDKTGDDIPMPPYFRFLALLAFKIFSDEQVDVAVLEVGLGGKYDATNVVRAPVVCGISSLGYDHMEILGNTLGEIAGEKAGILKKGVPAYTVPQPEEAMSALMHRASELGVSLEVVQPLDPQKLEDQPLGLHGEHQYMNAGLAVALANTWLERQGHLDRVQAKHSGTLPDQFIKGLSTVCLQGRAQIVPDPQVKSENDKDSNSNLVFCLDGAHSPESMEICARWFAHVTNTEGDQPDSSGQPHTGRNVRKILLFNCMSVRDPQRLLPCLLDTCAQNGLHFEQALFVPNQSQYNKLGSLASPPSEREKIDLSWQLSLQRLWESLPHSNQGLNDANSSRTSSVFESLPLAIKWLRETAQQNRPTQFQHYYILSCALECRMHGPLNSNYSLMDLQHSWPAQRQTMISPMVELEVDESLREHKNISFFENLADQPAARNRSDEANVE >Et_6A_047662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9190004:9198746:-1 gene:Et_6A_047662 transcript:Et_6A_047662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRAATECPKKVAGLVDLVNLPTALREFAGGQSQMSHLTFFRRVWSYIKDNNLQDPTNKNIVKCDDKLKTVLLGRSKVELSELPMIVKLHFPKVYILSLFCRFHKFKPDKVVNGISERYVLVLLETLKSQIVKQKTHIALEDLEPAPSNSSSISEMSYMASLRRFSHNRTVASWMDRLSASWSTASTDSSAIFIFLRSLSKSSCSLIWPPMAAQICWLTRLRREETSIASSFGMTADSISAL >Et_10B_002942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14167385:14170580:-1 gene:Et_10B_002942 transcript:Et_10B_002942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDVILMFLKVLLGTDGTCCLLSNISDVIVQLSSNEVSFRQERLYVNKLNIILVQVLKHEWPARWSSFIPDLVAAAKMSFSSFMSYVCVSYLQHKDLSSSVLLWSHFIPSCHGSLLDSSLSRLCYVLETLPKFFPVAAYHNLTLQCLTEVNRNIVPHVPVALIFHDFNRGRWKMNFYPLFSVLRVAALQFCDFYNMQYVKMYTIFMMQLQTILPAESIPDAYANGSSEEQAFIQNLALFFTSFLKNHMHILEITPENRAALLLGLEYLIGISYVDGTEVFKVCLDYWNVFVLELFEAHNQICEHDGTSGAFIDIFDVMLFFALRLRFDTLLCYAQMAPGIVDRTGAAVQQKRQLYPGSLSKLRMLMICQMAKPEEVFLLKMKMAILYERMKDNDVLVQYKVSNEYIIILASSLSAFSSSFNSCVGLTDMNCIP >Et_7A_050764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10825625:10830582:-1 gene:Et_7A_050764 transcript:Et_7A_050764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGVHRAEFTIITEMDVNTPDEMVIYGRRFMALFDSYPRAFGGLTDLVLYNLRLGESDIRNVLGTCKKLEYLGLHNIDARIRSVVQIEHSQLAELAIIECMFERVELKWLPQLTHLTCRTWLISHDPCPLSFGHVPQLGALILSNMVTKFNHNVKLSELLRNVVISELDLDFQCKRIWIQPEGPKRLARLLKNLQMVKFGLVHQERDLAWTGFFLEAAPLLKEIYIHVRSKNFEHSGHLCCFLNSNVCLSTRWTHLLGFRCDIALDVSEFESKDDSSECALDQLVKATESVLGRKSQHTIGYLGIRFYLRDESIGIVRAVDNAMANREILEAEFTIIAERLDTYCTDDDYVITNGRRFMTFFDAYPRAFAGLTDLRVQSLRLGKSDIRNVLNTCKKLEYLCLYNCDAGIRSVLQVEHSELAALSITSCDFERVELNWLPRLTEFTCRNWLPSENPMSFGYVPKLWALILSNAGMTLHPTFKLSEFLGNAILGELDFDFQSERVWDHRCDSDEEDELSRELYQKTNDHLNLEWEAPHGGFKHYNLRKLIVAGFQVEDKFTVYLRRVVEVAVNLEVVSLLQSHKCPGCSFRPSTTYPRTAQERASIAKQILDWRRSSPIIIEMDF >Et_1B_010765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13854936:13858426:-1 gene:Et_1B_010765 transcript:Et_1B_010765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIELEPEPEEVTAEKAATAAGREAARPSASVPEEQAAAAGGREAAPEQVAATSSGEAPRVEDEEEAFEDALTDEQLREVESRAPHMTISCPHSECQLSIAVPNSPNLGNERFGSRTWRNRLNNKLERFDTVLGQQKRPKARSQANDAKAEGNKLFAAGQYDEALAQYEMALQIAAELESAEDICSACHSNRAVCFLKLGKYDDTIKECTKALELNPTYLKAWLRRAEAHEKLEHYDEAIADMKKILELDPSNQQAKRSLFRLEPLAAEKREKMKEEMIGKLKELGNSVLGRFGMSVDNFKAVKDPNTGSYSISFQK >Et_1A_008882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17280313:17284313:1 gene:Et_1A_008882 transcript:Et_1A_008882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFRFAGWEREREADERQSSTTAPATRSLSARSNSSTTSTDRDVRRSGSEFSLNASELSSVGSFGRCRQLSQRPANALRVFTFQELRSATRGFSRALMLGEGGFGCVYRGAVRAAPAGGDAARGAGRGCVDVAIKQLGRKGLQGHKEWMTEVNVLGVVDHPNLVKLIGYCAEDDERGMQLLLVYEFMPNGSLADHLSSRSRRPASWAMRLRVALDTARGLKYLHEESEVKIIFRDLKPSNILLDENWNAKLSDFGLARLGPQEGSHVSTAVVGTIGYAAPEYIHTGRLSTKNDIWSYGVVLYELLTGRRPLDRNRPRGEQNLVDWVKPYSSDAKKLETIIDPRLEGNYSIKSAAKLASVANKCLVRHARYRPKMSEVLEMVQKIVESSELGTPEHPLISNSKELLSDEKKRKGINLKRRISDVKNREGRWFAWQRWTPKLVQQGINFSRAWMSLVLGNEPAKSFPHVNLTKSRDLLYTPILHHLSRFLYLLPPSSNSIDIGQANVPAVMVRL >Et_3B_028817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19461885:19466035:-1 gene:Et_3B_028817 transcript:Et_3B_028817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAFDPEAGANGAGHAAKPAPGIAAEPDAGAAFVLESKGTWWHAGFHLTTAIVGPTVLTLPYALRGMGWALGLTALAVMAAVTFYEYSLMSRILDHCEARGRRHIRFRELAADVLGSGWMFYFVVTIQTTINTGVSIGAILLAADCLEIMYTSLAPNGPLKLYHFIIIVAVVLAFLSQLPSFHSLRHINFVSLLLSLGYTILVSAACIRAGFSKNIPAKDYSLSTSKSEQTFNAFLSISILASVFGNGILPEIQATLAPPAAGKMMKALVLCYSVIVFTFFLSSISGYWAFGSHVQSNVLQSLMPDSGPALAPTWLLGVAVLFVLLQLLAVGLVYSQVAYEIMEKNSADVAQGRFSRRNLVPRLLFRTLYLAFCAFIAAMLPFFGDIVGVVGAVGFIPLDFVLPVLMYNMALAPPKRSLVFIANTTVMVMFVGVGGIGAFASIRKLVLDAGEFKLFSNNVVD >Et_8B_059666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20413411:20414922:-1 gene:Et_8B_059666 transcript:Et_8B_059666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRVENISLGETLQMKNFGTHRTDLVYYARTELLACMPNLEALAISSGYERVNTPMLPTKFMFLKCLTIYLMPHHRYGCLKTVKITGFSSAKGLVELTCYILKNAESLECLTLDTLCGTNREARRAVAAIRIYIEGKVPATVKLTVLKPCRRCHANGGFKAI >Et_7A_052381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7415792:7418034:-1 gene:Et_7A_052381 transcript:Et_7A_052381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGGGSGTATPGWDLGMHWAPAGSSGYPLAPRAGGNHHYEQQEQQAWLNLGKRPCCWAGGGCQVATQPGGLGVGHHAHGDGGAGRAAAAESRGRKEKAAAAVPRCQVEGCHVALAGAKEYHRRHKVCEAHSKAPRVVVLGAEQRFCQQCSRFHAISEFDDAKRSCRRRLAGHNERRRKSNASEAMARSAAHPHGVMGPFGHGGFPPFGLPSTSPAGALSLLSSARGGGAPSWVIPAAADISARSSAALDELIAENRAALLAWHFFSDRSAGRVVLPAAAEDDGAAAAGRYRLEGHNNTTLDLMQTPAGPFRPVPERAARTPKGDEAGCNSGAWATEQGGAPGRVV >Et_4A_035237.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:13871032:13871244:-1 gene:Et_4A_035237 transcript:Et_4A_035237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPKTSWPEVVGWPAPEAATQINSDRPDVAVEAVPYGATVSPGYNPLRVRVYFDGSSSVAPVIATPVVG >Et_1B_010384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1076580:1078948:-1 gene:Et_1B_010384 transcript:Et_1B_010384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGFVEEMMREQQSLLEATCGDLYDHIDDLLDFTKEESAADVLLLDAPAPGSPLSARIIDVGARTNASAAPPPPAGESMMALPPPAEDVFFDAAGPQVFGAKDGGHIGPCDDLDMDMAQLEWLSGLFDDTSIPHEPAFPANCAAPIKSSALANAGVPMLPDKLEDTIFRSSSPISVLEHSGYNANTNNVGSASSSSSSASSSSESFSGSGGRPWSAPVSPRPEPQVLIIPARARSKRSRPSAFSAGRGVEAPTILVPTPMYSATSSHSDPESIAESNPNPAPPKKKKKAKKPAPAPATSDADGDGDADYEEGSERSQPPGTVRRCTHCQIEKTPQWRAGPLGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSIHSNSHKKVVEMRQKAVRSGDPSCDLLQLIRRRD >Et_1A_006431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22120829:22128962:1 gene:Et_1A_006431 transcript:Et_1A_006431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHRLPLIHQGTHQTQFLLEAQRHKDKGTLFGVPPATGGCGLRSTARKSSNVLLPHISDDSVLNGSVVVHLQELRRAWEADPASVDELWDTFFRTSAALAGQLTTKIQESMQLLQLIRDYRVHGHTMAALDPLGLDDVDLGLYGFTEADLDKEFFLGVWRKTSGFLSSGNAVVTLRDMLTKLRRAYCGAVGYEYMHIADREKLEWLRARIETITDTPKPNGYDNDDKARRAAVLDGLVRATRFESFLAERCPAAKRYGLDGAETLVPGMEALLDAAAGLGVESVVIGTSHRGRLNVQANVLGKPVAQIISELTVGPKPVQAQDGDASVFTGTGELYLQQGVSCDRATRGGKTVHLSMVAHPCHLEAVDPVVMGKTRARQFYAGGDAARTRVMGVLVHGDGAFTGQGVVYETLNLSALGGYATGGTVHIVLNNRVAATADRSAGRSTRYCTDVARALGAPVFHVNGDDVEAVVRVCELAAEWRQTFHSDVVVDLICYRRFGHNELDDPTVALPEMYQPPEQVSPAQNTGVKLEELKRVGQEITTLPEKFKPHEVASKILEQRATMIKSGEGIDWAFAEALAFATLVGEGYHVRLSGQDVERGNFNQRHAILHDQKTGEKYCPFDHVLVNQKKDLFTVTNSLLSEYAVLGFEMGYSMENPNSLVLWEAQFGDFANCAQVIFDQFLSCSEARWLRQTGLVVLLPHGYDGQGPDHSGAHLERFLQMCDDNPFIIPEMEPASCRQIQECNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMAPKNLLRHKDCKSNLCEFDDGEGYTDFDKQGTRFKRLMADPRNHNRVYYELDEERENSGRGDVAICRIEQLCPFPYDLIQTELKRYPNAEIVWCQEEPMNMGAYGYIAPRLHTAMKALGRGSFNDIMYVGRAPSAAAATAFPSVHAQEQLELVKKALQPEPIKFP >Et_4B_036147.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28468445:28469264:1 gene:Et_4B_036147 transcript:Et_4B_036147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGCGSGYVITSLAIMLRQLGSGTQYIATDTNQYAAETTQATLEAHGVHADVIATDIVSGLEKRLAGMVDVVVVNPPYVPTPEEEIGCKGIASSWAGGLNGRQVIDRILPAVREVLSERGWLYMIALEDNDPSDICHLMSEMGYASRVVLKRCTEEESLYVLKFWRDAHAGANASPRSSGHDSWFSQLPFRSLWHK >Et_3B_028191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11503705:11506243:-1 gene:Et_3B_028191 transcript:Et_3B_028191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METIVSAVLGDLLTRSISFIIDKYCRQQPSAEEIQQRLRCMLLRVQAIVEEAEGRHITNQAMLRQHQVLRIAMYQGYYLLDIVKYRIRQQESSRDKEGAGSSALTRFSSAKRLCFSSRRMNMEFLSDGVKEVKMMLSSLHSTINDMEGFIIFLKSYPPISREPYNKYLFMETCMFGRQAEMEKIINFLLQPEPPGANTFQVLPIIGPPRVGKSTLVEHVCHDERVRRHFSLIILFSGDPTAPEGSGVVKNQTHGSHERSLIVMELADDLVLDERQYIKLLSSRIHMPPGSKVIVTSRSDNIVKLGTTEAIRLNYLRHEAYWYFFKVMAFGSTNPDEHPELASIAMELAAELDGSFMSANVIGGILRANTQTRFWRRILELERKHVERNVLLLGERPHTLVRKNKTVYLWSLSKTSMTFKGLHCQTHFSQNNAPKATLHDAHATSSKSYGKHELLLWKSRIPPYHSYTMTCEMEAPQRTMTKKKRPHSMDTHWLGGAIRVGKSIFSGMLVFFSIKGEGDFQFEASQYSEALFEPP >Et_1B_011413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2189626:2192801:1 gene:Et_1B_011413 transcript:Et_1B_011413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRLTPNGVGATLAGDLNLKPVLQVTDLKSISVNGPPGSAPRFRIVVSDGTATTPTLLASQLCDLALSGLVRRGTIVQLTEYLVNDVQGRKVVVILNMEVLVPECDIIGQPIMVPEPGVSNQNSMRINQINGAPQHGSMTGGAMNTGTRHTDNAPAIQRPMAGNSSNMATKPSNRAPVFQPTVQPSYRPAPNYKNRGTIMKNEAPARIIPITQLNPYQGRFAIKARVTAKGEIRRYHNTKGDGKVFSFDLLDSDGGEIRVTCFNALVDRFYEAVEVGKVYVVSRGNLKAAKKDYNHLNSEWEIFLENQSTVELCPDENCSIPAQRFCFTTIDRIENLDNNAILDVIGVVTSVNPTTTIQRKNGMDAQKRTITLKDMSGRSVEVTMWGDFCNREGSQLQQMVECGVFPVLAVKAGKVNDFSGKSVGTISSSQLLINPDLAEAHSLRQWFDCGGRDASTQSISRDFTPAASRNEIRKTVAQIKDDGLGTGDKPDWVTVKATVTFLKTDSFCYTACPNMIGDRQCNKKVTKSDTGNWLCDKCNQEFPECDYRYLLQIQIQDHTGSTWATAFQESGQELLGCSARELYMFKENGDARYEGTLMQCLHRDYLLRLKVKEETYGDDRRIKNTVAKVEKVNPVAESKFMLDGISRLVASY >Et_2B_021970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7498313:7503573:1 gene:Et_2B_021970 transcript:Et_2B_021970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVRVVEARGLPGTDAGDGLRDPYARAQLGKQRAKTKVARRTVSPAWDEEFAFRVGDLRDQLLVAVLHEDRYFSDDFLGQVKVPLSAVLDADNLTLGTQWYQLQPKSKKSKIKDCGEIRLNISLAQNYSEEATTLAHWASDDLTSNSDKSTELKKGSSLPNIPVEMSAALTESDEIEIVKEDKSIGGPTFVNKLYQFFSAKPKDAEAPDPPPTKQDNSSSNLEETPSTSSELSEKQDSEASSTMTFDELLKAFGSRHEGKEMPENLSGGVLLDQVYAVPPNDLNTILFSPSSDFLQSLAEMQGTTGLETQEWKLENGGEILKRVVSYTKAPTKLVKAVKATEDLTYMKADGEMFAVLADVSTPEVPFGNTFRVEVLTCIMPGPELTDDEKSSHLVVSWRLNFVQSTMMKSMIENGAKQGLKDNYIQFSEVLARYAKPVDAKDTAASNEVLSSVQPEQESDWKLAYRIFGNFTVISSIFAFVYVSAHIILANPSIIQGLEFPGLDLPDSAGEVVVCGVLVLQGQRVLNMIARFIQAKRQRGDHGVKAQGDGWLLTVALVEGTNLAATKTSGYSDPYVVFTCNGKTKTSSIKFHTLEPQWNEIFEFDAMEDPPSVMKINVYDFDGPFDEVASLGHAEVNFLKSNISELADIWIPLKGKLAQACQSKLHLRIFLNNSRGTEIVKNYIDKMEKEVGKKIAVRSPHTNLAFQKIFSLPHEEFLINDFTCHLKRKMLTQGRIFLSPRIIGFYTNLFGHKTKFFFLWEDIEDIIVVPATLSSMGSPSLFIILRKNRGMDAKHGAKQLDNEGRLKFHFQSFVSFNVAHRTIMALWKARSLTPEQKVQLVEEESETKDYQNEEGGSFLGIEDVKMSEVFSSTKHIDVSMLMGIFEGGPLERQVMEKVGCMEYSVTAWEPVRADIYQRQVHYKFDKKSARHGGEAMSTQQKSPLPDKNGWLVEEVMTLEGIPVGEFFNLHIRYHLENIASKQKTCSIQVSLGISWLKSCKNQKKITQDIESSASSRLKKIFSQLEKETITPLPAK >Et_1A_006850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28212490:28219752:-1 gene:Et_1A_006850 transcript:Et_1A_006850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLHRVLLQMLVAEVLRAGAYISRFSRHACKVSKFPSIVCSPISRLKNLQFLDVSRQPKSHWFNHLRLIAMATTPANGDSQNGPQRNYQVVVAATRDMGIGKNGILPWKLLGDLKFFKELTLTTSDPAKKNAVIMGRKTWESIPVKSRPLPGRLNVILTRSGSFDFATVENVVICGSMNSALELLASTPYCLSIEKVFVIGGGQVLREYLNGPACEAIHLTDIESSIESDTFIPPIDFSVFQPWYSSFPVVESNIRHSFVTYVRVRKSVVQTHNSNGKESMEVDTKKDKFETENFSFLPKMIFDRHEEYHYLNLVEDIIRTGAQKNDRTGTGTLSKFGCQMRFNLRKNFPLLTTKRVFWRGVVEELLWFISGSTNAKALQEKGIHIWDGNASREYLDRYTNMHADYTGKGFDQLMDVIDKIKNNPDDRRIILSAWNPSDLKQMALPPCHMFAQFYVERGELSCQMYQRSADMGLGVPFNIASYSLLTYMIAQVCDLSPGDFVHVIGDAHVYRTHVRALEEQMQKFPKPFPILKINPSKKDIDSFVASDFKLSGYDPHQKIEMKMAIYL >Et_5B_043643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13446998:13453946:1 gene:Et_5B_043643 transcript:Et_5B_043643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKGGGGKGGGGKMKEVKKETKLGMAYKKDENFGEWFSEVVVNSEMIEYYDISGCYILRPWAMEIYEIMKEFFDAELKKMKVKPYYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGKSDLEAPIAIRPTSETVMYPYFSKWIRSHRDLPLKCNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADEEVLHVLELYRKIYEEFLAVPVSKGRKTEMEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFDITFENEKGSREMVWQNSWAYTTRSVGVMVMTHGDDKGLVLPPRVAPIQVIVIPVPYKDADTTAIKGACESTVYTLNQSGIRADLDTRENYSPGWKYSHWEMKGVPLRIEIGPKDLANKQVRMVRRDNGAKVDVPVTNLVDEVRALLDGIQENLFNTARQKRDACIEVIKTWDEFITALNNKKMILAPWCDEEEVEKDVKARTKGELGAAKTLCTPFDQPELPEGTLCFASGKPAKKWSYWGRSY >Et_9B_063951.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:5648404:5648589:1 gene:Et_9B_063951 transcript:Et_9B_063951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEDIRKKKIMLKILPTGMLVTVHWAPVLSLFAECLPVQSYICIFIQVWYWVLELHTECS >Et_6B_049014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16735696:16736852:1 gene:Et_6B_049014 transcript:Et_6B_049014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSAVFLCTLVAVQAAVHLAAVSSVEARELEVGYYAKKCKGVENIVKWHVVKALKAHRRTGAALVRLLFHDCFVRGCDGSVLLDKSSENPHPEKEAPVNIGLAAFDLLEEIKAAVECRCPGVVSCADILIFAARDAASFLSNGNVHFEAPAGRLDGFVSKADEAQAELPDSTDDVDKLLANFGRKNFTNEELVILTGAHSIGQGHCSSFTGRLTDPPAQIDAKYRNLLNFKCAKGGNPTVDNNVRDEDKHVVAKFMPDFVGKLRKTHDFLDHTFYNNTLHNIVNFHSDAELLNQNETLFHVHEYADNGTLWDEDFAEALVKLSKLPMPAGSKGEIRKNCNVINYSLHASY >Et_5A_042741.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:3312004:3313245:-1 gene:Et_5A_042741 transcript:Et_5A_042741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRQNSRVAVGQVKRRRKKNGSATALHALPDELLEHILLLGAVGSPVDLVRAASVCKRWRRVVADAGFLRRVCALHAPPPVAAGNYHNSSLKPRNKSRRPLPSFVSCSTSTVGDRHFSLDFLPDADVMPPAVWRAMGSRGSLLLLHGVDRKDPYPSIINYWDMVVCEPLTRRYVRISPATAFEGRDISFAYLGNGDDGGIGISNFKLVCQLDRCVGVLSSDGSWRVTRVDVGTCMILKDATEANVYCFTGGRTMAAIDRRSAGVSYFLLPDIEEWEMEGHFDVSLALASGHADGRDRFVFADKDGSLKVLLLRMDGGDGMEMEMELEQIISASKVRLRDIRRRLHLCTWIRGTPMIVVAQGPRLKKKQMMCVNVETMEVEDVTGRDDFYGVHYPCKLPWPPVLRACTANEFQ >Et_8B_060707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5226502:5230350:-1 gene:Et_8B_060707 transcript:Et_8B_060707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPAAELEAAERVVMRWDSSSASPADEPMLFDGGGDRAEADRFLRAVDDLRRLAPASPAAVGSPRRLSSSGSSAGSGSGAVQVAMARLEDEFRHVLSSRALDIEIEALADLSSLSLNSDRSNSASSADLPPAADEEDSVSSSIGRRSSAYRSLRSIREIDLLPDDAVADLRAIASRMAAAGYGRECAQVYASVRKPAVDASLRRLGVERLSIGDVQRLEWDALEAKIRRWIRAARAAVRGVFASERRLCFHIFHDLPISGNTISAAAAPATHDTPFAEAVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDALSDLFPDVSDIFAASKAAESIYVQAVEIRSRLADAVRGILSEFENAVLRDPPKTAVPGGTIHPLTRYVMNYSSLICDYKATLSELIVSRPSASARLAAEGNELASSLADLELPELENQSPLAAHIVWIIVVLEHNLEGKAALYKDLALSHLFMMNNIHYIVHKVKDSSDLWGMIGDDYLKRLTGKFTVAATNYQRTSWLKILNCLRDEGLHVSGGFSSGISKSALRERFKAFNAAFEEAHKVQSGWCVPDNQLRDELRISIAEKVLPAYRSFLGRFRHHIENGKHPELYIKYSVEDLEIAPYDTGQAIAWQ >Et_4A_035605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30897414:30900553:-1 gene:Et_4A_035605 transcript:Et_4A_035605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFENIQRWLRELRDHADSNIVIMMVGNKSDLNHLRSVPEEDGQALAEKEGLSFLETSALEALNVEKAFQTILSDIHQIISKKALAAQEAAGNGPPTQGTTINVTDASASTKKEICRIITANLKKEPKIWLSQCRLLVKCHCTPCQLVISIAATKNMFCSRGMSV >Et_4B_037859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24093901:24101238:1 gene:Et_4B_037859 transcript:Et_4B_037859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGALPRGGRVRVLWLVTVEVSCRVDPIVLILFCTQGAGADSGESKALFPQDVEAGEKDAYLSHSCIHDEILHQRRRAGRKEYSVMPQVYHEPREKAQRVSGRHLLSVSSWRSHSLKNVKKPIRIYLNYDAVGHSPDRDCKHIGDIVKLGEPPVPSAPGSPICDPHGDPPLVGDCWYNCTLEDIAGEDKKQRLRKALGQTVEWFRKALAVEPVKGNLRLSGYSACGQDGGVQLPHAYIEDGVANADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFTHFRDERKRRRNQVTVQTLDEKLGRMVTRVVLPRVVMHSRHHYGAFSQNFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMAERLDWGRNQGTEFVISPCNSWKGAYHCNTTQLSGCTYNREAEGYCPIVSYSGDLPKWAQYFPQTNKGGQSSLADYCTYYVAYSDGSCTDVNSARAPDRMLGEVRGSSSRCMASTLVRTGFVRGSMTQGNGCYQHRCTNNSLEVAVDGIWKSCPQSGGPVQFPGFNGDLICPAYHELCNTVPVPVSGQCPKSCSFNGDCIDGTCHCFPGFHGHDCSRRSCSDKCSGHGVCKANGICECQSGWTGIDCSTAVCDEQCSLHGGVCDNGKCEFRCSDYAGYTCQKGSAILPSLSMCHDVLVRDADGQHCAPSELSILQQLEAVVLVPNYNRLMPSGRTFLNFFNNANCAAAAKRLACWISIQRCDEDGDNRLRVCYSACELYNTACGAGLDCSDQTLFSKREEEEKGVPCTGYGEKKSFWL >Et_9B_064921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19796498:19800924:-1 gene:Et_9B_064921 transcript:Et_9B_064921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSLVAAAALLALALALAMSLPAAVLCQAPAPAAPKGPPNVTAVLEKGGQYATFMRLMKATQQDTQLNSQLNGSSTGYTVFAPTDNAFSSLKAGTLNSLTQQEQVSLVQYHIVSQFYSLASFETTSNPVRTQASGSDGPYTLNITANSNGQVNISTGIVATAVGTALRAEQPLAVYSVDKVLLPNDLFGVKPPASAPPAPAGKKPSAKEHGSSSAAHAPAGAADTPKKGAAAGGARVAAWSLAAAVLAAAASLFKQPSATAAAMASSRVAILLLLLAASSALAAAQKGSSSSKSPPKAASGPAAETGPADSSPNTDLSKALGGPTGQYSKFLQLLHDTRVDDQIKAQLTDSYNGLTIFAPTNDAFDSLKSGTLNSLSPQEQIQMVLYCVLPRFYSLNMLGSLNGKVSTQGSGKEGPFKYDIQRVGDNVNVSTGVNSMLLGAPLTTEFPLAVYPVPKVPLAYEMFGPKPPTPAPAPAPAPAKSKSKTKKHKKSTGIADPPVADDSTADDEKSAAAAGGVARWVAAVGVLGAAVLSGLF >Et_3B_030870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8092534:8095626:-1 gene:Et_3B_030870 transcript:Et_3B_030870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDEQAMETSKSAIDRISSLPTEILHNILSLVQIRSVVRMRRLSKRWREVCESLQFICLSDEEFESWRVEKFTRFVNNLLLLRAKVDLHTFQLHWCCERSLKCNDVMMWIAYAVKHNAKVLDLELGGYDRTFLPHCIFTCPSLQELNLQLGGASYGYMGHLPDTINLPSLRKLTLADVEVRQLSLDQIIAQSPGLEDLNLRGCATYFNLLESKVLKSLTLYGLENGLDKFTVAAPNLIHFQCMGSPLEDIYWRERPCLESAHIESSGHTFDGQSDFTGIILLAKRLKLLTGIDIKVMLEKELLTCPVFKNLEFLQIGDWCLIDSLYIILRFLQLSPRLQKLILTHSKLPEAGKGAGKNAMLSSEMTFQCPHLQTVIIQCSKDDSEIDTIVNAMLDNGVSLEKIQVIFYEDLIKRGVREVTSNLQKQKKEFVIFEKMLKENPEWADDSIYAGSESDDNDDDEELWDEDDDDDVDESPDENDDESGDDVEGESGDEVGDDDEIEDKDDDNDTDKVGLGNHEHGDDDL >Et_1B_012508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32590756:32594196:-1 gene:Et_1B_012508 transcript:Et_1B_012508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTARGSGTNGYVQTNKFLVKYRTTSGPPKPPLPGHGDDAGAGLGGMRKPNKEILEHDRKRQVELKLLVLRDALEEQGYTEDEIEERVEEARKAAEEEAAAAAAAEEAGQGVRRPALPGRGFTDTQSHHVAARKEKQLETLRAALGLDAEDAKKGDVESDVESGELVPGKYYEELDTAGQKDNKVSKDGRKEAKKDKKQKRNDGRSHSKRSKKSKNDSDSGSDYEHDKKKKKTSRHDSEDDSESDYDAKKLKKHSKKSRHDSEDDSEDDYYKKGKKQSKKSRHDSDDDFETGRKKANRGKGNRHDSESDSDSDHGKKKTKDVKNNQDERKKMLVKSSRHDSDDDFETDRKKANHGKGNRHDSESDSDSDHGKKKAKYVKNNQDERKKLPVKSSRHDSEDEKPRRGNYEDSSRHDSEDEKSKKSKYKDSSHHDSEDEKSRKSKYKDSSRHDSEDERPRKSKYKDSSRHDSEDEKHMKNKYKERSRHDSETDDSDYDQNRQKSVKKGKDSAEVGNDMDSDRGDRSKYAKMSHNTERHKSGMDVKKDQHLNRSKSDSRSDDNKMKNYVKSSRYDSVDEKPPSELLRTDKYHREFKVDRQEQKGKERGDYSGKNIDKRKRHDTDSDSDGYARDRKRQPDAVGVKKNTQERRRVASSSESSDYSSSSGSESDASADSYESEDRRKELNKQRLREEERKELEKQKQREEERKELEKQRQREVERMELEKQKQREREEDKHREREKDRHKEREQDRRKGGNGGERDYKRKLEEDRYDPNSSSAREDGYMERLNKDGRRDEERDRSRDIDRQDSKRSRHDDSYHRSRRDEERYSRDDYRDRRRR >Et_3B_028694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18026784:18028070:-1 gene:Et_3B_028694 transcript:Et_3B_028694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLCDTLIMEEILPLLPPKDLLRLRASSRRYNALGLRPDFAARYWQRAGVFVQPEQETLPLFLTASASCGPTFLPHSDGPIVIAHSSAGLLLCYTYNGDNPTRFYVCNPVTRQCAALPELPPLPSSYWCGILTVAGSGKSFQVVVVTSTVQDQMVFYSDTGLWEARQVLLPHDFYDHYSLDAPPILGQSGASYWIERDEDKAVVYKSSTTAANNNHAIQVIDLPPRLADSCKNRCVGELLHGRLGLRYAHADAWVFEVWESGTIGFEWERRHQVGIAELMELNPEAAAAAFNHHPAAGSGSSLHGPSFKFKFKPLGFHPTDEDAVFVAAPGAVFAYSIEHRTLKLLQRTHDDCLPIPADVLSYVHPDYPVQIPAIKDSVIRQESRTKRGSVEALVNRGTEQRKRLRDQACSQDAWSSS >Et_9B_065078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21057927:21060179:1 gene:Et_9B_065078 transcript:Et_9B_065078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSLGPKSTALRRPLRVLSGNKARWPPESVRSKCPPPAAAQPPESVRSKAPPTEAAYPPESGRSKPPPPAETAAAAEAALDRLLLARSDLAGIVSQVDTPLETLALCIHLIDELISDALQCQTISTRGKQEIESFGGFLSNTNASLKQWSSRLKQAFEMCPAKIENVSKQSLGMSSSTAVKESGKLNKSSSNLPAAESVATPSCNLLNSSNRNLPDDDMIVSPSPLVSWRTGSCMVESGKQLFLLTPLPKTKECSSRCPTSKTQLKTASNLNQLNLPSLPVWKLTIADDGHPDPEQAVKIKEAKTGTATPHATTTYKGSLEDRSPCTFSVQKNMRALPRSCLKTALSSKQQLFSPIPEGSRKEDISSDGTTQGDKRSKACDDLSSSDEISKDLASRYDLYGFNQISKNTHCRRGTDDTLQWFLSPLKTCVLMDPSDDDKSIPTPATINMRGKQDVLDDKPVQTPALHSKVLLATPWKGLESTNLKGRKAGETTLKKELWTRFEAASTNELHFDKSLFQKIEGKRFLDMLEEAT >Et_9A_061026.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:10757713:10757910:-1 gene:Et_9A_061026 transcript:Et_9A_061026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKEVKQLVSELRKVEFVHCSRNQNRVAHCLASKACDEGMTALWLGHAPAFVMHLIDADCNPVF >Et_10A_000809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17640396:17641234:1 gene:Et_10A_000809 transcript:Et_10A_000809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIAKTCPNKGRERERFSSPFGDTLDILFDNTIERSEGLPPRLVPSDVNPSSFRVIVVASENKGGVRTAVFYSTKMYWLSMEKIRLFGDLDFTDIASIGNKSLYWGDGDREGLLALDQASLEFFTIQLPDDLRVRYGFKEVEGGKPCVVVTRELHVIVFVRKSCPNGDEWVTRNTIDLVSQLIEPLPEEDNGDHLANEFKIHGIVHETLFFDVLYHSMWKEPHWCLSTRLDTMKLQELFRSTIRDSNNPYFVGWPSSSIDANMLG >Et_10A_000982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19865762:19866959:-1 gene:Et_10A_000982 transcript:Et_10A_000982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQVAAVVAVVVVLSVLIAVSVIKQQQKEHPVSPSTSTTPIESSPAAAAASSYYSGWIPAKATWYGAPNGAGPYDNGGACGFKHTNHYPFSSMTSCGNQPIFKDGKGCGACYQIRCLKINHPACSGNPQTVMITDMNYYPVATYHFDLSGTAFGSLADWGLNDKLRHAGIIDMQFRRVPCNLPGLFINFHVEHGSNPNYLAVLIEYADKDGTVVQVDLMEANSGFWAPMHESWGDIWRMDSYRPLKGPFSFRVRSDFGKVVVANDVIPANWQPNTDYRSYVQFS >Et_7A_051243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1668683:1670783:-1 gene:Et_7A_051243 transcript:Et_7A_051243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSIVAFLLTICCLLVSSSWATSRQEDLLMMDRFHQWMEKHNRSYPTAEEKLHRFEVYRQNVVHIEATNREGKLSYTLGENQFTDLTSEEFLATYTTPGPLFSGHRKNLFTKQDNLSELTDVADTDEDGGVDMQVITTRAEDVTEGGNAGHVNQQLVDCNGYGCTIGRSDGSYKWIGKNGGITTEADYPYTWRQGACDATKLTHHAAKIRNWRPIVRKDEVKLMEAVKRQPVTVNIEASADFQNYQSGVFSGPCGYKPNHNVTIVGYGTDDATGKKYWIVKNSYGQGWGMDG >Et_7B_055829.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5476607:5477179:-1 gene:Et_7B_055829 transcript:Et_7B_055829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAARKLLEASAAGAAGPGAPDDHDVVIILASLLCALISVLTIGLVARCACGRGAGGAEAAAANRGVKKSVLRAIPTVAYISAAAAAPAGDGEAGKAAAEAEAEAAAAECAICLAEFEDGEAMRVLPQCGHGFHAACVDKWLRGHSSCPSCRRLLVLELPPGERCRRCGSRPDWKPTHTHYTDLPPFLP >Et_4A_033197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19909543:19910023:-1 gene:Et_4A_033197 transcript:Et_4A_033197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HTIPVHLSPWIPLKEVSPTCSTKTQQAHTHILRVVTQALLQPLNPSMPSHPIFFKISTLLVMQATHHMANLLQDFKAFNSNVVGCHLLQQAFKVSSHKIVLVHHICISEVQPPPHKLCCSLVLRRW >Et_10B_003318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18802950:18817917:1 gene:Et_10B_003318 transcript:Et_10B_003318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEQSSAPLLQTKATGGANGCSVFPAVAGPALTRRVRAVHAQHGLRRSTPQRPPAQLAADAHRTLPISSLFPFLYFMIRDLHVAERTEDIGFYAGFVGASFMLGRCLTSTAWGIAADRIGRKPVVVFGIFSVVVFNTLFGLSVSYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPALGGYLALETLHKHKVSETGNHSIEALEAPLIDPKEKDQQNGSLESKKSLLRNWPLMSSITIYCIFSFHDMAYTEVFSLWAESDKKYGGLSLSSENVGQVLAVTGVSLLVYQLFLYPRINKVLGPIKSSRIAAILCIPILFAYPYMTYLSDPGLSILLNIASVIKNNLAVTIITGTFLLQNNAVVLLGTETPTCLFLSSEEAPLLLPATEVEGCPGCAMERKKARSNGRIPYKEFFFVGITTLASCTLCCNLNMCIYPAHGILCIRLFPFVRDFHVAKTEEDIGFYAGFLGMPFFLTNSELSYPASYMIGRGFAAIFWGIVTDRIGRKPVIAFSIFSVAIFNTLFGLSTTYWMAIAIRFVLGALNGLLAPIKAYCVEVCQSEHQALGLSIVNTAWGLGVIVGPTLGGYLAQPADKYRHMFSMKSIFGRFPYLLPCLSVSSFAAIVLIGCTWLPETIHTHKIAEKDTKEVKSLLPEEEGRASLLVYQLIIYRWVHKMLGTINSSRIASALSILVLAPYPFMTYLHGVKLSFVLYSAVMMKSALAGQEQRGTANGVSTTAMSFFRATAPIGAGA >Et_6A_047733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1204266:1211299:-1 gene:Et_6A_047733 transcript:Et_6A_047733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPETKKKKKKAKGSLNHRVVDRAEPVDDKVKSSTDYAKPVYLVAPPLSGQPGHSVFMVEAAALADGAEPPRARTVAKIPGSRHGMSFVATHSEQGSWIVGVGGKGGDTVIYDPISMKTIQGPELSYPKHKPILISLGSKVYAISRCPRVYANTKFDFEPWFLSLSFRKGVPRICGQEFRPWKILPPPPFFPCFLDPLEFRNPPTISISSYAAIGSHILFPGLQRNGHLRLSCGGEKLGEVFRISIKGSSTLMSSAKLTMTLLSLHPVPSEGDPPLPLLCPTGKGSFCSIMLGSCCGFHEGNYCLPIVLTAFKIDNIEAVLTACQTEYTEAEDPLNYISANHLKVHHIVMVSNGLDKLQLLCFLYLGDTELSGSFEGERLKKRPRKETVSNIFEVSRGLSATVGMAQGKLTGGGAMSPSGMSEAFVTNLHLEDHKQKGIVGMDSSVYLSLCCRNSSFVPEAYSPLYMVSIPDSSLPEASATREGPRQIAGLETEVQGKRFISLPSGWIMGVGGNSGGTIILDTKLPTKVIRGPNLLAAKCFPILAAVGHKVYALCNSPNYTDEPNFVPWFEVLDLSKGTVTKTADGNFRLDGCLWEELPCPPCFPRKLSPSGYLHPPIISVRSYVIVLPYILLCLNPKTSCTYAFDTSSGDWHKIDNTSLPFVGHATPHGHSGCIFLGTSLGNWSINAYRISVSTSSGSPSTVLGGSGGKGSALKLSITACSIINEEHKRVGSVAGQKIISLDRDRFSLLTFSFDKRKHNMVSYSEDGATYSKKLFANLTTYHIENPALLEETQDQEKLWTVKREIAVYKQWEQEFKIIDVTGVEFEHRHRCTVDGILIAL >Et_1A_008893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17531304:17532051:-1 gene:Et_1A_008893 transcript:Et_1A_008893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGRAYEDFVPPHRMVREPPTHTLSVDLSAAGYKKEHIRVQLVHSHRRLIVRGERPVAGNRWSRFRLEFKLPDDCDTKAIQARFESGVVRVTMPGLTPQTVAAVGQPEPAKTAAASGVQDGDRAAPALPADGGEQGSADQEKVQKQEGIQQRLSSAKDGGRDDDASEGGEAAPGTPSRQGYAFLHDRRKMATTVLGVVLVLISLGIYVRYSLWP >Et_1B_011568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23756189:23759183:1 gene:Et_1B_011568 transcript:Et_1B_011568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSRSQHARAKPLPRSHTRSRTLVQQSAVAPLDPGSDSEYQDFRFHVVPEVFELQMGGVGGGRNGGGEAKTAEKVLSFEFDKVRISIASSDSEEEGDAPPRSSFSGASHPLEPVDEMDTVFVAVDGRDNSKPTTAKPAVSWEVSPPPSGTASPHSSIDSSGAAATVTSVAPSCTVTSLSAKTSVSSSSAASDGSGAWSAGAGGGGGGKPHKGGDPRWKAILAARARDGPLAMGSFRLLRRLGCGDIGTVYLSELSGGGGGAGAARPCWFAMKVMDKASLESRRKLSRAQTEREILQLLDHPFLPTLYAHFETDRFACLVMEFCPGGDLHALRQRQPGKHFPEHAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVRSSLNSDPRNAQTCAQPACIQPTCFMPKLFSQRSKKNSTTKKSKSTEAKQQQASAGLPELVVEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGVFLHELMYGKTPFKGQTNRATLFNVVGQQLKFPDCPATSNASRDLIRGLLAKEPQSRLGVKRGAAEIKQHPFFEGVNWALIRCSTPPGVPRAVEPVAVAVPPKPAPPPPVERLPMNHGSKRMPGADVESGGKFLDFEFF >Et_9A_063304.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19391405:19392469:1 gene:Et_9A_063304 transcript:Et_9A_063304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRKFRLSDMMPNSWFYKLRDMRRAGGRSGASVLHRSSSSLGSKRTVQPAGTPRPVPASMPHRSSYYYASMDRELIKPSPAAKPTAATEPQQAVPQTQSPARSSRRRHRVGPVVDRAPAPVAEAPVARHRRRDTRVARDGGADDGKVRRPAAKAPASRDVPGGGKVITSETDIIFDLSPHPDDMPERVLRPIVTRPARKEEAGGRNELRERHVVVHHGDASPRGSSASEQGNKGRNNNSRRSSVSSSRRRLKTRANSPRLQAASRCSRKTTRGPPPSSPLAESFAVVKASMDPRRDFRESMEEMIAEKGIRGAADLEDLLACYLALNDAEHHGLIVEVFEDIWRQSQLAGANP >Et_3B_030159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3116359:3132947:-1 gene:Et_3B_030159 transcript:Et_3B_030159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVRTWSEIARTGTLSAGARPNHDRSVFRPRAPPSYSASLDEGFASLDPQHQINVLTAEQSFVRRLYYVDASDISRLREEASRDGLPRVQAVSAYLWKALAGVVGTSDTHCRMGWWVNGRARVTEPRLRATMCNYVGNVATFTEREVSVQDVLRLPLPDVAAMVREAIAAPAYDEHLQELVDWVEEHKNQRYIEAASVGLGSPAVIVTAFASFPIDTDFGFGHAAMALPVSVPTSRLSSGFLEIIGKPGGDGSWIVSAFVWPRLAAALESDERCVFKLVTAERMASLNGGEVHVRVVSRSLVKASDTTIEPHVLAVSNLDLLPQTIHVSMFCVYPKPPAAEFNAVVAAFKAGLPSFLNHFFLLAGRIATNPSSGLPEVHCYNQGAELVVGEAGVALATLDFGNLGASLQRIQLPYGQDMALSVQVVSFACGGFTVAWCTNHVLVDGSALSLLVRAWSELARTGTLAAEARPNHDRLVFRPRSPPSYSVSLDKAFTPLDSERQVNVLTCDQSSVRRLYYIEASDVARLREAASREGQRATRVQAVSAYLWKTLASVVGTSDTPCRMGWWVDGRRRLTAPELRAAMGNYVGNFTTFAAREASVADVLRMPLPDVADTVREALTAPAYDEHFQELVDWAEEHKTQRYVETETLGMGSPIVCVTAFTSFGIDTDFGFGHAAMATPVSASGKGSCKSPRSLTGRGSSTPSSGPRLAAALEADEPRVFKPATAEYLGLMVPPIVPIPAATAPAAASAPQPRHMFVFGTGFVGRYVSERLLAQGWMVSGTCTSVGKKRELESLGMCASVFDATESNLDDLPSLQDATHLLVSIPPIPGIGDPLLNSKGLKRILSLGNLQWLCYLSTTSVYGDCGGALVDEDHTVNPMSESAKLRYTAEKGWLNLIDDPHLSAFVLRLGGIYGPGRSALDTLAKSKSLTQRQKMRESKQYTARIHVADIYQAILASMSLRCARKIFNVVDDDPAPRTEVFAFARSLIERIYPDLITESIEASSTGLDLQVRTIPAEKRVSNARLKQELGVKLLHPTYRSGLQRRMSSVNGRRDDLHVRVVSRRLVKASDSGIKPHVLAVSNLDLLMQNIRASVFCIYRRPPTGDFDAVVAAFKDGLPALLNHFDAVPSRRPHSNQPELRPPEVHCYNQGAELVVAEAGVALASLDYGAIGAHVRKSIQLPYGDDGVALSVQVVRFACGGFTVAWCTHHLLMDGRALSLLVSAWSELARSGTLAEASLPSHDRSALLRPRAPLRYSAALDDAFTPLDPKRQVNVLTTEQSFVERLYYVDASDIARLRDAASHGAGERATRVQAVSAYLWKALAGVVGAADAHCRMGWRVDGRARVTSPDQLARAAKRNNNYFGNFTTLTLREARVEELLRAPLPEVAAMVREAIAAPAYDERFQELVDWVEDHKDGRRYVETAILGLGSPQVSVTVLASFRMDTDFGFGLAAMALPTATAAARLCGGYVQVFSRPGDDGSWIVNAIVWPKLAAALESDDPRVFRPVTADYLGLIAPQ >Et_1B_012502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32581551:32583546:1 gene:Et_1B_012502 transcript:Et_1B_012502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNATQHYKYHNTLAARSIKAHAAALASPRSAHKHILSHTSNNETLEMANDSLVTSRVIGDVLDPFYSSVDLTVLFNGMPIVSGMEFRAPTVAERPRVEIGGDDYRVAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDDTYGREVMCYEPPAPTTGIHRMVLVLFRQLGRETVFSPSRRHNFNTRSFARRYNLGAPVAAMYFNCQRQSGSGGRRFTGPYTSRRQPA >Et_2A_015067.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28605416:28605754:-1 gene:Et_2A_015067 transcript:Et_2A_015067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKENEAPAAPFVAVAARRQGVAVRRCGVKKRPAGKRWLCRVPLRDITNLVAASAAAAAAELELLPGLVDPDQVAKPDPVLPPATAVRGAVAGGTPAKTAARYSLRKEFR >Et_9A_062247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21002732:21006640:-1 gene:Et_9A_062247 transcript:Et_9A_062247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAVAMLLLALVAVAAAEPPQGERSALQAFLAGTPHERALGWNASSSACAWTGVTCDGANATVVELRLPGVGLIGRVPPGTLGRLPNLQVISLRSNRLFGSLPGDLFSLPRLRSLYLQGNLLTGGVPAGVGRLAALEHLALSHNNLSGPVPFALNNLTELRSLRLEGNRLEGSLPSISIRRLEVFNVSYNDLNGSIPASLARFPPDSFAGNLQLCGAPLDRPCQPFFPSPAPSPSGESGGGGVPAASKKKNKLSGAAVIAIAVGAGAAALLALVLLVLCAVHHHRRGSNAEEGSKAAATPGTRGFTPSTASGEMGGGGGEYTSSSKEISGAAAAAGAADRTSRLVFVGRRGGAAWQQGFDLEDLLRASAEVLGKGALGTSYKATLEEGSTVVVKRLRDVAAARREFAACVEAAGAVEHRNLAPLRGYYYAKDEKLLVGDYLPAGSLSARLHGESLIHHSSVTLTKHDLATGSRGTGRTTMDWDARMRAALCAARGVAHLHTAHSLPHGNIKSSNLLLRPDPDAAALSDYGLNQLFAAPPARPGAAAAAGGGYRAPELLDARRPTFKSDVHSLGVLFLELLTGRSPAAAHHGDGAVDLPRWVQSVVREEWTAEVFDAELVRMGGGGAEEEMVALLQVAMACVATAPDARPDAADVVRMVEEIGAGHGRATTEESEGTRGVSEEERSRGTPPAGATP >Et_3B_027933.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27364380:27364613:-1 gene:Et_3B_027933 transcript:Et_3B_027933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPGAGADDAAQCCPICLGEFAEGEKVRALPLCGHGFHAECVDPWLRTRASCPLCRASLLPAAATTKPDVVGSDAV >Et_1B_011543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23436304:23439900:-1 gene:Et_1B_011543 transcript:Et_1B_011543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRTGLLCWLCRFIIYGYPTKLLDCSGIQHLKFMRGSRMNPGDRGTRSTMTIVIVMGLCCFFYILGAWQKSGTGRGDSIALRVTKETDCTILPNLHFETHHSINGANPLIMTNKVIEPCHIRYSDYTPCQDQNRAMKFPRENMTYRERHCPAENEKLHCLIPAPKGYVSPFPWPKSRDYVPYANAPYKSLTIEKAVQNWIQFQGDVFKFPGGGTMFPNGASAYIDELASVIPLADGTIRTALDTGCGVASFGAYLMDRNILTMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRSFDMVHCSRCLIPWGSNGGMYMMEVDRVLRPGGYWILSGPPINWGKYYQTWKRSKQDAQEDQSRIEKIADMLCWEKIYEKEDTAIWQKKTNTHSCHQKSGRDSMMCKVQDADDIWYKKMESCITPPGEAAQLKNFPERLFATPPRILEGQAPDITEEVFEEDNKLWKKYVKTYKRVNKLIGSQRYRNILDMNAGLGSFAAALDSPKSWIMNVVPTISEKDTLGIIYERGLIGIYHDWCEAFSTYPRTYDLIHASGIFSLYQNKCDVEDILLEMDRILRPEGAVILRDNVDVLNKVRSTVSGMRWKSKLLDHEDGPHIPEKMLIAVKEYWVGGNEGNSS >Et_3A_023433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27222871:27224242:1 gene:Et_3A_023433 transcript:Et_3A_023433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAISGSPEPKEFLDWVLLNPTGRTHCYADADAAAAAVENDPTAVAVETSNSQSGYFSFTLNPPLNVSYLDLHWPHGMPTSPGDLRACSRFVSVDENLLLISVDLLRPRGLDWFVYSSASPRPSLRRLPACDKEPAECLAGRSRFLQLDTDIGILLRRGGGGGDGEDDYVVADLAVSWKAHGSTWSSDDCGYDYNDPPPMVAVLCAFSSKTGQWTAKELVAPQPGGPDQFPVLWSCDTVVPFAGRYLCWVDYYSGLLIRDFSDEDDSPCLSYVPFPSGGKQYSDEERVERNFPEASRRVAVSNGEMRFVHIGDDYYRPSRLKITVWNLKLTDDSGRWDVDREIILDDLWVHPGYSLPRRPPEFPLVIKDDPDVLCCALREEEFDGKAWIIMLDMKRAELLSSIPYTIQEIEDGHIVRHYEIVSHLVGSLIPSDFSKCLKNLDN >Et_5A_041108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18398583:18405260:1 gene:Et_5A_041108 transcript:Et_5A_041108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSSSSSRGLGGVGVDRFYSPPHVRRQQQEEQLKGQRPASPAVVVTPRAAAAARQKPPPPSQTHPSPAETAAPSAKEAERRPEAPAPKSSASSVAKAAAVVDSAPAAVDEAGNLERFLSSTTPSVPVQYLPKTSVRGWRSGDAMNSPPYFCLGDLWDSFKEWSFYGAGVPLVLNGSDSVIQYYVPYLSAIQLYADPSRLPARSRHHWEESDGESMDTSSEGSSETDVDHLRRSSVEAACRLEGDFQRDDCEMHSPSTRPIYEYLETDTPFSREPLTDKAMTIYGLLADALLLISLQVSILASKFPDLKTFRSCDLLPTSWMSVAWYANCAASFSLMFGIFHFLSDKLPNIPKGKSNTIKCLLSTYWFHLGAYNCRYPIYRIPTGPTLKDLDACFLTFHYLSTPSKGKYNLIRVGIESLFLIKVSISLSLSLVHLITRCFPADTDLSTPACPSLGGTNCSMNTAGKLTLPVFGMASYKLRSSIWSSNRPEEEQLAASLTQMADDWLRHRQVYHPDFRGEGPQSVGRG >Et_4B_036470.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:25891285:25891515:1 gene:Et_4B_036470 transcript:Et_4B_036470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVGVWLGEVAKFGRPEAAQAAGGRCSRQGEDVILAAGEAVKKGVAQEKSGRGSFVLSDSEAVVRLLMDRFAPA >Et_1B_010574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11772759:11777035:-1 gene:Et_1B_010574 transcript:Et_1B_010574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARVDAEKDAQDELEMEVQKYTRGKAVNVKRLPDKKLKGQLTVREKLYGQSAKAAAKADKWLKPTEVGGLEPEGLEKTYRFQQTDILKEAPISSSAQAFDMILPVLGPYTLEYTSNGRYMLVGGRKGHLALMDMLHMDLIKEYQVRETVRDVAFLHNEQMYAVAQKKYTYMYNRHGTEIHCLKEHGKALKLQFLNKQFLLASINSFGQLHYQDVSTGEMIANYRTGLGRTDVMRVNPYNAVIGLGHAGGKVTMWKPTSVKPLVTMLCHCGPVTSVAFEKGGHLMATAGTDRKIKIWDLRKYDVVKSFAARAQSLDFSQKGLLACSNGSLVEIYKDFGGHDYKLYMKHRIMKGYEVGKVSFRPYEDILGVGHSMGLTSIIVPGSGEPNFDTFVDNPHETTKQKREKEVHALLDKLPPETIMLNPNMIATVRPPKKEKKTKKEIEEEMEEAIEVAKKTEMKKKTKGRSKPSKRAKKKEEEVFRAKRPFLDQSKENDGRPDKKQRVGEEVELPKALQRFAKKT >Et_7B_054556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:23185908:23187135:1 gene:Et_7B_054556 transcript:Et_7B_054556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFKNRYMVMEVFIDAGRGECDPVILTQTNISKVIRDSIQLNFGECGLAASLGSLQVKYVNPLTKLCIIRVSREDHQKVWAAITMIRSIGKIPVSFTLLDVSGTIRACKKAALECDEAKFEQYKLAAGDRISPEIIQSAQSYFDKIRGLES >Et_2A_016040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20456336:20457134:1 gene:Et_2A_016040 transcript:Et_2A_016040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAIFRAGLLAVPTQPSASSPPIFYSPSHACLASFRTAARGIRYRRRGRPSRAATAITASLDLTEDNVRLAIEEAKSELGQLFDTSVGITGQVDLAELDGPFVKLRLKGKFWHTRATVVARIGNYLKNRIPEILEVEIEDEKQLDDSPAAF >Et_9A_061862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17264866:17266787:1 gene:Et_9A_061862 transcript:Et_9A_061862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVRQGDPSTSCAESPYIHKFGPPNSGFSSQRFTPGAEVLLHGPLPYNAEAYRQSSFSGTPPPKYQKSVYSPDGHFESHFDAVTYSPVTSNLSQQNSQSVSENQISDLEAEFGQDEMRLKLQELEHALFDDGDEVFSDLSSINDEWNDQMKTNNEWTNTMQNILRPDSPKESSPESSLSGPDISNGLKQLLFDCAEAISEYSIDEAQSIIAELRQKVAIQGDPSQRIAAYLVEGLAATIQSSGKGIYRALRCKEAPTLYQLSAMQVLFEICPCYRLGFMAANYAILEACKGEEVVHIIDFDINQGSQYITLIQFLRSNSNKPRRLRITGVDDQESVNRAVGGLKVVGQRLEKLAEDCGVSLEFRAVAANIGDLTPGMLVCRPGEALIVNFAFLLHHLPDESVSIVNERDQLLRMVKCLQPKLVTLVEQEANTNTSPFLTRFCEVYDYYSALFDSLDATLPRESPDRMNVERQCLAREIVNILACEGPDRVERYEVAGKWRARMGMAGFVPSPFNTNVIDGIRSQLKSYCDMYKFEKVHDGLHFGWGDKTLIVSSAWQ >Et_2B_019580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10315879:10322740:-1 gene:Et_2B_019580 transcript:Et_2B_019580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAIGEERRAEEARATAGRREKRYPDSLVVLMLGINLWPAPAMMDHHDGFPLRHRASQAASIEKNTSPRSPILPFRAQTQNPSPAYDSISHAMDSAVDEAAGGGGSAPGDTKKKPAAAAKGRATAGKGKAGAKADPKAKESSLLKQSELPPELLDCFPRQSPAEFFAENKNIAGFDNPGKSLYTTMRELVENALDSAESISELPDIEITIEEITKSKFNTMIGLVDRERVDEALYDDFESDKAREKRLAKEARFQETQAKNAALGKKVKEAPTVRAKGRGEAAFFRVTCKDNGRGMPHDDIPNMLGRVLSGTKYGLRQTRGKFGLGAKMALIWSKMSTGLPIDIKSAMKGQNYISFCRLDIDIHKNVPHVHLHEKKANKDHWHGAELQVVIEGNWTTHRSRILHYMRQMAVITPYAQFLFRFLSDAADKNLTIRFARRTDVMPPVPLQTKHHPSAVDLLLIKRLISETTKQNLLQFLQHEFVNISKPHAERLIGEMGPDFSPKMAVKSLTSQQLVRIHQLFRQAKFDDPSGNCLSPAGEYNLRLGIIKELHPDMVATHASSAQVFEGHPFIVEAGISIGGKDVKHGINIFRFANRIPLLFEQGADVITRTALKRINWSSYKINQQQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEISSAVKSALKQCCLQLKSKIVKKLQARERQDRKRNLNRYIPDVARAFMETLVEIADESPPKRPRYDNEDEELLEKVNSQEVTEITFRDCLAQHVEQVDYEMALEYAMQSGVSEEPREEIYLNSLDGSYKFVDFQSPLFVFRFIP >Et_6A_045857.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20019027:20019443:1 gene:Et_6A_045857 transcript:Et_6A_045857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHESTRAIWGKILALQKSIRDCSRVELVEATELAAILFRQAERRYPMRKPQVLLRWYLQRCLPFVRLEHCNVAINFSQKCKCCAITSAPPDLAYSIFSTTVVSRSPIRCPVLVDSPNFTDLRMDFKLPFCASLSGMH >Et_6A_045934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:10795008:10795871:1 gene:Et_6A_045934 transcript:Et_6A_045934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLAVGTDFFALPINAKEAYANDPAAGRLQGYGSRLATNTSGLQEWQDYFFHLLHPDRLADHSLWPAFPPDYVVVTREFGRHVRDLTSILLAILSLGLGLDSRSRQQEDQDANDLILQMKINYYPRCPQPELALGVEAHTDVSALSFILHNGVPGLQVRHGGQWVTAHNEPGSMVVHVGDALEILSNGCYTSVLHRGLVNPEAVLLRPLPELVTDDQPSRFTPRTFQKHLDRKLFQKAAPEEANGKAEIGKVMKVAAATT >Et_10B_002890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13021515:13026225:-1 gene:Et_10B_002890 transcript:Et_10B_002890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTSSQVGAMAAGGSPFLARRRGGAPSSTPFLGRRLAPGAAVRMRAPTRGAARGAAPLRVTCEKVVGIDLGTTNSAVAAMEGGKPTVVTNAEGQRTTPSVVAYTKAGERLVGQIAKRQAVVNPENTFFSVKRFIGRKMNEVDDEAKQVSYSVVRDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNEKITKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRITDWLASNFKKDEGIDLLKDKQALQRLTEAAEKAKMELSTLSQTNISLPFITATADGPKHIEATLSRAKFEELCSDLIDRLKTPVNNALRDAKLSVGDLDEVILVGGSTRIPAVQELVKKITDKDPNVTVNPDEVVSLGAAVQGGVLAGDVKDVVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAIDKGTGKKQDITITGASTLPKDEVERMVEEADKFAKEDKEKRDAIDTKNQADSMVYQTEKQLKELGEKVPAPVKEKVDAKLQELKDAISGGSTQNMKDAMAALNQEVLQIGQAMYNQPGATDSAGPASGSDAGAGPSSSGGNDGDVIDADFTDSK >Et_10B_003851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6787647:6793676:-1 gene:Et_10B_003851 transcript:Et_10B_003851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISRGGGGKQDDHHRPSILHGGGGGAADAAGDGGGDGGIIPRQVWVPGPVIVGAGPSGLATAACLKARGVPSLVLEKDACLAASWRRRTYERLRLHLPRGFCELPLAPFPPGTPAYPTRDQFVAYLDAYARAFAVEPRLGVRVRSAAYDAAIGFWRVVVDNSGEADAGVEVEFLSRWLVVATGENAEPVFPEGADAFRGVAMHTSSYKRGDEFRGKKVLVVGCGNSGMEVSLDLCNNGAMPSMVVRDKIHVLPREILGISTFGLSIFLLRWLPIERVDSLLLFFSRFILGDTEKYGLHRPMVGPLQIKSKTGKTPVLDIGALRKIKDGKINVVPAINRFTENGAEFIDGHREDFNAVVFATGYKSNVPSWLKDEELFSQVDGFPRKPFPHSWRGKNGLYAAGFTRRGLMGTSYDALKIASDIADQWTEALASPTYAHRTCKDDASGYA >Et_9B_063635.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14674332:14675557:-1 gene:Et_9B_063635 transcript:Et_9B_063635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRHEQRAHVAAGGHGQPVQRRGGAPHALGRLVVEELHAADVHERVGHAVDGVLWRHPEHAHGHGRAGAVQESVPRRRPLPLPLHDGRDDVAQHADGQADARALEVRDARGVPREASQPGHQRPVVERERGEHGDVGEDEHGGRRYLEARREDAPVHGARLLDGEAVVVGGRGDQEDPGGPDGKHADDRLELLHAVHRRQAPRPWGAAARQVAVRHGCSPVKKAKFNEAVKLTFAHHNSKLLAFECGGQKETYVSWFVWSSRPAVEMPASSSLSWYKRLGSFGAATFLFRYAATATWASRVNGLPPGAILT >Et_4A_033278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2151857:2152298:1 gene:Et_4A_033278 transcript:Et_4A_033278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPASSTTKEINQYVELWARVRDVQLTRRHSMEILDQQLLFIELGISHSVWNSFASTGIVPQQLPPNNYRRLKR >Et_3A_024301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19277288:19279449:-1 gene:Et_3A_024301 transcript:Et_3A_024301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRPLQTLTLPLLRRHFSAAVAEAVVSPADLHQTDLLSPPYDYLPGHPRPDAKHDEVIFAVPRVSSGRHVAAKERKAGRVPAIVFEQENGQEGGNKRLVSVQSKQIRKLVDHLGRSFFLSRLFRLEVWSEHAGQGDLVESVRVLPRKVHLHAGTDEPLNCTFMRAPSSALLKIDVPLVFIGDDASPGLRKGAYFNTIKRTVKYLCPADIVPPYIEVDLSELDVGQKLLMRDLKVHPALKLLQSPDQPICSIIGSRAPDQKKSK >Et_1A_006147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18539587:18552850:1 gene:Et_1A_006147 transcript:Et_1A_006147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARMKDMVRVATARLGGEQQAGAASSSGYGRRDSTVVRTARLGGDSLRRQPQPQAPTVRTVYCNDRDANAPVGYKGNSVSTTKYNVLTFLPKGLFEQFRRVANLYFLMISILSTTPISPVHPVTNVVPLSLVLLVSLIKEAFEDWKRFQNDMSINNAHVDILQGQHWESTPWKRLQVGDIVRIKQDGYFPADLLFLSSTNPDGVCYIETANLDGETNLKIRKALEKTWDYVLPEKASEFKGEVQCEQPNNSLYTFTGNLIVDKQTIPLSPNQLLLRGCSLRNTEYIVGVVIFTGHETKVMMNSMNVPSKRSTLEKKLDKLILALFATLFTMCVIGAIGSGVFINGKYFYLGLRGHVENQFNPKNRFVVTILTMFTLITLYSTIIPISLYVSIEMIKFIQCAQFINNDLNMYHAESNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEMYGTGITEIEKGGAERAGVKIDDDEGKRSAAAVHEKGFNFDDARLMRGAWRNEPNPEACKEFFRCLAICHTVLPEGEETPEKISYQAASPDEAALVAAAKNFGFFFYRRTPTTIMVRESHVERMGSIQDVSYEILNVLEFNSTRKRQSVVCRFPNGRLVLYCKGADNVVFERLTDGNHGMKKISREHLEQFGSAGLRTLCLAYRDLNREQYESWNEKFVQAKSSLRDRDKKLDEVAELIEKDLILVGCTAIEDKLQEGVPACIETLSAAGIKIWVLTGDKMETAINIAYACSLINNDTKQYIISSETDAIREAEEKGDPVEIARVIKDTVKRSLKSFHEEAQRSLTSTPERKLALIIDGRCLMYALDPTLRVDLLGLSLSCHSVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHVGIGISGQEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYFRLCKVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVTASLSKKYPQLYKEGIRNSFFKWRVIAVWGFFAFYQSIVFYYFTAAASRHGHGFSGKILGLWDVSTMAFSCVVVTVNLRLLMACNSITRWHYISVAGSIVAWFLFIFIYSAIMTSFDRQENVYFVIYVLMSTFFFYLTILLVPVIALFGDFLYLSIQRWLFPYDYQVIQEMHRDDPHEYSRVQLPETSHLSPEEARSYAISMLPRENSKHTGFAFDSPGYESFFASQQGVGVPHKPWDVARRASMKQRQQPQRTARS >Et_1A_006953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29377595:29378380:-1 gene:Et_1A_006953 transcript:Et_1A_006953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISATILLTTVLGLGLALLCSGSGANVDSVVTPSFFNGIKSQAASTCAGKSFYRRDAFLNAARSYPGFAQGGSEVQGKREIAAFFANVAHETGHFCFINEQNPGMNYCDSKSTQWPCVSGKKYYGRGPLQLSWNYNYGPAGKAIGFDGLGNPDKVAQDPVVSFKTALWFWMQKVHRVVPQGFGATIRAINGMECDGGNTGEMQDRVRLYKQYCQQLGVDPGGNLTC >Et_4B_038106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26289823:26292091:1 gene:Et_4B_038106 transcript:Et_4B_038106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIGLVHVNLASKEARILRCSEFDQHSVTGFVEMCLHEIDLSSSISCCSRSLRAWTRRPSSAAPSKPIRRAFHRRIALRAKACDAVLLGVSYAFLDPNDFYSVSVIGVGQAPRQIKSPFDAGLLKSFEPVASRRGLIVLYRRDGCFRELRVCNTFTGRSSHLPPGERFTGPHALLAVDDAGASFQMLVVDESMRRMQIFSTDDGTWGAVVKTKLPRYFRPPTIYRYSNPVVVRGTAIYWLHDGVCIISLDINTARVTLTEMPPKYCKRVRCLHDFHSAFQLATSANGRLGLLVAETFVISMGGARLELEVVGSGDDVDKQAGGDSGSWGSGS >Et_7B_053285.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:21508623:21509411:-1 gene:Et_7B_053285 transcript:Et_7B_053285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVRLRVELVDECEGVAGGLRDGRDPPPDGGVLVVAGEGVEAGGGVGERGAGPGLHVAQAPREPRAARAAHPVHLRHRLPQPLLRVARQLRAVLHGAALAAAALEVGGAVARAVGAHHQRGPRQPTRAAAHGRRAREQGALAHARVPRRPARPTHELRRNHRAHGLGRARGGLQEEEDPHAGENHKKVAATHLVSKQVTRFLFLVRATGPRKKFDPPSWTCTVVERPKRPLLNSKSKSKMLVADLQQIWLPHVRRAAIFFFSV >Et_5A_041578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24654812:24659479:1 gene:Et_5A_041578 transcript:Et_5A_041578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGRASALGCFEVSACCDDLVRLGLWQDTGSAWVAAARRYGAACPRRRGDACGAGLPQVSPRGAGRGGEEGVWRAEEEGQCGVRGGRKDGARRIGEEGIGCRVRGRRGAARGPRRRAQEEGKRRGGGGPRRPWRRAGAAGKKGCGALGKEEGGSGKIAAMRPKPSSSDADAGEDRLSALPDDILVLILLHLDTSVAGQTSILSRRWRRVWALLPELSFPHAREPNRIRDALEAHRSSIRHLSVEIQSASPDSVATWLLVAARRLAGHLTFRNLVPQGNEEVEVKEDEEGREQRGSFELPCFESATAVLLDLGFLGLSVPAAGVCSRLTKLCLSRIRFEGPWELGDAISSPRCPSLTKLGVRDTEGLTILTINSKSLRCVNLRGLLGLRRLAIEAPALTCLSVSQCFYFGHDQPVVSISAPRLERLQWLDSYDPSSMHLDTMERIGRLDPFFFYVYGGQESITNNHSCLRLLQHFKVIKTLILSLLYLEGLDDCSYLMDDMTVLPEIADLHLFIMAKGHAFGASTFHVLRMCSGIRMLHLKLNMEKAQPACSFGCICGQHAHWKTEQLVLYCLQEVEIAGLMGSECEISVVKQLLNWATVLKKLTVIFDCSITVKEAKEFCHMLCGFSRPEICTEFYICKGMSKTRVFEENKIVVADPSKSIVRRFELLHVIFRFHI >Et_9A_063436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24355692:24358746:1 gene:Et_9A_063436 transcript:Et_9A_063436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAYHEPACCSCCSGGCDPAPAPPPPCYYPAPSSSTSDHLLHAIAAHLLLGSSAPAPPPQQQPPPSPAGHHATNPYPYPPHHYQQQEAKPHAYAHPPPPQQPSASDHGHLLLHSLLRRVAALESALPRCIPSPPPSRRAPHPTPRPRRVAHQAVLEEEEDDDDEEEESPPSPPRRAGPSSSARDLAARTIQAHFRRFLARRSRTLRQLKELAVLRSQSASLRGADPAAVSEAAMGLLFRLDAIQGGDPMIREGKRAVSRELTRILDFVDKVLVKEHDQLAMPMGAALEDNDDYCHQGCSAAVHVPNRPSLNKKVSFSSNGQIHELVNGDAQNRKQADDGSDESSSSSSAGSDEVKPGKRNANGGKPGLAAPMPVHMETRRVAFVHCLNGDLTFGFAGFLGVNPLINIPWLLMRLMPELLKPTAVLPSSRPHPRKPEQEPSLLCSSPPRTRPRSRSAAAMGLSWCRSLRLLFLASLLVLSAAAPPRMGSRPPEPSAADALLARICDPRSSHPAGVPPPPLCHGLHLKRRHHHPMPPPGRGGEEEIDVRYGVAKRLVPTGPNPLHN >Et_7B_054623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2962072:2963551:-1 gene:Et_7B_054623 transcript:Et_7B_054623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWKAGAAGSLVTALLVVGVAAAAKFDDVVQPSWANDHMVYDGDLLKLRLDSNSGGGFVSKNKFLYGRASADLKLVPGDSAGVVTAFYLSSAGDTHNEFDFEFLGNVTGEPYLVQTNLYIEGVGNREQRIDLWFDPTADFHTYAVLWNPSQVVFMVDDTPIRVYENKNATTVKGHHRHANGTNSTTTSIPPFPSPQPMAVYSSIWNADDWATQGGRVKTDWSHAPFEATFREVRVDGCLWAPNATDSDAGEVRRCTESSYGKEGRYWWKEKEMEELTVHQSHQLVWARAHHLVYDYCVDTDRFPVQPPECAGR >Et_4B_039720.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27741108:27741536:1 gene:Et_4B_039720 transcript:Et_4B_039720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTHRNRSAIAVAMMMVAAAALGAGVAEGAGAGTVSCGDVVSALVPCSAFLTGAVPAVPPAACCDGARSLLRMADTVDARRAMCRCMVQSAPSFGVLLDRAKELPTRCNIDFKLPIGAGVNTDCNKLVSTIRWTQNVHRS >Et_4B_036973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12993732:12996947:1 gene:Et_4B_036973 transcript:Et_4B_036973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTAPVRSSHTSTSDLLAWPQNQGPATPSPARRPGQPSEAIKKVVFGGQVTEEEADSLSKRKQCSAPKWKEMTGSGIFAAGSNGDAGEDGGSSAKPARAAPRNHQAISTVSHISFAEDGSVPPKKPTSVAEVAKQRELSGTLQSDADSKTKKQISNAKSKELSGHDIFADPQDPRLNRARNSSNGSSSSHTPVSTFSFGETNTDSAPKTAKKITGKKFTDLTGNDIFKGDATPASVEKHLSTLKLKEITGSNIFADGKEPTRERVGGNRKPPGGESSIALQFALLRCPADPVSRSSSHYCYYDVALRPPGHQLRPLAAGPPVRHSPSLSSPSRRAFPTSIP >Et_4B_037187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1659556:1660981:-1 gene:Et_4B_037187 transcript:Et_4B_037187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEETFLDELMSLRREASSSAPWQAYPVGGGGVMMSDLLFYGGGEGGADTRSGMDLSPFQGLAPVPAHPLPHPHEAEFNFDCLSEVCNPYRSSLAVSGNPAAGGQTLTPLHDAAMAEEETSGDKGQYGGGVSPTFVFGGGAGQSSEMAGIRGYAGAHHRSKIHGAPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYVKELTERIKVLEEEIGASPEDLNLLNTLNDPSNNNSEMMVRNSTKTPEPTLIRLPKQFDVDRRGNGSTRIEICCPANPGVLLSTVSALEVLGLEIEQCVVSCFSDFGMQASCLQEDGKRQLISTDEIKQALFRSAGYGGRCL >Et_1B_012823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35326428:35344220:-1 gene:Et_1B_012823 transcript:Et_1B_012823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPCLLLHPPLASSSRLPVFPSPKILHLSRAPRLRPLRRPPSANGCAGEARLADDDGFIPIARCYESPLARLELSGAARREQAVAAAAAADGGVAAEAHLAAGSDAMVIEAFLPVAGGADHSVASTRVILQAKEVKDKASKIKRQIGSDFFSENEPDSESVLAMAFKQVVMHRLSNFQLEVFPPGSERDFQDLGKPRRRVSVDFSISSSDEKLLSSLAEAIFSCVIEDARKDYLGGTKGNLFDKRQFNCSIDSSVCIHIISEAEVVKNAKKCLESFNLVKSSKKVHKPKNGWWSAPNYESLAKLGGTEFVLWVNEYIPTYKVQINVKAFSNTILEGRHELANTYSEVLLSHFQLEELGNALDMYFEDQFTLPGKNFHPHWNSDPSKIRKNNGYMKNLYPLFAGSCIVLLVSIIAQLCWPRSLRDKILSHASLSQSYCSDIHYLDESEIQDYCTWIVKTIKDSFSFSGDIMVDTNIGAWAGELPECFMDISSKDNAASDDVHHPNGYIQETLQNIASFQVMLLTY >Et_1A_005839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14533068:14538635:-1 gene:Et_1A_005839 transcript:Et_1A_005839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANTLSRSLLPTPNPSPATRPNRGGPAVVSVPRRHSRLVSLRASVSTTSPSPPPQQVAAAGPPKHCFQRGADGYLYCEGVRVEDAMAAAVRSPFYLYSKPQVLRNFAAYREALQGLRSVVGYAVKANNNLPVLQLLQGLGCGAVLVSGNELRLALKAGFEPNRCIFNGNGKTLEDLELAARSGVFVNVDSEFDLKNIVRAARTTGKKVPVLLRINPDVDPQVHPYVATGNKTSKFGIRNEKLQWFLDSIKSHTNEIKLVGVHCHLGSTITKVDIFRDAAILMVNFVDEIRAQGFELEYLNIGGGLGIDYHHTDAVLPSPMDLINTVRELVLSRDLTLIIEPGRSLIANTCCFVNRVTGVKSNGAKNFIVVDGSMAELIRPSLYGAYQHIELVSPPSPDAEVATFDIVGPVCESADFLGKDRELPTPDEGAGLVVHDAGAYCMSMASTYNLKLRPPEYWVEEDGSIVKIRHEEKLEDYMKFFDGLPA >Et_10A_000840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18067628:18069580:1 gene:Et_10A_000840 transcript:Et_10A_000840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRSNGGATRAYGGANRRFDGGGGLCPAGARRKKGEFGPVGPLLGQSSYQRKQATYKSNVLNRMGVQYSRKTITTSIVIALPCNSKHISPIGTLGLSSLPLTIVLASVVTLVTGAESLQHEPSNDSEALLCLKLYLSNPAGRLASWNNSLQFCSWPGVTCSKRYASRVIMLDLGSLDLNGQILPCISNLTFLTTIHFPNNQLRGQIPPELGQLYRLQYLNLRSNNFSGMIPHTLSSCSYLRVIDLGINSLHGEIPKNLSNCLMLQKLNLDHNMLSGGIPEGLGMIHSLSVLSLASNRLTGNIPLSLGRSSSLASVVLTNNSLTGSVPSLLANSSSQVLSVANNHLSGEIPTALFNSTSLQKLVLAENSFIGSIPILSNVNSPLQYLILQSNDLAGTIPSALGNFSSLQRLFLGDNNFYEYR >Et_9B_065565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7006778:7012404:-1 gene:Et_9B_065565 transcript:Et_9B_065565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGEFQTSLVQQMIWSGTGGGSNSSSSGNIMGSLKPCHEDQEASPNLPSLSSPSVLFSQQFSHASSGLVHMNSNGSLPSLHDGSGQESHMPESWSQLLLGGLVGDHERLSATAELLSKGLENWGDQAAAASACMAGMKEEGSSMPQASYNFYGSHLAGDHEMSAGGAKSQLSQMLLASSPRSCITTSLGSNMLDFSNSAPPPELSRHHHHSDNSSECNSTATGSAIKKARVQASSSAQSTLKVRKERLGDRITALHQIVSPFGKTDTASVLQETIGYIRFLLGQIEALSYPYMGHGNGTSMQNGPMGERNPGLFPEYPGQLLNHNNTNTGAQQPAGQPDEQQGVNEEAKKDLRSRGLCLVPVSCTSHFGGDNAADYWAPAPLGGILR >Et_4A_034824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6960862:6967324:1 gene:Et_4A_034824 transcript:Et_4A_034824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPGIYQPVIMKMYKDPPSEISHSAHPGHKLKLVTTTDGSPFRCDGCKEPGSGKWRRYRCNDCEFDLHISCALHESTLKHPLFGDLEFEFTRQAPAPSADAKFCNACGSITPGFVYHCSEKDLDLHPCCAALKMESFLQDGHVLQLCKEAKQGCVICGEKARPPSSLSHKKFWASFRKEKLWAYRWHYDGNEGYLHVACMKKVAVHNWERDYEGSAAAGIVEESLPIMKGILRWRTSKNTESSIIGLGQAAADISQAVADLYEDPPAEISHNAHPEHKLKLVTTTDGPPFRCDGCEFDLHTRCAVPEPTLKHPLYDDPKFEFLPHAPPPVDATFCNACGHRAPGFVYHCFEQDLDLHPCCAALKTESVLQGGHMLQLCDEAKQRCVVCGEKAGRQRAQSSSSKKFWAYRWH >Et_4B_038379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28671790:28677643:1 gene:Et_4B_038379 transcript:Et_4B_038379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTVPALALSNPTSGAASAGALPRASAFRCWELRRRGWGVVAAVASPNSVLSEHAFKRLQLGSDDEDEEEYGSEGEEGGEAGAVFEGDEEELAISRLGLPDELVATLEKRGITHLFPIQRAVLIPALEGRDLIARAKTGTGKTLAFGIPMIKQLIEEDDGQSMRRGRTPRVLVLAPTRELAKQVEKEIKESAPKLSTVCVYGGVSYNLQQNALSRGVDVVVGTPGRIIDLINGGSLQLGEVRYLVLDEADQMLAVGFEEDVETILQQLPAERQSMLFSATMPSWVKKLSRRYLNNPLTIDLVGDQDEKLAEGIKLYAIPLTGTSKRTILSDLITVYAKGGKTIVFTKTKRDADEVSLALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLVIHYELPNDPETFVHRSGRTGRAGKEGTAILMHTSSQKRTVRSLERDVGCKFEFISPPSMEEVLESSAEHVIATLRGVHPESIQYFLRPAERLTEELGPHALASALAHLSGFSQPPSSRSLITHEQGSVTLQLSRDPGHGRGFFSPRSVTGFLSDVYSAAADEVGKIYLTADENVQGAVFDLPEEIAKELLSIELPPGNTITKVSKLPALQDDGPATDSYGRFSNSDRGSRNRQSRGSPRGRGGWNSDDEDRFRRGGRSFKSDNDSWSGDDDWSSGGRKSSRSSSFGDDDWSSGGRKPNRSSSFGGRSSSYGSRGSSSFGGRSSSFGSRDSNRSFSGACFNCGESGHRASDCPNK >Et_9A_061486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12540354:12540929:-1 gene:Et_9A_061486 transcript:Et_9A_061486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSGGGGLNPWAEPFVPSGVRYRGLQTPAPAPEQRHEAEVEDFSPEWWRLVAASPAFRDRWLRDYDALGGLLDGGADATEVDSFLPDDLVSPPAPRQGTEDGAAGKGLEVAAWGIDKWWRAHGAPPEVPRYAEKAPRKIAGGARVNPRPIQQPR >Et_5A_042735.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:3214926:3215684:-1 gene:Et_5A_042735 transcript:Et_5A_042735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETFLPPPPIFPSPRIKPGAPPHHNFSIHPSIHPSPEHSLLCPSSTALATSDMAERTMAAAATRPLLRRVLSFREPLLIIPYLFSFLATAATAFFGSYSSFLKSCARSLFPSAKCAYATPVDSPCHAVEEAAAEDAPLSREEVEAIMSRIGLAASGKGLVAAPSGLLGRDEVARLFDAEEPSFAEVRRAFAVFDADGDGFIGAADLQAALARLGVAEDDAACRAMIAAAGGGRDGRMTLFQFVTFLEAGLC >Et_2A_016453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24896853:24898618:-1 gene:Et_2A_016453 transcript:Et_2A_016453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAARASGMIAAATPLLHTAATCTRASHLLLLIPLIVSCMLLLVGRRRSKSRHHEPAGAQHRPPPSPRGLPVVGNLHQLGRLPHRSLRALAASHGPVMLLRLGRVPVVVVSSADAAREVMREQDDVFATRPSSLAVPRRLMYGCTDIAFAPHGAYWRGARKMAVRHLLGPARVRAYRAVREQEADALARRVASYHDGGVAVPLSELLSGFAKDATGRIVLGVRAGGDDGWRAKVDALLDESNALLAAFHVGDCVPWLSWLSAIDGTGARLEKAFRRIDGILDEILDDAATRMGTTAAAAGPDDDACFVHVLLSLQKESEDSEHADQLPALTKDNVKALLEDLFGAGAEATIVVLEWAMAELLRNKDTMRKLQGEVRRGSSPTIITEQDLPRMEYLRAVIKETMRLHTPGPLLLPRESMRATRIRGGQKQLYDVPGNTMVVVNAWAIGRDPETWGESAEEFRPERFVGSEVDFRGRHFQLVPFGAGRRMCPGVNLAMSMVELALANLVGRFDWALPEGEHQEMDMMEAPGFTLRKRAPLRAVATTHRAET >Et_5A_040696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11176072:11185868:-1 gene:Et_5A_040696 transcript:Et_5A_040696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METFLAKLMCGRCQPVCYEGRDGSKEEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVIIVPPNKTAADGMVGVSELVGKHYPANKKITMARGCFDDTKGSVMVKNLSARGPSALGLDTYCKQYYLCLAAASATIKWIESDKGLFITNHSLSVTFNGSFDHMNIDSTRARLLRANLLQPLKDIQTINARLDCLDELVSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKVTDEVLKPANGRKSQMLISDIIVLKTALDAIPFFSKVLKGAKSFLLHNIYQTVCENPKYESMRKRIGDVIDEDVVHSRAPFVACTQQCFAVKAGIDGLLDVARRSFCDTSEAIHSLANKYREEYNMPNLKIPYNNRQGFYFIIPQKDITDRLPNKFIQVVRHGKNVHCSSFELASLNVRNKSAAAECFLRTELCLEGLIDEIREDIGILTLLAEVLCLLDMIVNSFAHTISTKPVDRYTRPEFTDEGPMAINAGRHPILESLHTDFVPNNIFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASIRVVDRIFTRIGNGDNVENNSSTFMTEMKETAFIMQNVSSKSLIVVDELGRATSSSDGLAIAWSCCEYLLSIKAYTVFATHMEGLSELSAMYPNVKILHFEVDLRNDRLDFKFRLKDGVRRVPHYGLRLARVAGLPASVIDTATSITSQITEQEMARMDANSVEFQSLQTAYQVAQRLICLKYSDQDDDYVREALQNLKESIAAGRLT >Et_5B_044095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:254482:256315:1 gene:Et_5B_044095 transcript:Et_5B_044095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESGSQLAACWDDNAFGAVHCLKVEDAPGFDRDDDANPTTEDKQWCWHTMDFSSPSRCRWCSDPSLLPLSPEGIRAHALHLEGRAFFVPELPFSPTAPAATGSFPSEARRTATPRWARGSASASTHGRVPPLLRRAATALEARQEEALLSRTQRGTSTPSSCPWAAKAGSATRHGLRTWECLGDGDKCVLRLTTFRVKHNRDGHLIVTARQPAGSYKLSRYVRDFNVQAAWISFVRPKLSAHLIPPRPRIPLRIPAYHDTAILWFQWNMETPLSSRT >Et_1A_005714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13009002:13015310:1 gene:Et_1A_005714 transcript:Et_1A_005714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMHDRLGGRHGIVHASSANVVHFHRHVHICARANHCLTVAASPSWTLAASGHWQLPRGRVEPRPPLSLTTRRSLQSPHDHLARPSSPHHHPLHAGCRARGAAQRQPRRPPGHGRVARLRATLPTPSSTSRRTTTINSSLMWCVPVVMLAYALEVITVRRWRRRLPPGPWPLPVIGNFHMVAWSSAHRSLAHLTDRYGPLTTIWLGRRAPTIVVSTPDAAREVLRNADLTGRPVMDAWRAEGHAANSVIYLPPRDKWRAMRRFAATELFARGRLDARQQLRQEKVREMMRQVSERAARGEAFAARRCGRDAGEPRKNDMMDVVLDKEREWKEGGSPMNYDAVKGMFTEFFVAGTETTSSTVEWAMAELLRHPESMKKVKEELKTVLGAKTVMEESDINKLPYLQAVVKETLRLHPVVALGFYQAIATTQVQGYTIPKGSTIIVNYWAIHRKGDIWTCPDKFMPERFIANDISFGGKDFELIPFGAGRRMCLGLPLAHRMVHLMLGSLLCNFNWTLPPEIEENGIDMTEKFGAVVSMATPLKAIAYKCGARASSVNEDVARQMISAMASLMLVALAWCIPIVILTYAFEAIAAGRRRRRLPPGPWPLPVIGNFHAVAWSNTHRSLAHLAERHGPLMTIWLGRRVPTVVVSAPDAAREALRNADLAGRPVMDAWRAEGHAANSVITLPPRDKWRAMRRFAATELFAKSSRQQLDARQLLLRREKVRELVRRVAERAARGEAVDVGHAAFETALDLLSRTLYSVDLPAARDMRHVVKAASELAFTPTISDVVPALAAADLQGARRRLGALIRYAHRIIDEQFAGRRRDRDAGEPRKNDMMDVVLDKERDWKEEGSPMNYDAVKGMFTEFFVAGTETTSSTVEWAMAELLRHPESMKKVKEELKTVIGAKTVMEESDISNLPYLQAVVKETLRLHPVVALGFYQAMATTQVQGYTIPKGSTVLLNLWAIHRKGDTWTHPDKFMPERFIDKDVSYWGKDFELIPFGAGRRICLGLPLAHRMVHLMLGSLLCNFNWTLPPEIEENGIDMTEKFGAVVSLATPLKAIANYCGK >Et_1A_005325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40524102:40524953:-1 gene:Et_1A_005325 transcript:Et_1A_005325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRGAGSGFFALPIEAKEAYANDPGAGRLQGYGSRLATNASGQREWEDYLFHLLHPDALADHSLWPAHPPDYVAATREFGAHVRKLASTLLAILSLGLGLHPHTRLEDALTDHNNNKEDLLLQLKINYYPRCPQPELAVGVEAHTDVSALSFILHNGIPGLQVHHAGRWVTARCPDQLPGDTSIIVHVGDALEILSNGRYTSVLHRGLPPPEAVVLRPLPELVTHDRPPRFTPRTFKQHLDQKLFKKKQQHDDVINESVSIPSKTN >Et_2B_019609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1133492:1135861:1 gene:Et_2B_019609 transcript:Et_2B_019609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSVVPPGFRFHPTEEELLTYYLKKKVASERIDLDVIRDVDLNKLEPWDIQEKCRIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSCAARIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDAAHPAAAGDHYYPSPPHAVRPLHVQPKLNTIISHMCKLLARRRRQPRATYVRPAQHAAVAVLHIRGPAGDQAAQEEGWVICRVFKKKNLVHNHGQSSGATAAATTNHNNKMGAAAPTTMESSPTSNCSSVTVVSDHAKSTMLHSSASDDALDHILQYMRKQDTKPALQLDHHHLAAAATACPSSFYGKFMKLPPLEHVGGGGSLLPNNPAESYAAVDASGIADWDSLDRLAAYELSGLSVDASKNMAAFFDESGSAVATAGFSTDGDLWSLARSSLHADLTMNNV >Et_1A_005141.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28956999:28957370:1 gene:Et_1A_005141 transcript:Et_1A_005141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTGSPRGRGGGLRRRCGAVTRVHLVTVFLAATLCSASYCLGIWHNSRGAADSRVLGPSAVLAAAASCGGDEPLDFAAHHSAEAAGLSVSASSSATTASSTRRALRREPYGSAGPQQTSRVA >Et_4A_033527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24067560:24068454:-1 gene:Et_4A_033527 transcript:Et_4A_033527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASSSAGTHTALLRFTTSDSRRPGARAPCQPARPPPPPPRTPSSPLRVTALATYIYGDLAGRPHPSTALASSCSRNLQALPCRLPTSKQGKKEMADRVDVRGGHGGLGTHGQGHGGFGTAQGYGGGRPMGEQVKGMIHDKAPSASQALTVATLFPLGGLLMVLSGLTLAGSVVGLALATPVFLLFSPVLVPAALLIGMAVTGFLTSGALGLGGLSSLTVLANTARQAFQRTPDYVEEARRRMAEAAAAAGHKTQQAGHAIQSRAQEAGAGERTGATGERTGATGGGRASS >Et_3B_030534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4771086:4774371:-1 gene:Et_3B_030534 transcript:Et_3B_030534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAGPAAMAPVSKYPLVLAEERTRRPDVLRHLRLYEGGWNVTNKHYWASVSFTGVSGFLLAALWFILFGLAAAGRCLFRSRIGKGKVSFADAVRPVLLVVFALTLIAGCIVLLYGQSEFHDEATRTMDFVVNQSDFTTQTLRNVTEYLSLAKTITVAALYLPSDVQGQIDNLKGDLDKAADTISLKTTENYKMIRKVLRNVSAALICIAVLMLVLAFLGYGFEQYGPKYTVYIFVTLCWIMVVALFILLGIFLILNSAAKDTCEAMDQWAQHPQAETALSNILPCVDESTTNRTLYQSKEVVVILVRIVNRAISALSNRRPHHKHPGQMMPYLCSPYDSNFNDRQCKSREVTFDNATTAWQNYTCMAPDTDLCSGNRSLTYDIYGQLVLAANVSYALYHYAPVLLNLQDCKFVRATFSSIASEYCPPLERDLRLVSAGLALIASGYVLYLLWMLFVDRPQREEVSDLASGSRITPVDNSP >Et_7B_055272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8912102:8914263:-1 gene:Et_7B_055272 transcript:Et_7B_055272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDRGLVVYEEPPSEPTDLLSSSWCSSAIQVLQKEPKDYSMALVQNPVHALESEKKDMFQKSDRSLVGDNSSLSTTQWKYDDLKSWIWLQKAIHPELDYDLCLKKKWLPRKMAPWSGISLKKWVKERKQKRKEEARLHRAEVHAAVSVAGVAAALAAIAAENAAPAGSAGVRETAVASAAALVAEQCAKVAEAAGATRDQVAAAVDAARASTDAGNVFTLTAAAATSLRGAATLRGRRSTGGGGLSQSERAADRAGSARSQDDLDFDFNYARSRAALAKGDEMFVAMPDGKWKLHTVSAASNKRGEIVLRIKKANLVMAFSHAKESVVKDVQPCAPEKPNHDEGATYPVEVSTSKGKVELRADDYAVYKRWVATLSHMLVMSTAVSTRLEPPPPRRD >Et_3B_028046.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:5148737:5148937:-1 gene:Et_3B_028046 transcript:Et_3B_028046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLCVHGDTNLAAAVPVRRPSAFAGLHVVGSRPIPAGTGRSSPSSAARFADDKRPIPSCPDALHNR >Et_1B_014331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6142912:6144352:-1 gene:Et_1B_014331 transcript:Et_1B_014331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGVSVSSISTTPATTGCHMLKINGYSQMKRFVNGSGIKSGAFKAAGLTWRVSYYPKGSDYYSADCISLFINLDPVATTIINAKIRFSLVLPHNNGKPAQETPYCRSVIADFRPTMVFPGPGIASFIKREELEKSEYLVDDCFAVRCDIEVVAMIAAADPIVKTEDLERPGWPCSCEDDLCKRLHPGRTVEPVSPSIESPKVKTASAPTTPEPLLQRRRGMQFNGSQ >Et_10B_002958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14684788:14685471:-1 gene:Et_10B_002958 transcript:Et_10B_002958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSIRKPLCSAITTSSVLQRLQRRRASLWCLCAQLLHRLQGLFHGAMCAEKVLIRAVAVTTSRKMRLFISDHWDFVGWALEQPRILKVEAITSQPRSPCQHDASRRRCPCPQLEWGSQWASQFKKKASLFQLMLLVESAGELLLVGMMSRSSCSVVYKVDTRRKVVEQVEDMSSRAILVNHASTFIVNAFSTIEAGCVCLVDPN >Et_4B_036586.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:6614238:6614315:1 gene:Et_4B_036586 transcript:Et_4B_036586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMMKYHMSGYMEKESKSAESLML >Et_9A_063133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11156322:11164262:-1 gene:Et_9A_063133 transcript:Et_9A_063133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSILNISISEFQRSLSPHLTSSSDMTPNSEGSTKTVNTTKVTNLLPLKHKWHISHESRSLSSAANRSRNFESDEEIFVSATSAELRSIGSTDDLPSLRLSPPIFPTGSLVLPPSASPVKIVKKLPFGYVIGRQPDAPVVPSALARNIRKVKAPMMAARHLRSRSKMVMTQIARALKGTFRDVAGAELGKKAMPPAMMKIMLKGSNTPTRFLLSKVESPLKFSRKWMATKSSLPVMAACPGGGATIAESAATRMRKIFQ >Et_8B_059702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20875844:20878180:-1 gene:Et_8B_059702 transcript:Et_8B_059702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGKMGNTTTIRAVLAILQWWGFNVTVIIMNKWIFQKLEFKFPLTVSCVHFICSSIGAYIAIKVLKIKPLIEVASEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWRKYFEWRIWASLVPIVGGILLTSITELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILSLPAIVLEGSGVVNWLYTYESVVPALIIIVTSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWMIFRNPISAMNAVGCAITLVGCTFYGYVRHLISQQAVAPSPRTPRGRLEMLPFTADKQEDKI >Et_4B_036881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12188043:12195773:-1 gene:Et_4B_036881 transcript:Et_4B_036881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPEFGPKTRCCPRLERKSHSKPCTRSRLPPHHTREEREAARARREQNPAQKSNLLSGYPAAHSALARSAIPPQISRRSYTHCGDADWRFRGRFGSSLLRPAGDSVPARRRGGEAVREAAKARALRGLMTKAATLDYGARPGPLRPANIIRNKFPTYKNGSNGIVIKLADGPEMPPLKEAVAKEAADLLNRRQRLSVRELAMKFEKGLNTATLLSNEVKWRQVALLERDILLKNLKSILESLRGRVTGKTKDEIEESISMVEILAVQLSKRESELLQQKEEVTKLAKSLKQASEDAKRIVEEERANSHKEVESARNAVQRVQQAVQEHEKLSQSTGKQKDMEELKKEVREARRIKMLHYPSKAMDLENEIQILRKTFAEKSTDCVNLLKELELHKRLKDNGPPLFDLEGLQCLGSMLRIVALSGGSPMDLSNISIQWFRIHPKGSNKEIISGATRPVYALEPHDVGRYVQAEINLDGEIAVAKTAGPVDPDAGLEDYVETLVRKPETEFNVVVLQLNGIDQPKESVHVLNVGRLRMRLMKGKSVVAKEFYSSSMQLCGVRGGGEAASQAMFWRPRNDLSLVLAFESCRDRNTAIMLARRFAIDCNIILAGPGDKTPW >Et_7A_050946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12707562:12712025:-1 gene:Et_7A_050946 transcript:Et_7A_050946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRVASGEDRTVATRSSVSSCGCHATTAVTSTGPSGAGACRVTSLVVEAETEREKSVRLRERDEWGARAGEMIRMPAASPPPAAAVRLRGCGSGSATSSSSTACLFRGSRIRVAGSIWSGRRRRSRCAISCSSSEDAEGPRASASPSDGSIQLYSQIERVITEAAKQSREGWGSTGDWTEIEGAWVLRPKSSAPSFVVHFIGGIFVGAAPQVTYRFFLEQLADRGALVIATPYASGFDHFFIADEVQFKFDRCLRNLDESVSDLPTFGIGHSLGSVVHLLIGSRYAVQRSGNVLMAFNNKEASLAVPLFSPVIVPMAQSFGPILSQLTSYPTVRFGAEAAIKQLENLSPPLVKQLLPLVQQLPPLYMDLVKGREDFIPKPEETRRLIKSYYGISRNLLIKFKDDQIDETSILAQVLSSESAISSQLDMSIRSLPGDHGLPLQQVLPDVPPAMADAVNRGGELLANLTTGTPWEAVAKEVSSTLGTDSGILRAQISKDVNTLVDVIVSWISSNSGPRLLRS >Et_2A_015754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17564544:17567011:-1 gene:Et_2A_015754 transcript:Et_2A_015754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTVGLGDPYLSGFPDALKDRTGVFRKALSSPKTAMPSANGDAAGPSSGEDDSAVTMKKRNRPQYHPFTQQQLPACKPILTPQMVVPVLVFVGLIFIPIGLACISASSKVVEVVYQYETFCVPETMLHNKVAYIQDPSIDKTCTMLFKVPKDMKMPIYIYYQLDKFYQNHRRYVKSRSDTQLRYHKNVNDTRSCKPEAIVHGNPIVPCGLVAWSLFNDTYNFARGNEMLMVNKQGISWRSEREHIFGKHVYPSNFQNGTLIGGGRLDPNKPLSKQEDLMVWMRTAALPTFRKLYGRLEVDLHADELITVTTQNNYNSYSYGGKKALVLSTAGVLGGKNNFLGRAYVLVGMACLLLALLLTLLCIVFPMKEEHLALRYPTSRLPRR >Et_4A_032535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11110396:11111568:1 gene:Et_4A_032535 transcript:Et_4A_032535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGDVPAAATTVNDLLVEARGGVETLKSFLLQLEHRAPWAEQVAEGVLSRLADAMATLDGAGAAAGGQSPATPSDGAMRPQPSASSSGNTRKRSFTARRSQRSSDQRIVDIDTLDDGHVWRKYGQKEIQNSPHPRSYFRCTHKTDQGCNAKRHVQRCEADPSKHVVTYYGEHTCRDPSTIPPLVIHGGGAGAGAGNFISFAASPTNNVVHVHGTGASSQLAMELSTSWCATSDDVFSSSAGSFMQVDELGAVVGSAGAVSSRTAAAGSAPDSGGLGGMMPVPGGGGTGAGSFHSSPNSLGLVVGSLGSIGDDDFFRLEMDP >Et_1A_006419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22060788:22061000:1 gene:Et_1A_006419 transcript:Et_1A_006419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIDPQGKEKTPLRLFTIPINQAPKSPGPAAPANETCMPFVARLYLLRKSNNAFLVNINIY >Et_1A_009556.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:801810:802673:1 gene:Et_1A_009556 transcript:Et_1A_009556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLPSTYFLYNLPSTYFLCRFYPSSILLPSAGDRRRHGRRHEPRPCVRRAAPLLACTPPQPCSPNACASPALAAARAGDAALAAAVHRRLESDPVFCSDPFLSTRLIDAYAALNALPAARQVFDEASEKNIFVWNAMLKALALADHKEEALAQLADMGRLGVPVDSYSYAHGLKACIAASASHEPASARVREVHAHSIRRGYSWHTHVATTLIDCYAKLGMATYAERVFASMPERNVVSLDEAGEPGRRVVGAEQARLARGGAHGGRGRGRKEEEEEKSRIVEGKF >Et_5B_044770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5593415:5595096:-1 gene:Et_5B_044770 transcript:Et_5B_044770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKTRLLHHLAAVFLLTQLTDSALVPRIKNHPELKPQASNTYIVHTNHLAKPPNFASLDHWYHSLRDTVMHGFAVHLTADEARRISTASGITGVYKDKVFQLQTTRSPGFMGLVDPHHGAWNETNSGDGVIIGVIDSGIWPESVSFNDRGLGPVRPSWRGKCVDADDFNASLCNDKLVGAKAFVSAAVHALGLSGGGTVLSARDRVGHGTHVASTAAGVEVPDAGMYTFSRGTARGMAPKARIAVYRACGDYGCIGSDIAAAVEAAVKDGVDIISMSVGGSRGVLYEDAIATSTFGAERKGVFVAMGGGNEGPAQSTVGNVAPWMTTVGASTVDRLFPANLTLGNGTVLTGQSSLQTTIQDTGMIQLVSSECDREFMNWTRDTKVKGKIMVCMDGSRNDAYGVLLQKAGGAGIVVVHRSEWSPDGTTSVFHFNLPGLTLSVDSAERLRAYMASVPNPAASFSFSRETIIGENQAPVVAGFSSRGPNPAAPELLKPDVIAPGVNILAAWPRDAPLSQDPDGDDGRRATTTSSRGRPWRPRTSLASRL >Et_10B_002392.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:1690363:1691226:-1 gene:Et_10B_002392 transcript:Et_10B_002392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIGVPATSVDDVPDDQLERILLRLDSPIWHLRAASACKRWRGTIAGVAFLRRARSLHPPTTVSHYHKPVPVQWNSPIEFIPTSPPALPIADTRFSLGKFSMWQVVDVHAGLVLLRQRGLPLRLVVYDPFRVLCRFQVLSSTDGSKDWQLLSAASRVNHDIMSIGRFAGRVDGSLYMGSPSGGLIVLSTRLAWSYPWSTCPAVRKRNMHPRNSLSSLALVTTPRRRVLSTRMVKCSRSLGMSVTAALESGCWSTASPGCQLDCPAAPRSTAGLATLSPKALGLSSW >Et_9A_062918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6657557:6658930:-1 gene:Et_9A_062918 transcript:Et_9A_062918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GKDNMVEEQVNDMQMAPLVEDEEEVVMPQWMHVLLRTTFWKPCSRGHKENRAEECLFCLQCHAVFCPHCTHNEPGHRLLRIRRYVYRSVVLVDDMQELNIDVSRIQQYKINKQMAVLLRPMRRSKLFRPQEGSPPCETCGCWLHEKHNLFCSITCMERADVSQDDFSGPEAEYRYRNHQTNVLPPAEDDDQHLPEADNIVELLAPELLEAPPVVNPPDPEADKQNIIPESANIIEILAPDHVETLPVVYPPGPKADEQNLPEAANIAGLLASEQVEAPPLVNPPGLNYISFRNRQRKQANPKRAPFH >Et_5B_043255.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20433699:20433962:-1 gene:Et_5B_043255 transcript:Et_5B_043255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FLSADSNHEVLKIGARLGKQLVDSDLGWEELARFWSEMVLYVAPSENLDGHTETIGRGGELVTLLWTMLAHAGIIGRQDTEAAAVQV >Et_1A_006035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1752393:1755562:1 gene:Et_1A_006035 transcript:Et_1A_006035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVAAGFAFAPAVCRVAYRSGAVLQCSAPSSSARSPARPWMRAGRAPAKARLLVVARYSSSYEGEEEEEDEEGFGGWGRRDRGPEPDNDPALDIEQIESSTVRLLDEQKRMVGVVSVNEAVQIAEDNDLILAILSLDGDPPVLRLFEEKDYKKHKYEQQKKKKIQQKRSVAKRMGLKELKMGYNIDIHDYSVRLKAAKKFLKAGDKVKIMVNLKGRENLYKKEAIELIRRFQNDVGELATEESKNFAERNIYVVLVPNKIAIQKEQDGLNKKDTVKEEKDQTEDPSNGDEPLTDQLEESKEPEAEVSANVVRQNNGPVKSVKKLDLPVLALEIDT >Et_2A_014633.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:30444225:30444476:-1 gene:Et_2A_014633 transcript:Et_2A_014633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGIGRKHPSNLTAASTITVNSSLADEGKLKLIFCKQKPFCSQNPCFCCLRIDKCFDTEDECKAKCPACDPYCSHQTALKG >Et_2A_015194.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:35138610:35138651:-1 gene:Et_2A_015194 transcript:Et_2A_015194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYQILCMQICSG >Et_9B_063723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5317781:5318125:1 gene:Et_9B_063723 transcript:Et_9B_063723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPCSESDGHGLFGAPNGCKTLWTHQISDLRLDLLPVAFMRLGQIQLPE >Et_1A_005463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10658703:10660168:1 gene:Et_1A_005463 transcript:Et_1A_005463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VCLKMQSSAMAFVPSIATAKLELHSLYRKYVDMFGISSNDSTFGGHVTRKMPHLPVQLIDRTLFSTGAAFRSIAISYGALVLRWLLNRTPAVQRTLISRAIRSLCCWCGSLPFFVSVGLLLSRMYNNVMFHNHIYGRQFIFGHNANLQGESTMGSENSELCNMKNLLSSLFDNKRETVRLALQCICYPASLKVADITEKELVFNRLHARCSYAKGAFWRIISQNEQYVVSMMVLVALQLFLRLTRVNVTPLFLPMLSRATSSKSSVAAIGNIVLVLVNYFGILGSALVTKQYGREVTFSIGAILMVFCQVAIPVILEVQIGVGGGTRMPTGYATAMFALTCVVSCGLSWSWGSFIWTVPSWKVPSVGQVVSMAVNFGFCFAQMQFFLLMFCRLKNAILAYYAMWIWS >Et_5A_042884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6214099:6222194:1 gene:Et_5A_042884 transcript:Et_5A_042884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSTRLFHNLAVVFLLLTQLTDSALVPKIKNHTELKPQASNIYIVHANHLAKPPIFASLDHWYHSLVAAHSPRAANTSGRILYTYDATMHGFAVELTGDEARRMSSHPGVTGLYEDTVFYPQTTRSPEFMGLNPANGVWPETDFGDDVIIGFAFDAAAQARAGMKSTGTVPSPMDEIGHGTYVASTAAGAEVPDAGMMSMFSRGTARGMAPTARIAMYRACGIYGCSDADIIAAVEAAVKDGVDILSTSLGFHVPRPFYKDSIAISTFGAERRGIFVAMAGGNEGPDVSTVTNSAPWMTTVGASTIDRLLPANLTLGNRVVLAGQSLYTVKAKDTGMIQLVSSERCLTEWMSWTPEQVMGKIMVCMGGGSDKEGVALQNAGGAGMVVVDPRDWSRDGVEAFPFTLPGLTLGVGSSAKLLAYMNSVSNPVASFSFGCETIVEENRAPVVAGFSSRGPNLAAPELLKPDLIAPGVNILAAWSGDVPLSDGRSADYNIISGTSMATPHVAGVAALIKKKHPDWTPAMIRSALMTTARTVDNMDRDILDNGATDGRRDSVRSATPFAAGAGHVRPIHELDPGLVYDAGERDYLDFLCAINYSAKQIRPFAPDFVKCNRTLPGGVAGLNYLSFVVAFDNGSDVRTVTRTVTFVSEKAETYNVTVAAPERVKVTVTPPTLKFTKPNDKKSYTVEFRSLVTGGNATAGWGFGHISWENEDHRDRSPVAFQWK >Et_3A_023918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14660683:14664231:1 gene:Et_3A_023918 transcript:Et_3A_023918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCRSGGRCALPLLLLLSLGVAAFAEAEEEKAAAWTGGLSRRSFPKRFVFGTAASAYQVEGMALKDGRGPSIWDVFAKIPGVIANNATADVTVDEYHRYKEDVNIMKKMGFDAYRFSISWSRIFPNGTGKVNWKGVAYYNRLIDYMLKIGITPYANLYHYDLPEALELEYGGLLNRKVVNAFADYADFCFKAFGDRVKNWMTFNEPRVVAALGYDDGRFAPGRCTKCKAGNSATEPYIVAHHLILSHAAAVQRYRQKYQHTQKGRIGILLDFVWYEGLTNSTADQAAAQRSRDFHVGWFLHPIMYGEYPKSVQEIVKERLPKFTADEVKVVKGSIDYVGINQYTAYYARDQQPNATTVLSYSSDWHAELVYERNGVPIGPRAHSDWLYIVPWGLYKAVTYVKEKYGNPTMFLSENGMDDPGNVTIAQGVHDATRVAYYRSYITKLKEAIDDGANCVGYFAWSLLDNFEWKLGYTSRFGLVYVDFRTLRRYPKSSAYWFRDVITGKK >Et_6B_048259.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:2223098:2223529:-1 gene:Et_6B_048259 transcript:Et_6B_048259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLQAPATSPASPVSTTARRSAGRAAPTPSISAAVDTSPSLAPSTAARSHCARRVDGYMVAEASSSMSVAVVAPQVGQTSLDAAVTAVVVSGPRMTVSLVSATLAVAVPLLSAGGSTGRAPCIVSWKPNKLRAEQRDTGKLV >Et_5A_041526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2441752:2445190:1 gene:Et_5A_041526 transcript:Et_5A_041526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPWGGVGCCLSAAALYLLGRSSGRDAEVLRSVARAGSMKDLAAILDSASKVLPLVVAVSGRVGSDTPLICQQSGMRGVIVEETAEQHFLKHNDAGSWIQDSAVMLSVSKEVPWYLDDGTGRVYVVGARAAAGLILTVASEVFEESGRTLVRGTLDYLQGLKMLGVKRTERVLPTGTSLTVVGEAIKDDVGTIRIQRPHKGPFYVSPKSIDQLIMNLGKWAKLYWFASMGFGAFGVFLLAKRALQHFLERKRRHELQKRVLHAAAQRQAREAEGGNDTSDKEPNSKKDQLVLDICVICLEQEYNALRSHVLLHDVLVAFNKLPTLPEKNRPGCQNFSPLTD >Et_5A_041725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26350952:26352331:-1 gene:Et_5A_041725 transcript:Et_5A_041725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTASPPRAAIYKLPLPHAPSSKPYPPPPPPPLLRFLAPSATAAAAPLHLRPASTMADVDVETEVAAGAAPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAFPHTLAALEQKKDAPAGEKPEPVRTHLRNMIIVPEMIGSLVGVYNGKTFNQVEIKPEMIGHYLAEFSLSYKPVKHGRP >Et_3A_026916.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:26393594:26394013:-1 gene:Et_3A_026916 transcript:Et_3A_026916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHRRSPEYNSLLLAGPRLGPLKQAHAYLVIAGHNGSLPLTTKLATLAITAGAASYAHLITASHPALDSFLLCSLTCATTHHGRPFIAITFCHCLLAAALPFSSFAFTDIVKACADLFALHADMSVHFHAVLLGFGSD >Et_2B_022535.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25080844:25081216:1 gene:Et_2B_022535 transcript:Et_2B_022535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQSALSEALPISIEAYGNSITTPAEAGGGSSSSPAVAKLRKLLFRRMLIGVKDGRYFLGLFHCIDKQGNIILQDAVEYRSARASQPPTEQRCLGLILIPAACRSSCQGLC >Et_9B_065282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3033185:3033483:1 gene:Et_9B_065282 transcript:Et_9B_065282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DPLAQDETTEINGLGIALTMLEQARTPQQVSCVRSRTSGFRRCHGMERVVESIHIWCPNSASVSTAKPRPPSLPRAAEPHQQSCMMLSLSGRSRQT >Et_1A_004685.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30584055:30584501:1 gene:Et_1A_004685 transcript:Et_1A_004685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGNSQREQMWTRSPSGRRDRRPMVRVAAAAELVTAPRLFATGRSAITRWSGHATVTDPGAPPPAAADADFAGAPPPAAAPEAAPPESDVPILLRRICNIARVAGFFQILSGWVYSVGLLACLDLVGFLVLGLGNRPADSASLTESR >Et_9A_061571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13897965:13898779:-1 gene:Et_9A_061571 transcript:Et_9A_061571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKESIQCASAPMPRRKVASCKIAVVNTDVRRSVRLKDKHKGFKPDSCIDRSYYACHMEPPSLTVDVIQNLGTKFCKVAPREVSGEALKKKRKAKANASASTTNDDRQEKKATGKSKKKEAEGKKSPKKVNNEEDTSKKSKNIITGPIVVCHETS >Et_1A_006878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28551542:28555911:-1 gene:Et_1A_006878 transcript:Et_1A_006878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQDWSLLWRCMHRAGESMPIALSNNTLIEIDKKNTRLIVSRTKVPGADAYCATLVYPEEGMTEDCIALLKRPSQAQEVFEMLMSISHKNIARPIGIWEEDNTAYLVFPSVDGVLSSVERKHLFDMDEEEPNTPVSNFSDQGCSIFGEIVMTVQHLKSNEEYHILLVDFKMEGSNESTGGRKPRGKASNKESRKEVVKINNWAGLGNCLGELCVNSRNDSELSNLIQLLKAKTVTIVDLQWAPALWDFWTKIQFIREVYWCYDKNYPRMDYLKGRPSLGLLSCINKMEVNKERAEKKQLTDKNLYESIFFLRVYLVAHEDAAIKVCKGSIDLNDKRSIGKLLVKEKPDYMIRLVTEVRLVDWIKESPFLRTVLNKEMSPAKDMSGYSRTQCCISS >Et_3A_024059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16979841:16984309:1 gene:Et_3A_024059 transcript:Et_3A_024059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVGSRVKVVGQVERVDGRSLTYAEFVARFMAPNRPVVLTGLTTSWRSCEDWTLPGPGDRRRPNLGFFARNFPSPLVPVADCSSREFTDQKRLEMSMQEFVGHWAGNSEDHDGSLLYLKDWRFVKEYPDSYTTPTIFVDDWLNMYLDSHHIHRDSDIANHMNEVNCTDYRFVYMGAKGIWTPLHADVFRSYSWSANACGRKLWLFLSPSQNQRHLIVYNINDDVLKRSFLNSIRLNGWSVFRSRTKSYLFLVDGTTKSITWSEDTISINHNWFNAYNLHWVWNLLYEDYKVAKEYIEDIRDTCDDFGGLCQRNLAANTGMCCLSRTCPKTRVSNQKRCLSVSCLKSDCSVVDEISTLSCEVRGPEDLVRLVDNILSDN >Et_3B_031417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28795078:28796653:1 gene:Et_3B_031417 transcript:Et_3B_031417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSMLMSTSVNGGRALPSLMQAGRPARLVLPLRPSYYSHAKSVSVRTMALFGKSKAKAAPAKKQPPPKPKVEDGIFGTSGGIGFTKENELFVGRVAMLGFAASLLGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGQFVDEVTGLDKAVIPPGKGFRGALGLSEGGPLFGFTKSNELFVGRLAQLGVAFSIIGEIITGKGALAQLNIETGVPINEIEPLVIFNVLFFFIAAINPGTGKFVNDEEE >Et_1B_011740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25486965:25490776:-1 gene:Et_1B_011740 transcript:Et_1B_011740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMFVKWEFDPMSLPEPTCPVHLWQGDEDGLVPVALQRHVAGKLSWVNYHELPGTGHFLSAVPGLGDTVLRTFFGNGSTPVGLLVLMVAALVVGWFVNAVRPPPPTPCGTPGGPPVTAPRVRMRDGRYLAYAESGVSREKARFKVVYSHGFSGSRMDSPRASQVLLEELGVYMVAFDRAGYGESDPDPRRSLRSAALDIQDLADALGLGPKFHLICSSLGCHAGWASIKYIPHRLQGVAMMAPVINYRWSGLPRGLARQLYRMQPAGDQWSLRVAYYAPWLLHWWMGQAWLPTSTVIDGSAPFPNALDEKNRVAALSTGMFHKRARQATQQGVQESFYRDMAVMFGRWPEFEPTDLEETPFPVHLFQGDEDGVVPAQLQRHICRRLGWVNYHELAGVGHFLSAVPGLGDRIVSTLLTAPASA >Et_9B_066153.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:580169:580549:-1 gene:Et_9B_066153 transcript:Et_9B_066153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEESEKKEAKKQLMMSSGKKKAAGFHFRSSKKPGRRWPFSTPDSDVEETVTADGGAAAQLLNRSYSSTAGDDVQNSKQAAAGGRKAGGGGVGARLSRKLKEQRARIYIVRRCVAMLVCWRDDIDS >Et_3A_023300.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:19344438:19344887:1 gene:Et_3A_023300 transcript:Et_3A_023300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSRLLAAFLLLLLILPLARPDLSSTTAYDELRLRGFPRGLLPANVRGYTLDAGSGDFAVDLDASCRIVLPAGNYLASFDRRLTGRLDEGRISGLSGISVKAFFRWWSITGIRADEDQLVFEVGSVSAKFPARHFNASLECPAEASS >Et_4B_036480.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26324903:26325970:-1 gene:Et_4B_036480 transcript:Et_4B_036480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDASSSDADPLRSCRAAARRRLRERVIRSIRAPLADVLRDHALVHLPPAAAARLRLVHPSWARALGSPLFAVAHAAAPRRCSGLFAPSAGFLPLDAADTVPSPHLGFVPASSELAVLSSARGLACCFSLADDAYFVCNPATARWAGVPSPPRRTWPPRPAVVVLFDADAYNFRGDYALVSAFESAPGSGTYCFAAFTSGAGAWWVADAIAPAEGLVPASGVAAGGTAWWRTSIGTAVGYNPTTGRVDMAVCPGDSGHWEIGSVADTLHCAVLDDGDVVVCRLARDGGSWEVAARVAVAEILQIWAPPEPVNEQEEGPRAIVAAANRVGRPNYDVRLLPFQGAEVAGAWWRSIR >Et_4A_032973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16180076:16180771:-1 gene:Et_4A_032973 transcript:Et_4A_032973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSEGCVLRPCLQWIDTAEAQGHATVFVAKFFGRAGLLSFITAVPEDQRPALFQSLLYEAAGRTINPVNGAVGLLGAGSWHLCQAAVDTVLRGGAIGPLPELGGGHGKRSGGWSTFSTAKRVRGSNKEEPCDLGLCLSPGSPPAAGDRRALLRPGTPSMSSDESVTTTGGGGGGDKDPVLLNLFV >Et_4B_038091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26162847:26163695:-1 gene:Et_4B_038091 transcript:Et_4B_038091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDGPAHTGGDISDKDGYGVESIYGGKFRDENFLLKHNERGILSMANSGPYGNGSLFFVTFKAMPHLDGKNVVFGKVVHGMDVLKRLEDAGTGNGRPSCRVEIAECGELSQSFEDKGMKFRIECVDLLTFCTLALVLSLLLFPRN >Et_9B_064719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1850411:1851729:-1 gene:Et_9B_064719 transcript:Et_9B_064719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAAGGMGFDDLEEMLGTTVSPAGSAVSAAGSEDEADLRRGPWTVDEDVLLVNYIAKHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDVRRGNITAAEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLRCDVNSRQFRDVVRCIWMPRLVERIQAEQQASSSLAGAGDGDETTAAPMAATVSAPAACQMYTTQSVVGQCPHHHHLNYNSEPSQTTTAAAAMSPDDTSSSALRSSLTTEAAAAAAHGQHPASNTTTPTNEGGAVVREDDVFGGSWSELLAATGRDEDAMIGLPDFELGEFEDNLWSLEDLCLHHHCS >Et_9B_064317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1456289:1462786:1 gene:Et_9B_064317 transcript:Et_9B_064317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADADATAAVLSYAVAAIADEAAGAGEVAAALAALCDVLAVSGPDLILALPTAALAKRLPALVAAADGGGDGDVPLLAARAIAEACEGAPQWGPRFAKGGAVEALRDRLLALDDIELAEECLRALDTISLECPDDCLHLGVAAAKVALRIVSNIFNEYDKAYASTAMEAVPSLCNLLQSADKMVLGSTISCLAMLAAGASGNVKHMGKLCESNAVEVTMSLMNKGGWKSLSDETSTDILGLLTNVSSVSAKAVKSLFELDVCELLKEMISYYSCSHDGNDKVKMLVDLMYQLMPPLKTSDQHSELVIAKKNVIMEQKTYINQLASVATLIVQVAKSAALSSICCNCAVVIGNIVELSTSDFLMELQKIVNLSGFLTCLLARKNRHVVYQTLKISRTLLKKHKQFFFETFTKEGVKHAIYSIVSQELNNDQSKRKNEVQESCLCFYLDSESSSTDEACRIEDNAIMKLAEEIKTSFFAVKGSKKSPNRIGLALKIVRDFFTRLNVHSMIPPIENPDSCKQLSDLSRRLLSDELPVTSTFEFVESGSIKYLADYLSYGSCFNANLKNEHELVGHLNEVRSRLQKFTYLALTRSNESSEKPLAILVEKLLDALHMYYDSFPVMLSDEQRPRESIMIPLRFSEDEDRTTLELKFRRSHREKELEKYRNVLSVDLFSTPDDIESVLLPQICKRNDQEPSSKVSTYSCLLLQYFFVITSINPSDRNKSLILTFSYRGTILPPSATFFESILRLTNKVQSDVSIDPSFWDEEHKITYRRRNKSDEIANRSAYNTLLSHMHENLQQSWLKDPFFSTTLIGKLPGDLDESDPSYNILFMLKVLEGLNRLSNQLLVDDQISKFADGTLLDMNDLKVPVYPIPRHQFMSNLLTKKLELQMQDSLFEDGLIPSWCVYLVENCPFLLSFDTRWKYLCLTVHRSFLTNQANSSPEQVNSSSDQVNSSADQVKNPPQTKRYRVTRSTILEDAASLMISHCPSSRIVEVEFDGEVGTGRGPTFEFYTTVSHELQRGGLGMWRGDNGEAGFIHAPFGLFPKPWSSSCTLSQGIDFSEVIQKFKLLGHLVARAVLDGRILDIPLSKAFYKIMLEQELNIYDIPLIDPELGKTIIEFQALVNRKKFLETSSIQTSSPAADLSFNNVALDDLCIDFTLPGNPEYELVPRGSDKMLTLDNLGEYVSLVVDATVKSGIARQIEAFKSGINEVFSLKTLKMFTEEEMERILCGEQDAWASNNLEVHIEFEHGYDTSSPSIVSFLEILREFGREEQRAFIQFTTGAPQLPLGGLASLDPKLTVVRKQCDGNVDDELPSVNTCRHFIKLPPYSSKDIMRKKLKYALSEGLGSFHLS >Et_2A_018570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33021115:33023070:1 gene:Et_2A_018570 transcript:Et_2A_018570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEDSRRGLPSLLASSQLQEESIASNITEWIGWTPLVEMKNIARKDGVEARLVGKMEAYQPLCSVKDRSALRMIEDAEERGLISPGVTTLIEPTSGNLGIGLVFIAVQKGYRFIAVMPAKYSLDKQMLLRFLGAELILTGKKQDLGLNPAVGFKGMNDKVEELMKTIPNSHCLNQVSNPANPEAHYRWTGPEIWNDTAGKVDVFVSSVGSGGTLTGVGMYLKMKNPSVNIVCVEPSESAVVSGGAPGPHKIQGTGAGFIPEVLDRSVIDEVVAVSTEEAMAMARRLAKEEGLLVGISSGANAAACLKVAGRLENKGKMIVTMFPSGGERYMNSDLFADVREECSGMTF >Et_7A_050518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21042913:21045282:-1 gene:Et_7A_050518 transcript:Et_7A_050518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADEGASASVPPPAEAAAGAAMGAEEAAARKRYEALLQVRAKAVKGKGAWYWAHLEPVLAPPPGSGAPPKAARLRCVLCAATFSASNPSRTASEHLKRGACPNFAAPLAAASPPPQPLAVASSIVPISSFPPSTQRRHSTGGGGGGGGGGGGRKRHALAAAYAAVEAAAAAAASSQQQQLVATPPTPPALPAPRQALSGGRGDLSALARLEDSVKRLKSPSASPGAVLPRHQAEAALALLADWLLESSGSVSLAAAEHPKLGAFLRQVGLPEPPSRAEIARARLAARHAEARADVAARVRDARFFQLAADGWRDAAVSLAVNLPNGTSVFHRAVPLPAPATSDYAEEVVMDAVSSVAAASGDIRHCAGVVADRFGGKALRDLEGKHPWMVNLPCQAHDVARLARDLARELPLFRSAAANCAKIAAYFNATPAARALLHRHQVQEHGHAMLLRVAAPPSNGSGEFTASFAMLEDVLTSARPLQLAVLEEPYKLVCIDDSAAREIAGMVQNRAFWTEVEAAHSLVKLITDTVKEMETERPLVGQCIPLWEDLRGKVRAWCRKFNADEGVAMSVVENRFRKSYHPAWSAAFILDPLYLVKDPSGRYLPPFRYLSPEQERDVDMLIRRLVSPEEAHLAMMELMKWRSEGLDPLYAQAVQVRQPDPATGKMKIANKQSSRLVWETCLKELQSLGKVAVRLIFLHATARSFRCTPTMARWLTASSAAAAAAGGSVSRAQRLVFVAANSKLERKDFANDDDRDMELLTEGDDDMLTDQTPDPSSV >Et_10B_004141.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:15434959:15436074:-1 gene:Et_10B_004141 transcript:Et_10B_004141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGIEQPLLQDGDPRNASQKNSPPPPPPRRFRRCRTAPSSDATQESPPTSENKRHHGRSTDEKPVSPKEMLRGTGPSFRLVGVLLLAYLLAGTTAFYLAMDHMSGDRTGSRVLDALYFCVVTMTTVGYGDLVPASDAAKLLACAFAFAGVALVGTFLSKAADYLVEKQEALLFRATHLRDADRARTLRAMEANKVRYKLYTAAALLAASLAAALLAASLAAGTAFLVEAEGMRPVDAFYCACATVTTLGYGDRSFSSAAGRAFAAAWIAVSTVVVALFFLYAAELCTERRRRALVRWVLTRRTTTTDLEAADVDGDSRVGAADFVLYKLKELGKISQEEIAEFLEEFDKLDADNSGTLSLNDLIVAQHG >Et_4A_035109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9506573:9508936:-1 gene:Et_4A_035109 transcript:Et_4A_035109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEQGDAAAFIRDAPLRVVLEIVRRLPARSVFSFLKVCKAWRDTTSHPIFLVHLHKLQPRQPLICFDRLARPNRYVQVRDYCVEALNLRSNELRSVFRFTDDAYRVRRYDEGDEAAPIVAPFVFYRDYEVEEYDDTETKPQLSVHGSLDGLLLVSFLNASYVCNPAMRLAVSLPNLNRYNLVGFYEHGSSREYRVLLYTLNADERPPTCYYVLKVGDQMARSIGYPISPAAADMGLYNGLIPAKFSPPVQLHTNLHWPPQARQQYHILVFDTQNELFSWMRPPVIGRDMSLLEMEGKLAMSVSVMNGATLDLCCLQDYQNRIWVLMFRIQLATLARHLNVDYQYWSASVVSPEGDVLILIPHVLIHCDRNGRLLHLFGIPDRAASVRHALKESLLTHAIFLAPEDELPFSSGLTALVSWPAVGFQ >Et_6B_048903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15291469:15293200:1 gene:Et_6B_048903 transcript:Et_6B_048903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHTNDHTWRLVLSTRPLLDHLGQRGGGGAALAQPVGCRLLQLGDLGPDGGALPFSFHELPHHPGDLLPPFLPRLLWHPYGRADAVGEHDGVLALLGVERPGDHGHAVDEALEHRVPPAVGEEPAGGAVGQHPRLRGPGGHEQARALGAGDEAVGEGGEGVRLALRVVVVVVPRAAVARAPHHPQEALAGGLETQRQLRHLRRRQRPAGPERDEDHRPRRLRVQPLQARLARIRVVTGGVGGVAVDEGPDWVDDWAAAGLRLPEDFGGLRLDGVEGVDEDGVGVAVLGAVVDHPPVARVGLVLERHEDVGPRDGHAPGQPQRPRHVAELDAVHLLVEVREVEDQREHVQVGREEEVLPRHPRRRRRVEGVGAEEVGDERDEVGGAFQIEEDFGEGGHGARALLRHARLDGGGGGEVGEVDGRERAVGDGGRGQGGEERALRGGRRRREGEQRRRGAVTRRQALRQLGQRDQVAHPRGHQHRHVRRLPAAVRAVAGAVAVLHVSLISFSCWCRRRSGGCEMGLEFHGGGFSRCCRCTDRELAKI >Et_9B_064983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20127337:20131455:-1 gene:Et_9B_064983 transcript:Et_9B_064983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVQAQYSWASAAAPRGGGSPCQAALAPRRVRCRASAMERLPSVRTVTIPFADLKERDKDLGGKIEEGLGPRGLGIISIADEELEDPESRYNFGWSHGKEKLESGKLDTFKGSFYANPVLDAPTTDDVLVSRYPSYCRPNIWPTDHLPELEIAFKALGKLILEVGLMLAHHCDRYVKQQGVGSYDGDSLEQTIARSRCHKGRLLYYFPRQFSKQNEVGSCGWHTDHGSLTGLTCGLFTKNSVEIPCPDSVAGLYIRTRDNRVVKAVFEEDELAYQIGETTEILSRGHLCATPHCVQAPSSENASGVERSTFAMFMQPDWDEKLKFPSEIPYHQELIQPNGTLTFGEYSERLVNKYYQART >Et_8A_056917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18889619:18892649:-1 gene:Et_8A_056917 transcript:Et_8A_056917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPQLLAAGVGRICSDEHKHLGRAQYKFSLLDHARNAAYERPAVTSTFTYGGRIREHNSSWSWAPECSGCGPAEFITREELERRSESLLRDDRLAIRCDVGVAQQEGVDVAPKQRNRHDDDDDESDREGSRRRRRPLDDLEYIRQCLLLAGVGQLSRSASGTLVKASTGFHVLRVDGYSWTKTLAAGERISSDQFKVSGRYWFMDYYPNGADGSKAAASDYISLRLRLRGGYSGRRESDVYHRQAQYRFSILDRAGDAAYELPAATSTFTYPGPGTPHEGSGCGPDESWREEAIETLLPDDCLAIRCDVGVAQQERVADVAPKNKQYDSDEFDWEGSPEQGRRRRQPLDDHEYIRRCLARRRAETLLPDDCLAIRCDVGVAQQERVADVAPKHKQYDDSDESDWERSPEQGRRRRQQPLDDLEYIRRCLARRRA >Et_7A_051114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14651737:14653431:1 gene:Et_7A_051114 transcript:Et_7A_051114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEFDYLFKLLLIGDSSVGKSCFLLRFADDSYVDSYISTIGVDFKIRTIEMDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDITDMESFNNVKQWMSEIDRYANDSVCKLLVGNKCDLVESRAVDTAVAKAYADEIGIPFLETSAKESINVEEAFLAMSAAIKKRKAGSQAALERKASNLVQMKGQPIQQQQQQQKSKCCST >Et_4A_032579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11575423:11584684:1 gene:Et_4A_032579 transcript:Et_4A_032579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVPEELRCKRSDGKQWRCSAPSMPDKTVCEKHYVQAKKRSASSALRASLRRSSANANAAAAGGGYPFPAAASYADSRFRGDDSDADDAAPPQPALPMAVARPLYARVAGEAVYVTEPVPVPVYDGLPLGNAASVHTAGELVGRGSTAWLPGGGAAGTASCHQCRKVGDVIWCTSCDRRGYCAGCIAKWYSDIPVEDAQKVCPACRGICNCRVCLQEDSLIKARVQEISVVDKLRYLHSLLVCVLPLLKQIYSDQCFEIGVETRSSGWKTDILRAKMNSDEQIDFCKVPVFDYHRHCPRCLYDLCLDCCRDIRHSRANVARGDHTEGRIEDTAKDSVSKRARLELSTETAHDKTFSRSIDLNFIDIRSLFPTWKVSNDGSITCGPHEAGGCGSSKLVLRRIFKINWIAKLVKCSEEMVNSCKVHDLGDGCFCCSDGSMLDLSGQQNFGLSECSNRDGIDGNRLYSPALEDLKYAGIAHFRKHWIKGEPIIIRKAFEPSLSSSWDPLSIWRGIQEIIDEKMDEDVEVKAVDCSNQREVDIELKQFIQGFSDGNMGRDGHLMLKLKEWPQPSVLEEFLLCQRPEFIVNFPLIDFIHPRWGLLNLAAKLPPDALQPEVGMKLLLAHGSRQELGYGDSVTNLMINMGDVVHMLMHTAEGHNPSPQRMQTEQPERIAVNGTSVHMNAHAPDENLDLDMGEQAPKYTIPKSYDEGHSVMQIQVNSGSECASTDLSSSPRSNEPKTKSSQGPQSGALWDVFCRHDRPKLNEYVVAHWEELSASSQAVSSVKHAIYDQAVYLNNYHKKILKDQYGIEPWTFYQHIGEAVFIPAGCPFQVKNLQSTVQLALDFLSPESLPESVRMAEEIRCLPNGHAAKMKMLEIRKISLYAASSAVREIQRLTLDPKFSLDVRFEDQNLTQAVSENLARVNRQRKTKANPSSRTCMAHI >Et_1B_012302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30657990:30661355:-1 gene:Et_1B_012302 transcript:Et_1B_012302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAQQERTVVGWAARDASGHLSPYTYTVRKTGPEDVVVKVMYCGICHTDIHQAKNHLGASKYPMVPGHEVVGKVVEVGPEVTKHRVGDLVGVGVIVGCCRECSPCKANVEQYCNKRIWSYNDVYTDGKPTQGGFASTMVVDQKFAVKIPEGLAPEQAAPLLCAGVTVYSPLKHFGLMAPGLRGGILGLGGVGHMGVKVAKAMGHHVTVISSSTKKRAEAMDHLGADAYLVSSDADAMAAAADSLDYIIDTVPVHHPLEPYLSLLKMDGKHVLLGVIGEPLSFVSPMVMLGRKAITGSFIGSVDETAEVLQFCVDKGLTSQIEVVKMGYVNEALERLERNDVRYRFVVDVAGSNVEAAAAEAATNGAA >Et_5B_043605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12406689:12407065:-1 gene:Et_5B_043605 transcript:Et_5B_043605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAFEALIHFVYTDTAPELADGAQGEEEEEEEEEDAVAAMAQHLLAGADRLKLICEGKLSDRVTVATAATTLALAEQHGCSRLKAKCVDFIAGHLDAVLEMSRRGTSTWRRAAPWC >Et_4A_032974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16260883:16263129:1 gene:Et_4A_032974 transcript:Et_4A_032974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPCSRPLPAVLILTILVLISTAANAQLSGTYYDDSCPAALLTIRTTVSAAVLLDRRMGASLLRLHFHDCFGCDASVLLDDAGNFTGEKGAGPNAGSLRGFEVIDNIRTLLELLCPQTVSCADILAVAARDSVEQLGGPSWTVLLGRRDATTASASLANSDLPGPTSNLNNLLSKFSNKGLSTTDMRGLLHSDQALFAGGGGGGATDGLVSSYASNGDQFASDFAAAMVKMSNISPLTGTNGEVRVNCRRVN >Et_6B_050077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5376035:5376762:-1 gene:Et_6B_050077 transcript:Et_6B_050077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSNTSVHHAVPDEYIMPPEKRPGNDEPVDPCAVTLPVIDLAAARRHLEIMEAGKEFGFFQARSRTPVVNHGVGEDVVTGFRRAAAEFFAMPAEEKLLYYSDDLSKTFRLDTSTAYVDDRTPRRYWRDYLQLQCFPPAMFAADWPAKPDAFRASLAAYATAVQQLAATVLGLVAEGLGLGAGFFRGGGLSGGTSTGTRRARTQA >Et_3B_028646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17686391:17691114:1 gene:Et_3B_028646 transcript:Et_3B_028646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNDGEASLSDTCASGNYEFVILAFVYKFGKGQTPQLDLASHCDPSSGGCTGLSSDIRSCQSAGVKVLLSIGGGGGGSYGLTSEGDARQVAAYLWNNYLGGTASSSRPLGDAVLDGIDFDIELGGAKYWDRLARDLKSMGKNGGNKAVLLSAAPQCPFPDEWDGGAINTGLFDLVWVQFYNNPPCQWESVPAGKIFLGLPASKDAAGTGFVPADELTSSVLPLIRGSPKYGGVMLWSKYYDDRTGYSSAIKSDNQENVSNAFYPTSHWGEFLASLIPIRNTDGFIKPTGTVWLNRAETAAGHDDFLTKGRSSMWNEETLSALLTSRWCSNLLRLDATMSSIRSTAVSVRILPSSVIYLDSIEILEVNTTDLREEEDSEPPRRLRLRSIRNRFVASDNEHGGGCSTY >Et_1A_009198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3336574:3339162:1 gene:Et_1A_009198 transcript:Et_1A_009198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSEAQPPPQSDAEAAEAVEQPPPVPMDQDEDGEAAAEPMEDDEAAAADASEAADPMEDGEGAGDAAGAAEPMEDDDAPTSSPTPSAPSATAAVDDSTIARKRRRRKKQFPGMIPTEGVRVLPRSSSASSTAHLSGVPRRRGRPPTSSSLRLARELDSEALIALAAGFPADSLSEDEIAAAVLPRIGGADQANYLVVRNHIVALWRSNPLSHIAANAALASIRAEHAPLVAAAHSFLSEHAYINFGLAPSILSLPPRPPPSLPPPSILIVGAGVAGLAAARHVISLGFKVAVIEGRLRPGGRVIADGVPHGVDVSLGLALEAFRAAHGVAAEPEERMLLDWHLANLEYANAAPLADLSMAFWDQDDPYEMGGDHCFIPGGNSQFVRALADGIPIFYGQNVRKIQYGCDGVMVHTDKQAFRGDMVLCTVPLGVLKKGDIKFVPELPARKKDAIQRLGYGLLNKVVMLFPYDFWDGKIDTFGHLTEESRQRGEFFLFYSYSSVSGGPLLIALVAGESAVKFEKMSPMENVEKVLDTLKKIFSPKGIEVPNPLQAICTRWGTDRFTYGSYSYVAIGASGDDYDILAESVHDRVFFAGEATNRRYPATMHGALLSGYREAANILRAARRRAKKVDLPEKMNISGEVKVDVNGEVKVDVNGEVRDGVKDGSKIDLDDLFRSPDAAFGGFSVLHDPSTSEPDTTSLLRVGIGARKLGSGSLFLYGLIKRKNVAELAAIEGDEQRLSTMYRDFGTKLVGLDSLGDAGQSLISRIKAAARK >Et_1A_009241.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:3552630:3553406:-1 gene:Et_1A_009241 transcript:Et_1A_009241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSAEKFSPAISSGLRSLLTAPHGGGGVVTRALAVASSRVSGDAGVQEEGRDGTTGIGGDAENNVRAEEEEDVEAEEEGDAQDEEEGGCWVSYGRREPRRRLPPPIPSLAARGALRRTRTHDGRLVIRIVPVVWRERIRARRRGGRLTMQLVERDDESRPLPAQIGAREHGVGAQLEEPDNDETASPAVDDMVAPVPATRSEGIDDDVPEATVAPQEMLPVVPLPQVASAGCFEDVFKYSSMGGSALHQMPGLRMVH >Et_1A_007038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30286711:30289512:-1 gene:Et_1A_007038 transcript:Et_1A_007038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKERGRAAGYQRGPPWLHLVKASTARAFVPPELRLVEAFGYTLGGMFLARYHDSPAGEFDELVVIAGIVWNPPTSCAWAARVLVNSVEACRHGRKEVGLPSHVATFSKTEADVLTNKPLVKPNNFLSILGIGSTVSKQANGRKIEISETKGSSAMHLCNISLPLTGSHKHHKWMGPAIRMSLPSFSGQTEDHPDLLKYSCQVECRVRPVKPARIWSPRTPEPQECSDGENSSAVSADSDAQKQSISVLLSRPIFALEFNSLRMHVDAPKIVIPDCKKKEVRISSSRV >Et_3A_026702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15549976:15550759:1 gene:Et_3A_026702 transcript:Et_3A_026702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAGFLLAAFAVLLALPAPSVANDPDMLQDICVADYKSLKGRNTEVERVPVQEARERDGKRLLEQRVGEARQHGQRGGSAVTAANVEKLPGLNTLGVSMSRIDFAPWGVNPPHTHPRATEMILVVEGSLDVGFVTTANKLVARTIRKGEAFVFPRGLVHYQRNNAHSPALVISAFNSQLPGTQSVAETLFGASPAVPSDVLARAFQIDGGLVKGIKSKFPHK >Et_8B_059016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12288713:12289653:1 gene:Et_8B_059016 transcript:Et_8B_059016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMIKEMRIIFQKTGAINEPRAWVTPLVIQRRVLMNKKKSVAETDDKMVEEEGMLEDASSEDLNEDDLLYDNWDSFQDGDGVRMVRRGGSSSVGVVAVPSHPSLMQAASWSSATDVVGRMQLLLCSLNAIKPRHRCPWRVMAKRFPRCCCPGKEKVVLAMGEQGVTAPALDVGGGVMAPSRLLIVKVNSPELRLGGEPDKEAALTTSALGAAGATVEEPLAHPSLTSGEDSTPPLGPLLDKALAELRHGRGTVDGTIASVIGQEHKPPCVTKAVGSLTTPVRRSRRNAPSADKDSVEKVARLVAKRNLEE >Et_8B_060147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6431124:6435565:-1 gene:Et_8B_060147 transcript:Et_8B_060147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAAATASTPPAPAPAAHAASPAGEPHAALLLALSHMRLRELLSWGLVCRGLRDAVAGDPLLWRRLAVEPPLSHRITDEALLELTDRAEGRLRSLHLLGCPRVSDAGLLRVVERNPSITELFVPRCTGLTADGLVKVVQFLHEHKGNLSRLRLHGICKMTKHHLDIFNTLMSRSSQQQDAQALHYNHRVHEVLNMDDERPIDVDVCPLCRNVRLVFDCTRDDCRKVKDSWTHCRGCFFCVARCETCGGCIDLEELGETGLACSDFLCMECWFKLPKCCTCNRPYCERHSELKENLSPSGQFTCQECMSFATSLESLEEGY >Et_2A_016055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20636090:20637621:-1 gene:Et_2A_016055 transcript:Et_2A_016055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQPDPPKIRHCGSELHGSGRLKVCKLWSFVIDFGSFFKLVSSRHKNLRLNSSPTELGIISIGKPDKSNTARYLDFEGIKEYHVDNAMRVSKAMKVRSLDGSILDLMPLLHWRTDTRRGTNPTCTTP >Et_10B_003200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17523039:17526184:-1 gene:Et_10B_003200 transcript:Et_10B_003200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFPLPAAPAPSSGGGGSRGHHRRAHSETFLRFPDADLLLDPDGDFSFSDLDFPSLSDDSPAASDPTPPPAPPQQQAPAQAPRPPREAHTRSLSLDAAFFEGLALQGGGGGSSSGGGGGGHKRSGSMDGVSSPFEGESALSSGPSDYAKKAMPAERIAELALIDPKRAKRILANRQSAARSKERKIKYTSELERKVQTLQTEATTLSAQLTLLQRDTTGLTAENRELKLRLQSMEEQAKLRDALNEALREEVQRLKIAAGQAANMNGNPFNGGLQQQIPSYFSQQQHMSYLGGHQAQHRNPSHHQSSSNGGQSLSGQSLNDSMDFI >Et_1B_014393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7669015:7669477:-1 gene:Et_1B_014393 transcript:Et_1B_014393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFSSDTIDNVKGKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKKCTLHLVLRLRGGQ >Et_4A_034377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32074648:32078360:-1 gene:Et_4A_034377 transcript:Et_4A_034377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLHPPEPATNGAGASAAAAAVPAGEAAAAAGEAAAAGGAQRPAPPYSKRRRRPSVRLGEIDAPPPRRNQKTSSHPRPARRAHPDDSSSVDPHHRRGPKPPAQRRPRTAWVPAAPPGADGYEDEEERYYDDEDHSDSAAAAARARVSGSRDASGEESDGVADWGLPNGRLPSAMGYSTIKTWLDGLGLSRYSPVFEIHEVDDEVLPLLTLEDLKDMGIGAVGSRRKISWLRLAGRLEARCKGM >Et_6A_046280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1213772:1214397:-1 gene:Et_6A_046280 transcript:Et_6A_046280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFSDHLLKVGKQLLVLVGCVEIFFIIIVDLRKKGLCIETLAHKHKSTVRTFMQRLGSVFLEDFFTVQEQVFCLMFTKTTHFSFHGSHRKVVCNEKCKHDLGRDFCCIVTKKNYLLHPTSSGFKSRATHIENRKDQSEF >Et_6A_047086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25006040:25009325:1 gene:Et_6A_047086 transcript:Et_6A_047086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRRVAEDATAVLLLLLILAGLRGSASTAAAAADVGGVLRMKHSSGGGYSYNRTLAHILVEYASAVYTSDLTSLFTWTCPRCKGHTKGFKVIEIIVDVENCLQAFVGVAPDPHSVHHGFYTAYYNTTLRHEILKSIQWARKTYGKLPINVVGHSMGGALATFCALDLSVKFGSQEVQLMTFGQPRIGNPAFASYFSQQVPRTIRVTHQNDIVPHLPPYFPYLGQWTYHHFPREVWLHVTIDGNVVTRNETVCDDSGEDMNGSRSVYGTSVADHLEYYGVTLHADSRGTCQFVIGATNSAYSHIQEVDGAIILSRYPQEPNALEYM >Et_9A_062912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6520705:6526604:-1 gene:Et_9A_062912 transcript:Et_9A_062912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVYHVIPAANRSHKCFVASYIMISAELLRVEWLALAHGTPEIERGILLIKCLGTSLPSILHNAYIEMGMPLLASMFFVYLRLHSFFPLSSLLPLNLVLFLQGYLDEQFNQLEELQDETSPNFVEEVVVLFFKDSSRLLTNIEQTLDKYPQDFYRLDSLVHTLKGRGTSIGAMRMKNECSVLKAHCNNKNLDGCRRSLQKMKREHATLKQKLETYCQLMRQVGPRERALNSRK >Et_8A_058136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2136626:2139285:-1 gene:Et_8A_058136 transcript:Et_8A_058136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGSRSSEMVDEFQKLVIRMNPPRVTVDNDSDMTATLVKASNTNAAAESSRACSVDSANKYGTLLEVVQVLTDLKLTIKRAYISSDGEWFMDVFHVVDQEGNKLYDGQVIDRIEQVPVLAPWRSTKNRAICSCSYRSHFSYYLLVDDLTSEVDSSLFQSLGAGSLSFRGPPERSVGVVEAEAEESQTTIELIGRDRPGLLSEVFAVLTDLKCNIAASEVWTHDGRVAALVHVTDADTLGAIDDPARLDTTKRLLRHVLRGSSRDKKAARAAVSARVAHAQRRLHQMMHADRRDAGAGAGDDEAEADGGARGGGGAPVVAVEDCAERGYTLVNVRCRDRPKLLFDTVCTLTDMQYVVFHGTVIAEGAEAYQEYYIRHLDDNAAASDDDRDRLCRALEAAIQRRYTEGLRLELCCEDRVGLLSDVTRIFREHGLSVTHAEVATRGAQAANVFYVVDASGEPVQAQAVEAVRAEIGDQVLFVRENDAAAAGPKSPVGRDGGRRSLGNMIRSRSEKFLYNLGLIRSCS >Et_9B_063747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11135238:11136347:1 gene:Et_9B_063747 transcript:Et_9B_063747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPSYFHKISVEEPKWSYFYVFIAVIFVIEVFFLAFAWFFVLRREMRSSRVWAAEEGYKVMKNHFRMFSYKELVKATEKFKYELGWGGTGVAYKGILDEGRAVVVKMLGNISHSREDFQDELDVIARINHMNLIRIYGFCSERSHRMLVLEYAENGSLADILFKREIPLQWRQRFNIALGVAKGLAYLHHECQEWIIHCNLKPENILLDQDFEPKITDFGLAKLVSYIAPEWISGSPITAKVDVYSFGVVILELVSGTRIFDLVKGEDEKMHEMLKKFIKMLSYKLNMQDPFWLAEFMDFRLGGELNYLQAKTMIKLAVSCLDEERKKRPTMESIVESLLQVAEINETTIC >Et_10B_002946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14277429:14282116:-1 gene:Et_10B_002946 transcript:Et_10B_002946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLLQSVALGTSFGGQISTQRWRSHGTRRPTSMLAMSLSRPVKMSAFVGLRSVHSFSVTPVSTSRSTVACYRSSRRTRRSRFVTRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGNGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPSNIRTQVIRMIGETTEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISTGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIEILRGLRERYEIHHKLRYTDEALIAAAKLSYQYISDRFLPDKAIDLIDEAGSRVRLQHAQVPEEARELDKELKQVTKQKNEAVRGQDFEKAGGLRDREMELKAQITALIDKSKEMSKAEAESGETGPMVNEADIQHIVSSWTGIPVEKVSSDESDKLLKMEETLHTRVIGQDEAVVAISRSIRRARVGLKNPNRPIASFIFAGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDSDEKDSSYSRIKSLVIEEMKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVVDRLKVKDINLQVTEKFKERVVEEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLNSQGGLPELTTPAVTV >Et_1A_009272.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:36197221:36197928:-1 gene:Et_1A_009272 transcript:Et_1A_009272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVDPPPATKYFWGDAPEPDEYYASPGVRHAESYFQSPHGRLFTHSFHPLTASRDGDVKAVVFMTHGYGSDTSWLFQSIAISYARWGYAVFCADLLGHGRSDGVHGYLGDMEATAAASLAFFLSVRTSEPYAQLPAFLFGESMGGAATLLMYLRSPSRDAAWTGLIFSAPLFVIPDDMYPSRIRLFLYGLLVGLADTWAVLPDKKMVGKSIRDPERLKVTALKIACSVANVPS >Et_5A_042829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5097142:5099364:-1 gene:Et_5A_042829 transcript:Et_5A_042829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGEQLVARYCDLGGKVSEESKKLWAIVGPAILTQTVTYSLNIISQAFAGRLGELELASVSFACTVLAGFNYGLLVLRSLSSSLGSDIYISSDDPNSCYDLVKLGMASALETLCGQAYGATRFHMMGVYMQRSWIVLFMCAVLLLPTYIFAEHIFLLTGQSPEVSKMAGQVSVWFIPLHFSVAFLFPLQRFLQCQMKNFVNTVAAAVALCIHVFISWLFVSRLKFGLVAVALTLGFSWWVITVMLFAYVACGNCPETWHGFSVEAFTGLWEFVKLSAASGVMLCLENWYYRILILLTGNLKNGAVAVDALSICVRVANELGAGNGKGARFSAIVSSSTSVMIGLFFCMLITVLHRKIAFIFTTSVIVLDAVDKLSILLSLTILLNSIQPVLSGVAVGSGRQSTVAYINIACYYVIGLPMGILLERLLNLGVMGIWAGMISGTAVQTLILAVITIRCNWEKQSRN >Et_1B_013930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24455148:24461944:1 gene:Et_1B_013930 transcript:Et_1B_013930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAAVKSYGRAIELDSSRVFALIESGNIQLMLGYFRKGVEQFRSALELAPHNQSAYFGLASALLAWARHCVTIGAFCWAASLLKEASEAAKVCTSLTGNLSCVWKLHGDVQLALAGCFPWVDEKIRNMDEQIFKDSILEWRSTCLSAANGAKLSYQRALHLAPWESNVHNDTAICLDLVYSMNDNNELNPNIWDLPEKMSLGALILEPVNKEFWVTLGSISSNLTLKQHSFIRALHLDMSLSEAWAYLGKIYRQSGDKQLARQAFDRARSIDPSLALPWAGMAAENYEQPGGSPVNESFESCLRAVQILPLPEFQIGLGTIAARSGNLLSPQVLMATRQAVQRAPHYPESHNINGLVSEARSDFQPAISFYQQARFALGLINPKSDNRHAFADVSVNLARSLCRAGLASDAVRECEELKSQGLLSVDGLQIYAFALWKIGRIEEALSVSRNLAEKLSGMTPERASAALGFICTLTYEISGKDSAAAVIHKLPGQLSYTTQLKFIISALDAMQPNKRFQLPQLSMPPRLTSNEVMSEVHSNIALGKAIGGELDKPLRVDGSLSYLKKALHMYPDCSLLRNQLGSLLLWSGDWMASHKAVRITSLSGHTSSRGLRSPHQIQAFATVSCYATCTPYPKFSFPTCEQQFLSGYDAIQHLQRLVHREPWNQDARYLLVLAIFQKAREEKYPKHLCIILKRLILQVLSNSSKSHENKVVKYEMFLLLLLSSEASLQSYDYDKSIARAKEAIRTTPSSRADTFFAHLQLCRAYAVQGDLLNSRNEYMKCLQSHTNIEIGWVMLKHMESVCSLEGSSDEIEKGLRDCVERNGSDPLKWASLFNLACAQCFIWDEDFASAEKALVQACVEGDTDSCILFLSAYSLRKAHQKSLASLPIASMLLAQAEGSLGSKTKWEKNLRLEWLSWPPELRPAEVYFQMHLLAGQSSAATSQQNQLVETLQSPERWLLRAIHLNPSCSRYWKALLQLMYV >Et_1A_008547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8674361:8677709:-1 gene:Et_1A_008547 transcript:Et_1A_008547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YNEVCGSELFEYHKRGAAAVGLAVPLLEALEHGVDELALADAEEDLVALLAGGLELLAGEEHASELLADEAVERVRPAVLNPVGHGRGALRHGDAGGLHLLGQHGAELLLELGDLGEHGGGGLLAVGERVVHEHGAQLLHERQAGAAGLLGVGEDALAVDGGEEVLLAEARHGEVPRGVHGEHLLGHLRHGVLHGLLDVLLEVAQVHGLAERHDVGGRHELEDVDGLGGLPGGDEAQGVDVLVVLLRALHVVGDGVGQVLELGAVGGHGDLRALEPVVQARVAAAGQVGGEPVVVELVHQLGELREHELADVGDGEAGVVHGHADGCALEVAAVQRLAAVHVDDRVVVHGVDLALDGLGGGPDDLDLGAQPLRRRAERVPVLLRLQQRVKLVHLLRLLHEGAPVQDVLHDGGRLDGPRVVLQLVGQVVGVLGLPVHHLTEHRREDLREDGQDVGVVAHGGRERGTHGRAVHDGEALLGVQLEEAAFDAGDLERLGGVHLPAVHGGGLSVRAPGDEAGNVGQGDEVAGRGDGAAERQARADAGVEQLGDGLEDLEPDAGVALEEGVDAHQHRRADGLRRQGVPIAAGAEDTGVQMPAHERTWSEFVTPTS >Et_7A_050614.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6950957:6951031:-1 gene:Et_7A_050614 transcript:Et_7A_050614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVIHWARVLSLFGECLALQLSI >Et_10B_003931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7716081:7719396:-1 gene:Et_10B_003931 transcript:Et_10B_003931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVHGIFINYVDHDRPHLFSRPAATAATSSSPAMVVDGLLDFLPSDLTRDWWSVLDYCNGLLLCHVRWETDLCVCNPATRRWTLLPGNPTAGGGPYAGAYLAFDPAVSPHYEVVLVPVVPEKPPKTEEERLSSMTRRSAIGTSFWIGERPDYQKDDGVDPSNKTSLMEWPPKTWNMNVFSSRTGRWEERVFARDGDPAGLVKDMWMDPAEPTWLGPRRRYGVYFEGALYHLDTDTLYISCRLSLSDSKYQVIKLPVKVERAKPYLGRSEMGVYFGIVGECQIQVWILTESCGNVEWVLKYKDDLRYFAKYIGSLYKNGKPIGGPWTVEEDSTEMDDNTERKRLEWDSDNDDILDLKIEDEEYCWERLEILGFHPYKEVVYLSSPFTVATYNLNSSKAQYLGNSRPAGYYRGISNGIYESFVYTPSMIGELHGGNTAKHMHREEWESSSSVTVLLPSSSSVLSSSSVVSSLPSSLVPGCALEEAASLAFSRTSTRLARLASTSLVLDLGIKFSATSAGEMFTSVSSRRMSPNSLCSVKPR >Et_1B_010380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1062609:1067635:1 gene:Et_1B_010380 transcript:Et_1B_010380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFDQTVRDLKREVNKKVLKVPGIEQKILDATSNEPWGPHGSLLADIAQATHNYHEYQMIMNIVWKRINDTGKNWRHVYKGLIVLDYLVAHGTERVIDDIREHAYQISTLADFQYIDSSGRDQGSNVRRKSQSLVTLVNDKERIQEVRQKALATRDKYRSAFATSGTHRSPGRYGSGYDDRYEGSYGSRSDNRNGYGREREYGYRDDDRYGGPGDTPNREGREGDRYSRDSNERYREDEYKGSHSNHEYADGSGRRSYGRERDSYGDDEAYSSRGRGSNADVSTQDERPIERKLSNQQIASPPPNYEDATRGGQDNLHDDRNGASVPGDMPKVSSPPVSITAVPAEQGNGVHDNAVQDAPAPQAVHAEPNGFDEFDPRGSVPDSSPPTNPSPVVNSLEMDLFGSDPMSALALVSVPQPTTATNVEPPANSGFETNSFVGMPPTSTGFGEFDASNPFGDPTPFKAVLEESSAVSHTSAAPAGSFQATGPGADANPFQPASAASFGFGDTLGDLTFAPEQQDMFANKTSSVSEVPPANPSAVPQQSVQPFASSQPTQPAVTGASPAAPSFAYSQAPQPAASNPYPVPQAVNSSFAHSQVPQHATPHLPSGQSNHFMQPASGAGMDNLSGFPSQNGAPSYLSPQPPQLTASANQQLPQPSSLSQTGTSAPQPGLISRGTSQPLGMLNSAPSGANFPLHSSSSAPPETIISALQVSKTEPVKKFEPKSTLWADTLTRGLVNLDISGPKANPHADIGVDFDSINRKEKRQEKKTNQPPVVSTVTMGKAMGAGSGIGRAGAGAMAPPSNPMGAGRGMGMGVGGGGAGYGGGMGMNRPMGMGMGMGMNQQPMGMGMGMNQQPMGMNQQPMGMNMGMGMNQQPMGMNMGMGMNQGMPMRPPLGMGPGGMPGAGYNQMGAAFGGQQQYGGYR >Et_8A_056759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16345707:16350267:-1 gene:Et_8A_056759 transcript:Et_8A_056759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRRVKDQDPERKRGVFVTRFSVLLHRPPFLTYLLYVKENFDELSHKDMESHSGRSLTSANAAATAGLSTAGGAKGKSSWKLKSVVTLALTLLTSSQAILIVWSKRAGKYEYSVTTANFSVEALKCLLSLAALFRTWNRHGVTDDNRLTTSFDEVSVYPIPAVLYMVKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILKKKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQTPIQGWMMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGVLFNLIAICVQDYDAVMNKGFFYGYSFITVLMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFNFHLSLAFFLGSTVVSVSVYLHSIGKLQPQK >Et_9B_064182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12290720:12293259:-1 gene:Et_9B_064182 transcript:Et_9B_064182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTLIFPGTKGIFACFHRMNEPCPVDKSTVNDDIKIYRLMEGFYEEAARRLPLDEMPELDFGLADPVTNIILNAIGLLLHDQQGDHHPYPRKKKARGWSEIASRSLDSCCAFMTAYFRYLSTSQARRYLHLASYDLYLAIKLVHYDRLHASKSRRPLLPDGGKIKAALRIAALDARHPVPDVLALIMTAKYPSDLLDSVLDKLRGSDMLTVSDVGKIKQLLASQWPPNPQPANIEFRCRPNGNTCVDGRDGTLLVSTSVGDGFLARISIERIPEQQNHKHISELTFDNSDNLESKLHKCLKEAESFKALHGTSDVNYYDSSPCQHILSLELCLLDTIHAFYIDALALMPTSPRLLRALLVAGHCYGPMEPVTNIILNSFWYDIAFPLAQDVEVELPQGILDTKLMARLESRSLHGLLGILYAYCYSSKHQALEYLNDLDCNLTKSSVVFPVIMGVRHHENIPFADVCQTPQGTAYGSFIMSQSPEKINLLQSLICNAEWVQLITILREESCGAVPMPVRLVCSFISLQLSGKKLSLMSKLDLLRTELNNVLRRYCNQRPWEPNYEVYVICGLIETKSSRYPNLCHANFLATRCDDPASDASSLHHAARVLFFAEFWISQSSKVVRSKSSICCRIYDHNACIGKPLFLQLLG >Et_1B_013962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25494478:25500040:-1 gene:Et_1B_013962 transcript:Et_1B_013962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGFVRIHPRWVQFLGYAIQFSFVNLRVVVWKQCSSELCSILRHSLRPAGVEEQPLTMASSRFWTQGDSDSEEEEEELESEQGSDSDDNGGPDDEKRSKVQNRYLRTQDDDSDESDSGHRVVRSLRDKRKEEMKSIVDQMRNAMKINDWVSLQESFEKLNKHLEKVARVNESTEVPKMYIKTLVLLDDFLAEALANKEAKRKMSSSNSKALNAMKQKLRKNNKQYEEQIQNCREHPENFEEVDVEDKDADEDEDSDAEIEDPDKIAADSDEEEKSDEDEPWIKKLNKKDKLMDKQLLKDPSEITWDIVDKKLKEIVASRGKKGTGRIERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVNVWKKCVDNMLLVLDILQQYPNIVVDTTVEPDEMETQKGADYNGTIHVTGDLVAFLERLDSEFFKTLQCTDPYTKDYVLRLRDEPLFMVVAQNVQDYLERVGNLKAAAKILFNRVMAQLGLCAFKTGLITEAHGCLTELYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEATHLICAMLIEVPNMAASTYDKRRPMSKTFRRLLEVSERQTFVGPPENVRDHVMAATRALNKGDYQKAFSVISSLEIWKLLRNKEHVLEMLKLKIKEEALRTYLFSYSSCYESLSLGQLTTMFDLSESHVHSVVSKMMIQEELHASWDQPTTCIVFHSVDQTRLQGLLFQMADKLSVLVESNERAYEARTGGTLEGVPPRRRGDGQDSSNLGKWQENFVSSQGRQGGNRFGYSGGRGGGSGQGGGYQRNDRGSQGSRGGYGGSRFQDGRGRNQSGSSSRGGDGVARMSGAALLLIQADASDDPFISC >Et_1B_014102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30782747:30787294:-1 gene:Et_1B_014102 transcript:Et_1B_014102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTSTVAARFAFFPPSPPTYGVEPVPAAAEGAGADAAASEEGSNVVVLTGVPRKGNVEARRLRTKRGTEVVAMYVRQSAARLTLLYSHGNAADLGQMYELFVELSAHLNVNLMGYDYSGYGQSSGKPSEQNTYADIEAVYRCLVETYGASEENIILYGQSVGSGPTLDLASHLPRLRAVVLHSPILSGLRVMYPVKHTYWFDIYKGTADDVVDCSHGRALWELAKVKYEPLWIKGGNHCNLELYPEYIRHLKRFVGAIEKSPPPPPIDDSLEGTGPSDHTQTEPEGTEDSRKSTDCRDKTRSSIDHRQSTDRREKARGSTDRRDKSRKSVDHPEKPRASVDQSDRPRKSIDRFGGMMKSVKLCNIDCFKVPPASGS >Et_8B_059667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20429535:20431411:-1 gene:Et_8B_059667 transcript:Et_8B_059667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQLRRIENPVHRQVTFCKRRAGLLKKARELSVLCDAHIGIIIFSAHGKLYDLATTGTMEELIERYKTASGEAQQGDGCGDQRMDPKQETMVLQQEINLLQKGLRYIYGNRANEHMTVEELNALERYLEIWMYNIRSAKEGMLKAANEILQEKIVEQSGLLDVGMMVADQQNGHFSTVPLIEEITNPLTILSGYSSCRGSEMGYSF >Et_9B_065459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5238093:5239472:-1 gene:Et_9B_065459 transcript:Et_9B_065459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKEIMVEEQVNDMQMAPLVENEEEVVMPQWMHVLLRTTFWKPCSRGHKDQNRAEECIFCLQCHAVFCPHCTHNEPGHRLLRIRRYVYRSVVLVDDMQELNIDVSRIQQYRVNKQMAVLLRPMRRSKQFRPQLGSPPCEACGCWLHEEHNMFCSITCMERADVSQDDFSGPEAERRYRNHQTNMLQPAEPDEQHLPEADNIVELLAPELLEAPPVVNPPELEAVEALPVVYPPGPEADEQNLPEAANIAGLLAPEQVEAPPLVNPPGLNYISFRNRPRKQANPGRAPFH >Et_8B_059176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14982216:14985319:1 gene:Et_8B_059176 transcript:Et_8B_059176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVHGASHRLLLLKCLCFLVALICSVAHGSKPGTPASRVVVLPSFRAPRPRGEPRRVAGDGGMDPALPLRRHPQQGPPGNLRSHTACVKHGSIYMQSSNCSLIVLFRRARAGRHAPAAHVGGAERQPHRRAARPSSASGATTTARWWPRRGRVVTFRILRNAGDKNRVQIRAPNGRYLQVNKDYSVTADHGESTSWGSDDPSPSSEMRGEFQICNGYGTAKATPVLRNHWNTFIVEDDFKFVSSNGLNAVRIPVGWWIAGGLNPPAPYVGGSHLALDKAFKWAEYGSSLTCTRLQDHRTGGSTVLPETARKSGEPPMQTLPRRCSLLMTWKLTCRYAKSPSLLAIGLLNEPMAPGVPLNNLVKYYKASYDAVRRHTSKAYVVMSSRVSADSGELLQLAGGLPGTVIDVHYYVFNSSFVNMAMQQNLDFIKKNYAADLMSLMRQNGPLSFVGEWVAEWNVPNATKEEYQKLAQVQMEVYGQATFGWAYWTLKNVNNYWSLEWMIENGYISLKQ >Et_10A_002033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19910099:19913604:1 gene:Et_10A_002033 transcript:Et_10A_002033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGFLNLHPTTSLSAEPYNSSPFQRLYIYYSKATAFRPAAIVVKLGLGSGAILAENSGCGITWATHSRCILLAKPIDPDMNEEKMFTLFKPFAEKLINIHGLQITGVKFIRDKKSGKPTAALLAFSDNGMADHVLQTYNGVALPRRNKKNVTFELSWARHGEGDSDTGAQPVVRVALQNTCLKTWGDRPENVKAAQDALLLRAKANSLAQLGKYTSDGEAAEAKEGMFVKNYSY >Et_6B_048318.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:11913442:11913486:1 gene:Et_6B_048318 transcript:Et_6B_048318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTFMGWALTMLA >Et_7A_052508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8781100:8782534:1 gene:Et_7A_052508 transcript:Et_7A_052508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARACNHALRLLPNPISTQLPSSRSRVEYRNFAVHAQLPAEDDDGYEAEPLKKVRVTQQSIRRSRRRGTGGGRQSLVSVGTARGGGDQWSSDFDLTLRQLHLDDLVEDGQRDADVLVRLLVQQHTQFGMSIKGRVVTSFRKICDSCSSPYCTNIDEQFDLTVLSSSRKNQSGLPELGDTDPSVIYVRPGEEIDLDSVIQETVRLTAAAKSSCSEACEKSTVVWQYSGKQRPTSTSQRWSKLLDLKKTLDESPS >Et_1B_013924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2478849:2480998:-1 gene:Et_1B_013924 transcript:Et_1B_013924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLAICREEDQEQSKTKEATFASNSFLVLNAYTKGALLQEVPANSIGTNLDSAPLAAGEKTESIDLACPLCRGKVKGWTVVEPARRYLNGKRRTCMQDGCSFVGTYKELRKHVKSEHPLAKPREVDPVLEQKWRSLEIERERQDALSTITATMGRAVVFGDYVLDLEDGDLEDEESDADVDDGHGTENTRRMILFIMRQVARHHQNQRLQGANGASDNTEDNYVVSSGANGNAPYSYPLEGENEDDMVMAGGRSTDVLRPERRRRRRRRNRGRLFLGPN >Et_7B_055811.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5115441:5116730:-1 gene:Et_7B_055811 transcript:Et_7B_055811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHRCLVLLLVSLAATVASAHNITAVLDGMSEYTLYNSYLSETKVCDEINSRSTVTVLVLPNSAMSSLVSNLSLADIKNALRLLTLLDYYDEKKLHSLHSGSELTTTLYQTTGDASGNMGHVNITNLRGGKVAFASAAPGAKFQATYTKRVKEEPYNLSVLEVSDPITFPGLFGSPSAASSNLTAILEKAGCKQFARLIVSSGVIKMYQAAMDKALTLFAPNDDAFKAKDLPDLSKLTSADLVTLLQYHALPQYAPKASLKVTKGDIATMASTGAGKYHLSVDSKGDDVSLDTGVDKSRVASTVLDDTPTVVHTVDSVLLPPELFGGAPSPAPAPGPVAADVPAAAPAPEASAPAPAPKAGKKKKKAKSPSHSPPAPPSSSPDLAPADAPEGDDADTADTKKSGATAAAASFAATVACFVLAVASLL >Et_9B_064115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11316790:11321084:-1 gene:Et_9B_064115 transcript:Et_9B_064115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVAATTAALSESDSADAGGEMSSEDAVAARPLLSPPSPSPSAAAPARESIEELDRRYAPYARRDAYGPMGLGSIGAAEAARLAFAAAVLVPLRVVAGALVLAAYYLVCRVCTLRVEEERDGGGEGDGYARLEGWRREVVVRCGRALARAMMFVFGFYWIREHNCLSLQDEDEHVDQSKELERPGAIVSNHVSYVDILYHMSASFPSFVAKRSVGRLPLVGLISKCLGCIFVQRESKTSDFKGVSGAVTERIQRAHQQNNAPMMLLFPEGTTTNGDYLLPFKTGAFLAKAPVQPVILRYPYKRFNPAWESISGVRHIFLLLCQFVNYIEVIHLPVYYPSKQEKDDPKLYANNVRKLMAVEGNLILSDLGLAEKRVYHAALNGLLCQS >Et_9A_061781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16303695:16304019:-1 gene:Et_9A_061781 transcript:Et_9A_061781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRNTSDVPPPVSQHSLHVSDADEEDESVKQLNECATIYLSLQALTSPYPWNDCLVETNRNWKACQARKWYNLAAHIYLD >Et_9A_063558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7297229:7301085:1 gene:Et_9A_063558 transcript:Et_9A_063558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQEIRNMHTGNVLLKPKPKDHQDLRRSFSECLYNGKDYQVVVAGCDSGDSDAAETVRCACCNVPEDCTAAYIRGVRAAHCGSWVCGLCAEAVGERLRREPGAGVEAALRWHTAVCRDFNATTRLNPKLSLAGSMRDIARKSFNRRTTSTCQDELRAGKTMARTTQDKNSS >Et_2A_017933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8008468:8009453:1 gene:Et_2A_017933 transcript:Et_2A_017933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQAYDNIPEGTQAVEEITKISISILTRIMYHVMPLNTKINVDTKEAMSQCVSEFAAVLIREARQVAREDSRVIVSGDDIISSLDRLGFSDYVAPLSLFLRRYRESQGIVPHGWQAELPQHAPPAVAAASVEIQQQPPAVGSAPVEIQQQPELDLTLSLALPGPRDVTELELHEDVYKLWPGAAGRLMPPAGGDHT >Et_10A_000676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15327284:15327636:1 gene:Et_10A_000676 transcript:Et_10A_000676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEGTGNTSCLADVEHSTYYTCRSSCGSTSSTGSGSGSPGGPSYTWPAPPPSDNGFTMAVCVALSAFAMFTVLTLFATTMVYTFMHRTLLPKDTCITITSCFFACAWRMT >Et_3A_025558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30751571:30752701:-1 gene:Et_3A_025558 transcript:Et_3A_025558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFFTSLAHGLDELGRAGGLTSLPALLRAAALLRGLHSQLTLMVGQLHLPPGGRWLDEYMDETARLWDACLAVKLGVAAVERYCAAASCAAAALEDWLQDPSPVSTRQSHLDQTERLLHLLHGPGI >Et_6B_048902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15279887:15284520:-1 gene:Et_6B_048902 transcript:Et_6B_048902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQRHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKSSRSGNSSNNDIHLNRAAARNRKTNFFNLEKHIEPHEQEFALPLAAHGVPVIAGQHQDPVEQLVELAGQGDQPGAAVLPADGSRRNAIVLRVIGLLHAKRAAALPLRGVVLAQDEEEPRVEGGDHGRLGEGLRRALGDGECAAQERRPVDGRVEAAHELQVHVRLEVLRASQIGEALGDALRLPAPLHRAVVPGDVEHPLDRDRDELLDRHGRVQAAGALPGLLHPLGGGGLLVLLVAVQAVGGVVDGRVDGVEDALRAAELGLREAVHLRGAEDVPASDGDVDAVGSEATGRRERVACGEQVHGRLGLPGLRAALPGGRADGGGVAEVADEALRDRRLRVLRREHVGLGERLVGVALVVEQQHRPGGRADVVHRGGSGCARGVRGNAAAPPGRRGDGRRSGLGLRGGVLGKGTEREVL >Et_2B_020158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17404170:17411155:-1 gene:Et_2B_020158 transcript:Et_2B_020158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CHGRELRVLRGGAVQDGGGLLQFDTVEDIKQKLQSRRGWPAAAMSVLHNGDVLDGSGIERHGIVEGSVIHVKLRKRSSSAQPELPTRWEEPFKMEVGFFDTVEDIKQKLQSRRGWPAAAMSLLHNGDVLDGRGIEQYGIVEGSVVHVTLHGRQQQQQAKGRQASKRLRMAVVSRCGAGRVEVAVCARAAVSSLRAELERARGGSFPLPHDGAYFFIHRQSVMDEARSFEWHGVAAGDEVVVFDGSVTRGPAY >Et_8A_058413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6909317:6912033:1 gene:Et_8A_058413 transcript:Et_8A_058413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRIAFSAASLFPSNHAAAVPMALAAVASGKGGGVLDRPVEKVTPGRQSEFDVKKKRKMTPPYRVMLHNDNYNRREYVVQVLMKVIPGMTVDNAVNIMQEAHVNGLSVVITCSQSEAEEHCTSLRGNGLRSSIEPASGGC >Et_8B_060765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6422571:6423741:-1 gene:Et_8B_060765 transcript:Et_8B_060765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDQKLVSFILSNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDAEEKLVIDLHAQLGNRWSKIASQLPGRTDNEIKNHWNTHIKKKLKKMGIDPLTHKPLQPTTNQQQRQDPSPTSPEPRPPTSEDQRQKPNTAPADAAAAATGSRNDEEPPPPPLLSKSPGFCTDEVPMMHPDEIMVPPAAQPSTTACAAAVASTPATSYSASASSSCDEEVPFPAMDWPDAAFLMMGLDDDDDMIAGAPPAPWDECLVQPPSPVYVDPCFSAFQFQQEAWNKLELF >Et_5A_042662.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24558384:24559520:-1 gene:Et_5A_042662 transcript:Et_5A_042662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSPMVLSLLLFASLTALLLLAPRMSPPPHAVAAAGAEAAAEEAPATAGGAAATAAGVGSGGVWGAGVGDEPDDLALFRRATLDAGAGAAASPPKVAFLFLTNSDLAFAPLWERFFAGHEGRFTVYVHADPAARLLQPPTPSFRGRFVAAKPTRRADPSLIAAARRLLAAALLDDPANAYFALLSQSCVPLHSFPRLYAALFPPAASARRSLRIRPPSYIEVLTGEPQMPSRYVARGEDAMLPEVPYDRFRIGSQFFTLARRHAALVVRERRLWRKFRLPCLPETRDYSCYPEEHYFPTLLDMADPAGVARYTLTRVNWTGSVDGHPHTYTAPEVTPGLIDELRASNNTHPFMFARKFAPDCLGPLLAIADSVIFKD >Et_7A_051030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13799730:13809243:-1 gene:Et_7A_051030 transcript:Et_7A_051030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLGPTLQTHKSDGPSQPHRTNYKKSASAPHQHRSSQALAWRRRRSLCRTTSFPRSSSASTPVLRRVQGVAPHPRRPDLFRPLPRAPHGTAPPVLGFFHNPTDKEAAHFVPATTSSFHPPTADRFKREVLDCRHGRVVLYDYDDDSPTGSCFIVWDPIAGDLHKIPDEMPGVAVNAAVVCTAGDGRCDDHRSCSDGPYIVAFASLDDEDDLVNACAKLYSSETRKWSRDTSIHVGFKRFNLESDRPGTLSLWGTRSTSSGALLRYWYTPLLLDCKDRIASGVRSSDILTLIEPPDVKHLGKVVVMAAEDGGLGLASLRRNKISLWAREMAADDVGGDARWVRRRIVDLKNLLPLANPKRRACLSGVNPEDANLIFISRGWRLHRPARDLAGEKGVQHGQRRQGYLSICELLHGDVAGMVSRSYYFSLYICLVDYGLEALVYLLLALAAAYVYKHARRSWSPPQLQRLPPEPRGWPVIGHLHLLSGGRMPHHAMADLARRMRAPLLGLRLGSVRAVVISDPELARAALTTHDAALASRPRLLSGQVLSFGCTDVTFAAAGPYHRAARRVVVSDLLSARRVATYAGVRREELRRLLARLARKTEASPSGEQPVVVDLSACLLTLANDVLCRVTFGRRLPHGGDKLSAVLAEAQDLFGGFNVGEFFPELEPLASTVTGLRRRLRRCLADLCEVCDEIIDEHVERQRRAPAGDLDEDDFLDLLLRAQKSPGLEVPITDDNLKALVLDMFVAGTDTTFATLEWVMTELVRHPRVLAKAQAEVRRRAKLDEADFAGLRYTRAVVKETFRLHPALPLLVPRESVAPCAIGGYDVPAGTRVFVNTYAMGRDPEEQDGEFGGEVVVDLKDPHYRMLPFGGGRRGCPGYTFAMATVQLALAGLLYHFDWALPDGVRPEDVDLRESFGLSTRKKEPLLVVVRKSPGFDLGRAPPSTRAPMESPRTPPELNLDAVTEVLLRVPPDDPARLVRASAVCSIWRRILAGPAFRVRYRALHGAAPQVLGFLHDPADRQLPRFVPTASFHPAAADQLNWYPLDCRHGRALLYNCGSGSRGGFSGFVVWDPITGPQQRMPDNVGDVYMHFAVVCADTGGCDHTACGGGPVLLACAGVDREYDRAHACFFSFETGDQSVPIYIQCDGCRLEDRPAALVGDSLYFVGKSGILLRYRYDLLLRLGHRNILKAGIYSTDVLSVIKPPGAKGLGNVFVMATEDGGLGLASLHLHKLSLWARETGPDGGAGWVQRRTIDLKMLLPAGNPKRRPCLSGVAEGANVIFVSTEDGVFTIELESLQARKVCEMGKVEQIYPFVTFYTETLLVSIDISSSQRVYFGETFM >Et_2B_019194.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:16777946:16778098:1 gene:Et_2B_019194 transcript:Et_2B_019194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKATFVGPGFARKPPKYELFIRTTGLRSTKAHVTRYELKCTFNLTLSR >Et_10B_004381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5291367:5294315:1 gene:Et_10B_004381 transcript:Et_10B_004381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRLLVLNRLRGFPKLGDFSAQIVQRSHLSAKSGQHAAQSAAAADPALAASLLAERDWFARLNEEFAAPLRRLGPRFVVRAMQVAAPEPLLCVRLFVWASRFGQHFARDRAVRRALGDALWRRGPVVLSAALVAEVRECGCEVSEELLCALVESWGRLGLARYAHEVFVQMPRLGLRPTTPVYNAVIAASVRAGAVDAAYLRFQQMPADGCRPDCFTYNALVHGVCRRGIVDEALRLVKQMEGSGIRPNIFTYTILVDGFCNAGRAEDAVALLGKMKEKGVVPSEATYRTLVHSVFKCLGRDRAYKMLSGWLETDPALHSTACHTLLYCLSKNNMAKEAVELVKKLLRINGSVLDNASFSLVIAGAVKCLELSDLCELVDDFIKKGGNMGFDIYVTVIKSLLSAKDFPKVNKYLHQMVQDGLLSSVTSYNMVIDCLVKAGAMERGTEIVKEMQDKGYFCKSHNAWAK >Et_3A_023934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14903114:14912991:-1 gene:Et_3A_023934 transcript:Et_3A_023934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGVPRGGVREKLREAACNAAAGGSAGVISATVLCPLDVIKTRLQVYGLPSNLSSGAAPPGRVIISVFQQILKHEGLPGLYRGLSPTIVALFPTWAVTFSVYNHMKGLLHSQDDKNSELSVQENVLAASCAGIATATATNPLWVVKTRLQTQGMRLGVVPYQSVLSALRRIAKEEGIRGLYSGLLPSLVGVGHVAIQLPVYEKVKLYFAERDNTTVDKLSPTRLAVCSSGSKVAASIITYPHEVVRSKLQEQGRDHRGAMRYSGVTDCIKQVYQREGFAGFYRGCATNLLRTTPNAVITFTSYEMINRLMHKILPPK >Et_2B_019341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24687874:24688954:-1 gene:Et_2B_019341 transcript:Et_2B_019341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALSGQKLLVVHPSSGTAVLGARRRVCAAVFLACFACVSLATTLLSAARDPGAAGGGASGRGPAALAVSARDGAGLPGYVFDALVQYAAAGGNSTPSMPGADVRGIAAVLKRRAPCNLLVFGLGGETPLWRALNHGGRTVFLDENQYYVSHLEGRYPGLEAYDVAYTTTVREFPDLLDAARAARSAECRPVQNLLFSDCRLAINDLPNQLYDVSWDVILVDGPRGYTAASPGRMSAIFTAGVLARSRTEEGVATDVLVHDYEREVERVCSREFLCEENRVAETSTRSLAHFVIRGGSAVRRDAFCGGAAAAAAH >Et_4A_034083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29895629:29898616:1 gene:Et_4A_034083 transcript:Et_4A_034083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYAFVARGTVILTEYTEFTGNFTTIASQCLMKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQVPIAFLDRVKEDFTKRYGGGKAATAAANSLNREFGSKLKEHMQYCVDHPEEVSKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTQVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCNK >Et_6B_048287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9949984:9952914:-1 gene:Et_6B_048287 transcript:Et_6B_048287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDVNSPLFRSFLSQKGGADRRKMEEHKPKEQRPKANENKPVMNE >Et_9B_065838.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14579955:14580650:1 gene:Et_9B_065838 transcript:Et_9B_065838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKAIRVTCCTAPPPLVSYNICVWCPDAEVAMEPSVEAADGDLVLLCVSVRGRAELFVYKASGTNRGPSLPSSLTPSPACPPFFRYNIALLARRDVDATEEDDDRYCVAALNRKIGSRIGDFQLWAFDSEEGKWSNTPFSLDGIYLHIMSKTIALGDGGLLGFIDPWRGIVVCNVLGRRPARYLPLPPHLIRLDKFRDEPLLSRDFALLEGRQGLRLLEQGTVLVEQGR >Et_3B_027446.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:17827941:17831101:-1 gene:Et_3B_027446 transcript:Et_3B_027446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTLAFAKAVQEVPHRSRLAWRTIEVTEAGKAGQADKALDLFEAMPVKNQVAWNAALAALVDAGRTEWALSFFREMPRRNATSYTTMIGGLSRAGATARARCLFEELPFDQHNVFTWTAMVSCHVRNGEHDIAIELFMALYGEFFERKMLPNKHTFSSLLKACVGLQSLCLALQLHAVIFKLLDEGGRDCFVWNTLIDAHAKLGLLSDAEKVFYRMQYKDICTWNIMMDAYSRHKKVDRALDLFRMMRNKDTFSWNTIIHCLLENRRGEHALHLFIDMVRLTGHYGGNNKPNASIYSTALNICSVLALLELGRQIHARIVKDGFYQCNVFVCNSLISMYSSSGAVFDLEQVFNEMTVRDIVSWNTVIQGLGQNGLGRQAMMVAECALEQKMYNSNTFIAILTSCSHAGLVTEGLSYFDLMTEKPGVERTLDHYISTMDLLGRAGRLEEAYGLLRNMPFAPNAVAWSTLLHSCLVHKNSVLGSIAAQELRSLQPDSSGNYERLVQNYEGCSEVGVMPFGNEKNANHIPGCSWVT >Et_3B_029193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22916358:22919817:-1 gene:Et_3B_029193 transcript:Et_3B_029193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPESPSTRKDGADEKARKAAWSEIRTILYVLLALALSPFVYYLVFNLPPKFSVQITDIQGLDDAASLSTVFKMNLHASNKRGSMATCYRHGEAVVRYSGFTLALGRTRTFCAGAKDAMVVPVVAWADGVRLPKDIGERMVAEKHAKGSVEIDVDVKLFAQEDSKGAEPTWLWCKVVTGGAKPPSDVSPCTVFRSKIWASDFAPHWMLPCHSPSLFHNADGDSEKSRATHRHKRERDTLLLECFRDLCFMVFAIALPIYFLCDFPPEFSVQLEPIKGLDTGGASISSAFNLTLHDNNRRVTGRCYHSGEALVSYGGFTIATGRFPGFCVPGKGDREVRFLASGDGVGLPEHVLDRLALERRIGATQLDVEVKLFRRDDGSGRPMWIWCGLRVDGAQPPNVTPFPCTVLGLQNWFS >Et_6B_049175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19060069:19071159:-1 gene:Et_6B_049175 transcript:Et_6B_049175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVREEMRKGPWTEQEDMQLVCTVRLFGDRRWDFIAQVSGLNRTGKSCRLRWVNYLHPGLKHGRMSPQEEHLIIELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQDRKMSMSPSCSSSSLTYQSFLLDTTPTTGINGDAHNGNSCITSALESTQSIRDGYPMDQIWKEIEAPQAPAMLGIDDPQEKAYGSLPCPPMTTAIWDYKCPELSWKMEDDGIRMLSPQGKNALWKSSIQIKSAALALEVGNHRPSSSYAISVAICNCSQNTSPKFANQNSGDVLPHREVREASPATAAEASISPGPSVLGLLMGRKGTLPLSSERAPQVGDLATPEKASDCTAYQHDMEASLPHLALCNSYSCEVDLVDLAHNHLPP >Et_6B_049011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16655381:16655794:-1 gene:Et_6B_049011 transcript:Et_6B_049011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASESNAVEQVHEELDACVLEPGTTVLLSQLQLRRGRSRVYVINLSLHAVPVRRHKRMLEHPDLVVGVGGHVRQRVGVDEAEQSLEHLWVHVFNPYMSRTSSDGFFVVGAKELGHEER >Et_1B_013413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8139610:8145608:-1 gene:Et_1B_013413 transcript:Et_1B_013413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFCNKPSFLSDRTSVAGTSTGIEKDMGMRLICPSTQGLFILLSCTAAVVAALATPKPSYQSSQHLPSYYPQRQDFPNEHLYNAYFAIQRFKDTITCDPKNITTTWAGHDICGKNTYLGFHCAAPQGHGNRLTVTCVIFNGFGLCAPELQGFVDQLPDLGHFQATSNNFGGDIPSLAGLSYMDSLVNAGTKHFNGLTANCYGFQTKSGDLGDFLKNPRDTMVNIITPDNKKPSGACKSTPLIPNMNYLALANNKLTGPIPPSIGHLQDSLLEMLLLNNQLSGCLPHELGTLHKAAVIDAGKNQLTGPIPSSFSCLRIVEQLNLAENRLYGDVPDALCKLAGPAGRLANLTLSGNYFTSVGPACAALIKDGVLDVKNNCIPGLANQRRPAECAAFQSQPKTACPAASTQVTCPAAAATTTAAPAERKAREYSSYVTYATLHE >Et_7A_050936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12629117:12632541:-1 gene:Et_7A_050936 transcript:Et_7A_050936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEGLFYKSNSDHSISSDEEDMLVRSYSNLNVSFGYHCDSYQSLYPENDHGNGISPKKKFGTSTMMGSRNGSFTCLSGAAISANFTLANTNICKGLIGEEILPELDSPNSFRKIVSSPSMSRLDSLSNSLGSPASPESSIFEISKNIWRSSAPTTITSNFLTSTEVKMAGGAAGEDRVQAVCSEKNGWLICGIYDGFNGRDAADFLAVTLYDNIVYYLYLLECRIKQQNGLDTSPENSLNEIKSELTLAMKIAENEDIKHSEAFRSGLLNCLAAAVEQAENDFLSMVEQEMDDRPDLVSVGSCVLVVLLHGKDLCILNIGDSRAVLASMPYAENGTLKATQLTEIHSLENPLEYQKLLADHPNDASVVMGTKVKGKLKVTRAFGVGYLKQKKFNDALMGILRVRNLCSPPYVYTNPHTLCHKVADEDLFVVLGSDGLFDFFSNDEVVQLVYQFMHDNPIGDPAKYLIEQLLLKAAKEAALTAEELMRIPVGSRRKYHDDVTVVVIILGNAQRTMTASTFLMDVFIHEDYVNKRNEVKRRQQQLRMLQVEGNPGASRPAPAPRESPRVPAQCVTPTGASTPSFMSPTGSASSPTAAGEAAAWPSEHPLFECLKPY >Et_7A_052278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6480548:6481050:1 gene:Et_7A_052278 transcript:Et_7A_052278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIRGILRFLVFALVFATFPTNQVCGEDDCEADKILIKRKCHMTIAQSTPYIKPGKQCCEAIAESDVPCVCRIITKEDETKIHVLHLVWAADDCGKPVPPGTKCGTCNLSSEFLLYSWLGCSNTRSAASAKGTTMRVHKRKPTLKE >Et_6B_048674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12463637:12464346:-1 gene:Et_6B_048674 transcript:Et_6B_048674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPARQGLPPGGLRGRSRRRRRVDAHTGLHEAADAGGAVQILRLPVAEDVAADVASFPRHDQVAAYLDAYAQRFGVLECVRFGCMVVGASYVGATEQEVAAWERWSGNGEAFGNGTGEWHLTLRHDDGNGEQPSTQIHKFDFLILCVGMYGVPKLPTFPDRRGP >Et_6B_049141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18626116:18627239:1 gene:Et_6B_049141 transcript:Et_6B_049141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HWQPGLRYTYTSSEGEIEATLPEFLTPTAPPSALRPGSAPVSRMALLRSAARARQLLQPLEQQGSLLRRIPGPRLLSSSVPTERQNSLNRRLTDTVERKRLANGKNEDSLQRILMKIDKVSNNLDEHSRLLEELEARIKANNKSREIDLTPAVVLISASFISFGLYK >Et_3A_025953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34221141:34223436:1 gene:Et_3A_025953 transcript:Et_3A_025953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFSFSLDEPSSGSKRPYRWQRVLLKVSGEALAGDHTENIDPNVTMAIAREVASVTKLGVEVAIVVGGGNIFRGATRAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDADPKHNPNASLLETVSYHEVTSRDLSVMDMTAITLCQENNIPVVVFNLQKPGNIAKAIVGEKVGTFIGCTRNQEQNGNALGQERRLVNEV >Et_2B_021918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6914683:6919752:-1 gene:Et_2B_021918 transcript:Et_2B_021918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRPCVAVVAGGSWKKSGKCFPGTSSTSSARGQEGADEEGKAKKKLCFLEVLDAEPVRISFKIAELYSSREQKRSDAKARKASLAESILAAISELMSPAAAVDAEQDSFMDVGSPIHHAAPGTMELQKTQNSPTSMMHVMEYSYIASNVDLARDLVAKCSAVAQQLMNDDLLSITKELDNCINTISNELNRLPASAFVSSRLPDAAVSGHLQVVKSRQDLYDQHSCDGFSEGDMPMVMAIDRPRRRTLHNSDMPRLVDFLQGMYQESHEFGAQSFNSLPEVAEYVEPLYDSFFCPLTDKVMVDPVTTESGVTYDRRAIEEYFEKFTDDSEPVICPVTKMAMQSKTLRSNLPLKSTIAEWIMRNEATRVRIARTALSMATTEAMVLEALHELKVLARMRRKNRDQMHKIGITKFLARLLEHKDALIRCDSLDLLCLLVEDETGKEIIAKTRAVSRTIKLLSSTSPDERHAAISFLVELSKSDLLLENIGSTAGSILILTTMKFNSSDDPIAAEKAGEVLKNLERCPKNIKYMAESGYLDPLQRHLVEGPEDVQMEMVSYLGELVQKQEMTINIAGSALEILIKMVRNGNTAIRKAALDVLVQISSHHPNGRTLVDANAVPVMVEELFIRKIDDEPIGSKTEAAAVLANIVESGLDPENIVVNKEGHVITSKYSVYNFAHMLKCTMPDNLNLSVVRVLLALTARPKPLATVVSVMKEQDNSHTVIELMGSPTSEALAVSATRLLIALSPQMGHTIAEKLCRAPGQPGKLVKSVGQPGRVTERHAVSAMLLAKLPYQHIALNISLVSRGGVPTLLAKIEEMQRGGMRAGRHARAYMEGLVGALVRLTTTLYDRDVLQAAMDHNFTSVLADLLVRSVGSDEVQRLAAVGLENLSCQSSTLSQPPAEERRPKRKNILQRLRDAHAGRVHDNGRSPAAAAPLPQPQHGRVCPVHRGVCSPAGTFCLVEAGAVEGLLGVVEGNESARVVEAALGALCTLMDDAVDAAGGVAVLAEHDAARHVLRALRQHRDGGGAAVTRRCFWAVERFLLHGGERCVRDVTGDRALPSLLVAAFHKGDAATKQVAESVLRCLHRMPDYSATYESVEL >Et_8A_057864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8432460:8436717:-1 gene:Et_8A_057864 transcript:Et_8A_057864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAARWVELACKPPGRGSIAELVYSLARMVRQAGERRGLGQNRAGIGGRRSTGVGADGAERMKGRGRRERRAVWSKNTHMAILAVRQQSRGHFRETQSFKAIWAKPNEMDAKIAKDSHLVRLLIIAFGPGYSYTLLRMVYKDKHCDGPTQLLLILYWFYVISLAFNGTLEAFLQSIASQSQLKMLNDFSLISSVVYVLIKVLLIGYAGAVGLIISDAISILCLRCHDYINSYAISIHQVLPSGWWVLVFSGAATIISDMMVLNKESKETYWQTLPLHVGIGTTCLVLCCAVMYVSTPSNLFSSEMISMLLIILINQISS >Et_9A_061481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12414552:12420765:-1 gene:Et_9A_061481 transcript:Et_9A_061481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPAVSVDKATNDLLLGPDWTLNIAICDAVNSDHGQAKDVIKALKKRIQHKNSKVQFLALTLLETLIKNCGDHVHFQVIERHILDDMADVQVREKILTLLDSWQEAFGGPGGKHPHYYWAYAELKRSGVEFPKRSPDASPILTPPVTVPASLPFQAGYGMPVGSPSRLDEAMSSTGASLSMSDLERMLGGAELLSDMLQAVNPIDHDVVNDEIITELVNQCRSDQKRIMRSVSSLRGEDLLGQALDLNDKLQILLQKHDVLISGSPPPDDVTDLGSELPAETTSNLGAKAPPQAVVSPTVIQTNVLNEEEEEEDDEFALLARRSTNDKISSSDLGTISSTIHEGIANSGDSVPLTTSSSFPSNALSLPDPPAPVRTSPEEQVMSDLLALIISSNPSTPYSPVTAEQTLNHDGSPASDHPQHYHVNEGHAAAHYVAPWAQSPSQTGGIQQQTLSQSQQLNNSCAYPPPPWASQDETEPNPFVQASYQHQFASNLPINGPSNLMPLPQSHSFGVPLRTASFESPINQNLKQPLSAGARRAPSYVSSNKFFDDLFERNSDGSLKVGPVGSGTSSPYKA >Et_2A_015027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26760993:26762483:1 gene:Et_2A_015027 transcript:Et_2A_015027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFTDAYDLFCISLVTKLLGRIYYTDPSLKDPGTLPPNVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSVASGLSIGPTPRRTRGAFIAAVFAMQGFGILFGTIVAIAVSAAFRNAYPPEADYLWRIVLMFGTVPAALTYYWRMKMPETARYTALIARNAKQAAADMSKVLNKEIVVDEEDAAAGGLVDSWGLFSRQFARRHGVHLLATCSTQNLFQKDIFSKVGWIPPARTMNAVEEVFRISRAQALIALCGTIPGYWFTVALIDVVGRFWIQVVGFLMMTVFMAALGGPYEHWTRPANHTGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQDPKKPDHGLAGTNFLGMLMSFFVPESKGMSLEELSKENVADVDETAGNV >Et_2B_022085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8944387:8946195:-1 gene:Et_2B_022085 transcript:Et_2B_022085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKWEPVTFEESLSFVKKVKARDYMLYLSLLDVLNRNDQIPLEAYSELSFLFRHHEDLLAELAKFRPLPCPNNVYTHSSIWMFIVLMPFLLLNILLAFEKPLKGFLLRQPVAT >Et_3B_027746.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:1768748:1769017:-1 gene:Et_3B_027746 transcript:Et_3B_027746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWRFLRAAREDAEVYMAMTEEDVVEEYRRAGKLHKYDPDKEVDRRRLAIDRSYRGSIPFWSCGLAICSSCVGAQWCKIELYLGLDRL >Et_9B_065524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6117467:6121824:-1 gene:Et_9B_065524 transcript:Et_9B_065524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASATGGETRLVDRCIDAAARSAASVEVWRRQRRSLERLPAQLADALLRRVAARRLLFPSLLEVFQRSVEEIDLSGFVAVDAEWLAYLGSFRYLRVLKLADCKNVTNAAVWALSGMNTLKELDLSRCSKISDAGIKHIVTIDSLEKLRLSETGLSDNGVMAISSLRNLRLLDLGGIHMTDKALRSLQVLTQLEHLDIWGSETTNEGASILEAFTKLIFLNLSWTYVTRLALPLTMRSLNMSNCTIHSICDGVSEFPVPLETFIGSAASFGNIDEVLSSIQASSLAYLDLCGCCLSNLSFMERMKNLEHLDLSSSGITDDAIEYVARIGANLKYLSLKDTGITSQALCILAGTVPNLVSLSLADTKVDDSALPYISMMPLLRTIDLSRTCVAGFAHTEANVGKTLSMSVFENLRYLESLNLEGTPLTAEVVPPLASITALKYLHLKSDFLSDPALHALSSASKLVHLGFCGNILSSSGLLQFVPPDTLCVLDLSGCWILTADAISVFCKRHPKIDVKHELMQELKSSHSASQLNKPRKSLLGKTKVANSLVGPSTLFDISVVDERIKYTKEELLELQHIDESDFVMHDESIKYTKEELLELQHIVESNLVMHCERLPPELQRTT >Et_9B_065572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7118082:7124819:-1 gene:Et_9B_065572 transcript:Et_9B_065572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAMRGNPTLSSCLTPAAAFIVFAILRPPLAAAQPLPWNLCNDTAGNYTENSAYKANIRRLAATLPDNTSNSPAFFTTRATGTPPEVVYALALCRGDTNDTLLFDNVSSTTAAAFDAASGRLVNATAEFAAADAVRRFGTGEEAFDKTYPKIYSLAQCTPDMSATDCQNCLGDIIRKITPRYFKGKHGGRVFGARCNFRFETYPFFFGAPLVQLPGQAGPPQANTPPPETSKDSPNPDDIQSVNSLLLDLSTLRAATDNFCDSNKLGEGGFGSVYKGVMSDGQEIAVKRLSLVSTQGVEELKTELVLVAKLQHKNLVRLIGVCLEEDEKLLVYEYMPNRSLDTILFDSQKSKELDWGKRLKIIGGVARGLQYLHEESQLKIAHRDLKPSNVLLDFDYNTCRQGPNTSGYMAPEYAMRGQYSVKSDVFSLGVLILEIITGRKNSGSYANSEESVVLLMSLVSTSADPKAYIHTRIIFQNSRITYQICAESWTARTSKGLLDPFFGCQAPQDQMLKLVNIGLLCVQDNPVNRPMISTVKVMLGSDSMSLQAPSRPTFCIPDVQADLDVQCKAIASPNEVSLTEVKP >Et_10A_001779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8098294:8099942:1 gene:Et_10A_001779 transcript:Et_10A_001779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDRMGFGLILLLAHSGNGSLSVYLISCRGRPQEWKWLCRDSLSWTISRRLADRKSAKFQKNITKRGSVPETTVKKGNDYPVGPLVLGFFIFVVIGSCKLQSLTFAIPHLDLMHLDVFCIVFL >Et_8B_060630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3764719:3769067:1 gene:Et_8B_060630 transcript:Et_8B_060630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGMPPRRELVLSDSDWSFPSRQSSAGIAPDSWFLLRSSVVSSARSPSSLGIAPVRLVPESTSVLSDARSGRRLSAADDGSAPPRSTDLMLSDATSPRASHVTPSHRHGDASDAAHDPSAPAASIEFFHRSSAWPCSLTQWHGAGSSSSAEQTSRARSRLALLLLVAPRKRGGIGGWRGVRVHGRARGGSGGASSSVPWDCRGGKPHDLFILAHKGFGDLDPNEEQLSNSLVVLAFQGYSFQPTTDLIRYSGSLYWLKLLWIHLIRLLDPCMTSEARAKRLESRDSHPSFRPESYWTPNCRGWGALSPSKST >Et_1B_014162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33358416:33362310:-1 gene:Et_1B_014162 transcript:Et_1B_014162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMPLRLLPSKTITLPSLPSARSSFAATSPAPRRWRLLAAAEEAPEPVEVKFVEPEAEAADEASAVPEPVEAQLAAAGAGKDADIFAVVMIGSRQYIVMPGRYIYTQRLKGANVNDEKFHSQVLSDLQIILNKVLLVSTRDKAYIGMPVVTNAAVHAVVEEQGRDDKVIVFKYKKKKKYQRKLGHRQPNTRLRITGISGYEEYPADPILDPVEERENRPAIVKDC >Et_10A_001510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4411301:4414267:1 gene:Et_10A_001510 transcript:Et_10A_001510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDSSSAEAIGSIRREISVVVRMSSYFSIPDGLPKTYCRRKTLSPIVVDPSSYGLLQLVNHIADHFLWGSKQYISLWRESEHDDDVRFPIKSDEQLLQWFELNLDKGVVHIIAEIDDFEGPLQCSPTKRSLHPKVRERLLETPSTPSLDLDPRVDPTQLTQSTPTKERATPTKEITTSTKKKKGSKKSMSDGSVGVDEEGMYSDTDSLVAMSDSSYDTDLAASSDSDIDSSDVEYDPDDDIVDEDDDDDDNPPFSYDVHDPCIDVGVVFPDVKQCKEAVTHHAIINNHAFRHTRSDSDKFRAVCKRAEQGCKWKFYATTSKKKYIGCKVKISGPKHTCGSVNQSVQVGLQVEAEV >Et_2A_017320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33165414:33167567:-1 gene:Et_2A_017320 transcript:Et_2A_017320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRVHLLTFLMSMLLLPLHGHCGKASAAASGSCHGGGAGAEAAGGRRGCGNINKASGKKHQQQVEGVFVFGSSLVDNGNNNFLKGSDVVRADYLPYGVDFPLGPSGRFSNGRNVIDALGELLRLPGLVPPFADPTTRGAAALHGVNFASGGSGILDNTGQGGVVSLRQQIVNFEAVTLPDLRAQMRGAMATNGHRIKGQDSSFRECYLSKCLFILGTGGNDYLLNYFNPRNNGTEGRPSVPEFTKSLVTKLSDHLQRLYSLGARKFVLFSIQPNGCTPVVRAFLNVTGAACIEPVNDAVALFNSELRRLIDGAGARMPAARFAFIDSYRIIKDLLDHPAKHGVKETGRACCEMSRGSSGVLCEKEGPICRDRTKYVFFDGLHPTDAVNARIARKGYGSSSPEHAYPINVKQLAML >Et_4A_031874.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25575635:25576597:1 gene:Et_4A_031874 transcript:Et_4A_031874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFYDTFVRWQMRKLKKMGKVVKDMRYTIYSPLDGQPCADHDRATGEGVLPQEYVLIKMEVIPPFPPKLKALEGRKVYLAAATLRPETMYGQTNCWVLPDGKYGAFEINDIDVFIVTARSALNLAYQNLSRVREKPTCLAELTGSDLIGLPLKSPLAFNEIIYALPMMTILTDKGTGIVTSVPSDSPDDFMALQDLVTKPALRAKFGVKDEWVLPFKVVPIINIPEFGDKSAEKVCFDLKIKSQNDKEKLAEAKRMTYLKGFTDGTMIVGECSGRKVQEAKPLIKNKLLEEGTGVLYSEPEKKVMSRSGDECVVALTDQ >Et_3B_031163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19468625:19473298:-1 gene:Et_3B_031163 transcript:Et_3B_031163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLKEMKYRRRIGMEERPQCSVPRGAAADWAALQQDPVELLRKLDELKDQIKRSYQVVEPPREHRRVGRRAVSLIPEQPEPPPLPGYHRSRYGGRYGHGLPQSPYSPVRSEHGERYVRQSRGQYRQFTGRQWENGGIGQGSYHHYSCACPHCLHAQRAPLQEENIPMERYFAGQHESFRFERSPSVSSDYDRRSVASSLYSHRSVSKRRAEYFRKKAEHLCRPVDGAAPFVVCSSCYQLLQMPMEKCIVRKQKRLQCGSCCQTVSLKHEEEKGIPFMQSASLYVPDMELGSSDQMRQDSAHPHHEDFNSVFYRSNEHSSMQINTDFADDNSLCSTVSRDRTEKECGSSRSIQSKTDDLSFSPSRSVDVGSPKDILCERDADCKVEPSVDCQISPHSPVLEDKLVDPLCTQEKDNEGEDQGLAYRIDLTSKGEYNGNNGVNVSTGGKQKICEGDTGATEDENSCKNYELKNKEENCCNSEGDSKMHEQDGGSTADLEDVSEKFKRTNKMYDSGSTNGENMSEEYEQKSEGDGKTILEAQSNSNYGDNHKADTNGALESASRSERHEGLKMEDDRKLQQQFVEDASSLEESGSSVNGRTNSGFSRGSSDTGLDEDQSSTGKSGDSSFFAGLKKGFKDLSLFNQSMDNVKVSINGHPISERALKKAEKKAGPVEPGSYWYDYRAGFWGIMGRECIGIIPPFIREFNYPIARNCAGGNTGVLVNGRELHQRDLDLLVGRGLPRESGKSYSVEISGNITDDATGKKLRSLGKLAPTIEKLKRGFGMHVPEEFR >Et_5A_042435.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:10522537:10523001:-1 gene:Et_5A_042435 transcript:Et_5A_042435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHSQNIALVGGKSNTEIAVDLSPWLLKYKDGRVERLAASTFVPPSEAPGPTGVATRDVVIDPCTGVSVRLFLNVAAAATGRRLPLIIYFHGGSFCTGSAFSKLFHRYATSLSARAEALVVSVDYRLAPEHPVPAAYDDAWTALRWVSALSDP >Et_8B_060058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5489070:5493467:1 gene:Et_8B_060058 transcript:Et_8B_060058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSASNPFPFPTRRPPDDTLFYAVYPLPLPTDLPPPALLASLRSFHLSLLDHLAPFLSSHLFHRDPFTLSLPADPTSSCALCSSPPVPHLHGALRFGDSLPDEWLAVSLLFALTRAFPDIAARAWDSDGDFLLIEAAFALPRWLDPDTAPNRVFIFRGELHLLPPSLFPDTPPLDAALAAVYDDSVDTRAPDAVQAVIQRRIAGLPERASENLHTMRVIVPAPVAKVLKEEPCLIARAVEGFYDRDIDTMKHAARMEKFLKGPGGEGVEMVRTSVRMTRAMYAQLMQQNFQAPRGYPMPKREEGQEKWKEAELGMKIACGFEMMYQERRRQGEEGKGSTWEVYKKSLEATGCFEGLLPGSKEYKRVMEDAIQYYKSSTLFSRSREILSAPVRRIDEILAMPYSADEFKGIDLPPSSDDSWLYGGEDELNAELRERQKEIEEYEATKKNRKQKQSVSGNSKSQTDEFKLGEITESMQEFVRKMSSFEGAEVPASRRDMESVDLDVNQFIKAMESVLGGGSKEQADNDGEFDRKSSSSDMDFDDSDEENDFAEELGDKEMSDAFMESYSDALNKELSSTTIEKSFARAPRPVSNTNEGPSDATGTDGEMTPVDVDLNLVESILNSYSSQQGLPGPASNLLGLMGVKVPPDAKK >Et_5A_042175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7065107:7069514:1 gene:Et_5A_042175 transcript:Et_5A_042175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLHLLVLCVIIVFLVYNMANYQHRQTTLEAKSRPFDTMTVSDSVAVKVSDKAVDRIGYLPHGIVEPYSDMELKPLWLTGSAQSQRSKKNDRSLIAIAAGINQKKTVDAIMKKFLPENFTAILFHYDGNVNEWNDLPWSKSVIHIAASNQTKWWFAKRFLHPAVVSVYQYIFLWDEDLEVDNFNPRRYLSIVKSEGLEISQPGLDSKLSEVHHRITVRRKNVTFHRWVEGMAPVFSKAAWKCLWHLIQNDLIHGWGIDYKFGYCAQGDRTKNIGVVDSEFIVHRGVQTLGASTRTKDVTRSKNTHPLLQKTAQVDKTRVRAAGLDMRTKVRRKSRSELQDFQKRWDRAAREDRTWVDPFSHSRRKRRNRIQQ >Et_4B_036497.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2780000:2780212:-1 gene:Et_4B_036497 transcript:Et_4B_036497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRIGKIVLETDAFLEKQAVESYSHEDCPYGGLVTELRLLLDFDFSNARIEHKPRECNRVAHSLAALGV >Et_8A_057472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3363004:3364856:-1 gene:Et_8A_057472 transcript:Et_8A_057472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQHPQKPAAAPTTTTGGGLRAMDLYEKLEKVGEGTYGKVYKAREKATGRIVALKKTRLPEDDEGVPPTALREVSLLRMLSQDPHVVRLIDLKQGQNKEGQTILYLVFEYMDTDLKKFIRSHRANHEKIPAPTVKILMYQLCKGVAFVHGRGVLHRDLKPHNLLMDRKTMALKIADLGLSRAFTVPLKKYTHEILTLWYRAPEVLLGATHYSTPVDIWSVGCIFAELITNTALFPGDSEVQQLLHIFKLLGTPNEQVWPGVSSLPNWHVYPQWKPSKLETLVPGLDAVGYDLLEKMLQYDPAKRISAKKALEHPYFNDVNKELY >Et_1B_014455.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9498770:9499090:-1 gene:Et_1B_014455 transcript:Et_1B_014455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTMMASLSAVAAAERPTATPARRGSLAVARAARVERQEVAQEARPAAAEGRRAVMLAAAAAAVAAIGGAGVASADEPKKGTPEAKKKYAPICVTMPTAKICHN >Et_6A_046297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12287203:12294004:1 gene:Et_6A_046297 transcript:Et_6A_046297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIQEQNNIDMQALLDAQLDLWHNTFAFIKSMAFKAAMELRIADAIDHHGGAATLPQLATKVTLHPSKIPCLRRLMRVLTVTGVFNVIKNPTGDQIYQLTPASRLLIGSSSLAPTLSLMLNNIFVSPFLGFGSWLEHELPDLPLFDMKHGQTIWEVVGHDKRMSTLFNDGMVADTRFLMDIAIWECGDVFQGIDSLVDVAGGLGAAAQAISKAFPQIEVSVLELAHVVNNAPAGTKLKYVAGDMFESIPPANAVFLKWVLHDWGDSECVKILKNCKTAIPPRDAGGKVIIVDAVIGSSPSNPKLTETHLGTWFQRELPDPCIFKQTHGQAIWELTKQDSTFDALLCAGVFRGISSLIDVGGGLGGAAQTISKAFPHVKCSVLELEHVVAEAPSNTDVQYITGDMFESIPEADAVLLKAMFDLYIMFINGMERNEQEWKSIFLDAGFSDYKIIPVLGVRSIIE >Et_2B_022485.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:23497881:23498516:-1 gene:Et_2B_022485 transcript:Et_2B_022485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKGMPPLPRTTSFEVTVISGESVRLPSGRALSRGAYAVVQTPSSFAVTHVDEDADCCGFPYWDKPVRVELPPGARALDVEICRSHGGGGGRGRGESVAAARVPVEDFHVGPPGHLHCLSYRLFDIGPGGVRGRNGIVNITVRRLRGDGEGEPHVPVMGKKAVDDGASASGSGSCYGVADLGKPAAAPGVVIGCPVGMPATEHAGGKGCV >Et_3A_026289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6466458:6476247:-1 gene:Et_3A_026289 transcript:Et_3A_026289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGWFGLWQNCSDLPAHDYVPCFMEQMSLVYDADANEYRNVPGVETRVPNFGSTRFQRNPEHTDWCLEVLKRELERLGYRDGDTLFGAAYDLRHAPPIPGQPSRVYARYFRQLTALVEDASRKRRRNQKVILFGHSFGGMVALEFARAAPASWREKHIKHLVLVAPLPASGFMESVKGFVSGSDLLYVPTTTPLSLRPMWRTFESAIATFPSPAAFGDATPLVLTPRRNYTARDMAGLLADGGGGDGGAVEPFRRRELPKARCSYSAPPPMVPVTCVNGVGNDTPEQLVYSDGDFDREPELVFGDGDGTINLVSMMAFDEEMTREPGQRKQYKSVKVPGARHGNILTQEWSLKLVVQEIIEADRNCSDLPAHDYVPCFMEQMSLVYDADANEYRNLPGVETRVPNFGSTRFQRNPKHTDWCLEVLKRELERVGYRDGETLFGAAYDLRYAPPIPGQTSQVYSRYFRQLTALVEDASRKLRRNKKVILLGHSFGGSVALQFARSAPASWRDRYIERLVLVSPLPATGFVDTVRNFVSGSGLLYVPTATALSLRPMWRTFESALANFPSPALFGDTAPLVVTKRRNYTARDMADLLAAAGAAAAVEPFRRRAVPRMSCYLAPMVPVTCINGVGVDTAERLVYWDGDFDAEPEVVYGDGDQTINLISMLAFDEEMRRQPGQMKQYKSIKLHGAAHGNLLTEEWSLKRRFLPLLLFLLPAALRE >Et_5A_041767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26932386:26938295:-1 gene:Et_5A_041767 transcript:Et_5A_041767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAITPVLDINAKLVENYENCRNEIIEAMTRDTDVSFTFTIEGNEIIVPVTPGKDPTSNGAYTIRITDGETNLDLVGDKYQTWFRGIVTSIGARFETDDKKLPKLMRGSESLHTNGMYPRLIQGELENVKVGFHPFIDAFYTLATHKGGDSKKAKAAIAIMIIMFFEGPRLLEVYELHKELLADISATKLLGGNIKDLIGDWCDKSKTIYQESSSTKKIVITDETPQDVKEAAQALRIMCRSQWDKLRAKQEAEAGSSKRGGWRGPGGLDGQLGGRGPGGPGRRGSGGGLKQRPCGRGEGGGRGSAYQQRGVSGGSQGRGGGEVHARPAAPVVPAAASISARPPPPTAAASSSSAPAPPPAASSALVVADPAQRAPTPRPGAPAPAATSGAHAPAAESETEAAAYQQRQAPPVSSKGIAHPARPGVGTMRKKVVVRAKHEIVHYDVSINPEPKAKSTNRELLSELVKAQAATSLGRKTPAYDGRKSVYTAGKLPFRSINFVVKLGKERREIVSPRSAKELTTGHNQALDVVLRESPSLKSFFSKAFGRSDIGEGLECWRGYYQSLRPSQLGLSLNIDISSTAFYKSIPVIEFVQNLVQGINAWQLIADRDRFKRKKELRGVRVETTHGKRSVYKITGINSVPLAQLKYGVVSYHFALSFLLIPTYSLAFIVFSFSPNEDGQMTVVQYFASRYKYHLQYTAWTCLQSGNDSKPIYLPMEVCQIIEGQKYPKKLSGQQVTQILRATCEHPQDRENSILQIVSHNYSADRLAQVFGIHIVNKMAEVEARVLPPTMLKYHESGREKTCAPSFGQWNMFNKKMVNGGNVRRWTCLNFSRWQTDKARGLCGDLAQKCNSMGMVFNQTPVKEICSASNQIEAALRDVHMRAPNLNLPIVILPEVTGHYGTIKRLCETELGIVSQCINSTKSKISNRYVENVTLKINVKAGGRNTVLEEAVQNNLPLVSDTPTIIFGSDVTHPVAGEDSSASIAAVVASMDLEVTTYKALVSAQAHRQEIIQDLFWTDTDPEKGMPVNGGMIRELLSFYQKSRRKPGRIIFYRDGVSEGKFSHVLPHEMDAIKKVIHFSMFRANLQTYDIYIYIYIYIYIYIHTHIHTVELFYT >Et_1B_011594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23918972:23924286:-1 gene:Et_1B_011594 transcript:Et_1B_011594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEADAASLAAAVLAATTPKAAAAAVSSVADFLRRHAGDRPRAFFADVLPPLLFRLFVASPSSPSFIDLSAGDAALAGDLASLLGPSGPLLAAAFDADRCALLRFAFPPERLPGWLRLALTSAESDVVVSPLLAGRIDSELHLSVFEYYLFWFAYYPISTAAATTTTIGTARAGTQKASPSTSKPLVKSRSRLESWVSTIASTAGRGAKQKAERPLYLKLLYAYLKEFVPGACVPSRGGCATLLRRTFSEVDDAAESFRRAEFFAHTLVQFWLVGDDFSPLPVHTRRACGLPLQSLKSRVHAAVTERSPSPGLGNAVKLFVMYLNKSCGTIVDASNMFEGMPVWKKTSDIPVGYWNQFIQRPMYRFLLRTFLFCPMGADMEHAAQVFSAWMVYMEPWKVQQEDFDEYDLLPPGARNVNRVDEGKRQLCEASYTPAWQGYVLSNYLFYSSLVVHFLGFAHKFIHSDVSLVLQMVSKVLKVLCSSNELLELIYNVDAAYHSREFTSKSYSLDHMLKYVPSIREQLQDWEDGLSESDADGSLLHERRNSDLRLFSVDEEGAYNLLQLLLLRAESEIQRFRGDAMQTVQTLDSIKSQMKNLFSKHVGSFHLKSLPGRENSQGHVHTGVFAPKHPSLRKSPLADVKNKGDWMTRPVSDTEVGWLVVMLIRFSAWLNETLWLDGAGADATHTSLRYIKFDSNELSRVGGPKDAARMVLIGAWTLLVLVGQTILHFMRTWGIKINLRFLASKKVLAAAMLYLLSLWVQLKVSA >Et_5A_042600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21555894:21558442:1 gene:Et_5A_042600 transcript:Et_5A_042600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHIANQTLLQQLGLLTDTMLQGYYTLDTFRYQSHDDEATKGQLVSHSSSLLKVSLRNGFYLSSKNTQILRNLQEELDNLSSMILGLDEVIRFLMSYPRMFSQPHSMHIMLSNCMFGRQMEKQLVINFLLHTRAHGIQDLEVLPIVGPGKVGKSTLIAHVCSDERIRDHFAEIVFLRDHDFRDEWLSILRDGWSVEHINCSSKKDKRLLVVIEVAGDINDDAWKKLIFASKRWVTDGTKIVITSRSHKITRFGTTGVITLNYFSDEAHWYFFKTLTFGSTDPEQHPRLANLAMEIAKTHLRSLIGANMDACVLRDNFDFHFWYKFLAFKKQIIQKHRSKFGEHPSDALNQTRPTYLGRMGGTSEDFFVYDQYQIFSQKEVPNITFQDVVYGNVKPHGKFEAQEKEGLVQQDESLLVQQQSTETNFTGLDAYEGKKLTPV >Et_5B_043874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1735592:1741441:-1 gene:Et_5B_043874 transcript:Et_5B_043874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYALFHAHGINEIGQSVDAVRSSVLDLQRFGKAVKLVGFSPFSSAVDALNQCNAISEGIVNFLELNLPKVKEGKKAKYSVGVMEPKVGPPGSPARQSNEFVQELLRGVRLHFDQFIDQLKKSDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWYLWHFPELVKIVNDNYLYAKLAKSVVNKSDLAEKDIPVLADLIGDEDKAKEIVEAAKASMDNKCQDLSPVDLINVQQFAQRVMNLSDYRKKLYEYLVTKMNDIAPNLTSLIGEVAGARLISHAGSLSNLAKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASARNKGRMAQYLANKFEERLDFYDNGVAPCKNLDIMKAAIEGITHAVSEDGDDNQKNDASAKKSKKKKSKGEADGEEMDIEDEPQAEKKKKKKKKKHKLEEPHNEEMVTEAADADVKQDETPKKKKKKNHEASEDVEPKIATEGKKKKNREASEDVEPKTATEGKKKKKKSKTEDDERSNKQVQKCSLSLTSVSSGLSMALSSFRMSNQSALFGFMAFTQNTSNATRFSSPGDRHTTRVINALQRLGSFGDRPRIACFWDSDSSDPKASHLNLGASPSAVCSVSADRACSGPWPPATRTHAHWQECFVLIGALVSWVPSEHKAGMASETMRARQDSGAGEDEERRVGRAQAAGEGEDFRAILIDD >Et_9A_062171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20280889:20281939:-1 gene:Et_9A_062171 transcript:Et_9A_062171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVLRKRLELSSCQEIICLKIPCELQQLSSLIICGCQRLNVIESKAPNLSNFVIAGNVKLYGETLQMKNLSMYFGNAVYYARSELPSIMPNLETLDLSSGNEDVHTPMLHTKFMYLKQLTISITSGLTFSPSYDYFSLVSFLDACPSLHTLVLDVSQQRMGHASVFEDSSSLRQIDERNYCCLKSVKISGFTSAKSLVELTCHILKNAESLESVRLDTLYGWRCYEENHASCRHMANGILREAPRALEAIRIYITDKVPATAKFSVVEPCRQCHKVS >Et_2B_021919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6958221:6961951:-1 gene:Et_2B_021919 transcript:Et_2B_021919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPETYEDPSCNFHTCLGRWRSCCSPRVPTVAPGRDYEFIPAGADESLSWSLLVGFISSSAGSSSLSLHRFCVARSGRVLGRSCDDLEILGDVVCESKVPSLISGVTATPSPEGRSICLFSREISLVPHDSIAPPRPLQLQLDANSPVTVSPLPDLPPELGSFMATRPISACGNLWAPYLESSLLVMLLLDKDNGKWRKVSTINLPQGKEVPKMRSGRILQGYAVVGHTILLSLLPSHLFFTFNCSNTVWAAVDTTETKNTHRYVPIHERGVYVEEDDTIYFLRSSFVYAYKLCIRQNQGHFWMAPPTMVDCVCPASDEGYGFLTHLSNGVMCSTWISASLCCSCDTSHVLITTFRVKGSNGKNREPFVPKGIEVLYSTCRKLNMFPRKPSESTCEFCFLQKLFSKMQNSTSIMLDVSAIQINKSLIIVCQTASHSTVYKITIMDGRLMCHHNTLRPHCIMAATNMPFQSHFICDSQYIFSAPRKENVLYRCNLDSGTLRCSSMEETVNVSSISIVLLVGSRIIAIGDTMEFVYHLCGRVKRRWIQCVTHGCVDLPLNRSINLSGYVVLSENSVMVSDADSSCCFLLDLKRNEWRAVMTSYWCQRGVLTGRSVCVNGFIYTCSDEGLNAYELIEQGDSYLLGDQIELRVSCPRFRGSDRMCFDYVSKDTDSGAIMFSLVQGGEYNRRHGSSRNHPICISTVQVKTEQIIAGKLKPVAVGHVDICTCYVEGDGKNIWASNCFVRIEEGRGGPQMFQKGSTSGIL >Et_4A_035249.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:14698525:14699550:1 gene:Et_4A_035249 transcript:Et_4A_035249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGIVGFAKKAAAAMAANVVPQEAHGGAPTTRKYKGVTKRPGGKWGSDIRDPASTGWKMWLGTYDTPEEAACAYDAAARTLQPGSMTNFPELAGEEEKRRAVVLTHVARVKRKRTNRLSKVARRKMEAAKAAAAVRDAVSPVLPPPAPTPEGDASGSQVAPPPGGGTGSSVPPPAAPAKAGVAAAVLSSTSPSPPTPAPAPSAHFPPHSNHAQLNLHFPNATAPPPAYFAPVFTSAPPAAFPSAPAPNAPAVAMPQTQSFLDRCLNLLTTMSLALSHLRLLHASMVASAMPTAEPFQTPLAAAAPNPPAFQPFAAPDTYTAPQPGASTHDWPANSSAGSN >Et_3B_031261.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23048119:23049936:-1 gene:Et_3B_031261 transcript:Et_3B_031261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDLFRSQQPSDLARLLKTRPLHALLSDASTSRAARYLFDAVPRPTVALCGVLISTLSKLSLHRELFESVLSLHRRGAGVPSGCVPLVVKACALSASSCQGRQAHCHALVRGLLGDVFVQTALVDFYAKSGDMNSAVRVFEEMPVKDPIPMNCLITGYSKSGDVENARRLFECMPRRTSASWNSMIACYAHCGEFQEALTLFDRMLRDGAKPNAITITTVFSICAKTGDLSTGKRLRALIGEDDLENMIVLTALVEMYVKCWAIDEARLEFDRMPQRDVVAWSTMIAGYAQNGRPHESLDLFERMKAANCKPNEVTLVGALSACAQLGSDELGEQMGNYVESQGLPLTSYLGSALIDMYTKCGHVGRAYSVFERMEQKVVISWNSMIRGLALNGFAEDAISLYEKMVADGVQPNEITFVALLTACTHAGLVDQGMAFFEDMKRKHDISPQVEHCACIVDLLCKSGRLWESYKFILDMEVQPNAVIWSTLLSACRVHANVELAKLAADKLLVLEPENSSVYVLLSNIYADAGLWGDVREIRDMMRSKNVQKLSAYSWIELDGEVHRFLVQDSYHPRSAEIYEVVDGLGLQLDRVGTDPELVLEAC >Et_10B_004240.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:2021329:2022465:1 gene:Et_10B_004240 transcript:Et_10B_004240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASRPPAPPPPPPPPPPAQAALQWLGPRVSFSLDDAGGGGREAAAGGKPCASADFEFFLGGCAAASMLPADELFSGGKLVPLRIPAPPSATTEAAEEATYAVALPTKQAPAQQQQPETPRADEAATAAAKDVVVAAEEPKIPARRWRDLLRLRKQQASSGSSSEPRPLRRLLRRGPKPPEPEPSLIRPLLRESGPDDPAAEKTSSTPTPSPSPTPTPPPPSQQQHQSQLPPKIRLSPAQQQAAAAASPHPPPPPPPPPPAAVAADSPRLNAAGKVVFNGLGRSSSSPSSLAGGRRTRAGAGMERSYSAHVRVAPVLNVPVCSLRGSRKSVSVFGIDRLFSPSSSSSSSTANSAAAAGKKARAAKKEVTAAAASQ >Et_4B_038868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5475505:5477296:-1 gene:Et_4B_038868 transcript:Et_4B_038868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding THPSFHPTPRNATPAIESGPALLFPPSHSACVSARGFARCREPRRERGEEGSVRAQTERSRSARHGAHTMPSGGVFSGSVNLKYVKLGYQYLVNHFLTLLLVPVMAATALELARMGPGELLSLWRSLDLDLIHILCSAFLVVFAATVYFMSRPRPVYLVDYACYKPPASCRVPFATFMEHTRLISDDEKSVRFQTRILERSGLGEDTCLPPANHYIPPNPSMEAARAEAQLVIFSAIDDLVRRTGLKPKDIDILVVNCSLFSPTPSLSAMIINKYKLRSNIRSFNLSGMGCSAGLISLDLARDMLQVHPNSNALVVSTEIITPNFYQGTRRDMLLPNCLFRMGAAAILLSNRRREARRAKYRLMHVVRTHKGADDRAYRCVYQEEDDQGYSGISLSKELMAIAGDALKSNITTMGPLVLPMSEQLLFFFRLVGRKLINKNWRPYIPDFKLAFEHFCIHAGGRAVIDELQKNLQLSARHVEASRMTLHRFGNTSSSSLWYELAYIEAKGRMRRGDRVWQIGFGSGFKCNSAVWKCLRTVKTPTNGPWDDCIDRYPVEIPEVVKL >Et_5B_044717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4994731:4998859:-1 gene:Et_5B_044717 transcript:Et_5B_044717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRASAAWVASRSSHVTVDLQEIEKVVDKIQGDVPKIEWDFEGIHYFDNGPLTVQYLFVLDALNFCFWPDKDLSYDHLASGLKLALEKDKTALDADRLQNYTGPQLRQLLNWPRPLPIEEERVRLLHEVGMELERSFGGEAANLVKAAGNSAATLIELITRHFPGFRDHSLYKGHQVFLYKRAQIFVADLWGAFKGQNYGEFHDINSITIFADYIVPAVLRELGILKYGSNLSCSIDSNSEIVPGSEEEVEIRACSIYAVEKMRDQISKKFGKQLLSIDIDLWLWSCGVQNMALSHHRTLSIYY >Et_2A_015444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1363664:1366115:-1 gene:Et_2A_015444 transcript:Et_2A_015444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAAAVLTPLRSLLTSPHLPPSPRRPPTMNPSSSARYHSRTFASPQARGGGRGGGGRRGGRGGGRGGEGTDRIDALGRILSRILRHMAAELGLDMRPNGFVRVRDLLRLNLQTFANVPLRSHTVDEIREAVRRDNKQRFSLLEENGELLIRANQGHSVNLVTSESLLKPILSADEVPVCVHGTYRRNLDSILQYGLKRMARIHVHFSSGLPSDGEVRSGIRQDVNILIHLDVRKALQDGMKLFISDNKVILTEGFDGVVPVKYFEKIETWPRRVPIPFQR >Et_1A_004510.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:11413113:11413625:1 gene:Et_1A_004510 transcript:Et_1A_004510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EACVPFSTTLPFSSTVITSQFCIVLSLCATTTLVLPTINRSSASCTAFSEGPSSALVASSSNKMAGFFRMARAMAIRCFCPPDNCRPRSPHHVSYPSLRLLMKSCALADLAAFLISASLAISTPYNMLSLIVPANNIGSWLTNPMCRRSARRLYVCMPLPSIFTTPLSGS >Et_8A_057264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2334085:2335345:1 gene:Et_8A_057264 transcript:Et_8A_057264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIVFLRTAAADLTASKPPLKGVPASAPLSAAAAAIPESQEAAVAVWRDGASPMAPAAGSVVGLLSSLDVVAFLASHAGVTAAAMRTPAGDVVAHEPALVREVEPHARLLEIVELMKLGALRVLVRKNIDTEASTVDKKPFAPFFKAVLKITGTPRPSSSRSPPAAFGCDRYCCLTREDIVRFLINCLGALAPVPMQSLASLGAVNRGYAVVEASSPAIEAAWRVPSEPRAVAVVQTKRGGEHAILADVSAHRLWRRDYAAAADAMATLSSLNFAAGVDGCRTATAPAHDGDDGGAPPSPRFGGRGVGFAASLAGQMMASHGGNAALTCRTTSSLAAVMAQMLSYRATHIWITEGEDDVLVGVVGYMEIFKAVTMGMSSTA >Et_5A_042928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7034898:7044702:1 gene:Et_5A_042928 transcript:Et_5A_042928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHNLASWYILISSSARLGAISEAFSLISGVLRGAGRENCDRPDSFTLGALAAGCARTKDIDAGSQVHACAVKFGVDEDESVAGALVDMYAKCGRAASAWRAFALAPQRSVVSWTSMIACLVNEACPGYHDSVIALFKKMLVLKVWPTNATFTCILKVFDVPELLPVGMQVHGCLLKMGTEIDPALGSALMTMYGRCGGVDEMASRMGLVKEGCEYFKQMTAKYNLEPKMEHYTCMVDLYGRAGKFSDALDFIDTMPCRPDQMVWQSLLASCKIHGNVQLGRVAARKILEITPEDPSPYIILSNIHASVDMWDEKAWNRNMPVYAKDVSVLMPGQNVPTFVAHPAPAPCALERIQCPSHHPALFTGSSSHPS >Et_10A_000497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11394002:11401080:-1 gene:Et_10A_000497 transcript:Et_10A_000497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLKVPTAILLFLMVVQGKNRHLIVHTRATSKSPHRWKHYILVLSCIITGNCQQCAPSRIDIQQTNAGKKVGALDTMFMVTVTNRCGCAVKNVYLQSNGFSKEGERGRTLTNRPSEVRRKGAAPPASPALPIREFKHDRDGYLLADGQRIPSTKSVSFQYAWDHYFKMTPESIQAEC >Et_8A_056928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18986083:18991671:1 gene:Et_8A_056928 transcript:Et_8A_056928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEGGGGGSAGVGGCLGMGHGGEAQIKGTPTHGGRYVQYNIYGNLFEVSAKYVPPIRPVGRGACGIICAAVNAQTREEVAIKKIGNAFDNQIDAKRTLREIKLLRHMNHENVISIKDIIRPPRRENFNDVYIVYELMDTDLHHLLRSNQPLTDDHCQYFVYQLLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTTETDFMMEYVVTRWYRAPELLLNCCEYTQAIDMWSVGCIFGEIVTREPLFPGKDYVDQLRLITELVGSPDDTSLGFLRSDNARRYVRSLPQFPKQQFRARFPTMSSGAVDLLERMLVFDPSKRITVDEALCHPYLASLHEINDEPACPAPFSFDFEQPSLTEEDIKEIIWKETKNVSSRCRTKVKHYYTFLKSPYPIQ >Et_1B_013836.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:19759156:19759680:-1 gene:Et_1B_013836 transcript:Et_1B_013836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSKSLVAFALAMAFAIAATRAQNTPQDFVNLHNRARAADNVGPVTWDQKVARYAQDYAAKRSGDCRLVHSGGPFGENIFWGSAGRAWSAADAVRSWVDEKKNYHYNTNSCDPGKVCGHYTQVVWRKSTRIGCARVDCAANRGVFIVCSYDPPGNFNGERPFLTLDLAFVMSM >Et_4B_039757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28713796:28719062:-1 gene:Et_4B_039757 transcript:Et_4B_039757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIYFVNSRLATSEGRNIREWKSRRSLQRKIAEELKFDQRTMDMFDKQDEEDDFYGVDQASRDLIMDVSIMIDKTLKECNFMIFFLNESGHMKSLSLRSRNLVRSFSVAVVASVDPHASNYCICDGIIKGDRTVEISNALHGEISYKKKPYRWVALTSKNLKVPDQDMKTLMDKASSLFVAFERTDNTPSGLPDGLFKHCSNLGVLVLFYCDFNFAAPPFHQCRGLRFLGLDHCTNDNVTTEGEGYCISWAFLCNPWVLDLRYTDWDEILTEGKIDLMDKLIELTIEGLKCWQCISQLQTRLSNLQRLRITRSMHKAKISDDTNNSFVDKTKLEVLDLSGNSDTKKLPTSLSNPSQLQVLILDGCDGLGNVEVPNGLPSSLTSSTELCPKSSSPKCPSDQDTKDFKFSHISLQGCTQLSNLFLRGLSNLMELDLSGSPLKVLDFGSMVVDVPRLKRLFLLGCEHLRAIKWGSPLHNWELICIDTRPGTWTQPSPAQHDPSRFQVHAVLADVRLARSLWTLIDRMDGPGFHIHVPSSSYTIVDCGTIQQPEATSNEMTGKANELQHHCLASQYGDVLTVMGDARTLMEAFPDLERPPASRLDRHVEITSGDHILESELQLRQPGPFFDGLAALMKYYAESLHVHDASISVSMPGRGWSRLRWCRVERCPSLGPNLFLLDAYGFCELEVMWAAELAMARCLWSKGCDFRRLRHLHLRCCPRLQYAMPLGSHYHNRCPSLKTIHVVHCGDLKHVFIEPDSRPDYPAMFPELDTIYLHDLPMLRDICVAKIYAPSLKAVRIRGCFGLRRLPAVDEYWWNPARGVRWPRPTVEMEKDVWDALEWDGIDARHHPSYYQEPPLHSLYYRRSRLLRGTVLRYVRLPSISCPLPILFLMRPSAHRDL >Et_4B_039340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10287965:10291910:-1 gene:Et_4B_039340 transcript:Et_4B_039340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCGISPGFSFTCVSPPAPQVLFFFLRLLTPKKAISSLSYRQKLPFSDTPSFLLLLPSVYGFIDSMQRPGPGLHAVAILELGVCTCLAKLVKTGALCCVAARPHGTKPNPASRDFSMDPTEPRWRINSSFSPPTSRRWDCRYSSDGLPHRVHDAPHDHPPYMSSSSHSKGSRSAFGSDQYLNHHQSVSDGALSYFGSPADSVQAPRWTPSLQRFDLGEFSTPTGGSRPETFDYAQSSERHLTAVSSFSSASPFSESSQLASSSKQPGPYLSRNHISRRSFMSKPVYPLVFRNPVSEAEAFRMPEASNAGRMTPSDDSQASLWRRSVASPDLKFHNALSELGKIEASPEPNTSSRREGFRWSNASSYDVGYNGDAIDISDHISVESQRSPTSSVRFLKCGLCERFLRQKSPWTSNRIVRNTDMPVAAVLPCQHVFHADCLEESTPKTEAHEPPCPLCMRAADDEGCMSFSEPLYVALRSARRNLSLGDGAGGSSSANPPRSDHALKRNHSALVPRQSGGSLFRNSFKRRFPFKGRIGKELFGGRVFSRVGSSSSAGQQEDHRRPAAKSDRSMK >Et_1B_010160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26953341:26955677:1 gene:Et_1B_010160 transcript:Et_1B_010160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTSACSLPEEHVEDILSRLPAKSLRRFQCVSRSFQTMIASRAFQDTHFQRNRGNRSLFIRPPRFLEPFYAWQPGGPVEKIMSIGHQLPQGSIFPISKSCRGLVLLSCLEYQTHTVWNPSTGEAFTLPDRIPLRAARCVFSFPRYPLVSYGLGYCSATQRHKVVRMYCLRGSLLPAATFCEVFVLNESACWRPAATKQLEHYCPPENWRQGAVFCNGNLHFLREDGGVTIFNVKDETFGLLKFPTELHGSPSNFWERMFGYPFELWLLRDYGASGNWEKILGFDWGTLRGEQGMQATLKSYWIAPLDVSYDDRGQQKIVFGTGSCNVLIIDPSISVAEILLSPVDTTKGNNRFPTMGLLEESLARVNDTSTKPIFSSPSTSQAWSEVLSRLPARTLGRLKQVCKGWSTLIKTESFVASHLCRANLNMCPQMMFIGGRPYGFEHMQYFNDTPHATPPLVDDCLKVVCSNPCHGLNTVSFGKYDFVCNPITGYSKVLPLDDSMDRREDFFAGRLGLGYEQETSRHVLVRLAYKERNLTTRIYKLVCKMRYIDDMFWDEIYPPPRPVASMPPAYVKSKLYWMTDIDFIERSPYYEIIVLDIGTRKFGVFQGPLCNSERVRIIELHERVCVACLHQSTKVMEIWETEDNVLWSVKYHIELGRLSPEFLPELARPLAVDPKVGRILLSTGRALGYYDPNTTELQMIFEVGKHVRGKKFVPILFKESLVNPRDPIFSMDPYKVSVSFMG >Et_6B_050040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4429011:4431389:1 gene:Et_6B_050040 transcript:Et_6B_050040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSYLLVAVVVAALASLAIANFHDEWAAEWGPQNAKYADDANGVSLSLVNSSSGCRFRTKTTFIYGSISSLIKLVPGNSAGTVTAFYASTVGGSHEEMDFEFIGNEAGQPYTFHTNLYAADVGYKEVEFKPWFDPTTDFHNYTISWTPCMVVWYVDGIPIRVFRNYEARNGVAYPTSRPMYSYVSIWAATGAWATHGGQVRTDWSKAPFVANYHSVNLDVCGYNGAGCGNNCTRRMCQLSPMQLRQMQAIQGKYKTYDYCNDNRRFKGQTPTECKLPQY >Et_10A_001762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7915111:7917708:1 gene:Et_10A_001762 transcript:Et_10A_001762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLQYILVADTRFNPKPFLALVDRASARSNETNLPPITALFMGEEAVEFRVETKGCQQNAVDVGNKTYVFSRSDDGSKPSITIKILDKLAQTWVVPTVLGKQPTLTKSLSAIPVNDEKILVVEKGVPLIDSFWFLEIDTPFVKQQQKIKAVEVIVWSKGVVGVGQKPVVISGPSGVGKGTLIAKLMQDYPSKFGFSVSHTTRSPREKEIDGIHYHFTERSKIEKDISEGKFLEFAHVHGNVYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLRARGTETEEQIQKRLRNARAELDQSNSPGLFNHLLVNDDLETCYENLKKLLSLDEDEEDSDELSIKEGNYFIVSKVNSEILLQSETNEAEKGAANMLVLDLSSLTGGAPGRTRGLQIRPVNSS >Et_3B_027537.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26227082:26228601:-1 gene:Et_3B_027537 transcript:Et_3B_027537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELASHDLASLGAAELVRVSASIPRAAPRTFALLTACLVFPLSFAVLAHSLFTHPILLRIESTSSNSDGAHSESAQWLRLFAYQFLYLIVLFTFSLLSTAAAVFTVASLYAAKPASIASSLAALPPILPRLLRTFIWVSLLMLAYHLVFALAVLLLIVVFIPNASETSPPSLSFILFLMVVVFVFLGIHVYISALWHLASVVSVLEPLCGLAAMAKSKQLLQGRTGTASTLVVSYFAVCGITSLLFRAAVVKGRGEEGSFGLGLPGRLLVGAVLVCVLVCVNLLGLLVQSVFYYACKAFHNQQIDRTALYEHLGGYLGEYVPLKSNIQMENLEVGA >Et_1B_013040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:517940:519062:-1 gene:Et_1B_013040 transcript:Et_1B_013040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLSNRAAYQSVPESYVFPVDKRPGSSTCCAAAIPVVDLAGDDQEQIVKQIIGAGREFGFFQVINHGVADEVMSDMMSSAEEFFRLPTEEKMAHYSTDFKKVPRFYTSIGNEQEKGVLYWRDCLKLGCYPFEQFRDQWPEKPAGLSAALEAYTTAVRAVALRLLRLTAAGLGLEEGHFDGELSGGPVVMNVNHYVACPDPSLTLGLAPHCDPNLVTLLMESGAGGLQTRRHGGGWVDVEPLPGALVVNLGHQMEVLSNGWLRSAEHRVVTNARAARTTLATFVMPEMGCTVAPVVGEEAHPLYRPYTYQEFMGVYLAATGDRDAVLERFRLSNQ >Et_7A_052671.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:11889160:11892039:1 gene:Et_7A_052671 transcript:Et_7A_052671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRLPGASKPATAMAAAALSFPPVPCRSPPLTPPSASSPSIPISVSLKRLCKEGNLRDALHLLTSGAHGRRRPPPEHYGWVLDLVAARRAAQEGRQVHAHALATGSLDEDDGGFLATKLVFMYGRCGRVDDARRLFDGMPARTVFSWNALVGAYLSSGSAGEAVRVYRAMRASEAQGAVPDGCTLASVLKACGAEGDAHCGREVHGLAAKSGLDRSTLVANALIGMYAKCDMLDSALRVFEWLQDRRDVASWNSAITGCVQNGRIHEALSFFRGMQSAGFGMNSYTAVGVLQICAELGLLHLGRELHAALLKCGTELSIQYNALLVMYAKCGRVDSALRVFGQIGEKDYISLNSMLSCYVQNGLYSEAIGFFGEMLRHGFQPDHACVVSLSSALGHLGRLNNGKEVHAYAIKQKLYADLQVGNTLMDMYIKCQAVECSARVFDSMRIKDHISWTAILACYAQSSRHFEAVELFREVQQQGIKVDAMMIGSILEACSGLKSLSLLKQVHSFAIRNGLLDLILKNRMIDIYGECGEVTHSLNIFQRVENKDIVTWTSMINCCANNRLLNEAVSLFAEMQKANIQPDYVALVSILVAIAGLSTLTKGKQVHGFLLRRSFPMEGPVVSSLVDMYSGCGSMKYATRVFEGAKYKDLVLWTAMINATGMHGHGKQAIDLFERMLQAGLAPDHVSFVALLHACSHSKLVDEGKYYLDMMMNKYKLKPWQEHYACVVDILGRSGRTEEAYKFIQTMPMEPKSVVWCALLGACCVHKNHELAAVAATKLLELEPDNPGNYILVSNVFAEMDEWNNIRDVRTRMAERGLRKDPACSWIEIGNNVHTFTARDHSHRDSQVIHLKLAEITEKLRKEGRYIEDTRFVLHDVSEEEKIDMLHKHSERLAIAFGLISTRPGTPLRIAKNLRVCGDCHEFTKLVSKLFEREIVVRDSNRFHHFIGGSCSCGDFW >Et_2B_022873.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:7079213:7080298:1 gene:Et_2B_022873 transcript:Et_2B_022873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELGVKGIVFSPSGVCGSAVLHSLFRRMPRPEDEGDDESLIGFPDLPGAPAYPWRQLSVQHRGYKEGDEISEAVRQNFLWNLQESWALVSNTFRRLEEPCLRAPLADLGHRRVRAVGPVAPEADAAGDRGGETAVSAAELRAWLDGFADGSVVYISFGSMAVLQPAHAAALAAALEQTGVAFVWAAATAAPLPDGFEARVAAAGNGLVIRGWAPQVAAPRGGVVRDALRVELLLEAAAAGVPMLAWAMTADQFVNARLLVDDLGAAVPVSWGGLTAAPGADEVARVLDAAVGGKSGRQWEDVAARAKELAAEAAEAVREGGDSWREGEELVRDLRELASEKRLRREPWGSVSASPYCFE >Et_10B_004037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9514957:9519555:-1 gene:Et_10B_004037 transcript:Et_10B_004037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSTRLDAEVLPGGLAVSTIEQKRSDEYVWFLTAPNEARKEHPSDLLNGSSSHAQPAKHLSLPSRDDAVTTSVSGRADDLSYRCSSDTFDLDSRAFNISENWGVLPTEGDKPIPRFYHAAAIVGSKMVVFGGDSGHNLLDDTKILNLEKLTWDSTASKVRPSPSGRSTKLPACKGHCLVPWGNNVILVGGKTEPPSDRLSVWTFNTETELWSLVEAKGDIPAARSSHTVVRAGATLILFGGEDTKGKKRHDLHMFDLKSSTWLPLNYKGAGPSPRSNHVATLYDDRFLLIFGGHSKSKTLNDMYSLDFETMVWSRVKTHGPHPSPRAGCSGALCGTKWYIAGGASKKKRHVETWVFDVLQSKWCVCVVPPNSSITTKKGFSMVPFYHRDKIALVAFGGNKKEPCNKVEVLVVLQNERSFSWRSAPDVDRVPYEYSPSNKELADHLNKCAPLYSNSSVARHSLTSVIEHPPESLSESLLKQTSLGTSLHGQLHQIEDCSIAQKLQKPVNDDRYEDDADDSSSCQESTLQVHRSTRTEAAIQIDMARSLAGKVENSVTGGSNPRRIARCSSDISQSQLYNTKIADLIRRNAALEDHLATTLASKEQLEKSLSSVIHSREQLEKMLTNKDKEAEMLKEKIAGLELAQEESNNLSNTVHADNVRLEREVAFLKAELHSTRRVLAGEQSRAFQLQVFRTYLTYKL >Et_7B_053563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:166873:176743:-1 gene:Et_7B_053563 transcript:Et_7B_053563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALWQPQEEGLREICTLLEAHISPNSDQARIWQQLQHYSQFPDFNNYLVFLLTRGEGKSIEVRQAAGLLLKNNLRTTFSSMPPPSQQYVKSELLPCIGATNRSIRSTVGTVISVLFQIVRVAGWIELFQALHKCLDSDDLDHMDGAMDAIFKICEDVPEELDVDVPGLSERPINVFMPKMLQSPHPGLRKLALGCINQYIVVMPSALYMSMDQYLQGLFHLATDPSAEVRKLVCSAWVQLIEVRPSILEPHLKNVTELILQANKDSDDEVALEACEFWSAYCDVSMPPEGLREFLPRLIPTLLSNMVYADDDESLADAEEDESFPDRDQDLKPRFHASRLHGSETGEDDDDDDAVNVWNLRKCSAAGLDVLSNVFGDDILPTLMPLIEQNLARTDDDSWKEREVAVLSIGAIAEGCINGLYPHLPQIVAFLIPLLDDKFPLIRSITCWTLSRYSKFIVQSLGHPNGREQFDKILMGLLRRILDTNKRVQEAACSAFATLEEEAAEELVPRLEVILQHLMCAYGKYQRRNLRILYDALGTLADAVGAELNQAKYLDIFMPPLITKWQQLSNSDKDLFPLLECFTSIAQALGPGFAQFAEPVFQRCINLIQSQQLAKVDPTAAGALYDREFIVCSLDLLSGLAEGLGAGIESLVAQSNLRDLLLQCCMDEAADVRQSALALLGDLSRVCPIHLHPRLQEFLTVAAKQLSPQSVKDAVSVANNACWAIGELAIKIGKEISPVVITVVSCLVPILKSPEGLNKSLLENSAITLGRLSWVCPDIVAPHMDHFMQAWCNALCMIRDDFEKEDAFHGLCAMVAANPSGAVGSLAYICQACASWNEIKSEGLHNEVCQILNGYKQMLGSGGWDQCMSALEPAVVQRLSRYGV >Et_4A_035579.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3069027:3070103:-1 gene:Et_4A_035579 transcript:Et_4A_035579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHYSINRMDTSQLFNPSTAAIAKNDNEVRSIEDNPEVEPLPAPTFCFQPFVSNMYHIIKGEDVFSSFGEHKILCADGVGYAAAYDMEKHTMLGMPMMNAPKGPMRMVVSSIPRTEGHTLAADSDHDSDTEAIIRHIIDGDHTESLYIMDMSPRNAVPFEVLTFSTKGWVWRPLPCPQFFRDPKYKPRFDSCTVVDGTTILLSPTTTDEEVKTIGTYRFDTVTQEWEKAGDWVLPFGGKAEFLPEFGLWLGLSHHSPYHLCLCTATSLDPPKVENAFLDPTTPEDWSLFDQALFNLGSGRFCTVKFFDIGNDLDTCYTAVIFTGVEVVRCDDGEAGKVKVIRHESRRVTEIDICSVV >Et_8A_057334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23746947:23750198:-1 gene:Et_8A_057334 transcript:Et_8A_057334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLVQPVGQKRLTNVAVVRLRKHGQRFEIACFPNKVLSWRSRVEKDLDEVLQSHTVYSNVSKGVLAKSKELIKAFGTDDQTKICIEILEKGELQVSGKEREAQLSSQFRDIATIVMEKTINPETRRPYTITMIERLMHEIHFAVDPNLTSKEQALKVIKKLMEHFPIKRAPLRVRFTAPKPKFAGLMDKIVEWNAIVISKDESGNPPSVVCEIEPSILHSCEERLKDVQGRVEVLSVSAHAEGGSSVDQHDNVEESSQTVPAKEADVVAQISETMQKQSISTESQDGQGKQQRRCKECDVLVEDKLYREHCKSGWHKHNYTRHKNGLPPLSQEECMVEMELADSKRDLKDYDF >Et_1B_010023.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:18746165:18746227:-1 gene:Et_1B_010023 transcript:Et_1B_010023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLTMEFYLSLDGSKSLS >Et_4A_034661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5195048:5196261:-1 gene:Et_4A_034661 transcript:Et_4A_034661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSRWLVAFLLLTVCRSGGFLCFQRWMADKHSFQRWMEVHGRNYSTIEEHEKRFTIYKANLRRIETFNDKADAEEQQYRLGEGLYTDRTEEEYSSMFRRRGGSSIHTVGRHQVHDASSEVHDILPSIVDWREAGAVTPVKDQGHCGKMLLGICCNCSLGRGLRDPHWDALDDGCEGGDSSTAYQWIIDNGGIASEEDYPYSNKTFFSSTADNVCSAFDIILEFLLYLKKSVCGLRKPPYPVGITAFKFVDPNNEEALMAAVARQPVAVPINAEAPEFIHYKCGIYDGPCGVLTNHVITLVGYGEDCEGNRYWVGKNSWGPNWGVGGYIYLKKDALSEPRGVCGLASMPVYPII >Et_10A_002252.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5638973:5639299:1 gene:Et_10A_002252 transcript:Et_10A_002252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNSSLLAIIFLLLLFGALLPASPAAAGGGSFGGIPTTAMWQRRRLEEEVAPEFPSAATSGQPGIGYGTFNPNQQSCVSNCPAKGPGDPYTQKRACYYRDQCAQGPP >Et_10B_003935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7973694:7975641:-1 gene:Et_10B_003935 transcript:Et_10B_003935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHVARDQHGCRFLQQRLDDGKREVDHIFAGVARHAAQLMVDPFGNYLMQKLLAVCDAGQRMALVLPLTADALVLVRISLNVHGTRAVQKLIESLRTKEEIRLVMEALRPGFLELIKDPNGNHVVQKCLQSFEADDNKAIFDAAALHCLDIGMQCHGCCVLQRCIARSRGEHRDKLVSAIACNGFELAQDAYGNYVVQYVMDLKIANANSSLAQQFEGKYIQLSMQKFSSNVVEKCLKVFKEADKAKIILELLATPHLEQLLQHPYANYVIYSALQNSKGTLHSALTNAIRPHVELLRTSPYCKRIYTRALLKK >Et_6B_048352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15309376:15309704:-1 gene:Et_6B_048352 transcript:Et_6B_048352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTSSGWSALVSMLVIWQDCCSTARLLPSRQFGYIVIILTTSRGIMDHEEVRRKNVGGGKVLDFFS >Et_3B_031345.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26307967:26308506:-1 gene:Et_3B_031345 transcript:Et_3B_031345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAAQVEVPAPPPPAPGASPEKRALPLPSEAGEEPPPKPKRRRACVAALDAVPCAAAAAAAAEEADASGPASIRDADGVSFSFQHARGGFVVLETTPKFGSFNPPAEEAVHDLKPAALTDGEASLEADEEVPARGAEDAGTEENSRSQSVGVEADADAQGRRHTDENDEPVLTSDQP >Et_7B_054950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5913923:5918446:-1 gene:Et_7B_054950 transcript:Et_7B_054950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWAWQCHPAHRLLLLFNRDEYHSRPTQPAQWWTPGEEGKEILGGRDELGGGTWMGCTRDGKLAFLTNVREPSLMAGAKTRGDLPVRFLQGSHGPLEYAAEIAKEADQYNGFNLVLADVNSGTMVYISNRLGGDPMIQTVAPGLHVLSNAALDSPWPKASRLGESFERYLATHEDAEAPLKQMVEALMTDTVKADRPLVPDTGVDPEWEYKLSSIFIDTAKGQARYGTRSMVALAAKLDGEVTFYERYLENTFWKENLVQFQMEEAQ >Et_1B_009639.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10125194:10125469:1 gene:Et_1B_009639 transcript:Et_1B_009639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNYHHHHHQQQPEEAAASGPQYRGVRRRKWGKWVSEIRQPGTKVRVWLGSFDSAEAAAVAHDVAALCLRGRD >Et_1B_011421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2264685:2266623:-1 gene:Et_1B_011421 transcript:Et_1B_011421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDRRSPLLGAGAGGRSPSLRRRDSARSLRSTFLSRLPDKVRAGQDPERPADLDLTRAKGLSPGEREYYEKQFATLRTFEEVETLCMPDEFDSDLEALEDKEQKQSESAMKISNGANIVLLVYATIRTGSIAIAASTLDSLLDFLAGGILWFTHLSMKSVNIYKYPIGKLRVQPVGIIVFAAIMATLGFQVLIQAVEQLVENKPGEKMTSEQLIWLYSIMLSATAVKLALWLYCRSSGNSIVRAYAKDHYFDVVTNIVGLVAAVLGDKYLWWIDPLGAVVLAVYTIVNWSKTVLENAVTLVGRCAPPEMLQMLTYLAMKHDTRVRRVDTVRAYSFGALYFVEVDIELAEDMRLREAHSIGESLQEKIEKLPEVERAFVHVDFESTHKPEHNVPSRLPSTEP >Et_6B_049796.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:12419150:12419536:-1 gene:Et_6B_049796 transcript:Et_6B_049796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLWKPFLAAFDNIDAAIVSAAGVLAEGIVPREEFKRVRTSEPDRGHAVLCYRRRRGQGDLPAARRRHGRVARHAAGRGARGNAAGVRPSVEDALDTAMAAMHALDAANDVVFHGHGDGHDAEEKK >Et_6B_049803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12974808:12975201:1 gene:Et_6B_049803 transcript:Et_6B_049803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCGSSCNCGSSCNCGKMYPDLEEKSTGAQATVVLGVAPEQKAQFEVAAESSETAHGCGCGSGCKCNPCTC >Et_4A_032804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14052892:14058421:1 gene:Et_4A_032804 transcript:Et_4A_032804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSATRLTLVLCAAWAAALLYGEAGSYWASYLACSWPSSSPPRSSMENDHVKIAVVADPQLMDSTSLGLPASSIALQAAEFYTDLNMRRSFQSVVLPFNPDVVLFLGDHFDGGPYMSDEEWQESLSRFKHIFSLNEQRTKPHVPIYYLSGNHDIGYSAFHSVHPEVLSRYEKEFGSRNYKFSAGRVDFVVVDAQTLDGAKQNKERSSSWDFIKSLSPGNASNPTVLLTHIPLYRPDNTPCGPHRTSPVINQRVSYAAGHQGITYQNYLSKETSDLLLSTLKPVLVLSGHDHDQCTVVHSAPFGPVTEHTLGTISWQQGNLYPSFMLLSAGPKLSQNSTDPKYEVLTNLCFLPKQTHIYVWYICQFVFTILLLIFWPTNGLSSLPYANELVNFMRLVGAELFSRTKEKDDEDDGEYEMVWDAEGSMHLVKKAVAKAPSASSDSRSIGRGSVVARAAARKQHQLEPDSSVFVEMSSEMASEDGGKLSRPSKWKIRKVLQRMFRVIQSIIVIAALNVPLYMMLLFKDWIDR >Et_7B_055747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3332840:3333821:1 gene:Et_7B_055747 transcript:Et_7B_055747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICTVQRGAAPRTPRSSLQTLRQRNLLLHARSTRKPPRYSSCRATGGGRVDRRDVLLGLGGAAAAGLATSYRDGGALAQPIQAPDIQNCHPPADLPATAPAINCCPTYRPGTEIVDFRPPSGYSPLRVRPAAHLVDKEYLAKYERAVALMKRLPDDDPRSFAQQWRVHCAYCDGAYDQVGFPNLELQIHNCWLFFPWHRFYLYFHERILGKLIGDDTFALPFWNWDAPAGMTFPPIYANRHSPLYDPRRNPLHQPPYSLDLDYNNVETTLPRAQLIDQNLKIMYRQASIYS >Et_1A_008101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4372035:4374990:-1 gene:Et_1A_008101 transcript:Et_1A_008101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAGATPATARKTLFTTTSNLLTSSLARGRRRLSCAAATAAAPRVTPQPPDLARWVQREGGFVHPALRVANHQEHGLGVSAAAADGDIPPGELLIALPGRLPLRLRRPTGAADDVLVQLAKEVPDELWAMKLGLRLLQERANPDSFWWPYIANLPETFTVPIFFPGEDIKNLQYAPLLHQVNKRCRFLLEFEKMVQHKLGTVPLQDHPFCGQEINSSSLGWAMSAASSRAFRLHGEIPMLLPLIDMCNHSFNPNARIVQEGSVNSSDVVAETKIEKNTAITLNYGCHPNDFFLLDYGFVITPNPYDRVELSYDGTLLDAASMAAGVSSPNFSAPSKWQQDILSKLNLHGEGAVLKVSLGGQEIVDGRLLAALRVLLAADPEAAHKHDLKTLMSLDAEAPLGPTVEASALRTVLALCAIALQHFHTKIMEDEAILKGEPPLTTELAVQFRLQKKVMIVDLMQNLSRRIKKLSPQKSTA >Et_10A_000587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13174723:13180729:-1 gene:Et_10A_000587 transcript:Et_10A_000587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSERFGSRRKMKLDASQATAEAVLSNDDLLGQFLIRLPDLPSLASAALVSKLWRRVASDPAVVRRFNQSRRPPLLGVIFSDRGDMSFPSRCPKLRFVPSHGGNPRLAAAAEAGDFFTSRTGATAPPLLAPPAAVTRSGGYDGGLLLLSRGGDSRDLAVYDPFARTAVFCPLPPDALPLRKWWHVVSLAFVVDESDSSFRVIAVHFSHELEAAIFWSRTWDWAAVATNGLEFETCEHGDGLRAGRFIDDTASIIWDDTIYICGEDILVLDTGTMEWSVIAAPFAVGESYCVADVAEHGGLCLVSTKEQLLQLWVRENDDEKWVIKKEVSLLKQFGFLKNIRRDEWMKTVRPLAARGDYVLMEFWSIRKSHSYLLLLNLKTMKLDMFRNDSTQPYRGPAFPFFLSSESPLLSFNQQRTLDVQVNEGCGYEEMSCSLDVLFHADLLSLQELIPGIQRQDSRNRRKERRGEGNNHLNSSNHQNRKYHIDMSVRSVVKYKATDLSILNFSGHSIPYLLEQGQAPHPRSSTRDNA >Et_6A_047319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3901302:3901602:-1 gene:Et_6A_047319 transcript:Et_6A_047319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSIVQCNLAISSLSLEPMHHVSEDVSLKKVLMVLEEIPGLARDVMLKAFSILSRTFKSLLELPNSLRNDWLLIEIKASEACSTCSACTKDVEHACMI >Et_9B_065050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20809442:20813300:-1 gene:Et_9B_065050 transcript:Et_9B_065050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAAAARAGVEGSLARRRDGGRAEAGVPAAAAGRVWAFERDLVAGAVMGGAVHTVVAPIERVKLLLQTQDGNAALLGRARRFRGFADCAVRTVRDEGVLSLWRGNGTGVIRYYPSVALNFSLKDLYRGILKDAGTSSDNKLSSIALTNFFAGAAAGCTTLVIIYPLDIAHTRLAADIGRTETRQFRGIRHFMQTIYKKNGIRGIYRGLPASLHGMVVHRGLYFGGFDTAKDVVVPLESSPLWQRWITAQAVTSTAGLISYPLDTVRRRMMMQSGMEVQMYSSTFDCWRKIYRQEGIRSFYRGALSNIFRSTGAAAILVLYDEVKKFMDRGRL >Et_4A_034136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30177935:30179950:-1 gene:Et_4A_034136 transcript:Et_4A_034136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMVRTLRGERVALDVDGATTTVAQVKGMVMARERVPVEMQRLFFAGRHLDDDAMPVAHYGVQNDSVEMRSVQMQQSLPGTVKQEESQLQLVHVVDGEETIKRKPVSRRAIRKILSRLHVDVWTSQHDAKFLDLLHRHTTRSGGRGVGDLTADDWSSIRAELNATTRSAFPVEELQRRLGEFRREFDAASRIKSHPTFGYDAWRRVVVAREADWKRYVLENPEAAAYEGRSPHLARLRAIFSSNGGRGGGAAETRGRGKTKCSQSRAKRCLSKLLHSFGLRCKL >Et_7A_051979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3386819:3387699:1 gene:Et_7A_051979 transcript:Et_7A_051979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDAARKSVLDWPTRFKIIKGVARGILYLHQDSILKIIHRDLKASNILLDTEMSPKISDFGMARIFGGNEQQANTTRVVGTYGYMSPEYAMEGSFSVKSDTYSFGVLLLEIVSGLKISSPHLIMDFPNLIAFQSQNSMQWPDQNLISSVQAWSLWKDGKARELVDLSVVESCSLHEVMRCIHLGLLCVQDQPNARPLMSSIVFVLENETAPLPTPKEPVFFTTRNSETDQSNEYMRRSLNYMNITTLDGR >Et_1A_009549.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:7974080:7974637:1 gene:Et_1A_009549 transcript:Et_1A_009549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRANHTVVSPPMNATAAATTMVTSSPSTPRPPPPAAAGGAGAWGPYASSRAFFSNVATILIILACVSVLAFSLHAAARLLLRYLARRRAAQAQVLAPKAPSDAGGAADDAGSEVAGAAAAGGVWAEAECAICLSELADGERVRVLPACGHGFHGACVEGWLAARASCPTCRAPSRPSRAVGEP >Et_5A_041734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26571989:26573226:1 gene:Et_5A_041734 transcript:Et_5A_041734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DREAKKEAFRKYLESSGVLDTLTKVLVSLYEENDKPSSAVEFVQQKLGGPSISDYEKLKAEKLDLQLKYNELLDTHKETCRQLEELKNMKYEAPWN >Et_2B_022109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9192742:9198377:-1 gene:Et_2B_022109 transcript:Et_2B_022109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVELHCLADQATLVSVHRMRTLLQAPVDAGSTVGGAGNTLATPGTDLTPPSSSSPSPPAGSTPPPPDSSSSTPPPSTASEPPPPSSPTPPPPSPSTPPPSPPDLSPPPPSPVDPPPPVAPAAPPPAASSPPPPPPVSSPPPPPPAVAPPPPDNAAPPPSPTPPPPTAPPPRSNAPPPSSSPPPPASPAAQPTASPVAATPPPPVDYVPPPPVRNSTAATHAPPTPAVASSVTPPTSSGGGMSSGATAAVAVVVVVAFLGLAGAFVCFSKKRKRRQADRYYAGFGAPPVYAPQHLSGEAPFLRAPSAPGSANFSMGGGMSPARSQAYGGGQLQPPPWMSAAAASANYSASIGSQGPSRSMAPSSGELSVGNSKAFSFDELYEITGGFSRDNVLGEGGFGCVFKGTLADGKVVAVKQLKGGGGQGEREFQAEVEIISRVHHRHLVTLVGYCIAEDHRLLVYDFVANDTLHHHLHGRGRPAMDWPTRVRIAAGSARGLAYLHEDCHPRIIHRDIKSSNILLDDQFEAQVADFGLARLAENDVTHVSTRVMGTFGYLAPEYASTGKLTEKSDVFSFGVVLLELITGRKPVDSSRPLGDESLVEWARPLLNRAIEDQEFDGLVDPRLEGNFDDVEMFRVIEAAAACIRHSAARRPKMGQVVRVLDSLTDIDLSNGVQPGKSQMFNVANTADIRQFQRMAFGSQDFSSDYTQSRSSISSRRDYYHLNPSKIDSTLRKKGQGAGVAPFDPRECERGWWVAALWRAESQGAWRWVQPARKRGGERRRRDGEPGRVEPRQAMCNNMRWVIAAVHVTEGEETMRTW >Et_10A_002009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18318127:18324854:1 gene:Et_10A_002009 transcript:Et_10A_002009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKGFLSTNGTIRNPAVSKLPDYHLNSGEQSEDTENCNSENQELFQGGFFSRPTSPLIAACGCVSSLNPSKILKVGLREGSSFSCTPVSASSYYRHGRRKISTFGSWDARTATSFDGDESIQSALLKSERSHVPSYSSKRRKHRRSEGSYYSPSLSAILRRKGSSLLCGSQTMHRKKRSFGSLKWVHSKKSARGMPLLGNSCDFGSSSFDSSSDELSTNIGELDMEASSRLDGKRWSSCKSQDEIDLAVHGADLATLEHRSLNQKYRPKSFSELVGQNIVAQSLTNAVTRDRVAPAYLFQGPRGTGKTCAASIFSAALCCIATGDNKPCGICKECTDFFSGNGFNLIELDASNRKGISRIKHLLENAPPSAAPSRYKVIVVDECHMVSSKVWSAFMKFLDEPLPRVVFIFVTIDPDNLPRAVLSRCQKYVFSKIKDIDIVCLRRICVKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPALVNDLVGVVSEEKLLDLLEIAMSADTAETVKRSRELMDSGIDPMALMSQLAGLIMDIIAGTYKLADLTCCNGSAIGGRITDVELERLQQALKILSDAERQIRLSSERPTWFTAALLQLGCGQNSDMIQSRSSTREQPKAANDAVSEAARESSSSRTVSHSASAFGVSNRMIDRKTISVQSSPQVLASHSSRLRLNENLVFGECKSVDRVQLNDNCAEQWALVNGNSDNLAQIWTRCIGNCHSKTLKQLLLDHGKLVSIRQLEGYVIAFIAFEDRGIKSRAEGFLSSITNSVETVLKCNVEVKMGSLSELINEGLILEAVHKVRRVDSDVLSCSSNSDRLKGTLNTSGRSFDHPDGVKKELDKCKKIPAADARLHSSVTLNSGITKIEGQEVPVNMSEIDKNDEQRLESAWLQGVDKQTPGVMNQARHNMHHVISQVVDCQYQRKSSMSLVVPSSHADEALAHEIEALKIVDSYGPHKHQNRRSENWHAMSPSKLHGNNDLANCDKDSLHQEPSVNLVFDAGEEADTVKNRVLCELTKRSPDCYMSPPPSAKMSLHGHRSRPKPSSAAAAAPCVTSLEMLVGSLPELGKKPLLALLLLGLTAPSLQGTSTSPTRTPVMRKRR >Et_2A_018494.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3052720:3053025:1 gene:Et_2A_018494 transcript:Et_2A_018494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRCSPRSVLLSDDSSRPLPRAAHFARSAAAEDAETTEKYEATAAPRKQRASRHQLATAFRCPSCGLGDSVECRIDVKGKVAERRAGPARRASPPRRTR >Et_2B_021830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5908687:5914086:-1 gene:Et_2B_021830 transcript:Et_2B_021830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTSNGTANGPAPGRLASVYSEVQTSRLLHALPLPSVLRSNFSVADGPASSAAGNPDEIAKLFPNLFGQPSASLVPAAEPASTRPLKVGVVLSGGQAPGGHNVICGIFDYLQERAKGSIMYGFKGGPAGIMKCKYVELTTDFVYPYRNQGGFDMICSGRDKIETPEQFKQAEDTANKLDLDGLVVIGGDDSNTNACLLGEYFRSKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALQTHPNVALIGEEVAAKKQTLKNVTDYITDIVCKRAELGYNYGVILIPEGLIDFIPEVQKLIAELNEILAHDVVDEAGAWKSKLEPESRELFDFLPKTIQEQLMLERDPHGNVQVAKIETEKMLITMVETELEKRKAEGKYSAHFRGQSHFFGYEGRCGLPTNFDSSYCYALGYGSGALLQSGKTGLITSVGNLAAPVEEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELDGAPFKKFASMRDEWALKNRYISPGPIQFSGPGSDDSNHTLIFGPGDWNSPRVASSLQIVWKTYSVPQIELLSTVVIVSLGANAKKVRRH >Et_5A_042630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2318499:2320295:-1 gene:Et_5A_042630 transcript:Et_5A_042630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRGCVAPAVSAAPAPAVFRRAELLPRRRTSSRLVSVASAARSTPSAPSGDGAATVAPPPSDARGYNGVAGGTYGAVAPNAKATAIETAVERVIFDFRFLALLAVAGSLAGSLLCFLNGCVFIKEAFQVYWSSCLRGVHSGQMVLKVVEAIDVYLAGTVMLIFGMGLYGLFISNASSDVPSESDRALRESSLFGMFALKERPKWMKITSLDELKTKVGHVIVMILLVKMFERSKTVSITSGLDLLSYAVCIFLSSASLYILHNLHKENHEDSALPHL >Et_3A_027292.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7031039:7031569:1 gene:Et_3A_027292 transcript:Et_3A_027292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRSTPTVAKGATAPASNPEHGNDSAPLERPEGRDSAKRRRANKEDTGSSNAAVGVLQQMHERSINAEGKQDQQMQDILNMKVENFQLSEKMFELQKKDIEFRSKLKQEQLSLTKQDIEVRAKQSEAHLLTAEVGIMGADLEKLTPSVRSYYSMMQHEILVRRGVINPENNDGV >Et_2B_019800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14009828:14016471:-1 gene:Et_2B_019800 transcript:Et_2B_019800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPKGKRRLLPSFPSDFEYDGWLDAELPGFYYDPEKNRYFPIRGPIPGAAARRPAPPPPPTPPPVDAAGCSRKRARRSELLNAREMYGGGVIISNKRTMSTFKQQYQYAQASQPTVWKYQGTKFVADKALEQLCGMVQTPEGMRESRILVTGSMNGSIRLYGLESSLMNIGNDMEFLPQPAWTPLGKQKAGMNASLPSIWSSEAPFSNFSSGMDHGAGLLDLETETLSWIYRSKSDILSLKFVHSGNVVLCGLRNGSIVPVDVRQNHHHSIGQASPSTARRTIPMPPPRGNRRGRNQADMNKCSRFISMSSAVCSLVALSSDENYFLGSSMDGSIKLFDLRLAQKGGIQSYEGHVNSHTHLPLVVDPSETLVMSGGEDCTVRIWSIKAGELIFAQNVADTLFTALCWPESSRDMCGSSLFDLNHSWGAWLGSRDGLFYMHGT >Et_7A_052961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3525096:3525605:1 gene:Et_7A_052961 transcript:Et_7A_052961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQQHDDDLLRSVSNIMRADGFMDGSEMFLKAAVLGQHRPTRVQFLDLKDCDAHIEFINFRTKDDWRSRA >Et_1A_009373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4337562:4338041:1 gene:Et_1A_009373 transcript:Et_1A_009373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFGLGGALGLDLNKCEPWELSNWYAQRVGTGAPIYLVAILDYLAAKVSSSPAMPPATTRTDDPAPRCWRASPSPGGVLSNINPMLLPKKAAERAEKAEKVGAKSPKKVKVATKYPQEVDSLTGRILAD >Et_5A_040930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1529229:1532738:-1 gene:Et_5A_040930 transcript:Et_5A_040930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWRCISLLALVLVISAASHGRVSIVNTSTHLALLKSTMSLQFHIAELQVKNTEQSFVYNHTLAKTLVEYASAVYMTDLTSLYTWTCSRCNDLTRGFEMRSLIVDVENCLQAFVGVAHNLNSIVVAIRGTQENSVQNWIKDLIWKQLDLSYPNMPNAKVHSGFFSSYNNTILRLAITSAVHKARKSYGDINVIVTGHSMGGAMASFCALDLAINLGGDKVQLMTFGQPRVGNAAFAKYFAKYVPNTIRITHGHDIVPHLPPYFSFLPQLTYHHFPREVWIQDSKGNTTEKICDNSGEDPDCCRCLSMFSLSIQDHFTYLGVDMEADDWSTCRIITAQSVKQFQKELASNILFAHYGVDVSIVEPSEQTDWSSSRNWAEHQIDRCAELKRSQRRKLAMRDSAVRKTNADTLIRRIFSHRRAGILVAPSLRQELARRCDYSCAARMRGMARIPGVRLELWEQMGGEHWAGDRWFRLEKVAEGRRAGRTPVAAGGGGSNPI >Et_1B_009702.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17518970:17519353:1 gene:Et_1B_009702 transcript:Et_1B_009702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPSWNNFLRSLLHFVVVRSGHSEGLGRICRLVFFFPRRQEEEEERHKKEEVSSLRLQECWLPFVGLLFSSLLPGVCPCMLSVCLCSLIYEASYRFGVGILDCTRLLDVQVVFLCVYNLMMYSIMV >Et_7A_052273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6391079:6397182:1 gene:Et_7A_052273 transcript:Et_7A_052273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EQESSHEDSSDGSKAAMRRSAKGSVLWWAKVVADMLVVLCGQTVATLLCRLYFNSGGKSMWMATLSQSAGAPLLIIPLLLTPPPSPEEHQAAAPKMAAIYAGIGIMIGFDNLMYSYALLYLPVSTFSLVAATQLAFNAVTSRFINAQRFTALIVNSVMYPCLVATAVSVVGLFASGQWRTIPGEMTSFKNGRARYVLTLVDIAVSWQAAGLGTMRLITRVSALFANVTATVALPLVPIFAVALFGDKMTGIKAVAMLMALWGFLSYVYQHYIDGRRAAAGKGVECLVCAGSVPEQVPSHEDSRDGSKAATGRSTTRGGAWWWMTVVADMMMVLCGQTVATLLGRLYFDSGGNSKWMASLAQTSGAPLLIIPLMLTPPPPLLEEERQPGRSKMAAIYAGLGIMIGFDNLMYAYALQYLPVSTFSLVAATQLGFNAVTSRFINAQRFTALISNSVMYPTLVSSLVSAVGLFASGQWSTIPGEMASFKDGKARYVLTLVGTAVAWQAAGLGTMRLITRVSALFANVTATVALPLVPVFAVALFGDRMTGIKAVAMLMAVWGFLSYVYQHYLDGRRAKVAECRVCAARSGSDADLPASQ >Et_6B_048555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10778780:10786630:1 gene:Et_6B_048555 transcript:Et_6B_048555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFLHENSQMGYIIMSKGPCPKSNAPGSSKAHCRVKAIHHFFPHRRLAGFCLLILLSRVSSAVRSTGFVAGPALLYLSLSPFQHSWSPEQAGDVAAQFFAFSDMLAAAAAVAFPPAASSFPPMKPAARGWRRSWSRPAARVSAEYSQLEVRKVTYRPPGTEQHLLNEISLSLPEKSFGLIFGRSGSGKTTLLQLLAGLSQPTSGSICIQKYNDNGIPSGLPELLTSERVGIVFQFPERYFLADTVLEEVTFGWPRQKVDLIFKEQLALNLQNAFNSVGLRSISLDEDPQSLSGGFKRRLALAIQLVQTPDLLLLDEPLAGLDWKARADVVNLLRDLKKHHTILVGVVSTSGSLVENGNGRKLEGGSFVSVKMHLLSQGSCNRTPLLIWAGWSIRNFFQESSRKLTSLERFGSEKSNKR >Et_2B_022250.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15441559:15441882:1 gene:Et_2B_022250 transcript:Et_2B_022250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCAPVCSGLGDGSVLYFVFVQPESKTAGGLPARTFVTSLCKSHYFRHGDSRTMRSPVVVVLCEYVSRNTYAQMLCGLCRHHEVLRVGALFISALLLRAIRFLQMN >Et_3A_023643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10237052:10243631:1 gene:Et_3A_023643 transcript:Et_3A_023643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKKGRWTKEEDEILAKYIKEHGEGSWRSLPKNAGLLRCGKSCRLRWINYLRADLKRGNISEEEEEMIMKLHATLGNRWSLIAGHLPGRTDNEIKNYWNSHLSRRASDFRSGGDGVVVNVDLAKLPGGGKRRGGRAMAAKGGKAKKGKGKEKQVIAAEVARQHETESQDTNVSTPLSSQLCTAHSEEERAQASASGVTSDGLEDGPLGPSEEMVSELLCPKLEIGMGPDGACMDHDTDTGPCIKSEESGPGGPSGDVAPKEGEKALEDWDLTGLDISVDDDMWDSLVWDYDGMVVPEGGQQGELMPDLFFLDNLVCWFSVFFDPPFLPPLPLFLPFLFLPTADSSSVAVAAAALQPLLPPPSATAASLASFPFSVFLPPELQIFLAALLNVEPQPTPTLSSPKTRRVP >Et_2B_021819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5816591:5821496:1 gene:Et_2B_021819 transcript:Et_2B_021819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMTLPPSPSPLVAVKRDPDAAETGVHTPAPPPRKRRRVKGRPPVTPTQLPLSPLLTPQTVTSSASVAGPTPSPATATATVKSEPDVDAGADAGPGGRRGPRDLNPYARPAAAEPATFWLNRRRLGRTLHELARAHRWRDAASVVSTLLRCSRKPGSFEQTRSMFVVAMEIYKRLGEDSGVKQSSRSRYYLRTQKLFDVWMRKLVWFPTSPKKHLVKLELALFYLLLAKDGLQTEPILNLIHGLISYDKWYSGLPKDMQVEEFDVYNESCTTSMASDGCEQSDFLDSSEVSIGVHDVSLPACSSESSINNEGIESK >Et_2B_020251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18389028:18390840:1 gene:Et_2B_020251 transcript:Et_2B_020251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKLTRLPPPARSPAWPFGLLVALCLTTLPLFLVMSPGRQSLSDMWQQMGIRVTVSYDATRSSTPHCSVPSLLTPLPIHAVKEYATNESEPSGSPPPTESRDVLLGGLLSPDIDKNACLSRYQSSSLHRKPSPHAPSPYLVSRLRRYEALHRKCGPGTVAYNKSVAQLAAAAHSLGLVECSYLVWTPPSSSGHHLLADRMLSMASAFLYALLTGRVFLAHMTADDMAGLFCEPFPGADTSWELPRDFPALKNLTELHRGSERSYGNLQVVGAKKVAANDSGDPADAQSLPSYAYVHLERDYQLPDQLFFCDDDQAVLGKVNWLVLRSDLYFAPGLFLLPRFEDELRWMFPAPDTVFHHVGRYLFHPSNEVWDLIARYHHASHVSKFQERIGVHVAAVSWSPVTTTEEYLKQIAACTSQEKILPEVDPDAASSEHEAASSATAVLVSASSEPRLAEWLKTMYDDHTTVTGEAVSVLQPKIMSAGTQPRRNQKALVEMFLQSYCDVSVTSGGSTAGYVSHGLAGVKPWLLLTPPRDRTRAEAPCVRTTSMEPCFLAPPNYDVCRAKKKSGGAGAVLRHVRHCEDVTEGLKLFD >Et_7B_053355.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12896283:12896693:-1 gene:Et_7B_053355 transcript:Et_7B_053355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPRHAPPPRAATLTLLLPLLLLSSPSLSFSAAAPAPPRPSVPAPLRHVASASVPAAPPRPPAPPRRHRHKRHWPPPPPPPPRRRRLNFGEKLGIAFAGVAVAMQVVLGAFLALRAWQLRRLDRAEVSSSTPLT >Et_5A_042044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5610283:5615487:1 gene:Et_5A_042044 transcript:Et_5A_042044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEFGRPFIILREQEKKSRLRGLDAQKSNIASAKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAEKLLERGIHPIRVAEGYEMASRIAFDHLERISTKFEFSADNIEPLVQTCMTTLSSKIVNRCKRALAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGIVVDKDMSHPQMPKRIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLREQEQKYFDEMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELSTEKLGKAGLVREKSFGTTKDRMLYIEKCANSRAVTIFIRGGNKMMIEETKRSLHDALCVARNLIRNNSIVYGGGSAEIACSIAVETAADRHPGVEQYAIRSFADALDAVPLALAENSGLSPIDTLTAVKAQQVKDNNPHCGIDCNDVGTNDMKEQNVFETLIGKQQQILLATQVVKMILKIDDVISPSENHIYA >Et_10A_002121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23045004:23046836:-1 gene:Et_10A_002121 transcript:Et_10A_002121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVLRCLEGEDRHAGGDHYPYYRPTSRPHYQPPQYYSHDQPPAAPPPRPHQQAQGPHGVTTANASVDTVDQYILNFKSTSMTLQSAETAAVGVWIYEYAAVQNIGALKRSNEVLSDRVVAPRYQCNTEDIDESSAEGLCHAESYAKANPMLIQVPVLGTTRKFWRLSDEVARISRKLALILRSHHSVAKYLTAPLQVSNVWISNAGSVKLRGVSFTSKRFSIHRVRDDYRYLSRLMQSLIRTSGGDLNNLPPDYKEFLELLESNTLTMKDEFLIVNNSALLPMSNRTEVFLMLYDRIATYLGRTKAGKAKKKRILSKLPYNNNWLDTASANTQINQWVVNVQKQYKRTQLDQLRLNRNVRSHLHQYNDDNIEEIMYYEWPELLMDMVKLLHMEGELQGTDIQNKFG >Et_9B_063746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11134206:11135145:1 gene:Et_9B_063746 transcript:Et_9B_063746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTDYDGTVVWQTEGKISNVQYAQLLETGNLVLKNTSGDIVWQSFDSPTDTFLPRQRIADGTKLVSTTQLYWPDPDYQYYENNRNLYNSTRMATLDKYGQLFSSDLPQHVLVASDRDAGIKRRLTLDYDGNLRLYSLNNSDRTWDVSWIAEAQPCKIHGLCGPYGICHYLPKPTCSCPPGYKMRNSGMYAKVTAPVRGFNTKQETAHAIQNLFSSMEDPSQRRTCAECTSNSLQV >Et_3A_023795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12363377:12378303:-1 gene:Et_3A_023795 transcript:Et_3A_023795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPAAAAAAAAAAAISAAMDWRSSPDARAAAFGYLESVKSGDVRALASTSFILVRKDQTSEIRLHGFKMLQHLVRLRWEELSAAERNEFANLTINLISDVVGPHEVWALKSQTAALVAEVVRREGVALLNTLLPSIVSLSNSGPIEAELVAMILRWLPEDITVHNEDLEGDRRRSLLRGLTESLPQILPLLYSLLEKHFVAALSEHTNQQMELAKQHVGTVTAVLNAVNAYAEWAPVIDLAKYRLIHGCGSLLSYSDFRLHACEFFKVICQRKRPADVAVGEYDAAMSNIFQVLMNISQQFLTKSGTQPSAVDESEYEFAACICETMVALGSSNMQCILADGSRTFHFLKQMLEYYQHYKIALHFQSLLFWLAVLREPSKSKSVSRVSGDNSAAGISSSTGDNSVEKVKKGVSVFVTDEMYSTILDVSLKKMLKNSGSTSSGLVEIWSEELEGKSDFCNYRTKLLDLIKVIASQRPVIAAASIVQRINVVFGDTNQATKSPQNFDAMEGAQLGLEAIVSAIFDGSFDYEKANQETKLQIHSLLQQLLSLKWTEANLAVIHGHYLDALGPFLRHYPDAVAGVVSKLFELLTSLPITFQNIADTMAYLQGEGRLLRGEHNHLCEAFLLMASSAGIQQQQEVLAWLLEPLNKMWTQEHWQTAYLSDPSGLTSMFADTQFMWSIYHTVTFFEKALKRSGTKKSTATPHVPTTTAVPGYLHPMSSHLPWILPPLLRQASLLGETGKLTKGQVAPADGLLDSQREGESKENNIRNWLRGIRDSGYNVLGLAATLGEPLFRSIEGSSVTVALMENVQVMEFRHLRQLIHLVLVPFVKFCPSDLWHVWLVNLLQPLFVHCQQALHYSWSNLLQEGRAKVPDSIGNLSASELKVEVMEEKLLRDLTREVCSVLWILASPGLNSGLPSLEQLGPSNRMDSSLRGLESFASSCLTGAELVGLCREIYVYLSDRDPAPRQVLLSLPHIKQEDLVAFDDSLSKTASPKEQKQHMRSLLLLATGNQLRALAGQKITNVITNVTTRNRSSTTHHGSSAEEDGHIGLAALSST >Et_1B_011107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17726532:17729675:1 gene:Et_1B_011107 transcript:Et_1B_011107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMFYLVGSDDSKTTWRVLKIDRREPTSLELVEDPTHYTAAQCDDLLHRINDGNKATGGLRLVTKCYGIVGFVKFLGPYYMLLITGRRKVGTICGHDVYSVDKSKIIPIPAPSVLPDVAHSCDEKRYKGFLHSVNVCKDFFFSYSYNTMHTLQKNISSDKNTSELKYESTFVWNEFLTQDIRQHIENPIWTVPLVHGFFKQEKLSVCEKDVLVTVIARRSRHFAGPRFLKRGVSEKGDVANDVEIEQIVCEGKQDVMADQITSHVQRRGSIPLFWSQETTKLPIKPKILLKRDECHKATYLHFENLVKRYGNPIIVINLIKIIEKKLHESLLRVEYAKAIDHINEGLTSDKRIKFIHMDMKNYCQSSKELGFVAFSSGNTNYLDPQFQTMEMVSLSLQKGVVRTNCIDCLDRTNAAQFAFGCTAFHQQLNALGLIGVPKINIDDPLCLTLMDLYEQMGDALAIQYTGSAAQNKLFWVQRGQWSAVSRFQELVRATQRFVSNAFMDNEKQNALNV >Et_1A_006502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23308947:23315443:1 gene:Et_1A_006502 transcript:Et_1A_006502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TKPAPLHTWLTRAYPRPSSATELPSCVISAVSPINCPGSVLISCTQNSLLLGISSYPSIMASRTLLAVCAVLMAVAVANAASGEPASVVVGLAKCADCTRKNMKAESAFKGLQVAIKCRNKNGEYESKAVGKIDGSGAFSVPLTADLNGADCHAQLHSASGKPCPGQDPSTIVPQSEGTFIAVPGKTHYPSAECASATICGPIKKHFIDHFHKKKPVPEYKPVPEYHPVPEHKPEPEYHPPTPEYHPPTPTYGGSPTPIYHPPALRASATFCGKIKKHFLDHFHKKPVPEYKPVPEYHPVPEHKPVPEYHPPTPEYHPPTPVYGQPKPTPIYHPPLPQWGLCLEACLSAFLPSLLADAAEPETASMVVGLAKCADCTRKNMNAEAVFNGLQVALKCKNAKGEFETKAVAKVDKSGAFSVPLAADLLREDGELKQDCFAQLHDASRQPCPGLEPSRIVRPSSDDDDKKKTFIAAAGKMHYSSKECASAFLCDPFHKKHVFHKKPAESPHFHKKPVEIPHVHKKPIEIPHFHKKPIVIPHFHKKPVPVPEYKPPTPVPVYTHPTPVPEYKPPTPEYSHPSPTPIYHPPADQKTQNPMTDPEKFKKLLPFIKKKPFFFPKFKKFPPGKEEIKA >Et_7A_052302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6715849:6717468:1 gene:Et_7A_052302 transcript:Et_7A_052302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGQEQVAAETIPGGRQQQRRGRGVTINEKLAKLRARGTRIEIHFASQFGKVCGRHASVFKSEVTVCIRQEAPLRVMKWREMDNASISAMWNFLKDKFPEISPEDEQIVMRQVERQYNNRSRQNSQNRAQQEMKSLVGTKSIVQIAYDLRNPATGEWPSAMDVWKAMYQKTDGTWSVPNGEEILTNLHAVAETEQERIASAAVPLAEHFALVLGRKPNHSRGVGVAAVNQGAQERHRLHAQAQIAREHADNAREQAAALEEEVQRLTQANMQLRDDMESQREELASQRRTVEAQNADMERLMDQKLEER >Et_7B_054125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15806338:15809757:1 gene:Et_7B_054125 transcript:Et_7B_054125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGSPTHPPPAKRRNEPSNTTIHSLGEDLLLAIFLRLPSLATLVRAALTCRLWRRAVASSPAFRRSFRSLHPPPLLGLFFQDAGAEQSPITHAFPAFVPFRRGDRDLTAAVRGGDFFLTSLLDFFDECFCWILIDCVRGCVLLMNGDDESFVVFNPLTRRCEDAFDVCSEEHTIDGHRGNCRRLDPRLLLSGGMQANGFLYWAYDDQKYLVSLDTATMEFSVSELPDYLRLCYFGIGETKDEATCIVYSDEFNIGLLMRTRDGNGTERWLPDRMVPLDTELHRVLEEDELTDASELNVFAVRDGYAYLAVIYDDPPSPSWYLSLCLETMKLERLFRRTFDRDRRDARPCIMAWPPSLLGNYGRLVIPTSSFFTLHYFQN >Et_6A_046692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19577615:19578140:1 gene:Et_6A_046692 transcript:Et_6A_046692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFISLLALAGGVAVSWQLFDSGHRVRDEKADDDKLPAVLPERRLPLPMYGEKITVLSIDGGGMRGIIPSVVLARLEEHLKEDKNNEDPRIADYFDLIAGTSTGGLIAAMLAAPDMKSPDGRPKKAEEITKFYEDKGSTIFSLRRSSFW >Et_9A_063008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:870855:874360:-1 gene:Et_9A_063008 transcript:Et_9A_063008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRKKLKQKLDTLLPAAGVEADDLGAEAQALKERLLSAKRPRPKRPPRKKLSPEEEEALRLQAEEEWRKEVELKREERRKEKKEKRRIRRLKEAEAAAVAEETQKVEGEVDSEAEDQEDPVGFADPAVRPDHPVVAEDREQNVDKAEVTKPGVGSNNSAVADDREQSIKKVYVGGIPYYSSEDDIRSFFESCGSITAVDCMTFPESGKFRGIAILTFKTDAAAQRALALDGADMGGFYLKIQPYKSNKADRVVRQKEDFAPKLIEGYNRIYAGNLPWDITEDDIKKFLSDCKISSIRFGKDKETGDFKGYAHVDFSDSTSLAVALKLDQKVINGRPVRIRCAVPKKDSQTVNDNGKSDPPKNKIRTCYECGTPGHLSSSCPNKKDSEIISDEKKINVDSATASSKKRRTCYECGTPGHLSSACPNKRAADSLSNNIEPDDDAKPSPTIVYEEKKVGDESNAAPSKKRRTCYECGTPGHLSSACPNKKAAYSVSNNREPDDNAKTAPTTVPEEKNVGDESNSAPSKKRRKCYECGIPGHLSSACPNKKASEVVRVEEKPEIVSETVLSAIADEMKVSDDTKPVPAKKKKRRTCYECGIAGHLSSECPNKAAAEVK >Et_9A_063035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8782838:8790122:1 gene:Et_9A_063035 transcript:Et_9A_063035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRRPLLVLLAGLLAVACLATLASAIYEDQVGLADWHQKYIGKVKQAVYHSQKSGRRRVVVLTEENVLASLDLRSGDILWRHVVDKNDPLGQLSLSLGKYVLALSSGGTVLRAWNLPDGQMIWEKNLQTSTLSKPQLHVLPYSKVAKDNLVLVSAGQWIYAVSSIDGAILWGKEFAVDGLEIKQVLQSPENDVVYAVGIIGSSKLAFYRLSGKTGEIVKDFQESLPGGLSGETVFGSDNLLVALDKTKSGLFLIKFTGERISYKKVDVSDLVQDVSGTFKLLSLSNGVIALETSSSVSLLKLKGTDGLEVVQTFDQPSSVSDALTVTENDGAFAIVQHVGSQIEFVVKFTSDLSSEFLREKVNIDQYRGNVEKIFLNNYVRTDKSHGFRALVVMEDHSLLLIQQGEVVWGREDGLASIVDVTTSELPVEKDGVSVADVEHNLFEWLKGHMLKLKGTLMLANADEVAAIQALRLKSSEKNKMTRDHNGFRKLLIVLTKAGKVTALHTGDGRIIWSNLLPSLRTSRSGVVSSALRIYQWQVPHHSVMRENPSVLVVAKSGAESSALGVFSILDSYSGEELNSMRLDHSVAQIIQLPLKDSSEQRLHLIVDSNSHAHLYPKSPDALNVFYHEMSNLYFYSVDVQKNVIRGYSLQKSCDLNLGDEYCFSTKELWSIIFPSDAERIALSETRKMNEVVHTQAKIIGDHDVMYKYLSKNLVFVATVSPKAAGDIGSALPEEASLVAYLIDAVTGRILHRVTHHGAQGPVHAVVSENWVVYHYFNLRAHRFEMAVIEIYDQSRADNKDVMKLILGKHNLSAPITSYARPEVVVKSQSYFFTHSVKAMAVTQTAKGITSKQLLIGTIGDQVLALDKRFLDPRRSVNPTQQEKEEGIIPLTDSLPIIPQSFVTHSHQVEALRGIVSVPAKLESTTLVFTYGVDLFYTRLAPSRTYDSLTDEFSYALLLITIAVLVGAIIFTWIWSEKKELRDKWR >Et_1B_010128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25384858:25385322:1 gene:Et_1B_010128 transcript:Et_1B_010128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQKVKLLMDELLEVETIHCLRDQNRVEHCLANFTCHNMSSAVWLRHIPDA >Et_5B_044326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22724987:22729692:1 gene:Et_5B_044326 transcript:Et_5B_044326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGDIGCCNCFSFLRKPRVPFHQPRDTGATLSEDLLKRQSTEDHDGSFYTGDDPDGSFYNGDDLERSFYNGDDPGRSFYDRDDNDDLDGGADGPPKKSSEDIIRSRAENGFVCREMLVKETKKVFRSEDENGNKMINQYVHLGKIGSGSYGKVVLYRSMKDGKLYAVKVLNKPYMMKVHVVRSETAMTDVLREVAIMKMLEHPNIVNLVEVIDDPNIDKFYMVLEYVEGKMVCDNGIEEVTARNYLRDIISGLMYLHSHNIIHGDIKPDNLLVTNTGNVKIGDFSVSQIFEDDDDMLWRSPGTPVFTAPECCQGSAYHGRASDTWAVGVTLYCMISGHYPFLGDTLQETYDKIVNDSVQIPNDTNPDLADLLQKLLCKDPGDRITLQAAAEHPWVAGDKGPVPEYICRCGFGRRKRDDFQEEVQ >Et_1B_014235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:485253:487753:1 gene:Et_1B_014235 transcript:Et_1B_014235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASDDLFEGLPPPAAPAAAPAGGGDRAASPTPPPPVAPAPAPRPALKSSLKRSKPSSAAAADATSPSAAADAAPAAAATEDHVPEKRLRFRTTVDASETQIIEAMQKITSHIGNRSKFSKASKLALQLIEAGSVKPGTISHFFAILEASMSSPGACNEPSVRADYHTLFDAAQGVTELFNQQQKNQFDIWVLHAVVANDLFTDDSFVFSKAVGKIKDAISALPVATVDDDNDEAAALAVASKSGTTENKADDSVPAASSNSLPDDSTYAAASDSREESSDPFGLDDLLEHKSKKSEKAREKTVTALNRKADEEESKRFLKSRREALLKCLEIAARRYRIPWTQTAIDIFAKHAYDNVKRFTRQQRDAIEKLWNSIKEQQIRRKQGKSVNGKLDVNAFERLQEKYSREKISIRHAVGGGGERRATQWLG >Et_7B_055091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7187306:7190994:1 gene:Et_7B_055091 transcript:Et_7B_055091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPMRAAAAAQSARRPAVVVSAASASRLLLGHRPFLASSASRSRFAAGRAAVTSPAAGLRPCLRRPRLSVVAMAGNDRQVPLKDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTAFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVANLGAKPLVIQLPIGSEDNFQGVVDLVRMKAIVWSGEELGAKFEYQDIPADLEELAQDYRVQMLETIIEMDDEVMEKYLEGIEPDEETVKKLIRKGTISASFVPVLCGSAFKNKGVQPLLDAVVDYLPCPLDLPPMKGTDPEDPEVVLERIPSDDEPFSGLAFKIMTDPFVGSLTFVRIYSGKLVAGSYVLNANKDKKERIGRLLEMHANSKEDIAVATTGDIVALAGLKDTITGETLCDPDKPVVLERMEFPDPVIKVAIEPKTKADADKMATGLIKLAQEDPSFHFSRDEETNQTVIEGMGELHLDIIVDRLKREFKVEANVGAPQVNYRESISKVAEVQYVHKKQSGGSGQFADIIVRFEPLEPGSGYEFKSEIKGGSVPKEYVPGVMKGIEESLPNGVLAGYPVVDMRAVLVDGSYHDVDSSVLAFQIAARGAFREGLRKAGPRLLEPIMRVEVITPEDHLGDVIGDLNSRRGQVNSFGDKPGGLKVVDAFVPLAEMFQYVSSLRGMTKGRASYTMQLAKFDVVPQHIQNQLSAAKTEEAAA >Et_10A_001597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5777139:5787307:1 gene:Et_10A_001597 transcript:Et_10A_001597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHGDEEAAASPLLAAPAQGGGRRSHAADVHLLSAAFLFVFSAYSAAQNLESTVNSEGDLGTVSLGILYTSFTLFAVVASPVVTRLGPKRALVVGTSGYVLFILANLVPTWYTMVPASLYLGFCASIIWVGQGTYLTSASLSHARDNNLLEGTTLGKFNGEFWGIIASMQVIGNLLSLALLRTGKDGGSATGKNMLFAVFLGCMVVGIVLIPTEGLLGTAAPLLIGALWGVGDGVLNPQLNAVLGLLFEDAKAELAAAAGEDEEAAPLVSTGARRAASGGAGARRDVRLLSGAFLFVFLAYHAAQNLQSTVNTGTYLTSAALSHAREHNLPEGPTLGSFNGEFWGMFASTQVIGNLISLALLRNGKKGDNAPTHSSFGAMFKYIVAPLKDRRMLLIIPLIAYSGLQQAFVWAVFTKSIVTPVLGISGVGGAMAIYGASDVVCSLVAGRLTSGLHSATSIVSVGAIVQAIVLFWLLLFYSPMAGVLGAVVPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPSITLQTMLILMITVLFISFASFLFLTLVVKKSSTVKP >Et_3A_025076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26739012:26742059:1 gene:Et_3A_025076 transcript:Et_3A_025076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTTSVLAAKAYKYKAESLVKDYLLADSYVSFTAVLGGILMCKMVYDITHLVSSFYYKGYGSLSKLQKLEWNNRGMSTVHAIFITVMSVYLVFFSNLFSDQLDGPVTFRNSNLSNFTLGVSVGYFITDLAMIFWAYPNLGGMEYVLHHMLSLVSIVYAMYSGEAQLYTFTVLISETTTPGINLRWFLDIAGMKRSKAYVVNGVTMFVAWLVARILLFVYLFYHIYFHYDQVKQMHTFSCILIFAVPTILLVMNTMWFAKILRGLKKTLAKRQ >Et_6B_048265.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:3437190:3437600:1 gene:Et_6B_048265 transcript:Et_6B_048265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIGLTTVDGSELGLDLCYTSQSLATATIPAMALVFEHNNVMELQTWNYFYMDAITGLECLSLIPVRGGSFLGSLIQAGTHMIYDRSNKMLLFESFQQPSPPPPLLPTSKGSPRIHVLSLVLVQFVYVLYWAALF >Et_1A_007767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38074174:38075472:1 gene:Et_1A_007767 transcript:Et_1A_007767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDGGGSAPVRNFLRKLPKNIRKRPATPAGSDDEDDGGGGAIAALRSKKVPSALGKLLFSSTDGSAEEPRLFQGGVLQGDPRVHGPQGRVPAGAHGTVSGEKAGGSHGPLRAPAHIRRSAQFDYQPDICKDYKETGYCGYGDSCKFVHDRGNYKSGWQMEEWQEEVEKDRTRRIA >Et_10B_003907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7415446:7427537:-1 gene:Et_10B_003907 transcript:Et_10B_003907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCALRKGAYGRPLVAPYMKERTRFYLDVLGICSVRGKRGKNNSRNSQKFPSRRRGEARRGYQSPLPPPAARLLLPRRRTLPPTKQAHPLQEASSSLPPKRGTPLEGVLRFVGSAKLAVAIFVPSLRRARGGRSSGPGTDRRIPPFPFAAANRAQIFLSPSGEGGRGSRSTLCQDNSTMSEATAGQLQTKEQDGGAQASQQVGQQHVNVADPLSLPKETEGSNNEQPVKVEQGNSHQQEQQQLENQLQQAETNSFQLAEKETGYFGQQSFASSNVDVAQSSADQQNVKQTAAQQAPAGGQDARKGPSIPFNMLIPILQAHLDRDKDMQLQTVWAKLRRNEVHKDDFLRVIRNIVGDQMLKQAAHKVFIQMQAQAQRNNQTNQSQHSLFSQASAQQVPSSGSVQSHDQQVRPPALPNQGQKSQVSSSPRAFAPSLGSQAPNNIHYLAHANPNQNPDAKGANPMLNQAPRPNSAGSLQTRNMQQQPMQFQQTSQQLYGASNPSAQAHPRSITGSIPPRPLSSAQEAQPSMHAHGMVPAKLGTPPTHAAMPNNLVRPMQPKKGVKTNAPAPTVNAKQDSESAVKARVGKQATGSAKGNKKSGGQKKSLDAAGSTQPPSSKKQKTAGAFQEQSIDQLNDVTAVSGVNLREEEEQLLSAPKEESLATEAARRIAQEEEEKLFLRRHPLLKKLGEITLKYDLKNISADVEHCLSMCVEERLRRFISTLVRVSKQRIDTEKTGHRLVITSDVGRQILMMNQKAKEEWDKKQAEEADKNKKQTEADGSGGAELEKEKEESRPKNVKPNKEEDDKMRTTAANVAARQAVGGSDMLSKWQLMAEQARQKREGIDVGASSQSGRGPLSRSSSMFGKGPGDRHDGLKRSHSAAFGSGGMKRPGRGGFVGPQRTICVKDVINVLEREPQMTKSRLIYRLYERLPGDSSTD >Et_10B_002363.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:13216685:13218244:-1 gene:Et_10B_002363 transcript:Et_10B_002363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSIMVRKSSSVVVRPSEPMTTGITMKLSSFDKAAMNDPVTSLLVFEQPIHEPAETIKRALSQALVHYYPIAGRIDAAAANDGELHIQCTGEGVPFVSASANSALKQFMFLDVQSPGARAVLDELAIYCEPAGGSNPLMTMQVTEFSCGGFVVGVTWDHVIADGHGMAQFLQAVSEIARGLSQPSVVPVRWDDALPSLPPSLVSSHQLILGLEPLDLACLDITIPSSAINRVRDEYRVHSGGQSTCTFFEVVTAVLWQCRTRAIMSNPQTPAVLSFGVNFRKHVGAKDGYYGNCCSGQTVGATSGKVANGDIMEVIEMIKHAKDQIPQRFVKGDGTVDSNQQQEQATGGTHQFDTYNILGLSSWRNLGFEEPDFGSGNPERVVYHRKPMAWSVPICVTCPPWKGVDGASVFSLCVKKEHADAFLGEIAKFT >Et_2A_016234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22405520:22419613:1 gene:Et_2A_016234 transcript:Et_2A_016234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNYKSVRIANKRFPRVGQQRTNPRTELRGRDPSMAADRRLDLPVVDLASSDLRAAAKSIRQACVEYGFFYVTNHGIDAALMERVFAESRKFFELPMEEKMALRKNSSHRGYTAPYSEKVDPLPESRGDSKESFYIGPTGDGDLQSDVNLWPCGGIEMATGKSILSLIALSLDLDAEFFHKGGAFEIPSAFLRLLHYSGDGKFGAAAHSDYGIYVEIKIDIHRSGKTFITTLIVNIGDLLERWTNCVFRSTLHRVVPIGKQRYSVAFFIDPNPNLVVQCMESCCSEANPPRFPPIKSGDYLEERLSSTYKLATACVEYGFFYVTNHGIEAALVERVFAESRKFFGLPMEEKTALRNSSGHRGYTAPCSEKVDPLPKFREDSKESFYIGPMGDQDLQTDVNIWPSGEQFPSWKETMQSYHANALATGKRILSLIALSLDLDADFFHKSGAFETPTAFLRLLHYSERKIVIHRCGKTFNTLMGKYLALIVNIGDLLERWTNCVFRSTLHRVVPIGKERYSVAFFIDPSPNLVVECMESCCSEANPPRFPPIKSGDYIEGQLRSTYKMATV >Et_1A_006914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2932332:2934033:1 gene:Et_1A_006914 transcript:Et_1A_006914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRVLFSSLAVLALAAFVQTGHGAGEVDVGRAFARASGTRFTVGGRPFYSNGFNAYWLMYMASDPAGDRSKASEALEQAASLGARLVRTWAFSDGGYRALQVSPGVYSEEVFMGLDFVIAEAKKRGVYLILSLVNNWDGYGGKKQYVQWARNQGHTMGSDDEFFTSSVTKQFYKNHVKAVLTRVNKMTGVAYKDDPTIFAWELMNEPRCQSDLSGKTLQGWIAEMAGYVKSVDPNHMVEIGLEGFYGEDRKQFNPGYTVGTDFIANNLIPSVDFATIHSYPDQWVSGASNEAQVEFMRRWMASHASDAAAVLRKPLLVTEFGWSARSNGYTVAARDSYLRMVFDAVYASARARGPFAGALFWQVMEPGMEGWTDGYDVVLERSPSTAALLRQECARLAGLRRVA >Et_7B_054928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5724263:5726782:1 gene:Et_7B_054928 transcript:Et_7B_054928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQEALCAPSSDVLIYDTFNAAACAAASSAGSFLFGNAPPAAVALPAPVEEVPVAAATPAREAEGENRAHQGRRKRRRRERSVKSREEAESQRMTHIAVERNRRRQMNEYLAALRSLMPESYVHRGDQASIVSGAIDCVKELEQQLQALEAQKRALAPRSNTAAEERDAAQQTPMSGSADAAAAEEDSNDEEDAAPPASPPSPAPFAGFFRYPQYVWRHARPRKEEEDGGACVGAAEETSRASGGVADVEVSVVVDAHASVRVMAPRRPGQLLKMVAGMQALGLAVLHLNVTAANTLVLYTLSLKVEEGCALTAADEIAAAVHHVLCIIEAEATAQRMLAVGGVGQPDLS >Et_10A_000081.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22315376:22317793:-1 gene:Et_10A_000081 transcript:Et_10A_000081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PKRNSKFNPGALHTKPQIFQGPPRSLSIARSLPPSPSIPKFHSPIPHASIPIDAAMVRELRVDSFYSRLRAAATAAGAGAASSSPLLILPSAADADSLCALRVVAHVLSADSIRFSVYPVASAAAAATLLASFSADQPLCLLLINWGAHRDLRAVLPRAATAFVVDSHRPIHLHNLCAANDRVVVLFTADDEHTADLSYDFDLSSLADASDLAAEGDTDSHLRVPDDEDEDSDASDSDSDGEGGRRKRRRLSDVAEAEGDPVRLFGKLRRQYYRLGTFHGKPSGCLMYDLAHALRKNTNELLWLACVSLTDQFVHERITNERYQAAVMELEQHINGSGNLDPSGVGSVVTLKDGTKIRAPETSRIAYEDEPRLMLLREWSLFDSMLCSSYVATKLKTWSDNGLKKLKLLLARMGFPLADCQKNFQYMSMEVKRKMRDEFDRFLPEYGLTEFYYRSFLRVHGYRSKVSAADVVYGVTALLESLNAESKDSKGSSAAEQFWIAYSALSLTNVDQLRKGMLSAIEIQRAILRQGSSAITKTGFIRSAKKFRWVKLDDPVDTGKLCQPQALTKFCFFLMDALKERGARMKPLICACLAKDPEKVLVVGVCGKPRLGAVQGNAFGNAFRSAAEEIGADYFHDMFESSWIVLDVVAVSSFMIRLTEKL >Et_10B_004424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6874329:6880641:1 gene:Et_10B_004424 transcript:Et_10B_004424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCAISTAYKMMGLKVRGRSKLIVGQVWTEMEKEHIKVAMLRQEQTFRQQVHELHRVYRVQKQLMMQMQLAEMNHHSQASADALARSAVKMDHQQWHGNSGEKAAMFEDFDLELTLATGVGKQEKPTNSDSEATMSSSSSAESESGRRFVPDSNVTLRFQNESNRHDDQNRLDYGIIKAEQ >Et_4A_034380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32115387:32115982:1 gene:Et_4A_034380 transcript:Et_4A_034380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMGGAAVLGLLLLCVLLHGQVAESAVFTVGDRSGWTFNTNTWTNGKRFKAGDVLVFKYDSSAHNVVAVNAAGYKGCTAPRGAKVYKSGNDRVTLARGTNYFICSIPGHCQSGMKIAVTAA >Et_9A_062744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4011338:4011964:1 gene:Et_9A_062744 transcript:Et_9A_062744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEAGAAEIRLRHGFPGPPRDFLAADARRRGGAVQDDGGLRHEGGGGGGEASWSTRLTLARFVPQLVEAFTMIGVDGCEDFDWFLSLGAHRLSSCTPTATH >Et_1A_007572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3672563:3676814:1 gene:Et_1A_007572 transcript:Et_1A_007572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPPPPMLPLLPDINPGIRSFLDARFRSSADLAAAAGVEAEIRGRCAELEASVSDLLVRLEEAAAAYSSCREAASSALRVVSGGLGALKSSTSETGVEEVVDVGSEQVLFEQLPALASEVARVEMIREYSEMALKLDSLVGDVEDAVQSSVTGKLKSLRENSEKTHHVAIGYLKSIEDLLDSVTTKRPQWARLLSAVDHRVDRSLAILRPQAIVDHRALLSSLGWPPPLAGTKFSSTDSAKQAEIVNPLFSMMGDLKSKYSESFLSLCNLQELQKRRKVRQLKGLNVSNQLRQPLWVIEELVNPISMAAQLHFSKWSEKPEFVFALAYKIIRDFVDSMDEILQPLVDKANLIGYSCREEWISGMVTALSTYLAKEIFPKQIELLQETSSSDAGSTQNQARVSWLSLVDLMISFDKRTQDLVSSTGFLLAVKDDDNWQRISVLSVFCDRPDWLEIWAEIERQDTFDKLKSAMELEKNWSRTQGTMLEYELDDYKSPAITFAVQQSLSLLIDRARPIPSITLRAEFIRMSASPIISEFVGYMLRRCQEAEGLTALADDNALLKVSQSINAARQFESTLTEWCEDVFFLEMEKLPIDGGVGGCIFQREINHLKEFRVEWVDKISTVILRAFDARSRDYLKTKRQWLERSDGPAVSRTFIESVDYMQGQISKLEGGLNALDFVMVWRSVASGVDQLLFAGIFTSGTKISNSGVERLQGDLSILFAVFSSWCLRPEGFFPRLSEGLRLLKVDEKVQEYGMYTDKNWLKEYGIRHLTAADTERIIKSRVYEA >Et_1B_013493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:986498:988189:1 gene:Et_1B_013493 transcript:Et_1B_013493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIELTLPPGFRFYPSDEELVCHYLHNKVANAGGVMVEVDLHTHEPWELPDVAKLSTNEWYFFSFRDRKYATGLRTNRATKSGYWKATGKDRVIHNPKAGRAVVGMRKTLVFYRGRAPNGIKTSWVMHEFRMENLHTPPKEDWVLCRVFYKKKADALDYSMDNEIAMAHSAAASGKYSSSSSDPGYSPPFPGLGSTHHYHQLPPSSDHHGGAGPLNDFPAMALLQHNSIFDFHAHHQPLDGGSVMAAAAAASRDSSGGGALMELGLEEHYGYNSLMQ >Et_3B_030765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7171798:7175317:-1 gene:Et_3B_030765 transcript:Et_3B_030765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASQFMDKQILGLAASAAPSAGGGGSGGVDLSDLMNPVPQEYGEDRLRRRRSFGGSNGTADDMLPSYDFQPIRTTSSSAAAPQASWGSLDSKAPSASASASYNLKSAGLLEPHHVLKKVSHEEDRGNFAAVTSADIDRTMKKYSDNLLHALEGVSSRLLQMEGRTRQLENSVDDLKLTIGNYNGSTDGKLRHLENMVREVQAGVQILRDKQEIVETQIHLAKLQTPSKSETQSTENSGASQAGSQQQPLAPPQVAVQPQPQVLAPSQPPALPALPAPNAPPPPPTLQSQPPPQFPSHLPHSQVPSVPSVAPAPSVPSLPRDPYYAPTAQPTETMHQQYQAPPVPQPQASPAPPQQYQSPSQFPQYSQPPQPANLNPSTPHAPPAPHQPEETMPYAPPQSYPPNVRPPSPYMQPPSGPAAPPYYGQNPSMYEPPAGRPNPGPPSSYGSGGYGSQGGSGFSETYGYSGSPSHRGNAGMKPSSPFASSGPSSGGSGNYGRLPTAQILPQAVPISSSSPTGSSGNRVALDDVVEKVATMGFSREQVRATVRRLTENGQNVDLNVVLDKLMNGR >Et_1B_011180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18417834:18418729:-1 gene:Et_1B_011180 transcript:Et_1B_011180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYASEILTVFEKEMSPRNRDKLKWTKPAAGVLNINCDASFIPATGAGGWGFIIRDSDGDVVPAEEAAIVLDIDHVIIETDALLVKQDSKWANNQTVRDFYGYVQANTYRPASQSNRFSSKQLFGPASPALRKTAFGKASAT >Et_3A_023967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15266684:15270964:-1 gene:Et_3A_023967 transcript:Et_3A_023967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGYADVPLGGAAGEPSAVEGDPLPPPMRKQPSRLATGMRRLASKVSSVRVPEMKGLKRTHSGAQSGLRGLRFLDKTSAGKDGWKSVEKRFDEMSDDGRLHTENFAKCIGMADSKEFACEVFVALARRRHISADDGVTKEQLKEFWEEMTDQNFDSRLRLFFDMCDKNGDGKLTEDEVKEVIVLSASANKLAKLKKNAATYASLIMEELDPDHRGYIDIWQLETLLREMVSASAPPEKINLASATLARTMVPSTHRSPMQRRLNNTVDFVHENWKRIWVISLWAILNIALFIFKFIQYRNRAVFEVMGYCVCIAKGAAETLKFNMALILLPVCRNTLTWLRSTALSKVVPFDDNINFHKVVALAIAIGAATHTLMHVLCDFPRLTSCPRDKFEEKLGPFFDYVQPTWPSLLASTPGWTGILLILIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVVAYILLVMHSYFIFLTKQWYKRTTWMYIAVPVLFYACERTIRKVRENSYRVSIIKAAIYPGNVLSMYVKKPPSFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTSELRNLFGKALEAEVASKKATLARLETTVMAEGLGEDPRFPKIFIDGPYGAPAQNYRKYDILLLIGLGIGATPFISILKDLLNNIKSNEELQSMHDAELGCSFKTTGPGRAYFYWVTREQGSFEWFKGVMNDVAESDRDNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSKIRTHFARPNWRKVFSDLSNTHKNSRIGVFYCGSPTLTKTLKDLSVEFSHTSTTRFHFHKENF >Et_1A_009561.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:8170828:8171550:1 gene:Et_1A_009561 transcript:Et_1A_009561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMDQTRTSGVTELPAAAGSEPAKRSITGDAPPAETGGGCFDCNICLDSAAEPVVTLCGHLYCWPCIYEWLCPDADARSSARRQCCPVCKAAVSPDALVPLYGRGSSSRSKKPPPRGVASIPRRPAVRQSAQDSDHRNVETQARRSGHADATQFDFLFPPPFGDRGVMHPTTGGMLGGMAMAVLPPWVLRGQDQPPSMYFPSPYRLTPSQRRRQAELERSLHQIWFFLFVFVVLCLLLF >Et_3B_031066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13874332:13879181:-1 gene:Et_3B_031066 transcript:Et_3B_031066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAATGAGKVVCVTGASGYIASWIVKLLLARGYTVRATVRDTADPKKTLHLRALDGAKDKLHLFKASLLDEGSFDAAIDGCDSVFHTASPFYHNVKDPQAELLDPAVKGTLNVLSSCKKASIRRVVVTSSIAAVAYNGKPRTPDVVVDETWFSNPEICAKNQQWYVVSKTLAEEAAWNFARDNGLEIITINPAMVIGPLLQPTLNTSAEAILKLINGSSSTYNNFSLGWVNVKDVALAHILAYEVPSANGRYCIVERVAHYSEVVSIIRKMYPTIPLPDKCADDKPLVPTYQVSKEKIRSLGIELIPLETSIKETIESLKEKGFVNFDSSNL >Et_9B_064029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10217156:10220326:1 gene:Et_9B_064029 transcript:Et_9B_064029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTTSSTAAAGAAAVSCFSRPSPQRRAPRRRHRIRAAASTAAPPSPGVSIQLSRRASPPSASSNGAAPPVAAAFARDRAEDLQAEARAMARAANATVYTPELLAARYGSRPFQARNPSHSYLLTSRAAEVLSKLGTFAVKVLLDERSGDTSSARRRARAVELRTILTRLGPTFVKIGQGLSTRPDLCPPEYLEELSELQDSLPTFPNEEAFACIERELGFPLDSVYSAISPSPIAAASLGQVYKARLKYSGKLVAVKVQRPGIEEAIGQDFYLLRGLGYLINKYVDIVTSDVVALMDEFARRVFQELNYVQEGQNARRFKKLYADKQDVLVPDIFWDYTSAKVLTMEWIEGVKLNQQAAIESQGLKVLDLVNIGIQCSLRQLLEYGYFHADPHPGNILATPEGKLAFLDFGMMSETPEDARVAIIGHVVHLVNRDYEAMARDYYALDFLEPDVDVAPIVPALKNFFDDALNATVSELNFKTIVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPWNRLENLLVQGRQDREFAAKDALQPVLKLLLGPDGEELRVLVVKEAVRVTEAITIGALIDSYNTAPEFLKTIVSNGNPGGPFKLSDIEREQMIELRDRVFRIWGLLRSSDNFDPSILQPIVQVLQEPEARVLGSRVAGGVTQRLAARLLQQLLRTPPVPGSSQ >Et_8A_058488.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:9040407:9040799:-1 gene:Et_8A_058488 transcript:Et_8A_058488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTPASIHDGRSIALELVFLRLPSVADLVRAAAACKPWRRVIADAGFLRLFRALNAPPTAGDYYNGYHSSGPASRPVISIRPSFLPSPLTTAVPAMAPADSPSTSSPSTKTTTCSHRTGESWTAAEASS >Et_3A_026505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:917916:919737:1 gene:Et_3A_026505 transcript:Et_3A_026505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVPWSRRPLLAAVLYMCSLSFLLLAETEAKVHHHVWDIEYKYKSPDCFEKLAVTINGEFPGPTIRATQGDTVVVTVNNHLETENTGIHWHGIRQHGSPWADGTVGVTQCPILPGESFTYRFVVDRAGTYFYHAHYGMQRVAGLDGMIVVSVPDGVAEPFSYDEERTVLLMDWWHKSVYEQAVGLASDPLVFVGEPQSLLINGRGRFNCGAASGAACNASRPECSSPPTLFTAVPGKTYRLRIGSLTSLSALNFEIEGHSMTVVEADGYYVRPVVVKSLFVYSGETYSVLVKADQDPSRSYWAASHVVGRERNTTSAVAVLRYQPGNPFPPTPPPSGPAWNDTASRVQQSRSFVALPGAHVEPPPATADRRLLFLNTQNRIGGHLKWAINGVSLMFPATPYLVSMKRGLKGAYDERPPADSYDYKNYDIGSPAAWNGTVASAAYRLALGSVVDVVLQNSVALNRKSETHPWHLHGHDFWVLAYGDGKFNPETDAAKFNLKDPVMKNTVALHPMGWTAIRFRADNPGVWLFHCHIEAHVYMGMGVVFEEGVDKVGRLPKSIMGCGRSN >Et_7A_050340.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6288566:6288820:1 gene:Et_7A_050340 transcript:Et_7A_050340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFILGAFCWALWLTRNDYIIRNVICKSPQAVVHMANALMRKWKALSNEATQAKVELILERFKEHFETEDSRASSSVCTLLGPP >Et_9B_064285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13596591:13597116:1 gene:Et_9B_064285 transcript:Et_9B_064285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGGQDGAGLNPLLEALAALGATTPWLVCRKKLSANDLNPGQSRLLIPCASGDEGDALAAFLTEGEMDLVREPPAPRTADEMSRLRGIEVPVFDRHGHRYDVMLGCIRSSQRYYRFRGHGGRVANNPNLAELEIELWAFRSKRLLSLRHTEAAAAAQHPDGALGIAIL >Et_6B_049244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2215151:2221471:1 gene:Et_6B_049244 transcript:Et_6B_049244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPAGGGGGAEEGVGEGSSPPRVPAPGPDVSGGSGGSLGGAGAGGGGLRDVCREVFDRLVVDGHAEVAGALGPELRARLETHFARLPTSYQLDVNIDKAEDVLIHQRVLEEAKDPDKCPAFTVRFLRLEEVNVYKTTNPDAHEDGADTGEALSTRSVPSYTHIHEIVFSTTDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWATEDIDGLHKALEASLLRNEGSWSVSDPANSEGSLPFQEEDFESDIDTRLLKIVKKVASGSCGDMFLGTYDGEEVAVKILLNESAWNEFKQEICILREVGHPNIVRFIGSCTKPPQRYIVTECMSRGSLFDFLHNEHNVLRLPAVLKFALDICRGMSYLHQKDIIHRDLKSANLLIDKDYVVKVADFGLARFQGQGGDMTAETGTYRWMAPEIPYTDMNPVQAAMGVAEGLRPQIPENTHPRMRNLMERCWEAIPSDRPSFSDIIAELEDIQAKDQGASEESSQKQKDDDPGTKD >Et_9B_064194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12489244:12489809:-1 gene:Et_9B_064194 transcript:Et_9B_064194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCDGLVMLPTTTAVYVLNPATGDVLRLPNKMDVSQTYSFGFDCRTNKYKVARFFYRLWYQSELMMRGWKCSVCGGDSVWRSTVDDPPYPILPQHQTYFKGSVYWVIWEEMLDKPSGGFLRFSLEDETFGFIRQPASLSDGNKVNLSVLGGELCLVECFPEQIMVTRKSWRASLRLVNIIPIFLL >Et_1B_014413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7984763:7988992:1 gene:Et_1B_014413 transcript:Et_1B_014413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCPPPLSHRDFVAVLGRCSTRAHLEQLHAHAFVAGRADAQPTTFHLLRFAALRLSCLPYARRLFDATPHPNVFLYSAMLSAYVSASSFPAHSRDALALFLRMLRRGRPAPNQFVYPLALRAACAVGVSMVRSIHSHACKSSFYECDVIRTALLDGYSRYGMMADARKLFDGLTERNVVSWTALVSGYARAGKVGDAIVLFERMPERDVAAWNAAIAGCAQNGLFVEAVGIFGRMVAEGFRPNATTVCCVLSACGHLGMLKIGKVVHGYAWRTCVGFGSTVVNGLIDMYGKCGHLKGARWIFDEVSDRDLTSWNSLINCLALHGHSKCAIALFDAMMDEGVEPDEVTFVGLLNACTHGGFVDEGLKYFELMHHDCRIEPEIEHYGCIVDLLGRAGRFKDVLNVIKDMRIDPDEVIWGSLLNACRIHRQLELAELAIRKLLELEPNNANYVVMLANVYSEGGFWEETSKVRKLMKEDSSGKKLPGCSWIEVDRKTHRFYSDNGYHPSLGLTVRFGVASDEYRIPCFQFTTALRNLAKKGCRKDSSQTVKWKGAIYRANISQFTE >Et_1A_007495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35229814:35238970:1 gene:Et_1A_007495 transcript:Et_1A_007495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAESWSVASIDAPISDMPRSITPTKLAVQPCVSFSYQCPRTILTGTYSCPRVVSPATTTR >Et_5B_044227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21748229:21753791:-1 gene:Et_5B_044227 transcript:Et_5B_044227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTCRVDPVSTVGQLTGVHALGLIAMIVERVEKARRNKHECRALAQDAEQIKRLLEQAQLRPNPAVDEVVEKLEATLREACELVASCEASSCFRRFFRCNKVAEQFGRVRQNIQFYIMLFPVLIHIDTTKRFNIVLDRLQPFSETQCVPSSSRTTVRVQRPGARWLLLSCIAATTPVAWTGTAVVAWPPKPHHPCFLPHAALRRPRSWGADQLHEVTEDYSSKRPRAFLTEPVRIRKEDPEGTANMEITCAFSTKDDDLFEPNTDGLSGAGLSSFDFSQLVNATNSFSWENKIGEGGSGKVYRGLLQERLVAIKRCFEKSCPERSSDFQNEIRFIPKLQHRNIVKLLGSCTEGKERLLIIEGIAQGLVYLHLHSGLNIIHRDLKPSNILLDSEMNPKIADFGTAKEGHLDKGRRADVVAGTYGYMAPEYSSKGIFSAKSDVFSFGSLLLEILSGKRNGTCYSSGRKSSLSLHEYAWHLMFKDKEDAPKKLIHPSLRREPEPRAAHIWRCVHIALLCVQKDPADRPSMRDVVLMLSGGDSTVCQPLPTPDRPARRYGDGKMMRSLAELLRDDDDGERCDKTMVAAHER >Et_6A_047100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25223812:25228423:-1 gene:Et_6A_047100 transcript:Et_6A_047100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TETLTSLPCRCKLCSFSSLAAAAAAAGCSPHPSTVPSQSNETGEGKKILFLRLFVCPGGVLRRTWDLLFRFHLSLAAKRRGGLMKRLIGFFLGVANSCCGHGNGVLQVVLALVLAAALGSVHGDELRLRVQTPPGSRPHSVTITEFGAVGDGKTLNTVPFQNAVFYARSFADKGGAQLYVPKGRWLTGSFNLTSHLTLYLEKGAVIIGTKDSSQWPIVEPLPSYGQGLDLPGPRHCSLINGYNLTDIVITGNNGVIDGQGSVWWDWVRSHELNHSRPHLVEFLHSEEIVVSNLTFLNSPAWSIHPVNCSNVKVHNVTIHTAPDAPLTDGVVPDSCSNVCIEDSTISVSHEAIALKSGWDKYGISFGRPTSDIHISRVDLQSSSGAALAFGSEMSGGISDIHANQLNIHDSCKGISFKTSPGRGGYIQEVVISDVQMRDVHVGIEFTGNCSTHPDGHFDPSVLPKIEHITLKNMVAKNLSVAGVLSGIDNDPFTAICLTNLNFSMADSARSSSWSCSNVSGYSEAVFPEPCSELRNSSSNMNTVAPFEGAKLSDHDLLVLRWTGINNGMIERGGC >Et_3A_025908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33960602:33963465:1 gene:Et_3A_025908 transcript:Et_3A_025908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQLNLDEAPSWGSRGVDCFEKLEQIGEGTYGQVYMAKETGTNEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIKLKEIVTSPGPERDEQGKPIEGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSSDHNGNLTNRVITLWYRPPELLLGSTKYGPAVDMWSVGCIFAELLNGKPILPGKNEPEQLTKIFELCGTPDDSIWPGVTKMPWYNNFKPPRPLKRRVKEFFKHFDRHALDLLEKMLTLDPSQHHMNSRLRKNVSNRGKQRRLLSVKKFSTLLHIPVYLQSSSPGRHTLKSDLARVCTMHHLWELAQAITTQSLEGQEDLIGTHRVGVKVEATIRTAVEGRVVAMGVAHIRSKDEGLLLTLVVVHGVAAAVAMELAGQISHKVARMVHLVRAEGRTILKVVLVISSSMATGNDN >Et_1B_011110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17764751:17765444:1 gene:Et_1B_011110 transcript:Et_1B_011110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKTCYGNGRAFCDPHRDQSKVRGEANRATKHGQSGSSSGSCPQSTKKKTWKCYLTFLSNFQNKMKRKIKPDAKAPASFKQRSQKRYSPVLEECSNMVRVVRRTAASCFAAAMASGADDEELPSYAQLDQVSYGVKREAFGPIYLVT >Et_2B_022153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9882545:9883524:-1 gene:Et_2B_022153 transcript:Et_2B_022153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFPSPCCQSHTNTFKGSPATSTKQRRPAGLSISMMSSVVCISLAARRLHVPPLPIVGSVQVAVVVRSPNWTADTGTLPHEDDVATVDHEAGHVAKKDFLFWPPQLLILASEEVKNEEHVAGGSRLQEAQASMVVNNLEVEVAAEPCHVSHGLFHVVKGDGEVGTGTGAGVPDHADVGVVAGEVKQAKEGACAGVVTAKVPEEHRGFADLEPRLADCRGDGEARLRREAGQDLADSVVTEIRERSFLSNGWRRHHSMGEEASKA >Et_1A_006028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16797997:16805498:-1 gene:Et_1A_006028 transcript:Et_1A_006028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARYATLRRAVEEVPAVDAHAHNLVDLGLAFPFLRCFSEAEGDALALAPHSLSFKRSLRDIAALYNCEASLEKVEEFRKAEGFKSITSKCFQAANISVILIDDGIVFDKMLDLESHKEFAPVVGRVLRIEWLAETIINDESFGGSSWTLDSFIENYVAKLNIAAYRSGLEINPNVSKMDAEDGLRKELSGQKPLRITNKNLIDYLFICSLEIAVSLSLPVQIHTGFGDKDLDLRACNPLHLRAVLEDERFAKCQIVLLHASYPFSKEASYLASVYSQVYLDFGLAIPKLSVQGMTSSLKELLELAPIKKVMFSTDGYAFPETYYLGAKRARDVVYRVLSAACEDGDLSIQEAMEAVEDIFKRNALQLYKLNVANGSINHETPITGDSICLSSVEEDVLLVRIVWNDASGQHRCRVVPAGRFYEVTRNKGVGLTFASMGMTSFCDGPADGTNLTGVGEIRLVPDMSTLLRLPWSKREEMVIADMQIKPGEAWEYCPRNALRKVTKVLLDEFNVKMKAGFENEFYLRRKLVSDGHEQWVPYDNTNYCSTSAFDGATSILQEVYSFLKDSDIVVEQLHAEAGKGQFEVALKYVLCTLAADNLIYAREIIKSVARKHGLLATFLPKPDLNDIGSGSHVHLSLWENDQNVFMGSDEYNFYGMSKTGEQFLAGVYHHLPSILAFTAPHPNSYDRIQPNTWSGAYLCWGKENREAPLRTACPPGVPLHLVSNFEIKSFDGCANPHLGLAAIVAAGIDGLKRRLKLPEPIESNPADYASKLKRLPQNLQEAVESLSADKILHELIGEKLVTAAIAIRKAEIDHYAKNPGAFNDLIHRY >Et_7A_052583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9584263:9588952:1 gene:Et_7A_052583 transcript:Et_7A_052583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRFQGLARSRTPGYIVDMNSDHVLEVPDTPDRIQQSTCPVSSSVARRDINPSPRLRIRIKTRNNSVYGPSGQADACGVPPAPTNADNIFRQADAARSLAFAEVSEAKLPSQKSNKTLGTSLQNEKTTENCVLAQGSSISIHTSCGGTGGRSRSCQIRDGEVSKQAANHRNANFLGVGSSLPTIPEGKQRNKTGTSTPNRLKAVAGADVCPGLSSEEVKGEVIGNMVIAGPSSPRRVVPQRHMGQKKKCALQVEFYAIHIQSDGFGTVGVIDLTDNSPAITRHRSAVNISANIRETRAEKRLRTERASEAFVPPSEYLANGSNCSGVSLSGRNTKGKEINHDMLDREQIGEANSRRVFLSAAGTSVINSSSSCANPEEGWRTTHNHTSRLPISSMGETSRYGQESGSSAPFDLGHGSVGDIDLISGATAIRTERLGNRATRIAGGRRKRALSSSHPGESSSSLDEPRDSFLASSEATASRYRNTRRHNIPVITIDDTISEVRPSSSGCSDGTSVDPTVQAQLESDELLARQLQEQLYNETPRVAPTEDIDAVIAMSLQHEENTYRTTRAVRQPQYNTGSRSNVYRNAVARTNNTISRLQNTASVTLGLGAIFPRYPGIPRTQPNIDLNDYDALLALDENNHQHTGASESQINSLPQSVVQSNSIEEPCAVCLENPSVGDTIRHLPCFHKFHKECIDEWLKRKKLCPICKSGIR >Et_6A_048007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3309391:3312725:1 gene:Et_6A_048007 transcript:Et_6A_048007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFPPPAPSSGSFGGGGAPPRGHHRRAHSESFIRLPDADLLLDSEGDFSFSDLDFPSLSDDSPSLSDPTPPPPQPPAPQHQQQQASSPAPRHPSGGSGAHMRSLSLDAAFFDGLSLQGGGGGAGSGAVSGHKRSGSMDEGESALSGVLPDYAKKAVPAERLAELALLDPKRAKRILANRQSAARSKERKIKYTSELERKVQTLQTEATTLSAQLTLLQILSASILAADVTFEGFIAPKSRRDTSGLTAENRELKLRLQSMEEQAKLRDALNDALREEVQRLKIAAGQVPNMNGNPFNGGLPQQQQQMSSYFSQPQQMQYFGGQRPSHQPQNSSNGGRSLNDSMDFM >Et_2A_015422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12457837:12460408:-1 gene:Et_2A_015422 transcript:Et_2A_015422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSNSTGSSDDEACMLALSLLGGYTVPMTLKAVIELGIIDQLLAADGRAVTAAELAARLPHPAKAVAMVDRMLRFLASHSVVRCVTEVGPDVNTSRTYTAAPVCKWLAGNGGEGSVVPFGLMILDKTLLESWHHMKEAVLEGKAPFEKAYGMPLFGYLGANESFNTLFNQAMASHSAIITRKLIEFFGGFNDLDVLVDVGGGSGTTLQMITGQYKNLRGINYDLPHVIAQTTPIEGLEHVAGSMFDTIPQGNAVLLKWILHNWGDSECVKILKNCYKALPVNGKVIILEYILPASPEPTLQAQGAFQFDLAMLSLFAHGKERTEREFSELVMEAGFSGDCKSTYIFCNVWALQFTK >Et_2B_020710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22968130:22971758:-1 gene:Et_2B_020710 transcript:Et_2B_020710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDKAPMPGDGGFGDGLPPQSSRTAGAPPKSATPPPEYDISRMPDFPTRNTGHRRAHSEILSLPDDLDLSAPGGGDGPSLSDENDEELFSMFLDVDKLNSACGASSEAEAESSSAAGDVGKGNGPGQAPRPRHQHSQSMDESMSIKAEELVGAPGMEGMSSAEAKKAVSAAKLAELALVDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLSLLQRDTTGLTTENSELKIRLQTMEQQVHLQDALNDTLRAEVQRLKVATGQVSNGGGGMSMNFGNMPRAFGGNQQMFHNNQSMQSMLATHQLQQLQLHSQPQQQPLQSLQAQQLQQAAREFKMKGPMSTLGQWGDGNSGS >Et_4B_035999.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:11768069:11768629:-1 gene:Et_4B_035999 transcript:Et_4B_035999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHAGSVVCRADAFYLGRPAPVLAIEDRLAAGRTYLVLPVDRLPQGCDALTAASLAALSYDKGRPPSIAGGARSPFEYVKGDDGRTVIKVTPEFLLAAVTARAGTTATGGGEDGEACGALCSTPELRKHYEQLVGAGRGRPWSPQLDTIKERKGRRGLDFALAVSPGRLSPVAVRLLGLEAKGER >Et_9A_063148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1273471:1276473:1 gene:Et_9A_063148 transcript:Et_9A_063148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTKEHCRSKAAVMIYTAWHLWNERNRRIFANTSMEPDEVVKTVKEDMALRARASTRMMIGARIKLHAWLHSSIEDSGFLGNDADLDGGTQTLALLHLEMIALQLKGLELAIMIRSMQPQNYHGRSGARLGLVSLLLEYSWMFRKNPWWLHGMAAKDSDPASGRDNILLPFVILSQQYFDVGIDLAHPAGNLRPIPGLIRKPLHLLLLANQLTHTPDDTHHLVIH >Et_10A_000597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13408671:13409596:-1 gene:Et_10A_000597 transcript:Et_10A_000597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAYAPMASQVMKGSLVQSRPRGLSGAALTRRPRFTVKAIQPEKPTYQVVQPINGDPFIGSLETPVTSSPLVAWYLSNLPAYRTAVSPLLRGIEVGLAHGYLLVGPFALTGPLRNTPVHGQAGALGAIGLVTILSVCLTMYGVASFNEGAPSTAPTLTLTGRKKEADKLQTADGWAKFTGGFFFGGVSGAIWAYFLLYVLDLPYYFK >Et_2A_016772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27997872:27998089:1 gene:Et_2A_016772 transcript:Et_2A_016772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIRDDVDSTELRIRHFRNDKRVPDFPPETPVDRKVVQSHGRADEPVIRRKSSCHSYQ >Et_3A_023067.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:23784658:23785975:-1 gene:Et_3A_023067 transcript:Et_3A_023067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDEALASAAAAPNGGIAEEEQAPVAHPAKSYAAAVAENVAPNGGVAEEEQEEEKGVAGTNATKSYAAVAARAEIEDLRAAKLDLEEKLAEARRENQTIAAEAHRIEGIFMQAREEVTLAETAAASSEKEAASLRAELERLQAVLNIEKGEREMAKRKHEQVAKELEAVRQEKLKLEEELKALKASAAAPTTSKDRDASPEAEAPTEVEVAWQGMAAAAAAGAAVTAAVLLAYLRLKR >Et_1B_014362.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6798172:6799149:-1 gene:Et_1B_014362 transcript:Et_1B_014362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGEVDDFWLQLIREQLHGAEACQLPVPDAASASAYAAPQVHPAQAAPFQHASFIPQQQQQQQQAAYVDLTHEYAVDAAAGCFRAEPLMIRFGGEPSPVSDPARRPALTISLPPTSHAWGPGAAPLPATAAACLDVDDFRKYRGVRQRPWGKYAAEIRDPKKRGSRVWLGTYDTAVEAARAYDRAAFRMRGAKAILNFPNEVGSRGADFLAPPQPQSHNKRKLHHDVEAAAEPAAKKSVKAEAFTTSPAASPPQSMTTTTTASTVTTSSTSSTTEAGGAAPAHEVFPMTPSSLAWEQWESVFGILSPLSPRPQMGFPEVVTVN >Et_2B_022326.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1879385:1880017:-1 gene:Et_2B_022326 transcript:Et_2B_022326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALPPPDGSTSTFGHDAIAFSFFVACVAAIIVLVSSLCSACGRKPKTAAASDSGGVGSVSNGGHGDSHKAGAASEEEEEVVTLSPELGTHGPIAPVALPSSTSKRRLSMSLSKNFSMNIPDKLRLSRRERKGDHKVESEDTLWKKGIILGEKCKIPGERDGEASDAVDPADEVVAESFRRSNYSRPVSRSSSFAMHQQQDNPGRASHS >Et_1B_014024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27791342:27792394:1 gene:Et_1B_014024 transcript:Et_1B_014024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSDRPTFVNIWKKGTVEQYSPIPYVATLLNCMMWVVYGLPLVHPHSMLVITINGTGTAIELVYITLFLLYSVGATRRKVLLLLAGEVAFVAGVAALVLATAHTHERRSMIVGILCVLFGIGMYAAPLSVMKLVVQTKSVEYMPLSLSLASLVNGICWTAYALIKFDLYITVRNYNQLFLYQSSN >Et_1B_012969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4417605:4423126:-1 gene:Et_1B_012969 transcript:Et_1B_012969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGMKSKQAAAQIPIVSMAKKGTKSKQSDDSDDLEAKSRAIDERKEKMDQDAEEELQTNINLESDEFRLPTQEELEEEAHRPPNLPNLKRRISEIVRVLSNFSKLRQEDVPRKDYVNQLKTDIMAYYGYNDFLIEQLIEMFPAVELVELVEAFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTVSAGATTEYMAGHYMKQGASSFLPVMALAPQEKERVVDMAAAPGGKTTYIGALMKNTGIIYANEFNEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTIWKDLEIKTSKDIEDIRNCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSIMIQENEAVIDYALKKRNVKLVKCGLDFGRPGFIRYREHRFHTSLELTRRFYPHVNNMDGFFVAKLKKFSNTIPTTSEPSKVSEGAAEEVDGSSDDEGEKAVCDEQPVKMKNHKGAKRADERSSITKDTEDDKPVADKPAKQLNNHKGKRKTDGPKSTETNGDGKEVNREKTEQSSHKKKYASDGTKKSGPKSTSSTKEKKPVSDKKRKRKWQFKLRRDWEAEKKSDKRRKRLQQPPGSRDVSAAWSEEQRNTLGRRP >Et_10A_000709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15849613:15857636:-1 gene:Et_10A_000709 transcript:Et_10A_000709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGKKPRSLLGVTLLTVAAAAVNAVFARLGQTASSAWNISGDPCTGTATDNGTIGNPGIKKIYAWSAVGQIPEELRNLTHLTNLSLGINALSGSVPKELGNLTNLVSLAFGSNYLNGSLPSELGNLVKLEQLYIDSAGLSGPLPESFSKLTRMKTVWASDNDFTGKIPDYIGSWSNLSELRFQGNTFQGPLPTTLSNLVLLTSLQLGDIVNGSSSLTFISNMTSLKTLVLRNCKISDSLASVNFSKFANLNLLDLSFNNITGQIPQALLNLNSLNFLFLGNNSLSGSLPSSVGPSLKNLDFSYNQLSGNFPSWAKDNNLQLNLVANNFIINNSNNRFILWIQSQCLACAVFYLRGWSAFSVTHNVFLALHTASFAVDCGSSGPTSISDNSIYQLDNASLGPASYYVTGAPTWGVSNVGNFMGAEKISYIIKSPPQFLNTLDSELFQTARMSPSSLRYYGIGLENGNYTVTLQFAEFDFEDSQTWKSVGRRVFDIYIQGERKEQNFDIRKAAGGKSYTAVKKQYTVPVTKNFLEIHLFWAGKGTCCIPTPGYYGPAISALSATPNFKPTVRTAEKKSSNKTGVVVGVVVGAAVLGLVALVGFFMWRKKRRQLSVEQQELYSIIGRPNVFSYGELRTATENFSSSNHIGEGGYGSVYKGKLTDGRVVAVKQLSETSHQGKREFATEIETITRVQHRNLVKLFGCCLEGNKPLLVYEYLENGSLDRALFGKKLNLDWPTRFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKIDVFAFGVVVLETLAGRPNFDNALDEDKIYILEWAWQLYEGKHPLDMVDPKLAEFNGDEVLRTIHVALLCTQGSPHQRPSMSRAVSMLTGDVEVSEVVNKPSYINEWQIKGGNTSTFMSSDVNEQSSAAPQSSSSPFLSSVMKKGR >Et_4B_037356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18945301:18961732:1 gene:Et_4B_037356 transcript:Et_4B_037356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTVCEVCGDIGFKHLLVRCRDCMCSAAHQYCLDKIVFDASLADWLCYECLQRLGEVTCSRSLEKVLSERRASHACFCSTSHQPIIKSVESACDAEPWRNNMDSGANLTGVGNIPTVEKRSVDFVDTAHGATKSSENSTRFAESQKGSCCHREKTVKMTTTSTSFEESGYTREDIPSESESLESDDLQEPPGDNFVLSRTSYLSESQKKRVKVFIKETKPETTVFVAIMRRSNVQPPGPSLGISKEYASAYFPHKSTNVTLRTPGKSKKWHPIFYKRDESRKNMLMGQWLQFVRDNHVQEGDICLIEPTKDGRRLMFSVYLLHLAETQRGGACSQMVDPYSGESRATTALEVNIKEEQPTDGEPLPHESLESEDFVCPCQPQYIVPCRNGLSKSQKKIVDGRVRAIQSEVPICVAVMKNNNVGIAQKWMLELGSRYASVHLPARGETVVLQCGRKIWETKMVIHNGRRWFLNGGWPKFARDNDLRVGDICLFELKKNEARLTMASTVCEVCGDIGFKRLLLCCRDCMCSATHQYCLEKVIFDASLADWVCYECLQKRGEITCSRSIEIVPSEWPTKHAHFGSTVHQPVTKRVESARSVGLWRNRKSHLGKYKSPNKIVSSRVDSARKMHFKRKSNMRPIDNCTNQRRRIATSTDGAKALQSCKTIGAETAEGSNGENLQVENETIAMNIKPSKGLIMKTNYVVLGSSKLESSSPIAEHSNSVLGKCGDTSKGITNSGANMSSEYTNNDNPTNQHASDCWNDISGGSRHDNTELSESSVRFIECQKGSFCQRGRTLKMATTSSSLEESGEDISTENMSTEWDDLQVLPGDLSEAQKERVIAFIQDTKPEITVFVSVIGKNNVQPPGPYLSPGMSNKWHPLFYKRNENRKNLLMGRWLDFVRDNNVKEGDICVLEPIKGEGRFTFMVYLLRASATHSTGGDGFQRVGQYPGVSIAKITSEVYERPINDEHVSSERVIHEISPTSLETEDSDDPFPPFYIVACRNDLSKSQKKIVEERVRAIRSEDPVYVAVMKNNNAGAAQRWMLELSVRYAAEYLPAGGRTVVLQRMGKTWNTQMVIHNGRRWFLNGGWTKFARDNGLRVGDICLFELTKKEELTMNKHAETSYVLPRGSKLSIKQKEKVDKIVKGIRSEIPLFVAIMRPSHVTLQSPSLVIPIHYGDAYFPRKSQIVTLQRRGKNKEWHPKFDIRKDGSSYKYVLSGSWLEFVKDNCVHQGDICIFQPMKGVDETFLATVHLLRESKAYSLGGRTRKKATGGNRVRSCDESRPRANTTATIPVKEESFEGENTLYSRCNNGHRAHQRPLESDESQVHSKHLYMLSGQTHLTAEQMNNVEEKVHSIQSEVPIYVAKMNKIIVGANSLDTIVFDTPYATEYLPDGEQTLILMRIGKKRKWKVKMNLRSDMQIVTLGWHKFVDDNHLGVEDIFLFQLMKNERRLTMIVYIIHHGEKPPLLDCYGCEVKQESDVYDSERPSELSLYMVLGGTGLTPAQEKIVQEKVMDIQSEMPVFVATMNKNIIGGKGIYALDFSTLKGGPYLPGGKQTLTLHRIGWHRSWCTEMHDQRMLEGELCEFVRDSRLKIGDICLFEPVGNERLAMMVHIIYSEQYCVALSSAPSLTFDALGPALLLRDRRCLLEYSVSSTTTYSLD >Et_6B_049417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4586237:4593656:1 gene:Et_6B_049417 transcript:Et_6B_049417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTAADRDVLEEQLQNPSASPISLPYEFLKDITNNFSTERELGKGGYGVVYKGVLRSGKIIAVKQLLDSNLVNDDVFQKEVTNVMGIRHKNIVQLVGYCAESTWEAIKQPNGNHVMAEIPKRMLCFEYLPNKGLDNYIPEESSGLDWNKRYEIIKGVCSGLHFLNRECHIVHLDLKPENILMDATMRPKIADFGLSKLLGAQKSQTIIHGSIAGSLGYMAPEYLLRGIVSPQADIFSFGVVIIKIITGQNHDYPSSTALLLQHQHVNANNGGTSTEISVQQYIDNVLVKWRNVFQEEQKYTSPQTYTKQVKEFITIALECVHPDSARRPDICEVFERLTGEICCCQKMTLLSVQPSNLHFNFTASHMLSSSSLHLTNNTDDTVAFRIKANDRNKYFPKPVHGLVLPRSMYTLRVPMLTPPEDDNYFAIKLECTIAGDQYQLSNIKASSSAGDYNHFFEEAKKRGREVQQAKLLFSHEENS >Et_6B_050181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9440986:9442715:1 gene:Et_6B_050181 transcript:Et_6B_050181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKFDDDEQPIAPDSNAGTDYGAGKPALGFEDVGKASVKADHPDEVPLEAGTIVRVLLDVSSSSSTAGRAGLDLVVVLDVSGSMGDDGKLGKLKSAMQFVIKKLSPMDRLSIVTFNTSAARLCPLRAASEAAQPALRALVDGLSPGGNTNIRAGLETELAVLAGRRFVDGRTANVLLMSDGQQNDGDARLVSNPGNVPVYTLGFGKGTDNQLLRDISRNGGTFNAVPDTGAGGDMTAVFSQLVAGMLTVVVRDLHLVLSRPTGQTELDRIAAVAPGDYVTETDANSGAVTVKFGDLFSGEVRKVVVDLQLKEADYQTFVLEYKVTYPVNPQGDRKSFRGPSLEVTRSAAAESGATTRRLQTELARRQHAESIRDARKLADAKKLEEAQEKLVEAQNALEDVLEQANPMVGMLRTELQQLMDLMESQEAYDAQGRPYALASESSHASQQGASTPRALFATPRMDAYLEQARQFTENPSAPLPNADEDAKEEIKANPMAAVAGPLAFYIQAAVQALQAIEKIVTATANV >Et_8A_056957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19486447:19487214:-1 gene:Et_8A_056957 transcript:Et_8A_056957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQEDFEEYAEKAKTLPDSTTNENKLILYGLYKQATCGAVNTSRPGILSMKERAKWDAWKAVEGKSKEEAMSDYITKVKQLLEEAAAAGA >Et_1A_006030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16942733:16945164:-1 gene:Et_1A_006030 transcript:Et_1A_006030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DLENSGEKLRSSSRSRASGIDCERFWFIVVVQRQSDQLAETFVMALASTEKVVLGCVAFTIFWVLAVFPSVPFMPVGRTAGSLLGAMLMVLFRVMTPEEAYAAVDLPILGLLFGTMVVSIFLERADMFGYLGSALAWRSRGSKDLLFRVCLVSAVASALFTNDTCCVVLTEFILKLARQNNLPPQPFLLALASSSNIGSSATPIGNPQNLVIAVQSGITFGQFLVGVFPAMIVGVAANTCILLCYFWKYLSVPHEKDQEANAPEGGVVADDEVTSHRFTPARMSHASSLNGGVEDCVSEPMRRSDSLNRANGDTLSMRSRSYNSEGDIQVAIRSMRASSLSQEMVEVSTVCDGAGRRDADGPRKITRTTSHQRSVIIEDAPEADAAEKDKAAEPKEKRWKVIVWKSAVYITTLGMLVALLMGLNMSWSAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVAGFNKTGIPNALWELVEPYSRINSAKGTALLAVVILVLSNVASNVPTVLLLGSRVAASAAVISPAAEKKAWLILAFVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIIVTAIGLVIVVSY >Et_1A_007306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33157617:33160077:-1 gene:Et_1A_007306 transcript:Et_1A_007306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVSCMGQDCTVVYLWVLQDYELVVWSLKYQIELPVTELRSTGKQVGKLLQQFRSDRVWPGLLVAGLNKALSPIRSFQGKTVLMWSSHKPHKQEGHAFDTLSPPFSHTRSWCGIFLSTYR >Et_3A_026948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27588102:27591412:1 gene:Et_3A_026948 transcript:Et_3A_026948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRDATGSDLSTKSATNGSGDSRINEDFDSSISSTSKNMRREGPSDGRDRPQAKKRGLQRLTSSQSEILEGFFSVCAHPTEKQRKKLTETTGLTANQVKFWFQNKRTHVKHLSGKEDNYKLKVENEILREENNKLKQAKRAIFCPICPSEPGKLRIFQELEMLKSQNEWMKKEVSRLNSEIAMSSSSLIRASQLESSSENVFVMQDDAQIRTEIARNAVHELVRLASTDGPMWLPVPGGSLETLNMIAYIQAFPGQSSAMGLKVEATRANAVVMLDSKTIVEYLMDAESYGTLFPEIMPGATTTKVYNWPSNRDAGYDGALELMTVELVFPSPLVPARKCTFLRYCQKLEHGAIAIVDISVNDGEGNFNECHKMTSGILIEPIRPSTCKVTAIEHVRLGGTDVHDLFKPCLSGLLFGARRWVMSMARQSARMRDVFHVTNSSMSVSTKGRKIIMTMADALLANYASSMAGIPAESWIVQCGQGTEQDVKIMYKRNENGSNTAIVCASASFLVPVPMRNAFDLLKCNMLRVKWDVLVNGGNVKEEVRVANGIGTDDSVTILHVKHGSKGKKETSMILQNSSYDASGSFLVYSALDKQLLDLIMSPGTGQEISNVPVFPTGFYLVPVADATRPNAAIGEAGGTVMTAGFQILMKLARGTGLCPRSVASAIRILSDQIENVKDTMLNSHPIFYKRVPPAN >Et_1B_009917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10931085:10932431:1 gene:Et_1B_009917 transcript:Et_1B_009917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSSAEVPHYFLCPISLEVMRDPVTLATGITYDRASIERWLFSGDDDDDVTRRPLAPEEREPTPNHTLRRLIQAWCAAHQVERFPTPRPPLDASRVAALLDQGRLGDEAAALREIKAVVAESDRNRRCVEATPGAVEFLASVVSKHAASTKWPRQAGDAYDDLLGVLDSPTSTSSPAEDALSLLYSLKPSEKSLARILDTRGDFLDTLAAVLRRPSYRSRTYAILLLKKSLTATMAPARLSTASAELVHEVVRVVSDRVSSKAVRAALHVLCRLCPWGRNRVKAVEAGAVSSLVELLLDECGSRRVAELAVVAVDHLCGCAEGRSELVAHPAGLAVVSKKAARVSPAATESAVRALHAVARHSPTPAVLQEMLAVGVVAKLLLVLQVDAGERARVRAREMLRAHARVWKDAPCLQDYLKASYPC >Et_5A_042584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2130711:2131663:-1 gene:Et_5A_042584 transcript:Et_5A_042584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASSSSPSPSPSPPASSKPALRLNPAAVLLRRLPTPTPTTATPVTASPPGTTGGGGGAAANPFVAFLSSLLPRRGQPSKQPDRPAASDAPSASSFAERKAAKAAAEAEAEARQLVGCAVPLFRPYVAQLPWHGGTRAWLSKLFPRYGHYCGPNYSSGKEAGSVLWDRRPVDHLDFCCYCHDMAYDTHDQAQLLRADLTFLRCLEGSRRTTARDGVAAAAIYRAMCIFEIQVQWWMQAKSKHGRMQVISTAPRGPPFSVPGLLGLVGTENYGVAAVFLARRRCCASSSEHRGKMAGGGASNGDG >Et_1A_009050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2775142:2777913:-1 gene:Et_1A_009050 transcript:Et_1A_009050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFGRIRGVLVNAYTKGALLQEVPANSIGTNLDSAPLAAGEKTESIDLACPLCRGKVKGWTVVEPARRYLNGKRRTCMQDGCSFVGTYKELRKHVKSEHPLAKPREVDPVLEQKWRSLEIERERQDALSTITATMGRAVVFGDYVLDLEDGDLEEEESDADVDDGHGTENTRRMILFIMRQVARHHQNQRLQGANGASDNTEDNYVVSSGANGNSPYPYHLEGENEGDMVMAGGRSTDVLRPERRRRRRRRNRGRLFLDPN >Et_6B_049964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2000405:2003385:1 gene:Et_6B_049964 transcript:Et_6B_049964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCSSQSESGVCRRAGRATSEQERCAARTARKSSRAAGEQGAVRRWKLEMQSSVGAGVQRKECKANVQARQLSICISKMASITGVGLSLKVFSKNPLRAHGYQYFSTPYPLFRYAQAEAAYLTRAEQKCETEHGTATLHLDVAVGGRLKL >Et_3A_025907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33950946:33959305:1 gene:Et_3A_025907 transcript:Et_3A_025907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKQRAAAAAADAEADEPSQPSLPVESFSGDVCAALSARYGRSAAQQHRHLLASAAAIRSILLDDGLPLTPASYIPAAVSALRAAGAADPAAVSALASLLAILLPHIPSSPSSLQPAAASESALALAAFLSSPDASQLPTGTVRSVVKSLGHLALHLDAAADWDAVVEPLEALLTASVDHRAKVRKCAQESVEKLFSYLEQSGCARKASNAAIGMFEKHIPFAQSLIDLNSDFSEGKETEAAHMLGALVVLVPYLSKKARKRVFSDSYQLLSSHFTPLTRHVLRLLEILLDHLKADNVESEVESVVSLVVAYLPYNEKKPDDTIVSALLLLKSCLAKLVGQPKLWTKALPAAFEAVSGYLILDRKCSDDIAKVLIDFIDSHIDQNGFVTNGSQMTDCDVEGLSNQGAMKSICLSINNKLHMCTNPPDGILSVVLVLFLKLGESSYVFMKDIILTLSQLAMKIDKESHLKNVEECIGAAVIAMGPDKILSLVPVAFDEDKLTCSNNWLLPILDKYIYGAPLQLFLERIVPLARSVQNASNRVKKTRIRKNLLSWTDQLWNLLPAFCRYPIDVCHSFGSLSKLLVELLKCDECLHKHAAKALQHLVDGTRRLSGNQDDVAISVELSALFSSKPSNFNCARLERCSKKDACKIMKVLASHSTDLVCTFADYFFESSPEKRAHLKDALRCVALLSSSTNIRELFVSLVKRFDLEDNSLDAENTECQANEVDEKDEKSTDAAEGLNDKRSVLLELISTLAEAADEELLDIFFEFIKSSLLNSSRSFDSNALIALSIIVKEHNGYALAHLDEIMMLLHGVKPDSDNAVLESQLLCYQYLLIHMIKVNEESTNKKAFLIINELILGLKTKKASRRLSYDVLLAISSSLRSSESNCVNSGLQRLFTMASLGFVKVLVTSLQSENLVNLLADILSGILPWSSVTKHHFKGKVALIVEILIRKCGFDAVNLNTPEKFKVFLRKVEEGRKGNHNQAEDAKAEAQEHAQHDAKRRKRADSNAESGQEETLSRPTSKAWSTGQKQAKDFHFKNKGARGGSSHVARNWRGKASGGKGDRPNFKSKSKWQPGNVQRNRGDKSPGSNKRTRVGTFDKKQDRGSHASMHSPSFKKRKTAGTA >Et_1B_012675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34373589:34376018:1 gene:Et_1B_012675 transcript:Et_1B_012675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVQASPSPSPSPHHSSPRAPEGPSHVATADPPPPPVSPPRDELPKPEKPPSAPDSPPLPSSAAVVVSSQPHVAAAQYVPPRAASCTDPDPGHGGWYSWNGRNTGHAPRSRPAPPPRRQWAEEAPPPPPPPAPAPAPAPRPAPAPRAAPPPPPPAARSVERVVPDILSRKRRAAALQRAALAARGAAAGLCLAALAVLAADTRKGWALDSYNHYSQLQYSEAVNVIGFAYSVCQFAMLVNLMRKNKHLIPNRARDLFDFTMDQVLAYLLISSSSSATARVGDWIDNWGSDPFPNMANGSIVISFLAFVVFAISALLSAYNLFRRDL >Et_3B_027567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28049797:28050260:-1 gene:Et_3B_027567 transcript:Et_3B_027567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQARCLCVAAMLLVTGLAALETAHGAGECGREPADRVALKLAPCAAATQNPRAPVPATCCAQVRAIGRNPKCLCAVMLSGTARNAGVKPAVAMTIPKRCAIANRPIGYKCGPYTLP >Et_4A_032440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10009705:10018394:-1 gene:Et_4A_032440 transcript:Et_4A_032440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPENDTTASAASDEEDVDDLYADLDEQVAAALAAAGESGGSNARDSDPATDGEAEVPDADANEAVDLGEDTADYSSTDEESGDGLHIVLNEDAGAPLRPPPPAGRGVGCLAEDEDGEDSGNRAKGPSVNDGGWGKGKGLLERTTVPMIGQGDRSCQHVFKNDFNFFLPRNSTIFDINIEAFQLKPWRGHDIDLTDYFNFGLDEQGWRNYWLSMVFWSCYIFSKFVKQLHSFETILELSPVCAEASKTRSQVTCKCVFRPGAERTYNLCIQESYKVQSTKVTLKAADYSGFEERNGLAKSKGRAIHVEGSVYERVPSADMCRPIQRDSDVVIQVNMMHSPSNQSTSDDSSNMNHKHVTTKRYAYIMVCLRTVIGYTSVSQIDRCFGSLNCSERHVKDSGTLMGRAIDKEVRDGGSLKYTGNKMYRRDSACARDRSSSSGYSDALSEESKEDIYFKRENRHSDSRHFYEETKPKDDHVKSDLYCHSSKSKHRSSASDCRSRSYTPSPADDKHCKAAKLLWRDEDPSAGEGRPVELDINCKNGRRVPKSGHNKARKEPKRQPLDAGRCDMKYGQKIPSSTSGRNNYRNAVHSELYGKEYHSRLEQVAQRNDEHCFNDGSNHHHELSSWRDFSEDEDAMEGFFSAKEWQKHRDDGYHSLLKAEMSNVNVGQMYRGRHYEGMRRVKHGRNGDDEFLYCTDYRFRRSQSPQVRGKFSNRGICAESNDEHSRYRDHLPWYPQANLKNSQRDWPAAGLNSMSSRNRCIDNKRIHNAKMVQYHYDGYHKKIKHHDSSFDAHDMPLSDLYTDTIAETGHYILPVKRKPQADLGSMNPKDLADLSLPKGRRLMHDQSVVSDRKIHAMKLHSSSKEFDTKALFCSNDMRNSISVSNARHELGNGDSILLNDRKIKFKRRGNQLIREVVNSSKELLSAGDDLHGSKHKDIQWNKHGQKRGCSRSGNLDWKKLIYQKRQNEDDVEIEEGELIEQDQQDIVSRDKLSRSKKVALKSVIKTMSEDDACNDGATIECDDKHILEVMAKMQKRRERFKENITSQKGEDGENKEPLAVACSTEGIRNQRPARKRRWGGDVTIITYR >Et_8B_059392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17492813:17499677:-1 gene:Et_8B_059392 transcript:Et_8B_059392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAASPSTGQLLERFRARLREEAGGGGEPGAAAVVRVYAEALRELTFNCKPVITELTIIAGQHAALAARGIANAICSRISEVPPDQILPSLYLLDSIVKNIGREYVEHFAVRLQKVFCDAYCRVHPNQYASMRHLFRTWWPVFPSSVLRGIEDDLQFSPSEKRRPASAANLHQSESLSPRPPHGIHVNPKYLEAQHKFKQVHQATARSTRQIVDLEEGQINGLPSNGLLPRPSKFQYAEDPDQQEAYRSLTGTIRATSPHLLSTNPTDANPDGPLANSRRNLSRSPPLDLLHRNVSPKRMLERPLPLPSHSILGPDPRRLPERNGRSRLVFDEESRKQSARELIDAYGNCQGRDADERLPKMQRLDSNGMASKSIARNWLNSEEEEYSWEDMSPTLTDRIRSSTPSFLGANAGLLEPDIRRNSYPSQTLRSSVDGPPLNREDRITATGHVDMTTSGRYPSNLGLQNGALSEYQSSEHILNHGRMSTLATQPWQQPSGLSLRAQAPEHSSVLSRIPQPADGEIPVNRLASGGTYDAVNVEIPLAEKHRSSPAPIEWPPLPHTQSQTLPPIPPDTKHPHRPPQYPRSQHQEPFRSFNPGISVSQFQGQGGSAATLPLQYLPSSFSVSPPVPPYGMASTANFPMPPLPPGPAPSSLQMGPSSSQVGGPPPFVSGLLSNLMRQGVISLEASSQPQDSIGVDFNVDLKVRNDSVINALYQDLPRQCKTCGLRFKCQEEHRTHMDWHVTKNRNSKNRKQSSRKYFVTAEEWLRAAETVGNDGVPAFVPSDPVPDTKEEKEVAVPADEDQTVCALCQEPFEDFYSDETEEWMYKGAVYMNAPDGNIDGLERSQLGPIGLLPISFSLLAAVEMTCKLPETQIL >Et_4B_036751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11222441:11225726:1 gene:Et_4B_036751 transcript:Et_4B_036751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASDSDAGAGAQSRDDTNLPFASFSLSLSLRAPAAPTLASIPSTIHLPTQTSTLAVCLHPSSSAAPSSSRRNTRLNSAASSVISPLPASTPGLSRSFPSGAPAAAGRRRTLVWFRADLRLHDHEPLHAAVGASSSLLPVFVFDPRDFGKSPSGFDRTGPYRANFLLDSVADLRRSLRARGGDLVVRVGRPEVVIPELARAAGAEAVYAHGEVSRDECRAEDRVQKAVEKEGVNVKYFWGSTLYHVEDLPFQLEDMPSNYGGFREAVKGLEVRKVLEAPEEVKCVPMKNVLEPGDIPTLGELGLTAPPAMAQDTKPAVGSTLIGGETEALDRLKKFAAECSMQPNKGNKNSTGESIYGANFSCKISPWLATGCLSPRFMYEELKKHATRAIPNGPTPKNGDGSSDAGTNWLMFELLWRDFFRFITKKYSSAQKTSKVVPATGCTPTPALA >Et_2A_015482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13526635:13530072:1 gene:Et_2A_015482 transcript:Et_2A_015482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIMKGITVLSIVTVVCFQVPGAFAGFKKRRPSVPAMYVFGDGVLDVGNNNYLPLGDSNRADHPYFGIDFPGGQPTGRFSNGYNIADFIAKTMGFEMSPPPYLSLTGAIQIDTNFSGINYASADASILDTPLDEAVIPLQKQVEYFGASIGQMETNLSKHQLSKLVSKSLFLLGIGTIDLRFVYHASRFKSPLGTKFNVPFLLSSYGSAITTLYNKGARKFMIINVPPVGCVPWARNFRSGGCNEAMNSLANQFNEGLKPLLAGLSSSLHGFQYSIADYYAFSNNTFTNPSALGFEDSHSACCNGPCAPPAYEYGDDSPPCGNRTQYWFWDQEFPTERAAKLTAAAFYNDDHARFIMPIQVILKGKG >Et_5B_043313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2465461:2465895:-1 gene:Et_5B_043313 transcript:Et_5B_043313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAIEAHRAGADVVCGDAAACKKAAVELLGEIGLPNGMFPLDDLKEFGYNREAGFMWLVRKIKQTVSYAGEVTAFVEKGKLKKIAGVKTKELMLWLSVVEVYVDESAPGKVTFKTGTGLSDSFDATAFELGM >Et_7A_052009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3776272:3787288:1 gene:Et_7A_052009 transcript:Et_7A_052009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGIICCLFPLLPVFVLLLIAGNGEGTAQPAAASDRDTLLAIKKDWGNPQMLASWNPASADHCRWRGVTCDTAGGSVGGAVVELSLSWMKLNGSVPHSVCALKNLTRLDLSTNDLAGAFPAAALYACAQLRFLDLSYNFFSGPLPASIDSLSPAMEHLNLSINHFGGEVLAAVARLRALKSLLLDNNGFTGAYPAAEISELVGLETLTLAYNAFAPGPVPREFAKLTNLTDLWMFNMNLTGEIPEAFAHLTKLTTLDMSVNKLTGSIPAWVLQHGKLEEVYLYENVLSGELSHNVTAANLEKLDVSMNKLTGLIPESLGNLKNLAFLNLSINNFTGTGPASIGLLPQLRQLVLSSNQLTGELPPELGKHAPLRRLMTLCSKEMLSVIIAGNNRFSGVLPANLGNCVLLESLLLDNNHFYGDFPAKIWSLPQMAFVRIQNNSFTGTLPEVISSTINDIDIGDNMFSGSVPKTATGLFAFNAENNQLTGELPADMSKLANLELLVLAGNMITGTIPKSIVLLQNLTRLDLSGNLISGALPKSQLTAKEHPRKIMSGLGIRWLFPLPPVWVLLLLAGDGTAQPAITGDRDTLLAIKKDWGNPRQLVSWNSSAADHCRWRGVTCDTAGRSEGEVVIELFLKSLNLSGSVPASVCALKNLTHLNLSYNNLTGAFPATALYACARLRFLDLSNNHFSGPLPADIDGLSLAMEHLKLSGNHFGGEVLPAVARLRELKSLLLDTNSFTGAYPAAEISNLTGLRVLTLAANTFAPRPVPRELARLTNLRHLWMSKMNIIGEIPKAFANLTELTLLDMSLNKLSGSIPTWVLQHNKLREVHLELATELTSKKTKMSRSGICCFFPLLPVLVLLLLAVSGEGTATTSDRDTLLAIKKDWGNPRQLASWNSAAADHCRWTGITCETAGGSEGGVVVELSLASLNLSGSVPHSVCALQRLNRLDLSNNSLAGAFPAAAFYACARLRFLDLSYNFFSGPLPASIDGLSPAMEHLNLSSNHFSGEVPAAVARLRALKSLRLDTNGFTGAYPAAEISERAGLETLTLAYNAFAPGPVPREFAKLTNLSFLWMDKMNLTGGIPEEFASLTKLTRLDMSSNKLTGSIPSWVLQHAKLEKLYLDDNVLSGELARDVTAANLVVLDVSMNKLTGVIPESFGNLKNLAFLYLYINNFTGTVPASIGLLPQLRQLVLSSNQLTGELPPELGKHAPLGNLDVGDNYLSGPLPKTLCSNLQLFSIMVDNNRFSGVLPANLGDCVLLGYLELANNRFSGVFPAKIWSLPQLNSVHIQNNSFTGTLPEEIISSFIWEIDIGDNMFSGPVPKSAKGLLMFRAGNNRLTGELPADMSKLANLRELVLPGNRITGTIPKSILLLQNLTRLDLSGNRISGVLP >Et_8A_057536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4027389:4033882:-1 gene:Et_8A_057536 transcript:Et_8A_057536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDLELLRSGDTALPSSSDPDSPVTPRRSRVRELLRNLDRRLSNRSRGHSEGGAAVAGSGREAGPARRDEESDELGDGAPPEWALLLVGCLLGLATGICVAAFNRGVYVIHEWAWAGTPTEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFEQIKQSLSSQREGIDFMAGIFPTIKAIQAAITLGTGCSLGPEGPSVDIGKSCAYGCAEMMENNRERRIALVAAGSAAGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNVLLGEKAAFIVPTYELKSAAELPLYLILGMLCGVVSVAFRQLVVWFTKTFDMIRKKFGLPAVVCPALGGLGAGLIALRYPGILYWGFTNVDEILHTGKSASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNTAVAHPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQSGSKDTFEATSPRHGYSSLSPHADRNETDWRRPDGDDVELAILDDDPYHYVSNNEEMLLDDLKVSQGMSKHYVKVTPTFTIKEATRLMQEKQQSCVLVVDNEDFLEGIVTLGDIRRKGFEPSENSNSTVGDSSALDENSSLVSSCLTRGFQFHGNERGLVTCFPDTDLSTAKVLMEVKEIKQLPVVKRGAGRRNDGRRKVLGLLHYDSIGWCLREELERWKALYQREHFQQTTVNGQ >Et_9A_063051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9163016:9168441:1 gene:Et_9A_063051 transcript:Et_9A_063051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITSLGAAASGGSPRSTLLAPPGCCADGALDSRNQEKAANSDCLGVPRRQESEAPVGALVLPRSPPPQIQEPVKRKGRHGANSVDVVWLCKKQRSAFAVAPGLGNANRALAAATPSSPIVREQCGNMSVVDGIGKKGHGALGRSSCEVVDLKKGQKIRSCELATTGSGMDVLRQDTDVTDLGLSWPLQIVQKPVKYDRHQNGEECWLIKKRCHADMVAGCIPGSGPVDQVNSAAPSTPSQEIQEPVSTRCSSIQKGKAAARRKATKRSDYNITRQPFVSLSSGLRCLGVTNVTPVLAKILTPTDSSLHQARLQHACSEFMGSPLMSMLTPGEYMDVHTREGLPVEAIDRHGCSYDMTFGFVNLSTGIAYRLRGEWTKFLTHSGVRDGDLVEVGAFRVDGRLMLTLLNYSLDGWIPEEMEAANGLSMLSDFKDGTKIQTNSENTVNILDLLPLSLD >Et_5A_042815.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4939684:4942878:-1 gene:Et_5A_042815 transcript:Et_5A_042815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTLLKLLLLLLLLGDVTSAPGAGEREALLKFKAAVTSDPGGLLRDWTPASVDHCRWPGVSCGAGGEVVAVNVSSSPGRALAGELSPAVAKLRSLRVLAIPSHALSGPLPTAIWSLPRLRVLDLSGNRLQGEIPATLACVMLQTVDLGYNQLNGSIPAALGTLPELRRLSLASNRLGGAIPDELGGAGCPSLQFLDFSGNLLVGGIPRSLGNCSKLAALLLSSNLLDDVIPPEIGKLRNLRALDVSRNSLTGPVPAELGGCVQLSVLVLSNPYLPLDGSSSSGYGDLDDFNYFQGGIPDAVAALPKLRVLWAPRATLEGELPGNWSSCQSLEMMNLGENFFSGRIPKGLAQCENLKFLNLSSNKLAGSVDPSLQVPCMDVFDVSGNQLSGSIPSFISKKCHSAQLPFDDLVSEYSSFFTYQALAGFMSSLLLPGVDLTSYHSFAWNNFTGTITSLPIAAEKLGMQGSYAFLADGNHLEGELQPGLFDKCNSSRGFIVEVSNNLINGAIPAEIGSMCSSLIVLGAAGNQLSGVIPTSIGQLSYLISLDLSRNNLAGAIPSSVKDLLNLERLSVAHNILHGTIPADINQLQSLKVLDLSSNLITGMIPDAISDLKNLTALLLDNNKLSGRIPSGFANSASLTKFNVSFNNLSGPVPTNSNIVRCDSVIGNPLLQSCHVYTLAMSSAAQRGRGLNSNDYNDTAPSDAQNDGGNHSFSAIEIASITSAAAIVSVLLALIVLFIYTRKCAPRMSRRSSGRREVILFQDIGVPITYETVVRAAGSFNASNCIGSGGFGATYKAEISPGVLVAIKRLSVGRFQGAQQFDAEIKTLGRLRHPNLVTLVGYHLGESEMFLIYNYLPGGNLERFIQERSKRPVDWKTLHKIALDIAKALAYLHDTCVPRILHRDVKPSNILLDTNHNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLMELISDKKALDPSFSPYGNGFNIVAWACMLLRKGRAREFFIDGLWDVGPHDDLVETLHLAVMCTDDSLSTRPTMKQVVQRLKQVRPPIREHR >Et_7A_050854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11747312:11749354:1 gene:Et_7A_050854 transcript:Et_7A_050854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPAVVLAIAVLCLALPRAALSQKQKPPVEAPAPAPAPAPHFVNLTDLLSLAGPYGTFLSYLTKTDVIKTLQSQANDTEQGQGVTIFAPEDAAFAAVDRAALGNLTADQLRTLMLCHAMPAYRTLSSFPALARSNPVPTFAGGGCAVNVTYDAGRIRVVSSWGKAARLVSSVYSTPPAAVYALDAVLLPAQVFPTEPAVAAAPAPAPAPEARRGNATDDAAPAAAKDGDKSSAYRVGAGFGCLAAAANSFASRWVRYVVAGTAHVTLYAVVGCIVDTSIRFYRRIFFHPRCAVALFAAVISLCVLHAGARRVALGKLSQAPDSQPMRANLTEILTLDGPFGTFLTYLQQTDLVEVFQRQAYRTDSGITILVPVDRAFAAVQPPVLSGLSRHHLRDLMLYHSLDRRYQLAEFEGLSRSNPVTTLAGRPYTVNRGHRRRRSTWAEAKIVGSVSVAAPMAVYELDRVLLPDSLFPAQPPVVAADAPAPAPSNDSGAPQLGSADPLMPWQYASAHAGMADAQGSACNPGAQYAAAAAFGALTLLAL >Et_4B_036689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10726571:10729689:1 gene:Et_4B_036689 transcript:Et_4B_036689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGLLLIQDHGEKEMIRLAFGPESLLHAVMAKARKDLGLLLPASPTSVAAAGHVPFLQLPRQQGSGRAGAPSPLSVSSPSSWAQAPVFSRSNGAGEEAAAAGEGGDELPSPVNGGAAPFFPQSREVLLEDMQLQEQLAFLNDGGMNPAQQLPAFDGGECRSPGPGDGGGMFSYGPGWPNGGHPAHRRSASVNELCLGGGGGGDGFGWRPCLYYARGFCKNGSSCRFVHAGLPDDAAALAAAKMDTAADQQQQQCQEFLLRSKSQRLGPAAFPYSPTGSLPGSPSAASKCLSLLLQQQQHDRAATAFTTSQLQTSNHSHPKLQPFPTCRAAAAAALMLGGGDEAHKFMGRPRFDRADFASMMNPGSRQIYLTFPADSTFREEDVSNYFRTPSDWFLAMCFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKYRHAFQTTNASPLLKQSQGDFSGCTTPTGLDACRDPFDLHQLGARMLQHSNSANELLFRRKLEEQQAAELQQAIELQSRRLMGLQLLDLKSRAAAAAASPTSALPTPVASSQPASTNLVESPPSDSGEQLKLSSTFALEGKVNGSDKEESAGETSPNDADSDQSGEHNLPDSPFASPTKSAAMAHDGFATAGTENAAHRGGVDCGSNAEGGGLRPSPLDIPSPKPYFFPMHRCVTPNTAVLRSRSDGDVKFAVSVSDTPSLIIEYKKSDGGKGVKCQHSVSSLSFVLSALAFVVDSSTKPQEKKMPLALIKKSAA >Et_9A_062821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5487578:5488112:1 gene:Et_9A_062821 transcript:Et_9A_062821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVLSASILLFLPLVFLLLSIICMPAIGGPTQCTPQPCQGKQSWPELVGKDQDTAYSVIKRENPQVTDIVWLISSVLGHVSEKKGVLGAAGNGDFVVTVWSWYLVLFRPAWTVSSRCQ >Et_7A_050590.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:3182847:3183053:1 gene:Et_7A_050590 transcript:Et_7A_050590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLKLFMHVHVTLRLFFSSLFGVRMRCRNGRGCGCLIPSSPCLPFCSIGLRPSFPGHPFRCSSCSL >Et_3A_024433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20746015:20750050:-1 gene:Et_3A_024433 transcript:Et_3A_024433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPAATATAAAATFRSAAPASSSYSSTLRRRSRLSAISASLSPSSSDEPLLVRAARGEDGLPRPPAWMMRQAGRYMAEYQALAKRHPSFRERSEKTDLIVEITLQPWRAFAPDGVILFSDILTPLPAIGVPFDISDSKGPVIQSPVRSEEQVRELIPIDLDMLQFVGESLKILRNEIDGKAALLGFVGAPWTIATYVVEGGMTNTYTNIKSMCHTAPNVLRGLLSHLAQAISDYIIYQVNSGAQCIQIFDSWGGQLPPHVWEQWSKPYIKQIVSRIKKECPHVPLVLYINGNGGLLERMKDTGVDVIGLDWTVDMADGRRRLGNGISVQGNVDPAFLFSPLPILTDEIHRVVKSAGPKGHILNLGHGVLQKTPEEAVAHFFDVTRSLRYDSLFQGSVAEELQPECRSCEFCIFNLTQCSVADPDLDYVASMRSDCYNLALLSFAAAES >Et_1B_010333.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9110287:9110754:-1 gene:Et_1B_010333 transcript:Et_1B_010333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSAPEPRAAADVDLELLKAVAQAWHAQSGNPRPSRASKAGGEDDGAAGRHRAGRARYRPSRFKLEAMAAAAAAEAPPRETTWDFARSLFDTYELVAVARKLESGLAIADHAAAAAAPAVTREGDTRGAGKRRKESGRSLRNLLLRSSSGRFL >Et_10A_001578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5369710:5371891:-1 gene:Et_10A_001578 transcript:Et_10A_001578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSMLGDLDGLPEEDKMRMAAMVDQLQIRDSLRMYNSLVERCFTDCVDTFRRKTLDKQEESCVRRCAEKFLKHSMRVGMRFAELNQGVATPD >Et_6B_048479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2012:6409:1 gene:Et_6B_048479 transcript:Et_6B_048479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRSLYKSEAVFAAVDSGLASVGVLDVRTIAELSIDDYLYPDADLYNVSGLPPLLLPPPTCDLYHGRWVFDNTSAPAYREKECTFLTAQISCLKNGRPDDTWQYWKWQPNDCSLPTFDARRFMEAMRGKRLMFVGDSLNRNQWESLVCLVQPILSKGRKKVVKRGNFITFHAKEYRATLEFYWAPFLVESNSDNPNFHSIDTRIIRPDRIQAHAKNWKDVDYLIFNTYIWWMNTADMKVRRPDSRYWSEHDEVPRIEAYGRVLNTWSDWLENNVDPARTSVFFMTISPPHLNPERWGNPNGIKCVNETLPYMNYTQPLDLYHDMRMFDLVAKVANSMKRVPVTLIDITKMSDYRKDAHTSLYTIRQGKLLTPKQKANPDKFADCIHWCAGRVESDTIYKNPIEIFHNSPTTLAPSSVSPSPLMRSRGSVLTPHRKMCNFLKRIMDVEFS >Et_3B_031137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17626484:17627922:-1 gene:Et_3B_031137 transcript:Et_3B_031137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGSVYKEGKKQLVVQGDHAAASDVSEKLFIPCNSANVLSVKIVSSDVGFPIQVYGTIIARDMLDRKDHSHIVLNKDEELILTGPKRGLALIDAIYIEVDLMIKGEGKRKKDKQLSKGYLTLTGLARHFRDEMEVESYTIESMLSKVVVMCGVEKRAVEATTEIEVIQGPSGCYLKPTYSLWSTGSLTGCRHGKVA >Et_8A_056263.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1919693:1919731:-1 gene:Et_8A_056263 transcript:Et_8A_056263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLEPSKPMCV >Et_3A_026985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28806912:28809262:1 gene:Et_3A_026985 transcript:Et_3A_026985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSGSIEAPANSRPGSFSFASTSFTDMLGGSAAAAGGASRYKAMTPPSLPLSPAPVSPSSFFNIPGGLNPADFLDSPILLTSSVSTNRRKSPKLKSQLTSSRRHRHATTFLGGHSCVKAWGFDFFALHLFPSPTAGAFASQQFSWMQTQAVEQDGSKEEQRQQSFPDFSFQTAPTSQEAVRTTTTTFQPPIQPASLGEEAYTSQQQQPWGYQQQQPAMDTNQASFSAPFQATSSDATAMAPHAAQGYSQQSQRRSSDDGYNWRKYGQKQVKGSENPRSYYKCTFPSCPTKKKVERSQDGQITEIVYKGTHNHAKPQNTRRNNSGAAQLLVQGGGDASEHSFGGTPVGTPENSSASFGEDEAGNAGGEEFDEDEPDSKRWRKESEGEGMSMAGNRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTANCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSAALYRPAPPPPPADNGAGSSGHHYLGARPPPAMAYQQSSGAAGQQYGHGFTGQSSFGLQQGAAGFGGFSGFDNPMGSYMSQHQQQQRQNDAMHASRAKEEPREDMFFQQTMM >Et_5B_043480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11008927:11011175:1 gene:Et_5B_043480 transcript:Et_5B_043480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLSIVVVALLVATTCGGASACERCARQGKAAYSPSLTPLPHGGGVCGYGAMAAEINGGFFAAGGPRQHRGGLGCGRCFQMRCRDAKLCGSGVRVVLTDFHRSNRTDFLLGGPAFAGLAKPGMAHELKRLDALSIEYRRIPCDYKDKNLSILVEEQSKLPSNLVVKFLYQGGQTDIVAVDVAQVGSSDWRFMTRVYGPVWSTDRAPTGPLQFRAVVTGGYDGKWVWAEQEVLPANWQPGQVYDTGVRIADVAREECQGCAKLEWK >Et_10A_001060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20791375:20800634:1 gene:Et_10A_001060 transcript:Et_10A_001060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGRRARRATRSGHIAAGGEALITDLPDDVLSAIFSRVSGAADAARCRLPGRSRHFPRPAASLAAARLPAPREQARAQQPFVSSSFRCCRSPRPVASRHGRVVLELRRASRGQLTTLSVWNPMTGDASVLPPVPVPGHDYACALLTADDLTDDDATTPPGVGRVTRTTSFKLRWFGEKLELAARCSSPSAMMPPPVVAAVRAAPSTRSGDRSAGRRPLRHLLARRRGRRWGRVVAARAPAISRALPPPGRFLPHLTLGFLHRQDKRARGRARLRFVPTAAFAASRHHRQLVVDEEEAASARARPVASRNGRVVLELRRASRGHLTTLSVWNPMTGDASVLPHVPVPGHDYACALLTADDLPTVADDDDDASASSPPGGFFRLLLVYNRRNITALRCYDSVSGSWGPEAAKPGAKIGDRALGRLGPAVVLRGVAYWPTHDAALGVRLDDGSMDVRSLPYLLTDHDADERVLGVSPDEASLRYLAVCFTRQTTLNIAFLATQLIKRDGGFDGLWDPVLDRHVAVPGVGRVSTRTTSFKLRWFGEKSGTLLFTVGDDAGCAGGVYALSVARRGRSRSWPRATGTMRAETCAATRWIAPRCLPRSLLLADKFFFSHLLIHACNFQFGRAHAGSIT >Et_1B_009961.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:14329221:14329361:1 gene:Et_1B_009961 transcript:Et_1B_009961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVIVETDALMVKQAVTSFAFRHSEVGGLVDDLSDMLKQDSSKLL >Et_2B_022433.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:21683197:21684459:-1 gene:Et_2B_022433 transcript:Et_2B_022433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSWTAGMHPAVAPPTSALKRARVARGDPLLSIHSFSFALAVAGSRGGGWRKEGFGRKGKQQQQQQLGGEGRGLLWCLMSMAQVCCDSTAAATGGPEAEARARARAERRRRAGEAGRWKAVPRKRRVEGGDHVGPRKHGAASVTGRRREMEDAVSVREAFAAPATGEGGRCDFYGVFDGHGCSHVADACRERMHELLAEELASSAPREPAAWTAAMERSFARMDAEFTAGAQSGIGSGSCRCDAHKCDHVGSTAVVAVVEDRRVVVANCGDSRAVLCRGGVEPAAVALTSDHKPDRPDELERIEAAGGRVIFWEGARVLGVLAMSRAIGDGYLKPYVSSVPEVTVTDRTDADECLILASDGLWDVVSNEAACEVARACLRRGRDRWCAEAATLLTKLALARRTSDNVSVVVVDLRPKKQ >Et_4A_032503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10947374:10949799:1 gene:Et_4A_032503 transcript:Et_4A_032503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPPEEGKPWELAAHPRLAKGKVVGVVVLDGWGEAPPDPFNCIHAADTPTLDALKKAAPERWRLIKAHGTAVGLPTDDDMGNSEVGHNALGAGQIYAQGAKLVDLALDSGKIFEGEGFKYIQPSFESGTLHLIGLLSDGGVHSRFDQLQVRYHRFHNILKASPYRSRPLIVSTCVLQLLLKGASDHGAKRIRVHVLTDGRDVLDGSSVRFVEMLEEDLAKLREKGVDARIASGGGRMYVTMDRYENDWQVVKRGWDAHVLGEAPHKFKSGLEAVKKLRKDPKANDQYLPPFVIVDESGKPVGPIQDGDAVVTFNFRADRMVMLAKALEYESFDKFDRVRFPKIRFAGMLQYDGELKLPSHYLVAPPEIERTSGEYLARNGVRIYACSETVKFGHVTFFWNGNRSGYFNPELEKYEEIPSDTGIPFNVQPKMKALEIAQKARDAILSRKFDQVRVNIANGDMVGHTGDIEATIVGCKAADEAVKIILDAIEQVGGIFVLTADHGNAEDMAKRDKSGKPLRDKDGKVQTLTSHTLNPVSPLTVPIAIGGPGLAPGVRFRTDLQVAGLANVAATVMNLHGFEAPDHDEPTLIEVVDK >Et_4A_032070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15267117:15267764:1 gene:Et_4A_032070 transcript:Et_4A_032070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLVPIGPSELLMAYGAIGLEIFYYTTAHGPRIIVQWDVNDNDETEGYKRTIYPGPGRELEITYLVILTAVQTSVEVRLKLKDLGSRSRAVYGKIKANAIDYRNRRVHLFSCDRGRCLSFPSGTTSILPLSPSKIALPCSRLLKFHIEVDLTVITTCESHEDDKNLKFREVDDDRVQVEIKYCSEY >Et_6A_048161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8082140:8089164:1 gene:Et_6A_048161 transcript:Et_6A_048161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIFTSWIFILNAPNDAYIVFELLGNLVARGNPNLKKFRKKSFPLYYSIEKLYEGSIASGELNFTSTEAPELMPPTSAEPINLDDFEVGANPFSANLERQGPSNDTINLEEDEHTTSSCSGQKVASNGKKRKQSQVAAVLQDFVDFRVKQTKNFLDELNLNTKPNEDYSIKNCLAVLGSIDELSEMEKAKAAKIFKCEENREIFLNLKNPEIVVACGGERLEYDKEMDVDLLQSLTTSFSTPSVTSHLKQMKAEPQELLHLDNCIT >Et_1B_012787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35091456:35092934:1 gene:Et_1B_012787 transcript:Et_1B_012787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLKATVAMVSRPVPAPALVSRALVKVRGLPWPGLSRSRGSAEVMEVPEDTDLMSYDTGCAAEKSEEDEEEESSVWSQDEIDAISALFDRPMRQKLPKPPNPAKQRALPLPLPHKTRLPMAPSPKQHVRLAARAALSSRASVSNQVRKNPEALIGIAREIAALPPERDVAAVLDRWARFLRKGSLSLTIRELGHMGLPERALQTLCWAQRQTFVPLFPDDRILASTIEVLARFDQLKVESALDQCVPSASRAVLEAMASGFIRAGKVSLTRKLLELARNNERTLGTSIYVKLMSEAARTPDGYGLAAALVDELGERPDLDLRPQDCTAVMKVCVKLRRYTAVESLFGWFRESSGGAPTVVMYTTVIHSRCRDGRHRDALALVWEMEQAKCLLDLPAYRVIVKLCVALGDQERALRYLARLKEAGFVPTADMYDNVIQGYAAAGRMAKCRRLIREAEAADLKLDRRLLSRLSEIDAVHS >Et_2A_018164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1680808:1683296:1 gene:Et_2A_018164 transcript:Et_2A_018164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGISFVQLKTHALTLRHALLSSNYYLACEGGVITELKLMLRNLFYNICHYTFIHIATLHLGSGPAGHGSSSSSQHD >Et_2B_021490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29994906:29997084:-1 gene:Et_2B_021490 transcript:Et_2B_021490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSFKSGKNRSKSQKPVGVPARTPPTSDSSGQKSKASSASTPTRSIQELSEERGAQRLRVFDLEELGSATNGFSRALKVGEGGFGSVYRAFFRSAGGGRVVLAVKRLNQRSLQGHKQWLAEVQFLGVLEHPNLVKLVGYCAVDSEASKQRLLVYEFMPNKSLDDHLFNRAHPPLSWRMRLQIMIGAARGLDYLHEGVPEVQVIYRDFKAANVLLDADFKPKLSDFGLAREGPTEGKTHVSTAVVGTHGYAAPDYIETGHLTVKSDVWSFGVVLYEILTGRRSLERSRPAEEQKLLAWVRRHPPDGQSFRSIMDPRLGGRYPLAAAREIARLADRCLVKNPKERPPMRDVVDELERVLQMEPPPPTSDKKGGDGRPPPSARR >Et_9B_065622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8224842:8231799:1 gene:Et_9B_065622 transcript:Et_9B_065622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCMVAGEHELVRWLVQLDLFFPSKIFCTSKMSCAKISTHSIGFFLPKLNKFVAATSSPSLAEDGSNSAPFPAILTVVTGYGKVQGGSANVAAPPEASEEQGAVGRCRTTDLSALPTEASTDSRDTRLEWKTGGRDERQCKRKKAKLEATTRTHYSEKKRVICFNSMSEQTQQKLAPSDEQQGKRTDETHKTMIMLELLGDLPPGKSCLSYVHIGVQINEVLELLGDLPTEMPSFLSNATIRRFLVARNWSTAQAVKTLKEATKWRRQYQPEKIRWEDIVDSENEAKRAYIADYLDKKGRTVFVTLPATKSKTSEKEQIKYLVYILENLVLDTEDTQEENVVWISDFRGWTISSTSFSLAKESLHIVQKYYPGMVAVAIVTNAPRIFESFWKVIKHFLEPKMKEKVKFVYNNNLESIRIMAEMFDLDKLESTFGGKNTAGVDMVKYAEGLKTRDHIRGAWKHDNVMNFLLKTRSEATPQQKPASAEEQQQKIDEVRELLGDIRTEMPSFLTDGTIRRFLRARNWSTEQATKALKETVKWRRQYKPDQIRWDDLADRENEARRAYLPDYHDKNGRPVFVTMTSIKSKTSTKDHIKQLVYNLENMSMMSEHAQEDNVIWISDFRGWTISCTPLWESRESLHIIQNYYPGLVAAAILSNPPKIFESFWKILKHFIEPALNEKVKFIYTKNAESQRIMADMFDMDKLESAFGGRHTSDLDMVKYAERMRRQDQIRDSCMHANANTSSS >Et_3A_026993.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2986452:2987312:1 gene:Et_3A_026993 transcript:Et_3A_026993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVSQEPVRISRKEKDTSCFCVKVWTSDPAVIAKTGLLRIDEPVVNLIQLNDPEHDYAACFNVERIGPVPTLDNEVLLHLDEVLDYSPLPYSSEHWSEESETSGLPSEGVDVEWPKRHPYKWFLGVADGQLPLSRPRFPVQERLGGPSDRRHDHSSPGGGRHGKRSRDEGGHPIGPALKRLGAGESSRKWHNGARQLDGGSLGQRRRGAGSPAPASRHGRPYHRARSLRDAGKHSRRNLLEPAFMVEQMGREPLGEELREWDPMTQEVQLSSQVFGKTATEIES >Et_7A_052091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4527192:4554993:-1 gene:Et_7A_052091 transcript:Et_7A_052091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVIMSSFCCASPQGHHGSLSAGYQSSRSSRSGTLPAQQFLRATKVFLCFKSLPAGGAKIAASQVKHTRVLENRIREQLLDPAPPPPSCYDTAWVAMVPARGCPRAPRFPRCVEGNLQNQHGDGSWRGLGRCRDPALGKDALSSTMACVLALATWGVGHEHVRKGLRFIGDNVSFVTDDRCDKPVGFDNIFPCMLALGIGRGLDFPLCQADVDAILRLRDVETARCAGSCTASGRKAFMSYVAEGLGNLQDWDQVMPYQRKNGSFFNSPSTTAAAAIHTCNDRALDYLDSLVSKFGSSVPTSYPLNLYSQLCMVDTLENMGISNGFVSEINSILDMAYRSWLDNDDEVILDMETCAMAFRLLRMHGYDIPTDRLAQFSEESSFHDSIQGYLDDTKSLLELYKASQVRIHEEESILENIGSWSGKLLTEQLCCNKIPRSVHHDEVEHALKFPFYATLERLEHKRNIESFRTENYRMLKSACCVSHANEDILALAAHEFRYSQSVYQDELHWVKEMRLDELKFARVMPTHTLFTAAATMFPPELSEARIAWSQNCLLVTVVDDLFDVAGSREENENLAMLIEMWDAHGEIGFCSERVEILFWAVYETSKQIGAKAAAVQNRSVIHHIAELWADAARTMLAEAEWRRTGHVPSMEEYMRVAEVSFALGPIVPTSLYFVGPELPEEVVRSDEYAALLRHTNVVGRLLNDLRTYGKEIRLGKLNSVALLLLRGGGGRSVEDAEAEVRRAVEAARRELLRLVVAEERGAVPRPCRRLFWNMCKVMSLFYLETDGYFSPVEMMGAADAVLLQPLRPALSTVYPKYIYSQLSTVDVLENMGISRHFEDEIKCILDSTYSSWLQRDEEIMQDAETCAMAFRILRMNGYNVSSNDLSRVAEASGFHDLLQGYLCDTRSLLELHKASKASISEDENILNCIGSWSGYLLKEQLSSGALKSTPLFEEVEHALNCPFYTTLDRLDHRRTIEHFDITGHVMLKIAYLPCHEDILALGVRDFNESQYIYQELQHLERWDEHYKIECHSEQVEIVFSAIYTSVNQLGAKASAVQGRDVTNHLIETWMNLLRSMMTEVEWRISKYVPTAEEYLENAFMTFALGPVVLPALYFVGPKLLESVVTDPEYSELFRLMSTCGRLLNDAQTYEREYNEGKVNSVSLLVLQSGGSMSIEEARNEIQKPIDACRRDLLRMVLRQEGAVPRPCKELFWKRCKVCHFFYYRCDGFSSPEEKAREVNAVINEPLQLKGSSSSDRWKELEIRIRKQLQTVELPPSSYDTAWVSMVPVRDSDQTPNFPQCVEWILQNQQEDGSWGTNRFEPSVNKDVLLSTLACVLALKRWNVGRENIRKGIQFIGRNFYIAMNEQIAAPIGFNITFPGLLSLAVGMGLEIPVRQNDIEAILHLREVELKRQDADNSYGRKTYMAYVAEGLGDLLDWNEVMKFQRKNGSLFNSPSTTAAALIHGYSDQTLQYLTLLINKSGGAVPAIYPLNIHCQLSMVDVLEKMGISQYFSSEIKRILDMAYCCWLEKDEELVMDIATCAMAFRLLRMNGYDVSSDELSHVADVSTFHDSLEGYLDDTKSVLELYRASKVSLSENDVILDNIGYWSGNVLKDKMCFNAVQKAPIFGEMYYALKFPFYATLERLEHKRNIEHFDPRGSLILKTEYSPYSASRDMHALAVEVFSFSQSIYQNELRHLESWVKGSRLDQLQFARQKMTYCYLSAAATIFPPELCDTRISWAKNAILTTVVDDFFDVGGSKEELENLIALVEKWHEHHEDMFYSEQVKIVFSAIYTTANQLGAKASAVHGQDVTKHFAEIWLDLLRSMMTEAEWQKRQYVPTVEEYMKNAIVSFALGPIVLTALYFVGEKLCKNVVEDKECRELFEMMSTCGRLLNDIQGFERDCSEGKLNSISLLVLHNDDSLSIESAKRSIQNSIDTSRRNLLRLRWKELEIRIRKQLQTVELPPSSYDTAWVSMVPVRSSDQTPRFPQCVEWILQNQQGDGSWGTNRSDPSVNKDVLLSTLACVLALKRWNVGRENIPKGLQFIGRNFCIAMNEQIVSPIGFNITFPGLLSLAVGLGLQVPVKQTDIEAILHLREVELKRQDTDYSYGRKTYMAYVAEGLGDLLDWNEVMRFQRKNGSLFNSPSATAAALIHGYSDQTLQYLTLLVNKYGGAVPAVYPLNIHCQLSMVDSLEKLGISQYFASEIKSILDMAYRCWSEKDEELIMDIATCAMAFRLLRMNGYDVSADELSHVAEAFTFHDPLQGYLDDTKPVLELYKASKVSLSENDVILDNVGHWSGNVLKDQMCFKAVQRTPIFGEIDYALKFPFYATLERLEHKRNIEHFDTRGSLILKTEYLPYSARRDMHALAIEVFSFSQSIYQDELRHLNSWVKANRLDQLLFARQKMTYCYLSAAATIFPPELRDARILWAQNALLTAVVDDFFDGGGSNEELENLIALVEKWNEHHEDMFYSEQVEIVFSAIYTTANHFGAKASAMHGQDVTKHFAAINGKRVCLYVPTVEEYMKNATFSFALGPIVLPTLYFVGEKVFKNAVEAKECRELFKLMSTCGRLLNDIQGFEKESAVPRPCKELFWKMCKILHLFYFQTDGFSSPKEMVGAVNAVITEPLKLPNSPSNHLRHCN >Et_3A_026366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7336859:7338842:1 gene:Et_3A_026366 transcript:Et_3A_026366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHGPRAAVLIDQLLQLHLALILCSILSSSSAAAPLPPPVPCLPDQASALLRLKRSFTTNTNSVIAFRSWRLGTDCCGWAGVRCRDVDGRVSSLHLGDLGLQSGWLDPALFDLTSLEYLNLASNDFNGSQLPSTGFERLIKLTHLNLSSCRFDGQVPPGVGRLTNMVSLDLSTRFHVLDQFLDGLLIRRKINFPDSAQLIEQSFETLIANLGNLRELQLGLVDLSNCGSQWCNILAKSCPKLELPHCQLSGPICGSFSSMDSLVVVDLQDNQLSGPVPDFLADFPNLSVLQLSHNKLQGAISTKIFRHEKLVAVDLYGNLEISGYLPNFSTGAILENLVVGKTNFSGIIPSSISNLVHLKRLGLGASGFLGDVPPSIGKLQSLSQLQISGMAIVGSIPPWISNLTYLTAIEFYDCGYSRPIPFFIGNLRNLKNLVLSNCSFTGKIASHISNLTQLQMLVLYSNHFTGTVELTSFKKLPHLVVLDLSENNLVVLDGQDNSSVASVPQIAFLALAGCNISNFPIFLKNQNDINLLDLSYNKIHGIIPQWVWTTWKHVFYFNLAYNSLTSIGYSSFLPIRINIFVLRNNMIEGPLPIPQGSVIALDYSNNRFSSVPYNFSSHLSDAVLFKASGNKPMWRAYIIFLWWNKYTIPRSVL >Et_2A_016439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24872415:24879193:1 gene:Et_2A_016439 transcript:Et_2A_016439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGRSKSSSSTTPSSTPAASAAAAACSEVRAAYHECFNRWYAEKFAKGQWQKDDCADHWNKYRACLEEHLEDKHLRQIVLDAESSKFCAQLDSDPPLGQGSGCTYYLSYMRLCILEQFYGLKTLGNFLAIESPFPSTLQNTSIVSMAKKAKNHANKRPAASASSAESSIDHAPWLRLTAFAVLTAHSAFSAYLARDDARMSPCSDSVDRTPFTAFCHVQERESSHAIVVARTE >Et_3B_029573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26060308:26072384:-1 gene:Et_3B_029573 transcript:Et_3B_029573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWAYVRSRWVLLEQVLDSEPSDLDLPLSGHQRDGHRLVGVGVQVLQELVQREPHAGLDALLVPVSDVLQAHVRPAELASSAPDLVVLGRVDVHVEPDHFRAVEPDPVDHQHLLLHRLGEPAPRHPRPPHLRRHRAPPRQGNREPDRLQLAAGGGGPPPGEARRHGGLGVEPRQGHVLVGVPEPGDVEHVADADAHEARVLVVEEEGGVEPVAVVVEVDVAVRVERAPHLPHAVDVGVVRVQDRVAPRGVVHAHVLEVALPQVAAPVVHVVVRARLQRPGARVGLVAGLGSKVNFTFPDRAEPLRTRRPVHDVGAGYMAKYARAVALMKALPESDQFNAPLPSLFHGQPYRAGDTEMPGAGTVEIYPHNTMHVWTGDTSRPNYEDMGAYYSAGRDPIFYPHHANIDRLLEAWRRIGNRRQGDFTDPDWLDSSFLFYDEEARLVRVTVRDVLDTGRLRYAYDGVGVPWLNARPPTTPGVNRGRGGKLRSVSFPVTIDDAAVSVEELTEEVLVVEGVETDAGEFVKFDVYVNAVEYKKVGPGGREMAGSFVSLKHPGKEGVTVRTSFRLALNELLEDLGADGDRSITMTLVPVKGKARVGGLTIVYAAEPATFTFSSPRTSSPNRKSRRAAVHRVSCSSSRGERSEPDVPKHDRRDVLLGLGTLGASVATAGVLSPRRAAFADPVATPDISSCGPPDLPPGANVLTCCPPLISNASSPVDFAPPAAASSSPLRTRPAAHSAGADYVAKFNAAVAAMKALTADDPRSFAAQASVHCAYCDGSYSPEGFPGVDLQVHNSWLFFPFHRCYLYFFERILGSLIGDPGFALPFWNWDAPDGMRVPAMYADQSSPLFDPRRDGRHAPPRLIDLDYNGREPRFSDSQQVDHNLRVMYRQMISLSPTPSLFFGGPYRAGNDPNQGPGAVENIPHGPVHIWCGDPNQPDGEDMGNFYSAGQDPLFYAHHANVDRMWSVWKGLDARRHTDIADPDWLDASFLFYDEEPKLVRIRVRDVLDTDRLRYRYQDVPVPWTNARPTVTATRRRADSFLAPAAQAAGAKKAGKFPITLDDATSVTVKRPVASRRSKAEKSAREEVLVIDGIEVDRDVAAKFDVFVNGEDHSAVGSGGRELAGSFVNVPHRHKHDKHGRAIKTKLRLALNEQLEDLQAEGDDSVVVTLVPRQGKGKVKVGNVKIELMH >Et_9A_062389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22440166:22442841:1 gene:Et_9A_062389 transcript:Et_9A_062389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYFSRILTLGWQLRQYLTELIENFLGCIDWIPQRLEFQFSACNGRSLADTKGYVHEGTYVLSVAATKEEQPANGVSEGMIVRKGFSNICQRSYGRSFYLQHGFALAQRFAFRLRDLWTLFSGEMHAKLTRILHRFWTTLQGSCEDIGWLQRTRASLCSVDGTSRYEDILYGVRNGVHCLPDTLVYLFIPGLFSNHSPLYFVNTKRFFSKMGLACHIAKIHSEASVEKNALELKKYIEELYWGSGKQVLLLGHSKGGVDAAAAISLYWSELKGKVAGLALVQSPYGGTPVASDILREGQIADKETRRVMELIVCKLIKGDIRALEDLTYDKRKNFISRHKLPVNKLPIISFHTEASTTPMVLATLTRVAHAELLPWLPLPQFFLSASEYIESMLASLKVPVVAPVSAAMAVTALHLCLRYGERSDGLVTRRDAEVPGSVVVRPERRLDHAWMVYSTLRKGSAEADASEMCEALLTMLVEIGMKKKFCYPMLNWN >Et_3A_023796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12385974:12388412:-1 gene:Et_3A_023796 transcript:Et_3A_023796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSRVKPGFNGSGRRASYIMDAGAGSGRRTPVGDACGVTLRAFVAAATLVSAVVMGVDRQTRTIQVTIADTLPPLQVPVTAKWSYSAAFVYFVVANAMACAFSALALAACRRRGAVVAVMVGDLVALALLFSAVGAAAEFGILGERGNSHVRWAKVCNVYGRFCERAMAAVIVSLLAAFADLVLLMLAILDIHKNSSYY >Et_5B_044888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:735486:743121:-1 gene:Et_5B_044888 transcript:Et_5B_044888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVEPNPIEEKKPKEESTAMTKKKKKKRKREPEAGKGKSKSNSQEYLEMEKGGAVNVDEDLEMARRLAKKRKAGKGKLGGDDGFDGDFGSGEETKAHDLNVADGTEFDQKKEKKKKKKAKKDVISEENDGSVRESEDKTKLDKKRRKKKKGKAEKDSVELEELDNVDTAEGDVGVVFESEKCLVTLEEGKQLDMSKGEHKKREGKKKRKESGNVGQDVGQNTQAAGDVESGNKERKKSKDNNEGGEMEKEKAVQQKDKGKRVSFVDAVEVFGDGDFGSDKETKALDLNMKQLGEQGLEMLRTSVKYPELRGCWAEIANALPHRPPVAVYKRARILLNRSVDRKWTEEEYETIRRFVETNGPNWTKLAAELGKSDIHVKDTWRRIKPKNLQKGTWTQDEYQNLFDLVNLDLRVKAHQQLDHGHRLIRDNISWEAISDKLTTRSNKDCCLKWYRQLASPLVKEGVWSDTDDYILVEALQKVDAVCPEDVDWDNLLDHRSGELCRQRWNQMVRLLGGHREKSFIEQVEVLSRRYCPEMVEYRK >Et_4A_035881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7854974:7857537:1 gene:Et_4A_035881 transcript:Et_4A_035881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGMAAATMDGGNAAAATAARRYTTQQQQAQPQLQHHQLGTLPHLLAGGVAGAVSKTCTAPLARLTILFQVRIDTEEAKIAFSARRIAQMLTANLCKYQSSCNIPWVCVTKKRVQGMHSDVATMRQTSIWREASRIVYEEGFRAFWKGNLVTIAHRLPYSSISFYAYERYKTLLQMVPGLEKNGGFGADVGVRLLGGGLSGITAASMTYPLDLVRTRLAAQTNTAYYRGISHALYAICRDEGFRGLYKGLGATLLGVGPSIAVSFSVYETLRSHWQTERPYDSPVLISLACGSLSGIASSTFTFPLDLVRRRMQLEGAAGRARVYQTGLSGTFGHIVRSEGFRGLYRGILPEYCKVVPGVGIVFMTYEMLKAILTGLESDD >Et_1B_010701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13151602:13154746:-1 gene:Et_1B_010701 transcript:Et_1B_010701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSGAAMAWNVFRFCTALRGLGSVMILLVLAIVGVTYYALVLCNYGPALLAAAGSLDALAALAVLLLFHFLLVMLLWSYFSVVFTDPGAVPPNWRPDVDEERGETAPLTTSDFDSLQSMALGDTGSPRIRYCRKCGRCVLKMDHHCVWVVNCVGALNYKFFLLFLFYTFLETALVTVSLLPHFIAFFNDVEIPGTPGALATTFLTFVLNLAFTLSVLGFMIMHISLAYEKKTTSFWKYDLGRKRNFAQVFGINKWYWFIPAYSEEDLRRMPALQGLDYPVRSDFDGQGL >Et_1B_010094.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:23640819:23641946:1 gene:Et_1B_010094 transcript:Et_1B_010094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRFFASSHTGHCFRFVAQGGPFQAKSLLPIRFALNYLIKGPGPQAKTSSIGSESDWRVETPDTVRRIASQETALKPCIIYVLAVCRVRRTVLHATQRAGLQLVPRGAHANAAAAATRRPRPRGVGGQPRRRAGRPPLPRVARALRRRERPLPGRRRPRRRRRRLPGRRPQPAHRRGAVRRREGERGRAQAAAGGPRRLHQRRPARRVGVQPLRLRPGRRRPLRRPLRRLPRRPRPRRRRAPPARRRLTTALRGCGLVHRRGVTWLTYTGAYLMKVIAGGGQLPDDLPGFVAAVRRCLGEDVYDVARLAGDWPGLPVGLERLAAGLGLPKPLLSPQLAGAGSVHTLQAYMALGLREFRGNLQKYRGVLKGLQAV >Et_4A_035044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:939339:941438:-1 gene:Et_4A_035044 transcript:Et_4A_035044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVAKPETLPDGTVNLMIWHCTIPGKQGGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPTEYKRRVRLQAKQYPALV >Et_2A_017048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30494229:30494579:1 gene:Et_2A_017048 transcript:Et_2A_017048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEVNESSISATPVEIKKEDTDIAMEEGLMVMDVNRNGSAEVQLQAKDMKEKVQTWPWKEMKVAFLKFERKLLKKLQSGR >Et_8B_059150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14406794:14408009:-1 gene:Et_8B_059150 transcript:Et_8B_059150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGTEGHLGSSSRPCAFLRGEFLPENENVSHLINLTIQMARSLEELVARNSRSFPNKSLKLLFLINNFYFILQHRHTHCRLVFPIREHLQIYTGQKLWKVPSTDMRKRLRNAIVEEVIPQQHPRVTSQEMTKLLNGLFEG >Et_8B_058543.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:14896417:14897313:-1 gene:Et_8B_058543 transcript:Et_8B_058543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAGAVNAALDRALPLRRGQPSSLLVESMRYSLLAGGKRVRPVLALASCELVGGDPAAAAALPMACAVEMVHAMSLVHDDLPCMDDDDIRRGRPTNHVAFGESTALLAGDALLALAFEHVARAFSGSSNGGGVPAARALRAVAELAAAVGADGLAGGQAVDLASEGEPAVGLATLEYIHVHKTARLLEAAAVCGAIAGGGADEEVESVRRYARRIGLLFQVVDDVLDVTCTSEQLGKTAGKDLAADKATYPKLLGVDGARAYAAELVASAEAELDRFDGNRAAPLRHLARFIANRQH >Et_4B_036771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11431593:11442236:1 gene:Et_4B_036771 transcript:Et_4B_036771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTLAKIRKKLKKRKKGKEDTDVDAVAECGTQGEVLAQQEDVQGAGDTDECVPDEKSSHDGVKVGDGVQGLDDLGLEDSLSVLFTRSARKVSEKEAEGVLDLACPHDEEGLVKESALALDTTSKGTKRRRRRTKEEMKNAAAHDRKVSLPRKAKAKANACDSIGRFKVDACQAVRELASVSPEPEKKSGEEEKAMDDELCHPSFVEGLLQDAEAKLRDGSGNSLDGTTHHFEVSAQASNQPRLKPCSGMLPVKASCTAANETTCGASDAHTCFHILGKDSSDDIDCSQGKSPTSIIKRKAGLKPKQVPRKPVRKKEALSSVDAKPADTAKDDALSKRRVKSDPKDGEVERNAAVHTEETLDKLAPAKDVDMVDVATPSDFEDMENASKLKRVLRSSKKRKHGDMAYEGDVDWETLMQEQALFSNSSAVDHSVKVKDKNRISEIHEIGGDNGAAAVRAGLKAKAVTPIEKLKFKEVLKRKGGLQEYLECRNVILGLWSKDVKHVLDLAECGVSNVPLKDESPREALIRDVYFFLDQNGYINAGIASGKVVKGLDTCSDVVEVPASKPNESHELESGSLQDGIVASPLQNNGSGCDKGIECCSNGSAENVVCALTEGSNEMNRPTVECEALELLPQLKPDEQPIKEKNIDVSNDGRDIYCRSDVDNLAGKVESLCKPEATGVENCRNNCPKSDKVESGMYHKTVIIVGAGPAGLTAARHLQRQGFSVTVLEARDRIGGRVYTDRTSLSVPVDLGASIITGIEADIATERRADPSSLICSQLGLELTVLNSACPLYDVVTGDKVPDDLDEDLQAEYNGLIDEMALLFEQNGESAMGLSLEDGLEYALRKHRSAQPMASVEQHDQLRSVANSDVSRSVSTRKEIVTCGEDGKMDVLSPLERRVMNWHFAHLEYGCAATLKSVSLPYWNQDDVYGGFGGAHCMIKGGYDTVLHSLAKGLDIRLNHAVTEVLYGSEESGARFADGKRVKVSTSNGSEFAGDAVLITVPLGCLKAQTIKFFPSLPDWKLSSINRLGFGLLNKIVLEFPEVFWDDTVDYFGATAEETNLRGRCFMFWNLRKTVGAPVLVSLVAGKAAIDGQSISSADHVNNAMVVLRKLFRDASVPDPVASVVTNWGLDPFSRGAYSYVAVGASGRDYDILGRPVANCLFFAGEATCKEHPDTVGGAILSGLREAVRIIDLVNTGNDYVAEVEALQTYQMQSDSERNEVRDMSNRLEAYELSIALSKNSSDMICPIVSKESLLQEMFFSAKTTSGRLHLAKELLKLPADVLKSFAGSKEGLTTLNSWILDSLGKNATQLLRHCVRLLVIVSTDLVAVRLSGIGRTVKEKVCMHTSRDIRAIARQLVSIWIEVFRKEKASNGGLKLLRRIPSIESSKSKSKDLLSGKPSFHVPNETLDDHKVASQRQHGIPASSHSRPKANMKSENKDTKLETVTAIRALASVEADISVTRQLPKIPSFNTFARRDHHLDQSDARKKALKDNFGRLECISEVDSRNGKAKESSADNTNCADADSSKMTGDNCTQRSHSIEKACLTNIRDHSTDSCAVDSLFTRAWVDTDTICIDGVKDPLAIERWQAQAMEADKEFYSRICIPDEEDSNSQKQTCRSSASQVAESKPASEGHSRGVELKQGLVNFITSLLMPLYRGKKIDREGYKTIMRKAVNKIIETCTAGEKLMTSHEYLDAKRKSKVHILVLSYQSFILCLGLLTSGGPANVVELHRPEPQLSVLLGDVAERDALGRRELLHLHAVLVEPDADQARLLLVERDPVASPGVAPDVVRVPRQVGHELVLPGLVPLDPEPERLARRRVRHHHVRPVAADRHAVRVGQAGLEHGDVARARVVLEQPPRRVGVHHDLRVEAARVRGAAVGEVDVTGSLVHVQPVHEAERRAVGLGRQHLGLAAVRADLHQAHVRVGDVEVAGLGVELEAQRAAAHALRVRRRHLRRRAERDLPVVGAVSEGGPAEGAAAPLEDAAVGHAGVRTLTPAVEGQALGAGDALGQRQVEAPLRRRRLDRLGRANRRKQERQPDDEQKRSRQVTRHVVDRLRPWNDGLV >Et_3B_028468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15731365:15752017:-1 gene:Et_3B_028468 transcript:Et_3B_028468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAPLAQLRQSPSGRQQRVLSRAPTRAFTMRPDGFSGEDGGDGPQMEESELVPSSLAPIVPILRAANEIEEENPRVAYLCRFTAFEKAHTMDPNSSGRGVRQFKTYLLHRLEKDEQETKRKLASTDAREIQKFYEQYCRKYLEEGHDAKKPEEMARRYQIASVLYDVLKTVTPEKYHAEFDKYAKGLEKEKASFSQYNILPLNSAASSRQPVMEIPEIKAAVDLLRNLDGLPMPRMEVSQRSDGTIVPDDMDRPHDLLDWLWQSFGFQKGNVDNQKEHLILLLANIDMRRGGNVHHTERHLHVIHSTTVIYLMEKIFQNYNSWCRYLHLESNIRIPRDASTQQPELLYIGLYLLIWGEASNVRFMPECLCYIFHHMARDLYDIISDRRQDYFDPPFRREGSDDAFLQLVIQPIYNVMLKETIRSKRGTVSHSKWRNYDDLNEYFWSKKCFKKLGWPMNPASDFFADPTAPKNETEQHGHAVTRRRISKTNFVEVRTFLHLFRSFDRMWAFFILAFQAMAIIAWSPNKTLSSIFQPEVFKNVLTIFITAAFLNFLQATLELILNWKAWRSLECSQMIRYILKFIVAAAWLIILPVTYASSIQNPTGLVKFFSNWIGNLQNESIYNFAIAIYMLPNIFSALFFIFLPIRRALERSNSRIIRFLLWWNQPKLYVARGMYEDTCSLLKYTSFWILLLICKLTFSFYAEISPLIEPTKTIMFLGVGSYEWHEFFPSLQYNLGVVITVWAPVVMVYFMDTQIWYAIFSTICGGVNGAFSRLGEIRTLGMLRSRFEAIPIEFGKKLLPSHGSRYKGHEEDKNAHIDKFADTWNAFINSLREEDLLSNREKNLLIVPSSGSQTSVFQWPPFLLASKVPIALDMAKGVKKKDEELIKRIKQDPYTEYAVIECYETLLQILDSLIVEESDKKVVDRIHASVEDSIRRRSLVKEFRLDELPQLTAKFDKLLQLLKTDYDDSDPVKTQVANLLQDIMEIITQDIMKHGQGILKDEGSQKQLFANINLESLKDDEWREKCIRLQLLLTTKESAIYVPTNLEARRRITFFANSLFMNMPRAPPVRSMMSFSVLTPYFKEEVLFSPEDLYKKNEDGISILFYLRKIFPDEWKNFLERIEFKPKDEDALKERMFDICPWASYRGQTLTRTVRGMMYYRRALELQCIQDTSDLAKLDRDRTVTSYQESDAVREMAQAIADIKFTYVYGMQKISKDAKDKSCYLNILNLMIMNPSLRVSFIDEVEAPTGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGKPTEIGEGKPENQNHAIIFTRGEALQAIDMNQDNYIEEAFKMRNVLEEFESRKYGKSKPTILGLREHIFTGRVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMRGGNITHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLEKTILLDPRIQEKIKPLENALASQSVFQLGLLLVLPMIMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFAENYRMYSRSHFVKGLELLILLVVYLAYGHSYRSSKLYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWIGEQEHLRKTSIRALLLEILLSLRFLIYQYGIVYHLNISQGNRSIMIYGLSWVVMLLVLIVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVANLTISDCFASILGFLPTGWCILLIGQACSPLIRRTIIWDSIMELGRAYENMMGLILFLPIGFLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGESYHHPKIQMGQSLHCCPLDRLQGKIAPSLSGSIATQKLAIEDNKILAASTKM >Et_2B_019477.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6448934:6449146:1 gene:Et_2B_019477 transcript:Et_2B_019477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAKRRLLTGLIFYAWWNTWKERNRRTFDAVQRSSFQVATQTKEELETYLWATRQEQLHEAQQEVTNRD >Et_1B_013486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:933683:938161:1 gene:Et_1B_013486 transcript:Et_1B_013486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSREYEASIIPEWKAAFVDYKGLKKLIKRIKIARRDAGHLLPPPSPEQQLVGVGSGGGGYGFSVLDPVRALAARFAAKPELAHAASPSPEDDDGLESDSGELVRSQDKHEREFLEKADEELEKVNKFYASQESELLARGDALIEQLRILADVKRILAGRAANSRRSATMPPPSSRSPSINGSSGRHLLSGIASPQSMSDGSVEMQQARVAEGAAVADEVMAALERNGVWEELVNVLRKDGADPAAAFVHRKKVQHAEKNIRDAFLALYKGLDLLKKFSSLNVKAFTKILKKFVKVSEQQRDTDKFSKKVKESPFSSSDKVLQLADEVECIFLRHFAGNDRKVAMKYLKPQQPRNTHMITFLACSRAPSFHSHVAGIFSSSGNTAYMEIVYHVFSMFALISLHIFLYGCNLFMWKSTRINHNFIFDFSSSTALTHRDAFLMSASIMCTVVAALVINLFLRNAGATYANALPGALLLLSTGVLFCPFNIFYRSTRYCFMRVMRNIIFSPFYKVLMADFFMADQLTSQIPLLRHLEFTACYFMAGTFQTHAYSTCTSSPQYKHLAYVISFLPYYWRAMQCLRRYLEEGHDINQLANAGKYVSAMVAAAVRFKYAATPTPFWMWMVIISSSGATIYQLYWDFVKDWGFLNPKSKNLWLRDELILKNKSVYYVSMMLNLGLRLAWTESVMKLRISTTESRLLDFSLASLEIIRRGHWNFYRLEHEHLNNAGKFRAVKTVPLPFRELEID >Et_7B_055489.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:1235002:1235553:1 gene:Et_7B_055489 transcript:Et_7B_055489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDTAAVAAPRYRGVRKRPWGRFAAEIRDPAKRARVWLGTFDSAEAAARAYDVAARSLRGPLARTNFPVSHHLLPLKPRPLVAPAPAAAPTCSSSSTVESSSGPRGGQRPTTAAAPPRKRVALPRPAAAPDAGCHSDCASSASVVDDGDDASTVRSRASFDLNLPAPVDGDDLDLCTELRLCL >Et_5A_041521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2375315:2380975:1 gene:Et_5A_041521 transcript:Et_5A_041521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARKKRGAAAAAAAAAAAAQWKVGDLVLAKMKGFPPWPAMISEPHKWNLSPVKKKLLVYFYGTKEIAFCNYADLEAFTEEKKKTLLAKRHGKGADFVRAVKEIVEIYDCLNTENSNKSGLTKNNAKEDVENLVSNSTSLDTGGPEDGSDVANGNKREDRPTFCMDDSTVSTHGPSAITSNRCAVNSAHNEPIEKLQVHDELKHSPLRASPWSKNRQIEGHQQDFCTPCNNTSPRSSKTSSGSELKTMEDSSGLMNDTILPSVDLIPGDKQEDSARLNCIADDKTNSCSISAGKEVVLSHSNQGTCWQLGASGIPSDEKKTSIATERVQSTCSTELSQTGIRDKEVKLNEADDLPMSTTLTFKRKRRSKSNYTNGPVTIEITDMDGELQPKSNGNLVDSPNSSNEINKSDGDEHLPLVKRARVRMERPLLDNTEVDEPVDSYNRTELTKHADQCYKSGISGLHGKDHSTDELIPVIDPSPEIDMSMPSREDQIGYKNKEYQPKVSAFDGEAALPPSKRLHRALEAMSANVSETSTNLTEVTKSKDTILNGCTVARSPNNSSADTLVKSPKSTKSESPEVSFNAQHSGSPSAQQHIGLPIALNEEAVSTVTPVLENCVSDCLWKAKVSEEAHMDRVGEEAHMDRVGEEAHVDRVSEETHVDISK >Et_4B_038545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29743733:29745207:-1 gene:Et_4B_038545 transcript:Et_4B_038545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAVLFANSDGNILIERFHGVPAEERLHWRSFLVKLGSENLKGSKNEELHVASHKSVSIVYTMIGDVCLYIVGKDEYDELALAEVIFAVTSAVKDVCGKPPTERLFLDKYGRICLCLDEIVWKGLLENTEKDRVRRLIRLKPPVEP >Et_3B_028443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15490526:15490977:1 gene:Et_3B_028443 transcript:Et_3B_028443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTCHMITDKPSKRIQGATGFRRPCLPLKLHEVVDHNILRPCLAATAVHEQDGITRSIKESRVCDLERMKLVGSSKRRGGMGRALKEHKARLYIIRRCVVMLLRWDH >Et_1A_007825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38643961:38645439:1 gene:Et_1A_007825 transcript:Et_1A_007825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQNLVMKKAVKPSSFDLDIHFDQSWMEDATCPICLDYPHNAVLLRCTSYEKGCRPFICDTDQTRSNCLERFKVSSLTVAPVDSIHILSSNANNRPACPLCRGDVIGWFVIDEARFHLNQKKRCCEESCCSYVGNFHELQKHTKQKHPNSRPSEIDPARQIDWENLQQSSDIIDVLSTIHAHVPNGIVLGDYVIEYGDDEAGDDFEVFHRVRGNWWTSCLFCKAFCRSSGGIPDLDTDVRTPRINC >Et_5B_043782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16027544:16028230:1 gene:Et_5B_043782 transcript:Et_5B_043782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSWIQDVRDPRTVQVLLDFFKVLAITSQVELSTSPDQFRWKWTTDERNNRVHEFRALQPIALAQEIIDNAYLWASARFSKLRMLLVPRL >Et_5B_045592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5048090:5050358:-1 gene:Et_5B_045592 transcript:Et_5B_045592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTVSSRPSSLPSSPHRPAPRPGSLQRLLRPPDPDADDAPTPRSRSRALLQVTNITPALSGADPFSGHHGFYLRLSDSSRSCYVSLHADHDDLILANGLHIGQVIEVERLVPAVPVPVLRRFRVLPGRYPCVQQQDSGDDEVKEVVSERPRRPSPTPPLPERRARQPGSPAAMIGHYHRSLSATNLSDSGSPSAVAAAKRRESERRSVLDSPRSLRRISVPTVYGTSSDDDESDVSSSYSSLSSARRNWDFTGGFKDVRPVATRRRGNSISPSKSGGNPIPQQNDAVNDPLESVRRKAEKAFKVLSKRNAHASGKSPRESLCAAVMPQSASSSGIKWCENNVIWSSLSSSLVKHGKEAVKQRDIALQAALDGLLEASASEKLIKCLSKYSELQSDRDDDPKELIDRFLRFSQDLDHAIFIAQSQTRLRQGKACCSNSASSASVKAATKAVLDRKQSAISLIRAAIEADLSSLPGHTRVTPESAKASVVEAKPVSPLFCSKPKCNCNSRPSKKTADASTEGSNLNAALDLAIAMRSDCNRWFLKYIDKFLDDIESDTDYTTCDAQIVGFLQQLKKVDDWLNRVVRHERMFMIDRSNKDCIFLEEEESDACERVRRKIYSALLRHVQYAAMALESINSVTDGEKE >Et_1B_013141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5896629:5898506:1 gene:Et_1B_013141 transcript:Et_1B_013141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSSPSSSGNPNPEVLMEQIKTQLAQAYAQELLETVGSKCFEKCVTKPGSSLSGSESSCISRCVDRYIEATGIISRALFSPQR >Et_7A_052190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5422274:5423300:-1 gene:Et_7A_052190 transcript:Et_7A_052190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRWSRSPARREQSRQAFHDSKTQRATANAVGTKGTGATHPVPMQLDSLVPAPNAPPPPSSHPDPLLDFLATLCWEPLELYRPSCGYEVDLMLHEFSSLSSASIARPLSFSSAGMQQQLASPPHPPTSLCPACGTLVAWVVGPRWVNLRLKVSQLRSVLGQVSSSVRQAQWTSSPRKWRPWRLRHRVFVAPPPPAVPTPALRATGPRVRSVSAEPSRRSSRARFASTEPSRKSVGQAKLKSVVPVAHQATYRLVKELDFVGEPIGDKAMKKYSGSYKSPLPKKAMCAIRTITRLANGQLIKATEALAAEELAAQATAA >Et_1A_006973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29833568:29843230:1 gene:Et_1A_006973 transcript:Et_1A_006973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRISGLLLARLLTRPDMAKPFSSFMEWAHKMLLSVTDDFVDQFRSIGIVETLASIFKIGNRGVLYDAISATWNDCSVVMKTDVSTRNPLLRKFLVKLAQRVSLISLPPRSPSWRYKSASSLLGANLSSSTAGEGYSSGSREQVTIDQTGICFVEEDMDVPEIVEEIIDLLLTGLRDSDTIVRWSAAKGIGRITSRLTPALSEEVISSILQLFSPGEGDGSWHGGCLALAELARRGLLLPSSFHDVVPVIIKALHYDVRRGPHSIGSHVRDAAAYVCWAFGRAYTNNDMKYVLGQLAPHLLTVNCRRAASAAFQENVGRQGTFPHGIDIVNTTDYFALASRSNSYLSVAVSVAQYKEYLYPFAEELLCNKITHWEKGLRELAAQALSLIVQYDMDYFGGHILEKLIPCTLSSDLCTRHGATLAAGEVALKLYQLGFAFTIGMQKALSGIVPAIEKARLYRGKGGEIMRSAVSRFISCISMVGISLNEKTKKSLLETLNENLRHPNSQIQCAAIDALKHFIRTYLVSSGEKIANDIISKYMALLDDSNVAARRGAALALGILPYEFLILKWMPVMSKLCSSCTIEDKPDDPDAEARVNSVRGLISVCETLTASFDQSSKSGETIYEYIKDYVMRSLFRALDDYAVDNRGDVGSWVREAAMDALERCTFILCKGDSVASRTAPSGHESELNDMEVNSSGNTHQLFDSVIAQDLIAGIAKQAVEKIDKMREIAVKTMQRILYNQEQFIPFIPHRDLLEEIIPNSTDLEWAVPKVSYPRLVKLLQVSCYSKPVLAGLVISTGGLQESLKKASIAALVGYLQDFDINTSCEGKSREYLLSCDLLWVLDHYQRCDRVVTPTLKTIEALFSKKVFLKEGYSEFYSGLIDSVVSELKGSKDFTKLCAGLSLLGYISSQLDGTSIKAFSQLITFLGHRYPKIRKAAADQVYLVLLQNDDLIPSENMDRAQEILSDTCWEGDVQEARRRRAEFNEMAGFSVTTSKSVNQETGRKAGAQNAVSTDENTSYSSLVDFSGY >Et_9B_065748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10010191:10018253:-1 gene:Et_9B_065748 transcript:Et_9B_065748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGDDVDFYRTWKDDSPYLYDYGASYGFTFGKDKNVTITYTPNVPNYIAPVDVYATTRSMGTNATFNLITNLTWLLPVDAGFYYLVRLHFCEIEYPMAQINQRSFFIYINNQTAQEEMDVLVASGGIGTTVFRDYVTVTTGSGQMDLVVALHPDTLTRAEYHDAILNALEIFKLQSGDNIFAPLTAPLQPSTNVEQHETSGRRTSNGAACEAIGGAISGFVVLIIAFISVCIICQRKKKHLPKHGWNSLNMVSIGYVYIDLSIRWKVGPLMSSLYLVVVIMIVIIQWNWLLLILFFLFLIFHELFLRFFFFLKENGIFLLRGHLLNHHFLGHFTFWRGCRCWQLGGLTATATLTSGVLRTRHLKELKNKTNLAKP >Et_3B_030110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30603819:30605941:1 gene:Et_3B_030110 transcript:Et_3B_030110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAAATTAAASNYEVDIGNLMAYDPSHHLAAAPSNREAMREECLQKATELAQAVADVLFALPPVEARDGPLVRLPPPNTRLPREKHLPRPKPPTKWEQFAKMKGITKRKKNKREWDEQTQSWKRTYGYDRVNDDRDIPIIEAKATDEPGVDPFAQRKEEKKKRVEKQEKNRLENLKKAAKVGALPSHIQLAAKALPITGTKADLPKKSKKEDLENVAGMASTATASGGKFDEKLPGEKPLKHAGKHRKFLPVVEGKGIGNLEKQQYDKVLNSILAKNSEDQLDVGRAITMYKVKKEKQRSKDKDRHSKSSKLKPQKKGFKKSSKKNA >Et_8A_058289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4194934:4196277:-1 gene:Et_8A_058289 transcript:Et_8A_058289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSPRAQAGRLAHASPSTTEVFWRNVLKSAVVPDAVLRRLRPGTSSVSEGMAGTAKKIGRSPSGLDYDDYARSRTTAGKAAPYNYAYQAPSESNNEARDDDVPFNYSYKGTSDGGEVRDDDVPFNYSYKESVDVEAGKSSGATASDERVGGEENVGAPYNYSYKAPSGGTTARGGGGDKATTTTVFFHEESVRVGERLRFRFPAASPAPLGLLPRHVADAIPFSTPALPRVLALLNVAPGSSQAAAMAETLRTCEWPPLAGEAKFCASSLEALVERAMSTLGTRDVRPVTSALPRAGAPAQAYAVRAVRRVEDGGGSERSFVACHDEAYPYTVYRCHTTGPARAYVMEMEGDDGAAITVATVCHTDTSRWNPEHLSFKLLGTKPGGAPICHLMPYGHIIWAKNVKRSPA >Et_5B_045534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3836420:3838446:-1 gene:Et_5B_045534 transcript:Et_5B_045534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISQRLVNLIKDNSCRGAKWLLGNSSYSASRKPSAVGSRSESLLNLIVDNSFAGFKSLHLVDLRSQLFFNTATPLLTFGEGPESAVAQDTTSSYTQAADADDLMKLAEVAGLEWLQLPTPIFSFEATEEPDHYEINCIPLTDHKVVIMDHSRHTFLFDADMRHAGTMPNLHKAKEMPISLFVPSVDPDALNGGGSLYVMEKIPKPEVGGRALSDQFEAMVFKPAVTTTGLSADCRLLPPPPFVRDPAYHRYPYPRITAYAVIGSNICISVDQAGTYCLDMLRNTWDKVGEWTLPFYGKVEYVPELKLWFGLSMKDNHLAAADLSDMNSQPQLIVMDSSKELDPPEEWHATRDSQLVNLGSGRFCIARFFQTLSMDDDSIDESIDEVNQIFVFTGVEVIPPGHVGNSCGNGSGAGKRQPKRPLCPSFFRWE >Et_2A_014592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26404489:26405483:1 gene:Et_2A_014592 transcript:Et_2A_014592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFACQNPSQGCTLHHCGRRPCHRRYWFLRFAGRDDLAAPGAPARREIVAPEPKEAVELGLHGLEHSLRAVLVVREQLRVEGHQVGAVLGQARRHHTISTTALRWWMLHLQEAGGDVPGEYDLGDAIALAERHEVCVACRSGKCGSAIAETSSSTTWS >Et_10A_001895.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:1081590:1081928:1 gene:Et_10A_001895 transcript:Et_10A_001895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLIRRLSRVGDSCTSPSRRRGGCGGGGSGRKGVPEGHVPVYVGGAGAEEERFVVRAELLGAPALAELLGRAAQEYGYDHQGPLRIPCPAAVFRRALAAAGDGGWGDFEDE >Et_2A_015657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16669737:16682084:-1 gene:Et_2A_015657 transcript:Et_2A_015657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARRSASHLLTSFRPFSLLLQSHLADAPSPAAAAAAASARRAMSSASALRARDEKDTAKWRESMDKMRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWKGYQVNIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEIPRVAFINKLDRMGADPWKVLSQARSKLRHHNAAVQVPIGLEEEFEGLVDLVELKAYKFEGSSGQNVTGSDIPSNMQDLVMEKRRELIEVVSEVDDQLAEAFLNDEPIEANDLKAAIRRATVARKFIPVYMGSAFKNKGVQPLLDGVLDYLPCPMEVENYALDQNKSEEKVLLAGTPGEPLVALAFKLEEGRFGQLTYLRIYDGVIRKGDFIHNVNTGKKIKVPRLVRMHSNEMEDIQEAHAGQIVAVFGVDCASGDTFTDGTVKYTMTSMNVPEPVMSLAVSPISKDSGGQFSKALNRFQKEDPTFRVGLDPESGQTIISGMGELHLDIYVERIKREYKVDAKVGKPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVCGYIEPLPSGSDSKFEFENMIIGQAIPSNFIPAIEKGFREACNSGSLIGHPVENIRIVLTDGASHQVDSSELAFKLASIYAFRQCYVAARPVILEPVMKVELKFPTEFQGTGKGEFTMEYLEHNTVSQDVQMQLVNAYKATKGTE >Et_9A_062308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21793703:21796801:1 gene:Et_9A_062308 transcript:Et_9A_062308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALAAAKPIALLAAPTRGGFCCPRRLMPKVPAAAARLRVSASAGSSDVPDFLSANWLETRKRKPFGPRLNFSAEEAVEYQLESLKYNDQPRQDYGIEVMYRALIPLKGQHILDDSLIWDRVLLGHKEREILSSLWVEENRFKQRVWVQGSRPEEEEIFQFTMVQRVGGSWDGYWLTESLINDGDAFSGGIAY >Et_1A_008188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:581963:582934:-1 gene:Et_1A_008188 transcript:Et_1A_008188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLSNGAAYQSVPESYVFPVDKRPGNSTSYAAAIPLVDLAGDDRDKMVRQVIDAGREFGFFQIDVETLVLNYPGCNKKQVINHGVADERSSSGSPCTEEKMDFNKVPRFYTSIGNAQEKGALYWRDCLKLGCYPSGIKAALEAYTTAVRALAQRLLRLTAAGLGLEEGHFDGELSGGPVVMNVNHYVACPDPGLVTVLTNGRVGRRGAPAGRAGREPGASDGGGQQRRVEERGAPRGDHSSVGAPSSSNAEPEPAELGDALGAAAERQRPVQRQCHCPCRDGLGGVYARA >Et_9A_062400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22564948:22565264:1 gene:Et_9A_062400 transcript:Et_9A_062400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQNYLKLYTRHRLTPYTLEHKLKIKYCGHWRQGCEELMDEILINQITDSASMSEHPTENLQVFRSYKIRASPNWHETLDKKQHNNHPKITSLFY >Et_6A_047357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4422742:4424643:-1 gene:Et_6A_047357 transcript:Et_6A_047357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWMKLLNFLPNPACHQSNLNKLDFDQIHKSETERIWKVISCALIVWEKTKKWNHKDAIAIKELSDPKMVNAESAAENIYCIIQPIWGLGTDASPISSIKEVL >Et_10B_002941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14162377:14167372:-1 gene:Et_10B_002941 transcript:Et_10B_002941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETLIYLSRLDHEDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQIQLFEFMHEMHPGVQVSGAFAFSGLAKRHGFIVHVVELVGENEPFVSELLTNLGTTIDDLEPHQIHTFYESVGHMIQAELDNVKRDEYLKRLMSLPNQKWAEMIGQASQSIDVLKNQDVIRSVLNILQTNTSVASSLGPHFFPQISLIFLDTLIADKCTASLYQVQLLKGDLLPQGHPLSVKRESLKLIETFVDKAEDLPHMGKQFVPPMMDPVLGIMLEMCLTQGNLKCHSYPCILLISCLIWLFLSTVLFIFVSDSWECSNRYKSEMLEDMPRIFEAVFQCTRGFHINIAANHVLSYQMITKNFEDYPEHRLKLFSLLRAIIGTHCFWIQNQFYKTYFLTIEQEIFAVLTDTFHKPGTSFPNMTTAEVQMQPIVLCLHFLLHHQLSQILTCLLAQFVDGLLSSKHDLPSFKNHIRDFLVQSKEFSAQVTSSISLAFSIILLNMFEAERWSSTKYMIIKPWTKWAPYCQENIVLVALFANNLVPGVFQCIFFLMWLLLCFQDNKDLYAEEAAAQKERES >Et_8B_059358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17054161:17055873:1 gene:Et_8B_059358 transcript:Et_8B_059358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPGVLRRARAPPLPPRRHFSRLLDRYGFVAPASPTPAPREPSNPDAAGKKRRVKKPPYRPPSSLDRGGRPPARSDLPFDFRFSYTESSPGSKPIGLREPKYSPFGPGRLDRPWTGLCAPAGLQEARRRERERLLGEPLTPAERAFLVDKCQKNRTKRQINLGRDGLTHNMLNDIHNNWKCCEAVRIKCLGVPTVDMENVCHQLEDKSGGLIIHRQGGLLILYRGRHYNPKKRPVIPLMLWKPAEPIYPRLIKTTIEGLTVEETKQMRKKGLHVPVLTKLAKNGYYASLVPMVRDAFLTDELVRIDCKGLPKSDYRKIGVKLRDLVPCILVSFDKEQIIVWRGNGYDESLQDQTQKSLSSVIDSDGAAVKNENGEQEQTPSDWSSDECSEISSSDEVPDDKSVISDLD >Et_7B_055574.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:1526529:1526981:1 gene:Et_7B_055574 transcript:Et_7B_055574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKCSSKIRSIVWLRQTLRRWRSRAAARAAVAAGESVVPAGHVAVCVGGASRRFVVRAAHLNHPVFRELLRQAEEEYGFPSGACAGPIALPCDEGLFEHVLRHLSSPSKASRFVTLEDIKSGALSCCCAAAGEALPLLRHGISADKAVW >Et_2A_015519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14453484:14453763:-1 gene:Et_2A_015519 transcript:Et_2A_015519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIATSEKERSPGRFDRNNTEITETGPEQLENCPSSATLIFLRVFAREPSNYMKHIARSEKERSPGRFDQNNTEITEKGPEQLENRPV >Et_1A_008946.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:20164988:20165944:-1 gene:Et_1A_008946 transcript:Et_1A_008946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDSVVEYDFPPFLRQFKSGRVERYCGVGGGGTVPAGADPAGTGVSSRDAVINPSTGLWARLFLPRGDVRLPVVVYYHGGAFVVGSTAWRTMHVYLTRLAADANVLVVSPEYRLAPEHPLPAAFDDAWEALQWVASHAAAAAATTSKDRDPWLAEHGDLSRVFLGGASAGATIAHNMAARAGAVRHQLPSFEGLLVVHPFFAGEARISGAEPEDRAKAGAFWRFRCPGTPGLDDPLCNPFAEWRGVACGRVLVCVAGEDALRDRGVWYARGLAASGYPGEVALHESAGVGHVFYYADPDCEQARAMHARVLDFLRHA >Et_7B_053199.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:10143869:10144288:1 gene:Et_7B_053199 transcript:Et_7B_053199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFTATEMPPSMSRLCPLMYRASSLARKSTAAAMSSGRLRPPPTPRSTSFASTALLKPPRPGRDTPKASGVATPAGETQFTRIPCRPSSAARLCVRPTSACFDHVYA >Et_1A_005624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12135446:12139787:1 gene:Et_1A_005624 transcript:Et_1A_005624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASLSLHLRLRPPLPPPRRAPRYAPFLLPSPSPTLQRLRFASCRPSPSWRPDLRARAGGTIQAPTLPRPGGAIETDRLPSDVRDRAMEAVDHFGGRVTIGDVASRAGLKLAEAERALQALAADTEGFLEVSEEGEVLYVFPKDYRSKLAGKSFRMRVEPAVQKAKEVGAYLIRVSFGTALVASIVLVYTTIIAILSSSSDDDNRGRRRRSYGSTIFIPTDIFWYMDADYYRRRRVEKEDGMNFIESVFSFVFGDGDPNDGLEEKRWKMIGQYISSNGGVVTAEELAPFLDDDESFVLPVLLRFQGHPEVDEQGNILYRFPSLQRTASSKGGGSREYVGTRWSAMFSGIEKYLEEKPWKFSKANAAEKAMVAGLGGLNLFGVIILGNLLKQMTVTPGGLISFAAQLYPLLQIYAGSFFAIPLFRWFLLRKTNNDITRRNKAREQRAQELVSPDSSLRRKLHSARDMAQRKVITPEEIVYTTEKDLLDQDYEVKEWERRFKELESE >Et_1A_005340.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:671614:672444:-1 gene:Et_1A_005340 transcript:Et_1A_005340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGFARHGFGDKAISLFHEMRSLGIHPDEITLVGVLCACSHSGLVRQGFQIFNSMEDKYGIKPEIQHYACIADLLGRVGWIDDAYRFIQNMPFKANLVVWSSLLRACRFHGNDKIRKIAEKQLCEIDNTYKPEKLSLSDLFSHENRKEPAARMGKVIKHKSEHTHTQLSDKELREFEITCKPDRLSLSDLFSDEKRKRPATSMGEAINDKSEHECTQLAEKVGFDVSCKPENFTLYHLFSDKKEKSAKGRKAIKHKSEHSRTQLAEKQLLELLQA >Et_10A_001860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9265966:9268319:1 gene:Et_10A_001860 transcript:Et_10A_001860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERYGIKIVEHGENSSSKYIGRRLYMSEFSSYLNEDDGMVGHDDEAQRLTYFLNEGCLDRAIVSIWGMGGSGKITLASTIYKKQDVRKSFDCHLWISVSPNYNVEDILSRIMRQLHGYSDEARTNYPRDMMAWIQNYLENKKYLIMICGIESVGYFFDHAFAKNKLGSRVIITTRTEGVASLSQDNNIIRLGFLLEEQSWQLFSKKAFSKLSEATCPENLVHWAQKILEKCQGLPLAIVAIGSLLSYHRGPETTAEEVAEDYLKELIQRSLIQVMERNEFGRPKRFQLHDLVREITLTISRKERFSLICNHPDVTNLVDDARRVSVHSGGQVFEAGSGSQQLRSFLLFDKHVSVMWIRTASSSFRLLRVLCLRYSLLEVVPDAVVGLFNLYYLDMSRTNVKKIPKSVAKLKNLQTLHLRFARVMKLPRDVTMLTSLRHLSVSNDLFGTSIPGNICGLKSLQTLREVKASKYLVQNLGYLTQLKSLGITGVLTSYNEDLWVSLRKMTILNKLAVATRDEDEVLNLEKLEPLRNLEKFYLTGKLAEGKLCSLSGGFQKLKLLSMRWSGISQDPLSSLSQMLNLVYLNLYCAYNGEKLSFCSGWFPKLKHLYLGKLENLKSMEIDDGAMKNLAFLELRELWNFRSVPEGLAYLRSLQHLYARNMPGDFVEKLEGDGRSFVQHVTNIE >Et_3A_025218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2826040:2829418:1 gene:Et_3A_025218 transcript:Et_3A_025218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLAAAATGPTSSSPLTLDPIPLASRPHAAAATTAAPRKRSVLLLDHRAHPASPTPVVSSAAAAAAAAAAAPQARRKRSHSSSSRPRWQTALSVAAKNAALLAVLLYLGDLAWRWSHPPPPPPPGGREGYEARVAEVEASLARSFRMMQLQLEALDRKIDGEVGAARGELVALLEEKRAALEGHLARLGARADELGDALDGLKRVEFLRKDDFDKFWEEVKDTLGSESGSEVDLNQVRALARDIAMKEIERHAADGIGRVDYAVGSAGGRVVHHSDVYEPKRGGFLYSFWGGGKADAQKMLQPSFGEPGQCFPMQGSSGFVEIKLKSAIIPEAITLEHVSKDVAYDRSTAPKDCRVSGWYEETPGETRSSDAAKMAVLSEFIYDLEKNNVQTFDVTAPDVGIINMVRLDFTSNHGNSLLTCVYRLRVHGHEPVSPRSLGSQA >Et_8B_060414.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:14018114:14018659:-1 gene:Et_8B_060414 transcript:Et_8B_060414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTPRMLHLLLALVMRSGQSTSSLASNATDDFLGCLAAGIPSRLIQTPATPSYSSTLLASARNLRYVTPGTVRPVVVIAVTEAGHVQTTVRCGRRHDYEGLSYAGVNRHEPFAVLDLGLSSDNVLDAVVVHPDGRLLNRRTMGEDLFWALRGAAARASASSCPGRCACRRRSPCSPSAAQ >Et_1B_010417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10380694:10385763:1 gene:Et_1B_010417 transcript:Et_1B_010417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWWCLRRRSRSRTKRKDNEPAPAAAFSPRHSVDAHPTAGSSAWASPSVQRERPRASSLESPPAWRGADIAGRGTRCCGGSEGAVLGQGFPLGALAGGGGGARRRRVPLSVRVKRKLVGVRRRGGPQCDCDDQEWGVRQSAITVTLCFLIFTNRWAISRCADPVIYPGARTIPHTHKRVADETPLISSSEHHKFPELTIANETEVNLQSFGASTSGPSSRGRMLHEDTRGPRTRSLSPRPKGNVFASSYASPGDFGFGQWSTVKRMDDLKSRSQPLPLPPAPTAWFPVPSSPNSSRQSQSQWKKGKLLGSGTFGQVYLGFNRESGQLCAAKEVQVILDDSNSKERLRQLNQEIDMLRQLSHRNIVQYYGSELITSFVEIRSFRGSPYWMAPEVIMNKKGYSLEVDIWSLGCTVIEMGTGRHPWHQYEDVPAMFKIAYSKDIPDVPESFSNEGKDFLSLCLKRDPAQRPSATQLLGHPFVQNYSAIRATKCNIAQLRNGLSSQQSHARKREPLSKRSIAPLWDIKGQSARDFTGFSTAHPSPSGKASSSRIDARKNMSLPVSPCSSPLRQFKQSNWSCLPSPSHPVLSSGLATYNRVSYMQTPITQSTAVHDDPWHDMIQRAQSPYGSPKRS >Et_7A_052762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15730203:15731417:1 gene:Et_7A_052762 transcript:Et_7A_052762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMAVHPATTPALTARPCVSAPRPSTSLAAVSSSCTRIASFKSRRLSLRSFRSLAAAAAAADAVPAEDSLGGDVEEVVEEATEEYKVTVPEKQDPMLVLKFIWMEKNIGIALDQLVPGCGSIPLSPYYFWPRKDAWEELRAKLEEKEWISQKQMIILLNQATDIINLWQQGGGSLST >Et_6B_048537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10491211:10506404:-1 gene:Et_6B_048537 transcript:Et_6B_048537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RFRELAAHQAVLSRVSASAVSVRHEQVVEAGAPASQLRLTVAFRAVDGFYRLPDDLVIAGVAACHADLAATAFTLQEVSGASGAPGRALVSVGGRRRRAREQPFLRRCAAAGNLHACYFLGMVKFYCFVARAAGAAASGRGHLPVLRELGHRLNDWYGACPDTAAGRHILLLVPRWRCIAGEVAEDNTASRFMVEWWALTGKEASAAGTGGGGGGASETDLRLCSQVRSGGERQGSMSSGGAPCAALPYTARAHARLDWKHRHRGLCAARLFTAKPDKDPRGGVVLCALAAWLGHLPALRELGHCLGRRRRRPHPAPVRPRAARTARDAAARVPALLGVKFYCFGGRASGAALLSRAAAGKHAAALYALAVVRLNGSGGGKAGEDPRAGVLRALRARRVAGPPQRFECAAVRRLAVR >Et_7B_055213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8382712:8384771:1 gene:Et_7B_055213 transcript:Et_7B_055213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQLSWGRQEVDGWRKGPWTTQEDKLLVEHVRQHGEGRWNSVSKLTGLKRSGKSCRLRWVNYLRPDLKRGKITPQEETIIVQLHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRREMQSQQQQFQLVGKDEDKHDATRVDGTVGDEEDRGSAVVDDNACAAPTPTTTAAAAAESGGHREEDLIVMHDAAMDFMFPMSCALLLHGAGGQGGAGAGSCCGSTSEEYGSSEVEDGATWGSLWNLEDVAVDGGACTLLW >Et_8B_058806.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:7073012:7073215:-1 gene:Et_8B_058806 transcript:Et_8B_058806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGIQDGQHLPTATSSQAGRSSAGRTTSEKATSAPSTSLTGLYGMLSSGPANPGGLLILLQKHLRC >Et_9A_063105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10326288:10327963:1 gene:Et_9A_063105 transcript:Et_9A_063105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVCVNLDREDGLETVLEVPVPELHSEGGSGRRRAARRHRTVKAWVRSHMEQRHRRDGAPPSRADVQLMLGVIGAPLVPQPVEPRKAMAGQDIKEEPLEVSKAKYIVEQYVAAAGGEAALSAAESMYAGGKVRMRTTKGQKAAKTGMGVVGGGGEVAGGFVVWQKRRPEPQWCVEMVVAGGTKMSAGSDGKVAWRQTPWQQAHASRGPPRPLRRCVQGLDPKSTADLFATASWVGEKCVDGDDCFVLRVDADRAALRARSGADVEVVRHAVWGYFSQRTGLLVRLEDSHLLRIRVKGCDGDGAAPETAYWETSMESSIADYRAVDGVNVAHAGRTTVSLSRFGAGAEDDADADEVNLDDAARGKRATACTCMEETWSIEEVDFNIVGLSKECFLPPRDMVPCDTSKPAPAPAPAHPKKKDAAAVDQAKSGKNGDGVVRPAAAAKKAIVVPAATGLGWFGPAKVVAVETVDANDE >Et_7A_050310.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:23910746:23912403:1 gene:Et_7A_050310 transcript:Et_7A_050310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVTKSLPFLVRPEEATPGGALLLTAMDSAVASLPMSALFIFNRPMDQAAETIRRALSSRALIPYYPVAGRIAASTHGLTIECTGEGVAFVAALASCTLQDAMLTDPRPVVRVDELTPTYAEQEQHTKDPPLLLMQVTEFSCGGFVVGVTWDHVVADGVGMAQFLQAVGEFARGSSSPSVAPVRLDYCPPDLPPPIISMTKALVSSPHTEFPSSYITVPMSFINRVKSEFRGHKDGDHKAPCSAFEVFVAAIWKCRARATMSGDQADNNIAPTALVFTANVRKQANAKDGYYGNLFTFGLAVATRAEVANADILDIVRLVREAKARVPYTFVDGAAYIGGEMGGRLRGLSGYDTLYITSWWNLGFDDVDFGAGGPVRVMGDMERKVVPACILCGRKDKADGVAAMALCVREEHQEAFHSELRKLQ >Et_8B_059192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15008923:15014607:-1 gene:Et_8B_059192 transcript:Et_8B_059192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDPSAATAEDASFSPAPTPSKGSLHEALAALSQAFESGDISASDDAAAAVSGVLDAAAAAAAEAADGGDADDAPRSDAAAMVSEELLREVHAFVSSPSSNQMAIDALSLELLKPVAKLGALVGSCRDVATSIIKFFVTNCSPRDMLSIFCEALDIPVELPDGPAYFVLLLNGLGEVLALIQRRHIEQVKVALPAVLKVLHATVSECDEEHGKASVDIFNASLGIGNAIQKMCKAMVDKNKEDFCAVLGLYSLQNIALISQMRQRDLISACGSIVVQYFQFLKFCGFNYVGILTGTDATAATTKLSKEDDADFMELFSFAMDGATLSVVWTYMYDDMSKYAGAELELALKEIQENQMKKWDAINMLRYVLSSMRYPWIVKSHSINLLLTLSVEKHIEEINDDVDFTSYAPRTFATLKVISMVPSSQRFDILHALINNSMSHSLTAILLDLVREEVLRQSRQPDKDCADGLNNGKSPPWASHALDLVELILRPPEGGPPCLPDQSEQVLSALNLLRFILIIDSKGSRLGKFLCKATLQKVHSEWLIPLRPIVAEIQSESEKDGSEIADQIMCSLNPVQLVLYRCIELVEEKMKDC >Et_1A_007108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3097766:3100764:1 gene:Et_1A_007108 transcript:Et_1A_007108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAISQEAFDAMVRENMEDLGMDPDEALADAVEALTLQGADLSGIIKRVPGEAAEAEVSPVVRVLDELKASSSAGGGSGEDLDRLVSLLDELRSLCCSGVGSENAAIAVRNGGVEALVALCGSARVEDERVLASGLKALSSMIRDIGSTEKFRQSEGPKIVMDILKGGSKNPDLLDAGFSVVAAGSAGNEVVKESFMDMKVDELILQLMREKSNSNVQSLYEAVRVLLTPDDCRVVASQVYGYSRKFAEIGIAEVLVSALRDQVTPSILPSACAALKAISVNDEICRSISDNGGIDVLLQCIDEAGEQKNKVIAKSCCSLLSKLAASDANKSVILQRGGFDKFLKLASVFSEDASIIQEVMSMMTVLTLRSPDNAARAMEVGYGTLAIQAMQRFPSSGQTQKQACLMIRNLVVRNPENRTILLKDGAEKLIRKSKMTHASCQDAATAALRDLGLDNYNA >Et_6B_048987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16456487:16458536:1 gene:Et_6B_048987 transcript:Et_6B_048987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCGDAPPPPPPSPRQPGTSSSAACGPTRSRRQQQCRGGSGRRCGGAKSARGRTPRRQSAAGVRQCCRVVTRWRPAAGLTEQETPAQATNPATHDDRCGGAGSRPDMLLSDEALKKRPYEANGGVADDRPARSPPPEEEMEAFFAAAELAKRRRFAETYNYDVVLDRPLEGRFEWAPMSTFRLGPHACLICTFFTVKSEK >Et_10B_002583.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17064852:17064986:1 gene:Et_10B_002583 transcript:Et_10B_002583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSLPLLCCAAAAVRCRQSRARKRRPETIDASDRTRLLEQYL >Et_5B_045037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8746403:8749002:1 gene:Et_5B_045037 transcript:Et_5B_045037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQDPSSSPSAAGGCGARPKRTSSAPIRPADYAHSPAHHCVALRDAAGLAAILAGLPPLAHPSRVLTAADATREARLAASVSAALDRRDVPGGDTALHLAVRLRLPSLASALAAAGADPTLQNHAGWTPLQEALCLGCKDIAACLLRAHRFAAWAKLRRRAPALSAALRRVQDFYLEVDFHFESSVVPLLSRAAPSDTYRIWKRGSNLRADTTLAGFDGLRIRRADHSFLFFGEEANAGGRRLPPGSLLVLHRGRREVHDAFAAAAAAGDEDAATSDAAAYRPGLNISSARLVPRTTWLRKEKTENVGEWKARVFDVHNVVFSFRTLKAANAGRKDFTFEFVGEEEEDDDEFLPLEIRDDDEDGDFLVADIPPPTARRSCYVPGRRSVAGPPSHMGTPQRRRNSVEVPRRLPACASVGRGEDGIFGRHAGSTGGAKWKEEETVKTLRPAVWLTEDFPLTVDEFLPLLDILASRVRAVRRLRELLTTKFPPGTFPVKVAIPVVPTVRVVITFTKFVPLIEPEEFFTPMSSPSLLASPGPGSIMAKSDTHKSSYLKWTSKNSKSKSVTLSQVADNTDPFTIPSDYTWVNSLGSKSQDKKSSKSKKGKGKET >Et_3B_030897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8471158:8475192:-1 gene:Et_3B_030897 transcript:Et_3B_030897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHAVSPLTGGLLRRGVPLHQRRRLLAVAATASEASAPTPTPSQPPPSPASPRKGYFPKRNETIELTCEGLAFKGKGVCKVAGSTFVLLCDGALPGERLLARVRRLRRGAFAEAAKLKTLEPHHDAVDAPCPLAADCGGCKSQSLAYAAQIRHKHLQVRDLLVNFGKFDPKQLESAEQDAILKPIVPCDEIFRYRNKMEFSFGTKRWVAKELMKEKEDEEGEGVKQETAEHDGYALGLHAPGFFDKVLHVQKCFLQSEPADQVLAVVQESWMDPALCLTPYDVHKHVGFLKHLMIRTGRNVDTGAPEVMVNFVTSRYEPELLMPLVNRITRIPEVVSVINNVNTSVGNTSVGEQEYTLYGKPFITEMLRGLRFQISANSFFQTNTKQADVLYKLIEDSAGLKGDGSENVLDLFCGTGTIGLTLAKRAKHVYGYEVVPEAIADARKNAKLNGISNATFVQGDLNKISETFGKEFPKPDIIISDPNRPGMHMKLIKWLLEVKAPRIVYVSCNPATCARDLDYLCHGVEEKDLQGCYELKHVIPVDMFPHTPHIECVCLLELR >Et_8B_060632.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3711698:3712135:-1 gene:Et_8B_060632 transcript:Et_8B_060632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSRKRAREGDDEAESSAVAAGRRPQAAADVVAETAPANGVGAEEDEAWQRPPPGVFELPWQKHRGGLGLLPGGAHGWELRDVFFRSLVDGGSATIGMPGDRLHSPTSGERALFDGVDAWLADADDGEVDPLWRSVLEGPKPAA >Et_3A_023164.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33749418:33750177:1 gene:Et_3A_023164 transcript:Et_3A_023164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PGCDVVTAVAAFARRRGIGVSVLCGRGAVAAVTLRLPTSPTTASTVTLHGRFEVLALSGTVMLPSSEAGAPPVTMQPPPFSVSLAGTGGQVIGGTLAGEMKAADGMVVVAATFGTAEVHRLPAADEEDAGVLGGPNGEGKQHHQLPMMVAAGAGGVGGDGFTDLGGGGNGGHVGHYQPQQPEMVFWAQPSSTRGQDQPPTHF >Et_5B_043070.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20406060:20406566:1 gene:Et_5B_043070 transcript:Et_5B_043070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINIDIYSAIKNTHFIVIPFSLHFNQQDVLDAHNVARGAVGVGKVDWDNKVAAFAQSYARQRQGDCKLKHSDKSDRPNYRENISRSTNKNFSVSAAVGRWAKEKQYYHHDSNSCSAPAGKSCGHYTQVVWRKSTAIGCARVVCDNNRGVFVTCNYKPPGNYRGQSPY >Et_7B_055417.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:10113889:10114191:-1 gene:Et_7B_055417 transcript:Et_7B_055417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANNMLFSYSTSLLPVSTSSLLLSTQLAFTLLLAAAIVRHPLTFVNLNAIMLLYRKAAPSGFVHAVEAQAGMQRATTIVTATGGLHSEVARWKGSPALF >Et_9B_064027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10197668:10205636:1 gene:Et_9B_064027 transcript:Et_9B_064027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPPLAALSKTAAAATRRAVTAAASVSARRLLHPVPSLSPKPAATSCDILRRCARHMPQEDRAPRVPMPLQGRSFAVAVGAGDVGGEAPELVVVSFYRFADFPDHASFRQPLKELCEELRVSGGIILAPEGINGSICGTPVAVEKVLNFIQEDNRLKGLRMIQQPVTPEDEAIHHGHSSHSPVGAGEDAPFRWDHVRVKLKKEIVTFGDPDVRPTKMVGEYVKPKEWNKLISDPDTVVIDVRNMYEIRIGKFKRAVDPCTNSFREFPTWVDEQFQLADSETQKSGISSYNGDDKAAEDCNTSNSKQLPRVAMYCTGGIRCEKASSFLLGKGFKEVYHLEGGILKYLEEIPKAESLWEGECFVFDKRVSVEHGLAQGTHKLCYGCKQPVSDEDMESPEWEYGVTCPYCFAIKSEEEKERARARQRQFQTWGVVGGPDKGRSPKRIDANRAVDSKQLPNSI >Et_1A_007895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39283099:39286113:-1 gene:Et_1A_007895 transcript:Et_1A_007895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVLLIMQLASHGTQAEETMQFVSNCDHAEVSDSQPIVSELAEKPTEHLASCEIKPVSVDDDNENTDANEETHLVIQDVPQCRICLDNEGDDLIAPCRCKGTQKHVHRSCLDNWRSTKEGFAFSHCTECRAAFMLRANVPPDRWWLRLKFQLLVVRDHTLIFFIVQLVVAFLGMVVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRISERHYHILAKQELTKEYIVEDLEGADQVPDLDPSHVTELKILGLY >Et_10A_002081.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21622951:21623595:-1 gene:Et_10A_002081 transcript:Et_10A_002081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEMAALRERMLPSGFRFNPTPQEAVTYTLPRLIAGEPLHPAVRPYIHDTDIYACEPGVLAAQFQPTPRTGDRFFFTSCKRQPQKAGKSTRAVRAGGPGSWHSQGNSADVKDGSGVKIGEVKKLRYKKGGKFTDWLMDEFSCCSEDAVVGDRQRVLCKMYVSPRAAPDSAARQEDAAAAAAVFAPPAPEKPVAAHKRPAPSIAEQPCPQTAAT >Et_4A_034224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3142423:3147289:1 gene:Et_4A_034224 transcript:Et_4A_034224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGIIRVSFSKECTKFKLDMTQAWWWVCISRTFHDQALYMMDCPNPKKQKHGNEHASSQGAQSQSSIFNHNRHVRLEFLEQSNGLKYGSVTKDVEEINTKRRQVIGILEKLQQAPIRLPYASPVLRPSYTTGQSKNNYILENIIDLDPIEDNADVGNIGADATVVVLDTGEEDGVKSFGDENSSSKQNAIYIQQQLLPEPPVKHQDIVIPDNCDSSTEPQVVATQGKNNKDVDNTSDEIAVYDSHSTLEQVPLIKQGHGNKNADNNEDHHEEKGKVERAIQKDDADSYEVSCEVIPNEPQMNENNNYDKGCLVDELEDIWRDMSVALACSKTIGINQSIVPFDENSCGKIEEACNHDFLMKDDLGIVCRVCGLIQQRIENIFEYSWKKRKLSYRPNLSEHRNSSDPDATGDPLGSILHVAPDVLSIHPRHSQQMKPHQVEGFNFLVENLADESNPGGCILAHAPGSGKTFLLISFIQSFLGRYPAGRPLIMLPKSVLGNWKKEFLCWQVEDIPLYDFYSSKANSRSEQLKVLKLWEENRSILLLGYQQFAHIISDYSSESEAIMCKEKLLKIPSLVILDEGHTSRNEQTDLLNALETIQTPRKVVLSGTLFQNHVGEVFNILNLVRPKFLKMQKSCAIVKRILTKVDMFGKSVRSKNISEKVFFDLIEENFQKDSNYKTRVMIIQNLRELTENVLHYYPGENLKELPGLVDFTVLLNMSTKQDDIIKSLVGTNKFEAHAKCNAVSLHPCLKDVKNVDKKK >Et_1A_008045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40348043:40350709:-1 gene:Et_1A_008045 transcript:Et_1A_008045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDRLLDDDPFFPFPSSCPFFSSSSTSSSYLIDDHPFFPTARPACPLGFTSPIDTFDLLLPHQHRLIAPPPFDPFLLDSLSHRVSALERALAPPAPRRKYTYATEADGRKVKWTAEEKPHGGRNLKWEAEVKTPLDDGFDRKWKWESKASAAGTTKVKWAKEIKGKGCLHPWSHAYSVEEDYGDHDSDDEEHDKKKKVKEEKQCSANNNKKKKSSAVEIVEIDDNTAGCVAIKKRFAEKIIVLLLTVDALEGPDYMVRNAKRSMLEELEGMLEIVDPQPPGKPRTLSRRKFDLPEGGAVPKEMRNGVNNVIRIVEEGK >Et_2B_022194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12426949:12428634:-1 gene:Et_2B_022194 transcript:Et_2B_022194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATTSTAVRPWQDLPPDVVREISSRLHTAIDLVRFHAVCKPWRSSFDPASRHPPSLLPWLLAPSDKHCRCVFSGTSYRAPLRIRAREDGRVACADGTAAWLVRGKDEDWLVNPLTAARLPGVSLGDSRRTCKWLDRTHRVVSGDGTILVHGGFAPYTHFPGQYALPGLILKPGHQEWRSVELYTGSARHCAAAYHDNVAVYLTGHHCHVIQPFQFREEVPLPSDPDGKFCWRRYLVEFLAGELLLVISVLRDTAPVNGRVMSVSLHALDLIKAGQQEAYVIRDCDAASLLRDHVLFLGFPSSFAVEASRFGGEVSGGTAYFVAEPRVGRCNMYRHDFHVGRTTVVQNLPRPWGSERCMWFLPQPDIAPIVTRPRRLTIYAGDLPPNVNNDSRLREMFNKYGKVASTRIAYDKKRRSRGFGFVTMATQEGFDRAIAAHAAVEPEQGTADDGKSVLIPALAFKEATASLALTSKKVTAKYNHR >Et_8A_056163.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:10423960:10424205:1 gene:Et_8A_056163 transcript:Et_8A_056163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINLNNPSEDRSFLCFVIEEAKMISKSLPDVKFLAVRREQNSIAHELAQPAKRTAHTAVWRGQIPSCIEQMIAQECNSNI >Et_5B_044746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5360911:5372671:1 gene:Et_5B_044746 transcript:Et_5B_044746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTHVEARKEASTMDSAALPEAVEPKKKKGNVKYAFTCAIIASMASIILGYDIGVMSGASLYIKKDLKITDVQLEVLMGILNFYSLIGSFAAGRTSDWIGRRFTVVAAAGFFFAGALLMGLAGGYATLMAGRFVAGVGVGYGLMIAPVYTAEVSPASARGFLTSFSEVFINVGILLGYVSNYAFARLPLHLGWRVMLGIGAAPSVLLALMVFAMPESPRWLVMKGRLADARAVLDKVAGTPAEAAERLADIKAAAGVPDDLEGDVVAVPRKRNREEKQVWKELIFSPSPAVRRVLLAAIGLQFFQQACGVDSVVLYSPRVFQSAGITDDNQLLATTCAVGVTKTLIVLLAAYLLDRVGRRPLMLCSSGGMAVSLVGLGTGLTVVGRHPVGAGGDVPSWAVGLCVASTLAFVSFFSVGLGPMTAVYTSEIFPLRVRALGYAVGVACNRVTSGTVSMTFLSLSSAITIGGSFFLYAGIVALSWVFFFTCLPETRGRTLEEMGELFGMKDAGIMPAEDADGSSSRLLASTQLYLCKQSTEKEALTMASSDLPATVEPKKNGNFKFAFTCAIFASMACIILGYDIGVMSGAAIYIKKDLKITDAQLEVLMGILNLYSLIGSFAAGRTSDWIGRRFTVVFAAAIFFTGALVMGLAVNYAMLMAGRFVAGVGVGYAVMIAPVYTAEISPASARGFLTSFPEVFINFGILLGYVSNFAFARLPLHLGWRVMLGIGAAPSVLLAFMVFVMPESPRWLVMKGRLADARAVLERTTDTPEEAARRLADIKAAAGIPGDLDGDVVTVPKKQSSEEMQVWKELVLSPTPAMRRILFSVLGLHFFQQASGIDSVVLYSPRVFKTAGITDDNQLLGATCAVGVTKTLTILIATFLLDRVGRRPLALASTAGMVVSLVGLGTGLTVVGHHPDARVTWAVALCIASILAFVSFFSIGLGPIAGVYTSEIFPLRVRALGFAVGVACNRVTSGVVSMTFLSLSKAITIGGSFYLYAGIAALAWVFFFTCLPETRGRTLESMGKLFGMEDTDRVAEEAEDQAATKEKAVEMPANY >Et_1B_009690.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:15385074:15385664:1 gene:Et_1B_009690 transcript:Et_1B_009690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYKLLAVGLVFLLNIGLFKARELPWEHPSTRSDGQGGGGGAGGGSTNGYGSGSGSGYGAAGARNTPGGPYGTGNTLAGGNGGGGGDGWNGGHGAGSGSGAGNSGTVGFYGGGFTSGEGTGGGGGEGGGPQNGGGSGSGSGGGSGSGNVYSPGGHASVDGGGFGHGAAGGHDGGYASGYGGASGSGTGEGRGWYP >Et_3A_023535.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33269360:33269674:1 gene:Et_3A_023535 transcript:Et_3A_023535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVARVASQRAVVIFAASNCFMCHAVKTLFSELGVSWTVYELDKDPKGKEIERALARMVSRNPPVPAVFIGGKLVGPTDQVMSLHLAGKLVPLLREAGALWL >Et_5A_040566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:63905:66710:-1 gene:Et_5A_040566 transcript:Et_5A_040566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADAFLVLEFVAGNSRIPHAVFAALLASLPPPSPRNSPRLRKALALRALDAVLHAEDTASTSLLLLLSKAREVLSDPDIAACFPQLLPFSLSGDKDEEEVTVAAALADLKRILDHEWANLPPSTLELAADRIAGAGAPETWARADGSKRRKLRMLVGNSIEREILVKLEQDAHASHPSVVPEVDQSANAPDTISAIGTYDVQEDGEAVPLKENNEAGCAQEAHPRHQQESIKGAINGKVRDTAQAVDKTTTCQITGQSAPDDPEMHRVASSKRSLMERDPSASTYEWDGLGHSDDERPLGHRQLPPFERRLKPSMAVSQKTKKKWSEVQEKTLLEGVEKYGKGNWKDIKMAYPGVFEDRSTVDLKDKFRNMERHQT >Et_2A_016002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19994725:19996282:1 gene:Et_2A_016002 transcript:Et_2A_016002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRLVQGSLLKKVLEAIRDLVTDANFDCSSTGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNLSMGMNLNNMAKMLRCAGNDDIITIKADDGSDTVTFMFESPKQDKIADFEMKLMDIDSEHLGIPDSEYQAIVRMPSSEFMRICKDLSSIGDTVVISVTKEGVKFSTSGEIGSANIVCRQNQTVDKPEEATIIEMQEPVSLTFALRYMNSFTKASSLSDQVTISLSSELPVVVEYKIAEMGYVRFYLAPKIEEDEEMKS >Et_4B_037667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22297209:22298656:-1 gene:Et_4B_037667 transcript:Et_4B_037667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRKSEADMMVYVHPSNAADVRRAVARQLSSLLFSCVPSPPPPTSLPPLLPFGVYALEGTVEMLGKESIHAIVLEVFSAAIMSDDIHEKFKFKIKGDGGRFVSRSDKHHVIKKGSMIRFSVKRVDTEMNCHITGSLIPPHTGSMLWLSLHDVEYATGINRDKRRSRDTNIKVEQDEQEYGEVDNKDGVRNSERTHKSRKRSFEER >Et_1A_006947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29318079:29319828:-1 gene:Et_1A_006947 transcript:Et_1A_006947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLPELETDSGNIVATVNLGCQLDLQAIANHARNAEYNPKRFAAVIMRIRDPKTTALVFSSGKMVCTGAKTEDQSRLAARMYARIIQKLGFPAQFRDFKIQNMVASCDVQFPIRLEGLCLNCGIFANYEPEIFPGLIYRMADPKIVLLVFVSGKVVLTGAKVREQIYTAFENIYPMLSQFRKRQQYW >Et_9B_064824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1905848:1909260:1 gene:Et_9B_064824 transcript:Et_9B_064824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSVAVALLAAAAAALLLSPAAEGSGHLKVGYYKKSCRNVESLVKYHVAKAIKANRGSGAALVRLIFHDCFVRGCDGSVLLDPTPAYPDTEKTAPINIGLAAFEVIDEIKAAVEAHCPGTVSCADIVVYAARDAASLLSNGHVHFDPPAGRLDGVVSRAADAQRDLPDSTFTISELIRNFRRKNFTVEELVILSGAHAVGVGHCSSLRARLTAPPTQIVPAYRSLLAGKCAAGPDPIVPNNVRDEDPKAVAAAFPSFLKKLRKAKDFLDNSYYHNNLARIVTFNSDWQLLTEKEALGHVKEYAENGTLWDEDFSDALVKLSKLPLPPGSKGEIRKQCRFVNHY >Et_7A_051808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2516426:2518993:1 gene:Et_7A_051808 transcript:Et_7A_051808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDQILKNHSDIVVNTLKLVIPMLLTNGHGNSIRYLCLTDCAFQPTVGFNCLRSLTKLNLYQVRITGHLELSLCNELICLKIPFMAGAAQMQNAANYREHNLNLPTFELLGDPVQLTLGESSQVKSLHMGFSYELNIIVYAINRIPSIQLETLFRLQEAAEGKRAKQSYGRPWAMKEIGQMVPRISWSSARSAPDYKYENGLGSTQSTQRHQNVHRGQSSLTL >Et_7B_055039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6691803:6705603:-1 gene:Et_7B_055039 transcript:Et_7B_055039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIASQLQAIKSAIGAAPEPARRPITRPSVLFDAKEAADISIRAILPIALSGLEHLATLDERFAKYSNTLFSETSLEVNREQLTPKENDKINKSISTYLRLLAGYLQLPAALKTLEYLIRRYMVHVHNLDELLLSALPYHDTHAFVRIVQLVNLGNSKWAFLDGVKSSGAPPPRSVLVQQCIRDKAVLETLCNYVTPTKDFNHSRTVVCFCTAVIVECLGAVPKIDTDIVQRVVGFVFDSLNPAMTGDQDYKAGALMIVGVLATRATLAPKLVQNLIFFIARTAQHDALESIDLPWLRVTVMAMISLVQSQSVHDFPKKPLMILKDIRDFSGVLSALSNEYNIEKFIRVYIESLIDYSTSDDLCQKHLIETIETITLKNIVERIVFKVLGNCIKASQAAGNKDINQTGIWAKRILNAFERKYPLELHDAIRKFLQNSEINPMEGDSMSELFGLVFDESKSTPTEISDSNIWFSLDHPKATVRQCALSKIAASGIFNNSTLNPKKFINMQDAILRSLYDDDLTVVQAALSIEGLAAVANPDSLLKGYNDLLNRCVKVITKGGSKASKASDVAVLCLEKMVMEYQRHHMKKVNDIATVVFPLLIVHPKTFRVNLKALELAKKMQWEFYTSSSLVYDEIASDEMKNIDPDSIASINMKTIKAFSETFQADPNKHVEWLINCGNGSRFSRTLFLLIVLQTLVAPSEVLEEQMGFCQACLPALKDEWYHIQPKDNGIGDEISIEKLEKFCAELVKHIFNSDLEALNARILVCIFWGNLRVLSSYIEQNSMISSGGYTTLDDLYIFFITSPGKNVFQKHLQYLIVNCTGAPFQFISKYFLDEDLSDEVQMESLLLLASICSKCASSESSTLDESTCMQLLIGFPSVLPPLSHNNKDIRSSAVKCIEGLYLMWQRMSTLPRNGNNINLPQCMSSPTFGLFLESLVNQKTMISSDARFISAYISSMLNPRKDIMVPDNLHERYDQPTKDALLHFILRSAMKLSTYGKLMTLSVLKGVGSILFQAEEVRSLFFDLIDRRDQYWKRHHSVPILSTHEIHILCLLLEVLYSTSAGANIGFNMFEPLVKALRVDALSPDDPVVVMPCLTVLRTLQPMFFDDLKTDVKEKVFGRLISLFRTENFEIRNATRDALLRINVHASTVVKIVDLILTIDGTKGRSKRIKRKEDQNQDGFNSFEEFFGENAVASILLTWHPSFRSCLLQPLFQILSNLLSDQWISGIVGQYKHDTSVKEAQQLVLLVLKDITDQSGHNDTVLNSSNIDLLISCVRSVKDVATRNHGFSLIASVAKACPELVSESIVDLFVAIGDAIKQDDSHSQRVLEDLLSVIVPCWLSRTTSIEKLLQIFIKALADISEHRRLTLMVYLLRTLGTENSLSTVIMHLFHSLIERISHPSLSTIPQEWEYGLAVSVTDQHSYKLWFPCLSKLLKEIRLQKKQGLPQVMHLAMRFILLKLEDTELHFELESEEAADFIQGSLQALMEEVVLCTVFTKGKKRDNSGDLIKEVRDNANRVLKTITGWMSASTYFSGITQLLDHSDSVVKRKTLGILSETARGNSLVQNKQRKARKLKHSSLTSTIKLDKSSGPCFTELCLKILELIDRGVDSDTSVKLAAVSALETLAKEYPSDNPAYSNCLATIINHIGSVDEVSSSGLIHAAGSLINVLGSKALPQLPLIMKNMMLRSHQVSCCPSGNYADGCTRTSARLSNQTITMLLSVLSTVEVIVQKLGEFVNPYLEEILDLVVLHPECGSHMDAKLDAKAAVVRNLLTERVPVRLILPPLLNMYSMAAKCGEASLSLAFQMLANLIGTMDRLAIGTYHSRIYEHCLTALDLRRQHRDNLRDINMAEQSIIHAIITLTMKLTEATFRPLFLRTLEWAESEVEQSSSKRSLDRAIVFYKLVNKLAEQHRSLFTPYFKYLLEGSVQYLSEDGALVSSKQKKKKKAKLEDDQVEQKDKLLGPKLWNLRALILKSLHKCFLYDNEQKILDSSNFQSLLKPIVCQFVAEPPESIESVPDTPSVEEVDETIVLCLGQMAVTARSDVLWKPLNHEVLMQTRSDNIRPKMLGLKVVRYMVQHLKEEYIVLLPETIPFLGELLEDVELPVKTLSQEILREMETLSGESLRQYL >Et_1B_010019.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:18616594:18616821:-1 gene:Et_1B_010019 transcript:Et_1B_010019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEGRRFLTGAMLYELWNVWKERNRCMFENRCSSYLQVTMLAKEDIDSCLRAHCIVQHLEQQAASSQTQEQHQS >Et_1B_013347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7514782:7516332:-1 gene:Et_1B_013347 transcript:Et_1B_013347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELTRSHFSSLPCHANLACSSFGSAANVRKRSLARRIVSIGAISLAGGLALSAVNDLAIFHGCSTKAIEKASDNPKFVEAIGMPIARGPWYDASLEVGHRRRSVSCTFSVSGPHGSGLLLVKATRNGEDGMLSFLRHHDWEILDLEAHFHVPSDGDEQNTLVKVNLTSSCGRPSNSDQYEQPKCDSQAD >Et_4A_035469.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25945184:25946305:1 gene:Et_4A_035469 transcript:Et_4A_035469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSAFLPPWIVLGCNVHLTTELPAIDGEHEWAVIRCASRKAYGCGEHGQNLLDGITLLARLVHHPDLTTALCIHADAHAREIIRADLGPGDDDEPLFHRIRHGSVDLAEESLVVLTLRLSYFPDLAYYLIYDAVGRVALHGPAPADYNTRCLTVTPVPVRQRGEHGLLLVLQSRTGVFDDDALYMWHHPAATPPADASAYSCIAPLQRKQRCVVSEEADDFFSFQGRAFWADLSQGVLHCDPLATRAGRFSFSRVGSEFIALPPGCRVDDPTTRGGIRWRCSTPSAPSGTPSSSPPSTTPARTLVTGRWPCGRVDIGDVGVLTNDGALCLLLPNMAERTEKGGFHSSRPILPPSSFFKALHPLGPLRSSCEI >Et_10A_001082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20956436:20965387:-1 gene:Et_10A_001082 transcript:Et_10A_001082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDHEGGECPVPVVPVTPTTIASGVEDRATDQSQLNNNGRDSASQKALGQQKRIRMKDVGLKFLWSRAVKKAKTTGTSITMPDQQNVETNIQFNAPDVHATERIMTTPTGAMALALLVLVATVLSLLPASLAVTSPYVRPPPRETLSLFKDDDADGQTPEQVHISMVGLDKMRVSWITDDDAPAIVEYGTTSGQYPFSATGSTTTYKYVLYQSGKIHDVVIGPLQPSTTYYYRCSSNPSREFSFRTPPATLPFKFVIVGDFGQTGWTESTLKHIADADYDVLLLPGDLSYADFIQSRWDSYGRLVEPLASARPWMVTEGNHEIEKLPVVEPKPFKAYNARWRMPYDAGASPSGSNLYYSFDVAGGAVHVVMLGSYTDYGAGSAQLRWLRRDLAAVDRGRTAFVVALVHAPWYNSNEAHRGEGDAMRAAMEELLYGARVDAVFAGHVHAYERFGRVYDGKADPCGPVYVTIGDGGNREGLADKYVDPQPAISVFREASFGHGRLEVVNATHALWTWHRNDDDEPVVADQVWITSLAANPVCNKNKKNITH >Et_3A_023055.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:21980681:21987422:-1 gene:Et_3A_023055 transcript:Et_3A_023055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNIYKSYEFSISPFLLLRMLYLISLRTSLPASSINTFPISGKSCSENNSYCFVLSDVDENVENILRMIGEEHDKAENEQDDSGNSPKKSKLSSLVKGFHDDYQYLHKHYKQLINKLENVGHSSSNSDSSDSDMEGDKSDNGTTTPEVALTEEKGWKHKVAENHNMEAEIEKLKQVTEEQANEISELKELHAQAIEDKEATIVKLSSEAANLQSENENLKSLVETAEREAAESLKGLASMENDINTLSSERKIIENERDNLKMSVADIEKERQDLINQLKDTVERCTLLSSELEKARLAENEVQTLLAENKKMKNDNLMLLAENDDLNARLQNLDLECSQLKATISETKADNEYLINEKHMAESKIEQLGADIDGLKVEKEETVDNMNRELKIAAEEKERLASEHSKCLDELEMARSSVIELEKELESTKSVLNGNIVELQNEKDSAASEIAELEDSLKNLESQLRQQLEKISDMQKTNEALELANSNLHNEIKKVQGEKSEAIASILNLQSKLEQEAQRITNLQEANKDLEATKTDLYNEVTTHQEEKNAALARLQELEDNLKSLQSNLEEQHDQISILQHTNEELQDINSTLQKQLEESTANMQEEIIALQREREQALNSLQQSNASIQTLEGQLEQQSEQLMILKLSSEDLQNSNSSLKMQLEEMTASHHAEVIGLQDEKNQVLSELQQSKASIKNLRDELEQGKEQISILRLANEDMKNSIASLEKQLEEVRSSLHAEIAALHDEKDTVLLELQASQASVRNFESLLEKQNDNISSLQQANDELQKNIRTLTEKSEQAEAELQEKVKATQEEKDTVVTQLKQSEISIENLENEVARLTEKLSVQLENNSTLDKQLEEATQKLSVQLEKNSTLDKELGEATLKVSNLHEKLEKSQAEAASRIEEMSTKAKDLENTINILSSQNTKLQEDLKSMVEACISNMSLVKEFEDTIKQRITDHETRIGILYQNLGGVLGSFQKLQYAYDEISTRASQLEVIKESQSEQINQLEEKNTKILDKNRLLEEEKLNANKESTNLRKHVQDLEVQLQLAKQKLKVTEAESKCKEDSYATAVETSQAEIQRLERLVQQFSGRVSLLEETLMQVKEHAESGVSNLADKLDELELHFSQGFTRFVDRSSACSEDLKILRNKLHDHLDEQKELLKEKDELAIRFRDKETVISEMVKDAAETEKKVAHLAKKVEEKEEELAEMVKDAAEAEKKVARLEKKVEEKEEELAARVQEKREAIKQLSDAIIYHKNNSEDLVRYIRTHNRPRLPFCM >Et_6A_048114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6965755:6969905:1 gene:Et_6A_048114 transcript:Et_6A_048114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSAASVPRQMLRSTLQERTLRALALLKGASGDEPIFAQVWMPVRNGDHQVLTTAEQPFLLDERLTGYREISRQFTSAATQGSGLFLGLPGRVFLSGMLEWTSNVMCYNSSEYLRVDHAMRHEVRGSLALPVFDRSSNSCCSVLELAMTKEKDNFCSEIDEICNALQLIDNIATLQSVDLSTVKARTSPQRLTRNKEAAFMEILDVLRAACHAHMLPVALAWVPACSSGNANTSIKYGRQAINSASRKKYFLCIQESACFVNDIRMHDFVRACSEHPLEEGQGVAGKAILSNNPFFSSDVSEYDMGDYPLAHHARKFGIHAAVAIRLRSTLTGNDDYVLEFFLPLLCNGGEEQQLLLDSISVTMQRACKSLRTVSDTEMMEDAPEMSSQDKGSEIRCTSSDISLKPSKAPCVEKTRVFSEKNVSLSVLQKYFAGSLKDAAKSIGVCPTTLKRICRQQGIMRWPSRKIKKVNRSLRKIQNVISSVQGVNRELKYDPATGFLISSASPSRKPSQMSAELDATDSLLTEPELSELKIEPDCDAYCLNDGLCRKSDTVRRGNMLYHTEMHQKDASLENVWIHGVHQKDASRDPLSMPQQCNTDNKNIEQSLPSSSSMTNSSGGSGSTFKVYVKPKKDNKSNIVIIVKAFYKDDTLRFRLLPTMRYQQLLLEVAKRLKLTVGTFQLKNKDDEDEWVILASDADLQECRDMIDITRLHILKVQVRDVPYVAGSSSGSTSISGM >Et_4B_038989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6759707:6762435:1 gene:Et_4B_038989 transcript:Et_4B_038989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMRSAGLLRLGFRHVSSLLFQVPTCPSPSLGLGAGRAGLVRLRCFAAGDDGGKKVSARLALTQQVLRDAEERAASAGSDPAPKITLDHVTVNFARSGGPGGQNVNKVNTKVDMRFNVKEAHWLGERIKERILQMEKNRINKDGELVISSTKTRTQKGNIEDALQKIQAIIDAASYVPPPPTEDQKKKIEKIAAAAERKRLQSKKVTSQKKEFRRNRTSWD >Et_2B_022892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7385143:7385564:1 gene:Et_2B_022892 transcript:Et_2B_022892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTQQGTTSTQSSGEVDGRRRSALPAWPTRCPSCYSSPPLQAAVSAGVGVTVLPTVWAIHLRRDCPADGVGRSGGRHFAGLFVAFPRRVRRVDFHLPPLDAAAAEFPGHDPFLLRWEALRRSRRSAHLPTLI >Et_2B_022580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26502006:26503499:1 gene:Et_2B_022580 transcript:Et_2B_022580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLRIQKDGGLDFALDMGTVKAVIVDLFGAGSETTATTLIWTMSELMRNPEVMKKAQEEVRHALQGKERAREEDLVNLKFLKLVIMETLRLHAPTPLLIPRECMEQCKIFGFDIPRGALIFVNAWSIGRDSDYWDEAEEFKPERFENVKTDFKGTSFEYIPFGAGRRMCPAITFAQANMELALASLLYHFDWQLPYGVAPDELDMTEEMGMTVRRKKYLYLHPIICKETYMNVDRKREISYEKERISCDC >Et_6A_047484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6325081:6344400:-1 gene:Et_6A_047484 transcript:Et_6A_047484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYLTFLLCSLASLDIKCCPTLSNLKTLVLVDWFVADDFSGLIWFLQHSPTLQKLTLQVSYYVQKNPVRRNERCKPLEESVISDHLKTIEIKCHEVDATVVKILKILTASGLPLEQINIQSSSLGPECETPPRTRLRQVIGALPDGVVEHILSLLPAHESVQTCVLAKRWRDLWRSAPGIHFTGGVNDRFQGFVNHLLQLRRESAAPLESCGFELDAGELEFDSDDQKRTWASKLILLALRCNVRVLRFCATTTLEEEDFLPFEFDDVLLVSRHLTKIEFSGIVIAGGVLDFSACTALAEMKADRCGIEADKIVSPTLKRLVMTCCEFVGTDPTGHIRLSFPGMISLELTRCAGRTPFLASMPSLVTANVSFGDGCEDSCWYGGSGECGMFDCSGCDYYGSPADYSMLLKGLSEATHLKLSASLSVFAFNRDMEWCPTFTNLKTLSLNEWCVEPDPNAPICIIQHAPILEKLTLELSKQGPRKLVKRNGSCKSLELPFASEHLKLVQIKCKEIDDRVPKLLKLLSNYGIPLEQIKIQQTIGFSRSAYCNFVCTASSSPLSLTGLPLLTRPFLSVSPRIFDAMPPTRSTTASGADLTDHIDALPDGLLHHVLSLLPAHDAVRTCVVAQRWRHLWRFAPGIRFAGVKGWRSADSFRRFVDRLLHLRQESAAPLDWCCFELDLGVDDSGSVDPIRLIPREWILLALRCKVQVLRFCGSKEGFFCLDSDDVTLVTQHLTRIEFSTFTIRGSVLEFSGCTALIDLKADRCVLRLKRYHLRP >Et_4A_035492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26815319:26821680:1 gene:Et_4A_035492 transcript:Et_4A_035492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCFGVLVPREHLQQAGKSFASRFSTSGFDLPDWFKNSKDAGPCVGLEGEEDDDDFVLPAKSDSSDERSSDKAGGGSKPLSIRAGCPAAASHEEAEFEADIDEVSRVLSSRFASPEAIVIAMDCCPVRVSARLVDKILRRFGNDWVAAFGFFMWAGSQEGYCHCADSYDMMVDILGKFKQFDLMFGLISEMREVGGLVSLVTMTKVMRRLCGASRWSDAIDVFHKMDRFGVAKDTKAMNVLLDTLCKERSVKRAWGAFQELKGTISPDESSFNTLVHGWCKARKLKEARETMAEMEKHGFSPSVVTYTSLIEAYCMEKDFQTVYSVLGEMRAKGCPPNIITYTILMHALGKAGRTKEALHTFNQVKQDGCAPDASFYNSLIYILGRAGRLQDANFMVEEMRRTGVSPNLTTFNTLISASCDHFQAENALKLLVKMEDQSCKPDTKTYTPLLKLCCRRQWVKTLLFLICHMFRKDITPDFSTYTLLVSWLCRNGRIAQSCLFLEEMVLKGFAPKQETFDLVLDKLDNRNLHSTKRKIQLLSVQGLKGKT >Et_2A_015049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27634894:27635565:1 gene:Et_2A_015049 transcript:Et_2A_015049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRTATVAAARARRFVGVRQRPSGRWVAEIKDSVQRVRLWLGTFDTAEDAARAYDEAARALRGENTRTNFADRCRHGHGAASRARLSKNLQHVIARAAAAGRATACAGVGDQFALAAVFRGEDAETAHVKNAVQPSFVVPRRTEAPPPSTPTAAVGDGGVWCADFDGDAAVARDAQEGSFKVSSSVIVPSTFSASSPESFGLEDF >Et_4A_033535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24089491:24091596:-1 gene:Et_4A_033535 transcript:Et_4A_033535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIRRFCCDDLLRFASVNLDHLTETFNMSFYMTYLARWPDYFHAAVSPADRVMGYIMGKVEGQGESWHGHVTAVSVASEFRRQKLAKKLMHLLEEISDKMDKAYFVDLFVRASNMPAIRMYEKLGYVVYRRVLRYYSGEEDGLDMRKALSQDVEKKSIIPLKRPITPDELEYD >Et_4A_034270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31365521:31373761:1 gene:Et_4A_034270 transcript:Et_4A_034270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSNRRGKRKAEASSTGNHPARRSRRGAVLAPPAPAHELEAPAARTARPPSPVHTMETMNLDIAHRLRSGRKVFVPNTEKPGPMRFKKGERDVPDLSWLTPACAKVALEHYNRLNEVVPKQNVFVAAKLAQLKSSILLGGPVLHRAASEDNAAFCPESHQSFIYDFLCDQYKFQKSKVCAAENLVQTPQANRAEP >Et_3A_024524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21685212:21689057:1 gene:Et_3A_024524 transcript:Et_3A_024524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PQRTPLLHNPFSFLPIASLQPRSHPPPRNPIPGSTMRPSMMRSAAELLRRRSYSSASGQPERKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIAGTPGVAADVSHINSPALVKGFAGEDQLGEALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKSLATAIAKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKRLFGVTTLDVVRAKTFYAGKANVPVTDVNVPVVGGHAGITILPLFSQATPATNALSHEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACLKGLNGIPDIVECSYVQSTVTELPFFASKVKLGKNGVEEVLGLGELSEFEKEGLENLKGELKSSIEKGIKFANQS >Et_7A_050251.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15445745:15445866:-1 gene:Et_7A_050251 transcript:Et_7A_050251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKAEKIFKCEQNREIFLNLKNPEVHLFWIQDEIAPRY >Et_1B_013589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9770672:9771273:-1 gene:Et_1B_013589 transcript:Et_1B_013589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVATRSVRYTHHNRHFLRSALQVPDNSSNYGYGASLSSNDYYSYSIPEVNQQPRQKWVYEWVEPEFCNMLLSQWQTSHAWNNQSWSEFRAHLLATQGINVMSIDEYNAMNNPQSDVIWP >Et_10B_003937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:794506:801857:-1 gene:Et_10B_003937 transcript:Et_10B_003937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METVCTLISEVTLSDTADIRGRIKCRSVLLTKHVVDEATCELCSCPTETPDHLLGGSNADQCCSLNMWSTRLRANSAPAQLKPLIIF >Et_9B_064377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14583252:14592776:1 gene:Et_9B_064377 transcript:Et_9B_064377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPLPPPRRVSCGSLLQELQELWGEIGQDEMERDRMILQLEEDCLNVYRKKVDQTRKQKADLLQALSVGEADIKKILSALGDCDSFSRSEKLGATLLEQLAKIEPVLNDLRQRRDERIAELKAVQMQIVRLQAEMSGTIDHGDPAVPLVDENNLTLNRLGELKAQLNELQMEKNLRLEKINIQIKCIGDMCSMLSVDLNKALYDVHPSYAELGRSKSISISNSTLERLAGKVHALNYEKKQRLRKLQDLGSTLIELWNLMDTPIDEQRCFDHVTSLIKVSPNIVMPPGCLAHDLIDKVETEVKRLNHLKASKMKELVFKKMTELEEIYKNAHMDIDSDSERRVLNDLIDSGTADLSELLTGIDDRIIEAREHALSRKDILEKVEKWTLASAEETWLDEYERDQNRYTAGRGAHKNLKRAEKARMLVSKIPALLETLNAKIQAWEKEKGIPFTFDKTRLLDTLEEYTSTRQQKEEEKRRSRELKKLQEQFAAEQGVMFGTKPSPIRPLPARKPLGQSSNVNIVGGTPTNRRVSTPMSRKGGLSSGKVKETAKALATPANYVTLPKVCGDTGSSLILALHPGLFHGDGMLVRHHSLGVGLGLLELHLIQLYDFHDLHGLDRHGDLHGLGLLLLQALLVLPPQHRVQAGDDENGPDDPGKQKTAWT >Et_7B_054347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20089655:20095889:-1 gene:Et_7B_054347 transcript:Et_7B_054347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNGERLMLLAPCGGLDGPTSTTVDFAKYPKAEGIAHQHIRWSSMELSTFSVALATALYLVIVLYYRLRMRNYNFPPGPRPWPVIGSLNLLGPLTHRTLHELSVRYGPLMSIWTGSICVVVASSADAARLVLKTNDEAFIDRPRVAIGRYLFYNQSDMFWAPYGAYWRQARKLWHTKLLSETQLKLHEHVRLEEVHAMLRDLHAASSDGRPVPLRDHLLMLNMNVISRMVMGKKYGGGGVGASASSVATPEEFPWMVEEMFLLKGSLNIGDVIPWLNWLDLQGYIGRTKRLSNMMDAFLEHVLDEHDERREGEGFVPRDMIDLLLQVADDPDMVEVPIRRDGIKAFVLDMIAAGTDTTAVTVEWALSELLKNPTALAKATEELDRVVGPDRLPVEEDASSLPFLQAVIKESMRLHPATPLLSPRRCRKDASVGGFRIPAGTCVAVNAWAIGRDPAVWEAAEEFRPERFFVGDGRSKVVDVKGQDFEVLPFGSGRRMCPGMGLALKMAPLSLANLLHAFAWRLPDGVVPERLDMEERLRFTMPRNVPLQAVGEPKLPAHLYCYHPKAA >Et_9B_065404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4785060:4786563:1 gene:Et_9B_065404 transcript:Et_9B_065404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SETRWRERLVHVHHGVVEGGDAVRGDALRDEPCPWLVGPRLDEDARGDVVQVGQRHDVGRRAPAVVHLGEAQSGPVGDEQRPVVGGDLVRVPRVGVLPGRPPRELGRQPLLPFADELDDVGDGGLGHLPGRRGDAHDVVAVAVESRPEAGAEERARVHGEAEVDGDVRGESGGEGAGLPRLGDEHLERGAAAAAGGGGEAGLEVVDSAEMSTARYSSRLAPAGSGRAPTSALDGSGIASRPQDGGSETPAAPRASSPTAPMNCAAPGPSATAWLKRKPTTKPPHPNDAARHGGLDEPDALRVAVDVEQPFAGVGDGEAAGERVVVDERAGEGALDGLGGARRGGRPGRVGLEDVERLDERHLGRVPVQPGEAERAGRRRLRRGHQALAQPLHRLGRRRLHGRMACSVSSLSHWDWLGSASGWWVGCALSK >Et_7A_051918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2835391:2837293:1 gene:Et_7A_051918 transcript:Et_7A_051918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRPCPLGLVVSAPRLRIGSPSHVRVLGRVGRQSISLHKTSGGRTTATPPGLRRSPATRANNGTADWSVFPDPEDNKPMSQEDFNKFREELKKHNELDKDMPFREDIEKIDEYENKMKSWNTSIFHMRATQFSLYLCMIARSGVKMASRIMDCAVLRLDKKDEISLDTTKQIIAMYVSLFVKLVEDAYNKKFHVDSMFSLIGAFRGVAAISHILLEDALASIKHDQDISSKFYFAHDKDNGWSDFEKEMNSLEDKFRAVSKSTKSYKLLRPTMDGAMVLTLFFVSKMNGRRKIVLGKHPGM >Et_1A_005602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11979087:11982974:-1 gene:Et_1A_005602 transcript:Et_1A_005602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSKTCFLAISAAVVLAISGVDATVVATCKAAANNNTLVNYRFCVSELSKRNGSDDADTWGLAKMAALAGAGNAQKAIVDINDRITKPGVEAKAGFEQCQKLYGDVASAFLRARDYIKDRKYAAGKEQVMTGIYLAQKCDDVLGNTIANPMRPSTAASVLAVPAIIVFLALSTVDATVVTTCKAASESDKRVDYNFCVLELSKHQDSTDADTWGLAKVATEAGGGDAGNAVDDVNTLLNKAGTDAKTRGALFDVEFTFAGAYENLNDRNYSAGKQEVGLATSLAHKCDDAFAKIAVPSPLTKHSLSTMKIAVICTAITNLINDADTRGLAKLAAEAGAGNAEKAITDIKDRLANLGVDAIKAGFECQKLYSRHSSGRATTTVASTRQGRSRP >Et_4A_032286.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28453491:28453682:-1 gene:Et_4A_032286 transcript:Et_4A_032286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLLVSLPFVFFCIMICFRCYYYGKEKGREEMREAMGSQVHLMPPQPSPRVARGYPVRPGA >Et_5A_042840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5360177:5362069:-1 gene:Et_5A_042840 transcript:Et_5A_042840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASHPNHEAFSAPVSRIGLGLTGFGVFFSLLGILMLFDKGFLAMGNILFVSGVLVTIGLKSTVQFFTKPKNHKGSISFGFGFFLVLIGWPVLGMMVESYGFIALFRDIWAMYLTAPDFLHSGFWPTAAVYLQRSPAFGWIFQHPFVTSMITRYRGRRVPV >Et_1B_012215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:308023:313349:-1 gene:Et_1B_012215 transcript:Et_1B_012215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPQAIPPPAVRVLSRTPPPKSPSPSQAPATSPKPAAGAPAPSHDGVVVVGFVGAAGSARIADRILDAHVFSPGGSARSLAGSVRYHRDGDRRMVFLHLAPQPTPLETGGGTGGGDLPEMLFMFSVSPTVCHIIIFLQEGFRFNTQILKKFRLLQSSKHAFAPFVRPLVAAAMPAKTATSDNTTRAPHSASSISPPTRRGGHNSRQSSAISLMSGTGSNPSALPGQCIPVVLFVFEDDAVDVSSAMASLDDIGDTSSSIQASSTDGLPKQSLASKGSGSVVVLARAANKSEGSSGKKLHSSLEGQIRVLLKKCRLLAGTEPGHIGPRGVSNMSHHIPLFSIDSSRIAVLLNRSVCRKREPLDIIAGFFEDSLSSKSSLDIFSLEKNFNTNHDDSQLIKDFILRQSDALRGKGGYSSNASSGSVSGVGMVAAAAAAAAASASAGKTVNAPDLPSFDKWLSISTSILAALLNRRNALSGQLEIKTHTIPSEKNDQIPAAASNAIDITLSCLESNNGLNMKFSSSWCQRVLPAAKDIYLKGLPAFYPTNMHEVQLQKALRSFHSMVKGPAVQKFSKKLEDECRTIWESGRQQCDAVSLTGRPCKHRRHGDSSSSAEAEQHSSGYVFLHACACGRSRRLREDPFDFEAANISFNCFSNCEDLLPTLVLPRSSHPLSSWRLVRLGGAKYYKPTKGLLQNGLNPKDKYLLRWMISVGKEQVRNGIRADSITSSTRSKMEVQNPPVGASEVKPTPTQTMPQIKSSKLENSVKQPEMESVNSFGINFGKGLPNFTMKKPFAEVVAGTTAKDVEFPALQHTRPPKPGGRKDERQMSIADHTNGRGHAAFSHGPVAENGSEKVTRNENSENAGGNPFLQIGSNIVPVIVGNEARETNQPVQQFFVHVGFEHECPYGHRFLLSEKHLKEINSSFLQYQRHCPNKEAESKHAQKVLQNASGLTATAVDVNGGRKNIRPFESSVRNSEQQTLQPRVDAVASQPSPWLSDLQNDKKADHCFRSITIDDGGEAFSLMNRNLPIYMHCPHCKSSERKGHQDVQFAGSVSQLQRIFIVTPDFPVLLASCPLVQFEGSCLPSNISDCEQQGLFSIGSRVILPPESFLTMRLPFIYGVQTREGRTVPLKHFEQQPELTAWLVGGTALQIVSVGNVTEKDTNEVLAALENGSIPKVK >Et_10A_001507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4227708:4233254:-1 gene:Et_10A_001507 transcript:Et_10A_001507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVTGAIGSLAPKLLQLLKKEYKLQTEVKKQVKSLSVELESIYAALRKLAEVPWDQLDEQVKLWAREVRESSYDMEDLLDTFLVRVDSHEPADPSRLRHAIKKMGDLFSKGRTRRDIASAIEDIKKQLQEVAERRARYRIDEIVAKPVTASSSIDPRLAAMYKDVTQLIGIEDSRDKLMSILTSSHGDDVSSNKITKMVSVVGVGGLGKTTLAKVVYDKLKGDFTFGAFVPVGRNPDLKKVLKNILVDLDRTHYMENLNMTILDERQLIDAIRELLQNGRYFVVIDDLWEPQSWDTIKLALVENNCGSRVITTTRNLEIAKDAGELYRLQPLSYDESKKLLYARILSGGKEHSLVGNQLDNYDEFIYKILNKCDGIPLAIITMASLLVDKPRQEWPELYTAIGFGNKDSRHVENTMRILSFSYYDLPSHLRTCLLYLSAFPEDYVIDKVSLIWMWIAEGFVHKKQGKGLFELGEAYFNELINRSMIQAVESQFYCSVEGCRVHDMVLDLIQNCLSNDVCYHLENLGRLHHLRYLGLRDQYIRELPKHIQHLKFLQTLDVTKSRIQELPACMIQLTNLRCLRSQSWVTSVPDGIGKLTFLEVLELSVDRDDMSKRRFVEELGSLSELRVLETTIAFIDERMEKDFVESLRNLYKIQHLSLLPDSLINADVATWEAAGFVLPQHLRHLRLHNIRFSRLPSCINLLHLHNLTFLGLRVATMDEQDMTLLGTLPELLFLSLEMWSTVTVSNINATDGYFLKLRFLEMTASMVQFQRNKEDLTVSFHIWNGIAAATFGSREYDCSCAPTIVMPNLQVLRMPVFVRGLKDGNIGCENIIGLEYLGSLQDGFIWVVCEGASTADVQEAEAALWNAIDISKP >Et_4B_039773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29040234:29043437:-1 gene:Et_4B_039773 transcript:Et_4B_039773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLREGRVDLVLLCCFLLLPLLSHGADMPLGSTLTPGNSASWSSPNNTFSLSFTASPTSPSLFVAAITYNGGVPVWSAGSGAAVDSRGSLRLTSSGDLQLVNGSGAVLWSSNTGGQGVSAAALQESGSLVLKNSTGGTVWQSFDHPTDTVVMSQNFTSGMNLSSGSYVFTVDRNTGNLTLKWTQAGTTVTYFNKGYNSTFTENKTLSSPTLTMQTNGIVSLTDGSLSSPVVVAYSSNYGESGDMMRFVRLDSDGNFRAYSATRGSNAATEQWSAVADQCQVFGYCGNMGVCGYNGTAPVCGCPSQNFQLFDANDPRSGCRRKVELQNCPGNSTMLQLDNTQFLTYPPEITTEQFFVGITACRLNCLSGASCMASTALSDGSGLCFLKVSRFVSGYQSAALPSTSFVKVCFPALPNPSPGASTGSGSGGGSGVRGWVVALVVLAAVAGLVLCELALWWWFCRHSPKYGPASAQYALLEYASGAPVQFSYRDLQRSTKGFKEKLGAGGFGTVYRGVLANRTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDAFLFGAAPPGGRLPWPARFAVAVGTARGITYLHEECRDCIVHCDIKPENILLDDQFNAKVSDFGLAKLVNPKDHRHRTLTSVRGTRGYLAPEWLANLPITAKSDVYSFGMVLLEIVSGHRNFDISEETGRKKFSVWAYEEYEKGNVAGIMDKKLPGEDIDMAQVHRALKVSFWCIQEQPAQRPSMGKVVQMLEGIMELERPPPPKSSDSFMSTTTGTGSSGVSTSMVSTFASSAPAVPTPSPNLEQEIALDRSASERKFEAT >Et_9B_064663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17445995:17446971:-1 gene:Et_9B_064663 transcript:Et_9B_064663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQRPWASTAAVVVVVVVILLAASTPASSQPMPSPAAAPGPASGGLDSACLNALLNMSDCLTFVEKGSTTRRPDAPCCPELAGLVGSNPVCLCELLSGAADSYGIAVDYARALALPGICRVSTPPVSTCAAFGYHVHLGPAAAPTSGAPSPMSGMSPAAEGPQFPGTAPFASPPTTTSHAARRFSSRHLASLAMLPLAAAAISGML >Et_4A_033224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20052306:20055572:-1 gene:Et_4A_033224 transcript:Et_4A_033224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGDGTEAQVTWEDQQNINRFGRLNNRLHELDEEIKLAKQITALRPSPAPVGAQVTATLRRQNSGEEFCFVCTVHASRTSVPCIATPAQHRDASMVGGRCAESGRNFTIAAARP >Et_2A_014478.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:12007749:12008297:-1 gene:Et_2A_014478 transcript:Et_2A_014478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLVRLCVVAYDVDELLNLEHLGHIPNLQKLLLQGKLQGGVAPSILHSFIKVRGLHMGWSGLQVDPLPSFSHMLNLTRLRLYRAYDGPILTFRAGWFPKLKELRLADMEQLRSIEVKVGAMQNLNLLLIRGLREMLVVPSGFRYLTTMQKMLVWDMPQIFVERAQGEDFVLLQHIKDIRYR >Et_3A_023727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11289262:11292723:-1 gene:Et_3A_023727 transcript:Et_3A_023727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATMAAAVGGAMVLYFVLSRRLANEDAVGGAAGGGGAGKRRRGRVARRPAQPPATWIEAVGTLAETLRFTYSETLGKWPIGDLAFGIKYLMRRQGDMHVASVYAGSNCIELKGSEIMEELIVLRRLIDLCFLFSKKPFPLFCELAGFSQEDVIVEEPKAGILKPAHTILRDECTKSFLVLIRGTHSMKDTLTAATGAVVPFHHSLLDEGGVSKLVLGYAHCGMVAAARWIAKSITPSLREAVRQRPDYQIKIVGHSLGGGTAALLTYILRENKEFSTTTCVAFAPASCMTWELAESGKHFVTTIVNGADLVPTVSTASIDDLRSEVVMKQAQNVAQAVARSRSAFSSWSCMGARRRGVGVVATSPKGDMTTETHITSTVESETFVVEQHGTKTVEELQYSAASVSVHEEADEEEALLSEHETSREHGEEEITDGEMWFEFEKDLDRQSEVEAQTRQEEAAAAKEIIEEESEVLKNVEDRQSFSSDILETQQFYPPGRIMHMVAMPSTDADPEDPVATVGIYETPRDLYSKIRLSNSMINDHYMPMYKKMMEILIEKFAKDEDNPCTDSIVE >Et_4B_036454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24818605:24819706:-1 gene:Et_4B_036454 transcript:Et_4B_036454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLGEAGPPPFLTKTYDVVDDPNTDTVVSWGFAGNSFVVWDANAFANVILPRYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGKRDLIKTIKRRRPPTSPSSSAVGQFGREGEVHRLQRDKGILLAEVVKLRQRITAAEQKQQQMTVFLARAMKNPGFLKMLVDRQGGRRKELEDELSKKRRRPPIEYFPRDGETSAAGHASSAAYVPGLPGGVSEAAANEDERRREENTGGEDTESFWVDLLNLGVEEKHREGGGAGGGDGGEGSSADVDDDVDVLVQSIYHLNPNPGSSPR >Et_3A_026278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6324286:6325558:-1 gene:Et_3A_026278 transcript:Et_3A_026278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHYYDRNNFWNYRQELTTLYPFLGPRQRHGREHALRGASPLYAPPRLRGVRQRVQRGVDPTRLSQVADTSSDLVWVNCRNTKSSSSDTSHLETKPCRGSIVGRSFEYLMSVNVGTPPTHMLAIANTGSDLVWIDCRNTNISSSDTSRVELDPSRSKSYAVLGCSSDACKAFRQATCDAGGSNNNYCQCTTTATVSRLWGAPLHPSTETFSFGSSDDAEQRPVRLLDAQLRIFVPRRGGLIGAVTNFGGRRFSHCLVPIHATNASSALKFGASAVISEPGAVTVAGQTVAARMCPVVVDSGTTLTFLDPALLQPLVAELQRRITVPPVQSPDQLCYDVTGRGGQQDWGVPDVNRRWCPSRRGSPSPTSGRERGAAELAHRLRPRRTHRHLRARRLHTLLAIVLVHARCRRGHLLGQAEVASF >Et_7A_053120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7196216:7200398:-1 gene:Et_7A_053120 transcript:Et_7A_053120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPFCDEGNGAGSIEALLCWPAGKAGGFVERTSVLDCRRSPRPPNSTSTLSSSVGGAAADASSVAVDSEDSAAAAAEATKWGAPGDYGGGGGGGRKEEWSGGDLPPIPGSLDVGFAGEDGWDAMLSDAAAAAGQEQTFLNWIMAPPGDMDPPAPALQQHQLLGNATGFGFPASDPLGFSLQHHPGGGASAGALASDLSSPGARSLTSSSGSSSKATSTFGILPTEAAAPAATMPFHDGADIKPPLHGAPTPSLLLNQHQQTPAASFFMPLPSFPDHQQQPHLPPPPKRHHSMPDNLFLLHNQLQPPLPQCLPFPTLHTTAPFQLQPSLQPPRGAMKTTAAAQQQQQLLDELAAAAKAAEVGNTIDAREILARLNQQLPPIGKPFLRSASYLKDALLVALTDGHNGSTRLTSPLDVALKLGAYKSFSDLSPVLQFANFTATQALLDEIACTTASCIRMIDFDLGVGGQWASFLQELAHRRGSGGVSLPMFKLTAFVSSASHHPLELHLTRDNLSQFAADLGIPFEFTAINLDAFDPSELIAPTADEIVAVSLPVGCSARTPPLPMLLQLVKQLAPKVVVAIDHGSDRGDLPFSQHFMNCFQSCMFLLDSLDAAGTDADATSKIERFLIQPRVEDAVLGRRRAEKAMAWRTAFTSAGFTPMPLSNLAEAQADCLLKRVQVRGFHVEKCGMGLALYWQRGELVSVSAWRPDEYSNLGVASTNLRVLISGPPRDGGTATERFSIEWPAPDHWSPIGCPLTTVWWSKRRSSVHKYFALALYRALLSINWGIGGAGPFTSSSLA >Et_9B_065407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4718518:4719815:-1 gene:Et_9B_065407 transcript:Et_9B_065407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPHLGLQVDAPRPRYGCRLLFGASVDDTILLDEMIQEENMRALCSKLLDVFLSDNQVAVGEQKISETLTYIMQDLVPGLQLHHC >Et_4B_036311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16851590:16852627:1 gene:Et_4B_036311 transcript:Et_4B_036311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSKKAARAGAAWEGNVAAPLPPAKEHALSPVVEEEDEEEVKEVVLSETPAPRQSRSPPEPVKKRRQELSSSEEEACSGSECASVGSVAKEKSKAKAGGGGGHEQLDQEVVKRAVVEAPEKKESKPKPKQQTGNGRARSPSPSSAHRRQQQNLQAPPSPATRPRREPAVVSAFGCRSGRFSPSAARRAAAESAVRRSHSAREAEMSLPATKRCLAASINGGHGGSNAAGALISRRDPGERSGRRSESPTASKRPSPAPSPVHRQAGSGAARRAAARENLSSNTPERSRPRVRDVEQPAALAGGVPGERKKAEEGALGQNPSVAMECFIFL >Et_3A_025887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33719984:33724611:1 gene:Et_3A_025887 transcript:Et_3A_025887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQSALLAAAIAIATAVFLLPLDSRLSWTPRGRFADMILANATIYTADPVRPFAVAMAVRAGRVLRVGTYDSVKVASRPPLLHYYHHYSLSPSLLSSELKFSLFTAPLQRLCFNLLYPAQRCQLRMQGLKGRHTYELNLSGNVVLPGFIDSHVHFIDGGLQLARVPLRGVRSKDDFISRIKEAVRAKHSGEWILGGGWNNDVWGGDFPTAAWLDDVSPDNPVWLSRMDGHMGVANSLAMKIAGINKSTNDPVGGTIMRTPEGDPTGLLVDTAMKLVFDVIQEVAIQERREALFRASKHALKRGVTTVVDVGSYFPGISTEKTWQDFSAVYKWAHSVEKMIIRVCLFFPMPRWSRVSDLITENGKSLSQWIHLGGVKAFLDGSLGASSALFYEPYEGDTDNCGLQVIDMASLLNATLESDKVGLQVAIHAIGDKANDMLLDLSDMVVDLNGMRDRRFRIEHAQHLAPGAANRFGKHDTIASVQPDHILDDASSAGKKIGTERAERSSYTFRSLLAGGARLAFGSDWPVSDINPLQAIRTAMFRRPPGWEAPWIPAERLSLDDSLKAHTISAAYACFLDHIVGSISEGKYADFVVLPSTSWDEFSSYIPENVLATYVSGKLAYP >Et_6A_046950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23026015:23029002:1 gene:Et_6A_046950 transcript:Et_6A_046950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCGVRSCGEQRNRSPSSSSSTAAAVAGAVTAGYLTLRSGRRVPAVAAASCSPTRSRRQQQCRGGSGRRCGGAKSARGSPRRKQSAAAAGVRQCCRVVTSQLVLPGGQPEQEAPAPAPAKTSDPIVRDGRCDVGDSRRDTLLISGEAEALKKRHQCEANRGVAADRSPPPPSEAEMEPFFAAAELAERRRFAKTYNYDVALDRPLDGRFEWAPVSTLSNKPANSSLFFTVKSKGLFGRAVAAPASAVAGQIYKDESIVEKRSRSPSPLAISTRISHVSEYQSKVKNMLKVVRDAAASHSRCDAGDWLRDCALDLERLS >Et_3B_030444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3827922:3829904:-1 gene:Et_3B_030444 transcript:Et_3B_030444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPYAPAELEVPGYVPLQLSQGEILVTYIGTSLFVLLAVWLISGRCRLSKTDRLLMCWWAFTGLTHILIEGPFVFTPNFFQKENPNFFDEVWKEYSKGDSRYVARDAATVTVEGITAVLEGPASLLAVYAIASRKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWASPFYFWAYFIGANSSWVVIPTLIAIRSWKKICAAFQVEKVKTK >Et_2A_016941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29698404:29702746:-1 gene:Et_2A_016941 transcript:Et_2A_016941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEIINWCFYSLGEAVKAAGPGRRKRMRGFERKGVRQYNRSEVPRMRWTEELHRQFVEAVECLGGQDEATPKRILQLMGVKGVSISHIKSHLQMYRSSSNSSTHQASHQKLTSTTSKHVFLNREGQCVYASHDGNAASASDKNIYTMLRNCSHASPCQLPSLKEIFSIWEQSRGRVPRHTNVLTTEKAIRPSHTFNKKPEKQKSCDLTLSIGPWEDASSDADGSSTISEELATQPRGGSFGSPATGDCFAAVKQESIPALNLDLTISSSWLA >Et_10A_000052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20152414:20153602:1 gene:Et_10A_000052 transcript:Et_10A_000052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHAAFGARNVERFLRRVGPEDLRAEAARYLVLNAPRRMAVQGAGETQPPCAACLHLGDECAPECVFARHFPAGDDPERFAAVDARFGAHDVAVFLCALSPEQQEDAVRNFVSIAQLPPPPPPPDDDQEAERRACAACQYLRRPCVPNCLFSTYFPPDDDPERFAAAHSAYGASNLDRRLRYLPPELRDAAAATSVYEARRRQEDPVYGALGDVLLCELYLKMTMEELAATRERLKLVMSHSNAPGSSGASGPGPAQPATDAAAKDPGLPDGGEDAGDDANESSQGIRVSFLLASTVCVPDYQISIGCSLRLIVLCHSIPVLC >Et_1A_004592.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21849852:21850367:-1 gene:Et_1A_004592 transcript:Et_1A_004592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSKSLVAFALATALAIAATRAQNTPQDFVNLHNRARAADNVGPVTWDQKVARYAQDYAAKRSSDCRLVHSGGPFGENIFWGSAGRAWSAADAVRSWVDEKKNYHYNTNTCDSGKVCGHYTQVVWRKSTRIGCARVDCAANRGVFIICSYDPPGNFNGERPFLTLDMAAQ >Et_7B_054810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4617516:4619933:1 gene:Et_7B_054810 transcript:Et_7B_054810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLRSEPNEAQETGGEKCKAESHARGEASERMDPNDAFSAAHPFRWDLGPPAHAAHPPPPPLTPPLAPPPPAPNAPRELEELVAGYGVRPSTVARISELGFTASTLLGMTERELDDMMAALAGLFRWDVLLGERFGLRAALRAERGRVMALGAGRFQTGSTCVDAVSQEVLSDERDAAASGGMADDETGRRLHQLAGKKQQAKKGAGGTRKGKKARRKRELRPLDVLGDENDEDGGGGSDSTESSAGGERQREHPFVVTEPGEVARAKKNGLDYLFHLYEQCRVFLLQVQTIAKMGGHKSPTKVTNQVFRYAKKCGASYINKPKMRHYVHCYALHCLDEEASNALRRAYKARGENVGAWRQACYAPLVEIAARHGFDIDAVFAAHPRLSIWYVPTRLRQLCHQARGGHAHAAAGLPPPPMF >Et_10B_002862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12672535:12675077:1 gene:Et_10B_002862 transcript:Et_10B_002862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRCGEDRDPSTAWRRRHGWQLPLHPLQLVGVAVFALLVAAFYVVIGPYLGNTVAGNIILAAFSFSAAATAALYVRCTAVDPTDRTHAKKAKRRRQLARGGRGAAAGRLPRLRYGYILWRYALRVLRRVEARVTNRWVRRSYLEQWNTSVQLDPMLPFAFTSLDDIVSPCAAGDGHDISYCPVCDCEVKLRSKHCKTCERCVDGFDHHCRWLNNCIGRRNYATFILLMFFVLLMLVIEGGTAIAIFVRCFVDSKEVKTEMEHRLHIRLPKGAHAALSVFFVIFTLYSTAALGQLFFFHIVLIRKGMRTYDYILAMREAGQAFDPFEESDSDESIDFDSPERPSFLSRMFCRKDEVNESARKLSIKIESDHTDASKRKDDIQINPWTLIKMSKEKAMAAAERARERIRQKLPTSPMKPLPLETKRGPLNQDRRHITTGKEIVPVFTKSWLSGSPTARITSPRRRFSGSPSPKPQRYRSNFDLRLADVSRELETHISKQVLCSVVMKGVEDEGSSS >Et_1B_013698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1343829:1349464:1 gene:Et_1B_013698 transcript:Et_1B_013698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSEPLLAEIIKRITRTSDLNSLSLVSKRLYKVEADERGIICVGCGLNPAPEALSSLCSRFPNLWKVEINYSGWSSDQGNQLENQGLHVLSSHCPSLKDLTLSFCSYIDDSGLGYLANCKKLMALRLNSAPAISSRGLSSVAIGCKSLSTLHLTDCMNVRSMETVLAKQEFERAGRWYEIEPEKLELWCPGNTGVEWLEYLGKSGSLVELVVKDCEGLSHYDLLKFGPGFLKLQKFEFDIKNDYYWLSGMRDPSYNAHYPHKYDGICCENMKDLRLAKMLTHSEIGLRFLLRTCKALEKLSLDYVIGLDESEMIALFQNCSNLRSISLQLMPLRCGFDFRTPLTDDSLKALSLFCPMLQVVELTFACCSSMYPTEIGFTQEGIVKLIQSCPLRAVKLNGASILYDDGMKGISSAQFLERLELVDCKRITDAGITFITRAPCLTSLTLHKCKNVSNEGMAELARSQKLESLTVKGCRGISEEAMQGAAKSVYYSAKVEIPYVIKGMSKRLGYSPDTMICFWLNCSLSSSGISCRATNNINLPRSVYRVPLENESLSYTDNRQERRTYKFTKGHATCKTYSVP >Et_9B_064467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15415333:15415770:-1 gene:Et_9B_064467 transcript:Et_9B_064467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSALYVVALVVAVVVLAPTQASLTYTKEDLASDDSMWALYERWAAHHEVVREHGEKVRRFPIFKNNARWIHNKYGKKGKSAINFFGDMTYEELVIALPTGLRESSQDGQYSSTLPRDNF >Et_5A_041455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23039975:23061252:-1 gene:Et_5A_041455 transcript:Et_5A_041455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPPPPPELTDGAIFEILLRLPSNDPASLVRASLVCKPWRVILSKPGFPRRYREFHRSPPILGFLRNNINWFTGSRWAGRTCTFSPVAAANPLPLLDPEPHCPGGWALDSRHGRVLVDREGGESHVLAVWDPVTGGRRVLETPRGEDDAFRHSVHSAMVLCAAAGANCDHCDCSGGPFLVVFVTSYSSKARAWVYSSETGAWTAPAVLGIDNNAFVEKKRGAIVGDEICCFLLSGGALGNRTRFTAILKYDLGRHCLCVIDAPELYEESVVLMSMADDSLGFTASVGAQDTGSLKLGKSASVGVALRGSTLYLWSRKVNPMEDIGWAQYNVIELNTFFATDHWLHMPLPVYVICFAEGINAIVVGTHYNTLTLELNSGRVRRKSCALMYSAQVFPVMRFYTPVKLVEHNQWPVGCLTLLPQIHSPIPRPNPRSAAVDHGRAMALPPELVEDVTAEILLRLPPDEPEHLFRASLVCKPWLRLLSDPAFLRRYRAFHGAPPLLGVLHRLQVLDGDPKPCFTPTAAVPAFPHPGSDGRDTRALDCRHGRVLIHMWEAENASLLVWDPVTGSRHFLPEPDIDWMAYSTAVFCAVAGCDHLDCHGSPFGVAFVGTDGDLDGIWASVYSSQTGTWSEPTHLKNGAYYYVQPRRGILIGDEIYFTLSQSRAIVKYDWRKNCLSPCKPQPPETYKGWVALMVMEDSSLGLAGIEDPRLYLWRRKVNAEGPAEWVQYRVIELESLIPMTGNGNIAYVVGFAEDVGIIFVKTSAGLFMIKLETGQVRKVDEPGVYFSVLPYMSFYTPGILLALAYVLSCILQFPYACLTSWFYFLDLMTDLIAEILLRIPPDEPADLVRAALVCKPWRRIISDPAFPRRYREFHRTPPLLGFFHIPAREDHRMPFIALTIASPYSPTAPDFRLTFALDCRHGRMLLYADWDVMVWDPITNERHHLDPPHHPMNGFYIVAVLCAADGCDHLDCSRRPFRVVYVASDDHGGVVSGSIYSSETRTWSARTFLQLEPFLHVGDKPSLLIGDALYVSLERSKRIIKYDLTEGILSAIDLPELYEEPYDIVLTVEDGGLGFACLKDDILSLWSRQAGLNDIAGWPQRRAIDLKMLLPDCAMPTTSFRLNGFVETAYTIFVSTDMGVFTIELKSARVKKVAEGRIDGSIIPYTSFCTPGSIFPELIDDAIAEILLRLPPDEPKHLFRASLVCKTWLRIIIDPVFPRRYRVFHGAPPLLGLLHRFRNFYGDPEPDTEPCFTPTTAVPAFPSPDFDGLETDVLDCRHGRVLIHLRDPDNESDLLVWDPVTSDRHCLPVPDIDCMESSGVVFCAATGCDHLDCHGGPFGVAFLIEGFNDADEGDESSPGLWAMVYSSETRVWSEPIRAKDVGIDRKHGRPTLIGDEIYFPLRNLTIVKYDWRKNCLSPCNPQPPESYKRWVTLMVLEDSSLGLAGIEDSRLHLWRRNVNAKGAAEWVQCRVIELETMVPMAGSGNWAHVVGFAEDVGIIFVKTSAGLFMIKLKSGHVRKVGELGGYYTALPYMSFYTPGILLALAYVFSTILHSTSRGMPPPRPPPELMADLVADILLRIPPDEPADLFRAALVCKSWRRIIFDPAFPRRYREFHRTPPLLGFFHDPDPYSYQDHYRSPFVALTTASPYNLLEAPDYADRIVLDCRHGRVLFDALLNFIVWDPITNEQHGLCKPNYPSDGYKNAAVLCAADGCDHLDCRGCPFLVVYVASDSENEVLSGSVYSSETRTWSVPTSLQLDWFRHVESKPNLLAGEALYFILEQAQSPCESIIKYDLTERNLSVMDLPDVYEDPFGIVLTMEDGELGFACLKDDILYLWSWQTGLDGISGWAQRRTIKLKMLLPDCGMPASFQLNGFMESTYTIFVNTDVGVFTIDLKSDRVRKVAAKRLNRLISPYMSFCTPGIIFVIVS >Et_5A_040925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1568833:1570120:1 gene:Et_5A_040925 transcript:Et_5A_040925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAVTTAAVVVALFAVVARGKVVDEFGSGASFIFGDSLVDAGNNNFIPTLSRANMTPNGIDFAASGGMPTGRFTNGRTIADIIGEMLGQADYSPPFLGPNTTGGAILNGVNYASGGAGILNATGKIFVNRIGMDLQVDYFNITRKQLDDLLGRDRAREFLRKKAIFSVTIGSNDFLNNYLMPVLSVGTRVSESPDGFINDLISHLRDQLTRLHTLDARKFVVANVGPLGCIPYQKTINRVDEHECVKLPNQLAQQYNARLRDMLSELNAELPGARFCLANVYDLVLELITNYPKYGFQTAAMACCGNGGAYDGLVPCGPTSSMCDARDKYVFWDPYHPSEAANVLLAKYIVDGDSKYISPMNLRKLYSL >Et_9B_063644.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15637177:15637566:-1 gene:Et_9B_063644 transcript:Et_9B_063644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWRCTTARSMGSIGARFLALPPPPPTGATSAPSGNPSAMFASTTGTIAAPVSLARKSSSVISDAPPAPVVAAGGGGGAGAVSAPPPPAAAEISFHTSSDVRRAAAVGAAAAWEGSAGPPAAGGGASP >Et_5A_042345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:955149:957625:1 gene:Et_5A_042345 transcript:Et_5A_042345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSDTRGVRHVKCPRCQSVLQEPGVPVYQCGGCGATLRAKNRSGESPSRSSLPSQSRHLASGDVASTSSRSSTPNPDVRSSEQQATGTRSRVESGDLVSERRHSSGDVASTSSTPDATRSSRQHATAASTSRRESGDLVSARRYGSGDVASTSSTPDATRSSGQKATGMTSRCASGDLLSARRHGSGDVASTSSTPDATRSSRQHATTSTTSRCDSGDLVSARKPGSSDVASTSSTPDANSGRRQASPDTAIQRERSDLSSARNQVPPIERKEEHEQSTNRGVHHSSEFPSQRYARDAERRASSPGTSVSHNRGSKDATSELQADAEKRSNSQVELPDAARKKRSGDQAAVQPVQFRVPEQSAPKPAQAAAEAQPSRDAAPAVAGGKAPSPPRHELQAEEEEDLAPLRQKILKTVDELRGDLSELFRKSPDLNPTTTTRPRPPRLPNKQEGHAPRGAAASTLPARGARRHAAAAGSAARAMKPGQVIAPPPPPPRGLPSRRYRRCRADPCFDNTAQQPRPCHHGCCRHSSKPECSSCRGHCCRPVRAAQEPPSAAPRMKPPPAAAPANKRRAPPPRHHCRPVLKGAPFIVCSSCFKLVQVPADFAVATNRLRKLRCGACAAVLSYSYRDPARKKASQEDSVDEFSSDQVRGGGGGKGYDVDDPFAPFDVDAFGLSSYSTTEDDEQTPPLHVSRNTSFDTVDGAKRAGRLHRLMGYGSASELLLRRSPDLYESFGERATTPDYDRKGKSVCVDDAEDDGGFDDDSDDSGVLTRSAARGAVWPLPGQGAIRIKS >Et_8B_060132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6256878:6264827:1 gene:Et_8B_060132 transcript:Et_8B_060132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLDLVSIVVRETRDLGIFVWGLCSAPVLVFEPWEHAFNNMSREENVYMAKLAEQAERYEEMVEYMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRKNDEHVNLIKEYQSKVFYLKMKGDYHRYLAEFKTGAERKESAESTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRKNEEHVNLIKEYQSKVFYLKMKGDYHRYLAEFKTGAERKESAESTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTEDGAEDGKEASKGDAGEGQ >Et_2B_018972.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20160939:20162604:1 gene:Et_2B_018972 transcript:Et_2B_018972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TPRPHSHGLAPPHLESAAPPLRRIHGVRRGGGPQPRPTLGPAPAPVLPGGNLASPLSRSVSRRRSPPRRDSLVLRPWGSLTVSWSPRPLSQMILEAIDALDNENGSNKTAISGYLKRKYGSSLPTKEHASYLTAHLARMKTTGELAFSRNNYFRSEAPDEEEEEEEEPSKTAPADPASPRGQDPEPDDAVSEVPDGVLAPGPVLTADADDVPAPADAVADVVTAPADAVTDAVPVPADAVADVVTDPAHAVADAVPAPAPVVAADDGAVPVKRGRGRPPKPKVPVAEEPDVAPVAVPVDAADADGVHAPAPVVATDAVEVPVKRGRGRPPKPKDPVAEATVVADDANAVPVKRGRGRPPKPKDPVAEAVAIATSGMPRARGRPPKKTKVALEEAPIGSPAPVKRGRGRPPKSEASGGWLVG >Et_7A_052659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11109569:11110128:1 gene:Et_7A_052659 transcript:Et_7A_052659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELIRHPSILAKAQHEVRRVVGDAAMVREPDSPTAALPEAGRQGVPAAARLHPPAPLLVPRELGGRPRDPGADAARAIGRDPAAWGADAARFVPERHDGDGVDHRPWHDGLALVPFGVGRRSCPGVHFATPVVELLLANLLFAFEWRAPLGEVDVEEENGLTVHRKNPLVLVAERIRMQ >Et_4A_033103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18502044:18502592:-1 gene:Et_4A_033103 transcript:Et_4A_033103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAPSLFTVGSGATFAPPPSSKNFTGLFRRRIVSKRAKISAKIGGDGELKPPGKKKFITKDEEPEQYWQTAGERKGENPMMTPLPYIIIFGMSTPFVILAIAFANGWIKVPVR >Et_4B_036156.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29373068:29373349:-1 gene:Et_4B_036156 transcript:Et_4B_036156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALTTRMPRPGPTPCSAVAPTSACQPRAVSAPTASTRRPGSGSTLETGCCRSMARLSIFRSSVSGLACRMGMGTSLVYLTLLQPLMVRSQS >Et_1A_007282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33004087:33006174:1 gene:Et_1A_007282 transcript:Et_1A_007282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPCFLVPTSGGGRRQGGGGLALPHFFPYPPGCRVYPLGGISRAATSPPTKPAECLSSSPLAHLFSPPISSITLNFAMAAEEDVKQRQIIETRARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIKSGKSDKEIYKKLEDEFGETVLYAPKFDLQTAGIWLSPVIVGGIAAGIWAYQKHRQRTNVHIMALNLIRGVPLTPREKETMLDILTPPPAPSRKWWWPGK >Et_7B_056032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9779636:9786774:-1 gene:Et_7B_056032 transcript:Et_7B_056032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSKAAGAAAAEMDVSSPRGSAQGSEGGGEKEGNFLLGQPTWEDAGGGRWRCAETGHELPEREKEAYARSRACRLALIDHAVARKKPPLNAFKPHPEHKSKLVCNITGDTVNKSEEHIWKHINGKRFLNKLGKGSQLDATEKLEEKMASGEMAEEEAAKSNEVAKKTKSRKKDKKKSTVVSPTLPREPRPEMDDSDDPDFWVPPVGSRWDDDDGKDRWESSPGKKDASENGALEAVGPSSFASRKKKPKKDQ >Et_5B_043837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16659609:16660136:-1 gene:Et_5B_043837 transcript:Et_5B_043837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRTTTFMVIMCLVIVGLNANLVTAAQCSCCKSARAKACCFGCIALGRSDTVCKNTCCFPCILADSVAAKTEEMGVLANLEEMGVLANMEEGQA >Et_2A_016774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28082359:28086548:1 gene:Et_2A_016774 transcript:Et_2A_016774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGDAIGSEAEVSGSGGAAAGEREDESRRGKVARAGKEGVGPASSWYWEGGRVGANVGRRGLFFGRGVKMTVRDALNSALDEEMSADPSVFLMGEEVGEYQGAYKITKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYHGLRPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLTPYSAEDARGLLKAAIRDPDPVIFLENELLYGESFPVSAEVLDSSFCLPIGKAKIEREGKHVTITAFSKMVGYALQAAEILSKEGISAEVINLRSIRPLDRAAINASVRKTNRLVTVEEGFPQHGVGAEICMSVVEECFEYLDAPVERIAGADVPMPYAANIERMAVPQVDDIVRAAKRACYRAVPMAATA >Et_2A_015706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17053072:17055112:-1 gene:Et_2A_015706 transcript:Et_2A_015706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRSPTLLSHPAPYLVRSSRRRALSFVRRAGLGKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLQALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIKN >Et_7B_055806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5078093:5081770:1 gene:Et_7B_055806 transcript:Et_7B_055806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDHLFSRAMTAFVAASFFALLCISAQAAAARGTTNYTFVKDAARAADVSYYDYIVIGGGTSGCPLAATLSERSRVLLLERGGSPYDDERIGDMARFADTLSDTSAGSPAQRFVSEDGVINSRPRVLGGGSCINAGFYTRASDDYVRAVGWDLGKATAAYRWVEDVVAFQPEVGPWQAALQQGLLESGIAPDNGFTYDHIEGTKVGGSIFDADGRRHTAADLLRYARADGLDVLLHARVAKILFNVRGNRRPVAHGVAFHDSAGRMHKAYLNAGRGNEIILSAGAMGSPQLLMLSGVGPADHLRSFGITLVHDQPAVGQGMYDNPMNAIYVPSPTPVEVSLIQVVGITELGTYIEGASGANWGVRHTDSTGDGDDHRGSRNFGMFSPQTGQLATVPPKQRTPEAIARAAEAMSQLNDTAFRGGFILEKIIGPLSTGHLRLRNRNPDDNPSVTFNYFAHPEDLRRCVAGLSVIERVIQSRAFQNFTYPDFSVEMLLNMTASYPINLLPRHDNDSKSLEQFCKDTVMTIWHYHGGCHVGRVVDNEYRVLGVDALRVIDGSTFNASPGTNPQATVMMLGRSATNCSLSHCK >Et_5A_040521.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4524160:4524390:1 gene:Et_5A_040521 transcript:Et_5A_040521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSGVSRRTPSRFTRLRAVSVPPEAHGGELQDLPVVLGACCALHICLSRGKDMDPRPGTTSRTTPNHHYPTPHN >Et_3A_023734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11417716:11422433:1 gene:Et_3A_023734 transcript:Et_3A_023734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQPQTVGENYANPKTCFFHVLFKAAALAFYILSALFVNNFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEINDEGESVWKFECLDAESLARMNKKDSWLFWWTLYLAAAIWIVFGIFSLIRLQADYLLVIGVCLSLSIANIVGFTKCNKDAKKNLQDWTRNALLSEGVRSRLQSAFGFHFPTIPFLEKLLALQMSSTLILGITSIAMSDAQCVLL >Et_5B_044388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23602911:23606435:-1 gene:Et_5B_044388 transcript:Et_5B_044388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRVKETRHKRARTAGLAHYIQGGQLKQPPCTSFIHSFTRTKQQPTSGSIPLAPSRQAAMVFDAGSGSPAPSGGNSVLRVILTRRFARQVITGRWFTVFASLLIMASSGATYIFGSYSGLLKSSLGYNQHTLNTVSFFKDLGANLGVFSGLINEVTPPWVVLAAGAAMNLAGYLMVYLAVSGRTARPPVWLVCLYFFVGANSQAFANTGALVTCVSNFPETRGVVIGILKGFVGLSGAVYTQLYYAFYGGEDPEALVLLVAWLPAAVSVLFVHTVRYMPRPKRRNNGQETSSDPFFCFLYLSIALACFLLVMIVVQQQVALSRSAHALAAIPLLVLLLMPLGVVVKQEYKITRERQQAALETPTIVTVVGDKDTSSSSKAEQLPKEALEQEQGNGNDSATTSSSSSSSCCNWMRSTLSPPARGEDYSIPQALVSVDMLLLFLATICGVGGTLTAIDNMGQIGQSLGYPPRSINTFVSLISIWNYAGRVAAGYASEAVLSRYRFPRPLLLTATLLLSCAGHLLIAFGPKNSLYAASVITGFCFGAQWPLVFAVISEVFGLKRYSTLYNFGGMASPVGSYILNVRVAGRLYDAEARRQKATGGVCLGVACYRRSFLVITAATLFGALVSLVLVCRTWRFYRGDIYARFRDGGDDGRLPAVGQQQQQQRRPEEMDKEAEMAPANGSTTPRTPGNTIALRAVYGVDCFRRAVLVLMASIVLGVFISLVLVCRTWHFYRRDIYARFHDRALPAVGQQQQQRRPEQLEKEAELTTANDSKG >Et_2B_021071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26203051:26207050:1 gene:Et_2B_021071 transcript:Et_2B_021071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLLAVLPLLLLAASFPSPTAASHSSNPKSFPPVAPLYLQALRHQQRARSSLDAKFSAAAADGSAATAANSTTAKPFTKHFFPQELDHFTFTPNSSMVFYQKYLVNDTFWRRPGGKEQPAGPLFVYTGNEGDIEWFATNTGFMFDVAPKFGALLVFIEHRFYGESKPFGNDSYKSPETLGYLTSTQALADFAILITSLKQNLSAEAAPVVVFGGSYGGMLASWFRLKYPHVAIGALASSAPILQFDYITPWSSFYDAVSQDYKYESLNCFNVIKATWDVLVERGSNDTGLLELSKMFRACKTVKSVYSFQNWLWTAFTYTAMVDYPTPANFLENLPAYPVKEMCKIIDKFPASADIVNKAFAAASLYYNYTGSETCFQIEGEDDPHGLSGWQWQACTEMIMPMSISNQSMFPPSEFDYNDRSDDCFVNWRVRPRPHWITTEYGGYKIDKVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIIALVTEKGAHHLDFRSETKDDPDWVIEQRRQEVKIIQGWIDQYHQDMAEMSL >Et_10B_002577.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:16821284:16821775:-1 gene:Et_10B_002577 transcript:Et_10B_002577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAAESLEVASDSSCDSDAAASSECNLETDPNYDGDVAVEIQDGEPVFCYDIDAPCVDVGVIYPDVNSVKAALTHHAIITDYAFKTVKKDKSRYRVKCKKADQGCKWSFLHLPARSSMVVRQVYFICMLHPLYFCFLITFNQYLFLFCCRSRRTSLLKTAVQ >Et_7A_052206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5629684:5633065:-1 gene:Et_7A_052206 transcript:Et_7A_052206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYLQLASLRLATTVPLPGKLLAIPEAMPAMAAYIALWAAAFALLAALLHWAYRWCHPKAKGQLPPGSMGIPLLGETLQFFAPNPTNDLSDFVRERVKKYGSIFKTSIVGRPVVVSADPDMNYFVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKTLVLRLYGQENLKAVLLAETDAACRGSLAAWAAQPSVELKEGLSTMIFDLTAKKLIGYEPSKSSESLRKNFVSFIRGLISFPVNIPGTAYHECMEGRKNAMKVLKSMMKERMADPNRKCEDFFDHVIQELRREKPLLTETIALDLMFVLLFASFETTALALTIGVKLLTDNPKVVDALREEHDAIVRNRKDPDAPVTWAEYRSMKFTNQVIMEMVRLANIVPGIFRKALQDVEIKGYTIPAGWGIMVCPPAVHLNPEIYEDPLAFNPWRWQDKPEITGGTKHFMAFGGGLRFCVGTDLSRVLMATFIHTLVTKYSWRTVKGGNVVRTPGLSFPDGFHIQLAPRS >Et_7B_053270.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:19001913:19002403:-1 gene:Et_7B_053270 transcript:Et_7B_053270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLYSLADMVWSESLKTLDESVLVAPAISFRPRFLPFAKLLPSFLT >Et_7B_054190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16948518:16949025:1 gene:Et_7B_054190 transcript:Et_7B_054190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASSTCRKIWAVLLCVMVFAQRKEGGGVGMAAGSARLWPVGDSAGWSFGVLGWPNYKPFEAGDVLLFHYKPGTHNMVQVSRDQYSMCQVSGNVTVWISGDDRVTLTRGMSFFVSSIPGDCERGMKIAVTAR >Et_3B_030737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6938605:6939452:1 gene:Et_3B_030737 transcript:Et_3B_030737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPSSPAGPPAWSVAVRLRHRGGLEIRASAENVLPGWGRGGERLSLLLRLRRRLIVAVTSQCGPGAPATGTPPPGCKILRFLRIRWARLPRVPPIWRRKKPTAPAAPCARSQNRSSTRTIQRGMAWTPTAPATLRFAAAAFAVFLASVAVFYLKDLPVPFLLKPEIPACMYLAGLAPGRRMPRTHCALRVLEVLLGAVLGTSISWQLQTAAPAAETGPAMALSKE >Et_4B_039895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5383718:5385096:-1 gene:Et_4B_039895 transcript:Et_4B_039895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKHASSSPSPKPEPYRQAVAEATIDDDDVCAMHPDTMRNLSISAGDVVLLKSKRRRERRCVAVRDEGCPKHKLKINKAIRSDLRVGLSKSGVVFVYTCRDDASKHGRRSAAGGVTTGGFARGLAHFKACLKALKEDRLMCSVFAVYFLVVFCMLGFICFSGTGANSGLLQLPASGTTSGTNSSYPHPKTCAANREALLLFLATASRLPNHPKIKLTVDACTGIMFRKQSPGQVIAQAETS >Et_4B_036857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12016415:12019197:-1 gene:Et_4B_036857 transcript:Et_4B_036857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKVSAAPLNHEISACPKVAEAIAGAFEPCVWGDFFISYTSPTLQESEEQMRDKADRLKGDVRRMFEAGNAMNMANMLILVDTLERLGIDNHFHDEIDTTLRHIHSEELEFDSYNDLHIVALRFRVLRQHGFWVSPDVFDKFKDDTGSFSPSLSSDPRSLLDMYNAAHMAIPGEQALDEAISFSKRHLESMMRRNKLTSPMNDQVSRALDIPLPRLPKRLETMHYIDEYEKEDGHNSTILELARLDFKLVRSLHLRELKELSLRGSKLCFVVQVVEGSLQECHKLNEAIQRLDYLSRQNQINIYAHNRCILQWDERAVSTLPEYMNPFYINLLKNFQGFQDTLEPDEKYRVSYATKAFQSSSKYYLDEAKWSSEKYAPSFDEHMEVSVMSSGFPTLAIVLLMGAGDLATKEAFEWASKVPDVVIASGEVARFLNDIAAYKKGKNKKDVASSVECYAKEHGMARDEAAVAIAEMAEHAWRRINRGCMEIDRTLLPAAQLVVNLTKTLEVIYLGGRDAYTFAGDLRGLVTSLFIKPVPI >Et_4B_039459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1743068:1745431:1 gene:Et_4B_039459 transcript:Et_4B_039459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKAKASGEVAVMEVSGGALLGVRTRSRTLALQRAQRPPEKGEETGEYLELRSRRLEKPPHPPAPKKGPAGKKGSVAAPAEAADQVSFGENVLDFDAVESALAAILGFEFAAEWRENPILRAPVPAWNVLEVASAATCKQHKVASAAFQVPSSNTRSFCVFLEVASATFQEY >Et_9B_065660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8883443:8892400:-1 gene:Et_9B_065660 transcript:Et_9B_065660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLILLCFASLLSSSFSSPLPINASNAAVQDHDHVVQDVARSVDVSLGRKMLGSCVTGNPVDDCWRCDPNWADHRQRLADCAIGFGRGAIGGKNGKIYVVTDAGDDPANPRPSTLRHAVVQAEPLWITFARDMTIRPRQDLRVASHKTIDGRGAAVVVGDGGACFAVQGSSHVIIHGVTIRGCRSARSDGDGVTVRRSSDVWVDHCTLEDCADGLIDVTEGSTRVTLSNNLLMNHDKAVLLGHSDDFYPDKNMQVTVAFNRFGPGLVQRMPRCRHGLFHVINNDYVDWKMYAIGGSASPTILSQGNRFFAGKAKEVTKHEDAPQSEWSKWSWTSQGDMMLNGAFFRSSGSSRFAIKVPSFAKSASFVPSMTASAGALSYQEEDDRYCAQCSQARKDDWVP >Et_2A_015462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13281176:13282793:-1 gene:Et_2A_015462 transcript:Et_2A_015462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVMGSPGTRSSLALRLSQSLCAGAALVTMVAARGSYFATAYRYLGVSMEMQLLWALFLACVDIHSLKTNKDLHTPYYVWRSLIGDWIMGVNSFAAVSAATGITIYYERDAQFCRAYPALACDQLKLSVVLAFMAWSFIAASATSLFWLMASFY >Et_8B_060840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:948890:952780:-1 gene:Et_8B_060840 transcript:Et_8B_060840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLGGGGSSSRGDHHPSVNPTASGVDSSSAAAYLYSTATASSRGGFQLWPHHPHPVQEHSFYAPTNIIRFAADDPAASGSSSRGGGRGSGGFGAAGSISCQDCGNQAKKDCAHMRCRTCCKSRGFDCPTHVKSTWVPAAKRRERQNQQLAAGDAAAEPSKRPRDAHPSSATTSSGEQQQSMFPREVSSEAVFRCVRLGPVDEADAEVAYQTTVSIAGHLFKGILHDVGPDPSYVAGGAGGMMSRHHAEAAGSSPSTADAAAPVSSSAVVMDPYPTPGPYGGAPFFHGHPRVLMAFICSLPLRES >Et_1A_006374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21449270:21453737:-1 gene:Et_1A_006374 transcript:Et_1A_006374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPFIRLKTRSPPRWDISYSPTSRRSRSPTSPRRHRRRRSRSSTSSLVNNSCSPIPGSEQNSLIGKQREEDEKKRHQKEAEFKLLEEELARKIEEAIRKNVEECLNSDEVKHEIKCRIEEGIRKLFDEVDAQLLKEKEAALHEAKQKAEQERREREELDRMLEENRRKVEEAQRKDALEQHQKELERYLELERIQKQREETLRRKKMEEEEERAKELKLLAKDQETR >Et_5A_042493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15862886:15867348:1 gene:Et_5A_042493 transcript:Et_5A_042493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEALRAKDLAAIKLQGGDYVSAKRIALKAQQLFPGLENISQLLTVCDVHSCAALKINGETDWYGILQVETTADDMLLKKQYRKLALLLHPDKNKYAGAEAAFKLIGEAHMILTDQVKRSFHDSKRKSVVATSSSLPKKRGRPRNKADNATRKANKENSNAPDLRNKPQQHTGVFDGSNFWTICLTCGTKYQYPCSLLMKVLLCRICSRSFLAYDLSKKDPSCNGLGKKQQMFSPSQQGHTTNQQHNYLHVPGQQNPANSHQIPFTGFGIHQQMFLPSQKTHATNQPHKHVHGQQNPSTAFGAQQRMVPPNQQPQPRHVPDKQTQQQMIPPNQHPQPRNVPDKQTHQQMVPPNQQPQPQNVPDKQTQQQMVPPNQQPHPHNVPDKRTSVINQQQRHQKFHFKSGSENVVNSQDAGGPDINGTASSNVTQARACNNAKVARPSFDEHNGGDRSKPQLGDCDEVSLVDKHKRRETAAESGYSVVRDCSEVTMKDGITVEDGDTISRHNPDTASHHENNATVEDGSSVLDKGSNHLPDSPPKKRMRQEYATCNANKIGETDNEDASVCSQQCSIPSTKKTPDESGEVINGLYHDETQGRKEEEMPRFGSDATSRSVNNMPCNATVSCPDSDFYDFENNMHPDLFRADQIWAVYDDHDCMPRYYARIKQVYSPNFMLRFAWLELDPSNDAEKAWSCKELPVACGNFRVGKTLLTEDIKMFSHVVSWIKGRKRCNYEIYPRKGEVWALFKGWGMDWCSDSKDRRPYNYDIVEITSDFARGTGTYVIPLVKVKGFVSIFVRPSKEGPYLIPDGDTPRFSHGIPFHRLSESDSQRIPNGALELDPAALPSDLEKAFTSVDLDSNLMDTRGGNISSDVSSTRSSCRGETTVGTTEQSQDVSAKHTHGGTIKPNTNTQRKQDHTSEASVIDGHCASEWNDSSEPESPTSFDYPDAVFCNFTDLRSFDKFKNGQIWALYCDTDILPKYYGFIKSINQDDRSIHIKWLEHCPCEEMDKCLSQDSFPVGCGTFKVWAIYKNWSRSWSFEDYKRCGYVFVEVLEISNASITVSSLTKVDGFSTVFMPAGRCESRDAMNILKKDLMVFSHQIPAYRLTNEDANLCGHWELDPASVPESFLNN >Et_10A_000154.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:1351757:1351942:-1 gene:Et_10A_000154 transcript:Et_10A_000154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RFLRRRALGAPLPAAVDIPDVRILAHDPADLLPPGQLSSLLCGLVGSQRICFPDMICVETD >Et_4A_032299.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28903574:28903747:1 gene:Et_4A_032299 transcript:Et_4A_032299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVPFLNQQRQVAGDFQPGTMIEPCLTSGLATNQGRQVLFMLKHWQRFMVCRELQS >Et_1B_012425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31941358:31945277:-1 gene:Et_1B_012425 transcript:Et_1B_012425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSPFSMTSSPSVEQEAAKDSSIIVAVDRDKNSQQAAKWAVDRLLTRGSTLQLVHVRAQQNNQTAEAGKDEGDAEMQQFFISYRGYCARKGMQLKEVILDGSDVSKAIIEYATSHAITDIVVGASTRNTFIRRFRNPDVPTCLMKMAPDYCTIHVIHKGKAIQVKAAKAPAPFTTLPPKQQSASIMESDGFSRSARGDWKKISQQSSPQASRTSVDRLSAYAKAPSRDRPLSGARTAPPKDFEDYIDFIAPPRPSVTRSSFSDDIDFPMSMELPSMDFAESMELSSAVSMESLSYAGTDVEAEMRRLRQELKQTMEMYNSACKEAIDAKQKAAQMHQMKLEESKKYQELRHAEEEALALVQMEKAKSRAALEAAEAAQKIAEIEAKKRLRAEWKAKRESEDRKKATDALNKNDIRYRRYSIDEIEAATHKFDKALKIGEGGYGPVYKAVLDHTNVAIKILRPDASQGRKQFQQEIEVLSCMRHPNMVLLLGACPEYGCLVYEYMDYGSLEDRLCRRGKTLPIPWSTRFKIAADIATGLLFLHQAKPEPLVHRDLKPGNILLDHNFVSKISDVGLARLVPQSAADVTQYRMTSTAGTFCYIDPEYQQTGMLTTKSDIYSFGILLLQIITARSPMGLTHHVEHAIERGAFQEILDPTVTDWPVEEALEFAKMALRCAELRKKDRPDLAKEILPELNRLRNLGRDYETSQVSSTSTNCSSSAPYSYNNEDVSIS >Et_4B_036796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11587862:11592047:1 gene:Et_4B_036796 transcript:Et_4B_036796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRGVASHRGRSFARGRGWRGRGRDGGGGSRPSAPPPSSNMSSTAATPTAAATADDAAPIVGTCPDMCPARERAQRERLRDLAVFERVGGDPARTSPSLAVKKFCRTISSTGVQASDLRPLPVLRETLDYLLHLLDSSVHPFETIHDFIFDRTRSIRQDISMQNIVNNQAIQIYEDVVMSHIKSHQRLARCCQDSDASSLCYLNLEQLTKCLLSLFDMYHILHKSNSHSNREAEYYSFYVLLHLGCKIPKMVDSLSLWYGQLAPPVRRSKEMVFARTLLRCYHLGNFKRFFCMVAAEATDLQLRLVEPFLNEVRARALMYFNHSGYKLQHHPLKHLSEILMIEESELEPLCEICGLKISKSEGVIAFAPKQASFSMPTYMPRSNGIHVSKEIERWQ >Et_2B_020640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22274875:22285807:1 gene:Et_2B_020640 transcript:Et_2B_020640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSGEPEPDDAVIFVGVSLVLGIASRHLLRGTRVPYTVALLVVGVALGSLEYGTKHSLGNLELAFVSRCMAQMMLLAGPGVVLSTVLLGTAVKLAFPYNWNWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGSTFNTGSVIKFLTEVSLGAVALGLAFGVVSVLWLGFIFNDTIIEIALTVAVSYIAFFTAQDSLEISGVLTVMTLGMFYAAFAKTAFKGESQQSLHHFWEMVAYNANTLIFILSGVVIADGVLQNKVHFERHGTSWAFLLLLYLFVQLSRTIVVGVLYPLLRHFGYGLDLKEASILVWSGLCGAVALSLSMSVKRTSDAVQPYLKPEDGTMLRILNYTRYEMGNKALEAFGELREDEELGPADWATVKKYITCLDFDDEPVHPHQVSEKDDHMHTRNLKDIRVRLLNGVQAAYWGMLEEGRINQATANILMRSVDEAMDLVSTQPLCDWKGLQSSVQFPSYYRLLQMSRLPRKLITNFTVERPESGCYTCAAFLRAHRIERRQLHDFLGDSEVARIVIDESNSEGEEARKFLEDVRATFPQVLLVLKARQVTYSVLTHLSEYVQNLQRTGLLEEKEMIHLDDSLQRDLKKLKRNPPPVKMPRFSELLNNHPLVGALPPTVPDPLLSNIKETVRAHRTILYKEGSRPTGIWLVSLGVVKWTSQRLSSRHSLDPILSHGSTLGLYEVLVGKPYICEMMTDSVVHCFFVEAEKIEQLRQSDHSIEFFLWQESALVIARLLLPQIFEKMSMHEIRVLVAERSTMNIYIKGEDIEIEQNYVGILLEGYLSNDNNLITPPGVLLPTNSDWNLFGLESSAMNHVDYCYTAPSYQVDARARILIFEVVRTEIEADLQRTVSLLSQTHETPRTPCREHSALLSWPEGFPRRESLPRSPRRPNVSLSEIRNHPGSYSSKALQLSMYGGTIDDMFANHRRQRNQKQAMNQTRSSSYPRLPSTRPATNNTRPLLSVRSEGFNMKRVAARNEASGATATATGIRGSPGTSQKDDNFIDEAVRDEAVVRIDSPSMLSVPHSSNMFHSLQDN >Et_5B_045407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21299062:21305565:-1 gene:Et_5B_045407 transcript:Et_5B_045407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIECESCGAHLICTALTSWSPAEVANAGEAFAEQLDASHQSDCPWRGNCCADSLVQFHLTPSALVGGFKDRCDGLLQFVSLPIIASSAIDSMKHTRRAEIERVLSQSVAILSGELGYKTDIPTGIDINHQDENCSYSQAQKLISLCGWEPRWLPNVQDWEENSTRSAINAGSAEPKDLFHSQFPEQHQNSCSASVKKEKGKGKMRVKDTGCSMRSPLLDCNLCGATARIWDFRSVPRPSHFSLSNIDIPDTGRKPVLTRGISAASGINGGVAEGAEKDNAEGRDEAGTDEGRSLLNAQVDLNLTMAGGLPLKHSALPPMPEHFNFGGMGKDLMIGQPTGSELGGHAASFESRGPSSRKRNLEEGGSTADKPMNRLHPADSIEGTVIDRDGDEVDDAAQDSGTRSKRPRGFNLFDVNRPSSSGAGPSRNLSFELDIDVNRLDTSKAEGPSARQNPSAMDSMRASSVIAMDTVHNLAENSMESVEYHPCDLDDVNKPSSTIRSAGMSEALDLNYSNQAQQSSFVQPADESNAREVEGSSMNAGEEVLNAETTPAFARDQLSLGVSGGSVGMGASHEAEIHGIDVSEHKTCSVVGDADPVPELIETMGHTGESAPGPGLMDESAPEEVGREDPNGDSQDMASRLAVRADSGSKICGSTRADSTESGKKMSHAIVQENSAHPSLSCNARVYSGIDASKEEVTGIMLTNDDYDSGNGLGATNGENDCETDLPEFDPIKHHNNYCPWINGNVAAACCINGSSSTSSTALSGWQLTVDAIETLQSLGQGQNQIMKSDSAASLYKDDHAAPGRKLLKRANHINRL >Et_8B_059893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3824320:3829974:-1 gene:Et_8B_059893 transcript:Et_8B_059893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVRVIGARNLRAMDSNGFSDPYVRLQLGKQRFKTKVIKMNLNPTWDQEFSFLVGDGKDVLKLDVYDEDILSMDDFLGQVKVPLEDVLAAENFSLGTRWYQLLPKGKTDKAVNCGEICVAVSLETAGATRSLSDDLVAELTVKRDYSLSSQISGASVALDYQESETSKEDNVEGHVDAVGIPAKDNCSEGIDRNQAAAEDKSNAIPNVTLNGIGASKTEKCDKPSFVDRVCEIFTKKTGDAALTNTEATEEVQEEAKTVEDHVSQNNVVPPDTPFDELLKSFESRHEGVEMPVNLHGILINQSYLASPSDLNDLFFSPDSNFRQTVVEFQGCTDFRTEPWRVDNGGESLTRVITYTTAPSKLVKAVRATEEQSYLKANGKEYAVLLSVSTPDVPCGTYFRTEILFRIMPGPELDSEQQTSHLFSELLSQKIKPIDAEDAGSDKEQVLASLQGEQESDWKIAFLYFCNFGVLSSLFVTLYTVVHVCRVNSSVVQGLEFPGLDLPDSLSEIIMGGLLFLQMQLIFKKIKSFVQAKEQKAGDHGVKAQGDGWLLTVALIEGIKLAPVDATGLSDPYVVFTCNGKTKTSSIKFQTLEPQWNEIFEFDAMNDPPSVMSVHVYDFDGPFDEVTSLGHAEINFVKSNLSELADVWIPLQGNLAQSWQSKLHLRIFLKNSKGTGMVTEYLSKVEKEVGKKMTLRSPRTNTAFQELFSLPAEEFLISNFTCYLKRKLPTQGHLFLSPRIIGFYSSMFGRKTKFFFLWEDIEDIQAVPPSLSSWSPSLVITLHRGRGMDAKHGAKSVENGKLKFLLQSFASFSVANRTIMALWKARSLSTEYKVQIAEEQSQTNTLQSEDSGIFVGVEDVKSLQMAEVFSSTISTTITSLMELFEGGSLEMKVMEKVGCLKYSATQWESDKPDEYQRQIHYKFSRKLSPVGGEVTGSQLKSPMPNKKGWIIEEVMELQGVLLGDFFTLHIRYQIEDLAPKQKACNVHVSLGIEWSKTTRHRKRIEKNVLSSSSTRLKEMFSLASREISHAK >Et_4B_036958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1342259:1344883:1 gene:Et_4B_036958 transcript:Et_4B_036958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFWFLLIVAWLPVLQVLLVGLLGALLASSRFNILTPDARRNINKIVYIVFVPSLVFSSLASTVTLNDIISWWFMPVNMGIIFSIGAILGWLAVKAFRPGEHLQGLVIACNWGTIPLMIVPAICNEEGNPFGDVNTCNSLGLSYVSLSMALGNFYIWTHSYSVMKRSAKLHKEKRRYSTPVNPRKEESSGQHADDSYAAFLPLASENRCEDVRSNSISSFLSPGDPRTCVIYCSKRTKDIFVEILKELWSPPSVAALVGFTFGAVDKLKSLVAEEGSPLRVIQDSTTLLGDATIPCTVLVLGGNLSKGLGRKLIKPIMMLSIIVVRFIILPACGIGVVTAAATLGFLPRSPLYHYVLLLQSTVPPAMSIGTIAQLFDVGEEECSIIFLWTHLVAALALTFWSTVFMSLNRKEKTVMWVVWDSALMLQFSCTFSYEGELQLWAVRLRFNDFHMDHNSLQNRITDVCSGLRYSLVYLINHPITAFVTLRG >Et_1A_007183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31788090:31791966:1 gene:Et_1A_007183 transcript:Et_1A_007183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVVFLVDAVGAVQLPLVRDGGPAADLHLHLPEDALPLPPHPPDWVRLPPSHLPVLLFFSFRGFFWKAARIDLDTSKISKLAK >Et_1A_008952.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:20675596:20676402:-1 gene:Et_1A_008952 transcript:Et_1A_008952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPSPVRRKVSTTKVKQPNFSAVKDDVLCKSWLEISCDPAVNTGQRKKAFWTRVCQRYNSSCSNSKCGKYPERTQKSIESRWDHIKAEISKFSGYMADVVRTNQSGMTDADYSEAAAANFAAIENHSFTLLHCWKILKNEPKWMDLKRKMDNPQSSASRDNDLTSEHYNFMDLDPDDASPARKRPMGRDAAKAAKKKAASDSLAYASKMHELTVEKIELFKGTEVERKTRLEEMLALEMVKANETREHRQMMLEIEKKRLAIEEKRL >Et_1A_008897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17882761:17885499:-1 gene:Et_1A_008897 transcript:Et_1A_008897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSDLSSDQEATGSSSHGGDIASCYALSPFFVAPVATATAPPPPPPPPPPPPMQLVEQPRTAGNKRKRNQPGNPDPEAEVIALSPRTLVATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRAPPSAVAGGRQQGGDAAQPRKRVYVCPEPTCVHHDPARALGDLTGIKKHFSRKHGEKRWRCERCGKRYAVHSDWKAHVKGCGTREYRCDCGILFSRKDSLLTHRAFCDALAEESARLLAAAHNSSTITNINDSSSNNNLLITNSSSTPLFLPFSNPPPAAHQNPNSLFFMHQQEAPLLQQIQHSTYLDLTVDATVSTVTGDSVADTISFGLTPGGSVALHAGGGNSRRLTRDFLGVDDGEVEELQLPLCSNAAAAVPRAASCATDLTRQYFVERLPPVNDTWSHNF >Et_2B_021297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28365385:28369536:-1 gene:Et_2B_021297 transcript:Et_2B_021297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGEENQDAMNGYEEEEEEVEVEEEVDEEEEEEPHELPGTPEPEEEAAAAAEGARGGGEGGGGGGASGEGSRNGDAGGEEGRGADAGSGDAASGKIFVGGVAWETTEETFTKHFGKYGAITDSVIMKDKHTRMPRGFGFVTFSDPAVIDRVLEDEHVIDGRTVEVKRTVPREEMSSKDGPKTRKIFVGGIPPSLTEDKLKEHFSSYGKIAEHQIMLDHSTGRSRGFGFVTFESEDAVERVMSEGRMHELGGKQVEIKKAEPKKPGGGDSSSNGRYSHRSGGSRGSHRGSGGDSGSSAGGGGYGYGGAYRSAAASYYGSTAYGAYGRAYGYGGFGSAYGGAMYGGPYGAYGAYGGAYGSGAYGPPGGYGAGGYGGYGGGGGGMGGGSAGGRGSSSRYHPYGK >Et_9B_065982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19183847:19186939:1 gene:Et_9B_065982 transcript:Et_9B_065982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGTAGAGGGEGGGGDGGFVRADQIDLKSLDEQLERHLSRAWTMEKRKEEAAAADPRGGGRRRREDWEIDPAKLVVKGVIARGTFGTVHRGVYDGHDVAGNPPATPSLREAEKSPCRDAANAARRRGLRPAAVKLLDWGEDGHRSEQDIAAVRAAFSQEVTVWHKLDHPNVTKFIGAIMGARDLNIQTENGHIGMPSNICCVVVEYLAGGALKSFLIKNRRKKLAFKVVVQIALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPSDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLGNVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPVDQRPGCLSCFRKYRGP >Et_3B_031377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27623514:27627655:1 gene:Et_3B_031377 transcript:Et_3B_031377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEEVQSASKKQRVATHTHIKGLGLDVASLTSPASPLPATGRGYLGFLAPANGAAIGMASGFVGQAAAREGCGLVVDMIRQKKMAGRALLFAGPPATGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRSIGLRIKENKEVYEGEVTELSPEEAESTTGGYAKSIAHVIIGLKTVKGTKQLKLDPSIYDALIKEKVAVGDVIYIEANSGAVKRVEYDLEAEEYVPIPKGEVYKKKEIVQDVTLHDLDAANAQPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDIECFSYLNRALESPLSPIVILATNRGICSVRGTDMTSPHGIPVDLLDRLVIIRTETYGPTEMIQILAIRAQVEEIEIDEESLAFLGEIGQQTSLRHAIQLLSPASVVAKANGREKICKADLEEVSGLYLDAKSSARLLQEQQERYIT >Et_1A_006535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2453737:2461115:-1 gene:Et_1A_006535 transcript:Et_1A_006535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRDSAPAMTRLRLPVLAVLLLLLAAVAVADDGTALLEIKKSFRNGDTALHDWSGDGASPVYCSWRGVLCDNVTFAVAALNLSGLNLEGEVSPVIGSLKSVLSIDLKSNGLSGQIPDEIGDCSFLQILDLSSNNIEGDIPFSISKLKHLEKLSLKNNQLVGVIPSTLSQLPNLKILDLAQNKLSGKIPNLIYWNEVLQYFDVKNNSLTGTIPDTIGNCTSFQVLDLSNNQLTGEIPFNVGFLQVATLSLQGNKFSGPIPPVIGLMQALAVLDLSFNELSGTIPSILGNLTYTEKLYLQGNRLTGSIPPELGNMSTLHYLELNDNQLTGFIPPDLGKLTELFDLNLANNNLGGPIPENISSCVNLVSLNLSSNHLSGAIPNEVARMRNLDTLFLGNPGLCGYWLGSACYSSNHTHKLSISKAAILGIAIGGLVILLIILVAACWPHSTPAVKDVSVSKQDTFASASSIVHPKLVILNMNMALHVYDDIMRMTENLSEKYIIGYGASSTVYKCVLKNSKPVAIKKLYAHYPQSLKEFETELETVGSIKHRNLVSLQGYSLSPAGNLLFYDYMENGSLWDVLHGSSKKEKLDWEARLQIALGTAQGLAYLHHECSPRIIHRDVKSKNILLDKDYVAHLADFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDDECNLHHLILSKVADNTVMEMVDPDISDTCKDLGEVKKVFQLALLCSKRQPSDRPTMHEVVRVLDSLVCPDPLPKPAQPPASHQSSTAPSYVSEYVSLRGGNSLSCANSSSASDAELFMKFGEFSRASRASLLNPRGPGHGGLSVSWRVSKIDGVGDAGNNAATCMGPKPSAD >Et_3B_029178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22762189:22763730:1 gene:Et_3B_029178 transcript:Et_3B_029178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNKQKVRRGLWSPEEDEKLVKYINTHGHGCWSSVPRQAGLQRCGKSCRLRWINYLRPDLKRGSFSQQEEALIIELHRVLGNRWAQIAKHLPGRTDNEVKNFWNSTIKKKLISQAVGSLHAGNIPSPADLYYNILDGAGQSMAAAVCAPLHGPDSASAQTVSTQSPPSMVHNPAAWADFGSQPFFLPGHGIHGGGSGDLQYAVDGDFIKLCRAADAYPPENGAGVAGQCKQADLVAQDGAAVRSLPVFLEPKGTGTFASDQQAMGPVVDFMEAILGSSSTSAVSASSVDSFSANTGMQPHCWIP >Et_9A_060895.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:13481976:13482428:-1 gene:Et_9A_060895 transcript:Et_9A_060895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALEDDDILREILIRLSPLLSSLLRASLVCKRWCRVISDPFFLRRFRAHHRTPPLLGFFGHHKPGFSSLLRPPNRVPSDRFSLPEPRHGFPDRPRLPPRSRPPPQPASARGRRLGPRHPPSPHSSLRAGVRGPRFGWLQPSRRAEDCRCRR >Et_8B_059828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3069114:3070967:-1 gene:Et_8B_059828 transcript:Et_8B_059828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLTMLASELGDATDFEVDGVNNLSENDVSDEEIDAEELARRMWKDKIKLKRIKERQQKLALQRLELENSKSKKMSDQALRKKMARAHDGILKYMLKLVEVCNARGFVYGIIPDKGKPVSGASENIREWWKEKVKFDKNGPAAIAKYEVENSVLRDRKEDVYSSSDEYDVDRSEEPPPSTSSKDEEGDPQRVLPIQIEQTSTRGNRRRRHNKNLDQVLSNEVTNKPRKRKSPPGISQVAEHDDKVIQRNDNNPPEVLSDTICDMNGFDLGEMVSAPNLLTGFNHISTIGALQQQGSSQMNFLSTGAAINNYNCSQAANATQSSNYLGDQPLACESSNIANSWPANSFQQDQPLAVIRPTGFNSPPIIHQTSSMQQSLPVSMNHQVPTMDTGVLGESTSYSHDMAGSVSSSAVAGQAHQFMDDALYSEPAVGRPFEGLPLDFFPTTNQLLDIDNLLDDDDLMQYLGT >Et_6B_049645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7781228:7794168:-1 gene:Et_6B_049645 transcript:Et_6B_049645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHVQSLTVPTDTELLQAQADLWRHSLCYLTSITLKCAIELGIPTAIHRRGGIIALSSLMAELSLPPSKLQFLGRLMRLLASSGVFAVDKSSEEETYRLNRLSCLLVDGVVIDGNAHQKAIVLAANSRHYIEAALGLANWFKDTQLSWTSAFEEVHGAALFEDSMALLDPESDKIFHEAVAAHDNLGISVVLKQCPDLFNGLQSLTDCCGGDGTTAKAIVKAFPHIKCTVLDLSRVINTISDNSSVDYVAGDMFKFIPPAQAVMLKAIPSREGGGKVIIIDIVIGSSSQKILETELLMDMLMLTMTKVQQTDENEWRQIFMKAGFSGYKIVKKHGPRGIFEAQADLWHHSLCYLTSLALKCAVELGIPTTIHRFGGAITLANLATELSVPPSKLPFLGRLMRLLVTFGAFSADDFTETVIYRLNPLSCLLVDGVVVDGNAHQKAIVLATNSRHYIEASLSLADWFKKDMPVHSKSPFQQLHGAALFEESMARLDPESHEIFNEALAAHDNLGISVVLQQCPDLFKELESLTDCCGGDGTTAKAIIKAFPHLKCTVLDLPRVINAISADSSSINYISGDMFKFIPPAQAILLKLVLHFWNDEDCVKILTQCKNAIPPRAAGGKVTIIDILIGSSSRTMLETELRLGMLMLAVTNGQQRDENEWRTIFTKAGFSDYRIVKKLGPRGAMAAQAQSTVVPTDAELLQAQADLWRHSLYYLNSMALKCAAELGIPTAIHRLGGEASVPDLITGLSLPQAKLPFLRRLMRLLAASGIFTFDNSTEMYRINALSYLLVEGITDDRHINHTSFVHTATSPRYIEAAMGLADWFKKNVQTHPFEDLQGVKLFHETMANHDADYHKMANEALAAHDNFGVDIGLREFRHMFEGIKSMTYCCGSDGNDPTPRAIVKAFPHIKCTVLVNPKLIGTIPQADAVINYVAGDMLKFIPPAQVVVFKLVLHHLNDEDCVKILSQCKKAIPSTEDGGKVLIGDIVIDSSPGPMRETHLLMDIGMMTMTEGRERDETEWHDIFMRSGFKDYKLVNTFGARGVFEVYP >Et_8B_059339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16872272:16879973:-1 gene:Et_8B_059339 transcript:Et_8B_059339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRSQQHRHRYTYTSVVFPRHYLEALALVPREFASSNFFAQLNDLISLTSTYSQVVAVKDLASAYVQFLSAPGTPDDAVLAATKFYLEILFFENSLPLHRTLISVLAKCKKYFPVISGCFASLCEEYGGYSSKAKKRFMVSRAALSLIGYPKLGFLDEAVERCAEIMALDVIDGLDGVIEDIGDGSRPSPVVMEQCQEAMSCMYYLLQRYPSKFTGLDKASSVFECAVRTILSVLRSSAFSRDCLVASGVSFCAAMQVFMSAEEICRFISQGLFGISADHEDVSLSPPSILYDFDLSEEIRDLSVLSRLCLLRGILTSIPRAVLNMRLPQSDGSSWTVLYDGILPELCKHCENPIDSHFNFHALTVTQICLQQIKTSVLVDFTDFSGDLKPFSRDVIDRILRIIWRNLEDPLSQTVKQVHLVFDLLLDIELCVPSENNEQNRKLFLCNIANDLLRLGPRCKGRYIPLASLAKRLGAKSLLNLKPSLLSETAYAYIDDDVCCAATTFLKCLLETLRDECWSDDGVEQGYGAFRDLCLPPLMRGLVSGNSKLRSNLNTYALPALIEVDADSIFAMLGFISVGPSAKATKLDVVLKNDQRIAALVSLLKVSRNLALVEGDIDLDSGTLSQRWQEDYNSAAVISVKGINVTVPVNWFALALTHSDESLRIDAAESLFLNPKTSSLPSPLELSLLKEAVPLNMRCSSTAFQMKWTSLFRKFFARVRTALDRQVKQGSWIPCLASSVEGPHSVDTAKAVVIQRAEDLFQFMKWLSSFLFNSCYPSGPYERKMIAMELILTLLDVWPICRSVGKNDLYPYNDRITLPDSTISFVGSIVDSWDRLRENSFRILLQFPTPLPGISLVASINDVIRWAKKLVLSPRVRESDAGALTFRLIFRKYVLELGCIFIFSEESDCLECYTKSLNGDTEGITMQNPVAQYISSLIQWLCTVVEEGEKDLSEACKKSFVHGVLLTLRYTFDELDWNGEAVQSCVSEMRCLVEKLLQLIVRVTSLALWVVSSDAWYMPYDMDDVIDDGPFLSDIYEDQPDTASEMEANGKPGSNAKPAEHVVMVGCWLAMKEVSLLFGTIIRKIPLPGCSHSNSSQNGLSDNNTEETSMSAEILNVEQLETMGEHFLQVLLKMKHNGAIDKTRAGLTALCNRLLCSNDSRLCKMTESWLELLMDRTIAKGQTVDDLLRRSAGIPAAFIALFLAEPEGTPKKLLPRALDWLIQFAKTSLANFQKDSNQKSQVIKDDFGELCELQSETAVCKHSNGSLSKSRDEGVVPTVHVFNVLRAAFNDANLATDTSGFSAEATIVAIHAFSSPYWEVRNAACLAYTALVRRMVGFLNVQKRESARRSLTGLEFFHRYPALHPFLISELRVATELLADGHSSNLESHIAKAIHPSLCPILILLSRLKPSPISCGTDDSLDPFLLLPFIQRCATQSNYRVRVLASRALIGLVSNERLQHVVSDILDNFPHGNHEVVVNSVRCSDPPMSANMGNGNLHPKPFSFNTIHGLLLQLSSLLDNNFRCLTDSSKKDQILGQLIEVLSRRSWLGSSKLCSCPVVSTSYLRVLDLMLDVARTGTSKHTETIQTLLLELSSQCLNNATSTHYAFHDPTLIELQQQATESFFSCIVFSWKNDQTSEEDVQLQIVGEPTSFVSEMFREEITLPEVHKEIMSCLADPTYDVRITVLKRILRLTKSIIHGESKNILHQWARANLQPVLMERLFVEEHPKCLYYILKIIFSRNMECPFDDEEDSITCLSFWDRLNHLNSTMSHAKTKEIILCCMGMCMKRFAKSIRNVVSVDGLETSDLSASFDRINEGNRLSDVMLRANFFVGLVKNHSEPSETVNSRRAAAEAIIASGLLEVANFLAPLVSNMYVPLECDEGRIKEKCMEASTFEFISIYTSKMLDLWFICIQLLEDEDAYLRQKLAKNVQEVIAKCSTSTFCDDSTPLQVDRVIELSFEYLTSLFGHWLKYIDYLLRIVLDTGNTLNSRGDLVRQIFDKEIDNHHEEKLLICQICCSNIQKLLQSKCQMETGGNTKLFLKNWRKSFLNQLTSLSSGYLEKEGQTDWIGGIGNHKDVFISVYANLLGLFALTQSGSLEQLDDSDAIYLQEFSDLEGSITPFLKNPLISNLYLLVKLSHEKLRSPTKPEDQVDCSASSFDPYFLIR >Et_1A_006148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18553703:18555892:1 gene:Et_1A_006148 transcript:Et_1A_006148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVAALSQLQLQLLGLVSELRLLRVSQPHFSNLPDPFDRPNPLIPTATLFSPSQERERAAREELRDAAQRWDEAEEERRREARELRAEVDARDDALQRLDTRIKCLENENEDSTCSMQWTIQMKDKQISVLSEKLNFHMVLFSSVEKEVAAVKQVLGDVQCLVSEKENVVSDLKDKVQRISVLEKDFVEKINFLESKISSYQLELRSRGRIIYDLKDRLEAEKLNNSFQPELEEISIYRDKCICIY >Et_1A_007633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36665590:36667864:1 gene:Et_1A_007633 transcript:Et_1A_007633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNIVLSQKKRDKPQSFLRLREGILLVAIQVVTVTYAAGLNPPGGVWADTKDEHLTGNPILALTYHKRYNVFSSSNTTALMASAAVVLLLLFVRGKSSQRLWFNLVLRTVVSLVGMLALAVAYTAGASRHKSTTVYASVSVSLLFLFVLMTILVWIGMTIPDDGTHVSVNSRAMVSAIFVASMTYTAGLSPPGGFWLDTQDGHRAGDPALLVHYRRRFAAFFVGNTMAFFASLFITPVVLTSMKDGDDDCKVFLQCLFDFINYIAQCGLTVAYAAGSSMEKAYLAYVLLGGLALLPAMVLIFVMTQIWADGDSTSSHDATDRADGDSTSSHDATDRADGDSTSCHDATNRADGDSTSPREDGQGKGMEPFFVLMFTTFSAAVTYQAGLNPPGGLWPDDLDGHNAGHPILLAKQPTRYRVFIYCNSMALVVSLVAIVMSLSRRCQERRSRALDGLLVLDLISLIGAYAAGCCRDVQTSIHVIALGGAIVVYVVFHMAFLMSPDEFRQDDRQDEEWNRDLMLGILGSTLTYQTGLTPPGGFWQRDDEFGHHAGEPVLLSTYPNRYTVFFYLNALCFMASIFLTIFFVNRYLYKAGIRCRALFICVLAVFFGLIGAYAAGSYRSLGPSICIIALGAAVFIFTTLIILLVYKYGKNGRLLIDGGHQENSQQGELSSNKNDQGEQHDVLEYLVLIGSIGATVTYQASFAPPRSFWPDDSNGHAVGNP >Et_7B_053316.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5728662:5730685:-1 gene:Et_7B_053316 transcript:Et_7B_053316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLAITGTQPTWVPYEPTKDCSQGLCSMYCPQWCYFIFPPPPPFDAGGQSPDDPSGPIFSPLVIAIIGVLAVAFLLVSYYTFISRYCGTFGVFRGRVFGSYGGGRARSAGGGGGGGRGGGHGQSRSQESWNISPASGLDETLISKITLCKYKRGDASIHTTDCSVCLGEFSDGESLRLLPKCSHAFHQQCIDKWLKTHSNCPLCRSNITFVTMGMVTPELEGRGPDQSGRDANTREVVVVMDDLEIMCEEQQIAGSSTDGDDHEAIGDAERPDEVDSKAEIREEGSPAKSGPSSSSGPNRDGRMSIADVLHSTMEDELMAARESGLLAAGAGTSRRCHGENSKEWGRSSRRALQDAMDSVPTKRLPPAGRSCFSSKNGRGRDSDLPM >Et_1A_004937.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:17845251:17845367:-1 gene:Et_1A_004937 transcript:Et_1A_004937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFLMGKDPKRLRIAGRNSFMNCPWLSIEKRLSTNTN >Et_8A_058432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7633972:7635695:-1 gene:Et_8A_058432 transcript:Et_8A_058432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSSQVAGTNAIGLFNGADIFNVLTHAILVAATDLPQEFQHRRDIIGLQIYNAPAVVLVLNAGNNNQDVHGLGDSSADALDADLYKLDDNMKEVSLENEEVLRIKAILINHHKQVRYLNPSANFLLESLRRLHLIQLTVEVLENTKIEVAVAALAKHKSHKIRELVLEIDGWKVRAEEWIANTNVVVMAGDSDKSLDRANSSAGEDEWGLAIPPMDVDTAAGTKQQIIVQESSAAQGSLLKKAKP >Et_7A_051165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15024248:15031529:1 gene:Et_7A_051165 transcript:Et_7A_051165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIPTVHRVFRPQNLATISRVKGNESGKPHRILLLSSDSVSISPQGRKHSVIVQGTRGTISEVTDEDDSRSDKDTCRTATKVIDGDIRGRNMGITCSKEHYRSTTKDQTAKVDVSEDEEVSDDKRYFPFSNVLARSRHRDGSIYRGMDLWWKEEYHIANRNKTRLEATMLSHPADCSVRDGFCRLHNGVQMLQIFSLELAEICLDGGLVELYGYIAVRDDLDPLLNYVVKISRDDPIIVEQGSLINVAGPKRGIHLLAPISIEYDMRIKRGQQEDDLPLIDGVSFMGTAGTWNQPFTMRIPGDCGVIGINLSRIDDAVEATIDIHISEVQSSFSLSLRCLTSGLDEEIWLFDGSITESRGLKRSVVAVMRNSLLDLKFEVGTRSTTSDKYCCCFSAKTHGHDIHNIKTYFALFSVKVTWSTLPDYIPRPRD >Et_9A_062448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2319311:2322992:-1 gene:Et_9A_062448 transcript:Et_9A_062448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGAEMGAGGRRGVGLLGAYEMGRTVGEGNFGKVKQARHRGTGAHFAVKILDRARILSLHIDDQIRREIATLKLLKHPNVVRLHEVAASKTKIYMVLEFVNGGELFDRINDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYIMLVGYLPFDDRNIVVLYQKIYRGETEIPKWLSPGAQNLLQRILEPNPMKRIDMAGIKAHEWFQQNYIPVVPYDNEDEDSQLDALLPVKEQTNEAPGDKKTHQINAFQLIGMASSLDLSGFFEEEEVSQRKIRFTSTHEPKDLFDKIESSANGMGFHVHRGHNKLKMMRNYTGSKSPKNGDSTSFLVCAEVFELGPSLYVVELKKSHGDPALYRQLCERIGSDLGVLKMDQILGRQSVENNVESFDNRSATPLVAL >Et_9A_061306.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:9610365:9610484:1 gene:Et_9A_061306 transcript:Et_9A_061306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FSYIKHIATSEKERSPGRFGGKNTEITETGPEQLQNSPV >Et_4B_039219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8905051:8907805:1 gene:Et_4B_039219 transcript:Et_4B_039219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LPTNWTTRQTEKLEEEEKKTWGRNLRHEPRCAEIELTTTSSSSSPPPEWRHLLPQISPLYFRRLLLLLASSHCYSSPRKTLTLAPPPPSMAADYRTPDRLLPGAAEEPAPHGPPKPVLSAPAATATHDGLRFWQYMLAGSVAGVVEHTAMFPVDTLKTHMQANVPACRPVLSLRAALRNAVAGEGGALALYRGLPAMALGAGPAHAVYFSVYESAKSALTERLGHNNPVAHAGSGVIATVASDAVFTPMDTVKQRLQLTSSPYTGVAHCVRTVMRDEGLGAFFASYRTTVVMNAPYTAVHFATYEAAKRMLGDLAADEESLAVHATAGAAAGALAAAVTTPLDVVKTQLQCQGVCGCERFSSSSIGDVLRTIINRDGYVGLMRGWKPRMLFHAPAAAICWSTYEASKSFFERWNDARRK >Et_5A_042801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4626363:4629249:-1 gene:Et_5A_042801 transcript:Et_5A_042801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEAWERAVEAALHAGGEGSSSPARSLTLDGAVKCMHGRLPAAEILERHQSLEHLSIAGVGVTSLEGFPRLRNLTRLTLSDNRIAGGLDHLVAAGLSSLRDLDLSNNRIQDVADLAPLADLRLVSLDLYECPVTRDENGEIGEEDDERLEDDRVYGEGHDDEDADDECTT >Et_4A_033533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24163103:24167859:1 gene:Et_4A_033533 transcript:Et_4A_033533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHGIVDRLTGKNKEAWNEGRIRGTAVLVKKEVLEFDAGDYHATFLDGVDKILGRHEGVAFQLVSATARDPSNGGRGKVGKAAHLEEAVVTLKSKSKGEMVFRVNFEWDESQGIPGAVIVKNMQNIEFFLKTLTLEGVPGKGTVVFVANSWIYSHKLYSQDRIFFANDTYLPSKMPAPLLPYRQDELKILRGDDNPRQYEEHDRVYRYDYYNDLGDPENSDKVRPVLGGSQEHPYPRRGRTGRPPTKHDPNSESRLPPLSLKIYVPRDERFGHLKFSDFLGFSLKALVEALVPTIGVVIDDERYEFDSFEDILAIYELGPEKANNPLLEEIRKNIPIEFIRSILPVGGHDHPLKMPLPQVIKTDVLNKAPDDKYGWRTDEEFAREMLAGVNPVTIRRMTEFPAKSTLDPSEYGDHTSKITEAHIQHNMEGLTVQNALRNKRLFILDHHDNFMPFLDRINKLKHNFIYATRTLLFLKDDGTLKPVAIELSLPHPEGRHHGAVSIVYTPAHTGVEGRIWQLAKAYACVNDSAWHQLVSHWLNTHAVIEPFVIATNRQLSVVHPVHKLLSPHYRDTMNINALGRQLLINAGGIFEKTVFPAKYALEMSSVVYKNWNFTEQGLPADLVKRGVAVPDASSPYGVRLLIKDYPYAVDGLKIWWAIERWVQEYLAIYYPNDGEVRRDVELQAWWKEVREEGHGDLKDKNWWPKMDTVQDLAKTCTIIIWTASALHAAVNFGQYPYAGYLPNRPTVSRRRMPEPGSEEYAALERGGEEADKVLIRTITSQFYTILGVSLIEVLSKHASDEVYLGQRDEPERWTSDARAIEAFKRFGGRLMEIEDRIVKMNEDPEFRNRTGPVNLPYMLLYPNTSDVDGKKGEGLSGFGVPNSISI >Et_2B_019902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1536594:1539155:-1 gene:Et_2B_019902 transcript:Et_2B_019902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNNKVDSLSYDVEAPPAMAGDTTPQPPPPSTTHHQQPQPQRREVLELHKVSVPEPRTTAKALLQRLAEVFFPDDPLHQFKNQSSARRLVLALHYFFPIFQWGSEYSRASSAPTSSPDSPLPVSPFPSYAKLANLPPIIGLCESPLVPCKQVHQQLINQKSVANACYQDSSFVPPLIYSLLGSSRDLAVGPGRVDRVAGDGVHAPGGRVAGRDKVAKREGVGMLRNVQFFPTPNLRDISNKVLYFNMAPKSSCWRVSFYSYKIERGSSNFIAAKQEPCAPLASVIISTILSFIWKSHSISVIGMLPKGVNPPSANLLAFSGSYVALTIKTGIMTGILSLTEGIAVGRTFASINNYQVDGNKEMMAIGIMNMAGSCASCYVTTGSFSRSAVNYSAGCKTALSNIVMASAVLVTLLFLMPLFHYTPNVILSAIIITAVIGLIDVRGAAKLWKVDKLDFLACMAAFLGVLLVSVQVGLAVAVGISLFKILLQMTRPNMVVKGVVPGTQSYRSVAQYREAVRVPSVLIVGVESAIYFANSMYLVERVMRFLRDEEEKALKASQSSIRCVVLDMSGQS >Et_4A_034211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30927692:30930082:1 gene:Et_4A_034211 transcript:Et_4A_034211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGGKRAYSIIVPTYNERLNIALIVYLIFKHLPDVDFEIIIVDDGSPDGTQDIVKQLQQVYGEDRVLLRARPMKLGLGTAYLHGLKHASGEFVVIMDADLSHHPKYLPSFIRKQKETGADIVTGTRYVKNGGVHGWNLMRKLTSRGANVLAQTLLQPGASDLTGSFRLYKRDILENLISSCVSKGYVFQMEMIVRATRKNYSIEEVPMTFVDRVFGTSKLGGSEIVGYLKGLVYLLLTT >Et_1A_009494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6657765:6663383:1 gene:Et_1A_009494 transcript:Et_1A_009494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAGGGGKETTATSLLRCVVLLLLPLTVVYIFYAIHVILSSTPYCPPAADPLITVTAASAVSHLTSGTGNLTLSSPPPPPPPVAPAATTLQHVVFGIAASARLWEKRKKYIKIWWRPGGGMRGFVWLDRPVRGSGAPEGLPPVKVSSDTSSFPYTHRRGHRSAIRISRIVSETFRLGLPDVRWFVMGDDDTVFLPDNLVAVLGRLDHRQPYYVGSPSESHLQNIYFSYGMAFGGGGFAISRPLAARLERMQDACIRRYPWLYGSDDRVQACMAELGVPLTRHPGFHQCDVYGDLLGLLAAHPVAPLVTLHHLDVVRPLFPDARSRPDAVRRLFEGPVMLDSVGTMQQSICYDADRRWTVSVAWGFVVTVARGVVAPREMETPPRTFLNWYRRADYKAHAFNTRPMARNPCERPVIYYLASARRAVARGGETTVTTYQRWRRRNEARPSCRWKIADPDALLDTVIVVKKPDPSLWDRSPMRNCCRVLSSPKGGDGNKTMTVDVGVCEEWEFSQVFPPSFVAPISETPEGETFETECLANSGSVLVSDEYMRQRL >Et_3A_023488.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30564682:30564711:-1 gene:Et_3A_023488 transcript:Et_3A_023488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDWLSSL >Et_8B_060785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7539001:7540255:-1 gene:Et_8B_060785 transcript:Et_8B_060785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRQRVRGGRRPSTSSSRAALRRKVRELRRLVPGGEDAPAGSLLLRTADYIARLRARVELLRALTELCCATAPLQQVPIDDETVRN >Et_6A_047002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2387718:2390849:-1 gene:Et_6A_047002 transcript:Et_6A_047002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRLAVLVAAVAAAAFLLYMDDSQRRDVPVLEIRDDGLDLIAIDGGATGPESVAFDARGGGPYTGVSDGRVLRWLPGERHWVEHSSSAPELLESCRGSQDPGREHECGRPLGLKFNNETGELYVADAYHGLRIVGPNEKVSRPLVPEWQGSSPFSFANGVEIDHETGAIYFTETSTRFRRREFLSIVISGDKTGRLLKYDPKSNRVEVLVDGLSFPNGLAMSSDGAYLLLAETTTGKIMKYWIKTAKASTMEEVVQLSWFPDNIKWSPRGGFWVGLHAKRGKIAEWSISYPWLRRLILKLPMRHVQRVSTLLSQLGRQVIALRLTEEGKTIEAVSVHGDVQKVFKSVSEVEERNGSLYIGSVTSPFLGIYRL >Et_3A_027033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29984912:29987505:1 gene:Et_3A_027033 transcript:Et_3A_027033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPALKTIASRPVDGKEEIIRLCSGGRLKDALHHRFREVLWSEPNLFSHLFRACRALPLVRQLHAIAATSGAASDRFTANHILLAYADLGDFPSAHILFERIPWRNVMSWNILIGGYIKNGDLVTARKLFDEMPARNVATWNAMVTGLTNSELNVESLGFFLAMRREGMQPDEFGLGSVLRCCAGLRDIVSGRQVHAYVVRSGLDRDMCVGCSLAHMYMRCGFLEEGEAVLRSLPSLNVVSCNTIIAGRTQNGDSEGALKYFCMMRGAGVEANAVTFVSAITSCSDLAALAQGQQVHAQAIKAGVDKVVPVMTSLVHMYSRCGCLADSERVFFGFAGSDLVLCSAMISAYGFHGHGQKAVELFKQMISGGVEPNEVTFLSLLYACSHSGLKDEGMDCYELMTKTYGLQPSLQHYTCIVDLLGRSGRLNEAEALILLMPVCPDAVIWMTLLSACKTQKKFDMAERIARRVIELDPHDSAPYVVLSNIQASGRRWEDVSEVRKTMRKQNVKKEPGVSWVEFKGQVHQFCTGDKSHSRQREIDQCLDEIMAKIRQCGYAPDMSTVFHDMEEEEKEASLVHHSEKLAIAFALLSLPEGVPIRVLKNLRICDDCHLAIKLMSKLDNSVGAPLPADSGSATACRPSFIAAKSRQGQFQASHLGNHDLTSTDAGGVGCR >Et_2B_022167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:164841:167893:-1 gene:Et_2B_022167 transcript:Et_2B_022167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTAVLFGLISVTWSAHILVSTLHSSTISSITTKPIHFTRQGRSKSTTIAVAVTMGSHRDFFLDDPFFPFPPTSFSSSSSCPFLDFDRPSSFPASPFHDLDLFLPPVPPLDPFPSPYPFLLRDLTDRVAALELAVAARRPEPPATRKYTYVTESAGRKVKWIAVDKPRRGERDLKWEAEIKSPHDDGFDRKWKWEAKGAAPGGSSARKVKWGAAVKGKGCLEPWSQSYTWEEDFDTSDSDDDQEKPEKKVDKKKKNKVTEDKKKPVKKEKKCPASTAIKIEEIPDDNDAGCAAIRKAFAKGNGKGKKKELTPQDAALLIQMNYRAHLAHRSQVLRCLRDLAVAKAKLKEIRSMFYNISYRRRIAHDHEERQRFSEKIIVLLLTVDALEGPDYMVRTAKKSMLEELEAMLEVVDPQPPGKQRSLSRRKFDLPEGGAISDEKKAGVNNAVRVIKEGKSI >Et_2B_022608.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27826379:27827812:1 gene:Et_2B_022608 transcript:Et_2B_022608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRPHFLVLTFPFQGHIAPALRLARRLLAAAPDALVTFSTTDVAHRRMFPSKHPTEDAAVERGNDDDDDGRLEFLPFSDGTEAGYVRSSDKGSFNAYMVSFHAEGARSVGELLDALAARGRPVSRVVYTLLLPWAADVARERGVPSALYWIQPAAVFAIYHHYFHGHAGVVDEHRHDPSFAVQMPGLPPQAIEDLPSFISESTDPSDPLHSCFTTLRDLFDTLDRESPRATVLVNTCHELEVDALAAVGAYDVLPVGPVLPSGHEAGLFKRDDAKYMEWLDSKPPNSVVYVSFGSLAAMEKEQLDELLLGLEESGRPYLCVVRKDMKLAVLAEAKVEIDEGVKTGMVVEWCDQVGVLSHIAVGCFVTHCGWNSVLESLACGVPMVGVPRLSDQRMNAQLVERDWRVGVRAEVDSNQGVLRSTELKRCIEEVMGKGEAAAEMQRMAMEWKEVVREALEKEGSSDRNLMAFVSGAWSC >Et_3B_028391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14644262:14649304:1 gene:Et_3B_028391 transcript:Et_3B_028391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKEAVLVVCGEDCEAAPMFDINTGHEISYVHDCLAPPSGLAYVAGRLLAASRSGKDQPIFGGSAIYFWASNKLEESHKSYIGEAIGPIAFSKDGIYFSAGAHSGNAYIWEVASGALLKSWRAHKNAISSLSFSQDSSLVISGSEDGTVHVWCMISLFQSEEPQYHEAIKFWPNFRNVIQHRASVTGILTILGVPCPIVITSSLDGSCKVTELMSGNQLCMLALFSPITSIAIDPLEQLLICGAGDAAIYITALYGIRMQRSALKISKDNCQVLYGHKAPVSALAFSSEGAWMVSGSKDCHVLIWDTTTWNVVRKLDKKLGPVTNLLVILMPSISTLQTKKSHALEIPTLATIVKPAKETLIFSQPSGFSEDGDSARACFQSSSLLSKQILDLEEKRTPEAIEMNVGMVVDEQMKNQNMARELGDMNSVLQWKATNVMDLRADKDKLRRGS >Et_4B_037394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19336382:19338738:-1 gene:Et_4B_037394 transcript:Et_4B_037394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGFEETELRLGLPGGNDAEEATRSSGKRGFEETIDLKLKLEQPAPAAAAITERDEEEEAAAAEVVPAAASLSSPAASVVTTGGGNMRRSPSQSSVVTAELPDPEKPRAPKAQAVGWPPVRSFRKNILSVQSSQKGDGAGAAALVKVSMDGAPYLRKVDLRMYGGYQELSKALEKMFSSFTMAGTWDASYFESQQDNVAKLSLICGSQGMKGMNETKLADLLSGSEYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRLMKGSEAVGLGYTEGDGEMQEQMLRRNAYLHASGISCKDTALLFH >Et_4B_039442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1633990:1639721:1 gene:Et_4B_039442 transcript:Et_4B_039442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGGEQLPASLAGADDVVRVGQVRQVGERELVAGQVLVLGQDVFVHADHGLELLLVLLDAALVGVHVLQVWRERELEDELRAGTVEALGFGLQPLLYRRTFQRARAIERLVAVVGEMTCRDIIPPPSKILSLSDQLCFVGPVHEKMCIGQVHKVCQGKIITSKILALSQTLLVHVKHFCELCFILLNHSHVSGPTHHWCKCKLKDNCQTRWVEALAFSLYPLFNGSTANCTVFFLAKEGLAGTWPKGWSLRKDGDLCSPLDMSTGWIWKGTSFSRRQAATRATAGEITGPYTVTGAAIASPPAET >Et_7A_050781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1136757:1140171:1 gene:Et_7A_050781 transcript:Et_7A_050781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLLPAVSRSPVPASVKPRLFHAATHHHQQQQQEHFPSCPYVALLQRSAATADPRLAASLHGALLKPGLLASDIFLCNHLLIAYFKSCLPLHGLRLLDEMPRRNAVSWSAAVAGLTQGDRHREALALFRRMRLAGCPPNAFALVSALNASSFVGGGGRARQLYALAVRLGFDSNVFLLNAFLAAMVRHGQLVDAAQLFDGSCVRDTVSWNTLLAGFARHWCEHAWLLWRRMLREAVRADGFSFSTVLSGLAASVSLPGGLQVHAQLVKSGFSDDVYVGNSLVEMYMKNKVLVDGARAFTEIRCKDVVSWTEMAAGCLHCGEPAKAITILNDMILDGVTPNNFTFATVANACAILSNLDEGRKVHGYVIKLGDVSDIGVNNALIDMYAKCGSVSCAYKVFQSMEQRPVITWTAMIMGFAQNGQAREALHVFDDMLLKGVAPNYVTLISVLYACGQGGFVDEGWIYFNAMEDNVKPGEDHYACMVDLLGKAGHIEEAEELISRMPFRPGVLVWQALLGACHLHGNEAAGRRAAERALALEKEDPSTYMLLSNMLAGRHDWDGAGRARELMGDTEILKLPGSSWFQSMPDRNQASNMRTNGRETNIDEQKSRSRRASYTWEAHSTTGAMRRHGPHQSATKSTSTGRSDSNTSAANSL >Et_1B_012145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29388014:29393567:1 gene:Et_1B_012145 transcript:Et_1B_012145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPPRRGPAYKTKLCALWQRGGCSRDTCSFAHGNAELRRFPGSRSSFPPRPGRRDYRGEDFRDRFDRRRSPRRRYSPERDPRGHRSLHDRRPTSQERESSYSRSPSRKSERRYEKKPDDGETNSSRSLSVSDNNDDRKKDKFSSGDDKEDHEKQLKHIREDMELLRDDKSHLEIILEEKNAEVRKICSRVNDLELLLKKEKEECHRMTSKIKKFIKAHGRFLKAQEELKRSQARFERLGDLLASDILKRSANEEGSSINVDEDPNGPYERSPNAAAPKKRSIPYSTSEEAKAVKKRRERESDTISRPENYRSEGTVAEFDKQKKGPETTKSLYLKKKLWEDEKDKLGNAVSSATSDKVKDSPVKHALPSTGMAAHAMDDPIEALELEDRHEPMDASLENDADDENRSPVMPPRPPPMVNAYEQYEADDVEVDVD >Et_4B_038305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2813763:2815053:-1 gene:Et_4B_038305 transcript:Et_4B_038305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRFLNLISRTRGGMYHNYSINRMDTSPLFNPSTAAIAKNGNGQVRSIEDIPEVEPLPLPTFCFQPFVSNMYHIIKGENMFSSFGEHKILCADGVGYPAAYDMEKHTVLGMPMMNAPKGPMRMVVSSIPRTEGHTLAADSDHDSNTEAIIRHLIDGDHTESKHNGCRIDKLVSLFVSKRRREKAAGSCSILYVMDMSPRNPVPFEVLTFSTRGWVWRPLPCPQFFRDPKYKPRFDSCVVVDGTTILLSPITTDEEVKTASTRQAEFLPEFGLWLGLSHHRPHHLCLCTTRPLDTPTVENAFPDPTTPEDWSLFDQALFNLGSGRFCTVKFFDIGNDLDTCYTAVIFTGVEVVRCDDGEAGKVKVISMSPD >Et_5A_042243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:829379:837387:-1 gene:Et_5A_042243 transcript:Et_5A_042243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVEPNPIEEKKPKEESTDIKKKKRKREPEEGKGKSKSNSQEYLEMEKGGAVNVDEDLEMARRLAKKRKAGKGKLGGDDGFDGDFGSDEETKARDLNVTDGTEFDQKKEKKKKKKAKKDVMVEENDGSVRESEDKTKLDKKRRKTKKDKAEKDSVELEELDNVDTAEGDDGVVFESEKCSVTLEEGKQLDMSKGEHKKREDKRKRKESGNVGQDVGRNTQAAGDVKSGNEERKKSKDTNEGGEMEKEKMKQLGDQGLEMLRTSVKHPELRGCWAEIANALPHRPPVAVYKRARILLNRSADRKWTEEEYETIRRDIHVKDTWRRIKPKNLKKGTWTQDEYQNLFDLVNLDLRVKAHQQLDHGHRLIRDNISWEAISDKLTTRSNKDCCLKWYRQLASPLVKEGVWSDTDDYMLVEALQKVDAVCPEDVDWDNLLDHRSGELCRQRWNQMVRLLGGHREKSFIEQVEVLSRRYCPEMVEYRK >Et_2B_022160.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2927:3388:1 gene:Et_2B_022160 transcript:Et_2B_022160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSAAVTAPSFAPARAVARRASSLSVRASLGKAAGTAAVAVAASALLAGGAMAQEVLLGANGGVLVFEPNEFSVKSGETITFKNNAGYPHNVVFDEDEVPSGVDATKISQEEYLNGPGETFSVTLTVPGTYSFYCEPHQGAGMVGKVTVN >Et_10A_000750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16384464:16400577:-1 gene:Et_10A_000750 transcript:Et_10A_000750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CVGGTKGHRYSLGLTAIAASGHNESMARISYRTLIYIASARMFNCKKKNLMSVLKYICRLIFLHIILFLCLFGPGRPAAKAADTIGNGGNITDGNTLVSAGGSFTLGFFSPPGVPVNKRYLGIWFTVSPETVYWVANRARPVNGNRGVLVMSNTGSLLLLNGSGSVVWSSGSTSSPSAGARLLESGNFVVQAGEGNGTALWQAFDRPCNNLLPGMKIGKNLWTGAEWYISSWLSAADPAPGNFRYITDAVGMPENVLWDSNNTKRYRSGPWNGKRFNGNPDSPTLTVSPGEVTYGYTAKPGAPYSRLTVTDDGQVQRLVWDASKQAWTAYFKAPRDDCDNYAKCGPFGLCDSYTRSTSLCRCIQGFSPVSQSEWSIRDNSHGCRRNVALDCGNQSQASTDGFALLRGLKLPDTHDASVDMGLSLDQCRSRCLANCSCVAYAAADIRGGGDGTGCIIWKNSFVDVRIIDGGQDLYLRLAHSEIEQDPGPNPGLTVPSIPYQSIKEATNDFSSTCILGEGHFGTVYECELCILDDEPTRQGTPRKVAVKVLKQSDENSYTRELRLISRLTHIYLVRLLAFCNNVTDNNRGTEESKRILVYEYMKNGSLDRYIFGTEMRSRLTWKQRVKIITSIAEGILYPHAGCGREEVIHRDLKPDNILLADDWTPKIADFGLAKYITRDQNELHTAIISCKYRSMIVAFVLHQRTISAWSFWKSKRTLGLRDPSVPLSEEESHPRFLTKLERCIHIGMLCVQESPSRRPHISEVVEMLSCQDPLPMPEEPTLHDSGETSEGVQQ >Et_1A_006406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2237525:2241479:1 gene:Et_1A_006406 transcript:Et_1A_006406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQLSGAGVGTAAFAGKRSASVDALRLPSIGSVVGARSSRRAFRGLVVRAATVVAPKYTTLKPLADRVLVKIKSSEEKTTGGILLPTTAQSRPQGGEVVAVGEGRTIGDNKVDVSIQVAEAEDKTPGGLLLTETAKEKPSIGTVVAVGPGPLDEDGKRSPLSVSAGSNVMYSKYSGSEFKGADGSNYIVLRVSDLMAILS >Et_1A_008764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12164612:12165735:1 gene:Et_1A_008764 transcript:Et_1A_008764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLIVGKVIGEVLDQFNPSVKMMVTYGSNKQVFNGHEFFPSAVTAKPRVDVQGDDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVVSYESPKPNIGIHRFIFVLYRQKRRQSVSPPASRDRFNTRRFAEENELGLPVAAVYFNAQRETAARRR >Et_10A_002065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2094114:2094852:-1 gene:Et_10A_002065 transcript:Et_10A_002065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFRVFHRSYGEPWSCAFSTSVDGESGVDVDRWRAVPPSKDDVAEGYVQFAGRTDGSLYVGTIRGSVMALDNASLESGVVSSSGEWVLEHSISRLPEAFGILTGYAKKYDWVCKVVVGRLGFIVLLPWDYGCFHLHTPMAAAHECLHWSSYHATLYVFRPHVGNLDDNGT >Et_4B_037549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2144811:2148114:-1 gene:Et_4B_037549 transcript:Et_4B_037549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSDATPAAPGSDKSPRQPRALTVVAQSGGIEWVGNGLQFAQLNGHAKAITGVAQPDASPSPATHPCTVKVWRAKPHRDELDLEVHYVHNGTYDPDNKPVLMVSRGDGIVRVYDLPSLKKRGQIGCNGEARTISVMSPGVIFTGDASREVRVVEWAPRAEAESRYWPGDYGVGKSS >Et_3A_023591.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:8757172:8757207:-1 gene:Et_3A_023591 transcript:Et_3A_023591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTCPRHFLA >Et_3B_030143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30940832:30941747:1 gene:Et_3B_030143 transcript:Et_3B_030143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASSDERAERRRRGRALGQSEASTTGLLEVRLLGQDQEPLARKRRGCKVAPEPKGQEDPAAQEPAMPGSPSFRYYCQKAAAVDALVAEAEARDGEGSVRITGTYRLFRSSPSMAPATAIFSLSCISELHLLTSDQVAPLQRLGDRRCCVVQLVQPPQEQSSDASFCGDCEVSFSQPRSRPCCSCKFSYLTRHRCMGSFTCFITSRLTKSMADSVIVYGASFASFAVFKPWLFA >Et_3A_024987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25905722:25908479:-1 gene:Et_3A_024987 transcript:Et_3A_024987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPPFLPPGPGDSPPPDPPPPPPNVTAVPPSPPPPSPPPPSPPPPVPAPTPSPPPPVPVPVPVPTASPPPPVPVPRASPPPPETVPTPNPPPPVRRPPPPPDVVPTSPPPPSPARASPPPPSGVPASPATPPPSRLTLPPPPPSQPSAGAPSKQDPPSSKLPLAVGVSVGAVLLLALAAGLICFFAGKKRRRRSPPPSQQGYPAEFYDPRRPVSSTPPHLSHAASTTPSSTPPLMHSWQSSRGPSEPPLNPSPLMAAAGGTFAYDDLAAATDGFSEANLLGQGGFGHVYRGTVGGQAVAIKKLRAGSGQGDREFRAEVEIISRVHHKNLVSLVGYCFHGEERLLVYEYVPNKTLEFQLHGSGRPTLDWPRRWKIAVGSAKGLAYLHEDCHPKIIHRDIKAANILLDYNYEPKVADFGLAKYQAVEASVVSTRVMGTFGYLAPEYAASGKVSDRSDVFSFGVMLLELITGKKPLLSSSDFQQETLVSWARPLLTRAIEEENYEELIDPRLETNYDAHDMERLIACAAAAVRQTARSRPRMTHIVRYLEGDLSAEDLNAGVNPGQSAMHRSGGGTTDQIGRLRRMAFGPGAGEGTGITEYTSSEFSEHTSEYGQNPSSEFTASSAGDTGEVAPTPQWSGGRRDTERMSRRTTISRQAGRGGARP >Et_1B_012557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33180876:33182152:1 gene:Et_1B_012557 transcript:Et_1B_012557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSSSPLSVPPGFRFHPTDEELLYYYLRKKVSFEPIDLDVIREIDINKLEPWDLKDRCRIGTGPQDEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIFLGNAGRRIGLRKTLVFYTGRAPHGKKTDWIMHEYRLDDGDNIEVPPVLEDGWVVCRVFKKKSIQRGFEQQPGMAAASDDEHQSFHSPVGATQVDQKQGLHYQLMHGGFPAFDPSMHLPQLTSPDATPATCAPAFMSGTPPFVAMNSPDMGCSSQYMAKLTASAAGGNSGEVLLNSGGERFGAAADWSILDKLLASHQNLDQIFHGKFGGAAVEAPHHFQQQQQQMMMMMEMGASSLQRLPFHYLGCEANDLLKFSK >Et_8A_058145.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:21280987:21281667:1 gene:Et_8A_058145 transcript:Et_8A_058145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFPDLGMTPLDCHETVWVRSAVFFAFNYSTAKPLELLLDRNYEQGIYYKAKSDHVQEPIPRRVWERVWTEWLDQKKKPNEAGMLLLDPYGGRMGSVPPSATPFPHRRGNLYQVEYGSYWYQNGTEGWERKMSWVRGLYQAMEPYVSKNPRAVYVNYRDLDLGTNELDQGNVTSYAKAKVWGEKYFKGNFERLAAVKARVDPHDIFRNEQSIPPLPAEKAWSSI >Et_7B_053850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12808594:12813477:1 gene:Et_7B_053850 transcript:Et_7B_053850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRMLRRKDFQTGLVNLALRTDRGGTKHCPNGTVRKLAQFYQSDRPRHAASLMNFNHFTIRNFHAGVYMLAWSRKREDVVGLKAPKKEKRVKKENRTQPPVEAPYVAPKLKIATKPIPDKTVEIFDGMTLLELSKRTGAYISALQDILADLGENVESEFDSISIDFAELIAMELGVNTRRMHTGVGTMEPRPAVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHIGAFVVEMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKAANVPIVVAINKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVIEISAVAKSGLDKLEEALFLQAEMMDLKARIDGPAQAFVVEARVDRGRGPLATAIVKSGTLVSGQHIVVGAEWGRIRALRDTAGKITDSAKPAMPIEIEGLRGLPMAGDDVVVVDSEERARMLSQGRKKKQEKDRLRKIDENVEDDIDTPEGTPERVEMPIIVKADVQGSVQAVTDALRSLNSAQVFVNVVHVGVGPISQHDIDLAQACGAYIVGFNIRSPPIAITQAATRANLKHKIIYHLLEEMGRLIVEKAPGTAETQISGEAEVLNIFELKGRSKSKGPDIKIAGCRITDGHFSKSGTMRLLRSGETVFEGPCASLKREKQDAETVDKGNDCGLVIQDCDDFQVGDVVQCLEQVIRKPKFISTQSGSVRIEC >Et_2B_022816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5897562:5900108:1 gene:Et_2B_022816 transcript:Et_2B_022816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTAGEAMRVTAVAVMVFFFAAVSVAAAGALPRFAEAPEYRNGEGCPAAADGVCDPGLVHIAMTLDAHYLRGSMAAVYSLLKHASCPESIFFHFLAAAGGGGGGSEGVEPELLRRAVAASFPSLRFEIYPFRADAVAGLISASVRAALEAPLNYARNHLADLLPRCVPRAIYLDSDVLAADDVRRLWETRLPAAAVVAAPEYCHANFSRYFTPAFWSDPELGARVFAGRRRPPCYFNTGVMVIDLRRWRAGNYRHRIERWMEIQKEKRIYELGSLPPFLLVFAGEVEAVDHRWNQHGLGGDNIHGSCRPLHAGPVSLMHWSGKGKPWDRLDAGRPCPLDHTWKLYDLYIPGDGGDAASPASGPALSSALPASPQLFVTKTTCNGLLEKTGIYNQKFMVSD >Et_1A_009443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5389053:5391666:1 gene:Et_1A_009443 transcript:Et_1A_009443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHICMDSDWLKGIVVPEEAAGMGGSSSPSTEQLIACPRQMTMHHPAAADRRLRPQHEQPLKCPRCESTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGSLRNVPVGGGCRKNKRSAGGAGKKPSSAAPAPAPQLLQAARHMVAAETGLHLSFAGMQPQLTSPAAADPLCGLGLLDWKYDPILAAASSGGAALDGGGNSAEAHFAGGAGMMGIPGNGGGAGEYGHALSALRYAAGLGEHLQAPFGTSRAENDHGVVEVKPAAERLLSLEWYGGEASRAPESAISSLGALGLWSGMIGSAHQHHGSSAAI >Et_6B_048980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16271380:16273224:-1 gene:Et_6B_048980 transcript:Et_6B_048980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKRRVLKEDPDEYYNRMINDPDRPEIYTPEYLEEDRQLMRDIAEQYRHAGDYLEKFLEWVRIQLKTKGRVFVDDTFLARRVMLRQAFTECWTGQMRDEEDAKKQGGGAVESKLKYVIPPKHHICSIMVKARLLAL >Et_5B_044155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20828825:20831065:-1 gene:Et_5B_044155 transcript:Et_5B_044155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHTPRTANSPSSDPPIRTTMSSHCSPSPSTPASPLSMMRVTSSPSPSHATRRLSSQSTHGGSHKSPSPPTEDGSRGDTMTTEVVAATVVAGLLFVVLVAACACGCRSKKRRTAAHHPPGGMMFYADSSGFKGRRGRTRWRHHRRTSGSNTKQRPAPKAPAPPATTPAATGSHGGLPPPVPPPPLPMPAGLDTASFSYEKLSAATGGFSAANLLGQGGFGYVYRGVLPGGNGGTEVAVKQLKAGSGQGEREFQAEVDTISRVRHRHLVSLVGYCIAGAQRLLVYEFVPNQTLEHHLHGKGVPVMEWTVRLRIALGAAKGFAYLHEECDPRIIHRDIKSANILLDNDFEAMVADFGLAKLTNVNHTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELLTGRRPGDRSSYGQDGLVDWARVALSRALADGNYDPIVDPRLDGDYDPVEAARVVASAAACIRHAGRRRPKMSQIVKALQGEMTLEDLNDGVRPGQSVAFGSGSGSGSYTAQMERIRKAALPSPEYSAEYPGSIPEFGHPSTASSAASSHQDDRRRQARR >Et_1A_008974.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22959232:22959552:1 gene:Et_1A_008974 transcript:Et_1A_008974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWSAAAAAASAAATAVASAACPPAVRHVSLPFLPFLPVGRSRFSVLDSHSHRLPALTWLQGSPTVSEDGRRRTRSAAAPAVSEDKFAPRFDGLRFIETLVTAHR >Et_4A_033012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1746475:1747836:-1 gene:Et_4A_033012 transcript:Et_4A_033012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAASGMEVEQDLPGFRFHPTEEELLEFYLSHVVHGKKLHFDIIGTLNIYRHDPWDLPGMAKIGEREWYFFVPRDRKAGSGGRPNRTTERGFWKATGSDRAVRSSGDAKRIIGLKKTLVFYKGRAPRGTKTDWVMNEYRLPDFGFATGRAAPAPPKEDMVLCKIYRKATPLKELEQRACETEERQRRSNLDYMARASLVRVDDYLSPDDSFLIPSSSSSAPSEDSNNAPVGAKTEADAATVTVAATSLPPLQHVTATPAALSLPPSMQLPAVRHGDLPSLQVPGNHGVFDWMQDSFQLRSPWQDQLFLSPLANLLY >Et_9B_064602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16882145:16885472:-1 gene:Et_9B_064602 transcript:Et_9B_064602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADAAADQNPRDAEPRKTANEDKEEAEFEDEEYDEDDEDYEEDGEVDDSGVSAAERHKIQAVFKRLSSEPVGIRVHDVVIKGNSKTREELIEAEVVDLLRAASTVQDLLRASTVATARLQRLEVFDAVKITLDAGPPELPGTTNVVIDVVEAANPVTGTAGVYSKPEARSWSLEGSLKLKNLFGYGDIWDASGAYGWDQTTEVGVGVYLPRFKSIPTPLMARASLSSQDWLKFSSYKERLLGLSFGLLSTTNHDLSYNLTWRNLTDPSRAASQSIRRQLGHNLLSALKYTFKVDKRDSTLRPTKGYAFQSTSQVGGLWDSKGLKFFRQEFDVRGALPLGFYNSALNVGLGAGVILPLTRGFMDSSSPVPDRFYLGGHSSPVCSLSGLSSLLGFRTRGVGPTEPRRLVPTESDGGSSAPPGRDYLGGDLAVSAFADLSFDLPLKLFRDAGIHGHAFLAAGNLVKLSEGEYKKFSVPAFRDTFRSTAGVGIILPTKLFRVEMNYCYILKQAEHDSGKTGFQFSFSSPM >Et_10B_003496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2086643:2091548:1 gene:Et_10B_003496 transcript:Et_10B_003496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLSRTAAAAALRGGVRPNHLLARSLPKETLLPLLLVATLLAVAVRVGWPARVAGDAGFGCRASSSPLTGAFGIAARCNATSSSSAVSEAAGVHTLPQTEPVVSAEWLHANLRDPDVKVLDASWYMPAEQRNPLQEYQVAHIPGALFFDVDGISDKTSSLPHMLPSEKAFSAAVSALGIYNKDGIVVYDGKGLFSAARVWWMFRVFGHDKVWVLDGGLPQWRASGYDVESSASSDAIVKASAACEAIEKVYQGQTIGPSTFEAKLQPHLLWSVDQVKENIEKKTHQLIDARSKPRFDGAVPEPRKGIRSGHVPGSKCVPFPQVLDSSQKLLPQEELRKRFEQEGISLDQPLVTSCGTGVTACVLALGLHRLGKTDVAVYDGSWTEWGAHPDTPLMETNDCTPLYCDPVEKEN >Et_6B_049870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1586995:1591536:-1 gene:Et_6B_049870 transcript:Et_6B_049870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNIPSCKSQAPVAAAAAVAAISGLRFSSTFSFPVLVSSPLPVSEEDPFAGLLASDPPPPEPLRLVLASGDVHAALRGLPAVARQLFRWAETTPRGFPRSASAFAAVLVPLAQANHIRAAYPISLRALRLGLLIPLLSLLLAHPISPYCRSLLGLLLRLSTKFSTECEAHSLAPTTCSTLCLSAFREMARHGVDPDVKDCNRVLRVLRDAERWDDIRAVYAEMLQLGIEPSIVTYNTLLDSYCKEGRRDEVAKLLKEMKAKGAGCLPNDVTYNVVISWLARNGELQEAAELVDRVRLSKKASSFTYNPLITGFFERGLVKKVEALQLMMESEGIMPTVVTYNAMIYGLLKNGQVEAAEVKFMEMRAMGLLPDVITYNSLISNLKEALWLLGDLKRAGLGDLEEAQRFKEEMVEQGILPDVCTYTILMNGSCKVRNLDMARKCFDEMLSKGLQPDCFAYNTRICVELTLGDTSKALQLMEVMELKGVSSDTVTYNILISGLSKTDNLNDAYALCKKMVDDGLQPDCITYTCLIHAHCERGLLREAREIYDDMKMLEKGVEPTEDCAWLLQKKEATSGSSTGIAMKLGKKATSS >Et_5B_043900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17409799:17418771:-1 gene:Et_5B_043900 transcript:Et_5B_043900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LKNASLILLRGGGYRLQSQTLPAVCSENVLPLIRRYCSVSIEGITNREGDGEVRGQDAAVMSPDNSDSNSEVRHANSTISALIKDCEKQGPKKLHETKANTPVPLASQEVLYHGKKLPCKASQPCLLQVVLDTHGSPPRTVLDKWVQDGNCLEGNEAQLVYLHLRKHRMNRKAFKFVEWIGRGELLHFEEHDYAYHLDSLVRYYGIEAAQKYIEGVPKSFRNGVLYETLLSSCVHLTDVQKANEVFKEIRDLSLPLTVSACNKMLLLYKKVYKRVARKQIACKKVADIVMLMEKENVKPSPLTYKLLIVLKWRFSDTSGMESVLDMMKANGVQPDFATQTIVAKFYISRGLTEKAEEAIRAMEVYVNKRQHSIKSLLDLYAILGRPDDVSRVWKSCREPKLDEYLAAIEAWGKLGCTEEVEKTFEALLQASPKVTSKYYYAIQNLYAKNELLSNAKEFLERMLQKRHGRRTWTYVLLLEAYVNANKTPDHRVIERMRANNVPSETMNKLLRNASLKFLIEEGYQLSPRTLPVRFSKNVLPLLRKFCSATIESLPKGEVNGEFPGQDAAGMSHIDTETSHGGGSIGATRKVVKKQGSKNRHGTKANISSPQASHYHEKELTGRAFQRYLFQIVLDTPRNILVTVLDKWIQDGNRLEKNEVLLALFHLRKQRLYSKALQVMEWIEREKLLNLEEHDYACHLDLIARNHGIDAAQRYIERVPKPLRNEVLYESLLVNCVCLNYVQKAEEVFKEIRNLSLPLTVSACNQMDDVARTWKSCTEPKLDDYLAAIGAWGKLGHIEKVEETYEALVKTTPKLSSKYFNAMLNVYAENKLLSKGKEFLERMCSAGCPSGPLTWDALVNLYVNSGEVEKADSFLLKVTEENPDRYPLFRSHVKLLKAYAEKGDIHNAEKIFDRLKKVRYPGRTPPYDFLLKAYVNAEVPAYGFRERMRGDNVRPKNTMMEQLKHLDNLKQ >Et_5B_045619.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5592774:5593382:-1 gene:Et_5B_045619 transcript:Et_5B_045619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSALMTTAGTVDNMDHDILDNGATPGRHDVVRTATPFAAGAGHIRPNLALDPGLVYDAGTRDYMDFLCALNYTTDQLRRFAPDMATCTKALPGGPAGLNYPSFVVVFDNRTNIRTLTRTVTLVSEKAETYDVIITAPGRVKVTVTPTTLEFKKPKERKSYNVEFMSLAGGNVTAGWDFGHISWKSKDHLVRSPVAFQWKN >Et_4A_032173.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22252830:22252901:1 gene:Et_4A_032173 transcript:Et_4A_032173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYQAKKGLPITWVPRRLKTWAA >Et_5A_040692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11166423:11167478:1 gene:Et_5A_040692 transcript:Et_5A_040692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRARRPSTEMRSIHRELERRRPKPLAPKRPPAKKAPAPPPSSIGGVGDKVRRPCALLPCKNPSSITCKSSSFAGTVDTEPLHHAPLPPPPCRSAASSVLSASEAIPFVAARLKPSTSVRVRTRTMVKLAAKSVEIWLWLPAIVVSAAADGSYEIVYKGKLPPGDPFATVHVLSDHVVPEKQPPTTPLPSCAASLSTALATEKQSTPRPTTAGKSIHVVRKILSEMMESVSADGSSLPRRDPFARDQVTPPQPRAASSCPSAASKTSKIQSLRLLPKLVSEMQAAPRPTIAGKCIRAIPKIMSSMEFQAQPQAMLPGY >Et_8A_058002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11613299:11617259:1 gene:Et_8A_058002 transcript:Et_8A_058002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGDLDRISALPDALLHRILAAVGDAAAVSHTAVLSKRWRRAWMQADRLLLVDRKGIRPGRFADFVDWVFAQRGDAELGSLHITHAYGYRAAFIKQERVNQWLSYAMQCVVKSLDIWVPFVATIRPNDEPAVKMPGHGRMSCVKLQLGYYKLQLPVAATAKYEALTELTISSTTFDEEEEEHGGVRTLGDFVSTCCPRLRKLEICCCDGLRQLVLRTEALQELILYFVDDLETLDVAAPNLRVLKIICSTEGSVVTVCSKKLEDVAVRCLRDVTLDIRYLTNVRCLGPIDVYMHGQHVGSDSGGGMWLLENCPGAKHIKLSLKHWEASNVTDGELVDYLTQYQDAPLATFANVRTMEVIVTANKFPEGHLVASMSSLLLRFPHLRSLCINIDTSSNSWDSSWRWDCLCSRLDTWIDPQKISLGSLETVKISGFMGADEDIDLVSLLFASSNSIKSMTASWEWTKIKTSNATTDTPATISLKQMMAEGDNNGIETVAQKLTNIPRYSFLLDGIKGRPYFHISGNQDAHFSTIFKHLYFVIRRND >Et_5B_044607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:428441:436124:-1 gene:Et_5B_044607 transcript:Et_5B_044607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKLMEQDKKARVLCLHGFRTSGSFLKKQISKWHPSIFQHFDMVFPDGIFPAGGKSEIEGIFPPPYFEWFQFNKGATLSALLIGYQLQGKVLSDHPPIKFMVSVSGSKFRDPSICDIAYKDPITVKSVHFIGEKDWLKIPSEELASAFVDPVIMRHPQGHTVPRLDDASVKQLSEWSSSILEDLKIVVKALNSEQTSDKETTTAEPAETLTLEAYENSRCYM >Et_4A_035547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28657053:28658593:-1 gene:Et_4A_035547 transcript:Et_4A_035547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDALAFLLRSLRRGGTWSAGSGAGGLVGALLLFRRPGGAAAAFRKVFGDLTLRDTVRPVLVPCYDLATSGPFLFSRADAVETRAYDFRLRDVCAATCAGADGSSAVEVRSCDGATRIAAVGGGVALGNPTAAAITHVLNNKREFPLADGVGDLLVVSIGSGEADHHRPAASTSEIVRIAAEGVADMVDQAVAMAFGHSRTSNYIRIQRALPQAVSVRGVHATPHGTTIEPFWSMDATGTPRANQAAEEMLSQKNVESVLFRGKKVAEQTNAEKLERFAHELVKERDRRRTSPLVSPAVVKQQPPASYSNLVSHMLTSIM >Et_3B_028041.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:4376345:4376557:1 gene:Et_3B_028041 transcript:Et_3B_028041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGLWGDWRGMDCRTVGRVPFAPKVWSSSTNDHLFLSCVYRREDWFKLLRKCSWQALGPNTEDSAVVW >Et_1A_009278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36636111:36640188:-1 gene:Et_1A_009278 transcript:Et_1A_009278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSPFSTTSSPSVEQEAAKDSSIIVAVDRDKNSQQAAKWAVDRLLTRGSTLQLVHVRARQNNQTAEAGKDEGDAEMQQFFISYRGYCARKGMQLKEVILDGSDVSKAIIEYATSHAITDIVVGASTRNTFIRRFRNPDVPTCLMKMAPDYCTIHVIHKGKAIQVKAAKAPAPFTNLPPKQQSASIMESDGFSRSSRGDWKKISHQSSPQASRTSVDGLSAYAKAPSRDRPLSGARTAPPKDFEDYIDFIAPPRPSVTRSSFSDDIDFPMSMELPSMDFAESMELSSAVSMESLSYAGTDVEAEMRRLRQELKQTMEMYNSACKEAIDAKQKAAQMHQMKLEESKKYQELRHAEEEALALVQMEKAKSRAALEAAEAAQKIAEIEAKKRLRAEWKAKRESEDRKKATDALNKNDIRYRRYSIDEIEAATHKFDKALKIGEGGYGPVYKAVLDHTNVAIKILRPDASQGRKQFQQEIEVLSCMRHPNMVLLLGACPEYGCLVYEHMDYGSLEDRLCRRGKTLPIPWSIRFKIAADIATGLLFLHQAKPEPLVHRDLKPGNILLDHNFVSKISDVGLARLVPQSAADVTQYRMTSTAGTFCYIDPEYQQTGMLTTKSDIYSFGILLLQIITARSPMGLTHHVEHAIERGAFQEILDPTVTDWPVEEALEFAKMALRCAELRKKDRPDLATEILPELTRLRNLGRDYETSQVSSTSTNCSSSAPYSYNNEDVSIS >Et_3B_030054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30108270:30116791:1 gene:Et_3B_030054 transcript:Et_3B_030054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQLQSQSCGAFEGFKNDYFMAIAKQSIFALLRFADGFTSIQSPEKLIYVLEIYEALSNAAPGFLVLFPGDHAKLVSGHVAAKLARALRVAVAGLVTKVRTDCSHAETSATRGVGVHSLTRYAMICVELLAPHRTSLDLVLATGGEHEHRVLAEDAAEVLIAGLERNLEAESTLASCADADGSANLFLANNASYVLSRAADADALPLLGDEWVARRRAQLERHAASYVEACWAPVVACLETGRPAKALAKFNAVFKEAYGAQVCREVADPALRAVLRKSVSEMVVPAYSAFVRKHLELEKSVRHGAQQPRSGRSSGDHPMQPLHGPPHPPRGARAPPGRRPRPRRDSPLRHVHADPVRYLAVAAAAPDGVDLVALPGPPADALPPSASVRERIAHAVASSVPHAEQLVRSLAAAAAPPLASLVVDMGFVGVRGLAAEPGVPVHVYFSSNLTVLSLLLHLPELDAAVAGEYRDATEPIRLPGCMPIVASDLPSPMLADRSSELCAKFLHRVKEYRKTDGFIVNSFRELEPQVVASIGALKLNLSVYAVGPVIWNRPAAADKEHECMRWLHRQPRGSVVYVSLGSGGTLTSQQTAELALGLEMSQQRFVWVVKRPDDAPFGCGSSFFGSQMGVNEEAFDFLPEGFVERTRGVGLLVPSWAPQAAVLSHPSIGCFVTHCGWNSVLESFLNCIPLIAGPLYAEQKINAAMLEGQLGVATRVKLSEGGLVPKEEVVRAIKCAMKDGDGETMRNKMHRLKDMALRALSLEGSSAHAIAQLSKSPRPRVILLCSPSFGHLIADHGLAATVLFAGATPTPSEEYLEAAAAVPEGVDLVALPPSASSVRDRIAHAVASGAPRVVQLARSLAASALVIDAGSVSARRALAAELGVPLYMSFTSPWTVLSLLLHLSELDATITGEYRDMTEPIRLPGCVPIVAADLPTPLLADRSSVAYARYLAGANEYRKVDGFLVNTSPELEPAVVDGMPGLRVPVHAVGPLVWTRPAAVDQHCRNKCLTWLDQQPHGSVVYVSFGSGGTLTWQQTAELALGLEMGRHRFIWVVRRPDENPLGCGSFFGTQRGVDEALDFLPEGFVKRTREIGLVVPSWAPQTSIPIGCFVTHCGWNSVLEGILNGIPLVAWPLYAEQRINAAMLEAGELGVATRVRVGDEGLVCKEEVARAIECAMENGDGETLRNRIHKLKDKMLQRSELEGASMGAAVGKPAEDLAGATQTRAAARLASAEHAILQWNRSPGADSGIWDADANYTNRGLLSAVDEVLLLAEEDPFPAAASSARRRLDSAVAVAMSRMVEEFMRVRVWDASQLRVAVDGLSLASSGASLMAFPTAGDGTSTASTGRDFDSSDQSQSRAISSVPDYVAGLMDGEFLDQLDLIRPAGVCVLHEIAQRVIRADFTKEFLRAFANAPCDVIDSFLSILRVECSRRTTETVIKRWSTVTKLVEKAVVAMRRQLYAQSSGAFDSFRDEYLLIIAESRVLVLLRFADEFTTVTSHEKLPYILGMYEALSDAAPSLLLLFSGARKQRVSERTQDILAKLADVVKIMACGLVGKIQSDASHTPGAGVHPLTRYAMTRFELLAPHRTVLGMILASGGADAPNDGAESVTAFGGLVRELVAALERNLEEKSALICADAGGSAHLFLANNISFVLNRAADADVASLLGDEWAARRRRPLERHAASYIEECWGPVVACLETTGGGSGKPAKALAKFNAAFKKAHGSQVCREVADPVLRAALRKSVSETVVPAYIAFLHKHPKLEKTVTYSADDLAESLSELFEGEARDGRRS >Et_4A_032807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14071785:14076992:-1 gene:Et_4A_032807 transcript:Et_4A_032807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGVAGVAAVLLAAAFAGTTADTDADDVTALNTFYTTLNSASQLTNWVSQNGDPCGQSWLGITCSGSRVITIKVPGMGLKGTLGYNMNLLTALSELDVSDNNLGGSDIPYNLPPNLERLNLEKNSFTGTLPYSVSQMAALKYLNLGHNQLSDINVMFNQLTNLTTLDLSNNSFSGTIPESFNSLTNLSTLYLQNNQFTGTIDVLADLPLTDLNVANNKFSGSIPDKLKSINNLQISGNSFSNSPAPAAPAPPSNTPPPLQPSPIGVPSHSNKSPSSGGDTDNGGGGAGASTNGGHRSSKVGGGTVAGIVISLVVVGALVAFFVIKRKSMRRQPGDDPEKNEHLSPLASGKITQLRPMRSVSLSPTGKERLQKTLSMNLKPPSKIDLHKSFDEKEPTSKPVTKEINLSSIKATVYTVADLQVATESFSSDNLIGEGSFARVYRAQLPNMKVLAVKKINFSSLPNHPSEFFIELVSNISKLNHPNLCVLDGYCSEHGQCLLAYEFYKNGSLHDFLHLSDGYSKPLSWNSRIKIALGSARALEYLHETCSPSIIHKNFKSSNILLDDELNPHISDCGFADLIPNQELQESDDNSGYRAPEVTMSGQYSQKSDIYSFGVVMLELLTGRKAFDSSQPRSQQSLVRWATPQLHDIDSLDQMVDPALEGLYPAKSLSRFADAIALCVQVEILSTQPLFPSLTFVRNPYVSSLPLTKLP >Et_4A_035548.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28695961:28697151:-1 gene:Et_4A_035548 transcript:Et_4A_035548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLMSLRALEPQRRAQPFTMFRVPAYVRARNRAAYEPRMVSIGPYYRGAAGLGAMEEHKWRHLVDFLARQAPLSPSSLIGAMRSLEARARACYSESTALFDSDGFVLTLLLDGGFILEFCFKRRARDVGWGLTLVTTDLLLLENQIPFFVLESLYAAVVDNLLLDQVATDGEEPVTRPSCTADVHHLLHLYYESFVPKRSPPAPSSTTTRVIPRATEMSAAGVVFAPRASAADMYDVAFDGGRGVMEIPAVVIDDMKRPLLINLIAFEQSQGAEEARVLTSYVSLMAMLVRTAQDVELLNRRGVLDNLLADDDEAARFFSHLGDGGAMNFDNQVFTQLYEDVGRYCGSWWHRNRAALRRDYFGTPWLVISFVVAGLVVALAVTQTYFTVFPNRK >Et_4B_036767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11332220:11332557:1 gene:Et_4B_036767 transcript:Et_4B_036767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGKMVDLYVPRNSATNRIITAKDHASVQINIGHLDENGLYNGQFTTFALSGFVRAQVVFYPCCSSYGCYCD >Et_7B_054482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21863913:21873175:-1 gene:Et_7B_054482 transcript:Et_7B_054482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSRKRAEASSSSTTSPSRSSKRSRPNPNPNPNPPAASASPAPPPPRTRRSAALSALPAAAPRPPMDSSGDNNPNPPPRRRGRPSNADKGKEQQQPEPSESSRVREAERLLGLGFDAMEDDDDAGFGAGAIPHSLTSASTALQGLLRKLGAGLDEILPSSALSAAAAAAASSSSASGQLSGRLKKILSGLRADGEDGRQVEALTQLCEMLSIGTEESLGAFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCSAVVHYGAVPCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALATAANMCRKLPSDASDFVMEAVPLLTNLLNYHDSKVLEHASVCLTRIAEAFASFPEKLDELCNHGLVAQAASLVSASNSAGQASLSTSTYTGVIRLLSTCASGSPLAAKTLLDLGISGTLKDILSGSGLVAGTTVSPALTRPTDQMYTIVNLADELLPPLPVGTISLPAYSHVYMKGSSVKKSASSKQGEPGSTENELSGREKLLRDQPELLQQFGMDLLPTMIQVYGSSVNGPIRHKCLSVIGKLMYYSSAEMIQSLLGTTNISSFLAGILAWKDPQVLIPALQIAEILMEKLPEIFLKMFVREGVVHAVESLICPELSSPATQLSQLDNQVDSVASSRSRRNRRRGGAVNTENNLPDESKGSHPVMANSASSTAEVPNNSLRASVSDRAKSFKDKYFPSDPGSSDTACTDDLLKLRTLCAKLNTAADSVKTKAKGKSKALVTNNFDVLCNVEEQLDDIIAEMLSELSKGDGVSTFEFIGSGVIAALLNYLSCGTFGREKVSDANLPKLRHQAVRRYKSFISAALPNDEGGNKTPMALLVQKLQSALSSMERFPVVLSHSVRAQTLGGSRLSSGLGALSQPFKLRLCRAQGEKSLKDYSSNIVLIDPLASLAAVEEFLWPRVQRTESVSKPVVSSANNSESGAASSTAGAPSVPSSTQSGRRASLRSKSSAATTGAVNKDGPEGSVNASKGKGKAVLKSTSDEPKGPHTRNAARRKAASEKDVELKPSHGHSTSEVLRGSLPNCLAESVHDVKLGDADDSSVASLANDNQAQPSSGSSTKNTSSRGLDAAEFRSPSAFGSRGPMSFAAAAMAGLTSVGSRGVRGSRDRSGLPFGTRTNEHYNKLIFTAGGKQLNKHLTVYQAVQRQVVHDEDDEDRLGGSDLPDDGSRFWGDVFTITYQKADNLVEKGPVGVSAPVTKSSKSGSCKVSEAQCTSLLDSILQGELPCDLEKSNQTYNILALLRVLEGLNQLSPRLRLQATSDDFAEGKVATLDGLYNVGAKVPFEEFVNSKLTPKLARQIQDVLALCSGSLPSWCYQLTRACPFLFPFETRRQYFYSTAFGLSRALHRLQQQPGDNNNAASEREVRVGRLQRQKVRVSRNRILDSAAKVMEMFSNQKAVLEVEYFGEVGTGLGPTLEFYTLLSRDLQRVDLGLWRSHSPDDSGMQIDGSGDAKNLESDSLLESRNLVQAPLGLFPKPWPPCATASEGSKFFKVVEYFRLVGRVMAKALQDGRLLDLPLSTAFYKLLLGQELDLYDILSFDAEFGKILQELQILVERKRFLESSSGENKQIEELCFRGAPIEDLCLDFTLPGYPDYILKEGGENVVVNIYNLEEYISLVVDATIKTGIMRQVEAFKAGFNQVFDISSLQIFSPQELDYLTCGRRELWEPDTLVEHIKFDHGYTSKSPAIINLLEIMAEFTPEQQHAFCQFVTGAPRLPPGGLAALNPKLTIVRKHSSSAANTSNATGAAETADDDLPSVMTCANYLKLPPYSTKAVMQKKLLYAINEGQGSFDLS >Et_2A_014659.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32697666:32698289:-1 gene:Et_2A_014659 transcript:Et_2A_014659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDSLTGRPAWRSTGIFLWTGLERRRSSLLVPSSSCSEYSYAMPFSASAMRQRCPKGLTQKSSSTRSPFVDSPAIYCRISSIARPAHSLRRYRATLLWRTLDGGVGREECSVSIYSRRGGEGSVSEDVMLIQVYSRVKQGNIITERAVDQGHGESLGTRTEAWRMMSHGCLGAWLQVAGMRAMPQLDVQISDAGRRGSKHRPCSER >Et_1A_009507.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6924649:6925134:-1 gene:Et_1A_009507 transcript:Et_1A_009507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLDRRRRRVQAHAGYALGAADEDGAAGAVRSVKGSEKGAYDGRMRRQRRLRSDVGPATPMARHPADDTRRTQHLILPPRSASSRRSRRNPYSRKKAPPPRRLTRRRVRGGGAHRGLDDAKARVADSAVRWCAQGGGRRRGIRGRLVVVGRKSWSEEREK >Et_3B_029366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24397791:24400631:1 gene:Et_3B_029366 transcript:Et_3B_029366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EHEEMSKVSEANGKVDSISMVEAHVTEGNKYEVVEGKIDWRGRPALRGRHGGVANSFFILANFGLENLASLSLAVNLIIYFSFVMHIGLAEASNLLTNYMGTSYMVAVLIAVFADTFIGRYKTVIISSLIELVGLLLLTLQAHSDKLKPPACKFPFDPTCQQVSGSNKVHLYVALYLIAIGSAGIKAALPAHCADQFDAKHPVEKLQMSSCFNWLLLSLAVGGAVSCTVFVWVQDFKGWDKGFGAATGVMGLALIVFLAGLPRYRFATVQGSTALLEIFQVYVAAIRNRNLQLPENPDDLYEISRNKASPETEFVAHRDKPFRFLDKAAIIQAPNDDAAPSPWRQCRVTQVEHAKTVLAMVPIFCSAIIMGTCLAQLQTFSIQQGATMDTRLGKHFKMPPASLPIIPLVILIFAVPIYERLFVPFARRVTGLPTGIHYLQRVGVGLVLSIISMVIAALVEVKRKRVAKDNGLLDPHFIGQELPISCFWLAPQFAVFGVADMFTYVGLLEFFYSQAPRALKSMSSSFLWCSLSMGYFLSTIIVQAVNAATKGDTASGGWLAGNNINRNHLDLFFWLLAVLSTLNFFNYLFWSSWYKYKPQEDAVAPAPEQTQEV >Et_5A_042242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:795731:799837:1 gene:Et_5A_042242 transcript:Et_5A_042242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVYGDDDDEFDEYNPHPYSGGYDISSTYGSPLPPTPTTCYPVSSPAAVVAQTAPQPSSRPQPPAPAPLPRSPPAQPPAPAPRPPSPPPPAPPAEPYYWPEPYNWGDAPRDQPMYAMPEVFRGWPYFSGPHCHSHPACGRDYWRQWMRGLDYLFGHSDGYGERRIGEDCLGVPVYANRKGGVEDAVVVEVPPPATETLQWHYAGEEPDQSNGFSWYGSAEEETPAYANAEPAYYCSYDRPYYEQAYSFQGVPEEPSWSLNQSYQEAYKEEDSQYQEYLSYDTEAYRISSQPIVSYNQHFGEQPLHVHVEPPEAVSSHKLEYYEIFSTYANENDVDNWDSSRHSYEIQPYLHTPDDQLEPYRPSWSQNLEYYQACTEGVSHDYDNHTMASGETWDMSSLFASPFYPQETHIYEHSYGDEYLKWDPGKLATKSCTRSSDRSNP >Et_5A_040255.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6670864:6671130:-1 gene:Et_5A_040255 transcript:Et_5A_040255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSWNKIVLSLLCFVVVRSDHDRGLVRRQKDEEGRHKKEEDYAQFHLLECWLSFIGHMFSVCLLSVCFYSHLLKILCLVLSFGTAY >Et_7B_054198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1776086:1779230:1 gene:Et_7B_054198 transcript:Et_7B_054198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVIQLRQAPSFTAAGAARPVLAVTAATRSRSRKLMRPHACHGQAASSAKPRAAPARAVAGSELQTAASSTSVQVGGRLLLQNFATSPSGQLRLSLQLVSANVAGLGETEIDVTLNWDKEELGEPGAVIVKNHSDFEVYLKLLSLQNGPVFVCNGWVYPVDKHKHRIFFTNDVRKQPLSLLEIVNCKMHDTHAMQAYVKDQTPVGLRKYREDELRVLRGEGARTDQPFQPWDRVYDYDVYNDLGNPDLRPDLARPVLGGSEEYPYPRRTKTGRPKATKVLTCCSIDHATDTEVESRAPLDKEIYVPCDERVGTSHSPAPNLGSPGEGGHFQSFENIYHLFGSNAPLPIVPQVIAANRENWRKDEEFARQMLAGANPMSIKRVTKFPLTSELDKKVYGDQDSKITEGHVEKNLGGMTVQQAFSKSFETKCNPQCSLDKDMTTFAFGAVDKGKLYVVDHHDWMMPYLKRINELPGEEEKKEISKRKAYATRTLLFLNDDSTLKPLAIELSSPNLEDEARTRRRHHERQVHDVGACESSRRRERRLREQLYLSLPIVIAANRQLSVLHPIHRLLKPHFRKTLHTNATARQIVIAAGDQRPDGSIFRGLHEVMYLPSKYVMEMSSKAYKSWNFTELGLPNDLLKRGLATSDPKNPESLELLIKDYPYAVDGLEMWTSIKNWVTDYCAIYYADDAAMKKDDELRRWWDEERGPQGHRDAPWWPKMDCVADLLETCTTIIWLGSAYHAAVSFGQFDYLGFAPNTPTLTTGAMPADDVAEVSEKEFLGSITPVTEAVTLAKIAMGPLVLSAAGEVYLGHRPDTERWWTSEQRAAAALAAFQERLNAVAKNIEDRNADSNLKNRGGPVKVPYRQLMPIEKPNPVVPGIPNSITI >Et_7A_052407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7752627:7761889:1 gene:Et_7A_052407 transcript:Et_7A_052407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDEASDRPATDWPSPARDAADHNAAGIPPAAGAPDPPPAAPKVQAALPPMARAKTAAAGGGWLSGWGWDLLLGSIAAFYAVMAPYTKVEESFNVQAMHDILYHTYHIEKYDHLEFPGVVPRTFIGAFVISIFSSPAVFTMRLCHIPKFYSLLTVRLLLGCVTLMSFRLLRIQVKRKFGNQAEAFFVLLTAIQFHLLFYSSRPLPNIFALALVNLSYSFWFKGSYLCTLQTLIVAAVIFRCDMVLLLGSIGLALLVSRSVSLLEAVKYGISTALVCIGFTVLVDSIMWRRILWPEFEVLWFNSVLNRSSEWGTHSIHWYFTSALPRSMLVAYPLCMVGAFLDRRIVSYMLPVFLFVLLYSKLPHKELRFIIGSIPMFNVSASLAASRLYNNRKKAGWNLLYILMLGSFLVSLGYSAVTFMASYNNYPGGYALKALHAADSSSKEKMVHIDTFTAMSGVSRFCENEYPWRYSKEEGIAVDEFQKRNFTYLLNEHRSISGYKCLFAVDGFSRAKLQPRLPPLSLVKEPKVFAHGNMRDPDVLSLSWPGCP >Et_9B_065991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19556613:19559578:1 gene:Et_9B_065991 transcript:Et_9B_065991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSATACFLSPCPAPRRPRHSLRHLACAAKPATASRSPLALPSSSPSPWLAELVPAGAGRLLSSAAGSLIVALASAALILGDAGAASAFVVATPRKLQADELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKSGHIVTNFHVIRGASDLRLVGSDFASESWVTLADQSVYEAQVVGFDQDKDVAVLRIKAPKDKLRPIPVGVSADLLVGQKFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSAGNLIGVNTAIYSPSGASSGVGFSIPVDTVGGIVDQLIKFGKVTRPILGIKFAPDQSVEQLGLSGVLVLDAPPNGPAGKAGLQSTKRDAYGRLILGDIITSVNGTKVTNGSDLYRILDQCKVGETVTVEVLRGDHKEKIPVVLEPKPDES >Et_4B_036588.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:6774446:6774592:-1 gene:Et_4B_036588 transcript:Et_4B_036588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVILATWSIWTHKTIPCSMGIPYPFPGRSKRSEIFSNFRCTGLSLV >Et_4B_036506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27347805:27349187:1 gene:Et_4B_036506 transcript:Et_4B_036506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPSIVARTAMARAHAASGQAALALCVFRDMLADGFLLDNVALAVALAASDGVGVSSAARKPGRVFDDMPVRSTVSWNAMMHQYVRHGNVNAAYDLFLAMPRRDVVSWNTVIAGYCVVGRCREALGLFGQMVSPSSCPVHPNGPTMSTVLAACADAGCMETGIWVHAYIDRNHMNDDGSLDRSLIDMYAKCGSIDKALQVFEQAPGKRDLYSWTTVICGLAMHGRAADALRMFEIMQDNGIQPDDVTLVGVLNACAHGGLVDEGLHYFHSMEKKHAIAPKIEHYGCMIDLLGRVGRLQEAYDMIRTMPMKPNAVIWGALLSACKVHSNVELGEVAAAEVGKLDPGDPWARVMLSSMYAKAQDWSSLARERREMNNLQMKKTPGCSSIELDGEVHEFVAGGFQHPRLAEICTILENAEAQTYAG >Et_2B_022666.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29819582:29820565:1 gene:Et_2B_022666 transcript:Et_2B_022666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSPPPAAVEEEEATQPDPFPPHPDHAAMLRPPSPPRAFPTLDSLAVFLRPRLPPQALAFWGTAPGTKTLLNLFLELTHGDCTLHLPAAPPPLVVRAVHVATVNIRNCRGARLVETRQLLSDGRLRSRGPRPLSEKMRPGESPEAAAVRAVREELGERARVRILGAVDARVEERESASYPGLPARYVLHAVDAELVDGVPEDGEFETEEIGEEGEAESGAITVKRHFWEWVNDDDDDSELKDIVAAAGSSAH >Et_6A_047818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18042933:18043769:1 gene:Et_6A_047818 transcript:Et_6A_047818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSMASRAAAFRSAAQGYWRQTAAGSRSAATATAAHPDGNAAKGKLRGDYVPVYVALGLIVMSVSLGLSTARQQLAHAPNVRVDKKKRAHTVPEVAAPDLALDEGERFVGKSLFRKVAHVQDDRSLAAGVADDPVAEHPGRKGVTLKDAGVDAPGIPKGKEGLLDKVFDKN >Et_6B_050024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3801300:3804717:1 gene:Et_6B_050024 transcript:Et_6B_050024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLGLIIGKLSKALVSEAAAYGASLLCTEASALKGLFGEIRRATGWMEIMKAFLQDSEKFRDTNKTTDAFVKKIRDLAFRMEDVVDEFKYKLEDAKHGGFAAKMKKRIQHVKVWHHLARELHDINVDLEDAVKQRNLCALPEMEKYGGGSDHHAGSVCFSREEDLVGIKDNARKLKGWILEDYGIKRKRLIKHWITAGFIKEKGNKTLEEVAEAYFYEIINRSLLQVTEMNAFGRVKSCRMHDIVRLLALKKAEEECFGKTYEGSGTFSMDCIRRLSLQESTNIPPRCEYGTEHLRAIHAFTSNLEIDLLRHILASSSLLSTLDLQGTQIKMLPNEVFNLFNLRFLGLRDTGIEILSEAVGRLQNLEVLDAHNTGMMSLPKGVTKLKNLMFLYASTVVTEGSLELHGGVNVPRGIRNLTGLHALQLVEASLEILCDIAALKELRTFLVSECPELKCVPRGIENVAALEKLYLKDTGEELIEKLWQKSKADECNKDLMKISHIRTVGLEGIVAAAAAMEGIVALR >Et_10A_002205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4449219:4450540:1 gene:Et_10A_002205 transcript:Et_10A_002205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISVTLLLASLLLLPFSWLLLHFLSASSVKKNTNSCHGRRIPSPPAFPIIGHYHLLKKPLHRSLASLARRHCGGTGLLLLRFGARPVLLVSSPAVADECFTLHDAALADRPSLASRRLLTAGDGDGSDCPAISTASYGPLWRHLRRLATVHALCAHRLIATAAARDAEARAVAAKLWRATAAGAGHGGGGGKVVSVKATAYEFVVNVIMAMVAGERMPEGKVLEFKAMTEAAFAAAGAANRLDFLPALRMLDFGRTRRRLAGIAEARRQFGQSLVDDYRRRHAAAGETTTSTRTVIGDLLREQERAPESHGDDVIRTVCLSRGE >Et_4B_040000.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7505803:7506198:1 gene:Et_4B_040000 transcript:Et_4B_040000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNGGEAAGKEEFGSMEEFWGFYLSQHSKPGTRRWHFFGTLASLACVALAAATGRAAFLLAAPALGYGMAWYSHFFVEGNRPATFGHPVWSLISDYRMFVLTLTGGLDAELARFGVRPRPDAAVASAHHD >Et_4A_033674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25725971:25732257:-1 gene:Et_4A_033674 transcript:Et_4A_033674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITHHFGVGASGHGHHHHPWGSALSAVVAPPPLTLNTAAATGNSGANSGGSNPVLQLANGGGSLLDACVKAKEPSSSSPCYAGDVEAIKAKIISHPHYYSLLAAYLECQKASPVLVVANLLLLLLLRSSPARELSSNPCTRSFSPTSNNVGAPPEVSARLTAMAQELEARQRTTLGGLGSATEPELDQFMEAYHEMLVKFREELTRPLQEAMEFTRRVESQLSTLSISGRSSLRHILSSGSSEEDQEGSGGETELPEVDAHGVDQELKHHLLKKYSGYLSSLKQELSKKKKKGKLPKEARQQLLLWWEQHYKWPYPSETQKVALAESTGLDLKQINNWFINQRKRHWKPSEEMHHLMMDGYHTTNAFYMDGHFFNEPGLYRFT >Et_2B_021792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5535904:5537512:1 gene:Et_2B_021792 transcript:Et_2B_021792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YVRACQASADVRSERRAVRTTLYRALPQLELDHYCHETVCWRRRRHSPLRQSPTARFVRVSLPLLHSHHHFVTSTVGGYLVLAGQNPPHAARVLNPFTGALTRFTAPEPREARLAADVEAVSRLLRRRNVARREDSSTHDCPPGRLSRKEVTLGSYALFLGDRCVAVDADRFPSIEANSLYYQRVCTDDSGKQCSHIYVYDLGKEEEERIDRGRSIVVEGGLIINSIGTYCT >Et_8A_056977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19694727:19700491:-1 gene:Et_8A_056977 transcript:Et_8A_056977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPKKRGSRIEPFRHRVETDPKFFEKSWRKLHDAIREIYNHNASGLSFEELYRTAYNMVLHKHGPKLYEHLAANLKEHLEEMRTSIEAAQGGLFLEELQRKWDEHNKALQMIRDILMYMDRTYILTNKKTAVFDLGLELWRDTIVRSSMVQGRLLDTLLELIHRERMGEVINRSLMRSTTKMLMDLGSSVYQEDFERPFLEVSASFYSGESQQFIECCACGEYLKQAERRLNEESERVTQYMDSKTGEKITAVVVKEMLANHMQRLILMENSGLVNMLVEDRYEDLTRMYSLFHHVAEGHSTIRSVMASHIKETGKSLVTDPERLKDPVDFVQRLLNEKDKYDNIINISFSNDKSFLNALNSSFEHFINLNNRSPEFISLFVDDKLRKGVKEASEEDLETILDKVMMLFRYLQEKDLFEKYYKQHLAKRLLSGKAASDDSERSMLVKLKTECGYQFTSKLEGMFTDLKTSHDTTQNFYAASSSDATDAPTISVQILTTGSWPTQPCSTCNLPPEILAVSEKFRAFYLGTHNGRRLTWQTNMGTADIKATFGNGSKHELNVSTYQMCVLMLFNSADALTYREIEQATAIPAADLKRCLQSLALVKGKQVLRKEPMSRDISDDDSFCVNDKFTSKLFKVKIGTVVAQKETDPEKLETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIMTEVTKQLQPRFLPNPVVIKKRIESLIEREFLERDKTDRKLYRYLA >Et_1B_010568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11719624:11728455:1 gene:Et_1B_010568 transcript:Et_1B_010568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLYPKWLFLFSIIQTPRKRVAKSPLQGYRRLQRGNKRSRIASGLVGIGDSEMKSPLRRLRGFGHHHPKQRKGHHQPPAKLDELVCAAQKVEDMRNCYDGLISAAAATTNSVYEFSEALEEMGSCFLAKTALNGDDDDSGRVLMMLGKAQFELQKFVDTYEMKHQCDMKRESYEAMRASYIEKGRSKHSKIESYSSEQLQNSLTEYQEDAALFIFRLKSLRQGQFHSLLTQATRHHAAQLSFFRRGLKCLEALEPHVKAIAEKQHIDYQFDGLEDDESDNDDYSSDEDNCSDDGELSFDYEINDRDQDFLASRGSMDLDRRNVATSPQALKDSKQEEEIKQAKADVAPELKPEIGPYSAPLFAGNLPDPSERFWQMKPASAKHSYKLPTPVDDKNPGSSHRSHHSQQFESKPRLAANLWHSSPLTKDFKPSGHVKAQSSAEGISTFSQWSSDYKKMKRESWSGPIPSKAGLSKPSSLNENRSPIAHPHVMSAKLHGHSRQSSSVSPKVSPKILPHPTISPKISELHELPRPPSNVESLKPSGLVGYSGPLVSKRQTHTPTLPARVSPTTSQTASPLPRPPATLTRSYSIPSNSQRIPIITVNRLLESRQSRDGSDISSPPLTPLSLADLSHQHQQKRQDPILLLYFATYHLQKLLKQKRMSETWGISNMEKLKV >Et_8A_057074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20835544:20846356:-1 gene:Et_8A_057074 transcript:Et_8A_057074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRLGGHARRRRAGRRRREAAAARDWADGLPMDAFLAILGRLDHIDVLMSAELVCRSWRRATRDEPSLWRRIAMRGREEIAAKLNRCGMACEAVRSSAGRCEAFCGEYAGDDGFLIYLSEQPPCLKSLSLISCNGVTDAGLIEAVKELPVLEELELSLCEKLPGVGVYEVTAEACPLLRRFRLSKHRFDDRKVRDRDNDAQGIANMHGLRSLQLFGNALTNKGLETILVNCPRLESLDIRHCFNVDMDKTLLAKCAKIKTLRLSDDPTDDYDLPFKLMNITCRLNMILGFLHPLLTILGRLDHIDVLMSADLVCRSWRQATRDEPSLWRRITMRGDKEIATKVNLCAMACEAVRRSAGQCAAFCGEYAGDDGLLIFLAKHEGLRDALKDLPLLEELELSLCRQLYGGHVYEIVANACPQLEHLRLRKLRFHYRNRMVDSQAQRIANMRGLRSLHLFSIALTNEELATILDSCPKLESLDIRHCFNIKMDKVMWTKCAGIKMVRLPGDPTDDYDLQIYNPIFSRDKVLSHWFSFLNHEPYHHALSPSRPPPPPHRRECRRKAWRNWAELPTDALLEILRRLDHIDVLMAADRVCRAWRRAARDEPSLWRRITMRGDFELEARLNRFGMAAAAVRRNAGQCEAFCGEYAGDDRFLVFLGTQVPCLKGLRLISCSGVTTQGLKKAVKDNVIMCVVVMFIRLLPAHAHN >Et_7A_051300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16870439:16873442:1 gene:Et_7A_051300 transcript:Et_7A_051300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDYYVLVSCNYKMDGMKPYIFAIAVQVMYTGMFVIFKASFNHGMNTFVFVFNRQAAASLLLLPEKCPVHDISIGTEALLVFLIRNIGIKFTSGTVAAAIDNSLPVATFCLALLLRMETMKLRSYSSVAKLTGVALCIAGTFVLAFYTGPSLSPLLSRHLPFTSPHFSANAQNQGDAWIMGTFFHVATVAAWYLWIVLQTALLKEYPNKMLVTVLIRCNTPGYTFYSINRVTLGVKKRFFYTQPR >Et_4B_037206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16398218:16404133:-1 gene:Et_4B_037206 transcript:Et_4B_037206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTEAVLLPYCPCSAVTDMWAGQEHGATVSDRHGRLPFGRESLRGINDSNLLPTLIFDIGARIVSNILVQVRLDKQMDSSRLPIRYISSKQVSKNYSCSEKYEWHNLPFHKSEQATMEQPHHVTTIKCPRHMSSRMGRYTASHGRGDSPEFCGPFNGFDEPGHLSRYNGFQGQYDAYLGHTSEWARRSISPGPGPINSYRRKRSPSFGHVRRYAKGPNRDLCQAVSCRKKFSRHYREDRYLPINADFPNGLFSEKDAAGHQSYMRSFHTYNKQDSHSWEDPISNISDHTSHAQLCQRDEVVRDHRKRHDHEFRAVHEVQHELSPNEDSREFVESNRKFRKAYNGNVVKRKYLKQGSHKSTYNGASASKYGRHSSQKRNADHLYGKVARINVVYGDKSKRICPDGQDRQLLVKSDEMRNDIVEENAEIIKLEGPNGEKWHCSPKKNALTVPASNGSRKCDNSNMLSPKCSSKIVASLNTPNLSEGSKSMDLESDKESSVEGCPKRCFQHRPVTCSEKSVQPKGSGNLSEVQRDCLDLWRARRFRNNGVSEADKFLEADQLHPTERGKVSTVGRVRNGRPSTFTTSESDEDVIASESSDHFSSPTSSVKLQKREERSNKKLERDPSCSSIRKCDKSHRTTPAEKGLMYGVELQPEANTAEVALQNEQDKLLYHQLSTYFKSQTHASDTNKVHAVAPHPDNGVPQNGFHQEPDNDNINERRKDILGVRCQNKKETGAEIAEKTVRLCTGLTLLDQNNFASRPKHDILKENASEAPNHGGGTTFDGSENKCLKGPVRSGESYCRDYKNWLYGTQQESMNCNTSRKKQEYSALSDSANQMNQKAKEDLHAPQTLGVGYQPISQSCTSDIVNSGPSNQDDRIQYSPIPDLNCLPSMVADEDSDPFEEPVCVDEGPVLFEEPVCVDEGSDPSKEPVSVDEGPVLFEEPVCVDEGSFPSEELVCQDATDGSKPQYVTKSLSDTSTGPHIKKEQFKQAEPNQSVGEVCDKGTYESADGVQISDSNTGPPQLSTVEESSTSIDAFKIALCEYLKKILKPLCEDGLLTREVHKIIVKKAVEKVAAVWGSSAPSTETDINRVISEESRNLYKLVQV >Et_2B_021891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6683263:6685741:1 gene:Et_2B_021891 transcript:Et_2B_021891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKKRVAIVGAGPSGLAACKHLLARGFRPVVFDAGAAVGGVWRRTLASTRLQTPAAPYRFSDFPWPEDVVASEASSFPRHDQVAAYMAAYARRFGVLERLRFGCTVLGATYGLREVAAWERWSGNGEAFGDGTGEWHLTVRHDGDGEQSSTQIHRFDFLILCVGRYGVAKLPTLPDGRGPEAFHGKVLHSMEYSSMAHADAAELIRGKRVVVVGAGKSALDTAAQCAEANGRRYPCTLVYRSAHWMMDPQVARRVKFSPLVSTRWAELMVHKPGEGLALSLLATALTPLRWLMMNLIETYYKAHIPMEKHGMVPDYSFARSALGWRVGALPEGFYDKVDAGSIELRKCGNSFSFCADGLVLDGAGECAVVGADVVILATGYDPDAPLRGGFASPWFRDIVAAAGDAAVLPLYRHCVHPRIPQLAVVGYAESGASIYPYEMMAKWVAHLLDGAVRLPSVADMERDVAEWARGRGGAAAGSSSSRASTPSPRGTTTSCAETWGTDPGGRRASSPSGCSRTAPPTMLASSE >Et_2A_014718.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:9383905:9385758:1 gene:Et_2A_014718 transcript:Et_2A_014718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRKHLIPVLRPVSPLHLLCTSAAPFSLEDYLIASCGLSPDQARKASTKALTQASREAGKPFGELSRSRLISASNPDAVLALLSGAGLARADIAAIVNAYPLILRCLVSNIGPRLLALRDRLGLSTTQIARFLLVGSHAFCSTDVGPNLEYLIPIYGSFDRLLVAVKRTNRILESDLERVIKPNIEQFLQCGLSVRDIAQMCSAKPRLLTYNPERVKELMWRAEELGVPRSSPMFKYAVAAVVGASKEKVAAKLEVFKETLGCSETQAATIVSRLPAILGVSEEHFRRKIRFLINKVGIEPRYIVERPVLLGLSLEKRLVPRHCVMKLLQAKGLMNGNMSFYTIAAMKEETFKSKFVDCHKESVPALSDIYAAACSGDVPPEVQL >Et_1B_012387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31381122:31388379:1 gene:Et_1B_012387 transcript:Et_1B_012387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LFADAAPVTAENFRALCTGGNYNPSVGEMGIGQKTKKPLCYKGSTFHHVIKGLMAQGGDFSKGNGSGGESIYGGNFADETCNRRHDDRGLLTTADTGSKSNGSQFCITFKPNSHLDRKHTVFGRLILGNDVLTRIEQVDVHGPDSSPVVPIRVVDCGELDRKDHGSVITESDKKRVKSRLSKDISSDEESYEGKLKARHRKSSKRRRKKRRYSYSESESSSESETESSDSESDSDADSSDSSDLSSSSDDRRRRRKKHSKRNKRKRARRKRDQRRERRRRKRDKKSKQKSKRMLETDSETESASECSSEDGRSKRHHHGRKSKASSHVSAENLAAVAPLKDAASTQQKTVIPRSPAQEDNSPLQNGEVHNNGVTESKTQRNVDIMPSLTASRSKSSAVFHLTLISRSLSKSMSISPRRSPIKKSVSRSPDNRNRSRSPVRASKRSENRSPARQRSISTSPARRSPSKSPTRSASRSPVARMSRSPAKTRKRSVSRGSARSLQRRSPSRSPKRAPMRKSVSPSPAIEKRRSISRTSARSPLRSVSRSPARFSRSPPRHARKSPIRSPRRNIRRSPSRSPVRIPRRSLSRSPVRGGRPRRNISRSPSPPRKAISPPPNNGRSPSRSGSPDGSPKRVRRGRGFTQRYSFARQYRSPSVERSYRFGGGRSDRDRYMGYRGPRHRSPPRWYRSPPRGRTSPRYRRRSPSISRSPVHRDRGRGGGYSRSPVGSRSPPAGKPRPHGDRARSVSRSVSRSRSPPPNRTLPESPSPRRASDDKSRSVSVSPDGKKGLVSYGDASPDSAEKSTYSLSFLAFIWCYDTVAPCWWELYARCNDQPVRLAALQRLFCSSYNVSVISSAAAAVATVFAVIFLQPQP >Et_3B_028813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19432657:19436135:1 gene:Et_3B_028813 transcript:Et_3B_028813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRETLLWIILLNRSSTPFSRSPAMHSKACNCSSSQKTALLFWNALETSDLFGITTCLDRRHNVDSSREMARSLALNNRLTSLQSSLSRVVFLPHFLICFCAFLTKPAKDWILSWELASHLRITTCRSWMVIFNSANISCMALQRSISSRELSISLSNIFLCWIEETWLLGKLMISSMWLYTSPSLLKPSHIASMVAEGEEMLASRLSNSSSISISLEYLLDGKLTDLRWRAIETLLWINLPNRSSAPFSRSPIMHSSACNCSSETTTLLFCNVLETRDLLGITTCFDNQNNVNSSREVAILLVLIFAWHSYIPRYCSLRANLLDFLICLFFTKKGIYELRVFRRETLLWIILLNKSSAPVSRSLILHSNACNCSSSHTTFPLWDALHVVDSSSKVVIDLASASSFTTLQSLSSEVTFLQTFFKCLCAFLVSWMYALN >Et_2A_014790.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:15130179:15130265:1 gene:Et_2A_014790 transcript:Et_2A_014790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSESYYHVYPACFLLFYQPPIGGPVN >Et_5A_042953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7684122:7685039:1 gene:Et_5A_042953 transcript:Et_5A_042953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCWRRATYGLMYYTFTAYNSSQLRFTLHPNQGHRDVLLAPRRHRPVGGPVVAVHRTVPGVQQVRGQCAAGDNGQSVDCTCLKEIGKNYELPLFPFETLAAAIGGFSTANKLGEGGFGHVYKGTLPGGEEVAVKRLSRSSAQGLEEVKNEVILIAKLQHRNLVKLRLALST >Et_2B_021975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7557662:7568344:-1 gene:Et_2B_021975 transcript:Et_2B_021975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATVGFGSLPLLVAPLLLVVIASPTSGAADDMYINDMAHAALPGCNNTCGDVNIPYPFGIGPNCSREGFEIVCLDGRTPVLKETSYEVQNISVAPESLARVLLPIAHRCYDDGNNGSTNWSSGAVEFNTQGVYRISAVRNELVIIGCYTEGFIKSNRVARGSEAPGNYDIYTGCLSYCVSAERVMDNQCDGLGCCKVSIPPGLTETAIGFSGYDHNTYLLTYSPCSYGFLVDREAYNFSRADLRMNISQMMPVWLDWAIRPSNISDTLTCADAQRNSTSYACKSPNSICVDVANNVTGYTCQCEQGYEGNPYLAGDQLGHCMDIDECKNQTKYKWYGRCENQPPGNFTCHCPRGTRSKNAKIMPCQPILPREAQIAIGVICGIAFIITSAIFMLMVHHRRKLKEFFERNGGRMLENISNIKIFTKEDLKQMTKNYSITLGKGGFGEVYMGTIDNLQQVAVKRSISVEEERKKEFANEVIIQSRISHRNVVKLIGCCLEVDIPMLVYEFAPKGSLYDVLHGTNKDGTKVSLSLGTRLDIAVDSAEGLSYMHSSTNHKILHGDVKSGNILLDENFIPKVSDFGTSRLLSIEKNHTMKVIGDINYIDPVYMKTGRLDEKSDVYSFGAVLLELITRKKPRYDGNNSLIINFTKLYASDKKAAAEMYDEEIASQENIDFLHQVGSIAVACLKDDMEDRPNMRQVAENLQLVRREYKQRHGSHHGDQVAHEIFMESPPAGMDAAGSGTPGYSPLLNEA >Et_3A_027348.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:8289949:8291280:-1 gene:Et_3A_027348 transcript:Et_3A_027348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIERLAPRLVVPAEPTPAGPLRLSWLDRYPTQMALIESLHVFKPAAAADIAGAAARSPARTIERALARALVHYYPLAGRLVLSDSGAQLAVDCSGAGAGVWFTEAAAACTLEDVDYLEAPLMVPKDDLLPPTPQDEEDPRRLVLLVQVTAFACGGFVVGFRFSHAVADGPGAAQFMNAVGEFARGGGDGTTALSVAPQWGRDAIPDPAGAVVGALPTPPEGAKRLEYLAIDISADYIDHFKNQYNSAHGGAGGWCSAFEVLIAKAWQSRTRAAGFEPDSPVHVCFAVNARPLLHASLPNRGAGFYGNCYYIMRVSAPAGKVAGSSVTEVVRLIKDGKRRMPAEFARWASGEMGAAAGVDPYQITADYRTLLVSDWSRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWAHKPGARLITQCVTPDRVAAFHQGMLDMS >Et_7A_050954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12922663:12928759:1 gene:Et_7A_050954 transcript:Et_7A_050954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPSLACFPAEPALHDSCAMPWGVAVTPFSAADERGTLPATGDDGHLLPRCESCFAYFSILCPLNRWSWTCAVCSVDNDLPADAAARYARDGAHDPPEMRSAFVDLLLPGKGLSPFGSNAMRCGEEDEPVAAPTPVYVAAIDLSSSEEFLELVKSALLAALEALSPGSLFGLFTFSSKIGLYDVQGPIPIVKNVFIPCDSDGALHVDLEDVMPLCSFLTPIDSCKKSIAEALETIKPVSSWEVAASTLEGQDHVHHTRGFGMAMDVLVNYLSSEHGNAFELARIFAFLSGPPNYGAGRLDITSFEDHNAGKAGDVDNVLLQDQITFYKNLATSAVQAGASVDIFAITNEFTDLASLKVLSVESGGSLFLYSSTEETTLPQDIYKMLSRPYAFGCVMRLRTSSQFKIANSYGHFFPDPQYMHVQHINCCDSFATYTYDFEFEKDSRFSRKTSSPVLQIAFKYTVLVHHGDTSDVSNSDSRSKYSLQRRIRVRTIQYNTTANIWDLYDFVDPDVVLTILVHQVILASLSDLVEARLLLQDWLVTAIAQYNKAYKNVASGVGTVDVSFSHCSQLQPLSRFVFYILLSPLLQVSSEDIHPDYRTYLQCLFSTLEPASLRQAIWPTLISYSSPDVEAAAHHSLSRNVFTGLLRSTIDRLKQERNKTPKLVFIHGAHDDTTVFEKYLLEDQALDGSLLTSSTGFNSFLEEVSRKVAEHGI >Et_6B_049698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9082093:9082885:1 gene:Et_6B_049698 transcript:Et_6B_049698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKCSVIFVALGLTLLACSAIVSAENHVVGDSKGWAFSVSYDSWSSGKVFAAGDTLVFNYQPGVHNAVAVSASEYRSCKVRSAADSAATATGTAKLDLKKGVNYFICGVPGHCAAGMKLRVVAN >Et_5B_044923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7332368:7337174:-1 gene:Et_5B_044923 transcript:Et_5B_044923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPQPPSLPDELLEEILLRIACPADLARASAACVAFCRLIANPDFLRRYRSRHLPLLLGFPGCRQGHPHRRGAPPQRSGGPRPRRRLLRLPPSATEELVHRASDIHDDDYDNRIGIDAHLAVWDPSSCLRQNLLLPPLPHDQDLQYFEAAFDPRAHEDDETLFTVISMMYYHTKLVVLVFDSDSDSWTIGTSASWDALSLSNEDPWQFFSLPYYAYGCFYWKIDDQNKLLKLDIGSMEFSRVNLPPSHEEHYVVVVEAGEDRLGMFSYIPHNGKFLNYYNSMQNEDQRTIEWQMRKVITLPAYDGPRLFIAHQGCVFIQADSNVLSTKQTTIYSLDIKTLKLEMVTQMSCHFRGYPYFGFPSTISPRRSPASPQQLPALTDDLLEEILLRIACPADLTRATGACVAFRHLITDHTFLRRYRSRHPPLLLGFLDFDVSKGFHPVEVPHPNAAVAGALVRAANFSFDYLPRGRGDRWDPHDVHDDRVLLKCLPPLDGTSGVFPDLVVCDPVSRRYLMLPAIPEDERRIEKFDVFFAPAADIKETSFRVMGMAFCEKKIMMFDFSSISGCWVDALIPEAERGLAWPCYAYGCFYFKVRGTTKLLKLDMNMMDLSIVNLPSDPKHEQHDMNTIIVEAGKGRLGMFCRNRHTKSLDYYTIMHSESERARTWQMENTVPMPANYDCRIAGEAGGYVFLVGVQKGALTEVQDTKLAVCFSLQIRTGQIETVSRVMQSIRHVCPYYGFPPFM >Et_5B_044960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7761493:7778225:-1 gene:Et_5B_044960 transcript:Et_5B_044960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRFLVLVLGAALPLLFVLAEAGGVGVNYGRVANDLPAPASVAQLLQQSGITMVRIYDANAEVLRSLANTGIKVMVMVPNENLADAAGNPSYALDWVRSNVAAYLPATQIDGVAVGNEVFKSRPDLNAKLVQAMTNVHAALQNLGLADAVKVTTPIAFDAVEVSFPPSRGKFRDDIAQSVMKPLLDFLQRTGSYLTMNIYPFFAYADPSSTISLDYALGNPNPGVPDPVTGLVYHSLLDAQLDAAYYAAEKLAGFNAVRWRTSVTESGWPSRGRWPPRSRLEAAGDDVGSDDAASIANAQAYNNNLINRILSGNTGTPHHPDADMDVYIFALFNENQKGAGPDDIEQNFGLFYPSMQKVYDFDFHGGGVPPPAPPAASWCVANAAVGDARLQAALDYACGHGADCSGIQPGAVCFEPNTLVAHTSYAVNSYYQNKGRASGTCDFAGAAFVVFQEPAEICDPNASWCVANAAVGDDRLQAALDYACGHGADCSPIQPGGQCFEPDTRVAHASYAFNSYYQRNHRASGTCDFSGAASVVFKAPKIGNCVLPWKAWIEETRSIMALTRLLAFVLGTALAFLLFHAEAGEMGVNYGQVANDLPDPAAVVQLLKDNGVTMVRIYDANQEVLRSLANTGIKVMVMVPNENLADAAGNPSYALQWARDNVAAYLPATQINGVAVGNEVFKWRPDLNWQLVPAMTNVHDALVMLDLADTVKVTTPVAFDAHQDPAFPPSVGRFRDDIAHSVMKPMLEFLQRTGSYLSMNMYPFFAYANQQPGTISLDFALGNPNSGVTDDLTGLVYHSLLDAELDAVYYATEKLLGSNAGVTVSPTESGWASGGRRGRSLEDRVGGEAASIANAQAYNNNLINRILSAPGCAELLVRPRCGLQRHPARSGPKTMLAHASYAVNSYYQNMGRTSGNCDFEGAAFVVFQEPAEICDPNASWCVANAAVGDERLYAALNWTCSNGADCSDTQPGAACFEPNTMVAHASHAFYSYYQRNHRASGTCDFGGAASVVYKAPRSMALRGLLVVLLGTTLPLLFFSPAEAGEVGVSYGRVANDLPDPASVVKLLQRHGITMVRLYDANATVLRALANSGIKVQVMLDNDDLAAAAASRLYALRWARRNVAAHYPATLINGVEVGNEVFEWRPDLTPRLVPAMINVQLALAKLGLADAVKVSTPIAFTALKDTFPPSSARFRDDIAQSVMKPMLQFLQRTGSYLSMNPYPFFAYADDPQNIRLEYALGDYKPGVLDWNTGLVYHSLLDAMMDATYYAMENLMEHLNIIREPMGTARLNNGRPGTKWTETGWSKRGQIKPGRPRNGWRSLEAGAGFQPATVANAKAYNNHVIDRVVSGNTGTPHRPDADMDVYIFALFDENQKGDGPDDAERYFGLFYPNQTKTYDFDFHPSWCVANAAVGEARLQAALDWACGHGADCGPIQPGASCFEPNTRVAHASYAFNSYYQRNHRAPGTCDFGGAAYVVHHAPKYGNCVLPLKASSEATPAKSEEGYAAI >Et_2A_016767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2841623:2844225:1 gene:Et_2A_016767 transcript:Et_2A_016767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDPTESIYGPRNFKNELFLTGIRTDEIRSVLAKIEEIYGRVKLDNKDESQLRNGFCFGLLDPASNVVVNSVIISAAAVAAPVKGGGEHAAGDTSQLSFDGLVAFLTTLFPYLPRSEATVYLHAAGADPLVAALLVIDRRGMRRFGFCSGTTVAAVETALRCAAAAARHPQPQRLVQGWKLLAPHLKSLVSELSTPHARPDAIVGGMLSTTHDNGSSPIPYLELQQSWELASSRRANTRVPKALPPVRGAMKRIMLSTIHGFYLQALAMLPTDDLRTRYHRGMPMGGYCYGPLDPVSNIIINTSRLHVISTPCLWRIAARSLYGLLSFMCTRYPGLTPDQALQRLLAAGADLRAADPNLFDPNNNKPSWFGCLPVGWCSSRPSASVAVAYAAAATAAFHPDPVAHKEFLGSPDSVSGLKAASDMVLQNGRQLSSELLVLLSEILLQCSSSKQQKQVPREKVTRRIRRYRSQFNGTFWLLHERAYTKAKAALDAFNKDRIFPRKNNYLCFCSVAIYILIGYNLTWLEQVPKFRLHVICGVNELVSGPEFCTDQQVKRPYNPGIPFIYHHCHINFLAYESSERTKDGAPLPVFFFAECSNYGIDTESWCVPVVQPRPGAVSFMLIICIDLDVAYAIAEQARCIYCESEGKSRIVHPSTETFHGRGIEFEKVLRGEPLFRGTEIEDYSNDNINEQQSMRIDWVHSVADDSIYSMDDDVATDDEDERSVDDEL >Et_1A_004952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18664600:18665367:-1 gene:Et_1A_004952 transcript:Et_1A_004952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNAAAADVFRYRGVAALPDGKWRSFIVNREGYQYTIGDFGTAAAAALAHDRTILAILGPDVDAEALNFRAAFSDTELRFLQRARCVADIVAMIRGGDYDAELARFAEHAFDAYMDPELAQDAASFRLRLTHDDAAKVERDAEREVFLEAARNKATDEAWVEKYCRRRCHSGLTFEDENRWPPVVPPTDINVDDDWFPGPGEELIYLPNGSNYVDEMMVGNGNGLIGQE >Et_3B_029985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29587300:29588048:-1 gene:Et_3B_029985 transcript:Et_3B_029985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPSSKAVLYAPQRGHLLGKRLEQHGGACRLATASRWSPPGCPASKDEVRLLRKMWFAFCSQVELRGLRGSRPTARPLNDRHLEVHGHLIRAPIPTVAPWRARWHLVHRRVPTPENTDGTVDFLPSTAVSLLLDGDVRSAVDSQLAGNDDVAEVERACRGWTSSPQRLNVRQPALGRGVGDV >Et_9A_062873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6084339:6087005:1 gene:Et_9A_062873 transcript:Et_9A_062873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTSGKPIDLLMEKVLCMNILSSDYFKGLFRLKTYHEVIDEIYNEVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYVADPKILWTWYEPYLRDDEKFTPGCNQRETTMGVYVRDLILGQYYFDSLLPRIPLPVTRQVTANLEKMKLPTKLSGVTGDSSRMGSEDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRRTINHDDDRRSYSPSRRSGSRDRADRELDRSSRDRDRDRSSRDRDRDRDIRDYHRRERDSRDRDYYRSRHSEERRDDRRDRDSSGHRRSSSRHRSRSRSRSRSRSPSRSRNEQRSSPFGDANKEKTAAMSSNLAKLKDLYGDVTEKKDDGDAEKLRRDSCAEEVIRLGGSRWR >Et_3A_025431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29886944:29890273:1 gene:Et_3A_025431 transcript:Et_3A_025431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSDQTPADGKGERDKAKNGSCGLRSDLEENFFFFFFEKRKRGATKQDEDTWGLFGCWPSLATLRMLGGAKDYAAFLLATIVVQLDGAFLENELDGLISSHLDPLFLTMNRSHAIEGSKHKGAQQLDAGKTTVFTAGHMMNFQDYYGIEVTSDVYGFPVAQDDRSGVLVQISNVGDGTKSIRNGIVVGWHVFPELYGDSKTHFYVLWTRDNYQNTGCFNLLCPGYVPEANISTVPGVAIDAVSDPDGIKRTIIFKVFKDSAGDWLVHIGFDSEPYLIGRFPKSLFTSLGDKADNIRLGGFVVTSTTQLAPMGSGFLSKNVKAASFSNIQLIDQNGKTTKVKHDQTPFFSDESIYSVSPINTEGKFTYGGPLQ >Et_3B_030535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4799446:4802169:1 gene:Et_3B_030535 transcript:Et_3B_030535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADKWLLPLVSVSFVSLLLFLSALSGFSASSALFARLPPPSYVRRGAAAPPSFAYLLAGGKGDGRKLLRLLLAVYHPRNRYLLHLSADAPESERAELAAAVSRAAPAIRAFGNVDVVGRPTAGTPMGSSGLAATLRAAAALLRLDSEWDWFVTLNAADYPLLTQDDLIHVFSSVPRHLNFIDHTSDLGWKESQRVQPVIVDAGIYLAGRNQFFQATEKRATPDGSPWVILNRRFLEYCIFGWENLPRTLLMYFTNVMLPLEGYFHSVVCNSDFRNSTVNNDLRYVVWDDPPKMEPHFLNVTHFDEIVGSGVPFARKFRENEPLLDKIDDKILRRWWHRPVPGAWCTGRRRWFSDPCSQWSNVNIVRPGPQAEKFRRYMDQILEESKSGNNSCTQ >Et_4B_037676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22433668:22436901:1 gene:Et_4B_037676 transcript:Et_4B_037676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVPIIDESEHPMVNGCCIMQDCMHGLIMPIPIIDGKDCGQVVALAAIAESARRATMAKILVVCEAMFFVTGGANAGHTIYNSEGKKFSLHLVPSGILNENTQCVIGNGAVVHLPGFFKEIDGLESNGISCNGRILVSDRAHLLFDLHQIVDGLREVELGNSLIGTTKRGIGPCYSNKVIRNGLRVSDLRHMDTFGAKLNTLLRDAALRFKGFEYDNKILKEEVEKYERFAERLEPFIADTVHFMNESILQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRSLGDVIGVVKAYTTRVGSGPFPTELLGKTGDLLRASGMEFGTTTGRPRRCGWLDIVALKYSCQINGFSSLNLTKLDVLTGLKEVKLGTSYCTDDGKTVESFPADLDLLEQIKVKYEVLPGWDDDISSARGYDDLPETARRYVERIEELVGIPVHYIGVGPGRDALIYK >Et_1B_014264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4634689:4638330:-1 gene:Et_1B_014264 transcript:Et_1B_014264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLLSRRLLSPTATAAMHLPKPFACSRDPFMLLHPGRRFFSTSPDPNPNPAASAPSDTTSAQETLDSMKHQEIEGPTVERDTSPLADETRRELDTLRRTVQRLSGSLALLGGTHLAAGAWIAYGAPPVGVESAAAVQGVVAFAFPFTAALVLRRAIKPIAFFQKMEANARLQVLTLCLQVTKNVSLMLLRTRVVAIACALGVSVGSVAAILLRLRLQKNNSEHCNCCKEAVAKHQTNRLVV >Et_1B_013981.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26233625:26233906:1 gene:Et_1B_013981 transcript:Et_1B_013981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVAMVVALLAVAAAMSAGVASAQRCDPGQLAVCAPAILSGVAPAAACCSNLRAQQGCFCQYARNPAYGPYINSPNARRTLAYCGVAVPRC >Et_6A_047517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6843732:6847165:-1 gene:Et_6A_047517 transcript:Et_6A_047517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRPAAAEEIGGEDRISALPDDVLHLLLSSLPSDEAVRTSVLARRWRHLWKSARAIRVAPRRRRDPDHSWTPRRLTRFVNHLLLLRGYSPAADECDIRCGELDADRDDDDCYGYDKGNRLRIPDVPFVSQSLTKVVLTAAKLAFDTLDFSRCPALDALDFSLCRIRLGRILSPSLKRLSMDDCNFTGETRTRISTPRLVSLYVTVCSGWAPFLDDMPMLVAADVRIQDDLSSDMCQGNAAWPCDKKTCYDHNDVRDGVRVLFQGLSSATNLELTSDPRVFIFRKDCQSCITFKYLKTLLLNEWCMTGDFRALVYFLRYTPILEKLTLQLEYCEDRLAVVVTDEKYSAKEEFLVSKQLNIVEIKCREENELVGKILMILGPMEYILKKLTSNQISVHPVREKNRRGPVYCGRPQIRHTLSFCHARELKVFICARQRLHILDVPLFSQDPAKVEFKEANLIFHCFDIS >Et_3B_030937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9049446:9053920:1 gene:Et_3B_030937 transcript:Et_3B_030937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKPEQRHRHNNDHVHEEEAEKGEDSMEHGGLSLHHGSSMFGQRRDEDGGLRRSEIREVDFFSRESGARRQDADGRSVPGGGGRDDVNIGLNLLTTATAAGATTSIGDGVTMANNEKEVTAVEAELRRVSEENRRMRGMLEELTRSYSTLYQQLLQVTQQQHPHHHLPGDLMNSRSSLAHTHLQSSGSHNASTRLLLEGRASSTAQQQHADAGVEDDASDGAGDASPSLSNVGNNDIDGKRRMSQDATAPPRENGEPAPAEMPGRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVACPVRKQVQRCAEDKRILITTYEGNHNHPLPPAATTMANTTSAAAAMLLSGPATSRDGGAALLGHPAALFHHSFPYASTMATLSASAPFPTITLDLTQPPSSAGIGGGLHPRPPAGGVHPGAGAQAMPFTVPSPLAMYLPQRAPSATSTLPAGQGARQQSVMETVTAAIAADPNFTTALAAAISSVMAAGAPPQAQTTTPRGTAGHGVAGEAAAVAASSAPPTATGSPPQFATQSCTTSTT >Et_1B_010281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34493033:34493641:-1 gene:Et_1B_010281 transcript:Et_1B_010281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHGSSATTPPLLLTRMTMSAASQLLATLPFPALLPQLIPIASATEVHARVQKGSGGAAELELERYTDQEQGFTLLKPTSRPTVEKAGAMPLFQQEGKGSNNIGVVVNDVRLNSLAEFGTPQLVADRFRQTEKKKVNVCCLNIVSWRCTSTVQCYL >Et_4B_036369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20304735:20305799:-1 gene:Et_4B_036369 transcript:Et_4B_036369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPKLALLALISLVVLLLAPAAAAARVGPTVTKPIDASQTQHMELPDIVIGPESVAFDPQGAGPYVSVNDGRILNQIPIVAKEALCGRPLGLRFHRESGNLYIADAYMGLMRVGPDGGEATVLVTEADGVPLSFTNGVDIDQVTAQHEMVTKMRDSTGRILNADRTHLIVASTGPCKLLKYWIRGANAGKSELFADLPGYPDNVRPDLKAIRIGAKGEKLQDMRGPKDVRPTEVVEREGGKLFLGSVELDYVSIVST >Et_6A_047356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4425536:4426836:-1 gene:Et_6A_047356 transcript:Et_6A_047356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRFVLMICEAARLTPIREDVIAAWEAGGSVAAWDTNILQHAVCGRRDLDAVERTRASQCPIELGRVAFAMSCSAGGMQLFHPLLRPPPDVHDRAKGRCVVDVGI >Et_4A_035450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25091953:25097868:1 gene:Et_4A_035450 transcript:Et_4A_035450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGSLIGLVNRIQRACTVLGDHGGGGGGSLWEALPSVAVVGGQVRTNERTSTYLLASLRDPLAPVPRSGQNAHSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEGGQEYAEFLHAPRKRFTDFAAVRKEIADETDRITGKTKAISNVPIQLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRAYVDKPNCIILAISPANQDIATSDAIKLARDVDPSGDRTFGVLTKLDLMDKGTNAVDVLEGRQYRLQHPWVGIVNRSQADINKNVDMLAARRKEKEYFESSPEYGHLAHKMGAEYLAKLLSQHLEAVIRAKIPSIVSMINKTIDEIEAELDRLGRPIGGDAGAQLYTILDMCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDKHLSMQNVRKVISEADGYQPHLIAPEQGYRRLIDSSLSYFKGPAEATVDAVHSVLKELVRRSIASTEELKRFPTLQSDIAAAANDSLERFREDGRKTVLRLVEMEASYLTVEFFRKLPTEPEKAADKNTPVSDRYQDNHLRRIGSNVSSYINMVCETLRNTIPKAVVHCQVKEAKRNLLNRFYAHVGSKEKKQLSAMLDEDPALMGKRDALVKRLELYKSARNEIDSVAWK >Et_6B_049855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15186763:15191678:1 gene:Et_6B_049855 transcript:Et_6B_049855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWYCTFHTAISDIESMEERAIYHQSSEEIATIKARFEKIYGLPKCIESMEASCILCTSGFYRLCEKGVRLDIQMELGGSLVMEY >Et_4A_033316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21473287:21476421:-1 gene:Et_4A_033316 transcript:Et_4A_033316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAKRVPYGGGLSPDRLSALPDELLGHVLSLLPSWQSVQTTVPAINLDSSDFRKDSNDWEEAWEWIEDFATNLFALHRAPCEAFRLKAHFVPDYLHPHVNAWIRRAIKDNPLVLQLMVTCYDDDARHYYYQLPHLGSSSCRRLKRLDLYGVLLDDSFTEKLQSWFPDLEDLVLRNCCGRFSGIHSDKRKNLVLKEYSVRINPPMAPGLASLFLGVTFNTYRNGISLDAVNSLTKASITFPAIPWSRSEAMLLGRLSRVTSLELMDFQPMAMLDEEFDKFPIFNNLRTLSLGSRFLDLDECHVHDAHASKALGRFLQKCPYLENLTLQNLQATLVTEPFELPKLENLRTLFLSWCRLHDDFRLLQHCLQSSPILEKLLLKYCKPLQCSQLFFLQPQLQPTEQSRKPVPLQCPKLKSIEIIYHGGHDNIPEL >Et_5B_043273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21383560:21384636:1 gene:Et_5B_043273 transcript:Et_5B_043273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACGGEGRKRGRVKDGNGEEREPEAKRRMAAAQHPHPASRIYRVSRASGGKDRHSKVYTAKGIRDRRVRLSVATAIQFYDLQDRLGYDQPSKAIEWLIKAAADAIDKLPELDAAAGFPAHPASSNKDHQQQQQQQLTTTRSGCSSTSETSKGSVLSLSRSESRVKARERARERRVAATTADYIGFAQPRKDGGHAAMAAHAFASPAPHLMSAPAQHFGLSAAGAVTVAAGAGEPPHAEMTHFSFLQDHFMPVHAAWGPAGDYNLNFSMSSGLVGVNSRGTLQSNSHISGHHHHQQLQRLSSTRALEAPNIPFLFSAAPAAPADTQFAAFQLWNGFHHADMKEKGKN >Et_2A_015212.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:787040:787273:1 gene:Et_2A_015212 transcript:Et_2A_015212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSTPCLGRALVFSERIWSTPLARQAPLYPTSHCLRRFLAGDFVFTETSLSSLLSTAPEDHPTFTSCLAWSHASGH >Et_7A_050978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13137229:13142547:1 gene:Et_7A_050978 transcript:Et_7A_050978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADETPSIDRGGGAFAFISKGWRELMRARADSMRARADRELEHLVASAPSLAPPPPVAAGAPIAEVEFVRTRIQPKIQELRRHYSSRAALDGWPAAPAGANLRVDLTAIRNAVVAEGDGAGRWRIARWKGDRAEEGAKEWEVVKMIRSGLKEFERRSLSSEMFAGFRGRSEFVEKFKLSLKSLNKEDRESKEVPPLDLPEILAYLVRQSGPFLDQLGIRRDLCDKLVEMLYSKRNGRLMYDSISADKPLLENISDELDLRIARVLESTGYHEEGFWNDPAKYKISDNRRHVAIVTTASLPWMTGTSINPLFRAAYLARSAKQKVTLLVPWLSKSDQELVYPNNITFTSPEEQETYIRNWLQERLGFEADFKISFYPGKFSKERRSIIPAGDTSQFIPSKEADIAILEEPEHLNWYHHGKRWTDKFKHVIGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCHKVLRLSAATQDLPRSVICNVHGVNPKFLSIGEKIAAERECGQKAFSKGAYFLGKMVWAKGYKELIDLLSKHKNDLAGFKLDAYGNGEDSEAVQATARKLDLSINFFKGRDHADDALHGYKVFINPSVSDVLCTATAEALAMGKFVICADHPSNDFFKSFPNCLTYKTSEEFVTRVKEAMASEPQPLTPEQRYSLSWEAATERFMEYSDLSKVLNDKNGQSRQGRKINKVRKIPLLPKLSDVVDGGLAFAHHCLTGNEILRLATGAIPGTRDYDKQQCMDLNLLPPQVQHPLYGWVSEEGKFC >Et_6B_048819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14012729:14021936:1 gene:Et_6B_048819 transcript:Et_6B_048819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSDIRKWFMKSQDKNGAAAKPSGAAGDKKKPVLSIPEKKPAPSMAPCNQDPSARRKTSKYFASKTEKDSDVEMTDAATGKSTEKSTPNRKNQKNIKELRDDIIPLPSKNKDEDDDNDDFVAPSSKRKTPVKPPPSKKSKVESNVEAPGKTAGIDEDEEDDKMDEDVKTPSKAAGRGRGRGGRGAGAAPAGRGRGGGGRGFMNFGERKDPPHKGEKEVPEGAPDCLSGLTFVISGTLDSLEREEATDLIKRYGGRVTGSISKKTSYLLADEDIGGVKSNKAKDLGVPFLTEDGLFDLIRKSKPAKASVEHQRGNNSEKLQKTQTKSSPAKVEKRADVSAVDKSTISTSNVASASGDNQKAKSMDRGSMQWTEKYRPKVPNDIVGNQSMVNASDSRGKADSKIEKGVGGSTSNSVKELISNATLNYSDNRLKHPKAVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLLLNFRKPTKQQMGKRLMEIAKREGIQAQENAMEELAERVHGDIRMALNHLQYMSLSQSVVKYDDIRQRLNSSAKDEDISPFTAVDKLFGFNGGRLRFDERIDLGMSDPDLVPLIIQENYINYRPNTLGKDESGVKRMNALARAAESIADGDIVNVQIRRYRQWQLSQAACFASSIVPAALMHGNREILEAGERNFNRFGGWLGKYSTTNKNRRLLEDVHSHILSSQQANLDREALRLDYLTHLLRQLTEPLKTMPKDEAVQKVVEFMDTYSLSQEDFDTIVEVSKFKGHPNPMDGIQPAVKSALTKAYKQGSSSRVVRTADLINIPGMKKPLKKRVAAILEPVEESPPGENGVASDEPDAESSDAENNDDELLPGASKPKLDLQSDNKKGIQVQLDLKGNENGSSAKKAPAGRPRASGSGGKAAGGSGGKRKR >Et_2A_016389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24113285:24116645:1 gene:Et_2A_016389 transcript:Et_2A_016389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYLSMGEAHRRIGGYLDRVADAISSSDGTSLASLLAVSSAPASTPLSDALAAFPDFPRIAADRFPNLSDLFVPLLRTIHSHSLQRYADAYSSFEKAANAFLQEFRNWESPWAMEAMHTVALEIRLLAEKADRELTTSGKNPDKLQAAGSFLMKVFGALAVKGPKRIGALYVTCQLFKIYFRLGTVNLCRSVIRSIETARNFDFEDFPVKDKVTYMYYTGRLEVFNENFLVADQKLTYALVHCNSQSESNMRRILKFLIPVKLSLGVLPKRTLLEKYNLLEYADFVTSLKRGDLRLLRQALDRHEDQFLKSGVYLVLEKLELQVYQRLVKKIYIIQRQKEPAKAHQIKLDVVVKALKWLEIDMDVDEVECIMACLIYKNLIKGYFAHKSKVLVLSKQDPFPKLNGKPV >Et_5B_045114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9725975:9728389:1 gene:Et_5B_045114 transcript:Et_5B_045114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRSSDGEVMRGRVLCLLSYSVQELLAPSPPDFRTWNSRKTQQSYGANSPTPAQETPAIAMAMATSLFPPSHHGSFSVPRRRRNVVVASATALRSEENIVIVGAGVAGLATALALRRLGLSAAVLEQGPTLRAGGTSLTLFKNGWRVLDAIGVADELRAKYLRIQGMRMWSPAAGGRVLREFFFEEEAPGQEVRAVERRVLLETLASKLPPETISFSSKLKSIAEQGPDGTLLELEDGRQVLAKIVVGCDGVNSPIARWMGFSEPRYVGHMAFRGLAEYADGQPFEPKVNYIYGRGVRAGFVPVSPTKVYWFLCFNRQSPGAKITDPAALKREALDLVRGWPSDLLAVMESTPEGAVVRTPLVDRWLWPGLAPPASRGGRVVLAGDAWHPMTPNLGQGACCALEDAVVLARRLAGAAAAGSAAGDAMREYEAERWARVFPLTARAGLVGALVQWENAAVCAARDGVVIPRLVRLGPFLEHTNFECDLLEPTAASP >Et_10B_002831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12106810:12108180:-1 gene:Et_10B_002831 transcript:Et_10B_002831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVDTVVISSPAAAQEVLQEKDVLFASRPSLLYTEVFGYGSLDVAFAPYGAYWRTVRRLCTADLLSAKMVRQIGPLRNSETLSLVRKIQAASQCGKPVNLARMLISCSNAITAKAAFGQACSADLQEQFMGAIDAALKLGSGFSFGDNFPSLRFVDALTGVRRRMWRARYQLDVFFDKIIARCEAQRGDDLVSVLLGIRDKGDLEFPMGTTNIKAIILDMYTAGTEMTSSAAEWVMSELMRNPDMMAKA >Et_9A_062377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22348717:22350714:1 gene:Et_9A_062377 transcript:Et_9A_062377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMHTTSSFVLAALTLYAVVSGSASTDLPAQASHRRLLLQDSNLAAHASNLHIPIPAHVPGIATIGPWKTGLSGQLQKAFVTGVPKLQRSELERACEDFSNIIASYPHYTVYKGTLSSGVEIAVVSTMITSSKEWSEHSEACFRKKIDSLSRINHKNFINLLGFCEDEEPFTRMMVLEYAPNGTLYENLHAEDFEHIDWRGRMRIIMGLAYCIQHLHELSPPVVHPDLHSSSILLTEDGAAKIVDMSVWREVISEGKQPKNVVNSHEQVSAGLAENVYNFGVLLLEIISGKLPCSEHELSVANSALECVNSDGRISSSLLDPRLNAHKEGDLDTICEVIQSCIQSDPRNRPSMREITARLREAIAISPVAATPRISPLWWAELEVISAVEAG >Et_3A_025282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28533208:28536314:1 gene:Et_3A_025282 transcript:Et_3A_025282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRDDSVVSSSGCSNGTHKRLLQDSSGYAQEHAKKKVRISTRTEYTYAPYHDGYQWRKYGQKMIRGNTYPRCYYRCTYHQDHGCPATKHVDQTNSQDPPLFRVLYTNEHTCISTDASSIHIQQMADASLRKAEMEELPSLTHCRVGHEVIKEEKDAIVSSLLNVMSGGDVATSGVMYDMQENTTSLLSSKYSNLSSMVPRSSHEAPVLLPASDERKMDFVEPLESHWFEPLDLGWFMC >Et_1A_007717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37562559:37564074:1 gene:Et_1A_007717 transcript:Et_1A_007717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VYHSSFVDDDGITKACGCPLLPLKTHIKGPAPASDPDKADIVDESITFFRANVFFKNFHVKSPADKLLIYLTFYINIALKRLEGCRTLAVGTKAIINLGLEKVPVPGEPGFPFPGLFTLPQSQEEAEMLRNYLKQIREETSGRLLSCAYRANGFPNKWWLAFAKRKFMNINLEKALGQFSCAQEGRGRSPWMLCDF >Et_4A_033369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2225494:2228222:-1 gene:Et_4A_033369 transcript:Et_4A_033369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLAHQTGAAAAVTAAPAGPRTSVVAAASTMAPPSVSPSPSPGLQMQTLTVDPATSQSSDVKPDLAMACQALVENVPETEHPDVAAELKSKAGVPVFVMMPLDTVRKDGNGLNRRKAVEASLAALKSAGVEGIMVDVWWGIVEAEGPGRYNFNGYMELMEMAKKTGLKVQAVMSFHQCGGNVGDSVTIPLPKWALEEMDKDQDLAYTDRCGRRNYEYISLGCDTLPVLKGRTPIQCYGDFMRAFRDHFANYMGNTIMEIQVGMGPAGELRYPSYPESNGTWAFPGIGEFQCYDRYMLSSLKAAAEAVGKPEWGNAGPGDSGSYKDWPEDTPFFRREGGWNTPYGEFFMSWYSQMLLEHGEHILSAASAVFNGMPGVKISVKVAGIHWHYGTRSHAAELTAGYYNTRHHDGYVPIARMLGRYGAVLNFTCVEMRDHEQPQDAQCRPEALVQQVAAAARDAGVGLAGENALPRYDETAHDQVVATAAEKAEEERMVAFTYLRMGPDLFQPDNWRRFAAFVKRMSDSGKRDMCREQVEREASGVAHATGPLVQEAAVALSN >Et_3B_028074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23603:23961:-1 gene:Et_3B_028074 transcript:Et_3B_028074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DDLLDTCPYWLLALIIKYPAEVLANFILLIWRLGMYGKYCCCHPGNITDNKGKQPANTCHNEAVKRKTIADKRWIPPDAGKLKITVDEAFDETLGSA >Et_4B_039363.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:11939500:11939973:1 gene:Et_4B_039363 transcript:Et_4B_039363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDRKETPASAPAGDKPPGFCDRLQRAFHARPAFQPLRRLAVRHQDGAASNPADAGAGAKPTADQHARKHGGPPVPAPPRPLTPSPSPQPAPVVRLPAVTAARRSNMPTALPVPAPPKDVVTGVPVAGPKAGDTAQGTKVKNRVGFSVRKALASSK >Et_1B_014074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:290585:295644:1 gene:Et_1B_014074 transcript:Et_1B_014074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQAISPALHASFLCSLALAFLRAGRLSSASHIASTLSSLPASPPAPLLRRLIPALASAGLAAAALRFRPVPGDTLILNSVILSYCNLRLLHPALNLLRASAKPPWQAVDTVSYNIFLTGLSEQGRGELAPAVLAEMSKRGVPFDGVTVNTVLVGLCRRGRVDEAASFAEMLVRGRAIDSLDVAGWNALIDGYCKVQDMAAALAVVERMRTQGVQIDVVGYNSLVAGFCRAGDVDAAWGIVETMKADRVQPSVVTYTAFIAEYCRRKEIEEAFSLYEEMVRTGVLPDVVTLSALVDGLSRDGRFSEAYALFREMEKIGAVPNHVTYCTLIDSLVKARRRKDSLALLSEMVSRGVVMDLVTRTALMDWFGKEGKIDDVKIMFQDALLDNLSPNCVTYTVLIDAHCKTGDIDRAEQVLLHMEEKSVNPNVVTFSAIINGLVKRGCLSKADGYMKKMQEKGIAPNVVTYGTLIDGSFKYQGQEAALAVYDDMLRQGVEANSFVVDSLVNGLIKNGKMEEAEALFKDMSRRGMLLDHVNYTTLIDGLFKTGNMPAAFKVGQELMERNFVPDAAVYNVFINCLCMLGKFKEAKSFLTEMRNSGLKPDQATYNTMIAAQCREGKTSKALKLFDEMKRRSVQPNLITYTTLVAGLLKAGAAEKAKYLLDEMASSGFTPTSLTHRRLLQACSESRRVDVILDIHEWMMNAGLHADILVYNTLVHVLCYHGMTRKVTVILDEMSRREIAPDTITFNALILGHCKSSHLDNAFAMYAQMLHQGLLPNIATLNTLLGGLESAGRIEEADTVLSEMKNVGLEPNSLTYDILVSGFAKKSNKVEAVRLYCEMVSKGFVPKVSTYNALISDFARAGMMSQAKELLSEMQMRGVLPTSCTYDILVNGWFQHMICANLLTDVLYFQKVEYHKRVTNQPAFYQDPESGGVVISNSEYSLIRGPDYEVAVLELFHTHCFFIAAGDPTF >Et_5A_041780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2788098:2789903:1 gene:Et_5A_041780 transcript:Et_5A_041780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLTRLAGRVATWSSLGFSRRAFQGARMESGGGKSGRGALVVLEGLDRSGKSSQCARLLSFLEGQGHRAEGWRFPDRGTSVGQMISAYLANESQLDDRTIHLLFSANRWEKRGLMESKLLGGTTLVVDRYSYSGVAFSAAKGLDIEWCKAPEVGLIAPDLVIYLDVQPEKAAVRGGYGDERYERIEFQKKVADHYHSLRDPTWKVVDGSLPMETVEEQLRELATNCIQECNGKPLTNLAW >Et_2A_016553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2586420:2590501:-1 gene:Et_2A_016553 transcript:Et_2A_016553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPKVFFTSATASSRRAGALRRLLSTPAFSAACLLFGLAGFLAAALVAFSPAAPARARCPDSSRPLSVSVAWDRRPGEGAGAVELPASLATGSRGRHKVMAFVGIFTGFSSGGRRRALRRTWLPSDRQGLLRLEEATGLAFRFVIGKSDSKNKMAALEREVEEYDDFVLLDLHEEYSRLPYKTLAFFKAAYALFDSDFYVKADDDIYLRPDRLSLLLAKERSHPQTYIGCMKKGPVFTDPKLKWYEPQSFLLGSEYFLHAYGPIYALSADVVASLVALRNNSFRMFNNEDVTIGSWMLAMNVNHENTHALCEPDCTESSIAVWDIPKCSGLCHPEVKMLELHQRKECTGGPTEVAEVSEDR >Et_7A_052780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1606823:1607996:-1 gene:Et_7A_052780 transcript:Et_7A_052780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAAQDVPDLFDVDIDCFNLDDFDFDLAADEFCDAYADFVNNADKSGAPAGGWVAGSGIDVGDCDGGSSRGSSSPESAVTDGPDAGEEEGALSAYVCELEQFLLGNSDDDDDVDIADEVAACPGGEGAEEEPLSVDDYFLGDDLLAGHGSDDAAAGGDADEEESLAAREDDEPASRKRARHKIKSTTMMPCSWGELEVTRRHLAPPMKMPALWLRAAPALLCV >Et_7B_053461.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:20778868:20778936:1 gene:Et_7B_053461 transcript:Et_7B_053461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLEPNSTRSSLGKIASSEQ >Et_1A_005953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1591306:1592899:1 gene:Et_1A_005953 transcript:Et_1A_005953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTKDEDRSSDVIRKEPKWDAYLERLAELDPDKRKFNLEDDSGQQFSIDDEKEERPMGGKKAKELQKRKRKDQSCIIDLEDELQIFVDAQNKANEGRKEMLETQKRVSSENLEAKKLAYLAAKESKESVMLETYRELLKQDTTVMAEDVRSEHVLALSNGEKLKSVWLYYIFLCPLNSHMNFISGLCYAMVTVGAISRMVAKDDMAAAFRQQPPKP >Et_8B_060251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:863414:864631:1 gene:Et_8B_060251 transcript:Et_8B_060251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSESSTTVRGRGKNKRKWVSAEDDELMKALYDVSLDPKWKAEGGFKNGYLFELEARLAEKLPDAKISALPHIESRLRYFRTKYGALEQMLNKSGFNWDANRMMLQCEKQQYDTHCKNHVDAKGLYGVAFPYYDTLSAVYAKDIATGEGAEGFTDAVSNMELELATEHRNDQEKEEERTSRETPRRSFDSTSSSSKRHKKEGKGKESVSSDPLLDMFNEVSGELKFVTKNVGKMAEAMEREAAIQEKAMHEDPQQKLREKAVNELRRLEFTGGELIQAASVFVKTPDQMGMLFALPEALRREYIVNMLHDEKKGRERLGGSKIGQLGIS >Et_4B_039179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8526359:8526972:1 gene:Et_4B_039179 transcript:Et_4B_039179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTQQVDPVESTPKAVKHASQFKRWGRKHPFVRYGMPLISLTVFGAVGLAHLIQGSKEVTKEKEDMEWEVVETTKALSRTGPVEGAYKPKKLSLEEELKALQQKVDINSYDYKPIPRPNEK >Et_7B_054307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19713190:19714591:-1 gene:Et_7B_054307 transcript:Et_7B_054307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVELLALILVLVVSLAIFRRSRARQPTLKVRDPAVARHALIDQADSFSNRPATPFPVPLLTGHGRHIHGMTTVPYGPHWRALRSNLTATMLQPWRQGLLAPLRRDAVVALVAGLATKDAGDVVVARDIVYTPVFSMLTRECFGDGIDEPHVRSMERMIQEFRVAIGEAKLMHWKRWRRFLAFRGQQMALFRPLIEAARQRRRSVSGGGGVRPYIDSLIDLRIPDDENDTRGAKRALTDDEIVTLMLEFLFEVESVVASVEWMLAHLVDQPDVQRKLRQEIVASDHGKDGSVSEERPGRLPYLHAVVLESFRLHPPFPLVMRDVRAEGATVGTAKVPAGGMRVQFMLGDIGKSAKGWTDPHSFRPERFLAGGEGEGVGLVPSGAKEIRMMPFGAGQRACPGAALGVQHIESFLAALVREVEWTLPAEAKGVDMTELYGFITVMKSPLKARITPARL >Et_10B_002696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8526743:8527117:-1 gene:Et_10B_002696 transcript:Et_10B_002696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAERKAREAERERMRERARRAREAGPDAFRKGKYPRCTQ >Et_10A_000124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7842256:7842914:-1 gene:Et_10A_000124 transcript:Et_10A_000124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFPPRHKQRLTVRIPYDHAQTSNSCPSIVVHINLKRAISWRHPSSIFLSLPFLFLHEHSIVVGPTTDHPCISLGITLIPQLK >Et_4B_036427.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:23574889:23575173:-1 gene:Et_4B_036427 transcript:Et_4B_036427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTSLAVQGMDSPQLLGRGIAFGGLTTWLPYPGGNLFPATPGWFGLDGNAPMRAAIIAFRSIRSFFLVFSVYSLVRRTTCSISENNDIFTSIF >Et_1A_007305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33164350:33168812:-1 gene:Et_1A_007305 transcript:Et_1A_007305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKDDMLVYCQVLATVKGKLLQKFRYDSDWTLVERKSCPAYLLPEAREQQPHSAQPLTPKPRSAACRTSHCDRAQAPVAGGLRGTMTRTARARRTSLRRAAAPILPDDLVVWEILVRLPAKTLLRCRAVCRSWRRLTSAADFLLAHHRRQPSLPLVSFRSHPRRRGDVADAALDAFDLPRSPAERRPVLRFNDYNPARPYRVHASCDGLLVLSLCFNQFYYICNPTTRQWISLPILKCCNVEGLYPHSSSGYRILYWNGRQVDNNTLCYVLPAGSYTLPRGIAKYQATGLRLVKYGASVLLHGCLHWVHRGGEEVLVFDTAVESFRWMSAPTNDSYWIESHLVQMDSTLCISRINKSTTMMKLWMLQNYEAEVWLMKYQIELPVAEMRSISDCYNFYGMVLSGNGDVLVYCRQNSHMFHCDSKGKLVQKFQWDDLFSSWPIREWFKESLVRHAFFQQKDGVRVRKPRKDGRTSDPPAPQFSQELVAWEILIRLPAKTLLRCGAVCRSWRRLIAADDFLLAHHRRQQSLPLVSFYSSRHDFVAVDTVDLWGASARAERHPVLHFNNYGHGLNFTGCASCDGLLVGSLYGDHFICDPATRQWTPLPKLIHSNAAALYYHSSSGEYRILEGEFVGS >Et_1A_007351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33668891:33671901:-1 gene:Et_1A_007351 transcript:Et_1A_007351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPPSSPHPAWAASNALFRRHRRLLPLLLPPTSLRALLPVLSHCVVSGLARNPFVASRLLIASSRLSLPFSLLLLSSLPASSLSPFAFNSVIRASPPRLALRLFDQMRRRGVPPDPYTLPFLIHACSGGDAPLCQSLHGHGFRLGYGSNLFTQTALINMYFACRSVVAARAVFDEMPVRDVVAWTGMVSGYVDSRMYLKSVEVFQEMRCADDLVWPNVATVVSVASACARLVSLECAKGLHAYVEKVGLESNLIVRNALIDMYNKCGSIESARGLFGLMREKDLHSWTAMISGLASHGHGEQAVALFFSMREERVVPDSTTFVVVLSACSHAGLVDEGISIFNSMETEYRVSPDIKHYGCMVDLFSRAGLISRAYELISTMPFEPNLAILGALLSACSINNELEIGELVLNKIESVCSYKGGADVLLSNIYANQNLWHEVDTIRRKIRSEAVARKPPGQSLVAAEVPFLRILQMQMKDAGVGHKEQPVVACGTQAAFRSGGGRAPAHNVTGGALLCLPVLDQIRPPRKRGALALDSPQGQITYVGRGSSR >Et_9B_066148.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:4911370:4912950:1 gene:Et_9B_066148 transcript:Et_9B_066148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRSLVFSYPEFLLAALSFLSLAALRLALQSRRLLVPVRWPVVGMLPFVFGNLGRLLDAATDALRECGCTFMFRGPWLAGADFLVTCDPAAVHHCLAANFGNYDKGRGFAEMFEVVGDGLLVADAASWARQRLVVTAVFAAPAFRDFVLSTMARQAERLVAFLDHAAAAVRGGDGVVELEDVFTRFSLDVSYASVFADDLGTLSFANAEAPVPAFGAATRVTSEAVLFRHVVPVWWWKMLRWLNVGIERRHAEAKVVLDDVVYREIHKRKSQPLSGGGDLLSMFMAWPRDPSMSDRERDQFLRDAVVGYMFAAKDLIVAALTWFSYMLCTHPHVEAAILAELRSLHPTAAVDKSTAAGGGGAGEHAVFDADALRAAPYLHAAVLETLRLFPPAPFEEKEALGDDVLPDGTRVAKGTPIIFCIYAMGRIEGIWGDDCHEFRPERWLTGSGRVRHEPSHKFAVFNCGPRSCLGRNLGLSNLKIAAAAIIYNFQLELVEGQVVEPLNSVVLHTKNGLRVRVMRRETA >Et_4B_038464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29199998:29205754:1 gene:Et_4B_038464 transcript:Et_4B_038464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGQRHGGVGSSSRPGNGFRGSASSIEFLGREIMEMQLRDAKSDADDERDMESGSDVIDGSNAEAGHIIATTIRGRNGLPKQSVTYIAEHVVGTGSFGVVYQAKCRETGEIVAIKKVLQDKRYKNRELQIMHMLDHPNIIGLKHYFFSTTERDELYLNLVLEFVPETVNRIARQYNRMNQRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNVLVNPHTHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDLWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFQKRLPHEAVDLVSRFLQYSPNLRCTALEACMHPFFDELRDPNTRLPNGRPLPPLFNFRSQELKGVPPEVVERLVPEHARRQSLFMALRT >Et_8A_057399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2757477:2758672:1 gene:Et_8A_057399 transcript:Et_8A_057399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVAPGMPPAHGGFQRPGKGKADPDERKRDTNGFLSEEEEEDAVVRRGEEEEEEEEAAVLSDSSSIGAASSDSSSIGENSASEKEDGEEDEVESKAKDVEGLGMMGLGTLESLEDALPSKRGLSNFYAGKSKSFTSLAEASAKAAAKEIAKPENPFNKRRRVLAAWSRRRASCSALATTYLPPLLTPDHAVLEEDDEEGADNSDDEGECGGKGRRERRQQAFPSPRLSVHTQMLRNPNPSSFRSPRSFSMTDLQSASYNLAEN >Et_1A_008770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12279688:12280299:-1 gene:Et_1A_008770 transcript:Et_1A_008770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNLPVSSGFAAAAAGNFTLDAWDETVDRKRQQAATTKRRGGAEEGPSDVGEYCSYLNSGASHSQGNKKGRGRGGASSPRAEFLDNREGWSEEAICSLLDAYIERLGGLGQLVRKYFTRQDWEDVTAAVTKQHPARDASGSKSVEQCKNKIDNLKKRYKAECQKIADSGHGSRKWS >Et_8A_058028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14974916:14976063:1 gene:Et_8A_058028 transcript:Et_8A_058028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQARAQLLASLAAVFVLAVSAGNIANEIDMMWGNSKLVTDSSGQQAIALTLDRNGGSAMRSKNTYRFCRIDIDIKLVPGNSAGTVTTFYMISEGSWQSHDEIDLEFLGNSSGQPYTLHTNMFGKGKGGREKQYRLWFDPTQDYHTYTIIWNRDWTLILVDNKVIRQMKNKEMYGITYPSTQPMRVYASLWNADDWATQGGRVKTDWSQAPFVAYFKNYRAISCTSYQTSSLCAEGSTNPIGWFNQELNDLRKQQLKEVDDNYKTYDYCTDTKRFNNGFPHECEAEK >Et_5B_045469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:24019251:24030337:1 gene:Et_5B_045469 transcript:Et_5B_045469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWADSVANAEESAPATAAAANGSAANQRPTRSSYVPPHLRGRPAGGAGFEAQPGPAGPAQGGPLPSAAAQPSGPAAVGGPRWAGIVNGGGSGGVGAPRQGYGGGGRSGGGGGAWNSRPGGWDRRDREPDPFAKAEAEEVDFEGQENTGINFDAYEDIPVETSGHDVPPPVNTFAEIDLGDALNENIRRCKYVKPTPVQRYAIPISIAGRDLMACAQTGSGKTAAFCFPIISGILKTRPPPRSSRSSRTAYPLALILSPTRELSCQIHEEARKFAYQTGVKVVVAYGGAPIHNQLRELERGVDILVATPGRLMDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGERQTMLFSATFPKEIQRMAADFLADYIFLAVGRVGSSTDLIVQRVEFVLDADKRSYLMDLLHAQKANGTHGKQSLTLVFVETKRGADALEDWLYRNGFPATSIHGDRTQQEREHALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNESNISLARSLSELMTEANQEVPQWLERVPGEKPAGGRGDSERDSDLVAWAWAGTGIQRAMLNRHGKHLPVFVCPNAHYKSLKCGRN >Et_10A_001898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10488547:10489554:1 gene:Et_10A_001898 transcript:Et_10A_001898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSPSPSGSRRLSELLDQQQEPFSLDLYLLEKGCSPAFLDAAVLHGGACSTCWPWSRSTGRMMVRSPVASKKGSCASGVLRHLLCKFLGAKMTAATAKKWQQQQLPAIDRRRVHGEKLRTAGDVEGSVPDHHRATEASTVKAEAAEVEDDDVSKRLSPVSVLEQRALGDSPPAHEQKALVIFMELQQAAYSPTLLDLLANAKTSNRSNRSKRSGAKTSTATARKKYENLEDDDIFEKARAKETDIISSETAGAEKRWPGDVQPDRRDVGADIAAAVLDALLEETVADLIGMDGP >Et_9B_064801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18751164:18753123:1 gene:Et_9B_064801 transcript:Et_9B_064801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLMCSPCRWLFSKGIFPVRSSKQIMAKLYTSAFSVRLQAASGSRAGPGAAGRAHAAGLPEPGHLDEQVVGVARLDEHVGRVEVAVVDFLRMEVLHAARHLQHDVDGDGEREQRRRAALGAGDLPDVAAEVLGHELVHDEEQLPPLLVVEDVPAVRHVRVRHRGQGRDLGAHRLVGIGVVHADEVLHGEGVPKPLHLLEAPGQAPADLEVALVQHGRRVHFSPWGGEHVGEAVLREEPPVLLPRHVHPGRELARGEVPRAEPLREQPRVQHVPAQVGVRGRRRLLDGLDRDGDAPEQRVQVVLDAVGAVRGGGGARPPARAPAAVEKEAAADVALGVADGAVELAERRLQQLPPLGGPLQAAALVVEAEEGARLGGDRGEGERARVGEEAALPRVDHAEVVGEGHHRVDAAEGLAHLTQLLHAVGSVGDPPQSLLVVVILSWPPVFGLAKGEDAI >Et_10B_003103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16555072:16555936:-1 gene:Et_10B_003103 transcript:Et_10B_003103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RWGPQKSNPHAARDPFLFHRNLRSTNSVRSPPIPFPSLHLKPNVAPLDPPPPIHRTRRRFARKQRRPGAPSPQLPPPPSAAADSPGNGAAPAPQALKRRRPQAPPPPSASAPNHSVNLLWYGRFTPAQRAVVADFLLSLSSAPAPPSPPPLPPRLVGHHLAVPPGRGAAHAGPPGARRLALPRPRPLGGLAGVPRVPPLPHRGSVAVVVMAPDVLVDGFCLSHCGLHASAPSAPSAPHAATAAHGRGRFAYAWVGNAAEQCPGECAWPFHQPAYGPSFMHCLME >Et_1B_014172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3450752:3452617:-1 gene:Et_1B_014172 transcript:Et_1B_014172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSSAVALPSSCRARPAGASRRARLLVARAAATSPKLPDGRRLRVAVVGGGPAGGAAAEALAKGGVETVLIERKLDNCKPCGGAIPLCMVSEFDLPLDLVDRKVRKMKMISPSNVAVDIGRTLAPHEYIGMVRREVLDDYLRTRAKSAGAEVVNGLFLRYEAPKERNGPYVVHYNHYDSSNGKVGGEKRSFEVDAIVGADGANSRVAKDMGAGDYEYAIAFQERVKIPDDKMVYYEERAEMYVGEDVSPDFYGWVFPKCDHVAVGTGTVTHKADIKKFQAATRLRAKDKIEGGRIIRVEAHPIPEHPRPKRVSGRVTLVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVAGSANGTRMVEESDLRKYLAEFDRLYWPTYKVLDVLQKVFYRSNAAREAFVEMCADDYVQKMTFDSYLYKRVVPGNPLDDIKLAVNTIGSLVRATALRREMEKVTL >Et_4A_032860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14771704:14775906:-1 gene:Et_4A_032860 transcript:Et_4A_032860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAPEYRRPSRRRLPGWIWWLLGIFLLVGFMLFVLHHNQKEQFRPPVVDNGSEIEEVPHEKVNFTEELLSSTSFARQLADQMTLAKAYVILAKEHGNLQLAWELSSQIRNCQRLLSEGAVSGRAITQEEAHPIISRLARLIYKAQDSHYDISTTIVTLKSHALALEERAKAAIVQSAEFGQLAAESLPKNLHCLTVKLTEEWLRNPMHRSRSEEQRNSTRLVDNNLYHFCIFSDNVLATSVVVNSTVSNANHPQQLVFHVVTDRIHFGAMWTWFLINDFKGCTVEVLCIDEFSWLNASSSPLVRQLAEVETQSYYYSSGSKNIEREIKFHNPKFVSLLNHLRFYIPQILPNLEKVVFLDDDVVVQKDLTQLFSIELHGNVIGAVETCLESFHRYHKYLNFSHPTVSSKIDPHTCGWAFGMNIFDLIAWRKANATSMYHYWQEQNSDLLLWKTGTLPAGLLTFYGLMEPLDRRWHVLGLGYDLDIDDRLIESAAVVHYNGNMKPWLKLAIRRYKYIWERYVNFSHPYLRECMLH >Et_9A_061287.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:6793509:6793697:-1 gene:Et_9A_061287 transcript:Et_9A_061287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLDRKKQEDFCRHNMEAAEVVKIIKEDMPLRARACGAIQFSAMQVEGHQQNHVTLKYTFS >Et_7A_052469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8347420:8353055:-1 gene:Et_7A_052469 transcript:Et_7A_052469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIQGERRGKCLPVACFGCLGSQDWKAQLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIIRLYQPVHLLVGTPGRILDLTKKGVCILKDCSMLIMDEADKLLSPEFQPSIEQLIRYLPSNRQILMFSATFPVTVKDFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDRAIYCQYTIYIPSYLTGAVSDNAQHDAASL >Et_8B_060864.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:9720450:9720986:-1 gene:Et_8B_060864 transcript:Et_8B_060864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRDLPFYEGFTHPVYGVIDFVGETFTLGAAGGAAFHFVRGLVLDRGSPGGRLAAGIRAARANAPRIAGRFGAVCAVFSVLESAAYLARGGEPSFAGGAAAAAAAFGLHGMRRGGGGPGAARGAFLGGACILVIEGLYRAQMVSESEASLARQKRINGDRPAPAALRLLKPPDDAAI >Et_1A_006732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26915117:26919506:-1 gene:Et_1A_006732 transcript:Et_1A_006732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREEAEVTESSSSAGPSITIGLAVSSSKSSKYAVKWALKNFVAGDGTRFMLIHVRQKVTLIPTPMGNHVPIDQVRDDIATAYEKEVECRAQNMLLMYKNMCDEKVEAEVLVVKGDDVADTISGVVSACQMNKLVVGVSSQGKLVRKSKGARTSSRICKSVPSFCTVYAVSKDGLSMVYSPGSEGGNSSEIFQVNESSNNGLHSDDTSSASDSTPSRTSGNNLDSSSFADRPCSLHEYLTGTNLTSSILDKNQSSSPCGRGQIAASSNLPISDKTPALSNAMQELMLSEDKDDANTEIEKLRLKLGHAKGVCELVHHESTSAAQKITELNERRAGEEARLVEVHSRINSAIEAAQQEREQRHALEEQAKHLRELAKVEALKKKNLQLRAAREAHSLQEMEKLIEFGGKSHSTFTWEEMESATSSFSEAHKIGSGSVGTVYKGKIHHTTVAIKVLNSNDSHITKHFKQELEILGKIHHPHLLLLLGACLDRPCLVYEYMENGSLDDRLQCKGGTAPLPWYHRLRIAWEIALAVVYLHRSKPKPIIHRDLKPANILLDSNFSSKIGDAGIATLLPPRDTSSTHTIYKDTDLVGTLFYMDPEYQRTGQVSSKSDTYALGMVLLQLLTAKPPVGLAGIVERAVEENRLVDILDQRAGMWPVQEAHDLAQLGLGCLEMRSRDRPDLEGKVLVELKRLNNIASTACDPVQPVPTQPPIHFICPILKRVMQDPCIASDGYSYDRAGIEMWLCDNEVSPLTKSRLPNKNLIPNHALLSAINSWKAEAGGDGAR >Et_1A_009353.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:40185290:40185943:-1 gene:Et_1A_009353 transcript:Et_1A_009353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLVLTMGQDLPDGNLRSLQKKGDANRDKFSKVLWYAVTNYRTWIFVLLYGYCMGVELTTDNVIAEYYSACGWASITAMVLFSFCAQAACGAIFGVTPFVSRRSLGIISGMTGAGGNFGAGLTQLLFFTSSSYSTGRGLEYMGIMIMACTLPVVFVHFPQWGSMLFPANATADESSDEEKSKGLHNASLKFAENSRSERGRRNAILATTDATPQHV >Et_10B_002535.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:14000931:14001347:1 gene:Et_10B_002535 transcript:Et_10B_002535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLLRPSAFVTDLDGGIIILPAVDAVEIARRCDRVAKRARDGDVVLLEEADARGWSSAVMERSLALRRRRGPASCTRRGCGWVIGAANPEYAAVADKHGCPREEAATPGPVALVGGAASEETGTDDSSYDVFFYPD >Et_6B_049723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9419948:9420840:-1 gene:Et_6B_049723 transcript:Et_6B_049723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTGAGGRVVFFPFPYQGHFNPMLRLAGALHARGLAITVFHTEFRAPDPTDFPADYRFVPVPVHISPEVAASEDIAKLVIELNANSKATFKERLAAQLAEGEEGGGVRCVITDVIWYSAQAAARELGVPAMGMMTSCASSFRTFMAYPILIEKGYLPVQEAHKDDPVDVLPPFRVRDLQRIETSSLSDFATLLLDTVNGARQSSGLIINTSEAIEAADLDEIRKGMAIPVFAVGPLNKLSPAAKTSLYELKQDCQCLDSTRRSQTPLFM >Et_5B_044172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2157249:2168603:1 gene:Et_5B_044172 transcript:Et_5B_044172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARRKRGAAAAAAAAAAAAQWKVGDLVLAKMRGFPPWPAMNLSPVKKKLLVYFYGTKEIAFCNYADLEAFTEEKKKTLLAKRHGKGADFVRAVKEIVEIYDSLNTENSNKSGLTKNNAKEDAENLVSNSTSLDTGGPEDGSDVANGNKMEDRAAFCMDHSTVSTHGPSAITTNQCAVNSAHNEPIEKLQVHDELKHSPLHASSWSKNRQIEAHQQDFCSPGNNTSPRSSKTSSGSELKTMEDSSGLMNGTNLPSVDLIPGDNQEDSARLNCIADDKPNSCSISAGKEVVLSHSNQGTCWQLGAFGLPSDEKKTSIATERVQSTCSTEPSQTGIRDKEVKLNEAGDLPMSTTLTFKRKRRSSSNYTNDPVTIEITDMDSELQPKSNGNLADSPNSSNEINKSDGDEHLPLVKRARVRMERPLLDNTEVDEPVDSYNRTELTKHADQCYKSGMSGLHGKDHSTDELIPVIDPSPEIDLSMPSREDQIGYKNKEYQPKVSAFDGEAALPPSKRLHRALEAMSANVSETSTNLTEVTKSKDTILNGCTVARYPNNNSADTLVKSPKSPKSESPEVSFEAQYSGSLSAQPHIGPPITLNEEAVSTVTPVLENGVSDCLWKAKVSEEAHMDRVGEEAHVDRVSEEAHVGRVSEGANVDRVSEEAHVGRVSEGANVDRVSEGANVDRVSEGANVDRVNMDRISEEANMDRINEEAHMDRISEAAPMGRDKVSEEAHMDSDSVPDLFVRNKIDNGIGGEAPINSLKSEEPAFVSLDEPSSLQATGTDSVESTDGSANGFGKSIDSSAEPIRQANALVSETSGSCNPVPCDETVLAEQMVNACERTSTSSLVSKVPFIHSDASTTRFDMHNSSVIAPEELNCGLNLMDKSSSPDSTFMKEPIDDGHARIFSRSNSFMDDSLGSKAFSKSLVNIPPIKEGSSDQCSPSNHMIRSASDGIHTQQNNDEMPFDNLQTEGFNELADRSEANSARRAFEASVGTLTRTKESIGRATRLALECAKHAIAGEVMDIIIEHLEKETNLYKRVDLFFLVDSIIRYCRNQKGGPGDAYPSLIQAVLPRIIYASAPPGNSAWENRRQCLKASDFSVLRLWLERKTLSEYIIRHHIKELEVLNEASFGSSHRPSGTERALNDPLRDDEAFLVDEYGSNAGVDLPNLICTKLLEDEEERSYEERSFEAVTPEHESTGANEQDASHQLQSAKHQLILEEVDGELEMEDAAPSSGAEASSKCQQDRTDTNCTGTGQRVSSGPPLPDDRPPSPPPLPSSPPPLPRPPCPVSQGAQLQGASVAADRGEQHCPGATYNTEGQHPYSVAINRGNMDGCVPSSQPPVSYNSGFVGHNNQIIPPQPPPPPPPHPVPQFHPSGPHGNFCGPPQPHHGNNYHHPPSAPLPNNAYHLPPPPHPPVPNQFPYPPEPEQRAQPWNYNPSCPERYQFGGHDIGHHEFDRRNHFDDRGHHFSSGGNRFDNGGYHFDERWHHFHHDRGPHFSDRAVGGQMHPEAIEGGRFPPYFPPACDCLHVPMTGFAKILGLIVPRFQTILEGHPQCTVDNHRILHQVNVLDGLCLIRDPIILLLPDTRWSHQSPMEVGGGMDDAITIDSIDF >Et_1B_011398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21747041:21752136:1 gene:Et_1B_011398 transcript:Et_1B_011398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGTDVMAPRTADVAHLGRRRLAHRGRHPPPPNRRSSRSGVRRPIEIRNNRERVREWRTTSRLDIWLDGSVMPLGGDLGSETRYIIRPKDPRPLPGDAPFFTPAPVPRALASRHGTPPILNPNLNQKTPGFITGTRHVHSVVLHDAAAAASGSDVQRRHRDADGCSGGQGPHRASSTFGGHGAGSSSTSPNPNPRLKNGGGAAWVGCGAAQERRGGAGAGHGAGEERGAAAQERSGGPGRPRRRRGAEGPGGGPRRRRGAAGALAGHGAGRGSVGAAQEEDGSTRQAAAQEEEGSALRPCFGRPGGRDLGAEEAGQQQWRGIMTINNGLQVIVKVNPYFALPDGEPKTYCGRKTLPPLAIDRLNFGILQLVEYIGEKCIWGSKQYVSMYRIAPDPMVCEIKTDEQLVEWCDMNLEKGVVHIKAQIDDFSGPLQFSPTKRRCHPKVRKRLLETPSTPPLNADPPIEPSQSTQVMANSTNECITNKGKTPKKVVADDDELKVLSDSDYDSNLAASSDSESDSDTEFDPNGKIIDEDDDDDVPLISYDVHDSCIDVGVIFPDTEQCKAAVTHHCILNDHAYEIVKKDSERFTTKCKAAENGCKWRFHTSTSKRKYIGCKVKTCGPKHTCGSFNKCGETMASNKWVADMSCECKCKCKYEWDREGKRKGKSRWTKRRKRREACCMV >Et_4B_040065.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:8915604:8915915:1 gene:Et_4B_040065 transcript:Et_4B_040065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Et_1B_011319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20345053:20346628:-1 gene:Et_1B_011319 transcript:Et_1B_011319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVIALASTPHSGNPHHTVAYVGLYIAALGSGIVKPCTFTFGADQFDINDTAERANKGSFLNCSQLSGTVVVWLQDNVGWGVGFAIPTVLVLFSLAGLVAATRLYRFRKMGVNPFTSLIQVIAAAVRKQHLRLPDDSSLLYELTGLSSAADACHKIKHTDQFRFFDKAAIIEPSSDMLPMSSWSLCTVTQVEELKMRLRMFPVSVSFAIYFAVGEQMSSTMVEQGMFMDNRIGTFAIPPASLSTVSVPRMLIWILIYETILVPLVRHVTGKDKGFSQRQRLGIGISLSMLTMRLAIAEANGLRNQNVPVPISIMWQVPAFFLLGASEVFGVIGMIEYFYDHAPDSIKSLCAAFGQLTMASGSYFSALLLGAVAVVTTRGGAPGWIPDNLNKGHLDYFFWMMAALSLLNLVQFVYFSTRHKQMN >Et_4A_032928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15774902:15780472:1 gene:Et_4A_032928 transcript:Et_4A_032928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSGAMPVFALILLITFMVAASPAVSAESMDAAGDKLMMGRFLRWQAAYNRSYAAAEEKQRRFEVYRRNMEYIEATNRGGNLTYQLGENQFTDLTPEEFLDRYTMKGMPKRVDDDRIKANASSFSDVDVPTSVDWRAQGAVTPIKTQGSCSSCWAFVTAATIESLNKIRNGRLVSLSEQELIDCDPYDGGCNRGHFTNGYRWVIENGGLTTSADYPYQGRRGACSRAKAANRVASISDYVRVPSGEANLLRAVAQQPVAAGIQMAGDLQFYSGGVFSGECAARENHGVTVVGYGSDASTGLKYWIVKNSWGTGWGEKGSEQSRNTYDSMAFLHGATSMLALILLITFMFAASPSPAAAGTVDDAGDKLMMDRFVRWQGAYGRSYATAEEKQRRFEVYRRNMEHIEATNRDGNLTYQLGENQFTDLTPEEFLDRYTMKGTVGNSKQANVSFSEGVAVDAPTSVDWRSQGAVTPIKNQGPDCSSCWAFVTAATIESLNKIKNGRLVSLSEQELIDCDPYDGGCNLGYFVNGYRWIIENGGLTTDANYPYQARRNYCSRSKASNYAARIRDYVQVAAGEAELQRAVAQQPIAAAIEMGGNLQYYSGGVYSGNCGQRMNHAITVVGYGADASSGLKYWIVKNSWGTSWGERGYLRIRRDVGRGGMCGIAMDLAYPVILDKVWFYLDTWAAVFAY >Et_8B_058670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15386477:15387565:1 gene:Et_8B_058670 transcript:Et_8B_058670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQVPITTTTSRCHPQTARGRHLFEIAGYSLHKGLYADKFIRSATLTVGGYDWCIRYFPDADQSDDPYIAVYLMLVTTEAEVRALFEFRLFDPVTGKSSQLCRGGHPQFKKKRKLEASKFLRDDRIVIMCDVTVILGTPVPQSEIMCDIQVPSLNLADDLSKLLEDEKRADVRFKVKGEIFRAHKFVLASRSPVFEAELYGPMREKKRRQRIRVKGMQPAVFKALLRFIYTDSFPDIDRYAMERMKLVCESILCKHLDVESVANTLALADQHHCTKLKDACMEFINSSDRNRTEDVLATQGYEHLKRVCPTVVMDIWERSIKSRKI >Et_2A_015982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19934919:19938369:-1 gene:Et_2A_015982 transcript:Et_2A_015982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQSRSGVLSETGSCAGTPRSVNSSCSLQHRFSSRSLLKTHEGALDMSPRFSYCKPTKHTEKMLHRRHSLNLPEQLPGHYSRKTIERTQKATSKSVADLAGEIAALEQEVVRKELHLLSLYRRAFDQYLSESCSFTSEKVDQETLKNIDEGALRLRDIKHSAAFNLPTISKSEVPKLGARHSSLVNFLSASISDYVPKISCKLSEDILSCIASVYCKLGRIPSQDADCMTSPSPSVSSASTFSPRHRNDSWSPRYNFDSPRQYGFHKERNEQNIGMIIVPKIRVDADKFDYASRMLETMRALIQRLEKIDPMKMTHEEQLCFWINIHNALVMHAFLAYGLQDKRMKSTDMILKAAYNVGGQSVNAQIVQNSILGCQSHRPSLWVRALFTPTKRSSAGTTRHPYALQHPEPIAHFALSTGTFSDPPVRLYTAKKIHHQLELARTEFIQANVMVRKQVLLLPKVLHYYAKDASLELRHLVELVCESMSEAQQQEAQRCLRRRVDKGVEWLPYKSSFRYVVHSDLAE >Et_1A_008588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:945781:946241:1 gene:Et_1A_008588 transcript:Et_1A_008588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKEARTATSFRALVVVALLVSSCSASRDGPAKPKGIVINDDSKCEVMAPCNRVSCCNYCLGRGLRSNAFCTFKPDFQFYCCCIILPQASPILSPAVTGSKIHTDVLRCLSRD >Et_2B_021142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26904121:26912026:1 gene:Et_2B_021142 transcript:Et_2B_021142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARKSVCVTGAGGFIASWLVKLLLSRGYYAVRGTVRNPDDSKNAHLKALEGAGERLQLLKADLLDYNSVASAVAGCEGVFHVASPVPSGRSSNPEVEVIAPAVTGTINVLKACYEAKVRRVVVVSSVAAVLSNPNWPKGKVFDEDSWSDEDYCRKNEDWYYLSKTRAEREAFAYAAKTGLDVVTICPSLVIGPLMQRTVNSSSKILISYFKGDRDTVENRLRNIVDVRDVADALLLTYEKHEASGRYICNSPPIKVSDMINILKSLYPTYPYPKNFVEVDDNFAYSSEKLQKLGWTFRALEETLHDTWQLHGEQHKQAEAKGRGGKSMEAERTSVCVTGAGGFVASWLVKLLLSKGHYAVRGTVRDPGDSKNTHLKVLEGAAERLQLLEADLLDYDSVASAISGCEGVFHVASPVPSVRSSNPEAEVIAPAVTGTLNVLKACYEAKVRRVVVVSSISAVFNNPNWPKGKVFDEDSWSDEEYCRKNEDHETVENRIRNMVDVRDVASALLLTYEKPEASGRYLCSSHPIKVSDMINILKTAYPTYAYPKNFTEVENVIYSSVKLQKLGWTFRPAEETLMDSDIEK >Et_1A_004758.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:38489453:38491064:-1 gene:Et_1A_004758 transcript:Et_1A_004758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPANGVAATNADGAASKESDDASGGEAAVGVDLPLHLTEKLLCHMTLLESARLAVVCKSWASTVSALLATPAPQLYVCTPPDISSARRGLVASVSLDGARPSPATIPAHVGSSDTTGLRCVGATPSGRVAFAAGWLTDQVLLVNPLTGARRSVRVGKSRLDHSRVLAGDAVLAVDGCTRMLWRPKQQDGGDAVEEWSACAVEPTLRQQRPSNTISAAVSCDDGHRFYLLHKDGSLSVVDATAPPPLRMEKLPVPRVTGGGLYMNNGHLVESDGEVLLVQQLVECTEEDESISVCGFEVHVLDVKENRWTKVDELAGDRALFVSPGSSFAVRASETLGCRSNCIYFVGKKQFYSDAACHQICGSSWGVYSMEEGRVLFEHPVTVTGPARGSEAVWFLPRVVSRIYS >Et_6A_046262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11673947:11681776:1 gene:Et_6A_046262 transcript:Et_6A_046262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSVSKAVVAGALGYAKSFIAEEVALQLGVEGDVSFIGDELEMMQSFLMTADEDGDKHKVKMTWVKQVREVAYNVEDSLMDFSVHSDKRPPCWWCIPGTLRERRDIAKEVKVLRLKVEDVSNRNLRYRLMKGVGSKPSTIAEEQANAASETMFGINEDRRTAIELNNTEVDMRQLITNEYRDLTVIAVWGASSALGLTSAVQDVYNDSKVTSNFGFRAWVSLVHPFNPKELIRSLVRQYYENFPEELGGIHKRKTTGISVFMKMENMSQSDILDLFDTKVSENSYLIVIDDLSTIEEWACIKNFFPDNTKGSRIIVSTQHAEIASLCTEKPNQVSEMKQCSSNQRIYIFHKKVMPSSDSNNAPISNSSTIPVNDNKATPNTEILDKEDQPFSGEEDLSFSDEELPFSDEDGDKVFDSEPRKKFDRSRTMAVVDDVPLGRAIEESVVIELVGQPDDDQDLKVISVWGMGGLGKTTLIQSVYRSPQLATWKQAWSTALRPFNPEQVLRRLASNLLGEDTQREKMELKELTIKLSMLLKNENCLIVLDDISSTREWDLITSFLEGARRIIVTTREKNIAKHCSKEERYMYKLHGLKDDIALDLFKKKVFKGDAERSELSYSMMEQARRILRKCDGLPLAISTIGGLLSTKPKTAIEWKKTNDYISTELEINPELRTIKAVLVRSYEGLPYHLKSCFLYLSIFPEDYKIRRKRVVRRWVAEGYSREMHGMSAEEVGDRYFDELLDRSMILPGKEVNMYSGKINSCHVHDIMRQICISKAREENLVLTLEEASNLSSTQGAIRHLAISSNWRRNKDVFDRILDLSHVRSLTVFGKWRSFFISKKMRFLRVLDLEDTYELTKYDLNRIGQLMHLKYLCLRRLNGITKLPNSLGNLKQLQTFDVKDTGLYELPAYIVNFRKLKFLHASDFVSIPRGIGELNALCTLTYPLVREKGIANEFTQLYQLRKLGIGNISESNSKEFWSAIPSMNQLQSLSINWKTVVACDRVDGPLDGSFLLPGSIESLKVRGRLSRLTEWIHHLPMLSRLHLSATQLHQDDISAIGKLPHIAFLFIGNRGFLWKDLLFERGSFPSLILLEIEFLSVSVIKFEDGGTPKLELLRIMNDTEVEVSGLRYLTKIKEIKGCITKELEDNNVQQQLEKLSNRRYIQLNSHVRWSSPRPEASGRWSPPPPPPPPQVSRGRWSPPLPPPPQASSMQWEDYFGQ >Et_2A_015592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15592422:15599932:1 gene:Et_2A_015592 transcript:Et_2A_015592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNRGRRDPSSMNNPMLKLLKIPAPIHRDEDLLHPSDSILLDPYGYMSSRENHTTAKGRMSDGERIQVTFWAAHPPRVSCFTVHCPSLSVGLFTDLPKIIKTDDDLVLLRVSVRPQRNRSKADRNEYFVYQAGTNYKRSELHRIPMPTTLEFSDLDIVLLRCRERGTYYIAILCRQVPYRQQFVVHLFNSKASNWTAMDMNSSEQIAYRNTTKAIPIGGRFGSVGWVDLWRGILLCNVEDSKLTLMRLHAGYPALSFHDDGVVYIMNKIQHHDDKAWVIAVDMRHHALKDVAFFGSGRPVGYGFPYLQSGISKEPEVEWGNQATNKLKEQVAEFPGNMARISKHLW >Et_2A_015487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13631685:13635809:1 gene:Et_2A_015487 transcript:Et_2A_015487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVSALMESTSSKILQLQRAFAELQSQSAVTMNLKWKQLEDHFHGLEQSLKKKFDELKQQEMEFEETVAKSEAMLEQREAAVTAKELTALERLQEKRDAALAMIFGKSKLSLPVPAVNPMDKALSNLGVKLPKPTPEVSVHLQVDNSAVKPRSELVALCEEMNVKGLHKFISDNRKNMTAIREEIPSALKSASHPYGLVLDSLEDFYAGDNLVLDGKKDGDLLGVRRTCLMLMESLGQMQNDAVTGFMSEGHMLTTNVKERAKKIAVEWKSKLDSLDIDASNGNCLEAHAFLQLLATFGISAEYNDDDLCKLLPSVSRRRQTPELCRLLGLSQKMPGVIGVLVDSGRPIDAVNLAFAFELTEQFEPVQLLKAYLRDVKKVSHVKNVKMSPGAQNEMNERELSALKAVIKCIEEHKLEEQYPVDPLQKRVLQLEKAKADKRRAVEAAKPQSKRPRANGSVFAPRVTSFTDKSFYPATPERHPYPYERQFVYGAEAHHPPIMSSAPYTMQPAHAPYYGNGYPVQYQKRSCLKIPSKEKLNSLDLEQTRGKTSNTK >Et_2B_020345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19205152:19208094:1 gene:Et_2B_020345 transcript:Et_2B_020345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPATDESAVEARLQALRQRLGKKQQFEEAVADLAAAVRDHYAGASPALRKSMYSTVSRVATVLQTRYTAPGFWRAGLNLFLGMEKLVTNPSEKEHLKSCISRAREHLDEKENEESMPSNRQADSRFLFEGHLTVGQEPPPPAWLVAQNLARELSILEPSGDQDGNNNRTELRPEEMAPALMNFLESMSPDMDLETALEESLQGVMGNPSKVPPASKEVVANLPIITVTDEVIARLGSETECAVCRENLVVDDKMQELPCKHLFHPPCLKPWLDENNSCPICRHELRTDDHVYESRKEHEREEEEGRRGAANAVRGGEFMYI >Et_8A_056423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:103594:108320:1 gene:Et_8A_056423 transcript:Et_8A_056423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGRCCLLLSASFSSPLAKPKFSFAPRASSSSSSGDGNAKRQLKGNKAPREDWRQKSKPISPGAVYPAKDHCSRCGLCDTYYVAHVKAACAFLGDGMSRVEDLEPLVHGRSRKESMDEMYFGVYDQLLYARKIQPVEGAQWTGIVTTIAVEMLKANMVDAVVLILTIGLLRGLTPDEVIAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFCLPANDLVDVIAPSCYSCFDYTNALADLVVGYMGVPKYSGVSMTHHPQYITVRNDRGREMLSLIDGLLESTPTGARQPFVMETVKADDAAKLGKGPSKPAPRFVGNILAFLLNLVGPKGLEFARYSLDYHTIRNYLHVRRAWGKQRAEQHIPGYAKKIVEAYDGDGRIQSMLQ >Et_10B_004285.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:295390:295758:-1 gene:Et_10B_004285 transcript:Et_10B_004285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHHGAVRTLLLLSVVIVAFAARDLDGANPFPAPGPYYGYGGFFGSGPGNGGAGNGGGGYAHGGVEVPTVVCQEKGPCYGKKVACPKKCFWSYSRSGNGYGAGGGGGSSTVDCKYKCAASC >Et_3B_031346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26315110:26320439:-1 gene:Et_3B_031346 transcript:Et_3B_031346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLYTAAVLGYASVRWLKAFSDEQCAGINHFVALYAVPVLTFHMVATNDPYRMNGRLIAADTLQKALILLSLKAGAALLSRFRRRRGGDGKAAVRSSTTSLKWVVTNFSSATLTNTLIMGVPLLNGMYGAVSRGLIAQIVVMQVCVWCNVVIFLYQYMSPQDGTVKISPGSPVTVAENIEIVMEMAATDASSATQDSTTREMTTESKETGSGGGVTTAAEQAVDVAGPEPAHAPSVMLVWTFIARQPRFVPCGYAIASLSMVLKFLVGPVVMLFTSLAMGLRGTLLHIAVVQAALPLAVISFVYAEEYKAKQEIGRAILVDSPVPHISMLSLLHDIRDSHHPTNLDCGCLLAAMEGYRAAQM >Et_4B_037373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19016318:19020017:-1 gene:Et_4B_037373 transcript:Et_4B_037373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTPWDRLSSTEFHPQWLRNAPVAPCAKTRGCGAHPRTRTPLLPARARSSNPTGSHSSRNSPAMSKPIDERPAGGLKRRSELEHLLLLYRVLRPERYVQDRRRRLRVQPAEDAAVRRRGHLRVLLAAYEGSDWPHRDLAKSATHHGQPLGLELLGGVDEHRVGAVPVRQEPAVERRPPVPLTVVERRRVVPEQGVKDGRAERAAGDEDRVRAPAPPRDAHRPRDKRVGDDAGVLLLSNKARDSSKGGSRDSKATRRSMNAWLGRELMDSQPAGRPWSDGTNGSAWKLIMETVSDAVGDARKAAMLPGVETRVTAASGRFWRRRRRAKARKPARLSRTEFHPQWLRNAPVAACARIWSCGAHPRTRTPLPLARARSSNPAGSHSSPN >Et_6B_049702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9190331:9198947:1 gene:Et_6B_049702 transcript:Et_6B_049702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLVVILFIFNVASASAVRKQVTALPGFNGALPFSLETGYVSVDEENGAELFYYFIESEGDPGRYPVLLWLTGGDRCTVFSGLVYEIGPVKFIIEPYNGSLPQLRYNPYSWTKAASILFVDSPVGAGFSFARNPKGYDVGDVSSSLQLVRFLTKWFTDHPSYITRSTSEETPMLERLFHIWRRRYQKGYLVGNPRTGENIDYDARVAYAHGVGIISDQLYESILENCGGGDYDNPKSAVCAEAVNRFNKLLGQVYMAHILYDRCILVSHGPNKDTANRVILKEEAGLGLLKNPPPRPPLGCVTYGNYLSYFWANNDIIRDALGIKKGTVDEWVRCHSGDLPYSQDVKSSIAYHYNVTSKGYRTLVYSGDHDAVVPFLGTQTWVRSLNLSIVDGWRAWNLDGQSAGFTITYSNNMTFATVKGGGHTAPEFQPERCLAMFKRTEGLFVGCRRRRRAPDMKGYGPSRPITKLRKAQSWRNASPKENYTLNAIVVLVFFNWTLPALS >Et_4A_032660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12325164:12327470:1 gene:Et_4A_032660 transcript:Et_4A_032660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADNKQRSEEEWRAVLSPEQFRILRMKGTELPGTGEYNKFYGDGVYNCAGCGTPLYKSTTKFDSGCGWPAFFEGLPGAINRTPDPDGRRVEITCAACGGHLGHVFKGEGFKTPTDERHCVNSVSIKFTPGS >Et_2A_018073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9964579:9968544:1 gene:Et_2A_018073 transcript:Et_2A_018073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ADAQSKATQCGAAGSAAKKAAAKSPFPLGRIPHHDDGDADPSRHQRAAGRCGCWAAVARGLRGACFRPPAEADGDRATAAGGAAKGSHVHDAAETRYLNASCRELADHFQTNLDDENGADASTEKKAPHKLLQFTFQELKSATLNFRPDSILGEGGFGYVFKGWIEPNSTAPAKPGTGVTVAVKSLKQDALQGHREWLAEVDFLGQLHHKHLVKLIGYCIEDDQRLLVYEFMARGSLENHLFRRALPLPWPNRMKIALGAAKGLAFLHGGPKPVIYRDFKTSNILLDAEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKKRPTGEQNLVAWARPYLNDRRRLYQLVDPRLGLNYSIKGVQKVAQICHYCLSRDSKSRPSMDEVVKHLTPLQDLNDTASASFRPRSSQRGE >Et_9A_062079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19507030:19509440:-1 gene:Et_9A_062079 transcript:Et_9A_062079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHLKLIAFLSFSFFFTHLPCARGADLRSDKQALLAFATSIPHGRKLNWTRTTPICTSWVGITCTRDGKRVREVRLPAIGLFGPIPAGILGKLDALEVLSLRSNRLTVSLPVDVGSIPSLHSLYVQHNNLSGIIPSSLSSNLTFLDLSFNSFMGEIPLEVRNITELTALLLQNNSLSGPIPDLQLPKLRHLNLSNNNLSGPIPPSLQKFPASSFLGNAFLCGLPLEPCPGTSPSPSPISPSPQNTRSFWNKLSLGVKIAIIAGGGVALLILIIILFLCIFRRMGAESDGAASSSKGKAAAGGRAEKSKGEYSSGIQEAERNKLFFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKATLEDGTTVVVKRLKEVVAGKRDFEQQMELIGKVGHHQNVVPLRAYYYSKDEKLLVYDYVQLGSLSSALHGNKAAGRPPLDWETRVKIALGAARGMAYLHAEGGGKFIHGNIKSNNILISQELSACVTEFGLAQLMAPPHVFDVDLLRHPNVEDEMVQMLQVAMACVAVLPDQRPQMEEVVSRIEEIRNSYTETRTSPEDKPKEGPF >Et_4B_036092.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:22714484:22715169:1 gene:Et_4B_036092 transcript:Et_4B_036092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASCRCSRVVYVGNIPFHAAEKELRDACELIGPVLSLRLATDPATGKRRGYAFVEYADDETARSACRNLDGHFLRGRNLRVGLAGREKGPRGRRPRGDHEPVGMEDAVHAACLVSGAPLEPVTRYLAARSTRELRQMVAALEGLDADTAPLLKEHVPGLATLTEQAKHLLDMAAADAAAKETREKKRAASACEPTAEASNDD >Et_6A_047309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3690950:3706969:-1 gene:Et_6A_047309 transcript:Et_6A_047309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAERWRYAMVCSSNMNRSMEAHLLLARSGLDVASYGTGGHVKLPGPSLHEPNVYGFGTPYRAIYDDLRRKDPDLYTRNGLLPMLKRNIAIKTAPQRWQENADDGPFDVVFTFEERVFDAVLEDLDSREQRLLKSALIINMDVKDNHEEAGVGAKLALDLCQQLEAVGGWEDTIDDIIAAFEKQYRRKIVYSISQNSNVAGIKCRTVVEGEGTASDPPVLFGGLSD >Et_3B_027456.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18939561:18940378:-1 gene:Et_3B_027456 transcript:Et_3B_027456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLTASVAILTALLIMHHPSLTAAAAAATIDHQTTTPANASLATGFSLELVDPDLDNLDHTVRRVSDGFLHLQSLRTDLRPDATYAPLRLPRSLVVGVGTGPAQQDYLFQVVAAGNIVWMQCKGCDPHSPQRHRFFDTGTSPTYRMVLGTDPFCRAPCWSVFQGNACAFRVDGHVRRGLHGH >Et_4B_037824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23803240:23808397:-1 gene:Et_4B_037824 transcript:Et_4B_037824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNTGSLYGRINRTSTRGFLAYVAAGAACAAVLACFVLSAADPSSAASNDGALLRLSSRSARVWPDLAFNWRVVVATVVGFLGSAFGTVGGVGGGGIFVPMLNLVVGFDTKSAAALSKCKPKLPRRRCRAVLMHAPVDLLADTPCFGWRAGMIMGASASSVWYNLQVSHPTKEAPVIDYKLAMLFQPMLMLGITIGVELSVIFPYWLITVLIIILFVGTSSRSFYKGILMWREESRILMETREREEQAKSACAATDVVIDPSYEEPLLAQPQPKEKSAMETFLFNLRWKNILMLMAVWSSFLVLQILKNNSKSCSTFYWVVNVLQVPVAVSVFLWEAVKLCKESRARRMNGNSECVCEASIEWSPAQLIFCAFCGLLGGTVGGLLGSGGGFILGPLLLELGCIPQVASATATFVMMFSSSLSVVEFYFLHRFPIPFAAYLIFVSILAGFWGQCLVRKIVHVLKRASLIVFILSSVIFASALTMGVVGTQKSISMINNHEYMGFLDFCG >Et_8B_058865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10172302:10178011:-1 gene:Et_8B_058865 transcript:Et_8B_058865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIVSKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGICKTAFELIFAFDEAISLGNKENVTVQQVKQYCEMESHEEKAHKLMMQAKINETKDVMKKKANELDKMRMERGKLDKGGYSSISGPRVIEKTFSDISISGTGFGSGSGLGGLSTDMDSFASKPKGRQPAAAAAPGKGLGMKLGKTQKTNQFLESLKAEGEVILEDVQPSSLPSRSSSLPPSDPVTVTIEEKLNVVVKRDGGINNFDVQGTLALQVLNDADGFIQLQIENQDIPGLSFKTHPNINKDLFNSQQVLGAKDPNRPFPSGQNETPLVKWRIQGLDESSLPLSVNCWPSVSGNETYVNIEYEASELFDLHNVVISIPLPALREAPSVRQIDGEWNGSMEFVVPPADPSSFFPISVGFSASSTFSDLKVTGIHPLKDSNPPKFSQRARLLTANYQINLNTKSKAGTKELNRE >Et_8A_057559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4506831:4514370:1 gene:Et_8A_057559 transcript:Et_8A_057559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPCVRSKPPDQIQQVGVRRPALRHGGPRLLPERARPPPPLPALASAAVHDAAHRRLHGLPPDHHLPPRHLVHHRLLHPGAHADTQQVHGHLGVQELVREVRPRHHGNPRGDRLRRGVPPAVRHEAAHGRVRQDQHLRRPHPHDQAPPGHARLELPKPELHHLFRRRAREAPEADVHDGVGSLPVQPPEALVGADGGGVPTRRQRRRRALVLVEQGHRPDGRHLRPPGLRVRRDVLGLHLNEAVHDDAVGAGHGSLHVVRELLEPGHAPEEPGGLSPRHLDPSLHEPGHDDQLVVVGDARVGGEPLHVVLAQERERADAEEREPRDAEARRELLRPRGAEVGDDAGRVVRRVAEAALERLPEKRQRVEVLRAGVRRQLGDVVGAVGKAHRGAVERELHEADAGGSGRQVDGGADAGGVGRRDDDAGERAVGGQEQRGVGRRDQVALEHQRDEHEVRPGRVAPCVVAGGHDRTECSC >Et_7A_050815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11247304:11247623:1 gene:Et_7A_050815 transcript:Et_7A_050815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKHLHFSEGRPKSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRH >Et_2A_015166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33861240:33861881:1 gene:Et_2A_015166 transcript:Et_2A_015166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATCRCSSVVFVGIIPYHASEEELRSACEEIGPVVSLRVAADRYLDDETALSACRNLDGRPLRGRQLRVRLADRSGQGQGHGVADDDQPVGLADATLVASPGARPSGAVTAYLAGLSRRQVRELVNVVGAQDAGLVEQAKRELGGFATLLDMAAATKFDRKGSNSDARKRQGDSPEPVGQAPKLRRLEDGKSVPGVACR >Et_6B_049791.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1259823:1261250:-1 gene:Et_6B_049791 transcript:Et_6B_049791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADNHHSEHGDSHFLVVAGGMQGHLNPARTLARRLARVTLSVPAAAHGRMFPSSLTSHAGDDEAEVSDGVISYVPFSDGLEFGAWPRTAAEKARCRRASAESLSAIVRRLAAAGQRPVTCVVSTISLPAIDVALELGIPYAFYWIQTAATFAAYYHYFHGYEQLVAAHVDDPAYEVSLPGLCPLPIRDLPSFLADSTRSEQSKASVEWLRAIFEHIGREKPKVLVNALDMLETNVLQTLRQHLDVFAVGPMVPRLQQMDVIEDRLHLYKQDEKGYLEWLNAQPDRSVVYMSYGSMLTYNKQQVEEILQGFRACGRPYLWVVRKDGRQDDVESCLALNGYSKQGMVIDWCDQLEVLSHPSVGCFVTHCGWNSTLEAMTLGVPMVTVPNWSDQALNAHLVDEWGIGVRAKRNDEGLLAGTELAKCIEIVMGNDDKARNIHEKVNALKGDVQKGVVEGDLVERSLQNFVMAMQRET >Et_10A_000502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11505684:11508525:-1 gene:Et_10A_000502 transcript:Et_10A_000502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKSPAAAAAAPAPASPRKTRSMTEEAEQKGRKRASKKEETVAVVAEPKGRKKAKKEAESEAAEEKENGGAAVADGKRVIVEACTQCRQFKMRAQKVKEDLESSVPGVSVIINPQKPRRGCLEIREEGGEVFISLLNMPRPFTAMRKLDMDEVIKDIAKKIS >Et_10A_001554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:557053:561680:1 gene:Et_10A_001554 transcript:Et_10A_001554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQHAAAARRMATLASHLRPHPTSQSAAALNSRRCSGERDDQILTTPQGREVVCRGDGGRKMEEVSILRGSNCRAKGAAPGFKVAILGASGGIGQPLALLMKMNPLVSVLHLYDVVNTPGVTADISHMNTGAVVRGFVGQPQLEDALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVRTLCEGIAKCCPKAVVNVISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVGEVLGLNPTDINVPVIGGHAGVTILPLLSQVHPPCSFTSEEVSYLTSRIQNGGTEVVEAKAGAGSATLSMAYAAAKFADACLRGLRGDAGIVECSYVASQVTELPFFASKVRLGRCGIEEILPLGPLNEFERAGLEKAKKELTESIQKGVSFIKK >Et_4B_036221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11349704:11351052:-1 gene:Et_4B_036221 transcript:Et_4B_036221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEAAPQALQTVVLKVSIHCHGCKKKVRKVLKSIEGVHNVSVDAGQHKVTVTGTVDAETLVKRLYKSGKQALLLQHNAPVNKPEAAPAPAPAKEAAPAAAGDGGKDASAAAAAPAAVEKKPEEPVKEAKAESAEKKPEKEASEKKAEAEKGAEPKKEEAAAKPSAEAKKDGAGEAKAKSDDAPAKAKEAVASKEAGGNDEGEAKKSKKAPEDAAGDAKPVAMAERTLSAPPPRHMYEEYVDHQYYPPQPILSYHAAQPRASVSYYAPQPQQAYSMHQQQSRRAYSMEEPAMHEQQQQQWSPSYLYMPYPHSAPGSYYQHEDYYSPPGAHAPPPPLQDSYRMFDDENPNACSVM >Et_9A_061541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13442499:13447123:1 gene:Et_9A_061541 transcript:Et_9A_061541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFEEEGPEVNEHNAERSICSHAFSDLSHVPAATFMCLLKDCYVYGTHKASSKFRIVHQLVKMALHNAPQPGPFTYVVQCMYIVPLLGKAYAEGLSHMLTSSLRQLKSVESTQKDFLEAKLLAARLVLDILASVVHHENRILVKILDTFDIELGDIAQALYGSDFNDDDLVKAREHLKLYVKCCMESESYAAAVAMITRFSIQCCDKSFLIKLIESNQFEVVEECAAFMGKEMVCFIIQKYLDMKMLKSANKLVKEHDLTEEFPGVNFLYKESLVKKLAEKGCWDIAEIRAKKETKLIEYLVYLAMEAGYMEKVDELCQRYSLEGYVDSLVREEVFCGSDYLDLKQLGSEEIVWVDDIDGLINATSYIEGCRIIGLDCEWKPNFEKNSKPNKVSIIQIASDKKAFIFDLIKLYEDDPKALDNCFRRIMCSNNILKLGYDVQCDLHQLSQSYGELECFQSYEMLLDIQKLFKGATGGLSGLSKKILGAGLNKARRNSNWELRPLSQNQKEYAALDAVVLVHIFHEHIGRQPQFGVSEGCKAEWKSHIVSRVNSMRRPLHL >Et_2B_019743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13312379:13314743:-1 gene:Et_2B_019743 transcript:Et_2B_019743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGPKKGDRRIDAALDHFVGMGYHARDVRAIVQRLLKLYGGADAWPLLEEGSYQVVQDLLFEKQQEEEKLLLLEHHPQEEEQQVEVEEEPPKHQGPAGDEAPPENNMAMLELHNEVSAEPESPIEGVEDSVFAELPTPEVVVPPPVAVGTGGTTRPCYGWISESEDEEEITGEQPEVHVLSLEGGSPCKRKRLE >Et_1B_011834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26457704:26458271:1 gene:Et_1B_011834 transcript:Et_1B_011834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAAASILELDPSHERAGRVIEDIVRLEKKIFPKHESLARSFHDELKRRNSGLIYSTSGDEVVGYAMYTCNTSLCATITKLAVKGSCRRQGHGEALLAAAVERCRSRRVQRVSLHVDPARTAAVALYRKAGFEIDATVQDYYAPQRHAYRMYIDL >Et_4B_039092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7719536:7722008:1 gene:Et_4B_039092 transcript:Et_4B_039092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGSRADIEAGGFPGFVPERRPMRIHAGGRPVNSNSLAFLVTVLILFMVLNSHQMSPNFLLWLVLGVFLAATSLRMYATCQQLQAQAQAHAAAASGLLGHTELRVHVPPTIALATRGRLQTLRLQLALLDREFDDLDYETLRALDADNSPHARSMSEEEINALPKKQNGFKADGTSKTLEDELTCSICLEQVDVGDLLRSLPCLHQFHVNCIDPWLRQQATCPVCKHQVSEGWQGAGNGEEVASYMV >Et_3B_028232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12030785:12034275:-1 gene:Et_3B_028232 transcript:Et_3B_028232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSDFPTHLLAERHAIGMFGAVPPSPRPPRLQSQWPSHPSSSPYPYAATVACSTLLPIAPRPSPIVLPCFRVSRPRRRVAMSAADSLAIDSSVIGSSSDAEAGSLAPDSVGENDLLIVGPGVLGRIVADMWKQEHPACRVFGQTATTDHHSELTEIGIIPSLKGSIAGLKFPYVIFCAPPYRSDDYPGDLRVAASNWNGEGSFLFTSSTAVYDCSDNGLCNEDSPCVPIGQSPRTDVLLKAENVVLEAGGCVLRLDAASLAIAIMKKRLRGRVFVGCDNQPLSRQEIMDLVNRSEKFDTKFKGFTGTDGPLGKRMENSKTRAEIGWQPKYPSFTEFLGLSNL >Et_2A_015193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35178538:35179026:1 gene:Et_2A_015193 transcript:Et_2A_015193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVILASFLCALVCVLGLALVSRCASSSASSAPPPKGLKKKAIDALPTVSFTPGPQGHEEEEEEEEEGECAICLAEFAEGEALRVLPRCAHAFHVACIDAWLRTRATCPSCRASLVATAKPSSCAAPAPAPAPAVSRVVLA >Et_3B_027533.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25849779:25850840:-1 gene:Et_3B_027533 transcript:Et_3B_027533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPSSGADAAAPAPPPVRLSAAAQAAAIQPSSPRFFFSSLAGTNPASPHRRIAIAVDLSDESAYAVRWAVQNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVADEADGADAPAAEGASEEELQKKREEDYDAFTSTKAQDLAQPLVAAQIPFKIHVVKDHDMKERLCLEAERLGLSAMIMGSRGFGASRKGGKGRLGSVSDYCVHHCVCPVVVVRFPDDAGVGGDGAGATDELHPVPEDEPVYHDAPDAHKGLPFYFA >Et_2B_021660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4147639:4149847:1 gene:Et_2B_021660 transcript:Et_2B_021660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTVAATVGSGALPVRPSLTVPSRRPRRCSVRAQAGAADAPGDKSVEIMRKFSEQYARRTNTYFCADKSVTAVVIKGLADHKDQLGAPLCPCRHYDDKAAEVAQGFWNCPCVPMRERKECHCMLFLTPDNDFAGADQTITFEEIKEETSKYA >Et_4A_034325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31762400:31766984:-1 gene:Et_4A_034325 transcript:Et_4A_034325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAMSALAPGALQLPQCHRRRSPPPRVRPALAGGLRAGRRDRVSPSSRCQAVAADERSADPSVPEGQSRAISGALERPEADVIVIGSGLGGLCCAGLLARYGQDVLVLESHDRPGGAAHSFDIKGFNFDSGPSLFSGFQSRGPQANPLAQVLDALGESVPCASYDSWMVYVPEGQFLSRIGPTDFLKDLETFIGAEAVQEWKRLLDAVIPMSAAAMALPPLSIRGDLGVLSTAAGRYAPSLLKSFIQMGPQGALGATKLLRPFSELVDSLGLKNPFVRNWIDLLCFLLAGVKSDGALSAEMVYMFAEWYKPGCLLEYPLGGTGAIIDALVRGIEKFGGRLALRSHVEKIIIENGRAVGVKLQSGQVVRAKKAVVSNASMWDTLDLLPPEVVPKSYKDKVQAIPQCESFMHLHLGFDAENARDDLGIHHIVVNDWNKGVDAEQNVVLISVPTVLGKGLAPPGKHVLHAYTPGTEPFSFWEGLDRKSADYRKLKEERSEVMWKAVELALGPKFNREKCDVKLVGTPLTHKRFLRRNRGTYGPAIKAGEATFPGQATSIPQLFCCGDSTFPGIGVPAVAASGAIVANTLVSVSQHSELLDAVGI >Et_5B_045705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7936785:7939649:1 gene:Et_5B_045705 transcript:Et_5B_045705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAAKKRKPDRIDGQGTPVNRDDNEMVDHISRLPDEVLGTIISLLPTKDGARTRAVARRWRHLWRSAPLNLQVDYQLSGQDRKRVLFVTKILADHPGPARRFSLRSIRLRDRYPKIDGWLRSQTLNGLREIEFSYEIENRLLHYPLPPSALRFAPTLCVADIGSCDFPNEMAPSLNFPCLKQLSLRNVSMSEDALHSLLSGCPVLESLLLLDNTGIASLRISSPTLRSIGLRAAQNWYRYNDPDAIRLQELVIKHAPCLERLLPLDPNHGPAAIRVMHAPKLEILGLLSDGISRLELGTSVFQSHPMKNMKNVLRYNPLDPIKCLELHLEKVVLKNYDGKKPDVDFAKFFVLNAKVLKKMEFGGEMSGCGKRQRTQILDIPRQI >Et_10B_002554.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:15645454:15645750:1 gene:Et_10B_002554 transcript:Et_10B_002554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSESENANELPVESIVPLPREYNSYHIFGASQGYPYLIGTKKEVDVARQSTTFSGSAAIFSLDIKTLKVEKVCLANGVPDYVIPYFGFPPFISPRRM >Et_1A_009427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5191443:5192457:1 gene:Et_1A_009427 transcript:Et_1A_009427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYPPLAKFVSKALRKCNGRERWRKERLDYAMAYPPGLPLETHYMRPVARTVTFASNNNVYVIPQQQTPPSPPKEQPPPPPQQEQSTPEPQQQQTTPPQPEPDHHNDTAPEQPQPEQSAPAQEKAEDAPPPAEPKPPKGPKRGKNKKSGRVRFGPDPPPPQEQQQEQQDEHAPDSGDAPPGQHGDGRRGGPMPAYLFRYTPSPLPRWEATPRRHEYFSGEYRSYYPTPVREGIYRIATDANRLTTIFSEENPNACAIV >Et_4B_038692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4013345:4017819:1 gene:Et_4B_038692 transcript:Et_4B_038692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIQSTAACKPNNAVMLLRFSFINVAHKHNALLIMIVLFCSTFTPFGQSSTSPFGQSSFGTQQQGFGQATTTANNPFAAKPFGSPTTTFGAQTGSSLFGNTSTGAFGQQQSTPTFGTPSSSPFGSSTPAFGASPTPAFGATSSTFGSGSLFGQKPSFGGFGSSPSQSNPFGSTIQQTQPTFGSTAFGATSAPAFGATSAPAFGATSAPAFGATSAPAFGATTTPAFGATTNPAFGSTSTSLFGATSTPAFGSTAFGSSTPGFGNSGTTAFGVSSPGFSVSSTPTFGQSTTSNFGFGSSPPVGQSTASFGTSSFGATPSPFGAQTSPFGSQSATTFGQTPFGNQAGGTRIKPYAQTPDADSATSGAPAAKLDSISAMPEYKDRSHEELRWEDYQRGDKVVKFAVFELAGGPNPSGTPAPQPNPFSQVNSNQFTSAPTSNPFATTSSAPGTTSTFSSSFNPSTASPNPFTSSTNTTLFGQTGGSVFPTSSSSLFSNTNPSFASSSLFGPSSNPSPFSTGVLPSTQSVGLFSSSPAFVQQTSSAPAFSSSGSLFSTPSLFGSGSSPFSTPTFQQSAPAQTSNMFSFQPTTQPALGGFTGFSNTTSQAPIGQPTPSQSNMVFQPAPVSHPYGTLPAMPQMSIGNAGSSPSVQYGISSLPVNSGSSEIVVHDRRVVAEKPLPSRTLSMVVPRHLSQRRIKLHPRKYNPISDGKVPFFADEEESPATPKADAFFIPRENPRNLIIRPIDQWPSRSGIDRKPVPKDSADSEKYKGASAESARDKAAMSPSRPSVENGNHREPGTVTRHGNDASVERLMPKLPHADYFTEPSLEELAAKERAEPGYCSRVRDFVVGRHDYGSIKFLGETDVRSLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAEVTLLNIKCVNKKTGEQYREGPRVDKYKEMLVKKAEEQGAEFVSFDPAKGEWKFRVKHFSAYGFW >Et_4B_039407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1426339:1427316:-1 gene:Et_4B_039407 transcript:Et_4B_039407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCLVVDPDEITKEGHTVLVDPKGDCLTCDIEALGSTKSFALTWQGWILASDQDDFRTFICNPQTSGKIELPRLAHRLPRQFKCAMSDQPTSGDGCIVVVLHPDEPTLWYCRVGGDEWVKYEYDVGTRRRDFEGPIMERIVILHLTACSGRFHFDTSTVTHGILEFTPNPIIQLVNVHGIPSIVPPNPWGFMYFFCCFEMDGQPLRFFAFYYEDSSIITSVALYKMDVANKRWCETYTRRRKAHALIVS >Et_7B_054004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14128070:14129692:1 gene:Et_7B_054004 transcript:Et_7B_054004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEVNFMLLKMVIAARKVHGHFDLANKAFCELHQSMPMDDGDVTIESYVYAEAERWDDRPSVNLVSQYELAGELLFLEV >Et_5A_040869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13707875:13712605:1 gene:Et_5A_040869 transcript:Et_5A_040869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRKTVQKWNWVLTYWHILQVQSKNSSISKMSFRSIDRLLRRNSKTKISRNIVDDVHDQKEEQYVQSLRELLLASNQLPEKFDDYHLLLRFLRMRGFNILKAKEMFLSMLKWREDCSVDSIANDFKFEEYDAVKRCYPHGFHGVDRFGRPLYIERIGMVDLSKLMQVTTRDRYVKYHISEQEKTVSVRYPACSLAAKKHIASTTAILDVKGLGMNNFSKSAREMFIEIQKIDSNYYPETLNQLYIINAGSGFRALWKVLKSFMEARTLAKIQVLGTNYLNTVLEAVDPSNLPDFLGGTCTCSASGGCLLQDKGPWTDPDMVRASKDVFGKGQKSFNGLTATIACENFTGCQEPSARQVDSTPRRKRTLGMLLKDKQVGTDTSENIRHNAQISEKVRDLEECYAQTKESLQTLLCKQQELASHIEQLRKIIG >Et_1A_008034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40261859:40264474:1 gene:Et_1A_008034 transcript:Et_1A_008034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLAAAENSPPAATPPARRLSSPLPRRAPPSPSPSISTRAKPRKAAQAPETDEAALDNPDLGPFLLKQARDAMVSGEGGGAARALEFAERAARALERRGEGAELELAMSLHVAAAIHCGLGRHADAIPVLERAVAVVSPPPRPEGEGEAADEQPQADQRGEEWSLAAFSGWMQLGDTHAMLGRMDESIACYGKGLEIQMAALGDRDPRVAETCRYLAEAHVQALQFDEAEKLCRKALEIHREHSAPASLEEASDRRLMALILDAKGDYDGALEHLVLASMTMVANGRDIEVATIDVAIGNTYLALARFDEAVFSYQKALTVLKSARGDDHPSVASVYVRLADLYHRTGRLRESKSYCENALRVYAKPAPGAAPDEVAGGLMEIAAIYEALGDLDEALKLLQRALKLLEDSPGQWSTVAGIEAQMGVLYYMVGRYADSRNSFESAVAKLRASGERKSAFFGVLLNQMGLACVQLFKIDEAAQLFEEARAVLEQECGASHPDTLGVYSNLAAIYDAMGRVEDAIEILEHVLKVREEKLGTANPDVEDEKKRLAELLKEAGRSRNRKQKSLDNLFATNSQRAKKDAGRRWSNFGFRS >Et_3A_024116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17564264:17566569:1 gene:Et_3A_024116 transcript:Et_3A_024116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATRRWWGRRDGGDNDADDLVPMDTQEQEELVRSLEQKQAHQSRRWRRVFAGFLLGYAAFMVYSSFHHAWSPWELRYHACFMEDLPASMVIFADWIAALACVFAVKGLLQNPGSSKKWMWYSCYIGILVAIFWTYYILRLPRIRWDVAWLPFGPLIAGALSLYVDHVLLESMRDISTLRGYMYNFKAL >Et_1B_012062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28573161:28577064:-1 gene:Et_1B_012062 transcript:Et_1B_012062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQESNPQCLKRKLVDDCLSKDCKSRRIKSEDGPSHDSSAKRCNCCCTRPNLANDCVNFLKSGVPARIMYYKQGSWHNFPEQIMKSLIEEFRGNKSSVVAVMDDEPLLVDFLSMTLVNLKTRKQRSVAWFDDTGKCFFPSLFFDEDSEELSKGDSGSVEETAQGIMLDKVANSPPEVVKQVVLESSSPAPQKPCTADILRKKITSVERGSEGFLFVQNLFLSGMGSFAAPSSILHVHRYSPNDITAQCRLKAFERQMKSTKEERGDANVRYGWLGSKKNDIVRILINGLGTTAKPAEKASLSAGVYLSPENRAFTSVGLCDVDEKGVQYMLLCRVILGNMEPVEPGSQESFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHMRLEYLVSFKLLPKVRNYLLGLKGLWFHPSPKDVAVDISTLQPVMCETGEESEGPTSPWISFRVLFGVIQDNISSVARELLFHHYEELKESKITREEMVKKMIIIVGEKILLEALKKLHYCPSLWYKPSVEAVSGDPARATPEQMSVDEADNNCSLTLSVHHGDSHAPNPVAVHSTKGCCSLSTDMVPYLPPAPCGVPETSSSAGAVCRASPSVQSEGRNSPMQTMPPGNSASRCAKNQDSCSPRVAPIVRDGLLRTISGKSSAPSGEVCSSVTPTTGPTVFSSLAPSNASKTHGNSAPGSITKGCESVVPSLALGNSKGTSMKRLPSAPKMTPVGQEFLSLGIASQRPVIHSVKAQDCLTSVARPAVHTPGCGNSRSTSSESRDPLALSITPKGHDGPASSKTLKRQESPRADKLPENGRSQAQDGTAKVYNAPTPIKGEPKEQNGICFSQNKSSGPGLDANSYVTGAASALVALSTPREKGGR >Et_4B_037153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15494208:15515782:-1 gene:Et_4B_037153 transcript:Et_4B_037153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQLLQPLFQALIICIVAFALVDVPKRLLGPKVRHPPGPWKLPVIGSMQHLVNVLPHRALRDLARVHGPLMMLQLGETPLVVVSSREMARQVLKTHDANFATRPKLLSGEIVLYGWADILFSPSGDYWRKLQQLCATEVLSLKRVLTFRPIREQEMESQVEKIRAAGPSTPVDLSAVFYNLAISIVSRASFGDEQWNAEEFLKAIKVGVPLASGFKIPDLFPTYRPLLAAVTGMRRTLEDVHKTVDSTLEGLIEEENFYGAPYYLKLRGVHRKGSLEERKRVRDLKAVSGSADADENLVDVLISFQEKGSSGFHLNRNSIKAIIFDMFTAGTGTLASSLDWGMSELMQNKRVMDKLQQEIWQAFRGKADVTEADIQASRLPYLKLVIKKILRMHPPVPLLVPRESISSCEIDGYTVPAAARVVVNAWAIGRDPKYWDDADEFKPERFENNMVDFTGSNYEYIPFGAGRRMCPGMAYGLPVLEMVLVQLLYHFNWSLPETINEVDMTEAPGLGVRRRSPLLLCATPFVPETGSMDGKHMYQFLLLSVLTIVLIRILRAFLIRPKERFPPGPWKLPIIGSMHHLVNVLPHRALRDLARRHGPLMMLQLGETPLVVVSSKEMARQVLKTHDANFATRPKLLGGDIVLYGFADITFSPSGEYWRKLRQLCASEILSAKRVLTFRHIREQEMTSEVERIRVAGPSTPVDLSVMFHDLANRIVARASFGNVQKNAEDFLSALKAGVILTSGFKIPDLFPTWRPVLAAVTGMRRALEDVHRTVDSTLEEIIEERRKVRDEKTRCGNVVTEENLVDVLIGLQERGGHGFDLNKNSIKAVIFDMFTAGTGTLASALSWGVSELMRNKRVMDKLQGEIREVFRGKVTLTEADLQANNLPYLRLVIREFLRMHPPAPLLVPRESIDACEINGYMIPARSRVIVNAWAIGRDPKCWDDPDEFKPERFENSKIDFNGCSYEYLPFGAGRRMCPGIAYGLPILEIVLVQLLYHFNWSLPEGVTKVDMTEAPGLGVRRKLPLLLCATPFIYSETVESLVFTKNKPWTASMRAFLIRPKDRFPLGPWKLPTIGSMHHLLGETPLVVVSSKEMARKVLKMHDAKLRHPTQASRRRDRAPRLRRHNVFPVRRPANTGASSGSFAPPRSSAPNVCSRFTSSGNKRWVPCMDLEINMESEVERIRVAGPSTPVDLSVMNVLKNAEEFLFALKAGVMLTSGFKIPDLFPTWRPVLAAVTGMRHALEDVHRTVDSTLEEIIEERKKVRDEKARCGNVITKDNLVDVLIGLQERGGHGFDLNKNSIKAVKFDMFSAGTGTLASALSWGVPQLMINKRVMDKLQGEIREVFRGKCGVCSKLIGYLICIYNFICTRLHARAVAQARHFLSFFFGLRATLFIVECLS >Et_5A_040347.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:15880525:15880719:1 gene:Et_5A_040347 transcript:Et_5A_040347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSFILWLFSQKRWTALHITRDLSLPLIEMVQEYRSHFTHERYMELITVAAAWTIWTHMNSIV >Et_9A_063399.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:23038673:23040073:1 gene:Et_9A_063399 transcript:Et_9A_063399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVEMRRLRTLGRGASGAVVSLFAAGEELVAVKSAAAAGAEQLLRREAAVMASLRSPHVLPCLGFRRGGGEQYQLILEFAPGGSLADEAERNGGRLEEAAVRAFAADVARGLAYLHGESTVHGDVKPRNVVIGADGRAKLADFGCARRVGSKGPIGGTPAFMAPEVARGEEQGPAADVWALGCTVIEMATGRAPWSGVVDDVLAAVRLIGYTDAVPEAPEWMSAEAKDFLGKCLRRDAGKRWTAEQLLEHPFLASAVKAEDVVKWVSPKSTLDAALWESDADDEDEDDDDLPESPADRIKSLAGPCAALPEWESDDDGWIEVCSSNSEFSSDAAVAVQEEKFPTHCEIPDAEAASSSEEKRNEAPDLPVVATAVPCGNIWEDESESEAEAEAELFGADLDAGDEPVPNVGAADDYEHQQQQDILENFIVDPVVLHLNVSDEEIIKAPFPGQFASVTLQPLLFAF >Et_6A_047287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3320118:3324003:1 gene:Et_6A_047287 transcript:Et_6A_047287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMSYPCSPLLSFPPHEESAHSLWSPQVALPANATINVDPSPGQQDHEFFETIAIDSTNFQYAFDADIFTTYDERFLGQESGNLADFQEELTKENSVSDLLLSGAEAVEVRDSSLASAVLSKLDQLLPDICENSENSSLDHLAYHFAQGLHYQMSGACSPCYPQEPLQSGIMSAHQMIQELSPFVKFAHFTSNQAILDATMDDMEVHVIDFNVAEGVQWSSLMSDLARHGDKSFHLTAVITDDAHYNDNTCHTTARCLSEFAESLNLPFQFNSVHMHHTGDLDDFSRNRGGSVIVSCDTTNLCFKSLKKLQMLLVECVKKLQPKLVVIVEDELVRIRKRASPSQASFVEFFFESLQHFTMVFESLASCFSGGKNRACLRIVQKDMVGPKIQDFVGQYGAETLDAAAPKVLEGFMPCELSACNIAQARMLVGLFSRSFGVAHEKGRLQLWLSMETLISAIAGDLVSRFISFVAQNCCNHTRGEDDDRRRLERVLLRMHTVVEEAEGLCITNRGMLLQLKMLTEGMYVGDYMLDKLKIQSIEDMRELVMLLGSCPRLSRQPYSTYLFMDKCMFGRHTEKEQVINFLILNDSHDCPDLGILPIIGPHRVGKKTLVQHACKDERVYNCFSHILLLKEGDLRNRELASNLKAASGKCLFIIEFSWGVDEATWADFKSYLQKGADARSKIILIGRTQEVAKFGTVLPIWLKSLSQEEYWYYFKALAFGSMDPDEHPRLASLGMQIATELKGSFLGANILGEMLRANPNTQFWRNILSSIRELVLKHLSSFGVHPEDLLERNSPVDFPKMSFLGDQGQGCMVYDLREAGPGQAELQLPTSQEVLMGGEIPVEEKFDVLLWKSRIPPYCSYIATYEKQKPQRMVGKKNRLTLREISA >Et_4A_034779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6445762:6449672:-1 gene:Et_4A_034779 transcript:Et_4A_034779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGNGDARAPLLAGRRRNSVGSMRGEFVSRLPKKVLDAVDPEHPSHVDFSRSKGLPEGEREYYEKQFATLRSFEEVDSLEESVVINEEEELQEQNQSEFSMKISNYANIILLALKVYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKSINVYKYPIGKLRVQPVGIIIFAAVMATLGFQVFIQAVEKLVVNETPDKLNPVQLLWLYSIMIFATVVKLSLWLYCRTSGNKIVRAYAKDHYFDVVTNVVGLAAAVLGDRFYWWIDPIGAIALAVYTISNWSGTVWENAGESAPPEMLQKLTYLAIRHHPQIKRVDTVRAYTFGVLYFVEVDIELPEDLPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHSILSKLPSSQP >Et_5A_041667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25723316:25725236:1 gene:Et_5A_041667 transcript:Et_5A_041667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAQTVLSNAGQLLAAEYQQLSGVGGEVAELRDDLAAMNALLRMQSEAKDGAVDPFIWEIMKQVRELAYDSEDRIDLYRLRIKCRPGDGVFARLKHLLRTLSSRRRLAGDVRALRARAIAISERHARYGVNREALGWSPAALPMLLTVSASVQLLCSLGTNDAGRRQVVGMEDQINTLASRLKKASAGAERRRKVFSIVGFGGVGKTTLAAEMCRQLEAEFPYQAFVSVSQAFDPSSDLKALLKRVLEQIVKPKDKGIVEEASLDGTDGLDDHQLARKLEEGLKDKRYLIVIDDVWLIQAWEAIQSVLPENNCDSRIIVTTRMDRLAKACSPASVDEDLIHHMRPLKFEDSKRLFLSRVFGSTDATYPAELEEVTGNIIKKCGGLPLAIVSIASVLAGFKSPGHTDKWETICKSIGSQMESNPTP >Et_6A_046852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21433867:21435706:-1 gene:Et_6A_046852 transcript:Et_6A_046852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFPYTEIENGVLWEVEGKWVVQGPLDVDIGANPSAEGGEDESVDDQAVKVVDIVDTFRLQEQPAFDKKQFVTFMKRYIKNLTAKLEPEQAEAFKKGIESATKFLLGKLKDLQFFVGESMHDDGTLVFAYYKEGATNPTFLYFAHGLKEVKC >Et_7A_051535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1995788:1996093:-1 gene:Et_7A_051535 transcript:Et_7A_051535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAQIAVPILGIVAAAAVTFYTVSFMEMRDKSFEELDDKYSELDEDGGRQRRARRRAERERKKRNG >Et_3B_030177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31154752:31155712:1 gene:Et_3B_030177 transcript:Et_3B_030177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPSREERWSLAGKTALVTGGSKGIGRAIVEELAGFGVRVHTCARGDADLQECLRRWRADDRLARVTASVCDVAVRGDRERLVAAARDEFGGRLDILVNNAGQTFFGAATGCTAEDYARLMATNLESTFHLAQLAHPLLREAASGAGAGASSVVNVSSIAGFVAYPVLSVYSATKGAMNQLTRSLAAEWAPDNVRVNCVAPGGVRTDITSSSGIKMDPELAQKFAETVQTEMARIPMHRLGEPEEIASLVAFLCMPAASYITGQVICVDGGRTIAA >Et_6A_048051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4555477:4557456:1 gene:Et_6A_048051 transcript:Et_6A_048051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHTRKQQPPLPPVTASSSPAAAHRRQCTFSSSSSSSSSSSLSTASSAASSPSPSPRSRGGNATRSSTTTATTTSVPFSWEHHPGIPKTRFPADAASSPPAPLPLPPPLRAPTSTAARRGHRRRAIPPGPSTATAAVDPFAAALAECTRERSSSAASDAGLMDSLFPSSPAPSRRWSIAAASGSVGGFLDLRGCKSAMAVAEGAFLVRRPVAVARPGHGRAAGQGWPHGRAARRPSDQTNGQTNDHYFGP >Et_8A_057466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3308254:3311885:1 gene:Et_8A_057466 transcript:Et_8A_057466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRFLVPLLLFTAAATSAASSSSLATNATTSPGRDNFATFSFSRFDSSFRGVNVTVLGDGNINQGALQVTPDSLNNASFFLANKTGRVLYSTPFKLWTHDKNNATGGGKRVASFSTVFTVNVFRPNGTEPAEGVAFVIAPSADEPPPPGSFGGYLGLTNAATDGNASNRIVAVELDTEKQAYDPDDNHVGLDVNSVVSVATAPLAPLGIEISPVEPVKYNVWIDYDGAARRVAVYMAVEGKPKPRRAVLAAPLDLGETVAETSYFGFSAATGRKYQLNCILAWNMTVEKLPCDDDKAKRLTLGLAVGLPVGLVALAAAAVLAYYVCVSRRRKVHGGGGDDGSAITGTMIRSLAGGPREFEYRELRKATNNFDDKMKLGQGGYGVVYRGVVVGDHTCPAGAGSAVEVAVKKFSRASTQGQNDFLAELSIINRLRHKHLVRLVGWSHDNGELLLVYEFMPNGSLDQHLFSSAPGRHLLSWDLRYNIVKGVASALHYLHDEYDQRVVHRDLKASNIMLDAAFSARLGDFGLARAIETDKTSYMEEAGGGVHGTVGYIAPECFHTEKATRESDVYAFGAVVLEVVCGRRPRCDIDGFAFLVDWVWRLHRDGRALEAVDPRLDGAFDGEQAERLLLLGLACSHPTPAERPKTPAIQQILLGSVPPPTVPPFKPSFVWPATDGGLDTMSTTAVTTTSQLSLTSASTWSGNFMRGSLGHAFERDVSDSINP >Et_4B_037125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1551363:1556456:1 gene:Et_4B_037125 transcript:Et_4B_037125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILTSLHSGVIQMWDYRVGTLIDRFDEHEGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHECPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKSVSPADDLLRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMSNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWVLAAHPEMNLLAAGHDSGMIVFKLERERPAFCVSGDTVFYVKDRFLRFFEYSTQKEVQVAPIRRPGSVSLNQSPRTLSYSPTENSVLVCSDVDGGSYELYIVPKDSAGRSDYLQEAKKGAGGSAVFVARNRFAVLEKSSNQVLVRNLKNEIVKKSPLPIAIDAIFYAGTGSLLCKAEDRVSIFDLQQRLVLGELQTPAVKYVVWSSDMESVALLSKHAVVIASKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHMKYCLPNGDSGIIKTLDVPIYLTRVVGNNIFYLDRDGKNKLFTVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLAIESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYLDKVGFMCKIAGQNGNLMGQFHNALYLGDARKRVDILENAGQLPLAYVTAATHGLTEVAERLAAELGENVPSLPEGKVHSLLMPPAPLMACDDWPLLRVMRGIFEGGLDATGRAELEEDDEAADADWGDEDLDMVDASEVVVNGGDGFDIEQGEQNEEDGEDGGWDLEDLELPPETETPKAAANARSAAFVAPTPGMPVSQIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKPLFLDLHMGSHTYLRALAAAPVISIAVEKGWSESSSPNVRGPPALVFSFSQMEDRLKAAYKATTEGKFPEALRQFLNILHTIPVIVVDSRKEVDEMKELIEIVREYVLGLKMELKRKEVRDDVTRQQELAAYFTNCKLQRVHMRLVLSSAMVLCFKQKNYATAAHFARMLLENSPTDGQALKARQVVQACKDKDDTHQLNYDFRNPFIVCGATYVPIYRGQKAVSCPYCGSRFVPSIEGQLCAICELATVGADASGLLCSPTQLR >Et_8A_058234.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:3075483:3076880:-1 gene:Et_8A_058234 transcript:Et_8A_058234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPELEGLRCIAPSRFVSFSFPNPLLRDASNPYGDGDDGGGRGEGEFLRVAVLDSPLPAPPVPRTAAMLVPAGRHRDWIFSTRAGHLHLLLSSQFSRLILVGPELSAPSPRVISCVARPDPDPAHARLLPLLLALCPIAAFRDNVIPDVPLLSFQDDLLRLAPVKVVAGPVVGEMVVEDVAVDCAPRPAELCRRLRFKRMPCLVQTQVRLACQSPAAAAPSLLEALEEGSGGLLQPQAGGSLVQPYLQAMVAGLAVIAPSIDESFQSGVRPMCLCAGVGGGSLPTSIRAGLQLNVLGIEADGAVLDVARNYFGLVEDEFLTVHVGDAIQMIRDLARQGKPDVKFSAVMVDLDSSDSMCGVSAPPLEVTHERILLAARTILHRHGVLILNVIPPPSDGSFYKSLIDVLHQVFSELYEIDVGNGENFVLIAAASPIETILTGNSGSFLTELRKLAGDFLEHIRKI >Et_3B_029489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25453236:25458740:1 gene:Et_3B_029489 transcript:Et_3B_029489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPSTPRLGNAPPPPAAAEQMFAALVGDKAYPISSEFWKQLLELPLTLQWPRDRVLQACHAFAQNNYQTKHLAKILIHLVWCLQECTSATSVSHVVYGKAINAAYISSIFLKFIIENAKTDNWQELCLDIDENEKGMENFPSEHTVEYFLMRGVLNYIGSVDVSPESCYLHHELLNLMLVLMSTQLCSGPSPEPKDVHPFIDAAMLQDSSTVISVVRKLLLNFVTRPKFPLNGQHPVFADDGRPGVLQRVGSAAANIVLLPYYTFNYLVSSTPEGASSQLADNSLLVLLIMIHYRKCISINESITSNDTYTIDSNSKDKDTPAFHENPYCRALNNAKDIQYDRADVEGNAQNGPVVRLSFASLFDALGTCLNDESSVLLLYSLVHGNCDFQEYVLVRTDLDTLLMPILEMLYNASRKTSNQIYMLLIILLILSQDSTFNASVHKLVLPAVPWYSERLMHQTSLGSLMVVILIRTIKYNLSKLRDVYLHTNCLAILANMAPHVHRLSAYASQRLVSLFDMLSRKYAKLAELKNDKAHKVISDQIEAENFADDTSTELHIYTDFLRIVLEVINAILTYALPRNPEVVYAILHRQEVFEPFKNHPRFNELLENIYTVLDFFNSRMDMQQLDGEWSVDKVLEVINKNCRSWRGEGMKMFTQLRFTYEQESHPEEFFIPYAWRLVLSRGFSFNPGAINLFPVEIHLDVSCSIFS >Et_6A_046907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22105945:22108136:1 gene:Et_6A_046907 transcript:Et_6A_046907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKAGAAGARSPLSLVVAMALCCFFYLLGAWQRSGYGKGDAIAAAVTRDTACGDGAVGLSFDTHHNGGGVNATSGEPDDAPPPFFPACAASLADHTPCHDQDRAMTFPRRNMVYRERHCPQTPGERLRCLVPAPAGYVTPFPWPASRDVVPFANAPYRSLTVEKAVQNWVRHEGALLRFPGGGTQFPQGADRYIDQLAAAAGVPLFPSGRVRTVLDTGCGVASLGAYLDARGVLAMSFAPRDSHEAQVQFALERGVPAFVGVLGSVKLPFPRAAFDMAHCSRCLIPWGGGDDGSNGGGVYMAEIDRVLRPGGYWVLSGPPINWKANHKAWERTEDDLAGEQRRIEDRAAALCWEKVAEVNEIAVWRKPANPTCSPAVRTCDAGGANPDDVWYKDMEPCVTPAPPGEVELQPFPARLTAVPPRVSAGGVPGFTTPEAYQEENRRWERHVAAYRKVNRRLGGEGSKPYRNMMDMNAGVGGFAAAVFSPRSWVMNVVPTVAEVATLGVIYERGLIGMYHDWCEAFSTYPRTYDLIHGSGIFTLYKDRCKMEDILLEMDRILRPEGTVILRDDVELLLKVQRMAKGMRWKTMMADHEDSPHIPEKVLYAVKQYWTVGDQGSAEEKNGGSSEGKGSEE >Et_2A_017118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30988712:30991713:-1 gene:Et_2A_017118 transcript:Et_2A_017118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGSGSGGAEAAEEEQVMSEVHLGCPPGFSGPHISRFTFSSRPLGSDPCGVGDGDGSGEKVTATSSSSGLPYAVAVDEDGDLVLDRRKRKKNVTSDDHVLTIRHGITSSLMNVGLQVWKAALLLSDFVLHKSFTSSDFDGITAIEIGAGTGLVGLVQARVARKVFITDRGTDILDNCLANVHLNASMLKFDEAKVCVRELDWKKAWPPPVGACDPSDLSSGCLWSTSEIEEAEEATILFAADVIYSDDLTDLFFDTVKRLMSSGAKKVLYLALEKRYNFSLDDLDVVANGYAHFRSFFTVQDEHGNAEDTFQPGFVGKQIDLSQVPQYIREYDRGKDLEMWEIMYVPDQK >Et_4B_038528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29626407:29629601:-1 gene:Et_4B_038528 transcript:Et_4B_038528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYRQMPVITRSYLTAAVVTTVGCSLEIISPYHLYLNPKLVVQQYEIWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATTLTGIVLVGGMIPYVSETFARILFLSNSLTFMMVYVWSKHNPFIHMSFLGLFTFTAAYLPWVLLGFSILVGSSTWVDLLGMIAGHVYYFLEDVYPRMTGRRPLKTPSFIKALFADDNVVVAPPPNAGIAARLGAVAPHLHEQ >Et_1A_007128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31068066:31071284:-1 gene:Et_1A_007128 transcript:Et_1A_007128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVQALVVVGDAFVIEGTTNVKFPRELRIPGHTDPLVILGTGYRDKFFVKVYAAAFYVDCSLGIDTEQWKQKAGIEIYDSSSVFASIFKAPVVKSLRIILVRDVDGKTFVNALNDVIARQIKKPTAEEESALSTFQSTFLGRNLKQCTSINLTWLEPSRMLISISENQDPVQVDAEIKSATVNYALYDGFFGDSPVSPSLRSSTAQLLEALLTK >Et_1A_006435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22111614:22112243:-1 gene:Et_1A_006435 transcript:Et_1A_006435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTQDENGSNMFPMVTGFMSYGQQTIRAAMYIGQSFIITLSHTNRLPKTIHYPYEKSITSERFRGRIHFEFDKCIACEVCVRVCPIDLPLVDWRFEKDVKRKQLLNSNYSMDFGVCIFCGNCVEYCPKNFLSMTEEYELSTYDRHKFN >Et_2B_020706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22943430:22949751:1 gene:Et_2B_020706 transcript:Et_2B_020706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMEGKGDASVTPVRTSDRLRQRPKYYARGYMYYNPAMRKKVKSKKRTAASHIAKKLLRKPTARPPPSDSIAANLRRSTRKRRISVNLEGYDTDSSSMEDDDLMRPRYRTSKSKGENNEVSGRPKRQKLSNSIPRREGLRPRRSLRGQRLHPYQESEDEQEQESSEEQGEEDQIENGNDMEEDVGDGEEVDGGDEAEADGDDEDGEEEQEGRRRYDLRDRSEVRRASPRKEGKHRTQSPRRVLVHGIGPKNSKYLKKGGSRMHKRSRFSLPDDSDDSLLVDEPDEGPSMPWMRSGRGGMPWMLGGLDMHSPAAWGLNVGASGWGHQGDSSSLVPGVQTAGPSSKGGADIQPLQVDESVSFNDIGGLSEYIDSLKEMVFFPLLYPDFFANYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQKNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFYFPLPGYEARAEILDIHTRKWRDPPPKELKMELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVRVEKYHFLEAMSTITPAAHRGSIVHSRPLSSVIAPCLKRHLEKIMERISDIFPFLSSIDVSKFSALSYGTSIPLVYRPRLLICGGESVGLDHVGPAVLHELEKFSVHSLGLPSLLSDPSAKTPEEALVHIFGEAKRTTPSILYLPQFHLWWDTAHEQLRAVLLTLLNELPSNLPVLLLGTSSVAFTDLEEECASIFSSRNVYQVDQPSYDDRLRYFNLLFESLVSLQTEESRSKSKKQKSAIDLPRAPKEVEGPKISELKAKAEAEQHAVRRMRMCLRDICNRILYNKRFSVFHFPVSEEEVPDYRSVVQSPMDMATVLQKVDSGQYLTRAAFMKDIDLIVSNAKTYNGDDYNGSRIVSRACELRDVVHGMLSQMDPSLVSFCDKIAEQGGPLQVMGDEGSSILQAAPVAQLVSGTRMSARLRNVQPDVNLSSMDKDEVGRDEKSPEDVDLSKPTSPEEAPKEPDSNGTLKENDNSSSEAPEIPVPPEPMETDNDVVAAATTGDDFLGKLDTIKLRFMELTAGYGVPQLERLYSRIMKGALELTSKENNDDHRQLVVRYLLTFVENKDNF >Et_5B_044212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21579857:21582625:-1 gene:Et_5B_044212 transcript:Et_5B_044212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAVAATFRSLLQKAANSVSVPLPGVRFQSLQRQRVGLHLFASLPGRPILLPPSAAAGEAFSSDGEDYAEEDEEYFDEEEDEEDEPEEEVEAPRAYSSPQSRPPRGQDPGRLFVGNLPYTMTSAELTEAFSEAGRVDDVQIIYDKVTNRSRGFAFVTMATAEEAAKAVQMFDGALLGGRTARVNYPEVPRGGERRTVTMSGRRRDDGTYKIYAGNLGWGVRADALRAVFEGQAGLLDARVIFERETGRSRGFGFVSFQTAEYAQAALEALDGVELEGRPLRLSLAEQNPPAGSPPSAVQGQQEETDSDISDAETEETSEASEADLEDRQHTKNISVMLESGHVAKQKTNGDVSETMHPPDQ >Et_7B_053856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12880724:12882711:1 gene:Et_7B_053856 transcript:Et_7B_053856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTIPVVSKFFCSGAPTMLMIRRRPNVVNGGGFVVTDLSHNVVFVVDGCGILGSKSEIMVKDGEREPILFISRKMGRKDLTGGKDFYHVEVQAGYDQAFIIGVMAILDNINGESTRC >Et_2B_021465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29957223:29958595:-1 gene:Et_2B_021465 transcript:Et_2B_021465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRILLVRVVVSVLLLVAYGTNASRSYLTKRQELHQKFHINGTNLIQGSIVSHGVSSNDPPYFAIHRGEMTPDGSYGCIGTLDVYGFSLKHGQGSAGGIWMVDSGDGYRSSAKEIGVGWNVVPSVYGDSRTHLFTMWTDDGYLQTGCMNTKCPGFQPEKGATIAPGDVIEHVSFPKQLRKQNINIKIVKDGASGDWLVHCGINREAELIGRFPRSLFTGGFAEKADAVTFGGMVTAPVSDPAPMGSGYLPKDPISSATSVSDIQLVDQNGHASPLTQDLPFILSKPNTYTVGPIVNGKFYYGGPQQPIA >Et_8B_058887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10387021:10388441:-1 gene:Et_8B_058887 transcript:Et_8B_058887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPILSEVILSGFMINSTLRRGSHLVLSFSVVFLYWFYVFSCQGFKEFVSMSLSGGTISSGTSSGSSRGTQSYGSEGDMELQARMELKRKRRMESNRESAKRSRQRKQQHLDDLTSQVDQLRMKKQQLITALNVTAQNYTATEAQNSVLRTQMMELESRLCALREIIYHMNGNQFANAATITANPSTIIGAIANYDAFGANAWNSGMQMVQQPIDQLLYQCF >Et_7A_052062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4192488:4195261:-1 gene:Et_7A_052062 transcript:Et_7A_052062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETRSGLKTKKSSARATSSGVTAASSGERSVVYRQDDSCSRLFRRRRLLAFLRRDNLPATVDALTTEAFFDVRSLQRLVEDGRWKQAREYIYRFVPLDAKMGVEARAVLRFLYLLNILDDLARGNPAGARIVDHLDRRVDADPSIMDADPHYGELLRTIFHMHSHPQDRDSLDWRLVRHKAGEHVNYLIRQVPELTHLLQLPRCPINQHCTIPFGSGFGSRRRFQRKNISREGKEMPAVSEEEQKQVKRNRASLLARRFLPQKRCVPYANEEYANGPDDALEAGEKNSFDYSSGEGYLTQNVGVVKGMEWVSSGAVKHFGMLAASVHNMLPAM >Et_7A_052365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7238063:7239910:1 gene:Et_7A_052365 transcript:Et_7A_052365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVARNLLLVLAALASLLPLFACQQQAGTLCASEKRGGHGRYRHPVGVRKIVVDAGGAGDFLTIQQAVDSVPVNNTVRVIMQINAGTYIEKVLVPASKPYITFQGAGRDVTVVEWHDRASDRGPDGQPLRTYNTASVTVLSNYFTAKNISFKNTAPAPLPGTQGGQAVAFRISGDKAFFFGCGFYGAQDTLCDDAGRHYFRDCYIQGSIDFVFGNARSLYKDCELHSTAERFGSIAAHGRHDPCERTGFAFVNCRVTGTGRLYVGRAMGQYSRIVYAYTYFDSVIAPGGWDDWDHTSNKSMTAFFGMYRNWGPGVDAIHGVTWARELDYFAARPFLGKSFVNGYHWLTPDV >Et_1B_010579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11830406:11835885:-1 gene:Et_1B_010579 transcript:Et_1B_010579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPGGAGIVWQTPANPPEANDYIFRNGRRYVRPYYFEFISHVKNRWSGKTIVDLFTDEFKGRSRDYYVRAVKCGRLQVDEQMVDADYILKSSQKISHFVHRHEPPVLAGDITILQNEVDVVTICKPASVPVHPCGQYRKNTVVGILQAEQGLTPLFPVHRLDRLVSGLLIFAKNAERAECFRQQIEAGLLQKEYVAKVIGVFPDGEQTVDANVNFNAREGRSTVEVSDDSGKVPTGKQALTRFQRICTDGTHSIVLCKPVTGRTHQIRVHLKHTGYPIANDELYLSGNFCPRSTKGTIARKATERSDTDTSVVDHCSKDAEANKEFDIDQMCTNCPDLAPVGYDGDEEGLWLHCVRYTGPDWSYECPYPDWALLDSVSTKKMKS >Et_9B_063699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2088497:2088830:-1 gene:Et_9B_063699 transcript:Et_9B_063699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHMTCTSSYVHAITSPYSCDDVVLSRSPPGMPDSLEELAED >Et_8B_060809.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:849828:850010:1 gene:Et_8B_060809 transcript:Et_8B_060809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHFSTGVSAIAPVANESTMTADSGEGFEFDGMVFAVTELGQRGPRRRRGARSGQRELL >Et_10B_004426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6916630:6922556:1 gene:Et_10B_004426 transcript:Et_10B_004426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQLAVKGSRSNGRNTPPNHSGDAKAIPNYLKPSTGSCHHACKYGGTHAFEEREAHKAQPKPRKQPPVSEHQKFQKRTLIKVRLVPRNLFGDFGRGAQVEKAAADEEKKAENVEWKDIVVYDTMPAHGSLPDVDKLSAQVTGSGNVKKKDAMRGKKSYGQAKITGQVDDVKSQNESLDNNMVKYARSKMTSKASTEPTHRNMKTDTKSVKPPKGKKPTALVVKKKVVDQELVDGYQPLSPSLLQKRASLLHDLEEEMVREATNVNEVKATYSLDQEEYAAAEESRPIPAHRRVKSMSLSSRSVRFPFARQASKNSATFKLRSKSSKGPILPSEEEKPARVRSRRGSVGGDIGSTGRGIQLRIRSLRRRGVGGYSGASTGFVVPEVSLRHQKTLEKKKSQRLYNNMIEETASKLVKTRKSRVKALVGAFESVISKIAKISAIDNSKNDFFKRIKSSSQFTKSQSSKQQRQERHR >Et_6A_047729.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:1225069:1225353:1 gene:Et_6A_047729 transcript:Et_6A_047729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTWRHHTLLQALLTRGPLPEPDFHAVFTGISGKNPGTVHPARFLLFSRPTPGPLSILPAFSRRIFVAHQVFDQMLTWRLMVLVVCLFAMPA >Et_1B_012214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:377246:381523:1 gene:Et_1B_012214 transcript:Et_1B_012214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FYSGYTFVISVAPVALPNELDGISHSSRVQLSRDISCNIEKEVLASLANGQDSRASGTNPGFRVGEIRLPNGDVYSGTLLGNTPEGSGRYIWSDGCIYEGEWRRGMRHGQGKTVWLSGATYEGEYSGGYIYGEGTYTGTDNIIYKGRWKLNRKHGLGCQTYPNGDIFEGSWIQGEIEGHGKYTWANGNTYVGNMKNGKMSGKGTLTWKNGDSYEGNWLDGMMHGYGIYTWNECGYYIGTWTRGLKDGKGTFYPKGCRIPVNDELYINSLRSRGVLPDIRRQNHGSRILHSSSVDMGNMKVGMTRQSSDASSKRNSSDQPPSKNVSLERRWSLEVAIEKFIGHESSESSGLESCENLDDSRLPILEREYMQGILISEVVLDRSFSDSSKKSKRRQKKIVRDTKKPGETIIKGHRSYDLMLSLQLGIRYTVGKITPIQKREVRASDFGPRASFWMNFPKEGSRLTPSHPAEDFKWKDYCPMVFRNLREMFKIDAADYMISICGNSALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPNYYHHVRTYENTLITKFFGLHRVKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIEIDENTTLKDLDLNYSFYLEPSWREALLKQIETDSEFLRTQRIMDYSLLLGVHYRAPQHLRTRASYRRSMAADRLTVLSEEDAQEDDAFNYPEGLVLVESSGENSVVVGPHIRGSRLRSSAAGFGEVDLLLPGTARLQIQLGVNMPARAEQIPKEDQSKPFREVYDVVLYLGIIDILQEYNMTKKIEHAVKSMQYDSVSISAVDPQFYSERFLKFIQTVFPENS >Et_2B_021448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29803458:29806023:1 gene:Et_2B_021448 transcript:Et_2B_021448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGGGGQLVIYSRGAYFSALLFVEERTMQGPSQLNPDASPFVPFSMSSFADKAPEKQAESSSKGDLSGNILDPSQYEEIDMDSAALVKSVFSMFPNVSPDFIDELLKANEFDIHVTIDMLHDLNSQDMLHDDAELGFPTFADTKSAVCQSASLPGGDKHGAEVSESSSNPNQVLQNEKPATTSDVKSALPASPKSNPLHNDLVLADDTKPEGTSVAN >Et_2B_019997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1671904:1676374:-1 gene:Et_2B_019997 transcript:Et_2B_019997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTYAARFLAAASSARFAQYSSSEVTFDVCAAATARTVASFGWDAGRPAVSGAYWLTPSLQLYTTMIHLLQNPNQIYKTTSLLADAAVERARELRELTDVLAAFGGDKVELVEDALVLAAGLVEEGAVEEDVVLRLPHPVLVLAVWRVLPLGDLLVVDVVDGPEPVALADDGGVHVAADGVHEPSLLTTWKNPYRHLGILCTSPFPKWLNATVICMILSLESPLLPPKSMTWSCLVKWPFEMVMAVDPSVTSMSPSAQLNMDTWSIHTLLDPMTEMPSPSLAARSPKWCSESRMSPPKCGTAWWMWRLWMITFRTFCTVIWPPVTCTCAPRPSIVLSLLTMSSLARLMIMSCAKVIQSGRSWTTP >Et_7A_051144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14949762:14979689:1 gene:Et_7A_051144 transcript:Et_7A_051144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAGRNSVPSSKIPEFPASPGGRPVPAVGVGTMSYPFAPGDIIGAAVLAALQLGYRHLDTAALYGSERAVGEAVAEAARRGIVASRQDVFVTTKVWCTQCHPDLALPSLRESLQNLQMDCVDLYLVHWPLATKPGKPHFPIKREDIMPMDLSGVWRAMEECHRLALARMIGVSNFTTRTLQELLAIAKIPPAVNQVELNPTWQQKKLIEFCKDKGIHVTAYSPLGGQSISNINRVLQSEVLEEIAKARGKSVAQISLRWIYEQGASMVVKSLKRERLTENMYIFDWELSDEDRAKISQIPQHKKVTVLGLLSPDGVSSVDISKLEIVEIYIYTPESERHDIFLSSAPSASTLRTRAMASKGTTVPEVVLQSGNARPMPAVGMGTAAFPMVHENTKNAVLAAIEVGYRHFDTASLYRTEKPLGDAVAEALRRGLVQSREEVFVTSKLWCSQCHPDLVLPSLRETLQNLQMEYVDLYLIHWPVCIKPGPPTFPNKKEDALPFNFEGVWRAMEECQRLGLAKAIGVSNFTTKHLEKVLAVATIPPAVNQVELNPVWQQRTLRAYCDAKGIRVAAYSPLGGQNWDGKGNAVLESPVLAEIAKARGKTVAQVSLRWIYEQAEVRTRAMASKGTAVSEVALQSGNARPMPGVGMGTTAFPMVHENTKNAVLAAIEMGYRHFDTASLNFFCDAVAEALRRGLVQSREEVFVTSKLWCSQCHLDLVLPSLRETLQYESRLFTWCPVIIRLNLQMEYVDLYLIHWPVCLNPGPPGLPNKREDALPFDFEGMWRVMEECQRLGLAKAIGVSNFTTKHLEKLLAIATMPPAVNQVELNPVWQQGTLRDYCAAKGIRVAAYSPLGGQNWDGRGNAVMESPVLAEIAKARGNTVAQISLRWIYEQGVTSIVKSYNKERLKQNLDIFNWELTEEDRHKISQIPQKKLIQVEDLFTPEGEFTSPKTMDAKGTEVPEVALQSGNARPMPAVGMGTVAASSVGENAKDAILAAIEVGYRHFDTAAVYGTEKPLGDAVAEAVRRGLVQSREEVFVTSKLWCTQAHPDLVLPSLRETLQNLQMEYLDLYLIHWPVCLKPGPLGFPNKREDALPFDFEGVWRAMEECHRLGLARAIGVSNFTTKHLEKVLAVATIPPAVDQVELNPVWQQRTLRDYCAAKGICVAAYSPLGGQNWDGQGNSVLESPVLVEIDKARGKTVAQGTAVPEVALKNLQMEYVDLYLIHLPVCLKPGPLGFPNKREDALPFDFEGVWRAMEECQRLGLARAIGVSNFTTKHLEKVLAVATIPPAVDQVELNPVWQQRTLRDYCAAKGIRVAAYSPLGGQNWDGQGNSVLESPVLAEIAKARGKTVAQVSLRWIYEQGVTSIVKSHNKERLKQNLEIFDWELTEEDRVKISQITQKKIVQVEIMFSPEGEFTSELDDAAAASAVVFASRYVQEPLPRYELGRKSISKDAAYQIIHDELLLDSSPRLNLASFVTTWMEPECDRLILEGINKNYADMDEYPNRCVNIIARLFNAPLSAGETAVGVGTVGSSEAIMLAGLAFKRRWQNRRKAAGKPHDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGCYVMDPDEAVQMVDENTICVAAILGSTLTGEFEDVKRLNNLLAIKNMRTGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVVWRSKEDLPEELIFHINYLGADQPTFTLNFSKGSSQIIAQYYQFLRLGFEGYRNVMENCMESARMLREGLERTGRFTVISKEQGVPLVAFTFKGRDTALAFRLSAALRRFGWIVPAYTMPANLEHMAVLRVVVREDFGRTMAERFLSNVQMALDELDDEAKGGPVPRMRFTIELGPPARGAGEEASVKVVKRESVVAVHRSVSLAGGKTKGHLPFFRTLVFALHPSVSLHPAQPKIMAAKGTIAAAVPEATLRSGNARPMPAVGMGTVASPEVLENTKNAVLAAIEVGYRHFDTASMYGTEKPLGDAVAEAVRRGLVSSREELFVTSKLWCSQAHPDLVLPSLRETLQNLQMEYVDLYLIHWPVCIKPGPPVFPNKREDALPFDFEGVWRAMEECQRLGLARAIGVSNFATRHLEKLMAVATIPPAVNQVELNAAWQQRTLRAYCAAKGIRVVAYSPLGGQNFDGRGNTVMESPVLAEIAKARGKTVAQVSLRWIYEQGVTPIVKSHNKDRLKQNLEIFDWELTEEDRLKISQMPQKKNIQGDILFVTGGEFTSVDPVDMDITEE >Et_2B_021140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26884497:26888012:-1 gene:Et_2B_021140 transcript:Et_2B_021140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPGRKMFFLWLSLVLLRQPCLCSCSQVYVVYMGKGLQTISGRQHDILRLHYQMLASVHDGSLEKAQASHVYTYRNGFQGFAAKLNKDQAMSLAEMPGVVSVFPNTKRNLHTTHSWDFMGLSTNAEAEIPGLSTKNQENVIVGFIDTGIWPESPSFSDHGMPLVPKRWRGQCQTGEANSPSNFTCNRKIIGGRYYLNGFQTEEGGSGKSAVKFISPRDSSGHGSHTASIAAGRFVRNMNFGGLGTGGGRGGAPMARIAVYKACWDSGCYDADILAAFDDAISDGVDIISVSLGPDYPQGGYFSDAISVGSFHATRNGILVVSSAGNAGRQGSATNLAPWMLTVAAGTTDRSFASYIRLANGTFIMGESLNTFHMKTSIRTIAASDANAGYFTPYQSSFCLDSSLNRTKVRGKILVCRHAEDSSESRVSQSIVVKKAGAAGMILIDEMGDDVANHFVLPGTSVGKAMGNRILSYIKSTRHASTMILPAKTILGFRNAPQVAAFSSRGPNSLTPEILKPDVAAPGLNILAAWSPAKNNIHFNILSGTSMACPHVTGIAALVKSVYPSWSPSAIKSAIVTTATVMDKKRKTITTDPDGKTATPFDLGSGFMNPIKALNPGIIFDAQPEDYKSFLCAIGYDDHSLHLMTGDNSTCTHRASSTATALNYPSITIPYLKKSYLVSRTVTNVGNPRSAYRAVVSAPRGINVTVNPEVIVFEKYGEKKTFTVSFHVDVPPQGYAFGSLSWHGREARLTVPLVVKVQTGNS >Et_10B_003067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16164965:16172100:-1 gene:Et_10B_003067 transcript:Et_10B_003067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASSDSSKRPLDSSLADPSPPVKLQRSSEPDPALAENTDGAAGGGEAAGEGSVAMAGVRNPRAQRYLVAVEYIGTRFSGSQQQPNQRTVVGVLEEAFRRFIGQPVSIFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHEPGVVKCAVNHFLQKNEGDIMVTDVRCVAPDFHARYKALERTYHYRLLSGPEPPSVFEKNSAWHIPEDLDIEAMKKACSTLVGRHDFSSFRAAGCQANSPMRTLDELTVTEVFPFMFFPSSLERSEMESSDGSLVRLMVGLLKSVGTGNLTTTDVERILNAKAVTAAPPMAPACGLYLANVKYDLSGQVDESSDANRKL >Et_3A_026468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8482793:8485859:1 gene:Et_3A_026468 transcript:Et_3A_026468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHAAKKLRPEVEVEEEMHLAFRGAANALSQVYTQAVAHQKASFLAGERRSMETVYRWLSSQQEQASEVPVSDVLAYLQNEIAHRTDELPAPLQYPSPQPPHNSPSAIVRGNPFSFGNVAAALNVRVGETGQTRNVGISNSLPNPLQTNFQLNHLVQSSGYSPINSLPNGNGARNNNSPQDQDFIHYSSYEPSVDMRHDDLLIISNAFPYHVS >Et_5B_043964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18363841:18368304:-1 gene:Et_5B_043964 transcript:Et_5B_043964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGARSGMLHHKENTPAEPAGKRLRTGAAGRQPLSAASTAPPPPADEPMVFAGREDVETLLNEKMKGKNKMDYKGKSEQMMEYIKKLRACIKWLLEREDANLAQIAKLSNDLEAAETQHSEKVTQLQNALQESKDIYEELQKQYASLQEALKKVEAEKTDALTSLGNEKEAKLAVESSRNEILEDLKKAQLEEKRLHEQIEMLQGTNKRLQEYNTSLQTYNSQLQADAKTHGETINRLQREKNTMVETMNGLKDHANSVKNQLDLTKTLQSEAAKQKNDLLKEVDSLRSELQHAREDRDKKSAQVDSLFDELGTYKELTGKSAEELDNAVTRSTALQETCSSQRETIKTLEIKLAAATEKLKMSDLTAMEAMTEYENQKKMLADLQSRLQEAEQQILDGEKLRKKLHNTILELKGNIRVFCRVRPVLSNESGAVTYPKSGENIGRGIELIHNAQEYSFTFDKVFDHSASQEDVFIEISQLVQSALDGYKVCIFAYGQTGSGKTHTMMGNPEVEEQKGMIPRSLEQIFQASQVLNSQGWKYKMQASMLEIYNETIRDLLSTNRVATQDGGSSKYNIKHDANGNTHVSDLTVVNVTSISEVSSLLRRAAQSRSVGRTQMNEESSRSHCVFTLRIFGVNEGTDQQVQGVLNLIDLAGSERLNKSGATGDRLKETQAINKSLSSLSDVIFSIAKKEEHVPFRNSKLTYLLQPCLGGDSKTLMFVNLSPETSSTAESLCSLRFAARVNSCEIGIPRRQTQMRNSQ >Et_2B_020875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24534672:24538907:1 gene:Et_2B_020875 transcript:Et_2B_020875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSPSSVLMLDPAKCRLLSVNEKREFVRELSKSPDSALERMEKWTRREIVEVLCIDLGRGIKYSALTKHRLLDHLFKVVNGKTRRQKKPVEKKSSPELDANNLHSPCKRQRLNDSPLALPDLRALTNNDYLCQNSACRATLNLEDKFCRRCSCCICFKYDDNKDPSLWLVCTSDESAQEDLCGLSCHLECAFKDERSGILQSGRSKKLDGSCYCTHCGKQNDLLGYFSGTLFSFTCILFSNVNLGVTSVLALLCHCHILICRCHVTLILLWHVITTLQGPYPYISGACILLASMTLQFPLLRCWKKQLLIAKNARRLDVLCYRIFLSHKILVSTEKYLILHTIVDAAMKKLEAEVGPITDTREVGHGIVGRLAVCAEVQKLCACALETLHSMFSSSLTADSKIQRSCMAHCDEPTCKSQNAKLGDLGESEVAELKKSPRVSIPALHEEQERPTSGSQSALVSNPLKLMAQKQVILKQSMALLVADPETVPLEHSGNKLVSAPENSVSLLGAIPRGTENCKGVSGKSFEEANPGNHVPQNGFLEDEIDPENLSCKATLGRSEDSGDKDGPSEPSSTIKISLHMDSTNLILNSRGNLQNLSADSVQMENESGAQTEIVFTCPQQKHGSLVPITKINGASAPSLKSKSDHHTLQTEPSKPETEPGNSSNKSPCGKLLDIGNKDESSKTSYETVSRWLECEGHIETSFRVKFLTWFCLRATPHERRTVNVFVDNLIDDPASLAGQLADTFSDAIYSRRLHPAPSRFCMRLWH >Et_4A_035845.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7162226:7162978:-1 gene:Et_4A_035845 transcript:Et_4A_035845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQQLPYSTGGQPQATGGPPVPGVPGATGPPPVPHHHLLQQQQAQLQAFWAYQRQEAERASASDFKNHQLPLARIKKIMKADEDVRMISAEAPVLFAKACELFILELTIRSWLHAEENKRRTLQRNDVAAAIARTDVFDFLVDIVPREEAKEEPGSAALGFAAAASGGGGAGGAAGAPAAGMPYYYPPMGQPAPMMPAWHVPAAWEPAWQQGAAADVDQSGSFGEDGQGFAAGHGGAASSFPPAPPSSE >Et_1B_013902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22993899:23006796:-1 gene:Et_1B_013902 transcript:Et_1B_013902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPAFYVDEEELDSLRSLVEENNADIDRDLEEDFRAFLSLAARLQDVEVLSPKAVAWAAGLEGPAARAARTMGTLAEDIRRGVAVLALRPGEGAVVGALREQAAMADARRADAEALLAATRRLQEKDLRRLAAQEHVVDPRVAVILKYMPENLDASLEDGQVPTPEDLAVADDMGSEVAWIDEAMDALAGRLRRGAAAFAARPGEEALVCALQRQADKADAVRAMAQAFTACVALNQGMRYCNREGTLEADQKSNGELVEPEHLKRRYMDDQRMQEEDIDTTTRPHLGCVVLCAEVIEQCRIPGLASHTPSGLRFTSMPLDRQA >Et_2B_019144.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:13828499:13828678:-1 gene:Et_2B_019144 transcript:Et_2B_019144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLLRGSSYLYLPSVAWSEAVCNLLWSCWVWSRFLSDSIRQGGSNSEESDCHGSCHNC >Et_9A_062050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19258937:19261508:1 gene:Et_9A_062050 transcript:Et_9A_062050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKAMGQVEQDHFVLKSGHTMPAVGLGTWRAGSDTAHSVQTAITEAGYRHVDTAAQYGIEKDVGQGLKAAMEAGINRKDLFVTSKLWCTNLVPERVRPTLKNTLKDLQLDYIDLYLIHWPFRLKDGAHMPPEAGEVLEFDMEGVWREMENLVKDGLVKDIGVCNYTVTKLNRLMQSANIPPAVCQVMEMHPGWKNDKIFEACKKLGIHVTAYSPLGSSEKNLAHDPVVEKIANKLNKSPGQVLVKWAIQRGTSVIPKSSKDERIKENIQVFGWEIPEEDFKALCSIKDDKRVLTGEELFVNKTHGPYKSASELWDHED >Et_7A_053145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8249815:8250604:1 gene:Et_7A_053145 transcript:Et_7A_053145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLSRIRPDPAAGRTDPAVTKLSRTTVPHLPVVIPTSTSSSPLPTPPGCAATPRCVVVAARRRSSTTSTDVALFILLALLSLVLPAANAGTRKVGTSAGAVGDRKYAVIFDAGSSGSRVHILCYDSNLDLSAVELT >Et_1B_013365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7826684:7830711:1 gene:Et_1B_013365 transcript:Et_1B_013365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSYEGVLLGMGNPLLDISAVVDEAFLAKYDIKLNNAILAEEKHLPMYDELASKGNVEYIAGGATQNSIRVAQWMLQIPGATSYMGCIGKDKFGEEMKKNAEAAGVTAHYYEDEAAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKRPENWALVEKAKYIYIAGFFLTVSPESIQLVAEHAAANNKVFLMNLSAPFICEFFRDAQEKVLPYADYIFGNETEAKIFAKVRGWETENIEEIALKISQLPLASGKQKRIAVITQGADPVVVAEDGKVTTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQGKSIEDCVKAGCYAANVIIQRSGCTYPEKPDFN >Et_1A_006637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25537994:25538904:1 gene:Et_1A_006637 transcript:Et_1A_006637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPFPPPGWWPGLPPPPMPPGFSGSGASWGAQPQSQGKASEGDVDYSPPGGLLSYLQNSNASLPAPVSDSSSQHAAPAKKTKKGKSRVIIDVADDGRKAKRLPYTLVEDERLVSAWLHNSNDPINGNGKKNESYWADVVADYNTTTPIHQKREVKHLKDRFQKIKRWVSKCSVVLGRKLAPFILVDSQTIC >Et_3A_026707.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:1636989:1637588:1 gene:Et_3A_026707 transcript:Et_3A_026707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAAESSRARQDGEAAARKRPWVVLSPFPRVVGDEDEEPDKFTPGTADFLFELREPPRPSVLLLPERLAGDLELSEAYPSIVATHPSGMILLHASQGGRGVVVKSVYFICDARARTATRIPDCHLPLKPSPCGVIGLAEDARHAGEFMVVQLLRATASSAHPDALVYYSTATGAWGVKAVDSSSLEKKPASARRLRP >Et_2B_021640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3882353:3884068:-1 gene:Et_2B_021640 transcript:Et_2B_021640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSTEEKKTASEIVAALDLQRHPDGGFYLETFRDPSISLPKSALPPRYKVDRAVSSAIYFLLPAGEIARLHRIPCAETWHYYMGEPLTVFEVHDDGQIKMTVVGPDLRDGQRPQYTVPPNVWFGAFLTYDIESFTEDGSVFVKTPGRDPALHYSFVGVTCAPAFQFEDNELATREDMKALAPKAEAFINYLVPP >Et_8A_058233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3053289:3063759:1 gene:Et_8A_058233 transcript:Et_8A_058233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASGRLSVPPLHSPRSSKPPHFTVHRSFLASSPAALVAASVSWTDLSPVPAHAQPPRRRATAAPRLRATAPEAAALMVARAEAGDIAEAQSLWAQLLHSSAAPCLPAAAPRLLPAYARLGRFDEILLAVRELSARDPAAARVLYPLAVSCLGAAGELARMEDAVLEMGRRGLRVDHATGDAFLRAYAAAGTIPQMESAYRRHKRTGLLISPGAIRAVASAYIAGQKYYKLGAFALDAGLPGRRDAGNLLWNLYLLSFAANFKMKSLQRAFLEMVAAGFRPDLTTFNIRAAAFSKMCMFWDLHLTADHMRRDGVAHGCFVDAYLERRLARNLTFAFDRLDGHAEPVVATDGIIFEAFGKGGFHASSEALLEAAGGKRRRTYYKLLGVYLRKQHRRNQRKQSNSQYRIVELDAGEDCARNRRELARWIRQSELSNMMQVVVVGTAQLGTNREGKGQPPWLLDASSVAL >Et_6A_047759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14441640:14444744:-1 gene:Et_6A_047759 transcript:Et_6A_047759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVEKTSSGREYKVKDLSQADFGRLEIELAEVEMPGLMACRAEFGPSQPFAGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTERCLDWGAAGGPDLIVDDGGDATLLIHEGVKAEEEYEKNGTIPDPASTDNPEFKIVLTIIRDGLKADPKKYRKMKERLVGVSEETTTGVKRLYQMQESGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMLGLETYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKSSGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLSKSQADYISVPIEGPYKPAHYRFELVPLLFHNDILNTQSKDHTLFCKQRPLRLAVFRLSALDLYT >Et_4B_037666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22293848:22296583:-1 gene:Et_4B_037666 transcript:Et_4B_037666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRRGGEGPSTAGRAANQAVSLREESSGRTSVDEVSLLRVKHLQRLAAWAGAEGGVGPVGALLGRRLADNAEAAGVPLGAATFLCQSQASTAPSSKRNKTMRRNKSNCCKNSVAYACHFCGDQNRILGSGKGAINNLLSSRKQATIDSTHRSFRGNKSNTRIQKMKEVLEHSQAASFQVDQSSSLIQSTSGRVVETESLKLILSTDCIMEEGAILSSVQPSHSAVSTCEEGSTHKLVLENATDERMHETEPDFSINSPKIEICEASVTPESEFMAGSKFVTPQKDKLMNSAHPFNTRSTGDKKGEASISVPGKSVRSSSKSVPNYSRNNSNSATNNAAQVSSSRKRARKGWTTLKQIAEKDELERKEKMGNFVIPFFMQ >Et_5B_045232.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1312248:1313396:-1 gene:Et_5B_045232 transcript:Et_5B_045232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVLEVTLQSAKDLKRVNLISRMEVYAVVTISGDPLTRQCTQPDPYGGRHPCWNATFRFNVPPTAAAANGWLHVLLRTERALGDRDVGEVILPLADVLAGTTERDPRPRPQQCASYTVRKVHRFEPRGTLNVSYRLGPVVAPQQAPPTPARGDERGGAVVAYPVAPPPYYVPPYAAYLPPTPSQAAGHDHAVRQPAQSAGRTNAYGVPAYPHAAGHGAAVHQPAQSAGQTNTYDGVPAAYSQAAGHGAARQPAPSAGAIDTYDVTGYAQAAGHGAAAHPPAPSSSGPTNNKQNVVPQAAAGGYAAAPSPAKGNRDGELEFGTGLGAGLVTGAISGMLASDAVAYSYGYRAGLADGGAAMYTYKNSHVPTKDKVETNRKMV >Et_1A_004570.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:18527191:18527919:-1 gene:Et_1A_004570 transcript:Et_1A_004570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGRPRRSPPADRFLGLFSSPSPSLSASSSSSGDELLEGDLLFPASASSSDPPPDASKNPGRVPRGHLGLLAALPVRGGAAAVAASSAAAGTAGTLLRRKATIAAAAAAASSATPVRSPPSAARAIPAVPRPRDSELPPAAPFHQSAPVQVPVPPPRSRGRGWDELAGGPCDDDEEEELFRGDAAMLPPHEMVARASAGAGYGVPGKPSSMLEGAGRTLKGRDLRRVRDAVLRQTGFLD >Et_1A_007028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30188470:30189499:1 gene:Et_1A_007028 transcript:Et_1A_007028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPFDVLVELVEQLRVVGEDERAGPAGGDDPLVRRLSAPRPCGDDVVDLLRVEAHHRVVDAVEPMQIVMHDADPGPQLRLHVRLHQLRQVLVVHRLHILLLLLLAGGDVAGAVCSRLIGLILVARRLLRLRPHAGPLHLLLHGDATIPSRPTARSGGDWRSCTLRNTHATASPAPPAAAPWTASTALTSAATAHSAAFVAAAARGGAPRRAAACFLSAWIARSDAGTAAIDGGCACCWSSPAPAPHAAADRRKNRALLFPAAPLAVNADTTAGGGALLGKATMLSGVILPLNSTKRGSNGTNDHATHEKKLSREEWVLVLDPRTHQRRCDFLFEWRS >Et_1B_011969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27595050:27599001:-1 gene:Et_1B_011969 transcript:Et_1B_011969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALSLGLPSGLSFKPNDDELVKLYPLPRARGQAAMISGVIIEDDATSAAVPPALNLHWPTDHGSPLPWDDLDAFCGVPQPEDNNETAAGTPTGDGATSFWDWDGAFEEQGPL >Et_4A_035807.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:6428997:6430028:-1 gene:Et_4A_035807 transcript:Et_4A_035807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSRQIAYGLFRRHLVCNTLVNIHGKPCPEPVSTVAEFIARRPVLARVPSEDIRLVGEKLGRCFTIGTKPGTQLVKSLTTALDEFHESGICLSGFDESNLVVSEESPELLFHPTKDSKTEPPEDLPPGAFAMPRFMVRPDPGKLKFQDVSFHQSTPASIQANYLDAHLFITKSLLPPEFPVPGSIKHLLKLLKSPESANMAPLISSHAATVPFGRRRGLDLDYIPYIRKVLPYEDRAAEATVLAEIPYLDDWIERAKKNKLLELFFNHRRDKYREDGTGLLVFYYDVSINRMEWCWSRWFKPNGGYTSHEVEIVMDVTYPDLMPMIQESLWKTNHLKKAHDD >Et_10B_002533.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:13521915:13522220:1 gene:Et_10B_002533 transcript:Et_10B_002533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLAIALCKRFGGEVINADKIQVYAGLPVITNKVTDAERAGVPHHLLGIVRNPGADYAPADFRRDAIAAVAVRPPRLTRSVLYPAAAPWDHPPPSCSMQG >Et_5A_041070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17705150:17706788:-1 gene:Et_5A_041070 transcript:Et_5A_041070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PIYHKSSDVPNPGALTSTVEVDDTIFEGELLVFLSFISSSISERSPPRNKMVPETTIQLDPKSQLNKYYQKTGSLLQSLWFKSIVTVDEQTFMSPPYYRTLKEAQASAANRYCTRPCYKSELKKKACPCLSTIQVQMSQILVHSHQPWRLTIQYLSENQHAIKIKQR >Et_5B_045388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20751859:20753003:1 gene:Et_5B_045388 transcript:Et_5B_045388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLAEEVSVSTVAAVATATGHHMLKIEGYSRLKNMHGNGSAFVSAEFDAAGHTWAIHCYPNGQQEEYAGFFSVFLRLADDANTKTVVLSAEYEFELVPHHGTSLWPSPPPYALSSAASFRKGNGWGFPKFIRSQDLEGSMFLKDDCVAVRCKITIVEESVVKEEVVQAQDLKRMVLEYKSTL >Et_1A_005770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13857406:13866078:1 gene:Et_1A_005770 transcript:Et_1A_005770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGDTTNPFAVAASPWDDLPDDFFLSASISTPPPPAPVPSTSPSPAAPSPHRSASLPPASTPAPKPSSSGSFSDPRPRAPPASRQQQQQSHLYSSHSLPVFNAAATCADTWPPPAGPHHSGSLPEFAAAPATPAHRPPARAAVRADRPPPLELRPRPPRESQAGAALRALACYAVPGDGSSQQLWAAGESGVRAWDLADAFRPPASRQRWGDEAAAPFRESRRTAPALCLTTDPGRGVVWSGHADGRIMGWDAVPGPEVVDCLAWEAHRGPVFALAVSPYGDLWSGSEGGVIKVWYGEAIDKSLVFQREEKRKTSFLVERSSIDLRAMVSDGGACPLPAVDVKLLLSDNSRSKVWSAGYLSFALWDSCTKDLLKVLNVDGQVDTRFDILSGQDPHGYETKQNQFTAPKKEKTRSPVGFLQRSRNALLGAADAVRRVAVKAGFGDDTQRIEAFTMSTDRMIWTGSANGFLAQWDGSGNRLQEFQHHSSSVQCICNFGTRLWVGYIDGSIHLLDLEGNLLGGWIAHSCPVLSMAVLVGSWNVGQEKASRDSLRAWLKLPTPEVGVVVIGLQEVEMGAGFLAMSAAKETVGLEGSPNGEWWLDAIGQILKSHSFVRVGSRQMAGLLIAVWVRINLKQFIGDIDNAVVACGLGRAIGNKGAVGLRMRIYDRSICFVNCHFAAHMEAVSRRNEDFDHVFSSMTFSAPTTGFLATSVSGSPAQLLRGANGPRLPDLSDTDMVVFLGDFNYRLYGVSYDEAMGLVSRRSFDWLRENDQLRAEMRSGRVFQGLREGEFKFPPTYKFEKYIAGLSGYDCSEKRRIPAWCDRILYRDNRASAETECSLKCPVVCSISQYDSCMEATDSDHKPVKCVFNLDITSIDKQTMRQKFGEIMSSNEKVLYMLQSLEAFPEVNISASEIILQDQIPSIVKLQNRSAKEIACFQIVGQTPNSSGMPFSGYPSWLKVSPSVGIISPRQTVEVMMQHGELRNQDYVTGTSGNNSGADHEKSATLSVIVTGVCSTVGRGNKILVQHRSRRGTFSSRGYNFADRFVG >Et_1A_008028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40236878:40238177:1 gene:Et_1A_008028 transcript:Et_1A_008028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLHMKLLLAFLSCILLMQAALCDGTTEEAETANWTCICAAHPLAELNSNRSMLSNCSSSCHCQQADENGSTGSWNCTCAFDKGLWREEHAVLHDRSCFTSCNCTPGSSEEGKNHVSNKTVIVTLLVCVVLTTTAFLVTTVYYFRRKDALSPRSQLEQQIEPCEPSIISSSPTKTQAEAQYSKRQAYIFSLFGIWMLFLTESPDRQTFLCACPLICSSDNGAFPGVIIRFSYTELEQATGKFSDEHLIGVGGSSKVYRGQLTDGKVVAVKKLRPLGGADEDFEFLSEVHILHSVADSLLQFSCGGVMGNRVKQ >Et_4B_037561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21129472:21132500:1 gene:Et_4B_037561 transcript:Et_4B_037561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVSQLALRRLLSPPSAAAAAQRAAPVAVEAVSGGVVPFLPRGGVSGVAASECIGGGSGLRLARRLCTYDERDDRALEEEAEKKFGWILKFFFLGTAGLVGYQFFPYMGDNLLLQSISLLRVKDPLFKRMGASRLARFAVDDGRRMKVVEMGGAQELLIVLEGAKDDKTRKEALKALIALSKSEEAARFLDKAGAYAIVRSTPEPSSPEFAEIETYKTSLLKAFDQLKS >Et_6A_046237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:10488154:10491986:1 gene:Et_6A_046237 transcript:Et_6A_046237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYTGANAANNSMAQNVAFPKNPADPLLREWVKPDYNPVIPLPADVTGGMFADPSTPWLGRMVENPDMFPVNARGEDDGLDTSANGPGVKHVFKVGVMNTFEDYYMVGEYDEVTDVFRPEEPERGDDVRGWRRVDYGHLYSTRTFYDAPNNQRVLWAWVNESDSQADDFARGWSGVQVFPRKVWLDKENGKQLLQWPIEEIKSLRKTPVCVKKTVLNAGAVKEIVGVGGSQFDVEVSFRIQSLESAEVFKDANELMDTQRLCFEKGATVTGGVGPFGLIVAASADLRDHRSLLQGYNATYKLLMCTDLTKSSTRAGVYKGAYGGFLDIRVEEHKKIELRTLVDHTMLESFGAGGRTVITARVYPENTATNSSHLFVFNNGSDAVRVSKLQAWELAPASVNVVDNGLLRASQASQ >Et_1B_012835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35455952:35459922:-1 gene:Et_1B_012835 transcript:Et_1B_012835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFLSFPKPRPPSLPVSKPPLLFPFFVRAVPASNAASPPPPSPDTSPDGVGPAAPTRGDRFIGRQLANEAAARVLAPEDAERRRRRKEKRQALARKPSGLASCYGCGAPLQTTEDGAPGYVDTATYELKKRHHQLRTVLCGRCKLLSHGHMVTAVGGHGGYPGGKQFVSAEQLREKLSYLRHEKALIIKLVDIVDFNGSFLARVRDFAGANPIILVITKVDLLPRDTDLNCIGDWVVDSVVKKKLNVLSVHLTSSKSLVGITGVISEIQQEKKGRDVYILGSANVGKSAFISALLRTMAYKDPVAAAAQKYKPIQSAVPGTTLGPIQIEAFLGGGKLYDTPGVHLHHRQAAVIHADDLPSLAPQSRLKGRCYPANDTDVALSGNTLFWAGLVRIDVIKALPRTRLTFYGPKKLKINMVPTTEADQFYKSQVGVTLTPPTGTERAEGWAGLQGVRDLKIKYEDSNRPACDIAISGLGWISVEPSGVPSSNPDKNVEGEFDNGELHLIVHVPKPVEIFVRPPLPVGKAASQWYRYQELTEEEEELRPKWHY >Et_10A_001224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22292190:22292748:1 gene:Et_10A_001224 transcript:Et_10A_001224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSPSTKRGQIAEKSNKDETHCHIETGICIRPQPSANMPMQPLCSLQYCGSCNRALGSDEDIYIYKGDSAICSIECREKVMRTDHAWRDN >Et_5A_042426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:138986:142149:-1 gene:Et_5A_042426 transcript:Et_5A_042426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPHIDLNHAMASDPPKRGRGRPRKNPPPPTHPRPPDPDAPRVGGFATGDMVWGKKLNHAAWPGLVYSIGGNDGSAGHDGQLLVSYFGDKAFAWCPAADLKPYEPYFPVAELYDDGGDDFDAALEASLDEFTRRVEDTLARDHSSARRPFVPADFIALLHGLAADRMGFTNRVHAAVAKAHATAFDKFRALPDPPQYTLHIGLPNDAAAAMPPHNYCRSSTPSRSRRGRKRKEEVIKDDDSDEDWDPRKKGTTDEDSDPDILGSRAGSRPRGRPRGRPRKNPDAARVKDDAEIMEYPPAAEMLIRLSSVAADPANAAYDSVPLIVSFFSKYKDSAAPSVYEDKELFEMFGSNKGRKKPTPATRAAEADSDSDQLVTADGQRGRRKSAGSLYSARKAEDSYWCDIIISDFDDGDSDYEGRKKRRPSQNRSAASKKMKQEEEPPQGGAPVDPPSDDKSADGPAALILHFSSAEAIPAVDDINSIFRIHGPIVESETEITMKSRSAKVVFSKRADAERAYSSSGKYNTFGPSLLTYEIKYLPSAPQCIMERLDIESL >Et_5B_045463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2433615:2436625:1 gene:Et_5B_045463 transcript:Et_5B_045463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIFTVGKWIRGTGQAMDRIGSTIQGGLRVEEQRKHQPPLSVSPPLPPTCSSLRLFRPNDGIGHRTIMNIFEKEPRIHRDVFVAPSAAVIGDVEIGHGSSIWYGSVLRGDVNSIHIGSGTNIQDNSLVHVAKANISGKVLPTIIGSNVTVGHSAVLHACTIEDEAFVGMGATLLDGVVVEKHSMVGAGSLVKQNTRIPSGEVWVGNPAKFLRKLTEEEIEFIAQSATNYINLAQVHAAENSKSFDEIELEKMLRKKYAHKDEEYDSMLGVVREIPPQLILPDNILPHNAQKAVAR >Et_9A_061732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15885104:15888981:-1 gene:Et_9A_061732 transcript:Et_9A_061732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLPAPTAVSWRGLPPLCRPPAATSGRGRLAPRAAARSCHYRFRTDDDGVVDVSVARKDGDGGGYAVAVEVPPREGGAGLVLRAVESSSAAGDAVLPLAPAAGGGGARLAAELSFPADAALAPFHVSFLLAGADAGAEIRTHRGSSFRVPVGVGRGRPAPLGLSLADDGAANFAVYSKSAKAVALCLYGTLSGGDEQPALEIELDPYVNRTGDVWHVSLESVDAYASYGFRCGLFGTDRPMLDPYAKVIGEIVPGTSVYDEGVTVPSMTCLASLANTPSYNWGRDKHPRLPLEKLVVYRANVALFTKDKSSGLPDNVAGTFSGLAAKLGHFRRLGVNAVLLEPMFPFHQEKGPYFPYHFFSPMSMCGSECSSVSAIKSMKDMVKRMHRNGIEVLLEVVFTHTAEGGAECQMISIRGIDSSSYYFADGVVGCKASVLNCNHPVTQKLILDSLRHWVLDFHVDGFCFINAPFLVKGSGGEGLSRPPLLEAIAFDPVLANTKIIADPWSPLDISNVQFPFPHWKKWAEMNTRFSNDVRKFLKGEALISDLATRLCGSGDLFFSRGPEFCFNYVSKNSGLTLVDLVSFSSDELASEFSWNCGEEGPSENHAVLQTRLRQIRNFLFILFVSLGIPVLNMGDECGHSAAGSTSYKDRGPLNWKALKTTFVKEVTGFISFLTALRSRRGDIFQRREFLKLENIHWYGSDLSEPLWEDPSSSFLCMHIIAEMDENVPDTIKGDLYVCFNSNKESVSATLPALAEGSMWLRLVDTSLAFPGFFSSESSPKVHQVPGLSPYQVKAHSCVLFESNRTVLSSCIVDQIRMDVNS >Et_3A_023144.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:31704602:31706883:-1 gene:Et_3A_023144 transcript:Et_3A_023144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVTCQANDQALVGFGYTKNNGKYSVTLKGLPISNTYGADSCKVELHAAPGGSECNMPIELNVSGLSIYSKSNDEVVLKANQIMAFASKKTSGCSKPHIIPPMHHYNSPPLPYHYPSPPFSYKSPPLPYQYSPPPSNQFSPPVNQFPSPPQSYYPSPPPYHQSVPPNTYPPPPQGLKSPTPPHKFLPPPYYYNTPPPQHHFSPSPNNYVSPPAAYQYPPPPHKSPLLPSSPATPHHSNSPPPYQYLPPPYYYQSSPPPAQYSPPLPPIVPKHIHPNTPHVKSPPATLASPQPLYQHNSPPANEGMSATAPPLHPYQPTPAPNHLS >Et_2A_018689.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5182816:5183151:1 gene:Et_2A_018689 transcript:Et_2A_018689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVNGGAGRRRPLGLLANAAKRKDGFVQMFLMAGVFMMSLRSLGQKHRLRDLADDAADLRRERDELSHRMVDLQDALRREAEADASGSLASHLRRIFAAHPAPAIAAEDR >Et_8A_057523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:412285:416203:1 gene:Et_8A_057523 transcript:Et_8A_057523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRHGWQLPAHTLQIVAITVFLLLVVAFYAFFAPFLGKKILEHVAIGIYTPVAFAVFILYIRCTTINPADPGIMSKFEGGFIDAPASTLDLEGTNLPAKTDDVAETNSQASSYRSSLNGRSNRDALAARDTDINLSSQPQRSSRSCLFGGIICALFVKEDCRKLDDSENQVDGEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGWKNYFTFIALMITSLLWLAIEVGIGIAVLVICFTNKNSQRIIQDKLGNGLTRPPFATIVVFFTLLSLIACIPLGELFFFHMILIRKGMTTYEYVVAMRAMSEAPQEDEEEEGVNIVYSPTNSATTGFSGGSSLRIHHKGPWCTPPRIFVDQDEAVPHLEPGMLPSSVDPDAAGHAERANKAKKPVKISPWKLAKLDRNEAAKAAADARGQSSVLRPIHARRGPYADLSSSGNGSMRSSMSADYSATKEKGFDKKLSLQTSYPQSLASQDDYETGTQSGSNMSSPVHIHKPAPHTEINIPRRAPPAPPRPAPVVQRPPVPTPQITKPMFHSATSYVRDNRKASVVWDQEAGRYVSVAPAPARPGAGALPSGQPSERLTYTGQSIFFGGPLLAAAADPRRNEGGTRARPEERREFGAHQHDTGGERRRTAESFPVFAPGTIQNNPPFNR >Et_1A_009276.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:36670031:36671575:1 gene:Et_1A_009276 transcript:Et_1A_009276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKDANSGDAGAGSEQQQQKLSPSGLPIREIPGSYGVRFFSPLRDRLDYFYFQGAEEFFRSRIARHGGSTVLRVNMPPGPFISGDPRVVALLDAHSFRVLLDDASVDKTDTLDGTYVPSLGFFGGHRPLAFLDAADPRHAALKRVAIGLAAARMPRHVAPAFGAAFAATFDAVEADLSASGCVEFNKHNERHMLDFTCAALFGGAPPSEAIGGDAAARAFRWVALQLHPLASKVFKPWPLEDLLLHSFPFPPFLVRRDYAKLTAYFAEVAAGVLDDAEKANHGAVISRDELLHNLVFLAIFNAYAGFRVFLPHLVKWLARAGQPLHARLAEEVRAVSPAGEAVTIAAVDKMPLVKSVVWEALRMNPPVEFQYGRAREDMIVESHDAAYEVKKGEMLFGYQPLATRDERVFPRGREFVPDRFVVAAGDDDDKRRRRLLEYVVWSNGPETAAAAEGNKQCPGKDIVVAIGRLMVAELFRRYDTFAADVKEMPVEPVVTFTSLTRADDAGHGSVKP >Et_8A_056758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16372990:16378267:1 gene:Et_8A_056758 transcript:Et_8A_056758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LEDLDFGGDVSVFGLRLVGRCLTQALASQPQASDGHAWLTQIREGACSCSMPSACDRIRMNRKSVYSDLAQPRRLYYIPCSTLMELFVLIVGAGLAGLATAACLSQHSIPYLIVEREDCSASLWRNRTYDRLKLHLAKEFCALPYMSFPADTPTYVPKEDFVKYLDHYIEHFGIQPSKRWAITARDTVAGTKIRYEARYLVVATGENGVGRIPEIPGLESFPGEAIHSSTYKSGSGYAGKRVLVVGSGNSGMEIAYDLASHGVDTSIVIRSPVHIVTKESIRMGMTLVKHLPVTIVDFLIVMINNFIFGDLSRHGIVRPKMGPLLLKSKAGRSSVIDVGTIKLIKKEVIKVFRGISEIVGNEVSFEDGKESSFDAIVFATGYKSTSNNWLKDHKCMLNDDGFPNKGYPNHWKGENGLYCAGLSRRGLAGIAMDAKSIAIDIVSVADHQCCIMGAVWFLPRQRYPAQAGASHRRQRISDAWEGAACEAGKLLCVLLERRKVHWTFYEFGHPEVYVEECQINWDGGNIEEPLIYIWEGWTFVVALTAKGEE >Et_5B_043538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11610857:11614146:1 gene:Et_5B_043538 transcript:Et_5B_043538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRSIDRLLRRNSKTKISRNIVDDVHDQKEEQYVQSLRELLLASNQLPEKFDDYHLLLRFLRMRGFNIIKAKEMFFSMLKWREDCSVDSIANDFKFEEYDAVKRCYPHGFHGVDRFGRPLYIERIGMVDLSKLMQVTTRDRYVKYHISEQEKTVSVRYPACSLAAKKHIASTTAILDVKGLGMNNFSKSAREMFIEIQKIDSNYYPETLNQLYIINAGSGFRALWKVLKSFMEARTLAKIQVLGTNYLNTVLEAIDPSNLPDFLGGTCTCSASGGCLLQDKGHWTDPDMVRASKDAFGKGQKSFNGLTATVACENFTGCQEPSARQVDSIPRRKRTLGMLLKDNQVGTDTSENIQHNAQISEKVRDLEECSAQTKESLQTLICKQQELESHIEQLRKIIGSGCNPPFLFLWFACSLPKLRR >Et_7B_055060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6936553:6943108:-1 gene:Et_7B_055060 transcript:Et_7B_055060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSRRGGAAAARKAPAMRGRLAKAQSSAEEAPATEEVKEALSEEVKVAEEVPKVEEPKRQPSPPPAVEEKDPSDVAANGINHGEEERAVKDTYEEEDKGERLEFEDEPEYEEEAAVDYDEKYSEQYEEQYEDGDEEVEYTEDVVEVDEELDEGGDDGEGEGQGYENADEEHHVDVDDEEHHEMVKEHRKRKEFEVFVGGLDKDATESDLRKVFGEVGEITEVRLMMNPVTKKNKGFAFLRYATVEQARRAVSELKNPSVRGKQCGVAPSHDNDTLFVGNICKTWTKEHLKEKLKTYGVENFDDLLLVEDSNNPGMNRGYALLEFSTRPEAMDAFRRLQKRDVVFGVDRSAKVSFADSYPQVDDEIMAQVRTVFIDGLSPSWDEDRVKKYLKKYGAIEKVELARNMPAAKRKDFGFVTFDTHDNAVACAEGINNSDIGEGDHKAKVRARLSRPMQRPPRPKHGLRGNFRVGHGAPRGGRLPYARPPPPRRLPPRLVRPAVSRLPPVRSHPLKRPVDIRDRRPVMSIPGRARRVPPPDRAYDRRPPAPVYPKRSPRREYVRRDELPPPRSRAAVDYGSRAPVDRRPTFRDDYSPRGSGYSDLGPRSAPRISDRRAYAEDSYGGKFDRPLPAYREGRGRDYDTISGSKRSYADMDDVPRYQDISVRQSKARLDYDVGGSSARYGDGYSERPGRSHAGYSGSRSISGHDSAYGSSRHGMSYGGSSSGGDAGGMYSSNYSGSYLSRGSDVGGSSYSSLYSGRNLGSSSGSYYGGSGSGRGAPVQNGVLRYFRAGRFVKIKIAVLASPGTRSLLPVPAVTASLVQQELASRRLARYFC >Et_7B_055038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6667963:6668362:-1 gene:Et_7B_055038 transcript:Et_7B_055038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQGPDGGEARVWPWWAAASAAQVAVGAAWFRRGRGGAAFAMPFKAFAIASLFVGAGATAVAAGVSAAGIGSVEEMKGVGASIRRWMGAPPRRVGGD >Et_3A_023290.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:18298630:18299013:1 gene:Et_3A_023290 transcript:Et_3A_023290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINLGISHLVLETDALLVKQALTSSAYDMSSSGFLLAELKHLARSNFHSFSCVFKPRECNRVAHALAALGCACNEEDETSVIPLPVGIRDLVPTICRLMSKGFFQFKKRYIYIYILVCILFTEKPT >Et_2A_016992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3048084:3051110:1 gene:Et_2A_016992 transcript:Et_2A_016992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSMRFELGLIEPTGRWMRLNDGKQEEISNKKKRGKGSQPINPLRKHPSAFLETQTTLPAMASATAAETAAAEWEEAAQKVLVARKPCFGLPTACPTCLPVFLYLRMAQVPFDIHVDTSFPDADHIPYVEFGDCVAFNNEKGGVIEYLKEDKILDLNAKHPSASSPDVLSTKAMVLTWLGDALQYELWVVSDGSIAHDIYYSDLSWPIGKILNWKKSREVKQELGITKLNAAEKEEEIYQRASAAYEAPTDVDALFLGHALFVLNALPDTSVLRGTLQKHDNLVNFAEHHKAQLLEASSSGLGSSSNPLSSSTPRKRATGRSYKPKPRAKKERTEEEKTFRRRAKYFLATQLVAVLVFLSLMGGADSSELDDDDYADYDD >Et_10A_002275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6707200:6713708:1 gene:Et_10A_002275 transcript:Et_10A_002275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSATASLHRSLAGFLAHEDPNNIFSLFAAKARQHGGLGSGDLASALRACRGSGKRWPLVPRIHATAIVRGLGGDRIVGNLLIDLYAKKGHVQQARRVFQMLSARDNVSWVAMMSGYAQNGLGEEAVRLYSQMHQSGVVPTPYVLSSVLSACTKAELFKQGGLIHAHLYKQGFCSETVVGNALIAFYIRRSSFWLAEKVFSDMSMCDRVTFNTLISGHAQRGHDERALEIFDEMQLTGLRPDCVTVASLLAACASVGDLQKGKQLHSYLWKAGMSSDYMIEGSLLDLYVKFGDIETALEIFSLSDHTNVVIWNLMLVAFGQAIEHKDEITWNGLVSGFAQSGLYEESLKMGQAGARYNIFTFVSAISASANLADINQGKQIHARVIKSGHTSETAVANALISLYGKCGSIEDAKMEFSEMSMRNEVSWNTIITSFSQHGRGLEALDHFNKMKKEGIKPNDVTFIGVLAACSHVGLVEEGLNYFKAMSNEYGISAKPDHYACVVDILGRAGQLDRARKFVEDMPIVADAMVWRTLLSACKVHKNIEIGELAADHLLELEPHDSASYVLLSNAYAVTGKWSNRDQIPARLSRSTHKPAYHSQRGLDNIPMAET >Et_10B_002922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13932094:13932584:-1 gene:Et_10B_002922 transcript:Et_10B_002922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGYNNDNSLLLDDSDDILNMLGLSDGDELEFPVAAGSYDPFDWTAMANSSDIAAPSSSAPHQNFGASTSSVHQSPLNCTGCQILREIVHSRGPETTKLCIHGAAGLFYHSTLEEYLANPESIVPALTHQSFTE >Et_2A_016284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22966074:22967226:-1 gene:Et_2A_016284 transcript:Et_2A_016284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDSFLLRTIAKHSSKVEAASRSNTHYHTEKLKHCSRTPSLAVEGLLEALQVLWLEREQNGDGVLLVRPLRHVRRAAPEHLRRRDEPGASPPEVGVVDGHPQPADHPQVGLRHELPARRLAALLRFQLQVVDHGPHEGVVDAGHGALDPLRHEAQRLRQHVADLDGDQVADDGVAEVGGGQRRAEALPDVGGHAEPRVAVGGEPPGDAHGAPQVRGDHAVCGRALGAAGLDVGEELGRDGVDARHERAWRAVEVGRGVDRVLEGDVRRGARAEEGAVVERRRVGGLPARQRRPRPDELERAGAVHRHVVHARAHGHAAALEERHLQEKQNACYTFVLLGYSTLHFIQIDSLRSITSSSPEKQQFQLDLEAIL >Et_8B_059671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20495992:20503865:1 gene:Et_8B_059671 transcript:Et_8B_059671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSRTRRSRSEDADDLHRKLPPDVLVSILEKLGLRDVFRTRALSRSWRRLHEQLQLPRIVLDIYDFLPGGESVLNFDDEAPDGDDDPDDGGRRDDCDDDELSEASDKLVNAATAVLKQQRTAPVVCAMRTLLRRDYMSLGRLLDDAVAAGKVSAAELSITARFLDDNPDEPLLAAYEQRFRRLFRGCPAAFRCLTRLTMERVKLDVFDLDSVLAACVRLETLSLSKCGDYHGQTWHARHERLAEITVHYCGFEIVDLAWLPRLHRFTLRCWPSRFSSLKISEWDALRDWVLSTTPQPVSFRHVPSLTTLTLSNDDYRNGGIRRKLSHILANTAVTDLRLNFKGTNIWIIPESQKPFNDVFRNLKFLMVRSVYETCGLDWTMFLLQAAPHLKELYIKVPYIIYSTAGVKHYNLIRFTIRGCYNTEEIIVPYTCRLVEAAVNLKEIYMRESTACVDSGLVDPQVGTGFPRTDKEKESLRKRITNGRCTPLTILRLRDAIRAGALSRRWRRLPGQLPRLDLDLVDFLPGPGEYDDEDDDEELDTPASSSDDALSAAGDRMLEVATAVLASRAADLPVCAMSFFLRHNYMAFGRLLNDAVASRKVRAAELTVSTTCVYEMDESDDQRRGTLRSMLGYGRRFRVLFDGCPAAFGCLTRLTIEQMTLGDSDLDDVLTTCTRLEFLSLETCYTERSGPWRVRHERLADLRITYGAFQAVDLLWLPRLQRFAYRYSGFQIMSFGHVPHLTTLTISNCRVAEEATVKLSQILANTSVKDLRLNLGGKDIWVQPEAPKGLTNVFSNLKYLKLRNVYAECSLSWIMFLLQAAPFLKELYIKEPLISKLPTDVLISILEKVDLRDAVRAGVLSRRWRRLPHQLPRIVLDMDDFWSDEQECYEEDDGVDDPDGGDDDEDQPDEVAPDHGPHVDVLSEAGDKMLHAATALLNCRDKLPVCAVRMYLRHNYMSLGRLLDDAITGGKVSAAELTITTMFEEEVHEDGKSAIRSLVGYGRRFRKLFDGCAAFGGRLTRLTMEKMRLGRPDLRDILTACGGLETLYLYHCDPGPRGIWKVRHSRLEDVTIEDCCFHGVNLAWLPRLKRLTFRTWHSKSHEPLSFGHVPCLTTLTMSNYQSAGQEILKLSHTLANTAIRDLRLNFAAGDIWVEPEAPKPLTNIFRNLKYLKLRNVHEECGLNWTLFLLQAAPLLKDLYIKVCGPIYRQVGSRFPRTDEDRESLVERIIDRRSIMSIKIIIQSKMDVVTM >Et_7A_051533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2020591:2023913:1 gene:Et_7A_051533 transcript:Et_7A_051533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AISRTFLLAPEPPAAAHTSARCVKLLRQLVPERRVHRAVRHLAAAPAELRRRARHAPPLLPRLLRQGVRRFGDADGPERRRREPQRRGRHAVSRRRGRHQQGEGGRGGAPRMRRQGLLRGHPRHGCARRRLTAGRAELRRGAGAAGRQDVQPSHRQARPARPGVQPRPAQLLVRAERAHADRHDRAFRRAHDRRDPLRQVRPPDLHVQAAPAVEPADEPGLPAVAAPRVPHQLQPHGDRDAGRVDAARLRQRLLQQPALQQGPARLRPGALHRPPLTPHRQPLRRQQHSLPGGLRRRHGQARKDRPQDRKRRRGAPHLHSRQ >Et_6B_049892.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:16768618:16769139:1 gene:Et_6B_049892 transcript:Et_6B_049892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGDGGKSVWMAELEEALSEADASLEVSRRHCIYRVPACIKDLNPKAYQPQVVSLGPFHHGDEKLQPMEKHKRRALRHFVRRANKPLQEFAAAVEEVIEELQSAYLDLDAEWRGVDGRGKFLAMMIVDGCFLLEVMRAADAVARAKIVRDYAPTDSIFSRHGELYMMPLHPT >Et_5B_044600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:420220:424986:1 gene:Et_5B_044600 transcript:Et_5B_044600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQRRRFASLFHALVALCALCWALSIRSVEGQTQTGQLSVDASPQNARKIPDKMFGIFFEEINHAGAGGLWAELVSNRGFEAGGPNTPSNIDPWLVIGSESSIIVGTDRNSCFQRNPVALRMEVLCDSKGTNICPSGGVGVYNPGYWGMNIERRKVYKVSLYVQSSDSVSLTVSLTSSDGRQKLAAHTITGSKKAFAKWTKIEFHLKSSQNNTNSRLQLTTNKRGIIWLDQVSVMPLDTYMGHGFRKDLASMLANLKPQFLKFPGGNYAMGNYLQNAFRWSETVGPWEERPGHFNDAWGYWTDDGLGFFEFLQLAEDLGASPVWVVNDGASLNEEVSTATIASLVKDVVDGIEFARGGPTTPWGSVRAAMGHPEPFKLDYVSIGNQECWMLYYRGNYQKFYSAIKAAYPDINIISSCDRSTISSSNPADLYDVHVYTSSSNMFSKTSMFDNTPRGAPKAIVSEYAVTGNDAGKGTLVAALAEAAFLIGLEKNSDVVEMASCAPLFVNDNDRRWNPDAIVFNSWQHYGCPNYWMLHFFKDSSGATLHPTIIQISNYDQLVVNFGNKAVDLNISVAGLANSIKNSGSKKTILTSSGPLDENSFQQPEKVAPVLSPIANAKELMGVSVSPYSLTSFDLLLEPSEHSSM >Et_4B_037800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23519703:23546174:1 gene:Et_4B_037800 transcript:Et_4B_037800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQETAVEEVLRAAAAEVSTSSVKLRLRLFRHTLPHLLAKATESPSDTTLLVDLIFQTLPIYDDRASRKAVDDLVIQALGEPTFMKPFAAALVQSMEKNLKVTAPLSASSFLGGHIIFLNGASLPHFQKVLSLDWPMRKQSYVKSLWMDPFVGAVLANSYSFIFSLRGGQDLRISTRDSPAFVNLIPVFLDLYVKTILSSKDRPPKAATEAFKPLFLDLGHEDLKNTVVPSCIRMLKRNPEIVLESIEYVLKTVRLDLSRYSSEFMPVVLQQARHSDEGRRITALSIIETLSEKSSDPDTLPSMFNAVKAILGGSEGKLSLPYQRIGMINALERLSKAPPKQIGNLAPSVSSFLLKCYKDDGIEEVKLAILSVLGGWASISAEAIQPDVLSFISAGLKEKDTLRKGHLNLIRLICKKSDSLTKVTSLLDQLIQLSKAGFSKATQRLDGIYALLAVSRLAAVDTKADGAILKEKLWALIAQNEPSLISTQLLSKLADDDCLAAVDLLQSLLVDHLSRILEYFSIELLLQLLIYLVCHPSWEVRKAAYDATTKVLSYSGGLAEDLIFLFSNWVSLVGERFDIDSATDSQVPFIPSTEILVKCLFLIAPYAVEHGSKSYSRVTLCSHHPCVSSSTSRAGVWKRLQRRLRKQQIFFVDLISPNISVICKLFIGREERTLHDELSENDIKVFYTPEGQLSTEQGIYVAEAVASKNTKLAKGRFRAYDDQDADTARSGVHAKTERREPSGTGKRETGKSIKKTAPGDKAKTAKEEARELLLKEEASIRAKVGQVQKNLALMLDALGELAIANPIFTHGQLPSLVNYVEPLLGSAIVSDAAFGAMLRLSRCLAPPLCNWAPEIAGAIRVISVDDFEMTLDLMPVTMEDDKKKSSPGLFEQIVNGLTIACKAGPLPADSFTFIFPIMERILLSSKKTRLHDDVLRILSMHMDPVLPLPRPRMLSVLYHVLSTVPAYHPSVGPMLNELCLGLRSNELAQALLGVYAKEVHVRLACLTAIKCVPMHSVQRDLQVSTSLWIAAHDPEKVVAELAEDLWDRFGFDVSADYSGIFDALSHKNFNVRAAAAEALAAALDENPDKMQDTLSTLFSLYIRDLGAGVEFGDTRWLGRQGIALALHSIADVLASKDLPVVMTFLISRALADPNVDVRGRMINVGILIIDKHGKENVPLLFPIFESYLNKKASDEETYDLVREGVVIFTGALAKHLSKDDPKVHSVIEKLLDVLNTPSEAVQRAVSDCLSPLMVSKQEEAQALVSRLLDRMMKCEKYGERRGAAFGLAGVVKGFGISSLKKYGIAATLRQALEDRMSAKSREGALLGFECLCEKLGRLFEPYVIQMLPLLLVSFSDQVLAVREAAECAARAMMSHLTGHGVKLVLPSLLKVLTDTHPKVQVAGQTALQETTFINSIDAPSLALLVPIVHRGLRERGVETKKKAAQIVGNMSSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRAVAARALGSLIRGMGEEIFPDLVPWLLDTLKSDNSNVERSGAAQGLSEVLAALDRDYFDHLLPDIIRNCSHQKASVRDGHLTLFRYLPRSLGGVFQNYLQAVLPAILDGLADENESVRDAALSAGHVFVEHYATTSLPLLLPAIEDGIFSDNWRIRQSSVELLGDLLFKVAGTSGKAILEGGSDDEGASTEAQGRAIIEVLGREKRNEVLAAIYMVRSDVSLTVRQAALHVWKTIVANTPRTLKEIMPVLMDTLISSLASSSSERRQVAGRALGELVRKLGERVLPSIIPILSQGLRDPDAGRRQGVCIGLSEVMGSAGKHQLLSFMDDLIPTIRTALCDSTQEVRESAGLAFSTLYKSAGLQAIDEIVPTLLRALEDDDTSTTALDGLKQILSVRTAAVLPHILPKLVQPPLSSFNAHALGALAEVAGPGLNSHIGTVLPALILAMDDENTDVQISARKAAETVLLVIDEDGVEILISELLKGVNDSQASMRRGSAYLIGFLFKNTKLYLADEAPDMMSTLIILMSDTDQATVSAALEAFSRVVGSVPKEQLPTHIKLVRDAVSTARDKERRRRKGVPVLLPGLCLPKALQPFLPIFQQGLISGSAETKEQAAEGLGELIDVTSEKTLKEVYLPRSLGGVFQNYLQAVLPAILDGLADENESVRDAALSAGHVFVEHYATTSLPLLLPAIEDGIFSDNWRIRQSSVELLGDLLFKAILEGGSDDEGASTEAQGRAIIEVLGREKRNEVLAAIYMVRSDVSLTVRQAALHVWKTIVANTPRTLKEIMPVLMDTLISSLASSSSERRQVAGRALGELVRKLGERVLPSIIPILSQGLRDPDAGRRQGVCIGLSEVMGSAGKHQLLSFMDDLIPTIRTALCDSTQEVRESAGLAFSTLYKSAGLQAIDEIVPTLLRALEDDDTSTTALDGLKQILSVRTAAVLPHILPKLVQPPLSSFNAHALGALAEVAGPGLNSHIGTVLPALILAMDDENTDVQISARKAAETVLLVIDEDGVEILISELLKGVNDSQASMRRGSAYLIGFLFKNTKLYLADEAPDMMSTLIILMSDTDQATVSAALEAFSRVVGSVPKEQLPTHIKLVRDAVSTARDKERRRRKGVPVLLPGLCLPKALQPFLPIFQQGLISGSAETKEQAAEGLGELIDVTSEKTLKEVVVPITGPLIRILGDRFPWQVKSAILSTLTIIITKGGIALKPFLPQLQTTFVKCLQDNNRSVRSRAAAALGKLSALSTRVDPLSGDESVKESVLSALKGVIKHAGKSVSSAIRSRGCALLKDLLQADADEVRSCAAKVIGTLSQIWCKTIELSTSPNWCTRHGALLALSSMSVHCPSQLCHSTSFSSLVDLLKDALKDDKFPVREVATKTLGRLLCFQLQSETGTLQLVQLLVLALRDDSTEVRRRSLSCLKAAAKINHSALAAHHSILGPAISSTLKDSSTPVRLAAERCALHVFQLAKGADNVMAAQKHLGMTGLEVKKIAKLNEERYDSSLGILLIMLSVLHLSRSGKPPLILSICFLDLYTTLGPHSRMYCSHGGSATTPSPRYSAATMRYLALESTNRLLRAASLRMPTPSAAEPCRYTPGYA >Et_9B_064100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11209643:11210708:1 gene:Et_9B_064100 transcript:Et_9B_064100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVIADMDSSQDSQSRLPTSITNFTLTQKEKTLAELIRDRWLSYTSSGKIGLGIRSFLDLRSWFRGNDIPSCVVCNEACIKASTCPSHGCNVRIHEYCLKKKFSQRKASRACPGCGTEWPRQEGEVDGDDEVNEPGENEAPSANRSSRKRRKGVKAGTVSLQFLEEKTQG >Et_5B_044381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23531612:23533353:1 gene:Et_5B_044381 transcript:Et_5B_044381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNWVQVANKQVVHRLARRAGLRRHAVDVDDAGTVMTIWVPKHKLPIADEEQRRKKSEQRGRSRLSVVLLHGFAGDGILTWVLQVGALAKKYDVYVPDLLFFGGSTSPAPGRSPAFQAECVVAALRRLGVERCAVVGFSYGGFVAFRMAEAHPALVRSVIATGSLAAMSASTSAAMLRRLGAASWADVLLPDDVAGVKSLFAVGTHGKWWIPDCILKDYLDLFMFNRKDRAELLQGMVISDKDAAVVPSFRQDILLLWGEGDKIFKMELAKSLKEQLGEKATLRSISKAGHLAQLERPRVFNRCLREFLQRGEVAGVYHDHVGQVKVV >Et_1B_010219.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30674457:30674666:1 gene:Et_1B_010219 transcript:Et_1B_010219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQNPTARLGRRRLLPRLPPRLPRLELPPLLPALFRERRRWPTRLQEIGNFSGTTILSLLHLAVAAV >Et_4B_038527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29619506:29620031:-1 gene:Et_4B_038527 transcript:Et_4B_038527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLEDLTPSPSMTMPIGHSSRPTLGFPLGTALLLLVIFSLSGIFSCCYHWDKLRFLLRSGHPDMLQDDQHTVISISSLSDKTTSKHKDEKVGKECGLPVIMPGDKVPKFFARPCPHETCLPAEEKNDVELQAKCSAS >Et_3A_024159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1804033:1806503:1 gene:Et_3A_024159 transcript:Et_3A_024159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQNSWLRRTKFSHTVYTRVDPRWVPIAPLSKDVERKLQKFVSMGKSMSMPVDRDDEETGTALKHSASLPLVRSSLQLDKANKPTRANLEIPLSPSMNPVNSKGQRARSLVKSTSSMMLVSYLNKAESPLSSPLSSENSKSSRARSLVKSPSSMLLLSYLNKASSIQSLSPQKADGSHHRPRSKSPLPTSVPSEVFREAKSSSQRFASPPPQRRGSEKSIYGKSFAMQVSEGQSPDWSSTPVVSGKHKSTKKYNGGRRVSAVDTTDDRRLQRVRMNQAVQSTVDWTLDPSKLLVGHRFANGAYSRLYRGFYDEKPVAIKFIRQPDDDENGKLAAKLEKQYNSEINSLSHLYHKNVIKLVAAYKCPPVFYIITEFLSGGSLRSYLNSTEHHPIPLEKIISIALDVARGLEYIHSQGIVHRDIKPENILFDENFCVKIADFGIACEETLCDVLVEDEGTYRWMAPEMIKQKAYNRKVDVYSFGLLLWEMVSGRIPYENLTPYQVAYAVANRKLKPTIPPECPSALRPLIEQCCALQPDKRPDFWQIVKVLEQFHSVLMQGGCIDTLKVGTCQDHKKRLLHWIQKLKPSHST >Et_5A_042436.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:10635101:10635430:-1 gene:Et_5A_042436 transcript:Et_5A_042436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNEGAAVDAPKRVDTLWLFLTAGLAGNDDPLINPWADMVKSVPCWRALVAVASKDVLRERGCRYAALLSRGKRCREVKFVESEGEEHGFHLSWTSHANAVDPNEHAS >Et_8A_056212.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1617357:1617542:-1 gene:Et_8A_056212 transcript:Et_8A_056212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNKPWSCVMSTKRTILSPFTKEYRVLATITSIHQFLEGAFLIGRPQQIKVQISTMFVVC >Et_7B_055553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14199251:14202284:1 gene:Et_7B_055553 transcript:Et_7B_055553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADRLSALPDPLLLLILSFLRAGEAARTSGLSRRWRTLWHQADAVNLSTRSYWNVGYDGGEAGRLLFRDAMAALSAGRCPVRRLSVHVDSSNHMDYCEDVMDSSPGMDAVLAAPATRRLEEIRLHLYAEFACTSDYELPTRRLPCAASLRVLELAGCALGPPPAAAGTVFPRLDTLSLTSCKSLLDTLQAMLDAAPNLATLRLDGFFFTAAEGEQEELGYNEWCAAMSKRRVLLRCPRSVAAVTMLHCHDTDGIYLDAPGVQFLRYKGFLEHFPFASATTPASGMPANLQHVDLSFCTTRWCGYPPSWEEAPPPPPRAVFWESIRNFSRLRFLKLKLLDINDIAVHPDKEDMYLKQFPELKLLEVKGSYEKDEHGAAVALANFLHCCPVMQELRLKFKIHGDLYALPRGIQFSEERKAQLDLEKAGELLKRLKSRMSTSACYGVDDEDRSCEDVDLLALQARSFPCLESHLRKIRLKFELEDFNCFEVKIAKFLVENAIVLEKMEVHDGHQRVHNHIHRKLAIWRANSSNSKVDIVGEQDRSKNLPTDGLKYMQIGGSILKIDKSAEGTLQIPSPQIKPDATASLKSRHSSSFTLSHMNKITVPTNMSIAKNAPR >Et_6A_047564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7416571:7423982:1 gene:Et_6A_047564 transcript:Et_6A_047564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVDNVAGVAAGEVAGQYRGVRKRKWGKWVSEIREPGKKTRIWLGSFELPEMAAVAHDVAALRLRGRDARLNFPGLVHRFRRPATAEPDDVRAAALEAAAQVRFTPDLVMSPAMSRPDNGGCCGGGAELLHDVAWDVLLGADDLVAETPNMWAELAEAMLMAPPVCESSTAVNDDWAQSSLWDLPQWLYIHNHTPLESQHKPSPSSHHSTASISKRRFSCWLLVRMEMMLDNVAGEAAGEVAGQYRGVRKRKWGKWVSEIREPGKKTRIWLGSFESPEMAAVAHDVAELRLRGRDARLNFPELVHHFRRPVTAEPDDVRAAALEAAAQVRFMPNLVMQQPGCGGGREGPDVAWDVLLGADELAAESRNMWAELAEAMLMAPPVWEGSLADNDEWAQGSLWELPVWNY >Et_9B_065087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21061764:21065699:-1 gene:Et_9B_065087 transcript:Et_9B_065087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAASLGLRSGSYGSLAAAVTGGGAGRKAAPGGRGWACRGEKERLQLLHRALRLVGRRRAGVLLLLAVASAAVFCSLFAVVKACPVCYVSVDQAFALMPLQASPSPVLKNLNYVSEDSVPANLSNQGSLFGGHRTLEQRNRSFDISESMTVHCGFARGKRPGQGSGFDINDDDLLEMEQCRELVVASAIFGNYDMIQHPRNISEFSKANACFYMFVDEETEAYVKNSSSLYSNNKIGLWRLVVVHNLPYEDPRRTGKIPKLLLHRLFPNVRFSVWIDAKLQLIADPYLLLERFLWRKNATFAISRHYKRFDVFEEAEANKAAGKYDNASIDYQIEFYRNEGLTHYSPAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQISFSSVRDKIRAKVGWMPEMFQDCERRNFVVQGYHREVLEQMIASGRKPPSSADQPSRKLRPGSRKSPPSKKPSVKRKKEKKSNSRRRLPKPAALEMGVM >Et_5B_044123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20246141:20255927:1 gene:Et_5B_044123 transcript:Et_5B_044123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDLDRISALPDHVLHIILGRLTYAPAVTRTAVLSRQWRHLWTRATSLTFMDTDMDLVEKSGIAGFVNWVLARRGDAEGMDFLRIEKGRASPEHINEWLRYAARHVVKSVEIRAQGKPDKQQQRAIELPSHGSVASITLRLPNYSFRTPAASVARHEALTELKLFDLSFDEDYCSSSSTLGDFVASCCPGLRRLQIDAAQGLRKLVLRSEVLEEFYISYAKDLRTLDVATPNLRVFKLSTCFERRKIYSGNKLARITAPRLEEILFAGRITAVQLNVHGKYLRNTEVGFWLLESCPNVEDADVWLHHQPRGEHAMEYDEQQLVDLTSEGKAPFANLRNMVVRTLSFPKHHLVPSMSALLVRCPRLASLNLEMYSFTGEPTWPCFCDAVADKCEIRRNMALESLEDVKITGFDGTEEEMQLVRLLFESSNSIKRMALTRTSEAEKEMGEPRPDGEDTDETIYHELKNIPRADLGPGDLHRISALPDHVLHVILGCLGSVPEVMRTAVLSRRWRHVWTGAKSLTLKDSDIDKNSDFAGFVNWVLAQRGDAYMIHLTEKESSHASPDQLNAWLCYAALHVVRSVEIDLGQWAGTTKQPEEQVVVEVPSHAMTESICLVLPSYRLQLPAATVAARRANKPPTLLPVVGRRWQRTLWLHGVLLPSPSPCAPQGLCHLVLRSDVLQEFAISCAMDLETLELAAPDLRVFELSTSFCYRKIHGNRRKYVDGTNKKLARIVAQTGRDC >Et_7B_055546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1396185:1397393:1 gene:Et_7B_055546 transcript:Et_7B_055546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTERSLPLTLLLLCGLFFASSWATSSAAGDCHDQLMMLDRFHMWMARHGRSYPIAAERMRRFAVYQKNVEYIEATNRDGRLGYELGENEFTDLTAEEFAARYTEAAGPTDGDHVVEDNAMVITTRAGDVHEGRDRIAANAVHGSTVADAVPSSVDWRTKGVVTPTKNQMGCGGAGWAFAAVATVESIWSIKEGTTFTLSEQEILDCVPRSRGCEGGDLADAFEWISHGAIANESTYPYHGAKSDHCDYERADNPVAVFPRHDEAALAARVAGQPVAVAIDARGDNMQHYKSGVYTGPCGYTRANHNVALVGYGETQDGVKYWIAKNSWGQTWGRQGFLPHAKGRRLRPWAVRRRHETPISLRVKSS >Et_2A_017591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3983296:3985079:-1 gene:Et_2A_017591 transcript:Et_2A_017591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGVGMVAAAVAVVALTWLWAALVHLVWRPYAAARAFARQGVRGPAYRFFVGNNEETKAMRAATSGETLDLRSHDFISRVMPHYRAWTSLYGKVFLSWNGPRPALCAGNYDMVKRILSDRSGLYAKPDPGPELMALLGMGLVFTEGDDWARHRRVVHPAFAMDKLKAMTSTMAACAAEVILAWEARAATAKGNEVTVEVGQQFTELTADVISHTAFGSSYRQGKEVFLAQRELQFIAFASINNVRVPGSQYAPTKANVRRWQLERKVRGTLMAIIDERVAEAKESRGYGTDLLGLMLEANAAAAGDAGKRIMSMDEIIDECKTFFFAGHDTTSHLLTWAMFLLGTNPEWQQRLRDEVLQECGGAEAPIHGDALNKLKLVTMVLYETLRLYGAVSMIGRVATADADLCGVKVPKGTILSIPIAMLHRDEEVWGKDAGEFNPLRFRDGVSKAAAHPNALLAFSNGPRSCIGQDFALLEAKATLALILRRFTFEVAQEYVHAPADFLTLQPLQGLPVVLKLVDP >Et_2A_015454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13183541:13185415:-1 gene:Et_2A_015454 transcript:Et_2A_015454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRFGFITQAINSSAPSTVPPTKLLILRPIAIGKQDRESSDLMLSARRLSMLQNIITDLGSSYNVSVGDKTRLQNALKDAVSRKKFLLVMDDVWSESENVWSEVLRVPLNNGAPGSPVLVTTRNVGVARKMKARVIHRVDKIEQDAWILLKKQVAIYESDEGDIDTLEDIGMRILEKCDGLPLAIKAVGGLLLNKGSTRGVWEYVCNHYTWSLEGINEDINRAIYLSYEDLPSHLKQCFLFCSLFPKDELIIREDVVKLWMAEGYMQDNTSSKQPHDLGSGYYKELISRNLLNPDEAYYGQQACNMHDVVRTFVRFITKGVLVSEEQNVINNTLSTLKLRHLSISNKTVDFKSLREQSAVRTLMSFGSTTIQLQDLLNNLSCLRILYLNKMDLIELSNSICHQKHLRC >Et_6B_048520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10135500:10138292:1 gene:Et_6B_048520 transcript:Et_6B_048520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFRLAGDMTHLLSVVVLLLKIHTIKSCAGISLKTQELYVLVFAARYLDLFVHFISLYNTVMKLVFLASSFSIVWYMRRHKIVRRTYDREHDTFRHYFLVLPCLVLALLINEKFTFREVMWAFSIYLEAVAILPQLVLLQRTRNIDNLTGQYVFFLGAYRVLYILNWIYRYFTEPHFVHWISWIAGIVQTLLYADFFYYYIMSWKNNVKLELPA >Et_10B_004126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14620418:14621229:-1 gene:Et_10B_004126 transcript:Et_10B_004126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKHELVTLFGWDNRLARERMRMVRLEWFSDRSGFFLFHVPSEGCFMLDVRCKKIVGWSWRGRVKLMIGKQLLLATTLEAGSGRLCVYAAD >Et_4B_037649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22088370:22098636:1 gene:Et_4B_037649 transcript:Et_4B_037649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRAGRSAALLLALAVTLLSAAPCLQGNTAFAIRDPIPISTSRPSRGECGALGNLDGPALSGMPRRSGARSAQFPHSRRRDGADLWMDAVTRGVVLEANAREDQLYYGKQVPNWSRETNIEFKNLSSSRKDGRHLLARPEEVSHRKIRQRTGVRKKMELVQQDDEALVKLENAGIERSKAVDSAVLGKYSIWRRENENEKADSRVRLMRDQMIMARIYSVLAKSRDKLDLYQELLTRLKESQRSLGEATADAELPKSASERIKAMGQVLSKARDLLYDCKAITQRLRAMLVSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPNSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLKQLESAAMKEYYFKADRPKTISAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQKDLTGLWEVDLNGNVNGAVETCGESFHRFDKYLNFSNPNISQNFDPNACGWAYGMNMFDLEEWKRKDITGIYHKWQNMNENRLLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPTIERSEIDNAAVIHYNGNMKPWLEIAMTKYRPYWTKYINYEHPYINGYKRAQNTPLRAPITYLLDQFEG >Et_5A_042255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8084513:8087353:1 gene:Et_5A_042255 transcript:Et_5A_042255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKLVKVSGSGHVCRDRISDLPDHLLVDILALLPLDEAVRTCVLSRRWRGVWTRLPMLDFCDDEAPRVEGFADLVAGVMRGYATDVDMPDVLISVRRWQTFTDAVFVAASAFLAARRDIARFGFFLYGDAVNLEWDEEEQQEAPALQLPCFPRLKEFAIAFPGVDLRMPTTGKFRSLTKMYIFGVQFTDGGNGISAVVTRRCPCLQDLELHTIKGLKVLFLVSHSLLSLRIVKILDLERLVVAASKITEMQVPVLERLHWQDSSPGEIDYFSLPSQPLELSIIELPPSYLVLCEGHRSHCTRILRMFQRIGTLHLDMPVAPWVTTLSLQIVADHREVDNIVPSCDANCDCRTLQTWGDRRIALDYLRFFAMKNFSGTPDETYFICHIVSLVFSVGANPTDEFLYNLHTLAPSSCTVEYSYWGLLFYFKSRLSKQGRTKGLLLLMIIRARENTKGHQRRRHRALSPCLQGLEMQEGLKVLFFFHSHS >Et_6B_048299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10802446:10803186:1 gene:Et_6B_048299 transcript:Et_6B_048299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPAVTIGVVVLVAAVSTAASVPAGNGTASPHKNATAPFGTNHAVGEGTGWFFDWKANASATNYSSWAANRTFYLGDYLSFKTDTGNTVVHTTNATDGEHCRKGMRFEVAVAHGRGLPTVPPSYYEPLSGAPVGTRDGAAVAMWVATVAATALALGL >Et_9B_065670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:958455:960793:1 gene:Et_9B_065670 transcript:Et_9B_065670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAAAETAAATAARWAEGYPWREKLAKFKGELGKGVWGYWELGAWKPLGISARKRARLRKEVLLAGEDWTYDPPRGEMRTKRKGHKCDRISAEKRANTAELMKKMPQMLLDYKVRASSDFNCALQPVVLCDPTIANWPLLNMTTLGILVANVGRPPLRRGIIHIPKFEERQRSTNLDMGREELKRRWEKKMKEEESRKS >Et_2B_020686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22694688:22722539:-1 gene:Et_2B_020686 transcript:Et_2B_020686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETIQLWQRKCEDALQSLLVLGARRPVRRLASTAMGRIIEKGDAISVYSRASTLQGWLVDVKRSEPTAFAGAAQCLGEIYRLFGRKITAGLIETSSIVAKLMKYHEVDFVRQDALHLLENALEGSGGGGGAAAYLEAFRIIMRGGVNDKSFIVRVAAARCLKAFANIGGPSLGMAELDTSMTYCVKGLEDTVSSVRDSFAEALGAILALSVNPDAQVKKGGKKQNASAKKFEDGVQKHLILPFVKAHGANTKKLRIGLALSWVFFLQMIHLKYGTTDSELQTYAIQAMEILQGNESPDPHALACVLYVLRVGVADQMTEPTQREFLVFLGRKLESSNYTAPMRVATLRILSYILRGLGEVPSEFKDVLDNTVVASLSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYGVTTLQALRETISFDKGKNLNIELDSLHGQATVLAALVAISPKLLLGYPARLPKSVLELSKIMLTGFSRNPVAAIAEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLCHVQDWASELRVLSVAIEALTAFIRSFVHPIITTADGGILLNPVLAYLGGALSLISSLSSKQVPNVKSALDLFTTRTLMAYQSLSNPVVYKSEHQHMLQLCSSPFSDPSGWEESSSLKFLLDKRDASLGPWIPGRDSFEDELRAFDGGVDGFLPSVWDDEISNFPQDNTVKLRLLNNLDQCLKSWKKHSRYTSLVTNTCVALLSGLKDLLTLRGAQSLPIDILSMIQSIFKGILAEAEISTAQRRAACEVLGLLARVGNDIFTARMARSLLGELTTSTDLNYIASIALSLGCIHRSAGGMALSSLVTPTVSSLSHLSKSPNSILQLWSLHALLLTIEAAGLSYVSQVQGTLFLAMEILLLEENGYMDLRQGIGHLINAIVAVLGPELAPGSTFFSRCKSVIAEISSSNEMATLLESVRFAQQLVLFAPQAVPVHSHVQSLIPTLYSRQPSLRYLAVSTLRHLIERDPSAMIDENIEENLFSMLDEETDSEIVVLVRATIIRLLYTSCPLRPSRWLAVLRNMVLATSITRNTSEGLSSSGHNPLDNTPENDVYYGEDEDTMISNSKQEQTHHSASTVSQFPQRNKHLRYRTRIFAAECVSHVPIAVGSEPAHFDLVLARNAIAEGIYLSNDWLVLKLQELVALSYQISTGQFEGMQPIGVKLLCLIMDKFGLAADPEFPGHILLEQFQAQLVSAVRTAINTASDPLLLEAGLELATRVMTSSIIGGDRVALNRLFLLISRPLNDIEGLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRMKENAPDEYQQLAPLLANSSILLGKYWVGALRDYIAISFGLHSKTNYKPFLDGIQSPLVSSKVQMYLDDVWALLLQAVALDAAPMEFDMNESEELLEHTFMSGRSMVKLERAEFKFLWGLSVLVLFHAHQSVKNSAVKINLDSRKDNKFGEFIVHGLDDPRPCDLMLSVLLSLTTEVFFTKDLISVDVCLELLQALTYADCSNAPIVRLFTQIIRLCPESYFEVEAFVFSALELYSRGIGSSQKSSNTLISELFVAIETMAYRMKNEHLWKLMMLLVSISHQSFQHVPTNLCLSNIVSFLQNILPFMRKCFKDGAGSDAESVNSKVVLGALVSLVAYFCTECDRRISLLEDKTSDSCKLFAKMLLCCLVQMLGIHALRSYAQKELAEGSEIMGHSFMIFLGELLGDVFSVLQATLKECSNKESVSIIDECLKLLFLFHTLTQSRECQQDATILLLDALLIVFYLSSESGSQELAEVNTISKKLFSHFIQIPSVAILVKDILLSAPPEKRQQLQHMVRASVSQGQITVPANMSAHSEQNVQDSSSKRSGSTAEVSVCDSTQETNEKEVDDDDWEDDWDAFQSLPASIANDDERFPESSQNEQNLQESTNMDISDMDIAAGAMEGRASADKELEEPSDLQHSNMEQQGTSEFPGLSISREDCDDPTVSCTKPSAHIEMAGELQKNKGISGEFQGIEGYVPDENIAPRDDSTDNSNNFSDVMEDVVNKSSNNVLRVDDKLVKDDSEDCGEDLSCSSQDVNNTDVFSTCEGNKHPANLDTKPEPSEDGMPDSRS >Et_1A_005917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15510156:15520137:-1 gene:Et_1A_005917 transcript:Et_1A_005917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRSGSSDGGGGYDKGGVDSGKYVRYTPEQVEALERVYAECPKPTSARRQQLLRECPILTNIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLSAMNKLLMEENERLQKQVSQLVHENAYMKQQLQNVQNPSLANDTSCESNVATPVNLRDASNPSGLLSIAEETLTEFVSKATGTAIDWVQMPGMKPGPDSFDIVSISHGCRGVAARACGLVNLEPTKIVEILKDRPSWFRDCRSLEVFTMLPAGNGGTIELVYMQMYAPTTLVPAQDFWTLRYTTTMEDGSLVVCERSLSGSGGGQGAASPQQFVRAEMLPSGYLVRPCEGGGSIVHIVDHLCLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFHDDGWSVFGGDGAEDVIIACNTKMIRNNHNAASAFGAPGGIICAKASMLLQGVPPAELIRLLREHRSEWADYNFDAYSASALKACPGSLPGLRPMRFSGNQIILPLAHTVENEEVLEIVRLEGQALTHEDGFLSRDIHLLQLCTGTEEKSMGSCFQLVFAPIDELFPDDAPLISSGFRVIPLGIKTDGVPSGRTLDLASSLEVGSATQQTSADASQDDCNLRSVLTIAFQFPYEIHLQDSVATMARQYVRSIVSAVQRVSITISPSRSGLNTGNKIISGFPEAATLVRWICQSYQYHLGLELVPHSDEVGEALLRMFWDHQDAILCCSFKGYVYLPAGVCLSGMGRQVSFEQAVVWKVLGEDTTVHCLGFCFVNWSFV >Et_1B_010785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14027852:14035593:1 gene:Et_1B_010785 transcript:Et_1B_010785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEACTVLHAENKRSLPAWMLKSCSDNQVVKTEEQNAKTSESDEQTRNLDQTKSVKRNTGRRLKHVDLAGAGELGVLRRCEGRAKARKTSKNVVKDGVEEMEEVDTGWRLGKVVSAGDGELGVMRRCTAREKPRMTKNIVKGEVKEIEEVASKNLRKVSEGAVPKNRRKRKLENAKSEASSPERVDDEIELTIEDLISIAKEYVNADEQKQHKLKNVNTARGYEDTSCHSISTECTTITRNTSPSEHRRDENSSHQELHCSASFKMTGDVYQDMLNLFLCPLLSRPASYLKEPEPIESVATTICHVPEKKECHSEVPRQVEPVVKKKSSLKDKKNCSVIRPGTKLPTLAGKDENLVITDRVGSGSDEVRINYIPLKELDMMVNSRVAVHSIYVPFPCLRFAASTFKVDESGPPRAVMPTLPRSYSSSFAEKKREGAAENEAEVEETSMKLKGQRPAGIPRLRHV >Et_1B_013915.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:23831857:23833311:-1 gene:Et_1B_013915 transcript:Et_1B_013915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQQQAASVLQSFPFRAAVLALCVALLPLLPTQQAAPDAAGGGAGQAFVAKAWELLHLLVVGIAVSYGLFSRKNSAADDGRGHDFAAGAHEKEEANKPADARYVSRMFRDSLAPFDDDDVDTLPGGREDDGGGKARSWSAMHRPNEPVVVVTNGGGGRGGHASGTQAPLSLPVRMLKPQPASAHDSGSRDETVLPSPIPWRSRSGRFDAARPASPKRLSPASSSARESEEYYTTKRRSPRRSPSSSSTISSPPPAPPPPPPPFLVHGYHPAPAERRTAKSFKEELQDHSMRGRGGDGHHYSPNASSFDVSAYSNTAVNSSPPAKPRSSFDAGCSSSSSASIGKSVRTFRAREAPVFQGQSPEAPEEDAVDGRDAHEAEEPYGYRAYQSIPRFQYERSVSDPILGGVAVSSDETESSDDDDEEEGGGGGAYSTRESTPEVDENEVDKKAEEFIARFREQIRRQRIESIKRSAGPRGVKHGK >Et_8B_060320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9346238:9346937:-1 gene:Et_8B_060320 transcript:Et_8B_060320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCDEVPVFHYRLIHYVGHSYLFGAGCASVFHLVKGLRNPLDGDRVAGAILAVWTNVPRVAGRYGAFGALLCCFQTAVARARRRDEDHWSYIVGGAAASAVLVARHGATVAACGALVGATYATGFAALDVYLDRYTAGKRQRYRPLRARVAGNMEGEKGRFEGHQFLLYGEKFEVTPED >Et_9B_064098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11195400:11197598:1 gene:Et_9B_064098 transcript:Et_9B_064098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLQSGSRLDDPPPSGRRHRREADGGDGVGDEDRISSLPDDLLLVILARLGCARKAARTSFLARRWRGLWRSLPELIFRRVHPYWLLKVVLPQVTCQALNLLYIFVDLPSVSASDVSSLLRAAERLAPKSLTIILELYVDSPDETVELPCFDRTTSLALHLSEIKLAPPPAGEFAALQSLALGACRIEPGALLPMCPTLRSLTFDAYSYSGVLTVHSTSLEEIVVYCSLSSGIHHIDINTPLLKEATLNVARLKDFNMSFWAPMVRKIDWDCHYDHSELGLQHMRLQRLGYHLSHGVRKLSLKILGSEPRYYFPFGSELTFVEEIEQIPFSRFSILELRIHTNGHAFGQLLLHLLWIRPTRTLIVDLASNKMSCPSDCSCDPHPNWREQNIVLVNLEVVTIYGFHGHGDEVDFLKVLLRCATDLKHMTLCVSTIGYKKIRSICEQYPQLQARRAGSFQYARKLAINDQRDGRVQSDLT >Et_3B_031575.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:5197198:5197719:-1 gene:Et_3B_031575 transcript:Et_3B_031575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARAQQPNKALEPQDPAAGADPKPQRRAKQPRQPKGAKKPGAAAMTRGEGVAAAGAGAAVAASNAAAAASVASPAPETAPTVPDVCSSSGAGEAGSQHAVDCWDLADAGLGGGAWWTWGVDEEKLLGWFPFVEEDFRCLAGRSGADAEAAAFDDDIWRIHQIYEIPNYAAK >Et_8B_058512.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:10974535:10974798:-1 gene:Et_8B_058512 transcript:Et_8B_058512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRFSKAAHEDALRYADMTEEEEMEEYRRAGKLHKYDPDKEWQKRAARLAKAYLPPRLLARPRIQHLLTYLDGDEDDQAPGSVGEH >Et_5A_041073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17806454:17810298:-1 gene:Et_5A_041073 transcript:Et_5A_041073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAKVDVEALACRRGGDDATSVKESAWKRFLYHVGPGFMVCLAYLDPGNLETDLQAGANHRYELLWVILIGLIFALVIQSLSANLGVVTGRHLAELCKTEYPVWVRICLWLLAELAVIAADIPEVIGTAFAFNLLFHIPVWVGVLIAGSSTLLLLGMQRYGVRKLEFLVALLVFIMAACFFVEMNIVKPPSKEIIKGLFVPKLSGSSATGDTIALLGALVMPHNLFLHSALVLSRNTPASVRGIKDACRFFLFESGIALFVALLINICIISVSGTVCNSSHLSPDDLAKCSDLTLDSSSFLLRNVLGGSSAVLYGVALLASGQSSTITGTYAGQYIMQGFLDIKMKQWLRNLMTRSIAIVPSLIVSILGGSSGAGRLIVIASMILSFELPFALIPLLKFSSSSNKMGENKNSIYIIGFSWLLGFMIIGINIYFLSTKLVGWILHNSLPVYANVLIGIILCPLMLLYICSVIYLTLRKDTVKFVSRHELPTVDANKSKVVNGCDNEENKEQLI >Et_1B_013033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4979484:4983722:-1 gene:Et_1B_013033 transcript:Et_1B_013033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAGLEILECVQWAPRSSCCCCCHLSLVLRNRGALVQADKQAVALAQRLQIPAGERVDGAQRRRRRRGSGGRGLRDGGAVGARVRCGGRASRGSGWSGSRKKVSVLGVVGDGLAMSLFNRGPKAAAAAARTAARRRGGRRPPLLFRPSTALSDGGACGDRHAPSRCLSSANSRSIAQRSPSSLTFAAPPIGHERGGDRCLGVRAKVASGVQSARVVDERPWKLSDAGLVLEDGSVWRAKSFGASGTQVAEVVFNTSLTGYQEILTDPSYAGQFVVMTNPYIGNTGVNPDDEESNQCFLGGLIIRNLSICTSNWRCKETLEEYLVKRNIMGIYDVDTSACDAITRRLREAGSLIGVLSTDESRTHAELLEMAKKWKIVGVDLISGVTCDAPYEWLDKTDSEWEFKKDQSSESFYVVAYDFGTKQNIMRRLTSYGCKITVVPANWPASKVLNLKPDGVLFSNGPGDPAAVPYAVKTVQEIIGKVPVFGICMGHQLIGQALGGKTFEMKFGHHGGNNPVCDLRSGSADISSQNHNYTVDPESLPEGVQVTHINLNDNSCAGLQYPKMIQYHPESSPGPHDSDTAFDEFIELMKTNRS >Et_1B_013306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7239521:7240853:1 gene:Et_1B_013306 transcript:Et_1B_013306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPFVSYLCSEAHALPFKASIPFSISLLLPIERTRIASFPFSTLLLLPIVGDRAGTHLNKNSRTIDFRCRRQRLADTQLLAMSFTGTQDKCKTCDKTVHFIDLLTADGISYHKTCFKCSHCKGTLSISGYSSMDGVLYCKTHFEQLFKETGTFSKKFQGGASSNKSDQPRAPSKLSSAFCGTQDKCAACQKTVYPLEKMTLEGESYHKSCFKCSHGGCILTTSSYAALNGILYCKIHFSQLFKEKGSYNHLIQTAQTKKNEAAAAASEPPADAATAEQEAPPQAA >Et_2A_018300.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:2205870:2207282:-1 gene:Et_2A_018300 transcript:Et_2A_018300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVERFDVAVASRTLVQASDPPEGFPAVLPVSNLDLIFGSFHIYLINVYPASVAGLDAVAAAVREAFPAFLSRLYPFAGRIVTNASTGVPEIACNNAGAELLVADAGVALADVDFADADRSIGGIALPFQQGLALSLQLLRFACGGFALSWATNHLLVDGHGLTVMANAWAELLRTGGLAWEPHHERTSLFRPRSPPRHSPSLDAEFTRYAPASLPNSLLAATFVRRNYVVSAADVDRLRAAASTPSRRATRLEALSAHVWKLLARAVGDSDTRCRVAWLVDGRPRLDPARFDGDAVRRYVGNVITYAAREAAVEAVASSPLADVAAMAGDAIAEVFRSERYEELVDWMEARKGVFREGGKWTEVVGLGTGSPALVVSAFVQFRVEGDFGFGRPRLVMPWIRPGRLGSAAMTVARSPREDGSWVITARLWPRLADAVDADPEAVLKPATAARLGFGPRGPVDVGHHASRL >Et_4B_038490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29389583:29391331:-1 gene:Et_4B_038490 transcript:Et_4B_038490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELVNNIFVVKEIDPDVSRIVAEGSGMYMQLDVATDIYPMKIDQKFTMVLASTLNLDGSTDTGYYTQVAGRETLPDKFEYVMQGKLYRISEDTSSENVKTEIYASFGGLLMILKGDAAIASKFSLDQRIFLPIRKL >Et_9B_064164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1264805:1266260:-1 gene:Et_9B_064164 transcript:Et_9B_064164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKMNMVTTTSLNHIMKSSDLPVSLSLVYPNIPKKKMIVTGMQYGVEVPFCTVASLFSIVYNLFPLVLAPTSKKTENNALKKPLATVTSKQTSHIHDALLWQHVGSGDHHHDRAQPHDEELPPRDALSDGELQRHRAHGELVVPALVPVRRGGRLQPRVGIREGSRVVELSLASSDGRAQFGDVQLESGGRAGVDGAAAGEEEAAVEDGHGHHAAALHDAPEHLDHRERARRVQPGRRLVQEQQDRVMDRMMSVPIDSRRRSPPDTPRHGRIGSGISISLSLFHSNIPKTKWLAQIELR >Et_1B_012054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28524341:28530676:-1 gene:Et_1B_012054 transcript:Et_1B_012054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLTLRAAPSARRPEPPASSAHLGAAPCLLRLPRSLRRRRPRSLRASASLDQEVKEVAPATSADKSNQTTRRDVRNIAIVAHVDHGKTTLVDSMLRQAKVFRDNQVVQERIMDSNDLERERGITILSKNTSITYKGTKINIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPSARPEFVVNSTFELFIELNANDEQCDFQTVYASGIKGKAGLAPDNLADDLGPLFEAILRCIPEPRIEKDGAMQMLVSNTEYDEHKGRIAIGRLHAGELQRGMEVKVCTPDDACRMGKISELFVYQNFGRVPVETVSAGDICAVCGMNDIMIGETIADKVTGTPLPTIKVEEPTVRMSFSINTSPFVGREGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFLVSGRGTLHLTILIENMRREGYEFMIGPPKVINKTVDGKLLEPYEIAAVEVPEEYMGSVVELLGKRRGIMVDMEASGPEGTTLLKYKIPTRGLIGLRNAILTASRGRAILNTIFDSYGSWAGDLSSRDQGSLVAFEDGATTSYALLSAQERGIMFVSPGQDVYKGQIVGIHQRPGDLALNVCKKKAATNVRSNKETTVVLDEPLSYSLDDCIEYIQEDELVEVTPASIRMCKNPKISKKK >Et_2A_017771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6048241:6049018:1 gene:Et_2A_017771 transcript:Et_2A_017771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGSNEHGETSKAPLSRGFSKGVSVLDLILRFIAIIGTLASAIAMGTTNETLPFFTQFIRFKAQYSNASSGASAAAAIVYLAHKGNVRANWFAICQQFDSFCERISGSLIGSFAAMVVLVLLILLSAIALARH >Et_1A_007921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39437037:39441941:-1 gene:Et_1A_007921 transcript:Et_1A_007921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSIGGQTAAEVHFPSTLQRLPQQLRSTSRSPSQIAAGAGGWQRAMSMKHPHPPLPEEEASLSPPPPPHRRPRGFASATSPLAGTTPPRRRGEREREREKERTKLRERHRRAITSRMLAGLRQHGNFPLPARADMNDVLAALARAAGWTVHPDGTTFRSSTQDGTFYPAPVDTPSLTNTLNSYAIGTPLDSQASVLHTDDSLSPSSLDSVVVAEQSIKNENYGNSSSVNSLNCMESDQLMRSSAVLADDYTRTPYIPVYASLRIGIINSHCQLVDPESVRAELRHLKSLNVDGVIVDCWWGIVEAWTPRKYEWSGYRDLFGIIKEFKLKVQVVLSFHGSGETGSGDVLISLPKWIMEIGQENQDIFFTDREGRRNTEYLSWGIDKERVLCGRTGIEVYFDFMRSFHMEFRNLTEEGLIFAIEIGMGASGELRYPSIPERMGWRYPGIGEFQCYDRYMQKNLRQSALTRGHLYWARGPDNAGYYNSRPHETGFFCDGGDYDSYYGRFFLNWYSGVLIDHVDPVLSLATLAFDGAKIVVKIPSMYWWYKTASHAAELTAGFYNPTNRDGYSSVFRMLKKHSVLLKLTCYGPEYAVQDNDEAFADPEGLTWQVMNAAWDHGLSLCIESVLPCLDGDMYSQILNTAKPRNDPDRHYASFFAYRQQPPFISQRDVYFSELSSLVKCMHGEAPQNEEG >Et_10A_001001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2075166:2077848:-1 gene:Et_10A_001001 transcript:Et_10A_001001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYERIHKAQMGVMSPTKLRMKLLGSHGAGKKEEGNGKSPRASPSRLDDVDGDDHPKNSLLAQELDEGAFVCLTPFRSAAEYPKDRSDSSRSRSDASHGRAALSGGDSGHENGGNFEFQREVRGTAAAAAGPLFRQVPSKWNDAEKWIAGRHVVHSNPIFSKKPTAAPQPPPHGRVAPEYAASKVSAVTELSSSKSSSPSSTVSGPAASCRPPPHKKLRAAAAAAAAAAQSVSMRDVGTEMTPIASKEQSRSGTPAGAATPSLLSPLCSVPSSPRGASSAASSSSASDREMRLRTRREIAALGLQLGKMNIASWASKDEGLLLAAQAAAAAPADVPGDIGDELKTKEFKARAAAWEESQNCKLASRYQKKEVKIQEWESCQKFKFEAKMKQAEVKAEQMRARAKQDLAKRLSALSHKVEGKQARADARRSRQAARLARQVERIRKTGRAPSRFRRCCTWFL >Et_1A_005439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10407941:10411150:1 gene:Et_1A_005439 transcript:Et_1A_005439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQFHANACFYDDLFGYRFRTDRRSPVSAAGSGHVDEGEAVDFRGNPADKSRTGGWLGAGLILGTELAERVCVMGISMNLVTYLVGELHLSNAKSATIVTNFMGTLNLLALLGGFLADAKLGRYLTIAISATIAATGVSLLTVDTTVPGMRPPPCAHARGPRAHECVPASGGQLALLYAALYTIAAGGGGLKANVSGFGSDQFDGRDPREERAMVFFFNRFYFCISLGSLFAVTVLVYVQDNVGRGWGYGVSAVAMVIAVVVLVAGTTKYRYRRPQGSPLTVIGRVLATAWRKRRLTLPADPAELNGFHAARVAYTDRLRCLDKAAIVEADLSAPAEKQASSAPTAVTEVEEVKMVVKLLPIWSTCILFWTVYSQMTTFSVEQATRMDRHLRPGASSGFVVPAGSLSVFLFLSILLFTSLNERLLVPLASRLTGRPQGLTSLQRVGTGLVLAVVAMVVAALVEKKRRDAASVGGEAISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLVTLSMGFFLSSFLVFAVDAVTRGTWIRNNLDTGKLDRFYWMLAVLGVLNFALFLVFARRHVYKPSTVAAAVAPDAAGEGKEMDDFVAVKEAVQGMDV >Et_7B_055614.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:1726637:1726897:1 gene:Et_7B_055614 transcript:Et_7B_055614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWSRAAVVACLVLLASACVAESRAVPGSKAAASTRDGGGRRSAFDLMVVGLVSIGLGRRWRAGELVDEDKRRVPTGPNPLHNR >Et_7B_053308.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3465375:3465904:1 gene:Et_7B_053308 transcript:Et_7B_053308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKVEVVRILVFALAFTMHQAWGEKDCQDEKIRFKTKCTPFVENGPFYTTPTHSCSCCQTIREIDMPCVCRIITPKKEKEISVFKTAWVAHACGNPLSPGTKCGSE >Et_7B_054560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:23204310:23222093:1 gene:Et_7B_054560 transcript:Et_7B_054560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAPPPAAVGAAAATAAWWPARQRGEGSAAGPCAGADDSDGFDDMWLFWRTRNRFSLEELRYLTDQLQKVYVVNEANKDFVIEALRSIAELMIYGDQHDPSFFEYFMEKQIMGEFARILRISKLSRVSLQLLQTMDYIFSNEHINFLITYPFDFRIDEMLSYYISFLRAISGKLNKNTISLLVKTKNDEVISFPLYDEALKFAFHEDSMIRVAIRTLTLNVYHVGDESVNRFVSRAPLSDYFSDIVQHFQKQCIDLDKLVVHSSRNTNSSLPMSSIEDAIVQIEDTLYYFSDVMSSGIPDLERFITENILEVLVFRLLLPSLQGQSTDLNISVTTSMYLLCCILHIFKNKDMSSTVAASLFHQPDRPDRKQEIPNGYNSEHDHCTSDNQCSSASAVEQSNKDKPDCLSYVLNDHLSPRDCCQGNTPREHLLSYITSGDDSQALGSLCLFATLLQTKELDESMLDALGILPQRKQHKKLLLQALVGEDLAERRLFSSSSGVTDDNICSDFDIYLRKLQDKYGLQCCHPRQMTSKVHRYQVLDALVVLFCRSNISADVRLVGGWLFRQLLPHGEDEFTAFHLRRLKDSHKDCSAKLSEESGGCWCDMLLPIVKEAWRNCKKGDSSVAIAERIYEAVKGFVLQHQVLLFCLGETFSEQPPIFSPMDLPVNTRANAANFDGSMPKPGLEINLVDAVPCRIAFERGKERHFCFLAISSGTSGWILLLEELPLKQERGIVRVIAPLAGSDPRIDEKHEKWLHLRIRPSTVPFLDPEKYKGKSKKYLVDGRWTLAFGDEQSCKEAETMVLEEMKLQQDAIGKQLKPLVLNYYIKASL >Et_2A_018152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14987222:14987550:1 gene:Et_2A_018152 transcript:Et_2A_018152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWENMKLVFDSSASFTDFDTQHYQVTKDVVIRSLDKSFSQVADKDGDLCWKGPIFFNIVEPFKSLLKSIYLTFDDGSR >Et_1A_009248.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35138961:35140400:-1 gene:Et_1A_009248 transcript:Et_1A_009248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAAPASTPASAPPHLLLICFPGQGHVNPMLRLAKRIAAKGLLVTFSSTCDVGDRLVASAGVSAGGEGVAVGGGRLRFEFLDDGFHGTDLDELMRHLETTARAEFEKLLARQAEEGRPVACVVVNPFMPWAADVAADAGVPSAVLWVQSCTVFSLYHHHVHGLVEFPDEDDVDARFALPGLPAMSVADVPSFLLPSNPFKLLADTIITQFRTIDKASWVLVNSFAELEPDVVAALPTVNPRPPQLIPVGPLIEDDDANNEVRGDLIKAADDCVGWLDAQAPRSVVYASVGSVVVLSAAEVAEMAHGLASTGRPFLWVVRPDARPLLPDGFLDGAAGERGMVVPWSPQERVLAHPATACFLTHCGWNSTLETVAAGVPVVAFPQWGDQCTDAKFLVDELRMGVRLRARPLARDAVRDAVEDVVAGPDAEAMLASARKWSAASRAAVAPGGSSDANVQAFVDEVRRRACGEDHADAAKA >Et_3A_023782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12176878:12180419:-1 gene:Et_3A_023782 transcript:Et_3A_023782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTDSRKRLTSDHRLSADHLLPSPSFPPPSLSPPSKRPKLAPFPSFNPTPPTPPRPHVRATSAAADVASTSSTAPGPSSSTADPLPHRRRLPPPPPLPRPVHGPQRVLRAFRLGPARPHAAPSPSSPPTQPPWSLGLEQYVELVNSVSHPPPPTPDVARKAETGPIEVVAVEEDGDERKEQSEEDDEVVRDSVVTRRVPLYKELYEASSRKRDAKLRTLEFEVRLAEEGRLGLERLAEVLPRITPKKEEVPEPFVPLTDEDEDIVRHALHGRNRREKLAVHEASNIVITREILQCLNDNEWLNDEVINLYLDLLKEREQREPSKFLKCHFFNTFFYKKLSSGGYDYKAVRRWTTKRKLGYSLMECDKIFVPIHKEVHWCLAVINIRDKKFQYLDSLGSMDIKVLRNLARYLVDEVKDKSGQHIDALSWKQEGVKNLPLQENGWDCGMFMLKYIDFFSRDMNLIFGQKHMCYFRRRTAKEILSLRAE >Et_9A_061990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18626918:18627279:1 gene:Et_9A_061990 transcript:Et_9A_061990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREDSAAEDRLNRDGFTAVVVRPHLSSPEPGSQWQAAGHLAVARPQGSIEAAEASEAAETQLLLQVQEPVAAEDSSDTTGITAGVAAALALSTGPAQALGPEGLLVEEFWDAVICGK >Et_2B_019834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14345790:14349731:-1 gene:Et_2B_019834 transcript:Et_2B_019834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVEVMKNQSSEVLEAAVTSVFQPGKLAVEVIQVDHNASPIPPLPILVAAPKDAGTYPVAMLLHGFCLQNHFYEQVLKHIVSFGFIMVAPQFHINMISIGDTEDIAAAAQVTDWLPEGLPSVLPKGVEANLSKIALAGHSRGGHTAFSLALGHGKTKLKFSGLIGLDPVAGKGKSSQLAPKILTYNPSSFDIAMPVLVIGTGLGEEKKNILFPACAPKGVNHRDFYSECKPPCYYFVTKDYGHLDMLDDDAPKFMTCLCKDGNNCKDIMRRTVAGIMVAFLKNVLNEEDGDLRVILKDPGLAPTTLDPVEHLLNASTGQVPEAAALAGTAVFQPGKLAVDVVAADHNSNALPTPPIPVLIAAPKDAGTYPVVMLLHGFFLQNHFYEQLLKHVASFGFIMVAPQFHTSLVSSGDTGDIDAAAAVTDWLAEGLPSVLPKGIDADLSKLALAGHSRGGHTAFALALGHAKTSLAFSALIGIDPVAGTGRSAQLPPAILTYEPSSFELSTMPVLVVGTGLGEEKRNALFPACAPSDVNHREFYRECRPPCYHVVARDYGHLDMLDDDAPELVTCLCKEGNKCKDAMRRTVAGVMVAFLKAALGEEDGDLKVVLKSPGLAPTALDPVERRLA >Et_6A_045922.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:867564:869630:1 gene:Et_6A_045922 transcript:Et_6A_045922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKSVGSLLVGDRAKVGALKRLAQGSSKTAGRNSSGRITSFHRGGGAKRLHRNIDVKRGTSSVGVIDRVEYDPNRSSSIALVRWVQGVHFRRRSNKPDHVEGISSSVADSSTTTANVSARFSLAAPFSSAAQPKVASSLLLSSLANNNNNNGDDATTLTSPLPRIAVAGAKPNFFVAPPQGIISNGKQTFSLSEIHKWATDDALWSQRMRRQAALSWQNDLKKKKPSSLQSSLSSNSNEGPTPKAKAVDGVPVSYILASHQCTRGTTVMNCDPSKPPSKAQRGSSANQYDVIDVNSKVGNCVPLANVRIGTWVHDIECRPGQGGKMVRAAGTFAKVVQEPGAQCVLRLPSGAEKVVDSKCRATIGIVSNPSHAARKLTKAGHSRWLGRRPVVRGVAMNPVDHPHGGGEGRTKGGRPSVSPWGKPTKAGYRSPTVASRKA >Et_1B_013703.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:13259233:13260210:-1 gene:Et_1B_013703 transcript:Et_1B_013703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVTASMHGHHLPPPFNTRDFHHHLQQQQQQHHHQLQLKTEDDQGGGGTPFGGRGTKRDHDDDDNSGGNNGNGSAGDGGELALNPPSGGGGGPDGGGENTGPRRPRGRPAGSKNKPKPPIIITRDSANTLRTHVMEVAGGCDISESITAFARRRQRGVCVLSGAGTVTNVTLRQPASQGAVVALHGRFEILSLSGSFLPPPAPPEATGLTVYLAGGQGQVVGGSVVGALTAAGPVVIMAASFANAVYERLPLEEDDLLAAQGQADSAGMLAAGAQQAAQLAGAVDPSLFQGLPPNLLGNVQLPPEAAAYGWNPSAGAGGRPAPF >Et_6B_049660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7995611:8006739:-1 gene:Et_6B_049660 transcript:Et_6B_049660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPLPFCSDWRFFNVIGHDLLCSPVLRSPPPLFLLDTAAASCSSSRTPPPPILLVPKHIRLVVARLLASWLVRAATGRFGLLRGAAASRKEKQRKKEKKGEIVGFIIFVAIWSFLPQGLESSTPVLRSYRQHLAELKASFYIFMALVVMCGQPCSGKSTAAACLTAALHSSSPNVTVRTIDECSLHLGRNDSYKDMVVEKNLRGVLRSEVDRSVSRDSIIIGYRYELWCLARASGIRYCVLFCDTEVDHCREWNSERQEKGEPSYDSNIFEDLVTRFEKPDRRNRWDSPLFELFPSRDEIVESSPIIAEAVSYLTKKVDSKTRDVRVLQPTIATQTVRTTESNSLYEMDKATQEVVNAIVEAQSGVGLPELRGLRRTFIKLAGQYSLSGPPPPTDADSAKRMFVDYLNREVGA >Et_4B_036519.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27854935:27855588:1 gene:Et_4B_036519 transcript:Et_4B_036519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRVLITITGIPAHLWLLSTAQLILQSPCDIIALSPETLAKKDLRRFELVAWCVHPDLIPNEKVLVVPERGAPYVESGLHLRPEDLIHSDKPTLRYRVRIFVHEVQDMQPRSDSSSSDGDDSDSDGPDHVPGYSPGSGKPWPRSTSFNGKGMGGDGGWPPLPSQSSSAWKAGGARWSHVASAPLLWCTAAEGSPAVETTRPKRKLEKLQQKRESLG >Et_2A_016808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28371225:28371897:1 gene:Et_2A_016808 transcript:Et_2A_016808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLTYQGRNRRRGAPELRPLRALPSRTPLDVRPPPGERPDGTRFVEQLYYVEARDIERLREMASDAEDGRPVSRVHAVTAHLWKALAAAVASVSSKRATGGDASCRMGWYTVTVGLGGPTISQRVWASFPLDTEFGFGHAALAMPVWESEGLCSGNVVVIARPGGDGSWLVRPDLWPRLAAAFEACLACLQASHLEQSILVCSYRTSRGAREASG >Et_4B_037199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16326349:16349081:-1 gene:Et_4B_037199 transcript:Et_4B_037199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSTSTNMSSNATSSMTLASSHAARPPSIALSSALFSIASTLLALALLYQINATSKKRELHRLPPGPARLPIIGNMHHMFSKKPMFRWIHRLLKEMHTNILCLRFGAVPVIVVSSPEIAREVLRKKDAVFASRPVTFASSSFSYGYKASALSPYGEQWRKMRRVLTSEILSPVLERRLHGRRVEEADHLVRLVYSKCRTSENSTVDVRHVAQHFCGNMIRRLMFSKRHFVEPTPVSPNAGPGPDEVEHVSALFTLLNYVYSFCISDYCPALTSLDLDGHEKVVKSVTKTLNRLHDPIIEERIHEWTTLREVGEKRDIRDFLDVLVSLQDSEGQPLLSFEEIKALTAEMMYAIVDNPSNAVEWALAEMVNKPEVLQKAIDELNTIVGKERLVQESDIPHLNYLKACIRESFRLHPYHAFNPPHVAMEDTTVAGYSIPKGSQLDSAETPIFGIYDLLEFQPERHLNNSCVAPTEPELRFISFSTGRRGCPGVSLGSSTTMMLFARLLQGFTWSKPSTVHEIELKESATSLALSKPLVLQITLFMYCSSIIMANTLMLVLLLWKWGLSNRNETARLPPGPATLPVVGNMHQIIWNKPVVFRWIHRLLNKMGTDILCLRLRGTHIVVVACPEMAREILRKKDDLFTSRPATIASKLFSYGYKAAILTTHEYQWKKMKRLVTSEILSPSMERRLYGHRVGEADHLIRYVHNQAKMIPDCCIDVRHVTQQFCGNIIRRLVFGKRYFHESPVRSNEGPGPDEVQHVNALFTLLNYVYSFCISDYFPALVGLDLDGHEKVAKRVMSTLDRLHNPIIEERIKEWSNRQKVRDTKDVADFLDVLLSLDDAKGEPLLSLEEIKAQIVEIMFATVDNPSNAVEWALAEMINKPDVMQKAVDELDAVVGKERLVEESDLSKLNYLKSCIREAFRMHPYHAFTPPRVAKEDTTVSGYLIPKGSQVILSRAGLGRNPKVWVDPLEFRPERHLNNDIVFLTEPDLRFISFGTGRRGCPGVSLGTSVTMILFARLLQGFTWTKPPNVDKINLQESYTSLLLAAPLVLQAKPRLAEIY >Et_4A_032702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12700117:12704342:-1 gene:Et_4A_032702 transcript:Et_4A_032702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSALVDSFVVKGPSAEDLAIISGAHTIGRSLCLSFDPDRLAVPSDIDAGFAVGSCEPCLANPTDMDDPMVVQDVVTPNQYYKNVLSRTVLFTSDAALMTCWARNASIPGWWENRYKTAMVKMASIVVKTGQQAGADQEELAGDQLEDCTHVQPQAQSCATARAKSNTMAASLRLAVVVVCALVLASACQGLHVGYYKKTCPRVETIVRDAVKKAVYKNAGIGAGLIRLLFHDCFVQGCDASVLLDPTPKNPKPEKRSPPNFPSLRGFEVIDAAKDAVEKACPGVVSCADIVAFAARDAAYFLSHYKVKINMPAGRLDGRISSSDEALNNLPPPFFNLTDLIGIFATKGLSTEDMVVLSGAHTVGVSHCSSFVPDRIAVRSDIDAGFASFLKKRCPAKPTPGGNDPTNVLAKKVLFTSDAALVKSPATAKMVRDNANIPGWWEDKFAKAFVKMAGVEIKTGKNGEIRKNCRVVNSAPARKPYH >Et_5B_044206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21519944:21522997:1 gene:Et_5B_044206 transcript:Et_5B_044206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVAAETGEGHLNLKIIVNVGSYFALPDGGPRTFTHRRKLPSLIVDSHKLSLLQLINHIAETCIWRSKQYITLWRSSEEACAEIKSDENLREWCELNLEQSVVYIHAHIEDFDGPLQFSPTKRRLHPSVRSRVSEASQPPPISDLSQVTNERATKKKRGRAHDDDEPVGVDEEGQYSDTESLKALSDSSYDSDLAASSDSDVDCSDADYEPDAEILDDEEEDYVPPFAYDVENPCIDVGVIFLDVDQCKSAVTHHSVLNDYGFETVKKSQDRFRAKCKRADKGCKWEDNHHLVVEEEEEEEEEGEGEGE >Et_1A_005143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29248170:29248600:1 gene:Et_1A_005143 transcript:Et_1A_005143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRTKLNGTGLRMGNIQPNQPTWHNIEDHITHLMQLPYGELTRRETQIFCMKNGGTRMSRECQKIKKDSKLL >Et_2B_021646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:424254:427891:1 gene:Et_2B_021646 transcript:Et_2B_021646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEHSGAMTSPALLLVMLFLLAGSSAALPAQPAMDRVRWQVDKVNRRRPSLGLVMSYVDEATALQASGYFSPWPVLPFLDLYGRRFHIGSIRGVNVIYALTGQRRLNAAVTVQTLIDVFTVSGIVHYGTAGSSNDSMSFGDVSVPKLVAYTGAWTWKKFKSSKESSAELSFGEYNIPNGGGNLLGSLKYRNEELYSVGKPMKEVFWLPVDSEWFKIAEQLKVTLERCNDTFCLPATPQIVYGLKGSSADMFLDNAEYRNFLFREFGVSTVDEESAAVVMTTTSPGIPVIVFRGVSDLAGGEPTWSSTSLMNLASINALKVAVEFIATVGNQKSKALAERILTLTTSFHMEAFA >Et_8B_059448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1873241:1875199:-1 gene:Et_8B_059448 transcript:Et_8B_059448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKARADMGKLLPVISFFLGTALTAALVFFGATMDVNWRTSKLASWGNGARPAARDEVKPFAELAELLKNASMEDKTVIVTSINRAYAAPGSLLDLFLESFRLGEGTARLLDHVLIVAVDPGALETCRSVHRHCYLLPSDGGVDYSAEKFYMSKEYLEMMWARNRFQQTILELGFNFLFTDIDIMWLRNPMRHIAITSDIAIASDYFNGDPDSLRNHPNGGFLFVRSANRTVEFYRQWRMLRGEFPPRTNEQVILEKRQAALSRRLGVRMQFLDTKHCGGFCQLSGDLRWVSTMHANCCTGLPNKVHDLRSVLRDWRNFTAAATPELRRQVRWTKPGKCIH >Et_7B_055360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9644451:9646718:-1 gene:Et_7B_055360 transcript:Et_7B_055360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDHPSMALYADLDALRASAADVRIVTSDGQTIAAHSYVLATESPVLAAMIAKGWRGWGASAECTVRIPGVASDAVLAFLHFLYAGRAAPPGMQEESVLGAHGAALLALAHAHRVGWLKRAAESAVSARLTPGRAVDMLKLAALCDAPRLRLACARLAGKDLAAVERSEGYRFARRHDPALELELLRALEDADSRKERWERGRASMEAYRQLGDAMDALDRIFADDHDGCCRGAPSSAADKTDGACRGLRLLMRHFATCARKIAPGGCARCKRMLQLFRLHASVCDRPEQEEPCRVPLCSHFKSRRETEKADKTWRLLVKKVTRTRAMASFVNRRKEVPEVVAMSWARYNSSISNRWAQFR >Et_7B_055266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8928485:8930301:1 gene:Et_7B_055266 transcript:Et_7B_055266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GNSPIRVEADLIKIYPAFFTRRAADTRRPTIATSSAAATSSYCAMLPSASLRAPRAAASSYPASRSRHPRPQTGAVRVSLASTCAGAPGELPASLLPNAQRRGLDPLWHGGGFSLGVDLGGSRTGLAVGRGITLPRPLTVLKLRGQKLELMLLDIARQQEADELIVGLPVSAHGSETPQSNKVRSVVGRLAVQAAERGLRVYLQDEHGTSIDALDFMISRGVKKSARDVKSDAYAAVMILERYFSSSGRGANIVLPKQPELQDKLISQARRDANI >Et_2B_020717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2362475:2369732:1 gene:Et_2B_020717 transcript:Et_2B_020717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAALSRVQALADAGVPHLPVQYVQPPEHRPASSSSSHRAAALSVPVVDLSSAFAADAVRAACADWGAFHVVGHGVPQELLDAMREAGLAFFRAPMEDKLRFACDPTRGAATEGYGSRMLANDDSVLDWRDYFDHHTLPESRRNPSHWPDFVPGYRDTVVKYSNSMKNLAQRLLRIISESFNLPPSYIEEAVGEVYQNITISYYSPCPQPDLALGLQSHSDMGAITLLIQDNVGGLEVMKDGMWIPVPSLPDGILVILADQTEIITNGIYKSSVHRATVNAERARLSVATFYDPSKSRKICTAPLLVSKDEPQKYRDVVYGDYVSSWYTKGPEGKRNIDALLIQQQWWCRAGWIRSENGGGVGFEIVMAELAVIAYLWMTSKRNATAQSYKDEKRRVAMLHGTLMAFGRVNGRA >Et_1B_010171.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27833766:27834194:-1 gene:Et_1B_010171 transcript:Et_1B_010171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVTRMLGNGRCEAQCIDGTRRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMNDEARLLKAYGEIPDTVRLNEGVVDEEDVGAQDDYIQFEDEDIDKI >Et_6B_050137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:712368:715418:-1 gene:Et_6B_050137 transcript:Et_6B_050137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGVRLIDDMDPIECESPAKVTPGNSSPTSDPYSCSPIPLPSTAPSPVDGKRRLLRAPRSGGGGGGAQWRNIGAVTFLRPQGQSLVLYAGPDGQPQRIVFAYPILPGDAFERLDGATLTWAEPESGDEFALCFADEAACAAVCGAIAPVMRSSAVDGVAETLAGLRVAKEEASPAPGGGDIAARLAQLSIGRL >Et_6B_048221.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:12776900:12777796:1 gene:Et_6B_048221 transcript:Et_6B_048221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFAALCSYILLLSINAPKTRSDSPPLQDVCPMAPQSERKLFMNGFLCKHPSTIEASDFKTLLLNHPGDLNNMVRSSVNMVTATEFPGLNTLGLSMARTDIAPGGAVLPHSHPRASEMMFVHGGSVVVGFFDTNGRQFQKTLGEGDVFIFPRGLVHYVMNYGFGLVTAFSVLNSQNPGVVGITHAMFAPDSDVVEGLMSRMLKFGEIGVSENSTADVPWTF >Et_4A_035115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9646120:9650561:1 gene:Et_4A_035115 transcript:Et_4A_035115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERGGAKVIGGGGGGGGIFNLFDWKRKSRKKLFSNSPEGTKLVKRSEEGLPSGRLHLLDEDEGLGVSSFKGSSDYSCASSVTDEEAREMKAPGVVARLMGLDAMPSTGVPEPYCTPFRDTRSFRDSHSLKRSPEYSMNDQFSNVPRRVDGYMRKPLDMRAQKMPSSPIERFQLEALPPRSAKPLPMSHHRLLSPIKNPGFSSARNAAQIMEEAAKRLESRPQTSSREKICSFSPARIPLRVSEPRESIPVSQRNVPLKAQSSRTPQELPDVRFSRGQQMNRSWNGEEDIVIFRPSVDSYEINPSCSKSNKSKSISLALQAKVNVQKREGLSGSGRNSGVQKEQDDQRTNQPFRSQSNHQRNKQQKKPSSSGTSSPVLRQNNQKQNSMVNRGNVTPNKSASTQQGRKAMAGDSSSGKAKSGSKLSKVGGRKDIVESISGDREGSSSNNKDFPQKKRLIERNNTNEKGTFVPEKPAGKLQKQVQPNVVMDEHIKWNKESKDSTDVVSFTFTSPLVKPSAGTSRLAGKWDTRNNFNLDSECDKDDSDNKAEGPSSMGLNFVNGDALSLLLEKKLKELTSKIDPSITFTRGDTFVPVTFNLEEPPTSSCSNWGSESGVFDCSPPEVKPSKYVDYCQSAQSSTKGQIFRGSKLQVEEPEECSSVSNARKEQEHEDLSPLSVLEPTFISESCWSSECSASSDGSKRYSSSSEVKNVPGNFFMNPPSPDIQAKTADSASSASLDASDISDVTQYSKKSRNTELEYIGDVLSSVNLTTDELGSLFVHQDGTALDPILFEKLENMNVYTQGKDHLGRRGYRKLLFDCVNECLETRRLTYFRAGYAAWSKGAAALSRGIETEVCKEIASWKNMGEWVEDELVDKDMSTGLGTWVDFRAEEFEAGEEVESEILSSLLDEVIGDMIVRRRQDCKFVKLDAFYGGQVYRTSRHQKPSYLSFSVLQYKA >Et_2B_020716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2341750:2342270:1 gene:Et_2B_020716 transcript:Et_2B_020716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFPDGIHERLRARWNGGYLHADEDARVPAPRVAGHRVVRGGAYVLLHGTAYGRYLALSPQQAPRGVRNGRAVSTARAPANWGWRGIEARRTVLSTRIRRRLTDEHLLPAANDEVKNVGRGDARPWRGGVLFAHQGPVAISINDHPWGCFGRWIANERQEPAGESD >Et_10A_000510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11971902:11975309:1 gene:Et_10A_000510 transcript:Et_10A_000510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEREPLLNGAAAKGSSSSVGALARTVLKFLMWALFLTWAAGIFLYPTKPAQAVFRNIIVGLTRDSLFGITGGVFLAFSAPILIVAALAYVYISFSPRDHVENKKLRSLRFRLWTFPVLVDGPFGVVSAAEFIGILLFIIYVVYSMTYYAVESVGLVSEFHLPKTFESKLILEVIGLRLGSVGLFCMIFLFLPVARGSVLLRLINIPFEHATRYHVWLGHLTMALFTLHGLFYVISWSMQGLLLEQMVEWKQIGVANLPGVISLAAGLLMWVTSLHPVKNRFFELFFYTHQLYVVFVVFLAIHVGEFIFSISAGAIFLFMLDRFLRFWQSRAKVDIIAAACRPCGTVELVFSKPASLRYNALSLIFIQVRELSLLQWHMFSVSSSPMDGRYHISVLIKVFGTWTDKLRSIISEVQEQNKSDYGSQSGRITACVEGPYGHESPYHLMYENLILVAGGIGMSPFIALLSDIIHRIEEGKPCMPKNVLVLWSVKKSKELSLLSAVDAQTIRSSVSDKLRLDIQAFVTQESVPPPEDGIVARDDVKVPGMFVKNGTTMSALVGTGDNFWAAVYFAASTLGFVLAYAAVQVYHVKPHNVVAWWYLGLLFVFCMVAGVALPGGLVVLLWHLSEKRRRLQDDSWDDAASTSHAVDGDDDATTATVAGLRTTRYGCRPNFRAEFAAFAERAGDAADVGVLVCGSQGLQTSVARECRARSLRRRGLGVAKSASRAVFHFNSHGFDL >Et_2B_020587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21656997:21659538:1 gene:Et_2B_020587 transcript:Et_2B_020587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRHLRAVARQRSHRRPAAAGDEFSVKPDAEVIRRNKAITAHMRAGRVLDAERLFTEMPRRSTSTYNAMLAGYAANGRLPLARSFFRSIPNPDSFSYNTLLHALAVSSSLADARALFEEMPVKDSVSYNVMISSHANHGLVSLARHYFDLAPEKDAVSWNGMLAAYVRNGRIREARGLFDSRTEWDSISWNALMAGYVQWGQMAEAQEMFNRMPQRDAVSWNTMVSAYARRGDMAEARRFFDAAPVRDVFTWTAVVSGYAQNGMLEEARRVFDAMPEKNAVSWNAMMAAYVQKRLMAEAKELFEAMPCRDVASWNTMLTGYAQSGMLEEASAIFDMMPQKDAVSWAAMLAAYSQGGFSEETLQLFKEMGRCGEWVNRSAFACVLSTCADIAALECGMQLHGRLIKAGYGVGCFVGNALLAMYFKCGNMEDARNAFEEMEERDVVSWNTMIAGYARHGFGMEALEDFDKMRTTSTKPDDITLVGVLAACSHSGLVEKGISYFYSMHCNFGVTAKPEHYTCMIDLLGRAGRLDEALNLMKDMPFEPDSTMWGALLGASRIHHNSELGKSAAEKIFELEPENAGMYVLLSNIYASYGKWRDVDKMRVMMHERGVKKVPGFSWIEVQNKVHTFSVGDCVHPEKESIYSFLEDLDIRMKKAGYVSATDMVLHDVEEEEKEHMLKYHSEKLAVAYGILKIPPGRPIRVIKNLRVCQDCHTALKYISAIEGRLIILRDSNRFHHFRDGSCSCGDYW >Et_7A_052846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19759260:19759640:-1 gene:Et_7A_052846 transcript:Et_7A_052846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALDEVSNGASKTFVNLRRVRFPCPTIVKPNDCSFLTMRLIEDYTADDGCLENVVNPFKSLELRADYLHYLLFHEENGAVLPDEFTEYIVLGVPY >Et_1A_006504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23334894:23338435:-1 gene:Et_1A_006504 transcript:Et_1A_006504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQLSCAGRLAAMLLLLAALAAGSGLPSPGGALLPSCQKDIDMLQTTCLQFVQKDGPKVPPSQQCCTAVKALSGKAVPCICNYLGSPAARENISMEKVFFVTKQCGVTIPGNCGDVYLTNHVEHIYKSKKSLEKTTNPCPVILFPPSPGVPGLEQLQPRLGIWQQFLNTHVLHHVSLL >Et_7B_054066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14926389:14932472:1 gene:Et_7B_054066 transcript:Et_7B_054066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPWATVVTGSITTVLGWFLAPIISLLLNRILFHIGFDASRKLQELDVHIIPKMKQALRDIVEQRIQRKARKERDAVSTLDKLAKDVKSALCEAEDILDLIDYHQIKKEKIIGHGEPQDRSWLDFWMQSISKSFRTWIACCQRSLFGKCVRTICAPLQRWVRSLHPVGRILHAALHRSALILPISDGPSVSFSWVLGQAMLTRVSNIAEIAHYYRNLSYEVVVGITSYQDDDVTVDFFLPIIARWTLRKRIEELENVVTNVKKSHFLNQQSSNGWNTSANINRRSVRSSTTRKVFGRDMEREKITNILHDGPDDDEPSASSSKCYSVVCIHGIPGSGKTTLAQYVCDYEKEDKNKYFDPIMLIHVSETFRVEDILHDMLEEMTEKRHSNINGCKELQAKLMEELRGRRFLLVLGDLWVTDENQQDLEEILAPLSVGKGGSKILVTTRNKEAALGAKRLISISDLNEEQYFSMFMHYALDSTIYDDRKYIPIGRKIAKKLCRSPIAAVTVAGQLWRNPDIKFWETTARLDMLNKTKGALWWSYQQLDVDVRRCFEYCNIFPRRYELERDQLVHMWIAQGFVKTIDAREEEDAEDVGQDYFHDLHSCAFLQLKRKTNSDISSGEFFTVHDLLHDLAEAIAGSDCVRINRGVVGEIPKHVRHLCIESYSEIAFPEKILELRNLSTLIMCYSVNQISQDDFKHVLMRLRKLRVVQLDIKWLNRIPTCIGQLKHLRYLGVSVPPPNQITLPAEFTMLYHLQELSVPPNTLLYCDSKDKMANLVNLRHMITWYGLNFPNIGRLTSLQALYHFYVKNEKGYEIQQLKHLNNLRGKLFIECLENIRSKEEAVQARLIDKVHLTELTLQWGGMDEISRRKQKGMMELRNLFASVTPAGVPEWRTCLQSCSAELQAEVLEGLHPPPQITVLCIRNYGGLRYPSWLSGEWSTRDRNTDVPDLQNLMFWDCGGSHMPTKIGEHFVHLRILTITGCNWASLPENLDRLASLEELKIHDCPNIESLPLLPLSLTKFELAGCNPSLTSSCRTKEHPNWRKIRHITKKLLRSEGLVLL >Et_1B_013761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15588158:15588623:1 gene:Et_1B_013761 transcript:Et_1B_013761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQATPKSDVFSFGVVLLELLTGIKPSDPRLRSVINGRKTPRVDPKLGKQYPLTRACKLAMVAMQCLQQRPASRPSMATVARDIEFGVVREETAAVQGCSGTALESGSASS >Et_4A_033563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24436176:24440536:-1 gene:Et_4A_033563 transcript:Et_4A_033563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSVILVIASFVPFSASDRQGDALYDMKLKLNATSTQLADWNQNQVNPCTWNSVICDNNNNVVQVTLASMGFTGVLSPRIGDLEYLNVLSLPGNNITGGIPEQFGNLSRLTSLDLEDNLLVGPIPSSLGKLSKLQLLILSQNNLNGSIPETLASILSLTDIRLAYNSLSGQIPAQLFQVARNNLTCGANFLHPCASNVSVPGSSRGSKIGIVFGVVGGVMGLLILVSLFIICNGRRKSHLREVFVDVPGEDDRRIAFGQLKRFAWRELQLATDNFSEKNVLGQGGFGKVYKGALSDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRLREFKPGEPILDWSARKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGHLNAIVDRHLNGNYNGQEVEMMIQIALLCTQASPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQDYERMQQRFDWGEDSIYNQDAIELSAGR >Et_2B_020360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19361115:19366036:1 gene:Et_2B_020360 transcript:Et_2B_020360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAYRIILLVLSASIAIGQNATGREPQEVHVGVILDLGSLVGKIAVTSISLAMEDFYAAHQNYSTKLGLHIRDSMGGDVRAAAQDLGNKSHVPVISMATSPSLSPSSLPYFARATLNDSAQLNCIASIIKAYGWRMVVPIYEDTDYGRGIIPCLVDALQEINAHVPYRSVIPPSATSEQITKELYKLMTMQPRVYIVHMSSALASVLFIKAKEIGMMNRGYAWIVTDGVTNLIDSLHPSVIESMNGALGIQFYIPKSTKLNNFTTRWNMRYQVDNPTNAPLKLNIFGLWGYDTIWSVALAAEKVGLVNATFRKPTATKNLTNLEALKTSNNGPDFLKAIMQHKFIGLSGEYDLSDRQLVASTFQIINLGISRKLNESKPATIYSSSPPDLNPVIWPGESIDIPRGFEIPVSGKKLKVGVRTSGYPEFIKVEKDQITGAAKASGLSVDVFEEAVKMLPYAVPYEYVLFGSPEDTSSGSYDDFVYQVHLKMYDIVIGDITIRYNRTFYADFTLPYTESGIAMVVPVRDRVNKNTWIFLKPLTPGMWFGSIMFFIYTGVVVLLLEFLGNNENVYGLIPRQLGIMIFFSIFEENRTWNTLLSIVACELLKLFMLSAQALAKGSPLLGDISKAILNVTGGDAMIQIEKKWIGYQNDCQKVGPVIGSSSLTFDNFRGLFILTGAASTSSLLIALIMYVYKKKHMLTQIMRDDNKLLEENRTNAENNEPHEENQGAEEQVNLRADIEANDQTHEQNGSEQASDRNLRTSTVMCDDASAAIHRGEPSTVLQTE >Et_3B_029775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27830266:27838606:-1 gene:Et_3B_029775 transcript:Et_3B_029775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSLPSQLKLIVDLSIAIGRGELSSGVNKCTRAVSSTKAEMGSSYFRPCSVLVAALVLLSVNAAEATIREYQFDVQMTNVTRLCSSKSIVTVNGQFPGPTVFAHEGDLVVVRVVNHVPYNMSIHWHGIRQLRSGWADGPAYITQCPIQSGQSYVYKFTISGQRGTLWWHAHISWLRATVYGPIVILPKPGVPYPFPAPHKEVPLVFGEWWKADTEAVISQALQTGGGPNVSDAFTINGLPGPLYNCSAKDTFKLKVQPGKTYMLRIINAALNDELFFSIAGHLLTVVDVDAVYVKPFTVETIAITPGQTTNVLLTTKPSYPGATYYMLAAPYSTAASGTFDNTTVAGILEYEEPWSSPSGAAFNKNLPAFKPKLPKINDTSFVANYTAKLRSLGTAEYPVDVPREVDQRFFFTVGLGTHPCAVNGTCQGPNNSSRFAASINNVSFVLPTTALLQAHFTGKSRGVYAPNFPVAPLVPFNYTGTPPNNTNVANGTKLVVLPYGTTVELVMQGTSILGAESHPLHLHGFNFFVVGQGFGNFDPAKDPAKYNLVDPVERNTVGVPAAGWVAIRFRADNPGSFLMAAVMLLSSIVQAQGITRHYDFNVTMANVTRLCASKSIITVNGQYPGPQLVAREGDRVVIRVTNHVQHNISLHWHGIRQLRTGWADGPAYITQCPIQTGQSYVYNFTITGQRGTLWWHAHISWLRATVYGALVILPKLGVPYPFPAPDKEVPVIFGEWWMADTETIISQATKTGGAPNVSDAYTINGLPGPLYNCSAKDTFKLKVKPGKTYMLRLINAALNGELFFSIANHSLTVVEVDAVYVKPFTVDTLVIAPGQTTNVLLKTKPSFPGANFYMSALPYSTTRPGTFDNTTVAGILEYQCPEEPSSSPSFNKALPLLKPTLPQMNDTNFVGNFTAKLRSLATAQYPAAVPQSVDKRFFFTVGLGTLPCPGNASTCQGPTNTTQFAAAVNNVSFVLPTRALLHSHYTGLSSGVYSSDFPNAPLTPFNYTGTPPNNTNVATGTKLMVVPFNASVELVMQDTSILGTESHPLHLHGFNFFVVGQGYGNYDPVNDPASFNLVDPVERNTVGVPAGGWVAIRFRADNPGVWFMHCHLEVHTTWGLRMAWVVLDGSLPHQKLLPPPSDLPKC >Et_7A_051518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:196242:203888:1 gene:Et_7A_051518 transcript:Et_7A_051518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDAAAAPPPEDEEALLARAQAVIARVLERETDPNPRLLHTLATLCEVHEDRYLQLCASNPVFNNINTRSSYTIGKLANLLRDNDEFYELVFCKFLSDTSYSVAVRAAAARLLLSCHSAWTVSFSPLLSSSTRASDATALTSFYFPQPQYPHVFEDPIIENIKNWVTQDAESSNECEWKYLGRDKPTDADMLRTYAIGLLAMALCSYVSDIPFLSLVSGGALVEGVLNLGISAKLMRFLRIRVLGDASSSQKDANHPTDTKHTRARDENRGKSRLGQDSSRLDGPRLGLGLFTDHAVGKDDDPGVGMRPDHADEDVSETDRINGLSDGSNICDTKSKPGERHPVTRLGRDEDIGENGELLKRKLSRAGPRVKVKGKPGESLPDLEITPLSPTSGLRIGGRANRDRNAARVEDPKKTTDADNSFAGLESVGTISREEYEDRFRDCIIGLKDISGIVLKAVRAAEAEARSANAPDEAVKAAGDAAAELVKSAASEVWKSGNNGDAVVLAAEKAASTVVEAAMSTSVSRSSNQVGEERAAEEPVQTSEDKELEDFVISDHGQLLQLREKYSIQCLQILGEYVEALGPVLHEKGVDVCLALLQRSINDKEGRSHFLLLPDVLKLICALAAHRKFAALFVDRGGIQKILSVPRVTQTYTSLSACLFTFGSLQSTMERVCALSSDTLDNVVELALQLLECPQDLARKSAAIFFAAAFVFKAVLDLFDARDGMQKLLDILKGCASGSGGSAGGLGSSNVNQGTDRSSAEVLTSSEKQVAYHTCVALRQYFRAHLLQLVDSIRPSRSARSIARNTSRAGYKPFDIGNEAMEAVFRQIQRDRKLGPALVRAGWPVLDRFVASNGHITMLELCKAHGDRYLRDLTQYAIGVLHIVTLMPSSRKWMVQATLSNNRVGIAVLLDAVKSFDYVDHEVICPALNVLVNLVCPPPSISNKPSTAVTQQPAQASVGTSSEIRDKNVEKSALDKNLTVNQSESRERPGDSSTARQGNTTHTGTPVVPSGVVGDRRITLGAGSGGPGRAAQLEQEYRQAREVVRANNGIKILLQLLSSRMVTHPVAVDSIRALACRVLLGLARDDTIAHILTKLQVGKKLSELIRDTSAQTSGGDSGRWQTELTQVAIELIGVLTNSGKETTLAATDAAAPALRRIERAGIAAATPISYHSRELMQLIHEHLLGSGFTATAALLQKEAGLSPLPLTAAVLPAHQVPALESSCVQQPWPSGRVHGFLSDKTNVTMNQTGRVSDSVLASSKKKALTFSSSFSQKTQSPNLFSGYRTSGAPKSPVPTDTGDAEISHKTPLSLPHKRKLVDMKDLNSASVSKRPATTDQAFQTPAPTRRGLSVVVDSPTALYSGRTNFNNITESMDNSQGTPGVVTTTPHPGVNDQQSGNLERMTLDSMVVQYLKHQHRQCPAPVTTLPPLSLLHPHVCPEPSRSLSAPANIAARMESREISREFSGIQVPRRDRHFIYSRFKQCRVCRDESSLLTCMTFLGDASRVAAGNHTGELRIFDCNTADLLDTQACHQHHVTMMESTYSGGNELILTSSLNEVKIWDAFSISGGALHTFEDCKAAKFSHSGALFAALSTDGSQREVQLYNVQTYNLDRRLPDNSTHSGSGRGHIQPLIHFSPCDRMVLWNGVLWDLRAQDPVHQFDQFTDYCGGGFHPAGNEVILNSEVWDLRKLKLLRSVPSLDQTVIKFNGTGDVIYAILRRNLDDVSSAINARRVRHPLFPAFRTIDAVTYSDIATVQLDRCVLDLTTEPNDSLIGVVAMDDHEELFSSARLFEVGRKRITDDDSDPEDAGDTDDEDDDNDDSDDDILAPVLGGDTDSELSNSSDDGGDDEIASSDENDDDPEFIDDGDLGGGLLEIMGDGDGDDDDEDDDSMVGSFSSDGEGYLM >Et_8B_058979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11889429:11892504:1 gene:Et_8B_058979 transcript:Et_8B_058979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPERRPERQGRSSLEKKTTTSRLPLDGNLSPSATINPLVAVGVRGARRGLSRHQALAWYLRHAALFGRSITEAVAEALGVTEAVEANAKDSGGSPAASSRDVVFELGREEEEEKAARQEEEEEKEAAGREEEEGRRKGGGSLGRSMALTCGVLDKGGEIGVRPNTKVGGSVLTKRYFSSYVEHAHSYRPPDRPENVQMLVMISESERIDGLSPLFCIIDIMLKQKFAGVISGNGMSPTRYCITSLMAGLAAANGCVHRRPSFSTMLTSSLL >Et_10A_000799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17547963:17556022:1 gene:Et_10A_000799 transcript:Et_10A_000799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDATTHRSKKRPRPRHVPTEILLEIVGRADPATVVRCAATCKELRRRIADPAFHGCLRLEHSKCFVPTLLQGYLVDDWNKDLHLVDSTSAHATNLRLGLGGRECRAIAARGGLVLLRLLNGGLCVCSPATGRSQSLPPEPKFNGTYVLLVRDGGVAGRSFKVLKVNSSFISGGLSILQIQTFSSENQTWGPLTDVETPMMYGLTGLRRQSKHLVIGNTVHWLCYSDKKYHVVIENEALFTFAKESGMMQVSLGRVQLDWFAERSGVVLIRTSLWVSDTVCNSFVRSTLRHSYFWLDLGCKEIIGWSNGHWNIGSKSRVSCKVGCPYEIDLASWVPTLCKTF >Et_2B_020958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25153353:25156693:-1 gene:Et_2B_020958 transcript:Et_2B_020958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMNSPSMVEARLPPGFRFHPRDDELVLDYLAKKLHGGGGSLVSIYGCPTMVDVDLNKCEPWDLPDIACIGGKEWYFYSLRDRKYATGQRTNRATESGYWKATGKDRLISRKGLLVGMRKTLVFYQGRAPKGKKTEWVMHEFRMEGQCDPTKLHFKEDWVLCRVFYKSRATIAKPPTESSSYNIDTATTSLPPLIDNYNISFDQPSSVQNLEGYEQVPCFSNNPSQPSSSMKAPLSSAMAADQEQHMGKSVKDVLMSQFSRFEGNVKRETPQSNFSQDGFEYLAESGFTQMWNSFN >Et_1B_012064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28628387:28633370:1 gene:Et_1B_012064 transcript:Et_1B_012064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEVDIPSYTVSYKPQELISKVSFTVRELLQKKIPGSCSHAQFKSDVMKPLKIEEVMDSQVANLSGGELQRVALCLCLGKPADIYLIDEPSAHLDSEQRLIAAKVIKRFILHGKGAAFIVEHDFIMATYLADKVIVFEGKPSIACIANAPEPLASGMNRFLSHLDVTFRTDPTTYRPRINKLGSVKDAEQKAAGCHYYLDY >Et_4B_038150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26803361:26811826:1 gene:Et_4B_038150 transcript:Et_4B_038150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPSGSVRLVAAFGLLLLVSLLVLHGRGPASSPAAGSPGRRRSRADNPAALFLSLSAGANASIAADLRALTAGPHLAGTPPAARPADYVIARFRASGLQTLTREYTPLLSYPARASLALLRPDRSLLARLSVEEPADVGRRFVQPYHAYAPSGGAVSEAVFVNLGREADYLALERLGVSVRGRVAVAVRGGGYRGGVVARAAEKGAVAVLIAGHADGGVERGVVLLGGPGDPLTPGWAATSGAERLGFDNEVVIRRFPTIPSMPVSFKTAVSIVRSLGGPAIPAEWNNASSFGVDVGGVGPGPTLVNFTYQEDRKLATVRDVFATIKGYEEPDRYVILGNHRDAWTYGAVDPNSGTAALLDIARRLGIMLQSGWTPRRTIILCSWDAEEFGMIGSTEWVEENLADLHSKAVAYLNVDCAVQGVGLFAGSTPQLDKLLVDVTRQVKDPDVMGKTVHDTWNEMDGGINIERLARTDSDFAPFLHHAGIPCVDLYYGKEFPGYHTALDSYNWMERHGDPLFLRHLAITEIWGLLALRLADDPVLPFDYQTYASQIQEHANAFSVVMNNSQSVNLMNGFISDLSHAAKEVLKEAKKLEQLDLHDEYTIVRRRLLNDRLLLAERSFLQAEGLKGREWFKHLLYSPPEDYESKLSCLPGIADAISRSGNLTVEERQLTLQHEVWKVSRAIQRAASVLRGEFSQQNEPSSSSFAVDP >Et_5A_040409.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20137495:20137680:-1 gene:Et_5A_040409 transcript:Et_5A_040409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAALAPRHGRAGLPPDRAVPRQLPATKSRLRLPTYEPKNKISAPVPDDAPLDLHRRSRD >Et_2A_017617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4100086:4101357:-1 gene:Et_2A_017617 transcript:Et_2A_017617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFNNFMDASLHPPALDHQPADEAGCPLSWAMLDTRAYIADRRNATTAYGRTSTGVEFQVTFCTAPPPVVSYFCVWCPEEPNLDRLHPEPRILATEADIVVLGVTVCPPNCSYGSQSEIFVYQAGDPSLHRVVGHTGIHLYFNIGILHQPDCNGNTKIDDPFYLIGLHPSERPWDKFRHSASKVVMIGEEDLMGFVDLWRGILVFDVLSCTRLYHIPLPEPLVKGKQHRHPDDPTMSRDIAAVQGGIKVVDCSRCPASGHWKASVWSRKATSREEEDWKMEHTLEICDDLVDSTTTLHLELLPVLDVDGVPRRTLEGLHITYPKLSLNDDDIVYFMAKVDPRDENAWVLAVDMRTNKLQDVGVFRAKISSGAASPYVHSTVMTSRITKYLSRP >Et_1A_005241.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35084607:35084915:-1 gene:Et_1A_005241 transcript:Et_1A_005241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAERDAGADAPAGTKWYELEVHPPEVPSTLLKPLRHELVGLVPVSGVPAYRPRVHEHRGSFWYVEPEHLARGLALAREQQRRRVEPERLLDDEPQVVQVG >Et_4A_032455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10244880:10245760:1 gene:Et_4A_032455 transcript:Et_4A_032455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DGSLLISVSPSSIPIRRSPLPNPSLHPPRSYLGFPHLPLSRRRRAVQEGSPGTVLELKLVKESLFLNVLEAILDLGDVASVSCSSTGLKLQAMDAKSVAFISLLIPAKEFQLYHCDKGLSMGIAIGDMVAAIRSADKDGTITIKASDENFRNLTVSIESPSKFRKLPVLRPDPNGMVLRVAVCRLWLSSFSIPELQVWLKNYDACVQMPSVDFMRICKYLSNVDGDVDISTIEKGIRFFASGKRGCVNVKYM >Et_1B_011985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27868931:27873921:1 gene:Et_1B_011985 transcript:Et_1B_011985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEAEGKVPAAAASVLVATVRGARRMPYCLVSGSAAAAGSGRQEEEEEVRIFYQRYGHGATKVLLIIGAYTAAAFSSSPVEKTFSNPDDPLVRAHFRNAGFAGTHDSWGPQVKGLTGAVDPVDEEAPADDGDGGAAADEGVEVCCFDNRGVGRSSVPAQKSQYTTAIMANDALALMDHLGWRRAHVFGHSMGSMIAAKLAAMAPERVASLALLNTTGGGYQCIPKVDWHTISLACRFLRARTPEQRAILDLEVHYTREYLEETVGSSTRRQMLYQEYVKGLSSGGMQSRHGFEGQLNACWTHQLSTKELDRIRSAGFLVLVIHGRYDIVAQLYHAKRLAEKLQPAAKLVELHGGHLVSNERPAEVNMSLMELIKASRSNTDLKEWSNIPNNSDGILVGSPGCLTRRNGDSVNYFMLTYNLLGKLQLILLFFFGVFYVILEHTRRIIRILKPVRVSASTL >Et_2B_019638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11593523:11620891:-1 gene:Et_2B_019638 transcript:Et_2B_019638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVVGSPGTGSGLALRVSQCVCAAASFATIRAWVDIGSLRNNTDLHNLDHVGMVLTLDWVTHSHHFICIYRCLCWRSNLFGEGFQPLQVKPTSLMRPIQAFCHPRVYDMIIHSCIGYGFILANGFTYLMKDIVGSPGTPSGLALRVSQVVCALGSLIAMGNAFGFSNYTAYLYSTFAMSLELLWSFILMCIDIHALKHNRDLHRFDNAWKYVLGDWIFGVLAFAAASAAGALDILMERDVQFCNSYPYLACSRYRISVILTSLAWSFLAASAASTFFLKQNTTTSGKANTHEGFVLAFLQAAAQGRAKKDVAKERAPEPPKATMLTGSRLLLLHCLHRHIYITTITITGI >Et_2A_017150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31375367:31378350:-1 gene:Et_2A_017150 transcript:Et_2A_017150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLALDAALLVLLLGCAGAPAVQAAGNVTVPSSATSLAGCRKTCGNLSVEFPFGIGHGCFRDPDFELICNHSAQPPKLFLRDGNTEVDGIDSLYYKDAMGTGLSVDISRSIPMIPGVDVYNMSWKIPGRSFAGSTLIQMFFTGCNFSTYLLDDVNNLKVLICTVTCPSEGITKTVDMEQCNGTGCCTNVFGNREDFISSLNLQFVRLDRGHKAQSPNRGSLWDRIDVHTGDMMLSWAVSDEPNCAAAVKNKNNYACVSEHSTCSDNYNYPSYMCLCEKRYLGNPYVPDGCFHEPAYNPAPRRANCSRSCGNISVPFPFGLEEGCSARTEFQLNCSDTVSSSLHLPSVEQDPLDFFERIAEVAYINISEGVMGLKQTANNDTTVAGALFSTSTLTLQELRWAVANLSCQDAQQNITTYACVSSHSTCLGLNATDFYSNGNNLYIGYRCQCMTGFQGNPYVPNGCQGPRSHT >Et_4B_037299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17791124:17792061:1 gene:Et_4B_037299 transcript:Et_4B_037299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCASKKCDDPPVAAGAAARRRSEARDPPPPPPEEETGGHARVKDGGGHHRARSRAVRAASVSEERSEAASESSAATTAAGPERSPAKAAAARRRGAASGELVARARRERDRGAAAGRPGGGRASPSPPPPRRRDTGERPARRSPSPAAKRMPQEQRRAGAAASVSSTSSGTQRKPPVPPRPCGRASPRRAHEAPTQSRGPTRSSSPAPSMQPGVPARPACPPAPTQAQAPPQDNAVAPAAGGGDGEGNESLENPSVAMECFIFL >Et_7A_050261.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16499119:16500243:1 gene:Et_7A_050261 transcript:Et_7A_050261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQGERELQLQLLPPTPASRRGLYDVVPAASLATSSPPDHPQLDLDLSMSIGPRHPPPPPPPPPPATPSPPAAATENNRRAAAATAVARHQQHQHQHQQRHQQAAADVRAVKLHAAEQARMASAERAYAERVRELARRELEVAEREFARARAIWDRAREEVERVERMKQIAARRLVGTAASSAAALEITCHACMQRFHP >Et_3A_025234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28121778:28124935:1 gene:Et_3A_025234 transcript:Et_3A_025234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRRLAWAGRRRGRGLAGLASSGVPREAADAVVVGAGVVGLAVARALAMAGREVLVVEAAPSFGTGSSSRNSEVIHAGIYYPPTSLKARLCVRGKEMLYKYCAERGVPHKRLGKLIVATGAAETSKLDMLLRNAKESGVNDLQMMEGSQAMEMEPELQCLKALLSPSTGIVDSHSFMMSLLADAENLGTTISYNTAVVGGHVGYDGLELHISESKELQNDSSESHVSPQLTLLPKLLINAAGLSAVPLAQRFRGLNQESVPTAYYARGCYFTLSQSKSPFSRLIYPLPEDGGIGVHVTLDLNGLVRFGPDVEWIDGGMDPVSCFLNRFDYSVNPQRCSRFYPVIRKYFPNLKDGCLEPGYSGIRPKLCGPGQPPSDFIIQGEDIHGIPGLVNLFGIESPGLTSSLAIAEHIISRYL >Et_2B_022309.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17602614:17603126:1 gene:Et_2B_022309 transcript:Et_2B_022309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSMPAPRDSLMAYLLYNTVVSIAALAGLVRAALVFLDLQDALLPGDDGDQLASAGPGSAAAHAVAAAAGPGSTAERFRSSFPPARFGAMVGCEAAAAADCRVCLARFETEAMVNRLPCGHLFHRDCLETWLRYDRATCPLCRARFLPLADEPPPSVPAAAPLAAVAY >Et_5A_042503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16204152:16208713:1 gene:Et_5A_042503 transcript:Et_5A_042503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTPTSSPLFGTPSSAPAFGTPSSTPAFGTPSSTPAFGAPSSTPTFGTPSSAPAFGTPSAASPFGTPSSTPAFGASSSAPAFGTPSSTPAPTFGTPSSTPAFGSLFGTPSTMPAFGAASSSPAPSLFGFQQQATPSPSPFGLTGGGGGQITTQMAPVAPLPMAPSDRDIQAIMEAYKEDPGNPLYAFRIMWAEAMGKLECMDSADRERLWPQLVQGFKDLSGRLKLQDEVLASDTERLSMTHSNVKKLQRHFQADTYPWIQRLKQQELFLRIVEALENRGYRIPLTKEEADLYERLVAILKRLKGPNADLSKRVNTLVSTSRLLASTGGAGGQVYIPNSAKVDERSVTELLEVLQQQTEAVAKLGNVLKRDIRDLEIVQSEDTDMAEDSVGRRTLRM >Et_6B_049374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4063599:4067824:1 gene:Et_6B_049374 transcript:Et_6B_049374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVWPHLVGVRLRCRRWACGGGVRLVQLLFRILLLVLLVVLGSNDGADSTGFKVVELAADSMEDGQLDLPRASLDGGGRRWRLKVQDLKSSGRGPGRWASGWVSTLTLLKAFVRRGSSNLRGRLASLSAAAADDGDFGYILSKKRSSILRIGPDVLASLCLQINNLRISGELLDSRPATYLEPLARPHAVICNSARSMDDFTFPTLRAGRQCKELASPFPHQLGLGGSPPPWFAAIVAGGGGDHGGKEEDKMDMLWEDFNEELASVPPLCPLSPLIDKRGPVMTKEAWLGDDDVIVAGDMEKRVGRLLRAHDGRVVRRRRWSLLLMIRLLKKLFLVKRTRNPRTAPI >Et_2B_018956.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18812333:18814030:1 gene:Et_2B_018956 transcript:Et_2B_018956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKALPPSTIAAAAAILRREGPLAFYRGFATSLAGTVPARALYMGALEATRSAVGPAALNFGAPEPAASAAAGAVAGLAAAVAAQVVWTPVDVISQRLMVQGNPCPASRYRGGLDAFRKILASEGVRGLYRGFGMSILTYAPSNAVWWATYSLSQKIIWSGIGCYLCEYGVGVQEIDLGDGDSSLQPGCKTIMVVQGVSAAMAGGAAALVTMPLDTIKTRMQVMDVDGEPITIGRTVRRLIKEGGWGACYRGLGPRWASMSLSATTMITTYEFLKRLSAKGHESGLA >Et_3A_026331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:726670:728510:1 gene:Et_3A_026331 transcript:Et_3A_026331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRPKPTPANAPAEVIFDPSAHGSRKSRRPGAPAASSEWHSFMGSSLSDMYRKPVVEQSADTSDEEPDIDIQKLLKDVELFGASTYKERKKIENRRVVELGGKAVKKHRTPLSVAKPALKNQKKRELRKTEEEKLLGIYRKRDNKNNKPQKTRPEDRVLRATEGHFKNGILNVKHLMGPPKSSNRDAPEPKMRKGGRKGKGKQKGGRRKRR >Et_3B_028128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10645886:10651892:1 gene:Et_3B_028128 transcript:Et_3B_028128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMASLDAISASSASLGLRTSRSKVLFISSSCRWWMRRRRWEGKATNRSNRSSARARPVVQPALFSPVAMEWQECTTELEVDIPCSVAYQCYLDRENTPQWMPMISSVKILEDKPDLSRWSMKYEILGQNVEYSWLAQNLTPIKNQKIHWRSVEGPSNRGAVRFFPKSPSSCRIQLSVGYEVPEMFALVTSALKPFVEGLLLKGLESFATYAKEPMAALCYHAAAGKASLDGVAATSPSLCLRPSQSKATFISSRWWMRRRWEGKVTSRRARARARPVVRPALFSPVVMEWQEGTAELEVDVPCSVAYQCYSERETIPQWMPFISSVKILEDKPDLSRWSLKYEVLGRNVEFSWLARNMTPIKNQKIHWRSLEGLPNRGAVRFFPKGPSSCRVQLTVSYEIPEILAPVASALKPFMESLLLKGLERFAAYAKERNSKIPQP >Et_5A_042687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25849363:25856461:-1 gene:Et_5A_042687 transcript:Et_5A_042687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTRSPGIDDQVVRVAARRRLGLCSQGRSWKSAAAAALRGRRRLAIDPQSTPTLTFHRKKLTLEIFHLKH >Et_2B_022676.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3056471:3058180:1 gene:Et_2B_022676 transcript:Et_2B_022676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDRQDEVRWVVQIRRSLEEDGAPGGDDDDNGIPVSVFNVPKPLQVHKPEAYTPQFIALGPYHHWRPELYEMERYKLAAARRAQKRLRDGARLDGLVQQFARLERKVRAHYHRYLDFNGETLAWMMVVDGAFLLEFLQIFAAAAEDAAGGGRLKRVSSRMAHLVDFAGRKSAHNLILRDMLMLENQVPLFLLRKILEPQCVSAEDAAATLQRMVTGLMKELCPFKMMENFPAVDAGKHAHLLEVLYYLLVPKPAADAGAHHDEGYDIEEQPVDGGNGEAEEEKKPAAGGGEAVKQLLGAVWGIVSGLKSKRLRYVTKPIAFAVKAPWKMLTVLPGFSAIKNPVESFFNSGNGGSTHPVADPSNAGYLTRPPLIEEIMIPSVSELASVGIQFSPTTGDLSSIAFDAKTVTFHLPVVTLDSNTEIMLRNLVAYEAAAASGPLVLARYTELMNGIIDTDEDVALLRQRGVVLNRMKSDGEAAKLWNGMTRSVRLTKVAFVDSAVEEVNHYYNGRWRVKTKRFMRKYIFSSWQLLTFLAAIMMLLLTTLQAFCSVYTCSRWFGAVVVPTAD >Et_5B_045068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9058794:9064826:-1 gene:Et_5B_045068 transcript:Et_5B_045068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSTDKSSAETETSASSASERFTDEQVSGLNKEKECLTASVLDQDTAKSSPKSTESPEISSKELEDESNVKVKVLSERLSSAVQDIRAKDDLVKQHSKVAEEAVLGWEKAQKEIASLKTQLSAASATNTALEDRLIHLDGALKECVRQLRRAKEDQDQTVQDALAKQARQWESEKTDLELRVVELTARLEAKSERSSVASDGDAALEKENSALKAQLRAKTEELELRTIEKELNRRAAETASKQQLESIKKVAKLEAECRRLQATARRPSLNVELRRTPSSVCAESVTDCQSDCSDSWTSAALINDLDQSNNDKGNASKRSASFAAADIGVMDDFLEMEKLASATTTDSSKGDDAEDASAQLAKLEEKAKKLAAEKAEREKALHEAQRELRVSRHRALVAEEKTAELQRQLNLANGEKNAMVAEMEAAEAKRSELERKLELARAEIAGLLDKGRILEERVESEKTLTLELAAKYQDMEALGAEKRELSAQLEASRSEAKKLSDKISMMERKLEVEKALSIRLATKCHGVDALEAKKKGVELELESAREEIASLQKKASSLELKIQEEKASSDELASRCQDLEAQCKQGEEFKSQLESANSQIVELNEKVKTLEDTMEKHMPMTMDLESQLQTKQAEISSLKENVSLLEKKLESQKNLSAAYISALGASEDEKKKLASQFELKEKEVEELREKMSLVEEQMHKEREKLSEFAARCQKMEEQLSSRSLLGHQSVKPVAIKDLQTRKEKELAKAAGKLADCQKTIASLSSQLKSLADFDEFLPGTENEGASPSAWDDDLKLLHPANYPAQIASTKGSGCLDFVSP >Et_5A_042328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8828665:8834958:1 gene:Et_5A_042328 transcript:Et_5A_042328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSRLRVGTLVPLAKDKAVSSNGSVSSIPIFEGSNVVGRHHLVALDKRISRKHLSLHASAAGSIEVVVEGPNPIIVRSDGQRRKVCAQEKAKITHNDVLELIPGDYFVKYMGIKDENKNYVPVESSELKKGKRHIEENGAAVKRNRQIMEDEALARTLQESFVEASVNVAGMASGQTLSQLDSAGPSKRSNERMHSAGPLTFRLMRVQGLPSWANASTVTVQDVIQGEVLLAVLSDYMVDIDWLLTACPSLRKVPHVLVIHGEDGASLELLKKMKPANWVLHKPPLPISFGTHHSKAMLLVYRHGIRVVVHTANMIHVDWNNKSQGLWMQDFPWKDAKDINKKVPFENDLVEYLSALKWPEFRVNLPVVGDVNINPAFFRKFDYSSSMVRLIGSVPGYHTGNNIKKWGHMKLRTVLEECLFEKQFCKSPLIYQFSSLGSLDEKWMNEFAYSLSAGKSDDGTPLGIGKPLIVWPTVEDVRCSIEGYAAGSCIPSPQKNVEKDFLRKYWTKWKADHVGRCRAMPHIKTFTRYNGQNIAWFLLTSSNLSKAAWGALQKNNTQLMIRSYELGVLFLPQTLESVPQFSCTEKNRSDLDQIAPGEKIRTKLVTLCWKGDEEKERSTEIVRLPVPYQIPPQPYGPEDVPWSWDRSYTKKDVFGSVWPR >Et_3A_024502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21378854:21382701:-1 gene:Et_3A_024502 transcript:Et_3A_024502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DPNFAIEGMSSTPSSQPSASSGGASTSASENARPPDSATNSAGPARSILSLQLDQRSLHFSVNAWILIVALIGILPLAPQSLQYKGYRLSLLGTTCTTGYPLFAYYRPPRAGNLQTVQAWCHHVTSSKDFIPFMYCLMFVTSKLRLKLVLVPVICWALEHVAKFLRRHFTNSSLYRTYLEQLCTWVETNITAVHFLCSNAEILLGFLMILSLFSRQRNAMQTFMYWQLLKLMYHSPFTAGFHRAIWLKIGRTINPYIHHYAPFLHDRINAGMRWWFR >Et_4A_035849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7205572:7206267:-1 gene:Et_4A_035849 transcript:Et_4A_035849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSNAENERFERALAAYGTDAPGLWERVAAAVGGGKTADDVRRHYALLVEDVGDIETRYGSASNGNNRNNRLARECVYK >Et_2B_020051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16436488:16439296:1 gene:Et_2B_020051 transcript:Et_2B_020051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSASSNRPAFFLNRVGLVGVVWVSRCSHLGGERSTETGRGAGKAPEESLKKTIEVDKLIDMLREANPRELDQIVVENILAFDPGFWKDYEELAENVMNIVDRLVHKTNQKIDQSTDVLKAILNPALSEGEDVKWPPRDPDTLKLMEKEISHREQEGQLDEGFLSEVNAQLRQAKQDGDKPGLEAMLQKVLQIYASKSLQKRSYAYKGGQVVVPEKFLESIIRAPENEWNRVLLDGLTVGKGDVSPDELYAVINKRIERVLIRTEGGSYQQRILTEYLKEIQARAEEVVKVLQGPTI >Et_2B_021188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27297177:27300680:1 gene:Et_2B_021188 transcript:Et_2B_021188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAATRSMSRRVPAAVAVFVLYLSLPPAFPFGAVVVHRAAAQELDDEGEFSYRRDAGNGPDRWGIIRREWSACGYGHLQSPIGLSDTVAGLADRPGRLGRTYRPAAASLVNRGHDIMVRFNSDAGGVMVDGVAYRLRQMHWHAPSEHAINGRRYDLELHMLHQSADGNKYAVVAQLYRIGRRRDRTISRIEEYIERIAKRKGHEELIDEVVDPRRPVRGSTIYYKYTGSFTTPPCTEGVTWLVAQKVRRVRRRQVRLLRNAVHDVSDFSTSPVSLSVVTAPQFHARCVKLTGEGRGGARRNARPLQEANGRGVGFYYTSPAHGMEHAGGRRRPCRLPLPRRRPPPPLRRHPPRNSAAGDSYRRNAANGPTRWGAVRKEWATCSTGRLQSPIILSSAFVGLDGRAGRLGHAFSPAAASVVNRGHDIMVRFYSDRGGVVIDGVAYRLRQMHWHSPSEHAINGRRYDLELHILHQSEANNTAIVSQLYRIARNRRDRTIHRLEQYIRRIARRKDHEVLMDEPVNPRRQISGNNVYYKYNGSVTTPPCTEGVTWLVANRVHAYASTTNDQVVRHVTRRQVTLLRDAVHDGARRNARPLQEANGRGIGFYYTTRTHDRGTTTGN >Et_9A_063338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20638633:20641288:1 gene:Et_9A_063338 transcript:Et_9A_063338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLPTAEAEAGSGAVDVSAEGTLVWLRRPNGTWWPSIVISPLDVPDGCPAPPRCPAVPIMLLGRRDGTTFVDWCNLERCKRVKPFRCGELDFDQRITHAQAIAASRVHYKGKYARMEDAVLQALEIERARALKEGTHAPSSPNPKIKKRKTPNDSEDDAPSKGSRRMRDLSDFGSPMDLANAAAASALNYHLPSVNQAKRSKLTHASAKRKHATADQDQPCGTSRKKDRSRPLSELCNGNMWNGFASNGQTADGHPMGVAASSSGSSGTSSLGATLDKTCSQRSGLFKTDPAKGTEVYSMNGFHSDEFTHLDYCGKPPLAAATILEPAWKHHKQTTDCSKADLSPQCEGGNFKVKTIDSVHQEGNNRTLNVIGHKHHKTKAIKHKAPRNAVILSEKKPATLTLNKTGEPGDNLHLAVVPADLDCVGAVQQQHPERKCDLGESSGTMSNSSNFGNDSVSSLVYELPLQTLPPPESALDLERCCGVKPVKTLQLNSTLYNVELSARGTHNNGRRVPLVSLMSKWNHKPVVGYPISVEVSDDVFHLLPSNTDDHQPTTIVVDGLLKKGKAVDLPSSHARQAKPKSRRKTTGKEVDKLWQPHTKKPASSPRKMRRLSSFASSQRDGNAGKSVVGKISGPTIACIPLRVVFSRIKEALGSPVK >Et_4A_035202.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:1266392:1266760:-1 gene:Et_4A_035202 transcript:Et_4A_035202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQREAKAPGGEGRGRRHVALEMGGAGAEEEREAAEKRVTRGCAAATAGVACVGLAGAGVLVWWAVAFHPAREQLWMVPVGLVLLGTPLIAWLSLFASGACRWLGRFRAGADQAAPAVAPET >Et_3A_023951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15024459:15031124:-1 gene:Et_3A_023951 transcript:Et_3A_023951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVQPASSARLTRTPDLRLRTTQIPNLRFPTPRPIRARLRQCRRLSHSAPAPATAPDPDADPADPLRVAFACGAPGGRVYSAIALADELHASLPGSRSLILGAPAPSLESAAAAAASYPFAPVPRCLPRAVLAAALHLRRFDPHVLVATGGAASLPACLAALLLGLPFVIQDQDAAPAPATRLLAPLALRVFLAFNAPVRQLPKRKCAVYGNPVRMAIRKCRVSKADALARFFPRAALVPEEGAQVVLVIGGAEGSPEMNVAVLNMYYEMLRTRKDRYIIWQTGTESFSEMESLVRGHRRLFLTPFLHELEIAYAAADIVVSRAGAMTCTEILVTGKPSILIPLPTVVDDHQTKNAYIMADVMGAKVITEDELDSSSLTSTIDEVFSDEQLMADMSQKAITASRPNSSAEIIRHICTLIEYSLRSWIVVVRTREMNYGTASYHCRNNKNSRPDGVLII >Et_9A_062431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22891694:22895981:1 gene:Et_9A_062431 transcript:Et_9A_062431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLWITKVKEGQQLAEHELQSLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFATGGHVPDTNYIFMGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGTVLCVHGGLSPDVRTVDQMRIIDRNSEIPHEGPFCDLMWSDPEEIETWAVSPRGAGWLFGSRVTQEFNHINKIELVCRAHQLVQEGLKYMFDKGLVTVWSAPNYCYRCGNVASILSFSENMEREVKFFTETEENNQMRGPRTAVPYFL >Et_3B_031458.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:30369032:30370636:-1 gene:Et_3B_031458 transcript:Et_3B_031458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPIGKSPHLDRLARALASDHPPPPPAALHAHLLRAHAASPPALLRSLLNGAIRRLSKPRPHAALGLLLLMPRLPVSPDHFTIPFALNAAASLRLLRLGASLHAVALRLALLPLRLPVANALVDLYAKCEDLPAAHAALADIPAPDAVSFNSLLCAHARLACVPSAESLFTSMTSRTQVSWNAMVVVYVNAGDLPAARRVFDEMPTRDSASWSVLVIGYCKCGSMQSARELFDKMPEKNLVARTAMINGYAQAGKPKAALALFRELEAAGIEPDAATMVGVISAASQLGSAELAGWIGAYVDRKRIERNEKVLTALVDMHAKCGNVERALSAFREIAQPDAYPYTALISGLATHGHAELALQVFERMRTQNVRPDPITFVGVLTACSHTGLVDKGMDYWDAMVQDYGIQRRADHYACVVDMLGRAGRLEEAYEMVQTMPMGPHPGALGALLSACKTYDNVEIAEIVANKLFELEPQNTGNYILLSNIYAAKDLWEEAERIRSLMRTKLPFKKAGSSWVEDRQRDRVKVSVRD >Et_9A_062852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5924913:5931071:1 gene:Et_9A_062852 transcript:Et_9A_062852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDPAAAPAPPPPSSIAMLPDPEAAPPLAEPRRSSRKRVKTAAAREAEINNREKTRDAEAPELDRADREVSRARPRHKRQSRAAKPKVDGSGLAGGTIGAADDDDVCAEEPDSEEMAMEEEEEEAAVLEAEEAEVNGGGESSAEKAAANKRVARPRTERRMDASEDHFVGQPVPDEEARRRWPDKYKAKRMYLFSSGKDEEIKARCHYRAAMVEDVTYQLNDDIYVKAGPDEENYIGRITEFFEGIDHGYYFTCQWFFRAADTVISQKLLRVQDHIHDHKRVFLSEERDDNMIECIISKIKIIHVDADTSPEVKAQLTSECDLYYDMSYSFAYSTFANLPADNDGVSSSAASNVSSDDAIGFSKEKPIASSEETLDSKEKLAFGFEASPDAHIETLSLLDLYSGCGAMSTGLCLGAALSGLKLETRWAVDMNTHACDSLRHNHPRSKVRNEKAEDFLALLQEWDALCKKYVLHNSNTLASDASQSLSDDEDESLPEDTFEVEKLLDICYGDPNSNEEVGLWFKVRWKTYDPSFDTWEPIDGLRDCPERIKEFVEQGYKENILPLPGTVDVICGGPPCQGISGFNRFRVPDDPLKDEKNKQLVVFMDIVGYLRPKYVLMENVVDILKFSNGFLGRYALSRLVAMNYQARLGMMVAGCYGLPQFRMRAFFWGALPAMVLPKFPLPTHDVVKRGVVPNEFGQCVVAYDETEAQSLRKALFLADAISDLPEVENHQPKDTLEYTVGPKTEFQRFIRLNRKAMKDYSFDEEFVCHEGKLFDHQPLRLNNDDYKRVQEIPLKKGANFRDLKGVRVGVNNTVEWDPSVPRVLLSSGKPLVPHYAMSFLKGKSTKPFGRLWWDETVPTVVTRAEPHNQTILHPSQPRVLTIRENARLQGFPDYYRLFGPIKQKYMQVGNAVAVPVARALGYSLGMAYMGGLDGDTPVFKLPETFISVGQEPVARTSALVSGDDNVALEQVLD >Et_1B_013400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8072521:8075241:1 gene:Et_1B_013400 transcript:Et_1B_013400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNNNGRRRRNARSIAPSPSSSPRSGSRVPRAAQLPQWKLAQVLGDLPPAARGQHDAVLDDYDISPQNNILALSSVSGSHAHGANISVSAADEISALEFDARGEHLAAGDQAGRVILFRRTDTDDVRVLHIRYNVWFLRALADPGVLYARSRAELERTERAGAPPPRYSYATEFQSHELEFDTLHSLEICEKIKKLRWCARPNSSLFMLATNDRTVKLWKVSEPKQKKGNGDQTRRSTPASYSDPVGRSRKGGDAGDGYPAKCRRVFGRAHQYNINSISNNCDGETFVSADDLRINLWHLEVTSQCFSIVDTKPSDMEDLVEVITAAEFHPSSCSTLAYSSSRGLVRLVDLRRSALCDRSARTFKDRDSRIQSKTFFTEIISCITDLKFIGDGMYLLTRDYLNLKLWDLRMESSPVVTYQVHEFLRPKLSELYTDDYIFDRFSCCASNDGSYFATGSYRTQAYRPSKGPGLLSNFTSRNNRKGQDGLRSVGNKEETPCNMASKVTHMAWHPTENFIVCAANNSLYMYHT >Et_2B_021288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28285248:28287029:-1 gene:Et_2B_021288 transcript:Et_2B_021288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIPATQQQQWQLHAPPDLIAAVPLVSFFLLLLWSSWRWSRSSSAMNLPPGPQKLPLLGNLHQIGALPHRSLLELARRHGPVMMLRVGSVSMVVVSSPEAARAVMKTHDVQCCSRPAMPGPRQLTYGFKDVAFAPYGDHVRDMRKLFILELLSMRRVQAAWDARETQVNKLIEDLTCAGPNPVALNEHIFSVVDGIIGTVVFGRIYGTEQFKTPFLNILGEAMDMLGSVCAEDFLPNMAGRLIDRLTGLVARRDRVFKRLDAFFDAVIDDHLNLARRNVEEEECRSDLVQALIELWKENGNAVTFTRDHVKAMLFDTFVGGINTSAVTMVWAMAEMIRHPRVVKSVQDEIRAAVGKKQRLAPDDVPKLRNLKMVLKETLRMHPPLTLLLPRETTQKVNVTGYDVPANTRVIVNAWAIGRDPNVWHDPEEFRPERFMGSEIDYKGTNFEFIPFGSGRRICPGMAMAVANIEFTLGNLLCCFDWELPQGVRREDICMQEAGNLAFDKKTPLILVPK >Et_8A_057707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6352070:6352440:1 gene:Et_8A_057707 transcript:Et_8A_057707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASDRFNINSQLEHLQAKYVGTGHADLTRFEWAVNIQRDSYASYIGHYPMLAYFAIAENESIGRERYNFMQVCWAACLTLLPGYIIENCVNKSGA >Et_2A_015262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:994395:998261:-1 gene:Et_2A_015262 transcript:Et_2A_015262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNAAKGGDSVAWPDPSMSSGDKEVREPLLPVPAAAGQGEAEDAQRGLVAAEAKRLVRLAGPIVASCVLQSVVNMVSVMVVGHLGELPLAGASLATSLANVTGYSLLTGMATALDTLCGQAYGARQYHLLGVYKQRAMVVLGLACVPIALIWARAGEILVFLGQDPAIAAEAGAYARWLIPSLLVYVPLQCHVRFLQTQSVVLPVTATSCVTALCHLAVCWALVYKAGMGSRGAALSNAVSYAINLVMLALYVRMSTACKGTWNGFSKDGLMDLRRFTKLAVPSAMMICLEWWSFEILVILSGLLPNPQLETSVLSICLNTGALLYMIPLGLTSSISTRVSNELGAGKPQAAKLATRVVMYMALSEGLVISLTMTLLRNVWGYMYSNEPELVAYIAKMLPILGISFFIDGLHTSLSGVLTGCGMQKIGAAVNLGAFYMLGIPMAVLLAFVFHLNGMGLWLGIVCGSFTKLLFLVLISWFIDWEKEAGKAKDRVFSSSLPVERHGAFMPSSASGLVSCHVRYSAGEAKLLVIGTQAYPTNAFTEANAALPAVEQEGRGSGEAASDARRDDDACAARRMWEPSSAYGVQEKEESDFPRKTNMARLSYQIARTPARGRLGTRSPAGGGEATLTGWHEGTRKGLLRVFPAKL >Et_8A_058281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4202072:4206498:1 gene:Et_8A_058281 transcript:Et_8A_058281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLMRMGSWEKADGYLHHFLPAAEHRSPQSMSLVIHLRSAWTLAVVAAGGPDAADFASHFDGFKGRSASNFRHVLHTMHADQPRASKLWKNVDMLAKCPELKGKMHLPRDMPMPWDNPLLSKPNAEHGDLQGLTARQLSAHQQMLSAGPSSDKRGGFPSNASSSFVAPNTEPEQNAPNPMTLEGTMYDVSMSELMRKLLQFFELPSDYVLPPRESSPSYNFTPSITTTYLESDMDLVSLKRRHIRGSSRHLKLLGSKASGNNITRETTPYSQAAKLKIEMEMLLLRLDIVVRHGVGGRHARGGVRDPGPDRGGGGLGVLGLVPRHDVDEEVEDLGARDGGGDVGLLQRAALVLLGVRPAAVCELEDEHLAGAREDDGRLGGDHADVLVGLHDLLDAGERQVVVLEVRGGLDLAVLLRPEHLQLLLRRGALLRRSLPHGRGVGDGAGPGVRGGRRVGDGAGGGVGGRRVRDGRAHHRGRRRRGVGFVFHC >Et_3A_026429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7978305:7982320:-1 gene:Et_3A_026429 transcript:Et_3A_026429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRDPFKGVITDVKARAAWYKHDWVAGIRSGFRILAPTMYIFFASALPVIAFGEQLSTATNGILTTVETLASTAICGIVHSILGGQPLLIVGVAEPTIIMYTYLYNFAKKQDGLGERLYLAWAGWVCIWTAIMLFLLAMFNASNVISRFTRVAGELFGMLINVLFLQEAIKGIIREFSVPEDADSSSLAYQFQWLYVNGLFGVIFSIGLLYTALRTRRARSWLYGAGWLRSFIADYGVPLMVIVWTALSYTLPSKVPSGVPRRLSSPLPWESSSLRHWTVVKDLFSVPPAYIFAAIVPALMVAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILVLGFVVLLCGLIGIPPSNGVLPQSPMHTKSLAVLKSQLLRNKMVNSAKKTMMNHASSLEIYGKMQEVFIEMDSDQNTDSIDKELKNLKDAVLQEGDEEGKLDKNFDPRKHIEAYLPVRVNEQRLSNLLQSLLVGGCVGAMPVIRMIPTSVLWGYFAYMAIDSLPGNQFWERMQLLFVASDRHYRVLEGPHASFVESLSPKTVSGFTVFQFVYLLICFGITWIPIAGILFPVPFFLMILIRQYILPKFFDPNQLRELDAAEYEELEGVPPEALEEELSDAGSCRSRADAEILDELTTNRGELKHRTSSSLREERHLQVIKSMLSSSFKCRSAELV >Et_3A_024444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20883398:20885142:-1 gene:Et_3A_024444 transcript:Et_3A_024444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGPLLVVMDPFNDWDLQAVVRSCSFAHAEEPAAGARHAAAATPLRQEPAAPAPAPAPRAEASLPERPPARTAPVAARASSSLSAAGRKEAPSRAMYGLDYLDLDRKPFLLPPATAPSRVAGDDAREVMISFPAASVASTSGMQSTAVPPGRKGAAARTTRPKRSKKSQLKKVVREMPVADGGVSSSDPWAWRKYGQKPIKGSPYPRGYYKCSSMKGCMARKLVERSPAKPGVLIVTYMAEHCHPVPTQLNALAGTTRHSKPSAGEDRPASSTKSHEQQQGTAAAADSNEAALAAECAGGVEELAAALADDEGEFWPAGMDLDELLAPVDDDFDFEHVLDDDDDGVLGRRLSL >Et_3A_024374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20131347:20136941:1 gene:Et_3A_024374 transcript:Et_3A_024374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTVPDGVMHLSDSSAEDRSNLSHHRRELEQGESSRTRKLTAQVERMAYNLRPRKVDPATEQRRSEFEKRRKQKKQKRDKQKAEDFKSLCDQIKIMMDALPEDSDEDDKDPNRTEILNADESALTKEWRPYFSGPDIGYSCTLKGASWEVEKLALQAAPSVVALRSSTGEDKLYFSCSGTIVEVLEGNGFIVTVANLVKCEDADEVFEELKIEVYLQNGRVLDGDVLHCDFNYNICVIGIKWSEVGSSYHLTRKSFDDTRDVGCLKDVVALGRDRRTCHLLVSTGMITPKVSELDCEELLVSTCRISKEEVGGPLMDFDGHFIGMNYYDTDETPFIPCSIVSMCLWHLKTFGKVSRPWLGLRVRTLYANGGANGVIIKKACIFSVLSFFLLIEKESSAEASGLTEGDIINRVNGIHFSSAAEFGVRDSELEGRIVIRKSVAAGGLN >Et_3B_027614.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:32035911:32037031:1 gene:Et_3B_027614 transcript:Et_3B_027614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDMFLASAMIDMYAKKGALSDAVALFKSVQDPNVVVFNAMIAGFCRDEAAIGKEVVREALSLYSELQSRGTEPTEYTFSSVLRACNLAGEFEFGKQLHGQVLKHSFQGDDFIGSALIDLYSSSGCLEDGHKCFRHLPKEDIVTWTSMISGCVQNELFEKALRLFQESLCYGLKPDLFTLSSVMNACASLAVERTGQQIQSIATKSGFDRFTVMGNSCIHMYARSGDVDAATQRFQEMESRDVVSWSAVISSHAQHGCARDALRTFDEMMDAKVAPNEITFLGVLTACSHGGLVDEGLR >Et_7A_050300.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:21731042:21734815:1 gene:Et_7A_050300 transcript:Et_7A_050300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLSHLFIFLLVANLSILLSSNSIAILAAESVNESEIDLRALLSFKQAITNDPLGVTCGKSLPNRVVSLELNSLQLSGQLSFSLVNLTSLDRLDLGNNHFSGPIPEGLGTLEQLESPMLATNKLAGNMSGSTGSLTYVNLGYNILSGGIPNSLASSSSLSVLNLTSNSLSGELPANLFNSSSQLTIVDLQSNSFVGPIPDFHKMVTLQILNLAGNNLSGSIPPSLGNVSSLTEIRLDTNNLVGSIPNTLTNIRNLTVLTLGYNNLRGYFPHIFFNISTLRILDLSYTSLVRQIPSNIGNSLPNLEELIMPGNNLKGFIPASLSNASNLEIIDLSNNLLDGVVPSLGSLPGLKVLNLGNNFLKSDNWEFITSLTNCSTLMTLSIHGNNLDGTIPASVVNLFITLQRLDLGNNQIVGTIPKDIGNKLQYLQLLALDQNSISGEIPSSIGNLSTLVILSLSQNDLSGNITPAVGHLVQLSQLSLDGNHLTGNIPSLGRCKKLSMLNLSVNSLDGYIPDQLLTITNLVSLDLSNNYLTGSIPQIGALINLLVLNISYNHLSGQIPSSLGQCLTLSSFHMDNNLIDGMIPESFSNLKALQQIDLSQNNLTGSIPDFFNSFRTLEQLDLSYNNFTGAVPYSGYFFNSTIVSLGGNPNLCSSVSMLALPVCHVASRAKSNYSFIMLIVIPTITVALFLFFIITFTKKRVHTTPCYKETMKKVSYGDILKATNWFSPVNKISSSHTGSIYIGRFEFDTDIVAIKVFHLNEHGSLNSFLMECEVLRNTRHRNLMKAVTVCSAVDLENKEFKAIVFDFMANGSVDMWVHPKSSQQETPKRGLSLRQRIRIAKDVASALDYMHNQLAPPLIHCDLKPANVLLDYDMTARVGDFGSAKFLTSSLATTEGFAIGGTIGYIAPGEYIFVKHFY >Et_1B_012676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34280586:34290760:-1 gene:Et_1B_012676 transcript:Et_1B_012676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAPASARSHGNAAAGSATAMMLPGPPGRGNGGCIDLSPAGLLAHGAGSSVVVSDPRSMQLLCVLPMPSSSLASFVTAVRWAPPAAPSLASAAAGEGEDDDRRPLRLAAGDRHGRIAVWDARARAVLRWLNLDETRGVAPGSGGGVQDLCWIHHASGWLLASIHGPSLLCIWETSNNPRVLWMFDAAPEYLSCLRRDPFDARHLCALGLRGFLLSAIPRQDSDISLQEHRVTCGAGDVAELQKLEKELSAPAPAPALAAFPQFASRLCFSPLWRQILFVTFPRELVVFDLSYSTALSVTPLPRGFGKFSDVMADPDLDLLYCTHIDGKLSIWRRKEGEQVHLLCAVEELMPSIGTIVPPPAVLAATIWQSESIFRNIDKQCQDLARTHFSHSVITDTNSDHNVYQGSMTYLTSISEDGKIWSWLLSFDKSPHSNKANLGRPSRGNAAIANTCSSGPDFAVKFAHISYAVQINLMGQLHLLSSTVTTLAVPSPSLLATVARGGNNPAPAVPLVALGTQNGTIEVIDVVANAVSVSFAVHSSTVRGLRWLGNSRLVSFSYNQVNDKTGGYNNKLVITCLRSGLNRSFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPMMLRSLALPFTVLEWTLPAAPRPSQNASSKQSSTSKERSAEATGAESSDETSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFASSDGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGLSSSFSTHREGIRRIKFSPVVHGDRSRGRIAVLFYDNTFSIFDLDSPDPLANALLQPQSPGTLVLELDWLSTRTKKDDPLVLCIAGADSSFRLIEVNIDSKGSSVSKPVATKERFRPMPLCLPVLLLTAHALALRMILQLGVKPSWFECSNNDRLASDSFKVAPATFGDLRSYMIETTLPPIGDAVVAELLLKVLEPYRKEGCILDDGRARLYSSIVNKGTYARFAFAAAIFGDIQEALFWLQLPQALHHFLDKSKNRSSEKISQSSLRPADQSSTLNRIASRERSAAEKLTKDAVVNYGQMSSMAFKQEQLWFNANERIPWHDKLDGEEALQKRVHELVSLGNLEAAVSLLLSTPPEGSNFYPNALRAVVLSSAVSQSLHELAVKVVAANMVRTDKSLSGTHLLCAVGRYQEACSQLQDAGCWNDAATLAASHLHGSDYARVLQRWADYVLHGEHNMWRALILYVAAGALPEALDTLRKNQRPDTAAMFLLACHEIYSQFTTESEPTGETSESEPADDTSGSGPMPENVDDEDLIAVSEVFGQYQQKLIHLCMDTEPAAD >Et_6A_047811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17752058:17754994:-1 gene:Et_6A_047811 transcript:Et_6A_047811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRLGNPLWMQEDGDQDEGQGQEHHHQHAPPPPAAMGPGLMPSHGQEDQNLLALAAAAGSVGFRAPGLLDDDWFFNPAPAPASAAGADAPGSLFLAPPGQVGASQMFSLFNMGGAAPFDVVHGFDLGLSGGGGGGGGGELASIAGTGGASNASSLSMSAGFLSSFGGFGTAPAQMPEFAGLGGGFDVFGNGAGSSSAPPPAPAPLTAPFAARGKAAVLRPLEIFPPVGAQPTLFQKRALRRTAGEEEDDKKRKAAAAAGGGGDTMLDDGDDDGLSIDASGLNYDSEDGKGDEDNGKKDVKDNSTVTAGGAGDGRGKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQKINDLQNELESSPSTASLPPTPTSFHPLTPTLPTLPSRVKEELCPSALPSPTAQQPSVEVRMREGRAVNIHMFCARRPGLLVSAMRAIENLGLDVQQAVISCFNGFSLDVFKAELCNEGQGLLPEEIKAVLLQSVGFRGPI >Et_3A_026173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5040019:5043128:-1 gene:Et_3A_026173 transcript:Et_3A_026173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAPARAVGPTRLVYFDDMWALRSDAIVIAVHQVCFSPSSQGTNANKPPEAEEGGRRAVVLDATVFHPQGGGQPADTGVISAGGARFLVEDARTKDGVVFHYGRFEGCSGGHEFNEGEKVALEVDAERRSLNSRLHSAGHLLDICTRNVGLSHLEPGKCYHFPDGAFVEYKGVIPPDQIQDKKNELEREANKLISEGEKVLVSVFPYEEAANLCGGSLPSYIPKDSTPRIVKFGDHPGCPCGGTHVADFSDINNLKVTNVRVKKGLTKVSYSISP >Et_4A_035651.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:32491950:32493446:-1 gene:Et_4A_035651 transcript:Et_4A_035651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLESWLSKVRSAVSSAAKGAPPPGPKKSSVGILAFEVASLMSKLLRMWRSVGDGAIARLRHETMNLDGVRKVVSDDDDFLLGLACAELVDALRAASESVAALAARCADPSLREFGDAFLEFADTGRDRHRWAASSWKEMETRAHKMEKLVGSTTALRRAMEELAEAEHGLRKVLQQPPSGAGRHRRSKISVAAEQQQLVFSKKQEVKQLKQTSVWGCTFDAVVASLARAAFTTMARIKLVFFFFFFEQQQHPQPLYRSLTFSSAVVHPSSLQVVTPRRRLSMEEDSSMLLILQKSTAPPIPKRGVSSLTPPPGTLGADALAPRYAGVVISIERMARSPRTVGPDERDELYGMLPASVRSQLRARLRGAVAAADPGLAGQWRAALAGILDWLAPMAHATMRWQAERSFFFFFQQRTNTTAASVLLLQTLHFADRDKVEAAVAELLVGLNYVWRFDNEMSCRAIFAVHRQLLMDDTLIAAVDDTATTGNANGTVSSCA >Et_7B_054513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22377820:22386479:-1 gene:Et_7B_054513 transcript:Et_7B_054513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGYAKKLSYREEIGDVGMPEIFDSPEILHNKIEELAAMVQKSKHLVVFTGAGISTSSGIPDFRGPKGVWTLQRAGKGVPNASLPFHRAVPSMTHMALVELERAGLLKFVISQNVDSLHLRSGFPREKLAELHGNSFKEICPCCKTEYLRDFEIETIGLKDTPRRCSDKNCGARLKDTVLDWEDALPPEEMSSAKEHCRTADLVLCLGTSLQITPACNMPLLSIRNGGRVAIVNLQATPKDKKASLVIHGLVDKVIADVMSMLNLRIPPYIRTDFVKITLRHTLRKKCVRWTLRVTSIHGLRAPLSFLKSVEVSFPERPDMKPVVLKEQPFSLLRETSMNRPFVMLLTLNFSDGCGCSCSTIEWPVDFQKQKESFVRDQTLVLRELQCAAEQQSCAGQQEILERESLPRAETSTHAIVTNIVRYATEDDNGVNHGSSSSSPTKRHMEDTSGSNLVPPKKLKNFFVKDEKLNC >Et_2A_018344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24081932:24083004:-1 gene:Et_2A_018344 transcript:Et_2A_018344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSQDWCDGILKFQSEEERASRAEYLISEGNLHACLTEVTEERDHLGGYLKDKEDENRALHKVIARLQSSEHTVFQSSWGRIMMDEDKHISSLGTTMMDENNNFCYNYSDQSIPSDKVGAGASDGNNSEEV >Et_3A_024644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22901635:22904240:-1 gene:Et_3A_024644 transcript:Et_3A_024644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRNAGAMQREGSVKDWEEFDPSPSPKLAYSQTYVVMRGLLTSVASLDPVLVASSLKSAWAALSSHKHARSLERPRSKGMNLKRVMFHLLTCFLVGIFIGFTLLFSLESQKIASENEMPPFDGDAIGSQSAKLSGMKLEPFAVESEATEEPQVDESPPAPAMLDDEVDFIEASHVEPPVNVSDIVARKQLIVVTATSVRPHQAYYLNRLAHVLKNVPPPLLWIVAEWPYQSRETAEILRSSGVMYRHLICNRNTTNTRKIIVCQKNNAIFHIKKHRLDGIVHFADEERAYSVDLFEEMRKIRRFGTWPVAIHVGAKYRVVLEGPLCDGNQVTGWHTNLRRGVSRRFPVGFSGFAFNSTILWDPQRWNSPTLESIILHSGGKGGLQESRFIEKLVEDESQMEGLADNCTRIMVWNFDLEPPQLNYPSGWLLQRNLDAV >Et_5B_044920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7375579:7377893:1 gene:Et_5B_044920 transcript:Et_5B_044920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRGLFVLLLGAALPLLFFSRAAEASEVGVCYGTVAGNLPDPAKVSQLLKDNGITMVRIYDANATVLTSLANTGIKVMVMLPNQNIAAAAADTSYALQWVQNNVKAFYPATLIDGVAVGNEVFDSNPELNPQLVPAMRNVHDALKSLGLADAIKVTTPIAFDALEESFPPSAGRFKDELASVMTSMLDFLQQTGSYLTMNIYPFFTYANQQPGGTITLDYALGNSNPGVQDDQTGLMYYSLLDAQRDAAYYAVEALGFQNAGSSTMEALGQSSGGVRHRHGEHGWASQGTIHHAAGRRLLDTGDGSGAASIANAQASNNNLINRVLTGNTGTPHLPNADMDVYIFALFNEDNKGSPDDVESNFGLFYPNMQKVYEFDFQHPGPSPGPPPASWCVANAAVGDDRLQAALDYACGNGADCSAIQPGGSCFDPDTKLAHASYAVNSYYQNKGRAPSACDFNGAASIVYQKPADTCGVKPMTWCVANAAVGDARLQTALDYACANGADCSAIQPGASCFQPNTVFAHASYAFNSYYQNKGRADGSCDFNGAASVVNQQPASTCGTSTATWCVANSAVGDARLQTALDYACGNGADCSAIQAGGACFQPNTKVAHASYAFNSYYQRKARAAGTCDFSGAGSIVNQQPGEFALLRTFARRFSML >Et_3A_023765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1156961:1163373:-1 gene:Et_3A_023765 transcript:Et_3A_023765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVALLLAACLLHGVVDGHKPFNAIFSFGNSYADTGNFIRLAAPFIPSIPFNNQPYGETFFGHPNGRASDGRVILDFIGTDTQALTHHMPGPEAFGLPLVPPSLDKTQNFSKGANFAVVGATALSLSYFLQNNITSVPPFQQLAQRPVGVVRAAQAHALQHHARQAKHLALKNAGCEDYLGKSLFVLGAFGGNDYVFFLAANKTLEETRAYVPTVVKAIADGVELWRMQRLIHLGAKLIVVPGNLPTGCIPIMLTLYASPNSSDYDQLGCLRSFNERLARYHNMLLEREVLALRGKYPNATIVFADYYRPILEFLHKPANFDFDGNSTLVACCGAGGGKYNYNPMAACGSPNATACANPSKAVNWDGIHLTEEAYKKIAAGWLNGPYAQPPIRTLPIIESIFSFGDSYADTGNYIRLTAPFFPINPFNNPPYGETFFGRPTGRANNGRLVVDFVGSYSAPDALSLPFVPPSLATDNNFSKGANFAVIGATALNSSYFREQNIPVAPFYFNISIDVQLGWFQKLKPSLCNTTQGNKSLFFMGEFGGNDYTFFLAAKSVDETRAYVPAVVKAIAAGVEVQKLYILRKYFHLYHQQVGCL >Et_3B_029860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28683530:28685477:1 gene:Et_3B_029860 transcript:Et_3B_029860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFEVTLASIYGLTGHHKGKGQSSHQVLDDSPSLITPESCWASLPPELLRDIIRRLEADESTWPARKHVVCFAAVCRTWREMCKDIVLSPEFCGKLTFPVSLKQPGPRDGNTMIQCFIKRNKSKSTYHLYLCLSNVVTAETGKFLLSAKRNRKTTSTEYTISMDADNISRSSRTYIGKVRSNFLGTKFIIYDTQPPYNGAIVPPVGRTSRRFNSTKVSPKVPSVSFSIAQVSYELNVLGTRGPRRMRCIMHSIPASSVEPGGIVPGQPEQIVPRALEDSFRSTGSFSQSFRSTTSLSKSIMDSSVDFNSARFSDIASGRLVPHGEDGEVKERPLVLRNKPPRWHEQLQCWCLNFRGRVTIASVKNFQLIAATTPPPAGAPTPSQPGPAPADSDKVILQFGKVARDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Et_10A_000741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16272259:16272745:-1 gene:Et_10A_000741 transcript:Et_10A_000741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IEISINVFLMPPIPMALSFSFPFFIMACKQPLHIIGLRDLDSEFGKESMRELKSNGNGNMLQSLINLYDHTHVLPWMLREIE >Et_1A_008788.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12904912:12905238:-1 gene:Et_1A_008788 transcript:Et_1A_008788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVVIASAGLGMLAGVAMANRSLGGDGLPAASRWDARPRCATCGGSGRVECMCNRWSDGDAGCRTCAGSGRMPCRSCGGSGTGRPLPARLIVQNQNKPPPFSGGRN >Et_4B_039321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:86221:93447:-1 gene:Et_4B_039321 transcript:Et_4B_039321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPRQQRVVVMRHGDRLDHAEAIWPAKNPRPWDPPLSDAGLLRAWTVGKRIRAADGWAVHRVLVSPFLRCRQTAAGAVAALCAVPDGADLLAVDDPSNVPLDTSRLKVSIEYGLSEMMNTQAMGGLVAKVAPSIKKWFPDLPELEAVLPSGTIDHSAESIYPHVPKWDESVLEGRSRYASVIKALADKYPDENLLLVTHGEGVGASVSYFEMGLEIYEVEYCAYSVLERQVTVKPEGEGFTADSFKVLTKSGTTGIQYAPVSDNLPLAL >Et_3A_026427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7930796:7933790:-1 gene:Et_3A_026427 transcript:Et_3A_026427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCRGGAEWWRPLLRSLVDSRTDQATTTTTARPKGGPLSAAQISLFPNPRAPPPASPRSPVRTRALEPASRVAFICSAGVGWLSSSLLLLLALAGVLARRGRGGAAAFVRGMSFGGSSSVASGAKRPFEYGRTHVVRPKGAHKATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPSRPVSVFGGFPSTAWFDVADLSEDAPDDIEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCFAHGKYGNGNPYPVNLSLAVGLSGWLPCARTLKNKIEASPEAAQKASSIPLLLCHGKADDVVMYKHGERSADALKLNGFSNVVFKSYNRLGHYTVPEEMDEVCKWLTENLGLGTSSS >Et_4B_039590.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:23246840:23247247:1 gene:Et_4B_039590 transcript:Et_4B_039590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKLALILIFALACLLAHKPAASMAFALHGDEPRWRDAAAGTATEETTSSAVAGRGAHGDGGAAAVVGDDGLESQRPTGEETGAGLLIGAAVVPRLKMARRLLAGEAVDADSAARISCHSNSVHNDCAPPSRH >Et_3B_031225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21941710:21945064:-1 gene:Et_3B_031225 transcript:Et_3B_031225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKAAAYYDELNRKGEGARRFKQGLGFASSSDSASFPSKPAGSSFLSGFVRAGAAPAPAPAKQPPPAPERPSSPRRRSRSPTRARSRSPSRSRRRRSRSRSRSRERRRRSRSRERERRDSRRRSRSRSPSRSPSRRSGRSSHSESRRDRHGDRRRDDRGGREGSKGHSGRDGGKVDYSRLIDGYDRMTPAERVKAKMKLQLSETAAKDSTLGTTTVRWERFDFDKDAPLDDDNDVEVANDDSSLVKNIGKSFRLSAVESKHEDKVRAAHDEAIFGVPTYSVIQPDTNEDELKTNDESDQTEDIEAQPSSSLISDKGSNDRIAGYGVANFKMRNQNRTNNFR >Et_6A_046841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21274152:21282704:-1 gene:Et_6A_046841 transcript:Et_6A_046841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLTRWPDLLKLSLHYSSMDPLGLHELSLRKVVLSASRTQDATMLRLLPPNSGKVFDEMLQEKRPRFDPEPASPWASLQPDALGVVLRFLPCKADRSRVRSVCRHWRAVSRGHAVPPPLPLLVLSRFRFASLSPRGALTPARRAWMPREVAGDHVRCVGSFGEWLVGTKEAYGECFLVHAFSHEVVHLPFLSDSGSRCSMYLLHKVALAASPESGSECIVAAFGFRGSNPELAFWRPGMSSWHVCRDALIAGHIDMAFLNGKLYMIWRFMPCLVAFELGEDERGVTVSRIDDCFIESRLPNPLRYNDSVSCNIVVWRGKLLLVIRYYGVSQARHIVLKVGVLALDFSTKPYGVTEISGFGSDCIFVGSGGCKSFPAGLHDGFEDELIYFVPDHNNPHDAFVYNMRDGAVRPFAIESRPGSIVGTPEDSLGFPELLSPRGQGAPESQRVPAAMDSGTGASCGRRYPARHARFPRGHQATKSEPSLTAVQTRCSTKCFLPPETPWLDSAGQEPHQSWADLPVDILGVVAGRLPRIEDRARLRSVCRAWRAAARLHRPPPPPLPLLVFADFAFASFCADGAMAGARRILLPAGEPAAAHDVRGVGSFEGWLVGVQLNKGRYFGNGRCFLLNAFSREVVRLPPPSTDTHFIDAYSRSFPIVNGSGVVHCTVNAARYVMSFCKVILSASPESGSKCVVAAVSVHRSTAKLALWRPGMTSWCVCHGGCVSKFSDIALYQGKLFMFSKVTTNLFVFEISEDDSGLMVSRVERCVTQLPEISSKYGQRWNIVEWHGKLLLVVTYLGAAEGWHSICKIGVFEVDLSTNPFKFTEINSLNGDCIFISPCSSKSFRACQYDEVEGDLIYFIDGGLHPAKHAPPFDKFFYNIRDGTMGPFAAEISEDKLRAVDGMLMNPTWLFPSE >Et_4B_036726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1128930:1132869:-1 gene:Et_4B_036726 transcript:Et_4B_036726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLKLASPKPLASSASPLLRGRRSQARPLPARRRLPPPASVAVQSPAAPASPRIGSFDKVLEALIGGADFSEEEAEATLQLLLEEKNEARIAAFLVLLRAKGETYEEIVGLAKAMLSCCVLVDGLDDAVDIVGTGGDGADTVNISTGATILAAAAGAKVAKAKAMVVMPCLLVLKQGSRASSSACGSADVLEALGVNIDLGPEVTKMAEAAQKFGMKRALVVHSKGLDEISPLGPGYILDVTPGKIEKMLFDPLDFGIPRCTLEDLKGGDPAFNAKVLQDVLAGQRGSIADALVLNAAASLLVSGKVNSLHEAVALAQETQRSGKAINTLEAWIKISNVSIFFFNDNSLPDQLNIEHDASPIPCLYRAPGIE >Et_10B_003530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2631869:2635607:1 gene:Et_10B_003530 transcript:Et_10B_003530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRTLRRKELHQEGFEPNYEEAIRKKKLATIQSKFAGRIGGSRFQSFVSASTGNNTAIDKASQVSEGKGRSGRRCKRVVAPDRQVHGTRITRQQTRELANSNDATQKWALDDESQAQDEGTRTQEGGTQEDQRQVQEEGTRAILLAEEVSSTQEEGTPEDESQAKDEGTRPILLAGEVTRTQEGGTQEDQRQVQEEGTRALAEEITSTQEEGTPEDESQAQDEGTRPILLAEEVNSTQEGGTPEDQMQVQEEGTCAILLAEEITCTQEEGTPEDESQAKDEGTCPILLAEEVNSTQEGGTPDDQRQVQEEEVTSTQEEGTPEDESQAQDEGTCPILLAEEVNSTQEGGTPEDQRQVQEEEDTSTQEEATPEDQRQVREDDKYKDEDPTPLELFKEFHSSRKTGFISEPVQKAIDHMEEMMDNPVEEGQEPLSTESAVREVVRSNIFLRAVGISPKKRSRVSRSSQFQDLTWELYLEKVRRGELRETIEQQNLQLAHLRKISVEATEARRTTTAQLEALRKEAARKAEMIQSFRTVFEVEGQAYVCDDDS >Et_3A_025313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28882491:28899154:1 gene:Et_3A_025313 transcript:Et_3A_025313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGGLVFVTGGSGFVGSWLVRLLLDRGYTIHATVKNLQDEGETKHLQAMDGADARLRLFQMDLLDAATVRPAVEGARGVFHVASPVILHQTQDPENELLEPALKGTLNVLRAAKDCGAGRAVMVSSQTAMVPNPDWPADRIVDEDCWADVELLKKLQLWYNVSKTEAEKPAWDFATKEGMQLVVLNPALILGPTLTPSITASLHLFLQILKGQTFDMDAFFIGSVDVRDVAQSLIVLYENPTAQGRHLCLESSQRMVDFTNKLAEMYPELPVQSGSLVCVTGGSGFIGSWLVRRLLDRGYTVHATVKNLQDEGETKHLLAMDGADTRLRLFQMDLLDPASIQAAVEGARGVFHKELLEPAVKGTLSVLRAAKENGASRVVLMSSQAAMIPNPNWPADKVIDEDSWSDVEQFKKLEFWYGVSKTMAETAAWEFAAKEGLQLVVLNPGMVLGPMLTPAVNVSLHLLLQVLGGKRIDLDDVYMGCVDVRDVADSLIVLYENPSARGRHLCMESVDRLIDFTNRVADLYPELPVQRIQEDKQGWVVRAKDPSKNAGGARAGLVCVTGGSGFIGSWLVRRLLDRGYTVHATVKNLQDESETRHLQALDGAGTRLRLFQMDLLDPDSIRPAVEGAGGVFHLASPKELLEPALKGTLNVLRAAKDCGANRVVLMSSKAAMVPNPNWPSDKIVEDDCWADVDQLQKLQLWYGVSKTLAEKAAWEFAGEEELELVVLNPAMVLGPFFTPGVNASLNLLLKLLKGQRLDMDLYTGCVDARDVAQSLITLYENQSAQGRYLCQESLELWVDFTNKLANLYPELPVHRVIEDKQGWSVRAKDPSVKLINLGVRFTPFDATIKET >Et_8A_058376.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:6159639:6160331:1 gene:Et_8A_058376 transcript:Et_8A_058376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGFMGISLGFRNTAGAAKHQAVALLVQSDKSIFLNCRMEAFQDTLYAHSKSQFYRNCVITGTIDFVFGDAAAVFQNCMLLLRRPMDSQQDIATAQGRADAREATGFVFQGCRFAAEPALLSRGPPIRSYLARPWREFSRTLIMESEIPGFIDRAGYLPWNGDFGLKTLWYTEYANRGPGADTAGRVNWPGYKKVITKEEAAKFTVQSFLQAEPWIKPTGTPVKYGFWA >Et_9B_064577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16649201:16650956:-1 gene:Et_9B_064577 transcript:Et_9B_064577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCFKKKNEQAEAEPAGQSQPGPGNNMTPPDPVHAPPAYAPAAAPAAPANDAKRPGGDSADEASLRGTISARAFAFRELAAATDHFTPYNLVGEGGFFRVYKGQLEKNGQTVAIKQLDKHGFQGNNEFLAGVAKLSQLHHENLIDLVGYCADGDQRLLVYESVPAGTLEDHLFGTKSASPLCTIPDCSVVNRATHGDVRCATADLPADKKPMDWCTRMKVAYGAAQGLEYLHEKANPPVVYGEFKASHILLDADFTPKLTDFGLAQLGQAGGNTPVASPMMGSFGCCAPEYDRSGQATMKSDVYSFGVVLVQLISGRRAVDTSKPVDEQNVVTWALPMFKDQKRYHELVDPLIKKEYPAKALNQVVAMAAMCLQEEDSVRPLMADVVMTLGFLTSMPPDPPASAAPPVAAPEPNKKEKRSDHSDSSSSSSSDDEGNEEEEEEEEQEAEEQ >Et_9B_064326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14070659:14073791:1 gene:Et_9B_064326 transcript:Et_9B_064326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAASFFTPRGHAVPFGRRAPPRRGVGRPPVSVLGGGGGSSEGDAGRILDPRATPFQILGIDGSVSYSAAQLKAAFRSRVKEFHPDVYKDSENADFIMRRVIEAYQMLSGNQEMMVERNNIDPFDEPECEARDIFVNELLCIGTGCPYSCVRKAPHVFEFTDDIGTARAISQGNGEDDLVQLAVRQCPRKCIYYVTPCQRAILEDVLASVLMAPYDLAEAAVLDSLISKAMFENNRYKKPKREAKSSSDYVDWM >Et_1A_007789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38288585:38303934:1 gene:Et_1A_007789 transcript:Et_1A_007789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYISVSAFGHVASTGEYKVLRVLDNASFDNPHQLCEVFTLDGSSHARWRGKKAPPTPVSMSRFKGVVVDSIVYFLGQDSPLEDIASFDLEREEWRSGLRGPTINNIVQMKSRWLLLVLVHHYLSCYVDLWFLVDFERGLWEKRHSITALLHDYTSTIRPLLVLNDGRIVLVHAGSKSGSLKIYNPRTNTLADVADLGLSFAVGLYSGSLLSLANRQIMWLGASSNRSMCVLLDFICASSSRTFRLLNLSSGAVYNLPEELTEEQHNTSRFYVDAAFGQAKSTGQLRRPAALRRVPGRDLCCFRAVCWPWRSLFSDTQFIAAHAARNPEPPLVVVGYHARYGGDGVLCDILDLSGKLVRRVHAAGKDVVTSVNLEFVCTYEGYSSCIRLLNLTTGDVFVLPEGLSDQEHAGERDIPDYRSVVALLGQVASTGEYKVLRVLPGPSIGNPEQLCEIFTLDGSSHARLRGKKAPPSPVSMICNESVAVNGIVYFLDLFPQDISSFDLRLEREEWRSGLRRPHFQQLRTNELSMAAVNGCLVLVRRYLTCYVDLWFLVDFERGLWEKRHSIRAQLSDYTSTIRPFLVLNDGRIVVVHVGSSSGSLKIYNPMTNTYADVANTGICCAVGLYSGSMLSLSNRQIMWLKYPKIKKHEKKGFPLFRRCEALYEGSIATGDLCFTSTEPVVEPTHLPTESLQLAAQPSTSGASVPAVASAATSEDTAANPFGAGEDGQDVSEAQSAPSGSGRKRNQSQIAATLGVYLGTKTDQTLKTVEALMQKKKREDDHSVEKCLGTIEAMEELTDEEKATAVELFEKDIHREIVMKSRRSWRPQDLAPGQAQTPPSDAVFEVLLRVPGRDLCRFRAVCRPWRRRLSDPHFIAAHASRNPETLIVAGYNSQAAAIHLSGRLVKRVHATR >Et_1B_011781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25956788:25960966:-1 gene:Et_1B_011781 transcript:Et_1B_011781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WKMRSVVRSLRQLRLLTQHHAERRSSACRFIRQRNALIVCNAATRSLSTLHHNGEISRIMSPGVELLTSMYSTVAVDSIKIDIGRGGPMAEYEKRITSGELVDGDSFQLDTIQQLQRVYEELMENEGACRLDRYKSSEKEGRSRWLWSRLLTQPSTYAPVKGLYLYGGVGTGKTMLMELFYEQLPVNWRKKRIHFHDFMLNVHSRLQMHKGVSDPLDVVAAEISDEAILLCLDEFMILISTSNRAPDKLYEGGLQRDLFLPFIDTLKERCIEHPIGSATDYRKLGSAEQGFYFVGEHFSSLLKQKLQSLIGDEEPRPQTAEVVMGRKLQVPLGANGCAYFPFEDLCDRPLGAADYFGLFKKFHTLALDGVPKFGSSNRTAAYRFVTLIDVMYENKARLLCASEAAPVELFENVVTVAEAQKISPRSSRSQKSDDHDLCVDNELGFAKDRTISRLTEINSREYLEDFEEKLQQQPLQGVDTGDVVIA >Et_8B_059075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13199633:13207921:1 gene:Et_8B_059075 transcript:Et_8B_059075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSSHNEFRFFLSCDISLPLTFRVLHAEHILSAERKVPELFVECKLYIDGLPFGLPVKTRLESSGPTYCWNDVIALSTKYRDLTSLSQLAFTVWDVSSGEDAEIVGGATIFLFNSKRQLKTGRQKLRLWPKKEADGRVPTTTPGKVPKNERGEIERMERLVNKYERGQIQHVDWLDRLAFSAMEKAKEKQCEQKTNLYPCLVIEMCSFEHRVVFQESGANFYAPTPISLSNELVTVWDPELGRTNPSEHKQLKLARSLTRGIVDRDLKPSSNERKLLQTIIKFPPTRALEVDEKQLVWKFRFSLMSEKKALTKFVRSVDWSDNQEAKQAVELIGKWETIDVADALELLSPDFESDEVRGYAVSVLERADDEELQCYLLQLVQALRFERSDKSRLAHFLVNRALSNIEIASFLRWYIVVELHGPAFARRYYSIYDMLENGMMKLVGREDGDEDGFRLWQSLTRQTDLTAQLCTIMKDVRNVRGNAQKKIDKLRHLLSGVFSELTNFDEPIRSPLAPTILLTGVVPQESSIFKSALNPLRLTFKTANGGTSKIIYKKGDDLRQDQLVIQTVSLMDRLLKLENLDLHLTPYRVLATGQDEGMLEFIPSSSLAQILSEHRSITSYLQKFHPDEDGPFGITAQCLETFIKSCAGYSVITYILGVGDRHLDNLLLTDDGRLFHVDFAFILGRDPKPFPPPMKLCKEMVEAMGGAESHYYTRFKSYCCEAYNILRKSSSLILNLFKLMERSGIPDISSDETGGLKLQEKFRLDLDDEDAIHFFQDLINESVSALFPQMVETIHRWAHKGEVSQNFS >Et_4B_039087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7612471:7626460:-1 gene:Et_4B_039087 transcript:Et_4B_039087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSGAMRWSLRDMTALVTGGSRGIGRAVVEELAALGAAVHTCSRNEEELRERLKEWEATGFRVTGSVCDVSVREQRERLLREVAERFGGKLNVLVNNVGTNFTKPTTEYSADDYSFLMATNLESAYHLCQLAHPLLKASGSGSIVLISSVCGVVAVCTGSIYAMTKGAMNQLAKNLACEWAKDNIRTNSVAPWYIKTPLVEGDLSRGDYVDNILRQTPQRRVGEPEEISSLVAFLCMPCSSYITGQTISVDGGMTGDGRCGDHGQGDRSAGEVVAPRQDGPGHRRHPRHRVEELAALGAAVHTCSRKEAELGERLKEWEAKGFRVTGSVCDVSVREQRESILRDVAHRFGGNLNILVNNVGTNTRKPTTEYSADEYSFIMATNLESVYHLCQLAHPLLKSSGSGSIVLVSSVSGVVWICSGTIYDMTKGAMNQLAKNLACEWAKDSIRTNSVAPWYTKTSLVGPDLAKQELMDSIMSRTPLRRVAEPEEVSSLVAFLCMPGSSYITGQTISVDGGMTMAAAAAETSGAAIGAPGRWALHGKTALVTGGTRGIGRATVEELASLGAAVHTCSRNEAELRERLKEWEARGFRVTGSVCDLSMRDQREGLLSEVADRFGGKLDILVNNVGTNIRKPTTEFSAEEYSILMSTNLESTYHLCQIAHPLLKLSGSGSIVFISSVCGVVAVSSGSIYAMTKGAINQLTKNLACEWAKDKIRTNSVAPWYIRTSLTEGVLANKEFVDRVVSRTPLRRVGEPEEISSLVAFLCMPGSSYITGQTISIDGGMSVNGFYPTMD >Et_5A_040744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11983538:11984652:1 gene:Et_5A_040744 transcript:Et_5A_040744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHSAHWPSIVFFPARSLLLELFVYEIAHEWNRVLSCDDLEDMSQDGSRVWATDKQNMFQPNIQAGTTGGGGVASSN >Et_6B_050103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6208115:6213820:-1 gene:Et_6B_050103 transcript:Et_6B_050103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANAKLQKQALLPPRSPFPTAAAAAPYADHDLIARPHGPSHHRHAHGHGPGHHQRTSSESFIEEQPSWLDDLLNEPETPVRQHGRAGHRRSSSDSFALFDGGAAGAGAYANGFEAMGSGGGKAAPWGGVKEYYAKPGSFGRPQGRPWEQGMPNLGGYRHGGGPPMPAKEKPGVHHGPLNASRNHDLGMDKRASDDAGHDQKVGLKEGVLSKHSQSEADTKRAKQQYAQRSRVRKLQYIAELERQVQALQSEGIDVSAEMEFLTQQNIMLDLENKALKQRLESLAQEQLIKRFQQEMFEREISRLRSLYQQQQQQKQQQQPPQPPPLGRSNSRDLDSQFANLSLKHKDPNSGRDALSGPLRWGMLYPQNDTLGLRTVLRDGLPEFGCSPDMLTYSALIDTYGSFFLRVALVVVPFFLHDTAAQGGAPSCGARQRTGWRSSQRGTPSYGGVCQRAGMAAQWLRRVAAAREPRGAAEGRGGARATGCRGGSGARRRAGHGELWRRVAAEGRGSSGARATGNRGGLVARWPWKGAATRGSRRRGGSGTRGTRWRPTDLEESCLDARGRRRPCMKVSGAKLSEC >Et_1B_011977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27772956:27777939:-1 gene:Et_1B_011977 transcript:Et_1B_011977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQIGTDGPGVKQQFGPCVALFRWAFLHHHQIHNSLCDQSDEVKIYRSQIQPQFLRFGYGEDSSLSRYQTENSRRNNAKPGRVRSKLANPPPQRGEPNPSRLDSNRADHPQTLAPPPPPTMAPAQAAGPGDDGLRKLEYLSLVSKVCSELETHIGVGDKVLAEFITELGRDSATVAEFDARLKEKGADFPDYFVRTLLTIIHAILPPPSAGAAKNPSAAGDGTSKFPGLSRPDDPDHARNLRIELERDAAAAAPAPARDDRDRRRDGRGRDHRDHDRGGRDHDRDRGRDRDRDYDRGRGGRDRDRDHDRERGGRDRDGDRDREYGRDRDRDRGRDHRDREQDRGRGRDMERDGDRDQRRSRRYEDEEEEDDRGAGRRGREVPAANLSSEPELYQVYRGRVTRVMDTGCFVRLEGVPGGREGLVHVSQMASRRVANAKEVVKRDQEVFVKVVSVKGQKLSLSMRDVDQDTGKDLLPIQRGGSDAPRANPSGGGGGGGGGTGRRLGLSGIMIADEDEAAPTSRRPLKRMSSPERWEAKQLIASGVLDVRDYPQFDEDGDGMMYQEEGAEEELEIELNEDEPAFLQGQSRFSIDMSPVKIFKNPEGSLSRAAALQSALIKERREVREQEQRAMLDSIPKDLNRPWEDPMPDTGERHLAQELRGVGLSAYDMPEWKKEAYGKALTFGQRSKLSIQEQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILVDENLSQYSVIMLDEAHERTIHTDVLFGLLKQLIKRRSDMRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDHACQCLYERMKGLGKDVPELIILPVYSALPSEMQSKIFDPAPPGKRKVVVATNIAEASLTIDGIYYVVDPGFAKINVYNSKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTIPEIQRINLGSTVLNMKAMGINDLLSFDFMDPPAPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDRYKLDVVSAGKNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLIELAPRFYKGADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >Et_3A_026517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9115002:9118996:1 gene:Et_3A_026517 transcript:Et_3A_026517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGSGGASAPVCNFVRRPPKNIRKRPAAPAGSDDEDDGGGAIAALRSKKGPSAPGKLVFSSTDGSAEPRKFQYESSRTIQASTDSRATATLETETEFDRDARAIRERQLKQAEESLKKNPSGSGSGKGEVYKGIHGYTDHKAGFRREHTVSSEKAGGSHGPLRASAHIRLTARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQLEKEWEEAEKARKRRIAMRGADGSDDEAAEDDSDEDEEALPFACFICRQPFVDPVVTKCKHYFCEHCALKHHSKNKKCFVCNKPTLGIFNAAQEIRKKMAEDKKQQQD >Et_3B_031536.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:3797648:3798910:1 gene:Et_3B_031536 transcript:Et_3B_031536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHAICCDRSDHNADVCFMAGDVRTDAKSLSLLLFPPTPPAPGATATVHEEEERIRPYTRKWDNKTMSTIHEVRLRAARPEDEAASHRCDVRHGAPVHVVTGAGGYNGGNYFHAFNDGFLPAWLTVQHLRRRVVLAVLAYDRRWAARYAEVIAGLSDHAVVDLLADNRTHCFPGAIVGTRFHGFLAVDPARLRDNKTIVDFHDFLAAAYGSPSRGIHKPTETVQKQRWRPRLGIVSRRGTRVIENEAAVARLAASVGFDVEVMPAAKTKTPPLAATHAAVSALVGVHGSDLTSFLFLRPGRGAALVQVAPLGIAELSRDAFGAPAERMGLRYEQYDVRANESSLSRVYAPDDAVVADPERARLKRGSGKDWDWEFIGRVYINGQNVSLDLARFGETLARVHSWLLAQQDISSARNRTPP >Et_3A_024774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24053708:24054594:-1 gene:Et_3A_024774 transcript:Et_3A_024774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQERASYHAGETKARAEEKTGHVMDTAQDKAREAKDTASDVANRAMGRSHDAKESTKDKARDAADRSMGMGRDAKEATKDRAYAAKDTAYDATGRAAEVTKEKAYEAKDKAKDGAGQTGSYLAQTADLAKQKAGVAARYTKDTAVAAKDKTGALLQQAGETVLNTAVGAKDTVVNTAAGAKDAVMNTIGMAGENKDSTTTTTKDTSTYKSGRDY >Et_7B_053394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15117230:15118564:1 gene:Et_7B_053394 transcript:Et_7B_053394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHDREAGFHRSPYRDLSFRLPYRNSVFRAAVLSWRSRRLIIQKVPRSVRDGLDKERRYFVPDVVAIGPYHHGSEQLSVMEEVKEAVVQEFCRSAMESTRGSAVVPFLEAVRPVVPEARLCYVDSFDGITDHDFANMMVVDGCFILAVVAILTDDYPDELEHYSWTHGTMLRILKDILQFENQIPWAVLRALMALRPVRVDKFVAKILAYLDIHSREPRFDGTPWHLGGPAPAADGGIRYCDFAPPLVRFTSAVELAEAGIRIHGSGTSRVSDVRVEPGAVIGRLALPQLALSWLPRCWLINMVALECVTYRNDGRSGVSSHFAILGSLIRAERDVQELRSRGILFSTMSDRRTVEFFEGLLDTLPRQELYPRMLEAIVQLRSRRSTRSSLHGVYYRNRRIVLAAAPLLGFLVAVFGIALNNAFKNK >Et_5A_040953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15640085:15645733:1 gene:Et_5A_040953 transcript:Et_5A_040953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMGTISAYGSYQTNGAKALKQSRHMHFQQCCSIGVRFLNKRTQSMEHKAHMAKRSTRNNGIYPKATRSQAPIVCSTGMTIIFIATEVHPWCKTGGLGDVVGGLPPALAAMGHRVMTIAPRYDQYKDAWDTNVLVEVNVGDRVETVRFFHCYKRGVDRVFVDHPMFLEKVWGKTGAKLYGPTTGTDYRDNQLRFCLLALAALEAPRVLNLNNSEYFSGPYGENVIFVANDWHTAILPCYLKSMYKPNGIYVAFCIHNIAYQGRFAGADFDLLNLPDSFLPSFDFIDGHFKPVAGRKINWMKAGIIESDLVLTVSPHYVKELTSGPDKGVELDGVLRTKPLETGIVNGMDVYEWNPATDKYISVKYDATTVTEARALNKERLQAEVGLPVDPSVPVIVFVGRLEEQKGSDILIAAIPEFVEENVQIIVLGTGKKKMEEELTLLEVKYPSNARGVAKFNVPLAHMMFAGADYIIVPSRFEPCGLIQLQGMRYGVVPICSSTGGLVDTVKEGITGFHMGSFNVEGPAKKWEEVLLGLGVEGSQPGIDGDEIAPLAKENFQLFASHVVEFAADI >Et_2A_016048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20510183:20512598:-1 gene:Et_2A_016048 transcript:Et_2A_016048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTKSSTPPPAPVMGAPVAYPPAAYPPAAAAGAPAYAPQLYAPPAAAAAQQAAAAQQQLQMFWAEQYREIEATTDFKNHNLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRGWAHAEENKRRTLQKSDIAAAIARTEVFDFLVDIVPRDDAKDADAAAAAAAAAAAGIPRPAAGVPATDPLAYYYVPQQIRVKYLYWRKPIDGVVTDIVNTFDELNSWMHVWDCDGSWKDTHIPEMWTMVLVTPVILQSNRTRWGR >Et_3B_028085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1037878:1039335:-1 gene:Et_3B_028085 transcript:Et_3B_028085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFLPFAAVSVLLVVVLSASDAAAASSSSLGVRRRYGSIFSLGDSYADTGNNPVAFRRLSLFDPVMRPPYGATFFRHPTGRDSDGRLVIDFIAESLGLPLVPPFLARKRNGSTFRRGANFAVGGATAIDAAFFHRGDPPGGSTFPLNTSLAVQLQWFESIKPSLCGKGCNKDFFRTSLFFVGAFGVNDYLLSLNTNNVSQVRPLVPDVITTISKAIERLIAHGASTLVVPGIIPFGCAPPVMVGFADRDPAGYDPRTGCLKGINELVTYHNTLLQQTLHDLQAKHPSGDVKIMYADFFGPVMEMLTSPAKFAGTESADGGGV >Et_1B_013819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18257282:18263993:-1 gene:Et_1B_013819 transcript:Et_1B_013819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAMSPFPLLFLLSWLLSGHLHTRCDAAGTAVLQVHNCSTAGNYTPTDVYSTNLNQILATLPDTTVSKNGGFFNGTAGQPGAPGTAYVMAMCAADFSRGDCHDCLAMATSNSSGLLKQCPGSSTVVAAYDQCLVRYSDAGFFGTAYTDIVYGLPGPDRLQTMVQNAYTEALKQVLIQLSAQATSSPQRFAASKASPFALVQCTWDLPPEGCKACLDRLASNVSNFNYIRSTGEARTYSCRVRHSNNSFDVFPFADATNNTNGTTLVIDSGSHKRTVIVVAVSVGTAVASLLAAVVVACCVRRRRARRAGSITMGDTSVQIDLLQEYTYEELKKATGNFSKEAELGRGAFGVVYKGTLENKKVIAVKKLQRNEKVEVEQFMNEVSIMSGLKHKNLVKLEGFCIRGQGQGQQEGLLCYEYLPDGTLEDRLYGRRGAARLTWKERCHILKGICSGLQYLHNESPNDISIIHMDLKTDNILLQVQEDKRNGGIVITPKISDFGISRNHDTDKQHEYVDKVVGNMTCMPREFMERGKASPKVDIYSFGLIILEMVTGKSRRSSSTTTMSSSSLDSSNHYGEGLIKQVRDHWEKKDIENIKDEVMDTKCVDEIEKCIDIALECVREDPAMRPDIATITRQLNDVSTKAIHKSITNGITSSTVHTHKVFPSGTKKQRKERTR >Et_4A_034946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8019074:8021794:1 gene:Et_4A_034946 transcript:Et_4A_034946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATQEDAGAAAAAPAKEASSTNQRTQSFKGCSACPISCQEDSAAAATAEGASSTNQRTQSLNGCIRFFKGMAHGTTNAISSSSAEKFYTIHSPLKKRKSQHELIDPRLLSLKYKFRKHLSRQDDESATTESLGCDGVFIKNCSTDMVSIPEELDPCENTLSLFGGCIEVDSKNGIEIQSMTKMFEAWASGSSSSSNIFSSDASSSRSTGTKDTDSWIMHDDECYPPGLVLQPHDNDLDRMRDLLEQYGDLMDGELACGDGHGSASHTMDEKLYSNGVDDFQILPTGQTASHGEKKLTIDQEFEQYFSRLML >Et_9B_065599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7774982:7777479:-1 gene:Et_9B_065599 transcript:Et_9B_065599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETQCSSVMGLLKEIYDLSCNLSAFKYSFATHELGRQAMVASQVGEWHDAPSCVVGLLASDWYAAGASLKNGSQ >Et_9B_064466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15409336:15412178:-1 gene:Et_9B_064466 transcript:Et_9B_064466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPPNAGGDLFAANLTGALLALASSAFIGVSFIVKKKGLRRAGAAGSRAGVGGYGYLLEPLWWVGMITMLIGEIANFVAYMFAPAVLVTPLGALSIIVSAVLPHFTLNEKLQRMGVLGCVLCIVGSTVIILHAPKEEAPSSVTQIWHLATQPAFLCYAASALAVSLILMLHCAPRYGQTNIVVYVGICSVIGSLTVMSIKAVGIAIKLTIEGLNQAGYFQTWLFATVSVTCIIIQLVYLNKALDTFNTAVVSPIYYAMFTSLTILASAIMFKDWSGQSVSSIVSEICGFLTILTGTLVLHSTREHDPNLASDLYTPLPPIYWHIQGNGEIIGKQKEDDLLSGDFITVVRQDYFV >Et_10A_002028.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:19337833:19338822:1 gene:Et_10A_002028 transcript:Et_10A_002028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSDRAEVDTSRAFRSVKEAVAVFGERILAREAAQFRPNATAHVDRLSIRATNPRSETVTIAAPSAKLEVSDGVLASGILNRESHSKASASYSVKQGGSSSSNNPAPELLPMPVTRAAPDDVPMYLVPSSPPFFASSPSLVNDEFDDDRERSKDAADLMVMGTIRKLEDEAARTRQDVAQLKKRIAEMELSMATLHAKLHRALSKLAHMEADRAAAERAAIERGRRGDMSLALWAERRGPSGGRPPLGHLMRVGGDGDGGEVAAVAGHGQMEMARPPARRKVQKQKPIVPLVFPLIGGVLFSKKKRMKDKESVYMKELYNLLRLT >Et_4A_035598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30557588:30560164:-1 gene:Et_4A_035598 transcript:Et_4A_035598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPVSGNPRSVEEIFKDFSARRAGLIRAITSGNSSFPCLVSLPQVDEFYGHCDPEKENLCLYGLPNGTWQVAPPAEEVPPEMPEPALGINFARDGMHRRDWLSLVAVHSDSWLLSVAFFFGARLTANERKRLFSMINDLPSVFEAFAERKHGRDRSGVDSSGKSRHSSKRGSDGHAKKSRAPPPTEEYDDDEDEDHNETFCGTCGGLYNANEFWIGCDICERWFHGKCVRITPAKAEHIKHYKCPDCSSKKMRQ >Et_8A_057836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8073411:8082338:1 gene:Et_8A_057836 transcript:Et_8A_057836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETPLYDAIPEFRYRLIDLTGLGFLLGAGGGSAFHIHFVRGLRGLPSGARLVGGPRAVGTNGPRVAGRWGACLAVLCALETAMPLASRREESEDPWNCIAAAAATGGLLGMRRGAPAAARSALVGATLVAGLMGASWAIDIHEASCSSSSCRKTVLHCNPPAPVAVARHPWGAYAAVYCACENAALLARRRDDRWNSIAGGAATGGLFGMCRGAGAGLAVRSALLGAALVAGFVGACWVGDMHPPDVLRQNPDESEGIRSNIKQNPYESRFDS >Et_6A_046919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22319325:22331993:-1 gene:Et_6A_046919 transcript:Et_6A_046919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPSPPWADMPPELACLVLRHLPARVDRIRFAAVCPEWRAVAREARLPPPLPLLVLKDGTFYSVPTSEPLRFPYHTEGFITACDIWLLVSRNSHLLLVDPFSGATMTLPAPCRAVGDGDYYSDSSEDTVAHTKKGRAHYESDDSYSDDEDTMSLPARCRVRYQSDDSDSDEDYDSDDSDVSETNFTIIKLIGCLPHLVAALFSSNGCCRIAVCRPGASLWSVLWNRTFGVTDMAFYQGKLYVVDDDESLLAVCIVVDDSTGNPRVARFVQVINNSPYLILNRMVYLVKSHGSLLMVCRRNHVAHRESEIHTYAGLYEPELVAFEADFGRSEWVKVTTLRDDQALFLGPCSRDVCMPKCVMAGNRVWFLDDYKNYQGWGYCYDDMKDSSTARDCSMKKSKLSTPLPMISWKGCRGAGAAWLFPLVGSPPPQWSEMLPEVVGLVLRCLPTHVDRVRFAAVCRKWRHATQKVKLPPPLPLLMIPDETVYSLPRSKPFHISSCSGYTGVCGKWLVFSSEDGDFLKDPMSNITMTLPPTSRVRARRVNDNDLMDGMSLPKMSIMKLIFGSSSLIVASVCFGQSFRIAVCQPGAASWWSVYMGNKFIDMGFHQGTLYALGIHDKGLFAVDINVDHDTGDPWVSRIQHVINGTPTCPLSYADNPHSLIGMKDYLVESCGKLLMVRITVMRHGKLAVATEHPQTKVEVFEAIFEQPQWNKMTSIGEDQVLFLHQSCCRSVCISQYNNVLGDQIVFFENDDEASFKLANSQSCCSVIHGFLEFDVPSTAFLVYQALVMFQEIPGIAMCQPSAAYWWSVHVDDRSLLFVDIICHQGRIYPLDTHKDGLFDIDIRIEHSTSDPWVSQIRRVINGIPGISGVGIPGPGIWPEKVWCARRRRSCQCLAIDSVYSDDDSIANDLDIRREVELLQQDITFDVSEADVNEHSGLSMKCRGIENDDDNDDGDDDDDDISTRTMRSTSFCRMYDMRDGILSAREMVSWKHRANGFLGEMFYLVESRGGLLMVRRMINGRWIPHSENTTVRGARWNEFQVFKADFKQSRLTEEMTIGYDHVFFSVSTMLKVGLSFPLLDAWGQGSSSWRRKITANTIAATEEITETFRCKTAKRTGRVDEDQTWCNKGEPELLQCLPGCATVALPEDVSWRPGTVRCLSCALRPAQVMAEAPRRHRLPSTWSDIPLELADLVLGRLRAHADRNHLFRFPRCANYTDACGNWLARTASCCFLRYPCSNATVTLPALSRIRVRYIRDRPYMDRIRGFKGPDHSAQSHVLLAAHRRSIHQRQP >Et_10A_000678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15316440:15318540:1 gene:Et_10A_000678 transcript:Et_10A_000678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHSSKLAVVPETEVSELAGDSDADPSPRAQLNTRPASHHGASLPIPPSWLDRSATTVPTLPRHVVLPPLDTAAFQHPSIRFAPPAVSMSMPASPGSFGAPTPTAVTTDSADLRRHALANAAAAARGTPHRLAAQDKGSNSFQFAPPERDEMMFRSQPIPRPPPARARSRGTGARRAAAMNWPDRRYDSFKTWSGMLERQITHLAGGHEFNGDEHDDGDDFAASRRTAATSVPEVDRFYAALEGPELDQLKPSENLVLPSDILWPFLLRFPISAFGMCMGVSSQAILWKTIATSVPTTFLHLTTKVNLVLWCISVALTCAVSLTYSCKVAFFFEAVRREYYHPIRVNFLYGPWITLLYLAAGVPHSVASTAALPHWLWYLLMAPLLCLGLKIYGQWMSGGQRRLSRVANPSNHLTVIGTFVGAQLGATMGLREGPTFFFAVGLAHYLVLFVTLYQQLPTNETMPRDLHPVFFLFVAGPTASSVAWARIAGEFGGASRLAYFVGAFLYASLAVRVNFFRGFRFSLAWWAYTSPMASAAVATIRYSTEVDNGFTKAMCVALSAVATFTVLALFATTMVHAFVLRNLYPNDICIAITERKVKPIMELQETNGGNDDIEAASGNGATATGSR >Et_1A_006177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18801668:18806675:-1 gene:Et_1A_006177 transcript:Et_1A_006177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGLPCRLQAAPVHARRSPGTAPAGTCARSAGRRGFRRRLSQGPPAATETGDADWCEPSREGGVKWPASLRLHLSIPEQAALADEELVDGHRSRRRYRRDGETLEADKCEQGKGEEGVMCAKQNLSTSSVLTDTEFNNKRERHKSNAMASPQPEGLQPPAPPPHSHSTTGGAKATCPPPAAAMSSAAAVPEPSRPVRFGILGCATIARKVSRAMLLAPGAAVAAVGSRSEEKARLFAADNGLPSDGLRLHGSYEALLDDPGVDAVYLPLPTSLHVRWATAAAARGKHVLLEKPTALCAADLDAILAACDAAGVQFMDSTMWMHHPRTAKMREVVDDRDAIGDIKVINSVFSFRANEDFLQNDIRVKPDLDALGALGDIGWYCIRGILWAVDYELPKIVIALRGPVKNNAGVLLACGATLYWDDGKTATFNCSFLTNLTMDITVVGTNGTLHITDFVIPYQEKSGEFSVASKSNFAELHTGWDPLPSKHVVMNDLPQEALMVQEFARLVRNIRDTGGKPEGKWPAITRKTQVVMDAVKASIDKGSEPVEVVS >Et_10B_002420.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19150902:19151165:1 gene:Et_10B_002420 transcript:Et_10B_002420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPGAGAVSSLATRSPASVSATPSTAGLLITGEMAPQTAASSAKQSANWSAQVRSGSGTRTRCAAAAGRRRRRTGRGIAPAAGGR >Et_9A_063295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19167717:19171954:-1 gene:Et_9A_063295 transcript:Et_9A_063295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRKRLPIVWFLVPFLMAFQLCASLNQEGAALLRMKEAIDADPYGALLDWYEGDVSPCAWFGVECSYDGRVMALILHNNSFYGMIPKEIGDLQGLKALDLGYNSFNGSIPAELRNLLSLEFLLLKGNKLSGGLPVELHELTTMCESQNQDVDVLNRVSIARNKRSVATRRLLESKQKVSQKNKIVSSGAPKFVPSVSNSEELAINFQPVVPDNPARPPSHHRESPNPLNPPPPPSPSEPIPPPPVSSVVEQTTPQENKSSHVSIILSQLEKACEGFSNIIGTLPGCKLYKGTLPCGTEIAVVSTSVAYAGGWSATTEAHFKNKVEVLSMVNHKNLMNLVGYCEDEEPFTRMMVFEYVSNGSLFEHLHGEYLDVTFYNKRGYLWVADGAFILKMESLVNSLHTVDYVVNSCCCDIHISNIANYHQNNVVAVKEAEHLNWQARIRMAMGVMYCLEYLRLQNPPVTLRNLNSSSIYLTEDNAAKVSDISFYTGKKEEKSEFDVSDEDDTVYKFALLLLETISGRRPYSEDDGLLVLWAHRYLTGVHPVMGMVDPTLNSVPEEQVRALSELIRLCISEDPRQRPTMAEVTKKMQDITGITEDKAIPRNNALWWAELEIITA >Et_7A_051737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23897003:23897658:1 gene:Et_7A_051737 transcript:Et_7A_051737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCGTPCKLVKSLVLSDDYGKRLWMCNNYQYEYLCNVFAFLMRDQRRRKSVDVSTKRNVSKERRRNEDVNKRKTASLTRHARPKGRGCARELVMLGQQVLMHLERGNIPVALNRHLVVLVCMKFISVRRVRSIIVKVDYFIFM >Et_9A_062782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4897462:4898408:1 gene:Et_9A_062782 transcript:Et_9A_062782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFRFLKAEVAEMEEIANQLHNNAATPDRAVVQALADKFNASAACSGDNIAVQPGQVLTWFKNRRRRYYPARPTAPSEAATQEQAGALLVGRPSLAAQDTAGSSSAPDKNFLGILPQTYTCLFPKIS >Et_3A_026266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6184414:6188184:1 gene:Et_3A_026266 transcript:Et_3A_026266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSAPMAGDAGERTLGSVIREKDEELALFLEMRRREKERGAAAAEQLALSGDGGAEGDGLLLVDPTPHAEPKAAAYKVTGVFRRAPGGADDFLNADGGDKNDYDWLLTPPGTPLFPSLEAESKRSPVSQAGTPKARPTALKSRLANHPDPPARTNLPLRTASSNSLNSAATIRRPSSSGGLTSNASRPSTPTGRSALTANSKGSRPSTPTSRATVSAKTGASAPRSSTPTSRSTLPSARSTTPLTRAAGPASRTSAPSGRASAPASRSSTPTSRSSIPATRSSTPSSRPSMPATRSSTPSSRPSIPAQSKPTSRASTPTRRPSAPSAQQGNLAAPVRSSSISKSGSTVSKGSSPAKSAAPTPSRGSSPTVKSRPWKPSEMPGFSLDAPPNLRTSLPERPTSATRGRPGAPSSRSSSVESGPTARPRRQSCSPSRGRTLNGSVPSGSSMPAVRRSHHNGGDSVNPVQMGNKMVERVVNMRRLVPPKHDDQRSSLNSLSGKSSNSPDSSGFGRSLSKKSLDMALRHMDIRRSIPNNLRPLMTSIPASSVHSARSGSTRSRPISVSDSPLATSSNASSEPSVNNNLMCLDSIDIDDELCSGRAGPYGR >Et_9A_063006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:834925:839073:-1 gene:Et_9A_063006 transcript:Et_9A_063006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGNAKMSKSSSWPKAKTVVKKWFNLRNSEFHSDCIRHQQQHQVMRRKSCSDRDGSFPTSRDMSGGWLVESSENLRAPPRMFVGTWNVGGRAPHGGLDLSDWLMDGPASSSAHIYVLGFQEIVPLNAGNVLGAEDKGPAYQWLDLIRRALNPRSSKRSQSFPPSRRYAAAETTTNPDLHQNVARVSFSDLLAAEDRLSTVSEPDDDDSEPSTSNPGSSSDEDTSDSTVHGGAGHGYRLAASKQMVGIFLCVWVRADLMPHVTSLKVSCVGRGIMGYMGNKGSISVSLSLQGSATMCFVCTHLASGEKDGDEVRRNSDVAEILKRTRFPPHRRVFGLPSPETILEHDKVIWLGDLNYRLTGSGCGETQELLERRDWEALLERDQLRTEQRAGRVFAGWEEGRIRFPPTYKYLADSDAYAITSSRSSRDKKRTPAWCDRILWRGSGMEQLWYARGESRFSDHRPVTSLFSASFDKRRTPASCATTSRGDNAAAVEAEEMLAVSRTSCPHSSRF >Et_7B_055877.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6525709:6526044:-1 gene:Et_7B_055877 transcript:Et_7B_055877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYSVQCNWPGVKLTFVVDAGSNQEYLAVLIKYVNGDGVLSAVELMQTGADGTWSPMQQSWGAVWKFNAASALKAPLSIRLTSSSGKKLVASNVIPDGWKPGSAYQSAVNF >Et_3A_024189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18222514:18226043:-1 gene:Et_3A_024189 transcript:Et_3A_024189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNSISSHSCKHIAGAQNAWDMLSDEQSQKHITTGSGGINNILGGGIHCKEVTEIGGVPGVGKTQLGIQLAINVQIPVEYGGLGGKAIRRAVSWLNVPIKLLKGVRIVIIDSVTFHFRQDFNDLALRTRVLSELSLKLMKLSKAYNLAVVLLNQVTTKFTEGSFQLTLALGDSWSHSCTNRLILYWNGNERYAYLDKSPSLPVASAPYAVTGKGVRDSVSSNCKRVRVM >Et_1B_009754.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:24261806:24262744:1 gene:Et_1B_009754 transcript:Et_1B_009754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPDGPLPSGAAARHPDQPDGGGSGRGLRRRLGRDAAADLGVGEHAAADREPARAPALADVGPLVEHAGHRRRGRRLRLGLELLRLGAVSGAEPRAGLPLPGEAAERVQVPGPHRRRPPFPSRDRSPSSLNSRSRPSRVPHLGWGLLYSPPLDAAGGFGLVSLRWRASGAEEETSSDAAGGRASRAFMRARSLALGRRLLPPSQTVRTQRNARTHHRLVATATDGRTDGAVPSKAEPGQGVPARWPPPVGDRVRGVRVCSRPVPVRVAGRGPQVSETRSGSVAACVAASGSGWLAWLGLVRVSGTARALLR >Et_2A_017126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31179608:31182080:1 gene:Et_2A_017126 transcript:Et_2A_017126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSAVASAEPLLPAALKRGGRAAAALERCASRPDDELQWFRSCLRWVCMDHSGPGGAALSWLLFLALAVVVPAAAHFLLVFRDTRRPFSVVVQLSLSAASAAGFLCLSASFRRVGLRRLLYLDKLRTKSDRVRLNYTARLAFSFRLLASLVAPCFAAEAAYKVWWYATSAADVPFFANDVLSDVLACSLEMASWMYRSAIYLLTCVLFRLICHLQGLRLEDFAGTLLMEVEEGRTGIEDVLREHLDIRKQLKVISHRFRKFIVAALLITTASQFSAVLLTTRRDSVDDLLNTGELALCSVVLMSGLIIILSSAAKITHQAQALTGHTTKWHACCTIEPVHDEEAEPGSNHNSMIEQDPGCDGDSDTESSEETGDDDEDLLENTKLHLPQAHVLDFQKRQALVTYLENNRAGITVFGFTMDRSYLHTIFMLEWTLFLWLLGKTIGFS >Et_9A_062898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6396308:6401317:1 gene:Et_9A_062898 transcript:Et_9A_062898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGHHFNSISLGGRGGGVSPASPSSPHPSRSRARLGRGASRRVDASFRGLGWKKQGGGKIIEVDKADITSVTWMRIPRSYQLGVGTKEGLYYRFFGFREQDVSNLTSFIQKNMGITPEEKQLSVSGHNWGGVEINGNMLCFNVGSQEAFEVSLADVSQTQMQGKTDVVLEFHVDDTTGANEKDSLMDLSFHVPTTNTQFVGDEHRTSAQMLWQEISAKADSDGSSETAVVTFDGIAILTPRGRFRIELHTSFLRLQGQANDFKIQYSSILRLFVLPKFETDVIVDRDLNLSEEVLAEKYTNKLQSSYKGFIHEIFSSVLKGLSGAKVTRPSSFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEFVEFERHGAGGASMSSHYFDLLVKLNNDQEHLFRNIQRNEYHNLFNFISGKHLKILNLGDGQGTTSGVTAVLQSTDDDSVDPHLERIKNQAGNDESDEEDEDFVADKEDSGSPTDNSDDDGSDASLSGGEKEKSSKKEASSSKPLPVKRMRKAGAVEGSEKRKPKRKKDPNAPKRAIAPFMYFSKAERANIKGSNPELATTEIAKKLGEKWQKMTADEKQPYVELSQVDKQRYAEESAAYRSAGVTGPGNGSE >Et_1A_009522.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:7138810:7140429:-1 gene:Et_1A_009522 transcript:Et_1A_009522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSMAMSRAFTARNGHASYLQRRSVSASPHTAPRMPLLPRRPSLSVTVASRPRLLPASPKASSSESDLSPTPPSERTMTAWDLASLWVGLVVGVPSYYLAGSLVDLGMSAIQGVATVAFANLIVLVTLVLTAAPAVTHGLPFPVLARAAFGVRGAHVPAVLRALVGCGWFGIESWIGGRAIFLLLPAWLKSHQPLLTPVPGLGAAPLEFACFLAFWAAQLGVIMRGMEGIRKLEKFSAPVLFVLTSALLAWAYTSAGGFGRILSLPPRLTRAEFWKVFFPALTANISFWATVAINIPDFTRYARSQADQVLGQAGLPVFMGMFTFAGLAITSSTEAIFGHIISDPIELLGRIGGPATTFLAIFGISLATITTNIAANVVAPANALVSMSPRRFTFAKGALVTALLGIAFQPWRLLSSSESFVYTWLVGYSALMGPIGGVVLADHYIVRRTALDVDALYSESKESPYYFQGGFNVAAMVAMAAGIVPIVPGFLHTAGVLPSVHKAFVTAYNNAWFVSFFVAGAIYCLLCRRSGTQAKHQ >Et_10B_002816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11933881:11934808:-1 gene:Et_10B_002816 transcript:Et_10B_002816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDIDHGNNVWPPGSIAPFNLETETWMPVLRGPLHEGGLLVYDLKKGTYRGLFNLRKYAAVGLYKDCLLSLGGVTSDHIISIVTMVKSSIQIDLRPRRREFYSHPHFVLDDSKIVLRLQPEGGLLFYDLQK >Et_8A_057932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9496068:9502041:-1 gene:Et_8A_057932 transcript:Et_8A_057932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIKPLNSVIFILHAVGVIMAAVVTVVAIDAMYKCAKMAMKKQTQEDGGNHNHEESITDEPSDSSMDDEEAGAEAGSITHFLESVQKERPVRFSSRQLRSFPQNYSHKIGSGGFGVVYKGRFPGGAPVAVKVLNTALGRRAEEQFMAEVGTIGRTYHVNLVRLYGFCFDASIKALVYEYMEHGSLDGYLFNPPLEKEVPFLKLHEIAVGTAKALSHLTITGARGTPGYAAPELWMPQPVTHRCDVYSYGMMLLEVLGRRRNLELGDRHSCDSMEWYPRWVWHRFEAGAMNAVLARAAGLGGSEVREKAERMCKVALWCVQYQAEDRPSMGSVVQMLEGEYEIAKPPNPFAHLVQSQRVTIWLIPLRTEELGAKVAPFLPLAGLMH >Et_7B_055280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:903757:907057:-1 gene:Et_7B_055280 transcript:Et_7B_055280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSAARAWRRSLCDAVLRGSAGRGAPARAASTASASGAAAEAAAAAPKKMPPPPRKVMLTHAVISSSAFGHTRHGRRLLTGAMIGLAIAGGAYVSTADEANGWLFKSTELVNPLFALLDAEFAHRLAVKAAAHGFVPREKRPDPPVLGLDVWGRKFANPIGLAAGFDKNAEAVEGLLGMGFGFVEVGSVTPLPQEGNPKPRIFRLKEHGAVINRCGFNSEGILVVAKRLGAQHGKRKMEETSTNDVKQGGKAGPGILGVNLGKNKTSEDAAADYVQGVHTLSQYADYLVINISSPNTPGLRKLQGRKQLKDLVKKVQAARDEMQWAEDGPPPLLVKIAPDLSKQDLEDIAAVALALRLDGLIISNTTVSRPPPADAHPSAQETGGLSGKPLFDLSTNILREMYLLTRGKVPLIGCGGVSSGEDAYKKIRSGATLVQLYTALAYGGPALIPRIKAELAECLERDGFKSVQEAVGADFR >Et_1A_009573.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:8323655:8324317:-1 gene:Et_1A_009573 transcript:Et_1A_009573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDLSTAAAAAAMFHVYHPMQVPSTAAPAPAPAASEGGVAVAVAATAAGKKKAAAPGGKDRHSKVNGRGRRVRMPIVCAARVFQLTRELGLKSDGQTIEWLLRQAEPSILAATGSGTTPAVFVSSSAPSTSSSSNYHHHHHQTVLGKRPREEADAAAVSAAASAFWAALPAPRAEAAWGFSPLEAQAAATYVPMAQAHHLNLLAALSGAARRAEEETR >Et_10A_002278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6936890:6937899:-1 gene:Et_10A_002278 transcript:Et_10A_002278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSVEIVVRSSALSCSRTDEMLDEDEDHPNNLGNGALAALEAALLRRADEGTRSTKSSFGNLGLIPVDTLRISYTRDEARMARHADCIIAAAHAREICVEVPNSGEASRVAWALVAPPAARVPKHLQLRYRFGPRRHLPTAAQGPRELRWTRSMSSRPPVMEKLEVYCSTGCTPDYYGSFTARVPRLRHLPWHLQFAERANVHFGRPSSVTSGSIVDFTAAR >Et_1B_010492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1140668:1141344:-1 gene:Et_1B_010492 transcript:Et_1B_010492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLAPPNARLVDELSCSSGSSSGGKRAFQETLPLFDDGSSRKKKQLVGWPPVSSARTRACGGANYVKVKKEGEAIGRKVDMSVHASYDELLATLCRMFPATTNQSGNKNPSYYHPTYCVLYSDSEIHYLCAEENEICSSISRVVTYEDGEGDWMLVGDVPWETECICLHLIRLDYSADNVFAIPCSDFTRSVKRLKILG >Et_6B_048206.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:10315261:10318356:1 gene:Et_6B_048206 transcript:Et_6B_048206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLREGKTVQSAMYVPLLHRCAETGGLGAARAVHGHMVKTATAVDMFVATSLVNVYMRCGACEDAHRLFDGMPERNVVTWTALITGYTLNSQPDLAVEVFVEMLEAGRYPSHFTLGGMLNACSALYNVDLGKQVHGYMIKYGAESITSMGNSLCRLYAKAGKLDSALKAFRCVPDKNVITWTTMISACAEDENYVELGLTLFLEMLMDGVMPNEFTLTSVMSLCGTRLDLNLGKQAQAFCFKIGCKDNIPVKNSTMYLYLRKGETEEAMRLFEEMDDVSIITWNAMISGYAQIMDAAKDDLHARSRGFQALKIFRDLNRSEMKPDLFTFSSILSVCSAMMSLEQGEQIHAQTIKTGFLSDVVVNSALVNMYNKCGSIEDATKAFVEMPVRTLVTWTSMISGYSQHGRAQQAIQLFEDMRFAGVRPNEITFVSVLSACSYAGLVKEAERYFDMMKNEYKIEPVVDHYGCMIDMFVRLDRLEDAFSFIKRTGFEPNEAIWSSLVAGCRSHGNMELAFYAADRLLELKPKGIETYVLLLNMYISKQRWHDVARVRKLMKQEDLGILRDRSWITIKDKVYFFRANDRAHDQSDELYQLLDNLLEKARAIGYEPYQSAELSDSEDDDKTASGSVKHHSERLAVALGLLQIPPGATIRVTKNITMCRDCHSSIKYFSLLANREIIVRDSKRLHKFRDGQCSCGDFSALL >Et_7A_050965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1334375:1334912:1 gene:Et_7A_050965 transcript:Et_7A_050965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVCQARLIVPYQMLIVEVAISFTGRGNSPLISGSGALALSMIPKGNDPPASSEEPKRHESSTAYLMPQSISRRGNSLLISNEGFDPLALSMMPGLSAYQAQDAATMYF >Et_1A_008722.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:10770708:10771724:-1 gene:Et_1A_008722 transcript:Et_1A_008722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNPAPPATGAGAAAAAAADDDDVVVREFGPLLRVYKSGRLERPLVPPPVGPGLDPATGVESKDVALGSCSVRLYLPPAAKTNAGVKLPVVVYVHGGGFVAESAASPHYHRFLNSLASACPALGVSVEYRLAPEHPLPAAYDDCLAALNWTLAAADPWLAAHGDLERVFVAGDSAGANICHHLAIHPDVVARSSRRRPLLKGAALIHPWFWGSEAVGEESPDPAARAMGAGLWFFSCPETSGMDDPRMNPMAPGAPGLETLACDRVLVCAAEGDFLRWRGRAYAEAVAAARGGGGGGGGVELLETTGEGHVFYLFKPDCDKAKEMLDKMVAFVNAA >Et_5A_042018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5272622:5275405:-1 gene:Et_5A_042018 transcript:Et_5A_042018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPEPEVFDVVIFGASGFTGKYVIREALKFLSSSAASSPLRSLAVAGRSRDRVAAALRWAAAPAPPPEDVPILIADSSDSASLAALASRARIVLSCAGPFRLHGHAMAAACAAAGADCLDISGEPEFMERVEADLHEVAAKNGSLIVSACGFDSIPAELGFLFHSRQWEPPSAPLSVEAYVSLQSSKTMAGNIGTYESAVLGVANAGELQALRRSRPRRPRPNIPGHPPPKGSLIERSNPLGMWAMKLPSADTVVVKRTLSTVTEHPEGLPGAEESPEYTEHRKNFWSSVKPAHFGVKIASRSLMLIVGFLFTGVFIGLLGNFSFGRSLLLKYPEFFSLGIFRKTGPTEEEVESASFKMWFVGHGFSDVARASEHGSKPDKEIITRVSGPEVGYVTTPIVLVQCALVLLSQRGNLPKGGVYTPGTIFGPTDLQRRLQENRMSFEVVSTRTLGSD >Et_2B_020902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24719169:24719653:1 gene:Et_2B_020902 transcript:Et_2B_020902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWSSGGRVVGVSSSAIMLGNQLAQEKKGLIIAKGLCLSAVWQAFLFARDGGLDDVVVEKDAMNVKTALPSQSYAGCFAAAVLQQLQCFNYFFCSRYSVFAAAIVYFSAAGCLQAAACSSVRSKTLLLMAFQNFSIKFQRRSCNVVADRFRLIRIL >Et_7A_050541.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:23487767:23487928:1 gene:Et_7A_050541 transcript:Et_7A_050541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWPSAGSTSLNKACTRVDLPLPVLPTTPIFSPFLILIVIPFKISGVFCRYLT >Et_6A_046981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23507039:23512832:-1 gene:Et_6A_046981 transcript:Et_6A_046981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDGVVRRVDAAAADQVPPAAGAGGPHHLKQEAPVDDHHLQELVAAAPLGCDGVEAPVRAAQLLRHLLDGSGELPQRPPGSPEEVAQRAALVLLHGPQPLVAVVEGAQRHGLRPVRLGVEVLDARRHAVDAVAPPSGPLYRGVGLAQLLLHLRRPRPLAAAAAGGRHGSDRLARHNGIDGLDDGHDEDGGCGDEIDEGCGALEVVADDVGAPLVPRLLAVGVHHPVHGVQFAPRVEHHVFGDALEQRRLRLVGSDVVLDDPLGAQQRHVFGRIYEGVHEEDVLRDLVPGAGVQLLECHHVEERVLSRFVDGHRRQAQHTAPESDVVEAIRGSLFEPDPGLVQLHRRPDEVRLQHQGVPTLVLMGPYSMLRRYTSSGWRPRPTPAGRGWEIGDRSVSTILLMSSSFCVWQLVLDQEHVTSDVGHLVEQAMGAEDGVVRGVIVGVPPNDADALISSSRKHPSTTIISRNFSVPSTSRHLASRSWYAFCSCSITSSTVATNSSSGPPAPAQKVTQCAALVLLHQQQLRFTVVEGAQRHHLRTVRLGVAVRDARRDAVDAVALPSGHLHGGVGLAQHLLHLHHPPPPAASSHGSG >Et_10B_002961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14687634:14690078:-1 gene:Et_10B_002961 transcript:Et_10B_002961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGLVDWRGRPVNQKRHGGVEATLFIHFLIVMANIANIPLILNLVSYLHGTMHMGIKDASTTSTNFFGAICFFSFLGAFISDSYIKRFYTILIFAPIEIMATCQAHISSLHPPPCDVINHPNECTPVSGKNLSLLSLGLYLIPVGEGSLRSCAAALGGDQFDSDDPKEMRLRISYFNWFTFCISLGGFVGLVFLVWVQDNKGWGLSFVVAALLVLFGTVVVTGGLPLYRHQKPTGSPLTRILQVFVAAFRKRKLSLPENFVETNDVTDASGNKSVEILERTPGFKFLDKAAVDNGDKGAWSRCTVTQVEEAKIILRMVPVFLSSILGNVPLPLLLALTVQQGGTMDTRLGGTRIPPASLFIVPIVFQLLILVAYDRAAVPWLRRATGYAGGVTHLQRVAVGFAASVAALAVAAAIEGRRRGRSLTTPAGAPPAMSVFWLTPQFFLLGVMDVTSFVGLLEFFYSEASLGMKSTGSAIVFCVLGVASWLGSLLIQVVNRATSTSSSSGGRRHGWLDGATLDDSCLDLFYWLLAAFALVSLLIYLLCAWMYTYRHDPRMKPVLVRDDVVVPETTRTPAV >Et_7A_052356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7145649:7164918:1 gene:Et_7A_052356 transcript:Et_7A_052356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDARDLSDSSPLPPTPREQGSEELFAPVPLGDEAGGAAEPPSDPEMGASTPATPATPYEPSPTPRRRPRPPGVPADAPQEVVRAVEAAIAGGADLLVDVVAQEQGELAHPVVDVLLETMGGADEAGDATGTGAPPSIMFSSRAAVVAAELLPHLPGDEEPSPRTRMAAGLYAALRACTRNRSMCSSSGLLAVLLEAAEKLFVQQDQESGWDGTRILQCIEILGGHSLSVKDLHSWLDLVRKALGTSWATPLMLALEKAMGSKEARGPAATFEFDGESSGLLGPGDSRWPFLNGYGFATWIYIESFSDTLSTATAAAAIAAAAAATSGKSSAMSAAAAASALAGEGTTHMPRLFSFLSSDNQGVEAYFHGQFLVVESVGGRGKKASLHFTYAFKPQCWYFVGLEHTNKHGLLGKGESELRLYVDGSLYESRPFEFPRISKPLAFCCIGTNPPPTIAGLQRRRRQCPLFAEMGPVYIFKEPIGTERMSRLASRGGDILPSFGNGAGLPWKATNDHVKNIAGESFTLNNEIGGSLHLLYHPSLLTGRFCPDASPSGSSGTHRRPAEVLGLVHVSFRVRPSEALWALAYGGPMALLPLTISNVQMDSLEPSPGDLSLSLATVSLSAPVFRIISLAIQHPGNNEELCQTFAPELLSCVLNHLLQALSKLETGEKAPTDEELVAAIVSLCQSQTNNHELKVQLFSSLLLDLKLWSSCNYGLQKKLLSSLADMVFTESACMRDAKAMQMLLDGCRRCYWAIREPDLIDNFALTGTKRSPGEVNALVDELLVVIELLLGAASSTAISDDVRCLIGFIVDCPQPNQVARVLHLIYRLIVQPNVSRANMFAQSFISNGGVEALLVLLQREAKAGNKNILDDFSATSSENDFLRDASSDRKASSGDDKCQVDETKSVEHHETVIYKEVVEHEATDTNVASRKMLDPNIEKMAPSSENGLLKNLGGITFSITSDNVRNNVYNVDKGDGIVVGIIHILGALVASGHLKFDSGAASSKIPGGNQTNLNEEGNTVSEDRVSLLLFALQKAFQAAPSRLMTANIYMALISAAINVSSVDENLNLYDSGHRFEHIQLLLVLLRSLPFASRAFQARAIQDLLFLACSHPDNRTTITSIAEWPEWILEVLIYNHEMGAKKNTDGISSGEIEDLIHNFLIIMLEHSMRQKDGWKDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRILGDLLDFSARELQVQTEVIAAAAAGVAAEGLSPEEAKVQAENAAHLSVALAENAIVILMLVEDHLRSQGHHFCTSLSGASGVSSASMVSLAASRSNSVGKTGIESAFASRRSSLSSDAGGLPVLTSMADANGQIPAAVMERLTAATAAEPYESVRHALVSYGSCIADLGESWKYRSRLWYGVGIPAKSDVFGGGGSGWESWKSILEKDSNGNWIELQLVKKSVAVLQALLLDDSGLGGGLGIGGGSGPGMGVMTALYQLLDSDQPFLCMLRMVLVSLREDDNSEGDAFMRDINIKDVSEGMGHQAGSMLTFDGNSYSSPRKPRSALLWSVLGPILNMPISESKRQRVLVASSILYSEAALLMVSPGWAAAFASPPVAMALAMMAAGASGTETVTPPRNTLSRRDTSLPERKAIARLQTFSSFQKPSDTTANKPGSTPKDKAAAKAAALAAARDLERTAKIGSRRGLSAVAMATSGQRRSSGDVERAKRWNTAEAMSAAWKECLQSADSKSVPGRDFSALSYKYVAALVSCLALARNLQRIEMERQTLVDVLNRHRASTGLRAWRHLLHCLTDMGRLYGPFRQPLCTPDRVFWKLDFTESSSRMRRFMKRNYKGSDHLGAAADYEDRKLLSAAAQSNECNSEGADSSLTNTLPSSASAIMAEAISMDEKNEEKELLDADTTRSSIDDDQLQHSSAADQQSVKGSVGSRSSGISADRNLVRTTVLAPSYVPSEGDERIIVELPSKMVRPLKVVRGTFQVTSKRINFIIDARSSDSNIDDGASTSGQCDQQDKDRSWLISSLHQIYSRRYLLRRSALELFMVDRSNFFFDFGDIDARKNAYRAIVHAKPPNLNDIFLATQRAEQILKRTQLTERWANWEISNFEYLMELNTLAGRSYNDITQYPVFPWIIADYKSRVLNLDDPSTYRDLSKPIGALNPERLKKFQERYSTFEDPIIPKFHYGSHYSSAGTVLYYLFRVEPFTTLSIQLQGGKFDHADRMFYDLSGTWDSVLEDMSDVKELVPEMFYLPEAFTNINCIDFGTTQLGGKLDSVELPPWAENPVDFIHKHRKALESEHTSAHLHEWIDLIFGYKQRGKEAVMANNVFFYITYEGTVDIDKITDPVERRATQDQITYFGQTPSQLLTVPHMKRKPLSEVLQLQTIFRNPNELKPYVLPNPERCNVPASAMLLSNDSIVVVDSDVPAAHVALHHWQPNTPDGQGTPFLFRHGRSAANSTSGALMRIFKGSASSAEDYEFPRAIAFAASAIRSSAVVAVTCDKEIITGGHADGSLKLVFPDGAKTIETASGHLAPVTCLALSPDSNYLVTGSRDTTVILWRIHRTGSVHKKNAPEPPPATPTTPRSPLSSSSVSNLSETRRHRIEGPMHVIRGHLGEVTCCAVSPDLGVVASSSNASGVLLHSLRTGRLIRRLDVAEAHAVSLSSQGIILVWNESKKTLSTFTVNGLPIATSVLLPFCGQISCIEISTDGHFALIGTSLLNNYKSDDSTEIGAHELDGPDGKGDVSKDSELTEAKQSVHVPSICFVDLHKLQVFHTLKLEKGQDITAIALNQENTNLLVSTADKQLIVFTDPALSLKVVDQMLRLGWEGDGLLQS >Et_8A_057294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23276510:23278793:-1 gene:Et_8A_057294 transcript:Et_8A_057294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGELRLLFVVGAVLALTAVAGVGGERAAVPALFVFGDSLIDNGNNNNLASLAKANYFPYGIDFADGPTGRFCNGYTIVDELAGLLGLPLVPPYSQASTVQEVLQGVNYASAAAGILDDSGGNFVGRIPFNEQIGNFESTVSQIAGAMGAASASRHLARSILFVRMGSNDYLNNYLMPNYDTRRRYTPQQFADLLVRQYAAQLARLYRAGARKFVVAGVGAMGCIPSVLAQSATGQCSPEVDDLVRPFNANLRAMLAGLDAGGLPGAWFTYLDNFRVFRAILRNPAAFGFAVVDRGCCGIGRNGGQITCLPFMPPCADRDRYVFWDAYHPTAAVNVIIARQAFHGAADVVAPVNVRQLATL >Et_2B_020645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22292053:22295935:-1 gene:Et_2B_020645 transcript:Et_2B_020645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAGTPSRPAPTPMPPSGDVSNAVADVTAAPPLPSPSPVHPSPTPSEGVPLPTSPPVGRPARARRSKRVRAATADESAGPAASPRRKRRGTREPRAAGVAASPVKRNARPGRVEDETEVVEEEAAEKVRRRKISRKVQTSKESLVLVKEEGSSLALVPSPTNLTRRQSAIARANNEGHNGWEGLWETAVDLVMWKNVAKSTFWFGSGSMYNLSVLSIWHCDVGLSFLQGFCVSEGEPLRQFQLTDEDVRHAAQAVLPVVNTVISMAQSVFSGDPSMTLKVMPILLLGAKFGHLLTIRSLLATGFFSCFTLPKLYRCYSCQLHTRAKGLKDQILNAWKSCPRKKLVMAAAVTTCWNLISVKTRILAGMMLSLLEIDQDCDGCATSLLRTMLQSALPLYFGIIVMLHPN >Et_8A_056166.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:11143032:11144468:1 gene:Et_8A_056166 transcript:Et_8A_056166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLHRHTLTPNSQTLQCDLAASRHNAGAPLLSRHGSCSSLPLESKDPSLLLLIDDFVFFCARQVGKMAEGLPSSWDELPPDILGLVLRRLPSLADRVHVRAVCRPWCAGALAQRQSLPPPLPWLAFRDGGLVDLQGAPVRCAPILRKGVDFGYLAFDNLAFLVGRDGKCSLMNPISGLRLPLPKLASAMRRAIDGLRSYNPSYIQKGYVKAIISSPFDSTPDPHVAALILDGHCIAISACRKNDAVSIGMPDPEWPDSTLNIDDIAFLHGKLYALTPHEGLYIIQFDAGHLSGLKSSSCFHQCIADDPKQQEIYNSKPQLADYNASYPEYLVMRYLAESNGRLLMIRRWMGIPPKARLGDHDMTLRFEVFEADLATVPGRWMKVDSLAGQALFLGSECSKSVFASQLAGGIEEDCIYFMHRAFDNPCKEYFGPCVDPLGDSGVYNMRNGEIKSLVPDSVMSELKRKRQFLTWFFPADA >Et_7A_052340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7041426:7043500:1 gene:Et_7A_052340 transcript:Et_7A_052340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASLATGQDGSSPSNRKRKATAPSAAAAVEDKGEAEEDIAELEREIADLDRRILERRRVTGKKALDATATHLAALTPPVSLGVSMVSETSVCENDKDKLEKVKVIESKYKDNIAMLPKILEEVNDSIADRDRLENLNVNIHPVFRRKW >Et_8A_056433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1054069:1057875:1 gene:Et_8A_056433 transcript:Et_8A_056433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVYDCLKALPTAPDSSLPFNFPSPTPPRGEENRRRAPLRIHHQRLPSAPAARSVRLAGGLDGGARRGPHAGSGRGGIGSLVLDRQLVVKAGAGRSDEVAAAIQHLGGGGAAEGGLIPALVFLDPAPVASPRGLLLVVGLLFGGVGEFGRGGFGFSGGFSGGSASPAYAGAVFSDPGPVSSPVRILAKHRIISQPQLILSFLSHLISRGGIKSPWSRRKRKQALSCQRWSRLFSANGKLRDGGRKFLKKVRSGERNTIKMKKRKEFEKLRRQCILNYYKGNGLDVINEVINEDHSGLTEGSESLYSGGTRGSLSSRELKSGKSESSGSESSYEEDQDRVPVSTNKEYKCDSGPKLVRSSSSKSDFCRSNKTPEDFSSWQRIIRVDAIRANTDWALFSQNQAEVSREKALQSARSVGLKDYDHLEPYMIYHASRLVALLEAYALYDPEIGYCQGMSDLLSPIIAVMEEDHEAFWCFVGFMRKARHNFRLDEVGIRRQLKTVSQIIKRKDSHLYRHLQKLQAEDCFFVYRMVVVLFRRELTFEQTVCLWEVMWADQAAIRAGIGRSTWAKIRFHAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECHSMAGQLDVWKLLDDAHHLIVNLHDKI >Et_9B_065887.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:16710740:16710937:-1 gene:Et_9B_065887 transcript:Et_9B_065887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCYQYSASSSNMVKEKRPPPKRGHVKVQIARKLSNLVVPSNVSGDPAKQANRNSFRRETSYND >Et_5A_041966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4693634:4694765:-1 gene:Et_5A_041966 transcript:Et_5A_041966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKLQTEEQPPMETGKDQENDVVVAAERWADLVKRLTEKKVAFYKMILEPDYGNLAFKEENMEYYPGGPERCRFLNAFMREQVRGFKEHAAARMKEYEEKGYFEGFPETLVDPERLKRLKQLATLSYLINPSRQAL >Et_3A_023689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1115270:1117855:1 gene:Et_3A_023689 transcript:Et_3A_023689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMARSIDSDYIDLSLMGGFDMGIDLDGFEENVKKFMELPIKYLDSAHDKAVELIEDVHAMLWPPITDGEVPNKLHETFEVPSNSNIIAGSSSTSVETTLVRSISKVSTPPSLTTMESAFTGCIGTDAHETEAVLTKSPENTSSQGNTNTNDLCLLPEGTTTTELYDSTTSEEIILWNPEISAKPLQLPEPTSIGKDDYVPHVLDTDNVTEQVGLDCSSHSDTLVGSSVFCGAIPLENSSANYEELVLQSANDPVEATGHGNSNMCPEGRIKCVAMHRRNDQDGMENDKFEESYVHLRQNTSFKKMFMRNLSSKLRWSKQQTIVQHPEPARLQDRENFGYQVVSSSDDLEEDWEVVL >Et_6A_046448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15233445:15240141:1 gene:Et_6A_046448 transcript:Et_6A_046448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSPAPTKAAGAGAAKFLSGMPSRGNFSSGSVSSSLGGFRVYVCEHSTDPPGQVIKTDSTNILIRHLQLKNQKSEAKDAGNLLSEVWMSTIQQKGLTWVLRLEHQAMHTLQSFTVERLRFLLRQSGLPTKGKKVIVLFRMLVTPQLHATTQF >Et_1A_005676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12669226:12671468:-1 gene:Et_1A_005676 transcript:Et_1A_005676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFETPSGFATFCIDGALLYLPHAKENVWANFGSYSRARDATWLTGYQTFAEKSKAINLDTGVDSQLTLLILNCRREEQYIAVGKPEYKAAIEKYLGISCIYNEVVMEVMWGLKNLLHTLVPREKLRLTKEDRKQKSHGLSKLLDHYGFSHVEPEMVNELIVEKAAVLFDCDSAEKKNCLLLSGLGDSLKDHFEINTQDWGFLKIATAVKIMYDKTVDNDAQEVNKTPNILLICLTQWLLVFSVMNWRCFVFQMFSADELKKLESYNEYDGYKFPRHACLRIYKLMALVHKTRLQTISELSFLIDKAIEAHEGTQANERSLNLVVP >Et_6A_047792.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:16394163:16394864:-1 gene:Et_6A_047792 transcript:Et_6A_047792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEWIGRVLRCRCELMKHWDNKMNQCSVLVLHPRRSDLIVSLIWRLLHLPTNGKSSVKVPRVVKAAIVNALRSSSSNNNRFLAEPTTFLRQSLQGRSGTFLWAFDGKGIADIILMWHIATGILGVRRRQQQPPTSSDMIVATHLSRYCAYLVAYVPELLPDYDNWSKGLYMAIKKDSIRALAAGRASALPAVPESLEYDKVVSLLQERAENEVLRNGVKLAKLLVEWEGEEAA >Et_4B_038799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4968968:4975297:-1 gene:Et_4B_038799 transcript:Et_4B_038799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDQSFAAGVDSGSAEPGAAASSVAAFESANPANAYSQNAGSVGADTSMYAADHASLNGTASQSANYQSAGATENGGGATNEMGEPVPEPLSYEEAVLSAEEARLWNVVTANCLDFNAWTALIDETERNSQSNVLKIRKVYDSFLAEFPLCFGYWKKYADHEARLDGASKVVEVYERAVLAVTYSVEIWFNYCQFAISTYDDPDIIRRLFERALAYVGTDYRSNVLWDEYIKYEESLQAWSHLAVIYTRILEHPIQQLDRLKELASARSFSEILTAEEASMYGVTSENSVQALDGEAQPDDADKSGQPENSSSTEAENLAKYVSIREEMYKKAKEYESKIIGFELAIRRPYFHVEPLDNPELENWHSYLDFIEKEEDINKVIKLYERCMIACASYSEFWIRYVQCMEVKGSLELANNALARATHVFVKKQPEIHLFSARFKELNGDVSGARAEYQHLYSELYPGFLEAIVKHSNMELRVGDKESACLVYERAIAAEREKEQSQFLPTLLIQYSRFLFLAIRDMDKARETLTGLHEQFNVTKSIIEAVIHLESIFPCEKRVEFLDSLVEKFLTPEPSQGEVASLGDKEEVSSIFLEFLDMFGDAKSIKKALTRHTTLFSCKRSILPTRKRKADDAIGSDRDKMAKTGATHPVMGTDPNAPNPPVWPATSGASGQQWGAAFPPQAAYPAYGSYDYSHQVPQSAPQAAAYGAYPPTYSAQVLNLLSLFCVHLHNASTYSKD >Et_6B_048683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12584301:12585676:-1 gene:Et_6B_048683 transcript:Et_6B_048683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAPSLLRAPATPAADRPAALRSPFSAPWSVRLPAPARRRVARAATAARITMRTASKQAYICRDCGYIYNERTPFEKQPDKYFCPVCGAPKRRFKPYEPAVSKNANATDVRKARKEQLKKDEAVGKALPIAIVVGIIALASLYFYLNSAYN >Et_10A_001620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6007183:6012449:-1 gene:Et_10A_001620 transcript:Et_10A_001620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESFVPFRGIKNDLNGRLACYKQDWTGGFRAGIRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTALCGIIHSILGGQPLLILGVAEPTVLMYTFMFNFAKDRPDLGRSLFLAWSGWVCVWTAVLLFFLAILGACSFISRFTRIAGELFGLLIAMLFMQQAIKGLVDEFRIPERENRKALEFIPSWRFANGMFAIVLSFGLLLTALRSRKARSWRYGAGWFRGFIADYGVPLMVLVWTGVSYIPYGSVPKGIPRRLFSPNPWSPGAYDNWTVIKDMANVPLLYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFLTLICGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVATARKSMSQNASLSQLYGSMQDAYRQMQTPLIYQQQSQRGLNELKDSTVQLASSMGNIDAPVDEMVFDIEKEIDDLLPIEVKEQRLSNLLQATMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHTTFVETVPFKTIAMFTLFQTMYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYILPKLFKGAHLTDLDAAEYEESPAIPFTLAAQDIDVALGRAQSSEILDELVTRSRGEIKRLNSPKITSSGSTPAAELKGIRSPSISDKAYSPRLTELRHDRSPLGGRSSPRTGETRASKLGEGSTPKLSCPRLNDTPIVGNHIRLMISTTTRELGTGDEMAFTGEENHVGKMSKGDLNHKEEQNDARAREKAVDGIHRCGGQKAEGSILQVHC >Et_10B_003032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15640924:15641525:1 gene:Et_10B_003032 transcript:Et_10B_003032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRPSSAAVAAQAQQLPHRAPGRSWAPGSVTHPGRPPLRRIRQSTSVGSSARKDDADMAHRPAAACCPALVVAADLLVGHVAELVQAQRVGLVALGVELVYGARVGREHGEATGLLAGVARNRVVRAPPLVQSPQGLLRRQVKLRVVDAARRRRSDKNGQQQRGGGGHLHRMTPRSCPAGALCEDE >Et_2A_015860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18433702:18437443:-1 gene:Et_2A_015860 transcript:Et_2A_015860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMISAKRIAQMAKKWQRMAALGRKRLSWGVAKEADEYCTSVADKGHCAVYTADGRRFEVPLVYLSTPVFAELLRMSQEEFGFMSDGRIMLPCDAAVMDYAMCLLRRSASAEVEKAFLNTMAISFQYARWVAPSKLETAIWWLNPMVCRKLFP >Et_2B_021996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7909184:7910730:1 gene:Et_2B_021996 transcript:Et_2B_021996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYPTMALTILLSCFLLSTVTFPLQVLALQHHTVRVESLLSSAMCSPASADPSASLFTTPASGRRTGNTVQIHRRAWRTTGEHMRPALDHYTTVLRRDHHRIQSIHRRLSASNSTTTVPARLGLPFHTMEYVVTIGIGTPRQNLTLLFDTGSDLTWVQCKPCTSGSFYPQEEPLFDPSSSTTYAGVGCSSPPCQIGGGQETSCRGSSCRYSVTYGDSSEDSGDLALDTFHVAPKAPQANGVAFGCSDTASGFSDMAVAGLLGLGRGDSSVVSQTKRSFNGGVFSYCLPPHGSSAGYLTFGAVAGPDDQSSSPANMSFTPLVNPGDPRLSTFYMVDLAGISVNGASLPIPAGTFSSGAIVDSGTVVTRIPAAAYHPLRDEFRRQMAGKGYAMLPEGSVEGLDTCYDLTGRDVVTVPPVALEFAGGARIDVDPSGILLVVDASGTPLACLAFLPMEMDAFSAIIGNMQQRAYNVLFDVPGGRLGFAPNGCS >Et_4A_034403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32220769:32223434:-1 gene:Et_4A_034403 transcript:Et_4A_034403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTLRHPAATAAGPGKSFSPAAPPAQSVRLPRRCPAAPAAVSATAAAEVDSSADRVSALSQVAGVLGSQWGDEGKGKLVDVLAPRFDIVARCQGGANAGHTIYNSEGKKFALHLVPSGILHEGTLCVVGNGAVIHVPGFFGEIDGLESNGVRCNGRILVSDRAHLLFDLHQTVDGLRETELANSFIGTTKRGIGPCYSSKVTRNGLRVCDLRHMDTFGDKLDVLFKDAASRFQGFKYSPSMLKEEVERYKRFAERLEPFIADTVHVLNDSIQQNKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVIGDLIGVVKAYTTRVGSGPFPTELLGEAGDLLRNAGMEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLSGLPEIKLGVSYNKIDGDKLQSFPGDLDTLEQVQVQYEVLPGWQTDISSVRSYNELPQAARRYVERIEELVGVPVHYIGVGPGRDALIYK >Et_2A_015578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15287095:15288071:1 gene:Et_2A_015578 transcript:Et_2A_015578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSPLDGDDADEFYFGYDAGYHRSGGGGAGKSAKKEKGFLSCLPCFIRCSPGAVDPMAHRRLLSSDSSDSDNAAAMDITADLARLRARYSRLAAAAGPPVRPRDIPALVARPDDPPLAVAALSWLGGDLRPSCMLLALLPALFPSSSSSSLPAHARRALADAARRLSAREAALDGEVAEYQSTYAVKLAAEKTKDGVAETAAAEACKMARAARRADKLRWRAVEAAAREVLSPAQAKEFLRAVEDVAAAAARHGARWQARAGQLAVPVEAFERMRASARAATDDAW >Et_8A_057121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21322097:21326685:-1 gene:Et_8A_057121 transcript:Et_8A_057121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPKETAAVITPVSLSGFQPHTSASDLAVFLESVAGGEVLRCRVKTTWTPPDSAPDFTLAAAAAPSQCGKRRRGGGAPAAGAPPPDERAPPHAFVHFARSDAARRAAAVSGLLVQNPSARKHGSRRAAARRRQVSAFRFTASFVEVGNLRSPRDFVAAWRGPEEFASVFFDFVVDPFDETCRIEYSSDTAFAFPGTTTTSSAGAVVALPCDVKLEFAVLDVDEVLVFRAENSLLLRLSAAPLAYYRTAADDVCESVHADLIVDDADDGDPWVRTTDVTPGGAIGRCWVYKVSFGAWHWPMMEDALEYLKVRVPVVVCDGGLTVRDEPEFGRPTNDLFFTVQNDHAEWLGFPVWYLVNALVHKGVLNQHQLTPEFFGLLMSQLGDVNVAALTQFFPEKFPEFDMCQRLANLQDRAAEDPKFLRLRSSRSKAGGGYNVETRSMVITPTRAYCLPPQLERSNRVIRHYHQVADRFLRVTFMDEGKQLLKANALYMPAAPIVKDMVPNLFHQKTTVYRRIRRILIKGFHLCGRKYSFLAFSSNQLRNRSAWFFAEDGTTTTASIRKWMGQFPSKNVAKHAARMGQCFTSSYATVVMQPGEVDEFLEDVTHNGYNFSDGIGKITPDLAMEVAERLPLIGKDIPSAFQIRYAGFKGVVALWQQDQNDGIRLSLRPSMRKFESTHSVLEVVSWTRFQPGFLNRQIITLLTALGVPDKVFSQMQETMVQNLDRILSDKDIAFEVATSCPAEHGTTLGLMLGAGFDPATEPHLRAMLLAIRSSQLQGLLEKTKIFVPKARWLMGCLDELGILEQGQCTVVMAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPKKGERPHANEASGSDLDGDVYFVTWDEKLVPPRKKSRDPMDYSPAEAKQLQRQVRQHDIIDFFLKNMTSDNIGRISNAHVVHADLSKFGARDHKCIRLAELAATAVDSHKTDQNVTMPQFLRPKEYPDFMEKEDDISYKSEKILGRLYRSAKLYYQERSLEGVIRNDVIDYDTDLEVDGASGFLSEAWQCKCSYEEQLNVLLNQYSVATEAELVTGEIWSLTESNKRKKHDTKERLKYAYSELQQQFRGIFESISACHGAISEARRMMEYEMKASAWYKVTYHPDWMRRSREMIEPNEMARLSFAWIPVDHLARIKMRVLEEAKHRGKWPLAWGPNF >Et_4B_038086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26172839:26187325:1 gene:Et_4B_038086 transcript:Et_4B_038086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGGSHGGRGDRGDQRGPPYKHGRGDGGGRSSRFVWLLPASTLRPVPGQYQAATMGFHAPVPHQGAYGHPAPVVYRPAAPPAPQVVFTPPPAPVLVTIRAPPPAPSPAPAASTHQPANAPAPASSAPSAAALAKETALAPAAAAASAAVATQEGKDAAADDAPEVDLAPVSKKGMAHPARPGVGTVGKKVMIRANHFLVDVAANNLFHYDVSINPESKSRQTNREVLNELIKLHGQTSLGGKLPAYDGRKSLYTAGSLPFESEEFVVTLVDPEKKEKERAEREYRITIRIAGRTDMYHLTQFLRGRQRDMPQETIQVLDVVLRESPSWNYVTVSRSFFSTSFGHRGDVGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVSVIKFVEEFLNIRDTSRPLSDRDRVKIKKALRGVRIETSHQQDQIRRYKITGITPIPMSQLIFPVDEQGTRKTVVQYFWDKYDYRLKYASWPCLQAGSDSRPVYLPMEVCKIVEGQRYSKKLNDKQVTNILRATCKRPQEREQSIRDMVLHNKYAEDRFAQEFGIQVCNDLVSVPARVLPPPLLKYHESGREKVCAPSVGQWNMINKKMINGGTVNNWTCLNFSRMHPEEVKRFCIDLIHMCNATGMAVNPHPFIDVRSASPNQIENTLRDLLIIILPDVSGSYGKIKRVCETDIGIVSQCCLSKHASRSNKQYLKNVALKINVKVGGRNTVLERAFVRNGIPFVSEVPTIIFGADAAVVASMDWPEITKYRGLVSAQPQRQEIIEDLFTVSKDPQKGHNVNGGMIRELLIAFSRKTNRRPERVIFYRDGVSEGQFSHVLLHMDAIKKACASLEEGYLPPVTFVVVQKRHHTRLFPEFHGRRDMTYISGNILPGTVVDQKICHPTEFDFYLCSHAGIQGTSLPTHYHVLYDENHFTTDALKSLTNNTRAVSVDHKIEQLNIMEEGNNEKENISSGKDVEVRVEFNGKYTRMMVPIGTPVRVPVEAVMANKSTLTPDEYYLINENRGGIQKEAIVTESINIHVNLSIDGGGKARTSHPHMPLKQWMALLKKRLFRRVKIPTRLRKRGDGRHEVVCLSKAGRRILKMLLEWLERIHRDGDSLDGAITLDDLIIFPGLDIIDINPSCIIDWKPLDQISYIKDCNVILEFIDEYLLYLSTERLLEPPMYVKELKMHIIQLDPKGNTFACKDLRSLVFNSVAAMGASKRIGLLIKMHIKYRYGFDMDDKKKFKQELELTAGPAGADDWKKDVQGIRAPEEMMELKDLQLEGGLYLLWPTQIPDLHAQTMKFNTKLQKQPTRRPQNPPSTRSGAEIPEKQYI >Et_2B_019431.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29340787:29341017:-1 gene:Et_2B_019431 transcript:Et_2B_019431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPILHCLMEGQGHSLIGENCLHSLLTVITCLCCNW >Et_9B_065137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21534455:21536117:1 gene:Et_9B_065137 transcript:Et_9B_065137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGTETTTTFGEEQEALVLGAWNAMKGDSAALSLKFFLSEAQEELVLRSWKAMKKDTESIALKFFFRIFEIAPGAKQMFPFLRDAGDAPLESHPKLKAHAVTVFVMACESATQLRNTGDVKVREATLKRLGATHVKAGVADAHFEVVKTALVDTIRDAVPDMWTPEMKAAWEEAYDQLAAAIKEEMKNAAASA >Et_2B_021772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5339204:5351287:1 gene:Et_2B_021772 transcript:Et_2B_021772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNVNEEAGAAVQSVLLDLVAWETKDLLLLSKDCQTMPSWRHDEQSSCITPFSLKPNGYVQLLVQGNLKFLMENNSSPKSGTGFLKTCFNGVNALSGVGILSIPYALSQGGWLSLVIFLTIAIICFYTGILLQRCIDSSSLVKTYPDIGELAFGRKGRVIVAIFLYLELYLVAVDFLILEGDNLEKLFPNANLHIAGLKIGSKQGFVLIFSLLVLPTTWLRSLNKLAYVGVGGVLASVILIASVLGIGTFDGVGFHEKGVLVKWSGVPTAMSLYAFCFSGHAVFPMIYTGMKNRKTFPTVLLICFVICTLGYGLMGAIGYLMYGESLRSQVTLNLPSKNIGSKIAIYTTLINPFTKFALLITPIAEAIEDSLHVEKNRTISVFIRTALVVSTTMVALVVPFFAYVVALTGSFLSSTVTMLLPCACYLKIISSRTSRKLGLEIVVCLGIIMIGIGILSVPYALSQGGWLSLVIFVAIAMISCYTAILLQRCIDASSRVKNYPDMGEVAFGRKGKMITATLMHLLGAVHVRDRLSDHRGRQPRQALPGEKLPHRRPPHHNRQASVRAHCEPHCSPCDKLAYVSLGGVLASAVLVAAVLWIGAFDGVGFHERGVLVNWSGLPAAMSLYAFCFSSHPVTPKLYLGMKDRKMFPKVLMLCFGISVLGYGITGVAGYLMYGASTQSQVTLNLPPGNLRSKIAIYTTLVNPLTKYALCVMPIAEAIEDGLRVANSRPLSVAIRTALVVGTTVVALAVPLFSYVTMLIGALLSSSVAILMPCVFYLKISSMDSRKLGFERGVCVGIIVLAVGMAVGGTYVALKHIVQSL >Et_6B_049549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6335158:6340704:-1 gene:Et_6B_049549 transcript:Et_6B_049549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIRNLLITASDSLRARDRCLAKWGSGTGRGKFRALLGTHPYALPCAPVQKVFPSLTKIVGTLGPNSHSVEVIEECLTAGMSVARFDFSWMDATYHQETLDNLRKAAQNVKKLCPIMLDTMGPEIQVHNPNGGAIELKAGNHVIITPDLSKAPSSDILPIKFGDLAKAVKKGDTFFIGQYLFTGSETTSVWLEVLETSGENVNCLVKNTATLAGPIFTMHASQVHIGLPTLSEYDKQVISTWGSQNSVDIISLSHTRSGEDVRELRSFLQSHDLPDTQIYAKVENFEGLDHFDEILEEADGVIISRGDLGIDLPPENVFMFQKTAIQKCNLAGKSVIVTRVVDSMIDNLRPTRAEATDVANAVLDGSDGILLGAETLRGLYPVDAVSTVGRICAEAETVYNQPLQFKKVMRHVGEPMPHEESVASAAVRSAMKVKAAAIVVFTFSGRAARLVAKYRPPMPVLAVVFPRDGSDPSKWRSYGTTQARQCFAVRGVYPLMGSTDEAETGGHTKEEYGIKLALNYGRSVGIVKPFDRVIIFEKIGDSSVVKIIECGDS >Et_9A_061971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18431749:18432924:-1 gene:Et_9A_061971 transcript:Et_9A_061971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSNLRCAPWVAAAARALLAARIRGVRAPHPHPRRAGCPAHFSSLSTPQPGVAAAAEAQLLRVINFEISCAQQDCKKRDWAKELGGKFPFQIEDKEGTGRITLTKRDQKEKIDVEVFLPSPVDSEDQNGEQEGQAEDDNRQSQYYIPLMVKIHKGSVSLEIRCCSYPDELVIESLAFGPSDESGLSSVEAKLCNLPEELQKAFYSYLRSRGISDDVTNFVHAYMINKECNEYLSWLRKLKSSIKC >Et_4A_033390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22216813:22228448:1 gene:Et_4A_033390 transcript:Et_4A_033390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTMEQLVEDIFDWSSDVSTDENSPRDNAYDVTETAWYSGYLDILGFHPYKEVIFFSESITRGLAYDFNSLNVKVLGNLCPARYDEELPNERFIASSFPYTPCWLGQTTEEERGTAVTTNLLALLPEDVLADVLRRLAPRDLAASRCACKAWRDAIDARRLLRTELLPLSPGGIFINFHNYYISEFFSRPSTSRPSISGKHNYLPDAGTQSWSKVLDHCNGLLLVVAYDVLDRCLEYVLNPATRWCAPMPPCPPPLVEMNSSSKKYLVYDPTISPHYEVFSITSFWYNSNRLPEDPVVEQSEWPPSIYVTHVFSSWTGCWEQRSYVREGEAAGTIADMRHSPGDQRNAVYWRGALYVHCQSDFVMRYNNCHINYSLTNIFLPIFYYRVSLSNNKYQLIKPPMGIELHNFPNFYLGKSEKGVYCASVHGRCRIRVWILDQSPYQMEWVLKHDNNLIEWLLKNKLENVRHCHGLKGKVLGPWILDDVNYYYGKHMQDDDDDDDDDDDDDDDDDDDDDAETTVQERLKWSLEATKGVKFACDTDNDDKVYYDSDDNIVGEETGCSSSEGLLDEKLVWSFDCKDDILNGNWYSGYIEVLGFHPYKEIVFLSESITRGLAYHLKSSKGEMGCDCKAEAERGTVATTADLPALLPEDVLADILRRLAPRDLAASRCVCKAWLAVVDARHLLRNQLLPLWLGGIFINFNHRYISEFFARPSTKRPSISGKHDYLPGSGNQSWSQVTDHCNGLLLVEGFDDVLERDLDYVLNPATRWVASLTQPPTLDPNMGLDRFQDSYRKYLLYDPAISLHYMVVMIPCLYAPLQPGALHYHSYIAQLDPILEQSEWPPSPCILHVFSSRTGQWEERSFVREGEAAGTVADIRQSWPHDQRNAVYWKGALYVHCQTDFVMRISVSDGRYQVIKPPAGIENKLYPQFHLGKSKNGVYCANVHEWFHLRVWILSESGNQTEWVLKHDEDLLGCLSKQNVGSSVDYRRQNCGPWLLQNINAECNRNVTLEQPAEEKFEWTSDMSSDENSPGDHAYEDTEEGRCCGYLDILGFHPYKEIIFLSESITIGLAYDFKNMKVKVLGNLYPARYDEELPNEQLIDSSFPYTPCWLGQSVD >Et_2A_018372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2519228:2521752:-1 gene:Et_2A_018372 transcript:Et_2A_018372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSRMLAPMLGSVQLEYSPLCIYRDAIRRVSVSGGLCRSAQFFPAISNDAVAGGEESYHSWSSTSSASSTAFDSSVRFSPVSLSFFDGDLFFSGRGNANTDGVQELRNIALQMVHDGYMKGLIRAFNGVSLSSAHHGCLSRCSDPDELLLRGWFSELDVEWVLQTGEEDGDMALRLEDGCVSLQDLMERWIRALKTMVQVLCITHQELRATVPTVSGVRKAIRYFLIDFAAGNKTKHEHEIHQFMRFAKASILRMLAFVDAVAAAALNDHQATETLPGVLQVYRCVMDDSGAILAMFKEASNTTSICEDMNCIFLKKRKKLSDAIWGMMEKKVESLLLPSWTPIDESRIHSGNHI >Et_3A_025388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29447917:29448654:1 gene:Et_3A_025388 transcript:Et_3A_025388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVLEVHLVDAKSVSGNDFLGKLDPYVIVQYRSQEHKSSVARDQGRNPRWNEVFRFQINSSAANVQHKLILRILDHDHVSSDDFLGEATIDVTDIISLGAENGTYHMQPAKHSVVLADKTYHGEIKVGITFTAA >Et_9A_063067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9573852:9574095:-1 gene:Et_9A_063067 transcript:Et_9A_063067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIASSDKERSSGRFGRNNTEITETGPEQLQNSPSVAKLTFLNFFAWEPSSYIKHIATSEKERSPGRFGGNNTEIT >Et_10A_001900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10556407:10567334:-1 gene:Et_10A_001900 transcript:Et_10A_001900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRERDRDRELLLPVVAGERAAAIDGDSLPTTPVIIDSPARPTSARGHHIHHPTGIEAFSMVIRSWAWKKFMSGCVILLPIAITFYSTWWFIRFVDGFFSPIYIHLGINRFGVGFATSITFIFLVGVFMSSWLGASLLGLGELFIKKMPLVRHIYSASKQISAAISPDQTSRAFKEVVIIRHPRIGEYALGFITSTVTLRGANGGGDQELACVYVPTNNLYLGDIFLMSHADVIVPDLSVREAIEIVLSGGMSVPKIISAVEGAVSVGGHGRAVKVPVWRTIIREKTHCKWRGTDHPNTLAIKVGEQIHQGGVIDTKVAVRQNHIHRSCRHPVEHVSVDVQGKPGRGKADLVEVGELNVVAVEDVNVVEAKTGEALVDAARHAVGAEVESLLVAAALGGDDEAVPRDGRVAEAVPEHRLGDRAAVVPAEAQPASVGGGSAEWRTRKWGSYGEVSKKLMPRSSARRTAARATSRGTSPKTWPSLILQEYDRV >Et_6A_048157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:792246:816571:1 gene:Et_6A_048157 transcript:Et_6A_048157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHGSSGGLQMLGGFSVLRRSRAFLLTPPPRFLRRQPRSLAVSSSSLPPPPPPEMEAPYKFGPYKIDDREVFHATPLSYSMVNLRPLLPAAGRFVLESLIPLGMERRRANAGGSSVLSALSSSLGILGDQCRQLGEEGLYQAAH >Et_6B_049920.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1805237:1805941:-1 gene:Et_6B_049920 transcript:Et_6B_049920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTRSARHGGGGVVMQFPVGRRRYVPVVDAGCGCRPRRPRLLGLPSFLKSGCQLGGKAVAVVRRGAGEQYSSSSTSTASFSSSCAATRSTGYSSAYSSDYYYSTATKQELPKAAAASPARKKKSAPAAKKKKAAAAEEEEDGVGVAVEKESRDPRADFRESMVQMVVEMGLCDWDGLRCMLRRLLALNAPRHHAAILTAFAEVCAQIIAAPTAAASYQPSPSPPPAYHHYRR >Et_7B_054534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22894179:22897616:-1 gene:Et_7B_054534 transcript:Et_7B_054534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ERMIKRANQLKEGVAKILTASSTCSIFERLRLIDVLERVCLGHLFKEEINDVLIKVSKVDVSDCDLQTLSLWFYLLRKHGFMASEDVFAKFLDDEGNLVSKNPRDLLSLYNAAHLRMRGEKILDEAIASAKESMVPYVKGSLAHEIRGALEIPLPRRVTIYEAKHYISTFEKEREVHETVIELAKLNTDLLQLHHQQELKTITRWWKDLQVQTRLPFARDRIVECYFWMVGVYYEPSYSRSRIISTMVLAIITILDDIYDVYGTSQDWDPNEVNALPDYLKQNFGDLSKHEDLLTPKVKYRIPYLRNSIVDLARAYNEEVKWRDEGYVPETIVEHLRVSAISGASHLMSCTWFAGLKAVATKESFDWICSVPKILRPLCFVMRLSDDLKTYEREKMTRHVASTIDSCMKEHKVPIEVAREMIQEMIEDSWKDFNREWLSPHNGQPRQLLEILFNLARTVEFIYSQDDAYTNSLTIKDAIYSLFVKPISMI >Et_3A_026861.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24109279:24109674:-1 gene:Et_3A_026861 transcript:Et_3A_026861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTLQVELDFPYEFVTGVSGRYQAVPVGSPPVVRSLTFTTSRGKAHGPFGHDDEGTPFACPMEGGVVVGFAGRSSWHVDALGLYVAALRPETLCDAVHQRGLSAYRSLVYGGTGRNAQHQAKRPFDWCYK >Et_1B_010839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14672924:14673862:-1 gene:Et_1B_010839 transcript:Et_1B_010839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWTPKASEMSPAKWFQPSRTLIKFVKFLRHGGSLPLKLFSNNERFWRFLSDVMVAGNSPSRWLLLRSNVCNAVMLPISGGNTPVSELNDKSSSFSFVALPTSGGNTPVSLLERSSRLVSCLRLPNELGIGPVSELNDKSSSFSFVALPTSGGILPVSELTATLNDMSSGQLANRAPGIAPVRLFVEMSNSRIFRIPANDAGKLPESPALSTSRRCSFCSWPRTGGSRPPRGQPVRSRTLSCVIDPRNSGTPPLSFSWSPVSLASDAGIRPENALADRLRHLRLGILASNESGIGPANSFSDRSR >Et_4B_039447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1681489:1685012:-1 gene:Et_4B_039447 transcript:Et_4B_039447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLLPSRVAHAATATTCASQHLAAATSKEPPPQLRRKRGGGGGGSGAKSLVLSHVAAGRMDEAVDAFAAVRSPDAFLHNVMIRGFADVGLPCDALAAYRAMLAAGARPDRFTFPIIVKCCARIGSLEEGRAAHAAVIKLGLAADVYTGNSLVAFYAKLGLVEDAEKVFDGMQVRDIVTWNTMVDGYVSNGMGALALACFQEMNDTLQVQHDTVGIIAALAACCLESSSMQGREIHGYVIRHGLEQDVKVGTSLLDMYCKCGRVEFAGNVFAIMPLRTVVTWNCMIGGYALNERPADAFDCFMRMKAEGFQVDVVTAINLLPACAQTESSLYGRSVHGYAFRRLFLPHVVLETALLEMYGKVGKIESSEKIFGQITNKTLVSWNNMIAAYMYQEMYRESITLFLELLNQPLYPDYFTMTTVVPAFVLLRSLRQCRQMHSYIIRLGYGDNTLIMNAVMHMYARCGDIVASREIFDKMAGKDVISWNTVIIGYAIHGQGKAALEMFDDMKCSGLEPNESTFVSVLTACSVSGLAGEGWMHFNSMQQDYGIIPQIEHYGCMTDLLGRAGDLKEVLQFIENMPIAPTSRIWGSLLTASRNQNDIDVAEYAAEKIFQLEHDNTGCYVVLSTMYADAGRWEDVERIRFLMKEKGLRRTEARSLVELHDKECSFVNGDMSHPQSEKIHEFCDKLSREIGETFDDPSNVDDSVHFASSKTVLPNKHSVRLAVAFGLISSEAGTPILVKKNVRVCNHCHHALKLISRFSNWKIVVGDTKIYHMDLVAVATIGELTDFTVDYMKCLNGFRQELRTPGCIGEKSTGRGDFGYAILNLCSAAALGLLGLDRAARRLGKAVERLLHGVAPADEEARHHLRVALPSRLLELAPGVGSQHVTPRHAAVVGPHEEPRGPDDVPVQGQRLPPGARQPPPRLGLLRVVVKERRRRGGLLYQVPVQELLDGVGALVDASCGRPPRRGQGAEEGGGAGASGYGHGRLSACCD >Et_10A_001005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20006227:20010144:-1 gene:Et_10A_001005 transcript:Et_10A_001005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFVGFLVPVAVSLLLRKRRNGEKKRGVPVEVGGEPGYTIRNYRFEEPVETHWEGVTTLAELFEQSCKEYVDMPLFGTRKLISRETESGPGGRSFEKLHLGEYEWKCYAEAFKSVCNFSSGLIRLGHQKGERVAIFAETQAEWQIALQTEVTTVVCGQKELKKLIDISGQLDTVKRVVYINEEGISAEVSLARNSTSWVIESFEEVDKLGNEAPVDANMPLPSDVAVIMYTSGSTGLPKGVMMTHRNVLATLSAVMTIVPELGKKDIYLAYLPLAHILELAAEALMAAVGASIGYGSPLTLTDTSNKIKRGTLGDASALKPTLMTAVPAILDRVRDGVRKKVDTKGGVAKKLFDVAYNRRLAAINGSWLGAWGLEKLLWDKLVFGKVRAVLGGKIRFVLSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGTFSEYDDPSVGRVGAPLPCSYIKLIDWPEGGYLTTDSPMPRGEIVIGGPNVTKGYFKNETKTSEVYKDDEKGMRWFYSGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSVSPYVDNIMIHADPFHSYCVALVVPARNELENWASQQGVKYSDFSDLCQKQEAVKEVLGSLAQAAKQARLEKFEIPGKIKLIAEPWTPESGLVTAALKLKREVIRKTFENDLVQLYA >Et_5B_043558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11857552:11868853:-1 gene:Et_5B_043558 transcript:Et_5B_043558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSTLLLGALVVLALLVSPIECSRKHKAKPISKKPAPAAKVNQKPAPPVKAPSNHTATPSPSPVNSGTGGWLSGAGATYYGDPNGDGSDGGACGYQTAVGKRPFNSMIAAGSNPLYNGGEGCGACYEVKCTTNAACSGQPVTIVITDQSPGGLFPGEVAHFDMSGTAMGAMAKPGMSDKLRAGGVLRIQYRRVECKYPGVNIAFKVDQGANPFYFDVLIEYEDDDGDLKAVDLMEAGSGTWAPMAHNWGATWRLNNGRALKAPFALRLTSDSGRVLVAPNAIPAGWKPGKTYRSLPLTLLLGALVMLLLLVNPIDCSRKLRRHRRPRPVNHKPARGGSRVSHKPAPGARAHHNHTVVDYGSGQWLSGAGATYYGAPNGDGSDGGACGYQTAVGKQPFDSMIAAGSNPLYRGGEGCGACFEVKCTTNAACSGQPATIVITDQSPGGLFPGEVAHFDMSGTAMGAMAKPGMADKLRAGGVLRIQYRRVPCKYTGVKIAFKVDQGANPFYFDVLIEFEDDDGDLNAVELMEAGSRTWTPMSHNWGAMWRLNNGRRLNAPFALRLTSDSGRVLVAENAIPAAWKPGKTYRSLVNYP >Et_1A_005508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1097554:1101988:1 gene:Et_1A_005508 transcript:Et_1A_005508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMMFMACCYNDPEMLIDPDTVYPVRPECRDDTPKTRFKPLPGRTLSPRRWKLLLNEEGCLDITGMIKRVQRGGVHPTIKGEVWEFLLGCYDPKSTTEQRNQLRQQRRLEYEKLKTRCREMDTTVGSGRVITVPVITEDGQPIEDPSSNGGARPSSVGSEQETNGVPLPKEVIQWKLTLHQIGLDVNRTDRTLVYYERQENLARLWDILAVYSWIDKDIGYCQGMSDLCSPISILLEHEADAFWCFEHLMRRVRGNFKSTSTSIGVRSQLTTLASIMKTVDPKLHEHLENLDGGEYLFAFRMLMVLFRREFSFVDTMYLWERKNLQVTKKDEIPLSVFIVASVLEAKNKRLLSEAKGLDDVVKILNDITGSLDAKKACRGALLIHEKYLNTMWL >Et_10B_003387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19342439:19347253:1 gene:Et_10B_003387 transcript:Et_10B_003387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRHASVICLALLLLTSLLKAQGEARTEEYLAGGGEQKQGRSRRSPSGEAKYVPVPNVVYRRSVNTGREPFQTCRGCRCCSASNSSNCINTHKLAGGSKEEEQLAGGEQKQRSWRSPTTGEKYVPVRSVVYRSAVALPAASTEEAYQPFELCDGCRCCGGVEREHVRGHELLLRHRLRHPRQALRRLRLHPALLRLRRQQLLPAIMIHPVLKHDLIRLTTVQRYRKFNVLKMQ >Et_2B_019602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10858621:10859794:-1 gene:Et_2B_019602 transcript:Et_2B_019602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVTAEQVRKAQRAEGVATVLAMGTMTPPNCVYQADYPDYYFRVTRSEHLSDLKEKFKRICAYIYSTNLAIYVRIYIPCLLITRLPLQVLIVCSEITVVTFRGPSEAHLDSLVGQALFGDGAAAVVVGAGPDKLASEQPLFQLVSAQQTIVPDSKGAIKGHLREEGLTFHLQERVPDLIGMNIKPLGISNWNKIF >Et_4A_032593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11701459:11703997:-1 gene:Et_4A_032593 transcript:Et_4A_032593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATVTELKQSISGKRTFRPNLITRHANEWPPTDVSSDLTVEVGTSSFALHKLLAQFPLVSRSGKIRRLVAEAAKDAKLARLSLHGVPGGAAAFELAAKFCYGVHVEVTVSNVAMLRCAAHYLQMGEEFSDKNLELRAESFLRDAVLPSIGSSVAVLRSCEALMPAADQDVNLVARLVAAIASNVCKEQLTSGLSSKLDQMRPPASAAFEPQPQLDICSPAAAGGGGEWWGKAVAGLGLDLFQRLLSAVKAKGLKQETVTRILINYAQSSLHGLMARDVVARDYAGGKCGGGGADGDGGGVKRQRAVVEAIGLRSGSGVGSGAMSPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKRELVRVGPANRLLRGFTRSLGRLFRMRPAAEPGLQQLGAKATADAKVLFQRRRRHSIS >Et_1B_010316.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6854634:6854867:-1 gene:Et_1B_010316 transcript:Et_1B_010316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYELAVFDPSDPVLDPMWRQGQGGMPYPYSIVLGLNCTHQPFDEIAFGPESPNCRPGKRCVSFGLYEPKAWLVRT >Et_5A_040313.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:12954478:12954948:-1 gene:Et_5A_040313 transcript:Et_5A_040313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSELVRWIQEKKPQSHSELEFFKVRQIGVYWGRWLCNESPQPASWGARRRSDDPWKVLALVWVQTLLYAAPYGDAQVHLQRLSQGGEFITHLRALLYHLGIDRWEREPAATGGTAGETTEEQPQEPDDAQGTSSKQGGGEETSGEITEETVG >Et_4A_034984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8506934:8507378:1 gene:Et_4A_034984 transcript:Et_4A_034984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDDSSPASYIHKVQHLIEKCMTFGMSMEECMEALAKRADVQPVVTSTVWKELEKENKEFFDQYQQWRLAKGSAGSS >Et_4A_032507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10920057:10923955:-1 gene:Et_4A_032507 transcript:Et_4A_032507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATICSEGARFHLTVGLAGAQKTHIAATHRDPQAAPMAKPNKPRAPASDPPPAAARLPWQPPAPPLPTALLISLAALLVRVLVSVGPYSGQGAAPKFGDYEAQRHWMELTLHLPSSDWYRNTSENDLAYWGLDYPPLSAYQSLLHGRIINASLPDAVALRSSRGFESHESKLLMRWTVMSSDLLVFFPAALWFVWAYMKGGIGVSEEERREGWMWLLAMVLINPCLVLIDHGHFQYNCISLGLTLGAIAGVLSRNELVAAALFSLAINHKQMSMYFAPAFFSHLLGKCLKRKYPIVEVMKLAFVVLGTFAIVWWPFLHSSEAALQVISRLAPFERGIYEDYVANFWCSSSVIIKWKKLFAIKPLKLMSFSATILAFLPSFVQQVKSPSNLGFLYSLMNSSFAFYLFSYQVHEKSILLPLLPASLLALKEPQLYGWFVYFALFSMYPLICRDQLLLQYIAITGLFFLTYYSPGGSHKKRLNIPCGIKVVLSLPLLSSFILHISYLQIEPPERYPFLFDALIMFICFSQFVILTLYTNYKHWMLDTHSRSIGVKKDL >Et_8B_060714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5373965:5376672:-1 gene:Et_8B_060714 transcript:Et_8B_060714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPASRIPCKSIVDMKRIKNHGEWGRRRLAKPYKERDDFEAEFQAFLKACDEMDESHDEFMALSPWEDDLKGVLEASKEKYTFTSAKNENRGEWGQRRLMKPYNDGDNFEAKFQAFLMYDKMDENHDEFMALQRWEDDLRGVLEGSKEKDTFTSATNDAINNHKATNGDEVTIVMPKRKRMNPYRGIRRRPWGKWAAEIRDPRKGVRVWLGTYETPEDAAKAYDAEARKIRGKKAKVNFPDETPPSSLLNNTPKQIAMARPMMLLPTEELNINQSLGFYGSNEDLFSVVNFNGNKSTFMPSGSFNSLSMKKPHEISRMGVFPTQSGFPSRSLLPCAEMLISGRTVDGLSTMIERNEGATTAPALSNAMSNLPPVVHGVEAGARIDHPILKEIATEYIPPILQGDGGLLDLGVGVR >Et_3A_025440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29926130:29930335:-1 gene:Et_3A_025440 transcript:Et_3A_025440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRNLLVSSLRAGAAHPFPTSSPLRRLFLCTDTSTAARFVSQSYLISTCGLTPSQALTASRTIPNFTTTDQADAVRAFLAGDLGLSESDVTSTIVLKARLLCYDVDKTLAPCVSQLRGIGLSTPEIARLVSVYPLILVSPKHVSRLAFYVSFLGSFRKVQKALTRSKSLLGCSIEDVTEPNIARLRQCGLTVRQIAHMLVMLPRLLTGSQERIEATILRAEQLGVPRGTPMFRHALAVAYAVAPETAATKMELLRSLGLSSGQVAMAVAKMPSILGYCEDRLRLAMDFLTKEVGMDMETIARSPSLLAFRIEERLAPRLKVLKLLKENELPGGNRGFYNMACLSEETFLNKFVLPHEKSVPAIAAVYTAARTGKAPAGAVASYLISTCGLTPAQALTALRAIPNVTTTDDQADAVRAFLAGDLGLSESDVTSTIARRARLLCCDVDKTLAPCVSQLREIGLSTPEIARLLPVAPLILVSLKHVSRLAFYMSFLGSLDNVHKAIARNKSLLGRSIEDVVEPNIARLRQCGLTVRDIAQVLVLVPRLLAGSPKRLEVAILRAEQLGVPRCTPMFRYALVFAYSIKPETAAAKMELLRSLGLSSGQVAMAVAKMPSVLSCGKDRLRRAMDFLTKEAGMDMETIARAPSLLKFSIEDRLAPRLKVMKLLKEKGLPGGSTGFYNMACLSEETFLDKFVRCHEKSDGFSHQGGWDGYGDHCSGTVVAQVQH >Et_5A_042590.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:21358633:21359067:-1 gene:Et_5A_042590 transcript:Et_5A_042590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLSSAVCRTLSSPVGDQLERLESLLIMFHRAVDQTSGVHIRSWWLRRWPWQLCDTALHGDEVLRLSRPQCADEEAAAAAVLAGSSVCNAKKNAIPLAESLLFHQGDDSMDRLSHTVARGWRWCLRESATSAPWLTYKSCGHS >Et_3B_030508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4427799:4430549:-1 gene:Et_3B_030508 transcript:Et_3B_030508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAAITNASTAPAAGASSSTIVYTPGAAPPPPSSSALPTPIPPSTWTLAPSDPALATAASFLVASLSNCSTLPRFRSLVGSFLTTLAQSLSLPTPPASVPKVIGALAAYLPATIASVVASTAARLAEYEVLVALVESRLLPHPPPDLISTLSDSNRPDIICAVLRQASDLRSSEILAGLRCFLSPVSEKAYDAMVDVKNRWREAAVLAVNRYRENSEGKVVNAVTRKAALLLMMGHDGFSSPEVCLHYLLASDNADSVVLGAAVAELDGGEVVRMMKYLNKWIEKYLRFPEAQACPDASGILGLGQCDSVPSFGAVARALGVLLDNHFSHLVLNADVREDLRAVDAMMKELSAEAEASGPILDLLHRLKQDNTTLKCMD >Et_3A_026183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5135026:5135572:-1 gene:Et_3A_026183 transcript:Et_3A_026183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFISNPLRIEMSVWNLALTMRQNWKVLYPSLKRCRSGSFRLFPEAQNEGDDQIARPCELSMIAGQRRGSMMSLSVDDKMK >Et_4B_039373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12200032:12202349:1 gene:Et_4B_039373 transcript:Et_4B_039373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSLDYWRGFFSGARASIFDTIDAAIRIAAVDHPDGLRAHRDAIAERLYTALLPLPPGLRATGPPQQHQFLTEGAGSFPSLCSSDREVVTDDGGGDAVRRSNSDDPVVAEAFRIKAALSSSHEKPEAELLDLLGRLRQLEFTVDAIMVSRDELLPHATEIGMAVKPLRKHSSKQIRQLVRSLIEGWKAMVNKWMSNGGSTVDHTPQSVDESCLDQEEEGLPTPPMDEAAIFETPSLPTVVLMNFDRSWCWNPALILKFFDDMDDDGNIRSDVKERVQCYPGNQEPVKNQSASGQSYDPEQNWRLDQSTLKQSGANEPLNWQTKQQSKTGTQGKPSSAAFGPGRPQMPHLERRGSEMRSKQQQEISMAQRPKPTMPNPSTQHDDNSVRAKLELAKDAKLEATKRKLQEGYQEFNNAKKQRTIQMVDPQDLPKQGNRNLQLNGKPRNNSSSNIRNRFGIRR >Et_5A_042804.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4776620:4777291:1 gene:Et_5A_042804 transcript:Et_5A_042804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDVGGAFGMGAVGGSIFHFIKGTYNSPNGMRLAGGAQAMRMNAPRTGGSFAVWGGLFSTFDCAMVYARQKEDPWNSIIAGAATGGFLSMRQGPGAAGRSALMGGILLALIEGAGLMLNRVLANPQNLPPLPADDPNLAAAMAGGGGGFPGLPQAPVAPPEAPSSSGGWLGGLFGKKEEKKPAASGGKSEILESFDTPSTPIPSFEYK >Et_2B_022883.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:7199693:7201855:1 gene:Et_2B_022883 transcript:Et_2B_022883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLLLGLVPALLLQLAVADGAALAVSASTAASAAAKNVTVDSATLSFADLTLLGDSFLRNGSVGLTRETGVPSSSAGSVLCTQPVAFRAVPARGNTTNATTASFAARFSFVIADPNAGAAGGDGIAFFVSPDRATLGGTGGYLGLFNSSNNASTIVAVEFDTMANPEFGDPSGNHVGLDLGSPASVAAADLAASSGIDLRSGNLTTAWIDYRAADRRLEVFLSYAPAGKPSRPVLSVAVDLSSYLREAMYVGFSASTEGSTQTHTIRDWTFRTFGVPSSGSNATTTANNVSEQAAVPGGDAVTGAAAAAPRKRRVGLALGILGPVALAVSFVFFAWVSVKKLVELTSRNRNNDAAAFSPEELLKGPRKFSYKELSIATRGFHASRVIGKGAFGTVYKAAMPGSATLYAVKRSTQAHQGRSEFVAELSVIACLRHKNLVQLEGWCDEKGELLLVYEYMPNGSLDKALYGEPCTLSWPQRRTVAAGVASVLAYLHGECERRVIHRDVKTSNVLLDAGLSPRLGDFGLARLMDHDNKSPVSTLTAGTMGYLAPEYLQSGKATEQTDVFSYGVVLLEVCCGRRPIDRDDDAAAGGGKSNNVNLVDWVWRLHGEERLIDAADARLNGEFDREEMMRLLLVGLSCANPNCEERPSMRRVVQILNREAEPAPVPRKKPLLVFSSSASMKLQEIAFSCGDEVRGCYQASATNQATSPRSESGDIER >Et_4A_032813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14145753:14156784:-1 gene:Et_4A_032813 transcript:Et_4A_032813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASDKTFADVVKLLTSWLPRRSNPDNVSRDFWMPDHSCRVCYDCDTQFTIFNRRHHCRRCGRIFCGKCTANFIPVSSGPDINVDEVDKIRVCNFCFKQWEQERITALKQALPVLSPTLSEASLFSTKSTITINSVSTTIGSYSTGNYQHVARNCSISPPKCSQDKTSRNMQDGHAPEKSMSTLSDDEDEEYAGYCSDRQVQHRQHNEQYYGPDEFDELDPSCNSATSHTVEESIISKEMVDQAFPISLPVTKLEDDPEPDNSSECGAASSIYALEGTDANQVDFEKNELFWLPPEPEDDEDEMEAGLFDEDDDDELVTDGEQCRIRTPSSFGSGEFRSRDRSSEEHKKVMKNVVDGHFRALISQLLQVENISLNEGDDMGWLEIVTSVSWEAANFLRPDTSQSGGMDPGGYVKVKCLACGHRSESTVVKGIVCKKNVAHRRMTSRIEKPRLLLLAGALEYHRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVENSVSRYAQDLLLEKNISLVLNIKQPLMQRIARCTGAQIVPSVDLLPSQKLGYCELFHVDKYYEHSVGPGNVTKKILKTMMFFEGCPKPLGCTVLLKGGNMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPELPLMSPLTVALPDKRSSADSSISTVPGFTINVSNGQQTADSFGHLGAESIISTGPSESAMVEPPVSNENLTSRPWCANSGGFDNGSGDGPVKVTAITSSVAVCSTAASGVLTNHSPIYSSMERNHMHSGDYHDNGSTRSHGHIVTTDSAKTSNHHQQTIGCPKLDEPLEGSNDLANAKTASNNNVLLVQPVCSSSVHNQESNQGHDGTTNKEEVVASDHQSILVSWSTRCVWKGTLCERSQLLRIKYYGNFDKPLGRFLRDYLFDQGYQCRSCDKPPEAHVHCYTHRQGSLTISVRKLTDFLLSGERDGKIWMWHRCLKCPWSNGFPPATQRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPISVHSVLLPPHKLDFGHQPLDWIQKEANEVIDKAKHLFDEVLHTLHPTSDKKGNGSFLNLESSNHIAELDSMLRKEKLEFEGCLTKVMKKEVLKGQPDILEINRLRRQILFHSYLWDKRLVFAANVTEPNSITKPQIENSGNEAANRDLQESIYAGNLPAERPSNTNSNHDQQMATSEPDCLIRDIKTPLYSSISVSGESIPLEIDLVARRTLSEGQFPSLLDVTNALDVKWTGKDDPVLSKSTMPDSIAPSEDSEEQLGDVTPSYATLLLNKLGDSAEDHSNWIGMPFLQFYRSLNKQWNRSNRFDALNEHCHVYIPFLREVERQIGPKFLFPIGINDTVVGVYDDEPTSIISYALVSHEYHLQLSDELERDTIDNSLPLSDSRSASLTELDETTSELLRSVVSTEENILSMSGSRSLSTSDSFAYRKVNHIKVNFGDEGPSGLVKYTVVCYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFMKFAPEYFQYLSESIGTGSPTCIAKILGIYQVKSLKGGKEMKMDVLVMENLLFERNVTRLYDLKGSTRSRYNPDSNGSDKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEKRHELVMGIIDFMRQYTWDKHLETWVKASGILGGPKNVSPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPVISPGKQMTESSQDNDQFHSLESRYMQNL >Et_2A_017319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33154828:33157321:-1 gene:Et_2A_017319 transcript:Et_2A_017319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITIEQPQLDLVAERKVAAGDPAELVLDGGFVMPDANAFGNTFRDYDAESERKKTVEEFYRVNHINQTYDFVSRVRAEYRRLDKTEMSIWECIELLNEFIDDSDPDLDMPQIEHLLQTAEAIRKDYPDQDWLHLTGLIHDLGKVLLHPSFGELPQWAVVGDTFPVGCAYDECNVHFKYFEENPDYHNPKFNTKNGVYSEGCGLDKVLMSWGHDDYMYLVAKENKTTLPSAGLFIIRYHSFYPLHKHGAYMHLMNDEDKENLKWLHVFNKYDLYSKSNERIDVEKVKPYYMSLIEKYFPAKLRW >Et_4A_035494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26872152:26873423:1 gene:Et_4A_035494 transcript:Et_4A_035494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSQPGLAPSSSAQPATQPSLTKLTPNGCLFYSSSPGNKEKAPGQRKDDAAQRKKGPKPWRGPLPAARTSPKYTLADALARARNSTTTIQAGTSSKSSRGCPARSSASSPAPTTAAGDRPSGHQNSKFEFSRPWKRDPGECGVGKVPGLGRSIQLRLGGKTAQFSFTVGLCDLFARAGGGGPGVLKQSAHAPPSRNASNASRIHCASTRQSSLAGKRVVEVDGEAARRCKAMDRSSAGGRGRGYDMARGEDEEQFFGRGRGYGRSCSSAAAAAEVFKLEALGSTRGMGTSEEAVAAGGREAASGRAATGASRRVAAASQASRHVVTGLAVMAATRGDRRRAAVERQSQSGPAPASQQANRRLAMGPFRRVPSASLQCSHPQLVQETQGWTGIKRSRRKKRRFPREGRRRSLAVAAHKKVT >Et_10A_001839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:945473:946012:1 gene:Et_10A_001839 transcript:Et_10A_001839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPATTSCVLFAVLLVALTAGSAGAASVLVVNNCPFPVWPAAYPLHGGTQLNPGETWELEPPATLAEFTVAKDADGFDYYDVSVVDGFNVPMDFSSSTGGEGTIQCRDPACADGSHPGAAKVRRCRGNSDYQVVFCP >Et_4A_031959.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:5329210:5329890:1 gene:Et_4A_031959 transcript:Et_4A_031959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IWRNENHRKLFETAKICQHFYSRTCTCQRDKSSRPAQRRLGPAQSAPNPSPNRRVANGKKLLQAAADAAASSQSLPKASVSPSRPAPPPAGDHPAAGHAAMLRSVLPSRVGLHRILFLATLALLLAQALASAAESGDPCAVSVSDGGGGDAPTCPVRCFRLDPVCGADGVTYWCGCPDAACAGARVAKRGYCEVGAGSAPVSGQALLLVHIVWLFVLGAAVLLGFL >Et_2A_017385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33857468:33859943:-1 gene:Et_2A_017385 transcript:Et_2A_017385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAGEGSPASGGGFSENGKFSYGYASSPGKRSSMEDFYETRVDGVDGETVGLFGVFDGHGGARAAEFVKQNLFTNLINHPKLFSDTKSAIAETYTHTDSELLKGETSHNRDAGSTASTAILVGDRLVVANVGDSRAVICRGGDVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEKVDSSLEFLILASDGLWDVVTNEEAVAMVKPIIDSEQAAKKLLQEASQRGSADNITCVVVRFLDQPPTKNQQASS >Et_1A_007279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3307276:3314712:-1 gene:Et_1A_007279 transcript:Et_1A_007279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PQPKIHSKLGVLETKLELHQLSSETPAEAEHRMSSLGATTKPHAVCLPYPAQGHITPMLNVAKLLYARGFDITFARLVRTRGTAAFAGIPGFRFATIPDGLPPSGDGDVTQDIPTLCRSTTETCLGPFRRLLADLNDPATGHPPVTCVISDVIMGFSIDAAQELGLPYVQLWTASTITFLGYHHYRLLMNRGLAPLKDVKQLTNGYLDTPVEDVPGLRNMRLRDFPTFMRTTDPNEDMVHYVLRETGRTANASALIVNTFDELEGEAVAAIEALGMAPKVYTIGPLPLVARQDSPTPLSSISLSLWKNEEENLKWLDGREPGSVVYVTFGSITVMTNQELVEFAWGLANSGKQFLWIVRRDLVKGDTAVLPPEFVAETANRGLMAHWCPQQEVLNHPAVGAFLTHSGWNSTLETICGGVPVISWPPADELSVPCTVWGVGMEIDHNVRRDAVASLITELMEGEQGKEMKRKAREWRDKALEAAKPGGASHRNFDELVRDSPTKLTVSAPEKARAASAYSSETLAARTGRNREGMGSLSAMSKPHAVCLPYPAQGHITPMLNVAKLLHARGFDVTFVNTEYNQARLVRTRGAAAVAGIPGFRFATIPDGLPPSDDDDVTQDIPSLCKSTTETCLGPFRRLLSELNDPATAHPPVTCVVSDVVMGFSMAAAKELGVPYVQLWTASTISFLGYRHYELLMSRGLAPLKDVEQLTNGFLDTPVEDVPGLRNLRFRDFPSFIRTTDPDEYMVHYVLRETGRSAHASALIINTFDELEGEAAAAIEALGMAPKVYTLGPLPLVAREDPPTPRSSIALGLWKEQEETLDWLDGREPGSVVYVNFGSITVMTNEQLVEFAWGLANSGRQFLWIIRRDLVKGDTAVLPPEFLAATAGRGLMASWCPQQEVLNHPSVGAFLTHSGWNSTLETMCGGVPVISWPFFADQQTNCRYQCNEWGVGMEIDNNVRRDAVASLITELMEGEQGKEMRRKAQEWRDKALAAAKPGGPSSRNFDDLVRDVLLPKK >Et_1B_012496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32504000:32512394:1 gene:Et_1B_012496 transcript:Et_1B_012496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIGAIDTLLIVKKDWGCPSALSSWSSMNRTYCSWKGVSCDNGHVTALSFQNFNISNPIPSSICSLTNLSYLDLSYNKLTGEFPTTLYSCSALQYLDLSNNSFSGSLPADINELSPGTMQHLNLSSNSFTGSVPSAIARFSKLKSLVLDTNSFNGSYPSAAIGSLTALETLTLASNPFTPGPIPEEFSKLTKLKMLWLGAMNLIGTIPNSLSALTELTLLGLSQNKLHGEIPTIGNMNNLTLLYLYFNNITGPIPMSVRLLPNLADLRLFNNMLSGPLPPELGKHSPLGNLEVSNNFLNGTVPDTLCFNKKLYDIVLFNNEFSGAFPAVLGECTTVNNIMAHNNRFVSEFPEQVWSAFPGLTNVMIQNNGFTGSLPSAISSSILRIEMGNNRFSGPVPSSAPGLRSFKAANNEFSGALPASMSGFANLTDLDLAGNRISGSIRPSIRALGRLTYLNLSGNRISGEMPAAIGLLPVLTILDLSDNELAGDIPAEFNDLHLSFLNLSSNQLTGVVPESLQSQAYDGAFLRNRGLCATVNMNLNLAACRFGRRNPMSTGPTILSSALAGVTLIGIVGCLVILRQKRRQQQDATVWEMTPFRKLDFTERDVVARLREENAIGSGGSGQVYRVHLGRGRGLVAVKRLWRRGESDEKLDREFESEVKALGGIRHANIVSLLCCISGDDHTKLLVYEYMEHGSLDRRLHRRERLVGAPEPLDWPTRLGVAIDAARGLSYMHHECAQPVMHRDVKSSNILLDPEFRAKIADFGLARILVKSGEPESVSVAGGTFDYMAPECGRGGKVNEKVDVYSFGVVLLELATGRVANDGGAECCLVEWAWRRYKAGGPLQDVVDAGIRDRAAFVQDAVAVFVLGVVCTGDDAASRPSMKQVLQQLLRYDRTASVDAACREGCDDGVASAQLPAVGKKGHRPVTTSAVFWDGGEDSGNFVAHPV >Et_3A_025511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30420299:30420952:1 gene:Et_3A_025511 transcript:Et_3A_025511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGRLHARRLLPHVVTAPSAGPSGGQQQVAEVRARAAAPLSSLDATVITVLSLLLCGLVVVLAVHSVVRCAFRVTRRACYGQEEEPPGGAGADARKGGAGRGRIPSVLYSPEVELAGCGASECAICLTEFANGERVRALPHCNHGFHVRCIDRWLAARQTCPTCRRAPFAKPAALPPPSPEAPEVMPLQVIVHAGQPENNQ >Et_10A_001662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6541456:6542057:-1 gene:Et_10A_001662 transcript:Et_10A_001662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKLHVLVFNFQQANFPLGFLHNLLNKEILSNSKIIEETNENFEGLGVPSSMDQLFSENANVTGTLTRKLTYHLRNLHVAYDGTVRSLYGQHIMPVAKPHESSRVQLHQGKSTNISCEWHHPSTIVGSTRAVAPAPDNAVLLVLMGCIVIVFQLVNLVPLLVLGMHVPFQKMHMELWNNQ >Et_9B_064562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16509162:16512755:1 gene:Et_9B_064562 transcript:Et_9B_064562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESETRAAEEDLKKLRLFNSMTKEKELFRPRVEGKVGMYVCGVTPYDYSHIGHARAYVSFDVLFRYLKFLGYEVEYVRNFTDIDDKIINRANEAGETVESLSGRFINEFLLDMAELQCLSPTHEPRVTEHIDHIIELITKIMENGKAYEMEGDVYFSVDSYPEYLRLSGRKLDHNLPGARVAFDTRKRNPADFALWKSAKEGERFWHSPWGRGRPGWHIECSAMSAHFLGHVFDIHGGGKDLIFPHHENELAQSRAAHPESAVNFWMHNGFVNKDGQKMAKSEKNFFTIRDILAQYHPMALRFFLMRTHYRSDVNHSDKALKIASDRVYYIYQTLYDCEEVLSIYREEDISVPVPDEDQKSVNDYHSDFLKHMSDDLKTTDVLDGFMNLLKSINSNLNDLKKLQEKLERQNKKQLQNKKQQQLQKRPEHHVRALIALGSELKNKLSILGLMPPSSLSEALKQLKGKALKRAKLTEDQLQEKIEYRNDARKNKQFDVSDKIRKDLAALGIALMDEPTGTVWRPCEPELVTTAEDGAETSTSRQ >Et_9A_062471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23306738:23311098:1 gene:Et_9A_062471 transcript:Et_9A_062471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAAAAISRSSSALRKQLARGGGGEQRLWARGYAAKEVAFGVGARAAMLQGVNDLADAVKVTMGPKGRTVIIEGSFKGPKVTKDGVTVAKSVEFEDSAKNVGASLVKEVAEATNKTAGDGTTCATVLTQAILTEGCKAVAAGVNVMDLRNGINKAINAITAHLKSKAWKISSPDEINQVATISANGEKEIGDMISKAMEKVGKDGVITIADGKTLDNELEAVQGMKLSRGYISPHFVTDQKTQKCEMENPLILIHDKKISSMNSLLPVLEISIKNRRPLLIVAEDVEGEALAMLVLNKHRAGLKVCAVKAPGFGDNRRANLDDMAVMTGGEVVSEERGFDLGKVQLQMLGTAKKVTVSLDDTIILDGGGDRQQIEERCQQLRESIDVSTAMFDKEKAQERLSKLSGGVAVLKIGGASEAEVGEKKDRVTDALNAARAAVEEGIVPGGGVALLYATKELDKISTANEDEKIGVQIIKNALKAPLMTIARNAGVDGALVIGKLIEQDDINLGYDAARGEYVDMIKAGIIDPVKVIRTALQDAASVSLLMTTTEAAVAEPPATKARMASRMPQMSGMNF >Et_4A_035100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9400458:9404152:-1 gene:Et_4A_035100 transcript:Et_4A_035100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNANGSGGDGHEIVEVVGDPDTPSLSATMRLMDFIPIYIPTVERGALSRSRRKRRFLDFLRAHPSKDWFLRSTFVGRLRRRIQPSSSSTEDSDDGGEQPRRRFRVPFVRRIKWAKLWSYAVSWCRKPANFAMLIWLGFVAAGLLILFMLMTGMLNDAIPDDERRKKWTEVVNQILNALFTVMCLYQHPKLFHHLVLLLRWRDGDSDEARKVYCKDGAPRPRDRAHMLVVVALLHVTCLAQYYCCALFWSYSRRDRPDWALNIGYGLGTGCPVIAGLYAAYSPLGRKQHGEADTESSSSSSGGGAEQENCRAQQGDVEIKIYNRRVVVSSPEWSGGLFDCCDDGTVCALSTTCTFCVFGWNMERLGFGNMYVHAFTFILLCVAPFLIFSVTALNLHDPDIRDVVVAVGVLLGFCGFLYGGFWRAQMRKRYKLPARRGWWWCGSAAVGDCVKWLFCWSCALAQEVRTANFYDVEDDRFVAVLDAWNGEGRAVLVPLPREASTTHSRSLSCPPQIDAIDAGGMMSPLGVEMAGAMERSATYHPMRPPLPPLIQIDNSRKDGPDLALSIGNGLGTGCPSSLAAGPGSAEQDNHGAESDVQNVEIKIYNRRVMPGVERRDVRLLRRRDGVRAVRDMHVLACTRTLSPFPTFNLLWFAPFLIFSVMSPNLHDPDIRNVVVAAGVLPGFLYGGFPARRGWWWCGSAAVGDCVKWLFCWSCALAQEVRTANFYDVEDDRFVAVLDAPNGELGVEMDADFPTAF >Et_6B_048216.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1195102:1195860:1 gene:Et_6B_048216 transcript:Et_6B_048216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADDGGAERERGEDEGPDGGEEDDECGGGDESPEHLLVGEGASAGGERLRVGGAEEVEEAPGGEQGEERSEGEWVGEERGGEGERDEGGVVDAEVGEVLAEAGGGLGEGLRPRQRGAVDELRPGPRAGEGALGGLDEAADEGEGGGRGGCGGVVGGDGGGGGRGGGDGGGEDGRGGRGHGDLSGVLSLAAGFVDLISECETNGKRREEKKRKARGSVGGTSTVPRERVGPTSGVLRAQEWSTRSAECTFSPT >Et_6B_049426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4698300:4704636:-1 gene:Et_6B_049426 transcript:Et_6B_049426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGVGSHLAVSGIVPHPRRQTSSLQPPALAVGQRARSVSVSAAASSSATGDVAPVPPRSSSLVKRHTLSVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTEKILKQVVEQLNKLVNVEDLSKEPLVERELMLVKLNIEPEQLPEVMGLVQTYRAKVVDILDSALTIEVTGDPGKMAAVLKNLRKFGIKEIARTGKIALRREKMGESAPFWRFSAASYPDLEVSIPSNSLISTGAKVINQNLQESSGGDVYPVESYDSFSTNQILDAHWGVMTDGDSTGFCSHTLSILVNDFPGVLNVVTGVFSRRGYNIQSLAVGPAEKQHTSRITIVVPGTDESIGKLVQQLYKLIDVHEVHDFTHLPFAARELMIIKVAVNATARRDILDIARIMGAQPVDVSDHTVTLQLTGDIEKMVRLQRMLEHYGICEVARTGRVSLLRESGVDSKYLHLRGYAIP >Et_5A_041932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4478515:4480607:1 gene:Et_5A_041932 transcript:Et_5A_041932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLSIAPLLDAYFRRRFAAAGLVESSVKLDGGATTVHCWRFPRVLDSDDDRPVLVLLHGFGPPATWQWRNQVAPLARRFRLIVPDLLFFGGSGTSAPGARSEAHQAEAVAKLVEAVGEWPVSVAGTSYGGFVAYHVARLLGPDAVDRVVVASSDLLKADADDRALLRRGGADRVEDVMLPRSPERMRRLVRLAYHRPRRFTPGFVLRDLVQYLYTDKVEEKIELIKGITLGNKDQFELTPLLQDVLVLWGEHDQIFPIEKAFEVARKLGANARLEVLKNTGHLPQEEDPKRFNEALMNFLLLDPKSSL >Et_2A_014635.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:30402544:30403550:1 gene:Et_2A_014635 transcript:Et_2A_014635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSNVVKKGPWSSEEDALLMRLVDQHGPHRWSLISASIAGRSGKSCRLRWCNQLNPEVHHRPFTPQEDALIVSAQARHGNKWSTIARLLPGRTDNSIKNHWNSNLRKCRRREAAAAAIAAVASTSGSIAPQVPPINMMHGDNNLVADQPVFKRQCVVSHDKSSSAVVMPNAGASLGLNSNNTSVVSGPVIDPPMSLSLSLGLPLLPPTPHQATKELMEASMRNGGDKVAGNCSSSPPVVDGNAQLLAMIRQMVREEVQLQCGQLAYSLMAATTKGKGHQQ >Et_10A_001073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20894233:20897673:1 gene:Et_10A_001073 transcript:Et_10A_001073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTAHHRSLVVPAAAASCHLPRGFLRFRASPSPLAAAHRICCQCINSADVLGASSATSDDGVPVPVVMIDQESDRDATIVQLSFGDRLGALLDTMKALKDLGLDVTKGNVSADSAVTQSKFHIMRSGRKVEDPDMLEKIRLTIINNLLKYHPVDIEIATHLHVEDDGPKRSMLYIETADRPGLLLEIIQIINDTNIDVESAEIDTEGLVAKDKFHVSYRGAKLNSSLSQVLINSLRYYLRRPETDEDSY >Et_5A_040763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12002652:12004070:1 gene:Et_5A_040763 transcript:Et_5A_040763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEESCPPNCPSRQPDSWRTENVSFMNLEEVYIFDHRGFRVGNDGADFLRLLFRSAPMLRRMQVEVSGMGYDEIYSICQEYPHVECVVRRLNPPFLFLLNSRAWT >Et_3B_030625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5590173:5592736:1 gene:Et_3B_030625 transcript:Et_3B_030625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPEEGEILRPVQLQDRMQAVQLNSHTIQTHGASLARKHTHDWVVLILLASAVVALHYVPPFSRFVGRAMMTDIRYPVKQSTVPAWGVPIISVMCPLVIFMSIYISRRDVYDLHHAVLGVLFAVLITAFFTGVIKTAVGRPRPDFFWRCFPDGKQLYDQVTGGVICHGENSFLRDGRKSFPSGSFAGLGFLSLYLSGKLRVFDRQGHVAKLCIVILPLLIASLVGISRIDDYRHHWEDVFVGGLIGYIMAVLCYLHFFPPPYHNQGWGPYAYFHMLEELQAANSNGAQGQQSTCGHHIGLSEQQHDSRPRNDLESGNV >Et_8A_056722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15899543:15908341:-1 gene:Et_8A_056722 transcript:Et_8A_056722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLYMPWLHVLFNRHKKPGAEGVSRGLEVFWAPVLLLHLAGQDSITAYNIEYNELWSRHVLTVISQVTKPEAWNYGLHLSGYLWTYHPPYVIALSMLLGYFWLKNTGKALKSTYAHLNRLLAVSLTISAVALFHQSHKHGYNSSDVKCTATLEVIALFSYKYNFFSWCNRVAQYNLVSSFARYKEPTILLKLARCVGCKDYVDQHWYVEQCSSSLAITELVIEQVKAGWKDYIHGVSTYWTFNDRRGQLTLQQEGCFNELCWALEVPFDESVLVWHITTGICFFFSKREGSSDFLGCVETFHERCGAWCKRSTHHNAATCCREISNYMVYLLVVSPDMLMAGTRASIFSDARKELENLVKNVKPSSQALCITREIYRKAKSSTPKEAVIPRATKLASQLLALDDKKRWKVIQGVWVEMLCFSASRCRGYLHAKSLGSGGEYLSYVWLLLWYLGLESVAERQQRSDFRKQEERAGKSSSPCTTPAAHLRAQSAELRHQRYKTMKKQLLLRMHPRGERKEMGFSIVVLWWEEWQLRILVLSSLFLQYFLCFAAPRRKRSIPQFLRFIIWLAYLGSDAVSVYALATLFNRHKNTGEEGSRALEVLWAPVLLLHLAGQDTITAYNIEDNELWRRQVITMISQVTVAVYVFCKSWSGEDDRLLSVAILLFIAGIIKCIDKPMSLKSASIYSLVSQSPAAVGKVAAVDDQGKKVSSLEDYIRQAKDCFETIEVAHDQEQHVTVALPSLPFQPYLLFADLACTYHRRLTILRSFLGLDNSGAFMVLRVGLHSTFSRLYTKGCVHLSSHAYSSLESDLKSLFALLSRFLAILLTFATIGLFHHSHKQGYDDSDIKVTYTLLSCTAALELFGLYSITSSMEGDDNEIPSSTWPDRVAQYNLIASYARNRNPTNLLKLASFFGCKDYVNQHCFTEQCSSSFAIIKLVIDQVKEDGWKKYIKDTATYWMFNDRRGQLTIQQEGCGDDKLRRTLEAPFDDTILVWHIATEMCFYEGAGCHHHHKAVNSCREISNYMVHLLVAHPDMLMAGTRASTFSDARKEVKEMFKDEDAPKAIGDLTREILRRRSRETLQDDAHETEAFIPRASNLATQLLALNGEKRWRVIQGVWVEMLCFSASRCRGYLHAKSLGMGGEFLTYVWLLLSHLGMETVPERQQRSDLGRTRRPEIDEDEEEDGDAARAPGELLAGVDIV >Et_2B_021801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5645826:5651503:1 gene:Et_2B_021801 transcript:Et_2B_021801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding STRNEIPVPKGKREEKKAARPVLCRTATSPRRPRREEKKKMLARTLAARVRVELRHAPSLGSLLCRGYSPRAVAAVDDIAIDEEPPLAASPSTSAAGVAATAPTVLQPRVLIYDGVCHLCHRGVKWVIKADKHAKIRFCCVQSKAAEPYLRLVGMDREDVLRRVLFVEGPEAYYEGSTAALKVASYLPLPYSVLSTLLIVPTPLRDAVYDYIAKNRYDWFGKDDECIVTKDKEILERFIDREEMLGGGCKAPESLVSLDFFGLNSSS >Et_1A_005829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14493592:14498457:1 gene:Et_1A_005829 transcript:Et_1A_005829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATIAAHPAAAAVTLRQRHSLRPRHPLCAPVGTAAPRRLMATGKLSLTSALASKTLEGVPAVPPKLSFPILVNSCTGKMGKAVAEAAVSAGLQLVPVSFSALEVPDGKVDICDREIRIYDPSESEQILPSIIKDYPDLIVVDYTVPDAVNANAELYCKMGLPFVMGTTGGDRQLLKKTVQDSNIYAVISPQMGKQVVAFLAAMEIMSEQFPGAFSGYKLEVMESHQATKLDISGTAKAVISCFQKLGVQFDLDEVKQVRDPQEQVALVGVPEEHLSGHAFHMYHLTSPDGTVSFEFQHNVCGRSIYAEGTVDAAMFLYTKIKSGAGKKLYDMIDVLREGNMR >Et_3B_029563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2672225:2674784:-1 gene:Et_3B_029563 transcript:Et_3B_029563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAARKAAAGAGGGTSRAPVVVLALVAAGAFLISYNFFAMVFRGAGAGLAAGAGKDPVVAMPAWMRAAAGEEVRQRPFHVALTATDAAYSRWQCRVMYYWYKRMQARPGGEAMGGFTRVLHSGKPDGLMDEIPTFVVDPLPDGKDHGYVVLNRPWAFVQWLQKAKIEEEYILMAEPDHVFVKPLPNLAHDNDPAAFPFFYITPSEHENIIRKYYPKEKGPVTNVDPIGNSPVIIKKTLLEKIAPTWMNVSIQMKEDKETDKTFGWVLEMYAYAVASALHGVQHILRKDFMIQPPFDTRLGNTFIIHFTYGNDYSLKGELTYGKIGEWRFDKRSFQDRPPPRNLTLPPPGVPESVVTLVKMVNEASTNLPRWDEGL >Et_3B_029264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23546539:23548619:-1 gene:Et_3B_029264 transcript:Et_3B_029264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPAGQIGARLRRNNPQGPPPSRSPARSAPAAAQAPPSQATLGQTWLQTPSWNGFSSSWWFRQLLATIIKLPFFSYPSYAPYWLCFLCSLQEPKASESEANTFQPIAEPNITHSSPDPHATTIVDVDSGQDNRRSRSSFSKKHGVGSRGGRRMTWTIDETVRLFYEQDFEEGQFKHIASWKILRDQPKWHTYNEDLNGSNKRKYSDSEIHEPVDFTSSPDEFTDLPRPGGCKKAKEERGGKGKGKATSTTMEEIDKLREGLAKAKEDRVEVLDKHQQIAADRKESARLNHLAAKEKREAKLLEKEGKMHDKESKMLEMYKSLISMDTSKLDDEMKAEHVIATKSLRQKIFANLS >Et_2B_020322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1975441:1980268:1 gene:Et_2B_020322 transcript:Et_2B_020322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKPEGGDADGAAAAAAEVGSPRSGYFRQRSMHAAAADPEASRRPFDVENPPGSAAGAGGLRPSESVTKLESLERAERAALAPAVVLKTGFYILVWYAFSTCLTLYNKTLLGDKLGKFPAPLLMNTVHFALQAGLSKIILLFQSKGLDNTAVEMGWKDYFMRGADHVPEQDLSLLLSEGIVTLTSRSAVVPTALGTALDINLSNASLVFISVTFATMCKSASPIFLLLFAFAFRLESPSVKLLGIIIVISTGVLLTVAKETEFDFWGFIFVTLAAVMSGFRWSMTQILLQVSLKNPITLMSHVTPVMAIATLVLSLLLDPWSDFQKNAYFDDPWHIMRSCLLMLIGGSLAFFMVLTEYILVSATSAITVTIAGVVKEAVTILVAVFYFHDEFTWLKGAGLVTIMVGVSLFNWYKYEKFKRGQTNEDDVNSPPFNGDAKYIILDDLEYQDEEDT >Et_7B_053480.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:22296765:22297562:-1 gene:Et_7B_053480 transcript:Et_7B_053480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPNNSPTQPAGVQNFLSGLPDGLVGHVLSFLPVKEAARAAVLSRRWRYIFACVHTLSFVQEEGSSRYEASGNDFDYKHNNHKSRNAEFVGLINAAFSCRRRCSGGGRDPGLRAFRVKFDTFHQSLAGDVDRWLSVAVRSGGAAEVHVDARRQEQRTCVRELPKRYYERSYGGQLGELKDESDGDDGDDFRNPETRDRAYRVPRELFFSSSAASLQTLCLGSCFLDLPPDPSFNLNLPSVETLALIWIPDSGRDIQRLISACAR >Et_7B_054425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21227762:21233325:1 gene:Et_7B_054425 transcript:Et_7B_054425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPPPSPSPAIPREASEGCSVLLDINDGDRLAFFRLTPAATVKIGNKTCSLQPLVGRPFGSLFRVGTDGLVPCTAADAPSLRDDSTHDGDDGQVQDETRDNRSLVDNNTAQNLSSDDIEAMKRDGATGDEIVEALIANSSTFGKKTVFSQEKYKLKKQKKYAPKVLLRRPTARSICETYFKKSPARTGFMRVDTLSLLLSMANIGPYSDALVVDMVGGLAVGAVAERLGGTGYVCSTYLGKTPSSIDIIRMYNLSGDMASRIVQAPLNDLCSLQNSGNTPSVLNGSSKEEVTEPIAVSAEDTQLSLAQGGTVVSDEKPQPSTEQPIDMEVSVPPSEEEHVGQDETSSLDNKGNDDSSTASRSLKAGKTPSPEKMKYWKEHGFSSLIVAAPGNEVESLVADLLPLLCSSAPFAIYHQYLQPLATCMHSLQVSKMAIGLQISEPWLREYQVLPSRTHPHMQMNAFGGYILSGIKIHNTDAVIANKFTITIYAPALESRVQARYSPPSLVTAASGTY >Et_9A_061607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14446056:14452579:1 gene:Et_9A_061607 transcript:Et_9A_061607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEPPSPEKPRKEVLMGQTHNLYGIIAEFNCRRAALIRAITTDEEEFFRKCDSSEYNTTTWKIMFAQYFLFPDVVVAWAYIGLESMCLYGHINGSWEVRVPEVFGQPSLPEPTHGINLFRDKMEHVRWLQEVAKHCDSWLISISFFIGAKTLNATGRWLLFNHISNLETVHEAFLESDTYRRLLCEEEVRSRVVVSDEEDDDDHFCDICGDRYHANGFWICCDVCGGWSHGRCVKVTPEQSEHVKHYECPECISERRGHDSE >Et_9A_061942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18104899:18113260:-1 gene:Et_9A_061942 transcript:Et_9A_061942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLNAFVSCCSTYYEGRASIQLAESNFLSLGRASNNVVGHIATNPSQLAIPDTYNCEHAPTHLGPNLLDDEAKKTRNETEASHTILESAIPANTLETQVQHSQESTALLAEVSADENMHMYQPMQKKPKIQISQSEHTPLSTPAVIKERTLTQIEMQIAGAEKTETFRNVETPAQKLKTRRKKHRPKVIREDRKSKVQKPVVDSTPDGKSPKQKVKRSYVRKKRNASSLEKCSGPVSNQSISVGTGIAARRTASVRRSLDFGLEEQGMQGNHVSMANSHHHNIEKLVHAQSSFCSVAESEVQVGQGLQVDMQNSPGRLAFGMSLKLNKLLDEYIHLPEATPKTTQEVSIATSGSFGKELEKDNIRGTHEAGATSKNRLCIQEKVGMTVAEQNKDDLEFNHSISDYVSCSVENHRHHKNGESSLTGTQDSIIWRAAAEMLAFCQVGGIKKKRSARARRNSFISIMDLENDTLQASTRLPQPCMDALYENSYIKINLDVHCKAPEESSTSTSTVPCNDHLQGVASKMKHLDLNIEQVHRTEMNLSLTVPALISFGGTYGLSNSLVPYGGGMVVPYERPWQVVKRQRPRAKVDLDFETTRVWNLLMGNTAEPVDGTDVEKERWWQQEREVFQGRANSFIARMRLVQGDRRFSPWKGSVVDSVVGVFLTQNVADHLSSSAFMALAATFPSRSINSNYKDDATTQDNEQTIGTGSLGEKSMFDLFHNGARPNLEVNCEELSVNYKKIHMVPKDNTSIDEFIEGEKFSFDYKSADENVCKNQGTGMEHKAQRISDVSSVELTASTEVLQQTQYQNEISSQSVTLETRQSRLPSSSGIPKNLAVGGCVASYQLLENNFGHVKTVTGNGTVTCEIEHHILKTVSINDDGVGKPGIPSSSTMPFSSTIDSQQLDLRNEPNVSTSPNSSSGSATPYLKSSTDKNTNSHMAERSGDKTSSIVLNSPAGNIREDERTLKSGFSSYNGVPDTEAPASRRKKTRTTSKMNTENFDWDKLRREVCNEGRMKERSFERRDSVDWEAVRCANVQQISHAIRERGMNNILAERIQNFLNRLVRDHGSIDLEWLRDTPPDSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYPVLATIQKYLWPRLCKLDQQTLYELHYHMITFGKVFCTKSKPNCNACPMRSECKHFASAFASARLALPAPQDKSLVKSRDQFAFQNSSMHPLISTHLPRLEGSPHARDFLPNNSYPIIEEPESPKEDECPETMENDIEDFDEDGEIPTIKLNMEAFAQNLESCVKESNKELQSDDIAKALVAISNEAASIPLPKLKNVHRLRTEHYVYELPDSHPLVQQLGLDRREPDDPSEINEISDAPKPCSGSQVEGGLCNNEMCHNCSAERQNQSRYVRGTILVPCRTAMRGSFPLNGTYFQVNEVFADHKSSHNPIHIDREQLWNLHRRMVFFGTSVPTIFRGLTTEEIQHCFWRGFVCVRGFDMEFRAPRPLCPRLHMVARPKTRKTQATEQVH >Et_1A_007346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33601785:33605664:1 gene:Et_1A_007346 transcript:Et_1A_007346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLGFVFVLVAAAALIKPSGGVGEKDRERLVLQWRQHPSSPSPLLNGDLADKIWSICLCYMVGSEEILGNVLPFASNELSSRSSENDLKIMLFLELLGHFPPEKLSITCDCIRANYFDLGISQEFSFALSTYLENQQSLIGLNYYPRRQLSDQSTVDAPSMAPVLAPPIFSGDEVQFTQSVTETPFTPSNSLNMEPPSQHHHSRPAQKHRGTPPPVSPLEKHKDYIKLVLIAVLPTAVVSFVIAFLIFYCCGCNKSKVSVSEQRDDHPLLHLQLATPGSSPDARIPASPLHKDDQRGGHRPSKSGASMSHCFTCWFIRSDATEPSQSTGEIPANNAPSDAPKPMPPPPPPPLPPKAPPPPPGPPKGSKSKLAQPSPVGSSRSEGSSVGEQTSESSETEVNAPRAKLRPFYWDKVLANPDQSMAWHDIKFGSFHVNEDMIEELFGYNAGNRNNLKDKEPLSADPSPQHISLLNVKKSCNLAVVFKAMNIRAQAIHDAVIEGNELPRVLLETILRMKPTDEEEQKLRLYDGDFSQLGLAEQVMKSVLDIPFAFKRINTLLFMSSLQEDASSLRDSFLQLEAACGELKHRLFLKLLEAVLKTGNRLNDGTFRGGANAFKLDNLLKLSDVKGADGKTTLLHFVVQEIIRSEGVREARLAMESEISPRPSTSDDNSSESPQEDGDYYSHRGLKIVSGLSSEMDNVKRVAALDAEVLSSSVSNLRHELMKAKEVLNEIATLEETSGFYRLLEQFVEDADNETKFLLNEEKRLKSLVKKTIRYFHGNDAKDDGFRLFVVVRDFLVMLDKACKEVGASQKKAVNKSQSNGNCDLTSLSNQQLQFSVVLDDHIDSSDSND >Et_4B_039471.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:17908163:17911033:1 gene:Et_4B_039471 transcript:Et_4B_039471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLSSRLPLLHIAIIVISSLLLCFSHSSGQATSDDDDRRRQAAILVAIKDAFTSPVPPPLQSWTLAGHATLCSSWHGVACGPDNATVVSLDISGHNLSGTLSPAIGGLPHLQFLSAADNSLAGELPPTVAELRGLRHLNLSNNQFNGSLAGLNLSAMAGLQTLDLYDNDLAGPLPAGMETESLRELRHLDLGGNFFSGSIPASFGRFPAIEFLSVAGNSLGGAVPRELGNLTTLRQLFLGYFNQFDGGIPPELGRLRGLVHLDLASCGLQGPIPPELGGLRNLDTLYLQTNQLNGTLPPSLGNLTSLRFLDVSNNALTGEVPPDLAALRRLELLNMFINRFRGGVPAFVAELPALRTLKLWQNNFTGAIPAALGKGAPLREVDLSTNRLTGEVPRWLCARGELQILILLDNFLFGAVPDGLGACPTLTRVRLGRNYLTGTLPRGFLYQPALTTVELQNNYLTGSLEEEGNGSGVFTAGAAKPQLSLLNLSGNRFNGTLPSSLGAFSSLQTLLLGGNQLAGAVPPQLGRLKRLLKLDLSGNNLTGAVPGELGGCASLTYLDLSANRLSGAIPATLADIRILNYLNVSWNALTGGIPRELGGLKSLTAADFSHNDLSGRVPGNGQLAYFNASSFEGNPRLVLGKASELWPPQAESDGGGGPTSTSGSKGGGVGGRLKLAAALGLLACSVAFAAAAVATTRSAMLRRRRRSAASNRRWRMTAFQKVSFGCDDVVRCVKEESHVVGRGGAGVVYRGTMPGGELVAVKRIVAATGDGGDGGFQAEVETLGRIRHRHIVRLLAVCCAADANKLLVYEYMVNGSLGERLHGHGEETPTPLSWPARLRVATEAAKGLCYLHHDCSPPILHRDVKSNNILLDDRMEAHVADFGLAKFLRGNGASECMSAIAGSYGYIAPGSVLQVSSVLFFSHSSIHSGTMAIHADHSILGTDRRDGQGLLDQ >Et_9A_061030.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:11370922:11371218:1 gene:Et_9A_061030 transcript:Et_9A_061030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALACRNGVAFARQHGVRVSLETDSQELAQLWSARDTQRSSIFVVLREIHDISLLLLGFSFKYVSRVCNKVAHELAKLVSSAYITGEWQFEAPLCIR >Et_9A_063066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9556684:9557177:-1 gene:Et_9A_063066 transcript:Et_9A_063066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFLKVFAREPSSYIKHIATSEKERSPGPKLTFLRVFAWEPFRYIKHIAPSQKERSTGRSGRNNTEIFETGPEQLQNSPV >Et_9A_061057.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:12950048:12950296:-1 gene:Et_9A_061057 transcript:Et_9A_061057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFRFYNGADVGDVRLALGRGCGHTGLHGDFDRSTSCRLQRLAGDGNYGDGYFYGRGSSLAPPPPLLMLLLSAGAAVLLRG >Et_7B_055717.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:2649754:2650728:-1 gene:Et_7B_055717 transcript:Et_7B_055717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAATTTITERRTRPSSTETDEADAVSKRPILTLASPSPSRAAGGRHALEPSPKRRAPCRRSRPVRMFQSMCRSLPVLDPTCGGRQTIMQPAAGGGAVVAPSPPSSPEPYSLFTQLFASSPIVGAAVGSSSPSSSNHRRVTGTLFGHRAYRVSLALQDNARCMPELVLELAIPTHALLRELGSTAGAGIVLESEKRGGGEGGVGSRWRQLQHHHHHHHDVDDGCSWVLHEPMWTMFCNGKRVGYAVRREANDGDVEVLETLWGVSMGGGVLPGIADVDGPDGEMAYMRGSFDHTIGSRDSESLYMVGPPGGDCPELAIFFVRL >Et_2B_020743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23144237:23156378:-1 gene:Et_2B_020743 transcript:Et_2B_020743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GFYASCKQLKNFDQGIMHLIVKVTVICSNLTRENAVELLQRYRRDRQVLLNYILSGNLIKKVVMPPGAISLDDIDIDQVSVDYVLNCAKKGEPLDLGDAIRLFHDSLDYPYVNNTGAVEEFYLLTKPEHSGPAPTREPPPVPATAPSPIVIPPPVVEPSPVTVSSPVAATNLAKSQSLDSPTEKELTIDDIEDFEDDEDEFDSRRASRRHQTDASDLSLRLPLFETGITDDDLRETAYEILVAAAGASGGLIVPQKEKKKEKRHKLMRRLGRSKSESAESHTQRQPGLVGLLENMRAQLEITEAMDIRTRQGLLNAMVGKVGKRMDNLLIPLELLCCISRSEFSDMKAYLRWQKRQLNMLEEGLINHPVVGFGDLGRKVNELRNLFRKIEESESLPPSAAEVQRTECLRSLREVATSFSERPARGDITGEVCHWADGYHLNIALYEKMLGSVFDILDEGKLTEEVEEILELLKSTWRILGITETVHDTCYAWVLFRQFVCTGEQGLLKVVIDHLRKIPLKEQRGPQERLHLKSLRSSVDAEGSYQDFTFFQSFLSPIQKWVDKKLNEYHLHFSEGPSMMSDIVTVAMLTRRILDEENDKGMESPDRDQIDRYITSSVKNAFVKIAHIVEFKADTTHEHVLTSLAEETKKLLKKETTIFTPILSKWHPQAAVISASLIHKLYGNKLRPFLEHAEHLTEDVVSVFPAANELEQYIMSMMVSVVGDDGLDSICRQKLTPYQIESKSATLVLRWVNGQLERIETWVKRAADQEVWDPISSQQRHGSSIVEVYRIIEETADQFFAFKVPMREGELNSLCRGLDKAFQVYTQLVTVPLVDKDDLVPPVPVLTRYKKELGIKAFVKKEVQEVKTVDERKASEITQLTMPKLCVRLNSLYYGVSQLSKLEDSVNERWARKKTENINIRRSTSEKSKGVTPSQKNQFDGSRKEFNSAIDRLCEFTGTKVIFWDLQQPFIDNLYRNSVSQARLDTIMEVLDLVLNQLCDFIVEQLRDRVVTGLLQASLDGLLRVILDGGSTRVFSPNDAPLLEEDLETLKEFFISGGDGLPRGTVENLVSRVRPVINLIKQETRVLIDDLREVTQGSKSKFGTDSKTLLRVLCHRNDSEASHYVKKQFKIPSSAPSI >Et_1A_008171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4957261:4961249:-1 gene:Et_1A_008171 transcript:Et_1A_008171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVGVVVSDPSLQGQFTQVELRSLKAKFVSLKRDAGHVTTKNLPGLMKKLRGLNEVVSEEEIAAFLSESYPDSDQEIEFESFLREYLNLQARVSGKEGGAAAGAGGKTSFLKSSTTTLLHNLNQAEKSSYVAHINTYLRDDPFLKKYLPIEPSGNQLFDLVRDGVLLCKLINVAVPGTIDERAINKKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLILGLISQIIKIQLLADLNLKKTPQLVELFDDSKDIDEVLSLSPEKMLLKWMNHHLKKAGYKKTVNNFSSDVKDGEAYAYLLKALAPEHAPEATLDIKDPSERAKLVLEQAEKLDCKRYLTPKDITEGSANLNLAFVAQIFQHRSGLTSDIKQVTLTQTASRDDVLLSREERAFRMWINSLGVESYVNNVFEDIRNGWVLLEVLDKVSPGSVNWKLASKPPIKLPFRKLENCNQVVKIGKQLKFSLVNLAGNDIVQGNKKLIVALLWQLMRFNILQLLNKLRYHSQGSEGKEITDADILKWANNKVKASGRSSQMESFKDKSLSNGLFFLELLSAVQPRVVNWKVVTKGEEAEEKKLNATYIISVARKLGCSVFLLPEDIIEVNQKMILTLTASIMYWSLQKQPQSLSEMPEQSEPSSMTSDAASDIGSEDAASTTAPSEGEEVNSLSDSMSTLTTDDATSVAPPAENGNGVAGS >Et_1A_005580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11714728:11715629:1 gene:Et_1A_005580 transcript:Et_1A_005580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNAATRRSSFLISVMLCFQLLHHQSQAFKLRVGYGGEREEKVPLAVIVPDPTPQLSSPSPVAAPPPLSGGGDDDMRPRLPTERWRRGHGEARRAVAHKAPAPTTAAAWSPGPARAPAAEAEAPAPDSGSGTALIKSSPAVPVPRGVTDTATILPMPAPGEKRQELGAAASVGAGMVPLLLMLGLAMMASFGL >Et_4B_038963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6447312:6452107:1 gene:Et_4B_038963 transcript:Et_4B_038963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAKSAPGTRQWAAWTRQEEENFFNALRQVGKNFEKITHRVQSKNKDQLLGPEFSLDAKDSKDTIAAMLRWWSLLEKFSCSASKLHLKPRRFKSFVEALGNQLLKDRNKSRRKCTRVDMCLSSPSPVLSKASGNEPFPVKFLSEDAQNGSKLVSPKGTFLKRSTEMNSNKSGATKGDLSATRTVKQKRRAGGVVASAAYKKWERAAMAGVSLVADAAEELERSTVDPGLCNVEAGTFTSSSNHLSTADGISINPVKEADPQAPAKLKLQLFPINEATRKALEKDEHNPHLELTLSSRKKISSVLEHLNRKWGNSNIASGELMLFPYCAHQEDLATYQRWSTRDTVAVADVYVSVNSPSVFRLRYGWFSLVELEAGVSEISLTHFENCMIPEDIQIKSTSGEKACNSEVPEQLTNVPTSQFGGQKRVQVRAAQALEDDQGMNRAAISEGDWADTLTDISVGYLLTEASKGANSDCVGTSIVKSALFLENPCSYDSFDAAVALHASRYQSSEQQAHTSHSTIWGGEETCDEFSFHLSAARRKKEGSNTCASSPLDSDNEVHPLNSEGFQGFLQDLVGAEAADNPYTDDAKDTDELCAKSSTQNGDHNELKDQSLADIYWPDSLGPLDLEIPSVRYQADDLLLGDSQNSWSRMMANSLDAFRNLSFFSMDKSDSISPIV >Et_5B_044817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6157997:6164156:1 gene:Et_5B_044817 transcript:Et_5B_044817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKHVKVTVMPQTLEFKEQYEKKSYSVEFRSGAGGSEWEFGHIIWENEKHQVRSPVAFISWITRSETTTCRHGRDAFQAGHVRHRSQWTQGWCMTLVQGTMSTSSAPSTTPQSSCTSSRPTWLRARRPRSLAAAPTSTTSFVVIFHGRADVRMLTRTVTKVYEEAETYNFTDMAPRHVNMNVTPATLEFKKQYKKKSYTRARKDRIEAGSYIGVDMGTVDTKLFEREAVIVYKERETYFESNTYRYQSDASEANMCSFRSLFDGCVDVFCVKADNMQNYTGGYCSNGVNLAASQFARVLRTTGVQAVVM >Et_5A_041442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22964354:22967798:-1 gene:Et_5A_041442 transcript:Et_5A_041442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEKKGHTPFPKATKLSSAPVRHKRSKSDFEDKDAKIPLRSSQKAANQPKLILQLEMHLKDQQVVRGALEKALGPDPAPVTLQNESSMLKPAAQLIREVATLELEIKHLEQHLLTLYRKAFEQQQQQQTPTLPSSDAHREASKLSVSSRSSQVEETPKAKGPLRRGGDPVLHYSCPPLTKGRHGSVDDCSPSTCPRRTMDADIGLRSQSALSFRGVCSSRISPTEDSLARALRSCHSQPFSFLEEGEATTSGVVSLADYLGTNVADHIPETPNNLSEEMVRCMAGVYCKLADPPLVHHRPSSSPTSSFSSTSVVSPQYLGDMWSPSCRREATLDSRLINPFHVEGLKEFSGPYNTMVEVPSISHDSRRLREAEDLLQTLETVDLRRMTNEEKLAFWINIHNALLMHAYLKYGIPQNHLKKTSLLVKAECKIAGRTINAAVIQGLVLGCSTHCSGHVRVYTPKRLSQQLEAAREEYIRATVGVWKEHRVLLPKLVEAYARDAKLSPERLVDAVQRVLPESLRTAVQRCRRGGGRSSGKVVEWVPHRQSFRYLLARDLAFPHLS >Et_1B_013806.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17380340:17381332:1 gene:Et_1B_013806 transcript:Et_1B_013806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSAVEADECGDAVETEVATAEDEKQRMPAEVSWEMLDKSRFFLLGAALFSGVSAALYPAVVLKTHLQVAPPPQAAASTAAAAILRRDGPRGFYRGFGASLAGTVPARALYMAALETTKSAVGSAAVRLGLAEPAATAAASAAGGVSAAVAAQVVWTPVDVVSQRLMVQQPASRYRGGADAFRKILVADGVRGLYRGFGVSVLTYAPSSAAWWASYATAQRLLWRAAGPARHDSRGCAVAVQGARAAAAGGAAALVTMPLDTVKTRIQVMDAGAPPSLGAAASALVREGGWAACYRGLGPRWASMSLSSATMVTTYEFLKRLSAKEGSL >Et_4B_037922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24831866:24833966:-1 gene:Et_4B_037922 transcript:Et_4B_037922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAAVAAGMATGPGSRVTRFAKSTAASVTPVRPGKTHALSPLDNAMERHTVHVVLYYRAAPGMDREQLKESLSEALSLYPAMTGRLTRGEEAAAALALAAAGGGDAAEAGNAQRGWVVKCNDAGVRMVDARAEASLEEWLATATGDEEMDLVYYEPMGPEPYIWSPFYVQLTEFADKSFALGLSCTHLHNDPTAAALFFHAWAAAHRRTSSTYPPFLHAPALSVSLSSPPPPPPLLAAKSSTASSPATAAAMSSATFHFPASAMRELLSSLEPGATPFAALAALFWLRLAANAGDGEERELTLALDFRKRMHAPLPTGYYGSAVHFTRARADLAAGLPAVAAALDRHVAGVPEDEIWAALEWLHARQQGGGEPFQMYGPELTAMALDHVPMYGAEFEVGAPPARVSCRVGGAAGEGLVIVLPAAEGGEARDVVVTLPAEATARVCRDGEVLRYGAKVVARPKAEKDA >Et_7B_053229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13345957:13346418:1 gene:Et_7B_053229 transcript:Et_7B_053229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVIVSNDGPACGYGGGLTLSAFMSCLVAAAGCLRRPDFRLRHWHLRWRL >Et_1A_009279.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:36860270:36860887:-1 gene:Et_1A_009279 transcript:Et_1A_009279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVSYAERGTAYGGGGPSADLRSYSASYAPRLPAHNKVSRARSTTSGAWSRAAPPVKRSGSVKPVSGPTPGLNLRSYSASYAASYGPTLAGAGAGAGEGGGGQLKRSGSVTNWSASGRRSVNLRGYTPSFAALDDTAAAPPAPPAPKTKAAGGGGIDDVAELQRKKRLVVYKVYDVEGKLRSSVRRGVGWIKAKCSRVVYGWW >Et_6B_048757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13344550:13348095:1 gene:Et_6B_048757 transcript:Et_6B_048757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRDAFMGLARPPPPSCSFLGSAATSSGGPQMLSFSSNGGAGLGLSSGASSMQGVLSRVRGPFTPTQWMELEHQALIYKYFVANAPVPSNLLLPIRRSLNPWSTFGSSSSGWAQLRPGTADAEPGRCRRTDGKKWRCSRDAVGDQRYCERHINRGRYRSRKHVEGQKATTTIAGPAMAVSAGVSSHSHTVAWQQQMEGSAANAANIFQSESTRELLDKQNMHDHLSVSSSTDFFDFSITHPSQTHGYDQTYNGHETCSSSEKSNESQESRLLVFRETIDDGPLGEVFKSKTCQPASVGILIEERAANHKLHSPDGIPQMTSKFSPVSSIKTVPVENYTITDGYLTRRMAEGFEPVKAILERRWCNRE >Et_1A_008783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12794774:12800151:-1 gene:Et_1A_008783 transcript:Et_1A_008783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALRAKDAAERKFHARNIKGALRSAIKAHNLCPSMEGISQMVATLEVHLASESKIDGESDWYRILSLSASADEEEVKKQYKKLALLLHPDKNKSVGGEAAFKLVSEAWSVLSDKSRKMLYDQKRRDHSVVNGANGLYTYDKKANKRARKDAAAAAAAAEATIRPPGAETFWTSCNRCRMQYEYLRIYLNHNLLCPNCHHAFMAVETGYPSNGTSPSFSWSTKPQQQKNHTTVDHSYNSASRTSSIPGTGHGVYQQENTYEAYNNQSFQFNQYPKTTSDAAYSTQTSEKPKRKHEENYIYSYFSSGNEYPSGRGRHANRRRNINNGYASVDSTGETAAATVGTTVMADAGRVNGINANGTSGERYRSAVSGRKANVLRKIFQLDTRALLIDKAKAAIREQLQDLNISTSTFAERGEAKRKEQHVENYLKVNGILSDNPIKKRKIYTTKDADVEIPATDEMNPEQKRVPVSIDVPDPDFHDFDKDRTERAFGNDQVWATYDSEDGMPRLYAMVQKVISLKPFRIKMSFLNSKSNSELAPISWIASGFTKTCGDFRVGRYQITETVNIFSHRVNWTKGLRGIIRIVPKKGDTWALYRNWSSDWNELTPDDVIYKYEIVEVIDDFTEEQGVNVIPLLKVAGFKAVFHRLTGLDVVRRIPKEELFRFSHRVPSCLLTGEERSNAPKGCHELDPAATPVDLLKGLERLPTDVPQVKETESRVRTDLCSRRPN >Et_2A_018414.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27160501:27161643:-1 gene:Et_2A_018414 transcript:Et_2A_018414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEMLRLAQEQMRRMSPDDLAAMQRQLMANPDLMRFASESMRNMNAEDVRRAAEQLNQATPADMRAMTQKIANSTPEELAAMKAQADAQMSSHAVSGARALKTHGNELHSRGQYADAAVKYKLAKDGLKNAPSSTATRALQLQCALNLMACYLKTGSFEECVSEGSEVLASDPGNVKAYYRRGQAYKELGKLEAAVADLRKASEIAPEDETIAEVLKDAEEKLGSPLVTSDDTTSSPQSVVENRDEIVNDQSVGQASSGASPFIADDMQETMRNSMRDPAMREMISSMMKSMSPEMMANMSEQFGMKLSKEDAGKAQQAMSSMSLEDLDRMMRWMERARQGVEAAKRTKDWLLGKRGLILAIVVLILCFILHQLGFIGG >Et_5B_043754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15408841:15418843:-1 gene:Et_5B_043754 transcript:Et_5B_043754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDGRRNEQIAGCHYSNMPDSSGNNGHGAVTRVLFCGPYWPASTNYTKEYLQNYPFIQVDEVGLDQKEMDTAVIRKDLGVPVGDTIFGKTILILGFGAIGVEVAKRLRPFGVKVLATKRNWSSDTLPCDIDGLVDKKGGPENMYEFAGEADIVITCMALNNETVGIVDHKFVSSMKKGSYLVNIARGRLLDYRAVFDHLQSGHLGGLGIDVAWMEPFDPEDLILKFPNVIITPHVAGVTEYSYRTMAKVVGDAALQLHSGEPFTEIEFE >Et_4A_032603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11825606:11829846:1 gene:Et_4A_032603 transcript:Et_4A_032603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRGVASHRGRSFARGRGWRGRGRDGGGGDGRPSAPPPSSTASSTAATPTAAATADDAAPIVGTCPDMCPARERAQRERLRDLAVFERVGGDPARTSPSLAVKKFCRTISSMSVQASDLRPLPVLRETLDYLLHLMDSSVHPFETIHDFIFDRTRSIRQDISMQNIVNNQAIQIYEDVVMFHIKSHQRLARTCQDSDASSLCYLNLEQLTKCLLSLFDMYHILHKSNSHSNREAEYYSFYVLLHLGCKIPKMVDSLSLWYGQLAPPVRRSKEMLFARTLLRCYHLGNFKRFFCMVAAEATDLQLRLVEPFLNEVRARALMYFNHSGYKLQHHPLKHLSEILMIEESELEPLCEICGLKISKNEGLIAFAPKQASFSIPTYMPRSNGIHVSREIER >Et_5B_043772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15865866:15869386:-1 gene:Et_5B_043772 transcript:Et_5B_043772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHLMVESGAGFVTPPPPFCAVSDWPYPIDMAQQYIPAFSFSSLPRRIKVMATTMRLHGMLLLAITILSVDPLDPLSAAELTAVSAAVFATPLVTARPLTVRYVGLDEPEKADVLAYASSAPRARHRPRQRRVPRAPRRRHQCLRRGVRDLARRPPRRRLPDDHDGGGRRGGEATARVPSVRGVRAAARAEPERRRLRRLLQGVVRRRRRAGQVARARVAKMQCFVRTNETANIHARPLEGVTLVIDVEKMVVLAYKDSGVQPVPKAEGSDFRADKVGPPFTGPATVPGVVVQREGKGFQIDGHLVRWANWEFHVGFDMRAGTVISLATVHDADVSQQRRVLYRGFVSELFVPYLDTADDSLVQLKPVVAAVLALQWRAKRAPLRLCHCYVSGVVAVGFARHR >Et_8B_060249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:839537:841263:1 gene:Et_8B_060249 transcript:Et_8B_060249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFQCSETRVLTLFLKPLILSIPSDSIASAPTTPPASPPLRSPRRLDTPCSPSWAMAPPLLSWEPPCSRGDGGTCGLMPTAPHSAIVVDAPYQVTGTSTTKSPKAEWPRAASPCFVQEALAD >Et_3A_025794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3319919:3322563:1 gene:Et_3A_025794 transcript:Et_3A_025794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKSMDLVLERDWAELPSDLLLRILGTLEIPNMVSVAAVCRSWRSGYKLDHRLDVSPLFRGPCLGYSAVDREANVATLHCISDDKRHHITLPDPPFRSRYVVGSSHGWLATADGQSELFLVNPVTRAQIALPPVKTLKNVGLRFTKSKALHSYVLYHMDVSVGLRNPYMFAEREFYDPDEARYFLYKRVVMSADPSSGNCVVMIIHWFQDQLSFAREVVNYINNDKYVVRSPWGDLIQIWRDDDVNDNGEWVTNKLVVYKMDLVQQMVVEVKDLQGYMLFLGFNSLCFLPATSIPMLKADCIYHTDDNMVYVCGRKSSRRHIVAFNLDENIQLASSHLDRTLIVESMELVPERDWAEIPADLLLRIFGSLEVPDLLSVAAVCRSWRFGYKLDRRLDISPLFKGPCLVYSAVDCEADVATLHCLSDDKYHHITLHDPPFRTQYVVGSSHGWLVTANEQSDLSLVNRVTRAQIALPPAKTMKNVVTRLTSKKELHSYVLYHMDLKYGARMSEQWDSFDPDEARFFLYKRIALSADPFSGNCIVLIVHMFHDQLSFARIGDAKWTWIHGEERYSEYQDLFYNTDDCLFYAIRGTGEVHTIDLSGPSPVVQVIFKEVVNCIYNNKYVVKAPWGDLIQIWRDDDIINDGEWITKNLVVYKMDLVEQKVVEAKDLQGYALFLGFNSSFFLPVTHFPMLKANCIYHTDDDMEYIFSQKLNRRHIIAFNLDENSFTKFLPSSSMLNWSPPIWIVPTYGCIG >Et_2A_018375.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:25293825:25294013:-1 gene:Et_2A_018375 transcript:Et_2A_018375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIACGDCSGVADLAAAGSALLLLPCPVAGTVLLLRCVQRSRSGEIACAAVDPENHDKEWYQQ >Et_3A_023584.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7573325:7573522:-1 gene:Et_3A_023584 transcript:Et_3A_023584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPAPAKVRRGRDCGRRKKTSGSSPRSPATASPPGAPLRSLQARLIDSFEEFQIVVVVSTGTLS >Et_4A_034970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8396679:8399388:1 gene:Et_4A_034970 transcript:Et_4A_034970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYEPKNILITGAAGFIASHVANRLVRNYPHYKVVVLDKLDYCSNLKNLNPSRPSPNFKFVKGDIASADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGLPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTVKERRVIDVAKDICKLFGLDTDKVIRFVENRPFNDQRYFLDDQKLKRLGWAERTPWEEGLKKTIEWYTNNPDYWGDVAGALLPHPRMLMTPGDERHNWTEEIKSLAPSPAEANEFSTTAPATTANSTSSAPQKPSYKFLIYGRTGWIGGLLGKICEKQGIPYEYGKGRLEERSQLKEDIRNVKPTHVFNAAGVTGRPNVDWCESHKQDTIRTNVVGTLNLADVCREEGLLMINYATGCIFEYDAKHPEGSGTGFKEEDTPNFTGSFYSKTKAMVEELLKDYDNVCTLRVRMPISSDLSNPRNFITKIARYDKVVNIPNSMTILDELLPISIEMAKRDCRGIWNFTNPGVVSHNEILEMYKKYINPDFKWTNFTLEEQAKVIVAPRSNNEMDASKLKGEFPELLSIKDSLIKYVFEPNRKSIVRCVRQAIIVACWLDGHDSDLR >Et_3B_031259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2360183:2364238:1 gene:Et_3B_031259 transcript:Et_3B_031259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIGYASTRLGLVLSLAYPGAVAFRRPKKATATTPMALLLSPTVSFLAAPSPPRSQALFAAAASVSNPAPRLQCKNLATLQSPLNITATHSSCSKKRPVLVHASTEASEADAEQPEEPKPAVKIEEMSLESKQQMIMEQRARMKLAKKLRQRRKRLVRKRRLRKKGRWPPSKMKKLKNILHLLGYSEQQSEKMNSDWIMA >Et_1B_013419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8221487:8226224:-1 gene:Et_1B_013419 transcript:Et_1B_013419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEPPGFPILADPSPFPPRESAIRAAPLSLSFSTPPYPHPTPSRHRPPYRETAWGIECPCGAPHSPAICVVFLLCLLAPVFPGEPCKPAVAVAEQSCGLFKQFQGKSRRSIGRITMAEMEQALRSCMEQLVMAREEREQIIVEAATEISSEKKRARELQRKLDDANKKAAKLAAEKSGLLRSADAKDALIGELRASEAAARGELAAATARLEAAQKQAGSLQYEVCMRELEVRGQEREYDLKAADAARRQQAELTKRIAELEAECNRLRAMVRKRLPGPAAIAKMRDEVEQPTPRASPRRPRPATPSSPRSVASSFTPRTPSSPSPRRSSSVSEAESFAFRLRAVEEENRALKQALARRENELQVVQMKYADEACKLTAVQRQLKEMTEENRQLSDANCQSESWASALISEMEQFRSGNQNGASIVASDMSLLDDFAEIEKMEMASSDQKKIPPPTSPKKADMGSVAPEQNGNDLVVNGNNPNGHPEKVQDIWKLVMHKHEASGESVDTILEEISHALDQKTISIEREDSNASYDRSEVEKMVRDLVEKLTSIVGESAEDNVARSRPLLPDKSELRGHIEHLVQVCHDFLHRKANLEKFSEEICLILKYTLGQYFSNQDQSETADSNTKNSDEGMSVSTINTEDQHNNDTHGAKTAAALDIQKEAQEEPIQSDEGQITVSHQEKLDKETPGCLVHPDDDILPGRKSMCYEIQSPAAETSVEDWAAQEEEQLAANADIKAAVDKLAECQETITILNKQLKALATPAPSGPQDSQVFNPEASLSYKPQSLASILAEEFANAKGSGSPTIPKQVQCTEEQDAFCAVPKRSPAQEQNPNAEDKDSIQIVVHPVFAEPRQDDVSPEPVKKKKRGPSLLGRIMFRKKVEGSSFGSMKFFNKSANKIVKKAYDESMKTPHMTTYTSIQPKDKSYVERTSLLYSTSSFDVD >Et_3A_025539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30590071:30593119:1 gene:Et_3A_025539 transcript:Et_3A_025539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGPFNMSVGYSPGLGVPVWLNKGDNAWQMISATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVWLCWVIWGYNMSFGDKLLPFWGKARPALGQGFLLAQAGLPQTVHFHSNGTQEAPEIQPAYPMASMVYFQCVFAAITLILLAGSLLGRMNFKAWMIFVPLWLTFSYTIGAFSIWGGGFLFHWGVMDYSGGYVIHLSSGVAGFTAAYWVGPRSTKDRERFPPNNVLLMLTGAGILWMGWAGFNGGDPYAANIDSSLAVLNTNICAATSLLVWTCLDVIFFKKPSVIGAVQGMITGLVAITPGAGLVQGWAAIVMGMVSGSIPWFTMMVVHKRSRLLQQVDDTLGVFHTHAVAGFLGGATTGLFAHPSLCTLFLPVSNSKGAFYGSGIQLAKQVGGALFIISWNVVVTSLVCLVVRFIVPLRMPDDELAIGDDAVHGEEAYALWGDGEKYDSTKHGWYSDNDTQHNKAPSGVTQDV >Et_6A_046822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2126453:2131384:-1 gene:Et_6A_046822 transcript:Et_6A_046822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEEDEPLPQLDDKPGRLYQAWKGNNIFLCGGRLILGPDAASLLITAFLIICPTIIFCYQMKSKFYHSQEHTTGQQHMHQAAVVIVILTTIMDLVFLFMTSTRDPGIVPRNTRAPPETDELLGSNTPSMDWSSGRTPRMRFHRTKDVMVNGFTVKMKFCETCLRYRLPRSSHCSICNNCVQKFDHHCPWVGQCIGLRNYRYFFLFITTSTFLCIFVFIFAWLSVYSQMENNGGSIWKALRKEAYSFALIIYTSIVVWFVGGLTVFHLYLISTNQTTYENFRYHYDKKENPYRKSIAENFAEVFFTKIPPPMNNFRSWVGEGALEAGFYTPYIGLDVNTPREKIDVTRKKEVLIGGMQVPTVLQNIDYGSFEDSYDKNRNEGEKSVHFPSAWTQGNEGAGTSTGAATACNDETSEGDADEIISSHTSSTRTATESNAASVDENFEDSAKESPANRSLALASASEPTGARNSAATKSRKCCLEAPPPTCFCTAFSTRRYTGAAAAIDLKRRDERRRPEEGATNDSCSCHPLQLQCLAS >Et_2A_015515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14473958:14481665:1 gene:Et_2A_015515 transcript:Et_2A_015515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSFSGLNALYDTVGGGGGDVWVNDYRFRVLRRLGDAGGAGSSVFLVKEHLELVRQEIQVSSQFSHPNLLPLLEHAIIAVKGVQDGSQNHEAYLLFPVHLDGTLQDVTKIMLEQKEHFPTITLCAGLKHMHSFDPPYAHNGVKPDNILITQRKEQPYLAILMDFESTRPARITIRSQAEALQLQEWASENCSAHYRAPELWECPSHADIDERTDIWSLGCTLYAMMYGKSPFDYEIDESAGESLHAVVRSAQIKWPAEAGSSYPDSLRQFITWMLQPHPAVRPHIDDIIIHVDKLIAKYST >Et_7A_050791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11067020:11071210:1 gene:Et_7A_050791 transcript:Et_7A_050791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLLFFSGACISNNILYPKEDRANKILLFACRNCDHLEVSDSNLVYRNVVDHAAGEFTQVLYEDVASDPTLPRTKSVRCAACGHGEAVFLQIRDMAIATATVRGEEGMTLFFVCCNPSCGRQCMNDIEITLMMSDVEAGRDGWQAAALRRAMA >Et_9A_062021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18905334:18910548:-1 gene:Et_9A_062021 transcript:Et_9A_062021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAAAREDVKSSHFPASAGGGGGKKKPHQARNGGGTGGGGGAEKKRLSVLGEEGCDVGGGGIDEKYALDRELGRGEFGVTYLCMDRGTRELLACKSISKRKLRTPVDVEDVRREVAIMRHLPKSPSIVSLREACEDDGAVHLVMELCEGGELFDRIVARGHYTERAAAAVVRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPNVSDSAKDLVRQMLQPDPKLRLTAKQVLEHSWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKEMFKAMDTDNDGKVSYEELKSGIAKFGSHLAESEVQMLIEAVDTNGRGSLDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGYIEPEELQEALVEDGGTDSMDVVNDILQEVDTDKDGKISYEEFVAMMKTGTDWRKASRHYSRGRFNSLSIKLIKDGSLKLGNEKDGPLKLVLHD >Et_3A_023414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26277496:26278398:1 gene:Et_3A_023414 transcript:Et_3A_023414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSRCVACYRQWIAGQEVGLGELEAASANATAGLATDADARAAVERCMAGYQDYVTRRRALSRDADGSCTAFFFAPPWCTAFENCVLWLGGCRPTLIVRLLYSLCGEGLEAQLEEFIGGRRHGPPGTMGVTAAQLALVNDLHRRTLRQEGALTERLATLQEDIADRPLLPIVRERAVATAQVDAAMDSYKAGLARLLVEADELRMATARALVTEILTPRQALQMLVAAKELHLSVRDWSRRREGGGQGRGQPPQLASSRAVTAFAAVRPVP >Et_4B_037664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22362095:22364742:1 gene:Et_4B_037664 transcript:Et_4B_037664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPGGTNLVEPRALPRGGVAMQQPWWTGGGLGAVSPAVVAPGIGLSGNSPVGGGGAASQGKAGGDDARRESSQESRRSGESKDGSIGQEKNHGTSQMPALVSEYLTPYTPLELNQSIASGRYQYPDPYYTGLVGPYGAQPGSHFQLTGLTHSRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELEKKVVKVRKPYLHESRHQHAMRRARGNGGRFLNTKKSDNGTPNGKTDPNEGEQNSEHLHVPPDLHLRQEEA >Et_9A_061880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17370081:17391783:-1 gene:Et_9A_061880 transcript:Et_9A_061880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEAVTSSVLLWLVVCTAATAAKSSVRWREEEGPGARGEVTYDHRALVLDGARRMLFSGEIHYPRSTPEMWPALIAKAKEGGLDVIQTYVFWNVHEPIQGQYNFVGRYDLVKFIKEIQAQGLYVSLRIGPFIEAEWKYGGFPFWLHDIPNITFRSDNEAFKQYMQRFVTDVVNMMKQEGLYYPQGGPIITSQIENEYQMVEPAFGSSGQRYVSWAAAMAVNLQTGVPWTMCKQNDAPDPVINTCNGLICGETFVGPNSPNKPALWTENWTSRYLIYGNDTKLRSPEDIAFAVALFIARKNGSYVSYYMYHGGTNFGRFASSYVTTSYYDGAPLDEYGMIWQPTWGHLKELHAAIKMTSEPLLSGTYYNFSLGQAQEAHVFETESRCVAFLVNFDPYQISKVVFRNISLQLAPKSISILADCNRVVFETGKVNAQHGSRTVEEVQSFNDVNTWKAFKEPSPQDASKAMYTGNQLFEHLSTTKDETDYLWYTVGYEYSPSDDGQLVLLNVESRAHVVHAVVNNEYIGVVHGNHEDRGSIILNTHISLNEGPNTISLLSVMVGSPDSGAHMESRIFGIRKVSIQQGQQPEHVLNNELWGYQVGLFGENNSIYTQEGSNRVEWTSINNLTNHPLTWYKTTFPTPAGTDAVTLDLTSMGKGEVWINGESIGRYWVSFKAPSGNPSQYLYHIPHQFLNPQDNLLILFEEMGGNPQQITVNTVSVTKVCGNVDELCAPSLQSEEKEPAVHLWCQEGKYISAIEFASYGNPVGDCTSFGFGSCHAGSSESIVKQACLGKSGCSIPVTPSKFGGDPCPEIQKSLLVVATFEPENMWQLYDPLRDKWITLPVMPSQIRNIARFGVASVAGKLYVIGGGSDRVDPLTGDHDRIFASNEVWSYDPLNCVWAQRAPMLVARAMFACCAFDGKIVVAGGFTNCRKSISKAEIYDPEADAWVPLPDLRQAHSSACSGLVIKGKMHVLHKGLPTVQILEGGGSYWAVEDFSLLQGPMAMVGAVEAALLVVFAAVVTSTLAGTELRRGEVSYDGRALVVDGARRMLFSGEMHYTRSTPEMWPTLIAKAKEGGLDVIQTYVFWNVHEPVQGQYNFEGRYDLVKFIREIQAQGLYVSLRIGPFIEAEWKYGGFPFWLHNVPNITFRSDNEPFKIENEYQTVEPAFGSSGMRYVRWAAAVAVGLQTGVPWGMCKQDNAPDPINACNGLTCGETFVGPNTPKKLDIPRTVPASRYLIYGNDTHLRSPEDIAFSVALFIARKKGSFVNYYMKISKTVSKQVNLMIMMQCHGGTNFGRFASSYVTTNYYDGAPLDEYGLIWQPTWAHLIELHAAVKQSSEPLLLGTYSNSTLGQEQEKDKYTIYDVPQAHVFETGSKCVAFLVNFYKNQIPNVIFRNICFQLAPKSISILSDCRRIVFETSKVTAQHGSRTVETVFKEPIPRVVPKAMYTTNQLLEHLSTTQDETDYLWYIVSHEYRPSGDGQLVLLKVESRAHILHAFVNNEYIGTVHGSHDERDNIILSKNISLKEGPNTISLLNVMVGSPDANAYMERKSFGIRKVSIQEGQKPVQVLNNRQWGYQIGSFGERNHIYTQEGSYNVQWTNINNLTYQPLTWYKTTFPTPGGDDAVTLNLTSMGKGEVWINGESIGRYWVAFKAPSGNPSQSLYHIPRQFLEPQNNVLVLFEEMGGNPQQITVNIVSVTRVCSKVNELYAPSIQSHEKEPAVNLWCQEGKQISAIEFASYGNPVGDCTSFGFGSCHAGSSESVVKQACIGKTGCSIPITPSKFGDDPCPAIKKSLLVVASCR >Et_3A_026826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22337644:22339914:1 gene:Et_3A_026826 transcript:Et_3A_026826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRGALALLVLLCVHGGQHGADAGGDGFVRVQGTRFVLNGNPFFANGFNAYWLMSFAADPAQRSKVTSALSQAAGAGLSVARTWAFNDGGSNALQYSPGRYNENMFQGLDFVLSEARKHGIKVILGLVNNYDSLGGRKQYVEWAREQGQNIGSVDEFFTNPVVKGFYKNHVKTVLTRVNTLTGVAYKDDPTIMAWELMNEPRCQSDLSGATVQSWIAEMAAHVKSIDGRHLLEAGLEGFYGASSSSSPSSRAAVNPSGYQVGTDFIANNRVPGIDFATVHSYPDQWLPGLDDASQMRFLARWLDAHIADAQAALRKPLLVAEFGKSSRDAGYSAARRDALFRAVYAKVYDSARRGGSAAGALFWQLLAEGMDSYGDGYEVVLGRAPSTTGLIATQSRKLKGLARAFARASKVQPVKGKGGN >Et_5A_041446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2357799:2360632:1 gene:Et_5A_041446 transcript:Et_5A_041446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADNAAPPRPEDAQGRGGGGGVAPEEREVKVVVVDEPPSRLQTQRPLAPLQVTTQAPPPPMSVASGAVEVPPQVAAAYQPVMQTPPPGPLPSLNSHKYTNGITLCLFLLHLAAAGLAMGFFVFRAVRDITQHPRSHNARRERSLLRDWLLPVEGAVALSIVLAFSWQKGVRAWPRAMVRVIIWSSFAATLGVGALLMCFSMPACVGLGVAMVVFSIGTGLYACWVTRRVGFTARVFERAVHPVDKFRGLNGPAYLMVAAGFAWISVWCVAVIGAANFRFPGLTILALVLSLAWTAEVMRNVANLTASRVIALYYLRGMQSSVQFSFQRALSYNLGSACLGSLFVPTIEALRILARGLNLLEGEDEFMFSCAHCCLNVMNAVFSFGNSWAFVHIAAYGRGFVQASRSTWGQFEALPGMAALVDSDITSSVCFLTGVTSGALCVALAGSWTFATHRHYTATVSLLAFFVGYLMTRIGMALPQACVGCYYVCYAENPGSRLFDSTIPERLRKMRDDRDPLVVPTPRFPHQRAHA >Et_5A_040552.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:8472411:8472698:-1 gene:Et_5A_040552 transcript:Et_5A_040552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLQLCVESRVALMDMAPEVHKARSSCTFESDMWSLGAIMYEVITGSPLIKGCDPANMTTCMHSLFGILSNPAHTLSSEVCARLNSPPEGVKL >Et_3A_024843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24799663:24800577:1 gene:Et_3A_024843 transcript:Et_3A_024843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFLEKCMFGRQAEMEKSIRFLGPHVCYDERVCNHFSSITLCSKDPAAPEVSDLLIHMEGHFLSWSLLMILYGTRNSAENTRCHLEAKLLSQADRSIVEIGTTGPIRLKHLPQEAYWYFFKVMAFGSTNPDDHPELASIAIEIAADLTGSFLGANH >Et_7A_050565.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:25094377:25094397:1 gene:Et_7A_050565 transcript:Et_7A_050565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSQI >Et_1B_011060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17003608:17008333:-1 gene:Et_1B_011060 transcript:Et_1B_011060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRSAAVDIVRGLTGGEDGLRALTARADRALPALLRLLASAGSSGAGEAAADSLVNLSQDAALATRLVGLGAVEAAMDVMTKRAAEQPGLARSLVMLLVNLTHVESGVAALLQVGDEKVQGLYVAKLVRSFCRSSNDSEEQDTLEHVASILVNISKVEAGRRILMEPKRGLLKQIIRQFDSTNQLRKKGVAGTIRNCCFEADTQIQSLLPLAEYLWPTLILPVAGKKDEGRKSFWSVNGPRILQVGYEDEEDPKVMEAYELIGSLVQQLSLFSKFKLSFTGLYIVLLSANLYYSSSLAVVLFFVSVSACAQFMHVHT >Et_3B_030193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31255373:31258010:1 gene:Et_3B_030193 transcript:Et_3B_030193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPTHGNAAATNTAAAILHGNLSMASATLSPPWLWPTRTSLLSPSGDAATASSSGREYSSKERTSPRRAGWAPDAARSSAVTRCPADWSAATTLYQLHAPWQRPCTRMKCLPPSISLYGLWSISLIELAGYLDQHIAQLPRFGEHGHMISSGDLLDLHIRAQINHSALHLLSGASICHGDLKHPLHRAVVSIREQRFVLHDWVVHELAARSHQQLGKFQVLGETNLLNSDAHVFADAWHGRGQEHGDGDLPREPLHGEGDAEPAEAVAYQDQPLAVGGRGHRFQQRPRVVLERRHLVDARRVHARRGHVERGHPVPGGAKRRCHLVPAPRAVPEAMNEDEVLGAATAAVHDCNFS >Et_8A_056273.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19400424:19400609:1 gene:Et_8A_056273 transcript:Et_8A_056273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYELALESASKKSRVVPRCRIPKERARLTSNYRFLSTYEICTRKTEVERDREETSKANLT >Et_7B_053885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12997875:13002861:1 gene:Et_7B_053885 transcript:Et_7B_053885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAKYSSCHSCPTGDLISCRSFSNHLDDQGRGASSRNRSSGRDRDRGSQQSSSRRGPGSSGSRRNDRDGAGKSRGYASFGRHNRERGQEKDPDFRERESRLVQPEDPLRDGFESFSSCRSEKDRLNRTRSKVGVSNRAVVVSVDNGNVSRKDTGGNSFEREFPHLGSEDKNGKQDIGRVPSPGISTPIQSIPLVNAPDVWNSVLAEVPILGDAGNNPVSSSSSPAGLSKQTEVSNSGSALSMAETVMQSPSKISTAPQLSIDPQKIEERTMRQCILRPLTPSSSKISVSSSLDKLKPKGARVAESNGPIKVAPQLLVQPSGSSVRATVKTELVKPSQSGSLQVLSREQNGIVNTKDSSCNPVSPVLGRSSSMEPMRKPVNQKLKVVSNGLPLHILQGSFGERKASAKDKHKFFELLRSKSVNGSSTAIESPSSLIDDQHSCLDLSLYNAGVKYENGSSSCEEANSCEGSQQHLSDNEEIIPPSESHDVLDEGPLGILVDNRDATSSSAIADTEDVASKKPGSDMPAYIDGVSMMSNSTNNEAKLPFEPIAAEREESYPAEELEHIEGGEEELAQDQPSPEELAFLRSLGWDENEVVPPLQQEEIADCVRQNARLQQKLQECRG >Et_8B_060271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8312229:8314919:-1 gene:Et_8B_060271 transcript:Et_8B_060271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDETKVAGKGGDGDKKKDAGAAAGLQPIVLKVDLHCLGCARKVRKTIKRAPGVESVATDVAEDKVVVTGPADAAELKERIEARIKKPVQIVAAGAGPPKKKKAEKEKGGGGDKKKAADKEVTLQVQVHCDTCIGRIKRRSSKIKVDMVIDAEKDTVKVTGTMDAAALPAYLRDKLRRPLEAVAPGKKDGGGGEDKKEKGAADANGADKKKGRGGDEKKDKPAVAAAAASVFPTPMGDAGMYQLMPPQYGYTPFALVPGGPPPNYPAFYDNARYSPPSNPYAAHLHAPLTFSNENPNACSVIVKLFNSIVEFLQVKTPFETFPFGGGP >Et_3B_031372.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27205432:27205953:-1 gene:Et_3B_031372 transcript:Et_3B_031372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDVVRIVHLSGHVDEFSCPVAAASVLANHPNHTLTTARSPSGAPGCASKKLVIVSPDSDLKRGRIYFLIPSATLPADRRSKSKGGSKKSGGGKRSSSHPRGNKSAAGDTAEQDNYLRELLSEKTASSGHGHRRRRSGARVGVWRPRLESIVEEASD >Et_3A_023249.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:1416651:1416911:-1 gene:Et_3A_023249 transcript:Et_3A_023249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVALAHEIIDDAHLWASARYSKLQKSLGSRSRLALTSCSSLLLGCVPSFLFVVLCFFSFLSFLLLLLFCHCHWPSGVVNLTSSPS >Et_4A_034837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:772948:776842:1 gene:Et_4A_034837 transcript:Et_4A_034837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAARGRPVTLRDFLELGCDSSSDGFRSFPRRDVPQPQAPAPARRSVDSSDELRSWSPTAFLLPKSPGALARISSLSRSFSRRISFWRRREDDDDHDLFCFDDRDSCGFPSPLVSSCSVSEYAESETDNVVEDVSVASSSSAPAHTPASERGEAPSVSPASSTSAPGAAGDGQTKALDGDPAVGRNLEMEDKQQLSPVSVLDFPFDDDDGDEEQSDAGTCSPSCFQHHNCADNIQRTKNAQLLHKIRRFDDGPTEEIHPVDLDAQFTTLESGESVDDAHGRCARLPSNSCTDDSEATPLPRHDDEGQQSVERSECQEAPDDEYRLLARLLDDTCVSTSAVGEVSEWLLLDFFAVGIERLRSVAGSVVGTVKPVDDGKVRELLEAAGEWLRGAGPQWGVGDVMFSGESALADMEHSRRWMCVREEEQDVGAEVEGLVLDGLVDELVTDLAPFFGAAQQMMELGTVS >Et_9B_064855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19218422:19222735:1 gene:Et_9B_064855 transcript:Et_9B_064855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRLGFVVAASVAALTFKNVNSGKRRDKDLESLLSGEIDIPLPSDRFDVKGRSHYNAQLANYVAEVERLRSLLSEMEERELKLQGELLEYYGMKEMKTDAAELQRQLKIKMEEINMLKNTINSLQEEREKLQDDVAHGAVEINMLKNTINSLQEERKKMQDDVAHGAVEINMLDSTISSLQEERKKLQDDGAVVKKELDAARSKIKELQRQIQLEAGQTKGQLMLLKQQVMGLKAKEEEAAKKDAEVDRKLRKLKELEVEVVELRRKNKELLYEKRDLIVKLDAAEGKITESDVLAQAREEINNLRHTNEDLAKQVEGLQMNRFSEVEELVYLRWVNACLRFELRNYQTPSGKVSARDLNRTLSPKSQERAKQLMLEYAESERSQGDTDLESASSMPSSPGSEDFDNISIDSSSSRYSFLGKKPNLMQKLKKWGRSKDDSSSLASPTRSLGSPMRQKPKGALEALMLKNAGDGIAITTYGKREQDPSDVLDDVASSFHLMSKTVEGFADEKYPAYKDRHKLATEREKAIKEKAGQARAQRFGGGHSTAFVSSPKGALPPKLAQIKERTPPVNADSSEQPSDNQNHPLVVSQLKLANIEKRATRVPRPPPVPSGTASGASNTTSGALPPRPPGVPPPPPPPGKPGGPPPPPPPPGALSRSLAGGDKVHRAPEIVEFYQSLMKREAKKETSLGSISSSVSDARSNMIGEIENRSTFLLAVKADVETQGEFVESLANEVRAASFVNINDVVAFVNWLDEELSFLVDERAVLKHFDWPESKTDALREAGFEYQDLLKLEGKVSSFTDDPNLACEEALKKMYSLLEKVEQSVYALLRTRDMAVSRYKEYGIPVDWLADSGVVGKIKLASVQLANKYMKRVASELDALEGTDKEPNREFLLLQGVRFAFRVHQFAGGFDAESMKAFEELRSKMTTQTSAPQISEA >Et_8A_057089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2150783:2152828:1 gene:Et_8A_057089 transcript:Et_8A_057089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWLLAAAAAVAWWCAVSLAAAQSPAPPATNPLQAKCQDDFAKLTDCMDYATGHTGSPSSTCCGDAGATQKSRPECLCYIIQQVHSGRNEVQSLGLRFDRLLALPSACKLANANLAGLRSLRQRLQDHSFDWHHPGRRQHRQQWLQAPVGDPRRHRGRLDLRRVLIHLLIHQS >Et_3B_031662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7108752:7112565:-1 gene:Et_3B_031662 transcript:Et_3B_031662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSTSNSAVSPVAAPGTTTPGAGAPCAACKFLRRKCLPGCVFAPYFPPEEPQKFANVHKVFGASNVTKLLNELLPHQREDAVSSLAYEAEARVKDPVYGCVGAISVLQRQVHRLQKELDAAHAELLRYACGDVGGIPTALPVTAAPRLSAAMPSPGQLAAATAGMYSGRRLGVIDSMPPPPPAGCYFMRNNVMSSPGADVAPVLPYASMANWAVNAISATTTATSGSESIGMDHKEGGDSSMDGQKLWFVDCISVLSATMHVMTPESTIS >Et_2A_015744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17468188:17470944:-1 gene:Et_2A_015744 transcript:Et_2A_015744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAELAASCIKLRGVDRPTMLEVEHRLEGLRASRKYNIELGSSEMNYALTNKKWQSMEDSSRSLRKLDVDGISVVVSSSTQSSCTDDPPIRNIPAAMSCVRCLSLGGRDYYMITRAFIWSLRIHYKT >Et_3B_029369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24406587:24408600:1 gene:Et_3B_029369 transcript:Et_3B_029369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIAASAFLPSAFSTRHRRLVRPAPRRVGVAGLAIRCETSDKQKRQPLEALVPREERFMFEGDELCGPDIWNTTWYPKAADHVTTAKTWYVVDATDLILGRLASTIAVHIRGKNEPTYTPSVDMGAFVIVVNAEKVAVSGKKRSQKLYRRHSGRPGGMKIETFDQLQKRIPERIIEHAVRGMLPKGRLGRRLFTHLKVYRGAEHPHEAQKPVPLPIRDKRIKKID >Et_9A_062604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24426031:24428508:1 gene:Et_9A_062604 transcript:Et_9A_062604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPLRLFLGTQALGVGTPLLRRSPSSSNPPPPPPLWLCRPRLPIAAYASSASATPRQPALAAGVEDAVVGFVTGKRKATEVAHAVWTIIVRKGDTVVDATCGNGNDTLALLKMVADETGQGRVYGMDIQDSAIESTSSFLKMAVDDVNERELVKLFPVCHSRMEEIVPKDSPVRLVAFNLGYLPGGDKTLITVPRTTDLALQAASRILSSGGLISVLVYIGHPGGRDELDIVESFASSLPIDTWASCKLQMINRPIAPTMRIMELSSSNFCWSLDTGFRCKTVPPAATT >Et_1B_013372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7926856:7928153:1 gene:Et_1B_013372 transcript:Et_1B_013372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKILRFRWPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQMNFKRLSLTDIKIDIKRVPKKSTLIKAMEEADVKGKWENSSWGKKLIVQKRRAALNDFDRFKVMLAKIKRGGAVRQELAKLKKAATA >Et_1A_008448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7790297:7792138:1 gene:Et_1A_008448 transcript:Et_1A_008448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVKPLAERMRSHFQDNPLALKAWDEHEKQILHGFQHNLPNALASPANLPTAVRYEQLMKRKARSKTCVGMLSHGLDKFRQGTKNKRFIAPASFVGGAGLAVVALAVGPTFPKQWEAKQEGGK >Et_7A_051593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20937060:20941238:-1 gene:Et_7A_051593 transcript:Et_7A_051593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSAGVAMAWNVFRFCTALRGLGSIMILLVLTIVGVTYYAVVLCSYGPALLAGGATTLAALAVLLLFHFLLAMLLWSYFSVVFTDPGSVPPNWNLDFDVETGETAPLASSEFNSQMNSQQSFAHGGTGNPRVRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTLSLLPHFIAFFSDVEIPGSPAALATTFLTFVLNLAFSLSVLGFMIMHISLVSANTTTIEAYEKKTSPLWMYDLGRKRNFAQVFGNDRRYWFIPAYSEEDLRRIPALQGLDYPVRPDFAGQEL >Et_2A_016715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27471026:27473843:-1 gene:Et_2A_016715 transcript:Et_2A_016715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAAGAVLGRAHLSVVHLLASRRRHGADRVSVLLPASSPPRVSLQQGSGVPARRRIWATASGSFEQDRTGEDAGLTSQVVEESKLDLLKILKSANTVIPHIVLGSTILALVYPPSFTWFTTRYYAPALGFLMFAVGVNSSPKDFIEAIKRPDAIVAGYIGQFIIKPLLGFLFGTVAVTIFNLPTALGAGIMLVSCVSGAQLSNYATFLTDPHMAPLSIVMTSLSTATAVFVTPTLSYLLIGKRLPVDVKGMMSSIVQIVVAPIAAGLLLNRFLPRLCAAIQPFLPPLSVFVTALCVGSPLAINIKAVLSPFGLAIVLLLFAFHASSFVAGYHLAGNWFHKSADVKALQRTISFETGMQSSLLALALANKFFPDPLVGVPPAVSVVLMSLMGFALVMVWSKRTDM >Et_10A_002045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20332803:20341843:1 gene:Et_10A_002045 transcript:Et_10A_002045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLVSSEFIGACLEPVMVVVTELLVGGSLRKYLVSLRPRNLEPRIAVGFALDIARAMECLHAHGIIHRDLKPDQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPENIRPSADNLPDELSEILTSCWKEDPNDRPNFTQIVQMLLNYLSTLSPQQNVAPRRTFSSENTILPPESPGTSSLMASRGDLGDTPKGKREDKPRGFFFCFIVTYLGTEEFFESDDGIAMGSMNGSSRAPL >Et_1A_006618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25230698:25232889:-1 gene:Et_1A_006618 transcript:Et_1A_006618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGNKEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFNNTDALIYVVDSLDRDRIGRARAEFQAIINDPFMLNSILLVFANKQDMRGAMTPMEVCEGLGLYDLTNRIWHIQGTCALKGDGLYEGLDWLATTLDEMRASGRITSTSSSSSSS >Et_9B_064001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:136274:142079:-1 gene:Et_9B_064001 transcript:Et_9B_064001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASGVIDRRPFGPLLESSSSFFTEDLVPTERQVGFWKSESNVDHKGSKSAFASPLEKIHPMGVNPVGGLEHPGGQAFKGQLDMLNFRNLVGQEDNTSNLPSISWGDVLSSSRSRLGISTAFVEPNGANQRVYDYGNGSSRSSLSEVFMKLVASGVPGQSVDAENFGCNGDEPLGSMKEIEAQTIGDLLPDDDDLISGIMDGFENTGLTNHDDADEDIFCTGGGMELEDDSKNGDKYQEVSFKSKLSGERSINNHPSRYLIVKNINTSIEDSELRLLFQQYGDIQTLHTSCKNHGFVTVSYYDIRAAQNAMRALHNKPLRKMNLDVQFSIPEVTSQDPNNGILAVSISDSSISDDDLLQVLGVYGDVKEIWKAPTHCNKKLVEFFDVRAAEAALNGLNKGDVSCLKIKVEHSSYGGARSCLTEQYSGEWNQDAITRQLKNSSPGTIGKLGPKSWDNSTVHDMYSPVKQQFNKPLHGFSMSDPQKLSSPITIESTRQRNNQATLGEPSGSLGHANSGRGLQAFQPHSLPERHDGICNISKSMALTARNASFGLVEGGHHNNHNISSSDLHGHSCDQNEAFGFAGVGSCPLHGHNYPWSNSNGFLQSPPAPVLWSNFQHQMHMHGYSAVPPHMLNNCAHPMDSHIGSAPNNVGGFTNIHTFHPESHENVRIPGSPYRETMFSPMSVGFPSVQQFFHTTNGRNPMVRVSTSYDATNDRIRSRRHDGNAVQSENKKQFELDIDRIAKGEDLRTTLMIKNIPNKYNCKLLLAVIDENHRGTYDFIYLPIDFKNKCNVGYAFINMTDPRHIIPFYKTFNGKKWEKFNSEKVASLAYARIQGRNALITHFQNSSLMNEEKWCRPILFHKDGPNAGDQEPFPVGNNVRSRSGRNRPLTGSDTRDGSPSTSPN >Et_3A_026071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3982679:3984696:1 gene:Et_3A_026071 transcript:Et_3A_026071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPACAPGLIPVPTLPLQNVIFRCYWTPKLSSRRPRRGHISAAAAASARASPAAARGLDADDFRHPLDKQNTLLLRAVPGLNDVGKALLGPVSEQVMVLQNIGTSVLVSPNQLPELHQLLAEAAKRLNTEAPDLYIRQNPVPNAYTLAINGKKPFIVVHTSLVELLTRKELQAVLAHELGHLKCDHGFGMVAGFLEEQLYRWLRAAELTCDRAALLVVQDPKVVISVLMKLAGGCPSLADQLNVDAFLEQARSYDKAASNPFGWYIRNAQTRELSHPLPVMRAREIDEWSRSQEYKTLMQKILQLGLNKT >Et_7B_054117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15709714:15711096:1 gene:Et_7B_054117 transcript:Et_7B_054117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVRLSSIFSTPTSSPEPSTRTMHVALAAATERVRYGTLRPADAHKLFDELLRQGVPVPEPKDQR >Et_8A_056052.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:12829000:12831249:-1 gene:Et_8A_056052 transcript:Et_8A_056052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFHSCMLLFLCLHLASITTSEVQFLYLGFMDTNLSLDDTATVTSNGLLQVTNGTINLKGHAFYPTPLHFRKPPNGMVQSFSATFVFAIRSSYLSMPRQGLAFVVAPSKNFSDALANQYLGLTNFAMNNNPTNHFLAIELDTNENVDLNDIDSNHVGIDINGLNSIQSHSVGYYNDMNGSFHNMSLNSGEPMQVWLDYCGETKQINVTMGSLEMEKPGRPLISTTYNLSTVIQEFAYVGFSASTDEIDTRHYVLGWSFSMNGPAQKIDIAKLPKLPHNSPKPRSKLLDIILPIATTSFITVVGATIILLIKRRLRYAELKEHWEAEFGPHRFSYKDLHHATEGFHDKNLLGAGGFGKVYKGVLQRSKLEIAVKKISHESRQGMKEFICEVVSIGHIRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKYLYCVKDKPTLNWEQRFRIIKDIASGLLYLHEKWEKVVIHRDIKASNVLIDSEMNGYLGDFGLARLYDHGTDLQTTHVVGTMGYIAPELVSTGKASPLTDVFAFGTFLLEVVCGQRPVNHRTEDDQAVLVDWVLEHWRKGLLTETVDIRLRGKYNVDEASLVLKLGLLCSHPFTSVRPNMQQVMLYLDCELPLPELTHVDTSFSMLSLMQDEGFDPYTLMSSSGTASGVKGGG >Et_1B_009947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13452142:13453197:-1 gene:Et_1B_009947 transcript:Et_1B_009947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCKIHSGLMTGQQLNHLQSGQENSTVTCSEKEPHVKYEGDGRYKLKDSSGNTQSETAQYVFSGAGMPCKHENCSKQAQENAVYCKLHGGVSKGCMVRGCTRGAHGGTPLCIGHGGGKRCIIPGCPNAACGQGRSDRCVRHGGGKRCKFDGCAKGAQGNTDYCIRHGGGRRCKFEGCTKSAQGRTDFCIRHGGGSRCKFQGCGTSAKWGTDFCSVHKKSLSGDDAIPEALPVSTEKRRRAKKPKKAVQPSGVPQEKTTTAAIAGSSTQQLGVLRIATGAPSPDMLTKGVTLTGQVAIAPPQIIAPLSMKSPTQSASVVSAETEAGASRAMLGL >Et_1B_011092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17468434:17471699:-1 gene:Et_1B_011092 transcript:Et_1B_011092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLPLLLHVLLLLVAGRSLANADAGGNTTTYIVFMDAARMPAVHASPAHWHAAHLQSLSIDPERHLLYSYSAAAHGFAAALLPSHLPLLRGSPEVLQVVPDAVLRLHTTRTPEFLGLLSPAYQPAIRGLDAASHDVVIGVLDTGVWPESPSFAGGDLPPPPARWKGACEAGVDFPPSACGRKLVGARSFSRGLHAAVHAGAGGPAVVGRRGGFRSARDRDGHGTHTASTAAGAVVANASLLGYATGTARGMAPGARVAAYKVCWPEGCLASDILAGIDAAVADGVGVLSLSLGGGAAPYFRDTVAIGAFGASAAGVFVSCSAGNSGPSGATVSNSAPWVATVGAGTLDRDFPAYVTLPTGARLAGVSLYARASASSPRASPTMLPLVYGGGRDNASKLCLSGTLDPAAVRGKIVLCDRGVNARVEKGAVVKAAGGAGMVLANTAASGEELVADSHLLPAVAVGRSVGDKIREYVTRGGGRQPMAMLSFGGTVLGVRPSPVVAAFSSRGPNTVVPEVLKPDMIGPGVNILAGWTGVAGPTGLAKDGRRTHFNIISGTSMSCPHISGVAALLKAAHPDWSPAAIKSALMTTAYTVDNTNSSLRDAAGGSPANAFSYGAGHVDPQKALSPGLVYDVSTQDYVDFLCSLKYSPVRIQVITKMSNVSCPKKFRPGDLNYPSFSVVFKQKLKRVMRFRRELTNVGPAMSVYNVKVTSPASVSVTVSPAKLRFKKVGEKQRYYVTFSSKADQGSAKPDFGWISWVNDEHVVRSPVAYTWKI >Et_7B_055968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8249927:8252698:1 gene:Et_7B_055968 transcript:Et_7B_055968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVTVADKAEFKECLRLTWTQPYILQLVLSAGIGGLLFGYDTGVISGALLYIRDDFAAVEKSTVLRETIVSMAVAGAIVGAALGGWMNDKFGRKPSIIIADILFLAGALIMAFSPTPQVIIVGRVFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFMAYLINLAFTKVPGTWRWMLGIAGVPALLQFILMLMLPESPRWLYRKGRKEDASAILRKIYPASEVEQEIDAMRQSVEDEVQLEGSIGEQSLLGKLRKALGSKVVRRGLMAGVIAQVAQQFVGINTVMYYSPTIVQLAGFASNNTAMALSLITSGLNAIGSVVSMFFVDRAGRRRLMLISLVGIVVWLAVLGGTFMGAAHHAPPVGDVETRVFANQTCPEFSLSVPWSCVNCLKAASTCGFCAHQGDKASRSSYMNDAPFRLLFIVLVILLESLLPGACLALNNASRQTCHADQREFYTEGCPNNFGWLALIGLGAYIVSYSPGMGTVPWIVNSEIYPLRFRGVCGGIAAVANWVSNLIVTQTFLSLTKALGTSATFFLFCGVSFLALVAVFFTVPETKGLQFEEVERMLEREDYKPWKRYHGGGDVEPAKNREIGLAAP >Et_2B_022168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1045774:1046589:-1 gene:Et_2B_022168 transcript:Et_2B_022168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTEEAALAVAVEAPAPEEVAEVKEAQVEEAPKLEEGEAKVEEAPKPEEGEEKKADEGEKVNKAEKKPRKRKPKSAGPHHPPYFEMIKEAILAQDGKSGSSPYAIAKHMEEKHRDVLPANYRKVLAVQLRNFAAKGRLVKVKASFKLAAAEEKKAAAGGAKKAKAAAAPPAKRKRTARAPAKKPAVAAASAAPKEAKKARAKRARKAAPAPAQPKPKEAKPVRAAVTKKANKASA >Et_3B_031333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26002718:26003929:1 gene:Et_3B_031333 transcript:Et_3B_031333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPAAAKGCKRIVLVHGACLGGWSWFKVATPLRAAGYRVDTPDLAASGVDPRPLRDVPTFRDYTAPLLDHLAALPAGERVVLVGHSLGGVNVALAAEMFPDKVAAAVFLCAFMPDCVARPSHVLEKFVEGNWLDWMDTEMKPQDAEGKLPTSMLFGPRIAREKFFQMCSPEDLTLGGSLLRVGSMFVEDLQRQQPYTQERYGSVRKVYVVCKEDYAIVEEFQRWMVENNPVDEVKEIVADHMVMLSRPDELVGCLTDIAEKYA >Et_10B_002529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13257601:13258666:-1 gene:Et_10B_002529 transcript:Et_10B_002529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISKQSALRLVVFPWLALGHITPFLELSKQLAKRGHAVSFVSTPRNIARLKKPVAPPELAARIRLVPLPLPNNVETADLPSEKAELLEVAFDGLAPRFSAFLADLCSSDAGRAGTGKKKPDWIVVDYAHHWLPPIAGEHGVPCVLFVTFPAAIFCAPMPCPRYEAASMASQGLAAQRLRHLRHRPVQSRRRRGGAGPSCSAPPTSSALLRHLRRPPPAPAPSRHASPAARRRRHRPRGRRRRRRAHALARRAAGGVGPLRRVRERGAAHGGARPGGRARAGPRRVRRPLLLLLLPLFGDQGHTARAIDGGAAGRGAGRPRRGRRLACRGRRRRGHAPGHDGG >Et_6A_047082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2500627:2504215:-1 gene:Et_6A_047082 transcript:Et_6A_047082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAAAAAAGLDIVESPRCGSDKLESSPPVAGSDVSGGGAAADGAATKLQKVYRSYRTRRKLADSAVVVEELWWQALEFARLNHSTVSFFEEPKPETAASRWNRVTLNASKVGQGLSRDSKALKLAFQHWIEAIDPRHRYGHNLHFYYDVWCQSQAGQPFFYWLDIGEGKDVDLPEFPRAVLRKQCIKYLGPQERENYEYIVNEGKIVHKESGEPLDTSRDKGTKWIFVMSTAKRLYAGKKEKGVFQHSSFLAGGATIAAGRFTAENGVIKSIWAYSGHYKPSAENLSNFMNFLEDNGVDLKEVEVRSSTKEDYYDDPVPNEIQNFTAAISPPDVILPPKSTEGDEGGNAPAEQAKPTYQRTLSGGLQSPKATEVPQKAIFERMKSKRETKSYQLGHRLSLKWSTGAGPRIGCVKDYPMELRTQAMEMVDLSPRGSTPSASRRLPSCFSPTSPASPLAVQTQLPQPS >Et_3A_023721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11251073:11254288:-1 gene:Et_3A_023721 transcript:Et_3A_023721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARWAPPTALLLLLVFAATPSRAATPARSPSPSTAVFALQGDVYPTGHYSVTMNIGDLAKPYFLDVDTGSDLTWLQCDAPCQSCNKVPHPLYRPTKNRIVPCADSLCTALYSGQVSNQKCTSPQQCDYRIKYTENASSIGVLISDNFSLPLRNSSNVRPSLTFGCGYDQQVGKNGAVQAATDGLLGLGRGSISLLAQLKLQGITKNVLGHCLSSSGGGFLFFGDDMVPTSRVTWVPMVRSTSGNYYSPGSATLYFDRRSLGVKPMEVVFDSGSTYTYFPAQPYQAVVSALKSGLSKSLKQVSDPSLPLCWKGQKAFKSVFDVKKEFKSLILSFANAKNAVMEIPPENYLIVTKNGNVCLGILDGTAAKLSFSIIGDITMQDQMVIYDNEKTQLGWVRGSCSRSRKDGASQFASPARAPAPLRGISLVALIFFWAQQVV >Et_1A_006949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29338317:29340446:-1 gene:Et_1A_006949 transcript:Et_1A_006949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRIWASSAANALKVSGTGGRAAAPAYSISRYFATVLDGLKYTTTHEWVKHEGDVATVGITDHAQGHLGEVVFVELPENGAKVSAGESFGNVESVKATSDVNSPISGEVIEINSKLTETPGLINTGPYEEGWMIKVKPSDPAEVDSLLDAAKYTKHCEEEDAH >Et_4A_033938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28215085:28223430:1 gene:Et_4A_033938 transcript:Et_4A_033938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLHQPPPPDDGGARPARPPLLVGAPGLLASCSPGPPPPSRSPPSAPHSPPTSPPRRPPSLTAPAAAAGTLTGSRVPLHTATRSDTLPQEKAPQLELEDEPNEGDGEEEDPDATKTKAASKKKRKLPPLFSPNAHYHWKQCSAKSGQHYVPCVHFDGDGSQRHHERSCPRSPVTCLVSLPKEYKPPAPWPERRDKVWYENIGHPRLSSYVKGHNWLNHTGEYLMFPPNEWEYKGGARHYVDSIDEMAPDIDWGKNIRVILDIGCKSAGFGVALLEKDVITLSLGLTNDQTDLAQVALERGIPAAIGSLGSHRLPFPSGAFDAIHCGECNIPWHSNGGKLLLEINRILRPGGYFIISSKSTDLESEQGISASMTSLCWNSIAYNSDDVSEVGIKIFQRPASNEIYDMRAKKDPPFCKEDQNKATAWYTRIKHCLHKAPVGIEERGSDWPEEWPKRLEAFPEWLGDLETRVAADHKHWKAVVEKSYLDGLGLNWSNIRNVMDMNAVYGGFAAALASKNVWVMNVVPVHAADTLPMIYERGLIGVYHDWCEPFSTYPRSYDLLHADHLFSRLKIRCKQPVAIVVEMDRILRPGGWAIIRDKLEILDPLESILKSLHWEIVMTFRKDKEGIMSPDDLPSVPLLLLVLPTHDAGAPPPAAAFLARWLEESAADFRAGSLLLSGLRFAIFGVGSRAYGETFNAAAKSFSRWLRALGAAEVVPLVEGDVDGGDIEVVFDEWSGRVLRVVNGEEVSDEVNGESDGLDVLEGEESDDDKEEDVVDGEIDMEDIAGKAPGRKQNGKVENGLRNGGENGARDMVTPIIRTSLEKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWKWKMDDPLEIVNAAIDQHTKMVKQMKGVPGVKPEKLEEGLSPRHCALSLVGEPIMYPEINTLVDELHRRHISTFLVTNAQFPEKIKTLRPITQLYVSVDAATKESLKAVDRPLFSDFWERFLDSLKSLYEKDQRTVYRLTLVKGWNVEEIDAYAKLLNLGQPDFIEIKGVTYCGSSATSKLTMENVPWHSDVKEFSEVLASKSGGVYEVACEHAHSCCVLLAKVDKFKINGKWHTWIDYDRFHELVTSGKPFKSQDYMAMTPSWAVYGAEEGGFDPDQSRFKKERRHGAAVLKKPTHAYWDIFGIGDLAS >Et_6B_049864.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:15668036:15668917:-1 gene:Et_6B_049864 transcript:Et_6B_049864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQRCQVCCLLLALLISLQLTSGLAAYRRADVAVYWGRNKDEGTLRETCDTGEYTTVIISFLAAFGHGKYALDLSGHDIAGVGDDINYCKSKGIMVLLSIGGPGSEYSLPSLQAAVDLADYLWNAFILGSGAGVHRPFGDASVDGVDFFIDQGATEHYAYGKLASRLYNYTKGFRGWGVTLTATPKCGFPDERLAAALDTGLFNRIHVRLYGEDRGCASTPMQSWEKWSVAYPESRVFVGVVASPEADAAAYMSPRDLHTRVLRFAEKRAGFGGIMIWNRYYDKKTGYSGRL >Et_5A_042065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5926262:5927898:1 gene:Et_5A_042065 transcript:Et_5A_042065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDLPAPVGTKKNGNFKFAFTRAIFASMACIILGYDFGVMSGAAIYIKKDLKITDVQLEVLMGILSLYCLIGSFAAGRTSDWIGRRFTVVVAGAILFTGALVMGFAVNYAMLMAGRFVAGIGVGYAVMIAPVYTAEISPASARGFLTSFPEVFINFGILLGYVSNFAFARLPLYLGWRVMLGIGAAPSALLAFMVFVMPESPRWLVMKGRLADARAVLEQTADTPEEAARRLDEINAAAGIPGDLDSDVVTVPKKQSSEETQVWKELVLSPTPAMRRILFSVLGLHFFQQASGIDSVVLYSPRVFKTAGITGDNQLLGATCAVGVTKTLTILIATFLLDRVGRRPLAMTSTAGMAVSLVGLGTGLTVVGHHPDARVTWAVALCIASTLAFVSFFSIGLGPIAGVYTSEIFPLRVRALGFAVGVACNRVTSGVVSMTFLSLSKAITIGGSFYLYAGIAALGWVFFFTCLPETRGRTLESMGKLFGMEDTDIMAEEAEDQAATKEKAVEMPANY >Et_9A_062971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7680806:7683457:1 gene:Et_9A_062971 transcript:Et_9A_062971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRARCSLSDALAAARIQDERDSLSPVSPLTRCRTPTPTSSSGSSGTAVPRTPGACPSAAAPAGGHRSLGSGPLTGSNGSTGSSAAATSAPLNSALPAGNICPSGRLAPAAPPCAVRRDVLRSGAGSYGHGSVVRGRCGGVAAADEEDAPARRRAMASTEAEELRRAGNEQYRKGCFEEALRLYDRALAACPGSSACRGNRAAALMGLGRLGEAVGECEEALRIDPSYGRARQRLISLLIRLGHFVGARTQISLAHLQSDLELHKLETVEKYFGRCLDARKDENWKTALRECDAAIAEGADSCAMLFASRAESLLQINQIDEADLAICRASEIVCSSSCALDMKFCGFLVSSYIYYVHAQVDMAKGRFDNALSSMEKASRLDSTNAEVTAMLNIFRAVVQARSLGNELFHSGKYAEACLAYGEGLKHHAANPVLYCNRAACRFKLGHWEKSIEDCNEALKIQPNYTKAILRRAASYGKIDRWENSVRDYEILRKELPGDTEVAEAHYHAQIALRSSREEASNVNFGGRVETRQHEL >Et_4A_034569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4379074:4381899:-1 gene:Et_4A_034569 transcript:Et_4A_034569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGRRMVRMEASPERGRPVYASGRPAPARPMRKVQIVYYLCRNGQLEHPHFMELAQHPHQPLRLKDVTDRLTLLRGKGMPALFSWSCKRNYKNGYVWNDLSENDVIYPSDGVEYVLKGSEIFPGCSSDRFQHLRVTDRSPTKALALPHTHKQYVDSYRDDGAEDPDDDELAYAYHRRAAAAARLARQDKPVSARTNRSRPVELPVEETSPPSSTSSDKPPAPAPLQQPGRADLELEPEPNRPGSMLLQLIACGSTAPAAGGAGKCRSEPRRSCGLVSRLSSRAGADEDDDEDAACGEVGRRFGHLAVEDKEYFSGSIVEGGGGRGTPLPASSLKRSNSYNEERSSRLGVGSIGEERRDEQMEGDEGIIRGRCIPGRKKQQPQK >Et_2B_019053.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27692949:27693206:-1 gene:Et_2B_019053 transcript:Et_2B_019053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding STNNTSLDVPAVNSTLLDDSKIKLVFCIQPEICVHPAPCYCCVKPEKCWYTEKACKLNCLTCNPDCPPEAAALEGRPMATNATLY >Et_3B_029725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27424766:27428922:1 gene:Et_3B_029725 transcript:Et_3B_029725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGLDEVMAFLTDHGFAGAASALRDDVLARTAAGDDCRNTALDPQLPPLRMRGSASGGGDTPAPASPRSSSGSASSSAFVSMRSSPSGLLNPYGLWSPRHSPSDASSSEMEFGTARQYDNTDLFFQEGWLYDDHLFPSKLDHEDDEYKEEDKFVLGAHGGSEQVEMGKLGAGHYHRHDHVGSDNCEGCAEVYTCSSPLCGCCGGALKNEDDLEMVRSSSTTVYGRYQIMDDQTEILDDCGHDGFQLKQSGDVLLECDLPGDPTGGDDCLEPSVMEKELQMLSSFDTDADVNPVSYRGVHDVVDNGVLDGGTDKNMKSSSDKETLKTGHRIQPFPESGYPDDSYDFGDVGSLNADMQHSTAPKAEEDSETNIDLAISNFHREYEVFELTIIHRKNRTGFEENKEFPIVLNSVIAGRYYVTEYLGSAAFSKVIQAHDLRTGMDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPLDEHHVLRLYDYFYHQEHLFIVTELLRANLYEFQKYNEESGGEVYFTLPRIQVLFPNEPVSMMLAQMIGIIGPIDMEMLELGQDTHKYFTDDYDLFTKNEETDQLEYLIPEKSSLRRHLQCPDSDFVDFLSYLLQINPRKRPTADEALQHPWLSCAY >Et_9B_065640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8498375:8505283:-1 gene:Et_9B_065640 transcript:Et_9B_065640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGSLAGAVAARSYAPHLLRRRDPPSRALSVSASAAADGARLFCGRQLRPALVLPSPSVSLSPPARRKLLSTPPAAAAAASGSSGEVKPKGFAERYPALVTGFFFFVWYFLNVIFNILNKKIFDYFPYPYFVSVNHLLVGVIYCLISWSLGLQKRAPINSTILKPLIPVAVCHAIGHVTSTVSFAAVAVSFAHTIKALEPFFNAAASQFILGQPVPLPLWLSLLPVVIGVSVASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNLYAYISIIALIVCIPPAVIIEGPQLVQHGFKDAIAKVGLTKLISDFLLVGLFYHLYNQVATNTLERVAPLTHAIGNVLKRVFVIGFSIIVFGNKISTQTGIGTSIAIAGVALYSFMKAKIEEEKRVCFSSLIISHSIFINKYVVQIIHCDRTKSRVIP >Et_2B_019597.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:10689664:10690077:-1 gene:Et_2B_019597 transcript:Et_2B_019597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSFYAKHPITGSESHSRSYLSRKKRTGSRC >Et_8A_057228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22563011:22566336:1 gene:Et_8A_057228 transcript:Et_8A_057228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIVASASKGVIDSVLAKLKVLIMGDMCITNLLGVSRTGICFLWDELSAMNALLEKLEDADDLDPQVKNWRNQVREITYDIEDWIDEFTSSERNDDAKAGFISRISQFLETLRSRVKAAEQIKDLKTRLQEINERYKRYKIGEYSPYETTKVDSRLPALYKESTSLVGIENSKEEIIGVIDKANKFKVVSIVGFGGLGKTTLANEVYREVRRRYDRTAFVSISQKPDVAWILKSILSQLGPNIYSHHCDVQDLINNLREHLHDKRYLIIIDDLWDTRVWNIISCAFPQNNQNSMVMITTRIVDVARAACCRDHGFIYRMKPLTEQDSRKLLLKRIFGPKYHLLHFCKKRYESPQFLEVSCEILKKCGGLPLAIISLVNRSMIQPAYEDYYYDIDEVSHCRVHDMMLELIVRRCKEDNFISFAHDDQVIAEGQDKAVRRLTVKWGGIDDDTGAMTTTCHLAQVRSLSIFGGPNWIPLLNEFKLLRVLYLDIYRSEMTMDLSGMDQLAQLRYLKVGNERSFRGIPVLLPGKIRRLRHLETLELPALSVCCIPPDVVHLPCLSHLVVPHDTELPDGIGKVKSLRTMDGFDLSRSSLENISAIGELRNLRNMSLHCHTESWEYASALGGSLEKLSKNLKRLSVSCTFVGSCADALDYPLRSLGNLELLDVSGCTFGRSSSSWLGYKLDQLRVLRLGVWQIEQEDIDIIGTLGSLVQLHLRTASALTERILITRSTGFARLKVFELECDGISRLTFEAGAMPSLRKLWLAFDPNAWDKATPAGLQHLSSLKEIYALTVRNSSTAAAVSEAPSTSMSETAVIRGAFQEVADAHPGRPEFTLGEAWLIR >Et_4A_035133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9883782:9886019:-1 gene:Et_4A_035133 transcript:Et_4A_035133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEAATTAAWMERHRQMYERATRHQFTVSIRDGTVDLSAFKRWLSQDYIFVREFVAFIASVLLKCCKQAENSDMEIILGGVASISDELSWFKNEATKWGVDLASVSPLESNLEYCRRQQFFSKSKHMYKCL >Et_10A_000701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15741455:15741991:1 gene:Et_10A_000701 transcript:Et_10A_000701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFCTLEIPELLRAGSVCSSWRSTYTSLASLGQYNKHQTPCLLYTSESAGENVACLYSLAEQRVYKLTLPDPPIRSRFIIGSSNGWLITVGEACEMHLVNPVTGQQINLPYVTTIEQVKPIYDDYGSTNIAHGAREELRSNTRHESLLLMCCEKSSSIRHFYFLMHPQEATL >Et_1A_008426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7535062:7545576:-1 gene:Et_1A_008426 transcript:Et_1A_008426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLRLVSFFVAFAVLLRPGASVEFHRKLQSWSSAGATWYGAPLGAGTDGGACGYQNAVDQPPFSSMIAAGSPSIFQDGEGCGSCYQVKCTGHASCSSTPVTVVVTDLCPAGACLAEPVHFDLSGTAFGAMANPGQADQLRAAGHLQIQYTRVPCNWQGVDITFKLDGGSNAYYLAMLVEYESGDGELRGVELMQSGAGSASWAPMERSWGAVWRRRVRTAVGERPFNSMIAAGSPSLYKGGKGCGACYEVKCTSNSACSGQPATVVITDECPGCLAEAYHFDMSGTSMGAMAKPGMADKLRAAGIALKAPFSLRLTSESGKVLVANNVIPAGWKAGGTYRSLVNYS >Et_9B_065771.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:10956448:10957224:1 gene:Et_9B_065771 transcript:Et_9B_065771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNTNNNTNNQLLPAAAEAGAAGGGSGSGSGSSSNSGKGAGKAGKGGPENGKFRYRGVRQRSWGKWVAEIREPRKRSRKWLGTFATAEDAARAYDRAALLLYGPRAHLNLTAPPPPPLAPAPSHAHSSSASAAPPALRPILPRPAAGAPGFHNNHQHGQLQFHLPPPPPLYYASTAIASTVTTTTAQAWATPQLEPAVAPVFCSSAAPSSMDQEQALTPPEEAAAAAGWGYSGGEEDYEAALLWEEPEPFFFDLFLK >Et_4A_033051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17768059:17771672:-1 gene:Et_4A_033051 transcript:Et_4A_033051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVERDSHQLEIVDLESGLDGTAIVDRQDSLFREAVRGEHHAGAGYSEQDSWGKTLRLGFQCVGILYGDLGTSPLYVYPTTFGHGGIGHPDDILGVLSLIIYSIILFAVIKTVFVALYANDDGDGGIFALYSLISRNAKVSLIPNQQGEDELVSRYNKHGRLSATRRRAQWLKNLLETSKSAKISLFFLTILATAMVISDAVLTPPISVLSAVSGLKQKVPDLTTDQIVWITVVILVVLFAIQRFGTDTIGYSFAPVILLWLLLIGGVGVYNLIKYDTGVLRAFNPKYIIDYFRRNKKEGWVSLGDILLVFTGTEALFGNLGYFSIRSIQLSFSFGLLPSLLLTYIGQAAYLRTHPEHFADSFYRSTPSALFWPTFVMAIAASIIGSQAMISCAFATVSHLQTLSCFPRVRILHTSKRFQGQLYIPEVNLLLGIAACLVTVSFKTTTIIGKAHEICVILVMIITTLLMTIVMLLVWKINVWWIALFFVIFIPTESIYLSSVLYKFTHGPYVPVVMSAVLMIVMISWHYVHAKRYRYELKHTTSPSKVKELLERHYLKRVPGVGLLYTELVQGIPPIFPHLIENIPAIHSVLIFVSIKHLHVPHVDASERFLFRQVELKGYKIFRCVARYGYRDSLHLEAEDFVAALVEQLQYYIRDVNFYTMDELQNISYPISRDHSLSREKPSGRHAIHAEEMITPIQSFSELTTLSNGGSNHLPQFQLSRMNINELSKIEEEQKFIETEREKGVVYIIGESEVVAKPQSSFLKKIIVNHIYSFLRKNFMQGEKMLSIPHGKLLKVGISYEI >Et_1B_014081.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:3035470:3035997:1 gene:Et_1B_014081 transcript:Et_1B_014081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCEQDHTRRHGPRSTTRPTCRHAPRAARSSPRHISPAAVGSAAEHAVRPPSRCSSTTVVATDVANFQAMVQELTGFPPAPAAIFRPLPRRVHAVSRNPFVVAAAGQGISGGDGRGHGSATVSTTAGGSLCLDAPAALPAMAQSPPQWAPPGVFEGLSDIGSPGLDSGLDSLAG >Et_3A_026176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5130587:5133787:1 gene:Et_3A_026176 transcript:Et_3A_026176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEGSGAQTAEQGLQPVPRVPAVQSDRRLTLASFLPFPLLPEAQARGKRTRASESTAAPRHPDLAAAPAPTADAISPGPDPVRRPAVAGSLALNAGAVTPRRVALPGAAALPAAPPRRAMGAADKWLLPLVSVSFVSLLLFLSALSGFSASSALFARLPPPSYVRRGAAAPPSFAYLLAGGRGDGRKLLRLLLAVYHPRNRYLLHLSADAPESERAELAAALSRAAPAIRAFGNVDVVGRPTAGTPMGSSGLAATLRAAAALLRLDSEWDWFVTLNAADYPLLTQDDLIHVFSSVPRHLNFIDHTSDLGWKESQRVQPVIVDAGIYLAGRNQFFQATEKRATPDGSPWVILNRRFLEYCIFGWENLPRTLLMYFTNVMLPLEGYFHSVVCNSDFRNSTVNNDLRYVVWDDPPKMEPHFLNVTHFDEIVGSGVPFARKFRENEPLLDKIDDKILRRWWHRPVPGAWCTGRRRWFSDPCSQWSNVNIVRPGPQAEKFRRYMDQILEESKSGNNSCTET >Et_1B_010102.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:23995865:23996068:-1 gene:Et_1B_010102 transcript:Et_1B_010102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDEIPPPKDHRTPAAMETVVPSWIPGRTRATDNLVGGSRAKAGENLFKMKVIEEDDLTRLEVRGD >Et_4A_035953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9371822:9372924:1 gene:Et_4A_035953 transcript:Et_4A_035953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASLASYAPASATMPALELLPEKAHAHHGWDGNGAAVIPTPMPKRLDGKVAIVTGGARGIGEAIVRLFAKHGARVVIADIDDAAGDALAAALGPQVSCVRCDVSAEDDMRRAVEWAVARHGRLDVLCNNAGVLGRQTRAAKSILSFDAGEFDRVLRVNALGAALGMKHAALAMAPRRTGSIVSVASVAGVLGGLGPHAYTASKHAIVGLTKNAACELGAHGIRVNCVSPFGVATPMLINAWRQGHHDDGDGGDADIDITVPSDEEVEKMEEVVRGLATLKGTTLRPRDVAEAVLFLASDESRYISGHNLVVDGGVTTSRNLIGL >Et_5B_043706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14408813:14416748:1 gene:Et_5B_043706 transcript:Et_5B_043706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWECYGSKDDEVLKHLDLIVVDEKGQLFECTVTVTKLCEGQTQLLIGKPVHVLEKKYDRFEIPQEVQNLVGQKFTFIVRLSTKRSIENPVPSFEVMRTKQQHGRQSDSSSSHKPDGNLSVITGSTMKANRKPLIPIRSNEIPKQDTPRSCIQDDTELDLMDIENQEFPKLNIFLDA >Et_2A_014689.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:35108956:35109861:-1 gene:Et_2A_014689 transcript:Et_2A_014689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPGPRWKKGKEGKDFAALAAANPMSSIVAELQVSLRQSEPVAILSNQGGDAVLGVTPEQAGLLNRAAFGRAVEIAGEARQWFQLGPEEVFFLCQCLKCIAVESADKKQMDEVELWNHLCSTSEPFPEMYKAYQHLRLKNWVVRSGLQYGADFVAYRHHPALVHSEFAVIVVPEGDVFGTRCGRMKVWSDLLCLLRASGSVAKTLLVLTISSRTCELTCPDCLEQLIVHERTVTRWIPQQCREQGPKPYREEAKPCREESNIVEQAHTRESEGSNYWCVILGFTVLSSLLVYKLKFGRKA >Et_8B_059408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17720280:17721749:1 gene:Et_8B_059408 transcript:Et_8B_059408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVIVEKAEKSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLF >Et_10A_001087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2172839:2178770:1 gene:Et_10A_001087 transcript:Et_10A_001087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GTVQVLKPASTTFSSLPLFLSTTATPNSKNPHRYGNPGTPRRRPDPQAMTRRASLAAAPPLRLPQARATSLAMAAAVASRERRLSPGAGAPQVDTGKYVRYTPEQVEALERVYSECPKPTSLRRQQIILECPILSNIEPKQIKVWFQNRRCREKQRKESSRLQTVNRKLSAMNKLLMEENDRLQKQVSRLVNDNGYMRNRLDKPSVATTDTSCESVVTGGQQHMQHPVVPQSLQRDANNPAGLLAIAEETLAEFMSKATGTAVNWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRTSWYRDCRRVDVLHVIPTGNGGTIELIYMQTYAPTTMAQPRDFWTLRYTSGLDDGSLVICERSLTKSTGGPCGPNSPNFTRAELLPSGYLIRPCEGGGSMIYVVDHVDLDALSVPEVLRPLYESPKILAQKMTVAAMRHIRQIAHESSGEIPYIAGRQPALFRTFSQRLSRGFNDAVNGFPDDGWSLLSSDGSEDIKISVNSSANKLVGFHVSSSPFVSAIGVGIMCAKASMLLQDVPPALLVRFLKDHRSQWADPAVDAYSAATLRTNPYAVSGLRNGGFMGNQPILLAETFDHEESLEIVRSEGQALSHDEALLSRDMLLLQLCNGVDENAPGACAQLVFAPIDESFTDDAPLLPSGFRVIQLDGKADVPSSTRTLDLASALDVGSGGELRASKDAPGACNVKSVLTIAFQFPFENHLRDSVAAMARQYVRSVLATVQKVAMVLSSSRPGLQIEMKHPPGSPEAHTLARWISKSYRAHTGADIRWSDTEDMESPLKLLWKHSDAILCCSLKASPMLMFANSAGLDILETTLINIQDMPLETVLGDEGQKALFLELAKIMQQGFAYLPGGVCKSSMGRQASYEQAVAWKVVGDDGMPHCLALMLVNWTFI >Et_1A_005901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15241402:15247144:-1 gene:Et_1A_005901 transcript:Et_1A_005901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNPAVFLNGYLHFLCCDSGTITTFNISDETFSSLPPPPSFENVVPVLTELDGCLCVCYGEPDSEDPYHVYLLRDYKEARWEKACTLNRTAWPESERLLLTSLWIAPLCVYYSNGGQKIMFGTGSCKVFATDLNGGTPEILFSPDDTIVGTCEDDSLPVLGLFEESLVPVSRTVKDMIFSSPTTQAWFDILKWLPARSIFKLRLVCREWHGMIMSDCFTQSHAIHANLNRSPRIMFIMDARFGRYMDMGACAGEDALQPEYGIVCSQPCHGLNAGSCNFWDFVCNPTIGYYQHIEFDDNDGTFFAGRIGLGYNMKIDKHILVHITYKEKNMETRHYELQCKRRYVNERHWHPIDPPSRPIAGTAPTFVDGKIYWIVEPNLGPVSAGCEIVAFNVNTDEFEVMPGPPCSHGSERLTILPLQSALCIACSNQSRNTIDMWMMKDIGIWSMEYHIVLDKLSENTIPLADDPKDGRILLNTGWSLGYYDPKTASFEAIYTKAARTPEVEFFPIVCHETRSSKMEATSQGVSALPMEIITEIIARLPVKSAGRFRCVSRAWRDTLTSDYFVDLHLRLADRRGHPKLLLSPVGSSYDGYIY >Et_2A_018852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9256062:9258271:-1 gene:Et_2A_018852 transcript:Et_2A_018852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAEAAASSCSPREEPPLQQPPQEQSERERAEKSGGGAPSEEEHVEAVERQLSEASLCGADAEAGEEDDEDDEEEKAAEAIELGPRVSIKEQLEKDKDDESLRRWKEQLLGSVDLNSVGAKRIVSSNTLENEKSGSMLHIKWAERLEPDVKITSLSILSPGRPDIVLPLPAEPWASKGPWFTLKEGSAYRLKFTFSVSDNIVSGLRYTNTVWKTGIKGIFMPRLDLSLFLVSGVQPCTVPQNAPWKWSRDCFHSLDEMVFAVDSTKEMLGTFSPQTEPYTYLTPEDNTPSGLFARGSYSAKTKFLDDDRKCYLEMNYTFDIRREWPSS >Et_7B_055521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1384409:1386855:1 gene:Et_7B_055521 transcript:Et_7B_055521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSSASALVHHPGPAHLGAPPRGWFCHPRTRRPRQATVRCSFAPVEMARIKVVGVGGGGNNAVNRMIGSGLQGIEFYAINTDSQALINSQAQYPLQIGEQLTRGLGTGGNPNLGEQAAEESKEAISNALRDSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVVTYPFSFEGRLLNFCALALEALEKLEKSVDTLIVIPNDKLLDVADENMPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAQEAAEQATLAPLIGSSIEAATGVVYNITGGKDITLQEVNKVSQIVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFPQSFQKSLLADPKGARLVEAKEKAASLAHKAAAAAAVQPAPVSSWSRRLFT >Et_10A_000350.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:4567624:4567791:-1 gene:Et_10A_000350 transcript:Et_10A_000350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSIRGLRFLVVTWTTVILLGGFVSTLEKKDFWSLTVITLTETFGLVTSPLPFL >Et_7A_052027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3902179:3906088:1 gene:Et_7A_052027 transcript:Et_7A_052027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNNSSYGENVRRKSHTPSAIVIGGGFAGLAAADALRNASFQVILLESRDRIGGRVHTDYSFGFPVDLGASWLHGVCEENPLAPIIGRLGLPLYRTSGDDSVLFDHDLESYALYDTKGRQIPQELVEKVGKVFETILKETDKLRKETSEDMSIAKAITIAMKRNPHLRQEGIAHEVLQWYLCRMEGWFATDADSISLQGWDQEVLLPGGHGLMVRGYRPVINTLAKGLDIRLNHRVVEIVRHKNRVEVTVSNGKTFVADAAVVAVPLGVLKANTIKFEPRLPEWKEEAIRELTVGVENKIILHFSKVFWPNVEFLGVVSSTTYGCSYFLNLHKATGHPVLVYMPAGRLARDIEKMSDEVAAQFAFSQLRKILPNAAEPINYLVSHWGSDENTLGSYTFDGVGKPRDLYEKLRIPVDNLFFAGEATSVKYTGTVHGAFSTGVMAAEECRDRVLERFRELDMLELCHPAMGEESPVSVPLLISRL >Et_10A_002305.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:8095601:8096179:1 gene:Et_10A_002305 transcript:Et_10A_002305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVRVVEVSLRCLVCVLGALAAALVATDAQTRTFFTMQKKARFTDLKALVFLVAANAAAAAYSLLQLAARLCLCALCSAAAAPQLGRRRALAWSVFSCDQALAYVTMAAAAAALQASVVSKRGVPAFQWMEVCGLYGAFCRRAGGGVASAVAAGLAAALLAALSAFNLFRLYGKSSGATRGGAATNGATW >Et_4B_036841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11921877:11930448:-1 gene:Et_4B_036841 transcript:Et_4B_036841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPEGEVPVVIHAWSAPRSLSTSLMYSFAQRDDMEVLDEPLYANFLRVTGVDRPYREELLSKMDPDGNKVVKDVIFGPGEKRYRYCKHISKQRLPNLSNDLMTKGKHFILIRNPLNILPSFDKVVPPSFMELGLGELVSIYSELCQLGSPPPVIDADDLQRDPETVLSGLCEDLGIPFQPQMLEWEAGPRDFDGVWAPWWYRSVHKSTGFAKTRRYPLTFPFAFYELLEQALPFYNMLKRKVRRTVGSLETPLPDPPLPVPANKKILVWVGDELLPRDSAKVSVFDSVVQGGDAVWEGLRIYDGKVFKLDEHLDRLFDSAKAMAFINVPSRDWIKDAIFKTLIANGMFDNAHIRLTLTRGKKVTSGMSPAFNLYGCNLIEWKPPVYDNSHGIKLVTATTRRNSPNSVDSKIHHNNLINNILAKIEGNLAQAEDAIMLDKDGFVSETNATNIFMVKKGIVLTPHADYCLPGITRATVMDLVIKENLVLHERRISLSEFHAADEVWTTGTMGEITPVVMIDGREIGDGKIGPVTRQIQNAYKVLTAGQGVPITRNADA >Et_1B_010800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14217374:14220668:-1 gene:Et_1B_010800 transcript:Et_1B_010800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAGDERCLDPQLWHACAGGMVQMPPVRSRVFYFPQGHAEHAQGGGGAAAADLAAAVGPRALPALVLCRVDGVRFLADPDTDEVFAKIRLVPVAPGEADYREPDELDPAEARDKLSSFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYRADPPVQTVLAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTELGELCVGIRRAKRVSCGGMECMSGWNAPGYGGFSPFLKEEESKLMKGPGGYMRGRGKVKIADVVDAASLAASGQPFEVVYYPRASTPEFVVKAASVQNAMRIQWCPGMRFKMAFETEDSSRISWFMGTIASVQVADPIRWPNSLWRLLQVTWDEPDLLQNVKCVNPWLVELVSSIPPIHLGPFSPPRKKLRMPQHPDFPFDGQLLNPIFHGNPLGPSNSPLCCFPDNAPAGIQGARQFGLSITDHQLNKLRLGLLQGSGFNRLDTITPSSRISKGFVITSAPVHESVSCLLTIGTPQGAEKPDDKKKPHIMLFGKPILTEQQMNSRGSRETFSPEATGNSSSNGNVSKAANASDGSGSSICIGFSSQGLEASDLGLEAGHCKVFMESEDVGRTIDLSVFGSYEELYGQLADMFGIEKAEIISHLRYRDAAGAVKHTGEEPFSDFMKVARRLTITEGSEGRLQKPLIECLVERA >Et_4B_036794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11550411:11553171:-1 gene:Et_4B_036794 transcript:Et_4B_036794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSLIQDMRDEFGSISRHSLRSRSHRAAGHGAQEAAETDPLDAMEASCWAQLPPELLREVLVRLEATEVWWPARRDVVSCAGVCRSWRGIMKEAVREPEKSGQLTFPISLKQPGPRVGFLKCFIRRNRTTQTYCLYIGLTEALADDGKFLLAARRCRKPTCTDYLISLDRGDMSKGSSTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSARMIGLNQVSPRVPAGNYPVAHISYELNVLGSRSKSARIDSSASQSTTEKEERMVLRNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASGENSPANQENDKVVLQFGKIGKDLFTMDYSYPVSAFQAFAICLSSFDTKIACE >Et_2A_015830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18225561:18226690:1 gene:Et_2A_015830 transcript:Et_2A_015830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAPRGTKTTRRRCGGRRSSGSPSTTASAAPSSRSASAAAAAAAKGVVNVDPRALLGRLLRVTDEDNEQFLLKLKERVSNVGIDRSTIEVRFQNLDAEAEERVGSNGLPSVLKTPTQGNTLEVTQSSSSPVSSARCRFCLRKCMRDIRERRTRYTYCPAADHARPPRRQRHHEALLVVKLSPLSFLFLINIMLGAETRYMLTELSRREKAANIKPDADIDAFMKA >Et_3A_025836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33192386:33196478:-1 gene:Et_3A_025836 transcript:Et_3A_025836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGSSAKHFVLVHGLGHGAWCWYKVAATLRATGHRVTALDMAASGVHPARMDEVASFEDYSRPLLEAVATASGGERLVLVGHSLGGLSIALAMERFPQKVAVAVFLAASMPCVGKHMGVTVEEFMRRITPDFFMDSKMMVLSTGHGPRNTVTLGPNLMATKVYDQSPAEDLELAKLLVRPGSQFMDDPIMKDKSLLTDGNYGSVKRVFVIAMADTSTTEEMQRQMVDLSPDVEVEEIAGADHMAMISKPRELCDREMEGGKHLILVHGLCHGAWSWYKVVAALQSAGHRVTALDLAASGAHPARLGDVRSFEEYSRPLLDAVAASPDGERLVLVGHSHGGLSVALAMERFPRKIAAAVFVAAALPCVGKHMGVTTEEVVLCTRTMFYYCPKLTHHRLVTSLYVNLNQTFFMRRTESKGLLMDCQVVAINNSDQGPGQGVAIQMGPRFMAEKEAQPRHDLALAKMLVRPGNQFLDDPVMKDAALLTDANYGSVKKVYVVAKDDSSSTEEMQRWMVAMSPGTEVEEIAGADHAVMNSKPRELCDQDANVHMYSQGQVVDRHGERQVNYLANRQEQVDMESGEKQRRRRHIVLVHGLCHGPWCWYKVATILESARSFEDYSRPLLDAVAAVPLGEKVVLVGHSFGARHAEAPGEGRRRCVRLGGRAVRRPAHVPLPGTGTNEHQEATQISHESAFFFSTSMNMNQHMK >Et_6B_048708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12897211:12910452:1 gene:Et_6B_048708 transcript:Et_6B_048708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESARAKGKASSSSWVVEMEKMIENTSPSVEQERWKKVSIYRVPEWHKNMSNRKAYQPSLVSLGPFHHGEPDLLPMEEHKRRAVMHLVKRAERPLGEFVAAVEEVADELLDAYQGLDDKWRGEKRDRFVEMTVTDGCFLLEIMRADMLSMEGKDDDDYPANDPVFSKHGLNYLFSLIRADMVVLENQLPLLVLERLVALQNGRPPSSLEINNRVLLFLVGSRFQVEDLVGLHPLDIFHKCFCGGRQHSEESDEADVIIPNARELSEAGIHFKKSKTPWVHDVDLENNGVLSIPPVMVDDVTEKEFLNLIMFEQLHPDAGRDVTNYLFFMDHIIDSERDVALLRSKGLITNAISDKEVADLFNKLTSGATSKTTVKLQEVKHMVNAHCAKRRNRWRAFFVQTYLSNPWVFISLVAAVILLIATLLQTIYTVNQTPCELECQGIRRIYNTRMEEVSVRGEEKLVQEKSMTVEGHASCSSWVVEMEKMLVNADRSVEMARWMKPSIYRVPEYIKDMTNRNAYRPLLVSLGPFHHGEPNLMPMEEHKRRAVLHRVKRCGKPLAELVTAVEVVVDELQSAYHELDGKWLGANRSRFVEMMVKDGCFVLEMIRMVEIITDSVHDSEDYAPNDPVFSENGYLYLWPDIRTDMVVMENLLPLLVLQRLVAVSGGATPVHTTPSAVELKEAGIHFKKSKTDSVLDIDFKGGVLSMPNVGVDDGSEKIYLNLMAFERDVALLRSKGIINNGLTSDEEAAKLFNTLSKGTVMSPYNKLCELYPKLNAHCRKRRNRWCASFVQTYLRNPWVFISLVAATILLIATIIQTAYTVISFY >Et_8B_058827.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:9723084:9723140:1 gene:Et_8B_058827 transcript:Et_8B_058827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRDRGDPHTPLVHLSV >Et_2B_018897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14228897:14229642:-1 gene:Et_2B_018897 transcript:Et_2B_018897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWNRIFDRRARRFDSLLSPLLVQEESREARGRLGVPAGTPPVFAQGPSCSHRRVQQHAAAGERWLRAASGLRVAVKRISSESTHGRAQFTAEIVILGRLRHRNLVRLVGYCRHKGELLLVHEQMPNGSLDRYLHGQTTTRSRTLDWSQRLRVIKGVASGLFYLHGDWVRQVWAAPAGCITDAMDPRLVVENDAAEEVELVLKLGLLCSHPLPRLEL >Et_1A_006461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22761935:22768560:-1 gene:Et_1A_006461 transcript:Et_1A_006461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGSGGAAPGDYVYFKSVVPLHKISIGSKLWRYYDFGPKTVPPLVCIPGIAGTADVYYKQIMSLCMKGYRVISIDVPQVWNHHEWIHSFEKFLDSMNIHHVHIYGTSLGGFLAQIFAQHRPRRVKSLVLSNTFLETHKFAAAMPWSPVVNWTPSFLLKRYLLTGIRDGPHEPFIADSVDFVVGQVETLSREDLSSRLMLNVNVASVGSLMLPDSLITIMDTNDYSAVPQQLKDQLNERYPGARRAVLKTGGDFPFLSRPDEVNLYLQLHLRRVGVEPRPDLVQGFTRNGSAGSSKDQKDGGDSFDDSPGDNAYRGSGGSDHVSPHRGSESHDSDEQIPTSTMLANTVLGTVS >Et_6B_049769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1115070:1116351:1 gene:Et_6B_049769 transcript:Et_6B_049769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METPAREGERYSGLAKHGHPEIAFCPEASKQVDLRGVRDAGGQNLLHVASAKGRLDICRFLIKDKEGPGLHVNSRSTAKGDTAVLLAASEGHLPVLRYLLGRGGDPAMPDARGITPLHNAAKNGLLLLLLHLSHRHQLNCYLADIFKFLLEAGADPNIPDETVVSWSVISSFSEKLPIQQLYIIINSSIALL >Et_5A_040512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:387815:388400:-1 gene:Et_5A_040512 transcript:Et_5A_040512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAPTAPLSRRRHQHSPLVSVLPRMLCTIFMTTANRDTPGRRLGTYSFNTRNSEWRWHGEWALPFLDRGYFDSELDAWVGLHEEGYICSCQVVKLDTHMRASLTYLGRSKFCLLESVVREGSDFDGDHALGDHHGCVLHVTMFGLSYNHMGELQTTNHCSKRSYLVSRHNSYFSPAAFWI >Et_2B_020538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21121517:21130013:-1 gene:Et_2B_020538 transcript:Et_2B_020538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGLYPEIGKKARDLLYRDYQTDHKFTLTTYTSNNVAITATSTKKADLIIGEIQSQIKNKNITVDVKANSSSNVITTVTVDEIATPGLKTILSFAVPDQRSGKFELQYLHDYAGVNASIGLTANPVVNLSSVFGTRAFAVGADVSLDTATGNFTKYNAGLSFTNEDLIASLNLNNKGDSLTAAYYHTVNPFSSTAVGAELTHSFSSNENSLTFGTQHALDPLTLVKARLNNSGKASALIQHQWRPKSLVTISAEVDTKTIEKSSKVGRWARPSCSLSMNGCAAGAGDRGAVCVREARALPAASAPWDAVGQLRAAVDALWAGAPPDAPSGIIRIEVPIRQRVDAIEWLHAQSALPRCFFSARAPLPESLALDVGSSNGNGNGVPNDRFQQPVSVAGVGSAVFFRGTEPFSLADWRAIKRFLSRDCPLIRAYGAIRFDATSDASVEWEDYGSFYFVVPQVEFSEFEDTSVLATTIAWDDSLSRTWQNALNGVQSTLQKISPSSVKVNKSSLETTIVNLNHVPTKASWDFAVTKALQMIKGRNSELVKVEGQNAYQFCIQPPDAPAFVGNSPEQLFHRKYLSISSEALAGTRARGKTRADDFQIGQDLLLSSKEDIEFTIMICDEVVVHPSKALRKLPRVQHLSAQLAARIRNEDDEFEILNTLHPSPAVCGLPTEEARQFIREYEIFDRGMYAGPVGWFGGAESEFAVGIRSALLGKGHSTLVYAGAGIVEGTNPSFEWEELDLKASQFEKLLRYQEQQIFFQEAENMGTFAKLLRYQEAEDMEM >Et_4B_040021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7938523:7939418:-1 gene:Et_4B_040021 transcript:Et_4B_040021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLCFLENSRKEYSNISLSKSAPPRHLLKTIGDGSSSGLVNDLHDIQARNGASVDGGLALGVVEVDRGSDDSVLDSAAKINLGGLLHLSKHHGGDFFRCELPLLPFILHHNHGPFVTTCGYFERPQLDIFLHRFVTESAADQSFYLCKKIIHIW >Et_9A_062552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2479734:2486893:1 gene:Et_9A_062552 transcript:Et_9A_062552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSSRSRPAGHSGVFPLGSAAAVGSGGGGGDGGVQLADKLKIFKTDNFDPDAYVQSKCQTMNEKEIRHLCSYLQDLKKASAEEMRRSVYANYAAFIRTSKEISDLEGELLSIRNLLNTQAALIHGLSEGVQIDSLTSGPEGSADDDIANVEDQEPTEIQKWSADFPDMLDVLLAERRVDEALDALDEAERVALDAKRQQTLTSAEILALRRSVSDNRQRLADQLAEAACQSSTRGIELRAAGSALKRLGDGPRAHGLLLNAHNQRLQCNMQTIHPSSTSYGGAYTAALAQQVFSVIAQALSDSVEVFGDESCYASELVTWATKQVMSFALLVKRHVLSSCAAAGGLRAAAECVQISLGHCSLLEVRGLSVSSVLLKQFKPSLEQALDANLRRIEESTAALAAADDWILTYPPTGMRPLTRSSAANLALQPKLSNSAHRFSSMVQDFFEDVAPLLTLQLGGSTMDGITQIFNSYVNLLISALPGSMDDEANLDGLGHKIVRMAETEEQQLALLANASLLAEELLPRAAMKLSTINQDDLRKRGTDKQNRVPEQREWKRKLQRMELYAKLNRMAGIAAEMFVGRERFATLLMMRLTETVILWLSEDQAFWEEIEQGPKPLGPLGLQQFYLDMQFVIIFGQGRFLSRHVHQVILDIIDRAMAAFSATGMNPDSVLPGDDWFMDVAHEVVSMISGRGRVANGDREINSPTASVSAHSISSFRSHGSS >Et_10B_003356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19024032:19026132:-1 gene:Et_10B_003356 transcript:Et_10B_003356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEDLWPGEEMIIARHRGDRPCREAEIDSALVVRGEPFESPANGGRRRRRVSGRRRRCSRVPPTRCARSKPPPPAAAAPLPPRVFAQLHGLLLTSGHARHSPHLALLLRLCGPRRFPLSHRLRLLLRSPLPPATFLANSLLLASSSSHLPSALALYSLLFLLPPACSPLRPNAFTYPPLLRAAPPHAARALATHSLKFLGADAAALLDVFARCGRIASCRRDRPPGPAGVERAARRPRAPAGEVLDLFTRLMSSSSAGANEITLVAVIGACGELGALGHGVWAHAYVLRRRLAVNCFVATALVEMYARCGRLDLAEQVFAGVTARDTRCYNAMLHGLAVHGNGGAALALFDSMCHEEVPVDGVTILAVMCACAHAGLVDEGQHYFQRMEAEFGIVPRIEHYGCMVDMLSRAGRLDDAEKVIQGMDAAPNAAMYRSLIQACGVHGNQELGERMIRQLMELEPEHIGNYVMLSNFYARINRWEDANKARKEMKAMGIDKSPGSSVLDTDGVLHELSEHGRRPSTTAMLFDVEEEDKAGALSYHSERLAIAFALIASTPGAPIRIIKNLRVCSDCHETAKLVSLVYRREIVMRDRTRFHHFKDGECSCRDFW >Et_4A_034945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8014425:8017872:1 gene:Et_4A_034945 transcript:Et_4A_034945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGSRADIEAGGFPGFVPDRRPMRIHAGGRPVNSNSLAFLVTVLVLFMFLNSHQMSPNFLLWLVLGVFLAATSLRMYATCQQLQAQAQAHAAAASGFLGHTELRVHVPPTIALATRGRLQSLRLQLALLDREFDDLDYETLRALDADNSPHARSMSEEEINALPVFKYKAQAQQGRMGLLSSWLPQLDLAMSVCLEQVDVGDLLRSLPCLHQFHVNCIDPWLRQQGTCPICKHQVSDGWQGAGNGEEDASYMV >Et_7B_053301.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:23181207:23183462:1 gene:Et_7B_053301 transcript:Et_7B_053301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTFQEVTMEDDEKPHLPMDIIFKIPAHISDPATLVRAASSHKLWRNLIKDTTFLDGLKRRHSDHGFTPSLLLGFFYQESIEAPSHLWQHHEDTRRCLAPSFIPTSELVPFGGIKEGCNVVNPMSLGTFIQGIGASLNFYEPVASQDSFLALCHRSQDEGGNAMPDVLCVCNPLTGEVFHIPNRREAPPKHYVLLVTNDVGLDGCMSQSYRLVSITIKGQKLIYKYCSKTRAWWRPANFPELMPGHYLMASPVAASHGGSIHWLCGSWKSLEPSLVCTLTLGQEELLYIELPPDAKSSKGPLLASSADGCILLLLVKGFHMSLWKHKNEPGNASIKWVLSEMIDLTSSLPMRVQMKRQKAKFRLEVFRGKSGAVVIWVEGEGLYLFSLSDRSMRKIDNENVMKRYFLCPYEIDWLSCLAVTNLVVHGSLDGERKRIHGRWKTMTADNLANNALP >Et_9B_066061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2216652:2217592:1 gene:Et_9B_066061 transcript:Et_9B_066061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTEPRVGRVDEEEEEQQKEQHNHGGMAREAVSKRVSRLAVEGGGSVVRSGTKHDQDGARRAGGGAGRTLPPPHAWLAIDDLKKHKHRDNSDPEAEQWTRLIHGGGGDGIRQHQHRRSSFCVVRRERAAREAWLDRAWEAKRSWHQRNGGAPDAETPVVVVVGKAHGSPDQSSEQQQHHHQHQAGAVGGGGVAMDVEEVRACRDLGLELPSDCTVEIQCYGLSGASSPTNTAGSSGADSPCGVISSPGIYAQ >Et_4A_031894.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27325128:27325195:-1 gene:Et_4A_031894 transcript:Et_4A_031894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WMHVVIIFVIFFDFISVIVSKI >Et_1A_008014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40091959:40096130:1 gene:Et_1A_008014 transcript:Et_1A_008014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARLHFHSLCSRRHALLAPHARQRVATQGDGKERVLPSQIRGEVPAFELQKIHAQALAHGLHPGHQSVSCKLFRRYADFGRPSDARKLFDEIPSPDLVSFTSLMMCSGDEIDGGGRVGQGTCKDIRRYKCEFCAIVRSKKCLIQAHMVEHHKDELDKSEIYNSNGEKIVYEVEHKCLECGACFQKPAHLKQHMQSHSQERLFNCPLEDCPFSYKRKDHLNRHMLTHQGKLFSCTVDGCDRRFSIKANMQRHIKEIHEDENAAKSNQQFVCKEGCNKSFKYLSKLKKHEESHVKLNYVEVVCCEPGCMKMFTNVECLRAHNQSCHQYIQCDICGEKHLKKNIKRHLQAHEEVPSSERMKCTFEGCEHSFSNKSNLTRHMKACHDNVKPFSCRFAGCDKAFTYKHVRDNHEQSSAHGDFEEIDAQLQSRPRGGRKRKALTVETLTRKRVTIRGEASATDDGVEYLRWLLSGGDGSSQNL >Et_3B_027994.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:30308717:30309007:-1 gene:Et_3B_027994 transcript:Et_3B_027994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYSESGYCAEEMNRPYRHGEDGEHYAVRKEYEEIDEVERARRGHYGHLGHGGGSHRHHVHGAHEGYGGHREHAHGHGYGGGRRYDNSCTAQFYY >Et_9B_066162.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:5200498:5201784:1 gene:Et_9B_066162 transcript:Et_9B_066162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPPFQARVAAAAAFLLLLSPAPTSQLGLGAAIGAWINGAPPPSTPPPASPAGGQASPEYASLQALKAAITEDPRGALSSWQGGNVCAYKGVYCSASPDGGGAAATTVVAGIDLNRADLRGTLPDAAVAGLAHLTFLHLNSNRLAGGVPDALRGLAYLTELDLSNNRFSGAFPSAALLIPSLVYLDLRFNAFSGELPPELFAKGNLDAVFLNDNQFEGQIPETLWSSPATVITLANNRFTGPLPATYEFAGSGGRVREVLFLNNNLTGCVPEALGFLPSIQVLDLSHNALTGHLPGTLSCLSGIEVLNIAHNQLTGDLPDLLCDLRRITNLSVAFNFFSGISQRCDRLAGRSVFDFVGNCVPGRGMQRPQPECDGFPGEGGLSCLRIPGARPVGCAAEAAVSVGVGVAVGGMPFGTAGGVVTVTVP >Et_6A_046945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2355618:2359938:1 gene:Et_6A_046945 transcript:Et_6A_046945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFAVPSPQSSVTVGSAESTAAQAPRAPNGVAAPVSCISSMIDRGDAESHRLFLARRTVLEMLRDRGYSVQEHELARTLPEFRAWWADKPDLERLSVTTTLASDHSNKLKVIFCPPEPVKKATIREIYNGIKEENLSRLILVLQGKIMSQARESLKDIFTFKVDTFQITELLVNNTKHVLKPKHVVLTEEEKTKLLKEYNVQDSQLPRMLETDAVARYYGLGKGTVVKVIYDSELTGNHLVCLNRNWAVLVREEAQELKRLAPGINSGNMERRGLEEPLDELPV >Et_8A_058502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9811915:9812590:1 gene:Et_8A_058502 transcript:Et_8A_058502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISQLSVPKFSYCFTPFPERKPSPLLFGKMANLGEYKSTGPIQTNPANEVHYYVPTAGISLGEKRLDVPAASLALDANGGGGTIVDSALTLAYFAEPVFAELKKAVAEAVKLPPAKSTAPEYQVCFVLPNGTPMGKMAVPPLRLHFDGGAEMVVPRDNYFHEPSPGVMCLAATVTPDDFFPNMISNGMQQNLHVLFDVKNRKMSPRSVTSSELSCLRT >Et_4B_037927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24933084:24935774:1 gene:Et_4B_037927 transcript:Et_4B_037927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFYSTPFQPYVYQSQQGSVTAFQISGGDVQVLQVMVKSQDKLTAKPGTMCYMSGNMQMDNNYLPENDGGAWQWIFGKSISSTVFFNPGSDDGYVGISAPFPGRILPLDLANFGGELLCHADAFLCSVNDVSVTSTVEQRQRNIEIGAEMILKQKLRGQGMAFLVGGGSVMQKILAPREVITVDAACIVAMTNSINFQLKSPNQLRRAVFGGDNQLTASLTGPGVVFIQSLPFHRLSQRIASRSVAAPSLRDNPKFFIQIVMFFFLAYVMIVSSIILTDV >Et_1B_010091.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:23550408:23550482:1 gene:Et_1B_010091 transcript:Et_1B_010091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTQGIIMEPWGLYCGMLMEIF >Et_9B_063902.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:20188415:20189005:1 gene:Et_9B_063902 transcript:Et_9B_063902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLRSGHRILLRSHHRLSSAFSTAAAEELFDVRKLPTDYDPSTFDPSSPSRPPPSDRVWRLVDEISSLTLAESAALSSILLRRLDIPSAPPIAILNSAAGLGGGGAAASAGPAGEKAAAAAEKTVFELRLEAFDAASKIKVIKEIRSFTDLGLKEAKELVEKAPAVIKGGVSKEDAEAIVERMKAVGAKVVMG >Et_5A_042539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19077394:19082304:-1 gene:Et_5A_042539 transcript:Et_5A_042539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSIVPSENGKKAFSVSNRRHHIGMTTPNKYAHGNPLFIICINLKNCPFLCYDGILDSYETSFPTRQIWLVYGRSSLLKSLGYACWSLGAILLAIPVTVLKLGFHVITPFTCKIVGDSVYDARKAILIGGSVPLAMVLSWNAVILGLASADGNAAFDDPIQLLLSVNPALLPSSSKALEFAGVNANCFLFGILPPVMAWIHRALKKKSSNHMSSSRFKNQALEIL >Et_2A_018476.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29141710:29144166:-1 gene:Et_2A_018476 transcript:Et_2A_018476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFLLLMAMSILCTAWCSASDTISANSPISGGQTIVSRDGNFELGFFRPPGDNNTSSASRNYYLGIWYKKGVSQCTPVWVANRAAPVTDAASSQLAVAADGNLVITNEAGKLVWSTNIVSGATSNGTAAIILDSGNLVLRRDNGEVLWQSMEHPTDTWLPGFRLGRNKLTGEVQTLAAWKNYGDPAPGVYSFGIDPDGSSQFFIYWNRTLRFWSSGEWTGSIFTGIPEMTSHYIYDFEFVSDANASYFTYSMQDPSVISRLVLDVSGQVRQLTWAPAPVEQWMLIWTEPHQLCDVYAVCGAFGVCDEKGEPFCSCLAGFRPASAGDWELEDRTQGCRRNTPLQCHSGTNISVDGKEDDDDFLLMPGVSLPRNPYFAQASGAEECRLICTRNCDCNAYSYDGTGCALWYGDLLNLQQLADGTAGGNGLYVRVSAMNVASKGRKKTIALVSTGAILALSATVSALVLMHCKRRQRRMRFMQAASESGNLVAFKYGDVRRATKNFSEKIGGGSFGSVYKGTLPGSSAAVAVKKLEGLLCLGEKQFRNEVRTIGTIHHVNLARLHGFSSSRGGERLLVYEYMPNGSLDKVLFGRAAPAAPLSWRARFQIALGAARGLLYLHEGCRDRIIHCDVKPENILLDEDLVPKVADFGMAKLVGREFSRVLTTVRGTIGYLAPEWISGVPITAKADVYSYGMVLMEIISGRRNSRCSATGEPGMMSEYFPLVAARKVSEGESLVGMLDERLNGDADVEELERVCRVACWCVQDDEAHRPTMEQVVQMLEGVVTVDVPPIPPSLQAFDDDAGTGAYDDFFSRWSAC >Et_7A_052438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8026113:8029556:-1 gene:Et_7A_052438 transcript:Et_7A_052438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGRIAFMAMAVALAAAAALAPAARAVSRDEFPPGFLFGAATSAYQIEGAYMEDGKGLCNWDVFTHTHPGGIMDGGNGDVADDHYHRYMGDVEILQSLGVNTYRFSISWARILPRGQLGGINSDGIVFYNRLINVLLQKGIQPFVTLNHFDMPHELETRFDGWLGAGTQEEFAYYADVCFRAFGDRVRFWTTFNEPNLATKFQYMVGLHPPSRCSPPFGNCTNGNSFREPYFAGHNIILSHAAAVKNYKENYQTKQGGSIGIVIAMKWYEPLTNSTADILAAQRAQSFELEWFLDPIFFSEYPRQMREILQSNLPTFTSEEKKLLRYKADFIGLNHYTAIYAKDCIYSPCNLQTYEGNALVLAVDQRDGVKIGRDTAFPGYYVVPEAMEPAIKYVNQRYQGTPVYITENGYSQWSNASREELMNDVKRVNYHKGYITHLAKAVRNGANVRGYFAWTLLDNFEWTFGFSVRYGLYHVDFETKERIPRLSARWYQEFLRGSNLTHEPQAWRADS >Et_7B_054856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:562553:570950:1 gene:Et_7B_054856 transcript:Et_7B_054856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRFASGVGPTRAAPRPVLQIGAAAGNGFRTGSMRRARHRGGGGGNLMVSSALRGCGSSGGGPFHLASKHGCPLGLRLRGRPLPRLRCQGNDSLVYVDGPLDGSKGSSEGNDDEVTSSGADDAKEVDVDDLRELLNKTKKELEVARLNSTMFEEKAQRISESAIALKDRADSAQRDVSTAVATVQEIISKEADAKEAVQKATMALSMAEARLQLAAEAMEANRGSVGPMEVSIEGVEEEALVSAQEEIKDCQAVLSKCEEELRRIQDKKRELQSEVDRLTELAEKALLDASKAEEDVANIMVLAEQAVALEMEAAQHVNDAERALQKAEKAIAAADTLVELPPVAEEQKGTEEDRGSEAFEYTGDGMYEISDRDEVSNIERLMVGDLALEGIEKVESSREMSDEVSGDKLLVESQKEAEPDTEKSSKQGKKEETERKEFTKGPLSAPKALLKRSSRFFSASFFSSNADGEFTPTSVFQGLITSVQKQAPKLVLGILLLGAGTLFLNRAQKSQLLQQQGIATSIEKVTSTTKPIVREIKHIPKRVQKLIELLPHQEVNEEEASLFDVLYLLLASVIFVPLFQKIPGGSPVLGYLAAGVLIGPYGLSIIRNVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTTAAVGMMAHRFAALAGPAAIVIGSGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEAMGMAAVKAIAAITAIIAGGRLLLRPIYKQIAENRNAEIFSANTLLVIFGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPSISVILSLLIIGKTLLVTFVGRLFGVSTIAAVRVGLMLAPGGEFAFVAFGEAVNQGLLSPQLSSLLFLVVGISMALTPYLAAGGQFLASKFEQHDVRSLLPVESETDDLQDHIIILGFGRVGQIIAQLLSERLIPFVALDTRSDRVAVGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRAVWALNKYFPNVKTFVRAHDVDHGVNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPTSEIQETINEFRNRHLSELTELCATRGSSLGYGFSRVMPMSKSKSLTTDDESETVDGALAI >Et_9B_066147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4816726:4818880:1 gene:Et_9B_066147 transcript:Et_9B_066147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPFDLASIIKSTVYVTRFLPLANDGSLSHPTKAIQKIYGKWTNPAVSTSNIPVRHQKSFFPRTETKPPRRTTVVQVMTNTPNPLTPIIRYPMNTV >Et_9B_064580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16688121:16695107:1 gene:Et_9B_064580 transcript:Et_9B_064580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFRTHLSVRGIDALNLSGKSTLSPPLGASAAPRRPPRKAKPREKNWFGDSARLSPLLPSEAEGSEASSFMYAAKMKGPKSGGRGMDEEEEEEKAKAIWQRYFPGEELLSVLDPNVLLVANLIESFSNAAKHLLSPVDDDGSGIVSLPIDFQQLQKSCKFIKTKLQESPKETLLCMGAASHLVMYPLDDKIKINIRLYNYTEKTIALKELKSAYIKKIVTVRGTVLKVSTVKPLVVKLKFRCMKCDSEITRQFCDGKFSPPMSCGILGCKSRTLSPDRSTAEIQELANADNHEEGRVPRTVECELTEDLVDCCIPGEIVTVTGIVKVLNNYMDVGGGKPKSRNQVQNLKSHAISDEQIRAGGNIDFQTFTERFHDFIVNYKQEHGADVFRQILQSFCPSIYGHELVKAGITLALFGGVQKNSMDQNKVPVRGDIHVIIVGDPGLGKSQLLQAAAAISPRGIYVCGNTTTKAGLTVAVVKDSMTNDYAFEAGAMVLADRGLCCIDEFDKMSAEHQALLEAMEQQSVSVAKAGLVASLSARTSVLAAANPVGGHYDRAKTVNENLKMNAAVLSRFDLIFILLDKPDELVDKRVSDHIIALHTNDGDHLKSNKRMRTVGRESIASRLRLHPEKDKDFAPLDGHFLCKYISYAREHVFPRMSKAAANILRDFYLRLRDRSSSADGTPITARQLESLVRLAEARARVDLREEVTADDAKDVVEIMKESLYDKYVDERGLVDFGRSGGMSQQKAAKKFLSALNKESELQRKDCFSRTEMYNLADKISLLVPDLDAIVESLNNAGYLLNKGSGMYQLVTASYSQCQPTRSR >Et_7B_054489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21886571:21894583:-1 gene:Et_7B_054489 transcript:Et_7B_054489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRLHRPEPQAHHVAAAAPADDWGDGSWTVDCSCGVTFDDGEEMVSCDECSVWVHTRCARYVRGVHTSFSCHNCRSKRAPSSADEAEVAELLAELPTHRPPPLFRRWAEVPLPARVHVHGLPGGGDSALFRGAPAFSAALWRCTGYVPKRFGFRYYEFPSWADDKDNGADALFALAREKRQETSDSVPIGDVEPKKEKHYVRSLSCRGKKIDGGQPPVPPLTEAKKREPDIREGRYQKREGRTVPDTAREHHCAKTNMASSDFRSVKTKKKMEDPLEHSGEKCPKEIPGMLNKAGQKDRSKLEASTVEQEVHSGFASAEVTMYKKQAEGKEGLKSGVVNAGPKEMHDMRGLQKQSNPTSSLQDVAGLPDVQNVQSESQMIKTEPGSVGNDAADATQSASDDRKCDKQGLGDTAGSSVVQRNSSKSTYASVCCEHPKSETENPMHTVAEHPNSLPGVSKVCTSFSGTISIPCELPQDKEPSSARNTDHLAKKELVSPTDSKHESAKFAEESSQQVTRCSEKVQPKSSIASAPKSSLESRIHTSTVKPRLPVSKEQSQKTTTTGGASTKSFHGEVPSLHSRNKAVACSSSQRKDKIHQRTVHVTHEGSVNSTSTELRASDSTASLSDEQLALLLHQQLNSSPRVPRVPRCHQAAGSQMLHPTGASVFSKRSSAHGGRDHSTVLKKRNREDTMKDGEDTRRIERASFVERRHRDSSSEHLPSVKDSCRSAEDMASEQRNRGVGSTVPNTGLTKEDSVDSSVSRSLPGLIDEIISKNGNITHEELCDAVHQHFKDLRKSNEEDRACPSLLHAINDCLRKKREWAHLVDQAPKTNSNKRRKGESDTLLADVLETENMKPVRDSEEGSADLHQDDPPRGKRKARKRRRLELRGRRVRDKRKRSSIDSSPEDAAATLSDSSSDRNDTPMDDVNQEDNSVAPQPGGYVEVKGADSSS >Et_3A_026362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7260031:7263210:-1 gene:Et_3A_026362 transcript:Et_3A_026362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASPSRHEESTASSRVGDGHAVPVATGSATSGSNQAQSKRAPAPHKFNEIIAQEKTATAAELQDRVSTGIFLAGKTKANALWQSTGCMRKQGATASCCSLERCPSPGAKTPGSGAGTPCKNQAYGWTVPVNLRLKFPNGTVQERNENLQEKPRGQWLQLKVGEVKAHQWQKGEMEISLFEYDGGKWKKGLLIKGIKIIPKELPEIAEGHSDLKSSHCNKRKFG >Et_4B_040034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8035219:8039858:1 gene:Et_4B_040034 transcript:Et_4B_040034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPANAPAAAAEQEDQSAPAPAEPAPAASVEAQPAAAAVPALYVGDLHEDVADHDLFEAFSKVGTVTSVRVCRDNATNRSLRYGYVNYYSGADATSALERLNHSLVLGKPIRVMWSNRDPDARRSGIGNVFVKNLSDSVDNSSLQELFAKFGDVISCKIIINEDGTSRGYGFVQFASQESADAAIENLNNSHFGDRQLVKIMRREDGSSQGFGFVSFQNPESAKKAKEAMNGLPLGSKNLYVARAQKKEERKQYLQCLHEEKRNEIITKSNGSNVYIKNIHDEVDDNALRARFDEFGNISSAKIMRDDKGVSRGFGFVCFSTPEEAKSAVSGMRGVMFYGKPLYVSLAQRKEDRQAILQQHFTQLARMVGSANPMIPTGYPHVYFAHPSAHPPQGPPRHGFMYPPMGFSHEWRPNMFPSTPNIQQIHSPMMPSSPRHYRSNRGRMAGNMMPLPHTVHTMNYVAHAQPAKDYISMPRQRFGHPKYFSNEVVANGLAIHHGDPVPPMNEDADIADKQRSILGNKLFPLVKRHQPDLAAKITGMLLEVQNSDVLALISSPEMLYAKIEECAQLLQTTGECVQLPQATKTKPEDQEPLHPGFILNSASVNAN >Et_1B_012403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31612196:31613199:-1 gene:Et_1B_012403 transcript:Et_1B_012403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSSGSFKKYADLKHQVALDDLESGVVGGEGPNLDRFFEDVEVVKEDLRGLESLYRRLQSAHEESKTAHDARAVKALRARMDADVEQVLRRAKAVKGKLEALDRANAASRKLPGSGPGSSTDRTRSSVVAGLGLKLKDLMDDFQGLRTRMAAEYKETVARRYYTVTGEKAAESTVEALISSGESETFLQKAIQEQGRGQVLDTISEIQERHDAVKEIERSLLDLHQVFLDMAALVEAQGHQLNDIESHVLHASSFVRRGAVELETAKEYQKSSRKWMCIAIVAGVVLVLVIVLPIIVNLHLLTVR >Et_6B_049166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18993465:18993928:1 gene:Et_6B_049166 transcript:Et_6B_049166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPCGSPGHGHGLVLSCTRLLPSYRPVQRPDVLQLLHEEQLQELLDVLHPGSILPELLLPSAGTCMMTMNAAVAAAGDR >Et_8B_059436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17964068:17977890:-1 gene:Et_8B_059436 transcript:Et_8B_059436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKCRDRDNDSLGIANMHGLRSLQLFGNALTNKGLETILVNCPRLDVQKIKTLRLSDDSTDDNDLPPWRNWADLPTDPLLAILGRLDHIDVLMSTDLVCRSWRPATREEPSLWRRITMRGDKEIATTLNLCAMAFEAVRRSAGQCEAFCGEYAGDDGLLLFLAKQAPSLKSLRLISCNGVTSEGLSDALKDLPLLEELELSLCHHLYGGHVYKIVANACPQLEHFRLSKLRFHYKNRMVDSEAQRIGNMRDLRSLHLFCIPLTNEELATNLDSCPKLESLDIRHCFNIKMDKVMRTKCAGIKMVKLPGDPTDDYDLQVYNPIFSRDNILYHWSRFSNQWINSEKAWRNWADLPTDALLEILRRLDHIDALMAADRVCRAWRRAARNESSLWRRITMRGDFELEARLNRFGMAAAAVRRSAGQCEAFCGEYAGDDRFLVFLGTQVPCLKSLRLISCSGVTTQGLKKAVKDFPLLEELELSLCYNVCGGHVYKIVASACPQLKRFRPSKNLFDYRDRIMDKEARGIANMHGLRSLQLFNITLTNEGLAIILDNCSKMEPLDIRHCFHIKIDENMLTKCSRIKKLRLPGDPTDDYDLQVYKPIRGRANFSSGWSRFWYLVSDSDRDSESDDSDDSDFLTHELPIKILDARPLRAIHRLTQTPPAGVHHATAPRPQPPPSPAVSQEEEAEDWAGGLPTDVLLAILHRLDHVDVLMSADRVCREWRRAARGEPSLWRRINMRWHEGFADIDRFAMAAGGAVHRSAAQCEAFCVEYFVDDSFLRYLSWQAPCLKSLRLICCDIISDGALIAALTAHPLLEELELSL >Et_7B_054565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:23336667:23339939:-1 gene:Et_7B_054565 transcript:Et_7B_054565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMRPRRLPPCLAAAILTATAVAVLLLSASSSSPGGALLAAHHQSPAPPARPEWGPGRPPSFAYWISGTRNDSRRALRLLRAVYHPRNRYLLHLDAAAGAAEREAMAEAVRGDPAWREFRNVDVVGEAYAVDRAGSSALAAALHGASVLLRVGKHWDWLVTLSAADYPLVTQDDLLYAFSSVPRDLNFIDHTSDLGWKEHERFEKLTVDPSLYMDRNTETFPGTGTRPMPDAFKIFTGSPWVILSRNFTEHCVHGFDNLPRKLLMYFANTAYSVEAYFQTLICNSSDFRNTTVNGDLRYFVWDDPPGLDPLVLDESHFQNMVNSSAAFARRFEEDAPVLKKIDDELLNGSSVQLVSGVWCPNLRKEQSGMDVESCLKWSDINTVRPRHYGEVLRRYISAISQTRGCS >Et_6A_046795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20690383:20690877:1 gene:Et_6A_046795 transcript:Et_6A_046795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPSSSPRRSAAEEQALPTRGAGGSGSRHQSHNVGDATDPVRLPPAELQPVGQHTAVLPVPPFLHRTTSSATASSLMPQSSTPAAAPRTPTPTGCTTEFEPITMYVLSVCANKVLKPVLALNQ >Et_4A_034545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4205250:4207278:1 gene:Et_4A_034545 transcript:Et_4A_034545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPAAAAPAAGADRASATAAAPGYAAYPTLSPEDVAPPPPPPYHAATAAPSAYGGNPYVSSPAGGSGQAPKNTMDSVKDVLGKMGKRFGEAARKTENITGNFWQHLKTGPSITDAAMGRVSQITKVIAEGGYEKIFHQTFDVAPGEKLKKPYACYLSTSAGPVMGVLYLSNVKLAFCSDNPLSYKVGDKNEWSYYKVVIPLAQLRSVNSSTSRTNAAEKYIQVVSVDNHEFWFMGFVYYDSAVKNLREALQEAQSSRAQ >Et_5A_041590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24699245:24702590:-1 gene:Et_5A_041590 transcript:Et_5A_041590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGMGEKAQMEEHSSAAAFVEGGVQDDCDDTCSICLEAFSDSDPSSVTNCKHEFHLQCILEWCQRSSQCPMCWQAIGMKDPMSQELLQDVEHERNVQENRARTTAVYRHPLLGDFEVQHVPVGADDAELEERIRQHLAAAAAVRRSHRRLRREGHRSRSAAHGHPQMLVFSATVATSGDSISSNPRQEGDNEHIISVLPIAPVAATEETAANTSVHDPTAANGLVGSNNSQGEAGPSDLQSFSDTLKSRLQSVSTRYKDSITKNTRGWKERWFTNNNISNIGSEVKREVSAGIAAVSRMMERLDARASTGLSSTPSNNIQSASDTNNQRYVPQESATDVNGGVLSLATCMSRSGSHAKGMLHLYGVHNKDRYSTAQRHNVCDRFVVYERQ >Et_3B_030606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5337193:5343562:1 gene:Et_3B_030606 transcript:Et_3B_030606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPSYRLAAAITVPATGEFLVVRQLAPPSPPGDEEEYGRYVDSDLYDLPSAALGPLAGECRAEVAIGGADSIADQFDLSRFDVSAALDQIFNQYGLPDGMRVEWKLLKYVEEAEFGPDAGVNTVFIIASLESKLDSLQESCKWISKDSALALLSGVKPCSDRIGPYAYIGLLNSEVPSEWTPPPALRSQEYPPGITLVPMKSKTLQPFRTTNLVVVRAASEAGGSARSDIFASGDALLIDPGCCSQVHEELADLVNSLPKKLLVLVTHHHHDHIEGLSVVQRCNTDAVLLTHENTMNRIGEGNWSSSCTLVTGGEKILIGDQELQVVFAPGHTDGHMGLVHVNTNALIVGDHCVGHGSATLDSRAGGNMKDYFETTYKFLDLSPHVLIPMHGRVNLWPKHMLCGYLRNRRSREASILQSIDHGARTLYEIVSKTYHDVDRKLWIPASFNVRLHVAHLSSQHKLPKDFSLENFKATCGVHFILRWAVAYVQSRSSPVILAASVFAGGLVLSKESMASNHRFSLGHFQLRTHYYNFPELL >Et_1B_011814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26275340:26276961:1 gene:Et_1B_011814 transcript:Et_1B_011814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPKVAEASSAEETKIEEASSAVESAEGAAEKGTAPEESKALAVAESKISPTYGRAYEHRRVDMARDVEKAAGTDGSHERDAFLKRVETEKRMSMIKAWEENEKAKAENRQALAARRLAGVTSWENSKVAQMEAELKKIHEQLEMKKAAQVEKLMNAAATVRREAVEKRAAAEARRGEEVVRAEEAAARYRARGQAPTRLFGAGLFGRG >Et_10A_002035.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:280656:282416:-1 gene:Et_10A_002035 transcript:Et_10A_002035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFAAQVLRGRWFMAYGSFLIMSAAGATYIFAIYSKDIKSTLGYTQEQLNTVGFFKDVGANIGIHAGLVAEVTPPWFVLAVGAAMNLGGYLMLYLSVAGKIHGHGRTPLWAVCLYIAVGANSQAFANTGALVTCVKNFPEGRGVMLGLLKGFVGLSGAIFTQLYLAFYGPGGRGGDTKPLILLVGWLPAAVSVAFLGTIRIIKAPRSELAARREYRAFCGFLYVSLALAAYLLVVIVLQKRFRFTSAEYGVSAAVVLAMLLLPLGIVLREEAALSKITNSLDAPATVKQAPPPEIEKPTTTRGSARSLLGSLRPPPRGEDYSILQALVSLDMLLLFTATVFGVGGALTAIDNMGQIGESLGYPPRSIATFVSLISIWNYLGRVTSGFASEALLTRYRIPRPLLVAGVLLLTVPGHLLIAFGVPGSLYAASVLVGFCFGSAYPMILAVISELFGLKYYSTLYNVGNVACPVGSYILNVRVAGRMYDLEAARQGAVAAVAGKSGVTCVGTRCYRESFLVVAAVTVGAALVTLVLAWRTRAFYAGDIYARFKDVHAPGAGDGNDRKVLAGEEVAVAAGKEQAAVGH >Et_1A_008850.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:1673657:1674610:-1 gene:Et_1A_008850 transcript:Et_1A_008850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSSDDRGDRAAKRQTVQRKHHLYLLLDDWERGYSVRKLDVDAFDTDASLSPTPFTEPPIARIEAPHVRSWNFVSHGSKIFAMKAKESSPAIPAFDADTLAVTICPWPSCRADYVIPLFASVGGKLFLFLEDLTEFLGDPPPYDDDSKAPWSWTAIKAPLPFYNAQVACYAVHPDGRTLFVSAGGGTFSFDADRLAWKRRGDCCCRSTARPASTPSWTRGSASAATTETTPGAYVPATCCRPSMPSSRTRRRPGSSARASCSARRPNCKLVYMGDGKFCLVESMFHKDERHRSRDPTTTTLMMFRARAGAGAWSA >Et_7A_052753.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15397512:15397943:-1 gene:Et_7A_052753 transcript:Et_7A_052753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIIGTHLGNGSKMAKSYAVAVLVLTFIFSASFSWSWGALYWTVPGEIYPVEVRSAGQGAAVALNLGLNFVQAQWFLAMLCAFKYGAFLFYASWLVVMTAFAVALVPETKGVPLEAMGGVFARHWYWGRFVKDQQNYGDEST >Et_3A_024573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22143535:22145928:-1 gene:Et_3A_024573 transcript:Et_3A_024573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIDEGLKRGSRKTIRSNNGVGCVVSHYFKRTAESNRSQLHHLPPDVLRNILLRLPFRDSCRMGLLSQKWQGLWRQCCSKVMFTKATMFHSAETNMRRTRSNFARRVNNFLTQLYSPAFLNKFAVKFGMHRKHTCHVDRWVQFCAAANARHIKLDFTPGMNGLAKSSPDDKYIFPIHVFSGPDSSSVHVKSLYLGNVSLHTATAGFLGFANLKKLTLDNVSVMGDLQCLLLPECAVLEWLSVTRCTLPALIVRQPLNHLRYLHCHCCSLDTIELQSPNLATFDLSSGPISFALGGSPTMVEANIDLLYNSTPYGDNLDYIYTELPAALPYVQKLSITSSLSIYDKLQAFAKTSARFINLRYLNLSLPLYGDKSSIDGIHVDCCDASIRWALRGNRPPHQHDNLKRVLMSGACCWEGTIELAYYILRSASRLQCMIMDPMIRIEPCPTDEWIIAGINKGREMAKKLLEREEFKDILTIL >Et_5A_040517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:466461:467117:-1 gene:Et_5A_040517 transcript:Et_5A_040517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLASRELIDLCAFLDAYHLDPSTPDVILTDPLPDSVKQLWECNLIGLVLASRKLKSTVLTAILWNIWKCRNVKVFRNEKEVNRSVLGRCSGDLRLWAHRAVNNSCKAVLRTWVAHFVFLANL >Et_3A_023165.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33802817:33803281:1 gene:Et_3A_023165 transcript:Et_3A_023165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNSSKVSTPSPLRSNLQIMARQSSMPCSDPSRPSIRFRLVGVMHPCPSISYMPNASRRQRRRSSSATSAFTSRTNSSASKSSDATSASASAAETSSPSVAFMHSRSSAGEILPSASLSNAANRDTNSLLGNDNDATILVPRYPAGGDPSLLA >Et_1B_011283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:201980:203732:1 gene:Et_1B_011283 transcript:Et_1B_011283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPAKTLLPSRFPAPPLPARRRHPSLQFLAAATAGGENSSTASGTTARGRRLVKVREERRRREYDRENTYPGWAKVLENACRDDEELRAILGDSIGNPELMKQRVIQAWYVMGRLGAYNSSNLQLANSMMEYDPSYDSEEASSVMPSSFHDISDVEFQDNWARVWVDLGTSDYLGLDVLLNCLTQLSLEHLGIKQVIFGGKKMGDWEEGMTSSDYGYKHFKI >Et_2B_021000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25601061:25603515:1 gene:Et_2B_021000 transcript:Et_2B_021000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGSGHKAEGDDHVLVLQKQEWIKTQDMLKRKLVLEDDFNWSLPSPGSCSDDAHVRGKLKYIAGVDISFLKEDPSMACAAVVVLDSITLEVVHESSMLFNCKCHIFLLLMVDGNGLLHPRGFGLACHIGVLADIPTIGIGKNLHHVDGLNQSEVRRQLEAEENCNKELISLTGQSGTTWGVADIRSKVFLQKEVPKTAVITRLG >Et_9A_061200.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21774548:21775732:1 gene:Et_9A_061200 transcript:Et_9A_061200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRHGRVGVRVDEEAVELQDGVHGDRLARDKVEHPGAEPVELVGADAAEGEGHVQGLRELGEAEAHLAELVAGARRRRADAGDADRRRAAAALGEGVHELGPLGALHEGEVDDVDAVAALDRVEDGHVRRGVGELEVRQGLVEVAVELGRRRGGQRQRRRRRVRVRREEALRQRGGEVVRDAADARGGGDRREDHVRLGQVAVRGGVVAGLDGAGAGDQEVHQADGGVLLLLAPPRRGGGGGLRRGGGDGLRHHVRRDGRVQARVDAVRGAVGRRGRGRERDGAGELGEGGGVHGRPAEGEVGHEASRERGGERARRCEREWRERPRVAAGSCWCGVLVGRGVDGAVGVTSGWRVEGGDVEREPVDVLSWRAGYTPSRVRVGAFLLGKDGYETW >Et_4A_031774.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:13351787:13352251:1 gene:Et_4A_031774 transcript:Et_4A_031774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRQRRRRVEAADVDDEHVDVAAAEARPGEQLGDGAVEEGVHLVDRVLVRGAVVAAVEDGAQRVGVLAEAGAEEDAEEEAARGVAQALAAARDRAHRLRGQLLVVRRLVADVVQEVAPRAPATGGDRHGQARHDDDEDENQQSRTELHHVTCKLR >Et_8B_060092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5954034:5960163:-1 gene:Et_8B_060092 transcript:Et_8B_060092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPSLLVGPRPPPCCRSRRRSVGLHRYKQSAHPCPLPRTGRRLLAVAEPLLLRPPGTSRTTGATGSFSCRCSYDSESGSPTPPPDKEKSLGDDWAVLRRWDVPWEWPTISLTMVACAVSFLLTGMVEKSLLEQVGFQSVDATLDEKAEVLFLGQFSTTVVVLGVIFGITSTFRPFSDDIFRYDFREPFNLQKGWLLWAGIGIFVAIVAIAIAGAAMTFLNGETPQRETDSLVLILPLIGSSSISTICLLGITGVLAPILEETVFRGFLMVSLNMWFSTPYSVLITAAMFAFAHLTPGEFPQLFLLGVVLGFSYAQTRNLLTPITIHAVWNSGVILLLTFLQVRSGVYYIVVDRSVLRHANEDAAPLG >Et_4B_037316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18252112:18257661:1 gene:Et_4B_037316 transcript:Et_4B_037316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIIRFLSARAGRVLAAAYIKARVLSSPSQFNRPLCSLLRREHHHHLLLLVKHRRGRGAKEKKMALLLAVVGVVLGLVLASSLLLRWNELRYSRRRGLPPGTMGWPLFGETTEFLKQGPSFMKARRLRYGSLFRTHILGCPTVVCMDPELNRRMLASEGAGFVPGYPQSMLDILGPNNIAAVHGPLHRAMRGAMLALVRPAMIRTNLLAKIDDFMRSHLHGWAGTRVDIQEKTKEMALLSALRQIAGISAGPLSDALKTELYTLVLGTFSLPINIPGTNYNKGLQARKKLVAMLQEMIADRRSSGCTKDDILDALLSGNEGTRAKLNDEQIIDLLITLIYSGYETVSTTSMMAVKYLSDNPKALEQIRKEHLDIRKGKAPEDALDWNDYKSMTFTRAVIYETLRLATVVNGLLRKTTQDVEMNGYVIPKGWRIYVYTREINYDPFLYPEPMVFNPWRWLENNLESHPHFMLFGGGARMCPGKEVGTVEISTFLHYFLTQYRWEEEGTNTISKFPRVAAPHGLHIRIGT >Et_9A_062129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:215033:217940:1 gene:Et_9A_062129 transcript:Et_9A_062129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FLILAAAAATTSTAQRPSRGGTTGFQPLLDSIPPPPPPPSRPPHRRHRPPASPRTPPPPLSSPPPPPPTPVAVPPAQAPPPTPPATPTTSPPTPKFPSSSDEPYPFTNYPFFPAAASPPPPPAQQQTSSGLPTFPANISNRGGASGSHHFPVLQALLLSLLSLCLLLLSALLSIHLVRRRSSSRASSSNEEEEEEEEEEEEEDGDDDEEEGRSLKPPPMPTSSTNPSTEFLYLGTLAATPPGPSSHLRPGSPELRPLPPLPRVGPPSGEFASRTSASDPSTVPPAAAADASSSSLSPSSPSASSPTLGSSPGGDSDDINIRKTRPLHADKLKPASLHMKDEVIQQYLYNPAAVPMPRQVCLLGAPRCHGVGMVLGALGVSKDQLRDALLEGNAHGLGVDALRMLTQIVLNNEEELRLKYFKDDSFSKLCPVEDFLKAILDVPFAFKRVDAMLYIASFYLEVNQLRMSYATLEAACQEMRSSRLFHKVLEAVLNFGNFMSIKAGSPTSQALEPNTLLKIVDVKGADGKAALLQFVVQEILKPEGYNTAHPGSVTCKMNTSTVQGDVECRKHGLQVVSKLAVELINTKKASSIDINLLSRSVSDLGVRLGKVHDVLRLNSMVTSVESARRFHNSMSTFLRQAEEEILKIQSHESTCLSSVKEMAEYFHGDSANDEAHMFRIFASVREFLAMLDRICKEAAVMDSHCAGANWMATPMGMTTP >Et_6A_048093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6134427:6136710:-1 gene:Et_6A_048093 transcript:Et_6A_048093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRPEFSFPGSPARGTNDRLLPPRPPVNATAPRPREEEEVRWLQASLQASPDYASSGGGGSGTPSPQLWSSSHHDAQHRLYPASAGSSPSRAQTIAGYRREMLELVRGLPESAYELSLRDIVEHHHRPSPSPSSSSSAPLPTPPPLPPFSNAARGHEPATTGGGEAEQGAKQSKKQGRAMRRQRSRSLERSVSLDTGLLIKFFMPLSLGRGGKKKEEKWVLCLLQGKQKQKRS >Et_4B_039486.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:18720921:18722447:1 gene:Et_4B_039486 transcript:Et_4B_039486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSSTRRPASGDWSSSSGTLSPTCTPTGSPSHSDSGRGFHFPSIFATLELDVEERAKKFRHMKLLVEEFSSGNVLERWLSELDVDWLLCLPDGDASARRMFISRQLQHLVRNWTTALRQVMESVFAYFDGLAHEDDSFCFSTTLQPDVAEFAVFVEATLLKMLPFVDAVVALKIIHPGSGEHASDGVEAVEKLQALIDVRDALSTASEELQFCPHPSLGHVETTRIIDKMSDLLSLNLGKLDTVIWDTTDEIRTGIMASAQAEDGPRESPDIHKVTKFVISYIKLLDRKHVLMSRIAYEAAQRRNYVSDIQSIGPLNSLIMEAFSGLEDKLVKLSQSLPYDSLGFLFMINNSYFVWQQLHPMFGMEFPMAILNRKIDDYIHSYLQASWGPVVSCLYNDPRPLRLGKYFFSNNWESSWSIIPKPSRPRPSSGRHSPLLLFESEFQKIYNTQRLWKVPDPELRTRLRNAINQKITSGFQKFLDESSSVSTPRVTPQKLEEMLHDLFEG >Et_1A_005681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12764245:12765224:1 gene:Et_1A_005681 transcript:Et_1A_005681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPQPDDLEAGVTAAEARSVAKRAARPRWMLAVACESPRVQWAFVRKVYGVIALQFLFAISAVAFPLYVPAIPRFFNTAPHAAAYSVLAAIVVAPFAAAWPLILCKGKQPANLVLLCLVTVLVSLSISVLLSTYIAYVDTIVLQSVILTEAALISLILFTFWSAMRNHEAGFYILPLLVTTFIILAVHFTIQLCFPMYYPILISHLMISQGVHPLGNIYLTSWGCLGTVLFYSFIMHQPKQITEHKHDEYVLAAISLYADLIKLRCLR >Et_2B_019267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20759132:20760502:-1 gene:Et_2B_019267 transcript:Et_2B_019267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPHTPRHHSGSPLLTKRILFFALYALVPLALIHYLLSLPSPPPPPLPSNITAAPKPLQQQAAAARKVKKPAPPRCDYSDGEWVRSEAAAPLYNGTSCGGTIKAGQNCEAHGRPDAGYLRWRWRPRADRCALPPFDPAEFLRLVRGRHVAFVGDSLARNQCESLVCLLSSAFPSRLVHGAGGGDFRRWAFPSHDATVSVFWSPFLVNGTEKAKGGSSGLNHNRLFLDQPDERWAAELPGIDVVVLSAGHWFLHPAMYYDRGAVVGCHQCPEPNRTETGFFGVFRLAVRNALREVVVRAARDQGPSRRPKLAVVTTFSPAHFEGDWDSPRACARTEPYRPGEREMEYMDREMSSAEAEEVAAARADARARGADVDVEALEVTRLAGMRADGHPGPYMHPFPFAGGERDRVPNDCVHWCLPGPIDTWNEILLQVVKRWADAAASSPP >Et_10B_003679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4798614:4799276:-1 gene:Et_10B_003679 transcript:Et_10B_003679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTSSLPLPVQNGERAAAARQGKLLLLRAAALALSAAGAALAATDGAALRRAPFRFLLAAEAIVAVYSAFEAGAAAWEVARGATLLPEALQLWFDFGHDQGFGYMALAASAAAARDAAECEGACLQADVAVGLGFAGFAFLAVAALVTGFRLACFLVTGSRFPPPASSPSSSY >Et_1B_013422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8244477:8249447:-1 gene:Et_1B_013422 transcript:Et_1B_013422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGGGLLLPVSADGAKGNGGGGGGAGDAALFKGSAMTRLGAAAALSYMACSVLLVMFNKAALSSYNFPCANVITLLQMVCSTCLLYVLRRLKIISFMNNESSVHSDSLFFVPFKTLLRTTPLSLAYLLYMLASMESVRGVNVPMYTTLRRTTVVFTMTMEYFLAKQKHTPPIIGSVALIVVGAFIAGARDLSFDARGYAIVFVANITTAVYLATINRIGKSSGLNSFGLMWCNGRAAIFMHTSISVELHYLLEHNPEFCTHTIDDFFTVGIGWVLFGGLPFDLLNVIGQGLGFLGSGLYAYCKIRGK >Et_3A_024071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1700868:1702574:-1 gene:Et_3A_024071 transcript:Et_3A_024071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRIRVSLAAFTTPAFERNICTAGGGRLPLTSQPPGGREGRDADRTHRDPSRQPTSASDKTASSSSPIHSHPSIHPVHGGRPRRRTLTELVDEMKVLCSACEAAEARVLCCADEAALCARCDRDVHAANRLAGKHHRLPLLPPAAVTAPSCDICQECHAYFFCVEDRALLCRSCDVAVHKANAFVSAHRRFLLTGVQVGLQPDAQQPEPTPTPPPAAAAPLQSPPPPPPPAKKGSSPAPLDRDDDIDWAAAAPDGASLPDWSVVDEQFSAPVQRPPADPVLTAKPTSKRSLAAAFGAGHGGGMQGWPLDEFFGFSELNAGLGFTENGTSKADSGKFGSTGGSPNGWSSSESAQDFFGQVPEVHHQWSVPELPSPPTASGLHWQGGPRHDSSAATTDAAAMSVPDLSSPENPFRCFAAATGHPQPAAPAKRRRC >Et_1B_012516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32738896:32741369:1 gene:Et_1B_012516 transcript:Et_1B_012516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VYHSSFVDDDGITKACGCPLLPLKTHIKGPAPASDPDKADIVDEAITFFRANVFFKNFHVKSPADKLLIYLTFYINIALKRLEGCRTLAVGTKAIINLGLEKVPVPGEPGFPFPGLFTLPQSQEEAEMLRNYLKQIREETSGRLLSCAYRANGFPNKWWLAFAKRKFMNIHNLGKLIEALGRQEPVVPALLESLPNTGRLPDYKHGCRVENKLEPCHAHLIARPRTAQSCTPEVNDEIRIFCDQRSEDVNLCISSMIDQHWLGGDDDCCGGGSSCFLVLVLCMLCCCSASTEPPPRKSSEASSSPTGPSSGNSSAPQESPMPRVISSAHAPPPLLPKMKSE >Et_1B_010879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1489070:1490447:-1 gene:Et_1B_010879 transcript:Et_1B_010879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAGKKKKKKLPLLALILSCLVFVLLLAQPCAAARPVPETTGTIDGRRSQHLNLKGGPLLRGPESVAFDGDGAGPYSGVSDGRVLKWNGNLRGWSTYAYGPGYNLKACTASKRRPAEVTESKCGRPLGLRFHLKSGNLYIADAYKGLMRVGPGGGEAKILVNKANGVPLRFTNGVDVDQVTGEVFFTDSSTRYQRSQHERVTATGDSTGRLMKYDPQTNTVTVLQSGITYPNGLSLSSDRTHLVVALTGPCKLMRHWIKGPNAGKSEMLADLPGYPDNVRTDGKGGYWVALHREKNELPFGPNSHMLAVRINADGEIVQVMRGPKSVRPTEVMERKDGKLYMGSVELPYVEVSKPNKGRIDRFLG >Et_6B_049000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16563518:16564084:1 gene:Et_6B_049000 transcript:Et_6B_049000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSYNQHSSMYTFSVGTSKGMFHFIKRKALEHYGYVCNDSFKVRCDITVFKEINNDDVTTTMEKFVVVPPSDMDRHLGHLLLSREGADITLEVDRETFWVHRSILAARSPVFKEQLFGPMKENSEAFLHFVYTDSLPKIDECEAMAMAQHLLATADRYRVQRLKLICEDKLCN >Et_2B_019290.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:21832978:21833292:1 gene:Et_2B_019290 transcript:Et_2B_019290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIYLARSQLREPGGATRGNQHILPNKSTDNATAGNFSSDERKVRVVFCSMIEFCTHHCFCCDFGKKCYETRKLCRANCELCDPHCRPPSVTENTLVNTTLHK >Et_1B_010615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12034166:12038007:-1 gene:Et_1B_010615 transcript:Et_1B_010615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRERLVVVAVALAVLLAAARPAGAAWCIARSGAPEKALQAALDYACGPAGGADCAPIQASGLCYLPNTLAAHASYAFNSVFQRSRAAPGACDFAGTAITTLTDPSYGSCTYPSSPSTAGQSRGSPGSSTSLSPPGFGNSGGTSPPADISDDSSVEVPAAASFPSLILSCFMCLLLQWWEM >Et_3B_028425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15067908:15071817:-1 gene:Et_3B_028425 transcript:Et_3B_028425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPLPNITRADGEPNNVTNFVEDKTPKIGMKFCTEDEAYAFYNACARDKGFSIRKGSSHNLRKTTIKKDRTLCFMFLLLVFVRKIKEHNHLTISQPYSRTCEQAHHLRSQRKITEAQLASIEDANAVGISNKATFDLIAKEAGGMENLAAKSDETYSMAMIDPIKLAEGVEKTIKIRPHPSMVHGLEALHVVKVYLKQMHQYLHLLVHGSDSDHKVKLQKEMQQRYRLLVPGLESLNKATIHKKEMQLSHSTKITTIFPIE >Et_6B_049110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18084261:18089453:1 gene:Et_6B_049110 transcript:Et_6B_049110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIEGLLAQNFGVRPQGKAAPMAGSAASRPAGSTAAAAWTSTRSTPVSSAAPSYDDLFSAPAPAPASASSFDSLFDSYKPAASTTSAKPAPSPAPVFDDDIFDAVPGLRSSKSSTSFSSARYDGGDDLFGAAPAAAPAFDDVFGGNRSASPPAYDDDLLGGFGSRPQAGDKKKASVAVDDNDDDLLGGFGRSTAEEKRKTPAAAVKDEMGSGGFDDLIPGFAGSSPPRSRKANGDNKKKPEVHTSKPTASMADDPFVVLPARSPDPLEDLGKSANSEGKNVNSTDADDSLFEDSSASDEISGSDPLFTSDINGHAKNSNPSSRARDSSPVHHSMNGNSARKSSMEDLGDVMPKSQSARYSDSHGDDMVQSPRSTESEDDIWLTVSEIPLFTQPTSAPPPSRSPPALKQKPPVASANGKKSEHARRSSQNYNYYSDVPKQAEVPSVDDLESFARGKPVYDNNVFDEDFEEEKDRQERLEQEREMRLKEEKEREQRRLEKERELEQQRERERERQAVERATKEARERAAAEARAKAEREARQRAQRAAVQRAQQEARERAAVEAKERAARAAAEAKERAAAEAKDRERAAARERAAAERAAAERAQQEARKRAERAAVERAAAEARERQAAAAAAAAREKQSTPDDLESFFGASARANSAPKQSASTPTVDSLFGSGAQSRGTTNGSHRAASSSASTKKAPSAASFGDDLSDLFGAPASSDVFQEVEGESEERRRARLERHQRTRERAAKALAEKNERDMQVQREQAERDRIGDTLDFEIKRWSAGKEGNLRALLSTLQYILWPECGWQAVSLTDLITGAAVKKQYRKATLCIHPDKVQQKGATLQQKYIAEKVFDILKEAWNKFNSEELF >Et_2B_022138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9593864:9594782:-1 gene:Et_2B_022138 transcript:Et_2B_022138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LPKSVPFKVKAFALANVFDVNKDVGLPKCLVDQTRDCNKAAYARDLAHPEEKEERKIIIICLLRTLWDEGNKIREKERRRTMLAHSGYVYSSEILMVFEKEKTLRNKITSGGQSGSRSSEDQL >Et_5B_044195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21369500:21371794:1 gene:Et_5B_044195 transcript:Et_5B_044195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEELAQIDISKEEKDKLVAEVMRYVLFKTHHTSGCPIKREELTGIITKNYRQRALPALVINEARERLAATFGYEMRELQRTRAPSTRSGRQSQAPPIVEAKTYVLVSKLDPEVYSKHVEDKKSAHLSGFAFVVISIVHLSGGKISEEDLWHQLKRLGLNENDENHPAFGNNKQALEHLVQQRYLLKEKITGPEGHAMMYELAERSLDESISGKLKDYISQEELCFSIRQLRIILDDKETPNMKLAGVDIRFDFEPVPHA >Et_5A_041367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21912534:21917350:1 gene:Et_5A_041367 transcript:Et_5A_041367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDSKPKLVPLRIDGHDYMVTQRYGEERLAREQRREEESSLREEEMKRRSAIASGASPSRGTGRSMRKPRNEKSATAPRVRQSAMYSDRPRRSRGNRERAQLPTVSPVENDDNNVSSNNIGPTSKFETLMINDSVPALDTTYRSQKVREIEDYFKEHTFDSMEAVCEFFNSAGTSIRASAGVIEDEVMSEQSSPERSGAQPGLASEYARSVPAPGQYSKEHIVQNGQKWMREEVMVAFKKYIERQDDLKGCDYKLDDLQSQCFSVENYDHIFHHFNFTVMMKRAGSVDWTSVPYFAEVKEIFRRKIYFCSPLEPDENGHCYACKNQGIDELKHPVIGAYDRGSPDTVFPYMYDDDSSDEFVPVKLDDEADEEYIYEDELW >Et_1A_008998.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:24578973:24579275:1 gene:Et_1A_008998 transcript:Et_1A_008998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVFEAGATTQLEQFSIEIRAHEARSALADNADLGIHYLTSLRDLNVWINCRGARMEEVEVLEAAITDATNKLPNQPTAHFYRENQDDIVTEEGTRLYT >Et_7A_052043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:451854:452954:-1 gene:Et_7A_052043 transcript:Et_7A_052043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPHISYTMTSWVKRPNQEEHISSLHGFYVAGAGLKCYDAPARSFTIPGKTNRQSDIEKKYINTCNGILLLASTEGSDEPGRCILWNPAVADSRMVVTVQIPPPSQRMEYILLLRRNGRYANDAGRNHLAIYHLDDPEEEPRVFEGEYGVIRQEALYMDGRIYVIDQHHLAILSVDVDSEIVTSIHLPKHLDVRWQNVPSRPMELSGRLCIALRGRQESSIGLLSCDNQWEKVCLLPYLPEHVQGIWRVDEMLLVYYEVDDFLLTGCVVVVVYNSKTGEALDTSIVEAPHHMPPECRAFDLCWGYKPTLLSPSSIVAKPEEAWRQHSILGTPYKEGLSANHPERYEASA >Et_4A_035808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6453805:6455280:-1 gene:Et_4A_035808 transcript:Et_4A_035808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELNNITGNFGQRALIGEGSYGQIYRASLTSGEPVAIKKLDPSVSKDSMADFSAQLSMVSRLKNEYFLQLMGYYLDDSHRVLIYQFASHGSLHDTLHGKKGVKDATPGPALSWSERVKIAYGAARGLEYLHEKVQPSIVHRDVRSSNVLLFDGYESKLADFNLTSQAPDGAARLHSTRVLGTFGYHAPEYAMTGVLTQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLGSDYPPKAVAKMAAVAALCVQYESDFRPNMTIVVKALQPLLKIAAGGPST >Et_6A_047545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7166453:7169077:1 gene:Et_6A_047545 transcript:Et_6A_047545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMYSWTMLMNGAGVWEVGDTRSTTYLTGTPRSSASPSGKAKASGRPLHREFAYHPKPANLIDRVSNVVGLITGTATTDSPNITVAQLGDRLVVCLTETTKGTILVDPDTLATVGKFGYTDKVGGMLQSESEFLTLLPDLFRPGYTVVRMEAGGNERRVVGRVDCRGGPTPGWLHSLAVMEKYVVVPEMPLRYSTSIESEATFYAFDWLPESGSYMHVASVEVPPFMVFHFINAYEEKGEYGQDTALIVDCCEYYADPTMLHTLLLRNLRSSTGKDALPDSRVGRFRIPLDGSPFGELKTALDPEEHGRGVDMCSVNPSRLGNKYRYVYACGARRPCSSLNTQGAVPSEPFFVARPGATNEDDAMFMGMLAKFPSALAAGYVLVLDATTFQEIARVRLPYGLPYGFHGCWIPEKI >Et_6A_047904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23524872:23525791:-1 gene:Et_6A_047904 transcript:Et_6A_047904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSVLGTSPAAPSLRLPSFSRASSHACVLRVTSSKRRVSSRAATSLSVRCEQSAKQGGGGAGVWLGRAAMIGFASAIAVEVSTGKGFLENFGVATPAPTLALVVSGLVVGLAVFFLLQSGSQD >Et_8B_058882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10313655:10315210:-1 gene:Et_8B_058882 transcript:Et_8B_058882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALASLLSKSAQAQLKVGYYRETCYEAEDIVRQEVASVLSKTPELAGSLLRLHFHDCFVRGCDGSILLDATYDMMNVEKDAKTSLTLRGFEVIDNIKEKLEDACPGIVSCADILAIVARDAVNLSGGPSWHVQTGRLDGSISDASETKDLPPPNSDFAQLRAAFAEKNLTTKDLVVLSGAHTIGFSHCNSFSDRLHTGGNHGSSNLDLNQAYMSILQSKCSANPNATVQMAPKSSPRFDTGYYSYVKNRRGLFLSDAVLLADDFTRSYVMKHATGLFDEEFFTDFGEAMVNMGSIESATTQGEVRRKCSRVNHYY >Et_2A_016342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23548582:23562335:-1 gene:Et_2A_016342 transcript:Et_2A_016342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARKQKGDGATVLHQKLCLSIDMENQLIYGYTEMKVLLGESDTFALHADNMRIRSVLVDGETVEFEYSPHWKHDADRPNWSSVSCSKTAADAACSTYISSLNREAVPNLIVSFERSVKSITGQQFEENSEKHEENSERIEEHVGKPVPTADGQLVNGCNGSAVEEDEKEKEKEKEEEEQNGNGNEKDENGIENENEKNIKLVHIDYILEKAETGIHFIGNVLHSSSQIRRAHCWFPCIDSASQRCPFDLEFTVNTNLVAVSNGDLLYQVLSKEDPSRKTYVYKLNTPVSAQWISLVVGPLEVLPDKNDINVSHICLSPALSKLENTITFFQDAYSCYEDYLATPFPFGLYKQIFLPSEMTVQQTSLGASTCIFSSDILHDEKVIDQILGTRIRLANALAKQWFGIYTSAEEFNDEWLLDGLASFLTDLFIKRYLGNNEARYRRFKANCTVCESDVSGATALSSPAASSDLYGTQTIGSYGKIRSLKAVAVLQMLEKQMGPDSFRKLIVAPNRASRTLSTKEFRHLANKVGNLERPFLKEFFPRWVESCGCPVMRLGISYSKKRNMIELAVSRGCTANSAPDSETHTNGDTREGDAGWPGMMSVRVHETDGAYDHPVLPMAGEALQMVEIQCHSKLAPKRVWKSKKNTKNDGSDDIIDSSTQENRSSIESPLLWIRVDPEMEYLAEIHFHQPVQMWINQLEKDKDVISQSQAIAVLEKLPQLSVSVINALNNFLNDTKAFWRVRVEAAYALAVTSSEATDLTGFLHLLKFYKNRRFDADIGMPRPNDFHDIPEYFVLEAIPHAVALVRSSDKNSPREAIEFILQLLKYNDNNGNIYSDVYWLSAMVQAIGELEFGQQGLCFLSSLLKRIDRLLQFDNFMPGYNGVLTVSCIRTLARIAGRVSSSVGLDRISELIAPFRNMDKPWKVRIEASRVLIDLELQHKGLDAALWLFLKYVDEEKSLRGATKLAVHVLRICQATVDPHLSDQITLSALVGLLHLLAGIKAYNNIFLRHHVFCILQVAAGRSPTLYGVPKIATVPPHTKADSSIPQPSRPQEPSTSTPSVREVLPTSGPTKDADNISNCSERRNVVKIRVKRTASSSKASDADHRDHSHGGRNENEVGPCRSMSVDAPMVGAANEPLNVSSHNIEEQNSCHDRESRMSASASNAKLMDRNEISKELQCTADSRLDALPKDQLSPVINLLEVAGKPGSQLEGVSTSCGGNQAPESMNGLETKERKKRDKKDKKRNRDKKDDPEYLEKKRLKKEKKRMEKESARKQRDGEGVPSNEKNTPSVSREVSLTRPPAPVRSTKPAPVRSAEPQISSKETAVDTARTAPTPKIRIRVKPLQRKPE >Et_2B_021717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4818313:4823141:1 gene:Et_2B_021717 transcript:Et_2B_021717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERREIRRIENAAARQVTFSKRRRGLFKKAEELAVLCDADVALIVFSATGKLSHMNEIIDKYNTQSKNLGKSHQQPSLDLNLEHSKYAGLNDQIAEASLRLRQMRGEELQALTAEELHQMERKLEAGLHRVLSTKDQLFMQQISELQQKGIQLADENSRMKNQMPQVPTAVTPVPATDTENVLAEDGQSSESVMTALHSGSSHDNDDGSDTSLKLA >Et_2A_017958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8359392:8364987:1 gene:Et_2A_017958 transcript:Et_2A_017958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSSADGAAQTIVGMIGQVVGMIRGVGDKIVHLRDELATMNALLRMLRMGAQSIDHLVRERMKQVRELAYDSEDFIDDFRLRVNRSVAPPSDGATALSRAKHTWSASAQALRPVNDPDQFVGIADQVADVGERVNLVRFKERDMKLKAFSIVGFGGLGKTTLAVEVCRRLEGQFERQANVSVSHAFDGSKDLKGLLQRILLQLVKPRTSNEKGIKEAESVSGIDVDLDQLADMLKERLAGKRYLVVIDDVWTIAAWNAIRCRLPENGCGSRIIVTTRIETVAKACSPASVWEETIYHIKPLKPEDSEKLFVNRVFGPNKDAHCPEQLAPSMKTILDKCGGLPLAIVNIASLLASYNSPNSRDMWERVCKSIGSQMESNPTLEGMRQIITLSYNHLPHHLKGCMMYLSIFPEDSVIDKTRLLYRWITEGLIAEKRGLTLLEVAEAYFDELISRNTILPANISYDGKVDLEACQVHDMMLEVLVTKSLEANFLTLVGGQSKGTSYDKIRRLSIHGEDRGPGVVKQDLKGEKRVEEHRSRNGVEAMNLEHVRSLSIFQPEGHKLLDRLDKFPLLRVLDLENCKAVEDKHMDIICRLFLLKFLSLKGTYITKVPSEIGGLEHLQMLNLWDTLLTGLPDSVTNLEKLECLYISNRDVWDAVWRLPKGLKRMKALGMVSKVDLDNAQLCIILTCSDDLVLHELASALGRTYSLRWLNIVDHDGAKDKLNFLLHLPSPPLLLRYLRIAGIIVELPEWIKTLTHLTQINFSATDFALTNFSVFCVNCLAW >Et_2B_022052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8487165:8490030:1 gene:Et_2B_022052 transcript:Et_2B_022052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIRVLAALDQARTQYYHFKAIVIAGMGLFTDSYDLFCIAPVMKLIGRVYYHPGGRGAVPGVTPPAVVSATVGVALLGAVVGNLVFGALGDRVGRRRVYGTCLLLMVCSSVGSGFSVCRTRGCVLASLCFFRFVLGVGIGGDYPLSATIMSEFANKRTRGAFIAAVFSMQGFGILASSGVTMAVSAIFDRFTGHRAPLDRPEAADLAWRIILMIGAIPAALTFYWRMAMPETARYIRFRHVSSLQLSLEHPSWYKFVLVRARSISRTLASALTSTRFTALVEHDVVKAANDMGRILAELDLNGITEEEAALRRTAMPPAPAYGFFSRRFLKLHGRNLFACASAWFLLDIPYYSSTLFQSQIYGPWFPPAHRVNAFQEAFNVAKFQAIIAVASTIPGYFAAVFLIDRVGRRRLQMAGFLFMAVFLFALAGPYDHFWRDNATAPPYIVLYALTFFSANLGPNTTTFILPAELFPARLRSTCHGISGAAGKLGAVIGSIAFLWASQERDRKKVEAGYMPGIGMMYALVILGAISMVGLAVTYVFTPETMGRSLEENESERGQSCESEHELHELTGLPKS >Et_1A_009509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:713390:715515:1 gene:Et_1A_009509 transcript:Et_1A_009509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGPGPTAAAFASGEPPPAGAAVAEEVEENSGGEEVEEQEDDELELGLCLGSKKQQPPSPAPCRILTARDLQPGAASPDSSVSSSSPAAGATATAASKRAKAEAAPTATTSPGTVASGHPQSGFGVVGWPPIRTFRMNSLFNQAKDNASDTGIKKAEDESDVQKDKEETEKKGRTVGWVKVNMDGDVIGRKVDLNAHHSYKTLASALELMFMKPSIGLSTSSSAKSLKLLDNSSEYQLTYEDRDGDWMLVGDVPWESEIPRGSQNSSSREMQKLRSTKCRTLESYTAGEAWNVFDVT >Et_7B_054411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21015429:21016382:-1 gene:Et_7B_054411 transcript:Et_7B_054411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQTPRTMRAVPSTAGPGRTRSPRTAAATAVAAKVTALVTGTATEMGAAPRSAKKDADAQRLAANGAENCHVRRRASHRRMTLPEGEARARRSQTSAPRRMRAFVAPHTRPTATMLAASPLSAAMAGVLRLNGTGGRGSEQSVLDERKPRSGREATCREAEIIGNGGGIGWRRGDVPFSLCAFVLLLVLSQIFCQTPWI >Et_9A_063229.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16497913:16498839:-1 gene:Et_9A_063229 transcript:Et_9A_063229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGHTGQSSSSETLMAALLAVPAAEFTSLTRALSADARRLRCRLAFLLLSPPHFAGALARLRAMPLHAKAALLGRVLLRSLLLLVPALSPDVNGGGGGDSSTHHHHLLLPAPDLDAALLLLAMCDSYSPAAAAAAAAASSSSPSPVDWRAVIVDDVVASALSVSGLGAEPSWSALAPYVDAAAKCRRFADVVSSGDRDAGGAGKDGEGCGGASYAAVVLAAPLPPASGDDGAPCAICREEMTTATTPAGRGVCALRPCGHRFHWHCALRWLARRNTCPCCRAELPARDAIAETRRLWRAVERAARGG >Et_3B_029643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26741530:26755922:-1 gene:Et_3B_029643 transcript:Et_3B_029643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKSRTMYLPFAMGILPLSISSITTPKLYTSLFSESCCVMSSPGDVVVEIGMGPESWFSAKSSQKRPFAFPNRSADKDDESDNCASSFCMMISSSFTTSFIVGRSTADSSTHSIANCTNLLKPTNFAESRIDGSIKAFNPYLERPKSATFALRLSSKRILVDLISLCIIGGSASSWRYDRPFAEPMAMLSRFFQSRAYIATRTRPTIRTKPAIAIPIIAPLLIKDLLLLGPEMGTLSFGCTSSTGIPCFDFAVMTHQKMHAEGPFRLEPKYGRQADAYTAL >Et_8A_056409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9390715:9392010:1 gene:Et_8A_056409 transcript:Et_8A_056409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDCVGRFVEFNIDFAGAESLAVSKDVSFNGDVWRVHLYPRGAPGDAGAGEWLSVHLVNTSIARSCRVLFEAVALGRAQSDAALPTMHRTFQCLVDYPTKELVRKPLTKIFRTSELAAQCVVCGYVTIVCGIVLLRHSPIPVPPSNFVNEIRGLEVRNRYGEPDVSFFVDCKSVDVNRSVLAARSPVFKKELELAAQAAKTDGPKEDDLEAWTFFALLLYIYCDRLPKDNECAGAPVTTERLRELLAAADRYRLRRLKLMCARRLWEDVSPETVSTTLHYADKYNCRELKDACMNFITAQNQKNPYSLMGIEEFRLLLRESPAIFNELNERFTTRH >Et_8B_058775.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3323493:3323867:-1 gene:Et_8B_058775 transcript:Et_8B_058775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCLQKQGHGKNLGARARQLTLEEILYPQSSWEMEAVGSTPARRNSPKVCPMNLNFSDDDDEGHSPAADQNVSISRASMRSQSSVSRRVSFRSPDESDIFIIPARSSDSDDDDDDVSSDGSD >Et_7A_052136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:559454:564046:-1 gene:Et_7A_052136 transcript:Et_7A_052136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRWDGAAPCLFPVLLALQCGVVFLQCSAAAPVADDVSALLAFRRAIVEDPQSVLSDWIDADGNTCDWHGVICSSPQGAVISLKLSNSSLKGFIAPALGRLSFLQELYLDHNLLFGTIPKQIGSLRNLRVLDLSSNRLSGPIPPELSGLNSVSIINFHANGLTGNIPPELGKLRNLVELRLDRNKLKGSIPGSATAGFSPAANSGSTAHDGLCPSPRLNVGDFSYNFLVGKIPPCLKYLPRSSFQGNCFQDEYSIHNRALQICLSAPMSGQQGGTHGFKHPGSGNKHERMQQPTWLLVLEIATGILLLVFVITGVVTASRSCKLKPSIRISSWNRSKSWSDEITVLIDSDMLKSLPKLSRQELEVACEDFSNIIGSSPETVVYKGTMKDGREVSVISLCAFEGHWTSHHELFYQNKVIDLARLNHQNIAKFLGYCRESDPFSRMLVFEYASNGTLYEHLHYGEGAQLSWLRRMKIAIGIAQGLRYLHTELQPPFAISELNSNSVYVTEDFTPKLVDFECWKMMFSKREKAAGYFNSKTSFPGNGEFSEDKHADIQGNTFAFGVILLEIISGRLPYCKDKGYLVEWAAKFLQQPEEIWKLVDPELTNVRTEDLAVVCSVVSRCIDPDPSKRPSMQIITGVLENGIDLSAAAILKESSLAWAELALAL >Et_1A_009067.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2806690:2808177:-1 gene:Et_1A_009067 transcript:Et_1A_009067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDDISVHVDWLARRLTQQQEDFAATEKPRVTRVPSNIRLAKRDAYTPGLVAIGPLHAGDSERRLLPGHWLKMAYLRSLIDRGNPDPATHLGVIEGYVRLVATRDREVRAMYAGEDVNGLVPEDFVAMLVLDGCFIIEHLINVFTGREEPQLHALPFGPTQLSVDLVLAENQIPFFVLVDLIKTTRLPEFDTTGYDDPPVLLMKLVLYYLAGEKGRDMSDGLPKADDVSHILHLLYATVTAARTWWQPAPRSQDGRVLKMWQKVVRRLQLLPLLGVVLLLYPTVPESCMWSARYGPVDVPSASDMKWLCVRFKKAPGMAPLGIASLLGPVPLAVELEFDDVLQLPQLGREYRTAPLLLNLIAFEQSVQYAQLGMGDVSAYAWFMAKLAQSAEDVAMLATAKVVQRNVLASGSNEDVVRFFTLVATASEAAGKRVEESYLKVTLERLRNRTWYPILTKRADVQRYYITVPWRLVAAFVTVVTTVATILQAVAAFK >Et_1A_005834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14570426:14585678:1 gene:Et_1A_005834 transcript:Et_1A_005834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQASSPEGRGPSQELAAAAAVAMVLGNDILLRLDFPTWLVSAAAVCRRWFRHASDPYFLRRFRALHPPRILGLQVQGGGSPLRFLQVPQPHALAAAARRAFETLDRSEHAIDCRNGRIIVETFGGSPVISVRSLLHPTPDVPVHPKPTFWSLYLNLGDGGNERYGSNEMFLLEDQDASFLDLEMATNMENVHAMFSILQSGAWSVPRSAVLDLNMVTGEEWPHCQIVIGKKFVVGRKIYMLSAVSSAGHMFGLDLVTSSFFTVKLPDGVGSYKLSRAQHSGLYIIDAMGFQLRVWYGDGLGQWVLEDTICVREACGDLSVQKWKPDGDLAPVLVVGVGDNAEFAFLKLVASGIVCCLELGKRIVEKMEWTVPGHVGDTVTIPMVWPPIFPVLGEAANKEHYLHFLFLPSPALRQRMERSLPSLDPAAAAAAAVALVLGDDDLLEEILLGLDSPTWLIRAAAVCRRWLRLASDPAFLRRFRALSPPRVLGIHVQSGSSRPRLLAVPQPQELAAAARRASEALRPSLGAQRSPTMQVLDCRNGRLLVEIPGLPGDAYAVRSLLNQVPDIPIPAPPAPSSFYVGCRSQHMFLLEDDGDVTSCLRLTFSIDAPNSKVRAEFSILQSGVWGDLQIAKTELEMVRGEGLPLQIMNAKKVVVGRKLYVLTYRFILGLDLATNSFFTVELPGGPGNHTLSRAQQSGLYLISAKGFELCVWLGDGAGQWVLKNTVSVREACGHLNVPRWEVDDGHHHIWEAAISAVALVLGSDDLLIEILVLLDSPTWLVRAAAVCRRWLRRVSDPAFLRRFRALHPPRILALCVGSRSLEQRFPALPQPQELAAAARRAARALCALSPSRGTHGLWTRFLDCRNGRLLVKIPEWPGDIYAVRSLVSQVPDIHLPALPAPKTFFAGRHTANIFLLEDDDADVTSCLRLDLSVDAPKVHADFFILQSGVWGAMQSAETELEMVSALALELQDLRCEKLVVGRKVYILTFGFILGLDLATNSFFTVNLPDNADRGNHTLSRSLQSGLYLISAMGFQLRIWHGDGAGQWVLVDTVSVREACGRINVPRWESDDGCPPVCVVRAGDNAEFVFLRLWASRILCCMQLGKRIAERVDIGMTLDTGFIHPISMPPSLDSADEASAVALVLGNDDLLIETLVRLDSPTCLVRAAAVCRRWLRRASDPAFVRRFRVLHPPRMLGLHVNSGCSQPRFLALRQPQELAAAARRAVRVLSLSGGTRGLSTGLWDCRNDRLLLEVSDCPGNSYAVRSLLNHHVPDVPFPAPPAPSSSIFRGGGVCRKHMLLLEDDDDVTSLSLILAVYESEVRADFAILQSGVWGVRHSAVAQFKTKVFRSQILRLQKLVVGRKSNLPDGVVNYTLSRAQQSGLYLIDAMEFQLHVWHGDGAGQWALEDTISVREACGNINVPRWEPDDGKFPVLVLEAGENAEFVFLHLRASGIICCMQLGNRIAERLNCGTIRPGYSDFMHSISMVWPPIFPMIDKENGEQVWMREMENHGNSQDNKRVEME >Et_3A_023438.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27697132:27697380:1 gene:Et_3A_023438 transcript:Et_3A_023438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRALPVCALTLVVVVLFVASLVDVTEARRGGGGFRGGRSGTYVGGAGGARGSHSGGQRGLSGGTWTACLGSSLLAAAAVLL >Et_1B_014221.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:3726525:3727736:1 gene:Et_1B_014221 transcript:Et_1B_014221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQAVSLSSQPSLPSLPSLGTRSTNVSPSFHQCVAALRGHSSHVSALAVDGDSLYSASSDGRIMVWPLDNASRSREEQQQDDDGRGATVVAMTNSSVKCLLVTGDGIVLSSHQDGKIRVWRAGTRKKDGTRRLVLRAVLPTACDRLRTCPLPWSHVEVRRHRWRTWVHHVDAVTALAVSPEGALLYSASWDRSLKVWRLPGLRFVESIPAAHDDAINAVVASPDGHVYTGSADKRIKAWRRSRPEQGNNKHVLVATLERHRSAVNALALGVDGKVLYSGACDRSVVVWERGAGADGRMEATGTLRGHAKAILCLAAAGEVMCSGSADRTVRVWRRGAGNAGYTCLAVLEGHGAAVKSLALVRRGVEESSSGGGDGGSALVCSGALDGEVKIWSVLVPCLVER >Et_7A_051480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19211772:19218624:1 gene:Et_7A_051480 transcript:Et_7A_051480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREAEPDAEQQLLRHRRRLFSAEERSFRMDRRSPDAAALRAAVADVLPRFLYSDETLEVTPATRPLPLPSRAHEPWDLLARREKLASWVCKWGRSGFRDGLAHSVGLKVTGVEFGDNEYIVVLVCNGKHQYQARDDLDAFLGDDTESFVTWLWGFLSKRTVASDGNCSFQDRLDNESEHLNDNKYLLAAKVHHGDSHIVNSKISVPQPYRDIHNPDSTKGRNVARPYPSSTDAISPQRLDGGQCFWEDQHHKKGQNAEGRRSFSMTQYGASGRTERILTQEEFHNGEHFGRNVSTRCLPEAVETDDERVPMSLKRRPVWDRLGKPVVEYHGLARETHAIPAQNGLYKKAKFVCEHEQRYCLDSNARHDMFDKANPRKLTNSYTDINTSQAHEHAGRVNRSRLAGRLSFGGGSVFHGAVERNDQEREMRSQKSSLSMPIKSIRSHSLNESTSEMNDLPAVSEPNIFRSSKGHAMTSIKSPPLTMRRNSEAEVLVGDQVCSPALPKTPSSVREDGSSFRNHPEILTMKLKIKQMELDVLKLRSKQMQITNEKQGALSLGPQANLEEDVDSRTVLVTNVHFAATKEALSMHFMKCGTVLKINILTDAITGHPKGAACITFADKDSIEKAVSLNGTSFLTRVLTVMRKADAPPGFLASVQQTGSPLQPWSSPPFQKGSTPKQTSRYHLQWKREQSILEKSPAS >Et_4A_035382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22342651:22345463:-1 gene:Et_4A_035382 transcript:Et_4A_035382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTAEHHCRPSSVLVRTNRGGSLHPGRRHSPPSKVVRVSTCAYRRSRRRSQAGQCRHVVQLIHQLAWVVPVPSSVPTPAFLAVPVGHVTPVEPWSLQLRLPSAKGMPSSGLAHSCCRSPIQNLEDHPSHEDLGADETLKTCRVLFGVSWKLVWNKELSRVLLSTGNNTELSLPTISSAVTQSTIIMIRLMINQMGGEIVLAFAVMVMMRVQAEKGMTLAWTMPRWSSSSSASTFTATDLPFSQWILTITLLSENRASISGSCLLAPGVSDDADNDEPSSSFGAAAVAPWRQALLTTTTVSTLRPLPPPAASMSLSFSCSSFTYLVASERIVALSI >Et_8A_058242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3250807:3252949:-1 gene:Et_8A_058242 transcript:Et_8A_058242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETQWAALLLLLVVSAAGAAGRKEKDGEKVCDKGWECSGSRFCCSETISDYFKADQFEQLFPNRNDPQLVHAADFWDYQSFISAAALFEPRGFGTTGGKEMGMKEVAAFLGHVGAKTSCKLRFPLSSLRLLLALIDARGSSGYSEAPGGETAWGLCYNHELSPSQSYCDNSNELYPCVEGVEYYGRGALPVYWNHNYAIVGKGINQDLLNHPELLEQNATLAFEAAIWRWMTPMKRKQPSAHDVFVGNWKPTKNDTLSQRAVD >Et_4B_037017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13629032:13632590:1 gene:Et_4B_037017 transcript:Et_4B_037017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QTFYSSSTDYSNKASQPVDLSKVIMPAAARLNLDSVTPRRSVRLKNIHVIYDEDSDRDSLTLKRVKVEVTDPEEILSPSTSAVNLPSVDDKDDEQAFQNISLKDLRSRCKTKNWKASKVTSDRTDIKNQAESWNLEDEKAKEEFDLDKPLIVLKQKRQRTSPSKANKKMDVLPSSQCDVKVEDTSSERYETPGEISPFEAVMDDSVVKKLDRRSTDLEQSTIAVDCTKEIVLEENCCTKAEDPAGPLVNCENVDILDINSEEAGTTCCIPPSCSDRNSSGCSSVESQQVSREDDRCEPQPCIMNQLTKSAHVSDHSCELINSTELCNFDGVPQKATDVVSSLDLIDEASNHQETPEDITNSDMDKYSMNGFVVCSVNQSCDDYICDDDYWNTGVVERNEPEAVNILEELSPIDQSNMDILSPLVSIQSDLCGSVDMKCTSLEEVVHMQTDSQLDKIVGCDVRPKQILEDSEINTFIFDKTHALVPTANFVTQDGRLESIVYDALCNHQQKTSENRSCVGLPDTDVVGSPDFSDNCPGDAISPPNYGEWSMKDMNKLNSTTDYDICKSIRNERSAEVQAQIFEASVPSDISISETKEMPGPSPNSSATSLETENQIVKSDIFTDEESIEEHAPKKLLSKRKIMSPSSQEKLCNALTGIDLCGVEQLSKLSIILVLNIYMISYNHTFLLLMCPLQLSESNLSVEDPDTNRVSLPQPALNRERSGFNTDRRLRGRTSVLPTSKGVPKSGETPSHQQTTCSCKRKSPVVLDTEKAVEFSQRQMQDIENIAAKLIRSLNHMKTIVDETLSSEAYSVVPNFNTAEVRHATYSSLQDLIRAASEDASEVEKTTRKWLAIMHKDCNRFCKILTLAKKNNVSHDEAPRKQRKIMFADEAGGKLCHVKVFKDKHTSLSECQSD >Et_10A_000913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19014883:19018449:1 gene:Et_10A_000913 transcript:Et_10A_000913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEYPTKISMEEKVPVLIVGAGPSGLAVAACLTQASIPYAIFDREDCSASLWRKRTYDRLKLHLPKEFCDLPHMPYPSDTPTYIPKDLFVRYVDAYVNHFKICPNCWIIMAHDQVTGLVTKYTTRFLVVATGENSEGNIPKIPGIHDFTGEVVHSSSYKSWNNWGGKRVLVVGSGNSGMEIAYDLASHGVDTSIVIRSPFHVMTRGLIRLGMTLAKWNLPVKFVDFILVTLSYMWFGNLSKHGITRPNMGPLVLKAKTGRSAVIDIGTVELIKKNIIKVLGPVCCIKGNAVEFEDGKRDCFDSLVFATGYKSTANIWLKNGESMLNDKGMPKRDFPNHWKGENGLYCVGLAMRGLGGISSDAKMLPVLKEKKVFEEAK >Et_2A_014476.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:11885388:11885645:-1 gene:Et_2A_014476 transcript:Et_2A_014476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDGPQVASNVKYPQLIRAAKVCGNYGASFAALGATYMGIEQALEKYRMEKDYINGSVAGFTAGAAVLGFRGKTFILLQLLCLA >Et_10A_002010.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18330018:18332228:-1 gene:Et_10A_002010 transcript:Et_10A_002010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLFQFIRSPSSSTLLLAWKNHLEINPEIHVVERLAAAVVTLQFLQFICHRVRFWGRRHWSLRWGAFYLPVPLSAMAVAVMMLRSTPPYWLVAIFFAAGQADTMAAYSLREEDDKRSVRRFAKRALYMAYLSVLYFVYHRDGPTSHRHHLRLRRKETLSLALGFFVVLQDLRISSRAKAPSSEICKIAADHVRFSQNRAATTFSSDDDDGDDEPYQPPAAPPSVVAVGSLESYRSAVSGCVTLEDIRRVMGSNSNSRLEDACLSSALFLQLLQRQHHRVLMVKEKEPSSANHAFKERLPQFLGLDWAFERVEAQLSFMRDYFFSVHGSPSRTSDSLYLTYSILKISFLLTVRSLMFEEEQGRLTRATLYALILLELCHLVGYLRSDGCLVSSICDLLKRDQDQLSSVAAAGAETSIESVLRTLFSAVLKLLGWKSRVYLGQYSLVDDLCCKPGLKQRLMTMMGIRRRPLQKETVTDHRLWGWIGGKAISPVPGRFAFRVGPQHSSMRVSEVQLSWTLSQETTIHTILIWHVATWFCGMAADDDQDCTKQLVFAERVFPSEHTFAARLSNYCAYLVAFRPELLPEHHALTASIFEEAARQALGFTSYASSADELYAWIKSRKLRIYCGSDKACFKQGIKLGQQLMRYSAAERWKVMAEFWAEMTLFVAQSWSADAHIERLATGGREFVTQLWAFLSNGWDRTFIRRDIDDDCMDLPAFEDIGRDILKKAAEFCQNN >Et_3A_026592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1008123:1008604:-1 gene:Et_3A_026592 transcript:Et_3A_026592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSASAVAAVAVLLLAALSAAGRGAAALQCGQVTQLMAPCMPYLTGAPGMTPYGLCCNSLGVLNQLAATTADRVAACNCVKAAAGGFPAVDFSRAAGLPAACGISISFTISPNMDCNRVTQDP >Et_6B_049675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8474853:8477449:-1 gene:Et_6B_049675 transcript:Et_6B_049675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSLIREALVRRSCRGRGRRPWSPLSGFTSHGGRRRENGAAVPRATRAASSNSSTLAIRCGPQVMPDTCTAPERILLQSPHHCETHGWTTRDGEGAYCPRHGWTNYSRRNTGSSSEGHTIAMASPRRATSPAPSPEYTPASLEYTPTQAAPPEFLIRETIVARREAPSFYMNTRGSSSGTATAAVVPPGFEEPALAPPPPPPPATLLVGRAIATAAANRRPGLRRNMIPTGHVPAPAGNPSPSTGAMGPIPNGAGPNNTKTPSNREGDSMDVDKLIVEYSTNIFGDLIYTP >Et_3A_025224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2860629:2872660:-1 gene:Et_3A_025224 transcript:Et_3A_025224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWRPASSPNSTSRSRSDHLATAPALPQGNSDHASQLFLGADVTAAIAASTDCRLPRRPATAASDLHDEPTTCRHRHLRAFSSPSQLRGHAPVAAVRSAPRHARRCVAVGASVRAASSSQHFVLYRAAKRRDRGGKAAAGGRVAQPRALAAVAPRGATCLASLGSASHDELQRAAATKLVGALRGVPQASFACGFWVDKRWALKPDFAEVAGAVYSAAAEFVDFVSQVRRASTPPRWSSSPTLFTSRGRGLSHSSLQEPSTCRSIFPTAPPARRSWRAPSNSRSPCSPDSAPSNCLTSARTATTGTKPRGSTCSSFFPDGKALSVADLYDKFVSAPVFIRKLAHAFTFEFEASEDTQKLRVTRAFGRGDFSGMVVGGRWWRRAVHQGSGTVAAAATAVSICLSARNTSPAVDFVANRPFLFAVVEEWSGAVPFLTFSVTWISSPPVDLVVDRRLLFAVIEEKSGAVLFSVRVLPPWTSLLGAVLAAALFLVTLRRMRTRSRARKYNLPPGPRPWPVIGNLHLIGELPHRSVRELSARYGPLMSLRFGSVPVVVGSSVDAARFILKTHDASFIDRPRMASGRYTAYNFSDLARRLWQSELFSAKQLRLQEHVRHEELRDLLRSTAGAGRTVVIREHLLMLSLNVISRMALGRKYVDVEGAASGTTPEEFRWMVDELFLLNGVLNIGDMIPWLDWLDLQGYVRRMKRLGKMFDRFLEHVVEEHQERRRREGDKFVPTDIVGLLLELADNPSLEVPIGRDGVKGFALDFVAGGTDTSAVVVEWAMSELLRNPEHLDKAVAELDRVIGRGRLVQEEDIPNLPYIEAVVKETMRLHPVTPMLAPRLSREDVTSVDGYDIPAGTLVFVNVWAIGRDPAVWGSDAAEEFRPGRFVGSSVDVKGQHLELLPFGSGRRMCPGYGLGLKMVQVTLANLLHAFAWRLPDGVAAEELSMEEKYGLAVPRKRRENDGAGGTPSAARAGSLDGNEHRPRDTIPDLELGARVLSFGSPGPCFAFAASHPWAHAHSRRLALCYARRQRRPGAMLPGSMLAPGVNGLRTAVRTNVL >Et_7B_053989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1474113:1478774:-1 gene:Et_7B_053989 transcript:Et_7B_053989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKNGCLKILACAGAGSDPSAGSDADADEHTDENKAISDKSRWSFRRRSTRHRVLKNSDISEPETLSSSKAKSDITPSGNAYSSTYSYASEKPLHQDKPDEKILHQEKPEEKLLHLEKSDEKMEEKPVEKPVDKAMEEPADQISERSIEQTAEKITDTPTEESAERITDTPIEEPAEKVTETASEEPAERITENPVEEASEKAVEVLIEEPNESIPVGETGLKQEETTSLAEESSAGPDEDKLESAAIVIQSGIRTSIARQELSNYKDLVKLQAVIRGHLVRRQAAESLQCLLGIVKMQGLVRAHQAQQSAGKFQDTVLHSSSEGLLRNGFALKLMDNMSTSKSTQIKCDPSESDVTWKWMERWTSLIPSVTMEHLVEHRENSGLVVEKVKGDAQCDEQVGPLDTDLSSPKLVSDAVNETPESSDSSAPEAPACIPDKSSEVETECNPEPELIEKNYVDVEQVTDQKTENVVEESSELSDQQSVQADASREPIHLPEKLESSNEDTSDAYSTEQTLGMEGKRFGAKKSCNPAFAAAQMKFEELSTNSTLSRSNSSSYSDGASKSKVLTPRSQDDSVSKKNNDAGMTESSVGHDARVLVAASECGTEISISSTLDSPDRSEGDGGEIVMEIGALENGNYVTYKADKGINILDSEVKSAPEVEAEPHKEVQQNGHVADPDVITDSELVQEPHVEPEKSDFCNQLEKPSESYATPEGTPMSRATVSESHGTPSSEVSVNTKKSRSKKPRSHASKRSLASPSSDSVGRSSTDNFTKDFRHAKRENSNKATKSEHIDQEPRISNSNPLPSYMQFTESARAKASANASPKMSPDVQDSHPRKRHSLPMTSGKQDSSRRMQRSSSQAQQNVKSNGAVPHNPS >Et_3A_026041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3715042:3715534:-1 gene:Et_3A_026041 transcript:Et_3A_026041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQALGWSEGEVMRPESKPCSWLMRQTAGIFSVGGALSFWVLCRLHYGPRITISRSLRWASCGAISASSTLALLVRLFSPECEAQNIAAYDRPDQKAEKYHQNLGPV >Et_9B_065531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6223418:6225482:-1 gene:Et_9B_065531 transcript:Et_9B_065531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSLRVITGIIGCAICMLLYTAPILTFKRVIKEASVGEFSCIPYILTLFSSLTYMWYSFPVVSSEWENLTLAIINTIGVLFEISFISIYLCYAPKPKKKLVMLMVSSILAIFGVTVLFSSIMIHTHHMRKLFVGSIGMVAAMSMYSSPLVAVKHVLRTKSVEFMPFTLSLFSFLTSLIWLLYGILGRDPYIMSPNCVGCIMGILQLVVYCIYRRCKEAPKTINDTEKAKDMGRSLS >Et_1A_007666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3784388:3787321:-1 gene:Et_1A_007666 transcript:Et_1A_007666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSRTNSRANFSNEIHDISTMQNSGVPNMYYSDRSLADFFPPHLLKKVVSEVVSTFLLVFVTCGASAISGSDLTRISQLGQSVAGGLIVTVMIYAVGHISGAHMNPAVTLAFAVFRHFPWIQVPFYWAAQFTGAICASFVLKAVLHPITVIGTTTPTGPHWHALVIEIIVTFNMMFVTLAVATDTRAVGELAGLAVGSAVCITSIFAGRSP >Et_3A_026000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34495245:34499394:1 gene:Et_3A_026000 transcript:Et_3A_026000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSDPHLSIFSPSEVEFVAEDEIVEIVPNIRMDALNMICGDFGPFFPQIPSKVPLWLAVALKKRGKCTIRTPDWMTVDRLTQVLDAERESPREFQPLPFHYIEISKLLFDHARDDISDAYLVRSLIEDIRDVRFHKVETGLETISGRTHAVKLKNLSAMEVNIVRPFMVRTLQAFYKHDSPQMIQQADNTGSRPTPITDRGPRRDLRRSEMNNMTLEAVAKLE >Et_10A_001338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23531108:23534238:-1 gene:Et_10A_001338 transcript:Et_10A_001338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPPTRPSVVATGFIRAPSPGPGRVYMTLDRRRRASPRWRPPRCSRGGKPVVKDETPREPMLETKNEPEAELQKGDGILGWFRFDSVAADIISIAAPAVLALAADPITALVDTAFVGHIGSAELAAVGASIAVFNLVSKLFNVPLLTVTTSFVAEQQAVDADYNSTGGRDEISTPQQKSSKQRKILPAVSTSLTLAAGIGLMEMVALIVGSGTLVNTIGIPVDSPMRIPAEQFLTLRAYGAPPIIVALAAQGAFRGFLDTKTPLYAVGAGNLLNAVLDAVLIFPLGLGVSGAALATVTSEYLTAFILLWKLNEEVELFSWNIIGDGIVRYLKSGGLLICRTIAVFLTLTLSTSLATREGPVPMAGYEICLQVWLTISLLNDALALAGQALLAGEYAKGNYMQARKVLYRVMQVGGVTGVVLAASLFLGFGSLSLLFTDDPAVLDVARSGVWFVAISQPVNAIAFVADGLYYGVSDFAYAAYSTLFAGAISSLFLLVAAPNFGLGGIWAGLTLFMSLRALAGFWRIWSKDGPWEIIWSETE >Et_3A_027131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3436879:3439533:1 gene:Et_3A_027131 transcript:Et_3A_027131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLREDVAVAYVVLACMPLLHALVTLDPETKVEVALNIIGPIRRRSGHWFVQYGAMAAYYLLAPLMFYAASVACSSDIKDDDLIETMFYILHEYSTMRHMVTVRAYHLGDSPLPGWRCLAKAFPYYSLDSRSPSPFFDGDANSLYSGCKYPFIMRTGGRSITIKDLLQSDCTVDPDICLSYSFSQLLARRYLEFDCPEEGDAKVRDFALKELLQGPDYDRAFNIVELYGMRTTAKKKEHSATSRFNSQSSNRAREYYWRNKMGQYSLIEDYDSRSVKKTFIAWIKARMLSQVSPVAPFIKHHPVEEEDVRVPDSVRRFVACTLQDINGRPTNGTRSLERNRDMVHDDLTWTCRQDNHTHTLLIWHIATWYCETSLKIITNSDYEVATTLSRYCAYLVAFAPELLPDPSFKTTITFQRILQEARRLLGETRMSMDAKRTRIRGLQIQDIEAGETTFAKGLRLGRQLEELDASRLWEVLAEFWAETILYVAPSDKVAAHIEHLANGGELVTHLWAMLSNAGILKRATEEWSPPEPQPAVPSNSVIAQEEQRVSPQFGPSNIAREEPRPRKRMRRGADVAEPGAYSNFEH >Et_6B_048210.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:10699203:10700782:1 gene:Et_6B_048210 transcript:Et_6B_048210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKVHPSPSLPAAAAAAGGAGGGGEVTAEAVLMRLLPAAVLAAAAPLGAEGKEVLAYLVLASLRSSAPPTPKARGEPEARAHRPELACGCFGCYTAYWSRWDGSPEADREAIHRAIEAFEEHLAKKEEEEGAGGKGRRGRKKRAAAAAKGKGKDKAGKGKGKELAVDPTPPPLPPPPAAVLPIPEDPAKVEDVAEEFKAHEEEEVLEKEDATTSVAGEEAASGGEEEKRRRGWGAVLSWRNWGLWGSH >Et_6B_049081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17588356:17598267:-1 gene:Et_6B_049081 transcript:Et_6B_049081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSSFSGDDIIKHNGRLISHAVKKLVEEYETKRDSVIFQILTMLFEACGARHEIYPDYLRESDVDDVVMSLVDTARKGLVEDNYNSKQKDLKYFKENLISFWDNLVLECQNGPLTPPRVYRQVASLIGLQLVTSFISVAKTLSGQRETTQRQLNAEKKKLSDGPLVDSLEKRLAVTHENITYLEELMRKIFTGLFMHRYRDVDPDIRMSCIKSLGIWVVSYPSLFLQDIYLKYLGWTLNDKNAGVRRASVLALQSLYEVDDNIPSLGLFTERFYKRMIQLADDIDISVAVSAIGLIKQLLRHQLLSDDDLGPLYDLLIDEPPMIRRAIGELVYDHLIAQNIKTSHTGATDEENESSEVHIGRMLQILREFSDDPVLSSYVIDDIWDDMKAMKDWRCMISMLLDENPAIELTDMDGTNLVRMLQASAKKAVGERIVPAMDNRKLYYNKTQKEVLENSKREITSALLTRYPQLLRKYISDKAKISPLVDMMILLKLEMYSYKRQEKNFKAAIDLIFDAFFKHGEKDTLRSCIKAITFCCTECQADLQDYAENKLKNLEDELVLKVKTAIKEVEAGDDEYSLLVNLKRLYELQLSKPVTNDGLFEDMYRILSQLKDMDNEVKSFLLLNMYLQVAWCLHAIDGENPSEASIDELSSKQRSLFHQLYYYLVVLPTYQKEGRSTTVLSCRVCIITAEMWCLFKKSKYSSTRLESLGYLPELDMVQKFWKLCEQQLNVSDETEDEDANDEYIDDTNKDAVMIAASKLVLADTVSKDYLGPEIVSHYVSHGASTTEIIKHLITSLRKNGNFDMGALFFESLRRAYDRYMSYVHDEENTLIGKAYSECQDLASRLAGSYVGTARNKNKSEILKIIHEGFSFAFADLPKQLSFLEAALLPFVSKLPSTDIPDILADVEKRTQDTNMNGDQSAWGPYFTFTKELRERLKNDVFQESISASDHQGHGEDDTDDDADQPLINTIRASSASKLRSLKVSQQGTSSHKRAPGPSDNATDPILVSNSHMTRLL >Et_10A_001252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22679559:22684234:1 gene:Et_10A_001252 transcript:Et_10A_001252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPNVRFVRCPRCYQLLVEYPSIPVYKCGGCNTVLRGTDYLSISRSLIQKKFQRFRLQFDHCHLYFAAKNRAAPAVQTNSEYDEQNKFPSNLKGPRRDNKSICSDEQKSASSVDQPREATADTIVCSPINHTNSCEDTIEERAVSAVDHQKEETCSSIDDDTPNPGVTVTEMHEKGMGADFGSNSIEELENLDTSQTANRGKVDSVQKTKMRTMCEKTEVAQREEKLHTYKDMHVECHEALIEELERSLSLSSDDDYFSDEAETSGLNDALRHQMGSRRFLLGGRTDAASRSDPHGRLIEELEMSFSDAEEPMEQHPAVIDGIHEIKHDKHPRTLDAESANPCEESISSLDSGHFKLEQTHHQINRLVEYGNQGKENIEDDNKAANEVHGNEHDKRPQTLRGPESACPCEESIPSLANGYLESEQTLREGNRLIDNGNDGKEDIEDNNDIASDVHGNKHIVTSNEVGEGRFHEEEHNKDWQPTDSEFMHPCVDIEDDNDIVNGVHGNEHIVAPNEVGEERFHEKEHDKDRQPADSEITHPCDVSYIDDSDIKSIPSLDNGCIESEQTSHEENRIIDNGNEGKEDIEDDNDIANDAHGNEHIVAPNVVGEERFHEKGHDKDWQPADSEITHPCDISSIDDSDIKLKQTIQPNGRTADAPQEKEEDSIDDVKMTNYVDGNENLVFTDEDIAVKVHGNQVVRSERLKKMDELRDQLSRLSSQKGMEKKYQKRGLEYLQQSNSYDVEQHLQNVDVDSYALESYYGHERQPRYQVPNHFSPTQTYPRCHFGHAQKRIPYNYDMWEFNSYYQSSSYAESTVLDNDSLVASYKEPKRMVRKHILRPLSGASPFSICSSCFNLVQMPSDMYISKAKIGKMQCGKCSKVLVLSFPAACHGEGKISEDDTQKTNRQGSMVAKNQDVTSHSAECLRGSVSLNKECGASFARSFSTQARTSLVAAQSSKKISDSALHRLMGYDSASQLLRHSRALEDGYDSFESMVPVSTRISRRKNISTEMVRRQDLFPGDSELQQLLHIFRLLGTPNEEQWPGVSGLWDWHEFPQWKSQSLTHAVPMLEPESIDLLSLDQYDRIESILLIWLRLYGADNMWCLLQKMLQLDPANRISATAAMEHP >Et_1A_004992.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21870257:21870565:1 gene:Et_1A_004992 transcript:Et_1A_004992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LAGGGSPAGPPPGGLPPPPFGTTPPPRGLACLQALAPPRPQPSLPPPLSRIPSSTSRARLLPEHLVRHSLHQPAPKAPQIQTHGLLRSEAYPAQSRPDALGA >Et_4B_039544.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21127343:21127690:1 gene:Et_4B_039544 transcript:Et_4B_039544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESVKCECCGLREDCTQDYIASVRASFHGQWLCGLCCEAVRDEACRKKAQPGVEEAVRAHMAFCNMFKSNPAVRVADGMRQMLRRRSGDLSKPESAKKYSTSQVGDESSVALY >Et_10A_000496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11467817:11475091:1 gene:Et_10A_000496 transcript:Et_10A_000496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGAYCLCRYDWLERVATVVKRMPDNWNWAQGRWFLPTLNNSWGTSEQSFDSISMACIFKLRPANRMAASSAEQRVGAGTISWPGWRFSRHGEGTGQSGHAYPDASHVLMIFRSLMQYTCAALYAKNIQQPQCVDLEVAVPCRSSSDDVIANPKGDA >Et_4A_034707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5590871:5592045:-1 gene:Et_4A_034707 transcript:Et_4A_034707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATNGAAADEHELPLFHPSPCAHYYVQSPSAASHTLSHPASDSMALILSPFPNLHHHHDADDGRHSVRDDREEASRLTLSRYSSSRGSNNSFPAGYDVNKKPGRRPRQVLRVLSGRLSSGEHDDDDDDVDDGAGQRSRAWRYVKLDRDAPCCCIAFQVAWRVAASAAFALLVFALATRPPRPGVSFRVGRVERFALGEGLDGSGVETSFLNCNCSVDMVVDNHSKVFSLHLRPPLLELSFGRFVFATSQARLGAEASHDVAPRATSMLRLFVAAQEKPMYAAGRGMQDLLESSRGMPLTITVRSRSRYRMVGSLIRLTYRHDSECVVHLRRTPRRNNAVTAAAGATCSALS >Et_1B_013252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6815769:6817780:1 gene:Et_1B_013252 transcript:Et_1B_013252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSREGDASRAAAREHTRRCRERRRLMKEAVRLRRHLASSHAAYLRSLSAVASALTRFAVGEPIPVSDHTPPAVIMHRLVLAPSSPPPLLRKIERQHEAPRQEDGVPDAGAAATVAATRTEAVEVGAAAATRTEGVGLGAAVASQTDGVDVGAEEVRLVVRHRSLADVAAGLEEYFVKASVAGDAVSSLLETSSAELKGGSHGFLGALCCLSAPSFDRLDSMNGRPRHSSTLQQLLTWEKKLYKDVKARERLQIRHDKALAELRDQEYSRKIGVDIQKLKSAWERARAQLATSDQSVRATSSAIAELRDAHLARQLLELCHATLDMWRSMRQHHEAQSAVAQQLRGLSSRTSMDPTTEIHHEATRALDAAMTAWCAALGHLARHQRDYVHALHGWLKLTLTPTPANGVQANHVAVELAAFVDRWGKVLDRVQCGEALKSIKSFAGAARALYGLQSDELKVARRVRQHSRELDRKSRMLRQVEKSYYDSYMPGGFSMWSSWGRPWREDVRHAREAHHEVAQRKDEIAACRKALEDEMRRHAKAIDATRTATVSSVQGKLPAVFQAMAAFSASLAHALDAACRAPQNTQPVQ >Et_4B_040039.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:8163815:8164861:-1 gene:Et_4B_040039 transcript:Et_4B_040039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAASVGVAAGVHSFGEEEYIDLDLSSCGELEFRVRRSSADELLCRSWAAAAAPHKAASKSGAKTQGVDAAGGGGGRRSAATVAPLQHSHSAGFSQPAATARAELQGSRRRKAARTVHAKLQASRAFLRSLFARTSCSDKRCHGASVRARTRASSTPLFGESKTKNGGGKAKAPFGEIKNFYGSVSVSTGTGSAASAAPPPTTTLRSSIEQEKLMDEEELAAAAAAAARQRKSFSGVIKWRHATTTASPAALPQTKPLSSSSARRSSVATGCGGVGAGPGLKRSNSARSESEGLIQGAIAYCKRSQQQLGLARKSVSDAAALRAGGPASWPGNSARSAPAYCYYY >Et_1B_010105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24254118:24254492:-1 gene:Et_1B_010105 transcript:Et_1B_010105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSPPSLCEFIEYIDIEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAERKAREAERERMRERARRAREAGPDAFRKGKYPRCTQ >Et_9B_065502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5935443:5936118:-1 gene:Et_9B_065502 transcript:Et_9B_065502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVCFTQELEDVASRLLPPEIFDEIETVGTTAPAAPCRSTHAVIEELAMHLNSILGLADMRTEHRPPQANAIPFPSDQQILWSIGEDGIGSDVLLRNNGGMADIVPGFGEDDLALRSVAVQMMFAERPLPVRHYNEIGTCEFRPMRRQNATSGTGVFFPRTEVCRTRSSKSPGNNGAKPQRLMWKEAPMTMKQ >Et_3B_030917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8779395:8801486:-1 gene:Et_3B_030917 transcript:Et_3B_030917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQSPVATALGFSNHARIEPVSPVRQVRCRVQSRESPVPTDAGMFGIEVESQPGWISPGLQNQSPFSAVASPTAYRGRTGAGCLEYQMPDTPPRDFTPSPVRDITKRVQEMDGPLGRIRVATPPSVTAMNALRAKASPQMLALGELEFDRKKIEEELEDVNYIMHLKSLPMDSFEAEIWNKFGNKYVPATDRRKNIDWDPSKARLYHCNIEKKDDNIGTTFKGPFIENTRTHLQKCLGDENILIVKFADIPGDMSTSDKFGIYCTYYNPVSESGIILGLRRYRFFIFKDGGKDEKLKEQRKKEKNKHYVSSVRCIFVRTESGLFMHIHNAPTVAKYLSRFALILSKTVTLDVKFPEVNVTIIDDIPCKEKDGRTAVRNEEPLIHTDGTGLISLDLALKCPASVFKGNFLKAHDLQDTVDSKEHRYLIPERPLLMQMRLFHNGYAVKGTLLVDSRLPERSIHIRPSMIKINPDQDSSGSQSFNSLEVVTTSNRPRRAVTSRFLIALLRYGRVPADYFEELLAKALKDINKSCHTVKDSLEVAFNHADMDDSMSARMILSGIQPQDEAYLQYQLALMTKEERKGIKQGKIPIDKCYYLMGTVDPTGTLKRDQVCVILDEGQLSGKVLVYKHPGLHFGDIHVLNATHIDGLEEIVGDSKYAIFFPTSGPRSLADEMANSDFDGDMYWVSINTQLLKHFKPSKPWEPRIPPIKSTQKKPQDYDGSQLEGLLFKEFLKCRFTPSYVLGTAANCWLTLMDRLLTPGVSESEKENIKDDMLDLVDIYYLALDAPKSGNKVTIPERLPVKDYPHFLDRKPSYHSISILGKIFDEVTLQQLETTAPICKFSYLVSPLKCFNEQVVPEEYKHRWAYLYNTKYLRESCSLCKLTNKEEKNFKFRELYQEYKRMLYDAEEFEESPKNRFDLFHEACAIYQVVYERATPCNEVSKCGFAWKVAGRALCQFYVLKHGGDTVLCSLRAVADAFRKYRA >Et_2A_018456.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28616914:28617471:-1 gene:Et_2A_018456 transcript:Et_2A_018456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAEEYPWRAQVFGDAMAAQRSALVLASYPVLLLLVILAAFVRYLWVALAMYCALLLALSCATRSLVDRRTAAARRGAGSSQGGLSPAAIAAVAPAFTYDAAAAADGEAECAVCHEALRGGEKARRLPACAHALHVGCIDMWLDSHATCPVCRCHVVPLTQKGGKEAPEPPVQRLAEAPLPPV >Et_3B_030379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3325045:3344536:-1 gene:Et_3B_030379 transcript:Et_3B_030379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRLRLALLLLIATAVDAAGAVGGSPASAAGSGDLRGGGLTRDDSPPGFVFGAGTSAYQWEGAVAEDGRKPSVWDTFAHAGHFPGDGDVAADGYHKYKEDVKLMKETGLDAYRFSISWPRLVPNGRGEVNPKGIEYYNNLIDELLDHGIEPHATLFQYDLPQVLEDEYNGWLRSPALAVGSGDLRGGGLTRDDFPEGFVFGAGTSAYQWEGAAAEDGRTPSVWDTFAHAGHLPGDGDVAADGYHKYKEDVKLMKETGLDAYRFSISWPRLVPNGRGEVNPKGLEYYNNLINELLDNGIEPHATLFQYDLPQVLEDEYNGWLSPQIIADFTAYADICFKEFGDRVTHWTTLNEPNALALLGYDAGLGPPGRCSEPFGNCLKGNSVYEPYILAHHSLLAHSSAVSLYRKKYQEKQQGLIGMNILIYDFVPVTNSSEDINATERARAFYTDWLLGPLYYGDYPLIMKEIAGSKLPKFSRDQSERLISSIDFLGVNYYAILHVKDDPQAAPSTKRDFLADTSIKIISMNNSTTQYHVPGYGLQGVLEYLKQSYGNPTIYIHENGYPMSQDVVFEDGPRVEFLSEHLEGLLYSVRNGSNTKGYFVWSLMDVYELLGGSSTTYGLYYVDFADKDLKRSPRRSALWYADFLKGRRGAVHARLLRLALLFFIVAAEAAGPSALEGFSRDDFPGGFVFGASTSAYQWEGAAAEDGRTPSIWDTFAHAGHYKGNGDVAADGYHKYKEDVKLMKEIGLDAFRFSISWSRLIPNGRGEVNPKGLEYYNNLINELLDHGIQPHVTIFHYDLPQVLEDEYKGWLSPQIIGDFTAYADVCFREFGDRVTHWTTLNEPNAFALIGYDAGVGPPGRCSKPFGNCSDGNSTGEPYVVGHHLLLAHSSAVSLYKSMYQKKQQGFIGIDIYTSGFLPYDNSAEDIAAAKRTQTFYTGWFLDPLYYGDYPILMKTNVGSKLPKFSRDQSKQLINSADFIGVNYYNITYVKDNLQDAPSNKRDFMADASALSSYPMSQDVALNDELRVKYLNEHLRRLLSSLRNGSNVKGYFVWSLVDLYELLGGNQNSYGLYYVDFAADDLKRYPRRSAVWYTDFLKGRRDSASRRSSNAS >Et_1A_007255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32709394:32716837:1 gene:Et_1A_007255 transcript:Et_1A_007255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFPATAGRGAVACSAAAPVPRRSLLLSTAAAGATLHSDPLRLARSASGAGALRASAQAATFAGKDDALAWAKKDNRRLLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEEKYSNAFLGYGPEDSHFAVELTYNYGVDKYDIGAGFGHFGIATDDVAKTVELIRAKGGKVTREPGPVKGGNTVIAFVEDPDGYKFEILERPGTPEPLCQVMLRVGDLDRAISFYEKYTVAMMGYGPEDKNAVLELTYNYGVTEYEKGNAYGQIAIGTDDVYKTAEVVKLFGGQVVREPGPLPGIGTKIASILDPDGWKSVFVDNTDFTKELESELHSDCRALLSFKQTRSFNWA >Et_6B_049739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9955719:9958133:1 gene:Et_6B_049739 transcript:Et_6B_049739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAWFSLVMVSLVGFVSVTSASTSQQQCAEGDGPAEVRAGYWSSSSSRYFPVSSLDASLYTHLYYSSLSIDETSFTVAPPPTEDSSLLAAFSSTVKSGNPSVKTILSIGTDEYEVEDSNAAFSRMAADKNLRTVFINSSVEVARANGFDGLDLSWIFPATQMDMENFGVLLEEWRARIIEESTMNSLSEPLILTATLYFSNHLFDMPDSNLDYPIDDISSNLDWVNILTFGFHGNSNITTADAPLYDKSSHFSVSYGVISWLDAGVPPCKLVMGIPLFGRSWFLRNKVKNGLGSPTAAAGTKQKKSNQTGIIAYGEMKEYLNSESTVVTYDNQSVAEYFYNGDLWVSFNSAQVVQKKLEFAASSQLLGYFLWIISFDDSNSTISKQASNQ >Et_5A_040509.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:2975232:2975402:-1 gene:Et_5A_040509 transcript:Et_5A_040509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVICHLGILNMSKHQTRQHGRPLWSYCKTLEMQRRQELVMESSPPSGMTCGMAPNV >Et_3A_024377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20262186:20265721:1 gene:Et_3A_024377 transcript:Et_3A_024377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWGSALTHISPYTFAAIGIAVSIGVSVLGAAWGIFITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPTSQMYAAESLRAGYAIFASGLIVGFANLVCGVCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPAKA >Et_9A_063443.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:2558481:2559742:1 gene:Et_9A_063443 transcript:Et_9A_063443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHFLLVTARSTARAPLVLPNSKPNLLPAASRALCSVAARRPPRRRSATTRSSSIVRAMASQQQFPPQQQESQPGQQHAMDPRPESIIQSYKSANKLQGKVALVTGGDSGIGRAVCLCFALEGATVAFTFVKGQEDKDAAETLQALRDIKSKTGAKHEPMAIPADLGYEENCRRVVDEVANAYGGRVDILVNNAAEQYERPSITDITEDDLDRVFRTNIFSYFLVTKHAIKHMREGASIINTSSINAYKGNKTLLDYTSTKGAIVAFTRALALQLAEKGIRVNGVAPGPIWTPLIPASFKKEKVEQFGSEVPMKRAGQPSEVAPSYVFLASEQDSSYISGQFLHVNGGAVVNG >Et_6A_046337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13264097:13267316:-1 gene:Et_6A_046337 transcript:Et_6A_046337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDQLDAGGGLADTATAHADGGGGRGSAGGGEESWARALLRRGWDLSLKAAVTGAAVTAAPIVGPPIIILSIAGVALSVPFAAYLASLAATDRLMGALLPPPPPLPPYCYTYGLRDEEVEQEFMDAFEAPDDEAPVFGRWRKTDEDAIVEQEQDQSFASLPLSLEPRHSEELVPLLASEDSEIAEREFPIQKSDHLELFAPKDGKQEENGGAMEVDNEYITMEAPSPGDVSGLAVPLLREESNIVHGMEEAPIPAKESVKELSVSDNRKKTEDDKSRITEETEFLSPGIAAKESSVSTLPMLGNKDTMVQREGEAEGFVSKSGQDSHVSDSRDKATEAMPPREVNVSESSVPDDNTVPSKIEEMSPEEVMGDTNPATEEVVGVQPEVVATTVPESESLQSSDQVSREIQAMTKSADVYDTLGSTLTQGVVKDVGDKCTGDVEPHGEGGVCTVVAETSAVTEDDGKDLVSSRAPYVSAISVETISVESVLHSGDPRQTAEAVNMEPGKEEVTKDKCVKTEESKTMDRKVSSTELGTQDDHVPISPVPDDRKMGDNEVRVEMVLQEVTSIAPVTEEVIEIIASESESLPLSDLVAHDIQAVAEAGMPDDDRTEDAVKGIGDTNTNRVKRLSEGGACSSVSVTSVVTMDDSDDLMSNRSTTYASAISDDTTSFEGRPDVDHHHSTTDVGYKLMNEGFGMKEVAEDKDIYTEEQLREQLDTLRTITGYRAVPSLTLEGDLAGLYIFVGVEPPHSSGDASNLMELNTKLRFLKSIIGVE >Et_3B_029484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25338620:25339649:-1 gene:Et_3B_029484 transcript:Et_3B_029484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLSEDETRSSSERNPWADCIGIAFAIAFLPGLVLFIYCILHFNYETPDFWVKVSAVKGLDRSADAVTAPTFNVTVRVDNEGNNFWEFCGKGGSVDVSYAGVPLARGEFPEFCVPPGVIGSVPVVATSEGLGLPDDLYEGMESQRQRHEPVRMREVTGTGAGSSILLWCTAVLLPGQPAGTLRTTREPSRSIHLTGYDGIDPGRAARVVSPSFSVTLRLNNTCVDSVDVATRDCAEGRWEKDVELVARGRGVGLSPRLRDRMTSDWRRSGELELDVDVKTYGEGHHADTDIPRNIILDKVTIVDENKKPFLYVSEI >Et_2B_020997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25580773:25585008:-1 gene:Et_2B_020997 transcript:Et_2B_020997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRASWLAGRVVMAATSFMMLMMAVQGRFVVEKSSVRVLAPEHIRGHHDAAIGNFGVPDYGGTLTGVVIYPDKKATGCVEFDTKFKSKSRRPVILLLDLGECYFALKAWNAQRAGAAALLIADSVDEQLLTMDSPEASPGTEYLDKINIPSALVNRAFGLSLKAMFEKASAEEVVVKLDWRESMPHPDERVEYELWTNSNDECGPRCDELMAFVRGFRGHAQLLERGGYARFTPHYITWYCPEPFRQSQQCRSQCINRGRYCAPDPEQDFGAGYEGKDVVVENLRQLCVHRVANDSGHPWTWWDYVMDYKIRCSMKEKKYSKACAEDVVTALGLPLDKVLECMGDPEADTDNAVLSKEQEDQIGTGSRGDVTILPTLVINNVQYRGKLERTAVLKAVCAGFKEGTEPRVCLSHDIETNECLRRNGGCWRDESTNVTACRDTYRGRVCECPVVNGVRYEGDGYTDCKAVGPGRCALNNGGCWSETRGHQTFSACSETALTGCRCPPGFQGDGHECIDLDECKEKLACTCPDCHCKNTWGNYECKCKGNQLYIRGEDVCIANNMSKLGWFVTILVVSCVAGVGIAGYVFYKYRLRSYMDSEIMSIMSQYMPLDSQNNENQPLRQQDAEAFR >Et_1A_008738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11027251:11029775:1 gene:Et_1A_008738 transcript:Et_1A_008738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNYYQQQPDAAASGPQYRGVRRRKWGKWVSEIRQPGTKVRVWLGSFDSAEAAAVAHDVAALCLRGRDAQLNFPGSAGWLPRPASTDPVHVRAAAAEAAERVRREPALVDAAGSAARRGQLELAVGDGGEFDDDMESSPRLWAEMAEAMLLDPPKWGQDGSDAAEGSSSSHQHWPQGRVYKFTESNNLDNIKEILFRGEIMFQPLHNKPYILERIRFSVRDLSLLKQQLLISIRTPAGKVVRRLNASPRTIRDAFAA >Et_3B_030688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6434004:6441953:1 gene:Et_3B_030688 transcript:Et_3B_030688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVISKKTQSVLFAEASKDVVDFLFSLLTLPVSTAVKLIGKEGMVSPGTRAVLGTARHGPVVLRADSCRAVTAHRAASCRAGGAANKDAILRPSVTTTSSLLRLPAPSGQPKTIFYRCTGTYYGSCRAHITDVYGTGCPKCGESMTKAAHLSSPRSGQYLAAQSDAQGFVQGIVTYTVLDNLTVSPMSAISSITLLNTFAVGDVGDLQEKTVQLGYNEMANTTSSTDLSMKLVVSRKTQRVLFAEASKDVVDFLFSFLALPIATAVKLIGKDSMVGCVGDLYAGVEKIDSSYIRTGAAKGALLSPTLLSPAASTTNSSLFSLSSPEQAATILYLYRCNNSGCKYVIDVSGTCCPSCGYRPMTTAMQYTSTGGVKGFVQDVATYTVLDNLTVSPMSATSSITLLNTFAVRDIADLQEKTVKFGYNEGLAILKASLQSKTVLTDLLVDTTTRRVLFAEAGKDVVDFLFSLLALPVATAVALVGKDSAAGSVGNLVASVEALDDDYVVPGACKRSLLSGSAPPSSPPPPSPPKAPSSSRRRLHASKAFFQCASINSNNYGYATSFSGYGGHGRGSTCSRYVTDAYGTACPNCGCSMTKALEYVSPAAGSVGISFGHRRNAQQDAFAGGSAYSGFVQGV >Et_3B_031244.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:22555528:22556811:-1 gene:Et_3B_031244 transcript:Et_3B_031244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVRAHQVKHRRHQDGRSGDDASLAEAYLFGDVLESVVGRVPAPDLANAAQVSREWLRAVRAALRRRPRRLPWLVVHLVQGRGARGRSAAAYDPNSGAWLTVPAVPRHATPSHVRLVRGARGDRVCALSLSGLAVAGDPLGAAACVDLGAPGVWRVDPVFAAVGDRVVALGGACRLALEEGEDAAAVEVHEAGGGWTACEPMPDALRESAAASWLSAAATEQRVYLVERTTGWASWFDPATRQWGPTRRLGLDTAVSNWGVAPGRAGADERLVLFGAKRADKEAECTVVIQAWEVDGDTLEPIPSDSNDEMPSELSERLFPRDDEDEEEDGWGDATLSIGVCGNSAGGYVYNAAEPANGAVLYELREGKATGSAAAVARWEWVLCAPAVRAEPLGRAILGCSPVGLDDLALGVGSAGPRACTAAH >Et_1B_012677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34291241:34294592:-1 gene:Et_1B_012677 transcript:Et_1B_012677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRTHLKLTLWVAFCFLRLHAFPFPIPFDDPYTNQQDVDAINDLYAALGSPDLDGWTDSGGDPCKEAWQGVQCDGPNVTAIELRGAGLGGKLSKTLGYFTAITRLDLSSNQISGGIPQSLPPAISKLDLSSNDLSGELPISMAKLSSLSTLHVQNNQLTGTLDVLGDLPLKDLNVENNLFSGPIPEKLLSIPKFLRNGNHFSTPPIPGDSPTPPTPRLPSTSPPTTPNHALAPAAPDEPQVLSGSHPPIFVIPANPQIDPPPRHNNKVSPAKAAGFSILAAGSLSIAAVAVVFTISKWRRERTPHGGYLRRAEMSTPSWVWEHAKPSAVSKPDKERHSAAEEKKELPPRDSVRAAGSSVHPSFKNSSKDSVVSDKNVQGSSEIQLSQFSFTFFTAEHLQQCTNSFSDQNLIRENCFGKVYLAEHQENKFVVLKLDGSTAKTLVSEFLKTVQGIYELRHPNVDELVGCCVDHGQRLLVYNHFSDNTLGDLIHSEHPAETLPWDARIGIALEAAKALEYLHEGSQRQAVVHRHFRPEHVLVDGELRVSVSGGGLAPFVPSGVALQLSDNCGGTMSYEPPEAAAGDAAWTAKGDVYSFGVVMLQLLTGRKPYDRSRARGERHLVPWASPRLHDLAALRNMADPRLGRTPPVRSLSRFADVIGRCIQVSACSLTPLHRTSDVRQIDAMGTDSRELPLVGSQQEAEFRPGMAQVAQDLRRALDAAQSLTVV >Et_3A_024219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18551737:18554205:1 gene:Et_3A_024219 transcript:Et_3A_024219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPLSPERVLSPSAIVHQTASAIVVAVDQDRNSQLAVKWVVDHLLSGASSIILLHVANHYAVNHGFATAEITPAALEAAMREIFSPLRGFCNRNGVQVSEVVLEEADVSKAILEYITANKIQSIALGGNSRNAFTKKFKNADVPSVLMKCAPDFCNIYVVAKGKSVNVRLAKCGVPVTGDISSLDSSESLKYSRRGSRSHLPPATPDGSSRRSIDSRAGTVPELTTRPPFRERSLPSSSSNNKLGCRLDDGSSSSSRSMSRRSNSHDSLCSDLDFSQSGRFSVGEYQLADLSAALASLRHEQQPPMSPSCGGGGNVEGEVRRLRLELKQTMDMYNAACREAINAKKRTKELQALKLEEARRLDEARHAEEAALALAEMEKAKCRAAMEAAEAAQRLADLEAQRRRNAEVRARREADEKVRALDAITSHDFRYRKYSIDEVEASTERFSDALKIGEGGYGPVYRASLDHTPVAIKVLRPDAHQGRKQFHQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMDNGSLEDRLFRRGGTAPLPWTQRFRIAAEIATALLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPAVADKVTQYRLTATAGTFCYIDPEYQQTGKLGVKSDIYSLGVLLLQVVTARPPMGLTHHVEKAIDAGTFAQMLDITVKDWPVEEALGFARLALKCTEMRRKDRPDLATVILPELNRLRNLGYAYEQARATIGSSGSGESAGQHAQPSSLPTVECGWRTAES >Et_5A_040634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10686707:10687935:-1 gene:Et_5A_040634 transcript:Et_5A_040634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGHSFTPSHASLIDAMIDGNIVSDHATASLFHNADVCSVRPHDLVRDQTPARVPCRDAADGFQWFFFSPARFGGKRRSRTVDGTSGKESWHSEASSKAVEGSENGGFLQMFSYHVRTATGTVQKPGWIMAEYTFKDTRPGDVVLCKVYRSPRSKACSSSGSSSSAVSGTKRKAADDGEHLVAATPSTRPRLDVADEDDVMMFAEDIIERDLMAHDDHDGTAPDQFGETTQRQHVVESPHPEPQLAPASVDVVTALQGMETFLMTDEEDNGTTLQVPDGEDPEAFYWRLITLQEMETLLMADEEDDGTTLQVPDGEDSQEFYLRFMGLYGADDQQAQQDAAIQQQDEDAAILQQDADIQTARGLLSEYDVITALATGVTVDQRLLDGPSMSCLHPCGAHGVLCS >Et_2B_020889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24631418:24632540:1 gene:Et_2B_020889 transcript:Et_2B_020889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGHRLAHSLLSSPLSSSTSLGSLPPPNPSLASAMQFLTAETPVRPSAHLLRLSSPPPFPHLRRRRCSPPPKLLATISKQPLLLARRSLLFTPRAHGSHGHGHHQHNHHQHHGHNHHHGHCHCHGHHMVDAHGGGGGAAVMRVARAIGWADVADALRDRLQVCCISLGLLLIAAAWPHVAPLRSVRLLPAALDAVAFPLVGHIMRAYGHVIASCDKRQRGYQRDGDAKGGCPLMNADAGEASGSADANAGRVAWALSAWKMAYKWAFYIFESKGPEPM >Et_6B_049696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:947511:949469:-1 gene:Et_6B_049696 transcript:Et_6B_049696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGISVLRSRAFLAPPPRFLSRLPRLRAVSFSSLPPPPPEMEASYKFGPYKIDAREVFHSTPLSYAMVNLRPLLPVKRFVDLSSDETSDLWITAKEVGARLEQYHKASSLTFAIQDGPQAGQTVPHVHIHVIPRRTGDFEKNDEIYDAKELKEKLDLDIERKDRSMEEMAHEANEYRALFS >Et_3A_025853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33342166:33345414:-1 gene:Et_3A_025853 transcript:Et_3A_025853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAGEAQQQPPDGADGGAGGSGGGRGHRCCCGVGRLVRLQCVAALVLGVAVLLSALFWLPPFAGRGRGAEGPDPGDELVDDIMASFRLHKTVPELSGNKSRLELDIYEEIGIPNSTVVVKLMHPLVGSNWTNVIFSVVPYPKNLTISSTWLSILRASFMSLVVRQSTLHLTESLFGNSSSFEVLKFPGGITIIPPQSAFLLQKPHATFNFTLNYPIYKIQDRTNELKDQMKAGLLLNPYENLYIKLSNANGSTILPPTVVETSIVLEVGNHEPSVPRMKQLARTIANSSSSSGNLGLNHTLIVIILLRHHIHNHLLLLVALHMIPVCMVAPLFQPHQLDRNHRCRLFLSLMHILQVSLEQALLQMHMQQKQVLLRCLKWPLHLNHVSSLSICITIGDCLKQNKFIYMLCNVQKGSSVQKTSPSAYILH >Et_3A_024837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24736200:24739953:1 gene:Et_3A_024837 transcript:Et_3A_024837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TLSAKAPAPATAVTRARARLPSSQRASRRRPCGRAASSGAGSAVALVDDAKKDVLIALSQIIDPDFGTDIVSCGFVKDLEISESLEEFETKANEVVAALPWVKKVNVTMSAQPAQPVYAGELPEGLRKISNIIAGGVGKSTVAVNLAYTLAGMGARVGIFDADVFGPSLPTMVSPENRLLVVNPESRAILPTEYLGVKMVSFGFAGQGRAIMRGPMVSGVINQLLTTTDWGELDYLVIDMPPGTGDIHLTLCQVAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKLLRTCATSMLMESAITHLDKVVQQFGIPNLFDLPIRPTLSASGDTGIPEVVADPQGDVAKTFENLGVCVVQQCAKIRQQVSTAVSYDRSVRAIRVKVPDSDEEFLLHPATVRRNDRSAQSVDEWTGEQKVQYSDIPEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLEMLERLVDAPRAATSAVASS >Et_5A_040109.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:10392331:10393578:1 gene:Et_5A_040109 transcript:Et_5A_040109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVERPRDHRHAVPQALEDRVPPAVRHESAHGGVCEDLLLRRLRRPHQATVFGALQEAIRQQLLKVGVRRGPFAGCRRAAQHPEESVPAALEAAGYLVRLRRGEVPCAPEAEDHDGSWRLRVEPSHALVPLLGGRVERDHRAYGVDHRGTNSVPRAQAVGDGRKHALFQLGSRVDDDAVGLRETPSVVHEPRVVRVRLVHHGVREARWRHGRQPGHVHGALHLLELHRHLLVERRQAQEERQHRGVGGEVHVRRHGELAGHVEHRGAEEVEDERRDGAGQAGDGRPHVGRVQLHEPGDEVLDAQKVRGRDGGEVDEADVEAGSLLAAYVACELGRGLFLRRLHDEHGEGERAAAVGEQPLAGFQHGHEMAGTPHRQQHHGRGPHGSDQHDGLQSAADLPPLSSILSEQDGWVR >Et_3A_025157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27414208:27435263:1 gene:Et_3A_025157 transcript:Et_3A_025157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKISFWRNCAVADLLILSVLATRCLPVLTARADSIFQNQSISDGHFMTSMSKNFVLGFFSPGTSTYRYVGIWHNSVPERTVVWVANRNNPLQDNLGVLRFDNSSNLIVQDGRGKSFTVANGTGVQDMEAAILDNGNFVLRSISNQTKIIWQSFDFPTDTWLPGMNITFGSKLLTSWKSYDDPSVGDYSFGPGITFGPGITSALQLIIWWNGNKFWTSSSWNGDVYSLIPDLTNIGTIPVSFQCDNLTCMYTPNPRNRMTKIVLDPDGSLNMVQVYSEAQSWTLLWRHPVSCDISNICGVFGLCNNDMSLTSEFQKNDMPLTFPCRCPRGFVPQDIENTRKGCTRKTPLQCNGDKFIDMPGMRLPDNREKLSLMGNSECQLACMTDCSCTAYASSVSDGCSLWHGNLTNLQDGYNGSGGGILYLRLAASELESMKSSGRKVIWIAGILSSVALLIFCLILFVWVRRLKKKGNRKQHDQPSLMISDTIKLWEKNKLGEGGFGPVYKGNLSDGQEIAVKRLAANSGQGLPEFKNEIMLIAKLQHRNLVGLLGCCIQGEEMLLVYEYMPNKSLDFFLFEQSRRALLNWEMRINIIEGVAQGLIYLHKHSRLRIIHRDLKASNILLDIDMNPKISDFGMARIFDPKGTQANTKRVVGTYGYMAPEYAMAGIFSVKSDVFSYGVLLLEIISGIRNAGSQRRGNSLNLLGHAWELWKEGRCCELVDKSLHGACPENMVLRCVHVSLLCVQENATDRPSMTEVISMITNENTTLLDPKQPGFLSMLLPNVTDIPDETCSLNGLSITNLDAASMGARALSLVLLLLAAAIASFTLVASTDTIFRNTSIAGNQTLVSAAGLYALGFFSPPGADGRWYLGVWYATIPGPTTVVWVANRQEPVVNSPGALRLSADGRLVILDGQNATVWSSPAPTRTVTARGAARLQDDGNLVLSSDGKDFDRGVAWQSFDYPTDTLLPGMKLGVDFRAGIVRNITSWRSPSDPAPGEYTFKLVTGGLPQFFLVQGGTTRIYTSGPWNGDILTGVPSLKAKDIAFTVVYSADETYYSYAIRDPALFSRLVVVDAPSGQLRRFSLNNGAWKPFWFYPTDQCDYYAKCGAFGYCNTDKSPPCSCLPGFVPRSPERWGAKDWTGGCVRNTNLSCDGGDGFWVVNNMKLPQATDAMVYAGMTLDQCRQACLTNCSCGAYAAANMSGGVGVGCVIWTVDLLDMREYTIVVQDVYIRLAQSEIDALKAAAAANPGHRSKNKIIVIVAATISGVLLLLAAIGCCCFWMKNKKRGKDQSDIASLPTSSVDHGLPYRNRSQPALSPVRDGNLYEVPENASYFGKEVDLPMFGLDEILVATDNFAEHNRIGSGGFGPVYLGHLEDGQQVAVKRLSRRSTQGVREFMNEVKLIAKLQHRNLVRLLGCCTDNDERMLLYEYMVNQSLDTFIFDEEKRRFLRWQKRYDIILGIARGLQYLHEDSRFRIIHRDLKASNVLLDKNMVPKISDFGIARMFGGDQTTAYTAKVVGTYGYMSPEYAMDGIISIKSDVFSFGVLVLEIVSGKRNRGSYEPELDVNLLGYAWMLWKEGRSVELLDEAIGGSFHHSKLLRCIQVALLCVEAQPRNRPLMSAAVTMLTSENVVLPEPNEPGVNIGMSSSDTGSSHTRTATTNTMTVTTLDLPAGLAPQFGGSGAMGARTLVLFHLLATAAVSLNPVTSTDTILRNTSITGNQTLVSAGGIYALGFFSPPGANGRTYLGIWYARIPGPTTIVWVANRQNPVVKSPGVVQLTAGGRLAILDGNNDTVWSSPSPTRNVTARGAARLLDSGNFVLSSDGKDFDHSVAWQSFDYPTDTLLPGMKLGVDVKAGISRNITSWKSPSDPAPGEYTFKLVLGGGLPEFFLVRGGTARVYTRGPWNGEILTGVPYLKAKDFNFIVVDSADETYYSYFIRDHSLLSRFVVVDTTSGQLQRFSLENGAWKPFWFYPTDKCDFYAMCGPFGYCDTNQSLPCSCLPGFVPRSPEQWRAGNWSGGCVRSTNLSCDGGDGFWVVNRMKLPEATNATAYRGLNLDQCRQACLSNCSCEAYAAANMSGGVGVGCVIWSVDLLDMRQYKIVVQDVYIRLAQSEIDALNTAGNRWRPQKSVLIIMIVSGVLLAAAVCCCFHRMRRKSQKDMAPLPSSPLDHELPFRVRNRSLFIPVRDQQLDEAYEDISNSKNDIDLPLFDLEVILVATDNFSEHNKIGSGGFGPVYLGNLEDGQQLAVKRLSWRSKQGVKEFMNEVKLIAKLQHRNLVRLLGCCIEDDERILVYEYMHNQSLDTNMFLTSSSYVWMRWCLCCTVHHADERKRSYLRWQQRYDIILGIARGLQYLHEDSRFRIIHRDLKASNVLLDRNMEPKISDFGIARMFGNDQTSEYTGKIIGTYGYMSPEYAMDGIISTKSDVFSFGVLVLEIVTGRRNRGSYGPELDLNLLGYAWMLWKEGRSVELLDEEISDSFHRSKALRCIQVALLCVEAQPRNRPLMSSVVMMLANENIELPEPNEPGVNIGRMNTSSDTESSQTRSAGATANYVTRSHRRLIREESMRARALSPLLVVVGATFFSLAASTDSIGQAASISGNQTLVSAGGVFELGFFVPPDGAEGRTYLGIWYANIPGPTVVWVANRQSPLVNSPGVLRLTADGRLAILDGQNATVWASAAPTRSLTAGATARLQDDGNFVLSSDGSGAEQSVAWQSFDYPTDTLLPGMKLGVDVRAGITRNITSWNSPSDPSPGAYTFKLVLGAMPEFFLVRGAAATAVYASGPFNGAVLTGVPNLESQDFRFKVVASPDETYYSYYIVGPTSLLSRLVVDATAGQVQRFVWINGGWSSFWYYPTDPCDYYAKCGAFGYCDTGNSPLCSCLPGFQPRSPQQWSLRDGTGGCVRTTNLSCGAGGGDGFWVMNRMKLPQATNATLYAGMNLDQCRQACLRNCSCRAYAAANVSGGVNSGCVIWAVDLLDMRQYSTVVQDVYIRLAQSEIDALNAAANRRHPSKRVLIAVVATVCGVLLLLTVGCCCMWRTRRRRRRSETASSAPNGADDVLPFRVRKDQRFDEEWQTAEKDVDLPLIDLAVILAGTDSFAAHVKIGEGGFGPVYRGKLEDGQEVAVKRLSRRSMQGVVEFKNEVKLIAKLQHRNLVRLLGCCIAEDERILVYEYMHNQSLDTFIFDEGKRRLLRWQKRFEIILGIARGLQYLHEDSRFRIIHRDLKASNVLLDINMIPKISDFGIARMFGGDQTTAHTAKVIGTYGYMSPEYAMDGVFSMKSDVYSFGVLVLEIITGKRNRGFYEEELDLNLLRYAWMLWKEGRAVDLLDDTMDGSFSYSEVLRCIQVALLCVEVQPRNRPLMSSVVMMLASENATVPEPNEPGVNIGKNTSDTESSQGFTANNVTITAIDA >Et_6A_048123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7054137:7056896:-1 gene:Et_6A_048123 transcript:Et_6A_048123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWEMRKLHDWNMVAAKEGVRMITCRMCSVYQEKMTTIKMKDWKIAYVNQHKINQSIINPCYKQPKGSKEIRQRKEIATLRKAYEDRKKGLVKPGSTHLSTNDMYWVVAHLCRFCSKRGHPSVRIYRRFTYSWVANAVSSVESIIDKYGLNGIDVDYEHFAADEATFVECIGQLLTRLKAQTPGSPQQLPGGQDPRQPRVLETNQPAGLLTPDQGIDAAKELQRQNKLPGFFIWCADNSKKSIYKFKYETVAQDTDPSSDGYISSIGSDAIGSDAIYLSIKPTVHR >Et_10A_000030.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:17077437:17078171:-1 gene:Et_10A_000030 transcript:Et_10A_000030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAVTSLLMAFIMSASTATASAPSSSAVSSDITITAQEMQRARYFTFVMLLRMVQDKIPHNTTFLMPNDRLMSTASIPQSQVLEFLFRHSISAPLMYNDLIRLPNGTVVPTHHSSEMITVTNTGHQKLYFNDVELTSPDLCHLEASFRCHGINGVIRPTATRRGKGATCTRYVAPTSAPPDTPSTANQSLGTSPLPSPNTGSSSIPAQQPAAESPQSSDTPITQIGMSCTTLMTVLMFSIF >Et_10A_000079.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22229055:22229069:-1 gene:Et_10A_000079 transcript:Et_10A_000079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSSR >Et_5B_044282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22266120:22269854:1 gene:Et_5B_044282 transcript:Et_5B_044282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLALSLLAILILLPAMASAAMDPKCPTKCGDVDIPYPFGIGAGCYRSKGFEILCNNGTTAVLQSDTHTIRVTSLSVAPAPEAKVNFPVAYRCYDSAGRDVGRFDGHVDVNAHGVYRISEARNVFVVLGCNTGAYTMNSNSSAVGGRYAQLYFMGCFTYCAVAGSARDGRCDSVGCCRVDIAPGLTDNVVKFQDYPHDAMEFSPCDIAFLVDRDGYEFRAADLRMDVRRRSMPVWLDWAIRDGAASCAAVAAGNSTGYACVSDHSECVDAVNGPGYFCRCEQGFEGNPYLQNGCTSIPLGLSLLSVALLFAFMVRHKRRMNELFKRNGGSVLENVDTIRIFTKEELRKITENNAHILSKGGYGYVYKGVLEGGTLVAVKAAIEINEARKEDFTNEVIVQSQMIHKNIIKLLGCCLEVDVPMLVYEFAPNGNLYEILHGNRQRVLSLDLRLDIAAESAEGLKYMHMSTNNTIRHGDLKSANILLDENFMPKISDFGTSKLLSRGEEFTMLVVGSMGYIDPVFNQTGLLTQKSDVYSFGVVLLELICRRPTIYGEHCSLVIEFQRVYKKDNSGRAMFDEDIAVTEDNVLVLDEIGRLAIECLEEEVEERPDMKEVAERLVMLRRARKHGQWSYGSPHHHSEKDNVGGAPMSFATDINRKSSTMPLIPSTWKHSDQFHPPSAATCFM >Et_1B_012515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32727498:32730961:1 gene:Et_1B_012515 transcript:Et_1B_012515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GSLTASCSTITNQKVLFFGPKQFPRVTYSPASRASSRLSRREIIAFAGQQSWDVGRFVKTLFFFNGPPNPLKIVESIINSFASPVASETPTKMETSDVVLVTGATGGVGRRVVDILRKEGLPVRVLVRNEEKARSMLGPEVDLIIGDVTKENTLDPKLFKGIKKVISAVSVIVGPKEGDTPDRQKYKQGIKFFEPEIKGPSPEMVEYIGMQNLLNAIKNSVGLSEGKLLFGLKGNLSGKIVWGALDDVVMGGVSESTFQILPTGSETGGPTGLFKGTVSTSNNGGFTSIRTKIKIPFSSLRPVFRARTMTDAPPFDASNITSLQLMFSKFEYDGILNPTFTEGPFELPFSSIRAYINEPITPRFIHVSSAGVTRPERPGLDLSKQPPAVRLNKELGSILTYKLKGEDLVRESGIPYTIVRPCALTEEPAGADLIFDQGDNITGKISREEVARICVAALSSPNAVGKTFEVKSTVPFSEPYVIDPSNPPPEKDYEVYFKELKEGITGKEALEATSAQV >Et_8B_059786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2786647:2790087:1 gene:Et_8B_059786 transcript:Et_8B_059786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPMASGVGNGTAKSLPQWLRENGFDEEAVARMSKRCKNLQNLDAGEASGVWDYLINGVKIERRKLRHVVAKCPKVLTLSVDGKLVPTVQCLATLQAKPGEVAQAIAKFPQILFHSVEEKLCPLLAFFQTLGVSEKQLAKLLMVNPRLISYSIEAKFSQTVDFFVSLGMDKEGMIGKILTKEPYIMGYSVDKRLRPTAEFLKSVVGLQESDLRRVILNFPDILSRDVNKTLQPNLDFLRSCGFSNHQVRALVAGYPHVLIKSIKHCLEPRMKFLVEEMGRDKGEVIDYPQFFRHGLKKSLEYRHKVLKKMNSSCSLSEMLDCNQKKFAMKFGYVREKELDEDEKEGVDDVSESASCDGLLAISYLSRSTRGDTQVLIKCCSVEGKQVELLANNFLFKDCYLPD >Et_4B_038371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28524310:28527670:-1 gene:Et_4B_038371 transcript:Et_4B_038371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGYPLLRFPCRCSLAAPRLGSPSPLPVSVSLYSSASTADGDGGDGELTAREKRQQRRERRELRATDWKEEVQDRLIHEPARRRKKPPKRTWREELNLDLLAEHGPQWWLVRVSMAPGTDYVDLLTKAISRRYPEVSFKIYNPSIQVKRRLKSGSVSVKSKPLHPGLVFLHCTLNKELHDFIRDTEGCYGFIGATVGSIKRQIKKPKPIPIEEVESIIKEEKEEQERADREFEEMDNMGNVSFSKPVEDSELMLMNKIKKQFKKSPSKSSASHSAFTPGASVHVLSGPFTGFTGSLLEVNRKNKKATVQLSVFGKESFVDLDFDQIEAVKADGNVSGDLFSKDIMGVKKDKICILFA >Et_7B_053401.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:15391008:15391235:-1 gene:Et_7B_053401 transcript:Et_7B_053401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAARLGGMGTGSSEDAAAPKLSGEVVHRRILGSRINPSGLDPDRPSCIKTACPARGGPYTGRGCQRLYQCPSG >Et_3A_026329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6953350:6955085:-1 gene:Et_3A_026329 transcript:Et_3A_026329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGNLFASVEKLDDSYVVPGAKKRTLSPRRRLLPCRHRQKLPPLAKLRCKLKFPDEHHTFTSCATPWASNVHHCLATEAQQQPNVHSGARSSSFATGSLLLPPAPRSSRGRGGGEQSKAFFQCASVNSSIYGYGGQGRGSTCSRYVTDAYATACPGCGGSMTKALEYVSPAGSVGISGHRRSVQKDAYGGGSAYSGFVQGVVTYTVLDDLTVTPMSAISSVTLLNSFAVTNLAALKEKTVRLGYVEGVEILRASLQSKTVLTDVFLGKQGTGRSA >Et_1A_009528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7388544:7389336:-1 gene:Et_1A_009528 transcript:Et_1A_009528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAYRRTTGAVTSCWGRFGLAALWRRLRLISLPRRHYRTHILSAGGLNYDSLSYSQNFDDGKACECEPDFLARFAVSRRGSLPCPVAVATSFRSSPDLRPIGDEPTTSVGE >Et_3A_027036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30103275:30109542:-1 gene:Et_3A_027036 transcript:Et_3A_027036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFSFGGSAAAGSSSPSPFSFSSAPSAFSFSQPAAGSSPAPAFGSSPFGAPSAAASSAPTFGSSLFGAPSAAASAATTASSPSAFGFGSTGFSFGQSTAVASAPSPFGANPTSSAGTTPNLFGAASTAASSPGLFGASATGSATTTPSLFGATSAPATTPSLFGATSAPATTPGLFGVTSSAPATAGLFGATSSPATTPSPFGSTSTAGTTPSLFGGAATSAASTPSLFSGAATGFGFGASTSGSTATTGAASTPAFGFSLNSGAAASSTASTTASSPALGFGAATGSSLFGSTTSAPLFSTATASSPANAATTAPSFGSIASTTAASTAPSLFSASSASGFSFPKSTSTTPAPASTPSTGFSLATSQAASAPSLFSNTSAAGSSSAGISAFSFGSSAASTPTFASVSATGASTAPSASATPATSGFSFTVAPSSAPAATATTTTVTSATTSAGMTSTAPSTGTTTGLFSLQSSTAASPSAPALFGVSTTAASTSATGTSTSQATSSAVQASSTGFPSTTALTPATSQAPKLPSEIVGKSVEEIIRDWNNELQDRTAKFRKHATAIAEWDRRILQNRNVLIRLEAEVAKVVETQTSLERQLELIETHQQEVDKALQGMEEEAERIFQDERVLLREDEAASARDTMYEQAEVVEHELQHMTEQVKSIIQTMNATQGGELEAADSMTPFDVAVRILDNQLRSLMWIDEKVNEFSGRIQKLPNNNAAVDRDSGIPSITSKAVANSKH >Et_9B_066055.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21706630:21706956:1 gene:Et_9B_066055 transcript:Et_9B_066055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSWCRSLRLLFLAFLLVLSAAAPPRTGSRPPELSAADALLARMCDPRSSRPAGAPPLPLCHGLHLKRRHHNRPPMPPPGRGGEEEIDVRYGVAKRLVPTGPNPLHN >Et_3B_031640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6650092:6651782:-1 gene:Et_3B_031640 transcript:Et_3B_031640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDGSSNDMPAGKKARKPYTITKPRERWSSDEHERFLDALLMFGRDWKKIEEHVRTKTTIQIRSHAQKYFIKVQKLGLAAGLPPQYPRRRFVMQQQELQGSPAGSSAAAAGPVLQGLPQGAPLAMSGPSDGMPTLDLDWPAPSGTAAWLTTDGQRQIAPPASFPSDSSFIGAPSFSNATMEWSGSSSSEPSAAGNVQDEIIQLPLSPDDLHFAQVYRFIGDIFDPNTPCPVEAHLHKLKDMDDITVKTILLVLRNLETNLSAPQFEPVRRLLSRYDPTKGLSGQL >Et_3A_024041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16637901:16644710:1 gene:Et_3A_024041 transcript:Et_3A_024041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVGGGGGALRRVGKYEVGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSSILKHKMAEQIKREISIMKLVRHPNVVRLHEVLASRKKIFIILEFITGGELFDKIIRHGRLSEADARKYFQQLIDGVDFCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSAWPAQGASLLRTTCGTPNYVAPEVLSHKGYNGALADTWSCGVILYVLLAGYLPFDEVDLTALYGKIESAEYSFPAWFPGGAKSLIRRILDPNPDTRIRMEEIRNDEWFKKNYEPVKEVENEEVNLDDVNAAFDSPEEDTEDTFDDEAGPLSLNAFDLIILSQGLNLAALFDRRQDYDKLQNRFLSCKPAKVILSTMEVVAQSMGFKTHIRNFKMRVEGLNANKTSHLTIMVEVFEVAPSIFMVELQRAAGDTSEYNTVASNYCSKLDDIIWKFPIEKGKSRISRLSKC >Et_4A_033934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28093666:28096609:-1 gene:Et_4A_033934 transcript:Et_4A_033934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIVATCCRATMSPLAFLLLFSLGHVFSAGDAVDFTFNGFAGANLTVDDSASVTPDGVLVLTNGTFTMKGHGVYPAPVQLRSPAPGGGVLSFSTTFAFGILSEYADLSAYGMAFFVAPTKDFSATLPSQFMGLFNTSNVGNASNHVFAVEIDTLLNVEFGDINSNHVGINVDGLRSVNAATAGYYDDDDGSGGAFRNLSLFSGKAMQLWVDYDGRSTQVDVTLAPLGVARPKRPLLSHAVDLSTVITTNTSYIGFAASLGAMSSRHCVLGWSFALNGSAPPLDYSKLPNLPVAARGGGGGGGKSSEVLEAVLPIGIVALVLAVAAAAFVVGRRRAKYAELREEWEDEFGPRRFTYRDLFRATEGFADTHLLGAGGFGKVYKGTLRVSNLEVAVKRVSHDSRQGIREFVAEITSIGRLEHRSLVRLLGYCRRRGELLLVYEYMPNGSLDKYLYHDHKRKDTDTTTLLDWDARLRVIRDVAQGLFYLHNNCEQVIVHRDVKASNVLLDAGMGAHLGDFGLARLHDHGAHPRTTRVVGTIGYLAPELARTSKATPRADVFAFGVFLMEVACGRRPIEDDVLLVDWVLRRYGDGALAECVDARLQGEYDAGEVGLVLKLGLLCTQVSPDARPTMMQVGQYLDGTLPLPEPSRTNLDFGTMASFQTDGFDSYVRWYPTSSSTASGTSHGTVSDLSGGR >Et_10B_004372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5070318:5071456:-1 gene:Et_10B_004372 transcript:Et_10B_004372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTSLASPAALTAHPPPPCLLRSRTWVRRSRRPVPVAYHRQFLAPINRYRSSSATSSRSSAESGQSTTTTGNSSSKPSSRKKKKKKKKKKKKKKKKKVTLKLTYLEINTWVWEVHQQHGVDEARPLRVLVDPIAAGNLDFGMPWLYDGAKKHPAVRAMGADDLLRSPTCCSSRRASTTTATLARLSAMAPGLPVVAAPSAEHTLAALPAPSFRRVTYLAPGQSAGVGDRFRVLAAPGPVAPRTPTSSFFSGDGGEGLIYYEPHCVYDRRFLGENSVRAEVVITPVVKQILPGNLAVVSGQEDAVELAALLRARYAVPMNNGDLDTGGLLTRVITSQGTTESFEAMMAESLPHVQVPVATPGVPLCLEL >Et_9A_062726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3756704:3761033:-1 gene:Et_9A_062726 transcript:Et_9A_062726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRSRLLLVCLAVAATSLLQARAQTDSIGMSASHLVSQQDFLMKNYALFAVAAGFISIDCGLPGTASYLDDATKLTYVPDAGFIDTGTNRNISNEYVTQQLSRVYLNVRSFPNGTRNCYSLPTANSGHKFLIRAMFKYGNYDGLNRPPVFDLYVGVNWWTTVNVTDADPLVMSEAIVVVPDDFVQVCLVNTGTGTPFISVLELRPLKSTLYPQANETQGLGLVLVARLNFGQQTGDVRYPDDIHDRIWSSWFEDEPTEWKDIFTTSKVRNYDNDAFEAPSKVMQTAITGPDVSNNIMFSWHTEPQPKDPTPSNEVRQFYVYLNGEQCSTGAYKPPYLYSDTIYSDNPLGNNTWYNISLNTTANSTLPPIINAAEVFTVISTTTVATDPEDVSAIMAIKAAYHVQNNWEGDPCVPKDFAWNGSTCSFTSSSRPRITGVNMSFSGLNGNISSSFANLTAVQYLDLSHNNLSGTVPDSLSQLSSLTVLDLTGNQLSGSIPAGLLKRTQDGSLNIRYGDNPNLCTNPDSCQTTKKKSNLAIYIAIPVVLLVVASVVVLCCILKRKKKGSTYTTVQTQKEMPMTSVTTNSSVPEHSSMSSLQLENRRFTYKELEIITNNFQRVLGQGGFGHVYHGLSEDGTQVAVKLRSHSSNQGVKEFLAEAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMPEGTLQEQISGNGRNRILLTWRQRLKIALESAQGLEYLHRGCHPPLIHRDVKATNILLNSKLVDKIADFGLSKAFNLDNDTHISTNLLVGTPGYVDPEYQATMQATTKSDVYSFGVVLLELVTGRPAILRDPAPISIIHWSRQRLARGNIENVVDPRMHGDHDINGMWKVANLALKCTAMASTERPTMTDVVAQLLECLELEEACSGEANDNFYNDSGSYKGYNKYTAHDQNTDVSQNNSAFDMEHNFGRLPSMPTGPAAR >Et_4A_032309.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29277001:29277222:-1 gene:Et_4A_032309 transcript:Et_4A_032309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDGIASCAAVACNETGVFLGVSVLIVHGITDAEVAEAMASREELALASDIAVQRFCFASGCSIVVKNIKGK >Et_5B_043884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17107658:17109510:1 gene:Et_5B_043884 transcript:Et_5B_043884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAVGKGRWRPRKPSPSSAAVGDGSGAAEDARPQAARKGSAAAAGGGFFCCYLLRSQCPRLKGRTYIGFTVNPRRRIRQHNGEISSGAWKTRRGRPWEMLLCIHGFPTNVAALQFEWAWQNPKESLAVRQAAAGFKSLSGAGNKVKLAYAMLNLPSWEKLNLTVNFFSSASAKFAAGCPPLPTQMKTVVCPLEDLQCNAGAVREDQGTSSEDQGTSSEVENINNDPQDHNKPPDSPCRVHRSDHSWKQLSTDQVQEVDAQAGIASFDVNEYDDSSDEFAPLEWNGILDLTEPEGSRTSTQCSFSSDGNDNGVVKDKLWQASTAPEEENVNNKPQDHPWKQQSTDQVQAVDAQAGIAAFDVNEYDDSSDKFAPMEWDKIFDLTEPDGSRTSPQCSLSSDGDDDGVVEDEFRQASSIWKVCVGSDGGDGGVIADELGHASPTPMLKIAADSDCDDSGVTDAELGQVSPMLIVDAGSDEGERDAVVDLVTPIPVSQLGRRPGAGCICLRTIDLTASPVVIEL >Et_2A_014727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10160862:10161584:-1 gene:Et_2A_014727 transcript:Et_2A_014727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGATPYYDATAATPYYDAAATPCRRKRGEAALTRLRAAILAVVARARRGGSRSCVTGTIFGRRRGPVHVALQADPRAPPATVLELAACSTGALVREMASGLVRIALECEKTGRKCGYAVRRECGADDWRVLRAVEPVSVGAGVLPDGDGDAAGEGDMMDSEAFYMVSPDGGGAVPELSIYLLRV >Et_3B_028264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12417921:12419805:-1 gene:Et_3B_028264 transcript:Et_3B_028264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSAADVLPSPHSFAAAFTAAARVPCASAGAAECPLEKNEFVTTAVLSAVSVPSGFLMGVQVHGLVVKDGLVGFVSVENSLVTMYAKAECMDAAQQVFDSSKERNSITWSAMITGHAQNGEAQSAARMFLQMHAAGFSPTEFTFVGVLNACSDMGALVLGKQAHSLMVKLGFEMQVYVKSALVDMYAKCGCIGDAKDGFLQLYDVDDVVLWTTMIAGHVQNGEHEEAMMLYAKMDKEGMMPSNLTITSVLRACACLAALEPGKQLHAQILKFGFGLGGSVGSALSTMYSKCGNLEDGMAVFRRMPHRDVIAWNSIIAGLSQNGCGNGALDLFEEMKLEGTAPDYITFINVLCACSHMGLVDRGWIYFRSMTRDYGLTPRLDHYACMVDMLSRAGACRSLRDFDVGSYAGERLMELGTEDSSAYILLSNIYASQRKWSDVERVRHLMRLRGVNKDPGCSWVELNSRVHVFVVGEQHQHPEAENISAELIRLAKHMRDEGYRQRSQFSFNEELIMPGMFPEDDQPEL >Et_1A_007709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37442278:37447155:-1 gene:Et_1A_007709 transcript:Et_1A_007709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTARGSGTNGYVQTNKFLVKYRTTSGPPKPPLPGHGDDAGAGLGGMRKPNKEILEHDRKRQVELKLLVLRDALEEQGYTEGEIEERVEEARKAAEEEAAAAAAAEEAGQGTGRPALPGRGFTDTQSHHVAARKEKQLDTLRAALGLDAEDAKKGDVESDVESGELVPGKYYEELDTAGQKDSKVSKDGRKEAKKDKKQKRNDGRSHSKRSKKSKNDSDSGSDYEHGKKKKKTSRHDSEDDSQSDYDAKKLKKHSKKSRHDSEDDSEDDYYKKGKKQSKKSRHDSDDDFETDPKKANRGKGNRHDSESDSDSDHGKKKTKDVKNNQDERKKMPVKSSRHDSDDDFETYRKKANRGKGNRHDSESDSDSDHGKKKTKDVKNNQDERKKMPVKSSRHDSEDEKPRKSKYEDSSRHNSEDEKSKKSKYKDGSHHDSEDEKSRKSKYRDSSRHDFEDEKPRKSKYKDSSRHDSEDEKHMKSKYKERSRHDSETDDSDYDQKRQKSVRKGKDSAEVGNDMDNDRGDKLKYAKISHNTEQRGDNPGKNIDKRKRHDSDSDSDGYARDRKRQPDAVGVKKNMQERRRVASSSESSDYSSSSGSESDVSADSYDSEERRKELNKQRLREEERKELEKQKQREEERQELEKQRQREIERMELEKQKQREREEDRHREREKDRHKEREQDRRKGGYGVERDCKRKLEEDRYDPNSSSAREDGYMDRLYKDGRRDEERDRSRDIDRQDSKRSRHDDSYHRSRRDEERYSRDDYRERRRRVHEMPQVHVAACNSSIEQNQHGDV >Et_5B_043640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13343942:13348130:-1 gene:Et_5B_043640 transcript:Et_5B_043640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEGRLPIVYQEGKKETKEKTIQKYKPKGYKCGGYLILMEIPFAGSVGAVPATFTFSNKCREAIYPGVLTNSGKPGFPTTGFGLQPGASASYDAPATWSGRIWARHHCTVDASGRLSCSSCDCGTGKPECNGAGGKPPCTLAEFTLGGGNGGLDFYDISNVDGFNVPVQVNQLQTKLINFIKCIIYVRYLIRLRYHISNYRKLTIYILSHVQIRSRGAGCTTVTCGADIGMPAGAGGDGGGRQEGGSACLAFNADEFCCRGSYGNPNTCRPSRYSQFFKGMCPQAYSYAFDDKSSTYTCSGASYDIVFCP >Et_2B_020882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24507792:24514138:-1 gene:Et_2B_020882 transcript:Et_2B_020882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEAERAVARRAAMDSILSQLFALTAGKKDTNLSAQQGTETTDQRESSVCEAIYNLGNAASPRTRPMGSFLPGTERFVKLSTLGTGSHGVVAKARDSVTGETVALKTLHPKPLYFADSDDNKEFESYEAELRLPHRLLREACFMAACRGHPSLVSLSAIGRLPPPDAAQAQYCLVMERVGPSLRRVLRHERGGKPFPERDVRRMMRQVLGGAKAMHDLGVVHRAIHSDDILVGDGVVKIGDFGEATSVSETDVRCAIRISHMAPEYLLHAPGAVDSELLDSWSMGCLMLELLTGESHFHVADDDSAAGQLYRIFDVLGAPGKRTMKAIKPRGDLNRAKEVRKWRARQRRVGKQQRSRLRELVPCEVLSDDGFRVLQGLLMINPKKRLTAAAALQVPWFASIKEYDADVYYPDYHDRHNYNHHDNLIVPAAREFIGALQELLQSFFNLRESSICEVIYNLGNAASPPETRMGSFLPETGRFVEVSELGAAGGHCVVTKARDSVTGEIVAVKTLLSKQLNSDDEDDEEEAVLKLPHRALREACFMAACRGHPSIVSLSAIGRDPESSSYFLVMEYVGPSLYNVLVGQRGGKPFPERDVRRMMRQVVSGAKAMHDRGVVHRTIHSDNILVGGDGAVKIADFREATSVSETDVPYAGIVSHWAPEYLLDAPGAVNSELVDSWSMGCLMLELLTGVDHFHVDEAYNDDDLEAQLYRIFHVLGVPGKATMKAIKPLYCDHELAEKVQEWQARQRRVGKQQRSRLRDLVPCEVLSDDGFEVLQGLLVINPKKRLTAAAALHLPWFASKDDSPAGHRRVGIVPLISSCLSSFVRWLFGARDGAGNVLQLLGVDAFGLASMIAQAALTARRNRDACLQLSGHAHVVAGLLKKLQALPRLRQHPETRRPLEQLDDALSRAMGHFLPNTAHFVEVDALLSPWFPSIAHYEQVCELGSGHHGVVVKARNSVTGETFALKTLHPKPLYYDQDEEDEAYPQVSRRVLREACFMAACRGHPSLVSLSAIGRVPDTAQYCLIMEHVDGPSLYDVLVKQRCGKPFPEHDVLRMMQQVMSGAKAMHDGGVVHCAITPLNILVADGGAVVKLGDFGEATSMAETDVPYGERLSYVAPECLLHATGGSNSELADSWSMGCLMLELLMGEDHFAEAEADDYEGVLYRVFDVLGVPGRRTMKALKPQDFELAKKVQQWRARQRRVGKQQRSRLDALVPCDVLSQDGFEVLEGLLMINPKKRLTAAAALQLPWFTENTDDYSPAPQGD >Et_5A_042109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6405957:6408834:1 gene:Et_5A_042109 transcript:Et_5A_042109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCKPAMRSVLPFVVCFIAIYLITTPTTAIPVDVDNPFIQELGRWAVKEHVKQANDGITFNKVVSGDKYPDYELGKHYDLIIDAFNSDGKDSNQLLAVQPRPNPIYKCPQTPSTITIAKQRISINISISVSRRTSAAMRTTLLFATGLVAIYLIATPTTAIPVDIDSPRIQQVGRWAVMEHDNNSNDGIQFNKVVSGDEKMTGIILHYDLVIDALNNDGKDCKYEAEVRVMDGSMKRTLVAFKLAS >Et_4B_039668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2648625:2650910:1 gene:Et_4B_039668 transcript:Et_4B_039668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLVISEQRRHHHSTGRRKKASSASSPFSSPQPIRGFQAGNCRAFHSGITIGILPSPPVPRAYSPEPKTPKQQLHHGKKRSRAISISPSTSPPTRPELWAGPGFSNSPPPSSLPIPKFSLHQKRSVSLELPPADRSEDDDASVHAKSAPSSPTAGSGLHMPKTCKGANLNKTRIVKNDVTCEFGISSLGSGEFVNLVFI >Et_6A_046439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1507586:1510092:-1 gene:Et_6A_046439 transcript:Et_6A_046439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSSMRISSTSHTRRCAAAISMGFLAILACLSLTLTATAAAVPQPQPQPEPEVKPSDTDALTMFRHGADAHGILAANWSTGDACAGRWTGVGCSADGRRVVSLSLPSLDLRGPLDPLSHLGELRALDLRGNRLNGTLDALLGGVPNLVLLYLSRNDISGAIPADAVERLTRLVRVDLADNSLRGPIPAAALGKLAGLLTLKLQDNLLTGLLPDISAALPSLAEFNASNNQLSGRVPDAMRAKFGVASFAGNAGLCGPAPPLPPCSFLPNEPAPTPPSSTPSSSSSPSVVPSNPAASSSSSSVASSSPALATPESLGGAGKSGLSPAAIAGIAAGNALFFFALASLVVVCCCCRQGSGGEPGKKRKRRGRVGLEDGDGGLFGHIKGEHQQARPGSAGVISDGGDSDGARSKLVFFGADGDAGGEDDDDGDSDGSAGRDGSTLTSHLQRRRSRFQLEELLRASAEMVGRGSLGTVYRAALGDGRMVAVKRLRDTNPCAREEFHRYMDLIGRLRHPNLVPLRAFYYAKQEKLLIYDYLPNGNLHDRLHGHRMSGESPLDWTTRVRLLLGAARGLACIHREYRTSGIPHGNIKSTNVLLDKDGVARVADFGLALLLSPAHAIARLGGYVAPEQSDNKRLSQEADVYSFGVLILETLTGKSPAAQHLQPLPDAPDPQRRDKKSANAAAVSLPEWVRSVVREEWTAEVFDVELLRYRNIEEEMVAMLHVALACVAEQPEQRPAMADAVRMIESVPVDQSPMPEEDRDVSVTSPSIGVTTDDGGDGRLSYY >Et_5B_044884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6964814:6980883:-1 gene:Et_5B_044884 transcript:Et_5B_044884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLPFFCEVVFALPPSSTYPSFALPEIWDAFVSWWRYAPMGGAKSANIGGHCKRNPAQLASSVVLPQEATTLNFSTPNFGIERVCWHILMEAGLLPSLEAGLFHLQVLKEIVDCHQPRAGVDLIYQSSPFDLTRECAPSDRVPIPLSPVVSLAAREMASPPAALTDDLTAEILLRLPEPADLVRASAACVPYRRLATDRAFLRRFRALHPAPLLGFLDHNGFHPALPPHASATAARAVSLAADFSFSFLPSPPGGWVVRDVRDGRVLLDRPAPEDGGGGERSPAFTELAVCDPLNRRYVLLPPVPDDLAATVEHPLRVDFDRWCEPFLVPRGDGGADPAAVADETSFEVIWMAQCKAKLVAFAFSSSTGQWRAVASPAWRDLMSGAGVSSRRPVINGRQYARGCFYWVMDCLDKLLVLDARRMEFSIADLPSGCHRRQITMVEAGEGRVGMFALRDHIADGPVSLHYMVRQDGADGSGHWQMEKMIPLDPEFRHYIRGATERYLLLLRFPEDLSSSGVHVSSSAESIDLECFSLGVKTFLLEKMCGLKHHILRAHIYTNFPPSLSGAMASPPRPILPHLESTTPPPALPVELLEEILLRASPTTLARAAVACSSFRRLVADESFLRRYRSLHPPMLLGFLDGEFRRAERPHPCAAAARAFARAADFDFDRYVPRDGWFGWATSDVRDGRVLLRYGPWAKKDGSVSIFPDLAVCDPVSRRYRLLPPIPDALHASVQVHEESVKCFDAFIVPSGDDEEDTTFKVMGAVQCLEKLAVFIYSSGTGSWNVAASTTWEALSLTVPQQGLVLGYGPSYFAYGCFYKKVFEKNKLLKFDVSTTEFSTVDLPPRHDNMKIVVVEAGQGRLGVFSQINAQTIRYSIRQNEGQKSREWKMVNIIPLPKDHVSCIVGALRGYIFLSGQQNRNATAKACFSMEIKTLKIERVGWMMGFHVFPYFGYPPSTSPRTTIPLRPSPTALVRASVPCSSFRRLVADQSFLHRFSSLHPPLLLDIIVSEFRPAEPPNPCAFARATNFDFDGCVPRDGWFRWSTCDVSDGRVLLRYGSWVKKDDSFSQIPAPCHVHEKRVKCLDAFIIPSGDEVVPSGDEK >Et_1A_006986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29915417:29917259:1 gene:Et_1A_006986 transcript:Et_1A_006986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPRVSYQEFQCKDKFLVQSVVVSDHLSAKDITSQTFTKEKGNAVEEVKLMVYVMLPEQTSEIAERLDGSAFLVPPMQRIVDNGGTSYTSDLSSGSVSLRSAEMGTVSVPNVIGTPVGEIIQLPLLSSLDAKLAEGKNFVLEQNRELRQELFHLVCLFASNKNVSLKNEILI >Et_5B_044577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3745208:3747283:1 gene:Et_5B_044577 transcript:Et_5B_044577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGAPRALSQREQDIQMMLAADVHLGTKNCDFQMERYVYKRRTDGIYIINLGKTWEKLQLAARVIVGIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARIVLQMRGTILPGHKWDVMVDLFFYRDPEEAKEQEEEEALVAPEFGAVAEYTAPAGDTWGGEWGAGDAAPAPAPTGAEWTAASAPAEGVWDPAAAPPVPAPTGWEQGAAPVPAAPTPNWE >Et_6B_049680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8544277:8558801:-1 gene:Et_6B_049680 transcript:Et_6B_049680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRARCTLSAAQAARAFGFPTTSAAGGGSGDGGAPAVPTLDMDRFRPSYNVSPGAYLPVGAVRTRPAGGGDGGGGGGAEEVGPVIQCMKWGLVPSFTGKTEKPDHFRMFNARSESVKEKASFRRLIPKNRCLVAVEGFYEWKKDGSKKQPYYIHFQDHRPLVFAALFDTWKNSEGETMYTFTILTTRASTSLKWLHDRMPVILGNKDSLGAWVNDASVKLEELTAPYEGSDLVWYPVTSAMGKTSFNGPECIKEVHMGPSEMPISKFFAKKSSAHDQSGNPKKTPQELAETHAPGAAKMECEESIENQPEEINQQSGDEQTATNTVKHEPVNLEPQDLEKTHSIMHEDVVLADANVVKQDALGMKRKVEDTEVNPHLKMENSGWLPSMKKGKGSKAASDGQASLLSYFAKK >Et_5B_043719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14616634:14628543:-1 gene:Et_5B_043719 transcript:Et_5B_043719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTRANARLRISQDADSRGEGEGPDLISRLHDSILGSIISLLPLKDGVRTQILSSRWRHVWRISPLNFDTCDTAIIDDPIVSRILSEHQGPGRRFHMWFPVPSDDVLDGWLRSRSLHGLQELHFCYYNYKTPPIPPSVLLFSSTLCIANFGSCHFQGDIACQVRFPNLQHLTLRGVRISEDSLHAVIAASPVMNNLVLNYCAGFHRLNISFSSLQCVGVYLPTSAKRIACAQGRMLKKVIVENSAASGAGRGCPRRAPHAPQPPKLKMLGRLTDEISRFQIGTSVFKGLRGIKIGTPIHSVKTFSITMDDQSCDNRDVDHIECLDRHLKRLVLGYYRGYKSHVDFAKFFLVKAKILESVTLDVRPYKNSRSLRWLENQRRQLQVKDRPLLLLPDAILGRIISLLPTKDGARTQVICSRWRPLWRSAPLNLDTRGTNIFDGTISRILSVHRGPARRFNVVHRLFTYDTLDGWLRSPALGELQEIDFCYNLESAPSLQMPRSTLRFSPTLRVAKFGCCHFRDMTINQANFPNLEHLELQNRTPCMPSMLANSPALKILILKYGTGFSRLKITSPGLECITVLFDIPSTETFFVVPSTDTILQELIIENAPCLERLLNHGPFVKTLCISIISAPKLKMLGRLTDQISRLELGATVFQGWHAIRITTEIRSVKVLPLKIKNLSLDVIIDFMKCFPCLEKLYIETVTVSAEGASCYKHLENIECLDLHLKRLVLSYYRGNKSYVYFAKFFISKASVLESMKLDVEPANAGNKEWIENQCRQLQIQSSRGSLRALIDFTSLKHFKLNEALELSNPFEYR >Et_7A_053186.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:9367863:9368303:1 gene:Et_7A_053186 transcript:Et_7A_053186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEEMARSDGVVQLEVRVVSSVVSLNQTSLVCKANLNVSGGAAPAPSPCARLVFRDESDGVTKDLVPYDDVL >Et_5A_040137.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:14691324:14691659:1 gene:Et_5A_040137 transcript:Et_5A_040137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KVDSLIIDSSTVRRSVRVKNRNKGFKEDSCKDKHCFACQVKPPNLSPEVLKNLGETYCKIKPRKLADDLLQKKPMSKGKTGKAVVQPKPKVISSKKKKSNEDKPNKKPGKE >Et_5B_043104.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:3629113:3629442:1 gene:Et_5B_043104 transcript:Et_5B_043104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRERLTVQEFKDWLKQFDTNRDGQISRKELQEAIRRRGARFAGLRARFAIRRADKNRNGVVDDSEVEHLIELAERELGFMITANAVSPPAARPCATDSPSSREYTVY >Et_10A_002137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23512550:23516198:-1 gene:Et_10A_002137 transcript:Et_10A_002137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSAGSIPLLLMLVSLALFCSVTVAKQQYHEFVIQEAAVTRLCNSRKIMTVNGQFPGPALEVMEGDCLAVKVTNKGQYNVTVHWHGVRQMRTGWSDGPEFVTQCPIRPGGSYTYRFTVAGQEGTLWWHAHSSWLRATVHGALIIRPRGTVVPAYPFSNGKPPAREIPIILGEWWDMNPIDVVRTATRTGAAPNISDAITVNGQPGDLYKCSSKGDTTTFPVKSGETNLLRLINAALNTEFFVSLAGHTMNVVGADASYTKPYATSVLMIAPGQTTDVLVTFDQPAGRYYLAARAYASAQGVPFDNTTTTAIFDYGGAASSTGSGSPAMPSLPAYNDTATATAFTTSLRGLRKAELPSRVDESLFFTVAVGLFNCSGGRQSCGGPNNTRFTASINNVSFVLPSTVSILQAHYHGATPAVFTADFPANPPVQFDYTAQNVSRALWQPVPGTKVYKLKYGSVVQVVLQGTNIFAAENHPIHIHGYDFYILAEGFGNFNAAIDTAKFNLDDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGVGVLQSLEAPPPDFPLC >Et_7A_052988.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:477232:478218:-1 gene:Et_7A_052988 transcript:Et_7A_052988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPELDLEIRQVWADNVEREFKLIGAAIEHFPYVSMDTEFPGVIHVPSKHHASLTAGERYALLKANVDALHLIQVGLTFAASPTSPPALAFEINLREFDPRVHRHAPDSVRLLADSGLDFAAHRARGVPATAFSALLMSSGLVCRNHNHKQGLVTWVTFSSAYDLAYLIKLLMGRKLPRSQADFLRYVRVFFGQQVYDVKHMMRYCAGLYGGLDRVAAALGVERAAGRSHQAGSDSVLTWDTFRRIKQVYFPKDKEGVLNSFAGVIFGLEEELNAEEPKPNVETKRLRHSGPRMNKTAAPQPPRVYRAVAPPQAPVYRALATPAFPV >Et_6A_048126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7105522:7107278:1 gene:Et_6A_048126 transcript:Et_6A_048126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSRQRRNPALVRPNAAKRHLQQQQLEADFNDKKVIASTYFSIGAFLVLACLMVSLLILPLVLPPLPPPPSLLLWLPVCLLVLLAVLAFMPTDVRSMASSYLSFHFVKGWMLVAAMKCCLVLFG >Et_9B_064495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15811528:15812425:1 gene:Et_9B_064495 transcript:Et_9B_064495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKAASTGGAGGYDRQRELQAFDDTKAGVKGLVDAGVTAVPAIFRHPPASFSLPQVAALADGDAIPVIDLASTTTSRREELVRRAAAETAGFFHVVNHGVPGALLAETLAGVRRFNEAPAEAKRPYYGRGNARKVRFSSNFDLFRSPAANWRDTLFCDVAPEPPRPEELPEHFGDAARELAERVLELLLDTCVSWIMGRSYSLDPIEAHVLECRACSPRPPERIDYRSRYWSKINSLAGCRRRGMLRGIGPHLN >Et_3A_024045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16624877:16625252:-1 gene:Et_3A_024045 transcript:Et_3A_024045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDTITNDLITGNEQPCKSLELRWRTIQREYIPCTKDALKRSSVLVQVAFHIKSMFLRPMLSTLMVHKVTRELSLHPLLAQDSALPKVSCSSVEQEMK >Et_10B_003345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1962106:1965955:-1 gene:Et_10B_003345 transcript:Et_10B_003345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISFLSMVEAELPPGFRFHPRDDELICDYLGPKVGGKVGFSGRRPPMVDVDLNKVEPWDLPAAASVGPREWYFFSLKDRKYATGQRTNRATVSGYWKATGKDRAVSRRGALVGMRKTLVFYQGRAPKGRKTEWVMHEYRMEGHEQPPKSFSAKEEDWVLCRVICKKKSAGGGGATSKPARGLTTNGHDTATTTSPPLPALMDTTLAQLHAAMNTTGAVEQVPCFSSFNNIASNSNTAAALPCYLPMVTGGNGMSYLDGLPELGSCFDPLNSDKKLLKAVLSQLGGEVDMTAAAAASSTWMNNF >Et_4B_038179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2746677:2748478:1 gene:Et_4B_038179 transcript:Et_4B_038179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLPGMVTVKEEWPPSSPPPEEEEEVEDAPRPMEGLHEVGPPPFLTKTFDLVADPATDGVVSWGRAGNSFIVWDPHVFAAVLLPRFFKHNNFSSFVRQLNTYGFRKIDPDRWEFANEGFLRGQRHLLRLIKRRRPPSYHPASQQQALGTYLEVGHFGGLDEEIDRLKRDKNILLAEVVKLRQEQQSTKADMQAMEERLQHAESKQLQMMGFLARAMQNPDFFHQLLQHQDRRRELEDAFSKKRRRPIDIVPFAGAATAESCHHRQSEEELDSALFFGPEEMSDPGGSELENLAMNIQRLGTRKQQDEKGGGRGNQDDAIAGGETAELTDDFWEELLNEGMRGEGELSVPEVERRRPPGRYVDALAQKLSYMSNSTAK >Et_10B_003946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8136180:8149276:1 gene:Et_10B_003946 transcript:Et_10B_003946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAPFLSLQQQQEDDEMLVPHQELPAAEGPQPMEVVAQTEPANTAESQPVEDPQTSRFTWTIQNFSRLNTKKHYSDPFVVGGYKWRVLIFPKGNNVDHFSMYLDVADSGNLPYGWSRYAQFSLAVVNQIHPKYTIRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLVDDTVVVEAEVAVRRMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIEQLFEGHHINYIECINVDYKSSRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLSPDADRSIRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNTPFKFTKYSNAYMLVYIRESDKEKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKIARDEDLKEQIGNNIYFDLVDHEKVRSFRIQKQLPFTSFKEEVAKEYGIPVQCQRFWLWAKRQNHTYRPNRPLTLQEEAQSVGQLREVSNKAHNAELKLFLEVERGPDQSPIRPPEKSKEDILLFFKLYNPEKEELRFIGRLFVKALGKPSEILTKLNEMAGFSPNEEIELYEEIKFEPNVMCEHIDKKLTFRSSQLEDGDIICFQKSPVPGVETQVRYPDVPSFLEYVHNRQVVHFRSLDKPKDDDFSLELSKLHTYDDVVERVAHQLGLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVVVHSIRLPKNSTISDVITDLKTKVELSNPDAELRLLEVFYHKIYKIFPPHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDPNQNQIQNFGDPFLLAIREGETAAEVMERIQRKLRVPDEEFSKRRDVYGAWEQYLGLEHTDTTSKRSYAANQNRHTFEKPVKIYN >Et_7A_050451.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1643754:1643945:1 gene:Et_7A_050451 transcript:Et_7A_050451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SCAVSTERTILSPFTKEYRVLAANCSIRIFLEGILPSQGVAQQMKPKLVKKICGIALTSASRG >Et_5A_041057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17534296:17534788:1 gene:Et_5A_041057 transcript:Et_5A_041057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGEHAMICDGGEAVVTVAVQLELVSHSDGQSLHNGFVLGCPWEDMTSTAKTAMATTALRSAAPETIVVDG >Et_4B_036717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10939178:10942334:-1 gene:Et_4B_036717 transcript:Et_4B_036717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDARKTTPYAVAVAIQVIFATTLVMSKGLSTFIYMFYRQAAAAVVLLPLAFALERRNAPALSFRFLLKMFLYTFIGSTLGLILCNTSLKYTSATVGSAVGSSVPVVTFFLALLLRMEVMKLATSSGIAKLTGVALCLTGVLVIALYSGPPLRPLNGHRVFAGDHNEPAADVSKGVWVTWTFLMLVSYGAWALWVILQGLLLKEYPNKLILTLIQCLFGTVQSGLVAVAIDRTSRDGSLGSISLCSPFYTHIVGTAASFYQQTWCVDMKGPVFLVMWNPLGLLLTAFCSSLLGEVVHLESILGGILFLGGLYSMLWGKSKEESRKESEQGQEEDEEVEGSNRKKTSSSPMLPSDPTTNSRLFGDEGKLPAPPPPGPGTMSPSPPLSGAAVLDDTTPAEPTTAPSSSTCMNDPADELNTSSLVVAHHDVDSSIAICRDDEAPAPWAWTALLLRLAAKLMRLLMAPSCAAPAPPWMRSGGSVEGSLHVCSP >Et_3B_031327.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:2639009:2640313:1 gene:Et_3B_031327 transcript:Et_3B_031327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVGHCHDLKPPAAARIRHCRLRSVAQLDRPDHQEHGSDADGGGGHTGLSPKRRTQSSPCFTTVASGGSHAEQAEKKVMPRVEVVAGRHARGVRELIAEAACAITSGTRLVPAQSGLGGALLLNDGRSGEHVAVIKFLDDDSSAANGTTGGYAKEAVLREVAAFLLDHDGFASVEPTALVKISRPASAIAMASIQRFVGHEYDAGELGPSRFSVSSVHRVGILDVRLLNIDRHAGNILVKNPPSSGMSTTTTTASSPSPPLLDLVPIDHGLCLPDQLDDPYFEWLHWPQASLPFSDDELAYVASLDPFKDAEMLRAELPSLKEPAIRILTLCTVFLKRAAAAGLCLADIGDMMTREVSAMEEGMSALETLCKECHDSVHPPLPSPSPDGVSGARKHVSFGDLSGNEWAAFLDRFEQRLPAALEDKKRAGVKQ >Et_3A_026139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4825425:4833642:1 gene:Et_3A_026139 transcript:Et_3A_026139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPKFLLVRLLLSLLASASYSATSGDDEDFFKTCSLHRCSKHGPDIRFPFRLSSNPPSCGAPGMLLSCSGHDTILDHPVLGACKVTDIYYRFGIINAIPLAESSANCPLQELISRNQSTDVYKPIALEDSVLVGCQTDFIAANQEEVVGPRPCLSFSNNASQFWYLVNPETDMSTLPMGCVVVASDIPIPYSCDKNGRRYHTPFFGRSLFKEKAYKTIHFGETSFNWSINNITSVCQRCEQDGQHCGFSSNRGQAFCQRHGIVLSPESILLLCVILNCRQLYACVMFSCYPHCSYNIAATLVVLVATALYLSLKRNYREAIHLKVEMFLKTYGTSKPIRYTFSEVKKIARRFKEKIGQGGFGSVYKGQLTNGVPVAVKMLENSTGDGEEFINEVATIEQIHQANIVRLLGFCSEGTRRALIYEFMPNESLERYIFLQDTNISQEVLVPDKMLDIAIGIARGMEYLHQGCNQRILHFDIKPHNILLDYSFNPKISDFGLAKLCARDQSVVTLTAARGTMGFVAPELYSRNFGEVSYKSDVYSLGMLVLEMVSGKRNSDQSIENQNEVYLPEWIYERVTTGQDITLNRQTTEEEKEKVKQLAIVALWCIQWNPKNRPSMSKVVNMLTGRLQNLQIPPKPFVSSESHSMV >Et_4A_032460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10363790:10368333:1 gene:Et_4A_032460 transcript:Et_4A_032460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGREEDVAAVYGDGTDVEDGGGDSSVRSSERGFPPYGGGGANNVRRAPSVGVVGGGGGGAGSPPGSPGHSLSPRMFVPQTPVPPLVRAADVTPVFNQILMNEQEEEYDGPPQKEIPALIVWTLGGKTVYVEGSWDNWKSRKAMQKSGKDHSLLLVLPSGVYRYRFVVDGERRCLPDLPCETDSMGNAVNLLDVNDFVPESVESVAEFEPPPSPDSSYSFQAPEDKDFAKEPPALPSQLHLGVLNSQNSEESCARPQHIVLNHLFIEKGWGNHPLVALGLTHRFESKYVTVVLYKPIER >Et_9A_062900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6466107:6467997:1 gene:Et_9A_062900 transcript:Et_9A_062900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFAPQGHQFVAGLGGPLPQFIADPVQVADEESSGGVNSGNYFTNLMSNGIPDSEVHAADQPDDIPPHDPEVIVADQPDDLPPPDEGAGQGHAQGVARAPQKKGKNFSVDEDILLVSAWLNVSLDAIQGVDQSRTTYWKRIHDYFHRNKKFESDRSQGSLMNRWSGIQHDVNVFAGCLSKIQARNHSGWSVDDKVAGACKMFKAYDKLHRNFPYMHCWMILKDQPKWIERRKHMSNSKSVAKKQKTAANSSPSSAPISSTAGNVDEGQPAEGAKERPAGRKKEQQKLRQRSSMEAMEYFVAQKKEADAEKEVKKEDRCNKAFALQEERIRIEKERVDLKREAEEERIMNIDMSTLSYKLQQYYERRQDEILAKRLSN >Et_2B_021467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:296813:301458:1 gene:Et_2B_021467 transcript:Et_2B_021467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEVKRSKAMWGMARIDEWKPVIAMLVFDLISAVTTALIKKALEEGLDRLVLITLRQLVATVFLAPIAYFKERAALSQYTFFFGLQYTTATFAITFTNMAPVLTFLIAVLLRVESLNMKSKAGVAKIIGTLMSFAGVMLLTLYKGVALTHQVVSSGSPDHHAAPAEPSKKSWTLGTVALLANCLCFSFWLLLQSKLTKKYPALYSSTAYMFLISSVQGGGLTAAIQRRASVWALTRPAEIVAVPVLLQYTLFVGLEYTTATFAATFSNMLPVVTFLISLAFRYEALDVRSRSGSAKISGTLISLSGAMMLTFYKGSTLTGHNPSSGSTASMNGQSHTGAHGTVRWVLGSVSMLANVVGFSLWLLLQRKFTKMYPAVYSATAFMSLFSFFQAGALALSIQRNSISVWAIKGRIEIVTVVYCGVVASGIGYLLLTYCVGKRGPVFTSAFSPLSQIFVAGIDLFILHEPLYLGSVLGSVLVIIGLYLVLWGKKEESASDNASAEPVQADAHKQEEPV >Et_6A_046119.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:26178541:26178846:1 gene:Et_6A_046119 transcript:Et_6A_046119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIQYFLKDADRRSIEDSSVSNWFGELRDAMYDADDIIDLARLQGKQSTRRISFLIIVRKINYMQWISTFLLLFYSLYTPRDCCTNQKPQHKNKEDCRVR >Et_3A_023410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26174443:26174988:-1 gene:Et_3A_023410 transcript:Et_3A_023410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEKRREEERKKMKQKEEERRRQYEAERKAREAERERMRERARRAREAGLDALERRNIPVAPNRDLVVFMKFVFVRRVWSIIVKVDYLIFMHGWLLFLYFFRYYFYNFSNINTIFLIFWS >Et_2B_021431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29589630:29602942:-1 gene:Et_2B_021431 transcript:Et_2B_021431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPWPTPRSVRQAAELHALLITSGRLLHQPSAAHLFNALTNCLAPSEPQLLRYALSLFDQMPHPTTFLFDTALRACFRARAGDEPIVLYRRMRRGGVLTDAFTFHFLFKCCSSARTQAPLCRMLHAACLRTMLPSAAPLVANPLIHMYAELGLADDTRRAFSEIPAKDAVSWAMVIGGLAKMGLLDEAQKLLVQSPERNVISWTSLIAGYSRAGQAAEAVDCFNSMLSDGIAPDEVTVIGVLSACGQLKDLDLGRSLHLLVREKGMSMSNNLVVALIDMYAKCGDMLCAQEVFDAVGRGQKPQPWNAIIDGYCKMGYIDVARSLFDQMDARDIITFNSMITGYIHSGRLREALLLFMQMRRHNLSADNFTLVSLLTACASLGALPQGRALHACIEQRLVEEDIYLGTALLDMYMKCGRVDEATVVFQRMDDRDVRTWTVMIAGLAFNGMGKAALEHFCWMKCDDLRPNSVTYIAVLSACSHSCLLNEGRMYFDEMRLLHKIHPQVEHYGCMIDLLGRSGLLNEAMNLLRTMPMEPNAVIWGSILSACRVHKDIDLAQNAAEHLLKLEPDEDAVYVQLYNIYIDSRQWEDASRIRRLMEERGVKKTAGYSSITVAGQVHKFVVNDQSHPWTSQIITMMEEIAYRLKSVGYSPITSKIAVDVDEEEKEQALLAHSEKIAIAFGLMCLPPNLPIHIMKNLRVCEDCHSAIKLISKLWSREIIVRDRMRLFVTMAVREVISLNRMITRFVQGGLSGRALALYRWMVASGVRETPHTFSAILGACSACEGLQLHGRVVSMGLCSNLFVGSALVNHYMSVQSAHAALLLYDEVPHRSAALCNVVLRGLCNLKLTEELLCSFLDMRQQGLELNGLAYCYSLKGCHQDEEWLEQGRQLHGVVLKAGWVPSNIFLSNSLVDLYSKIGDLVDAKNSLNDIPSENVISWNSIVSVYAGNGHMKEAIYYLRQMLWHGKMPSVRPIIGLLASSGRTGDLQLAVQIHGIALKLGFNWCSVHVQTTLIDMYGKCFSFDRSLAVFDEIPRLSVECCNSVITSSIRCNIFTASLEVLYCMIVEGVLPDNVTLSATIKATSLSASSSLISCQMLHSWVVKLGFETDMAVSSSLISAYARAGQINSSHWIFESLQDPNIICFTSIISACARYGDGAQGVELLNKMVSSGLKPDDVTFLCAISGCDQAGLFEEGRLIFELMRANHVGQRDPATNLQVSKYFYEIGDTENASRIKVMASGQDVKESGHSLVQNLIERCLQLYMNQKEVVDALSLQAKIEPSFTELVWQQLEEQNREFFKAYYLRLMLKNQITAFNKLLEDQLQIMNKEFSSGIPSMSPPHPPNGPSSNPLKQNLCFLPETAPGSTMPHGIMRNGSSSGIMNGTLSGDQLIYSGKDMNGLHSGIDASSNLQSTQNSAAVLFGVDNGTAVKTESGYSTNADFAFGNTFLESCQSIGDQSGGSFSSSELNGQPLSDSLLDIESSSFGFLSQIPQNFIFPDLAEDFNQSAEVLENYNKSPFLASETNNFSDSVGGDHTDPAYEHSSYHFCQFVEQPYTIHLYILSRAGKSPLMENLNNNREANRNKEADLGESYIDVLHPLGIRTWPENTACSREIILLNGLSDLAHLVDKAKYKKKMNGKPLLPVLLHALQQLDIIVRLQDGSSRCAVGSKGRERGGDGGKHARCQAASPADDDGLTPVLASDGTDAFERRGFLRLGRCRWMLLHWCFLCRRLGRRAASGKRPCLCDNGHHRMIGSRDSLLVLPQEEAARGRGEKQSLFLAAHKPKDYQERIEKQQGSIRQAI >Et_9B_065340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:412593:414964:1 gene:Et_9B_065340 transcript:Et_9B_065340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAIKGLFISCDEPMAQFIANLNASMPASERFIVQMLDPTHMFVLPHVAEMIKHKVEVFRKQNSKIDEFRKHNTYLKPQ >Et_7A_050241.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14759072:14760124:-1 gene:Et_7A_050241 transcript:Et_7A_050241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSSAAAARTGRASGGRVRPPSAPHQPSQPQRLPGAAFTRRRRNSRSRRLPFPHLDDQLSRRRGAWATDRAAGSLGRRRHRVLPGPPEQDVGGQVRGLVVRRLRQRRGQGRQRHRRRQCVGVRLADVDAAERAGGVAAQPQVDALRVEPVPAPGQEPGRLAVGDLGQAHGALQRLAARAVLRAVHRQRRRPKQRRAHACRRGASDSVITAHGGVARPQPAAARRRRRHNRTNNAAVPLLAAAAASDREVVVQDEREGEGHEEEDGAGEDDVAADRRRRVPPRDRRAAARVVLLIRHRRRHATPARAPPIA >Et_4B_037374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19020045:19029417:-1 gene:Et_4B_037374 transcript:Et_4B_037374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTDAGGCESSQRRTPSSDDEEVIFVSWPRTRGPIGHTGTSPRARRIMASPVGSNSATVLTSTASVRYPHERRPPVVVGAERQRRQVLPQRVVGRRAERAARREHRVRAPVPVRGVHRPRQERASNAGSRVSNASKRVRNAGSGRELVDSHPGGRPWSVGTNGSAGHVDPVVRVELRVPPRQLFEFLRCDDGTRVRLCLSPVKHLRDLRLQAHQPQVQSSRRDRRQVKRYAQVRPKRDVIVAIICRNSFFPATPRRTALKNPCSAVLDDPPCSAQAQALFITSWTSMTRRRICAESAVSPITLSNTSTSLDRSRVELSRFPRATSTHTLHSSTSIFTL >Et_3A_025691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31944474:31949223:-1 gene:Et_3A_025691 transcript:Et_3A_025691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEAAADRALAALSRAFCSAIAIFIQIQGCLICLTLAIGWAGAYLVRKKVIRNMRLKIAEGNSFAFLYDNIDELEHSVQKNLPRVSVVMPLKGFGEHNLQNWKTQITSLYGGPLEFLFVVESKDDPAYHAVSRLIAEYKDNLEAKVVVAGFSTSCSQKIHNQLIGVEKMHKDSKYVLFLDDDVRLHPGTIGALTKEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSIGFATGGKTFFLWGGCMMMHADDFRQDRYGVVSRLRDGGYSDDMTLAAIAGEHKRLISSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYVSKVNWLMNRALFTSHCYLSWGFVWPYIMALVHVLTALRAPYSDIVKEAFDASCGLKLVSCLFICTLAELVSMWNLTKVEIQLCNMLSPEGPKVSLDSYNWGLVFIAVLVDNFLYPISAFRSHFAQSINWSGIRYYLRNGKISKIERENKSKYTDLGGKHLYGKRTYPPNKSLLGYLSRTLAQWHQPKKYDV >Et_3B_029487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25413471:25433702:1 gene:Et_3B_029487 transcript:Et_3B_029487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAALEADLRALSAEARRRHPAVKDAAERAILKLRSLSGPSEIAQNEDILRMFLMACSVKSVKLSVIGLSCLQKLISHGAVASSALKEILATLKEHAEMTDEIVQLKTLQTMLILFQSHLHPESEESMSQALGICLHLLESSRSSDSVRNTAAATFRQAVALVFDNVIHAESLPSGKASLSRLSSRVSSVADNVTRSFGHTLSVASNSEEPIIRDNLSDVGKLGLRLLEDLTALAAGGSVLRHQICSLLMTSLRTNVELEGEAGEPSFRRLVLRLVSHVIRLYSSSLVTESEVFLNMLVKVTRLDLPLWHQILVLEILRGFCVEARTLQSLFQTFDMNPANTNVVENMVRALALVVATIQASDSSEETLAAVAGMFSSKAKGIEWSMDNDASNSAVLVASEAHTITLALEGLLGVVFTIATLTDEALDAGELESPKCETNGMACSGQLALLCMAMVNSTWLTILDSLSLILMRSQGEAIILEILKGYQAFTQACGVLRAIEPLNSFLASLCKFTINNPNEAEKKSILLSPGSKKTETIDQRDSIVLTPKNALRTLFNVAYRLHNVLGPSWVLVLETLSALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQLFESSALMNIAAVKSLLSALHQLSSQHISGNSQLSGQQIGCISFSVERMTSILVNNLHRVEPIWDQIAAHHLELADCSNPQLRHMALDSLDQSICSVVGSKKFQGISAAPHQFQEDELMKERETVSFQYAVLSPLVILYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLSTIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKPVGQANHTNEDAQSATTIKEANSKQIPSKQVVDYTKLFFSVFSVLQKLGSDDRPEVRNSAVRTLFQTLSTHGQKLSKSMWEDCLWLYVFPMLEHVSHLASTSSSDEWHGKELGTRAGKAVHMLIHHSRNTAQKQWDETIVLVLGGIARWVLLLDFVKNGVLNGSKEVALAAINCLQTFVGSNCPKGNLESSHIQSVLDIYELVLQTSPNFKNDSTDKVKQEVLRGLGDLYVQAQSLFNDDMYLRLMAVLHLMIKSTMNPTDYDSELGSIPAVQRGILEIIPMLRPTNMLSSMWTPLLHELLCYLNGHEGPLEKKNKQLQGQSSDAIANGAKRAVVERSHLSGSGTGPDCLLDCGWGILFVEKLVPIIVNLFLEAPPNERFNAAPELIQGLGRCMNTRRDNPKGTLWRISAECFDRVMTDEVKQESANSKSDVNSYGLSRARFWKEVADVYETFLVGSCGRVLSSDVPSADSVTADETLEMSVLAVFGDGVLKLQKDAPVEVLQRLVNCLDRCASRTGSLPLQTVGLLPLHCSSCTAKASSRATVSQTSNVSVSILMMRCEVILGQFLADENDLGEHPLPSVRIEETICVLQELARLMIDIDTANALNMPPYLKKALGENKSHGRAHLLSLLPTFSELVVSR >Et_3A_027358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8730757:8731554:-1 gene:Et_3A_027358 transcript:Et_3A_027358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGLCHETFAYPPAAASSYCFPPDLVATNFAAMADHYFPELGVHPPAGYYSPPQVFAGENEQMNMSYADHDGRWMSRSAASAVNGGAGGRASSRIGFRTRSEVDVLDDGFKWRKYGKKAVKSSPNPRNYYRCSAEGCGVKKRVERDPEDPRYVVTTYDGVHNHAAPCCAAAGYYHHAPPPYAATTTLLPAPAPCPDVWGVQQQQQQLHAAAATVTHSSESSY >Et_7B_055615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1749274:1752276:1 gene:Et_7B_055615 transcript:Et_7B_055615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADDVSAQATAIARRLASCNAGTRELSVRYLLSDFLPASAARLSASDLLKLWKGLFFCFWHADKPLYQANVATRLASAVSAAPSPAVGAAFLTAYLTTLRREWAHIDVHRLDKFYLLNRRFLNHAFLLLSANSFAPDITSQITSILSNKALLPEADNVVTGSSRGLGYHVADAFVDELFPVLPVTLQTMDALLAPFFAVLEKSSDRVMVTKVKTGVFDRFLESGKQLLQMLKNGEEAEKGSAEEKLGKVGLLFGFSKRFFDIGAKTETVQANRKVVFGLRDAFVTVEKGLQLSGIEIPVPEFEAAEVPVAATVDCDMDLGEAKVEKKKKKAKKSSLAEGEKEKASKKKVKKDKKEKKEKKEKKKKRKVEVDGEDVIEQSTDDPAEDQQMGDGSDDGITFNEELMSNLQKQFEKAAAEAGMVNGGSSSIASPVTPVNGKDAKKRKRSKSADRSSEASDGDDGSNGNPVAQDGEKSGKKVRFSMKNNLVWKPHNPLPPQSLRVPPSATPRGSALKKGVQPGPIKEIPTPLKKTKPKAKSAKKVLKKKPSSAVKRLRKDIVVQVTLMQFKNLPGTV >Et_1A_006326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20733189:20739784:-1 gene:Et_1A_006326 transcript:Et_1A_006326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRRAQLPLLLLALAALAAEARFVVEKNSLMVTSPTSLRGRHDSAIGNFGIPQYGGSMVGAVVYPKDNADACDAFDGKHTFRAKPGALPTFLLVDRGNCLFAKKVWNAQNAGASAVLVVDDKDEPLITMDLPREDDEAAKYIQNITIPSALIDKKFGEQLKKAVKDGEMVNVNLDWREAVPHPDDRVEYELWTNSNDECGPKCDMLMNFLKDFKGAAQLLEKGGYSQFTPHYITWYCPQAFVISKQCKSQCINHGRYCAPDPEQDFSTGYEGKDVVVENLRQLCVFKVANENKKPWVWWDYVTDFHIRCPMKEKKYNKKCAETVIKSLGLDVKKVDKCMGDPNADSDHPLLKLEQDAQIGKGSRGDVTILPTLVVNNRQYRGKLERKAVLKAICAGFEETTEPNVCLSDDIETNECLNDNGGCWQDKVANVTACRDTFRGRVCECPTFNGVQFKGDGYSNCEPAGPGKCLINHGGCWHETRNGKTFSACQESGDGKCKCPTGFRGDGVKKCEDIDECKEKKACQCPECKCRDTWGGYECTCSGDLLYIKEHDTCISKTAVQAKSAWAAVWGILIVLVVVAAGSYVVYKYRLRSYMDSEIRAIMAQYMPLDSQGEVPNHTNDEDRS >Et_1A_008216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5309338:5312495:1 gene:Et_1A_008216 transcript:Et_1A_008216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHLRSGLPLLRAHLAASESAAVTQGSRGFASQVAKPTGKPIKVPEALYGGTGNYASALFLTAAKGNVLDKVESEIKTVVEASKKSPMFSQFIKDLSVPKETRVKAITEIFAEAGFCDVTKNFLAVLADNGRLKYIERIAERFVDLTMAHKGEVKVVVRTVIPLPEKEEKELKETLQDILGKNKTILIEQKIDYSIMGGLVIEFGQKVFDMSIRTRARQMESFLRQPLEF >Et_8A_056296.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:20218923:20218943:-1 gene:Et_8A_056296 transcript:Et_8A_056296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTLG >Et_4B_038661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3801940:3805469:1 gene:Et_4B_038661 transcript:Et_4B_038661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSSRSPFFSPPSGTFRARPAGRLVAGALGRFVACSSTPPDVVVTRERGKNAKLIAALEKHNIQSLELPLIKHVDGPDTDRLSDVLRSDKFDWITVTSPEAAAVFLRGWKAAGCPKVRIAVVGAGTARVFDEVSESDDQSLEIAFSPSKALGKVLALELPRGSEGPCKVLYPASAKAGHEIQNGLSNRGFEVTRLNTYSTVPVRDVEPLTLKLAVSAPVVAVASPSALRAWLNLVSQVDDWNNAVACIGETTASAAKTLGLKSVYYPTSPGLDGWVESILEALRVHKQSKEVFPLSLHTVHIPWFSWELSS >Et_3B_028301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13059192:13063160:1 gene:Et_3B_028301 transcript:Et_3B_028301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVAILTEEANMRDGLTSRTAYTLQYIDGRNVSDAVRKLLDLILEQRDKKVIYFHGWSGFGVTPVLRSILQNLPAMKWRPELCHHRLLYIDWSEWESRRSLQRKIAEELNFDRTTMDMFDKQDEEDDFNGVDQVSRDLIRNLRRYTHLFVSNNQWYLDDLAACIVNDLLREEALCIVARHPCIQGIDITTVTDCFLYEMVLHCGFHSRTEFAWAAHASNYWICDGIITGDRIEEISNALQSEIRWDRDAFLTLSFLDSIIRLINNPETPLLVISENIFYKKKPGRWVLVTCQYFLKERDENLKTIMGKTSSLFVAFGRTQYRLDNTLIGLPASLFKYCSNLSVLVLFRCAFNFATPPFHQCRGLRFLGLDHCLNDLTREEEGYCTDWTFLYSLWVLDLRYTDWNEILTEGKICLMANLTELHIEGLKCWQYISQLETRLLYLQRLRIIKPMQQAKSSVDSSNSFVDKTKLEVLDLSGNNDMKELPTSLSNASRLQALILDGCDVLENVDVPNGLPSSLQSFSFDGYGPALRRTSTIELPPKSSRPGRPSDQDKKDVKTSKISLRGCRQLNSLFLRGLSNLIELDLSGSTLKVLDFSSMMLDVPRLKRLFLLGCEHLRAIKWGSTQLELLCIDTRPGTWTQPSPVHHESCRLQVYAVLEDVRLARSLWTLIDGKIQEKLDVGFDIHVPSSSAVVAIDGGEGIQPRIEATSTDMTERTELRQPRHNRACRYGDVLTMIGDAPTPMEAFPEPPARRLEKHVEITSDGGHILESELHQHRRGVHHCGLAGLITRHAESLHVHDTSISMSMPTGRWDTNLRWCRVERCPSLEATLFPLDEVGFFGHLEVIWASELAMARILWSRGCYFNRLQHLHLRSCTRIQHGLPLRLSFLENLNTIHIVHCGDLRVVFALDEDPYHWDQDLGMMFLSLTTVHLHDLPMLRGICDVTKTFAPKLQAIRIRGCFGLRRLPAVEDSFRTIVPLGVRWPTVEMEKDVWDALEWDGMDARHHPSYYEPPLHSRYYRKRRLLRGSVLRYVRTYVVFILIIMDVIIHASF >Et_8B_059137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14241042:14243317:-1 gene:Et_8B_059137 transcript:Et_8B_059137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDEAEAVPAETAAEESRDWSEMTPMCLAEAFSRLALEDLWRGAMACCRSWRDAARSRPGLFAALDLESGFESAVGGDASAWWTPAFQRRVDAMLRAAADLAAGELRVVRVRHCSDDALVFATERSKNLSILSIKTSPGVTDRSMLTVGACCPMLTELDISNCYEISYKSLEVIGQNCQNLTVLKRNIFNWIDPSEHVGIVPEDYLRECPQDGDREAIAISKFMPKLKHLELRFSKLTGVGLNSIPGGCKELVVLDLFGCANLTSRGIDQAAVNLKNLEKLVKPNIYIPRSSFHMGRYGHWQLYDERFQTNVFQI >Et_9A_061694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15448670:15454717:1 gene:Et_9A_061694 transcript:Et_9A_061694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADDELAAAAEVCDERINLSVATSHQTENGPITTFSAVESEKAAYAFIPQTPIRSTDAHLFEFSEAMRTVAKTLRRVVEGKAAAQAEAAEWKRKYELEMEAKENKHHDVIKGCSNYGKDNLERLASQLTLETPSVNQTGCCGNHGICSHQILQDECPGPNRKFDESIVGRKAPFRLSWGCDGDKNGQYKHDFVSFEKGDIKTAERSKKQILLKWESPPQTVLFVTKPNSNSVHALCAKMVRWLKEHNNINVFVEPRVSRELLAEDSYFNFIETWDNDQEIKALHTKVDLVVTLGGDGTVLWAASLFKGPVPPVVAFSLGSLGFMTPFPSEQYRECLSNVLKGPFSITLRSRLQCHVIRDAAKDEVETEEPILVLNEVTIDRGMSSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPFNSRGQAWASFDGKGRIQLGPGDALICSISPWPLPTACLADSTTDFLRSIHEGLHWNLRVNPLTAHLRDHF >Et_10B_004203.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18691630:18692913:-1 gene:Et_10B_004203 transcript:Et_10B_004203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESCHSRSLSWLARSCIPADPGRHIAVPVPIPAAATNLSSSTHPTSDSTEVSPISALPDDLLLECLARVPRASLPPLPAVCRRFASLLASDAFLHLRRAHGLLRPTLLAVSVPYQGSTFARALIQFDASRPPELEVAALPLPPTLLQCGGFAFAHARAVAVGREVFLIGRGATLRVDALTGAARACAPTLFPRKKFAAAAVGGRIYVAGGSARTAAVEEYDPADDAWRVAAEAPRRRYGCAGAATAGGVFYIAGGVAVSAGGDGGALLEARACAGSVDALQVASSSGAPAWARPRAVPGGGCVVGACGAGDHLYVMASHAVELSFWRWGGNASSSSRGAGGWVALEAPPVPRGSVGLDMAVRVAMTGVGGDRVVAVVNVSAVRGHVAAVAVEGLVLVYDIAAGKWSRGPDLPPGFRRAACAVVEC >Et_1A_004818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10226684:10227418:1 gene:Et_1A_004818 transcript:Et_1A_004818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTKSPQEIRDKLAHSLVMVGEIGGNDYNYAFEANKPTAEAMVLVPDVVRSITSAAKELLDMGVTRLVIPGNFPLGCVPSYLDRMCGSPGTTVCAKPNEHLSWDGVHLTQHAYRVMTDLLYHKGFASPAPVQFPRS >Et_6B_049659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8013486:8014271:1 gene:Et_6B_049659 transcript:Et_6B_049659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMGPKSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAELT >Et_4B_039404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13941733:13943856:-1 gene:Et_4B_039404 transcript:Et_4B_039404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCPKEGLNRGAWTAMEDDILVSYIRKHGEGKWGCLPKRAGLKRCGKSCRLRWSLIAGRLPGRTDNEIKNYWNTTLGKKVRGEVGGHSKQCHQASAASPVVVRSRPSSEPARTSSDAAPPSPDGGGNTSPPVRTKAMRCPARLPRAAASPAESHGHPPEAPAAAEVLQEHLPEDDVSIDLDFDMDELGFLSPWHGEAGDGVVGPAGQFVGGEGDMEALLLEPAGDDVEFAWF >Et_7A_051559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20285147:20292109:-1 gene:Et_7A_051559 transcript:Et_7A_051559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYCDHCADYCPTVKDPDKGYICCGRCGKVLDQEIYTDEPTFIKDSSGQSRLAGKILTSIDSGYSLSHERTLEKGKDEISQIVSNLHVGGGETIIERAHRFYKLAVEQNFTRGRRTTHVAAACLYIACRQSKKAYLLIDFSDYLQISLCQVLLLSDHPIVQKLIDPSLFIHRFTERLLGSRNNETGRKPSGLCGAALYIAALSHGYNYTKADIVSVVHVCEATLTKRLTEFENTDSGSLTIEEFLAKADEISEEPVSKLSPKSGEILCKHKDRGAEHFAHGLCEKCFNKFTELSGGLEGGADPPAFQRAEKQRLEAEKKSEEATGIKETAHGEKNCATQHSDVENSFIPHEKVLFFDKPYVMLLVLGGRGLRVCRGTSLGRCVWKIKLEAHGKDDDELESLSDIDDVEVDWYLHNEEETQYKKIIWEEMNKEYLEEQAAKEALAAELASRGVVMEDGKKKKRKRNKDAKTPLSAETAAEATCNMLKRKGLGSKINVEAVGGLYSTKDEDGIGNKKEEMDFEGGYAQDDNGDAETFDYGYDYADQKYDGYDNDGGEADDIFDFL >Et_3A_026298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6485545:6491057:-1 gene:Et_3A_026298 transcript:Et_3A_026298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYTRPSRQKDFARFPSSTPIVIDNGSSTFRIGWAGEAEPRLSFRNVVQRPRHRSSGETVSVVGDNDPALMKFFDCTRSALRSPFDDDVVYQFEYMEYILDYGFDRLGATSEVGHPILMTECECNPSFSRARMAELLFETYGVPSIAFGIDNAFSYKYNQKLGNCGEDGLVISCEHGTCHVVPFLKGQPVLGACCRTNVGGFHITDFLRQLLSLKYPYHSASISWEKAEELKKEHCYIALDYMSELQIFKNNKEEAEEKTRYWQLPWVPPPKEELPSAEDLARKAALKEKAGQRLREMAAARRSQKIADMEKNISDWEELLEQLDEADEEEATAMLSRAGVLSPKEIKSRIMKERQSLRKAKGESNGNEEKADLSEADKYPLVSVPDETLTPEQLKEKKKQILLKTTTEGKIRAKQRRAEEEALKEKLEEQRRAENPELYLEELRARYSELSEKFEQRKRQKVGGSQTNGNHSSSGGVGRGERLNAAQKERMRLLTSAAFDRGKGEDTFGQKDEDWLVYNKMSKGNDDDGNDDDESELARIASKLQEIDPTFVSKSEAIQPTPEPPKVRPLTAEDYKIAIGIERFRCPEVLFQPGMIGIDQAGIDEMVSISLRRLMEDESVKERLCQSILVTGGSSLFPGMIPRLESGIRQFRPYLAPLKLVRAADPILDAWRGAAAFAASSKFGKQTFTLADYREHGENLFHRYNIVYTL >Et_4A_035615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31009071:31012182:1 gene:Et_4A_035615 transcript:Et_4A_035615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGYPLLRFPCRCSLAAPRLGSPSPLPVSVSLSASASTADGDGGDGELTAREKRQQRRERRELRATDWKEEVQDRLIHEPARRRKKPPKRTWREELNLDFLAEHGPQWWLVRVSMAPGTDYVDLLTKAISRRYPEVSFKIYNPSIQVKRRLKSGSISVKSKPLHPGLVFLHCTLNKELHDFIRDTEGCYGFIGATVGSIKRQIKKPKPIPIEEVESIIKEEKEEQERADREFEEMDNMGNVSFSKPVEDSELMLMNKIKKQFKKSPSKGSTSHSAFTPGASVHVLSGPFTGFTGSLLEVNRKNKKIFNCL >Et_7B_054196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1753902:1758880:1 gene:Et_7B_054196 transcript:Et_7B_054196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLASTSHHHHHRLLFRSRPKPNSAFRAPSRGRLPPIRCSSASPSSQPTTGGEEDESGLPRRLSKQSSWEAKDSEGDDYLYRLGKEADNMNIAVGARAGVVDDVFVGNFLGKDSDIVFDYRQKATRTFQYLQGDYYIAPSFLVTCHVVKNYIASQLRNIKVPLILGIWGGKGQGKTFQTELIFRAMDVEPVIMSAGELESEWAGEPGRLIRDRYRTASQVIQNQPDREDIINIVHGMYAKDDMSIEDVSKIVDTFPNQALDFYGALRSRTYDQAILKWVDDIGGYEKLNEKLLKQKKGEKLPTFIPPKQTLEALIESGYSLVREQELIMNSKLSKEYMKNLDD >Et_8B_059838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3252464:3259130:1 gene:Et_8B_059838 transcript:Et_8B_059838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLSCLLLPLPSVQRSPYRPKAVQKDHGVPGGGGRMPARVKTGRGGRNAGRFCAKALFGDGGGDGFRTIRRMVKLNSAIQNRSVREFLELIADECLYFMGNLRSLDLSQLGKDMFLILHAMMVRHNVSFVLKPSENDAGFDLGIKCFVTGPKSEALVFAEWKGKKLPWDLDCNVSTTHVYRGLLLIRISKDRRIKKLTNLDAIILTIVHKVLPDGAIDDNTARTTISCVIIGLVVMVLFYAIFKHFGDRWRTGTLRRSAGRCSSRSGGHVPLLPLLLILSRLLLQLLKIGAPRPLRFAELHEGRLSVVVGRRDLKRRGGRGGAVLVLVLLVLLRGGEEGLHLRREEVGHAGHVRGCGGRRRGGGREVRLRRRGAGAGAGGRLERVLPRGGLRAVGRAEERDEDVADELRPGGEVDAELRLELPHHAVGRYPGAAAAGGLPDEGLHVVGQLLVGHHRAGGGLSSPLSAAVAAAPPRPFEN >Et_9A_061924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17976406:17981662:-1 gene:Et_9A_061924 transcript:Et_9A_061924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRATVSRFPAVPEALEACALQWGVAVTPFAAADELGHVPAKGAYGDRVPRCEHCWAYFNSYCDLERWGWGCALCGTLNGFDDDAARRFQRPEACPELNFSFVDLEIPVDDAGDGVQARPVYVAAVDLACSEEFLELIKSALLAALEALIPGSLFGLMTFSHKIGLYDVQGPIPVVKNVFVPPDFEEDGLPVALEDAMPLLSFLAPVDTCKDQIAAALETLRPTSSWERGAASGQEDDTVLLGGRGFGTAMSALIDYLSSEYGSTFALARVFAFLSGAPDYGAGQLDTRRYGEQYASKGVDADLSLLPEQIPFYRDLAAVAVQAGVCIDIFAVTDEYTDLASLKFLSIESGGSLFLYANTDDSTLPQDIYRLLSRPYAFGCVLRLRTSSDFEPGNSYGHFFPDPQYENVQHIICCDSFATYAYDFDFAHNDGFSRHTDPAVVQIAFQYSVVEPVEETSGNEGQSSASYTFCLKRRLRIRTVQYRPAKNISEIYDSVDPEAVLHILVHKVILESLDKGVREGRHQVHAWLALLAARYNQDMNDARTPVSSIDIDFSQCPQLQMIPQLVFALLRSPLLRLHEEGVHPDYRIYLQCLFSALDPSSLAKAIYPLLISYSTPDKQAFPRHTLSRAALIMSESPIFLLDAFTNLVVYYSSSADPSIPFPPPHDCLLRTTINRLKQDRCITPKLTFIHGGKDDPTLFDSYLIEEQDVDGSGFTTGSGFVAFRESVRNVAAEIIQEESGS >Et_8B_060085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5810955:5814339:-1 gene:Et_8B_060085 transcript:Et_8B_060085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARRLLRLRPHLGTLPLPPPPPPRLLPSRTYISDMRRSAFVDRLLRGLRSEISFLANTTPPAPPTPPASFSIDERPGEQWIRLSRAFPAAEGGGGGQEEEEVKVDATLVDGALPPTRSGTETGGPPRYHISVRVEVSKAARPGVALTFVCSAWPDEMEVERVFPVRREGPTPARQYVGREFRELDEDMQTAVREFLERRGVDDDLAAFLHSYVESKEHSELVRWLKNELNCHANRKKEILNRNVRANKNQAIMILKRR >Et_9B_064261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13397119:13405791:1 gene:Et_9B_064261 transcript:Et_9B_064261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIPLRKLVDDEQWEAEDLAGRLGVVAHAAFLRTGFVPYGEEPSSGHLLRQVDEVGVSAPSLSRWYTAAPLARRCDCDADVAVQELRALGNGDVAFRAFLLTTDWHRRLLCEAVLERAMETGSAGAWLWKSLADWVCPVLLRELCRRNGLPVTSFASLPDDAKAEILKRLADGKDLARVECTSRQLRRLVAERDGELWKAMYESLDLPSEAQSSDEGGSWKKRYLNSQQQPRLQRLSTWPSFRFLPEDEDLILEYHERRILQRVREYQYALTLPREDSFVVEVEPMEPTGFVAYPPYMVHDYPPAPPPEQEVEVARGKNVSRQRRKVPRHDFQKKRHGAGAIHSPSLVDDEQWKAEDLAGRLAIVAHAAFLRAGFVPYGDEPTSGRLLKQADETGPSEPFLSRQYTAARQPRRRSDGDAAPAEVAVQELRARGGDGVFAFRAFLVTTDGEQRRCLCEAVLDAAALAPLLSGRLDRAARALEAGSAGAWLWKSLADWVCPVLLDELCGPVTTFASLPDDAKAEILKRLNDSKDLARVECTSRQLRRLVAERDGELWKPMYESLNLVVPEAEGSADDDSEGLLGSWKERYVNALRWSYEEWLAQRRREREAELQPIDAEAPLGRIVLVAHAAFLDAGFVPCGKPAGPHRLPREAGLTASTLPLRYTVPELITRSSNAAVVVADAAVLRLCAHGNNFLILYAYLTGGDGSRRSTRWACVDALQVAPVLAGDLDATARALAGDAPGVRLWAALAGGMCRRLFDDICLKNGILLPPRFMSLPADLKAAILNRASAVDLAMVECTCTELRDLVAGRELWRAKYMAERRFLLPFELSQVDGRSCIWKEMYLRARESWAWRRPGSRHRLRPWLWWFMDDVDVLLSRRYAFFTVSYALYVDRPDLDRCKRDSTEHLIRRVRERRNNVQVCDGKSHQRAMAAHGSGKRPRSHGKIHSPSARQVCIKKQVLEKNKKISKIICQDISHSREELEIQN >Et_10B_003104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16580691:16582381:-1 gene:Et_10B_003104 transcript:Et_10B_003104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRTPSGPRQQREKLLDDGHLRRAADPQHVKIDLLALVPWRGRYRGIPMVPPSSSTTVLRWGPQKSNPHAARDPFLFHRNLRSTNSVRSPPIPFPSLHLKPNVAPLDPPPPIHRTRRRFARKQRRPGAPSPQLPPPPSAAADSPGNGAAPAPQALKRRRPQAPPPPSASAPNHSVNLLWYGRFTPAQRAVVADFLLSLSSAPAPAVASSSSSAPSMAQWWATTSRYHPGAARLTLGRQVLDASLSLGRGLSEASLASLASRLSRTAAPSRWSSWRPTSSSTASASPTAASTRRPPPLPPLLTLPPLLTGAAGSRTRGSGTRRSSARGSARGRSTSRRTAHHSCIV >Et_2B_020881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24587562:24587932:1 gene:Et_2B_020881 transcript:Et_2B_020881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIVQVTKQYIHLHLGADELAGTLRTTPRSSSAWAGTATAPSASRSSGSWLTFSRLMILLERTR >Et_9B_063780.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:13957937:13958599:1 gene:Et_9B_063780 transcript:Et_9B_063780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTAAASSSRSSAGGAGGNHAHAHNVPATPSSAASPPCRHTPSSATLDLLILLLVLFPLAFLVASWLAHVSRALAPLLYSPPVEAALASAAAALPYLAAAAVLAGAGLLSCLRLPRRRCRNPRCRGLRKALEFDVQLQTEEAVRTIGGADAAMWREIEALPWKGGQGGNNPDYECLRAELRRMAPPNGRAVLLFRNRCGCPVAKLEGWGAPKSKRRNKK >Et_6B_050072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5301878:5304729:-1 gene:Et_6B_050072 transcript:Et_6B_050072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVETGVSEAEGAAKAAPAPAETAGWKAPAAMVLVQLFNTGMVLLSKVAIGDGMFVFALLSYRSLFGAAVILPLALLLERGKWREMDWSAAGWIFLNAFIGYAVPMSLYYYGLRDTTASYAVIFLNIIPLTTFILSCSDRMEALKFWSMAGSLKIAGVVISVGGTMLISLYKGKTLHLWNSIFHHNKEAIEVASYHLRGTILLVGSSFMFACWYLIQSKVLQVYPYKYWSSMATCLVGGFQTAVAGVTMRRDESAWKIGWDINLVTIIYSGALATAGKYTLNSWAVAKKGPAYPPMFSPLSVVFTVVLGSILIGDDITVGSIVGTTMVIAGLYVFLWAKAKELPEK >Et_5A_041064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17598320:17604167:-1 gene:Et_5A_041064 transcript:Et_5A_041064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYLRRAAVAAAAAVALSAAAMGGASGRRLSSSFGLLSSSPAAHAAAPGHLGLARSHPDLRGLDSLLTPKAFLLDATHALARAALRVRPLSGEGIRVFCHGDFASKLIAKAGAERGADGALSLRIVLALLDAQEGRFDDALDALALIAAERPSDPRPRLSAAGVCYLAGMVKEGNQWVSGIPEVIRQRQENKRCLRDGVLAAALGGAPGAVAGFEGLVGYSAFEVIETALWANFLDGNLSFLKTILLRALLWRTLVAGKYKDYCQGKVTVTGPQQKNDLGLDDTISRCVIMITKSLPFFKKVDVLLCTVMSAPSLATRAHLFLPHRRTSLLPQSKTLSPMSRNFRRAAFAAAALSAAAMRSAIDPYLPSFGLPSSPPAYAAAPGHLALSRAHPDLVGLDEVLSPDALLLDATHAYAAAALRCRPVSGEDIRRTRCEHQLAARVEMAEARGGAEEVLQLRVVAALRDAKDGRFEDALAAERPGDPRPRLSAAGICYLLGMMDEGNEWISGIPEEVRLENKVYLRKAILAAALGGAPCAVSGLQCLVGFAAFERINMALADMFRDGHLSLLQKMVLRALVWRAVAAVAGDAKDYL >Et_10B_004365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4884583:4887123:-1 gene:Et_10B_004365 transcript:Et_10B_004365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPALLALAAAVLCSSAATGDIEVGRSPAVPAVYVFGDSLVDAGNNDFLPPPAPRAVPPNGVDLPRWVLHRTGRFTNGFNLADIIAQHVGFKMSPPAYLSLTPLSSLELLRGRVGANYASGGSGILDITGNGTLTLREQELYRLGARKLAVLDILPVGCLPSQRAITANGACDADGNTLSEMFNAVLRVEVAKAVAASMPGLRYSIAGVYNVLSDMIANPALAGLREVETGCCGGGKFNGEVDCAAGASLCTDRDEYLFWDKVHGTEAAYRRAVHAFFNGRTRDAEPINLAQLVGAPSSTAPAAAYASI >Et_3A_027134.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3464704:3465786:-1 gene:Et_3A_027134 transcript:Et_3A_027134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEHSSAASFVFGLDGWSPHSYFTVEYGTNTRFSTCSADPDTDTSEPKRRQSSIPVSAFALIFASSWLISMANDLAVEPLAEMVGDLDAYKELNGTDTTSPGNDRFLPVPENTEMFVLAGAAFLLVAAVVDSAVRVIATVAMCSGEQEHPLTLGALLGKAWPQLKGPALTLAFVYALEIVYAAFLSDMVALAFVSVFLTSHAGVAGSVLRKLLLLAASVSLAYLASLCAFAVAVAEPGCHGAGAVRRAWRLLMGRRGRPGARFTFTIGALLAASSHVRALARTRGGLLGDHLAPWLLLWLMCIVVMGAVQLLATGGAITAFYHECKDSAEVAATEFVRLATEDLIDASSAPCHAAPDAC >Et_8A_056049.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:12429223:12430272:1 gene:Et_8A_056049 transcript:Et_8A_056049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEKPIRFSPKQLAGFTRNYSTRLGAGGFGTVFKGHLPNGLAVAVKVFHSSLDQRTEERQFMAEMGTIGRTHHVNLVRLFGFCFDVDAVRALVYEFMENGALDAYLLDRGRDDVGFPARRAIAVGVARAVRYLHEECQQKIIHYDIKPGNVLLDRELTPKVADFGLARLVSRADTHVTVSGMRGTPGFAAPELWMQTAVTEKCDVYSFGMLLLEIVGRRRNFDETAPESEQWFPKLAWHKYEAGKLMELVIPDRTSGGKSKSVDVAGDRKQCKETVERMCKVAFWCVQQRPEARPPMGAVVKMLEGEMHVAPPANPFQH >Et_4A_035659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3327184:3327931:1 gene:Et_4A_035659 transcript:Et_4A_035659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFPVDPHPFLAPEMVVDPGGPNKRPRAEVSLGGNIDRDHEDHVIALDEDGEINPEDQTMFLQQIRNYITNVMQMEVRILLGKLQLKQQIRIRTMFGQFGMAMRDNINNRIKTKYKIRLNNSYSQGQVQACPSLKTTTGVKVLSGFSPSLLSNSS >Et_4B_039167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8436864:8453661:1 gene:Et_4B_039167 transcript:Et_4B_039167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACLRLPFLPARTRSADTASPRHASLKCSASDAKANGNSFSISPASSPRRAAAGAVGSVDLNGLRRPPAPAPRATVPSVRDPRWLPRPLTSVDLIEAGGEGLKVAYQGCPGAYSEAAAKKAYPNCQTVPCEHFDTAFQAVQDRLCHRAVLPLENSLGGSIHRNYDLLLRHNLHIVGEVRLAVRHCLLANPGVKIENLTSAMSHPQALAQCEHTLTELGIEHREAVDDTAGAAKFIAEQSLQNTGAIASSLAAELYGLDILAENIQDDKDNVTRFMMLAPDPIIARTDKPFKTSIVFSLQEGPGELFKALAVFALREVNLTKIESRPHKNRPLRVANDHSSPLKHFDYLFYVDLEASMADPRTQNALRNLESRAKWSFQHTLNTVIRQN >Et_9B_063964.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:6933590:6933847:-1 gene:Et_9B_063964 transcript:Et_9B_063964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCWLSNNKFIDYNMFTAATMWCLWKLRNSFFFKNGIWREMRYLWKQIIVVLKNWEVLCPVKHAEVFRQKLMVLEELSTRPERL >Et_1B_011899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2740351:2754504:-1 gene:Et_1B_011899 transcript:Et_1B_011899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKRAWSPRAPSDAEEDKRRPGWRGSGVRPELVLVGFLLTLPLLVFIFGGRWGSSSFPSSPTGPNPVDRHVATGSGSATPPRPVVQKNVSASKAPVLSQDKLLGGLLSAAFEESSCQSRYESNLYRKPSPFPLSPYLVQKLRKYEAYHKKCGPGTKRYRRAIEHLKARRNVDDAECKYVVWFPCNGLGNRMLTIASTFLYALLTDRVLLMHVAAEQEGLFCEPFPGSSWVLPGDFPHNNPFALNIGAPESYANMLKNGVVRNDDPNVLASSLPPYVYLHVEQFRLKLSDNVFCDEDQVLLSKFNWMILKSDSYFAPALFLTPMFEKELARMFPQKESVFHHLGRYLFHPTNRVWGIIRRYYEAYLARVDEKIGFQIRIFPEKPIKFENMYDQLVRCIREQKLLPELGEPAANSTSADAGKVKAVLIASLYSGYYEKIRGMYYENPTKTGEIVAVYQPSHEEEQKYTSNEHNQKALAEIYLLSYSDKITMSAWSTFGYVAYSFAGVKPWILLRPDWNKEVSEVACVWSTSVEPCLHSPPILGCRAKREVDPSAVKPYVRHCEDVGFGLKQRVGVVVDAGMARAGAGGGDDRGRVLGRLGIARAGFLRRRRRRRRRKLARARDVHTVEDRVLPAATRLHADEAAGSDEMPAPANRNDQDHLLAGLLSPDVDEQFCRSRYALSSYRRSSPFRPSPYLVDRLRRYEARHRRCGPGAPLFKETVEDLRFGRNAAHAECQYVVWTPLNGLGNRMLSLASTFLYALLTDRVLLVHEPPEFEGLFCEPFPGSSWSLPADFPITDFAGIFAMMSPTSYKNMREAGAISGDHRNVSAERLPAFVFLDLIQSFTDAGFCDADQRVLAKFNWMVLKSDVYFAPMLFLMPAYERELARLFPAEKEAVFHHVARYLFHPSNDVWAIVCRFDEAYLARADERVGLQVRVFPEMPVPFENMYSQIVRCSDQHGLLPKVVVREDGAGNSSNLSSPASGSRKKKLTSILVTSLLSDYYERIRGVYFVNPTATGEHVEVHQPSHDREQHTEARAHNQRALAEIYLLSFCDRIVTTAVSTFGYVAHGLAGVRPWVLLRPPSPEAPADPACVRSETAEPCLQAPPRRLCGVAEGSDLGTLAPHVRHCEDVYRGLKLFSNSHVSGGSVAGDEERQPLRGGGLETEMSPAPSVHHGAGQQQQQQQLEARRGSRLWRASVRAGLVLCLLTIPGVLFLMQWQANSSPRAGVADEERLPLQQQGQETKRSAGPSAAAEAKKPRRLWTAAVRAALVVCFLAIPAVLLLQRWQAGASPEWVFDFEPPYHILLIHFNLLLVVSQVFQEFRTSHSILMNYTWFNN >Et_4A_034410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32363775:32366547:1 gene:Et_4A_034410 transcript:Et_4A_034410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATVKGRFDGDKATAATTLALPAAGDLRFKASATEATFTNGPSLNGLTLTLEKPGAFLVDVKPHNRDVRFQFMNSALVYDKRLSLTYTHSTSIAAAAADAPPPSRTALDCTLTVDPANKLSLSHALGSGGCRVKYSYAHGTDRLTTIEPVFDTKTNAWEFAVTRKFQGGDAVKGTYHASTKLLGLEWNKDSLAGGSFKVAASFDLSDQSKAPKLVAESTWNYEI >Et_4B_039837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3664721:3666452:-1 gene:Et_4B_039837 transcript:Et_4B_039837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAADVQLGTKNCDFQMERYVFKRRTDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPSNNKGRNSIGCLFWLLARMVLQMRGTILPGHKWDVMVDLFFYRDPEEAKEQEEEAAAGPEFAAIAEYPAADQWGGGDNWTSDAVAPPAIGAGGAEWGAAPAPVAADGWDQAGAPVSVPVDGVPPVVASTGWDPAAQPPPAQGWE >Et_3A_024663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23032413:23035310:-1 gene:Et_3A_024663 transcript:Et_3A_024663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCGDAASAATEMTGVVICESAMFPPLPGRASGNAAEMGGDDAKKKKTKKDNTARINKWGLREYSKIGC >Et_5A_041469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23226402:23230146:-1 gene:Et_5A_041469 transcript:Et_5A_041469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGSLDKYLYCSEEKSTLNWVQRLHIIKGIASGLLYLHEEWEKVVVHRDIKASNVLLDNGMNGRLGDFGLAMLYDHSANPQTSHVVGTIGYLAPEIGRTSKATTLTDVFAFGIFILEVTCGQKPIMQDSEENQIMLVDWVVQHWNKGSLAETVDTKIRSDYIIDEACVVLKVGLLCSHPFPEARPSMRQVLQYLNAEIPVPELVPAHLSFQMLALMQNEGFDSYVMSYPSLESIHSISDLIHMFFFLLPFLSTGLTLGSLAATDGGEQFLYTGFTGANLTLDGAARITATGLIELTNTSTHQKGHAFHPTPLYLRNESSGDGAVRSFSVAFVFGIVSSYTDFSTHGLALVFTPRKALPGALTDQYLGLTSIQDDGNAANMMFAVELDTVQNVEFHDISANHVGIDVNSLSSVQSRDAGYYDDKSGGIFTNLSLISREAMQVWVDYDGDTTQIDVTMAPLGTVKPKKPLVSATNNLSTVLMEPSYIGFSSSTGPVNSRHYVLGWSFGLNRPAPEIDVTKLPKLPQLPNKHHSKVLEITLPLASAALVLAIGTGLILLIRRRLRYTEVREDWEVEFGPHRFAYKDLFHATKGFKDKYLLGGGGFGMVYKGVLPKSGVEVAVKKVSHGSKQGMKEFIAEIVSIGRIRHRNLVQLLGYCRRKEELILVYDYVPNGSLDKYLYSEGDSATLDWAQRFQVIKGVASGLHFLHERWEKVVVHRDIKTSNVLLDKEMNGRLGDFGLAKLYEHGANPQTTRVVGTTGYLAPELVRSGKATPLTDVFAFGTFIIEVTCGQRPIKQDEQGNDILLVDWVLQHWHNESLMETVDPRLQGEYNSDEVFLVLKIGLLCSHPSATTRPNMQQVLEYLDGEMQLPEMTHTDLSFNLLALMQRKGIHIMSSPCSSTMVSVGTISDLSGGR >Et_4B_037094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14674748:14679401:1 gene:Et_4B_037094 transcript:Et_4B_037094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVVDSPQTHRSPPPPSPSPSMPRTHSQHQQQPRGRPQPPPGADPFAFGVVAFLGICFVLVSLSVPSSILHQVPEGHVGVYWRGGALLKTITPPGFHLKLPWITQYEPIQVTLQTDQANIPCGTKGGVMISFDKIEVVNRLRKEFVHETLLNYGVHYDKTWIYDKIHHEINQFCSAHSLQQVYIDMFDQVAVQLENLYSHLWIFVLPKYQIDETMKEAIQRDCTRYAPGIEIISVRVTKPNIPGTIRRNFELMEEERTKALIAIEKQKVAEKEAETQKKIALSEAEKNAQVSKILMEQKLMEKDSSKRQQQIDNEMFLAREKALADANYYRHG >Et_2A_015518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14459636:14459904:-1 gene:Et_2A_015518 transcript:Et_2A_015518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIATSETERSPGRFDRNNTEIFETAPFDQSSAKLTFLRVFALEPSNYIKHIATSEKERSPGRFDRNNTEITEKDPEQLENCHV >Et_1A_009546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7857846:7861810:-1 gene:Et_1A_009546 transcript:Et_1A_009546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVKGLERVVIDEVEGNETRRDRRAAPVDEDREERSRSTWAEVVSEEKGEDQERRRGQAEQQRKQQQHAPVPTQLHRQDEEDERNDHGSDGATGTGNFRPQQQSKCGIEKQDGEERSNGGWVAVEERKRHRRPHQHFEEWNGYKRPPSEQEYSEDTSHIHHGLNVEPTREELNNLSEACSRLWELDLNRLVPGKDYAIECGEGKKVYHEGDKASENLFSWLEDNVLKRPTYARFCALLDNYNPHQGYKESVTQQDKYEEAAFIEEISRSAPIKYLHRYLVLKGVTSQDYEDFKKLLTSLWFDLYGRGGCSSSSSAFEHVFVGEIKGQRQGENEVSGFHNWIQFYLEESNGNVDYQGYIFPRRRGELPDSETQLLTIQFEWHGVLKSVSSTLIGVSPEFEIALYTLCFFAGGEDNPVDIGPRERDHYDLNFSNWNHAV >Et_2A_015114.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:30533518:30533730:1 gene:Et_2A_015114 transcript:Et_2A_015114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPEKMKAWASMADDPLKRASSASSHPSSPLRRYSPTTMAVGGLLVVGALSYFMFGTSPKNRDDQLARRA >Et_9A_062842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5754798:5756673:1 gene:Et_9A_062842 transcript:Et_9A_062842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKDLVHDQTSSVPKGIKTLERRINYLEKRKVALETMMNNYMKNGKLLEQDQPAGENSPSSTCTNPPPRSPPSKKLKSKKHNTSLVEHKVNEGNLEGQEQPPREDSPDSIVSDPSPPPLPFRRPLFPHGGDDEDFQVWVEEVERVRKLNAPRDSLPTHLPSVCKCLDDPVTAGVVGKDKMMLLPVADLVVSISEHYRIALIEIPVDVQFHKEIPHFGSYPKYDHEVFTLAREHKELSVMVRRGTISRLQESYELRHHFLYVDCELPNCGGGGPVVDRNGDVVGMSLHHTEGISAILPISTALSCIDMWNVFGFVSPLIS >Et_3A_023040.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:20158578:20159506:1 gene:Et_3A_023040 transcript:Et_3A_023040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGTSSSGGEHHIPILQDGAASAAAATADAISESQSPFRDTAQPRQLKALSPAPTVPGTAMDKALSSVANLAKLLPTGTALAFQSLSPSFTNRGACLAANRYLTAALLYFCVLSCVFFSFTDSFVGGDGKIYYGVATAKGFLVFNYTRNEDDDEAADVERRRQAFRDLRRLRIRWVDYVHAVFTAVVFLTVAFSDTAVQTCYFPVAGDNVKQMLTNLPLGAGFLSTMVFLVFPTTRKGIDYTGPSAN >Et_5A_041944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4525140:4536217:-1 gene:Et_5A_041944 transcript:Et_5A_041944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKRGGGSDRLSDLPDKILGHILSFLPTKEAGRAAVLSTRWRYVFANVHTLEFQDTQTYNFWGDTYTFYSDSEERRSVNGCFIDTVNAALLCRRQCAGLSRNVSLRAFRVAFNYYYNWDAEMLHKWISAALQLSCGGEFHLDLRLHEYELCELGGAPPPGEYDRYHSERDLRAIAGWSLRFPRKLFSCAGLRSLRVSHCQLNPPEAIALPSLETLHLTAVGDSGETINRLIASCPSLEDLTLDSCSKLEWVSVLDKRLRRFSFRCCHHVVRVILDASELRVLDYRGAVPAESLFSFHGSPRIRSGTIEFCGASLSTEAELDAFPKFLENLTAAKHLHLQSRRLGCSIESEFFTGFPAFSNLQKLELTGCLDRKATIRAVPRILEQTPNLEVLTLFLLPVAKKTVSNAPLEYNLANIPDAPAVLCLRQRLREINLVHYQGSDEHRMLAKLLLGNALTTTPMNEIKQMVVSKCPKITFQKDCYRSMAHKRGGGRDRLSDLPDDILGHILSFLPVKEAGRAAVLSTRWRYMFANVHTLEFHDTQPYNFWAETYTFYSDCEERRSVNGCFIDMVNAALLCRCRCSNGHEFHLDLRLHEYELCELGSAPLPGEDDRYCTEREQRAIAGWALRFPRKLFSCAGLRSLHVSHCKLNPPEAIAMPSLEMRHLTAVGDRRKPSTGCPRLADLTLDLCSQVKRVSVVNKRLHRFSFWCCHYVARVTLDASELRVLNYRGAVPAESLFRFHGSPPRIPSDTIEFCGPGLSSGAELAALPEFLANFTTAMHLHLRSWRLGSSIESELFTVTVPDEPVAKESSNAPLEYNLAAVLDAPSVLCLQQRLREINLGSDEQRTLAKLLLGNALVLQDLCVVFPNPSPGLQTTELINEIKQMVVNKWPKMTFL >Et_7B_054212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17242721:17246689:-1 gene:Et_7B_054212 transcript:Et_7B_054212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYSQFSPDLASKFLKMRKSIDRVEMRLACFFLLLYVFLVSVMVQESEAVKGSRGNGTAPSAADAGCPKRCGSITFDYPFGIGPGCYRNSDFELTCDVTTQPPKLFLCDGSTQVFQNIVTIDNFSPPLYSISNIFIPINISHIIPVSSGVDVYDMYWDSPGRSFSLTGALINITGCGFDVYLVSQDEFSSPASCTTWCPTEEITESVARNCSGTGCCSIPIDASLSTRTFHLRFSRHGRAKLGSLHGASLLWDGINVTSSDATLQWSIVDQPNCDYAKKNMTNYACISRDSMCLDAEYSGYYCYCKGGYGGNPYITDGCLPDTDINECLDPARCQGMCNNTIGSFICAECPHQTAYDPLIMKCSPIREHNLLLGIIIGISIGIGTLFFSLCMVFLISIWKRNMQNHLRRMNFHKNQGLLLEQLISCHEYASTNTYIFSLDDLKKATNNFDSTRILGSGSHGIVYKGILSDQRVVAVKKSTVMDDDEIKQFINEVVILSQINHRNIVRLFGCCLEAEVPLLVYEFVSGGSLFSVLHGSSSSGHSLSWGDYLRIATEAAGALCYLHSAASISVFHRDVKSSNILLDGKYTAKVSDFGASRVIHSDRSDIMTNVQGTYGYLDPEYFHTGQLNQKSDVYSFGVVLLELLLRKEPTFLTGSGLKQNLSNYFLEEINIKPIQNIVAAQVLEEATEEEINNVASLAELCLRLQGDERPTMKQVETTLQIMRVKRLKLNL >Et_2B_022004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7965035:7971585:-1 gene:Et_2B_022004 transcript:Et_2B_022004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWIVLLLPVALLLLAGSSPVVAQLVEGYYSKTCPNVEAIVREEMEKIIAAAPSLAGPLLRLHFHDCFVRSCVMLLLPVALLLVAGSSLALAQLEIGYYSKTCPNVEAIVREEMEKIISAAPSLAGPLLRLHFHDCFVRGCDASVLLNATDGMLAEKDAQPNHSLRGFTSVDRVKAKIEAACPNTVSCADVLTLMARDAVVLAKGPFWPVALGRRDGTVSSATEAANELPPAFGDIPLLTKIFASKGLDLKDLVNTEMKVISMTL >Et_7B_053378.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:14414608:14414676:1 gene:Et_7B_053378 transcript:Et_7B_053378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHRASIKKVDKIKLWIEANY >Et_6B_050019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3646140:3647640:1 gene:Et_6B_050019 transcript:Et_6B_050019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATTEVQVIESCLVAPSEATPRTGLWLSPLDLVMTSRGHTPTVYLYRSDDAAPSDLFDVARLKASLAKALVAFYPLAGRLDMDGDGGRPQINCNGEGALFVVARLDRGADDFSHLKPSPELRRLFVPRIEPPSILLAVQVTFFKCGGVALGMALHHVAIDALSAFHFIQTWSALSRDGAAAAVELPCHDRTLLRARSPPSVHPDALSLFCPTLPFSETPSSAGPTASETFPISHDQLAALKRLCGGGGVSTFCAVSALVWQCACVARRRLIPPGAEARLTFPANVRRKTRPRLPDRYFGNAFVWLGATGAARDVASETLASVAGRVAAVVRRLDDELVRSAMDHLEITAAAAAEKDQRQRPLIKGSLPETELRINSWLGMPLYDADFGWGRPRAMSRAESVRGGFVYLMDAADHPAAGEGGTTTCALRVLMCMEAANIREFERLLYANI >Et_3A_022995.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:14756561:14756818:-1 gene:Et_3A_022995 transcript:Et_3A_022995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPARRGGGAAARALLALLFLASLLLIGSRCAVAIRVVELRGLASGRDSGRVALRPTTVGTRPVPSEEEESKRRIPSCPDPLHNR >Et_8B_060003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:547395:549217:1 gene:Et_8B_060003 transcript:Et_8B_060003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVTSLRSLAMAMADASLPPAHKHLPAISLPLLSSRAAPLLLRATRRLPLAPFVASSDAVEAGVDWTESRDEEEAGEEEGVVVASGEEDAEGEGEYAAVEPPEEAKIYVGNLPYDVDSEGLAQLFDRAGVVEIAEVIYNRETGQSRGFGFVTMSTVEEADKAIEMFNRYDISGRFLNVNKASPRGSLAQRPPRQYESAFRAYVGNLPWQADDSRLTQLFSEHGEVVNATVVYDRETGRSRGFGFVTMASKEELEDAISVLDGQEMDGRPLRVNVAAERPPRGF >Et_3A_025489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30230600:30232224:1 gene:Et_3A_025489 transcript:Et_3A_025489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEASKGAKVLDEQEVTSHQRGQSQSVGAGSSSAADQAEEQGDPLARQSSIMSLTLEELQNSLCEPGRNFGSMNMDEFVANIWNAEEFQAATGGCKEDITEEVAAMATGAGGEDGGSGLCRQGSRPLPLPAPLSRKTVDEVWAEINQGSADAQPRAAAPQAVVVQQQMGSGGVAASGRQATLGEMTLEDFLVKAGVVRGSLAPAPVGMVPAGPMAHMQQQAHQLAPPVMYHVAAPGNAVYPVMGDVGMGYHHGGYPGGMTVVPPPPPSQCVAAAVSPGSSDGMSAMTQAEMMTCIGNGGMVRNGGGNARKRESPEDGCTEKTVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENERLRAEEKKILLSKKQMLVEKMIEQSKENVSAKKSGGGLRRCGSSMW >Et_1B_013298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7142555:7144870:1 gene:Et_1B_013298 transcript:Et_1B_013298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSSSSSGTAFPLDHLAPSPTEQLCYVHCNCCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLCGLLLPPAAPPANNQLNFGQSLLSPTSPHGLLDELALQAPSLLMEQAASANLSSITNRSYSSCASNVPAAAPMPPVKLPVQREPPELPTKSAPSVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNWAHFPHIHFGLVPDQGLKKTFKTQDIGAEDMLLKDGLYAAAAAAAAAAVNMGVTPF >Et_9A_063081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9768984:9776825:1 gene:Et_9A_063081 transcript:Et_9A_063081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAGAAEEGKSPMAIPAEVAKKKAKVVRVKQAYIDKLLKQFPLKPFVCMPEELIETMSPEKREPFRTFMAEVVACMKASQGKDEAIDPRAVPRHGVSDDDGDEEGEHDGRQCSIESKLVRQGYIDGLLKRHPVKTFVGMPEEVIQKLRPENRHYFHTMSAKVVALMKRFHARAEASEQENKANPVDAGKKKTKLVRVRQGYIDGLLKRHPVKPFVGMPEEVIQNLRPENRHYFRTMSAKAVALMKRFHARAKASDQGNKANPVDAGKKKTKLVRVRQGYIDGLLKRHPVYPKKPFVGMPEEHIQLLPPEERERFRTFMAQAVACVKKCRDEDEAILGQYRTKGYAEIEMEVRDDDDGDEEVDVAEGMRGIRGAAEEVKSSMAKPAAAAEVVKKTTKMVRVKQAYIDKLLKHYPAHGALELIQTVSPHRRDGVRDVMAQALACLKACRGEEAILEQYYAKGYAEVEAKDEEDVVAVPLAEGILSRL >Et_2B_020913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24815257:24819782:1 gene:Et_2B_020913 transcript:Et_2B_020913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFFFTSRAAARLAEGIARPGVSTAALLLTAASGGGLVAYADSATESAPESSQDARKKKVLVLGTGWAGTSFLRNLDSSRYEVKVVSPRNYFAFTPLLPSVTCGTVEPRSIIEPIRRMFEKKNKDVTFYEAECFKIDASNKTVHCRSAVGTNFDGNGDFMLEYDYLVVALGATVNTFNTPGVMEYCNFLKEVEDAQKIRRSVLDCFEKASLPNVSEEEKRKTLHFVIIGGGPTGVEFAAELHDFIVEDLVKLYPAVQEFVKITIIQSGEHILNMFDKRITAFAEEKFQRDGIEVCTGFRVVKVSDDLITMKSKTAVEVSVPYGMAVWSAGIGTRPVIMDFMHQIGQANRRALATNEWLRVRECDGVYAIGDCATVNQRKIMDDISMIFKTADKDRSGTLTLKEIRDVLEDIFIRYPQVELYMKNMHMLDIEDLIKGAIGDSHKDSMVVDIEEFKKALCHVDSQVKNAPATAQVAAQQGSYLADCFNKMEECKQHPEGPLRMTGESGRHFFRPFRYKHLGQFAPLGGEQTAAELPGDWISMGHSTQWLWYSQTSELAHKVAGGF >Et_7A_052575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9542236:9548389:1 gene:Et_7A_052575 transcript:Et_7A_052575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWEKWDELEPEDFPCLQYLTIIKCNRLRELPKLQALRNLRIKSYEISKDVTFHSGQPEDVEPFSGKPVWVRMGHPEGIEL >Et_6B_049866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15830090:15834005:-1 gene:Et_6B_049866 transcript:Et_6B_049866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGSDEGIAAVGDEQVPFLMRVLAIGRLGFTFILHLISPLDQRSQERIPKKNHKAEREKLKRDQLNDLFLELSSMLDLDRQNSGKASVLGDAARVLRDLITQVESLRKEQSALLAERQYVSSEKNELLEENTTIKARISELQNDLLTRMGNNSLNLSSLGMSHTMANATNPGLATQPMQHQIWSSIPNLSTVAMTHSTNTVSPLHSQQHRPAAGQVHASRPQELQLFPGTSSSPERESSQLRSNPASTSSLTDSLPGRLQLSLPQSSQEGSSRRERKNG >Et_9B_064715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1799953:1801987:-1 gene:Et_9B_064715 transcript:Et_9B_064715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLAPRGLLHRPSLVPPPTVSSPSSSCPSSSCRLGRRPCPPLRCSSPPVDAAAPPEKKGGEYRPSFVDDLLLAFFRSKMVEEVGWDSEKPGYDGLMEVVKHLMIKGKSALETEQSAVRVLRSLFPPLLLPLFKALLTPISNGQLASMMLARATALSCQWLMGPCSVNSVTLPDGKSLSSGVFVEKCKYLEESKCLGICINTCKLPTQTFFKEHMGVDLYMEPNFEDFSCQFNFGVQPPPLDTDKALKEPCLDICTSARRRRELSRNRSPDELGCPQV >Et_7B_054071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1529012:1530463:1 gene:Et_7B_054071 transcript:Et_7B_054071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKFHEQKLLKKTNFLEYKRDKGHREAAVTQRFSLVDRDDYKKYNGICQMVQKQVNIIKQMDPRDPFRIEMTDMLLDKLYDMGVISTRKSLLKCEKLSVSSFCRRRLGTVMVSLKMSEHLKEAVTYIQQGHVRVGPEVVTDPAFLVTRNMEDFITWVDSSKIKKQVMEYNDALDDYDAI >Et_5A_040149.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:15687363:15688440:1 gene:Et_5A_040149 transcript:Et_5A_040149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHRYLLYSSSDYAASAATTSSSSSSSSEYMSFNSGAGDEAPAPTTSAEVSAPAAAAPAAVVVARRERASPPGISGGSKEAFIGVRRRPWGRFAAEIRDSTRNGARVWLGTFESAEAAAMAYDQAALSARGPAAALNFPVERVRESLRALALGGAGAGAGAAGGGGSPVLELKSRHSKRKRRKKSEIAAAAAANGAALGRSKIGKSKSSVAGEQKRFVVELEDLGAEYLEELLRISGC >Et_1B_010049.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:20674754:20674852:-1 gene:Et_1B_010049 transcript:Et_1B_010049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVALLTREKIALYRVANGEMVHKDVVAAAEM >Et_8B_058692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16741125:16742559:1 gene:Et_8B_058692 transcript:Et_8B_058692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GPPAIREDLSRAAGSEAPGRRRRQKSIPTLPLRDPARRVPGADSSMEVGSAEWRDGKPVLRHHGWTGVGGKGETRPELHLRVKMEADPRYIFQFDDEIALNPQVVQVHGSVRQPIFSCKFIRDRRRPSQSDALGGQYWSRSGEEKETEMVRRERKGWKVVIHDLSGSAVAAAFMATPFVPAPGGDTVARSNPGAWLIVRAGTTAAGPASESWQPWGRLEAWREPAAKTKEDTVRLRLHLLPERQDDCVLVSEAPLSSDRGGEFSIDMDRPAAPAPATAADHCAASLGAACAGGGFVMSCRVQGEAASSRPLVQLATRHVACMEDAAMFVALAAAVDLSVKACRPFRRKTAAKKTGAPCPDPLELDM >Et_1A_007245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32522675:32529960:-1 gene:Et_1A_007245 transcript:Et_1A_007245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALSPATLASALGVGGARRSLQRRSDTDAGSRAGQTVGNESNHQIRRSTWRNRRAAAAGGSCTSTPLSDRPSRCAQISFTENGQKRKRIPDGYDDDDDYGSGTTTATFDQARHTLLNIKNACTCTTPLIAQPTSTWEFQAEDASGSGTTTATFDQSFFNTAHASSDEEIAAEMVAEMTYVVQPSWEFQAEQTTATTTFNQEQGLAQEDGAGHASEGFQHLGDAAEDIAAMIDEMTNAVDLYLDQVPPVIDQKQSSCGVPDIGDMDADTMSSAAEMLYDISPDMHFAPADELLVEFYLLRRVRGQPDLFPGLIVDDDAAANTQPWELFDRHGRSDAVPAFFFVHTNGGARPDRRCQGGGTWKSQKRVHEDQMVIDGETVKWSRHNLNLHMEGDGICGWVMHEYSITAHPSLKLCSISFSGFGQKRKRVPDGYDGEPATQRPRVAAEEGGSATFDRGFSTAHASEDPELLHDSSDAAMLAEMTNWEFQAEQVQMMNTSVSDQEPPPSSCSTTTTPSQESGLTQDGAGLEPLELSEDDITEVLDLVAEPLAMDQSSCGVPNIGDTDAVHWDGIDFTFCYGDNS >Et_7A_051122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14746692:14752341:1 gene:Et_7A_051122 transcript:Et_7A_051122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLLGLRSLKGFRSLAGSTSTAMKAANPKPSSDAGGSTNGTFANLKITAEKFVKEEASVKTDLEMAFQHTKLRRATEQINLLEGKLQQAVNENAKLKVKQTEDSKLWQGLDSKVSSTKTLCDQLTETLQQLACQTERAKEDKRLFQEKLGKNSTALDEFSCLLHDLSTKLECAEQTIISGKQQMLQIKHEKEEMDQSYKEQLCANDTIIKEKDSLIKQLESSVDESKACLICLDSRLQCMEQELKLKDDACISLKENLASAEDCVKLWLLTDIQVARIQQDNEHKESTLRAYHKEELQRIQSHSENELKEQNSVSAIKISGPDGRKKHELWSVRPDSKQKDVNLSGILQSSISNMLTKNDEVGRENGRITKDNETSSEPKTWRFFHSTAALDASRKKRMLKKMSRVKRKRVSNTATIVLDPNDLHASGHSFRRGIRKAQAWYRSEGRYVDLTDHLGEGFGVFTTPADGSDVYVKGWTGGKFGTFEIQSDGEESSDEEVINYNQDSECTVLKTGINYHDLCTLEKVRIGPALMDSFEVLYRCNGVITGAVIQAVSEFCVNLAEPIRNEDVLDKVCDSFVICHLDTLDTEDSCLSYWVRNYEYYSRQIMKAVNCYYEGRPVIKIIDKRNQRVIPLKELIRRYPILLRDALNDGKFKHEEAPKYPMLCPVYSEAEEDDGEDEKQWLEKKEEEMEKKAQSKDLMVRNPNQPGSLNGSIARFSGAARPFSSMSYNGRPGFGA >Et_3B_027699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13336388:13338334:1 gene:Et_3B_027699 transcript:Et_3B_027699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPHLLPALPLLVLLLPIPLRSQPAPSPPPPPPQQCALNLTALRPFLAQPLPSDDASRCALATQSVAFLLSLHLAATGSFVLPSNASSCLAPLRAALPLDALLAAPGCGNVSTLADFDALVPPAARADMNASCDRDLSAVPDCTACTTALSKAAAAYLLPGSPNARNNNTNNNVTGCVQYPFIYAGAKASPRGPADPATAYCLYLLKASPPAAARHGASPWVYGVAFGCLGAVLLVAAAAAACFLVRQRRNRAAAAALAAAAADSRSKRSQAMESISASTTLVKFTYDEIKAATGGFSRDSIIGRGGFGNVYSGVLSDGTEIAVKRFKNCSAAGDAAFAHEVEVVASVRHVNLVALRGYCIATTQMEGHQRMIICDLMHNGSLHDHLFGAGECTMTWPVRQRIAIGMARGLAYLHRGAQPAIIHRDIKASNILLDDEFEAKVADFGLAKFAPEGMTHVSTRVAGTLGYVAPEYALYGQLTEKSDVYSFGVVLLELLSGKRAFISLGEGQSFVLADWAWSLVRRGKTVDVIQEGMLEPGPTELMEKYVLVGALCTHPQLHARPSMEQALKILEADSAPSPLIIPERPLPVVANLGEIERSVSGSTSGQLFSPSGFRSFIHRTAEDDAPASPNET >Et_10A_001692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:780908:787029:1 gene:Et_10A_001692 transcript:Et_10A_001692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EGDEANHHIGGQHERFQHGNGAKLNTFFLQVAMEEKKPLVRTKESSGTGGGNEEDDLVFPGFRFHPTDQELIGFYLKRRVENRGGAGEKDWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDKPIHDGAGGECIGLKKSLVYYRGSAGRGTKTDWMMHEFRLPSTSSTAAGVQDAEIWTICRIFKRNMSCNRRYQQQKQEHRGNNKRSHHQQQLDYYYGYRYQNHQQQHYTGGGASMASSFESTTTDTSEAHATDHDQPFLLHGFLDASPADVTATTTMKTSATIGSSQLLSSSVSGWSELTSLARGGGSSWDELGRILEMSTDMPYCFFDADRGQALSPPLTVDSFNLKTGREVSMKAMQFPNTNKLASNRFIGLQ >Et_4A_032545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11181171:11183143:-1 gene:Et_4A_032545 transcript:Et_4A_032545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAANGGAEAANGGIEGSADPCSSSGGCRLSVHQIAGGGKAADIILWRRGRVTFGVIFGATVAWLLFEKSGLSFLTICCDVFLILIVVQFIRVKIAEKLNRQPRPLPELVLSEEMVSNAAASFRVKVNNMLMIAHDITLGKDFRLFFQVVFILWLLSVVGNFYSSVTLAYIGTIALVTIPALYNKYQRHVDRYAGMVHRNISRHYKIVDENVISRLPRSFVRDKDE >Et_9B_064353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14300285:14306918:1 gene:Et_9B_064353 transcript:Et_9B_064353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVFPAIDLCITRKPRSRALSQPKPRAVKLPVRKDAATGQYLTTFRQRTPLVPVTAVVDLANPTTWVDCEKGYVSSTYRGVHCASEQCRLTGHASCGRKCSGKPGPSCLNDTCIGLPDNTVTKEGTASDLLTDVLVLPTTSGPFATAPEFLFSCSPTFLTEGLAAGATGVASLSRNRFALPTQLAATFGFSRRFALCLPSSPAAPSVVVIGDAPHTFQRGADLSNSLTYTPLLVNPVATLSGLTGKSDEYFIGVTGVKVNGRVVPLNATRLAIDRKGNGGVKLSTARRYTVMEPSIYRAVTAAFAAATAGIPRAPPVKPFKLCYDGSKVGRTRVGPAVPNIELVLGNKQGASWVVFGANSMVAAKGGALCLGVVDGGEFQSAAIELGGNMLEDNLLEFDLEKSRLGFSSSLQFRQTTCSNFHIGMARLLLPPLAALVFLFLLSACHAAATSSNTTAVLLPVSKDDATAQYVTSFRQRTPLVPVTAVLDLAGASLWVECDPGRYASSTYRRVPCGSKPCRLARTGACVTTCAGAPSPSCLNDTCGGFPENTVTRVSTSGSIITDALALPSTFRPAPGPLAVAPDFLFTCGGTSLTEGLAAGASGMASLSRARFALPTQLANTFRFSRKFALCLPPASAAGVVVFGDAPYAFQPGVDLSKSLTYTPLLVNPASTAGVSTKGDKSDEYFVGVTGIKVNGRAVPLNATLLAIDKKGNGGTKLSTVAPYTVLETSIHRAVVDAFAAETSPMIPRAPAVAPFELCYDGAKVGSTRAGPAVPTLELVMQQSEAASWVVFGANSMVRAQGGALLCLGVVDGGKDPRTTVVIGGHMMEDNLLEFDLDKSRLGFSSSLLFRQTTCNNFRLG >Et_3A_023308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19799365:19800735:1 gene:Et_3A_023308 transcript:Et_3A_023308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRSPADPPPAKRGKESSSNTTVASLGHDLVLEIFLRLPSLATLVRAAFTCHAWRRAEATSPDFRRRFREIQPNPPIGYDPVADVRALGLPAFPSFVHALGPDKDQAAAAVRGGDFLLTSFQELPGGPHGWEVHDCRGGHVLLGNGDLGRMAVLNPMARRILRFFDFGHEGTLEGHRGFPVAHKASLLCDDEDPASFRVVIMAHDESRVRATVFSSDTGEWSVLPWVDAPVPAQNHFDRSNWLQGSNNMQGSGSLFRVCTDGSYLLTLDSATMEFSFAVLPWAVRCHLASNLCVGETKNGTPCLVYACYSYRVGVFLRRTDSDGVGRWMQDRVVALDTQLGNIKKRYSTLKVVAVIHGYAYLATSTDHHNYITPYWIFSLCLETMKVEKAFQRTYERAVHPCVLAWPPSLLNGNDKSFAPEDAREVA >Et_2B_022319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17962117:17968404:1 gene:Et_2B_022319 transcript:Et_2B_022319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVRPDSTVTVNCEENPLQCQRLCPGGAACYELAEPPPPPIPVLPRAAPDADRHATPVRLLLTVSLLSAFLFLSLALATLLLYRRRRRILRRRRRAAAAAAAAGALPDEDWFGDGDEEGGGGGGGVVHHVWYIRTVGLDDATIASIAAVEYRGGVGRGGDCAVCLGEFSDGELVRLLPRCAHPFHAPCIDTWLRAHVSCPICRSPVVVVPTDLPAGAPEPEADGAQHEEREVLEEMPLPELESQAEASEDLEIASDDRSEDTTAAGDDSGKATPNPIRRSASMDSPLFLVSVPEAQDDDMQFNSKLPIAREMKVFRVKEKEASGTSPFSCQAGRFKIGRSMSSSGLGFFFSRNGRSSGTVLPLTVFGRWTSWLGTACITFQHALFVINKTKPSNTCLLAFFLLDTIGVPQLTPQLDNASIKAWWGVVATQGTENAQNEQERSKFVADSGLLTAFAKLLLGYRPLDPNQGSGKAYLEWEGLEPLAQRMVLHKGNRIAPGGVKQHLSIVSDPVVNMIAPTRNVAATLLLFDLLEDLMSRSSNLIHTAVVLDLEAERVEHHVAGAGQVEVEHGEAVALHPHHEPQQLPRPPAGQHPQVGVALHHGRLDLSHRRRLRPGRLGFGDAVVVGGVSGSGSCKRRGSAHGVLRESEEVGERRRVSLTVGRALAGPLMRLQGLEKLLQLLDEVDRAADDGRLIALGTATHAQFASPC >Et_7B_054181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16690933:16694704:1 gene:Et_7B_054181 transcript:Et_7B_054181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRFLVLLVVATAAPLLFSKRAAAAPEDHLVTGLPGFHGAFPSKHYSGYVTVDEASEKSLFYYLVLSERDPAADPLVLWLNGGPGCSSFDGFVYENGPFNFEPGNTPGGLPKLRLNPYSWSKVSNIMYLDSPAGVGMSYSLNKSDYTTSDLKTAADAYKFFLKWFELYPEFQPNAFYLSGESYAGVYIPTIADEVVKGIEKGMKPRINFKGYLIGNGVTDDDYDMNAFVPFAHGMGLIPTDMFEDVKSTCHGKFWGNLDEICQENIDRVRWELKDLNKYNILAPCYHHPEIQEAEFVNSSLPSSFRRLGETHRSFPVRKRMAGRSWPLRLARRDARAPMWQGLGGRSLPCTSDELATIWLDDDDVRAAIHAKPKSIIGSWELYTARIDYYYDTGTMVSYHKKFTALGYRVLIYSGDHDLCIPFPGTEAWVKSMGYQVVDRWRPWYFSQQVAGYTEGYDHNLTFLTIKGSGHAVPEYKPKEALSFYRRWLAGEKL >Et_8A_057478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3452118:3453891:1 gene:Et_8A_057478 transcript:Et_8A_057478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQHQHHAMVGDALWDILGEEMAAAGAGGEHGLPPGFRFHPTDEELVTFYLAAKVFNGACCGVNIAEVDLNRIEPWELPDAARMGEREWYFFSLRDRKYPTGLRTNRATGAGYWKATGKDREVLNAATGALLGLKKTLVFYKGRAPRGEKTKWVLHEYRLDGEFAAGRRACKEEWVICRIFHKAADQYAKMMMLKSPYYHPMDPSSFCFQQDPHAALPLTYPTSNLSHALSFHHGHPAMPPSSMENNETSKRNNGVLQLEPNGSGNMSLLPFLPFTSTVAGKSAPPPHQPAGVNAGPQEPPPPPTWLDAYQHSGFLYEMGPPAAPRGA >Et_10A_001063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20813141:20814382:1 gene:Et_10A_001063 transcript:Et_10A_001063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIINEEQAPPLMVNWMAMGYLGRTRHGVAHFQNNNAPISVQAGGDQFTGVPVDMRSLIARLGMTPMGFEMLHGALAVTGSGVVGSVPGEVAMVQQSQSRPESYSKPRVPFKESWKEERGKLQSRIGIFLFLALVAAFLHYYLHCLVRVHFSTANERHEYICNMYLDDVAHAALAFPSAPPLNLLYNGLLNSTVHACIAGCVPTYAELSFNAANLEAGCRTCG >Et_1B_013617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:94197:97672:1 gene:Et_1B_013617 transcript:Et_1B_013617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGCFFFFFFFFFFFVVLGLLAGAAGGSDAEALLRLKSSLDRWDRLRWPADTAEGMCSWWAGVRECDGDGRRVRKLVLEGLNLTGSLSAALLAPLGELRVLSLKSNALTGPIPDALPRNLKVLYLADNRLQGRIPASLALLRRATVIVLSGNRLSGQIPGSLADLPRLTSLLLDRNLLSGAIPPLRQRTLRLLNVSGNRLSGQIPDSLAARFNASAFLPNAALCGPPLLPRCDSLDAATAALAPLPAPSRSRRGKNAATVAGALVLLAILVAAAAFIASRRGRNKRVADKKGAVAAEIIEPPPPPPPPAGPEFSWEREGGIGKLVFCGRGVTAETTYSLEELLRASAETLGRGDVGSTYKAVMETGFIVTVKRMRDPNSGVGAAEFGRRAEELGTLRHPNVVPLRAYFQAKEERLLVYDYYPNGSLFSLVHGSRPSSSEGGKPLHWTSCMKIAEDVAAGLLHLHQDQSTTVVVHGNLKPSNVLLGPDFESCLTDYGLVPTLLPADLGSSSSTNLYRAPEVRARPATTASFTPASDVYSFGVLLLELLTGRTPFQDLMELHGGGDIPSWVRAVRDEERDTDSSVSVGGAEEKLTALIGIAAACVAADPARRPTTAEVLRMVREARAEAMSSSNSSDRSPARWSDAPRHQATMPTESFTDRD >Et_3A_023469.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29393225:29393470:1 gene:Et_3A_023469 transcript:Et_3A_023469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASSPRSPQLLSNRRPPDPSALQERVPQQKIMRPFHETHHQFPTGRHHKSSPSLLPTPNPRPTECRTRQEPHRTTPIE >Et_1A_006511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23401439:23403163:-1 gene:Et_1A_006511 transcript:Et_1A_006511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRARVAVAECNGKGKLAVMLWYQGEADAFRLEDAELFQGRMEALVRDIRHDLRQPDLLVIQVLIATAQRHGKFIDRGKPRRQSAAGSKTWSTSIPCGCPLRAITYKLRTLTIQSIGLNLTTKGVLGLPPPNATPQCGCHSKKYTCLVASLKQ >Et_1B_014175.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:34197237:34197677:1 gene:Et_1B_014175 transcript:Et_1B_014175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIHDRSLSTVGTTVVDSSLDSDEQQQPCLGGGVLFALPASRTIKMWIEPTPQRSRVIHSTADPKSPAHPKSLDRSIAIVRERQRRHWWRGEDESVFEDEENDDDDEGDEEEEEEMDDADRERIKAAIKHHDAKLRRTAAQRMMM >Et_4A_032581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11513906:11524402:-1 gene:Et_4A_032581 transcript:Et_4A_032581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTRRSAAAKRPAGQEEDKGASPAPAPAEAAAAAGAGDDVAAAQPPKRAKVRISLHLACGRVASVEADGPKEAPADAEVPEAAASGGVAGTLPDTAGLQALTGAMDKLEALLRSREAQSNPAGHKRGANDKDLSAKRAKDLSESVAGMLNNRLAAATGRRQEPWCRLISQYAAHPSLPIYGSHFTIGHGAHHDLKLGESSTPSPVCRLRQFKRGALLEIHDSRVIRVNGKAVDKAAKVTLNGGDEIVFRSPVRHAYIFEQLKQEKSSTDALSSACSSIQLGQHSHFKDIHDPLSSKRPKVSTFYFGKGRSPLMPSGTSADPVLLNLCKTMEERNQFNYEENIPFGRCPLQMEDLKNATVDPSDISESFDSCPYYLSEHTKCDLLSSAYVHLQCKDYIKFTKDISSLSQQVLLSGPTGTEIYQEYLVKALAKYFGARLLIIDSSMLFGGQTSKEPESYKKGDRVRYIGSLQSSGIILDGQSPPDFGSLGEICLPFEENKSSKVGVRFDNQISGGNDLGGNCEADHGLFCPVDSLCLDSPGWEDRSKHPFDVIVEFLSEEIQHGPLILFLKDIEKICGNNASYHGLKSKLKNFPAGVFIVGSQIQPDHRKEKANAGSLFLSKFLYSQAVVDIALQDIDRGIDKNKENSKAIRHLTKLFPNKVTIQAPQDEMELSRWNRMLNQDIEVLKGKANISKIRSFVTRIGLECSDLETICVKDRILTNDCIDKIIGFALSHQLKNGANPDPSSNVQFVLSSESLKHGVDMLESIQSGTKSSNKRKSLKDITTENEFEKRLLADVIPPHEIGVSFEDIGALESVKDTLKELVMLPLQRPELFSKGHLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSISSKWLGEGEKFVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKEKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDSSNRRKILSVILAKEDLAGDVDLEAIANLTEGYSGSDLKNLCITAAHRPIREILEKEKKVCVSVSSDSNNMNELVQWNDLYGDGGSRKKTTLSYFITQ >Et_10B_002834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12187315:12190210:1 gene:Et_10B_002834 transcript:Et_10B_002834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTIRLQTSQTQKQLTKREQDHLHCLTRDDLRTVVVVPLHAAVVAGRPLPGVGEHAVQARRLLVGGRRHREAAVAGAFLYLASQRSSHSESSADGYRTLILKPPSSSDDRPSLGCRCSTMVRPTARKKRTTGRTERRRRSCPAISRLFGTLAALRYYADR >Et_2A_014835.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:17906725:17906766:-1 gene:Et_2A_014835 transcript:Et_2A_014835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLGLKNCCNSS >Et_5A_040635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10688420:10689638:-1 gene:Et_5A_040635 transcript:Et_5A_040635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGELQHHAVAPSRMHLLAVTAAASPGSLDSPGSQQNNPTPPVDRLNLTHAQRQAEGHYSFIPSNDRLVDEYLRAKIDGQIGDHAMASLFHDADVCSARPHDLPRVLLRWKEALAANATGTYRSLFASLSSSEGSSSRASDAGVGSDDGYPPRHYIAEELGLPHGERVQLLGVPVRAQGFRPWRAPVPSRGAAFRAWRASCGNATFPVHAAVDPVLHSGKSRALILNTAASLERSALAHVAPHMRDAFAIWAPPRHVVPCAGGLWREDDGCMAFAIGPLHAMIKVEDIAIEADCCTTLMMKQKQGVDEFPA >Et_1B_011629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24380921:24383915:-1 gene:Et_1B_011629 transcript:Et_1B_011629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFGEGGQVPSMGSRSRLGPKLINEDTDNASRETQLGRFSQLKRPDGKSGEPCLHSVPNFHCNSLPSRYRDGNPEHSIMHKRGSMYQSSSEVSRLRNLQDGRRKINSSHNGDTFLSFEIVNSSSQPSTSGAGFLSQQNHSCETRPYVGKSHTNRQASKELANFLLREIPEDGLVLERPRRDCNLLKGIEIDSFLEISLEKDTTEGACTNAAPHLLERSCSKVARSNCQSSAGSHPDEIDICNLPKSFSTKVGVFDATSTCPSEYVRGVDSNKKARSSPFKKILDPIMKSKSQRNPSLMEMEDAKSSATPFGGITRVLRKSLLSEISKTEQSLALDCQTCGEAQNLTVTSSPTHLHAVIKLDPSNGAFGFEFCTKGPEESIYANTWKVGDELNWIYTFHSIGKRASTVGRSSKDNRRGCLPPIVGQMHVSSYLYSNVAEDGTLNNSAISEFVLYDIAHARRSSAVERVQCADAIQPSFNNVFNNTVSGSNLQRNNLMQRQNTARNNSDVSTCCLWSREDLHPHLEVAAIVIQVPFHKTKSKELKAGSSPGTIKAVTAGGAHGLPRDEEATPSPLLDRLKSGGACDCGGWDMSCPIVVLDNKYDSYWADSVMNEGKHPMQLFVQSNKEVLPALSMKADGNGHFSVDFHARLSALQAFSICISLLHCSEASSDIGIEKFKNKLYSSSLKILLKEEVRQLIDSVTPKEKKRPKRRKEKTPPSIVVDPPFSPMGRV >Et_9A_061841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16989220:16993325:1 gene:Et_9A_061841 transcript:Et_9A_061841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAGAHYSARTAEEVFRDFRGRRAGMIKALTNDVEKFYQLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLAVAFYFAARFGFDKESRKRLFNMINNLPTIFEVVTGAAKKQTKEKAPNSTGKSNKPSSKMPSRPESHSKAAKVAAPPKDDDDDESGEDYGEEEERDNTLCGSCGTNDGKDEFWICCDSCERWYHGKCVKITPARAEHIKHYKCPDCSNKRARA >Et_1A_006913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2926755:2931536:1 gene:Et_1A_006913 transcript:Et_1A_006913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HQQPVGPRGVADPDPVLASSALLTLPISGSSGLASRGTAPSDEEDGRGGASLAESEEAAEATNDSFSYSLRECQKQRQLKSDGAGLVRSPVSHDPNAGGVRGVELLVLSPRCLLGSNLGGMSKSSTTSSRSRSRSGTGTGTFPSPGTPNYNRHCAGSMQYNKGWSSERVPLGAGSNRRYGGSGVVLPFNNGRKLPSKWEDAEKWIMSPVSCDGFGRMSAPAPHHRRPKSKSGPLGHPAGIPGAYAAVSPLVPCFDGVLAAANFAAQSPFSAGVLIPEHSRSRDFSSGRGKCTDDGSSRSYSAEKDPYILRSASIHAWTETLMEASAFAKIQEEAAQDDKLQGLLAATSVISSPVIKKDVATQMSPDDSISSSPKARHSCSSLPSGHLIKESNSHIPKPEIRDVQVDDQVTVTRWSKRHVTRGSDKRSTNIIEWRKKTVENRAPSFDEKERERCVSKCKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKILGKLRSAQKKAQDMRGAVSASEDQCSSWRPKCNHKWQEVIDN >Et_4B_036117.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:25515464:25515808:1 gene:Et_4B_036117 transcript:Et_4B_036117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDWLELSGRNHEWKLFVFCHSGLRFRRQHITMANAAAITAKARPKPVSALLPACELAFGEKRPSKLFAWLLIFITSRPLRMALLLLASVVPGPTCTRMVELVMMDESMIKSL >Et_3B_031573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5152987:5155592:-1 gene:Et_3B_031573 transcript:Et_3B_031573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHGRRSGGGSGSGDDEGSAEAGSWRLRMDSGFRVPERFHRQAPFYARILGGGSHGKQRKIAKYYKKQENLLKDFSEMETMNEFGCLDQGAPSEEEVRQLAKAERLAINVSNIVNLVLFVTKVVASIESVSMAVIASTLDSLLDLLSGFILWFTAHAMKKPNKYSYPIGKRRMQPVGIIVFASVMGTLGFQVLIESGRQLITQDHENFSHKQELWMVSSMSSVAVVKFFLMLYCRSFKNEIVRAYAQDHFFDVITNSIGLASALLAVRYKWWMDPVGAILLFGYFRVRATSPAVDLTTCIFV >Et_10A_000539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12343086:12343474:-1 gene:Et_10A_000539 transcript:Et_10A_000539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAIEFTVATKSNLEEVTAAVSLSLPQFARLAPVRAIGNRVYRPDAVLGRSRNTRSCDLRKNSASRLQSGRSRAGESSRGHTVPRALRKSGVGEGRRDLGSL >Et_5A_040176.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:19150292:19154328:-1 gene:Et_5A_040176 transcript:Et_5A_040176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PAQRPILPPHQTHKPFPSPARVSPRRAAAAAMAADTSKPFFPATPNTALLPSRGAASRASPEASYWRSFRSSELVSGSEFPVTDLTFAPASASAAPKLAAAWSTAVHLFSGDPLESLRKISVAGDLAFSPSFRSDGALLAAGDKKGVVRVFRADKAAAGPLRTLRAHAAETRVVRYPVAGGDKLHLLTAGDDALLAYWDVPSEKPVFTVPAAHRDYIRGGAASPADHNLFATGSYDRTVRLWDARTGNSGPSLSFSHGELVESVLFLPSGGLLATAGGNVVKIWDVIGGGRLLHSVESHVKTVMALALGKMANTGETRLLSAGIDGYVKIFDFGKLKITHSIRHPQPLLSVACSPCGTVLVAGSAKGKIYMGKKKKKAVDEEEEESKGVNGEIDWVSPAPEKPVLRPNYFRYFLRGQGEKAKEGDFVIAKPKKVKFAEHDKLLRKFRHKDALVSALVKNNTRSDVAVMEELVSRRKLMTCIGNLGTDELGLLLEFLFRNATLPRYARFLLGVANKVVEMRAEDIRSDEKLRGYMRNHKRMIAEEIQIQHSLQGIQGMISPMLGLASR >Et_10B_003319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18829104:18834562:1 gene:Et_10B_003319 transcript:Et_10B_003319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGSDEAPLLLPVPAVEGCPGCVLERRKAHGDRRIPYREFLLVGVTTLASALPISCFYPFLYFMVRDFHIAQKEEDIGFYAGFLAASYMVGRGFAAIFWGIVADRIGRKPVIALSILSVVIFNTLFGLSTTYWMAIGTRIVMGSLNGLLGPMKVNTSWGLGLIVGPALGGYLAQPTEKYPQIFSKDCVFSRFPYFLPCLVISLFAAIVLIGCRWLPETIHKHKFSVNDTKIVKALSPVDEGNWDSPCKKNLLQNRSWMSTMIPYCLFGLHETAYSEIISLWAVSDRMHGGLSFSTDDIGEVLAMAGASLLVSQLIIYPWVHKILGTVNSSRIASAASIPVLATYPFMTYLYGVKLSVTLYSVAMLKSALSITAITGICLLQNNAVCQEQRGTANGISTTAMSFFKAIAPIGAGALFSWAQKHYNAAFLPGDQVVFMMLNLVQLLGLISTFEPFLWLPASPK >Et_1B_013721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1454327:1457742:1 gene:Et_1B_013721 transcript:Et_1B_013721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEERSSAVGRARDQFPVGMRVLAVDDDPVCLKVLETLLRRCQYHVTTTNQAVIALKMLRENREMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVMKGITHGACDYLLKPVRIEELRNIWQHVVRRKFGNRDRANIDTYEECNKPPNADLDHTHSQVTCGSPDQTGRPSKKRKEYHSEEEDDEGDESNGHDNDDPSAPKKPRVVWSVELHRKFVAAVNHLGIDKAVPKRILELMNVEKLTRENVASHLQASLFTQLCSVQQVLKYRLYLKRLSAVASQQAGIVAALAGSDAFMRMGAFEGLQGYQTFTPSATLSSFSAQGLLSNPTSFGIQGMAASRSIQIGNSNSTISHSIRGANKYPGNQQGNLAQGLTTPVGQVQLPQKWINEETDDLSTILATNGLANRAPSTLQSVTNGPLVQQELVECREANVVIQPLIGTSSGSLEPLGGTVGVSSNLMDSRVSQQSCLPLSAYSTSGLPMNGSFSNNVGKLGAASSGGTHICSSNDLTVARDNKAGAASFCSTILLPPVGDQNSKYLSFGNVGSLRQNLDGTNADNLMDSKQVWSSLLSNSGAHHSMSHRSNNGSLGSRMTGQASASASAAAPQTKFDMLISGGDTLTPKNASDFSIPKLHSELSSSSCSFDGLLNSIIKLEKDEASFSDDLGCDFYSIGACI >Et_5A_042178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6997348:7000850:-1 gene:Et_5A_042178 transcript:Et_5A_042178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDRCPRPMCYKAYGSGKEDSPLCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAESAAMNQHEAIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRGVLRVNQKIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYVELEAGKVSKLAKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGSTLEVPPCPL >Et_7A_050735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10560309:10562505:-1 gene:Et_7A_050735 transcript:Et_7A_050735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLESAKTLFGDGGSLGCFPRIGRRSKNNSYAYTVDPGKRKGPGGPVPEEVVVVDVPEVPLREVTEMTGSFSGDRLIGQGSYAQVYRAALRNNGRPVAVKRLEKPSKHASNVVFLKQLSAASRLKHDHFVRLLGYTISGDLRVLVYELATMGTLHDVLHGDPEVPAPEPERPRPVLSWAHRVHIALDAARGLEYLHETVRPAVTHKDVRSTNVLLFEGFRAKIADYNMFSQAADMARLNRSTHTLGSFGYQAPEYAMTGQMTDKSDVYSFGIVLLELLTGRKPLDRTLPQGQRSLVNWATPMLTEDRVQECIDPKLGDEYPPAGALKLGRIAVQCLQYDPAFRPSMGTVARVINYAVVRDQQGVV >Et_9B_063898.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:2017242:2017355:1 gene:Et_9B_063898 transcript:Et_9B_063898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPILHCLMEGQGHSLIGENCLHSLLTVITCLCCKW >Et_3A_024115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17554032:17556782:1 gene:Et_3A_024115 transcript:Et_3A_024115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEGAPHGAALDMETLNYDGDDIEMADADSDGEETPAPAAAGGGGVVGKVEKGGQEGKNKRKKKRNKGKKRNKGRQDAPTNIADINRFVLNTCKRLKEKKSYLVWNAVGCLGVSAVSDLVREVEAIQKCGGQTVHDGSRFRTGGGILWNILKSREPKAYKEIMDKGKELEKQFRYAKGRPQMSRNEDASSQGSALIDDEIEVQEKNEALDDPEQLVDAEEAPASDSKVVRKPLADRIRVPVAYDDLFEDGEIHEGEPQS >Et_4B_036879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12248445:12254663:1 gene:Et_4B_036879 transcript:Et_4B_036879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPPRSSGGSRKPRVPPLPPARTLLTALSAVVILAILCLLSSSSPAASLSGYRRSVAGSGDKYLYWGGRVDCPGKHCDSCAGLGHQESSLRCALEEALFLGRIFVMPSRMCLSSVHNTKGVLQSNASSTQRWEESSCAMESLYDIDLISRTVPVILDNSETWQDIVSRSTFLKGSVAHVQGISRAELKANPLYSTALIINRTASPLAWFMECKDRKNRSSVMLSYTFLPSMPARKLRDAANKMKEILGDYDAIHVRRGDLLKHRKDRFGVERSLHPHLDRDTRPEFIKKRIAKWIPTGRTLFIASNERTPGFFSPLSDRYKLAYSSNFSSILGPVIDNNYQLFMVDRLMMQGAKTFVKTMREFDSDLTLCDDPKKNTKVWQKPIRLPADISSNSSIREANCCVPCVINN >Et_4A_035485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26514630:26517148:1 gene:Et_4A_035485 transcript:Et_4A_035485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAAAPRHSCAKLSVAVEDPKAAGGGAVFVKAMWFPTRFSLAVTDGAGAWVAEASDAEVRLRAEQWDQPVSEYLSLAERYLAFHQPSSSYSFHDAGNGCRRFTALALIPNSNFETQKFKAWEADERSAINDMLSWTFERQGTKLEWRWKLQPSPNTQQAISEILDFLMDANIRLSEEVVGKSQSFDKLKQEAEKCLQFVVVLNSKKAKLRQLREKVAELESADKPTKEEEEQGDNSTDRTELFEEGSDKEASVNDEPSDTGSGDHHSSPEKSGATSRGRRGRKRTMK >Et_3A_025505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30332682:30335395:-1 gene:Et_3A_025505 transcript:Et_3A_025505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGANTRRRRLVERGTDRLAFITGQTRNLPSDSGSDSPLSTADAASSQLYEQLQTEGDIEGDKFSDRTQLRRLEPSDLVPEFQRPDTHEETKARTVSYEDELFLKFKTGSSVPEIQPVNETPLHSHDQETASKKTSHALPEIQPPLHRHDQEYVNKKTSHDVAGNVQPRTEMEMRPRSAPPSQSNQADSAGWSMENLKEHLNFTPQEITKAISATESNRFLASIAIAFLVVLSNWGLDIGGTITRVLVGTRPLLFLIITNITIVLTLLMENKDPNARGRPVGINLGSADSLGQILEIGLLLQKALGALLMDCSVCAVIMICFLGF >Et_8B_058985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1236248:1240648:1 gene:Et_8B_058985 transcript:Et_8B_058985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFGKVVAMKRMKASLPTLGCTNPTLAVKAAPPPPAPATSRSDRPPPGRKAVFDAACKLIARMLEIASEIYSMAAVDAATKEMEALQVKKNEETGNLSKEGEVADSNGAVSAAQSSPPEDDDEAQADGPSQDGAPEAAKKKKKKSKAKKKKDPLKQTDPPSIPVDELYPSGEFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNSVRRAAEVHRQVRKYMRSIIKPGMLMIDLCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNAGDKTLLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMYDPLLQATRDATNTGIKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGFVREDLECSHYMKNFDVGHVPLRVAKAKQLLGTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDVGIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >Et_1A_008323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6414281:6419959:-1 gene:Et_1A_008323 transcript:Et_1A_008323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDFDWRSSATRGVEQLGSFQQAIVALKKGAHILKCGKRGKPKFCTVRLSYDEKTLIWYSKEREKRLSLSSVSSVVIGQKTTKLLRLHWPEKESQSLSVIYKNGEASLDLVCKDRDQAECWYVGLTALISAPYTPLLLVDSTSNRQINSRRIKSCTNSPPSYIQQRSRLFAVHDSRASTKVHSLYGSPRLIQNRFVHGNLDFSDSFFSPRQKAWSEVDSYLEKISPEGVNRVRNNFRDIKVAEKKDQSITQMPKLKPSEGDAETDSKDIFVWGDVLGTMLDHGHVSRGNISLPRLLKSAQILDVHSISCGEKHAAIVTNHGQVFSWGVENGGILGHKTSNSISHPKIIESLASTPVKAIAFGAKHTCAVSISGELYEWGEGTHSLGLWNNQCSKSQWFPHKLIGPSDGIFVSKIACGQWHTAIICSSGHLFTYGDGTFGALGHGDTQSIAQPKEVESLRGLRAKCVACGPWHTAAIVEALGTAKSYAPGGKLFTWGDADRWKLGHTDKKAKLVSCGTSLTVALTITGVVFTIGSKEHGQLGSSQSKDTSICMVEGTLKTEFVKEISCGTSHVAVLTMSGKVFTWGKGTEGQLGLGDYVDKSSPTLVEALEDKQVDSIVCCSNITVAICLHRPISSKDQSVCSNCRSAFGFTRKKHACHNCGSMFCNSCSSNKVISAVLAPDKSKRCRVCDACFSELNKNAEPGKVSSGPKIQKEETSPAEIRTYTPKLPRIFKEANFIMEKMALAQSPTQRNKELTAPDQVRRQRWGQVDCPDQFKGAWDSVPYWLTSKKQTVNISRVERMNDPVPQKVATSLPQVSNDRREELELMEQILLEEVKQLRAQVITLEEECRHRSLQVQLYKREVEETWLTVRDEATKCKAAQDIIKVLTNQRNALSKKLSDGHELEDFGIKPSCVNTKPVKTELPDPPDKSYVTGKFPHTTGTRNQNNEGKIDMQSDLNSNAFVADESAVHKNCRRMCNGSSDYDRGTDTISAPSDSNGVIEQVERGVYITVVTSPSGKKGIKRIRFSRKHFGEKEAQKWWEENESRLFAKYNFMEHLAA >Et_1A_007313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33263760:33264489:1 gene:Et_1A_007313 transcript:Et_1A_007313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRRWKSPSESSGRLGTVSVTNRCGTSGFAMRSETMAAQDLQTMARRADSSGLKQWRIFSMMSRGRSTDLPAASPSSVLRSALSAAVRGSIGSITTDTC >Et_4A_032183.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22684108:22684218:-1 gene:Et_4A_032183 transcript:Et_4A_032183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGSLVLASGSLFMSQAPEFVVPLVFGDLPRAEC >Et_7B_053634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10576656:10579266:1 gene:Et_7B_053634 transcript:Et_7B_053634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDMENLAEDAAALPDDSGEAERYEAEEAEADLLRDRLRLAVIKIANAEGRKAGMEVADPVVACVADLAFKSAEQLAKDVELFAQHAGRKSIKMEDVILTAHRNEHLMGLLRTFSQELKGKEPASERKRKKASKKDERLSVKPEAL >Et_1A_007952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39765134:39771412:1 gene:Et_1A_007952 transcript:Et_1A_007952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHLRLLSAGASLPAYFSTPAPASFRLPPAPTVAARGRLLARSLQARPLPSRAVLCRSAVVAREGGDAKDRATEEDAEEAGAAGLWAQVQDIVVFAGPALGLWICGPLMSLIDTMVIGQTSSLQLAALGMFLFTKFFGTQVLTAFAGSGNSEIISSANIYAQIVAAFMMMQNLNNKGFRAFSLTIPSARELLQIFEIAAPVFVTMTSKVMLNILCMCTVWGEPLSQTAQSFMPELIYGANSNLMKARMLLKSLVIIGVICGVTVGTVGTLVPWLLPSLFTNDHLVIQQMHRILIPYFSALVVTPSVHSLEGTLLAGRDLRYLSQSMGACFCIGTLLLMLLRNKFGSLLGCWWILVLFQWSRFGSALQRLISPTGVLYNEKFNQVEYAKAQAT >Et_9A_061134.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17904888:17905514:1 gene:Et_9A_061134 transcript:Et_9A_061134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GAGDEPERYRRARLELIQLYYDWPRGCNLNVVWDQLSAAGEPLYSVEGSTAAPTYSTPPPASPHGTPSASCSLPGSAAPPRCRRVRLPRLELPPLLPALFRERRRWPTRLRELGNFSGKTILSVLHLAAAAVQRFRTRIDLVFLCIFHRSHRWFLNFCDFDMQGSNRLGFGTWHSPFVLLPSRTPIFLQLFCSVHICLCRCRWQGHQR >Et_3A_025920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33995069:34000952:1 gene:Et_3A_025920 transcript:Et_3A_025920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDDPSPEPRLIGGGAEPPRIRRLEESVVNRIAAGEVIQRPSAAVKELMENSLDAGASTVSITVKDGGLKLIQVSDDGHGIRFEDLPILCERHTTSKLSEYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYKDGVMENEPKPCAAVKGTQVMVENLFYNMVARRKTLQISNDDYPKIVDFISRFAIHHINVTFSCRKHGANRADVHSASTSSRLDAIRNVYGPSIVRDLMEIEVSDGNNAETVFKMNGYISNANYVAKKITMILFINAIEFVYSATVPQASKPFIYMSINLPSEHVDVNIHPTKKEVSLLNQERIIEVIKNSIEEKLRNSNTTRIFQTQAVNSLALNPAITQKEKATEVKMTSGTKSQKPPSQMVRTDPRNPSGRLHTYWHGQSSNLENKSDLVSVRNVVRSRRNPKDASDLSSRHELLMEIEAHCHPGLLNVVKNCTYVGLADEVFALIQHNTRLYLVNVVNVSKELMYQQALCRFGNFNAIQLSEPALLRELLMMALKDDEMVDENDAEKHEIAEVNSEILKENAEMINEYFSIHVDKDGNLTRLPVVLDQYTPDLDRLPEFVLSMGNDVTWDDEKECFRTAAAAIGNFYALHPPILPNPSGSGIQLYKKNTNSMASGDHVANTEDDIDQELLAEAETAWSQREWTIQHVLFPSIRLFLKPPKSMATDGTFVQVVPLPLVIKYFKKLFTRSAPLRVFKDHSLWPTFVYGKCSCCTYSLACLVYKFS >Et_6A_046173.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7304155:7304403:1 gene:Et_6A_046173 transcript:Et_6A_046173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVLKCLGLNNIAPNMDEVLFVDRSLRLRKRVIKELRAGYNSLVILVVRRPWKERNKRVFNFEALQPVVLTQERLLEIYFQ >Et_1A_005226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34171828:34172505:1 gene:Et_1A_005226 transcript:Et_1A_005226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWAGLYGGGGGGPPTAAEATVVTTAGTAVSSPKSGGSGGSPSRPSGGGVGVEGGRIGKPARRRSRASRRAPVTLLNTDTTNFRAMVQQFTGIPSGPYGPAGAGPGAMPVRPSPTSAVMSFDHHHHHLAGQLFRPQPQQQQQYGYDGVVHHHGGGDMSSFLHGFESSSAEDRMLLQSIQAAQMMPRPSASANNTNGYNFG >Et_7A_050675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1017613:1019832:-1 gene:Et_7A_050675 transcript:Et_7A_050675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGNEAARGEGKTMDWPPTTPTSPSLVVRSPRQTVNLLRNRRRNRDPFPRSPSFAAGDHGPKPSEVYGFVGSITTVIATAIYFAWAYTPEPWLHSLGITYYPSKYWALAVPSFVIVAVVLSMVIYMGFNFLATPPPTSFNTIFDENSRERVTFSPEMKEEEKPIEPISDISIDQINNLIPLFQINVGEARVHDLARLLVEVPHYGVALSASIQAVATVVRGLPCEAAMELSVVELENTRSQPGSSCRATNKAPSSLSQPTVCSSTLHQFEE >Et_7A_052610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9846947:9850750:1 gene:Et_7A_052610 transcript:Et_7A_052610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRALQLGMVLAFLLACGCQGVNHEGWLLLALKSQMIDTLHHLDSWNAGDPTPCAWKGVNCSAAPNPAVVSLDLSNMNLSGMVAPSIGGLTELTYLDLSYNGFYGTIPAEIGNCSKLEVLNLFNNNFVGMIPPELGKLARLVSCNLCNNKLHGPIPDEIGNMASLEDLVGYSNNLSGSIPRTIGKLKNLRTVRLGQNLISGNIPVEIGECLNLTVFGLAQNKLGGPLPKEIGRLSYMTDLILWGNQLSGVIPSEIGNCTDLKIIALYDNNLVGQIPATIGNIRSLEKLYLYRNSLNGTIPSEIGNLSRGLEIDFSENYLTGGIPKELGNIPGLYLLYLFQNQLTGSIPTELCGLRNLSKLDLSINSLTGPIPAGFQYMRKLIQLQLFNNMLSGNIPPRFGIYSRLWVVDFSNNNITGQIPKDLCRQSNLILLNLGSNLLTGNIPHGITSCKSLVQLRLGDNSLTGSFPTDLCNLVNLTTVELGRNKFSGPIPPEIGNCKSLQRLDITNNYFTSELPREIGNLSKLVVFNISSNRLGGNIPLEIFNCTMLQRLDLSQNSFEGSLPSEVGGLPQLELLSLSDNKLSGQIPPILGKLSHLTGLQIGGNQFSGGIPKELGMLSSLQIGMNLSYNNLSGNIPSELGNLALLENLFLNNNELTGEIPATFANLSSLLELNISYNDLSGALPPIPLFDNMAVTCFIGNRLCGGQLGKCGTQSSSTSQSSNSVSRPMGKIIAIVAAVIGGISLILIAIIVYHMRKPLETVAPLQDKQIFSSGSNMHVSEKDAYTFQDLVSATNNFDENCVIGRGACGTVYRAILKAGQIIAVKKLASNREGSNTDNSFRAEIMTLGKIRHRNIVKLYGFIYHQGSNLLLYEYMSKGSLGELLHGQSSSSLDWETRFMIALGAAEGLSYLHHDCKPRIIHRDIKSNNILLDEHFEAHVGDFGLAKVIDMPYSKSMSAIAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGRAPVQPLELGGDLVTWVKNYIRDNSLGPGVLDKNLDLQDQGAVDHMIEVMKIALLCTNLSPYERPPMRHVVVMLSESKDRTRASSASPASDHSSKRDSS >Et_3A_026647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11878360:11879289:1 gene:Et_3A_026647 transcript:Et_3A_026647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKDQIQVGEAEEHSQLCGVNIYAQMIDDADGLKKGSLQTHDNIRMSETAARLLMSYWLGRDNEVLCFDSFHSGLGEGTLPFGINFDFSALPGAVDSG >Et_6A_046509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16327984:16328738:-1 gene:Et_6A_046509 transcript:Et_6A_046509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPQRPQRAGSRASSASTGAAQQPLLSAQVVIKRLQSSFVFFDLKTHGRPCFVGNAFAMQYYHILHEAPEKVHMFYRDGSRLTRPSAAGTGVDTVTTTKAINEVITATDKATWTPIEVESVVAHDSRDGGITVLVTASHAAPNCRRRRFSQTFYLAPQDVGGYFVHDDTLSYIDDGAGPAPSTATQTSATGPARRSL >Et_10A_000319.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22984643:22984792:-1 gene:Et_10A_000319 transcript:Et_10A_000319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSLAAMARMSAQETTPGHAFSTADLMASMTSNPLTDPLLGVAIFSP >Et_3B_030572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:524331:525873:-1 gene:Et_3B_030572 transcript:Et_3B_030572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQAKAKCTFSCYCASKISFQQIEVLQFCNSGERRSKNIGSDCRWEAIQLASCRDSALSPIHFRLFKRLGYGDIGSVYLVELRGTNAFFAMKVMDKESLITRNKLIRVETEREILGLLDHPFLPTLYTHFETDKFYCLVMEYCCGGNLHSLRQKQPNKHFSEQAARFYASEVLLALEYLHMLGIVYRDLKPENVLVRDGGHIMLSDFDLSLHCSVSPMLVKSSSVHAGANGVEKGLVQAQGVQAQGASQGCIQPSAFFPRMLSKRNRKSKSDFNLNGSLLEFNAEPTDARSMSFVGTHECLAPEIIRGEGHGSAVDWWTFGIFLYELLHGMTPFKGNSNRATLCNVVEQPLRFPDSPPVSNVARDLIRGLLVKDPLKRIATKRGATEIKQHPFFEGVNWALVRGAPPPSVPEPIDFSQYGTKEKKVPSSTAATTPSSLPAGIAAAKTPIVSFEYF >Et_4A_034282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31426867:31432175:1 gene:Et_4A_034282 transcript:Et_4A_034282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARELLDAARAPEFAEWQRGVRRSIHQHPELAFQEHRTSALIRAELDAIGVAYAWPVAQTGVVATIAGSEGPVFALRADMDALPIQEMVEWEFQSKEDGKMHACGHDAHVAMLLGAAKLLQARKSDLKGTVKLVFQPAEEGHAGGYHVLKEGVLDDVQAIFGMHVGTSLPVGVVGSRPGPFLAGAARFTATITGKGGHAAGPQNAVDAIVAASSIVLSLQHLVARETDPLQGAVVSVTFIKGGEAFNVIPESVTLGGTLRRSMTTEGLSYLMNRIREEMVEWEFKSKEDGKMHACGHDVHVAMLLGAAKLLQSRRSELKGTVKLVFQPAEEGHAGGYHVLKEGVLDDAQAIFGIHVDTALPVGVVGSRPGPFLAGSARFSATITGKGGHAAGPQNAVDPIVAASSVVLSLQQLVARETDPLQGAVVSVTFIKGGEAFNVIPESVTLGGTFRSMTTEGLSYLMKRIREVIEGQAAVGRCTAAVDFMAEKLRPYPATVNDEAMYSHAKAVAGSMLGEANVTLCPQFMAAEDFGFYAQKMPAAFFSVGVSNAEMSKVHHVHSPHVVIDEGALPIGAAFHAAVATEYLKKHAPA >Et_4B_037892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24397653:24401264:-1 gene:Et_4B_037892 transcript:Et_4B_037892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDETPPPAPAPAAAGSEKTHPAEQAAGGWGGWGLSIFSEISRSAVEVAKSAIADIQQPPEQEAGPGDGEKEKEPEGEEDERRKAALEKLERASEDSILGQGLKVFDSSVESITTGTWQALGSAWKTSSMLEDSASSLAETIQQGELPAKASAIAPTILETGKSFTAKGMEVLERVGKETMEFIVEETGMEVDKGDTAEGDQQTEEEPFEEVSFDRCFYIYGGPDQLEELEALSSHYALLFNRKKGKLSAEQKTYYDGKLKEIQQIFSLSTNAEEYGPDSNKGKKIESADTDTDSEMKKLCESSVSKAAKMAAGFTNALSGLSPNEIIKRTTNRLETIHSEGVHKLSEMCCLAVSQLVVLGKSVISAANKSNNEDDENEIKIDWPEDPISKAKIIRWKAQSISLDMEKVSTSFATGISDVAEAYAAAIQNALADKQDDLPNQNSVQEKAKSIYNHLNSDQTSAVSKLQDALQYLAYVVVCASMPSA >Et_5B_045538.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:3977132:3977365:-1 gene:Et_5B_045538 transcript:Et_5B_045538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQQPQLPPPWLELVVADGDHVRPGSPAAAARSGKRRAGVVARGVRVFVSGLAEMVRKKFECSIPAVKFGHVAYIR >Et_3B_030299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32079425:32080267:-1 gene:Et_3B_030299 transcript:Et_3B_030299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVCLQDSVSWSLGFVARWSPDRGRHYIPAARPEREPDHGLARVLVAAAVTLDYDSVSIGIKYCHGSASRDDTASAGTPTETRAHAPSERFRLHHPAAARRGVEADRRRQHGGRRARSSSGGGSASPLLPAGLRWWCRCQRRGCTCPGTRRCSTGREFPPALRSTATAMPPLFIAAGWSYLSTAFVDVVRRATPWLHDDLNRSRLDCVYWTLAFVALVNFGYFLVCATMYRYKHVDDLDETPIMDDDK >Et_9B_064867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19313684:19317774:-1 gene:Et_9B_064867 transcript:Et_9B_064867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLRPAQHEGLHVPFGPKPFGPGDGRFSSPNLLTDGGDLFYGYSSHPFGRGLVPPSSPSPRAASRSRSSSSSPSPGTASGSRSSSDTGSVVDDGDDALAAAEHRARLSRLALQYQETAGRYRLCFSHLADTSDEAVALRQENDQLRVANGDLMSRIVRVGGKHSSAIALADDFRRLNLAEEQAKAMAMAMPPPPSPPAVMRPVPAVLPKSISVRSSGYLKMNPSGKHRVSKTTDAGSQRVFVGMDSSAKGEEKKDAKEEQGAAGLEFEVYNQGMFKTELCNQWEETGACSYGDHCQFAHGITELRPVIRHPRCKTQLSSQRARTMACTRRRLGPCHGRFSSPNLLTNGGDLFWGGYYPHPFGIVPVPCYSASPSPPAASCSRSSSDTSSVLDVGDDSPAALVRLALKYQEAAGRYYLFLSHLVDTTYGEVALRRENDLLRSANVDLVRRIVLVGGKHSSAIALADDFRRLTHAKAMPPPPSPQVVMRPVPAETPKSISIRSAVYLKMKQSGKHRVSKTTNDDAVRSFAQSTCDSVRRRVFVGTDGGAKEEDGKKGAKKEQGAGGLEFEVYTQGMFKTELCNKWEATGACLYGDLCQFAHGIAQLRPVTRHPRYKTKVCRMVLAGILCPYGHRCHFRHSIAPADGHLLRP >Et_7B_054992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6216224:6218636:1 gene:Et_7B_054992 transcript:Et_7B_054992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSLATGQAGSSPSNRKRKATAPSAAATVEDKEEAEEEDIAEVERELADLDRRILERRRVTGKKFIDTAATHLGALPPPASLDVSETSVSENDNDKLETVKVIELKCKSNIAKLPKVLENVNDTIANFDRLENMNVNIHPVFQRKG >Et_8A_058428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7537086:7538416:-1 gene:Et_8A_058428 transcript:Et_8A_058428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESESETPIYDESPEFRRRLVDYAGAGFLYGGGAGSAFHFVRGLRGSSPTTSGGGRLAGGVRAVLANGPRVAARWGAYAAVFCAFERAIFLARRREEEYDTWNSVAAGAATAGLFAARRGAAAAARSGLLGAAVVAGFAGAFWATEFLHSHVVSCRRRRRCHSTQENPI >Et_4B_036765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11333890:11335165:-1 gene:Et_4B_036765 transcript:Et_4B_036765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAGTCCSRFLLLLLLVCSCSAAAFPGGFGYPADPTCPPERPSELSLYTDYVSPQCKPSPPYIPVPVFAHDVAPLQFALNLEFTEAEFFLHGAFGVGLDQIAPQLALGGPPPIGARKANLDEVTWRVISEFGLQEVGHLRAIERTVGGIPRPLIDLSAHNFARIMDMAFGYHLDPPFDPYIDSLNFLLASYVIPYLGINGYVGANPIIDGYESKRLLAGLLGVEAGQDAVIRGLLFERLGETVPPYRNITVAEFTDRVSALRNRLGRCGVKDEGLTVPRELGAEGAICTNVLSADRDSLSYPRTPAELLRILYLTGDEHVPGGFYPKGANGKIAREYLQEPHGRLSLDEAPEH >Et_1A_005409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10124515:10128597:1 gene:Et_1A_005409 transcript:Et_1A_005409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHPLLLFPLLAFLAAVAPSAGAAPDAAAVVSRIAFGSCANQSAPQPIWDAVAGFDPQVFIWLGDNIYGDNKRPFRVFGRERTVGPWKNVPRFYPSTEDELRGKYELAKAQPGYARLKEKAQVIGTWDDHDYGLNDAGKEFSGKVFTQRLMLDFLDEAEDSSRRKQAGVYTSYMFGPEGKRVKVILLDTRYHRDPLLSDGTILGDPQWQWLERELHGPPSEITIIGSSIQVVSNLSAVTGPLFYVESWGRFPRERERLFRLIDSSKRNGVIFISGDVHFGEIARFDCGAEYPLYDVTSSGLTQSVENAVLAVFRPLMRFLALVTPTTMRVLSPNCRYKSCSYGQPNFGAIEIDWDAVPQQIKLELRDVQGHFVHRVEFPISELQPSDVYAAKKQAHGFQRYCTLETELPWLTRYRLALLFFGTTAAFFIAMVLLVFTCLSTGKKCKKE >Et_10B_003273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18411798:18414553:1 gene:Et_10B_003273 transcript:Et_10B_003273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPKHLEQQPVEGVAHKQAGQLQLMAQGKGKRGSRVYLTWTSEMDTALLAVLVEHHNNGDHAQNGWKPHVYNAAIKHVFDKCSVVITKDNISSRCKTFDKHYEVISKILSQSGFGWDWDNNKLQIDSEEVWNKYVEANKGAAHYKTKVVNNWDAISTIYSQDHANGEGARTGAEDVEDEGEQPSPDLPQKRQRTSEAILCMLGDMKTSFGNALKSTDPIPLPQVTPPAEILAALDMIPDLARSDMLRAYGKLILSERLFQALMQLPMELRKEWLLMLDEKNGA >Et_4B_038290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27982758:27986309:1 gene:Et_4B_038290 transcript:Et_4B_038290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYSQGFSPARNLSPQIRSNPDVDSQYLAELLAEHQKLGPFMQVLPICNKLLSQEIMRVSSIVHNHGFGDFDRHRFRSPSPMSSPNPRSSIPSNGFSPWNGLQERLGFPQGTSMDWQGAPPSPSSHAIKKILRLEVPVDSYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDPGKEDKLRGKPGYEHLSEPLHILIEAEFPASIIDARLRHAQEIIEELLKPVDESQDFYKKQQLRELAMLNSTLREDSPHPGSVSPFSNGGMKRAKTGQ >Et_4B_039612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23985315:23988687:1 gene:Et_4B_039612 transcript:Et_4B_039612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGVVMAAVDAGGGSELGLLGGSRLLKHGRGNAAGGDDHGWGGGRAKQARVAAAGDVTEAAVKAAAPYLLGSCSPGHGGEQMLSFSSAASSCPSAAAAGAAAAAAAAADAAMPLYYGTPASCSGEDGEDMTVVALVQRLSSVSLSSSIQGAIARMRGPFTPSQWIELEHQALIYKYLAANSPIPPNLLIPIRRSLASSYSPAYSGTSTLGWGSFQLGYSGNADLEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKHVEGQPGHAAKAMSAAVVAAAASATQPGALAASGAGATAGGLTVNQQHQQSMKNYAAGASDPCSLQYSRELMTKQNESENMQESDNLSMLTSMNTRNTGASIFPFSKQNNPFEVTNTRPDFGLVSSDSLMSSPHSSLENVNMLSSQGLNEHQSSASLQHFVDWPRTPAQGGLAWPEVEDMQAQRTQLSVSAPMASSELSSASTSPIHEKLMLSPLKLSREYSPVGLSISANRDEAGQVEGNWMFRDSSMGGPLGEVLTKNGNGEGMNCMSAPLNLLTDCWDSSPGLESSPVGVLQKTAFGSVSSSTGSSPRMENHSAYDGISNLRDDLGSIVVSHPSIRLL >Et_2B_019257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20536875:20538209:-1 gene:Et_2B_019257 transcript:Et_2B_019257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKRKKKLRASTYTCWSLDRTLKRNKPTTTPYDVLGQVANLNLCHAPVVALSLKSNVDRPIDRSSVFRPCRRQRRYTAGRRFPGDLLREILARLPWSSHPSFAATCTHWRTIVTPFYPAWLTPVLLNAVDVGCTNVRYYSPYHHKIFEVDDTLESPDAKICYADGHHLTLCFHDGDELIVSRANLVTGFIEDLPPLERSYVDFLAYDGEDMMFRVNAAAGVLEVAPSIKRDGDWLHDLEEVMVFSTEDGTMFKTSPMTNPVLHHGMLYMLAEDGRLAVYNECQHDDGFKILDKPVGFGFECDDFYLLESDEGELMAVLADRRGSPLHVVKLNEGTMEGTLKTMMVKTHVKWMQNKVVFPRLYHWPDIVSVDLIDREGELAFVPKSTMLPQDGGACGKSIWTCELGQSAEFWGTTKLDYGIWVNFKKLTP >Et_2B_019861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14750646:14753513:1 gene:Et_2B_019861 transcript:Et_2B_019861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALTLRRVILASAVLRLGLVVFGEWQDAHLEVRYTDVDYLVFSDAAASVAAGGSPFARATYRYSPLLAYLLLPNSLLHAAWGKLIFSAADLLVGLFIDTILKLRGIPEKMRLWSVVAWLFNPFTFTIGTRGNCEPIVCAVILWILICLMKGRVLQAAFWYGLIVHFRIYPIIYAIPFVVVLGKSYAGLSGRPALTLWSTEPHLVSGVHQREEPTSFVATLWDFLSGFLTRNALLFGLLSGSMFFAWTGVFFYLNGWDFLNEALLYHLTRTDPRHNFSIYFYHIYLHHQQGFSSIQRLASFLPQVIVQLALIIRFSRDLPFCMFLQTVAFVAFNKVMTAQYFVWFFCLLPLILPWTSMKLKWRGLACILLWMGSQLHWLMWAYLLEFKGRNIFIQLWVAGLVFLSANTFVMIMMMKHHKYTPLFSSPVKTGSKIAYKKE >Et_8B_059291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16166706:16169555:-1 gene:Et_8B_059291 transcript:Et_8B_059291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEADVAAASLFGADRRLCSADILPPAEVRVRIEVAVLNFLSALASPSSPAISVLPLISRTSANCSLRSGLLSDVSSVYLSYAFCKRSLMRESNAMGFVRVWKVMEMCYKILGEGKLVSQRELFYMLLSDSPKYFSCMRHVSATIQDVVSLLRCTRQSLGIMASSRGALIGRLVLHEPDEEHIDCSILGPSGHAITGDLNLLSKLKLCSDARYIIVLEKDAIFQRLAEDRLYNQLPCILITAKGYPDIATRFILHRLSQTFPNIPIFALVDWNPAGLAILCTYKYGSISMGLESYRYACNVKWLGLRGDDLQLIPENALQELKPRDLQIAKSLLSSKFLQENHRAELTLMVETGKRAEIEALYCHGFDFLGKYIARKIVQGDYI >Et_1B_012289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30566532:30568067:1 gene:Et_1B_012289 transcript:Et_1B_012289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALHLHFKVRNEVKMLPSFLRCFPNVETLCVQSEVTYEPTGSLNSKFWKETDPIESVQSHLKTVVFREFQGEQSELDFLMYIAENARELENLVLILKLGRYAAPEEVGHKVIALESANWASGGCKMRCLMSRLKDGEFVWCLKAGSDLSYSDPFLLSIDSVSGNALNLHTLRKKLVKTLDR >Et_1A_006514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23575004:23578704:1 gene:Et_1A_006514 transcript:Et_1A_006514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLDNSEWSRNGDYPPSRLDAQADVLGYICGTMTQVNRENTVGVIAMAGKGARVLITPTTDIGRILISIHRLEIGGEANLTASLQIAQLALKHRHNKKQQQRIIAFVGSPVSCEKSVLEGIGKKLKKNNVSIAVVDFGESDDVKTEKLEALVAAVNRGANSHIVHVPPGETTLSNVFFSSPILTRDEGENAFAAAASEESGFEFGVDPNVDPELALALRISMEEERARKEAAAKKHIENSSNADSKGQSSISTSDKADTESAPDPTTGNENSEEARAADVEMIDSAGHDPELALALQMSVQEGKAGSQSDSSAVFEDQSFSTSVLSSLPGVDPNDASVNDFLALLHCLREV >Et_10A_001413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2911514:2913864:1 gene:Et_10A_001413 transcript:Et_10A_001413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSNVVARGMPSGASEELLRREPVPLGMLVRLAAWEAGNLWRISWASILITIFSFMLSLVSQMFVGHLGDLELAGASITNIGIQGLAYGVMIGMASAVQTVCGQAYGARHYTAMGVVCQRALVLQLATAIPIVFLYWYAGPFLRLIGQEADVAAAGQLYARGLVPQLLAFALFCPMQRFLQAQNIVNPVACITLAVLMFHTFASWLSVFVLRLGLLGAALILSFSWWVLVALTWVYIIWSPACKETWTGLSSLAFRGLWGYAKLAFASAVMLALEIWYVQGFVLLTGFLPNSKIALDSLSICINYWNWDFQIMLGLSYAASIRVGNELGAGHPKVARFSVIVVVMASIAFSILVTLLVIILRYPLSKLYTSSTGIIEGVISMMPLLAISIFLNGIQPILSGVAIGSGWQAIVAYVNVGAYYLIGLPIGCVLGYKTSLGVAGIWWGLIIGVSLQTIALVVITARTNWDKEQRSDCITLVLFQRSTTSSHDPTGDQLADTDLELSVGWGWQSLFTCSLLCSCFLLRSHGRIVLIPALKAVQK >Et_1A_005872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1497753:1501487:-1 gene:Et_1A_005872 transcript:Et_1A_005872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVSLVRLALLLLAVLPFCATHPSPAFHTPREFQFQTAHHSDGYGLVIRRSTAEAPVDTNITTNSSFVLAAERTYRKDPLNGFRKYPGGWNISEVHYWASVGYTAAPLFSIALVWFVLFFLLMLGICCHHCCCPHRSYKYSRTAYALSLILLILFTCAAIAGCVMLYDGQGKFHKSTTTTLDFVVSQANFTVDNLRNLSDSLSAAKKVDIGRFLLPADVQNQIDEIQVKLNSSATDLSTRTTDNSKKINKLLNRVRIALIVIAAVMLFVAFVGFLLSIFGLEFLVSVLVVFGWLLVTGTFILCGVFLLLHNVVSDTCVAMDEWVAHPTEHTALDDIIPCVEPATANESLYRSRQVTFQLVNLVNQAISNVSNINVPPNTPIFYFNQSGPLMPMLCNPFKPDLSNRTCTRGEVTLDNATQVYNSFVCQTTTVSGAEICTTVGRVTPRIYRQMEAGITVSQGLYQYGPFLIQLEDCTFVRDTFTNINQNYCPGLQRYSKWVYVGLVMVSSAVMLSLIFWVIYARERRHRAYNKQFIVGHNYPTEDKPAATAPNA >Et_1B_010099.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2389726:2390088:1 gene:Et_1B_010099 transcript:Et_1B_010099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASKKPLFTTPWCTFVGGDKNGVFTGEQLRFPLKLRRYICARCGMHRGEHQYAGIRDEQLPPRKRSVFRRLVQFQVRKKEKRQALEEGEIWTGDVNNKEKRAPRRKRGNVLWGGLRSSKFE >Et_1B_010510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11104566:11106066:-1 gene:Et_1B_010510 transcript:Et_1B_010510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDNTMVSRPAVLVFLLVILIVTSQFEWKQQLVNELESTSNNRKHISSREELVKDKASHFVILSQEKMIQRLNDFIQNLQQQLLQCRGRNVTVNSSGASLTSYISEIQRQQMMDD >Et_4B_038101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26236423:26243508:-1 gene:Et_4B_038101 transcript:Et_4B_038101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSRRPLAAAFHLAPLSPPLLLFFASASTSSSSSPCSPAAAASANGPRGTRLPDRRLAPDVAEFHVVSVGFPRRRRDLFDRVVPSWFSAVRMDSSVVEQASTGAIWSTPSAEPRSISIGKEIFCNRSLNMRNITAVGFDMDYTLAQYKPETFEALAYHGTIEKLVKDLNYPEELLTWEFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFRELTKEEKVAAYGSTLIRDSFDEPDYALIDTLFSLGEAYLFAQLVDFMDNNPGKVPAGTDYPLMYRDVRSAVDLCHRDGTLKKMVAKDPGRYINEDLAIVPMLEMIRKSGRSTFLVTNSLWDYTDVVMNYLCGPYTSDVGSGLNHKWLDYFDVIITGSSKPGFFHDDNRAGLFEVEPDSGKLLNADIQASNQHAKSVHKVYQGGNVGHLHRLLSIASSSQILYVGDHIYGDILRSKKVLGWRTMLVIPELEHEVKLLSESKSTRKELRHLRMERDSIEDKIHRLEWSLKFDDLTEDQREKLFSEHDNLLEKREHVRRLHQETQRQHHQKFHKVWGQLMKTGFQNSRFAHQVERFACLYSSQVTNFALYSPNKYYRPSEDYMPHEFDVLGL >Et_7A_052225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5865668:5868497:1 gene:Et_7A_052225 transcript:Et_7A_052225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFQMRFGLQLSPARSDDEEEEEDEEEEELEVEDEEEAESEEGTVGGSSPYMLRAGRGGGGGGGLVGAVVGALRRSLVMCSVGAVGDDEDGSEVEDEGIEIGRPTDVRHVSHVTFDRFGGFLGLPADLEPDVPRPTPSASVSIFGVSPTSLQCSFDQRGNSVPTILLLMQRNLYSREGLKIEGIFRINAENSEEVYVRNQLNSGVVPDEVDLHCLAGLIKAWFRELPAGILDALTPEQVMHCNTEDECALLASMLPPVEAALLDWAINLMADVVEHENQNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTLKERKEATGSVKELQSCSDSPTDRYEAQTSENLDRALVFSSQKEVDFPMIDRATSDQLLEAEKALNHDLQSCSYEPKTFGTDMDHKKSQSDISLLGIDSKNQVNNSGKGFGNRNAEGLFDKLSFRKGVERLCRHPVFQLSRSMKKSADVVVFDAPREARQAWV >Et_7A_051077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14134851:14137427:-1 gene:Et_7A_051077 transcript:Et_7A_051077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLALPFTLLGIILVFSGSAAAEAAAAVDPEDSVLTLDASNFSEVVAKHQFIVVEFYAPWCGHCKQLAPEYEKSAAILKKHDPPVVLAKLDAYDEKNKEIKDKYEVHAYPTIKIIKDGGNSVRTYGGPRDADGIVEYLKKQVGPASIELTSAQEAIRSIGNKGVVLVGVFPEFAGTEYQNFMTVAEKMRADYDFFHTTDAGILPRGDQAIKGPLVRLFKPFDELFVDSQDFDKGGLEKFIEVSGFPMVVTFDADPTNIKFLERYYSIPSTKAMLFLKFSDERMESFKSQFNEAARQFSANNISFLIGDVEAADRAFQYFGLKESDVPLLFVIAQNGKYLNPTIDPDQIIPWMKQYIYGNLTPYVKSEPIPKVNDQPVKVVVANNIDDVFFNSGKNVLLEFYAPWCGHCRKLAPILEEVAISLQDDEDVVIAKMDGTANDIPTDLAIEGYPTIYFYSTSGNLLIYEGERTAEGIISFIKENKGPKTDANTEGEEESQTGTSAAEETSSLSSASVKDEL >Et_2A_017550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35328971:35331480:-1 gene:Et_2A_017550 transcript:Et_2A_017550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSSPSAGERSGGGAADSSTRTWSISSRASTVSVLSSSSSSGASNDGGAYDHQLQLQAMGKGEGEGEEEVVGVAVGKEVKECKANLMWVLSNMDAILMTGGSSSDKQKKKATVVLLHVHRPAKTIPFMGANFPADQLHDSEVKAFRQAETEAMNRAMTKYRVICARVKVQAVCKVATLDVADDVAQGILSLVVQNGITRLVVGAAADKRYTKKMMAPTSKTALSVQQQAPPRCTLWFLCKGNLICTRSMKSEEDAAAAAAAERKGGPGQQPLGTFIQGLGRDSTSSFGDEPPPPSISGPGYPQLPPAAADSEMMMYNKQKQDMQSIFEEAEKLRRERHIPMMPAMMRREHHQAAGGGGDHERVEELELQLVSSRRVINDLQDKLSEAHCMLFSLEREQEDLRRQRDDAVREAAALRDKVRQLELARGFTELSYDDLREATNNLDEALKLTQHQQGGGYGAATTALVYEFLPGAGSLDERLPHLPWAHRTRVAADICSGLVYLHQNGMVHGDLKPGNVLLLLDDGGGMAAKLTDYGLCRMLDQGDAGAVLTRCATSLAYMDPEFLASGELRPSSDVYALGVLLLRLLTGRPPNLGLFKQVQAALLPPMALPDILDPAAGDWPQEQAEQLARLAVACCEIASTNRPDLAGEMVARTLGCF >Et_7B_054515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22329104:22338606:1 gene:Et_7B_054515 transcript:Et_7B_054515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDAHADAPPPQPVPPTEDTTVDDWAREDAEPMAVDSGAPAEGADEAAPGAAAAADAEPAPPAEGVKEIQSSLQSLELKTNVAAHEDAHEVEDEAGEQKRHLNVVFIGHVDAGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERAKGKTVEVGRAHFETEHTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVLLAKTLGVAKLVVVINKMDEPTVKWSKERYDEIEGKMVPFLKSSGYNVKKDVQFLPISGLLGANMKTRMDKSICSWWDGPCLFEVLDQIEVPLRDPKGPVRMPIIDKYKDMGTVVMGKIESGTISEGDSLLVMPNKSHVKVIGISLDEKKVRRAGPAENVRVKLSGVEEEDIMTGFVLSSVANPVGAVTEFNAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVELIEEIDMKKRKDADPKKKKPKRKPLFVKNGAVVVCRIQVNNLICIENFSDFPQLGRFTLRTEGKTVAVGKVVALPPAGSLTFSA >Et_10A_001050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20652079:20655467:1 gene:Et_10A_001050 transcript:Et_10A_001050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNRRRKAMESAQPQTLKTPRKENPDGRKAWLPKSIKYDFGVVGDFTEDGLKYFESVSGVRRSVVSLSCTVGEKESCCSGTVVDHDLKKTWILTSGCLARKPGTQFEAYARGTKIQVSLPNEQIVEGSLEMCNLHYNIAIVTIESPESLFDLPAVGLSDLPECYTLQPRPVVALGRDVFSKDFLMRYGVLVRKSSDLGCKELLVSTCDVSPHFIGGPVMDHEKRFIGITFPYQDAALVLPVEIAARFLKYCKKERTLPWLRIKGLALHTLAVEVLESICYKFARPPSGVLVDRICDISIEKYGGMEVGDIITELDGVTVYSIAQVLHLLINHSQHVNLHKFGAMYVPLIRTNSYKFCTLFAN >Et_3B_028616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17339849:17343463:-1 gene:Et_3B_028616 transcript:Et_3B_028616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVDWTELPADAVRCIASRISDPVDFISFRFVCTQWHEDVPRDAHGRFGPWIVEKGEEGDDSGNVLFYSLASGNYHMIHVEALEGKRVAGYGAGLLLGIDTDDALSAVLVNPLTGDSTALPSLPECFLGTYVYGFATDPKITGKEEGVFVVVYNWPTVHARSNVALWRRGGPGAWATIRSERFWMDAPRHRDRLVAHGPQLLQQLEQQAAAMANNGHAPGAMAWVPGMEAVHLIEHQGQVRKLARQEQLVVNVPGTQGPAPRANFVLRDVVGGVDVAWADAPELRDKINAVYFLSWERSDEWDVLNRVATVVKKIPGVWNRVRPGMWFMPTLASNDPATVILPELNRLMNLGHAYASRRAPESGAAAVGGERRRPRAGELARGGMWLENGQELDGARARHAVWPPLS >Et_4B_036097.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:23219764:23220129:1 gene:Et_4B_036097 transcript:Et_4B_036097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSRMSSHGSNGWGDEEEEERKSPISYREGPLDYEPAVLCECGKKAARWISWSDENPDRRYFKCYRARGKLDLGLIWGRGGGWVCLICDVSRLFLNGRRAAATFSAGTKGHTIHSCRRC >Et_1A_006143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18494255:18498071:1 gene:Et_1A_006143 transcript:Et_1A_006143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMFYLVGSDDSKTTWRVLKIDRREPTSLELVEDPTHYTEAQCDDLLRRINDGNKATGGLRLVTKCYGVVGFVKFLGPYYMLLITGRRKVGTICGHDVYSVDKSKIVPIPAPAVLPDVAHSCDEKRYKRYLCSVNICKDFFFSYSYNIMHALQKNVSSDKNTSELKYESTFVWNEFLTQGIRQHIENPIWTVPLVHGFFKQKKLSVCGNDVLLTVIARRSRHFVGPRFLKRGVSEMGDVANDVEIEQIVCEGKQDMMPYQITSHVQRRGSIPMFWSQETTKLPIKPKILLKRDECHKATYLHFENLEKRYGNPIIVINLIKIIEKKLHESLLRVEYATAIDHINEGLTSDKRIKFIHMDMKNYCRSGEVLPTLFSIGSAALAQTNIFHCQFNLAAKSEQRIRCCRLLKRQYQLFGSSVLGNGDGAVVRTNCIDCLDRTNGAQFAFGCAAFNQQLNALGLIGVPKINIDDPMCLTLMDLYEEMGDALAIQYTGSAAQNKLFWAQRGQWSAVSRFQELVRAAQRFVSNAFMDNEKQNALNVSSKTNSHSSAGCGHSAEMQEQRGQRTSLLHKDHPISNLRDFSSQFVEWVASGPMFN >Et_3A_026943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27342788:27346320:1 gene:Et_3A_026943 transcript:Et_3A_026943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELWNGKREGAGSGSDWVCYRELLESYADLYAIIRATERELLEAKLERAYVRDLVSAAYYEAECLKLISQSTPSPLRLPASSPSHTVHPGQPPRLPRSAQPPPPVRRSGHSRAPRRCIRHRHRPVRPELITAMDTVKLNRLANDQVHPLLQELSSSMARLGPMLPPDFEGKVKVNEWLAKLHKMGAADELTEQQSRQLNFDLDSVRCFHGLSCHDILGSPWHIVDPNCNEWTIKKSPV >Et_10A_001076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20916719:20919956:1 gene:Et_10A_001076 transcript:Et_10A_001076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMKVKADNIDDATDEAIRFLMGASTGSVIFFNRLYGFGASIILKAVVKRLKESPSSSGGTTMRIRSGWDKIIHIDCLLWKSKRVLQKEIANELKLPHQVMTIFDQHDEEDDFKGVEKGSRDVIPYVKWEILKALNNHKFLVVFHNGSGSYIDLWEYGVPIIRSVSGTVLWTSNGRFRSQGIGLTNANKDMKKLAGLSDVALLPLPSITNDKELVDRMVKHMLYEEAEEVARYTGLPKLVMSPTIVLECILYRALRGDDCSIDWGSHASNYWATINGHGHTWKIANALQMNMNLDLPKNFMRLICDYGKQWMHSDRWVSANNLNVAEIQVPPEATSFFYSAIESSGALEAGIFGPLDRNRLRVLHLSQCIFNFSSPSFQSCSNLRFLLLDHCKDKDAVDYCEEEKHHNNNSTNNGSCFWKLWVLDMRHTNWYWLLSEEMMDDLRELNVEGVTGWGISHLRHCSGAQKKCRLHKLQVTTEPPNIGVGGDIRKASQVVVLFPDLSHWHNLTTIILNGCHELEKIDANVLPKSLESFGFASSGNTNVNSISFRGCTRLESLLLTGLFQSLLELDMSGTALKTINLSAVQAPHLWRLFLLACGNLCAILWPQGIELEVIRIDTTTTILAGKVKDTKEASHDTSARSSSPTMLVLGASCDPHVDLLDDQLDQLRDG >Et_4B_038575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:317279:318627:-1 gene:Et_4B_038575 transcript:Et_4B_038575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYASASAAEAALGRAMTWSEAAWFRYSSGTPDFCLYCHNIVILLVVYTVAPLPLALLELRAPPKVTWPYKLQPRVRLSPAAFFRCYRDTARVLLLTVGALQLVSYPAVKMVGIRTGLPLPSLGETAAQLLWGYDKIHRVHHEYPAPMAFAAPYAHWAEVIVLGFPAFAGPAIVPCHMTTFWLWFVIRHIEAIDTHSGFNFPFNPTKLIPFYGGSEYHDYHHYVGRRSHSNFASVFTFCDYIYGTDKGYRYHKASLAKVRSSSSTIFTPTETSGNTILVHQQTSY >Et_3B_028001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30861411:30861818:-1 gene:Et_3B_028001 transcript:Et_3B_028001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTCGDGEHYSRLIRELCALLVPIVSPSAAVPAPGRPRSPPAVATMLLGASMALMLCGSVTFAIGLLLMPWVAGLALLFGFAGAVSTLSSGVFGKAALPCKEERSDLLPHKPRFGYAASLPAAA >Et_2A_016350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23722528:23722832:1 gene:Et_2A_016350 transcript:Et_2A_016350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RFAPIRAAQGWAHMENRKWGEGENLERQLVIETTHTESSWSKEGIEFTDDGKRWNTQVLHKFFGEPDVEEICRLKLPDTKKEDFLAWQYEKSGIFSA >Et_3A_023510.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:31628602:31628772:1 gene:Et_3A_023510 transcript:Et_3A_023510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCVTTIMGITYVACLFVWRFVYHDPKLQDPSIMIMLTILGLIMIGAGHIVECFEM >Et_6B_048431.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:3696434:3696568:-1 gene:Et_6B_048431 transcript:Et_6B_048431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLRREAGARRPLYRGHRLSGRPPAVREVQKKNTTIVSSTLC >Et_7A_051689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2323988:2326602:-1 gene:Et_7A_051689 transcript:Et_7A_051689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASASVLSLPISGALPAAATAVAGAAGSFALGYLFALSRLPRHAAGPASGGLSDEDSEDDSEDDDDENSGRRRTAKRAGGQKRTGLRLLFWSRNVVTKSDSAKEAEKNQAQSAASPLEIENLAAIIEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLFKKLQQRASKSLKRWERCGQVKVVVKIESEEDMLVLQGRAKSLNLPTHITIDAGRTQIAPNSRTVMAILGPADMVDDVTGGLKLL >Et_3A_025026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26248396:26253251:1 gene:Et_3A_025026 transcript:Et_3A_025026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADRAMGEGAANKRIDLAAPPRSVRRAAAAAPPRYKADLKSGPIRHPGTVPFVWEQRPGQPKSVRTRRAPPPTPPRDHSSSSSLGARPEDVCGSPYHDALGPEGLRGVVAADASWRAGAAPRKAEEEDAPAPAAEAWEEARQQDAVVAPRKQEGGGEEEDEERFSDALDTLSRTESLTMNCSVSGLSGAPNQQARGADPGARGFMMDRFLPAAHAVAVGSPQYTFRKAGAANGTTGNSGREHARAAASTGNGDDRMRRAPVQLPHQHLPPNYLSCTYPRRAEHDEEEEDDDDDDYAVHSTRGFSSKGCGLLPGLCVKTSLMLLNPVPAMKRGKAQGRGRGPHFTSKGPSPLARSSQNKPMGCDSNGQSWEDVYKHKLEQKYLGQGEDLRSKLTSESNQLTFWSDSQTGDGSSPFHHSIAGGTSPYHSYSAMSPSSKANGSFRNGDRDEKARRSNGSGSLGRDHDRSSWVGSSDHSSFKGSSSTSSGLDRTGHEDSMDRRGDIDPEIGHLGLVLDTKDDGKSLIRSARQEAPLHLEENSVDKKEIMPLQSLLPLPAPKSPSESWLSRALPSVANKPPVPSFLGIQVQSKKQAPWASMHPKENDKKPSRTRQIRFADRLTEVRTVPPVLSRIWRSKDIEESASEHQGEDIQLGNG >Et_3A_027289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6891418:6897701:-1 gene:Et_3A_027289 transcript:Et_3A_027289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLLADILHHTAPSTWPSALAVPLLRSRLAPAHVSSLLVLPASLRRPDLSRRFLLLLPPHLVSPVSLSLLALSFISSSPTSSAPSPHAASLILSLASSSPSASSSFSALSQANSLATFPPGCATAAATLLASSYLRLRRARHAAAILRLSLSTGITMKQYTASQILFSLVKIRQFALARDLFDEMLESGVHVDEYIYTAGIRAYCEMRNLDGARGLMARMEGEGIKVSAVPYNVLMYGLCKNKHVDEALMVKDHMVERGVSADEVTHRTLVYGLCRVERLEMALEMTDVMLRLRFLPSEASCSIMVDGLRKKGLVEEAFRLACQLGELGMVPNLFACNALIDKLCKDGRFGEAERLFRGMSKRGMEPNEVTYSILIHSLCKRGMMDEALCMFEKMREKEVRMTVYPYNSLINGYCQRDDFDRARCFLSKMVQKGLTPTAASFSPLIVGLCRKGDLSSAMELHKEMARNGVAANIYTFTALINGLCKVRNMDEAALLFDKLIGHSLEPNEVTFNVMIEGYCRVGNVRKAFQFYDQMVDMGLTPDNYTYRSLISVLCLTVGTMEAKEFVDDLEKNRVVLNNFSLTALLHGFCQQGSSITPEEEEHELSVVKIVLTGLICDNPVPVGNKCGSWTIPNPKSLCFLTLGRQS >Et_4B_036684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10454721:10456591:1 gene:Et_4B_036684 transcript:Et_4B_036684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFCLWHQDPGYASQWVLGYVVSPWLFPYRRLISHPIYIPVDDSLFVLGSGTFQMFCFPEQGEEDMGWTWMKLFPFTGRGHFDSKSDSFVGLSTDPDTLGHLYSCAAISSDDINGGTCQAPMQRLSKETLFSEDVAKNNIDATQEKLFSKEEHLGATLVYMKNSFCLVQGVGVKEKCVDDEGAEDELEEKGALHSSRHMFQLTRFSVECDSNGDLRFDGMRQVQFYEVPEASIAVLKNPVAFYL >Et_2A_015903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18945656:18948795:-1 gene:Et_2A_015903 transcript:Et_2A_015903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTKRPLGAVMAWVRRQPPKVKAFLAVVTGMAALVFIRFIVHDHDNLFVAAEAAHALGIGVLIYKLTKERTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTLLDTATLVSTLFVIYMIRFKLRSTYMVDKDNFALYYVVVPCAVLALLVHPSTSHNIVNRICWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSLVGGQLVLRLPSGVV >Et_1B_011783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2630378:2631887:1 gene:Et_1B_011783 transcript:Et_1B_011783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGNAEQAVPRPAPATSGGRFSCCWSRAAVCKVINAKCVSVLLLAVGGFLSALFLLFHLQASGARPDGPETLAGKASPITLFVKKKGSSIPSQYSAEKRIIPEIQAGFILLMPYSELASHPGMLEKEIYNDIGVPNSKVSVSMSPYTYKNSTYVTFGILPDPRNSSINQTSMSKLRSSLIQLTLQQLNLSLTPSVFGHPFCSEILGFPGGITVFLPHSNFLPDLVPLPLFNITFDLTIHRIRDFLEEMKTELGSTLKEMSDEELLIGLTNMNGSTVAIPVTVQVSLLSNDHSIYLQLDRLKQLAKIIAEWKLTNLGLNLTIFGKIRDLTLSPDLQDIMPACAPSLPPGPISSISRPTSWNHPEINPDGSFSCPALVNKQHATVPDRKLSTRFHRRS >Et_10B_003835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6633055:6639777:1 gene:Et_10B_003835 transcript:Et_10B_003835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGASRRSLCAAARRRAAAGAASVVPGDAASAPHRPVSNGASGVPQSQKRLLSALAGSKVTGAGNVASLKLMDGALIGRRYESSAAAVDSSDTPTAEKYEYQAEVNRLMDLIVHSLYSNKEVFLRELVSNASDALDKLRYLSVTDPDLLKDGPELAIRIQTDKDNGIITITDTGIGMTRQELVESLGTIASSGTAKFLKALKESQEAGMDSNLIGQFGVGFYSAFLVADKVAVSTKSPKSDKQYVWEGEADSSSYIVEVDEDPAESNKEGDAETKTEAKKKTKTVVEKYWDWELTNETQPIWLRNPKEVSTEEYNEFYKKTFNEYLDPLASSHFTTEGEVEFRSILFVPATKKEDITDRKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISCSENRDDYERFWENYGKFLKLGCMEDKENHKRIAPLLRFFSSQSNEELISLDEYVENMKPEQKDIYFIAADSLSSAKNAPFLERLTEKEYEVLLLVDPMDEVAIQNLNSYKDKKFVDISKEDLDLGDKNEEKEKEIKQEYSQTCDWIKKRLGDKVARVDISNRLSSSPCVLVAAKFGWSANMERLMRAQSMGDTSSLDFMRSRKVFEINPEHEIIKGLNVACRSNPDDPEALKAVDILFETSMISSGFSPDNPTELSSKIYEMMSSAIGNKWSSQAQPANQSQQPATPVSSEPLEAEIVEDPVESGQQK >Et_3A_023321.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:20620338:20621111:-1 gene:Et_3A_023321 transcript:Et_3A_023321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFGQAADLATYKYIIIRHGTYNKHTLKKNRTHTHTRQAHNDTNVDDTNRGQQGATGGTIPNTLTHHAARSAVCLPLADDSLERLARWSVRRPGLCRRLPELPPLLPRLGALLPNHKLRHHRRNLITRHHPIVYPVVGLRPQRQPNSLRQHHRVHPLLGVQRPRQCRHAGGHGFQRRVPATVRHEPADRAVVQDLHLWSPQRHGEPPASGSLDEPFRKVADRAVAVGASASAKEACSAVRVAGEPHDPQEPLPARL >Et_6A_046976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23477497:23480145:-1 gene:Et_6A_046976 transcript:Et_6A_046976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDRANWDEQTIFVLLDLVAKQKEQCHWADRSPTSLGWTNIVRAFNESTKLGYRKKQLQNKFNDLKRVYFNWRDGCRHTGLGRDPDTGEVAADPVWYAAVHGLFSLFGHNPRDRGELVSAGGHGTDQTCSSGGSPQTPHDLSDEPLGIRSGGQSSKRSSREYSVCNPMKKKSSQTPSLDDCLDDLGHIIKESKKQKPRHITEAEEMAKKSIVNETTVHALLPPPLAASCFPGPLLTRNSRNNGILPPPPQRWPADREFV >Et_3B_030100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30510240:30514162:1 gene:Et_3B_030100 transcript:Et_3B_030100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAAVEAPAPAPANGNGTCCHVAKGPGYATPLEAMDKGPREKLIYVTCVYNGTGINKPDYLATVDLDPNSPTYSQVIHRLPTTHIGDELHHSGWNSCSSCHGDPSASRRYLILPSLLSGRVYVVDTATDPRAPSLHKVVQAEDIAEKTGLGFPHTSHCLASGEIMISCLGDKEGNASGNGFLLLDSEFNVKGRWEKPGHNPLFGYDFWYQPRHKTMISSSWGAPAAFRTGFDLQHVQDGLYGRHLHVYDWPGGELKQTLDLGETGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFKTADGSWSHEVAISIKPLKVRNWILPEMPGLITDFVLSLDDRYLYLVNWLHGDIRQYNIEDPAKPVLAGQVWVGGLLQKGSDVVYVTDDDQEEQYNVPQVKGHRLRGGPQMIQLSLDGKRIYVTNSLFSRWDEQFYGPDLVKKGSHMLQIDVDTENGGLTINPSFFVDFGTEPDGPALAHEMSCKVLLLRRCGRALTGVESRSDGEALMTRPRLSPSLAATSKNTRNWHWPPQFPAAVENESLLSIEGDGEPAEHASAATAVAGGRANAAVAPGVRLGFGIPARKGYLRSCIGAADKDEREEVRANFQEHPLAN >Et_3B_029824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28227956:28228930:-1 gene:Et_3B_029824 transcript:Et_3B_029824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEAWTQVLLPSPGPCFSGQSCWPWPDRRAGGGGDTWTLEENKLFEKALAQIDGNAPDRWERVAAMLPRKTVADVMNHYNDLDNDVGFIEAGLVPFPHYSSSPPSSGFTLDHWDGSDGGFRRGCCLKRGRGPDQERKKGVPWTEDEHKLFLMGLKKYGRGDWRNISRNFVTTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLPDDDRGNASPSPPSTLTTASNPDQFGALADVKPFMPSFPGAAAHPYGNVKIEPKSSLVSGLGFDESVFLQMQCSQL >Et_4A_034666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5255794:5263218:-1 gene:Et_4A_034666 transcript:Et_4A_034666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDQSFAAGVDSGSAEPGAAASSVAAFESANPANAYSQNAGSVRADTSMYTAEHASLNGTASQKANYQSAGATENGGGATNEMGEPVPEPLSYEEAVLSAEEARLWNVVTANCLDFNAWTALIDETERNSQSNVLKIRKVYDSFLAEFPLCFGYWKKYADHEARLDGASKVVEVYERAVLAVTYSVEIWFNYCQFAISTYDDPDIIRRLFERGLAYVGTDYRSNVLWDEYIKYEESLQAWSHLAVIYTRILEHPIQQLDRLKELASARSFSEILTAEEASMYGVTSENSAQALDGEAQADDADKSGQPENSSSTEAENLAKYVSIREEMYKKAKEYESKIIGFELAIRRPYFHVEPLDNPELENWHSYLDFIEKEEDINKVIKLYERCVIACASYSEFWIRYVQCMEDKGSLELANNALARATHVFVKKQPEIHLFSARFKELNGDVSGARAEYQHLYSELYPGFLEAIVKHSNMELRVGDKESACLVYEKAIAAEREKEQSQFLPTLLIQYSRFLFLAIRDMEKARETLTGLHEQFNVTKSIIEAVIHLESIFPCEKRVEFLDSLVEKFLTPEPSQGEVASLGDKEEVSSIFLEFLDIFGDAKSIKKALTRHTTLFSCKRSILPTRKRKADDAVGSDRDKMAKTAGTHPIMGTDPNAPNPPVWPATSGASGQQWGAAYPPQAAYPAYGSYDYSHQVPQSAPQAAAYGAYPPTYSAQVLNLFSLFCVHLHNASRYSKA >Et_6A_046804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20890559:20893429:1 gene:Et_6A_046804 transcript:Et_6A_046804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWMSRAWFLMFPAREYKLVVVGLDNAGKTTTLYKLHLGEAVTAAPTIGSNVEEVVFKNLRFEVWDLGGQESLRTSWATYYRGTHAVIVVIDSTDRARINIIKDELFRLLQHGDLENAVVLVFANKQDLKDAMSPTEITDALSLHSIKNHDWHIQASCAITGEGLYDGLGWIAQKVAGKATAS >Et_1A_007366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33932814:33940792:1 gene:Et_1A_007366 transcript:Et_1A_007366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSCFRSGSERSGDLQDPLVVESRLGDAFLDDGKKLEANGRLEEDAGDVVGIDEELRQEEQGSAMANYLKSCGVVSQTPPEILKASSPVSAGDINEHNGISNNAPVLSGEMLFERNETSINAPTMSEAMLSEGNSSELIKTEELDILRKEENIVESSDTHTDEHDIVNAESETLSSLQDKSSCQKIRNETSSSDSPFPTPLVLRGDIQTPGTVYTALISKAGKRARGRQFIYPVLRPIENKLQWMAVKLDSSMLLDNPSKRRYLNPGSTENPQEISRSSAATKTEQPKSVSSPVFDNSVSQNDAISPEESKVQSGNEQLVEGGELSEQNSEYGKHGVSSLSYWLKTSSTDDKNQSDTEGSVGKEPFYEKSSFDVPIFATTGLNWDNDNPTPILPKPWDGNGITNTTTKYKEDRVVSWHATPFEERLLKVLSSEKPNLERKISGKLVHLEENAE >Et_2A_017865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7261482:7273538:-1 gene:Et_2A_017865 transcript:Et_2A_017865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVQLLQLGKTHNFRSGRWLSQALGLLLQLVANSFNMITLTKDTIITSGDLLSQLDDLMPILIILALVLLITIVTMYNICRQRTIYLADYACFVPNSIYRCPKATFLEHASLAPFHDASNVQFMARVLERSGLGDETNLPPSFHYVPSYGSLHEAREEVEMVIFSAVDDLLSKKCINIERIDLLVVNCGTFSPSPALADMIMNRHKLRGDIRVINLSGMGCSGGLISAGLARDLLKVMRRRSYALVVSTETTTPSYYEGRKRSMLLTNVLFRMGGGGGGSLHCFRHQGPMHGSNSCKSCEHSLAHRTSLTGDEDDEGIRGMNLSKDIISVAGETLKVNLTIVGRHFLPTTAKINLNLSENLVEPSRMTLHRLGNQSTASVFYELAYIEAKGLMRKGDRVLMIGFGAGYECTTAVWMCIQPSTGTDGPWAQCIHRYPVDVTRIHRRSRVSHPTRKQGSSMSSPTRFSKALMAEYRRVVDNFLAVVTVPLAAAALVAAARVGPIELVRDARPVHLFLAAFLPAAAATLYLMLRPRAVYLVDYACFRTAPNCRVPFSTFLEHAKQVPVLNERSIRFMTRLLERSGLGEETCLPPAHHYIPPYKYCTLEAARGEVELVVFSALDDLFAKTGVSASDIDILVVNCSLFCPTPSFVDMIIHRYGMRKDIRNVHLSGMGCSAGLISVGLARNLLQTAPRGARALVVSTETITPNYYVGSERAMLLPNCLFRIGGAAALLSTSRANARFRLRHVVRTLTGAGDDASYRCVFQEEDEHGNVGINLNKDLMAIAGDALKANITAIGPLVLPASEQLLFALSFIARKVLGAGGKAVRPYIPDFRTAFEHFCIHAGGRAVIDELQRSLSLSDEQVEASRMALHRFGNTSSSSLWYELAYIEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIQPAPNADGPWATSIHRYPVEIPDVLKH >Et_4B_039395.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13313966:13314199:1 gene:Et_4B_039395 transcript:Et_4B_039395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDHHQLKTSWPELVGMAALMAEPIIRNERPDVKIEAHFVGQPVPPAGPYNNKRVRVLLNRDALYTVAQTPVVG >Et_8B_059273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1672867:1676143:-1 gene:Et_8B_059273 transcript:Et_8B_059273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWLRRCFKPQDSGLGKREDATASASVSASAAVSTGSDDEHPSFNLNVETFSFSELSAATANFSENRQIGSGSSGQVYEGRLPRFGKVAVKRLSFGGSSRHGIEQRKNEFLKEVYVLNSMDHPNIIKLIGCCSEGMERLLVYEYMPMGTLRKCLNDVEIDLDWKTRMNIALGAARGLEQLHLRANPPIIHRDFKASNILLDKDFQPKVSDFGAAKIAPAGDELFAHTVGMKGTPGYIAPEYALCSSLSIRSDIYSFGVVLLEIITGRKAIDNTRREGGQNLAFWARDKLNDPNNCEELVDLRLRGRVSVDVLSMALAVAKNCIMESDVDRPEIQGVIDGLLCVISKSCDLGASSSSSASRQGVSLQET >Et_2B_022790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5191833:5193682:1 gene:Et_2B_022790 transcript:Et_2B_022790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNSAMRHSRSLECFSSNGSLETATPTSASDHRNVFFRNSTFSAIFSLLRNATASSACLNIPEPRGTPTTSARSAISRTRSGLVERNVGLDHPFEVGAEEVGVALERLEQVLEGAEEGDVEPQLGDDGGAAEAGGIDQDEAGDLGRGEAQVPQLGEARGQRPLDLGAEELRVVGDSGGDVGVGEAEAGEEGEHGVGPGGGLEVGEDLGGLGSLLRGEVAGGDEVVLDEEWGAISRDGGGGGQSGRGETALVVAQGDECCDGRAGREGDGWLLFLDQEHGCRRRRGRARRGARQVRDNVGSLELAILQPSSDNET >Et_9B_064739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18269346:18270800:1 gene:Et_9B_064739 transcript:Et_9B_064739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALARGKSGIGSSSGSARFEFAAATRTDLIRFCAVLSKQAKGKSSAAASASSSKATKRTTTTRGKAEKKVFSLPGQKFDPPEEREPLRIFYESLSKQIPSSEMAEFWLMEHGLLSPERAKKAYEKKQKRQQQIRMGTPIKPTVRKPESLKKPSTSSNMDSKSKKRVDYSDDDDEFIVKMKRAR >Et_3B_030887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8288856:8289762:-1 gene:Et_3B_030887 transcript:Et_3B_030887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELFGPCSPVRCSPTPSILTSLATTCTLEGSSDVSDDEEVLSEVVQAVEDAIQHVVGSSTKLSIPLAIIEDDSIQPTVDVKTGQQTMNLHWRWLLLRTSQP >Et_5B_045491.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:387515:388036:-1 gene:Et_5B_045491 transcript:Et_5B_045491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRALLILLPPVSALLVIAARGLARNLGALARAQFACLPAGGGGSSSSLFSAASNRSRGVSGELRVAPYRRSDDDEEECVVCLSGIAEGEEVRELKCRHLFHRTCLDRWLLARPAAAATCPLCRCRLLQLAPADQEEHDGEESDEDLDSDVMLFMACVHGRSSWFWPSSSPAA >Et_2B_021925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:692716:697605:-1 gene:Et_2B_021925 transcript:Et_2B_021925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPAGARLALLLARRSLSASSSSGAAASHFPRAHKGIWSEVARAAPSRRSPFSSPANTHRFFHGTRPVAARDYYDVLGVSKNASQGDIKKAYYALAKKLHPDTNKGDADAERKFQEVQRAYETLKDQEKRSFYDQVGPDQYEKASTAGGGTGSPFEGGGFGNPFEDIFGGGGGGMNDFFKNIFRDREFGGRDVKSKGVPKQSIFELLYRVKPAVDLVCLPERNLKHIYMQTGPFRMQSTCTKCGGSGKTVKEFCKACRGNKVVSGTKSVRLDIPPGSDNDDIYKVARSGGADADGRPGDLLVTLKVREDPVFRREKGDVHVDTVLNVTQAILGGTVQVPTLSGDVVLKVKPGTQPGQKVVLRGKGIKTRNSSYYGDQYVHFNVNIPVNLTPRQRTLIKEFAKEEQGEDEKDAKAAGASG >Et_3B_030356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32444815:32446371:1 gene:Et_3B_030356 transcript:Et_3B_030356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVAKELGISPPAAVAPRRRASARVAPPWGGGGSPVSDLWLRAGPAPEGFRSHSHDNDMDLAMLVSDFLENGASAGGDSRGSSDSESGLSDLAHLADSITMYKQGGDDKENELLAMVHSLLFSIHESELQAFKRGQCSGSCIRHLLVKLLRYSGYDAAVCTSKWQGFDKIPGGDHEYIDVIINSDTTGPERLIVDIDFRSHFEIARAVDSYGTLLNSLPVVYVGTLPRLKQFLHVMVDAAKWSLKQNSMPLPPWRSLPYLQAKWQSKYERKDLDTDEENFHCAASDHALCIGHLKRLKSSLQSELETGRLLMVPIKTDKKRMPKFERRRRRSLLSC >Et_6B_048491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:149766:158278:1 gene:Et_6B_048491 transcript:Et_6B_048491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAMAARPVTVRSSCRHRLPEKIAADSDPSSSPAVHAVKLKPAARRSVIRPGHMVLTSVAVGSLVVAGAANSAELQDYLQGTSGILLADLGVGDWFGGLLYSAGQQANEAVQDQLSALSFTSVAVIFGAGLVTSAFGSGKDRAEVVGNSIAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAIIMGMNLLEVVELQLPSFFSNFDPRAAAANLPSSVQAYLAGLTFALAASPCSTPVLATLLGYVATSRDPIIGGSLLLTYTTGYVAPLLIAASFAEFAVIQKIFGMDKSNQWCISPRWRHLHPIGSGFSRNVDGHV >Et_7B_053327.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8550767:8552885:1 gene:Et_7B_053327 transcript:Et_7B_053327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRVRPVLVLLRARVGRLAGALLVLVRLARHVCVDGAGLGLVREPGALVVGALVGRPRDAVAVDVEPPVLDEVPAARPERREVVAGEREVLEAPRPRVREPAQRVGHVAVEQVVGQVELLHLAQASERRRDGPRDPVRAGVDDRGPGEQAQLVGEAAAELVVEEEHLEQRAGGPGDGLGDLPREPVVGEGEVVDRRVAEVGREASRELVVVDEDGLHLRERAEQAARELAVEAVEPDVDERGVGDSQNVGRERGRGELVVAQVQLVEVLQATEPRHGAAEVVGVGVEEGQVRERVHEALQRRRLQAVAVEVDGRDGAVLDARRGLAEEALVAGLGAEVRARPGGGDAHRVARHRLLELLDHQVRAVQPLVLEVARLRLRRRRRRAPALAAANADADSTAAEVDVEVNPARPAGSGERDAGD >Et_1B_010407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10253036:10255048:1 gene:Et_1B_010407 transcript:Et_1B_010407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKAAMAVRVLVVDDSPVDRKVVELLLRNHKGGAAPFHVTAVDSGKKAMELLRLKGQGKLDSSADDDANALSSPNPIPVVVMSSENEPQRISRCLTAGAEDFILKPLKSKDVQRLCNCSNARSAKDATEAQRESLSSSRKPLSDRIAKATSEHRSQLAGFAMVLNASSSELSYYFQYLFKFILLAYAVLYLRELLHRWSNGSFLSLWCA >Et_9B_064146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11798956:11801196:1 gene:Et_9B_064146 transcript:Et_9B_064146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVAGDDKDNVVDRKAHNVVVKSETVEVNGDYTMPQGAVPARGDPMVTFGSLGGRFSDDFQFPEYEDGDSTDCSSSFGDSYSVSDDDLELDTGVMEVDSLFPSHINLDDTTVVPHLKKVTSEWREYISPVMWRCQWLELRMKDLLSQVAKYDKGLAIINHEKDLHLEMLKGDSTKSELQHLDLQSREISTMRMRKRKRDEDIMESSLSTEEHLILSYYNGLSSYHFHFVDIFMLHEHPYSETKKQKKRTETDEILDNDDSNSLDLRWHGTVNNGNKDPSNLVRSEQRSAKWKHH >Et_4B_037239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1713756:1717018:-1 gene:Et_4B_037239 transcript:Et_4B_037239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EYLSKRNGKGPKLKPKGPRPPLKKNLDATIRDAEADAHSKQARQARGSKQAQPKKIMATATALSLSGAGSGGGGSPLLRRYTAAAGRCCAFPRSRWRPPRLAASRADDSSPAPFEMTVEGALKLLGVAEGASFDEILRAKNAVLASCKDDQDAVAQVEAAYDMLLMQSLSQRRAGKVADNSIRYADVKTVKSAGAGTVPQWMQATMKNAPVTFEAPSSNSLGIQSCVYGALMVFTYASGSSTSLPSSYTAPDVPGFILATGFGASLYFLAKKNMNLGKAALITVGGLAAGATVGSAVENFLQIGSGIILSTWHVIN >Et_2A_014847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18563738:18565045:-1 gene:Et_2A_014847 transcript:Et_2A_014847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSKLVKPAYNAVVAPPVADEYIPLSIFDRVTYKMQMAIVYAFPPPAPSTAAVEKGLAAVLAQYRAFAGQLGEAPDGTPAVLLNDRGARFVEASLTRFRCGSLAVGFTSNHVAADGHATSNFLVAWGRATRGLPMGPPPVHHHEGLFKPRSSPRVEHDHRNREYHLPSPNEKHGHGGEGVENIVIHKAHFTKDFIAGLRGKASEGRGRPFSRFETVLAHLWRHRLGLPAEYFGNLVLWAFPTATVADLLGRPLKHAAQVIHDEVARVDGNYFRSFVDFATSGAAGREGLAPSAVCKDVLCPDVEVDSWLTFPFYELDFGTGAPTYFMPSYFQTEGMLFLVPSYMGDGSVDAFVPVFQHNLEAFKECCYSME >Et_9B_063954.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:5664319:5664444:-1 gene:Et_9B_063954 transcript:Et_9B_063954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLVLQRRRQRHPKLGALPASPTFLSFRRHRHNIFHKAHF >Et_8A_056681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1534959:1539625:1 gene:Et_8A_056681 transcript:Et_8A_056681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEFIYVDTRAEAMAWRQGIDPRELERRTRVVLQYIYSSLPAPVSADARLLAFPSDVGDYEDRISALPFALLRDIVSRLPTKDAARTAALSRRWRPVWRRTPLAFADAHLVPGFLEGLRHTARADTPRIASAASAALAAHPGPFRAVHLVCSFMGEREQELARWVRALAAKGAQEVVLVNRPFPHDVLVPTALLDVSTLTRLYLGFWKFPDSCALPRRDDPPFPHLRELVLCAMDMESQDMDFLLAACPVLEILGILGHNKSGLRLRLVGERLRCVQVSQSMVQSIAVVDAPKLERLILFGSVTLRGSCIRLKIGNAPKLRLFGYLDPTVYMLEIGNTAINAGIKASRSIMATGVKILAVNLRFGVSQDAKMLVTFLRCFPNVETLHIVSEKTTRKVSLKFWQEAGPIQCIRSCIKEMTFHEFQMKQSEIAFLKFFLQTAKVVKNVVIVGATGRSASTLEKLGLVDPAMCTTKVLILKRVRLGAFKEDLIFLFVILLRAAQVEDLMHLNIDNQVLAASQSLGFSSGGSPAATASNAARPKADADRATPSRLLLVPTANSSAAPHCAALPPAALQQHGASELSALPLNALPPPRSHAVEEACCLGPAEKRHVSSGEIHQRVVIMDAD >Et_6A_047378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4768204:4773997:-1 gene:Et_6A_047378 transcript:Et_6A_047378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGALPFLLLAAGLLAIAHASESDHKYKAEEPVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPAHKWGGLGEVLGGNELIDSQIDIKFLKNVDKGSICTIELDASKVQQFTDAIENSYWFELFIGFVGETDKNNENKHYLYTHKNIIVKYNGNRIIHVNLTQESPKLLEAGKKLDMTYSVKWVQTNVVFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVCEESGWKLVHGDVFRPPHSLMFLSALVSIGTQLAALILLVIVLAIVGMLYVGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKAMILTASLFPFLCFSIGLVLNTIAIFYRSLAAIPFGTMVVIFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSTLFVRRIYRNIKYRIPPVNPYV >Et_6A_046004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17790651:17791154:-1 gene:Et_6A_046004 transcript:Et_6A_046004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEDHASNGSLRQPLIGVRNRPWGKYAAEIRDSTRDGTRVWLGTFNTPEAAALAYDQAAFALRGATAVLNFPVEHVRESLQQLGLNCAAGASPVLQLKLRHRIRKRRPRRIRETSEEATAEAACVLELEDLGADYLEELLALSDE >Et_6B_049365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:452146:453246:1 gene:Et_6B_049365 transcript:Et_6B_049365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVAGSKFLYSLLYLYENADTLIGHFEYHKKLQHWREIWELALERDAEEEGEFRAKMKEHANAPDDLPPQLLFVHQIPSMIISTAADGFNVLMPSNIDTTIPGAEPSNNDTTMQSDDP >Et_5A_041808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3002914:3004726:1 gene:Et_5A_041808 transcript:Et_5A_041808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAWLVAAAVAAVLASWAFNTLVHLVWRPYAISKKLRAQGVRGPGYKFFVGSLGEIKQFRAEAAGATLDVGDHDFVPMVQPHLRKWIPRYGRMFVYWTGARPNVCVADVNVVKQVLFDRTGLYPKNLINPHISRLLGKGLVLTDGDEWKRHRKVVHPAFNMDKLKMMTTTMSDCAGSMISDWEAQLAKGGDVEVELSSQFEELTADVISHTAFGSSYREGKQVFLAQRELQFLAFSTVFNVQIPGFRFLPTKKNLKTWRLDKQVRAMLTDIIKTRLAAKDTSGYGNDLLGLMLEACAPEHGETPVLSMDEIIDECKTFFFAGHDTTSHLLTWASFLLSTHPEWQDRLRDEVRRECGDDEVPTGDTLNKLRLVNMFLLETLRLYGPVALIQRKAGSDLDLGGVRVPEGAILTIPIATIHRDKEVWGEDAGEFRPERFENGVTRAAKHPNALLSFSSGPRSCIGQNFAMIEAKAVVAMILQRFSLELSPNYVHAPMDVITLRPRHGLPMLLKSLKM >Et_10B_002456.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:7937725:7938159:1 gene:Et_10B_002456 transcript:Et_10B_002456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PVVLDGHLNKYTLKQLSAATNRFSSDNLVGEGASSKVYRGQLIDGTEVAVKKLTNMIAGQSQFLNEIRVLSQANHQNVIGIIGYCSTKTEKIVVYPFMPNGSVDSKLRGKSEFIREFHGYIISNILLSTTPFSIEEKRYLTIWC >Et_4A_034966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8326039:8327422:-1 gene:Et_4A_034966 transcript:Et_4A_034966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAGALYINPKKFGAVAKPCMPEMVAFLNCLALNKQNDDKCLRQKDLLVACTQTQKGKPKNAAKTINYHLQRLGRDKYGIPQHQYDSVYSKFRCVAPTVVPVALMMIKQPLLMHRVLTNGRNPDWYRPVFRS >Et_3B_030630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5721494:5725638:1 gene:Et_3B_030630 transcript:Et_3B_030630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYAPAAGGRFHGGARPRRLRPRSCLVSPPASSSGGEQPEKERPLLVEKYRDGVAKRYMVDGNSKLHVRFEKHESPVNTVEDKNANPSLPRAISDFILPAGFPGSVSDDYLEYMLLQLPTNVTGWICHVLVTSSLLKAVGVGSFTGTSAAASAAAIRWVSKDGIGAFGRLLIGGRFGTLFDDDPKKWRMYADFIGSAGSIFELITPLYPGYFLPLASLGNLAKAVGRGFRDPSFRVIQNHFAESGNLGEVAAKEEVWEVGAQLLGLSIGVLVMDTTGVKSSYETLMLTWLIVRLLHLWFRYQSLTVLKFRTINLKRARILVRSHVAQHIVPGYVACNEEENILTWERFMHPRISFGVTMERIIGGEKSSDMVNGLLKLYGNEKYILFIEQFGTREPTFLVTFKEAATSMSVLRSLWQAHWLHRNLLRRDEVFSWLEESLDALEHGFTDFLIQMEGAGWDQNQVILKVPKEPVVVLDHLD >Et_4A_035671.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3472256:3472837:-1 gene:Et_4A_035671 transcript:Et_4A_035671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSTTGATAAAVSTPILRRSSPPAASFHAFPSLSKRSAPPTPRLVAVARAAASGAARGGGGGGGGVAGAETVLFDGGAHYGDLAANLALGLTLLWLPLTLAAVSRAFILRYRFTSRRVTVISGLSGADRTDFPYSSVTSVVVVPRFIGEWGDIIITLKDGTKVDLRSVPRFREVADYCRTMAAAEGSLASR >Et_4B_038297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2824582:2833836:1 gene:Et_4B_038297 transcript:Et_4B_038297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLDAAVSPPASFRRTLHSEPPRVLRFHAFPWRHGLHHGRWIGVRCANRIPRKQGLGAFTSAERPDDKEALVNNEQIPTIAVAKNPLRLTAQGNYNMATILIITFCALGRIVSGRIQFMMNLLPSISSNITCLPFACISDPIKKPVPLKLDVTFPPLGDIRWSISRLYYLFNSQLERNITLSIITLLVACFSFVVVGGLLFHKFRKKEQSLEDCFWEAWACLCSSSTHLRQKTRVERVIGFFLAIWGILFYSRLLSAMTEQFRIHMHKVREGAQVQVIEDDHIIVCGVNSHLVSILNQLNKFHESAIRLGSATARKQRILLLSDLPRKQLEKLGDSMGKDLNHIDVFAKSCSLSLTKSFERAAANKAKSIIILPATNERYEVDTDAFISLLALQPLRQIASVPIIVEASNSSTCELLKSITGLNVQPVEMVSSKLFVQCSRQKGLLKIYRHLLNYRKNVFNLFSIPELSGMKYMDVRRRIHDAVVCGIFRSGKIYFHPSDDDVLKETDKLLLITPVRGRRKPHHRVLSLPEGTETSNHCLESREDQRLSTMATELKEARLKNIMKRPSKSLSKSNDYMLGPRECVLIVGWRPKVTDMIREYDNYLGPGSVVEILSETPVKERSSIVNPLLQNQLKNIKVSHRIGCPLNYDTLMEAIINIRKSAKPDKKVPLSIVVISDRDWLIGDTAQADKQLAYTLLLAENICEKHGIKVENLVSEVSDTGLGKQISRIRPTLSFIGAEEVMSLVTAQVAESCELNEVWKDILNAEGDEIYIKEIGLYKKEGEKISFAELSERAILRREVAIGYVKDHKQHINPVNKLEPLSLEMTDSLIVISEFEGEQPIVMDRETSA >Et_1A_006706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26658745:26664655:1 gene:Et_1A_006706 transcript:Et_1A_006706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVESAPDGAGKPPPYKDPDDGKQRFLLELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLKYWQRPEYIKYIMYPHCLFFLELLQNPNFRNAMAHPASKEVAHRQQYFFWKNYRNNRLKHILPRPPPEPTPAPAPSQTPTTVSLPAQVATPAIPPVPAPPSSLPPVAAAGASAMSPMQFVGTPGTNIPKTDMRNPMGNRKRNTHNAFGGADGPLKDADPGLGLLDESLDELSLPPDDAPDLEHRHHQPEHAVPGPSGPPLRGSRGLLRRSNVGLLGRRWGQRCVHLDLHGGCR >Et_3B_029398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24690438:24691052:1 gene:Et_3B_029398 transcript:Et_3B_029398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAKAMAELAGGVGARPCRRHGGEARALEGEGEEDDADEGEEDDAGAGVRGASMRVWSWTTARGLHGRTVGRCFTFTASPQKIDVCSHMVVLVRTMTHINVA >Et_6A_046884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2191656:2195101:1 gene:Et_6A_046884 transcript:Et_6A_046884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGAVTICEINRDLVAADVLSDDRAKDAYGNVLGMVVSPIPFQPDALLPNREPPAADQAEPAAETTPAAGLVSTVSGFFKKMIFPPLDPNLLEEFNTQKVSWNPHKHCLAFVSGKNQVTVHDFEDSDSKEPCILASDHQKDVKAVEWRPNSGKMIAVGCRGGICLWSASYPGNVPFMKSGVTSSSFSSFPRGSGGQWILVDVLRGLGTPIRRGLSSISLVRWSPSGDYLLAAKFDGTFHFWETNTWTSEPWSSSNGYVSGANWDPEGRVALLSFSNSTTLGSIHFSSKPPSLDAHLLPVELPEISSLIVSRGIEKLAWDASGERLAVSFKDGNEMYRGLVAVYDVRRSPLVSLSLVGPGEEAKPLAFAFHNKFKQGPLLSV >Et_3B_028388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14605287:14612434:1 gene:Et_3B_028388 transcript:Et_3B_028388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSKLQLLFLALLFVSPSLEASNGASADGTSSSSSSNGAAAAATRGRSLESSQSVFSIDNYGARGDGKHDDTQALAKAWTAACSSSRPAVVLVPGGKRYLLKLITLAGPCKSSVVITVKGTLLASPNRSDWSDKDRRHWIVFREVDELTVNGGGTIDGNGETWWKNSCKINKALPCKEAPTALSFHFCTSLRVENLKIVNSQQIHMSVEDCTDVQLTGLSITAPGTSPNTDGIHITRSKDVQVTNCKIKTGDDCMSIENDTRNLRVYKVVCGPRHGISIGSLGDDNSRAEVSGIIIDTVQLYGTTNGARIKTYQGGSGYAKDITFQNMIMNNVKNPIIIDQNYCDKAKPCKESGSAVEVSNVVFKNIRGTTITKEAINLKCSKSVPCNAITLQDIDLKMVTGNIATESTCQNAEWKKSGTVLPSVFSLDHYGARGNGKHDDTQALTKAWTAACASSRPAVVLVPGGKRYLLKLVTLSGPCKSSVVVTVKGTIVASPNRSDWSDKDRSHWIVFRGVDELTINGGGAIDGNGETWWKNSCKTNKALPCTEAPTALSFHFCTSLRIENLKIMNSQQIHMSVEDCTDVELAGLSITAPGTSPNTDGIHITRSKDVQVTNCKIQTGDDCMSIENGTHNLRVYKVVCGPGHGISIGSLGDDNSRAEVSGIIIDIVQLYGTTNRARIKTYQGGSGYAKDITLQNIIMDNVKNPIIIDQNYCDKAMHAKNQDQQWSNVIFKNIRGTTITKDAIKLNCSNSVPCNAIILQDIDLKMVTRNIATESTCQNVEWKKSGGPFFHCHAQLKTRDG >Et_9A_060886.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:11778632:11779479:1 gene:Et_9A_060886 transcript:Et_9A_060886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMTEERRGWLMVFATLTASITYSAALNPPGGMWQADDTTNEYVAGFPVLLDKSLSRYMAFYFLNAASFLSSVCIIALLALNRRMLPLFKGVTVLNILVTLNMAAMVGAFIAGSSSASALLIVDVVVVGLALILFSALILVCKIMLELEHACDGSMDESS >Et_5A_040640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10702403:10704263:-1 gene:Et_5A_040640 transcript:Et_5A_040640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASKEEVIGKINVRVVRGNNLAICDPLTHTSDPYVVLQYGAQKVKTSVQKKNPNPVWNEVLQLSVTNPTKPVHLEVFDEDKFTADDSMGVAYINLTDIYDAAKLDLKHASNGTRIKTIYPVGVNHLGAESHVTWKDSKVVQDLTLKLTNVESGLIALQLEWVYVPGVKL >Et_2A_017053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30524803:30525409:1 gene:Et_2A_017053 transcript:Et_2A_017053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGAGVRLPSTSAAAAPAVEMWGRKAVTTARRGGAASIRCRSVGAAGPAMGAAGGLAEEHYRTLRLRPGATRGEVKKAFRRLTLMYHPDVCKQSDGAADFQRISVAYQMLMRNMREAEERLEYWRLKYGLSDEDLDKYRHYVNDHDDDEWLDM >Et_2B_020555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21347633:21357920:1 gene:Et_2B_020555 transcript:Et_2B_020555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRVLLFLKPFDVYPPRPCTGASASSPVSSPAPPPQPRAANSKILSYLDDRCRVHKDTINFCESVLQRKSLEWTSVQRNQLTQPIRDVDLVIAVGGDGTLLRASHFLDSSVPILGVNSDPTCSKEVDELTNEFDARRSTGYLCAATARNFEQILDATLDGSRRPLELSRISVKLNGTQLPTYALNDILVSHTCPASVSRFSFRKRSNTGEMSHLVNCRSSGLRVSTAAGSTAAMLSAGGFVMPISSHELQYMIREPISPTDADKPLLHGLVKKDQQMLVDWYNQEGAVYIDGSHVVFSIQHGDTIEISSDAPTLNLEKIGEVGKIRRELAGEVLCLEESAKRGNGVVGEGAITLHAAGATWNGGGEVPLRHAELGREPAKKTPCEKEFLGLVQRYLKNPKQLSQNQ >Et_3B_029486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25371446:25372945:-1 gene:Et_3B_029486 transcript:Et_3B_029486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPSWLTACSPWSAFATLAALATGFLVLSYVSSGFLGRPAYEYDDPYSPDAAAAAAERALVPQRGAGSPPVFAYYITGGRGDSLRVTRLLKAVYHPRNRYLLHLDAGAGAYERARLAGHVRSEQAFLEYGNVHVVGKGDPVDGRGASSVAAVLRGAAILMRLGGAEWDWLVTLGAADYPLVTQDDLLHAFSSVPRDLNFIEHKPDSETHQVVVLDQNLLQSTNAEISFSSGHREKPDAFELFKGSRWTILSRPFVEHCVAAPDNLARTLLMYFSNTLDPADFYFQTIAANSPRFKNSTVNHSVRFVVPPKGVDPRFWYDAMVGGGAAFAGGFGDDDALLQRIDEEVLRRPLDGVTPGEWCKGPNGEEGECSVGGDINAVRQGAAGRRLASLVAGLVGTTPCEGCNSAGAPVFPASP >Et_10A_001500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4117420:4123514:1 gene:Et_10A_001500 transcript:Et_10A_001500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIRRGLELGHHHQPDRARADGEGVRIKERSRGRRRESMPPPGFRLHLRRPPSAPAPLPLLRQSGASARSSVRVGSLGGQRRRRCGLAVMASGDQRVAQIASSIRVIPDFPKPGIMFQDITTLLLDPKAFRDTIDLFVERYKDKGITVVAGVEARGFIFGPPIALAIGAKFVPLRKPKKLPGAVISEEYSLEYGTDKIEMHVGAVDSNDRALVVDDLIATGGTLCAAVNLLERVGAKVVECACVIELAELKMMFLIPFLILVSEHYKSTGSVVQ >Et_5B_043770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15781539:15788170:-1 gene:Et_5B_043770 transcript:Et_5B_043770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGAFSVKTDVYSFGVIILEIISGKRWTKPLQETYYNDLRTWAFKKKPWRGVKLEQRLKGFIHPSLHSVSFIGKIVPRCLSFPARRTKLLQHKEVRRCIRVALLCIQQNPDRRPEMLEAARMLRPRKASIPFPRRPGYAKEGPMYAGDRS >Et_2B_020561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21350025:21358120:-1 gene:Et_2B_020561 transcript:Et_2B_020561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPCGWSLLFLVFYLGLLHAEAVHGAAAPPRLPRTEGRLLRRLAAKLGVPQWDFSAAVPCGSGGVECDCSFSNHTVCHVTHIFLKAQNFTGELPPDFADFPYLLQLDLSRSLFHGGVPDQWARMKLQGLSLMGNRLSGPFPMALTKITTLTNLSIEGNEFHGPIPPEIGHLIHMEKLILSTNEFTGKIPDALSLLTNLTDLRVSGNNLSGRLPDFWGKLPKLEKLYMVSNNIFFIQCNFSWRRQIEGSLLEGPIPSSLSELTNLYDLVLRNCSISGRIPSYIGALANLKHLDLSFNKLSGEIPASFANMGRVDYIYLTGNSLSGNIPGWLLRRNNIASKPMPSREHSSLHINCGDKEVTINGNKYEADMTPKGASELYVSPGSNWAFSSTGNFMDNNINDDNYIAASASKLTMPNSELYAKARLSPLSLTYYGLCMFSGSYTVKLHFAEIVFTNDSTYCSVGKRRFNVFIQGRMVLEDFDIRKSAGGAGNSIIRTFQTYVRNHTLEIQFYWAGRGTTGIPERGFYGPLISAISVTPNFQIPLAIEPPQTGGRKKSPLSPISEAFMIGIPVIVILIALIVGICWIKQWRKSSTQKDLRALDLQTGSFTLRQIKAATGNFDAANKIGEGGFGSVYKGLLSDGTVIAVKQLSPRSKQGHREFVNEIGMISALQHPNLVKLYGCCTEGNQLLLVYEYMENNCLARALFVEQHRLSLDWATRHNICLGIAKGLAYLHEESALRIVHRDIKASNILLDKDLNAKISDFGLAKLNEDDQTHISTKIAGTIGYMAPEYAMRGYLTEKADIYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWACVLHERGTLLELVDPDLGSNYSREEALLMLNVALHHRGTYTQTKDVQSC >Et_3A_026970.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28411683:28412318:1 gene:Et_3A_026970 transcript:Et_3A_026970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNVPLPSSSSTSAAEELQVASPASEEATTLTVWRKSLLFNCKGFTVFDAKGNLAYRVDSYDAEPAGGEVVLMDPAGRAAFAVRRNRRLSLSGEQWLICADEEARRPLHVVKRGGGGKTMARVAPCARGAGAAASYYEVEGSYARRRCVVYDAERRVVAEVQPKEAVGSDVFRLVVQPGVDVSLAMAVVVALDQMFGRPSLLRSWSS >Et_9A_063406.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:23293426:23294856:1 gene:Et_9A_063406 transcript:Et_9A_063406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADATALGSWAAVRGYFTPATLFLVLNLVIGTIALTSRSHQRRRREHHHDDAHPAHYQAPPLDPHHQQQDEFHQYYQPQPLARTSSVLDRLRSIGLYRFRSGDFPPEYGAGAVPSAAANHDVFAPVEEETTTTPAREEGKKRAPPRAKKPAEARKAQVARPPARSRVVEAVAEDARAEQFITTSLLRQPEPASPPKAEYHYHYQEETEEYVPPPAPMRAPPPLSRTSSVLERLRSISIYSFLGAADQPAAATDAFMTSSPADDDKKPAHPTHYDRSRSEPAREQVLTKKKQAAEAKKMAKSSTSATRKPAAAPRLAEEECVDARAEAFIDSFRREQSQKQLPHHDANDDEDHAVVPPPPAPLARTSSVLDRLRSFSLYLRSSDAVPEDPVIINKASSAAADEKQQQQQAQYARSRSEPAAKKKQAAAKMSKTSSVAAAPAEECVDARADDFINKFRQQLHLQRLNSLLGGAKQQ >Et_6A_047854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21333487:21337957:1 gene:Et_6A_047854 transcript:Et_6A_047854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELDIADLVRSGAVCASWHSAYAAFRRLQLPSPRQPPCLLYSCDAFGCDAAALYCPSTGATFRVPVPEPLPIRCLSPIGSAYGWLVVADEVSNLHLLNPLTGGRVALPPITTLYNFEDGVSLDEEGNSVYNYHETPGNDDPPVPILVGEARDCLYDRVALSCSPSASFDCVVLLLHLPLCGLSFTRPGDKQWTWIPPREGTGLQRRNFYWDVAYNDKDGLFYVVCADDSMYTLDLKGPSPVGKRIMPALRQGGQPFRYLIHTPWGDLLQAWRFRREYHPPTQVGNEAKDEPSSQIESETEEDDDLFTEVEDEQSSQIESETEEYDPFADMLVELRTVKVQLYKVNTHEKKLVQLDGVGDHALFLGYNNTLCLPVTKFPGLKPNSAYIVDDSTEFMNFFKRNKRDICLWDIERQSFQSLGDASPSLLKEPWQLPALNLSTHCKFMMATSEVPAFTKIYSYPDTTASLWTMSTGEAIRAHQGQHTLARPTCQ >Et_6A_048160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8062819:8065843:1 gene:Et_6A_048160 transcript:Et_6A_048160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELTEHFVLQKLRCREVAELLEAISTEPEEEEPLMPISEAEDDIDKELQLVYDLEDEEVEEETEVRAREDDAP >Et_1A_006481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23006649:23016595:1 gene:Et_1A_006481 transcript:Et_1A_006481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPSTEGFLDAGSCLPCTSEEERETVEALTREADENVKDGDLRYLVSQSWWVNWQRYAGLVKYEENDVEQLPHARNRPGEIDNSKLVSSETINCIDEPELQRTLREGQDYTLVPEEVWRRLYEWYKGGPEIPRKVYIEDPIRKTYIVDVYPLCFKLIDAKDCSERNIRICRKAKVRELYEMVCSLMSVEQSEIDIWDYFQRTKSKKLTNLDLALEEAQLVMDQELLLEMKADESGSDFSTISTNNELALIPLQPSTSSHSIAGGPFSNGYSSGFGSSFSQDNGFNSFLRDTEDGFGSFSNGAKDDTHGLSGLSNLGNTCFMNSAIQALVHTPQLVEYFLEDYSQEINTENPLGLQGELAIAFGELLRKLWSAGRTSIAPRAFRSKLTRFAPQFSGYNQHDSQELLAFILDGLHEDLNRVKKKPYIEAKDADGRPDDDVAEECWSYHRARNDSIIVDKFQGQYKSTLVCPVCNKISVTFDPFMHLTLPLPSTVTRMMTVTVFSGTGDFLPMPYTVTVEKNGICRDLIKALTDVCCLKSSEKLLLAEVYDHRIYRYLTNPLEALYTIKDEDRLVAYKLPVDDEKLLRLEILHRKADRLTEPNYGRKLIGCPLVTCIPKDSTGKSDIYAAVSAILAPFVRAKVNGPDVSAVKLNGNGPSLDGIVLTDNVTTCEEGLSTSNEDENTTNEDPLPFQLSLTDEKGNMRNTISEDSNHVFGLVMRVLMEWSDRELEMYNIDYMDDLPDVFKPGFMSKKTRQEAVNLFSCLEAFLKEEPLGPEDMYCPSCKEHRQASKKLDLWRLPEILVVHLKRFSYSRYMKNKLDTFVNFPIHDLNMSKYVKQTSRDDRPQVYELYAVINHYGGMGGGHYSAYAKLVEEDNWYHFDDSHVSSVNEDDIRTCAAYVLFYRRVGDSSTAAKDVSVDIDMVDSLET >Et_10B_003995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9026495:9031155:1 gene:Et_10B_003995 transcript:Et_10B_003995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGANPTPPPSTPSAASAAAAAAQHQQLQRQLFLMQQAQAQAQAQAQGQSHPQQLSQQAMSRFPSNIDAHLRPLGPLRFQQPQQQSQPQPHSQAPSPSPSQPQQAPSQQQQAAAQAHAQAQAQAQAQARGRSPEMEMALQDAMKVCNPDIKTPFQSVEDAVNRLLPYHVVADYEAEEDDRILDSDATGQIPSRLQQWDHNILVKIAEFTTTFEKQVLAYNIMTKKRAIGEFRSEERLMLEQALLQEEKMAMMELRAEMESREKAGREAAEAKMRMAMAEHARAEAQAHSEMMGQGPIRASVAASQGDDGPSHGMAQEQGGDEDWENTQRDDEDPSEDFLNDENENGNSDGQEDWRRSGELDLNS >Et_4B_040061.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:8822415:8823017:1 gene:Et_4B_040061 transcript:Et_4B_040061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRRAPPSPLQRAADWSDGESSSARGAPPTSGRLAVQDWRAVASAVNAHGAAAGRRTNRTRAQCQNRVHNLKARYKSELLSGQPPPSRWRHFRRLREFLAAGPPDGPPPGFPVKTTLASAVEDAETSERKEEVGGSGLATGSWTVPRRPRNGAAGLGRAAVVTKLAEVYERVELARLDSEKVKMEVEVEKAMLDAVER >Et_3B_027523.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25049046:25050488:-1 gene:Et_3B_027523 transcript:Et_3B_027523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLPPPLRPSSAPPPPVATRVLHAINTCTSAAALPPIRDGILREPALLRSTTIVSAFFLACGRLRHHGPALALFASLPRPHVFVFNSLLRSLPAPPFSPLPLFRHFLRLGVRPNRYTFPLMLTHLSSLRDLRVVHSQVVRSGFGADLHVRNALLARYAACDPDVAHAEQLFDEMPCPDVVAWTTVITSYRNRGRSFQALATLRQMVASSVAPNRVTMVSALGACAAHGAMDTGVWIHEYVKKQGWELDVVLGTTLVDMYGKCGCVVEALRVFSEMVERNVYTWNSIIGAFALAEDGKTALQFFFHMNADGVRPDEVTLICVLCACAHAGFIEIGRKIFNLLVQGEYGFQPGIKHFGCMVDLLCRSGHLDDAFKVIETMPSQPNAVIWGLLLRGCRARGDSFLSEHVTKQLVELEPENASHYVLLSNLYAETGRWMEAEEVLKWVKRKGLMKNAGWSLKMLEDSSEDYVSDGELMECVL >Et_8B_059246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15797301:15798441:1 gene:Et_8B_059246 transcript:Et_8B_059246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VMEEELKKLSLKVNHPEGNIQFLKSELNAIEATCINLGTKMLIPLTPRFMNFKFTKFLSVKLGNYYSSVVAVANNDTSSLEAEQCTIRGILDHDKTAAGIICQLKVRHCEEASKM >Et_1A_006956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29480137:29491426:1 gene:Et_1A_006956 transcript:Et_1A_006956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPSSSSSSSRRSSSPFSAGHRRPPTSSSSSSSYFSAGRLIPRSSPSSVSSHYYGGGGGSSRSATPGRRGGSSVAPAPAPEPVPFPSSDELVIEDTSRSGDSISVTIRFRPLSEREFQRGDEISWYPDGDRLVRCEYNPSTAYAYDRVFGPTTNTDAVYDVAARPVVKGAMEGINGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFSMIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTMMIESSSRGDDDEGVMYSQLNLIDLAGSESSKTETTGLRRREGSYINKSLLTLGTVIGKLSEGRATHIPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRIEIYASRNRMVDEKSLIKKYQREISSLKQELDQLRRGLIGGASQDEVMILRQQLEEGQLKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNNIPALTDSHQRPNSVSDEDKLSTAGDSSTLVQHESSAKDSSALTDSLDEINQLRSASGEHSSVTGSASDSVQAGFTASDQMDLLIEQIKMLAGEIENLEREIQQKRRHMRALEQQIMASGEASVANVSMVDMQQTISKLTAQCSEKAFELELKSADNRVLQEQLQQKNAEIKDLQEKILLLEQQLSVKIDMSPEGLEQCTQQEIIDLKSKLQSKEAESERLKYEHLKIIEEHRDLVNQNQKLSEEAAYAKELASSAAVELKNLAEEVTKLSVQNAKQAKELLIAQEMAHPRVHGRKGRTTSRGRDEVGTWSLDLEDMKMELKARRQREAALEAALAEKEHLEEEYKRKFDEAKKKELSLENDLAGMWVLVAKLKRGALGISDLNVDDRSVNLADITNGTKENKGDKNFALVEKQVSDDTVKSLTAEEHRSPEFEPLLVRLKAKIQEMKEKDSDALGDKDGNSHVCKVCFESAAAAVLLPCRHFCLCKPCSLACSECPLCRQRIADRIITFT >Et_3A_025761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32638181:32642914:-1 gene:Et_3A_025761 transcript:Et_3A_025761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLRSARASVLGSGPTSTLGREREAKKKKLWLIDTEKKKKKKKKKKKKKKKKKKKKKKKKKKKKKRKRAEPSRAMQAVRTREEKRSEAAAVEASPVSARSWSPEAEIGMRVEDIWDSLDEQGQLSRSDKLNSCFDSISVATFPHTFAGSQLVEIPSDSTLAEAVDILSRNRIISAPVRNVDAPEDASWIDRYIGIVEFAGIAVWLLHKSEAVANADVGADELAAKLGTVTLEGTAAAAANVREPKPSAESEGAIAEVFGALPSSDLFNKTKVKDISGSFRWAPFLALQSSDTFLTMLLLLSKYRMKSLPVVDIGEGTISNIITQAAVVHMLSECVGLHWFEEWGTKTLSEIGLPIMRLSKIVKIREDEPALKAFRLMRRKGVGGIPVVDDSCKAIGSIMIKDVKHLLTASDANRDYRTLTAKDFIANARQSSGERQMSIITCSRSDSVKDIILKLDAEKRQRIYVINEEGNLDGLITLRDIIAKLVYEPPGYFGDFFNGVIPLPQNSRNSFLPLVGPETSQQMIGMREEPSPRWKSSILLLTEDPQPSMMSVLGTTPRMPS >Et_4A_034618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4962627:4963627:1 gene:Et_4A_034618 transcript:Et_4A_034618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTPPPSPTSGSESPAPSSSDDRNMVGGGGAPSGAKLLQILNVRVVGSGERVVVLSHGFGTDQSAWSRVLPYLARGDHRVVLYDLVCAGSVNPEHFDFRRYNTLDSYVDDLLAILDALRVPRCAFVGHSVSAMIGILASIRRPELFAKLVLIGASPRFLNDHENDYHGGFELPEILQVFDAMKANYSAWATGYAPLAVGADVPAAVQEFSRTLFNMRPDISLHVCQTVFNTDLRGVLGMVRSPCVVVQTTRDVSVPASVANYLKAHLGGRTTVEFLQTEGHLPHLSAPGLLAQVLRRALARY >Et_4B_037755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23121048:23126366:1 gene:Et_4B_037755 transcript:Et_4B_037755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAHPTGKSVVRVVVIGDPGTGKTSLVFALATGQFADEVPSVMPPARLPADFFPDGAGVPFTVVDTSSSPEQKERLIAECKAADAVVLTYACDRPDTVERLGSFWLPELRRLELNAPVIVVGCKVDLRDEPQFNIDQVMEPIMQLFREIETCIECSALRLFQVPEVFYYAQKAVLNPTAPLFDQEVQCLKPRYVRALKRIFYLCDHDRDGTLNDSELGDFQFRCFGAPLQPDEISGLKRLVQDRIPEGVNESGITLMGFIFLHAFFIEHGRLETAWRVLRKFGYDNDLKLRDDLISLPIKRAPDQTLELTAEVVCFLTRLFNRFDIDYDGFLLPAELEDLFSTAPENPWTSDVYTDCAETNVLGGLSLNGFLSEWALMTLIDPSNSFANLIYVGCFGDFGSAFTITRKRRDDRKRKQTHKNVFRCCVFGARGSGKSALLQSFIRRKDPLQSDSERFATNTVDLADGTKKTLILQEIPEDDVRSLLANRESLAQCDVAVFVYDSCDEFSWQRARDLLVKVATYGESTGYKVPCLIVAAKDDLDQSPHAFQESTRVSQDIGIETPIPISVESRDLNNVFCRIVHAAQQPHLSIPETEAGKTSRHYRQFLNRSLMVVSAGAAVAVVGIAAYRIYAARSKYFLLKSSKTVANVIPPANCSFICLGFLLEYFLCETVVCKYVVGYKLPHVGESQIL >Et_3A_027343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8200277:8201677:-1 gene:Et_3A_027343 transcript:Et_3A_027343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASHLQNPHYFDFRAARRVPESHAWPGLHDHPVVDGGAPGPDAVPVVDLRGGGDGDDAAVARAAEQWGAFLLTGHGVAPGLLARVEDAVARMFALPAAEKMRAVRRPGDACGYGSPPISSFFSKSMWSEGYTFSPASLRADLRKLWPKAGDDYANFWYARTEVMEEFHKEMRGLADKLLELFLRALGLSDEQVSSVEAERRIAETMTATMHLNWYPKCPDPQRALGLIAHTDSGFFTFVLQSLVPGLQLFRPAPDRWVAVPAVPGAFVVNVGDLFHILTNGRFHSVYHRAVVNRDLDRISLGYFVGPPPHAKVAPLPEAVPPGRSPAYRAVTWPEYMGVRKKAFTTGASALKMVAVVDMGDSDADAAADARLLVS >Et_1B_011271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19859141:19863992:-1 gene:Et_1B_011271 transcript:Et_1B_011271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQPPLPAPLPPPPQQHHHDRRRNFAAAGAAAGAFVAVALALAAVLWWLRRRRRRKRAAGEDGVGALQRLSYRRLRRATGKFAAANKLGQGGFGPVFRGALPVKKGGGGGGEGRPVAVKVMDAAGSLQGEREFHNEIAVASHLLASDASEPADKARDFILLPFAYSMSERGQARPRRMMLVYDLMPNGSLQDALLGRRRPELVAEWSRRLSVARDVAAALHYLHSVVKPPVVHGDVKPSNVLLDTDLRARLADFGLAHVRSDPDPDGKQESGAIAEGDVIAEGGDVNGHADGGCDDDVSVVAESTVTTTVNGEGNVAPKSPEDDDGFTSASPAEAASTSGFDRTSVDSGVNGRSCNGGSRTGGATASGTGSDWWWRQDNGTASNGVKDYVMEWIRSEIKKERPKNDWIAGAAATNPVADKKKQKQKRRAREWWREDYVDELAKKQKRRALAKSKSEQAGLQWWERDLDDDLDEKGTSKWNLVKSWSRRSSNSTSNGNSSINWWVNGARSSRDWASGEFVPKSGGTVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSYGVLLLVLISGRRPLQVTASPMSEFEKASLISWAKHLARANRLLDLVDPTMRDVNRDEALLCITVALLCIQRSPARRPSSEELLGMLSCEGELPQLPLEFSPSPPGGFPFKSRKKVRCPRSKKVATATYESQPGHYAICKGFIEMALSLGNVVRQNGLTHMLVAVGRNPKALGMVGRPPYLAAGKDPPTPPALATRRACPRSRHGQRWGFVLPGVEEERNMGQLLADPGLFGLLGHEKGTPAFISHQPWTPAQTCPAACLLCATRPYIAAWRCQRLCSIPSSDLPAHNNMLGSLSAHNNMLGSFRVPTFQLTRTRSRNNAKIN >Et_2A_015541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14623781:14627854:-1 gene:Et_2A_015541 transcript:Et_2A_015541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVKPQCVMRKAAQTLLGRQQPHIGAAWVLRRGLTCLLWRPSYKCSVGALCYWVTHNSGPGMNTGSTSVKELSTSPSAITQQFQETEAQLTQPVYETPNNNNNNGVPEATDPAAHPRSHYAEITSIQQLTLSSSKKEPMRDDNSLRKGRKRKVTTLAIQRRRNGHHEIQSRHPTEQPLPDYISRYHKDKLLGKITCMVCGEEEHYTCDCPMKDRDNKVICTLCNKVGHCYLWCCRQHVSENRACRRCALTETSRRAVTPVKICGETEPHDDSHECQFKRNGEGEMVLKVSNFSHSGQGHCERKSAIRSVPDTDKINHEALMDKTLTPDSSSACFKCHEEGHYAKRCPKKAGASELHDPPEELLCHVICFKCHDKGHYAYSCPSNKPQKRGPKNKRKMINSVLRETSGSCSNNFGSCSVEKILRKEEEWRRLEEMQEKIKVVMQALQRETSTG >Et_1A_009579.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:8579441:8579944:1 gene:Et_1A_009579 transcript:Et_1A_009579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTRSAATERAYLQFAPPPRRGAAGNGPEREEFDESDIWGAFSAAAPDVEPPRARPALAARKAKAPVVAAGGGRAAAHGSLPVNIPDWSKILGDEYRGHHGAAAAGDWELDDGDDEDVADAVVPPHELAWRRRAASLSVHEGAGVVGRTLKVRDAVWKRTTGFQD >Et_9A_062160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20182361:20189638:1 gene:Et_9A_062160 transcript:Et_9A_062160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLTFLLCSLILAAPPANADDPFYTDCPSNMNYTRGSAFQTNLDALLSFLPAAAAASSGFAKNITGAAPNQAYGFAQCRGDVNASDCRACLDASARDMASKCTAGQKNAMLVYDACLLRHANSSFFGAVDTSVVVYAWNPQNATQPAQFTSALGALMGNVTAKAAYASPRMFAAGSAAVTPFVNIYGMAQCTRDLAGDDCNRCLATAVAFIPTCCNDKQGGRIVYRSCSIRFEVYPFYNVSAAEMAMSPAPSPGGGPINGSDPFVPVSTGNKRKVRTALLVTIPVAVTLLVILLFALYLCKRNRKPNKHPQINASVRHEDAEAMRSSESVLYDLSTLRAATGNFSEENKLGEGGFGPVYKGILQDGKEIAVKRLSTTSHQGQVEMKNEVILVAKLQHKNLVRILGCCIQEHERLLVYEFLSNNSLDKILFDFRRQQELSWGQRQKIIEGIGRGLLYLHEDSRLTIIHRDLKASNILLDKDMNPKISDFGLAKLFSVDSSVGNTSRIAGTYGYMAPEYALHGIFSAKSDVFSYGVLVLEIVTGRRNAYTQASGSSEDLLSFVWRRWSRGSVQELLDGCPAGGRQPQEVLRCVHVAMSSLLAFLLLCSLTLAAPANAGPTYTDCPTNSNYTRGSAFQANLDALLSSLPAAAVASSGFAKNVTGAAPDQAYGLAQCRADIDASGCRACLNASVQDMASTCASKSAMLFYDNCLLRNADASFFGAVDTSAVLYLTNTQNVTQPAQFTSALSTLMKNLTVTAAYSSPRMFAAGSAALTAFSNIYGLAQCTRDLAGDDCNRCLATAVGGISACCNGKQGGQVIYRTCSIRFEVYLFYNARAAEEAMSPAPSPAGGGPNNGSDHSVPGSNGNNRTVRTALLVSIPVGVTLLVVLLVAVYFCKRNKKPHKHVLIESVRHEEDEEMRSSESLLYDLSTLRAATDNFSEEKKLGEGGFGPVYKGVLQNGQEIAVKRLSATSRQGHLEMKNEVFLVAKLQHKNLVRILGCCIQEHERLLVYEFLNNNSLDKILFDSARQHELSWGQRHKIIEGIARGLLYLHEDSRLTIIHRDLKASNILLDKDMNPKISDFGLAKLFSVDSSVGNTSRIAGTYGYMSPEYAMHGIFSAKSDVFSYGVLVLEIITGRRNAYTQASGPSEDLLTFVWRCWSRGSVQELLDSCPASGMQPQEVLRCVHVGLLCVQEDPLLRPGMAAVVIMLNSRSITLPAATAPAYAVVVPGRAFSVVDAHRSGMDLEGPRVAARLPSINGVSVSDLEPR >Et_1A_008715.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:10378697:10379023:-1 gene:Et_1A_008715 transcript:Et_1A_008715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTMMASLSAVAAAERPTATPARRGSLAVARAARVERQEVAQEARPAAAAAEGRRAVMLAAAAAAVAAIGGAGVASAGEPKKGTPEAKKKYAPICVTMPTAKICHN >Et_4B_037712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22738874:22743242:-1 gene:Et_4B_037712 transcript:Et_4B_037712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLSVILVIASFLPFSASDRQGDALYDMKLKLNATGTQLADWNQNQVNPCTWNSVICDNNNNVVQVTLASMGFTGVLSPRIGDLEYLNVLSLPGNNITGGIPEQFGNLSRLTSLDLEDNLLVGPIPSSLGKLSKLGLLILSQNNLNGSIPETLASISSLTDIRLAYNNLSGQIPSQLFQVARYNFTGNNLTCGANFLHPCASNVSFQGSSRSSKIGIVIGTIGGVVGLLILVCLFIICSRRRKSHLREVFVDVSGEDDRRIAFGQLKRFAWRELQLATDNFSEKNVLGQGGFGKVYKGALPDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRLREFKPGEPILDWSARKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDADFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGHLGAIVDRNLNGNYNGQEVEMMIQIALLCTQASPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQDYERMQQRFDWGEDSIYNQDAIELSAGR >Et_3B_028202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11692401:11696540:-1 gene:Et_3B_028202 transcript:Et_3B_028202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEKGWMLRIINDSQNVVLTTITVTRVIKMLYRTVRPMSVMKHSSGFLLPKLNQPANAPVKNYALVALNQHLPRFMPHLWAHAKLRICADGGANRIFDEMFHMTNDKDQKSSRNRYIPEIIEGDMDSIRPEVKLFYSNQGSKISDKSYDQETTDLHKCISRIHHHIPDNEKPNLSVLVTGALGGRFDHEAANINVLYVFSDMRIVLLSDDCLIRLLPKTHRHELYIESSVEGPHCGLFPVGAPSTSTTTTGLKWNLNESKMRFGSMISTSNIVQAEKITVQSDADLLWTISLRNLT >Et_1A_007686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37209555:37210554:-1 gene:Et_1A_007686 transcript:Et_1A_007686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVLLVSAVLVGFVALGSCRNLGELSEQKTYSSAPHYGGSPTPTYGSGGTPTPTYGATPTPTYGSTPTPSYGSTPSTPSTPSIGFPDIPKHGFTGSCDYWKKHPDMIVAVVGSLGNIGKTFGAACSLIVGKKFENLHDALSNTRTDGVGALLREGAAAYLNSIVNKKFPFSTRQVKDCIIVAVTSDGAASAQAGIFKKANEYHY >Et_3A_025309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28794042:28797518:-1 gene:Et_3A_025309 transcript:Et_3A_025309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPASERKSAAAGGGMDEPLLPEFSGGHGGGASVSGAVFNVSTSIIGAGIMSIPAAMRVLGVVPALVLIAAVAALSDVSVEFMLRYTGWAGGGPPSYAGIMGDAFGRAGAAALNVFIAFTTTGTLVVYLIIIGDVMSGSVEGGDEHAGVLGELFGAQWWTAREFVLLVTAVFVLLPLVLRRRVDSLRYTSAISILLAVVFMLISFGIAMYALFTGTATMPRMLPDFSRLSSPFELFTAVPVIVVAFTFHFNGNDSIFCVITTFLFSACQLRLTRTPLATVHPIRAELSKSSDMKAAVRVSLVLCAAIYAAVGFFGFLLFGDATMADVLANFDSSSGAGVPQALNDAARLSYALHLVLVFPLLLFSLRVNVDELLFPGRRPLASDTRRFVSLTVVLMAVLYALAIEIPSVWTLFEFSGSTFAVTISLIFPGAIVLRDVHGIAKRKDKALAAAMIILAVITSSIAIASNIMSSVSDKGSSR >Et_2A_015478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13561448:13564231:-1 gene:Et_2A_015478 transcript:Et_2A_015478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEISALLDLLVAATGERDPPARRLRAPAARPGLEALAGALAAGPPADPAAARAILAAARAVVSAVLPASVEQVDPTVVEIVERSLEFCLLYLEKSSYACDDFSLLNEVACFLESVLLKATHSKVYSLEPGIINDIIEQWTSVQIEAERLSPQEKYFCYLKGNLLRLCNNMFYAWLLLHLMISLVT >Et_7A_052905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24889438:24890077:-1 gene:Et_7A_052905 transcript:Et_7A_052905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASADEEDADAQRTEGDGCRQDPIGVTTSPASLHPPHHDDDPVSTRWVLLDLLAYIADRRNATTATAFTSYGHAIIQATLCAVRLPRVSHFCNSSSHALRHQRGRPHPLQAAIDLQLIRVMQDYFVFRHAHDGRARPSLTLLPHPGPCRAFSSCHVGILRDGNAGGSATPTLPATAFPSMGRPHCTISTVSTPTPASGPPTSSSSGP >Et_2A_016503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25338944:25341581:-1 gene:Et_2A_016503 transcript:Et_2A_016503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSADAMSPPEDAAGDVRMISSKELRGHASADDLWISISGDVYDVTAWLPRHPGGDLPLLTLAGQDATDAFAAYHPPSARPLLRRFFVGRLSDYTVSPASADYRRLLAQLSSAGLFERVGPTPKVQLVGMAVLFCAALYCVLACASAWAHLLAGGLIGFIWIQSGWMGHDSGHHRITGHPLLDRVVQVLSGNCLTGLSIAWWKCNHNTHHIACNSLDHDPDLQHMPLFAVSAKLFSNMWSCFYKRTMAFDAASKFLISYQHWTFYPVMCIARINLLAQSALFVLFQRKVPQRLLEIAGVATFWVWYPMLVSCLPNWWERVAFVLSSFTITGIQHVQFCLNHFSSEVYVGPPKGNDWFEKQTAGTLDILCPPWMDWFHGGLQFQIEHHLFPRLPRCHLRKVAPFVRDLCKKHGLTYSAASFWGANVLTWNTLRAAALQARSTATGAAPKNLVWEAVNTHGNGKKKGNCVALATYS >Et_3B_028654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17735999:17743413:-1 gene:Et_3B_028654 transcript:Et_3B_028654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHPEAPGPGKAPQEKQLDKKELERKLKKGQKAKEKEEKRLKAKLKEVARLQTPLLDGRNYLHLKWLSSTVQAWYAWWESSGYFGADSASTKPPFVIVLPPPNVTGALHIGHALTVAIEDAMIRWRRMSGFNALWVPGVDHAGIATQVVVEKKLMREKKLTRHDIGRDNFIAEVLKWKDQYGGTILNQLRRLGASLDWSLHPFNARKPKIICDAELVDPTFGTGAVKITLAHDPNDFEVGKRHNLEFINIFTDDGKINSNGGAQFEGMPRFTARVAVIEALKAKGLYKDTENNEMSLGVCSRTNDVVEPMFKPQWFVNCNTMAKAGLDAVRSKKIEIIPPQYEPDWYRWLENIRDWCVSRQLWWGHCVPAWYVTLEEDQVKDLGSNNDQWILNQDPDVLDTWFSSGLFPLTVLGWPDETADLRAFYPTSVLETGLDILFFWVARMVMMGMQLGGDVPFQKMSKSLEVINGISLDGLLKCLEEGNLDPNELNIAKEGRKKDYADGIAECGTDTLRFALISYTSQSDMINLDIRRVVGYRQWCIKLWNAIRFAVGKLGDNYTPSANFDVSLMPPVCKWILSVLNKAIGKTVTSSEGYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNDSQEFESARAASRDTLWVCLDAGLHLLHPFMPYEWTNGKLENELDIVLDAVSKLRSLKPPTETTERRPAFALCRGQQIADTIRSYHSLIMTLSSVSDLKILGENDGTPADCATAVVNKDLSLYLQLQGSLNAEAEREKLSKKRDEVLNVQEEDERKLTALLEQLVIISEAEKKLDAKTGKN >Et_6A_046517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16566404:16567704:1 gene:Et_6A_046517 transcript:Et_6A_046517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNITILDERQLIHDLNEYLKNKTAISSAGLKSSRPKAPQTKWRTGTQQKIYGRRLLDVLRATGGSGGGTLQQRAVKEAADSAVGAERLDAAEPPRVLVKARGKIRWRHHRRPVKLRPWNHRHSRRGR >Et_2A_017870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7332688:7336267:1 gene:Et_2A_017870 transcript:Et_2A_017870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPIATLLLLLALGLPPSSGSASVASDSSRVTGSAADVAALLAFKAQLSDPLSILHGNWTGNASFCRWLGVSCSLRRMRVTALVLNDVPLQGNISPHLGNLSFLSMLNLTRTELRGSIPSELGRLRRLRFLALGNNTLSGAIPSTLGNLTRLEFMDLWQNNLSGKIPQELQNLRSLKHLDLHKNYLTGPIPNDLFNNSSFLISLNFANNSLSGAIPPSIGYLPMLQLLVLQFNHFSGPVPPSIFNMSSLQYMYVAGNYNLYGTISGSNNSSFSLPMLEVLSLGENRFSGEIPLGLSQCRYLRALSLAINLFEGPVPAWLGEMPNLQLIYLGYNKFVGPILSALGNLTNLLSLDISTCNLTGQIPPELSGATQINELYLHDNQFSGDFPAFVANLSELSHLALANNMLTGVVPMSLGNTGAFVVLSVAGNQLQGNLNFLAALSNCRQLSAFSVSSNHFTGGIPESVGNLSGQLQYFLASQNMLTGVLPRTLSNLSYLNVLDLSENRLRSRIPESMMTMMNKLVTLDLSTNGIFGPIPDQILMQSNLQALVLDSNNLTEVMSSAIPASVFQLENLLTLDLSQNSLEGEIPTDVSQLKQVGAIDLSTNLLVGRLPTSFGQLQTVIYLNLSHNSLSDSLPDSFEKLTSLDTLDLSYNDLSGTIPQYLAKFTYLTNLNLSFNKLNGPIPERGVFANITLQSLVGNTGLCGGASRLGFSPCESSHRTTNHHFLKFLLPTVIIVAVAVIIGLYMMIRKKVKKQEQRVVSPEMVGIGTLNHMIVSYHEIIRATDNFSETNLLGSGSFGKVYKGQLSNGVVIAIKVLNMQLEQAVKSFDSECRVLRMARHRNLIRVLSTCSNMDFKALVLQYMPNGSLETHLHSEARVHLGFRQRLDTMLDVSMAIEYLHYHHVEVVLHCDLKPSNVLFDEDMVAHVANFGIAKLLYGDDNSMTWNNWVHGTRYFNVLYVLFSTSFTTIANSLLLYFKIPSTDQLEGHQEEVTHSAMGSCFLNYSQERSPQPMFVGELTLRKWVHQAFPTNLIGIVDDQLPQDSNSSLNDFLVPIFEIGLLCSNDMPEQRMAMNDVVVRLTKIKKDYIACTETVSSAPSH >Et_5B_044804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5979141:5989564:1 gene:Et_5B_044804 transcript:Et_5B_044804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSAPRSRSRKGRDRANLDAGPAGLIAERILSNDVVDLVRGFRAVCRPWRACSAHLLAQGVLDRRFHPRRWIMLPDTFNDVHHRRCFLNVVTGERIYPSTLRDCCSTCHILGSTSEGLVLLLLGSSNNGVVQLVNPMTGQVVDLPPAKKLLTDENQSIIHGNELRNAGVTSDSMVVLRFESFSLAIAKPGDKRWMHLHSRYRITSLLPFAGRIYCATTKNISVVQTMANRWPRLVAVADHKLETRGPSTIDMENELYEGRSWIFLVDNNGELILCHRRRYEPGAHGSCNLYRVHLQTRNMVPLDTLNGHALFISRRRSVFVASGVSQSISANTVYVCWRNGVAFDLLGGCSEPIFKKAASHAPAEAWRTNERSTVASSSSAPPPSNRLRAKHKRMGLDKDTERDWANLPDGPAGSIAERLLSDDVADYVRFRAACAAWRACTVEPRAHSVLDRRFHPRRWIMLPSTLTAAGNQRLFLNVFTGERVCVCLADPGCCYVLGHTAEGLVLLCLKDTYLVQLLNPLTGQLAHLPSATTLLEERPSFNFTTPLAGYLSDDHSPISQWSLDDELEEFSLCGAVFADDSTIALLFGFSDVAFAKPGDKSWTTQPDIFPPVLSAFPLAGRFYCITDKDIFVVETRGNQQPRLVEVASYKLDVPVKGFHKIYHNADVLVSLSHLYKYNSSFEDKYRTYRANLETGEMIPMKGLDGHAMFICPYNTHSIWVPALVSSTIKADTIYVCKGYESSGRPIVEAFHTLDGSVERPNLDAGDIAYYLSCYTR >Et_4B_037208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16486517:16515418:-1 gene:Et_4B_037208 transcript:Et_4B_037208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGDGVAAGEAYGASGRLESILTNSSAPAASRAWVATAIELRLLTRLAAPAVVMYMINYLMSMSTQIFSGHLGNLELAAASLGNTGIQIFAYGLMLGMGSAVETLCGQAYGAQKYDMLGIYLQRSAVLLCGTGIPLAVIYAFSEPILLFLGQSPEIARAASIFVYGLIPQIFAYAINFPIQKFMQAQSIVLPSAYISTATLALHLLLSWLIVYKVGLGLLGASLMLSLSWWIIVAAQFAYIVMSPKCRHTWTGFTWQAFSGLWDFLKLSAASAVMLCLETWYFQVLVLIAGLLPNPELALDALSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKSAFFSVWVVTALSTLISVILAIVILCLRNYISYLFTDGEVVSNAVADLCPLLAITLILNGIQPVLSGVAVGCGWQQFVAYVNIGCYYIVGVPLGALLGFVFKLGVKGIWGGMIGGTCMQTAILLWVTLRTDWNKEVDEAQKRLNRWDDKKTEPLLASRELASPPIHSLAARSATGEEREREREREREISYVVAMGSAGVDEPKLYSPLLPASGVPATASGGEGHETSGQLESILSDESVPWTRRMAAASVVETRLLLRLAAPAVLVYMINYLMSMSTQIFSGHLGTLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAYGAHKYDMLGIYLQRSTLLLMATGVPLAVLYAFSRPILVLLGESPAIASAAAVFVYGLIPQIFAYAANFPIQKFLQAQSIMAPSAYISAATLAVHLVASYLAVYRFDMGLLGASLILSLSWWVIVAAQFVYIVTSSRCRLTWQGFSTQAFSDLPQFFKLSVASAVMLCLETWYFQILVLIAGLLKDPELALASLSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKSAAFSVVVVTVMSSVLSVLISAVILFFRDYISYIFTDGEDVSQAVSKLTPLLALTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYVVGIPLGCLLGFYFELGAAGIWSGMIGGTLMQTLILVWVTFRTNWNKEVEESQKRLHKWDAKAPLDEYPPLLSSPDIGDGDGAAAAASGGGGHGVSSQLERILGDESCPPARRMARAARAELRMLVALAAPAVAVYMINYAMSLSTRIFCGQLGTLELAAASLGNVGIQVFAYGLMLGMGSAVETLCGQAYGAHKYDMLGVYMQRSFVLLTAAGVPLAAIYVFSKRILLFLGEPEDIAGAAWVFVVGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLAAHLALSYLAVYRLRMGLLGASLILSLSWWVIVAAQFVYIATSARCRRTWTGFSAQAFSGLPEFFRLSAASAVMLCLETWYTQITVLIAGLLKDPEIALDSLAVCMSISGWVFMVSVGFNAAASVRVSNELGAGHPKAASFSVKVVTTLSLIVASLVAVVVMCLRDYISYVFTGGDEVARAVSTMTPLLAVTIVLNGVQPVLSGVAVGCGWQAFVAYGNVACYYGVGIPLGCVLGFHFDLGATAILTIHIQGIWGGMIGGLIVQTLILSFVTLRTDWNNEVEKARLRLNKWEEQKKPLLLLRSLMAAGDDHGGQAHTHELSGQLEGILADREVPWASRASRAAMIELRLLTPIAAPAVVVYVLNNVLSISTQIFSGHLGNLELAASSLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAHRYEMLGVYLQRSTILLVAVGVPLTFIYGFSEPILIFLGQSPDIAKAAAIFVYGLIPQIFAYATNFPIQKLLQAQSIVAPSAYISAATLVVHLVLGWLVVYRLGMGLLGASLVLSLSWWIIVAAQFVYIVTSARCRQTWTGFSWRAFSGLPDFLKLSTASAVMLCLETWYFQILILIAGLLDNPQLALDSLTVCMTLAGWVFMISIGFNAAASVRVGNELGAGHPRAAAFSVVVVTTLSFLITLAMAVVFLIFRDYLSYIFTEGETVARAVSELSPLLATTLILNGIQPVLSGVAVGCGWQKLVAYINVGCYYFVGIPLGVLLGFKFHLGAKGIWTGMLGGTCMQTLILFWITFRTDWNKEVTGK >Et_10B_004123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14199433:14207023:-1 gene:Et_10B_004123 transcript:Et_10B_004123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTLGFGLGGPKFGRSPLVTVMRSDGYSYDYGSVRVVVVLSPGVVDRIVGAAPATTASVDLLSPASSSSSSWRVSETCTSPCTSDRNNEDAARDRKRAQSRESSARYRQRQKTLKLMEEKEKPPRGMVLDPVTQRMSDDIMHEQWPTNEVSEIIGSSLYGSVPSEIVYDDESWLHRNDNWCSGSVTGMQSYEDGGRASAQCVTQGDMQVDKILLSNAKRREKYSRLPEEEKSVLQEKKRVHIRGIRLRNLKQNTPASVNMPVPKPGEEDLDAANPDVQFDLPVDEEDDEARLYGLRDEDEEPGDIIDPEQGQEQLAHSVFFNP >Et_1A_006387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21757976:21759579:-1 gene:Et_1A_006387 transcript:Et_1A_006387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFKARRSEPELVSPARPTPHETKALSDLDDQRTLRYYETVIGFFRSRPGHSNRPDDPAKAIKAALAVALVYYYPIAGRLREAAGGKLVVDCTAEGVVFVEADADVQLEEFGKPLLPPYPCVEELLCDAGETRAVIGKPLLLMQVTRLLCGGFVIGFHMSHNIADGFGMAQFVRAVAELARGEARPTILPIWNRDLLTAHNPSLKPHSNPSYKALQNSLDYKSDDVMLSIPVQHMIVHYFLFGPREIATLQSHVRGYLANSVTSFELLTAVMW >Et_3B_029478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25368559:25370715:1 gene:Et_3B_029478 transcript:Et_3B_029478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCARSVLRRRGLAPLLRRCGGEGECAAGSGEALANARCTSTLAGLGGGRALGHRSRWADPLPRLGEAGRLGSGPMAPAQTRSFLGCGDGEEGSVLSKVYEERRVMGYSPEQMFAVVAAVDLYEDFVPWCQRSRIVRRYDDGSFDAELEIGFKFLVESYVSHVEMEKFKYIKTTASESGLFDHLINVWEFKPGPVPGTCDLYFLVDFKFQSPLYRQVASMFFKEVVSRLVSSFSDRCFRIYGPAVPVLENTYGQGR >Et_7A_051294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16716677:16719355:-1 gene:Et_7A_051294 transcript:Et_7A_051294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGNPNPNATPSQPRPPHMQQQQQPGGSPATPLTHLRPPGLGGSPFQGLFHTPPSHNPAFQIHMGASASPQTPLMAAAAASAKRPPQKPPARPPAPNSSMAAGAASAAAAYRAAAAAAAVANSGGVDLTPAARRNKKRKLPEKQLPDRVAALLPESALYTQLLEFESRVDAALARKKVDIQEALKNPPSLQRTLRIYVFNTFANQGPRTIPPPQNADPPTWSLKIIGRVLEDGAELDPASVVPKHNPVYPKFSQFFKRVTIALDPSLYPENPLIIWENARSAAPQEGFEVKRKGDKEFVANIRLEMNYTPEKFKLSQPLMEVLGVEVDTRARVIAALWQYIKAKKLQNPSDPSYFMCDPQLKKVFGEDKLKFAMLSQKISQHLGAPPPINLEHKIKLSGNGAHGSACYDVLVDVPFPLQKEMMAFLANTEKHKDIEACDEVISASIKKIHEHRRRRAFFLGFSQSPVEFINAMIASQSKDLKLVSGEANRSVEKERRADFYNQPWVEDAVIRYLNRKPASDGPGGAGVHPRSAAHGSSPLQMQTSNKQSLLT >Et_8A_057056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20614821:20638964:-1 gene:Et_8A_057056 transcript:Et_8A_057056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTASSPGHRLDDRAMHPEAFESERVPIAVPRLPPPAVFSRKLGRAKPRLAPPNPSPPEAPSRLPTLPPASAFSSAAARRRGRNGEAPSAARDWAALPADAIAAVLRKLDHVEILMGPGQVCRSWRRAPRDNPVLWRRIDLRGHADLKYRVDLCAMARVAIRRAKGQCEAFWAKHAADDDVLRFLGDQAPSLKSLRLISCQDIFKFNEEIKKFPLLEELEISLFTNIGGKNVFQEVGKACPELKHFRFNQYRFVNLRYKKAYTDDDALGIASMHGLLSLQLFGNKFSKGLTAILDNCPHLESLDIRHCFNIVMDDALHAKFARIKTLRLPIDSTDDYQYPVCSPLGLLDIKYESDYSDDYVYGGSDYYLDSDECDDYCDPFRYLDGVYESELSAEDRMFLKAAVPAPPSESGSRDWSELPLDAIASVFAKLGAVDILMGAGLVCHSWLHAASKVPYLWRHVDMTLGQASTHCRDNNDMCVMATAAVDRSGGMLESFAGEGFVNDDLLEYIGDRAPLLKSLRVVSCYYISHEGFAEATRKFPLLEELELEDCDCIGGSTWVFQAVGKACPRLRRFTQINGFGAEILYIAQYNDDEALGIAKGMHGLRHLLLSGNLLTNVGLTAILDSCPELEYLELCRCNHVTMDGAMRAKCDRIKTVKLTRGRLDAYMSRFLDTIGRTEFRKDPPQYLISESVYYHAHRYFQGMEARQAPGARDWSELHVDALSLIFTKLGAIEVLMGAGLVCHSWLDAAKSPDLWRSVDMSNHKTLEKIGCDALCAMGKVAVDRSCGQLEAFVGKWFVTNDLLKYMRDRVPSLRRLSLISCDYVSSGGVAGAIKKFPLLVDLELLLCLQVSGKYVFETIGESCTQLKRFICCKHGVRRYGDIIEEDGISYNSEAMGIATMTKLHTLQLFGSSINDRGLATILDKCPNLESLDIRYCFNIKMDDTLQAKCAAIRSLRLPHDSIDDYEFMDKLPKWLPPRSDDIFFDSDVMEAPQAPGTRNWSELHVDLLCLIFTKLDAIEVLMGAGLVCHSWLETAKLSELWRSVDMSNPKVLEKMSGSVLRAMAKVAVDRSCGQLEAFVGKWFVTNDLLKYIGDRSPSLKVLRLSSCYIANIGVAWAIKEFPLLEDLELSLCLSVSGEYVFETIGKLTRFKWCGYTNGKAMGLGTTMTKLHSLQLFGSSLNNSELAVILDNCANLESLDIRYCFNIKMDDALQGKCSGISSAAPRASLPQTLALPSPSLSLRCRRPPLPPPPLLVVALAGAAPAAAAATWRRWRRKRGIGLSCHWTPSPPSSASSTTSRSSWDNPVLWRRIDMRDHADLEYHVNLYGMAQAAIRRAKGQCEAFWAEYAADDNVLHLLGNQAPSLKSLRLIACQDILEFEEEIKKFPLLEELEISLFTNIGDRHVFEVVGKACPQLKHFGLNCYRFCNLNDTEDTEDDSEFKFSKDDEALGIASMHGLRSLQLFGNIFTNKGLTAILDNCTHLESLDIRHCFNVIMDDVLQAKCSRIKTLKLPYDSTDDYHFPVVSPIWSLGIESDSDDWFYGGPDYILDSDEYDDYCDPFRYLNGVYESELNAEDRMFLKGMRMLMKDSDDDDY >Et_4B_036894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12413942:12414774:1 gene:Et_4B_036894 transcript:Et_4B_036894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVPLFVVALLVVAITWLWDYTIMRLIWRPHTISKEFRKQGIHGPPYKFIKGCREDIKIMKEEADSLVLDVHNHNYLPRIAAHYLKWRSQYGEPFLYWFGPKPRICIFDYELARQILSSKSGHFVKNDPPPTL >Et_3A_024462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2137788:2141098:-1 gene:Et_3A_024462 transcript:Et_3A_024462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRAGNPLRYRSSVAVSRVRARAQQVLSARGVANLGVLLNEDAKRVEEHHGKDCQGPCCRHQSKASAFRLTLSSSQFALSRAFSSQAGANSGDKEDELEDGFSDLEVPPEAGNKDASLTSEDSSDEDTVDEIGLLDVKPEKEHLKKFEQSPLLKLMLDAPRTEVAKVLENWAKDGNTFDRGELYFTILNLRKRRWFVKALQLLEWVEKSKHIELGERDYASRVDLIAKVSGIHRAEQFIEKIPAAHRSEIVYRTLLANCVSGSNVRKSEQIFNKMKDLGFPVTVFACNQLLLLYKRVDKKKIADVLTLMEKENLKPTLFTYKLLVDTKGASGDIEGMEKVVESMQAEGIEPDLSFQSTIARHYIFNGGRQKAEAILESMEGDDIKGNRSACKMLLPLYAFLGKKDDVERIWKVCDDNNARIDECLSAIEAFGKLGDVEKAEQIFEDLFKNLKRLSTKIFNAMLKVYANQNLLEKGKELVKRMEDNDVRLLTPTLDALVKLYVNAGEVERADSILQKLSQKYNVRPQYSSYVMLLDTYSKKGDVHNSEKVFNKLRQVGYTGRIRQYQLLLHAYLHAKAPAYGFRERMKADNIFPNGALAALVAKTDPFGKKDSISELLD >Et_7A_051194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15375583:15397126:1 gene:Et_7A_051194 transcript:Et_7A_051194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFLRKFFPEVIQGKKNAKVNAYCKYDNQWLTAFTSSLFIAATLSSLVASRVTRRVGRQAIMLIGGMLFLAGSIINAAAVNIAMLIIGRMLLGFGLGFTLQAAPVYLSETAPAKWRGAFTSAYNAFLVIGILSAAITNYITNRIEWGWRVSLGLAAVPGAAVVLGALFVPDTPISLFMRGYPDRARSALQRIRGPGADVDAEFKDIIRAVDVARQNEEGAFRRLFSKEYRHCLAIGVALPVFYQFTGMIVISIFSPVLFRTVGFSSQKAILGSVINSMTNLAATLLASFVMDRTGRRFLFIVGGLGMMLCEVAISWIMAEHLGKHEGVTMPLNYATAVLVLICMCTFSFGVSWAPLRWVVPSEIYPVEVRSAGQAMSISITLCISFVELQVFIRLLCAMKYAVFLFFAGCLLTMTIFVAVILPETKGVPLEAMRSAWARHWYWRSARDYGEGITFSVVVTSLMAASCGLIWGYDSGVSGGVTQMESFLGKFFPEVLVGKKNAKVDVYCKYDNQWLTAFTSSLFIAGTLSSLGASRVTRRVGRQAIMLIGGVLFLAGSVINAAAVNIAILIIGRMLLGFGLGFTLQAAPVYLSETAPAKWRGALTSGYNAFVVIGILSATVTNYFTNRVAWGWRVSLGLAAVPGAVVVLGALFVPDTPISLVMRGDPDRARAALQRIRGPNADVDAEFKDILRAVDVARQNDEGAFRRLFSKEYRHYLVIGVAVPVFYQFTGMLVISVFSPVLFRTVGFSSQKAILGSVINSMTNLVSTLLASFVMDRVGRRFLYIVGGLGMMLCEVTISWIMAKHLGKHEGVTMPLNYATAVLVLICMCTFCFGLSWAPLRWVVPSEIYPVEVRSAGQAMSISITLCIAFVELQVFIALLCAMKYAVFLFFAAWLLAMTIFVALFLPETKGVPLEAMRSAWARHWYWRRFVNDAGNDNSHNLFRLDYSGGLTFSVVVTCLMAASCGLIYGYDNGVSGGVTQMESFLSKFFPQVLSGKKNAKTDAYCKYDNQWLTAFTSSLFIAGMLSSLVASRVTRRVGRQVVLLIGGILFLAGSIINACAVNIAMLIIGRMLLGFGVGFSLQAAPVYVSETAPAKWRGAFTSSFNAFAVFGTLCATITNYFTNRIPGWGWRVSLGLAAIPGTIIVVGALFVSDTPSSLVVRGHPDRARAALQRIRGPDADIDAEFKDIVRAVDEARQNDEGAFRRLFSKQYRHYLVVGVAIPVFFEFTGMIVIALFSPVLFRTVGFSSQKAILGSVINSTVNLFATVLSSFVMDRTGRRFLFIIGGLGMMLCEVAISWIMAVHLGKHEGITMPHNYATGVLVFIALLCAMKYAVFLFYAGWLLAMTIFIVLFLPETKGCGRDIGIGEGGFAAPAGGHAHDYGGGVTSSVVVTCLMAASCGLIFGYDIGVAGGVTQMESFLRKFFPEVLSGKKNAKRDAYCRYDDQLLTAFTSSLFIAATLSSLVAGRVTRTVGRQAVMLIGGALFLAGSAVNAGAVNIAMLIMGRMLLGFGVGFTAQAAPLYLAETSPARWRGAFTTAYNIFLVLGTLVATVTNYFTNRIPGWGWRLSLGLAAVPAAIVVAGAAFVPDTPSSMVLRGRPDAARASLQRIRGGADVEAEFKDIARAVDEARRNDEGAYARLRGEGYRHYLVMALAVPTFFDLTGVIVIAVFSPVLFRTIGFSSQHAVFGSVLLSVVNLAATSLSSFVVDRSGRRFLFFAGGAAMIICQVTMSWILADHLGTHQAMTRGYAVAVLVFMSLYTFSFGLSWGPLKWVIPSEIYPVEVRSAGQAVTVSVALCLSFAQTQVFVTLLCAMRYGIFLFYAGWVLLMTAFIAAFLPETKGVPLEAMRDVWARHWYWRRFVRDAKQEVQVNCL >Et_1B_010112.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:24575481:24576245:-1 gene:Et_1B_010112 transcript:Et_1B_010112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRLLPCRRALSALLHAPTPVPTPRAAAADPLGPFLRSFASATRRAGPSSRPRTVDIGARARQLQTRRLWSYALAFSCAAGFVVTVLATFQDQLVFYVTPTDALAKFAADPSKSRVRLGGLVLEGSVAHPTPGSPEMEFVVTDLVTDVLVRYDGALPDLFREGHSVVVEGFLKPFTDDVRRDDGRKVAEKARECSCFLRGTEVLAKHDEKYMPKEVGEAIERNKKRLEAEAAAAAAPEGALAVEADGAKASS >Et_6A_047691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9798140:9799317:1 gene:Et_6A_047691 transcript:Et_6A_047691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPALVQTRPIAPAARAWPTRHPPIPLTPARLVFITPLSLSPSTPRATHRETQATDRETTRHPPRSRERERESNREGSSADAVSPTTTMWSSDSEREGAVSSTTTTAAAVTSPSSPFDAPPTPPTHPRRRRHRARRRANRRAKNAEEPVEVAEAEDVWRGLQQRAGAAGWPRRASRPVVVAGEEEGPAGPLARAGSAESGGMGRARSLTDDDLEELKGCVDLGFGFSYHEIPELCGTLPALELCYSMSQRFLDEHQQLGKAEEAVAAPATAPASPAQPVATNWKISSPGDSPDEVKARLKYWAQAVACTVKLCS >Et_5A_040776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12188632:12196353:-1 gene:Et_5A_040776 transcript:Et_5A_040776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHWRRMCLGRIDESKASPSMKNKISRSLLCLVMVPESEETDRASSSNQEMVGGHLSCPRGNGGRPPFNDHILNSKKESATLWVKDNPLVGRESEMSELGKYVAKTRYNKHQVCMSVWGIAGVGKSHLVRHLYYNKMLKGKQFEMYSWVNVSQPFCLRDFSWRLLLGFQSESPQAKETMYRRLMGSKSPIQECRELLKNHRCLVIIDDLQSKEQWDSIRDNLVISPSDTLIIAINTESSIAAHCADSEELVLNVRGLRADMASELFKKVHGNQTELSSEIMQELILKCGGLPKVIVDIADLLNKKVVKRMDTARTLNERFIHRLENSPEFDSLRDLFAWMRTYFRTCPDYLKPCIFYMSIFPQDYNIRRRRLVRRWIAEGYSRDTDDKSGEDNGEDFFSKLLDLSIIQQPPQELVTTASYATRKAFCQVNGFIREYIVSRRMEENLVFELGDSSALTTQRIGRHLTIRECWIRDKIVFGSIDFSRLRSLTVFGDWESFFISKSMKLLRVLDLENASGVTDDDVEKMVKLLHRLKFLSLRGCSEIRRLPRSLGDLRQLQSLDVRQTSIVTLPVSITKLQQVQYIRAGRATNIKAEEPSMQCLSVACRSGHQGGVKIPKGIENLTALHTLGVVNIAASGGKDLLKELKELTQLRKLGVSGINKKNGRHFFSEISGLCHLESLFVHLDKGNADYLDGISLSKNLQSLKLYGSLHKLPEGIKELRMITKLDVEMVILQPRDINLLGKLPELSILRLKLVQGGQLKFSVIVNNVESSSYEKVSDLVIRCSSSLHVSFGRHTMKNLELLRTVCCTGSSVELSGLENRSNVKIQQYVNDQLAH >Et_5B_044220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21607975:21612462:-1 gene:Et_5B_044220 transcript:Et_5B_044220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPGDVPLTAASNIQPFFVLHKASTASSVPSSPGRRRIDASQPSSPNSKSAKRSRDFDAADEDRSELYERLRLKAFHRTWSRIQSTIDQAPIVISSWYCFVWILVDLHQEVLRGINLKLFDQVLHWVQESFSAIRAVAKPVHAEIQQPYPLLTDVVCRRILTAFVLTSEHLLSNVQFTIVSTAENGKNPSNSSKNVAEGLSELLKCLYEAGRHDKVQLLDIFCDAVNPDLQTQNASNRNSFSRMTSENLSEVGFLERAINTVRYLPMDTLSRVLDVCSIHLNGMSEISDKLKELQSATVGADSVRIAKEKLTRRWTSSIGNGKVPLNDKAAVLLHDDLVVLNSFQIRNSISNEITLASLSSNLSLGTMNEQTCLSLIFCKIHFIIFRHRKFFQASNFEIFILEIWCNLAQEYEQDSAELLLRSKSLAFFGCQAREGLILYRESRLLDLPSSGLAISAQVFVYAGAVWPLDDTSSPTVTS >Et_4B_036489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26645112:26646161:1 gene:Et_4B_036489 transcript:Et_4B_036489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGAAGDGAPVRSQVGGAKRSRGGGVRGSDAAAEAGLGAAASRICRMAGSKGKDRHSKVLTAKGIRDRRVRLSVQTAIQFYDLQDRLGFDQPSKAVEWLINAAAGAIDKLPALDPAAFAAFPAGDAKVKQQKSGGSSTSETSKGSELSLSQRSDGRGDREVTVASTSAQAASFTELLTGVAEHRQSAWQQPNASAAAADCVGVAHSEKGANNGPYIKFGNAPPFGMVPAQPFDFPASVEMPRFSLSPDAASAPAGDYNLNFSMSSGFLGAVRGTLQSNSQSSFSGHHHQQHQQQFLFGHVAAPASENQLTASAALQLWDGFRHSGVKEKSEN >Et_10A_001074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20908384:20909264:1 gene:Et_10A_001074 transcript:Et_10A_001074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVEPLIVGRVIGEVLDSFNPCVKMTVTYNSNKLVFNGHELYPSAVLSKPRVEVQGGDMRSLFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVISYESPKPSIGIHRFVFVLFKQKHRQTVIVPSFRDHFNTRHFAEENDLGLPVAAVYFNAQRETAARRR >Et_4A_031973.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7983268:7983600:-1 gene:Et_4A_031973 transcript:Et_4A_031973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSLASPRAASRKYLSASCTLFDVLQLVHRHPAVLHQLVQRHHLRLFEHRQALGRVHQLPTDVLDANVRNPPPHLVFVNPCPQRAKEICTGAPPPEEVLQGVRQCTQSTSS >Et_5B_045502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3187995:3190878:1 gene:Et_5B_045502 transcript:Et_5B_045502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFFSKFAPLVPRLRRLSTAAATAGGEDPKLSRIADELLALSPAELDDYAALLRLKLRLSLTSSAAAGASPGGAGDVASGSAGAEEAAAVKTAFDVKIEKYEAAAKIKIIKEVRAVTDLGLKEAKELVEKAPVVVRAGLPKEEAEALAAKLKAAGAAVALDLRVLHRLHLSAEMRGSHKLKKEFAGCGRTRNRSAIPDR >Et_3B_027454.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18982261:18986169:-1 gene:Et_3B_027454 transcript:Et_3B_027454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRLAAAAASAPIRQRHICAAAAAATTTTETSSASTPVPQPTEPLSAQFANFAAGATSAGNGLASSLRKLLASSPTDPRAFSLLRSAALDARLEPDALVDAVLSAANVASPAEASALLSRLLACLSRGRRDCAPAAAAYARMVARGVVPDARSRTDLLVFTARHASAKDALVLFDEMRGNGHDADARMYDVVMRACFKEGMHGDALRLFDEMAAAGIKPDERVYALAIAVLCKLHDADRALRVLQEVKEAGLMPWDTMHNYVVDVLVKAGRMEEALHVKNEMLAAGGKMNVVLATSLMHGYCLLGKVGKALDLFDEAVRDGVVPTDVTYLVLIRGCRAEGMTDKAYELCCQMRERGLLPNAFEFNLVIKGLMKDNRWEDAVRLFEEMVDTGLPNGFTYNSLILWLSQNHKFRKALELWEKMKEAGVKPSIVTYHNLLLGYCLRGCMDEAVKLYSEMFEKGFTPNSVTYATLMKGYIHKKDFDKAYALLDEMAQNGVSCNEYIYNTLINGLRKVGRIFEVDEMLKRFISEGFVPTTMTYNNIISGFAKAGVLSSAFDMYQQMCEKGIQPNLATYTSIIDGYCRTNCCDLALKLLNDLRRKGLRPDLPAYSAVINGFCQQGNMSHALQFLVVLLKDGFKPNVVVYNSFMAGYKSLKMMEEASKFYHTMIKDGVVADTATYTTLIDGFSKAGNISFASELYTEMLANGNIPDHKTFAALTHGFIRSGDIDGAKKWLDEMSRLDVHPNAVIYNMLINAYIRDGKLQEAFQLHDEMLEKGITPDDTTKELVSHNFLEAVSHSPADTENPI >Et_4A_032559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11370426:11372046:1 gene:Et_4A_032559 transcript:Et_4A_032559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYSGDRSSSSSRPTTTSFDSYQFDFGINSSRSGGSRPLRDQRPGAATGAAQRPGATAAWTHQPATSAKPAWTYQPSPAAAKAAAPGSGPTSMVGDIFGKSWSSAAPSSGLGIPQANNPGLFSDLLGPALGGTSRAQSNAPLRSAAPQASKPTGANPNAGASSFSMGGVASTLPKTTAAPMGSGGYGVGGRPMKPAGMASAAAAQPMGQKKDPFGSIDPFAAKPGSMNAAKQAGSVKPDQGFGAFQGVSSSSNSGFGSFQSADTGFGGLPPPPSGLTVSAAKSKGMESYKGGQYADAIKWLSWAVVLIEKSGKSADIVEVLSSRASSYKEVGEYKKAIADCTKVLEKDKENVSVLVQRALLYESTEKYRLGAEDLRLVLKIDPANRLARNTIHRLNKLAD >Et_1A_008111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4440866:4444319:-1 gene:Et_1A_008111 transcript:Et_1A_008111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEAAAEIVREIAAVGAADLAAAAEPLRADCLRLARKVSLLSHLVAEVVEAGPPTGDGDAAVAWLRDLVRALEAARRFVALGRAPPPQATGASDQDAICNNTAVQFKFVTWQLQDVLTNVPHSCFQISDEVQEEVDLVRAQLKREMEKKGAIDVNIFRDILAQIDNAGPQSQQPRDEPEPSQMKEFSKDHLELQNTIVLLVSEISGLSESDMTKVTSEIIEELENVIPPDSPKPANVDSQSSDDREGSSEKVKKPDSVTIPEDFRCPISLELMRDPVIVSTGQTYERAFIQRWIDCGNRTCPKTQQKLQNLTLTPNYVLRSVILQWCEEKGIEPPSRSKNDGSSLEVGGDRLAIEALVRHLSSSSLDERKSAAAEIRSLAKKSTDNRILLAESSAIPALILIGSTPGAIEALVELLQSGSARGRKDAATALYNLCIYQANKIRAVRAGILAPLIQMLQDSSRNGAIDEALTILSVLVSHHECKTAISKAHAIPFLIDLLRSGQARNKENAAAILLALCKKDAENLACIGRLGAQIPLTELAKTGTDRAKRKATSLLEHLSKLQDSLYHSKSIITS >Et_5B_045569.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:4652308:4653063:1 gene:Et_5B_045569 transcript:Et_5B_045569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVLVLALLLSGGGTSDAARRLDELPKPDLPPLPKPEEQPKPDVPPLPKPEEQPKPEKPPLPKPEEQPKPELPPLPKPEEKPKPELPPLPKPEEQPKKPEVPPLPKPEEQPKPELPPLPKPEEQPKPEMPPLPKPEDQPKPEMPPLPKPEEQPKPELPPLPKPEVQPKPEMPPLPKPEEQPKPELPPLPKPEVLPKSEEQPKPEMPPLPKPEEQPKPELPPLPKPEAQPKPELPPLPKPEMLKPAAPKP >Et_10B_002796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11285769:11287478:-1 gene:Et_10B_002796 transcript:Et_10B_002796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GQSHLVKMAPKTELFSAALGELVTRCISFLINKYFVTTGQSKEEILQQLERALLRVAMTIEEAEGRFITNQGMLRQLSLMREDMYKGYYMLDKFRYLGRGDWKDNDHNGSRSLTPSVTIPGKLTPFSGSSIHGKKELKQMLDCIQSVVSDMREFVTFLRNYPPMFRQPYSMDLLLEKCMLFRHKEMEQTIRFLLHRESTSAHDLGVLPIIGKGKVGKSTFVEHVGCDERVRDHFSQIVLFSGNKIIEDEEVRALKDGGIFKHNNSCQSEGKMLVIIELDGDVDEGSWKRLFSASQSCLPRGSKIIITSRSENIKEFGTTHALRLDFLPREACWYFFKVLVFGSTCPEDQPKMASLAMEIFEEYYSHNEAFSDFSGSFANSKNIASLLKADVSTLHWRKILAGVKETVKNNRLTFSHNQLDTRVEKRQFVISRISSVSFPSKKKSSVSQYFLVEERHRVAFADDEIPKINLDEVMLGSITPQGKFEVVWWRSHLPPYYSYIATCEIRDSRCMRERKSCTMSKKRKNLS >Et_3A_024304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19352731:19355125:1 gene:Et_3A_024304 transcript:Et_3A_024304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDRHKLSLSLSLSLSELNGMYLNPKIVGSGERTLVLSHGYGGSQAIWDKVLPHLSRRNKVFLFDWDFSGSADDVVEEGEHSYYTFSRFADELVALMDEMKLSGVVYIGHSMAGMIGCIASIKRPDLFTHLVLVGASPRYLNSEDYEGGFDAPDIEAMLARIALDFRGWAEGFVPLAVGSADPWALERLARSFFAMDPRVAHALARMIFLGDQREVLDRVAVPCTLVHVSHDFAAPPCVGRYMQARIHAAAVAMETIDSVGHFPQLVAPEKLLEILDFVLGADDDDAVVAGEMNGGEMGLADAAEVNSDIDVAT >Et_5B_043507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11290623:11292545:1 gene:Et_5B_043507 transcript:Et_5B_043507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYLYQSLLLSVLAVALLQLAKLALRPRTRLPPGPWQLPVIGSMHHLVNVLPHRALRELARVHGPLMMLRLGETPLVVASSRETARAVLKTHDANFATRPKLLAGGIVGYEWADILFSPSGDYWRKLRQLCAAEVLSPVRGGGPEPQARALLPPHQGGRGECKAGWPRQNNMQENTSVMLRVEEVRAAGPSTPVNLSEMFHALTNSIVARAAFGKKRSNAAEFIAAVKAGVRLSSGFCVPDLFPTWTPLLARLTGMKRSLQDIHKTVDSILQEIIDERKAIRDGKISSGAEENVVDENLVDVLIGLQEKGGFGFHLNNSRIKAIILDMFAGGTGTSGSAMEWAMSELMRNPTVMKKLQGQIREAFHGKARVTEGDLQASNLRYLKLVIKEALRLHPPAPLLAPHESIEACELDGYTIPARSRVLGVQEVDMAEAPGLGVRRRSPLMLCATPFHPVAATSTTN >Et_5A_040367.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:17575705:17575998:-1 gene:Et_5A_040367 transcript:Et_5A_040367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGAQAKQYDDCIDALAGEALACRDGLLFAERSGVAKLHLETDCQELVRLWEIKDTQRSSIMGLIKQMLEISHNFVSFKFSFANRVCNRVAHELAK >Et_8B_060261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8006207:8009465:-1 gene:Et_8B_060261 transcript:Et_8B_060261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VNQFQYPEMVHHSIDEATGNEANMTTPPPSYNPSEAPLMYKVGYPPRRNFTRELTDTLKETFFHDNPLRQYKDQSGPTKFMMGLQFLFPVFEWGRTYNFSKFKGDLIAGLTIASLCIPQDIGYSKLANLDPQYGLYSSFIPPLVYAAMGSSRDIAIGPVAVVSLLLGSLLQNEVDSNKNKEEYLRLAFTATFFAGITQAALGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKYVLGIRDFTKKTDIISVMESVWGSVHHGWNWQTIVIGVAFLTFLLFAKYIGKKNKKFFWVPAIAPITSVILATFFVYITRADKQGVQIVNHIKKGINQSSAHKIYFTGPFVAKGFKIGVVCGMIGLTEAVAIGRTFATLKDYQLDGNKEMVALGTMNVVGSMTSCYIATGSFSRSAVNFMAGCQTSVSNVVMSAVVLLTLLVITPLFKYTPNAILGSIIISAVIGLVDYEAAILIWKVDKMDFVACMGAFFGVIFASVEIGLLIAVSISFAKILLQVTRPRTVLLGNLPGTTIYRNTYQYPDAKHVPGVVIVRVDSAIYFSNSNYVRERILRWLTDEEDKAKEMGLARINFLILILSNPGSIVIEKLRASKLTEHIGNNHIFLTVADAVRFCSSKSMQEP >Et_6B_049889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16619374:16621170:1 gene:Et_6B_049889 transcript:Et_6B_049889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPVQPFVLDEQDTFENCEAVGCHFIQREVLESSPHLKDDCFRVSCKVTVIKLRAEASPVQFRAAPSTDLHCHLGDLLDSKMGADIKFKVEEETFSAHRSVLAARSSVFKADLFGSKREKKASCICINNMEARVFKAMLHFIYTDSLPEMEEGDSRVMAQHLLVAADRYNLNRLKTICEDMLCNFVDINTTATTLALAEQHGFHRLKEACFRFLKSPGNMKAVMETDGFEHLITSCPSILRKLLSEITSY >Et_2B_021265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28041891:28047807:1 gene:Et_2B_021265 transcript:Et_2B_021265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCGSRSTVFLRICFTSIDVGADSCRILGADFLFSLADSWQEDGAREVERWEGYVDWRNRPATKGRHGGMLAASFVLVVEVLENLAFLANASNLVTYLMGFMHYTPSQSATTVTNFMGTAFLLALLGGFLSDAFFTTYAIYLVSAFVEFMGLVILTIQARTPSLMPPQCAKSSPGCSPVSGAKKAMLFAGLYLTALGVGGIKGSLPSHGAEQFDEHDPRGRKGRSTFFNYFVFCLSCGALVAVTFVVWVEDNKGWQWGFGISTIAILLSIPVFVSGSALYRNKVPTGSPLTTIAKVVLAAGRGGRGARQSASNGAVIDRAPSPTGSTDMKDYSCSKPGDIDAAAAVAPPASPSRELAFLNRAVQPDAGIGGRLACTVQEVEDVKIVLMVLPIFFSTIMLNCCLAQLSTFSVEQAATMDTRVGSLRVPPASLPVFPVTFIIILAPVYDHILIPFARRVTGTEMGVSHLQRIGTGLVLSVVAMAVAAVVEVKRKNVAADAGMLDSPANKPLPITFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPPRMRSLATSLSWASLALGYYLSSVLVSIVNSATGRGGHQPWLHGASLNHYRLERFYWLMCVLSAVNYLFFLVLAIRYKYRNAGVIKE >Et_4B_038991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6769624:6771164:1 gene:Et_4B_038991 transcript:Et_4B_038991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVYGGLKGKLGVEDAPELQLNRIRITLSSKNVKNLEKGTNTWDRFEFRIHKRVIDLISSPDVVKQITSITIEPGVEVEVTIADV >Et_3A_023399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25535732:25536164:-1 gene:Et_3A_023399 transcript:Et_3A_023399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HRITSAFKSDQLTSNNCAVKRLLCYSIYLSLWNRRDRPPQVSLEKLAACSSSSSVGIVPGALPVRVDLNKVREAKRFAVLQAQHEGCLGSYTSFDSLFGNYLVPVIPSNDFFENIGKK >Et_5B_043962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18362645:18363407:1 gene:Et_5B_043962 transcript:Et_5B_043962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYLSGVIAPAGATTIAGSTGLQPRPCRRALHVTCQASSAAPLRRSACLRLGLAAATAVLLQPRDVAHAADGDDEPANNGWWLTEFPLPVPKIHNKEINNAETGTRSFLKNGIFMADIGPSFDAHAYRLRTTAFDLLALEDLLGKDASNYVNKYLRLKSTFMYYDFDKLITAAEDKAPYIDLANRLFDSFESLQQAVTAKDDQKISERYADTKVILQELMTRMA >Et_5B_043789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16103672:16104352:-1 gene:Et_5B_043789 transcript:Et_5B_043789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIVSPPRGATRRTRGSHMGTAPTPSSSSRWAASGARCAGPGRSTCSRRGTSTAAGCGRCLSGMPPSATPAVWPRSWRRRWRLAGRSVSTAAMGLQWQAMFSAGLDDRDDEDAVLLDDAREAVALSLKPNISDLFPALAAADLQARSSQQYKPFTGGLTDKTEI >Et_10B_003657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4409401:4414752:-1 gene:Et_10B_003657 transcript:Et_10B_003657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDVGTGWEETDDVSIMLCNNSDVFGLDSDDHGQDKLRMVKALSALPYHPVFPVSRDASSSSSSPYPRVVPQITDRFGSRFVQDKIATATPDEKAMVFVEIIPHVAELVTDAFANYVVQKLMEHGFPSHKRIITEFLIGNILRLSCDKHACRVIQTAIEIGDLDQKIRIAKELDGNIIRCIDDQNANHVVQKCIEYMPQQHIPFIYQNMYGHVVELSAHQYGCRVIQRVLEYCNDLSIQKIILSEIMEQIYWLAKDQYGNYVVQHLLQHGTRPLRSAIIKMFAGRVVGMSRGKCSSNVIEKCLIHGDYEEKQLIINEVLNSGGEPDALTVMVGDPFANYVVQKVMETCDDWQLQMILRRLKTHLRQLSGNAYGRHILACILGAAHPNWGAYTATS >Et_7B_056029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9775076:9776984:-1 gene:Et_7B_056029 transcript:Et_7B_056029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVPSILRYVVVNDLDLSCNQIGGSIPKWIWAGQTENVDVFKFNLSRNQFTDIDLPIANANIYYFDLSFNKLQGPIPIPNSPQFLDYSNNLFSSIPPSLMARLSSAFFLNLANNSLYGGIPTMLCNASNLQLLDMSYNYFSGHIPPCLVDGHLTILKLRQNQLEGTLPDDVKGGCVSQTIDLNGNKIEGKIPTSTSECNDLEVLDIGNNNFEGSFPSWTMKLPKLRVLVLRSNRFFGPVGEVPDDGDKNTTHLSSLQIIDLASNNFSGSLDSRWFQKLKAMMVASRSDAPLALENNLSVIVETKFKKLLE >Et_4A_031860.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24378556:24378945:-1 gene:Et_4A_031860 transcript:Et_4A_031860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVKGRRRRSRRECSNARGPPATATGSGHGRGVLATLVLGLFGRRNGMRTSRVRDLPRGGSASAPGSSHGGGSSVAAAAVGKLLDPPLPVVRRPARADDAPSLWERRRGGGKGLHGLRLTYIETETSS >Et_1B_010647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12488117:12494276:-1 gene:Et_1B_010647 transcript:Et_1B_010647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQHETSDAEALVSAASRNLSTSSSAFVSANQSPYFTPRSLSARVPEHGDPENKCSTNGIVLKISDILSNDSLIQQGQQPSASAGILPSDASPISLCTSSNFGTPAIVYNNPSFISTYNGPCQGSSSTTSNGDRLARKEKQKRLGGVYRKTSSSQPTTSAASVSRLRSYDVYIGFHGRKASLLRFTNWLRAELEVHGISCFASDRSRCRNSHSHDAVERIMNASTYGIVILTKKSFGNPYTIEELRNFFGKKNLIPIFFDLGVADCLARDIIEKRGELWEKHGGELWMLYGGMEQEWIESVDALSRVLDVQLEANDGNWRDCILQAVILLAKKLGRRSVVDRVNRWRGRMAKEEFPFPRNDDFVGRKKELSELELILFGDVTGDGEREYFELKTKQRRKGPVVRRSANNPEHVNTDDSKGKEPILWKETEKDIEMQRLGSPSRHGRPLRMKNGVRYGRKKRFRKILYGKGIACVSGEPGIGKTDLVLEFAYRNFQRYKMVLWVRGESRYIRQNYLALRTFLEVDLSVDSHLHEKGSDRCFEEQEEEAIAKIRQELMRDIPYLVIIDNLENEKDWWDKRVIMDLLPHFGGETHFIITTRLPRVLNLEPMKLSYLSGAEAMTLMKGGMKDYPLVEIDALKTIEEKLGRLTLGLSIVGAILSELPITPTRLLDTLNRTPPVRDFSCNEREVLSLKNHEILVRLLDVCLSIFDHADGPRSLASRMVQVCGWFAPSAVPIHMLALAAHKVPKKHRRGPRWRKWWRTLTCGLAASRMKRSEAEAGAMLMRFGIARCSSKPETVQFHDLIRLYARKRGGTRTAQAVVQSVYLQGSIKYSSDHLWASCFMVFGFGSDPLLVELRPSELILFVKQIVVPLAIHTFITYSRCNAALELLRLCTDAIERAAESMLAQAGKWREASYSCFRPAQSEAQYTYLWQELALLKASVLETRAKLMLRGGQYDIGDDLIRKAIFIRTSICGEQHPDTVSARETLSKLTRLLTTVQLTMLMSKQFSLDLGTDCLLCFLMSRSNLSLDHWIKECIIKDST >Et_2B_019487.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:7126016:7126399:1 gene:Et_2B_019487 transcript:Et_2B_019487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHSLTHTPTHTYTPTHTSSPTTTRSVNKDCVLSEIAKDHQALARDEKRHILFVSPRMERHLQDYYANTAMNSATTGTVHCNRARGCRASNPGWRSATLCHWPFARESAGSSRDFGIIGYLSTSAP >Et_5A_040888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14248360:14251132:1 gene:Et_5A_040888 transcript:Et_5A_040888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGMEYSRAAMARPHAAGDWVQASRSKSGRRRRGLARRKKLSARARKKKPMAGAQRRSALKPGGFLLRLTASNGICGWVNVRAWFALSRKLRKARERLQQLSAAKDLYGIRQAEGPALPVATISSRILADSAHFVKKEEIVGFDGHARELLEWVAKDTEPRRTLVSVCGMGGVGKTTLITRVYKEVATSHFECAAWVAVSQVFTVDDLLSKILKELRRGSRARSTDGESNTDTDYRSLMEAVQGHLAERMYLVVLDDVWDAHLGLSNTGPEAWTLFCNVTFRDVPGQMCPSHLEKIATSMLKRCQGLPLAIVSVSNLLALKERTEFAWQKATDNLVWDKCSSDLGIGEAASILNLSIDDLPHHLKKCFLSCSAYPEDMWIKRKILIRKWVAQGFVEEKPGQCTAEDVADEYLDQLVQRSLMQPVVRNEFGRAKRCLIHDLIRELIIHRSKEEEALFQFKVTLDSNVRIRHLSVDRCEQVDHKHVPKLPLLRSFNAHGSEMDASFPSHFRLLTVLILWFIEMKKLPDSVTSLHNLRYLGIRSTLIEELPKNMGKLQKLQVLDTKLSMVQRLPSSVTKLKSLRHLIVLTRESTDFLKPYPGRAVGVPERLENLSSLQTLKYVQVHEKMITSLARLEQMRSLELSNVSASLVHDLSLSISRMNCLLRLGMGIEAGADAVLDLESISPPPLKLQKLALTGRLARGTLPTWTSSLASLVQLRLCGSQIAQDSLLLLGALPNLVNLSLITAYHERNMIFAEGSFPTLRKLSLEDLPNLHHIKFQEGCLGNLRNLVLGLCSELTNTPQGMEKLTHIENLELFGMPSEFVDKLKIQNGDVGYHNPASSDFYQATRILRFVRFVKTKGEDGS >Et_2A_014773.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:13753211:13753360:1 gene:Et_2A_014773 transcript:Et_2A_014773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRRPSTVNLLQLPHSSLICTVCTGLTSSTSTSLHYGACMCFRPFQNF >Et_3B_031485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31329652:31332983:1 gene:Et_3B_031485 transcript:Et_3B_031485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFVCFGSAQDGEAKKPGADAKDARKGAPPDRVVSRVGSDKSRSQGVSDSKKDIVIHRDGSSQNIAAQTFTFRELAGATKNFRQDCLLGEGGFGRVYKGRLENGQNSTCQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHTNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPQGEQNLVAWARPLFKDRRKFPKMADPMLQGRFPMRGLYQALAVAAMCLQEQAATRPHIGDVVTALSYLASQTYDPNAPVQHSRSNSSTPRARNPAGWNDDPRSVRSPNHPSPDLRRREAARSSKYGAEVSRTSSASDSGRRSGFDDMDMTGSQVGSPALGRRRETPRTADRQRAIAEARMWGENSRERSNGHGSFDSTNE >Et_4A_032666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12350200:12351709:-1 gene:Et_4A_032666 transcript:Et_4A_032666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIAKPTLRVAAISGSIRKASWHGGLIRAAAEVCDDSIPGLHIDILDIADLPMLNSDLITTDGGASCFPPMVEEFRAKVLQADCFLFASPEYNYSITGPLKNALDWASIGVNCWADRPAAIVCAGGNFGGGRSSYHLRQIGVFLDIHFINKPELFVFSFEDPPKFFDSDGNLIDEETRARLKKVLLSLQAFTLRLQKKY >Et_2A_018659.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4456200:4457069:1 gene:Et_2A_018659 transcript:Et_2A_018659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRVPGIVVLVLGAGALGGVDALRLLLAFAGRNPAVDIAICLLVMAMVAAQLLGAVTLVRFVRKARPGAPGVPAVNIFDRVTLVLSMGVGFLVTACLVVVPLVTSGGVGSVRLLVAVLGGVGAAASAAAFLCKRPLLRVFLDAGNAGATDPVARATGRLVTAFRRKVCNLTGPSLAAAGLVLVISFAAHGGRDAPRLLFPGFTNKNTLAGVAIVGIPLLVRFSRSAAGNAAGGAAPGRATNAGSFGKLTRVASLVIVAFSALICLLVNEYAPPTQRAPECANYPCSV >Et_1B_011676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24921119:24925212:1 gene:Et_1B_011676 transcript:Et_1B_011676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGGAAAGEGTRSLDQTPTWAVAAVCAVIVAASILLEGLLHHLGKLLTKRRKMALFEALEKLMTLGFISLLLTVMGRYIARICIPEGAANHMLPCPLSSRGTEAEEPQGHGRRHLSEDPTNTFVCPKGMVSLVSTDALHQLHIFVFFLAVFHVAFSALTMSLGRAKTRIWKVWEKETSSLTYEFVNDPSKFRLTHQTSFVRQHASCWSKSTILLYVVSFFRQFFRSVRRIDYLTLRHGFIAAHLSPGTRFNFRKYIKRSLEDDFKTVVGISPPLWASALAVMLFNVHGWQNLFWFSAIPLVVILAVGTKLQAIIAMMAIEITERHTVIQGMPVVKLSDDHFWFGKPRLVLQLIHFASFQNAFEITYFFWIWYEFGLWSCFHKNFRLIMARVCLGAVVQFMCSYITLPLYALVSQMGSQMKTTIFDEQTAKALKKWHKAVKKKQHKESLQDPSETPSMDTNTTTTEASQRQHEVPVRLLHRYKTIAHVGATRTLSDSGGSDTEDADPLTSSQTRHLIPPTKQRSLDAGRAEVRVDVEAMPRGGMQDSFTFPRLPGRGVPDN >Et_7A_052276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6466684:6469134:1 gene:Et_7A_052276 transcript:Et_7A_052276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKIAGESSGMKTKQLKVAVIHPDLGIGGAERLIVDAACQLAGHGHDVHVFTSHHDKNRCFEETVSGPFRVTVYGDFLPRHLFYQFHAICAYLRCIFVALCVLLWWPSFDVILVDQVSVVIPLLKLKSSSKIIFYCHFPDLLLAQHTTMLRRLYRKPIDMIEEATTGMADLILVNSKFTAATFARTFCGLHAKGIEPGVLYPAVSVEQFHEPHAYKLNFLSINRFERKKNLDLAISAFALLRSVTSTLPGDALQEATLTVAGGYDKRLRENVEYLEELKRLAVDEGVSGQVKFVTSCSTSERNELLSDCLCVLYTPKDEHFGIVPLEAMAAHKPVIACNSGGPVETVVNEETGFLCDPSPTEFSKAMLKLVNDHDLAVNMGKQARNHVVQKFSTKTFGVLLNSYVLNVYHQRIE >Et_6B_050088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5945459:5946710:-1 gene:Et_6B_050088 transcript:Et_6B_050088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEEKAPCSSCQDGQTALAVRLLKRFASREPSNAGSRNLVFSPLSIHAALALAAAGARGRTLDELLAVLGAPSLDALAAFVGRAAGHVLADRSGSGGPAVAFACGAWCDASRPIRPAYRGAVVESVDKIVHASGIEHTIVILANAIYFKGQWREAFDRKHTKDSEFHLPNGSSVAVPFMQSWKDQLVACHDGFKVLQMRYKMQDDGDNFRCWESDDDDSATASDMEKEEVPVFSMCVFLPDARDALGGLVDQITSDKGFLFDHLPKRRVPVGEFRLPRFKLSSSGDISKVLVELGLRLPFDRNEADLSGMVEGNNTDDPVFLRGVLHEAVMEVKEEGSRAAAVTVMHMISIGCPASYREPPKRVDFVADHPFAFFIVEETSGTILFAGLVNDPSQDK >Et_2B_018992.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22165226:22166205:-1 gene:Et_2B_018992 transcript:Et_2B_018992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYEEAWEFFVFLLSDWFLVSLVCKYATRWCQRWRRTFAWSVRGILFARSLMHRPGTSIAQFSVLRFCGVTMPAQIPAVRLPILLPSVPAPDQVKRSVTEHLVTKSNATTGLSNGRSALAGLQYADLVPFCDCDSVAEVILTWHVATCLLEVEHPPPQSSSNNVVATSLSKYGAYLVAFHPELLPDNQDSTERVFKAMQAELYGLLGFWGYYFSPCTLARYRKITAAASAGEPAGQDQEEEEAAAPGARSTKVVKKGAALAKMLASKAARSGAAEDVWSVLADLWVELVVYVAPSGDEECVEGHKNALAKGGEFVTV >Et_1A_005267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36872282:36872638:1 gene:Et_1A_005267 transcript:Et_1A_005267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACAGRGGFAPGHMQASFSRRRGDDDDVSELVRAMSQRQAVSSSSVPPVRSRSVAVGRIDEDAPCEFGADDVVLVPRPAGVRRARSVAVASAGLAARAAGFKTAVHR >Et_7B_054641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3054233:3059100:-1 gene:Et_7B_054641 transcript:Et_7B_054641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKHAPAFTPEAASASASGGAYDRHNLPALQAKMKRDPEGYEEELIQLHRHFDSSVSLFQKQAALATTSSSGGGGGGEVAKELGDLALFLAHVAPFYPDALHELPNQIGGLLDTNARGLPQGLREHLVQAMILMVNRKIVDLEDTVDLFLELQVIGDRAVKKLAFSHIVHSIRRMNQKSKNESKNRKLQNSLFKFLQAEEESRAKRAFTILCDLHRRRVWFDERTTNAICEACFHPSSRIMIAAISFLLGYENAEHDDDSDASSSEDEASQNPQVLLSKEDVYKANHKGTAASKKKKKAKLQRVIRSMKRQQRKSAEATCPSYYSPLTYLKDPRVLLRSFSLGCRNAMSDLRMMMLKVIARTIGLHHLVLLNFYPYLQRYVQPHQRDVTTLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGLNVVREICMRMPLMMNEDLLQDLVLYKKSHEKAVSIAARSLVTLFREICPSLLVKKDRGRPVDPKAQPKAFGEVTVASNVPGAELLNENISSEGEGEGSDADLRALKRFAGAKKAEVSSGETDKILSDEDFKRIKELKTGGLSNKQKQHKKRMPLAATRAKAARSRQEKKQQRKRSGNQFRGRKAWK >Et_6A_046664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19165606:19172161:1 gene:Et_6A_046664 transcript:Et_6A_046664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLPDTDMPSSSGEMSATDRIKDLPDDLLLHVMFFLTLQEAVQTCLLSRRWKNVWASLMWLNFDAAKFSSMKAFKKFVDNLLQYRNSLPAPVPLDAFWISAVCNNSNDSLDYSDIHPWIRHALDSNARALGIPEHRGPRPLSIEGYPFPFTSVHLKLLGLCHFKVDDSFVENLSSCCPVLEDLELKSCAIHITLFYSFSLNSLTITSTKEDRDFPEEFRFLGIYTPNLVVPKVLQRDLPRCVTFSNLKRLHLGEWFLSRGCYPLIYLLQRSPEMQKLILQLDKARYTIIGAEDYGNRVAFPNADAEIDPREEAQPTFSCEKLRSIRIHCPPSDERAQIIVRILSARLSPLPSAELGSGQPRLRPPLAMRKILQPYPTSFSLRRRESEAAATEKSCCWRRDTLAFLLVALLQACAKFNDRSPVQT >Et_1B_009822.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2993522:2994817:1 gene:Et_1B_009822 transcript:Et_1B_009822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFTVTTTDYKRLAAWNTLHKSQVNALRNLPQGGARRGVRVHERRDQVLPPVRPVRVVTGPAGLVDEQGPRVAGVRALAIHPGARRGGLQRRVGGALLEEAVAERVGDRAGDHGGQRDDHAVDADVAVGRRERRRLRAVRGLVERPRALARALRRRVADPHVREPPRPGPRRRAVRAAPAHAEPVHGDVVGREHQVDGAARRAAGGQLEEVPVGEALAEGVGLVEDVRADVERREPRLGGVGVVLARRLEPGGDRWLRLRRGGGQRGDEVGDHRALRRGELAVPDEVDGDAAGEERALVVLHGDGRLLDQRGELPRREAGVEQQRERQQRRRHGGCRRGRSHWLRSLFSFTGYWFQLVLACGLVVWVGERRERDFIDGARWRVETECAERVRR >Et_4B_037786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23373629:23376261:1 gene:Et_4B_037786 transcript:Et_4B_037786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVALALRISVPRVSTNRFFSCAFLLYIVGLTDGTNQLMGKAWDWNMYGTKLKKFDGWVLDTANEDGERCEDDAQLPRRIVGMTLRMEAVVLSPRRIAMMKFCHQA >Et_2A_017429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34279859:34280841:1 gene:Et_2A_017429 transcript:Et_2A_017429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIVTEAWALAGCGAASKTAAQEAPVQQHPPAAATAKKAASFKGISTIRCQDRREGAVVGRRSGLASCVLAALAASFSPISADRTARAAVLEADDDIELLERVKEDRKKRLQKQGIISSSGTETGYLQDLIYKLSKVGQAIEKDDLPAAGSVLGPSSDAQWVQNVNVAFSKFSSSPEERDMVDSFNSSLASLITSVNKSDAESSKSAFVSSATTLEKWIALAGLSGQLKGY >Et_9B_065428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4952479:4953386:-1 gene:Et_9B_065428 transcript:Et_9B_065428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFQGLLLLQEDKGKEEEEDPVTTRRYNWLRKMRGWLMVLATEAALDNAPDGSHHAGDPALHDEHHLRYLIFYYLSEAKLLALMLTTIVDLASLVGAYIAGTTRILFCRVMVDICFFCVVTFPCMERMAQRGWCPIPKSVVERAKEMKARMQRHRVERRRNTGTCCSCCAPPDVAA >Et_5A_040425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21049993:21051096:-1 gene:Et_5A_040425 transcript:Et_5A_040425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVISLGPPAVFNLVSIDTGSTLSWVQCRPCQIMCKTFAAEAGPTFDPRNSTTYQRVSCSSQDCAGVHELIGIPFGCKEETDTCLYRLRYGSGPLAQFSVGKLGKDRLRLTVAADDDDVVDDFVFGCSEDVKYEGLEAGIVGLGNDRFSFLNQVAASRQTNAFSYCFPGDHGARADGLAFTNLVFGYGRDGRSFVYSIQQLDMMVDGRRLDVPPRVYTSQMMIVDSGTELTFLLAPVFDALDKAVTAAMTAKGYTRYRLMISDEVCYGLSTPTTDDTVDWSDLPTVEMVFTGTTVKVPPYNVFDLHFVDTERLLCLPFQPRDAGVNGIQILGNKVTRSFKLVFDLQARMLGFQPDAC >Et_1B_012846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35502593:35513018:-1 gene:Et_1B_012846 transcript:Et_1B_012846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILVAPSAPFPPWPPTPAPPSLRSLAAPVANPVDKLPLLPAFLQVPPPSPPTSLRFHLHYSTTDCQNRPEASSRSTSKSFNYFVTKGIKNIVPANNRIQATNDPNIYLRHTRDHVIGYIPIMLRSRTCILNGKDEAELARLGQPFLLPKVSALLILGVTLLSKELRRLTASVTSSTHEIKSKTTIVMDKGKVYLQMNQFTKPIPIMVVMKALGMETDQEVMQMVGRDPRYRDLLFSSIQECATERIHTQQQALQYMDEKEGRSKSILHGVFIAHVPVTDGNFEPKCIYKADYVGNKRLELSGQLISLLFEDLFRSMNSEAVNEMNKCSENFVPVLQTLARLSYMASLGYMTRITPQFEKTRKPSGPRALQPSQWGMLCPCDTPEGEACGLTKNLALLTHVTADEEEGPLMDLCYDLGVQLLHSGEEIHAPGSYLVMLNGSILGKHSEPQKFADDLRMLRRSGNIGEFVSIYKNDKQNCIHIASDSGRVCRPLIIADKGISMVKEKHMKELRNKALIEYLDVNEENNALITLYEHVDQGDIERSSITHIEIEPLSILGVVSRLIPYPHHNQSPRNTYQARVQIIGIHPILFRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNAIVAVMGYSGYDIEDAIVMNKSSLDRGFGRCIALKKYTVLQEKNENVGSDRIIKPLRDKHGVLVKKSMRVLDEDGIAAPGQIIRNHDIYVNKQTPKNTNGSDSVAPSGGPAAVLGDNRLIVFVDVNANQVCRKCGLLGYYNHKLKTLCCSMCKNGPLKKKKVQKREKYGQDKAAICLQAFVPGTAEMG >Et_1A_007735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37706877:37712335:1 gene:Et_1A_007735 transcript:Et_1A_007735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGRPPMERHQSIDAQLRLLAPGKVSEDDKLVEYDALLVDRFLDILQDLHGSHLREFIAHRRRIKLKRGDFADEASAPTESDIEETLKRLVSQLGKSREEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLRQLYAKDITADDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRIDTALKNIGINERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCSDELRVRADELHRSSRKAAKHYIEFWKQVPPNEPYRVILGDVRDKLYYTRERSRHLLTTGISEIPEEATFTNVEQFLEPLELCYRSLCACGDKPIADGSLLDFLRQVSTFGLALVKLDIRQESDRHTDVLDAITTHLGIGSYAEWSEEKRQDWLLSELRGKRPLFGSDLPQTEEVADVLGTFHVLAELPADCFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAAVARLFSIDWYMNRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEELIKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEHSFGEELLCFRTLQRYTAATLEHGMHPPISPKPEWRALMDEMAVVATKEYRSIVFQEPRFVEYFRSATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHIMQKDIRNIHTLKEMYNEWPFFRVTLDLLEMVFAKGDPGIAAVYDKLLVAEDLQSFGEQLRKNYEDTKELLLQVAGHKDVLEGDPYLKQRLRLRESYITTLNVCQAYTLKRIRDPSFQVSPQPALSKEFADEKQPAELVQLNTESEYAPGLEDTLILTMKGIAAGMQNTG >Et_3B_028918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20392112:20396247:1 gene:Et_3B_028918 transcript:Et_3B_028918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IPSISIRSLPHSCTAPSHFSPSLLEPRSHPPPQTPIPGSTMRPSMMRSAAELLRRRSYSSASGQPERKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIAGTPGVAADVSHINSPALVKGFAGEDQLGEALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKSLATAIAKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKRLFGVTTLDVVRAKTFYAGKANVPVTDVNVPVVGGHAGITILPLFSQATPATNALSHEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACLKGLNGVPDIVECSYVQSTVTELPFFASKVKLGKNGVEEVLGLGELSEFEKEGLENLKGELKSSIEKGIKFANQN >Et_6B_049389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4268730:4271452:1 gene:Et_6B_049389 transcript:Et_6B_049389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRVAAALDLETGGQVMPWGGSRLDTTINTAAHVLWLAFSALHLFHYSIKDLLTSVYRRWKWRNIDYSLPIDPDVAVRLVREHQPSFSELKALDKLLATECLEYYNARNPGFEYVLASGNVEQFTATNCTGAWTHGNFVARLRRKGCFSFLYGPPTLFFFELNDSPCNGGIVTCAILGMHVAFEILLQENHSHASIEMWNLSVGCVLTIRVFCIPFQESSSLGIKKMPSLATFVHVYSPSSVRRLITPPRCLLVKYASQLGHQNSTATNLRIIK >Et_4A_034652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5106283:5108732:-1 gene:Et_4A_034652 transcript:Et_4A_034652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRLDTPAMKRTSDWILSQEFLSQEFPSDITIQVGDSTFNLHKLPLASRCGYIRKQVSAGVNGSKAAAVTHLEITGMPGGARAFELVVKFCYGENLEITEDNVAALRCAAERLEMTDDAIVAGNLVGRTEAYLEAVALASLAGAVAVLRKAEELLPWAEQVGLVARCVDAIARITCSDSQFSMSLGATADGVSLSPPKAVDDWWADGLTDLRIDTFQRVLIAMKARGFKGVALGTLIMLYAQKSLRKLNIMHGGEMKKMDPRQEHEKRVVLETIAHPHLSEVERKNVCRRENEELKMEILRLKMRLRDASSGGVPASGRPPLPKKAGAGFVNSVSKKLGRLNPFTRLDNAMGGGRVRAETPKDRRHSIS >Et_10A_000287.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21406985:21407110:1 gene:Et_10A_000287 transcript:Et_10A_000287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATRLEAQDFFHVPASSRALLALPMPTVGETAEQGCALCG >Et_1A_004511.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:11413902:11414267:1 gene:Et_1A_004511 transcript:Et_1A_004511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPASAITRPVLTRIKKVRMSALAVMWCSAISFPPYQKLRAHVHVMRHMEVLRTRPANHDCRILSLCGPSCDLSKRLRIRSCDEKAVIVQMFETASAASLLLSDCASLDFLDIFFSKTRRA >Et_2A_014974.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24281431:24281700:-1 gene:Et_2A_014974 transcript:Et_2A_014974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDCLAVVNVLNSRQQDRSRLSLLMAEVKSLVVEFKEVEIKHYRRGQNRVAHTLASHACVACTCVVWIRHVPSQFSHLIDADCNSILI >Et_7B_053326.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8144347:8144982:-1 gene:Et_7B_053326 transcript:Et_7B_053326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALAPRVDEHRRGHAEGGDAVAAAAELAGHVLGEPHQGVLGRRVRVRAHPAHHASDAGHGHDAAASSASASVRRQHGARRVLHAVGGAQRVHAQHALEPGRVLAAPVCCRPSTPALLQKTSRRPCRATARRTARSTSASAVTSQCTKLHASAAARASPTASSMSAITTRAPCLTNIRTIASPMPRAPPVTMATFPSSLHYTIDRFDNVFERN >Et_1B_010899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15221803:15251962:1 gene:Et_1B_010899 transcript:Et_1B_010899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGSFSTAAALERLLARCPALRAEPRLLALASAAAPARDDVAAALAEPLLHPRYTIPVLGCFLPLARDLVDRAVALLRPAGPALHADDAARWEEEAGEADVRVVEFYLSRGRGLRLHEVACLALARALDLAPYLLRSVMSYFKSSPPPFQRLQCEGFSSRIPSKELHLLLDAAQVSYRFLGLEPRIFCEQWDWSCFLDIVYSSADCSLVDNSLYSVGLDLRWCTIQILLVVLKASDMAVESFGLGANEAFTCYLRWKEFCMDTSIEKASLYLQNEDMNSKNSVDGFTSLADCLSDWPEVATGRASNMGSYACPFVLTATLKKSYEVALMAVSQKWPILLYGPVGAGKTALINKLAQIGGNRVLFIHMDEQMDGRTLIGSYVCTEKPGEFKWAPGSLTQAIVKGFWIVFEDIDKAPSDVQSILQPLLEGSSSFSVGHAEAVEVSESFRLFGTVTTSKNDVSHALEGRLTFSALWRKVLVGEPNRSDLVNIIKGCYPSLDPISSKLIDTFEKVNSLVSNQFGGLNLAGTLSDGVLHRFSLRDLLKWCKRILGVDLNVEGLGFASSSCKLIYHEARHTELHVGRVTLQCSDKPVLNQKGPFADIRRALEVLERVACSIKFNEPILLVGETGTGKTTTVQNLAAWLKQPLTVVNLSQQSDISDLLGGFKPTDARSICFPLYMEFKDLFCRSFSGKDNEAILRQFDMFVMQKKWKKLLRALAKCVEKAQKLIEGRSKSCIGSKRKRPLPEQVISDWDTFASRLNAACSQIGSATGMSFRFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGERGTLCLAERGDVDYIDRHPCFRMFACMNPATDAGKRELPYTFRSRFTEYFVDDLMDDDDLRLFISKYLDGLNVANGVTDSIVRFYKVAKKESEVRLQDGANQKPQFSLRSLSRALGYIKNAEKKFGFRKALYDGFCMFFLTMLDAPSAKIIKNSIVSILLDGRVPPSISFVDYFIEKPMQLDGCESDEFLRSYVLTKSVTEHIVNLARAVYIKRYPVLLQGPTSSGKTSLVRYLAAKTGHEFVRINNHEHTDLQEYLGTYVTDSQGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIPAHPNFMLFATQNPPMLYGGRKMLSRAFRNRFIEVHVDEIPEDELITILEQRCRIAPSYAAKMVQVMKDLQMHRQNSRVFAGKHGFITPRDLFRWANRYRKFEGKSYEDLAKDGYLLLAERLRDDNEKAVVQEALERHLRVKLNVTELYNSEVTRDDNLSLDAIRLRVQECFGNITWTKSMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGLKLHILNCHQYTETSDFIGGFCPIRDRSRIALEFKHLVARIRQMKVFVHVARDMPLETDISGANSIMGHLNEMLERYKKEKHLFPEVSTQDLDAMEEIKLDLMHLHKRWQAIFLWQDGPLVQAMKNGDLFLIDEISLADDSVLERLNSVLEPERKLSLAEKGGSVLEKIVAHPNFFILATMNPGGDYGKKELSPALRNRFTELWVPSVTDVDELKSITLGRFTKPELSCYGDCIVSFWSWFNQLHIGRMLTIRDLLSWISFVDVTEQKLGPQQALVHGLFLILLDGLSLGLNVSKTDAAELRSTCLSFLLEQVQKVEGKTLDSSLNDLSSYGWGDNIRKLDMDHDYLEDHFGIAPFYIAKGHFACKQQNFEIMAPTTSKNVMRVLRGMQLPKPILLEGSPGVGKTSLIVALAGFSGHDVVRINLSEQTDMMDLLGSDLPAEGANGMEFSWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGQTYKCPPSFRIFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELSEEDYLFICKSRFYPLISESLLRNLIHFNNRLYMDTMIHRKYGQEGSPWEFNLRDIIRSCEMISHSPDISNDDCFLNTVYLQRMRTVGDRHEVIKLFEEVFQKKPSIYQSKMLHVNRHYLTVGSASIVRNNFQSCKAQNNQLNIFPGSLHSLEAVMHCIHQGWLCILVGQNSSGKTSLVRLLAQLSGNTLNELNLTSATDVSELLGCFEQYNFFRHYKTVVSQVEHYVDVYFRMGMDMKWKNLILERKGLFAKWFEFVAAKKYSSLSTSTFIEMTRNASVPSLCLVAEIIEQIKYDMEMFDLPISLTKDDLSKTLKSINNLQQNGSAHQPVKFEWVAGDLIKAIEGGEWIVLDNANFCNPTVLDRINSLVEQERSIVVNECGLVDGNPVVLKAHPKFRMFLTVNAKYGEVSRAMRNRGVEVFIMDQHWNMDGSSNAPDGSERKDVIRFLISCGIPRLELISSMSEAHMYAKAAGLCLGINITLLEITRWVQLFQQLLIKGNQFLWSLHLSWEHTYLPSLGEVNGSDIVEEGKLRFLTKFDGSDIVDERKIRFLTGFDGSTGLHSGFSLSLPGGWPVEQKLRDFIWYSKETCIRRNCMYLQSLGAQYAAYQISSLKDNSSSLGPISNIHPAILPATSLCELQFPTFSGQRVKTRSFDSDLAVQMLFFAANWVMEQSTENDLELYATWFKWYNCLVQPYCNFFESYMCILKQEMEHPIWQSMLECYREIIAYHKINIVTQDIPLLSKKLLDMAGCVALKACDSRLRNARSGLNLLRLTLQQWQLETNYPDYAVLKTELLPALKSLRCLEGEVLKMVVKSRKLLHIYSRLLDYHRSVWKMMTSSQFDGLPVVWNLLRKEILKLQPKFPVEVGIFLMESVNLSNLQEFIFQYGKPTLWVYGGHPLLPSSGGIFYKLQEIWAFSAAVWPRRNLLNSHLDDKQLLTHVMLSANQDLRRLAMEGISMASLVASKSEEDVSTVVAELEEVLKRLARKVDCEHGNLALLFKTSTTEIKLCCSVSSDTLCNIHGFKGWLASLPLLNLKSLNLDTLLLQQLSKCSQKDSSEAHKIIVNSEYLLKYAMDYSLESSSRSPLEYIQHQIIWWIRQAWATVDNVHVKVATAILEMWYNYHSSLWTYCSGSPKGLYSVTHDETCDLVHLTKKDAINTIMQQDLCVVDYLKNCLMLRISSRNLWEGVSYVGNLVGNFHSAADSLFKQIIVVHKKHFKPEDYSRIEAILFQQTRHYLEKEDLDTVSALLSSSSHGVLASLSGPEKLVEFLLMDLYSPYSRDSLLHTGAAWVHIGELRFRLLLSSYSPDPAFESAYKHSHICEKISLVELEGKVRHDCEELAGFTSAEDSNGQKLLQELQTQEKDLRSKVVFRPQQSKHKSVVAACIEFEDRLSDCKDLLAKLNCKEVGQLEVDRVCNWQITSMNFIKRLTEEYGEYVDLIQPIQVAVYEMKLGLAIALAGSLQREYLKKIKEDDIKRVLTGQYSKFGDVDILMKLAAVSSQVNVGKVADKVKSHSEMLTSIHHISLVRATYSVSCSLIMDKPTYLSMKDTFDHFTSMWIGMKSRLKAKENEDSQFYRFRSRIIDIQDIFKEDVPSLSDMDTEGNDVLDNEEKLEHEFFRITEKIDEDDVVAEDTWDVIPESTLKCIVTIHNQLFGSPDLLEKPTKCQISDVQKIQSFIESYELGTRILKDLPELTCSILDEKLMPEHLFRDPNPSVLFKMVEPLTALQDKVRFYLDEWPDHPGLLKILDIIASLLAMPLCTPLSKALLGLQLLAGKAQTLQENDSKFFLKDHLAPIFLLVYSWQRLELDCWPVLLEEVQGKYDENAVKLWFPLRALLTQTSGILTDEELSIIKSVEEFVQTSNLGEFKRRLHLLLAFHGEISGGASVGSYLSTPMKKIQNILYNVFGYYMQFLSLVLGQIEAVKGSIEKELKDQVKLYRWEQEPYSTASIENFKRTRQKVFKLLRRFNDILQKPVMVLLNEEATRRKVPCWLDPQGSESQFPVDFEKFNNRFLWFNKWASQTCLSLQNLQHTAATATGVASLKEYVDVAIHNVNHRQDETELNDRLKFFWVALERICVAANFSSTLKHGKKNQKKAALSNLFKTLEECGLSKHRPISHELGDELDATSSLFLENSYDTVHLLQQEISHKTSEDVSIVHSTLLTTDNWKHANQQYFKCLAMMQQLRQVSLKFNKDLGLEEVNRATSFMNHLLTILSEQRHHAYNLFNQLNRLRHVIFLLGSGGGSKSLSSYQNVLLNSMWEQKKLFDSVLVMTTDTNLLLKSFKDSHYTSCNNFKEEVASMSSFLGKFISRFAESKGLLDKYLLGSNNILAGAHTIMPVATREMEELVAENCLLIDSLREDVRTLCDQDISMRSVKKILLSRLDELLEKGKVAIGNSKEIDEDDRRVCSNVLQTLEASYAEILKETFVLAVGVVGKLSELEISSNGDEASSVGTITSWKDILQSYAVKLKLEHICDASEKLCTAVRRLVGSKPETCSSIEVHLTHLHAWLGVILSSAEGILSELLEAHRTTSEMTHALGDLLIHLFAEGFGSKEDATEDSTDERQQDATGTGMGEGEGQESVSSKIDDPSQIDGTDNEKEATCKPDQPPENDDNAIEMAEDFTAELSDISEDPEGKDSGDEDEDMNLDNQMGDTGDASEVVGKKSWDKDEDDDPKTSTEKYESGSSAKGTEQNDQELRAKEDDSVEDQDPMEMDCDEQGKNSNLEDEPSSCEETDPNTDDIMNKDDAYDDRTGPEFPELENGFDDDDVEGQEQNDEKDADNEEIGSEDAEQAEEKPDASDDMEEGDTAQHSDNMVDDEGEHAEDANMEPNDIDKQQIDKTDSLMHPSQSVQPDNSHIKQTNPFRSIGDAMEEWKERAKVSADTQDHQLENEDHSEDDNAAEFRYVPEGEQSTSQALGAATADQINDDTQIKQSFLEDENNVRNGQTDERPGDDNQPEVPNLQSSQAPNSKSKNDNELDGRESQTDTSVQDFGESRKDNTFADLVSFQRPSVDDKTTLLDDLTIDHELPTQMDLDINYAQTGSAIVDWKNLELATMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGEVAIEALVTVCRAMSQLEVGQFAVASFGKKGNVRVLHDFDQIFNGEAGVNMISSLSFEQDNKIEDQPVADLLTHLNAMLDTAVARARTPSGQNPLQQLILIISDGKFHEKENLKRHVRDVLNRKRMVAYVLLDNPEDSIMNLKQVSFEKDGGINLEKYMDSFPFPYYVMLNNIEALPRTLADLLRQWFELMQSANE >Et_2A_018079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:61306:63550:1 gene:Et_2A_018079 transcript:Et_2A_018079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAAAPLPVGFRFRPTDEELVRHYLKPKIAGLPHPDLLLIPDVDLSACEPWDLPAKALIKSDDPEWFFFAHLDRKYPGGHRSNRSTAAGYWKATGKDRLIRSRPAGNLIGIKKTLVFHRGRAPRGHRTAWIMHEYRTTEPHLQQGQHGSFVLYRLFNKNEEEEEAPVGSSNLEDADSPSTSAPAISPVVKPPKLARRPLVANDDDPAAPQANDPLLDVLAHLPDLQRVQAYDEFPTISSPMRPYTDHPFLGNLGGQDLSAYIDSLIAHQDPEDPALDEQTAGNAEPNPPILLMPSNSSNDKVLPQQLFSDVVQPSGSDLTNSDAFNGIEDWAAEHMMQQFPVPSLDSQQGTAARRIRLVHSVQRASVTETVLTSHLDTEDEAGSCYSRDNSSTTHNKDHANLISETMAGEATHIQGGGLLPTQVVPSMEVTKKSRDFPFDEHVSQHANLVHEANLKQRVKQEHTKTSQNVREGLQDGGRVPGEPSYRRTRQSAPIGSVVRLLFLALVVILALVGLWKSSLCKPLH >Et_2B_020504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20922300:20923518:1 gene:Et_2B_020504 transcript:Et_2B_020504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRDRAGAASGRPALRVGRTQEYRTGVDTELLAVDAPVSLFVLCGDRFEAAQLFRTGGLSVRMLRVEGQPVSMASCTVGDHQWMLARDAVVARLDARVFVFELPGFFYAVVVPPDAAAGGGADRKCATMAEIFTRFCAYHDLTTADGAAEEDIDLNQQQQNSTPWVRAHARIQRLKRANSPARQQQATADAPSDSARQMERAVRTSAVVKLLTRSLLAGVLQPSRHLTITVGGGANASSRAASAAAALPSKSVVCDLLDAIETNRAAPRRGPGLGGGAGWWGLNVEGVMLLLRVVQAVRGKKQLASTTPAPGEKRPRDEGPAGHDGMRGGVVGGGGAVAFGGSAARRWCGGRPKKLGNTVGACGS >Et_8A_056578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12741092:12742735:-1 gene:Et_8A_056578 transcript:Et_8A_056578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSFVCMLYLCFLLKDDFLLTNAQHISEYGSGSKISTAGDVYSYGIMILELLTGKRPTDEMFNDGLNLQNFVEKAFPQKICEILDPTIIYNSRDDDQDGNLYHEDRNPETIEIVNYVGLSCTNQTPKYRPTMQNVYAELIEMKEELQAWKCATGHFECVVLMDSLEMQAYNAGKRQKRNKK >Et_3A_026502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8848461:8855820:-1 gene:Et_3A_026502 transcript:Et_3A_026502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNSRTCPTRSGAAAAGGGGVRLFGVRLTSAPAPALMKKSASMSCIASSLGGGGSSPPAGGAAGARGGGDGYVSDDPAHASCSTNGRAERKKGTPWTEEEHRMFLMGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQTNSSRRKRRSSLFDMVPEMPMDESPVDAELFPQNIQDEATSSNHLPSLHFGQQKEAEFAKKLPTLQLRQHDESEFTERSLPLADLDMNPSVPAFYPAFVPVPLTLWPPNVANMEDAGTTNEILKPTPLKGKEAVKADNVVGMSKLTIGEASSMEPTALSLQLVGSMDTRQSAFHVSPPRTRPDLSKRNSSPIHARTDINGTDGMNRLI >Et_8B_059197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15178777:15180319:1 gene:Et_8B_059197 transcript:Et_8B_059197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLEIEIKSKPIISYWSETLIVACTLSVGRRLRQDHRSVCEAQRGDDLLSVLLRIRDEGDVEFPITTTNIKAIILDMFAAGT >Et_3B_031632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6464287:6466229:-1 gene:Et_3B_031632 transcript:Et_3B_031632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVCFVIEKWILPPPAPAPWSSSGVGGEQPKVVFQCASVNSKNYGYATGYGGYGGGRGRGGMCSRYVTVAYGTACPDCGGSMTQALPLEYVSPAGPENVQQGAFAGGSAYSGFLQSVVTYTVLDDLTVSPMSSVSSVTLLNTFAYNASWKAK >Et_2A_014731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10741752:10742276:1 gene:Et_2A_014731 transcript:Et_2A_014731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSYSGSVEHVRRPANDDGQGSFGPVLLVLAVISFLSVASCVAGRVCGRRSSSSQGAAEAGEKAGLGGVTNHMAAIMRPVASSRATVHDVDDAFEIKLLPPNPAAREAAAGGIRMQAPRLFTAATAGAAGFRGLPAGNSGVARQAHPPPVRSGAAFVPAQQCK >Et_5B_044545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3353621:3354041:1 gene:Et_5B_044545 transcript:Et_5B_044545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDDHTDYCDYDDNMPLLCEMEEPRKLMYWERILAGLEALPESLVEKNEAVQGEAGWKKSELDRLGDLDRLLWKKKSDQL >Et_4A_033773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26806175:26807047:1 gene:Et_4A_033773 transcript:Et_4A_033773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRPPQPKGPTEWDAEAGTGVARPLYPMMLENPQLRWAFVRKVYSILSIQMLLTVVVAAIVVYVRPVALFFVSTPGGFGLYIFILILPFIVLCPLYYYYMRHPVNLLLLGLFTVAISFAVGLTCAFTKGEVILESAILTSVVVVSLTAYTFWAARRGHDFSFLGPFLFAAVMILMVFALIQLFFPLGRISLMIYGGLAALVFCGYIVYDTDNLIKRYSYDEYVWAAVALYLDVINLFLSLLTLFRAADS >Et_4A_032786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13849950:13861645:-1 gene:Et_4A_032786 transcript:Et_4A_032786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKSWYPLALLPLLLLLCLGSLRAGSAASVTTGTPDGSELWGYVEVRPKAHLFWWYYKSPQRTSAPGKPWPTVLWLQGGPGASGVGLGNFLEVGPLDVNLKPRNSTWLQKADLIFVDNPVGVGYSYVEDDSLLVTTDWQQAADATTLLKALVKEVAALQSGPLFLVAESYGGKYAATLGASVARAIRAGDLKITLGGVALGDSWISPEDFTLAYTPLLLSVSRLDDNAGDDAKKQAGAVKAQIAAGQWATAQGSWNDLLNFIGSKSGDVDVYNFMLDSGMDPVSTDATTGSSSTSLQAMKYSSYLGSQDSGSNTIDGIMNGVVKQKLKIIPKDLKWQEISQAVYNALIDELLSYGVNVTVYNGQLDVICSTVGAEAWVQKLKWNGLKSFLSLPRQPLYCGTSKGTKAFVRSYKNLHFYWILGAGHFVPADQPCIALSMISSITQSPATSITLLFFILCVTFLRVQSAAAPTISAGTDDGMERWGYVEVRPKAHLFWWYYKSPQRSSAAPGKPWPTVLWLQGGPGASGVGTGNFREMGPLDVDLQPRNSTWLQKADLIFVDNPVGVGYSYVENNSLLVTTDWQQAADMTTVIKALVDEVPTLQSSPLYLVAESYGGKYAATLGVSIARAVSAGQLNITLGGVALGDSFISPEDFTLSYGPLVRDVSRLDDAGAEEATKQAETVKELIATGNFTAAQGAWSGLLNWIEGSSNNVRMMLLAPDTWILKQRRAGRVQFLARLQHGPPCPFALIDELLAHGVDVTVYNGQLDVICSTIGAESWVQKLKWDGLSSFLSAPRQPLYCGPTKATKAFVRSYKNLHFYWILGSGHFVSNLFSVAELRSSYDYTFLIYDPILYFAQVPYEQPCIALSMIGS >Et_8B_059572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19344451:19348333:-1 gene:Et_8B_059572 transcript:Et_8B_059572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYENGDSPAAAAGEGGVILGVDGGTTNTVCVCLPAAMPPPQSPGSVPVLSRAVAGCSNRNSVGESAALETLEHVMKQALSLASTEQSAVRAVCLAVSGVNHPSDQQKMLDWIRDMFPGHTRFYVENDAVAALASGTMGRLHGCVLIAGTGSIAYGVTEDGKEARAAGAGPVLGDWGSGYGIAAQALTAVIKAHDGRGPQTSLTGEILRKLQLSSPDELIGWTYADPSWARIAALVPEVVSSAEDGDEVANKILHDSVQELADTVIAVVRRLRLCGEDGKDKFPLVLVGGVLEGNKKWNISGEVVNCISKVFPGVHPIRPEVEPAIGAALLAWSHHHKGLKLENGS >Et_7A_050924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12435608:12443423:-1 gene:Et_7A_050924 transcript:Et_7A_050924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNIFLHPDCCRCSNKIQKLLCCIKERGGFAIEKIVYEKDKVVVSGPFDAEKLTCMLCCKAGKIIKKIDIDKPPTPRQTDNPTKPVVASTTKPDKPAKAAEPSPIPYPGPYHYPYPGPGPYPYPQTTLNAPQTTKPEQPNPDPPQPSLRPSCSCPPHYCYCHDKKRLACNDADADHHRGAGVLPLQQQDAEGPLRHPRARGVRDREDRKDKVLVSGPFDADKLSCKLCCKAGRIIKNIEVAKPPPPKPDPKPDPKPKPKPEPCKLIPYPYPYPCPQPGWPYCQCPPPEPKPEPKPKPEPCKLMPYPYPYPAWPCGCSPPYCQCHTKPPEPAPPAPPPKPEPPKPPACQCPMWPSCHCYGYPPPMPYPMVVCDDSPPYGACAVM >Et_3B_031353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26544337:26547973:1 gene:Et_3B_031353 transcript:Et_3B_031353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDWTGQEIVSKWILGKGHVVTIGSITTKELGTVMRSLGQNPTEAELQDMISEVDADGNGTIDFTEFLNLMARKMKDTDSEEELREAFRVFDKDQNGLISAAELRHVMANLGEKLSDEEVDEMVREADVDGDGHINYEEFVKVMMAKRRKARTEEKRAARGKKKAQPPSDAGNKRSQKCAIL >Et_8B_059389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17487565:17488578:-1 gene:Et_8B_059389 transcript:Et_8B_059389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSYARDPVDFVAEASVLDAEDISPRAAGPPQLGQDAVLSASLYRKFSLRSLSTCLHLRALVLEPKLDLKWLETQLSAQFFPLLVIWILRFHLLDLVLGVAVVPLLFVWPLVGVLLPVITGGAIRVLAARLALKLISQRVGVAMDHVVTTVHSSSWDLIKELLLKSLLQMLVV >Et_1B_010090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23480396:23481316:1 gene:Et_1B_010090 transcript:Et_1B_010090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKLHPYANAVGVCAPCLRDRLLALAAERDQAAAAASSDCGDSSCGSSPRALPAAQRQRHRDTAGGFFPRSASPYYAARRRSDACAASSAHHHQPNLLFFRTPQVGPLAAASAVRADEGEPAGTEGDGCHRKVARRRSFLAAIFGGGRRRDEATGRKEKEPPRRSTSWLSAIVRRKRRPQDATAASPDEEEQPESPGGSSRSGSWWLPSPSPARHHRRRHGAGASGDGISGFAVCLSPLVRHGSAAGGRRRCQPPDPSSLGESHRRHASAGGAASFGRNTSRKLADMSRFR >Et_3B_029291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23784659:23789136:-1 gene:Et_3B_029291 transcript:Et_3B_029291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAEEEAAAGSSGRLLVLYASQTGNAMDVAERVGREAERGGCPATAVDVLSMDSFDPSCLPRERFVVFVVSTTGQGDHPDSMKAFWRHLLRKDLSKQWLEGLHYAVFGLGDSGYQKYNFPAKKLDQRLVDLGAERIVEKGLGDDQHPSGYEGALDPWLLSLWKSLNETNPWLLPRVSDITDPNSNILGNPKVEVTYYSSNEVLEDCKISDPKKLIKNARSMSPALKFHDDGEQLHMLQMVTNQRLTKEGSDRDVRHFELEDPSSAISYKIGDALEVLPSQNPSAVDAFTRRCNLDPDCYITVQAKCGDKVSKGPVVNNNLIHPIKLKAFVALTMDVMSFHATAEHEKEKLQYFASPEGRDDLYQYNQKESRTVLEVLEDFPSVQMSFEWLVQLTPPLKKRAFSISSSPLAHPNQVHLTVSIVSWLTPFKRTRHGLCSTWLAGLNPCNDNLIPCWIHQGSLPPPRPMDPLVLIGPGTGCAPFRAFVEERAAQAGTEPTAPVLFFFGCRNEDNDFLYKDFWLTHAQDQGVLSLKKGGGLFVAFSRDQPQKVYVQHKMKEQSARVWNLLCSGAAVYIAGSSTKMPADVTAALEEVICQESGETKLGASKWLKTLERAGRFNIETWS >Et_1A_005875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1523829:1529135:-1 gene:Et_1A_005875 transcript:Et_1A_005875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPPLDDCLRLLRGERDEQKLAGLLVAANVCRAGDAAAVNEVYRAVGPRFLRRLLNTGLGKVEGGKEEEREAYLRLSVTVLAGLARVPEVAADEGVVSTVPLVAEIVSKSTDPAITEECFELLSLIAIASEDGAYKFCEPGVMDMVFLQISSLPDGSKSLELAVNLMQLLVHKLRADNMSVEKLQGMTSMVTCVARLFAVLHTAVKFNALHMLTTLLSQKESPLHDSLRSMPASIWESHIRVGITAILRNRVVSSEKLHALLLAECMMSILGEDWLSEDYKIQDNQNVMPVDKFVLLVLESARVEVAVLLNELAYLKYESSKTSQTDDAITQKQRNLAILFSLIERIIKMISNASSGEGAPSQTIRESTIMQAITGLNETISLVLDFLQDAKDHGQRKGDDLLAAARIVGSYLAEAPYACKEKTINLLEFIFSIEGQDEPSPFYSICFMLPMLSQITMESDGCRVLASFGGYKTVIDCLIKMTEQDGMIDNGSMFLACDTIINFMSSRKNVHIPVESRFIRLLQALVSWAGTTDASSVIMTASCVCTMVLDFTSEEFLLSCYGFDTVTLKSLSELILKSLQLDIPDDDREQFNQKQIIVSGYKRWAGRFPHVKNVVVQHVSV >Et_9A_063089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:154464:156077:-1 gene:Et_9A_063089 transcript:Et_9A_063089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASWQQQQCVISWMFLMGCLFVAASVVMRIQKKKKAQEQGAQAPPQGAGSGWWWGVRETMAFVADNSSGRGFYHFVETRHRRYGGPCFRTSLFGHTHVFVSDPNTIHRLLREDTSCFSKRYVRTVAELLGEHSLLCLTTDSGHRSLRRAIAPLFFNASSSCSFTDTFDALTRNLISDWCSSNSAQQVVVLDAALGITFEAIVGGILVRTLKSNIRKLRRMQSDVLAVTQAMLSFPLRMPGTRFHAGLRARSRIMDVLRQEIASRRQTQTGSCNDDFLHSLLHITPSLSDQQILDNILTLIIAGQVTTATAITWMLKYLADDTDLQQKLRSVQLELAPKPHHSPLTPQQLNGMDFAYKGFTSTRAGL >Et_9A_061644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14791426:14793359:1 gene:Et_9A_061644 transcript:Et_9A_061644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSVLQYLKLFLLLALGGVTTTQVPDHDAPASLGTLLLDGHFSFHDLSAAARDFGNISSFMPSTVLHPGSVDDIAKTVRHVFLMGANSTLTVAARGHGHSLQGQSQAAGGIVIKMESLQSVQMRVYSGASPYVDASGGELWINVLHETLKYGLAPKSWTDYLHLTIGGTLSNAGVSGQAFRHGPQISNVNELDIVTGRGDIMTCSPEQNSDLFHAVLGGLGQFGIITRARIALEPAPKMVRWIRVLYSDFTSFTGDQEMLISAESTFDYIEGFVIINRKGVLNNWRASFNPQDPVWASHFESNGMVLFCLEMTKNYNPEEADNMEQEVKNILSKLRYMRASLFHTDVTYIEFLDRVHSSEIKLRAKGMWEVPHPWLNLLIPRSSIHTFAKGVFGKILKDSSNGPVLLYPVNRFRWNNRTSVVIPDEEVFYLVGFLSSAPSSSGPHSIEHTVNLNKQ >Et_8A_057332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23731407:23735728:-1 gene:Et_8A_057332 transcript:Et_8A_057332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGTVQINWHDLQPVLSLDFHPASGRLATAGADHDVKIWVIGSDGSDDKLPIATFQSGLAPNGTAHSSAVNVIRFSPSGEYLASGADGGGISLWKLHSTDDGEAWKVHKTLLFHHKDVLDLQWSHDSAFLVSASVDNTSIIWDAIKGTVHQKLEGHLHYVQGVAWDPLGQYIASLSSDRTCKIYANKPQGKSKNAEKMNFVCQHTLVKIEFQNHDESKPPVKSHLFHDETLPSFFRRLAWSPDGSFLVLPAGLSKHSSEVINTAYIMPRRDLSRPAIQLPGASKAIVAVRFCPVLFRSRGSNSDGFFKLPYRVVFAVATLNSLYVYDTESVPPILIHAGLHYAAITDIAWSSDAKYLAVSSRDGYCTIIEFDNDELGQPHILSGAKGVAEGNITCGKKPLSGDSMEVDVDASKLKMEASPVAVRVTLPPVLAEDVSLRTGELVEGNVICENKEQATVESIEVDAGANKLKTAVSPKPVEVTPPPVSTKNNASSKPTKKRITPIAIN >Et_10B_003784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6080110:6081302:1 gene:Et_10B_003784 transcript:Et_10B_003784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTKKPRHAAATVRTQPMPVLSGAGVEPVHRPEERDCAGLVPELVCKIADLLLADDVSEYIRMRAVCKPWRSTTANPSLLQPRFFPRNWLLLARHLREDGEPERFVNVRTGVSLRICLPDPEQYTHRGNVEGLLLLHHTFSDTICLLNPLTMALYDLPTMQAVNDDFVEDSIKAAGIIVDVDELGQAQSVPTVVLSLTTGEDTAIVCAKPGDSVWRAVDTSCTDDIDGDLPVIKGGLSVRGRFYIPTRAGDVLAAELLPQPHLKYVAKMTGDQIRSGFDESSYLVPSCDDNDCGMLLVRSCSPNGKFGCTKFAVDLYNRSIFLKEPSGVTVFLPSITIRCSAFPSVSQNTIYLKCHMKRLLRGDYI >Et_6A_046968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23380126:23384954:-1 gene:Et_6A_046968 transcript:Et_6A_046968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALDWWGLKVDSRWDLGSYLPRRVGSDIEPVVSGNLPPGFDSSTCRSVYVGNIHLQVTETLLHEVFQSIGPVEGCKLIRKEKSSFGFVDYYDRRSATLAIVSLNGRQLFGQPIKVNWAYTSTQREDTSAHFNIFVGDLCPEVTDAALFAFFSGYSTCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLNGKWLGNRQIRCNWATKGANAGEEKQNVDSKVDLTNSSSEAGKENGNEDGPENNPQFTTVYVGNLPHEANSNDVHRFFHSLGAGSIEEVRVTRDKGFGFVRYSTHEEAALAIQMGNGQLVGGRQIKCSWGSKPTPPGTASAPLPPPAPAPFPTGMSAADLLAYQRSLALSKMAAANPALMGQHALKPAMDAGASQSIYDGGFQGANAAAQQQLMYY >Et_2B_020596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21746558:21750416:1 gene:Et_2B_020596 transcript:Et_2B_020596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEAGPSGKVVLVTGGAGYIGSHAVLQLLGAGFRAVVVDSLANSSELALRRVAALAGDQAARNLAFHKVDIRDKDALDKIFASQRFDAVIHFAGLKAVGESVKNPLLYYDYNVVGTINLLEVMAAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLIPHNPYGRTKLMAEEICRDIYHTDPEWSIILLRYFNPVGAHPSGYLGEDPCGTPNNLMPFVQQIAVGRRPSLTIFGNNYATKDGTGVRDYIHVVDLADGHVFALRKLFESSSNIGCEAYNLGTGKGTSVLEIVNAFEKASGKKIPLVIGQRRPGDAEILFSSTAKAERELNWKAKYGIEEMCRDLWNWASKNPYGYASSESPKLSPKQNGNSH >Et_4B_039792.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29834889:29836358:-1 gene:Et_4B_039792 transcript:Et_4B_039792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSPETQAQAKEEEEEKGIPAARAWAWARAVGGEVRAQRGIAVPLVGMNLTWFAKLAVTTAFLGRLGELELAAGTLGFSFANVTGFAVLTGLCGAMEPICGQAHGARNVGLLRRTLVMGTLMLLAASAPIALLWLRADAVLLRCRFGPDIAGTAREYVVWLLPDLAVTSVLSPVKAYLSAQGVTLPTLSATALALALHIPLTVALSAARGIRGVAMAVWISDLAAATMLAAYATLVVRSAARTPAAAGGGGWTWGECASLARLALPCCLNTCLEWWCYEILILLAGRLPDARRTVAVIAVTLNFDYLLFAAMLSLSVSASVRVSNELGAGDASMARRAARVSVAGGVLAGLVGGLLMLAARRPWARMYTRSAEVRDGVGRAMKLMALLELVNFPLNVCGGIVRGTARPLLGTYAVLAGFYVVALPVGVALGFKPANLGLEGLLAGFLVGATASLAVLLTVILRMDWNAEAQKARKRAADKKADATTT >Et_6B_048942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15860479:15861684:-1 gene:Et_6B_048942 transcript:Et_6B_048942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVVLIAKLQHRNLVRLLGCCIHSSERMLVYEYMSNKSLDRFIFRTKKLQTDERRRATLSWNTRIDIILGIASGVLYLHQDSRLNIIHRDLKAANVLLDDDMVAKISDFGIARLLSSSGDRQETITKTIIGTYFGVLLLEIISGRKNHTSFNLIAHTWGLWEEGRSHELVDPTIRSNCSAAELEQAATSIQVGLLCVQECPSQRPPMADVIPMLSQQKAPSQPRRPVVCTPMSHPAIALGVQEITSGNSNLTITSLEGR >Et_9B_064209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12651840:12653207:1 gene:Et_9B_064209 transcript:Et_9B_064209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMLHLRYTSSQAEALPSQYVFLPDQVPAASAADRVSLPLIDMSRGLDEVRRAILDAGKEFGFFMVVNHGVPDVVMQDMIDVCEEFFKLPAEEKAHLYSEEKDKPNRMFSSSIYETGGEKYWRDCLHLTLAHPVIGDSTKDWPDKPQGIREKIENFTLLTRAAGMDLLQMLCEGMQVRPDFFEGDISGGNAIIDINHYPPCPNPSKTLGLPPHCDRNLITLLHPGKVFGLEVAYKGEWIKVEPVPNAFVVNFGLQLEVVTNGMLKSIEHRTVTNSAVARTSVATFIAPTRDCLIGPAEEFVSEDNPPCYRTVKFGDFLDVYNVVNLGSSLNLTTNLKNVQKDV >Et_9A_061500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12778330:12783318:-1 gene:Et_9A_061500 transcript:Et_9A_061500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTGKLAMDITQILLAAQSPDANLRTVAEGNLTQFQEQNLPNFLLSLSVELSNEEKPPESRRLAGIILKNSLDAKDSAKKELLLQQWVSVDPSIKLQIKESLLSTLASSVHDARHTSSQVIAKVASIEIPRREWQDLIARLLGNMTTPGASAPLKQATLETLGYVCEEVSPQHVEQDQVNAVLTAVVQGMSQTEQSSEVRLAAVRALYNALDFAESNFANEMERTYIMKMVCESATSKELDIRQSAFECLVAIASTYYVHLDPYMNTIFNLTANAVKGDEEPVALQAVEFWSTICDEEIGLQDEYEGADDGNSSVHFRFIEKALPSLVPMLLETLLKQEEDQDQDDNVWNISMSGGTCLGLIARTVGDAIVPLVMPFVEANITKPDWHCREAATFAFGSILEGPSVEKLAPLVQSGLDFLLNTMNDPNSQVKDTTAWTLGRVFELLHSPASANPVINNANLPRIMAVLIESSKDVPNVAEKVCGAIYFLAQGYEDAEPMSSVLTPYLPNVIAALLSAADRAETTHFRLRASAYEALNDIVRVSNISETSSIISQLLQEIMRRLNLTFDIQILSSGDKEKQSDLQALLCGVLQVIIQKLSSSDAKIIIAQAADQLMHLFLRVFACHSSTVHEEAMLAIGALAYATGQDFVKYMPNFFTYLEAGLQNYEEYQVCSISVGVVGDICRALEDKVLPFCDRIMTVLLKDLSNSMLNRSVKPPIFSCFGDIALAIGENFEKYLPYAIPMLQGAAGLLGTLDQSDDDMVDYGNQLRRGIFEAYSGILQGIKGPKAQLMIPYAAHLLQFTEAVFKDRSRDESVTKVAVAVLGDLADTLGPSSKDLFQSNLFHVEFLRECLDSDDDIGETALWAQGMINQAMAYIEHARASLQLTSVEMISSSAHHSGDTLGVAASGGMAVISLSRLATSGMVSRRLGSRSVQPLMTASSLSTVAAWKDDRRMVGSMTSLSCRSPLR >Et_6B_048931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15623538:15627712:-1 gene:Et_6B_048931 transcript:Et_6B_048931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LKAAHVACLLVVVPVPDHHASKIGQILRKLQHAVVEVVRRHAAASSTSGDATTRTSTRLPGYASAHFSHDSLGVCAHLRSAPYRRTWMRVKRPVATAAAMCGSGYAHRGVAAVAVLVVGVQAPGQLVVVLGCTLVDEEVDAVHRRVAERAVHAGAGAAGEGVPEVAGEVRRRLRRGERVLAAFPADGEEHDDSLGLAVLDVVADAGERVAGEVEGVLVAVAESVEEMMTVVQAPVSHASRRVARVVGQLVVKPVPYHDTSIIWHLLGGVQHTVVEVPWGQALLSPSDRATTPTATLVWRYITAVLSHESHGVVVHGRSNPYRLYRRTWMRLKRSVSIAAFRLWSGYPHRGVAVNTMRCPTRLFLALGQLVVVLRRALVDVEVDAVHRRGAERADHAGAGAAEEGVPEVVGDVCRRFVRREGVLTTMAADGQEHHYTLGLAVLDVVADAGERVAGEVEGVLALAECAKEGDYDSFIQASVAGLAQGALALAPAPVDGDVAGGAGESTCQLQGEKKCQEQAASFTAPDRHLGEIRRCWVI >Et_1A_008868.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:16856111:16856689:1 gene:Et_1A_008868 transcript:Et_1A_008868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLVALGFIVLLSMGLANAARVARYSSSSGTGSGEGGGGAYVNGGGSGSGSGTGSGQSGANGAYASAGGGGGGGGSSYNGGSAYGSGSGSGSGSSQYNQGYDSYGGSSSAGGNGGGGGGGQAGGYYGSSGHGSGSGIGSGSSEATRYWPGYSGYANANANGNGGGSGTGQSGGSGGGNGAGSGYADANP >Et_3A_023468.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29320396:29320647:-1 gene:Et_3A_023468 transcript:Et_3A_023468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRQGRHQRRASQSVFVLPENFALEDVPAAVAEGGVEQRKPADASEQAARQAGRHRRAMSMAVASRDLEMISEDIGSYKYGA >Et_4A_034753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6032309:6036450:-1 gene:Et_4A_034753 transcript:Et_4A_034753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDAEEVSPPVSSSELEQEEDDDDCYLSDQEDDALEESVLQVLEDEHLEDCHWSSSSVITRESLLAAQREDLRKVMELLGLKEHHARTLLIHYRWDVERIFELLDQKGRDRLFLEAGIPLQCADNAGPPSSSEVTCNVCFEDVPPSVASEMDCGHNYCNDCWTEYFIVKINEGQSRRVRCMAPKCNAICDEAVIRKLVTAKRPDIAERFERFLLESYIEDNDTVKWCPSVPNCGNAIRVIFIVRWNAHVDASFVLIVRYKRIHHVPAQCGNFGSRNAVTNRRRWLCGGATGRDHTWSSISGHSCGRFTEDQTQRTEQARRDLYRYMHYHNRYKAHTDSLKQEAKLKGDIQGKISISENKESKIKDYSWVINGLNRLFRSRRVLSYSYPFAFYMFGDEIFKDEMSPEEREMKQNLFEDQQQQLEFNVERLSGFLEKDFQDFTDDEVIDTMKHVINLSNVVDRLCKQMYQCIENDLLYPLRTPHNIAPYKSKGLDRASELHFSWDSAEQSSQSIKHSQDEHKSQPGSSILGKRPILQLHGSSSDDRGHPSHKRGRGDANGGGALFDLNVPAEVADKL >Et_4B_039583.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:22876894:22878141:1 gene:Et_4B_039583 transcript:Et_4B_039583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVCRGPTMPSFEAPCWLKKPAEQPYKPAERPAARVDIWNAIQAEKKQQAASPKPYVVRRSSSLMSQKSLEVCTESLGNETGSGDFTSSLDMAGLFDHSPLPAAAEAESLWERECGEAETEEEEEACEGKKELVAVNYHHCSSSVTRSPRRAFPPPLPSMSRRDGPCLQMRPRREGGRLVVEAVAVRPRGYLHARRQNGRLCLSFVECSAREQSPATESGSKVAAAEEPFFPAVDQPRNEQDEEVEMEDEEEVEEMEEEEVEVVDRGTVVEVKVSTQQQTPAAGKVHRSRLVINKFVGGTPLSVVDHLPRCHSHSEAATDEEESEAVSPKPTTLRRVPSSTTTLAAAVAVASTGTDDDDERDVSASAAAEPKQLLLFTSRRGDKQDLLQSVRRCRQLRQKPLFILEPYCIATS >Et_9B_063733.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:8524501:8525069:1 gene:Et_9B_063733 transcript:Et_9B_063733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPSSPDMPPMGPTMPAMDMPPMHMAFFWGHRAQVLFTNWPGYHRDGAGMYALCLLVVAALAALVEALSAASRGLSRRRSSHNSSGAPEVLLLTGVHAAKMGLAYLAMLAVMSFNAGVFLAVVAGHAAGFMLMRSGMLGGTRNNNSRDDVPTNGVVLPPSDSEPKP >Et_5B_045464.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:2447237:2447965:1 gene:Et_5B_045464 transcript:Et_5B_045464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSCSATARTAPSLPWTSRLKLALAPVMQRQDRFLGRLLRPLSELTASASPRPDATGVRSGDVTIDASTGLWARVFSPISPLPSSAADDASSRRPLPVVVYFHGGGFVCCSAASRLYDAFCRRLCFELGAAVVSVNYRLAPRHRFPAAYDDGVAALRYLDATGGFLPMPPDLAPAVPVDLSSCFLAGDSAGGNIAHHVAQRWTSTTTSSSSSVVRLAGVVLIQPFFGGEERTTAELALDGA >Et_4B_039958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6781110:6788418:1 gene:Et_4B_039958 transcript:Et_4B_039958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSRNVPSCVLVNIRAGCDTPPNATTAWSKTSTGLLISATFHAARPPAFSFFSVYLILVFLALEPADLLLKPKFVSEDADLVILRVPRDMRARMENFYSDYFVYRVNPERPKLDLLPNPFPVTLEDNEISILSCNEDKYAVAALQMVPDFKPTFKLHLYRSTNGEPGIWTSQLLPLEEPLRDKVCPIPESAERQIYHMSTKVITLGDDKGTVGWVDLWRGIILCDVLSECPKLRDLPLPLPAKGNLDRFLNCCPSYFRDITVNQLKDTIKSDPDDLVPYQGKPHSIIPGTWTATTWTMPIPAASWYDWRPGYSVSLANLCLPSGYQRVHKLLDRLLNSGNYEEQEVTGATLSLGCLCMAHPTLSMLDDDVLYFLSTGTHMESIEALINVDLRVKTLKGVAVLGTNRCFLRHFRVSGISRYLKTRVEKQTLGLYYIVGKQKVQEYNLKSISWKR >Et_8B_059204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15211265:15219231:1 gene:Et_8B_059204 transcript:Et_8B_059204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISVASPRRSVRDAVLGGVLGQLYQPLRCAFYDGAAGGGGGLAVDGLAAALSEEVVALPGAARIKGVKNVLILMSDTGGGHRASAEALRDAFRLEFGDAYQVFVRDLGKEYGGWPLNDMERSYKFMIRHVRLWKVAFHGTSPRWVHGMYLAALAYFYANEVVAGIMKYKPDIIISVHPLMQHIPLWVLKWQSLQPKVPFVTVITDLNTCHPTWFHHGVTRCYCPSAEVAKRALLRGLEPSQVRVFGLPIRPSFCRAVLDKNELRKELDLDPELPAVLLMGGGEGMGPVEETATALGKELYDHRRRRPIGQIVVVCGRNQVLQSTLQSRRWKVPVKIRGFEKQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKDPREAARKVARWFSTDVDELKRYSRNALKLAQPEAVFDIVKDIHKLQQQPAAVTRIPCLSSRSLKEKGNIKAQKMSGH >Et_10A_002235.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5116422:5118512:1 gene:Et_10A_002235 transcript:Et_10A_002235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTKPNPPIFFFFLLLFLLASLAASQEFTYKGFHPAGAGGNPNLTLNGVTELRPDGIMRLTNETSRLIGHAFYPHPFRLVGRDGGAAAASFSTAFAFAVVPEYPKLGGHGLAFVAAPDPRLRGALPSQYLGLLSAADAGGNATGDHKVFAVEFDTVQDFEFGDINDNHVGVDLNSLVSNASASAAPVNLKSGETVLAWVDYDGAARLLNVSIATTGEKPASPLISFRVDLSRVFREEMYVGFSASTGLLASSHYLMGWSFRLGNGGGAPLALDLASLPRPPATDGGGKTSQRTPVILASAFSAFVAVVLLAGAGAYAAYRVKTRDAVEPWELEDYGPRRFAYAELRRATRGFRDRELLGAGGFGKVYRGVLSDDAVVAVKRVSHESRQGLREFVAEIASIGRLRHRNLVQLQGWCRRRDDLLLVYDFMPNGSLDRHLFSTSPPSPALTWPVRMRVLRDVAAALLYLHEGWDRVVLHRDVKASNVLLDADMSARLGDFGLARLHERGAANPSTTRVVGTLGYLAPELTRTGKATAAADVFAFGALALETVAGRRPVEPRAAPPEELVLAEWAWERYAAGEVGKVVDARLAAGEYDAGEAAAVVKVALWCSHPSPAARPTMREVVRYLDGGDAGEVPEPPPPAAACSGEVGFDDFVHSYPSSSFERVGGGHSFGTQASSVATFPYSPLSMRSSHVSV >Et_10A_001260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22754319:22756054:1 gene:Et_10A_001260 transcript:Et_10A_001260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLARQRELVAQLRELLECSSVKLESGAGLPDRCSGESTRADAVACGGRRRRRASGKRARGNDDDQVVEPAEPRCSKRRKKQQNKCLVTSTPDFDGYQWRKYGQKQIEGAMYPRSYYRCTWSAEQGCPAKRTVQRNDDDTMNCNGSYTVVYVAEHTCSANDSMEAAPVILETTAVPTTNDNRPADADNSENNVVIPAALSQLASPEARRHVDVAASSAASRPASTTTGTESPATSDDVTTWSGTSEHVVIDDYASSSWMFDDSWAPTTHHPVESSSLVQQGMGDFTGPIRSPVHIAAQGCWTMMDPYHLLLVNEPITHFSAAGFSF >Et_4B_036727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1133571:1142108:-1 gene:Et_4B_036727 transcript:Et_4B_036727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTPLTSFPLRGHPRGRLHAKPQPRGYAPLLPSRPRPTLRLYCAPDGSEVSAPPTPPAAEAQQQEESPEQQQDEEFTLLAMNRSDFNEVILVIDSPVARYLVLDQSHEFVSLPAVVPRGPVALLGLGAGTAAHLMLKYWPWLQLVGWEIDPMVIELSRDYFGMSDLEKPTESGGSLSVRIGDALSLSATVEGGFAGIVVDLFGDGKIIPQLEEVETWLQIAKKLMPGGRIMVNCGGADVAVSLAEDNSPSSWVQNPTVKALCSAFPGQLNWKRLSEKESVNYVALTGPLPDLDEWCASVPSDLSSKVKQWVPCELAMAHSPSAGGGQPLVVSLNCLDDPSLEQEGLAGVAAVEHVPLSAVASGRVEAAAAVLLPSLAFLPRAAQRRLRPWQLLLCLGSADRAADAAVAADLGLRLVHVDANRAEEVADTVMALILGLLRRTHLLSRQASSGPASVAAGWLGSVQPMCRGMRRCRGLVLGIIGRSAAARCLATRSLAFRMSVLYFDPRYVANGKTKRPSIVFPSAARRMDTLNDLLAASDLVSLHCALTNDTIHILNADCLQHIKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIRDKAITMLQSFFFDGVVPSSAISDEDEEISEAGNEDDLLDTRAKDCQSQGFDVEQQTDESQLTLEYEKKRAISHHKGPQASGRSVNIGSRAEGRRSRSGKKGKKRPAHRRSQQKPDDLSAVESDSNYSSRRDDDTAMSSRDPVLSSSSRFASPEDSKYKQKSPAESPMEITSEKKLPVLLSRNYPDKLKEGFVVALRARDNSGYHVARQRVAGGGGWILDIVSNATNRDPAAQFLVTFKNKINRKTEFVFASHSFEVWESWMLEGSLLEGCKLINCRNPLAVLDVCIEILAAASEEDGVTRSSDAGKFPAPNILDKHFEFSAVMDVLQVQENK >Et_3A_025982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34306139:34307864:-1 gene:Et_3A_025982 transcript:Et_3A_025982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLAVVVATFFTLVAVVVAAQPPAAGQRPLPSNYHVITPGKLKRNQQLACTDPRNNQQPGCTAKCDSRCPNQCIVLCPGCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDKDFCIVSDKNTHINAHFIGKRNPTMSRDFTWIQAFGIRFADHRLYMGAQKTAKWNNDVDRLEIALDDEPIRIPAETGARWDSVVVPGLSVTRTAMTNSVRVQLAEVFDIVANVVPITEEDSRIHNYGVTEEDNLAHLDLGFKFYDLTDNVHGVLGQTYRSDYVNQLGVSSNMPIMGGAPKYVTSDIFAADCAVARFGASHAGISMLAEVFDIVANVVPITEEDSRIHNYGVTEEDNLAHLDLGFKFYDLTDNVHGVLGQTYRSDYVNQLGVSSNMPIMGGAPKYVTSDIFAADCAVARFGASHAGISM >Et_1A_005586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11744434:11750816:-1 gene:Et_1A_005586 transcript:Et_1A_005586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVGNGLADLGNGAVAVNGNGKAPRPVEAEAAAEQLPMELDPPEAVVAAVAAAEEAAAAGKREIVMGRNVHTSCFAVKEPDADDEETGEREATMASVLALYRRSLVERTKHHLGYPYNLDFDYGALAQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKNEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMECVKVDTLMSGEIDCADFQRKILQNRDKPAIINVNIGTTVKGAVDDLDLVIKTLEESGFKDRFYIHCDGALFGLMIPFVKKAPKVSFKKPIGSVSVSGHKFVGCPMPCGIQITRLEHINALSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYLKDRLKEAGIGAMLNELSSTVVFERPKDEEFVRRWQLACEGNIAHVVVMPSVNIDKLDYFLNELVERRATWYQDGISRPPCIARDVGVENCLCGLHKLKTSIYSASWIRV >Et_10B_004282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2893999:2896370:-1 gene:Et_10B_004282 transcript:Et_10B_004282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPIDAALQAALDGNLRRLKKKAKEVNLREAKDDRGRNALHFAAAMGRLGTCEFLVDEVGISANSLSGDGETPVLLAAAQGKPNTVVNHVFTPLMTACCGHSLGCVKLLVLGGADVNFKTPRGPTALLMAVDEGLIDIVKFLIEAGADPNIADHVTYSLKEHIDFLKSQGKQAYAKQDYLQAIYFYTQAIEEDPHDETLFATRSLCWLQMRDGRSSSVGCSKMQNDAATLVDSMVP >Et_10B_002505.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:12437531:12437659:-1 gene:Et_10B_002505 transcript:Et_10B_002505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPGELKLQEWHRSRLILARVIVSVRVEVLVFIVALCEMFQ >Et_4A_034153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30327477:30329496:1 gene:Et_4A_034153 transcript:Et_4A_034153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEDETIHFVLLISRQGKVRLTKWYTPYQQKQKAKVIKEVSALLLDRGPKMCNFVDWQGYRVVYKRYASLYFCMCIDPADNELETLQIIHHYVEILDRYFGNVCELDLIFNFHKDALVESAKEEASSLGNIIAQATKFGMFWGNKREHAISMPALYSRE >Et_8A_056801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1764578:1770331:-1 gene:Et_8A_056801 transcript:Et_8A_056801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLGIPSSTRSRATAPLPRSPTSAPCSPRPLRMSSRLRTPSPPRSPPPPACPARPPAPRPTLLLATLLNMYCKLGMTCDARRVFDEMPFRNAVSWTAMVSGYAAAGKCSEEAFELFRLMLLECPSEKNEFVTTAVLSAVSMPSGLHMGVQVHGLVVKDGLVGFVSVENSLVTMYAKAEYMDAAQQVFQSSKERNSITWSAMITGHAQNGEAESAARMFLQMHAAGFSPTEFTFVGVLNACSDMGALVLGKQAHSLMVKLGFEMQVYVKSALVDMYAKCGCIGDAKDGFLQLYDVDDVVLWTAMIAGHVQNGEHEEAMMLYAKMDKEGIMPSNLTITSVLRACACLAALEPGKQLHAQILKFGFGLGGSVGSALSTMYSKCGNLEDGMAVFRRMPDRDVIAWNSIISGFSQNGCGNGALDLFEEMMLEGTAPDYITFINVLCACSHMGLVDRGWIYFRSMTKDYGLTPRLDHYACMVDMLSRAGSCRSLRDFDVGAYAGERLMELGTEDSSAYILLSNIYASQRKWSDVERVRHLMRLRGVNKDPGCSWVELNSRVNVFVVGEQHQHPEAENISAELMRLAKHMKDEGYRQTSHFSFSEELIVPGECPEDDQLELLIRRGRNPRTRNQSERPRLTTNQSLPQFDASLTACLSSNEAADADEHDDRAVRGISIN >Et_10B_003111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16678564:16680482:-1 gene:Et_10B_003111 transcript:Et_10B_003111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGKKQKQKIKKRHAHTPALTRVLTPQHLSLTRALYKAVPSTSRFPHTQTEIISQSASFPQPHTPHRFTNASVQEPPFPPRRQSRRSSSAKMTAHNNGGSVSDEKRAVAPMEVSMEAGNAADADWLDDDGRPRRTGTLWTASSHIITAVIGSGVLSLAWAIAQLGWVAGPAAMLIFAFVTYYTATLLAECYRTGDPETGKRNYTYMDAVRSNLGGAKVTFCGVIQYANLVGVAIGYTIASSISMRAIRRADCFHSKGHGNPCKSSSNPYMILFGLVQILFSQIPDFDQIWWLSIVAAVMSFTYSSIGLGLGIAQTISNGVIKGSLTGISVGATVSSTQKIWRSLQAFGDIAFAYSFSNILIEIQDTIKAPPPSEAKVMKKATSLSVATTTIFYMLCGCMGYSAFGNDAPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFVQPIFAFVERRAGAAWPNSAFIAKELRVGPFALSVFRLTWRTAFVCLTTVIAMLLPFFGNVVGLLGAVSFWPLTVYFPVEMYIKQRKVPRGSVKWICLKTLSFSCLVVSIAAAAGSIADVIDALKVYRPFSG >Et_7A_051305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16840125:16848410:-1 gene:Et_7A_051305 transcript:Et_7A_051305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPAAAAGAALLDTLGDFTSRENWDKFFALRGTGDSFEWYAEWPPLEAPLLDLLRGSGAGEAAEILVPGCGSSVLSERLFDAGFRRITNVDFSRVVVADMLRRHARSRPEMRWRVMDMTDMQAKRVLKSGGKFVCLTLAESHVLALLLPEFRFGWDMSIQAIPSKKSAFQTFMVVMVKGKMGVAQTIKSSLDQSAEYCNMKQANAVIRAVGNENVIRESYSSGVDVLLSLRDLQLGAIGDLKVIVPGRRRQFILGEQGCSLFCYKAVLLDSKKRTEAFVYHCGVFIVPKARAHEWLFASEEGQWHVVESAKAARLIMVFLDSRHASADMDVIKKDLSPLVKDLEPGNPEEEAPIPFMMAGDAVKQREILQEATSEITGPMVVEDVVYENVDGDQNSTSEKMFRRLIFKRSSGLVQSEALLVRDFPSDEADKKHKSGSATSKKRRNQRKGSKNNLRIDHSFLGSSYHSSIMSGLSLVASALSAAAGSGVKVSTTIIGLGAGCFPMFLRGCLPFVDIEVVELDPMVAELAKKYFGFSADEQLKVHLGDGIKFVEDIATATHPVSNSSDNNAIKILIIDVDSSDLSSGLSCPPVSFVEDSFLLSAKQFLSEGGLFIINLVSRSTSVRDMVVSRLKTVFEHLYSLQLDEDVNEVLFASPSKRYLEIDHLDTAVTKLKDLLKFLVDVESDIKRLQKLQ >Et_8B_060206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7444507:7449306:1 gene:Et_8B_060206 transcript:Et_8B_060206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETPIYDVAPEFRYRFIEHTGVGFLLGAGGGSAFHFVRGFRCSPRGARLVGGVRAVGTNGPRVAGRWGAYMASYGALKTAMSLARRREDSWNSIAAAATTGGLFGMRRGAAAAARSALVNATLVAGLVGVSWALDNSLVSYSCRETVLECNPPEPVAVARRPWGVYAAVYCACENAALLARRRDDRWNSIAGGAATGGLFGMCRRGATAAAAARSALVGAALVAGFVGACWVSDTHPPDVLRPNPDESEDVSSNIEQNPDESRLDGGRRRWEPAPN >Et_3B_027774.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18674248:18674283:1 gene:Et_3B_027774 transcript:Et_3B_027774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPKKPRAIG >Et_9A_061423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11529887:11539429:-1 gene:Et_9A_061423 transcript:Et_9A_061423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEAAELERRVMSAVKASAARGDPPLLQAAEAARCARGASASGGLALAPALVANLCFAHNTGAMWKLLDQAMASRLVCPLHTLALLTARVVPNRRGQPQAFRLYLELLGRYAVAPVYPEGVEKKAILAKSIDDAMQLSQRYGVQQMDFGHAVILFIFSLINILIDCILEDCGLPTISVGEYDDTYSRNMDSDANGCSVDMGDEHRERLRRKNILLSIEIVERITANKMAQDRPGYFNNLLQRLHHMGALKSKIIVPASSVTDCLANNIQKIISPGYQLGSSHLLGGFVSSHHCSSATCSSIFGPGKGSYWIQFDMFMEYAMDGRQLHAISSIEILTEISKTLQVLNHATWQETFQALWISALRLVQRGSDTPEGPYPRLDSRLCMLLAIIPLSITNILKEEADKLEGRMGSIIRGELLSSLQVLGQFYSLLLPPPATVHLANAAARKAALVLSNIKPGNDNMYNSSKDSSSIKAVGNMLHLIVEACITRKLIDTSAYFWPGYVVPVKDSSPVKESSWSMLIEGSPLMDLKDVLMITPASSVAELEKLYSFAVLGSAEEKLAVSKILCGASLLRGWNIQVPEVAAMLMPLCEIFGSFPPSNHRSCNLEEASVYSVFSCAFLSLLRLWKFLRPPVENDLSRRGVSVWSGLRLDFLLLLRNSSSALKTLSTISATDIFLLDPSFQKPVYIDSFPKLRAWYLQNQACIASTLSTACNGTSVLHVANMMLKIICRKMSKGGGTGLSLNPQSTSNSSMSSSPTVQEDICQWPHVPAWEVLEAVPFVLEAVLTACAHGKLSSRDLVTGLRELADFLPASLAAIVSYFSAEITRGIWKPVMLNGSDWPSPAATLPAVESEVTEVLASAGVHVSISAQHRSVMPMLPLPIAALISLTITVKMEEFSHVHGIIGQGVEICATSSSWPSMPIIGALWSQKVRRWHDFIILSCSQSPFTRDNTAVAQLIRSCFSSFLGSGDGFSCFTMNKGVTNLLGQTIHGRAPRLSVSPGFLYIRSCQSFPDNNFVCEEILKVLIERARVLANDCNSDRLTRLRSDFRSLSSASSSVEQIAALASTMICLTVCSMFEGFALAYVLLLSGATIWGVGETSPAFTSIYTSKRQRVVDRHLDFMARVMGGNIVLGCGEVTWRAYVICFVGLLVDFVPTWIPEVKLETLQKMASGLRKWHEGDLAIALLERGGAKAITSVCGRDVFGQTD >Et_4B_037001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13425620:13429569:1 gene:Et_4B_037001 transcript:Et_4B_037001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPCTIISVSHQFIHFPFSVTALNTFYTTLNSASQLTNWVSQNGDPCGQSWLGITCSGSRVITIKVPGMGLKGTLGYNMNLLTALSELDVSDNNLGGSDIPYNLPPNLERLNLEKNSFTGTLPYSVSQMAALKYLNLGHNQLSDINVMFNQLTNLTTMDLSYNSFSGTLPESFNSLTNLSTLYLQNNQFTGTIDVLADLPLTDLNVANNQFSGSIPDKLKSINNLKISGNSFSNSPAPEEPAPPSYAPPPLRPSPTGIPSHGNRSPSSGGDTDNGGGGAGGSTNGGHRSSKGGGGTVAGIVISLVVVGALVAFFVIKRKSMRRQPGDDPEKNEHLSPLASGKITQLRPMRSVSLSPTGKERLHKTLSMNLKPPSKIDLHKSFDEKEPTRKPVTMEINLSSIRATVYTVADLQVATESFSSDNLIGEGSFARVYRAQLPNTKVLAVKKINFSSLPNHPSEFFIELVASISKLNHPNLSVLDGYCSEHGQCLLAYEFYKNGSLHDFLHLSDGYRKPLSWNSRVKIALGSARALEYLHETCSPSIIHKNFKSSNILLDDELNPHILDCGFADLIPNQELQESDHNLGYRAPEVTMFGQYSQKSDIYSFGVVMLELLTGRKAFDSSQPRSQQSLVRWATPQLHDIDSLDQMVDPALEGLYPAKSLSRFADAIALCVQPEPEFRPPMSEVVQSLVRLVQRSGLGTSLSGEGNSCRFEESGDYTF >Et_2B_021905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6749473:6753801:-1 gene:Et_2B_021905 transcript:Et_2B_021905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESGRGGARARKKSWRSELLLAYQSLGVVYGEVATSPLYVYKSAFAGGGIEHTEGNEEIYGVLSLVFWTLTLVTLLKYVFIVLRADDHGEGGTFALYSLLCRRVRAGILPSRGDDDLMEQRGGAAPAPASSVHTALQQRKGLQWLLLLFALLGTSMVIGDGVLTPAVSVLSAVSGIKLSMVNEQHQYLLLPVTCVILVGLFALQHYGTHRVGFLFAPIVCVWLLSISTMGVYNIIHWNPHVYKALSPYYMYRFLQKTHSGGWKSLGGILLCVTGSEAMYADLGHFSHSSIAIAFTMFVYPSLILAYMGQAAYISRHHSFENNNHIGFYVSVPEKIRWPVLGIAILAAVVGSQAVITGTFSVIKQCCSLNCFPRVKIVHTSSTVHGQIYIPEINWILMILCVAVTLGFRGTRHLANARGLAVITVMIVTTCFMSLVIILCWNKNIVFAIAFLLFFGSIEAVYFSATLVKFHEGAWVPITLSLIFLMVMWVWHYGSKKKYEFDVENKVSISWLLNLSPSLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVVVFLCIKSVSVPHVQPEERFLVGRVGLKQYRLYRVVVQYGYRDVQQDSLEFEKDLVSSIAEFIRSGDSDKNGHAEGADSPYEMLSIISKGLPFQEEDGELDGSSESSAHKETKLDALLSKSKKVRFVLPENAQVDNEVRVELQELTEAREAGMSFILGRSYMKAKSGSSLIKRIAINFIYEFLTRNSRGPAYAANVPHVSTLEVGMVCQV >Et_4B_036600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8897630:8898130:1 gene:Et_4B_036600 transcript:Et_4B_036600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLAPLLAGATASSGARLPTTAAPAPAPGALALAEWLDGEGSQQCWEALMEIKSCTGEIILFLLNGEAYLGPGCCRAIRVIEKRCWAADAMLSVIGFTPEEGDMLKGYCDAGEDNGQGQGQQQQDVGDGDDVAGVVARGSVGSVAGRKQGPAVHR >Et_7B_053799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12283057:12288025:-1 gene:Et_7B_053799 transcript:Et_7B_053799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIGCRPKDVTLASVLGCCAECLDLRGAQQLHAHISKRNFYSNVILGTALVDVYGKCGLLEDAGQAFDGILHPNAISWNVMIRRYVLAGMGDMAVHMFFRMIWSGVKPLVYTVSHALLACRDNNALEEGRCIHTFVIRHGYAHHLHVRCSVVDMYAKCGAIDAAQRLFNLAPVKDMVMSTALVSGLASCGKIADAKRVFDGMDEHNLVSWNAMLTGYVRSRDLTGALDLFQQMRQETKELDEVTLGSVLNACTGLLDIGKGEEVHAFALKCGLFSYPFLMNALVRMYSKCGCLRSAEQLLLFEMGSERDSYTWNSLISGYERHSMSEAALHALRKMQSEAKPSQSTFSSALAACANVFLLKHGKQIHAYMIRNGYEIDDILRSALIDMYSKCRVFDYSIRVFEAGLSHDVILWNSMIFGCAYSDKGDYGLELFDEMRKHGIRADTVTFLGALVCCICEGHVGLGRSYFTLMTDDYSIVPRVEHYECMIELLGKHGHMVELEDFVEHMPFPPTTAMWLRIFDCCREYGNRKLGERAAQYINDSNPLTPVRFESIPIYESGDGDNAESMSFVSKVADLLSEQSVGGDKLEGKFRLPSPFAGETFLLNTLVSAYARLGRLRDARRVFDGVPRPNTFSYNALLSAYARLGSPEDARALFESIPDPDQCSYNAVVAALARKGRGGDALRFLAAMHADDFVLNAYSFASALSACAAEKDSRAGEQVHGLVAKSQHAKDVHIGSALVDMYAKCERPQEARRVFEAMPERNVVSWNSLITCYEQNGPVGEALVLFVEMMDAGFVPDEVTLASIMSACAGLAAEREGRQVHARVVKFDRFREDMVLNNALVDMYAKCGRTWEARCVFDGMALRSVISETSMLTGYARSAKVEDAQVVFSQMVEKNVIAWNVLIAAYAQNGEEEEALRLFVRLKRESVWPTHYTYGNVLNACGNIANLQLGQQAHVHVLKEGFRFDFGPESDVFVGNSLVDMYLKTGSIEDGAKVFERMAARDNVSWNAMIVGYAQNGRAKEALHLFERMMNSKESPDSVTMIGVLSACGHSGLVDEGRRYFRSMTVDHGIAPSRDHYTCMIDLLGRAGHLKEVEELIISMPMEPDSVLWASLLGACRLHKNVELGEWAAGKLFELDPENSGPYVLLSNMYAEMGKWADVFRVRRSMKERGVSKQPGCSWIEVCRKMNVFLARDNRHPCSNEIHNTLRIIQMEMSRMTVDAEFSNGLTNYCIEACS >Et_8B_060185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:742492:743869:1 gene:Et_8B_060185 transcript:Et_8B_060185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEYRSTWDSTYIDGTRHHDRRRRTHGQSGQGHLPAAWGSLARQVVPSVVDSRWSAACCEMTISWRSIIAEQGCFNSTSTRRWSLPVLLLPSATCSPLLVRLRCRRPTAVPRSRPPCPLPLVVVATGHGEDEEYFHHRSEFLVFDPAVSPHYEVFLVPHVPSRHQAMNVPQSTEERSFLRQGPPIGTLAHVHAQRQAAATRFHCYSAYHRGRLYVQWTNDFVTRLDNTSGSSTYCVVAPPVPGDPSKLPQFHIGRSEKGVYCAAFDYHARLQVWHLDESHGQHPEWVLSDDDDDWSSDFENAPGSEYEVTCCNGHTASKSYYSQYIQDFLGFHPYKEALFLRDPFQRVFVYHFNCSKLQYVATFFLRTDMETIDTPFPYTPCWIGEFPENN >Et_10B_002490.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:11130456:11130638:-1 gene:Et_10B_002490 transcript:Et_10B_002490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGTCGRREIGEPSRAATQRRYMSLCSLKKKLTCTRWPLEDQTAVQERSTVANQQPEVL >Et_6B_049633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7650679:7651842:1 gene:Et_6B_049633 transcript:Et_6B_049633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NLEEKFKARPKRHYPHDKLKNKWDALKPRYNLWLDLKRSATGLGFDVQTGIITASEEWWTEKIAENSKYSKFKDNPMENIDELEVMFQHINVTGASSIISGGHAAIDLGEESIEGEDDVPSAENGESGKKEGKRKIEAVARSPKKKARNPMDRQVTRLVDMSSSSEILEDSCTDSSSSSSESSENSPTGSDSDSSSDLRIASTVA >Et_2B_019729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13146600:13149554:1 gene:Et_2B_019729 transcript:Et_2B_019729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDSPSAPSPTTTAESEDYLSRNPPVDTAAKRSADLRELKEYFKEHTFDTTEDLFRFLCNSDRAARAKDQTEQPSLDKSESEPMLEPDQALPVLAPEEARPVEEISRNGNQWMIEEVMVAFQKYRERKDDLKECDYKFDELHNQCFSVENYNHIFHHFNFTVKMKTTDSADWTSVLYFAEVKELFGHKIYFCCPLEPEENRRCFACKNQRIDDLKHPIIGAFDRGGPDSTIPFMYDSASDDDEVEEYDESWFMRMKAAGVIIG >Et_5A_040793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12666600:12676819:-1 gene:Et_5A_040793 transcript:Et_5A_040793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESCGSRGASPPPPQSSAAAGSAAGRRRKAEAYAEVLRRIRAGGYGGGRPSLDDELWAHFQGLPARYALDVNVERVEDVLLHKKLLEQAREPMNGLVFDIRFSQVVSLEEESTGIESSTYIKQEEQDPQCSSFASRDLRPFHEIIFACDDKPKLLSQLTSLIGELGLNIQEAHAYSTSDGYSLDIFVVDGWAYEYRAWPLVQSMPVRMGHQLPEDSPSPDFVQIPADATDVWEVDPRLLKFEQKLASGSFGDLYHGTYCSQDVAIKVLKPERVSVDMLREFAQEVYIMKKVRHKNVVQFIGACTRPPVLCIVTEFMHGGSIFDFLYNRRGNFQLPDVLRIASDVSKGMNYLHQINIIHRDLKTANLLMDDQVVKVADFGVARVKDQSGVMTAETGTYRWMAPELPYEDMTPLQAAVAVVQKDLRPIIPADTHPMLVNLLQKCWQKDPALRPTFAEILDILNTIKELSEIGFTINALLRRLRPTATLFVGKPSQGAPSRTLGVGVELSRAAVAVRSRRVLHVAWGGGGRRRSAMGISTRGGVADGVAHLPPHLPLRLLVPA >Et_9A_062345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21995721:21998333:-1 gene:Et_9A_062345 transcript:Et_9A_062345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGPTCDFTYKFQAQPAALCSSSASATRRNLKADGTARQTARGESRDASFIRAPALSAMMLRAAGKRLIAAAAGGHATPGAAAPAAGAAMRRGYHERVVDHYNNPRNVGTMDKDDPDVGTGLDGAPACGDVMKLQIRVDEGSGKIVDARFKTFGCGSAIASSSVASEWVKGKQMEEVVAIKNSEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKRAKLAKADE >Et_5A_041280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20620438:20626469:1 gene:Et_5A_041280 transcript:Et_5A_041280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVFTAVISEIANRFISFLVEKYSKRIAPTMDDQMQHNLHRVLLRVRVIVEEAEGRIIRNQAMVHQLSILRKEMYRGYYTMDNFRSQANEEDNFEDRDVSQSFAQSKFNPAKRLFFFSGHTHWANELQQVTDNLNNIIVDMGEFITFLKDCPPLYRPPYSMHLIVDNYMFGRQMEFDRIMNFLMIVEPPSSQGVGILPIVGPATSGKSTLVAHVSKDERVCNYFTKILFVTEDDLDKGLTAEGNERLLAIIELTENIDEAALRRYLASATGHASVIKIIITSRSLKIMNFGTTQTLILNFLPLEAYWYFFKVRTFGSADPNSETRLESIAMEISRDLYGTFSGSFISGSIISGLLRENITVQHWKMVRTFLKLLIKKTSTPTSLTAHDRARENKPVLRRRANNDEFRIYDFDQCPADGTIPKITVSDVAFGSVECDGTFEALAWMSRIPPYKNYIYACEVQKTQPSRKRQNEKPNAVISEIANRFISFLVEKYSKRIAPTMDDQMQHNLHRVLLRVRVIVEEAEGRIIRNQAMVHQLSILRKEMYRGYYTMDNFRSQANEEDKFEDHDVSQSFALSNFNPAKRLFFFSGHTHWANELQQVTDNLNNIIVDMGEFITFLKDYPPLYGPPYSMHLIVDIYMFGRQMEFDRIMNFLMIVEPARCGILPIVGPATSGKSTLVAHVSKDERVCNYFTKILFVNEDDLDRGLTTEGNERLLAIIELTVNIDEAALRRYLASATGHASVIKIIIISRSRKIMNFGTTPTLMLNFLPLEAYWYFFKVRTFGSADPNSETKLESIAMEICRALYGSFSGSFISGNIISCLLRENINAQHWKMVHRFLKPLINKTSTSLTAHDRARENKPVLRRRANNDQFRIYDFYQCSADGKIPKITVSDVAFGSVECEGRFEVHAWTSRIPPYKHYIGACEMQKKKPSKKRQNVKPN >Et_7A_050686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10067943:10072082:-1 gene:Et_7A_050686 transcript:Et_7A_050686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVVLATADAPHNSSNPMSVCLSFRQIFLYGLSGHCTHSVMCRLSSSTMLAVPVTKKFSRRGGNGRLEYGVSAMQGYRETMEDAHVTLEDLDAASATSFFAVYDGHGGPAVAKFCARHLHTELRANEEFHSNLGTAVQTTFLRMDEMLRNREAGKELCKYGGANGQWAKYNKALWLKRLIPCAVRPVYEGPLEDGCTACVALIRGNIIVVGNAGDSRCIISRDGQAMDLSTDHKPGLPAEEQRIENAGHHVTRFQARGGIPRVDNSIAISRSIGDLRYKDNADLPPQQQALTAFPDIRTEVLTDDTEFLFMACDGIWDCMSSQDVVGFVNAHPSHVQPVAICERLLDHCLALPRGRDNMTALLVRFKRSGQPAIARSSQPPPPQPPIEASAAKSGTLSGQTSSSKGAGGSGSSLRRSRSS >Et_5A_040983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16034136:16036819:1 gene:Et_5A_040983 transcript:Et_5A_040983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSESMWSSFGYAPDWLLGVATKDCNSAIARSTLSSASSVRGSPSYHHQKKQDAVTAGLGAGDEAHAVERHAGVLLVAVTLEHMRSALEKDVADALAAFFPPVYPVGPLAEIFVADTGAAEGLDAIDISIWEEDRQCLSRLDGKPDGSLVYVNFVSVAVMMASQTREFVLGLATCHAPFLLGEARRLGGRWRGVAFLDAVARGDGARGAIRHELQLEIAARGRRLGAGMMVLAEQTTN >Et_7A_050342.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6674934:6675329:1 gene:Et_7A_050342 transcript:Et_7A_050342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRVPGEEGAAVASVLARATDLGVRLPVGLHGVALGALVALPLLLAVLAAEVLLDAGEVAQRARRVVVDARRLRAQVDAPALRLERVLLLQLPRQVVPAAVELQVLLALEPLVAHLAHEPVR >Et_1B_010608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12061873:12062924:1 gene:Et_1B_010608 transcript:Et_1B_010608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFMVAALAWCIPIVMLTFALEVITVRRWRGLPPGPRPLPVIGNFHAIAWSRAHRSLAQLAERHGPLMTIWLGRRAPTIVVSTPDAAREVLLNADLAGRPPMDAWRAEGHSANSIINLPPHDKWRAMRRFATAELLTKGRLDDAQQERVGEKLQEMARNVSEHAARGEPVDVGHAAFATVLDLLLRALFSVDLGTEELRRKVKEASLLAATPTISDAVPALAAADLQGARRKLGALIRYAHRIIDEQFARRRRGRDAGEPRKNDMTDVVLDKEQEWREGGSPMNYDAVKGMFTEFFVAGTETTSTAVEWAMAELLRHPEWMKK >Et_5B_045623.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5675247:5676158:1 gene:Et_5B_045623 transcript:Et_5B_045623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHFQVQPPVPFQVEDYSYYYYYQQEAAAQANKQPGKPRGRKKGSNNHSKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEAAAKAYDEAARLLRGADTRTNFAPRISPDCPLAVRIRGLLHHKKVKKAKAKSAAAASAGASKHKAPSSPAAPAASNYSNSDSNSACGGASSSSSSCDDAVKHVGGEMDAGEVYRPDFAPMAAEEFDSWMFDSAFGQFPALDGCFPAAVDSAVPAAAPAPEQPRAAPAPAGEMAEFERIKVERRISASLYAMNGLQEYFDRVFDASACDSLWDLSPLCH >Et_7A_052298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6754942:6761365:1 gene:Et_7A_052298 transcript:Et_7A_052298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QISSSISSLRAEDVSPRLAFHYGVPADAALLAYDPILHVLAVATRNGQIKLFGRDNTQALLQSAGPLPSKFLRVWDIDTKKLCYVHPFEKEVTAISVLQKSNYICVGDSSGSVSLLKLDLAQRCLADMPYWIPFAESYGSAANVGNVVEVVFVSPQPLAEYNRVLIIFRDGIMTLWDIKASKAVSISGKTMQQLSHQEAKTVTSACWVCAKGSKIAVGYDNGDLYIWAVPEISVAQNSSQNLPLQRLNLGYKLDKVPIVSLRWVISDGKAGRLYINGFHDQGYLFQVLILNEESESRIVKMVLPITESCQGMELITGLSDPNKHKQSALVLLLKSGQICLYDDSEIERYLLHSQSKSPTTLPNNSSVKLPYGDSRISVAKLYTNSSSADYFSLAAKCPWLFSMKDKVQTPTSLTNIHKTQNLYITGHLDGTINFWDASCPLLLQIFTIKQQNEDNASNGSPITSLQFDMSSGILVYGDRSGMVRVMTFKKDSSDNMFSFLHAKQGDNYNIRSIKLKGAVTTTSLVSKSKHFAAGTEKGIVSVINIEDATILYQKQFECRLSGGIASLQFETYSHNGYDKDILIIAMEDSSIFILEEETGKLLNTNPVQTDKPSKALLLQILELAPDDASVSDNQDTVSKESLLLLCTENAIRLFSLSHAIQGTKKIINKKKFSSTCCFASLIHSSSEIGLALVFFNGEIEIRSLPDLSLLKDASLRGFVYSRNLNSNSSITCSSDGEIILGLFGMIMKDTKGSKAKENGNELCISTTSEELASIFSSANFAPPSERRNSSLKDDENIELDIDDIDIDDDTQKQKGSHFPVLNKEKISKGLQTLRGKLKPKAEEKVNLGNKKPEDEPSVSQVDQIKMKYGYATNDDLTSVPKMIGSKLQENMKKLEGINHRTADMASGAQSFSAMAKELLWTTKNEKSTS >Et_1B_009700.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17136190:17139356:1 gene:Et_1B_009700 transcript:Et_1B_009700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCEKGENMALQGVDSCLAPHAPLGLCEMVSQCLEGVLCGGRKRRSPEDAEDEVQEMDESDGGCGKRSKPPSPQPHTPDIREAHAPGRRRTVVAEEQSCGGSNLIGEIGRDLSINCLLKLSRSEYGSVASLSRDFRSLVQGGEIYRLRRQNNISEHWVYFSCNVLEWDAYDPYRDRWISVPKMPPDECFMCSDKESLAVGTELLVFGMAHIVFRYSILTNSWTRGEVMNSPRCLFGSASVGEKAYVAGGTDSFGKILSSAELYDSETHTWTLLPSMNRARKNCSGVYMDGKFYVIGGVTNNNKVLTCGEEYDVQSQSWRVIENMSEGLNGVSGAPPLIAVVKNELYAADYSEKDVKKYDKQNNKWITLGKLPERSVSMNGWGLAFRACGERLIVIGGPRTSVGGTIELNSWIPDDKPPVWNLIAKRKSGNFVYNCAVMGC >Et_8A_058001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11665851:11679539:-1 gene:Et_8A_058001 transcript:Et_8A_058001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNITTAQETRISHDCGKAIDNNGRPRIQFEDLPQDVMYRILSQLQPKEFARTSILSSKLGFTWPACPRLTFDGVSMYKCDRSDLQEHIGKFIHEVYAVLQKHRGKHVLIALLNGLPNVQNLTLNIGLQHLEFSGRGLWLADVGPRRHDLGQCKYSYLKDIWITGFKGARGQVEFLLHVVENAPALEAVTITTQAAYKKVWPYGDSEPPFQEAKRIAINSLSVALKPNVKFDVV >Et_2B_022937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8851876:8852804:-1 gene:Et_2B_022937 transcript:Et_2B_022937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEATSYLTGGYCAAMGTYRSRHSPAGDATTSACTSATSFPRYLFSRLPAFPPDRPAFVDASTDAALSFAGLRALSLRAATALAALGLRRGHVVLLVAPNSIHFPALSLAVLAAANPLLTSDELAAQVRDAEPFLALTTADLAPKLRTALTRVVLIEELLAGVDGHDEWVCASDNGDDGSGDHPALLFYSSGTTGRSKGVLSLRAASLDRLWRRRRDGGDDVEDVYGCVLPMFHMFGFSAFVLGTAAMGATTVVVPGRFSADRLMAAMAEHGVTRLLAVPPMVAPMVTTGVTYRHQFTATCNESRE >Et_7B_055286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8987359:8990651:1 gene:Et_7B_055286 transcript:Et_7B_055286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSGSKQESPPPGSSLLGSPSSRSAWWTRETVAVVTGANRGIGHALAARLAERGLTVVLTARDAARGEAAAAPLRDRGLAVVVRRLDVSDAASVAEFAAWLRDTVGGLDILVNNAAVSFNEIDTNSVEHAETVIRTNFYGVKMLTEALLPLFRQSPATSRILNISSQLGLLNKLRDPSLRALLLDEERLTEAAIEGMVSRFLAEVKDGTWSEPGRGWPQVWTDYAVSKLALNAYSRVLARRLQARGQRVSVNCFCPGFTRTDMTKGWGKRTAEEVADVGARLALLPPGELPTGTFFRWCTPQLYSKL >Et_2B_022895.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:7338218:7339669:-1 gene:Et_2B_022895 transcript:Et_2B_022895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQQQTASQDPGEVDGGAPHVMFVPSAGMGHLLPFFRFIAALASRGGGGVDVSVVAVLPTVSAAEADHFARFFAAFPRVRRVDFHLPPFDAAAAEFQGHDPFLLRWEALRRSADLLGPLVAGASAVVADVTLASHVIPVCKEVHVPCHVLFMSCATMLSLLAYFPVHLDKKRTTAAEAGGVGDVDIPGVRRIAQSWLPQPLLDQEKLFTKQFIENGRAITNADGVLVNTFDELETVALAALRDGKVVPGFPPVYAVGLLKSSTETEKAEEAAGSSPVIAWLDEQPARSVVYVAFGSRIAVSHDQLREIAAGLEASGCRFLWVLKTTPVDRDDAAEFGDLLGAGFLERVRGRGVVTKAWVDQEALLKHPAVGIFLSHSGWNSVTEAAAAGVPLLAWPRGGDQRVNATVVVSGGVGAWMEHWSWDGEDWLATGEEIGEKVKEVMDNAAVRAKAERVREEATNAVAEGGTSYRSMQDFIGKVKGA >Et_7A_050795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11040831:11045315:-1 gene:Et_7A_050795 transcript:Et_7A_050795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESEKASFRELVRYADFQDRWLMALGVLGSFGDGMMQPMSMLVLGDIVNSYGGAGTNGSAFSSGAVDKFALRLLYVAVGVGVCSFLGESPLNVRLGLFRRAGAFRSFKFYRLISLVGKLKCRGAEGLCWTRTAERQALRMRRLYLEAVLRQQVEFFDTAAPSSQATTFRVISTISDDADTIQDFLAEKLPNVLANLTLFFGALMVSFIFAWQLALAGLPFTLLFIVPSVVLGKRMAAAAGAARAAYEEAGGVAEQAVSSIRTVVSYGGERQTLERFGRALARSAKLGIRQGLIKGAVIGSMGVMYAVWSFMSWLGSLLVIHLHAQGGHVFVATICIVLAGMSMMMALPNLRYFVDAATAAARMREMIDRLPPLEAAGKKGATRENVRGQIVFRDVHFSYPSRPDTKVLNGFSLTISEGATVGLVGGSGSGKSTVVSLLQRFYSPDNGEILLDGHDIGALNVEWLRSQIGLVSQEPVLFATSIRENILFGDETASLKQVVAAARMANAHDFITKLPNGYETNVGQFGTQLSGGQKQRIAIARALIRDPRILLLDEATSALDSESERAVQDALDRASVGRTTVIVAHRLSTLRKADVIAVLDAGRVVERRTHDDLVAMDNGGEGGVYASMVHLQQQQQKASSSSSAAAAAPRDHQERDRVVEVEEAERNRVSFRSVEIASVASSSVQPSPVPSFRSVQYSVEDGEVDGYDEKARGRKPSQLRLLKMNRPEWKQALLGCTGAVIFGAVMPLYSYSLGALPEVYFLGDDGLIRSKTRMYSLIFFGIAIICIAANIVQHYNFAVMGERLTERVRGQMLAKILSFEVGWFDAAENSSAAVSARLATQATKVRSLVGDRTSLLVQAGANASLGFSLALAVSWRLAVVMMAMQPVIIASFYFKKVLMTAMSRKAKKAQVRGSQLAGEAVVNHRTITAFSSQRRMLRLYAAAQEGPRKDARVQSWVSGFCLSLCQFSNTASMALALWYGGKLMARGLITPTHLFQVFFMLMTMGRVIADAGSLTSDLAQGGDAVRSVLDTLDREPLIQDDDDGVEAAAWEPNNKTRKQKKEIRGAIEFKNVSFSYPTRPEVTVLNGFSLEIGAGKTVALVGPSGSGKSTVIGLIERFYDVQKGSVLIDGRDIRSFGLAHLRSHIALVSQEPTLFSGTIRDNIMYGDEHATEDEVTNAAMLANAHEFISAMEGGYGARVGERGAQLSGGQRQRIALARAILKNARILLLDEATSALDTVSERLVQDAIDRMLQGRTCVVVAHRLSTVQKCDLIAVVKNGKVVERGRHSELIAAGRGGMYYNLIKLQHGRSPCLSPM >Et_2A_018472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2933328:2934172:-1 gene:Et_2A_018472 transcript:Et_2A_018472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIQSPFLALKHVEGLAEEQPVFFSVTERKAIDNCKKLTICTISACQGKFYFNGDFEEIGVLEFCPTPVISFITIRDPIPSPFGFQKEFLVESEQELYMVSLLSHSNLDVVYRFHVHKMDFSSQEWREVSDIGDRVFLLAWWYFGASRSADESIDRPRSRLFVFLIGKRRNRGTSHRRPLWQRLLSAIAIAVA >Et_4A_033917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2802689:2803990:-1 gene:Et_4A_033917 transcript:Et_4A_033917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSMILPLHLPSCARRSAMRASAAATTVAAPTAQSLEESFGRKGLKFGADTAGNATAELSVRNGSSLQLRLGDGLVTSYRPKVYWKDDGCREVLHTVGDADKVKGGVGLVLNEVSSSGGAAESLLAGTEWTVRDADSDSFDAVQVELGCTKGKLDISYVVTLYPLSMATALIVRNNGAKPVELTSAVLSHIKFDKRGGTAVEGLRGCPYSSLPPPAAGFALLTPQEAMKREDPGMFSFGGDEEPRQGVWAVEENQYTILKKKVSRVYAAPPEERKKRIYSTAPSKFTTIDQYSGLGFRVVRMGYEDLYLCSPGGMYEKFGKDYFLCTGAASMLVPVVVNPGEEWRGAQVIEHDNL >Et_1A_008762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12039687:12040610:1 gene:Et_1A_008762 transcript:Et_1A_008762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVEDLPADVLACALRRLDGPSLAAASCATAGLRALAADPETWRALCLARWPSLAARPDLLRDVSPQRLFADAFPWVVIDPLGGRAVNVSSRRPVAVDRHWYTGETLVRYAVVLGGCKFEATVTCAEDTGRVREISLAAEDADGAAVSGEGSLRLLAAAMAGPRKGGGDEAAAAKGRYEEFVRSKRGRKESKARKEVLVDLCCSAVSAVAVLSFIAAVVLR >Et_2A_015321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1119764:1123692:-1 gene:Et_2A_015321 transcript:Et_2A_015321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAIGTLGSLLPKLGELLKRQGAKKNVQFLEREFESMHIALRKVGNVPLEQLDEQVRTWAREVRELTYDMEDIEDIKDRIKEVAERRGRYNISTFIPATTMVDTCLTAYYTKETDLIGMDEAVGDLIRRFTNGDGMSAQQQMVVSIVGFGGLGKTTLAKAVYDKLKRQFDCTAFVSVSRNPEMKKIFKNILYELDKAMHKNIYNAAWDEKQLIDQIREYLQGKRYLIVIDDIWDTISWTTIRFALDDNNSGSRIITTTRNNNVAERVGGSYILKPLNEESSKQLFYQRIFGSEDKCPVQFLEVSKNILKKYGGVPLAIITISCLLDNITEWHKVCDYVGTGLENNPDMNGMRKILSLSYYDLPSDLKRCLLYLSLFPEDYEIRRDRLIWRWISEDFVQHEEKGNSIFELGDSYFVELINRSMIQPVDIDEQGQARACRMHDMVLDLTCSLSRGENFVNISNCSEHTTFWTTKVRWLSLQENTGINMSMAQVRSFSIFSPAIHDSMPSLSRFHILRVLDLEGCDLKECGHLNLRYIGNLIHLRYLSLRHTFLDELPEEIGKLHFLQTLDLIRTLAEELPSSIVRLSQLMCLSVNYTTRMPTGLANMTSLEVLETVRVDEDSINLVKQLGHLSQLRALRIDFNMKTWDGLDESVGKALMESLHDLRKLQSLEITDFYGRDDSVEGGCTLPPRLRRFVLWTASSLPIWIKPSSLLLSHLDIEVNKLQVGDIQILGMLPALRHLWLGESGRIQERPMEKFVVNADVFPCARVCKFFNFVMVPSMFPQGAMPSIEHLEFCLQLSNIINSDFVFVDLNMGHLPSLKHVVVNLYSKQEDSKEELMKLERR >Et_3B_031208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20842774:20844107:1 gene:Et_3B_031208 transcript:Et_3B_031208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAELGQNFLSDKAVYKLPFPAGGGVGACFCGSAGDWLAVAHPDRGNFLLNPFTGATLLLPHQRTVTRSYIRQEGERDSQVVEYYAPRSQREPYIRKAVLSCPPAVDDPGRCIVAAIVDSGELFFCRPGQSSLRRPRCDYDGASDAFEDITFCNGKLYGMVRGGFMGRSSDTMRVFELDEDADRLVESRTDGGFLHKYPEYEAEPEQFWRDPTYLERRYCVESRGRLLMVERHCRRGRNGTRTRLFKVFQRAPIPCLWSTLYEAWVALESLDGEVLFLGGSGPRSFAASDHDGDDADCIYFADDHYQEKDQNVSYGRSEYEPRLDDPAEMQPCRDIGRYCMRDKSVSFLKDLPSNERRSPPIWLYLSEGRR >Et_7B_053829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12508645:12514278:-1 gene:Et_7B_053829 transcript:Et_7B_053829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CTILMQRAELMARTLPTGSSGKHPVVTADRLSSLSDGLLHTIMSFLKAQQAVQTCGRNSGAPCHVSDIDQREFAVAPPHGKVKERFEEFVNSLLMFHNAPSLDCFRMRIWSSNDDSVVASSAALCGLRYLALQPMLPYINYLIWVPALVASKRCILLRLQLPSGCPVLKKLVLTNCHLDSPEITSYTLKYLMISGCTTVSGKLLSIIAPALIAFHLIMTYVGSSLGGILVNEMPSLRRAAIYFKSHDGAGSSSQEGKCKLLCHLINVRNLNLAPCTIGCKTSSFASISTQMDGPQLFGTTVANAFYKLRTLWFDICDLSDDFQILGCFLSSAPHLEKLMLQYCKVFSVFVDLPCLDLVNFLQHAELLT >Et_10B_003592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3640984:3651156:1 gene:Et_10B_003592 transcript:Et_10B_003592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKKVVIEGFKSYREEISTEPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGAGHSVVSAFVEIVFDNSDNRIPVDKEEVRLRRTVASKKDEYYLDGKHVMNLLESAGFSRSNPYYVVQQGKISQLTLMKDSERLDLLKEIGGTRVYEDRRRESLKIMQETANKRKQIDQVVRYLEERLRELDEEKEELKQYQQLDKQRRSLEYTILDHELNDARNALASMDDNRRKISERMSNADNEVVDVREKIKSFDKEIKVSTKGINDTKAQKEGVEKRRTEALKAVAQIELDLKDIRDRISSEKRAKEDAARELQIVRRDCEKSKSDLADISKAHQLKLKEEEEISKSIMDREKRLSILYQKRGRATQFANKAARDKWLQKEIEDLEPVLSSNRKQERVLQEEIQKLKSEIANLSKYIESRKSESSKFEATLAKKHSDYNDLRKQRDVLQEERKSYWKEESDVTAEIDRLREDLTKAQKSLDHATPGDIRRGLNSVSRIIRDHGITGVFGPVLELVDCEEKFFTAVEVTAANSLFHVVVENDDISTKIIQLLTREKGGRVTFIPLNRVKAPDVSCPQSSDFVPLLKKLKYRADHRRAFEQVFGRTVICRDLETATRVARGHGLDCITLDGDQVARKGGMTGGFYDSRRSKLKFVKIVRDNKTAIDKKTTHLENIDKQITELVTKQQQMDAERDHAKSELEQFKVDIASAMKQKESLEKALVKKEKSLDNIRSQIEQIQSSIAMKNDEMGTELIDQLTPEERDLLSRLNPEITELKDRFLLCKNARIEIETRKEELETNLSTNLIRRQKELEAIISSADSRTLPMEADSKEQELKSSKRNLDELTSLLKANVDAINNFTRKMEDLKRQRDEYKTLEATLEQTVQDGAKDLEQLMNSRSIHLAKQDECMKKIRDLGSLPADAFEAYKRKNKKQLQKMLYECNEHLKQFSHVNQKALDQYVNFTEQREQLQRRRAELDAGDQKIRELISVLDQRKDESIERTFKGVARHFREVFSELVQGGHGYLVMMKKKDGDAGDDDNDDDGPREPDPEGRIEKYIGVKVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADEGDTQFIATTFRPEIVKVADKIYGVTHKNRVSFINVVSKEQALDFIEHDQTHNAS >Et_7B_053752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11923883:11926489:-1 gene:Et_7B_053752 transcript:Et_7B_053752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVSKPREESPIAPNEIRITAQGRPRNYITYALALLQDEATEEIVVKAMGRAINKTIIIVELLKRRIAGLHQNTSIESLDIIDTWEPLEEGLVTLETTRHVSLITIKLSKKELDTSSPGYQAPIPADQVRPVNELSEDAGGEDAYLVAVVGDMVEGEGAGEERSTTVERIMMMRMDTRRRHHRDIAAEGEEGEGVADPLGLEGVTVVTVMQWKKLVDTMMRSTTMHHQRKVMMVVGDAVGAEGVVVDVEAGDVDLLHKSRLAGSSTMDCYLRGIKHYVPL >Et_5B_045687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7491846:7493775:-1 gene:Et_5B_045687 transcript:Et_5B_045687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPETPAPPCFLVQLRHSLKSCCFAGPLRDASVLTAAKLLLRCSPRLCSEEGLPGPSCSVSAQLHLAAPGWRAPAHAARGPPRAGRRRRAPHLRERATAIDLGVDVNRPLRFAGGGTIFATLGSSVGTGRAPRARGQPRAGRDVLSSRCPSLKKLCGLEWVALRDDGARVFSSTRFDPSSHRFAEAGRRLQRMEIDTESLAVAPLTRPFDELHGAGKKPLCRIFSSPFPHAELLLPSLRAKQESTHGDRPPRQG >Et_3A_026426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7907159:7911675:-1 gene:Et_3A_026426 transcript:Et_3A_026426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMGSVIGGLPVFVAAFLAFAPPCTLASSRKFDLSVAKSNPVNSTDGSFSTSLQFAFDPSKSKRLSWHPRVFLYEGFLSDLECDHLISMARDKKKSSLVIGDGARNSSENNTDSSIEVYLADSKDTIVSKVEDRMSTWSFLPKEYGETMQILKYEMNRSDYNKHEPQSGSDHDRLVTILLYLSGAKLGGQTVFPRSELKGTRGEEGTLSECAGYAVKPVKGNAILLFNLKPDGVKDNDSQYKVCSILEGEEWLAIKHIRVRKIDTPKSLLASEDECTDEDDRCVSWASGGECDKNPVFMIGSPDYYGTCRKSCLIYTDKRDYRNLQIEPKHDATRKGMILEIGFTKYRWKLLCEPKSGEEGEE >Et_4B_038196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27034275:27037386:-1 gene:Et_4B_038196 transcript:Et_4B_038196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSHCESHCAGPFHYIPCLPKSKDVSGGAASAAGAVAAAEEQPPPVQKIEAAVARKDDGEKREDGEKAAPPPTKSSLKKATGGDSNCPAKGNVQWLDLLGKDLTEVKEFEPSECEDSLDDGDGIAACVCVIQ >Et_7B_054541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2347002:2359163:-1 gene:Et_7B_054541 transcript:Et_7B_054541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGRGKGRGGGGGKGDKKKKEEKVVPSVIDVTVVTPYESQVTLKGISTDRVLDVRKLLGSNVETCHLTNYSLSHVARGQRLEDGVEIVALKPCTLRIVEEEYATEEQAVAHVRRLLDIVACTTAFAKPRDGATRQKSSKHGRPATPPSPAAPASAGTHGDTAGGAAPPPISEAHDMAAIRPPPKLGEFYDFFSFAHLTPPVHFIRRKEASGASQEGDFFELEVKVCNGKLLHVVASVKGFYLAGKPNSVAFSLVDLLQQLSNAFANAYEALMKAFVDRNKFGNLPYGFRANTWLIPPIYVDSAVKCPALPVEDENWGGNGGGSGRDGKYDRRRWAKEFSVLARMPCKTEEERVIIDRKAFLLHNLFVDTAIFRAASTIRRLIDLSMHSTTPTTHGSNVLEERVGDLHISVKKDEADASLKLEEKVDGVAFCQPDTMDISQRNLLKGLTSDESVVVKDTSMLGVVIVKHCGYTATVKVSGRTKDNTDGKQNSDTSDNLDETMLNIDVPDHPDGGSNALNVNSLRIPLPKLINPVMSVGNPTPKSHADNPARKLARTVLEDSLIKLDSMPCKNTRIIRWELGSSWLQHLQKKDSPENGKGNATKVEKEPPVRGLGKHFEQLRKIKKKENGTEVTKSEKEDSNGIHESDKMEVDEANNESDISKLVSGDAFIRLKSLGAGLHDKSVEELTKMAHDFYDDIALPKLVADFASLELSPVDGRTMTDFMHTRGLNMFSLGRVVELAEKLPHIQSICIHEMVIRSFKHIIRAVIAAVDDMRNMSAAIAETLNILLGSPRLENDADTDAHSEHNLRLKWVESFLSKRYFWKLKDEFAHLRKSIILRGLCSKVGLELVARDYDMNSPNPFEKSDIVSIVPVCKALSKIITVCGPYHRLTANAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPETMKSYGDLSVFYYRLQHIEMALKYVNRALYLLQFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSMMDAYSLSVQHEQTTLQILQEKLGQDDLRTQDAAAWLEYFESKALEQQEAARRGMPKPDSSIASKGHLSVSDLLDFINPDLERKEKDMQKKCRRAKNNIRAHHGESLEEKENVQHDMEPSPEASSDSFKEEHLDVHPPVVSEETYTVPDEQKQTDVLSPEEYSDEGWQAATLRGRSANVKKKNSRRKPALTKLFVDRFEDGHTGSAYRTGSQPQTKGGKEDSVSAPSQLSFGSFLKADKLNGDPSIVEDKSGNATAKPDQGAKPKGINRPTSIASKFVSYKDVAVSPPGSVLKPILEQKEAKDNDNGHDTDLTLSSGEEDRNFTEKDNEKQSDDNSKEPLPSQAMEILPQAIDTRVPRGPRSTLYYRTGHAFQRKQGYTTHSQSTILRGNNSPTTMNPHAAEFVPGKTMQQSDAANREPSQANPVTDDSAELIADQLKAETPAEEAGQVEKVISSKGKENRGKDITRNSYKTELARQILLSFIVKSVHDSLGSTGAELDRKPSGSDEANVEQSSNLSKNASDRKDFDKQRKATEAPKGEKDTEGFTVVSKRRRRQQPFMNPINGLYSQQSICTSVG >Et_1A_004610.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22692559:22692819:1 gene:Et_1A_004610 transcript:Et_1A_004610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDNIGFLMASTIPEAQQLVNHRHILVNGRINDIPSFRCKPRDIIGCRATGPQGKTKKRMRKEPNKEQHASKSMQRGAAGHTELK >Et_4B_039912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5732758:5735816:-1 gene:Et_4B_039912 transcript:Et_4B_039912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRLPPKDTSSVSDRYLKLLSIPPPIHPKEPDSPPDSILLDPFGYLNPRTNGTTAEGFRRNKERILVTFWAASPPRVSCFTVHSPGEKPWSSGGMPNILCTEDDLVLLRVPIRSSPDGLLPNEESDYFVYQAGAKNKPPSLQSIPIPSDIRFGDHEVVLLPCRDRDMFFLAAIHRTFIDDQYNMRMFCLLLYNSETGRWISMLTDVDAPQNFRYCITHKVITIGGELGSVGWVDLWHGILICNLLQEDRKLLYIPLPPPLVPKPLEGDPTFLRNIIARKDHIQFFEMHYAGWVSIPGTEFFTLKGWEAATKIMEFSNMDSAKWEEDCTFQFSEVPVNNPDYARVLPNQGPGEGAKLTLERLPACYPALCLHDPGVVYIMSRVDPRVRKASVIAVGMRNKTIEDVADFGSGRPIGHDYTYLQSGISKYLGREMLGHLARRKSQLRREWKLQHEEVAVVEGMETASRVLDMAMEHVVAVVIRSWVYNPHSCWRATWALGFNRLPLCDEFAQPGQRDADLGGGPPAIASRSFRLRARISKILREEAATGRAHIR >Et_5A_042711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2844974:2847337:-1 gene:Et_5A_042711 transcript:Et_5A_042711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVVLTVLALLGSVSCQSSYGGYGGGSPTPAPIPPPPTAYPPTSPSPPTPSPPPPAAGLRVGYYDDKCPGAEAIVRDAVRVADAGIKAGLVRLFFHDCFVRGCDASVLLKATDANPQPEMLGIPNLSLRGFEVIDAAKTALEAKCPGVVSCADIVAFAGRDASYFLSSSAIDFKMPAGRYDGTVSLASETLPNLPPPFANVQRLKDMFAAKGLDTVDMVVLSGAHSIGRSHCSSFSGRLPPSNTSDMDPTLAAKLKADCAGADNTVMQDYQTPNDLDNQYYKNVLNHKVLFESDAALIKSTDTMRLVYAAVASQKLWQYKFGESMVKMGGVEVKTAANGEIRKSTGNISRVEFTTFTINKRSFENK >Et_2A_016502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25313441:25322149:-1 gene:Et_2A_016502 transcript:Et_2A_016502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELTTNDISIGSGNSLGWVWHPSVVPLWDSATGELASFNTAFSFMITVDSKYVNPDGSPNLGDGMAFFLAPYPIKDFLSGVDGGGHLGLFNATGDGQVVAVEFDTYKNQWDDTAQHVGIDVNSIKSEEYTDTTTSAQTGGKNNLTSGILLTAPINYDTRTMLLAVDLDIDGSTYYVSHTVNLKSFLPEKVAVGFSAATGSSAELHRISSWSFDSTLEEKVVPPAPAPSQKISHPSDSSPPPAQQGPSTKLLLKVLLPILAVSVCAAAGVLVWLWLRRKRNGQQHEALHDSESDEEQHGAQEADFERGVAGPRRYRYRELVAATGDFNDEITSSAALQSDKRQVAVKFTSEKSSQGRKEFEAEVKIISRLRHRNLVQLSGATAPKGYCLFTSSCQKEASINTYKIIMGLGSALRYLHQEWDQCIVHGDIKPSDIMLDSSYHTKLGDFGLARLVDHGTGLQTTARTLLTRSDVYSFGIVILEIVSGRQPVVQAPPFVLLKWVWSGDRQMERALVVGLWCAHHDPAERPSIAQAMQALQSEDAKLPVLPPHMYKLSSRRCPAASWAAPSPTASARRRQPARLTLRSRFPTDRTRPVALTSPPLYRHSFLCIYYYMLVRCIHLPYASSFAFNFSNISTSPCGTNLVCWGNASFANQMIELTTNDISIGSGNSLGRVWYASPVPLWDAATAELASFTTTFSFKITPDKDYKNSDRSFNSGDGMAFFLTPYSTNILSSRYDRGGSLGLFNATGNGQGVTVEFDTFRNKWDNSGQHVGIDVNSIWSSASTDTSTAGDKINLTSNTMMMAKINYDNQTKLLAVDLDIDGASYHVNYTVDLRFFLPEKVAVGFSAATGLFADLHRISFWSFDSSLEEKMVPDPFHSKPPVKPEPTAPPYHQEFQSDSTDTTDSTTKLLLEVLVPIGAVLICATVGVWLWLKRRRKAQQIVAPNDNESSDEQHGKEADFERAVAGPRRYDYRELAAATGDFCDENMLGRGGFGSVYKGNLQGVGRRQASGCEAVVIRDGRKQFEAEVKIIGQLRHRNLVQLLGWCDCPKGLLLVYELVPEGSLDKHIHSNPWLLTWQDRYRIIMGLGSALRYLHREWDQCVVHGDIKPSNIMLDSSYNTKLGDFGLARLVDHGTGLQTTATVLGTAGYIDPDFVNTRRPSTKSDIYSFGIVLLEIISGRQPMDPREPSFMLLKWVWSLYSQGKTIEAAELRGDEAERRQMERALVVGLWCAHHDPGQRPSIVDAMHVLQSEDAKLPVLPPHMYKLVAHPSVISIGGISGISGSSFSSGDRSSVTTGTTYFSQSVTYDRPFVDLV >Et_3A_025617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31234481:31244568:-1 gene:Et_3A_025617 transcript:Et_3A_025617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVRPEGPRLLDVPGLGTRHYQGRGIHCPPLPPPRAAPSLSSRRLKWCTARWSSRLHEQRMRRLAAAAARGAPRRQRRGGTCSRSAGELRPPRAAPPRAPPLLGAPRSSTPPPLPPVPHHRRARSSAPWMAGELVRADPGEGELGVVVREEDAKWFMGPTLMDNDPPLPAICSGPTLQAALASPAASLFYAIATRRARHRPPHIFLSFRPYQVLSFLRRILLSFRRQLVPDGRDQPIHRGSVLLLEQTSPSLHERDRPMHSCLWRAVEDRSQHKNRASALARLRTLIALKVRKPINLEDYTPPVELLQILPLKSTIRGKDVGPQIGPNNSKFSPGMQALLDLLYAVEGSVSDAAKILGLSTGSLSRLILSDDSLRVTANEVRASKCRHSYLVRTEKPEDDLKEIRGM >Et_2A_018819.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8181935:8183452:-1 gene:Et_2A_018819 transcript:Et_2A_018819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATTPGRCVLFLASLVALLLLFVTAVECYDGGRHAVARRSRLGNRHVQLHRRNAAPHRYVLAEKSNTTGGAGRPKNASAPAATPPPTPAEQDGKHHRSHKHRVRNWIIGFVVGSLAGVVSGLVMSVLFRLLLNCVRGRYRRRSGTVIFTPKLIKRAEQLAFLENVDGLASLAVIGSGGCGEVYKAQLPPEREGEEPRFIAIKKIKKNSGGDMPNSNLSDEESRQLDKWSRQIQSEIRTVGYIRHRNLLPLAAHVPRPDCHYLVYEYMKNGSLHHALKATSGEAAAGARDGAVDASAGAGEEGVATATTTTTALPWPARLRVAVGVAAGLEYLHVSHHPPIIHRDLKPANILLDDDMEPRIADFGLAKAMPDEHTHVTASNVAGTWGYIAPEYHQTFKFTVKCDVYSFGVILAVLGTGKEPSDPFFAQADDVVGLVRWLRRVMQEGNHAEAIDPAIANPEHEEQILLVLRIAVFCTADDPKQRPTAKEVRCMLSQIKSVRDLL >Et_8B_060689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:486725:489972:-1 gene:Et_8B_060689 transcript:Et_8B_060689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAPTLASAAAASTSAPYPATRGRDPLTWRLRGLPLVPLAVSNRHGLGIRGAPLSTSAHHHQGLNSRIRFAAAEGMAAEASAAAEAKPFAVLFVCLGKSPQSLACRCFRLRARARSDCAWNICRSPAAEAVFRNLVSKRGLDSKFHIDSAGTIGYHEGNKADSRMRSASKKRGIEVTSISRPIKPSDFRDFDLILAMDRQNYGTLTIPAFCVSLKYLWMFVDGSCLKHFSFELFAEDILDAFERWRHKETLPESAPNKVKLMCSYCKRHTESEVPDPYYGGPQGFEKVLDLLEDACDSLLDSIMADISA >Et_3B_031390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27981410:27983529:1 gene:Et_3B_031390 transcript:Et_3B_031390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRLRVLAAVMALAAAADSCGGFEFHEATVDAIQLGFRNGSLTSTALVRFYLDQIGRLNPLLHAVIEVNPDALAQAARADAERSSGKCHGGWMHGVPVLLKDNIATRDRLNTTAGSLALLGSVVRRDAGVVSRLRRAGAVILGKANPTEWSNFRPISTPGWSARGGQTLNPYVLSYTPCGSSAGPGVAAAANMAAVTLGSETDGSILCPSSFNSIVGIKPTVGLTSRSGVIPITPRQDSIGPMCRTVSDAVHLLDAIVGYDALDAEATGAASKYIPRGGYRQFLKMDGLKGKRIGVFDGIFDGGDDQRTRVYEKHLRTMRQHGAIVIDHLDMELNLTDLNIQETTAMNAEFKLSLNAYLSDLLYSPVRSLAEVIAFNNAHPVQERLKDFGQPDLIAAEKTNGIGKVEREAIRRLNEMSTNGLEKLMKEHQLDAIVAPDSVVSSILAIGGYPGIVVPAGYDKQGIPFAICFGGLKGYEPRLIEMAYAFEQATRVRRQPSFKH >Et_6B_049727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9534691:9540450:1 gene:Et_6B_049727 transcript:Et_6B_049727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAPQFKWAPSPSAHSAHNHPSTSSSSRCSGLRVRCVITSAVVDTDRASAAVRLAYAGSDSASALQRNFESTLASEVLLNEEAVITAAAAEAVALARAAAEAAQEVVRMVQKNSNKQPVARQKKAVDNYLANEILRTEMESNILNEYGNDVLLEDLEAYGIITDEGELDDDAQYNENIAVKSARQSERRARRTRAAIKAANSVRASQKMTASSKKKRAKGSSSSMNPLGSLWKMTGKRLLTAKEEVEFSNGIQDLLKLEAIQAELTEYNGGQPSFAQWATAAGIDEKTLRKRLDHGIYCKNRMVTSNVRLVISIAREFEGPGMDLYDLIQEGMQGLIRGAEKFDASKGFRFSTYSHWWIKQAMRKSVSEQSQIFRLPAHMVEASYRVKECTKRLKRKLQRRPTNEEIAMDTGMPIKRVEAAVNLPKYSVSLDSKIGSTDMTYQEVTADPSAETAEEMLNRLSMKKDVHQALDTLTAREKQVVVLRFGLDDGRIRTLQEIGNIMGVSRERIRQIESGAFRKLRSKKRVKALKDYLVPFQLMRRQVRRD >Et_6A_047919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24008790:24010080:1 gene:Et_6A_047919 transcript:Et_6A_047919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGTYATLMGARLVTYGARYLRRSGVASCRPCLMYALMLTSFWPAPVSTRQDGGRRRGAGLGIALTLRVPVASSSLSSRATELACVAAVLPFVAAFSAGDGPLVAMYTAEILPLRLRAGLEPGNGGEPGDMRAACAFAVHGGARRGEGMSECQVEGDKSVGKVL >Et_7A_052168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5210385:5222898:-1 gene:Et_7A_052168 transcript:Et_7A_052168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDRDGDGDRRMAERDLGDIVLSWSVRDIMNDDLYSVQVERIPLSFMSLDHYLKSYVAPLIEETRSDLCSCLELITEAPSSKILSMEVAGKSGMYFMDVDFWDNGAGFSMETYTARNGDIFILSSMKPEAAEDFNRYGLTYCLAMVTEVSMDDEYQKGFRVKVAKDIGSEEDLSKLGHAIFLNNIMTHIRIWKALSFDNSMNNNFTIIKSLLAPRTTNDDGCGVCVKQEGDHLASFAGKLLSVNLNQSQLDAIESIISAVKCRHLNLIKLIWGPPGTGKTKTVSALLWALACLKSRTLTCAPTNVAVVGVCTRFLQNLKDCNRDTDGYGLPLSLGDVLLLGNKYRMDITEELQEVFLDHRSEELVECFSSLSGWRYRIASMVSFFEDCSSRYVMLLEDDGSSGVVCFLDFLKKQFNVAATAVKKCIISMWSHLPRRCFSCDSVSKISGLLDLLEKIDALLCDQNLTDDGVKRAFGFLSAENVNPMSSNEKELDEASSYRLHNAEIAPLDVLIVDEAAQVRECELLIPMRLRWLKHVVLVGDDCQLRPMVCKESGFGISLFERLVLLDFEKHLLNIQYRMDPSISLFPNARFYERKILDGPNVLSPCYNKDYRSLPFGSYTFINITDGREEKEGAGNSWRNLVEAAVVLHLIQAIFKFWKRTGLGLSIGVVSPYSSQVRVKSVDGFQGEEDDIIILSTVRSNGRGVVGFLDDNQRTNVALTRARHCLWVIGNANTLYKSETVWKDLVADAQRRNCIFNATNDTAICKLVLHVKNELDELDDLLNADSAVFSNTRWKVILSDNFRKSFTKLKSPQLRREVLQKLVKLGGGWRSVVKNFDATDAFQLAKVYRIRDLYLVWSTDLEKNERYYQIIRIWDVLSHQHVSRTIQHLENLFSMYTDDYLDHCRSVRSEGKLEVPIIWDDDHDIIRYKKDSEVVDQENHDHVDTSCALENTKVSESFLLMKFYSLSSGVAKHLLTATDGTEIDIPFELTDEEEAIIRFPLTSFILGRSGTGKTTVLTMKLIQIEQQSLIASQGVDLGEVDLSGLENKSIVPMKDTSKHEIFVKQVFITVSPKLCSAIKNQICRLRRFGSGDVSDQPGTLHMHDIIDDLEEFTDVPDNFSDLPREHYPLTITYRKFLMMLDGTFQSSFFDTFCGEFKLAIERRHSKSRAFQAFIELKEVTFDKFAASYWPHFNAELTKKLDASTVFTEIMSHIKGGYQAKRPLSDKLERLDYVMLSDKRFSSLNSELRDRIYDIFLDYEKMKCTAREFDLSDFVNSLHSRMLSEGYNGDLVDFIYIDEVQDLTMTQIALLKYVCRNFKEGFVFAGDTAQTIARGIDFRFEDIRSLFYTSFLSEIDGCNEGTKHGKQVHLTDMFQLTQNFRTHCGILRMAQSIMSILYYFFPSCVDKLSPETGLVYGEAPVLLESDNDENAIMTIFGESKSEHHNQHGFGAEQVILVRDDATKKQIVDLVGKQALVLTIVECKGLEFQDVLLYNFFSQSPLRNKWRVVYEYMKNKDVIASSEEVSHPDFDRNKHYLLCSELKQLYVAITRTRQRLWICENADEYCRPMFDYWKKLCIVEVRLLDSSLIEAMQSGSSTDDWRVRGTKLFNEGQFEMATMCFEKAGMVYMEKCGTSRLEDAGDCFSVTECWAQAAEVYFKAKCYAKCFSSCLKGKLFSLGLEFLQQLDKESLCENLNPSEVAAVTKTYLENCALHYFECGDVKHMMPFVKAFNSMDDVRAFLKSRGLVDELLSMEIDTGNFLEAAGIAKHKGDVLLENENSGYKFEIEPFSEDEMRCHDMLACNLISPETLVCVWNTWRSIIVKVLINLQQSEFPKSNHSAAMCQDLCDMYFGLRKVEDNRYMVLNMDSSWLSNMGRCSLQQDGNIFLLDYFQFQSCAHDFLLNELSCVGLSVLKKLQSFVETCLRKASCPYVHWRTVTRIYEIAKFLEESEFAMPKYSIKLRDFFILCERHLFKLLSLTSRDETINILLCILDSPTTFSLIVDSLGSYLRPLTHGHLGRITMLLLCTGRLDGMLITKLMQYLDKDSEWARFFQSLKTFLDSGAGTSPLIMNFKLALEFTFNANWRAEPDYMSPLCFVDLLESLGFLASSYLVLNGRVFCTKSVLIKMLKSRACKDYLSTCLAPSAEYLELDRMAFSSRRFIVESIRSLLVNKSMIQDWVKKTSTPTSTYVPILMRLVIMLYLVTLTLQFGDCYEITNFLKNVRVFEDLPVEFSEKIVPALNMKSRTRSNFTGIFAGALAAIGNQMVVVGSPKGRSFSRDLNACIISCEDLRDAKKLRELLFREEPRITSGGNQTCNDIPGNFPATSAQDDNMESRRVVHLSDENIPFWEKFESFQVYMHDGLKSARIIIQFLRNVLYWLEQRVALENMDAQLFEEARHICYQFHREEQRSCLTAEDLYSMWQDGEDKMQRIISFLRSENASLQDSDRKAEATPAGQFHDDRDDEWNEFSDDEPGTGGRDEEPDPIKEEARDVSSTSKTKVQKQKNKKKSKKRKGK >Et_1A_006421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22006975:22007747:-1 gene:Et_1A_006421 transcript:Et_1A_006421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITDRFDSLEQLDEFMRWLAVHGLAVPTKMWRKVGEMADTTGRIPLWLIGTVTGILVIGLIGVFFYGSYSGLGSSL >Et_7A_050658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:105415:108719:-1 gene:Et_7A_050658 transcript:Et_7A_050658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGHTRLDVRRSPQSSCSCSTTTVVVFVALCLVAVWMASSMLATPAEFSTFQSKARPLVRHDPTPIAGEEGNEMGPVDPVPVEDEPPEKEQMTPVKQDTANEQHNFTEQSKHPDGGNGSELPQKLDQAQKEDKPAEVFPDETQAELLIETTTERGPWPTQAAQSNKDTKEQTVTPNNPLTYSWRLCNVDAGADYIPCLDNVQAIKKLRSTKHYEHRERHCPEKSPTCLVPLPQGYRNPIRWPKSRDQIWYNNVPHTKLVEYKGHQNWVKVSGEYLTFPGGGTQFKHGALHYIDFIQEAKKDIAWGKRSRVVLDVGCGVASFGGYLLDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPSRVFDVVHCARCRVPWHIEGGKLLLELDRLLRPGGYFVWSATPVYQKLPEDVEIWEAMSALTRSMCWKMVNKVKDRVNGVGIAIFRKPTDNRCYEERSEANPPLCGEYEDPDAAWNVSLQTCMHKLPVDTAIRGSQWPEEWPLRLEKPPYWLKSSEAGVYGKPAPEDFKADYKHWKRVVRNSYMNSLGIDWSAVRNVMDMKAVYGGFAAALSDLKVWVMNVIPIDSPDTLPIIYERGLFGLYHDWCESFSTYPRTYDLLHANHLFSKVKKRAKL >Et_3B_031396.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28148019:28148834:1 gene:Et_3B_031396 transcript:Et_3B_031396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQAAAAAAAAAGDAVFARCLLTLYLISPLTVLALRFVSAPYGKLSRPGWGPALPAPLAWFLMESPTLWLPPLVLLPTPARLLLLSSPLTAALPAALYAGHYVHRTLVHPLRLLRLRRAPAPVPLLVAACAFGFNLLNAYVQARSIALHADRPVTALAVARCVAGLALFAWGMRVNVAADKELLRLKEAGGGYKIPRGGWFDLVTCPNYFGEAVEWLGFAVLAWTPAAWAFFLYTCANLGPRARDHRLWYLQKFGAEYPASRKAFVPYIY >Et_7A_051174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15074744:15078436:-1 gene:Et_7A_051174 transcript:Et_7A_051174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAASGRRRPGSAGRAKKGEDLALLKPACERRPGAPSTSFSAFALFDGHNGSAAAVYAKEHLLGNVLSCVPPDLDRDEWLAALPRALVAGFVKTDKDFQTKAHSSGTTVTLVIIDGFVVTVASVGDSRCVLEAEGSIYYLSADHRFDASEEEVGRVTECGGEVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGEFIIPVPYVKQIKLSSAGGRLIISSDGVWDALTAETAFSCARGLPPEAAAEQIVKEAVESKGLRDDTTCIVIDIVPPEKPKCTVDSPKTPGKGLGLLKSLFIRKITSDTVSLPDKEIHCESDLVEEVFEDGCPSLSRRLNSEYPVRNMFKLFVCAICQTELQSGQGISVHEGLSKPGKLRPWDGPFLCHSCQEKKEAMEGKRQSRDSSSRNSGSSE >Et_1A_005721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13062723:13069197:-1 gene:Et_1A_005721 transcript:Et_1A_005721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVAMEVVGLRAMWIHVDGKDSLPVDLPKPIETVEPVHANVKPFSVHPLPSTKFSDVLVESPNDTDSLKEERTQYYPGKEIKRRKRHRRKQYVDQEPCIMRGVYFKNMKWQAAIKVDKKQIHLGTVGTQDEAARLYDRAAFMCGREPNFELSEEEKRELQMYSWEDFLAVTRNTITSKKQRKVGSLRRSKADLFMGQSDGDTEMVVVVLGIANCDTVTF >Et_8A_058180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2372898:2374584:1 gene:Et_8A_058180 transcript:Et_8A_058180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQRGIGGGRRRDDPGLLTRAVDKVFRFVRLAEFEILFVLFFLIAFILFKDLVSNPTVIPVFLPYTFQINAQCDWVGLESRTRTKQLGNNWIFKKHVVAVM >Et_5B_043138.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:10297623:10297751:1 gene:Et_5B_043138 transcript:Et_5B_043138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIMTAAWVIWTQRNDIIFNGATISFSEWMKDFKESFTLLY >Et_3A_023518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32227304:32228074:1 gene:Et_3A_023518 transcript:Et_3A_023518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSISSSHGPATSPAISTRRPAGRFPPSLGSIAAAHKRSSSVTTGSETRGLTPVKSSGLETTSVGAEAVVVTGQVTEVNKDTFWPIVKAAGEKLVVIDMYTQWCGPCKVIAPKFQEMSEKYLDIVFLKLDCNQDNRPLAKELGIKVVPTFKILKDGKVVKEITGAKIDELVHAIETVKSS >Et_8B_060767.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:6574404:6577151:-1 gene:Et_8B_060767 transcript:Et_8B_060767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVVKGLVSGLSKPTLDSVAYWIELLQGKHTATEEMKKESELLEAAIWDTYNCKRSSHLLRAARDQAEDLHIEMEVTLDNARRLSRYDHPGSPLSCFLKYGHNIWHTPSLWKTANKMVKLRSQINRSLNIMTVFVNTDENTSGTARLQNRHVTPALPGADFVGMEEQLSSLYKYLMTGKSQIQVAIVVGMHGAGKTTLVRHVYEQSTVRGQFNCHAWIPIDASLERKDLLKGIIRRLYSEANLPTPNGIDQYDDDMLGSKIRNFLEGEQRRYVIVLDHISTRVQLKDILDLALPDESSKNFGRIIVTTSNLDVVKSCKSPYIIDVKQLSDAKVWELFCKKVSADDFHPELKVGNNLRERITGLCAGLPLAVDLLVGLLYPTQPHQWSSIIDQFQENGYKEILERNINDLSDMSETNIKKCLMYFSIFPKGSTVTHNTLVRLWTAEGFIRVSRGKTLEEIATNYLNTLIERHIVQVAEHYEYGRPKSYKLNGLMHDEIRKKAEEDNFCTTLVASLSSLPERIRRLSVQVTVKHRPKNEHLPNVISLFISSRNSHIPKLLSRARSLKILSLTDESIQVFPKEISKLTHLRYLNLGNTKISKIPASVGNLINLQTIILKGTLVSELPKAILKARQLQHLLAYRYDVEKKADRQPDIIYGVKVSKGIGDLKELKTLSIIEANKDSSTVKELHKLTKLKRLGIVKLNGDDGPDLCKAVSEMAQLSSISLTSSDNEPINLTNLLTIPPNLQRVYLRGRLNARDNFFPSLQTLVRLRLVRSSLTTNVFNELQHLPKLAELALIQALDAEELNCQHDGFPNLKILDLDQLNNLVQMNVSGSLKSLSKLIIRNCSRLTSIPQGIDRLRELKELHLFDMPKTFLKKLLKGNENYEHVRHINVIRCYKEGFPQVTEPWDAGSTR >Et_1B_010086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23080393:23080935:1 gene:Et_1B_010086 transcript:Et_1B_010086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLAHGHRGHHTIQIRRSSYHDVIRVSDIQRFLDIAGVQTYVINSARVIFLNERPQQHKPGAGGKAASASANLCEVCARSLLDNFRFCSLGCKVVGCSADPVKARNRLRYPSSDGGAASSSLRNADRMQSFTPPTPPTLPTKRRKGIPHRAPFGSLIVEY >Et_3B_028898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20121262:20126827:-1 gene:Et_3B_028898 transcript:Et_3B_028898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSPWAGLGASWAAWAGEQMDEASPSSWSSTAAAAAAYDYERDPRWAAYRASLPVPPHLFSHPHVRAHLQHKFYRRFVDPNFAIEGMSSTPSSQPSASSGGASTSASENARPPDSATNSAGPARSILSLQLDQRSLHFSVNGWILIVALIGILPMAPQSLQYKGYRLSLLGTTCTTGYPLFAHYRPPRAGNLQTVQAWCHHVTSSKDFIPFMYCLMFVTSKLRLKLVLVPVICWALEHVAIFLRRHFTNSSLYRTYLEQLCTWVETNITAVHFLCSNAEILLGFLMILSLFTRQRNAMQTFMYWQLLKLMYHSPFTAGFHRAIWLKIGRTINPYIHRHAPFLHDRINAGMRWWFR >Et_4B_037310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1822680:1826899:-1 gene:Et_4B_037310 transcript:Et_4B_037310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRRPSTADPKIPHWYTPSQPSHFGQTGFNPAQPPRFVPPPYQSAPAPRPPPPPPQFAPPPYLYGQVPYGYPASTCPPLEYIQQPMIPFLAPAPAAASAPVPAAASATVPGPAAPYHGGSMPPPLSDAPPGIATISVSSACVSSPLPRPLAGAPDTGLVSTSQVPSAVSASSGTSAPCQPQEPSRKLWVSNLPLQADERWLLKTFDFGNEVTHVKVMRHKVTGQSTGYAVIDFRSHEDAKVFLQNWNNKIPLGSHMALAIKWPRSSLYEVYVGNLSPAVTDSILLATFTAQYNSVQDAKVITNPDSNLSKGYGFVKFADESEMRLSIKQMTGAMCLSKRITVREAKRKVAAEANKPPRSEELTTDGQDSRIAEPSMDVDFQDEINDPREIPTVEEMNASYFDLHGDFGVRPCKDFGCNTELHARIVYMAVLEEIKPLFVQFST >Et_3A_023133.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30111291:30111683:1 gene:Et_3A_023133 transcript:Et_3A_023133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRLHWRRGVLALPGARGVADQAGRVHQDLAAAVVRAEAGQALLVQGLGYHARLRAPRRHPRRILPHRQGRRGRAQPAVRLRALHPAGDHVLHCRLREGEGGVDQLHRPLHRPALPLRHRRRGRRLRQPPW >Et_1A_008839.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:15598425:15599024:-1 gene:Et_1A_008839 transcript:Et_1A_008839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAAVNLDTLNAKHYIVAALTATLAVAVVVTVFFVVLSPARITFSVARAGSSIHQPGGDGGVLLTLTLAAVNPSRRAVVRYESMFVDVSNNTGPPWVNWIRATVTTRLPLRQPRASVATVSAAVPLVRSPWTEAFTGNMTSGLTVIVTAVARFKVGVAQTRLYDIRVTCGPVGFFPAGARQSGTATAGRPDPVDCA >Et_8A_057344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23880551:23886877:-1 gene:Et_8A_057344 transcript:Et_8A_057344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGEAEGPRDLDTAAASGCANGSCDGGQGAEAAGDLTVPNKHTPPDTVVACENGAAQGISSCSMVIDEAGDVAKTAQQLGKYYKRRRFPKVTTGFSSKRTLNRDLPDQLEARAHRLLKDAGWSITPRIRNDRPKMAYYFAAPQREVVVTSLAQAWKFCGQRLHAASGGSEWGKFPMEWSDVDQFWKDLVTAMDYVGKMTAQGEKPLTPLRQWHILDPFVAVVFIDRRITLLQKQKTIVAVDSSTRVVHDSNNRFSGASCNGHKDVQYLRSSQETHVKPEHCCTQNMDPEVASPRENHFQSNSEEVHICSRVNLGNNLVKRVRKKSRWLSDFESTGLNGLYARSFTQPTIGSNQSGSCSTKSNMSKKHVKAENESEKLSREKGDLLLRLAHERTNDTRGEGTTEELVESKECSEIKYDVTELGAKKFGTAKRKQSRTLQKPSVRGSSKDLVTVSNGSNIVAELGHKRDATLVECSTSLETQSQGRTVIKRKSQNWENHAKRRPYEMDFNDDDLLITAIVKNRDMGSCHKYASRSVSSKSKCRKLNSSKKRGRLLIQTARKVGTDVPDGRQIILARKTVLCWLIATGFMTLKDVVQCRDPKNNEVLKDGWVTWDGILCSCCIKTLPMSDFKAHADSSLPKSSLNLYLQSGKSLTLCQLEAWTAEYMDRQINDCTRSVEAEAIDENDDTCGICGDGGELLCCDNCPSTYHQACLSDKELPDDSWYCHNCMCQCCGCPVSEEELSSFSAILKCLQCGAAYHDTCVEMGEKTFEDVDSDEWFCGRYCIEIYSRLRDRVGVENSLGDGLSWTILRCNSGGQQLHSVQKIAHMVECNTKLAVALNLIEECFVRMVDTRTGIDMIPHVLSKYARLDYHGFYTVILEKSEEILCAASIRLHGTKAAELPFIATCREHRRQGLCRRLINTIEEMLRSFHVKILVLSAIPELVSTWVSVFGFKPIEQDERKQLDTVNLMLFPGTSLLTKNLEEFLEKLRGNGLQGLRLCMILLCKLRSKMLAN >Et_5B_045593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4990889:4991729:-1 gene:Et_5B_045593 transcript:Et_5B_045593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSEECVLRPCLQRIEAAEAQGHATVFVAKFFGRAGLMSFLTAVPEPQRPAVFQSLLYEAAGRTINPVSGAVGLLGSGSWHLCQAAVETVLRGGAIRPLPEFDAGGFHAAAADDRRDPFAFTARRAVGCSTFSAAKRVTTRNISAARHDAVAAAVATAPEPSCDLGLWLSPESPPAMAERRLMRRPGTPSMNSEESVTTTTTTTSSGGGGREPELLNLFV >Et_3B_031496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31950302:31956032:-1 gene:Et_3B_031496 transcript:Et_3B_031496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEVETRLERGGLGGRGGERRGGRECHGKARERGGSARGAEGTEAGGARASERAQQGVVAAGLCTRKHWLGGGAEAGQAEMLERPSTNEVGVTAVTNPSVPLNEGFHSWASSRAIVESDPAVRRWATHSCLKKGRHPHRRPAGSDSLRNLRGLAGERRSGAGTSIPPARAAYVPRRLFSSLSPVKGTNFHRPEAWPTSSSPVGSAIISCSPLVSGLGSNFGELELGNGEEYAGLICGTADRFGERRIEWGERTIRVEISGVFVQQYYHILHESPDQVHKFYQDSSILGRQDSNGTMVSVTTLHEINEKIMSMDFRNCLTEIETADAQLSHKDGVLIVVTGSLTSSKGICRKFTQTFFLAPQESGGYFVLNDVFRFIAERPPPEISHVVIQENEDSQNAKSATETEPTPVDKSQNSDHVALENSVTEGQVIDPTVNDTAFENNVSVKPLAQVAKEDANKAVVAPPPPPAAPTDVKVMKEGPPTPPVAKPSPSVAKTKSAPKPVNKIVEGEEKSSPKPTQVTAGEAAPSDKNASPVHMGEKEVYVEEKRTTTRVVNGVIVTRGDNGGGGRFQSGRGMYRGDNFRGRGGGYVNNASYRGSDNFNSRNDESFNGRNDGGNFNRRNDSGRNEGENFNRRNDDESFNRRNFRNRNEFSGRGRGPHGNGYHQNGNGFHPSRPFQKGNARFTRVNGPKQSPVAA >Et_5B_044814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6085565:6091426:1 gene:Et_5B_044814 transcript:Et_5B_044814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIRDGRRGGVSVGGGPPPPRRRLRSNGGGGGGGGGGPRDSPRSERRRGERLMLNGGGAGRDDGDDTSDDSLGDDDDDAEEELAPRYHPTQRRSPSTAPPPPSPPQHGGGHHHSSSSSGGGGYHNHHHHHGQPQHMQRKGGGSSQKSPIVLKAADEMIGVPVPRKARSASTKRSSHEWPVPGGGSSGGGGGGGGAGEGSQIQRPSSRPISPASATTTAPARKKLKPLGGGGSSGGSGPAPKQRPSPSSAPSTTPPQPPPPKISKSPSFIQEEIEVAEVLFGLTRQFPCPPKQENNHKLEHREAPEAKSGNSSPAPSSSGVRPSDSTSLSTIAPKRKRPRLVKYDEDSRPASPAKPETAEPSSRQEEPPASRSEAKASTSAAAESGASTAPAAAQLDAPREADKAEDRGRSRDSELRASESDRRDHRPESRPEPPAAPTGKAEGEATPVGSEAARNGEATATTKIELASDGARQEKFCIDLMAPPPGKLSPDRDGASDPDPDKKGLDSEIDMLGRGNSEKKDGERIRRGLDIDLEDQKVQRIPADELAPRKLTLQLDLEKPSLGEEKSPSERRQPQPAPLQQQQKSSKSEIKHEKSAMPAVTPPMPIPVGGWLGSFPPFGYLGPVPGLSAAGLHHPMDVKPGSSSGLPQAALLTPPPTRSKRCATHCFIAQLIQYHQRVAKMNSFWPPAAAAAAAAAANRSGPFFGQRPFNMGVVPPTDAASMLVNPMQGSYPVRAHTPLQESKAPPMASLSKDKAALGNATGAESSQRKQPPAHETQQSTPMPNMLQGPAFIFPFNQQQAAAMAAASAANRAGDAKSSGVSNAMPPSANAHASAANPGAAAMNLSFANLQPADTAQFLAILQNGAYPFQVAAHAGGPPSYRGPPGPSVPYFNGHVYPSHMLHPSQQQGAHQQSLQKASMPSLSNSSQKHQPQQSQGLLGYAPNANPAAAAAAANNSQNYSSGNQRSVLLPGLTHRQESDKTGQDGPSSDDKPAHPQKGGYEHNFPVPVHLPNFAFAAQAAAGSQSEKKLSDHHNQQQQPQANRGQGVRIDLASSQPFVMPFGSMGPPGSASAGLDFSSLAQNHPMFQGHQEAARHGYPQLNFAAVQPAQATQHKPQHHITGETKSVAGDSSSTPGTGDERKKSASTKYPGDSQQHSLSFSRAESKSYGPPFLSGGTNESTSRTLSLIGAESPNAFGGSKSTSSTPGSTPAAVSSSISQMQQQQQQHFLQIHQKNQQQFIQQHHLNRPRSAAPSTPNNAGGYPNFQSMMYPSGAAQGGAPAQSPQLKGSSARVAASTAAATAPAASPSNLVVMKNSGLHQQQGKAPMQALSSPGHQSQSSLSLSSSKMGPSITNMSTGGGDLSRSSNAGSPSNSVSKSTGGSPPAPGSAKGVQQSVQLSSPQQSAKNPTSTSGSKSTNSNHYNMPMPSILGQQPSVSPASNPGSKQQSHMAPTSLKQQPFQQGHFFISNTYAPQPPGSPASIALFQKRLADKTQQGSHQQNAMSGMSTILSLCSGSMPFVTISTDSGNDLAAASNNMKALHPPSGSFMHLAATAQSAGGVPHTHMSAAQFNFGAMPMPVKPSSDQKPAAGNELLSS >Et_4B_037095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14679441:14679752:1 gene:Et_4B_037095 transcript:Et_4B_037095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYFSTNCMWFALSRVLKEAEANRLKLTPEYLELRFIESIANNSKIFFGDKIPNMIMDQRLLKNYLDDVPRKDFS >Et_3A_025741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32311749:32320772:-1 gene:Et_3A_025741 transcript:Et_3A_025741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSICGGGGVVVSGRALHPLPPPQSHHQQLVPAIDTLLLNPFQGSDRSGTLPLSPRCTQFSSIEIFVVRDVHLCLVDEATLLEIAQQRYNAGDYRAALEHCNALYAENPRHLENLLLLGATYYQLGEFDMCITKNEEAIAIQPNFPECFDNMANAWREKGDIDRAIQYYQNAIQLRPTFADAFSNLASAYARRGNLMEATKCCHQALALNPRLAYNCYKEAVSITPSCASAWYNIAGIFMHWGDCNKAVLYYKEAIKFKPTFYDAHMNLGNLYKAVGMFQDAIVCYLNAAQASPQNAIAYGNLGDTYYERGQLDLAILSYRHAINCSPSYVEAYNNLGNVLKDTGRNDEAIGCYQTCLALQPNHPQALTNLGNVYMERSMMDMAVSHFMAALKVTTGLSAPYNNLAIIYKQQGNYANAIACYNEVLRVDPLAADSLVNRGNILKESGRVNEAIQDYFRAATIRPTLAEAHVNLAYAYKDTGLMEAAIVSYKQALQLRPDFPEVTCNFLHTLQCVCDWDDREAKFTEECFALASLIRSLFQMSLLPSVQPFHAMAFPIDPTLALEISKKYAEHYSLIASRFGLPVFAHPARVPIMTVGRTSRLRIGYVSSDFGNHPLSHLMGSVFGMHNRNTVEVFCYALSRDDGTEWRQRIKGEAEHFIDVSMMSSDMIAKVINEDKIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPATTGASYIDYLITDEFVSPLKYSHIYSEKLVHLPHCYFVNDYKQKNQDVLDPVCPHQRADYGLPEDKFIFACFNQLYKMDPDIFNTWCNILKRVPNSALWLLRFPAAGEMRLRSYAIQQGVRADQIIFTEVAAKNEHIRRSALADLFLDTPLCNGHTTGTDVLWAGLPMVTLPLEKMATRVAGSLCLATGVGEEMIVNSLKEYEERAVFLANNQSKLQALTSRLKAVRMTCPLFDTARWVRNLERAYLKMWQLYCTSSHPHHFKVVEDDALFPFDG >Et_7A_051353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17194103:17203479:-1 gene:Et_7A_051353 transcript:Et_7A_051353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTVHDVLTFHRPDRAAYEHLVALGAGRQPARDAVALLMWLHRRACAGDDAVTRVPAVVCTRADAARLVYEARTILAGVPLPEMMSSPAIVARVSGADARRVRGLLALTPADALRRGVEEVVAGIGTLVFDDRLYELMWLYEEGGSGGVLPPRASGAAAPAPEEDDGRSLFVTFSKGVIPLTPDEIEDYFAQRWGNCVEKVLMEKTPPGEPPSYGRIVLSSAAAMAVILGGQSLVTLVINGRQVWARKYIPRQPIVHDVLTFHRIDRAAYDRLVALGAGRQPARDAVALLMWLHRRACASVDAAARVPALVRDPPSAALLVAEARAVLDGACCRTTTTAAAAATPLIYRLCGGDGARVRRFLLLLLASTSCTADVVDAPRRGVAEIVRGVGALVFDDRLHVLMRRHEEDGGELPAELAAPYHRRCCSTLAVAAAAQEEEEEEEDGRSLFITFSKGFPLTRKEIIDFFTEYTPPGEPPTYGRIVFWRAAAAAAVLGGRPLMKLVVNGRHLWARKYVPRPPLQL >Et_10B_003297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18676397:18680932:1 gene:Et_10B_003297 transcript:Et_10B_003297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLIRHWKILRGDNVMIIRGKDKGETGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVVDPVTGKACKVGYKYLEDGTKVRFARGMNASGAVIPRPEILKERRKPRPTSPGPKDTPIELVLEKTYDEKAGLGMPDLGLGAERIARRCSNLNWTSRGERWSQSRSRGSSRRGSSRGWGHAGARRTRWRGRHDFTPRPFGARRLGHWRRRRPLVRGGGHFRNRWREGGGGGGSIFLARDRVRSRPGRDVDLTEHALAVADDGVLGAAGELVHEPIRELAALLVPQLLDLADLDTRAVLDVGGVSLRVPRSLASGAHCPAGLAGLLRRLPLAGCEEEAVACPGRGLELSGEDSGLAGVDVRVVDVGADGGVEGLAGDEARQGVGDRLLRRRVEAEP >Et_3B_030350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32351901:32354823:-1 gene:Et_3B_030350 transcript:Et_3B_030350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACERRMAEEDSEEKGDEEEDEMVADFYAFQASKFRDSWDSQWLGYFGSFEDTTRIPCMRFTEKKPEPQHSAFLNTTLQIFSLKVAGIRGSLQWPLHVFGTVFLRDSLDHNRNVIFDRRRDNCQILTQEAPCLTLTGPTRAVVLLDPVIFEVDLKAKGATESEDKHLSFLAVPFMGSTPLQSCLRTRDYTSKLSTLEFALGVLVYSPQSKCELHMGRGQMVRARIAACTTSVDQEVLLLDSGEDKVHVDGDGWITLSRQVVSVEVDGKLKVAVKACLGDQIILIKEKGFKPKKAGTSGGTLNIGFCQMDITVAWSLI >Et_7B_053935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13522878:13525169:-1 gene:Et_7B_053935 transcript:Et_7B_053935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARRHLTASLARALTQAPSRSISSTPSLLQTLDPSAPSPPAAGAPPSAGRLAELRRKLQEETPLLGDFAYSVEVGTRKRPLPKPKWMKETIPGGAKYAAIKAKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPSNVAHAIASWGLEYIVITSVDRDDLPDQGSGHFAETVQRLKALKPEMLIEALVPDFRGDPSCVEKVATSGLHVFAHNIETVEELQRSVRDHRANFKQSIDVLKMAKEYAPPGTLTKTSIMLGCGETPDQVISTMEKVRAAGVDVITFGQYMRPSKRHMPVSEYVTPEAFDKYRVLGEEMGFRYVASGPMVRSSYKAGEFYIKAMIEADRAKPSAADSSL >Et_4A_035324.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2088132:2089088:1 gene:Et_4A_035324 transcript:Et_4A_035324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAAGTCLSTTALQRPTLGTAPRLVRLPPLNSHYRRCRPIRLLRPLRAAPTPPPAPSSRPARDRVIEFGKYKGQMLGTLPPSYLRWVVAELDYGDTAPWARLARDVLDDPVYVDRVEWEHAHRFLRGDSNYDYAYDDGGDGPLQEMAERFGWDLSDEDGWGRLDFRLLGTSYGGRIPRKSARRQNNTTTSSRSVSPGGTSNGLLFDVGSDSDGPMGKRDERRERMRMRREEQVRTAKMGMLGVNAGVVDDGVLGAPRKARIRTAKKEILGLGRGSLAGELLDEKTRKAGKGGQGGNPFPGRQAFLDRVRKLKDDTI >Et_4A_034827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6969748:6977747:-1 gene:Et_4A_034827 transcript:Et_4A_034827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPPSPPEADPRLVEAFTPFLEKLIKNASWRNKAHSKLSHTANLSLADSELLLAPVITALGSGSAKLTEAGLELLHRLIAHSYIHGEADPSADPSAQLVASLLDAACNSLGLDDEHIELLLLKTLLSAVTSTSVRLHGDCLLRAVRACYDMYLGSRSAVNQATAKASLVQMLVIVFRRMEADSSTVPVQPIVVADVIEVPEAGPGSSPTADPNVVQGFISKIIGDFDGALTPLARTTSTAGTTVAHDGAFETTAAAEEGANPADLLDSTDKDMLDAKYWEISMYKTAIEGRKDELGVEGAVVGTLEDDSDVRIGNKLRRDAFLVFRALCKLSMKTPPKDAPADPIVMRGKILALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNCASSHMIVFQLSCSIFISLVARFRPGLKAEIGVFFPMIILRVLENIAQPNFQAKMIVLRFLEKLCGDSQILVDIFLNYDCDVHSSNIFERMVNGLLKTAQGPPAGVPTTLVPPQDTTMKSEAMKCLVAILRSMGDWMNKQLRIPDPDSPMVESEKNDNDVGNEVHQTDNNDESSEASDSHSELSNGISEAASLEQRRAYKMELQEGISLFNRKPKKGIEFLVNASKVGESPEEIAAFLKTASGLNKTMIGDYLGEREDLSLKVMHAYVDSFEFQGMEFDEAIRAFLQGFRLPGEAQKIDRIMEKFAERYCKRNPKAFSSADTAYVLAYSVIMLNTDAHNPMVKNKMSPEDFIRNNRGIDDGKDLPEDFMRSLYERIWKKEIKMKEDEFVPHQQQSTSSNKILGLDNILNIVVRKRGSLVETSDDLIKHMQEQFKEKARMSESAFYPATDVVVLKFMVEVCWAPMLAAFSVPLDRSDDEIVISQCLEGFRCAIHVTAAMSMKTQRDAFITSLAKFTSLHSAADIKQKNIEAIKAILLIADEDGNYLQEAWEHILTCVSRFENLHLVGEGAPPDATFFALQQPDLDKSKQTKSSILPVLKKKAPNTGPGSKRGSYDSAGVGGKASGVDQMNNEVTNLLEQVGMAEMNRVFVRSQKLNSEGIIDFVKALCKVSMEELRSASDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSEFFVTIGCSENLSIAIFAMDSLRQLAMKFLEREELVNYNFQNEFMKPFVVVMRKSRAVEIRELIIRCVSQMVLARVNHVKSGWKSMFMVFATASYDDHKNIVLLAFEIIEKILREYFPYITETESTTFNDCVNCLIAFTNSRFNKDISLNAIGFLRFCAAKLAEGDIGSSRLKENPTSNSNPPSPHLTNDGKQEGVVLVDKDDHIHFWFPLLAGLSELTFDLRPEIRKSALQVLFDTLRNHGHLFSLPLWEKVFDSVLFPIFDYVRHAIDPSGSSPQGHSVENDAAELDQDAWLYETCTLALQLVVDLFVKFYDTVNPLLRKVLSLLTSFIKRPHQSLAGIGIAAFVRLMSSAGSMFVDEKWQEVVLSLKEAATETLPDFSYIASGAYLENVPTENGGSSDRREDESRPSEDENKETSRSRNLYFAIGCDGGI >Et_5A_040851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13348682:13351256:-1 gene:Et_5A_040851 transcript:Et_5A_040851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIVQVVVILLDLIAFGLGVAAERRRSTAVVVPDSEQNYAHCVYDSDISTGYGVGALFLLAVSQIVLMTASRCFCCGRGLKPGGSRACALILFLFVWLTFLIAEACLLAGSVRNAYHTRHWGIWNGNPISCETLRKGVFAAGAAFTFFTAILGEFYYISYSKSRDAAGGAPYGGSNIGMGPYN >Et_5B_045732.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8453604:8454017:1 gene:Et_5B_045732 transcript:Et_5B_045732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRATFSHPINRKGKRRTCEPAQYLTSRTPPLVEVSKRTTQITTPADKPRTQPARARRTGRRTTPQYTLAPTASHGPPAAYRAGRRRRAITIVVVVIAGRRGGTVLDAYKKALASAAASAMARELLPDELRAGAR >Et_7A_050551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24416557:24418252:-1 gene:Et_7A_050551 transcript:Et_7A_050551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFNPPVPQQDNDWEIRVAVLLSLTFQIFLIFVGPIRKRSSHPFPRFLVWSFYLLSDWVADLALGLLLNNINSGTSPIIFAFWTPFLLLHLGGPDTITAYSLEDNELWLRHLIALLFELLSSFVVFFCSLHGNPMIPATILMFVVGIIKYSERTYSLYSGSVDGFRANILDPPDAGPNYAKLMTDFDSKQKAGLVVQITIANGQADKAQEEMEKKETTQLMVTGKKSTEARAYEFFLIFRRLFVNLILSFKERRLSQAFFLDREDMTPIEAFEVIEVELNFIYDMVYTKAPVAHTRAGWVLRFLCSSCLLSALAIFFLLDKASHNISHVDVGITYALLLGGLSLDAAALLMLLFSNRVTVFLEKYRRLEWLPKLTKKVARRLQLRRWSRKTSQLNLISYCLGRPDNYGNVNGCCLWLKVNKVADKMGIKEIVDDFVFIRRIPLIKQDKKESPLLKFIFNGLKQAAKQRKGKDEIMELCSCRGKLVMKNHKKAIKEALGDDDEKFNMIMNSVEDKDFDESVLLWHIATDL >Et_5A_042015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5212185:5224962:-1 gene:Et_5A_042015 transcript:Et_5A_042015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITEEMRRRIEANRLAALEKKRKRFAEAAAAAAAGTSATWRLAKCPRIAAGDGTTSLPSPATTAAEFMLARCPRIDLLPLPPRCPAPPPPPPTPPQPPVGFQVVLEVCSPDEFSVAVGPAEGVAYPGDVECLSAVQDCLASASVVQFSATQTQSQSSHLRPVFKLVDYDDVLKCLKRLPGAVVQGIPYSTRNVIQNLHTKAIQNQASDNDIGDLLKKLPPHLQDALLPFQLEGVRFGLERNGRCLIADEMGLGKTLQAIAIACCFKDKGSILVVCPAVLRYTWAEELERWDPSFMPRDIHLVFGHQDRLEHLDATPRAVIISYNMLTRLRESMVKIPWALMIVDESHNIRCTKKQEKHETMAVLELARRIKHIILLSGTPSLSRPGLLGSDKFDFAKKYCSPHIVRNYQGRTYQDFSKGIRLTELNVLLSQTVMIRRLKEHLLNELPPKRRQIIRLKLNAPDIRAATSSCIKGMDTSSRDGTLAVELPDKGYDNENTKAEEDEICKKSPRHLTPQEIGIAKISGFSEWFSNHFIMKGLVADDNLGPQPSCQKTIIFAHHLKVLDGVQVFFSENGIKFVRIDGSTLQRERKEAVDAFRLDPEVKVAIIGITAGGVGLDFSTAQNVVFVELPKSASELLQAEDRAHRRGQTNAVNIYIFCAKNTLDESHWLKLNQSLFRVSSLMNGKKDAIREIEVDQVCHLEEIRNTDEKMHCEILSLENHNADLEHDSDFIIRTIPLQFEDESLEAPMQNNSTQTADEYKFYNDVSISPAAAFCTAISSCKSSKIRRRLSGNSGTLGKTASISDFKIQMESLRFEVSQHTGRIHLYSCVPGHDSRPKPLLENFLPEELDSPLSSSSDVKKTRTQLLKRNPAFCKIFNTFIKEWSLLRPIDKRKLLGKPLQLPLSLELCFLKDSMNHSTEGLIKGGSKRRAAPLNDVSNPLPDNAEWRKVVLHNGTTKEKQYSQGWTMDNEPLCKLCQRLCNGKLAKSPEYFEDLFCGLACFQEYRFRTSGRALRQALFQIEHGRCSQCKLDCCKLVKHLKPLPMEKREGYIRKVAPNIASRKKLLDKLVREPIDGNVWHADHIVPVYKGGGECTLENMRTLCVACHYEVTRAQHKELKELRRKEKEHLKNALNQLKDKASEATEELDDLLLVSVPGSAYSAGDVVPDSDRRVVTE >Et_4A_035088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9345025:9346505:-1 gene:Et_4A_035088 transcript:Et_4A_035088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLPAAVAAAAVRSYARGLRSPSAAEASAKAAKTPPASLDTPRNTAAAGAASSGRAEVRDLAAACGLQDDERVPLAEVVLDCTKRWFLDALKEARAGDAAMQVLVGQMYRCGYGVNRNEHKARVWMEKASRYRSTVWKVSNKRPGYNASDSDSESDDSKQACK >Et_3A_024772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24064436:24066978:1 gene:Et_3A_024772 transcript:Et_3A_024772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMGRLRIFVVKEPVARRPASSPASVFFFQPLSGPSWIHLRLPIKFRNQQVMYVVAGVTGRN >Et_3A_025519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30470549:30472718:1 gene:Et_3A_025519 transcript:Et_3A_025519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNHGDTIPLHPSSAQSDMDEIESLIHAAPSSATVLPARPPSPPRASIPVSSAPAPIPSKPPLPAASIPISVSPAPPLPSASVSVPIASDGFGPAPNTLTEPVWDTVKRDLARIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALVCMLKDNVILKIVVVTVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYISVGFLIIAID >Et_2B_021825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5850721:5856647:-1 gene:Et_2B_021825 transcript:Et_2B_021825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFSGGGARSGALLPTTSKPKAHHHLRSKSSLSSPASSRRRGGHHSASSPYSRRVLALAAVAFVALFLLAFLRLGFPSSRPVSRPSPARPRPRLTRRPAFRRDSAAAEAAAAAVAARIGREAPVDITTRDLYDRIQFLDVDGGPWKQGWEVTYKGDEWNTEKLKVFVAPHSHNDPGWIRTVEEYYQRQSRHILDTIIESLSKVAAAFHDSRRKFIWEEMSYLERWWRDAPRKKQEAFAKLVRDGQLEIVSGGWVMNDEMMEGNMWLNDTIGVVPKNSWSIDPFGYSSTMAYLLRRMGFHNMLIQRTHYELKKELAMKKNLEYLWRQNWDIEETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMRGFSYESCPWRFDPVETTPDNVQERATKLLDQYRKKSTLYRTNTLLIPLGDDFRYVSTEEAEVQFRNYEKIFDYINSNPHLNAEVKFGTLEDYFSTLRDEAEKINYTRPGELGSAELQGFPTLSGDFFTYADRNQDYWSGYYVSRPFFKAVDRVLEQTLRASEILNSFVLGYCQKFQCSKLPISFSHKLTAARRNLALFQHHDGVTGTAKDHVVVDYGTRMHTSLQDLQLFMSRAVEVLLGDVHDRSDPTLLSHFEPVQERSKYDVQPAHKVLDPHEGKTLSVVFFNPLEQTRDEIVMVVVSTPDVSVLNSNGSCLKSQISPEWQFVRGEKISTGRHRLYWRASVPALGLETYYVVSGEDCEKATPAVVKAFTGSQQFSCPEPYDCSKLEGKTVEMKNSHYTLSFDVSHGLLKTVAHHKDGEQTEIGEEISMYRSHGSGAYLFKPIGEAHSIVEEGGHFIVSEGPLVQEAHSLPKTEWDKSPLSHSTRLYSCGDSVQDMLIEKEYHVELVGHVFNDRELIVRYKTDIDNQRVFYSDLNGFQMSRRQTYDKIPLQGNYYPMPSLAFLQDSHGNRFSVHSKQSLGAASLKNGWLEIMLDRRLVQDDGRGLGQGVLDNRPMNVIFHLLRESNVSTLPKTHSLLTLQPSLLSHRVGAHLNYPLHAFMSKKSHEKSFKLPQQSFAPLAASLPCDVHIVNLKVPQPLKFPHAEAVEPRFAILLQRRGWDASYCKRGGLQCTTVGEEPVNLFYMFKDLSAVNVKATSLNLLHDDPEMLGYLEQIGDVAQEGNVLISPMEIQAYKLDLHPPSLQEE >Et_2B_021589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3351162:3353769:-1 gene:Et_2B_021589 transcript:Et_2B_021589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNGREMAGGDGGGGPQADDFFDQMLSTLPSAWADLGAGGKSPWELSAGAGADDHNAQAPFGDDSSALLTARLRQHQIGGDSKSSSPVMLQLSDLHRHGLAGGGGGEEGGGAGGYSPLPLFTDRSAPAREEMEGGFKSPNATGGDHAVFNGFGMHGAAAVQQQFGQGGGSLSPQSLGAPAASSGGAPTGTTSSAGGGGAAPPRQQRVRARRGQATDPHSIAERLRRERIAERMKSLQELVPNANKVRTLLPLLIFLPSRRRRGRFTPTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAGMAPLVASMSSEGNSNGSSSGGGAKGGSAATKGNGTGENGGGGGSGGLRVTEHQVAKMMEEDMGTAMQYLQGKGLCLMPISLASAISSATSSASLLSRPSVRHPAAVSGGQLHDGNSGAAAATSPASANGAGGEDARPASDGGAGGAKQ >Et_1B_012696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34523433:34533892:1 gene:Et_1B_012696 transcript:Et_1B_012696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEAREAADGKEAQVEAVDLEPVYMQTNLDGKHSSMEIKDMGEIIDSTEGKLKIPEDHILVETPSEIKLPTEHNLNGTSFLNGHMDEEDKISNEQLHEDNRKDDKQVEAPLDGESTDQSNKINGEVVAEAPAGVQTPVDPSLDDSDAISDTIDGNTETDEPAKENSGIHLDHRESFPEDTSTAEHIEEVVKIKGEDQQSKQADAMDADVVPEEMPKSEHADESTIEAQEVLNQEPSEETNGPEQDSVETNITETAGTQGVKTAPSSQDDKVEDDVTEAGSTSGSQEVDSDGSTEEITEHTAENIAEVSNVAIVDEENEEKNMLRNEDIAEKHVREIEPEDTKNIEHVETEEDSDQKHVSLLDDSAHEDKTPACEKQQMESASEINEIEATRIEAVPEESNVSISEEPSPEDYVTENETTCGTTEVNIMESLEEIEGDKDTKTREISNESNMDSAGKSTQENNISESVPTSDIQLEQELELEEMKDTELVQVNESSHEIDANVFHKQTQENNPTASELHVMESAGGVSNIEATEVPATPHQFNGDHSEEKATEQTTTSTEPQTVDPESVENTNDIVATEPQSISQQSISPFEESAPEEMAKEENVTIEQDDGHQQPQDLELAELNEVETYNSQEISNSEEPTLEDNATVTEQSPETQADNLQSAGLIEDAEYVKSHGALAAETALEENVETKAVVDTSLAQEPELEEAKKTEPVGTEDSITPSDLPEERMDGETVDTEATPQESLVESTIEVAENYASKTISPHSDIQLVHEQESVEDAHTDTTEMPRETQQIAGSASDKLTPEEDNPTIAEPACDTPLQNVTTHEIKNSEDAQTDQFSNLDSGPTPRETAQESKLSRIETTSDVQEMQDLDSTEESRVIEAAETEDHQEHRVSTLEEPAVDNEPNVDDQLVHQDRPAEIRDNEAMEAEEVSEQSSIESPENAPEERSELGNDPDCCVQPAQQVKSSRDSEDGNLFKAEDMSDQKVVTLEDTTTEDSVADEINPSVGEKEHGVESVEEIKDISVNEDKEDFRTSQPDALDKPASEGNIATAEATSDIQQLNDFETKEMNCIEGSNDGDISCSQTKTATSVDPSPTDNEIASGDHPVEPHEQKLGNETDNATVAHEMKDEIQTSAELKDDTFDLGETVPTTEKSNNTTDEDAIQSSGDDKLKSSNSNDQIKEGKKAGTEQNSSQMSSEHHGENITHVQDKDINGELVTEIGTAEASQAVIGDDTQASQDVTQNDDTIKSDEQTSDNRQSDGVEHQLQTCESSVEDEAVQKVSLDEQHKEDEEVESKKEQLQADEQKHEDTRDDVTIEPLVEFKNNENGTTMTEETDTFEAEQTEVVVNEIAKNEQALPTSEESTPSITVKTDDYVGTDKGVQEENRPNSANTSDADAKMDNQEEQENTETSDIGAINSTNEQDETSNEDPIAVAHNVESGEHGVDKECINKIDDDVLAIQESEKEIVSEVQETQNEDKAVHQAESQIKAEEEETSQLHSSEPYNVDNKMDDTTQIADLIQCNATIQPIEIEETGKNKGLNSISKPVVETSEQNNVEDLSIHHKVEDENLESTGDNAVELEATEQKVDTSNADTSNDDQLPIINFLAKHETESADDALQLETEGNASEKVDETVSFGKADASNTLAPETVTFNKEIHDKATKAERGLSDESFKTFDDTRRNLDVSSVVTESNEENTNEKIEEHKLDLPAQPTQDENTHEQNNLIIPKQEESLASLPVEGNVEYKFPVEQEKKDAKYSKEIHEVAEEQIHDLKLGTETSLETDAEFNKSPHNLEVPPYQDNLKDEISNELLSDEAAEEVTKMEAKDIEISNIEQNGGKFYTICQENTKATTQIENESLKKNQDDLTNTKASEENTLGKGQTGLLPEFLNDDRSVDAVFEQTLPSTESGTDAKSSPTEAGSVQNPVNEIHDKTIEATSTPDIQVESEEDKAEEKQPATTATGEVAEERVEFSNDNPHKNIVPEATSDEHGPLMAEQVSDTEIALVHEKQISEGSRSTSMDEREGSNVSVQGLDNIQPAVEIQADGSNMQISQDKQEAADNQNEMETGRLEESNIQEIQANGPEQKSPKECDDEGHKLLFKEKALIKEADVHETVESHDETAVETQADGSDMQISQDRQEAADNQTDVESGSVEESNIREFQENGPEQKSPKESDDKGQNLLVKDEALINEEDVHETVESHAETVKIKSNEEQEFSGSKIQERQLNVFSPKEAPEAAESFADIGKPEFSTTAKPEFSTDEEQSPKANEVDMSEQKTYEGNTKDEDETKNFTDEATETEARGAGQKAAHKKQNLLSGIKHQLAKVKKVITGKPGHKKSESPKS >Et_4B_037236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1766518:1772972:1 gene:Et_4B_037236 transcript:Et_4B_037236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYSSFQDFLVPFLLLLVPLYYYLVFFRSSKTTSEARLPTEWPLIGMFPYLVVNLHRFHSYATTVLAAMGGSFEARGPPGLRFFCTCDPRNVRHIFVSSFANYPKGEEFASFFDVMGDSFFNADGESWRRQRARVQHIMSGSQLLAVMARCCRDKVETGLLPLLAHMAGNKASFDLQDVFTRFSFDMTAVPVFGVDTGRLSVDMPPMHVPDAMDAVMEVGFFRHTVPVPCWRLMKKLKIGPERKLAAAQLVLRRFVAEMLETRTDDNEQKNRAPVDIASNYINDPEYVDECGEPRDFLYATLINYMFAGRDTVGTTLSWLFYNLIEHPRVAAAIRGELAPIAARKASAAATDDMVVFEPEETKSLVYLHAALFESMRLYPPGPIERKEALADDVLPSGHRVRAGDKILISLYSMGRMEGVWGKDCAEYRPERWLTEDGALRHVPAHKFLPFNAGPRSCLGKDISVVQMKCVIAAVVWNFDFEALEGHAVEPKLSVVLQMKNGLMVKAKKRASITPANSSFGRTKTMAFSSLQDLLVLFLVLVLPLYYYLVFFRSSKTSEPRLPTDWPLVGMIPSLVVNIRSFHDYVATVLAATGGSFEARGPPSVRFFCTCDPRNVRHIFVSSFANYPKGEEFASFFDVMGDSFFNADGESWRRQRARVQHIMSGAQLLGFMARWCRDKVETRLLPLLAHMAANDTPFDLQDMFSRFTFDMTAAPVFGVDTGLLSVDMPPMHVPDAMDAVMEVGFFRHTVPVSCWRLMKKLKIGPERKLAAAQLVLRRFVAGMLERRNGGDGQTRAPVDIASNYVNDQEYVDESGKPRDFLYATLINYMFAGRDTVGTTLSWLFYNLIKHPRVADAIRGELAPIAARKAKASTTVDDGVVVFEPEETKPLVYLQAALFESMRLYPPGPIERKEALADDVLPSGHEVRAGDKILISLYSMGRMESVWGKDCAEYRPERWLTEDGALRHVPAHKFLPFNAGPRSCLGKDISVVQMKCVVAAVVWNFDFEALEGHVVEPKLSVVLQMKNGLLVKAKQRDIRGRE >Et_7B_054468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21667962:21680712:-1 gene:Et_7B_054468 transcript:Et_7B_054468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSNSTGRGAKSPRAMTPVEEVDIAAVRYRSPTLQAPHLTGFSLRAFVWLMESPLLGPLVTSLLKSQNNMPQMLQQTVIPERPMYYPEYPPQESEPGVVLVDEDRHPVERVHEALQCLPPYDPSMHWSAEEKVPFLYWKIRDFAHAYRSGITNPSAVAEHVISGVQEWNNKKPPMPMLIHFNEDDLRKQAEASTRRFEQGNPISVLDGIFVAIKDDIDCLPYPSKGATSFFDQIRTVEKDAVCVARLRKCGVIFIGKANMHELGLGVTGNNPNYGTARNPHSIDRYTGGSSSGPAALVSSGLCSVAIGTDGGGSVRIPSSLCGIVGFKTTYGRTDMTGVLCDAGTVEVASPLAASVEDAMLVYSAIAGSRHIEKLTLRPSPLYVPNLVSPDSSNILGSLKIGKYTEWFHDVSDPEISNTCEDALNLLCSSFGCQIEGIILPELEEMRTAHIISIGSESFCDLNPHYKAGRRTEFTLDTRTSLALFGSFTATDYVASQCIRRRVMHYHMEAFKKVDFIATPTTGITAPKIPPSALKSGESDYVVSAYLMRFIIAGNLLGLPAITVPIGHDKQGLPIGLQLIGRPWGEASLLRVASAVEELCLKKRYRPSTFYDILKA >Et_8A_057831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:860645:863157:-1 gene:Et_8A_057831 transcript:Et_8A_057831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGYYVCPQLDPLVRTPIPGVALDMLFLVTFQALLVIVIGKFLHLFLRRYNQPSAVSQILAGVVVGGMGLRSAIVHVDVDNVEDMYGGYISAARLIYVFLVGLDLDLAALRNCTRRCLAFTYAIVASSLLVAAIVSSGLYGSMMHSPVKTPELLAATLMVALTNTSGITVSRIASELNLTVTENGRLVVASAIATNLICVFGDGVLSSTALAKGKTQAGLYRGSPQITKGFLALAVAGVAVWLVRPAVTRVNRRNVGRHHVATRDLMAMLMALWFVSNIPQLMGFDGMPTSLALGLAFPREGPAARTIADTLGPAVNGVVLPFYFATIGMRLDFNSMSGAIIVPGVLLSLLGLAGKAAGAAAASAILDIPLSDALRYSVLLNVKGHVDTMNMKFAKSEGVWAEQALYAMIIGNLASTIIAGPAAAAVLRREKEAYRTRHQAVESSNLAPDQLQELRVLACVHSAHAAPGVLSLVELLVPAPQAQPAIRVLHFFEVAGDGVDDDDNDNGAVTQMNTVVDVFARATGVSFRQSDVVRRGAAKDAAVACRRAADAHAGLLLLPCYKEQRYDGRMACRLDERRELNSHVLARAPCSVGLLVDRPYRSAGTSFQVPSSVAPETGRTVLHPCSDRAVTHLVAAVFFGGADDREAVSFGARLAEHPTIGLTVFRFVKRSTYDTVTSSTSRAAAADVDELDVAFNEGDADERFLWRFYENYAAREMAMYVEKVVESPADVVETLQGMAGMFSLVIVGRGGRQPPELMAGLERWAEAGGELGPAAEILASIESLEMGSVLVMQQHKVAFPPPSSQQ >Et_10A_001739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7504246:7507070:-1 gene:Et_10A_001739 transcript:Et_10A_001739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVCPRKKKFYRHVYSSWFLNLYFPPNRTEQPYPACIAAFILLAVVQLLVFCIILFPLGVLYMFGLPISAGISLWRLIQHDYGNMDGKANMKPALDVLYSLVLAQGIVFFYKIIIIVPEKRKAMQVSHFYRFDYQAYASVLDQFREIRLGCQKDPNFAKGRNLTTYAVALLQSELDASYLSGVRILGSLLKREIPKRSEDYITWVVRRRSLVHRLLIRQKLVGSASFGHIIHKLLRTLGPRSPYCKNIREHALRIVTHVADEIYLTQFPGGIESISSLLCTFEEYSQQETHEQDVYLPEIYQRDWLLEEYERDWLLERYEVHWLEKLYRSLFRKEIRRSTPPLKKQEMIQSASLLEGYKDVLMQGLFVLEKLAANEANCRVISEAEGLLSKIMAPVSTDLLHRLDHFDWRQVVAASLGVMYLLAHAPGEAGRKLRREISSKKKAISGLQGILMCGTCRNEWPSEIYVPAIKILIQLFGDDASSSMPTECREEIVGILLNIFDDEWVRPSSLRKVAGESLQMLSLKSKSNAMILLKVNSNVPHNLIKVLLDANESKTCRITAAQILENLCRGIEEKWPNNTQVAGEKEQQSETADVIHVVVEDEIQEYTTETEDIEDQKRCWSSSSHGQEKGQLKVRKLQAALLFLSAKVFDKLIGEDRALAPEVDACLFDESFKEMVESNSEPTADCLRIRKSVTIMVISMLKHRSGGINSAEGQTLFHLIQSLGSVDNVANLDGATILFSDDDDDGSSYSRTLASLLNEANELLNEKRKEGAKTCN >Et_1B_011570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23691891:23693489:-1 gene:Et_1B_011570 transcript:Et_1B_011570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGEMVEQAAVPRVVSILSALLQRVAERNDAAAAEEGALASPAGTQRRPVSAFQGLTKPAISIAGYLERIFRFASCSPSCYVVAYIYLDRFLRRRPALAVDSFNVHRLLITSVLTAVKFVDDICYNNAYFARVGGISLVEMNYLEVDFLFGIAFDLNVTPAAFASYCSVLQSEMAYLEPPSPVEAPRLQHYCAGASDHDDAAAVVAAAGCHRSQQPQLTV >Et_6A_047227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:27028300:27030624:1 gene:Et_6A_047227 transcript:Et_6A_047227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHENNMHVSACGSGSWFPSAAATVQQARQGPLMNHGGMQSSYIPSRAPGPVEGNQEDFHDIMAIQHAYICALKDPDQITPLKLLQQSPNALKHHYARDIILMLQSGESSTDVLTQQPQRAFRSDGVPQRMMSPVYSAATIICVKGRIAGLCADANGSRFIQNALERATPEEIIMVYDEVIPHARALSKNVFGNHAVQKLLKHGPQLYIRKIIGCLIGHVLHLSLDMYGSRVMQKAFEVADLDLQIQMAKEFEGQVDKCALEQSANHVIQKCIDCVPWQHIQFIFRSMCGKVTVSCTNTYGCHKVLVFCKDPEIIDALVSEMIADVVKLAHDQFGNYVVQHVAVHGGPVVRSIMVEKFRGLVVNMSYHQSASNVIEKCIALGSFQDRQLITTEILAAGYGQLLDMMCHVYANFVIKKLAKVAEEGPLRLLADVARRNKPRITKVPHGKHMIAHIEKVLADRGWGMPPVRVAGPQL >Et_2B_019784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1411446:1418318:1 gene:Et_2B_019784 transcript:Et_2B_019784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGGALLLLVALLASAAAASPEEPAAQVEATAPPHHASVEAAAVSAQQHQDQHLLPRPLVIELPSSAAPPDEAFEELPLDVRCASWRLAAEANNLAPWRAVPAECAPHVRDYVTGVAYRADLELVARESAAYARAAPRGADGRDAWVFDIDETLLSNLPYYADHGYGLELFDHHAFDEWVERAEAPAIPSSLKLYKEVRELGFKTFLLTGRSEAHQGVTVENLKKQGFHDWDKLILRAAVDRNKTAMTYKSDKRKEMEAEGYRILGNSGDQWKVNIPYNLTTYLRFFLAHPLSPFMIKKSIQQKQQRMQIEEESTVPVLVLESAPRITPPL >Et_4A_033886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27698031:27699262:1 gene:Et_4A_033886 transcript:Et_4A_033886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAELLHWCSAYQAVPAPDEESEIVAQFLAAPYPYQNDDDDQDQKQLGDMRASSTYWPDHVTDPGAGACCWAANADSSNGDSNAGSGGGGYPVVPNPSPADHIRNGHLDGGNDGVAVSRPKRKASAGRDGGDIGGHKKKEKKAATSKTAQKCVQEKAQSSSSCSGNESNCSEAHVGGGNVKTRASKGSATDPQSLYARRRRERINERLKILQKLVPNGTKVDISTMLEEAVQYVRFLQLQIKMLSSDEMWMYAPIAYNGMSLGIDLKISPPQ >Et_4A_033098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18471636:18472698:-1 gene:Et_4A_033098 transcript:Et_4A_033098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYISGPCSARRPSCKSSCGATRPAPCSRHHDHHSRLLPRPASRHARTTYASLRGTPARSVGREAAVARMPRLAHRDVMLSLAREADARLGARLLPSEVPHGHALGSVDVRRGAPGSSYTALFMRQDLIIFVSRCTNNQNIIADRLHAGSLPGGGGRAIDITSLNGATAAPHFLAELIQGGPSSLVVLLDLFPRRDLPLHPGYIDAYYAATGVDAHRRDICGEEAGGAAKLEEIVRGQLASSAAAVLDVWLERCAGSVVEMDAAERARDRMIAATDIELNLSANLPKLFSADVSRRVAAEIRKTFVGS >Et_9B_063856.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17850980:17851231:-1 gene:Et_9B_063856 transcript:Et_9B_063856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TISTTRFLPSPRSGSTSRCRRLARRRGSRLLPTRRGFLQKTQLIDSIKRRVVGALMHRGKTPFPTLAGNCVDVGVRAAPAPFL >Et_5B_044864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6684130:6686269:1 gene:Et_5B_044864 transcript:Et_5B_044864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLAAALLLLAFAVAAARASDVPSASFPLSQPQSTSNASSPSSTPPCHLDLSAELFGGVAAACGAGAGGPGSLDRGRCCPVLAAWLFAAHARTALSLPPAPAPSSLAGEQGLGPGGDEGPMVPYDNQRCVDALGDALQRRGLALKGPNDTCDTVLCFCGIRLHQIGSLRCPAAFAVGGGGGAKNATPTAAVKDLEKSCRNASYAGCSRCVQSLQKLKTNVSREVSGGDRARRMLGRDCQLMGLTWLLAKNKTAYIPTVSAVLRAMLYTAHPTESGSGSGSGSGGSAAPKCSPDQENMPLAVDSLQFEHTSTASSAVAATPSVVNVALLGFVLWLTSSSWDRLFL >Et_7B_055817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5287579:5291317:1 gene:Et_7B_055817 transcript:Et_7B_055817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIVFSSRGKLYEFGSAGYGPTALLDRMVNKTLEKYHDCCYNAQGSNTSFGGESQSWHQEMSRLKAKFESLQRSQRHMLGEDLGPLNIKELQKLEKQLEYALSQARQKKTQIMMEQVDELRRKERQLGELNKQLKNKVALLEAEGCSSYKAAQTSWNPDATVSVVNDRALSVPNHPGAATDCEPTLQIGCQQFAAPEAAAIPRSGTAGRENNNFMLGWSL >Et_4B_038058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25859779:25863599:-1 gene:Et_4B_038058 transcript:Et_4B_038058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVVDRSAAAPAHDYEELAARKRKALSQEQRQRGESSKGPVQYDLSEAEATTMFDQLMEGFSRCRKRSSKVAKKRGRKKGTRNKCSPEAISILHEIVRIAPNLPKAYYLLGCIYAETGKIGKAINFLMLAAHVSPKDAYQWKKLIGFAREKEDAALARYCILKAIRADPEDTRLKVLYCFANLDLFPFLILARKKVAFFLQLYRDCGRIDKAISLLEEYANN >Et_10A_002100.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22535699:22536376:-1 gene:Et_10A_002100 transcript:Et_10A_002100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNSGAVPSEPAAREEEAQALVLTVWRKSLLFNCDGFTVFDAKGDLAFRVDCYDASSHSRRAEVVLMDVAGKPLLTLRRKRLRLVEHWVIYDGDAAAAAAKDAKPLLSVRRHVSLRSSSKGAVAHVTPLDSSGAGEAYVVEGSYGRRACAVRDGNGDAVAEVRRKESVGDDVFRLVADPRLGAPLAMGLVIALDEMFQPKSGKGRGVSGSARSLLRRTWSV >Et_2A_016617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26693151:26695033:1 gene:Et_2A_016617 transcript:Et_2A_016617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGDLRTTIKKWNVIYPVYLNSKKTVADGRRIAAAKACPDPTCIEIADCCSHLKIPHAIELDKAYPRDFFQVGRVRVQLKKDDGSPVNPAIKTKKQLMIQIAELVPKHHGRTKKQEPQATASNAGPSKTKGGKKKK >Et_8A_057350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23912994:23915362:-1 gene:Et_8A_057350 transcript:Et_8A_057350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGKMGNTTTIRAVLAILQWWGFNVTVIIMNKWIFQKLEFKFPLTVSCVHFICSSIGAYIAIKVLKIKPLIEVASEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWRKYFEWRIWASLVPIVGGILLTSITELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILSLPAIVLEGSGVVNWLYTYESVMPALIIIVTSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWMIFRNPISAMNAVGCAITLVGCTFYGYVRHLISQQAVAPSPRTPRGRLEMLPLTADKQEDKI >Et_2B_020658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22468357:22470365:-1 gene:Et_2B_020658 transcript:Et_2B_020658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLRAIAVKADSLTSPAHATGCRTAHRRSPARFGRVKFRVAAVSLEDDYLPMRSTEVKNRTSKGDITSLRLITAAKTPYLPDGRFDLEAYDSLINMQIEGGADGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTRIKVIGNTGSNSTGEAVHATEQGFAVGMHAALHINPYYGKTSTKGLISHFKAVVPMGPTIIYNVPSRTGQDIPLQVIEAVSSYPNMAGVKECVGHERVKCYTDKGITVWSGNDDECHDSRWQYGATGVISVASNLVPGLMRSLMYEGKNETLHEKLSPLMKWLFCEPNPIALNTALAQLGVARPVFRLPYEPLPLEKRVEFVRLVEAIGRDNFVGHKEARVLDDDDFVSVTRYK >Et_3B_029133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22286638:22301725:1 gene:Et_3B_029133 transcript:Et_3B_029133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAKERDEEKKEEKKKDVGKKVSFAGLFRYADGTDVLLMVVGTVSAMANGISQPLMTVIFGQMINAFGGATTDTILRRVNEAVLNFVYLGLGTAVVSFLQVSCWTITGERQATRIRSLYLKSVLRQDIAFFDVEMTTGQVVSRMSGDTVLVQDAIGEKVGKFLQLVATFIGGFVVAFVKGWLLSLVMLACIPPVVIAGGAVSRILSKISSKGQESYSDAGNVVEQTLGAIKTVVSFNGEKQAIASYNKLIHKAYKAAAEEGLTNGFGMGSVFFIFFSSYGLAIWYGGKLIISKGYSGGDIINILFAVMTGAMSLGNATPCMAAFAEGQSAAYRLFTTIKRKPEIDPDDPTGKQLEDIKGDVDLKDVYFSYPARPEQLVFNGFSLHVSSGTTMAIVGESGSGKSTVISLVQRFYDPHAGEVLIDGINIKTLRLDWIRQKIGLVSQEPLLFMTSIKDNITYGKEDATIEEIRRAAELANAANFIDKLPDGYDTMVGQRGAQLSGGQKQRIAIARAIIKNPKILLLDEATSALDVESERIVQEALNRIMVDRTTLVVAHRLTTVRNADCISVVQQGKIVEQGSHDELVMNPDGAYSQLIRLQESREEEEKKLDRHISDSRSKSRSLSLKRSISRDSAGNSSRHSFTVPFGLPGSGQLPENNNANGENQNEKVGDGEVPKKAPIGRLAKLNKPEVPILLLASLAAGVHGVLFPMFGLMISNAIKTFYEPADKLKKDSSFWGLMCVVLGILSIVSIPLELFLFGVAGGKLIERIRALSFRSIVHQEVAWFDDPNNSSGALGARLSVDALNVRRLVGDNLALAVQVTATLVTGFVIAMIADWKLSLIIICVIPLVGLQGYAQVKFLKGFSEDAKMLYEDASQVATDAVSSIRTVASFCAEKRVMIMYDRKCEASKNQGVRTGMVGGLGFGFSFLMLYLTYGLCFYVGGQFVRQGKSTFGDVFKVFFALVLATIGISQTSAMASDSTKAKDSAISIFALLDRKSEIDSSSDEGLTIEEVKGNIDFHHVSFKYPSRPDVQIFSDFTLHIPSGKTVALVGESGSGKSTVIALLERFYNPDSGTISLDGVEIKSLKVSWLRSQMGLVSQEPVLFNDTIRANIAYGKQGEVSEEELIKVAKAANAHEFISSLPQGYDTTVGERGVQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQDALDNVMIGRTTVIVAHRLSTIKGADIIAVVKDGAIAEKGRHEALMNIKDGVYASLVELPMDAAAAAKQREGEKNKKESDESRKKKVSFLGLFRYADGADVVLMVVGTAAALANGMAQPLMTVIFGQMINAFGGATTDTILGRVNKVGKFLQLVANFIGGFIIAFVKGWLLSLVILSCIPPVVIAGGVVSKILYKLSSKGQASYNDAGNVVEETLGAIKTVVSFNGEKHAIKSYNKLIHKAYKASVEEGIANGFGMGSVFFILFSSYGLAIWYGGKLILSRGYTGGDIINILFAIMMGAISLGNSAPCMAAFAQGQSAAYRLFATITRKPEIDPDDTTGIQLEDIRGDLDLKDVYFSYPARPEQLIFNGFSLHVPRGTKMAIVGESGSGKSTVISLAQRFYDPQAGEVLIDGIDIKTLRLNWIRRKIGLVSQEPMLFMTSIKDNIAYGKEDATTEQIKKAAELANAANFIDKLPNGYDTMVGQRGAQLSGGQKQRIAIARAIIKNPKILLLDEATSALDVESEQIVQEALNRIMVDRTTVIVAHRLSTVRNADCISVVQRGKIVEQDESGDDEVRRKAIIRRLINLNSPEQPILLFGSLAAGVHGVLYPLTGVIVTNAIKTFYEPADELKKDSKYWSLMCVVLGIVSIISIPVEYFLFGVAGGKLIKRIRFLSFQSIVHQEVAWFDDPKNSSGALGARLSVDALNVRRLVGDNLALLVQVTSTLVSGFLIAMIADWKLCLIILSVIPLAGLQGYAQVKFLKGFNEDAKLLYEDASQVATDAISGIRTVASFCAEKRVTKTYDRKCETTKNQGVRTGMVGGLGFGFSFLVLNLTYGLCFYVGAQFIRHGKSTFGDVFKVFFALMLATIGISEASALASDSTKAKDSASSIFALLDRKSEIDSSINEGLTLDEINGNIDFRHITFKYPNRLDVQVFNDFTLHIPSSKTVALVGESGSGKSTVIALLERFYNPDSGTISLDGVEIRTLKVSWLRDQMGLVGQEPVLFNDTIRANIAYGKHREVTEEELMKVAKAANAHEFISSLPQGYDTTVGERGATSALDAESERIVQNALDHVMVGRTTVIIAHRLSTIRGADIIAVLKDGAVVEKGRHEELMNIRDGVYSSLVELRLASS >Et_5A_041793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2913983:2915278:-1 gene:Et_5A_041793 transcript:Et_5A_041793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSSEAMELLGFKPYSRPSPSEVKAAYRKMVMESHPDRFPEHLKSQAESKFKEIGEAYSCLKHVHVMRSGVPSGYGRSSKMLIKAPFLLIMCTAVSFGAFSASRAYQRQKESCSSQNPFLP >Et_3A_023432.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27221571:27221762:1 gene:Et_3A_023432 transcript:Et_3A_023432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATLKLRILTAAAAVAVVASSLVGVATAAEAPAPGPASGAAAAAPAFAVGTLAVAAVGYLFC >Et_4B_036282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15006249:15007430:1 gene:Et_4B_036282 transcript:Et_4B_036282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWWWTGVYGAIKRKQIERAAASAPAFNNVALVVGSTGIVGAALLDILPSADTPAGPWKVYALSRRPPPPWSAAATHDPAVVHLHLDLADADAVAEALAPLTDITHVFYVAWSPRPAPDEAREANCVMLRNVLAAVDLPRLEFPDLEDALLDGIAGRGDVTWSVHRPTTIFGFSPRSGRNVVASLCVYAAICRKEGSPLRWPGSRVAWEGLNDASDAELVAEHALWAALEPAGKNEPFNCTNGDAFKWKQLWPTLASHFGVDWLGYLGEDQRFRLEDAMAGKEAVWAEIVRENELVETGLDDVTTWWFVDAVFNVDKEVLDTMNKSKEHGFLGFRNTVRSFDTWIGKMKANKIVP >Et_3A_024676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23170200:23170808:-1 gene:Et_3A_024676 transcript:Et_3A_024676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHSTFRHTMRRSTPQHWLRGSSDWYFAPGGWWMRKTATFVYLKGQSHVVMPRERSAKLFALSAIPGYTRRPAGTEKFSTS >Et_2B_019710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12850104:12856780:-1 gene:Et_2B_019710 transcript:Et_2B_019710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSSTASSLSGAMAVNSAFCPYRYLDRFPQSCCGGTDAEREAMLLRAAFEGNLRLLKEMARGLDKGRGAAAVVAELREDGAGALHLAAAEGKMDVCGYLVEDLRLDVNVLDDLGETPLFHAVLYGRTDITRYLLNHGADPTIIGHRGSPLHIAAVKGDCETVQLLLLRGTNVDIASVPGAPLHLAAAYGKDSTVKILLEHHANPSMVANADNTPLGMAIWAKSLECVKLLIKFGRTPVEIAAFQGRREDVEVLFPFISHIPTIPDWSVDGIISHVKSHGFNRIDKRNCDLKRAKLKSQAKVAFMNKDYLSALTLYTSALGTGSSSDNDDVADLLANRSLCWLHLEDGENALTDANGSRALRPCWPKACYRQGSALMLLKDYGRACQLFAEGLKIDPTDVEIQNALRKALDLSKKACHSEQEQ >Et_10B_004065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1008705:1012656:-1 gene:Et_10B_004065 transcript:Et_10B_004065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRANIWKQMSEAGIKYIPSNTFSYYDQVLDTTAMLGAVPDRYSWTGGEIGFDTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPNTKFSYSSHKAVNEYKEAKALGVDTVPVLVGPVSYLLLSKPAKGVEKGFPLLSLLSSILPVYKEVIAELKAAGASWIQFDEPTLVLDLDSEKLAAFSAAYTELEAPLSGLNVLIETYFADIPAESYKTLTSLSSVTAYGFDLVRGTQTLELIKSAGFPSGKYLFAGVVDGRNIWADDLAASLSTLQSLEAVVGKDKLVVSTSCSLMHTAVDLVNETKLDSEIKSWLAFAAQKVVEVNALAKALAGQKDEAAALKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKITEDEYVTAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKTAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAAGIQVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVVYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLDTNILWVNPDCGLKTRKYAEVKAALTNMVSAAKLIRTQLASAK >Et_9A_063520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:620514:626130:1 gene:Et_9A_063520 transcript:Et_9A_063520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSLRRQHSVDEDSTERASRAVARRNLEDTGGPRRGLALIDDAYVEIYLKIKGYGEQQDNELSKGFIVIPGIARRILRKCEVESESLSTRLSTVEVMHGVVKDAVEATFTIEVLQGDCYGEITAWTTSIQNTLVLHDSKGAGAARAGDGNRAVQLSRPVVAVYVKEKLFVKIVEQTLHDKIKHKTIVFTPRVNGGDEREVFVGATQLLVKVTWSIIDL >Et_7B_053213.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12206130:12206993:-1 gene:Et_7B_053213 transcript:Et_7B_053213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVQEFTEYDEAYRFCEFNMAFFDLDKESKVEHGPLYHHHPEFNFRHLDHSINVISIKVAESDVPYPLNIYGTVLVRDLYDYRCVYLFKRGREDPQLISSPDDMLTLTGPHRALAGAQSIFFEFHLKIKGEDTVDKDFSKGVVRLRDTCQRDTLSLGGYLSRVDVVCMPVVFALEACIGVNILGEKSNFAGKIAAWTTKTKESQIILYDSEVAGTVTTVGDDGSVSLTRHVLAVPQDECLIIDVSVYDDGDNRSECYKFVPGVEVFTCKLCDIIDGIWVLWE >Et_3B_031696.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:7995176:7995661:-1 gene:Et_3B_031696 transcript:Et_3B_031696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRMFGLETPLMAALQHLLDIPDGEAGAGGEKSGASGPTRTYVRDARAMAATPADVKELPGAYVFVVDMPGLGTGDIKVQVEDERVLVISGERRRQEREDAKFLRMERRMGKFMRKFVLPENADMDKIAAVCRDGVLTVTVEKLPPPEPKKPKTIEVKVA >Et_3A_023226.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:12236934:12237182:-1 gene:Et_3A_023226 transcript:Et_3A_023226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGDSAPATPWRAACCRAAGTSATARCGGTTCPAPSGAPPTTTAAPAGRPTPIPAAAPPSPAAAADLLLLDDDSPEGGSSR >Et_1A_008811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14290690:14291726:-1 gene:Et_1A_008811 transcript:Et_1A_008811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYARLAAGSVRPGAGRLLALSPALAVLLALQLAVPLYSARGAAAFVFAWLGEFKLLLLASGRGPLDLELGPLPFVLTGALPVKLLRHKKKSTDDDSSSPAASAAEKKKKTAAAYHLPLVSSSVKLAVMESLLVLLRDGRKDRMHRHAAFAAYGVLTYCVLDSALPCLAFDRPYLSSSLGDFWGRRWNLMASAVLRPAVYDPVRARAGAPAGVLATFLVSGLMHEVVAWYIAFRPPTPTGRLTAFFLLHGAAVCAERRLARVVAVARPPLLPRVVATPLVLGFVAGTAFWLFFPSLFGGGNDDRFIEELSMMLSYAVDAGGRLLRLG >Et_2B_021923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:765433:766814:1 gene:Et_2B_021923 transcript:Et_2B_021923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDTVRGDLALVILYLNKAEARDKICRAIQYGSKFLSNGEPGPAQNVDKSTSLARKVFRLFKFVNDLHALISPPAKGTPLPLILLGKSKNAMLSTFLFLDQIVWAGRTGIYKNKERAEFLSRIAFYCFLGSNTCTTIIELAELQRLSKSMKKLEKELKHQELYKNEQYRMKLQKSNERLLALIKSSLDIVVAVGLLQLAPKKVTPRVTGAFGFASSLIACYQLLPSPAKSK >Et_8B_060148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6452712:6458298:-1 gene:Et_8B_060148 transcript:Et_8B_060148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTRAAAAREAAAPAPPAAGRCPPAFARLRFILPSPLAATPSLALLWPSAEVATAGAGRRRRASPAAKGKAPAKVETGSLLRGTHKKGSSKTEMNDDASVQRRCEKSNLEEQTEPTGDDDAAEMDWEEGHVLEHKEGYSHDLGETVTVEFTDLPSSSTKRNARRPTAEEKELAELVHKVHLLCLIARGRVVDKACNDPLIQASILSLVPYHLLLNVADVPKLKAVNLRGLVSWFHRTFCVTAESTDRGSFESNLAFVVHDRVGTAEEVCALSVALFRALNLTARFVSNLDVAGLKPDTKDNQDTARLSTRALPAGSPVSDYNVVSSSFVQDKTEGSASMVQQRGNLGKSRQNSGYKRSLSKSLSSRKADHESSCASAITDNSASSQCSPISKNSEVPKRKGDLEFEMQLEMALSATAAETQNNKLDTHVSHSTGSLHNCTPFKKLRKNSEASSSSSAVWSRSGAPLYWAEVYCGGQSSTGKWVHVDALNDLLDGERNIEAASAVCKKPLRYVIAFAGNGAKDVTRRYCLQWHRIVQGRVNQQWWDSVLAPLKQMELAATNDSEDMELQTRALTEPLPTSQQAYRDHHLYTLEKWLHKNQILHPKGPVLGFCKGHPVYPRSCVQTLQSRHGWLREGLQVRENELPAKVVTRPKRTFNAQSPQQNADEDGLKPTLELYGEWQLEPLQLPHAVDGIVPKNERGQVDVWSEKCLPPGTVHLRLPRLFQVAKRLGIDYAPAMVGFDYRSGRCLPVFDGIVVCAEFKDAILEAYAEEDEQRRAQERKQQEAQALSRWYQLLCSIVTRQRLKETYKAPSEGVGQEGPPRNDNIQRNTRNSQLSKTETQDHASKPQADGLPNPCLPAHDHEHEFPEDGQSFDEETFVLTKRCPCGFSIQVEEL >Et_6B_049725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9508564:9515038:1 gene:Et_6B_049725 transcript:Et_6B_049725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLTRAAKRAGEMAFNAGGGVVNWFPGHMAAASRAIRDRLKLADLVIEVRDARIPLSSANEDLQQMLSAKRRIIALNKKDLANQNIMNRWLDHFESCKKDCISINAHSRSSVSQLLGLAELKLKEAILKEPTLLIMVVGVPNVGKSVLINSIHRIATSRFPVQDKNKRATVGPLPGVTQDIAGYKIASQPSVYVLDTPGVLVPSIPDMETGLKLALTGAVKDSVVGEERIAKYLLSLLNIRKTPLHWERLLHRREEFDDETYSGNEKDSRSSLRRRWLNNSDALYVQDLVLEVQRSLCSTFMEFTGNTEEESELESLIDMQLTALRKVFRIPHKPFDETHGPTSKKLLTLFRSGKLGPFILDDLPDEQ >Et_10A_000624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1390959:1394233:1 gene:Et_10A_000624 transcript:Et_10A_000624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVYDNCIFSYNVLTAASGSIELPAECRANRKLHLTSSPANGRGLALLVLDKFEVSVWLQSDDGAWARRAVIDAKRTAHSVALAEHYPSPITVDIVGSGERGGVVILLSHPMNSWSGLEEVDKGLSVLDLETKEMRVVSKKKHAFLYEIDMASRDARLEIVARSDAATLVRCAATCKSLRRDIRRQAFIRRVCHDGPGAAVPPRLLGFLDLDLRLASNPPSPSFSLAHPATPAAASLSETYLAPFVSRSAGAGADRLAGYEALTSRDGLVLLHRTFWTDDDVRVYDPMAGKRTFFPGPPDATTRGPDFRDGSDYDKYVLLTPADGVVGCTFVVLFADLSGLLDASHTVMLCRIAQPWYDARAPRDRFAAVLRGGSVYWLVGEAYESQCYIFTYDVITAAAGWIELPAEVPASCRAHPKLHLTSSPCGGQLSLLVADMLEVSVWFLLSGGGDDDGACSWAGHAVIDTMLTLFYPVGIVGSGARSGAAMLQRSNSPWQFDPEAEEGLVVLDLETKEMRKVSKKKHAFLYEIDMVSRLSAMKNF >Et_7B_053711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11319647:11320159:1 gene:Et_7B_053711 transcript:Et_7B_053711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPLILLALVLALGAAVDLGATAAGPGECGASRPERLALKLAPCAPAVEDPNVTPSGSCCAAVRDIWKRQTPDCLCAMLLSDPVKHAGIKVELAITIPKRCNIAGRPVGYKCGEYTLPSLQI >Et_3B_028557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16836972:16837655:1 gene:Et_3B_028557 transcript:Et_3B_028557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFTLAIASMQPGERAIFTIPPELAATPSGWPACIPWNIPPNKRLLFDIELISLITDIHNDQGILKKTVKFGRGNASPSADTYGDYDEVFVSYNARLKDGTTVSESEGVEFKLAEVCKRQRSNTTDEPRLLLSRVCTCFGDYDTRGGSCCRDKAP >Et_1B_010450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10659761:10663049:-1 gene:Et_1B_010450 transcript:Et_1B_010450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEHLRWRPTVNERDFIDQALQSDLRVDGRRSFDFRRLEISFGREDGSSEVQLGETRVMGYVTAQLVQPYRDRPNEGTLAIFTEFSPMADPAFEPGRPGESAIELGRVIDRGLRESRAVDMESLCVVAGKYVWSVRVDLHILDNGGNLIDAANIAALAALSTFRRPECTVGGEDGQQVTVHEPEVRDPLPLTIHHLPIAVTFAYFGEGNIVVVDPTYKEEAVMGGRMTATVNSNGDVCAIQKAGGEGVMSSVIMQCLRIASVKAADVTSKINTAVEKYATEKALKKVKRLPVSVPKKLNVLDVTMEDKGGDESGGPSVKTLGDIQHISKDPSIAIEADDAEDAKPMLTEPNTEVETTSSSGPAEESDGAQEVRSPKSLKDAIKPKHRRRKKKGDRS >Et_1A_009203.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:33256992:33257474:1 gene:Et_1A_009203 transcript:Et_1A_009203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLCVDTPVTGLTRKTLPLQTFAKAKRPLGVAPTHRWFCVSTRRTLAGIAVGGRRDLSTAASSGTGMMNPRRSSMFSVVTQTKMCGASGLARRAETVAAQDLQTVASRADSNGLMQQRIFSVTWRGRSTDMVEASSSSSTLRSELSGEFLGSIRRHGGG >Et_9B_064843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19125446:19128675:1 gene:Et_9B_064843 transcript:Et_9B_064843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGLKGLALLLLLVLLVLCSSVRMCEARSGKHWRRNKAPSTSLMRRKGKAKSNGSNKQNSKGNQNPYHPSPSISPTVPVSPRGSPVQGKGRQAPTMPTPSGGSGYTLPSTPPPPPPQSATAPTLSQDTVFNVVDFGAKGDGVTDDTQAFEAAWAAACKVEASTVLVPSEYKFVVGPISFSGPYCKRNIIFQLDGTILAQTSARVWGSGLLQWLEFTKLTGIAIQGSGVINGRGQEWWTYSDPNDDDNDDVYNVELEKMPQIKPTALRFYGSSNVTVTGITIVNSSQCHLKFDSCQGVMVHDITISSPENSPNTDGIHLQNSKEVNIHHTNLACGDDCVSIQTGCSDINIHNVNCGPGHGISIGGLGRYNTKACVSNITVRDVNMFKTMNGVRIKTWQGGSGLVQGIRFSNIQVSEVQTPIIIDQFYCDKATCRNQTSAVAVSGVQYENIRGTFTIKPAHFACSDTSPCSGISLTGIQLKPLIVPQYHLYNPFCWQAFGELYTPTIPPISCLQIGKPAGNSVLSDSDVC >Et_3A_026191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5363029:5364418:-1 gene:Et_3A_026191 transcript:Et_3A_026191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLLARHLLPHLRLNARLPIPPPSRAPVITRCVGLSPPLVRMPQGARYFADDRSQYDLFGKRRPGDEEFRKSWQEDVDEEDCLWTGSEDDDDDDEKENDTKLEREIKKVKRQAKENANLIDADDSDELRSICSESDEDDMTLWSGSEDDDDDDIPTEAHPNERSDSYIDKVFEFDESPKYRTISELLKAENEPPELSPGKQARKLAVENALKKLKKGPDGRYINVFDVVTDIDILIGAFENIVSGPEYAELREGGPKKLNMQFFKDIQARMRDPNFKFSPELKLKPKSKLVSRKKWQKARARKRKNDRR >Et_5B_044747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5288965:5292239:-1 gene:Et_5B_044747 transcript:Et_5B_044747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VHKQGVASRASRLGAGAWQRCSHLSAVPSTRITLTSIHGAKAGVQRSTTHSHLIPFRCGSGLRARARACTTQQHRQKRITCRHQYSAQPPPAATTTTTFPSLPHHHSLPRLASPAIQPAHPPVAMVSLAGSQIPSPPPGQSPCASARPLRRPGHSMRTIRSALLQPDSAPGSPTASRAGDDSDMENLTDSVIDFHLSELAATAGPAHPAAVAKSSSAINAAATELLDLSRDFSDYCSSFNSDISGELERLAAAAGAAGAPAPRSASPEPAAAPAVDLDELESMDLSADAAPLERVEPFVLACVRALGPEACPDARRAAAARIRLLAKHRSDIRELIGVSGAIPALVPLLRSTDPVAQENAVTALLNLSLEERNRSAITAAGAIKPLVYALRTGTAAAKQNAACALLSLSGIEENRATIGACGAVPPLVALLSAGSTRGKKDALTTLYRLCSARRNKERAVSAGAVVPLVHLIGERGSGTSEKAMVVLGSLAGIAEGRDAVVEAGGIPALVEAIEDGPSREKEFAVVALLQLCSDCPSNRALLVREGAIPPLVALSQSGSARAKHKYCPRSAKSSEYRRMRVVLCESKLMLRLYSVICVSSGKGVVAELDQWQPLAWLGEAGIMLLNVIKRGALHTPVMDAGADNGLPW >Et_5B_043412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10137784:10145390:1 gene:Et_5B_043412 transcript:Et_5B_043412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNGLHVAAASTAVSAVALQWWAASVLDEARAAGDGGDWLATVLRSRVTVALLANLAGHLFLVLLLALKTLFFVQLTSLETRKVLEHIINYVIYKGTFLPLVVPPNSQQIILWSCWLVVLCSLKMFQSLARERLEQLNASPSATPSKYFRVYSALLLVLSTDLLWMRLCVGFCSSCNSKLFWLLFFEPLSIAFETLQCIMVHGFQLFDIWQRHLMDSGADFLDFQKSYKQAAGSFSELRGKLIRNFGFAIDLISLLMSLGHYSMIFWLRGMALHLIDVVLLVNLRALTVSFLKRIKTYIKLRKALSSLDGALPDASYDEICAYDDECAICRGPMARAKKLSCNHLFHLACLRSWLDQGLMDGYSCPTCRRPLFLSSEGHVRSTTMEVENVQRFAEQLNTGLNQLRVPGSEHPVEQQNTSDAVWRGAGLDASWVPPWSSPGMDNPSSSTAVRSVGLTGVQMMMRQLASVSDNYGHADATWNLWPEPMAGSSLVPSSSSMPNSSSAAGLRLQGASGTGNGSMSELLTMLDRVREVLPHIPDELIMEDLMRTNNVNATVNNLLLMQ >Et_1A_005945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15876932:15877318:-1 gene:Et_1A_005945 transcript:Et_1A_005945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVSPGTDHEEHGAKARRVVVLGDNPRPRPTRRPGRPRTTRGGGQSEGESGRALYNHYASGGGWWHGDMEGVDGEEVGWTDDMWEGMGSVTLGGLEWH >Et_7B_054247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18147697:18155208:-1 gene:Et_7B_054247 transcript:Et_7B_054247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKVASVRGLVASGATHVPRIFRVPDPEDETLPYASSVPGQEPPAATVPVIDLGGHRAAVVDVVCWAAAEWGSHASRLPSTKRPHLDAIVTMPATAATGYDRAAELRALDATLAGVRGLVASGVTHVPRIFHVPDPEETLHDAASGGVPGQESLTATVPVIDLGGDRAAVVDAVRRAAAEWGFFQVTGHGVPEEAMAAAVAAVRAFHDADGGEGSDKARLYSREPGKAVKYHCNFDLYESPVANWRDTLYLRMAPDPPADDELPETCRDALFEYAKKVRNLGDKLFELLSESLGLNPSYLTDIECNQGQIILSHYYPPCPQPELAIGTSRHSDSGFLTILLQDDIGGLQILHHDRWVDVAPTPGAFIVNVGDLLQLISNDRFRSVEHRVVAKNRGPRVSIACFFSTHFNPASTRMYGPIKELLSEQNPPLYRETLVRDYLAQYYNIGLDGERKLLFLIF >Et_1A_006099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17808019:17819891:-1 gene:Et_1A_006099 transcript:Et_1A_006099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASSSPGDECVTTRSAIVANSVTEHHLLDIEGYSLTKEILPTGQFMKSHPFRIGGRLWTIRYYPNGETSSSANFISIYLRLDDGVANPVTARVTYNLLDQAGEPVPSHTRRTDLCNYTPTIGYGYTQFIERGVLENSEHRIIKDDRFTIKCDITVKNLHAEDRTIASPSVDVPPSDLHRHLGDLLATKEGADVTFQVGSETVRAHRSILASRSPVFKAELFGSMREGDESSVVEICDMEMQVFRALLQFVYTDFLPEMTPEEEPVICQHLFVAADRYHLDRLKLMCEDRLCRSIDVSSVANILALAEQHCCHGLRTACIKFLESPEAMNAVMATDDFERLCRSCPSVFKELPSSNTASMAAPVATGAPSCSAIAGRTVTRHHLLDVEGYTRTKELPTGQYTSCCFRAARYSWSIHYYPNGKRSDSAAFVISEGLLDQAGKPVPSHTRTTQLHDFTKRKYGFTEFINRSWLEKSEHLKEEGFTISVEERRAASPFIDVPPSELHRHLGDLLLAEKGADVTFEVGGETFRGRRYVLAARSAVFGAELFGLMKEGTDHAVIHVKDMEAEVFRALLWFLYTDTLLDNLGMEQEEEVAVVQYLLVAADRQAVQAHLYGLQRPFGLALAEQHSCSGLKEACFHFLSFPLNLINLRETERFGHLTRSCPPVLEELMCNIFARVSIDDGTKEKSMVDNATKVILPPSRTMPTSVAPSAAVEDVVGAPSQAMIRRTFTGHHLLHIDAY >Et_2A_018625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35370637:35370983:-1 gene:Et_2A_018625 transcript:Et_2A_018625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTARSRPDLTSRDSVRTARMGDSGTACAAGSVNLRRPRRGGDVVPGMAVHVLLRVGDHHGEIGEEGRGEPQGIYNTKKVVGWASISPHMHGRRN >Et_7A_052890.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:23597672:23597908:-1 gene:Et_7A_052890 transcript:Et_7A_052890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRVAIVGAGVSGLAACKHLLERGCRPVVFEADTVICVVWAHVPDSTALQTPRPMYQYSDFPWPETVTEVFQTTVR >Et_5B_044653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4306345:4308206:-1 gene:Et_5B_044653 transcript:Et_5B_044653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTGKRENDVTASERWTYLKKRVTEKQVAFYKKIMEPDYGNLAFTEEMIACYSSGPDAARAMNAFIREQVRDFKEHAAARIKEYQERLHRGLPRDTHRSRLPQPSNDGQVGSLNMPGLFRAGLIKKRGPNLYDPVFISGVAYISYMLLTTNY >Et_9B_065433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:548089:548456:-1 gene:Et_9B_065433 transcript:Et_9B_065433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDESLILAGPRRGLALISNTYIETDLKIRDHHGRKDRELSKGMLVIRGMENRPLQRCELESCSLDTKLSTVDVMYGVIKRAVEATISVEVPAGEFYGQITACTTNIQKSIVLHD >Et_1A_004690.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30834341:30834667:1 gene:Et_1A_004690 transcript:Et_1A_004690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRAFEKLLRFVYTDSLPEMTKEEEFEMATHLLAATDWYEMHRLKLICAETLREYIDLGTVVNLVVLAEKHGCYRLKKDCIDYLRYPPTFDVVMAFGGFEHVAQYSPA >Et_1A_007283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33053904:33059635:1 gene:Et_1A_007283 transcript:Et_1A_007283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLWLLSRAGRLLLSPGPRRGLLRASSSTPASSAMAPPGSTPPTGLPTPFSLVCSRVAPNHPEAKIVVNQLSYVLVLVAAHGRCSFIGNVTLDVSLSELCSAYHVVSFKREANIQKDLKDIHSAREFLWGNVALDVALILLRCKTELGTTGIGYYVCKMLVLTASINEADLVTSLADE >Et_2A_017766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5999406:6006882:1 gene:Et_2A_017766 transcript:Et_2A_017766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCLKGCFQLKTVRPGSMHVSVISAPRLDTLGCLSEYGYDTKYTFGDTILQRWPNVLFWVLVKDLGAVSFTTAVRSVKTLAISMPELSLDKVINLMRGFPFLEKLYIQISSCVSEGQNLWRRKHRLLVRSLDIRLKTIVLKRYRGIMSQVSFATFFVLNAKMLELMRFEGKKCNDSQFIAKQRDLLQLEERASKGAQFHFKRSCRCIPHIKRASDLSKTDPRGRGRRRPHRPPPGCHPFLGDIISLLTTKDGVRTQTLAARWRRLWRSAPLNLDCSGDGLHEDDEVEAGLISRILEAHSGPARRLSVPVLHLRRRPAMVDDWLRSAALDNLQELEFYIFVNKYFRRTQEISLPASAFRFSATLRVVTISKCHISDIAVEALSFPQLRQLGLKRVDISEGSLQNIIAGSPVLEYLLLYCIDGLHTVRINSPSLVSIGLYLPSGNFIIEDAPFLQRLLQLEDRLDMHVSVIRAPKLETLGCLSDYAIESNKYIFGNTILQHLSVVSFTTEMQSVKILAISNFDLNVDTTSGWSTGKNLWRRKHRDLVRCLDIRLKKIVLENYRGIRSQVNFATFFVLNAKMLELMRFEGRICNDEFIAQQHRLLQVEKRASKGAQFHFTKYRECLPHSKHVSDLSKTDPFECVVAGLTVLDSD >Et_7A_052218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5790732:5802961:1 gene:Et_7A_052218 transcript:Et_7A_052218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLSQPGVFALLLLLIITALYLARRNRGGSENRKYPPVAGTILHLLLKFGRLLEHQTELSRRYRTFRMITPTCSYVYTVEPANVEHILKTNFANYGKGELIHDVAKDLLGDGIFNVDGAKWRHQRKVASLEFSTRVLRDYSSAVFRDTAAELAGIVGAAARAREERVDMHDLLMRSTLDSIFEVGFGVRLGSLSGASEEGAAFARAFDDASEQVLYRFFDPFWKAKRLLNISSEKTMKRSVRVMDDFVYAVIDKKIEQMGRDQQEFAKKEDILSRFLLERERDPGCFDNKYLRDIILNFVIAGRDTTAGTLSWFLYVLCRDKRVQHRIAQEVRAATTGDRSDAISQMHYLHAALTETLRLYPAVPIDVKNCFSDDTLPDGYAIRKGDMVNYQPYPMGRMKFLWGADAEEFRPERWLDDDGVFVPVSPFKFPAFQAGPRICLGKEFAYRQMKIFAAVLLYLFRFEMWDGNCTMGYRPMLTLKMDGPLYTELSRRYRTFRMLTPTCNYVYTVEPANVEHILKTNFANYGKGELIHDVAKDLLGDGIFNVDGAKWRHQRKVASLEFSTRMLREYSSAVIRDTAAELAGIKAKRLLNISSEAAMKRSVRIIDDFVYAVIDRKIERMGRDQQEFKEDILSRFLLEREHDLGCFDNKYLRDIILNFVIAGRDTTTGTLSWFLYVLCRKERVQDRIAREVRAATTGDRHVGIHEFAASLTEDAISKMQYLHAALTETLWLYPAVPINAKICFSEDILPDGYAVNKGDMVNYQSYPMGRMKFLWGEDAEEFRPERWLDDEGVFVPESPFKFTALQAGPRICLGKEFAYRQMKIFAAVLLYLFKFEMWDRNATVALLALSLLLLLALYLARLRRGGSKRRKYPPVAGTMLHQLFNIGRLVDYHTELSRRYRTFRMLTPTCNYVYTVEPANVEYILKTNFANYGKGVMTHDVLEDLLGDGIFNVDGAKWRHQRKVASHEFSTRMLRDFSSAVFRDTATELAGIVDAGARARERLDMHDLLMRSTLDSIFKVAFGVSLGSLSGASENGAAFAKAFDDASERALYRFFDLFWKAKRLLNISSEAAMKRSVRIIDDFVYAVIDKKIEQMGKDQQEFAKKEDILSRFLLERESDPGCFDNKYLRDIILNFVIAGRDTTSGTMLWFLYAICKNERVQDRIAREVRAATTGDRDAGIHEFAECLTEDAISKMQYLHAALTETLRLYPGVPLDVKYCFSDDTLPDGYAVKKGDMVNYQPYPMGRMKFLWGEDAEEFRPERWLDDDGVFVPESSFKFTAFQAGPRICLGKEFAYRQMKIFAAVLLYLFKFEMWDRNATVGYRTMLTLKMDGPLYVRASLRR >Et_4A_034349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3188366:3190466:1 gene:Et_4A_034349 transcript:Et_4A_034349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAEALNGGATGLVGDGTALGEAVLDRGGMVLVGDGAPVEILGLSPARRGAASERVPEDGPVAARLVPPEQGPFPGGVPAGGHDAVAHPQQRRAEEDEGHAEGDPRRHVHLPHSLEVVEEREEPHAQRQRQAHRHPDPPHHPVRHRLRDRRVALVLLLVVVLVFVVVAVVVYLLLLLLLVAPPPALPRPAQGDDFGLRRGVIVAPVALVPARLERARAAARSGRAGAESQNYESGVAGEGCRGVCVRTGERQLHVQSPVSSQSTCCEKRTSSYLELEKRAPVSTLRMPQRGPKKHSGFANDQNEGLDNLPRGVSGSCDQSTR >Et_4A_035110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9513369:9515307:-1 gene:Et_4A_035110 transcript:Et_4A_035110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLDHMCGVNATFAQHSLATEGKALSGSIVCTRRLRCRAVCKALRRLATDHAFLLAHHHRQPAQRLVSFVRDVNHDLEILDYCVEALGFRTHEFRSVVRFTGEDYSCVVDEIPFVLHAVCDGLLLMSYQEILYICNPATRQWASVLTPTLPFDMVVGLYAHVPSGDYRVLYCKRYKLRSNNFFISDVGSKNKRHIISDLSSASMRKWLPRGSAAECIEGPTISHGNLHWLPHNSRQENILVFDTTNEIFKLLLAPVTIERAASLLEIDGMLAMSNSHVESYVDLWLLQDYKLAVWVHKYRIELPVIEFRRFEEDDDDCWSAKVVSREGDVLVDGFATVDWQFHYDRKGNLLKKYLCDGRLLTFTKHILRESLVPHAIFQNQQNRCTCEPPFFRGL >Et_2A_015585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15397205:15397979:-1 gene:Et_2A_015585 transcript:Et_2A_015585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLSVLLEGASLLIWLESGRSSYSVGALETEKQLLFSEWGLEGYEDAVGADPYNAEELGGAMPNEASRGISPEKEATEGADLKTRKALRMDVWGSKTPEISMIPHRPVIE >Et_3A_026409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7856119:7859182:1 gene:Et_3A_026409 transcript:Et_3A_026409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTTGVEPSPRVGGGALPLASLNHISVVCRSLASSLSFYRDVLGFVQIRRPGSFDFDGAWLFNYGIGIHLLQAEDPASMPPVKTEINPKDNHISFQAESMDAVQRRLKELGIKYEQRRVEEGGIFVDQLFFHDPDGFMIEVCTCDNIPVVPLLPEGYATLGMAPPACKRPAAFKQTPPLPQLPAVPAAAPAPPPAQCVPAMASGGSFVGEVEATACAMRSCPEHACMQV >Et_2B_019325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23798217:23798489:-1 gene:Et_2B_019325 transcript:Et_2B_019325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVSLNVKMSVDASTKRNASKERRRNEGANKRKIGLLMRPARPKGRGCLQKGEISPLHSVDTEDK >Et_5B_045408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21425773:21427407:1 gene:Et_5B_045408 transcript:Et_5B_045408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAGSPPKPWERSGGEGASGPAPFKPPSGGSTSDVVEAFGTAKPGENVAATERNAAAANVNGTVSRQMPQRPWQQTGYGNTYGGYGGSNMYSSYGGYGNTYGGGGMYGNSMYSGYGGGYGGGGLYGSSGMYGGGMYCGMGGYGGYGMGGMGGMGGMGMGPYGNQDPNSLGPPASPPSFWMSFLRVLFDRSGMLYGELARFVLRLLGIRRKPKKGSIQGPEAPAFEGPGQQFFEAPKGNNNWDNVWGN >Et_7A_050462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16976784:16977602:-1 gene:Et_7A_050462 transcript:Et_7A_050462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding REDFEQLIPPHNLEELVIGEFFGRGYPTWLGASHLSSMKHLYLVNCRSCVHLPSIGQLPNLKFLQIMGATVVTKIGPEFLGCYVGSPGSAEAVGFPKLESLLIRQMPNWEEWSFVDEEQPAAGRLRVYDSFNLRCVEKMDNLQQLWLDEDMQYTSSQWVPVLQRQHQQLHGDDLDVYTWA >Et_10A_002309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8376417:8384633:-1 gene:Et_10A_002309 transcript:Et_10A_002309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPGLRMGVRPVSAGVVFDGDSPTVLLVGWIQGSKTLLYATPGDSGWGTVDTSCVLGDGDRFFHGGLSFRGQFYASTRHGDLLKVLLAPRPHLAYLARNHDPAGPGCTCGMPGFRPFLVPSHEDDADNNDGMLLVHRYAYAWRNAEEWEVFDVHVGRSRIEPRLVLGNCAVLIPLDTLRVDKFPKLFGAVYRVSSLPESSSTASTTPCCSSSSAAGSSCTSTLGAGDDDGWGTIEISCVAGDRHYFFHGAISFQGQFYTAAHDPVETIFEIDLSSQFGELKRKNKTMAFLVPTSTMETRRHNMEWRRKRMGQ >Et_6A_047142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25869701:25873493:-1 gene:Et_6A_047142 transcript:Et_6A_047142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGALGTLLPKLGKLLQDEYNLQKSVKKDIQFLTDEIESTSAALRKIGDVPPEQLDEQVKIWARDVRELSYDMEDVVDTFLVQVQGPDPPSRSSFKRFIRKMKNMFTKGTTHHHIAEEIKEIKERAMQVAARRDRCRVDAINPTTTTLIDPRLTALYTEASDLVGIDEAREQVITRLIEGKKEKRVVSIVGMGGIGKTTLAKAVYDKIKGKFQCTAFVTLSKNPDMKKVFNDILYELNGGKYENIHTTVLDLKQLIDRVKKLLMDQRYLIVIDDIWDTTPWKIFKCALSENKTTSTIIITTRIVDVANHVGGLYELRPLTYENSKILFYRRIFESEDKCPSQFYEVSEKILKKCGGVPLAILTTSSLLAYKLGDINEWHQLCDSIGSGISGIHDLDNMRKILSLSYYDLPSHLKTCLLYLSIFPEDYVFQRNRLIWRWIAEDFVQHGERDQSIFEVGENYLNQLSNRNLIQPSKKCGEGRPQSYCLHDMVLDLICSLSKEGGFVTNTSGNTRMPSSRCNVRRLWLHNNTWPAEGMPKLRSLIMFGGASLFDSVPSLSSSNLLRVLDLAYCNLTNHQGLMFLGDLLHLRYLGLRHTYYAGKLPEELGKLQFLQTLDLKGVGMEKLPTSLEGRSDQNPGKALLESLGNLQKIKDLHIWADYRVDLEGSVESLGNLHRLLIFTTTFLPTWINPSTLIFLTHLHIAIDRMRRGDIQVLGALPALCFLYLFVNDSTQMKEMLTVSADAFPCTRECIFSVFKIVPSTFPAGAMPRLETFEFSIQLEDTVSGDFEKDLAMDHLPSLRSVCVHVHPARGIAEEVISRVEEMLKHHAAVHPNHPSINIKRGKSSLLAASVMQVQEIYTAGAKAKLISFATVRNRTMVLATWLR >Et_3A_023446.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2855475:2855693:1 gene:Et_3A_023446 transcript:Et_3A_023446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPASSVVWLHASSPYLVVFARPGVTSLMPTTCCVRTSSTVGVWNLYQLQRPALAEILLPSHHRPNNFRQL >Et_6A_045945.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:12713361:12713486:-1 gene:Et_6A_045945 transcript:Et_6A_045945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCATLPAFLTQPRMAAKLRSTGVTATGKIEFVDVKFATSA >Et_5B_045164.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:10499499:10500713:1 gene:Et_5B_045164 transcript:Et_5B_045164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASTSTSFPYVGSRPIPRSPVSINLRAHKQRGRRLRPMASASAPAGYDRLSELKAFDDTKAGVKGLVDAGVTAVPRIFHHPAARGTSSTEPPSSARHDEYRVPVIDLADTERSRLVSQVKAAAETVGFFQVVNHGVPDSLLAETLASVRKFHEEPAEAKRPYYSRDPARRVRYQSNFDLFYSPAASWRDTLFMESGAAPDEVPAACRGVVVPEYTAHVRRLGSALLGLLSEALGLQGGYDLERDIGGLQAGLAVAGHYYPPCPEPHRTVGTAAHSDASFLTVLLQDAVGGLQVLVGGDRRRWVDVPPEPGALVVNVGDLLQLVSNDRFKSVEHRVVSKAAGPRVSVGCFFRAGHGDGRVYGPIVGGGERPRYRSVTMKEYLGYFMNKGLDGRSALDHFRL >Et_1B_011511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23069146:23074359:1 gene:Et_1B_011511 transcript:Et_1B_011511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGRRGEASRSAAAPFVYCPTSVDGDNEGALLKAALDGDLGRVKGIIRNLGHGSGDLAAVFSLNKFGCGVMHCAASAGHLEVCKYLVEELGGDPNMTAEGGLTPFMAAAQSGDLSTVKYLLDRGGDLMKTDEKRRTVLHHAACSGSCKVTEFLLSKGIPVDIDCGFGTPLHQAVINEQDKTAKILLDHQANAGADVDGKGSIMSPLLLATERGGYTDYVRLLLKAGANPNIPDDMGRLPIELAAINDCRKEVEILFPLTSPIPSVPDWSIDGVVSYAKMKNALPVNQRHAEGRNAIIKRMADEAFRRKDYNTALEGYNLAIGLAPNATLYSNRSLCKLKMGDGEGALSDAYQCRMVRPDWAKACYRQAAAHMLLKEYKQACDALLDAQKLEPGNDEIEKELRKAMELMKISPDKDLQ >Et_10A_001175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21932427:21934418:1 gene:Et_10A_001175 transcript:Et_10A_001175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMIQYAGINLPSIAPNLEKLVLSTFQEAPTTLCIHDACKLKAPPVMFDRFQSLKHLVICLGEAGGFCTGYDFSSLACFLDACPSLETFVLRIADGFAWYKKYVIVSWFSDEDSSQPQQQQEIPELRHGGLSNLRKATITGFCSAKSLFDLTCHIIETASSSLQCLTLDASPGYDRKRSAADRCFPMRAADALRDAEVALAAVRTHVAPKVPAGVELKVLGPCSQCHAMDAKAMEAESKVPRSFFQRQEDGSVDLVFELYSQFVWDNDIRHDLPA >Et_9A_063447.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:2554977:2555864:-1 gene:Et_9A_063447 transcript:Et_9A_063447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQQFPPQQQESQPGQQHAMDPRPESIIQSYKSANKLQGKVALVTGGDSGIGRAVCLCFALEGATVAFTFVKGQEDKDAAETLQALRDIKSKTGAKHEPMAIPADLGYEENCHRVVDEVANAYGGRVDILVNNAAEQYERPSITDITEDDLDRVFRTNIYSYFLVTKHALKHMREGASIINTSSVVAYKGNKTLLDYTSTKGAIVSFTRALALQLAEKGIRVNGVAPGPIWTPLIPASFGKEKVEQFGSEVPMKRAGQPAEVAPSYVFLASEQDSSYISGQFLHVNGGAVVNG >Et_1A_007206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32020434:32024295:1 gene:Et_1A_007206 transcript:Et_1A_007206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIKMTDPRERMRHDPPPPPAATAASAAAVASVFGSLDLFHEIILCLDVPADLVRAALVSKYWYRRVSDAVFLRRYRCRHPPRPLGCFAVSATHQSMRFVPMRRPSALAHIHDDFKASVGNDAILSVSDCRNGRLVVATGRRHSGACKFAVFSPLHHARGTPALRPLPPAALLERDHCFRHVLLPEQGGDGRSCTAVTVMFDDLHYSRALVHVSELQAGAWGEERISNIKDLPRQWMRISQVQFLLASGKIYILGMAGHVLCLSLRSMNLYCIRLPDGIYYSYRTNLMMSRPDAGSGFYLIHVKGFQLCVWLYSATTIKWKQVDTICLRQAFGHLAIADSSSNWKTGPDVYLAAVGDNAEFVFLWIRRALFCIRVATRAVEKVYEYEQYQQLETIHPLMTIWPVFPSLKD >Et_5A_041436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22592834:22594236:-1 gene:Et_5A_041436 transcript:Et_5A_041436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVPSVPHTGNFQASLSGAFGQLRPHPGHSVPEIIDVPVGIIDLDQEINIGAQQHATEFSFMSDNPGDADVFVSNFPTPCGNNATDGKCATSMEQDISEDNVAVMMKCKTSSVVATESVSVLPLSMINDAVPNLLPSKTTSDTCKEDHLVDTGLLDNMAAIEQPSTDSLFSAISLPALKGDIFNTQISSNKSFAAEDQQGVSLEDQDPPTTEYTAESSQSIETAEAELGNRLTSPEDTDGTPSKCVASRPDHLYYPLL >Et_3A_025427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29832440:29834518:-1 gene:Et_3A_025427 transcript:Et_3A_025427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEGQQSAIAAAAAAAVPDLERGGGRERAEESADEEEGSQCFSDADDRSWHSHSRQDSALEDSISTCASVGCGADAGAVDEAERGRKSCVSECSLDDVDLEAGLAEITKASPDKAESNCRICHLGIESAAAESGAGIVLGCSCKDDLSRAHKQCAETWFKIRGNKICEICGSTACNVAGFSDADFIEQWNDSSSNNGAAQAAANEPRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >Et_2A_017120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31046893:31049222:-1 gene:Et_2A_017120 transcript:Et_2A_017120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLPAAVPRILLLLFALTLFALSFISLRSLRTATIPTVSDARPLSSSSPAAAPSDVYHSPEVFFAGYSEMERSFKVFIYPDSDPGKFYQTPRKLTGKYASEGYFFQNIRESRFRTDDPDRAHLFFVPISPHKMRGKGTSYENMTIIVQHYVESLISKTLGADHFFVTCHDVGVRAFEGLPFIVENSIRVVCSPSYNVGYIPHKDEHSWLLGWRNSKIRVILAQLWENDTELAISNNRINRAIGELVYQKQFYQTKYCICPGGSQVNSARITDSIHYGCVPVILSDYYDLPFSDVLDWRKFVVVLKEGDVYQLKHILKSILQDEFVALHKALVKVQKHFEWHSPPIPYDAFHMVMYELWLRHHVIKY >Et_2A_017531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35224367:35226156:1 gene:Et_2A_017531 transcript:Et_2A_017531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NQPPTMADVQEPLVRRKRKKVLVDYLVQFRWILVIFVVLPISALIYFNIFLGDMWSAMKSEKKRQKQHDENVQKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDKERMVAKVEPLVNMGQITRATCPMNLALAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDTVVAMEVVLADGRVVRATKDNEYSDLFYGIPWSQGTLGFLVSAEIKLIPIKEYMKLTYIPVKGSLKEIAQAYADSFAPRDGDPAKVPDFVEGMVYTANEGVMMTGVYASKEEAKKKGNKINSVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQFWFRFLLGWLMPPKVSLLKATQGEAIRNYYHDNHVIQDMLVPLYKVGDALEFVHREMEVYPLWLCPHRLYKLPVKTMVYPEPGFEHQHRQGDTSYAQMFTDVGVYYAPAAVLRGEEFNGAEAVHRLEQWLIENHSYQPQYAVSEMNEKDFWRMFDASHYEHCRRKYGAVGTFMSVYYKSKKGRKTDKEVQEAEAAILEPAYADEA >Et_7A_050994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13420973:13421578:1 gene:Et_7A_050994 transcript:Et_7A_050994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPDLMRIQADLKSRVPEGQELVSEANLANTNTSYLSAGIKETLRLHPAVTPVLTHFSMSSCSIDGFEVPARAIGRDERFWEDAKEFVPERFLDGGRATDVDFKGNDFRFFPAVWCRTMNFGMVAIELVLADLVHHFDWELPPCQSQERRDIDMPEVFGIVVHQKEKLRLGPKLRA >Et_1B_012088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28882453:28885936:1 gene:Et_1B_012088 transcript:Et_1B_012088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLRGAGADNKRRHRHCPSPSFLSSPAAAADEKQLMKKTRTRWWWAPSRGSCATTRRAFPAVCVAAAVAAAIIVLTGGEPNGMPASLFLDDEQGLEHITPSNLTAEHLLDGLLTPEFSYGSCRSRYEFASYHKKSLRKPSPYLIAKLRKQEARQKRCGPGTAAHEEARRRLEAGEGADSNNDDDEECRYLVYISYRGLGNRMLGIASAFLYAVLTDRVLLVDGGKEDFADLFCEPFPGTTWLLPRPSWWQWWSPLRGLKNYDEESKASLGNMLQSGEVVAAASSGDGSQLSWSSAPRTPAYVYLHLAGGYGYHDKLFFCGAHQRLLRAVPWLLMKTDNYLVPGLFLTLPFRAELEAMFPEKDAAFHHLGRYLFHPSNAVWHAVTTYHRANLAGAGRRRVVGIQIRVFQKDQPPQVVLDQVLSCVRDEKLLPEKTTAAAAVATANATSYAVLVTSLSSWYYERIRDEYGGTVAGGGVHQPSHEGRQRWYDAAHDMRALSEMYLLSTCDVLVTSGFSTFGYVAQGLAGLRPWVMPRAPMWAKDWREGLDPPCRRMASVEPCFHSPSAYDCAARRDVDLDRVTPYIRRCVDVRWGIKLVNESSSQW >Et_6B_050058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:562311:564355:1 gene:Et_6B_050058 transcript:Et_6B_050058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASALNDPRLKALIEEERTKALANEFVAKMTDVCWDKCITGSIGSSFSRSEASCLSNCAKRYAELKMLTMQKLTSSR >Et_1A_006034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1730213:1733632:1 gene:Et_1A_006034 transcript:Et_1A_006034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASTSAAAVLASRLLRRSPRLLRRLGSFSCAPSAALSARQSSSPCPAQLGRQQLGHRARMGHSTAAAAAPAPALGLTKPNTVEPPQVTFSAKDIEFSEWKGDILAVAVTEKDLSKGADSKFENAILKKLDGQLGGLLSEVAAEEDFTGKAGQSVVLRLAGQGFKRVGLLGLGQNAPSTAAACRGLGESVASVAKSAQAISAAIVLASPGGIQEEFKLNAPAAIASGTVLGLYEDSRYKSEAKKVHLKQVDLFGLGSGPEVDQKLKYANDLSSGVIFGRELVNSPANVLTPAVLAEEASKIASAYRDVFTATILDVEKCKELKMGSYLAVAAASANPPHFIHLCYKPTGGNVKRKLAIVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVFGAAKALGQIKPPGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKIIDLATLTGACVVALGPSIAGIFTPSDELAKEVAAASEISGEKFWRLPLEESYWESMKSGVADMVNTGGRQGGSITAALFLKQYVDEKVQWMHIDMAGPVWNDKKRAATGFGVSTLVEWVLKNSSS >Et_7A_051022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13701984:13705761:-1 gene:Et_7A_051022 transcript:Et_7A_051022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVSKPREESPIAPNEIRITAQGRPRNYITYALALLQDEATEEIVVKAMGRAINKTIIIVELLKRRIAGLHQNTSIESLDITDTWEPLEEGLVTLETTRHVSLITIKLSKKELDTSSPGYQAPIPADQYLVAVVGDVVAGEGAGEEVSTMVERIMMMRMDTHRRHHRDIAAEGEEGQGVAGPLGLEGVMVVTVMQWKKLVGTMMLSTTMHHQRKDMMVVGDAVGAEGVVADVEAGDVDLLHERVL >Et_10B_004027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9459715:9463772:1 gene:Et_10B_004027 transcript:Et_10B_004027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGGGRKGAATAKAVEGGAGTLLAGLPCRGNFTESNIASSMGGLKVYICLHDTAPPEGQVVKTDTNNILIRALQLTKQKSEANDVGNKTPGESSKGKRNASRSLDSKNPSKRPNTGNSAGSSAHEEPPPNFSQLALQSFTVEKLRSLLKERGLSPKGKKASTDIS >Et_3A_023767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1206487:1213947:-1 gene:Et_3A_023767 transcript:Et_3A_023767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKHGWVFNKPVDASALGLHDYHTIITKPMDLGTVKSKLTAGQYKSPREFAGDVRLTFQNAMTYNPKGQDVHFMAEQLLNMFEEKWPEIEAEVAQLSPQPPTPSSAAPRKPKEIDNSRVFDRSMDNSRVFDRSMDNSRVFDRSMDNSRVLDRSVDNSRVLERSDSTVHAAGVEATPKTHTGKPPVLKKPKAREPNKRDMTFWEKQRLSNNLQELPPEKLDNVVQIIKKRNLSLSQHDDEIEVDIDSFDVETLWELDRFVTNYKKSLTKNKRKAELPVARPDEAEQDQEMEKIEHAMQDEADQDQITTVHEPIPEPEAVDIELPKENAAAPTIFTRLQMTMRDIWVRHHRFIWKIIRERMLVDQVVLEALAATQVLPPVIRTQIVHQQMALMLHSHPEHNIGQAAIIAFVWQKLQRELRMSSCSPNDLVRASTGYAMSHHSEPDVIPYLDKIPVYFRICYCSSMAEMEAAIVAWCSRSHAMAALIQMAPWDAIEPGPSLCTYCRVLHLPSHEAEDLTLDRRNLQIGGSKTSGVVKTRNLEASPCCKITPSSLSSETNGSGQMRPLRRLPLLPLPLGPAPRRLLAAAASASPLPWPGLHAWRRAPPSDLRTWGSDGPCPSDADESAPPEADAGSSLAEMGALVLSTADPLAKARLTHAAFSRWAAGLPVGQATAPDHPARPDKPVVVTQKEITTHKEMGVPLNAYMLHNLAHVELNAIDLAWDTVVRFSPLRDTLGDGFFADFARVADDESRHFRWYSQRLAELGFSYGDMPVHNLLWKECAKSSSDVSARMAVIPMVQEARGLDAGPRLVQRLFGYGDHRSADIVAKVAEEELAHVSVGLYWFLKVCQMMGHVPGDTFKELVKEYGVVLKGPFNYPARDKAGIPRDWYDDKFKHENAKKLSEVHDRLAHIVEMEKENASLNS >Et_4A_033880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27650096:27653987:1 gene:Et_4A_033880 transcript:Et_4A_033880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGAAAAAPRLNPSPHRRRAASALSPAKSNANGNGNSAANAAADGRPKPKSKAVSSRYLLGPSSKSTSTSTSTSTSTTTTSSSNSTSTSTSASTPSRRFASPLPRRSVSVDRPRPASNAAAGEAAGSNAGTTTTTRSLSVAFQGRSFSFETSKAKPATSPSPVRRPVAATAGATTPERRRPGLSALPERGRGLEGGHSQHRWPMSARMSQGFEGNPLAKSHDCSLHKRDAAVLAAVRSLRQSMVFEEGVRRSSFDGGDYLMSSDTESVSSGSNSGSQDAGIGISHRAHLSSKGMSVPARFLQDAAASRSHRFADPGTPYLTHNSGLASSPRTAPVKKLLLNGFVSSPLNRPMRQPSPSKLTGNASRRMSSPSRPRNSVGSSASSWDQHGRGSSGYGLDGEVKRRWLGGSKVDCEHLLRILSNRHLQWRCVNAQADAALAAQKLTAEKYLCDAWITTLGMRKSVALKRFQLQLFRNNWKLMTVLKGQMAYLEEWSLLDRDYADSLAGIVEALTATILCLPVTDGAKADIQDVKNAVGSAVDIMQTIGSSICALLSKLAGTSVLVSDLAIVATEERTLMEQSRELLSTLATMHVKYCSLQGQKVQTTQRRAMHS >Et_3A_023181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6306831:6307478:1 gene:Et_3A_023181 transcript:Et_3A_023181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTRKMTNIGVMSLLSTTAPLQKSDGELPSKSRTDGILLTGWSISSSTLGTRLTKFILDGQSDDQLMDKAHAFYDSDWRGQFLLVKVWKAVRTSKNRSTILEKQERLSAEKLEAARLSHLAALENKEARKHEKESEM >Et_7A_051121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14739924:14740354:1 gene:Et_7A_051121 transcript:Et_7A_051121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYYLRFRWRCCVFWSRQMEFSAECIPSRTAEVIACLEVIQAAIVSGLTMWCWKLALISEDYDLSPAGSLIVELRSLLSLNFLSVSIVRSPRDCNKAAHALAALCCECEPENNPILDILQDCIWLIVAGDLSAVE >Et_2A_015948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19532482:19536444:1 gene:Et_2A_015948 transcript:Et_2A_015948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDNEAGFGTAIWCCFRVGTNWVRDAFLEKTPRLRTILEKDSVPGFLRFFSRNKNGMIWDHSITSQTLTYMVSVDALRCAKVALEGKEPELNWRRANPNCINPFGYFPLHEAAEKFSVDMIKLLFRHGASANVRTVGDMVVEDLLPLHVAVENACLHKYLEDNLLPSQDPQDYMYNLIHLLCLPDMVCVTALYTKYFYSTTNLKLLAKETDNLFDELWNYIKDKKLLQTAILLLAAHEKIRGDSSSKRNVNGKQNGFPIIMNRIVEQLSSGNTKGEDMNTQEQLEERMSLGETFRLVNIIYEAGGDLNNYIQAHSEVPQVSHMDVLEHVSSILKDHGFCPTGEGIDVTNLYFSFGIVSTIALRKKRPRGWDPDYSRRMFFPYWRSVLVAKCRVKVYPHYAPEIIRPEIDFGELLNPRRGSTAKQSSKKLNVSHSWLGRLQQPKSNQQPIRPFSTAAFTLLKKVLRK >Et_5A_041176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1907100:1908749:-1 gene:Et_5A_041176 transcript:Et_5A_041176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFSDLHTADGLKALEAHLAGKTYVSGDVISKDDVKVFAAVPSKPAAEFPNAARWYETVSAALAARFPGKAAGVAGSPAAAAAPAAEASKDEDDDDLDLFGDETEEDKKAAEERAAAKASTKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVQMEGLTWGASKLVPVGYGIKKLTIMLTIVDDLVSVDSLIEERLCEEPINEYVQSCDIVAFNKI >Et_6B_048292.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:10383575:10384021:-1 gene:Et_6B_048292 transcript:Et_6B_048292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYIDKAVKLPDGIGKLDSLQLLSSVGVSISPNFAKELGHLTELRVLHMCLLGDTWHKSYEKPFVSSLCNLQKIHELDIGFNGALSTEFRSDLGWVPQHLHRFTRVNLSRLPRWMSCSLLSLSYLYIRVHRLGHQDVQNLGALPFLH >Et_4B_036281.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1583527:1583646:-1 gene:Et_4B_036281 transcript:Et_4B_036281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKKWKDLPASRPNLPELEKTVHRMSTATGKQIQVYNE >Et_7A_051521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:267827:269769:1 gene:Et_7A_051521 transcript:Et_7A_051521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVLGGGRRALVAAMVVAALSMGMVMGQQDEDDVLLGAGGGVYQPRDPCPQVEDIVKAAVKAEFKNNKDITGGFLRAFFHDCFSGGCDASIFLDKEWRRFPVQERVRQFVNEIRGKVNEQCGNQSVSCADILALGTRDAVVEAGGPTVRIFRGRFDSKTDNDVSKIPFPGDAIADLLKTFAGFGLTDPADLVALSGAHTVGKTRNACGFANPKFRGNCMSTGSQDLDVLTPVSFDNQYYVGLTQNPSLGMFSTDRDLFSDANPTTKKLVQLYAKDQNAFFKQWDVSFRKLSHVNWTSAAIGEIRRDCTKPVVSCADILVVLAGGPEYSVPLGRQDSLRPASSDQIGILPGPATPVDQLLGIFGGRGLGDPADLVALSGGHTVGRASCGFISSGGA >Et_1B_010621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12091962:12095873:-1 gene:Et_1B_010621 transcript:Et_1B_010621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLDNVRGLTLAMSSSAFIGSSFVIKKVGLKKAGDNGVRAASGGFSYLYEPLWWLGMITMILGEVANFAAYAFAPAVLVTPLGALSIIFSAVLAHFVLKERLHMFGVVGCILCVVGSIGIVLHAPKEKDIDSMREIWHLATQPGFIVYSCVAVACVLVLIFRVIERCGHRRMLVYIAICSLMGSLTVISVKAVAIALKLSFSGSNQFIYIQTWFFIIVVIICCLVQLNYLNKALDSFNTAVVSPVYYVMFTILTIVANMIMYKDWVSQTATQIATQLCGFVTIVAGTFLLHKTRDMGNPPPPDQICLEEARECAPQSTNNSS >Et_1A_007486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35026566:35028796:-1 gene:Et_1A_007486 transcript:Et_1A_007486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NREYCHMNNFRAGCGSEIGARAGSLISLGTQLAADEIDAMAAELLPYLLLIPLVAIPLILLALSRRKDGQRRLPPGPWALPIIGHLRHLAGAAPHRALRDLARRHGPLMMLRFCELPVVVASSPDAAREILRTHDVDFASRPIGPMLQLVFRGAEGLIFAPYGDGWRQLRKICTLELFSSRRVHSFRPVREDELGCLLTSVASAAAAAAAPVNLTKRIKAFVADSAVRAIIGSRSDHRDDFLKLMEEALEVMPGLSLPDLFPSSRLAMLVSRMPRRIERRRRATLAIIDPIIQAHQDKMDAAGGDEDEDLFDVLLRLQKDMDGQYPLTDFNIKSVIIDLFFAGSETSATVLQWAMAELMRNPAVMQKAQDEVRSMLSGHDRVTEDSLSNLHYLHLIIRETLRLHPPAPLLLPRECRNPCRVLGYDVPQGNMVLVNAWAIGRDPEHWDVPEDFVPERFEQSGRDFKGMDFEFVPFGAGRRICPGMAFGLAHIELALAALLFHFDWSLPEGMVAEEMDMTEAVAITVPPRRTSAAPAGRIDEDLLDAPLRLHKDTNSQYPLTTNIKTVILLSSLQNHREIDTQENI >Et_5A_041234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:191285:197887:-1 gene:Et_5A_041234 transcript:Et_5A_041234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPYALHLAVAALVGASFAAASAYYMHRKTLDQLLRFARSLDRDTRRRARLIPDGEYDHTDGEEDEPPPPHRDHDRRTMPIPPGLPPLHTGRESRPVVSPGSTKRLGAIVRPTTPKSSVPTVSAFESIEDSDDEEEIVTDAKNDAAYLSANGATESDPLPEKVSQNGELKSVPSTHMIRSHSATGSLHAPQLNPIAADILRKEPEHETFSRINITAVETPSPDEIEVYKVLQKCLELRERYIFREEVAPWEKEIITDPSTPKPNPNPFHYEHQPKTEHHFEMVDGVVHVYPSKDSKERLFPVADATTFFTDMHYILRVLAAGDIRTVCHHRLNLLEQKFNLHLMVNADRELLAQKAAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFSDLEASKYQMAEYRISIYGRKKSEWDQMASWIVNNELYSENVVWLIQIPRIYNVYREMGTINSFQNLLDNIFLPLYEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAYAYYVYYCYANLYTLNKLRESKGMTTIKLRPHCGEAGDVDHLAAAFLTCHNIAHGVNLRKSPVLQYLYYLAQIGLAMSPLSNNSLFIDYHRNPLPTFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHRLKSHWIGRNYYRRGPEGNDIHQTNVPHIRVEFRDTIWKEEMELIHLGNVKIPEEIDSIVIVVIGE >Et_3B_030310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32121276:32123304:-1 gene:Et_3B_030310 transcript:Et_3B_030310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSGGGGGKVSFKIILTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGAVVVSS >Et_7A_051508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19780307:19789110:-1 gene:Et_7A_051508 transcript:Et_7A_051508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKWVLLERNYDNSIVTLMNIGTGRLLSKSIPQLLMYPFVGATNGGIFLLGEAKRPFKAFIFNPFTRSVVVRFNVKIPWGGVRLVVMTTSPEMIFITGGQMAGHFIWANQSCRDGFHESWVDYLHKPTCLTYFEGNVHLVHREGAILSIVPSDATKAFSAHNIALATTVPSLSQGNPSYYLIESEGELLHGGYSEKGAGASEQHQQSCPLCQPYQVVSAHSLLPMFLRIFASQSTMLMRRQNFFSPMNPNLMMNLLSPTKVPLKLKNVKISWAFTTMPCTLSTEKMPRPAQLDPNMRDWSSLPYELVRHAGDCFLAANDLDYYMNYRVVCLSWRSATANPCKDKKNPRFMPNNWVLLGRNCDNSIVTLMNIGTGRLLSKSILQLLMYPFVGATNGGLLLLEEAGRPFKAFIFNPFIGSIVVCFKVKIPWGGVRMVVMMTSPEMIFITGGQMAGHMIWEDQSCHEEFHESWVDYLHKPTCLTYFAVHREGAILSIVPSDATKAFSAHNIALATTVPSLSQGNPSYYLVESEGELLRITRPSYSLPGQQLVHRVDTVKKVMEPVSSISNRALFVSHIRCLSLNASKFPTVESDCIYFVYPIPRLGAEPNKFEPSFMTIHHLSDGLQERIMLDLHTIEGCFRPFTLAHVLANFCKSVYYVDEETEFFFTHESESDDESSESD >Et_10A_000807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17526949:17527575:-1 gene:Et_10A_000807 transcript:Et_10A_000807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRWRDSPRGCACEVVIGERRSADLGFGSVEAGAASLSTPSSINLNPYIPFTDGERLLGDGAGPPSPEAGVPLSLSDHPLEEITVQISSPANLTYASTAFKTFRHLITDPTFLHRCRWLHTPLLLGYVTPDKCKVSFLSAKAYR >Et_5A_040515.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:3774965:3775159:1 gene:Et_5A_040515 transcript:Et_5A_040515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKSLVVEFKEVEINHCFRDQNKVAHTLASHPCGAGTCAVWLRHTLSHVSHLLDADCNPILS >Et_6A_046753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20049451:20051047:-1 gene:Et_6A_046753 transcript:Et_6A_046753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAAALGLRVLVFAVVVTSATAAGARATVEGLGVNWGTMSTRRLPPKVVARLLTDNGFKKVKIFDADDRTLRALAGTGIETMVAVPNDMLAAVADYDRARAWVKDNVTRYAFDGGVNIKFVAVGNEPFLKAYNGSFDRVTVPALKNIQRALDEAGHPVKATVPVNADVYDSPPDNPVPSAGRFRADVAGTMAELARALNRSGAPLVVNIYPFLSLYYGGADFPLDYAFFDNGNATSPVVVADGNATYTNVFDANFDTLVSALAKIGLGRLPVVIGEVGWPTDGDARATPALAERFYGGLLRRLAAQRGTPLRPGARVEAYLFGLIDEDAKSVAPGNFERHWGIFTFDGRPKFPLDLRGGRRTIMPVPAAGVEYLPRRWCVLNPNADDDGGRVADNVGYACSRADCTPLGYGCSCALDARGNASYAFNAYYQAQGQVESACDFQGLAVVVDKDASQGACNFSVQVVGSPASPPLVAAAAEAARAVVLALLLLVLV >Et_3B_028629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17481928:17494815:-1 gene:Et_3B_028629 transcript:Et_3B_028629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFRIGTPVNIIVGSHVWVEDPTLAWIDGEVVSIKNNEVQVQTSNGKKVTTDKSKVFPKDMEAPPGGVDDMTRLSYLHEPGVLQNLATRYELNEIYTYTGSILIAINPFQRLPHLYDTHMMEQYKGADFGELSPHVFAIADAAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQINTPERNYHCFYFLCAAPPEDLQRYKLADARSFHYLNQSSCIEVEGINDAEEYLATRRAMDIVGINEEEQEAIFRVVAAILHLGNINFAKGSEIDSSVIKDDKSMLHLNIAAELLKCDCNNLEKALITRVIVTPEEIITRTLDPASALASRDALAKTIYSRLIVEKINVSIGQDPNSKQLIGVLDIYGFESFKVNSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTREEINWSYIEFVDNQDVLDLIEKKGGLIALLDEACMFPRSTHETFAQKLYTTFNKNKRFAKPKLSRTDFTIVHYAGDVTYQADQFLDKNKDYVVAEHQDLLNASSCSFVAGLFPSLPEETAKSSKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNLLKPAIFENTNVIQQLRCGGVLEAIRISCAGYPTRKTFYEFVNRFGVLAPEVLEGSNDDKIACQKILAKMGLDNYQIGKTKVFLRAGQMADLDARRAEVLGRAARIIQRQISTYIARKQFAVLRRSAIQLQSFVRGTLARKLYECKRREAAAIKIQKNVRRHKARESYLQLQAAAITLQTGLRAMSARKEFRFRKETKAAIHIQARWRCHRDYSHYKNLQDATLTYQCAWRQRLARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLGLEKRLRTDAEEAKAQEIAKLQETLHDMQLQVEEAKALVVKEREAARKAIEEAPPVIKETPVLVEDTEKINSLSAEVEQLKALLETERQATEAAKREHAEAERRNEELIQKFEGAEKKIEQLQDTVQRLEEKATNMESENKVLRQQAVAISPTAKSVAAYPKSPFQTPENGNTLNGEVKSTPDVTPISLNAKELEAEEKPQKSLNEKQQENQDLLIKCVSQDLGFSSGRPIAACLIYRCLLHWRSFEVERTGVFDRIIQTIGTAIEIQDNNDKLAYWLSNSSTLLLLLQRTLKTTGAAGLTPQRRRSSAASFGRVFSGIRASPQSVGRPFMGSRLIGGLGDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLIKGSRSQANALAQQTLIAHWQSIVKILTNYLNILKANYVPSFLISKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCIYATEEYAGSSWEELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHTVSSEVISSMRTMMTDDSNNAVSSSFLLDDDSSIPFSVDDISKSMTEIEVTDVDMPPLVRENSGFTFLHQRKD >Et_8B_058515.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:11085844:11086331:1 gene:Et_8B_058515 transcript:Et_8B_058515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVFWAIRGGGGGSWGVVYAWKLRLAAVPAAVTVLAPTRNGTKDAVAALVHRWQLVAPALPDEFYLSVNLTVGGPPEWQRDDSRHQRILRGPRPRAERAGPVGPARKVPGAGPRRRMRCRRRAGLRRRRGSPG >Et_8A_056092.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:18922697:18923122:-1 gene:Et_8A_056092 transcript:Et_8A_056092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWTQRPSSSSFSSRTPRTPSETLAAFRSGSCSPISRTTASLSSLPAGAGVTIRTPTTYSCTAPPFPMSELPCAPVARSPPANGDPVEVVGMQAGRDAAARPASRISRKCLCGLMRRPACRREILAEASGVPHASRQEVRE >Et_5B_044930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7473346:7476407:1 gene:Et_5B_044930 transcript:Et_5B_044930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELTKLLGGATIASGGVMPNIHQHLLPKKAASSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGTVTIASGGVMPNIHNLLLPKKAGGSAKAAAADED >Et_8A_057178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2268218:2270964:-1 gene:Et_8A_057178 transcript:Et_8A_057178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTAPSNYAEEPPRHPALKINSKEPFNAEPHRSALVASYITPVDLFYKRNHGPIPKVDDLIRYSVSISGLVNKPIQISMADIWALPKYTVTATLQCAGNRRTAMSKVRKVRGVGWDISALGTATWGGAKLCDVLELVGIPKLSSVTSLGGKHVEFVSVDKCKEENGGPYTASIPLKQAADPNADVLLAYEMNGETLNRDHGYPLRVVVPGVIGARSVKWLDRINIVEEECQGFFTQKDYKMFPPSVDWDNINWSTRRPQMDFPVQSAICTLEDVDVIKEGKARIAGYALSGGGRGIERVDISVDGGKTWVEARRYQKDNVPYVSDGPQSDKWAWVLFEATLDIPPNAEIVAKAVDSAANVQPEKVEDIWNLRGILNTSWHRIKIQNSSCVGRSKI >Et_1A_005458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10549917:10552722:-1 gene:Et_1A_005458 transcript:Et_1A_005458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDKRGSNMWMTKNLTITVLLALFSLLIVRHLIVNNPAPGTSWHQFFHANPMEWLKSPFDEQEKTTAVVVASDATDASTSNSLDSVNSSPKSFQWLDTWNQLKQLANITNGLPHASEAISDARSAWDNLTTSVQTAISPQRENERLCPYSIRKMNASKSEADTFTVDIPCGMIVGSSITLIGTPGSLSGNFWIDFIGTTLPGEYEKPIVLHYNVRLTGDKITHDPIIVQSTFTASNGWGSEDRCPCTICDNSTEVDNLEKCNAMVGREMNIVNTKRHPDAKKHNDPNIYFPFKQGYLAIATLRVGLEGIHMTVDGKHVTSFTYRMGLEPWFVTEVRISGDFKLISAIASGLPTSEDLENSSVEMLKSSPIPDGKDVDLLIGIFSTANNFKRRMVIRRTWMQYDAVRQGVVAVRFFVGLHTNLMVNKELWNEAHTYGDIQVLPFVDYYSLITWKTLAICIYGTSAVSAKYLMKTDDDAFVRVDEIQSSMKKLNVSHGLLYGRINSDSSPHRNPESKWYISREEWPEEKYPPWAHGPGYVVSQDIATTIKAWYKTSHLKMFKLEDVAMGIWVNDMKRLGLPVRYESEGRIHTDGCKDGYIVAHYQEPRQMLCMWEKLLRTNEAACCNTN >Et_9B_063862.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18170243:18170323:-1 gene:Et_9B_063862 transcript:Et_9B_063862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNPNVTCSALFPGQWLCVNGGWIG >Et_9A_061952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18283777:18290535:1 gene:Et_9A_061952 transcript:Et_9A_061952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIIRRRKFLLDHVNTPILSSSSFSTLQHGRFGLEAEPRTVGRFLEQSSGDSRCEKEQCAANLNKKDLQGLGNGLLRRPAYVISPSHCGIGRNEFGLPLGARYLLQSVRRASTATARQPKMDTDDEQSEDQKQNKKKKEASPEECDQAVEGLSTAKAKAKAKQVQESLKAGQSVVQKFWARILGIGPALRAIASMSRADWAVKLKHWKDEFVSTLQHYWLGIKLLWADVRISSRLLVKLAGGKSLSRRERQQLTRTTADIFRLVPVAVFIIVPFMEFLLPVFLKVFPNMLPSTFQDKMKEEEALKRKLKARMEYAKFLQDTAKEMAKEVRTSRSGEIKQTAEDLDEFLNKVRRGERVSNEEILSFAKLFNDELTLDNMSSIKNDDKMIQAEGVESLSEEELRQACRERGHLGLLSIEEMRQQLRNWLDLSLNHAMPSSLLILSRTFTVSGKLKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRKKLEFLEMQEELIKEEEKKKEKEEKAKKEEKAKLKEPEAAEEDVALKEMTEATAREEEELRKAKEHDKEKLCNISRALAVLASASSVSKERQEFLSLVNKEIELYNSMIEKEGTEDEEEAKRAYIAAREESIHDADDDSEGNVSSALIEKVDAMLHELEKEIDDVDALIGNRWQLLDRDLDGKVTPEEVAAAAAYLKDTIGKEGVQELISNLSKDKEGKILVEDIVKLSAQTDENNEEEEEARQ >Et_2A_016876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2907016:2914200:-1 gene:Et_2A_016876 transcript:Et_2A_016876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAHKVKGRGSVEEERLSFFFLGAEQGSARGEERRGEERREGRRRRQKIEKSHAWVRQSDTLVYLSNFAHVERSHLATVCVGHLATVASTIVVRGEEETAISHHVLSVIDSLKKKITADRFIYIKNKLAENKINLSTYTQNAYSLSNIRQSNTSNGSDLVSNWLTKRQDDALCSLHSLDICHADKDGGNSQDESSFSTSNAILGGNLGAKNVIRPIKLPEVEKLPPYTTWIFLDSDSEDEAIEDEEVKKEFKRSEDCIIRMTIQECGLSGAVLETLARYLERTADDIKARYEILHGEKAEDSCKKVPEHNVKVEDLYCDKDLDAALDSFDNLFCRRCLVFDCKLHGCSQDLVFPTEKQQAWNGTDDGAPCGIHCYKLASIPDSVATIDSQMIIDVEEPAHSSDNAMNQPGRTVKKHGSSGKKTKSQQSDGSSTARVASESSDSEVHPTSNKSPQHSPSPSKIKIGPKGGIRKSANRRIAEKILMSVKKGQREVAQSDSNSVSGSLLSRDMKLRSDTRNGNKDSFASSQHNSPSTRSSKKKSTPQIGNNSGEAQNDSMEEMNNEHSATDGYDSSRKEEFVDESISKQEDNRKSWKTIEQGLLVKGLEIFGRNSCLIARNLLGGMKTCRDVFQYMNYIENSSASGALSGVDSLVKGYIKGNESRTRSRFFRRRGKVRRLKYTWKSAGYLFIRKRITERKDQPCRQYNPCGCQSACGKQCPCLTNGTCCEKYCGCPKMCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVGCGDGTLGVPNQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHKEADKRGKIYDRENSSFLFNLNNEYVLDAYRMGDKLKFANHSPDPNCYAKVIMVGGDHRVGIFAKERISAGEELFYDYRYEPDRAPAWARKPEAGGKDDGQPSNGRAKKLAQNTRA >Et_4B_037185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1640760:1643337:-1 gene:Et_4B_037185 transcript:Et_4B_037185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPLPPPDPIDPPPPAMDAALPAAVVATILSHLDVRSLLLAAAACRGLRTCASHALAFLPSFHLLVRTVTVTPRPVLSHAAAAAETNALVVLEVALTHDMLRPLLPPNPSLWSLRLDCGKLDDAAIGCLARPSLHELLLLNCENISGRLLCELGTTCRDLRVLSLNSLGERRGLVVNFSDLQELLNGCSQLESLRLALDFSTFDDPNFGHVWSSASERLSSLEIGYIPMTMLLELLTAVVESKLSMDHVKPPVFFPGLQKLCLTVDFITDHLIGSISAALPSLTHLDLQDAPIIEPTSSSDLTNAGLQQINPHGKLKHISLMRSQEFLMTSFRRVNDLGILLMADKCSNLESVCLGGFSRVTDTGFRAIIHSCSGLHKLRVSHGSHLTDLVFHDIIATSLCLTHVSLRWCKLLTDVGIQRLSFNKDLNVLDLRDCRSLGDDAVRALSCLPKLQILSLDGTDISDQALKYLGLGACPLTSLSLRGCRKLTNDCIPLLLAGSVKQSLQVLDLSRIPSVTDDGIMLLVRTRTPLIELRMRENPKIGDSAVMALASMQVDGGTYGSSLQLLDLYDCGGITPLATRWFKKPYFSRLRWLGITGSLNRVMVEALSRSRPFLHIASRGEELGTTMLWDTSSDWYRHNDDDLDELEQWLLDGEPVSDDDAIMEE >Et_6B_050123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6895225:6899880:-1 gene:Et_6B_050123 transcript:Et_6B_050123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGEPEVVKGRFSHKPHLHVMVDEFLRRDPPVPGSGRRARGGSHIDRTINPRRRSFTTWPKEASHRDSGQHAAHGQHPVLHALEPVIGHELKQVADVYDESTGHGRHVHPVATVDNLQAADAVLKEHREEAGIRVPGRAKGEVRLWAWRVVVANDADPIKAAGVMLQVARVEAQGLRHKLQQHTTKPLHQPRVLQGHFLCIQLNLNDG >Et_7A_052948.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:3142812:3143837:-1 gene:Et_7A_052948 transcript:Et_7A_052948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVYAGGGNTTTSDRRTRPSSLSSTETDEDAASKRPILTLASPSPSRAAAAAGGRHALEPSPKRRAPCRRSRPVRMFQSMCRSLPVLDPTCGGRQTIMQPAGGAVVAPSPPASPEPYSLFTQLFASSPIVGAAVGSSSSSASSSSSNHRRVTGTLFGHRDYRVSLALQDNARCMPELVLELAIPTHALLRELGSTAGARIVLESEKRGGGAGDGGGEGGVGSRWRQLQLQHHHHHDVDDGSSWVLHEPMWTMFCNGKRVGYAVRREANDGDVDVLETLWGVSMGGGVLPGIADVDGPDGEMAYMRGSFDHTIGSRDSESLYMVGPPGGDCPELAIFFVRL >Et_9B_065171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21848690:21852142:1 gene:Et_9B_065171 transcript:Et_9B_065171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPISVKPPSPAPVATPPAAVEPQSSPQPIAGAADAELGGMNQLALTPNVKRQKVEEAADGNGCKHCACKKSKCLKLYCPCFAGGGYCSEKCGCQPCFNKEAFAETVQNTHKVLLSRQKRMSLKINRRSEANAEAMEDAHHSSSSTPPRRGCNCKKSSCLKKYCDCYQEGTGCSLFCRCEDCQNPFGKNEGIMAEDSKRYLYTGADLDHSEGEHEFVVERSPRLQSPISKESSFQTPPHLRASSRDTHVFPQALSQWQALPRSWHCSKRNNNDRVIDDSGNYKNSSHDWVLSKHEDSYSISKCIQILNSMVELSLVEKSVAPDVFLQQGNREIFISLGGEVRALWLKRQIQHLT >Et_3A_022980.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:11715104:11715190:-1 gene:Et_3A_022980 transcript:Et_3A_022980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NRGEEGAMVFDVFSGSNNVGDHNQQWVG >Et_4B_036680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10315449:10316735:-1 gene:Et_4B_036680 transcript:Et_4B_036680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSGINQAEVDDQAAGQLELPPGFRFHPTDEEIISHYLTPKALNRLFTSGVIGEVDLNKCEPWDLPAKAKMGEKDWYFFCHKDRKYPTGTRTNRATESGYWKATGKDKEIFKGRGVLVGMKKTLVFYRGRAPRGEKTGWVMHEFRLEGRLPHPLPRSAKDEWAVCKVFNKELAARTAPMAVAGAELERVGSLGFIADFLDNAELPPLMDPTFGGDVDEAEQVASGQTTSVLSPSRETGLSTDPNAGYAEISSAATSHHQFLPSTELDEDSVLNLADIWKY >Et_1B_014223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3772116:3775821:1 gene:Et_1B_014223 transcript:Et_1B_014223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLRRLPAARAPATAFMAARPISDSTASLTIETSVPFTAHLVDPPSRDVTTSPAELMTFFRDMSVMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRSDSIITAYRDHCTYLARGGDLVSAFAELMGREGGCSRGKGGSMHFYKKDANFYGGHGIVGAQVPLGCGLAFAQKYKKEDTATFALYGDGAANQGQLFEALNISALWKLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKDHAVANGPIVLEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLIYAYDIATAAELKDMEKEIRKDVDAAIAKAKESSMPDTSELFTNVYKKGFGVESFGPDRKEMRATLP >Et_7A_051962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3261031:3262883:1 gene:Et_7A_051962 transcript:Et_7A_051962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TEDQDRFSKLPDDILIYILEKSDIHTSVRASFLSTRWRHLPSSLPHVSLNIADFIHSNNTTVNHIETDEAMSSITKAAQICFAAPKTEGTLKTVSLRLVLTAKYLYDIGKLVCQAIGNGEVKSVDLALPTEKLSIDCDEVDMIQHTKILIGFHDASSNLFRCLTRLFLHNTRFDELQMHRLLSSCELLQHLVLNNCDTGDRSVLKIDLPNSKILYLRLRSCCFEKVEFFCLPKLTELHYESWFSLNAPFSFGFVPCLEELHLICSATRYQSGHNLSELLHRATGIQTLTLDFQGEKLSKMFIHGIYVKFGLLWTEALLEAAPCLKTFGIKVWDHLCDDDDEESRRFYSKRTNPWQKNKKLNNSKHLHLTRLEFGGFMSMKKHVQFIRAVIDYAPNLETVLLEDKDHCQSCDGLKNNLAYSSTGSVFPKNKDEKHDIESTWRQCFRLSKNYIQVIWRCSVKKKVLSLFGCNPAVKHIP >Et_3A_022982.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:12380600:12381007:-1 gene:Et_3A_022982 transcript:Et_3A_022982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AVVEEQNRQVYSREPSHINAIQTFLSFFILDGGHLPFFLRCLLRTSRLRRCLNFLASFIRALCSSIIFSFDSSGICSILAAGFASSGCSASAALPSVAAWTRTGLFSAKGTHVAVTFRGLGREARILHCSLGAAQ >Et_4B_036163.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3029702:3031038:-1 gene:Et_4B_036163 transcript:Et_4B_036163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILAGFAGKSPDLAEFVGSVEVRRCAHGGRGIFAVKNIEIGGIMMITKAVAIGRGVLPDAADGGEKMVVWKDFVDKVLDAAEKCPRTAALIYTLSTGDERPGELVVPDMALFRQEPEDLNLSDSTNMAMETGSKEALDVDRILQVLDVNCLTEDAPAADVLGNSGIVNCGVGLWVLPSFINHSCHPNARRTHVGDHAIVHASRDIKTGEEVTFPYFDVLVPVSKRREAARAWGFECQCYRCKFEAEDVTLKQELVKAENDLVSGGDIGALVVRLEDKMRKSLVKERRKAFLRASFWSAYSALFDSDKLVRKWGRRIPGEAVVAESVADAVGGNERVLRAMLRGSKNSNGCGNRLEVEDKVMRIGRATYGKVVKRHAMRSLFRLTLDARNNINL >Et_7A_052860.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:20603018:20603368:1 gene:Et_7A_052860 transcript:Et_7A_052860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKNGGADRLSVLPDHVLGHILSFLPTPEEAGRAAVLSRRWRYIFAHVHTMSFDDDDYIERYPDEFTFYFESSERRSLNGSFLDRVNAALLCRRRCSILARRSSPLRAFRVAIHH >Et_3B_029395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24635852:24646551:-1 gene:Et_3B_029395 transcript:Et_3B_029395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTCAWRHGGRGKEELLTEFIDTMDESRKRAASAVNAKNTSSSLDEDFGNDFLSSWKLPKSGGDTIDFNVESVPKGSKKFSFDSLDDFGLDGAFDKLPSFKMGLSGLDFSSPVKKKVKHYSSNGDDLSEGKKETEKDFSFSFDFSELGKFNLDSKLGIEEKKGNKDTQRDLSAEGTDTLADNKSKEQDNKCKEQTETHDTSTLRPSHPTRQESVKNDGHQTQNVNAADSSDKMQEHTSVNPARMEQTNIDPVSTDRHGEHSKEAYPSNTAVNKSSQSISCCPLSVEDPAQVPADPVNSKEGRKVNVSKVHMSSEGSDHEQSVSSQSRNTNTVNPYISRRSVSQLDSQNDVMEESVSHNEGSQGNQRISGTSMLSKRKSCKTKNSEEGTSALKCLSSSMQREIRNVKPPLANEAGTLSLLLKSANIKPSKIELTSETLKKPDGGSKVMNKMATHSANLKREHKQANAGTDKPKLSLSKTYIKPALQGQSTTPMNVNDAKNAKLGLERPSAGNLLQLNTRSSTATSPVHKIVPNHMLLKGCDASDSMQGTLSKDDKIPEISQLTGRRTTKLSIRSPMSGISLEKKSVEASGGKGSPVTTSKIPNSIPKGKSALLSPSIMQKESVLDPKAPTMLKHIMRSPAVRKSPQTVPELGNQTILGCGTPKARMENEMSSVMPWEMGDISDLELPALLENDGNVEKAEACRKELEDFYKALFDSFGLTALVKRKPHFIMLPGSHRPLVSIVGLWLPTPVLPVSSNAVLPSLR >Et_6B_049550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6413526:6415700:-1 gene:Et_6B_049550 transcript:Et_6B_049550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLEQAAFPWKQILGCAVLAWCVVIRALEWAWWRPRRMERALRSQGLCGTAYRSPAGDAQLAERLDAVARSRPLPLGCHDVLPKALPLYHQTIKEHGKTSITWFGPVPRVIITKPELVREVLLNKFGHIGKVKLGGLERRLHSGLGNHEGEKWAKHRRIVNPAFHLEKLKPCEVDVWPEMRNLAGDVISRAAFGSCYLEGRRVFQLQGEQAELVVHAMNRMHIPGYLLLPTRTNRRMKQIASEIEALLKGIIAKRENTLRAGNATSDDLLGLLLESNLEQCRGDGKGNDPKAGITTDDVIGECKLFYFAGMETASALLTWTMVVLSMHPEWQDRAREEVLHVFGVDGTPDYDGMSRLKIVTMVLYEVLRLYTPLTTLQRMTYKPTELGGVRYPAGVVLMLPLLCVHHDRDVWGPDASEFRPERFADGVSKASKVDAPAFFPFGWGPRICVGQSFALLEAKMGLAMILQRFAFRLSPAYTHAPFSIGLLQPEHGAQVLLRRLP >Et_7A_053029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5207011:5209900:1 gene:Et_7A_053029 transcript:Et_7A_053029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQVPPPPPAGRSTRWEMRWPETVAGKIEEGKHKVKQMELHMAEMDCEIEMLEKVVGSMEVEMGYELDLVLHCGDVEIMRRVRQRCSPRAPLSETEERSLCDIRDLAASAIAEYTANVGPVPAYDRPINPLSDTIRLPLPRGLAGVSASRYSFSSSQSLLASWRGEGTLEDDHLELAYHLQDPDSILITICSGFN >Et_2B_021523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30303745:30309050:1 gene:Et_2B_021523 transcript:Et_2B_021523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNPILKVLSAAAFGFLVGISFPVQITPTLPCAVFPWSFGDGNSNSGDSNMLARFWAAFGNNTSTVEMSTRGILQQNATASEIVAPAMPKGAERLPPKIVVSESDLHLRRLWGEPRQDTPPRKYLLALTVGYSERANVNATVQKFSDKFDVVLFHYDGRTTEWDEEFEWSKEAVHIGARKQAKWWYAKRFLHPSIVAAYEYVFLWDEDLGVDSFDAEEYVRIVKEHGLEISQPALDNTRGKKSAYAITMRGNSRREVHKSTTAGNCSDDVHRRPCSGFVEVMAPVFSRKAWPCVWHLAQNDLIHGWGLDWNFWRCVDDPEEQIGVVDAQFVVHRGVPSLGRQGNADLGEGGKVRARAWREFADFRARILQCGIFSWSGVGVDANSSFSGSNILGRFWTPLRNTSISTVEATPTQQPNSTAEVQFNFNFVDGFMAKLVIVAPAKPKGAERLPPNIVVSESDLHLRRLWGNPREDTPPGKYLLALTVGLTEKGNVNATVHKFSDKFDVVLFHYDGQTTEWDEEFEWSKEALHISARKQSKWWYAKRFLHPSIVAAYEYVLLWDEDLEVSFFDADEYVRIVKKHGLEISQPGLDITRGKKTYDVTIRRNSSEIHKNTIGGPGNCSDVHQRPCSGFVEVMAPVLSKKAWACVWHMIQNDLVHGWGIDHNFWRCVDDPEEQIGVVDAQYVAHRGVPTLGRQGNPETGGGGKVRARAWREYSDFRKRMIDAERAQARAEAALRAPPGAAPKT >Et_4B_037163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15735162:15735869:1 gene:Et_4B_037163 transcript:Et_4B_037163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVETNPAGESTSTATAGHLFVVVVDGVESSIHEGTLHGNAGGTVTVTGPGQLSAEGLRSVLVRGRGGGSVRFTLCADAAAEGVDAACFDRCGSVRVDGAREVSVSRCRAAEVERAGKVSLERCREARFRGGGALRAARCRRAEAESFGGVRLARCKAARADWCGTVEVELCREVDVSRCGAVTGERCRFVNAAGCGSVAVSDAEVNMLDGQLLPPCIRSTCRAEDSAA >Et_9B_064750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18349581:18354737:1 gene:Et_9B_064750 transcript:Et_9B_064750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKSRGGGCLFAVVAVLLVASPCSGAGDLAVSLSEAPRRLSKSASAVFAFRVLQSSGVPCVDCAVTCKLDGGRASECGSSGNGNGTATVSYAGLKDGNHTFAVCAGRGGGGAAGSACVTYAWDVDTVPPTASVTAGSAFTSASNVSALISFSEPCPGAGGFTCNQTYCNLIVYGPGRVEPSTLEVLRPGLRYSVAVTISPDEQYGRLILVMGRAFCTDAAGHRFTRTSNSSFTLRFDRRNNSMNITTSIPEKLLQIQGAMRLVEATNDAKDLRIYLTFAQPVLNSSAQILSVLKANDAVLTPPNRSTLGNRRFGYLVNKVSDTSIVTISCDTSSIISRQGSPVYSAEPFAFLYDTQRPSVKLGTSTWRTSSHAIQVLIKFAKPVFNFSSSAVQLSGGNIFHEASKSIYTLQIQAVDKLVSVQVAENAAQDVAGNPNLASDRLEVRHYSVPTSSSSIATLTTVVFVVTAVVATLLTVSTSSLLASGAISRPSSYMASEPSRNLLRMACHIQVFALSRWLSVNLPIEYYEFAKGIEWTIPYMQLPWEGPAADPFLGYSTMPAIAYSEILDRSAVGADIPYPRAQGQPVMPTQMPILPTEIPPGDGKPMMPTEIPPGDGKPVMPRQIPGDGKPVMPMQIPLDGKPLTAMEYRSFFENPDMKPEAQIIMKLQDLDGWKYFGRNMFWLGVIGGGMILAHCLTLLYLKLRYRDKEQGKGYGALVLPRFEIMVVILAMPCISQAAAALFRGGTTGGLVVGMVLAGVLTTLLVGLVLFLSLGVAMGRMAQYKEVHREGREYHWYEAAVRHMLGPVSRGQWTWKKDPRRGGCVAKLGPLFEDLRGPPKYMVTQIGGGTSTTAKGRREEEGIMASEDETADAEAPRAHKLLGALRVHFTFLEWAKRVAVGVAAGAGARAHASSSSSPWPVAVVLAVAAFQLLSMLLAKPFVRKRVQLVETLSVAGEVFVFASCLVLVVGKGDSAAEGQTGVGVAMLAALLLGFAAQACNEWHALVRQVRLLSADRSSFVDGAKAASAGLLMLVLPASCYCSSLLSTATAMAAVGGGSRSKRRLLTTLREMAKASFTKEDDQEGADRREASSSGGIHRKKSGEWKARSRALYNDHESIFSNR >Et_1B_011050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1782118:1783669:1 gene:Et_1B_011050 transcript:Et_1B_011050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKAAAPCHIVAMPYPGRGHINAMLVLCRLLVARDGVSATVVVTEEWLGLLGAAADLGPRVRFETIPNVIPSEHGRAGDMLGFLEAVNTRMTAPFERLLDRLPPPAAPAAIVADVVAPWPVDVGKRRGVPVCVMCPVSATMFAVDYNLHRLPYELAWGSASPVADPADGIDPYLIENYIPGLKSIRLTDLTVHTNEKILKKILEAYALVRKAQCVVFTSFHEFEGAAIDVVRQDLHCPAYAVGPCIPFMSLQEHEANPDNGEGYMAWLDVQPAGSVLFLSVSSAQLDEIAVGLANSKARILWVVHDAAVRSCVEGLVRGADADVEVWKIGYGLKEKARADGVIGREEIAASVGRLMHRDTAEAEEMRKRAKLLKDAPPRQKRVDRRGVILLLSSTSFRSDISENRSCRRDNLSVGVAKLLKSAGLTALLRCCRTLFVRRNKNATTSRWRLKCSESPEVFMLQQGKIPYCLHFINL >Et_7B_054779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4404717:4408066:1 gene:Et_7B_054779 transcript:Et_7B_054779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWRKKVVFPARRAWAAVSTRVRATKTGSGGSILKLHEDVQTCGYKDVQVMFEILRTELEVSSHATKLAKQQHKRPPSVWSSRSSSMIAAAQ >Et_10A_000440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10312707:10315175:-1 gene:Et_10A_000440 transcript:Et_10A_000440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCAGSCFTKTRLFGSFSGGSIWPSSRAPASPSQPTTTSRSVPVVQVQPSESTADESSSSSSSKPPPIPVQAQTAPPAPIVISEPPARPTTQPAAQNDPAEASPSAPPQPQSTQQQQPPPATKPKKPAAQIKRISSAGLQVESVLRRKTGNLKDKYSLGRKLGQGQFGTTYLCVCKATGREHACKSIAKRKLVTDEDVEDVRREIQIMHHLAGHPNIISIVGAYEDAVAVHVVMELCAGGELFDRIVRKGHYTERQAATLARVIVAVVESCHSLGVMHRDLKPENFLFVGNEEDAPLKTIDFGLSMFFRPGEAFTDVVGSPYYVAPEVLKKNYGQEADVWSAGVIIYILLCGVPPFWAESEQGIFDQVLHGSLDFESDPWPSVSDNAKDLLRRVLVRDPKKRLTAHQVLCHPWLQMIASAPDKPLGSAVLSRLKQFSAMNKLKKMALRVIAENLSEEEIAGLKEMFKMMDTDNSGQINFEELKAGLHRVGANMKEPEIHQLMQAADIDNSGTIDYGEFIAATLNLNKVERDDHLFAAFQYFDKDGSGYITADELQQACDEFGIEDVRLEDMIGEVDTDNDGRIDYNEFVAMMQKSTSGFGKQGHRKNLSIGLRDALKIQS >Et_5A_041635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25298948:25300692:1 gene:Et_5A_041635 transcript:Et_5A_041635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAQQAVAANQPPLLSSPRTSNAKLLLLVQPAPFLGGRSAVRLHGGGARRAAAAAVVVRASSAQAEPKSEGGGDKAEEERPFEEYEVTLEKPYGLKFSKGRDGGTYVEAIAQGANADKTGQFTVGDKVLATSAVFGEEIWPAKGYGQTMYSIRQRVGPLYLKMERRFGRSEDDGELTEKEIIRAERNSGVVSGRVREIQLQNYQRKMAQKMQREEDLRTGLRLSKEGKYEEALEKFESVLGSKPELGEASVASYNVACCYSKLNRVQAGLSALEDALKAGYEDFKRIRTDPDLANLRKSEEFETLLKNYDESFINESAINAIKSLFGFGKK >Et_4B_037447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:266007:278137:1 gene:Et_4B_037447 transcript:Et_4B_037447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLHRHRSSDRAGERYDFRFSNFRAVQVPAVSDRLFLSIISVDTGKTIAKSSKAASRSGICQWPDTILEPIWFSKDEVSKEFEECQYKIVVSVGSTKTGILGEIFLNLANFLNLVDPTAISLPLKRCNSGTVLQLKVQCLGTKSKLSGVRSLRDMPPRHEDRSPTPTNDDVDNRSDASDSMFNRGVRSSSESQLGGAYQDEPGNRDMSFSASGSHRSSNSGDSTADRTNFSPRDNSNGGAHVGRQDSASSHASYVSAGRGDDGFRSNNSSFSSRTSGPNVLQGTTPKAFANGLSQLSMGTSDSSRDLLEAAEETIEELRDEAKMWERHSRKLKADLEMLKKECAEKSKQQAELAVELSAAQAERDSYRHEIEELKSSAQDITPRQTITGTPKRGGWVDLQKELEDEVKFLKESNVNLTLQLNKTQDANIELVSILQELEETIEEQRQEISEISKVKATSGPENGSLDKWARKLSMKEDEITMLREKLNRARNIENAGSNDVYLELEKENELLRAKILELEKDCSELTDENLELIYKLKESGLTKGQAPGISNSSELQIEKLTSQIHELEGKLKNKEMLQNGSYVEPSISNVDELQRKCADLELKLLSYRSQTHDLEEKFRKSQEDLEERNCELSELRQKIDDIRSTELEGGKSGDARKNQYRRADLEDTAETDVLKAQQENDELRRSKAEMEEFISEIQLEKSQLEERLSTSIKESSITSKCLDEVRQDILVLSSSIDSHVSANKVLERKIVELESCKAELELHVSELEQENIDLSERISGLEAQLTYLTNEKESSELQMHESRSLITNLKDKVERQQSEMETQRLESRQKQQEAQRKLSEAQDDSEVLRRSNSKLQSTIESLIEECSSLQNLTADLKKQKLELHGHLTQKEQELDESKKRNFDFSKTVEFLEAKLSSLQKDISSKEQSLLSELESIFQEHMEHEERINRAHFLLNKIETEKALEVENLEREVVSLTAQVSSTHEERENSSLDAIREVSVLRADKAKLEASLQDVSAQLKHYESQLEDLRKESKNKIKGLVDSLNASKQSEEMLTADAEHMKKLMEAAKSNEDLLRKTSNELELKLKSSDYEKQEMLEEISGLKLQIQKIMNLQDEVSKLQSSLDEVKFEKGKLEEELRSMTEECEELKAQNAMLTDKVSDMQENLRNGEEERRSRIAMQAKLVRLESDLSASEASHVHEAELKNELSRIKRSNSEYQRKIQSIEQENEDLTRRIQLMEKGFERMSHIKEENLGEQDTAGDNQAAIQSKIQSLESQLAEALEENKMYRAQQKSPMPEGQSAVGDGKEGSTDRVLQLEGELRDMKERLLNMSLQYAEVEAQRERLVMELKAAKKGRWF >Et_5A_040169.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:18754919:18755230:-1 gene:Et_5A_040169 transcript:Et_5A_040169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVRIFMSMSTQKIWRVMRNQMMKIVLMLKLVLLMNCVDKEKRKWRKMTRQVMEKTKLCINTLVMRKTRQSLFVMMMMMMTAISFGVGTFGPNIATELVLVE >Et_3B_028115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10446807:10451851:-1 gene:Et_3B_028115 transcript:Et_3B_028115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASTLEIEARDVVKIVLQFCKENSLHQTFQTLQNECQVSLNTVDSIDTFIADINAGRWDAVLPQVAQLKLPRKKLEDLYEQIVLEMAELRELDTARAILRQTQVMGVMKQEQPERYLRLEHLLVRTYFDPAEVYHESKEKRREMIAQAIASEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDELETYPSNLSHQIKFGKKTHPECARFSPDGQYLVSCSVDGIIEVWDYISGKLKKDLQYQADESFMMHDDAVLCVDFSRDSEMLTSGSQDGKIKVWRIRTGQCLRRLERAHSKGVTSVTFSRDGTQILSTSFDTTARIHGLKSGKMLKEFRGHNSYVNYAIFTTDGSRVITASSDSTVKVWDTKTTDCLHTFKPPPPFRGGDASVNSVHLFPKNTDHIVVCNKTSAIYIMTLQGQVVKSFSSGKKEGGDFVAASVSPKGEWIYCVGEDMNMYCFSYQSGKLEHLMKVHDKDVIGITHHPHRNLVATYAEDCTMKIWKP >Et_1A_009512.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:7004103:7005308:-1 gene:Et_1A_009512 transcript:Et_1A_009512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTDDDSDNMAKVTEEEDTQDRAPLWTQLLPELLRLISRNLPDTGDFVRFRAVCTAWRDAAPASDPPAQLPWITQLVHRTEGAPLARPRLRFHSHTSGRTYDVGVVGRRSMLLAHGAFQGYAVAALDLSATILYNPFTGERRGLPPSPPYLKWSKYVVRGVFSVVSDPDGSGEVVVVNTCTRTRHFAYCRPGVDAGWRVFDRRQNLRANAYHGGRFYANTATQATLAIDPATGAVEAEVQRPLGEKFRSARGDYLVGGWGSSPRGGTKKKLLRVVKQPRSATQAPEETDLYFNVYRLDVPSAAAAAAAGKAAWTKVDTIGDAVLFIDDHGHGFSMEPNDAAGMRRDCVYFMHVDWTAWGCHRYRFLCRYSMEDGRVDKAVPLGETFGETWVLPSLCHSDQ >Et_4A_035132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9862983:9877966:-1 gene:Et_4A_035132 transcript:Et_4A_035132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAAAARFPTWPAAKSPRTMTAPARVAVVGAEVRSPLLRALRGRSPVPERRACFCSDSDAAAGNGSGASSSTEEGADAAGGEEGEGEKVTSAIAPAPRPEDCHTVIALPLLNRPLFPGFHMPVYVKDPKLLQALIENRKRSMPYAGAFLVKDDEGTDPNTASSSESAKGIRDLKGKELLKRLHDVGTLAQITSIQGDLVVLLGHRRLRITEMVAEDPLTVTVDHLKEKPYDKDDDVIKATSFEVISTLRDVLRTNSLWKDQVQTYTQHMGDFNYPRLADFGAAISGANKLLCQEVLEELDVCKRLKLTLQLVKKELEITKLQQSIAKAIEEKITGDQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERIKVKKSNCPPHVLQVIEEELTKLQLLEASSSEFSVTRNYLDWLTVLPWGNYSDENFNVHQAQRILDEDHYGLTDVKERILEFIAVGKLRGSSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLADVAEIKGHRRTYIGAMPGKMVQCLKSVGTSNPLVLIDEIDKLGRGHSGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVEMIPSPLLDRMEIISIAGYITDEKMHIARGYLEKNTREASGIKPEQVEITDDALLALIENYCREAGVRNLQKQIEKIYRKIALQLVRQGVSNETPQDVTVLEATKVEDENSKNSLAEDASVDVNPTNSSLENVNVVPLTTISEIDPDKHSNEAPNEISVEETPKIFSTPSTLEVNESTHSTTEVPVDKPVEKVVVDALNLGYFVGKPVFQAERIYDQTPIGVVMGLAWNAMGGSTLYIETAKVEESEGKGALVVTGQLGDVMKESAQIAHTVCRAVLFEKEPNNPFFMKTKLHLHVPAGATPKDGPSAGCTMVTSMLSLAMGKSVKKDLAMTGELTLTGRILPIGGVKEKTIAARRSGVKTIIFPSANRRDFDELASNVKEGLEVHFVDKYDEIYDIAFTSDAETQGRFESVPSSGPGPLRTSSRPSGLLALDSARSRSASSLSLGVAVPFRGFAAVSAASRGRSDGGGGLYESDSGGWRRLVVGFFLPLPPIPLASWSCVRGLFLARRRQAVPSDFACSNSLQGGVAVAGFRGAPLAAVLI >Et_1A_006960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29518952:29522621:1 gene:Et_1A_006960 transcript:Et_1A_006960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTLSQTQRYAAGALLALALRQAQIHQTVLLGSHGLDDDPPSPDIADAAEADVRDLWIDDSRGLLRPVLRFLEIDPKAWPGVEKTAASSDPKHHIGAFLRKVFEDEGDDEKARAERSDQELALAKAVDAMAMGLEADFEPADLLKPDKNKACYSDRPASARGDGSPSASRYNKEDYRKMAVLYMLLSACVADVNMAEDGMGSPRVRKGYDARHRVALRLVATWLDVKWNKMEAVEIMVACSAMAAAKEEEQACECMSPNSRWESWKRGGIIGAAALTGGTLMAISGGLAAPAIAAGFTAIAPTLHALVPIIGASGFAAIATAAGHTAGSVAVAASFGAAGAGLTGSKMAKRIGNVKEFEFKTIGDNHNQGRLAVGIFVSGFAFTAEDYSKPWEGWKTNLERYSLQWESRHIIALSTAMQDWIASRFAMELMREGAMQTVLSGIISAFAWPATLVTVADFIDSKWSVAIDRTDKSGKMLAEVLLNGLQGSRPVTLIGFSLGARVVFKCLQELAQSGNKEGIVERVVLIGAPISVKGEMWEPARKCLGGLAKLQMVAGRFVNVYSTNDWILGVAFRASLLTQGLAGIQAVRVPGVENVDVTDLVVGHTSYLSLVQQILDQLELNTYYPVFYTGTPKSR >Et_1A_007635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36610877:36618242:-1 gene:Et_1A_007635 transcript:Et_1A_007635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHGDLDRQIAQLRECKYLPEPEVKALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGDTPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQVFCLHGGLSPSLDTLDNIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFLANHIVETMNTAQTTRIAYARKKGKRTSSYNSEDEISEPWKKQKYCVEEESTR >Et_2A_014893.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20559015:20559221:1 gene:Et_2A_014893 transcript:Et_2A_014893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCPSKACCICTLIILVLVAVGIVFGFGVYTRGFHKLTHNIHLGDHHDAGGGSFRAYGHLLAPPPPY >Et_4B_039575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22463749:22467907:1 gene:Et_4B_039575 transcript:Et_4B_039575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITRLTEFPPKSTLDPSKYGDQTSTITAAHIEKNLEGLTVQQALDGNRLYILDHHDRFMPFLIDVNNLKGNFIYATRTLFFLRGDGRLTPLAIELSEPQIQGNLTVAKSKVYTPASSGVEAWVWQLAKAYVAVNDSGWHQLISHWLNTHAVMEPFVIATNRQLSVAHPVHKLLHPHYRDTMNINALARQTLINGGGIFELTVFPGKYALAMSSVVYKSWNFNEQALPADLVKRGVAVKDPSSPYKVRLLIEDYPYATDGLAIWHAIEQWVTEYLAIYYPSDAVLQSDTELQAWWKEVREVGHGDLKDAPWWPKMQTVPELANACTTIIWIASALHAAVNFGQYPYAGYLPNRPTVSRRRMPEPGTTEYAELEQDPERAFIHTITSQIQTIIGISLIEILSQHSSDEVYLGQRDTPEWTSDARALAAFKRFSDRLVEIEGKVVSENRDPDLKNRNGPAKFPYMLLYPNTSDHKGAAAGLTAKGIPNSISI >Et_4A_033114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18738744:18743278:1 gene:Et_4A_033114 transcript:Et_4A_033114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVRCGRVGGDGGFLAGAADATEIFLTARITIAADLASNPVVGSSISIIDGFETSSTATVSLFLCSKDSPFIPGIPTKASFISSSSTIFRTSSTNSCI >Et_1A_006690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26446569:26448711:1 gene:Et_1A_006690 transcript:Et_1A_006690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPARSAALLAALVVLLASAVSCRGQLANNFYAGKCNGSVETIIHDAVKARMAWDRRIVAGLLHMQFHDCFVAGCDASLLLDGPNTEKTAPQNSQLFGYDLIDDIKTELEKACPGVVSCADIIIAATRDAVGMAGGPSYQVQLGRRDGTVSQAWMATALPSPSVDIPTAINMFAKKGFNSFDMAVLMGAHTVGVTHCSVIHDRLYNFNGTGLPDPAMDPTYIWILSTFACPKNPTFDNIVFLDDPSSILVVDKSYYQQIMNRRGVLAVDQALGDHSATSWMVNFLATTDFFPSMFSYALNKLAALDVKTGTNGEIRENCRRTN >Et_6B_049991.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:2848474:2849643:-1 gene:Et_6B_049991 transcript:Et_6B_049991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGAAPSSSTSTSTNSSRSASEHHHPFYYGAGMAPFMGSLSIVPTAAAAGDHQSAPAQHHHAPPAPAPPQAAGSSSGGGAADSSSSSKKPAASKRPSKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETVEWLLQQAEPAIVAATGTGTIPANFSSLAVSLRPSGSGHHHSTASRAAAFHHHLQPPPSQHDVAAALLGSWNHHQHQLLSPPPQHHQQQDPGAGEFMRKRYREDDLFKDTTAARQQQHPDAGGEPEEEEQKARVLPAAMWAVQAPPTNSSGAAAAGAFWMQPAWPFGGGTVQAPLQFMSTRSGGGGSFPGGGMADNTNNNNIGMLAALNAVQHQQEDRQQQPETAAAMAQRHHRGTGENGGHQGGGAASPQ >Et_1A_009291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37620259:37621593:-1 gene:Et_1A_009291 transcript:Et_1A_009291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTARANPATRSPAPGNKQQLNASDPNKLAALSLTSSGVPAAGARLPILTIAFAKSLANTAPLAAYLRTSASCDPPLKSSWSECSNPFRCTSARKYPLSNASGVSASSGARLPSAPEPAGHDARSCAAKVALIASAAPLTRARPTVCAPDSAVMSRAERPLAANAATRDAAPDPGPGRFALAAAWLAARASFRPSRTFHDGPPRSATASRAASASASAHDATPGQDASTAALTASTTSKPRTDAVVADGTTVTARRARASRKILGGVGSETAAMVVLEQAFLLS >Et_5A_040738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11833798:11834063:1 gene:Et_5A_040738 transcript:Et_5A_040738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding INISFRRSPGQTESTEWEELIQMLNERAQQTNRHIKMGVGEIWKVHYNIADIMNPGMINYRMKEIWEAKILLKLKIFPWQLHED >Et_4B_039801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:383429:386831:-1 gene:Et_4B_039801 transcript:Et_4B_039801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQWPSRDATGFEALDYGFEPPDAIVHRHCLEPPPYVLEPGYSPTGITRWSPALTSGYQLRESAPTPSTQLPARGARILASGCRGRADYFPEYQAWIGFSAQNNNMLCSVEGLSTTSKPAAVQKAAAVVLGVIALDLLADLPDRHRRWRAGRPLRRQPHPRPPPSLRLLLSGHRRRRGQPSVLRAEALELGGADLALCLPGGHGLAALAVAELEAVDLGLERLDLAAEHADPVVLAQLDHQPRHLRRAPPHVPELGCRGGGLLLGRRRAEAEARVLAQQHQPLRLQHLHQAPLQLDLPRRLRPPALRLVGQHVAVHPRQHQLRHHQRSIIGGFAGGVVDRRLLEGERAAGVGAAVVDVGGAAVGCRGKVEVLCCEGLGVAVGEVEVAVVEVGQEGQQLRPLVVVVLRALCERVLRLRRRHRQRAHPLLDVCPARQPNSKESSSV >Et_6A_047866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2209692:2210535:1 gene:Et_6A_047866 transcript:Et_6A_047866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVASLRRQALRSSLNALSSSAVSGDATLLLRNPASHSLAAFSSDALASLSLPPLIAAAQPGCLCSRRAWPPYAVIAADLLASKTAAKIDGLLRAAGGRDDTWPPFVPSARQASCKSAITGKRDAEAVSSLEKSASAAKECEDGFGKSSVASPLTAEDKNAFRLAKLAVALING >Et_4A_032068.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:15134487:15134708:-1 gene:Et_4A_032068 transcript:Et_4A_032068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKPKQLLLQSKTKKGPTRISYSTIITWNLIVILVVLSLYATYSHWHQRLAHFLAVFSVCCDIKVSQSHLI >Et_8A_057188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22091830:22107206:-1 gene:Et_8A_057188 transcript:Et_8A_057188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVGVAHGASNTVGAPAGSWDLRTNYANWASSITFRAGDQLVFKYASGAHDVLEVSKADYDSCSGSSPIASFQTGDDTVPLRAAGTRYFICGVPGHCAAGMKLAVKVETAASTGPNPAAPSPMPVAMAPRAAGPSGAVPPSSTSGAAAAGVGSLAGLGLAAVVAAMASTSKILLFITVAAAAVLGTAHGASYTVGAPAGSWDLRTNYANWASSITFRAGDQLVFKYTSGAHDVLEVSKADYDSCSGSSPLATFQTGDDTVSLPSGGVTRYFICGVPGHCAAGMKLAVKVEAAASTSPNTGAPSPMPVAKAPRAAGPSVAMPPATSGASAGSVGSVAGLVLAALMSSWISLSSLTVSIRALLFVAVTVAAVLGTAQGASYTVGAPAGSWDLRTNYANWASSITFRSGDQLVFKYTSGAHNVLEVSKADYDSCSGSSPIASFQTGDDTVALPSGGVTRYFICGVPGHCAAGMRLAVKVEASTSTSPNPAAPSPMPMAKAPRAAGPSAAMPPSSTSGASAGSIGSLAGLGMATFVAAAVLGSAHGASYTVGAPAGSWDLRTNYTTWASSINFRARDQLVFKYSRSIHNVLEVSKADYDSCSSSSPLAAFQTGDDTITLTAGGVTRYFICGVPGHCDGGMKLAVRVEAGAAAGPNAEAPSPTPFAMAPRAAGPPMGTPSAGGRPALPPSSSAASAGSSVGSLVGLRLAAVVAVQASLLVVAIVAAAAAFTTTASGATYTVGEPGGSWDLQTNLTAWASSIEFQSGDELVFKYSAATHDVVEVDRSGYRSCSAASPVSKFQTGTDTVKLGGVGVRYFICGVSGHCAAGMKLEVRTTPRKPLCNSPPPPAAATNAPPGLDGTPGGICVGDGPDGDSPTVIITGSSTSGGAAHVSSGSVSSALVIMLVQASLLAIAIVTAAMAFTTASSASYTVGEPGGSWDMQTNLTAWASSIDFQSDDELVNIDIVAKLNFLIDAMRVLPAVFKYSAGTHDVVEVDKSGYRSCSAASPVSKFQTGDDTVQLSDVGVRYFICGVPGHCAAGMKLEVRTTPRKPFCNSPPPPAAVTNPPPTAAATNGQAGLDAGGICIGDSDSPTTIITPSYTSVSVAPGSSGSISSVLVIMLLLLSLTF >Et_1B_012894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3940010:3944903:-1 gene:Et_1B_012894 transcript:Et_1B_012894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERGDGGEVGLMQMADKLSVVICTMGRTIQVQGFALTDSADYVKDFLERISGAGTVYALKLRHPRNISATSKAFAIVQFQTQESASLVESAAQRNVLRSGRFYLKARPADRDIVPRPRTAMFSLDEAVLHFGCLVKENVLSVLWSANEVSVQFGFDMRKIHFYLSYNFTKYKLEISYESIWEMQLHRPPAYRSRTKFLLIQVQAAPKIYGLTPRRSGIMFEDPFFNYFRDDIDDQWTRTTDFTPSASIGQSSILCLEVPQQCDLPNIGDYFIYYKEYNLDFECQRGISYSCGTRLVPVVKSSHMEVPYEILFKINHLVQNGTLSGPTVDDNFFRLVSPKTVPIDHIKRALEKMSYLKSTCLNPTNWLSVQYSKIRKLRSALQRSPNISLDDGLVYVHRVQVTPAKVYFYGPEINVSNRVVRHFSTDLDNFLRVSFVDEDCEKLRSADLSPRSASGYDARRTALYDRVLSVLSNGISIGDKHFEFLAFSSSQLRDNSAWMFASRPGLTACDIREWMGNFHKIRNVAKYAARLGQSFSVVAVDPTSNWKLSLRRSMIKFDSDNTTLDVLAYSKYQPCFLNRQLITLLSTLGVQDIVFELKQEEAVRQLNRMVTEPQAAIKAIELMPMGEITNTVKDLLICGYQPSHEPYLSMLLETFRASKLLELKTKSRIFIPQGRSMMGCLDETGTLKYGQVFVQASSSANDPHRITVTGKVVVAKNPCLHPGDVRVLQAIDVPVLHHMPHPNECSGSDLDGDIYFVCWDQSLIPNRMVEPMDYSPAPTETLDHDVTIEEVEEYFTNYIVNESLGIIANAHVVFADKEFMKAESEPCIQLAKLFSVAVDFPKTGVPALIPPELHVKEYPDFMEKLDKVTYESKGVIGKLYREIKKHTPHIKYFTKDVARRSYDADLIVSGFDDYITEAMEFKEEYDFKLGNLMDHYGIKSEAEIISGCILKMAKNFTKKSDADAIRMAVRSLRKEARSWFTDMGTGSERVLDAKASAWYHVTYHPDYWGCYNEGFERPHLISFPWCLCDRLISIKQRSNWLRKMDPDLSSLLNNMSLF >Et_1B_012195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29872284:29872704:1 gene:Et_1B_012195 transcript:Et_1B_012195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYKIAAMTLVLLLMGFRVEAKLCSYYKGHCMPGMNCNDICVKKGFLGGYCKGTLVLECMCTTECRRGDDPPPGPGDNAPPPDGGKGETPPGYGGASPPSVAEGVKP >Et_10B_003491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:20050736:20057179:-1 gene:Et_10B_003491 transcript:Et_10B_003491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIPARGREDFIPAGDDMGIGKGIFISRRRGVRLAPFPSMHRVGSAGNTAGSTRPRKEKRFTYVLNDADDKKHCAGINCLAYINGSASGASDYIFSGSRDGTLKRWELNDGDASFSATFESHVDWVNDAIIVGQSLVSCSSDTTLKVWNCLSDGACTRTLRQHSDYVICLAAAEKNNNIVASAGLGGEVFIWDLDAALTPVAKSVDTKEDEVPNGNSGPALSTLCSVSSNIASSTGQSHAYSPITAKGHKDSVYALAMNDTGNLLVSGGTEKVVRVWDPRTGSKNMKLRGHTDNIRALLLDSTGRYCLSGSSDSMIRLWDLGQQRCIHSYAVHTDSIWALASTPSFAHVYSGGRDQSVYLTDLSTRESVLLCTNEHPILQLSLQDDTIWVATTDSSVYGWPAEGRTPQKVFQKGGSFLAGNLSFSRARASLEGSAPVPVYKEPSLVIPGVPAIIQHEIMNNRRHVLTKDTSGSVKLWEITRGAVIEDFGKVSFEDKKKELFEMVSIPAWFTMDARLGCLSVHLDTPQCFSAEIYAMDLNVAGAQEDLKINLAQETLRGLLVHWSKRKQKSSSHSLSNGDSSVGKDVPLKDSPHPRSDVDDGAENHATHVLPSFEFSTVSPPSIITEGSSGGPWRKRITDLDGTEADLPWWCVDCVMHNRYPKENTKCGFYLHPAEGSPAPNITQGKLSAPRILRIHKVANYVVEKLVLDRPLDGGSDSTFATGLTSGQSLLPALDSSSRLGLKAWQKLKPSVEILDEFGHSADICLEEAGRLDSSL >Et_1A_007420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34321280:34321555:1 gene:Et_1A_007420 transcript:Et_1A_007420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALYNEIRGMKVRENVKKSTDQAVDRYIEKYIETGSPEPLFHVCFGGMAFSYLVNLPWERAHLAHLEEMEKHGGKH >Et_4B_039281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9403760:9415981:-1 gene:Et_4B_039281 transcript:Et_4B_039281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCYLLGSDPGGVVEMSFGKHPSGNAPSTSMPHLDFPCQELEKVNPVNSVMPADVASMADVDIDMREIYFLIMHFLSRGPFKRTFGVLCNELLEHQLLPRRYHAWYSRGGFHSGEENDDGISLPLGYQKLVERYPHIGKDHLVNLLKQLMVNSCHPHNFFAGASPNAADVPTLLGSNSFSLLASDSGKQDKQTPRLPSYLRWPHIQADQVHGLSLREIGGFTKHRRAPSVRASCYAIAKPSTLVEKMQIIKRLRGHQNAVYCATFDRTGRHVITGSDDRLVKIWAMETAFCLASCRGHEGDITDLAVSSNNAVVASSSNDFVIRVWRMPDGMPISVLKGHTGVVTAIAFSPRPGAAFQLLSSSDDGTCRIWDARYSQQPPRIYIPKPPDAAPGKSGDASSSAAQVHPTNHQILCCAFNANGTVFVTGSSDTFARVWNACKSSSEEHDQPNHEMDLLSGHENDVNYVQFSGCAVASRSFSSDIGHSTKEESSLKLRNSWFTHNIVTCSRDGSAIIWVPRSRRSHGKVGRWTRAYHLKVPPPPMAPQPPRGGPRQRYQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLIGHKESTFVLDVHPFNPRIAMSAGYDGKTIIWDIWEGRPVQIYETGHFKLVDGKFSPDGTSLILSDEIGQIFIIGTGQGESQKDAKYDQFFLGDYRPLIRDTNGSAIDQETQLIPYRRNLQDFLCDSSMIPYPEPFQSMYQKRRLGTLGLEWRPPSVNFAVGPTYNATTGEYQTIPIIDPDRWEPLPEITDFLELEPENEVISDDTDSEYNGLDEYSSGGEQEILSGDSSGASYSSAELDMDNPTSAAHNRRSRRKKKKSETDLVTSSGRRVKKRNLDEHEVATVSRPHRVRKSRNGRSSKRKRSPKSRGLRPQRRAARNARNFLSKMGASTEEDEDDSEGSFSDSEINTDSTEAEQFERNGQLRIGREINNHYDSEDVTQSSRLDETKGNPGMNRKLVLRIPRKNLKGEFPSGSGKAECSTQNKEVMLRAPASHESAEAELPIEPGHSSACKPEIMTDGMQAGTSGLHDVSAIHCNNSIKWGEVKTRSSKRCKYSDSAGGMWPTSNNAFSLETPHEYGDGIQPAINLDKIQENQSTAEYSGDSLLDKEKITNNNSTCADGENNTEQINNTSPLKSMKLKIRSRGFADEANVSDNSRTTTVGNITNSEHVKVFEQHDEDSAIDQHRSADFRNLSRSFQESKENKNAGVHDTINSDSAEMYTAVYARSKSNKKKKMDSDECTNDDSTSISNDDGGYQPPESSPVTAASGRLRRSSRRSFVYSGDGTTEDDLSRVKGSYGSHRASTSGRRTITDMREVVCRPTSKTVGLRSARNKRDNCDFTDTHVSGKKRQESSKYLWLMLREHEESYRYIPQLGDEVMYLGQGHEEYLELIRSSCSCPWNGIKGLKAAELCKIRQLDYTTFRGSGESSCKLTIEFVDRTSNGFGETFTITLPELANFPDFLVERTRYEAAMERNWTLRDKCKVWWRNEGEEGGTWWEGRVSAVKPKSPDFPDSPWEKYVIQYKNDGSDHPHSPWELHDVGNLWVPWKHPHIDIEIRDKLISKLENLQEMSHRNQDRYGVFRLDKVAEKSDFVNRFPVQFSVEVIKTRLENNYYRTLEAVQHDATVMIDNAQSYFSKNTEMTRKISKLADWIEQTFSSL >Et_1A_005434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10364846:10368667:1 gene:Et_1A_005434 transcript:Et_1A_005434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMVAAEQLLRRGAVDWEHEAYPAYDDFLALPVFVIFFPTVRFFLDRFVFERVARRLILGKGPHKVDNETEETKKKIRKFKESAWKCVYFLSGELLSLSVTYNEPWFTNTKYFWVGPGNQVWPDQKIKLKLKAVYMYAAGFYTYSIFALMFWETRRSDFGVSMSHHVATVVLIVLSYVFRFARVGSIVLAIHDASDVFLEVGKMSKYSHCDWLANIAFLLFVLSWVALRLTYFPFWILRSTSYEVLLTLDKKKHNFDGPIYYYVFNSLLFSLLVLHIYWWVLIYRMLVRQIKTRNVGDDVRSDSEGEDDHED >Et_5B_043520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11449422:11460615:1 gene:Et_5B_043520 transcript:Et_5B_043520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLLVLVVAAAAIYALVTPTAAILGVWKPIPNINDPYIQELGRWAVMEHVKVSKDGLKLKSVDRADRNMDGLSYRLDIYASRKYREIDLYRAQTKHLDLKLANPYIPYQESPPTSTMRTSLILIFVAAAVMYALVTPVAAIAVGGWRPIPNINDPHIQELARWAVTEHVRRAKDGLKFKSLEGKYQAVLLDGMSARD >Et_6A_046133.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:3175108:3175236:1 gene:Et_6A_046133 transcript:Et_6A_046133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAVTLHHRYRVCHQTTARVTAGQGWRSTARGACGSSCMVL >Et_5A_041201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19306805:19307213:-1 gene:Et_5A_041201 transcript:Et_5A_041201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNGGGVLQGMQTMFVFVILLGSLALPAHCNSVNITSGRRQLLGVRSLVAIAANSTSLDERKIKLVFCTVVTCDYLTPTPETCYCCPDLSKKEYCHLTMEECKNNCATCNPKCGSS >Et_10A_000690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15677354:15686658:1 gene:Et_10A_000690 transcript:Et_10A_000690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFFQPVPKDGSPAKKRPAAAADSGDAPGATADGGGGEGKLSGEEPRKFLTWNANSLLLRMKSDWPAFSQFVTRLDPDVICVQEVRMPAAGSKGAPKNPSELKDDTSSSRDEKQVVLRALSSPPFKDYRVWWSLSDSKYAGTAMFVKKKYEPKKVSFNLDRTSSKHESDGRVIIAEFESFLLLNTYAPNNGWKEEENSFQRRRKWDKRMLEFVQQVDKPLIWCGDLNVSHEETDVSHPDFFSSAKMNGYTPPNKEDCGQPGFTPSERRRFGNILSQYRGKRMRIDYFIVSEQLKDRIVSCEMHGRGIELEGFYGSDHCPVSLELSKAEAEVPEPKTSS >Et_1B_012025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28183948:28185677:-1 gene:Et_1B_012025 transcript:Et_1B_012025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRDETMPPQVWLLLVRLLPFVALLYLAAKQVAKRSKSKQLKNGRRLPPSPPGLPWIGHLHLVGFNPHVSLRDLAARHRRGGGLMLVRLGSVPTLVVSSARAAEAVTRTHDHLLASRPPSAAARTLLYGPLDVIFAAYGEPWRQAKKLLTTHLLTARKVRAFRAGREEEVRLAVAKLAGAAASGEAVGMSELLYGFTADLMCRAVSGRMLNVDGRSRIFRELLNLSEELVVGFNVGDYFPWLLRVGAYRRAISARANKVRNRWDELLDTVIDEHDERNKVQQQEPADFIEVLLSHQQEYGLTRDQRLCSFSIQGHINTTKLATDIFVAGTDTSYLVLEFAMAKLLSNPRVMSRLQEEVRNNVQNRQDILTEDDLTGMAYLKAVIKETLRLHPPAPLLVPHHSMSDVEIDGCMVLLKHLFSLTDGLLVGTRDAEEFKPERFLDMGSEAAVNFKGTDFQFLPFGAGRRMCPGINFSISTLEIMLANLVYRYDWEVPTVMGKTSLDMTEEFWLTLHLKQKLLLVPKPPGV >Et_10A_000509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11924314:11927351:1 gene:Et_10A_000509 transcript:Et_10A_000509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRMGNEITEPDGSDEQVPQQNPISAKYRGFVRVAGLLTTLNILSSYKIPSGKHYQIRQKSPRKTMKRMMMSLMSSFSIRAATGAEEAGSGLAGGVGAPAQDGESQGPVQPGAEAGEGPVRDHVPVRVQGDGAGVRVQVHCEAEAGHGRGRGGRAARDPDHAPPGGPPQHHSIVDAYEDAVAVHVVMELCAGGELFDRIAKRGPYTESQAAALARDIVAVVESCHSLGVMHRDLKPENFLFVGNEDDAPLKTIDFGISMFFQPGDKFTDVVGTPYYMAPEVLEKKYGQEADVWSAGVIIYILLCGVPPFWAEMEEDIFDQVLHGSLDFEFDPWPDVSHNAKDLLRRVLVRDPEKRLTAHQVLRHPWFQMIASAPDKPLDSAVLSRLKQFSEMNKLKKMAVRVIAENLLDEEIAGLKELFKMMDTDKTGQINFEELKAGLRRVGANMKEPEIHQLMQAADIDNSGTIDYGEFIAATLHLNKVERDDNLFAAFQYFDKDGSGYITADKLHQACHEFGIEDVQLEDMRGEVDQDNDGRIDYNEFVAMMQKSTTGFRKKGH >Et_7A_051681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22846111:22847971:1 gene:Et_7A_051681 transcript:Et_7A_051681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRPSTGIDARRRMIRLLVAGSTSVLVRVENGAALIGTVKCSSYMGGMFGSSTDTVDDDRQFSNYNGTKELIVALEKLPLLENLQIYFTYSLDCGDEMLRSVCQACPNLKKFALTYAEALGLDYCYVDDFCMEPMDGEIPLMHELHTLEFYECDLGYFNKHEIDKELQAKCARIVTTMIFLDTLKIHRTNFLMTQMIHMKTKRNEKIWDYANGDCIVYHANAVPHLQLVHVVPTDASP >Et_7B_054205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17077970:17086975:1 gene:Et_7B_054205 transcript:Et_7B_054205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLFTAWLVVGLAVAWHHELDRAAALPLSTSSRWIVDESGRRVKLTCVNWPSHMEPMLAEGLSKRALADIAGDVRAMGFNCSFRRLNLTASLAGVEANNPGIVTLKLVDAFRAVVRGLGDAGVMVILDNHVSKPGWCCDEDDGNGFFGDAFFDPDVWVDGLSKMAAMFAGEHNVIGMSLPNELRGPRQNTNDWYRYMQRGAEAVRAANPRVLVILSGLKFDNDLAFIRWRPVKLAFELLRPSPVGPRQHRPGVLPRQRTLYLLDRGWPVILSEFGVDNRGGNLTDNRYWGCVAAAIADLDLDWALQGSYYLRNGVEGFDETYGILDHEWQKPRNQTALQRIHALQRPFRGPGLEETAPYTALFHPATGMCVVRRTTSLTQPQMELGKCNETEAWAYTNEHRLELRDSSLLSLCLRAVGAGQPASLGLGRAGCAGELARWLRVSDSKLHLAVKAPSASFLRHDTLCLDVGADGRSVVTNKCRCLSGSDGAGCDPESQWFELVTSSRRPVTKSVLAQLLPSTLIRDWKTWSSVEHLQHNSF >Et_3A_027082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32280265:32285337:-1 gene:Et_3A_027082 transcript:Et_3A_027082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLAVALPTLLSRLRDCTSASHALQCHALLLTSGHLAASPLRLSNLLLLALASVPARDHADAVFARLPEPAARHPFAWNTLIRVHASGSPRRALLYFVRMRQCGVAPDAYTFPAALKACGCRVGFLVHAEAVRRGLDGNLFTANALISFYCRIGDGCSGRKVFDETSVRDLVSWNSMIAGYVACGEMELAQYLFDEMPQRDARGCHGLCSAGSSGAGQTALIDMYMKCGRLDLAMSIFEKMADKSVVTWNVMMVGLGTHGYGLDAVRLFHRMEAEGAPMDDLSVLAVLTACTHAGLVSEGLEIFHRMKKDLGINPKVEHYGALVDLLGRAGRLDQARHAIETMPMEATPELWGSLLAACRSHRCVELAELSVERLADLGADDSGVYVLLSNIYADEGMWDDVLRIRKFMSAEGMKKDIGRSVIEVDGEIHEFAIPLGDIVLDNLHLHNKID >Et_8A_058191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23213481:23216801:1 gene:Et_8A_058191 transcript:Et_8A_058191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSDLLHGNWKKPAGTTFRRRYCSTTGVTLLLFLLTNTVSILVSSGAGTSLLRRYKPGTIRLWDDSAALLADLNSTQAALATGRAELAGLYARIGTANELLRTLLDAPKKVAAADVDGWLREPAGELKLAVAPHRMQVAGEAASFPAVGQACRRVQDDLERRYMNYTPGGECPSDADALEHRLMLAGCDPLPRRRCWPRSPKGYALPEPLPGSLWTTPPDTAAVWDAYAPCKNFSCVARTTAACDGCLDLQRERRRWARDDGALAYSVDAVLRTRPNGTVRVGLDISSASSGTFAARMAERGVTVVTAAADAGAPFGSFIAARGLVPVRVAGGRLPFFDGTLDIAHQLGGEWTSDGVALEFALFDVYRVLRPGGLFWLDHFVCPAARLNATFAPMVDRVGFKKLRWNTGRKPGRGTGNNEWEDISLGAS >Et_8B_058819.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:8726788:8726964:1 gene:Et_8B_058819 transcript:Et_8B_058819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTSSSEKNNHPCIACLLPVVLTPKFGGIICHGMICMYLNNGVIPSLPQWSNHCFVL >Et_5B_043704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14253223:14261211:-1 gene:Et_5B_043704 transcript:Et_5B_043704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLPRWAPTPSPTRPIITTSGQTTMSSWRSWAACNSFSIFTSRAPPTGPLKNAVEAGSSDHNQYRRNAGALDGGEAVEARRRVFLTWEDLSVTAAGRKGSRAMILDGLTGYARPGQVLALMGPSGCGNTTLLDSLAGRLGSNMKATGDILINGRREKLAFGTSAYVTQDDLLMATLTVTEAVHYSAQLQLPETVPPAEKRARADRAIRQMGLAAVAGNRIGGRVCKGISGGQRRRVSICVELLASPALVFVDEPTSGLDSAASFHVMSCIARLSRAEEMTVVAAMHQPSSEVFQLFDGLCLLAYGRMVFFGPASQAIGFFDANGFTCPLRRNPSDYFLTLINKYFEESYDGEGYTLELPSAAKVMETLVESTRSSGGYRMNIEACSADQDAPPVKKTQTTFLSKTIALTKRSLVNMHRDTGYYWLRFIIYIALCVSIGTIFFNVGSKFESIQARASMIMFTSTFITMMSIGGFPSFVEDMKIFRKEHMNGHYGATPFVISNTLSSTPYLGLICILPGAIAYYLTGLQRGIDHFVYFVIVIWACTMLVEGLMMIVAAIVPDFLLGIITGSGIQGMLMLNAGFFRLPSDLPKLIWRYPTYYISYHKYATQGLYKNEFLGLIFEDQGSGGLTIGGEYILKNYLQVEMGYSKWVDLAILLAMVFVYRVLFLVIIKVTEKVKPLIKCLAVNV >Et_2A_014713.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:9061158:9061787:-1 gene:Et_2A_014713 transcript:Et_2A_014713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PNPNLPYREDCRSEGDTAALVDAWGSRYLELNRGSLRQPQWWEVAEAVNSRPGASARHRLARTNIQCKNRVDTLKKYKAEHARGRLALALLRPDPPLRQPHTLRLRRRDAVRGRVCGGATGDGDLWLGPEGGAEPQWTRIAVEARGARAPRRETSTGHGEKRRSDGGRSEGGESCIFFSIEAGTSRVFRWAPSVGTKILLREGRATHAR >Et_1A_005788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1397753:1405919:-1 gene:Et_1A_005788 transcript:Et_1A_005788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSSAAAAPPPAIPTCSDQGVWADASPLLDAACRDLEDGELVHGENFSLFAAMSALEIMDPKMDSGSERSGYNSIQEAIEDGVAPIPLSLDRTLDVQRSIDVMDHLFSCEATWHKGHTLAQTVFTCIYLMRMERTSSHAVLNSFCRVLRATCNAVICVVSTARTHEEEDLFTMTFGLPLKDEWDEKCLSVLNSVEETISRQLRACKAQALSKKKTLEGLESLQDNPDLEEDYCRALLCRLRFRKHFHHVVTCMRKPHGRGLELARKHIASCLTELSLMLKSRAFLKSQSNITLQGDENCTTASGCQPVGFDASLNSRLLSPTPPRAVKVLSWSDAIRYFEKLLHDLDVICASSVGPVLENALHFIVQFQKSVPDLVPRAFLQTLLIQDGKLYGQYLFSDVISRALSLPDIIGDKEFQMNDFVVQLGQLVVNLLKIICTNTAWQRRKLGKSLQDWSTISIQLELALKREFGETRNVILHENICVRVSKQLIIWTQEHAYWVASRFLILGFELDLYSPSEYCMVYWYMYVVFTKLIEKTQLRVLAISENSRRKGKKKKDHSKDSTRDRDTAFSSSCLLLQCYVLLSEGLSMHFDLLLKAQIPEHISYYSFKESASRACITDLVKYNFFKETQKIIPSLRGSFANEPEKLAELRRIEQVAEHNRIALNIISQVGAGDPSLKVSFEFTHHPHFAVAVVKRS >Et_2A_017328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33230269:33237260:-1 gene:Et_2A_017328 transcript:Et_2A_017328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGACYLYPLLPALVLLLLLAGECRAQPAAGDRDTLLAVKKDWGSPAQLKAWDPAAADHCSWPGVKCGGGAAGVVTELSLASLNLSGSVPASVCALKSLTRLDLSYNNLTGAFPGAALYACAQLRYLDLSNNLFSGPLPADIDGLSPAMEHLNLSTNRLAGEVPPAVARLLALKSLLLDTNRFTGAYPAEGISRLAGLERLTLASNAFAPAPVPPAFAKLTNLSYLWMSDMNLTGPIPEAFAGLTELTMLAMSSNTLSGPLPAWVLQHRKLEMVYLFGNNLSGNLPRNVTAPNLVELDLSTNQLTGEIPEAIGNLNNLSLFFLYNNQLTGKIPANIGLLPRFTDIRLFDNQLTGELPPELGTHSPLGNLEISNNNLSGPLRETLCSNGKLYDLVVFNNSFSGELPANLGDCVLLNNLMLYNNRFSGEFPAKIWSFPKLTTVMIQNNSFTGTLPPVISFNMSRIEMGNNMFSGPLPTSATKLLVFKAENNRLTGELPADMSKLANLTDFVGLIILFSMLAGIVLIGSIGIAWLLLRRRKEIHEVTDWKMTAFTQLDFTESDVISNLHEDNVIGTGGSGKVYRIHLASRGRGDEESGGAGGRMVAVKKIWNTRKMDAKLDKEFDAEVKVLGNIRHNNIVKLLCCISSQEAKLLVYEYMENGSLDRWLHHRAREGAPAPLDWPTRLAIAIDAAKGLSYMHHDCAQPIVHRDVKSSNILLDPDFQAKIADFGLARILVKSGEPESVSAIGGTFGYMAPEYGYRPKVNEKVDVYSFGVVLLELTTGKVANDSGADLCLAEWAWKRYQKGAPFDDVVDEAIRDPADLQDILSVFTLGVICTGEDPPRRPSMKEVLHHLIRCDRMAAEAQACQMGCEGGGGGGTPLLEAKKKGSRRRSMSSSGRWDEEDEDSGNFLTCALQEQFRCPAEYIATAEVLAHPWRNFAEARLCYLTAEEGLVRYGDCTTITREAEKTVLLNLESDWGKPRALNWSSNTYTDQCNWRGIIFTDGFVTSISLAGCELNKPIPPALCSFRNLVYIDLSRNHITGSFSTTLFNCSRLQYLDLSHNAFDGILPSNIHQLSRNLAYLNLASNCLFGNIPSTISQLYGLKFLYLHRNNFDGSYPPELVLSLAYNHFAPNRMHPQFGNLRNLKYLRMSSMNIIGEIPETISKLSQLELLDLSSNRLNSTIPDGVWRLKSLKMLYLHENSLSGQMRGPVEALNLVEINVSTNLLTGQIPEDFGKLKALQHIDFSNNSLSGELPESLSGRRSLMSIILYNNQLRGRFPAGIWSLPLLTTIMIQENAFSGSFPSNLGSNIKIINISNNRFSGDLPMSAKNLNTLMARNNCISGEIPTVLIRNAPLQVLVLAENMLSGLLPSTIWCMWYLKELDLRKNNLSGQIPGTIGMSMLINEVDTVDLSENNLSGPVPAILARLEPAFLNLSSNQLTGQIPDPFQINRYEHSFLSNPGLCSFDHFGNLPMCIRPLEDPEEKHKHLNRPLIIILILGSIILICTGPFGFIKIRTFLTRQKHNAPSP >Et_6A_046595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1814743:1816969:1 gene:Et_6A_046595 transcript:Et_6A_046595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAALRERMLQLGFRFNPTAQEAVTYTLPRLIAGVPLHPAVRPYIHDTDIYACEPGVLAAQFEPTPRTGDRFFFTTCKRQPSHKAGKSSRAVRAAGAGSWHSQGNSTEVQDGAGVKIGEVKKLRYKKGGKLTNWLMDEFSCCSEDAVVGDRQRVLCKIYVSPRAGPDSAARQEDAAAAAAFAPPVPEESIAAPKRPAPSIAEQPCPKRPRREAMSTPPPCPPRTGMAPSSTTSAATRSPASALAPVLALTRPVQASTVAQAACSPVAARDPFCLESPAAAQDDEDDDFLSLLEGTLETEQAEEDEARDDTDWFAAFKPANQMMHKIEHHRLMGGFPVAEDVEALFGSRRDRLVVALPARGLVMTPRCFVPCSVEVAAESKGTMTARVILPRGALQQGDDDVCEHGPGGLHGAR >Et_5A_040908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14690741:14698585:-1 gene:Et_5A_040908 transcript:Et_5A_040908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSRELCAVADYHCRSKHTLADGAPRSQERRHERSEAAPSPFLRFSGAPEIRLPNPPPALSPVPYPTLFHSPPPAAQPLNVDSISALLFHSLALSAWKKSSSSSAWSALRVNPSSGNRHPTEAHLVFPHPQERRRLAVVAHYAPREHLLEVRAAPPAGVLPAPASAVVALSSVLWRESFKYGERALRYCHHDLGHAVAAVAVAAAALGWDARLLDGLSDEDLGRLVGRDRGCPPPPAEGGKPEMRFIRGKAPWVERQHPGCAVLLFPAGSEPRVDYGRMSEALKGFDGLEWAGQASELSKQHVVWDLIYRTAHRVARRRHGGGREEPFVTVPWQRSPSYLSSEVGRQEEPITVQEVARRRRSAPALDRAHVMARETFYQMLLRCLPSGDERRQGPQGALPFRVLPWDAQVHAALFVHRVAGLPQGLYFLVRNGAHLAALRRAMSPEFEWVPPEGCPEALPLYRLIKGDYQQVAMQVSCFQESTANGCFSLGMIARFERVLRDIGEWMYPRLFWETGVLGQVLYLEAHAVGISARGIGCYFDDASKLSAIFKKSDRKSYYKPCLPLMSPNLCCNICAVHEVLGFKDLEFQSLYHFTVGAPLPDERMTLPAYPGPGNDSGWNRNPHMVVFKTIQSHLPKKMNGRYWTQ >Et_1A_007034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30253575:30253856:-1 gene:Et_1A_007034 transcript:Et_1A_007034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKMAALLVALVVVAAMSAGGTWADGCDPESVSPCLSSLVSGSKPTADCCTNLHECLCEYAKDPKYSKYISSPNARNTLASCAIDIPSC >Et_7B_053435.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:19376209:19376394:1 gene:Et_7B_053435 transcript:Et_7B_053435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKARFCRHVAYERKQDSSNFLEHWVPAYFSKVQLELYASILLANSSVLESQMATDSFEAL >Et_10B_003738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5409449:5414325:1 gene:Et_10B_003738 transcript:Et_10B_003738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTGEEHPSRPTEANAPADSSRHAIATCRDDCQKLKDLIIQKDASTMVVVMASGNQASEDKPSTVSMHPLLAAAACRGNLEELKFLLNRGPLPHQEFRDQVEAYYPGYSSNRSLAMQLTATNIEEGMNASSVLEGVTVEGDTALHLLAANGRGDNSTNCANLIYGEDKSFLCKQNYNGDTPLHCAARTGNSQMVSHLIDLARANPATLYQQDNSGMFPIHVAASAGGVKMITRLIEYHPSSAGLRDRRGRTFLHTAAEESRWRVVQFACRTPSLAWILNMQDTDGNTALHLAVQTECLRSFSYLLGNPLVHLNLVNKKGQAPLDISRLKIHPGIVFYESTEVVIERALSICGAKHAIIRLDHRFKEALTKPHDARRKIVEDLKDSTQTLCIGSVLMTTMAFGAIFAVPGGYRDDDHPNGGTPTLSGRYAFDAFMMANALAFICSSVATIGLVISGLPIIDLKSRKSYFMSSVYFVNNSVTSLSAAFALGVYMVLAPVAHKTAVAISVMSPLAATYTSVKFSLKWGLLARPLYSKIGLINLLMEITRTIAIALLVQCWPLLITFCWPAIARNH >Et_6B_050170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8444126:8444948:1 gene:Et_6B_050170 transcript:Et_6B_050170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCHHVQVPGKPTETGTALLETATGTIQGFAPINQIHQHLCAFHFYADDMTRQVEAHHFCSHLTEDMRQCLVFDGPGAEARLIGVEYLVTEKVFLTLPDEEKPLWHSHEFEVKGGVLFMPGVPGMVERRDLEEVCKTYGKTIHFWQVDRGDELPLGLPQIMMALTREGQLRQDMADYTCDLDYDLFVVAAVEKKFGVSFQKERENRAYMSGPEHGIHPLANAAGKGLKTKIREVDIPASTTAGAGRVFT >Et_2A_016680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27144043:27147610:1 gene:Et_2A_016680 transcript:Et_2A_016680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATAFATAPKPSRTPFSSSAPLQFSRAPLCLSVGGPRRGRPLLALQSQSQPSDVSHHDVVVVGAGIVGLAIARQLLLHTSLSVAVADAAVPCSGATGAGQGYIWMSHRTPGSDTWELAVRSKQLWEELAAEVDGQGGGGARERLGWMRTGSLLVGRTSEELATLEERTKALSQGGIQAEFLSTTSLHALEPALSVGKDGGAMFLPQDCQIDAFQAVSLIEKTNNSYSPEGRYVELYNDPAMSLIRSEVTGTIEAVQTSQNILYGRKAIVIASGAWTRSLLHNFLKPASMLDIPVKPRKGHLLVLENFDKLKLNHGLMEVGYVGHQVAKSNSISMASESSDNEHGSSSISMTATLDTKGNLVLGSSREFKGFSREVDKSIVKCIWERAGEFFPAMRGVPFDDIDKNTQIRIGHRPYMPDGKPVIGFVPDLPNVLIATGHEGSGLTLALGTAEMVTDMIVGNPGKVDFSPFSIKNRF >Et_7A_051864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25710152:25710718:1 gene:Et_7A_051864 transcript:Et_7A_051864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLARSAAAVARSALRPTPLAGRVFSAPLPPLASPSPARAARIIRRSAAASAGLETLMPLHTAVAGARLRSCIAVDSSCWSSLSQGNHSLHDSNGFELYAFANTVLCYHHFGSGLDRVHALGNSMCSIFLFRSLVLVSYLTNVSIAMSMKERPNAFAA >Et_6B_050052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4942603:4947767:1 gene:Et_6B_050052 transcript:Et_6B_050052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLGALASRASNGSGCRHGHGTAFPRTYGLVLPGIAHADAAVLGRKAGVVAHEAAENAPAGPGRYAVIFDAGSTGSRVHVFRFDRKMELVRVGDDIEVFAKVKPGLSSYAGRPEEAAESILPLLEKAKSVVPSRLVKKTPLKLGATAGLRLIGDEQAEQILEAVRDLVHTKSKFQYKPEWIHVLKGSQEGSYIWVALNYLFDKLGGDYSQTVGVIDMGGGSVQMAYAISSSAAASAPAVPDGKDPYVTKEYLKGKDYNVYVHSYLHYGVAAARVQTLKTKSGPFSFCMLRGFSGKYTYHGKQYDATARPKGAVYGKCREEIAKALKLNAPCKTKNCTFDGVWNGGGGAGQNNLCVTSSFYYLATHVGFIDSKAPSGTATPAAFRTAAKKACRLSVKEAKVAYPNIQDTDVPYACMDLTYHYTLLVDGFGVHPTKKITFVSKVKHGEYYMEAAWPLGTAIEAVSPKKRLGDQ >Et_7A_052471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8471088:8471739:-1 gene:Et_7A_052471 transcript:Et_7A_052471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAISCPAKTRYVSYITMHSQVFAVCGVAVVTGGNKGIGLEVCRQLAANGITVVLTARVEARGAAAVEKLRKLGLSNVVFHQLDITDAPSISRLADFLKTRSVNNAAFGGIEYIEDPVQRSKTNLEQVSFTAPFQSGGVCVSSNVVNKKLVIASCPLQNRHVSWWTFCSHRKLNKFIC >Et_4B_038768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4771385:4772325:-1 gene:Et_4B_038768 transcript:Et_4B_038768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSASLHLPATPRELFLQLQGVRVESVVENLIVISTCPRLREFRGSGEYMIYNADTKTILLAPRINWGLYGELFLTRRLVMRLHGSYFKLIMLLRGRRTGKPIMESPRHSARGKGQWEINEVRLPKDIAQYPFAIDEAFCFKDNWACWVDLDQGIIMCDMSMNGLDCHFVPLPQKYRVTNPQMSRGRPEEYSTVAVVNGEIKLLFMDGYDDEKVPRDQVTVSTYTLSMSHGQYEWISQEEPPFRVADLWTDESFLAIPGLPKCLPMFPVLSPRSKTWPISSLVTFAVGLGTLKLKVSLCLA >Et_9B_064875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19409918:19411455:-1 gene:Et_9B_064875 transcript:Et_9B_064875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGDYTLEGGSGAGGKEQDRFLPIANISRIMRRAVPENGKIAKDAKDSVQECVSEFISFITSEASDKCMKEKRKTINGDDLIWSLGTLGFEDYVEPLKLYLKLYREGDTKGSKSSDQTGKKEILLNGEPGST >Et_5B_045583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4938269:4939878:-1 gene:Et_5B_045583 transcript:Et_5B_045583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSLLSGVDRRELSSDQLKLSLVANTISFKMIRAANLAFRREKGKAAGALSGFFGHFSTASEGQRLAGKVALITGAASGIGKATATEFIRNGAKVILADVQDDAGRAVAESLGPDAAYTRCDVTDESQIAAAVDLAVARHGRLDVLYSNAGGPGSSAPATPLGSLDLADFDRVMATNARSAVACLKHAARVMAPRGAGCVLCTGSTTGMVGGVAPLPYALSKATVVGVVRLAAEELARSGVRVNAISPHAIATPLLVRSLARMHPGVGDEQELKRMVEVGMSEFWGAVLEVEDVARAAVYLASDEAKFVTGHNLVVDGGFTASKRISVPPAAK >Et_6B_049179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19095039:19095329:-1 gene:Et_6B_049179 transcript:Et_6B_049179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQQNEDGKIRISSIYIHYHNGRRGIFIYLPGRERVGAAEAADGEGDEDALGLAVLDFSAHAGEMSRWYLPWP >Et_1B_011070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17144055:17144345:-1 gene:Et_1B_011070 transcript:Et_1B_011070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLIHVLLNFEVPSHELNCEGMAKMGENEWYFYCLKGRKYSTGKDREIYQDATMVPILVGMKKTLVFYKGRAPTGVKTD >Et_1B_011934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27312125:27319790:1 gene:Et_1B_011934 transcript:Et_1B_011934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SIWSGFLPVAIPTPPPLRVRTLAPLPSPHEPKPPPWPMAPSSPPPDWADLPEDALMTVFERLGTAELLLGATVVCRSWFRLATGEPQLWRRVDLTGCFDPTIDMEAMARTAAEAEGEGEIRDWSEMPSDALAAVFAKLDATELLTGAGLVCRAWRRLAATDPTLWRRVDMSHQGDLLETEEAEDMARAAIDRAAGTMEAFWADSFVTDGLLRYISQRASSLKSLQLSLCDNISNEGFAEAIKGFPQLEELDITFCSLYGSVCEAAGKACPQLKCFRLNQRWTILQSEYAAYEGMDDDTEALGIASTMPGLRELQLIGNNLTYDGLVAILDHCPQLESLDMRQCYNIRLDDALKSKCARIKNLKLPHDPISDFKYRAYILSVANSGSDFEVDMMDDLLDVVTEDDDADFDDLDEFEDDAGMYDDEFDI >Et_4B_039626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24226814:24229036:1 gene:Et_4B_039626 transcript:Et_4B_039626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLHLRRLLRAPRPAIPAAALSTAVAPTPRVSALVDEICGLTLLEASSLADALRGRLGVDQLPPLAILTGGAAPLAGGAAPGAAGEEAKAKEEKMAFDVKLEGFDAAAKLKIIKELRGFTNLGLKEAKELVEKAPAVLKAGVPKEEAESIAEKMRAVGAKINMEQSFPSFTHAIRIGACRLETCIIWKGIPFVAEH >Et_1A_007363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33874134:33877825:1 gene:Et_1A_007363 transcript:Et_1A_007363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSSLFLALVLVAAMASPMALAFDPSSLQDFCVADYTSNVFVNGMACKDPSTVTADDFTFTGFHHPGDTSNAVGSNSTLADIHVLPGLNTAAISLARLDVAPFGLNPPHTHPRGTEMLTVVEGQLYVGFVTTAGKLHAKVISRGDAFVYPKGLVHFAFNLTPRPAWGIVGLSSQNPGLIRVADSIFGASPAVTDEVLAKAFRIDAATVQLIKAKSFQTIMATIVLALLVAAMVSSPLVLAYDPSPLQDFCVADTASTVFVNGAVCKDPEQVTSGDFALSGLHIAGDTGNGVGSKVTLVDARAVPGLNALGVSMARLDVAPGGLNPPHTHPRATEALTVVDGELYVGFVATDGKLFAKVLSRGDVFVFPKGLVHFEFNRGPCPAVAIAGLSSQNPGLIRVADGLFGASPAVTDEVLAKAFRIDTATARMIKAQFGTKS >Et_4B_036777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11450305:11452166:-1 gene:Et_4B_036777 transcript:Et_4B_036777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITMGQPLVFAVGILGNIVSFLVTLAPVPTFYRVYKKKSTESFHSVPYVVALLSAMLWLYYALLTKDILLLSINAIACVVQSVYLTIFLVYAPKEAMAFTVKLLCSMNVALYGAMVAFLQFYIQGRRRVTIAGGIGAAFAFAVFVAPLTIIRQVIRTKSVEFMPFWLSFFLTISAVVWFLYGLLMKDFFIAMPNVLGLLFGLAQMALYFVYRNPKKNGAVSEIQVVQQAADEIKDHQVHAGHHVAAANDAGDEEVPSSAATADEGVNKNDVVVDILPPPEKEPPLPPLPPPAMPTVTPVAVEVV >Et_5B_044170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2144269:2147035:1 gene:Et_5B_044170 transcript:Et_5B_044170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNFLAAVVSKRRGLLASWCTADHPSDVPLGSSLARKKLENTDRLSMLTDDILLSILERLDITTAARTSILSTRWRHLPWLLRELTIDVKDFLSVPRPNPIEVEHMDAAMASLSKAIRSFMATKWSEAPITKLQLKLYLQLRRCHCPLVRQAIDSGGAKDLDLAIVDEKEPDDCYEEEMLQQARSVDGYLIVQWDMQHLLFDCCKQLQHLSLNNCDSGGPSAWKIHAPDSKLSFIELRFCLLGKLEVLFLPKLENLNWNIWMCPGTPLSFDVVPFFKELNLLCAATVSHRGFNLSEVLRHTTALQNLTLNFQGEKLWLKPEGKELCTAFSKLRKLSLQGIFIEFDLLWAIVLLEAAPSVEIFDIEIWEHPYIVDSEDRSKTFGERTNPSWRVAEFRNQKEWLLKEVEVTGFSPMEQQITFLRAVIERASNLQTVVLKDHQPCTYCEERGALPRPERLPAERLFPKGKDEQDRTVEQLTRDISDRNLKMNE >Et_9B_065994.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19669295:19670098:-1 gene:Et_9B_065994 transcript:Et_9B_065994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTMALSSPAFAGKAVNVPSSSLFGEARVTMRKTAAKPKVAASGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWAVQVVLMGAVEGYRVAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWSYATNFVPGK >Et_5A_041805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:361574:363936:-1 gene:Et_5A_041805 transcript:Et_5A_041805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSPSSSPANKKKWRRGNKKVSALHLPPLRPNRNGSSRIGLCNPENPRWIEVDRSIQRHASACPDGEMHGTRDPSSVVLFLPQFAMLLALFQKRLLVIDRYIDEARAALAAAAQDTEDGDDAAAAALGLVTAALEMAPRAEAAHELQARALLALRRYRDVADLLRDYIPSCGKSCAGDDVTSSSSSSSCSSGSGDCVAASRVDLLSPGRDLSVAGAGATRFLCCFDVFDLKRRLVAGFSRNSNAEAQWRYLVLGQACFHLGLMEDAVVLLQTGRRLATAAFRRESVCWSEDSFSPSNLTSSNVGSVPAGKRASKSGPASAAPSGEAESVSQLLAHVKLLLRRRAAAVAALDAGLPNEAVRHFNKVLDARRGVLPHPFATACLVGRAAAFRAAGRPADAIADCNRALALDPAFIPALRARADLLESVGALPDCLRDLDHLKLLYDAALRDGKLPGPTWRPQGGIRFGEIAGAHRTLTARIQQLRGRVAAGEGCSVDYYALLGVPRGCARAELERAHLLLTLKLKPDRSTSFAERLELVDEHRDLEAVRDQARMSALFLYRMLQKGYSFIMSAVLDQEAAERQRAKDAAAAAAIAAAAESARKQEEEEAMAAAAAKALKQEEALPEKTIPESVPVPVANGVKPEAVASAIPVPRAVVTAAATSPMSPPFQGVFCRDMAVVGNLLSRGAFDRPIPVKCEAMSC >Et_8B_059821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3074077:3078930:1 gene:Et_8B_059821 transcript:Et_8B_059821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGEKRAALLRQITEEGGFAFVASAEKAAAGDLRAAEAAREMAWEQLHSAPWSEVDPAWRDAYALACLHVAGLRAADDRRAALRALDMGLIMGGGLLRAELEAAIAQVVADRGRGGEGEAGGDVKRWKEGLAGNGDLADIIRLLPVKSLSCKQIERRSCISLEAFIRDYFLCETPVILSGCIDHWPARTKWKDLKYLEMIAGDRTVPVEVGKNYVCTEWKQELITFSQFLERIWSNDSANMTYLAQHPLFDQVLGRKYFRLYPASVTGDLYPHTETMLSNTSQVDLDKIDEKEFPRTQNLEFMDGILEEGDLLYIPPKWWHYLLVANVTSSIRNDNNLNALFCESLPRGLGYRGCRNT >Et_10B_002788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11133396:11133702:1 gene:Et_10B_002788 transcript:Et_10B_002788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFMVLSFFALFGLIGAYISGSSRDHDNTIYVACLAAVILIYICIQPSIETRSMGRALKGKMNKNRQGVEGM >Et_4A_033453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2342194:2346104:1 gene:Et_4A_033453 transcript:Et_4A_033453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQTWFRAVSSDAPVQQPPTSGKLSSSPAAESPRSPASPLPMQPAAPAALPLGVIPKDSRPLPCLLLSALLLLLLLHLLSSSAPAPSPPPPPPRRAPLPISTAVSSAGPAPPTLAFLLTGSAGDVDRLLRLLLATYHPRNLYLLLLDRAASAADRARLARKARTGPGRDNVHVVGDPGFANPRGASTLAETLHGAALLLQLGQDWDWFVPLNAADYPLVTPDDLLHVLSYLPKDLNFIQHSSYIGWKESRQIRPIVVDPGLYLSSRNDIFYATQKRDLPNAYKLFTGSSSVILSRKFIEYCIVGTDNLPRTLLMYYTNMPLPHTKYFQTVVCNSPDFNRTVVNHDLHYSIWDASSKNEPRLLTMADVENMTESGAAFGTRFPKDDPVLDHIDEEILHRLPKEPVTQMLLGLGLQLQNLLSSLLKGCPLGAFIPSNVYGIDVCNCITRKPQI >Et_6B_048279.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6513015:6513665:1 gene:Et_6B_048279 transcript:Et_6B_048279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRANRKCPVSPTTTDSLTLASMLMRPFAVTEQPRCSPASRTRCSVRCDTVPPSDTRTANHCAVPTRGLSLRGPSVDVVQRHDVAELLRRRRQQ >Et_6A_046523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16520507:16521332:-1 gene:Et_6A_046523 transcript:Et_6A_046523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRWDREGHKLYMQKSDGEVGTVARIELAACFGEEISQALLYERDDLIPSLTELLKIGFLVDFGDDEVEFLLKTKNLQLFSEDVDFLVGAFPFNCA >Et_9A_063075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9720515:9721285:-1 gene:Et_9A_063075 transcript:Et_9A_063075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPSPLLLPIAVVAVLFLLTPQALAGDPDLLQDICVADLTSAVKVNGFACKATVTEDDFYFKGLAAAGNTNNTYGSVVTGANVEKVPGLNTLGVSMSRIDYAPGGLNPPHTHPRATEMVFVLQGTLDVGFITTGNKLVAKTITAGDVFVFPRGLVHFQKNNGDAPAAVISAFNSQLPGTQSLAMTLFAATPDVPNNVLTKAFQVGTKEVEKIKSRLAPKKS >Et_1A_008672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9769569:9773434:-1 gene:Et_1A_008672 transcript:Et_1A_008672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGFWTSVWSFFKFLPFFFGLLLLGIIKGVLFGPWAWLVMTIGISVLILGLWPVHVIWTYYCIIRTKVVGPVVKILLLIAATVLLALWLIVGFVGSILAGLAYGFLAPVMATFDAVGEGKEKPLVHCFLDGTWSTITGSCTVVRDVKDMLLHSYFSIMDEIRLHTPPDGKPYEIRLLHIPGAIFAAVCGLIVDLVMFTLIALYKFPVMLFKGWKRLIEDLVGREGPFLETACVPFAGLAIILWPFAVVGAFLASIICSIPFGAYAAVVVYKESSLFLGLSYVISSVSIFDEYTNDVLDMAPGSCFPRFKYRKDEASSHGGSLSRPTSFKEKSDVKKAPQRVTSFKSSFDEFNPFKLLDHLFAECKHHGKDLVAEGVITPKDIEATKAGKVSSGLLNVGLPAYVILKALLRSAKANCDGLILSAGSVITSENRPKSKIFDWFFDPLMVIKEQIKAENFTEEEEAYLGKHVLLISDPKRLKENLPHLPSLNEQKQAEIDAFARRLQGITKSISRYPTAKRRFDALVKALSEELARTMGGSQSANGSQVQKMRSGIVRMLSQRSLGKTTTIRGDDQEAQLTGGA >Et_4A_035137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9935951:9942025:1 gene:Et_4A_035137 transcript:Et_4A_035137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVELQPIVGGDTATLLCFSSEVGEWVFKDVDYPLPPRPLARNGVVSLNGRLWWVDLSWGLITCDPFADKPVLTFVPLPPGKALKYKEAWGELDKHRVVGVSAGKLRFVDMYRTRDNRGALKVCVWTLADPEATEWTLEHEASFADIWEDHTYKAAGLPNKIPVLALIHPKESHIVYFFLEEYIVGMDLRSRSVVECEVYELVEPRSRDLVATRFVRTWELPRALSSGHHQALARHANSSASPASRDHLPMAPPSPPPSSPSWVILSTVPRVSDDLQDNADLSLAVAAPPRVTHLTLSPRVSPADPNPSARVKRSHLHAADPSGLLFAVTPPPASPTGAPHNDEEEEEEEDDDDEWSGAVTLNITDIPRPGYFVLDVRSGAATRVPDPRRVVYNWGNLGVIAAPAGAAPGFMLAEFDHIVGCDEATLTCFSSRTGKWVDKDVDNPLPSWIWNFDDVISHDGKLCDGKFRCVEMTCAEHGQGEAPMVTMRTLADPETAEWTLEYEVRFDEVWAGDTYKAAGLPEKAPVIAVIHPENPDVLYFFVKEYLFGVDMRAKVVVECEVQELTKDGAFLAGVLALVLPPALSAGTAHLSDFPTHPWCFTGRSTLFSYSSRTESMCIAMITRLR >Et_1B_012417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31792517:31794296:1 gene:Et_1B_012417 transcript:Et_1B_012417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSSRTTPIASPTTCSSKFSTASAAPAPPPARAWCPAAGAASGRSSASSASGPARYDALGKLEAALVAGVRASRLDVLHVEPFIRGASLLRRAARLAPAELKMSLFSEPLFSRELVRLPCFGSTKSVDLRLLGFQLAPPPEGELFLQLERLALKGCVFDPSALLPRCPRLRVLEIGPEAELAATPIAVSLPLLEELSIAVSDQASRLQVEAPELKRLSLSNHIDDVSVSTPKLEKLSLDCGKNLNRLELGNMPQLQELALVGIHRLAFNDRLRVGNGVANFMQQLPHLRLLSISMSLAPLGGECSQDCSCHHHREWSIEDFSLPILRKVEIKDFNGANCAFHVLENLLSAAEMLEHVTVTLRDKDPTSNERLRHQLLDIFTSYPTVEYHVVNA >Et_4B_037603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21704613:21705551:-1 gene:Et_4B_037603 transcript:Et_4B_037603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQGQSSSLQRLHGVEKRIVRVLELAGAVMEELGNSQGPRSDAVASHCRDFMTAIKEIQTTLREEIKSACEYRPFEKCDYSARIANEICVKKLEYVIEKLDAMQQNVKQSTD >Et_4A_033086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18316586:18341421:1 gene:Et_4A_033086 transcript:Et_4A_033086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSVSGRDASTNCDGYRDGAMTYPVIMHPGIDPQVAAMTVAELDAAITALPGKKDALRKAFERLTACSPSPLPFTWEGLDAYISGLQSSISLRFRQLQVLEAARPARSLLAPKNNLDNGKARIHNDYTSDEEEWEEQVDEDHDVIQAAQDKIGNGWKQDEETGDKEEDVEEEEELETMDKIVNKTNDEVVREEGELEEAYEEQYTDMNEDEEVAKTASAVRSITSVQEQVDEDHEDMRVAQDKIGNGWKEDEETGDKEEDIEEEEELETMDNIVNETNDEEVREEGELEEAYEEQYADTNEDEEVARTASAVQGYREDEACTEEQGAETANKVCSITSVQEEEVNRAPPGADKDLVAACASMNTTKLFKFMCSRVDSQIREEYPLAMRHAPDAAALVLGVIKLFIRTKNHRTKKLCLNCAALIQCVQSAPAPAADQPSSGTIEQAKRVAKDWKEMIDKPGSYGDVSVVASWALLWFLVSYNIASEFETMEIIRLFAAVPRKNKKIKTVELCKGLGLADRITDLIDYLIGNGQYLDAVHLAHVYDLMDKYPPHALLKGYLKKAKHTVVEIFRMNMACKSQNWVIAKEIDCLRVTQNVVKEHITDSSQCSIILTEIRKLIDEYAKRKRDLANASTFNWKQQRKQRNKKRKNEEELVQEKQQRRGRGPTAWTRKPGEKPDATWTQKKKRRGGGGGGEAAIAALPVKKDALREAFDRLASCSPSPLSFSWEDMDSYISSLHSSFSLRFRQLCVLKDGRPITAVSPPGSTLVDGNTKNPDEGEEKDGKAKGKANKEDQDVNMEDVVAAKLSVSQNKDEAVCAVEEQEAHGANEEEQDAKAAMKVYQMQDKEGELAGGMNVSQEQGDSALPGSSSSGCARMNNRWLVKSVCDCITLGQELPIGIRCHPDAAEITLCVVKLFLHNKMPKTNMAWVKFVTLFRNVSEEAAKPSADVMEQAKQMAKDWKEMIENRSLVLGNLESWGFLYFLICYNIVSEFDADEIIRLFSTVPRKKQKNNTIKLLKDLGLADRITAICNHECAFFFPWSKSVCSKKISRFIISADLIDYLIGNGQLMDGLNLAHLYKLVDKYPPLSILKGYVEKAKQTAIEMPEKNMTYKSQDAVIQKEVNNLKGALDVVRKYITDSSQCSTITADIVNLLHEYGKKKRSSANSSTASTTHLQQQQKQSNVKRKKEDLEQERCEGQKEDQGRVSKPGVKLQQKQNGPQQKRGTDKQEKKVQDTQEGCGQKEDQGRVSKPGEKLQQKQNGPQQKQGTDKQEKKVQETQKGRAKGPRQHAPKRSKHAAHWVPYMEPIGHYGHPRYAAVHGFHGHPAQPCWPEVHGAPFAPYLPGPERPSPADLEAAIAALPAKKEALREAFDRLVACSPYPLPFTLEDIDAHLSGIQSSISRRFRQVQVPEAARQARTVPASAEARSCENQEEEEEVEEIKVEEEEEDDENENDDEEDEEGEGDEEEEEEEEEEEDGEEEEEEVEEDVEEEVEEAEDAAMDEREQEADEEMQLTNVDEQNAKEEPEASGEEPVTKKIKVAEEETSKEVPDKSEEPDAEEKEESPATEPGTKSANKASLKKVMMQNQIEQAPTCGSKDLMAVCASMDANKLDRFICGRDTSLGGYLPTAMRCAPDAAALVLQVVKPFLSDKRFKATKVWNRCIGLISCVSATASKPSANTIEQAKQVAKDWKEMTDKLECPGDHHMLGAWGLLHFITSYNIVSEFDTSKIIRLFGMVPRHMQKKNTIELCKGLGISDRITDLIDYLIGKGQHLDVFHLANVFNLSDKYPLLSLLKGYIETAKQTSMEIQNKSLPYQRRSQIAHHLADQKITDSSQRSTIMAKIKVLLNGYAKKKNGAKACTSNSQPQQKQDNKKCKKKLHKGQENVQQREQSKAREHDHKQTNQQQKQHKEASQMRESEHQQRKNRPQEMQQHLKQPRPCTVMLPTPAVPMVLNVGQIGHLGCPPCSGMPGVPIYVTQPGWPGAGGAPRLAPPFGAAQFIRPFNPFYPHLQFYPR >Et_5A_042183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7175253:7176511:1 gene:Et_5A_042183 transcript:Et_5A_042183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYERTVMAATAAAAAAAAGVMFVGPAAAGLVADGLPRAREAAAGWLTPPYLYLVVNAIIISIAASSRFQPSSGDRPSASGSATELEPELHVAAVESAAPLQVPAPAVPVVAVQTPEFVAAEEPVVVKGNKKPAPAMEKEGEDEGFSISRSTWTPRRKCAEPVVQKQDVEDDEVAPFADLTNSREKPLVSARFNRKVAKASPEGSRALRVARPRKEETLESTWKAITEGRAPPLARHLRKSDTWDTRPGRRPSGGIGSGEVDPAPAPAAAMRKAETFNDGRSKAAAAAAAPSQAQQAAPVRREPSLGQDELNRRVEAFINKFNMEMRLQRQESLKHYNEMMLGRGSHY >Et_5A_040685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11062245:11063100:-1 gene:Et_5A_040685 transcript:Et_5A_040685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVHVLVFPWPQQGHINCMLHFATALAGAGIHVTFLHTDHNLRRLARPSAATSSRLRFLSVPDGLPDDHPRAVGDALELAMSMLATATGTYPVSDSGVASDGGFPPVTCVVADGVLSWASDIAEELGVPALAFRTASACSFLAYLSVPKLFDLGELPFPAGGDLDEPARGVPGMGAFLRRRDLPSSCRRLNEANDVDPVLHVLAHLTAHSGKARALIFNTAASLERSALAHVEPHMREVFAIGPLHAMSAAPACTWREDDRCKRLLDYI >Et_2A_017452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34452753:34454263:-1 gene:Et_2A_017452 transcript:Et_2A_017452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASPDRQSCISRRGIFLRRHPPAGRGGRTARRGDRQSGSYLPLSLPARNATTTRRCPRAPCTERGAPLVALFPTAIGYLTTGSARHWSEASSISKSHGNEAQTFRWQNGGGGGRFGRGGGERRVGVEAEAARQVCGRPVQMPRPRARWAAVSPKCPHAATAAAGAVHSEHHHRHVREVLFRQAGLPGTEYGSELEARIEKFIYACRFMTFLAIGGLLLGSVPCFFKGCVYVMDAFIEYYLHGGGKVILLMLVEAIDMFLLGTVMIVVGTGLYELFVSNMDMSYGSNLFGLFRLPGRPKWLVIDSLNDLKTKLGHVIVMLLLVGIFEKSLRVTIASCTDLFCFAASIFLSSGCLYLLSRLQTKGGSHA >Et_3A_024147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17830817:17833031:-1 gene:Et_3A_024147 transcript:Et_3A_024147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGGVADEKQRDYPGKMTFFVFVACLVASSGGLIFGYDIGISGGVTSMDPFLKKFFPSVYAKEQEVVETNQYCKFDSVLLTLFTSSLYLAALVASLFAGHITKACGRKASMLSGGIIFFLGAALNAGAINVAMLIIGRIMLGIGVGFTNQSVPLYLSEMAPAKMRGMLNISFQLMITIGILSANLINYFTAKIAGGWGWRLGLGLAAVPATIMVGGSMFLPDTPNSLVARGKDAEARAMLRRVRGTDDVGLEFDDLVAASKATRAVESPWRTLMQRRYRPQLAMAFLIPVLQQLTGINVVMFYAPVLFKTIGFGGTASLVSAVITGLVNMAATFVSIATVDRLGRRKLLLQGGTQMIIAQFVLGTLIAIKFGTSGVADISRPYAIGVVICICVFVSAFAWSWGPLGWLIPSEIFPLEIRSAAQSAVVVFNMTFTFIIAQIFLMLLCRLKFGLFYFFGAWEVVMTVFVYFFLPETKGIPIEEMDRIWANHWYWKRFVQDDNSKVEMTSSAV >Et_5B_043781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16007693:16009904:1 gene:Et_5B_043781 transcript:Et_5B_043781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPATAPGGDALLPGKLERDSDDSGSGSGSEGDGDSDHASDLREIVCLLRLIKGGANKDGQKMCQEIIASVAEDIQTMLEETQMKFEKERQNLLSVLSNTSKECESSLNKEYDKFQETYEMFCREKDAHMRTFRDLFSKVDGEKEKLLQQYEHHKKAETATLSELDKTFTEKIAYAERSVRRMKQDDKSFIIFRKSIGSFLECGSDDDFDLDDE >Et_1A_009302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3825280:3826874:1 gene:Et_1A_009302 transcript:Et_1A_009302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGKLQSGGTNCYTTFVHHYSVDDTTGTRGCSELSAAEGLAEGPAAGGGVVGHHGAAVAGGDAEGERLAVQERVALPVLAPVAGHGLPAGALALDGDRVHVAGAADVGDEDEVEVGVAVDGEPDAALLVARDPSAVLSAAVLVPYPWLYRLPYPHAPPAREKQRKVQKNRKDYGRVKRTHGAGRVAPAVEGGVGGGPPGSSQRRRVSVTNPRPVRVRVNVTQPRPVQEPVRVTVAEPQPAREREREKRGEEGDHEDGLARRHWAAGRRAEGARGRGAAAARRECVEWSLRRVVRLVGEGGVINREGGGARVSNRAARRAWAGTRRPGRWLRAWSVAGRSGVGHAMPCKGCGALLATDTGFLVASGGAAGETAWWRRKVRRAHSSKSGRKRRGDGAASLAS >Et_6A_047404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5174739:5176427:-1 gene:Et_6A_047404 transcript:Et_6A_047404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCGGALQLPPGFRFHPTDDELVNYYLCRKCAGMPLAAPVIAEVDLYKFDPWQLPEKALGGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPVGSPRPVAIKKALVFYAGKPPKGVKTNWIMHEYRLADVDRSAAARKRTNNALRLDDWVLCRIYNKKGVIERYDRVDDDADDVKPAAAPAAKNPRGAAAAGGRGGAAAMKVEMPDYGYYDYDMDTPAAEMLCFDRPFPSPPPDQPEPEMETELSADRDDSNNSLPRNNVHTDNSSCGSEHVLSPSPDLPDRDHAESQPGCGWFGVDWGGADDGFVVDDGAALFGPASPAVMYGGARDAALLNDMFAYLQRPF >Et_7B_054338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2039883:2043605:-1 gene:Et_7B_054338 transcript:Et_7B_054338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASASLLSLPISGALPAAATAVAGAAGSFALGYLFALSRLPRHAAGPASGGLSDEDSEDDSEDDDDENSGRRRTAKRAGGQKRTGLRLLFWSRNVVTKSDSAKEAEKAQAQAASSPLEIENLAAIIEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLFKKLQQRAPKSLKRWERCGQVKVVVKIESEEDMLVLQGRAKSLNLPTHITIDAGRTQIAPNSRTVMAILGPADMVDDVTGGLKLFKPHASLSNSLLDNRQSREEIVVCNFIPRAQYHLVSKGIIT >Et_3B_028564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16866358:16869164:-1 gene:Et_3B_028564 transcript:Et_3B_028564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYALYVGAELDGLTNLQPLLGCDDPNFPYYLKLRCENCGEVTAKDSYVTLSETVEVPKGRSTANLVQKCKLCGRDGTITMIQGQGTALTIEQSQKGEKACLMVFDCRGYEPVEFSFGDGWTAESLEGTSFNIDCSEGEFADYDEKGECPVGLGKLESTFKVVKKIERAGKARYV >Et_7B_055781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4392620:4393761:-1 gene:Et_7B_055781 transcript:Et_7B_055781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPRSPRDSSSARTTKRPAAQQGKQKHIYLVVDDWERGYSVYRADEDDFDAYDSSLDAHPAKSPFVRMEAKHPYSWSFVAHGSKIVAMQPHKSSPGIPSFDTETLAVSSFPSPVSRGDIGGKPVYASVGDRLLAFYYPFVEVLAPDPSPSPSRRRLRHPQRTTRRSRGGPGPWSKSQSSCRPSYAVHPDGRTVFMSVKSWRPGGRATVSDGLSKTWNSTFTFDMETLEFAHAGEWILPFKGRAYYDGELDAWVGLCLYPEGAGRVCSCDVPPRASGCGAMPAWKLGRDVFFDRKDSPSDVHLGATLVYDGDGRFCVLERRMPTEEDPSKRIRVVKMTRTGTCDAPGIAPTRRFRTTSLINVLTGMRTRSRSGCRP >Et_4B_038571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:349217:355911:1 gene:Et_4B_038571 transcript:Et_4B_038571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRGGSSDSGGFDKVPGMDSGKYVRYTPEQVEVLERLYIDCPKPSSSRRQQLLRECPILANIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAHMRQQLQSTSLANDTSCESNVTTPPNPMRDASNPSGLLSIAEETFTEFLSKATGTAIDWVQMPGMKVIEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELVYMQMYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSAASAQQFVRAEMLPSGYLVRPCDGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTVALRHLRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSIMGGDGIEDVVVACNSTKKIRNNSNAGITFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNIDAYLASALKASARSLPGLRPMRFSGGQMILPLAHTVENEEILEVVRLEGQSLTHDEALLSRDIHLLQLCTGIDEKSVGSSFQLVFAPIDEHFPDDAPLISSGFRVIPLDMKTDGVSSGRTLDLASSLDVGSATAQASGDGSPDDCNLRSVLTIAFQFPYEMHLQDSVATMARQYVRSIVSAVQRVSMAISPSQSGLISGQRVLSGFPEAATLARWVCQSYHYHLGVELLNQSDEAGEALLKMLWHHPDAILCCSFKEKPMFTFANKAGLDMLETSLIALQDLTLDKIFDESGQKTLFSDISKLMEQGYVYLPSGVCMSGMGRHVSFDQAVAWKVLGEDSNVHCLAFCFVNWSFV >Et_2A_015486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13617137:13625794:1 gene:Et_2A_015486 transcript:Et_2A_015486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAEGGGNPWLRTTNGHVGRQVWEFGAAAEPDPEELAAAVLALLGSTSAYSLSNLLMGFKGNCVTCLLFCLRRYSQYGGKIHRLGGCPPGLDLGATPFVALSLHYNLVCEWSTEYCLIIGTSVGDPPNEDGGWGLHIEGPSTMFGSALTYVSLRLLGEAVDSGDGAMEKGRKWILDHGGATFIPSWGKFWLSVGTDISFYFLIQSDSPTSLFNLIPSATRSMVVTILPAVSPRENVVSLSNVCYIYGKRFVGQMTPLVLELRNELYKEPYNKIDWDKSRIMLHWPGSKLREKALETVMQHIHYEDENSQYICIGPVNKVMNMLACWIEDPNSEAFKLHIPRVYDYLWVAEDGMKMQVLDDCPGDLNDWYRHTSKGACPYSIADHGWPISDCTAEGLKVNDNGGFASYELTRSYTWLEIINPSETFGDIIIDYPYVECTSAAIQALTSFRKLYPGHLRKEVDKCISKAANFIEATQKKDGSWYGSWAVCFTYGTWFGVKGLIAAGRTFENSPAIRKACDFLLSKELPSGGWGESYLSSQDQVYTYLEGKHAHAVNTGWAMLALIDAGQEIIGVFSKNCMISNSQYRNIFPIWALGEYRCRVLTAGN >Et_6B_049139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18575401:18579418:1 gene:Et_6B_049139 transcript:Et_6B_049139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMHRSGIGQALLWKSMNISEEKEVQNLRDMGIKIVQKCGCLPLGIKLVARVLASKGQTENEWQKVLRKDAWSMSSLPSEIKGALYLSYEELPHYLKRCFVYFAMYPEDAVIHRDDIVRMWMTEGFIDEKNDQFLEDTAEEYYYELIYRNLLQPDYNVFDLSECKVHDLLRQLACYLSREECLVGDPESIQINILRNIRRILVSTKKDMVVLPSMDKEKYKVRTWRSPYEKSLRVDNTIFRRLSYIRVLDLTNSCIQSIPSCIGSLIHLRLLDLDGTDISFLPESIYHLINLQILNLDHCASLHSLPFGITRLRNLRRLGLDETPINHVPKGIGRLKILNELEGYPVAGDSDNSAEMQDGWNLDELGLLLHLRKLSLIKLERAACCSKDSLLIDKNHLKLLYLCFTEHTDEPYFEENVINIEKFFQMLIPPRNLETGVGTATYLSSLKHLALIDCKSCVYLPPIEQFPCLKFQRIQGTTAVTKIGPEFVGSGVGNSEFTGAVAFPELEELVIMNMRNWQEWTFVLEEATEAGKEEGEDGSAAKKIEEATPPRMRVLPRLKNLYIERCPRLRALPLQLGQEATSSKELMLRDVHILNVELEVLHQVRELHVQLCPNLRRIEKLYNLHQLFLTEDMQQVSSLWLPVLQEQHQLLHGELMNVYTW >Et_7B_054577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2482466:2485895:-1 gene:Et_7B_054577 transcript:Et_7B_054577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCCPCATSSSSPAPLFLLPSVPAASRNSSGIIGVSPCSGGGRRLQRGARHSGGYWAIAASSKDGSEKEDDEPAFNPFGFVTDNPSSRSAIQLPAVPAEDGNVGQMLYRIEDKGREYGSYVRAGEFRWFVRETGSLDARRGTVVFIHGAPTSSFSYRTVMSQMADLGFHCYAPDWIGFGFSEMPQTGYGFDFKEEEFHKAFDDLLGTLNITEPFFLVVQGFLVGSYGLTWALKNSSKVLKLAILNSPLTVSSPLPGVLQQLRLPLVGEFTCQNAVLAERFIEAGSPYVLKNEKADVYRLPYLSSGAPGFALLETARKVKLQDVLSRISAGFSSNSWEKPILLAWGISDKYLPVSIAQEFKKGNPGVVKLEAIEGAGHMPQEDWPEKVVKALTYFLY >Et_5A_041465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23280368:23285962:1 gene:Et_5A_041465 transcript:Et_5A_041465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFPRSSGKRPLVIWLCLCSSLLAVSAKVEAAAGDGDHFVYSGFAGTNLTLDGAAVVTPSGLLELTNGTLRQKAHAIHPTPFRFRNRTSSPATARSFSASFVFGILCPDADACGHGIVLFVAPGSYDLAAALPSQYLGLVNATTNGDAADRLFGVELDTDQNNEFRDIDGNHAGVDVDSLVSVAAASAGYYLNDGGGGFRNLTLASGEAMQVWVDYNGEAKRVDVAMAPLKMARPAKPLISVGYDLSTVLTDVACVGFSSATGSFNTRHYVLGWSFAMDGPAPAIDVAKLPKLPRFAPNKHRAKLAEIIPPAATAAFILSVAAVAVLLVRRRLRYTEVREDWEVEFGPHRFSYKDLFHATDGFKDKNLLGVGGFGRVYKGVLQDSKMEIAVKRVSHDSKQGVKEFVAEVVSIGRLQHRNLVQLLGYCRRKGELLLVYEYMSNGSLDKRLYGGDKDMPTLDWGQRFRIMKGIASALLYLHEEWEKVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGADQHTTHVVGTIGYLAPELGRTSKATPLTDVFAFGIFLLEVTCGQRPIKQSSDGGQLVLVDWVVDHWYKGSLTETVDARLNGSYNVGEASLVLKLGLLCAHPLPNARPCMRQVMKYLNGEMPLPELAPTQQSFEYLALMQGEGFDSYIMSYPSFVGTMTTMSLCSQSCFSYVLSLFWLQGTLYEVVEVQTRVLRPTVVAFCRELLIKVMNSMKILASFLLPAVLIFHCLNPTLALNATVGQDKFVFSGFAGANLTLDGTATITGDGLLELTNGSSQLKGHAFHPVPVSFRSSPGSVVRSFSASFAFAILSSYPALSCHGIAFTVAPSTNFSSALAAQYMGLANIDNNGNASNHLFAAELDTMQNVEFQDMNNNHVGVDIDGLRSVAAQAAGYYDDTNGSFHDMNLISGEVMQAWVEYDREAARINVTIAPIGMSKPVRPLVSVTYNLSDVLLEPSYVGFSSATGPIHSRHYILGWSFAMDGPAPAIDISKLPKLPRLGSKPQSKVFEIILPIGTAALLVVLGIAVVILVRRRRRYAELREDWEVEFGPHRFSYKDLFNATDGFKEKHLLGAGGFGRVYKGVLPTSKLEVAVKKVSHESRQGMKEFVAEVVSIGRIRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKYLHYEGEKPMLDWDQRFRIIKGVASGLLYLHEKWDKVVIHRDIKASNILLDKEMNAQLGDFGLSRLYDHGTDPQTTHMVGTMGYLAPELVRTGKASPLTDVFAFGTFILEVTCGQRPIKQDAQGDQLMLVDWVLEHWHNGTLLDTVDTRLQGTYNIEEAYLVLKLGLLCSHPWTSTRPSMQQVMDYLDGDKPVPELASTHLSFNILALLKNKGFDPYIMSYPPSSNMSFGTISDISGGR >Et_1A_008420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7553459:7555932:1 gene:Et_1A_008420 transcript:Et_1A_008420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVADGVSSCGSTNVRRADHLLSLPIPLPCIGEPTAASRVSPGSSPARSEASGIADCYAADTEPEPEVSAGRSTQMLLQMAAMGGRGGQYGRRPASSYGSCAAWSAGSLTKHRPASPSPICSPVSSHGGGDPEPHGGDDEASFATPRMEEEQGGLPTRADFMKASATPRNVRLQTPRHPSLRRVEGGNQVPQRFVHRATPARLMRRARSSHNFRHRVGAIDAINEWRLPKVSEEEDEAGDEKDWQADTVSSRMSSARDWNFESDGPFEGNNHNGGAFDHSDVENCPVAGQRMERRFSSSVLKPKSNLVHAKLVAWKDAQLAKLIEKLRKKEAEIDDWQKNKVAKARQKMRKTEMKVEKMRAEAAEKMQKEIRHAQRKADKKKVKEQAATANQMGGVERALEKMEKTGKLPWSLAFL >Et_1B_013299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7148640:7154633:1 gene:Et_1B_013299 transcript:Et_1B_013299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESVELLGIDPIELRFPFELNKQISCSLQLTNKTDEQVAFKVKTTSPKKYCVRPNNGIVLPRSTADVLVTMQAQRDAPPDMQCKDKFLVQSATVGKDVAPKDITGDMFTKESGNVVDEVKLKVVYVTPSSQTEGSEDGSPGSLSYQETAKESKESEKMTSEPYALISKLMEEKISAIEQNKKLREELDFLRRGVSSQHGGFSLVFVLVVAILGILLGLPSNMLPLQQNVKLKRFELLRAKRRWQIAGTSIICRTHHGVLHELLLTAYGLTSQRLGADLNCFWRCLPAPEGPIREDDVERLVVPAVPEERRQDGGILPIVLFGAYDRPIDAVEHEVEVWHAAGVAPEQLEDAPDGEEVPRLEGRRDALDVGPAADHNEAEVGRGAGAVDHRAGDATRNAPWVEVLVDEAEVGGAGGGVGAGRRGGGERRGIGDGGASGGIVGGEREGEGLGDVAGDGRVAG >Et_6B_049028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16825850:16826912:1 gene:Et_6B_049028 transcript:Et_6B_049028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTQISMLIIKVDLDCHKCYDKMRKILCRLQDCERITTISFDDKTKTVVVAGPFDPMRLACKIRCKGGKVVKDIHIMDAGGGGGKPPPPMMEGPPLQGNSGKKKPPKPASSPPEVHQAPPSPPPQHAPEPPPSQPGPPGMEMAGNVPPDMGTAATAQHAELEQPPPPSPQKPPVDYMPPPSPQKPPVDYMPPPVMMKPRPLHPPRPPLHPPRPPLHPPRPPLPEQYPVECTMPTVEIPSYPAAPVAPCGCGCGCPCCSAPSYQGYYEGCRCGCGGSGGGMVYGYAAMQPRCGYQGCRIINEEDPNAACSIM >Et_2A_018755.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:6803950:6807345:-1 gene:Et_2A_018755 transcript:Et_2A_018755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTTDRRRGGGPAPPSSRPMWRPRSSAPASAPAPAAGPEAAPILPLPTAASETRPPHRRPRRPNHGNNNRRNPPPQEQGNGTGHHPHAPQDHNASAGHYRRGPPAAPEGQLPNGNAGYHRRGPRQERPRSAAPPAPAPAPRTAPAPAPAPLATGGDGAVPQLVQEIQDKLSRGAVECMICYDMVRRSAPVWSCGSCYSIFHLPCIRKWARSPASVADGSAEAAASANWRCPGCQSVLSIPARDLSYTCFCGRRRDPPNDLFLTPHSCGEPCSKPLEKAEPGLKGDDAAATRCPHVCVLQCHPGPCPPCKQFAPDRPCPCGKQIIVRRCADRSTPVTCGRPCERLLPCGRHHCEKVCHTGPCGDCAVLITARCFCGKKEETLLCGDMMVKGKLSEDDGVFSCSEVCGHTLACGNHACKDMCHPGPCGECELMPGKVTTCHCGKTKLQETRASCLDPIPTCDKVCDKYLPCGVHRCKVTCHEGECPPCLVRVEQRCRCSSSGRMVECYMVSKEEFLCNKPCGRKKNCGRHRCSECCCPMSKPLALHEDGNWDPHLCQLPCGKKLRCGQHTCQLLCHSGHCPPCLETIFTDLTCACGRTSIPPPQPCGTPTPSCPHKCSVPQPCGHPASHSCHFGDCPPCVVPVVRECIGGHVMLRNIPCGSKDIRCNQPCGKNRQCGMHACARTCHPAPCDQQPANGDASSSSGGKASCGQVCGAARRECKHTCTAPCHPSSPCPDSRCEFPVTISCSCGRITATVPCGAGGASSGDNMFEVSIIQKLPMPLQPVESNGRRVPLGQRKLSCDEDCAKMEKKRVLAEAFDITPPNLDALHFGENSSSSDLVSDLFRRDPKWVVAIEERCKFLVLGKMRGSSSSSLKLHVFCHMMKDKREAIRLIADRWKLSVQSAGWEPKRFVTIHVTPKSKPPARILGSKAGAPVIAAHPYFDPLVDMDPRLVVAMLDLPRDADVNALVLRFGGECELVWLNDKNAVAVFNDPARAATALRRLDYGSAYHGAAVFMPNSSAQPGNVWVGAQKDGGIAAKSNPWKKNAAAEPDSGDWNGVLGHAPAPGWRGGNAAAQVAGTQNRWNVLESDVATSSGPSGGQRPAPRTDAVRSAAPSKLQPDVEVDNWEEACE >Et_6A_046277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1252246:1256665:1 gene:Et_6A_046277 transcript:Et_6A_046277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDAADCRDSTIEEEEEEEMVWEKCSKHRDGSIYRGTACWHSLYCVADTRETSLEPMMLSNPTDCRPNKRACETHRECHMMQIFSLKLVHTTEAIDSPIHLYGFVAVRDLLNPLRNYIFNRTRDDPFVVEQQTDGSGSNIQMTGPKRGIEMKAQVLIEYDMKIKKGQTEEDDLQLIDGAACFSELDRLPSRGDSGAVDLSLALLHRAVEATVQVKVSQVHGSGLNLSISSLVGRISPRIQLFQGVIAEPCDLNRFVVAVVKGNTLILDLIVHQREGSGHAHPCYPFKAKLHGHDIQEFKLDFATIVVNVTWSTLVPYRGARIPWTFVTHSMKETMISLLT >Et_2B_019131.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:12648601:12648963:-1 gene:Et_2B_019131 transcript:Et_2B_019131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGTTEEWRRNADTHKMSAEEVRAAGVEASMRPPGRGGSGEVLHQRGRLPFGPGKMALVGFGIFGVLGYLVLYQKARPGTPATEVAKVAVGQGDPAAGRNDADKRPRPAAEGARDATK >Et_3A_023048.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:21103265:21105847:-1 gene:Et_3A_023048 transcript:Et_3A_023048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RAQIDPFRRRARCQRAVLPCRPPPADVPVLHGQRKVEQHPHERDAGVQGRGEDVVVPLPPLLAVPEHEEVEDDADQQPRVVVDGRRGRHCGRGADEHGQVDHGDPGLARERPVERVLDEGSRRANEEEPVERAVVSQRAEDAARADEAPDDGRVEEDAVAWARPRAVGGEERVVADVGDGAQQPVRHAEVDGAGDDGPDELHQEHGLGRYLHVVAELEVLEEGHGLRHAHVAVHLEADVGDGLAGQYEPDDVLGDDVEPRRLVGGGRDDADRQREHERQAAGEEEAPPRELHLVLEHGAEDERDGHGQRQQRVEPPARRVLVVLPHQPGVDVGLVLPRGAEAVVDLLPVEQGHVHDGGGDRREAEPVGEREEGAHVDPPQAGVRVHVDLEPVVDDGRDVVALAVEHEEVRREDGEPPGVVQPQPPVGGRHDHVHEEHEAGQDVGGGEEGRHQRAEEERRHGRPVEGEGAEAEALHAGAQLLRRDGLGEEPADPGDGRQRREEVARHGVPQEAAGERHHEELGPRRRPARRVRRLVRFLLLLVQRAEEGDLHERAGPPHVRRLHHVLAHEPRPAVPEHLRGGDEQPRGEEGDGAAVEGADDVDDHDGVRGAVAEGAPGVGEDGDEHVLLHVEGARVEAPLPAAEDRGGLELAGREHAREEVAQREGGHLHRDLGHDDRLRAVGEELVQEAHEHTRQQPERPHPERPHRQRRVVDRRHGQPDLLDRRRVVVLRRPRGELNVGHRACRRARVLATSWRPPSASRRSVVAYACVIDPRCVSCLDRMVGGLRAWTYIFTGSQRRGVCEFETDAGRLCVRVPDSEVATTISSVGTRRPASSQLGVGPLMSCNKRPNDIKTAELFFF >Et_3B_031421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2982385:2986274:1 gene:Et_3B_031421 transcript:Et_3B_031421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKLETKAAAATRAAAAKAAAEPEAPSSQTEALASSAMEEEKLALQSGDEVEEEIEVEEEVEVEEVEVEEEEEEEEDEDEGESDPASIQSLLDSFAKEQLVELLRDAAVAHRDVLRTIHRAADSDPAQRKIFIHGLGWDATADTLTEAFSPYGEIEDLRVVTDRNTGKCKGYGFILFRRRSGARAALREPQKKIGNRTTACQLASVGPVPAGGVVANNPIAAPAALQLPPVSEYTQRKIFVSNVGADIDPQKLFQFFTKYGEIEEGPLGLDKVTGKPKGFALFVYKTIESAKKALEEPHKHFEGVVLHCQKAIDGPKANKTAGLGGFSGAGASIGNKGAVGYGASSHSLPGSIGLGHAISPIAPSLASLPGAIAATPGVDPAIGQALTALLATQGAGLGLNSILGVGGNASGVPQPGASGALGSSGVPGVPGGYLGGYGGAEAAQAYEASCDFPESDLRYDDVASASKKSIF >Et_5B_044857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6560797:6561885:-1 gene:Et_5B_044857 transcript:Et_5B_044857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFHGARFVRLQCCARPGKYLAAEEDKAGVCLRRGRRAADNVLWSARSAAGPEGEAAVLLCGSYGLFLAASDRPASAGPPDAVEAQQRALDDDPAPPPDMLWQAVCSSSEDGFLIRNCAGRFLRANGNSSMLRWAVEAVPAEQERLLDLGCPGRQELLQSLEAMLKEEKGLLQSTLKQIEEAEAKKRSSLLKMKGLMAKEKRGSDSLESHWAAVTIDIATKAYESYAIKAAEHQDR >Et_4B_038553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29909686:29910373:1 gene:Et_4B_038553 transcript:Et_4B_038553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGRTMIAPLLVLNLIMYIVVIGFASWNLNHFINGQTNYPGVAGNGATFYFLVFAILAGVVGAASKLAGIHHVRSWRGDSLATSAASALIAWAITALAFGLACKEIHIGGHRGWRLRVLEAFVIILGVTQLLYVLMLHTGLFGNQFPHGHGHGGGHYPAADYAGEPKGTARV >Et_3B_031530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3695069:3697905:1 gene:Et_3B_031530 transcript:Et_3B_031530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALPLRLAIPRSSGGSGSFSPLFKPFPKPTNAQLHTGSFGRVPAKVALFHSRAVSEDRAETDADQATPQWKLDFLGADAGHREPQLEEEEDDDELLPAEANDWCVRARRSALRSIEARGLAPSLQKMVSPPKKKKKKNKASKKDLKKAEAELKRRKKQLAKSEEEKEEDDDDFDDDEDVIDDLQDMDDLELRVAQFADGMFDEKRLRNREAFVQTLSRLSTAPSNRSREVPLNRSIVQAHTADEVLALAAEVMADVAKGLSPSPLTPLNIATALHRIAKNMEAVSMMQTHRLGFARQRDMSMLVGMAMVALPECSPQGVSNIAWALSKIGGDLLYLPEMDRIADVAIAKVQDFNAQNVANVAGAFASMRQSAPGLFSALAQRAAQIVQTFKEQELAQFLWGCASLNECPHPLLDALDAVFQDNARFQCHVDDATSGGTQSSVEETSGGEKDGNSTRSLNFSRDQIGNIAWSYAVLGQMERQFFLHIWRTLSQFEEQRLSDQYREDMMFASQVYLANQSLKLEYSHLGICLEGDLEEKITRAGKSRRFNQKTTSSFQKEVGRLLYSTGHEWIREYAVDGYTVDAVLVDEKLALEIDGPTHFTRNLGTPLGHTALKRRYITASGWKLVSLSLQEWDELQGEFEQLEYLRRILGLDVE >Et_4B_036990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13101324:13105578:-1 gene:Et_4B_036990 transcript:Et_4B_036990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVIRDLGSGNFGVAKLVRDVTTKELYAVKFIERGQKARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSVAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDDPRNFRKTISRILSVQYSIPDYVRVSTECRHLLSRIFVGNPEQRINIPEIKNHPWFLKNLPIEMTDEYQQEMQVAEMNTPEQSLEEVMAIIQEARKPGDALKLAGQVPCLGSMDLDDIDLDDIDDIDIDNSGDFVCAM >Et_6A_048085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5865497:5869975:1 gene:Et_6A_048085 transcript:Et_6A_048085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDLYLDLLQADYTEGDALDSLELVRYCCRRMLMTHVDLIEKLLNYNKQLGMTKVSSEKRKDLNMS >Et_2A_016874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2869694:2872443:-1 gene:Et_2A_016874 transcript:Et_2A_016874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKKRSLRSQPPELRASISSPPLELDGLTGSLKPCEVTTALMALAAHSSGVLYLILAAHTGLLVHTRLAMATRGIFSFTATLFFFLLVSSIARANDQSYLARGSSISTKHDTSCNKLKNPSKQTK >Et_6B_048459.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6944310:6944462:1 gene:Et_6B_048459 transcript:Et_6B_048459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTRRVFTQTAKQPAEVVNLIKEDMAARERAVGRPTGVFGVEESNQQIM >Et_9B_064217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12716821:12717609:1 gene:Et_9B_064217 transcript:Et_9B_064217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRAWVAAVLCARLLMVAFLAMSVELKYANHTRLDYSSWDNYYQLQSYTYAVAAAVIRMVGNALQIPVAVYLLCTSKRMPPSVLALDITMYTDIVVTAVLASGVSAGFGATNDALQIIKHGVDWTDHNQNDPRDDLIRFFNKGNVAITLLLVGMVLSVFTTVVSARLRARATNDDLADH >Et_5A_041769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2746064:2749898:1 gene:Et_5A_041769 transcript:Et_5A_041769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGSPRKRKEEEEEEDIVCLDPSFFVDRSYEVMTFTFGSHVLNLLCLRAASTPLTDVLMVCAADYDLTGQLVWPGAVLMNNYLSEHPETVKGRSVVELGSGIGITGILCSHFCKEVVLTDHNDEVLEARFKFLALAILLTAEKLEWGNHDHLSNIIKKHPDGFDILTKLVLLSLMTSMDALVLKEAEKRGMHVREVDGTRRAISNLEGVIYDITLK >Et_10A_000548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12520902:12535608:-1 gene:Et_10A_000548 transcript:Et_10A_000548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGARGNGAWEIVLVPRSASTARSDRCAKLLLMWSAAIAVPVAVFIFAGHVWGAVATAALVAAGCAFTWYYFHSAAPPPPELPEHALRVPVAPPAQTTLLPEHALRVGVVAVPVGQPPAQQAVVGLRQEDIDAIPAYEYRRKAGAAAEQCAVCINVVKEGETVRRLPACAHAFHAPCVDGWLRAHATCPMCRADVKVAADPGEATTAVEEACAVCISAVRGGETARRMPACGHAFHAPCIDRWLRARATCPVCRAEVRVVAAGRGVGGAARVTEESKCVFLSIQGGGNAASPVNDPRPESRVKLVMLWAAAIGVSLLLYVCAGYVWASAAAAVLLAAMCWFTWRFFLASAPALTTEAGLAEDGGVLSQEDVDGAIPAFEYHRKDAAATEQCAVCISAVRDGETARRMPACGHAFHAPTGGSVRARRARCAARRSRSPLPPARRRLGRRWCSTSR >Et_2A_015529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14540229:14540792:-1 gene:Et_2A_015529 transcript:Et_2A_015529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIVTSEKEKSLGHFHRNNSEITKTGPEQLQNCTSFYAGPPNDIKHIATSEKKLFTREPTNYIKHIATSEKERSPGRFDRNNTEITETGPEQPVLTRLQQNTYFLEFSGGKHPITSNTSQQVKRSDPRVVLTETTQK >Et_8B_060180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6961961:6965248:1 gene:Et_8B_060180 transcript:Et_8B_060180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVRRSSKRKVEPAGEPATAAPQPRASKRKVEAEPAGQPAAAEAHKFSGRRSPRVLRREYLSSPTDLYSSDVKPMDRGPQLTTGKKNPSILAHDDINEIAAMAPDLDSAEGSQQAFTYKMTCYKRGRLRLIPIRKSVATIEALTNAENETGKELRNDATGSGILSEINMTTCIDSTPLDHWKGVMYSNSPGSQGSSVPEIIRNGIPAGIVDLHQENCIGAQQRATEFTFISDTPGDADVVPSNFPTQYGSNATNTDKSGTSMDQDISEESFEKQYMRSDGDDDDLLPPVKGCQAINYDHNPCEGNVAPMMECKTSSVVATESVSVMPVAMINDVVPNLLPSNVTSDTGKKDHVGDTGLLDNMAAIQQPNADNLFSATFLPSFKGDLINTQSPKKNYAAEDQQGVSLGDYDSAMTEYTAESSQSIGFSGVNQLFSTYLRNSGGAEHGNRLTSPEDTEGTLSKCVAGGTDNSYCPLLQRSLVHESTITERPSESLVIESQPFLKTFPLWKHIYEMEIFKEVPQRPHFHPLKKLDPVLRESMAFGLMVFFGKTADSIRSLNIQDNSELFKEKLKGLFLLEEHGFDVRYLRSRLETLLNIKNTGCEVLDTIKKLKEKITLKETNGKQRTEQINMLETAIRQLERQANIFRCIFKYSVSQKKTDTLEVSKLKTEACDLEQSYLSVGQQYSRIVAAPWRCQGEVIKQCIESRTVMRFRMELVLETDCKGLVDLWANEAGQRSELSGILKHMKELSRSLSDIVFVFVNRSCNKAAHE >Et_9A_062429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22850671:22858850:-1 gene:Et_9A_062429 transcript:Et_9A_062429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMAGDASTSIAAAVPRSPPPPGGSSAAEAPVLIFVYFHKAIRAELERLHAAAVRLATDRAGDVAALEARCRFLFSVYRHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGENDLFVHLFSLLQQDVQNDAGIRRELASCTGAIQTSLSQHMSKEEEQVFPLLINKFSHKEQAALVWQFLCSIPVNMMAEFLPWLSGSLSPDEHQDIVDCLCKVVPEEDLLQEIVFTWIGGKTLRTAAQDFVAPTPKGSSRCQDDSNQTDKHSSSPEHSKVGKRKCTESSHSHLVTHPIDEIMHWHNAIREELGDIAEETKKIQQSGDFSDIPAFNIRLRFIADVCIFHSIAEDQVIFPAIDGDFSFVKEHAEEERRLNKFRCLIEQIQISGARSTAVDFYSELCSQADQIMQKIERHFKDEETMVLPKARINFTAEKQRELLYRSLCVMPLKLLEQIIPWFVAKLNDGEAASFLQNMQLAAPSSEIALVTLLSGWACKGRVEDTPSSGKFACLKSSLDGDEVIKCQASCPSFVGNEVGSRPAKRLGHAEPSADIDRKVYPQNVDIEASPCSSRSCCIPGLRVENSDLGVNSFATTKSLHSRPYSYFAPSFSSSLFSSEADTEFSGPDNISRPIDTIFKFHKAIRKDLEYLDVESGRLIDGDESCLRRFIGRFRLLWGLYRAHSNAEDLIVFPALESKETLHNVSHSYTLDHKQEEELFKDISTVLSDLSQLHDDLSYTLDAADKAGTSHICPNNENDWARKHNELGTKLQGMCKSIRVTLSNHVYREELELWPLFDKHFSIEDQDKIIGRIIGTTGAEVLQSMLPWVTSALSLEEQNMMLDTWKQATKNTMFDEWLNEWWNGPTIESNPSNKTSSTPEESHCQENFEQSGEMFKPGWKDIFRMNQSELEAEIRKVSRDSTLDPRRKAYLIQNLMTSRWIAAQQKSPQSSAEDCNNCTGIPGCTPSYRDADKQIFGCEHYKRNCKLVAACCNKLFTCRFCHDKVSDHTMERKATTEMMCMVCLKVQPVGPNCQSPSCNGLSMAKYYCSICKFFDDERTIYHCPFCNLCRLGNGLGTDFFHCMKCNCCLGMKLMEHKCRENLLEMNCPICCDSIFTSSAAVRGLPCGHFMHSACFQAYTCTHYTCPICCKSLGDMTVYFGMLDGLLAAEQLPEEYRDRCQDILCNDCEKKGRSRFHWLYHKCGFCGSYNTRVIKTDTVECSTSN >Et_7B_055430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10335101:10340393:-1 gene:Et_7B_055430 transcript:Et_7B_055430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKVEGEEREPEREGEAEEVEVKFRLFDGSDIGPVRCNAATTTVAALKDRVVADWPKGHNKIPVFFPVLSCGALSRHDVPAVLVAVQAPVRAPLSVPLWLKNRGTRAFRDKSIIPKTANDVKLISGGKILENDKNVAQCRAPFGDLPSNAITMHVVVQPSSAKSKPANRDASRTIHEALTAPLLASLRAAAPSQRHGASHPLPRLLLLQQQQRSAGLRAGGAAVTARSHVRLPPAHLPCAYRHHLLLLLVQQLHHHGRRQSLPAETPFAARHRGHHLKLLLLLLLLPLPLLLSLLLLLLQLLHHHGLHQRLPALATRSPSRRERRPRAGCHHLLLLLHLLLQRRRRRQHLMLLLHRHCWRHHALAGGGAPLAARRRGGGGEGLVPSGGGGGLRHGRPRARRGLRVRERQARARGLLLLALLLLLLLLLRQGRAHALRLPALVVEHRQLQQDALLAAVEALRAALRQDVEGQHLLLELGEEELRFGLHFVLELLRA >Et_3B_027968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28921906:28922906:1 gene:Et_3B_027968 transcript:Et_3B_027968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWMNYLRPDLKRGNFTDDDDELIIKLHALLGNKWSLIAGQLPGRTDNEIKNYWNTHIKRKLLNRGIDPQTHRPRPRGPRGRRTATAAAAGARTRRGAPTSTWTSTCPWARRARRPRRRTTNGRPSACATTSACAAARPAAARPRRRRRRSSFSGRWMRDSTYRRSQSDTREEKTCKKNKKESF >Et_5B_045009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8303230:8306263:-1 gene:Et_5B_045009 transcript:Et_5B_045009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTMNVATASATQLCAAGRRRKSTPQLDLRWARLLRLAVVSRVLRIVRDQLLACSSCGGGGGKGRYRRLGPPVAHGGGAAPSPVARDAGDGDETADGEDVVSLKVSLLGDCQIGKTSFMVKYVGNGEEHNGLQMTGLNLMDKTLAVRGARIAYSIWDVAGDSQFVDHIPIACKDAVAILYMFDLTSRCTLNNVIDWYERARKWNKTAIPILIGTKFDDFAQLPLEMQWAIVNEARAYARAMKATLFFSSATHNINVNKIFKFITAKLFNLPWTVERNLTIGEPIIDF >Et_8B_059729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:21084435:21086894:1 gene:Et_8B_059729 transcript:Et_8B_059729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADAFLVLEFVAGNSRIPHAVFAALLASLPPPSPRNSPRLRKALALRALDAVLHAEDTASTSLLLLLLSKAREVLSDPDIAACFPQLLPFSLSGDKVEEEVTVAAALADLKRILDHEWANLPPSTLELAADRIAGSGAPETWARADGSKRRKLRMLVGNSIEREILAKLEQDAHAFHPSVVPEVDQSANAPDTISAIGTYDVQEDGEAVPSKENNEAGCAQEAHPRHQQESIKGAIKGKVPDTPQVVDKTTTCQITGQSAPDDPEMHRVASSKCSLMERDPSASTYEWDGLGHSDDERPLGHRQLPPFERRLKPSMAVSQKTKKKWSEVQEKNLAGRG >Et_5A_041779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2783510:2787388:-1 gene:Et_5A_041779 transcript:Et_5A_041779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGSLPEGSLFLGFDSSTQSLKATVLNNELTIVASEIVNFDSELPHYKTEGGVYRDPADDGHIYSPTIMWVEALELLLEKLKPKINLSKVVSVSGSGQQHGSVYWKNGSHALLSSLDPSKSLLSQLKDAFSTMDSPIWMDSSTTKQCREIENAVGGALELAKLTGSRAYERFTGPQIRKIYETKPEIYEDTERISLVSSFMASILVGSYASIDETDGAGMNLMDINQRTWSKTVLEATAPGLDRKLGNLAPAYSVAGRVAPYFVQRFQFDKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITAEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREDVRNRCAEKSWDVFNSYLEKTPPLNGGKLGFYYKDHEILPPLPVGFHRYIIENFDDVSSDNLMEREVQEFDPPSEVRAIIEGQMLSMRGHAERFGMPNPPKRIIATGGASSNGSILKSIAQIFGCPVFTVQRPDSASLGAALRAAHGWLCDAEGNFVPISCLYKGNLEKTSLGSKLVVPAGEKEEDRELLKKYTLLMRKRMEIERRLVEKIGRA >Et_7A_050850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11649063:11655197:-1 gene:Et_7A_050850 transcript:Et_7A_050850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSPLPPELKIGSKPLLLKFNVDGDQESPTLMDPFDGGFRESSFQMTDKLRCLACFEGEWLLLFDEGTKECFMMNLASRSKISLPPLLTSVEQLYRCALSSPTPPDCTIMFTVVAPATDDDDEEDTYLLYCEPDDEEWYELHDETDGTYSSIDCYNIVGSRGTMYVNTDMDRFVAIDASSSIYKATIERRGIPNPGTMRWRCRDYLVESDGEVFLLQFYIHGFYNSEVVDMDIHCLDTSKYVWNKVESIGDRTIFIGNNWVVLSSASRAGIRPGCVHLLHKDCHDGIRLYTIQLDDRTMSCSLLPNSSGSLYWATSNETELASDGDLEQAVAPWASLPVDIVEGLISRICFTDYLNVREVCKAWSHISKPIQYAERYQTYPLLMSFCSNPAVLSNFLILSSTRNTL >Et_2B_021065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26136377:26140642:1 gene:Et_2B_021065 transcript:Et_2B_021065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKKQDTLVQKLNAPASKVPLPLPPLCPDSTFTADCRDGLQGARLVQDPCLPAPTSESSSFPSSSSPPRYGVLRRPPRPPARRGWCSPSPPTCRTSAASPEFSPQWLSGNATKNLDILAQYWQFLAQPKNPKSGEYGFSQSDMKRFGADEGLRVYKAIVQHSGFAPDFDQESADLAAGRTNVKNVTLLFDDWWGSGIVHAKVWISDKNDVYIGSANNDWKSLTQVKELGIYFTDCPQVAKTVEVYFQNLWTLSTLNSTTYTKVAWDKQWQVSRKVPCWSHFLQPRDRCRSPIPPSVDVPYVDGYPTLANPEMIDVSFETPGYNKSTLEHNLSYLSFAPPEVSFGKFQTDEQGWVDTIKSVKIGGTVRISTMDWLGQSQYATSTVFWPSLSSAITEVVFSKNATVRLLVAYWTHFIPSTEKYLKSLLYSNVLCTSSKYNNCGGKVEVKYYMVPGYNKTGPALSHGTPTGNRYPDFSRVNHGKYAVSDVRANIGTSNLIWDYFYTTAGVSFGTYNPSIVSQLQDVFDADWFSPYTVPVQPLEAS >Et_8B_060059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5502408:5505150:1 gene:Et_8B_060059 transcript:Et_8B_060059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTPQHLLLLALPFFFSAVAPQVVGAVNSNLGVGEGRNSIGIIQKDIIDTVNTHPNAGWTAAQNPYFANYTIAQFRHILGVKPTPRSVLSDVPAKTYSRSLKLPKEFDARSKWSHCSSIGTILGSLWLLLGIWCRRVPPRSFLHPFQHVNDLLACCGFMCGDGCDGGYPIMAWRYFVQNGVVTDECDPYFDQVGCKHPGCEPAYPTPVCEKKCKVQNQVWQEKKHFSVNAYRINSDPHDIMAEVYQNGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTSDAGEDYWLLANQWNRGWGDDGYFKIVRGNNECGIEEDVVAGLPSTKNTVRSYRGASGIAVF >Et_9B_065864.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15753977:15754504:1 gene:Et_9B_065864 transcript:Et_9B_065864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAAVLLLAIAAVAPAFAAGTSSPINATCAALTGQGTSRPAGYCVSVLSNDTAAAAATDARGVAVAAVNITANKAASTLSAISSLVEDLTNCRWYYRMMVESLAGVLDDYRAGRFDYASAAKAANASEVPNGCDILLFKGSAVKNPFSEENSDNAEISRLANDIAYFVASQSKS >Et_5B_044702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4890097:4891995:1 gene:Et_5B_044702 transcript:Et_5B_044702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKRLALPAPPPQQISPPVTASSAPASPYSGLHPLLIPSPNPHLLLKPKSLTLSLSSSSLASMASSSPSAPVPDAWELVTPTATAAVQVDGGDDCVIFPPRLHEGLGLEGEPEEEAAAPSGGAAKEAEDEGEEEDDDDQWLREWGWRWERCRLAARRAWAAGVGAVQEGVLVHGACGCPAVRPAVWSAAAVAVVVGALLYARRRDRKERELLVLLSKEKDKRIAQLLHQIALMSDIRSGSEAVKIMRNS >Et_4A_031815.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:17945196:17945582:-1 gene:Et_4A_031815 transcript:Et_4A_031815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVTLQWIANDSTRRATFKKRRKGLMKKASELATLCDVDACVVAYGEGESQPEVWPSVADAARILARFKAMPELDQCKKMMEMESFLRQRIDKLKEQLHKAQRENRERETTLLLHDAIAGRRPGLA >Et_2A_018157.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1490817:1491818:-1 gene:Et_2A_018157 transcript:Et_2A_018157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAAAASHGGGHHFGPHAPFLPFHFPGQHGAAFPHFAAQHHQLMAGGGSPAKQEHLDDTKNVINNAGSNGSGEAAGGVADQQQQQQQQAAVAAAAGGEDHPPPPMVMRRPRGRPAGSKNKPKPPVIIHRDSAAALRAHVLEVAAGCDVVESVALFARRRQLGVCVLSGSGAVAGVSIRHAGAVLNLSGTFEILSLNGSFLPPPAPPSATGLTVYLSGGQGQVVGGAVAGPLMASTPIVIVAACFGNVGYERLPVVDDVEEPHQLAPPHQGMAGHSSSSPPPPMPLSVHPHQQQPPLADQLQHHLLNGIQVPGGEGYGWAGPGGSGGRVAPY >Et_7B_055930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7565296:7568514:-1 gene:Et_7B_055930 transcript:Et_7B_055930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSPTSGTTASRDRFFKFPTAQLAAVPPEIAPFLGKKRGSSGGFDLGGACGLKNPEKAARKNGQRQGVQTMGCGVSSQKDAVGPRKRPGSVGDVVVFLPGLRMPRTVDFAQALGGCLDRSVVERLSSLRARVVEMAMHESAAALKPKRRTAARHGSSTANLLQALEDYLPVLLGLVKEGNTLRHKVKFIWTNQEDKAEETGMADAWYEVLSVLHLMAMVCLLQANSLLLPRSYGDGYAPRVSEESRRATVDVFLKAAGYLDCAIRQVLPQIPPELRRQLPVDLAEGNLKALSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMVKYWHQVQESIPELPVSDGWGRKHLLFVKWKYVEAKAAAYYFHGLILDEGNTEKSHGMAIAALHASEEFLKESKRVSEAFHAAPPTSRSPTPFGTAKYLLDKVPKDASSKVRINQDLYTQAKVIGTPPPLPDFALALTPDDYDLPPLDPVWSKEDNHC >Et_3B_028274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12786993:12788145:1 gene:Et_3B_028274 transcript:Et_3B_028274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAKVNLSGVEPGTAGWDEARAAVTASLVAHGCVVVAHDGLSPELLRSLFDGAMPQLFALPRETRQQNVSTKGKFRGYMGFDNWESASVDEPTEENNVHDFVTLFWPQGNPESSFRHDCPSNSRDVMLQFGRNLLKLKETVEKMVLESLGVREENIDSHLRSLSHTLRLTHYGALPDDADNSLSMRMHTDFNFSTMVVQHEVEGLEVQTKDGSWLAIRPEPGTVTFQAGEIFRVQLRLRDESTAKHALNSTCLRRNFVFFYTIQVVTNGRVPACVHRVRTPSNRERFAVVFGCWYKEGAEVSAMDELVDEDHPRMFNPCKPDEFVEFLYSEEGRKCDGDPLKAFCRVDVGSSRK >Et_6B_048516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10090630:10092881:1 gene:Et_6B_048516 transcript:Et_6B_048516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVGMLIRKLGEALLREAAAYGGSLLCKEASALKGLFGEIRRATGWLEIMMAYLQDSEKFRDTNKTTNALVKKIRGLAFRIEDVVDEFKYRLEDEKHGMKKRIQHVRVWHRLAFELRAINAELEDTAKQRNVFAMPEVERHDGGSDHVVGPINHISCFAREEDLVGVKDNAEKLKGWLLSDLEEIQTKIITVWGMGGSGKATLVDHVYKSVKEDFDAAVWVTVSKSYHVEELLKKIARGLGISGDVSNMETVRLAEVICNHLNGKRYILVLDDVWETALFCNIVFRNDNDKKCPSELQQLALNFLEKCEGLPLAIACIGRLLSCKPPTYSAWINVYQELELQSKKRLIPGVDSILIVSLEDLPYELKNCLLHCAIFLEDYEMTRKTLMKHWITAGFIKEKENKTLEEVAEGYLNELVNRSLLQVVAKKVFGRLKSCRMHDVIRHFALDMAEKECFGKVYEGSGTFSIEDTRRLTIQNANIALLRQSKATHLRSIYAYMRSIDIDMLRPILAYSNLLTTLDLQGTRIKMLPIEVFSLFNLRFLGLRDTGIEILPEALGRLQKLEVLDALGTGLLYLPRDLVKLKNLMFLYARRLLTKGTFTWYGGIKVPRGIRNLTGLHILQDVKASPETLKDIAFLTELRHFKVSDVMSEHSTNLCTAIMNMSHLVSLSITASNGSELLPLEALHLPETRLGKLETKQMPQILVMDTP >Et_6B_048964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16003051:16003586:-1 gene:Et_6B_048964 transcript:Et_6B_048964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIILALKPKSPMLIAPPSYSSRNGHCGAALSSTALPPFFFPCSSVPCMASGYFKRQTLPGESVPVLGATEFDVEIDAIENGVAERAGRRCATEVVVPKVHCHLPRVPLWRKAVAPVASADGEQMSLRRGSGTTGYPCSGMGSLLMKGRSAWPGLAVASGPC >Et_3B_031320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25822837:25823259:-1 gene:Et_3B_031320 transcript:Et_3B_031320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHHGAALLMIASFLVAASLADARFTVRRDEFGAYVVSDVAAVPALSCSQVHGVQVGETCSSVAQSAGLTQEQFLGFNPNINCLKVFVGQWVCLAATSA >Et_1A_008085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4204297:4216144:-1 gene:Et_1A_008085 transcript:Et_1A_008085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGRGSKKASAGGDDRLGALPDGVLEHVLSFRTSVLACRWRRLWMTTPSLRVADWESVEECHKFLDHLLLLRGLQGHAPIDTCDLRLERDVPYVNLCIRYAVLCHARVLRFYTDWRSGERLPLKDLPLITNTLTKLRLYGVELRERTAIFSGCPVLEDLKLTECSFMTVEITSNSLKRLNLIGCHFGYLDYRAHISTPSLVWLKIDDLAGHTPLLENMPLLMTAFIRLGHDSLDYCENNTYSWGCADKVCAGCVCAGNDTDTSVLLEGLSSATSIELITDPNVFVFRREMRWCPTFSKLKTLLLNEWCVILTTDTKQKATANGKASYHPMEQSLALKHLTKVKLTCSSVDERVHSILETLRTLGIPLDLIDVQQTDGLSKCKLTSGNCYIAFHMSTPRGFDRFVWRAAPADARSLFDGMPDERGCKKASTGGGDRLGALPDGVLEHVLSFLPSRDAVRTSVLARRWRRLWMTTPSLRVTDWDSIKKCRKFLDHLLLLRGHAPIDTCNLLFGDYSERDALYVNLWIRYAVICRVRVLRFHIDWNWHGERLPLENLPLITNSLTRLQLHGVDLWDRTTDFSGCPVLEVLNLSECCLISLEITSNSLKRLNLMDCSFSYYRRTRICTESFSIDDSYGHTPQLDSMPLLVTAFVRLGCDSHDYCANTDSWGCSDKYCDGCVCARHDNSAVLLEGLSSVTPMELITVPKVFIFRRDLRWCPKFSKLKTLVLNEWCVDTGLDALVCILQHSQVLQKLTIQLCEDTKEKAQTKANHKPMEHSLALKHLTKVEVKCPRVDETVSTILEMLSTFGVPLALIDIQQTDRLSPSDARSLFDGMPEERGSKMASAGGDDRLSVLPDGVLEHVLSFLPSRDAVCTCVLARRWRRLWMTTPSLRVTDWDSVEDCHMFMDYLLLLRGRAPIDTCNLFFGDASERDAPYVNLWIRYAVMCNVRVLRFYVEWNRDERLPLENLPLITNSLTRLKLHSVALSERTTVFSGCPVLEELKLTDCCLCTLEVTSCSLKRLSLVDCSFGYDIRTRISTPNLVWLKIVDSLGHTPLLESMPLLVTAFVRFGCESFDYCENASLGCADTKCEGCVCASNDKSAVLLEGLSSATRMELITDPKVFIFRRDLMWCPTFSKLKTLVLNQWCVDTGLDALVCILQHSPVLQKLTLQLCKGTKQKAQAKASHNPMEHSLALKHLTKVEVKCPRVDKRVCAVLEILRTFGVPLELIKIKHTDLLST >Et_3A_023519.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32275213:32275512:1 gene:Et_3A_023519 transcript:Et_3A_023519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKVSNSAAIAIAVALVAMLVVAAAADDCGVNSGQVMGSCLSYCKYGVGLQSCCNALKPGNGDCLCRNYWGKLQHSEYESCARDIQSKCRMKKCPNY >Et_10B_003141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1739221:1746424:-1 gene:Et_10B_003141 transcript:Et_10B_003141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVTDGVPATSMDDVPDDLLERILLRLDSPIFLIRAASTCKRWRGIIADDGGVFLCRARLLHPPTIVGHYHHRHLEQPPPPPSIEFVPTSPAPIDTSFFSLDFLLHLGKTYHLEVVDCHGGLILLQRLWPSFLVVCDPLTCRYRKVNIMQDQMIGEDFFLLDGEDGTISSSNFRVLLYDEPRSSAFSTADGGNRWRSVPPPKYDLETEGPASFAGRVEGSLYMGTECGTMMVLDNTTLEFNKVHLPSRVNALGLLLPSKFCVIAHCAGGDSTSPPTPRIVHVKCDELEVFRRVHGSDGEEWVLEHRNSRLPDVFGNLPGYPKNQCDWVRKVVVGGVGFVVLLMYNCPERWWPFSVCLDTMEVKAVPELIYRGTRDCFIYTLPWPPTKRLNTYAKKFIIGPPLIPRFSFYESLLYLFVCNPLTRWCRRVNVTTDKLIGHGHLFLIDGEDGTISTSNFRVFRTVPRRINGEPPSCVFSSTADGNGWRSVPSTSKNDDSRVSFAGRVDGSLYLGTVCGSLMALDNTSLELNKVHLPSRVDTLGLRTPSMFCVVAHCAGGRQRLLLATHATYRPREGGGGEWVLGSTASAGFRKRSAYHPATRGIGITNGFAKLSSAVSGSSSCCCTILGAGHSPYMKAAPELTNCGVQVRPRRRQAAGQPVHVFAQRQQGERERDQVRRRQPRHRVAGDDHAQHGDAAVELEPVAEGP >Et_4A_035384.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22458395:22458604:1 gene:Et_4A_035384 transcript:Et_4A_035384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYQVKCGTCGKSTWAGCGRHVASVHRQIPEGQHCACRGWPGVAPAGDKAVAGEGTAAEGSASSVCTIL >Et_4B_038807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:517133:519035:-1 gene:Et_4B_038807 transcript:Et_4B_038807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKRSAKKCREKFENVDKYYKRTKDGRAGRGDGKAYRFFSELEALHGGASSSSPRPPPASLAPTPVAMAPPPLFPLPADPPPVVVATTMAPPAVATPPCMAGLSFSSSSDGEDTDETGGDVDGGKRKRRGDGGGSNKMMRFFEGLMRQVMERQEEMQQRFIEAIERREQDRMIREEAWRRQEVARLAREQDALAQERAMAASRDAAVVSFIQRITGQTIPMPSSVAATAPPPVASMFSTLTTQQQQQPLKPTPVASAAPAPKPQTPPTTTAQSPQLRQPQPTETSKVVDAIVRAPAPEMDTTMGGSGGAPSPSRWPKAEVHALIQLRTELEARYQDSGPKGPLWEDISAGMRRLGYSRSAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYYHQLEALYRSKALASASTSSPHPPPPRPADTVLAAVPLSSQNPPQDVHKNNNGNGYASPAGNNCGMQTQQGTNGGNGTPAKKQQQQQEEEEDIIMKETPMAEETTPQPVSMNDSYVNDRMDAGDSDSMDDDDEDDFDDDEGDVGGGGNSNKMQYEIQFQRQQQQSHSQSSVVRPNAATAGAGSGPGPATTTGTSFLTMVHH >Et_5B_043054.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:18091128:18091502:1 gene:Et_5B_043054 transcript:Et_5B_043054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSCATVAGDDGAPGHVRLVSGGLMFPPDSDQPPPPSWVRERLIAVDHASRSYTYEMEDGNVGIAGSRNTITVIDYGGDSEEAATLVVWDFEVDAVDGANQDALLDYLRILYKSCIDAIPSSC >Et_7A_051078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14169107:14173971:-1 gene:Et_7A_051078 transcript:Et_7A_051078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWPNKLRPRSKGRAKPGAAAVSASSSPRNSTEFESPSPSPTPRSKEKHRSFDSPGAAAGRCRGAHGAVGYKLPVPVSEPEPEPLPVGTLYEDVAAAGPGDGCSSASESSVCSLVSLDEAQDQQGFSRFDMLADGYWLLGASSRPVDPAAFARGRNGPSSSDRILNEDKHVLSCSMPREHQKFFEVPASSVREHNLHSDEPSTSETSCSRGRTLCEDIFGPRTRSLSPGPKDVFALNNGNSREFGFSPKSPVRKMDGVHRILCHFLLLLLLAHRFLRLPFLLASSFISHHLLTIPITMEKGEIARERENGQFCAIKEVQVIMDDPHSKERLKQLNQEIDMLRQLSHPNIVQYYGSELTDDALSIYLEYVSGGSIHKLLREYGAFKEPVIRNYTGQILAGLAYLHGRNTVHRDIKGANILVGPNGEVKLADFGMAKHISSFAEIRSFKGSPYWMAPEVIMNSKGYNLAVDIWSLGCTIIEMATARPPWHQYEGVAAIFKIANSKDIPEIPDNFSEDGKSFLQLCLKRDPASRASAAQLMDHPFVQDHPAVKAAKSSALRNAFSSPADCMHTMSNREFPSRKIITPLKDIGLSARDFTGFSTAAPSPHTSSSPIPLRTNMSLPVSPCSSPLRQFKQSNWSCLPSPPHPTYSSGAGYNTLSYAQNQTRRSPPPAISDPWLDVGHMKLQSPYGSPKRLRKKCSHNFDRCLE >Et_5A_042919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6942151:6944115:1 gene:Et_5A_042919 transcript:Et_5A_042919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQKEATHPRVTVPAQHHTVATSQPRYRHQPGKQARGATRVAGSPCRRGEDALPVAVVSPARFVFSFSNTGRSTWAGWGSGEKKTMIDAQDDEECMKRETCAWGSENEEGNAVGKPSWILEAPLAQNPFVVVDHHLTGPTANIVGSQSVNRSSAKPPEERELAPGMTSADLPPDSMSRRDGGGRREPEAEASSSAALPVQGPCASMQRALAECHRRAARGPLQPEVLCRHLNRALAECVVTACCPDETEAVRTLCGSAGTALKRTQCQRARIDLSLCLEAHQEP >Et_2A_016703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27376451:27379201:1 gene:Et_2A_016703 transcript:Et_2A_016703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSSTRPSALEKLKSFKGIEKQRSFKFLSMEKQQSFKRNKDSPGKRGDTTLHLAARAGSVAHVQKIFADCDPELVGELASRQNQDGETALYVSAEKGHVDVVCEILNACDVHSAGIKANNSFDAFHIAAKQGHLDVMRELLQAFPALAMTTNSVNATALDTAATQGHIDIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVTSLLSKDPGVSFRTDKKGQTALHMASKGQNAEILLELLKPDVSVIHVEDNKGNRPLHVATRKGNTIVGDPISENLLFSASYSVFTIAGGVTAKEQVNPPSSAKQLKKTVSDIRHDVQSQIKQTRQTKMHFHKIKRRIQKLHLGGLNNAINSNTIVAVLIATKAKKKMVFVMNKLMWLACLCISVAFIALTYVVVGRDDEWLAWCTMVIGTVIMVATLGAMCYFIVAHRMEEKNMRKIRRTSTSHSWSISVDSDTELLNSEYKKMYAI >Et_10B_004138.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:15201121:15201693:1 gene:Et_10B_004138 transcript:Et_10B_004138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDHGIVPDVAAYNARITYKSMNGTVEEVQELIRAIREEAGLRPDVVTFNALMRAMARHGRVDDALEVYQRLEKGEEAGVVADCATYTCVVSALCGVGRWSEAEDVFYEGVKRRKLSDLGTVSQLLSGLLDAGKRRAARRVVVGLRKKFPDQFGEPWKKLAEMIGQTSGKEDGEEGEGGDEEPDSTTAA >Et_10A_000193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16524103:16524663:1 gene:Et_10A_000193 transcript:Et_10A_000193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPFLAMFTSIYLLGYFVVFRAWGPRRRPEAASCFTSLFHGTPAVLLALRAVLSRRHHIAVSGAAPNTAAEELVLDFSTAYFAVDLAHYLVFLLPGGEALLFVAHHLATLYVLATCRLAAGAGAHALLALEALAEATSAAQNAWTLAGMWVGNLWVVYFRERKESRMLKKKNKEH >Et_9A_061469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12337152:12339538:-1 gene:Et_9A_061469 transcript:Et_9A_061469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CKDSKCGHNVSDNEGKPTILPTLLVDYYAPLFFTKIANLSPEEFCVSVSFCGKVALIRLPRHEDTCTLCHEVVNEIVTDLEDPDMEFKIIEILLKGCNNTENFVQKCKRLIIQNTPVILEQIKKFLKKKDFCNSIHVCGGKTAHAGSQVLRSLSTA >Et_1A_005891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15210326:15217210:1 gene:Et_1A_005891 transcript:Et_1A_005891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLSAARPCALHLLLVILLLACASQTPAVASSGAGRAEWQVLNRTNFSSQIRLHPHVLLLVTMPWYGESRSLMAEIEQLIASDKQEVGLLKLMVVYRNSEKLLTDAIGATEGIKFLYYQRSMRFKYQGKLCARDILSSVRYIMSLKHELAPFEVLHTKEDVKAFMESTEKSVLLYESCGWFTQLAHGGSNQSDGTLSKNHTDNVDISGKTLTRDADGPLELVIEDEGLTFGGGDQLTGSPWKVGFTIANESVSEKTENTNNGNNKLCTVQKFHQFEGFYARLTALAREYFLPPEIVRFGLITERSLLLSLDVVNEVNPETWFLSIHYWGCTACSVIVKEGDDLRSLVHTHHDGNIKEIDVDETSGQVIFPASKPSAILFIDRLSHSSKIRYESKLSLKLLRQYVRNNYPLHVSSGALSTSRMRSKMPPSLIQTGISDVHSETARLNAWASKLMALGEKMSVMIVNDGESISYGSSSQGSGGNPLYDILTNLLQKTRPGHRSKKTRISLVSKDAGLKLLSDDSKIEVTESLSVQESHHERAGDSFVSSDELNDDIIEGLVDESKVVGTEYIDDGQAPSILENSPATEPDKHDSDLESNATEMDDQSRSEASDMSSDIKGVVSYDVKSSSEAGGILYKHKEERTTETLDILEPDERKLHTNQEVSGSSNEQDDGSSVLGKEFRRIEDAIYEDNTVNQDEGSEESDSKCPPYSTCSSSNSLIRADRAYSEEVTSAIPNDRFAGSFYFSDGGYRLLETLTGGSRIPSLVIIDPVQQKHYVFPQESEFSYASLQFFFDSYVNQSLPSYHRAASSAIRSKELPRPPFVNHDFHEANSIPLLTTDSFCTLVFGFRGCDRENEVLSSDTENITSGWNKDVLVLFSNSWCGFCQRAELVVRELHRSFKSFMSYSDSSFADAQDLHMKDRNEEYTMKGFPAIYMIDCTSNECRHLLKSAGMEELYPTLLLFPAENKSAIAYEGGMSVGNLIEFLESHMSNSRHLLEYKGFLWKKRAMTRHDTPQAIQFHIDDKSNSNVGYDLPSHSDIVTGSILTATEKLGTAVPFDNARVLVVLADSQEGFIGLIMNKQLSWGVFKNLDSSMEPIKQAPIFYGGPVVVQGYHLVSLSKVALEGYVQVISGVFYGNIIATSRVITRIKSGERSADDLWFFLGYSGWGYSQLFNELSDGSWHVSEKPVEHLDWPASGTDPLNLGSSSRK >Et_10B_002418.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19176916:19177587:-1 gene:Et_10B_002418 transcript:Et_10B_002418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKQDQSMANGTAARKETKVVVHYRECQRNHAASIGGYAVDGCREFMALGVEGTAEALVCAACDCHRSFHRREVAEPDGDCSSTTTSG >Et_8A_056901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18696884:18700245:-1 gene:Et_8A_056901 transcript:Et_8A_056901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLWKGSFYSHGISESRHFACFLLIDHHNVHGFACVDVYVVLGVLAQVEEDLDRATCLISDGDIASLLPSKAHGCFLKMFLGPVNLRATRKEVQLKVKEEYNSYRDRTALLFLGFPVVLLCLRSWLWNGCFPALPVQLYQAWLLFLYTTLALRENILRVNGSDIRPWWILHHYCAMLMALISLTWEIKGSPDCTRKQRGVELFLCWAIMQGFVMMLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVEGQLLLLCPLLFLLQGFEGYVGFLLLRTATTGVIPEWQVVVCGILLIAMAIGNFANTVDTLMAKSRFKAKMKKSKSKRDLGTCTSPKGSSPTDSGARA >Et_5B_045413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21576795:21581439:1 gene:Et_5B_045413 transcript:Et_5B_045413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAVKLIDIAVNFTDGMFKGMYHGKQCHAADIPTVLARAWAAGVDRIIVTGGSLKESREALEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQELLALAKEGIEKGKVVAVGECGLDYDRLHFCPADVQKKFPGGVTHSFTGTAEDRDKLLALEKMFIGINGCSLKTNENLEVLRGIPVERMMIETDSPYCEIKNTHAGIQFVKSVWPSKKKEKYEPGSTVKGRNEPCLVRQVLEVVAGCKGITDIEGLSKTLYHNTCRLFFPQDLDSSADAQLESGTNLH >Et_2B_021663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4135158:4137382:-1 gene:Et_2B_021663 transcript:Et_2B_021663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRKRLMECFGCGGGGERPEEQEAAAVPRPGRKKPELRRWCTANLRSLSLQDLSRKLSETRLHAFTLDELRAATKNFSAANKLGEGGFGPVYKGFVDGNLCPGLEPQHVAVKYLEGDGVQGHREWLAEVVYLGMLSHPHLVNLVGYCYQDDHRMLVYEYMPKGSLENHLFKSGLLASLPWSTRLKIAVGAAKGLAFLHEAETPVIYRDFKASNILLDHDYTAKLSDFGLAKEGPSGDATHVTTRRRRAREQNLVDWARPYLRRGADKLHRVMDPSLEMQYSQRAAHKAAQVAHQCLQSVPKARPTMRDVVAALEPLLALDEDVPMGPFVFTVAAEADAKPKPAAQVEAAAVTSANDDEEADLAGSRQGKRHVMSAVHAESPLSSRYATAVKRPESPPTLSRA >Et_7B_055484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11638976:11644726:1 gene:Et_7B_055484 transcript:Et_7B_055484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHWQSYTLWGHRLKSAQHSHAIGACSVHEAREEILKDHVRNRKHKKIFYFDGWNGFGASVVLRSIAQILPSAEGPPELCFDKVIYIDCSTWKSKRTMQRKIAEELKLGHKTMSIFDKQSDEDDFNGVDHSSRDVIPSVAAEIDQALRDRNFLMIFLNGSNDEVDISRFGIPEYRDYVLIWAFKKSIFSMNSASSKIAHNLKYTHVALKGDHYWVDSINALECSLFRELLRGEAADRVAQYPSMKDVDLEVVVDCWLYELFLQSDFHRTTGFDWTAHASNYWICEGIMQMNLKKEISNVLDGECLWTLRFLGLDHCTSNNTSDGEAPMSWAYLRSMKVLDLRYTSWDEILSEEKMDLMSNLTELNIEGFRGWQYTNQLQQRLPQLERLRVIKPMYPLDTSSADINNSFVDNTKLEILDLSGNTDMKNLPTSLSNASNLQMLTLDGCGELENIIPSTVPPSLRLFSFDGYGSVSRWTSTGELPPESYRPMHPPTDNKRRPKICKISLRGCMRLQYLFLRGLHNLEELDLSGSAIKLLDFGTMVMDVPRFKRLFLLGCEHLRAVRWGSDDSRRQLKLELELICIDTLPWKTLGRTWSALAQYKPDRLGVYATLADARLARSLWHLIDDYRGNVNFSIHITSMNVYCGMEATGKEMTGANDQRHIIPAGQHKDVIITDEIYGARMQQQAFPQHLFPQLNRHVEIDGGCRSMDSELEEEYGSANLASLLPEYTESLHVHDTSTSVSLPGGDWRPLRWCRVERCRNMEAVFGPAADFVFLETIWASDLLMARCILSKSFVRDYPPFHSLQQLKHLHLRSCPGLQFAIPVWVRSLPSLETLQIMRCGDLQHAFVQDGRSPEDIATISLQFPKLTTILLYDLPNLRQICEFKMMAPALESIRVRGCFGLHRLPALEGREPGVKKPAIEIEKDVWDALQWDGLAAGHCPELYQAPMHSRYYRRSHLLRGTVLRYACQHTCPVQVC >Et_7B_055756.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3572610:3573431:-1 gene:Et_7B_055756 transcript:Et_7B_055756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTEPAVRRTGALVALAAACGLVVVVLLAPPVAGSSYAAVLALYVVYLLGCAAVLLSPPAHRVVSELARRASARELWTAARVFLLKQRFFFSAASSHRGPPGGCATWKTEAAMRRTGALVALGAASVLVPAVQELHHGVLAAVYAILQLGCAAVLLSPARRAAEATSRKLHGTSARANTVLRWAGFACIVFCCVATDMPAAEKEANGLLLLFLLFMAGVWTVSLSVLLAASDPPPLPLWLPRPPPPPAAAEEDRHGGVVNGSLPAAARGAVA >Et_3A_024171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18073037:18076965:-1 gene:Et_3A_024171 transcript:Et_3A_024171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRKLLPAAAGHRQEPEPVAGGQRRQLRENHPLPGHGLQTYNCSTAVRDDKAGTGQKGQVCKGHKVGRVVRSGEVEQDFVGCHLLVRLDEDACALPVAGGEIGTECEGVVTRTGALINGCIELVTYTVERCAGHPLFTDNMGTKSCPEINTCHLGENWTRVTLKQLDLTRFRMTSLHEEDTLLLMRNRVVDMAATRSSITVTVRVHDEEVHVNNFADYAALCIQLASQGRPQQLPRLTYYMILYSTFTHTQIQFMDALSQHKQKNDKIVCVLFQTSFVNRIQTSRDGNHVDHVTNQIATHVMNIVNKMSAKHVTVSDVKNHLCVFVNALIDNPTFDSLTKDTLITRKASFRDKCVLPSLCPKMHYARKLASRVIRVSLVSKSTYGSSHHSL >Et_6A_046896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2220464:2222812:-1 gene:Et_6A_046896 transcript:Et_6A_046896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADLLRREEEFYSSLFDSAKGDGVKSRSQLIERKIEALEDMATKVSNRRSRKWLNDRLLIELVPRLHVEEIKGLFAPPPWGDEMPLSAFSQTSIGEWDAFRSIDMDAEEKLMQCMKQSPEKQKMTRVDEDEMSALNAWRRIDRQTREAIKRNFLPELLEIYEERVRTFIEDTGDKDVLVLNVQDPFQRLLLHGVCEFYNVSSTTMSTVRDGKPWKTTTIKKRVGTGAPSRITLARFLRMKKNGSQ >Et_9A_060926.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:18135118:18138215:-1 gene:Et_9A_060926 transcript:Et_9A_060926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSFYKRKFNQIKNFSVWKKFLRQISQVEARIQRLAEMRNRYGISVGELDMCNKSQRPNRLSMPESAYLTDNSEIVGNADEIRRLTQLLLEEKRDRTLIAIHGMGGVGKTTIARSIYKNQQINRSFDCHAWVAVSQTYHTEELLKEIINQLIDQRATDVTDCAAMSCLRLVEVVQSSLQDKKYLIVLDDVWDKDAWFLLNYAFARNNCGSKVLITTRRKDVSSLAVKNYAIELKTLKCAESWELFCKKAFHATKDNMCPQNLRSWAEKIVAKCQGLPLAIVTIGSILLYRELEEHEWKFFYNQLNWQLANNPELNWISNVLNMSLHDLPSYLRSCFLYCSLYPEDYTIKRKMISKLWIAEGLVDERGDGTTMEEVAEHYLMELTRRSLLQVTDRNACGRARTFVMHDLVREVTLIIAKKEKFGIAYGDSRVTQISYEARRFSIQRGAQSFHCLASSRLRSFLLFDTGIPSSFIYDILSRFRLLRVLCLRFTNIEQVPGMVTELYNLHYLDCSYTKVRQIPTSFRKLINLQVLDLRFSYVKELPLEITMLTKLRHLHVCVVHDIQDRSLNCFTSTNITGNVSCLKNLQSLHTVSANEDLVSQLENLTLLRSLAIMEVRQSYISKLWDSLRKMPNLGRLILHTCEKNEVLNLNTLKPLPNLKFLWLSGTLEEGILPPMFDELKLTQLKMEWTYLKKDPISSFSHMLNLVDLWLFRSYIGEELTFCAGWLPKLKSLQLADMEHLNRIKIEEETMPSLYLLELAGLGNLKAVPEGVKYIKTLDKMLLTDMSKEFIERLQRSDKHIVQHIPNIHSFYSSDSQAGNFRVLILPIT >Et_4B_039044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7320186:7323244:1 gene:Et_4B_039044 transcript:Et_4B_039044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRAAASQQGGECSCSHGGAVEQPRWRAGGGGGDGASCSYLPLRKRLSVDGTGRCQAAPRICIWECDGEAGDITCDIVAAPLRRSCSARAMPPPPHAPTLFRRMMTPPPPRPRPRPTVREADEAARRPGETICKGHRSYSLMLNLQLGISYSVGKSSALPFRKLLSSDFDPREKVWTRFPPEGSKLTPPHHSVDFRWKDYCPAVFRHLRKLFGVDPADYMLAICGNETLRELASPGKSGSCFFVTQDDRFMIKTVKKAEVKVLIRMLRGYYEHVCRHKSTLLTRFYGTHCIKQAGCPKVRFIIMGNFCRSEYKIHRRFDLKGSSHGRTIDKTEEKIDETTTLKDLDLDYTFHLQRFWYEELMKQIHMDCTFLETQGIMDYSLLLGVHFRNDFSVSKIGVSQYIGLPKSTGKRKSFEGGCDVCELCFMESGPKDRDFIIDSRKPLIQLGMNMPAQAERRTKKILDKFLLNERHLFITTPSGGLCDVYLFFGIIDILQDYDITKKLEHAYKSFQVNPGCISAVDPKLYSRRFQDFIRRVFIREQ >Et_1A_004906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15321734:15322288:-1 gene:Et_1A_004906 transcript:Et_1A_004906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSSMVAAHRLFAPARPTHAVDQGVELDEADVIWDSSSSSPFLSSAADPYGRSPPVAVAAPSKTKPRGGGGQGPASVPVNIPDWSKILGAEYAGSAGARGWAHDDRGDADDGAAAFGSGGGGRRWVPPHEMLQCRERAAASFSMREGAGRTLKGRDLRRVRNAIWEKTGFQD >Et_3B_029991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29653867:29663762:-1 gene:Et_3B_029991 transcript:Et_3B_029991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KNGRLKGSVVLVRKTVLNLDVTSIGATVIDNVTEFLGRGVTCQLISSTVVDPNNGNRGKVGTEASLEQWLTTLPSLTSGENKFRVTFDWEVAKMGEPGAIIVKNNHASEFFLKTITLDDVPGRGTVVFVANSWVYPQSKYRYNRVFFANDTYLPSQMPAALKPYREDELRNLRGDDQQGPYQEHDRVYRYDVYNDLGSPDTGNSDDARPTLGGSKDHPYPRRGRTGRKPNKSDPETESRLTSLMDNIYVPRDERFGHIKQSDFYGYSIKALVKGVVPAIRTYVDLSPGEFDSFQDIIRLYEGGIKLPNIPALEELRKQFPLQLIKDVLPVGGDYLSSSSPCRRSSKDKKARMTDDEFAGVNPMIIKRLTEFPPRSTLDPSKYGDHTSTITAAHIEKNLEGLTVQQALDGNRLYILDHHDHYMPFLVQINNLPGNFIYATRTLLFLRGDGTLAPVAIELSLPELKDGLTAAKSEVYTPTSTKGAESWVWDLAKAYVNVNDYCWHQGVSHWLNTHAVMEPFVIATNRQLSVTHPVHKLLQPHYRDTMNINSNARQTLINGGGIFEMTVFPRKYALEMSSFVYKDWNFNEQALPDDLIKRGMAVPDPSSPHKVRLLIEDYPYASDGLAIWHAIEQWVAEYLAIYYPNDGVLRADTELQAWWKEVREVGHADIKDAAWWPTMQTTRTPGTSRTVRPMPNPGSEEYAELERDPEKVFVRTITSQFQALVGISLLEILSSHSSDEVYLGQRDTPEWTSDAKAQEAFRRFGARLTEIEKRVVNMNADPQLKNRSAPGFPYTLLYPNTSDLKGAAAGMFGGINIIGDLTGQKHARLKGSVVLMRKSVLNLDITSVGATVMDNITEFLGRGVTCQLISSTVVDPNNGNRGKVGTEASLEQWLTTLPSLTSGENKFGVTFDWDVEKMGVPGAIIVKNNHASEFFLKTITLDHVPGHGKIVFVANSWVYPQFKYRYNRVFFSNDTYLPSQMPAALKPYRDDELRNLRGDDQQGPYQAHDRVYRYDVYNDLGEPDSGKPRPTLGGSKDHPYPRRGRTGRKSTTTDPDAERRLTMLDEDVYVPRDERFGHVKQSDFLGYSIKALVDAILPAIQTYVDLSPGEFDSFQDILKLYEGGIKLPNIPALEDLRKRFPLQLVKDLIPSFGGSGDVLLKLPMPKIIKEDRRGWMTDDEFAREILAGVNPLIIRRLTEFPPRSTLDPSKFGDHTSTITEAHIKKFLEGMTVQEALDSNRLYILDHHDHYMPFLIEINSLDDNFIYATRTLLFLRGDGTLTPVAIELSLPELRDGVTAAKSEVYTPVPGNGAEAWVWHLAKAYVNVNDYCWHQGVSHWLNTHAVMEPFVIATNRNLSVTHPVNKLLLPHYRDTMNINALARQKLISAGGIFEMTVFPRKYALEITSKVYGSWNFNEQALPDDLIKRGMAVEDPSSPYKVRLMIEDYPYASDGLAIWHAIEQWVSEYLAIYYPDDSVLQADEELQAWWKEVREVGHGDLKDEPWWPTMQTVPELVKACATIIWIASALHAAVNFGQYPYCGYHPNRPSVSRRPMPVPGTPEYEELERDPEKVFVRTITCQFQAIVGITLLEILSSHSSDEVYLGQRDTPEWTSDAKAQEAFKRFGARLTDIEKRVVAMNEDPQFKNRNGPAFPYMLLYPNTSDKKGEAAGLTAKGIPNSISI >Et_2B_021430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29668488:29674325:1 gene:Et_2B_021430 transcript:Et_2B_021430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGWRRSVRLCLWLAVAAATLTLLQAHGESDVEKITKKVFFDIQINGKPAGRIVMGLYGRGRKELASTAKLFTIREPSSPESSRMKTSSSSTPDQMAMSGLKRSAAARSVSRHATLFLWLALAAAALTLAQAKKSNAELTEVTNKVYFDIEIDGKPAGRVVMGLFGKTVPKTAENFRALCTGEKGMGKSGKPLHYKGSAFHRIIPSFMIQGGDFTLGDGRGGESIYGLKFADENFKIKHTGPGLLSMANAGKDTNGSQFFITTVTTSWLDGKHVVFGKVLSGMDVIYKVEAEGRQSGAPKSKVVIADSGELPM >Et_9B_064795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18639168:18642735:-1 gene:Et_9B_064795 transcript:Et_9B_064795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSAAPADTYDIPWVEKYRPTRVADVVGNADAVARLQVIARDGNMPNLILSGPPGTGKTTSILALAHELLGPSYREAVLELNASDDRGLDVVRNKIKMFAQKKVTLQPGRHKIVILDEADSMTSGAQQALRRTMEIYSNTTRFALACNTSSKIIEPIQSRCAIVRFSRLSDEEILGRLMIVVAAEQVPYVPEGLEAIIFTADGDMRQALNNLQATHSGFRFVNQENVFKVCDQPHPLHVKNMVKNVLDGKFDEACSGMKQLYGLGYSPTDIITTLFRVVKNYDMAEYLKLEMLKETGFAHMRICDGVGSFLQLSGLLAKYALVREAAKAP >Et_2A_015551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14970000:14975091:1 gene:Et_2A_015551 transcript:Et_2A_015551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPHLRSFRFLFSAYAAVRHRRREVKLLSLAALPSCTSTAYIPSSKNGHLLLVPSAGPCEQVLSPADFHSSSPNDGTGNKKRRRRRWRDRKERAKEEGVCVPSEEEVSISTVKSYESGDPLGRKELGQQVVRWLKKGMHLMASTFASSAIQDDSVELSLDGGSSDGHMGFVIQAQPYLSVTPMPKGHEALCLKASTHYPTLFDNFQRELRDVLLQHQNEGHITDWRSTQSWMLLKELAKSAEHRAAARKIKTPVMHGTLGISLDKTRLMETKIDNFVKKMTELLHIERDAELEFTQEELNATPFMDAKLRNPVQPVDYLVTHGQADEHCDTICNLKVISSSTGLTGEHLVLFRVEGSHKLPPSRLSPGDMVCVRTCNSLGEVATSCMQGFVNNLGDDGCSITVALKSRRGDPTFSKFFGKSVRIDRIQALADAVTYERNCEALMLLQKKGLQKSNVSIGIVATLFGDKEDVAGLEQNNLIDLSESDFNDDGLLGCKYNLDSSQSNALALALNKKRPVLVIQGPPGTGKTGLLSYLIECVVRRGERVLVTAPSNAAVDNIVEKLASTGMNSVRVGNPSRISPSVASRSLGDIVTRRLQKITEEFERKKSDLRKDLKHCIHDDSLASGIRQLLKKVGKDYKKKEKEMIKEVLSNAEVILSTNIGAADPLIRKIGCFDLVIIDEAGQAIEPSCWIPMLQGKRCILAGDQNQLAPVVLSRDAMDGGLGMSLLERASSLHDGKLVTKLTMQYRMHESIASWASNQMYGGLLESSPSVSSRLLVDHPSIKATWMTKCAFLLLDTRMPYGSLNIDCEESLDPEGTGSFYNNGEADIVAHHVLDLVKSGVPPTSIAVQSPYVAQVQLLRDRLGEYPLAYGVEVSTIDSFQGREADAVVISMVRSNSLGAVGFLGDSRRMNVAITRARSHVAVVCDSSTICKNAFLARLLLHIRQHGQVRHVEPSCLDGDSGLGLNPPSLP >Et_5B_044330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22807025:22811419:1 gene:Et_5B_044330 transcript:Et_5B_044330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAHSLIHAPATPKSLLTPTPLSSTSPFFRLPARPRLPLRLRSTSSSASSTADLTATRRTKLVCTVGPATCGPAELEALAVAGMNVARVNMCHGDREWHRNVIRAVRRLNEEKGFAVAVMMDTEGSEIHMGDLGGASSAKAEDGEIWTFSVRSFESLLPERTVNVNYEGFAEDVRVGDELLVDGGMARFEVIAKLGPDVKCRCTDPGLLLPRANLTFWRDGSVVREKNAMLPTISSKDWLDIDFGIAEGVDFIAVSFVKSAEVINHLKSYIASRSRGSDMAVIAKIESIDSLTNLEEIIRASDGAMVARGDLGAQVPLEQVPAIQQKIVKLCRQLNKPVIVASQLLESMIEYPIPTRAEVADVSEAVRQGADALMLSGESAMGRYPEKALSVLSSISLRIEKWWREEKLNEALELQNVSSSFSDKISEEICNSAAKMANSLGVDAVFVFTKTGHMASLLSRCRPDCPVFAFTTSTSVRRRLNLQWGLIPFRLSFSDDMESNLNRTFSLLKARGMIKSGDLVIALSDMLQSIQVMNVP >Et_4B_038767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4770038:4771382:-1 gene:Et_4B_038767 transcript:Et_4B_038767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKKIELSSKCRPERSYMLFPSYTAIEFRAHPPSSNLTDQKLSSQQKQVSSKEEKGLELLPER >Et_9B_064288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13617236:13623640:1 gene:Et_9B_064288 transcript:Et_9B_064288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQASSDLFRFGRQKSYESPGLKESGKFEDICSDCPVLRVQLAALGATTPSCVYGKRLHVGAQADLSHGLLSVGGEPLTEALTAIEMGTIVHHTRTGGLDVPVFDRDGTRYDFKCVQAEDMGFYRLVAASESEFERFMVDNNVVCDSTELAKEQRRFMEVWAFRSPALRNGRKPVDGHHRDGALGMVILFSDPGTEGLGDDNRSILHLLRHCLKFHCSD >Et_8B_059376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17255311:17261886:1 gene:Et_8B_059376 transcript:Et_8B_059376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDIIDLSSDSDADIDLEEPTGGVDQQPGPSTARQNGEGHPIGFQDEDWLTNTPSSSSSRPAENSNDQYRNLPPSFTNGRFAKSSRDAFGLGERMQPHSSSYMGEQHKNFKTDADENNKRVLPSYLSKNGNTAKSVHPNAANETRKFPPHFAHRNSESLVEKRMTTDITNGNLHPSSSRMARQNLYANNTQKEGDDDDVMIYGASSSNRVLPSSFGANNSNSETVNGIDAQGRLNPENRFLDSDERAVYQEALLNISREKGEDNVAEGVLTVPLLRHQRMALAWMVSKENSSHCAGGILADDQGLGKTVSTIALIQKQRIEQSKFMSVDSDHLKSEALNLDEDDEGEQTVNDEPKKDLGASSSVTASGTCSVEPCLGQPNGQPNNAPDNMAGSKVDRKKKAKACTPSASTMRSMTRPAAGTLVVCPASVMKQWANELADKVSESAKLSVLVYHGGSRTKDPSELAKYDVVVTTYTIVTNEVPKQNADDDADQKNGEETSAGNKRKPASNAQSKGKKKKKKLKASDIDLDSGPIARVRWFRVVLDEAQTIKNFRTQVAKACCGLRAKKRWCLSGTPIQNAIDDLYSYFRFLKYDPYSTYSSFCTMIKHPIARNAIHGYKKLQTVLKIVLLRRTKETLINGEPIINLPPKTINLEKVDFSQEERSFYLTLEERSRQQFKVYAAAGTLKQNYANILLMLLRLRQACDHPLLVKGNESEHGGDGSIEMAKQLPKEVVIDLLAKLELGSAICTMCTDPPEDAIVTICGHVFCYQCVHERITTDENMCPAPNCNKTLSLESLFSSGALRICISGKSAKASCSSADDESSSISQSSFISSKIQAAIDILNKIINTDALTDSDIMKSNRSRVAPVKAIVFSQWTGMLDLLELSLNTNLIQYRRLDGTMSLNLRDKAVKDFNNDPEVRVMIMSLKAGNLGLNMVAACHVILLDLWWNPYAEDQAVDRAHRIGQTRAVTVSRLTIKDTVEDRILALQEEKRAMVNSAFGEDKSGGHATRLTMEDLRYLFRI >Et_5B_044263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22000607:22003918:-1 gene:Et_5B_044263 transcript:Et_5B_044263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKQGRLIALLAVAVAVACLLPSASAATAVEYCKKGKNYPVKVSGVEIVPDPVAPGQPATFKVIASTDKPIKEGKLVIDVKYWVIFPIPVHSETHDICEETTCPATGDFVIAHSQTLPSYTPPGSYTITMTVKGANDEELSCISFGFSIGFVASS >Et_6B_049717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9394128:9395930:1 gene:Et_6B_049717 transcript:Et_6B_049717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDGFTGAFHHELPWPLAHASAAQFDALQVQVPHAFVSNGAVAGWEHDAAVSSLASSPASTAVGGGGAAGEAALMEQLASRLGVSVPSPSRYASCYSTPVGSPSKPVAPAMLAADAARLSCFAGSGGKLSRVASSQSLLGSPAPSPSPGGAGNLHGSDGSSSDGPSRKRKAPASGKSKAKDAVATATGKSPEAERRSKKSKLSADAGAEDDDDLTPAGGDGKGKEVVVAEAPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDIVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPRLEVDVDSLVQKDANQPCVPAASSLPPPVYSLEGSSSAFCYASSPAVQSAVTTAKSFETPSSFVSHGIPDHSLQGFQNGNPQIGSLWEDDLQSLVQMGFRTNT >Et_4A_034968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8361137:8362035:-1 gene:Et_4A_034968 transcript:Et_4A_034968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLCGVSRGLLLVALALLPAASMGMDSIGSYCAGTSYAGNNKAVASINYVLADLVATASTGGFATSSAGKGDKAIYGLAQCRRDVSAGDCAACLADAAKQLPSACSYSADARIWYDYCFVRYENANFIGQTDTDAGVILVNTQAMDNAKAFEKAVGKTVGKATAQASAAGNAGLGRDKEQYTPFVSLYALAQCTQDLAPLACAQCLSTAVSQFGQYCGAQQGCQINYSSCRVRYEIYPFYFPLAGAGGGRATTDMTKYNKIVVHP >Et_2B_020005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16003667:16004296:-1 gene:Et_2B_020005 transcript:Et_2B_020005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVCGVWLERKQALPIQGGVDGGRGTASHARQPRVVDRRAGSAGASYLRAIKKETIRLHAPGPLLLPRESMQATRIGGQ >Et_9A_062630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2539216:2545590:-1 gene:Et_9A_062630 transcript:Et_9A_062630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLILPFKAGDLAESKTFQEGYRGAWFRCKINAMRVTESGHYECYLEYIDYPGEDQEWVRLFQKNPPCSKQQSREQIMIRPSFPQWHWKDQVPEQLPDNDIIATVDETWKIGDLVDWFSDGCYWSGKITKLINEEMCEVELLKPPIGEGKCYAANRNNLRPTLNWCLSGGWTVPLAQEKGKSWHVARVIHRKSAESDTSDSSEDKSDDGNDGGDDGDNQDVQLPVSPSEPSQEASACPIPNPSAARSMSSLNARKDAILTAMPDLRPSSSSNPPALRQGAQRAVTSNKPGARIVEHEPVLGNSERSSSPPEREAGDVRDQDLQKMDKVKARVRKLVASLRVSEDASVKAA >Et_2A_016041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20463784:20466280:1 gene:Et_2A_016041 transcript:Et_2A_016041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSDHGSLMEDWMRPPTPSPRTLMSSFLNDDFSSGPFSNLFCDPGIKQPLDRSEKSRQPVNSSEEVLAQAAKDTFQKDFPLEPNFFSAMQKSNSPSGLAERRAARAGFSVPKIDTSRVGSSTVIRSPVSIPPGLSPASLLESPVFLYNKTAQPSPTTGKLPFLMATDCNSIMPPAAKTTDDCTFDNDVFSFQPHLGSKESNFSTAEKDYSAYQRNQSLSIHQQESNLQSNFIAVKDNTDERIIGQSDSMFDANHYSAREHVDGETNQYLQGEGVEARTAACLPVSMHGDASIMESQDAVDVSSTLSNEEDERVTHGTVSAEGDGDDDETESKRRKLDSLGTPPIATAATTSAIDMGAAASRAVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCSVRKHVERASQDLKSVITTYEGKHNHEVPAARNSGQAGSSSGSATSAALGSSHRRQEPGQGNFSHFGGTAPFGSLVLPPRGHLGPAAGNFHFGMVPPGMGMPMPHLGSLAPTKMIQSSSGMQGYPGPMMPGELKVEPVSQASFPPGNAASSAYQQMMSRPSFGPQM >Et_10B_003556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3065439:3070182:-1 gene:Et_10B_003556 transcript:Et_10B_003556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARDAALLTAPARGRGDVAVPCHASAVAGRQRHRPLGPCFFDPPPPLRLPPCRPCHASAPLASTAASSRVLPLVLLPHPLESGRAPTCLSPAGSRSRLPFLYPAGGTGGWAFFVIESTRGQKNESFLSAAGGSAVLSVFLDYGIRGDSLGIANVVETRSVEDILGSQTFGGVSTLRKDMSWLSKIFKGSENRVSRGHYDGSWHDDHSSDHTKDAYDESDNEDIDRAIALSLAEAEEDHNKGKAIDTDYNLEEDEQLARALQESLNAESPPRQNVPVQNIASDNFPATEPPPNVFPSSGFRTCAGCKYPIGHGRFLSCMGSVWHPQCFRCFACNKPISEHEFAMHEDQPYHRSCYKDFFHPKCDVCHNFIPTNRDGLIEYRAHPFWMQKYCPSHEDDGTPRCCSCERMEPTDIKYITLDDGRKLCLECLNTSIMDTPECQHLYMDIQEFFEGLNMKVEQQVPLLLVERQALNEAMEAEKNGHHLPETRGLCLSEEQIVRTILRRPSIGPGNRIIDMITGPYKLSRRLQTGSILAHEMMHAYLRLKGYRNLSPEVEEGICQVLSHMWLESEIITGSSDNVASSSAASSSSSSSYSTPTSSKKGAKTEVEKKLCAFIKRQIETDPSEAYGDGFRAGIQAVERYGLRRTLDHIKMTGSFPY >Et_4A_033324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21420421:21425276:-1 gene:Et_4A_033324 transcript:Et_4A_033324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGVGAAGEITAAAYPGGGIAGAGAGSATATPAASPYQVTRTRAFPSPSVVSQRIPFPFSFASSHALGARVLADFEIEEQMYSPAFGNIAVQDSRGCCSGFTASVTKVLFILHLFAFVALAIFLGVEASAHQNPAYKPFSNFIPLVSSVIVSTIAACFWVILAVSSAPKAIKTSLWAAPVFALACAVVILLVGNGAALGIGVLVVVFAVVASLYSCWATGPRLRHASELLSTSVIRAYLPPSTSCLVIIVLIATFGYMAFWTVAISSIAAAEGHFMNYQLAYVAALLVSMAWTMQVLRYFVYVTVAKLAHTQLIYGLRMPGGAVEAFCGTTMGPAFGDICMGAMAVPVNSAVRGFARAMKTATAGNDEFLFSGRGCCLSVSEKMLGRANRWGFVHVGARGKAFCVASRDVWSLFVLRGVAKLVDSDLTGSFCFLSSVATGALASLVAGSWALAMDKEQKELVLPVAIYAFLIGYYMCRMMIAWPQACVAAYHVAYAENPQNPQLGTLIPDHLREERNAVANMGGCSRQALWFHLRSCSLDRSIGYAPRARLSFELSCEVGTATGVRLRRAMSRRKRRLTVRRTAWAEG >Et_4A_034668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5278430:5286437:-1 gene:Et_4A_034668 transcript:Et_4A_034668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVPNGLLPNASAGVARLDPERWAVAEGRTAELIALIQPNEHSEGLRLAVFQYVRRLIMSSLACQVFTFGSVPLRTYLPDGDIDVTAFSNNEELKDIWADVVGDALEREEKNENSEFRVKEVQYIQAEVKIIKCLVENIPVDISFNQVGGLCTLCFLEKVDNLINRNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHIYNNSCTGPLEVLFRFLEFFSNFDWEKFCLSLAGPVPISSLPDMTAEPPRMDSDELLLSKAFQDFCSSAFGVMPRTQERQGQPFVSKHFNVVDPLRTNNNLGRSVNKGNFFRIRSAFAFGAKRLGKLLECPKEDLITELNQFFRSTWIRYGSGSRPDVMTPSLADLRPLQVVPAVVANSHRSLATIKRKTENPKLRANEDNFAEVGQSYHDPSSQAPHRSDLHTNAPGTRPHMAHYIHDPTFAANIEDGNDRGKPNSSDQNYDTGNNLHEYSVGFSGHFRSEGRDPSTYSIDSKEHSSLLNEVTEVDDGPRNGGLPPIVNEASEIVTGFNSLSMLSNTSQVPNDFDSSQMSMPNPMFAPFLIGSPHLRQPDNSGLAFYATTSSPVPFVIFPFVPGNNDGSVPQFERSERTDQHTANITGQNFSSFNDGNQTDTRATSASSCDIMAEPPADEHKPDILNSDLIGHWHNLQYVRLCQNAPPMGPVLYPFAVPPMYLQGHASWDGPGRPVAPNVNWRRPVDWPPVMPAQPATERPTGVPQHYGEDAPRYRGHGGTGMYLPNPKVPFRDRNSSSRNYRGGYSSDRSDHSDKEGSWINSKQLNPNRSYGRSQSERSGMRSDRHATEENQSDRQRRTFRNDSYRHEAGAQYPGQGQSFGSTNSIRKPANVTHGVYTPSTASNGAGALSGPPGPPFFMVYSYEPGVNHGASSAEPIEFGSLGPLPTADGDDIPRPTRQIMPNGFYGQKPGPYRGGSSHSSPDQPSSPQPRGLYISTVNFVSSPGAPSAL >Et_7B_054072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1559604:1564557:1 gene:Et_7B_054072 transcript:Et_7B_054072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTPWPAGHADLKSSSAIHDVDGRPQHRTTPLPPACRQLYDSLLKIETLSINIACKAFDRMKKNFPASCCSLARILLKDSTNGSGTAGRNAEQDSAYITGHGASMMCTDGVKHTSAFSFFNTKDHCQIIQHCQLRRFPFCVKHGFLSDVGLGYIAEFSKCIHYVLLCNVGQSDLGLLQLSQGCLILQKLELRDQEQILTVYLVLLSHERVKQRLSNRLQNQEARQCRAYLSSVRRKWLGSINADEHCYTSDWLLRLHRPTLRLLIVWAKACMHAVGQLSRTRQTYAEQFWCGCYRPFPEMMSSKQDGVADSQFIRDKMATSHSIQGKIGAAAGSHLPDRTFRVQA >Et_6A_045811.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:14504619:14505431:-1 gene:Et_6A_045811 transcript:Et_6A_045811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EKSACLKDDRLKIRCDVTVLEEQRLIRRAVAPPSGRLNADLGLLLATTEGADVVIQVKRKNFSVHRCILAARSSVFKADFFGPEKKNSTGNIRIDDISLEAFEVLLHYIYTDTLPMMKVEELAAMAKDLLPAANRYGVKGLKTIVENALCTGIDVVTALPMLVLAEQHQWDKLKEACLGFIACQNNHIAVMGTDGVEDLMKSYPSVFHKVLTQILVAREATPRWHLTRGKMLFYTMVCVAILSLDSVGILKLTSLGLMVLLLLVLHHTRG >Et_10B_002959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14746781:14751076:1 gene:Et_10B_002959 transcript:Et_10B_002959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAAEAPAATAPPPPTLLSLCLDAVAASLTSDSAGRTGWPGGCSNDGLVGFADEGGGEEEEHLSPEQVAEALPWELLHQLAARLPPVALESLHHAAQDRCYSSADTNAGLGGPYGNKQGMKRSRCEDFNTAWQALFKLRWHVDSDAGHDTLATVDWQQQYWEKHLQECLDAAAESALLPSFFGSINELTIPGKIKNFIYNSEGVSLQQSRLSYQCSRFGCYARCQHVTDLFRHCKVEKLMFIRIISETEVNGVCLLLSCHAETLMSLEFIHCQLYPVVMDKIFKSLCQNGSRNHRIQCFSIVSSRICESNPRAHSAGLLNFLSSGKSLRLLSLNDTKMQPTFAKMIFRTLLESSCSLQTLEISENYISGWLSAIDKNLISLALESDTPMNSLSVLNLRGNNLHKDDIEDLCKILVKIPKLRELDISGNPIMDDGIRSLIPFVSWATEKENSLLRLRLENCDLSSIGVSKFLECLTSAKQPLDTLSIADNPLGSSVAGALAKFLGSRVRDINVEDVGLGPLGFQTLEEALPMNIALSHINISKNRGGIKAAYFISRLILQAQNLVSVNGAANLLPPESLEVICNSLKQRTCTLERVDLTGNMHLSGAIFPALLEFKKHGKPILVVPSQLSTSVPYDDDP >Et_7A_052424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7883018:7886030:-1 gene:Et_7A_052424 transcript:Et_7A_052424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIKGLVKVAIDAVEGAGRDRGDGDDEAPRRRAPNRDAADEDEDRDERARSSWAEVVSGEQDNDCQDHRGSGRGNGRHQRREDDGWERADGRRQQEQHHSTASAWQNQMRIHIFRFLWNYPADVAALWYEGEDRRAGGSRRPQQQQQQQQQQAPAYRRQQQEGERTGDGDWQTVGEKKHHGRPQQSEAWNGYRRPPSEQEYSEDVGQIHHGLNVEPTREELNSLSKACNKLWELDMNRLVPGKHYRIECGDGKKVYQKGDMASDNLFSWLGDDVLRKPTYSRFCALLDNYNPHQGYKEVVTEQDKHEEVAFIEEIARTAPIKYLHRYLVTKGVASQDYNDFKRMLTSLWFDLYGRGGSSSSSSAFEHVFVGEIKGRGQGESEVSGFHNWIQASSCNFYLEEAKGNVDYQGYIFPRRRGESPDSETQLLTVQFEWHGVLKSVSSTLIGVSPEFEVALYTLCFFVGGEENRVDIGPYSVNIKCYRMGNNKIGSAFPIAEN >Et_6A_046826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21012141:21016725:1 gene:Et_6A_046826 transcript:Et_6A_046826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMARALAVVALLCAAATMATAQQASNVRATYHLYNPAQNGWDLNRVSAYCATWDANKPLSWRQKYGWTAFCGPAGPKGQAACGKCIRVTNRATGASTIARIVDQCSNGGLDLDNETVFKKIDTNGQGYQMGHLNVNYQITGARVLTVALLLCAVATMAAAQQASNVRATYHLYNPEQNGWDLNRVSAYCATWDANKPLWWRKKYGWTAFCGPAGPKGQASCGKCIKVTNRATGASIVARIVDQCSNGGLDLDYETVFKKIDTNGQGFQKGHLNVNYQYVSC >Et_2B_021169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27060275:27067434:-1 gene:Et_2B_021169 transcript:Et_2B_021169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IQELPIVSQLDENTYCPRESGITKQLIEQQINRVMTADEAVKNKKLFMLDYHDIFLPYVHKVRRQEGTTLYGSRTLFFLTEHGTLKPIAIELTRPKSPTGKLPWSHVFTPASDGTVTGSWLWQLAKTHVLAHDTGYHQLISHWLRTHCCVEPYIIAAHRQLSQMHPIYRLLHPHFRYTMEINALARGMLINADGIIESNFWPRKYCMEISSAVYDKVWRFDMEALPNDLIQRGMAVEGKGGKLELTIEDYPYANDGLLVWDAIKEWASDYVNHYYSCAADIVDDEELQGWWEEVRTKGHEDKKDEPWWPELDSEESLVQVLTTIMWITSGHHAAVNFGQYPYAGYLPNRPTIAPHTQSHGPSSLTSTVPSGRWVSPVPRRRQSRRTVSMISCRSSSAAEGNGEASVLTVGRPEPARVKQQETTVQMKAAVTVHMKSEPGLFSKLAELVTKSWLSIELVSTELNPETGLEWGTASRKATYLGGSNDHYTFEATFNVPASFGTVGAVRLDNDYKQEMFIKDIMVFPVGDESRAVKFYGESWVIDNERNGNDDRRTFFPLKVRN >Et_1A_006385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21753447:21754354:-1 gene:Et_1A_006385 transcript:Et_1A_006385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRASSGCPPRTIPVYAKDLVETLRACCQRTASTSPGHIRFAAPISLFSHKLLYSAKLRTLTEWDNCNDAHNLRTQTLKQQYEVVKERMSAPAGHRQPWLPSPNPANDNATFGRDNSLTRWQSKPARRRPRPVTGVLLAEERNLTLDALLRAIPEVCERCDRTGLPKIKGAFRDETISMAAPLLCRWYCHG >Et_8B_059928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4121229:4128058:1 gene:Et_8B_059928 transcript:Et_8B_059928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASRAPVSLHHRIVAGGQRRRCITGKHGRAARRHFTQALESMWRRSPQPPAPPAAAAARTEDKPRWQPPPLENAAFEEYYKMQGIVSEEEWDAFMSMLRTPLPATFRINASRQCFKDIRSKLESDFRRSLESEVSNEYKEDAIRPLAWYPDNLAWHLNFSRKDLRKNQALESVHEFLKHESEVGNITRQEAVSMVPPLFLNVKPDHHILDMCAAPGSKTFQLLEMIHQSVEQGPLPGALVIANDINVERCDILVRNTKRICTANLVVTNHEAQNFPDCSEAFSRTHKPQRLEFDRVLCDVPCCGDGTIRKGQNVWRKWNSGMGNELHRLQVDIAMRGLALTKMGGRMVYSTCSMNPVENEAVVAELLRRSGNSIELLDVSNELPGLVRRPGLMTWKVQDRGSWFESYEDLPDNRKNVILQSMFPSSTQESHTVCGKVEIDIENYGSSLKNHNIGEINNTNCDMAKSLGSNSNITDSNFPLHRCMRIVPHDQNSGAFFIAVFQKVAPLNDRQIVDMATKLKHTPVADSIMQLQKELEPEIRPSENSMVHQRLIEVADHVELLESDQAESGDVMQKSQNQSRWKGVDPVLFLEDEGVIESLVSFFGIKESFPFRGHLVTRSIQANDARRIYYIAKSVQEILQLNLEVGEQLKIASLGLRMTIKFAHFVDAKLVEEAAVLTPGCCVVVLHDEGHSLSVDPSTTAMVCWRGKGTMNVMVSPSHRKDLLERMEYLFGLSAAKVEKLEVMSQD >Et_3A_023081.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:25561806:25562060:-1 gene:Et_3A_023081 transcript:Et_3A_023081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELDPRSLSLGHCLLISTLRLCAIWSSMKILNYRTVQIPLVFSCAAISLVCLMNILMISLLCVTYNLLNYLFLANFICQHSFP >Et_10A_001227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22375613:22376105:1 gene:Et_10A_001227 transcript:Et_10A_001227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLRPLRFLMISGCLALRTLCLWLGKFCCLQMLHIVFCPRLSSLTSPPSKPWESHIVMLSFMLTSIQRLETSGRHGQLPDWLRKNLCSLRTLDIQGMSRLSSLPQSLGNLTSLQELKITLYCLAFRQLSGCLGELCSTQIRD >Et_2B_020949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25045487:25050514:1 gene:Et_2B_020949 transcript:Et_2B_020949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRWALARRVAALSAGGALQPQRLLASSSTSSGAGAFLGRQQRHLPHASQIRTEVVACRGAAFVSSRWLHDAQYQDRQDGDSRPEERQDPFELVADELSLLANRLRSMVAAEVPKLASAAEYFFKVGAEGKRFRPTVLLLMASALKFPMSEQTEGGVLSILADKLRTRQQNIAEITEMIHVASLLHDDVLDDADTRRGVRSLNLIMGNKLSVLAGDFLLSRACVALAALGNTEVVSLMATAVEHLVTGETMQISTSREQRRSMEYYLQKTYYKTASLISNSCKAVAILAGHTADVSLLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPMLYAMEEFPELHDVVDRGFENDANIELALDYLRKSRGIERTKELAREHANRAIKAIEALPDSDDEDVLTSRRALIDITERNLSLAQAIASSTAAAPTLSMSPSSSISSGARSLTSITTSSILRGVLANGLVKSDDDVADGGE >Et_3A_023175.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:4301484:4301831:-1 gene:Et_3A_023175 transcript:Et_3A_023175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLRSRSGLPLESPCWRRQPHREHGNLAGLRRRRPSTETVLPCSAIGSYGNTGMKWSSEEKRRRFPICSPHAARRPSFGDVACHATMMKLLVPGVVCFRPCRTFIFVGGVKSL >Et_3B_028360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1443341:1445960:-1 gene:Et_3B_028360 transcript:Et_3B_028360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLRRLPLLPLPLGPAPRRLLATAASATPLPWPGLHAWRRAPPSDLRTWGPDGPCSSDADESAPHEADAGSSLAEMGALVLSTADPLSKARLTHAAFSRWAAGLPVGQATAPDHPARPDKPVVVTQKEITTHKEMGVPLNAYMLHNLAHVELNAIDLAWDTVVRFSPLRDTLGDGFFADFARVADDESRHFRWYSQRLAELGFSYGDMPVHNLLWRECAKSSSDVSARMAVIPMVQEARGLDAGPRLVQRLFGYGDHRSADIVAKVAEEELAHVSVGLYWFLKVCQIMGRVPGDTFKDLVKEYGVVLKGPFNYPARDKAGIPRDWYDDKFKDEDAKKLSEAGCVVTVIAGQVAP >Et_6A_046711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19712940:19716430:-1 gene:Et_6A_046711 transcript:Et_6A_046711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVWDHPYAADAADDDPCPLCGGPGPTPAPASRVSLAKRRLFPSDTSTAVTARVGAGDESALLRESLARQRRAVAALQAELEAERAAAADAASEAMSMILRLQRDKSEAMMEARQYRRFAEERFAHDAAERDALRAAVARRDARVGALSARLRECQARLLHLGFPSPSAHPAAGAVVSLPSSPTFAGGRAALLDRPFAADKDDEGEGEAEDHYHSDSVPRLLDHPTPDVGTPRTHHLLNRMPDPHPSDDAPLPLDRCSPRHARTLSYDSLSYDCCDNSIALLADEYPLYVATTDRTAPLDQDDDNDRVYTVDAVHGVPVAAPWAKDDSEAEGVEIQKLKARLQALEADRESMRHAIMSMGDEKAQVVLLREITQQLCKEGAGFPAVRMKAQPWPQPVVAEQRKVMKRHPSFVKVFIVTVIKWFMSIFCWQKKSNSIKYPIGLCGSNVGLMLVLDRYPKQRRRKFIKRS >Et_10B_003428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19728585:19733825:-1 gene:Et_10B_003428 transcript:Et_10B_003428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSTRRTEKRKRDGRRHSNVYEYIILPGATASTSRKGRTSRSGSNFSMRPVKPLGRSLHATGDGRPADCIYRACILPNSTHRDGSIYSVAGGWHQDYRISDTTETQLEPMILSNPPRCYPDQESCILHIPRPMMQIFSLKLTTVSEHTSGPVLLYGYIATRDTRDELRNYGSLIEMIGPKRCIGMQGAVLIEYDMKIKKGEQEDDLQLIDGVSDFDELTTPSYTRIDGPGGAVDITLAMFHEAVEATIEVDTSQVNGSGFSLLLNCFVSGQEEGIQLFHGGISRLPGRFVVAAVLGTWVHLKFKFGDERRGRTDGFERCASFKAKMHGCASGEIFRNKGFLGPVP >Et_1A_004596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21995600:21995919:-1 gene:Et_1A_004596 transcript:Et_1A_004596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METATNYGSWPRQRPRRRGDQNKKSRLDDDARPGLHWRAIPVRGREQDSKRAYLCSLLFHQAQKFKENCRSVAT >Et_9A_063140.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:11647778:11648341:-1 gene:Et_9A_063140 transcript:Et_9A_063140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPLVVSPPPPASSSSQKKQRDDDGGGGHRQRRLDALRLRRVFDMFDRDGDGVITPAELCKALACLGVDMAAPAALDNVVVAYVAPGMAGLRFADFEALHADLAAGDDGPGKEEEEMREAFRVFDENGDGYISAAELQAVLTRMGMPEAGSLARVRDMIAAADRDSDGRIDFDEFKAMMAGGADV >Et_1B_011557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23664848:23667250:1 gene:Et_1B_011557 transcript:Et_1B_011557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYEAIKELGAGNFGVARLVRDKRTKELVAVKYIERGKKIDENVQREIINHRSLRHPNIVRFNELISGVSYCHSMEICHRDLKLENTLLDGSPTPRVKICDFGYSKSALLHSKPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGSYPFEDPEDPRNFRKTISRILGVQYSIPDYVRVSSDCRRLLSQIFVADPSKRITIPEIKQHPWFLKNLPREISEREKANFKDTEVVAPTQPIDEIMRIVQEAKTPGDMSKVVDPALLAEMAELESEEDDGEAGDTDTY >Et_2A_016907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29283946:29284388:-1 gene:Et_2A_016907 transcript:Et_2A_016907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVATLYNKDVTTFSSPGSVSYAHCVSAFTDASFAQPYRLASPNGFQKIESRNLIISRKLLKFCIWIMDHGKELWGKGVKERGLSH >Et_2B_022146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9746178:9756300:1 gene:Et_2B_022146 transcript:Et_2B_022146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKLKTRGSYTGLDRIDRSHGCLQGHRSANLFRPAAVAISVEQDEVNTYLPKGDMWSVHKFGGTCMGTPQRIQSVADIVLGDSSERKLIIVSAMSKVTDMMYNLVHKAQSRDDSYTIALEEVYEKHMAAAKDLLDGEDLARFLAQLHSDVSNLRAMLRAIFIAGHATESFSDFVVGHGELWSAQMLSYAIKKSGAPCSWMDTREVLVVKPSGSNQVDPDYVESEKRLQKWFSREPDEIIVATGFIASTAENIPTTLKRDGSDFSAAIIGSLVRARQVTIWTDVDGVFSADPRKVCEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKDNISIVIRNMFNISAPGTMICKQPANVNADLDACVKAFATIDNLALVNVEGTGMAGVPGTSSAIFSAVRDVGANVIMISQASSEHSVCFAVPEKEVAAVSAALHVKFREALAAGRLSKIEVINGCSILAAVGLRMASTPGVSAILFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIIGPGLIGGTLLNQLRDQAAVLKENLNVDLRVMGITGSNTMLLSDIGIDLTQWKELLQKEAEPANLATFASHLSDNHLFPNKVLVDCTADTNVASHYYDWLKKGIHVITPNKKANSGPLDRYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGTRTFSDVVAEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLKLELSDIPVKSLVPDELARCLSADEFMQKLPSFDQEWAKQRSDAEAAGEVLRYVGVVDAVNKKGQVELRRYKRDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFCDILRLASYLGAPS >Et_4A_034183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30509165:30530829:-1 gene:Et_4A_034183 transcript:Et_4A_034183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGKPQHGNGRGRSPFLTSYALTLAFIIFVSVLYLKDFSSSLHQPFLHRPPRHHLRQISRPRGHHVSRRALKAEEQRAPPQQLPFAVGAAPAGCDVAQGEWVYDEVARPWYQEEECPYIQPQLTCQAHGRPDKGYQHWRWQPRGCSLPRSVLLAVSFFLSATVHHMCFASFCHFLRFNATLMLEMLRGKRMLFVGDSLNRGQYVSLVCLLHRAIPGSDESMETFDSLTVFRAKNYNATIEFYWAPFLAESNSDDAVVHRIADRIVRGTSIEKHAKFWKGSDILVFNTYLWWMTGQKMKILRNSFEDKSKDIIEMETEEAYGMVLNAVLKWVESNMDPKKSRVFFVTMSPTHTRSKDWGEDTDGNCYNQTTPIKDLSYWGPGTSKGLMRVIGEVFSTSKMPVGVVNITQLSEYRKDAHTQIYKKQWNPLTPEQIANPKSYADCTHWTPGTNCSTRSSFSPELRLGHFGEFGILILIFSMTEHKPLNRVFKPGSITYHFGRLAATMKLLLAVLALVAAAAPFLRVAGQGEGAGGPLPFAVGAAPAGCDIAKGEWVYDEAARPRYQEWECPYIQPQLTCQAHGRPDKGYQHWRWQPRGCSLPSFNATMMLEMLRGKRMLFVGDSLNRGQYISLICLLHRAIPDGSKSFESIDSLSIFRAKDYDATIEFYWAPMLAESNSDDAVVHRINDRVIRGAPMEKHSRFWKGANILVFNSYLWWMTGEKIQILRGADYDMSKDIVEMQAEEAYRLVLYQVVRWLEHNVDPKNSRVFFVTASPTHTDSKEWGDETEGGNCYNRTSPIGDASYWGSTSKAMLRVTGEVLAESRVPVGVVNVTQLSEYRADAHTQTYKKLWAEPTPEQRADPRSYADCTHWCLPGVPDTWNELLYWKLFFPANDQDDREHPAA >Et_4B_039547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21285147:21286740:1 gene:Et_4B_039547 transcript:Et_4B_039547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPSRRVLLQLGLLLLLLLAAAASCQGAAGQCEPGTLAPGVALCCTLRLPTATCCRTLLHSAAVGGGAGCLCRLAAERVLINTAPNATDLLRLYAACAQPSSAARLTPAPSCDDDGASPAAGAETPSSSSASDACAPAALADQMGLFCGLPGGPNAPCCVAVVAAVNLGRAGGGDDVPCFCRTARLATAVGGARGLIDLYAACGGWNSDSDIPFTDGTAAPPRWVPGPPETSYDREELSSPYAKANAGFDGGGKLDTWPWSMTRTSIMVGRSAGFSCTQRSPRWMHLSTSGAWQSSDGSMASRGVPFDQ >Et_4A_035695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3790540:3795129:1 gene:Et_4A_035695 transcript:Et_4A_035695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFTSLCAVLKGVPKPYYKLFILISNMSIEEGKLSMINKSTALNPNAEAFVPSSLRSFNDASKRSDAIAAIVSGPSKETSSSESITRSNSDEEAHQYWQQQLPDDITPDFKIDEIPEPESLSLTGLSINDDIGASIFSPNQTLNMQHRASPFIRDKLSARPSIELPGPLYVNERSQSTIISPTAGSMSPTASPWIKTGRNGGQYTTNRRDVGHYNGDSSIGMNKVDGRLSQNLRSLSFGHSNPPSPAPYAQNGLMNYSKEASGLPNGPFRSHSAVLTDDVLSPSPGREHVFLDSPRGRYKAASLPVSGLGSLRGSQLLGGSYNGHDGISNITGIQIGPAWLENDAAAYGLNLFVMIASTYLDSKDEAHDFASLRQAFLEQDRPAFLTGGNPLTKELTLKELYNVQSRLAQEKAREATYRQRFQMPELQGLIQEQNPPIDLCGLHVSEAIHVLNYDLNNRRKIARSTGRRLQVIIISSTRNPARLTIAVEQYLMEHGLQYTQAQPGIFRVLLQ >Et_5B_045719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8185030:8186304:1 gene:Et_5B_045719 transcript:Et_5B_045719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEMVFEDSGPAHIMIKSGDDSSLIKMKEIDWANQEHRRCVAACLVKGAYVLQRDRSKRRLEEDQLAPKWWDSFHFRLHQVLECKCIHCKIPGARKCTYGAIFEYMPPDGAPRHQLAPRYIVAFRGTMIGDPDFFSDAAIDIKIVLNRQHDCSRFSDARTQVDKLLHSVVARGGADSSGIWLAGHSLGASIALDVGRDMMTRDDGPRWNLPTFLFNPPQVSPAAAVDWLPEPLKKLTKSVIHPASNVVKAAVVKTFLRSHEEYKEQLFEQLESWVPDLYVHEQDVICKGFIYYFEQRQKMLNGRFWLRQEIAKIAEKQSFRDMCVALHSDDGDKQRVQPHLLPSARLWTNSRDGDSHGLQQWWKPDSELKLREANY >Et_3B_028010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31396215:31396781:-1 gene:Et_3B_028010 transcript:Et_3B_028010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFSYRKLKKLPAAAILDEEEQGQQAGHLPQAPTTTSIQDYYHSYYRALGAAVSRRAWGRRRGRRPRLRISSLARALRRRAAVVGGRVRASVAKVAARLREGRPYIGDLFAGNYMFMQVAPSPTMAAGLDGDKGFVPFTDYYYATLRKSRSPAAAAAGALQVHRAAAAGVSDKVV >Et_3A_024666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23084094:23088960:1 gene:Et_3A_024666 transcript:Et_3A_024666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLLNCGGRSRLRSCGRPGIPFAFELEDTRSSPGFFCGDLWCGLMEPKGEPGQKPIEEAMDSLKIDASTKANNVNLPSKKDTSSPDAISCISSGDAASTVKESEMNQEASAGDQGMYYYGYYYPGSYGGLDENGYFVGYNGLEMHSAVVQADNGAYLCYVPGYENGYASYSPVVPGAFTGVDGQYVSKEQYYSTAIPVQDPSTPGMFAQPMAYGSELVPAYSWDPSFVLLDGVQGHPVGVHQTNYPARSKYSSNKHAVPSSKATRSAKAAQDNIKAIKASSSATDTAPYSASNNPSSKGTNKASGASITKGYIPSSKFVMHSNQGKSSVYQTKGINLKESGKSWNGNDKLKVRNKLNGHGDCDISSENHSDNSKYSSSPRANLVGLSSVEDANDCTASPVTISINAYNLPDFVTKYEQALFYVIKSYSEDDIHKSIKYNVWASTPNGNKRLDNAFRHAQERMAERGTKCPVFLFFSVNASGQFCGVAEMVGPVDFNKNMNFWQQDKWNGFFPVKWHIIKDVPNPQFRHIILENNENKPVTNSRDTQEIKLPQGTEMLNIFKNFSCKTSILDDFDFYENRQKVMQDRRGKPLAAPVDRQLPKAEKPSEIKRQSQCISATELDRTKSNEEQTNNVTVVLDNITKINEEQSNNPTTVLDTARSSEQPNKVATEG >Et_1B_013794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1701263:1705683:1 gene:Et_1B_013794 transcript:Et_1B_013794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLVSRIFRGSAEPPGPSPLKQTIPIHQKQAALAASKHGVAISKKHRAFVAGDEWWYGRIFDPSSDFILTWNRVFLFSCFVALFIDPFYFYVPKIIYGKPNSCVGTDRRLAIGVTFLRSIADLLYVLHIIIKFRTAYINPSATMRVFGRGDLVTDPKEIAWKYLRSDLVVDVAAALPLPQIIVWFVIPAIKYSTAEHNNNILVLIVLAQYLPRLYLIFPLTYEIVKATGVVAKTAWEGAAYNLVLGALWYLLSVDRQTTCWKNTCKNETSCDIRFLDCDATPNPNWAATTAVFSDCDASNTSISFDFGMFQPALENQAPAQSFAMKYFYSLWWGLQNLSCYGQTLVVSTYIGETLYCIFLAVLGLILFAHLIGNVQTYLQSITVRVEEWRLKQRDTEEWMRHRQLPHELRERVRRFIQYKWLATRGVNEESILQALPADLRRDIKRHLCLGLVRRMDDQLLDAICERLVSALCTKGTYIVREGDPVTEMLFIIRGKLESSTTNGGRTGFFNSITLKPGDFCGEELLGWALVPKPTTSLPSSTRTVKALIEVEAFALQAEDLKFVASQFRRLHSKKLQHTFRYYSHHWKTWASCFIQAAWRRYKRRKMAKDLSMRESFNSVRSDELDEEDDPPPKNDLALKFIARTRKRPQNIKELPKLKKPDEPDFSAEPDE >Et_1B_010132.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25410650:25410799:1 gene:Et_1B_010132 transcript:Et_1B_010132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEWRWTVLLGINICSFLPWTGIPTGRQGIMENKGTEQVQILLLASTI >Et_10A_001526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4679179:4679685:1 gene:Et_10A_001526 transcript:Et_10A_001526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGSVRSAATFRRFCPLHRPEAITTANRLFAGCLRLSTFFVPIDVNVSNLLVLTDSRGVHLAFVCRQHYCVQSTHKAAQGIPSSVLGT >Et_2B_019286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21513425:21514515:1 gene:Et_2B_019286 transcript:Et_2B_019286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERNKACSVFHGREDRSFQLKLSFSVTNGLAGNNGYVEEPVVSLLTPSGFKCVARYWPHWEHRKDDRGWIKISVTATSTPATTQLAQTLILSGHIDLPARNGQLPSPGMTVAGSLSGGVVSLVARRDEVEADCVVDGHFTAICTIAVSFFSKDSTLATASKLDHDIFMASDLADVSFEVEGEAFKAHRLVLAARSPVFKAELFGRQMAGSCTASSIKIEDMTARIFGHMLHYMYHGVLPAAILDTGGASSAADRYGLATLKQMCEEILCTSLSVSTVLFHWEFAEERSCSKLKSSCLEFLADSVIFMEVAMTDKYVDLMKNVPAFGFQVRNRFKRARLA >Et_3A_023564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4430326:4430770:1 gene:Et_3A_023564 transcript:Et_3A_023564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEIPLTGLKYCPFFRCPIALSGNKRGYDYQEFLHRAKSHYLDPESLTDDVLYGDELPCCYLPPLLCQCGVPAREGVVPSELGYGHYCENTVDEDEEWVSYDNHKVLKLLTFWNIV >Et_3B_027990.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:30171797:30171943:-1 gene:Et_3B_027990 transcript:Et_3B_027990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNARAVVVAGVTHAARVMVPRRGGSIICMAWAASRCPRTPSRRWRS >Et_6B_049452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:583498:586643:-1 gene:Et_6B_049452 transcript:Et_6B_049452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWVRQAQVWAGQAESWVRQRPPEQIYVAAAVVAFTILVLIAASCLKSSKANTIVLSGLNGSGKTTLFYQLRDGSSHQGTVTSMEENDDIFVLHSEQERKGKVKPVHVVDVPGHARLKPKLDEVLPKAAGVVFFVDAQDFLSSMQAAAEYLYDILTKATVVKKKVPLLIFCNKTDKVTAHSKEFIKKQLEKEINKLRESRNAISSADISDEVKLGVPGEAFNFSQCQNKVTVAEGAGLTGNVSAVEEFIREYVKA >Et_5B_045199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11736332:11739845:1 gene:Et_5B_045199 transcript:Et_5B_045199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAESSELKQIPVIIMSSENVPTRINRCLEEGAEDFLLKPVRPSDISRISTRMLHAVSYSITRVPPLDRGPTGETPGADPSGHSDPSPFDLIPLGCSYECEARSQTHR >Et_10B_003613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3769529:3779350:-1 gene:Et_10B_003613 transcript:Et_10B_003613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVFSAEEKQWNMSSSRLDAGNIVWTRASWLLEELLDQLHKKLVGRVAGSIFWSAGDRRDVLRRRRKAHNLAMACPGAGGKHTYAPITIYDLTDDLLEDVLLRVRSPVCLVRAAATCRPWRRVIGGGAGFLRRYRSLHGPHLLGHFVPKKSWKVAKFVPAASPPGMPPIDISESIDLGFLHDYENLEWVLTDSRDGLLAFVDVSSGIVLVGDPLTYQHVEAVLPPWTGGEAHSCCFGAFLLDADADDTAQLLLCVRLVKDFGNQRYIAMSYVFSAKDRQWLKLSTTDAGNDVLARLLAQLHEVHANLVGRVAGTVLELDESTGKFSGFTLPSPARAYSRRNLRVIASDADTVRLVHIIENYLEWITCERSSGSCMVDIRYNFSWVIDKWKIQEIHSLKLSWEFSDTALELGPGSILISADRDFLPMFSLNVETMRMKGMEISSTDVHLRVFPYEPPWPPTLRACLPYAPTNIYDLTDDLLQDILLRVSSPVGIVRAAATCRPWRRVIGGVGFLRRFRSLHGPQLIGHYVPTENRIVPDFVPAATAPGMPPIDISGGYIELEFLRIYPYSRIWADGRNWILSDSRGGLLAFVDLSSGILVCDPMTGEKMEAVLPPWTEGKGSSNCFSAFLLDHDADDAGTSPHMSRFRVLCVRLVKDSDNKSCLAVSYVFSAKDKQWRKLSSTDAGNVVMARLLAGLADVHADMVGRVAGSIFWSAGYEGHLPPPAGHNRYSVRVITGDASTFRLARIVEKGLEELTWIRGGGACMVDRRHNLKHLIDWKLAWMFWDAASESEVDLEGIVVSADSECFLMFSLDVETMWMERVDDISSFDVHVRVFPYELPWSPTIHACLHVSFIYVLDSSLMSDYSYAIKQRCSRFHTISLSISCVLLLVASSTVIPRALLREINDIFEMNFGGAANKFSKII >Et_7A_050847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11684363:11685395:1 gene:Et_7A_050847 transcript:Et_7A_050847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKAKYFEMSEGNKAGDIFELHKLFCPMPFQGKEKRNPSSGKAVKSSNGSDHLLNSNPVLTSCPWRQRRKSPLAAKVSEHEPSCSVAARPAPHRFSPRGSPPRVIERLDALHVSNEARDTEAEHLRAAPSRFSFEPQQTRETNSAAQGQQDQRRLRSRESLHFFTLLSCACCVLPEVQGRGFAGWLMLPPSRCSPSPSSWPACRRPPAPHRPEQVRTPSPALAVTDFLRAARKPDAFPSLADGAVLAMRRLDMADTALAVPEKADVTKGAEEDVSTTGFGAESEREVPTGPDPIHHHGRWPRRQSP >Et_1A_008557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8833575:8834616:1 gene:Et_1A_008557 transcript:Et_1A_008557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLEYWYAVLDGDFPSLRSLSIERCPKLKSIPCFQHISDISVMSCSKLNLPGLRSLQRLKIGNLGQRTCFAFLFELSSLLILEFISCEHLSSVEGLSQLQSLKHLKFRTCPRLNFIQDEPLPDTLETVDIHSNCYALSNWVPNEFELPDASEVYRKFIKRKCEAKGADDAVMHDSTMEGGGWDDQGSSGTKYLGVRRHPSGKFAAEIRDSSEQSVRRWLGTFDTAEEAARAYDLAAYAMRSHLAMLNFPGEVHNYVRGSSSSSYPQ >Et_6A_045904.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4243418:4243834:1 gene:Et_6A_045904 transcript:Et_6A_045904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVNRRSHTIDRVTIMHDFAKACWQSIGINIPQNLRIEHIIKWLKRRIVLPFYMDIIIMMCYWSIWVTRMDGYSTTLSNNPELQTHFYQRNEASANQSKTKAPRKTTRMDRYLDRQLDHLCFFLLYFVIYLFFCLSL >Et_10A_001796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8243545:8244582:1 gene:Et_10A_001796 transcript:Et_10A_001796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAKSNDDHITGVIILGTLIKQKVRGQQVLAKQLLTESASLGHIVRNLLRTIGPMSPYSTEIKTHAARILALVGGSISLWQFPREIQCISSLLDTFEEYKWRLERYEQERNPIKECQRHWLLEEDESTVATHGYGKEADLFRSYKMLLSQGLRILQNLALDEDNCRVIINTKDSLVLQVIRILECGSTRVEMQAVTGANNFQLRAPSTDLEKGGTSQDNGQENTSSHQQKRVQNGDNELREALVSLCWCWWVFAEPDLVDHFTNMASESFIMLLEDEISKINLRREG >Et_9B_065270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:298054:302478:-1 gene:Et_9B_065270 transcript:Et_9B_065270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKSKFKLATAIGIVLSMLSLLVHLFLANYSAGGITKNNIHMDDVLPFGPRPRPRRLWGPLRRLDHLHPFSQPRKPYAAPSEHNGFIYAKIYGGFEKIQSSICDLVAVARLLNATLVIPEIQATTRAKGISPKFKSFSYLYDEEHFVAALSNDVAIVHGLPKDLREARKRIKFPTVSPRSSATPEFYRTEVLPRLIKSKVIGIIVNGGNCLQSVLPSGLEEFQRLRCRVAFHALKFRPQIRALGSEIVGRLRASGHPYLAYHPGLLRDTLAFHGCAELFQDIHTELIQYRRSQMIKRGTVKEQLTVDSISRKMDGSCPLMPEEVGLLLQALGYPPDTIIFLAGSETFGGQRLLIPLRAMYANLVDRTSLSSQRELSDLVGPEGPLVSDLPHPPPPKSEKELVEEWKRAGPRPRPLPPPPARPFYAHEKEGWYGWIGENDTEPDPSPIEFRRQAHRLIWDALDYLVSVEADAFFPGFHNDGSGWPDYSSLIMGHRLYQTPSGITYRPDRKIVAALFANVSDNRYHPPRNWTIAAREHLNKSANVDGVVSSAMLSKPVSFLSHPLPECSCRTAMSAAVQPVKDSHGRQLFGGEEECPDWMARSLATVSVKNNEPQSEDYEGELLEDDSSPDTQQESDRSDTNKSTEQDEEMDPDD >Et_5A_042575.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20786093:20786800:-1 gene:Et_5A_042575 transcript:Et_5A_042575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSHAAAVLLLLLLLLAAAAPSALLAADDTTHLQFFMHDIVSGSNPTSVQIIKGPSGSTAPSLGMTFGDTSVIDDALTETSSSTSSPVGRMQGIYMVSSQSAPVLMVTANILLTSGDYNGSTLAVMGRDDTAADVRELAVVGGTGKFRMATGYVLWKTAAMNAPDATVELNVYIGAGNGTVDASAPVSPVDGGSGSSGSSGSGSKSSSGAARMTVAGGWVVAAAVAVVGSWVW >Et_4A_033213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1998058:2001663:-1 gene:Et_4A_033213 transcript:Et_4A_033213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PVLNPSDSSWCADPTRLFPTSSVHPTVTCQLSQLDRYHSRRFLLFPNGFLPLSSVSSFPKCRLIITSHQCSAPLPLLICLSPPPRNPMGCFLGCFGGAKECRRRKRSPAQSPNGRARAAPRVSPKRIDLDGDSVSAAAPLLWTLLELRDSTDDLCLAIVKKKVTFDPNVTTYEAAAIPEDDREGVDPDEDDGNREEEWMLAPECAKSEAFPLNHRYGNCADVDNDSEYDDEDEEEEEEDDEYEDCYEQEDGLDECAIDDEEEHGLLAIARGEEEACESLFLLPISKTSKESSDQETVPGVSAPEGNRSEHDNSVLSSVENLTQWKGPKSRAISAPKSSDKENVMLGQENRMGLLTEPAIAAKKEERPVGSDCSYSPSTPSKREASVDASLSTWLGSTGTPESNSVRSYSPISREDRPILGALTVEDIKASSANSSPRRSRSPSPSPDDMPILGTVGAYWNSSAKGDDAVTRGGFMRTRTRFDQLPPLGKLKCAFQEVAGDLVVPMAEHANDGVWVCTAPPPGRRRHRQPLSVGTRPRGLARCNAKLFHELLGRLRHERDDAFRRLGLGLDHVLGHQALAARAECALQASEVLLDHGMVLDREAPVTAAGKQDDQERLERGLHHLPHGHRLRAVDEDGDEQRHVLLQRPERGADARVGDAARVEAVVERVVRVEEDEAVRGDLGDAADGGLGRGPGVVEVGGRREAAVGRGRRRAGAPAAGEEGERLVERLDVAEQVVEGVEPDVEALRAAAGGRARVVAARGREALAVGHAAARARGRPARRRGPLPEPDDLGVLVAPRQGWQ >Et_7B_054870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5093782:5099896:1 gene:Et_7B_054870 transcript:Et_7B_054870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLSQPGLVALSLLLLIATLYLTRRRRGGSKNRKYPPVAGTIVHMLLKFGRLLEYQTELSCRYRTFRVLTPTCNYVYTVEPANVEYILKTNFANDVAKDLLRDGIFNVDGTKWRHQRKVASLEFSTRVLRDYSSAVFRDTAAKLAGIVDAAARARERMDVQDLLMRSTLDSIFEVGFGVSLGSLSGASEEGAAFARAFDDASEQVLYRFSDPFWKAKRLLNVSSEAAMKRSVRIIDDFVYAVIDKKIEQMGRDQQEFAKKEDILSRFLLERERDPGCFDNKYLRDIILNFVIAGRDTTAGTLSWFLYVLCRNERVQDRIAHEVRAVTTGDRNVDAHEFVACLTEDAISKMHYLHAALTETLRLYPAVPIDIKQCFSDDTLPDGYAIRKGDMANYQPYPMGRMKFLWGADAEEFRPERWLDDDGVFVPVSPFKFTAFQAGPRICLGKEFAYRQMKIFAAVLLYLFRFEMWDGNCTMTLLALSLLLLLALHLARLRRGGTERRKYPPVAGTMLHQLFNIGRLVDYHTELSRRYRTFRMLTPTCNYVYTVEPANVEYILKTNFANYGKGVMTHDVLEDLLGDGIFNVDGAKWRHQRKAASLEFSTRMLRDFSSAVFRDTAAELAGIVDAAARARERLDMHDLLMRSTLDSIFKVAFGVSLGSLSGASEKGAEFAKAFDDASERALYRFFDLFWKAKRLLNISSEKAMKRSVRVIDDFVYAVIDKKIEQMGKDQQEFAKKEDILSRFLLERESDPGCFDNKYLRDIILNFVIAGRDTTSGTMLWFLYAICKNERVQDRVAREVRAATTGDRDVGIHEFAACLTEEAISKMQYLHAALTETLRLYPGVPLDVKYCFSDDTLPDGYAVKKGDMVNYQPYPMGRMKFLWGEDAEEFRPERWLDDDGVFVPESSFKFTAFQAGPRICLGKEFAYRQMKIFAAVLLYLFKFEMWDRNATVGYRTMLTLKMDGPLYVRASLRR >Et_6A_046180.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:8480301:8480777:1 gene:Et_6A_046180 transcript:Et_6A_046180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVCGPSLDCRRVEVLGDRTAAHNVSARLHRTAVSAWAVGSLRPINCRASRGKKKKRSGSGGAPPPSAGDPWPSPTPGRRQAGAPFLLAAVQDDASASQSWSATAGASASRSSSARPGASASWSRATTVAVFLLWFQLSKPSRVDCCFSVQFAHEPS >Et_1A_009070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28037737:28038136:-1 gene:Et_1A_009070 transcript:Et_1A_009070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSRRNFSTSIVILLLVVMATDMAQIQAKECLHLSANFHWLCLNTDHCSEVCRAERRGYTGGKCLGWRDRCYCILPCALASASAPDADQTDAIGHE >Et_1B_013048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5018094:5021994:1 gene:Et_1B_013048 transcript:Et_1B_013048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFIEAQKPLLSRLMKMAGLRPIEIELEPGTTMHIWAPKHHPAGAGAENPSETTRKKKKNSPESRPNVLLIHGFAAEGNVTWQFNFGVLVSRYNLYIPDLMFFGKSSTSSADRSPDFQAACVAAALARLGVARCDVVGFSYGGMVAFKLAEARPELVRSLCISGSVVAMTDAVNKETMERLGAGSSAELLMPETLKGLKALLSISMYKKMWFPDRFYKDYLKVMFTNRKERMELLQGLITSNMDAKIPTFQQKIMLIWGEEDKIFNIELAKKMKEQLGENCFLYGIRKAGHLLHVERPCAYNRQLQRWLAHINSQKEADQAS >Et_2B_022686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30229933:30236433:1 gene:Et_2B_022686 transcript:Et_2B_022686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISFGRSISFPLSSPARSTARRHVRSISLPCRSHPLLAHLQSHVAAVRAWAADPTAAAPSAGLAHIDALHGALGELVDVMLPPAEAQSERLLDALVVLADAHRGFQEALLALKADVADLQAALRRRDAARLASALRSQRKNHKEIARLAAKCARLVIINGGRTTTTATAEEAVAASAAASAAVFSAAASMSAASVSSSSSSKKTSSAFAAFTKLKDTSDTAEMAPEKLEELAQCIDDCENATDKVFRSIVRTRETFGI >Et_5B_043676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13950727:13952376:-1 gene:Et_5B_043676 transcript:Et_5B_043676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPASQTATRVTSGQCRFGIQVKPEKCRSLNLRSCDNGGSGRNGRSVIVEAVNGAVHVNGAAAVSVGHVPVTPPSSIDDGDAFRPGKFVERRLVYRQQFVIRSYEIGPDRTATMETLMNLLQETALNHVMCSGLAGDGFGATRQMSLRKLIWVVTRINIQVDKYSRWGDVVEIDTWVGSSGKNGMRRDWTIRDHKTKNMIARATSNWVMMNRETRRLSKMPDEVRQEVLPFYLERKIITADGNSSCKIEKLTDATAEHIRSGLAPRWSDMDVNQHVNNVKYIGWILESVPLDVLEDYHLTSITLDYRRECRQSQLLESLTSMASSVAADQALSASNLCSTDLQSTHLIRQQNDKAEIVRARAEWRCKENDRPQPDI >Et_4B_037286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17679262:17681309:-1 gene:Et_4B_037286 transcript:Et_4B_037286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPAAKPAPPEAHRQALEYIERVTANAGQVQRRVLAEILAQNAPAEYLRRIGVSGAAPGAVDAFRRAAPLVTYEDILPDVLRIANGDTSPILSGKPIREFLTSSGTSGGERKLMPTIADEMDRRSLLYSLLMPVMSQAVPGLDKGKAMYLYFVKAESRTPGGLPARPVLTSFYRSRHFLERPHDPYTVYTSPDEAVLCVDAYQSMYAQLLCGLVHRADVLRVGAVFASGFLRAIRFLEKHWPRLCRDIRTGTLDPEITDRAVRGAVLERVLVGPDPALADAVEAECARASWQGIIRRVWPNTKYIDVIVTGAMAQYIPTLEFYGGGLPLTCTMYASSECYFGLNLNPMCKPSEVAYTLIPTMCYFEFLPVVHSGSSDTGAAAEPDQRDLVDLVDVKLGHEYELVVTTYSGLYRYRVGDVLRVAGFKNQAPMFNFVRRKNVALSIDSDKTDEAELHAAVSGAVQHLAPFGASLVEYTSYADATTIPGHYVLFWELRAGGRSTAVPASVFEDCCLAVEEALNSVYRQGRAADRSIGPLEIRVVSDCTFDKLMDYALARGASINQYKAPRCVRPGPVVDLLDGRVQARYFSPKCPKWSPGSKQWSANGGVA >Et_10B_002991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15075161:15080789:-1 gene:Et_10B_002991 transcript:Et_10B_002991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSESSGAARFPQPLLVLKMQSGRNEGFGDALRRLKLDVLGTDDSPSPSPGRSVDPLRFRATTAGLPSTPILHFPDTIGVRGASAAIRVGLLMGVAGVTSYYTPCQHRKISSYLRLCAESLVPPSNNSYGSRNACPVPGTLINTNNMRGFQNLDRDLLLKAEAKKILHDIISGKVEENPSLLLRFLVISFADLKNWKVYYNVAFPSLIFNSKMTLVSLQSASKVLNEEEATSLHNSLLEWRASNTKTTVVAMDYFSAVPFFLISMSSNSFASVRQLKEWNDCQGNCQKLLFGYYDHGWDRVKLDFPGWALRNYIAFLNIRWKIEKVQFFCYRERRGKPDLEHSLIGEASFPPPDGWDDPDYVPEAIGWEGENPGKATKEIKPKEINLESLNPVSQDNEKQLMHLKLMGWRHFPVNIDKLSRVRVLLLGAGTLGCEVARLLMTWGVRKLTVVDSGYVAAPDLVKQSLYIDKDCGLPRATAIIPRLKERCPAVEVEGIKMEISMPGHPVSPSNTASVLDECKHLQTLVASNDAVFLLTDTWESKWLPTLFCADQNKIAITAVLGHDNYLVMRHGAGLGVMDEVIARMDDLNTTKDALERQRLGCFTNGILDQHATSLPGLTSIASGKAVELFARMIHHPDEIYAPGDIAGMDTEHQLGLLPHQLRGSLPKCVLSTELGDSSSNCAACSSAVLSEYRRKGLDFVMQAINHPTYLKDLTGVIDLKRPDDYPKMPAIIPVNSEKFSDVRCLLLGAGTLGCDVARILMDCGVRKLTVVDSGDVVVSNLARQSLYTSDDRGAPKAIAILNHLSERCPSVDAQGIQMEIPIPGHPVSPSEAACVLEDCKRLKELVASHDAIFLLTDTRESRWLPTLLCTDENKIAITAALGYDSYLVMRHGSGPGMNCEGSNVDAAMDKLSTRDALGRQRLGCYFCNDVVAPVDSVSNRTLDQQCTVTRPGLASIASGRAADLFTRMLNHPDGIHAPGDIADTRILSQYNLLTLLGYSSSNCIACSNAVLSEYRRRGMDFVMQVINEPTYLEDLTGLTDLMKAAAYSHVEWVDESDDEFAEI >Et_3B_028490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16005787:16012647:-1 gene:Et_3B_028490 transcript:Et_3B_028490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTAAATLYCRLFRLPSARCPPQSRCLVRCSAAHSPDAVDKEYADLNLRALYTDRGQHLRIRQHVNPLSSSFSEPTEPPEWKDVFEDPMLPLMVDIGCGSGRFLIWHAKNSEEKRNYLGLEIREKCPDPHFKKRHHKRRVLQPSLADSITKNLSIGGRVFVQSDVFEVAADMRQRFDGYPDVLEHVDCVDKDLQCDKEGWLLDNPLGIRTEREIHAELEGATIYRRMYQKNREYVNKAERKKR >Et_9B_064414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1512398:1517575:1 gene:Et_9B_064414 transcript:Et_9B_064414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGAVAAVAVEQRTKQRRGGHLWKKALLHFSLCFVMGFFTGFAPSSSSSWKAGTQQLPHRAGDPLAASRVAVDTRVNMVPPAAGLAAAGGGGAMVDVGDDEEEAGPRRLLIVVTTTRSGAGERRRRRPELLRLAHTLRLVRPPVVWVVVEPAADAPATAEVLRGTGVMYRHIAFKPEENFTTAGAEAHAQRNAALAHVEKHRLAGIVHFADAAGVYDAHFFDEIRQIEAFGTWPVATMSADEKKVVVEGPLCSASKVVGWFSRDFNDGTTRAVTYNTEADLNPAGAAGTRAHTIDVSGFAFNSSILWDPERWGRPTSLPDTSQDSIKFVQEVVLEDRTKLKGIPSDCAQIMVWQYSVPNYIPLQTSAPKTHSRR >Et_4B_036417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2355123:2355497:1 gene:Et_4B_036417 transcript:Et_4B_036417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKKEGKYRGVRKRPWGKFAAEIRDPERGGSRVWLGTFDTAEEAARAYDRAAFAMKGATAVLNFPAEAGRMSSGSSSSSTSAPATGEKVELECLDDRVLEEMLAEDKYSKNY >Et_3A_023385.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24768771:24769475:-1 gene:Et_3A_023385 transcript:Et_3A_023385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMMVELHARGKTLSDVAEVLKSVPIHPRVPAAIKAAYALGCDLRVLSDANAFFIDAVLEHHGLRGYFTEVNTNPCRVDADGGRLRIAPYHAFDAASGEASHGCGVGTCPPNMCKGAVLDRVLREAEAAARKRLVYLGDGRGDYCPSLRLRREDFVMPRRGFPVWDLICEDPARVQAEVHPWADGAEMEETLLRLVRRVIVEEAAATMPLDCKLESLPVAVQDGMPMPLGVKN >Et_7B_055861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6133834:6136536:1 gene:Et_7B_055861 transcript:Et_7B_055861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAASASCVGNAPGSILLDVRANGGARRNATTACSKTSTGLPIEVTFFTEHPPVLSHFSVHCPGLQLQEGTDSSTPRAIASDADLVLLRVPVDPLVRYDDYFVYRAHPQDPKLDLLPKPSHDRLEDKEIAILSCADDQKYAVAALKINPFSNSTFTLYLYRPKPDGEQGSWRVQQVFLEEPLLDRVWPISGETGEMMYHLTTKVITPGGAKGTIGWVDLWHGILLCDVLDKSLKLRHLPLPSTTRDNKGNFLNRSDNFYQDITVSERKDSIKYVEMDITPPSLVTIIPSGTPNPDSYLEWVGRRECLATPPLVPGRWKVTTWIMSILVTPWEEWRIDCTAKSTCTRVDNPSGCDLLHKMSSSHKDKEASQATLSLGSLGMAYPTMSIDDDDVVYLLTRAAIMAENTTGVVVTALDVRENMVQVNHLDKLRSISRFRQEDVGGVERGCDAVPRSQYCQQRGVGEFVGFYNG >Et_7B_053644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10636879:10637563:-1 gene:Et_7B_053644 transcript:Et_7B_053644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRILPAMIAILFYILFYVVADTAVATDAPDYLVQGRVYCDTCRAGFETNVTEYMKGARVRLECKHFGTGVVERAIDGVTDETGTYKIELKDSHVEDICEVVLVESPRPNCAEAQPLRDRARVVLTRDGGICDNLRLANPLGYFSDVPLPVCSALLKQFDLADDDE >Et_9B_065176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21804283:21804646:-1 gene:Et_9B_065176 transcript:Et_9B_065176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGNEGGNDDSPPPSPGTPEQESEQNDAMELDGVSRKRKKLGEEDTTPLHLAKWELQEKHFFMQAPAKSTACDYHQELDMVVVGFSNGVFGLDFVCLHLLSISREKITTAIFNSLGN >Et_3B_027921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26596711:26597400:-1 gene:Et_3B_027921 transcript:Et_3B_027921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVGVTACVLGDMASRPNFGLNELDFVFSTLVVGSILNFVLMYLLAPTAGAFSLGARVTTLLSKGATFAAVGFAAGLAGTALSNGLIAMRKRMDPAFETPNKPPPTLLNAATWAIHMGVSSNLRYQTLNGVEYLLGQVAPAPVFKVAVVALRCINNVLGGMSFVVLARMTGSQKSDKPDATTIAEEKERLIAVGNAAADAISEGKDK >Et_4B_039572.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:22301331:22302269:1 gene:Et_4B_039572 transcript:Et_4B_039572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIPAAVILAVITLCLSGAAARATDPVDVRAMQAIAKSTRADKSLGWGVKSGDPCDGSWPGVRCDKDLGRVTAIDASNGGLAGTISGTDLSDLMYLSSLDLSRNRLDAITAFPTPPRPLRCLTYLNLSSNVFSDTPQYLLFSFPALETFTMDDNEGDLFSVSFLVDLVRCPALRTFSANNSTLYGELSNYLGNGTIFPVLERVSLAGKSLLDDVHPDWGMNSKIKFLDIRNQSFDGERLLRGRLDFIAGMTDLVEIHVRDNLFDGPLPDVSGLVNLRMFDVANNNLCGRLNFPSGVAVNVDGNPGVGKDCP >Et_9A_063610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9708448:9710534:1 gene:Et_9A_063610 transcript:Et_9A_063610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNMSFVEEPDKADNTAKQHSDEELYVYRVSQSGVVLKFKTRKYGTLSSTSNILSHELTFREKQNARVPLENLEASSALNLLEHSQISNAEPRNEISVVDSSMVTNPEKGTDRAVIVDNISKVVNEIVPLKSAALDIAMTGTCIAGAGSGENEKTNITENEMNGNSSTGNDEGKDFQQDCSYETEVYACNECGKRFETIDSVNGHMASHRKEEYELIRMMQNKTFAFETPRSKLMNIWKASQDLLKMSMASGWQPVLHTKPIDVSTDETRSSEVIWDDTTQMIEVADLLIKLAQTVQGDDVIVPSRSIPIFKCTTCNKIFDSYQALGGHISSHNKLKKHQREVDTAGNSSGSSEACTWKFVCNKCNERFQTGQSLGGHKRKHWFEDKNVKLPVLLHHDIMQSSGNVLLVNDDLHSTEIELKGIDGTVHLPVPQAYGNVPPLETNKVAMESEFPSGVASTTSAELHAYNGTEPSTISADPTSCTRD >Et_3B_030860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8055807:8060066:1 gene:Et_3B_030860 transcript:Et_3B_030860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPETSGLPGLGGSALRRQRRRRRPRRGDETASSMSGPVAVQRLFEACLEVFAVPGTVPPPAGVERIKSILDSMTAADVDLTPNMPYFQRIDPHGTPKITYLHIYKCDAFSIGIFCLPSRGVIPLHNHPGMTVFSKLLFGAMHVKSYDWAATPHGTDDVQLQGSRLAKVKADGTLTAPCETSVLYPEDGGNMHCFAAQNPCAVLDVLSPPYDDGSGRQCQYYKASSSSSSVGNVFYSSYNCGLCITILGGCVSETRCWPNALMFVSSILKDSVSMPGGDRYACLEESEPPQDFCLVGSTYMGPRILDH >Et_1A_005273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37293187:37293957:-1 gene:Et_1A_005273 transcript:Et_1A_005273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVLRAVLYMLRRGLPSGRKLAMDLHLLLHRGKIAGKALGDLLAFHHRDATGFSSSSYDSSSSFSCRALDPGRRREVEFSCSNTPSSADFGGLLGKRGRRGRRDDAGAGGYLHYYNYDAADVARVFEMLNDDDGHEHEHAAAATAAYETPSPAQMLWALARSPALSKHLRVAAESPAASSGRDGDGRAQVDRQADEFIRRFYEQLRAQKSAASTPDYYGYAAAASPYVSGGRRTPRALPAAIA >Et_3B_027868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23745942:23746955:1 gene:Et_3B_027868 transcript:Et_3B_027868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSAGSTGSGRKKLKHRLAAILSVFSRGGRKRRESEAEAEATPSPLAFPSYSRVESGKKHAGLLEQRRFSVSAPRPPPLVHITIDCAGRRSVDAADPSLLPLDADAASGRKTERRLTETGRLYETGEWEGRKCPPSSPFVAHLPPLPPVARWLSTHSSSRRLGASSSSSDDEYDEDSRNLFSSRSFSSDSSDFYNCPRKNSTSSTTRPARASISGPCSRAPPAAARRGASQSCRYSFEQPRRSTASTEGGGGGGFAVVKRSADPYEDFRKSMQEMIAEWPSAGDGHEEEEHSAERLLETYLVLNSPRHYPAILAAFADVREALFP >Et_4B_038434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2968570:2972966:1 gene:Et_4B_038434 transcript:Et_4B_038434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGAESRAAWRWRGDFTWLGSRLFRSGFQLSCHAITNNARCPAIERASEILTAPQPHTQQPQQTPRRQPDTEATQPSIEKREMRSRVALEPLAEEPGGGEDETSRRRSGLHAALHRWARLLSGGAAGDDAGPAADLRVLLSVLACPLSPVPLLPRLPRNVASSAQYIIEQFRATTGCAKLEDGAVKSMYASGRVRLAMLQDPTAGGGGGGKTHEGSFVLWQLAPSMWLVEMSVAGQHVAAGSDGRVAWRRTPWLGAHAARGGSRPLRRALQGLDPVTIASIFSTAEHAGEKQVDGEDCFVLRLHVGPSVLSSWSDGTAEVIRHGLTGFFSQRSGLLARLEDSQLTRIQSPGAPAMYWETTIASTVSDYRAVDGSGGVAVAHAGTSTAHLARFGVGVRAARVVTRMEETWTIDDVAFNVPGLGPDAFIPPEEVRRSRSYGAIAAGK >Et_9A_061145.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:18718625:18718879:1 gene:Et_9A_061145 transcript:Et_9A_061145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRPGRHQRRASQSVFVLPENLASLDVDAAADVGGKAGPDGGAAEQQARPAVGRHRRAMSVAVASRDLELIKEDIGSYKIGA >Et_2A_017348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33396358:33400190:-1 gene:Et_2A_017348 transcript:Et_2A_017348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSQEGLGAMLAGKKWKGKRYQLLAAIFYVLRMRRKYCIMTVHVQYVIKCFQKVMKSAYRSVMFYIGQKDLEMQYKMNQVVGQCRQKIELMQGKFTEKLEELHTAYKKMGQKCQLMEQEIENLTKEKQELQEKFAEKSRQKRKLDEMYDKLRTEYDSLKRSAIQPANNLFPRAQPDLFAGMPNMMDNSNHLRQGLVFTPETPGRRDEMWAPAPRQRLPNSDTFEISGGSGHMGAPPVDARPRKLAGSVFGAATNNPSAALRNMLISPVKRPQLSRNQMNMFTFASAILVSHGITESTISGVVELRKDVGLLCCSHCINESGLNGESTNNVTLWLYGTGLSAVQ >Et_3A_024578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22282825:22285547:1 gene:Et_3A_024578 transcript:Et_3A_024578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQEESWPRSVVSIALGRSIGLPSPQVGEWTSQFVVEGVRVRAAPAKPISKFFCYVSLFLACQGTPEYLLTWTGSMVDQEEPWPRSVASIALGRSIGLPSPQVAEWTSQFVAEGVSGLNCTWEEHWLTISSSGRVDIVICGRGGFVSTGIMAQGA >Et_8B_060312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9180088:9185004:-1 gene:Et_8B_060312 transcript:Et_8B_060312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIREGLRRPAPASGALRSPMSAMMLAMFATMASFYVAGRLWQDAQNRVYLIKELDRRTGQGQSAISVDDTLKVVACRQQGKRLASLEMELAAAKHEGFVGKYSSETNTTDSKTKPLIVIGIMTSFGRKNYRDAVRKSWLPTGSMLKKLEEEKGIVVRFIVGRSANRGDTFDREIDDENRSTKDFLILDDHTESDEELPTKTKSFFANAAETFDAAFYAKVNDDIYINVDTLSAMLKTHWDKPRVYIGCMKSGEVFSESTHKWYEPDWWKFGDGKSYFRHASGEMFVISRAIAQFISINRSVLRTYAHDDVSVGSWMIGLAVKHVNEAKLCCSSWPSGALCSAL >Et_1B_012742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34882386:34886505:1 gene:Et_1B_012742 transcript:Et_1B_012742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVHSLLARPNSAPFAFSLPPPCRRSKPPPTPIACRAASRWADRLFADFHLLPTAAADPPAAASSSASPLLPLLPDAPDRTIPLPVDFYKILGAEPHFLGDGIKRTFEARIAKPPQYGYSTDALFGRRQMLQAAHDTLTNQTSRTEYDRALSEDRDATLTVDVAWDKVPGVLCVLQEAGESQLVLETGEQLLQDRPPKRVKQDVVLAMALAYVDLSRDAMAANPPDLIRCCEVLERALKLLQEDGASNLAPDLLAQIDETLEEITPSCVLELLALPTDEKHKKKRQEGLQGARNILWSVGRGGIATVGGGFSREAFMNEAFLQMTSAEQMDFFSKTPNSIPPEWFEIYSVALAHIAQAIASKRPQFIMMADDLFEQLQKFNIGSQYPYDNEMDLALERALCSLLVGDISNCRMWLGIDNESSPYRDPKIIEFVVNNSSIDEENDLLPGLCKLLETWLVSEVFPRSRDTRGMQFRLGDYYDDPQVLSYLERMEGGGTSHLAAAAAIAKLGAQATAALGTVKSKAVQAFSKVFPLVEQLERSGTDNPSDDFGKSLEKLDQESAAGNSIHDSKNTALKIISVGAFCALFAVIGLKHLPRKKSLPAISSEHESVVVAESVEDAAVNEDPIEIPRMDAKLAEDIVRKWQGIKSKALGPDHSVASLQEVLDSNMLKVWTDRAAEIERHGWFWEYTLSDVTIDSVTVSMDGRRATVEATIEEVGQLTDVRDPKNNDSYDTRYTTRYEMAYSKSGGWRITEGAVLKS >Et_7A_050595.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:3934219:3935055:1 gene:Et_7A_050595 transcript:Et_7A_050595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QRKGSGTNRAQIRRRRRARGRGEDPGHRGAGAGCLTSPRKAVTRVRSGRSTASPQGGAGPAHHLHPRRPQREPGHVGGRLLQRRRQGQPRGGECHAAQPRLLHTLVPIPCSTRSRSASVLPRALRRQLGRRTVKPSSSPVRVVLRRTVKPPSRSRERIPLTSWDVAMLSAEYIQKDLLFEAPPPPLPFSVVDHLANALADALATYYPVAGRFATEQHEGREEKGRRARQRWPVAAHEGGGGQRARGGRRGGASTRVGPVGEKRKKEGRRRARREFERR >Et_5A_042901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6508585:6513975:1 gene:Et_5A_042901 transcript:Et_5A_042901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEANAANPNAVASVGQFDFTVEGSSGTKPTEEESHIIKEAINLAVENLLMECANNVITEDAVFVGEDVAVFAGDTQAPVPEQTELVPTMVTPGCDGGDPPAIEPLIMAEVENLPSPLFVADKGAQVGDDALGQVGAAQEDLGMVALDGLGAEDLTGVGDGAPVPKLMVGALAAGQRADRAAHMAGPELEDPAGSALSTQTREREHGERAARSRGAGTLVSYCDAVRGTGSLMCGPKALEADGDEWTGSQLPVERTRSTSPLLESSPPRLALVTERYIWDDKSDSDRQ >Et_9A_060913.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16754342:16756655:1 gene:Et_9A_060913 transcript:Et_9A_060913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRGRGKPPHRPIDARHRRPAADSVVAGRREERELAPAAAAAASGSEVELVPEGGCGRHHPDPGGAADHAVVVHPGRADMWRAAGDGAVEEAVVEAGARGGAVVAERAGGGVVEVPDLRRRMVVVVVRGAHGGPVGRVRVGAGGGAVAVAVPRREERDGPRSEEVLGGRVGAGAGGVASPAAAAAAPSPAAAAPDPLHALEVEAVLLEVGGDVLAREAVDAHQLHYGLGHGVLDAEVRHRVHEPLVQLRRPHQARPLQRPGRLVAGAAPAAGPGGRRRAAAAVHLRPARAGAAAAAGPSPRAAVRGDVEGDGEIGRDERLRQRHQLVRPRQLLLAPREPARLLLLPHGRPLLLSSEKFLELTHSTGQQKEAAAVESTPRAGARREGRGRRGFFEVDLSSSKLSFPTLLSLSLVCVCLGLFLLPPLLLLLPQPCHDIPYSPLSLSLFLSLAAMSERESGERVW >Et_3A_023688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1110917:1112956:1 gene:Et_3A_023688 transcript:Et_3A_023688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIALVSVQDTAIHGLDLVPQFLIIGTMPPQKIETGHQDVVHDIAMDYYGKRLATASSDNTIKIIGVSGTSHQQLATLSGHQGPVWQVAWAHPKFGSMLASCSYDGRVIIWKEGSKPEEWAQAHTFVEHKSSVNSIAWAPHELGLCLACGSSDGNISVFSARSDGGWDTTRIDQAHPVGVTSVSWAPAMAPGALISSGSSGQFEYVQKLASGGCDNTVKVWKLNNGSWRMDCFPALQMHKDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTTAKEGEQWEGRVLYDFRTPVWRLSWSLTGNILAVSDGNNNVTLWKEAVDGEWQQVTTVEP >Et_1A_009063.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27876981:27877871:-1 gene:Et_1A_009063 transcript:Et_1A_009063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVECSSSQLHPVDRAATRTPASRALEVLDSHRPWEMMGNTMLIIVDQTYAALREILGPAAPPPSDEDGHVEVSRPVDPADPDSPLLCVNASARHCCICLINSLHGGAASEYKMYTSTKRKTVPQYRLAGASVSVSTGTLHVARVAGDDGGRPVDSWKCADVRPNVSEKGLFGVLDTIRSRLDAAIRVEASLIEMAKAAGVKSANTNEIIEARMALEKMRAELDLDEIMRRRRQKRRRDIQEICCRSDADQVDEAEVLVKRLKVLHVSQKRCRPAVEMDQADDADVRTKRLRAMQV >Et_7A_051288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16670383:16675772:-1 gene:Et_7A_051288 transcript:Et_7A_051288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRDADEGVAGDEAAAVRLARKIRKRRELSSSGASDPSAAARRRLRSRRPAVLLPTRRRTSGGVGGRGESPRSGGDMSESSRSRHCRLTNDGTRPLAAARRLVGAFWQADKDMLFEGDAERAARRSAVPWSGAATEVSKSSRRSGSKILESDSKGSRRNGHRRWLSVDMLSNGSAMEVGTYSQDDVSRCPAKKTVNLQDLYNSLIASKELVKVLAHIWGPGELNPSTVSLISALRSELDVARSHVRRLIKERNSDAHAIEGFKTQLAEEMESWKAKQKEKVANALQFIVTELDSEKKSRKRAERINKKLSTALANTEASLQAATKELERERKSKGRVEKICSELIRSINEDKAEVEALKKETVKAQEELHKEREMLQLADEWREQRVQMKLLEARLQFEEKNAAVNQLRDELQAYLDTKKEQEPVNDPMQSSQASENGAAAHDAVAKQNGECGDDSEDNATEGSEMHSIELNVDGNSKTVTWSYTPTSKGRQRHESFSDRGMDGADSCRFDQNFQGMDEELEGEWAEGCSNGMLNFDHDEERYQAIKNLREQMLAGSGFVLSQGKENAEREYCAFATSSRVAGLQTNTRSVAVASATRPSQCVGRTAFVMPSGTAASNTSSTSASPGVRTSSRRRRWKLTSSDTVALSASSARGTTSSHGGFLVARDSTKPGSSIAARHDRHASFTRMRESTGRRTNTWAIRRSSGMLVAAAAGEAAFWRRRFSGRAVVVMLSGGCVSAFCVMRSLTGNGSVFILERTVDSDY >Et_2A_014470.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:10935120:10935545:1 gene:Et_2A_014470 transcript:Et_2A_014470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLDVSTALGAASPHAPAAAAAALLVPAGASLLGLAGLALAATLAGALLAAPLLVLFSPVLVPAALAAALAAAALAASGALGVAGVSALAWAVGCVWRGWAGGAGAGGGVAGMVVQPLDDEKRRGGAPAFVGHRGS >Et_10B_003705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5011152:5015879:-1 gene:Et_10B_003705 transcript:Et_10B_003705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTAARREKSEDTHARTTNRGSRQASIEIEVSDKQNLGCRIEFIGLLQAKVWVSFQRKYIVRQAGLLPQKVASTVDPGSDGADCTEKTGDLSNSSSGPSMGNCEPEDKISSLRELYLSLSMSSPNFPSSAPQNAARYI >Et_4B_036176.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:6605137:6607743:1 gene:Et_4B_036176 transcript:Et_4B_036176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDVAEQYERLDLQPIAEVHELDEVPRPAGVDDGLAVVEVQVVLGARELGEREHGLPGDGDLAVVEQLHERADHAGLARREGARQAAERGDARAEQVVVAAALLARDAEELLHDGLGRRRAGLERRLPQREVVLGDAGDLHDRHRRVEPQLPAAVRAAVEEPGEAEQRAGLRDAGADAQVAARELPQGLGRRELRLRLGGRVLGVARSATAAAGAVRSQLMGRPCRRDPVRRRVGSNRGVYNRLHLALPLLAVGGFRRGVRGWVIDGVLDVLLDDSGGEVADEVAHGVGLREQVLALLAVVRERRDGLGGRGLHAQGGLLERGGQGGADPELEEPCHAVLVLAEEPERGGGGLPRVVGPFLEQLHERRHAVLPDDVLGEVRVVAGEGGERGGGVGARGGGARLQPRDVVPHHAQHGLRLRDVGHPPKQLVVVVAAVAVVRRGDADEPGHVLEGRVKQRQGARDARHRVQREEAAEPGVALEAARRRPGRLVQRQRRVRHDASRGALVQVRARRLAQQRGQLLGARPQLRALGAEALPPGLLRHLVRELDRLRQHRLRPLPHPRATRCRNSLGSIEASASTSSRDRNRWSGDDEETGEFERSGRLSNRKLPPRTESLNQALLPRRHAPGKWMENPATGAQWGKDWVTPGA >Et_6A_046663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19059858:19062330:1 gene:Et_6A_046663 transcript:Et_6A_046663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSAAARASGGELVLPGNPVALPPAPSLFLPIMDHLESSSFLWSEQLTSNYNMEANVPAVLAPNSNAAADYTSHFGRDHDIFQLYRPASHYLAAGNPYSHFSGSTFLPMPQEYYFPTLLEENMASFGALPHAQLGINYSGYRTYYFPKRGGYAYGNQPPRCQVEGCTADLSKAKRYTGGTGCASATPRRRLSSPLEPSCPRGSASSAAGWFHEVDEFDDAKKSCRQRLADHNRRRRKPKLSSTDVLLKKRAHAKKPATANDKGSNSKSMGTGDVLVENASKDHGQGISNGEVVGAPVDPKGRSPMKQQARIPQQNLQQDFQFLLPPGSSTSLHQSQLVSSGSTSNTGQVQEPCVAFHQNHQHGNILQLGQTVFDLDFDH >Et_4B_036805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11673009:11676342:-1 gene:Et_4B_036805 transcript:Et_4B_036805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQVAMVEELASLIKDNLHSKHLVLSTEEALIATLQQQCRLDDEEDDGRAQVDDAEDAIELQPTSAYHRLLLHRLADIYGFAHESVGEGDDRHLVLQRCSETAIPPVLVSDMLWKYDNCDDSASVVLTRNDTDLRDSWKAENVQEDVYVESSHLKADADLKPVKQSGILPTASLKEREAAYRAARERIFSVDDAKERNASSIKSRQVPVVAQRMIAHALGKNVHNLTEAAASREDRGKQLMNGPNTSTSSRNNFYPVSPDNREASYVRKSNSNAVARNSYQTATSQKCRTVNRRAVSAESLKKEQTGAAKRMFAHALGLPAVQGISGAGSKPK >Et_1A_006643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25668204:25669395:1 gene:Et_1A_006643 transcript:Et_1A_006643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMSSDGTGQVRPEADVTGEKVEETQDQKEVGGMPSRQEEEAAIKKKYGGVLPRKTPLISKDHERAYFDSADWALGKQGGVPNKPKGPLEALRPKLQPTQQNARARRTSYASADNDESLSLPAEDLSHDGGEPVEDKNKE >Et_8B_060803.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:7925128:7926291:-1 gene:Et_8B_060803 transcript:Et_8B_060803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSLQSSSGGGDDEFDSRCGGADSSPLSALLRQPSSAPGFGGGSFYGLHQELATPPPPQLSQCWSSTAPHQGAGAGGGASTAPRPSIHGAPPASHAVVDQAAAAAQQQQIEPAAPRGSRKRTRASRRAPTTVLTTDTSNFRAMVQEFTGIPAPPFASSSSRFDHLFPSRSSAGAGLSPYILRPFSHKLQPAAPSSYHPPAFVTAAPSTPAPADIAVATSSAAASTAPNQFSVLGMQDHSGGGGGGNYLSFQQSPIGAQLDGNASRYPVHAIFDAPPAQRLPDPAVFMGQAHGVMTSEGTHNLHPRRRDHGHGGDELSGLVGAASVSGSDGCKAAYSSAAGSASRAAPLLDRNAQTNAGGATTTTTPTVVSTHGMEPWVCTSE >Et_3B_030880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8282821:8287468:1 gene:Et_3B_030880 transcript:Et_3B_030880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVGSSLGALKRHGVDAQMMNIAIRSASTSGPSCSVGVYVDCGSVYEAPETTGASQLLKKMAFATTTNRSQLRVVREIEAIGGSVKASASREMMSYTYGALKTYMPEMVEVLIDCVRNPAFLDWEVKEEILKLQAELAKASSNPETLLLEALHSTGYSGALANPLNASGSSIGRLNTDVLEYFLAENYTAPRIVLAASGVDHDELVSIAEPLLSDIPSVTGSRPKSTYTGGEYRRAADSSNTEVALAFEVPGGWLKETEFATASVLQTILGGGGTFSWGRPGKGLHSRLNHLVNEFDQIKSISAFKDVHSNTGIFGIHASTDASFVPKAIDLATRELTSLATPGQVDQSQLDRAKALAKSAVLTNLETKASVTQEMGRQVLAFGERKPANHLVKAIDAVTLKDVTSLAEKIISSPLTMASHGNILNMPTYESVSGKFSSK >Et_1A_005438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10380138:10389064:-1 gene:Et_1A_005438 transcript:Et_1A_005438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPRPQQQHSPSASTRRRERWLVVLGVALHAVYMLSIFDIYFKSPIVHGMAPVPPRLAAPPAKRLVLLVADGLRADKFFEPDERGRYRAPFLRGVIQEKGRWGVSHARPPTESRPGHVSIIAGFYEDPSAVTKGWKANPVEFDSVFNQSRHTISFGSPDIVPIFCSSVPHSTWGTYPHEYEDFATDASFLDHWSFDQFQSLLNRSFDDIKLRQLLLQDKLVIFLHLLGCDTNGHAHRPYSDIYLNNVKVVDQIAESVYNLMENYFNDNQTAYVFTADHGMSDKGSHGDGHPSNTDTPLVVWGAGVKNPKFLTYTEKPDDGFRFVDDHKHDTPTPQDWALEGFERVDVNQADIAPLMATLVGLPCPMNSVGTLPTHYLKLSKADEVEAVLANTKQILNQFLRKSELKQSSSLYFKPFKPLANYSSVLNQIEDLLSARDYETAMKHSEELRRMALAGLHYFQTYDWFMLMTTITLGYVGWMVYIGGCFIMGLSSIILLLEKSPILYHAYMFMTIFLWTRIVQNHDFFKAIWRGLSNMSSKYIFNLLNYSVVALIVLELLVVSFFDRKIYTWCFLVLGILGSTYVGLFIQSSPALAIYIWLACWFLSVFTLMPAEIPENNNLVIFSGALIILVAMASRWTNSNSTSFWLYLTRANKWDPQSSKLFFVQVILVAISSIMVWLSTSHRSQNRELHSLHQLINWSVAGVAMVLPLFSPNSVLSRLTSIFLGFAPPFLLLSIGYEAVFYSSFAMVLIGWIFVESANLYCSEQSSSTRRRSLVDGSVFGYEERHLQLSDLRIPLLFVILFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAGLLIFKLFIPFMLVICTFSAITKIVRIPRLGCYFLVILLSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNIYTRDIEASSRQLTSRKVM >Et_7B_055042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6726910:6735926:-1 gene:Et_7B_055042 transcript:Et_7B_055042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAALDFIREQLLGGGCGNGFPVASWVVPDDVSLPVLPLPDPEPAFQPVPPLLPHQQPQQQHEEEYINMSHYYCSGAAPAAAGGEAAFWAHEPAQPPSSSPVRQQPLTASAPAAAAAPVEDFRKYRGVRQRPWGKYAAEIRDPKRRGSRVWLGTYDVPIEAARAYDRAAFRMRGAKAILNFPNEVGSRGADLLASAPAPAAKQGAAASRRKRKRQQQEEEEEEEEEPDVEVVAVVNKAAMTHEVPSSWTWTEAASASPSSVSSSCQTTATASPTTVEDAGADEGPPMTPSSWSSWEQSWEELLHGLPLMSPHPAFIDFIREHLLGGGCSGAPVASWVVSDEVSVPVLPLPEAEPAAFQPMSFLPHQQHEGYIGMTHYCTGAVPAAAEGEAAFWAHEPAQPVMIMFESQPSSPVRQPLTASAPAAAAAPVDDFRKYRGVRQRPWGKYAAEIRDPKRRGSRVWLGTYDSPVEAARAYDRAAFRMRGAKAILNFPNEVGSRGADLLASAPAPAAKQGAAASRRKRKQQEKEDPDVEVVAVVNKAAKTDEVPSSWTSTEVEDPEVVEVVAVVNKTAKTDEFPSPWTSTEVACTSPSSTVSTSQTTATAYSTGTTSSTATTVADAGADEGFPMTPSSWSWEQSWEELLDGLPPMSPQPAFVDITDSVYY >Et_4B_036388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21266027:21267670:1 gene:Et_4B_036388 transcript:Et_4B_036388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLCLVCGSSAGAQPYIGVNYGEVADNLPSPDATVGLLKSTSISKVRLYGVDAGVLRALAGTGISAVVGVANGDIPGLAAGPAAASRWLAANVLPFVPATSVSVVAVGNEVLESGDAALAAALLPAMQNLRAAAVASGSAAAAGIKFSTVNTMAVLAQSDQPSTGAFHPDVAPQLQQILGFLSRTGAPFMINPYPWFAYQSDPRPDTLAFCLFQPNAGRVDAGSKIKYTNMFDAQVDAVKSALVRSGYGDVDIVVAETGWPTRGDANEPGATVENARAYVANLVAHLRSGAGTPLMPGKAVDTYLFALYDEDLKPGPASERAFGLFHTDLSMAYDAGLTSTSTSGGGGAAAQQPKSGGWCVASAAATDAQLQADMDYACAQVGVDCGAIQPGGACFEPNTVRAHAAYAMNQLYQASGRHPWNCDFRQSATLTSDNPSTCALLCSARLLAFYSVSPSDEPP >Et_10A_001292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2362730:2372045:-1 gene:Et_10A_001292 transcript:Et_10A_001292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGQRDDPLVPPTVDATVLPSRGVETQRALANNEQTKPEFICLHDGFVSPPAFRWQINNEKFHLTGVKREHGVFTMVGPEEAAIGIAASQQLRSAAHRIAAAPAALPPLPDEILEDIFLRLSAADGLARASAACTTFRRLVSARCFLRRFRSLHPPPVLGFLDADPFGMFYPAEPPHRSAPAGRAFAQAADFTFSFVPKPNMWTIRDARDGRVLLSRQSTVATALGDLLLLVCDPLHGRYVQIPPISLDMPPLPASTRRLCMQGFEPFLAPAVDEEMEEELSYRVIVVVLSKGIVMAFVFSSVTGKWQGCGAPFRFDFSPLARHYQRNCLYWTCCRKNLLVLDLHKMSSSIMDLPPDGSQLNRAIVDAGGDRLGLLTFGSGSYYDNTLVLRCKKWCADGDDKQEWQHDKVIPLPDCCSRYTIIGSDEGLLLLRGVPRESSQSCIHNGSSRLERESQYFTVEVKTLLVERLCAMNYVVGPAYLYASFPPPLSLPSPQPSLPEEMPEEIFLRLDAAEDLVRTSAACTTFRRVVSAPRILRRFRSLHAAPILGLMGIERSFHAAEPPHRCAPAARALEQAADFTFSFLPDPGSWRVCDARDGRVLLYKTSIGPSSDLVVCDPLYRRYVPIPSLPEEKLSSVEVSGKWVEQIFLAPVVDDDEASSIRVIYNVMSESKVESFVFSSVTGVWSDMPPFNNRWLLDPTKFRRFYARGCFYWLTRHRKNCFLVLDPREMNFSHILVCRSQPRAIVDVGEGKLGLLTLGRGNRTLDLYCKNCEAMVLHDKSIPLPEPYSFRIVDAAEGCLLLKDCSKYLVGRRYFTLNLKTLLVERLCTLNETVILYSRLLPAIFLTAKHMKRITEARDTPGNGFRNIVQDISLVLLLAM >Et_9B_064042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10500254:10504513:1 gene:Et_9B_064042 transcript:Et_9B_064042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGSQEPVPRTHLGVVDHESLQQPSDRRKKGGWITFPFLGGLSLSLSLSRFSCLFRRVSHVTCGCAVAMLGVGVATSGALSNLVVYLIKEYHVPSVEAAQIANIVNGCLSVAPVAGAIVADAFFGCYPIVAVSMAFSVLVSSYVLLHNQLLSIYLSIYLSVCRVRPRSSTHPWLRSQALVVFILTASLPGLRPPPCQSGSNLCEPATAGQMAALYAGVLLMCVTAAGSRFNQATMGADQFESPADRDVLFNWFFIFFYSSAVIGATVIVYLQDTVSWTLGFAIAGAASVLGLAGILLGSRYYRRPPVRGSPFTGLARVAVAAARKRKAGAVTSGESSFYHGPRPGGDGDGKAGETNVAPSDSFSLLNRAALITDGDIITADGSVAKPWRICTVDQVEDFKTVLRILPLWSAAIILSVAIGVQINFTILQALVMDRAVGGGFTVPAGSMFVATLAAVVVSLGLLDRVILPLLRRLAGYTPTPLQRIGAGHVITVASMAASAVIERRRAATVRAHGEAGDPAWVSPMSAMWLVLPFVISGAGEALHFPGQVTLYYQEFPPSLKNTATGMVAMIIALGFYLSTALIGVVRRTTAWLPDNMNASKLENLYWLLTGLVAVNFGYFLVCARLYKYQNIGK >Et_7B_055475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11346426:11349552:1 gene:Et_7B_055475 transcript:Et_7B_055475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQSLTADAGTVVKQAVSLARRRGNAQVTPLHVASAMLAAPGGLLRAACLRSHSHPLQCKALELCFNVALNRLPASAAVASSPLLGGHGHHYYPPSLSNALVAAFKRAQAHQRRGSVESQQQPVLAVKIELEQLVVSILDDPSVSRVMREAGFSSTQVKANVEQALCSTATTAATAPKPNPNSSNTATASPPQETKACSKRPLDHAARDDDVAAILDCLASQRKRRVVVVAESTAAAEALAGAAVDKIKRGEAIRHDALRGAQVVSLRVSSFRDAPREEAERRLGELRRLVRGSSSSRGPVLLLVEDLKWAAEFWAGHVQGGRRGGYYCAVEHVVTEVRAMASSAGRGEHGACCWLVGFGTYQAYAKCRAGQPSLESLWGLQTLTVPAGSLALSLTCAFDDSALDTVNQSMKASPDADVNGPASCWPLLGGNQLLSRCCGDCSAARIDTKPALPRPFVSSSSLPSWLQHCCDQDPGKTWSSICSKPSQRMTLHFSAPVSPASSVSSYEHGHQPRHSWLLADLDAKHPWKPKCETGGESKSNDSGPSIGSVEVERRAKFKELNAENLKVLCGALEKEVPWQKEIIPEIASTVLQCRSGIAKRRDKSRSTDAKEETWMLFLGGDAQGKEMMARELANLVFGSCKNLLSIRLGASSSASASGSSEEHRSKRPRTEVACLDRLYEAVSENPHRVILMEDVDQADRDCELGIKEAIESGVVRNHNGEEVGMGDAIIIMTCENFEPRSRGCSPPSKQMKLEVKEATEEQTSDHEHDGISSSTTSWFDLNVNVESDQVDEANLNDFCLLTAVDRTLFFKRHENPCDRVH >Et_10B_004059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:152906:159922:1 gene:Et_10B_004059 transcript:Et_10B_004059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTNILDYLLLARKLIEIAMLKFMLRTKQLGTLTQCARSFYLNGSRCTDGASCTSPEDDTTVPQRQTTSGIGQKYHPTQRTSVKTQSPVQHVGSVGHATGYPAPAVHAVPSTSSPEKAPASIYRGSHPRNNNRVLGNDSVQATKQTDRNISQPGIGGAGVYSDVPLSDSRSSNNKGHNQHTFPEAKVSYNPSMENEFGKGVPRAGYAKPKQSFSGRSVMGSDSPSQIKNHGHHAQHHANYHSNNFNSEARQNEVQAWNLPNANVSGKKSQFPTGTIKAHGGGPQSNLRSLKSLRAVEQYYHTLQQMNWGPMMEHVLDSLHCKIDAFQANQVLKLLHDHTIALGFFHWLKRQPGFKHDGHTYTTMIGILGQARQFGTLRKLLDEMSRAHCKPTVVTYNRIIHAYGRANYLREAVKVFEEMQEAGYEPDRVTYCTLIDIHAKAGYLDVAMDLYRRMQEVGLSPDTFTYSAMINCLGKGGQLAAAYKLFCEMIENGFTPNLVTYNIMIALQAKARNYENVVKLYKDMQVAGFRPDKITYSIVMEVLGHCGHLDEAEAVFIEMRRDWAPDEPVYGLLVDLWGKAGNVDKALGWYHAMLQDEPGGQNIRDHTGYFLDMMHSEDRESKRGLMDAVIDFLHKSGLKEEAGFIWEVAAQKNVYPDSLKEKGSSYWLINLHLMSEGTAVTAVSRILAWFHRQILTMGTGPERIDIVTGWGRRSRKQQSDLSSLLDTIHNL >Et_10B_004244.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:2047561:2049675:-1 gene:Et_10B_004244 transcript:Et_10B_004244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGKATAAAAATAACSAIFSSNQELTRLARSGQLAAARRLFDEMPRRNTVTYNAMLSALARQGRLDEARRLFDGMPRRNTVSWNAMIAACSDHGRVADARQLFDAMPGRDEFSWTLMVSCYARAGELELARDVLDRMPGEKCTACYNAMISGYAKNGRFDDAVRLLREMPAPDLVSWNSVLAGLTQRGKMAGAMKFFDEMVEKDVVSWNLMLEGFVRAGDLDSASSLFAKIESPNVISWVTLLNGYCRAGRIGDAREIFDRMPERNTVSWNAMLDGYVRLSHMEEAYKLFLEIPNKNSISWTTIISGLARAGKLEEAKDLLNRMPFNSVPAKTALMHGYLQSKMVDEARQIFDEMEVCDTVCWNTMISGYVQCGRLDEASLLFRKMPNKDIVSWNTMIAGCAQYGQMRKAVAIFRKMNRRNTVSSNSIISGFVQNGLFVDALHHFMLMRRETVRPDWSTHACCLSACASLAALHVGRQFHSLLVRSGHISDSFAGNALISSYAKCGKICKARQVFDEMTCQDIVSWNALIDGYAANGHGTEAISVFREMEANNVKPDEVTFIGILSACSHAGLIDEGLEFFNILTKEYSLKAVAEHYACMVDLLGRAGRLNEAFELVQGMQIKPNAGVWGALLGACRLHKNHALARLAAEKLFELEPRKTSNYVLLSSISAEAGKWEEAEKMRVPIQEKGVHKPPGLAGST >Et_2A_016497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25350196:25354367:1 gene:Et_2A_016497 transcript:Et_2A_016497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPTFGYMLHYMYHGVLPAAIIDTDTATSASSWLLEVERLCVAADRYALDTLQQIILASTVLSNWVFAEERSCPRLKSRCLEFLAADENFKEVALTDEYVDLIQNYPAGDLFYSRDTRSFHFRVSPSATWNLAAGQSVEKNVMYVSGFRCSTRYWPNWPLNGDEHGWMKLSVVVTRKKSNASSKAKLPKLVTAHIDLRTKDGLPARTAIREPVPVAGDCVGGVSLLAKSDEVDRDDDHLVAFCTVAILKDYTPQDLQLTSSIGHDLFGMHDLADVAFRVDGETIRAHRLVLATRSPVFKAELFGQLAESTASTIEIEDMTAGTFKSMLFYMYHNVLPAAADQTDDALGIVELQHLFVAADRYGFDVLKQTCEDLIYAGVSSDTVLPILEFTETHACPRLRSRCLDFLDVAENFKEVASSEEYLRLMDACPSLQGEVRNWFKRPRPMAARVDSCHILSPLGTQYFHFLVSHSATKDLAAGQYVEITGSFFPGFRWTARCWPHWRPVVRYDEPPMVKLSVIVTRTNDPWARAPKVVAAHIELRTRGPDLAGGGDCIGGAAVLVTRYEVEKDCIVDDHYTALCSVAVLDWPPLAIPPLHRIIGVGNDIRGMQDLADVSFEGRRSGRTALSSQLALRSSRRAELFGEMVESRASCINIEDMSARTFRYMMDYMYTNAVFPALPPGDASANLEARHLLVAADRYGLDKLRLTCEEFAEERACVKLKSRCLDFLDVAENFKEVGATD >Et_2A_015846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18362182:18367945:1 gene:Et_2A_015846 transcript:Et_2A_015846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNTVSASSEASLLSKHKMYSITSASHGSVILPSLVANPNSSRDILRSSPKTVVPRYTNGTSNRLPSAEYTTQWPLLATDEVLHDDPSISFTGVDAFQASENRRKRTMVSSKRIAQLAKKWQRMAALGRKRLSWGMTKEADGCHTTVVGKGHCVVYTVDGTRFEVPLAYLGTAVFTELLQMSQEEFGFASDGRITLPCDAAVMEYAMCLLGRSASTEVEKAFLSTMAVSCHYASCVAPSIVASQQSRAIVWCTHLTKGGLRFHWHTLAQRSLPSSYRYLRSSLASQGLGRSHFLVMLVNESSIPCAYSEGELLQR >Et_10A_001051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20671221:20676200:1 gene:Et_10A_001051 transcript:Et_10A_001051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EMTFLSDGDSSSSDPCSEGRSGTSHQRRELVEGDSSRTGELTAPVLRRAYNLRPRKDDPVTLQRRSEFSRSREQKPKKRKCKSMEAKEYLQRATRRINKIIDEIPEETHLDPQNRKWRPYFSSPVTGLYKTTLKGACWTIEKLALQAAASVVGLKSLTGEKYLFYCSGTIFMSSEKIQRIVTVANLVKKCQDTDEVADGLTINVYLQNHETCKGDLLYYDFYYNICVIQIESPVHLPAKDFCSLPINFDVSSSKDVVALGRDREKHVLVVSAGKIIPKCSMLDCEELFVSTCRISKPKVGGPLMNMDGDFVGMNYYHHKETPFIPSSIVFKCLQQFEFFGKVVKPWHGLRVRTLHADGCHEFEEIQTKFLCGATCVIVEKIEKLSAAEASGLNEGDIIDQVNGVSCSNAAEFHVVGCDGERTIVVDRPTPNGLNQWPFPEPIIVRKYVDGELDSEEWYSMEP >Et_1B_012035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28319211:28330810:1 gene:Et_1B_012035 transcript:Et_1B_012035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSMGCVSSKQFKRTPGYEDPNILANETTFSVNEVEALYELYKKISYSIFKDGLIHKEEFQLALFRNSNKKNLFADRMFDLFDLKRNGVIEFGEFVRSLDIFHPDTPTTEKVAFAFRLYDLRGTGFIEREELKEMVLALLNESELLLSDETIEQIVDQTFKQADMNGDNKIDPNEWKDFASTNPGLLKNMTLPYLKDITMSFPSFVLTSAAISVNEVEALYELYKKISYSIFKDGLIHKEEFQLALFRNSNKKNLFADRIFDLFDLKRNGVIEFGEFVRSLHIFHPDTPITEKVAFAFRLYDLRGTGFIEREELKEMVLALLNESDLLLSQEAVEQIVDQTFEQADMNGDGKIDPDEWEDFTCKNPALLKNMTLPYLKDITIAFPSFVLTSGASDDEFLETIEPKIDKDTNDGLIRS >Et_6A_046643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18600862:18609481:-1 gene:Et_6A_046643 transcript:Et_6A_046643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGGASPAMVTMAALEAVMVAVLLMVQAGAELTRVEHTPKSEGSLSILAVGDWGRRGQFNQTLVAEQMGVMGEKLDIDFIISTGDNFYDDGIANTSDPLFKESFTDIYTADSLQKPWYIVLGNHDYTGNALAQQDPAILEVDSRYNSVNKSFIVGAGIADFFLVDTSPFIQKYWNNSKFDWREVAPLTTYIDNLIQDLDDALTESTASWKIVVGHHPISSGCEHGNTSELVQLLLPTHGVDIYLNGHDHCLQRIISMDSPLELLTSGGGSKAWAGKFKATSDKLEFLYDGQGFMSMQLSKTDAQLAFYDVAGTVLHTRTSRGFIMMGPVAIIVLLVAVMVPRSSAELPRLEHPPKEDGLLTVLAVGDWGRRGQFNQTLVAKQMGIIGEKMDIDFVVNVGDNFYDNGLTGVDDKAFEESFINIYTADSLQKPWYTILGNHDYRGDALAQLSPVLRKLDSRWICMKSFVVNADVAEFFFVDTTPFVLSYWNDPKNNTYDWRGVAPREKYISKVLKDVDSALKESTAPWKIVVGHHAIRSVSEHGDTQELIQQLLPILKANEVDLYINGHDHCLEHISSRDRTSPVTSCTAGAKPSLNTT >Et_8B_058623.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:11029525:11029737:-1 gene:Et_8B_058623 transcript:Et_8B_058623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAKRRLLTGLIFYAWWNTWKERNRRTFDAVQRSSFQVATQTKEELETYLWATRQEQLHEAQQEVTNRD >Et_3B_028755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18895903:18897108:1 gene:Et_3B_028755 transcript:Et_3B_028755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGRRSGVRFIESDKDRSLTFFKRRAGLFKAVADLSTLTGARVAIVLESESGKFSSFGTPSAQPVVDAFLAGNAPTWPYANGTITELQNELFQLEKDMAVGAKRKKCSTTLAKELEESSRTGKLVFGKEEDLDDSEICEKYRGLSRVQQEIQHRRLSVVHHGKQQEVGGLKDPLLLQPSWWRRSLPSKMAPPRALPWTPIHPSLKFPGLSVPAPTRSQSSILNPMMLPSHKPPPRSLAPSMVPLPPPISSSSPPMPSPPQQFSPPLSLDGQLPFIDLNNNSIEPPQKYASSGSTSTPPNKPYYATLDGLNIELPVTNENGGKTDDGRSMFGFSAPLQSYGWIDEMISESSSIGGQSGAGAGNNLGGMNLP >Et_1A_006691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26461619:26462694:1 gene:Et_1A_006691 transcript:Et_1A_006691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMATALMVVVAVLGLATGGNAQLQYGYYKGKCNGSDVEAVVQSIVKARFAREAPIVAYLLRLQFHECAVNGCDGGLLIDGPGTEKTAAPNLSVKGYDLIAAIKTELEKRCHGVVSCSDIEILATRDAVALAGGPAYTVRTGRRDRRRSLASDVKLPGAEYSSAQAVAYYARLGFTAQETVLLLGAHTVGATHCSLIKNSRLYGYGGKVNSTDPAMDPTLASVYKKYVCPNVPSSDGVTVFLDDQWSALKVDNNYYKNLQRGRGVLLVDQNLYRDASTRGIVDQLASNNGLFQSLFAKVLVKLSEVGVLTGTQGEIRKVCNKFN >Et_7A_052724.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14331285:14331752:1 gene:Et_7A_052724 transcript:Et_7A_052724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVAASLTHQAGHLLRFVHGATSLDLTKLSATALLDEEEAAAVFPNLRTLLLYDCDDVVVLRHFLQNAPELERLTVRYGRFSGGPTRSKKTSSDRRCGTAYECKNLNSVELEFYDDHDVFELDETLGDISREYVLPIERYTRHGKCKVKILYT >Et_7A_052640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10152693:10159367:1 gene:Et_7A_052640 transcript:Et_7A_052640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDALLSNQGVHCHISYRGSGALRRQGQQCWPLTCKNEVRAAAVAGHGDDVGEQAPDGLDDPRNARDALVELRRRRLNTLHVLPIVPHGDAFEGVADALASAVHGDDGEHEAPVQLARQPPQPTTSGGHGALAIAAAAVAAVAASFLESLGAVLAGLHSASEQLVSWGSRDTPLLAEIRAQRMQVAEMLAARGRNPRDGLISSRPHPPCLICTVHPRFLPTAPIAVSRRPPPLPPPVRPQRRRETPRRCGNAMQTKISAFFKRQAAEPDPNSGDEGRGEGSAGAKEAKLGPKNSSGGELRSKKRTYAQFHLELGQSDFLLHTCSVCGMMYARGNDDDEKVHKAYHKSYFEGVPFKRVTNELMQGWRNETVIAKSEGGDRVILVTDENSRMRNSKVQEVIQVMEKELGFGEGQLLHKLCKVYLYISCQRIVGCLVTEPIKTAHKVIPCSSEESSSDFPVNNIKSEKLDHTLEFGKISFKRDILRRPDHNIKSKEECRDPGVIICEEEAVPAICGFRAIWVVPSRRRKRIGSKLMDVARKSFCEGQTLGLSQLAFTPPTSSGKALACRYCKTSAFLVSRNLKYLSDEPQGKERSLSSMSCSLCKGSLRTPWRTMQEHTPDRRSSTVGTVTSISESKRPGLLNAGSMASTLMR >Et_6B_048751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13197633:13204753:-1 gene:Et_6B_048751 transcript:Et_6B_048751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGCASLAMAALEALMVAVLLMAQAGAELTRVEHTPKSEGSLSILAVGDWGRRGQFNQTLVAEQMGVMGEKLGIDFIISTGDNFYDDGIANTSDPLFKESFTDIYTADSLQKPWYIVLGNHDYTGNALAQQDPAILEVDSRYNSINKSFIVGAGVADFFLVDTSPFIQKYWNNSKFDWREVAPLTTYIDNLIQDLDDALTESTASWKIVVGHHPISSGCEHGNTSELVQLLLPVLKTHGVDIYLNGHDHCLQRISSIDSPLQLLTSGGGSKAWAGKFKATSDKLEFLYDGQGFMSMQLSKTDAQLSSRGFSTLMGPVAIVVLLVAVMTPGSSAELPQLEHPPKEDGLLTVLAVGDWGRRGQFNQTLVAKQMGIIGEKMDIDFVVNVGDNFYDNGLTGVDDKAFEESFIDIYTAESLQKPWYTILGNHDYRGDALAQLSPVLRKLDSRWICMKSFVVNADIADFFFVDTTPFVLSYWNDPKNNTYDWRGVAPREKYISKVLKDVDSALKDSTAVWKIVVGHHAIRSVSEHGDTQELIQQLLPILKDNEVDLYINGHDHCLEHISSRDSALQYLTSGAGSKAWRGSFTPNSDKLEFFYDGQGFMSLQLSQAEVHLAFYDVTGNVLHSWSQTKPEHY >Et_10B_002766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10842071:10842618:-1 gene:Et_10B_002766 transcript:Et_10B_002766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYARGTVLGYKRSKSNQYENTSLVQIEGVNTKEDVAWYCGKRMAYIYKAKTKSSGTHYRCIWGKVARPHGNSGVVRAKFKSNLPPESMGRKVRVFMYPSSI >Et_4A_033599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24889394:24897081:1 gene:Et_4A_033599 transcript:Et_4A_033599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAGTAAAAAAGAALGARTARSCDGCMRRRARWHCPADDAFLCQACDAAVHSANPLARRHHRVRLATASSSASSSPPRVDDPDAPAWLHGLKRRPRTPRSKLMGSKHEAAAAAIASAAASVPDLDQADEESSAGLGGILGDDDGEHGLVDDDDDDLLYRVPEFDPVLAELYCNPMADEAREEQKPAASCLISSLAETTTSPEFGGASVSADQATDALSAFDVPDMELASFAADMESLLMGVDEGFDDLGFLDDEKPQVNVDHFGLDFHVPAAAAPEPAPERVDDRKRKRPEMILKLDYESVIASWARDGGSPWFHGERPRLDPGDSWPDFSMAGITGGLGGAVTAVTGGEREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRTALPPLPRPPQTQQQQQKPPRALQPAGEVLATPQMGVHGRFRCIIINTAPDRVDLIGLEPKRKD >Et_4A_031933.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30703365:30703658:-1 gene:Et_4A_031933 transcript:Et_4A_031933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFAICATSLVMLPAAAQRRLWLQRYRVDRSMTSLAASAASQGTSAATAWRPSSATHAVVGGICRMSARRPGYLAGGFGGSKSLFLVICDHVLGQF >Et_4B_040028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:821813:825682:1 gene:Et_4B_040028 transcript:Et_4B_040028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELIIYYLKRKINGRQIELEIIPEVDLYKCEPWDLPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATKSGYWKATGKDRKVNSHKRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECEHDTGLQDAYALCRVFKKTAPGPKIIEHYGVVQHHIEQPQWTASSVDHRSPTLDLSCDVRGDEFESSSFSFPTEAPMDSMHGGFGMQMSAPHEDGKSWMQFLSEDAFNATNPFFMNPTSPSFSCIPSKVDVALECARLQHRLSLPPLEAEDFPHDVSLDTKTSVLRSNPNEVDILQEFLSVASASQELINGPSSNYAAEMWPGAGTSSAGTHYSNELSSLVELGVKAKVEVDNFYHIGCVGTSSGLGLKSGHVDEPVRLVEIAEMEEELIEEKKQVENLRGVRLHNNDLGEIVVEGDESSPTKCITQYPIADAADNSGEAGHLTDPTDAGGLDTAPIFSQSQPDDFAIGFDDVNPNASFDLYEKVDVNHGLFISRVGTAKTFFHRVEPSKKVSFHLNPIASDVSKAIEKFHFPKVSGRVSIFSKFKALIRDKFLLKPSYKRSLGNKESATGSELLQIVSLLLTPKEVTGPTSEQQLVQKKAKVMKPGWGCDGNNVCLPLSKGSKGISSMFLSGKWAFLTSALAIRTPGYNH >Et_10B_004331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4060244:4064257:-1 gene:Et_10B_004331 transcript:Et_10B_004331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACSPVALVLLLAIFLLSALSSCLASSSLKPSANGNDTDLAALLAFKAKFSDPLGDLASSWTTNVSFCNWFGVSCSRRRQRVAALVLHNTPLQGEITPHIGNLSFLSRLDLTNTSLTGAIPAEIGTLRRLNYLVLIESPLTGAIPGSIGNLTSLKFLYLSRNSLSGQIPPELLQNLRNLENISLGSNELSGQIPPYLFNSTPSMTHMDLSDNHLSGPIPDGVGSLPMLDYLSLEGNELVGTRPATLYNMSRLQVISLASNNLGGEIPNNQSFNLPLLYWFSISGNNFLGRIPQGFAACQRLQNLYLSANSFVDIIPTWLAQMTHLTYLYLGGLHLTGPIPAVLGNLTHIIDLDISFCNLTGQIPPEIGLMQDLEILRLGINRLTGPIPTSLGNLSKLSWLILESNQLSGSVPRTFGNIPVRATDNFSDNNLLGTGSLGKVFKGQLDTGLVVAIKVLDMELEKAIGSFDAECRVLRMTRHRNLIRIINTCSNLDFRALVLDYMSNGSLEMLLHSEGRSHMGFLRRMDTMIDVSMAMEYLHHEHHEVVLHCDLKPSNVLFDDKMTAHVADFGIAKLLLGEDNSIIVSSMPGTLGYMAPEYGSLGKASRKTDVFSYGIMLFEVFTGKRPTDPMFDGELSIRQWVHQAFPYELDSVVDNQLLQDAFSSAGDLNEVLAPIFELGFLCSTESPDQRMTMRDVVATLKKIKEDYTKFLGLGPPSVLLLLPDCWSAA >Et_2B_022688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30473072:30474964:1 gene:Et_2B_022688 transcript:Et_2B_022688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATFAAVSSLELPDKFSHHKLACSNAHALVSVPASVPDASASSPSALSGLQVLPRNMQSLHSIKVPLPLPRDVQSVNSIKAPFASLPVIQTVYEYANVVKTSRQEGVMSAIPSSSSDSLYRWHLPNPRVSGNSSDRSQTVVVLLGWLGSRQKHLKRYADWYTSRGFHVVTFTLPMSDIVSYNLGGKAEKNVEMLSEHLAGWVREESKKKIIFHTFSNTGWLCYGVILDNLQRKDPSAMDKIKACVVDSAPVATPDPQVWASGFSAAFMKKHSVATKGVGSNDSRSDVLIVESNRDPKPAATEAVLLSALEKFFNVVLNYPAINRRLSDVMELLSSKQPKCPQLYIYSSADRVIPARSVESFIEGQRRAGHEVRACDFVTSPHVDHCRSNPGLYTSQLTKFLEECVLTNRIEDSATSSS >Et_2A_014876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19989092:19989530:-1 gene:Et_2A_014876 transcript:Et_2A_014876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEALQPGQLYFVLPASMLRRPLSGQDMAALAVKATRALAVEAGLATARRKGGDGEAGGGKLIRKSARVAPLVPALSSSSKETASSRGEWNSHGERTVGKTRRGVGHRNGARRRAGVQRLSAIAEGTE >Et_2B_022333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18096337:18097259:-1 gene:Et_2B_022333 transcript:Et_2B_022333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAVTTWSVPAATDADPYAMPSPVQPSSKSKWAPSALNHVKQSSLSRVVSSTPCYNLVVLRAGTTETVKNALSRWGRKVGEATRKAEDLSRNTWQHLRTAPSITEAAVARIAQGTKVLAEGGHDKIFRQAFSAPPDEQLRKSYACYLSTSAGPVMGILYLSTARVAFCSDSPISYEAAGGEAKEWSYYKVAIPLHRLRAATASASKVNPAEKFIQLVSADRHEFWFMGFVNYDSAVMHLQEALSGFHNLRA >Et_5A_042064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5909446:5912469:1 gene:Et_5A_042064 transcript:Et_5A_042064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAELPQAVEPKKKKTSSFKYTFTCAISATMIDIILGYDMGVMSGASLYIKEDLKLTDVQLEILMGIMSLYSLVGSFAAGRTSDWIGRRYTIVLTAAIFFIAAILLGLAINYAMLMAGQFVAGVGLGYGLTIVPVYIAEISPASLRGSLTSIPELSLSLGILLAYVSNYVFARLPLHLGWRVMLGISAAPSVVLALMVFRMPESPRWLVMKGRVAEARAMLEKTSDTPEEAAERLADIKAAAGIREGDNDVAVPVRKGGEENRVWRELILSPTPAMRRILLSAIGIQFLLQASGIGSVVQYSPRIFQRAGVVDKERLLGTTCAVGAAKLLFTLVATFLLDRVGRRPLLLCSIGGVIVSLVGLGVGLTVADRHPGSKITWALALCIASNLTLISSFAAGLGPIAHVYATEIFPLRVRALGSALGVASNRVTSGVVLMSFLSLSKAITIGGVFFLFAGITALGWVLVFIYLPETRGLTLEEMGKLFGMTDAGMNENQATKEKTCGNVHKLDRNDANRVRCLVWKTLFPALFVVYHSKTEQIQGTDTVT >Et_2A_017455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34562568:34563702:1 gene:Et_2A_017455 transcript:Et_2A_017455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLRGGGGGGGGRGGERGGDHSIGADSLFLYARGAAAAAADTAGSGGGGGGIGFQLWHPHQQAAAAAVPHTSQFFSSGVATGVVLGFSSHDGGAAGMGGAGGGTGGGRAGTSCQDCGNNAKKDCSHMRCRTCCRSRGFSCPTHVKSTWVPAAKRRERQQQLAALFRGATNNSASAAAAASKRPRELVRSLGRLPSASSAMVATTTSSGDGSGGRFPPELSVEAVFRCVRIGAVDEPDAELAYQTAVSIGGHTFKGILRDHGPADDAAVGQLPPSSAEYHQLTGAGRDDESPAGSSEAAATAVTSAAVLMDPYPTPIGAFAAGTQFFPHNPRT >Et_8B_058921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1102070:1103017:-1 gene:Et_8B_058921 transcript:Et_8B_058921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPENARLFVGGISPSTGAEDLRSHFRRYGEVTSICLPKDRITGRPRCFAFVQFSQPRDAARALAYPHHVINGRQVVLLELIFSEFAGILDAVESSRVADYYTSTVLWLSAVYLHLSFSTKSASKNLATNAHSLYASSKLLRNPSDAFTTKMPASPAAASFLFFFPLTAPASPSPAVAL >Et_9B_065108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21306673:21315696:1 gene:Et_9B_065108 transcript:Et_9B_065108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSQPRTMAAVSWLLLICLAAGVPQAHAQPDSIGFISIDCGLPGTASYVDDTTKLVYVPDAGFTDAGSNYNISPGYMTSQLSKRYYNVRSFPDGVRNCYTLRSLVMGLKYLIRATFKYGNYDGLNRLPVFDVYIGVNFWSMVNISRPEGELILEAIVVVPDDYVQVCLVNTGSGTPFISGLVLRPLFKGTLYPQVNATRGLVLQGRRNFGPTDATALVRYPDDPYDRIWDPMLVNTSYTTMSTTERVANNNNGDRFDVPSAVMQTAVTPRNASSNLEVFWHPKPQPKDPTPGYFFVLHFSELQLLPGGAVREFYINHNGMQYSQGYRPPYLISNARLNINPTRGYDKYNISLNATANSTLPPIINAMEVFTVMPTTTIGTDSSDVSAITAIRAKYRVQKNWMGDPCMPNNFSWDGLTCIYTNSSHPRIVGLNLSFSGLSGDISSSFADLMAIQSVNLSHNNLAGSIPDAFSHLPSLVVLDLSDNQLSGSIPPGLLKRIQDGSLNLRYGGNPNLCANKSSCQIQNMKGKSKLAIYIVISVVLVFVIISVVVLLICLMRRKKKGSMTNNSVNPQNETMSQVPPGDTYTHSSLELENRRFTYAELEAITNDFQRVLGRGGFGYVYYGILEDGTQVAVKLRSESSNQGVKEFLAEAQILARIHHKNLVSMVGYCKNEQCMALVYEYMSEGTLQEHIEGNGRNGRHLTWRHRLRIAYESAQGLEYLHRGCNPPLIHRDVKATNILLNENLEAKIADFGLSKAFNRYSEFVSTNMLVGTPGYVDPEYQATMQLTTKSDVYSFGVVLLELITGKPPIVRDMMPTTIIQWVRQRLAQGNIEGILDATMHDNYDVNGVWKVADIALKCTAQTSAQRPTMTDVVLQLQEYGNNPNLCANVDSCKTQTTEGKRLKSKLVIFIVVPVALVLVILVVAVLVFCLLRGEKRGSMRKHENETAGSLNLENRRFTYRELENITDNFQRVLGRGGFGYVYHGVMEDGTQVAVKLRSETSNQGMREFLAEAQILARIHHKNLVTMIGYCNDGQYMALVYEYMPQGTLQEHIGGNRRDGRYLTWKQRLRIAFESAQGLEYLHRGCNPPLIHRDVKATNILLNENLEAKIADFGLSKAFDRKNDYVSTMMLVDCLELEEDHAGGDTKVAFYTGSSSGPNSGYNLGSRRTTDGHSIDMSQSSSSAFEMGHNYGKVTTMGSGPVAR >Et_3B_027595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30407411:30407861:1 gene:Et_3B_027595 transcript:Et_3B_027595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SQGTCLLHLAEELRGYLVQVLIHCTLWLPFLLLLHVLPPAYFLHRHITCFFSLHSHNTLHIGHRLLVVVGLGTELGHLE >Et_7A_051940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:298725:301127:-1 gene:Et_7A_051940 transcript:Et_7A_051940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGEVAKPVAAMVLVQVVFAGVNIFYKLAVCDGMDMRVLVAYRYLFASAVLAPLAYFVERRSRTRLTWRVVVLSFICGLTGGSLAQNLYISGMKLTSATFASAMTNLIPAITFVLAVIFRYERLAVRTFSGQTKVAGTLLGVGGAMLLTFYKGADITPWHSTINLVASLTHYHQAAAAAGGHRQEAVAQGSLLVMGSCCFYALWLILQARLSREYPFHYSSTALMCLMSTLQSVAFALCYDRDVAQWRLGLDIRLLSVAYSGVLASGVMLVVLSCSLLLAEKLHLGSALGAVLIVMGLYAVLWGKGRETATEAAKVAELPHQDDDPIDVVVVQSEEEEDKQQQQQRTDR >Et_7A_050849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11635116:11636340:-1 gene:Et_7A_050849 transcript:Et_7A_050849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMTLKVKGGGGGGNRARARRRRTKPATGEDELHLDASSSASSSAKIAPAQPHEDDGEGKHVHCDKCCSPLDDGLAEEEEAGAAPADGEWVAEPEPGVLMTLAPRGDGANYLRRIRFSDDRFPDAWAARAWWADNCDRIVELYSVVVVVQSEHSSHDGDDDDDPAAPVTPCQSEDDEHQRPDGELEYSASCSASASASGGSTSNFSGPSSGSGSANKVDSPILGLVTEPNSSKRSPQAQHNQKKTGPEQ >Et_2B_019960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15596514:15598860:-1 gene:Et_2B_019960 transcript:Et_2B_019960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYGNCSERVYNLWLLFAEDGSKRFYLDMLLSCWGTMPAGDNPHSISEKKAALRESPKQPKSVVNEQQRTSPFSKDNAAAIVGIKRPQPNCPLSPTNHHVAGNSGTNGHLVYVRRRLETDQNKGLGSGSAGSVNSMSLRKVISGEAQSQVSSLKHQNNVPNTQSAPRFAPPAAVTASPSLPSEGLPAHYSFGKQSPEKVAAQTTNGVITSQPPRNVVSSSPVLQSSAAANLAPRNVSATSTAPRYSISATIAPIRADPPRSSNQDWSNRFIRLQTFLRNNEQSGKEEYISMLRSLSPVGRTKHAIELEKRAANLLVEEGKELQKMKVLNVLGRLMPCDPSSFPTQQPPSVVHLPFPARR >Et_4B_039890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5298946:5310310:-1 gene:Et_4B_039890 transcript:Et_4B_039890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGEGSGGSAASVREPHDFSNVASFSELPFLRSAPPRESPNSGIRIFGIDVPHSSPEDKAKEATATVAAAVPAAATAAATQRSSCSAIAAAADSSRKFECHYCCRHFPTSQALGGHQNAHKRERQHAKRVQMQSAMAAAAAAAGGVHHHHLLGYPQHRFGMAGSTVATLYPSWPTVSGPGGAAAIGPQFYSGIGSIAQPINGNPLTAGLWRGLPAGHGNMSVPPGGERRPPVALSAFRGDEPRASASLVASSTSSSSLLLSPQGQFGCEQPATTASEGLNGAFLFSLENEERLAWGVWTLKFEGKRLWRRVHQLNSVSCAQNTQKSWAFNQARSIEALYIT >Et_2A_015534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14521510:14522102:-1 gene:Et_2A_015534 transcript:Et_2A_015534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIATSEKERSPGCFDRNNTEITETNNRNGSETVPFDQSLAKLIFFRLFVREPSNYMKHIATSENERSPSHFDGSNTEITETGPEQLQNCPVCKTHIFEIFAREPSNYMKHIVTSEIERSPGRFDRNNTEITETGLEQLENCPL >Et_3A_026164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:563312:565064:-1 gene:Et_3A_026164 transcript:Et_3A_026164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLTSSTHGGVLLYIMPPSHATGVHTHTMAFLSFVAFLLCFLAPAFYYLLIFKSARLRRRRINSSGKRLPPSPPGLPLLGHLHLLGSLPHRSLRSVAASHGPVVLLRLGRVPTVVVSSAAAAEEVLRTRDLAFASRPRMPMAERLVYGRDVAFAPYGEYWRQARRICVAHLLSARRILSFRRVREQEAAALVARVRERSESGVVELSDLLIAYANNVVSRAAFGDESARGLYDDQDRGRELKKVFAEFQELLATEPLGELLPCLAWVDSLVYGLDRKIKRTFQALDAVLEKVIDDHRSRPTTTQVQDGDDNKDFVDVLLDVNKNYAEYGIRFETNEIKAIILDMFAGGTDTTTTAMEWAMAELVTHPRAMRKLQDQIRAAVKDTSSGHVTEEHLEGDAVPYLKAVIKETLRLHVPVPLLVPREPPADAEILGYHVPAGTRVVINAWAIARDPATWGHRDADEFLPERFLSGGAAAAVDYKGQSFELLPFGAGRRGCPGVGFAEQSMELALASLLYHFDWEAASLDMSETNGLAVHIKSGLPLLAKPWIP >Et_6A_047503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6622591:6646759:-1 gene:Et_6A_047503 transcript:Et_6A_047503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWVRHAILCKVKVLKVRMFWKWYLVLDDLPLISQNLTRLYLRGVRLHNSATDFSGCPALEHLELHECGLMDAKKIVSKSLKHLRIISSCFYDRLYLNLRVHISTPNLVSLYQDDISEDSYASPDASGGIYDPNCDGCLCEICDSVGNLHSGGTSSVLLSGLSEAKSLALISDTVVTIFKGDLRSCPVFSNLKTLLLNDYWCVPDDFHALTCILEHSPVLEKLTLQLFSEKPVPDPAAGGRGSRSINCLPDGALEHIISFLPAEEAVRTSVLAPRWRHLWKSATGLRIGCRDDDGEPGPVKEHREFVDHLLLLRGGLPLGTCEIKLGKFQAEDMPRINLWFRHAIQCKVRVLRLLVFSNLYLPLDDLPLVSQRLTRLELHGVQLNSATMDFTNSPALEHLELMDCGLTNVKKIVSESVKRLSMISCFDDSCYERSCVRICAPNVISLHLDEIDRTPILDSMPLLVEAFVRVNDWRGDMCRIKLEPADCSSGANKSLVCRSTGNVDSGRCTSFLLLHGLSEAKSLVLNSVPDLIVSKGDSRWCPMFRNLKTLLLDEYWCVPEEFNALACILEHSPVLEKLTLQLFSEGPHHNMEMKGGCSMIGPSATISEHLDIVEIRCEVVDEMVLKVLEFLSTFNICKLPCNSTSFLACNIAFTCKSTTSLHIGDVGEPVKELWEFVNHLLLLRHGAPLEVCNFYFDGFDDEDVPSVNLWFRYAVLYNVRLLRLNALPPEDRASRLLQLDNLPLVSHHLRILDLQFVRVRSSFLNFSGCSALKYLWFEFSEFSLGETTTISSESLEHLSIVDSEFSDGSEERSRLRICTPNLLSLRLQHSQGKTPVLVGNMPCLVEASVRIAWNCDDSCKKLLDPNNLDCDCESCASSNNSGHGGLGCVLLMGLSAANWASIFFLYTCNANNLKIYVQSGRLSHVFGLHLIQFIFKRDLRWYPMSTKLRTLTLNDYWCVSNDIGALVCILERSPVLEKLTLQLFTEWYGHKIEMKGSFSSMERSSVISEHLEEVIIKCDVVDERILEVLKMLPRRNGKKAPVETGRDGIDALPDGVLEHILGFLPAEKAVRMSCVLARCWRHLWKSATAPHIKCVGRCREEPAFLVERQKFVDNLLRIHNVYHYGFELSDLSIVSSHLMRLELIGISLNNDLCDLSGCPLLEYLEFG >Et_9A_062028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1965396:1968191:-1 gene:Et_9A_062028 transcript:Et_9A_062028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLGLLHCRSLGPPSMASSPSSSSSSPTCRLGRRLSPPLRCSSPPVDAATPPEKKGGEYRPSFVDDLLLAFFRSKMVEEVGWDSEKPGYDGLMEVVKHLMTKGKSALETEQSAVRVLRSLFPPLLLPLFKALLTPISNGQLASMMLARATALSCQWLMGPCSVNSVTLPDGKSLSSGVFVEKCKYLEESKCLGICINTCKLPTQTFFKEHMGVDLYMEPNFEDFSCQFNFGVHPPPLDTDKALKEPCLDICTNARRRRELSRNRSPDELGCPQLFLDLHINRTKL >Et_3A_025507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30369147:30370221:-1 gene:Et_3A_025507 transcript:Et_3A_025507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPQQGVVYGYSELTYVSSGGAAPYIQQQPPPPPPRRLSPFRILIRAFIAGCILIGVLALLIWLIYRPRTMRVAVATATLFQFDLNTTDPSAPALHYNLTARLAISNPNRRVSIYYDKLQAVGFYQAEPFGTAALPVSFQGTRQADTVPAMLAGISPMQFGESGVDAFRGDQKSTVFPVDLWVDGLVRYKFGELTTTTASTLSVKCRLALQLMVASGWVECTQQAKAGGGGGGGQQTKPAEESEDKAGGDHANDSSSREKAAADLSPAKMRFRPRQAALAGQSPTVTP >Et_7B_053364.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:13519603:13519734:1 gene:Et_7B_053364 transcript:Et_7B_053364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETRGEMRKKKWQPCQSAICGTYEKRGTKEPFNKRQCSHTRF >Et_2B_021345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28980524:28984330:1 gene:Et_2B_021345 transcript:Et_2B_021345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAKHHSIDAQLRLLAPGKVSEDDKLVEYDAFLIDRFLDIVQSIHGADLRELVQEFYEMSAEYDVKRDASRLDELGAKLAGLDPADAIIVASSFSHMLNLANIAEEVQIANRRRNKLKRGDFSDEGNATTESDIEETLKRLVTEIGKKPEEVFEALKSQTVELVLTAHPTQSLRRSLLQKHTKIRNNLTQLYAKDITEDEKKELDEALQAEIQAAFRTDEIRRAQPTPQDEMRYGMSYFQENIWKGVPKFLRRVDTALKSIGINERLPYNAPLIKFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYVSEIEDLMFELSMWRCNDELRARADELLSAPKKASKHYIEFWRAIPSTEPYRVVLGDLRDKLYNTSERWKDLLASGFSEIPERATIKSVEEFLEPLEVCYRSLVEVGDKTIADGVLLDFMRQVSTFGLTLAKLDIRQESERHTDAIDAITTHLGIGSYREWSEEKRQEWLLSELQGKRPLLVDDLPVSEEVADVLGCFRVLAELPPDSFGPYIISMATAPSDVLAVELLQRECHIRNPLPVVPLFERLADLQNAPASVERLFSIDWYLNRIGGKQQIMVGYSDSGKDAGRLSAAWQLYQAQAEVAKVAKKYGVKLTFFHGRGGTVGRGGGPTHLAILSQPPDTINGSLRVTIQGEVIEHSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWSKLMDEMAVVATDAYRSVVVKEPRFVEYFRSATPETEYGRMNIGSRPAKRRPGIAALYDKLLVADDLKPFGEQLRSKYLETEQLLLQIAGHKEILEGDPYLKQRLRLRDPYITTLNVFQAYTLKQIRDPNFKVKTQPPLNKEPADVVKLNPASEYAPGLEDTLIITMKGIAAGMQNTG >Et_5A_040678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11015270:11023855:1 gene:Et_5A_040678 transcript:Et_5A_040678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGAGGRDPLVASEIHGFLTCADLNFEKLMTEAASRWFRPNEIYAVLANHAKFQIVPQPIDKPVSGTVVLYDRKVVRNFRKDGHNWKKKKDGKTVQEAHEKLKIGNEEKVHVYYARGEDDPNFFRRCYWLLDKELERIVLVHYRQTSEENAVPPPNTEPAVAEMPPINLIHYSSPLTSTDSASARTEHSCAAAATAPEEINSHGGGAISCESDDPESSLELFWADLLESSMKNNTSVGGGSLTSNQHTKYGMGDSGNNNFISTNASNNVIFASTVDVHSEAYATNPSLNQVSENYFGAPKHHANQSPFLFTSDLDSQSNQVASSLVKTQPNGIRNDVPVRQNSLGLWKYFEDDVTCLGDNPSSTIPTQPVTNERIFNITEISPEWAYSTENTKVLVVGNFHEQYKHLNTNVHCVIGDKIVAADTVQSGVYRFMAAPHTPGRVNLFLTLDGKTPISEVLSFDYRTMPGSSSDSELSSVEDEPNKSKLQMQMRLARLLFSANKKKLAPKFLVEGSKVSNLLSASAEKEWMDLLKFVTDSKGPYVAATEGLLELMLRNRLQEWLIEKIVEGHKSTGRDDLGQGPIHLCSFLGYTWAIRLFSLSGFSLDFRDSSGWTALHWAAYNGREKMVAALLSAGANPSLVTDPAPGAPGGYTAADLAAKGGYDGLAAYLAEKGLTAHFEAMSLSKDTGRSTSRTKSMKQQTKEFEPLSEQELCLKESLAAYRNAADAASNIQAALRERTLKLQTKAVQLANPETEAAAIVAAMRIQHAFRNYNRKKVMRAAARIQTHFRTWKIRRDFMNMRRQAIKIQAVYRGHQVRRQYRKVIWSVGVVEKAILRWRKKRKGLRGIATGMAVAMTTDAEPGSTAEEDYYQIGRQQAEDRFNRSVVRVQALFRSYRAQQEYRRMKVAHEEAKVEFCGK >Et_8B_060124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6124116:6128404:1 gene:Et_8B_060124 transcript:Et_8B_060124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGGGLHGSHEALLLQAAGSGAGDVHGHAPAWFGPAAAPSYSSYMPPHAPPLPFAADAPAGPFGFGFGGYGEGGGAPGQFGLFGLEPPAMASQGHGMAAPPHPHHHHGPSSSRVVSGLLGTLQAELGRMTAKEIMDAKALAASRSHSEAERRRRQRINGHLAKLRSLLPNTTKTDKASLLAEVIEHVKELKRQTSAVLDAAVDTDRDGEGAHPAAAQEQHLLLLPTEADELAVDAAEDGEGRLVVRASLCCEDRAGLIPDIARALAALRLRARRAEIATLGGRVRNVLLITVDEEEEGQAAAADEGDEGGAAAVSHRRHELVASVQEALRGVMDRKGGASSGGDTSSSSGGGGGSGSLKRQRMSAAHDQGTRNKVSDCFDEIVSREG >Et_3A_026659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12460458:12466779:1 gene:Et_3A_026659 transcript:Et_3A_026659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNSSQAAGTHGAGLEPWRRFFNGADIFNTILVAATDSPPGFQRRRDRIVEQIYSVPAVVPVPGTAAVGEDPSSAAHVSAENAAGNNNVAAAECVNNGEEMAVADERIAAECVNHGHNSAGAFADDCLNKLGDEPTIWRRSRRCSGSGRHPQPPARAADRLVQGDESTGIEDAVRELPKQKSSILKGPVREITRGWKVGADGIAEKEVEWQTSSDVKQ >Et_10B_003591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3616212:3619973:1 gene:Et_10B_003591 transcript:Et_10B_003591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAGAGDAAALAPGPASAAGADEEEERALLPTSSPDDNDDDGEDLEERAYEAAEKVIVSISDGPDLEDGGGDALYSAPGAAAAPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAVAGDTLLWLLMWATAMGLLVQLLAARLGVATGRHLAELCRDEYPDWARRALWLMAEVAMVGADIQEVIGSAIAIKILSKGYLPLWAGVVITALDCFIFLSLENYGVRKLEAVFAFLIATMAISFAWMFTDTKPNGKDLLIGILVPKLSSRTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDQNKEYQVREALRYYSIESTVALAVSFMINLFVTTVFAKGFYGSKIAGNIGLENAGQYLQEKFGGGGFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWIRALITRSFAIVPTIIVALYFNASDSALDVLNEWLNVLQSIQIPFALIPLITLVSKEQVMGVFKIGPNTQAVTWTVATLLITINGYLLMDFFSSEIRGPLSGSVLCIGVLIYASFVAYLILRGTELSEKIVKAVPKSFS >Et_3B_029554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2641196:2643360:1 gene:Et_3B_029554 transcript:Et_3B_029554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAPPPAEPPSPPPPAPTEDAGVEMEAAGSRSRASSVGTVNWGTATLVGVFAGLLYGGSREASASVSKDAEVMLKMGSTTDKREQYRLMRDAMEKRFIRVAKGSLIGGVRLGMFTATFFGIQNLLIENRGVHDVFNIAGAGSATAAAFGLILPGSMMWRARNVLVGSVLGAGICFPLGWIQLKLAEKAKLEIANSKSPSDLTEEKGNQSRVGAAIERLESSLKK >Et_3B_028135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10712350:10714082:-1 gene:Et_3B_028135 transcript:Et_3B_028135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIVFVPFAAQGHVAPMLHLARALVADHSNLSVTVAVPDFIHRRMGQYRDGGGVSLAPIPTGIKDDGGDEPPGTAAILHAMEHHMPSQLEGMLTTTKMQGAVSCVVVDLLASWAIPVAERCGLPIVGFWVGMLATYRSVAVIPELISKGLVSESGTLLLTDGVNEHDDIKHHDLGDLNAFPPKLKLRANDLPWLVSGAVSQKTRFAFWLQIVNRAKSLRSIILNSFPGEDGGDSYQYDPPWGQQILHVGPVLLNEDLKKTTSMWQADQKCIDWLDKQSSGSVIYVSFGSWAAPIKPDKITGFARGLEASGRPFLWALKNHPSWRAGLPDGYAEKVAGCGKIVSWAPQDDVLKHEAVGCYIMHSGWNSVLEALRQGVRMICYPICGDHFINCAYVVNMWEAGIALPSSDENDVKDCIERVMKGEEGTHLQEKVNQLRETITGDAMCVAKRNLNLFMKGINKNN >Et_3A_026880.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2542972:2543532:1 gene:Et_3A_026880 transcript:Et_3A_026880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRQVSAGAGRWRGATAWWWLAAVVLGHLLSCARAGLLETNPGLAYNFYAKTCPNAESIVRSITRQMVAGNPALPARLLRLHFHDCFVNVSAPLAATHLSRSIYFACCDHALPPSSCRRRGAGAGGDAASASAVSPCSSLNIASLTKLQPFFTASARNTPKSNCGLAWSDSSMQIQAPQNTATCV >Et_1A_006119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18018201:18021327:1 gene:Et_1A_006119 transcript:Et_1A_006119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGSSNSKKSKLSWSKSLVRKWFNIRGKSHDFHADGGDGDWIDGSWTRRDSCTTKKSRTDRASRRSHERSRRSKIDLDAAEATVTLDYRIFAATWNVGGRAPPGSLSLDDWLRTSPPADIYVLGYVRARAAPAAFAFFLPARRRQLNWIRSIDSPLRLRPSRFQEIVPLNAGNVLGAEDNGPARKWVSLVRRTLNSLPGCGGGGSGSLQTPSPAPYPMAEVDDDFERSRQNNPSFFHRRSFQAGLSRSLRADGDILAGAAQPRLERRYSVNDRVMYGSRPSDYDANCRWGGGGGGPSDDEEDEGGVSPSTVFSPMSYGYGNAPSAEEWNGGSRGHARYCLVASKQMVGLFLMIWARSEIKSDIRNLKVSCVGRGLMGYLGNKGSISISMLLHQTSFCFVCSHLTSGQKYGDEHRRNSDVMEILRKTRFPVVYGQYERSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRSEQRGGRVFPGWNEGRIYFPPTYKYSNNSDKYAGDDMNQKEKKRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSMFSAEVESINHRRIQKMNSWSSQLEIEELLPYSYGYTDINPYGYTDLNFY >Et_10B_004278.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:2618002:2618784:1 gene:Et_10B_004278 transcript:Et_10B_004278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGVIFPSTYIEFALEKADEAKDYPPLKSIPTKEFTLGGRQWRILCYPGGKYKEVRGVGVYLELIDPDIAHEVRVCFSVKMDSIELSCRRTLSTNLTFGKYQKKLDSNGFEGVVSHKELAELKYPRNKFKIACAIVVLRDNRIDVPASDLGSHLDALQERGIDYDVAFNVGGQLIPAHRIVLAARSKVFASMLCGEMREAGKHEVKIQGDAAPFTALVKFAYTDSLPSNDELWTDDAVSAWGQLLRLSDYYGMDRMKS >Et_7B_054803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4548617:4550407:-1 gene:Et_7B_054803 transcript:Et_7B_054803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPALQEAGSRPYMPSLCSGSRNPSAKCYGDRFIPDRSAMDMDMAHYLLTEPRKGKENPAASPAKEVYRKLLAEKLLNNRTRILAFRNKPPEPENILTDLRAEAASFQAKPAKQRRHIPQSAERTLDAPELVDDYYLNLLDWGSSNVLSIALGSTVYLWDASSGSTSELVTVDEDCGPVTSVSWAPDGRHIAVGLSSSDIQLWDSTSNRLLRTLRGVHESRVGSLAWNNHILTTGGMDGKIVNNDVRIRNHVVHTYPGHEQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSVQSVGRTQWLHRFQDHLAAVKALAWCPFQSNLLASGGGGGDRCIKFWNTHTGACLNSVDTGSQNQLTLWKYPSMVKMAEMNGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGTPEAIPKPTAKASYTGIFNSFNHIR >Et_8A_056161.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:138822:139043:1 gene:Et_8A_056161 transcript:Et_8A_056161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSSSPAVDWGDDSPGEMDSEDTVASTSMGITVGSMMEVDADDRLPTSSASLPIDADFFNAFPDDFDDQDLD >Et_2A_016084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20929686:20934481:-1 gene:Et_2A_016084 transcript:Et_2A_016084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTVPFFLTSTTLSAANKPQPQPPAPAPPPCDAQPEASDATSLASASYTARMRLNPHLALRLFDHMLRSGADPDPAAYALALASCSRGRDPAAAAQLHAHAAKRGLASHRRVRGRLVHAYAVCGMVSHARRVFDRGADNDMVAWNCLLRGYALEGGDGDALRDFFSRMPSRNSVSWNTVLSWCVMNGEYHEAITVFREMLASRECEPDRVTLVSVISAIAYSGALAQGLWAHAYVFRKRIEVDEKLSSALINMYSKCGFIEGAVYVFDNIAAKRSLDSWNAMLAGFTANGCSERALELFTRMESTGLVPNKITFNCVLNACSHGGLVDEGIRYFGRMSTVYGIKPDIAHYGCMVDLYCRAGLFEKAEEMIQTMPMEPDASMLKALLGACRTHKNMELGKKAGYRLIESAPNDHAGYVLLSNIYALDGNWGGVHKVRKLMLDRGVQKIPGSSSVELDVNNLRICGDCHNAMKLLSKIYRRCITVRDANRFHHFRDGSCSCGDYCFIVVKKKNVHEAVKQDSLYREGAGSESSDFASTGWPLADSSSCVKLLLTGKL >Et_5B_043977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18552620:18563186:1 gene:Et_5B_043977 transcript:Et_5B_043977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDRAELARLCSGRNWSKSIRLLDSILARSPSSIHDLCNRAFCYSQLELHKHVVKDCDRALELEPALLQAYVLKGKALSALGKKEDALVAWKQGYEFAVHDTMDLKQLLELEELISSVKISEAAESGDHVTDASPCDTKVVISEDRIVDPVSTITTTADTKTVVCEEGIGNSKASANGDTKLASANDKVDNNKGSTSPAKDKDTTGTQAPKKGPKPDKRSKAKATKETNGRAEVGTERSSCESETIALDQTLFATKISKSSKSMCLDFRLSRGIAQVNEGRYDQAISIFDQILRETPTYPEALIGRGTAYAFQRELDSAISDFTKAIEDLTKALEFEPNSPDILHERGIVNYKFKDYNSALEDLSTCVKRDKKNSSAHTYLYKRAEDEHLLGIKYDESFLDCWAHLAQLYLDLAYPEKLLNCLEKVIQIDSRFAKAYHLRGILYHGMGRHRSAIKELSVALTYESSSIECLYLRASCHHAVGDYKSAIKDYDGVLDLELDSMDKFVLQCLAFYQKEMALYIASKANLEFSQFNIDDDVDPIFKEYWCKRLHPKNVAEKVYRQPPLRVSLRSGRLNKQDFKFTKHQTTLLLAADSIGKKIQYNCRGFLPNQRQYRMAGLAAIEIAQKVSKAWRALRNPKNIAKLVRRRDKLNMSQNRGGNCSTSTLSGSPTSGPNEDRISSGISLSWQDVYNIAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPLLLGQAKVVRYYPYYQRVLETAKTIMLDLKYANNVEDRAIFLTDIEKLKKIEVASSCSDLFNIVGETYWVATRCDSMAFQGRRLEGTRITTQNMGKTGFDFAIRTPCTPSRWEEYDEEMTAAWEAICEAFCSDTNPTRDPGTLDAVKDAILRMTYYWYNFMPLSRGSAVVGYVVLLGLFLAANMDVTASIPAGVQVDWEAMLSPDPDTFVNAVKPWLYPSIKISRSLKDYTDVSCAFSTTGSVVAALTTVDP >Et_4B_037913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24733166:24736113:-1 gene:Et_4B_037913 transcript:Et_4B_037913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAAFLARLPQLALLQPPGGGDRAAVPVRVRVPGSVGSQGTKAVTATERRGVRCRASLIEPDGGRLVDLVAPEEGGRRAALRREAAALPHRVRLSRVDKEWVHVLSEGWASPLRGFMREAEFLQALHFNAIRGEDGRMVNMSVPIVLALGDAQRRAIQADGATRVALVDDRDRPIAILSDIEIYKHNKEERIARTWGTTAPGLPYAEEAITNAGDWLIGGDLEVIEPIKYNDGLDQYRLSPAQLREEFARRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPVLLLHPLGGFTKADDVPLSWRMKQHEKVLEAGVLNPESTVVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDTKQKKMDFFDPSRKDDFLFISGTKMRTLAKNRESPPDGFMCPGGWKVLVEYYDSLVPSEGSSKLREPVAA >Et_1A_005831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14516551:14525400:1 gene:Et_1A_005831 transcript:Et_1A_005831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGAKATAAKSADKDKGKKAGPVSRSSRAAPQMMRCGFEEFREVSPVLGCVSVEFSFQEGFGRSESHMEKSAPKKDVYQLFAEKVRDNKQLESRWAIMQETRVEYFRGKDFTTFVKNHPDVREILGPDKDLEVEDIVNTLLTKNLVIRCDRVMKTLRPGKKKLSSWPAHLEIHHEQVFTENDGFYAWMFLKRRTLWQTILSFVWPLFALAVCLFPVYPYQCKIVVLYSCAGALLFIVSLLLLRAAIFGVLWVLLGKRVWFFPNINAEETTFRELVRFWPEKDEGERPKWTSRLFYALVAVLVILLLRHHAPDEAARARYQKKVSNIIDDVLEWSPKLAISGMMEKNTGANMTETSNYTSGTGSSNAPPPPEETPAEADPNFDAEVDIQTSSDEIEDSDEHADDMRKRSKNQ >Et_4B_038454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29062114:29063388:-1 gene:Et_4B_038454 transcript:Et_4B_038454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHSPGIENMLFDTNSGHRRLCLPSLPMLRCLAVLVSQTFIRKREIELEELVVEDVPSLERLLMQEVQYGPSVRIKGATKLKMLGYLGTGFPIIELGSSVFKGMVPVSLVRQFSTVTILGLEMPEPNLEVVVGYLTCFPCLEKLHIKKNLNPALTLDPSAPIECLDRSLKTIVLQSYDGLEAHVKFARFFVERARVLEVMKFCSIRDCATSWLRAQFRLLHIENRASRCAEFPFVHQYDWPTRFWMDDGFSSDDPFMESASDSYGIVSEIIH >Et_5B_044329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22803975:22805973:1 gene:Et_5B_044329 transcript:Et_5B_044329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASRPLVSVKALEGDMATDSAGLPLPAVFRAPIRPDWARFVHKLLSCNKRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRKWHHRVNINLRRAAVASALAATAVPALVMARGHRVESVPEFPLVISDSAESIEKTSQAIKILKQVGADADAEKAKDSVGIRPGKGKMRNRRYINRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLEEVYGSFETPSLKKKGFVLPRSKMANADLGRIINSDEVQSVVKPLNKEVKRREKRKNPLKNMAAVLKLNPYLGTARKMATLAEAARIKARTEKLDSKRTKLSPEEAAKVKAAGKSWYKTMISDSDYTEFEVFTKWLGVT >Et_2B_021946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7172355:7172722:-1 gene:Et_2B_021946 transcript:Et_2B_021946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMRLLYLSSPSSLADLTSCSSPRQASDLREKRNWMWSIGSSRTRKRSKGISSTPTPTLVSFALQSWCLTLPKSHRM >Et_7B_055384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9883486:9884873:1 gene:Et_7B_055384 transcript:Et_7B_055384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERLNHKSYTAAGREPAYHAEAEPSIDEVLSKLLPKGFKFAPSDLEAIEHLEAKSGLRSPHPYLNYHVQTIHVPIHPENIPGIRMDGSSHYFFSMLRNAYGRGDRKLRQVIKDGHIFTWHKTGKPTKIRDDGDIRGTRDILTLYKGSNKTGKGKAGWTMHGYHLKKEMSRDDEIVLAKVFYCGTSPPKPALPLGPDNHHIHLDQPKEEEMVSGMLVDQHEQPLFGKPYSQFESDDNDNLEALLESFKSSEF >Et_9B_064839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19019630:19020208:-1 gene:Et_9B_064839 transcript:Et_9B_064839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMEETYTPTRISKAEHGPSPLEKNHSLPKSAEGIASRENIIRAESASEKEKGSSQRTEKAKESSKRLQKERELAEEREWRKLEEERERERNKDRLAVERATREAHERAFAEAREKADKMAFERITAAQQRASAEAREKEDRASAEARIKAERAAVERATAKARELTIEKAKG >Et_1A_006515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23512277:23519962:-1 gene:Et_1A_006515 transcript:Et_1A_006515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNGKDANGRSSPTPPSSAPRSPPAPDAPPPPPWKAPSPDGRGRPGPGSPPPDAPASDLVEAAHSPGDRALAADAPDAATLIHDARPDFDSTRSPSIEQQACHAYLGRLWWKAVRLSPSLSPQRLWCKAQISRRSTSPAPPANRWRLIRDPGFLRYFRAFHRTPPVLGFFHNSPCPPRFVSAEGSPGRIVHAAGALRRDGDDGMWWFVECRHGRALLRGRDWADLLVWDPMTAERREVAVPYRVRAGSFDLNAAGLCPSAADANCHSSPFSVVVVCIRQGRAYACVYSPGTGSWGELFSIGMRSLQCDLTEEPGALVEDVLYWLLDNGSMLEFQLGNHRLGLVELPSETFSIYKRNIRVVRSEGGRLGLTAVKNFSLHMWAREADSEGTVKWVLRREIDLCKLLALPLTQPRVGSIPVWISGIAEDGNVVFLRTMVGIFMVWPETKQFKMLTNSVLIKTVYPYASFYVAEVWISGIAEDGNVVLLRTMVGIFMVWLETKQFKMLTNSVLIKTVYPYASFYVPKGMFNNSWDVDIKK >Et_3A_023056.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2270897:2272081:-1 gene:Et_3A_023056 transcript:Et_3A_023056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METDLKPEEVKTESDGSGQEKEVKKPDKILPCPRCNSMVTKFCYFNNYNVKQPRHYCKECKRYWTAGGTMRNVPIGSGRRRKKNPYQHHAMMSCDENVSNGDASDATDQQSLPVEPCVLQRPVKLNERVTTSGSEVSLCKKTQVPNTKEQNNSLGSVDNKEEKSCATSSTVSGCSENWVPENTVTKGQNDGLGNGNGVKETHPHNQSNPAGLALVVPRSPGWNNVADMASTQCSTESIHGLGNGTASKVSLPPPQTIPAPGLSAPAVPFPLGPPLWSCIAGWPNGMWSSPRPGSNGSTLPSPPKLGKHSREETLQGEENKGNKIWVPKALRITDPEEAAKSSILASLGIKPDEKGIFKSFQSKVLEDGKTPEAHQAQQANPAAVSRSQSFQERT >Et_3A_026119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4496247:4504328:1 gene:Et_3A_026119 transcript:Et_3A_026119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QNLNFSNPAEEKKRSSFIGHLRLRSVPFRYSSPQSFASPLPVATTASAAPPSSLFLSAPLPAFRPPPPPKPRPTARPRPHAARAPKLPEPERRRDTSAAPRDMGEYCASPDGDAAAMTAVPLPPPSATHLAQDAVARPRYGSCDRRYMKQVFDNVHGNISLDPLALQFVDTEEFQRLRDLKQLGLTYLVYPGAVHTRFEHSLGVYSLAGKVMDNLKMHQGEELGIDRVDIQTVKLAGLLHDIGHGPFSHLFEHEFLPRVIPGSTWSHEQMSVLLLDSIVDKHAIDIEADYLKMIKEMIVASSKFATTKSAKDKHFLYDIVANGRNGIDVDKFDYIGRDCRACGLGCNFQYWRLTEGMRAVELMLVDALVEANDYLGISLHANDPEDFWKLDDTIIKSIETAPNDELKKSKEIIQRIRRRELYKFCNQYSVPRDKLEHFKEITAQDIVCSQSSSKDLKEEDVAVSNVKIDLTRGKDNPLERFVDFGCNEKFPITDDRVSHLLPAYNQDRIVRVYAKKPELVEAVSEAFENFQLRMYGEKTQVHDTPKKKRIRFN >Et_4A_033777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26818108:26825212:-1 gene:Et_4A_033777 transcript:Et_4A_033777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAALLRRRALSPSPTVSSCSPADYIRCLVSNSDLHLMVNSSGLRFQRGYHSSGKFDLTDLTHPHMWYPKAREKKRNVFLHVGPTNSGKTHNALKRLEASSSGVYCGPLRLLAREVAQRLNKVNVPCSLITGQERDEIEGAKHSSVTVEMADVSTEYQCAVIDEIQMVGCRSRGFSFTRALLGLCSDELHVCGDPAAVPLIQRILEATGDVVTVQYYERLSPLVPLKYPLGSFSNIKAGDCLVTFSRRDIYKLKKRIEKDGRHLCSVVYGSLPPETRTKQATMFNDDNSDLNVLVASDAIGMGLNLNISRIIFSTMKKFDGFSFRDLTISEIKQIAGRAGRYGSKFPIGEVTCLDAGDLPLLHSSLNSPSPTIKRAGLFPTFDLLSLYSRLHGTEFFQPILERFLDKAKLSPDYFISDCEDMLFAENYAKKGIVRLKEIFTPGTLVVPKTHNQLKELESVHKVLELYVWLSFKMEDSFPDREVAASQKSICSMLIEEYLERSGWQPQGKFLRSPQKLLQEYDVSQMYDIFLSSEI >Et_1A_008465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7938792:7940260:-1 gene:Et_1A_008465 transcript:Et_1A_008465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EAFHPILTIDQSCLPSSRERSIARRSNEGRRKKMKVQCDVCAAEAASVFCCADEAALCDACDRRVHRANKLAGKHRRFSLLSPSPSASSSGSAAHQPSPPLCDICQEKRGLLFCKEDRAILCRDCDVSVHTTSELTMRHTRFLLTVVRLSAEPAASPAPPSEDENTSNSFCCSAGDAAPPAPATSHGGSSGSDSSSISEYLTKTLPGWHVEDFLVDEATAAAAAAAAASATTAGVFADASYQGGIGGLQQGYSAWMAQEQLYCESAVTGDARIHRERWVPQMSYAGMDMAGSKRPRTTSAASYSYW >Et_1A_005969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16029888:16032725:-1 gene:Et_1A_005969 transcript:Et_1A_005969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESAARAAVVRRLMSVKAESGKSFSDVAAETGLTNVYVAQLLRRQAQLKPETAPALKAALPALTVELVDLMMQPPFRNYHPDIVQEPAIYRLNEAVMHFGESIKEIINEDFGDGIMSAIDFYCSVDKVKGADGKDRVVVTFDGKYLPYTEQKSEHMMSRSNRK >Et_5A_042874.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6177544:6179622:1 gene:Et_5A_042874 transcript:Et_5A_042874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMITTRPLLHLTFFLLLAQLAHPVLVPEIKNRTTYIVHADPMAKPNHFATHGHWYTSMVASDSQDTKTNSGRVVYVYDKVIYGFAAEITDDQARRLASTPGVAGVYRDRVVPLHTTRSPGFLGLDTEFGVWPETDFGDGVIIGFVDGGIWPESASFNDSGLGPVRPSWRGKCDDGARFQASLCNNKLVGARFFLDGTPINKTEFHSPRDKSGHGTHVASTAAGSEVRDANIFKFAQGTARGIAPRARIAMYKACSVLLKGCSLTGVVAAVEAAVKDGVDILSLSLGLREHDFHDDPMSIALFGAVRADVFVACSAKNDGPSESSLGNVAPWITTVGAASVDRVFPVTVTLGDGQVLTGQSLYTQQVNKTEMLRLLPSRCVDDLVPDRIMGKVVVCSFAGVPQGLAVQRGGGAGLISLGNTDWRMDGLLVQAFTLPAVTLSSVEATKLAAYIHSVPYPVASFCFTCRTVIGENRAPVVAFSSSRGPSQVVREILKPDVIAPGLNILAAWPDETPPLLGDTRTSAFNMISGTSMMRSAMMRSAIMTTATMLDGHGRAITDSAGKNGGASATPFSAGAGLVRPPLALDPGLVYDATEQDYVSFLCTLKYTTAQIRLFVPGFTGCTRTLHGGVGGLNYPSFVVAFGNGTNVRVLERTVTMVSEGPETYTVRVEAPDRLVAVTVTPGKLEFGRKN >Et_8A_057505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3703874:3710618:-1 gene:Et_8A_057505 transcript:Et_8A_057505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRLGKHGQRILTSSPDLRKETKEYVIFLLKGGIRKDDASQKLNVFLEDDSTAFVSWLWDHLSMHLHLYAQEQNQQQIKDVESPKEVSGRQKSSVLLPRSKGQTHSEEPTIESSTNAKKRNKREWKGIGREGNEKFPLRSVLTDILHGEEKRAQKSNETRQPPLKQQNGRKRDRDDEPQQTKRDVSLRPMLGGGASRRLLQFAVRDAVKAVQPASGPSEPASKRLRSVVSTASADNLHDKRSERSQECLNDRRSERTRPVLQVQGAALALKAAAEAAADSTKVRSTGSVFGRLGQGNVVKQQSRSREEKRDYEDLEPVTTVDELDSDRYGNDEESEEESGELTMADREAEMSVDSASDDDMDQDEGITRYQSSGSHESAVESLVEKEAVLVKCSIEPEIKAKRPSSVINKEQPISSSIVAASKTVAVPTDVNNLEPINYETPKDELDHAEVQKDSQRTAPSVAVSYSMAHPTEDADSRTLYVSNVHFAATKDSLSRHFNKFGAVLKVVIVTNAASGQPTGSAYVEFLHKESAERALSLNGTSFMTRILKVVRRSSHEAAQFFGWHGIGRPSMYGRPGRMYPRSVHPGGSFRGRAPMKAGARSLQWKREPSGTDASAGAALSSEQVLPPAT >Et_1B_012569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33317498:33317917:1 gene:Et_1B_012569 transcript:Et_1B_012569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSPAVPMFPSVPPPALQAGGRMNKKRAGLPKLLHKLFIKVLRLKPVEEETTSAAAFEAYYGAAYGSSWAGVLSSIPEEEDDTSDEDGGAPDVVLRKAKSERFVVRPPNAATVVHVEVLL >Et_7A_052409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7774012:7775797:1 gene:Et_7A_052409 transcript:Et_7A_052409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLVTSSPISPARPSPWRTCGAAASVRCAASSSSTPSSPAAAGKQVSKVHSYGTVDFERRSPLRWGTLYRRIAVGHGGRPVGRTLGAWDEGERRLDKWELCRIAKELRKFRRFNLAIQVYEWMTERRDRFSLTASDMAIQLDLIAKVRGVPHAEKYFEELPDTMKDKRTYGSLLNVYAQAMLKEKTEETFEQMRRKGFASDTLPCNVLMNFYVDVGQPDKVSAIIDEMKERNVAFDVCTYNIWIKSCAAKQDTDGMERVFNQMLADESVVANWTTYTTLASMYIRLGNSEKAEECLKEAEKRTTGREKKCFHYLITLYSHLGKKEEVYRIWNWYKATFTTIHNQGYQEVLSALVRIGDIEGAEVLYEEWASKSASFDPKTMNILLAWYAKEGFAAKAEQTLNRFVEKGGNPKPNTWEILATAYLKDNKISEALSSMEKAAAVKSASKWRPRPTNVESLLANFKEQNDSESADRLMSVLTSRGCAENEEYKSLINAYAFAGT >Et_4A_034130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30157087:30158292:1 gene:Et_4A_034130 transcript:Et_4A_034130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQEEQNHLTESTPASGDLGKMARGGNEEYEEIVRFINHTYQGQIEGFDWGVDEINEKIEHYREQLNPGLPDDSDDDEFQDYYDEIQCAELDQRIALYRIRALKEEARRLDDADLRVMYPPATLQDKGYLTWNMRGFEYYFDPNYRKNAHLEDYQRLMLRDNVCNATWLVRHETISSVVQYFSFDLYGEYEDLEYYRETCNTLEGDQQFIQFWEKLSTETKWIVHCIGANPSALCQTQPKSKPSHHAPGKPDPKES >Et_5A_042279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8219417:8227659:-1 gene:Et_5A_042279 transcript:Et_5A_042279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSPPSSQGKVQQQWTTFRGTAASPPPALRPPPVIGDFRRTVGSAPVRAVAAEASETSPVPQLDPPLLANYVPVFVMLPLGVVTAEHELEDASLLRAQLRRLREDAGVDGVMVDVWWGVVEGAGPGRYEWRAYRELFALVREQGLKLQAIMSFHACGGNVGDAVNVPLPRWVLEVGNAHPDVFYTSAGGARNREYLTVGVDDQPLFHGRTAIQLYADFMKSFRENMADFLESGLIVDIEVGLGPAGELRYPSYPESQGWVFPGIGQFQCYDKYLEADFEAAAAAAGHPEWELPSDAGEYNDTPEETGFFAAEGGTYLTEQGRFFLTWYSNKLLEHGDRILDEANKAFLGCKVKLAAKVSGIHWWYMHPSHAAELAAGYYNLAGVRDGYAPIARALARHDGAVLNFTCAEMRDEEQPEAVASSPERLVRQALSAGWREGVEVACENALGRYDRRGFNQMLLNARPNGVVLSGGGGRRVAAVTYLRLSDELMAGKNFRVFRTFVRKMHADQDYCSDPARYGRPIRPLKRSSPKIPMDRLLEATAPAPPFPFDTETDMSVGGGLAEAFDWLDVITVDNTFEKEDEIRAQLKKLTEAGVDGVMIDVWWGLVEAKGPGEYDWTAYKQLFKVVQEAGLKLQAIMSCHQCGGNVGDVVNIPIPQWVRDVGEADPDIFYTNRGGNRNIEYLTLGVDDQPLFQGRTAIQMYADYMKSFRENMAEFLDAGVIVDIEVGLGPAGEMRYPSYPQSQGWEFPGIGEFICYDKYLEADFKAAAKEAGHPEWELPDDAGEYNDTPEKTQFFKDNGTYQTEKGKFFLTWYSNKLIKHGDKILDEANQVFLGCRVQLAIKISGIHWWYTVPNHAAELTAGYYNLDNRDGYRTIAHMLTRHRACMNFTCAEMRDNEQSSEAKSAPEELVQQVLSAGWREGLHVSCENALSRYDATAYNTILRNSRPQGINKNGPPEHKLYGFTYLRLSDELLEGQNYTTFKTFVRRMHANLGYNPNVDPIAPLQRSKPEIPIEDILDVAEPRLEPFPFNKNTDLPV >Et_2B_021240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27883080:27884770:1 gene:Et_2B_021240 transcript:Et_2B_021240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQEKASEWSGVAAADAFAIDDGNLFEALGGTPQPFVDLSTNFYTRVYEDEEEWFREIFAGSKKEDAIQNQYEFLVQRMGGPPLFSQRRGHPALIARHRPFPVTHRAAERWLQHMQQALDTTASIDADSKTKMLNFFRHTAYFLVAGNEMTRQQGQGVTCKHATSKPAE >Et_6A_048058.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4760197:4760427:-1 gene:Et_6A_048058 transcript:Et_6A_048058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLWPTRKRRTGGAAVRTRRGTSLGRLWRRVAGPRTTTTTTTTTTTTRPRRTHGKAGLLSRAARVLSCTRRPRAY >Et_8A_057779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7049126:7050178:-1 gene:Et_8A_057779 transcript:Et_8A_057779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHRAPRQYYDEPRGFRDDPPPPLVRARSISPRRLEEELSSRRGEMRRIREDNQRLADEIVNLRQAKPRLKEDLHVASQAIPKLRAEKALELRELTQRNLKLEAELRTLEPLRQDALHLRSEAGKLQSLKQELSAKVQDLFKELEHQKSESHKIPDMIAERDALRQELIQTRATLEYEKKAKPELTAQVQAMEKDLVAMAQEAEKLRADIAKRRTPSFSSQGPYGSSLSTPGMGLQGIYDGGYPSVGSRYGTGPWGSHDPHGYPR >Et_3B_027663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11369524:11370027:-1 gene:Et_3B_027663 transcript:Et_3B_027663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISASAASPAAKPPPLMLLLRPLASSSSPATSSSSTTVRRRPSSSATATVRRRARPPSDGEYFSGDDGPGLGGGSGGSGGGGWIWSRGFGSGSGQPDDWEPDVPAPRRSAAEAALGVVYELMCLIALSNCTQFAVRRLAGLLAARLTALRFVPTVC >Et_10A_002261.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:650423:651934:1 gene:Et_10A_002261 transcript:Et_10A_002261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWLVLLLHTPAALTHSISSSYNKLVKYLDPYLHITISEYGGGGRFHHNNFFTHVEAYLSDAFTRHARKFKVELGLKKLQVSVDDHEEVTDSFGGATFWWYASKTRATVGLVTFYPPDQDEQRYYRLVFHCSHRDLVFNSYLPHVLDEGRAAILKNRQRRLFTNKSSSDRGNIWRHVPFEHPSTFDTLAMDPHEKEAIKDDLLEFMESKDYYAKVGKAWKRGYLLYGPPGTGKSTMIAAMANFLDYDVYDLELTAVKNNTDLRKLFIETTGKSIIVIEDIDCSLGLTGKRMDKSQDDDDDGKPKPPIMQSEKDDGAKVTLSGLLNFIDGLWSACGGERIIIFTTNHLDKVDPALIRRGRMDKHIEMSYCTFEAFKILAANYLGIGAHELFGEIRQLLNETDMTTADVAENLMPRSKKRKRDPNACLSGLVEAMKMKKAKAKEEEEAKAKAEAEAEEKEEAEAKKRKEESEGNDKTSDSKAANKVSNGYINGVTNDEHSERVH >Et_4B_037370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19037218:19043818:1 gene:Et_4B_037370 transcript:Et_4B_037370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNPEMYEEGGGFYFWGDIDDQDKHFFKIMAGDFRERLTIPQKFVQHFLGTLTGTIMLESRSGATFDVQVIYSLGKLVLGSGWEKFVSVHDLNMGDFLVFKYDGSSHLKVLIFDPSGCEKPPSVVIKKVTHISKRNEPVGMASPYNDLSMKSSSNERKRSWAQWDSSKQGNNIIHIGSSSSSPDPSEGATLSEDDQSEHCIPKYIVPFGTCLGSMMKKKLKQKLQAIHSEIPIHVCVIKKSNIYGTARCMNLSRGYADAYLPFEEKVLLLQCNGKNWKVRCVKVGKRVRLWTGWKRFASDNNLQLGEICLFELLKDKDYTMNSMQNSGKNCSVCMEWQEHCYWCHMADEEKHFFKVMAGGFAQSISIPPRFADNFNGHIAEVVTLKSPGGKIWNIGVGGDADEVVFRSGWKDFVGAHSLEEGDYLVFKYAGVSSFDVLIFDSSGCEKISPHVANNHGYERIEGPESVEGVRHGCHRFNKGKTSTPQSLPSDDDDDGNAQMEVVFPKNTNRKIPKASKRNLSDVIEQSQCEVKDGEDDTELDEDAAPAKTGYYFSKKGPVMEYQLTLEEKEEISSIRVPVQPRNPVFVQVMHPGHVRGKKRSLVAVSSEFATKYMGTLRREIILQRATSRGTWHVSYNCNKFSRGLCGRGWCSFVEDNGIVEHDVCLFEVMQGARRPTMTVHVLRKVRERFVLLR >Et_6A_047308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3768285:3778158:1 gene:Et_6A_047308 transcript:Et_6A_047308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPASSSRGRALGLWPILDVSQAIMSSSSSVSSQPITLFVPAELLSLGRQCSIIVAFSPFDVTYGYLSTCPDVEKSPHLHRSYVEKSSTAWAKHQLTPWTTASSSIQAPSDPLLPGPSSTRKGGNQRARAIAAMEGPILALIVAAGASVAVAVPCLLVAFFCNRRNKKKKSSSAPKLPISAPAAGTQESSSWSFYGGVSAADYSSLPKLSLADLAAATGGFSADNIIGDGSFGFVYRAVLPDGAAVAVKRLATHGAAGNREFRAELEVLGSLSHPNLARLLGYCDAGRDRILVYELLERGSLDAWLHGGDAVAGSQAPLPPLPWPARLRVARGVAAALAFLHHERQPPVLHRDVKASNVLLSDGFEAKLADFGLARIVKGSPDASHVSTQAAGTAGYVAPEIWAGVGATAKADVYSFGILVIEIVTGHRPSWPVKTNNHDKVEVDLVDWAREKIEADQASEILDSRINVGEEGKEMAEVKSLLYIARRCTEGSHKDRPVMEEYPEKDRE >Et_10B_002790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11078932:11079419:-1 gene:Et_10B_002790 transcript:Et_10B_002790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLWESIHDSVMRQLSFRYEQRIIAHFLSPPNNKRCNLTLLYMFSGMQQQAQALEKARSLVLLLATLAATITYQAGLDPPGGLWEEDGHGYIAGDPILLTTNPRRYKAFFYCNSVAFVASLVAIILQHPAPAQLTGGSHDPGPVRPLLALMPPGAAGT >Et_1A_007378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3418278:3420965:1 gene:Et_1A_007378 transcript:Et_1A_007378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITVPAAIPSVEEDCEQLRKAFAGWGTNEKLIISILTHRDAAQRRAIRRWYADSYGEELLRALSDEIHGKFEKAVILWTLDPAERDAELANEEARKWHPGGRALVEIACARTPAQLFAARQAYHDRFKRALEEDVAAHVTGDFRKLLVPLVSAYRYDGPEVNTSLAHSEAKVLHEKIHKKAYSDDEIIRILTTRSKAQLLATFNSYKDQFGHAINKDLKADPKDEYLATLRAIIRCFTCPDRYFEKVIRLALGGMGTDENDLTRVITTRAEVDLKLIKEAYQKRNSVPLERAVAKDTTRDYEDILLALLGAE >Et_8B_059289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16148045:16153437:-1 gene:Et_8B_059289 transcript:Et_8B_059289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTPATAGELLRIEPLELRFPFELKKQISCSMQLSNHSDDFIAFKVKTTSPKKYSVRPNTGVVLPRSTCDVVVTMQAQREAPPDMQCKDKFLVQSVVAPPGITVKDVTGEMFTKESGNKMEEVKLRVTYVAPPQPPSPVPEESEEGSPSRVSESENGDGFTKALRERMDSQEKSSEAGALISKLTEEKNSAIQQNHRLRQELDMVRREISKRRGGGFSFIVVIIVALIGIFLGYLMNLRSSVMKTCSGKQKTATSS >Et_9B_064401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14861955:14873724:-1 gene:Et_9B_064401 transcript:Et_9B_064401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGEEAAALEFTPTWIVAAVCSVIVLLSLVAERFLHYLGKTLKKKNQKPLYEALLKVKEELMLLGFISLLLTVFQGVIQRTCIPAGWTDHMLPCQKPDEKVGEAGATTEHVSAAGVLGGIGRRLLSEGSAVAVEHCHKKGKVPLLSLEAIHHLHIFIFVLAITHVIFSVTTMLLGGAKHSFGKQFYGSVSKSDYTTMRLGFIMLLLAVGTKLEHVIAQLAHEVAEKHSAIEGDLVVKPSDEHFWFRRPRIVLYLIHFILFQNAFEIAFFFWILATYGFNSCIMGQVRFIVPRLVIGITIQLLCSYSTLPLYAIVTQAGEEAALQFTPTWIVAGVCSIIVVISLAAERGLHHLGKLMLLGFISLLLTVLQETIIKICIPPSWTDNMLPCQRPVDDHAAGLGVTKASFIAAEILGGIRPGRLLTEEAAKAQAGICQKEGKVPLLSLEALHQLHIFIFVLAVSHVFFSATTMLLGGAKIRKWKQWEDEIKQNAAENGPTRVTHVHQLEFIKERYKGIGKDSMILCWLHSFCKQFRGSVTKADYTTMRLGFIMTHCRANPKFDFHKYMLRALESDFKKIVGTSWALWVFVVIFLLLNLLLAVGTKLEHVISELAYDVAEKHIAIEGDLVVNPSDEHFWFGQPRIVLHLIHFILFQNAFELAFFFYILITYGFESCFMDNITFLVPRLVVGIIIQLMCSYSTLPLYAIVTQMGSYYKKEIFNEHVQHGVLGWAQKAKGKKCLKECNSI >Et_6A_046701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19665897:19667371:1 gene:Et_6A_046701 transcript:Et_6A_046701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPACINSNHSSPTEEPCHRCRKLTLFPLAQNNAINERIKYVAHIIRAAPVTTTTTIISKKSLLQQLPAGSVLAFQTLAASFTNQGNCLPSNWWLTMGLVTFLSATCIFSSFTDSVKDEKTGKVHKGVALPGRLHVLSLTMEEQKDMEDELNKRGLKPTDWTKLEEKRLKTLDWVHAFFTLVVFLTVAGSDVRLQNYFFPKATEDTRQLLKNLPLGMAVMSSFIFMIFPTTRKSILFDDSEYSVLPPPSPPPPAGSESNEDANLGSIGLAAVSSTRPGP >Et_8A_056891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18630164:18640192:-1 gene:Et_8A_056891 transcript:Et_8A_056891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWLFYSLTTLLCLFCSLLLRARARSPSSSHGSGTAAAPLPPGPVPVPVLGPLLFLARRDFDLGPLLRRLAREYGPVFTFAPLGPARPTVFVAARGPAHRALVQRGAAFASRPAATASGAVLTSGGRNVSSSPYGASWRALRRNLAAGVLNPARLRAFSPARRWVLEVLLARIRADGGEDGGESARSVAVMEPFQYAMFCLLVYMCFGDRLDDARVREVKATQRELLGNFLSFQVFSFLPALTKRVFRRRWEKLLSLRRRQEEIFVPLIRARREAGAGGDCYVDSLVKLAVPEDGGRALTDGEIVSLCSEFLSAGTDTTATALQWILANLVKNPAMQDALRNEVAAAVAGDEEEVREEDLQGMPYLKAVVLEGLRRHPPGHYVLPHAAHEDTTLDGYRVPAGAPVNFAVGDIGLDEEVWAAPATEFRPERFMPGGEGEDVDLTGSKEIKMMPFGAGRRVCPGMALALLHLEYFVANLVREFEWKEVPGEEVDLTEKLEFTVVMKRPLKARAVPLRFISAAAARPGPEPVFLPWQRHGGGAAAAGPGAGAGAGPAALPGPPRLRPGPPAAAPGAGVRPGVHVRAAGPARPTVFVAARGPAHRALVQRGAAFASRPAATASGAVLTSGGRNVSSSPYGASWRALRRNLAAGVLNPARLRAFSPARRWVLEVLLARIRADGGEDGGESARSVAVMEPFQYAMFCLLVYMCFGDRLDDARVREVKATQRELLGNFLSFQVFSFLPALTKRVFRRRWEKLLSLRRRQEEIFVPLIRARREAGAGGDCYVDSLVKLAVPEDGGRALTDGEIVSLCSEFLSAGTDTTATALQWILANLVKNPAMQDALRNEVAAAVAGDEEEVREEDLQGMPYLKAVVLEGLRRHPPGHYVLPHAAHEDTTLDGYRVPAGAPVNFAVGDIGLDEEVWAAPATEFRPERFMPGGEGEDVDLTGSKEIKMMPFGAGRRVCPGMALALLHLEYFVANLVREFEWKEVPGEEVDLTEKLEFTVVMKRPLKARAVPLRSPPAPAVSAA >Et_3B_029328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24060303:24062076:1 gene:Et_3B_029328 transcript:Et_3B_029328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFMRWLKQLLTGRKQGRKGLEESHVASDWNDGQEKEKKRWSFVKQRKSGAGDGGRPSDQAAAAAAAAETPQAKSFRCYEEEDVRGREETAAVLIQKTFRGYLARKALRALRSLVKIQALVRGYLVRKQTAMTLRKLQTLIRLQADSIAVKKASYRKSVEQEVRILEQEIRLKMPAMPVHRRRLSDSTDSNYERSPRIVEMDTCHLRSRSSRMAGRYTAPDHSTAEYLRHAPSCSPLPGKLQPARLSFRRSGGHDRDNTRGSKTAHGTPRFFVPAQPYDSPAKSAVEYGGLSLASTTPQRTPQHRDSALAGSPRYMAGTASSAARTRCHSAPRQRQQQQAAEAARTSLTTTRAAGSRRSCPHASYGGFCPHCFDASRRTGGGYELRIDDEAARDYYLDSLW >Et_8B_059936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4165973:4169791:-1 gene:Et_8B_059936 transcript:Et_8B_059936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRLAVSHRPALPVPAPHNHLRRRSLHLHPSPNSLSLSVPISPRLGPTSRRHLPPILASASAASPAPTAIPKPAAAAGGGAKPLPLLISLAAGLAVRFLVPRPAEVTPQAWQLLSIFLTTIAGLVLGPLPVGAWAFLGLTATVATRTLPFTAAFGAFTNEVIWLIVISFFFARGFVKTGLGDRVATYFVKWLGRSTLGLSYGLAISEAFIAPAMPSTTARAGGVFLPILKSLSLSSGSKPNDPSAKKLGSYLIQSQLQASGNSSALFLTAAAQNLLCLKLAEEIGVKIANPWLTWLKVASLPAIVGLLVTPYLLYKIFPPEIKDTPEAPALAAQKLKNMGPVTRNEWVMIGTMLLAVSLWIFGEAIGVSSVVAAMIGLSILLLLGVLNWEDCLNEKSAWDTLAWFAILVGLAGQLTNLGIVSWMSNCVAKVLQSFSLSWPAAFGVLQASYFFIHYLFASQTAHVGALYSAFLAMHLAAGVPAVLSALALTYNANLFGALTHYSSGQSAVYYGAGYVDLPNVFKLGFTTAAINAVIWGVVGSFWWKFLGLY >Et_9B_065742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1078461:1079974:1 gene:Et_9B_065742 transcript:Et_9B_065742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWSCMPTCTWGEGRDVLLVEGTRAHGPTPVGRWSILLRSQDKEQLERKLMELKTWFIKHGEERRNITQEEGFM >Et_2B_022389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:273982:276801:-1 gene:Et_2B_022389 transcript:Et_2B_022389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLFEKVVTPSDVGKLNRLVVPKHFAEKHLPLLGAAARAGTVLCFHDARGGHATWRFRYSYWGSSQSYVMTKGWSRYVREKRLAAGDTVSFCRDGARLLIDCHRRRHVKQQRPSAAAASPLLVPAQAFVSNNKVLAPPVVVVEEAEATAVRRRLRLFGVDLELRLVEDFMQTQVARKSHKFPASTIAEPARNGLVRV >Et_10A_000277.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20884453:20884743:1 gene:Et_10A_000277 transcript:Et_10A_000277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNRVILELDNQTLANSLKATEKDRSSIGDLWQEIQELGRSLLSFRFSFVHREANSAAHCCAKMPTVSESMWSSFGYAPDWLLGVVTKDCNSAML >Et_9A_061741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1627584:1630430:1 gene:Et_9A_061741 transcript:Et_9A_061741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFGAVKNVFSPESKEKKEERLRKKSAASNPTPLDLTPSASLEVNVSVPPPPVQQTLHPQTEEEVRVPEAEEHSKHVTAEAAPAAPAEAPVLPPGVPSEELAAIKIQTAFRGYLARRALRALRGLVRLKSLVEGNSVKRQSASTLRCMQTLSRVQSQIHSRRAKMSEENQALQRQLLLKQELENFRMGENWDDSTQSKEQIEASLISRQEAAIRRERALAYAFSHQWKSTSRSVNSMFVDPNNLQWGWSWLERWMAAKPWEGRNGTEKESNIDRGSVKSMSLNLGEGEITKAFNRRDTKPDKPSPTTPKLNRPASRQSPSTPCAKVTPIPARRKSITPKNGLSQVDDDARSVFSVQSERPRRHSIATPTVRDDESLASSPSLPSYMVPTESARAKSRLQASAVTNGSETPEKGGSAGSVKKRLSFQAGKASASPMRRHSGPPKVEILVKDTVATPQPEALVVNGENE >Et_3A_027061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31178105:31181291:-1 gene:Et_3A_027061 transcript:Et_3A_027061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESTAVVDASDSGRLPLGSVPLFVYDHRMPPNNRQTAFAIGDGSLHTGVVPELANSYDYHVTSRGWVLLVSPGPSPRTRLWDPRSGESVSLPTMDHKPPKTWECYLSDVPTAPSLIVLVLNMEEPKFLYCHVGDDRWSAHEYDVGDVPVLPECTTTRKIVIEQMAAVGGKFYFHDKGKLGIIDLSSTTPEFSYMDGYPRVDCPDESNCYREFLVESQGEIFNVNIFCEGFNPARILAVRVYRLDTSGPTPTLSKVDDLGDRVFLLSYPNRQALCEASEYGLKGNRVYFNYNVTGDIDGGPICVYDLDDRSLEILWPCKGMTELMGNPFWMLPTDPQTWADKAKMPFKSKVHEKFEDDDGPNGLFRRRFVATH >Et_9B_065810.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:13412760:13413365:-1 gene:Et_9B_065810 transcript:Et_9B_065810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGGERRANGGGGGDGGRDALLRRRKQPVPPPSSSPGAAASSSSSGRGEEGEEEGGGGGGGKRQGRRKKQGKREAVARAIRDGLPAAAASCWRGGVSVVQESGSRRGRSRRWDRAADGSGHGVGGSDAGEGGPVPGAGTAAAAWCCVCPGGDCSLAANPSANGKEDPGLRSLLEQNDFFSADCNPHADGVPAAADAASFW >Et_3A_025808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33032696:33036854:-1 gene:Et_3A_025808 transcript:Et_3A_025808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLCLDGNDARKPGLSEAALGGAVGGLALLGIVIVAYFAFGTAKELWTLRKVAHQVHIYQGARCLTLEELSSATRNFSSANLIGHGTFGEVYKGLLHDGTMVAVKRRHSPPSQEFIQEVNYLSSIHHRNLVNLLGYCQENGMQMLVYEYIPNGSVSTHLYGKSHSPGAKIEFKQRLSIAHGTAKGLSHLHSLTPPAIHMNFKTANVLVDEDFVPKVADTGIPGLLDRLGGAGQSSRIYNNPFLDPRMKEPINFSIQSDVYSFGVFLVELISGRSAASDQSMIQWVQNFQESSDISAIADNRMKSGFTSESMKELLRLTSWCMHPAIEQRPSMSLVEAEIHRIREQEIRLTSVMTEGTPIVTLGSQLFTTSSEVPELAVFLVEVPNVHSVVVGDELVPGRPLHQIDLRLEVVCLVWLAHLDDAEHNDPETCSDVEVLSLEPGTSQEIARFSKKIGIISRVPNRA >Et_5B_044031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19258179:19269748:1 gene:Et_5B_044031 transcript:Et_5B_044031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHESPVLFPTSTSAIQRRRLEEDEEDLDRISRLPDAILGDIISRLPTKDGGRTQVISSRWRHQWRSAPLNFDLGRWWVISEQVVSSILSLHPGPGRRFSILGLSPVTATLDGWLRSPALRNLQELEFGYGVRREEIPPPPIPSSVLLFSSTLRLSLSRVIISDSSMHALLAGCPVLESLLLYFTNGCSCIQIVSPSLRSIGLDPGWGDRDLRLQKVVIENAPSLDRLLFLGYNYEEFVISVISAPKLDSLGVLFDDFARLQFGTTIFQGAQLISLMTLVPNVKVLALSQRDLSLDAVINLMKCFPCLEKLYIKSCWPREKNVWFHKYRNLIRTLQIRLKNIMLIGYRGNKSHINFAKFFVLNARVLQSMILEVDHMISGEWIERQHRLLETKNRASKGALVDFVHHNSRPGLLGWVGTEVAHDLSAADPFSWCRLISGRRYREFHRSHPMLGLFYQNSAGTHGFMPTSSFRLSRADCKNCCAMDARHGRVVFRSTTFNFQGPDGPLMDELIVSNLITGEELRLPMPQFADHDDWNVAVVCAARGCDHVDCPGDGPFTLVFVHTHKSFQLTSASTFSSRMGTWSETISIDHLEGCVIAVPFSGMCSTSTLHWIPRFWRRQKLSMITPLSLTWKVWATFITVMDSKLRFAMLEEHKLNILSREAGRSGDAEWAQQRVFELDKLLPVGALSITAHLCGTEDGGSVIFIATRHGLFAIDLKFGRVTKLSEHNRIYSCVPYISFCTPASRYEAHIFSQANKVLMENGLDLRGWKIRGCWGLLSNEELEEAMEREDSGHKTLPTRL >Et_7B_055046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6861566:6864840:1 gene:Et_7B_055046 transcript:Et_7B_055046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGARSRGGMDHNAGSFVAVRRLSGAVHRHASSGTPARAPAADGVAGSTAWIGRGLSCVCAQGRESDARLSFDLSPIQEECLHRLQNRIEVPYDSSNQEHQEALKALWRASFPGTELLGLVSEQWKEMGWQGKDPSTDFRGGGFISLENLLYFSRNYPKSFRELLCKQNGDRALWEYPFAVAGVNITFMLIQMLDLEGEKPTSLIGAVFLNLLLENDRAFDILYCITFMLMDQKWLDMHATYMDFNMVIKSTRRQLERELLLEDIQRIEDMPSYRFLAR >Et_6B_049143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18654613:18659558:-1 gene:Et_6B_049143 transcript:Et_6B_049143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPPPPRQLEVRRFAAARAGELRSLHAAVSDRLDVGGRRSQQPRSARRRTTGHLPSKRRRRSGEGGTGDEDGGHTSARKQSRRVRRRRELAGNPAEGFSVAGDGARRLRTHLWHAKRFTMERRWGFVLPVHAQGRGRGSRSVLKRLKNGTVIHDASYLVPIQLDGPEDSLLSVLRMVLHPPPPGKAPDLKHMQDQVMRGVCYENAMLLGVGSHCPKILGPVTYMWRPFAGGYDNLELKEEYLSSSHSFDEKDQCSLHRHLWIWIHPSALDEGLEAIRSACDKQGSNAVVKCCSLEGKIGRLDVMGCKAIQSLQRILHPIINSSTINMVPCTNNVSTSTDAPLDSSKMSPLSKASLIDHAGILHPGAILSMIVHDPREVSAQGTSPKVVAPNKENKFLEEDVVPNTDEAPSEVGNVLSSMWMHRGRHDLFLSDCNELWDSSHNINPPVAEEVLSAEKHHERMKLFCLDSGNDQGQTTQEKDSFGRSCPVVLLKHAKEETLALGWSIVLPLNWVKPFWLFLVSHGAHVIGLRERRWIATKLKIPCFPYDYPDSKAYASFMAEEAVVLNKAFECLPAAKRPPRVPVPPLWHCIMASFGKGDGILRSLAVDGLVRATVVLSKDSPVNSESGGAEPWQSNVLASLQLRVPRTIQMLRQYVNEFDTKYLGSSSLLETDTDKPNLASNGIVKTTCSLNELCLTRVLIQAFKEGSFEEGAVVCAPFLSDLSGWKTRSDDEEEECVEKWELQLPKSHVSSYFSGLDHSTSNPQLPEDDTKQEAFRWPIGFVTTGFVHGSSGQDAVAVAFCEAKLLALMRRQQWTHENLQSREICVLVRNARSAAYRRALATIVLEQQEADLKLM >Et_4B_038027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25579173:25580943:-1 gene:Et_4B_038027 transcript:Et_4B_038027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVARCCGHWPPGAAAADGMLWQTELRPHAAGEFSMAAAQANLAMEDQAQVLASPAATLVGVYDGHGGPDASRFLRSRLFPHVQRFATEQGGMSTEVIRRAFGAAEEEFHQQVRQAWPKRPRIAAVGSCCLLGAISGDTLYVANLGDSRAVLGRRVVGGGVAVAERLSSEHNAASEEVRRELAALNPDDAQIVVHARGAWRVKGIIQVSKSIGDFYLKEQEYSMSPLFRQIGPPISLKRPALSAEPSILVRKLKPNDLFLIFASDGLWEHLTDDAAVQIVFKNPRTGIANRLVKAALKEATRKREVRYRDLRTIDRGVRRHFHDDISVVVVYLDGHHGRRHTRVIDSSSNCTSAPVDIFSSNSHQSTEPFLPYKSSG >Et_5B_044224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21764014:21768767:1 gene:Et_5B_044224 transcript:Et_5B_044224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAPPLMDDLVEEILLRFPPDDPGSLLNAALVCKTWCRLVSGPGFHRRFREFHRRRRSSPPPPVLGFFCGICKPSDTFSDPGETGFLPLTPSFRRLPRAFSPSWRAVDARHGRVLFYDKHDVVAVKPKSVRLHLIVWDPIITGEEEEEAWRLPLVPMSTPMDRLARREGWSAALLCDDDDSASSFRVVVVATDEGLTSACVYSSEQRAWGAPVSAQLHPFLRLMRGRNALVGNALYFKCEKSMILEYDMSKQGLSLISQPPESQRKCIALMTAEDGRLGFAVVLRSELHTWSRDGDGRWAQQRAYSLDKLVHSSQLSQESRRKACARVVAAENACSVVFISTCIGIFIIDLKFGRVRKIHEFSHDRDILAIVPYASFCTPDLIAGDTLVAHDDGSTYEDVLAWRREIEKGSLDPADPWALACLSGWFSQFGGDGAGFVRSGLYK >Et_7A_051980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3361337:3369164:1 gene:Et_7A_051980 transcript:Et_7A_051980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYASGSSSIVYQTIVNTGDEFYLVFTVSDGSPYTRITLDHTGTMKLLSWNSNSSSWVVISERPEGRYGLYDSCGPNGYCDFTGHQPACQCLEGFEPIGANSSKGCRRTEELQCGKGNHFVALPGMRVPDNFVLLRNRSFEQCAAECSRNCSCTAFAYANLSSTGTMGDQSSTACVMQVTKSILLQAMLDEVNSDEFHLAVQKKTNLVKIVLPVMACLLLPTCIALVWIRKFRGRILRVCFQYAFFIVTVLSKRYGWTNQQRNGETWSLGKK >Et_2B_020065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16553931:16555244:1 gene:Et_2B_020065 transcript:Et_2B_020065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVANAGFALLLLLAVVALLPTPSAQAACAGESFPANRAVYAACSDLPRLGASVHWTYDRASGDLSVAFVAKPAAPGGWVAWGLNPSGEGMPGAQALVAAPFDEGGDGAAWSVKTFNISGYALGAPGPIAFPATGLAAELGADGRVRVFAGLRLGPSGAAGAVVNQVWQVGAAVSGGSPAPHAMGGDNLAAKGKLDLLRATTASAGADSATRNRNIHGILNAVSWGLLLPMGAIFARYLKTFKSADPAWFYLHVTCQLFGYAVGVSGWATGINLGNESQGVTYTTHRNIGIAVFALGTLQVFALFLRPKKEHKYRGYWNTYHHSVGYTVIVLGVVNIFKGMTILGVDQRWRTAYIAAVCVLGAVAVALEAVTWCVVVRRRKDDSKTFNSASNGHLPPHPA >Et_9B_065883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16666327:16671101:1 gene:Et_9B_065883 transcript:Et_9B_065883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAKEEEVVVAPAAGVKVERESRDVGEGAEAAVAMDVEQGGGDVAAAVSDPLYATESAGMVAEEGSVDELTEGVNGGGGSEEKVQVGAGGMPSEERKSVPAGDVAAPTVAGQAVEAAGFATPETTVADTATENKEMGNGVSHCDNEVQNNVPGDVEGSSKIYQDDGAPAVDQPDGTSEMLPETVEHVPDSGTDPSSSGAARYRLPPLDSGGFRVSDLVWGKVKSHPWWPGEIFDPSDASDLALKHRKKDSHLVAYFGDSTFAWCDESQLKPFMTNYSQMEKQSSSDPFVGSINNALEELSRRILSEELADSGMSYTVENAGLKDGVTCSAVNRFEILKCFSPENLLHYIKELALFPGQGGDLLELVVACSQLTSFYRSKGCSELASFQSGDGWVEDGMDTPSIQNVMVEEAVISEVQPAQDKPKRGRGRPRKQKPEYDKEVMGKKDASNLNNHNSYHDTVERQMGMEFDDFDNLESKKKRNLDSFEDSEKSSTIGSSFKIGECIRRAASQLAGSSSIVKAQNESTVHKSPAEAENGEFDIVSDDADDELTVLSRAKRRRMYRNHNADPNELLSQLCIAATEPMNGYSFSAMIISYFSDYRNYVVSTATEASIVEKSTSKRGRKRKVLPPPEVETTDHMQDSYWSGLSLHNHPIHNLRNESSNTRPRRRRRSSRQTYVPLSELGGSPKKQIQVTERSIIHVEEKMVDELKPTALVLSFGRAADVPSETDLIKMFSRYGPLKESETEVDKHTNTVKVVFKKRSDAERAFSVAGKYGTFGPSLRSYRLVNMPFSLKASQTKNPETHPEDRGPDVSGPSESNVSLDAMQIDQVDKTDKAVGEELAVEQVETAKQTSQMETAGKALVNQVDMIEKVGLIDAELTCLVQYGTGAQTQSVAEGSSDQDRTVERACTQKESSVEGLSETKQDEAAAGAPNGSMISEIELGEAPSQVLLDETTNTVQMEAASEAQPEDTVKQVLQADATDEASAQMVSGNTQTTTAAEAPEKGHISEVPTTAQVDTEADNVNQVPEEGTVSLESQSQTSKEKPVEQDAVEQKVGSEAPKQVPGESQTVVEVSDEQAYSIEQSVQVEAITETSGEQIEAKRQTPEDECMADATMEDSVTVEETLDSKVEVPVEECIEDIVDVPAQVEETAEKQTVVEAIDEKIENEAAAEALGGDTREGEMVTEVSNEKTENKAAAVASGGKIKEGQTAVKEQEFETENKAIVEPLARENAASENTAEAPDEETRTAKETVADVSALPKKTTTDDKTLENDTTIKPVEKTTTAEKTVEDAKVETSDDKTLEGEKTVEDATVGAPASTSEKSTEDTMVDVPGVQAGVNLLFLCHLIYSGASSANA >Et_5B_043135.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:15814:16167:1 gene:Et_5B_043135 transcript:Et_5B_043135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KFVYPIGEKRILLRGGHYEKTGIFFRFEVIIDWHCKWTSLTGTGRGVVLMLMAPRCCGIKSSPRRFQKKVRVFAWRLAQDGVATQLNRKHRTLTKLGICQIYRMEEEKAFHVVERCS >Et_4A_032311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29224975:29225481:1 gene:Et_4A_032311 transcript:Et_4A_032311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVNGCGFFGNAATNGMCSKCYRAATDQDTAVTSAAETKKMQPVSMTSVLFAPEEARAAPSQQPGPATPAANRCAACRKKVGLTGFRCCCGGTFCGVHRYAEVHDCSFDYKRAGREQIAKNNPRVVAAKIAKI >Et_2B_020045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16338972:16346675:-1 gene:Et_2B_020045 transcript:Et_2B_020045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNSGDPIQQQEKPGEGGGGGAGVKHEAEGASSSAAQASATTMLHRSSSRPQLDLSGAAIHGTLEDRNPTILLPNQSDDISHLALDIGGSLIKLIYFSRHAEHSTEDKRKVSTKRRLGLFNGGRRSYPILGGRLHFVKFETGKLNECLDFISSKQLHRGGVDSPSWRAGAQPDDIVIKATGGGAYKYADLFKERLGVSLEKEDEMDCLVAGANFLLKSIRHEAFTHMDGQKEYVQIDQNDLFPFLLVNVGSGVSIIKVDGHGKFQRVSGTNVGGGTYWGLGRLMTQCKSFDELLELSQRGDNSTIDMLVGDIYGGLDYSKIGLSASTIASSFGKTISDNKELSDYRPEDISLSLLRMISYNIGQISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVNFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLRIHHLVERFPMGAPYISWMEKFVQKGTQITAPVPMGAPATTGMGGFERPTSKGEILRSDASAALNVGVLHLVPTLDVFPLLEDPKTYEPNTIDLDQDEFNEGGTDDAKRRGDAFAHAFSAHLARLMEEPAAYGKFGLANLLELREECLREFQFVDAYISIKQRENEASLAVLPDLLLELDSMNEEARLLALIEGVLAANIFDWGSRACIDDFDGFKKRMLTDKKDQPYKRALLFVDNSGADVVLGMLPLARELLRHGTEVVLVANSLPALNDVTANELPEIVAEASKHCGILRKAAEAGGLIVDAMAGIQDDLKDEPVSVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLLILEGMGRSLHTNLNARFKCDTLKLAMVKNQRLAEKLFNGNIYDCICKFEPIS >Et_1A_005617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12060539:12062327:1 gene:Et_1A_005617 transcript:Et_1A_005617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGRRKTREPKEENVTLGPTVREGEYVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIGRFIHGPNCFPTFY >Et_1A_009090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28837296:28840860:-1 gene:Et_1A_009090 transcript:Et_1A_009090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASPDVCAAAVRQPCLDHGFFYVSNHGMDGALLEAVFAESRKFFEQPMEGKMALKRRSNHRGYTPPYAEKLDAASKFEGDLKESFYIGRDLNQWPSEGEVNESDSGNYGASAHSDYGVITLLTTDGTPGLQICREKDRHPQLWEDVPHIDGSND >Et_3B_027585.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:29507146:29512648:-1 gene:Et_3B_027585 transcript:Et_3B_027585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGPDEAKSRARRNLLLKNESEVQKFWEENKIFEACPGNEPPGPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFAAAYHRLRGSNVLLPFAFHCTGMPIKASADKLAREIQQYGNPPVFPAAKEDSSSAMTDDSQSEQGADVIPDKFKGKKSKAAAKAGAQKYQWEIMKSFGLKDEEIAKFQDPHHWLTYFPPLGKDVLKKFGLGCDWRRSFITTDMNPFYDAFVKWQMRKLKKLGKVVKDMRYTIYSPLDGQPCADHDRATGEGVQPQEYVLIKMEVIPPFPPKLKVLEGRKVYLAAATLRPETMYGQTNCWVLPDGVYGAFEINETDVFIVTARAALNLAYQHLSRVPEKPTCLCELSGNDLIGLPLKSPLAFNGTIYALPMLTILTDKGTGIVTSVPSDSPDDFMALQDLVSKPALRDKYGVKDEWVPKDVVPIIHIPEFGLKSAEKVCQDLKIKSQNDREKLAEAKRMTYLKGFTDGTMIVGEFSGRKVQEAKPLIKHKLLKEGTAVLYSEPEKKVMSRSGDECVVALTDQWYITYGEAEWKQQAARCLDGMNTFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTIAHLLQKGDMYGKTMSTIRPEEMTDEVWDYVFCDGPAPKSDIPTALLNQMKQEFEYWYPFDIRVSGKDLIQNHLTFCIYNHTALLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFLTLQEAITEYSSDATRFALADAGDGMDDANFVTETANSAIMRLTKEISWMEDVIHNESSLRAGPCTTYADRVFANEINIAIKETEKSYNAFMFRDALKSGFYDLQLARDEYRLSCGAAGMNRDLLWRFMDIQTRLITPICPHYAEHVWQNILKKEGFAIKSGWPTADTPDPTLRMANKYLQDSIVLMRKLLQKQESGSKKLKKGAAPPPSMENKMSVGLIYVNENYCGWKEHCLRVLQSKFDSQQRSFAPDQEIIEALKNCSISQEMNFKQVQKLCMPFIRFKKDEARELGPQALDLKLPFGEMDVLRENLELIRRQLGLEHVEVLSACDEATHAKAGENVKLLNQNPPSPGDPVAIFLTRQQFEGQN >Et_3A_027249.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:5879834:5880271:1 gene:Et_3A_027249 transcript:Et_3A_027249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVPSVLDPMSVDFWADADPFGVVRPLAERCPVLTNVRVDWKETPSAHVFRVDLPGVKKEEAKVEVEDGGVLVISGERAREETGKDEAWRHVERSSGRFQRRFRLPRGAKTDQVHAAMDNGVLTVTVPKEEVKKPQARAIEISG >Et_6A_048158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8009777:8013662:1 gene:Et_6A_048158 transcript:Et_6A_048158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTPKIILPFYQQEVRTQITFHRKLTWYGIGYYLTAEIPGSEILFVDDPAGLPPRTTDTINNRPWFSNFSLDFLPHPTDSLRLTDSRGGLLAFGDSDNGIVVCDPCTREYADVGLPSSGPSYISAFLLDDDADTTPLMSRFRVLYVQVQHYVDGQRAQVYVSSTRGDQWVLLGATADGDILAAVMRLYHKPNNRSHATHMYLLGRTGGCVFWGIPLGNNDVLTLDERTGEFSILVLPEPAAGTNNEVPTMCHRSNTRAISGDARTVLLARTIGDDLEVLTVARHRGTCVVNTRVSLSRLANINEETRSLSWNFMDTAPAAGPGRIVVSPDHKNMWMFSVETMTLEPLSARTWDVRVFPYQLPWPPTIKACLYNCNRDIDV >Et_3A_023839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13156973:13160099:-1 gene:Et_3A_023839 transcript:Et_3A_023839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGFAMVVVAILLVLSCSGVDVASAQASGLKKGFYKKSCPQAEAIAQKVVWGRVAANPELAAKFLRMFFHDCFVMGCDASVLLDSPTNTAEKNAVPNLSLAGFDVIDEVKAALEEACPGVVSCADIVALAARDSVSFQYKKNLWEVETGRRDGTVSSDQEALRNIPAPSSTFDILLSNFSSKGLGLEDLVVLSGGHTIGVGHCNLFSSRIFNFTGKDNPSDVDPSINPPYAKFLQGQCNRNLQNLNDNTTVVPMDPGSSLSFDNHYFVNLKAHQGMFTSDATLLTNDRSANIVDKLQDPGVFFDSFKNSIKRMGQIGVRTGTNGQIRKKCNVVNS >Et_3A_024188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18210048:18213385:-1 gene:Et_3A_024188 transcript:Et_3A_024188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALLLLLLAAASSAGAADDGEARALLALGAALDPTGRLLPSWAPGRDPCVAFEGVACDARGAVANVSLQGKGLAGALSPAVGELRALTGLYLHYNALRGGIPPELARLGNLTDLYLDVNGFSGPIPPEIGAMASLQVVQLCYNQLTGSIPTQLGNLSRLTVLALQSNRLNGAIPASLGDLPLLARLDLSFNRLFGSIPVRLARLPRLVALDVRNNSLTGSVPADLATKLQAGFQYGNNSDLCGAGLPALRPCTPADLIDPDRPQPFSAGIAPQLTPGSPEARGARCSGAAHCAPSTRAVAAAVAVALLAATAAGLFALSWRRWRRQRTIAGAGDAAAKGASSTSARKSSALASLEYSNAWDPLADGGRGLGLSQEASLLRLSAEEVESATRYFSELNLLLPGRKTKFGGGVVAATYGGALRDGTPVAVKRLGKTCCRQEEAEFLRGLKLLAELRHDNVVSLRGFCCSRARGECYLVYDLVPNGSLSRFLDVDADAVTGRVLEWPTRISIIKGIAKGIEYLHSTRPNKPPLVHQNISADKVLLDHAYKPLISGCGLHKLLVDDLVFSTLKASAAMGYLAPEYTTVGRLSEKSDVYAFGVIVLQVLTGKRKPPPAADGVEELVDGNLRGSYSAAEAAKLAEIAAACTGEDPDRRPTMAELLQQLDTI >Et_5A_041605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24854807:24858491:-1 gene:Et_5A_041605 transcript:Et_5A_041605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVGLSIRGELPHVPSALSICYAATATPLRAPALVSHSPSLLAACRFLSPRRAGCLAAMNNLLSVRAFSWPPSMAPLPSLAAWSGVRDPARELEIWGGLVGAASLRRGLRVRGGTAAHAVSSPEFVPGGSELAADSFELPRRDSSRDGDIEMGMHQPDASDNLKDFLKKVDAIEGLISKLANLLNKLQTANEESKAVTKASAMKAIKQRMEKDIDEVGKIARMAKTKVDELEKDNLSNRQKPGCGKGSAVDRSREQTTGAVKKKLKERMDDFQVLREAIRQEYREVVERRVFTVTGNRPDEETIDDLIETGKSEQIFKDAIQQQGRGQILDTVAEIQERHDAVRELERKLLELQQIFLDMAVLVEAQGDMINNIETHVSNATNHIQQGVSALQNAKKLQKNSRKWMCYAIILLLVIVVIIVVAVIQPWKKGA >Et_8B_060418.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:14475595:14477472:1 gene:Et_8B_060418 transcript:Et_8B_060418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRLLQTLPPPAPPPLPAVVETHRHRALLAATVASAAAAGCLLVLVVVLLLLVRRRWRRRPTLPFSPPPAPARPLRRYSRRALRRATGGFHPSRLLGRGAASPVYLATFPDASLAAVKTRASAHELHLLASLPDSPRLVSLLGYCSSGSSSSSSSSGERPLLLVFEYMPQGSLQAALFGNDAARFLDWPRRLAVIRDVARALAFLHGECDPPVVHGDLKPSNVLLDADLRAKLADFGLARFKTHPDAFAGGGAADFMSQELGEAGGDHLSTTTTTGTAAKVADESSTSGPASAWGKEWWWKQQDDGSGELDSRDYVAEWIGSQICPERNPDWADDEHKNSPSAVLSAASPETGKNGADEEAGKKEASKMREWWKEEFFEEMSKKGGSSFDKRRGDGGGKPWLRSISMNTGNGHGNNVDGHNGGLDLSSFRRSRKRSRRRGRSAGSDIHSAGGGGGDLLSRELSSTTSMRGTVCYVAPECGGAGEHDLLEKADVYSFGVLVLVILSGRRPLHILSSPMKLEKANLVSWCRQLARAGNVLELVDDRLLLNDGAAAAGYDKDQAAMCVHLALLCLQRQPELRPDSADIVKILDGDMELPPAPVEFSPSPTVRPFPRSSRRAPPPPSD >Et_9A_062417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22728413:22731164:1 gene:Et_9A_062417 transcript:Et_9A_062417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGGDSGTGGGGEEIITGGEGDAGNGGGGEEVTGGGGGDEVITGGGGDAGNGGGGDEVITGGGGDAGNGGGGDEITGGGGDAGNCGDGGGYLEIGGGGDEITGGGGDTGTGGGGDGLTGGDGGGYLEIGGGGDGTTGGDGGGYLGIGGGEAWYGGGGDLSFSFSFSFSFSFSLSLLLSFSLPEAKAAGASSARARRSKSAHFAAAIAVLVELPGWCALLCCMAAYLYGRGAGMRIQCATLWIQSCTSNASHVIFPSAADPGRAVTLPGLPPVATDELPLKVRPEYARNLCGATCSGRSWYSSTRRRRCPGSGCSSTPSTGSSARSSTRFAPTRRSRPLVRSWSRTAMTATTNLLPYVALDDDDDCMAWLDAQAPRSVVYMALGSLVNIGRGETLAVAEGLLRTGRPFLAGGTLSPRRSPRAGRWSGTRGGPTSSPTPSSWSRSTRSASGCLHP >Et_6B_050164.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:8027099:8028448:1 gene:Et_6B_050164 transcript:Et_6B_050164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRRGRFYSEVDDGDLCAVPDDALSAVFARLSHNAADVVRCAATCRRWERVVARDAAVLSHALPPLPRLALGFFHQEAAAPTARTRKRLRRCSSSASASAGPAAAQLPRFVPTSTTGGRFLLRGLRTATPAGDDDDVLAHARPVAARNGWLVLELRRERHADGLTLCVCNPLSGDVAVLPPLSGADNPGDYACALLTGHDLDPPRPLSASSFRLLVVYNRRSCTAFRCYSSDANRWGAEVTRSSSSGSGPKKIASHKLRAAGGHSVVLRGVAYWAIGRTALAVRLDGPEPVELAMPPRGILADLPPGWHSLGLAPDGKLMHLDMAFGGTRDNCYLALAKKVLCPPGGNDDPRGAGKWEHAGVIWLKEITVPKFKWTRREAASLRWFCEKSRVLFFTLGEGTCGPGTYALNLATEEVHKVADGLECHSWRNFVGYEMDGVAYLASIAR >Et_10B_002472.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:1073002:1073100:1 gene:Et_10B_002472 transcript:Et_10B_002472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESGSGDLGALPMKKLGSSSYSYGNKCNRFS >Et_10B_003944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8078381:8081917:-1 gene:Et_10B_003944 transcript:Et_10B_003944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNTAPPISWTGGQPLADRPPSSQLEVAATLRRGLPTPDGGSSPAAPAAAPLLPSRRPCRSCGGDPSRRFEARGSISPFIGEQHSFLAPTDSAPYKSFVDSRAASHPAANPISACLGSAFFVGAQGSSRFGCGIFSKESIGPFPAAVMKIEKANAGALTNFEVLDFLRSRGANIDPMGCLGAVAASECKVFEYLLKTPACNQTRKSIYEFMKRSEGFKLAEADKLNVINWRPSSAPDAYAMIEECDRRFSRDERGEACNWYERVQEFLDMVEVVLPPPPPKEEAMLDKERGPTERRLLQHRRPLHDHRRLPRRPHGDGHGRLRVPRLVVALLQLRHGHGHLRRRLGGGLLAELVRDLPEQRDLRLVGTHVDDGLPHGLPLRLQRQQPRAEPPPRLPVLRRGSSSRRCPPACFRRRTRSPPRASSTVYTRSTQLASSGKKSPPSSRKSRLLLSS >Et_9B_063795.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14474923:14475144:1 gene:Et_9B_063795 transcript:Et_9B_063795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RAARGDQRERDRQRAQARRPVAKGRDDGLTPEQRRERDAKALQEKAARKAAQATGGGADAKGGKGAGKNPGKK >Et_8B_060505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18941587:18942259:-1 gene:Et_8B_060505 transcript:Et_8B_060505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDTNATTGLRVHDGAAIDVVVDDGEPFRPLDPEDVRWYLHKAVDFVADYLHSVESLPVVPDVQPGHLKKLLNPAPPSSSAPNAVVPGTTHWASPNFFAFFPATNSAAAIAGDLVASAMNTELEALALDWLAQLLRLPPSFMNHRGNAGATSEAVLVTLVAARDAALRPDARHVLQGVPANVRTIATGADTDYALDAARLRRAMQADVDAGLVPT >Et_6B_049176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19135969:19140831:1 gene:Et_6B_049176 transcript:Et_6B_049176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYHYTSGSSQRLHVSALSRQYTAVIAVVLSLAVLAIVVKLTRSCLRALKPQHNAGGGDRPAPATVAVEMVRSVGPLVCTYRRADGWREAACGVCLSELVDGEAVRVLPACMHYFHAACVGDWLRERATCPLCRALLLVLQQQLASDRSSNGSLVTASACKYVEITPIQVETCVYQGFQSRRIPAGFPWKPDFPVWSVCLGITSNNW >Et_3A_023717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11277769:11286925:1 gene:Et_3A_023717 transcript:Et_3A_023717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDERSAEEEWHGYRYVRMPPGPEEEAAAAAAASSASFRLPESARVFEELPRARIVAVSRPDAGDSTPMLLSYTIEVQYKQFRWRLYKKAPQVLYLHFALKRRVFLEEFHEKQEQVKEWLQNLGIGENMPVVHDDDEADDVHVPSQHDEHSLKNRNVPSSAVLPVIRPALGRQQSVSDRAKVAMQEYLNHFLGNLDIVNSREVCKFLEVSQLSFLPEYGPKLKEDYVTVRHLPKIETDSKERCCSCCFNFCSSNWQKVWAVLKPGFLALLKDPFDPKLLDIVIFDVSPHTDRNGEGQMTLAKEIKERNPLHFGFEVSSGGRTIKLRTRSSAKVKDWVGAINAARRPPEGWCSPHRFGSFAPPRGLTEDGSVVQWFIDGKAAFDAIASSIEEAKSEIFITDWWLCPELYLRRPFHYHGSSRLDVLLESRAKQGVQIYILLYKEVSLALKINSMYSKQRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQVCYIGGLDLCFGRYDTPEHKVMDFPPSIWPGKDYYNPRESEPNSWEDTMKDELDRAKHPRMPWHDVQCALYGPACRDVARHFVQRWNYAKRNKAPNEQAIPLLMPHHHMVIPHYMGASKETDEEAESKQAHDKDAKVSRLNSLTTPASCQDIPLLLPHEPDHQALPNGDLGFKSVDINNGFSNHSTKTSRNQRPPSNRKVKQDLSLQDLQMKGFVDNLGSPEVSRVARYDDSKSNLLHMDKEWWETQERGDQVTSVLDVGEVGPRAACRCQVVRSVGPWSAGTTEIEGSIHNAYFSLIERAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKKCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGSNSILKNLYDVIGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEVVSSTMDGRPWEAGKFSLSLRLSLWAEHLGLHPGEVSCIMDPVHDSAYKSIWMATAKENTTIYQQVFSCVPNDHIHSRYQFRQSFAHRKEKLGHTTIDLGVALEKQGTQQDGDLASTDPMERLQAVRGHIVLFPLEFMCQEDLRPYFSESEFYTSPQVFH >Et_4B_038734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4467509:4471039:1 gene:Et_4B_038734 transcript:Et_4B_038734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAHLLSPSSHPSPPPLFLRCGASRQCLKPIGSSLPLQSPRRACLTAAGGYGGSRFVKTLGPRSERTGAGVRVSAIGGDGGDGSGTGIAAAAAATVVLAVMNRVLYKLALVPMRNYPFFLAQFTTFGYVLVYFSILFIRYRSGIVTREMLALPKSRFVIIGLLEALGVASGMAAAAMLPGPSIPVLSQSFLVWQLILSVLILGRRYRANQIFGCFLVTAGVILAVASGANGGPFLSSINFFWPAVMVASAAFQAAASIIKEFIFIDGAKRLGGKRPDIFVVNSFGSGFQALFVFVLLPFLSNFKGIPFAELPAYLNRAAACFLNIGGNLTDCHGAPLLPLLYITLNMAFNISSLNLVKMSTALVASLASTFAVPLSIYVLSLPLPYLPEGTSLSTSFLIGVATLVLGLLLYNLPQKSAGQVKKD >Et_5A_040262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:863091:863247:1 gene:Et_5A_040262 transcript:Et_5A_040262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTSELPLHQFHNHIQRCIIFVF >Et_9A_062656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2977397:2981541:1 gene:Et_9A_062656 transcript:Et_9A_062656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DAENVDAAAKGIIDLLRHTDSATKSFCVHGWGGLGASSVLRAIAKFLNSASCDSNTRNHFGRIIHVDCSAWKSRRALQKIIAQELGLHEVTPLFDKEDEDDDFRGVDNSERGEITSIGTAIWQSLTNEKFLIIFQCGIDEDINLEEFGIPSTRFSSGKLLWTNCGRFQMRELPNNLKHASVISLDTSKYRCGVNLLALHEEAVELVRCTGMYNINPDLVLECYKYLVFLIYQGMFCNDADYDWTTHACNYWVCDGILQGDTAWEVGDALYGPLLASVSYDEEYIGSLSDRLPMVFKLKQWLLSLSLYDEPPKGNLTSYFLANYVHARHVRHKLQIDSFQQAKNLRVLKLYKCIFDFSSPPFLCCQNLRFLWLHICTDNKKVQATAPPSFPNLWVFDLRFTEYVYLPQVAEMMRDLRELNAKGVSWNIINQAWKRLQNLKKLRVRAYSSEAMTVDSCSPIDLMNLELLDLSGTTQLKSLPDLSLAQRLKLLVLDGCSSLEHVMIGRAPMLESFSFDGFGQAKQWTHSLHLPLQELRPRTIVYEAKVSKISLNGCARLHSIFLRALPNLQELNLSGTAIERLDLGAMDIRNLKYLFLLGCEKLRSLWWDGNNPTLEGLHVDTWGKPSLVMCCGEQKNFEFVADITFLDGRFVWSVMQGFCGRLFDRRGACTVHLHISSTVDTQVHVANSIEDTVQNNGDYVATGPSLPTYMDVHFLEDVARSGLLWDCRQLKVSNLHLEIGEGSYNLERMQDVSYFRDFTNHYTKSLHVHDNTSITAIPPSHASWAYLEWCHVERCPKLQTLFTCPTSVDFTFSSLPMIFHWRTASGEKELIIKKNGGGGGLFYYFSSLRHIYLNNCPRLVYILPVSFDLTRLETIQIEYCSNIKSIFPLDDEYPEHIEDRVVFFELKRIKLRHLHNMEQICGARVSDAPKLEMISVRDCWRLRRLPAISDRCSQRPIVDGEKDWWDKLEWDGLKANHHPSRFETHLSRCCYKKTIPRVSVLRVLVVYCVACMRNNCASMLLCLRREYNWFRRFGKGWHDLDAVADGRLRLADLNIA >Et_9B_065305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3418423:3421291:-1 gene:Et_9B_065305 transcript:Et_9B_065305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLHMDGCDCIEPLWQADDLLMKYQYISDFFIALAYFSIPLELIYFVHKSAFFPYRWVLIQFGAFIVLCGATHLINMWTFITYTRTIAVVLTVAKVATAVVSCITALMLVHIIPDLLSVKLRERFLKAKAEELDREMGIIRTQEETGRHVHMLTHEIRSTLDRHTILRTTLVEMGRTLGLAECALWMPSRSGTTLQLSHTLHSNAPLGSLVPINLPIVTKIFNSNRAERIPYTCPLASIKTQKSRYVPPEVVAIRVPLLQLTNFQINDWPELSAKSFAVMVLMLPPDSARKWRPHELELVEVVADQVAVALSHAAILEESMRARDMLMEQNIALDKARREAEMAICARNDFLAVMNHEMQTPMRAIVSLSSLLMETKLTAEQRMMVETILKSSDLLATLSNDVLDISKLGDGSLELDIAPFNLHATFTDVVSLIKPVAALKRLSVMVHLAPELPTGAVGDQKRLVQIMLNVVGNSVKFTKEGHISITASIARPDSLRDPYSPDFHPVLSDGSFYLAVQVKDTGCGISPQDMPHTFIKFAHSENVASKWHSSNGLGLALSRRFVALMQGNIWLESEGVGKGCTTTFFVKLGVPDKPNANLRRIAPPVKPNQGAANPDTSMINGNLVALPFCYQSSV >Et_4A_035140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9923487:9929466:-1 gene:Et_4A_035140 transcript:Et_4A_035140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSKASDSSSQGFKRPDQGTGRDAAAASFVAIHSKLAQLRRQIQSGRLAYIKEKVEANRKALLRQTCGLFDVAAAAEAESRGTEGDNALSQRAAEAQSRHLGSDLTKNVPGEREVVNQRMAEDQSVVGRRRIYYDPVGNEALICSDSDDEIPEPEEERHLFTEGEDQLIWKATQEYGSGQEVVNVLCQFVEATPSEIEERSEILFEKNEKCSGRPDKIESQLSLDKTLDVVLDSFDNLFCRRCLVFDCRLHGCSQNLVFPIEKQPYGFELDDNKRPCSDQCYLRRREEFQEMQDDGHDPNAMYAMESRTVSEKVDINIWSESEDSNREEENIKSTTFVGVNGLKIVSSANTEKGNLGDTSETENLSSDLTLCSLGKHKISSHALSCRDHSPGKRQKVFSADFSSSTKNLSESEHTLSHWSALERDLYLKGIEIFGKNSCLIAKNLLYGLKTCMEVASCMYNNGAKMAKRPFLSKTASGGFAEIEQDYTEQDMASRTRVCRWRGRNRKLKYTWKSAGHPTVRKGLGDGKQWYTQYTPCGCQQMCGKDCPCVENGTCCEKYCGCPKSCKNKFRGCHCAKSQCRSRQCPCFAASRECDPDVCRNCWVSCGDGSLGEPPARGDGYQCGNMKLLLKQQQRILLGRSDVAGWGAFIKNPVHKNDYLGEYTGELISHKEADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLAAGDHRVGIYAKEHIGASEELFYDYRYGPDQAPAWARRPEGSKKDEVPVSHRRAHKVIEQELIPCVWNSREAPMTAILDA >Et_2A_017179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31839518:31841312:1 gene:Et_2A_017179 transcript:Et_2A_017179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGCIVRRVFSKSPCSSAGGRGHNERGSADHRRRWSSLRLYLCGEEIDTAPEEDDDGTVSVKSFETCVMAQEEQVPVVQLSDVHNADDHPAGGPEDHLPSEHSQVAPVEPARKEEAAMLIQSAFRGFMARRQLQELRKCKARDGADEPRSPTSASVAASVEVQVGESLSNLRLSEDSASVQQCAASQKSRPPPVFRVKEEWDDSTVSSNVSRMRMQSRIEATTRRERALAYAFSQQLRSCGGTKKRSARPEQAEFNVGWSWLERWMATRQAEPADDCMSRNADTSSARRVVVIRRRHDVAVEEKESCGSNDVSVSAVSFDGSNGSVGGRNAFCHKPGRNRLKGGGRNLARRKVASSDHRLHGARSHKLSKKGHQREERHKDQAETQGFDANQPPTDY >Et_2B_020697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22880008:22883821:1 gene:Et_2B_020697 transcript:Et_2B_020697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSEAGRRVIAVSAARALLPRPTFPSTSRPNSGACARARSGNAGVVGSGGCPLVSALRPVHSESKTVAVGRAQLGATIRPMLTITHSRKIRSWAMRSPSLLSQCLAGLLSHDKAAAHCVNIVPEREPHLPSPAVEIVPSKTVHPYKYAGENIEMQGMNIFKGKISVLDMVGLSKSDIATSKGEGSSKCWESSIDLVNVLKDEIRDGLLTFRSKQLGCGYGLPGILACLKGATRVHFQDPSAETIRCKTIPNVLANLEQAQDKQNHHQGSPLTPSRQLPQDIHFYAGEWEELHTVLSVIQEDDVDASSGVGLGFCEDDLLDECNSQDGNNICLETSSRRSRKLSASRAWERGHEASTGDGGYDIVLVNEIPYSASSLQNLYSLIKKCLRPPYGVLYLSARKNYIGSSSAVRQLRALVDEEGVFGVHLVSEPPEKEIWKFFFK >Et_1B_012806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35214126:35218507:1 gene:Et_1B_012806 transcript:Et_1B_012806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLLILLCLAAAAAADALQLPPDASFPAAQAERLIRALNLLPKEAGSAGAGDGAPSVAPGELLERRVSLPGLPKGVADLGHHAGYFRLPHTHDARMFYFFFESRGKKDDPVVIWLTGGPGCSSELAVFYENGPFTIADNMSLVWNQFGWDTISNIIFVDQPTGTGFSYSSDDRDTRHDETGVSNDLYDFLQVFFQKHPEFAKNDFYITGESYAGHYIPAFASRVHQGNKANEGIHINLKGFAIGNGLTDPEIQYKAYTDYALEMNLIEKSDYERINNFIPPCEFAIKMCGTNGKASCMAAYMVCNSIFNSIMKLVGTKNYYDIRKECEGKLCYDFSNLEKFFGNKAVREALGVGDIEFVSCSTSVYEAMLNDWMRNLEVGIPALLEDGIKVLIYAGEYDLICNWLGNSRWVHSMEWSGQKDFGSSHEQSFIVDGTEAGVLKSHGPLSFLKVHNAGHMVPMDQPKASLEMLRRFTQGKLKESLPESAVFKAVM >Et_1B_013912.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:23774897:23775727:1 gene:Et_1B_013912 transcript:Et_1B_013912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLREHLDLIRAHLLDDHHEVAETLSSDSSAAGSPSPGRRQAPALSVSLPPRPPATTALSQQQWPQIVHNQQQELYCSPEAEAEEGDFRRYRGVRQRPWGKYAAEIRDPARKGARVWLGTYDTAVEAARAYDRSAFRLRGSKAILNFPNDVAIGAAVEAARWPSAAPLLTGNKRTRSTTEPSSLTLQWEEEEEAECLMMREVKKERIQEEEPAAISCDGWTAMAVAAPAPASGGADYNFWEEVEEELKGTTLCSLPPLSPMSPHPHMAFPQLSVS >Et_3A_024362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2053739:2058480:1 gene:Et_3A_024362 transcript:Et_3A_024362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRRRSLPFPPILLFLPIPIFLLLVLHRSSHSTTQLLPTARSGSDNAPDPRRFSLLIKLLAYDRPAALRRCLRSLAAADYDGDRVALHVLLDHRPPNASSAGDASTLSASHEILSFVDAFPWPHGEKRVHYRATNAGLQAQWIEAWWPGSDDEFAFVVEDDLEVSPLYYRFLKRVVMKYYYDRDNFSPYVFGASLQRPRFVAGKHGNKIQLDSETRVFFYQMVGTWGQLLFPKPWKEFRLWYDEHKAKGIKPILQGMKTTGWYKKMGERIWTPWFIKFVHSRGYFNIYTNFLEERALSVSHRDAGVNYGKSAGPDSTLLDGKNLDFDIWDLQSLKKLKWYDFCFKEVLPGRLVRKFDEVGSVLKSVQVKGTVVLVSLYSVEQSFARNLICHLDKAGMQNYIFLGDNTEFLEDLAHRGYPVIDAIELFQSIKKSRSMGSDDIVKETLVTSYVIKSCLDLGYNLWVLNGNMVSLGRKLMEPSDQSVEFFTAEYVGLMFIRSSVASKKAWNELVMSRLKEVCTSGDFSASLKQKNFVHLLSEVLENSAGVRLGKLNEGMRVIELGPNTSNGSISEGQNNVLFWPDSMASDSVQRQLENLNLWLIDSDSSCSAVVCHQ >Et_1B_011250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19326874:19327819:-1 gene:Et_1B_011250 transcript:Et_1B_011250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSARPVNSSCGLLQLLVLLSCFQCHLAAHHGKKHAQPAPATTVVVGSVHSGPEPPVSGTRVAVRCRDGNGRTVFLKEAVTDRGGKFHVRLEKEPTSARLRSVTSCSVQLLLQRQSSSAAPCAATTTGRLRPVAPKRRGGARVFSAGRFAVHLELCGRKSLFFPPIPLVPEPPNIGGVPIPPNPITPAPPSLVPPVFPTPSPPSILPPLVPQPPPSSIIPPLLPPLLVHPPPPPPPPTLLPPFLSPLIPGVPPASASKNRQPGPP >Et_4B_038503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29546196:29546782:1 gene:Et_4B_038503 transcript:Et_4B_038503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMGGAAVLGLLLLCVLLHGQVAESAVFTVGDRGGWTFNTNTWTNGKRFKAGDVLVFKYDSSAHNVVAVNAAGYKGCTAPRGAKVYKSGNDRVTLARGTNYFICSLPGHCQSGMKIAVTAA >Et_8B_060479.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:17747357:17747605:1 gene:Et_8B_060479 transcript:Et_8B_060479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFAGRITTEFAPSKLPPIVKRGRLPKILDTIKEEEKEAVESPMTPSSHKVRSYGNTPMHCINKLALLAPMAKTGCLKIKA >Et_5B_045723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8135907:8138364:1 gene:Et_5B_045723 transcript:Et_5B_045723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRHHDCGRYRRAREHVARLLDAVAGNGAGNAAVWLAGHSLGASIALDVGRHEMDARRRGVPTFLFNPPHVSLAPVINALRAAEDVGRGLPARTSAVVAARQQAQLESRKRAVTSGNGPRAPKAGEGKTCKSSGT >Et_2A_016629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26833335:26837830:1 gene:Et_2A_016629 transcript:Et_2A_016629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRGRGDEFEDDDDDEFEDVSPPRSTPEPEPEPDAEPEAVVEPEADPEPSPAPLQPARPPLSSLVVRPPPQENGGSSPSPSAGRPVRSPSPADNGGPRRGSPPPRRRREFSPPGPRGWDRRRSPPMPERRRPASPPHPRRRYSPPRFQPPRHPRFHDELQGYGMHSGSSPPRPRRLEASTFDDAVGPRYSRGYPGGGRGGRFREASPSYGRGGRSYGRGYPGKDFINIDGEYVHRNDPNLSPREGDWICQNPNCGNLNFARRTHCNNCNKHRYAPEAYELSRSPRRGYLSPPRGPARSFGPPVERAPPREMARYRSPQSPPRDWGVGDPRGYASRSPPADRAGRFAEPLFKERMAFRGNREPRDRAKFDDWSATDNYNQRERPHDGMYHDRSRRRSGSPRGHWGSDLRDRSRSPRNRPMKSSFPGRGPPDDYADPYMSRSRPTSLEAGRGRGRGRGYRPGGGPYPGEGQGDRRAAPRAGNDDGY >Et_6B_049667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8147132:8148012:-1 gene:Et_6B_049667 transcript:Et_6B_049667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWDDPVPSPFPISKCKYNMTAVVTQSSHPLTAVRAYFCCGNKDLMNTCDFFQWIDGSEKYDHRILLVLWTVKKAPYEKFNHWLSPLPNPPKMTKEECVAKARERVANPPLCHCGYRSELERPSLGLKYTPFFRCPIALLFIHRPRSHYPDPDFLPDDVLYGEKLPCWYPPPLLCQCRVPARQGVVSSELGYGHYCENTVGEDDE >Et_1A_005097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26806107:26806919:1 gene:Et_1A_005097 transcript:Et_1A_005097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLREHLDLIRAHLLDDHHEVADTVSSDSSAAGSPSPGRRKASALSVSLPPKPPATVLSQQQQQQQWPQIVHQQQHEEQHCYCPEAEEDDDFRRYRGVRQRPWGKFAAEIRDPARKGARVWLGTYDTAVEAARAYDRAAFRLRGSKAILNFPNDVALGAAAARCSAAPAPLTGNKRTRSSTEPSSLSTLHKWEDEADECLTMREVKKERVQEEEPAAIREEVEEELKGTALCSLPPLSPMSPHPHMAFPQLSVS >Et_7A_052519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8811996:8820357:-1 gene:Et_7A_052519 transcript:Et_7A_052519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALWLLLVVALAATAASAAATASRLCPTSCGLIDISYPFGIGPECSLPGFNLTCDSDTYSTYLRLGSPNATVDYMKISASGSISALAVHVMRSVRVPAGAGAYTASWESPGRPFAISGSSNMSLFVLGCGVTAALLDRGGAGAVVGKCSVVCAEAQVMERLPDGLCAGIGCCRIDVRVPLRAFALNIKRSGTGGGVRRDRVTFLVTDQNGYTFTPGDLDRDVDEDADSDAVAPALLDWAIRDRANCSLAAADRASYACVSNHSDCQDSPIGGYVCHCSPGFSGNAYVVNGCVPNQVYGSNQPKPNCPRTCGNVSVPFPFGTELGCFARIHLYLACNPGPIPPVLQMTEHSVVTDISVDEGTLRIQKLSAPGDFLEDRDSTFYSFSGESGVLKCVSAHSECIDVTDDRTSRHVGYRCRCSSGFQGNPYMEDGCRDIDECLQPDKYTCHGACQNSIGSFACTACPHGTEFDAAARKCKASSTILGITIGLSSGGGLIFLAAIVGILHRRWKRGVQKKIRRRNFRKNKGILLEQLISSDQNASDSTKIFSLFELEKATNNFDPSRVVGRGGHGTVYKGILSDQRLVAIKRSKRVANIEIDQFLNEIAILSQINHRNVVKLHGCCLESEVPLLVYEFISNGTLYDHLHCRQNGSMLLLSWQERLRIATEVAGALAYLHSAASMSILHRDVKSMNVLLNDSYTAKVSDFGASRLIPIDQTHLVTAVQGTFGYLDPEYYHTGHLTDKSDVYSFGVLLVELLTRKKPIIENENGEKQNLSNYFLWAMREMSLNDILDVQISEEASEEVVMTIARLAQECLNLRREARPTMKDVEVRLQLLKGHPVAPRKDDEAPPGCDAEQGGHYDRQAVVPVPGQGGTRQYSLEQEFASSLRIPRAAMAAFVLALLAVLFPTAVTTKPGGSNCTTTNCGGYDYGNPFPFGIGSECSLPGFNLTCAPAKGNSSDLLLQGNPSIKLAPGYGYPELPEPSPVIFAYIGYFVKMIPGVRDYSVHWEAPGRPFAISGSSNMSLIIVGCGVKASLFIGVGDSGVEIGNCSVACVEDEIMDRLPQGVCVGVGCCGINLTVNLRAFTLNISRIGRHARQLKQVNAFVTDQNYYWFKTPDLEMDLSDKNAARLSWVIPYQPNCKRAMKDRGNYACVSNHSKCRDSPIGGYVCICMDGFPGNPYILNGCVGQGNYDSMQPEADCPILCGNLRVPFPFGTQPGCFAKLPLYLACNPGPSPPILQMPDGTVVTEISIDEGTLHVLASELSFLADMDPMLYASSGERGVLKWAIDSMACKDAMASKESYRCVSHSDCVDVTGNSTLIKIGYRCKCSRGFEGNPYIEGGCTDIDECQQPDRYICNGVCHNTIGGYTCTICPHGADFNYNARKCKPSTVILGITIGLSSGGGILFLAAVAIFVTRKWKKTAQKQLRKRYFRKNKGILLEQLISSDKNASDGTKIFSLDELKKATNDFDHARVVGRGGHGTVYKGILTDQRVVAIKRSSKMEASAEIEDFINEVSILSQINHRNVVKLHGCCLESEVPLLVYEFVSNGTLYDLLHVVQNGTLFPLPWEERLRIAGEVAGALTYLHSAASVSILHRDVKCTNVLLNDSYTAKVSDFGASRSIPIDQTHLVTAVQGTFGYLDPEYYHTGQLNEKSDVYSFGVILLELLTRKKPIFENENGEKQNLSNYFLWAVGERPLEEVVDEQILGEASGEAIAGMARLAEECLSLTRGERPTMKDVEMRLQMLRARHAVAPGPVARNGDEATTRPRGHGVPVPAGHHGSRQFSLELEFVSSSRVPR >Et_8B_059860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3447779:3450422:1 gene:Et_8B_059860 transcript:Et_8B_059860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LVWARVITFMAGTNNRGALMEDWMLPSPSPRTLMSSFWNEEFSPGPFSNIFSENCSNKTQDGIDKNKTSFDSSGEETVQDTKASVQFESNLFDSNEKSTSHGGLAERMAARAGFGVLKIDTSRVCSSAPIRSPVTIPPGVSPRELLESPVFLPNAIAQPSPTTGKLPFLMPHNCKYVTPSIPKKAGDCLHDSAFSFQPILRSKPPNFLTADKGASVVHQNHSLANDNQRGESSLQSNSAGTKDVTDTKLVKPKTCDSMLDNDHPSTADEQEESEETENGEYSSGPVTAPAEDGYNWRKYGQKQVKNSEHPRSYYKCTHPNCSVKKKVERSQEGHITEIVYKGSHSHPLPPANRRSNVPTSHFSDLQDDCPENFVPETKLSGSLTTTDIADTSVTESREAVDVSSTVSSSDKDEKATHGTIPSTFDRDEDETESKRRKIDVSAANNTTTSTIDMAAMASRAVREPRIIVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTQAGCGVRKHVERASNDLKSVITTYEGKHNHEVPAARNSSGHPSSGAAPQASSLHQRPQLAQASIAQFGGIDAYGSVCLPPQLSAASGGFCFGMLPPGMALQVPSPGTTMPVHIPGHSPAMQHYPGLMLPRGEMKVNHEVQPVASRTVSETYQKRM >Et_10B_003507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2262470:2265396:1 gene:Et_10B_003507 transcript:Et_10B_003507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRQQSEEPEEQLDLEGDDELMDDDAGYRRRRGRDDSEEPEEDYENEERLAEGDGDGDGRGEDDAGMAAEAEEPAAGGGNDDMDKGAAGGPEDEEEKQKWDELLALPPHGSEVFIGGLPRDITEEDLRELCEPFGEIYEVRLTKDRETKENKGFAFVTFTDKDAAQRAVEDVQDKDYKGRTLRCSLSQAKHRLFVGNVKTIYVKNLPEDASSDKIKEMFEKHGEVTKVVLPPAKAGKKRDFGFVHFAERSSALKAVRGSEKYEIDGQVLEVSMAKPLSDKKPDHSHRSGGGPSYPLPSYGGGYMGDPYGAYGGGGPAYNQPMIYGRGPAPSGMRMVPMVLPDGRLGYAIGGTVAEAVKGAIVGGTGRISFSFFALYSPC >Et_3B_027856.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:22989799:22990305:1 gene:Et_3B_027856 transcript:Et_3B_027856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGTSLAKSDLKLSLNPLPACADDEPAGTPDGSSVSFASAVGLSFLALNSGIAIYHSRHDPRSVLFVSVCFVDLVLLFHLLRVFERLPRGSPRRLPVKAAVWTLTTILTVLFSQRVPALMPTPVAVIVWVMAAVTIIAGFVMFFVLRDRDDASVADEKPASKFVEGP >Et_3A_026538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9456308:9456713:1 gene:Et_3A_026538 transcript:Et_3A_026538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTNPTCVSLTQFHQLTASACPTSHRQKEASSSFLKGKEIHKAMRCLGKKNTTLISRAEAKRNCSTHSLLSLYPFISCSPSFSLPLPDLTTSSRLHSTQPSPFLQTTAQQRMH >Et_1A_007330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33368403:33370140:-1 gene:Et_1A_007330 transcript:Et_1A_007330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVELDSSLILAVLLGLFSCLLMVIISRRSLRSGSKGRLVPPSPPALPIIGNLHQLGRGQHHRKLQALARCHGPLFLLRLGSVPTLVVSSAAIAEEVLRAQDHVFCGRSRQHTARRISYDFHDVGFSPYGERWRQLRRVAVVHLLSVRRVDSFRALREKEVASLVARVHAAAAAGEGVNVSEMIVSLTYTVISRSAFGNKLGGMDPGVVREMLTDVTVLLETIAVGDLFPMLRWVDRATGLDARIERTARKLDAIFERVLVEHEKSSGDHNGAEPGDLLDDLLSVIKEGGEGSKLDRTDVKGLILDLFIAGIDTTSKSIEWAMAELIKNPNEMAKVQAEVRQVAGAHGGVLEEQLGTMTRLQAAMKEAMRLHPPVPLLIPHEVIQDTELQGYHVPAKTRVIINAWAIGRDADYWEKPEEYLPERFMHNNTFDYNGKDFRFIPFGAGRRGCPGIAFATRLAELTVANLLYHFRWELPEGQDVESFEVVESNGLSPGLKSALTLVPEPLQP >Et_8A_058017.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:13496311:13496910:1 gene:Et_8A_058017 transcript:Et_8A_058017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALACTARLIHPSLVSSKNPRAPPPPPVHIHTQRPLSTQSQPASSSSPHFALRSVDVSKDDKPLEAATMAESEQEDAGEASDDGPKLDPRRFEEKFAVLNTGIHECRSCGYRYDQAAGDPSYPVPPGLPFAQLPDDWRCPTCGAAQSFFESKSVEIAGFAENQQFGLGGNSLTSGQKGLLIYGSLFVGFLFFLSGYFLQ >Et_1B_011925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27260270:27263909:1 gene:Et_1B_011925 transcript:Et_1B_011925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSLLRRSQFLLPLSLVLAVVLAAPAAEAWTGEIRGRVVCDVCGDAAIGPEDHALEGAEVAVLCITKSGEVINYQAFTNSKGMYTVAETMPESDRWESCLARPISSFHQHCTRRGDAHSGVKFTYNKSSGNMHTVKTFLYKPVNAPLYCS >Et_1B_013449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8481544:8486087:-1 gene:Et_1B_013449 transcript:Et_1B_013449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASSHHLPPAPAGQALRPAPARVALRPGTLRQHGVAAAAATGAGSGGDAAPAAAAVSAATAAAPAGSSRDPVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTDKVLRQVIEQLNKLVNVLSVEDLSREPQVERELMLIKLNVEPDQRPEVMVLVDIFRAKVVDISANTVTIEVAGDPGKIVAVQRNLSKFGIKEICRTGKIALRREKIGATARFWGFSAASYPDLIEALPKHPLTSVNGTVNGSVDQPSNAGGDVYPVEPYESLSMNQVLDAHWGVLDDDDSTGLRSHTLSILVNDCPGVLNIVTGVFARRGYNIQSLAVGPAEKAGISRITTVVPGTDESIEKLAQQLYKLIDVHEVHDLTHLPFAERELMIIKVSVNTTARRDILDIAEIFRAKPVDVSDHTVTLQLTGDLDKMVALQRLLEPYGICEVARTGRVALVRESGVDSKYLRGYSLPL >Et_4A_034440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32502246:32504757:-1 gene:Et_4A_034440 transcript:Et_4A_034440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTSVAAAAVALLVMVVTTEGYQYNASQGPLVTAVIVFGDSIVDPGNNNDLTTLIKANHPPYGKDFINHQATGRYSNGLIPTDLIAQGLGVKPLLPAWLGVDHSPEDLLTGVSFASGATGFDPLTPVVVSVISLEQQLAYFDEYRAKLAGIAGEEEAARVIDGALFVVCAGTDDVANTYFTTPFRSVEYDIPSYVDLLVSGAEAFLRKVSARGARKIGFVGMPPVGCVPSQRTLGGGLGRECEPKRNQAAQLYNARIQDMIRRLNRQLQAETLVVYLDIYRVLDDLMERGEEKYGFSETTKGCCGTGTIEVTGLCDARFVSVCDDVQQHVFFDSYHPTERAYRIIVNDIFDNYIQVLI >Et_5A_041742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26615576:26622094:1 gene:Et_5A_041742 transcript:Et_5A_041742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTAERLLRRLGPLAFETPARGVTRIQNGCSNNIVNSCRRFHWVPSPHRSQHGPRTTEKSADKASEVQKRAFGSAAKHIQRNPAYAVLNSDDVSYFKSILGDSGVVQDEDRVAVANVDWMGKYRGASQLLLLPKSTAEVSKILSYCNSRRLAVVPQGGNTGLVGGSVPVYDEVIVSLTGMDKIISFDNVNGILTCEAGCVLENLSTFVENEGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGNVLGLEVVLANGTVLDMLTTLRKDNTGYDLKHLFIGSEGSLGVVTKISILTPAKLPSTNVAFLSCNDYISCQKLLLAARRNLGEILSAFEFMDHHCIDLAMRHLEGVQNPLPASPYKFYVLIETTGSDESYDKTKLEAFLLRSMEDGLVADGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVLGYGHLGDGNLHLNIVSSKYDDNILAQIEPFVYEWTSAQRGSVSAEHGLGLMKAEKIHYSKSPEAVQLMASIKKLLDPSSILNPYKVLPQSVLC >Et_9A_062577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24094994:24097114:-1 gene:Et_9A_062577 transcript:Et_9A_062577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSLQVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFDNVGRWLRELRDHTDQSIVVMLIGNKSDLRHLVTVSTEDGKEFAEAESMYFMETSALDATNVDNAFSEVLTQIYQIVSKKTVEAPEEGSALPGKGEKINVKDDVSALKRAGCCSS >Et_9B_064653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17405798:17406344:1 gene:Et_9B_064653 transcript:Et_9B_064653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCKKPLLPFLALCCLVLLLLPLASAVPMSRSLRLRNHQDPPSLKLTHQGMMLAAARNLDGGRAATARMAVEVNDYPGSGPNNRHDPPKGPGRA >Et_5A_041137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18678644:18679764:1 gene:Et_5A_041137 transcript:Et_5A_041137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPHAWRRPANCHMGLMWPWEQSIEAFEEPPSSMQLTTLFLKFIALLRILSSKLPAHTLCLSSDQQIEVCISSGHLQICSLKSSSIHCVSKTHQPHDALEPLVVEPRTR >Et_6B_049388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4227707:4239799:1 gene:Et_6B_049388 transcript:Et_6B_049388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRNLTDVRSRSTGADNLFDGTPSKRASKNRSGSAAESKEDRISELSDDLLRRILSLLPAHEAVRTCVLSRRWREVWKAVRVLRFTAAETWGSAARFNRFVNDVLAFRDPAPLEEFEVKTYMCFPPTSSYSLILSDREEPVRYAKEWIQYALMRDVQVLRVIVNSRNRPLLRVDTALVSQHLSASFCAYVKLVPHCTDHCWERNHFLPYGFDTCVKCYGNHHQCLILGGLSNATNLELAAQYLFHSWHLGAAIFKREMRMCAAFSNLKTLVLDGWVVVCEIDALICFLQHTPVLEKITLQLYNELRYEVEMEESCSSLEKFVPSEHLKVVEVKCTKKRTLSQRWGSRAVSAVLAAVAMTAPLLVIVFLGGGIGAPAVWIKTAMAGLGQGSSHDFPLDKLHGGLLVEGFDQGSCLSRYQAAMYRRNPGKQPSKYLVSKLRRQEALQRRCGPGTAAYSSALEQLKSGSKKNAAASSPDECKYLVSVSYRGLGNRLLAAASAFLYALLTDRTLLVDPSAAMDELFCEPFLNTTWLVPRDFPLWAYRSFYLDTPERYGKMRETGGDPKAEELLPAFAYIHLDHNQTDHDRLFFCDEDQRLLSGFQWLVLRTDQYIVPGMFLVQSFQEELAMMFPEPDAVFHHLGRYLFHPSNHVWGLITRYYHARRLASARRLVGIQVRVFPWEPNSPELLERIATCTQKEGMLPRLAAALTEQESTTTTTTTIIAVVMTSLKSWYSERLQGMYWENATSAEGGGELVVVHQPSHEERQRYGDRSHEHKAWAEIYLLSLADALVTTAGSTFGYTAQGLAGLTPWVLPRDGRPALATGAACARAVSMEPCCHVAPLYDCKRRVDAGKVVPHVQHCDDVPAGLKLVNPKECTGAHHLFNGMPPRRKNKKLKRTTAPEAEGGEDRISDLHDDLLHRVLCFLPAHETVRTCVLSRRWREVWKWKSTRVLRFTEAESWGSPVRFNKFVNDMLSIRELAQLEEIVFKTYLFWPLLTSHAYYNKKEPVRYTEAWIQHALMRDVKVLRVLVNSRDRILVLNTPFVSQHLRTLELKTTVLGGCSMDFSRCPALQDLEMTDCEIEIHRILSQSLRRLCFNKCKFSDSICTQIVAPNLLSLRLDVRDGSRVPLLGSMPMLATAYVYLGNCSRDRSRHNKFEQCDSDTCIDCYGNHAGTNSCVLLESLSNVTDLELAAYNVQRYHHRGVAIFKNDMQSSPTFNMLKTLVLSRLIWASEFHQLISFLGHAPVLKKISLELFEEHEYVAEIEETCRLLEERLLPSDHLKIIQVSCSRGKDGRFDKLVKFLDTRSTMVQADLLASMPDDAPVSESEMIMQRRLHHHGAKQAEYFLIKWDCGPPASLAIWQSDPGWLLYCPPVTTTGTENFE >Et_3B_028566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16946090:16949701:1 gene:Et_3B_028566 transcript:Et_3B_028566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIAASRRSSSAAATAKRPAVQEIAAGPKAAAPAAQAKKRVALGNITNIAPAGVRASGKGISVRMPHPDRERFERDLGLSSVFSFSVFGLVILDLAGVLNGCTREVTGSNRRRFLDVCSIPMQKLNSTASAASVRKGSLASARNVSSTRGSSVKSSSTKPAPVVPRHDNTVQKKYVPPPKVPAIAPCSSFVSPGCSGDSVSMDETMSTCDSMKSPEVEYIDSGDSSMLASLQRRANEQLYISEEKDVKENKWIRNAPATMEIDSICDIDNDLEDPQLCASLASDIYMHLRDAEMRKRPSTDFMETIQKDVNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVACMLIAAKYEEICAPQVEEFCYITDNTYFRDEDPPLHLEFLASYVAELSLLEYSLLSYPPSLIAASSVFLAKFVLQPTKNPWNSTLAHYTQYKPSELCNCVKALHRLLSVGLASNLPSIREKYSQHKYKFVAKKPCPPSIPTEFLLDATC >Et_3B_027993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30367647:30368417:1 gene:Et_3B_027993 transcript:Et_3B_027993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSISSSHGPATSPAISTCRPAGRFPASLGSIAAAHKRSLFVTTGSETRGLTPVKSSGLETTSVGADAVVVTGQVTEVNKDTFWPIVKAAGEKLVVLDMYTQWCGPCKVIAPKFQEMSEKDLDVVFLKLDCNQDNRPLAKELGIKVVPTFKILKDGKVVKEITGAKIDELVHAIETAKSS >Et_3B_030121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30672220:30679254:-1 gene:Et_3B_030121 transcript:Et_3B_030121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHFSSASSASSPAATAAAAAAAAVGSSVIPIVNKLQDIFAQLGSSSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLVHQPRRPADAEADEWGEFLHLSGRRFYDFREIRREIQAETDREAGGNKGVSDRQIRLKIFSPNVLNITLVDLPGITKVPVGDQPTDIEARIRTMIMSYIRHKTCIILAVSPANADLANSDALQMARVADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKLGYVGVVNRSQQDINSDLSIKDALAREDKFFRTQPAYNGLAQYCGIPQLAKKLNQILVQHIKTVLPGLKSRISSQLTAVARELALYGEPVDSKAGLGAKLLNILAKYCEAFSSMVEGKNEDISTVELSGGARIHYIFQSIFVKSLEEVDPCEDVTDEDIRMAIQNATGPRSALFVPEVPFEVLVRRQISRLHDPSLQCAKFIYDELVKMSHRCLATELQQFPVLRRSMDEVIGKFLRDGLKPAENMIAHIIEMEEDYINTSHPNFIGGSKAVELAQQQVRSAKMSAAVVKKDGVDADKVQASEKTQKSRAILGRSGVNGVVTDHIQGVRPAAEADRPGSSGSGSTSFWTSIFNSNEDRTHASARDASVNRSYAAPAPNLEHSFSTIQLREPPVVLKPSEHQSEQEALEIAITKLLLKSYYNIVRKNVEDFIPKAIMHFLVNHTKRALHNYLITKLYREDLWEDLLKEPDEITIKRKQIRENLKVLQQAYKTLDEIPLDAETVERGYSLESDAIGLPRAHGLSSSLHGFTDGTSPYSTPKQSRSRRPNHSGEQPPFNPSGNGF >Et_3A_027139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34051170:34053026:1 gene:Et_3A_027139 transcript:Et_3A_027139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METRVAGAPEDEESGLLPRPSAAGRRPSSAVPRFRPPPAVWATVDGPLGLPLEEAEGHARRFFLWGFACLPFLWAINCCYFWPVLRSPATSSPAAFAPIRPCESLSPHPYPVKDLIFKSYAYVVRSAIGFSIFSVVLITWATTFIVGGERLFGPTWNDLVMYNVADKLGISGFMG >Et_4A_034571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4413155:4416593:1 gene:Et_4A_034571 transcript:Et_4A_034571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERRIFISYIFLDSKTAGSDACSWRVGEGGISRRRGEEGGSPYWSGAKMSASALKDLNISQSAELEKGKDSSVKSCRPVSNGNKCVNKEENAPPACPDATNGSEAATIDVEYIDSENLIDLPDVDATLSTLVKRLDSKDWVMTCEALNNVRQLAKYHKERLQELLDPLVPLIVKSVKNPRSAVCKTALMTCADIFKAYGDLMVDSIDLLLVQLFLKASQDKRFVCEAAEAALISMTSWISPSLLLPRMQPYLKNRNPRIRAKASVCFSKSVPRLDVDSIREFGMDKLIQIAATQLSDQLPESREAARHLALELQVFYEKSQVSISGENDGTLSESPDAESWEAFCQSKLSALSAQAILRVTSTPKEGVTASVTSTSKEAVAAEFKNGKEQDKPT >Et_3B_028809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19345511:19346460:-1 gene:Et_3B_028809 transcript:Et_3B_028809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSVAGGGEISIQMSAAAADPFQPEKCLNRCVHVVAFGEWAGDAFGTTASLWATLVLLGGYRSLLANEDFWLATVIIFQQAFRIFSRSYKLDNQSLFGTTKAVRWGAGSSFSRMLAQPQEGNEVVLIMGLSIT >Et_3A_026808.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:21503039:21503557:1 gene:Et_3A_026808 transcript:Et_3A_026808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAFPIRFTKGIRSYWRQHKYKHVDGSGSGGEAAAGRGARRHHQLVRLGDGDGPRPWAVRLDGMLRGRRVKVPAPAVAAAKAPARALGKIRDAYVDVMLGAAKTQPAAAKALPTAPAALWQKRVPVRRSQGQSQKRQQAEELGQKLVEEMYRSVLASRSVSGMLHASVAR >Et_4B_039986.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7195832:7196920:1 gene:Et_4B_039986 transcript:Et_4B_039986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALHVGEPRISSFPPQVGVAKSNNNNGHGPVVEEIHGLMRVYKDGHVERLPAIPDVPPTWGGTAPGNPGGVAARDAVIDRATGVWARLYAPTAGGARALPVVVYFHGGGFCVGSAAWSCYHEFLAQLAARAGCTVVSVDYRLAPEHRLPAAFDDGLAAVRWLRHQAQAVAANDELAWWRARCCFDRVFLMGDSAGANIAFHVAARLGQGHLGPLSPLAVKGAVLIQPFFGGEARTASERTMQQPPRSALTLPVSDCYWRLALPVGATNRDHPWCNPLSTRGAPRLEALPLPPVFVCVSETDILRDRNLELCRALRKAGKSVEQAMYGGVGHAFQVLHNSHLSQPRTQEMLAHIKAFVSARW >Et_2A_018356.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24284795:24286192:-1 gene:Et_2A_018356 transcript:Et_2A_018356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGHTMPLLDLACVLRRRGLAGVTFFTTPGNAAFVRAALARGGAGDAAVVELPFPGGHAPAAAGESAEGVASASSFAAFAEATSLLRPRFEEALRAMRPPARVLFADGFLYWAHASAVAVGVPSVSFLGTSAFAHVVREACVRDRPGAAAPPQGGDDGDVGAPDADTYTVPEFPHLQFPLLDLVPPPAALMELDAKMAAAVAASHGMILNTFHDLESRYIEHWKRHMGLRVWPVGPLCSVRQPFSTAQADAKPVWMRWLDEKAAAGRAVLYIALGTLASIPEVQLKEVAEGVEQARVDFLWAVRPNNVDLGTGYEERIKGRGMVVRDWVDQWEILRHHGVKGFLSHCGWNSVLESVTAGVPLAVWPIAFEQPVNAKLVVDELKVGVRVRTRDGTVGGLVKSEQVARAVRELMYGEARAAMVKNVGKIAGQAHLAVSDGGSSWKAVEEMINELCRTNVAGKTVEG >Et_8B_060052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5408025:5408630:-1 gene:Et_8B_060052 transcript:Et_8B_060052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRQSIFHLGEEGGAAAAVHHRGGGLVAGAALTSTAGGVRRARERERHVVGLQILVHHHQHGRHGHAHAGSVVLKPMVRPRVAAVSCSFLKACSLCRLELSPNKDVYMYRGDQGFCSEECRWQQILVDEARERDAAVVIKERQRRGQARHHSPHHTPVRGRPPRKTLAAAVA >Et_4A_033342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21703106:21709660:1 gene:Et_4A_033342 transcript:Et_4A_033342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFPSDAYLAIFVDLPVSVFRNHKWNLGAGLVYELKGQMVVVNENTSCVPCNLLTKVGVWQLLASTTNQSWESIRISIFGLFATDSSLAWQVGTAVVTRHDGRLALGRLGALCEQVKELNTLGYEVIMVTSGAVGVGRQRLKYRKLIHSSFADLQKPQMELDGQACAAVGQSGLMALYDMLFTQLDVSSSQLLVTDSDFENPDFRERLRETVESLIDLKVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLAGLLAMELKADLLVLLSDVDGLYNGPPSDAQSKIIHTYIKEKHHNEITFGDKSRVGRGGMTAKVKAAIVASTSGTPVVITSGFASQSIINVLRGEKIGTLFHKDASFWEPSKDVTAREMAVAARESSRRLQNLSSDERKKILLDVADALVANEGLIRTENEADVAAAQDAGYDKSLVARLTLKPGKIASLAKSIRTLANMEDPINQIQKRMEVAENLVLEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAMRSNTILHKVITGAIPDNVGEKLIGLVTSRDEIADLLKLDDVIDLVIPRGSNKLVSQIKASTKIPVLGHADGVCHVYLDKSADMDMAKRIVMDAKIDYPAACNAMETLLVHKDLMKAPGFDDILLALKTEDCIVTTDDKVAETFLRRVDSAAVFHNASTRFSDGARFGLGAEVGISTGRIHARGPVGVEGLLTTRWYVAFQLFFCTFISFRLSAYERSQLCNRVCWLHIYILLLETSIKGTQEGILQGNGQVVDGDKDVVYTHK >Et_4A_033846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27291999:27296070:-1 gene:Et_4A_033846 transcript:Et_4A_033846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAARLILLVVVASALAGRSDGAWCVCRPDQSDATLQKTLDYACGAGADCKPILQNGACFAPDTVRAHCSYAVNSFFQRNNQNSQACVFSGTTTLVTSDPSGNGCQYPASASAAGTSMNGGNGGSGAYSPPMLGPSAFDNNGAGYSTVVGVTKRVEAIFCEAAGGKFSHEQWRPLLSIQPSSGREPNILKLS >Et_9B_065951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18672306:18677298:1 gene:Et_9B_065951 transcript:Et_9B_065951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDGAVKDQESSRDPEASAAVSEAPSAAAAAEAVVRARPARPAHEKRLGVRHPLKHRRFRAGGKMMVEPGGVPSAHAEVEGEEEEETSEVEEEEEEETTSAETEMSSADVEVSSSPAPVVPVVEEMEVEGGEVGLSPEAALTVGESELEAQPDEDDEVSSMAGAQGESKQEPTPAASVPVLEPPKKDEDKEREENRKKHREILARRERVDEVGYMSGGWKSPDGNLSCGYSSFRGKRASMEDFYDIKSSKIDDKQISLFGIFDGHGGSRAAEYLKEHLFENLMKHPEFMTDTKLAISETYKKTDSEFLDAERTTHRDDGSTASTAMLMGDHLYVANVGDSRAVISKAGKAIALSEDHKPNRSDERQRIESAGGIVMWAGTWRVGGVLAMSRAFGNRLLKQFVIADPEIQEQEINDELEFLIIASDGLWDVVPNEDAVSLVRMEEEPEAAARKLTETAFSRGSSDNITCIVVKFHHDKMDSDSPPLGDKS >Et_6B_049508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5949060:5951039:-1 gene:Et_6B_049508 transcript:Et_6B_049508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPEQLEHVATLAQPTRQLKLKPLERIDAFALFCRKAFYNRMECKCPQDLQNLANTIVDRCQGLPLAIVSIGGMLSSLPPTEYVWNEIYKQLRDELANHTHVSAILNLSYHDLPGELRNCFLYCSLFPEDHRLTRESLVRLWVAEGFAVRKEECTAEEMADRYLRELIQRNMLETVENDELGRVSTCKMHDIVRDLALSIAKEQKFGSANDFSSMLNIDTEVRRLSSYGWKEKTAAKVKFPRLRTVVALGTIASSQLLSPILYESTYLTVLELQDSEITEVPASIGNMFNLRYIGLRRTRIKYLPESIRRLSNLQTLDIKQTKIEKLPRGIAKNKKLRHLIADRYADEKQSEFRYFIGVRAPKELSNMEELQTLETVEASKELAEQLMKLMKLQSVWIDNINPADCATLFAALSEMPILSSLLLSASDPTKALCFEALKPRSKKLHRLIIRGSWADNTLDCPIFHDHGKHLKYLAISWCSLPTDPLQLLASHVPNLTYLSLNMVTSESMLVLPEGCFPKLKTLVLKRMNHVNQLEIKDGALSQIEGLDIVKLPELDKIPQGIETLRSLKKLWLLELHKDFRSQWNGNGMKQKMQHVPEILM >Et_7B_054655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3151459:3154528:-1 gene:Et_7B_054655 transcript:Et_7B_054655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKSPSSCICPAATSMSAGESSWAMHIANFLAAPHGSEDMDHEAASDSRFSYGFSSSFDSFDDDASFITSELMCDNEEEDESLQDTACSSAAGQKITSMENVDIKSMVTVDAKEFNMPQLCALGMLQAKYFNDVSSRQQATNVDQEAIDSCNNNEKAIYECNELRKKGLCLVPISMLIDYLGRPEVIFQTEI >Et_2A_015660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16627275:16628694:1 gene:Et_2A_015660 transcript:Et_2A_015660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSISSPMDGFLSILTVAIAVSTPPSAAKAAAAGICRESCGGIPVRYPLGIDDGCGSPYYRNMLACGGEDNATLRLRTPSGTYPVAGADYADPHLVVRDPSMWTCDRPYTGVRASAPFSLDTSTRFSLSPRNEYLFLGCDESRVIVAPRPASCDRYPDRPGCDSACDSAAYLCRNLPGGCRDALDGEAGNVTCCAYRPRAAESLRAMLRHCEAYTSVYWRAIGEKFPPYDQVPEYGVRVNFEIPVTTRCLQCQDRRNGGGGTCGFEPATRDFLCICDDGRNSTTDCAAHVGACLAYVLCSQPKSVKRILYKNISKADADLFSRRWWLLEAFSRSHCCKGPLPRLRNRWRWGARVVPTQDQIEQGGHLWGSEQRKQILLICAKEKEHEKEIIDEGRERLKNTV >Et_5A_042794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4533938:4534335:1 gene:Et_5A_042794 transcript:Et_5A_042794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGDRLSALGDAALTRVLSHMPTNEAVRRTSVFSRRWRNLHEAVPVVDFRDLKTCNRWGHRGNRLPMVTCAQLSRDPAALIRATASTRAACHATVTVLVQCILVALRSGAEELAGPQDQVPGSL >Et_3A_024717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23501123:23506276:-1 gene:Et_3A_024717 transcript:Et_3A_024717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWVRAVVEAIHSSRAQAVLYLAGGASQALGWLLSVPGASSTVLEVVVPYSRASMAQLLGKVKSILHLRIHEANRDFVSGISVFLALTTDVQIPLQFTSKQTAEDMALAAYNRALKLSGPGLQVMGVGFTGSLASSRPKQGDHRFYVSTRSHNCIRTSHVTLSKGLRSREEEDKLSSYFLLKAIADTCKASATIQSDIQEHEIPEESMEQFDEDQELQQVINGQVCMKVYHFADLVEKNFNRKIILPGSFNPLHDGHLRLLEVASSMCDDALPFFEISAINADKPPLSVAEIKKRVEQFRKAGKNVIISNQPYFYKKAELFPGSAFIIGADTAARLVNPKYYGGDYNRMLEILLECKSTGTTFLVGGRKIEGVFKVLEDLDIPVELRDMFISITEEKFRLDISSTELRKRQKFAGKVWAHKIPVECTMFSPDERKPYMFKSGAAFDQMKITSMAKMAIWQRSGIMLYSTT >Et_2B_020431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20019437:20022860:1 gene:Et_2B_020431 transcript:Et_2B_020431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHQHHHLLLSVRHRPPPPRLCLLRIPRRSHRLVPGAAAIATVAESALQDFRRWLSSQGADEGKAYPAAVPEGLGLVAARDLPRGEVVAEVPKKLWMDADAVAASDIGRACAGGDLRPWVAVALLLLREAARGADSPWAPYLAILPRQTDSTIFWSEEELLEIQGTQLLSTTMGVKDYVQSEFDSVEAEIINANKDLFPDAITYDDFLWAFGILRSRVFPELRGDKLALIPFADLVNHSTNVKSEGSCWEIKGKGLFGREASFSLRTPVDVKSGEQIYIQYDLDKSNAELALDYGFVESNPYRDSYTVTLEISESDPFYGDKLDIAELNGLGETAYFDVVLDEPLPPQMIPYLRLLCIGGTDAFLLEALFRNAVWGHLELPVIREACKSALAAYHTTIEEDVELLKRENLSSRLKVAIGVRVGEKKVLQQIDDIFKQREEELDGLEYYQERRLKDLGLVGDNAYVASLQLHLPSDQTKASTDGGDLHDEPDSILNPILPAQTINNN >Et_8B_059867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3508476:3521164:1 gene:Et_8B_059867 transcript:Et_8B_059867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGAARRRRRGAVACGVAAMAGDGEVKDIHRADSIKTLLKNEAVYKYILNMVVYPREHECLRELRLLSQKHTEGFMSSPPDEAQLLSMLLKLTGARNTIEVGVFTGCSVLATALAIPDDGKVVAIDVSREYFDIGLPVIQKAGVAHKVDFREGPAQPILDEMLADEGSEGKYDFSFVDADKENYGNYHERLLRLVRVGGVLAYDNTLWGGTVAMPDDTPITDGDREIRDVIREFNAMIAADQRVEVVQIPIADGITLCRPSPVNNQRQPGLHKRGTHLDLLYKLHESLTDTTSPVQRRRGLVARGVTAMAGDGEVKDIHRADSIKTLLKNEAVYEYMLNMVVYPREHECLRELRLITQKHVEGFMSSPPDEAQLLSLLLKLMGARNTIEVGVFTGCSVLATALAIPDDGKVVAIDPRVLRHRPAHHIQKAGVAHKVDFREGPAQPILDEMIADEGSEGKYDFAFVDADKENYGNYHEQLLRLVRVGGVLAYDNTLWGGTVAMPDDTPLTHDDREIRDAIREFNAKIAADQRVEVVQIPIADGITLCRRIV >Et_4A_034137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30206243:30210535:1 gene:Et_4A_034137 transcript:Et_4A_034137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGTPPTEGSGKNRRKQKANPYNVAYNRGAAPPPARPGLVVLRDPTGRDLGAQYELGGELGRGEFGITYLCTEAATGARFACKSISKRKLRTPVDVEDVRREVDIMRHMPSHPNIVSLRAAYEDEDAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQMCHRHGVMHRDLKPENFLYANKKESSPLKAIDFGLSVFFRPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAEHPWLHDSKKMPDIPLGDTVRARLQQFAAMNKLKKRALRVIAEHLSVEEVADIKQMFDGMDVSKNGKLTYEEFKAGLRKLGNQMPDSDIRILMDAADVDKNGTLDYGEFVAVSIHVRKIGNDEHIQKAFSYFDRNKSGYIEIEELREALADELEGNDDDIINGIIRDVDTDKDGKISFDEFAAMMKAGTDWRKASRQYSRQRFSNLSLRLQKDGSIGADTKRNMLYPMPKLLDLMDNHEGGQRLA >Et_4B_039980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7149635:7158316:1 gene:Et_4B_039980 transcript:Et_4B_039980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPARAEVLSLFRSFLRTAKQFSDYNIREYTRRRAADAFRENRALADAPTAAAAFAEGKKQLEVAKRQAIVYSLYAPKSKSIMEMKLQSMFVLFLDNFHTNCKGNAM >Et_6B_049776.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1167188:1168279:-1 gene:Et_6B_049776 transcript:Et_6B_049776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGITKQVLASLPLLVFEHAGRAEEEGADAASDGKRLMFSLSEQSIYRGVRPETMASNKDNTLFSTPQGWLLMIIGEISEAWLWHPLTGETISLPHIHDDHFIPANCKCLLTHNSVADPDRAVVLLDVGDPNMWFCRVSGGQWGHHTYQIGDDYDDLPEDFADSSPPPPPPATTNIIGNVASVRGKVHFTCIESKQEKMCIVDLDFPPSHPPTAVEHKFDVPNLEFPQDMCSGKVWLAESQEELLAVCICFLDFNPGHIGTVLVYKMAFSDEDHQIPLGWRRVHSVGDRAFLLSGTNMATWCSASAHNLKGNTVYFLKNFRSDDGDLCVYNLQEQTMEIVRVHDQELVLTRTKPYWINVPPC >Et_3A_026762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19559599:19561578:1 gene:Et_3A_026762 transcript:Et_3A_026762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRREGGGAGPGDHPHQGGKGAGAGATTTDALSMDGGLREVSVSVVFSVWCLLFLLRSQFLNSQTDPSDFYEDHGKRDSYCKVMPLEAYVLPHHNVPSPTCPPTSYHSPPQEAPDGNASSSSLSAFEGLDAFRSRIMQGKAAENNDTGRPSSASNAHRLEPSGAEYNYAAASKGAKVLAHNREAKGASNILGGDKDKYLRNPCSAEDKFVVVELAEETLVATVALANLEHYSSTFRDVELAGSMTYPTEAWEPLGRFTADNAKHAQRFALREPRWARYLRLRLVTHYGSGFYCILSYLEVYGVDAVERMLQDFVAGTEAAVGRDANDTVHLQVHAKLDGVGAGRNDTDAKNNGSRGAAGDARPIPTKEAAAATGRTTHGDGVLKILMQKIRSLELGLSTLEEYTRVHGAKLPDLQNGLSQTAVALEKMKADVHDLVDWKDHVAKDLDDLKDWKSSVSGKLDDLIRENAAIRQVLNLHRGCQPRCYHPNVALNLKHAGCRSSMEEMRAVQDTLQNKELAVLSISLFFACLALFKLVCDRVLFLFAGNDETEERTCRTGKAWMLVLASSSFTTLLVLLYN >Et_4A_033657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25458111:25459136:-1 gene:Et_4A_033657 transcript:Et_4A_033657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDAPPQPIHSAKDAMDSLAAILGGAIPGSVASADDPAGALLHDADVARAVSARLRAAGSGAGNDSICRWLYDAFRSGVPELQLAVLRFVPALAGVYMCRAVSRKPLAGFEAVLLALYAHAAAQRAGEAAETVALPNLANPSPYHDAKLPPKAKPADLDVAVLSPPIEPHGTVRATRRARIVGAVLELYHAKLAQMPASSKTDFCEFCPRLASAAATDAGGEEKWRRVPLPWELFQPALRIVGHCLMGATRSEELKAQAARAAECLYWRAAETVDARALLATRSLMRLSQMVEEPIPEPSFSGENMEDLEAMRANVLSMKN >Et_1A_005611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1266709:1268758:1 gene:Et_1A_005611 transcript:Et_1A_005611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQQLDLIMRHQSMANICDSEDALGSSESEPARPARPRGKRTRAAEVHNLSEKRRRSRINEKMKALQTLVPNSSKTDKASMLDDAIEYLKQLQLQVQMLSMRNGLYLPPVNLSGAPDTVAPSDIRVAQYLGGVKASNSGVVLLPVNENSAGQHLIDRPNHEQRLNKTLFLPSGPNAKTVEPQFLQESSQSNLQSFELTLPPEMIFQQDMMLNYRLTSDHETPSVPGHKVDTVRQETSTVNADHFGRIMLRNDQSQDMVPKNSE >Et_3A_025212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27948972:27950723:-1 gene:Et_3A_025212 transcript:Et_3A_025212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGGDFFEKAKPYIAMISLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATISIAPFALILERKVRPKMTWSVFLQIFVLALLGPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVMAVIFRMEKVDLKKVRCQAKVAGTLVTVAGAMMMTLYKGPLMHMSWTSHVQPHGSGAEAPVAAIDPSGKEWFLGSLFVIIATLAWASLFILQTHTLKHYAAPLSLTTLICFVGTLQAIVVTFVMEHRPSVWTIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQKTGPVFASAFSPLMMIIVAIMGSFILAEKMFLGGVLGAVLIVMGLYSVLWGKHKETQEKEEEEAMELPVASKTNGSVYDDAIIKEIAAAAVGDDSECKKANGGVKSSSDGHGASAV >Et_2A_015737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17344957:17346740:-1 gene:Et_2A_015737 transcript:Et_2A_015737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKCPLIIEAYSGHHTFQKRASSCHPVVLAAVTLLDDHLGRLVDLLTAADQEKLEQSLTGKLPMIQSISDAVGQNLVLETESASLEEWLWQLRTAIEKGYDAIDELEFYNLELARQQNLSALSQFRKLRFGASQNLKTAIEKLDSIASGVGNFIAIVDRIKRYSGEHHYQKHVLLRETSRIPSANKVVENDKLVQWLTTGQSAESDVVAVAVVGIGGMGKTTLAQMVCEDSRVNENFADNIVWIHVSSHFNPAVLTKMIVQSITKQKPDTESLDLLQASLMALLKKQKILLILDDTWEDS >Et_10A_001613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6061108:6061890:-1 gene:Et_10A_001613 transcript:Et_10A_001613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNMDMDPNPVIESPLDQFGIHSIFDLHIGNFFFSFTNSSLSMLLTLGLVLLLVFFVTKKGGGKSVPNAWQSLVELIYDFVPNLVNEQIGGLSGNVKQKFFPRISGMIPFSFTVTSHFLITLALSFSIFIGITIVGFQRHGLHFFSFLLPAGVPLPLAPFLVLLELISHCFRALSSGIRLFANMMAGHSSVKILSGFAWTMLFLNNIFYFIGDLGPLFIVLALTGLELGVAILQAQGVAIVLALAN >Et_1B_014037.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:28160882:28162531:-1 gene:Et_1B_014037 transcript:Et_1B_014037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQAWVWLFLVRFLLFLALLRLAEKQFAKRSKNKQQAEGHRRRFPPSPPGLPLIGHLHLVGFLPHTSPSAASPPGTRPRRPHARPPRLRADARCLLRTHDHLLASRPPSAAAHALLNGALDVSFAAYGDHWRQAKRLLTTHLLTARRRCASPWPSLPAPPRPAGSWT >Et_5A_041877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3795448:3798594:1 gene:Et_5A_041877 transcript:Et_5A_041877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATNSAAAAAAAAVSGAAASQPRAAFVPMKRRSIAAAHAADPSKGNGPVPAAAKTPTPTVAPPEKKPAGKWAIDSWKSKKALQLPEYPSQEELDAVLKTIETFPPIVFAGEARHLEERLAEAAMGRAFVLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQVPVVKVGRMAGQFAKPRSEAFEERDGVKLPSYRGDNVNGDEFTEKSRVPDPQRMIRAYAQSVATLNLLRAFATGGYAAMQRVTQWNLDFMDHNEQGDRYRELAHRVDEALGFMNAAGLTADHPIMTTTDFWTSHECLLLPYEQALTREDSTSGLYYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPNDLVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRNAGQIVTWITDPMHGNTIKAPCGLKTRPFDNILNEVRAFFDVHDQEGSHPGGIHLEMTGQNVTECIGGSRTVTFDDLSDRYHTHCDPRLNASQSLELAFIIAERLRKRRMRSALNNSLPLPPLAF >Et_6B_049956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:243769:248250:-1 gene:Et_6B_049956 transcript:Et_6B_049956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRERMLELGFRFNPTPQEAVTYTLPRLIAGEPMHPAVRPYIHDTDIYACEPSDRFFYTFCKRQPSQKKAGKSSRAVRAAGPGSWHSQGNTTDVKDGAGVKIGEVKKLRYKKGGKFTDWLMDEFSCCSEDAVVGDRLRVLCKIYVSPRAGPDSVARQEDAAAAAAAFAPPVPEDPVAAPKRPAPPMDEPPFLKRPRHAVVPSPPPCASTLASALAPTRPCACSTVAARDPFCTESPATAQDDVDFDFLSLIEGALETEQAEEDEAQDDTDWFAFPLANQMTSTHNHKINLKAVQWGQRAQQSSRILALTMSA >Et_1A_008466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7958075:7959599:-1 gene:Et_1A_008466 transcript:Et_1A_008466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHHQALISSAPAFSPASHFLHAAPSTSPLLFTHSSSTSASCSPAVAPSMASFVAHHHGSLVRVPCSLGPTRVTTVEREGRMAAALRSSLRPCDVAEEMAAAAAAGPGAWGGLDRGVGDEFFVEELLDLEDLCEVDKDVADLGEAAPSPAVEEDKSSDSHGSSGVSYELMPLPPRVMDLPAHDAEELEWVSRIMDDSLAELPPVPHLSSPSFALRRPAEPTTTVPRMVPVPTTPTICALSTEAFVPVKGKAKRSKRSRGSVWSLSGAAPLSDSTTSSCSTATTSSCSSSSASFPPLLLLDSSPLALLEQAPRSSKKSKHGKNGGGGKPKKRGRKPKHQPRPQFSAGGASAPAPGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSTIHSNSHRKVLEMRRKKEGVLVVVPTATAAAVASF >Et_2A_015727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17275718:17292670:1 gene:Et_2A_015727 transcript:Et_2A_015727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLADSRHLCSVKLRAAGGGVTQTRSELQVHPAAAGELACWSLYSYTFESRGELLSVHVHVRLNSTISVSLYALHLGTRDDGNTPRWVRRDGRSLADRVLFLGRPVRRNGRPRWLRLLRPRFEPRRVGTYSFLDGSATAVAELPDGWDMGMSIMWFVPQNVTVASTHEIRKRLQAASNKLAPRSVTPHAQEPPRHFGPYFKIFVGNLPWKVHSPRLRQIFSEHGQVADARVINDIETGRSRGFGFVTMATLEEPAAAIAALNGKEIRERIHQAASNNSAPRSITLRAQEPPRHFGPYFKIYVGNLPWKVDSQRLRQIFSEHGLVADARVVHDRETGHSRGFGFVTMATLEEPAAAVAALHGQVILDGRALRVNFAEERPRQELKMPPLATDLAPDLLRQISGCFQDAADFVRFHAVCRTWRDTLTKTSSSSHYPPFLPWLLVAIGSRVSDPSSPRPHGACRAPTTPEDGAGARLLTTNTTDGGGASPRLVDPFTGATTALPPFPETIAQYVPGEIDGVVCSDGTVFLYAVGNYDKQMCYIVAAVLRPGDAAWTEGESRLVYSGFEHSCASTRRRVPPGLGRARGPLPDSRRDAAPARGGGGDRTGGLVEESDTARSDRGVVEPVLVRTRRQSIHAFESRGELLAACVVLAAPEEDGGGGDLRALTATVSVSVYALESESVDGQESQQRWVKRDGRCLTDRVLFLGSPTSFAVDAARFAGAIGGAGCAYFVLDSGNVCWSWRNVPKARRVYKYSFQDASATVVEELPPLGGTGWGNDNMMWVTPRPSNIAPTHEITKRLLQPTSSKHGVRLESIIRHGRTEFRPHFKIFVGNLPSWIDSTQLRRFFKNYVDVTDARVVCDTQTGRSWGYGFVTIATMEEAKEIFGALDGEDATAPPWADLPPDLLRLISGGLRKPADFVRFHAVCRTWRDALRTSPLFPPWLLTPGDNTTAAPQFRSIFSDTTTWCPPVGTYRNCRWLGTDDGAGAWLLTIADEAGAPPRLVDPFTGDAITLPPFTDEKMLEYVKESSGVVCSKGAVVLYAVADYVCSKYDCYITVTVLRPGDEAWAQRKTRLMLYTGFGDSCSAAYHRGCIVLVDRLQVYIVKLRLSTGNGDGIEDDGDALQEIRTSPETPIWCSFAEQFWGRGTRQQRLSIHAFESRGELLVACIVLTTSDDADENGGDSRALAAAMSVWVYALEESVDGQEISKQRWVWRDGRSLSDRVLFLGSPTSFAVDGARFAGAAAISGGCAYFVLDSREAGWSWRKVPEARRMYRYSFEDGSATELEELPDIGCTGWDSDKAMMWIMLLPFVTSPTTHEIRQRPPLKIFVGNLPSYVDGFRLKQFFENYADVTEARVIYDTRTGRSWQQLKNQQKFLPRSTERLAMNLTVPPWADLLPDLLRHISVRFRDPADFVRFHAVCRAWHDALPTSPSQYPLFPPWLLAPAGHSSTAAPQFRSIFANTATWCPPVGTCYSCGDHTHTRWLGTEDGGGAPPRLVDPFTGVAIILPPFPDNVLLESVKYSSGVVCSDGAVVLYTVHDCICWEHDGYIETAVLRPGDAAWTRARTRLMMYCSFGDGYGCAAARHRGSVVLVGLFQMHLVKPRETGGGDGDLEESETTTAREPALRVRRQSIHAFESRGELLAACVVLDEAKEDNGGGSPPRCLCEEPEKSVDGEESRRQRWVWRDGRSLTDRVLFLGSPTSFAVDAARFAGAALGGGCAYFVLDSREAGWSWRNVPKKRRVYRYSFEDGSATVLEELPDTTTGGTGWDSDKAMLWIMPVPRPSSTAPTHVRSFKIFVGNRPSWVDGFQLRQFFDNYADVTDARVICDTRTGRSWGYGFVTMATIEEPDE >Et_7B_053728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11629093:11630816:1 gene:Et_7B_053728 transcript:Et_7B_053728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATVLFAPSPCHAAATTTSGRGRGAALSHCAVRALQRRQQGSWRSSGGRGVAAAAVPPEQSGVSSLAGTAGYETVSDVKAALYRALEGENRAIFGMTSAKRSEVHALVELLESRNPTPEPTANLQEKVDGCWKLIYSTISILGKKRTKLGLRDFISLGDFLQIIDVKEEKAVNVIKFSARALKILSGKLTIEASYAVTSKTRVDIKLERSTITPDQLMNIFEKNYDLLLAIFNPEGWLEITYVDESLRIGRDDKENIFVLERADPSEV >Et_9B_064219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12728774:12732855:1 gene:Et_9B_064219 transcript:Et_9B_064219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGDRDQQQQQPEAAAGGGGGVGGEAAAEGRGESVKLFVGQVPKQMTEAELAAMFQGVALVDEVTVIRDRATRVSRGCCFLMCPSREEADKAVSAYHNKCTLPGASSPLQVKYADGELERLEHKIFVGMLPKNVTDSEMTDLFSKYGNIKDLQILRGSQQTSKAGCAFLKYETKEQAMAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQFQSSNMLNANAMQQTSLFGAVQMGYMPQYNGFGYQPQGTYGLMQYPPLSPMQNQTAFQNMVPPVNQGNSIRGANSELSPNSVPRSFNSALLGSPYSPVPGMQYPGAYPGGSINNRPFGNSHNSIKAANSSANSPTSSSASSNPGPQREGPPGANLFIYHIPQEFGDHDLANAFQSFGRVLSAKVFVDKATGVSKCFGFVSYDSPASAQAAISMMNGIQLGGKKLKVQLKRDNSKHSKPF >Et_4B_037914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24762274:24770606:-1 gene:Et_4B_037914 transcript:Et_4B_037914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSSSDAEDLPARRSDAADVAGNVWDLAAISPPPAGGREIYIYRNTYNLVPRSVGRGGGLRSLKFFGNDVEVLPPDAEGELDGLESLQVKVSAPRVSGAPLRRMRLLKELELSMVPPRPSSCSILAEIAGLKCLTKLTISHFSIRYLPPEIGSLRKLQELDLSFNKLKNLPNCIIELSSLKFLKVTNNKLVDLPPEISSLRCLESLDLSNNRLTSLGSVKFVSMLTLQYLNLQFNRLSHSYEIPTWVCCDMRGNNENASKGGKLQCSGVETRISLVESKTLSRGSDGAHSCSHPEASPNLKHHAPQKVKKGWKRRDCLQQRARQERLDSSRSKLKTSSISDDVSSIIDDDFDGLMKDSGMMLQDHPSEEKPRRDMRNFRDNNSCISVEPTFSRGRIHSVENELEDTASSAHDVGEIVQENSSETLKCTSKSKRHPDMDSNPKPSKFPRPIDECSKISYKYSVESFCSIDDHLPDGFYDAGRDTPFMSLEGYERSFGLNAREVILLDREKDEELDAIASSAQLLLSSLKRPSSFETDEDTGHDLLRASVLALFVSDCFGGCDRSASLGRTRRAIVSLRKEQPFICTCCIGTVCDSSEASKQTNTLAGHFNVNGLCDRSIHIIKEKRNSGIVPIGALQFGVCRHRAVLMKYLCDRAEPPIPCELVRGHLDYTPHAWNVVPVRKGNGWVRMIVDACYPTNIKEETDPESFCRYFPLGRLNDPLDDESCTTRCSFPSVSLCKEIEVTASSSVYHCKIGAVDAAAKVRYLDTRSASNDEIKNFEYKLLGEVRMLGAFRKHKSIVDIYGHQFSSKWVQDDGGKEYRILQSVILMEYVNGGSLKSYLTRLLKEGQKHVPIDLAFYIAREVACALSELHKKLVIHRDIKSENVLIDVNSKSAGTPLVKLTDFDRSVPLHSLSHTCCIAHLGAHPPNVCVGTPCWMAPEVLQAMHEKHQYGLEVDIWSFGCFLLEMLTLRIPYQGLPDSEIYDLIMRKKQRPRLTQELEAFWTVDEPVTRLKLGITSDSHADKLRHLIDLFYQCTRGNASRRPKAEQIYNSLCSLPTCYDMSNMVILRCIFFN >Et_7A_052985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:419016:420880:-1 gene:Et_7A_052985 transcript:Et_7A_052985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAARCCLIANPIVHRSRPATSSSALRLPRITSSPPPCSTSPSRLVAFASMDAPPQGYRTNVGICLADPSLTKASPPYPLRVRLDIPSAWQMPQGGIDEGEDPRAAAFRELREETGVTSAEMVAEAPNWLTYDFPPDVREKLNARWGTDWKGQAQKWFLFKFTGKDDEINLNGDGSEKPEFGEWTWMTPQQVIEKAVDFKKPVYEEALKHFAQYLHSDSTSSSQI >Et_5B_045489.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:329690:330697:1 gene:Et_5B_045489 transcript:Et_5B_045489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGMTVKKEEDQEVLLAEDEAALLKKITALAEAIGKLPSLRPSAEVNALFTELVSACVPASSIDVERLGPAAQEMRGKLIRLCSEAEGLLEAHYSDLLADFANPLEHLTLFPYFNNYILLSQLEYGLLARHVPGPPPARLAFVGSGPLPLSSLVLAARHLPAAAFDNYDICADANARASRLVRADDDLANRMAFRTSDVADVTRDMAAYDVVFLAALVGMAAEEKARVVEHLGRHMAPGAALVVRSAHGARGFLYPIVDPEEIRRGGFEVLAVHHPEGEVINSVIIARKPAVAVDDAHAHGHGGAVVSRPCLCCEMEARAHQKMEEMPIEKLPS >Et_1B_010783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1469276:1472454:-1 gene:Et_1B_010783 transcript:Et_1B_010783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKSSHESSDRAAKRPLPSQKQHLYLVVDDWERGYSIRKLDVDAFDTDGDDADWLPEHFIDLPVARMEAVHDSCIDSNFIFGMHPGKASPAIPAFDTHTLGLTICPWPACHGAYMNPFFVSVGGKLFLFTNALAEYLGDPPPPHGSKTPWSWTTIKARPPFYTSRIICYALHPDGRTLFVSAEKQEDGQGTFSFDADRLEWTRHGDWLLPFAGQAHFDAELDSWVGLCDERDGDGRLCACDVAPVAAEFTSQPAWKLGDDRLFRRERQRLHLGAKLVHIGDGKFCLVQAMTHEDDARLLDDEHRPLPRRRLLRMTAFGVKYDKRGELRTTQRRARSCKIYERSHDLWEPPEPLAGFAYLLERLVQFPHLTKP >Et_10B_002675.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:6383095:6383343:1 gene:Et_10B_002675 transcript:Et_10B_002675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLAMTVRGLSILLVTWSTVVLLGGFFSELGKKDFWCISGITIVQAAGLVSSSRIYYFCNCLFLQIMTLYFLFLQCFIMQP >Et_2B_022368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19368227:19370844:-1 gene:Et_2B_022368 transcript:Et_2B_022368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSQSLAAAAALAVAATAVVVLSRPSTSLPLGTSSIVLTHQRTYPAGLLYKRKCGRLAARVRELEASLAAATEKAAAERRGRVRAQQSLRKALSEREPRPDEGMPAKAPALTSYPMAPIGTVHSCFSTRNGTPRQPLVVPLARATVALDPARVPVGALEGLASYSHCWILYVFHLNTDLDKMWKDPARSKLKAKVRVPRLKGGKMGVLATRSPHRPNPIGLSVAKVEAIDGHSILLSGVDLVDGTPVVDVKPYLPYSDGVKDATVPDWLEVDGALAVESIHCTENFISALRNCWMHMKKQSLYASADEFQDLVKEVLSWDIRSLSQRIRPHQVTMEREENTHCGEEDDKDPKDEASFNVIYHLHLEGINVSYRIDQNSNIVVEDAALLPGVVN >Et_10A_001178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21969379:21970148:1 gene:Et_10A_001178 transcript:Et_10A_001178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPVYRRVLKAVQKRVGGGASKQHFRDFVAAEFRAPVGSEADARARLRFAGDYAYLLTSVHHHKDLLFSYNIAVDRSDEMKKILNKSAASVGLQLPDVYQP >Et_3B_027432.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16708699:16709163:-1 gene:Et_3B_027432 transcript:Et_3B_027432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTTGRSTGGASRGWSSASGRGRSGTWIPGDSRARSGSGPGRSWPTRARSASARRGQDRLPRQPRRPYRRPSQASAGRSPRRKSFKLCVATREASVFAILWRQSLICSIFDFPLFDFFIRFIIFLCLLRNKIRWLVISFIRLCRGESYAISL >Et_5A_040900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14372298:14381556:-1 gene:Et_5A_040900 transcript:Et_5A_040900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLLPAMSPVQTVCHYAQTLSAKTIQNAYLAQHRARTRHGTRYPSDFCSLNTRNMHSFQECRLSLADTSAKWLNTTKASWVSFVQQAKISCNAAQGSSVVSSSEKVDFLKLQNGSDIRGVAVAGVEGEPLNLTEPVTEAIAAAFAAWLLNKKEADGVRRLRISVGHDSRISANKLQNAVSHGITAAGHDVLQFGLASTPAMFNSTLTENEKIHCPVDGAIMITASHLPYNRNGLKFFTSDGGLNKANIKDILERASIIYEESAQGSIKELEEASRGVVTNVDYMSVYASDLVQAVRKSAGDKGNGAGGFFVDKVLRPLGAVTTGSQFLEPDGLFPNHIPNPEDKNAMKAITQAVLDNKADLGIIFDTDVDRSAAVDSSGCELNRNRLIALMAAIVLEEHPGTTIVTDSVTSDGLTAFIENKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARTQNSSVGSEVLTGLVEGLEEASVTAEIRLKIDQNHEDLKGGSFRDYGESVLKHLENAISNDANLHKAPKNYEGIRVSGYGGWFLLRLSLHDPVLPLNIEAQSNDDATKLGRAVLAAVSEFSALDVTALKKFVQE >Et_2A_016413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24463840:24464963:1 gene:Et_2A_016413 transcript:Et_2A_016413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPPKLRRHVQALETHRDALLPGVADPDAAQRRGRRLHQPPLLQPSPPQKLRGPEDAGLPRRQVLLRHGEAPDAVPESAVLEADLVTGHVQELPPMSYGWFHFVVYDAARRAMFGVHTIGMPPRTSVAVKDDEWFDWEYPKNFFTGTEPVETSPNTNPVLHGGNLYALFDDGRLAVYDEAKHEDDGHFEILDKPGSFGGFQQCDDRYLFESDQGELMAVLVGRRGTPVRVSLEGRAVFAGTLTTLMRKTDVEWMRNKVFFPRLHDWPETVHVDIVDRHGEVAFVPTSSTGSADTAVVNDDANIWAYELGSEESREFWETEKLNCSIWVDSSTC >Et_1A_006517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23549650:23554427:-1 gene:Et_1A_006517 transcript:Et_1A_006517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAVTKVCGGGGKTRAGALHVGGICIETPALLLSTRKGLPAFVSCDLLASLPLPDSLLLHVCPTNFMEGPPSKTISNIGGLHRLVGLPNHILVKPTDYMEMISCMKPNLWASLADEIPAWSTEKRNKISVDRTLRWLDACLALDTASGTNTLGVVVGGSSIEQRRICATEVSKRNVTEEVLEGVATGVDLFDSTYIYQLTMGGFALVFPVDTIEREMQNGIFKDSSGDSTKINLRATIYRKDTSPLVDSCSCFTCQNHTRAYLNHLLNVHEMLAQILLEIHNTHHYLRFFHSIREAIKDGEFDIFRQQFIESRRAHIATAVL >Et_4A_033063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1799610:1800881:1 gene:Et_4A_033063 transcript:Et_4A_033063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRSLCCFVSPTRGKPSDVKTRRSSAACICCIGPHHKPSAGGASLGPNADLSARSPLISCCGSGDVRGRSATRTPRTPRTPCTPSARRLCGVRSRTPRRGQVCCFPAAAPSAAAASAAPARVVAASAAATAPARTPRTPNTQRACCLRAPAQGSAKLGRRRSWFRSARQAVVAQQTPRFPGAAGRDSARGGNSGVKVYDARLAEMEAAAAAVAVAKEEEETCSNEEYAQLCREGFSREDARRASKALKSLVRLQAVARGAYVRRQAEVAIHCMQAMVRLQVRVRARQMLTKPKEEQLLQKS >Et_1B_013144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5946721:5951172:1 gene:Et_1B_013144 transcript:Et_1B_013144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCCRVLSSPKGGDDGNKTMTVDVGVCEDWEFSQVFPPSFVAPISETPEGETFEAECFATSDSVLVSDEYMRQRL >Et_7B_054244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18076761:18083772:1 gene:Et_7B_054244 transcript:Et_7B_054244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CSRAATRVSVSPFAWKPEPKAKLPNCQTHHTHTSTRRHHVREGASVRGLVASGATHVPRIFRVPDPEDETLPYASSVPGQEPPAATVPVIDLGGHRAAVVDVVCWAAAEWGSHASRLPSTKRPHLDAIVTMPATAATGYDRAAELRALDATLAGVRGLVASGVTHVPRIFHVPDPEETLHDAASGGVPGQESLTATVPVIDLGGDRAAVVDAVRRAAAEWGFFQVTGHGVPEEAMAAAVAAVRAFHDADGGEGSDKARLYSREPGRRSSTTATSTCTSRPWPIGATRSISAWRLTRRPTTSCRRPAEMHSLNTPKK >Et_5A_041223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19658307:19661265:-1 gene:Et_5A_041223 transcript:Et_5A_041223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPLITVSDGRLAVRGRTVLTGVPDNVTVAHASGAGLVEGAFIGADAGEAKSIHVFTLGTLRECRFLCLFRFKLWWMTQRMGVSGRDVPLETQFMLIEVPPSTTGDDDDDKPLYVVVLPLLEGQFRAALQGTDGDALEVCVESGDKAVEAARWERMVYVHASGDSSSPFDAVAGAVKAVEKQMGGGQEAGFRHRERKRLPSFVDWFGWCTWDAFYTDVTAGDVTRGVRSLADGGAPPRFLIIDDGWQQIASDDAEKDVAVQEGAQFASRLTGIKENAKFQHHHAAGDEQDDDGLKRLVSEAKLLHGVKQVYVWHAMTGYWGGVSPTSPATAEYSPSRSYPAQSPGVTGNQPDIVADSLSVLGLGLVPPKRAHAFYADLHAYLARCGVDGVKVDVQAILETVGAGHGGRVAVTRAYHRALEASVAKSFPDNGCVSCMCHNTDMLYSARQTAVVRASDDFYPRDPASHTVHVAAVAYNSVFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHDFELLRKLVLPDGSVLRAQLPGRPTRDCLFSDPARDGKSLLKIWNLNKCGGVVGAFNCQGAGWCRVAKRTRVHDPKPGTLTGSIRADDVDAIRRVVPASDDDWDGEAVVYAHRAGELVRLPRGAALPVTLGPLEYELFHICPVRAVAHGAVSFAPVGLLDMFNAGGAVEECAVTKDHDAGASVSLRVRGCGRFGAYCSVKPARCLLDAAEVQFGYDAATGLVAVDLPAPEKELHRWTLEILV >Et_5A_042095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6262301:6265085:-1 gene:Et_5A_042095 transcript:Et_5A_042095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFPNSRFPFAAGGAGAGAPGGGGGAAGSGVRPWGSSGGTSVSSSGKRIQKELLDLNASDCSAGPQGDNLYHWLSTIIGPEGSPYEGGIFFLDILFPPDYPFKPPMVTFKTRIYHCNVDSTGKVHLDILKDGWSPAFTISKVLLAIKDIISNPDPYTPLVMSIAHQYLTDRNKHDEIAAEWTMRFAR >Et_4B_036782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11495776:11499311:1 gene:Et_4B_036782 transcript:Et_4B_036782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKPLLSRLLPLTLRLRPHARLLCLATPTPADVAEAPYDAAAERRRRKRRLRVEPPLSRGPAPQRTPGAPRPSSNPNAPKLPEPASVLSGKRLDLHRRILTLIRENDLDEAALLTRHSIYSNCRPTVFTCNAVLAALLRQARYADLLTLHRFVTQASVAPTVATYNILLQAYCDCRRPETALEHFRLLLKDDSPVLPSPTTYRILARSLAENGKLDLAIELKDGMLERGLVAPDPQVYAFIMGGFVNAGDGDKAVSLYEELKEKLGGGPILDGVVYGNLMKGYFLKGMEQEAMDCYAEVLGDDSKVKFDAVSYNMVLDTLGRNGRLEDALQLFDRMCREHDPPRRITVNLGSFNVMVDAYCRAERFQDAIEVFGKMAEKRCVPDALSYNNLIDWLGKNELVVEAEGLYKEMGERGIAKAILLDESVVFSDEMKALLEGALEKDERDGEMTKLYEDVEREKAEAAARAAEEKARAEALAKEEEERKKAEEKAKEEAAARASRAAIEAVLGRKREAEKDESADGANVEEAQVVESSSDTIEAAGEHNEGDEEKKQESGESMYFWRQLWEDRGSDPAGVVGLAMAALVARDAGVNLRRVSTVVAHNPSLHTLNPTWR >Et_6B_049610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7163951:7165432:-1 gene:Et_6B_049610 transcript:Et_6B_049610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSVGTAEYERPQPKPLVLTAHDKPASHPQQVRISIVGTNAMLISWITDDRSELTVVAYGTSPGKYNASETGYSTTYQFLSYKSGAIHNVTIGPLEPSTTYYYRCGNIGDELSLRTPPATLPIEFVIIGDVGQTGWTASTLSQIGVADHDILLLPGDLSYADRHQPLWDSWGRQVQPLASARPWMVTAGNHERERLREPGNKQVRQFVAYDARWRMPHEESGSRSNHYYSFNAAGGAVHVVMLGSYAEFEEGSEQHEWLRRDLAAVDRRRTPWLVVLMHVPWYNTNLVHHRRRRQQFISDHELAHLSVFREASFGHGRLRIVDETRALWTWHRNDDEYATVRDEVWLECLVSARPTLAANDCISTTKL >Et_3B_029190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22949491:22952671:1 gene:Et_3B_029190 transcript:Et_3B_029190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNLGKGRRRSVYLTECVPLWGSASARGRRAEMEDAFAAVPRFADVPVRMLACAREMQALGLEVANYCRDRIHVALREVLLSNKSMNKGSGDLHIDDHWEKVLSDCFQRVDDEVSGEASRFADGVNNKLQCKPVGEDQVGSTAAVALVCASHVIIANCGDSRVVLSRGKEPHATSMDHKEGGSLRGASLASLLYLLCHGGRCVKPYVIPKPEVIVVPRAKDDDLLILASDGMWDVMSNEDACKAARVQILLWYKQNGNGADSDEGGDPTRALWNGSTDNITVTVIDLKPRRKIEVKSL >Et_1A_009233.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:34762833:34764017:1 gene:Et_1A_009233 transcript:Et_1A_009233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWIGTQPSLSLDLHVGLPPLGRHHTPPPVVAMVKPKTLVEETFLPLKQDPEVHTRNNPNGFRVYFVRDERQIDLCLRLKHGLICGCSLAQVAALESELHRMGEENRRLSEALTAVAAKYDALRSQYTEMVAAANANTGGGGNNPSSTSEGGSVSPSRKRKSESLETTPPPPPAPAHPHHNHQGAAGGDQMECTTGEPCKRIREECKPKVSKLYVHADPADLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPACPVKKKVQRSADDNTILVATYEGEHNHGQPPAPAAQQQQQQSDAKNNAASKPPSPPRQAPAAAAPPLPVVQQPHHHHNHQQQQDVLAGEPVAAASEMIRRNLAEQMAMTLTRDPSFKAALVTALSGRILELSPTKD >Et_9A_063049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9082787:9087626:-1 gene:Et_9A_063049 transcript:Et_9A_063049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPCDGDDVCMVCHAVAPPLLQIRLCYSCVTSWHAPCLSKPIPLSESAGWTCPDCSGDATPAAPAARGAGRDLVAAIRAIEADHELSEHEKARRRQELLLAGSKAPDAGDDDEDGGDDTLGTIGEIFSCVFCMNLPDRPVTVRLSSSSLCIRPSVHTPCGHNSCLKCFQKWIQSGHETCGTCRAPIPHKVAEQPRINTAIVEAIRAAKIPKIANSAGSTDIPEKAFTTERAKKAGKPNAASGQIFVTIEPDHFGPILVQHDPKRNTGVRVGDTFKNRQECRQWGAHFPHIAGIAGQSKHGAQSVALSGGYEEDKDHGEWFPYTGSGGRNLSRNKRTSKRQSFDQTFDGLNEALRLSCLKGYPLRVVRSHKGRSSYGPLSGVRYDGIYRIERCWRKVGIQGMFKVCRYLFVRCDNEPAPWTNDGHGDSPRPLPEIEEVQGATDITEREGRASWDYDSCLLGAFDCKSSVRKRSCGGLTLRPQKLVKKCPFCLADICDFLESPQREAVDNAKRMKTCDDMEGIAGHDTPVEQTVKSSEATD >Et_8B_059744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2288182:2289383:1 gene:Et_8B_059744 transcript:Et_8B_059744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVAPGMPPAHGGFQRPGKGKADPDERKRDTNGFLSEEEEDDAVVRRGEEEEEEEEEAAALSDSSSIGAASSDSSSIGENSASEKEDGEEEEVESKAKEVEGLGMMGLGTLESLEDALPSKRGLSNFYAGKSKSFTSLAEAAAKAAAKEIAKPENPFNKRRRVLAAWSRRRASCSALATTYLPPLLAPDHAVLEEDDEEGADNSDDEECGKSRRERRQQAFPSPRLSVHTQMLRNPNPSSFRSPRSFSMTDLQSASYNLAEN >Et_5A_041849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3350013:3356920:-1 gene:Et_5A_041849 transcript:Et_5A_041849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSSSHRRSAFSPGLAVLLSGDEAKISPQKSHLVSYHDEIGHQAVERTLEHIFDLPHKSLVRPPGPIDAGFVRSILRNQARKFDLDWEKCNHGYQGSVLIVDRGAGQSKVVLDDLSICGKFKSIREPLLVESSAPFSSARANACVWKGKWMYEVTLETSGVQQLGWATICSPFTDQKGVGDADDSYSFDGRRVTKWNNDPKPYGQPWAVGDVIGCCINLDAGEISFYRNGISLGTAFDGIRNVELKKGYYAAISLSEGERCHLNFGSHPFRYPVDGFDPIEAPPRCRVFTSYLLRCLFRLLEVQNLEKSESAYFEKLRRVKKFAPLQELFHPISEGICAEFFSAVKGSQECLEYIAWGSLTTFLLDVFRAREPHDFSCLDQVLDLFLQFQGCTPLLQELIVALSCMCKAAPLVLTECPYSGSYPFLALACRLLRHKDVMHLWWNSEDFAFSFEGFLTRKIPNKQDLQCLIPSVWWPGSSEDEVSMTLTMTTLSDATKKIEEMHHELCSLVICFTPPVSPPQPPGSIFRSFVQSLVLKARGGDHRMVVNGTFNNTVLVSLYTVILHLLSEGYSMDSSGSASSSKVNCGTGVGFLHKGGKRKFPTQLLFRNDAYYSVVPRIGGSPNILMYYQADAVEDEVQWDEGCMDDEETRVTHTTIQKPCCCSVTDVTEGLRYKDNAKYIPSTSKGPCKPMPERSSHVAAECSGRSLGDDIEEKPSTSTQSEIDYGYQTLHNLESIPVAAQSSSEALKEEEMLDAFYFMSQQSQSISLLEDTDRQIREKSCAEQVRRLKEARNSYHEDLVDCIRHCVWYRATLFSPWKQRGMYATCMWVVELLLVLSKSKIMFQYVPEFYVESLVDCFHALRRSDPPFVSPAVFLKQGLASFVTLVVKHFDDTRIVNPDLKDLLLQSISVLVQYKEFMHVFENNQEAINGLPRSLLSAFDNRSWIPVTNILFRLCKGSGFASSKNAESSSSATFQVLLRETCIHEQELFFSFLNRLFNTLSWTMTEFSMSIREMQDKHQVADLQQRKCSVIFDISCNLARILEFCTREIPCAFLMGPDMNLRRLTELIVFILNHIISAADAEFFDMTLRRPGQQLEKTNRTMILAPLVGIILNLMECSSTSEHRELNDVMAVFASMDCPATIHFGLQYLLSYNWSNVLRGDASLVKLAQLEEFSHYFRRITVAVDGNEEHTTNSGDEDEDDTCCICYNSDSDATFQPCHHKSCFGCINRHLLNSQRCFFCNAVVTSVTRIADS >Et_9A_063478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3739082:3745548:1 gene:Et_9A_063478 transcript:Et_9A_063478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAPAPSSRHKGVVPQPNGRWGAQIYERHARVWLGTFADEDAAARAYDVAALRFRGRDAAVNFPGPAAANELAFLAARPKAEVVDMLRKHTYHDELRQGLRSFAAGAGAGGEGQAHAAPVPRVPLFEKAVTPSDVGRLNRLVVPKVHAEKHFPPLADKDNDDDAAAPVLLAFEDGDGGKVWRFRYSNWGSSQSYVLTRGWSRFVREKGLAAGDTVTFSQAAATATTISAVADGEVSGNRRMFIECRKRKRTTNGGGGMVVEDCSNGGERVVRLFGANIAAAIGVHASFDKQLDACRLKLIGSILMAYGQRSLQDWKRKLFPKLFVLPNNWREDLYNGSPCVPITRSLISGGHFNNSAK >Et_2B_019166.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15291724:15291882:1 gene:Et_2B_019166 transcript:Et_2B_019166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFYGVANYHVPMWGSQMRGVPFFCKYVNIQFSAPKPYVVNIPSGRFLGHH >Et_2B_022716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3604555:3606098:1 gene:Et_2B_022716 transcript:Et_2B_022716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPSSPAPHVAVVAFPFSSHAAVLLSFARALAAAAPAGTRFSFLSTAGSIAQLRKSGPALPGNLRFVEVPDGAGDEAAPMPMPRRMELFMAAAEAGGVKAGLDAAAEGGARVTCVVGDAFVWPAAEAAAAAGAPWVAVWTAASCALLAHLRTDALREDVGDKAASRADELLASHPGLASYRVRDLPDGVVSGDFNYVISLLVHRMAQRLPRAAAAVALNAFPGLDPPDVTAALAALLPECLPFGPYHFLLPADASDTTTDPHGCLAWLDRHPSRAVAYVSFGTVASPRPDELRELAAGLESAGAPFLWSLREDSWPLLPAGFLDRAAASGTGLVVPWAPQVAVLRHASVGAFVTHAGWASVMEGVSSGVPMACRPFFGDQRMNARSVAHVWGFGTAFDGPMTSAGVAEAVGELLRGEEGNRMRARAQELQAMVDKAFQPGGGCRRNFDKFVEIVCRV >Et_5A_041344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21442545:21446383:-1 gene:Et_5A_041344 transcript:Et_5A_041344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAHSAEVARVTEELQRAEAKHAAEMQAVQVEHQREVISLDRSRYAKGLKDMRNLALHMYPNVVDPLKLTHQTVQVEHQREVISLDRSRYAKGLRDMRNLAVRMYPNVVDPLMLNHPVLSEGSDQRASGPQGPAHQAPTKSNNQKATQIGAWAWDLDVQKQDGLGRHNE >Et_4B_036202.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:10334443:10334670:1 gene:Et_4B_036202 transcript:Et_4B_036202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIHASGCKEGSSDDQMCYCCGENTKENCHLTMEECKNACPLCNQPKCLSQLSVQSAMEDRRLGATGNTTITGS >Et_4B_037425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19771319:19773924:1 gene:Et_4B_037425 transcript:Et_4B_037425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHWWLKKVIKFRSSGARRSGAILVRPFNKYFNDLMGEDGECLVVLDMETKEMRRAIHGMGTKEMHRAKREKHVTAFPFEIDLKSWLVMPPRKRLLALTEAAPPPEEEEDTRACRTLPTDLLLEIVSRTDAETLARSGACCKPLRRDILIPGFIRRVCHEPGGMPARLIGFLHAYDMASRAHRPPAPFTLVHPSTPAAASFAEKHVVPRLSRGAGADLLRRYEPLASRRGLIVLRRRHVRNRWRKDICVYDAISGDRVFFPQPPDIGYVSIHMYVLLTAADGVGCPILLLAADFVGFRNSGAINIQTVSSDAADRKWGPLTLATHPRPPGSFLHPCCRAVVLGTLIHWLTYGDKVSMIITYRVGTTTLGSIDLPSLPDGCKMLNLHLTSSPDGRLRLLVSDQLTVSIWQSTDASGWERQAVIDAEAIALSLVPELPQQHRMIMFEGSGVGSAAVLLLPTTARNYRGNKDEDRICGRVINKRNHIDNRPYPYEIDLVSRLSAMKSF >Et_3B_031420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2936540:2937027:1 gene:Et_3B_031420 transcript:Et_3B_031420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANDDAGDAAAAESATLPPPQPAVPHGNMAEILPSLPLETRFPPFRLRRYGGFWLPEAVVSTGIPAFHTCFEPRPTGVFLASFPKSGTTWLKALTFASLNRATYSPSDANHPLRRCSPHDLVKWPNRSRFTRTVRTSTHATKDCLFY >Et_9A_061218.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22573981:22574388:-1 gene:Et_9A_061218 transcript:Et_9A_061218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLPLPCVCYDATAGAKRTRRKKKSTACRNGRAARHVVPVDTPDEDGDGEEEIKAAWPGCRVEHVVGDDGGVRVKIVMKRKEVEELVARLEQRDAAEREARMEELSAGLGGAAVTMSPCRDAWRPRLASIQEN >Et_10A_001491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:451224:456074:1 gene:Et_10A_001491 transcript:Et_10A_001491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGDDFLLPADSLFLGFDCSTQSLKATVLDAGLSVVAADSVHFDSELPHYGTDGGVRRDPAERGRIVSPPLMWAEALDLLLGKLSPRADLRRVAAVSGSAQQHGSVYWARGAGALLAALEPAAALAPQLAGTFAAAESPVWMDSSSTAQCREVEAAMGGATRLAALTGCLAHERCTGPQIRKMRQTRPGVYEGTERVSLVSSFMASLLLGAYACIDETDGAGMNLMDIATRQLRKDALEATAPNLEDKIGRLAPAHAVAGRISSYFVQRYHFASSCLVIQWSGDNPNSLAGLTLSSPGDLAISLGTSDTVFGVTDSPEPSLEGNTLPNPVDPKTFMVMLCYKNGSLTREDIRNHYAERSWDVFNRLLEETSPLNGGKLGFYYKEHEILPPLPVGYHRYVVKNLTSGPLDETVEHEIAEFDHPSEVRAIIEGQFLSMRGHAERCGLPVPPKRIIATGGASSNTLILKTMASIFGCPVYTSQRSDSASLGAALRAAHGWLCNQQGKFVPFSCVYSGKLLDKTSLRMKLAVPFGDCEGNIELLNDYTLFVKKRHAVCTQPRHCLGPQTESDSLFKWKCTLHLQPKPSFTS >Et_8A_057063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20756337:20762388:1 gene:Et_8A_057063 transcript:Et_8A_057063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADSSTAARDWATLPRDILITVFLELGPSEVMLGAEHVCTSWRRVALEEPALWRRIGCRTLCEGFKGCLDYEDFSYLVQRASSLKDLCIEEFHYKEGAEELISALKKLPLLENLKIYSIYTIRRDVRMLQSICQACPNLKNLMLMYGGTGDRQCKDEFCKELIDGEMPMMNELRTLRLYECDLTCKGLESILNSCPKLEFLHITGYFDKHEMNEELRVKCAGVQTSPAMLSSSAAPRQHKRMKPSSPAARDWAALPRDVLSTIFLKLGPSEIMLGAEHVCTAWRRVALQEPALWRRIGEQLWRCVGIDAEMAMERVALARAAGQCEAFRGCLHSEDLAYLVQRAPSLRSLDIKRFSNYEGTEELVVALEKLPLLENLQIHFTYSVKRNVEILQSVCQACPSLKKLVLIFAEACDLYWNKDDFYKEPIDGEIPLMHELRILELYECDLSCRGLKGILDSCPLLESLHISGYFNKQHIHENLQVKCARVHELTLPTTRIKPTSPDSTTAEADWAELPWGILVTVFLNLGPGRVMLGVDAVCRAWRRVAVEEPALWRRVGWHAVDHPRRVAGFAPSLKSLDIQRFTNDTTIANVSLVLRELPLLENLQIHFRYISRKHDDLNLLHSVCQACPHLKALMLRNGQFPKVLIDGEIPWMQELRTLELHGCDLRGKSLKTILDNCPLLESLHITGYFNKHIMDKELEAKCARVKKLTLPTTVKPRHH >Et_3A_023306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19732054:19732563:1 gene:Et_3A_023306 transcript:Et_3A_023306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAFFRLSFILTITATIITTTPFACAQRQSGKGCIEAEREALLSFKEGIKRDPVNRLGSWKGHDCCKWDGVHCSNVTGHVIKLDLSKTNTKLFGLHGKIDSSLIALQHLELSGSQWKLSWGRCAHTKIHWLTLEFDTSQSSLHGFRW >Et_9B_066269.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:9316772:9317392:-1 gene:Et_9B_066269 transcript:Et_9B_066269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPISEGSAKTVNTKKISDQLPLKHKWNISHESRSLSSAANRSGNCESDEEIFMSATSAELPSIGGTDDLPSLRLSPPIFPTGSLVLPPSASPVKIVKKLPFGYVIGRQPDAPAVPSALARSIKKVKAPMMAARHLRSRSQMVMNQVARALKGTFRRGSCRCSSRHGVGKEGDAANDDQDVIWKKVVLRGLRCRQVEDDSKPAGEE >Et_4B_039814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3211168:3212151:-1 gene:Et_4B_039814 transcript:Et_4B_039814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYNLVSQNTVETLRKAEEHTQKTEQMQISILSPEKVPNEILAFTYHTVQIENNTSSRETEVLELKGYMRLLWLSRWPVKSESGQKAGIAKKNKG >Et_9B_064548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16368329:16371906:-1 gene:Et_9B_064548 transcript:Et_9B_064548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSAIRSALSRALFSPATRGSRYYATAAAVGETQPERVAAEMVRYALGGAAHRSSPEEAMRILEQGASNLQGGSEGSAEAVNMLMLAMSTLLYRSGRRQDAIEKLKATQQVAPSASFRVAAWESLMGLLMEAGQDISSTMSPNDSVDLSIKDDDSEWHDQEHLKFRVNAIKGLVALLNGETDSAAQLFVDGCRDFAGGKNHTENAALSYGEYLHCVGDFPMATQVYERVLEAIGMEDMSRNLLAAGNMVPEEVSIGATCSYGQLLSHSGKFGEAEDYLTRALQKAEEQFGGNHPKVGIVLTCVARMYKVKAKSEGSSSIMVQEGLYRKALDVLKAPAIHSEGTSKQMDWRDIIALARGEYAELLLIQSNRKAEGERMKEWAEDAWRNRRLTLAQALGFSEPSKPTIVVDTRIGRVV >Et_1B_011672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24888018:24888946:1 gene:Et_1B_011672 transcript:Et_1B_011672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYRPIHGEVSICYTMSRISEPAIYAYTVHMQRRFSSWVNAWKQELIYKPASAGVGFREWWNDRMTEYTSRKKRDQAAVIMYTAWNIWKERNMRVSQNTTFAATAGILNDKRRNAAKGAGIWSRREQPESRIMMQRIKRNVLWGDGSDFLGVYGFLKRNLIL >Et_1B_010521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11279499:11288772:1 gene:Et_1B_010521 transcript:Et_1B_010521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPAFAVVSGAALGGAARAPRPSAGGGNRRRGAEPPSRALVLGRRAPSFALWRRSYVCVCDVVASAGAVRAGGSGTRASAASWEVMVPEGESGGLTSSDEPAQFQSDELEVPVINDESSEGLGAEANIEDDVEALNKMPTESAAEERPRDVPQPSNGQKIYEIDPMLQGYKYHLEYRYSLYRRLRSDIDQYEGGLEAFSRSYEKFGFNRSAEGITYREWAPGAHSAALVGDFNNWNPNADRMNKNEFGVWEIFLPNNADGSSAIPHGSRVKVRMDTPSGIKDSIPAWIKYSVQAPGEIPYNGIYYDPPEEEKYVFKHPQPKRPKSLRIYETHVGMSSPEPKINTYVNFRDELLPRIKKLGYNAVQIMAIQEHSYYGSFGYHVTNFFAPSSRFGTPEELKSLIDRAHELGLLVLMDVVHSHASSNTLDGLNGFDGTDTHYFHSGTRGYHWMWDSRLFNYGHWEVLRFLLSNARWWLEEYKFDGYRFDGVTSMMYTHHGLQVSFTGNYNEYFGFATDVDAVVYLMLVNDLIHGLYPEAVTIGEDVSGMPTFALPLQDGGMGFDYRMHMAVADKWIELLKISDEAWKMSDIVHSLTNRRWLEKCVTYSESHDQALVGDKTIAFWLMDKDMYDFMALDRPATPTIDRGIALHKMIRLITMGLGGEAYLNFMGNEFGHPEWIDFPRGPQLLPSGKFIPGNNNSYDKCRRRFDLGDADYLRYRGMQEFDQAMQHLEEKYGFMTSDHQYVSRKHEGDKVIVFEKGDLVFVFNFHWSNSYFDYRVGCLKPGKYKVVLDSDAGLFGGFGRIHHDAEHFTTDCHHDNRPHSFSVYTPSRTCVVYAPVE >Et_4B_039149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8212281:8217178:-1 gene:Et_4B_039149 transcript:Et_4B_039149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGQDEGKGRDGSASSGAGPVFPAWARTPSECLAELGVSADRGLSSDEAAARLQRHGPNELERHAPPSVWKLVLEQFNDTLVRILLLAAVVSFVLALYDGPEGGEVGVTAFVEPLVIFLILIVNAVVGVWQESNAEKALEALKEIQSEHATVKRDGRWSHGLPARDLVPGDIVELRVGDKVPADMRVLQLISSTLRVEQGSLTGETASVNKTSHKIEVEDTDIQGKECMVFAGTTVVNGSAVCLVIGTGMATEIGKIHAQIQEASQEEDDTPLKKKLNEFGEALTAIIGVICALVWLINVKYFLSWEYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSAVRLVAIGRWPDTVRSFKVDGTTYDPTDGKIHDWPSLSMDENLQMIAKIAAVCNDASIAHSEHQYVATGMPTEAALKVLVEKMGLPGGYTPSLDSSDLLRCCQWWSNAAKRVATLEFDRTRKSMGVIVKADSGKNLLLVKGAVENLLERCGYIQLLDGSVVLLDDGAKALILSTLREMSASALRCLGFAYKEDLGEFATYDGEDHAAHKYLLDPSYYSSIESNMIFCGFVGLRDPPREEVHKAIEDCRAAGIRVMVITGDNKETAEAICREIGVFSPDEDISAKSFTGKEFMSHSDKKKLLSQQGGLLFSRAEPKHKQEVVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITPWILFRYMVIGLYVGIATVGIFVIWYTHGSFLGIDLASDGHSLVSYSQLSNWGQCSSWEGFKVSPFTAGARTFSFDENPCDYFQGGKIKATTLSLSVLVAIEMFNSLNALSEDGSLLSMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSFNEWLLVIAVAFPVVLIDEVLKFVGRCLTANARKQSGKRKAE >Et_5A_041434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22650128:22662209:1 gene:Et_5A_041434 transcript:Et_5A_041434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPKSRRRRRRRRPKRRPRSPPQLVDDAVAEILLRLPPDDPACLARASAVCRTWRRILTDPAFSGRYRAFHRTPPVLGLLSSTCDDQDRLFVPTTSFRPSAADHRGFSVLDCRHGRVLLLNLESDGLVVWDPITSDKRVLPDAPVAESVCICNAAVLCAAGVGCDHRDCPGAPFLVAFLGTDMDDIPTAHACLYSSESGAWSAPTSIQLDHIELDPRISSAALVGEAIYSIGEFSNEIMRYDLAAPSLSTIDPPAIISTMASWSRWRRMAGWDSWTYDSLCLWEMDPDEGCEWVERWAIDPEDLLLDLEDNWDPPPVLSGFDVGTNSILVNTDDGGVFTIELKSLWAKKVCQKANSYCEFLYTCFLTPAFREILLRIPMDDPACLVRASLVSKRWRRILSDPAFLRRYRSFHRTPPLLGFFHDVSTYGEGPRFVPTTSAASPSLRPPLDCGSWAFDCRHGRVLLHAVGSNKVDSFVVWDPITGDQVELPEPDIPYSSFSASVLCVRDGCDHLHCDGGPFLVVFVGSDTQGLQKACIYSSEAGAWSTPASVRVSSCSVVQPNRGAVVGDQIYFRLTSVKEILKFDLGKYLLSLIDTPDACCTNGVLMGGEIGLLRLACINGSRLYVWSKKVEPVEVAGWVQWRVIELEKLIFTYSTLYGSRVIGFAEDVSAIFIRTNKDGVFIFELKSGKITKVCNDMGYNAVLPFASFYAPGTVPLSLISFDAMYNLYMFPSPPLMDDLVDEILLRLPADEPEHLLRASLVCRAWLCIVSDPTFLCRYRTINRAPPLLALLYRCQVLDGDPDPRFVPTTRVPPFPHPGADGSHTRPLDCRHGCVLIHMVDDEEEDYLVWDPVTGDQHILTGPDINWMAYTAAVFCATPGCDHLDCQGGPFRVAFVASDDLINIIWASVYSSETEEWSTPVPLDNGNEWYVKARRGALVGDEVFFTVRNNGIVQLGHDRTSVIKPPPSVAYDAWVALMEMEDGSLGLANVLGWSLNLWSRKVNSEAAEWVLSRVIDLEKIIPIAGPIKVFVAGFAEGVNVIFVTTHVGLFSIDLKSGRLMKVDEPGIYYSVLPYMSFYTPDRARLSSLVDDAVAEILLRLPPDDPACPARASAVCRTWRRILTDPAFSGRYRAFHRTPPVLGLLSSTCDDQGRLFVPTTSFRPSAADHLGFSVLDCRHGRVLLLNLKSDGLVLVDETFRDILLRIPTDDPAWLVRASLVSKRWRRILSDPAFLRRYRSFHRTPPLLGFFHDVSTYGEGPRFVPTTAAASQSLRPPLERGSWAFDCRHGRVLLHAVGSNKVDSFVVWDPITGDQVELLEPDIPYRFFSASVLCARDGCDRLHCDGAPFLVVFVGSDAQGVQKACMYSSEAGAWSAPASVRVSSCSVVQPNRGAVVGDQIYIRHTSVKEILKFDLGKHRLSVIDTPDACCRNGVLMGSKIGLLRLAGIKGSRLYVWSKKVEPEEVAGWVQWRVIELEKLIFTYNTLYGSIVIGFAEDVSAIFIRTNEDGVFIFELKSGKIRKVCNDVGYNAAFPFTSFYAPGTVPLSLISLDAMYNLLAVRGKTEAA >Et_1B_012720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34679413:34681493:-1 gene:Et_1B_012720 transcript:Et_1B_012720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWEITAITAYFLGLKRTYRLALRIQRRLIGPNHPRIRDFVYRRTRDVFNVAVSVHKNIQQRDLEVGRNLGNTILRWLDRMKPSAEIRPRPPGLPNGSSEHFRHLSSGSRSAGAQKTTPKTSPNDSSGKMLFSRLNIRPKSFPVLPTMMQPNKISASSQCRRISYSPFPSVTVKRKLLMEGVFRKDIAQMMV >Et_5A_042828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5091692:5092207:1 gene:Et_5A_042828 transcript:Et_5A_042828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLLMRHEQRRAVAGGGGAQGYPHLEVPELPKPELPPHPEVPELPKPELPPHHEVPELPKPELPPHPEVHELPKPELPPHPDVPELPKPELPPHHEVPEEPKHEQPHPVVPELPKPELPHPEVPEVPKHELPPLPKVELPPKPELPPLPKVELPPKPEGHY >Et_4A_032401.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8601729:8601965:-1 gene:Et_4A_032401 transcript:Et_4A_032401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVWKYVCCCLNCDIRNNYESVASKWILQKRFNVLNIILTAMLRGIWLTRNDFVFNNQIWRGVRMILRATYLLSKK >Et_9A_063241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17046567:17051780:1 gene:Et_9A_063241 transcript:Et_9A_063241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDSLEESSVQDISQGTTRLFGHGSISFGRFDLESLEWEKWSVFTNDRRNEEFGKFNGLVAKKKAYFEEYFKRIRELKALQQQNQQTELNLDYNGDGSDSSQTGEDEAAADHGAPAGSGALVDDSREQKIPTTKFEHEVEHHDDHENGSLVNKISASTHSSSVGGLQQIGKQMRGNVSGSIDMSLQNAYSSQDDPGMVHKTSMTPKRAIEKESRIGQVSKIIPRTVKTTSSNGPAQTIAPKVPCSGKPGVINQVAKPERRPGGATCDLVRTTGGGGITGLRRPSTASQRPPPRERRPISRNASRKPAEVITPSRPCTSERRSATRESPLKHANNTTPRRPSTADRRPITKESALKQSNIATPSRSSTTDRHVITKESTPKLSNIATPRRPSTAERRPTTKESAPKLSNVGNLHRPSTGERRTTTRDMAMKHVGTATSCRPSTDKQHPVSRESARKHADVVTLRRPSTAERRPITRDVASKHAIVPPPHRPSTSERRQIGRDLAPKHVPLCRPSTAERRPVSRESALKHANAARPCWPLTPDRYLSKKTESSTPQRPSTGERRPITNDNTLKSDQKTPVRLRAVPNYSNGAMFAAVTPRKAVTPNLVKSSKPENISYVKEKVEFLADGKPKSSCFNLRPRQMLTSSIRDERVLDNFRKPNKEGFQERVQAQAFASNNTTPTQTRSGRMGASIPPPPPPPPRRPSNIERTANGLNSTLALTQCQSLQSRIREKMG >Et_9A_063537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6544957:6549085:1 gene:Et_9A_063537 transcript:Et_9A_063537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTIYQRWGHLTRKVRSKSLDQVGTTFPSEISIYRPEHKRKWQEIKAWIGAVIYQQDQKKVKKQEKCCRELHGITYMANIVIQPYKANYVAMVIAWFILVAT >Et_1A_006477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2337608:2341544:-1 gene:Et_1A_006477 transcript:Et_1A_006477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FIDLLHEAPLSGHREPRSVVGGTLYCILLACFAAVAISAPWIFLFVPHMIPFLPPLLCSANVILLVLTGVFQQYWVHQVRKVRLQGYYDFSQKLKHIARLPFATIAYGTALMLLIMVWQPLLHIFSISLLLRIAIVVEVACAGCFMSLYIWHIHKYNSMDGRPDILRSLYSALQPSSTLEDRRYFDGRLSDQQMALLQYQRENIHYLSEEVLRLQECLSKYQRTDAGMSPQVDLAHLLASRDQELRALTAEMNQVHSELQLARGLIDEKDSEIQRIRVSNNQYIEENDRLRAILGEWSARAAKLERALESERVSNIELRKNIAKFKGHLFKEQET >Et_6A_046016.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:18756385:18756579:-1 gene:Et_6A_046016 transcript:Et_6A_046016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRPKKTAPWDVKRKPTQYKPLPPLPPDWTLVASSATVNAEGAPPAAAPILELAVAAAPAAAD >Et_2A_018473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29070311:29073722:1 gene:Et_2A_018473 transcript:Et_2A_018473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVGGDHSTRTANTQLIMETVQLLTLGLAIFIFTVVFRRRTAYACPVPILPRIVVRDPAVARRMLFDHADAFSNRGVSSFPVDFNGDRHQKRYSINTVPFGPEWRAFRCNLTADILHPSRLGRLGHLQREAVKALVASLSAQCPGEVVVRDSLHKAIFPLLVRLCFGDGVDAHDVHAIQMTLHEFFDEINPARALAPSRLARLVHWKQWLRFAGTFQRLNALFAPLIEERRRGSKCGGFHSYVDSLLQLQVPENDDDNIGGKGGLVWEFLGAGTWTVVSTIEWTLAHLLIQPGIQRKLYQEIAGDVSALTEERLRRLP >Et_9A_063074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9665864:9670922:-1 gene:Et_9A_063074 transcript:Et_9A_063074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCAVCADTLEWVAYGPCGHREVCSTCVVRLRFVLEDKHCCICKTLCPSVFVTKALGDYTRVITDFSVFPSGVNEGKAGDFWYHEDTQAYFDDGDHYRMIRAMCRLSCSVCDNAEDQAALAAQPKRRSKFRSIEQLKGHLYHQHRLYMCNLCLEGRKVFICEQKLYTRSQLTQHMKTGDSEVDGSEVERSGFSGHPMCDYCKISLYGDNELYIHMSREHYSCHICQRLHPGQYDYFRNYDDLEMHFRSDHFLCEDEACLAKKFIRHNAMEHGGRMSRAQRNAALQIPTSFIFRDRRSEEDQRRGRGRGRNAHRDGSDSQISSSGRNGSATMNDGPSSRVDNVTGSLQSFSVQSSSGRAETGQSSRTGRVLEQLSFPPLSDPDVPDTRADSVPDEASFPSLSEQQSRYALALNQSARGAARLGDDSLFPPLPGSSNNRGASTQQGLQSLAKSTLAARLQKQQGTVKVLHTARPRPPENPELFPPVSSSTQTWPTPDQGLLSGSSQLRIGTRATRENGSVPAVSSNSAWNPAGPNKMKHSVSTPNLVSDVRAANKSLVERMRAALGMDEDRYSAFKEIAGEYRQGIIDTSEYLSYVEQFGLSHLVPEMASLLPDPQKQRELLDAYYTNIRFQSVQENGGSGTSSKEGNQKKKGKGKAPDVSSESNAAKDVKDALADNFLDSVRRLQSNQKAQEVEAEVLSKDGYRPSKAIQLSAGSSSNTEDNASKGGGNSSSNNNKQPKKTSKFLRARLGDNSLATLDLSRPNASPERPEREPQGLQNGLPVRGAWKNGGGQKLFSSNGRK >Et_4A_035740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4843756:4844945:-1 gene:Et_4A_035740 transcript:Et_4A_035740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVVTDAELNSDLQQFLTTKVACFVVEFAIRHVYKCNIALDYKLEKLPIRRTPVVSRESASSEESDDESVEEEDNVDPGLMDDEDIFMEDNEVFISLCLKAKEVAAKKNMEQDGLGLNVDDVVVDDHVDHEPRFTIDKENSKIRKAEIASSMRLYMPENPQGQNRPEKFVSGVVLHMRDKRRKQ >Et_7A_051868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25757030:25760040:-1 gene:Et_7A_051868 transcript:Et_7A_051868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAAGTKWFQHLQRLSASKVSAEAVERGQNRVIDASLTLIRERAKLKAELLRSLGGVKASASLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPIQEIRDCGVEDDRLMHVISESVKTVMEEDPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDCFEGNVYSHASSFARIMEGGYARRLLQVGLRSITKEGREQGKRFGVEQYEMRTFSKDRETLENLKLGEGVRGVYVSVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILQNLQGDVVAADVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >Et_10B_003281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18436695:18442238:-1 gene:Et_10B_003281 transcript:Et_10B_003281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAPAARRRVHAPSPSQQQEAVASAADALQPRQGTRAETVVARAQQPTPSAEEEERAAATKGEKPHQNCEEVPHRISDQCVPDSVKLPLKPSLQPRKGTRVATVVARAQQPTPCAKEKEHATVAKGEELHLCSKQQRRIPYSEGGEWDKENHREECLNIVRKSPVPNTSQPVLECSISNPTYQEIIDSIAAFATNKSDELDVVREAIMVLPKGPRSVLYNDQPSVGSDCGSHGRGTAGSTIPVVTFDVGLELARFGSVISDPWKAIWEQQPLILHDADIVRAAKGIGGQVDVLQIITSVLQYHPGPVRYFRVDSCQIINGRDQLEEWCVLLKKKQVEEVVVVNSRWPNDILDFPINDLNCESLRQLRLCFFRVSDIVIPYVENLTAIDLCCCTISTLDLYALVDQCKRLKELDIGYYEGDVIRIDSKSLEILLVWQSTVKIIAVKSALKLRRVLLAARPKKTDVGVWIDGSQVLTDVWLNLSTQSITINNISFNKDSSPLPSLKRLVLNISLLKKKERKTLLNLLKSCTMLKELTLWRNDAVSDQETFDAIYDDWPAKFRNLSCLKLHLQVFNMKDFRGGESEIAIASAVLEHAFCLKQLNLEADVNCSDDTIFDRAKRGLQMTVQASPSAVVNYGTGHNDVDNGSADRQIGQMRLMTSHSKMHGSWNEWPQHGIILNFWRICKSWRQIVQPCSSLVSPHLGLCRPAMAFVAAGTSARAMAS >Et_10B_003948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8245759:8249328:1 gene:Et_10B_003948 transcript:Et_10B_003948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSAAGEGASGEPELVSIPATPQGLSTPEGAATPTGKQQQHSGAGTPARRVVEGLRGYLEQVGHLTRLDPRDAWLPVTESRGGNARYAAFHSLNAGLGFQALLLPLAFPALGWSWGIISLIIAYLWQLYTLWLLVKLHEAVPGRRYNRYVELAQAAFVLSQLPNLNSIAGLSLIGGATAIMYCTMSWVLSVSQPRPPTISYEPVGSTSFGTSLFSTLSALGIIAFAFRGHNLSLEIQATMPSTFQHPAHVPMWRGAKVAYLLIAMCLFPMPQGGMLTALYAFHSNDIPRGILATTFLLVVLNCLSSFQIYSMPVFDSFEAYYTGRTNRPCSAWVRSGFRVFYGFLSVFISVALPFLSSLAGLLGGLTLPVTFAYPCFMWIRIKKPERFTVTWYLNWSLGLLGTAFSLAFSLGGIWSIINSGMKFKFFKPRSEIGTNMLTAFGSVSKSD >Et_9A_062151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20002066:20017188:1 gene:Et_9A_062151 transcript:Et_9A_062151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYKPACAAVRSNASFFGGMDESSFSAYCYLCTTWVARRRPRSRSSCRVSSGSSACLMLINLSGKACLKVPSYVRFGRGASGSFREVLRLGSVVRARPPRTCHSTTQAKQSVRMIRQSCSVRLEVYPFYNAEAAVEVTMSPAGGTPVSCARRRANHLDAMIKLPSAFQTSAGAMHSLLLGLSLLVTTTNGVGDGHIYIYDCLGNASYTPGSAFQANLDALLSSLPGAAAASSGFAKNATGAAPDQAYGLAQCRGDVNASECRDCLDVLVRDVASNCPGQRSAMVSYEACQLLYSDESFFAVFNKTFLFYSCDATWGTFLDFNEQLVHLMYFNLTEKAAYGSPRMFAVGAVQATPSLKLYAMAQCTRDITAKDCDNCLSSAVASAMFPCEDRKQRSWVFRRSCSIRIETSTGAMHSLLALLFVFLSLLLTTTNGDEHIYIYDCPGNTSYTPGSAFQANLDALLASLPGAAAASSGFANNTMGAAPDQVYGLAQCRGDVNASECRACLDATVRDVASKCQAKRSAMVIYEACQLRYSDESFFSVYDLSFSVSLCTLRPIVNATSPDLYDFELSHLLLNLTVVAAYMSARMFAAGAVQDTPSLKHYAMVQCRRDISADDCNICINSIPQAVPYYCDTKQSIWLFRQSCSVRVDVYQFYDAQAVQAAMSPPPAPAGGTHASSARRHANHSDAMTKVARSGADSPSTLPTSNADNLMLLMFSECTENVTYTRGSAFQTNLAALLSYLPTAAASSTGFAENVTGTEPDQAYGPAQCRADKVANTCPFKTGALIVYEGCLLRYSGASFFGEADDPSSSVPRHWCDPPIPAVVDNNTTTRFPQQCNALMGSLVTKASGSPRMFAVGEADLPSYQKVYGMARCTQDLGRGSCGLCLTNAVGAVRQQCGERVTGGRILYRSCSIRFQVYLFYDAQAAQAAMSTTTTLAPVGIVGAANGTHLDGSGSTGSNHTVRTTLLVSIPVSVTLLLLCVVVCICKKRRTYKHVQNDRYLDEEEMRGFEPVVYDLSTLQAATDNFSEQNKLGQGGFGPVYKGKLQNGQDIAVKRLSTISKQGRAEMRNEVVLVAKLQHRNLVCLLGYCIEEHERLLVYEFLSNKSLDKVLFGPTRQCELSWEQRYKIIDGIGRGLMYLHKDSRLNIIHRDLKPGNILLHADMNPKISDFGFAKLFNIDSSVKNTKHVAGTYGYMAPEYALKGIFSAKSDVYSYGVLVLEIITGWRCSEDLLKFVWMHWSQGNVMPLLDSCTVDKRGQQEMLRCIHIGLLCVQDDPQLRPLMASVVLMINSRSMTLPTPTEPVFTVPDERPRVAAPEPSINEASISDLEP >Et_1B_014296.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5326993:5327556:1 gene:Et_1B_014296 transcript:Et_1B_014296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGREDRRRHVPRVIRNAIPSWRDECPAGYCPALGVEMAGAHSWAPYDAVAAALRSLALHGLGEHATRDAARATLRDLFQHPAPFDEDARFPAREVYLSLDHGAFGQCVYRILAELPRVEAAAAAHGQPQMVDAGEAFVKAVAAAAAMLTRAEEEPGKPVLYDRDVFEEAFGLIWRDEPRARTKW >Et_1A_004980.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21028342:21028827:-1 gene:Et_1A_004980 transcript:Et_1A_004980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQKNNSGDLMSSGKLVAEAAVSVFQQKSADKVDKKEVAGAAAQLLDAASTYGKLDDKPAGQYIEKAEVYLKEFSSGPAAGAEEEEIKAEAAPPAGDAPPKSEEPPKEPAPAPAKEEAKSDGFGIDDVVKGAESLVEKQGGGEQSGAGGLFKMAQGFMK >Et_4B_038751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4604425:4607521:-1 gene:Et_4B_038751 transcript:Et_4B_038751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQHSKDRMFITKTEWATEWGGAKQKEVATFKRLPFYCCALTFIPFEDPVCTVDGSVFDLMSIIPYIKKFGKHPVTGASLKQEDLIPLTFHKNSDGEFQCPVLNKVFTEFTHIVAVKTTGNVFCYEAIQELNIKPKNWKELLTDEPFARSDLITIQNPNVVDGKVLGEFDHVKKGLKLEDEELQRMKDDPTYNINISGDLKQMIKELGTEKGKEAFLQGGGGKKAQKERAAALAAILERKEKDDSKSEKEPKQQPFSIVDAASASVHGRSAAAAKAASAEKTAARIAMHMAGDRAPVNAKLVKSRYTTGAASRSFTSTSYDPVTKNEFEYVKVEKNPKKKGYVQLHTTHGDLNLELHCDITPRTCENFLTHCENGYYNGLIFHRSIKNFMIQGGDPTGTGSGGESIWGKPFKDEVNSKLLHTGRGVLSMANSGPHTNGSQFFILYKSAPHLNFKHTVFGMVVGGLTTLSAMEKVPVDDDDRPLEEIKILKVSIFVNPYTEPDEEEEKAKEEEKKKDEDYDKVGSWYSNPGTGVSSSTSTGGGVGKYLKARTAGSVDVTGNGAVDDSSKKRKTNASSVEFKDFSGW >Et_4B_038907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5945164:5953623:1 gene:Et_4B_038907 transcript:Et_4B_038907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSNRVASLVARLATPAALECEEHVNAFFGTGTKGSHHYIRPRLVVMDELNQWCPQPELFQEYEEELASLYQQEQMQQQLAEEQQHHTPSVPLPPTEPNNPFGPSHRQYSSSFPRSGGQALPNLSFGVMAEQASSSILSFSGQQTGMLTFSGGGCPDADKVTLLDSTIKYVKHLEEKIKTLEAQYARRTSVSTILGSKRNISADEGASGFCRSGSCVAGESIPTIETTVHGETVLLKICCKEKRGVLVMLISELENQAMSITNINVVPFADICLNITITAETGEGFSTTAELVKNLSAEPADELAYVYQQEEQPRMFGHPLPEAQQQPQYYTPPAAMAPPPNRFHPSRSLSSSFPSFGAPALPSPSFGAVKTEPGQPSSSSPNILSFGGQPPRTLSFSAGDWPDGIEAVQQVPERRSRAHLNTQEHVIAERRRREKMQQQFVALATIVPDLTKTDKISILGSTIEYVKQLEEKVKALEKQSAPSEPTVFERKCRISSDNDAGTSSGAISGYIPTVEASIHGDTVLLKICCKERRGVLVMVFSEIENHGLTIINTSALPYTDSCLSVTVTAKARLMILQMLFIQLIGQGFSTTDELINNLTTALRHFA >Et_3B_027880.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24660271:24661032:1 gene:Et_3B_027880 transcript:Et_3B_027880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAHVVVAGGAAALSGKVSDPGSAWFGAGERSSSAGLGHNVRLVATAVAAFVSVLGLALCLHLYICHVRRRNRRRAAAVLPTTAAPAAAAKGGLEAAAIAALPTAVYGEEGVGEPAGGSTECAICLGAVEEGDTVRVLPACSHVFHVPCVDTWLTSSSSCPVCRAVVEPPPPPMGAAACVQEKPLDAVLEIKEDAAGSSAPVRGIGASLMKMLSRERPLVRRPQVADADDAEELDLERQQPQTQQQEQAVNN >Et_3B_030632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5745132:5747011:1 gene:Et_3B_030632 transcript:Et_3B_030632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFPVDRGHSVSLALFSDVSNSRELLDLMQSGKLEPEVAFLNASLVPDVFLVLAAAHKALLSKSRESLTTRTLHSELVYNISGSKHITESLKRCGISDDTKYILAARFDASDEEMKAVEKLINGTEIDLSELETRANQPQILKHYKITPQELSISTLLDAIVCRIAARDAL >Et_3A_026043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3753922:3758365:-1 gene:Et_3A_026043 transcript:Et_3A_026043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPQDPFNPPVPPANKMRQMAGYNNPWRVPVPSLLNARGDLGDTSLFSTSLPVLPHEKLNFPDSAHGTPLMDGTSAKMKVFDDDPDEKDYKFDFDLRQIDDLLPDEDELFAGITDEIEPTGQANNTEELEEFDVFGSGGGIELDVDPVESITAGLGNSSIGDGVGGNGVNPFGLTSTVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTATKHRGFVMISYFDIRSARNAMRALQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGAYGEVKEIRETPNKKHHKFIEFYDVRASEAALRSLNKSEIAGKRIKLEPSRPGGTRRNLIHHGHDLDQEEPRSYRPTHVGSPITNSPPGAWAHYSSPTENNPLQAFNRSPTGNGMSPIGMPSLISNAARIAPIGKDSNRSKYDQVFSNSNQPIGAAFQHSHSYPDRSSEHMSSSPGTLTGPQFLWGSPKPYPEHSHSPIWRPPAIGPTMSSNNRSQGQGFLYGSRQTSLFGSSDQQHQHHVGSAPSGAPFGSHFGFLPESPETSFLKQVKFGNVGNIGGVRNGGGLMLNMVGRASVNPISSLSGSLTDTKSTDFRPMLSPRLGQPFYNNPTYQGPGSFGLDNSIDRARNRRVDSSALQADNRKQYQLDLEKIRKGDDTRTTLMIKNIPNKYTSKMLLAAIDEFHKGTYDFFYLPIDFKNKCNVGYAFINMISPVHIISFFQAFNGKKWEKFNSEKVASLAYARIQGRTALISHFQNSSLMNEDKRCRPILFHPNGSDAGNQEPFPINGMCIHMPLEDDAGDSLDNEEDNNHNEKIGESSMAGSM >Et_3A_023735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11428063:11431556:1 gene:Et_3A_023735 transcript:Et_3A_023735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAAAAAAVGPCRHRLLSSATAAAAGTSATATSLFPRCPHPYLNTHGRRLPFLASAGSQHASQTGPATTPTPVPGSVPPTDPRAAVSGNLPFFDRVLFPDTFPVETPPAGEEDAVAAAVQADEEVAPAPPVREESDTEREAWRLLRRAVVSYCGEPVATVAAEDPECTETLNYDQVFIRDFVPSALAFLMRGETEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKIRTVPLDDNNEGFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYCKITGDYALQERVDVQTGIKLILSLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLVMNDGSKNLIRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDATNKFNIYPEQIPSWLVDWIPEKGGYLIGNLQPAHMDFSPWSYHNGGSWPTLLWQFTLACIKMGRPELARRAIAVAEERLSDDKWPEYYDTRSGRFTGKQSRSYQTWTIAGFLTSKMLLENPELASILTCDEDLELLEGCSCCLSKRSRCSRRAIKSKVVG >Et_8B_060521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19790991:19797488:-1 gene:Et_8B_060521 transcript:Et_8B_060521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSAAAPPPPAFGPPSFSYLAVFSNCPLVAAVLAFAIAQSIKVLTTWYKENRWDAKQLVGSGGMPSSHSATVTALTVAVGLKEGFSSSLFATAAIFASVVMYDAFGVRLHAGKQAEVLNQIVYELPSEHPLAETRPLRELLGHTPTQVFAGGVLGFAVATFTGMIAGLVCIAPACAQASSTADNVTALHLMPPPTFSILLYSLSASVAIPFCAYNAIIVFQDTTSLSIISNSFRAAPRSRLPPFA >Et_6A_048028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:409880:411483:1 gene:Et_6A_048028 transcript:Et_6A_048028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAPLFLVLLLLLTGWGAAQAHGSQDMDVVVLDVDMLEVRDLGPIYEDQIISSKIPVDAKSGSPVCSACEEFANKAISYLREKQTQDKIVEIIHDACLQTFSLEQKCVELMDSYATLLFAKINETRPEEFCKQYGLCRNTALFSGVTSDSTCVFCHHVLDEVMSKLKDPDAEFEIIQILIKECNKIEGHVQQERFPTYSECHELTIFPCWQCKRLVLQYIPLILVNGEKFLEKNDVCALVQACDASQKRIAGSFLEGELLNDA >Et_6A_047386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4931980:4935373:1 gene:Et_6A_047386 transcript:Et_6A_047386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTASAAAAAWAPGPTPSTSSSASPSSVRVGIASRGGTAAPASRLVAASGRRRRQVVQAVANPDPATELPLTAENVESVLDEVRPYLMADGGNVALHEIDGNVVRLKLQGACGSCPASVTTMKMGIERRLMEKIPEIVAVEPIADEETGLELNQENIEKVLDEIRPYLAGTGGGELEFVTIEEPIVKVRLTGPAAGVMTVRVALTQKLREKIPKIAAVQLLSYTSTIEKGYAARKKYFGHPAKMIPARTT >Et_9A_062965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7615664:7618088:1 gene:Et_9A_062965 transcript:Et_9A_062965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGKKATLLFGLVLLSAALRLQGAAAQKYNAIYSFGDSISDAGNLCVGGCPSWLTTGQSPYGETFFGRPTGRCSDGRVIVDFLAEHFGLPLPPASKAGGDFKKGANMAIIGATTMDFDFFKSIGLSDKIWNNGPLDTQIQWFRQIMPSVCGKDCKNYLSKSLFVVGEFGGNDYNAALFSGRTMSEVRGYVPRVVSKLVRGIETIIRTGAVDVVVPGVLPIGCFPIYLTLYGTSNGADYDRDGCLKSYNGLSSYHNSLLKRSLSNLQKTYPHARIMYADFYSQVINMIRTPQNFGLKYGLKVCCGAGGQGSYNYNNKARCGMAGSSACADPGNYLIWDGIHLTEAAYRSIANGWLNGAYCHPAIQH >Et_10B_003188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17389279:17397312:-1 gene:Et_10B_003188 transcript:Et_10B_003188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGEDSIERKLYTLLREARPSESALRAAAEAADAVAELIKKVPAQQATPAAARGFVRDLGLASEKLEFTFRPPEVVQLAGSLATGTVARPDVAADLLVRLPKECFHEKDFLNHRYHAKRCLYLCIIEKKLRSSKKIHKVSWSTLQDEARKPVLHVYPATEIAELPGFFVRIIPTATSLFTVSKLNLSTRNNVRAYTKDGINVPTPKYNSSILEDMFLEENAEFVSSTFADWKVLQEASILLKVWARQRTSIYAHDCLSGYLIAAILAFLTIDSGGSIINRSMTTRQIFRVFMNFLANSKGWAKGLVIQPIKKRTVTKEDIANFLKIFDVAICDVSGHANLAFRMTKSAFSELQDEASCTLHCLDKCRDGGFEEVFMTKVDVCAKFDSCLRINLKGNSKVTSLGFCVDDESWRILEKDVQSLLQQGLTDRIKMIRVLWRSTPSEWNIMDGFSEFGSSPLLVGVMISSLEKSFRLVDIGPNPENRDEAAKFRKFWGEKAELRRFKDGNIAESTVWESEPWEKHTIIKRIADYVLMKHLSLENEDLTHVVDQLDFCLLVNGKDPVSSSGALLEAFDTLAKQLRVLDDIPLKISTVQPLDSAFRHTSVFPPEPHPLAYGKNSQRLPNLEGSGNWPLDPVAMEKTKSAFLLKIGESLEDRGMYVSPSEDEVNVLASGYSFLIKIFHERGLLLEKRAGDGSTQIAPSEDKVLFMRSQHSSMVNGLHGRYQMYGPVVRLAKRWISAHMFSSFISEEAVELVVAYLFLKPFPFQVPSSRVVGFLRFLRLFSSFDWTFSPMVLKRMATYAKSSAELLTNLILNGQSGQYTWECLFQTPMSNYDAVVLLHQEKLCHPHHVLFPAETPNGKLVIWGKPSKDFHPYMALGKGAVKSLHDARDKLLVNFDPTTYFLRDLKCTFPNTFKLWYGSVGGDAVGLTWENPKKRGREDADETMLEPMSILKEVGDVGKGLVRGVYLLKAPKLQ >Et_5A_040837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13186122:13188728:1 gene:Et_5A_040837 transcript:Et_5A_040837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTKYFLIASLVAFAVVAAPSAAVDKADQEAAGKTQVAPAPSPAEDPLRLTWLLSPDFPCLPLPFIPQIVLNLCHVLFPPSPSPESTLCRPTLLSLMPCAGFLTDDNVSPAAPSAACCDGVGLFFTDTKRPPFCVCHVVNGDVGQLFGAPVNATRAASLFPDCKYGLRFDTLTEVCKRNSFIVRKRLCSDAWLRGWQCSSLPDTSIIGIVGKKLVLRVVGRKIFPFVLDITINMEAVTEDTTA >Et_2A_015755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17567514:17568380:-1 gene:Et_2A_015755 transcript:Et_2A_015755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSWGQIAHPPRWKLLVGTHSPRLSTGGPCFLAVGQRPPEFSLIHRPSFISHHNQSTSGAAGTIMAERELIGKDKLVLRGLKFHGFHGVKQEEKTLGQKFVVDVDAWMDLSTAGETDNISDTVSYTDIYRIVKDVVEGPSQNLLESVAHRIASASLLQFPQISAVRVQVQKPHVAVQGIIDYLGVEILRYRKDMGGNSSGASLS >Et_3B_028120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10498160:10500619:1 gene:Et_3B_028120 transcript:Et_3B_028120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILARQNKPAAAGVAVAMAVVVCLLPATARAQLRVGFYDATCPSAEALVRQAVAAAFARDAGVAAGLIRLHFHDCFVRGCDASVLLSVNPGGGKTEREAAPNNPSLRGFEVIDAAKAAVESACPRTVSCADIVAFAARDSVNLAGNVFYPVPSGRRDGRVSNETEALNNLPPPTFTAQNLTDRFAAKNLTVEEMVVLSGAHTVGRSHCSSFTNRIWNGTTPIVDSGLSPSYAALLRAACPPNATTEITTAMDPGTPDALDNNYYKLLPRGMGLFFSDNQLRVDPAMAALVTGFAANETLWKEWFAAAMVKMGRIEVQTGRCGEIRLNCSLVNPSSSLASAVDELLGSSAPAGGEEGVAAS >Et_4A_034594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4623000:4627421:-1 gene:Et_4A_034594 transcript:Et_4A_034594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALASAAAIADQRQKIEQYRNILATVLSSSPPDISQAKRFLDHMVSDEVPLVVSRQLLQTFAQDLGKLESDAQKEVAHYALTQIQPRVVVVIREKLAELYESEQQWSKAAQMLSGIDLDSGIRMLDDTNKLSKCVQIARLYLEDDDAVNAEAFINKASFLVTNSQQEVLNLQYKVCYARILDLKRRFLEAALRYYDISQIEQRQIGEEEIDENALEQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFAEELRPHQKALLPDRSTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIDPRKAEKIASRMIYEDRMRGSIDQVEAVIHFDDDTEELQQWDQQIAGLCQALNDILDSMSSKGIAIPV >Et_3B_031710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8568485:8573506:-1 gene:Et_3B_031710 transcript:Et_3B_031710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNTVPPANLKNHHVPHTYPPKESSGQTVPVHGSPITGSAPATSPLPQNTNMPSAPKNASSVPHAQPTPPSATPQSAPTSKSHTRGWKSNNPKNGANPSFAPSYPPSRAQGPEVSHTPRQAGAKRQNHHAPPPISRDHPIFPVHSPSPSPVPPKGQTNGHKGHHISPIPTIPPEPEPKAPSAHPIWALPPPPPNLDCKSLSCPEPLTDPPAGAPCACVLPIKVAIRLSVDLYSFFPLVSDFAEEVSSGVNMAQRQVRVMGANVANDQPDKTVVLVDLVPMQVKFDRATAFSAFESLWSKKLPLKPSIFGDYKILYVVYPGLPPSPPSAPEAVGDGALGKNRNARAIKPLGVDIRKPQKKVNGSLVAIIVLSTVIALTICCLAAWLLILGFRSSNDMAQRFPHSVLPKFSRSSGPSGSLGSSIATYTGQAKTFKFAEIDKATNGFDDSKVLGEGGFGCVYQGTLEDGTRVAVKVLKRFDGQGEREFLAEVEMLGRLHHRNLVKLLGICVEENARCLIYELIPNGSVESHLHGVDCETAPLDWNARMKIALGAARALAYLHEDSNPCVIHRDFKSSNILLEHDFTPKVSDFGLARTARGEGNQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPAGQENLVAWARPLLTNVLSLRQAVDPLLGPSVPLDNVAKAAAIAQMCVQPEVAHRPSMGEVVQALKLVCSEGDDGIGSGRFSQELPVQTTAVYDATGMEAERVLLSEIYGSTPVFTPAAEAGSFLKQSSSGPLMTGKNLKFWQRLRSMSRGSMSEHGFSPDYETRSQYSGR >Et_10A_000156.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:13168288:13168425:1 gene:Et_10A_000156 transcript:Et_10A_000156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGEDEKKPVGDGGAHINLKVKSQVRTHPAPLPPLSRRGILRP >Et_7A_051323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1751478:1755209:1 gene:Et_7A_051323 transcript:Et_7A_051323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALEEAHLAAAVAAACACEEEEDDLELLAGEGEASAAAAADDAIEPAVRALLVGLGEDERREGLRRTPKRVAKAFRDGTRGYKQKVKDIVQGALFPEVGVDKKTGSAGGTGGQVVVRDIEIFSYCESCLLPFSIECHVGYVPSGGRVVGLSKLSRVADVFAKRLQNPQRLANEICGALHASIQPAGVAVALQCWHIPLPENLECKSLQGWIRTSHSSRSGVFEGENSTFWSDFVALLKLRGIDMEMDNHSVSISWCPLRSLEVPLSNGHCKKSTANGPILSKSVPTPSNMVSAVSSMLLSLGEDTQRKELLGTPQRYVQWLMRFRACNLDVKLNGFTLNSFSVFERPGGDKADHQAIRSELHLPFCAQCEHHLLPFYGVVHIGYFDNGSGEGIDRSHFQALVHFYGCKLQVQERMTRQIAEAVYSVSHGGAMVVVEANHICMISRGIEKIRSSTATIAVLGQFLTDPSAKACFLQNVLDTPGLAV >Et_4A_034207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30813610:30815547:-1 gene:Et_4A_034207 transcript:Et_4A_034207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAAPRPTVLVTGAGGRTGQIVYNKLKERSEQFVARGLVRSEESKQKIGGADDVYIADIRDADRLAPAVQGADALVILTSAVPKMKPGFDPTKGGRPQFYYEDGMYPEQVDWIGQKNQIDAAKAAGVKHIVLVGSMGGTNPNHPLNSLGNGNILVWKRKAEQYLADSGVPYTIIRPGGLQDKDGGLRELIVGKDDELLQTETKSIPRADVAEVCVQALQYEEAKFKAFDLASKPEGVGTPTKDFKALFSQLNARF >Et_3B_027693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12895465:12896145:-1 gene:Et_3B_027693 transcript:Et_3B_027693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TAASPGICDLAQSNKECHNPARCARHNNVEVDLGWDYTASSAYRVQFLGSHAPFQTEAMWKAKIRAAQEEGVAPWWTQHTVSIDRTHRRTVAGILQYTWWDIWKERNRRIFQNDALDILRVAAMIKEEISTYNLGTRKFQHCRDANAEAVVENHQ >Et_4B_036345.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:19104814:19105056:1 gene:Et_4B_036345 transcript:Et_4B_036345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPVGLWFCQISSVLQSRWPSGRVEMQGDKGSVDGRELMREGSTAAAYKEAPRTTTTTCHRGAMTEATVCLLLDRFAPS >Et_8A_058466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8487753:8488496:1 gene:Et_8A_058466 transcript:Et_8A_058466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPDDVLAVVFRRLVPRSLAASCCICKAWRDIIDACRLLRADLLSHSVRGIFLKYQLLDDQVFLAHPSTGTFWWPGQTGRRSVAASCEKSRRRADGGGRRRGVVELIDGLVFLCM >Et_7B_055766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3981266:3982253:-1 gene:Et_7B_055766 transcript:Et_7B_055766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGGARVAGAAKLTGATAVRQALPRPAYFLPPRPQPVTWSRLCLQTAPRSSQAYSNAPERRDIKDKYQDAASEAKEATGDAKEHVKGMAGEAKDRAQEKGGRMADQASDMAERAKDKTKDMADDAADAASRVTDKAKHETKGAAREAAEKAEHVKDRAKEMGHEAADRVQETAKAAKDRTGEAAERAMDGAGEAKDRAVHGTMSAGEKVVEMTKEGASKVAETVQAVGEKAKQAAKGTWESAKETVHDVKESVVAQAEDVDASMEERDRIAREMDRVSQKKDEKQAREKGAGLP >Et_4A_032718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12970270:12984930:-1 gene:Et_4A_032718 transcript:Et_4A_032718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEIQITSQPSQQRQHPLAELPPEDGATTAVQDHTSQDPRQEPADQHGRPHAATAQQQQRSKLTMLPLVFLIYFEVAGGPYGSERAVRAAGPLFTLLGFLVFPFAWGVPESLVTAELAAALPGNGGFVLWADRAFGPLAGSLLGTWKYLSCVINIAAYPALVADYLGRAVPAVAEPGGRTRTGAVVGMTVLLSFLNCTGVSIVGWGAVALGAVSLAPFVLMTGISVPKLRPRRWAATQGTKDWRLFFNTLFWNLNYWDSASTMAGEVDRPERTFPRALAAAVVLIAASYLLPLMAATGATDAPPEEWVNGYLVDAAGIIGGAWLKYWIEAGAVLSSIGMFEAQLSSGAYQLLGMAELGLLPAVFARRATRFRTPCVAIAASTAVTLAVSFLGFDDVVATANFLYSLGTLLEFAAFLWLRARRPDLKRPYRVPLPLPALVAMCAVPSAFLAYVCAVAGWKVFALAGALTALGVGLHGAMRLCRSREWLRFNTAVVAAAAEDQQGDHHHAAGDRYQLMGLSEMGLPAAVVARRATRFRTPCAAIAASFLGISTTWLGTGKFLYGLGTLLEFAAFLWLRATRPDLKRPFRAPLPLPALVAMCVVPSAFLAYVCAVAGWRVFALAGCAARFREWLRFNTGVVAAATEDRQGDHRHAAGGPVKNLNMNQDRQLTVPLLRRQQQEDGTNVHDAGDHHQHRHRGKLTLLPLVFLIYFEVAGGPYGAERAVQAAGPLFTLLGFLVFPFAWGVPESLVTAELAAAIPGNGGFVRWADRAFGPLAGSLLGTWKYLSCEVNIAAYPALVADYLGQVVPGSAARTGTVAGMTVLLSFVSYAGLSVVGWGAVALGAVSLAPFVLMTAMAAPMVRPRRWAAQVQGKKDWRVFFNILFWNLNYWDSASTMAGEVDRPERTFPRALAVAVALIVASYLLPLMAAIGATDAPREAWVNGYLAEAAGIIGGAWLRYWIEAGAVLSSIGMFEAQLSSGAYQLLGMADLGLLPAVFARRAAGFGTPWVAIAVSGAITLAVSFLGFDDVVATANFLYGLGTLLEFAAFLRLRATRPELKRPFRVPLPLPALVAMCAVPSAFLAYVSAVAGARVFALAGAFTALGVAGHGAMGVCRARKWLRFNNDAGFAAAEDEIMVTV >Et_2B_021790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5441808:5453159:-1 gene:Et_2B_021790 transcript:Et_2B_021790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVPPPAAASADAEEGRRAPTGPCLLPRLVSGVLSGALTGLFAVAGGLTGAFTGALAGRASDSGVLRGAGLGAFAGAVLSVEVLEASRAYWCADRSSPQSTSSMGDFIEQLLHARIVPEQYEPSVYMAYRWQVGNADFNNDDLYDVLEEVLSDGLSPDTMKRLPHHVVTDQHEPTGETLSCAICLQDIVTGETARKLQKCSHTFHQPCVDRWFIDHGSCPE >Et_9B_066104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3755706:3756786:1 gene:Et_9B_066104 transcript:Et_9B_066104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLYSAMVFLETEMTWNVLISPNQLSPKGLLLRKAIIVRLLEDVTNRKASKEHGYYIAVNQLKAISEGKVRELTGDILFPVTFTCITQKPMKGEVMVGYVDRIMKHGVFLKSGPAESIFLAEKCMSDYKFIGGENPMFMNEQSKLVKDTAVRFKVMGFRWMEADRQFQLLATLAGDFLGPL >Et_6A_046942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22854063:22856776:-1 gene:Et_6A_046942 transcript:Et_6A_046942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTNSKWKAVAALDEDISGGENTAVDAPAPAESETPPQGEAPRTKPRSIMSQEWIDQILASKTKPMRFKERHLRDDPELAAIVRSHEEYRARHEEYKAWVRRELATKGYIEVTDDYEATCELYQAVYSAVFRGDKEGEKEAMAKLAALPRALDFS >Et_3B_029607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26434706:26444016:-1 gene:Et_3B_029607 transcript:Et_3B_029607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVIHDAGEGVHKEALELVSSDVNFPKGHFPDYRIGPNNQIIDPEETQEAVPLKEIVAKETAQLLEQRRRLSVRDLKEKFEKGLSGASKLSEEAKRREAASLDRQVLLKKLRDVLDTLKGRVAGRNRDDADEAISLVEALAVQLTQREGELIYEKAEVKKLASFLKQATEDARKVAEEERALALAEIEKARAAVEKVEKALQVHDSASSSREKEEIEELRKEVREARRIKMLHQPSKVMDMEFELQALRTLITEKTQLCNQLKKELAMIKRLEEDSSDLFELEGSDTLGSQLHIIPRVDGAPNITNCPIQWYRVISGGIRELISGATKSTYAPEPFDVGRLLQAEIVLNADKFTVQTNGPINDGLERYVDSLMKRTDVEFNVVVTQMNGKDYSSKSVHVFHIGKLRMKLRKGWSTKAREVYSSTMKLCGSRGGGNAAARAVFWQARKGLSYTLGFETDRDRNAAIMLARKFASNCNVSLIGPGDQVATLTGEEEETRAGRLQSFFASVFSGLFGQGECDEEEEGEMATRDHAAAVAAAPQLHQNRGGAAALGKQKAVVAGRADAKNRRNPLGDIGNYVSVRATEGKPQPQEQVNRPITRSFGAQLVKNAIKQNAVPAAVARPAPRLPRKAPAKPPPPPEHVIEISSDSDESRLQSESSASSVRKVSRKKVINTLTSVLSARSKAAGGITDKPKLLIEDIDKLDGDNELAVVDYIEDIYKFYKIAETESRPLDYIDAQVEINSKMRAILADWIIEVHHKFKLMPETLYLTMYIIDQYLSLQPVLRRELQLVGVSAMLIACKYEEIWAPEVNDFILISDSAYTREQILSMEKGILNRLEWNLTVPTPYVFLVRFLKAASSDIKNDKEMEHMVFFFAELSLMQYGMVTHLPSMVAASAVYAARLTLKRTPLWTDTLKHHTGFTESQLMENVKMLVTSHSTAPENKLRIVYKKYSSEQFGGVALRPPTVEICK >Et_7B_054414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21031810:21035705:-1 gene:Et_7B_054414 transcript:Et_7B_054414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLPAVLRVLPPWTLAVARCVCKRWRDIIDAHDLLLAYLLPHTLRGGVFINYVGHDRPHLFGRPSSSSTFPVIDGLLSFLPNDPEDGTWEVLDHCNGLVLCLVEWGLCLRVQPRDAGVDSVLPNHKKARNGYMTCTYIAFDPTVSPHYEIPDVPEKPKPAAAPLPSSVDKEPDEDPCRLMEWPPSPWIFKVFSSRTGRWEDRSFRREGQPAGTVQDLRLDRKPTWKRHAVYQNGALYVHCRGSFIARKVVRSPVRLHGLARHHRRPRPAAPITPPPAVLATWYFINYIGHERPHLFARPSSSSTFPRIDGLLSFLPIDGEDVWWEVLDHCNGLVLCLIEWGLCVCNPATQRWTLLPQLTGGSIVRTCRYIAFDPIVSPHYEVFLIPDVPADEEDQKQPVEEPSSLDKGNKEPDDDPCRSMEWPPSPWILKVFSSQTGLWEDRPTSGDTPKHEIGSKAELDNGFYAVYKNGALYVHCKGSFMATFLLSTDKYQVVDMLVVNRHAYLVTSKNQVYAGVFDRRHIRVWMLMSESCGRMEWLLKYEAYIWLNGSHVMRQVDGSWMVKEDGDAETQTEGRTEYDSDTEENIDAKSEGTIEWDSDKDGVFTTEARDEHRT >Et_7A_051524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:234704:239445:-1 gene:Et_7A_051524 transcript:Et_7A_051524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMTRSDSPVSRRIVLSFLDFLNSVELAPGADPEALEVARDCLESIFGINPSSAEEAIQPGILLELFSSLEAKARQQPSAGMLSQSISNKPSPSASTSNNEEDSNECTTSNLLSSRNFLAFWPDHSGDELFAKFYAALDEINFFKTSPAGAEDPGQLSKATQYFTAALQVMQKSGRKKTSLVELAETFKTTGNEFMRSSQHLKAVELYTCAIALSRTNAIYYCNRAAAYTLLNMNNEAIVDCLKSIEIDPTYSKAYSRLGSAYFALGNYHDALYKGYLKASELDPSNENVRQNIEVTKRKLSEGRVPPQGQNTRAHEGQQGHARFAGQTNGIPFHAVAPEFFDSFINRGQQPSGHAININLNDIFGHSNTNVNGQGSGQPGNSINEGNRAHQASNGHEGARSEPSMPRDDGIHINVAGPDQAAEALRAVMQMLAPQMNSHEGQPR >Et_6A_047150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2600451:2601332:-1 gene:Et_6A_047150 transcript:Et_6A_047150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVEPLIVGRVIGEVLDSFHPCVKMVVTYNSNKLVCNGYELYPSVVQSKPRVEVQGGDLRSFFTLVMIDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVISYESPRPHIGIHRFIFVLFKQKRRQSVTVPSFRDHFNTRQFAHENDLELPVAAVYFNAQRETAARRR >Et_6B_049982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2580349:2583569:-1 gene:Et_6B_049982 transcript:Et_6B_049982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSPSPAGAAPASPSGSASASASDPTPSWWESVSQARSRILALSSILPPPAAHDVAALADSDRPARALLRSPAAYAALSDALRSGGGADDPACHWLYDTLLSADPDLRLAALAFLPLLAALYLRRLPPELPSSLSGFEAVLLAVYSSEAKTRQGKPVLVQVPDLSVPSLYHTPASTPTTKSPRRPQPPPIPPPAANPVVGVLSPPLELQAAVKSTKRAGIIGVAFEAFYSKISQMPAASKVDACNAVAAWAGQYCKCRFELDEKDLEEDEGDSLGSVSPMSSEAENGKELEEELSRMRVNGDSSGRNCNIEEEVKEARVPLPWELLQPVMRVLGHCLLAPLNPVEVRDAAAEAVRVVYARACHDLVPQAILASRSLIELDKSARKAAKAAAAAASGTIVVAGTAGSTASSSRPSSKPNTPSKQRKPDTLLKAKWSSKYDGLVQSMAPEGMLL >Et_4B_038116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26309744:26312068:-1 gene:Et_4B_038116 transcript:Et_4B_038116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSSTATPAAPSPPRSSPPPSSAPPPSPDSSSSPRADTSSSPSPSTSGDSSPPPAPARSHGAPLSPAKTHSSPQEQPSSRGSGAPSPSAHRGGAITTVEVVFAAAGAAVLLGILIAACVCCSRRTAPRRRRKPQHPMQFYADSSVYKGNSTYYTSGPQPPHWQSDTGAPATLSAFGPPGGGGVWHAPHPDTTSDVFSSSSSSPRGGRPSPHEALGLGKGTFTYEELAAATGNFSPANLLGQGGFGYVHRGVLPGGRAVAVKQLKSGSGQGEHEFQAEVDIISRVHHRHLVSLVGYCIAGAHRVLVYEFVPNKTLEFHLHGKGQPVMEWATRLRIALGAAKGLAYLHEDCKHQSSPDHSPRHQVRQHPSRQQIRSNGRWTTHDTVALRVSWLHFLPHLTDCRNPCHVLQVADFGLAKLTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELLTGRRPIDTGTAHSFLEDSLVDWASSPSLCRTARPALARALADGDYDGVADPRLQGNYDPVEMARVVASAAASVRHSAKKRPKMSQIVRALEGDMSLEDLNEGVRPGQSMTFGTAAAGSGAGYNARAPGPYTSDMERIRQVPMAISEYSGTVGELSFSDDMNPAKR >Et_3B_031250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22726051:22729081:-1 gene:Et_3B_031250 transcript:Et_3B_031250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSKWIRTLVGLKPAAERERLGGGGGGKGRKWHRLWRSSSSQRGGLGAGSHASASEAPSEASSTADALSSVVAAVVRAPPRDFRVIRQEWAAVRIQTAFRAFLVRHAPPLDAWSRFRLFFTSSPFPVGAYCAQARRALRALRGIVRLQALVRGRRVRKQLAVTLKCMTALVRVQERARDRRARVSADGRNSHDVVEVPCDRADPVKEAEAGWCDSQGTVDDLRSKIHTRHEGAVKRERAIAYALSHQRSSSHSGRPSSPAVSLRNHGTNRNSHNWSYLEGWMATKPWESRLMEQTHTEQSTNSRCSDSIEEMNTTTSKLSDASSVKIRRNNMTTRVSAKPPSTASASSDFVCDASSPSTSSVTPVSGTNFLASERRSNCGRGGGPNYMSWTKSAKARLGGSSRQHKPPLQRQRSSDLLHNSRTALSSVDVQSTAGSEISVTSKRLNSLTLKAQSTRTSLDKENDEVLQSGSSAKGSLSDAAIINFN >Et_2B_019774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13928375:13930810:1 gene:Et_2B_019774 transcript:Et_2B_019774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVTARPHLPRALVLPSTARRLLFRCSAASTMDAPSASSDGAEKKTTTVFVAGSTGRTGKRVVEKLLAKGFGVVAGTTDVDRARGSLPQDSNLQLVRADVTEGADKLVEAVRGVDAVICATGFRRSFDPFAPWKVDNFGTVNLVEACRKAGVTRFILVSSILVNGAAMGQLLNPAYIVLNLLGLTLVAKLQAENHIRKSGINYTIVRPGGLTDQPPTGNIVMEPEDTLYSGSISREQVAEVAVEALLCPEASFKVVEIVARADAPNRPLKDMFAAIKQN >Et_2A_018832.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8669975:8670484:1 gene:Et_2A_018832 transcript:Et_2A_018832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAKSEIAFALAIMATALAAACLAQNAPQDFVDLHNKARAADGVGPAAWDAEVANFSQNYAAKRAVDCALKLSDGPYGENLYWGSAGRAWTAADAVRKWVSGKAFYHYSSNTCDTGKNCGAYTQVVWKNSTRIGCARVVCAAGGGVFIICNYDPPGNFEGERPFTAHP >Et_8B_059369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17133197:17142102:-1 gene:Et_8B_059369 transcript:Et_8B_059369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRQLSGETEEIHRSCIGVNCCWWLLHGMFSRGEEGISTLRILVATDCHLGYLEKDEVRRFDSFDTFEEICSLAAENKVDFILLGGDLFHENKPSCSALVKTTEILRRYCLNDRPMQSGQVNYEDPNYNIGLPVFTIHGNHDDPTGVDNMSAIDILSASNFLNYFGKMDLGSSGVGNITVSPILIKKAETSVALYGLGNIRDGRLNQMLHEPHAVNWMQPESRDDTPLSDWFNILVLHQNRTKGSPNKGISEHLLPRFLDLVTWGHEHECFNEPQEVPGMGFHITQPGSTIATSLIGAEAEPKHVLLLEIKDRQYRTFKIPLKSVRPFEYAEVVLEDQADVDPSDEATVYAHLHEVVSKLIVKSRETATSGSDLKLPLVRIKVNYSGISTTNSKQFGQKYVGKVANPQDILYFSKSWKRCQSMQETAENSGELGPSELNVQNIEALIEESNLKMQVLSVHDLNRALHEFVEQGDKMAFHFCLENKLTTHTVDSINMAGKQIEDEHDQCVQPQGKETISMGRASSHLLTGTLSAFEELKSSSSVEPWPLDEPDDDIVECSDPEESGGLRAGRKRRAAPDGSASATGRRKTDLSSFQRAPAKEEDADATKKNRPSKTLSDAKEMPRRKY >Et_3B_029156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22578692:22582149:1 gene:Et_3B_029156 transcript:Et_3B_029156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRLRVWWKVRGWTFLVLPSATVLVKGESGFRIWKAWGVAPVDGRLPMAPSRVSTSTPLKASKRWGFSKSGLRKTSSSSAHVGDGDLGWRRQAQDPERRPTKFEVRRSQREEEEHVPMAVLRAAWPSAPAVATVSRSAQQSGVFLPVHALGWRGSSPASVSIQARRGDLFRFHCHKGADQPELEPEPEPELDDNNPDAVSRKNLFSDEKSTYSNMMSIGVPFVDLVPANSDDPFIRELRKEHEAFCAAAGMRVPPDLFRKEKDEISNYMMKISSSRVMIQNVNKKVMALNLCAQAQTALDLASMVMDLTDIASLDLGPTEFSKNTANQMVMMYTPIFRNVAEDAYRKRIKMDTVISFLDALRG >Et_9B_063804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15262506:15263048:1 gene:Et_9B_063804 transcript:Et_9B_063804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYCNLESSPPPAAGANGGAGKASRRSLELTNTKETKPWEGLAIGAVTLARTFSTGSQRFCRSGSQRSRGGIPGALRRAFSLRRPPGGPGVGDGYWRIHGMDGDSDHGDDTLEEHGEEEVEAEAEEKTNKQEDGRNRTKKKRGGILKACKKLLRL >Et_4B_039713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27584205:27585170:1 gene:Et_4B_039713 transcript:Et_4B_039713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVCLDEWELLPDHRSSFMEECGNGHAGVGGKDQLLLGAKLVVVDMDHFAAASQDEEAKKQLLQPSQDVQGNVQVTEFRDIGVVQMELKREEAMSMVTEILISDAEEEEEVINFPDGAKEVDQDEVLVEAAVPDHQFAGEDEGASKNIGFSVGNLRVHGVGALCSFGVAAATFCIFLLGGKQQHNRQNHKIQLQMYADDQRIQQAVQHASRLNQTMSSVMGGASSARASISFGGYYNGF >Et_4A_031965.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:6038504:6039082:1 gene:Et_4A_031965 transcript:Et_4A_031965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTYQTILMLAAVSGDPSSSAASKVGHRHAPALLGTSKATSSYCGYVYVSQFDGGPELGPSGRHIFSVTITNEDFDHSVCNVHISCGDLFSDGSVPADPRDFRRISPGDCIVRNGGAMAPRGDAIDFEYPSFAP >Et_4A_035330.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:20259436:20260596:-1 gene:Et_4A_035330 transcript:Et_4A_035330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSEQSSKRRALHHLLLLCFVLPCLTQPLPAPSPSPTQPPTLPLSPFNDRLDAAYIALQAWKHAITEDPKNLTADWCGPYVCNYTGVFCAAAPDNPHILTVAGVDLNHGRLAGTLPDHLGLLADVALLHLNSNRFCGTLPGSMQHMRFLFELDVSNNLLSGAFPSFLTSLPSLKYLDLRFNRFDGELPDAVFGGKQLSLDALFANDNRFNVSLTARTLAHSTASVIVLANTELQGCLPPTIGDMADTLVELVLLNTSISSCIPPEIGKLRKLRVLDLSRNRLAGELPESVGDMESLEVLNVAHNMLSGVVPESVCELPRLKNLTLADNYFCGEPVPCLHVPLRDDRMNCIPGWPHQRTHEECIAFEHRPPVHCGADGCILLPK >Et_4B_037573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21286416:21289201:-1 gene:Et_4B_037573 transcript:Et_4B_037573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEISMRATTTVSVCYVLLMTLFSGRGGHAFSLMNFLCNNGSNYGLNTTYHTNVVTLLSSLSANASRSAVGFATATVGSAPDQAYGLALCRGDINCSSCATCLAQAPDVAFGDCRGVRDTSIFYDRCLLRYSDKDFLADPGDAAAQVQFSRNNDVNVTVDPSRFVSLAADLVGALSGWAAQNSTSRFAAGVVTSGEGFPTTQSDLVHTIYGLVQCTPDLAPAACRSCLDRLRDQMPVVFTGTAGGQYNGVWCNLRYDIFRFYDSSPVVNLAAPPAPPPPSPADRNALRNLHLTAGNRTRSSSNAATVIAIVLGVLVVILASVIMFYFWRKVQSKQICVGAEEDGDAGSLLFDLATLRRATSNFAEENKLGHGGFGAVYKGFLPDGRQIAVKKLDKALGQGLKELRNELLLVAKLRHNNLAKLLGVCLKGEEKLLVYEYLPNRSLDTFLFAPDKRQLLDWDTRYRIIYGTARGLLYLHEDSQIKIIHRDLKASNVLLDADMTPKISDFGLARLFTGDKTTTITSQVVGTLGYMAPEYAVLGHLSVKLDVYSFGVLVLEIVAGRRNTDVCFESAGDESGTLLSYAWDHWSKGTPLEAMDPSLDCQAPEVLKCIHLGLLCVQENPADRPTMMDVLVMLHGHVSSFPPPSKPAFAFAYGELSSSRSYDVSGGPGTHLGGAAVPSVNGMSESEFQPR >Et_2B_021353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2892671:2894785:1 gene:Et_2B_021353 transcript:Et_2B_021353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNPSSVKTMLPGVLLHRPAVTNASFARGTRRRANAGRGRNLGFSVSSSNGSAVTSLTSESEKKGPVIMEIPLDQIRRPLMRTRANDPAKVQELMDSIRVIGLQIDVLEVDGVYYGFSGCHRYEAHQRLGLPTIRCKVRRGTKETLRHHMR >Et_7B_053586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10065497:10071926:1 gene:Et_7B_053586 transcript:Et_7B_053586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQAVLAIAVLCLALPRAALSQRQRPPVETPAPAPAPHFVNLTDLLSLAGPYGTFLSYLIKTDVIKTFQSQANNTEQGVTIFAPEDSAFAAVDGAALANLTNDQLRTLMLCHGLTAYRPLSSFPALARSNPVPTFAGGGCAVNVTYDAGRIRVVSSWGKAARLVSSVYSTPPAAVYALDAVLLPAQVFPTEPAVAAAPAPAPAPETRKGNATDDAAPAAAKDGDKSSAYRVSAGFGCLALVGFASLLIGGELFFCVALARYVVAETAHVMLYAAVACAVDTSIRFTLPLAPDPRPMRANLTEILTLDGPFGTFLTYLQQTDLVEVFQKQACRTDSGITILVPVDSAFAAVQPSVLPGLSRHHLRDLMLYHSLARRYELAEFEGLSRSNTVTTLAGRPYTVNVTYSAGTVRVRSTWAEAQIVGSVSVAAPMAVYELDRVLLPDSLFPAPAPSNDSAAAAGAPQLGSADPLMPWQYASAHAGMADAQGSACSRPAGARYARYAAAAAFGALILLDRVLLPDSLFPAQPPVVAAADATPAPAPSNDSAAAAGAPQLGSADPLMRWQYASAHAGMADAQGSACRPGAAQYARYAAAAAFGALTVLA >Et_2A_018599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34501052:34503242:1 gene:Et_2A_018599 transcript:Et_2A_018599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVDLPATASVAEDGKSRPECINSSNPFHECSDYCLRKIAEARQRLDDEVPDSWKRPPEERTVHPDCINASNPYHDCSEYCFKRIADAKAVCFVDMLLGSERAEQEPPAVDAGKSDAAEQQSDDNEAEKPDGYPQMTEKQKKLFELQLKMVLFDAPQNEARKANQQAMVAEKKRMEPRGESRGVSKQKWLEDRKKKIGKLLDSNGLDMSKAYMLDTQETAEAKYKKWEKEPAPHGWDVFNQKTLYDAYKKRTKNIEIDMEAYNKAKESDPEFYRDASSLQYGKVSKVPEENIDKMVKELKEREEKRQSFSRRRKFNEDKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >Et_6B_049215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:280549:283760:1 gene:Et_6B_049215 transcript:Et_6B_049215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDEDEEDEEEDEDLTLEDFEDDDEEDEGNTGGDIMYKDFFEKGHKQAKKRDSSTKKVQFKDEAQEIELDDNEDDDDDDRNEQDEQVLSTHEKERLKKHAEIEQMEKAILEPNRWTMQGEVTASKRPKNSALEVDLDFEHNVRPAPVITEEVTASLEDMIKKRIAEGHFDDVEKPSLLPTKAPKEHKEMDESKSKKGLAELYEEDYAQKTGLAPAPLSISDELKKEANTLFKRICLKLDALSHFHFAPKPVIEDMSIQVNVPALAMEEVAPVAVSDAAMLAPEEIFDGKGDVKEEAELTQAERKRRRANKKRRYADIESHRERPAKLQKEA >Et_3B_028780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19057182:19059809:1 gene:Et_3B_028780 transcript:Et_3B_028780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPFQKHVDRGPQLTTGKDNSAILALAAHGDIEEIAAMAPGNPSNEISDKSASSLNERANNDDTLLGPACPDLLVRNENDKIQHNPRYFPEEKVREQNAVELGQSSLSLLSQPSLAGFGPFEYDPKFCPSSPLEVSSSWTIAIPSVPRTGNFQASLSGAFGQLRPHPQCVPVLEIQSLIPDFDSTEGSKKAFTDKMTCYKRGKNIVDVNVKETRKSVVTSEALINPENDKGKEVRNDATSSGLLLEVNTTTCIDSTPLKYWKGLQGSSVPEIIDVPVGIVDLDQENYIGAQQHATEFSFMSDNPGDADVFLSNFPTQYGNSATETDKCATSMEQDISEESFEQYLVRSDGDNDDLLPPVKSCQAISHDHHPSEDNVAAMMECKTRSVVATESVSVMPLAMINDVVPNLLPSNATSDTRKEDHLGDTGLLGNMAAIEQPSTDSLFSAISLPALKGDIINTQSPNKNIAAEGQQGVSLEDHNSSMTEYTAESSQSIGHSAVNQLFNTYLRNSAIAEHGNRLTSPEDTDSTPSKCVASGPDDPDGPLLQRSLVHASTITDQPSESFAIESQPFLKTLPLWEQIEEMEIFKKVPQRPHFRPFKKLGPELCESMALGLMVFFSKTAENIRNLNIQDDDELFKEKSKGLSLLEEHGFDVRPLRSRLETLLNLKNSRCELRDTIKLLEEKITLKETDGQQRHAQIRMLYTAIRQLERQANIFRCILKSSVSEQNTDALEVSSLKTEACDLEQSYLSVEEQFSSIVAAPW >Et_4A_033254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20573287:20577282:-1 gene:Et_4A_033254 transcript:Et_4A_033254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTGEEHRVQIQGRGAGTTADEATTPERQLNCFVRSVALVERGGNALGTLAFTWATVVLLGGYPTVLRPTNDFWFATAIVFLEAARMFSRNNRLDYRVFFHTRGAVRPLGWNGLLVVVCLSDVLVNLIVMSRKKVLLLLPVMPYLKDDDSIEEWIWMVFLVLFLAVLLATVSRLRYPRIIKLFDSAFGRKLVPWRRHILNLCMFAALLMAVFAHDDPICAGLMTGYELYALVVVSFGNLQIPMAIGRVVLALLRLGPHDYYVCMLEIFSFIPRKSLARCVGFRGHWGAECINLYYAYAFDKYIRGDVLAPNKISLINFSMDSLNSDSHQMQLHGVRIMHGHLQREPDRTRIFSKLVNSTETMARLIKMLDWTNPEHSTVRIFAAMVTAELSRSLRVMAFPGTVQIVSALLNYGSQHKRGNPLLDTDGDQEDVHDSILNAVDNKEEGQSADPGSRNSQLRTQEDLTDQIESWIERCWQRISKLWAIPQEEPLTEQDLLLPALGMTIIHNLVSYDHDNCLEFIRAGGLIEKIIGFTGYCRCTGARPKVLLSSSLKLLHRLTSIDGEIGITLRYNISMHLFLFRNLAEILGDSTSSQELRRLVAGILRNLAVDMKTRQAIGRIQVIVSGLMQTFLMPDGPSTTNADPLLRKVAGQALAMLAMDSNDNCLAMLRETRYVFIKELTGMIHVDRYRCVHARHELQGSELKELSQAVREVLEIIFVSDGKAVPNDFARELEDGHIKETFVKWLVNALNVNVALCDGFPRIISSILEQAINMMEYDSSYAICFNDHNMSNALSMVDETASRYFLIEEAGEPLPSLVARTKQLLAFRQT >Et_3B_027478.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20787621:20788916:1 gene:Et_3B_027478 transcript:Et_3B_027478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RNNKPGGESVPKSTQPTTGPASLALPVPGGVNPTHSPAMEAPDEEAGLGLPEGERLLEVTLISAQGLKPPSGLRRRLQAYAVAWVDAGHRLQTRPDTSGGLDPAWHARLLFRVHKAALADDSRAAVTVEIYAAAAGSWHLGGDSLVGSARFLLADHGLLSRPVGSPSMFAVGVRRPSGRVHGLLNLAASLVAVPPSPAASHALRLSPAVSLSGLSVAPNPSRVLRVLNRAHPTPPPSPKVLTPKKPQIAVKPRITESEDGSDDEGDENTAGRGGIMFCGPCLLPLPRKIHTSPSDENLQAFAGIFTGGLRHCRTEPSFARSPCK >Et_4A_034387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32183430:32185425:1 gene:Et_4A_034387 transcript:Et_4A_034387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQEEKTAVKTDEASPAEEQQQPQPEQRRAAPSASAGAPPNPFDFSTMMNLLNDPSIKEMAEQIAKDPAFTQMAEQLQKTVVSPRQQARAQPAVPELDPQKYVATMQQLMQNPQFVAMAERLGSALMQDPAMSTMLGGLTNPAHKEQLEARIARMKEDPTLKPILDEIESGGPAAMMKYWNDPEALQKFGRAMGVGPSGEAVAGAEQAEAEEDAGEEGEYEDESIIHHTASVGDVEGLKKALEEGVDKDEEDSEGRRGLHFACGYGELKCAQVLIEAGAAVDAVDKNKNTALHYAAGYGRKDCVALLLESGAAVTLQNLDGKTPIDVARLNNQEDVLKLLEKHAFV >Et_4B_039090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7692839:7695222:1 gene:Et_4B_039090 transcript:Et_4B_039090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAPPQFKWPSSTRAAVCREPVGAGAGSSRSVRVHCAASSTVVFDEELAESLSVGPPSSPHRSLPGSFAEALLNREAVVAAAAAEAVALARAAAEVAGEVARMVQTDHRPDVTGRDMEDSFFAREVLRAEAGWEARHAGVHLQEEEEFSDDSEDEDDDGDGRFVQGVVAVRSARRAERKARRVRAAVKVAQSFSSRTPVASSSKKKRLKGCRNPLGCFYKMTGRKLLTAKQEIEFSEGIQDLLKLEGIKKEIAQYNGGEPTFSQWAAAAGTDENTLRKRLNHGLYCKNTMVKSNVRLVISIAREFEGPGMEFSDLIQEGMQGLIRGAEKFDASKGFRFSTYSHWWIKQAIRKSVLEQTQIIRLPAHMAEASSRVKECRRRLRRELERLPTNDEIQQDTGLSMRRVEAALSLPRYTVSLTSKVGCTDVTYQEVMPDTSAETAEEMLHRWFMKKDVDRALDSLSPREKMVMRYRFGIEGGRPRTLNDIGKLMGVSRERIRQIELGAFRKLRSKKKVQTLQHYLQPAESW >Et_8A_057955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:987211:988078:-1 gene:Et_8A_057955 transcript:Et_8A_057955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTAGDLDRLSALLDDLLHVILGCLRFAPAVTKTAVLSRRWRHVWTQAKSLRFKDDDKYLKKKNDFAGFVDWVLAQRVDADMESLEIKFNMKGRASPEKINEWLRYAARRVVGSVDISMRHAIELPGHGRATSISLDLLNHRFRLPDITAARYDALTKLTLMSLVFGEEALVAGSTIGDFVASCCPRLRVLHIVGFKGLPQLVLRTRMLEELMIYSAGDDLRTMDVMAPKLRIIKLDSYHTTLFDDEDAITSKVARIVAPRLEEIIGKLSQHAT >Et_1A_006543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24183317:24184012:1 gene:Et_1A_006543 transcript:Et_1A_006543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPPFGLMTGNLTAHSSSNSPHSSPTPPNPTPPSIKSLEKPWSSLYDRALHMLTALSNLMRSISLHDSPDERYLDCTGSPPASSVWSRLGISLSGLSLSEHGLDGLWTTAHPTHLPHDTWYDVLMVLLWRVWNSRNKLIFESRDDNG >Et_8A_057645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5637175:5642815:-1 gene:Et_8A_057645 transcript:Et_8A_057645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQLPRGAAPLRDPPEDDEDDNAVAGGGADSAATPSTRQAIKALTVQIKDMALKASGAYRHCKPCAGSSAAASRRYHGGAYADSEVASGSDRFLHAYRRAGSSAASTPRLRTGGAMSSGDATPSVSARTDVLAGDEEGEEEEETASGGSDEDEAKEWVAQVEPGVLISFLSLPQGGNDLKRIRFSREMFNKWQAQRWWAENYEKIMELYNVQKFNRQAVPLPTTPRSDDENYKDDNQATPRLNKERLPCGFHKPLMGSASDSLEHQPNHLAKGYRQDRYLGNRCYDSVGLTSTPKLSSISGAKTETSSIDASVRTSSSPEDVDRSSELSVSVSNASEQEREWVEEDEPGVYITIRALHGGIRELRRVRFSRERFSEMHARLWWEENRARIHDQYL >Et_2A_015375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11821930:11823319:1 gene:Et_2A_015375 transcript:Et_2A_015375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKAVIEVNDEGSEVAAANVVEDDFCGVDDPEPPKLVNFVAEHPFAFFIIEEKSGTIVFAGQVLDPSPEEAREKINNWVAAATNNLVDSILGPGSVPCDTRLVLTSAIYFKGAWETPFNKATTKKDKFHRLDGSTVDAPPVEGFWAAAAARAVVPLPWRRTTTTGKTPTPPRYAMCVFLP >Et_3B_029952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29329098:29331383:-1 gene:Et_3B_029952 transcript:Et_3B_029952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLMARQKLLSTPAEKQSLLAVPEIMADPEVEEETEVRMAAGNSCLVNGGKTMERASYLVDMEETFKGVAECAAESSKVQEEKPPE >Et_1A_004734.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35372503:35374942:1 gene:Et_1A_004734 transcript:Et_1A_004734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSWVLLDNDVGLCFKEEDGEAAAVEAVAAEKNKGVVAAAQPLEFLWDSTIPFLGSMTPEAILQQPPKISTIRLRSSKPRRDAAGVALDAVVACADKSLLVLYGGNYTGPGSSPRGCYLIYDAAMSGRGGALTLTSMVPPLPLSCYLTCIGAGAIALRSHPPSSSYLLAELAAHPERRVPDAELYLWRSDSPAPQWEKKAVRLPEEVRWAPDKYNFCIDIAFSFDNSLCWVDLLQGLVVCHNPLGQQDPQFRFIKLPDGCPPVSISKYPYRPRILEFRTAAWVAGAIKLVALEGYLEDWDSQQFRLVTWTLTPDLSEWKKCAVFNLTGIWASERYLALKMEQHTPVCPVLSPLDDGVVYAVVNDVEVHNIVQGFEVLETKVDVKGQYVLGLDMARNKVISIDGGRPAADTVQLTPTLIPTVFCSWLQSPKDRQVHPHFNTVCDYFPTANCMLSLIFI >Et_6A_047890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2345283:2354658:1 gene:Et_6A_047890 transcript:Et_6A_047890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPPEQDLALSMAAFLAKDPRDRMTVRWALGVLPRAPQSALYGDYLLGFGDGVPGGVFGGEEGGRGGGVPRRPNLVGHRDGDRGGQRTEEGIRAGAVRAGTRARGGEEYGKAQAREVQGPRRGGRRGDEGIRVGAVRADGRGEEYGDTQEREVEGPRRGGRRAEVGGAVPVRADDRARRGEGGVGNDNASGVLYSVKGPCEGGGLGYPRDEDGASAIYLGNIRKRKVDVRERVNPRHGDDCGRSDLEKHVPGRASEDCAKSGVLAMGGETLDVFGRSGGDDGDREKGGTGGDRRAREEGPSSAKLTEKELAQVFACDILKYGITEGDLRALVEQCTNLKELDIGLREGSITINSESLEILQIWWSRIPSVRINNAPKLKQLIVGAELRKTTNSTWITVNESASVSLEYAWFQLSTQVLTINGINLSERSDESTAQEDFYTILDEFSANLKKILCVESRLEVLNLNCYKGGATEIALEHVAILRQLNVIADEENLESAALLHKAERILRRRTKPAASLKTRLINSCKQPLPSPPVPKRRPVKDELPHCNTAARRETMRHLLLSRLLRRGSPLPNHHLPFLRALSSAPSPISSDAELRKYAGYALLLLGCGAATYYSFPFPADALHKKAVPFKYAPLPEDLHGVSNWSGTHEVHTRVLLQPDSVEALEDALAAAHKERRKLRPLGSGLSPNGLALTRAGMVNLALMDKVLDVDVKRKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVGGFTQVGAHGTGARLPPVDEQVISMKLVTPAKGTIELSREKDPELFYLARCGLGGLGVVAEVTLQCVERHQLVEHTFVSNADEVKKNHKKWLSENKHIKYLWIPYTDAVVVVKCNPPSKWRTPKFTSKYGKDEALQHVRDLYRESLKKYRTEAESNGPEIDTLSFTELRDKLLALDPLDKEHVVRINKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSENCFPTGTLGNPSMKDLDFIENLLQLIEKEDIPAPAPIEQRWTARSKSPMSPASSSEEDAIFSWVGIIMYLPTSDARQRKEITEEFFNYRSLTQTSLWDDYSAYEHWAKIEVPKDKDELAELQARLQKRFPVDAYNKARMELDPNKVLSNAKLEKLFPVLQPVHQAK >Et_7B_053692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11141796:11148983:1 gene:Et_7B_053692 transcript:Et_7B_053692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPNGAAGAAPGKKRAPPASAFSGTHHRARLELPSLSVSLPPSLPVACSLLTHGFAFCFGDHRSSYMTLIPRPDFLEKRSAFALDFESFQKRKLLFLLARCLPETAKKLPLVLFVFLSALVYNQIQPPPAKIPGTPGGPPVTAPRIKLRDGRHLAYLESGVPKEKAKYKIIYVHGFDCCRYDVLNVSQGLMEELRIYLLSFDRPGYAESDAHPGRTEKSIALDIEELADNLQLGPKFHLIGFSMGGEIMWSCLKYIPHRLAGVAILAPVGNYWWSGFPPEVFREAWNVQLPRDKRAVWVSHHLPWLTHWWNTQTLFPGSGVKSGDPGVHSKEDVPLLSKFDGRPYDEQVRQQGEHDSLHRDMMVGFGKWDWSPLEMENPFAGAEEDVKVHLWHGVEDLYVPVQLSRYISKKLPWVIYHELPTAGHLWPAADGMPDVVLYKQLQPPPPKIPGTPGGPPITATRTRLSDGRHVAYLESGVSKEKAKYKIIFVHGFDSCRYDALPISTELAQELGIYLVSFDRPEYGESDPHPARTEKSIALDIAELADNLQLGPKFYLIGFSMGGEIMWSCLKYIPHRLSGVAILGPVGNYWWSGIPSNVSRDAWYQQLPQDQWAVWVAHHLRWLTYWWNSQKLFPASSVIAYNPALLSEEDKLLMTKFGFRTYMPQIRQQGEHECLHRDMMVGFGKWDWSPLQLEDPFAGDGQSKVHLWHGAEDLVVPVSLSRYLSEKLPWVVYHELPKAGHLFPIAEGMADTIVKSLLLGDDA >Et_4B_036874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12184915:12186241:-1 gene:Et_4B_036874 transcript:Et_4B_036874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPATGALAGQSPTPLERLDDGGHPRPVPRLGRRALGRQLRDGAGALDGVVARQPRVHHAAQPPAPAAEVGPGPVDELHLLVRVRAPAAHVLHGAASGQELEQDDAEAVHVALHVEVARLHVLGRRVPVGAHDARGHVRVLPLGPHLGEAEVGELRREVGVEQDVGRLEVAVDDGGGRAVEEGETLGRADGHLDARAPRQRRGDPCIIHIYSGIEVVLEAAPRHELVDEQALLVLAAVSQQPDEVRVAELAQEDDLGEPLAVALEPAGVELLHGHGLGIQPGPHPGVHEALVHGPEPALAQQLPEKSCVTPRSSLRLNECSCSGPPISSPLPSVMVADAGLLASEERRRNEASRRDSSDPCGRHRARPLSVPAATTASSLVQHLVADASTGLHGLGISY >Et_1A_006825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27932944:27933945:-1 gene:Et_1A_006825 transcript:Et_1A_006825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LNHPHRLPQGDQGLQSQRAPRPGGFGRVCKGVLRRSGDVVAIKRITNNGTQGMREFVAEVASLGRMRHRNLVELRGWCKRGQDMLLVYEFMPNGSLDAHLFSQAGEAQARPLLAWEQRVRIVRGVASGLVYLHEEWERVVAHRDHGADPGTTRIVGTLGYMAPELTVTAKASTATDVYAFGALLLEVACGRRPIDPATGENLLLRVRDLGAKGDLLRAEEAKLVLWLGLMCSQARPDARPSMRQYLDGEADVPEDAVLVFSDVDSFDFGSLASLTWSSCNTISAGSLLGAGR >Et_9B_064941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:255114:259796:1 gene:Et_9B_064941 transcript:Et_9B_064941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKATAQGAAEAAIAAIGRGYDVAADIRLKYCKGKLADPNARLIDLGGEEVQDILLPGGLTVTGVPKSIKCDKGERMRFRSDVLSFQQMSEQFNQELSLTGKIPSGMFNSMFDFSGCWQKDAANTKSLAFDGWCISLYTVALSKSRILLRDHVTQAVPSTWDPAALARFIEKFGTHIVVGVKMGGKDVIYLKQQHSSSLQPAVVQKRLKEMSDRRFMDAGGQYDINKDAYGKDKDLVMVVKRRGGKEWNKDMPHSEWINTVQLEPDVISMSFQPITSLLNGIPGCGFLNHAINLYLRYKPPIEELHQFLEFQLPRQWAPVYSDLPLGPQRKRQNSASLSFNFMGPKLYVCTNMVDVGERPVTGLRLYLEGKKSNMLAVHLQHLCSLPQILQLQDDPYNHRTPEPHDRKYLEPFGSWKRFSHVYTAPVESDDDSSIVTGAQLHVSSHGFRKILFLHLHFSKVCNAALVKNPEWEGSPNLGQKSGLISTLISTHFSTVAQKPAPRPADVNINSAVYPGGPPVPVQAPKLLKFVDTAEMVRGPQDTPGYWVVSGAKLQLERGKISLRVKYSLLTAMVPDDEYPLDEQS >Et_4A_035758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5183382:5186361:1 gene:Et_4A_035758 transcript:Et_4A_035758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDELAAAAAPGDSAAFSFSIWPPTQRTRDAVVQRLVDTLAGDTILCKRYGAVPAADAEPAARAIEAEAFDAAAVTGAAAASVDEGIEALQFYSKEVSRRLLDFVKSRSAAAKAETPAESEDSPAAAAEVEPQESCIVVLRRGLRV >Et_3B_030178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31093355:31096254:-1 gene:Et_3B_030178 transcript:Et_3B_030178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYVTALTTLFSYGLLFAFGQLRDFFRRILDARKPSNLKGYAPICLGLEDFYTRRLYLRIQDCFNRPIASAPDAWFDVVERNSNDCNKTLHRTSKTTKCLNLGSYNYLGFAAADEYCTPRVIESLKQYSASTCSVRVDGGNTKLHTELEELVARFVGKPAAILFGMGYVTNSAIIPAVIGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNNPAHLEDVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIISVCKKYKAYTYLDEAHSIGAIGKTGRGVCELLGVDPADIDIMMGTFTKSFGSCGGYIAASKEIIHHLKHTCPAHIYATSMSPPAVQQVISAIKVILGEDGTNRGAKKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQNVAVVTVAFPATPLLLARARICISASHSREDLIKGLEVISKVGDLVGIKYFPVEQEKTTAVEKRKIQ >Et_9A_063345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20890957:20893660:1 gene:Et_9A_063345 transcript:Et_9A_063345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAIHRAAARPLRSPAFSSARSRSLVHLLARSLSSSQASYAYNPSGAAASPNHVHQPQQQQWAPPRHPPPHGPPPPHVPPPQWSPQGHPPPPPQRNYQQQGPPQRNYQQQAPPPPRHGYGPPPPQHQAPPLPHRGYGPPPPQHQAPPLPHGYGPPPPEQRATPPPQPQETVPGPGELMALCREGRVKDAVEMLTKGVRADTTAFYELAAACSNPKLLEELRKVHDFFLRSQFRGDLQVNNKLLEMYAKCAAMPHARRTFDNMPDRNIDSWHIMIDGYSVNGLGDEALRLFELMKESMTPTSHTFLLVLNACANSEAIEEAFLYFDAMSRDHGIEPGVEHYVGIIEVLGKSGHLNEALEYIEKLPFEPNAMVWESLLNLARMNGDIDLEDRAEELLVLLDPSKENPKKLPTPPPKRRLGINMLDGRNKLAEYRLPPKIEKKVVNEQRYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRICGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGNCHSDK >Et_2B_020544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21206809:21208748:1 gene:Et_2B_020544 transcript:Et_2B_020544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCRRRAAVPVLPEELVVWEILVRLPAKPLLRCRAVCRSWRRRTSEAAFLLAHHRRQPSLPLVSFHGQLPAAQRQPWRPLAILYRRLPAGARRNRAVVVNPVLRFNDYNYYRDYCVHASRDGLFLLSLGNNRFYLCNPATRQWTGLPALTGATGVALYPHHGSSGEYRVLYWKGGRFVLTVGSSSSSSSAAPRRIVFRQAVRRDGSFRTMPSPVAAGWEDWPRLLETDATLAVSWSWVDKSQTMMKLWALQDYERGVWSLRRRIILPVAEMWSVVRNCRFHGTVVSEDGDVLLHYPRCFRMFHSDITNKGELLQTLCWRNVVPRPVGHCFRESLVRHEFFERQPGALELRRRFNLPVVEMWRVVKNCRFYGTVVSEDGDVLLLQSPLSSNLFHSGSNGELLQTFSWRRVLPRSAGHCFRESLVRHEFFERPDGARLTRQPRFFRGL >Et_6B_049091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17726220:17727632:-1 gene:Et_6B_049091 transcript:Et_6B_049091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSTAHSIFPGTRSPFQLPTLCSAECRHYPCSKVRASGSEEAQNVIFMVQAASSETLRTEDEAMRFGDLPSWAVELSVLIREAICVGDISVGADAELTNEDEDSCGIAVYAPGFPFIACFHRYLASPDMRTSEPGLSVPFLGNLTSCASWFSLTEVHHTSKLERLLRFHHQSALDPHEHRYPRLNNKRVTLEGIGESTYLFV >Et_4A_033986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28793603:28800273:1 gene:Et_4A_033986 transcript:Et_4A_033986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPRAAPAASKRPFASTSSTSASPTPQLMKKAKHPGAASSSSGTTEKNGIHLDPSSAIAVAAASGGRTNGEEDAEMVLADELPAPSPQASAGVAANLFRKKATLPQPSTSARKPLRIKIGQPKLPTNFEEDTWAILKDAITAIFLKQKLSCDVEKLYQAAGDLCLHKLGANLYERIKKECEIHIAEKISALVGQSPDLVVFLSLVQRTWQDFCDQMLIIRGIALLLDVKYVKNVANICSVWDMGLQLFRKHLSLSPEIEHKTVTGLLRLIESERLGEAIDRTLLSHLLKMFTALGMYSESFEKPFLECTSEFYATEGVKYMQQSDIPDYLKHVESRLQEEHERCILYLEGNTRKPLIATTEKQLLERHTSAIIEKGFTMLMDANRVNDLSRMYNLFQRVNAVELLKLALSSYIRATGQGIIMDEEKDKDLVPFLLEFKASLDKILEESFAKNEAFSNTIKDSFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGILDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMITKLKTECGSQFTNKLEGMFKDIELSKEINDSFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVKLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKADFPKGKKELAVSLFQSVVLMLFNDAQKLSFLDIKESTGIEDKELRRTLQSLACGKVRVLQKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELYQQLKFPVKPADIKKRIESLIDREYLERDRSNPQIYNYLA >Et_9B_065165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21768190:21774611:-1 gene:Et_9B_065165 transcript:Et_9B_065165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFQKFQPRDKSKTPAVPASHGKDPGKPPMDDAPSSATKQRVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLQDAEVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRICREKTSKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAYIVKLYYSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETVLAIESIHKHNYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSNFPNLHEPDYSSGKGAKPLPDNISRLGNPVAPRRTQQEQLSHWRKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAQEIKAHPWFRGVQWEKLYQMKAAFIPEVNGELDTQNFEKFEETGPQIQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIAELKKKSSKPKRPTIKTLFESMDEEEPVQGSFINMLPQKEGQPSSHSSIPPEQYQPRRK >Et_3A_024962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25720591:25722333:1 gene:Et_3A_024962 transcript:Et_3A_024962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEEAMDSEMSLSNMVLGFLEDAGRERWPAENDGDDDDGAGAGDDAESKAFWQAQHSQLHEALAKTSPAESRIRADTEEAIKNMRAAAAGACSCTGRPAAGDCRRCMLRHVAERLRDAGYNSALCKSKWMRSTDIPSGEHSYVDVVVQTRSGKSVRVVVELSFRAEFEVARASAEYRALVTALPEVFVGRADRLRAVVKVVCAAAKRCMKENNMHMGPWRKHKYMQSKWLGNTERTAAMATPVVPAAVAVALGSPEKPTKFRASMLSFDFGRTAVEVV >Et_2B_020670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22637442:22640929:1 gene:Et_2B_020670 transcript:Et_2B_020670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAVAMAVAVAAAALAPAARAVHRSEFPPGFLFGAATSAYQIEGAYLENGKGLCNWDVFTHTHPEGIMDGRNGDVADDHYHRYMMWRSCNPLASTPTDSRFLGQGFYQLGGVNSDGIAFYNRLIDALLRKGIQPFVTLNHFDMPHELETRFDGWLGAGIREEYAYYADICFRAFGDRVRFWMTFNEPNLATKFQYMVGLHPPSCCSPPFGNCSSGNSFREPYVAAHNIILSHAAAVRNYKENYQAKQNGSIGIVIAMKWYEPLTNSTADILAARRAQSFELEWFLDPIFFGEYPRQMRKILQSNLPTFTSEEKKLLRYKADLIGLNHYTAIYAKDCIYSPCNLQTYEGNALVLAVDDRDGVKIGKDTALPGYYVVPEAMESAILYVNQRYKGRPGELINDVERVNYHKGYVTYLSKAIRNGANVRGYFAWTLLDNFEWTFGFSLRYGLYHVDFDTQERTPRLSARWYQEFLTGSNPTREPWTADF >Et_3B_029213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23009376:23013958:-1 gene:Et_3B_029213 transcript:Et_3B_029213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPTYAHPAPTTAVRWRPPGRARPAPRLRRSSGAPSSPLHYDPLADLLGPSSGSHSQNTAPVAEKGKQKSWIGPNGQYYRELPCPSCRGRGYTPCKECGIDRSSLDCPMCNGKGIRMCVQCGGECVIWQESIDELPWEKARSSSPLKVKEDEEVDRLEIDINTTKRSKRTYPPPSPEVAMKISRSLRSLNAKTGLFTKHMKLIHQDPKLHAQRVAAIKRTKGTASARKHASETQKAFFRNPENRIKRSIAMKGVKFFCSKCGQEGHRSFYCPTARKVSGSVQFRCRICGEKGHNNRTCGKPKPEKEHQPQPRHCSRCGEKGHNRRNCPRSTDMEVGVSGDKNANGPIPGIYSCSFCSEKGHNRRTCPKLKASLGNLNRIESELGRPDRTKHSAALKLFSLE >Et_4B_037149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15461912:15465667:1 gene:Et_4B_037149 transcript:Et_4B_037149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKAMVESDDAGVKELLLKDGEKVGDMEETEDLPDWLPDGWIMESYLTEDGTINKYYTYPISDYTFTSQAEVLEYLFSGVDERILESKERATEMTLQKTHQWLPKGWVMEIRAGGENLDKMYKFYVLPRNGVRLLTKQDVLLYLEQSRISMCDTNGQCDTSSNGNIIAKVDLYPDGLPSGWVKELYYTDPSSGYTFRTLKLALRYLESGKVPKRAIIQKTSVHDIYSFHKCADLHGSLSSRLTVNQKQHRKPTGSLLPEKSSSIDYDGDDTDS >Et_4B_037441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19976813:19984697:1 gene:Et_4B_037441 transcript:Et_4B_037441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNATFTVRDVLFAYTTAHEAYGRFLRNGVNPEQARNAVALLLWLEQGDVQAIRHVRTFDDNVLMHLAAEANSIMLYLRGEQSFNLEIPLLSSLAPHNFIDPGFFVFHQDLVVRGVADILEGLGDFIFDERLYRLLARYQTGLLGRMPPELAAPYTFRSVPVPEDYRSMFITFSRGQHVDREDIFNHFRNKWGDCIVRVLMEKTTGGAPPMYGRIIFKREAFVSMVLNGKDLVHVNIGGRQIWLRKYFPRMRNTNGRLHHYQTRSLKCRSSEITDKFTHARNAVALLMWLERGHMQAINLVGGYDDSVLMQLAAEANSIMLYLRGEQSFNLEIPLLSRLSQGFIHPGFFALHQDLVVRGVAEILESIGVLIFDNHLNWLMTRYQTGLINRMPDQLREPFTFSSVTATEDRRSMFITFSRGQHVDPEDIFNHFRDKWGDCIVRVNMENTTRGVPPMYGRIIFKKEAFVSMVLNGEHLVQVNIGNCKIWLRKYSQGPRNV >Et_4B_037652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22143436:22143991:1 gene:Et_4B_037652 transcript:Et_4B_037652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEELRALDPPDRRRWIGGKTTPLQLRLRDERLLSLHAPHLGRQRREPGRRSSSLMMGACRSVAGDEEDPEPRSGARGAEAVVLVAHVTVTITSSRPASARRCVTARTSPPPAISDDSLAARSRKDDERGTLRTRVSPPTYRSAPSGAQGTRRPPWLHVISMRALSTARDAAAAMI >Et_1A_005908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15355088:15356535:-1 gene:Et_1A_005908 transcript:Et_1A_005908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSGCGDQFCVMTMLLFAVLFGTLALPAQCKHVVLCYRRPKILWLLLSDQLGPVWECHCCRDGDRKENCYHTCPPQLPIQSAMEGLPVQALRNGTLHKETIALIILLLQMLEYLQFKDSSISPGLGLQ >Et_9B_064644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17332925:17334709:1 gene:Et_9B_064644 transcript:Et_9B_064644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSFGPEEQFVWPPSVLAGIVMSAAVYDITREVSARCFKGYDGLTEMHKVEWNNRGFSTFHALVAAAVSFYLLVISDIFSQDAHIATVITHRKSWLSDAMFGVSLGYFLTDLVMILWYFPALGGKEYLLHHGLSMYAISLALLSGKGHFYILMVLFTEATTPFVNLRWYLDLAGRKGSNLYLYNGLALFVGWLFARIILFVYFFAHMYLHFDQVRTVFPIGFYSILTVPPVFSLMNLLWFWKICKGMVKTLCKSKQSTNSKKIEN >Et_7A_051382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17748115:17752118:-1 gene:Et_7A_051382 transcript:Et_7A_051382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TGVSSSIGIRKAAARVFRCAVATRCDSNTIETVNGHVVRIGSILNSSQTRENGFSEEVCECFLAGFPIKWKELANMENLDGHLQPPSKSTDSAPTASVEFYLKKFTSDSFANSRGYFNSLKRFTSNTDGPPTQKFSNSSNGNAGNSDKMSKAGASVELGDGMMDMPMPEVPLSGEIGSNSQDNQHESLQIVAREQENVARIISVASCSKVDGNKPPASKTTSVEKEGHMSRGGCYQEGQDAEVQHENMRSCSGAQETVTYAVDSHMNRASSDLGELGTPELCSKILVNLGTGDALEAASEKNEVRKLRSGKVLGELSSAQLKSCNKQKRMQHKTSNNTKGCSGAGTVTKDKQKSHDSPSKGKPKISQPANHNQISCKTDAMLPYRGAHRQKDRYAKSITGRTDECHTMIDM >Et_8A_057299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23336010:23343664:1 gene:Et_8A_057299 transcript:Et_8A_057299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYIHESLISTFLLDVEKGTDSRLWTIAYPIDQGATVGGSVRVVCRRMVRPLRPPPPANGNYGCHHRRNEEEMEVIHLTPWDLSLISTDYIQKGILLPKPPVFYGDALVDALASSFARALGRFYPFAGRLAADERGDGTVTLSLRCTGDGTKFVHAAAPDVTGADIATSSLHVPPVVAALCPLNRVLGADATMDQSLLLVATQVTELADGGVFIGVSMNHSAGDGAAFWHFFNTWSEIHRGGGGDDEISTTPALVFRRWFTVETSPTVLPIPLAFGKLERVVRRRRPFERAAVAAARECFFTFSTASVEKLWTRANDEVAGGNISSLEAVLWRAMCRRRRARSTLWSSGAGAAWTAYRRATK >Et_1A_006703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26643278:26645754:1 gene:Et_1A_006703 transcript:Et_1A_006703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYEAIKELGAGNFGVARLVRDKRTKELVAVKYIERGKKIDENVQREIINHRSLRHPNIVRFNEVCLTPTHLAIVMEYAAGGELFEKISSAGRFSEDEEICHRDLKLENTLLDGSPTPQVKICDFGYSKSALLHSKPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGSYPFEDPEDPRNFRKTISRILGVQYSIPDYVRVSSECRRLLSQIFVADPSKRITIPEIKQHPWFLKNLPREISEREKANFKDTEVVDPKQPIDEIMRIVQEAKTPGDMSKVVDPVLMAEMAELESEEDDAEAGDTDSY >Et_1A_008198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5080963:5082859:-1 gene:Et_1A_008198 transcript:Et_1A_008198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAPKQKVKKHIHLFYCSECEELAQKIAASSDAIELQSINWRSFDDGFPNLFINKAHGIRGQHVAFLASFSSPAVIFEQISVIFALPKLFIASFTLVLPFFPTGSFERVEEEGDVATAFTLARILSMIPKSRGGPTSVVIYDIHALQERFYFGDDVLPCFETGIPLLLQRLRQLPDADNITIAFPDDGAWKRFHKQLQHFPMIVCNKVREGDKRIVRIKEGNPEGRHVVIVDDLVQSGGTLRECQKVLAAHGASKVSAYVTHAVFPKQSFERFLTSNSAGPGDQFSYFWITDSCPHTVKAIGQQPPFEVLSLAGSIADALQI >Et_2A_016488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25191356:25195434:1 gene:Et_2A_016488 transcript:Et_2A_016488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASPQAYVLAFLCICYTLLLCIHAVPPCTSSFTFDFSTAFTSPCGNDLICRGNASFANHMIELTTNDISTGSGNSLGWVWRASQVPLWDSATGELASFNTAFSFMISLDSKYINPDGSPNSGDGMAFFLAPYPIKDFLSGVDGGGHLGLFNATGDGQVVAVEFDTYRNSEWDESDQHIGIDVDSIKSVAYTDTKGGNNNLTSNIMMTATINYDNRTKLLAVDLDISLARNMIKPIKFLAVDLKSVLPEKVAVGFSAATGASSIGFHIEKKVVPPAPAPSQKSLYPSISVPPVQQGPSTKLLLRVLLPTLAVTVCAAVYVLVWLWQRRRRNAQHEDSGSDEQHGKEEADFEKGVAGPRRYHYRELAAATGDFSDANKLGRGGFGCVYQGSLQGDGSERQVAVKKFSSEKSSQGRKEFEAEVKIISRLRHRNLVQLLGWCDSKDSCLSTSSYKIILGLGSALSYLHRDWDQCVVHGDIKPSNIMLDSSYNTKLGDFGLARLVDHGTGLQTTANVAGTAGYIDPDFVNTRRPSTESEVYSFGIVILEIVSGRQPVVQAPPFVLLKWVWSLHGQGRTIEAADARLGGGERQMERALVVGLWCAHHDPGQRPSIADAMQVLQSEDAKLPVLPPHMYKMATLPTVVSTGDSGDVSGRSFSSGVRSSATTGTTHFLPIVHQLIGRRPLH >Et_8B_059418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17793680:17799637:-1 gene:Et_8B_059418 transcript:Et_8B_059418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDALRAKCARIKTLKLPYDSTDDYHFPVVSPIWSLGIESDSDDCVYGGPDYILDSDEYDDYCDPFRYLNSVYESELNAEDRMFLKARTEVFDFMVPSDEKKGTSVPPNTTAVQEDDDDPYANYYECDCFSCPSSPDEPDLRNVTCDDPRYYTYIHEYYSLAPSLKSLHLISCYNIENDGFSESIKKFPLLEELELSLCSNIGETRVFEVVGKACPHLKRFRLSKHREINEDGTEYVYNKDGQALGIACMHGLHSLQLFGNNLTNEGLMAILDGCPLLESLDIRHCFIVTMNDALREKCARIKTLRLPHDSTDDYDFQVDSPIWSRSALGIDSDSDDYVYGGSDYILDSDDYDDYYDPFRYLNGVYES >Et_10A_001385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2579378:2584277:1 gene:Et_10A_001385 transcript:Et_10A_001385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAADDMIRRLLAARGGRDAELAEAEIRQLCAAARAAFLAEPALLELDSPINICGDIHGQYPDLLRLLDSIETICLLLAYKVRHPGAVFLLRGNHESASINRVYGFFDECKRRFSVRLWKAFTDCFNCLPVAALVGERILCMHGGLSPDLDNLDQIRGIARPIDAIRQQDKGGQKEKIKISEFGRVKNSSRTPQGPIIYSNERAVPSPTFQDNIADRERDEQREEKNHVKQRQSFLGNGAVLALRMRCGLARMHLALQSGSLRSAPLASSSVASPPSSTSRPPPASTQRRTAASATPPAVPTISTTPAHLPPPPPLLGLRFWLSPSSGGVGE >Et_2B_019079.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:30196134:30196544:1 gene:Et_2B_019079 transcript:Et_2B_019079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VDGVPEDVALAVGAERGGGSGGALALRAQPPAPAAGAVRAREPGCRGIAQGGLRQAVRVGDGVGHARQLPGQAREAGIEGAVQAHGELVQPVGAGARRAQHAHPRNAAHRAHVDDAELPRAQLVQLLHIQLAQEEL >Et_8B_060470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17413323:17414957:1 gene:Et_8B_060470 transcript:Et_8B_060470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDGTVAPLLPSSTAPSPRRNMFPFLCATLASMTTILMSYNLSVMSGAELFIREDLGLSDTQTEVLVGCSNAYMLVSIVAAGWAGDLLGRRATLVLGNALLMAGALAMALGGSYAALMTARFVTSLGSGFIRVVAPVYNAEISPASSRGVLSTLLDIFINLGILLAYVSNYAFAGMPVHLGWRMMFAVGVIPPVLLAAAVLAVPESPQWLAMRGRHGEAHAVLLRTSDSPADADIRLEEIKQAAARAPPINGGGAWNELIVRPSASVRRVVVCVVGLQFFVHAVGTEAVLMYSPLVFRNAGMATDGAALGATVAVGAVKTCFVLVATLLSDRAGRRPLLLASAAGVAAALVSISLTLRASVVPAAASSSSSPAPATEQLACVASVLAFVAAFSVGLGPMVATYTVEVLPLRLRAQGSSLGMVVNRATSALVGMTFISLASWVTMAGCFFLYAGAAVAACVFVYLCVPETKGRNLEDMDVLFAK >Et_1A_006095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17708399:17724357:-1 gene:Et_1A_006095 transcript:Et_1A_006095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRVANVAGGGDARSGVRYAVQGRHNSSGGCQAFRLQWDCKKGLYCGSRVVQFHWVVHDRHRILTKHSDDKLLVEAVQKQFVAEHLFNDLHQDRPLFRWRLRHSYVDGAFVERLKEMEDEVNSSDDERSISRQTNVNIRSFGDIMHDPLACVLGSPGRSMESNSYPTEKARTVLKKSELRDRRRRLHRRHRRHEPGGGDQTPGYQFPSTGGLYLGRRIGQLLGVEINWLGFRLLMDYKGCAYIMGKILYYSTVRACATVILKSEDDERMKMELANIILTKHSDDEPLVEVVKRHFFAEHLFDDLHQDKPLFRWHPRRSYVDSAFVERVKEIEASNSHDEPGSVSTQATLNVRSFGDLTEDPFACILGSSGGGMESNSPPGKTGTVLKRTELRARRRSHRHHHHRHGDKCILGGAFITGKIIYYANLRNYAMATLYSKEERMKMELARMHGLLSNYQPIRILTKHSDEKSLVQAVKGQFFAEHLFSDLHQDTLVFRWHPRHSYTDGAFVKRTKEIEAEADNVARSASRRTTLNIRSFGDRMEDPLACVLGSPGGNMDSDNPSDITGAVLKRSNLQAHRKRHRHRHRQYPDKFVA >Et_3A_026434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:875186:876818:1 gene:Et_3A_026434 transcript:Et_3A_026434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAGGELEIFDAGRCADGYALGLAVGRRFGNVIRSRMRRDLVFREQLLPFASTAKARPLLAALQAANRERYPRYWDELVGTADGSGVPLLHVILVNFRKEIQPFIPKDGGHGRQEEEEADADCSDVLIVSNSTAIAAHNEDGNVALRGHTYLVSTTLPDGLSFTAYTYAGELPSCAFGFNSNGVAFTLDSVPPVNDEIVAGAIARNFVSRDLLEARNLEDAMHRICSPKVSAGHSYNLMDIRSRRILNIETASGNRFAVHEAGAVPFFHANMYRHLQVKQVQDENSTSREKRAAQCSVDSKETALSLLGDTADDKYPIFMTGPTLYTLCTVLVDLDEETMTIYKGNPKNGDAALVLPML >Et_8A_056440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1073365:1078004:-1 gene:Et_8A_056440 transcript:Et_8A_056440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASEEVAAAWEKAEAKEERILVSVRVRPLNSREAGDSSDWECINPTTIMFRSTVPERAMFPTAYTYDRVFGPNCSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGVTEYSVLDIYDYIDKHPEREFILKFSAIEIYNEAVRDLLSHDTTPLRLLDDPDKGTTVERLTEETLRDHNHLRDLLAVCEAQRQIGETALNETSSRSHQILRLTIESSARQYLGRGNSSTLVACVNFVDLAGSERASQTNSAGMRLKEGSHINRSLLTLGKVVRLLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAHTHIEQSRNTLLFATCAKEVVTNAQVNVVMSDKALVKHLQRELARLENELKFPGSASCTSHTEALREKDEQIKKLEKQLKELMEERDTVQSQLNCLLTREGDDHGDEHTAQQWDERSRSSESVARNMSEETLSVADAYGIAHQDQDYASFHESYVSSNDHNDSAFHGETTEAPRQKWDQKMISPWHPSSNCSSDGIEPYHMEEADSRTASEISEEHCREVQCIEIHEHVRTRSHEFNQSLPEDMKIQAPEEEMIGKDSVPQPDEGKELECITKKIEDQIRLYSSKEEQQSENIAKIENDAVRTHQCESNGITNNVVKLYTPDSNLSFDINKPYPRECLSMKRRIMSSKDIALARSNSCTASFMVIPNGWFDDSENTIRTPSDEVFRYVPRRLDKVRRSLYTDNDDCQNNDHLLDCSMVSCQVASDEVVKDMSIIDEIAKEMSTCVEVSREMSTCGEASKEMSTCGEVAKEMSTSGEVAKEMSASGEVPKEMSIYDEEQETIVNDISCVIESEKNTKNQHGDQPKEFQESVLMEEAFRDDSAVVKTVKDVGVDSALSPIRSLHSSIDFEKKQQHIIELWHECNVSIVHRTYFFLLFKGDPADNIYMEVEHRRLSFIRSSFSAQPTAEGELNSAIATSLKNLRREREMLYKQMLKKLSNDGDKESVYSKWGIDLSTKQRRLQLSRLIWTQTDMEHVRESAALVAKLIDVLEPGQALKEMFGMNFTLAPRADRRSFSLSGSYSMK >Et_3B_031693.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:849939:850949:-1 gene:Et_3B_031693 transcript:Et_3B_031693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAGGGRSSSRLRERLARMFRPASLLRSTCNTTTSTSSTTVPGGSSSRALLAADVDCCRDSFLASSRRDYLVARTESFSTAVDRRAVVAPAPPPTRFSVDDTPSPPPPPLIESTKEKEKKSPRERLGGAKKQSDRAANKTKLLSNNPYGFSSSDDVFSSDDDAEDLLRSETFFSSSRSFSSDSSGFYTTTTTKKKTKKKKKNHKANCSGKSPAARAASSKKPPSSSSSRPRKPEHRRAASRSSCVEACGGVRDGFRPVVSAAEEQLRKGFAVVKRSRDPYADFRSSMVEMIVGRQLFGAPDLERLLRSYLSLNAPRHHPVILQAFSDIWVVLHGG >Et_6B_049071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17524326:17529080:1 gene:Et_6B_049071 transcript:Et_6B_049071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKKSRRNGGAAVPPAVDEHPPPAADGGEEEQESGEEVSPRAAAEAPNGDVPLKKLNAVLVRTASEERERAAALAARLDEVTADAEALAAEERGVLLAALAAPLRAAEEDRAAMRDRLAAAEEELRRAEAEAAREARERADAAARLDAAVAENALVSERLGATEAEAAAAAARVAELEVKSVELDAERGELVKQLEEAKASALAVESQKAEVEESFGEIVKLKEAAEEAHDAEKKRMEAELNVLNENLGKIQAEKDDAVSLVSEKEAEAAKLIDELAELRNSVDQLHARCDELEEKSVSLQGEKDSVLEALNKVKAEADKLNMTIEELEGSNSVKDREIDRIVKLKEAAEAAHGAEKEEMEVQLDELKANLGKIQAEKDDAVTMATEREAEATKLKGELAELHRSIAELNARCGELEANSSALQSEKDSVLEALNQKKAEAEKLMAKIGALEGLISEKDRELDRVLKLKEAAEAAHDADKEVMGAQLDALKENLNNIQSEKDTVVGMVSEKEAETTKLKGKLAELRHSMAELNARCGELEANSSSLQSEKDSVLAALNQKKAEAEKLMAKIGALEGLISEKDRELDRVLKLKKAAEAAHDADKEVMEAQLDALKQNLNKIQAEKDTAVGMVSEKEAEAARLIDEVAELRRSMAVLHARCGELEVNSSSLQDEKDLVLKALDQEKSEADKLRQKIGELEGSNSEKDREIGALKSAVEDKRGQIDGLKEKVQGLQLVVSEAQQKSKNAGWKWLGPATTTVLAAASFAYAARSRCPLLYSKQNEFDTLFSTGSKPYTPISSTPGFRTQTILWMGRKSKNFFDKCLIDFAWTVLLWQNNSILSQASCINLTGTPKNILTQFFNPMTEPGKTIGKSAPLGSQKAIQPRRHPGTMNLFDRAPMLITGAMWAKEAIGTNLLPPKTI >Et_10A_001446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3399995:3402872:-1 gene:Et_10A_001446 transcript:Et_10A_001446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKANLQEATGFKGRNALHLAAAAGRLEICRYLIEEAGFDANSPSGEGETPMLLAVEYEEGKGNVHVLRYLLNRGGVPARPDARGYTPLHNAAEFGEFLARRSFQEPGEHAFRYVDGHDGFVQTWLIIDGCCGRNDIICSSLEEHNGHCEAARLLLSRGAPVDPINHRGTPLHLAAAKSHDQVVKILLEHGADAGADVNVKSMNGQTVLMSAIDDGFTDIAKFLLEAGADPNIDNHGHVFFFFLLSTLCFLVWFTISWPSAYIWLLGGNDGRYPIMAAAENEQRELVEILFPRTKQIPALPDWSVDGVIRAMKYMQSGPHEDESATYWKMRGKRAFVKGDYAAAAYFYSLAIGFDPLDASLYANRSLCWLRQREGVRQAAAVTAAAEK >Et_1B_011003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16349486:16351620:-1 gene:Et_1B_011003 transcript:Et_1B_011003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRTTQEAAAAPPPEEEERPSTALALKNLGEYTVGAIPTLFYVPGFISQDEQSQLLHHIYQAPAPKWKSLKNRRLQNWGGVVHEKGLLPQALPPWLTKITDRICHWTGLFPSAINHVLINEYHPNQGIMPHQDGPAYYPVVAIISLASPVVIDFTRHQRLKGQEHTDPQYSELQTPAQSESNGSHELEGAPESDPTSSLLLMPCSLLIFKDQAYTDYLHGIQDSDLHNLDKVANMSQCPELLHLNSNCNQGIVDEKSSTFRRSATRLSLTCRLVLKVHKKLFKF >Et_1B_010880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1493729:1499154:-1 gene:Et_1B_010880 transcript:Et_1B_010880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAAAAEGLAHRRIEFHGVTKPSAAAVAGGFPMERFFAGTGKRVAAARGEGEGRRFEKEESSGGGFDPELSAARIYLRRIGAGLHNPGNTCYLNSVLQCLTYTEPFVAYLQSGNHKSSCRTAGFCALCALQSHVRSALQSTGKILTPLQFIKNLRQEDAHELMVSLLESMHKCCLPSGIPSESPSAYEKSLVHRIFGGRLRSQVICARCSHCSNKFDPFLDLSLEIGNAATLLKALHNFTKEELLDGGEKQYNCQHCKQKVVAKKRFTIDKAPAVLTIHLKRFSPFNPLQKIDKKVDFQTTLNLKPFVSNSEVSNWFLKSLAMDLKYSLYGVLVHAGWNTQHGHYYCFVRTSSGIWHNLDDNQVRQVREGDVLRQKAYMLFYVRDRVRSSIMHKDNSAGSLSNKKMIGEKITCMDATVRNGLVQSTMHASSFASENRKLQKQNPDVGQPSNVCNSSQNKCSNAHNKTEVTDTSTSLNNETAFLKIIPVLDQCSNACNKTEVCDASTSQNNEPASVQKTCLQDQCSHAHNKTEASDASTSQNNEPASVLKAPYVHPNGDAVVPIVAKQINSDSQREAMPASCDQKACKEPLQELQLKSDDSLTVLGKDVADAALPICNGARLLGGDQATGDNTETITQIIPTEDTAVLNQTVSSNEESMSDNVARETKPIKQHNELVVVEELSAKIIDDKENNNELTFAPDNTVQEGQPVVKELSVMDIDHMAVAEEQNSEPKNSLDIGQVSPKKICSENYAQVVCYKDCAQVRCSEDSVLVLNKDPRGGSLHRNIEIKSKKNLKYPAGNLFFGSKQLLLGSLILRKKRKHKRTKRRLRSSMDTESISSDQQTSTSETAPAGGTSCRSRRQKRSCNTASSEDSVQIFNKKKNLGDSISSAGLTMDRQDSKDATLSSAKLTSSGPSSKANTDPGKCAPSNEKGSWHFDLLTRGLRQITVPRWNDNCMPNTTATELHYSNSTSIGYVLDERDEEYYRGRRKKVKKPIKDFDGPNPFQETANIRSRQRKRLKADQARRGNQPLRI >Et_2A_014462.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1088192:1088452:1 gene:Et_2A_014462 transcript:Et_2A_014462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGASMGRVFDWVHDRMVQSPSLYAPKKESWRMEVSMYYYCMSKTRAPLIWNTLYMYCEWSVGQDQDTVIVIRIVAIGFCACWKSR >Et_2B_020789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23639273:23642291:-1 gene:Et_2B_020789 transcript:Et_2B_020789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRELKKSVKDVESKKKKKKKREGSEEGCRRESGYVGDAGTEMILGEDSVFAQAPKAKMPSSEDFEMEMDRAPGGSREGCRRESGRVTCVDDGGGDMIREGESAFEQAPKDFERGMVGEDLEREMDWASGGSGSINVDGIGCAGRVTSSRVILEETTAVHTENKRKASSSPEEKGGANKRKKVKIVTEVEGKMAKKDTEMESMVGTSERKLKRKRDNKKRKLKRRKRDNKRSLAETGVAPTVPEIAVVVTPHMPRRYGYLLKLVSFLLPYKTPGRAYECSLHKIQTLLRNSRCNLCIFFEWEPNDKRTFCWMFNTLTGTRIQFLVNPAFTCEILEVKVKRAACSFSSNFGDDDTWNAVKEMLEMMFCSAQHGQLEELDHLYIFTRIDDCVYFRNMEEPALVVFNPL >Et_5A_042141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6739777:6743987:1 gene:Et_5A_042141 transcript:Et_5A_042141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAARRASAAVAVAAVLVMFAGAAAAAVYEVGDKAGWTIMGNPDYVAWAKSKKFHVGDTVVFTYMKQFHNVMAVSKASYKGCDASKPIATWSTGKDSVVLNTTGHHYFLCGFPGHCAAGQKVDINVLASSAAPSESPAMAPAPAGSGSEPSAAGGSGRAAAAPSPHANAAPRTAGGSVAATVAASALSLVAAAVLA >Et_4A_033642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25295581:25297095:1 gene:Et_4A_033642 transcript:Et_4A_033642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALICRHSIYDIAALPSSATIFSSWLLLTNGRGPAELSPRPARPPPHPAQARIAVCLVGGARKFELTGDSIVRNVLVPYPGADLFLHSPLDGNAYKFGLLKDAPRVAAVKIFEPRHIVETDVHRRLLTPRGSPMGLQVTIDKHSHFTVFLPTKLCYDKMGLLQYFSLVEGCLSLIRSHELQNNFSYDWIVRTRVDGFWEPSSFKPNTYVIPEGSRDWREELSIVPLSRLTMLDDLAKEGYNGLNSEMAFMYQMKMGQVLVHEQQLRFCIVTERKKKFPPGRYDTPVASIGSRGPLSGAYCRPCLRAYTGPQADRIWAGLDRAWIEWRNKGLGLCNATEEWENGWEPAFDEAAGPSVAMARRRVAAMPVTDCNRDLAMLKAKTAQWEAPNPATICEIGLGRMAQ >Et_7A_051796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24890388:24894436:1 gene:Et_7A_051796 transcript:Et_7A_051796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWELVEVPGNLAPSLQDATGKSDLSIILCISNGSENCCKWFPQDVQKIVDTYQLIPYTAKVSLQQKRSHCESAEEFPLQIYASHDGPSLPSGFHPQNESYQALTAE >Et_6B_049506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5962360:5970838:1 gene:Et_6B_049506 transcript:Et_6B_049506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPNPLSPVLSVLSSGATALKTWLHVDEWRKQQPPAVEEDDRWDAHGLAAGGEPVPPSRLDGRKRYKVSEVGFRDRRAGATGAEKDDDPLLGKAARLRAGGVYTRSQLLAVLQALAGSGGFEDVDVAASPKPDGTLGVTVSFAERVLGDADTFRCVNVGHRSPPAQVHDDDVTEAAAAKEETAPACILPEAVWEELQGMVEAQLGKVTARLLRRINDRVQRWYRDEGFVCAQVVSFRNPRDTGEVACEVVEGAITKVEYRFLDKLGNVVDGKTNITVVDRELPPQLRPGQIYNSGAGKQALNYISSLGLFSNVELNPRPDETEDGGVVVEVKLSELEPKPAELSTNWSIAPWSKGASFSPGGTMSFEHRNIAGLNRSLAGSVASGNLLNPLDDLSFKFEYVHPYLDGVENRSRNRTFKASCFNTRKLCPAFVAGPSMDETPPIWIDRRGFKANITESFTRQSMFTYGLVVEEITALDERNKICTHVSRVLPSGALSMDGPPTTLSGTGVDQMAFIQGNFTRDTTAFVNGTPIGDRIIFQCDQGLGIGTNNPVFNRHQLSLTKFINLNKQDQGAGLPPPAVLALHGRYAGCIGDLPAYGAFALGGPHSVRGYNVGELGAARNVIEGAVELSVPITVKNTHAQAYAFAEHGNDLGSDELVMAPPLALVLRLARQGRTVARIVLAVAHVVLGINPIVPAWGEARKALGWEAKKPPPLDWDAHGLSATRTPVPIARLDGKKRYKVSELNLVDRRAGARTNAVSVADDPLFDMSTLRPGGVYTRSQLAEEVKAMTSSGMFENVSVQTRLKPDGTLGVTVSYAENVWGVADRLKCVNVGFVPPPDDHGVDRDMMARERMEHQQRQERAYQQRLRGARRCILPEPVREELVGMVKKQRKVSARLLQRLRDRIVKWYHDEGFVCANVVNFGNLDTDEVLCEVVEGSITKLEYQFQDKLGNIVEGNTRIPVIDREVPEQLRPGHIYNIGAGKQALNRLNSLGLFSNIEVFPRPDETKEGAVVVQIKLREMEPKSAEVATEWSIVPGSQGRPTLASIQPGGTVSFEHCNIAGLNRSFVGSVTSSNLLNPQDDLSFKIEYAHPYLDGIEDGRRNRTFKTSCFNTRKLSPIFVAGPTMDDAPPVWIERVGLKANITESFTRQSKFTYGLVVEEIKARDEDNNICTHGSRSVPNGILSLDGPPTTFSGTGVDRMAFLQANITRDNTEFINGATIGDRCTFQIDQGLGIGSKNPFFNRHQLSVTKFINLNKRKHGAGKPPPAVLTLHGRYAGCVGDLPSYDAFALGGPHSVRGYSMGELGAARNLLEIATELSVPISVKNRHTQVYAFAEHGTDLGSSKEVNGNPTEFFQRVGHGSSYGVGVKLGAVRAEYAIDHNAGTGTFFFRFGERF >Et_8A_057414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2809338:2810829:-1 gene:Et_8A_057414 transcript:Et_8A_057414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGNRSPPWLLIFVLALTCHWCSVSSTASRTFTISNYCAQPIWPATLAGAGTPQLATTGFRLDPGQSAQVAAPAGWSGRVWARTGCAFDGAGANNGSCQTGDCGGRMECAGAGATPPATLFEITLGKGGDDKDYYDVSLVDGYNLPVVAVPRGGGGGGCNATGCMADLNRSCPKELQVSVAGGSGVVACRSACEAFGQDQYCCSGAYATPTTCRPTAYSAVFKSACPRAYSYAYDDASSTFTCAGAADYTIAFCLPPTGMQKSDTAPLGSPSPPADGQSTGGGSAADSTPPPTTGNNGAGSTSQPPPTAGNAADGTPPPPTTDNNGAGSINQPPPTADSGSVPNGMPAPTTDSNGAGSFNQPAPTAYGDAGGSTYQQPWMMPSSASTRRGHLWLLLTAVLSFLF >Et_10A_000160.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:13507082:13507261:1 gene:Et_10A_000160 transcript:Et_10A_000160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IQPQLEFPSSTYNCLKRANILTLLDLFGETEDPMRIDSFSMEDIKLIWATLQKHLPLIL >Et_7A_050313.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:24352031:24352528:-1 gene:Et_7A_050313 transcript:Et_7A_050313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWQSISDFLGKQVGQNYESIARWWISNRNHTAMNIVTSAALWTLWNLRNAMCFQGQVWTGMKEVWKRMVNTTRSWKKLAKEEVQQSLEGILDKMVAKMMEIPRLQCGMSSLVNGTSSDLRSCATTGSENERATYVAGTVNGPAQQPVPTELINELNPVLVFNKN >Et_1B_010716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13331280:13335945:1 gene:Et_1B_010716 transcript:Et_1B_010716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGAKATAAKSADKDKGKKAGPVSRSSRAAPQFPMMRCGFEEFREVSPVLGRVSVDFSFQEEGFGRSESHMLQIADTLVHRGFSCGERLEMVQTSLHEKSAPKKDVYQLFAEKVRDNKQLESRWAIMQETRVEYFRGKDFTTFMKNHPEVREILGPDKDLEVEDIVNTLLTKNLVIRCDRVMKTLRPGKKKLSSWPAHLEIHHEQVFTENDGFYAWMFLKRRTLWQTILSFVWPLFALAVCLFPVYPYQCKIVVLYSCAGALLFIVSLLLLRAAIFGVLWVLLGKRVWFFPNINAEETTFRELVRFWPEKDEAERPKWTSRLFYALVAVLVILLLRHHAPDEAARARYQKKVSNIIDDVLEWSPKLAISGMMEKNTGANMTETSNYTSGTGSSNAPPPPEETPAEADPDFDAEVDI >Et_10A_002159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2667917:2674766:-1 gene:Et_10A_002159 transcript:Et_10A_002159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAFIFIASLVGSSKWLVRPKELPACSIQFVKHGDLNSSSMLDNTDTDSKIKVMIKIIDEDADSFARRAEMYYKRRPELMSLLEELYRAYRALAERYDHAAGELRQANRKMAEAFPDEYQLDVDDDLPPESVSTETETDSRDMTPFFLSFINTGDSKKRAKDDQEHEKLQKEITSLSKENQDLKKKISSVLEKSDQAESEVLGLKEALAQQEAEKEAAVSQSQQSSDRLLNLKSEILHTQEEFKRLKEEMQTGVQNLSTAEDRCLQLERANQNLHLELDKLKNASKEKHDELNEKHIELEKLSITIQEEQLKSMQAEMARLSLEKQLAQAQEKLRLLSLEKHGEANKINDIETTKVLLQKELEKIREENRKLDDQNHSSTSAIIRLQDEMISLKNAQRRLEEEVSRHVEEKKALQHELSHLKDDRGDMDRKHFSIKEQIQVVNFNVESLQSLAQEVRDGNVELKETIKSHEGVKALYVENLMQLERTLEKNAHLERSLSAATTEIQGLKEKKAALEETCKHLNIKISGHQSERAMLIARIEGISHTMEKLSEKNVFLENLLSDNNTEFEILRRKLKDLEESTQTLRNQNSLLRSEKRTLLHEVDSLNSALLSLETQYAELEGRHLDLEQDKNKTTVELIKLQELLRLEKEKHKELNNSGMSQFHSIQKQIGLLVEEVRRREDQLRMEEHKTVEAQTEIFILQKCLGEMAEANSDVLAKLQKQQEACKVQEEKVSSLSQNNQKLTEGIGSVMEVLHLDEKYGSLDLMKIDVVVQLVLHEIKCLLNTISDAQDVKQNQILEKSLVVTLLEHFGREVADLRSERSVMKQEWQAKSEELMQLQSERHDLLKISCELRKEMEARNRKVDELKSEAKFLVRQLTELHESRQSLQAEVIKLIEENSSLSSKLYDSREQEKSSEDDIGILLGEAVKTDILGVIFRSLHEERTSQLRCLHEDFGSLHAAGNELYQEIKLMNKKLGDLQLENNYLEKELSRTLSICDGSSGELSSARRRAMRRDTKLLKSGRKSQESSMNTEQRKEVDNAGLEKSNEMLREELQKLQSELQVLRSKDQPVIDVKSCDAEITKLLANMQLATANASLFKEKVLELIVACESFEISDMVQKEVLKEEITRRNSYVDELKDKLNAVEIENRRLKVDLNGDFTVLGALQTEVSALEKQTLSLAKDCLPPHMLKEETQMSKICVKPSEDQNATKMVKDVELQKLHGTIKALQKVVSDTGVVLEQERLDFNSSLQDARKQIEILKLKEILDSDTSDTNYERIMKDIQLDLVQTPSRRAASHRQRKKTSPTHSDDKMLALWSVGRVSSGSHRNDVDLRPPQSEAPMMVMDKVKKRCSSEPVAMKDLSVDKQELLPQPVVATSEPHREWKKKVIDRLSSEAQRLRDLRSIVQELRSSVESSADAELEAVKTQMVDAEDAIAELIDANGKLLKKAEEFTSAGDGDVDLRSRSQRKILERVRKMSEKAGRLELELQRFQHALLKHEEERAARRAAKATVQVQRRSRVQLVEYLYGRRRDSRRPKQKQRGPSCCMRAKAIDD >Et_10B_002556.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:15750410:15750652:1 gene:Et_10B_002556 transcript:Et_10B_002556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPEGGGGGRQPISGQRAREKEDAGDTWRRVERSSGSFLRRFRLPAVDRVKAGLENGLLTVTVPKVDAAKRPALKTIQISG >Et_5A_041045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17174042:17176951:1 gene:Et_5A_041045 transcript:Et_5A_041045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGFKAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPAPEDMVGAVKKAIDMLPEIDPQVMHLSIKQLYSWDDVAKRTEIVYDRAMQSPTINLLDRLPRLTEKRRVSISCMAGAGYGASKLHNPKYAMAATLLGHAGH >Et_7A_051908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:26196427:26199935:-1 gene:Et_7A_051908 transcript:Et_7A_051908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQPLQEPSATAAAAGAEPAGAPPAVVPGKEFTRTCKGLVVVLIGGYVLLQLLPSSLNYLAIIPSKTIPYVWTVFTAGYIEQVLPGAIGSSLGLLFCGKDIEPVWGRKEFLKFIILVNSICGILAFFFAIALYYVTGKESFLVTPLSGFHGCLAGFLVALKQLLPNLELPMCFFWKIKAKWMPFFVVCFSSIMAFIVPDSINFLPTLVSGMYVSWLYLRYFQRNPLTGLKGDPSDDFSFPSLFPEVMRPVTDPVANLFDRMLCARSKPSELALPVTDPAKASRRRERGERVLEERLAADHAADTEASARNHSTAED >Et_8B_059917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:478557:484667:-1 gene:Et_8B_059917 transcript:Et_8B_059917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSETTANSTLTTTTIEGGGELESKSFNGAASTASVGKGQEDDDEMIGPGPAARPRQKRPLQFEQAFLDALPSAAMYEKSYMHRDVVTHVAVSPADFFITGSADGHLKFWKKKPLGIEFAKHFRSHLSPIEGLAVSVDGLLCCTISNDWSVKIYDVVNYDMMFMMRLPYVPGAIEWVYREGDVKPKLAVSDRNTPFVHIYDTHSGSNDPIISKEIHGGPVKVMKYNHVHDVMISADAKGLLEYWSPSTLKFPEDVVRFHLKTDTNLFEIAKCKTSVSAIEVSNDGSQFAVTSPDRRIRVFWFKTGKLRRVYDESLEIVNLHTNKVSRILGKVENNERFLRIALYQGDKGNKKVRKIPSAAVNVNDSKEPLSDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPPEELLAVSDLGKTATTSLPDNVVLHTSMGDIHLRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGSEFEDEFHKSMVCVLRMFIPLLEQVSS >Et_8B_060711.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5363210:5363602:1 gene:Et_8B_060711 transcript:Et_8B_060711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGLSKLRCMIRRWHSSSRISRDDESIAAVASSHGDGGRAASFHGADEVPKGLCPVYVGKSRRRYLVAEHVVRHPLFQTLVDRTGGEPSGAGTVVGCEVVLFEHMLWMLENADPQPESLEDLVEYYAC >Et_2B_021485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30019398:30021884:1 gene:Et_2B_021485 transcript:Et_2B_021485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHAAPDRTDVPVGECEWREELRQQQSQVDALRERLLEVKVGIRCSDGDSRRELDHLCRRVKTIATLLAYLKSKARIMAIPHLAHTSCGIRHQEGVGYVDRHGVPLADWPKGDNSNDNMMVESSGAPEHGDANDGDVDVDDILKSIRVVTDVMESLVKRVIVAESEAANEKEKVRMGLEEIRRKTMQVETMAAKVDEMEKFAVGTNGMLNEMRQRVEDMVLESSRQRQRAAENEQELSRVKQDFESLRTYVSTLVNVRETLLSSEKQFETMEKLFDKLVAKTNQLETEKAQKEAEVHKVMEENVRLRAMLDKKEAQLQAMSEQCKFMAMSRHD >Et_1B_010038.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:19558727:19558744:-1 gene:Et_1B_010038 transcript:Et_1B_010038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEF >Et_9A_063334.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:20525614:20525925:1 gene:Et_9A_063334 transcript:Et_9A_063334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAGGASRHAASWATDDSEPVLPVQEEEESVVEVATAGGEVTIRISRRRLQELMAKAAGGEEGVTAEKVLAEIVSAGEVVDVHRRRWEPALQSIPEAVVES >Et_1A_006315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20613711:20618548:1 gene:Et_1A_006315 transcript:Et_1A_006315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDSARNHLESMLLDERVEPKALPISLLESITTNFSDDQQIGIGGFGIVYKGLLQNGTVAVKKLIDADDMDASKFIKEANCLLKVKHKNIKTDKGFSALSSCQTGVSISISVQIVHLDLKPSNILLDQYMVAKISDFGLSKCFDEKQTHHITSKFIGSLGYMAPEFYQKGLVTFKSDIYSLGVMLKEILSGQKGCSIENVGPVLESWGTRLGMPLGDKRLEPIRACAQIGIECTNVIPSKRPDTCRILEWLGVIEANMCPSSGTEVGLHLALSILEWLVHIIITFIYFASLVVNSSISGMVDELEPVFVSEAPSPLQRISSRVPMGLGVTSTDILDVHPLELRFPWEPDIISPVTLTNWTDHYVGVWITPTCHDTWVNFWHPVSEHADTPGSSLFQMMKPRSTVAIPITTSHPWQEKPPSPDIGTFEVLMVDMGSKKDYLEGLKQSIGSRLNMDVDFLKRVEASGGEVHRAMLKATISDPPSCQQEVVTRTFISLPSEFGNCIHSIDVHPTEPWFLTFKQYFGRENLGRPEILATLHFVNETIHIAKFIAEEQWLATGDNQGYVIVHTNSTKHMVIKRFSAHNGNRISALAVHPTCPFLLTGSYYDQWIKLWDWSQGWKCTRTLYQSDGPCSIISNPLDADTFACTAKNSIAVWELSRSKEVGRIWDFAKTMDEQISRACCFGGDGHTNSMITCSDKVSEESCAR >Et_2B_021023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25756234:25764467:1 gene:Et_2B_021023 transcript:Et_2B_021023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSAIKHTFSSLLKQKPPKTSPTKPRGAMSVSMRDIDPIFQGAGQKDGLEIWRIEKLQVVPVPKESYGKFFTGDSYIILKTTALKNGSFRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGNETEKFLSYFKPCIIPVEGGVASGFRHAEVNEREHVTRLFVCRGKHTVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDTNHDGKCEVAAVEDGKMMADAGAGEFWGLFGGFAPLPRKTFSELNGKDSAFTSNLNKGQAVPIDGVLTREQLDSTKCYLLDCGSEIYVWMGRETTIEERKRAGSAAEELLHEGNRPKSQIIRLMEGFETVIFRSKFDKWPKKAEAVVSDESRGKVAALLKRQGFNFKGPAKAAPVKQEPQPQIDCTGNLQVWRVNGCEKTFLSFSEQCKFYSGDCYIFQYTYPGDDGDECLIGTWFGKKSVEEERSAATSLADKMIESLKFQAILVRVYEGKEPIEFFPIFQNLVIYKGGTSTGYKKFVSENGIEDDTYSESGVALFRVQGSGPENMQAIQVDTAASSLNSSYCYILHDGGTVFTWIGNLSSSMDQELAERQLDVIKPNLQSRLLKEGSEYDQFWKLLGVKSEYPSQKIVREIFNFSQDDLMTEDIFILDCHSCIFVWVGKHVDTKIRAQALSIGEKFIELDILMENLSQQTPLYVITEGSEPQYFTRFFTWDSAKSAMHGNSFERKLSIVRDGVKPRTDKPKRRPITSTHTGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNTRNLSTPPPAVRKQAPRSVSPDPSKPPPRAASIAAISASFERPKPTLIPKSIKASPDANKPQIEAIKPKLETNGRESSPAKDSQIATPMAQEDVKEGPESEQGLPVYPYDRLRTSSTNPAPDIDVTRREAYLSTAEFRDRFGMTKEAFAKLPKWKQNRLKIALQLF >Et_2A_014730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10680645:10681020:1 gene:Et_2A_014730 transcript:Et_2A_014730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGSLAPPAESNSTSLDPGNKKIVLRFCAISICEFFDPINGGTCYCCPDASRKEYCHLTRDECNANCATCKPKCSM >Et_4B_036410.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:22527547:22527744:-1 gene:Et_4B_036410 transcript:Et_4B_036410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPHRRAHRAEVKAGQGRAAGVADDELGAFVVAVDLRETRAPVSCDAAGKGEEVVEERGKRGLE >Et_9A_061794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16536810:16544668:1 gene:Et_9A_061794 transcript:Et_9A_061794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ELWGEIGQDEMERDRMILQLEEDCLNVYRKKVDQTRKQKADLLQALSVGEADIKKILSALGDCDSFSRSEKLGATLLEQLGKIEPVLNDLRQRRDERIAEFKAVQMQIVRLQAEMSGTIDHGDPAAPLVDENNLSVNRLGELKAQLNELQMEKNLRLEKIDIQIKCIGDMCSMLSVDLNKALYDVHPSYAELGRSKSISISNITLERLAGKVHALNYEKKQRLRKVETEVKRLNHLKASKMKELVFKKMTELEEIYKNAHMDIDSDSERRVLNDLIDSGTADVSELLTGMDDRITEAREHALSRKDILEKVEKWTLASAEETWLDEYERDQNRYTAGRGAHKNLKRAEKARMLVSKIPALLETLNAKIQAWEKEKDEAVGYFRRIHFYKTAKGRGKTSELKKLQEQFAAEQGVMFGTKPSPIRPLPARKPLGQSSNVNIVGGTPTSRRVSTPMSRKGGLSSGKVKETAKAPATPANYVALRKDWKT >Et_7B_055317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9312084:9314302:1 gene:Et_7B_055317 transcript:Et_7B_055317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWVEAVCSAVSSRTLPQRAAAGPDHCLLSQMACTQSIATGLASYGLYAESSVISRSFKNSPRKSSYKYLRIRAVQGNDGRRKLVDIIRIIPELSRDYFRSRSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIASVVCVLLAEYVTKFYYSRPKVTFPVALLNNFKMGFTYGLFIDAFKLAS >Et_2A_018844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8892501:8896399:1 gene:Et_2A_018844 transcript:Et_2A_018844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIQMGINRDLVGYYSIAYGGDKHSFVVVPTSSFEPEAACSTSRVKQEPNRASVFAPLVHRHGPCAPFESSNKPSFAETLRRNRARSNFILRRASKGNIASSRKDGNASVPTYLGSFVDSLEYAVTVGIGTPAVSQVLLVDTGSDLSWVQCAPCNSTSCYHQKDPLFDPRLSSTYAPEPCHTKACRRLVVDRYGDDCTDGGAHCGYFVQYGDGSRSNGVLSRETLALAPGFAVKSFLFGCGRDQRQQDDKFDGLLGLGGAPESLVVQTSSIYGGAFSYCLPPVSSKPGFLSLGAPGNGTAGFVFTPMGRIVDELTSYVVRLIGISVGGKRLRVPATAYRGAMFIDSGQIVTRLQETPYNALQKAFRKAMKAYPLLPPNPDSLDTCYNFTGYTNVTVPKIALTFSGGALVELDAPNGILLNNCLAFEESGPDIGLGIIGNVNQRTLEVLYDVANSQVGFRSGAC >Et_3A_027280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6784677:6787963:-1 gene:Et_3A_027280 transcript:Et_3A_027280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVRAGEQLGNVWPKLGCNDLIPNPLSVPHASLDTPTIKYNVPALYDMRELIFLLAEEGLRFLNMLVSAERHQKYLESNQIKLKPVILPPVEHIYGFLHFVCTRKPVQQDGKHPVRHNYPTPLLRNLIEQQCHLLDLLHPPKNVQHLGVGP >Et_7A_053137.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:7705359:7706219:-1 gene:Et_7A_053137 transcript:Et_7A_053137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPVVLSLLLVSCAAQSPASSPSASNAPPFGASAPQASVAPPTTAASAPQASAASPATAAASAPQASAAASPQASASAPQASAAAPPTTFALAPQVSAAAPPTTFASAPQFSAAPPTTAATSPSQSFAAPPTTAAAPSLAAATTPVSAPPLSVASPPVAMAPAALPPSALPPAFAPSPLLAAPVAAPIATPTMAPAPAPMSPGPTPSVAPTPAPTMAPTPSPELAPELAPTAAPELAPLGSVSVTPSLAPGPALPLDEAAAPSARAGVAAALVSLAAAGLVVLF >Et_5A_040451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23289856:23291871:-1 gene:Et_5A_040451 transcript:Et_5A_040451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRLPLSFLLLLSLISLHLPGYCAAANEPFVFSGFGAGAGNLTLDGAATVTSGGLLELTDDVASIKGHAFFHTPFQFKESSNGTAQSFSATFVFAIISGYPNTISTDGMAFLISPTANLSNASVAQYMGFLDSTVMSSIFAIELDTVQNNEMKDIDNNHVGMDVWVDYDGKAKQVNVTLAPMGVSKPSKPLLSNITDLSSVLTEEAYVGFSAGTGPMKTRYCVLAWSFAVNGAAPPIDYKKMAKLSSDHKTMSTVMKIVLAAVVTTSLILGIGILIFLWIRKEAYAELKEDWEVEFGPHRFPYKDLVHATEGFKNKNILGVGGFGKVYKGVLPKSKLEVAVKKVSHDSSQGIKEFISEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMANGSLDKYLYGLARLYDHGTNLQTTHVVGTMGYIAPELARSGKASPLTDVFAFGTFLLEVTCGRQPVINSAQHGTKLLVDRVLEYWHRGALLETVDLKMQGNYNPDEARLVLSLGLMCSHPFPSARPTMRQVMQYLEGDAPLPELTPANLSLLSMMQSEGLSFDQSVLRYPWSGTSMGTISPEITVGR >Et_5B_045004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8207521:8212218:-1 gene:Et_5B_045004 transcript:Et_5B_045004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKSDEAERWLVVVNFGPRMPGEDGELGRGGSLEQVVRPPPASPPRRPRPPAPNLTRYPASPATPSPLKLPFLLHLLRLQTPILRFRRQAGRQVPRGDQLGSIARDSAHSCDFFAPFRGFASWKAGKTLSARKWQAAFSPDGCLDIASVLSRIQRGGVHPTVRGEVWEFLLGCFDPRSTFDEREEIRQIRRLQYARWKEDCRLMDSHVGSGKIITAPLITEDGRPIKDPLVLLEATSDQSTSEVASTSNKIEVDVDAEPITDKQIIEWKLTLHQIGLDVLRTDRTMVFYENKENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIVLLKDEADAFWCFEKLMRRLRGNFKCTDQSVGVANQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEEASTTHKKVSKSKLKGLRHFGKWDKESTKNGAEDGEEGPVPISVFMVASVLKEKREKLLQEARGLDDLIRILNDVNGNLDAKKACAGALKLHKKYLKKVSHYSVILIFNQVSCFARFYG >Et_4A_035439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24870954:24872186:-1 gene:Et_4A_035439 transcript:Et_4A_035439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTSPTAFGTAAVRCRAVPAGAVPALLPLAGRRAALHVALARRGGVSSRTQRRLEERGGKKGRGGVSAPAPPDMEEETGEAAAEWEGEPLGFEVSTEPMPNLPDPETPDFWEGPQWEPFGFFVQYMWAFGVVFGLVACGVAVSTYNDGATDFRNTPAYKESLQSQEFPEESESSGADVFEGNPTEVAPSLE >Et_7A_052398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7612169:7613817:-1 gene:Et_7A_052398 transcript:Et_7A_052398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EPNKNVYFTKQSVGNACGTVGIIHALGNAASRIRLGEGSYFDRFYKRTADMDPIQRAAFLEEDVEMEDAHSVAVTAGDTEAKDGVIEHYVCFSCVDGELYELDGGKSQPIYHGPSSPDIFLQDAAEVIKARVAEYSHSNNFNVMALSSM >Et_9B_064166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12046472:12048970:1 gene:Et_9B_064166 transcript:Et_9B_064166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAGDPLEAVPAAVLADVLGRFADAGDIAASRLASRALLAASYLCPRVRICAADSARRRREGGGGTLPFRDGIGNVASFLGSRLRSLALDAADGQGSLDDAMWVEEGEFDEADDLHLTSREAISAWAATDAGPVLQEVPNLVKLGLKNAWLSVDGLKKMQNLTHLTLEFIRLDDEDLDKLNQCFPCLHTLNLIGVGGLKGPKIRLPRLKTCRWEVSNVPESLAIQAPNLFFLDLKCVRPDRLILDTPSLSSLKLTIDKLGATVDVDGLVSLTNLRLESLDLNSLLPVFVDTRAISTLELELPPASSQYELLQEVNHPDYLLRMLASVSEVKLGPRFSCGLVRCLALSRESQFQSCLKKLRIHIPPSASTYEILPLFKICAPWCEVTVLFHTDSADAVHEVAITTWMLSFPEIRWQCGTWT >Et_6B_048671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12297490:12301054:-1 gene:Et_6B_048671 transcript:Et_6B_048671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKTFYTDSSGRDFIKRIEGNPMFYAMDPTYSLPDNVALLTLQELEDGNVLLRFAHLYEAGEHKDLSSLASVDLKKVFPDNKIDKIIETSLSANQERAAMEKKRLKWKVKGAPAKENVVRGGPVDSSKLIVELGPMEIRTFIISFDDNVSGKQLL >Et_7A_053099.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6492625:6493554:-1 gene:Et_7A_053099 transcript:Et_7A_053099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGPAATRYVHHQLHHLHPDLQLQHNYAKQQQEQEPSDEDPNGNGNGNNGGPYGDHDGGSSSSGPAGDGPASGGGGSGDVVARRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGSGCDVFECVSTYARRRQRGVCVLSGSGVVTNVTLRQPSAPAGAVVTLHGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGNVVGALYAAGPVIVIAASFANVAYERLPLEDEEAPQAPPGLQMQPPGGADGAGGMGGGAFPPDPSSGLPFFNLPLNNMAGGGSQLPPGADGHGWAGARPPF >Et_4A_032580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11504796:11506710:-1 gene:Et_4A_032580 transcript:Et_4A_032580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYFYQPLLLSVAAVALLQLVKLALRPASTTSPRLPPGPWELPVIGGMHHLANVLPHRALRELAAAHGPLMMLRLGQTPVVVVSSKETARAVLKTHDTNFATRPKLLAGEIVGYEWADILFAPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEVRLRVEEIRAAGPSTPVNMSAMFHSITNSIVSRAAFGKKRANAAEFLAATKAVVGLASGFNVPDLFPAWTRVLARLTGMTRSLRDIHRTVDTILQEIIDERRAARDAKLRARGGAVGREDVDVDVDENLVDVLVGLQEKGGFGFTLTNSIIKAVILDMFAGGTGTSGSAMEWAMSELMRNPSVMAKLQGQIREAFHGKTQVTEGDLQQAIASNQLRYLKLVIKEALRLHPPAPMLVPRESIEACEVAGYTVPAKARVVINAWAIGRDPRYWGPDADEFRPERFEDGAVDFTGGSYEFLPFGSGRRMCPGFNYGLASMELALVGLLYHFDWSLPEGVEKVDMGEAPGLGVRRRSPLMLCATPFVPAAAAAIA >Et_3B_029094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22049511:22054434:1 gene:Et_3B_029094 transcript:Et_3B_029094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHFPSDHDEGRVGGPFHRHKSVHKLLGGGKVADILLWKDRNLSAGVLVGATLIWYLFEVVEYNIIPLLCQIAIFAMLMTFIWSNAAPLLNIAPPRVPEVIISEHAFRQIAQNIHYKLAYTVATLYDIACGKDLKKFLLVIGSLLVLSEIGSSYSFTSLLYLGFLCAHTLPALYQRYETEVDHLVARGGEDIKKFYKRIDSNFLNKIPRGPVKSKIYIEEEQCDWRMNVEEVQNNARHSKLTVKYTGKVTCANTNSITHVIASIGDIRSSKRNDMALPRRSPTDLVQKA >Et_3A_023192.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:8809223:8809537:1 gene:Et_3A_023192 transcript:Et_3A_023192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMLGAVRAHSLSVSHSSGPSLLSVNRSLEIFFVGPISCFCRHISLYVELYFSILKQINYSYRVFWFTYSSYLFCSATTTTQGSKGFFTRLHRAFFFVIVAYL >Et_5A_040538.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6778563:6778805:1 gene:Et_5A_040538 transcript:Et_5A_040538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCWCSTWCCGSTSRTSGRWGACWRRTALVPWSPCGRTASCCESDHLDHMHKTCSTFCLKRNSLVFDLHCRIGLSITSG >Et_2B_021064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26128412:26128805:1 gene:Et_2B_021064 transcript:Et_2B_021064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRNPAPAMGSFMAVLAHADSWDVALMVLGLLGAMGEGMSMPMVRLLLYTRMANDIAQGPDHVHNFTSKINANTRDIFFVACASWVMAFLGDHCTAK >Et_10B_003202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17662299:17667334:1 gene:Et_10B_003202 transcript:Et_10B_003202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPRKSPQTLEDMVRALRDAVEKSEGNTGSMVAALGSFENRISAIDAAMRPAQVRMHAVRMAQENIDRTIDVAEDILIQFEIARRAEPTILKGPHEDLTRYLEAMDQLKGIIRFFSSSTNSKSSEGVLNHVKPLN >Et_7A_051018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13720565:13724103:1 gene:Et_7A_051018 transcript:Et_7A_051018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EEERIEPPPYIHIESNDPCGERCLNVHTNTECTPGYCRCGVYCKNQRFQKCQYARTRLVKTEGRGWGLLADENIMAGQFIIEYCGEVISAKEAKRRSQAYETQGLKDAYIIYLNTDESIDATRKGSLARFINHSCQPNCETRKWNVLGEVRVGIFAKQDIPYGAELSYDYNFEWYGGAMVRCLCGSASCSGFLGAKSRGFQEATYLWEDDDDRFSVENIPLYDSADDEPTSISNKHNNTIQSTDNSGTTQIHEFVPMVVEPLTASINERTPMSIDPLSASSNEFTPMTIEPLNAIPMGGDLVENGSIEYSALDTHGAPQNTMQQVANQQNQTESQNNSDHSQLVPVKLPKVRGRKPKHGPRKQLLNIPDICDRLASSVAREEILYCEVVKNQAASDIAALYDEIRPAVEEHERDSQDSVSTSLAEKWIEASCCKYKADFDLYASIIKNIASTPLRSKDDVSAREQNGMKYLENGP >Et_3A_025281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28526149:28526791:1 gene:Et_3A_025281 transcript:Et_3A_025281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLSVHAAAPPLPPPQQHALRQDQVCLVLYLKREWSEASPNQNTVLDSGHREFGRTIVNDWAIYDSLPDVQDPSAFGTPIVARARGHHLQSGMQCSQWFLTCSIVFSNDSSYPGSTLAITGMLQTNCKEGEWAIVGGTGKFTRAQGAIFYNKVKKMPGIGEILQLHMGVWYTPIDRST >Et_3A_025561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30769949:30796453:1 gene:Et_3A_025561 transcript:Et_3A_025561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVATAAAALLATLLTACVAAPATGKTWITEEGGGGRDNSTASRAVTYDGRALILDGARRMLFSGDMHYPRSTPEMWPNLIAKAKKGGLDIIQTYVFWNVHEPVQGQYNFEGRYDLVRFIKEIHAQGLYVSLRIGPFIESEWKYGGLPFWLRDIANITFRCDNEPFKLHMQNFVTKVVSMIKDERLFYPQGGPIIMSQIENEYKLVEAAFHSKGPPYVRWAAAMALNLQTGVPWVMCKQDDAPDPIINACNGLICGETFLGPNSPNKPALWTENWTSRYPVYGQDPKFRSAADLTFAVALFIARKKGAFVNYYMYHGGTNFGRFASSYVTTSYYDGAPLDEYGLIWQPTWAHLRELHATVKQSAEPLLWGAYSNYSFGQQEAHIFETDSECVAFLVNFDKHKISNIQLGKDRFQIAPKSISILSQCMKVVFETAKINAQHGLRTAQLVQPLNQVDRWEVFKEPIPLAASKTSHVGNRLFEHLSTTKDETDYLWYLATYNYRAKGNGQLMLNVESHSHILHAFINNDYVGSVHGSHDGPGNIVLKAPISLRKGQNSIALLSVMVGSPDSGAYMERRTFGVWKVSIKRRQQRPRSLNNELWKHQVGLYGEMNKIYTLEGSSHVQWTLVDKSMHLPLTWYKTTFDTPWGNDPVALNLSSMGKGEVWINGESIGRYWVSLKTQSGQPSQSLYHIPRYFLKDRDNLLVLIEEMGGDPLQITVNTMSVTRVYSSVSEFSTPSTVLQEKHPAVHLRCQKGKHITDIEFASYGNPIEDCRESGRSCHGSCHAEASEFVVKNACLGRRKCAIAVQAAKFGVKNCNSNSDMMWPKLVAEAKDGGADCIETYVFWNGHEPAPGKYYFEDRFDLVQFANVVKAAGLYLMLRIGPFVAAEWNFGGVPVWLHYVPGTVFRTNNEPFKRHMKSFTTYIVDMMKKERLFASQGGNIILAQIENEYGDTEQAYRAGGKPYAMWAASMALAQNTGVPWIMCQQYDAPDPVINTCNSFYCDQFQPNSPTKPKMWTENWPGWFQTFGESNPHRPPEDVTFAVARFFEKGGSVQNYYVYHGGTNFGRTSGGPFITTSYDYDAPIDEYGLRRLPKWAHLRDLHRSIKLCEHTLLYGNTSFLSLGPKQEADVYTDQSGGCVAFLANVDSDNDKVVTFRDRQYDLPAWSVSILPDCKNAVFNTAKVQSQTLMVDMVPERLEASKHHQWSIFREKTGIWGRNDFTRNGFVDHINTTKDSSDYLWYTTSFNVDGSYSSNGSYAFLNIDSKGHGVHVFLNNELTGSAFGNGSKSSFSLELPINLRTGKNELALLSMTVGLQNAGPFYEWVGAGLTHVNISGLRNGTIDLSSNNWAYKIGLEGEYYSLFKPEQGSNQRWIPQSEPPKNKPLTWYKVSVDVPQGDDPVGIDMQSMGKGLAWLNGNAIGRYWPRTSSMDNRCTPSCNYRGQFSPNKCRTGCGQPTQRWYHVPRSWFHPSGNTLVIFEEKGGDPTKIAFSRRVVKSVCSFVSEHYPTIDLESWDNSTTNGGSAAAKVQLSCPKGKNISSIKFASFGNPSGTCRSYQQESCHHPNSVSVVEKYPSQMRASGRIYAPKSPKHLPSKRTVPSELSLLSSQIPIGNERTSNRRHNLIFNQGKAKGL >Et_1A_008245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5616425:5628415:-1 gene:Et_1A_008245 transcript:Et_1A_008245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRERDGAGRRAHAAMVGSQLINAGYHVIAKLALNVGVNRVVFCVLRDVLALVVLAPLAFFQHRGSHAKALPPLTWRLLGSFLVLGLTGIFGNQLLFLFGLSYTNPIYAAAIQPSIPVFTFILAVIMGTETVSLISNEGRAKIGGTVVCVLGAVLMVLYRGPAVFGSEELELDMPEPSGPFGLQKWHIGVLCLIGNCLCMAIYLAFQTPILTKYPSSLSLTAYSYFFGAMLMVVCGVFATNDKDDWTLTQSEFAAVVYAGLISSALNTFLLTWSNNLLGPAMVALYIPLQPVMSALLSMLCLGSPVYLGSIIGGLLIISGLYLVTWARHTEKLINNGAPYVRCSSESLDSASQVAKNGNLVSDSFISLSRLWNRDGEGRRAHATMVGVQLVYAGYHVIAKQALNVGVNRVVFCVFRDLLALSVLAPLAFFQHRGSPAQARPPPLTWRLVGSFFLLGLTGVFGNQLLFLLGLSYTNPTYAAAIQPSIPVFTFIIALVMGTETVSLVSKEGRAKIGGTIVCVLGAVLMVMYRGPADIGLQQWHIGVLCLIGNCLCMATYLALQALILVKYPSSLSLTAYSYFFGAILMVISGVFATNDKRDWSLTQSELAAVVYAGVMASALNYVLLTWSNKILGPAMVALYNPLQPVVSALLSMVFLGSPIYLGSIIGGFLIISGLYLVTWARHREKLTGIGVSYVKCASESREGASNVIKSGTLVSVPSISLSRLWNVPHES >Et_5B_043519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11443673:11444538:1 gene:Et_5B_043519 transcript:Et_5B_043519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTNLANWFTNKEEETSFTMMFGTDYWGLCGRFVMDAAVHEMADVFDGITSMVDAAKAVAVTFPRIKYLVLDLPQVIDGVPSDGGLVEFIVGDMMDFIPQADALLLKIANIIVDCSLKPSYIIKTILKRCKDACKEPGGKVIIIDAVVGSTSQQICHETRLLFDLFMSTLTPGKEREEKECKLFQEARFSNYKIRSMLGFRSVLEDFP >Et_3B_030620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5561454:5562978:1 gene:Et_3B_030620 transcript:Et_3B_030620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPDLSRVLPRVLIVSRRTVRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVAGVHTLLDSFEPIHGVLLCEGEDIDPSLYEDDDASAQLSPEQLEAVRRLHPSDAAVDREKDSIELRLARRCLERSIPFLGICRGSQVLNVACGGSLYQDVDHELSASAAVQHINYDDYDGHRHPVRVLPGTPLRDWFADSLDEDGEQLMVNSYHHQGVRRLAQRFAPMAFAPDGLVEGFYDPDAYGPGEGKFIMGLQFHPERMRRPGSDEFDYPGCAWAYQEFVRAVVAYQHKLAASAAPPPKLNPAMQKQRKVIVRSFSLAKDLYVSGGGRTRPEEQRELDAGAEFLESNTALSVQQEKRLKQMGATVRNASGYLNSLKLNETREAAARALMAEMTVDQLSGLASFYHTMGKICSEVLDRKLQSLHLQV >Et_10B_002838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12187221:12190161:-1 gene:Et_10B_002838 transcript:Et_10B_002838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLRRRRSVLPVVLFFLAVGLTIVEHLQPRDGRGLQDQRAVPVGGGLRVAGSLGGQVQERAGHGGFSVTPATDEESARLNRIFANAKEGADGYDGRVEWDENDLPYVVSRTMAGLHRRRSQLLPVAVFLLLAAAAAAAEEGDFKISVRYPSAEDSEWLDRWAAKYKKAPAGSGSGSAAHGGFTVTPATDEESAHLNRMFADARKGAAGYDGRMEWDDNDRPRIVVDAIHQSAAGSSSKVDDDLGSRREEKARVEVDKH >Et_3B_029108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22155596:22162978:1 gene:Et_3B_029108 transcript:Et_3B_029108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLTGTIPPSIKNMSKLSLLDISFNSLTGTVPRMIFADSLTELYIDENRLSGEVSFMDDLSRCKSLKYIVMNSNYFTGTIPNSISNLSSLQIFRAFENQITGHLPTLPSNRISFVDLRNNRLTGEIPISITELENLQAIDLSSNTLSGAIPAHIGKLVNLYGLSLADNNLHGPIPNSISNLSRLQVLELSTNHLTSTIPAGLWGLQNIVNLDMSQNALSGSLTEDVGDLKSISLIDLSSNQLHGKLPTSLGALSTLSDLNLSKNMLQDQVPDTIGKLSNIKTLDLSYNSLSGTIPKSLANLSYLIGLNLSFNRLYGQIPVSGVFSNITLQSLEGNIALCGLPRLGFAQCPNNGPNSHNRFIFLKVVLPSVTVTGIIGAFLFILIKTHVKKGSKEQPLCSLAANEYRAVSYFELVRATNNFDADNQLGAGSFGKVFKGQLDLDGEQTVAIKVLNMELERATISFDVECRALRMAPHRNLARILTACSSLDFKALVLQYMPNRSLDEWLYSNSRCGLGLVQRVKIMLDVALAITYLHHEHFEVVLHCDLKPSNVLLDENMTACVADFGIARLLLGDDTSIVSTNMHGTIGYMAPEYASTCKASRKSDVFSYGIMLLEVITGKKPTDTMFKEGLSLREWVSQALPSKLTAVVDPNIFQDEEATSSGGIQNDDWSSQKEFPNSWRCLEHVLDLGLKCSRDLPDERISMKDVAGKLQRIKEDLQLPTRAITMALFLCIHTMLLVSLIPYAKALTPPSNTSDLAALLAFKAQLKDPHGVLAGNWTATASFCLWVGVSCDRRRQRVTSLKFNDVPLQGSIAPQLGNLSFLSSLVLSNTSLTGSMPKELGSLNQLRTLVLSNNSLSGTIPSTLGNLTMLELLNLDSNNFFGGIPHELQNLHSLQTLRLAVNALSGAIPRGLFNNTPNLRLLHLGLNSLTGEIPDGIASLSNLEMLILQKNLLSGPIPPAIFNMSQLQALGVTRNNLSGSIPGNESFHLPMLQLIYLSGNQFTGLIPLGLSACQNLEAINLAVNNFTGNVPSWLATLPNLTKIYLSTNDLTGKLPIELSNHTGLLGLDLSENRLEGVIPTEFGQLRNLEFLSFANNQITGVVPESIGNLSSLTTIDLFGNGLTGTVPISFSNLLNLNDIYLNMNQLNGNLDFLTEFSRCRNLNTINIANNAFAGRLPGFTGNLSTALQYFVADNNRITGSIPSTLANFSNLLVLSLSGNNISGEIPTQITTMYNLHELYLVRNSLSGTIPAEIGKLKSLVQLHIDRNKLKGSIPISVGSLSQLQYMTLSKNSLSSTIPETVWLLQKLLELDLSQNSLSGSIPTDVGKLTAIAKMDLSGNQLSGDIPISFGELIMMIDLNLSNNLFEGSIPDSLGKLLSVEELDLSSNVLSGAIPKSLANLSYLAKLNLSINRLEGQIPEGGIFSNITLKSLMGNRELCGLPRLAIAPCQNNTNHLRSKLLLKVLLPSAIAIFSSASCLYLLVRGNINKEGKLPQPSDTTLLSYQLISYHELVRATSNFSDDNVLGVGSFGKVFKGQLDDESFIAIKVLNMQNQSASKSFDTECRALRMARHRNLVKIISTCSNLDFKALILEYMPNGSLNDWLYSNDGRQLNFLQRVDIMLDVAVAMEYLHHQHFEAVLHCDLKPSNILLDEDMIAHVSDFGISKLLAGNEHSIELTKFGSTGKASRRSDIYSYGIVLLEVIARKKPTDPMFEGELSLRQWVSQAFPYELSNVVDYALLRGKQNHDIEGASKLPADPNSFDTCLTSLIDLALLCTRAEPDERISMSDVVVKLNNLKSNYSSQLWK >Et_3A_023034.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:19747235:19747993:1 gene:Et_3A_023034 transcript:Et_3A_023034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNTVLVIVDQTYAALRVILGLAAAAPTTSDDGHVEVTRPVDPADPDSPLLCVNASARHCSIRLVNGGLLHGASAPKQYRLARASVSVSPGSLHVAHIAGDGSPPVEGWKCADVRPNVSEKGLLAVLDTIRSRLDAAIRVEAKMIEIAKASGVVKSRKVSEIVDARLALERMRAELDIDAIMRRRRQKRRRRDVQEISCWADAADRVDEAEVLAKRLRTLHVSRTRCQPAVEMVQVNDADVLMKRLGALQV >Et_2B_019362.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25476947:25477225:-1 gene:Et_2B_019362 transcript:Et_2B_019362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECNARGGPCRDGRGRGCGLALGRLVRKLRRQSRLLCTASARPAAARCQQYDPLSYARNFDFGTALDGGADGYSSFASRFVLAASAARQQQ >Et_3A_024225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18674021:18677911:1 gene:Et_3A_024225 transcript:Et_3A_024225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVAVVVPPLAAPPVFSPAAAADPIVAAVAEAMEGVPVPAVPPVRTASAVEVEDALPPGAEAEGGEEAAAGSPCSVASDCSSVASADFEGVGLGFFGAAAGGAMVFEDSAASAATVEAEARVAAGGRSVFAVECVPLWGYTSICGRRPEMEDAVATVPRFFDVPLWMLTGNAAVDGLDPMTFRLPSHFFGVYDGHGGAQVADYCRERLHVALVEQLRRIEGTVSAANLGEVEFKKQWEKAFVDCFSRVDDEVGGKASRGGSGGAGTSDAAAAVLDPVAPETVGSTAVVSIICSSHIIVSNCGDSRAVLCRGKQPVPLSVDHKPNREDEYARIEAEGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPVPEVTIVPRAKEDECLILASDGLWDVMTNEEVCDVARKRILLWHKKNGTSSSSAPRTGDSADPAAQAAAECLSKLALQKGSKDNITVVVVDLKAHRKFKSKT >Et_5A_040822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1369729:1371350:-1 gene:Et_5A_040822 transcript:Et_5A_040822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHAAVASSAKQTRTRPTPPPPSTPLVHLSFTRDASCFIAADASAVHWRSCDTFALRGLYQERDAGRTVVAAAGDMRHEKASICAPGYINYHWRYNDWEAAVAEVRAVHVHGDMTVLVLDGRVDVYGAGVLHRVDTGRGNPLGLCAVSQGGVDAPFVFACPGAGVGEAHVERWAGEFKPLSIPAHSSALACMAMSRDGRLLATASVKGTILRVFCAAAGVLLRELRRGSDRADIHCLAFSPDSKWLAASSDKGTIHVFNVNVDLTSSPEHGDDGDAPNATTKVNQGWSLSYFSGFVPVPRYFRQDYSLAKLHLREGVKYMVAFSHEPHTVLIIGMDGSFYRCQFDPVNVGEMKLLEFRNFMNIE >Et_3A_026941.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27226619:27228259:1 gene:Et_3A_026941 transcript:Et_3A_026941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINEWAIRVAAICSLAAYVVLALVAGVRRREATSPGTLVVWLAYQVADAAASTAISKLTFGSTPREQQLVALWLPFFLMHLGGPDNITALSLEDNKLSKRQVFSTLYQMLVTVVAIYKQYVAGTGTGPLLWASVAMLAVGVVKFFERAFAFWKAQLDKIRTSTSSKEQRDSLRIQPPRRSDEQLGDERALLVAHELLHITKGAFADYWVKKNPLQHDNSLKEIFSVSHTHNSGWKNMCKVVEMEVSLMYDLIYTKAAVTHTWIGYLVRVASPIATAATTFLFWLSYNKDGQRIADVIITYTLLVVTILLDVWWLLRAAASTWTYAFLNAMPECWLHHEVLCSGKWRQLRLAAIALDLREWRLHKRQRGSYRLWSRTIGQYNLFEKCTYGDTKISLISKAVKMVAPEDKWIEYNYSKRLDLHKSGRVHDLLFEKIEQTLEFQEPKPKEEEKKKADEKPAAPAPRPDDGFRARRRLDEAMGFLPELQELILIWHIATDIFLWDNQQLMESGEHWKHVETIKAMSNYMVFLAVARPDMLPGLKISSLCA >Et_3B_031153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18587365:18590571:1 gene:Et_3B_031153 transcript:Et_3B_031153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEALPDSPSCLADDDGDSGGGGGRPACEDTEQLGYKKSPRWQHLKYSGDDADLDILDPGRGLCKEILKIQKFRRIASYAGFYCFTTLIAYAYTSNTTRAGISRADQYYASYPAGTELLTDTAKLYKAALGNCFEIDDWGPIEFAIMVKHFERQGKPPYAYHAQYMAHLLSHGQLDGSG >Et_1A_009031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26374655:26376883:-1 gene:Et_1A_009031 transcript:Et_1A_009031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLARWHPPPTAAAPAARLLLPSRIAFGTRRRTRRAATVVAPRAFGRSDFDGFVRRAWQGANAGAERLAFEARQTAKRLNERYAISRRLSEAARAARERAVEIDAELGIGRRWRTFSVDFSRNWPRTLLFLWLALSGWLFRIFIFGTFVLPFAAPLLLGTFANRVAIEGTCPACKRRFVGYRNQVIRCMNCQNIVWQPNNMSSGGARSSRNSEPDVIDVEYEEK >Et_10A_001496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4077173:4077819:-1 gene:Et_10A_001496 transcript:Et_10A_001496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIVSLAFFGCLVVAANCKYASTSAYSCIITNTVFHCTSTRAVLSEKHFIIGDPQPFEADGTGRIYLKNLGNTSTNATAVNSSSSNGSKVKLIFCIMPNICLKPPCFCCMNPKKCFDTKEECKKNCIACDPDCPPQTTLHGGPLDAIVNVYIDKIIENGLHNFSSSLNLSPR >Et_3A_024131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17737067:17738347:1 gene:Et_3A_024131 transcript:Et_3A_024131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGTLEAARRNVICELAKLSKKVRLTVFVFGDSTVDTVNNNFISTVIKSDFAPYGRDLRGSSSGNSQPTGRFSNSRVAVDFISEAFGLPPYHDPNISMSSLATGACFATAGASYDNATSNLFSVLSLWKELDYFMDYAAKLWSFLSDDRAREMLSEAFEYGSPSRHRGVIRAGAARAQGGLEQAPADGLPPDGAPCRQRRVQRGIQLRGPKLQRGGPRPGGAHGHRARAAPGLCTMTCTTTWRTCSPTRRRTSLRMSTSSDARGITGRFEMGYMCNQAGPAHVRRRGKYALRNAIHPTEHLHCILACREDDEHHALRIHVMNNVELNHCLARCSTSQDRYRRRPSWLFDR >Et_10A_000056.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20771472:20772120:1 gene:Et_10A_000056 transcript:Et_10A_000056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPRATAIDDDDLSPAAFSDDGGSAGDATAVEDLPADVLALVLRRLDGASLAALGCASSAFHGLASDPDAWRDLCLAQWPSVRDALPLLHGDKGYDYRQLFADAFPFPSSRSPAPAPSSATTASPEPLPLPARLVSAVDLYYAGASIMSRVVETDTSSAWFLGSPFRVDALVQEAFTAPSAPIT >Et_9A_062356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22145610:22147980:1 gene:Et_9A_062356 transcript:Et_9A_062356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDARDCILDATKFLAVYVLLSLSGVGIKKKRQLWIIANLRVAVEGGIMEEGRKRDPAAAFGLPAPVRAGASLLGWIGIGVMGGAMAKHLLAAGYAVTVFARSPAKADSLVVAGASLADSPAAVAAASDVVFTMVGNPGDVRQVVLDPATGALAGLRPGGVLVDCTSSSPALAREIAAAARAAGCHAVDAPVSGGDVGASEGTLAIFAGGDESVVAWLAPLFAHLGKPTYMGPPGSGQSSKIANQIAVAGAVVGLGESVAFANAAGLDAPLFLDAISKGAAGSRVMDIFGDRVLRREFMSGGPVKYMIKDLGMALEVGDDQQEANVLPGAALFRQMFSAMAANGDGDMCLQGLITVVERLNGIRSRGEQMNRAKNGNIGLRVDERHELCSLRAPHRMLSTSHRSSAEESRKAKQRMATPGSLITTGLFTSAK >Et_4B_037010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13489847:13490677:1 gene:Et_4B_037010 transcript:Et_4B_037010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYITSLEESFVNQLYNGEVSLMGLLCQYPGAWHKTSYNRNGRNTEVDQDYWGMPETDGAESRSSQAEYPGSPSCSGYQENGIASFMNDDTSTNCPQQAGTINHARSKNTGRFAASYLRWHGRSLPRRTESSGQNFTDGETEGSREYNRGCRERRQKQKAGSASSSRE >Et_6B_050177.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:953065:953751:1 gene:Et_6B_050177 transcript:Et_6B_050177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVHPNSGVVPISATAPPPAAKEEATVLTVWRKSLLFNCDGFTVFDAKGDLAFRVDCYDQASSSPSRRAEVVLMDVAGKPLLTLRRKRLRLVEQWVIYDGDAAAVKDAKPLLSVRRHVSFRSSSKGAVAHVTPLGSSTSESSYVVEGSYGGRACAVRDGNGDAVAEVRRKESVGDDVFRLVADPRLGAPLAMGLVIALDEMFQPKTGKGRGVSGSARSLLRRTWSA >Et_4B_038778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4838327:4838700:-1 gene:Et_4B_038778 transcript:Et_4B_038778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWWDRVVLPVRRVWLGVASRFGVRQTGLWRLRQEVSTCEYEDVHVMWEMLSRTTTAAPAPPAAAARRHSRFRPQPRPWTDRLRLCGGF >Et_5B_045677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:727565:730286:1 gene:Et_5B_045677 transcript:Et_5B_045677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGFLLIQDHGEKEMIRLAFGPEALLHTVMAKARKELGLLPASGPGTPTSVTAAAAAAHSPFMLSRQNSGRCGTAPSPLSVSSPSSWAPPPVFSRSNGGGANGAAEEMAGLGEELMSPANGNGPPSPFFGAPNAGDPLLDELQLQDQLAFLNDGGVGNGHQLPLFDGSECRSPGAADGGFLPYGGLGWANAGRAHRRSSSVSELCLGGGAEGLGWKPCLYYARGYCKNGSACRFVHGGLPEDAGGKMDAAALEQQCQDILLRSKSQRLAAAFPYSPTGSLPGSPSAAGKCLSLLLQQQNENQRAAAAAAAAALMLGGDEAHKFMGRPRLDRADLASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKKQQLSGERLDFSNGLDARDPFDLHQLGCCIIMPPGARMLQHSNSANEMLLRRKLEEQQQAAELQQALELQSRRLMSLQLLDLKSRSPAPPSPIGVPFSPSRAVGSPPVESPPDSGEQGNGTSFLISQRRPVNGADKDESGGDASPNTDSDQSAEHNLPDSPFASPTKSAAFAHDPFAPTTLENSAVSTGCNASYVGINNGGSLTNPLRPSALDIPSPKTYFFPMSRLSSDHGAGAIGM >Et_10A_002113.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:2296966:2298930:-1 gene:Et_10A_002113 transcript:Et_10A_002113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGIRRRKGRGVRRALLWLAYQLADSTATYALGNLALSGNTLGDHQLAPFWAPFLLLHLGGPDNITAYSLEDNKLWKRHLLTLVVQVLGAGYVLYIHFSRRSGALFSLAAVFMTGVGVVKFWERTWALKRADFSSIRSSVKTEAPPKFDIHLLEDPGFKEHVVREEILMRRAHSLFHICKTAMVDSSVDKDEAAGSYHTKVLLEEFKNDKTERMWALMEMELSLMYDILYTKAAMVHTLHGYCVRVISPVVVAASLLIFYFVGGVNDSHRVDVAVTYVLLVGALLMETASLVSALLSTWTFAFLCSTRWSGLRHAALCSGRWERLRRVVVALRRLAYATGIGDYFRLSQRWSGTIGQGNMLDMCVRRWDEDHLLLSSACRHPPLLGRWARRMLLGHRTWTVDVPEQVKDSVVDYIKDIIKNRDINTLGVIRKHWCESALERWNGRNKNVRIKPKHIGAELQEAIIIWHIATDVFLVRRGQPRKREEKAHVEAVNVLSNYMMFLLVKRPDMLPGLAHNKLYERTERSLAKEWSKVAKSSHQARSSIWMSNSDSRLQQREKLANSLHDDPPTWDDNNPENFRLYYGVELAQELLKDDDTLTLVFEVWTDILIYTANRCSREAHAKKLNSGGELTTIIWLLTEHLHQSPRTKAQPT >Et_6A_046528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16586872:16598971:-1 gene:Et_6A_046528 transcript:Et_6A_046528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTVWEQPITTLDSWDPTLVNPCSWEYVTCDNESHVLELSGNGLNGSIPTTLGKLSNLLNLDLQDNLLSGTIPASLGCMGTTRRDRYPSSLGNQNNLVSLELQKNLLSGPIPASLVSRHYGDILFMQRAAWQQPITALDTWDPTLVNPCTWEYVTCDDESHGFEKCRYVRPSDPRVGRSEEASVHQVAGEQPDGIDTLIFGESNQPCELGTSEEFAEWTHYSLPRQYQDTTVSEPEWKYANRHVWKEGAIDAISTICASHVKVSGIAYYVMKLGLTGEKIRADKHDLMKTISKCIGMRSHNGGDNDGC >Et_1B_010167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27335730:27336509:1 gene:Et_1B_010167 transcript:Et_1B_010167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGHGYYGGGNSPPQGYGYGGGYGYGGGYGYDAGAYGAAAGGYYSTGGGYPSAPAPYVDPLAGQRAHEFPAPLNGLEFQPSETCPKNYVIFDQTCTKSRVMFHPSLANKLGGSSGGGGHEYDHYDYDYYGGAGDVGKGAYRDTTTNDDDSCSVRQKEDTLEIDALLSSEEDDVDDDGSSPDSTCSSSYGSGKRRPKKERMKKMMRTLKGIIPGGSQMDTPAVLDEAVRYLKSLKVEAKKLGVRGSDSS >Et_4A_034102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:287271:292351:-1 gene:Et_4A_034102 transcript:Et_4A_034102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKINKACDLGSISVLPPRRTGGSGGAGASGSSAAAAVGSQPHHQRSQTLSQQSFSQVGGGSGGGSSLLHSQSQLSQGSLDESLLTLHLASPPRDQRFGLHDGSSKKMPSLPVTSASGVPEESQLQLAKISSNPVHRWNPSLPDSRCQVPNEDVERKFQHLASSVHKMGMVIDSVQNDCMQLNRAMKEASLDSGSIQQKVVLLDNSIQKIGVVRAIRSLNGRPAAMQIPTNQSCTTSEKPLMNLQPAANERPLMNLQPAANERPLMNLQPAANERTQMSQTPVATLVTQKAANGRPLVTQTPANGRLLVTQTPIANGRSLKSQMPVANAKALMSQTPVENGRPLMYQLPAANGQSQTNQKPKASGRRRTNQVPAPKLNAASLACPAKMADPKLKTEQVKTKAIPQKLTGSGTRVKPKLEEVPNRNVTLQGATKKASPVMIIIDSDDDSDVRATCVILKTQTGGEEESLEMMKQATEESQQILRRARKRRRREMQAIVPAT >Et_1B_009844.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:32481329:32481703:-1 gene:Et_1B_009844 transcript:Et_1B_009844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPAASTLFAAGFVLCPFAAFAPSGRNLTELLPELGGLLATLFRHCREEYLYLLAVVRRRRRRS >Et_4B_037097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14639485:14642203:-1 gene:Et_4B_037097 transcript:Et_4B_037097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHFVCFFKMNLHVTWLDLELHSPEPDNVWDPLPIRTLLLMCPRLASFPSRRKQTCGDEIHRGDVHHGGILRNHSSTPIGREDRSAGVLLCDGIQAPAGANHCWIQAQIFKIVLLLGCKMWGFASNAWASGLGKKSPPNCNLSNAACSDDEASSCTSREEGLECPICWESFNIVENVPYVLWCGHTMCKNCILGLQWAVIKAPTVQIQLPFFVCCPWCNLLSLRILYKGNLTFPRKNYFLLWMVEGMNGERVRSRSAIHTEPQTTWISSGSRANGSASYSNPVRRPLPPQVDTASSRANHATNGVPLLNAERVQASLRKSLSFLVHLTAKFPLVFFFLLIVFYAIPASAAVLLLYILITVLFALPSFLILYFAYPSLDWL >Et_2A_017210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3234363:3235684:-1 gene:Et_2A_017210 transcript:Et_2A_017210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHVGPMCNGEWSPSDIDEMKSLIVKHNNMINHGDCYGMNSKHGDIMDVLQARFPWKEKLQVTHLYLDIMVEMMQCQEKSGTGPAVRSVDLVVKNNIGTSVKDPSMGNMEMMRGSLTIEDTTIRKVVQKPSRRLPAVQPTKHNKRFWSIEEHKLFLRGLRVYGRGDWKNISTHFVKTRTPLQVSSHAQKYFRRLEGTTARQRHSINDVGLYDDDPWMSRNSIGWEAPAFTGSSYNLNDYITHGQASTQPAMNNLTQVWPPFVNNNVHASTSQTTFCGDHQQMRYSAAPPPMEGTVGNVIPRDQPGALGPQQ >Et_8A_058504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9869048:9870989:-1 gene:Et_8A_058504 transcript:Et_8A_058504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRRRSSPAASPPLDNEDILGEILLRLPPQPSSLPPRVPGRWRRVVSDPWFLRRFRAHHRDPPLLGFFEHRHRIGLSSGTRPPLSHIDVAFTSLLDPPDRIPPQRLSLERAAAAAGRVTELLGCRHGRVLLVNTSHVGKFPVFVCDPVTGGHARITAPPEFTNPFINGAVLCTATDTEQGHVHGACHWSPFKVVLVSMSTADRPRRPMACVYSSETGTWGNIIWDDPCLIIEFTGPSVLIGHALYWMISFGDQEDLDGNIPEPDGILEFDMERRSLTVMKGPPIELRYNMQIVKTTDGAVGLAALSYPLILQIWHRNVSSHGVATWVLSQTFDLNNILVLHEQYSGPMPRRRERILGYVEDEDFYCKWLAHRDCHQAGIMWLSSFCQRSVFELDAVSRTGPLHI >Et_4B_037080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14360435:14364867:-1 gene:Et_4B_037080 transcript:Et_4B_037080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSGAMPAVLALILLITFMFAGSPAVRAESVVDAAGDKLMMDRFVRWQAAYGRSYATAEEKQRRFEVYRRNMEHIESTNRGGSLTYQLGENQFTDLTPEEFLDRYTMKGMPKLVDDDGIKGNASFADVDAPTSVDWRSQGAVTPIKTQGSCSSCWAFVTAATIESLNKIKTGRLVSLSEQELVDCDPYDGGCNRGHFTNGYRWVIENGGLTTSADYPYQGRRGYCNQAKAANRVASISDYVRVPSGEASLLRAVAQQPVAAGVQMAGDLQFYSGGVFSGECAGRENHGVTVVGYGADAATGLKYWIVKNSWGTGWGEKGYVRLRRDVGGGGMCGIAVDLAYPVICAKYARVDALCVIHASRSEQSRNTHDSMAFPREATSVLALILLVTFMFAASPAAAGTVDDAGDKLMMDRFVRWQAAYGRSYATAEEKQRRFEVYRRNMAHIEATNRDGNLTYQLGENQFTDLTPEEFLDRYTMKGTVGNSKQANVSFSEGVAVDAPTSVDWRSQGAVTPIKNQGPDCSSCWAFVTAATIESLNKIKNGRLVSLSEQELIDCDPYDGGCNLGYFVNGYRWIIENGGLTTDANYPYQARRSYCSRAKASNYAARIRDYVQVPAGEAELQRAVAQQPVAAAIEMGGNLQYYSGGVYSGNCGQRMNHAITVVGYGADASTGLKYWLVKNSWGTSWGERGYLRIRRDVGRGGMCGIAMDLAYPVM >Et_1B_011868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26700787:26707519:1 gene:Et_1B_011868 transcript:Et_1B_011868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRAYKLRIPELTDWVDLFGAIEVDSKNLLYGAPAVLLFTVHVEEFVAHASNVNCVKFGSRTSRTLVTGGEDLKVNLWAVGKPSALLSLTGLASPVESVSFDSSEIAIGAGAASGTIKIWDVQEAKVVRTFTGHRSNCASLDFHPFGEFLASGSSDTNMKIWDIRKKRCIHTYKGHTRRINVLKFTPDGRWIVSGGADNSIKIWDLTAGKLLHDFILHEGPVNCLDFHPHEFLLATGSADKTVKFWDLETFESIGSSGPENSREYFAPANTVRSMKFNSDGKTLFCGLHESLKVLSWEPIICHDVVDLGWSTLSDVTVDDGKLLGCSFNQSCVGVWVVDLTRTEPYAVGCADSHLTESVNRPLRADSSISSVFDRLSGPRNPAGEIDSNTRLKRSVSASKEILVSASSTFPKRLSKEREATDLHLTRSDSVPLLSPRVRLDPIFVDDKKRQPAAVESLHIPKSSSTVYPSPNAGVRSHHSLAPVAPKNKSRSHISAHSNKESSSAPVHVPRHSSKVDAGLSLSEAFIGNLPVIEPENIIKRDLAVNHGKEDGKLVKRIDSMSLNKDAEVGCRRIADDVECNKVIPETRMRTPESQKVHECILQSEHISAQRKCITDYLGLGDNNFSRLVCSESLDSNEVGSQYDMSRFEKRNSAAGRNPEFANMNRSAVIRLSQLMESSGRHAVTHGRRSSDLTFSSSSSFYPSEKSPSSLVVAGPSSSNFYNIQYDTTFDGLRWHASLAERQSKSTSDEDAMEFLMENHQEFVHVIKCRKTKLEEAYCYWRGNDIKGFLDATCRLSDCAVTADVISILMENSDCITLDTCTYLLHLSSNLFESAYDRHLIVALEMVLKLVKSFGATISSTLLAAPAVGVDLEAEQRRRGEVRRLAQELSLILQDILQLPSMAIP >Et_9A_063332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20338243:20339691:1 gene:Et_9A_063332 transcript:Et_9A_063332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNRLSSMDGKNFGNGQKAQQDNRDALADSVPSKDLETLKLYSDSDSGTNSPVNGHESADVNMEAAISTEDVVRAGGFGAKDDIGSLLPTAIDSTDFEASIRDARDFEGEREEPSHPGLGWKGEEADDGNKASDTSL >Et_4A_034002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2928854:2931044:-1 gene:Et_4A_034002 transcript:Et_4A_034002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKFDKYWNLNFNTTLVLSTVLDLTKKMDFLGFFYEKVCRISNDIDICLSMAKEWLQNGPYKNPF >Et_3B_028873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:245228:247035:-1 gene:Et_3B_028873 transcript:Et_3B_028873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAHLGSGGGGLLALDASPRALGFLNLLSPFRTTMGDRRSVEVDFFSDEKKKTSREEPADHAIKKEDLTINVRRTFPAGLSIATSFLFLLSLLPGENKKSNNNTKNTTEEDLASMQAELGRMNEENQRLRGMLTQVTTSYQALQMHLVALMQQQKHSPPAPTHQLREQEAVPARQFLDLPAAPSDSSTEVGGSPPRRQLEEDQSPPPQAQQQQEASMRKARVSVRARSEAPIIADGCQWRKYGQKMAKGNPCPRAYYRCTMANACPVRKQVQRCAEDRSILITTYEGTHNHPLPPAAMAMASTTSAAASMLLSGSMPSADGGIAASNFLARTVLPCSSSMATISASAPFPTVTLDLTNGAAVPPISAARPPPLPHFHVPPQALYNQSRFSGLQMSSSSSSSLDHVTPPMDTVSAAAAAITADPNFTMALAAAITSIIGGGGASGQQHAAAGNNNNNTVTSSSNNTNSETQ >Et_2A_016691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27227918:27231690:-1 gene:Et_2A_016691 transcript:Et_2A_016691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDKAPMPGDGGFGDGLPPQSSRTAGAPPKSATPPPEYDISRMPDFPTRNTGHRRAHSEILSLPDDLDLSAPGGGDGPSLSDENDEELFSMFLDVDKLNSACGASSEAEAESSSAAGDVGEGNGPGHAPRPRHQHSQSMDESMSIKAEELVGAPGMEGMSSAEAKKAVSAAKLAELALVDPKRAKRIWANRQSAARSKERKMRYISELERKVQTLQTEATTLSAQLSLLQRDTTGLTTENSELKIRLQTMEQQVHLQDALNDTLRTEVQRLKVATGQVSNGGGGIILKTKDL >Et_2A_016846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28832517:28836292:1 gene:Et_2A_016846 transcript:Et_2A_016846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWDQVISLRFQNSGVQQHLTWWGDLLDLPARRVVPLERTGSSQQAYRDTIIMSSVDQRDERDEADEHVDLLLRGAELVGHPGADEKAAEVAAVLARRDERVAPPQRAPELFERPPRGLPPHELRELHLSQQAADDLHVLRQPPARVAVAARRQRGLHDHGHQPERVHAHQLRHVRRLPERAPQAARANGRLSWVLHEEMMTQSYTDT >Et_7B_053993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14005233:14007636:1 gene:Et_7B_053993 transcript:Et_7B_053993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCWIIWTHRNSIIFYNKAVSYARWKRELKAELTLTPLKVKPTINAASSDWVENFQIDTKVHKPDSLKRWHSSDAQHTQVVYISQIFGSDDVSCPQIAPDHQVHGGSLLDPLFCQDILVMELPAKEDEALLHYWDPLMS >Et_1A_004894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14774876:14776668:1 gene:Et_1A_004894 transcript:Et_1A_004894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENMRWLTCDVFKALPKPPVATAAPLSNAVAARPPSDGVDRISRLPAEILRNIVSRLPAKDAARTTALAKRWRRVWHLAPLVLVDAHLLSAIWRGVTDGARHQIAVSRALAAHPGPFRFIYLVGTNMKEFKGMAAAWLDLLAAKRVKELVFVHPATKLEDKVHLPASIFRCTALTKLYIGTWWFPDTADLPRTAGFPYLQELGLCNLVMKEQDLAVVLDRCPVLEKLMIARSRCLRCIQSRSLRCVQVCMALAPEITVADASRLERLLMWEAWGGGDLTKMSSKVKIGHAPMLRVLGFLVPGMHQLEIGNTIIKVNTKASPNTTVPSVRTLGVQVKLGTRIEAGMLPSFLRCFPNIETLYVQVKFDPTGSKVNLKFWKEAGPIECIQRHIKKVVLREFRGKRSELDFLKFIAEHAKVLDEMVIVMTHGYLPSDNAGAKLRIFMASAKWANGCCKMMVLKSPFGEQGTAWCHLRGFDFSIEDPFDVSKCLEGKCVGH >Et_4B_038198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27061025:27062036:-1 gene:Et_4B_038198 transcript:Et_4B_038198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNKDKHCSHDAEACYPPGAASPYLIESPQMRWAFIRKVYVIVAMQLVLTVAVAATVNLVDPIRAFFQSRTKGAVVAFVLILISPIIVMIPMIFCRKKHPINLVLLGLFTVCISLTVGLACLSRNGNVIMEAAGITLVVVVGLTGYTFWAAKKGYDFEFLGPFLVAAVLVLILFSFVRILFPMGKTGTMVYGCVAALVFSGFIIYDTDNLIKRYTYDEYVSAAIELYLDIINLFMAILNMLEGCD >Et_3A_023358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22828279:22828963:1 gene:Et_3A_023358 transcript:Et_3A_023358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDAAGFKLFGKVIQPPDVHQRAAEESATAPPPPPPMTQQTAAPSPPPPPLPPPLPTPAPASTGEPLPCPRCGSRETKFCYFNNYNVRQPRHLCRSCRRYWTAGGALRRVVSASPGRRRPRPTAARSAAAAAATSASPAEEGDSFGGQKDAASC >Et_9A_062109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19793720:19795421:1 gene:Et_9A_062109 transcript:Et_9A_062109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKKSKKSTESINNKLQLVMKSGKYTLGYKTVLKTLRNSKGKLIIIANNCPPLRKSEIEYYAMLAKVSVHHFHGNNVDLGTACGKYYRVCCLSIIDPGDSDIISTTPGQ >Et_2B_022452.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22443911:22444873:1 gene:Et_2B_022452 transcript:Et_2B_022452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSSPRFSSARSSCQIAGRLVPAPRHAPAVRIRSWRRFAAASSEVSLLPARLLRPPPRRRNALREKAPQPPRPLVVRHQVSRPCHEPGSLDSQEPKSVFVFLNAETSLDRDQELENSGDQGASRSVDVASEPESDELDVIEDAEELPLCGTGAGPAFGFYKDPEGNVMQFEADEDKIINWNEATKGEESGDLESMSSLARAMAMEPESSECVAPSRSHNSSRFHFAEAERMGSPVLNGEVSVAQRNVPPSRSVTWSGFAALCGVCIVFVASKLIRSSSKAQLSRKLFDMHRSGMKEYEFDKGNLNVSEMVRNFQMVY >Et_7A_051685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22933087:22935668:-1 gene:Et_7A_051685 transcript:Et_7A_051685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHKNDGRPLVITFGSQHLRCSSRFHSVACIEFEILNIKQDDEEDHMVRCIIRNIVRDWALEGKKERDECYKPILEELNRLFPNRSKQRPPSCLVPGAGLGRLALEISSLGFVSQGNESSYYMLICSSLILNHTKEPTNVLYILGYTATAILYQTTINFDLLNFQISIHQDFDFEPPAM >Et_8A_057353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23944874:23971297:-1 gene:Et_8A_057353 transcript:Et_8A_057353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAAHELQVVIVHGTLTRALLLLLLPLLLVLLIRRFASTTASGRRRRHDNDKWPSPPRKLPVIGHLHLVGSLPHVSLRDLSAEHGRDGVLLLQLGAVPTVVASSASATEAVLRTQDHVLASRPWSAVADILFYGLTDVAFAPYGEQWRQARKLITTHMLSARKVHSFRHDRRHEVRRVIDNLRCKSMSSMAPVDMSDVLGAYTNDVVCRAVLGDSLRQQGRNRLFRELTEINVSLLGGFNLEDYFPVLAKPGLLRNIICAKAKNVSKRWDQLFDKLIEEHQHDTSSHSHSHSHHENNYEGSSEDFIQVLLRVQQEYGLTRDSIKAILMDMFEAGIETSYLVLQHAMAELMINKHVMTNLQTELRSCTQSADMVTEEDLNDMSYLKAVVKETLRLHPAVPLLVPHLSTADCEINGYSIPSGTRVIVNAWALGRDPTLWERAEEFMPERFLQGAPEAAVDMKGKDFQFVPFGSGRRICPGINFGIATVEIMLANLMYHFDWELPTGGAVDMTELSGLTLRRKEKLFLEMAQEAVLSVVLFPLLVVVPLLLLFARLTRRRRRGAGVQGKEDEDDGLPPSPPGIPILGHLHLVDLHRPHVSLRDLAGDEEEGGLLLLQLGQVRNLVVSSPRAAEAVLGAHDHAFASRPASAVADILFSGGSDVALAAYGEYWRQARKLVTTHLLSARKVHLLRRARHQEVRLAIAKLTAAAAAGEVVDVGDVLGAFVNDVVCRAVSGRLVRDEARNRTFRDLSAANGELLGGFNLDDYFPTLANLLSLFITAAGTACAQARRCRNRWDHLLDTIIDEHQEPSSTSHDHHDVNISEDDGDFIDVLLSLQHEYGLTRDQVKVILMDMFAAGTDTSSIVLEYAMVELIRNPHIMTKLRAEIIGNTPREQDMVNEDNLNNMPYLKAVVKETIRLHPPVPLLLPRISMEKCDVNGYTIPAGTRVIVNAWALARDAKSWDKAEEFMPERFLDSNCSVDFKGRDFKFIPFGAGRRMCPGMIFGLATVEIMLANLLYTFNWELPTGTNKEDIDMTDVFGLTMHPKHRLQLVPRLIYAQMLLCPPLVFLLLAILYLLLPSNTSGNNKQTISHGSTKVVGLPPSPPGLPIIGHLHLVGDQPHVSLRDLAAKHGGGNGLMLLRSDAGGVVGDAYAGPRVGVAAEVGDPPAGVHGRRRAVAAEQEARHHAPSHRQEGGLLPPRHRQAESVDMSDLLYSFANDLICRAVSGKFFMADKGRKHAFSCFWQDAQYLLYTTPLLLLVPLVVMLLLVHLAFRIRSSNKNKKMSSLPPSPPGLPIIGHLHLIGDPAHVSLRDLAGGHGGGGLMLLRLGGVRKLVVSSPRAAKAILRTHDHVFASRPRSTVSDVLLYGSSDIGFCPYGEHWRQLKKLVTTHLFTVNKVRSSSYRRARQQEVHLVMAKIREAASAGTAVDMSELMNAFANDIVCRAVSGKFFRAEGRNKLFRELIEMNTSLFGGFSLEDYFPGLANSLGKFTSWSIQRNKARDCHKRWDDLLEKIITDHETRNSSNNNHGAEQQESDFIDVLLSVQQEYGITRDHIKAILMDMFGAGTDTSSLVLELAMAELMRHPHLMTKLQTEVRKNTPEGRETVAEEDLGSMGYLRAVIKETLRLHPPAPLLLPHLSMADCEVDGYTIPSGTQIIVNAWAIGRDPESWEKPEEFMPERFMNGGSAAGIDLRGKDFELVPFGAGRRICPGLNFSLATVEIMLANLIYCFDWGLPAGIDHEDIDLTEVLPVIGHLHLVGRHPHVSLRDLARDGLLLLRLGSVPTLVVSSPSAAQDHVFASRAYSLVTDILFYGSTDVAFSPYNEHWCQVKKIATTHLLTNRKVRSYRHAWEHEVSSAMAKIRDAAGGGPERHAQRLRGIVDARSGSWWRRTWRTASRRW >Et_9A_063465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3392392:3398805:1 gene:Et_9A_063465 transcript:Et_9A_063465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASGAVQICLVDHSIRSYPGRARACARSLPCSQRKCLADEVSMPLAPRRPKLLAIMKKENLESQAFITLTAGENERILLSLCCELHEVDGRGVLGRAVVECPGGEEGGPLGRVEAIPENELVAVGAERGVGHAVGLGVEPAAAAARAGDVRVPGSLRVGEGVHREAVGVGQGVDETRELRCEAGEAGLEDAVEAGGEGVEAVVAGSGWVEHAHYRHAA >Et_6A_046218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:168545:171685:1 gene:Et_6A_046218 transcript:Et_6A_046218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQRRPPPSRLLFFLLHGYAATLLLLLLLLAQAQARHHPAGDGVIISEADYQGLQAIKHELSDPYGFLRSWNDSGLTACSGAWAGIKCVRGAVVAITLPWKGLAGRLSESISQLRGLRRLSLHDNAIAGQIPSSLGFLPDLRGVYLFNNRFSGAVPPSIGACLALQSFDASGNRLTGPLPAAVANSTKLIRLNLSRNELSGEIPKEIVASPSLLFLDLSHNNLSGTIPDAFAGSPSSSSSSSAVKAAITGSYQLVFLSLAHNDLDGPVPASLTGLKKLQEVDLAGNRLNGTIPARLGALPDLSGNALSGEIPASLDNLTATLQSFNVSYNNLSGAVPFSLAQKFGPDSFAGNIQLCGYSASTPCPASPSPAPSEPASPAEEGATGGHGMSKKKLILIIVGIVAGALLLLLLCCLLLCFLTRKRSTSSAAGTRKQAAAGKDAAAAGGRGEKPAAEASESGGGDVGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKSHKEFEAEAALLGKIRHPNLLALRAYYLGPKGEKLLVFDYMPKGSLSAFLHARAPNTPVDWATRMIIAKGTARGLAYLHDDMSIVHGNLTASNVLLDEHNNPKISDFGLSRLMTTAANSNVLAAAGALGYRAPELSKLKKANAKTDVYSLGVIILELLTGKSPADTTNGMDLPQWVASIVKEEWTSEVFDLELMRDATAGNVGDELMDTLKLAQHCVDPSPSVRPEAREVLRQLEQIRPGAEGGAGPSEEGHVPLSAGGDDE >Et_5A_041604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24851329:24852694:-1 gene:Et_5A_041604 transcript:Et_5A_041604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKQGRLLALLAVAVACFFLPSASAATAVEYCKKGKDYPVKVSGVEIVPDPVAPGQPATFKVIASTDKPIKEGKLVIDVKYWLIFPIPVHSETHDICEETTCPATGDFVIAHSQTLPSYTPPGSYTITMTVKGANDEELSCISFGFSIGFVASS >Et_9B_066085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2973305:2976740:-1 gene:Et_9B_066085 transcript:Et_9B_066085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLASLHRRLALLLEDNGVRRHPGGEDEEKQEGGVWTAGDSCLPWCRLAVQFNKGKTEGGLTNSAAGSMLLADARRRARLPPGPRPLPLIGNLLVLGVAGSRPAHRSLAPGRLAARHVRATDDCPAGVPGDGGGVVPVDGPRGPRHDAQRQPDGAEPAGRVGGAPWATPPTPSSSSSRSRRWRALRRAGAEHLLSARRLDAGNGWLRPMLRDAVISLVHRVAAIGGGGRPRCVLAFAAAIDFQWRAMFSAGLDEDDGEALQDDAREAVSLSLIPNVSDLFPALAAADLQGVRRRFARRVAAV >Et_1A_007920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39425722:39427946:-1 gene:Et_1A_007920 transcript:Et_1A_007920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLSSLRLRFAPDAAGASAKPPRPTVILPGLGNNTGDYAQLAAVLRDDHGLPAAVVARVSRPDWLRNAAGLVDGNYWRGTLRPRPVLDWYLKRVEEAVAEAKELGAPDGKISLIGHSAGGWLARVYMEEFGASDISLLLTLGTPHLPPPKGVPGVIDQTRGLLDYVEKNCAPAVYTPELRYVCIAGRYIQGAPLLGNSAVASDEILAVDTPGGGEAVIISNNDKSTPSRATMRARVVGQGYKQVCGRADVWGDGVVPEMSAHLEGALNISFDGVYHSPVGSDDEQRPWYGSPAILEQWVHHLLS >Et_7A_052179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5323436:5325178:1 gene:Et_7A_052179 transcript:Et_7A_052179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLHPLLRPAAIRAPPLALPTACGRGCIDERRRKKKRCVRVPAAAATGGHIEVSFAVADPPALTRCIVHCPELTPDDFLEETPTVTGADGAFHLIRVIFPRRSGASSSSDVFVYTAGPGNPSLQLLPPPNTYGRYGLVSSSVGVLSCGDYCLVVVPIRRFDADTCMMKYDLHVFSGKTASWSTKAASFAPTKVFSVDGGSLAWVDLRYGILLCNSLLEDVPELRLIQLPPLMRTNKKNFHICFDGDLPQLDLIRDVTFTNGSFRFIEMEYPEFDVDDTELNFRWTVTTFRIMVGSEEWEPEPLCTVDSADLSPADSCFPGLFPEIWDCKENKLLNRVMCSNPTLDMYRDDVVYMISKTDAYVPNRWVLAVNAKSKELEKVLPFSAQRLYCEPYRQCSFSKHLRKA >Et_4A_032764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13600964:13608408:1 gene:Et_4A_032764 transcript:Et_4A_032764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARKFVMPGQPPDFSQLLSEAQKRWLRPTEICEILSNYKFFSIAPEPPNMPASGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKAGSIDVLHCYYAHGEENENFQRRTYWLLEEDFTHIVLVHYLEVKGGKQSLNRAKEEIMQFSNVDSPSYSNSITSHSQVTPQNMDAAESPISGHISEFGDTKPDNSGASSRYHPLAEMQQPLDGVIMDDLLYPPASIIGNRKGYHGEMLPRTSNLDYHSFSHHDIARLFEDAGTGPGDVSRTLFDSVPFNETLTDYPNGFTEPALHSSFASLEASSLEDTSRLQSFTSEALYTNHLSQKEIEALYTEGSINHPLLKQSSLDLLKIESTGLKKHDSFSRWMSKELAGVVDLDIKSSSDTLWSSIETVNVSDGSSVLTNEQLGAYVVSPSLSQDQLFSILDVSPSCAYIGLNTKVMVTGTFLVNKEHVEKCTWSCMFGDVEVPAEVLNDGTLRCYAPAHQSGRVPFYVTCSNRVACSEVREFEYRDSDAQYMETSHSQAIGVNEMHLHIRLEKLLSLGPENNVLSSGNEKHELMNAINSLMLDGKWSDQESPSVKEKFSTARDQGMEKLVKEKLHYWLLCKINDDGKGPNVLCKEGQGVIHLVAALGYDWAIRPIIVAGVNVNFRDAHGWTALHWAASLGRERTVGILIANGAAAGALTDPTSEFPAGRSPADLASANGHKGIAGFLAESALTSHLSALTIRESKDNAVDACGSLVADDLNDTDSAQLAGDDYHTESLKGSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDERTLSLVSLKNVKAGQHDTHLHSAAVRIQNKFRGWKGRKEFMIIRQRIVKLQAHVRGHQVRKNYRKVVWSVGIVEKVILRWRRKRTGLRGFRPDKQLEGSSEIQPAKAEDDYDFLHDGRKQAEARLQRALARVHSMSQYPEAREQYNRLTTCVAEMKQARIMQDEMLNESAVPDGNDFMAGLEDLICRDDAAMSAIW >Et_1A_008977.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2364907:2365356:-1 gene:Et_1A_008977 transcript:Et_1A_008977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWLFENPRVAARSSASVKACRPDEASVRDRSSSDSERSRAVALRRIAAFFVSPALSLPLPPSATGSPPRPKQPNSILMAAFNSRAGAGGGAAVLASATTAADAFSLPVCRSSGGLDAFYWFGLTRGRRRVGYIKGWRRVGSARRGAVG >Et_5A_042396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9525321:9529224:-1 gene:Et_5A_042396 transcript:Et_5A_042396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLNAVSTNASSGWTIVKAEFTFPATGRPFNNCHASTIVEIEKDNLLVSYFGGSKEGAPDVKIWTQRYSDGSWHPPEVADEENVTAMWNPVLFQLPSRELLLFYKIGEHPQNWSGAMKRSLNGGVSWSEREELPPEDAGRTWKKYGPIFVKSENLGVIQPVPYRTTNGTIRMLLRSYQTIGRVCMAASNDGGVTWSYASPTELPNPNSGIDGVKMKDGRVALVYNSASNGTLSRGTLKVAVSSDDGISWGEVLTLEDTQGWEFSYPAVIQTMDDLVHVTYTYNRTQIKVGEEESGGGDRAAQLAQAVRGTGRGKSRRKVTYGFHLVEGRMLHGMEDVHVAEFRQLDDGNEVGLFAEHISSDPDVAIEDVGDGAELVVLASDGLWKVMSNQEAVDEARETRDARKAAVRLVDEAVRWGSKDDISCVVVRLH >Et_9A_061999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18733900:18734564:-1 gene:Et_9A_061999 transcript:Et_9A_061999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSSSASYIRMVHHLIEKCICFNLNKEECMKALEKHANINPVITSTVWKELEKENKEFFETYNKDRVERNIETETMERIQKMLSEAAASKTSDDDEG >Et_8A_056599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13170645:13173156:1 gene:Et_8A_056599 transcript:Et_8A_056599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPGHMWVGAASGSPIRLGRLENVPMLVIISESERIDGLNPLFCIIDIMLKQKFAGAISGNGMSPTRYCITSFMAGLAAANGCAHRRPSFSTMLTSSLLQLPSSLLSTVCMSEPFFQFSRTQSTNIM >Et_3A_027226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5374194:5379213:1 gene:Et_3A_027226 transcript:Et_3A_027226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPNGLVNVAEHNQEDEKSKDTNDPEKVPNIFVYREDVVSLKSKVDARGLVLEVAGEYDSEGSITDDDEGDSTDTEEHERKNAHEAENGGTDGDNASNRAEVDSQSSLPDDKVRVLWIDGSEKTEDIDEVVVVDRSFLHGDLVASASDATGQMGLVVDVNLVVDLLGANGDMIKGVSSKDLKRIREFNVGDYVVSGPWLGRVDEVLDNVNVLFDDGALCKVTRADPMRLRPASGPIHPDTACPFYPGQRVKAVSSSVFKPSRWLSGLWKASRLEGTVTKVETAAVIVYWIASAHYATDQQPVPLEEQNPKDLTLLSCFTHVNWQLTDWCLPSRLTSSRADDASTESSGLKELNSDEHTVNKVACSESSTLPPDIPESQADDQTEQDQRTDTETSHRQTDSDPHADGLSMSDGDNSSVAIESESGSSVSTIPKEGSQDNVAHRKKFRKVFLKKDKRTKRRDGSFERALLIANTYTKVDVIWQDGTKECGVNSTSLIPIHSPNDHEFFPEQYVVDKVSNDVDDSSEPKRVGLVRSANAKDRTASVSWFKPSLHPEEPKEIQCNEIVSAYELDGHPDYDYCYGDVVVRLPSASVSSENKMELDKNVDSSEGLAGLEVSPPDVSAGEEFLQKESGAIFTSLSWAGNIVGFQDGEIEVIWGDGSMSKVGPHEIYVVGREDDGASLDDGTASDGASWETVDDNEMDFVDDSAQDNSQNAPENNIERENGSFSSQDGSSVATGPLSVAFGFMTRIASELFARGKRHLDGSSSDVMDEVESHKSNEVSEPGDDTDQMEENNMATPDFTAATTDGSPADNSVDVDMSDNPADLECFKHFDILQCPPDHHYLENTAQGTGGRKWVKKVQQEWSILEKNLPDYIYVRVFEDRMDLIRAVIIGASGTPYQDGLFFFDFHLPPEYPQVPPHFEDFVKSHFRKRGHYILKACEAYLQGNVVGTLTDDACTTDRSKEHSSSVGFKLALAKILPRLITALKDTGANCDQYEHLGRTETLLYCDLGA >Et_1A_007438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34487750:34494767:-1 gene:Et_1A_007438 transcript:Et_1A_007438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRGLEAAGGSGDGRPEAKRVRPPALASVIVEALKMDSLQRLCSSLEPILRRVVSEEVERALGRLGPAAITGRSSPKRIEGPDGRNLQLQFRTRLSLPLFTGGKVEGEQGAAIHVVLFDAGTGCVVSSGPESSAKLDIVVLEGDFNNEDEEGWTGEEFESHLVKEREGKRPILTGDLQVTLKEGVGTIGELTFTDNSSWIRSRKFRLGLKIASGFCEGVRIREAKTEAFMVKDHRGELYKKHYPPKLKDEVWRLEKIGKDGSFHKRLNKAGISTVEDFLRLVVRDPQKLRGILGSGMSNKMWETLVEHAKTCVLSGKYYIYYSDESSAVGAIFNNIYAFCGLISGEQFYSSEGLDDSQKLFADALVKKAYDNWMYVIEYDGKALLNPKPKKKATSSGQAETHHPHVSSASYEQHISSTSMPGSLQAGARDSMNYDANKSTERAAEVQSTSANVSLPYDDTFSFLPPNMLTGSVNQENANDAMGLELGPLQQVISQSIEPANVGYVDWPRNRESQYPDDFTEDIRLKSHQMLESEDMQQLLRVFSMGGASSSLPDDTFNFQSYMPSPLPSLGLESERSHSSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLAFSSESYGSLVLV >Et_3B_029710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27356644:27361742:1 gene:Et_3B_029710 transcript:Et_3B_029710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAGRRKSSLVGAGAGAAAYDPFGAKHAVTSLRKGGRLPVYVAGVFFCVFVIIMYGEDIRSLTLEPLTRVPPAPKLAVVTSSGGGGAHVVAGPRRDASSSSQQKAAVLHHDDEKQTPAATTTVKETASVRQAEEVVPTVAATPKTKEKKPKKAKKARRQRAAKKTVVPPVLGVPETCDLSKGKWVFDNTSYPLYKEEECQFLTSQVTCMKNGRRDDTYQKWRWQPKGCDMPRFDAKQFIERLRNKRMMFVGDSLNRNQWESMVCLVQSAVSPGKKYVKWEGQSIVFHAWEYNATVEFYWAPFLVESNSDDPKIHSIQHRIINADKIAAHAEHWRGVDYLIFNTYIWWMNTLNMKVMRPGGGSWEENDEVVRIEAYKKVLTTWASWVNENVDPARTSVFFMSMSPLHISPQVWGNPDGIRCAKETMPLLEWHGPLWLGMDWDMFHTARNISRHAAPRVPITFVDITTMSERRKDGHTSVHTIRQGKVLGPEEQADPGTYADCIHWCLPGVPDIWNLVLYTRIMSRPALQVA >Et_10B_003278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18479164:18482175:1 gene:Et_10B_003278 transcript:Et_10B_003278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMIMGGAGHQELNDDEARIRLERRRERQRLAIMAREERKRGEAAMVLAEVEMMASRLETQDFFHVPASSRALLALPMPMVGETAEQSCAVCGQLLKEGDQLRRMPCSHSFHQSCIFKSLRVNCVCPRPYCRFMMPAAEEPSFASLSQEREESNIHPDYDANWDEDGGLFCGVPASSKAIVGLHMPALGETKEKNCAVCLEDFKEGDKLRMMPCTHSFHQRCIFDWLRSSCVCPCCWFALPSKHEQHFMDKQAARLSDQVGAITL >Et_2B_019592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10682539:10685824:1 gene:Et_2B_019592 transcript:Et_2B_019592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGNGIGSNDDETCLYALNLLGGFAVPFTIKAVIELGIIDQLLTTENAMTAEKLAECLPCPDKAVAMVDRMLRFLAAHNVVRCATEVGPDGKTCRSYTAAPVCKWFARNQEEDSVLPIGLMILDKTYLESWYYIKDAVLEGATPFDKANGMPMFEYLGVNRSMSTLFNQAMASHSVIITKKLVELFHGFQDIEVLVDVGGGNGTTLQMIRNRYKNIRGINYDLPYVIAQAASIEGVEHVGGSMFDNIPSGNAVLLKWILHGWGDKEGINILKNCNKALPVNGKLIVLEYILPVQPEPTLTAQEAFALDLKMLLTCESGKERTEREFCELAIEAGFAGECKVTYIFANVWALEFRK >Et_5B_043611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12613327:12615458:1 gene:Et_5B_043611 transcript:Et_5B_043611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGGAAMNAPPRAADEEASFMAANELINAHILVARLPATANNNHMADAEAAVDRMLRFLAGHGVVTCATEEADGGAAVRRYMPAPVCRWLSSKNGEGTLGPLALFGFDKDMLMPWQHLSEALLEGRVAFERAHGVPAFEHMGKNPQLSALYNKAMSQLSALVIDKLLERFTGFDGVRVLVDVGGGVGTTLGMITSRYKNIKGINFDLPFVISQAKPIPGVEHVGGSMLDYVPAGDAIFTKSVLHLLSDEDCVKLLKNCHAAVPVDGKVIAMEVVLPAAPEATLAGRFPFVFDMICLINGLKGGRERTERELVGLARRAGFCGAVRSTVIYGGYCALEFTKY >Et_9A_061600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14325178:14326458:1 gene:Et_9A_061600 transcript:Et_9A_061600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGMLAALAMAQQDQEDVLLGGVFQPRDPCPQVETIVQQAVAEEFKNNKAIAGGLLRVFFHDCFSWGCDASIFLRDEWIRLPLQDDVRAFVNKIRGKVNKECGDQSVSCADILALATRDVVAEAGGRRVPIPRGRFDSLRAGNVEQVPRPDNNVSQMLTTFAGFGLTETADLVALSGAHTVGKTRRACQFVENPGFQARCRSREGQDLDVISPVTFDNQYFVGLTKTPSNGVFSTDRDLFSDKNPSTKKLVQTYARDQNEFFRQWDISFRKLSNVNWTSFSKGEIRRDCTRTNSGRLSSIIDGAADDM >Et_4B_038775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4810658:4814561:-1 gene:Et_4B_038775 transcript:Et_4B_038775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAATAPDPAHPSRPPLTPALDKPNSAASRRPARSSKPVSSRYLSSAAASPASSTSSSTSSSSSSSSRRSLSAQRARASTPPPQHSTSPTTTASAAAAAAAATATATTMRSLSVSFQGESFFYKTSRAPRASSPSSPGARRGPTPERRKSVSSVPEAENARPQNRWPAAKPKASDPLARSLDCSLDRKDSILAAVQLLRRSMAFDSTTSLSPSDPAAAAAPDLSASSDTDSVSSGSNSGAGDPPRRGISVPARFWQETNSRLRRLPEPGLPLPSSGRRSFSDSPMSPRLPGRSPSPCRGSRGGASPSRGRGGEASPNGHAVQATANAPSIISFAAEVRRAKKGENRIEEAHRLRLLDNRHLQWRCINARTDAALLVQSFTAEKTLHSAWKEISRLRDNVGSKRCKLQLQKQKLRLFAILRGQMSYLEDWSHIEKYHSSSMSAAIKALKASTLRLPVVDGAKADVQCVKEAVNSAVDVMHTMTSSICTLLSKVDGTSSVVSELAKLATQEQMLLDQSRDLLSTVAAIHVGRTSMIFLLNSTFSHCYLYHIVNYMLEKQVKQCSLQAHMLQRKQKQSPTQM >Et_2B_021369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28989438:28991565:-1 gene:Et_2B_021369 transcript:Et_2B_021369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRHFVGLGSPARGATTGTASSCFLRRYTPNFCTFAELRPAKPTAAACLHAEKPHQHQHQEEKAAPCQDDEHHDPPAPALRVGIVGFGNFGQFIAAGVQRQGHAVLAASRSDYSAYCAQHGIRFFRSVDALCEEQPDVLLICSSILSTEAVVRAIPFHKLRPDTIVADVLSVKQFPRNLLLEVLPPGFGIVCTHPMFGPESGKHGWGTLPFVYDKVRVAEDGDQAAKCHQFLSIFEQEGCRMVEMSCAEHDRYAAGSQFITHTIGRVLSQLNLKSTPINTKGYETLLQLTENTVSDSFDLYYGLFMYNLDNLERAFEKVRQMLYGRLHDLLRKQIVERVPATGVFSGKSKDGVLSSATHSLVSPTTAEEKQHLSHVAVVTPPPAFQSVASNPRKC >Et_5A_040142.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1568773:1570418:-1 gene:Et_5A_040142 transcript:Et_5A_040142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQVARTALADMSLCDIVWPMTRSYVDNVTVGLSSPNSSTLRLRTNSAHQRLRLYMSAAGGAWLERVELPEVHGRDVLGVAVDDVLCEQHVGGLAGVVGVPEHVLVPGVAHAGRGPARHQPVVGAAVAAARHGSRLEPVFRVVGDELQDEVVHVGEAEPRAGQLRVQLGQHVAEPRVVLLRELVGQLHALVLVHAVDRLLVRDAAQRADVGHHELARVQGVQPRELVAEVGDEVVDEAVGRLGDAGAHGQHRHQVVVEEVVGADGDGEDGLLSQELAGAVPAQQVVQLLPCDVEVVHLEVHPYPVHKDFACCVQDPGAS >Et_5B_044247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2202361:2204211:1 gene:Et_5B_044247 transcript:Et_5B_044247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDNHAAMTSAACSRSCQVLFCAPSTLLGALPMDDSSVPRPLPGTPAGTHAKMLNEKWMLSADAAARPASAAGSSSSQLGATHVQRRREAQGQTRRRQERLPRVQGTHSVSSILASGGVDSIFGYYGQFIYDGCVCSRPPATSGPQPGWATAYGRVGDGSPSGLVFKGGTVTGTGMGVDVTLRGMAAVMCKENDLICAICLRNWCQTWHTSMASAKALKVAAIAVVFAMLVMSSMGLPPETTQGSTNCRYIKCGPDVTFDPECRNRCAPPCNQIKGAACHRVGNQQLHKSCTERVYEGCIHSCFDQCTSGCVRA >Et_10A_000903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1894789:1897727:1 gene:Et_10A_000903 transcript:Et_10A_000903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNDSSALLLHTAFSYVISLNEQGCFHFHSPAAPHIASGPFRSLGEVVAAIDALMAREQIPTLSEQKEGEGLALSSGPNFAPFYTKLVPLQREPAPWSSWKYHITFKDDLFHAHPPYLGGPFDSLGEVAATIKLKKERSQKMQQYMDQADPAACAARLKAIIEKNRSAKSCKPSSDPAILKAVDRYERIMAKREIIENAKKWMQNEALRAFESAGYQASLYEFVKIDQQCLIHDTFSKSYHHYNFTMKKMTSKKHSDYQIFFAEVKPTKEGKHYFCCPLQPGENGDCLRCRKLGVGLRHPTSGNYEKGNEHSGFPFDSNSGGDD >Et_9B_066263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9001984:9006100:-1 gene:Et_9B_066263 transcript:Et_9B_066263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQRKWIGWPINPACLICNLALPNRGDRIGSDLLHHDPVLLAIGVLQRDRDADHAESKIFLLRISFFLVIFTVLLLLFNCVGVFFYSYVSGTRHASFLAGGGVVAADDEVVQVGEPGGGGGRGGFKSAAVVVVLMPAGAAAGQVGQHGGRRGALVVRDAPAARGGGAAVDDAPGDEAVVERDVAGAELVRALGLVPGLGHRVVVAPRAARPPALAREPGRVRRLRRRRLVRDLERRLLAVGGGSRGDPELLVWADPHVAVLPSLPLHRRRPVTGSDFFACVGVFGKKWCLIDGVRDSFGLFVWLKRTGGRGGSEPDTCRSRGRSCLFTHR >Et_8A_058360.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:5908714:5909673:1 gene:Et_8A_058360 transcript:Et_8A_058360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPFSVTFLAPVITAIILRKRLKSRRVYNLPPGPSPWPVIGNFNLIGALPHRSLHELSKKYGPLMHLRFGSFSVVVGSSVDMAKYFLKTNDVVFLDRPKTASGKHTTYNYADITWSPYGAYWRHARKVCATQLFSPARLASFEHIRADEVRALVRGLFATAASSSSASRAVQLNRDHLSTLSMNVITRMVLGKRFFGDGEGADSAAAEGPVSSLAEFKWMMDELMLLNGVLNVGDWIPWLDWLDLQGYVRRMKRLGGMFDAFMEHTSSTSTASGGGARASASWPRTWSTCCCISPPTRTPRPMSGSAASPSRRSPRT >Et_3B_030881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8184346:8192972:-1 gene:Et_3B_030881 transcript:Et_3B_030881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAHAAAAAASSAALWKRGGGEGGSCNGCRSCRDVVRRRAAAVRVHAAAPRRVEAVAMGGYPLLRDPHHNKGLAFTEKERDAHYLRGLLPPAVVSQDIQIKKFMHNLRQYQVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRQPQGLYISLRDKGKVLEVLRNWPQRNIQVIVVTDGERILGLGDLGCQCLPITIDVGTNNEELLNDEFYIGIRQRRATGQEYHELMEEFMNAVKQIYGEKVLIQFEDFANHNAFDLLEKYRKSHLVFNDDIQAGTGIAELIALEISKQTKAPIEECRKRVWLVDSKGLIVNSRKDSLQSFKKPWAHDHEPLTTLLDAVQRPVIFSLSNPTSHSECTAEEAYNWTQGRAVFASGSPFAPVEYNGKLHVPGQANNAYIFPGFGLGVVISGAIRVHEDMLLAASEALAEQATEENFEKGSIFPPFTNIRKISARIAAAVAAKAYELGLATRLPPPKDLVKYAESCMYTPIYRNYRYPYALMTSTSKTKGSGPQQRWQAFDYTVVAWFHKSPEKNQKRPK >Et_2B_021126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26781034:26783926:1 gene:Et_2B_021126 transcript:Et_2B_021126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGRGRGGGGRGGRSGYDHRTDDHAPHENFPEIELPEMTCIKANTEEEELLLTEDEELLLLSTTKFEEFWRTSCYHLEEDAPKKKNEDKDTKRLSDRKRKTHPKREALALYLTLTASNFPEELLQGSKRVQASNKKLRWDKYSDQQAFEVFEKLEQTHKARALYYLDSQALFASDGDKKAEKEGDDEDEQEEEEVVEEESSDDDYNQNIEFDDDDDDWNQEDETYEDCYD >Et_5B_044319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22612810:22622188:1 gene:Et_5B_044319 transcript:Et_5B_044319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PADLLCASLVCKRWCHLLSDPFFRRQYCRHHRTPPLLGFFMVWDPLTRDQHDVPMPPYPYNDYYSATVLCTLAGCDHLDCHGGPFLVVFVGSNKDDRSIWASVYSSETGAWGASSTSELKVNLDMSGAWSASSSVELDSYIEPWPGLYIGDSLYFMAAQGNSILIYDLAGRGLSVIEVPEKEYEEMGIVVTAEDGRLGFVDVEDRSLHLWSWQEAGADSSAGWKRGRVIELATSLPISDTTVSLRVVGSVEGTDVVFLSTDVAIFTIKIKSGRVAKVGEKGTYFGIIPYSSFCLPGVTKRLLLVDAEARIAHGFPEQKAADLTKTNPLPVLGLEARSASSPHRPRL >Et_5B_044967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7832884:7833134:-1 gene:Et_5B_044967 transcript:Et_5B_044967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDELAGAGADSTVEEEVGEGARERWNGCMERAREAIVNWTQSAAGSPRFWVYDINFRFERPAKMDIVSVAKTGA >Et_9A_063233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16501272:16504693:-1 gene:Et_9A_063233 transcript:Et_9A_063233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSTFSVPRGFLGVPPQDPHFAPAVELLVHKQLQARSLNKPARRRSSACVVSASLSEREREAEYYSQRPPTPLLDTINYPIHMKNLSAKELRQLADELRSDVIFHVSKTGGHLGSSLGVVELTVALHYVFNAPQDRILWDVGHQSYPHKILTGRRDKMPTMRQTNGLAGFTKRAESEYDSFGTGHSSTTISAALGMAVGRDLKGGKNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPAPPVGALSSALSKLQSSRPLRELREVAKGVTKQIGGSVHELAAKVDEYARGMISGPGSTLFEELGLYYIGPVDGHNIDDLVDILNDVKSTKTTGPVLIHVITEKGRGYPYAERAADKYHGVAKFDPATGKQFKTPAKTLSYTNYFAEALIAEAEQDSKIVAIHAAMGGGTGLNYFHRRFPNRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPADEAELCHMVATAAAIDDRPSCFRYPRGNGISVPLPPNYKGVPLEVGRGRILQEGDRVALLGYGSAVQNCLAAASLVERHGLKVTVADARFCKPLDHALIRSLAKSHEVLITVEEGSIGGFGSHVAQFMALDGLLDGKLKASYTLLIQ >Et_1A_008209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5282881:5288750:1 gene:Et_1A_008209 transcript:Et_1A_008209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQGKGWTGWSTPAPANQRSGGGPPAASAPLGKGKGRVAELEQELHEYQYNMGLLLIEKKEWEAKLVETSQLLTQKEEILRREQAAHLNAISEYERREESMRKALGVEKQCVADLEKALREIRAEIAEVKFTSEKKITDAQSLEASLEEKSLEIEGKLHAADAKLAEANRKKSQADRDLEEVEARRRRLDKEKLYFETDQKAREEQLKQQEESLQEWEKKLKESQNRLVDLQRSINDREERANKNDQLFKTKQNELEEARRTLEATKVTMKVKEDDINKRLNELHSLEKDAESKRKILEEQEKKLAEREEEANVREKEGLQKLLEDHQMELESKRKQFESELERERKSFDEEMKQKASDLVQREKDVKSLEAKLSKSEQALNDEKKKLESLQNDLDIKSKAMKKWEQTLKSEEKKLLEEKQKMDDEREQLVMYKSELERIKSALEAEKERIIEENKNLKVTVEERQKHSLLTEDLKKEIEEYRMRNNSLSDEIEDLRKQRQKFEEEWEQLDEKRARLEEEAKNLNNERMSLERWRDSEEKRLTVTKSEMEEKYKEQQENLERKEKALNDDIEHQRSQIDEHLKRERDDIERKLQLHRHELEMDMEQKRASKERELEDKENELNRKIDFVENKLRHAIELNESKIQKIILEKKELQTERKVLLEDRQKLDADKADIRRDIDSLHSLSKSLKLRREAYNKDMNNLIDLIEKYKMCKNCGVSISEGLDYLVALKGNAEIEHPILAVEGDDRSLNAKTLTEDTGNIVNSGGRLSLLQKCSRLFKFSPREKGEQSSEQQAEKNIPFGARLEEASQSDGDYEPTPVYEVARDSFDAEEIPSDSGARENEQSERHDIADDVQMESSVGVADNCVDIVGTQSFDGTNDMAVDATVASVDQNGKDSAPPAEADLQPETSKQGRRQQNRRGRGKGGVKRTRSVRAVVEDAKAILGENYDEKNDGQRDSVAGVGTRKRRLAGTTISEQDEEGSEAHSESVSVGGQRRKRRQTAGAVTQGPGEQRYNLRRSRVANADTATTQADKKKAVKAGIEHTVEATADDTEGTSKIEEPATESKRASESADYGASQLHEFSQAEVGDAHASVEVAGEEDGDIVDGKDASPDVPMTPSGTFDGAHKGS >Et_4A_033652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25443716:25449182:1 gene:Et_4A_033652 transcript:Et_4A_033652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRGTRPENNQSIALHSTYGHFIVYRCDLDEYQNILYVQSDTHFYCEYRISSANEHIFCNVTGNPVLHEKGTLDGLRSCSRIQYLWSSPWIIPPRDELVFVMIVAARRTGLNIRSKMHN >Et_1A_009497.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6695708:6696067:-1 gene:Et_1A_009497 transcript:Et_1A_009497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAGRARKPPPSTRPASDVITGASAAAVVEATPSRWALAAPSSSSSLSAAVAAALLVAACLGGGALLAWWAVVYHRAHAMLWMVPVGLVLLGTSLVACLSVLASGPAPSASAADLGA >Et_8A_057379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:24053178:24056713:-1 gene:Et_8A_057379 transcript:Et_8A_057379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVVGDEAQIKAFEEALSSSSPQAQVGLVVGKLSASSDRALVYSLLPTPPTDADAPACSLRAAPKSNKPPKGKGSTDASLEFDVDWIAEHARQVSRMLLGGMSVIGIYIWASEASFKATSPAVLSQVIRAVSHAWYGSDFGERLLIHISYSPRRWACRICEIASGSLRPCDFKHSKLLASLQTFKCTYNFEIRFTDVQAGPFKKIILKAINHLTEEVQTARALIDGDMFSEDMNISTEGPHRVDFLVPFKNAVPVEECSLDGVAGLLRFVGSVSSLAYLGPKESVSEAISDLKVDIITSLRSRLDIILDEEDGDSTTNDLEKLPSQKATQITFHHLREPFSFSFPRRVLIPWLAGAYVCDYLQQSETVECAMERCKEIISLEAAVDSSSILEPESEEASATFESFWDMVPGAPLRVSARSSTEDGQKDDGSSRWQRGNLNMLAVLFALVIAVIVGFVITFSAS >Et_9B_064155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11896021:11900432:-1 gene:Et_9B_064155 transcript:Et_9B_064155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGHISSSKLINEKLEEHQISTAKHCPNCHHKIDSKPDWVGLPAGVKFDPTDQELIEHLEAKVKEEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVHKGETRWHKTGKTRPVMVNGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSDRGAAAVAATAQEQRRRDSGSGSCSSRDHEVSATSFPAGYTVTAAVEMQEHLKQPTSDHFSFAPFRKSFDQEVGIGGDQVPPDQLRRSDQQPHAGQEQQPHRPVLATTAVPATAFLISRPSNPVSTIVPPALQHASVVLDHDQFHVPAILLHHHDKFQHQHQHQPQHQQPHQKLDRRSAGLEELIMGCTSSTSAKGEASIPQPQETEWPYQYWPPDNQDHHG >Et_7A_052232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5904106:5905715:1 gene:Et_7A_052232 transcript:Et_7A_052232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGISGAAMSSFVAKNPFLAAAARRRAGPPLAGRALAFSPLAARPAPRRRGLGTVTCFVPQDTAQPAAPAPVPPVPVPEPAAALDEEARAVAARRIAERKARKQSERRTYLVAAVMSSLGVTSMAVAAVYYRFSWQMEGGEVPVTEMLGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPREGPFELNDVFAIINAVPAIALLNYGFFHRGFVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHKIHHMDKYEGVPYGLFMGPKVREASGACPFVLALPVIHRLRRAQDHKALWFPLALSTRVVVELEEVGGVEDLEKELARIGRSL >Et_2B_021966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7468232:7468834:-1 gene:Et_2B_021966 transcript:Et_2B_021966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLARTGHNTGRSDPEEDPAWQIRKYLLLLAILVATVTYVAGLDPPGGVWLDTKDGHRTGNPILRALGVFAFAVSLVVSMVLLFMRHRDHAKPLAVRGIMVIKRSLPHGGLRCRQLQGPLCATVILFVIIFTMVTKSDKTSGEDTQQHKEELKVLMLLAMFVATITYTAALNSPRRLLGAR >Et_1A_009468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6134841:6136833:-1 gene:Et_1A_009468 transcript:Et_1A_009468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATELSQETARKSLIAISQSIPETPSPQEVKTPISTAENGKVDDAADKYRSKLMSITDLSSDAQPTQCPPENHSST >Et_8B_060483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17884943:17886035:1 gene:Et_8B_060483 transcript:Et_8B_060483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAVARAAGQCEAFKGYLNEKDLPHLVERAPSLRRLHLDYFSNYESVEELVLAFKKLPLLEDLQLFALTLVVMYDSYVEPEYDEEAFDKEPIDGGITVMPNLRSLKLYDCDLSVKGPNDILDNCPVLDSLVIDGYFNDGKMDKNLWAKLARLKNLSLPIVVAPTHYDSSEEDYNDSFEQICNALKKY >Et_9B_063948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:504819:505132:1 gene:Et_9B_063948 transcript:Et_9B_063948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTACKEGLHLIAEWAKKIQKTLSDVKLLGIKGQQNSIAYELAQLAKHTTHTVVWRGQTCSCIERIIAQ >Et_5B_044969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7912252:7914660:1 gene:Et_5B_044969 transcript:Et_5B_044969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGALLKLEMKFWSENVIWAWYPSWNKDMLFWDEQELPALLLMAASRTSLRRILEQDTCFQRGIVSRKFSTVRTDGEISESTSPPVPIAFSRSKDPAAILIEAGMRLLRLRIDIGH >Et_7B_053414.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:17016665:17016790:1 gene:Et_7B_053414 transcript:Et_7B_053414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLICKRHDISSRMSHVIGVLSVPKSYKNFVWVKWRVIL >Et_5A_042977.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:8494182:8494430:-1 gene:Et_5A_042977 transcript:Et_5A_042977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTSAPGHRWHVHPAAADERLQAADAILEQHGQEAGVRVAGGAHRQVRLRARRVVVAGDGEPVQAAGVVLQVAPGKAQRLG >Et_9A_063612.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:9797112:9797354:1 gene:Et_9A_063612 transcript:Et_9A_063612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVEDRGGAVALMLASLFLLGTWPALLTLLERRGRLPQHTYLDYSVTNLVAAVVLATAFGQAGESKLDMPNFFTQLTQI >Et_4B_039798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:381486:384403:1 gene:Et_4B_039798 transcript:Et_4B_039798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARARAGASAQQVKSAPLLLLAPVLGMSRLQNSNPPRNGDNTTPLRLQWHAWSGTRYLCLHVIAHGCLCLVSGAVIPAKAKTQEGARRCRGRDEKRAANKQARHGRHIRPAALPNRSLAAGIESRQGEGGGAGCYGDDRCCCIGACDELPPDHVPALASSGHCRCLCLGSQGPAFCRNGMDPKLGGSEMFGCCSNHAALGIGDLGDSPSSRFFIFMVALHSHASAMLVLPAAAELMQYARSSLNSSTAMHRRQRGHIEQRVRALAVSAPETEHSFAERAENYYHKRPQLLSLLTDLHHRYLYLADRYSQSLAAKNLHLATASDCCSSDVDDRCSDAGSSLSFQQPPINYASSEAADDASLMVAELVLAWVDRDVLADEAERRRAEAARKIELQGSLVEVLESERLVLLGENARLGFRASAAEEEAAAAAAELGYMRRRAAEMARLVVKLREDHRVCMLGRKIEALQAQVYGLELRNRECRETMAAWEAERKVGAAELERLRAENRRLAAAATMPRKKKTEGWWWSRVRLAAEWTPCAPSAVTVRKVGEQIKGNDAKYYGGCFCI >Et_5B_044474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2746674:2748524:-1 gene:Et_5B_044474 transcript:Et_5B_044474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYTPLRRWRQFFPAFEAIHGAIEASDPAVLAKDDLGWARELQGFTAEAAQRLRDSAWNDPAEHLCVALDDLMVEYLVTLRTVPVTPSMLASTGLAKAVGVLFEHQSEKIRGLAREILGRWRKAVEKVIGRAGTAMEVLLRQNLKPVVVPVAAVAGEKKSASVVRSSRVEPAKSDVPAKVLPPSSKKSAPIVAAGRANTANMEKTKLPKNTPAVVGGDHASGSDKMEATKRKLQEGYKEAADAKRQRRIQVIVAPKTLEQGHLQGAEPCQRRGGFHGCEALSDARVPEMLGCLWLEMSAPLPRKKSSAPVVGGSGRASKAIKNDEMLSMDKMEANTKKRKLREGCQGAADAKRQHKTIVNEAPKSSRTSLAGQANNRVEPAKRQGPVRVSAPLSNKDAARRANAANVDKKKLPMKAPATVGNVYGDRAGGIHRKEATNATK >Et_5B_043282.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:21856658:21856945:1 gene:Et_5B_043282 transcript:Et_5B_043282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVQRLTGQQQPDHLQAETAAPPVMSTTTLPEEEETATGADAVALTLGETKAPPVDYLSVVLPSPGPGSAGFLLSPGIFLFSPATMQAIHELIS >Et_5B_043525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11471227:11473138:-1 gene:Et_5B_043525 transcript:Et_5B_043525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSQPQEELSPAESRARTLAFFRALGVDAALPASAERPNAYSAFVRALLSSAAVSASPAPRVSCTLTVFPAVTNVYNTLHGGAVAAVAEAVGMACAQAAAGNKEMFLGEISTAYLAAARVDSEVDVEAQILRKGRSVVVTTIEFRLKDTKKLCYTSRATFYIMPVASL >Et_3A_026701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15445929:15447190:1 gene:Et_3A_026701 transcript:Et_3A_026701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGPIPLGLSACHNLDALSLAVNNFTGSVPSWLATLPNITRIYLSTNELTGKIPIELSNHTELLALDLSENDLEGEIPPELGHLRNLRYISFANNKITGAIPESIGNLSNLLTGSVPMSFSNLVNLRRIYLHGNQLSGKLDFLAALSNCRRLTTIGMSNNAFIVRLPPYMGNFSAVLEIFEADNNRITGSIPSTLANLTNILRFSLSGNNLSGKIPTRIAAMSNLQEFNLSNNSLSGTIPEEISELTSLVKLHLDANKLVGSIPSSINSLSQLQIMALSQNSLSSVIPTGLWHLQKRIELDLSQNSLSGFLPADVGKLTAITKMDLSSNKLSDWMGVYQKVACSQTSPSNH >Et_1A_005177.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30987773:30987946:1 gene:Et_1A_005177 transcript:Et_1A_005177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIHKQGDVLWPKPIMVEKGRAYWKLKGYCDNCLLERERERERERERESRWQLWSS >Et_6B_048597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11233224:11244635:1 gene:Et_6B_048597 transcript:Et_6B_048597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKRYNDEVCCLMRVKHKNIVRFLGYCADTQGKVSDFSGKIVMADVRQRLLCFEYLPNGTLEKYITDASCGLEWRKRYKLIKGICEGLHYLHSNNIVHLDLKPANVLLDENMLQSTGSINMMLEMMPRIKSIPSTQTYTDLPLMVRLTELQRYTESSRASIDLVAVLDTSASMLHEFALETMKQSMMFVIDSLGSEDRLSIVSFSRQVERHMELSVMSYVNRENAKKVVLNLSSGVGTNMGEALQEAYERGADERTTRVGRVIFLTDGYDPGIKWLDITSNESPVETRYRYPDSSHIAKSQSCSCHRGGLKSVTVDTSQAPRPDYLRCDFFPVESCICLEQKQDNGFVCRAR >Et_7B_053323.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7430655:7432743:1 gene:Et_7B_053323 transcript:Et_7B_053323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPALPGHVVAAEPVLVPAVLYGLHLAGEHQKERRERAQLVYPGLLLLHLHPVLQLRGVAAGAPPVEVDDHDPRVEVARRSRPVGEDGGVLGVPPERVGEVGGEVGVTVLGRGKRGVGAEVGGPEARDVVDDEEVGVEVEDAGDGAGKEVGEVDAGVVEGLVERAADGGGDLAADEAGVEAVDGGGERGEGAGERAAERGEAARRGGRGGDEVEGDGLRAGGVAEHGEDAGEGAPQVGGVQRHRDVDGRRARAARAVAERRRLPELRDLPGRRRRRRARCRRGEEDHGEDAHCGPRSVSLRYESWESKGEWNFTGYGWGGTRWEGGDAAFMRRREKTQKRGRRQPGRRKTCEVFPWFYEFLVGFPRCPGRFGLV >Et_4B_038164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26937254:26944294:1 gene:Et_4B_038164 transcript:Et_4B_038164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFLLVPMAAFDSALGTVVMESRLVFKAFHHGRDNARAVKWSQRACLKSHKTLFGVQWCQLHWGELDRAAMLGLKGNLPEAVAREATSNRAQGDLGLDKASDLARLRMDGDSADRLAAENAPVPERVQVGNSPEYVTERKLGQGGFGQVYVGRRVSGGSSRMGPDAYEVALKLEHQSSKACQYGPPHEWHVYQTLKGCYGIPSIHYKGHQGDFYILVMDMLGPSLWDVWNSAGQGMSSHMVACIAVEAISILEKLHSKGFVHGDVKPENFLLGQPGSVDEKKLYLIDLGLATKWKEGISNQHVGYDQKPDNFRGTIRYASVHAHLGRISSRRDDLESLAYTLIFLLKGRLPWQGYQGDKKSFLVCKNKMATSPAMLCCLCPAPFKHFVEMVTNMKYDEEPNYSKLISLFDGLIEGPASRPIRIDGALKVGQKRGRTVVNLEDDEQPKKKVRSGSPASQWISVYCARKPMKQSCVASSENCWALVMDAGTGFCSQVYELSQVFLHKDWIMEHWEKNYYITAIAGANNGSSLVVMSKGVSYSQQSYKVSESFPYKWINKKWKEGFHVTTMATAGNRWGVVMSKNAGYTKQVVELDFLYPSEGIHQRWGAGYRITSTAATPDQAAFVLSMTKKKQFEEAQETLRTSTFPSNHVKEKWTKNLYIANISYGRTVC >Et_7A_050555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24541848:24542474:1 gene:Et_7A_050555 transcript:Et_7A_050555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRMAPCRGDGVEDAVHHNGRFYSISYSGVVEAWEQHANNTGGLFLSRAVIGPRLPAGDEEDADRRKYLAVAPDGRLMAVLKDRRSVQVERHQNACVFTVHVLDEARGQWEEATTALFVGVNTTLCVSTKEYPGIRAGCVYYSDDESSRKEPEPEDGEHYELQDPGVYSLKDGTVERVQALPRHPHWPPPVWFTPSM >Et_2A_017002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30021814:30024769:1 gene:Et_2A_017002 transcript:Et_2A_017002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGRLLLAVLAAAAVAAASAQTTTEADTLLAFRDTLRGPQNRAPAPLDGWGTTTPEPCSSGGDSLWYGVRCDESGSVLVLQLEYLGLQGPVPNLSLLAPLAGLRSLSLANNNLTGAFPDVAALPRLRMLYLSQNRLDGQIPDDAFATLQGLQKLYMIGNAFTGPVPRSITSPKLLELQLSDNGFEGPLPDFGQKDLKLVDFSNNNLSGPIPPGLQRFDAASFQGNKDLCGPPLDVACSNSLDSSHSPSPGSSSNSGSMKILLIIAIVVVVIGAVLAVAGVCAAVAARRNEPRHAPGTETLGAGVDAAKVRVTSAPAVKIEQAGGEQHGIAVTPGKRGGGRRDDHGRLVFIQESRQRFELEDLLRASAEVLGSGNFGASYKATLLDGPSMVVKRFKDMNGVGREDFSEHMRRLGRLVHPNLLPVVAYLYKKDEKLFVTDYMPNGSLAHLLHGGTRSSGLPPLDWPKRLRIVKGVAHGLAYLYDELPMLTVPHGHLKSSNVLLDAAFEPVLSDYALVPVVRPQHAAQVMVAFKSPECAAPGGRPTNKSDVWSLGILILEVLTGKFPANYLRQGRAGTSDLAGWVHSVVREEWTGEVFDADMRGTRNGEGEMVKLLKVGLGCCEPDVARRWDIREALARIEELRERDAGAGGDDSSTGASSFVSDGEVAPRSGDPHPHSA >Et_4A_035025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8945902:8950043:1 gene:Et_4A_035025 transcript:Et_4A_035025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARTMTWHEELATLVDTGVRVPGAGVDEPPAAVNVPAVGAGWYGEEEGVGVKAEEGWAQQARGFAESTAEMLLELGRGLWDVGAQCLADAEDTELARRLRRKAAATGKRLSFMNEYLPEERDPVRCWVVVAAVAFVTLLVLGGGGGDETPVELPKKLYISPPSANRIQLPDGRHLAYEEQGVSAERARFSLIAPHSFLSSRLAGIPGISSSLLEEFGVRFVTYDLPGFGESDPHPGRNLNSSALDILHLANALDIQDKFWVVGYSGGGIHAWSALRYIPDRIAGAAMFAPMANPYDSKMTKDERRKTWESWSTKQKLMHILARRFPSLLPIFYRRSFLSGKQGQPENWLSLSLGKKDKTLLEGPVFQAFWERNVAESVRQADAQPFVEEAVLQVSDWGFSLSDIQTQKKEDRGFFELIKSMFNQVEREWVGFLGPIHIWQGMDDKVVSPSVAEFVRRMVPGATVHKLFDEGHFSYFCFCDECHRQIFSTLFGIPQGPINPVPESSEVVVPEIAEETVTEQEPENSTLA >Et_3A_025080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26690578:26694853:-1 gene:Et_3A_025080 transcript:Et_3A_025080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWGRGEAREGAGTSVVVKMESPDWAVPGSEAAGAGAGAGAKAGRGKNARQITWVLLLKAHRAAGKLTGAASAALSVAAAARRRVAAGRTDSDAPLGESPVLRTRFYGCLRAFLVLSLLLLAVDVAAHLQGWHLLAVDVPDLLAVEGLFAAAYASWARVRLQYLAPGLQFLANACVVLFLIQSADRLVLCLGCLWIKLRGIKPVPTAGGKGSDDVEAGTDEFPMVLVQIPMCNEKEVYQQSIGAVCSLDWPRSNFLVQVLDDSDDAATSALIKEEVEKWQREGVRILYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQPDFLKRTVPHFKGKEDVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGIFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFLFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGFWKKFNLIFLFFLLRKLVLPFYSFTLFCIILPMTMFIPEAELPAWVVCYIPATMSLLNILPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVALVEKQSKQQRVGSAPNLDSLVKDESHLKKDSKKKKHNRIYRKELALSFLLLTAAARSLLSVQGIHFYFLLFQGVSFLVVGLDLIAVVISYRLGMQFNTSMGAY >Et_4A_034469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3532315:3536195:1 gene:Et_4A_034469 transcript:Et_4A_034469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAVFPVLRLSDYFTRPSIDELVEREAADPGYCSRVPNFIVGRTGYGQVRFLSDTDVRGMDLNEIVRFDRHCVVVYDDEANKPPVGHGLNKAAEVTLQLYLKELPEPSILYGLLRLRTKKQGARFVSFNSVNGEWKFKVDHFSRFGFVDEDEEDVAMDEAAVRQPIAEVRDPPSEGYEMELSHSLPAHLGLDPAKMQEMRMAMFANDEDMEDGFPSDQKYLTRDRMSVDSPTSSAKGSRRSGMHARKEPQALLEYSLNSSDIGPSSQGILMSGHNKGLPVRMTKVEGFKLPSEQESPVAGKIYTNCVVDAALFMGKSFRVGWGPNGILVHSGSLVNSPGTGLSSVIHIEKVAVDKTVRDEKNKTKEELTEICFSDPMELHKSLDHEFLETESDLFKLKLQKVVTNRFILPDICRSYIDIIERQLEVSDLPMSLRVLLMHQVTVWELIRVLFSERAVGNQLETIGDDDQGDMALDKKEGSINIDPEALPLVRRADFSNWLQDSVCHRVQGEVGSLRDAQYLEHILLLLTGRQLDTATEVAASRGDVRLAILLSQTGGSMLNRSDLAQQLDLWKMNGLDFDYIEEKRLKVYELLAGNIHAALQDSPIDWKRYLGLIMWYQLSPDTPLNIIIRSYEQLLGEGKVPYPVPVYIDEGPLDEALHWSPGDRFDISYYLMLLHANQDEKFGLLKTMFSSFSSSYDPLDYHMIWHQRSVLEAIGAFSSSDLHLLDLSFVHQLLCLGKCHWAIYVILHMPHREDAPYIHEKLIREILSQYCESWSKDDAQRQYIVELGIPEEWMHEALALYLEYYGDKQGALENFIQCGNWKKAHTIFMTSVSHSLFLSSKHKEIWDVISVLENHKSQIADWDLGAGIYIEYYILKNSMQEESALDDSDPLEKKNESCSSFFGRLNDSLLVWGSKLPVEARACYSKMAEELCELLMNTPGAPDLYMGSFETMLSAPVPDDHKSSYVQEAVSVFTEILCSNS >Et_4B_036032.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:14708613:14709419:-1 gene:Et_4B_036032 transcript:Et_4B_036032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGKQSRAPPFLQKSHLNLSLACMLQANPQFFSTVDLQLSQLQVGQSPLIQSLGQQEEILLVPLLLLQKSWQHPRRTWDLQLSHWATGGLQVPLHAASITALQLMLHSDRQSLHNGFFGGCPWEGRTSIARTRTAAAALRPAAPETIFVDGRSKLCYREQDM >Et_2B_020462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20473387:20474152:1 gene:Et_2B_020462 transcript:Et_2B_020462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPDKKASKPKSRLSEATEVFSNTMQTLAKAFAEPPPPPPVPKLDHNPYANLWKRLEALPLRTEDKINVGVYLARPECEGMRSFLDASSDHTLETWYTFSVKIRSPTGNNGSGRDPAQSASRHVAASDTPARRQRSYPSAT >Et_2B_021160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26971354:26987671:1 gene:Et_2B_021160 transcript:Et_2B_021160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAFDLNEQPNENRGGSLTYVLLQKDSKNICRTKVCDLPIEVPFIWSIVSFVPTKAYERRDFPKLSLLPYPEDRKQKAEWGKFMQFLSDYKKAAIVRCGSSTFHILAPQPDESPDFPHAVLMFECGQNGPGGCKQTPGLSEEHMNSPAMNVSKRSSKCDLQYGSRNPNLPYSNEEIGDSRPNPKGMATSHKHHNPLDMELHRSVPESSPCESVEDSPRVLNSTLKKQKTSPTKNFISADPSYLRTLSQTHAGWIFGAIAELIDNSRDAGASRLSISIESLFSKKAQRKIPVLSVIDDGCGMTYADMMRMISFGHKRPNEHCEDQIGRFGIGFKTGAMKLGKDAVVLTQTSSSRSVAFLSQSFNEEKDNLEIPVVAYRKEGHYMEVDLSVQSEATAEYNLNAIKEFSSFNEYFIGEKLGLFGENGTGTQIYIWNLDKWGTDYTLEWNSGKLSENPVHNGRGDILIRSRRVPLDYSLQSYLELMFLNPRMKITVQGSSVKSRPLAKTLNKTSVVSGEIMGKSIILTLGRSKVEWDRMNCGIFLYWHGRLIESYKRIGGQKHSADMGRGLIGVADITNLIDDEDGNSWVLNNKQGFQDCEMYAKLEEWLGRKVDEYWDTKYDSLELRKAGERYKPDQDWVQCYSCRKWRMLNAGFDSATLPEEWFCNMPPFNGKCEVPEQQMGRGVIVIGEKRGYDEQKKGASPVQKNSEGTTCERCAYRRSDFPKFSLLPAPEDSHQNSEWAKFMRYLWDSKRAAIVKFGSSTFHILAPQPDEHTNFSHAVLMYENEKNDPGSCRQMIQKPYLNEEICESGTSKETSSSHKHHELHRTAPEFSPLESVKVVPKACDPVVRKRATAPRENFIHADPSYLRTLGHTWRLDISIELLFLKKEDAFVPVLSVTDDGHGMTYADMMRMISFGHKGPKERCKDQIGRFGIGFKTGAMKLGKDAVVLTQTSSSRSVAFLSQSFNEKKDNVEIPVVTYHREGQFMEVDLSIQSEAMAEYNLNAIKEFSPFNEYSLGEKLGLFRDEGTGTQVYIWNLDKCGTDYTLEWHSRKSLENFAPTGRGDILIRSRRVRSRPGQTSNKVPLDYSLQSYLEVMFLNPRVKMSVQGSLPYKRVGGQKHSTDMGRGVIGVADITDLIDEENGHSWVLNNKQGFQDCEIYAKLEEWLGRKVDEYWDTNFDSLELRKGRGHDKAGDPWVQCYSCPKWRVLSAGFNTESLPDEWFCCMPPFNGKCEIPEQQLGHGVIVVGEKRTGYHEQNRVAAQKEEMAKKSLRSENLEVESTSEDEVNVKSQNFFD >Et_3B_028939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20623491:20627739:1 gene:Et_3B_028939 transcript:Et_3B_028939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSSEDRRRRKRRLADLDAGELEEGECAPGDHSSSDTEDYYNRDHAASSGGSEETISDLDDATASSAAPNHKSSSTAPNHRHKSSASAAAANHNGGGGGARPYSVLANRYGGGARASLTAAYYHYGRASASSASANLSFAAAAMRACRVCGKEFSSEKAVCGHMQVHAQEALHGAGKEPQQGNGKGKKKVKKERGVAVAGGWGVTGRRGCSGWGTSTDTVIVKASPSAEPDHASMDIVPAEPKIVYAPTPVAFAMPEDVPSEPMESARTNLSGESSSKRPMPDDDMDIAAAEANPVPPTEPVVHQQAAAAPAPPPPSAGKQAQVVRHKRAPRPSAGRQNPDGYRCPDPTCNAWYSNHQALGGHVAGHKNKRLAAEGVPQDGAGPSSSGGGSRAAKPHTCLICGKVYSKGVQLGGHMRKHFEGKIVPKRKPRLIEPPPPPADVAAGQALCRPADAHADDLTLALPIKAELQSLAPAVDAGQQAPAAAAEGTSEPSSPGPRATGRVILFGIDIGLGVQTPQAQEGSPATKDSSAFTGSSEDQYQKRHSDVDDDELEEGEFVPGPGGHHSESDTEEYYNHYSSSESDDEATSKSNAWSHSVPTNNGGDAGRSSSSVAANGNGYASSSSVSVVAAPALACRVCGKEFTSTKAVCGHMKVHALEAQWRQEQGNGKKKEKEVKRTAPVERGWGFTGKRGFPGSRCRAVSPPNAEPASSKAIVTAEPKLVLEPTPLAYAASNLSSVSAAVPAKTNLSGEGSGAQSIHNDAMAVVVAPGADPPAEAVVHQQAPPLPAAAGAEAAPVVHQQRAARPAAGAQNPDGYTCGECGKWFRTNQALGGHVAGHKNRRLAAAGGVDQADGAVPCRRGSNKPKKRHVCPVCSVEFPKGVQLGGHMRKHYTGEPIVPNKKQRL >Et_3A_027354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8608161:8610484:1 gene:Et_3A_027354 transcript:Et_3A_027354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVNPISGRQIQLPPIGRRPFSKAVLLEMNERNFIVAVLYGDQKGYKVTRKGYTSWSSVQSKHILEDIIKHKGHLYTSDMYGTVEMWAEPPRAWPDEEVTHQWRLRCLVETPAGDLIRVKRQGPNKFSVWSLDKETFSWVEIDNIGDFALFVSHYNSVCFVAKDHPNLKANCIYFIDTYNNLCAFCLEHGTKELVQDLAIGRARSEPFRRRPQQRDQHQLLWTPVQVELSRQQRNTCDSNTPSSSLPSTFPAALARSGRPPVRIPPPASSIMVPVTSAVVQELPRRLGQTPSQQPGWRVWARRALILVLLLAVTGGFAAAAHGARHRPRELACAVAAPRRVRIAVWVVSVTLSNTFASCVADLMPVLVLKLDVWAVAAVVLGISFYNLFCSKDEAGCCNADHGRGQGGDDAGRRLERSSHELSPEEKLGLGVNDVNLVWLKEAPARLRPMLAAGWACSLATAAAAAGCHRTPPTR >Et_1B_013448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8480044:8480955:-1 gene:Et_1B_013448 transcript:Et_1B_013448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKQKIDAQKRNAERNQKAKGSQLEARAVGLKVVCPICKVQLANEKQLIDHYGSKHPKEKPPSPSNA >Et_8A_056226.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:16738457:16738678:-1 gene:Et_8A_056226 transcript:Et_8A_056226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCELWAQAPASPGTPWAVARRVGIETDVASCAAAPGEAAVEEGSWLWLEVAPEMMHGTGVSRVMQLSVLIDKL >Et_6A_046012.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:18315820:18316773:-1 gene:Et_6A_046012 transcript:Et_6A_046012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLAGLAQAEVPARQQQHRSFPLAARLARPLPRRRARGAEAARLRVVRRRGGVGLAASLDGGRFADAAQEEVVERGAEPRGGGLVAARDAEPRLALGGRLTEPLLQLRGAAARGLHLGLRLPQPARRGAGDGEGQLLPLPLPRVLHRRAQPVALGAHQRVHLRAVPAQLRHQRVRRRDRGRRGGPPAGRRLLHPRDRQQSRRRRRHVLLHHHLSLPPALPRREHPTATTITGELALALRAAADHAGAIVQVPLVAGGGGGGEERAGGGVPHPQQRPHLRLGQATELRQHGHASQRSLISLEEKRREERVSTSRGEF >Et_6A_047552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7235466:7238703:1 gene:Et_6A_047552 transcript:Et_6A_047552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIFPSHSIIGVSSPAQAETAGFGSPESRGSMSSGAGSLTSPSAAVCSRSWSISEDSLRRYVTYASESCIQELLAASDSGRGSDDEDDGWKVLAYRNGVEIARRRMGPGHVFRSRWLLQDVSPEQFMAVANAVDAAKHWESDQLVEASYIKELGDDLSIIHLKFGDAASARRRRDLVVYERRQAMDDGTLVVAVASLPKEIAAGLLPRTPAKGGSGSLLLQSGWVVEKLDDGCVVTYVAQLDPAAGWMPRCLVSRLNNKLVMIIDKLWRISQITMPAAAAAAEV >Et_1B_013348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7554209:7558254:-1 gene:Et_1B_013348 transcript:Et_1B_013348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPWGGGGGEEEEGVSEGSPAVAGLRHRHAGKGADDAAGGGGEGANGGEPASVERVFADKEVPSWREQLTLRALVVSFFLAVMFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRMWTAAVERMGFLRQPFTRQENTVIQTCVVSAYGIAFSGGFGSYLFGMSEKIAMQATEAKDANNIKDPHLGWMIGFLFLVSFIGLFALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKYFVFSFFWGFFQWFYTAGDECGFKNFPTLGLEAYNNRFFFDFSPTYVGVGMICPYIVNVSVLLGGILSWGVMWPLIAKKSGSWYSATLPDTSLHGLQAYRVFISIALILGDDNGSTMPTSEAVSFDDERRTEVFLKDQIPKSVAYGGYVIVAAISIGTLPQIFPQLKWYYILVAYVVAPVLAFCNAYGSGLTDWSLASTYGKLAIFVFGAWAGLAHGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFISQVIGTGMGCVIAPCVFWLFYKAFGDIGESGTEYPAPYAIVYRNMAILGVDGFQSLPKNCLTLCYIFFAAAIVINLIRDVTPHKVSRFIPLPMAMAIPFYIGTYFAIDMFLGSAILFVWERINKAKADAFGPAVASGLICGDGIWTLPQSILALAKVQPPICMKFLSRATNAKVDSFLKG >Et_10A_000501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11587218:11588947:1 gene:Et_10A_000501 transcript:Et_10A_000501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVANDVVMLDHFQLKKLALLLRNNEELLMNKVMKSENERAVYLKSVNDVYDKTISLIDDGTAMVDKHVAMDGASGERTSIARDIRSYVEHFLNFSMQSLRNYSLRVSCVDKIRVHYDSLVRDLSSLHPENVANVRKMAKETALFKECMWEYCDKHRSGGARALSKAYSMVLKQEGIKFPDLVKRHKNRLGFEGEFEQLADAQKLEVYNSIIEESGRAKIPKLEIASTVVGIGVLVVTAGLIVWDIFTAEHKIEAVLNNSLFVLKEVGAFAVQVAVQAAVTEAFTEAGLGVFVVSLGGFVAGLAAGFLFSVAAGFLIDLIMGSGGTKAPDLSGLTFHTANMPDGMALANAMTHE >Et_9A_063083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9808401:9809290:1 gene:Et_9A_063083 transcript:Et_9A_063083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAFELAAESGVKIFMADTVYKLKKQYAIEAVFLCTLKILPNRVYNSKDPIVCDVEVLEGIVKAILAASSCQLHALGDRGADVVHGLGRISSIKTSNGMEIDSAKKGVVPIKIIGENTQEKFRLYGRHFNDSNELLGQISRRSIDVLKEYYRDEMNDENWQLIRRLKKQFGIA >Et_7A_050235.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14190400:14190879:-1 gene:Et_7A_050235 transcript:Et_7A_050235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPYVTPPPPLPPSGYYPPPPPDNYTTPPPTTTTHVTVIVIVVPIVGVVCLGLLAALLFVLCRRRRRECKEDDEEKVDEVEDVEVKVTEHVRIVEG >Et_1A_005303.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:39106306:39106620:-1 gene:Et_1A_005303 transcript:Et_1A_005303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPETSMRSPSLKSSAKSSSWPGSNGSTAVSLNSCRWRSGGAPALPRCPSSGRVSFSSRTPWYPTCTASYPSVAAVFTCVTTFPPSRNPTTVTGTGLPVSGSK >Et_10B_004167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16991434:16999120:-1 gene:Et_10B_004167 transcript:Et_10B_004167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGNDLVRVRIKGRMGRREFTHVEGVKVVFDPLQDPRKSQKGMRLQGNVVTDPELGKVIILQGDQRKNVANFLNDVNTKYISMKILPKGSRPPIKEMTEGVRYHFFSGIGRGIVFTRQGLLGAPLQFLPTTVPKSTNGKEMKAQRTNMTTIVPNGIAANDRIKLRGTNPKEADHLEDYGRKQQETIDTDKQKNDTKPLGGH >Et_7B_055692.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:22061496:22063835:-1 gene:Et_7B_055692 transcript:Et_7B_055692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADEGASASVPPPPEAGAAGAMGAEEAAARKRYEALLQVRAKAVKGKGAWYWAHLEPVLAPPPGSGASPKAARLRCVLCAATFSASNPSRTASEHLKRGACPNFAAPLAAASPPPQQPQALAVASSIVPISSFPPSTQRRHSTGGGGGGGGRKRHALAAAYAAVEAAASSQQQQLVAMGGDPAAYSSTPPTPPALPAPRQALSGGRGDLTSLARLEDSVKRLKSPSASPGAVLPRHQAEAALSLLADWLLESSGSVSLAAAEHPKLGAFLRQVGLPEPPSRAELARARLAARHAEARADVAARVRDARFFQLAADGWRDAVVSLAVNLPNGTSVFHRAVPLPAPATSDYAEEVVMDAVSSVAAASGDIQHCAGVVADRFGGKALRDLEGKHPWMVNLPCQAHDVTRLARDLARELPLFRSAAANCAKIAAYFNATPAARALLHRHQVPEHGHAMLLRVAAPPSNDTANEFTASFAMLEDVLTSARPLQLAVLEEPYKLVCIDDSAAREIAGMVQNRAFWTEVEAAHSLVKLITDTVKEMETERPLVGQCIPLWEDLRGKVRGWCRKFNADEGVAMNVVENRFRKSYHPAWSAAFILDPLYLIKDPSGRYLPPFRYLSPEQERDVDMLIRRLVSPEEAHLAMMELMKWRSEGLDPLYAQAVQVRQPDPATGKMKIANKQSSRLVWETCLKELKSLGKVAVRLIFLHATARSFRCTPTMARCLTASSAAAVSRAQRLVFVAANSKLERKDFSNDDDRDMELLTEGDDDMLTDQTPDPSSV >Et_1B_012409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31689739:31691409:-1 gene:Et_1B_012409 transcript:Et_1B_012409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWIAQDPVAQYILLVDNYNCARGSKNISGPLWLISSREMDAKATLILISLISACLAISAKCERINLSSILLRVEMWCDAGEGDGAVTPVSRAGGLRRQPDGEQTISGSMITVVLCFIRTCEHNSDKSCWCCGMLPDTPCFADRKQCWSICPRQRQTLPARETSSSGSQQVHKGVVYHRLMTHLQSCGVC >Et_4A_034639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4999402:5004819:-1 gene:Et_4A_034639 transcript:Et_4A_034639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPPAHQTKPSNHHRRHHHNHPGPRQQQQPPQQRYVPKSAAPAAPNPSPPPPSLTAALRSSTASSSASSAGGSTSGGGGAAADAFVAYLPHDEAVAAGLGGVDAQESQAVVDLLNDALAALLRATPREFWRQVAQNSSLHDFLDSYLQFRHRWYDLPHRGPKGTVAGLVVGELELCRRVFMVLYRISSNKDPGAGRGESLSMKEHAALLLEKKLLDLPKLLDICAIYEHDNSKLTSLLVTNAINVQPNVLDGINIVIPQFLQIFHTMHDRCMTSVQMLTSPGSNDRGYAQLQKDFLEVLDFINDGILTLDSFVGAYQPAALLFCANFEMSHRVEELLNTLARLHDLLLPSLLQGFRVMSGSQGNGETSPDSILGNVVLGIRMLSKRTVRYGWRLLHYCYLNDQLKEHDTQASTKMFPAKVEDPMIRGDILVQTLKDINREASFSSQVNHGNTFLRALEQEYQLMSHIGNIRNKGWIYMDDEQFQFLSRLCGFDHTSWNVPSLPISSHGGELQKKDEEAAIIESKISQIRDLFPDYGKGFLAACLEAYNLNPEEVIQRILEGTLHQDLLALDTSLEEMPQKKPAPTAGKDKGKGILVETPQATNKPPKIAEIRHIVEDGPSSSVSSASQGPYSSVSSVPQGRFTRKSNDEMPETATLDSKNAKDAIRSAILESQYEYEDEYDDSFDDLGFSVVESSYEETDGANDTEASSQGPRWSSQKKPQFYVKDGKNYSYKVAGSVAVSSAREAAVVRQTEKDTIYGLGRGGNIPFGVPNRQHLVVEDERGDDANNIIRGGSNPRGGPGPRGGGGRRGGRNHNRRDRAMKKHMQGMTGL >Et_3A_027202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4781036:4781882:1 gene:Et_3A_027202 transcript:Et_3A_027202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGSQKHAYHYAHQLNFTTAQIKPRGLSSRGQNESLLGVLDLSDGENKEQRRERHSVDCREKMKQQRCSCSFVAHRLLVLLMSLLVLAVLLTGFLTGGNNHVALLASSNRWYRTAWHDTRLKISIPAIFHTHTHALVPLCPTCFSVSGPEAASATFVASVSPLLPKLPRGAVFTRRSPPAGERVPGRLPPHPPAGALTKGPVFLATASPNHQPMRRIDTVSRRSNLKQSLFSHLVNR >Et_3B_031140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1797370:1799782:-1 gene:Et_3B_031140 transcript:Et_3B_031140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEVHPKEFKNQILLRARSWWSDINAQEIGVTGEHPRLILRSSICLKYVALLSIGHVHCPLMQTPYSPQTVGCPSDSNLMYAISVCLDVMFASATVSEEDAKMATEPFVRVM >Et_1B_012981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4547571:4556735:1 gene:Et_1B_012981 transcript:Et_1B_012981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVCLSLVAHAADTRTHKVLDIESMKPKTVCSESKAVPSSTGGTTLPLHHRHGPCSPVSSKKTPPTLEEMLQHDALRAAYIQRKLNRTAGGGGVQQSDATATVPTALGTAQNTLEYVIPVRLGSQAATQTVLIDTGSDVSWVHCKPCAPCHDQSDALFDPSASTTYSPFSCSSGACAQLGVEGAGCRSSQCQYQVGYGDGSATIGTYASDTLKLGSNSIKNFQFGCSRVDAGFDEDQTDGLMGLGRGPQSLVSQTTGKFGKAFSYCLPASSGSSGFLTLGAGTTTSGFVKTPLFRVDQPTFYIVRLQAIRVEGKQLNIPSSVFSDGTLLDSGTVLTYLPQPAYSALSSAFKAGMKQYPSAPSRGDLDTCFNFDGHTTITMPTIELVFRGGAVVKIEGNGVLVDNCLAFSANDNPSIIGNVQQRTFEVLYDLWHSKTFNQQHIISAAATVPEVARRSTLVAMASVPKLLLLALLFSYHSLVARAADARSHKVLDIEPMKPKTVCSESKGAVISMHAPVPSSSVGTTLPLHHRHGPCSPVSSSKKMPTLEEMLQRDELRAAYIQRKFSRAAGGGSVQQSDATVPTTLGTALNTLEYVITVGLGSQAATQTMLIDTGSDVSWVQCKPCAQCHDQADELFDPSASSTYSPFSCSSGACALLGQEGAGCSSSQCQYTVQYGDGSTTTGTYSADTLKLGSNSVKSFQFGCSNVESGFNDQTDGLMGLGGGAQSLVSQTAGTFGKAFSYCLPASSGSSGFLTLGAGTSGFVKTPMLRSNQPTFYGVLLQAIRVGGKQLNIPSSVFSGGAIMDSGTVITRLPQAAYSALSSAFKAGMKQYPSAPSSGLLDTCFNFDGQTSITLPTVELVFSGGAVVSLDGNGIILDNCLAFAGGGNPSIIGNVQQRTFEVLYDVGGRSVGFRAGAC >Et_3B_031094.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:15189651:15191051:-1 gene:Et_3B_031094 transcript:Et_3B_031094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPKPALAAAFLILILLMPVSGKYRFSLSTKTKKQLKEFLKDHATDLADLFPNVGSYNDKSRPAVGEPGRSQAPATNAGKYIFSFDVGTPPQRVSGALDITSQLVWMRCDCPDCAPGGTLFYPSNSSTMVKEACTTTGCQKLVSQSCGAENPWCSYTYMNGNGNTTGYFAQDKFILDSSDDDPVKVVFGCSLFSEGDFASVSGVIGLGRGPLSLVSQLREGRFSYYLAPDDGTESFLNLGGDNDDDKSKSTSSPRLSTPLLANKKAYPHFYYVGLTGLQVDGKDLPIPTGTFDFDTDGSGGVILSITVPVTFLDKRAYTVLKKALATKIGLPTVDGSDLGLDLCYTNKSLAAAKIPAMALVFDGGAVMELETWNYFYMDADTGLECLTILPSEAGGGSLLGNLIQAGTHMIYDVSGSKLLFESADKASAPVDSSEGSPRICLSLVLVNFVWVLDLLVYMHALVNY >Et_1A_006554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24312737:24326156:-1 gene:Et_1A_006554 transcript:Et_1A_006554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGNHQIRAGNDDLTRKMASFGVVEGVKGRLRRGGIAGCAREREEEEEILNDHQAEVSAGSRNGGFYIPFGGEGSVPTEQCQNKCEYRCSATSHKKPCLFFCNYCCQKCLCVPSGTYGNKEECPCYNNMKTKEGGPKLEVFVNLHKHKHTAIREWLHLNIYKVTFGFTNLFHDKVSRSFHLFCSQIRCHNDQGVLEIHDPAFAISQHAIVEDLKENIEHCRICFLQLVKKDDTVWALPDLLVARQELLRARFCRHRLSPERGKSLSAGQTYEVPPLTAGGEGSVHIEECVDSCDYRCSTSSHKKLCFFFCCYCCDKCLCVPSGTSGNKEECPCYNNFMLVEKALSLYMIDVRFSFCIVSYRCVCTECPQKCEYRCSETKRRDLCLMDCKLCCEKCLCVPSSTSGNKEECPCYNNWMS >Et_5A_040770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12183602:12188296:1 gene:Et_5A_040770 transcript:Et_5A_040770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRPSRRPGKRPAAPASEDGDGDGVDRISSLPDDLLHLVLVRLGCAREAARASILARRWRGLWTRLPEYTFDGIEPESVEAALAQVTRPALDRLDIKADLKTGAALGRVSSLLRGAARLSPKTVSVNLDNQVGGMRVGILLPCFDHTSSLVLNMDGVLIAPPPAGDFSRLKSLELATCSNIFAEMLSSCPCLHDLRILTTRELNEVTVHSATLEELVVQSFYIFTEIRRIDIDAPELKKVKLDVEMDQEFIMTFSAPKVEEIDWTFRSMHGNVGLATLRLFALEYKPVPRGPQLTAEDRLHGVSILLYEIEVPDRTFAQEIVRLGLTGFSVLEVAVETNGHSFVPLLLHLLQIQPAIQRLIVVIYDGKDKERKLCPQNCPCRQPINWTSETIPLFDLEEVLISYDLEGGDEEVNFLKLLFRCAPGLKRMGVKANEKN >Et_7A_051477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19090033:19100917:-1 gene:Et_7A_051477 transcript:Et_7A_051477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLSSSHRNWDVKKRFNIHDVGATVRPVSATFFTARMTIAAALASKPDVGSSRKMIQGLETSSTAIVNRLRCSVDSPFAPGSPTKASRASSNSIVSRTSLTNSWKESKHIRSEKEITHELHLLPCNFGTTSSKVVLPAPVTPISTERTPGRKAPLQDKYRRLDHLSKIMEYHIGKMEDYHQNVVASFDFNKAQWEPLLLANKTAKIWKTDKPVINEYWNNAIPNAFTGRQYITSADICHKHKTVLETKEK >Et_7B_054330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2052456:2058270:1 gene:Et_7B_054330 transcript:Et_7B_054330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMRGAYGGSYDNGNDNRPPRGAAAPAPEEIPGMEVHKVLPPPPQSTASKLKARVKETLFPDDPFRGFKGHPLGVQWLMAVKYLFPILDWLPSYSFSLFNYAKLASLPPIIGLYSSFVPPMVYAVLGSSRDLAVGPVSIASLIMGSMLRQAVSPSAEPMLFLQLAFTSTLFAGVVQASLGILRLGFIIDFLSKATLVGFMAGAAIIVSLQQLKALLGIVHFTTEMGIVPVMASVFHHTNEWSWPTILMGACFLVFLLAARHVSLRWPRLFWVSACAPLASVIISTLAVFLFKAQNHGISIIGQLKCGLNRPSWDKLLFDSTYLGLTMKTGLVTGIISLTEGIAVGRTFASLKDYQVDGNKEMMAIGLMNVVGSCTSCYVTTGAFSRSAVNHNAGCKTAMSNVIMALTVMVTLLFLMPLFVYTPNVVLGAIIIAAVIGLIDIPAVYHIWKMDKMDFLVCVCAFAGVIFISVQEGLAIAVGISVFRVLLQITRPKMMIQGNIKGTDIYRNLNQYKEAQRIPGFLILAIEAPINFANSNYLNERIKRWIEEESSATELRFIILDLSAVPAIDTSGVAFLIDIKKSIEKHGMELVLVNPTGEVMEKIQRANEAHSHFRSDCLYLTTGEAVASLSSLAKMSSP >Et_4B_038641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3639252:3645538:1 gene:Et_4B_038641 transcript:Et_4B_038641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRKRVKTKRPKFYLIGRDKSRTLWRVLKIDRTESTELGIEEDPTSYTENECQELLWRIHEGNRLTGGLKFVTKCYGIVGFVKFLGPYYMVLITRRRKVGTICGHEIYSICKSEMIAVPSVIVWPNVAYSRDENRYKRLLCSVDLSKDFFYSYSYNIMRSLQKNITEKNTGQVVYETMFVWNEFLTRAIRNHLKSASWTVALIHGFFKQSKLSVSGKDFWLTLIARRSRHFAGTRFLKRGVNEKGRVANDVETEQIVFEDSSDEVPSQITSVVQHRGSIPLVWFQETSRLNIRPDIILKPDVDYKATRLHFENLTREKKPRESLLRAEFAKAIHYINKELPDDRRLKFLHMDLSKLSRRKGTNVLALLHKVASDVLELTEFLNCAITTSTGHDDASSGQGTVACDGESNDSQNVSATKLVPLLLQKGVLRTNCIDCLDRTNIFCEQRGQWKAATQSQEFLRTLQRYYNNAYTDPEKQDAINVSKIKRSMSDGNILLENSVPVSNCNVGENNTELLPMQQLADMREPSDSAPEISVCEPNLCSSTNYVTMTGRHSMSEERQNYLKRLGYPELHSSNFLDLDLLSSSGNSCDEEVFERSSLINSPMDVISIESSTSYSEQGHNDEGRDDTDISRSSSQLSDARDYSDRFAQWVANGGMLCY >Et_2B_020009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16029446:16033139:-1 gene:Et_2B_020009 transcript:Et_2B_020009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPPYRSTRRTIPRNCESRRLSRDEGETKGIAMASSPQQQGQAQGGVQGSGGGWSPEQFWSLLDKADRRFARVRDLPLVGRQEPDAFAKAFRIYTQLWRMQQEHRHRLLEAGLRRWQVGEIAARIAHLYYTQYQRTADTALLSEAFVFYHAVLDRAYFHDAGDHVAPGKHLRFLARFLLVALLLARRTITVPRVAADIRSLLDESKKALQVEAEYKEWKHVVQEIARFLRADSAFMNMRPLRYSYAFDPPPDTLPTVPPTIKRRGLGLSDAILCSYYHNEVKFTDITIDVFRMLQCLEWEPCGSFALNSGYSAHDESGQNHPNLLKDLRDAALPPNPLKTILYREVGPSGFGPEASEKIANNFSKFDISSTNRADSRVDDESGIWLGCRETEGSNCIYPCDLIPFTRRPLFLSIHGAERGETAAMLLSPGFRSSTAGFGGDSTRQSGSQFTMFLTAPLQAFCFLIGNNGMDIDRDAYNKAEELLSLSLNEWATALVSSSTLHPVWVEVLGDPLLRRLLLRFIFCRATHSLFKPTNHKAEFLPTCVPPLPESVDAESMLSQSCIMRIASFFGTVNQFSFAELTTWPDADTEEAAVCP >Et_5A_041458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23129270:23133153:1 gene:Et_5A_041458 transcript:Et_5A_041458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYCNYSSKAAWFALALAMAAVILAAPCAAQNSAQDFVNPHNAARASVSVGPVSWNATVAAYAQGYAAKRQGDCKLVHSGGPWCGATPPPSAVLVSAATMGVPSSSAAIAHEATSSESALTRSWRAN >Et_5B_043272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21335960:21338014:-1 gene:Et_5B_043272 transcript:Et_5B_043272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGQAAHLWKEWGVQVLVLFSFVLQVTLLILAEFRRRVDSGVLRFFTWSAYQLADATAIYVLGHMSVISRSPEHELVAFWAPFLLTHLGGLNNITAYAIEDNRLWLRHLQTLAVQAVAAAYIVYASSILSSQPLLQAVTILMFVVGVVKYGERVWALRCASGSESGHNYLGLETPDYYDQHVSLMYDVFYTKAESQCGCIRIVSSLGTAIALTLLLLLLSGHTSDRHNVGGYNRVDIVITHILSVGAAVLEAISLLRYILSSFNWTSLSRFDQFRHQMRVIGRRAITCLRRLIHAADRRRRHSWSRSMVQHNLLQVCARSKASWTSKVARMTGVEDWWNTLASSWSVPVSPLIEQLVMKQVLEGCDHIHNLQSRAAFQILDDLPKTKWKSQQVYDDLNLSIDHDKLSFEKSILLWHIATDLYLRWYKDQPKGDSSSSRKDDHLAEAVEALSNYMLFLVAARPHMLPSPANRKAYVEMCYILTGLRHSSAKDLAGTLLRALNTGSQFEFPFPAGEWRLNVSGYLEINPALVGGCKLAVTLIIGESPSDVLELIGKVLVEMLCFVGNRCSAYSHVKQLSEGGELITIAAFLVEYNRRRLLRGD >Et_9B_064638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17217625:17219269:-1 gene:Et_9B_064638 transcript:Et_9B_064638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQELAMASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYESTARSWTQKYAMG >Et_10B_002541.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:14837437:14837547:1 gene:Et_10B_002541 transcript:Et_10B_002541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISSELPSSAGRMQGQVLSVQPLLFADATPSTSDR >Et_3A_026038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3769985:3779124:1 gene:Et_3A_026038 transcript:Et_3A_026038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTGREDQEVGQPLLVTVDGGTADGTTASSSSIAVVVTSTAVAVAGSFEFGISVPVYISEITPKNLRGGFATVNQFMICCGASLAYVLGTFITWRTLASIGVVPCLVQLVGLLVTPESPRWLARFEHPGAFVVALQKLRGKGTDISEEAAEIKDFTEKLQCLPKSKILDLFQKDYIHAVTVGVGLMVLQQFGGVNAICFYASEIFVSAGFSSGNTGMLAMVAVQIPMTGLGVLLMDRAGRRPLLMVSAAGTCLGCLLVALSFLAKEQHWEKDLNIVFALAGILIFTGSFSLGMGGIPWVIMSEIFPINMKGSAGSLVTLVSWLGSWIVSYTFNFLLIWNSYGTFFIFASICGLTVVFVERLVPETKGRTLEEIQASMNSSLTNFRKYGDGRHSAASAAAASSSAIAVVIGSTAVAVAGSFEFGISVPVYISEITPKNLRGGFAAVTQFMSSCGVSLAYVLGTFISWRILAIIGLLPCLLQLVGLLVIPESPRWLARFGHPSAFVSALQKLRGKGTDISEEAAEIKEFTEKLQRLPKSKVLDLFQKDYIRAIIIGAGLMVLQQFGGVNAIYFYASEIFVSVGFSSGNTGMLAMAAIKIPMTGLGVLLMDKAGRRPLLMVSAAGTCLGCLLVALSFLAKEQHWEKDLNIVFALAGILIFAGSFSLGMGAIPWVIMSEIFPINMKGSAGSLVTLVSWLGSWIVSYSFNFLLMWNSYGTFFVFASICGLTVVFVERLVPETKGRTL >Et_1B_013047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5008961:5017439:1 gene:Et_1B_013047 transcript:Et_1B_013047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFVYVILGGGVAAGYAALEFARRGGYSRGELCIISEEAVAPYERPALSKGYLLPEGAARLPGFHTCVGANDELLTTKWYKEQGIELVLGTKVISADVRRKTLLTATGETISYKTLIIATGARVLKLEEFGIQGSDAANICYLRNIDDADKLVNAMKSCSGGNAVVIGGGYIGMECAAALVTNKIKVTMVFPEKHCMGRLFTPKIAEYYENYYTSKGVTFVKGTVLTSFEKDTTGKVTAVILKDGKHLPADMVVVGIGIRANTSLFENQLVVSMENGGIKVNGQMQTSDTSVYAVGDVAAFPIKLFDGDVRRLEHVDSARRTARHAVAAILEPSKTRDIDYLPFFYSRVFTLSWQFYGDNVGEVVHFGDFTSSSPRFGAYWVNKGRITGAFLEGGSREEYEAVSVAVRRKAKVANMDELGKQGLEFAIQESQKQMPDGGLAIGEKPTYAWHATAGVVAAVSIAAIADAMGRSFEYVILGGGVAAGYAALEFVRRKGDAASGELCIISDEDVAPYERPALSKGYLLPEGGARLPAFHTCVGANDELLTAEWYDEHGIELILGTRVISADVRRKTLLTSNGETISYKTLIVATGARAMKLEEFRVSGSDAENVCYLRNIKDADKLVSIMKSCPDGNTVVVGGGYIGMECTAALVANNIKVTMVFPEKHCMARLFTPKIAEFYENYYMSKGVTFIKGTAVSSLEVSSGKVTTAILRDGRRLPADMVVVGIGARARTDLFEGQLVMERGGIKVNGQMQTSDVSVYAVGDVAAFPVKLLGGEVRRLEHVDCARRTARHAVAAALNPAATGDIDYLPFFYSRVFALSWRFYGDNAGEAVHFGDLSSSPPKFGAFWVSAGRIAGAFLEGGSPEEYEAIEDAVRRGAPVPDVAEVARQGLAFVVREGRSGGSRGGGSCGVMPTHAWHTTVGVAAAVSIVALAYWYGWKAPYLAKRDF >Et_4B_038878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5606971:5616223:1 gene:Et_4B_038878 transcript:Et_4B_038878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGEDEAIGPDVASAGLHVSERIGRDAAAQPDLEEALEASRYASHPYSSHPKEWPPLVEVAETRQLPPMLIERYNAAAGEGTALCGIFSDIHRAWATVDNSFFVWRFDKWDGQCQEYNVDEQAICAVGLARAKPGIFVEAIQYLLVLATPVELVLVGVCCSASADGTDPYAELSLQPLPEYMIATDGVTMTCITCTDRGQIFLAGRDGHIYELQYTTGSSWRKRCRKVCLTTGIGSLLSRWVLPNAFKFSTVDPIVDMVVDEERNTIYARTEGMKLQLFDLGATGDGPLTKITEEKNIVDPRDAPYGGQRPTAARAARSPKPSVVCIAPLSAMESKWLHAVVVLSDGKRLFLSTSGGSGSSVGLNSSLQRPICLKIVATRPSPPLGVGGGLTFGAVSAAGRAQPEDLALKVESAFYSAGALIMSDSSATAMSSLLAVQKDSAAQLTLPSTFGSASRSSRALRETVSALPVEGRMLCASDVFPLPDAAFIMQSLYADVECFAGFRKPSEKASIKLWAKGDLPTQHILPRRRVVVFNTMGLMEVVFNRPVDILRKLFDGNTLRSQIEEFFNRFGAGEAAAMCLMLAAKLLYTEDSLISNAVSEKAAEAFEDPGLVGMPQIDGTTALSNTRTQAGGFSMGQVVQEAEPLFSGAYEGLCLCSSRLLYPIWELPIMVIRGPAGHNGREDGVVVCRLSTEAMKVLESKIRSLETFLRSRRNKRRGLYGYVAGLGDSGSILYKTGPIIGSGLQNDGRSPYALFLLQLICQHNVARLAQTLGDDLRKKLVHLTFHQLVCSEDGDQLAMRLISALMEYYIGPEGRGTVDEISTKLREGCPSYFNESDYKYYLAVECLERASMTNNHDEREILARDAFNLLSKIPDSADLSAICKRFENLSGTPGLATAVDPASRSKYIKQIIQLSVQWPDTVFHEHLYRTLIQLGLENELLEYGGSDLVSFLQSAGRKYEEEVRAVSSVTSGAKLHDLGAPISTSQTKYLELLARYYVLKGEHIAAAKMLLILAERQCSNSEEAPTLDQRYQYLSTAVLQAKSAGITADSSRNPIDSSTVDLLEGKLAVLRFQMQIKQELELMAARLENVLSSSESASDPFPRDNILADAETAKAAKEKAKELSLNLKSITQLYNDYAVPFNLWEVCLEMLSFANYSGDADSKIVREIWARLLDQALKRGGVAEACSVVKRVGSKLDPADGACLPLDIICLHLEKAALDRLSSGEEFIGEEDVARALLGACKGLPEPVLAVYDQLLSNGAILPSLNLKLRLLRSVLAILREWAMSVIAHRLGTTSVRASFVFDGNFSLNQTGASNQGVRDKIISLANRYMTEVRRLNLPQNQTENVYRGFRELEEKLLSPY >Et_6A_047373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4698645:4700315:-1 gene:Et_6A_047373 transcript:Et_6A_047373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITSSDTKKITITISPRLSIIPLVSSFSTLFPIMEEEAVVLIVGAGPAGLATAACLTQLFIPYVIVEREDCSASLWRNRTYDRLKIHLAKEFCELPHMAYPADAPTYIPKDQFVKYLDEYIDHFNIRPKYHTSIESCKYDDGTKCWISMAHNMDTSTTVKYTARFVVVASGENSAENIPIITGLEDFPGQVIHSSRYKSGAIYSGKNVLVVGCSNSGMEIAYDLACHGANTSIVVCSPVHVMTKELIRVGMTLVQYLPVNTVDKLLVTMSNFVFGDLSRHGIPKPKIGPLHLKSETGRSAVIDVGTVRLIKKGMIKVLGSISRIKGNIVEFEHRKECSFDAIVLATGYKSTANIWLKNGESMLNDDGLPKKKFPNQWKGANGLYCAGLAKRGLAGIARDANNIANDILSTIDSISEQTTH >Et_5A_042819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:508937:510013:1 gene:Et_5A_042819 transcript:Et_5A_042819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPEDRGDDPKDGSSKLRDRMIMMTMRIVHRPGTMVVMKLGHALVRCNCCPFVQTSMSPLSTPMTGTNVGEGMMDLILTGPYRILEAYGSLGLKVFSFFYEESSSDDEPSCTDDEGSSTDDEGFCTGPLFQSWDVTEPDEVEEYTQTILAAKAVETHAEVRLNLKDLGSKSRDVYGSVKASAVAYGSKSVHLLSYERGRSLSLPSGSTCILPLSPYMIALPYGRHFKLHIEVDLRVITTLDNQEEDKNLKFCLDCSRRTRSEERLEFPHRIRSHKREVDGDQIEVNVTWCLERS >Et_2B_022853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6797026:6802009:-1 gene:Et_2B_022853 transcript:Et_2B_022853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKWANSAYKWNSKLKLCMMIITTTISVKIIRQEEIENIDNFNERAIPISRMKKLIRAERDGVQQHQEEDTISNQHLNVVDECLEGIATPGTSGSGGNHNIHFDEFEIADDSWLSNILEDFMTEDPTSLLDPTSTNLHINSLNSVNLVDLSNH >Et_7B_053320.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6987163:6987528:-1 gene:Et_7B_053320 transcript:Et_7B_053320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMGGLILASASSDDGNVQIPSPQMSPDAMAGMSSAAFLLSSLCRTYTTRLPTHMSMAKLAPTRNGTAMAIGNGTNLANRRGRKSLATLASTANAKNPAHSCRQWSGSAAKPSTPRTAMLR >Et_1B_011655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24651200:24658299:1 gene:Et_1B_011655 transcript:Et_1B_011655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIDACSPPAHPDPSSISPDAWRRFETAALAVVHKIQPTVSSQHLRAAIIDYVQRIFRFNAGCEVFPFGSVPLKTYLPDGDIDLSTFGSAISDENLANEVHAILLSEEQSKSSEFEVKDVQLINAEVKLVKCLVQNIAVDISFNQIGGLYTLCFLEKVDKEFGKNHLFKRSIILIKDWCYYESRILGAHHGLISTYALEILVLFIFHIFHESLDGPLAVLYRFLDYYSKFDWDNKGISLFGPVLLSSLPELVTDPPNTHDDGFIPREEFLKECAKNFSIPARKSEKSERPFAKKFLNIVDPLKQSNNLGRSVSQGNFYRIRSAFDFGARKLGKVLQVPNCSTVSEVNQFFRNTLKRNHAGFRPDVLVTSSDDDVERVSKGSSPLYSSSYDDLSNQFSNINISHPSNCASIKQNEHNTMAEHKVIKAVSSGFLDSDATSHASIDSASLGNRGDFCESSPTASETCSLSSERYYCAPHLFHQSDNGKNDDFCDDTNPSYHGMSTKQFSGRSHHSFEDSNYSNGFSGSSSPLFGHHAHSSPASLADGLAKSNSFYPSENSQAGGTINDVVLDLDGDFNANLNQLAYAQGCQHDNPTNQFYYQMMPPPPLPAQYRSTSPALDHGRKNPYRYAGMNGAVPGPPYSPGCFVLRPFHQTDDHIAMRARGTGTYFPDPNMRKDMQPGGRGERGRHNFPPNNYQKFHHYVRTDMPADMIPLEELRQEIPPPFNRPVPSPSSRSPRDPSKLPAHAPSSQVRRGFHGNGLMLPQDSKHNIGTSGALPVEVTTSNECASRSDFASNNEASGPVSPICPADKTVTGNKQTRNPMPYHLKDSSDFPPLSS >Et_6A_046737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1974835:1978535:1 gene:Et_6A_046737 transcript:Et_6A_046737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSPAPPQPPPSAPAKVYYDGCPGCAMDRRKESHKGVPYKELVFVGVTTLASSLPISSLFPFLYFMIRDLHVAQREEDIGFYAGFLGASYMIGRGFASIFWGMVADRIGRKPVIAFSIFSVIIFNTLFGLSVKYWMAITTRLLLGALNGMLAPIKAYSIEVCRAEQQALGLSIVSTAWGLGVIIGPAIGGYLAQPVKQYPHLFHEKSAFGRFPYLLPCLSISLFATLVFIICAWLPETLHKHKGLEGVVETAEGANTQESKELPKESLFKNWPLMSSILTYCVFSLHDTAYSEIFSLWTVSDRKFGGLSFSSKDVGQVLTVAGASLLVYQLFVYRWVDKILGPIYSARVAAALSIPIIAAYPFMTHLSGLRLGVAMYCAAMIKSVLAITITTGTSLLQNNAVPQEQRGAANGIATTAMSLFKAVAPAAAGVIFSWAQKRQHASFFPGDHMVFLLLNLTEVIGLMLTFKPFLAVPRQYK >Et_4A_033304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21247937:21254534:1 gene:Et_4A_033304 transcript:Et_4A_033304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKRRTAIEMEQPEDAALPLPCDLLVDDVLARLPPRDLARARGVCRAWRAVIDGSRLLRADLLPLSLGGIFIDFNDHRFPEYFSNPSTSPTIPNMLDYLLDSIKTTRISIMGHCNGLLLLDGDYVVNPATRWWAQVPPSSHTLKGSFNYWNYLVFDPTLSSHYEVFSIPHLYGSHVEDVSNPTIEESDEWPPSSCILHVFSSRTNGWEGRSFIRDGEAAGTVSDMRKGVVEPDRYVYYKGVLYVQCQTNFLMRISLSDSKYQAIKPPLGTEDPHLGKSKHGVYFASLDYIDDGCPLRIWILNESPGQAEWVLKYHADLTCVLRQNFNILPPGSWVLQDVNYNFSNDKSEAQMEEKEIWDFEDDKSYDDWRSFRFLGFHPFEEVVFLSQSLNWGVAYHLSTSKAEYLGCLYSTKYGYFAEVSREIMASYPYTPCWM >Et_2A_018449.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28533642:28534469:1 gene:Et_2A_018449 transcript:Et_2A_018449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEKSAYQILQALDALSQTLNKGRANRRTTSLTLPRSAKDVDNVVNAAKEEARPRRLLSPRSPLDKKEDDDDDPASDGFAAVTPEDAAADGEKNAIIGWDPIRMLFHISTHRSGCPSSMDVVAAQGGLLPPSMNGLRLAVNVRKEETRDGAADSDEAQVSVRCSHYGTGGGKAGKPLPLLLSAAMDTPELDSLDCGRSTVDLSQFAMEFTDKCQQWDMVFPLARKAKGGGGDLVVKLAFQSVDDGGAGTYSQPAGEERCQRCPRLHGSSAYRR >Et_9B_064339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14176434:14181769:1 gene:Et_9B_064339 transcript:Et_9B_064339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHCFENAPALNRAGGGGEVVDDIGRLKAYIAGSAESKVAVLLISDAFGFEAPNLRKIADKVSSSGYFVVVPDFLHGDPYDPNNPSNPGMWMQSHDPKKAFEEAKPLIAALKAKGVSTIGAAGYCWGAKVVVELAKVHDIQAAVLLHPSLIAVDDIKEVKCPISILGAETDKLTPPELVKQFEQVLSANSEIGHFVKIFPGVAHGWAVRYSEEDEAAVRKAEEALQDMMDWFNKYINSHCFANPPELDPACGGGVVVDDFGGVKAYITGSAECKAAVVLVSDAFGFEAPKLREIADKVASSGYFVVVPDFLHGDPYEQNLHDPLQWLQSHNPLEAFEEAKPVIAALREKGVTTVGAAAKVVVELAKAREVQAAVMSHPALVTVVDIKEVKCPVCVLGAEIDQFSPQELVKQFEEVLSANAGVAHFVKIFPGVTHGWAVRYNDDDPAAVKSAEEALMDMMTWFDTYLKLLVMVDLDTTPPDYNIQIQPVLNKTGPDRYPNENV >Et_6A_046806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20927788:20929978:1 gene:Et_6A_046806 transcript:Et_6A_046806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQRAERISGDTDELDYGSSDDMNQPRTVVYFPGWERKKEMELSEWKDRVDNRDTSLLDPIRSWDLKVLKVLHMIRKKELTEYNPKSRLCRPTCFCEFNIALFDLDKESEVKPGLLYSDISHSEYWKFDYSINVVSIKVTQSDVPYPIKVYGTVLARDQNGYRCVYLFKRGRDDPQLITQTDNTLTLIGPYRALSSRDSMYFEFHLKILGDGVLDQDFSKGLIERNACCDNGESRTLSLESSLSTVTMLYTPIPCSSFPEVNILNGRANFIGKITASTGADKNKIILYDSKVAGTELTLGSGGSVSLTRSVVAVSHNENLVLKIYVCDGSSKRLKLVFGHSDEGCIRMLGSYELQVKIIWTGVFRQKQPETWMKVKHTLLWSAVFPHFAALATRSRTTV >Et_5B_044638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4254511:4257182:1 gene:Et_5B_044638 transcript:Et_5B_044638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAAAAWGRMSSGCLGAAVLFFVLAAAVAGGDDGFITWDDVSIPSAAAASGGAGVGLGKAAARGGGALTTIVVSQDGTGHSRTVQGAVDMVPAGNRRRVKILVRPGIYREKVRVPITKPFVSLIGMGTGRTVITWNSRASDLDPSTGKQVGTLNSASVAIEADYFCASHITFENAAPPAPPGAVGQQAVALRLSGDKAMLYRCRVLGTQDTLFDNMGRHYFYNCDIQGSIDFIFGNARSLFRGCTLHAVATSYGAIAASQRSSPAEDSGFSFVGCRLTGSGMMYLGRAWGRYARVVYSYCDLSGIVVPQGWSDWGDRSRTKTVMFGEYSCKGPGASTGQRVPWSRTLSYEEAQPFLGPSFINGEQWLKL >Et_7B_054328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2002133:2003922:1 gene:Et_7B_054328 transcript:Et_7B_054328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALATTATPLAHLGLTPSLISASQSSLLLLPRRASLSTRSRLLAAVAAKEPELGGGSGSVGGGGAGGTGGGGSDARGGGQEGEGEEEGEKKMAEGLSMSQKLTLAYAALVGAGGAMGYMKSGSQKSLAAGGISALVLFFVHTQLPVRPVFASSIGLGISAALLSVMGSRFKRSGKIFPAGVVSLVSLVMVGGYFHGILRSSHA >Et_7A_052450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8131758:8132729:-1 gene:Et_7A_052450 transcript:Et_7A_052450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TRHEGEGTHGKTLVAAVHGSRGTHRPRKEKKRKEAAEQTTLRYPHRVGDRSRGSMATTASSFLSPAKLAPLQGSARAPRRVVRFPPARAEVKEAEAEASLPPSQGEAASAKAKARVGDAQSLPRQPLAESKNMSREYGGQWLSSTTRHIRIYAAYIDPETNAFDQTQMDKLTLILDPQEEFVWTDETCQMVYNEFQDLVDHYEGAELSEYTLRLIGSDLEHYLRKLLYDGVIKYNMLTQNLNFSMGKPRVKFNSSQIPDAK >Et_4B_038364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28450055:28453195:-1 gene:Et_4B_038364 transcript:Et_4B_038364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKRQPEESDGPRRGGGAEAGGSSSSLPHRPGETKRQRVPALRDVISEVMWKTTIDKVFTAIEPLIRRVVKEEIESAFANHGAMMARSVADTVPCTTRKLQLQFMTKLSLPVFTGSKIEGEGSLSITIALVDALNGRVVASGKESLMKVEIVVLEGDFEGGEDWTAQEFDNNIVREREGKRPLISGDVFVPLTRGIGTVGELSLTDNSSWTRSRKFRLGARTEDGCFNGIRVQEAKTESFVVKDHRGELYKKHHPPFLEDEVWRLEKIGKEGAFHKRLNKENIVTVKDFLTLLHLDATRLRKILSGGMSTKMWELTVEHAKTCVLTDKVHYYYPDNLNRTGVVFNVVGEIKGLMSDKFVSVDDLSETEKAEAHVAVKQAYDHWENVFTCDNETLVRNPLRLLNMRSPSLHENQFSRQVAADGFGLNDSTVPSADIFSMESSSALDPCTLETEEGDENLFQSELPTLGSHEAPQESHVLEKFSNSLVYDDSTSHPSFSDSYYSCVDPGISFDTQDLGAALKGFIATISKPRAYRGWRTLSYVLGLIFYTKKIVALRKKHGK >Et_10A_001277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22970643:22972928:1 gene:Et_10A_001277 transcript:Et_10A_001277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTAGTVTPQGSPAPAELSSPKSSATKRRRMGAALSDVTNLLLPETPTPIKPRRTARYPLPPPSEASSTCSSSASVTPAPKRSYAAVLEEESSLVKSPAISTVYARRGTAEAEGRRRRAVPTTSKAKGKAPAAAAAAAAGTASCPPLGKSRTNNSRKTSMAQDTRPISSSAPCHEAKRKRPLSSTPKLPEDFVKKQREHFAEIDAFELLEEEVSETDLE >Et_10B_002493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11474037:11474924:1 gene:Et_10B_002493 transcript:Et_10B_002493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYFNLPDGGPKTYSRSSKQYISLFRASDDENACLLIKSDEHLHEWFKLNIDKGVVHIDAWVNDFVGPLQCSPTKRALHPKVRDKLLETPSTPSLELDPSTSIKEPATSTKKERAISTKKGKKQKKKARDEDSVGVDEEGMYSDTDSLVALSDSSYDTHLAASSNSDIDSSDIEFDPDDEIIDDDEEEEEEDIPEFSYDVDDPCIDVGVIFPDSDQFKEAVTHHAILDDHAVRSIKKDNDRFRAVCMKADMGCK >Et_6B_048557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10793780:10795981:1 gene:Et_6B_048557 transcript:Et_6B_048557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNYSMLFATQPSSSTANSYHFMAGTSSHDHDHHVTHVGHRSISHGSFLGDHPSSKDGVSQTELGESSGGGGAGEADRSTGVVEKRKGGKKERRPRYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLSKDQGVVVTTYEGTHTHPIEKSNDNFEHILTQMQIYSGIGGSGFSSSPMFQ >Et_1A_008832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15150063:15152869:1 gene:Et_1A_008832 transcript:Et_1A_008832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGRFDGRLGLLLLLSTMAAADALELGYYASSCPDAEEIVQYTMERLHYNDNSLAPAMIRMLFHDCFVRGCDASVMVVPTRTRSSERVAVPNHTLRGFNAVDAVKRALEAACPATVSCADALALMARDAVSLLGGLPYGVPLGRRDGTRSDPWEVDLPAPFARLDDALRAFAARGFSAEEAVVLFGAHTVGGAHCSSFRYRLLNGTKPSDIAMDEQFRRDMLQTCGAADLPVDEDPVPFMDPDTPFAVDNNYYAQLLSNRTLLQVDQEAATHPATAAHVAYYAASPDAFMQRFAEVMAKLSNLGVLEGEAGEVRKIGVRNMTTY >Et_3B_031029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10572942:10578358:-1 gene:Et_3B_031029 transcript:Et_3B_031029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRAALLAGRSRPSDPTTIRPGPAEWYCKEQHLALSLALAAARLSSSCPASPALLSPQPHAAGKRPPPPPGRRTPTASKGSSLAAVGFLVVLHSCLCWTGGDAGSVRPLMASSPVEMMASNLLHLHPQNTGKRHGFIAANSSGSRRSRMARHCFHQQHLCWPRIQRVTLPNIRLLPAPGAVASKGFDSPLVEKSYTAVDVEVIHLYRKPFLQESETKELLKKAKAKVSSNIVEIRTEQCFNIQLNSALSPEKLATLHWLLAETYEPEKLQTGSFLEEEVSRSPSTVIVEVGPRMTFSTAFSTNAVSICKSLSLVEVTRLERSRRYLLRLESGSEPLDESQLSDFAALVHDRMTECVYPNKLTSFQVDVIPEPVRVVPVIEMGREALEEINVKMGLAFDEQDINYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGKTMPKTLFQLVKSPLKANPSNSVIGFKDNSSAIKGFQVNHLRPMIPGSTSPLSTMMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFIVASTAGYCVGNLRLEASYAPWEDPSFSYPVNLASPLQILIDASDGASDYGNKFGEPLIQGYTRNFGMRLLNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVIRACAEMGEGNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDNTLSVLEIWGAEYQEQDALLVKPESRRLLESFCERERVSMAVIGKIDGCGKIVLIDSAALEHAKSNGLPPPTPVEELELEKVLGDMPQKTFEFKRVSHATEPLDIAPGVTLTDALKRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYADITGGACSIGEQPIKGLLNPKAMARLAVGEALTNLVWAKVTSLADVKASGNWMYAAKLDGEGADMYDAAVALADCMIKLGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISTYVTCPDITLTVTPDLKLGNDGVLLHIDLAKGNRRLGGSALAQAFDQIGNDCPDIDDVSYLKKVFEAIQELLSQRLISAGHDISDGGLIVCVLEMAFAGNCGIKLEMNLEARSLLEVLFAEELGLVIEVHSKNLDIIKKKLEAEGISANVIGEVTTSPEIEVVVDGQVHLKENTSDLRDLWEETSFQLEELQRLKSCVKLEKEGLKSRTSPSWSLSFTPKFTDEKLLIASSKPKVAIIREEGSNGDREMAAAFYAAGFEPWDITMSDLLAGKSSLEEFRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQDFYHRPDTFSLGVCNGCQLMALLGWVPGSDVGVLSVQVEICPNQGLFTMNLAVLSAGLPVCPLGILLLSCSKAWKVLPWVFGALMVKEELSSQMIMF >Et_5A_040452.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:23476606:23476956:-1 gene:Et_5A_040452 transcript:Et_5A_040452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPFKIPSRTEFHPQCVTKHPIEGWLGIEVCGAHEGTSNPVPLVRSTNPPGKKSKASSTPLWVPKNDPQPSGFSLGLLTTQMNRCRLISSPSASSAVCCHVSVPQLPNDTYTTDP >Et_3B_030358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32451264:32452170:1 gene:Et_3B_030358 transcript:Et_3B_030358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPFLNRTVDGFIKIGAVGACKVAAEETFECLHRGSVSKNKVEQALKKMCKEGAYWGTVAGVYVGVEYGIEKIRGRRDWKNAMIGGAVTGVLVSAVNNNQRNKVVKNAITGGAIATAAEFLSHLTS >Et_5A_040601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10167920:10172982:-1 gene:Et_5A_040601 transcript:Et_5A_040601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILDRTPAAGAGVSSSPLRRSSPRVPRGTASPLPDPILPYLRSIRRAIDELGTGPRYDTAALDRLKLYVTECIEKYGDDYQYSTDPRLLKVWILYADATGEFPRVYQHLEETGMFLEHALLYESYALFLFCKGQVLEADKVYGVGVSRKAEPLDHLKKKHLAFLKHLERLVEEAEADAQPKPSKIQKKEPTVVDPWSESTMSTLLKTINGDLKNFAGYHKSNKVYSGQVSLTSSQNALRNKIIDLGGRKYQIKGSPGTGAFAKVYKAAVDGNAEELVALKIQKPPFPWEFFIYRQLDMRISDIERPSFGYTHEMHIFSDVSVLVCEYLHHGTLLDVINYHLVVSRNMDEVLCMYYTIEMLNLLETLHSVGIIHGDFKPDNILVRYPSGDITEETFRTETRAEKNQGLCLVDWGRGIDMNLFPTGTEFQGDSGTSGFSCVEMQEERNWTYQVDTYGLCVIAHMMLHGTAMNIEKVPNTGRGGYQYKPKLPFKRYWNVELWKSLFSTLLNAPSCGSDVAAIRNLRTSFREYLGGNRQLIGKLNQQLTKQKASLCSS >Et_8A_057571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4671551:4673641:1 gene:Et_8A_057571 transcript:Et_8A_057571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTFSLLVLNISFRTTADDLYPLFERYGKVVDVFIPRDRRTGDSRGFAFVRYKYAEEAQKAIDRLDGRNVDGRNIMVQFAKYGPNAEPIRKGRVKESVEKSRKSRSRSPRPRHRDRDHRRRSRSRSRERHRDRDYRRRSRSRSKSRSRSRSKSRSRSRSRSPDYRDRRRTRDVEKLRSKSRSRSQSRSKSRSRSKSKSRSRSRSRSYHSGSPARRSASPGKSPTRQRSPTDRSPEKQTNGKASPPSHSVSPSPKRAGSRSPGIDKEVR >Et_2B_019385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26660100:26660720:-1 gene:Et_2B_019385 transcript:Et_2B_019385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLVEFAKMAADAMVQEAAGAPANQGYRGVVILHGGKWGAHIRDPASPGSKLWLGTYAAPEEAACAYDAAARTLRPGAATNFPDPAGKEEERRAVVLAHVARVKSKRAKRIRKAAMEVAAVVRDAVSAVATPLAMPAPEGDASGSQDAPAPAGGNEPASDADPAAMVAAGAVSAACRTRPGRRRRVRILGRAGS >Et_3A_025874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33612629:33616628:1 gene:Et_3A_025874 transcript:Et_3A_025874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGLLASAAINLGLALVALSLFSLLKKQPGNAPVYQPRRMAARDRSGGDLLPLGHGRVTPSFRWIGAAFQLSEDDVLRRHGLDALVVIRLFKFGIKCFSVCSIVGVLILAPTNYTSDGRADIRRSNSMELFTVTNVTRGSNRLWVHFSCLCFISFYVVYLLHKEYKEITRRRIEHLKYHKKRPDQYTILVQGIPKCPDHGTYGCYVDHFFSKHYQTYQAYQIVHDIGNIEALQKLASSIEKQIKRKRETRKCNFWQWIWFKFTTEAINIHRQEENLKDIQQSIRLLQCENMLKHKEVPVAFVSFKSRLDAAQAAEMQQLTNPLSLVTTYAPEPTETIWKNLSVPFWRMSMYRLGVFVAAFFLTVFFTIPVTAVQGIVQFEKIKRWFPPARAVQLIPGLNSVVTGYLPSMILNVFIYLVPFAMLGMASFEGCISNSQKEIKACNMVFYFLLGNVFFLSILSGSLLDQIGESFTHPKNIPSRLASAVSAQISLSRTFSPTNGMSGFSLEVLQFGLLTWQFIKAHSIGRGDEPYLYGFPYYRVVPIVSLAILIGMVYAVVAPLLLPILLIYFLLGYAVYINQMEDVYEITYDTCGQYWPNIHHYIFLSVTLMQITMIGLFGLKSKPGASFASIPLLVLNILYNQYCKVRFLPTFIHRPVQVAKENDELDADGMAEGDLDNAVSAYKPPWMRPTNLETSSVEPLNL >Et_7A_051461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1969242:1973858:1 gene:Et_7A_051461 transcript:Et_7A_051461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMATTSLASSSHHHRRLLFRSRPNPNSAFRAPNRGRLPPIRCSSASPSSQPATGGEEDESERRILSTQSSWEAKDSEGDDYLYRLGKEADNMNIAVGARAGVVDDVFVGNFLGKDSDIVFDYRQKATRTFQYLQGDYYIAPSFLVTCHIVKNYIASQLRNIKVPLILGIWGGKGQGKTFQTELIFRAMDVEPVIMSAGELESEWAGEPGRLIRDRYRTASQVIQNQPDREDIINIVHGMYAKDDMSIEDVSKIVDTFPNQALDFYGALRSRTYDQAILKWVDDIGGYEKLNEKLLKQKKGEKLPTFIPPKQTLEALIESGYSLVREQELIMNSKLAKEYMKNLDD >Et_9A_061368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10604700:10606188:-1 gene:Et_9A_061368 transcript:Et_9A_061368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TFQQRRNVKRASIRSKKGGGGDDLVARSLERGRRWPERAEHLVRRHLSAAAVGHQVRRQVVERHRRWRGRGSLRRHLHPVGAGRRRLAAGVLVGEREPLVRGVGERGGDDHDGPRRDELADDRAADDLALPAGETASPVAPGGQGDGRNAPERDRISKRPCSDTTAPAPGCGPAGPGWRSVTLATTPLPESTETPRCRRRANAATASMTSAPPAISSTCERSALGLSRVMMIGGFGLFFDPGGRPRGRRPDDDDPPVAPPEVGVGELSPPPPPPAPAGESSCAAPEESSWLLLLLPLLLGVVGAGSPCMDPPPPVANWARWLRMSTPPMVPAHHAIGSRQAIYYCDNVE >Et_1B_013197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6385011:6388511:1 gene:Et_1B_013197 transcript:Et_1B_013197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSYRCAALHDCTQNNMPPHSPRKLLKTPSRLAFSLKSFIKRPLRFVLFLRRPHALCAARLPRMARHAKTDSDVTSLAPSSPPRSPRRPAYYVLSPAASHPDVLLPASGGAAAAEKMSLAGSTPAESPLHYHFHRHSGAGVHHSRESSTGRLLFSDQLRSGPGAGAAVGGAAWRRLGHGGSGAGSVGDDEDEEESLRGGAASPWRCYALGAFAFFAVFAFFLLVLWGASRAYKPHVAVKSVVFESYHIQGGTDRTGVPTKMMSVNATVRLRFHNRGTFFGLHVTATPFTLFFEDLTVASGEMKEFYEPRKSGRMVTVSVVGKQVPLYGAGVSLHSKPNNGPLGPAVVPVRLAFTLRARAHILGLLVKSKFYRRVHCRLHIHEARLGKPVHGVAADCEYHDGR >Et_4A_034727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5891394:5900898:1 gene:Et_4A_034727 transcript:Et_4A_034727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGEDEAIGPDVASAGLHVSERIGRDAAAQPDLEEALEASRYASHPYSSHPKEWPPLVEVAETRQLPPMLIERYNAAAGEGTALCGIFSDIHRAWATVDNSFFVWRFDKWDGQCQEYNVDEQAICAVGLARAKPGIFVEAIQYLLVLATPVELVLVGVCCSASADGTDPYAELSLQPLPEYMIATDGVTMTCITCTDRGQIFLAGRDGHIYELQYTTGSSWRKRCRKVCLTTGIGSLLSRWVLPNAFKFSTVDPIVDMVVDEERNTIYARTEGMKLQLFDLGATGDGPLTKITEEKNIVDPRDAPYGGRRPTAARAARSPKPSVVCIAPLSAMESKWLHAVAVLSDGKRLFLSTSGGSGSSVGLNSSPQRPTCLKIVATRPSPPLGVGGGLTFGAVSAAGRAQPEDLALKVESAFYSAGALIMSDSSATAMSSLLAVQKDSAAQLTLPSTFGSASRSSRALRETVSALPVEGRMLCASDVFPLPDAAFIMQSLYADVECFAGFRKPSEKASIKLWAKGDLPTQHILPRRRVVVFNTMGLMEVVFNRPVDILRKLFDGNTLRSQIEEFFNRFGAGEAAAMCLMLAAKLLYTEDSLISNAVSEKAAEAFEDPGLVGMPQIDGTTALSNTRTQAGGFSMGQVVQEAEPLFSGAYEGLCLCSSRLLYPIWELPIMVIRGPAGHNGREDGVVVCRLSTEAMKVLESKIRSLETFLRSRRNKRRGLYGYVAGLGDSGSILYKTGPIIGSGLQNDGRSPYGSQIRDMNSADQSASNKKPRLLYTSAELAAMEVRAMECLRRLLRRSGEALFLLQLICQHNVARLAQTLGDDLRKKLVHLTFHQLVCLEDGDQLAMRLISALMEYYIGPEGRGTVDEISTKLREGCPSYFNESDYKYYLAVECLERASMTNNHDEREILARDAFNLLTKIPDSADLSAICKRFENLRFYEAVVRLPLQKAQALDSNADVINGQIDPRHHDTITLQREQCYEIVMNALRTLKGVGQSGTSGLATAVDPASRSKYIKQIIQLSVQWPDTVFHEHLYRTLIQLGLENELLEYGGSDLVSFLQSAGRKYEEEVRAVSSVTSGAKLHDLGAPISTSQTKYLELLARYYVLKGEHIAAAKMLLILAERQCSNSEEAPTLDQRYQYLSTAVLQAKSAGITADSSRNPIDSSTVDLLEGKLAVLRFQMQIKQELELMAARLENVLSSSESASDPFPRDNILADAETAKASKEKAKELSLNLKSITQLYNDYAVPFNLWEVCLEMLSFANYSGDADSKIVREIWARLLDQALKRGGVAEACSVVKRVGSKLDPADGACLPLDIICLHLEKSALDRLSSGEELVGEEDVARALLGACKGLPEPVLAVYDQLLSNGAIMPSLNLKLRLLRSVLAILREWGMSVIAHRLGTTSAGASFVFDGSFSLNQTGASNQGVRDKIISLANRYMTEVRRLNLPQNQTENVYHGFRELEEKLLSPY >Et_5B_043041.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:16803203:16805583:1 gene:Et_5B_043041 transcript:Et_5B_043041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGCATEALDHLHQMARAGLVFNQYTYSTAFALAGMLSLSDLGRQLHGRIVTAALQEDSFVWCSLMDMYCKCGGMEVALSIFNRCSRFTVDVKFAWSTMVAGYVLNGQEEDALEFFRRMLREGVAADQFILTSVAAACANAGMVEQGKQVHSLVEKLGHRLDAPLASAIVDMYSKCGSLDDARRMFNRAQDKNVTLWTAMLGSFAAFGQGRMAIEFFNRMKEENIMPNEITFVAVLSACSHSGLVSEGDQFFKLMQEEYRIVPSIEHYNCMVDLYGRAGLVEKGKNFIEENNISNEAIVWKTLLSACRVHKHIEYAKLASEKLIQLERCDAGSYVLMSNIYATGRKWLDTFELRSSMREKRVRKQPGQSWIHLKNAVHTFVAGDMSHPRSAETYAYLERLMERLHEMGYTSRTDVVVHDVEEEQRETSLKFHSEKLAIAFGIVSTPPGTPLRIFKNLRVCEDCHEAIKVISQAEEREIIVRDLYRFHHFKDGRCSCEDFW >Et_9A_062006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18854251:18854738:1 gene:Et_9A_062006 transcript:Et_9A_062006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLQLGSTVFMGLQDVRIATTMHTVKILALRLGNLHLDVVINFMKCFPCLEKLYIKTFLKSTPCRLLTPRSVSHVDFAKFFVLNARVLESMVLVVEPKKRSDYWVKKQRRQLQLENRASIGAQLEFVSDDLYDINQKHEMP >Et_9A_060911.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16557478:16558350:1 gene:Et_9A_060911 transcript:Et_9A_060911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRDAVLWTSMLSAYAQGGEPEEALRFFEGMVAARVLMDAVVMVSLLLACGQLGWRRHGRSVHAFCIRRFLGMPLSLGNALVDMYVKCGDFAFAERVFAGMPRRDVISWSALILGHGLNGRSDVALGLFDRMTTEGVQPNSVTFLGALSACAHSGMVDKAYAIFEGMKQRGIEPELKHYSCMADVLGRSGCVVEVVRLIEEMPFEPDEAMLGGALAACRVHGEMEAAERISKRLMDMSPGKSGYYMSLANIYSDAGRYDDAERIREFMKEVEVDKLPGYSSVESDFCKS >Et_7B_053812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12424852:12425403:1 gene:Et_7B_053812 transcript:Et_7B_053812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANELVLSAYLRIDGSLLPVTSGGEMASVVAASLTHQAGHLLRFLRGARNLDLSKLSTTAFLDDDEAAIVFPNLRTLLLYDCDDVVVLRHFLQNAPKLERLTSVELEFYDDHDVLELDEALGDIWREYLLPIERFTRHGKCNVYT >Et_1B_012143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29370050:29372179:1 gene:Et_1B_012143 transcript:Et_1B_012143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLGFVFVLVAAAALIKPSGGWRQHPSSPSPLLNGDLADKIWSICLHYMVGSEVILGNVLPFASNELSSRSSENDLKIMLFLELLGHLPPEKLSITCDCIRANYFDLGISQEFSFALSTYLENQQSLIGLNYYPRRQLSDQSSVDAPSMAPVLAPPIFSGDEAQFTQSVTETLFTPSNSLNMEPPSRHNHSRPAHKHRGVPPPVSPLEKHKDYIKLVLIAVLPTAVVSFVIAFLIFYCCGCNKSKVSVSEQRDDHPLLHLQLATPGSSPDARIPVSPLHKDDQRGGHRPSKSGASMSHCFTCWFKRSDATEPSQSTGEIPANNAPSDAPKPMPPPPPPPLPPKAPPPPPPPGPPKGSKSKLAQPSPVGSSRSEGSSVGEQTSESSETEVNAPRAKLRPFYWDKVLANPDQSMAWHDIKFGSFHVNEDIIEELFGYNVGNRNNLKDKEPLSAEPSPQHISLLNVKKSCNLAVVFKAMNIRAQAIHDAVIEGICIFSRCYYQFFKIQLAY >Et_4B_038242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27496531:27497561:1 gene:Et_4B_038242 transcript:Et_4B_038242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGVLSRPSSVLVVVVLAMAAVVRGDFAADRAECADKLMALATCLTYVEAKATARSPTPDCCAGLKQVVTASKKCLCVLVKDRDEPALGFQINVTRAMDLPATCNFPATFSDCPKILNMSPDSPDAQIFKEYAKKHESGQNGTATPAAATGKPLAQFKLLYLSRFSSNL >Et_5B_043976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18509359:18516335:1 gene:Et_5B_043976 transcript:Et_5B_043976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVAGLLTSAVVKIAADKLSSALGEQANLAWNFNKDLEDMKDAMESMAVLLQDAEKQSGKNESVRLWLKRLKHAAVDISDMMDDYQDTDAQPTAKKPGMFSCIPAARKNLVMANKMKNMRAKLEKINKQRHHFTFTEGTAASQEKQHYDKHQTTAFVNEAKILGRDIEKKEIADILSASNREDGTIVLPIYGLGGMGKSTMAGLIYKDNQLQKYEHRVWVYVSQEFDLNKIGNSIISQLSTGGGQHNKQDLHMIYQCLDNLLPGKKILIVLDDIWLDDASELDKLKTMLHVGKKGSMVDVIVTTRSESIANKLCTNKPYKLQPLEDDLLFISAKYHFPQCRISSRQSVTIHICLCV >Et_10B_004375.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:5173146:5173349:-1 gene:Et_10B_004375 transcript:Et_10B_004375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTRPHAVVVPYPCSGNINPALQLAKLLHLQGAYVTFVNTEHNHRRMQQAAAASKEEDGKSDVAG >Et_2B_022707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3428209:3430136:-1 gene:Et_2B_022707 transcript:Et_2B_022707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAAAARRFPRHLRLPLLRRFTTSSSDSPPPPDPEPPAVWSPVRAPPEEQFAAWVTRLRPGFTASDLAAAISAETDPDLALALFRWAALRPGFRHTPDSYLAAVTAASSGRRPAAAEALVQDVFAGACPPDLRLFNACLRFCCARRSLFPLAFDMFNKMRALPASASCRPDVETYTLLLTAVVRRVRRPPASMVYLHAVRSLSRQMKASGVVPDTFLLNLIIKAYARCLEIDDALKVFREMPLYGCEPNEFTYGYIVKAMFQKGRTDKGLVYFAEAREKGFVPSGGVYMIAVSALALEWRFEESSRVLLDMLDCKRKPDMITYRTLLEEMCRAGRTEEAFEMLEELKGRKRGALDQRMYSELLDGLHWISQPHRDNRGNQDPLRMLVIEA >Et_1A_009454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5967642:5971131:1 gene:Et_1A_009454 transcript:Et_1A_009454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGHMRPPYEEPEGARGQAATTPLYLASMGHLQPRSLQRLIDIYQHQQHAARQLAMGLTCPSMDEHVGPPVKTRLEVKEDLSLTCRRDRYCVMCLRAFCSHCCHCHHMNAGSHVVIPVDGVDAATGRPIIPKRYPGSGEPIQDYVAACIAAADYATPLARDAYCLHCTAVCHHHHRDCGAGFILRVEERDGRHCVRCRGDEEWFADLESILGDPVGEDDEGEVMLLPLLRRKKGTCVQCGGPVPRQLWARCSPACAANHDRELAQRRERRDARRAARQLPKLHIDANPTGYMVLT >Et_1B_012426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31954148:31965202:-1 gene:Et_1B_012426 transcript:Et_1B_012426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGQGRDRDHRLQRQLFDAGGERVVAPEQLRHHEPRHGHHHVLPGALLVPLRGRRRLRPVRPDHVIQHPPPLLLVAGDEPVRHELAAAREDALVARGQRLVPEQHLALLHLVRRVVALDDHVLAGAAVLELHGRVHPYDLPHHRLHQRHLVHGGEGDGLAGVAGGEHGADLGGEPGVQLRAGAGEPLDEVRHEDLEPAVCVEDGEEDEVVEELVARYRARVGPLGVVEDAGGDVGEVRRQLGVVAAHEERREPDGVEEQVLEQPRLDGLARERVELEGQPFERLRRGGVAHGLARRRAAEQRGAGEVQHVDRVVLVKLHVAGRREVGLDGVEQGGERRAERRRDVVHPRGGEPDHDALELGVAGVAGVEEGERAVAAEERQRRHVRAVERVGLVDLGVVEEDAEAARVEEGHHAGVAGEEGAGRHVDAQHGGAAVAGDARAEELLRALEVEVVQAVAQRREEGHAVSGPFDQTTCSSSRRRRSSSSSPATTTNLSGTKSRPRGKTRSSRVASGWYPNSISPFLTSYAASWLSTTMSHRARPYWNSTGGFMRSASHTTDFTSGIFSTAARVTASPVLPAGNTARTSPASLACSSGPARASHLTRCGRKALNPA >Et_5A_040141.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:14856847:14857401:-1 gene:Et_5A_040141 transcript:Et_5A_040141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLVGLKNMHEKGIIHRDLKPSNILIDSNGRHVEGKICDFGLAIYFYQAVATWSRTPHGTYGYMAPEVHKAKSSCTFESDMWSLGAVMYEVITGSPLIKGRDPAGMITCMRSLFGTLSNEASTSLEAVDGPQADPKWATHGALIRRQFSPQCLEVLHGLLKLDPSERLSAADALEMDWFAGH >Et_9B_064419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1524874:1528610:-1 gene:Et_9B_064419 transcript:Et_9B_064419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSSSADRPGTAELAVEIVSDEEMALIEAALAAAAARPLLSSAARRGAQLSCAAYSAAGPSGDIEDSPPQRRPLLARFRERRALAEWCDKQMEFVLEHGKPERTEAMKAGSIRHAQLEQEVVERVDIAIRSAEESWAVKFMNFIVGTNQLLLYGMTREIPVIGFVEGSWMVGVIDEIRVPLDDISFHPILVDTKTRFKPTVPSEAQKRNGRLQLMCYKYLWDNLISEKFPAENFFTYFDLDPNYLLSDDVKWYIRSLGFNAKTFEDVLKYFKASCHTLSRSQDQLLLRYELQADHSLLEEYWFPYDARWFKDQIREVLRFWQGAREPKFVPEDERWKCNLCKFASNCPMIASPISTLGGPECRRIGDAGAFPAWKDSLFLTDPAEPPFSGVSEPLVTADAWRRDLALADSVGTM >Et_4A_032967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16094474:16095290:-1 gene:Et_4A_032967 transcript:Et_4A_032967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAALRGVVARSPASSVTRQPARGASSAGFAKVPSSRRRGGGAVRASLFSPKPAASKEARPSKVQELYVYEINERDRESPAYLRLSAKQTENALGDLVPFTNKLYNGSLDKRLGITAGICLLIQHVPERNGDRYEAVYSFYFGDYGHLTVQGPYLTYEESYLAVTGGSGVFEGAYGQVKLHQIVFPFKIFYTFYLKGIPDLPKDLLCTPVPPSPTVEPTPAAKAAEPHACLNNFTN >Et_10B_004412.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:6509142:6511703:-1 gene:Et_10B_004412 transcript:Et_10B_004412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVDRAVRLTIEAQPSDAAAAPGGLGTAPTPFEPQPSFSPTPPGRPSVVVVVADEIPRRAAMDDIDAAGVAASGPERRLTLLALRLAVLEKAASGLGALGFIWATVVLLGGFAITLGRVDFWSVTAILLVEGARIFSRSHELEWQHQATWSLSLADAGRSGARLVARSFRFVFHRHGSSSASKSVGSSFRRRRPRTWPWTGWSWSFLSGHVGRAFYWLQLASATACVALSALRLARQDFGDAAEARTNRRSALDIFYGLALAEALLFLAEKAAWEWEVTHGRVLERVAADCGLLLPTNAGAGEGASAPGLLAVRRFFYDAYSRCVEGSIFDGLRMDLVSFAEELLVGGSHDEQRIAAGILVSLVVASDDDARLRRVGASPAVVERLVEMLGWEREAGARKAAALVVSKLAGKKRNALRVAGVPGAVESVASLLHDAADEECHLLGLLIVKKLARDHDNCSRIGAARGLLDKIIDFTAIADSGASSPWSSPAAAGQTTATTSHVVLTPSRAKAVQRALQVIKMLAGATGSTGKQLRREIAEVVFTVSNIRAVLRHAPRGGAGNLRRLAAEVLTRLAMDADARERIGATGGVVALLLDMFLRPEDAADERVEAGEALAMIALESPRNCGRILKAAGATTTTTTTVDRLVDALDDAVVGVGAARILTNLCAYAGGTSAWFPHLRRAATRGASTTLRRVANSTSTTTDSNQEQRLEVSLGLAAQLVRLTAGPDELAHHLARAGVAEAGLVNALVRVLASHACPSIRAPRIRRFAVELAIALLRATSPAAHFAGLMAAAGMEGELRRVAETTSELECFHVFSGSAGVGRHAVGLAALIDTALDLMAAAVAVDDEPHA >Et_2A_018047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9468781:9475437:1 gene:Et_2A_018047 transcript:Et_2A_018047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLSVCVRTSNSSTGPSCHMCRFTALVEHNVVKTADDMGRNLAELDLNGVSDEEAAALRRTAMPAPAYGLFSRRFLKLHGRNLFACASAWFLLDIPHYSSTLFQSQIYGPWFPPAHRMNAFKEAFNVGKFQAIIAVASTIPGYLAAVILINRVGRRRLQMAGFLLMAVFLFGLAAPYDHYWRDNAMAPPYIVLYARTFFSANLGPNTTTFILPAELLPERFRSTCLGRRGSSARSSAPSPSCGRRRGTGGRWKPDTCPEKPGGVRPHLTYVSTPETMGWSLEKNESERGQNQVGDGDGEQELHQLTELPKSSMPAIRVLTALDHARTQYYHFKAIVIAGMGLFTDSYDLFCVAPVMKLIGRVYYAAGEDGQPGVTPPAVVSATVGVALLGAVVGNLVFGALGDRVGRRRVYGTCLLLMVCSSVGSGFSVCRTRGCVLASLWLFRFVLGVGIGGDYPLSATIMSEFSNRRTRGAFIAAVFSMQGFGILASSGVTMAVAAAFDRFTGHRAPLDTPEAADLAWRIILMIGAVPAALTFYWRMAMPETARFTALVEHDVAKATNDIGRVLGGLDLNGTAEDEEEAAALRLRTPPAPSFWPASPRHGLFSRRFMKLHGRNLFACASAWFLLDIPYYSSTLFQSQVYGQWFPPARRVNAFQEAFNVAKFQAIIAVASTIPGYFAAVLLIDRVGRRRLQMAGFLLMAVFLFALAGPYDRYWRGHATEAWYLVLYALTFFSANLGPNTITFILPAELFPARFRSTCHGISGAAGKLGAVVGAIGFLWASQERDRAHVQAGYRPGIGMMYALIILGGICLLGLAVTYLFTPETMGRSLEENESSERGHSLVGNGEQQELHEQEELPKSPASVASSHVSSSPINPHRSSV >Et_6B_050018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3500861:3505362:1 gene:Et_6B_050018 transcript:Et_6B_050018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMVTLACLVVLAAMVGSAWCGGTIVFHVEKSSPNFALSIKGSNKAITKVDVREYGADNFDPMTKSGESWTISKTFKGPLNIRLIAEGGGRRVQDNVIPENWKAGTDYPTKLHCAVVVSLSGVAFRPSQRENRGGACISLGRIRGRCCHLGGSDGGHILVVSIIDQRELWRDMPETVLESKDQSSSSTILHVASLVNV >Et_3A_027089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32491567:32494197:1 gene:Et_3A_027089 transcript:Et_3A_027089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHQSATLTTPEAEQQQVEKVAAAAAAAESPEDDGSPAAAVTDQTALLGGPRSTGLHLFVLNMKKVFKLDDLGSEVLGIAVPASLALTADPLASLIDTAFLGRLGSVEIAAVGVAIAVFNQVMKVCIYPLVSVTTSFVAEEDAMLSKGGAAAKVVDGEEEEGKYQEDPEKQQQSAEQVAAVKKELAAVVAGRRKSGRKGRFVPSVTSALIVGALLGLFQTAFLVAAGKPLLLLMGVKLGSPMMIPALRYLTLRALGAPAVLLSLAMQGVFRGFKDTRTPLYATVAGDLANIVLDPILIFGCRMGVVGAAIAHILSQYLITLIMLSKLVRKVDVVPPSLRCLKFRRFLGCGFLLLARVVAVTFCVTMAASLAARHGPTAMAAFQICSQVWLATSLLADGLAVAGQAMIASAFANKDHYKVVATTARVLQLGIVLGVALTVLLGLGMQFGAGVFTKDAAVIHTIHKAVPFVAGTQTLNTLAFVFDGINLGASDYAFAAYSMACKPTFPLSVPQTAVGVAAVSIPSLILLSAHGGFVGIWIALTIYMSVRAIASTWRMGAARGPWRFLRK >Et_4B_038808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:542372:544139:-1 gene:Et_4B_038808 transcript:Et_4B_038808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGRKNLRRARDEGAAVTLAEGESIMQVLTLRGSNVIEVMDGKGVKSLALFPAKFQKSFWIKNGSFVVVDASGRDQALESGSKIACVVSQVLFHDQVRVLEKSNEWPAIFKSTSSEGSEAGTQGETAARSQIDEEPNSDEDDDLPPLEANTNRNRPYELYSDSESGSGS >Et_1A_005002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22024959:22025724:1 gene:Et_1A_005002 transcript:Et_1A_005002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QPDVAYVVVGIPIKPTVLVRSGPWSSVSALPADKITEIDQPAGPSYRHGPTDLFSIGASSSATRFYLTKPRARPRREFALPNSPF >Et_7A_050908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12205427:12211514:-1 gene:Et_7A_050908 transcript:Et_7A_050908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSPELRLLGALTRPGRIAPSPLAALASPRRRRRAPSPSQSPSPSDSSPSTAPESAGSVAADGVEGPQWKTVSAKRFGIKESMIPDEAWNVLHRLRSRGYDVYLVGGCVRDLIMKKTPKDFDIITTADLRQVKDTFAGSAVIVGRRFPICHVHDNNSIVEVSSFNTSARGSGGSQIYSAKSQNCSKNDYLRWKNCQGRDFTINGLMFNPYSEKIYDYLGGIEDIKKAKVRTVIPAGTSFQEDCARILRAIRIAARLGFSFPKETAYYVRTLGCSVARLDKGRILMEINYMLAYGSAEASLRLLWRFGLLEHLLPFQAAYFSSTRFKRKDKGSNMLLVLFSKLDNFLAPNRPCHNSLWISILAFHEALARQPHDPLVVATFALAFYLGGDMSLAVEIGKSITRQHDASFRELLEPQVWNDKRLAAEVQNFAALMKRALTEMTDEYHVSNAMAKIPQAPSSDLVFIPLQAYLKILRLIECVQYGKKERGYVPKSDGKINYHNLSYGTSSEIANLFTLAVFDTLYPTNMEDKHDSSS >Et_3B_028689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18078064:18086726:1 gene:Et_3B_028689 transcript:Et_3B_028689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETLAAVLTYLPPPAVSTTATLSFSPSPDDAGEDRIGGLPDSLLRNIVSRLPTRDAARTAALSSRWRSLWASTPLVLDDEAGELAPSAVAAALASHPGPVRSARLASSQDPEVAASVFASLAAKDVEDLLVVVNGSWPVEWSVPSDVLGCAALRRLWIGLCQFPDTSGQSPVLLSLEELGIVHSSMQDRDLHTLIPRCPELQTLAFVLTQDYPRYVHIWSPSLCCVVLWKSKLREIHLDDTPNLDRLLVEPMADAATHIKIIKAPKLKILGYFDVGLHQLKIGNTVIKLGTKVKPSAMVRTLRTLALKVQFGVEDQVKLVPLILKCFPCLETLYITSVPSESPVNVEIDFWDQVGFTECVYSHLKKLVLEAVRGEDSELAFAKFVMERAQMLEDMRVLVDNSCSRDVVLSRLSSEGCVSADATVMVEKNEESNGWCFQGAIDLLQSDPFEILRIVLTSLPAPPFPAATGSHSSSSVVVGVGGSEDRISRLPDAVLSNILLRLPAKEAARSAALSRRWRHVWASTPLVLDDTDLVDLPADGGAGPVTVPVDWRAVTYAVGRILSGHPGPYRCVSLTCCCMAPLEATGALARWLRRLAAAGVQDLALVSRPWPVAVDLPVDFFRIASLRSLYLGFWDFPDTDGLPRGPDVFPHLLEIGLCHMFIGPAGVDYLLACSPVLEKLAFVVIFLPTVQVRVRSRSLQCVVFWMSLAGELAVVVAPRLERLILWQTLSRSYYPTRVRIGYATELKVLGYLEPSIHQLVIGGTVIESGTKMSPSTMVPSVKILAMKVRFGVRKEAKMLPTFLRCFPNVETLHVMSHVADAPAGKLNFKFWQEVGPIECLETHATRVVFDKFRGERSELAFLKFILERARSLLKLVIVLANADPASADEMVTKLKPLSTANRASECPSLLIIARERDSAWCIQRASDLSGFNVGKASEEADQHLNFLEDTQIQL >Et_7B_053682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10986777:10990814:1 gene:Et_7B_053682 transcript:Et_7B_053682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDDDFGLLGDDAHPAPPPQPPTAQQQPASQLCFADAAAAAAVASAAAVGSFAPSQEETNHHAERAKAALPAKRGRERAEEFSSDGGEYSSYINSSGGGGKKGRGSGGGGASDYRKDREEWTDSAISSLLDAYTDRFEQLNRGNLRGRDWEDVAASVTDGQGKVSGVKSVEQCKNKIDNLKKRYKVECQRLAGSGAGAVSHWPWFKKMEQIVGNSSASPASSKPLVASDDDKARPQQQHGSKRYSISSTAPPAAVAASRANPFSNPKWKRVLLKIGGTALAGEAPQNVDPKVIMLIAREVQVACHNGVQVAIVVGGRNIFCGDNWAAATGTDRASTFPIGMMASVMNSVLLQASLEKIGVETRVQTALMMQEVAEPYIRRRAIRHLEKGRVVIFGGIGAGIGNPLFTTDTAAALRASEINADVVLKGIAGEDEYGARTSNNTSFEHISFRELASRGFSRMDLTAITCCEENNIPVVIFNMLEPGNISRAICGDQIDYSYLISTRQAFSKRDLSCSFCRHNTVR >Et_7B_054543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2369425:2372583:-1 gene:Et_7B_054543 transcript:Et_7B_054543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALPLSEFVFSGFVQIVGCIAAVSSSLHVGKAGPLVHIGACIASILGQGGSRKYRMTCKWLRYFKNDRDRRDLVTCGAAAGIAAAFRAPVGGVLFALETVSSWWRSALLWRAFFTTAMVAVVLRALIDFCKSGNCGLFGKGGLIMFDVTADYVTYHLVDLPPVITLGVLGGILGSLYNFFLGKVLRLYNFINEKGKFYKLLLAAAVTTCTSCCLFGLPWVASCKPCPTGTEEACPSIGRSGNFKKFQCAMNEYNDLASLFFNTNDDTIRNLYSAGTDDEFHFSSMLVFFVASYFLGIFSYGLALPSGLFVPVILTGAAYGRLVGMLIGSQSTLDHGLFAVLGSAALLGGSMRMTVSVCVIMLELTNNLLLLPLVMLVLLISKLVADAFNANVYDLLVRLKGFPHLEGYAEPYMRQLSVSDIVTGPLQTFNGIEKVGNIVHVLKTTGHSGFPVIDEPPFSDAPVLYGLILRSHLLVLLRKKEFISNCTASTLDASKQFSPDDFAKPGSGKLDRIEDINLSAEELEMFVDLHPFTNTSPYTVLETMSLAKALILFRELGLRHLLVLPKSSKRAPVVGILTRHDFMPEHVLGLHPFLFKSRWKKVRLGKVKVSSIF >Et_10A_001271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22791349:22793406:-1 gene:Et_10A_001271 transcript:Et_10A_001271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRHLAGPTYIHHHQSVAAATSSLQPRGTSSSCPRPLRVPPLRSRFTRVYALSSNDIRVGTNVVVDGAPWKVLEFLHVKPGKGAAFVRTKMRNYVTGNTVEKTFRAGSSLEEASLSKEIKQFTYKDGSQFVFMDLTTFEESRLNESDVGDKQKWLKEGMDCILLYWNGRIIDFDLPITVRLTVTDCDPEQSDSAQGGSKPATLETGAVVTVPSFVKVGDDILVDSRTGQYMNRA >Et_9A_062156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20117343:20128110:1 gene:Et_9A_062156 transcript:Et_9A_062156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLPLLLLIGSSSLLAAPANAGDDIYIQCGSDANYTLGGAFQANLDAILSSLPAAAAASWVFAKNATGAAAPDQAYGLAQCRRDVDAPVCSSCVDKLAQKLRDACLGQKDGIIFSETCMLRHSNVSFFGEPDAGHLLKYYTAVVSVTEPELFATRLDALMSGLKTKAAYGSPLMFAANVTDVAPLVKIYGVAQCTGDLGRDDCYSCLDRAAAYIPSYWDMKQGGQSILWSCFVRFEASLFYNASGAELAMSTALAPGGGPIHGSDHFVPGSTGNKSTVRTALLVSIPVAVTLLVLLLVALYLCKRNRKPHKHAQIASVRHGDGEDMRSSESLLYDLSTLRAGTNNFSEENKLGEGGFGPVYRGILQDGQEIAVKRLSATSQQGQVEMKNEVFLVAKLQHKNLVRILGCCIQEHDRLLVYEFLINNSLDKILFDPAREHELSWEQRHKIIEGIGRGLLYLHEDSRLKIIHRDLKASNILLDKDMNPKISDFGLAKLFNVDTSVGNTSRIAGTYGYMAPEYALHGIFSAKSDVFSYGVLVLEIVTGRRNAYTQASGPSEDLLSFVWRRWSLGSVQELLDGCPAGGRQPQEVLRCVHVGLLCVQEDPLLRPGMAAVVIMLNSRSITLPAPTAPAYADVTSRAVTTVDGHRRSMDDEGPRRILPSLTWSRDDDAAIGAGSNRTVRTALLVSIPVAVTLLVLLFVAVYICKKRTENYTCMCKLQATVSTVIALAYLTNKVLMQHSGFTLPFLLLSAHVDEEMGSLDSLEYDLNILRAATDNFSEQNKFGQGGFGPVYKLPNRQNIAVKRLSAACQYGQAETKNEVVLVAKLQHKNLNDMRCFLSTSSSAIRASTKFFTMMPSNENSTTAISYKFILKSTILTGPARQQELIWAQRYKIIEGISRGLMHLHEDSSQHANWRFDSGHAGRVRAGMEAPALGKRDIAGR >Et_1B_012944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4190882:4194795:-1 gene:Et_1B_012944 transcript:Et_1B_012944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEGSGGAAAAAHGKRRGESWRATLLLAYQSLGVVYGDVATSPLYVYKSAFAGNDIQHSAGNEEIYGVLSFVFWTLTLITLVKYVLIVLRADDGGEGGTFALYSLICRHVRAGLLPGGGTRDELMEEDKATGRRGERPVSRVRAVLEKYRVLQRLLLLFALLGTCMVIGDGVLTPAVSVFSAVSGLELSMEKEHHKYIELPVACAILVCLFALQHYGTHKVGFLFAPIVCIWLICISAIGVYNIARWNHHVYRALSPYYMYQFLKKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQSSIQIAFISVVYPALVLAYMGQAAFISQHHNFESSYQIGFYVSVPETLRWPVLVIAILAAVVGSQAIITGTFSIIKQCSSLSCFPGVKIVHTSSTVHGQIYIPEVNWLLMILCLAVTIGFRDTKHLANAQGLAVITVMLVTTCLMSLVIVLCWNKSIFLAFGFLIFFGTIEVLYFSASLVKFHEGAWVPITLSFIFMIVMCVWHYGTIKKYEFDVQNKVSVNWLLNLGPSLGIVRVRGIGLIHTELMSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVQPEERFLVGRIGPKEYRLYRVIVRYGYRDVQKDDLEFEKELVSSIAEFIRSSGEYDKNGFVDDTEKSHERLSPISTGIPFWEEDGELDVPLSPHKRVDPYNAAPKQKKTRFVIPKSAQVDIEVRRELQELMDAREAGMSFILGHSYMKAKSGSSFIKRLAINFCYEFLRKNSRGPAYAANIPHASTLEVGMVYQV >Et_1B_010271.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:33863836:33864126:1 gene:Et_1B_010271 transcript:Et_1B_010271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRMWVPLAAACLTLLVILAAGVRTPAVHRPAASGGGGGRPGATAVFDAAARVVRCKKRSHEAGGDAPASCVMGLPGDADDDRVVPTGANPLHNR >Et_8B_058767.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:369460:369630:-1 gene:Et_8B_058767 transcript:Et_8B_058767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLCTLNKCGSQICYCYQNQKPIGLCYRTRDQCQASCPKCDPTCSPESSPEQTAE >Et_7A_052626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9967969:9971505:-1 gene:Et_7A_052626 transcript:Et_7A_052626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLPRAARLLRSAAGSLRSPPPARPFSGAAETGASPAREGVIAAAAVALVGSGVGLWLMPPALADAGEVAGGQISVPAAAGARSSPAAVEDRQRKERFLFGDSFRRRVFFNYEKRIRLLSPPEKIFEYFASVRNPDGEVHMLPADLMRAVVPVFPPSESNIVREGRLRGERNPGELHCAPSEFFMLFDTNSDGLISFAEYIFFVTLLSIPESDFRAAFKMFDVDHSGVIDKEEFKKIMALMRSINRQGATHRDGLRVGLKVGQPVENGGVVEYFFGNDGNEPLHYDKFSNFLKELHDEIIRLEFSHYDVKSLNTIPAKDFALSMVASADMNHINKLLDRVDDLVNEPNLKDMRITFEEFKSFADLRRRLEPLAMAIFSYGKVNGFLTKQDLKRAAYHVCEVDLSERVVDIIFHVFDTNRDGHLSSEEFLRALQRRETDIRQPATHGPFGFLSCLFNSKKCSPLLQMLF >Et_2A_017729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5594222:5596961:1 gene:Et_2A_017729 transcript:Et_2A_017729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKVPATVPAVADDCDQLRKAAVILWTLDPAERDAVLANEAARKWQPENRVLVEIACARSSAQLFAVRQAYHDRFKRSLEEDVAAHATGDFRKLLVPLLSAYRYEGPEVNTRLAHSEAKILHEKIHHKKYSDDEIIRILTTRSKAQLLATFNHYNDEFGHRINKDLKADPKDEFLKTLRAVIRCFTCPDRYFEKVVRLAIAGMGTDENALTRIITTRAEVDLKLIAEAYQKRNSVPLERAVAGDTSGDYERMLLALLGHE >Et_4B_037086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14573544:14575992:1 gene:Et_4B_037086 transcript:Et_4B_037086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DKMLFRHETNADQFDLFSYGNLMGVEAKSQRSSCTEMDLRDTNSNSRVTGLGKENTYGKSEESRFGNSLKDASSVSPGNFSFSWLSGDNCQSSTLDHDKRPLSDAKPCQIAWKRPKQTDNNTWLYSFEERPFGNAVNISASGFVLLPFVFSAITTLRDIYTYCYVFFFTVMDDEFVESRQPEHVPADSITTVPANNGTTTCSDGSDIPCPNRKQSVGLESLHLPDWVTSFPGYFEDCGLNTGYYTVNHIDSPVQEYLPRKDVPIGPEHQADIPECVHTDDDSESDKWVRHCIIPMSTSTFDCIRNNKIDCNCSDEGSVRCVRQHILEARENLKRSLGQDKFRDLGLCEMGEDVSQGWTDDEEKRFQREVFSNPVSSGKNLWDYLPHAFPGKSSKELVSYYFNVFMLRKRAQQNRSDLLRVDSDDDELPNEPSVTEQEEEDSAVKSPSREHFNNNSMPVEDVHESDGEHINVPSGPSLHDNAVVSAGECGYLRNQLPLNSCAENLAEDVYNKDESCTSFESHIDGPIHGTNR >Et_4B_037496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20468819:20473869:-1 gene:Et_4B_037496 transcript:Et_4B_037496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNSESPGDEDSSRSRGSGRGTAAAAATGRRLTRSSAKELELKLQAAAAATCRRLTRSSTKELDPNLQLAPWPEVVGRKRRKRQLDKAQEQQHEGFRTAIPAGRVGDGSLVSTVDEIRPESRSSVTNKRHDGKEILRCSGIIIQQDKQETLILTSHRVGFSQGDRIDNPMPKLAVHMDKPNEGIYGGTLLHLSERYLFALLSIKGESTVEVEVPHGDSEPCYGNEVLILARDETLSLKHRHGTIMWKERNFFFSPSCKLWPGGIGGPVINHDFNILGMACNYDHDPVILSITTIRRCINMLVKARSIARPVLSMRLRTVGFLDIANQERLRYKHKVNNGFIVHEVNFDSPAEKCGIRHGNVITSFNGLSCYLPEFEDFLLDIGLKHLEGEIQDDHFKETKLYKKEKKIKDM >Et_10A_001949.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:13875998:13876675:-1 gene:Et_10A_001949 transcript:Et_10A_001949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDATDGGGGEARYPLNAESYRLLCKIGNGASAVVYKAVCLPLDSAVVAIKAIDLERSRANLDDVWREAKAMALLSHRNVLRAHCSFTVGSHLWVVMPFMGAGSLHSILSHGFPDGLPEPCIAVVLKDTLHALCYLHEQGHIHRDIKAGNILVDSDGAVKLADFGVSASIYETTQSTAASFSGPIHAPSSAVVSYFNDLAGTPYWMAPEVIHSHVGYGMKANIW >Et_7B_055658.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:2013522:2013758:1 gene:Et_7B_055658 transcript:Et_7B_055658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAMQWGLESEEGEEWTLQKEVQSPKIAAAAHKRAMSPPIARPKCIVCCAMGMTMSTTSVTCFGSQDRLPMRSGTR >Et_5A_042157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6930058:6934969:1 gene:Et_5A_042157 transcript:Et_5A_042157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVADADGLHFLTHRKRRQRQKTPSRAGSPPVAGGGWLPVTALHSALLHFRYSTAAALIRCSPRFTERGEREASKIARRSRMESSSEEELDEEFPGHEWITPQSCIRAAYQSQTEKGIRKICSELLELKDAIENLCGNMQSKYLAFLRISEEVVEAEQELIELQKHVSAQGILVQDLMSGVCRELEIWQKSSKEQDVTEKDFQTELDEILSDDTQDPKLVFLDKIDVLLAEHKLEEALLALEAEEKKYLTADEPGKESSAEVSAFKVALFKRKSILEDQLVRYSEQPSISVTQLRKVLSGLIKIGKSSLAHQVLLKAYGSRLQKNVEAFLPTCSIYTETYSATLSKLVFSAISKASKETTTLFGDSPMNTNRIIQWAECEIETFARLVKENSPLPESVSALRSACICIQTSLTHCSYLESHGLKFSKLLMVLLRPYIEEVLELNFRRVRRKIVDSARNDDILLLTPQEGSPLSGAVAPNVVLTSSGKKFMSVVNDVLDQITPLTIVHFGGTILNKTLQLFDRYVDSLIKVLPGPSEDDNLVELKVPVEFKAESDAQQLTLIGTAYTVADELLPASVSKFFSMQTEKKGTGGSIEGVGSGSIYSIEYKEWKRHLQHSLDKLRDHFCRHSVLSFIYMEGKSRLDARMYLERKSDDLLFDSDPLPSLPFQALFGRLQQLASVAGDVLLGKEKIQKVLLSRLTETVVMWLSNEQEFWDVFEDQSIQLQSSGLQQLILDMHFIVEIAVCGRFPHRPVQQLVSAIITRAIAAFSAKGVDPQSALPEDEWFLDTAKAAIHKQMLGNFGSESEPDEHIVVHDEISDSEESISALSTTGSEDSFASANNDDLESPVYFTDPET >Et_6B_048640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1201871:1205542:-1 gene:Et_6B_048640 transcript:Et_6B_048640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPPTRHHVVATGFIRTPSPGTSRVSMTLGRRRRASSRWRPPRCSRGGKPAVQDETPREPMPETKNELPEAELQKEVGMLGWFRLDSVAADIISIAAPAVLALAADPITALVDTAFVGHIGSAELAAVGASIAVFNLVSKLFNVPLLTVTTSFVAEQQAVDADYNSTGGRDELSTPQEKPTGRRKILPAVSTSLALAAGIGLLEMVALIVGSGTLVNAIGIPIDSPMRSPAEQFLTLRAYGAPPIIVALAAQGAFRGFLDTKTPLYAVGAGNLLNAVLDAVLIFPLGLGVSGAALATVTSEYLTAFILLWKLNDEVELFSWNIIGDGIIRYLKSGGLLICRTIAVFLTLTLSTSLATREGPVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKQARKVLYRVLQVGGVTGVALAASLFIGFGSLSLLFTDDPAVLDVARSGVWFVTISQPANAIAFVADGLYYGVSDFAYAAYSTLFAGAISSIFLLIAAPKFGLGGIWAGLTLFMSLRALAGFWRIWSKDGPWELIWSEIE >Et_1A_005393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1018339:1025418:-1 gene:Et_1A_005393 transcript:Et_1A_005393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKKARGGAAAAGDDSEDLSRPPPLQAILLADSFTLKFRPITLERPKVLLPLVNMPMIEYTLTWLETAGVDEVFVFCCSHAHQVKEYLEKAGWTGRAAAGSMAVSAVESHDAISAGDALRFMYDRSVIHGDFVLISGDTISNMSLKDALQEHKDRRKKDPLAVMTMIIKHSKPDNLTNQTRLGNDETVMAINPETKELLCYEDRVDSSRSYAAIDKDILASNPSLRLHNDMEDCYIDICSSEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHEIHSSYAARIDNFRSYDTVSKDIIQRWTYPMVPDVLSFDNCLETKLHRQGIYKASDVILSHSAQIGANSVIGSATNIGDQCQVLNSVIGEGCKIGTNVLINGSYIWDNVIIEDGCKVSYSVICDGAHLKAGAVVEPGSIVSFQVEVGKNVTIPAHSKVSLLPQPSNEDSDEELEYADTNSGVTDSPTLSSTRSNSDQVTAPSEEEDSGTSDESGTCGVVGYIWTSGDSGVQEEWRQSIAPIPKEKLEELRHAGSAGDDDGSEGEWNNPTVLGDNESVGTVDDDDPLAKFEKEVEETFQRALNGVSQDNLILEINGLRLSYSLQHADCAGAVFYSVMKSALVAAQSSKYTLLKTTAEALGKWKDLLRNYAKTVDEEMEILLKFEEMCQESTKEFSPLFSKILPFLYDKEIVSEDAVLRWAEEKEHADESDKVFVKQSGAFIQWLKEAEEEDEDEEEE >Et_1A_004838.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:11041377:11041748:-1 gene:Et_1A_004838 transcript:Et_1A_004838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSSVSPNHQSISSCPLFLLSSDAAPAEEDRLAAEPPAPKAKVKVLYFARARDLTGVAESSLEVPAGSTAGECLARILAEFPKLEEIRSSMVLALNEDYAADSAPVADGDELAVIPPISGG >Et_10B_003133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16987439:16988862:-1 gene:Et_10B_003133 transcript:Et_10B_003133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTGDIVQKHRASKQSSVVRAVAGLDPVAQFPLTSENVELVLDEVRPYLIADGGNVALHEIDRNIVRLTLQGACGSCPSSVTTMKMGIQRCLMEKIPEIVAVESTTDNETGLELNERNVQKVLSEIRPYLAGTGGGELKFIAITSSILKVRLRGPAARVTTVRVALSQKLREKIPSIAAVRLLS >Et_2A_017620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4203549:4227084:1 gene:Et_2A_017620 transcript:Et_2A_017620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTLMRGQEATVDHKSPAGGSDDAGVSSTQRWSPRRSELPVGGGSTMRKLMLREIGGLSGRPPARPDTAFLAETFRRFKLQEEAPVRAHGRFPPCTVTAITKIVLANDFIFVVDMPGSCAAFHYTANSSIIPYIQVFSTENWRVMKEFNYLTPPCERLQNIQLCHENLLVKEYDQNLQVIDVSSSVGMINVCSTINGKSIVEVKPCNNLDLTAATRKDSDRRRMNAQSSTREALKDVTALCYDVDRDKIYTGNSRGFIHTMRKLIRGLSGRPMPRPEAAFCAESFRRFKLQETFLLHADVDVLPNWTSRSIANIIPANEFIFVISEYRHCAAFHHTPNASVVPYNRRTARPAAAASRRGILRRDFPALQAAGKNDGIWYFNYNGELIEDLCYNKINDSIIIVSSMTSRCGYKMRAIPLEPGIMIVAYKFIHNRLPIKEEFLVHTDRDLWPWMAKDITRIIPANDFIFVMDSNGYCVAFHYTANASIIPYKKDGGIWYFNYYGEVIRDLYYNKINDSVITVSSTVHGHKTRAFPLEPGIMIVAYQLIHNELPIKVFSTKNWTVLEEFSYCAPPRKTLQFIELCHEHLFVKEDHQKLQVINVSWHIIHFIFYTYSTFYSCFSTYIMWRNVLLDLESCQCDYH >Et_8A_057733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6502083:6502725:-1 gene:Et_8A_057733 transcript:Et_8A_057733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVRNLDLERYMGRWYEIACFPSTFQPKTGTNTRATYTLNPDGATVKVLNETWTDGRRGHIEGTAWRADPASDEAKLKVRFFVPPFLPVFPVTGDYWVLHVDADYQYALVGQPSLKYLWILCRQPQMDEAVYNELVERAREEGYDVTKLRKTAHPDPPPESEQTPRDGGLWWIKSIFGK >Et_1B_012041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28299443:28304659:-1 gene:Et_1B_012041 transcript:Et_1B_012041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSPGIRMVLSPRLGSPSMTRSSPKLSSPNKKGPSSSSKLLYDLVLSNLPVRMRLLLVEASGLAAPQLAATCLAALADSPQQPGVSFAAVAAAGRAAAARAAFIFSPNPSGPTTHTTASTSQSPRQPGGSAMDTTSLISLAIGLNISPPAYLSMAGRINSMKGFTGVNYASSGAGIFNITNFNGVSIHLLLQVDYFAATKAQMKTKLGNSELKNVLSKSIFLICIGTIDLYYIWDGTFYGSMIMKPMSITLSPPTKLASEHCITWGQGSLLSLMLHLWAPRRLCHTLVNR >Et_5A_042594.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:21380488:21381405:-1 gene:Et_5A_042594 transcript:Et_5A_042594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRKIEELGEHIVSAAVGEHIVSAAVGDVVSRTISSAVGKLEERADVESQVERLDTLVMMVRSAVEAADGVHIRNLSLRRWLWKLREAACEGADAVRSFRQRAAAEERIDAGSGTSWWARLLRTAKSLFVGRGGGAAAVRSAVPRLEKVVSGLGDFLKLLEMESRRVPLHPPPPSLAPTDPDTVLWDLPRDISDGEDLTVPVTDTSREMYYLAAASDDGDVVRDHSCGRAMPVLKWPTARKRKVNKATGVIRFAVGAGLIWFAVQIGTQLFGGPRARYSEVGRKLSSPFRHADCIDYTSSKRK >Et_8B_059998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4896013:4901451:-1 gene:Et_8B_059998 transcript:Et_8B_059998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAATRRKLQRKFKLRGFTLKVDALEEAAAFLDRFPDAEDDALDLLLDELDKEPLHSSILDRDAVRRVVALLVEAEEAVDAASPAATSARSALRVVDAFLVPRFHYDPIKKVFYEHTGRLAIHGEAGDKASLYRDRYQVLLQRLSRDKYFSKPAFDMVTTEDGSCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVNTCGFPPLEDREASLSLLMGLDFFGGGVIPTEETLRLSSLEQKAVNDMFVILSDVWLDNPEKTMEKLAVVLDGYDSVEVVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAGRSRLKEHSRFLFIPGPDDAGPSKALPRCALPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFLRQDLLYRMRRSCLIPSTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYTGITCFNPGSFANDSTFAAYRPCTKEVELSALEG >Et_1A_005032.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22654021:22654620:-1 gene:Et_1A_005032 transcript:Et_1A_005032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSQVVALSLVVLLSFGLANAARVSRLSNAQGTGKGGGYGGASEDGSGSGSGRAYGTGVADNTGAHARSESEGSGGGESEECGAAFGAGLGEGSSSASMSSGMYGEGSYSSAGGTGGGGGGGGGKAFGGGGSTGFGNGGGSGTGSSDGNLYGGKEYNWGGPATTSAKADGNGGGSGGGQAGGTGGGEGGGSAYADTHP >Et_2B_019141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13619920:13621044:1 gene:Et_2B_019141 transcript:Et_2B_019141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSCRASGGGKADADRIKGPWSPEEDEALQRLVARHGARNWSLISRSIPGRSGKSCRLRWCNQLSPQVEHRPFTPEEDDTILRAHAKFGNKWATIARLLSGRTDNAIKNHWNSTLKRKYYATSGAEEMRRPLKRTSSDGHPALCFSPGSPSGSDLSDSSNHSLPSQQPQQHVYRPVPRAGGVVVLPPSMAPRASSPPPPQPATSLSLSLSLPGLDRPDPSPPDVQHRPAPPSQMPPPTQQPSLPFQLHTPPATSLAPPRPPQPPAPAPFSSEFLSMMQEMIRVEVRNYMSGSGFDPRSGAGSVDGAAAVRAATQRMMGMAKIE >Et_9B_065243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2724633:2727917:-1 gene:Et_9B_065243 transcript:Et_9B_065243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKATVNFNGETFESPAFCSTLRQAEHAAAEVALNELSKRGPSSSLAAKVLDETGIYKNLLQETAHRAGLKLPVYTTIRSGPGHTPLFTCTVELAGMAFTGNPGKTKKQAQKNAAMAAWSELKQLPRVIVTRTLASLNKANGGKMAHQKEKQQNNNRPSSRRSYPRPNTSFYLSHLQNQAYPSIPPDQAMYHVWHRMQTTQPTPRFPMLPTMGNTRFPPPAAMLSMYPPPQGQFATPASQDLLPCFPEAAPALLRYFSPYPVSYLPKSPLPGTVHRNYGKKQEHAEIAELPDAVVFSQYNSADSSCTSVYGGPQKVQELPKLGEDCTQSTASPEEENKVSLAVSSSTHPSSQKLEPNEDTGPSDNKQAESKKTQEQQPKSLLSWGPSVPPCVSSQQKHYTVTIQRDEPIHRNNHPQSSVSVSSEMWSSRLQAAPRFGTAVPVNSIGSVYQQRPPWLAAPVTVRTAVPVCSARPNVVNTAAGAAGLRPNFQNGSSPARGELEPHRNDEERNLSGGEAASLDLSKLHI >Et_7B_055066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:705006:705867:1 gene:Et_7B_055066 transcript:Et_7B_055066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFQKQVAHAPQELNSPRAGAAKPKNPDEILRDFHAANPADAFSTSFGGGAALACVGAHASRTAAGYQRMFCGLDDIFCVFLGRLDNLSSLIRQYGLCNKSTNEALLVIEAYRTLRDRGPYPADQVVKDLSGSFAFVVFDNKSGAVFAALSADGGVPLFWGLAADGSAVICDDRDVVKRGCGKSYAPFPVGCMFHSEGGLKSFEHPMNRLKAMPRVDSEGVMCGATFKVDTFTKINSMPRVGSATNWAAWDDAAL >Et_5A_042844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5434717:5438676:-1 gene:Et_5A_042844 transcript:Et_5A_042844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLALSLPLRAPAPPPRPATSTPIILIHRQPHSPSTSSAPRLFSPTSLLSTSRPTPTSSSRKPRLGRPLDPARDGGGGGQPWHLPPSISLPARRALLAFLADPNHASPRDLISAVPAPDLGALFNALASRGHPGAALSALHAARDLHGERALHHPRVLPAAVRVLARAGRLSEASALLDAAPEPDAGAYTALVSAFSRAGRFRDAVAVFRGMVDSGVRPALVTYNVVLHVYSKMAVPWKEVTALVDAMKKDGVALDRYTYNTLISCCRRRGLYKEAAQVFGEMKAAGFEPDKVTFNSLLDVYGKARRHEEALGVLKEMENAGCPASVVTYNSLISAYVKDGLLDEAVELKHEMELKGIKPDVVTYTTLISGFDRAGKIDSAVGTYTEMVRNGCNPNLCTYNALIKMHGVRGKFTEMMVVFDELRDSGYVPDVVTWNTLLAVFGQNGLDSEVSGVFKEMKKAGYIPERDTYVSLISSYSRCGLFDQAMQIYKRMMEAGICPDISTYNAVLSALARGGRWEQAEKLFAEMEDRDCKPDELSYSSLLHAYANAKTLDKMKALSEDIYSERIEPHNRLVKTLVLVNSKVNNLSETEKAFQELKNRRYSLDINVFNAMVSIYGKNRMVKKVEGVLSLMKENYINLSAATYNSLMHMYSRLGDCEKCESVLTEIKSSGVRPDRYSYNTVIYAYGRKGQMKEASRLFSEMKCSGVKPDIVTYNIFIKSYVTNSMFEEAIDLVKVDALQWYLHVGLHIDGSVFVPTSKVYKCNEKLLVASDPHALPLVALRVVGLSLLSA >Et_3B_030145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30960087:30961658:1 gene:Et_3B_030145 transcript:Et_3B_030145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFCCCFCNEDFEEYVHPSNPIYRQCICLRNIFHNIFGGYTATFQRLESRPSNPAHGAASLGSSNQNASITDSSLAETFQLVSRPLPYDTDPRYARVQREGLVSRREKSINLTQEESLALRRNGSSSAIEHLASQKKRSSTDTESDCKVCRSESTKSLSAKAYSSSYAGVTSEDEDVCPTCLEEYTPDNPKIITKCCHSFHLGCIYEWMERSDTCPICGKGGETSLQYGM >Et_3A_025903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33944103:33946496:1 gene:Et_3A_025903 transcript:Et_3A_025903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIIQERHETSGDDDPREDILDLLLRFQREGGLGITLTREVLSGVMFDVFSAGSESTSTTTIWAVSELARNPGVMERAQSEVRQVLHGKSTVKEADIQGRLPFLQMVIKETLRLHPPAPLLLPRHPSEQSNVLGCDIPKGATVFVNAWAIGRDENSWPQANEFRPERFEDEGTDFNGADFRFLPAGAGRRMCPGLTYGLSNIEMALASLLYHFNWRLPGGANPHKLDMEEALQQMFSNPDLDALSTTSIRNKEITLIVLSYRPVREEEAVRFVAGELNREDQGRDERH >Et_5B_043049.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:17930943:17931360:1 gene:Et_5B_043049 transcript:Et_5B_043049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLRNVGFIIPTDTCCHVVLEVNMTCVCRIMTPRDEKKISMQAVFEVSQYCGNPVPAGNKCGSKCPVVSQKILMFSCFF >Et_8B_060844.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:970826:971803:-1 gene:Et_8B_060844 transcript:Et_8B_060844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSRTKKTKKKTNLFEQIVDNEDGTVTRPVVPALPPSDSPASPVLSRDVPLDAARGTYLRLYLPSPVVPPSPAAKLPVVLYFHGGGFVVFSAATVFYHGYCKTMAAAVPALVASLEYRLAPEHRLPAAYEDAAAAVAWLRGGAPADPWVAAHGDLSRYFVMGSSSGGNMAFFAGVRTKGAYDDGLVRGLLLHQPYFGGVERTPSEAGSEDDAVLPLEANDKLWSLALPVGADRDHEFCNPAKSLPHDAVAGLPRCLVTGNTADPLIDRQREFVRWLRDRGVDVVAKTDFTGYHAAELFSPKKARELFAAVREFVFASPAILTRL >Et_1A_004896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14863142:14863790:1 gene:Et_1A_004896 transcript:Et_1A_004896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NLCSSPIYKLAISENSQSTNHLWDLPRPANIPVLTFTLTLPSVAALMESKARDRPPLTPFFPASPSLSTVKKQLGYGVLAFQQMMRWCLTPGVCPSSPCSKLFNFADGAVPRGEAPEWQPAGREFDSRSPHAAL >Et_7A_050797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11087107:11088320:1 gene:Et_7A_050797 transcript:Et_7A_050797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EGLEEHRCVDVDHVGAGVREDVDERVEVAVVEPPEQSPLGALRRGVLLRLLGRPGPDGDDEVARVLRGVVRVEVEVLVDHLLGEHGHGDHGGVVGRDAAVDEVELPPGRAAAAGAAGLEVVRREVGAAAVLMQMSERCAFLSGSAFASNVVKITGCMRRTSNAGTTTLVTVSATVTSRVRVLILSCRNDPSYLGPISRPTSFATGVRNPNLHRHRAPYLGAVLEVELGDVLGGEQRPLVLVRRRQHRRDQRARARPGYHVEVVRDPCIRPVEFLQPEDHAYTYVTLCLEARDDGRRDEPADAAAVDAQHRDKLPLRRRQGGRAVAAHWRDK >Et_5A_042671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25028382:25029138:1 gene:Et_5A_042671 transcript:Et_5A_042671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRHRQVLQLLAAAAIAVAFLPAPASAVDYPVGGDAVFKYNITVANHTVWEVDGQTFKDCFKQGNRINEWKTGNETVKLDKPGRRWFFCSVADHCELGLKLVVDVNGTAPPPPGSPPASSPPPSSPPPPAPSTPSTQSSSSAASTKNYMNAGEAVARAMVIAGAVVLGVFI >Et_3B_028112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10368099:10380044:-1 gene:Et_3B_028112 transcript:Et_3B_028112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALGFAAMAPSRHADEGGQLQLMEFDRIEEEEEDRFEAIDKLISQGINAGYVKKLQDAGIYTCNGLMMHSKKVLRLASLLFILFSKKYCLHSVLQFISHYKHLFASTYSSLTGIKGLSEAKVEKIFEAAEKLLSQGFMTGSDLLIKLQLMEADRIEEEEDCFKSIDKCKQ >Et_4A_032284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28328613:28329923:-1 gene:Et_4A_032284 transcript:Et_4A_032284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDASSSDADPLRACRAAARRRLRERVGAIIRSIREPLADVLRDHALVHLPPAAAARLRLVHPSWALALGSPLFAVAHAAAPRRSSGLFAPSSAGGFLPLDAADTVPSPTLSFVPASSELAALSSTRGLACCFSLADDAYFVCNPATACWAGVPSPPRRTWPPRPAVVVLFDATAYNFRGDYALVSAFESAPGSGTYCFAVFTSGAGAWWVADAIAPAEGLVPASGVAAGGTAWWRTAIGTAVGYNPTTGRVEMAVCPGDSGHWEIGSVADRLHCAVLDEGDVVVCRLATHDGGWEVAARVAVAEILQDADEAAEEEAPRAIVAVANRVRRPNYDVRLLPFQGAEVEVVVLSGRRVVAFDTVTRRRREAALPDPPEGREWSDAEYTAHTNTLALVAPAVLACEPAGALTEPPDDREACIFLSKS >Et_6A_046730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:268628:271987:1 gene:Et_6A_046730 transcript:Et_6A_046730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASHRQPKRCRFSPAPAPPPLDSLADELLFLVLDRVAAADPRALKSFALASRACHAAESRHRRVLRPLRAELLPAALARYPSATSLDLSLCARVTDAALAAVSAASPSNLRVVDLSRSSGFGAAGLAALAKACPDLVDLDLSNGVDLGDVAAAEVGRMRRLQRLSLSRCKPLTDMGLGCVVVGCPDLRDLSLKWCLGLTDLGLKLLAHKCKKLRTLDLSYVMISKNSFPAIMKLPNLEVLTLAGCTGIDDDTLGSIEKDCNKTLQVLDISHCQNATDAGVSSIMKSIPNLSELNLSYCCPLSLSKCSGVTDAELSFVVSRLKNLLKLDITCCRNITDVSLASITSSCTSLTSLRMESCAHVSSEGLRLIRKHCCHLEELDLTDNDLDDEGLKAIARCSKLSSLKVGICLKISDEGLTHIGKACPELRDIDLYRCGGISDDGITLLAQGCPKLESINLSYCTDITDRSLMALSKCAKLNTLEIRGCPRVSSCGISEVAMGCRLLSKLDIKKCFEINDVGMLYISQFSHSLRQINLSYCSVTDIGLLSLSSICGLQNMTIIHLAGITPNGLIAALMVCGGLTKVKLNAAFKTMMPPHMLRNVEARGCVFQWINKPYKVELEPCDVWKQQSRDVLER >Et_10B_002497.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:11679796:11679864:1 gene:Et_10B_002497 transcript:Et_10B_002497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVAMDFFAKGGWKFNNRICL >Et_2B_021459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29947363:29953569:1 gene:Et_2B_021459 transcript:Et_2B_021459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYGKAGGASSRADHDDLGVVAPPSPLPANGAPQTPPRQAATPASGRPSRRKSGSTTPVHQTPGVAWPSPYPAGGASPLPPGVSPSPARSTPRRFFKRPFPPPSPAKHIKATLAKRLGGGKPKEGTIPEEGGVGAGVGGPGADGAEAERPLDKTFGFGKNFGAKYELGKEVGRGHFGHTCSAVVKKGEYKGQTVAVKIISKAKMTTAISIEDVRREVKILKALSGHNNLVKFYDACEDALNVYIVMELCEGGELLDRILARGGRYTEEDAKAIVVQILGVVAFCHLQGVVHRDLKPENFLFTTRDESAPMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPSVSAEAKDFVKRFLNKDYRKRMTAVQALTHPWLRDEQRQIPLDILVFRLLKQYLRATPLKRLALKALSKALREDELLYLRLQFKLLEPRDGFVSLDNFRTALTRYLTDAMKESRVLEFLHALEPLAYRRMDFEEFCAAAISPYQLEALERWEEIAGTAFQHFEQEGNRVISVEELAQELNLAPTHYSIVQDWIRKSDGKLNFLGFTKFLHGVTIRGSNTRRH >Et_3B_029266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23602987:23603681:1 gene:Et_3B_029266 transcript:Et_3B_029266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTGASRRRRAPRPFLPLVVLVLILVSSLPPRASALRVPLRQITNLVSLSHSLLSRVAATRAARGDAAAAARARRIASLLSYRGAWGLGWDYLRHYSSPASSPLLQRPRAYWMRRHYGDVRDAAAQILNGLVVAFSEQGPLREVVMDVKWEVEEGELLKDCLEVGAKDLQGLLVIAKDLFSGASRASSQHSEL >Et_2A_018278.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21112822:21113169:1 gene:Et_2A_018278 transcript:Et_2A_018278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIPREAREFGLGQSGYYLLLAGSIVVHQCFFLGTIGAIYFGSALLAGVIMTVLLPVTEVLAVMLFHEPFNGTKGVALALALWGFVSYLYGEVQTSKAQHHQSNKAPDVAHLDP >Et_4A_033462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22998348:23000003:1 gene:Et_4A_033462 transcript:Et_4A_033462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPAATTVRIGAGRGPCRLWRSEATSASLLPSSSPIPSRAARDTRARASELQQAPPRPAGAPVATHKVTVHDRERGVVHEFVVPEDQYILHTAEAQDIRLPFACRHGCCTSCAVRIKSGQIRQPEALGISAELKDKGYALLCVGYPTCDVEVYWLQFGRYFARGPIERDDYALELAMGDE >Et_3B_030487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4169156:4171153:1 gene:Et_3B_030487 transcript:Et_3B_030487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSSGVLLKLLDGMKTGAAKPVGEHRTAVLQVTDIVPAELDEKDLFPKHGQFYVKVSDASHSIYATLPLAQADLVLSNKLHLGQFVHVDRLDPASPVPVIVGAKPLPGRHPLVVGTPDPAAKAKSAAPRRGSWGPEQNTSIKPTTLNFDADRTPVKERPAMSTPVRDRVAAATPVRDRAGAATPVRERSFAASPSLSTASVRKSSSVLPRLTKSKSFVADRDNHPRIPRSPFPTEKSSMSCTTSRATRRMVKEEEPSSPSSDDEVCSSATSSKKRSSTAARVPVPGKLSVLGKEAIEQREQAQKAALEALRNASATDNVVRIYKIFSELSKTARPDSPANCFDSFLSFHQEAVQAVTDIESIQAATSMAAAVASDEQPEDAPPVLQEIAQNRAITRRRGLGSGVSKSVSFAPGTLDPRQHDGGGKGRCSSANRKCLAMDKISEDGGEEKRSSSSAPSSAPTNGHSALGSSLKLAKQIQAEAGSWFMEFLETALETGLKKKCKASAMGDGRKQSSCGCPQSLMLRVINWVEMEQSGGDGSRKLGHPRAAAIARKLRIKAKNP >Et_6B_049963.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:2070203:2071369:-1 gene:Et_6B_049963 transcript:Et_6B_049963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRRFLNLVTANDVVGMYSLRRIDLHARDNSLFYPIVADAPKPDSKKKMERIQVPKRPMLFSGRRLEWFPLSEGKLVYMDHHHTRDAAIYHVHADERCVDALPGIQAKGSRSSKLPICFSVDGGGEGDDSSVYFIDRYPNRPARDDDEVNRFQFQALVRREDRWSPSSNNDSSSNDRWRRDELPPPPYNTLAGIDSYGLVGDSGDVLCVSTEGIGTFCFDTASRTWWSHAGDWALPFSGKVERVPELDGVLVGFWASELGGHRLLRRRPPVPDGQPWCPAHAAQLAGPGPAAVRRGMGQGEGAAARRTRLRQVLPRRVLQGRQRRRRQKGSGEEVDEWFVVLTGVEVVRDGRISHKCGQAQEQALRVEQSPVWTSGYRRVRALNNL >Et_2A_017857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7023523:7028706:-1 gene:Et_2A_017857 transcript:Et_2A_017857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KKTATEGGNQEPHAESNAQTDQSSKPYLNRGGRFLPPSPRSDRTTQSLTGAAPLSPATAAMVYVDSWDEFVERSVQLFRADPIATRYVMKYRHCEGKLVLKVTDDKECLKFKTDQAQDAKKMEKLNNIFFTLMTRGPDADISEVSGKEQAEQQQSKKGRGRRQ >Et_7B_054932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5741806:5743043:-1 gene:Et_7B_054932 transcript:Et_7B_054932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDDESPLRVNTRGGAMGGGGCDGAENQRWPPWLKPLLSTSFFGQCKVHADAHKSECNMYCLDCMNGALCSQCLAYHRDHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDTFRFCSLGCKIVGTSGEYRIRKKHAAIKKKKKPHKDAVALSDSEDSSTSTSGRSDKSSVVQSFTPSTPPATAANSFRAGKRRKGVPHRSPFGSLMVES >Et_1B_011166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18237540:18240568:1 gene:Et_1B_011166 transcript:Et_1B_011166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSIAIALVLVAVARYGSLASAAGPKVIIIGAGMSGISAGKRLSDAGITDIVILEATDRIGGRIHKTKFAGLNVEIGANWVEGVGGEQTNPIWSMVNDTLGLRSFFSDFDHLAKNTYKQDGGLHDEEFVKKRIERADEVEESGAKLSGTLHASGREDMSVMAMQRLNEQYVPHGSVTKSWRARPPSSSFMPCSVIGSLLSGPTTPVDMVIDYYKHDHEFAEPPRVTSLQNTKPLTYGDDVHFVADQRGYESVVYHVAGQYLKTDKSGAIVDPRLKLNQVVRGITYFPSGVMVKTEDNKVYRADYVMVSVSIGVLQTDLIHFRPQLPAWKIVNIYQFDMSLYTKIFLKFPKKFWPEGPGTEFFLYASGRRGYYPVWQQFEKQYPGSNVLLVTVTDDESRRIEQQSDNQKL >Et_8B_059495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18482795:18501728:1 gene:Et_8B_059495 transcript:Et_8B_059495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGASPAPAAAVQVRCAGCRGVLAVAAGMTEFICPKCRMAQRLPPELMPPPPSPPKASPTPPPPVPAPAPAVPPSLPPPPPPQLQRQPPPHLPVPLRRSAPRAQGVDPTKIQLPCARCKAVLNVPHGLSRFRCPQCDVELAVDMSKLRHFLAAGAPSFIPAPLTPPPPVPMPPHMPFLPMMPRPPVPMAPMVPPPELPEEINEVAIDVEREEEEGGTIGDTFIDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYSLTIMDELNGTNALSCLQIETVVYACQRHLHHLPTGARAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKALWISVGSDLKYDARRDLDDVGAKCVKVHALNKLPYSKLDSEAIGITNGVIFVTYSSLIASSEKSSSRLQQLVQWCGSDFDGLLVFDECHKAKNLIPEAGSQPTRTGKVVLEIQEMLPQARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQNFHQFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGADFDVLEAPLEEKMMNMYRNAAALWAELRVELLSAIEYYAEDKSNSAHIWRLYWASHQRFFRHICMSAKVPAVVRLAKEALAEEKCVVIGLQSTGEARTEEAITKYGVELEDFVSGPRELLLKLVEDNYPLPPKPDCFQQGEEMITESQRKRHCGPDVSLTGQVSKFAKMEDVGDDGVDEYCPPAESDRESTESDEDSHTCQICNTEETSLLIQCSVCATRVHPGCLTPPLTGMVANDWSCYGCKDKVEGYFIARDAYLTELSKRYDAAVERKSKILDIIRSLDLPNNPLDDIIDQLGGPEKVSEITGRRGILIRASDRKGVIYQSRNTKEVALDMVNMHEKQQFMDGKKHIAIISEAGSAGVSLHAERRANNQRRRVHITLELPWSADRAIQQFGRTHRSNQSSAPEYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTMMYRGIMEQDALPVIPLGCSENQTTLQEFITTAKAALVAIGIIRDPVMCNGKIGGKLTGRIFDSDMHNVARFLNLIKNAQNEGQLDSGIVDIKAKSVEMKESPKTVHVDSLSGASTVLFTFTVDRGVTWELANSMLEERLKDELCSSSDGFYESRREWMGRRHWLLAFEGSTEGMYKIIRPAVGEASREMPLVELKSKYRKVSSIEKIGKGWQEEYDASSKQCMHGPKCKLGSNCTVGRRLQEINILGGLILPVWGVVEKALAKQVRRVHKRIRIARLETTNDNQRFVGLIIPNAAVESVLEGKFQCSAFQEFLSSTFCDLFSPQGTLDDYECATETYSRCIPMSKY >Et_8A_057771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:777619:778449:1 gene:Et_8A_057771 transcript:Et_8A_057771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSWTAPLALLLVVAVVADVASAAKPLTPGGREVHHNHGKFTAGPWKPAHATFYGGRDGSGTTAGACGYKDTAAEGYGVQTVAVSAALFADGAAVMTGDHRKATSWHVLPKDWQFGVTYQATKNF >Et_7B_055973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8387856:8389862:-1 gene:Et_7B_055973 transcript:Et_7B_055973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASSSSSNPESRAMALAKAKEIVASAPVVVFSKSYCPFCVRVKKLFEQLGASFKAIELDVESDGADLQDALKEWTGQRTVPNVFIKGKHIGGCDDTMALNNQGKLVPLLTEAGAIAGATSKTTTTA >Et_10B_002501.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:12095484:12095948:-1 gene:Et_10B_002501 transcript:Et_10B_002501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKGCSKLFDCRRDSKQGIITELPEPVTPTDLPSSTSEIHLKRKRTRTIVLVTTDVRRSERMKKMAKGFRKGFCRDINRVACTCDAPVLTDSIIKDLGTTFCKIVPEKITTEALQKKKSVTKPIGAGKVKPPVKNQSTKKKAPNDDKQKKEKK >Et_2B_019215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17727996:17728238:-1 gene:Et_2B_019215 transcript:Et_2B_019215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALVLAVLLLVAAAVASAAGVDSIPGAQELAGAGAAGAKSTATSAVGADPDPAPLGGMQADPAPDARG >Et_5A_042156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6911545:6915266:1 gene:Et_5A_042156 transcript:Et_5A_042156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMDSIEREEDYQMDPIEIKDDEEPAMDMLVDQPRFLEPLCPEEVNEDTRVYPRVGEEYQVEVPVLLTEEEQLEQRSFPAHDGTKFGFEYPVGVGLDIPVTWTQNTSKHMKEKQRGFSGRNSCPSQDEGAIHGGGNVPGNLYQHSICSECLVCKDEDVEQGDKLPGSAGQDIHCLQENKFLGCSCAKREFNDYIPLPGMPRFSWTEEEAQTFLLGLYIFGKNLVQVTKFTENKTMGEVLSYYYGEFFRSDAYKRWTACRKARSRRCILGLRIFSGPRQQELLSRLLAGVPREVEAPLLEVFKLFNEGTTSFEHFILTLRSTVGAQVLVEAVGIGKGKYDLTGFALDPSRNHGISTRPEIPIGKACSKLSSGDIIKFLTGDFRLSKARSNDLFWEAVWPRLLAKGWHSEQPNGKHAIVFLIPGVRKFSKKRLVKGNHYFDSVSDVLSKVASEPRLLECGVVGGNNGSDVKFGNGWIQDSEPDKNTFSDKKPPCSSRSSEPGCSPELMKFTVVDTSLVQGEEPCNVRSLRNLPTDSSHGYMSSPHSGDSESDSSEGHSDSDDSSPPYEHIHVGRSTTDVNYVNEEKRHKPSATDKMDHNALQNSVFSGTSALINGHVSFDQGHSTMNNVCSSATTILPVDVQGVHASSTSPEISFQFDRRINAESQVFLVPLSKRRRLVSCKTEKAAASKNHYWKQADEPLQPDVSANEASGGANNFVWSTIPSSTNINFNVNNKLHNVPPKDETAVYKEKRQNKHVIDLNVPQMPSEYESAASYSVPPSDRSIQTMDKPLRSSETQEMADRLPDMDASRRHSSRSRPPTARALEAIACGFLGTKQKGREANFPSSSRSRRPVRRPRRSADVALPFSSDGEGSTSHFTDPLMDVNEWRTSNPPYQVVNSSPSDKSSDKMSPDLFGADKPTDKGTHELFGIP >Et_9B_065421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4927104:4927492:1 gene:Et_9B_065421 transcript:Et_9B_065421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKTCGSLVAVASAAAACNDPQRRVIVPRFSSASRRTRPPRRGVGCISRWWALSLVMPAALVIIIWCMTSFVVLVGFYLLVVLCNCKDRQDPGLLDDVAVGEREPFVEKTRPTTDEIL >Et_8A_058214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2506366:2506770:-1 gene:Et_8A_058214 transcript:Et_8A_058214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQSGFLEFKLDYSSNKDLAIRKAVSSENFSAGGQIWRVNCYPRGAKEKDQGEYLSMYLKLLSKSQNVKAIFDVFLMGRDGAPSTLHARSFAPGPISSTH >Et_3A_025496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30216716:30218245:-1 gene:Et_3A_025496 transcript:Et_3A_025496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRTCAMEGETVGSPFFHQWLKPRCSSSSSSSSSPSSAYSSSSAAASMASRHQEGEGGGQEASSMTCLPLLSRLEGKRPDDHHEPQYPVKEEIIMSGATGGGMWQSGVDLHIGLPVGGGSGNDEDAAMEEQKDDDDDHRHHHQEEDDMEEEEEEWKHARGGCKAEGDEMERGEVVPVSVEGSSKIVVGEFGPVGAESGAAMGCQYWIPTPAQILIGPVQFVCHVCNKTFNRYNNMQMHMWGHGREYRKGPDSLKGTQTLALLKLPCYCCAAGCRNNVAHPRARPLKDFRTLQTHYKRKHGAKPFRCRRCAKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGADHRPVDEPAAPSKDSVIRFHR >Et_1B_014330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6154912:6156907:1 gene:Et_1B_014330 transcript:Et_1B_014330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSGISFTPPFPSFSLFLPHLASKGAAMSRGLGLVKPMEEMLMAGNPNPNQNQNPPPAAPSAAGAQRGGAPAAPAAAAPGAGAGAGAGTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSVSAAAAATTSAAMSGTVPVGLAAKNPKLMHEGAQDLNLAFPHHNGRGMQPPEFAAFPSLESSSVCNTGAAAMAGNGGAGGRGMGAFSAMELLRSTGCYVPLQHMQLGMPAEYASAGFAFGELRMPPQPQSQSVLGFSLDTHGTGGVGGGAGGYSAGLQESAAGRLLFPFEDLKPAVSAAAGVGNTGGGGDQYEHNKDQGGDGRAGSGVTGGQEAPGFWHNSMIGNGNGNGGGGPW >Et_4A_034834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:746943:752802:1 gene:Et_4A_034834 transcript:Et_4A_034834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDAARKRRPDPSCCVLGVDRLSALPYWVIHHIMSFMKARQVVQTCVLSTRWMHLWCSVPCLDVDEEEFKAADANRDTSSEEWEKFENFADHLLTNNISISHLDTFRLHVTRNSMYCYGRGEIAARWISHSVKYSALAPGIQREGFICRLWRLRRLHLSHVILNDGFGSISWYQYLEDLELNGCSCKFREIISHTLKSLVLKNCCGSQLSVIASSTLKSLVIDGSNNAHLLVITAPAVTCLLLLALRDYSVCSGISIKLMSSLVKASIDIEIDNWTAKGTLGSNYREFLNGLTNVTTLELSGFKAMIDPDLEPVKFPIFKNLRSLLLDKCDLSNNFQLLRHFLHNSPNLEKLTVRCCTLRKKNTRRKGEVDLTKTSSQFHYPQLKSTEIIYTDGDNILGIAGQSMELNAARKRIRAASCGDILAVDRLSDLPDCIIHHIMSFMKARQVVQTCVLSTRWTDLWRSVPSLDVDDEEFKAADANGDTSSEEWEKFQDFADHLLTNNISLAHLDTFRLHATSRYSYSRRETAARWIRHAVKYSALAPGIQREGFSCRSWRLRRLHLSNVSLNDLFAKHVTLGCQYLEDLELKDCSCNFLEIISHSLKSLVLKNCSARQLSVITSSTLESLVIDGGNNAHPLVITAPAVTCLLLLGMSDSPGSVCSGISISEMTSLVKASIVIEVDYWTSTGTQVRNYREFLNGLTNVSTLELSGFKAMVAPDVEQVELPIFENLRSLLLDECDLSNKFQLLRHFLHKSPSLEKLTVRCCTHRKGSARRKGKVDLKKTSSQFQNLVDFQYPQLKSTEIIYKDGDNIVGRLSALLDISYYVLKNTITLTKI >Et_3B_028234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12055039:12062836:-1 gene:Et_3B_028234 transcript:Et_3B_028234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVKYDDEEEISSSGEEEEEQEDQSDGAGSGSGDEEDEATPAGEADGEGQEEEEVDEEEIEAVTTGAGDEEEEDAGAAVGEEGDDDSQSTEDDEAAAGDNDDGDENNKGKGRLKYLLQQTEIFAHFAKGSQSNEKKPRGRGRHASKMTEEEEDEEYLKEEEDALAGTGGTRIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWIKEIQRFCPILRAVKFLGNPEERNHIRDNLLQPGKFDVVVTSFEMAIKEKTALKRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGEHLIENAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMYRGYQYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVVLYDSDWNPQADLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDDLLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEETTAELDAKMKKFTEDAIKFKMDDTAELYDFDDEKDENKVDFKKLVSDNWIEPPRRERKRNYSESEYFKQALRQGAPAKPREQRIPRMPHLHDFQFFNNQRLNELYEKEVRYLMQANQKKDTIDGEDEEQLEPLTAEEQEEKEQLLEEGFASWTRRDFNTFIRACEKYGRNDIKSISSEMEGKTEEEVQRYAKVFKERYKELSDYDRIIKNIERGEARISRKDEIMRAIGKKLDRYKNPWLELKIQYGQNKGKFYNEECDRFMLCMVHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDEQERQARKEKRLAKNMTPTKRAALREGETTPSNSFKRRRQSVMEDYVGSVYLDIMVQLIL >Et_7A_051933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:342862:349620:1 gene:Et_7A_051933 transcript:Et_7A_051933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDHEEGVGESSWPPGAAAAAARGGSGGGGGVTDIRKQIYDRLVELGNEEAISDPNFREKLDRHFERLPASYSIDLTVDKAEDVLLHRRILDESADPDKRPVFHVRFLRYHQVSADSEDRPQGSSPRENGGASLTSTFRDGGRGFEPYQRMMEDLSLERRKGVDDCEASSARRDAEIVPLHEVIFSTIDKQKLLSQLSALLSELGLNIREAHVFSTTDGFCLDAFVVDGWKTEETEVLLQSLKETAARNHASLSNPTNSVASQRVLDLQQKIGDSDIDRSQLQTKEKIASGSSGDLYRGTYLGVDVAIKFLRTEHVNDSSKVEFLQEIMILRSVRHENVVQFYGACTKQRKYLIVTEYMPGGNLYDFLHKQNNTLELPMILRMGIGISKGMDYLHQNNIIHRDLKSANVLIGSDQVVKIADFGVSRHPAQEGEMTAETGTYRWMAPEIPYEKLTPLQAALGVRQGMRLEIPSGVHPRLSKLIQQCWDANPHLRPAFSEITVELEDILRHVQVDQPSLKGSESTFESKDTKEIAAIDYRSLDFIDQHSAFLIMESQ >Et_1B_009952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13850864:13851496:-1 gene:Et_1B_009952 transcript:Et_1B_009952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTASGGWAWVAEVAAEELAKLEAAHPGRFGPLKAELQRLVAEPELDAAAFPALSPRAGASAAPSSQPAPPPPHLSCTQESSTTRKRKPGGACERKDGKRRRSATAPPPGGKKDRADMAIERAERCLERIRAIKRSLLAAWTH >Et_9B_065766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10650679:10654196:1 gene:Et_9B_065766 transcript:Et_9B_065766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPNGSVSHSERKPLSLVVSDCVQRWFQDTFKQARAGDPAMMVLVAQMFHSGYGVPKNEQKYAYGLIKVLVVNRTSGKSMVGKGIKIQVFSLEGWNETSRSINQVIDSLKLKLMEYRY >Et_1A_009180.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:3276048:3277019:1 gene:Et_1A_009180 transcript:Et_1A_009180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARGICPCGHAAALVLLLVSVLLLSSARVSVGSRTLLELYKPPPSDMLRYHNGAVLQGRIPVSILWYGRFTPAQKAVVTDFLQSLTTASPAPTPSVSQWWNTINQLYLSKAKNGARPGAAAQVALAGQVAEERCSLGKRLTLSQLPALAARAKPRKGGIALVLTAQDVAVDGFCMSRCGLHGSDARAGTTYVWVGNAATQCPGQCAWPFHQPIYGPQTPALVPPSGDVGMDGMVMNIASMVAGTVTNPFRDGFYQGDKDAPLEAATACPGVYGSGAYPGFAGNLAVDRATGASYNANGAHGRKYLLPALFDPATSTCSTLV >Et_1A_004776.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:40124952:40125726:1 gene:Et_1A_004776 transcript:Et_1A_004776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSSSGAGAGHQQQQEISSITAVHQQQEEEEEELLAGGITLPASSATDDAELSPPPRCEWDFRLAASVPSPALPGASDAIGSIDFDPTGRLLATGGIARKIRFYSVASLLGLDSAGSIQGPAACICVPAKLSSVRWRPGASGATVVGCGDYDGVVTEYDVERGVAAWERDEHSGRRVWALDYAPAAAMAASGSDDRTAHVW >Et_2A_018590.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3463845:3464921:1 gene:Et_2A_018590 transcript:Et_2A_018590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGSGTRDEFGRAVARAAVAQALEASGFDCAHRSAVDALVDVVLRYVTHLGRSAAFHANLAGRVLANECDIIQALEEVGSDTDGFAGAAATGHCLVSSGVVRDLMAFVDSRDEVPFARPLPRFPIPRTQQQPAASFAVARRETGMRHVPEWLPVFPDPHTYVRTEVWVEPPPTKDRVDKVEQVRQRRKAEKSLLSLQQRLALAGADGFRPAVSHDTEESGKEIQAAGSKRNPFLEPALPPGEKDVSEVDMPPEKKQLSILEAFAPVIQAATIKEIDVGTGLDQIKNQKSILPKERAPVHLKIGIDKKPLAAALYSGALDLREDPSFLKEEAKDDRKRRAGMILRASMENPQELPQH >Et_2A_017703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5305419:5318233:1 gene:Et_2A_017703 transcript:Et_2A_017703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRGRWYWDVPGFEPPAAAASAAPPPTAMPRAPPTAMVLRPAAGAPRAAASGGVPVADRLEQLADSVQLARDDCLELRQEASDLLEYSNAKLGRVTRYLGFLADRTRKLDQAALETEARITPLIHEKRRLFNDLLTLKGNVKVFCRSRPLFEDEGPSVVEFPDDCTIRVNTGDESLTNPKKDYEFDRVYGPHIGQGELFHDVQPFVQSVLDGYNICIFAYGQSRSGKTHTLEGSSHDRGLYLRCFEELFDLSNSDTTSTAHFNFYLTACELYNDQVRDLLSESRSPVPKVRMGVQESFVELVQEKVENPLEFSGALKTALQNRSVNSVKAMVSHLIITIHIHYRNYVTGEHLYSKLSLVDLPASECLLEEDANRDNVTDFLHVSKSISALGDALSSLSAKKEPVLSGNSRITQILADSLGSSSKILLIVHVSPSASNLSRTLSTLSFSARARNAELSLGNRDTIKKWKDVANDSRRELQDKEKEVVDLRQEVLGLKHSLKEANDQCTLLFSEVQKAWRVSSTLQADLKSENLMLIEKHKIEKEQNNQLRDQINHLLKVEQEQKLKINERDLTIKSLQARLKSIESQLNEALNSSDARSTIGSESASVISSPKVMESTADSSSVTKRLEEELAKRDALIEKLHEENEKLFDRLTEKSGLGSLPQASSPSSNKPTNAQGREIGRSDSNKSQSSDVFPSPVPQDKTGNSGTIVKSSNEITKTTPAGEYLTSALMDFDPDQFEGFAAIADGANKLLMLVLAAVIKAGAAREHEILAEIRDAVFSFIRKMEPRKVMDTMLVSRVRILYIRSLLTTAPELQSIKVSPVERFLEKSNSSRSRSSSRGSSPGRSPVYPHDHGSRTALVDEHVHGFKVNIKQEKKSKFSSIVLKLRGIEEETWRQHVTGGKLREITEEAKAFSIGNKALAALFVHTPAGELQRQIRAWLAENFEFLSVTGGDVAGGAAGQLELLSTAIMDGWMAGLGTAQPPTTDALGQLLSEYKKRVYTSQLQHLKDIAGTLATEEADDPAHVSKLRSALESVDHKRRKIMQQMRTDTALLTKEEGGSPIRNPPTAAEDARLASLISLDNILKKVKEVLRQNSAGPLRKSKKKALLASLDDLLAQMPSLLDIDHPCAQKQIMEARNAVESQEEDPDDPASNPNSLGESEVSQWNVLQFNTGTTAPFIIKCGANSSCELVIKSDLRVQEPKGGEVIRVVPRPSVLADMSFEEIKGVFEQLPEAVSLLALARTADGTRARYSRLYRTLASKVPALKEIVAEMERGGVFKDVRS >Et_2B_020527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21058015:21064890:1 gene:Et_2B_020527 transcript:Et_2B_020527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKIVVFSVKVLACLCFFSLSQGKNHFTLKDLPPLQKASSFPAGCQTRYDYIIVGGGTAGCPLAATLSQKFRVLLLERGGSPYGNRNISYLENFHIGLMNMAPDSPAQAFISTDGVINARARVLGGGTCINAGFYSRASSSFVQEVGWDEDLVNESFPWVEEKIVRWPKIAPWQAALRDGLIQAGVSPFNGYTYDHISGTKVGGTIFDETGYRHTAADLLAAGDPSNLKVLLHASVHKILFDSRQGRLKPRAIGVQFTDEDGRLHQALLNNNRDSEIIVSAGAIGSPQLLLLSGIGPKNHLKNHNIPVILHNKHVGKGMADNPMNSIFIPTKSPPRQSLIETVGITEAGVFIEASSGFGQSSESVHCHHGIMSAEIGQLSTVPPKQRTLEAAQKYAHTKLNLPKEVFQGGFILEKIDGPLSTGHLVLTDTDVRNNPAVTFNYFSHPQDLNRCVYGIKTIERILKTNRFSELAANGAGFSMERVLNMSVQANVNLIPKHTNDTESLEQFCKDTVITIWHYHGGCHVGKVVDQHHRVLGVAGVRVVDGSTFSRSPGTNPQATVMMMGRYMGVKILRERLGRAAGNESPKKDKLGVSSVPNYKPQDYEP >Et_4B_039303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9833909:9835513:1 gene:Et_4B_039303 transcript:Et_4B_039303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRILTGGGGSAALRAARAVKGTTGIVGLEVVPNAREVLIGLYTRTLKEIRAVPKDEGYRKAVESFTRHRLQICREEEDWRRIENRIGCGQVEELIEEAQDELKLLGNMIEWDPWGVPDDYECEVIEDDTAVPKHVPQHRPVALPEEFFRTLDAVRSNPALRGEAPPQVKA >Et_4A_034750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6057685:6060393:1 gene:Et_4A_034750 transcript:Et_4A_034750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAEEAAAAAAWEEEEEELVKGKGKKKRYGLVEYRALPGYLRDNEYIHRHYRCEWPLPQVLLSAFTIHNETLNVWTYVITLPILDCLSCLVRLLVVVGEDVDSRSIAAVTLVAMRGIVLHLIGFFIFLALTIYTATKVPNVVDLQTLQHLPDVLRNADVHKIQAELVSCLPDLHKLKDELKSSWNSMEVLPSLSRWHLLELLSNCLPHRFTQYNETSLSMLQSMKDDIANMIAPQLIRPIARWPFYAFLGGAMFCLLASSTCHLLSCHSRRLAYIMLRLDYAGIAALIATSFYPPVYYSFMCYPFFCNLYLSFITILGVATIAFSLLPVFQNPEFRTIRACLFFGMGASGVIPVLHKLVLFWHQPEALHTTGYEVLMGLFYGLGALVYATRVPERWMPGKFDIAGHSHQLFHILVVAGAYTHYHAGLVYLKWRDQQGC >Et_3A_026831.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:22746229:22747770:-1 gene:Et_3A_026831 transcript:Et_3A_026831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAIDKTQVVALAGISPNTSRAFLPPPTMPSANSIPTKWPKTLTADHLHRLVRAERDPRRALELFDAATAAAASASTSVSSPGPITPSPATVSLLTSRLASAGLLPLASSLLSRSRALFPSAAELELPFLTLLRAFSRVHRPLAALHLFRSAPSDLALPHSARSYTAVLAALVAHSHLSLARTILADMRAAGFAPTTATYNVLLKAHCSDAAAPIDEALRVFRNIRKPDACSYNTIIDGLCRRGDLTKALDLFSEMVTKGIAPTVVTYTTVIHWLARVGCFDEALKIFDEMGRRGISPNLITYSSLIDGLCKGGRAASALGLLDRMIKEKKLPNMITYSSVIDGLCKEHRMSEAAEVLDRMRLQGRKPDAGLFGKLIVGLCDAGRAVEAANYLDEMVLAGIESNRVTWSLHARINNAVLTALCAKGELDRAFRMYQSTRTRSISTEPTTFHLLVESFSKKNKLQKAAHVVLDMLSERCIPERETWDVIIGGYWSQKKVRQEAEEIWNQIAAS >Et_3A_023378.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24225308:24225499:-1 gene:Et_3A_023378 transcript:Et_3A_023378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVFRAVVITAVAVSSLAGVTMAADEPAPSPTSGAAAVSSSLVAAVLCPAVALLFGSLRH >Et_6B_049563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6612455:6630030:1 gene:Et_6B_049563 transcript:Et_6B_049563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIVPDLSTAEMSTFINQISSHPNLEHLKLSHNPTIVTLPESIGCLRKLCSLDLSSCKELKSLPKTIVKMDSLRILYVEGCYNLDQSNLDQLSFFGLSNLVVHCVPDMRADLMFQHANPDKRLKISSLEHMKSTEVAQSIEKMRNQRMERLNLEWNRDDERFVKDMEVLEKLLPPITLKYFELRGYNSVCFPPWLMSINQYLPNIVGIWMCGLPKCSSLPPLGQLPNLKELHIRAMDKLPQWLGEVTNLRELDIRGYLKLHNPLESMKQLTSLRALCLFHCGGTTTPRWLGELNSLEELLISDCPELNNLQGALQHLTSLQILSLDNCGFSSLPECFGNLSSFWKLEIVDCRDIKSLPESIEKLTKLEDLNIINCPKLQQWCELKENKKKLAHIKKKTRQTRAEIESAAMPKLEAMLVSSLLNLVSQQICAAIGGQIRLQLDFDKDLKKMKMALESVEAVLQDAERRSIQDATVRLWLKRLKDAMYDISDMLDEFEGAGSKSSGGKLVAMISCIKIGPRFSMANKMKTMREELDNITKEHHHFRFTADVSSISQPVLDERETDSYLQDEALIIGRTEEKMIIMDRLFESTTREITILPIYGIGGIGKTTLAKLLFNDTLFKDYSSAWVYVSQVFNLSKICNSVISQLSKQESHIVEKQMMRTRLRELLAVVGKRVMIVLDDLWEEKESQLDELKGMLTFGDGSKVIVLVTTRDEAIANKICTVKPYKLLLLTEEMCWDIIKQKSDFEARDDKERLELIGRDIATKCGGVALAAQALGYMLKPLTFGEWESTSVVHGSVTPVFTMHDLVHDLARSVITDELLDASKFENIGVSNFRYVVLTDCSRSLNLFGISPTKIRALRFLGHGSIVLHSAEFSLAKYMRVLDLSECSIQKLPDCIGEFRQLRYLNAPRIQNQMIPSCITKLSKLNYLNLRGSSVTSLPEAIGEMKCLMYLDLSYCPKIYVLPYSCSELKQLVHLDLSNCSGVEIIPELIAGLKELVHLDLSECNYFQGTGKALGGLTKLQYLNLYKRFSRLGNLVGLHEVISNLTELRYLGLSGSLGSIFCGALSSDELGNFFDCISTLSNVEHLDLSRNNIITCIPESIGKLRKLHTLDISNCDNLKSIPESMADMDNLKILNVKGCDELDEPKIFRSNSFAMLPHFIVHADDGASSSNIGLLRLANPDELKITRLELVKSAEEAQSINLMKKRRIEKLKLYWSADSERSVEDIQVLRELVPPSTVKEFEIKGYNSKRIPSWVMAIAHYLPNLVKIEMEDLPMCNILPPLGQLQSLEKLVIGGMQSITNIGMDFCGGNTGAFPRLKEFELRCMENLEQWNTMYSHKQGGLMEFMFPNLKELTISDCPKLRLKPHPPRAKNWMIQNSDNVISSWGERGHTGAFFFAPGTSLQVISCNMPLHEWRLLHHLLGLAHLKIVHCSDLSSSTQIIKDILTLQALHLTPYIRDNDKPKLPEWLGELSKLRTLVIDGYPELDAPAETFKKLTSLQSLRLLHCKSMKTLPQWLGEVTSLKQLEISDCPHLCNLHEGMRRLTSLQSLAMKNCESIPSLIEWLGSLLALEELIILDCKGIMSLPDSIEDLTRLKELQISGCPELKQWCDSTWKLARIKEKVFALSFLWPYPSNVSLNTLLSCSQNSKRGQKEENIWSMYTSNSAKFTSSSNSNSEFVVTFTHTRRKKVRWDICDWPPANSRSAVGRFTRSTRPATFFGHSVAARRSTISFSLSLEEATQLRWIRMA >Et_4A_034955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8158097:8162318:1 gene:Et_4A_034955 transcript:Et_4A_034955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLASGLHRLRSRSPWEVLWSALASCGLVLFSQLAVAMVPRLFPSLSLLAMLPIAGLVFFAAIVLGRLWRRFIGVAVSAPLFVLFNILLMWGVYVFVIRRDTSSLLDMLINAECALLLWGLYRILSGDPGVVACDPSYLEEAGCKDFVEAIYPSEKLPTLSRVRQCNCCKANVRGYDHHCPAFGTCIGQKNHRLFMALLTGFVVAESTYTMCSTKYITRCISSGTIRSENPVSLNMVISTMLFSILQVLWQIVFLMWHIYCICFNIKTDEWINWKRYPEFQMREQPQSDSEVKFVNPYDKGLLCNIREFLKPK >Et_7A_051816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25037795:25039329:-1 gene:Et_7A_051816 transcript:Et_7A_051816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRMLIEHADAFSNRPVSPFPVEFVTGARVKQSHSISTVPHGPLWRTLRRNLTASILHPSRLPLLAPLRRHVVVLHNAGEVVVVRDALHTAVLTLLVRLCFGDGVVDARDVRAVQRVLQGMTILSLFSLFDDDSSPDFNLLMVDAGELASSRMARLLHWRRWRRFLGIRQQMTELLFPLIADRQRRRGSPLCGLIRPYVDSFFDLQVPDLDDASVKPGLMDDEMVTLVWEFLDAGTETVVSCVEWTLAHLVAQPHVQNKLHHELSKSDKRESLAADS >Et_4B_038303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2810450:2810935:-1 gene:Et_4B_038303 transcript:Et_4B_038303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLASLSVGRACEKLTSFIRAFSSPSAPSTSCFSSQDDLEELMKLKRTVVRIRAVLHDAEEHWNIREESSKLKLQELKAVAYDMEDVVEEYEYEINRCKVEALERRAGVNNTNKRKRQKSPANSFLNIGQILTYILH >Et_6A_046060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21183513:21184280:1 gene:Et_6A_046060 transcript:Et_6A_046060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTILQAAHVADAIRFGTVCASWRAAYEVFRRHRLPSPRQPPCLLYASDGAGPGVAALHCAATAAALRIPFPRAPLARRPLLGSGHGWLVTADEASNLHLLNPVTGAQAALPPITALHHVESFTDEQGNPMYNVSENTNGDKEPTDLEPHRAHQFMYYRVVLSASPSAGRACVVLLLHRPHGEVSFARLGGERWTWVPPGDDTGLRWRYGYGNAMYRAVDGLFYLLQLDASMFSFDTLTGVFLF >Et_10A_000409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:37934:42139:-1 gene:Et_10A_000409 transcript:Et_10A_000409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGGVSANNPAAHDEDDANTAPFPETVQVGGSPTYKVDRKLGKGGFGHVFVGRRLTAAGRASGPAAQEVAIKFEHTSSKGCNYGPPGEWQVYAALGGTHGVPKVHYKGRQGDYYVMIMDMLGPSLWDSWNSLGQSMSSEMVACIAVESISILESMHSKGYVHGDVKPENFLLGQPSTPQEKKLYLVDLGLATKWRDSASGQHVGYDQRPDAFRGTVRYASVHAHLGRTASRRDDLESLAYTLVFLHRGRLPWQGYQGDNKSFLVCKRKMGTSPENLCGICPQPFKQFLESVVNMKFDEEPNYSKLISLFDCLVGPNPSSRPINTDGAQKVGQKRARLLNDDDGHAKKKIRLGAPATQWISVYNSRTPMKQRYHYNVADSRLAQHVEKGNEDDLLISSISSCADLWAIIMDAGTGFTSQVYELSPHFLHKEWIMEQWDKSFYISSVAGSNNGSSLVVMSKGTPYTQQSYKVSDSFPFKWINKKWKEGFHVTAMATSGSRWAIVMSRNAGFTDQVVELDFLYPSEGIHRRWDNGYRITAMAATMDQSALILSKPRRRPRDETQETLRTTQFPSQHVKEKWAKNLYLAGICYGRTVA >Et_2B_020064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16543015:16544723:1 gene:Et_2B_020064 transcript:Et_2B_020064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYVTSLLMGACTPGLDFGALDGGFLDTLCGGGGGGGLFVPPGVVPPGGGADSREGSSVSDPAWARSRDGGNARKRKAPAASAGGWEGKEACLGKVAYGVGLQVGEAKGGPESKKCKVGGGDSPVKPKVEEATASDGSVVDKGTKQGKGKSSKPAVEPPKDYVHVRARRGQATDSHSLAERVRREKISQRMKFLQDLVPGCNKVVGKALMLDEIINYVQSLQQQVEFLSMKLATVNPQLDFSNLPTLLHKDMYQACGPSQSSVFPLESAGAAFPFCDQPDLFQSFGAGAMEDQCSLSLLDTALPHTTNPQFAFQKQQRDFWEDGLQNALPMGNEQIQDDGVSAPSFDGQLQVDDTKIEF >Et_9B_065924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17799654:17806706:1 gene:Et_9B_065924 transcript:Et_9B_065924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAVLSDDEEDEIEVDEEDPRPSRRGRDERDDRDDDDDEEDEDEGEDEYEKDGFIVDDADEEEEEEGEEEEQNDERRKKKKKKKRAIYVLRESEDFMLDEDDYMLLQDNNITGISRPKLGNKFKRLKKAGRESEMDDRSGFSDDDGTGKKRTGKERVEYSLFGGDAPVEDDIIDDEEQQADEDGEFGEEDDEMAGFIVDEEEMDEHGQFVRKKKVKRKVPRQAAGVSSSALQEAHDIFGDVDELLERRKMELEREAASSAELRGKRLEDEFEPFILAEKYMTTKDEQIKENDVPERMQLSEELTGYPPTDDGMIEEESVWIHNQLTGDGCVSSFSNEHTNKNIDQKDIASVLSMLHVNKFEIPFIAMYRKDNCPSLLEEEDRYYGDMKNKEDDKEPPPPPTTRFHKLLWAVQTLDRKWLLLQKRKVALEMYYEKRFDDEKRRIDDVTRQELNMQLYNSIIMALKDAKSEKEVEDVDAKFNLHFPPGEVEEEGQFKRPKRKSLYSICHKAGLWEVANQFGRSAEQLGHHLTLTKIPEAGELDSGKDSPEEVAANFTCAMFETPQDVLRGARHMAAVEIGCEPIVKKHIRSIFINKAVVSTCPTPEGNTIIDPYHPLSGVKWLREKPLNKFVDAQWLLIQKGEEDKLLKVTIKLPDDAKKGLMSEARENYLSDCVSKSAQLWDEQRKMILDDAFLNFLLPSMEKEARSLLTVKAKNWLHMEYGQQLWKKVTVAPWKKKDADKKDVDIDLDDESELRVMACCWGPGKPATTFVMLDSSGELVDVLYAGSISNRSQGVAEQQRKKNDQQRLLKFMMDHQPHVVCVGASNYNCRQLKDDIYEVIFKIVEDHPRDVNPHMENFSIVYGDESVPRLYENSRISSDQLPGQSGIVKRAVALGRYLQNPLAMIATLCGPGKEILSWKLHALEHFLTPDEKYEVVEQVMVDATNQIGFDVNLAASHEWHFSTLQFVAGLGPRKASALQKDLVREGSIFSRKELVKPLGRKVFMNASGFLRVRRSGAAAASAQIIDLLEDTRIHPESYVLAKNLAKEVYLEMMQQEAHELDDDEQEMAVEHVKGSSHIKSLDVTEYKTSLPEEFRKEYTLKDIQRELYGGFSDWRTTYTEPSPDEEFWMLSGETEDTISEGRIVQVTVRNIQESKIICTFDSGLKAIVMADNYSDEGFDPESSQLHEGDVLTGKIRNVNKNRFMVYLTCKASEMRRKPFSKGDRDPYYHEEAMISQSDHDKVRKQKELAKKHFKPRMIVHPHFQNLTAEEAMQFLSDKEPGEKVIRPSSRGPSFLTLTLKIFDGVYAHKEITEGGKDHKDITSLLRLGKTLTIDNETFEDLDEVIDRYVDPLVGNLKSMLSYRKFRKGLKNEVDEMLRAEKAENPMRIVYCFGISHEHPGTFILSYIRSTNPHHEYVGLYPKGFRFRKRDFDNIDRLVSYFQKNIDKPPPDAGPSMRNVAAMVPMKNTAWGSGGGGANDGWRGDGNNDRDRPFSGRSGGRFDSRNSSGGRGRGRGRGRGNFGNDDNAGGWSGNGGSSSWTDNIGSGGGGWGTGGSGSSSWGAGTGGESGGGESGWGGAGSDINRGTGGGGGGWGAAAAGVNAGGGSGGGWGAAAAGGGSGGGWEATAGGSKEDAGGGSGGGWGAAAGGTKDDSGWGSAKKAVPAQDGGSGWGSGGGW >Et_4B_037868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24250677:24255051:1 gene:Et_4B_037868 transcript:Et_4B_037868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRVHRCGGGAVAWSPSPVVALATSPCASQVAAAREDGSLELWLVSPGSVGWHHQLTIQGNAESRVTSLVWGRGCGGGATGRLLSSSVDGSVAEWDIFHLQQKTVLDTIGVPIWQMALEPSDDSKSSEINGPGLSVNGHSNHHESSDSDTSNVDDGDSSDDESGSSKTSSSRNVNEFQRLALACDDGSVRLYNISESGALTYYRALPRVSGRMLSVTWSNNGKFIFSGSSDGLIRCWDSTSFHEKYRITAGLGGAGTGPELCIWSLLFLRCGTLVSGDSTGSVQFWDSRHGTLLQAHSRHKGDVNALATVPSQNRVFSAGSDGQVILYKVSQDEFGAHKISQDEQVRKWIYVGYVRVHTHDVRALTMAVPICKEDNSPEEKVVKIRRCEKPESSYHKWAHLGVPMLISGGDDTKLFAYSAKEFTQFAPHNFCPAPQRPLINLARDSTVNGDSVMLVQSANWLDVLLVTVQNKLTPSTSSKGDATVRHLARLKSRGSRKIISSAASTNGTLLAYSDCARPCLFALKHKGGKYALDKLQLPKGLPCSQSMMFTVDSSNLILAGRDGKIYIIDIATREVSNVFHPTRKTDGSKASSRESPVTKMFLSADGQWLAAVNCFGDIYVFNLEVQRQHWFVPRMNDGSVTSGGFCPKSNALVITTSKNEVYVFDVEAKQLGEWSKRYTHHLPRSFQEFPGEVIGLSFPPQSSSSVVVYSTRAMCFIDFGLPVVNDLQLPNGTSGPADWTDSQKSTKTKQKRKAHDEELKQGKRNNFDFFAFKDPVLFVGHLLDNSILIVEKRWMDVVEGFGDPVHRHIYGT >Et_6B_049050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17075146:17080026:1 gene:Et_6B_049050 transcript:Et_6B_049050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDCDAIRVQGPAPPLSSLLEILLSYVSNYALAGWRIMIVFGVALLAAGLLAMAESHRWPAITMRGRDAEALAMLARTSEFRVSDTPDLRKSNTPPRSPPRTGRRSCLLELAGHRTRHHQRARAALASSIDAIVFHSPLVFKKAGVSSSNAVVMGATVSVGVVKTCSLAPLLPTATTTPEVPRWNTFAFVCVTLASMTTILLGYNLALMSGAELFIREDLGLSDAQVEVLSGSINVFMLLSILVAGWAADVLGRRGTIVLANASLMAGALAMCLGGSYAALLAARFVTSIGCGFSRVVAPVYNAEISPASMRGVLTSLLDIFINVGILLAYVSNYAFAGMSTHLGWRVMFAAGVLPPVLLAAAVLAMPESPRWLVLRGRHAEARAVLARTSSEEAPHDADLRLEEIKQAAKTTPDSGGAWSEMLLRPSASVRRILACVASLQFFHHASGTEAIVLYSPLVFRQAGMASDAAVLGATVAVGVVKTCFILVAVLLSDRVGRRPLLLASTAGAAVALTSLALALRVRATAACVASVYAFVASFSVGLGPLVSTYNAEIVPLRLRAQGASLGMVVNRVTCSVVSMTFISVANWITMPGCFFVFAGAMVVACVFVYTRVPETRGRSLENMDVLFAD >Et_1B_013724.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:1472985:1474442:-1 gene:Et_1B_013724 transcript:Et_1B_013724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSPPAARGRRRRRRRQRATESKMVSGGNDDATARCPPYPGFVRGLCFPCGEKEEDASAVEHEMMTKGAAGRCPPHSGFVRGLCFLCGATEEDASAVGHEMTTKGATATAAGRCPPHPGFVRGLCFLCGATEEDAAGGAPGVADGHIRGGPAWPASGTTTIPRASDLGTLLRARKLTLILDLDHTLLNSTGFNELSPIEKGNGFTRDTRSDPGTGLFRLDTYHLRMLTKLRPFVRGFLEQASAMYETYVYTPGDRDYARAAAKLLDPDGAYFGQRIVSSDESTRRDKKSLDVIPGADPVAVVILDDSDYVWPEHQDNLILMDRYLYFASACRNFGYHTISLAERRRDERDCDGSLAVALDVLKRIHQGFFESVLDGHCSDVREVIRAVRREVLRGCTVAFSRVIPLGVRTQDHHMWRLAEQLGAVCVADVDATVTHVVSKDPGTEKAQWARENNKFLVSPKWINTASFRWCWPNEQEFPVTRGH >Et_6A_047819.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:18062578:18063714:1 gene:Et_6A_047819 transcript:Et_6A_047819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPASGGRRISISSISCRGVKAFVPFQKPPLYAAVSLGGRCREKTPPDPDGGENPDWEGAAVAFDLDGGDGQQLVQFEVKAQVPLLGNKLVGTASVPVADLAAAAGDGAALRHVSYQVSAPDGKPNGTLKFAYAVVTSGAGAAAAAGARPQQTSPAPEQGQSFCCAPQPPPPPVTAYPPATAGFAPYGGGYPPQAQPSPPSAPASGSSLYPPLHDLLPPSSTTYPPPPPSTLPHHPTTTTNPLFPDPSTGSYPPPPSQAYPPPPPPDLCAPYPTHYGASYPPQPAPPSSYPPPPPMGYPPPASSLAPPTGAYPPPPESGSMYPSPSSSSDRELQYYPAPSSTYPPPPGGSYYPPPAATQHRDLDGAARAPPPGSRYP >Et_4A_032269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27560466:27561300:-1 gene:Et_4A_032269 transcript:Et_4A_032269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEQAFRHCDKDTLKMAMLKHEETFRQQVHELHRLYRIQKLLMRDLKRELKSQRNVSTSPNGGSIADHRGGALGVGLCYATRGGGGGLVGAARLAPRTALSLDVVAPAAVEYVRSAEDDEDAEEETDDETELQLTLAVGGGGGGSGGKKSAISSGGRRPGWTWCRWRTASGCRRRRRCCSTGSALRWHENFERKTSKHMHVLLAAQ >Et_4A_035524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27847386:27848619:1 gene:Et_4A_035524 transcript:Et_4A_035524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPLHPPAISPDADDASDIPWVLLEEKAYVADSTNDTTASTFSSCGKSIQATFFAARPPRVSYLCVFCRCSSAEEDQEMIPIEPLVISTNDNLVLLRIVVSPEKDIINGSDLYIYRPGPRWPSLTRLKRPPGDCVFRPSEVGLLMSRPASRGRFLLYLYSSELETWSTTIVAVEDQQFRQYAQGAFFHHVSTKAVAIGGEAGTMGFVDLRQGILLCDLFRVRDRPRLRYVPLPASCQTDEGDARIYKDIAVDKGHFKCIQQQLIRKPCPGGISGQCVKDGWMSAVWSIPVPAAGSVLEGSWDMTCRIESFDMAAVGNSPDSKLLPDLQDDEGINGLHICQPTLGLHGDGRAACFMVKTNLGDDESWVILSNARVTH >Et_5B_045175.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:10971861:10972703:-1 gene:Et_5B_045175 transcript:Et_5B_045175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGASRRRVPPRPLRVRHRVRAVAPHDRCPAAGGGARRRGRGRPLRRRHRVRLGGLGDRRRRRVHARARRTCFADGSPSRGPGRAASFPFAAATGRSAVLSATVAAASAASRPGSSSGAAGGGSDAHPLRASFSAGSGRRGSRGVVVNALGEPGRRVDRATEDVVLFYDRQRSSSHVLAWIELARLKTAFEVAFDAVRTPARPSPRVPLSLWIVCARSPMAADVLCLFWGRAWLTPAERAQTGARHHWHHRCHCERQPRAGRQTSAARGFGTSLGVTS >Et_8A_057420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2911652:2914121:1 gene:Et_8A_057420 transcript:Et_8A_057420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAAIALAAISLAAIAEPLQTPIVALRGCPDKCGTISIPYPFGMAPGCFLPGFEVTCNNTFDPPRAFLANDASVSFQLNGNGSYLGYEAGASLDATWTQLVELIDITLERGEARAYGAISTDCATNETYRVYRRQDTSFPNGSSPFLFSRTRNVMAGIGWNAEAQLTMGLQGSGRGVSCITRLRDPSFAVSGSCSGLGCCEANRDGSSCPANGESPSGNYACLSGSSSCVNATSGAPGYFCRCWEHYEGNPYIPGGCQGQYVRIRYMQIVFNKAYCSKKKLAKLRFFISIPGVLLVLRYLYVHSDIDECELRKQNPSLRDQYPCSSDGVCKNRLGGYDCPCKAGMKGDGKAGTCTEKFPLTAKVAMQLLTTLIEDILSTKSRNYVHIWSGRCSPPSGYCVVRSASSHREKEKVKNIQIFKKEELKKITNNYGEKLGEGNFGVVFKGYINGQVPVAVKKSEKIDKIQKDQFTNEVIIQSQVIHKNIVRLIGCCLEVDIPILVYEFVSKGSLEDILHGKNKVPLTSDMRLGIAAESAEGLAYMHCKTNTSIQHGDVKPANILLDDNFVPKISDFGISKLLARGTTEHADNVIGDNIYMDPVYRQTGLLTNKSDVYSFGLVLFELITGKKAVYGDDSSFVKTYLDTYISGIRDSKGTGDLSCKVNEAEHDIEVLHNIARIAKECLNTDVDQRPEMNDVAERLQSIRRARKK >Et_10B_003062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16033259:16037041:1 gene:Et_10B_003062 transcript:Et_10B_003062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKCKGVPNPWPAGDATPARKRSSAAMEEWRERDWASLHPDLMQLVTARVLAGDVVDYMSLRAVCARWRASIPSPACDDATLRDDRLRPRNWVALCDGDGVRPADARAAAFLHTATGRCVRVRLPDEQIRGHRIVGFTDGLLILLNKDTAAVRVLHPFTRVAVDFPPIAAVFRTMDVELASAVAFQGRVYG >Et_7A_050948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12764227:12775811:-1 gene:Et_7A_050948 transcript:Et_7A_050948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAVSGARLGVVRPAGAGGGSARSGAERRSAVDLPSLLFRRKDSFSRTVLSCAGAPGKVLVPGGGNDDLLSSAEPAVDAPVQPEESKTHEVKPVVEEKAASAAAEASMTVDTEDKHEPSKAVKGSAKTGTNGLTKGAKQTVVEEKPRVIPPPGDGQRIYQIDPMLVDFRSHLDYRYSEYKRMRAAIDEHEGGLDAFSRGYEKLGFTRSAEGITYREWAPGAHSAALVGDFNNWNPNADTMTRNEYGVWEIFLPNNADGSPAIPHGSRVKIRMDTPSGVKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEEKYVFKHPQPRRPKSLRIYESHIGMSSPEPKINTYANFRDEVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPEDLKSLIDRAHELGLLVLMDIVHSHASNNTLDGLNGFDGTDTHYFHGGARGHHWMWDSRLFNYGSWEVMRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYSEYFGFATDVDAVVYLMLVNDLIHGLYPEAVAIGEDVSGMPTFCIPVQDGGVGFDYRLHMAVPDKWIELLKQSDESWEMGDIVHTLTNRRWSEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPRIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGPQSLPNGSVIPGNNNSFDKCRRRFDLGDADYLRYRGMQEFDQAMQHLEEKYEFMTSEHQYVSRKHEEDKVIIFERGDLVFVFNFHWSNSYFDYRVGCLKPGKYKVVLDSDDGLFGGFSRLDHDAEYFTADWPHDNRACSFSVYAPSRTAVVYALTED >Et_1B_013190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6209269:6211633:-1 gene:Et_1B_013190 transcript:Et_1B_013190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPSCGTLLQIDPGTGSHRLRFFCPTCPYVCAIQNKIVRKARLVKKEVEPIFSGDDAMKLAATTEASCPRCGHGKAYFMQRQLRSADEPMTHPVFSVKTALEPYQRISVYAIATPDLPCLVSAQLHTSRKRASSCNAQRGGKEGGRGWRWGVSGVTR >Et_4A_032774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13685541:13688256:1 gene:Et_4A_032774 transcript:Et_4A_032774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPVSLSVDDVLRVNGSWRFAAAMAAASPFATLADALLAARRIWLNEVDVNGWLEAFAAHPAIGTTSPSVPKWCKEEQSAALSTATDSTAQELAEWNARYREKFGFVFMICASGRTTPEVLAELKRRYVNRPIIELEVAAQEELKITELRLAKLFSSEPTVPSTTTVGLTIKSDKAADRMRIIGAHLGTLSQPSANKAPEITGSSNRTRPPITTHVLDVARGAPASGIEVHLEVWKEVSTPPSFSDKDFNGWKTVGSSVTNNDGRSGQLMDIVDNVSPGYYRISFNTSKYAPSGFFPYVSIIFEIKENQTAEHFHVPLLHSPFSFTTYRGS >Et_3A_024250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18984733:18987161:-1 gene:Et_3A_024250 transcript:Et_3A_024250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSLGYRGACYGVTFVKSKQYLGYIGDCAWFHEDAMGCRIMTRPPHASQHEHFVPLQIPNMRRQAGEGIYANSDELPQLGRNRDFVPGDLVSVLKVTLPDKVNWKKLKVILMPVFHHEHYSLYAINYGQHHIDVIDSINYENRGSKFEDHHDPIGQKLMLRMREALDEVSNGACKTFDNLRRVRFPCPTMVKPNDCSFLTMRFIEYYTTDDFKSLELRANYLHYLLFHEENGAVLPDEFKEYIVPGVPY >Et_6B_049117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18153667:18162892:-1 gene:Et_6B_049117 transcript:Et_6B_049117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSAAAAAAKRPSPSPSSSSVPPPKRPKAEAPPSLTTSAPGRAEEDSAAPAAARSTGSAEDTVAQKDHGGDKPASAAAESSKRKKEPEQQQPTAPWAKLLSQCSQTPHLPISAPQFSVGQSKICNLCLKDQPVSKMLCKLRRLEQGGQCELEVIGKKGMVQLNGRSINPGTKVPLTGGDEVVFSSCGKHAYHPLNDKVPKTVPSSAISILEAPIKRIRTDKRTGDASAVAGTEMLASTSNQSKDLPAAPSASAGENSQRVAQPMASSALDKSKGRCISPDKECENGENVNEVNSNIEDSSIDVAAAPVSPDGVANDTCQHNGFGPDAHLGAEIALEDQRDLIRDLNASASVPPSRCQAFKDAMKQGIISPSDIEVTFENFPYFLSENTKNVLLSCAFVHMEKKDFIKQFSEISSINQRILLSGPAGSEIYQETLVKALAKHFGARLLVVDSLLLPGAPSKDPESQKDVVKADKSGDKAGGEKLTIFQKHRSSLADTLHFRRPAAPTSSVNAEIVGTSTLHSASQPKQESSTATSKSYTFREGDRVRYVGTTAQPSSLAQRGPSYGYRGKVMLAFEDNGSSKIGVRFDKPIPDGNDLGGLCEDDHGFFCSADLLRPDFAGGEEVERLAMTELIEVISEENKSGPLIVLLKDIEKSFTGITESFSSLRNKLESLPSGVLIIGSHTQMDSRKEKAHPGGFLFTKFASSSQTLFDLFPDSFGSRLHERNKESPKAMKHLNKLFPNKISIQLPQDEALLTDWKQQLDRDVETLKAKSNVGSIRTFLNRIGIECNDLEELFIKDQSLSNENVDKIVGYAVSYHLKNNKVETTNSKDAKLVLTSESLKHGLNMLQSLQSDNKSSKKSLKDVVTENEFEKRLLADVIPPNDVGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFIDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLGATNRPFDLDEAVIRRFPRRLMVNLPDASNREKILKVILAKEELGPDVDMESLANMTDGYSGSDLKNLCVTAAHYPIREILEKEKKEKNSAKAEGRPEPALYGSEHIRPLSIDDFKSAHEQVCASVSSDSSNMNELLQWNDLYGEGGSRKKKALSYFM >Et_2A_017719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5408201:5415080:-1 gene:Et_2A_017719 transcript:Et_2A_017719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYMISLPLTVGMVAATLRYFAGPAVPSYVLAVVGYAWLCSLSFVVLVPTDIWTAISGNQKSDVGFFWSWSYWSTFILAWSVVPTLQGYEDSGNFTFKERLKASIHKNLTYYATVGTIGLFGLILLIIMRHDWIGGISSFAMACSNTFGLVTGAFLLGFGLSEIPRNMWRNADWTRRQQFLSHRIAKIAIKLEHAHQEYCNTIFVVQATSSQMSKRDPLRPCMDTIDNMLAQMLQGDSLFKPSGGKLGENDMDYDSDEKTMATLRRQLRRAHEEYYRRQSEYMNYVMEALELEDTIRNLEQRDPNGWKYLSSFRESRSGTLGSFLDSIEFIWRCLLRKQLMRVISIILGCISASILLAEATLLPSDVDLSLFSNLINVLGEREIPVQLAAFVPLIYMCICTYYSLYRIGTMVFYSLTPGRTSSVSLLMICSMVARYAAPISYNFLNLIRLGDNAKTTFEKRMGTIDDIVPFFGRSFNRIYPLIMVVYTLLVAGNFFEHLINFFGSLKRFKYWTDQEDDMDGLDPSGMIILQKERTRMEQGRKVCEHETPLARNLSSACKDVEYGNVSLDEETVSAKVERAAQSKHCDDLTRKCSVRQQSISKSVEEVQKGTSSNPILVEAEDPENPSSVHVAPDPSAGVASSTWTAMKTGLQNFKANMGSKRFLRLSSSLGTNASATESLDEIFQKLKRHSSNVDCLDDDVLP >Et_3B_031221.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21636078:21637235:1 gene:Et_3B_031221 transcript:Et_3B_031221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITTTTTPAPLLDMPPPSNNGASSRRRLLLLCANYAALLGGSVASSLLSRFYFAHGGHDRWVATLVQSVGFPVLLVPIYFGRQHGQDGQPRPFAWFTRRLLAACVVIGVLMGVNNLLFSYSSSYLPVSTSSLLLSTQLAFTLVLAAVIVRHPLTFSNLNAVVLLTLSSVLLALRSSDAGERPDGGGRARYFVGFAVTLGAAGLFAAYLPVMELVYRRAVSGGFRMAVEVQVVMQAAATALAVAGMAAAGGWREEMARWDLSRAAYWAVVGALVVTWQACFMGTAGMVYLTSSLHSGVCMTAVLTANVVGGVLVFRDPFGSEKAVATVLCVWGFSSYLYGEYATQRKAQEGEYGKVAAAAAASSAHKSVTGGGAGGGGGGAVETV >Et_4A_035215.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:12718204:12719754:1 gene:Et_4A_035215 transcript:Et_4A_035215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEICKRQERPTRSRARLPRHLRTAGALAAAIQGFINASPPRAEAQTLHAQLLASGLGATADVAVKLLVLHLRCGSLGNARAVFDGMRRPTNAAHNYLAAGYFRRGLPGEALAVVRRLAACTGRVDAFALSMALKLSTALALPGVAAREVHARVVRTLDGFDEVLFAALVDAYVKTGSLCYARRVHDAMPARSVVCSTALLVGCMNEGLCKEAEAIFEDMEEKDVVAYNAMVEGYSKTEETAECSLEVFKAMQRAGFRPTVSTFVSVLGACSILSSPELGEQVHCQAIKGSLISDVKAGSALVDLYSKCGRVEDGRRIFDRMPATNVITWTSMIDGYGKNGLSDEALQLFAEMRKRRVVRPNHATFLSVLSACAHAGLLSQGQEVFQSMEKEYSLQPRMEHYATMVDLLGRFGSVRQAYDFIKGIPATPNTDVWAALLGAATLHGDVDMANVAAREVFQLSRAGRPGAYMAFSNTLAAVGKWDGVHDVREMMKRRGVLKDAAWSYVGSENSPMVN >Et_1A_005076.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:25494095:25494427:-1 gene:Et_1A_005076 transcript:Et_1A_005076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSFAWPIKKKKSFAWRLARNTVPTNVSKKARKVIKIDPCDICGSGPEDGLPDAVLLCPHERAVYEEMRKKWPLPDVRQVPVTGPDWFLLAMQKIQRGGFSDSVMEKLD >Et_10B_002436.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:20047579:20048762:1 gene:Et_10B_002436 transcript:Et_10B_002436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTGMLNRALPQKSQRDTWFEVNNQILNALFTLMCLYQHPRRFYHLALLCCCRPPGDMLQLWEAYCKDAATCKPNERKHMAVVILLLRLNCFAQYALCGLNLGYSRPRRPPVGVGLTISVAICAPAVAGLYNNLSPLGKDYEAQPQTDEESQSNPQLQRKTTALDQQQQQPQWVGGLFELWDDDISLAYMSVFCSCCVFGWNMSRLGFGNMYVHIVTFILFCLAPFFIFNPAAINIDNEAVRDALGLGGILLCVLGLLYGGFWRIQMRKRFNLPANHACCGKPDLTDCLQWVCCCSCSLAQEVRTADAYEVVQDTLLYPSRTTCHHHTKNACSSQMPQQQHPTLQEELSTMQQSLRFAGVFATPPPASVAARSL >Et_4B_036397.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21606209:21606535:-1 gene:Et_4B_036397 transcript:Et_4B_036397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAVERRRRSGAYEAAHRPLKVYCVEPRDFRELVQRLTGAETAAVPAPPMGARQRVMHAPPAVSQNHGRVEAAEQLDYASWFSAPLLSPAYAPAGFDGGHHGSGALL >Et_2A_018729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6080011:6082050:-1 gene:Et_2A_018729 transcript:Et_2A_018729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAHWGSSRPRGGDADEPDDFDEFDPTPYGGGYDLFVAFGRPLPPSEETCYPCSAPSTSYEAPHYAASEPSPYGHHAKPQPAYGFRPQQEQQPSYGGGYGSRPPPAAEEEGGGYGSGYGSGYGRKKPQEEEQSYGSGYGSGYGRKPQAEESYGSGGYGSGGYGGQTRPDESYGSAGYGSGYGSRPQQEESYGSGYGRKPQVEESYGSGYGSKPQVEESYGSGYGRNPQVEESYGSEYGSGYGRKPQAEESYGSGYGSRPQGGDEYGSGGYGRKTQEESYGSSGYGYGKKTEEEGYGGSGYGYGRKPSEEDEGAYGSGGYRKPKPYGEEETQGSYGYGEKPSYQSGGYEKPSYGRKKHDDDDSDDEKKQRHQKHHHQRRHDYDD >Et_5A_041503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23724452:23725608:-1 gene:Et_5A_041503 transcript:Et_5A_041503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSCFLHQSARLGAASTPRAAVPRALFVCKAQKQDEGEVSRRAALALLAGAAAVGVKVSPAAAAYGEAANVFGKPKANTDFIAYSGEGFKLLIPSKWNPSKEREYPGQVLRYEDNFDANSNVSVMITPTDKKTITDFGSPEEFLAKVDYVLGKSAFDGKTDSEGGFESGEVATANILESSTPEVDGKKYYSISVLTRTADGDEGGKHQLITATVSDGKLYICKAQAGDKRWFKGARKGVEKAASSFSVA >Et_5A_041356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21772658:21773311:-1 gene:Et_5A_041356 transcript:Et_5A_041356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDIDRLNRRIFLRGVDAGVRGAIVRLHGLNHHLEWPVTAVDQRHIRQPPHLTIDGVPHDELRIQAWKGRAIRMVEEDAAVQGIDGLPTGDYGMGLVVGTKRKIQEWEASRGTRVICGETGARDEAAPVFADESSAEEERGVVRHKAQEDLFNKLLHQRRRQQVG >Et_1B_010113.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:24519788:24520450:-1 gene:Et_1B_010113 transcript:Et_1B_010113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARASRRLAPGEGPRATPAVGPAPGSGQKQFNLSSGAATAVVFVSILFCFILLCTYCRCARQRAIAGARRRVMRELVPGAALFLRPAGAALPPAVSYAGARAAGAAKKGLPEDCPVCLEPFADDDGVRVIPACGHLYHTPCIDRWLDDHNSCPVCRCAVVCFYAAADGARDDAAVADGVADDQEAVLERVVAMIEAIRDEQREEAARRGPANASGDGG >Et_8B_060512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18999537:19003552:-1 gene:Et_8B_060512 transcript:Et_8B_060512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLAAPCNLRLLASAHRPNAASTSTATPSTSSSLSSSADESVLKPRPRLPCHSHPVPRPKPNPARLASLCAAIERHAAAGRQAEALDLFRLARARAPFTPLPAPTYDALVAAAAALREPNAAAAVAWHMESSGFEMDVYACNRVLWMYLACGMLAEARQVFEGMPERIAVTWGIMMGGMVDRGRPRAALALFQELWAEAGASAGPRAVVVAFRAATTAESLRAGRQLHCCVVKMGPCDDGGGQYLACALLDMYSKCGRVDEARRVFDGMMPHQRNIVAWNSMLGAYALHGCNETALKLYHDMCRSGVGMDQFTFSTMLGVFSRLGLLEHAKQAHAGLIQRGMPLDTVGNTALVDLYCKWGRMEDARNVFEMMPKRNLISWNALIAGYGYHGMGDKAIEMFERLIAEGIAPNHVTFLAVLNACRFSGLVEKGKRTFQLMTQNQMVKPRAMHYACVIELFGREGLLDEAYSMIRRSPFTPTANMWGALLTASRIHKNMHLAKLAAEQLLAMEPEKMNNYVVLLNMYISSGRQDDAHKVVEILKRKGSYIGDACSWVTVKKKDHMFFFKDSLHPQSAEIYERLDALMKEIKEFGYVAEDDELLPDIHPDDLKISRVYHSERLAIAFGLISTSPHTSLRITQSHRLCCDCHKTKKVTILFIQCEKPVQKAYQLGLAAAVLLVVAHAIANFLGGCACICSQMEFIRASFNRKLAATTILLSWIALIAGFSLLLAGAMPNSKSNTSCGLKHGHTLALGGIMCFVHGGITVAYYVTATAAAHETT >Et_1B_013642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10565879:10566383:-1 gene:Et_1B_013642 transcript:Et_1B_013642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIRKCAIERDVFVGGQLRGGVIRRVPKIDSAINAGQELGNIAGEVEFKNVDFSYPSRPQNPVLVGFSLHVSGKSTVIAFLERFYDPSDGVVTLDGVDIRRLRLKWLRAQMGLVSQEPALFATSIRENILLGKEDATEEEVFAAAKAANAHSFISQVPTRHR >Et_4A_034401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32208218:32208743:-1 gene:Et_4A_034401 transcript:Et_4A_034401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLEDLTPSPSMTMPIEHSSRPTLGFPLGTALLLLVIFSLSGIFSCCYHWDKLRSLLRSRHPDMLQEDQHTVISISSSSDKTTSEHKDEKVGKECGLPVIMPGDKVPKFFARPCPHETCLPAEEKNDVELQAKCSAS >Et_5B_045008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8300393:8301551:-1 gene:Et_5B_045008 transcript:Et_5B_045008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IQIRQAVIFLHMLAMHGADLLVADSTSAQRLVVNVPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHAIKRCKHFEIGGDKKGKGTSLF >Et_1A_006311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20410730:20412133:1 gene:Et_1A_006311 transcript:Et_1A_006311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAEMLAVIKGFYPDHTYPTNFVKVQDERMFTSKKLRALGWRHRTAEETLKDTVESYKAAGIVNF >Et_2A_014934.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:22284701:22284778:-1 gene:Et_2A_014934 transcript:Et_2A_014934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTVSIIRRGVQTGRIITKILAKG >Et_4B_039142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8107366:8114935:-1 gene:Et_4B_039142 transcript:Et_4B_039142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTGLFGWASPHVQPLTPVSEVSEPPESPSPYGDGPAGDAGVGAREGEGAGAGEEEVEDDEVEPPPAAVSFWRLFEFADGVDWALMAAGALAAAAHGAALVIYLHYFGRALNLLDSEQVQSALHGRSDELLHRFKEHALYIVYIAGGVFVAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSAISEKVGNYIHNMATFVGGLIVGLLNCWQIALLTLATGPLIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAISYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGIGLGFTYGLAICSCALQLWVGRHLIVRGKADGGEVVVALFAVILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSSTNQEGITLPLVQGNIEFRNVYFSYLSRPEIPILSGFFLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKVEWLRSQIGLVTQVPALLSLSIRENIAYGRSATFDQIEEAAKTAHAHGFISSLEKGYETQVGRAGLALTDEQKIKIAIARAVLSNPSILLLDEVTGGLDFEAEKAVQEALDVLMLGRSTIIIARRLSLIKNADYIAVMEEGHLVEMGTHDELLNLDGLYAEFLRCEEATKLPKRMPTKNSRERKSLQIEDASVSQNFQESSSPKMVKSPSLQRTHGMLQFWRSDTNRNSHDSPKDRSPPSEQTIDNGIPMVATETERTPSIKRQDSFEMKLPDLPKVDVHPLQRQASKNSEPDSPISPLLTSDPKNERSHSQTFSRPQSERDDTSSEQSDLDEIQEHQPPSFWRLAGLSIAEWPYALLGTIGAAIFGSFNPLLAYTIALIVSAYYQLEVRDMHHEVNRWCLFIVGMGVITVLVNWLQHFYFGIMGEKMTERIRRMMFSAMLRNEVGWFDKDENNADTLSMRLANDATFVRAAFSNRLSIFIQDTAAVSVALLIGMLLGWRVALVALATLPVLVISAIAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLHLGKILKQSLVHGLAIGFGFGLSQFLLFACNALLLWYTAISVNQGRLTIATGLKEYILFSFASFALVEPFGLAPYILKRRKSLTSVFEIIDREPKIDPDDTTGLKPPNVYGSIEFKNVDFSYPARPDILVLSNFNLKVSGGQTIAVVGVSGSGKSTVISLIERFYDPVSGQVLLDGRDLKSFNLRWLRSHMGLIQQEPVIFSTTIRENIIYARHNATEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLVMGNKTTILIAHRAAMMKHVDNIVVLNGGKIVEQGTHDSLMDLNGLYVRLMQPHFGKGLRQHRLM >Et_7A_050497.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:19692096:19692656:-1 gene:Et_7A_050497 transcript:Et_7A_050497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFTVLLSLLLLACMAAPSLLSSEASAAAAPRVPRGAATAAAFLSALNEARANVSVPPLSWNATVARVAKAQVSWVRSSGVCKASQIDKSPVPLVWSTTYYTSPGRRRTPSDAVWSWVNERQWYDHGSNTCAPGKQCGDYTIVVKRAWQEVGCALVACASGGTVMACDYSPGTNRMPDPKEPPY >Et_4B_038190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26980847:26983972:-1 gene:Et_4B_038190 transcript:Et_4B_038190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSESSNRRSLTSTARGASLLLWSIPEVVVPLLQALLIEVAVAVAVPHTRNSGSQSRTSKAIAETPTKTKLLLRLLMLPSEYLHYKKLHYSRQFSMSGQQFYHLLVIFSKCPTLTTLTRLHNVLSHKRIHHSTSGSVLTKLNMTMSFTAPLMTPYFRSTASRVNPAFSSTRCDAGFATSTSASTRSSPSISSNTVATVRFTAAVATPLPQCARASTYPSSARHGSPVGVTDTAPTGRSSPARQMARNHGCGSTTSLMKASRGSVDSYASQRQGAATTGSDAQTIMASTSESSNRRSVTSTRRGASGLLCSMNLSATHVSACLMRWLGQRCEGKVRGRMRGARAIPSLHQRVDVNEAEHDYILHDALDGAVLRQHGLPREPGLLQHALRRRVRHVHQRLDALQPLHLVEHRGHRPLHGRRRHALAPVRPRQRVAELGAGRVPRRRHGHRADGAVVAGEADGAEPRVREHEVAEFGEQGLRGIVQQVRSPVRHGVDVGELEPPERDLHGARRFFTALLHGKRCSGFFDR >Et_6A_046009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18144739:18145650:-1 gene:Et_6A_046009 transcript:Et_6A_046009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWKSLVALHASAPSCFPHPPSPSPCPSPPREEEESAAEYDRGAEQQQKEEVVRLVGCDGRVRSYRHPVTARELMQQHPRHLVCRADALLIGEKIPALAPAEELRPGQAYFLLPAHLFRSVLSFVSLASSLLLLLSTSTGSKGGCGGGKGKPAVRPFELCRTASGTLQIKFSDDFLVGADPDDEAEAEAEEGEKKPQPAVLQGDERLEKEYEELVGYGKSRRWAPKLEPIEEVVVVAAVPAASAATASSSERRKSRGIPFLGRLGSRRHRRDSSSAAVACSG >Et_1B_013464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8597201:8600889:-1 gene:Et_1B_013464 transcript:Et_1B_013464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPALEILVREPDGFTVWSGPPYPKGSSPPQRLPKTACSATSFSADGARLLATVASASATVYDCRTLAVVRVFELPGLLAAALSPTGTYLQTFQKSSSPQEKNVTVWHVDTATALYQHFQKSMSKATWPMVQFSADESVACRLMPNEIQFLDPKDFTKGIVSKIRMSGIAAMQLATAPGSHVAGFVPEAKGVPASVQVFSSSKDAQNQVVARRSFFRCSTVQLLWNKGSTGLLVLAQADVDKTNQSYYGETKLNYLTTDRAFEGMVPLKKDGPVHDVQWSSSGSEFAVVYGFMPAKATIFNKKCNPLLELGEGPYNTIRWNPKGRFIVLAGFGNLPGDMAFWDYSEKKLIAKTKAEWSVSSEWSPDGRHFMTATTAPRLQIDNGIKIFDHNGSLHFKKMFEKLYQADWKPEAPERFGDIADLTTSLSSLKIEETKKQVSAQGSKPAQTSSKAPAQTAPKPSAYRPPHAKGSAELQDKVLYCIQIVLVLKMLGLQTRSCLHHRANQILGYLANVFTHIDHKLLSEDTDNLFGGLAPTGGEMSKNALRNKKRREKQKEKKAGEASGSPADES >Et_4A_033382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22037371:22041438:-1 gene:Et_4A_033382 transcript:Et_4A_033382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLAVLRDYAARGDLDKIIFSGDDILFGSDYSFPASTPTDFTSKQSGRAYPLSAAVFLAQHHDLKHTDFIQAARLRRIPPVSLPDRKPFLDFLHFGHNSLASADPLLPSSFPPSKETHLHPPPPPPEEPVGADQATTGAQIRAVERVFKDRNALLEARGRDFHAVLLNALRRPEEQRKGGKDAAPNSRHEPSGAAAALSKPKLEKSLGDGVVPIILVPSASQTLITIYNVKDFLEDGVYVPSEERMRAMKVGKPESVTVHKKLMVRGDRAGATSGSVSFEVRDKPASLKADDWGRVVAVFVLGKEWQFKDWPFKDHVEIFNRVIGFYVRFEDDSVEAAKVVKQWNVKIISISKNKRHQDRTAALEVWERLDEFMRLAGYTYMIATEARNRAINSVPEAVSLELLAPAACSSSGSLVDPVPAGATSSDAAAAAGESSSGSAAGMFAVSVAGVVDAGVAEGVVVAAAGTSPPAGATGVVAGGEVSVPAGVVAAGTVLPAAASAECRKWQHVTSSRVLSVNEVPCCNMCTVSGVGQVADVGDHALCLAEQQPDGARRELGRGAEAGRLGLDEGP >Et_4B_036466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25708211:25708786:-1 gene:Et_4B_036466 transcript:Et_4B_036466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRGGHHRVQAPPPAEHAAEFKCSVCGKSFGSYQALGGHKTSHRAKQPTPPPVEAPVPPPAELIREPATSAAAPSSRVHKCSICHKEFPTGQALGGHKRKHYDGGAASASASVGSSGNGSSAARAFDLNLPAVPEFVWRCTAKAGKMWELEDEEVQSPLAFKKPRLLMTA >Et_1B_011775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25890144:25893143:1 gene:Et_1B_011775 transcript:Et_1B_011775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVTLLRLPLARLSTHLRSIPSPRLPPPRLCIATSHRLLSSLGHGPTAAVAAEAVAAPNAEELVDAAEESHEETSGEAEAAEEAPRSFVLPRLPRPKLTVKERKELASYAHGLGKKLKSQQVGKSGVTPSLVSAFTDNLESNELLKLKIHGNCPEELPDVIMKLEESTGSVVVDQIGRSVILYRPSSSKIKKRQEVAKSHRRFVKSEEALEERPRTSTGKRFYSSGPTFRTQQKRRPVASKGSSYSRGKYFREILWTTGSDDVDRQQSTDLAIDAGLTC >Et_10B_003567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3240349:3241461:-1 gene:Et_10B_003567 transcript:Et_10B_003567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFFQSFPPSRGQTVPSGFAADHFEARMQDHMIPPNRKEKWLVKYYYTPYNWCLQNLQFFKFVRENKLREGDICIFELMKGARRVMITVHVIRKKDDGRLSTLAGNFYFQCSVEACSSGSCTDVPHALLWLVNFHVPSSEIVTLTTVVKLQLSL >Et_9A_063514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5727343:5728187:-1 gene:Et_9A_063514 transcript:Et_9A_063514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTEQQLRSSSKNDASTSVSTSQEDRRSRLAGGFSQRRFGGDGSLFRDDKRFSPTGSNPLHNLDQISGWN >Et_6A_046983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23547153:23548678:-1 gene:Et_6A_046983 transcript:Et_6A_046983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEPLYGGATNNSRSMSSNVSHIVVFSPEDMSCTEMKYFVKATTTTDDVEYVVHDYFDVLLSTSFIQLQRTEFGKEYFIIHDMFYDLASKVAGNNCFGIEKCMVEKIPQDVRHLFIMSYDERVFQEQILNLKSLHTIIISSSTKKRMNSEDFRRMLKNLKKLRVVHLEVDSLSKISPCIGEQKHLRYLALFGKFPILTLPRRFTELYHLQKFAVRRATYVFYHFSEEIANLVNLRYMICSVFYCPDIGRLTLLRDLSVFRLRKERGYEIQIERLENVTNNEEAFQAKLFNKVHLSDLVLQWNTDNHSSKLMFENQDGRKSDEPLRTCNLELAEGKASDQSSDSQEDILEALRPPCLIRSLKIVNYSGSTYPNWLLREQDALENLRYLELSNCYASLNIGESVIWYSLPENMECLTSLDELTLQQCNNILSLPILPLSIKKLEISNCDHSLLKTYLTKGHPNWQKIAHITEKYIYP >Et_6A_045993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16221649:16222865:-1 gene:Et_6A_045993 transcript:Et_6A_045993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRTPADELIRTAKYIATPGKGILAADESTATGTIGKRLAVGNVENTEPNRRAFRELLFTAPGAAAFLSGAILYEETFYQAASDGTPFAAALAAAGVVPGIKADTGLVAINAGGAGDGEETATEGLDGLGARCARYYAGGARFAKWRAVLRIGGGGGAPSELAVKQNAEGLARYAAICQENGLVPILEPEILTDGDHDITTCAAVTERVLAAVYKSLNDHKVLLEGTLLKPSMVTPGSGSPKVGAEVIAEYTVAALRRTVPPAVPGIMFLSGGGQSDEEATRNLNAMNKLGRGLQKSAVIKWAGKEENVAAAQATFLARCKANAEATLGVYTGAGAGDATVS >Et_9B_065676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8996159:8998430:1 gene:Et_9B_065676 transcript:Et_9B_065676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDESAHPIPSPAERDAGAVVAVLSSEDLLPLVLLRLGFPTSLVRAALVCRRWYRVASHPDFLRRFRELHPPGVLGFYGDLVFSARPQFVPLVEAPELATVIRRASALNRRIVISDCRHGRLLVSCFDGDEHVLHPLHPTRGAAVIPLPSLLTAGDLTSFSCWSFVPEDGSKDGAIILEVLNDKGSFHAEVTTFQSGTRTDRIKVIAQVAPEVSHSVKKVLPPVHGKIYMNTYSSPGCILELDLVAESVSVIQLPDRVNSDNYKISCGEESGLSLVHADGCLLSIWHLRMSDDGSFDWDLVDDAIRVREAYNRQEDVTVFVVDSNLEYVFLGLNATGVLLSMHLKSRTENLGMENRIVPLMMALYLIYLKFLYAKLVAWGKKVMAILPLN >Et_10A_001994.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:17546185:17546472:-1 gene:Et_10A_001994 transcript:Et_10A_001994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHLWFLGSIDLPEHVPEMSAAVSLRLRCFCEKSSLVFFTATTGHGAKVEECCYSLDIRTWEVGKVVSNSAGSWAWSGVYGYEMDRVSFLASLG >Et_10B_003611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3884114:3885617:1 gene:Et_10B_003611 transcript:Et_10B_003611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPISIDLAAGVEDMRFSLSRFDAAPSPAELLEHLRLRSQRYMWEREMDGGLLRMAGLNTHLPEMNPWELPGMAHDEHGKAVSGKCYVTDCFQLQTDIYSPTTPQGFWKPRDLGRGVPGKSDDPRRFVGLKRTYRFYMGRSHQASTETIWTMNVYYLVNDKDFVRDDLVLCHVFEDKSADDDPQPECAGMCHGTPADKVCFLAWHLIAQAGDPHRSIDPRSSGSTQHGSSQHMDPEFSSYIEELEQTLLGDPEICK >Et_6B_048353.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:15309742:15309948:-1 gene:Et_6B_048353 transcript:Et_6B_048353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMGVLNDALKSMYNTENHAKREAMIQPSSKMTTKFLIAMQHHNQLPFICKICLLLSERLLTFSIGV >Et_2B_022313.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17731352:17732149:-1 gene:Et_2B_022313 transcript:Et_2B_022313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVADALLPAAFLLLAVAGAATPAATAKPPAAPAAPPDVLAAMSKGGCKAFADLIAASPEASDTYKSSAEGGVTVFCPTDDAVKAFMPRYKNLTADGKASLLLFHAVPVHYSLGSLKSNNGPMNTLATDGAARNYNFTLQNQGDVVTIKTAASAGAPAQVRSTVIDKDPVAIYAIDAVVEPVELFKPAPAPTPAPAPAPAADAPKAGKAARHPAPAVADAPGPSANDAPPEDQKKEAKKSAAAGAPGVRWLAAAFAAAAVASALA >Et_2A_017401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3478105:3484595:1 gene:Et_2A_017401 transcript:Et_2A_017401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLTPADATLILDHVLGDPSVPTATAHALLAALPFPSHPTPRLRRGVLLRRLAADPVSADALDSLHLLASLPSPSPALAAVHLAVAAFLAASAPDFDAASRALFARPDGRARRAIEDGGSPALCSTEALAVVDQFEAAVGNSFSQIVLVSLFGHRAAVEERVRELLVAEWAAIGPSRLEEAAERVVGDGALETWRTADEAAGAKYRMLAGLEKTHEILSKLEEASSHANPISTPEVRKVVDALETSCADLHRSVEDPLPAAKAAADEILAARMDKADNLNSADLSGRQTTCGTAGPSALNDKDKGPAKGSPNLMDWNPTAQTYQWEESPDHEDSRPQFRRPHLASPRRMPVSPLQPPENKNKRRKARKWCVLEEETLRKGVVQYGSGNWKDILANNPDVFIGRTPVDLKDKWRNMMRRTVAAAASSSLAGGHGRRPLRYAVLGAGFAGLSVAWHLLKHSPKDSRVSVDIYDENGVGGGASGVSGGLLHPYSPKVKLLWKGAEFWKECMYLIHSAEQANGATGGILRPPTNEKAADILLESSLQSCSLQVVDADAAQRLIPGLRVPLDFAVHMPLALNINPKKYLEALFLACQNLANEASVSSNEHKELKLYTEHIDNVQQLAGDYESVIICLGAKASSLPGLTNKLPLRGCRGVIAEFQLPSNAVEEYDNQSPSILSDAWLAFQGPRSVSIGSTWQWNSENYDSMVSDEEARAATEELLPKASGVYPEISKWDFVRARAGIRAMPLLTANGSLPLLGCLNDIIGERGNSNCTFWLVGGLGARGLLYHGLVGKLTAQAAISCDENVIPSEFTCWKVNKASR >Et_2B_018888.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:13834127:13834528:-1 gene:Et_2B_018888 transcript:Et_2B_018888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QSQDDNSSDSEPEVPTPTSARGKRSTTPSNNTKGKKAKSSGGVWIQEQVGELMGISEKISTSCESIAARGREQPGCSIEEVMELVRQCGATNGTKEHFIACQIFTKTAERRMFLAGAKTDEDRFNYLTRSMNG >Et_6A_046636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18460559:18462788:-1 gene:Et_6A_046636 transcript:Et_6A_046636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRACTRVGGAGAGGSRRALAPVMASSFLASFSSSSSPRVPPKSQQAPTPPAPAAARPSLAVRMGELFLGLAALLVRGAGRGSAAAPAVEEVEARDGVVWEQRPEDVEAERRRRELTSPGFSFSAAGLLFPYHIGVAQCLIDRGYITERTPLAGSSAGAIICAVIASGNTMQDCLQVTKDLADNCRTKGTAFRLGAVLRDVLDKFLPDDLHIRCNGRIRIAITQLSWRPRGLLVDQFDSKEDVINAVVTSSFIPGYLAPRPATYFRNRLCIDGGLTLFMPPTSASETVRICAFPASRLGLQGIGISPDCNPENRTSPRQLFNWALEPAEDEILNKLYELGYQDAAVWVEQRSTELIAKNEQPIASD >Et_6B_049366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:446159:449442:1 gene:Et_6B_049366 transcript:Et_6B_049366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTIKRKKSKSKNKTKKQEASSSSNPVVASGPAKVWQPGVDALEEGEELQFDPDAYNYLRGFSIGWPCLSFDIVRDQLGLVRSEFPHTLYGVAGTQAEKAPWNYIGIFKLSNINGKKREPIPASAVDGDSDEDSDNSSDDEAEEINEDTKAIVHLKKVAHAGGVNRIRSMTQKPHICATWGDTGHVQVWDMSSFLNSLADSGTRPHNEEDIIHKHLPLKVFSGHKDEGYAIDWSPLVPGRLVSGDCNGCIHLWEPTSSNWNVDANPFVGHSKSVEDLQWSPTEPNVFASCSVDQTIAIWDIRVGKKPPIQFKAHNSDVNVISWNRLASSMIASGCDDGNFSIHDLSLLKGNEDTLIGHFEYHKKAITSIEWSPHEASTLAVTSEDHQLTIWDLALERDAEEEAEFRAKMKEQANAPDDLPPQLLFVHQGQKDLKELHWHPQIPSMIISTAADGFNVLMPSNIDTTIPGVEPSNNDITMQSDDP >Et_3A_024343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19861061:19866409:-1 gene:Et_3A_024343 transcript:Et_3A_024343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSTRSRATPAPCGGDGAGATDWGPASAAPALGRDGGARREGVASHHHHGLKEKMRALTLLYEQHKQQVAASQGGAAARQLRRSIRCLNAGEMANDENAKNSAEEDGEGAKRHRDAFAPTSEAAVLRENMAPPQEQAPSKNSHAVVFARPAEPQEKENVAAPTRIGNVMSCPIKKAAPVVPSLPMIPAPAARKLSLGGAVGGKLKAVGEAVTGNAEAAESRIMVFVRLRPMSKKEKEAGSRSCVKIVNKKDVYLTEFASENDYLRLKRVRGRHFCFDSAFPDSTTQAEVYSTSTADLVEGVLEGRNGTVFCYGATGAGKTYTMLGTMENPGVMVLAIKDLFSKVRQRSHDGNHSIQLSYLEIYNETVRDLLSPGRPLHLREDKQGIVAAGLTHYRAYSTDEVMKLLQQGNKNRTTEPTRANETSSRSHAILQVVVEYRCMDGVNIVTRVGKLSLIDLAGSERAQATDQRTQRSIEGANINRSLLSLSSCINALVEGKKHIPYRNSKLTQLLKDSLGGYCNTVMIANISPSNISFGETQNTLHWADRAKEIKTKAFTTVNEEVLNVPDSESDQAKLVLELQRENSVLREQLVKQQQKLLTVQAQSLASNTSPQQSPAPSPHVATPGSTQRKVKRSILAGNCFSTPDSKRPAADNVQVRELQRKVRTLETEIEKMKKEHILQLKQKDEFIRDLINRKASNNCEPASAERRVATRASVRKAQRDASAAGELKSPSHRFTSPAPTAKKRTFWDIGGNSPSVLAANGRKTRSHVAEGTPKKAPSMLLQVNEPSPGAVDGAVGVDEEGVRAPHVALHEPPEHDALDGRVRVRFAEHHLEVVGAELLVALAHVELGEPDGQARGGGELVEHVADDLPNGVGHMALQPDAAHPRAAALEVPDELDVPLRRRVRVVAAAAAALDVEVVDEERGGRVEPRGLAEHEGANVVAEPVPEEAPVQHLVVHVVVRKAAGVAGEQAAEALFDGGHELGPVAGDVLDPLLHGAVDGPEDAVAAHGLARAVAEVEQPVGVAVVELPARRLRPVPLELVPEHGPVEVLGEEVHERRVLDRRPVDAAAEREAVVQLHHVDGSSGHARRRCAEAQDHVTLAHLQTCMDAVIKGDDIRMNGSRCSQMVTRAKPAS >Et_3B_027878.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24625941:24626210:-1 gene:Et_3B_027878 transcript:Et_3B_027878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFGIVLCLVLVIVGAALVASPAEARVVWGGYAAPSTDQVAVAAIGVGKPGGIRRARWNWNVRGRSLQGGDGTHKREVPGGPDPQHH >Et_5B_043183.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:15763679:15763798:-1 gene:Et_5B_043183 transcript:Et_5B_043183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLRFRQRKVTSPIAKEDDNLAKLAHLAIAITMITSRG >Et_9B_064545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16311905:16334753:-1 gene:Et_9B_064545 transcript:Et_9B_064545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHFVLNTGATIPAVGLGTWQADPGVVGDAVYTAVKAGYRHIDCAQAYFNEKEVGFALKKAFDEGIVKREDLFITSKIWCTYHAPEDVPVALERTLTGLQTDYVDLYLIHWPLRMKKGAGFSAENVIPSDIPATWAAMEKLFDAGKARAIGVSNFSSKKLADLLAVARVPPAVDQVECHPVWQQGKLRAFCESNGVHLSAYSPLGSPGTAMVKAGAVLEHPAVVAAAEKLGKTPAQEKLIRGDFFVHPEGVFKSAGYRHIDCAPSYGNEKEVGLALKKLFQDGVVKREDLFITSKLWYCNNAPEYVPEAIQTTLDDLQLEYLDLYLLKPEDVGDVISAAVKAGYRHIDCAAAYRNEKEVGLALKKLFEDGVVKREDLFITSKLWSANHAPEDVLEAIDTTLRDLQLDYLDLYLMADYFVLNTGARIPSLGLGTWQIQPGAVYDAIRAAVEVGLALKKLFEDGVVKREDLFITSKLWTGNHAPDDVLEDISTTLEDLQLDYLDLYLIHGPIRIKKGTAFSPENFLPTDIPATWGAMEKLYDSGKARAIGVSNFSCKKMEDLFAVARVPPAVNQVECHPVWQQDKLRKLCQLKGVHLSAYSPFGSPGSPGYSGPSVLSNPIIISVAEKLQKTPAQIALRWGLQMGQSVLPKSANEARIKENFDIFNWSIPEDLMAELLKIEFAVHPQSGYSSLEDLWDGEVRESHRLALAHHKQTLVLLNRPSILPSRGQLFFATLVISIAEKLEKTPAQVALRWGIQMGQSVLPKSTNEARMKENLNIFDCNRYLRLAKLLNCNKSYLFVMAKSFVMNTGTVIPSVGLGTWQISPSAVEDAIRDALKAISILTVLHNMVGFALKKLLEEGIVERDNLFITSKLWCTNHDPEDVPEAFDYTLQDLQLEYLDLYLVHGPVRAKKGTRLSVENILKPDIPATWKAMEKLYDSGKARAIGVSNFSCKKLEDLLAVARVPPAVNQVECHPVWQQEKLRKLCQSKGIHLSAYAPLGSPGSPGNDGPDVLSHPIVVSIANKLQKTPAQDALRWGIQMGQSVLPKSDNQAWTRENIDIFDWCIPEELMAEFTEIRQACGNLASVQSENVRLFKHEFVTHLTSFYKSVEDFWDGEI >Et_2A_015318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1142315:1144110:1 gene:Et_2A_015318 transcript:Et_2A_015318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAALTLKEPAVSSSEELLRTRSLEESITTSPWTLPQNTTDGALLSASSLGSKIWIRDCPNMYQMFYIRMDLGGSFWMYPDLGGPFQSLMEAEDAIDHCLDELRHRSRCQQGELSSVDRIIHDYKYYLDGTPKRDSTKSSVDEKHYLVQALLEQYNEDQKLSGNPTYELEGLVAQQWLYEDQDHRWYYHFNFTAKKREADGSSTSNLFSAEVSNIKAEHACEVNCCCMIEHGDRGDCYGCKNNGSPEMRHPSDTESYTIGHLDGYLPFGLQSSSSDEEESEARLRAMFKDRDDPDYRDKIRRLTPGKGMRRITLKKDNSVY >Et_8A_056872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18382063:18383301:1 gene:Et_8A_056872 transcript:Et_8A_056872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSRSGAMDVSLNIPAPAASMETMSEERIAIMISPRRATLLKSSSNKIMPLGFQNETPRSHPDPSFTRRVAFPLIKKVVAELLGTFLLVFIVLSALIMNETHDGALGLLGVASTAGLAMVVIVSSLAHVSGGHLNPSVSVAMAVFGHLPAAHLAPYVAAQLLGSIGASFAAKALYDPVNNLASTIATVPSIGGTEAFFVEFITTFAFLFVVTALATDPKAVSQLVAVGVGTAVMMSALISGKSTGASMNPARTLGTAIATGTYTKIWVYMIAPPLGAIAGAGAYTVLK >Et_1B_010884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15002893:15011208:1 gene:Et_1B_010884 transcript:Et_1B_010884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGALRGGSAAVVTDEFFGGGDDLQGFFDDAAMEVATTGGEDGKEEELEWLSNKDAFPTVDTMAPPAEAAGLETALPRTTKGVLRPGQVVASSPPPALSPRPRAPAKAGRRCRHCGTEETPQWRKGPEGAQSLCNACGMRYRAGKLLPEYRPAKSPTFSPVLHSNRHRRVVELARRREEESAKAGLAVLGWEMTELKALVEGKAGGGRAVREEEEEELEWLSNKDAFPAVETMAPAEAARPRTNGPRRRPSRAAAAAGSGAAGRRCGHCGAERTPQWRDGPEGRRTLCNACGVRYRSGRLVPEYRPLNSPTFRPELHSNRHSRVVEMRRCQGKPAAAKASDGEEEMGGGEELERPSNKCAVPPGETMMMACSPARPRTKGLRRPRGAVSWSPPPPPRAPTARVECATKIQLWRSTAAQTLAASAAAPVAGGAPGGESEMGLGSLAAKPAAATGQQLGCGPSVTKKKTPWSAAAKPAASTGQPGCGPSGTKKTPEPPAAAGRKKKQCRHCGAEETPQWRQGPEGPRTLCNACGVRYRDGLLLPAYRPLRSPTFSPELHTNVRRRIVEMCRRQ >Et_9B_063763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12513964:12514569:-1 gene:Et_9B_063763 transcript:Et_9B_063763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRTLELTLISARDLRAVNLVSKMEVYAVAYLAGDPRSWQRVPTDRAGGRSPSWNNATVALVVPASGAGCGAVRVLLRTERALGGDRDGPTEPTLACFQVRGVGTGKPHGVLNLSYRLGAVLPTDDAAVAKVQEPRLRVKAGDPTSPATAYLAAAASVYSAARPAQSYSVPPPGVVCTGIRHA >Et_1A_008353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6925195:6928635:1 gene:Et_1A_008353 transcript:Et_1A_008353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGGGDEVVDAEYAEVDPTGRYMRYNVILGRGAFKTVYKAFDEVEGIEVAWNQMNIDEVMQCPDNLDRLYTEVHLLKSLKHGNVMKFYYSWIDDHNKTINVITELFTSGSLRHYRQRHPRVNLKAIKNWAKQILHGLDYLHSHQPPIIHRDLKCDNIFVNGNHGEVKIGDLGLATVMQTPRAKSVIGTPEFMAPELYDESYDELVDIYSFGMCLLEIFTLEYPYNECTNPAQIFKKVSTGVKPAALGKIADPQVKQFIEKCLAHASERLSAKELLQDPFLCPDNTNGPATADNSAESLHMDVDTCESSPTSSGKANGCVTPHKPVLEFIGTNKNTELKLKGEKLDNNSVSLVLRIADACGHARNIHFVFYLDSDTATSVAAEMVEQLELADCDVTFIAHFIDLLIVNLVPGWKPVNDAAENSYSQSKMGESELAISSHPNLSELMPSYELIDGIMRPKYGNASSNDQLDSVSSATNLGAQGSEGSVISVQLAGSSKSVSCCGTDDYGTMDCGGGKGGIDKIDCSHVLVDGSSPIFHIDQASPSMELGSSGSSIFTADNQDVLTGELDFIEAQYKHLVDELTRMREEAMEGARRKWLPDE >Et_2A_017015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30146563:30154230:1 gene:Et_2A_017015 transcript:Et_2A_017015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETIQLPYTSAYIWLLVDSERSMWVKGYTVQSVNMLYRVKPLEVLRDGRLLLLKTFETNGVSLQLYDSNTGTCTNLMDMPMEFRGGITLYTGNLLRATTMEVDDSSVSLPEEIIFDVLSWLPVKSLFRFRCVSKGWRALISSQAFIATHKSRAAPLLVGSFAKPYRIPAWRSKILEAPELRVMDTDGNVLRVLKPVAGTALSPTRLDLICVDTDRGADYGARVIDPVTRRAITVDRSFDAPIDAFQDRFAGAFVSRFGRAVPSGEYKVVRLSEEYLQHSRGGGRHLCAVTTLENGRTDRTWRERQKCAMRICFCNGCTVAISGVIYFLSHVAHAQHGLWNRIARFDLESEDWKTIIDGPPTQPCERLHLTIGELKSTLTMVQTLQSSCTTTYTWPLVDSETSMWVKGYTIQSPNSLYRVKALEVLRDGRLLLLNTFEQNCVSLQLYDSNTGTRTNLMDMPKEFKGGINLYTGSLLRPTTMEVGGGSVSLPEEIIFDVLSWLPVKSLVRFRRVSKGWRALISDPVFIATRKSRAGPLLVCSFAACELRVMDTDGNVLRVLKNVAGPALTATRLNLVCVDEGRNGARVVDPATGRVVTIWRSAARLGQAAPSGDYKVVCLFDHACLVATLEKGIETGTWRERQGLPIRICPCDGCTVAINGVIYVLPHGVHEQQGLWNRVARFDLESENWKAMVNGRECS >Et_7A_052587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9601172:9603753:1 gene:Et_7A_052587 transcript:Et_7A_052587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAASRCAGAAARRLLSSSAAPFPAAAGARKQLPLDEGDWSYHQEWWGTDEGPGDGAQTVFRQHSECGNGVVSVVAYPASRPASDQWPAVERWLQERNARLYPESAGAEQFKILGYQWRVMRFNDHTRQSTAKVMTCYRTGGHRSLYLMQQPHCLTVPYVKSMVSAGLTALPASSYDIARAASGQNTMKILCIGHGGGSLPLFLASKFKGADIHIVEIDPVVVSASVESMGFPASSVKGLVSQSMQPDDADELLWDGIHDRIFLHIADAEDFIANDSNQYDLVFMDAYDGDDVFPRKLWDADGEFMKNLEKKVHPDHGTVVVNLHSDSELSESDAGGNDHFQSILPMGKHVSRVCRAYKQHFGLAFTAAVPWLCNITLVACRDKAITSGVRLGLSRRDFVLGKLLSKSDAVERALDLPFPCLPYVKKCFALVD >Et_7B_053294.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:22515471:22516112:-1 gene:Et_7B_053294 transcript:Et_7B_053294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QQQQAFTFASPISSAETYEDALDFDYQSWIEQSKINNRFPSQDDKLWMDHADAIGKIHREMLLQDLPEEAYEMSLRDLSELSMSRAATEETTNSSVRSNNKKKPRRKKTPFMERAGLIIKLFMLPPPRASAATAPERKKSFSSSTVTTSVNGGSVQNSISEAGSETSDTKGNSMPIKSYQDNSNRYLKIKFMQTHVSLNDNNYLPILPNNK >Et_4B_039136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8103597:8105429:1 gene:Et_4B_039136 transcript:Et_4B_039136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQSLICSVSKQLAAPKSTVAARSNGALPTVVSASSSEASFDGNNITKRKLALLGAGALATGLLKKSSAFAEEVPKNYRSYVDSKDGYSYLYPADWRDFDFLGHDSAFKDRNVALQSVRVAFVPTEKTDIRDLGPMDEAIFNLVNNVYAAPNQVPMIYDMQEAPGYGVSAFATVAIGNGRYYTLIVTANERRWSRLRNKLKVVADSFKISDLTA >Et_8A_057240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22595341:22597433:-1 gene:Et_8A_057240 transcript:Et_8A_057240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSAAVTPPPNSGPPSFSYLAVFSNCPLVAAVLAFAIAQFIKVLTTWYKENRWDAKQLVGSGGMPSSHSATVTALTVAVGLREGFSSSLFATAAIFASVVMYDAFGVRLHAGKQAEVLNQIVYELPSEHPLAETRPLRELLGHTPTQVFAGGVLGFAVATFTGMIAGLGS >Et_2B_022877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7156041:7159511:1 gene:Et_2B_022877 transcript:Et_2B_022877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRSHNQQPAEPGAGAGAGEGGGEVGGGGNVDRVLFKNLVEMVPLVESLMKDRRANPAYSRRASLVYTPAPAKKVSDLKSVKTPQTATAKKRRDPEDTVKKSAPESNGENGSVAPLCLSGAENKPKDELAVLREQIDELQKKLVEKEEALRSAESLVGEMNAAYSTVDELRRQVAEKEALIRSTNSHLQDAKIMLADKQASLEKLEWEVKTSNKKVEDLQGDVSNMEFEISSLMALFEKVSENASDDCPDDGGIPSSYELEALQSTSEIDKIEVEKIEMETVTYAEALAAARENPNEEHLNLAAEARLRLQVLVL >Et_2B_021617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3654518:3657880:1 gene:Et_2B_021617 transcript:Et_2B_021617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRGAVRSLLERLRPSPRSWNPGPVMPPPRRFAPAGALSLKGCPGWQDGDGGFRRRLDGDALGIKAQVLTTPRQLLRDPEVLPLEEVGGKSLNGACRRGKPLGFPEHPGAAKMVVAVDVDEVLGSFLAALNRFIADRYSWNHSVSEYHVYEFFRIWNCSRERANFLVHEFFTTHYFQDGIHPIPGARDALQNLSSFCSLSVVTSRQDAIKNHTLEWIEKYYPGLFDQIHFGNHFALEGQSRPKSEICRSFGAQVLIDDNPRYALECAEEGMRVLLFDYDNSYPWCKTGVDESHPLVTKVHNWDEVEEKLLSWVGQGHYGTKNRKHNQHHPEGHRLLLVLFTAGLGHWFCSIVHSWSLLDCRQWSHGRILLRLWFCGSILHLLLLIILLNILRK >Et_1B_013152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5968831:5973866:-1 gene:Et_1B_013152 transcript:Et_1B_013152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHSLDPRGFSGFMILMYIFETYLDIRQHRALKSPTLPKPLMGVISNEKFERSRAYSLDKSYFHFIHEAVTIVMDTTILYYKVLPWFWKKSAALVTSIGLNADNETIRTLAFLAGAMVWSQIVDLPFSLYSTFVIEARHGFNKQTIWLFIRDMIKGILLSMVLGPPIVAAIIYIVQIGGPYLALYLWGFMFALALLMMTIYPVVIAPLFNKFTPLPEGVLREKIEKLAASLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCSNEDEIVSVIAHELGHWKLNHTVYSFVAVQHTIIPLQHLLSFCLNLVSRAFEFQADAFAKNLGYAPQLRAALVKLQEENLSAMNTDPWYSAYHYSHPPLVERLQALEDSDSKKEE >Et_3B_029575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26097541:26100777:1 gene:Et_3B_029575 transcript:Et_3B_029575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAGHRPRRQPPPSSPLPRAPTPPRPGSAASRRRGRRVRVQSPSLAAARRGAATHPPPPPPPETPPVRWPLGAGDAGAPSSRAGPGASVRKIAAALWRMQPPQAPPPGPAKPRRTAESSSKRPHTPDHCQHYKAVIQGRLGNRTTSNAPHEMEAHSAARQIETEVATKWNHQFRRASQSAQNDRFEHYVVDNSGEIYSLKEELMVAQDRIHELESECRSTKKQLDRLLKNLAEEKASWKSREHHILDAVKEELNRERKHRQRAEMMNSKLLNDLSEMKFAAKRYLQDYEKEKKARMLMEEVCDELTKEIAEDKAEVEAFRSESMKMRDELEEEKKMLQLAEVWREERVQMKLVDAKLALENKYCQLSKLQDELEDVLRSQQGSNLAKGTIREVEKLREAVCSMKINGVKEFSYVPPPPSEDIFAVFEELKQREDTSEKVIEQCNGNRPKSRASRNHIASPETDVFLENPASRYHSHPRTSNKEAEDDSGWETVSEVEENCSSNSPGQSEPSVNGFCGENGASVSGTDWDEYCDNDQAHSEISEVCSTTSGKYQSKRSFVGLWRSSNSADQKKVGAGMLNGRLSNARMSNVAESPCLKNGEVCDSSPSVGQWRPELLNPDIVRAIKGCIEWPRGVQKHSLKPKLLEARTDGSKVQLRQALKQKI >Et_3B_030832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7858107:7861431:-1 gene:Et_3B_030832 transcript:Et_3B_030832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADDTAAAGEVRRILAHLDSQQQLLSDCRDAWSRTLAHFASLEEDLASRSASLEEALAAVDASTSESFAALESREAAVPARLAEAEAALSAAVAEAEAESVAPRPTDIRGALRWMCRRMDAAALWRFMVSRRRELAAVRREAGPAVAAAVDPPRLVLDVVSDFLAAEEGAGEDHYWVLGMLLRSLFGSDGRKPPEIGDTLVERAVAVTKEWKERFGIHMDKLDPPNQEVEMAEAESQENASAIENKEVRAIATEKEEERGDEEEQEEEEDPEELVIGSGDEEEPEEVEDGPEEGNETKEKEEEAEGKVTEEGEGSAKSGHEEEKKGAGETREKGEEGDNGALEQPEAQIFLQMVAAFGLKDKFDEVFLRRLFIANGRRKELARIACVLGFEESLRDIVVESITSGNVIEAIYIAHEADLLEGFPPAPLLKSYLQDSSDKAQAVLKSGRQSISAVEEASNLESNAYRSVIRCVEACQLQSVFSIETIKKKLAKLEKEKAERKKPGPPSRFQNKRARGAAGPHPFPAAKAARGASSSFSPSFQNPVSRSFSYAALAGFAGPAAAQPYFVPGSVAGRRGGVPYGGPGTGYDAAHSFAAGAPQRPFRH >Et_9A_062922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6707600:6712118:-1 gene:Et_9A_062922 transcript:Et_9A_062922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAAAAAMSSSPSPASRLPPGPLALGLESSANKIGIGVVSLSGDILSNPRHTYITPPGHGFLPRETAQHHLAHLLPLLRAALAEAGVSPADLACVCYTKGPGMGGPLQVAAAAARALSLLWKKPLVAVNHCVAHIEMGRAVTGAVDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLELSNDPSPGYNIEQPNYTEYKARLSGWLAPFMCKYMANRLVDT >Et_3B_030702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6667582:6668348:1 gene:Et_3B_030702 transcript:Et_3B_030702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRLMDLARAVPENLLLVGTTEKVFASIKAARELLGGHTWSYDDADDPDSPPSGSAGSGGYGGVSPNTAGGGRLAGLRCHPGPDFWTDLLATALAPDGPLPEAYCEITRLVSLHGEAGRVLVLCAARLGIQPGDAHNLGGGEPDDGAARAELRRTTRRRRPGGGGRTSAVVRHAHDALLALSAAASAVAAAEDFMRWRYAGSPRRNEWLSAARELVRDARRSLGEARDSVKLMRDAVVCEYFETLTILNRA >Et_9B_064404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14948623:14952224:1 gene:Et_9B_064404 transcript:Et_9B_064404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHHDDGELLLATVFPSAWWSSLPLRWQWWGRAGALAWRWCSAASGLQDAWSARKNRRTGKALSMLARIRLHRSQHPCITPLDSIASCSFVRFHGASPFSVSHPVPPQGRSCTRGTSVMWALNNVKAGGPCLTPPRPAPPSLAGRRLWRRGPARAPLVAVRASSGGGRKDVPGGGGDGDEAEIKASSSESGDADASTPAGDSSDELKEPHGEAKSSEQIGISNSNYWRDVRASLVRREKELFVDPSAPSESKPTKGDPAHQLPQKWAHAITMPEAGCVLVATEALDDDSIFERTVIFLLRLGSRGTLDGPFGVILNRPLYTKIKHVNPAFRDQATPFGDSPLFFGGPVDMSMFLVKTDDQNKSRLKGFEEVIPGICYGFRTDLEKAAVLMKSGAIRTQDLRFFVGHAAWDYEQLLGEIRAGFWAVASCSTELISDALTGDPSCLWTEILQLMGGQYSELSQKPKEDNS >Et_5B_044658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4375449:4378618:-1 gene:Et_5B_044658 transcript:Et_5B_044658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHSHAPPLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPTKTIRVPEGFDYELYNRNDINRILGPRASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYRDGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNNRYVDAILTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVITDHLGLGVKTGLPYIWHSKASNPFVNLKKEYNGIFWQEELIPFFQSTSLPKEANTVQKCYLELAKQVRAKLGKVDGYFNKLADSMVTWIEAWDQLNPPKGAGAIAPANGAPKK >Et_8A_057374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:24068470:24069985:1 gene:Et_8A_057374 transcript:Et_8A_057374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMGGGDGDGGGHPHPYHYQALLAAVQNPNQTLHPFSLPFPFPAGPGADASPHNAAPHSQTPRGFSDWSASNSAFSSLGGQPAPATTNSAFSYNLSPSYALWTHCMLNKNVSYSYPATHEDHAHTLRHSHSQDRESGSAPSLGVESFTTMSLGPNICTQMSPMDGSISTKEADNSEV >Et_9A_063425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2416813:2420869:-1 gene:Et_9A_063425 transcript:Et_9A_063425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVKLQWIVNKKKREAAFRNRRATLLKKARELSTLCGVPVAAVVYAGASNAEPAATFPPAPEEARLREILQRFADLPESSRGSLDSESFLSERIGKVAKKLENLKVGNRDREVNLVLNDFSLRRRNNLDGLSPELAAAVVSVLKKRARGIAAAAAAEAAPVVEEASVVVAEADVALVLPAAVEKPDAAVDADVPVDMDGGEPAEEGFLKEVVQIIMDDGSGSSVVPTKADVGRVLKEHESYEFRVSFTVEVVKRIQTASCAHHCDIPASTHLSHDVMSLEFGIRQQAEHSHACVSYPSKH >Et_1A_007611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36432175:36433257:-1 gene:Et_1A_007611 transcript:Et_1A_007611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDWLQMDAKVMLILISVLFECLEISLQVDQQQRDGCQGDSAPGLPALGMPGDLCKIVTTCTVAGEGDGPGSPAGGLQRQPDGKQMTSSSKITLKFCFIRICVHDNNKFCYCCGTLRDNPCYMDQKKCWSICPLHRQTLWPALSAPETSSAGSRKVVPQGVASHTFSMLQFCDIYVEELRAFSRFSFGTGSQIISSWTAGAASLCSQTGRREQQAL >Et_7B_053569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1016584:1018410:1 gene:Et_7B_053569 transcript:Et_7B_053569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVAGVTTTYQPCSMASANQRLPPRRRLPPPPRASGAVEVRVCTNRTCARQGGREVLAALAGLAPPRVDVASCGCLGRCGAGPNVAASVPGKGAAVFGHVGTAARGAQLLEHLLGAAEFDAAAGLAALAAREKAETALEKGNAAEAEALLTEAIGLSSRGGLHLVYNSRSKARLTLGNITGALEDAEEAIRIAPKATQAHLLRGDALFTMGEYCAAEDAYADALDLHPSIRRSKSFKARVERLREKLVGAISSP >Et_5B_043679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1444588:1445341:1 gene:Et_5B_043679 transcript:Et_5B_043679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMATKLAMRRVYIDWYPVPMEQYSSLWPDHQGHRQWASIPVAFWLYLYKVQQCPRSRAHDWQCPYWHSRERARCLNHLRLRLAEVPAPAALVQHRPVDSWWVAVEAWSSMIDKRMGAGRMSQEAAATSDAALGVDAQN >Et_5A_040923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1550867:1552432:1 gene:Et_5A_040923 transcript:Et_5A_040923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYERRSGGQTRFRRAAGVIRRRGQITRGTNRYLSEPWSYYLTSYEYHKTHHTGRAPTCMRDHTCSYMHDIFEVWMHPDRFCTRMCDAGLECWRKICRGGQGSVQYATGGVCNWCECLSKRASTQLHANHGSYIIIKLTGRMPIKFCLQHSAHMYV >Et_10B_004396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6139352:6141819:1 gene:Et_10B_004396 transcript:Et_10B_004396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGRVAAMAVHSHHRPLALLAYVAAAFLLLAPAITALSDAEASDIARRQLLSGSNGELPDDFEFDIRVDVTFANDRLRRAYIALQAWRRAMYSDPRNFTGGWHGADMCGYFGVTCSPAPDDATNATVVVAGVDLNGGDIAGYLPAELGLLTDLAFFHVNSNRFCGVIPESFSRLSLLHELDVSNNRFVGGFPNVTLRIPVLKYLDLRFNDFDGELPPGLFEKDLDAVFVNSNRFVGRVPENFGNSPATVVVLANNAFVGCIPRSVGKMADTLDELVLLNNRLDGCVPPELTLLKTAAVVDVSGNRLVGALPEIGRGEMAALEQLDVSRNQLAGAVPAEACALPRLANFSFADNFFSSEPAACVPSGNAAVALDDAGNCLRGERPGQKSPAECAPVLARPVDCSANVCSAGPKPPREPLHKKATPEPPPPEEAPESSPEPPKEPAPAPESSPEPPKEPAPAPKPKPTPKPETPPPAKKEPEAESPRSSPTEANPPSPSPQPQPPVPVPTRSSSPPPAPVSSPPPPVKSPPPPAPVSSPPPQVKPPPPPAPVSSPPPPLKSPPPPAPVSSPPPPPAPVSSPPPPVKSPPPPVPLATSTGELTSAAGANQLTSTTSEIPATSSSGKLTATTRKITATTGSSELTTAAFKITSSTCSSELTAAACKITSPTGSTKLTATSSSSELATSTGQISTTTGSSELAAPTGKVSSAACSGNKLATTSCKISSTTSSGELSTSSCKVSSSTCAGELSTPSGQIPTTTSSGELATSTGGAITSATGTIITSPSARGNHPATNHDTKLRLSTTANVPRILTKWVGSRRDQ >Et_8A_057627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5390875:5394270:1 gene:Et_8A_057627 transcript:Et_8A_057627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALMVSAFSGGMGSLLAKLAALFEKDLKLAKDAKKEIGSLRDEMSSINAFLMKLSAVEEPIDVQYKELRSKVRELAYDMEDCVDIFMHSCGRDANKTGLLHEIQTVRARYKTGALIRYLKARVAAIGNWHELIVHLPGWPHGVRVDPRIEALYTDAASLQGIEGPKEKLMELLKEDCLVCIVGFGGIGKTTLAKQVYTAIQGQYDCTAFVSVSRNPDLARILSDIMKQVKRYRHSRQDDENQLIGQLRRRLQHFRYLIVIDDIWTIEVWNIIKCCFVENNRESRVVTTTHIEDIAKACCSSSNGYIYRIKPLSDVDSRRLFHRRIFHSEDACPEQLKDTSDEILKKCGGLPLAILTIGGILASHQEVGSTETWEKIIYSFRFQLETSPSLEWMRYVFNLGYNDLPLDLKTCMLYLGIFPEDCKIHKDDLLRRWIAEGFVTEKHGYCQEEIAESYINELINRNMVQIAEFDDCREVLSCRVHDLMLDFIILKSTEENFITIINDRNSTKGPLEVRRLSLQVQNSERNSMIEDIVLTQARSFNLWGHAHWLPSLSKFRLLRVLHLDIYGSKDRKYDMSSVCSLFKLRYLRTRGIGCENLLPHLRNLEELETLEVVNKGDYLELDARMLPLTLSHLIIPVDVGLVGQLGQMRGLRTLCQLSIDLQDVEKIEDMGKLTNLRELMLVRSNSEGACDVLLSSLCRLCNLRSLIIRTYYPLEKDVLARWLPPPRHLRRLHALECPFSTVPAGWITQLSNLNSLEIKVLSLSSDGTDVLGRLNSLVHLRLHVVKHQEGTVVVSRPAFLDLREFWFQCPSPCLTFEAGTMLRIRSLTIECYAEGARQSDSVLDGLEHLGSLEEFEVLIYELEDFRRNGPMSYCGGLSEKPPMEHRKWDGKSLEDALKETISKNPRSFRVVIKTV >Et_2B_019306.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22738841:22738912:1 gene:Et_2B_019306 transcript:Et_2B_019306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALVLTYLIHPLDATSPYKLF >Et_8B_060592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2938445:2940402:-1 gene:Et_8B_060592 transcript:Et_8B_060592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIVFKKDEAREDGPHALDLRLSFGEIDVLEDTLKLIRRHLGLEHVEVLSASDDVFRAKVGNLFRKMSSNPHEGKSFAHRDLLLQIHSVAPKCQEENKVFEAEPSNNHPGHVEEDVSFEVEDNQANRTVVVAFRSQKSKIPSKFVVQKFQWDIMKGFGLSDEDIAKF >Et_2A_015516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14373824:14379015:-1 gene:Et_2A_015516 transcript:Et_2A_015516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCNYLALLNLIIIQNKKNRLAQPNTQVPETGEGISRRNSLSRAKPRRFYAVAGTPGNKSHQSREKITQRGNPFRIPQHHQQILRDEGLVLPPAAAMKGRAVKLREAHKSGSPALCSAVWGPGGNHIVTASAADTVVLIHDAAAVLGGAGGRGSGTAPVATIRLHKDGVTALAVAPGSGGSLASGSIDHSVKFYSFPEGEFQSNVARFTLPIRSLAFNKKGTLVAAAGDDDGIKLIATIDNTISKVLKGHKGSVTGLSFDPRNDYLASVDSFGTVIYWDLCIGGEVRTLTRVAPTFRSDNSVKNVLCWSPDGQTLAVPGLRNNVVLYDRDTGEEVSTLKGDHEQPVCSICWSPNGRYLATAGLDRQVLIWDVKSRQDIERQKFDEKICSLAWKPDGNALLVINVMGRFGIWESVVPLTMKSPTEGTPELNSTIAPLFDDEDDEEKPSTSGGLEDDFDESLGDSAPFSHKRLKRKSAFDDHSNVDSEDEDLLHQLESSKRMKDKYKDKKEDVGKAKSDSAISGRLGTTRMQAAFQPGSTPPQPGMRNFLAYNMLGSITTIQNEGHSHVEVDFHDTGRGPRVPSMTDYFGFTMAALNESGSVFANPCKGEKNMSTLMYRPFGSWAGNSEWSMRFEGEEVKAVALGAGWVAAVTSLNFLHIFTEGGLQMHILSLSGPVVATAGHGDRLAIVSHASDCLPSGDQVLDVKVFNISEGAQSMSGRLVLTPSSQLSWFGFSENGQLSSYDSKGILRVFSSQFGGSWHPVFSSIKARKSEDESHWVVGLDANNIFCILCKSPHSYPQVMPKPVLTILELSFPLASSDLGANSLENEFMMRKLHLSQIQRKMEEMTTLGLDTTSYDDEAFNMEAALDRCILRLISNCCNGDKLVRAIELAKLLTLEKSMKGALTLVTRLKHPVLQEKFSAILEERMLNETRTSGAVGFCSDATIEKNPPFISARSVPSAKVLQTGDSVQDLVNNLINSSVTSKEEAGQPKEVKDSTQKVSSFTPLAKVPKNSETKRDRSGASNATALHQTQKGGAAQTGVENKGTEDSHRAEPQRPVNPFAKLSSSKEQSSSLLDSIKKMKVENEKADKANTKKVKV >Et_7A_050645.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:9851588:9853018:-1 gene:Et_7A_050645 transcript:Et_7A_050645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HRAHRLGQRSPLHRRALHVPARHLHADAPLRQQLGVEELVGAQRPTQHRHAVGEALEDGIPPAVGQERAGGRVRQDPQLRRPPFHEQPRRRLGGALLEPGRKPRGVEPALRVGLQWRPHGPEEGAAARLQPQRQLPHLTRADAVLRAEGHVQHRARRPRVQPPDDAGVVVVIPRRVRRRRRREDRPDRPRLDAEGSFEHVEAGGLQFPDGVDEQRVGLATGGDDPPEEGGPRVRARRGEHVPRDLRAVEDDVREVGERELVGVRQRVREVLPHGEERGEPERAAGEEHGVRRATRPGHDVGRPREEGVGDDADGTAPLLLLDAGDELLERRAQRLGRAEEGDELVDLEVGEGVRAGGEAVFGGREGERVDADGRGGRREGEGGQDARGGDERDVEPRAERAEEAGQAEEGGDVAARQEGEEDDVWAFRCFSRHGGDGWRRGAGQFCAWVVGVGLLRLSCRRRRRVMLILLCHCDEG >Et_5A_042688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25862061:25863574:-1 gene:Et_5A_042688 transcript:Et_5A_042688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHYSRLGLSLCTLFIPLESKKLRSLTLLWTWWNERNGVREGNQRRAAQWLAQGGGSICNRGSEIYEAGKAIGDAATTNKGETRTGILEINCDGAFDVGTYTGGWSFVIRDHDGDLICAGRGNLSHLLDSVMPR >Et_3A_023741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11734066:11738019:1 gene:Et_3A_023741 transcript:Et_3A_023741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVADVAIPACAAVGIAFALWQWFLVSKVKDVGMGGDRDEEEEEGDGVIAVARCAEIQSAISVGANSFLYTQYKYLAAFTAIFAVVIFLFLGSVHRFSTEDRPCQYTPGRTCKPALANAFFSTVAFLLGAATSAVSGYLGMRVATYANARTTLEARRGVGAAFAAAFRAGAAMGFLLASLGLLVLYAAVRAFGAYYGDDWEGLYESVTGYGLGGSSVALFGRVGGGIYTKAADVGADLVGKVERGIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESTCAALFVASISSLGADHDFAAVCYPLLVSAAGLLVCLLTTLVATDMFKVKTVRGVAPALKMQLVVSTVLMTVAALVVTFAALPARFTLFDFGEVKQVRNWHLFFCVAIGLWAGLAIGFTTEYFTSNAYSPVRDVADSCRTGAATNVIFGLALGYKSVIVPVFAIAVSIYVGFTLASIYGIAIAALGMLSTVATGLAIDAYGPISDNAGGIAEMAGMSRRIRQRTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVADVNVLSPKVFAGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFATIPGLMEGRATPDYARCVRISTDASLREMMPPGALVLLAPLVVGTFFGVRTLAGLLAGALVSGVQIAISSSNSGGAWDNAKKYIEAGASDHAKSLGPKGSDAHKAAVIGDTIGDPLKDTSGPSSTS >Et_1A_009312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38210380:38213654:-1 gene:Et_1A_009312 transcript:Et_1A_009312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLPLRLLPSKTITLPSLPSARSSFASFAAASPAPRRWRLLAAAEEAPEPVEVEFVEPEAEAADEEPAVPEPVEAQLAAAGAGKDADIFAVVMIGSRQYIVMPGRYIYTQRLKGANVNDEKFHSKMLSDLQIILNKVLLVSTRDKAYIGMPVVTNAAVHAVVEEQGRDDKVIVFKYKKKKKYQRKLGHRQPNTRLRITGISGYEEYPADPILDPVSTSSGCFYIGTTI >Et_5A_041158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18926485:18932300:1 gene:Et_5A_041158 transcript:Et_5A_041158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGERTTEAAELEERLLLPSAAASGGDGDGGGKKRGKWEKTYLDVLGVCCSAEVALVERLLAPLAGVRAVSVVVASRTVIVEHDPDAASQSQIVKALNAAGLEASVRAYGSSGGVAVGRWPSPYVVASGALLLASLLSPLLPPLRWLALASACAGAPPMLLRGVAAARTLSLDINALMLVAVAGAAALGDFAEAGAIVFLFTAAEWLETLACAKASAGMSSLMSGVPPTAVIAATGEAVAVRDLAVGAVVAVKAGEVVPVDGVVVDGQSEVDESSLTGESFPVPKQLASEVWAGTINVDGYIAVRTTALAENSTVAKMERLVEAAQNSRSKTQRLIDSCAKYYTPAVVVLGASVVLVPLLLGAQDMKRWVRLALVLLVSACPCALVLSTPVATFCALLRAAKMGVLVKGGDILESLGEIRVAAFDKTGTITKGEFAVDGFRVIENKVEMGQLLYWVSSIESKSSHPMAAALVEYSQSKSVLPKPENVTEFRIYPGEGVYGEINGRHIYIGNRRMMTRSSCHTVPVMDEQRGASVGYVVCDGDLVGTFSLSDECRTGAAEAIRELRSMGIKSVMLTGDSRASAMRAQEQLGHGVLEELHAELLPEEKVRLVGELKSRSGPTLIVGDGMNDAPALAAADVGVAMGLRHGSAAAVETGHAALMSGDALRVPAAVRLGRRARRTIAANVAAAVAAKAAVVALALAWRPLLWAAVLADVGTCLLVVLHSMTLLVAEEEKPWRRRRRSEKAEACRATARSLALRSSLLAGASDATSAAGVQRAREESNSKGCGSCCRKQSKSPPDQHSVVIDVPAASPAAAAEEEEEATTRPATDQKGKVAAGCCGSRGKSKCGVSMVPPPTAATRAGDQHENVKRRGCGEAAACCSGGRDGISPLDSLNSILLVSVCMVLFLLGQYVTHTLPLISHSTVFPAAAGHSLQHTPSPYSSPAKMTPPLLVNGRLALVYRFLNHDPGRRNSCSSGRGSAENTSRPPPPAPP >Et_10A_000889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18820435:18823594:1 gene:Et_10A_000889 transcript:Et_10A_000889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAMVSASTGALNSLLGKLAMLTGKEYGKLKGICREVESLADEFSSMKALLERLADVDELDPQAREWRNQVNDMSYDIEDCIDEFIHHIESNDAAAAGFMKKTARVLKKLRARHQIASKIQGIKVRVKEVSERRLRYRLDECTSHSCYVPVDPRVVAIYNEAAGLVGIDVPRDELVHLLMGEEKELKVASIVGFGGLGKTTLANQVYHKLEGKFQCRAFVSVSQKPDIAKLLNKILLETGGGSCHTDDLDDVLKTIALHLWDKRYFIVLDDLWDSSAWKVIKCALPTNGCGSRVLTTTRVHSTASACSSYNQHYVYNMRPLGEDDSRKLFFLRLFGSGEACPDVLEELSADILKRCGGLPLAIISTGSLLAGQSKMAWEYVHNSLGSMFQGNPSLEDMKQILNLSYKNLPHHLKTCLLYLGMYPEDSIIKKNDLARQWINEGFVSSIRGLDAEDVAGSYFNQLINTSLIQPVNTDYNDEVLSCKVHDIMLDLIRAKCEEENFIAVVDDPQAATKQHKNIHRASVHYSDELKYVRVLLLEFKAAQMHKMDITSVCGLFLLRYLKIVTDCDLELSNKFWCLRYLDTVVLEYPMRLFVPSGVVCLSRLLHLIVPCGMVFQDGIGRLKSLRTLQAFDFSRSSLESFRSLKELTNLRDLRLDYERFDMDDETMDAFHSLLESLSSCSNLKSIVISSLVPHFDWLSTLSGFPRNIQRLHLWGLWFPRIPNWVSQLHDLYSLELVVIEVAPKDESIDILARLPSLAHLRLEIKHDREETIIIPGTGMAFPALKHLALVCPKPALAFEAGAMPRLHNAELWLTVSGWGDIIKWLGPAGIEHLPSGLQKIFLCCRGKDANEATLCALRSIFNTHHPGIDLILR >Et_5B_045248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14048517:14092156:1 gene:Et_5B_045248 transcript:Et_5B_045248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKRREREREGERGAGGAKSRRRADILEYKRITFFFATLPRLLLHSSTFKPHGFPDEDYCGDSRRWIDAVDSVLDGRAGPVAAFEVHARFMGSYLEWFRDVFRELCESGGLLELSIDNTKYNEYYALPNPVYSCTTLTSLDLYNWRLRVPGELTGLRAVRSLRLRHLVATDADVRRMISRCSAVEHLEIRDLHKARNIVIRTPCLQKLEISSYRPLCISVKKAPRLDTVELSLFYEPYCWSIHDIMNSDQDYSLSDVQEMCTYGEIARREYKRADEIGMLVTFLGGLGCTKKLRLSMCTTYSKVSFVDTTSACYDYYPSTPCSNVDQYWFWDDFAYPTQRAAYLAAETFYNGPEKFTIPVNFKQLSEQLKSLSLNSSTFSSKLQSY >Et_3A_027337.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7992949:7994538:-1 gene:Et_3A_027337 transcript:Et_3A_027337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLHFVLVPLVAQGHIIPMVDLARLLAARGARATVVTTPVNAARNRATVDAARAAGLDVEVVEIPFPGPEHGLPEGLENVDQLSEKEMFPHFFKAQWQMDAALDEYVRSLLRPPDCVVADSCCPWAAPVCARHALPRLVLHCPSAYYLLAERNLSTHGVYARVAHELEEFEVPDFPVRAVGNAATFRGFFQWPGVEAERRDVLEAEASADGLVLNTFRDAEAAFVDGYAAALGGKRTWAVGPTCASSVVATDSAAKAGRGNRADVDAGAVVSWLDARPTASVLYVSFGSIAQLPAKQLIELARGLEASNRPFIWAIKEAKHNADVQNWLTDNCFEERVKDRGLLVRGWAPQVTVLSHAATGGFLTHCGWNAALEALAHGVPALTWPNFADQFSSERLLVDVLGVGVRSGVRVPPMNVPSEAEGVQVTSADVEKAVAELMDEGAEGTARRERARELAAKARAAVEEGGSSYEDLSDMISYVEELSRKKSQERDATARAAQLLPSAPELGGSNNKSGKIEAEAARLSIQS >Et_9B_065247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2781913:2784041:-1 gene:Et_9B_065247 transcript:Et_9B_065247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATVPFSSSPSTALRTFFSVDLRGALLCRSVAPPARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTNENYRLLYDTKGRFRLHPIRDEDSKWKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNVIMVTGGRNTGRVGVIKNREKHKGTFETIHVEDALGHQFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAAA >Et_3A_026932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26978269:26981773:1 gene:Et_3A_026932 transcript:Et_3A_026932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSITRALAQMSNATVIDEKVLNDCLNEISRALLQADVQFKMVRDMQTNIKRIVNLEALAAGTNKRRIMQQAVFTELCNMLDPGKPSFTPKKGKPSVVMFVGLQGSGKTTTCTKYAYYHQRKGFKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVSVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHIDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPTDQQPELLQKLSEGSFTLRLMYEQFQNILKMGPIGQVFSMLPGFSSELMPKGHEKESQAKIKRYMTMMDSMTDAELDSTNPKLMTESRIIRIARGSGRPVRDVMDMLEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNVQHMSKVLPPQMLKQIGGMGGLQSLMKQMGSKEMGGMFGGMGGDR >Et_1A_004970.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:20635695:20635796:-1 gene:Et_1A_004970 transcript:Et_1A_004970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKPLCRSRWHRRSLTMLTCGRLRGTPNFGSS >Et_5B_043694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14149446:14160924:1 gene:Et_5B_043694 transcript:Et_5B_043694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAPPRRRPAASAVGVGSWESARVLLALAALYAAMSLLAYRVIHMRHVAPLPADAPREDFAEGRVLQHLQRLAVDIPGRQEGSPGLEAAAQYIKSELEGLAARAGPEYRIEVQETLVSGSFSMMFLRHRVTLGYRNHKNIVMRISSNVSEDDDSSLLVNGHFDSPLGSPGAADCGSCVASMLELARLIIDSGWVPPRPIIFLYNGAEELFLLGSHGFIKTHPLNSTIGAFINIEASGSGGADLVCQSGPGSWPSRIYAQTAKYPMANSVAQDMFGIIPGDTDYRIFAEDITHIPGLDIIFVLGGYFYHTSYDTLENLLPGSIQARGENLFNLVKAFTVSMLSQENEMSSKAAKDGIEDLRAVFFDYLTWFMVFYSRDVSLILHILPVAIFLLIPLFLKFPHITLMSWFVTLLGFMRGMLLHAFGVILAIFIPAVAAASRLLFTKNAMNWFAHPYLAFFMFVPTSLIGLLLPKTTWGLSEQAHFWGAFGFYALVTMAYTLAGLSGGFLTLFICMSMLLGRSISSLTRKPLNQQSLKSLVAYVVPTIPCILYCLYYGGFLIQFLIEKMGMMGSLPKPYGYYVPDIIVGAIIGLVVGWCFGPLAPVASRWLSKTSIIQGLLQITVVAFAISSQLFPYSTGAPKRVVLQHTYVTDDNNIVESNYGFSVVDANSLEFLFHNAPEAAKWLKDNSELSFDEKYRSDRSSWLALYPVPFLFSGSLKFPAQTEEIREYYQRLPQLIAQNTSSDNGQRRVHLKLSLGSLSEIWTTVLNITGPLSNWSFADNMLPAPQLVSGGPPSYVSRLSGKSDMDWSFWLEANSSESLRIDVAVLDQYLVDSTKKLKSLFPSWADLTAFTTFFSTYHV >Et_9B_066050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21513908:21515189:1 gene:Et_9B_066050 transcript:Et_9B_066050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVVVRRRKSGMQGRRDVVIAAFVGLLVAAAATAAASPQVPCYFVFGDSLVDNGNNNVIVSLARANYPPYGIDFSGGPTGRFSNGLTTVDVISKLLGFEEFIPPFAGASSEQLLTGVNFASAAAGIREETGQQLGGRISFSGQVENYQSVVRALVTMLGGESAAAAHLSKCIFTVGMGSNDYLNNYFMPAFYSTGSRYTPAQYAGVLAAQYSRLLQVLYSYGARKVALMGVGQVGCSPNELAQASADGVACVARIDAAIRLFNQRLVALVGQFNSGALPGAHFTYVNVYGIFEDILRSPGAHGLKVTNTGCCGVGRNNGQVTCLPFQVPCANRHEYLFWDAFHPTEAANILVGKRVYTARLPTDVHPVDIRTLARL >Et_4A_034735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5954753:5958475:-1 gene:Et_4A_034735 transcript:Et_4A_034735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPVPTRPRSNLFRRRRGAAPLLIDQAAAAAAKRPAESSTSASSCFYSEVISTSSISLAAYQPPEKRPRLQGDDEARPAGSECSEVIGGTRTLPAEIEVSESSCFGSVLESDLTCPEKLADEAEATEYSSAGDALTPLEPDDEALSGPYRSSDYSLSPLFNLDSPITDDDDDNTAPSVTFSIFVAFAKQFVPCVHPEARAAADSTPDLLLPGKRFEDLDEEQSYERFRRRERRGVLAFDYTEVYSSMPGSYCPLVVVQRVVMVNWIIEHSHVMKLQPETVFMGVGLMDRFLTRGYVKGLRNLQLLGIACITLATRIEENQPYNCVLQKTFKVGFNTYTRSEVVAMEWLVQEVLNFQCFVTTTHHFLWFYLKAAKADDKVEDLAKYLALLSLMDHKQLSFWPSTVAAAVVALACLATDKESSCHLVMETHMRTKNDDLPECLMSLDWLINYAS >Et_3A_024194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18247789:18248708:1 gene:Et_3A_024194 transcript:Et_3A_024194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EAANALHILPSSKRTMPVLHDVSGTIKPCRSASRSPRWCRMTLLLGPPGSGKTTLLLALARRLDKDLKVKGKVTYNGHEMTEFVLERTAARHQILNSLRQSIHILGGTAFSLLQPAPETYNLFDDIILLSDGQIVYQDPRENVLETFESMRFRCPERKGVADFLQEVHTVHCNSM >Et_7A_050465.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:17100261:17100425:1 gene:Et_7A_050465 transcript:Et_7A_050465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAWELWKEHNALLFQGEERPAAALTQWIMDEARMWVRARAKKCNRTSTRMVR >Et_1B_011212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18924252:18926760:-1 gene:Et_1B_011212 transcript:Et_1B_011212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSSPLREQTGDAGGGGSSTAREKERPRSFDEKTRAACWRKAAVVTGRHPERWRQDAAGNVVCRRFWSCHGCLCYEYDHIVPFSKGGESTVENCQILQTRVNRSKSDKAWVEQAEMRGFSCDIKFTDKELDVIEMAVYGDVIRPGKQCRCRTVAEMLGQVKSKNKMAACELPGNNAS >Et_4A_034250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31151259:31156376:-1 gene:Et_4A_034250 transcript:Et_4A_034250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLAEHAPRAAVQRRVEDYRGRVIAVDASLSIYQFLIVVGRKGTELLTNEAGEGMLNRTIRMLEAGIKPVFVFDGEPPEMKRKELAKRSLTRDAAAKDLNRAIENGDEDSVEKFSKRTVKVTKKHNDDCKRLLRLMGVPVVEAPGEAEAQCAALCENHMAYAVASEDTDSLTFGARRFLRHLTDLGFKKSPVTEFELSKVLEELGLTMDQFIDLCILSGCDYCENIKGIGGQRALKLIRQHGCIEEVLQNLKQTRYVVPEDWPYREVRTLFKEPIVCTEIPEFLWTSPDSEAVDKIKAARDKFSPGRLKLLTPVASLPGSSRCHGTPHAVSFI >Et_7B_055957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8086751:8088448:1 gene:Et_7B_055957 transcript:Et_7B_055957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAVLAHDLALADVVVFVQPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQMNFKRLSLTDIKIDIKRVPKKSTLIKAMEEADVKTKWENSSWGKKQIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKEVAAA >Et_8B_059758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2472823:2477285:1 gene:Et_8B_059758 transcript:Et_8B_059758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASAAANAEERQWQAGDMGLLAAVDLGEDKSSGFILQSRIARRQDPASSVIFWFFGFSSSVLATSKGSFYSGRFLKSQGCGEMGCAASRLEDEEAVKMCRDRRDFIKQALEQRNRFASSHIAYIESMKRVSMALQRFVAGDDHHEFIFDPFISPVKQQKPELLGLPYSSYEKRTFHVAKYLRSGPNPSVSVEERPRLVETVRVESHYPMDNYSGMDRLRSSSYYPPYDRPNYPPPPAQEPVRNSSYYMPYDRTSYAPPSTQEPARTSYYAPYERPSYPSPSTQEPTRTSYYASYDRTSYPPPPQEPTRTSYYASYDRPSYPPPSPQDQEPSQWDFFWNPFSTLDSYAYPRPRSSYDNVVTDDELARLQRQGTKGFESKGVQCNETPEPRRTVELEIKTHKKELMRNKVANAEETPGFTVYLNRRPSSLVEAMKDIDSQFSGICDAAREVSVMLEASRAQYSTSNDLSAKMLNPVALLRSASSRSSSSRFLLAPSSSIEDLFDNETSSCYSEESCSSMSGSHHSTLDRLYTWEKKLYKEVKAGEKLRIEYEKRLTHLRNQDVKGEEPSSVDKTRAILRSLQTRLKVSIHTVQSISRRIEVLRDEELHPQLLDLIQGLSRMWHAMAERHKAQKRTIEDAKLLFHQHHASAATAISLGPPEATTPPPAAVALEYEIQAWRGALESWLSAQRSYARALAAWARRCLGVARAAPASALPPAFLVCMEWAQAVDAASEARVVDGLDFFMAGVGSVCSGAAAGMEGMAGRVLCAGMAAVTGALAEFAAVSADGYDAAITAVITAAARPPERGKENLERPER >Et_1A_004720.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:33927676:33928449:1 gene:Et_1A_004720 transcript:Et_1A_004720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQPISKKSRLVNSTDCEMEEVPSNTTSSKQHLHWSQWQMLDSLLPTGGFAHSYGLEAAMQSRMVNNQEDLRSFVIQIMENTGSLLLPFVYSACKSPDSATWVKLDQLLEATLTNEVGRKASVSQGSALLRVAASVFTEIQALQDLRQAFIGSKIVSFHHAPIFGLICGLIGFDSEMAQRSYMFVTMRDVISAATRLNLIGPLAASVLQHQVALDAERMVQKWRDRDVDDASQTAPLLDALQGCHAYMFSRLFCS >Et_5A_041775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2721969:2739256:-1 gene:Et_5A_041775 transcript:Et_5A_041775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSLLLPLLAVASLVAVASAHPPSTPATPLPANHNRCYSHLFAFGDSLIDTGNFIHYSTAPGPVARSPYGETFFHRPTGRGTALNQLLFRKKHLNVNGITPYSLGVQIGWFKKVLATIASTDHERKEIMATSLFLVGEIGANDYNHPLFQNKTLDWVKPLVPRVIRSIGLSLEALIQLGAKTLYVPGIFPLGCVPRYLFLYRNSAPGDYDALGCHRGLNSLTVHHNTLLKAKLAELRDAHPGVSISYVDYYNEVIGIIKSPARFRLGERTALDACCAGGGLHNANFTIHCTEPGAVQCPDPSRYVSWDGLHMTEAVYRIMARGVLDGPFATPPMMSKCKKHGFELVFPSTVPVAILSEERLRWFSARRVPAMVARSLLPLPLLASLLAAASAHPPASPANRSSCYGRLFAFGDSIIDTGNFIAYSASPGPIARSPYGETFFHHPTGRWSDGRVSVDFIVERLGFPYWTPYLAGKTEEDFRYGANFAVASGTARDQLFFKKKHLDVNQITPYPLGVQIGWFKEVLAMIASTDHVLLILQSICAERREIMATSLFLVGPIGSNDYNHPFFQNKTLEWVKPLVPCVIQSIALSVETLIELGAKTLYVPGISPLGCRARRLRRRRLPPVRWLNDLVVLHDTLLKAKLAELRDAHPGVAITYVDYYGLVHDVVASPARNGFGEGTALDACCGGGGLHNANYTIHCSEPGAVTCTDPARYVSWDGLHMTEAVYRIMARGVLDGPSAMPPYHVQMQRTPAMAKNKALLLPLLAVASLVAAASASGSAPAVPSSQAIPNRNGSHCYSRFFAFGDSIVDTGNFIHYSTSPGPVARSPYGETFFHRPTGRWSDGRLSVDFIVERLGFPYWTPYLAGKTKEDFMYGANFAVASGTALSQLLFKKKHLNVSDITPYSLGVQIGWFKKVLAMIASTDHADALGIPFQTPYLAGNTSEDYAHGANFAVGGATALGHDYFRRKNLDVRFTPYSLGWQMSWLNKVVDMLSSEQGPSEVMANSLFLVGEIGGNDYNQALFQGRSVDEVRTYIPDVVAGIIASVTELIELGARTVVVPGNFPVGCNPGYLTRFQTNDTAQYDAMGCLKWPNALTELHNSALRAELSKLGRRHPGVAVVYADYFAAAMDIIADPGKHALSDLMASSLFLVGEIGGNDYNRPLFRGKSADEVATYIPDIVGAISSAVTELIGLGAKTIVVPGNFPIGCSPGYLTMFPTNDTTQYDVTGCLRWANHIASLHNSALRSWPGSAGDTVVYADYYAAVVDLVANPGKQGFGDQPLVSCCGGGGPYNVNFSVQCGTNRSTACSDPGAVVSWDGFHFTEHAYKVIADGVLQGKYAVPSILASCGIAGTGL >Et_1A_005251.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35393757:35393981:-1 gene:Et_1A_005251 transcript:Et_1A_005251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSSSGGAGEDAGGYGVEKQGRVWPSDEDGRWPCVGERDVDNKAAFFIANFHRHQSGACDCADQQAPAPAA >Et_1A_006476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2333582:2335035:-1 gene:Et_1A_006476 transcript:Et_1A_006476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VTENMLGGKKVTVVFVLGGPGSGKGTQCANIVEHYGFTHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPSEVTIKLLQEAMVKSENDKFLIDGFPRNEENRAAFENVTKISPAFVLFFDCSEEEMERRLLGRNEGRVDDNIETIRKRFKVFVESSLPVIEYYNSKDKVKKIDAAKPIPEVFEDVKAIFAPYAPKVRNTYVSHIHKFPRFLNMWIFFMTMLCIVLIFFPSLNVHRPTLKFCYFCYVCV >Et_5A_042788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4344031:4353471:-1 gene:Et_5A_042788 transcript:Et_5A_042788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRVDLYYDLVRSASECNFCNLWPCFLSAEVTASAAAADITKKELLRAIDVRLSALKQDLATACSRASSAGFNPNSVSELLLFANHFGASRLSEACTKFMSLCQRRPDISPQNAPPTVSSHWKGFDDGNVRGSSSSDMSIDEPQVDLGGSSDKSTVDRNDSHVHRPSNSQGSVHAGSEPITEQQPKPTMQHAGDKQETETDISPAPAVGGLVRRLSVKDRISMFESQKKEQTPSSGNSNSAGTSRVVSGKGEHRRVPSGASMDKLVRRWSNVSDMSIDLSNNDSSSLNEKKEDGTSIGTPTSSDLEGNSKLRADKDSSVLKDSVTSQFRPCQKDGMSMGSASTNTCSSSISNDTPTPHKQIKSRAGDDVVLNTDFESESSIGKEQGQCDTKVSDHAALSFSTRNQLKASPKPIEDATLKDKDILTSSSPEEHVRMVDKEITAFAHKVLDSSEQIGPNNSKGPRLHTKDIHTEADMIGKKDRPSRSFEKISGGVKPKSKTPSSSRINFRGSSGREEIASTETGGHDVSLQRNRLPADDIGRKAISGSDSDCSGRQGINLSRQSSNADQELNLQARVMRPAKGSQDRHGELQIMANELDKLYAAHKLTSSRRGKSNDVQVDDTPVVSEVKPVPVLPEKIYTKQIVKESITTNDFDANELLKMVNNQGYNSIPQKFGILSLEESRGKFYDQYMQKRDAKLKEDWKLQREEKEAMLKAMHESLERSKAELQAKFSRSVAPDSAYVSRSEKIPPLQSTRKNKNQGADSFLVEEELNSDYLSGDGSSRSADSRKHFSHKVVSAQKASAPPVHKRSSRTVSSGYANCRNPPENPLAQSVPNFSDFRKENTRPAPGLSRATARGQQKSFARSKSIIQESKSILKEDQSRRSQSMRKSLIPDELKDISSVDEDTYNWAPSRISNNQPEGAFGYSSRRTGPPKAFLRKGNGTRPAVNIAGFQAAMMVNAFQNGDSGDFEDQLEDSPDDAKEEEEYESTEENLRESDFPADSESENPRGSHEFGNSDDPGSENGDVNFPSEAPNLGGAKFTAFAGNMHDSTGDLPAPWSSRLPQLFPCANDNSDVDAFADSPSGSPSPWNSHSLDEITDADVSRMRKKWGSAQMPFVGANACQQPRKDASKGLKKLWKFGRKNRGGDGLVNDWVSASTASECDDDMEDGRDLVVGSSDDYRKSRMSYLASYDGFVESDGFGEQEQSLRSSIPNPPANFRLREDQLTGSSLKAPRSFFSLSTFRSKGADARLSSEALDYPTIINRDGSDCPHQHGSL >Et_4A_035672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3517141:3520372:1 gene:Et_4A_035672 transcript:Et_4A_035672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEGKAYLVSRSLPSSCEPESEWAYLAHEVLRGKRPAPEDVEVDDLDETDGGGKRSKPPSPQPHTPDISENPGHRRHGSGGAEEPGAGSNLIGSIGRDLTINCLLRLSRSEYGSVASLSRDFRSMVRTGEIYRLRRLNGVAEQWVYFSCNVLEWDAYDPYRERWIQVPKMPPDECFMCSDKESLAVGTELLVFGMARIVFRYSILTNSWTRADPMNSPRCLFGSTSVGEKAYVAGGTDTNGTILSSAEMYDSETHTWTPLPSMNRARKNCSGVFMDGKFYVIGGVANHNKVLTCGEEYDLRRGSWKIIENMSEGLNGVTGAPPLIAVVNNELYAADYGEKDLKKYDKKNNKWITLGKLPERSVSMNGWGLAFRACGDRLIVIGGPRTYTGGTIELNSWVPDERPPNPVPFHNR >Et_5B_045249.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:14213769:14214713:-1 gene:Et_5B_045249 transcript:Et_5B_045249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAALSPRPPPAPTAAGDGDDSLHSLLTSLSRPSALRLLPVPLLAFSRLRHHLPLAASATHLLLRPIASLLQLHRPDLRLGLQLHALSLSLGLSRHPLLLPRLFSFYSHHPTLLPAASSLAAGSTCAQPYNVLITACLSHGLPRHALAAYQEMAETAVLPDAFTYPSVFRACAETRDLDLGRAVHVRAADTGMDGHLFFQNALVSMYAKCGDLVAARTVFDGMGQRDVVSWNSMISGYAASGQWREAVDLFCRMRAEGAEVNSVTWNTVAGGYIQMRDYRAAIDLIREMVKGGAGVDFVTLVIGSNACSRAG >Et_8B_059049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12936913:12937883:-1 gene:Et_8B_059049 transcript:Et_8B_059049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSMALLAAIAVVALVQAASVASESSWLDDKFNTHGDVRADYDKSGHLVTSLVLDRHSGSSLISKQKYLFGKFSIEAKLVPGNSAGTVSCFYLTSGPGNGTDHDEIDMEFMGNTTGDPVVLNTNVWASGDGKKEHQFDLWFDPAADFHKYTIIWNPKNIIFQVDDVTVRSFKKYDDLPYPDSRPMEVHATFWDGSFWATRNGAVKIDWTKAPFVTAYRAYSEHACVAGDGKKDCAGGAWMDRVPDDDDRVTIAWAKRNCMSYNYCADGWRFPKGFPGECKRN >Et_2B_020409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:252322:255261:1 gene:Et_2B_020409 transcript:Et_2B_020409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACWRWRPKKSAHVKETVEPRVGPKRGRRSSQTGGVGPGPFRHLFRQGDLRRRGAAPGMSQEVVAHVYDVANAGSDAAVLHINRFFKDAIGLGGIFHTAIQVYSDEEWSFGFCERGTGVFSCPPCKNPMYTFRESIVLGKTSCSIFTVNQIIRELSKEWPGSSYELLSRNCNHFCNTFCEKLDCPKIPGWINRFANAGDAALEVAETTAVKLKQAKKEIVTACKTASTFLTGTSSSGPQNVDDTGSSANNSFFEGAWVKSIISISMNPSKSLVCSDDPDEENSGDESSSESDDDGPDSDHNAN >Et_4A_034579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4492541:4495156:1 gene:Et_4A_034579 transcript:Et_4A_034579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKAAAAVAAEGEDGSGGKGSWYALGERSVLVPYLREHVPRYHEWMQDPALLEATASEPLSLEQEFDVHRSWTLDTLKHTFIVLDKEFIEGEFVPGNPHTEAMVGDVNIYMNDPDDLQLAEIEIMIAEQKSRGKGLGQEAILVMMAFAVEKYRIHTFRAKISESNTASLKLFRKLGFKDASYSAVFKEVTLEAPADALPLRFPLTVGDWKTSDVREAVF >Et_6A_047067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24801145:24805143:-1 gene:Et_6A_047067 transcript:Et_6A_047067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGAVAMGLADPPADYASISAVGLFVALMCVCIIVGHLLEENRWMNESITALFIGLGTGAVILMASSGKHSRVMVFSEDLFFIYLLPPIIFNAGFQVKKKHFFRNFMTITLFGAVGTLISFSVISLGALGLISRLNIGELEIGDYLALGAIFSATDSVCTLQVLNQDDTPFLYSLVFGEGVVNDATSVVLFNAIQNFAISDISSVNLLKFGGSFLYLFGTSTFLGVASGLLSAYAIKKLYFGRHSTDREVAIMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWKIVRETPIKSIALSSTILALVLVSRAAFVFPLSFLSNWTKKTPAGTISIKQQVIIWWAGLMRGAVSIALAYNKFAKSGHTQLPSNAIMITSTITVVLFSTIVFGILTKPLIRLLSPPKHLSREHSALSEPSSPKTFLEQLIENSPDADLENGVSLRRPSSLRLLLASPTRSVHYYWRKFDNAFMRPVFGGRGFVPFVPGSPTESSMPLLAGTEN >Et_7B_055143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7728365:7734417:1 gene:Et_7B_055143 transcript:Et_7B_055143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGATVYDCLHLACGIKWNTLKMKAPRGTSKKKRGMEASKTMSYQTTAAVLPYVKDVLFFLETDCVQKARLEEYKRLIDLKAALRQSNLNPKRPDANHLRTIDSSIKRNTAVIKKLKMINDEAKDLLVEELKTVNLSKFVSEAVSYICEAKLRSIDIQAAVQICSLIHQRYVDFSPCLIQGLLKVFYPETSVESDSDKNARAMKKRSTLRLLIELYFVGIVVDASTFTTIIKELTSLEHLKDRETTQTNLSLLASFARQGRIFIGLQHEEDAYDEFFMGLNITSDQKRFFKEALCTYYDSATELLRSEHASLRSMELENAKVLNAKGELSDENTASYEKIRKSFDQLLRCVSSLAEALDMQPPVMPEDEHTTRVATGPDFTPEKESSAVQPIWDDEDTKAFYESLPDLRALVPAILLGEAETKLVDQHEKAHEQSKECTLQPETEVENNGETCVSEHRLEVKADGNINKENKETEKAEKEKYEEKVVDRKGDSASLDNMLQKLPRCVSRDLIDQLTVEFCYLNSKANRKKLVRALFTVPRTSLELLPYYSRLVATLSPYMKDLPSMLLSMLEEEFNFLINKKDQIKIENKIRNIRFIGELCKFKIAPSCLVFSCLK >Et_7B_055328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9471143:9474360:1 gene:Et_7B_055328 transcript:Et_7B_055328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAPVAADQQPRRRKPAPGRGGLVLPAGLSEEEARVRAIAEIVSAMGELSRRGEDVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVVAHMMPDLPNVGVERDMESFREFFESPAFRADGLKIYPTLVIRGTGLYELWKTGRPDEVELVRRDYAANEGWETFLSYEDTRQDILIGLLRLRKCGRNVTCPELTGRCSIVRELHVYGTAVPVHGRDTDKLQHQVNLVFACRPQVPPCLSVLCLKVPNICRAFLLLVYQSC >Et_7B_054163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16314248:16319955:-1 gene:Et_7B_054163 transcript:Et_7B_054163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SATQGRAPWRRPSSSRCCCLLPWPSCASPRSSPAASAPSTARALVARVVSPFGKVWRVDVERDGEGAFLGRGWPEFLAAHGVGVGWFVVLRHEGGGALTVKVFDTSFCIKEFGAPAAVPTSTSSKGVSCKPQFIRIIHQNSMEKMMIPAKFVKQYVPKECLNIQMAVISSPLGKFWRVDVENDESGVFLTAGWSHFLGSHCITEGDIVLLRYEGGMAFKFKAFGLDGCQKDFINQDTGTQRSTEKRQGAPPLFPSRKRKMINENPGYHENDSPDCQENESPCCQENKNKASLQNRFDYQIGTQSWIKKKITTPQLKRLSVVQSTLLQCLQSLSPEFCNRIGLVKTCTVILKTEMDDTRSWQTRALAYYKARYLLGEGWKSFCKENNLNRGDVCTFNIVETMLWHVTITHYNRKQQESPSSSAWDCKSKNDWSGSEGSKSKNDWSSSEGSKSKNGGSSSGVPKRFTKCDYDLGPPSWIRKELTPNSLKKPLNLPYNFCHVIGLRGPRTIILKTSISSAESWQVHGFMQKNRSYLLGQGWKRFCEENKLKEGDICTFNIVQTTLWQ >Et_3A_026986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28835576:28837830:1 gene:Et_3A_026986 transcript:Et_3A_026986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSKLLCVLSCLVLGLLANAEVHQHEFVVQETPVERLCNTQHIITVNGQLPGPTLEVREGDTLEINVINRAQYDVTIHWHGIRQLRTPWADGPEFVTQCPIKPGGSYKYVFTIKGQEGTLWWHAHSSWLRATVYGALIIRPREGKEYPFAKPHREVPLILGEWWNANPVEVIREAQRTGGAPNVSDAFTINGQPGDFYNCSKKETTAIPVKPGETALVRFINAALNHELFVSIGQHKMTVVGVDASYTKPFTTDVLMIAPGQTTDVLVTMDQPPTRYYVAARAYVSSQGVPFDNTTTSAVLEYDCGCATDFGPKIQPSFPAQLPAFNDTSAATAFAAGIRSPETVKVHEHADEYLFFTVGLGLFNCEPGQLCAGPNNNTRFTASMNNVSFVFPKTDSLLHAHYYKVPGVFTTDFPAHPPVQFDYTAQNVSRALWQPVPATKLYPLRYGAVVRLVLQDTSIVTAENHPIHLHGYDFFILAEGFGNFDHNKDIEKLNLVDPPQRNTVAVPVNGWAVIQFVADNPGVWLMHCHLDVHITWGLAMAFLVHDGYGELQSLAPPPVDLPMCK >Et_1A_007262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32876287:32877216:1 gene:Et_1A_007262 transcript:Et_1A_007262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEWSSVLFSSDVYHKVTSIYGGRPGSMGEAIYGAPSPLRMLSFSVPRHAGMKVELSPSILDAP >Et_6B_049711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9322666:9326820:1 gene:Et_6B_049711 transcript:Et_6B_049711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPISSMCLQKSRWVEPIIEIHQFQLFASIHYISIDVNASIKIPCVNYKAMPKDSMDAMSSEDLIQAQRMIFHHSLSFATSMALKCVVELGIPDAIHLNGGSATLDNIITGTGLHASRLPYVKRLMNLLSISGVFASSDPSACATGSPDNADHKGEAVVYKLTPMSRLLVNRNKIGSMSSLVQFLVNPLTVTAFFDMHEWLKDEHASTKSFFEMVHGCNRYEMARRNSDDNALYNNAMVSASHVTMEIILREAGSGIFGRLNSLTDVGGGHGTASAAIIAAFPHIKCSVLDLPHVVDKAPANGTVQFIAGDMFDFIPRADAALLKWVLHCWGDEDCVKILRRCREAITEREAGGKVIIIDAVMEARPEDNFSKETQALYDFHMMHIDGVERDKLGWEKIIFEAGFSDYKIALVLGLHSVIEAKENTGAR >Et_7B_055154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7797376:7800146:-1 gene:Et_7B_055154 transcript:Et_7B_055154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLLLLLLLFCSASAEYGDELLRSAAKEREWMVGVRRRIHAHPELAFREHRTSALVREELERLGLPARAVAGTGVVADVGSDRSPFVALRADMDALPLQELVEWEHKSKVEGVMHACGHDVHTAMLLGAAKLLSQRKDQLKGTVRLLFQPAEEGGAGASHMIKEGVLDGVKAIFGMHVDYRIPTGVIAAHPGPTQAAVCFYEAKIEGKTGRAETPHLNVLSVTYVKGGKALDATPSVVEFGGTLRSLTTEGLYRLQKRVKEVVEGQAAVHRCNGVVDFKSDDYPMYPAVVNDVNLHRHVEEVSRRLLGPDKVKPGEKIMAGEDFAFYQQLVPGVMLGIGIRNEEAGALHSVHNPLFFVDEDVIPIGAALHTALAESYLTESSRLNQGEDLPSH >Et_9B_065884.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:16612753:16614251:-1 gene:Et_9B_065884 transcript:Et_9B_065884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMVGPLESEAYSRIYKSEPVDASAVVATSGSSSLCQDKKKTTRPVSERGGAMASGRRAEEEEVMRGEEEEAEAEEDYIDMDLSSSAAGPAAAREFEFMSAPLDRWGEPLASPADELFYKGKLLPLHLPPRIQMVEELLDGRGGAVDTRSGGGGVLGYSTAPATPYESCRASPANSCYVSGELNVEEYFQEYAAGLADAAAAAAGGESRKPWSRKLRFMRQLNLGLKLKASKAYLKTMFAAPKPGNADDKHVLGAPARASQQLSRGHNNHGHLRAWRKNPFGQVIRSNRYIASHSGGGVAGAGGRAAIEGGRQKDREHGHRRSFSSVIVRYSSSSSKTSPAPTPSSASSSSCSSSSSSSSACSSVRTSSDSTDGGAGPPALRRSSSASSEVENPIQGLIAYCKKSQQLASVRKSASDAGFRFLSSAASKIAAESDGLDELIDNLQRMKM >Et_1A_006070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17375370:17381772:1 gene:Et_1A_006070 transcript:Et_1A_006070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGALPSGGAAHSASTSGGGAVGSPSGHAASASVSTPASESTVARRLNGLDLQGDDAPSSQPAVSKKKKRGARAVGSDKNGRGLRQFSMRVCEKVESKGRTTYNEVADELVAEFSDPNNIDSPDPDNPNAQQYDEKNIRRRVYDALNVLMAMEIISKDKKEIQWKGLPRTSMDEVEELKTEIIGMKGRIDKKSAYLQDLQDQYVSLQNLVQRNEQLYGSGDDAPSGGVALPFILVKTRPHATVEVEISEDMQLVHFDFNSTPFELQDDSFVLKAMGLSGKEETEVVQVPVANGGEVECSSAPTDYWNQSPQPARSRGLRLPPSAPIPGILKGRVKHEH >Et_5B_043421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10219238:10222855:1 gene:Et_5B_043421 transcript:Et_5B_043421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQLRSGRRLVSPPPPAPRGDLRRGRPRRIQEDGDGEDRISSLPEELLLDVLRRLGCAHEAARTSVLSRRWRGLWAELRELKFEGGDADDLEGALARVRPNLNYLNMVVSEDGNLAAAQINSLLRAADRLAPAELVLSLCFFPFTGVLEVPCFGSAASVHVEIMELNLTVAPAGVFASLEQLSLKCKFGEVVDLSALLPRCPRLRRLTMDAYHASGGKRIAVESMSLEELLLVIHVREPRPADLVIVAPELRKLSVSYNVHKELTVSHSAPKLEEFLLEYKCGKPCVAFGNKLCLHRLRMETRSGLAVAWYPRHRRRRREETCVAAVLGASKWSAKATTTARTGSAASRRSCSSTCSAASDAPMKPRAPASSLAGGAASGPSFACWISAASTPRPLRPRSLMSAPTSTASRSGYSPWSARITSLLRAADRLAPAELVLNLEGSSAFDDVPFELPCFGRATSVDVFVTGLYLTVAPAAGEFASLQHLDLTFDRCHIADLAPRCPRLHRLSVSSFYAWARTDTNKIAVESTSLEELLLSMHVSGTADVAIVAPELRKLRVSYRVDWPFTVSLSAPKLEESLSESTLETWGVGLGYTLWWLQHLRMETQRNARNVLSLKILPTNVCLLLSMHDFFAFNELN >Et_2B_019127.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:12163446:12163646:-1 gene:Et_2B_019127 transcript:Et_2B_019127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGRGKIRRSIDACHAEAVASWHGVSTAIDLGIGHITLDWRQTPRYCIKPCCQVTTTDPPGEVVL >Et_6B_050191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9875539:9877874:-1 gene:Et_6B_050191 transcript:Et_6B_050191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNILNWKSRCTVKKLCSTVASAKHDDSYFESVDAPLEPQTWEGSFLCGLLKNLPHIFLASAAKQLQELSNQREHTLNRWEHSVGSKEDCLHRRIAEMKEQECQNAIEDIMYMLIVYKFFKIEVPMVPNLSKLISNRRLELWPSRETDLESIHGPEVLELIREHLTSIIRWVHRNGPKINRSTLRIKRMQFSRIYSASIMFGYFLKSVSIRHRLELTLTRSQEIPPPIQFLNAQLPSTQKQEQEEAIGGSREMPSSSKPSSVVNPSDLKGYMMGFNPKTLQLCAKLRSCEASNLIEKHTWALFGDNMEFSQENDEAVIVDPSTLKRLLLEAIAFGSFLWDVEDYVDEIYKLSES >Et_10B_003981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8968402:8971806:1 gene:Et_10B_003981 transcript:Et_10B_003981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEASKKKAAQKKAAAAAKRGGKAAAASSSSSSSAAAEKAANDVAALKLSDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPEHMDIYHLSHEIEASDMSSLQAVVNCDEERVKLEKEAEILSAQDDGGGEALERIYERLDALDASTAEKRAAEILFGLGFTKEMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEEMLKKFDRILVVVSHSQDFLNGVCTNIIHMQSKKLKLYTGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKVLVFRFTDLMTGDLTPTDGMVRRHNHLRIAQYHQHLAEKLDLDMSALAYMMKEYPGTEEEKMRSAVGRFGLSGKAQVMPMRNLSDGQRSRVIFAWLAYRQPQLLLLDEPTNHLDIETIDSLAEALNEWDGGMVLVSHDFRLINQVAQEIWVCENQAVTRWDGDIMDFKAHLKSKAGI >Et_7B_054284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19254020:19254504:-1 gene:Et_7B_054284 transcript:Et_7B_054284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMHEKWMVQYRRVYKDAAEKARRFKVFKDNVAFIESFNARNDKFWLGVNQFADLTNDEFRETKINKGLKQNIAKVPTGFKYANVSIDALPVTMDWRNQGAVTPIKSQGQCGCCWAFLAVAAMEGIVKLSTG >Et_2A_018547.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32431800:32432939:-1 gene:Et_2A_018547 transcript:Et_2A_018547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLIPGLPEEVARECLIRVGFDQLPVVRRISRQWRSEVESPDYSRLRRAEGLARPLLALVQAQPTAPAEDAGPVHKSSSAAASAGSGGPANSYRMVLLDPVEGRWAPLPTLPGSMESLPLFCQVAAVDGGGQGRKRLVVVGGWHPETWAPMNSVYVYDFLTGAWKRGAPMPGPRRSFFACAAVGGTVYVAGGHDEEKNALRSALAYDPESDAWAELPEMAEERDEPRGLCAGGRFLVVGGYPTEAQGRFVGSAEAFDPAASAWAPVQEGVFEDGASPRTCCVVPGTERMYMLRDGSLVARDGAAPWRTVAQVPEDARTAATVSALPDGRAVVIGSGCHGGDQIVYVLREEAGKAASWARAPAPPEFSGHVLAASFLEM >Et_3A_026594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1014832:1015639:-1 gene:Et_3A_026594 transcript:Et_3A_026594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSFPDWAMLDRHIYLRNDDDSFPANDPTMAASAISRGDTIRVCFKFDEPPRPSRVYLWWPGKGRFSVVATHRDAVLFQAIYKIEDCVFDVFEYLIYHVVDGRPSLGLIPPVEGTLDEFREAVQERRFRVTTQRLRSREDLGLAVLRRGRAGDAAELHVFRSGGAGRWEVKTPQVICHGAAAEDMAQAQFYWRVDHSFSFGDYACWVDYCVGGMFLCKVLDDDPVLEYEALPATLLDAVRDHHGGLPRHYHGIGVDEDRGLLK >Et_2B_019551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9992947:9999671:1 gene:Et_2B_019551 transcript:Et_2B_019551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPPPAPAALLSRFSSPIAPPRRLLISFPRAPAAAAVCRSAPASAGARSISVSVEEPRRRFILLRHGKSAAGDSLHERYQLVKNKRWKPVFVLETSGSPSPDDQDFEEDSGFLGRTRLGRLIQAAGTKLLEKLNSARTRSPAKIFLVLLGFYTANALSTILGQTGDWDVLVAGIVVTAIEVIGRLMYSKAFASPPGRFQSFITLVNYWKAGVCLGLFVDAFKRRHQHQIERRSGLWKQAAESRAKQPIHSFPPSSPCKQQINQMRAARPPDPAPPLSRCSFSGAPSRRLLLLSGRRAPAAAASCSAPAAAVGRSISVSVEAPAARRRLILLRHGGARRGWSLHESYQVKNKRWRPVFVLETGGSPSPDDQDFEDDSGFLGRTRLGRLIQAAGRKLVQKLNSARTNSPTKIFLVLLGFYTANALATILGQTGDWDVLVAGLVVAAIEGIGMLMYRKTFAKLPGRFQSFIALVNYWKAGVCLGLFVDAFKLDTSNEWAEDCPV >Et_7B_055632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18526626:18528881:1 gene:Et_7B_055632 transcript:Et_7B_055632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKTQPHKDRLGLINSFNGTVITMKLGVFIVCLLILIKSCTCLPTDMEKDGPYSKTVRHRDFRNSIAGTDGRNGPPSNDHQCPLGTYPNCQGMSQSSKEAQGIGGK >Et_1B_014367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6932180:6937278:1 gene:Et_1B_014367 transcript:Et_1B_014367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGTRVLLTPSGARRYKVLAPWRFGYARPEINQDGGIDPVRCSGLSVSWSDRVPADEELHSCGDGKVSMGGSIGIGGDCSLESLSGNATADEGGSMPKDSNFIYSEGLENNAVATESVGEAQPSKLQGFQCDGTAKSNPAGKDFISVGFRAGDSTVPGCRKGRKVVVPWRFKIGYRSKWSLGMCSGDGSNGQTEGPDGSKQYVSAMTDNPSGVKVSAARNRSSVKVQKGTGSVPKKRKTDKDHNHQAVPKRRISLVRENVLTTLREFRIIYKKLLEDEETKWKDGAHELRPDLAAFNIYKERFRVAYDEKRYVGSIPGVQIGDIFNSSMELSVVGIHCAQLLPVDYIDKDGTCLAFSIVSYAQPSASSNNLDFLLHVGSMAATSDQKIEGADLALKHSMDTGMPVRGIHAIINEPNDDVQSKQLTSYVYGGLFLVEKLCIEKIKGDQCVNTFHLRRMAGQQLDIYEVLKTRSPEPSNGIFVDDISGGLEKMPISVINTISNEYPMALRYISQIQYPLKYQPNPPSGCDCVGGCSLSKNCTCAVKNGGMFPFSQIGLLEDRPLIYECGPSCKCPPTCRNRVSQHGIKFRLQVFKTKSMGWGVRCLDFIPSGSFVCEYIGELLEDQEAQERMSDEYLFAVGNNYYTVPRWEGLCKKIPSLQDGPSEDEEIVFAVDAVNSGNFARYINHGCTPNLFPQNVLFDHDDKRMPHIMFFASEDIPPLKELSYDYNYGTEEQQQKLLPLAYKFHIIGCYALTELGHGSNAQGLGTTASIDPKTDEFIIHSLTLTSSKWWLGESFHSCSCFIYLQQLFPKYHLDISHVWERAVKEEEIRASISVEALYDRQQQGRTASVVAATVFRHRLPAAEETTLAPATAAEQPMISITSTVAVASFAMMAATIAAAVSAASADTTRATSEAIVFAGAATATATATTEAAMVASVPANAETKRSPTSAAATTSAAAAAPTAPTATAFAVAASVAKPEPAAFPVRPGSPAPAVLPRAVRAGPLPGPGVAGPPGRQRRSRLPRRRLWLRRAALPLAVDARALHERKRRDEERKSKPQYLPSPFLTAPPHCLLA >Et_3A_024970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25780526:25780972:-1 gene:Et_3A_024970 transcript:Et_3A_024970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFQEAEVLWPDAQHHRDDCHCHCRNQVSHQRPRTSTGRAAHQQQSAPVRIPAEALSTTTRRSWARSSQSHDVDDDDDSAAAIVPPHVLAARRCAAERRVASSVCVGHGRTLKGRDLRAVRNAVLHMTGFLSNSDEY >Et_5B_043390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:133637:144137:-1 gene:Et_5B_043390 transcript:Et_5B_043390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPASFHPPPAAHDIGPRLLQLRELRRVPPPGLVARIAELHADEASPVRKLVAEMIGEVGSKHMVYLPDMIPCLMHLLNDDTPAVARQAIKTGTNLFAKVLEHLVIQGLFSAGGIDDPLKSSWEWLLKFKSAATSNEGVRLLAVKFVEKTVLMYTPDPSIPSDPPDQVTEGMGFNVAWLRGGHPLLNVRDLAMEASQSLGLLLEQLKSPKVKSLSTSMTIVFVSSLSAIAQRRPSFYGRILPVLLSLDPSSSIIKLRVPGAFHALKNAFSACLKCTHSSAEPWRARLLEALNIINQEDSIENSSNTARNVGDTSNREEPWPLTERSTDIGNKRSLAEDTNNVVEMVEDDEGHSSKRVRQSHDDQEHTEEANMRNVESISVSQPISTTGNSEAVYQLIGMFAALAAQGDRAAGSLQILSSSIASDLLAEVVMVNMQHMPVSCPDVDQQQQQRQPPSAISVDGLSSSFPLLASLLKRASQINQDEEPTAKDSAVVPSVADDIATFPASSPVPTSANKPMEEDSSTPVAPLDIEIAETNAGATNSVDILESSEASHASMEHPGTQEHAGSLISSLPYDTSSAGLSLAQSSEAQSPSSSTVEASQSQISSLNTLNSQYVLPKLVVSNIDLSDEAKDLLQKEAFVRILESAKQEVSAGSLAHLPLLAHLGVEFPLELDPWELLQKHVLSDYINNEGHELTLCILNRLYREAEQDQDFLSSRTATSVYESFLLSVAENLRDMFPASDKSLGKLLCEIPYLPDGVLKLLEGLCSPGSSEKQDKDIQSGDRVTQGLSAVWNLIMLRPSSRDRCLEIALQSSTHHIEEVRMKAIRLVANKLFPMASISKKIEDFANEKLNSVLEVTPSTDSATAEGATAEVHKDDSLENMSASVADAQTLMSLYFALCTKKHALLRHVFAIYGGLPQAGKQAVHRQVPILIRTIGSSPDLLGIISDPPADSRGLLMQVLQTLTEGMVPSQDLISSIKNLYSKTKDIEVLFAILAHLPKDEILPVFPSIVNLPMDKFQAALSRILQGSPRNGPSLDPSEILIAIHVIDPEKEGIPLKKVIDACSACFEQRTIFTQQVLAKALNQLVEQIPLPLLFMRTVMQAIGAFPALVDFVMEIMSRLVSKQIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALSKNPLLKAPLVEHANQPNVRSTLPRSTLVVLGLAEEQQQQQPPPSSTQAQSGQTRAQSSQNQAAETSSSAADTTEVTQESSAA >Et_8B_059748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2293952:2305713:-1 gene:Et_8B_059748 transcript:Et_8B_059748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEMSPPPEIRSPDVPGCADEAREPEAETEEEEVFDDAFDIPHKNAPHDRLRRWRQAALVLNASRRFRYTLDLKKEEEKEMIRRKIRSHAQVIRAAFLFKEAGQKGINEDYSGVNVATASHSFPIEPEKLTTLNRDHSNVLLQEVGGVRGLSDLLKSNLDKGINPNKDELAQRRNIYGSNTYPRKKRKNILLFVFEACQDLTLVILMVAAAISLTLGMTTEGVDEGWYDGGSIFLAVFLVILVTAISDYRQSLQFRHLNEEKQNIQVEVVRGGKRSGASIFDLVVGDVVPLKIGDQVPADGILISGHSLAIDESSMTGESKIVHKDQKAPFLMSGCKVADGYGSMLVTGVGTNTEWGQLMASLSEDNGEETPLQVRLNGVATFIGLVGLSVAGAVLVVLWIRYFTGHTENPDGSPQFVAGTTRVKQGFMGAIRILTIAVTIVVVAVPEGLPLAVTLTLAYSMKKMMRDKALVRRLSSCETMGSATTICSDKTGTLTLNKMTVVEAYFGGTKLDPCHDMSQMSVSASSLIIEGIAQNTTGTVFLPEDGGEAELTGSPTEKAILSWGLKAGMDFHDVRSKSSVLHVFPFNSEKKRGAVAVQAENGVHIHWKGAAEIVLASCKSWLSVEGSVHPMSTAKYDEYKKSIEGMAANSLRCVAFAYCPCKAEMIPEEDIANWKLPEDDLTLLGIVGIKDPCRPGVRDAVRLCTSAGVKVRMVTGDNIETAKAIALECGILDAKSVISEPVVIEGKVFREMSEIARGEVADKILVMGRSSPNDKLLLVQALKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMKRHPALYQIAVLLVFDFAGTRILRLQNESRSNAEKITNTFIFNTFVFCQIFNEFNARKPEEKNVFKGVTKNHLFIGIIGITTVFQILIIEFLGKFFKTVRLNWRLWLVSVAIGFVSWPLAYIGKFIPVPVRPLQEYFKPNSCLRRSRRDEEEGGQT >Et_8B_060731.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5668929:5669348:-1 gene:Et_8B_060731 transcript:Et_8B_060731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFWLCMTPDPLPTSSARCPVTSSSSRIPNPKTSVFSDDWPVERYSGAMWPTVPRTAVVTCESPWSSSLARPKSPTTASWCSSSSTLAALTSRWMILGSHCSCRYSSPRAAPTAIRFRVDQSSAGFAARTHAHIDGVR >Et_6A_047402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5104777:5111008:-1 gene:Et_6A_047402 transcript:Et_6A_047402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPRETPHLSVRECSLRKMDTGFRSFVKSLVKIIQVREKVFGRERTEHENYASNSYGNLQWLATGPTVSDTPDSSVLLPTPCLAAQANPPLSIDLVLSLTDPVDLNLLLGSVVQGQVGRGGKGGRAGRVIVRVDTSVSVVQNHNISFLPNSSRCSSNFYLRFQLFTPAFGSGKEDSPLCDVPGFETGHDILMATMLNGAAIMDGALLLIAVNESCLQPQTSEHLEAAAMNQHEAIQKFIQGTVAQGAPMVPISAQLKYNIDVICEYIVKKIPIPEKNFTSPPNMIVIRSFDVNKPGSEFAVPGRLIGVGTNMDPTLTRADRLVGQVWSVNSLLLPTYSVSKELPFLTLLFAEGRWGLGQVELRGVSRISKLAKGEILMLNIGSMSTGARVVAVKNDLVKLQLTSPVCTSKGVKLALSRSIEKHWRLIGWGTIQADTTLDVPPYPL >Et_8B_059799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2910981:2913211:1 gene:Et_8B_059799 transcript:Et_8B_059799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIKAVVDKFVRELKEALDADIQDRIMKEREMQSYIAEREREVAEREAAWKAELSRREAEVARQEARLRLERENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFSKAKK >Et_10B_003966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8606266:8609008:1 gene:Et_10B_003966 transcript:Et_10B_003966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PANTGGDVLGKSALKKPARSGLSQTAGIGISSKTVNMKQALRRLCISQASEMAAMKRLSMSPGSSSSSEAGTIHRLYASLMVQSNSESPLHDDEKMNLIEISITPGRIDKCSSRGTSEFSEDCDFETADGSAVTSIRSASSNSAEVRKIRIQDVISGDPADIESSLVENGNLGNKVSAAIDDNSPRFPILSKPITTSRLVKPVFRCKTASKKKVKEEPSSLGDSSNSTKFCSSKESISLASTSCSSVSSVTNPTSCAEEEKSNVGPKKSDETSSEWLGVEEKGECSQNSKDSVSEYGCSTSISDESQIGLCTYSNRPHMAKDLRWLTIRQLALQQGSLGLDDFKLLKRLGCGDIGTVYLAELMNSDCLFALKVMDIEYLVNRKKMLRAQAEREILEMLDHPFLPTLYAHFTTDNLSCLVMEYCPGGDLHVLRQRQPGRSFPEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVNPVLLRSSSIAANHQPKKLTGPCAENYCINSSCLQPSCAQTSCFTPRLPSVPKPRKPKSSQKRLPQLVVEPVEARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGVFLYELLYGKTPFRGPGNDETLANVVSQNLRFPDNPAVSSNAKDLIRSLLVKEPENRLGSLRGAAEIKQHPFFDGLNWALIRSAAPPETQPCNVVTLAKTQKKKDGKCLEFRSNSEDLEF >Et_4B_039083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7585940:7592085:-1 gene:Et_4B_039083 transcript:Et_4B_039083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGASAGRGGRRRVVGEYELLRPIGSGAYSQVWLGRHLVRNTEVAVKEIAMERLSSKLRESLLSEVDILRRIRHPNIIALHDSMKDHGKIYLILEYCRGGDLHAYLQRRKRVPETVAKHFIRQLASGLQMLRENNVVHRDLKPQNILLVENNENSLLKIADFGFAKFLQPSTLAETLCGSPLYMAPEVMQAQKYDAKADLWSVGVILYQLVTGYPPFNGDNQIQLLRNILSSREIRFPSDCELSHGCIDLCRKLLQLNSVERLTVEEFVNHPFLSEHAPERPVSRMPSDIRDGSPFINSSPTRPSSQSSQEDCMPFPLDDESSGQDENPIPESKSPMKSHGFSMGKRLDKTSGQSPSKHPSLFSRYMPGKKTRESKIEAAQGHKAGYQEDSPIIDSLEFVDQEYVFVSGPHPEGSSSSTNSSRQHNLPSKYDNSSVSPPKITLLSSPMPINGLPINRQQSAGTGSLDSHCSPVSGTSQGSADMSDAMDQPSSDYLSRIRLLEQYASDITQLVKEEIKGGRHLEAFSLQLVVLAIWKQAIHLFNAYLASVAGDSHSQDIPMKGFSADASHLHASSQLADDGVQIERHFLAEVEFAEELAGVVGQTADATEMPDAIEIVFQSALQLGRRGGVDEMMGKAAVAISLYMRAVSMLRFLLIEAPSLALNPSLTLTRLDRHRLRTYIEALNTRLGQLQCQRH >Et_1A_009391.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4679344:4680153:1 gene:Et_1A_009391 transcript:Et_1A_009391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFQSIPGLAGRLFGGAGASDLRRAAAQAQQQQGGCGGVSPAPEAGVKCPRCESTNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKAKRSSPSSSSSAPSAPSTPTPTAEAKNPRRSASASSPRSTNSGSTSPTTATATATATPSSNSMFPVTNPFSTADVVAPPPAPIFADQAAALASLFAPPPPPPLPAFSFACKEEGSVLVVGQDASTSGDMAPFTSLDAGIFEQLGDASAAAAYWNAGSCWTDVQDPTVYLP >Et_9A_062809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5144787:5149220:-1 gene:Et_9A_062809 transcript:Et_9A_062809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNAMGTWALVGTKKPRTAYTISRPREKWTADEHDSFVHALLVFGRDWKTIKQFVGTKTATQIRSHAQKHFPKAHKLGLAAPLQSVDYASRTASAGGCWSSHDDSFMMGTPNGGTSPRKEAWTLDTYRLPLPSNDLRFADVYRFVGDVFGSTGSVEAQLLEPVVAETILLVLRNLEDNNLRANATTKTLAVLVIFSIVASREMVATMPWMLPTLLVLHIVKRNALDAANPPRLRHRQTVGRAKKNVRSSSHVGEDRDRDGADAQPTHGQEEHGHKQRDAGAISPLCSSRAQLRRRGRPPPPRASEDEVRMEPTQRMQPKVDQK >Et_5B_043274.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:21553458:21553628:-1 gene:Et_5B_043274 transcript:Et_5B_043274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFDPWPVFFRREWSRNWPFLTGFAITGYLIVKMTANFTEEDLKNSKFVQEHKKH >Et_5A_040687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11064652:11066176:-1 gene:Et_5A_040687 transcript:Et_5A_040687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGHSFTPSHAGLVDGYLRARIDVGNISDHAMASLFHDADVCSARPHDLVRDHLPARVPCRDAGDGLQWIFFSPALFDGKSTSRRSRTIDGTSGKESWHSEGSPKPVEGSTGGYVQKFSYHVRMVTGKVEKPGWIMAEYTFKNTRAGDVLLCKIYKSPRGPGRSNASSSSGTSSAMSGIKRKTADHSDAATPSTRPRLADQDDVVMFAEDGGDHDETARNVEDPELAPSASVDGVMALQEMETFMTTDQEDDGTMLRVPDGEDPVAFYLRSMGLYGADDQQQDAAIQQQDEDATIQQQDADIQTARGLLSVYDVITALATGVTVDELLLDRPSTSCLHRTRRRNETDDVDPVLHVLAKLTAHGAKARPLILNTTASLERSAIMQRDMLAIGRQCKMKNVIYLHCSQIKINKITK >Et_4A_031784.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:14313556:14314185:1 gene:Et_4A_031784 transcript:Et_4A_031784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKSRAMARQLDIPAPAGTRSPWKSDGLPSPTSPLDRASASPSTRGWRHRDAGGVGLGILAALEAQPSAAAASSAPVSIARRAARLEVSELGCSGRCATSLCGGGGPSSSARSGSGAAFRVAEFLSCCDMCRRPLDGKDIFMYRGERAFCSMECRYQAIVSDEFQEEKERKRRAGAALRKADAAAAEVAGSPCNGGGQIFFTTGIVAA >Et_3B_028812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19361112:19364904:-1 gene:Et_3B_028812 transcript:Et_3B_028812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERQVAQLGPGTACCGWNHCGRRLAAGAVDGSVSVYDSHASPSSKWQAHEHGIVNVVWLPSEYGDAIACVCADGTLSLWEEVAADDQLSTWRKCKVFKGNNCHILNVHFGLHMSSLKMVTAYSDGQVKVFELLDSLELDKWQLQAEFQNITDPISRFGKPACTSASISWNPRRGGCQQASFAIGFNSDSPHVNSCKIWEFEEAHQRWLPLVELGSPEDMGDRVCAVAWAPNIGRPYEIIAVATCKAIAIWYVGLNADSDGGPSTENVAVLSGHDGEVWQLEWDMGGMTLASTGSDGVVKLWQANLDGVWHEQAVLDCTGSHN >Et_2B_020547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21216848:21219537:-1 gene:Et_2B_020547 transcript:Et_2B_020547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKKRKAEAARLEETDRALYGAFRGAANSLSQLYTLAMGAQKASFQAGERHAMEKLYEWILRQHENGLRLTVADIASHIQHEIQYGGDNALASPRSQYSNQTTPAMAHIPNTSNQQPSPSLFAPGNPGLTQSKNSMVFSNALSSPIRRSLQPYHLEQGGDTGYFANGANRDVNPTASNDSSMDMHSDSPAHDSF >Et_5B_043550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11730227:11731605:-1 gene:Et_5B_043550 transcript:Et_5B_043550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDESSSDLGIGEAASILNLSIDDLPRHLKQCFLSCSVYPEDFLIKWKMLIRNWVAQGFVDDDKTGRRAAEDVADDYLDQLVQRSLMRAVVRNDFGRAKRCLIHDLVRDLIIHRYTEEEGFSLSLQNARIRHLSVDRCQVDRQSVQKAASLRSFHAFGSDLDALFLSRFRLLTFLNLWFVEMNKLPDSVTSLHNLRYLGIRSTLIEELPKNLGKLQKLQTLDAKLSMVRRLPSSTGKLKNLRHLIVFTRQIADFWKPFPGTLVGAPNALDNLTSLQTLKYVQADEKMVGSLSKLERMRSLELFGLDARYTDDLLFSISRMSFLVRLGLEMEPGANTVLDLEPIDQPPRKLQKLALTGRLARGMLPSWINSLTSLVQLRLFHSDIGQDSLMLLAALPSLENLTLISAYDDRNVILPGGSFSTLQKLTLEDLPNLTRIEFKQGCLVNLSWCLA >Et_4B_037298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17785076:17789672:-1 gene:Et_4B_037298 transcript:Et_4B_037298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNGRNGFDDDNVNPFAVSAPGVTRPTTLPPKPFYSPRSRGGGSVPPATNSRLSPLSHEPADFYNVDIPLDSTKDLKKKEKELQAMEAELNKRERELKRKEEAASRAGIVIEEKNWPPFFPLIHHNISNEIPIHLQRMQYLAFSSFLGLVGCLFWNIIATTTAWIKGEGVMIWLLAIIYFISGVPGAYVLWYRPLYNAMRTESALKFGWFFLFYILHIIFCVWSAVSPPFPFKGNSFTGILPAIDVIGKSAIVGIFYFVGFGLFCLESLLSIGVIQQVYMYFRGSGKAAQMKQEAARGALSSAF >Et_4A_035449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25079909:25085823:1 gene:Et_4A_035449 transcript:Et_4A_035449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGSLIGLVNRIQRACTVLGDHGGGGGGSLWEALPSVAVVGGQVRTNERTSTYLLASLRDPLAPVPRSGQNAHSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEGGQEYAEFLHAPRKRFTDFAAVRKEIADETDRITGKTKAISNVPIQLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRAYVDKPNCIILAISPANQDIATSDAIKLARDVDPSGDRTFGVLTKLDLMDKGTNAVDVLEGRQYRLQHPWVGIVNRSQADINKNVDMLAARRKEKEYFESSPEYGHLAHKMGAEYLAKLLSQHLEAVIRAKIPSIVSMINKTIDEIEAELDRLGRPIGGDAGAQLYTILDMCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDKHLSMQNVRKVISEADGYQPHLIAPEQGYRRLIDSSLSYFKGPAEATVDAVHSVLKELVRRSIASTEELKRFPTLQSDIAAAANDSLERFREDGRKTVLRLVEMEASYLTVEFFRKLPTEPEKAADKNTPVSDRYQDNHLRRIGSNVSSYINMVCETLRNTIPKAVVHCQVKEAKRNLLNRFYAHVGSKEKKQLSAMLDEDPALMGKRDALVKRLELYKSARNEIDSVAWK >Et_7B_053863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12887152:12892173:1 gene:Et_7B_053863 transcript:Et_7B_053863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGGGGGAYGGVGEGPTTLDELYQINVVPAELHFKFRKELQGLRVGLNLEFYNLEVNDFEAKVVLKPLDYDRKWKFHYKPISGDVQLLSKKIPVTKFLNLQVGIGHNFHLNATGWKWKLSTCLGGDGVSQIRNKSKFSLFPGFDLRVGWKAEYVLPEIHGAVGTGEPAFSMNYGRLQASIDRIEAISAREYDIQNSNK >Et_8B_059995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4948768:4949159:1 gene:Et_8B_059995 transcript:Et_8B_059995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNRREHASATPPAAFSATASASGGAGSGGGSHATEKRKPPFRPAPDDTKPVLRDPISRSDPVDAEQAVLLPPPFP >Et_2A_018482.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29551261:29551557:1 gene:Et_2A_018482 transcript:Et_2A_018482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVPPPPAEAIDVLNHVQRRLLHASRIIVISGYLVLTYTTIGDRGVNAEHALVGLALLLLGVFLVVLSLVANQFPRAARASAAVADAILFYFTPAGN >Et_8A_058084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18827697:18830904:1 gene:Et_8A_058084 transcript:Et_8A_058084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRLVRQRRDYLYRKHAEGAERVLLEKKRRVREALEEGKPIPTELRNEEHELRRLIDLDDQERAGTFPPNPFFQIVESCRSHSITDLILVHEHRGQPDGLIVSHLPHGPTAYFGLLNVVTRHDIKDRKAIGKMSEAYPHLILDNFTTQAGERTANILKHLFPVPKPDSKRIITFANRDDYISFRHHVYEKRGSPKSIDLKEVGPRFELRLYKIKRGTVEQNEAADEYTLRPYMNTAKKQKTLGA >Et_4A_034396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32233699:32236154:1 gene:Et_4A_034396 transcript:Et_4A_034396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIDIDLIVSLILGQLPPAAYDSSRLLRGNRQVSRLVGVLKIEASRCNLRLEGNRCLSPAEARDLLLEGISFLQQRLQMQWAIKTMSDDTSDQSGNNNRLFSAIQSFWNKFSDKLKKVRKGLPVKILFFLIGFYCATAFATVIGQTGDWDILSAGLAVAVVEGIGALMYRASFVLIGRIRNMITIFNYWKAGLTLGLFLDSFKYEVDEFLESCNAFNFEINIFIGLCPLSLIIFFTRSTSSNLPAF >Et_2A_018244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2043002:2047394:1 gene:Et_2A_018244 transcript:Et_2A_018244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGGSTPERRQRRRNEEPLDPAAAAISVAKVFGNRDLLGEILLRVGSPTWLVRAAVASKCCLRVASDPAFLNSFRARHPPRILGLSVIGIRSSPRLLPFPQPPELAAAARFASRALGYLGRDDRCSDYCNGRLLVEMAGNRDWLRYDVSSLYHVGRHRILPPLPPLGSADDEEPGLFYSHRLFLFEDDATSCLYLSMACDTETVRANFSILRDGIWGFQQSAVRELQQDPYQTVLGHKLLAGGKVYMMTTAGCILALDLATATFSALELPDGAERSASLRLSRAQQSGFYLIYTTGFLLRVWQSNGVGPWVLVDTISVCEACANLNVRKWVPDDEYTSPVCIVGVGDNAEFVILELVASGIVCCMQRDNRVVEKVAEGVMQICSPSARPITMYAAQLQL >Et_7A_051751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2449671:2452481:-1 gene:Et_7A_051751 transcript:Et_7A_051751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKERKRRRQIQSRHGLRRLETQNDSPRQEDEYSQGGKRLRSSGANLPEDILCHIHSLMSLQDAARVACVSHAFLRSWRCYPKLTLTEKTLGLKRNAVKKGDIAKGFASRVDHILKYHSGIGLKTFRLESHDYCNIEISLNSWLQVAFRSGIEEVALLLPSRYNFPCSLLFDGHGNSIRDLYLTDCAFHPAIGFDCLRSLTKRICIEYCSELVCLKIPFSLERLSFLKVLICKMLQMIENKAPNLSTFHFFGDPVPLSFGESSQVKNLTVQFSQEANSIYYAITKFPCIMPHLETLTISSFRELCTLKLWIIGYRVNSPMVANKFLHLKYLEIYLSGGCTSVSPTFDYLSLAAFLVASPFLKTFILSVHQDDMKHDSIFEHASHMRHMPEYKHERLKNVQVIGFCSAKSMVELACHILENATSLESLTVDTVYDEEDDEKIGRCSVRRTGKCSPITSHMIFEAHKALKAVKRYILGKVPSKVKLNVRGPCSRCH >Et_8B_059217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15469722:15492185:1 gene:Et_8B_059217 transcript:Et_8B_059217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPERPATRTSSRCTALTVRGTHAFEINNYSLHRGLGKGKFIRSAVFDVGGYSWSIEYYPDGDRSELSNGCISVFLRLLTSKVEVRAQYEFRLLDQNTGLSCSGFHRTIPSVFSTMGADPNTKQEKWSSWGKSDFIKRSNLKPSAYLKGDRLVIECNVTVIKETRVLLVEGPKVQVPQSNLSHNFRKLLETGEASDVTFSVEGEVFQAHKIVLAAMAASSQVKTRPATRTVSRCTAQTARGTHVFEINDYSLHKGLGKGKFIKSAAFDRVRYYPDGDPRAESADHISVYLELLSQTASVRANYDLKLLDWTTGSASSILCDTVEFSTVYLSQNIYTWGKSKFMKKSELEASPSVYLRDDCLVIHCDITIVKHCDITIVKHCDITIVKEPKVEETIAVGEDLVPPKSGLPDDFGKLLESGEGADVTFQVQKELFTAHRIVLAARSPVFKAQLYGQLGQDNRGFIMIEDMQPGVFKALLHFIYTDSLPDIKDVEMNEIHEDEDVVKYLLVAADKYALERLKLVCTDMLCKSLNAKTVATTLALAELHSCSKLKDACIKYIMTSGRIGYVVASPGYQHLKKECPVAAQQSLSATRTASRCTAQTTRGTHIFEINGYSLHKGLGNGKFIRSAAFNVGGYSWCIRYYPDGSTGAESTDYVSVFLQLLSQKASVRANFNFWLVDWTTGSPTSILRDSDMSKFSTVPNAEIIQTWGIRKFMKKSDLEASAYLQDDCLVIHCDVTIVKQPQVAQAAVIEVRVPPSDLTDDFGKLLETGEGADVTFRVRREVFSAHRIVLAARAPVFRAQLYGQEQQLCNNILLGRSILLGFYPLQKMDGKLGLMTTMSTCVAVTTQGTHIFRVTNYSLHRGLGMGRPIKSGIFKVGGYDWAICYYPDGITLATRSYVGVALELITPNSEVRATFSFGLVNRQTKLSQVKVNVGEPQLCNNILLGRSLLGVYPLMKRSELEVSDFLQGDCIIIQCKLQLIGMPEIDMGTLSSIQVPPSNLIDNLQMLLKQKVGADVSFDVQGVKFDAHKIILAMRSPVFMAELYGSMMETRMQLITIADMQPVGFKALLDFIYTDSLNIMDDLEGEDITEMTLSKRLSAENVASVFHFADQNNCSKLKDSCIEFMSPSNRIIDVSMSQVKYRKYSPIFVLQPIYGSENKVGTDVSFDVQGVTFDAHKIILAMRSPVFKAELYGSMRRLECNL >Et_6A_047136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25812887:25813274:-1 gene:Et_6A_047136 transcript:Et_6A_047136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAVATLHDDTLAAVLGRLRARSLAAARRGFFVNYEYHGEPHFFARPAAAATWPRIDGEFGFIKKETGNWSRVRKSSFAAVWTYGRLPRPQDPFEDSDCTNGELTERAFRYRLPLLALLLCR >Et_4A_031778.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:13934048:13934377:-1 gene:Et_4A_031778 transcript:Et_4A_031778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNKNTNTCTSSLLLLVFVLLSLLLASQGRLLPYSSDTTTVQGRKLLSYVDGSSKPKGIFEGAVSPSSEIHDDKGNMVNIGENRPTPTGHSPGIGHAFINKNGPGRKL >Et_4A_035462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25543132:25546924:-1 gene:Et_4A_035462 transcript:Et_4A_035462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARGLWALLLLVALLAAAPGAVVVAQQQGGGRLASRSDLAALYALRGSLGLRARDWPRNADPCAAWAGVGCRSGRVVSLSVAGLRRTRLGRQRPRFDVDGLRNLTALEAFNASGFGLPGPVPAWLGAGLAPAFRSLDISACAVSGEIPASALAGLANLTDLNLAGNRLSGPLPAAALAGLTSLRTLNLSGNAFSGALPDAVWSLPGLSALDVSRNNLTGALPTAGPALPAIAQVVDLSGNLFYGTVPDSFSRLFARLLANISGNYFDGKIDGGGGNASFELNCFLDIPGQRSPADCQQFYTLRGLPYDGPVAAPAPQPAPSAPAGKKRHKNLKYILIGAIGGGLLLIAVIAALVLCFVCSGRRRRRTGSDQRESGAPSALPGVSSTGAAVVAGGSQPAALSSNMAKVGDSFAYDQLASITSGFGEERLVKHGHSGDLYYGVLQDGTAVVVKRITSRVARKDSYLAELDLFAKGLHERLVPFIGHCLDKEEEKVLVYRFVRNGDLSSALHRKSREEEEGMQSLDWIKRLKIATGVAEALCYLHHECNPPMVHRDVQASSILLDDKFEVRLGSLSEVCPQEGEGSPSATCSYDVYCFGKVLMELVTGRIGMSASNDASTNEWLDNSLRYINIYEKELMSKIIDPTLIIDEDHLEEVWAMAIVAKSCLNPRSSKRPPMKYILKALENPLKVVREDNGSSSARLRATSSRGSWNAALFGSWRHSSSDIGPSRDENILKRSETIKSSGGSNGDHSSSRRRQSKEIFPEPSDSRDTED >Et_2B_022769.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:4781386:4782828:-1 gene:Et_2B_022769 transcript:Et_2B_022769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRNAGTAALSHARAALFRAIAACRSRREQEALHCLVCKLGLTSDVVLATALLTRYAKRGLLAPAQRLFDEMLRRDAVAFNAMLAALGASGRVADAQTLFDGMPERTPASWNTMVTCYSRAGDLASARDVFEASLRVGSSSVVSWNAMIDGYCKAGRMDAARELFDRMGSSMRDVVTWNTMMAGYLRGGDPAATIAMFHRLMEQQQEDGEQRLRYTTVTIATVVTACTQMRDFALGRQMHLRIQQRGTRMDAVLSNALIDMYFKCGSVDQALDVFNTMPCFPNLFCWNTLIAGLGMNGRGEDAVAAFHDMVQGRKNGTAVNPDAVTFVAVLSACSHSGLVPAGRKLFSEMLPVYVVQPQTEHYGCMVDLLCRAGHVDEAARLVQTMPGRPNAMVLGSLLLDAGTEDGMRLSEWAASRISELDLRDGAAYGLSNVYASLQRWDRVEEHRRGVSVAVRRRGNGVRRKQPGSACYDVDSSCAT >Et_5B_043904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17513687:17523222:1 gene:Et_5B_043904 transcript:Et_5B_043904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPSPPPPQPSANPGAPPRVVRAPLHRPPTRAPGPPSWAERRPAVSVDLDRGRRSSRVEVDGVGAASLPARHRLRVEGSRWQRDWKVSEVAARVLALPPADAHAVDAVLNCWAGRFARRNFPLLIREITIAGSLKHAVHVFRWMKNQENYCARADIYGMMIRLHARHNHVDQARGLFFEMQEWRCKPDADTYNSLIHAHARAGQWRWAINIMEDMQRAAIPPSRTTYNNVINACGAAGNWKKALDLCKKMTENGVGPDLITHNIVLSAFKNGSQYSKAIAYYEIMKGSNVIPDTFTLNIVIHCLVKVGRYGEAIELFNSMRERRAVCPPDVVTYTSIMQSYSICGQAENCKAVFDMMVAEGVKPNIVSYNALLGAYASHGMHTEAVETFKSLKQNGLRPDVVSYTSLLNAYGRSALPEKAREVFNEMRDNSCKPNKISYNALIDAYGSAGMLKEAISLLHEMERNGIQPDAVSISTLLTACGRCRQLTKIGTILAAAKSRGIELNAVAYNSGIGSYLSLGDYEKAFQLYTLMRESSVKPDAVTYNILISGCCKLGKYTESLKFFEDMKNSKIHLTKEVYSSGNLIEAESTFNSMKESGCFPDVLTYTTMMKAYKDDGSWRRAWDLFKDMEGNGIQPDAIICSSLMEALNKGSQPEMVLHLMEFMIEKHISLNQKAYFEIIASCSMLRDWKTASEIIEHLDSSLSSISLGTLNHLLNFLGKCGKTDSMMKLFYKMVTSCSTVGLSTYMVLLRNLLVVGKWRKYVEVLQWMEDAGVHPTLYMYQSVLPYIWKDNSMDYVNLMQEKIRRERTNKLPATILRQKKLKA >Et_6B_049233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2120407:2126222:1 gene:Et_6B_049233 transcript:Et_6B_049233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEQIGLGIGGLGSKKWGRKLCDDDWDIPDLKKCQASSGECFKEVCFKHGDCWLCFYKNSNACVVDHAAGRTHHNCLTPAEEGCLRGGAVATFKHYNVALEFPSKSIFEDFTSRWKIDIEAAEWRYDKAPAVSMAILMYAYSTPAGHGLSEDMLAWFPEAARQFGGSMMVQVRKTTSLVTVILSHNREVRLDLEEVPMLLLFWSSWTKLR >Et_9B_064499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15863302:15869867:-1 gene:Et_9B_064499 transcript:Et_9B_064499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRALDPLCEFGDAYGFAVRPQHLQRYKEYAGIYKEEEEERFDRWKNFLARQPELSGQDVDQNARGGSSESLPEKTTPGPRKIEIWTLIRSSLSNIEQMMSLRVEKTQHSAEKQDAKDGAHPVKVEQGKLSEDSDDEFYDVDKVDPVQEVHSGDAGSTDVGNTSQEEDYISKEELECLVHGGLPMALRGELWQAFVGTGARRINGYYDSLAAEAELENNKFLDSSTSEAVHEKWIGQIEKDLPRTFPGHPALDEDGRNALRRLLIAYARHNPSVGYCQAMNFFAGLLLLLMLEENAFGIIDDYFDGYFSEEMIESQVDQLVLEELVREKFPKLANHLDYLGLQVAWVTGPWFLSVFTNVLPWESVLRVRDVLSFDGNRVMLFRTALALLEFYGPALATTKDAGDAVTLLQSLAGSTFDSSQLVLTARMGYQSVNETVLQELRNKHRPSVVSSMEERAKGLNGWTDTNGLASKLYNFKREPEPLVSISDSADQLSDVGDGDANQESDLGNMDDMYGGVTVNSEIDSLPDPKDQVAWLKLELCRLIEERRSAVLRADELETALMEMVKQDNRRQLSAKVEQLEQDISELRQSLSDKDEQEQAMFQEKYEEAMASLAQMENRAVMAETMLEATLQYQSSQQKALSPCPSPRTSLLDASHNPSQEFQPKKKNLLGSFSLSWRDKNKEKQINLDEFTTTKLSNNDDEMKYPIRMMRGQIETLKPDNEHTAESPEKDGKLRRLRNTMSRQRSK >Et_3B_028165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11102121:11115274:-1 gene:Et_3B_028165 transcript:Et_3B_028165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQERRDAEPGGEAAVSTAHNSNMQRVKVYRLADGGKWDDQGTGHVSIEYIEGSKELGLTVLDEEDNETLLVHNITSEDIYRKQEETIISWRDHDAATELALSFQEATGCSYIWDNICDIQRSLQFNNLGALEVGPRQTSDSLEASRILHSNDDTFRSANGDFRELPPVELSNLPLLLKTILEGGITDQIRVAELITQDRDFFPKLVDIFRMCEDIEDTDDLHMIFKLVKGIILLNSSSIFDKIFSDEYILDIIGALEYDPEVPRPQKHRAFLKDHVVFKEAIPIKNLSVVSRIHQTYRIGYLKDVILPRVLDDATLASLNTMIHTNNASVISLLKDDTLFIRELFAKMRSPDISMESKRELVLFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFEIISDVLQSQDRKIVSAGTDILILFLNQDPNLLRSYIVQQEGNSLLGLLVKGMVTDFGEEMHCQFLEILRILMDSFTMSGAHDEHLIRHVVKFNLLKPIIDAFVENGDKYNMLHSGVLELLEYIRKENLKSLVIYVIESFSDLLAKFEHFGSIQAFRLKYQQYLESAETRPSGSVPDTRKKAEERGLEKEEEDYFNEDSDEEDSGTGRRAKHVQNQHSKAKVANGRDVDDRSKSAGLVDYDDDDDEDFNPPPKEPDRPSEDDEPLKISTVRRKVTNTVDGKHADGEVRKRQKIETRITCAKIAAVTNMASKHMDLQSKHAPCSPTSSTQSTEANGVMGEHVTNSEEHHSPENTETLRQTSGDCINDASGLSTEKAVNTTTKASDSEPYSVR >Et_1A_008853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16158397:16161763:1 gene:Et_1A_008853 transcript:Et_1A_008853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHQRSRSASASSSATRSDIADLDFAAAVLDCPFGSVDALGPVELRETAYEIFFMSCRSSGGAGAGGRGGVVEGEVSSPVAGAGPRGGSGGSVIMGSRVKKALGLRPRRLSSGTQPMMGRTLSQTSGPASPGRARRPMTSAEIMRQQARVTDQSDARLRRTLMRAVVGQVGRRAETIILPLELLRQLKPAEFADAEEYHQWQFRQIKLLEAGLILHPSLPLDRLHSAVLRFREVMRATEIRAIDTGKNSDAMRNLTNAVHALAWRPSSSGGAADACHWADGYPLNALLYVSLLQTVFDLRESTVVLDEVDELLELIKKTWPTLGVNRVVHNVCFAWTLFQQYVVTGQIEPDLAAAALAVLVDVAADAKQLAGSRDPVYARVLVSALGAMQGWSEKRLLDYHGCHEKGFSGNATEGMEVLLSLALAPGKIVAGWEGAAVEGNFAGDRVDCYIRCSMKSAFTNILENGMDDVDSVISDRDHDPGVMLMQLARDTEQLAIFERRNFSPVLRRWHPAPVAVAAVTLHGCFGAVLRQYLAKVNILTEELVRVLHAASRLEKALAQMTAEDAADCDDGRAKARGGRHGALRGGGRRDGPAQDVDGRQAHAWQGLHPQS >Et_2A_016336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23497644:23500841:1 gene:Et_2A_016336 transcript:Et_2A_016336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHHHHLLLSVRHRPPPPRLCLLRSPRRPHCLVPRAAAIATVAESALQDFRRWLSSRGADEGKAYPAAVPEGLGIVAARDLPRGEVVAEVPKKLWMDADAVAASEIGRACAGADLRPWVAVALLLLREATRGADSPWAPYLAILPRQTDSTIFWSEEELLEIQGTQLLSTTMGVKDYVQSEFDSVEAEIINANKDLFPDAITYDDFLWAFGILRSRVFPELRGDKLALIPFADLVNHSTNVKSEGSCWEIKGKGLFGREASFFLRTPVDVKSGEQIYIQYDLDKSNAELALDYGFVESNPYRDSYTVTLEISESDPFYGDKLDIAELNGLGETAYFDVVLNEPLPPQMIPYLRLLCIGGTDAFLLEALFRNVVWGHLELPVSPDNEESICQVIREACKSALAAYHTTIEEDEELLKRENLSSRLKVAIGVRVGEKKVLQQIDDIFKQREEELDGLEYYQERRLKDLGLVSDNEAIQWFARPNLWADYFVSHGLNTKLHHISIEHHGIQDIAKHALPTN >Et_9A_062301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21755527:21758255:1 gene:Et_9A_062301 transcript:Et_9A_062301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEGLGAAKYHGRLHAPFHRITISGDVTSAKNSPKWVHSNEATDTAKRSCTWQIGTLQQKSLHFTGDELTRSGAQEVAVGGGDGMAEMAAVAKGAEDPGEHRAADPGLVARVANDGAELGDAVSKLTKVAVRARASRLPLVAQLCLEHALGVHLEVQPTRALLFLRVLLFLLLGATVHVHEHALLLAFPR >Et_3A_023242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13455912:13456370:-1 gene:Et_3A_023242 transcript:Et_3A_023242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMAVHPTQAQNSPQDYVTPHNTARAAVGVGGVTWSSKLEAFAKSYAAQRAGDCRLQHSGGPYGENIFWGSAGADWKAADAVRSWVDEKQWYSYASNSCAVVWRDSTAIGCARVVCRDNRGVFIICSYEPRGNIVGRKPY >Et_1A_007993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:462056:464874:-1 gene:Et_1A_007993 transcript:Et_1A_007993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEYRLLDSDGPQVALYFDLDLHVVCRIFQKHGAGPQNGAQYGAPFMEEEWEEDEDDAIDNKPAIGASANLAAISDTADEESNEEDEKGYCKTSELAQAHEMLNPPEMSGLQAQGSNETSDGSYADGAFSLEEIFSSTVSMENIDGSDGQIATEDNFSVADFSVSPMKDDGYAGQDGIINWSDPANGDCTNWPLRAYSNQNPVNGTLSGDEFFNTGNGTNEDAYSGQQQICQSDYQNLNLQADGFAAPRQVDDGMMFYDAPPSWVDGSDDLYVSDFLKEPLENEPLFDGVDLMDYFVDATEGDFKYDMSGSVEGSDYQLTEISNFTQKGDNKNKSTFGGISKTLVAEGQYGASSSGSHKDLYPDAAVPDGTADKTFGKRLVNMLGSIPAAPAMASEYPPETGKLALAGVNPSSIRVTAGIIQVGGLNFTGSEPWPLQKNGDFSLLLSFTVESDVTSKSIGFEPGTRVGAIPMMMRSGFYLFFVSAMILMLSYKVGSCIYSSGRGLV >Et_4A_034034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29369843:29370681:1 gene:Et_4A_034034 transcript:Et_4A_034034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARDVAARGLALAVITALLACRCAAQASSGSGCMPELVSLSPCMDYMSGNVTTPDGTCCSALSGVLRSSPRCLCMVVGGTAASLGVAIDSDRALQLPGACKVRAPPASQCDAAGVPSPSPAAGTMTPDTPAAAAPSDANSTPAGSGSKATPTSTVQHSDGNASMPRVIFAFMGVSAMALLH >Et_7B_054091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15221246:15226818:-1 gene:Et_7B_054091 transcript:Et_7B_054091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAVTARFTACLRAMMPVTGNRAAPCPRQRAAPRHAAPRPQLPDLRPQWETRLGGNEPGRTTCGGRRLAGARDASQGEEGRRATDWEKDGREGLQLELDLPWSIGVSCHGGLEYTAGDALTKGNRAQNNSTISALTNRVKTSLDAYMVPSPKKNELLLKLEAASINPVDWKMQKGMLRPLLPLRLPYIPATDVAGVVAAVGPGVNRFKPVDKVVAMLNTFYGGGFAEYAVAPARLTVRRPPGVSAAEGAGLPVAAGTALQAVRSIGARFDGTTTTSAAAQKNVLITAASGGVGHYAVQLAKLAGFHDTATCGARNMELVKSLGADEVLDYKTLEGASLRSPSGKKYDGVVHCTGVGIGWSAFKPVLSAKAGKVVDVTANASVVLRSVVHRVTFARKRLVPLQLWPNKTDLEFLVGLLEEGKLRTVVDSRFPLSQASEAWQKSIEGHATGKIIVEMEG >Et_10A_001871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9410736:9412078:-1 gene:Et_10A_001871 transcript:Et_10A_001871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVNPAFDKDHCIISATKVLAAVTNFLMPPSSQNGHTTHSHTPFIMYSSSSSSASSSLPASSSLALLVLVICTCLSLLFSNLRHLLKTNTQKAQTASHSMEKNVHEEKRILLQDEVPEDAPEDLMGSMSEPSDCTASDDERTEEDLVSYGDDEESLIEISLVDGHYVGVGQGEQCAFKEKDLLAEFLPDLVLDKRDFIDILSEISEEDSLIEIDIARGSIKCSNFGIKA >Et_5A_041675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25777191:25778330:-1 gene:Et_5A_041675 transcript:Et_5A_041675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLTSCGSHHANTRAIDGHLKAMAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVVCDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Et_2A_014763.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:13072974:13073012:-1 gene:Et_2A_014763 transcript:Et_2A_014763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMILPLPRDSP >Et_2B_019507.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:9603147:9603599:-1 gene:Et_2B_019507 transcript:Et_2B_019507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSGLHDAAGAAPLPLLLLASFASALASLFSSSASASPAAAGAGGASAVRFSSGLASLVALADYLAASCVSTADGAAAAGGDCTVCLSAIAEGERVRTLACRHAFHAACLDGWFDQSRLSCPLCRAGPTARDDDDAAGEDAVAWFARF >Et_4B_039163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8367267:8372018:-1 gene:Et_4B_039163 transcript:Et_4B_039163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSACQAGTDGPSRKDMRGIGNGTVENGHPADTEEWREKQEDLPNGHSAPSGAQQVDEQEPQGPTIQWGSFLPVKTLRVLLVEDDDSTRQVVGALLRKCCYEVIPAENGLHAWQHLEDPQSNIDLVLTEVFMPCLSGIGLLNKITNHKFCKDIPVIMMSANDSMSMVFKCLSKGAVDFLVKPLRKNELKNLWQHVWRRCHSSSWTKRAVEIDSPQPMSPDQSADPPDSTCAQVIHPKSDICCNKWLPTANKRSSNKQKENNDESMGKYLEIGAPRNASVYESSLNDGPSNPTEKRLETHLSQSKSEKNVVAENDGTVTEPNSQTADLISSIARNAEANRLVRMNDAPASTSEMPDGNDKNRDSQIEMKSHGLGLKRLKTTGAATENHDERNLLRQSDLSAFTRYHTSVASNQGGAGYGESCSPNDNSSEAVKTDSTCKMKSSSDATPIKQGSNGSSNNNDMGSSTKNVVVKPSVNRERVTSPSAVKSTQHTSAFHPVLQQTSPANLVGKDKADERIANTKVGHPTENGCSTAPCIARANMESVNGIVGKSGAGGGNGSGSGSGNDMYQRRDAQRAAAVDKFRLKRKDRNFGKKVRYQSRKKQAEQRPRVRGQFVRQSGQNDQAGEEADR >Et_3A_027272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6512415:6516509:-1 gene:Et_3A_027272 transcript:Et_3A_027272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGKTKLLPHLLDCHLVLPVTNLLRDDGLDIKEDGAHVKHRETEMAMGNRHLCCLTLLLLLLGLAFGQVLFQPIDAQMFQGFNWESSSQSGGWYNLLMGKVDDIAAAGVTHVWLPPPSHSVAPQGYMPGRLYDLDASRYGTHAELKSLISAFHAKNVQCVADIVINHRCADYKDSRGIYCVFEGGTPDGRLDWGPHMICRDDVEYSDGTGNLDTGDAYDHAPDIDHLNDRVQRELTEWLRWLKSDAVGFDAWRLDFARGYSAEVAKFYVDGTAPSLAVAEIWNGIRNGDDGRPAYDQDAHRQALVDWVDKMGGAASPAMVFDFTTKGILNAAVDGELWRLADAQGKAPGVIGWWPAKAVTFVDNHDTGSTQRRWPFPDGKFYDHFFDWGFKHEIAALVALRKRNGITPTSTLTILEHDADAYVAEIDGKVIVKIGSCFDVGNLIPAGFQVAAHGNDYAVWEKGVGRQMIPVPA >Et_5A_041535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2437675:2441356:-1 gene:Et_5A_041535 transcript:Et_5A_041535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKLSSGLFAGTHFNRKRFSADFARFQECPPPTTAAAAVPSAPASEKKRKRKSKAKAKKSKKKRDELAADSDVVEGFNVFKGLADKKPGEEFEKAQTSKDEDSEVIRRRKEIEREIERAAILRKRFDIHISGQNVPAPLESFEELVSRYGCDSKLFKNLSELRFQEPTPIQRQAIPILLSGRECFACAPTGSGKTLAFLFPILMKIKPGSKGGVRAVILCPTRELAAQTVRECKKLAKRKKYYIKLMTKDLSKSGNFKDMHCDILVSTPLRLDHAVRERGLDLSSVEYLVLDESDKLFELGFVEVIDSVVAACSNPSIIRSLFSATLPDSIEALARTIMHDAVRVIVGRKNSASSLIKQKLIFAGTEKGKLIALRQSFQESLNPPVLIFVQSKDRAKELYKELAYDDVRADVIHSDLTEQQRLDAVDNLRAGKTWVLIATEVIARGMDFKGLNCVINYDFPESAAAYIHRIGRCGRAGRCGEAITFFTEEDKPFLRNIANVLISSGCEVPSWIMALPKLKRKKHRVERDPISTLPDED >Et_5B_044890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:770157:778760:-1 gene:Et_5B_044890 transcript:Et_5B_044890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALKASIVTPPPLHQSLARRRRAALKPYALPTLGYFGHSAFRPYQREVIQKVLDGRDCLVVMATGSGKSICYQIPPLVTKNTAVVVSPLLSLMQDQVMSLKQKGVKSEYLGSTQTNSAASREAENGMFDVLYMTPEKAISLPPRFWSNLQAAGICLLAVDEAHCISEWGHDFRVEYKQLHSLRDLLVGVPFVALTATATERVRGDISSSLTLSNPHIVVGSFDRPNLFYGVKSCNRSMSFIDELVKDVSKRSTKGESTIIYCTTIRETEQLHEALVTAGIKSGIYHGQMGSRAREESHRSFIRDEVLVMVATIAFGMGIDKPDVRCVIHYGCPKSLESYYQESGRCGRDGLPSVCWLYYQRSDFTKADFYCSEAKNATQKKAIMDSFMAAQKYCMLASCRRRVLLQYFGEQRNTDCGNCDNCTAVKNERDLSKEAFLLLSCIKSCGGRWGFNLPIDVLRGSRAKKIVDNNYDKLQMHGRGKDYPPNWWKALGGLLIAHDYLKETVRDTYRSVSVSPKGAKFLSTADKMDGTPLVLQLTAEMIDLEENGTSQQKESSLNPVPTLESGKFSEDELKLYQKLLNVRMDLAQNIETAPYAICGDQTLRHFAKMRPSTGARLANIDGVNQHFISRFSGIFIQNITRLSKELNLALDDSPAVEDVAAVPKPVLKNNLPGNLADAKSTAWELFQKHEYSFLKIAYFRRAVPIKEQTVIGYILDAARDGYELDWSRFCREVGLTPEIASGIRLAIVKVGSSEKLKPIKEELPENVSYDMIKTFLTMEKSGFSEQIFGNVPTDRAPSGAVSHASEAGENGDKGDCVLVADACDSNPSSKRGQTDSMVASTNEPAMKLQKIQEHEIDSTGTTSATEETILELVGSRDGISSEDVVEHFKGSKRESVVEILNGLECEFLIYKKNGRYHILVRLGEPFTSDHAVLVCVHVHVRVLVSGKMCNAKRLFKRFCVCSDRPERRHQTEQAVIYIAWNIWKERCRRMFDNKAMTVSQVTTSALHQTGHSKLAHDNTIWE >Et_4A_033327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21550765:21553244:1 gene:Et_4A_033327 transcript:Et_4A_033327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEFVVPAFAFGFLSIGPENNEEDNDNLAYWLSNISTLIFLLQNIIEAADAPVSGSRIFGRSRKGRALRYGVEAKYHAMLFKQQLTPYAEKIYGIIRDNTKKKLSYFISLCIQAPSTMEASMLRVSGRLSGQSQSNPWHKIIEGLDNQLTILQDNHVPPIFAQKIFLQIFSYINVQLFNSLLLHREGCSFGNAEYVKVGLAELEVWCAKATEEYAAFSWEELIHIRQAVGFLVVIEKFGISFHELVNDLCPILTIQQLHRICTQYWDDKYNTQGVSSDVLSNMEALMAEDSYNVAGTSILLDDDSSLPFLMDDITDSMKQKDFSDVKPPEELLEDPAFQFLQNY >Et_7A_052351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7098699:7109940:1 gene:Et_7A_052351 transcript:Et_7A_052351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDTVESVAVVAVPFPAQGHLNQLLHLSLQLASRGLPMHYAAPGPHVRQARDRVHGWDPKALSTIAFHDLDVPAYASPAPDPSAPSPFPSHLMPMIETFHGAARAPLARLLARLSSAHRRVVVVFDRLNSFAAAEAARLGNGEAFGLQCVAMSYNLGWLDPGHRLLRDHGLRFLPVEDCMSREFVEFIFQAEQDEQGAPVAGLVMNTCRALEGELIDAVAAYPEFQGQKLFAVGPLNPLLLDEGARTPPGQARHECLDWLDEQPPASVLYVSFGTTSCLRADQIAELAAALKGSKQRFIWVLRDADRADIFADSSGESRHAKFLSEFTKETEGTGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPILAWPMHSDQPWDAELLCKYLKAGLLVRPWEKHSEVVPAEDIQKVIEEAMLSDSGMAVRQRAKELGEAVRASVAGGGTSRKDLDDFIGRGSGMEPVAVVAVPFPAQGHLNQLLHLSLQLASRGLPVHYAAPAEHVRQARARLHGWGDDALRRIRFHELPISAYVTPAPDPDAPSPFPSHLMPLWEAYTADAPAPLAALLEGLSAPHRRVVVVHDRINSFAAEEAARLPNVEAFGLHCLAASTLAGKMDAGLRLMRGRGLAFRGTEEYVTEEFMEYLKRARPSQEISPGAGILVNTCRALEGEFVDVLADHLAANGKKLFVVGPLNPVLDVSARSRKQSTPRHECLDWLDKQPPASVLYVSFGTTSSLRPEQIAELAAALRDSNQRFIWVLRDADRGVVPWDAELICKYLKAGMLVRPWEKHCEVIPAAAIRHVIEDAMLSEEGMAMRRRAEMLGGAVRRGSGMESVAVVAVPFPAQGHLNQLLHLSLQLASRGLPVHYAAPAEHVRQARTRVHGWGDDALRCIHFHELAISTYATPPPDPAADSPFPSHLMPLWEAYIADAPAQLAALLEGLSTPHRRVVVVYDRMNGFAVDEAARLPNGEAFELHCLAASTLAGKMDAGLRLMRGLGLAFRAADECATEEFMAYVRRARPPKKISPGAGILVNTCRPLEGEFIDVVADHLAADGKKLFAVGPLNPVLVVGAPKQSTPRHECLDWLDKQPPASVLYVSFGTTSSLRPEQVAELGAALRDSNQRFIWVLRDADRGVVHEEEAAEGRHAKLTAEFINQTEGRGLVITDWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPILAWPMHSDQPLVAELLCKYLKAGILVRPWEKHCEVVPAAAIRNVIEDAMLSEEGMAMRQRAKMLGGAVRASRADGGSSRKHLDDFIAYMPAPMDSVAIVAVPFPAQGHLNQLLHLSLQLASRGLPVHYAAPAEHVRQARARVHGWGDDALRCIHFHELPISEYASPPPDPNADSPFPSHLLPLWEAFTADAPAPLAALLGELSASHRRVVVLYDVMNAFAAEAAARLPNGEGFGLHCTAASSFVGRMDGGDALLRERGLRYLSVQDYVTAEFLEFVEKRSRPGDTIASSSGILMNTCRALEGEFIDFVAGQMAYAGKKVFSIGPLSPLLDGGASPTEQQGKLARHECLDWLDKQPPASVIYVSFGSMSSLRGEQIAELAAALRGGSHRFVWVLRDADRGNVYAGDDDSRRHAKLLAEFTKETEGKGMVITGWAPQLEILAHGATAAFVSHCGWNSTVESMSHGKPVLAWPMHSDQPWDAELICNYLKAGFLVRPGDKHREVIPAAKIQEAFEKLMSTDEGRAARQRAKAIGEAVRADAAVGGSSHKELDDFIAHITRAASHSIHSMITSKRDTLSLKKKKRGIH >Et_1A_008457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7823163:7823813:-1 gene:Et_1A_008457 transcript:Et_1A_008457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSCEKWRVQVLVLALAFLVSAFALVQCTGVDGEVKGGTAEPEPATGKTGPPLPPGWTGDSGSGQGSSPDGGGGSGGPGGYDGFAGGSGGGGGSGGSAGGDGGDGGGHHGEAGNGDGAGYHGLNGGGGWSKRGLFRGGRTAPKDGGGNN >Et_2A_016004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20033914:20060437:1 gene:Et_2A_016004 transcript:Et_2A_016004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRVCVTGGGGFIASWLVKLLLSRGYSVHATLRDPSDPKNAHLMQLDKAPGNLHLFKADVLDYDTITPAVEGCEGVFHLATPVPEDKISEVMDAAVKGTLNVLKVCSAAKIHKVVVLSSVAAVDFDPNAPQDKLKDENCWSDKEFCKENGDWYSVAKITAEEVALEYADKNGLNVVTLCPPLVFGPMLQPTVNTSSKFLIYVIKGGPDAMSNRLWPIVDVRDVADALLLLYQKKESLGRYICSPNHVFMKDLVDLLKKMHPEYNCVNKIIDADPKAPLTCKKLVDLGWVPRKLEETLADSIECYEKAGLLQDLQGRRRTKGVPSMAEMAQPPRVCVTGGGGFIASWLVKLLLSRGYAVHATVRDPSDPKNAHLKQLDKAPENLHLFKADVLDYDTLTPALEGCDGVFHLATPIEVLDIAVEGTLKVLKVSSVMKVQKVVVLSSTASVSFNLIGLKINSKMRRADKEFCKENENWYAVAKIMAEQAAWEYADNNGLNVVTLCPPYVFGPLLQPTVNTSSKFLIYIIKGGPDAMSNLLWNFVDVRDVADALLLLYEKKEASGRYNCTPYHICTRDLVGMLKKMYPKYKYVDKIVDADHGAPTTCQKLKDLGWEPRKLEEMLSDSVECYEKAGLLKDVPGHPCRLPYQEEGGMATPMPPPRRVCVTGAGGFIASWLVKLLLSRGYAVHATLRDLDDPKNAHLKKLDGAPENLHLFKADVLHYDTLKAAIEGCEGVFHLASPVPEDKILEMLAPAVKGTLNVLQVCSSAKVQKVVVVSSTSAVHFNPNWPQDKPKDERCWSDRKLCAEIGLYNFGVDGASSNYYCWQEWYAAAKTTGGPNAMKNIMWHIVDVRDVADALILVYEKPEASGRYICGGDNISTRAMVDLLKKNYPNNSYVNCNIDIDIQIMPISSEKLRSLGWKPRKLEETLLDSADCYENAGILQDVGQPSRLPYLFPASRPASGGGGYIASWLIKLLLSRGYAVHATVRDPFDPKNGHLGRLEGATENLHLFKADMLQPDALAAAVAGCEGVFHVACPVPMDKVADPKSDVLAPAVKGTLNILQACSSANNVQKVVVVSSTSAVHFNLNWPQGVPKDESCWSDKDLCMKNEDWYMAAKTVAEEIALDYAEKNGLTVVTVCPCVVLGPLLQPVVNTSSEFLIYVIKGGPTVMNNMLWHIVDVRDVADALLLVYEKAESSGRYICAPDRINTKDLLNVLKKTHPNYNYVKCTSDPDGKSIVTPITSQKLKNLGWKPRKIEETLSDSIQYYDKAGLLRDLEGHFVFLNLLLWLVMAGVSVCVTGASGYIASWLVKLLLSRGYTVHGTVRDLSDKKTGHLKRLENAAENLRLFNADLLDYDAMAAATAGCQGVFHVATPLQMLGPAVTGTTNVLKAASAANVQRVVVVSSIVAVEINPKNWPEGKIRDENCWSDREFCRNNKSWYPVAKIISEEAALQYVRQTGLDVVTVNPGLVFGPLLQPTVNASSQFLIYLLKGGPDQVRDKLWHIVDVRDVASALLLVYESPQASGRHICAPHVISVRDLLDLLKSKYPDYPCITKEAIYGMDHPAPMTSDKLKKLGWSYRALEETIEDTVQFCQDAGFLEDANGAPPCRRVVRAMAGRTAEGAARSGETVCVTGAGGYISSWLVKLLLSRGYTVHGTVRDFSDWKTAHLKQLENASENLKLFKADLLDYHGMAAAIAGCQGVFHVATPQQMLGPAVDGTTNVLKAASAANVRRVVVVSSIVAVDINPKDWPKDKIKDESCWSDKEFCRNTENWYSVAKITSEEFALEYGRRTGLDVVTINPAVVLGPLLQPTLNASCQFLVYFLKGGPDQMRNKLWHIVDVRDTAEALLLVYEAPEGSGRHICAPHFISARDLLDMLKSMYTEYPFVNNISDMDNDAPMTSDKLKKLGWSCQSLEETIADAVEFCKKDGFLDDMEGDPFRFPPVYNKI >Et_8B_059236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15720327:15724239:1 gene:Et_8B_059236 transcript:Et_8B_059236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATAQCFSYSSSPAAWALRQRGGAGMVRLSPRRRFSVAAAGGGYDNENREYVIVGGGNAAGYAARTFVEHGMADGRLCIISKEPVPPYERPALTKGYLFPPEKKPARLPGFHTCVGSGGQRQTAEWYKENGIEVLYEDPVVAFDGKTQTLKTSSGKILKYGSLIISTGCEASRLPGKIGGNLPGVHYIRNVADADSLVSSLGKAKKIVVIGGGYIGMEVAAAACGWNLDTTIIFPEEHIMPRLFTPSLAKKYEELYQQNGVKFMKGALIEKLEAGSDGRVSSAVLKDGSVVEADTVIVGIGAKPAVSPFEAVGVNSQVGGIEVDSLFRTSVPGIFAIGDVAAFPLKMYNRIARVEHVDHARKSAHHCVETLLTSQTKAYDYLPYFYSRVFEYEGSPRKVWWQFYGDNVGETVEVGNFDPKIATFWIDSDSRLKGVFLESGSSEEFALLPRLAKSQPIVDKAKLKAATSVENALDIARSSL >Et_9A_062266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21279767:21287012:-1 gene:Et_9A_062266 transcript:Et_9A_062266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTGGAQRAQTLRDLAEEGKKRAVLLLVFAFGLAFLMSLTSSSAWINLPFATALIVLFRYISLDYDIRRKATTPTDDDVGRPLVKTESTEIKKIPPIVNSGRSDWRSKVNSPPVEAAFEQFTRHLVTEWVTNLWYSRVTPDKEGPEELIYIINTALGEFSARARNVNLISLLTRDLVDLICNNLELYQLCQAKIGKEKFVNLPSERRDAELKLTLIAENKLHPALFSATRELLACAVLRPVVNLANPRFINERIESLALSRANKAEKGAGEPLEDVTTGKPKEPPMPSVDDFSALVDDSSPGVELVRFSQGQSKTVSDTQPSKSKDPSLKLKSPNTSLISSSHPLESTSLPSSSHVATDTDFPSNHKNSNKAARDNYDRECAPPFISSQHKHQAVAPEHLENMWTQGKNYKSENIKHVAKVPSLGSTPSVQQSVTCSTSICHRPSIPQRQTGFSRSEDQHLSRHSTTPTYSNGTNHLQKSVSAEMAGCASPEDFGAESESSYATEDDESNNITGLDSPVTRVWDSKSKGNFTSSHIHHPLESSGFHKTKKNRSHVGKLKVSRTSSGRKRSRSNALKSPVWEEAERSSFLVGDDSDILHSCANDSGTNGLLGDTEVESMATMFSTASASALSLASTDSSYSSNYCGANVLEDSYLKLRCEVVGASIVKSGSGMFAVYSISVTDANGNSWSIKRRFRHFEELHRRLKEYAQYNLHLPPKHFLSSGLEVPVVRERCKLLDVYLKKLLQIPIVSSCIEVWDFLSVDSQTYIFTDSLSVIQTLSVHLDEKSKEKDRKALRSAETLSGNLIPGSSLHGHNSVHKDRDIAGDDGLRFRKGSVEKNAVTNVSSTTANVYQDNSGSDPEQNDYSLSINSGNPKKPLSSETDGTSHILEPDGYSLPPNDWMAPNLSVPLRQAFWVAKQILQLGMGDTFDDWLVEKIQLLRKGRIIAFAVKRVEQVLWPDGIFLTKHPKRQVPSPSSAPSNGINRNLNDEQRLEAAHRAHFVRELIIDKAPYSLVSLVGRKEYERCAQDIFFFLQSPVCLKQLAFELLELLVRTAFPELDGTMRKWHEDKEQFRTLE >Et_1B_013773.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:1650594:1651904:-1 gene:Et_1B_013773 transcript:Et_1B_013773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLEDELFPSTPGKVKIERAGGAMNRQLHRCFASTSTMFLWALFLVAMTASYLSFQSFVDTSHKYFAASWGGLHWERQIRASAAPRRPSGAGMSVLVTGAAGFVGTHCSLALRKRGDGVVGIDNFNAYYDPSLKKARKSLLASHGVFVVEGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNIAGLVTLLEACKDADPQPAIVWASSSSVYGLNDKVPFSERDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKDHVDLARDFTYIDDIVKGCLGSLDTAGKSTGTGGKKRGPAPYRIFNLGNTSPVTVPTLVSILEKHLRVKAKKNVIEMPGNGDVPFTHANITLAREQLGYKPTTNLDAGLKKFVKWYLSYYGYTRGSKNLRQ >Et_8B_060307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:981919:984526:-1 gene:Et_8B_060307 transcript:Et_8B_060307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENYWRYADARQQQQAMVAAAAAAAGMAPQHAVNPAQSVAAAAGMNPQAAAMAQQAAAPPLKRARPDFGDVSTGQDMTGYYPREADRGGYHSLRENEAIGASYDRYLRNGMPSVGANDTGRAVVGGMAGGMGAGMAGYPVDDRRMMGVVGMDGRGMGYGARAEPPLPPDASSTLYIEGLPANCTRREVSHIFRPFVGFREVRLVNKESRHPGGDPHVLCFVDFDNPTQATVALEALQGYKFDEHDRESAHLRLQFSRFPGPRSAGGPRGRR >Et_5A_040749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1230505:1231541:1 gene:Et_5A_040749 transcript:Et_5A_040749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGGPHLWANLKVSFPNIKKFQNPKASFPLFDYLGELYDGHLAEGNYNITSAEPEEEEPLMPIPEAEDDIEKEVQLVYDLEDEEMQEGETEVRAREDDAPSREEEAPPRNRIQKKRQSRKSQPKAMWKAYLRGT >Et_9A_063056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9215088:9217515:1 gene:Et_9A_063056 transcript:Et_9A_063056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQGGEESSFTSEVKRQLRLAWPLVVSCVLQKLIQTISIMFAGHLGELALASASLATSFAGVTGFSLLTGMASSLDTLCGQAFGAEQRHLLGVYKQRAMLVLALVSVPVAVIWAYTGEILVLFRQDPEIAAGAGSYTRWMIPALFLFGQLQCHVRFLQAQNVVVPVMLSSGATVVVHVAVCWVLVRSLDLGVNGAALANAVSNLFNLFLMVLYVRLSPSCKATWTGFSREAFRGIPGFLKLAVPSALMVCMEWWSFEILVLLSGLLPNPKLETAVLSICFNTYSFAFTVPMGLGAAVSVRVSNELGAGRVQAARIATRVVMLLAFLLGISEGLVMVLARKVLGHAFSNVEEVVLYTARLMPILAVCFLFDSLQCVLSGIVRGRGKQKIGAFINLVAYYIVGTPAASIFAFIGHLRGVGLLFGILCGLVAHLLLLLTITLCANWNKEALKANDRVPRTTRPVEMRTSGCTKQEDGGASVGTHNTQVM >Et_5A_042888.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6283232:6283513:-1 gene:Et_5A_042888 transcript:Et_5A_042888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVPASGSGCRSRGVRCAGDVTARCPPELRQDGACNNARPVFKRDEYCCVGSAANRCGPTNYSRFFKQLCPDAYSYPKDDATSTFTCPAGTN >Et_1A_004593.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21942630:21942920:1 gene:Et_1A_004593 transcript:Et_1A_004593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSSVYSTSIHHFELYTEGFSIPAPSTYTAVEAPKGEFGVFLVSNGSNRPYRCKIRAPGFAHSQGLDSMSKHHMPADVVTIIGTQDIVFGEVDR >Et_3A_026144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4844642:4846827:-1 gene:Et_3A_026144 transcript:Et_3A_026144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSAASHHFTALQVLTVFSVLAVLVADVGGLHHVCHPFSCGGLSNISHPFRRQGDSPRCGQYELVCTDTNATIRIGSGTYYLVSINHTFHTFRVVDTNLVMKSSCPLPQWDYHAAGPEFVPDGLSLATFVNCSNKINDRRYRPVRCMSTSDSFIYLLTGHYTNSANNFKPSCGYLAMTPLDDTFLRVPENASYVDVVKYMRNGFILRFPSSISSVRGCLVESTSYFHEEPRNITGLRNQIMDTLMVDLYFWFCVTCELIRPTPNGVISRFIITSIRISLPAVLWALKIIHGTSDIHTLYHFIRSTHSEKAFNKPVFLQFVLGPLAIFTFLAHKCWKTRITLDAVEKFLRMQQMLGGPLRYAYTDITAITSHFREKLGQGGYGSVYKGVLFPGNVHVAIKMMSNNSKCDGEEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPNGSLDRYIFSSEKCFSWDKLKEIALGIARGINYLHQGCDMQILHFDIKPHNILLDNNFVPKVADFGLAKLFPRDNSFVPLSAMRGTIGYIAPEMVSRSFGAISSKSDVYSFGMLLLEMAGGRRNADPNVANSSQIYYPSWVYDHLVEQQVGDIFPIVDMHELEKKLCVVGLWCIQMKSHDRPTMSEVIEMLESDINGLEMPSRPFFCDSGEQVPVVGSYYLSSELDDIEEDEACGAPLQFTL >Et_2B_021289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28298699:28301201:1 gene:Et_2B_021289 transcript:Et_2B_021289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPCGSLAVQLLICCTLVLVFAGLVAPAPTTRRFKWDVEYIMWAPDCQQSVMIGINGKFPGPTIEANAGDLISVEVTNSMHTEGLVIHWHGIRQIGTPWADGTAAISQCPINGGETFTYEFVADKPGTFFYHGHYGMQRAAGLYGSLIVNGTDQQKEPYADEYDGELNMLLSDWYHENVYAQAAGLDGKGKHWEWVGEPQTLLINGRGQFDCSLAIERDRKTCDRRKTDAYCKEGDKSEWCELIRRSECGPFCEGTQCAPVVFDVEPGKTYRLRIASTTSLSALNVHVEGHKLTVVEADGNSVAPFEVDDIDIYSGESYSVLLKTDHRPQAYWISVGVRGRKPKTPNALAILKYTTSKSDWPAAVPPATPAWDNVTRSKEFTYKIKAREGTAGPPTAVDRRILMLNTQNYVDEHIKWAVNHVTLSLPNTPYLGAYFYGVESVAFDAATESPDTFDRGYDIRKPPEAQEPAAKAETAVSNRVYKVANGTVVDVVLQNANALEAGVSESHPWHLHGHDFWVLGYGEGMYEHERDFKRLDVTSPPLRNTVVVFPNGWTALRFVADNPGVWAFHCHIEPHLHLGMGVIIAEGMERLHELNVPKEAVTCGQATRAAVPLAPATSPSPPSL >Et_3A_023394.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:25205564:25205674:-1 gene:Et_3A_023394 transcript:Et_3A_023394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAKVVAHYGFIPLVILIGMNSEPKPRLAQLLSPI >Et_6A_048009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3397605:3404339:1 gene:Et_6A_048009 transcript:Et_6A_048009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSARVEKLFYEPDDFPGFQFKEHGQTDNNQHHSHPSKNCMSDDEEHEMTEDANDTPSGKGKKGSAWHRMKWTDSMVKLLITAVSYTGEDPGADLGGGRRNFTIMQKKGKWKAISKVMGERGCHVSPQQCEDKFNDLNKRYKRLTDILGRGTACNVVANPALLDNMNHLSDKMKDDAKKIMSSKHLFYEEMCSYHNNNRANLPEDPALQHSLQLALRCKEDHDSRRDVSGDADEDDQSADSDYYEDYEEHHAVHTNMREPSMLKRMRHSDMAFVNSSSHEGSARSDPHGITVDINKVLPDGTNLVLSQKSLASQSLEIQKRRLQIDAKELELTQQRLKWERFKKKKDRELERMTLENEHMRIENKRLELELRQRELELEPRTGEGVKFQCGFVHENDLGTASTAPPTKGGRNWASTCIKNTQAEEEQLMNLRGQMPRKAEEIFSQLLINVMPS >Et_3B_031590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5508099:5508954:1 gene:Et_3B_031590 transcript:Et_3B_031590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPALLLLLVASLLLLHDAANADCEPATCGDITSSSPCGPPAFQVWCVDGGRVASLSGSALQVRSVDYGNNSFVAVHTRVAGGDDAVCRTDFNISASIALSPFVFSRRNRALCFLYGCNGTEPRGREYVNATSSCSAPIYAYLGGGYDWNTPPGIDTGRCMFTYIPVLESEAATMTAVNYTRLLKDGFALEWQRGSVGDCPACVASGGQCRYDNAAATFACLCPGDGKLGAVPTCPARVDADAKSGHASIQVARLS >Et_3A_025169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27574561:27577505:1 gene:Et_3A_025169 transcript:Et_3A_025169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSEEPLLSSSSSNGNASQCKPLSLVIAISFVALLCGAAAFLLLNIAAPDLCPGTPNRSSCQAVVADAALSSPRAQPLRPADVLHAIVTRSLAPHDAAAAAVAAMHRRASDSRQNAALADCVQLMDLARDRLADASAPEGATATPDDDARTWLSAALTYYATCLDDVPLRDAVGARLEPLKSLASASLAVLNAVDVAGSGKRSVVRDALAEVVDDTFPSWVPARDRALLETAGAVNAADVVVAKDGSGRYATVKEAVDAAPDGGKGRYVIYVKRGVYRENVEVGKKKRELMIVGDGMDATVITGSRNVVDGFTTFNSATLAVSGDGIILRDLRIENTAGPEKHQAVALRVSADRAVVHRCRVDGYQDTLYAHTLRQFYRDATVSGTVDFVFGNAAAVLQGCTLVARRPMRGQQNAVTAQGREDPNQNTGTSVQGCRVVPAPDLAGVVPTFLGRPWKAYSRTVFMQSYLDAHIDPKGWLEWDGEFALKTLFYGEYQNQGPGAGTAARVRWPGYHVITDRSVAMQFTVGQFIQGGNWLKGTGVDYNEGL >Et_4B_038355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28470122:28472489:1 gene:Et_4B_038355 transcript:Et_4B_038355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGGKRAYSIIVPTYNERLNVALVVYLIFKHLPDVDFEIIIVDDGSPDGTQDIVKQLQQVYGEDRVLLRARPKKLGLGTAYLHGLKHASGEFVVIMDADLSHHPKYLPSFIRKQKETGADIVTGTRYVKNGGVHGWNLMRKLTSRGANVLAQTLLQPGASDLTGSFSKGYVFQMEMIVRATRKNYNIEEVPITFVDRVFGTSKLGGSEIVGYLKGLVHLLLTT >Et_10A_001524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4602341:4606233:1 gene:Et_10A_001524 transcript:Et_10A_001524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSSLKKDIRYLILCEPLTQRYRVIHQPQELVAGSNTFYFRRYYLIDGAAGREEEEAAGSGNIGMSNFRVVCEHYMGHGLRIMVFDPNDDGGSDDTWSAPWKEKDVSSIVEAGISGLRELGRAAGSWYFCDADRSNLLIVLDGSTGELSTSTLPSSETWTTGGRSCDFCVTEGRDGAPRVCSVVDGTMKVFAMRSNDGVFALEKTLVLSKAIRSWLNQPVRILTRGPGFIILLFSAFQEWTVSVDLETMKVAPGVDYMGPMLAAIMHLPEDIVGAILERIDSHVSLIRADRRADDGAASSPMPPSSAASAPSTRPPVAGDYCNYSPLPPFKDRAVLKTKDPVFFIPSPSSSSIDARHFSLDFLPDDGIVRRLVDSRGSLLLMYSHSRIGGAIIDDVVVCEPWARRYERIPPPPELTSATTAFSFGQFYLIDGAGTSNFRVLFETYMDSAMHIVVFNPDEDDGSEYSSSCSWKEKDVSRWSIVVPEVLSLSWARKLGRAAGSWYFYDTDEEDRTSNMLVGHDGKTGEFSTSMLPATENWGKGSNKFCVADGHDGKPRVCTVDNGIVKVFAMRDRGEWALEKRLLLEETIRGLPGYKPWYHQSLGILTRGQGFIILLLRTALVKWTVSVDLETLEVAPGADDMGPMVYRCELPWPPVLNACVDK >Et_1A_006393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21863842:21869378:-1 gene:Et_1A_006393 transcript:Et_1A_006393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLILSVSSPRLEEPSASFRPNPRKQLEAWKRTRTTAALEMLLRHHSSSRPRHCSTLLSALHCLAMSSSATSPPSTSAAADYHCRTKHSLTAGYARGPGRLDWANQPNPFLRFPPAPQIPLPNPPPALSAIPYPSLFHSSPPPPPPQALTVDSLSSLLFHSLALSAWKSTGVSTWSLRVNPSSGNLHPTEAHLVFPLPQEPDRIAVAHYAPRDHLLEVRTSTPIGDCSEILPSPATAVLALSSIFWREAWKYGERALRYCNHDVGHALAAVALAAAALGWDVRLLDGLSDEDLGRLVGVEKGCPAAPDGLPDKLLKGKAPWLERQHPDCAVLLFPAGSEPEVNYGLISEALKGLDELEWEGKASRLSKDHVVWDVIYRTADQVKKHSTASGERFFVLPWHNSPALLEGLYKELTVQEVVRRRRSAVDMDGVQVMGRDTFYQMLLHCLPSGVVGPGERQGPQSALPFRVLPWDAEVHAALFVHRVSGLQKGLYFLLRNEEHFDALRSAMRKDFEWVRPEGCPDGLPLYRLVKGDCQRLAMQISCFQEIASHGCFSLGMIARFEPVLREKGEWMYPRLFWEAGVLGQVLYLEAHAVGISATGIGCYFDDAVHEILGLQGLEFQSLYHFTVGAPVLDKRIMSLPAYPESIIRTKVYRNLFVNVAMATKCEQDK >Et_2B_022590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2729062:2732181:-1 gene:Et_2B_022590 transcript:Et_2B_022590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQTDGWERSDFPIICESCLGDNPYVRMLKADYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVRDTALAINSNDAIPRSDVNREYFAEEHDRRARAGIDYDSSYGRARPNDTILKLQRTAPYYKRNRAHVCSFFVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVAMKLLSKAGEMPSLTPPDDESIKTLYIGGLDSRVTEQDLRDQFYAYGEIESIRMVLQRAIAFVTYTTREGAEKAAEELANKLVIKGVRLKLMWGKPQAPKPEEDEAGRQGHVAHGGLLPRAVISQQQSGDQPQPPGMEGQQQPGPASYYFNIPAPPAAERTLYPSMDPQRMGALVKSQDGESKPGAQPAGQAQPSSSSGQSYPAPPPYYHGQYPPYYPPYGGYMPPPRMPYPPQYPPYQPMLAPPTQGQASSSQQPAQVQAGQQPPYGPPAQQQPHAPQTQQPPQAPPVQQQPTQN >Et_8A_057270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2381285:2385592:1 gene:Et_8A_057270 transcript:Et_8A_057270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLECGRHFCSGLGSVEYPYGHSRLHAQEKQHWVSVLSENPESAFCFKCDCVVDAPRGTISGLLAAAEPEESGNEPCNHVPNDDTHMEMISSLASEHGGKCVHCKTFRESSILVCLECGLHLCTGLGSADRRPSGHSRLHAKQKQHWVAAMFHEPESAYCFKCKYVVCVSVPPDDEEMDNFEAGGHAPKLLDRALNTITEFSNAYRAAYGYAIRGMPNMGHTCYLNAVVQCFLVLDKLRERMFAPDAPNGLFANALKGLLGGTSGAEYLLNPEQLLRCVGLYTSNQFPLFTMHDSHALFVSLRNGLDEEEEIKNRTEQKDAPTVIQSIFRFRVSEKMTCGCLSYSVSNHVDLFDISLPLPSKDHPAKSAASQRTSENIKSLPKQVATQFFPANEHSNSEKIQTGAESGDSHLLGSESKDVAVEETPEPFKVGEFMRRGTNSEALTAVVWWGWGAGDSDTVTTLNDSTEVQSKNVVHGRLQTQEDKVACPKLSQRITKVAVNSNVKVEEMNDTAADSVVSIEDCLSLFFEQVLDGWCCDKCDKICKEQSTNQSTNGDQMAASMKETTTVDGDQAEQSDRTTTVGGDSIQTNCFITLPPVLALHIRRSMKGETSEGKFKAYKVSDHVTYKEYLDVKPFMDPSSVDKDNCMYRLVGVVEHIGTVSVESGHYVAYVRVRKIGDPQQQGSCSHSWFCANDREISEVTLEDVLKREAYILFYERVEGKKDASVPTAWAPEAIFTLGAICLCLVLNQSGLVLCSA >Et_10A_001727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7394493:7399134:-1 gene:Et_10A_001727 transcript:Et_10A_001727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSRRRTKLGRLKEHPSDLLNGSSSHARPAKHLSLPSRDDAVTASVSGRADDLSYRCSSDTFDLDSRAFNMSENWGVLPTEGDKPIPRFYHAAAIIGSKMVVFGGHSGHNLLDDTKILNLEKLTWDSTASKVRPSPSGRSTKLPACKGHCLVPWGNNVILVGGKTEPPSDRLSVWTFNTETELWSLVEAKGDIPAARSGHTVVRAGATLILFGGEDTKGKKRHDLHMFDLKSSTWLPLNYKGAGPSPRSNHVATLYDDRFLLIFGGHSKSKTLNDMYSLDFETMVWSRVKTHGPHPSPRAGCSGALCGTKWYIAGGASKKKRQVETWVFDVLQSKWCVCVVPPNSSITTKKGFSMVPFYHRDKIALVAFGGNKKEPCNKVEVLVVLQNERSFSWRSAPDVDRVLYEYSPSNKELADHLNKCAPLYSNSSVARHSLTSVIEHPPESLSESLLKQKNLGTSLHGPLHQINECSLAQKLQKPVNDDRYEDDADDSSSCQESTLQVHRSSRTEAAIQIDMARSLAGKVENSVTGGSNPRRIARCSSDISQSQLYNTKIADLIRRNAALEDHLAIALASKEQLEKSLSSVIHSREQLEKMLTNKDKEAEMLKEKIAGLELAQEESNNLSNTVHADNVRLEREVAFLKAELHSTRRVLAGEQTRAFQLQVEVFHLKQRLQTLEGRSGTPTKEHP >Et_3B_028081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1025469:1026008:1 gene:Et_3B_028081 transcript:Et_3B_028081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFCFLPTSFAFLLTVTKMSITSSRHLRCAATLLLLLGLLRSAEVSVCSATDDDGRRYDTIFSFDDSFEFMLLSFAALSDASLIICFLSQTLLPGARLVLYVMADAAILRSCRNPRITIRAPRSRCGLGPTKREQRAWEAGGG >Et_2A_014860.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18904636:18904872:1 gene:Et_2A_014860 transcript:Et_2A_014860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHARDTTADGGRSRDEGGAEEECAVCLAEYAGGEEVRVLPACRHGFHRECVDRWLLTRAPTCPVCRAPVVAASAQE >Et_10A_001907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10785790:10786906:1 gene:Et_10A_001907 transcript:Et_10A_001907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMIGLLIAFTALVSPCAAAGWSSAGATWYGDRHGAGTDGGACGYGSAVRQAPFSSMVTAGGPSMFRNGKGCGACFQVKCTGHPSCSGSPVTVAVTDQCPGGPCLEGPAHLDMSGTAFGAMAKPGQADQLLNAGRLQVQYTRVQCHWRGGVAFVVDGGSNANYLAVAIEDEAGDGDLRAVELKQSGGGSAWQQSWGAVWKYNSGGGPLRAPISIRLTSGSGRTLVANNVIPAGWRPGATYRSVVNY >Et_4A_034686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5403915:5406639:1 gene:Et_4A_034686 transcript:Et_4A_034686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSAATPTRPPHNHPSAASPSPSPSSLRLWRPAAQRNLRNQWSRLFKAKDQWLAAAAEGLSHATALVNAHLTRRSMPGKDLGALKDMPGIRDKASGKLARREEQYRSMLLSAYQEMVHELSHLVNASRSMRCFSNVPANSAITQYSECQDDLNDSGDGGGVPVFKWLSILEFESLAQELIEMFVLELKLKRLLVLELLCLKDGIEHQASLDWADELYDGESNVLQSIGLQSGETCRLPENWHGDVLETRRRGDAPSHEVLEVYLTTWLANVNINESREEAI >Et_6A_047337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4135105:4140768:1 gene:Et_6A_047337 transcript:Et_6A_047337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETQGNNDGISAEASCNLSVDPTMQAAEILTNGYGLANEDILKEYNFLSHDNGRRFKAIFGAPNKFEYVPPPVKPYCSNVSVTANGSVVKFVNIFPRCCCGSQGATECRRSSHAYTIKTWTLRLDDMAWVMDGMLDSTEIWALDAYNGLPRVHMVSPIVSMEDPHIVCFAVCELHFIRDGDMTQWLILLDLRRKKLLLACRGRDNEMSGYRLGKHFIPSGISNYFNPYPSSSGSNCTSSMIQSQIGKNIVAPEVKESQGNVGGSAQSSWKASVDPAMEVSDVLAIFQEIPTYGLAQDDVVKAYSILSHDNGRRFKALSELPVSLRKDWLLMEIKDSEA >Et_10A_000760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16740863:16741989:-1 gene:Et_10A_000760 transcript:Et_10A_000760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPGFIFGCSHYAQNFFNERVYAGIVHLGRAPTSLVMQVAAHGFTRFSYCLLGGSKTNRQGFLHFGTDVPHNPHLKTSKILPVLNAHESEYYLSLIGISLGTSKLDRIRPEMFAHSKDGEGGCLIDPGTPLTVMVEGAYRIIEEAIWLDLQCHGAVRIKRSRFGLCVQATTATKEHLQSMSLHFSEEEAVLEFLPEQLFLMMNDKQGQIACLAMIPGHRTIIGASQQVDMRFVYDIKDSELSFAPESCSEDTIESKFIDTLGNAPSGRSVEKEQQAGRNTEY >Et_1A_006743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2723981:2725844:-1 gene:Et_1A_006743 transcript:Et_1A_006743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAVSFPVINMEKLKTEEKSAAMEVIRDACENWGFFELLNHGISHELMDEVERLTKAQYAKCQEHKFQEFASRTLEAGEKGENVKDVDWESTFFVRHRPVSNVADLPDLEDHYRQVMKEFASEIEKLAERVLDLLCENLGLEPGYLKAAFAGSNGPTFGTKVSAYPPCPRPDLVDGLRAHTDAGGVILLFQDEQVSGLQLLKDGEWVGVPPMRHAIVVNIGDQLEVITNGLYKSVMHRVLTRPDGNRMSIASFYNPGADAVIFPAPKLLGDEAERAETAYPKFVFEDYMNLYVRHKFEAKEPRFEAMKSPIATA >Et_3A_026645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11708688:11714247:1 gene:Et_3A_026645 transcript:Et_3A_026645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWCYIAMLVIVADTILDFAYAMYRRICQLNILGTDQQESDDQGAAPASESLILPCFPYEAEPPGRASETAMCVICLDELRQGELCSEVPVCRHVFHRDCLGKWTRSKDT >Et_2B_022269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16488896:16490742:1 gene:Et_2B_022269 transcript:Et_2B_022269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLAAAAFVRRMAPARPPVPVPAAAVSAEAEAVTCGRGDKKTKRGKRFKGSFGNARPKKEKKIERIKDRVESKGHEAIKMSWN >Et_8B_059219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15541772:15542952:1 gene:Et_8B_059219 transcript:Et_8B_059219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCVPPSHTWPYGSQKWVVLKCRKYLNEWEWPQRHQRSRSIAMSEHSDTFQTVLLMKSRVACMLQVVGGSSIRYFAKSWHVAGGPCSLSPATLWENEGKGHGLCIIVHSMQPAVFRALFHFTYTDSLPELSDIWMRMTRKSLFHICWWLKDICESILCKCVDMESLLTTTELTEHKASKVPR >Et_2A_018601.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34526319:34526894:-1 gene:Et_2A_018601 transcript:Et_2A_018601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLAAVLLSVAAAAAASPANNAAASSDLIRRSCRATQYPSVCVQSLSTYRTSPPPRSPTELARAALVVSVDRARAASSYVGRVCGPKARAGPVRDCLENMADSVGHLRDAAEEMGGGMGRAGSPAFKWHLSNVQTWCSAALTDENTCLDGLSRGVDASTRSAIRGKVVEVAQVTSNALALVNRVGPGY >Et_6B_049478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5414333:5415568:1 gene:Et_6B_049478 transcript:Et_6B_049478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNMMMPYVIAVIIELIYTGAYVVSKAALDQGINAFVFTFYRQAAASLLLLPSALAFERKKCAIFVPFVALEAIFVCLSRVPGNSRFEGIHERGLRATAYLYWTPSLMRRLRRIGGGGQGLRKKSRIWVPATRGGSRTRRAASGDYGAASRGSVRRPGRILPPRAVGGRGGGSVNDALRHGSRSLVAAEAERYDGAADAVMGREPPDAAPPHRLPPARSAS >Et_2A_014894.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20567542:20567838:1 gene:Et_2A_014894 transcript:Et_2A_014894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMADLIAAGLQSGWRALRRPATPAMCGHDMEVPDSELKRTRRSSKARPVGPARPVNAARMLTPGAVMSGCQRNRWRSVGQARGKSEIIPRQCAREILF >Et_2A_016507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25401262:25405491:1 gene:Et_2A_016507 transcript:Et_2A_016507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLPDEIHFAATVRSVYSRRAERRRVLLLAWRKRAMEILIYVSLAVLLVLGIVFVVPKSNHKGKMSRTYTKNEVSAHNTRKDCWIIIKDKVYDITSYVEEHPGGDAILNNAGDDSTEGFFGIDDKEEMLQKLQSPNVNPCAPPQFVDHDRKGGVPPSYVTIIPIGSHKEFQVEELIDVNGRPARGHERRHDFVVLGLRPELVVPGRGAVEKREGGGLESEVAGDTPQFADDGAENGLRSGARHSLAGVDEKQNIEEAPAAAARPSVFTVSVALRLCRRLCASGLCDGSCCLTWSAATAFCTQVWEPR >Et_7B_055284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:979342:982794:-1 gene:Et_7B_055284 transcript:Et_7B_055284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQEQRPLLEVEQCVTSIPEDHEANCWGCGLRLVFASYAPVFKCGWCGAITQSNQAARKSDSVCFSHWRRFRDRFFVTVLVLFMIFIICGGVWAVYPVVFSISNFWGIFHCTLTAFLAIFTITITTDKSRYQPRPLGGHRCQVV >Et_7A_050455.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16414519:16414728:1 gene:Et_7A_050455 transcript:Et_7A_050455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVIVATALFVLLTPGLLCTLPGRGRVAEFGSMHTSALAILVHAILYFALITIFLIAIGIHIYAG >Et_8B_060028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5129090:5129489:-1 gene:Et_8B_060028 transcript:Et_8B_060028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKRKLCCERCSETEQESKSGGTAMPIHCQQLNNSGLLDQTTVQDVDSLVMMIAWSIWKERHSRMFNNHRRTADKVMVKIMEGVQQWGYRMADDAQHPGCLCLLDS >Et_7B_055080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7021373:7025901:-1 gene:Et_7B_055080 transcript:Et_7B_055080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTDLENPTSRSSSQKSSRRSGSSRRSQKSAGQHSSSTSQEKRSKSKSLRQKHLFIDDKDVKKAKGNEQKIDVADERSNFLGYEVYAGKLIFDKKNKCTSDNSQLSENGKADAIDARLTSKALIWGSSVLILEDVISVSYNAGVKHFTVHAYPTKKPLFGKTRRVQKDFCFIASNLDEAILWVTCFAEQNIYINLLPRPATSSIKLDSDNPLSDNLFDQPPIKCKSPQRVLVILNPRSGHGRSSKVFHEKAEPIFKLAGFQMEVVKTTHAGHAKSLISTFDFSACPDGIVCVGGDGIVNEVLNGLLSRSDRAEAVSIPVGIIPAGSDNSLVWTVLGVRDPISASLLIVKGGCTALDVLAVEWIQSGEIHFGSTVSYYGFLSDVLELSEKYQKKFGPLRYFVAGILKFMCLPSYFYELEYLPMSKEMAGHGKGTEHDQAHLSDVYTDIMRSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTVASTEPSDYVRGLDPKAKRYSLSRSNIVSEPEEVLHPQPHHASYWPRTRSKARTERNSVGVTATYDTRSSWAAPSMHDKEDISSTISDPGPIWDCEPKWDMGPKWDNESTWEPDHPIELPGPLEGREIGAPEELVPNLDEKWVVRKGYFLGVLVCNHSCKTVQSLSSQVIAPKATHDDNSLDLLLVGGRGRWKLLRFFILLQFGRHLSLPYVEYVKVKSVKLKPGANTHNGCGIDGELCRVKGQVLCSLLPEQCRLIGRQCRQSI >Et_1B_009897.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9668983:9669816:1 gene:Et_1B_009897 transcript:Et_1B_009897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHTRLPPQGPSPPSSAAAGGGGVEFRRKLHFISAELHLDPFPLLAAHPALRSAPLPLLRDSLGLLTSHGLSARDASRVFSAFPSLLTSPPVEPLRFLSADAPLPPRLLRAAVVRSPRLLAASVPDTLAPALRFIRCRVSLRREPLPLAAALLLAFSVDRTLLPKLVFIRESTGLPDPAVCAVLRRAPAILSYGIETNLTPKLQFLAECMGRDPAAELADFPHYFAFSLEGRIRPRYEALRERGVEMSLKDMLTSNDDEFRDRLVDGTLSGTKARL >Et_2A_016248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22537609:22541403:-1 gene:Et_2A_016248 transcript:Et_2A_016248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNKAPSITAENINPKVKIFSYEPCGEIARHAERLEQELEENPGSLPVQEITYCNLGNPQALGQPPITFFREVLSLCDNPALLDRDETPTLFSTCAIKRARTIIEAIPSKDTGGYTNSRGVKNLRQAVADGISARDGFPSDPDDIFLTDGASSAVHLMMQILIRSHEDGILCPLPEYPLYSASIILHGGTMVPYNLTEDDGWGLEIFEVKRCLQEARSSGLTVRAMVVINPGNPTGQVLSITNQEEIVEFCRKEGLVILADEVYQDNVYVEDKRFTSFKKVARSLGYDQNDLSIVSFHSVSMGFYGESGRRGGYMEISGFGADVKKQICKVASLTICPNIAGQILISLVMDPPKLGDESFESFEAEKEKIRSSFWNRAKTLEKAFKSMEGISCNKIEGAMYFFPRLHLPPRAVKAAKAEGVSPDIFYAHRLLNSTGIAVVPGSGFHQEKIKAMIPRLKAFHEAFMNEFRR >Et_8B_059503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18554578:18582274:1 gene:Et_8B_059503 transcript:Et_8B_059503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAEGGPWLKSGNYHIGRQTWEFDKNFGSKEEREVVDSAREEFQKNRFTTRHSSDILARMQDEAAHVDLNQTTVSETLRKALNYFSDIQAHDGHWPGDFPGPVFTTATMIIVLYVTQSLNDTLSPEHVKEICRYLYNRQNVDGGWGLHAEGESCMLSTALNYTALRLLGQGVDDGPHMSMSKARKWIHDHGGVTMMPILGKVWLSVLGVFEWSGVNPIPPELFLLPSLIPMQPGRLWCHFRMAFIPMSYIYGKKFVGPVTRLVISLRDELYIHPYKRIDWKRARKLCAKEDVYCPHTWLQECISDYLYAFGEPFLTRCPISNIRKIALRQIAEFLKYEDENSQYICIGAAQKALSMLCCWVENTNSDAFKRHLARVADFLWVGEDGMKVRVCACQLWDVAFAVQAILASNFAEEYGSTLKKAHDFIKASQIMDNPSGDFSRNYRHISKGGWAFQVADQGWQVSDCTAEAVKLSPHTTADQMETSRLYDAVNIFNMTEIYTDIMVEHQYVECTSSVIQALTLFRERHPGHRKDEIDRCIKRSTEFIEKLQNDDGSWFGSWGICFTYGTWFAIEGLTAVGQNYHSSISIQKACKFLLSKQLRNGGWGESHLSSRTKEYTNLDGEKSHIVNTAWAMLALMKAGQAERDPTPLYKAARLIMIMQLSNGDFPQEEMIGSFMKNGPFPCATWLTATYFLYGLLGCIRKQYFTDILNLLSKATKYPSALYFAVSSRNNTPACNTSSLKRLPVYEGGFTLFRHPSHALRNCTHVVCHMGKSSRRQLKIAEGGPWLNSGNDHIGRETWEFDKNFGSKEEREAVDSAREEFHKNRFTIRHSSDIIARMQLAKENNFSFGTNKAKDETAHVDLNQTTVSETLRKALNYFSAIQAHDGHWPGDFPGPLFTTATMIIVLYVTRSLNNTLSSEHMKEICRYLYNRQNVDGGWGLHAEGESSMLSTALNYTALRLLGQGVDDGPHMSMTEARKWIHDHGGVTMMPILGKVWLSVLGVFEWSGVNPIPPEPFLLPSLIPFQPGNLWCHFRMAFIPMSYIYGKKFVGPITRLVISLREELHIHPYKRIDWSRARKLCAKEDVYSPHTWIQDCLSNLLYTFGEPFLTRWPISYMRNRALQQIAEFLKYEDENSQYICIGAAQKALSMLCCWVENNNSDAFKRHLARVADFLWVGEDGMKVRLCAGQLWDVAFAVQAILASNFAEEYGSILKKAHSFIKASQIMDNPSGDFSRMYRHISKGGWAFHVADQGWQAADCTAEAVKALLLLSKFSPHTTVDQMETRRLYNAVNNPNGGYGTWELARTYPWMEIFNMTGIYTDIMVDYQYVECTSSAIQALALFREKHPGHRKDEINGCIKRAVDFIERLQNHDGSWFGSWGICFTYGTWFAIEGMSVVGQNYRNSTRIQKACKFLLSKQLRNGGWGESHLSSRTMEYTNLDGEKPHIVNTAWAMLALMKAGQVERDPTPLHKAARLIMSMQLCNGDFPQEEMIGSFIKNGPLCYMAYRNIFPIWALGLYQKTVLH >Et_5B_043746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15114801:15116277:-1 gene:Et_5B_043746 transcript:Et_5B_043746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETYTASMIKIITAHNEAGLNKFTLSQLAHTTGNFSLKIEIGFGGSSTIYKGRLQDAVEVAVKRASDSGKALFGHFDCEIEYQLALYLSKFKTRLSFPYFFYVMFSIYRAKEAPLDWPKPCQIVIGIAQGAFYLHELCEPRIIHGDLKPANILLDSELNHKICDCTGVGAGSRGFIAPEYKLGGCLSAKSDVYSFGATLLQIISGRKVPPPPLALSDESRDFGPMNKWAWNLWVAETLMEFIDPSLHHEPRKTDIVRWVQIGLLCIQQDPEERPSMWDVLMMLCCENTILQQPSLPAYY >Et_4B_036376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20595558:20596592:-1 gene:Et_4B_036376 transcript:Et_4B_036376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLVLLLVPSAAAARVGPSVTKPIDASQTQHMELPDIVIGPESVAFDPHGGGPYVSVNDGRILNQIPIVAKEPLCGRPLGLRFHRESGNLYIADAYMGLMRVGPDGGEATMLVTEAEGVPLSFTNGVDIDQVTGDHEMVTKTGDSTGRILNADRTHLIVASTGPCKLLKHWIRGPNAGKLELFADLPGYPDNVRPDLKAIRIGAKGEKLQDMRGPKNVRPTEVVEREGGKLFLGSVELDYVSIVST >Et_3B_028679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1857638:1859538:1 gene:Et_3B_028679 transcript:Et_3B_028679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAARRLSSSAADAPAPKLSSLFAPGRNPKPRPPTPDSSDEPPPRKPRPRPRQLWEEAAATLLRRLQEGRYLPGPDISSVPHACSPDVVKAAAERFGLDHQVVAKWLSGSDLKKVALFGCPSVERRTVFASKRLRAFFNIQEEKVCSSCKLRSSCKFVNQEVPRHNKVILSDTMRIITLFVLGAYPQELQVTAELKASVCKLLKDTINLSS >Et_5A_041663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25556745:25590184:1 gene:Et_5A_041663 transcript:Et_5A_041663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRSYSMKMMRRYVNLVAANRSARTYSLHRLNFAPPKDNAFRMWHPDDMFTLVSPGSSEGKILHVSEEGPAVIYDADTRSTSTVPSLHGSWGCTLPMFIPIVGEGKETHSLYMIHDCYLKPSFKVLDFNEDPRKWQPLPRAPFAVAPDNYDDYNNDECNIGSVTVVDDDHTICVSTDSKGTYCFDTRSRKWWHAGDWSLPFDGRAEHVPELDAWIGFDSSSNYKLCASLDLSAKDASTLQHVWEDLRPPMEENEELVLNRRFLGAVVRRWKAWTLEDANLINLGGGRFCIAKVFMEQQVVHVGNGYDGHKKNQGPFIVLTGVEVLRGCNENDTETGLRMMKHKSKRYMSTDNEIKWMIRRFVNLVAANYSSRSYSLHRLDVAKHLFYPSTDEAEAANAANEESNGSGKPSKIGMLQQLPPATISKARILHFSNGGPAFLYDADARSTSTLPSRDGPLGYSSTFISIAGAGSGKENESIYLMNDHHDYPSFEVLDLNEEAPSRAEYVPELDTWIGFSSGGASSLPGDQLCASSDLSAAMEAPKLQHVWEDFRPLEEEEKFVMNQRFLGAVVRRRRDWFLEKANLLNLGGGRFGMAKVFMEQQTVSLSFGGVEEWKGPFLVITGVEVLRSEAGLRMVKHKSILSTDGKIKWMIRRFVNLVAENCNSYTYSLHRLDVAKHLFYASTADAEAANAANEDSSGGDEKPSKIGTLRRLPPARMSSKLLPPSRGSDARILHVTKAGSTFLYNANARSTSTLPCRDGPLGYISMLVSFVSGKENERIYLMNDHHDYPSFEVLDLNKRPRKWRPLPLPPFANDFCIQSFTVVDGGGTSCVSSNEKGTYCFDTRRRRWRHAGDWQLPFDGRSEYLCASSDLSGMDAPTLQHAWEDLITPVEEEEFVMNRRFQRAIVRRSKYWVLQQNHDLLNLGGGRFCIAKVFKEEETVSLGHEGLDVEHGTFLVLTGVEVLRGEAGLHMVKHKSKRYMFTDDDEIKWMIRRYVNLVAGDRSTHTYSLHRLDVAKYLFYPSTAEAEAANAADKNSNGSVKPSRIGRLGRLPPASMSFGQFAPPKDDARRMWYPDDMFTLVSPGSSEGKILHITEEGPAVVYDTDARSTNTVPSLHGHWGHNPPIFIPIAGDGEERDSLYMIRHSNKETSFRVLDFNQHPHEWQPLPPAPFTATAASDDDQYYGYSIGGDNDYCSIESVTVVDGGHTICVSTNRNSTYCFDTRSRKWWQVGHWLLPFGGRAEYVPELDIWMGFAIRSEANYHQLCASSDLSTMTAHRAPKLQHVWEDLRPPKELEDFVMSRRFMGAIVRRTKDWVLESHNLVNLGGGRFCVAKVFTEYRTVRIGFEGLENEQGPFLVLTGVELIRGGGDDGEAGLRMVKHKSKLYPTDRIKAEAEAANVEENSNGSGKPSKIGILRRLPPASMSFQQFPVPTDNSWPPKDMFMLLSPGSSEGRILHVTEEGHGFIYDADAQSGSTIPSRDGPLGYRPIFIPIAGAGAGKEKDLLYLLNPQPYDPHLSFEVLDFNEHPSKWQRLPPPPFAKGGIESFTVVDGGGTSCVSSTNEGTHCFDMRSRRWWRAGD >Et_9A_062947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7179153:7183592:-1 gene:Et_9A_062947 transcript:Et_9A_062947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALLLPRRFLAPSAAAPASSCSASSSAPSRWSFSAASPRRALLTAAHPRSRRLARVKVRAPFFELGVALERSRLCRPWPWRGGALGLYDEFAVLVHAAVEESSEQPKWWEKNAGPNMVDIHSTQEFLDALRDAGDRLVIVEFYGTWCGSCRALFPRLCRTALENPDILFLKVNFDENKPMCKRLNVKVLPFFHFYRGSDGQLEAFSCSLAKFQKLKDAIAVHNTARCSIGPPAGVGDVNLLDSSSPQEKPAEASSR >Et_4B_037333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18568706:18572534:-1 gene:Et_4B_037333 transcript:Et_4B_037333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSRSLAVTCLLALLLAGCLAAALPSADARRLLAAAMPPAGAESLAMAPAPESGADDHAGRMLFEGGLRLAGRLLSSLGLSIVATCLLALLLAGCLATALPSADARRLLATAMPPAGAESPAMAPAPESGADDLPAGRMLFEGGLRLAGRLLSGLGL >Et_7A_052496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8660006:8662083:1 gene:Et_7A_052496 transcript:Et_7A_052496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKAFFTDDGIALLDKRFLPAMDKVGRVCHVYLTPTHAMLVHNLLGATAAGPDGGGPQCVAQFARDLLFRDYNLSSRNGNRIAFAVDIALLHRALRSVLAVQAQSPAAGYAPGAIQDVPISRPLSRSDVERLQAALDAAQELPQTLVQVPDLPQFQSLVDRLKNVGDLLTVAVTQYGDLHLQVSTSLVTVGSEFRKLRVLGDRANAPVGDQNLTASTRMDMAVERGEALSVQVNMKHLVKSLHCHLAKPDCTFYGIAPHGACLTVIFQYFIPGTRLTDKSISFYCRLPVLDPGSS >Et_4A_034355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3258306:3262252:1 gene:Et_4A_034355 transcript:Et_4A_034355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCRREQSSLALERRLHLAGKQALLVWFQLSCHAITNNAATQRTRRASEILTAPQTHTQQPQQTPRRQPDTEATQPSVEKRQREKEREMRSRVALEPLAEEPGGGEDETSRRRSGLHAALHRWARLLSGGAAGDDARPAADLRVLLSVLACPLSPVPLLPRLPRNVASSAQYIIEQFRATTGCAKLEDGAVKSMYASGRVRLAMLQDPTAGGHGGGGGGGKTHEGSFVLWQLAPSMWLVEMSVAGQHVAAGSDGRVAWRRTPWLGAHAARGGSRPLRRALQGLDPVTIASIFSTAEHAGEKQVDGEDCFVLRLDVGPSVLSSWSDGTAEVIRHGLTGFFSQRSGLLSRLEDSQLTRIQSPGAPAMYWETTIASTVSDYRAVDGSGGVAVAHAGTSTAHLARFGVGVRAARVVTRMEETWTIDDVAFNVPGLGPDAFIPPEEVRRSRSYGAIAAGK >Et_1A_009455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5980700:5981952:1 gene:Et_1A_009455 transcript:Et_1A_009455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRAPCCAKVGLNRGSWTPQEDMRLIAYIQKHGHANWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTAEEEETIIKLHGMLGNKWSKIAACLPGRTDNEIKNVWNTHLKKRVAQREKQKAGAASGDADTPNTSSSASSSTTTANSSGGGGGDNAGEQCGTSKEHDMIDLPPLESDIDISDMLVDAPTEAFPPAQPMPLSPCSSSSLTTCAGAGGVEDLIELPVIDIEPEIWSIIDGDGADVPDDSGARHGDATVPCTGHAVSTSEPGEANDWWLENLEKELGLWAPTEDSQAQSDLLGHMSFPVPLGDTEGDPVSTYFQCGPTTAELQEVVGSPAVLL >Et_3A_025054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26424663:26432739:-1 gene:Et_3A_025054 transcript:Et_3A_025054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSTWAVGHRPGASASPLVHSTLTSGSSESSSLAFHLRHRLRPAIRLAPSSDLTFLGLGRLLKPFALLARTELNQKPHGLGRRISNLFTSHAMSRIASSRLLPRISALSFSTASPAAPAASSSPASASAAAAASDASATGDPSSPPPTAASRPWRALKFAAFAAVSAVVGTTGYVSYACSLEEVNQMALELRKNSKRPIPEDMSGFEKFKAMAYSTATKVPVAAIELYLDVRSQIEDQIRGFSEPVSDKLLPDLAPQDQHVLTLVLDLNETLVYSDWKRERGWRTFKRPGVDAFLEHLGKFYEIVVYSDQLSMYVDPVVDRLDPKGIVRHRLSRVATKYENGKHFRDLSKLNRNPGQVLYISGHALESTLQPENCVPIKPWKLENDDTQLLDLIPFLEYVAMARPSDIRIVLASYQGRDIATEFIERSKEHQSQRASRLLILCWGNRVLVAAPNRTEIDLHDTLALARTKTTRTLMAEERRIICLSLEVRKW >Et_7B_055584.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:15675265:15675666:1 gene:Et_7B_055584 transcript:Et_7B_055584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSFLFLLLLSLLVAAAAEKARAPAATVVGGRRDIKDVSSNKEVQSLGRFAVAEHNRRLRHGGAANDPVPVLLSFTAVAAAQEQVVAGVAYYLKVIARDRAAGGDRPFDAVVVVRAWLRSKELVSFTPSPK >Et_4B_038127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26495662:26496947:-1 gene:Et_4B_038127 transcript:Et_4B_038127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IFDLVEVSFLLICTKMPERSESSTEKIMNSIMDTIADNLPRQKSGHFDPSSVSEKVKNKLFGRQDTVHRVLGGGKPADVLLWRNKKISSSVLALATAIWLPEELFVNIAVAIGVQVNKFLSFLQDVSCERNLKHFVVAIAALWAAAVIGSWCNFLTVVYIGFVCAHTLPVLYEKYEDQVDDFLYSILGLLRDQYQKVDRGVLSKIPKGNLNAKKSE >Et_10A_001170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21864121:21877900:1 gene:Et_10A_001170 transcript:Et_10A_001170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILTYCVFSLHDTAYSEIFSLWTVSDRKFGGLSFSSKDVGQVLTVTGASLLVYQLFVYRWVDKILGPIYSARVAAALSIPIIAAYPFMTHLSGLRLGVAMYCAAMIKSVLAITITTGTSLLQNNAVPQEQRGAANGIATTAMSLFKAVAPAAAGDHMVFLLLNLTEVIGLMLTVILNALFGLSRTYYMAIATRLVLGALNGLLAPIKVNTAWGFGLIVGPALGVSCAWLPETIHTHKCPKKEIIIVNAKWSKECSWDSPRKKSLLKNRPWISTVLPYCIFGLHDTAYSEILSLWAVSDRKYGGLSFSTEDIGELLIYHWVHKILGTINSSRIASAVSILVLATYPFMTYLSGIKLSLALCSVAVMKSALAITASTGISLLQNNAVCQEQRGTANGISTTAMSFFKAIAPIGAGAIFSWAQKRQDVAFLPGDQLVFMMLNLVELLGLISTFKPFLVLA >Et_9B_064159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11984361:11986810:-1 gene:Et_9B_064159 transcript:Et_9B_064159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSVSIDVERIFFGGTEHRIETRCGTLSVSVYGDEDKPALITYPDVALNNMSCFQGLFFCPEAASLLLHNFCVYHITPQGHELGAAPIPPDVPVPSVDDLADQVADVLDFFSLGSVMCLGVTAGAYILTLFATKYRERVLGLMVVSPLCKAPSWSEWLYNKVLLNLLYYYGTRGLVKESLLQRYFSTEVRGNGQEPESEIVQACRSLLHERQGANVWRFLQAINRRHDLTDMLKKLQCRTLIFVGEYSQFHADAVHMTTKLDQRYCALVEVQACGSLVTEEQPHAMLIPMEYFLMGYGLYRPSQHESSPRSTLSPICISPELLSPESMGVKLKPIKTRISLNA >Et_4A_033276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2089729:2092261:1 gene:Et_4A_033276 transcript:Et_4A_033276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAINPSFSNALRTGEVNPG >Et_9A_061970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18415053:18418448:-1 gene:Et_9A_061970 transcript:Et_9A_061970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATTAALAAASVPLHRRACARQCLTPASCFLPSRALLNSARLTAPGPALPRVHEPRLPQTAVRATARSPTSGGQMLVFVPPHPLIKHWVSVLRNEQTPCAIFKSAMAELGRLLIYEASRDWLPTITGEIETPVAVSSVEFIDPREPVMVIPILRAGLALAENASSILPATKTYHLGLRRDEETLQPSVYLNNLPDKIPEGTRVLVVDPMLATGGTIVAAIDLLIDRGATSKQIKVVSAVAASPALQKLSEKFPGLHVYTGIIDPEVNEKGFIVPGLGDAGDRSFAT >Et_4B_037311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1859285:1876763:-1 gene:Et_4B_037311 transcript:Et_4B_037311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAMEKGELQGGAAEYTQDGSVDMRGNPVLRSKRGGWTACSFIVVYELVERMACYGIASNLVIYLTDKLHQGTVEASNNVTNWSGTVFLTPLLGAYVADAYLGRYWTFVAGSAIYLMGMVLLTLAVSLPALKPPPCDGSVCPRASTLQLGVYFGGLYIVAFGNGGTKPNISTIGADQFDEFDPREKMHKLSFFNWWMFTIFVGILFSSTVLVYLQDNISWSIGYGIPTLGLMISISIFLAGTKLYRHKLPQGSPFTRMGKVIAAAVSKWRLPVPADAKELHELELEAYTKKRKFRMDSTNTMRFLNKAAVKDDDDDGSGSPAKWNLCTVTQVEETKQIVKLVPLLVTMFVPCTLIAQTNTLFVKQGTTMNRHMGPHFQIPAASLGAFVTLTMLVAVVVYDRLFVRAVRHYTKNPRGITILTRMGIGLVLQVVTMATASVIENRRLDYARSHGLDKTGRQLSLTIFVLLPQFVLMGLADAFLVVGKIEFFYDQAPESMKSLGTAMSLLAYGIGNILSSFLLSLVTRITSARGNAWVTNNLNACHLDYYYAFLTVLAAVNFLVFAAISSRYRYRAESTETIDIVMGVQVEKAMTSASGRAASLQMAAMEKGEAGAAAQYTQDGSVDLRGNPVLRSKRGGWTACSFIVGTMAFYGIASNLVIYMTDKLHQGTVEASNNVTNWSGVVFLTPLLGAYVADAYLGRYWTFVVGSAVYLMGMVLLTLAVTVPALKPPPCDGSVCPPASALQLGVYFAGLYIVALGNGGTKPNISTIGADQFDEFDPREKMHKLSFFNWWIFTIFVGILFSSTVLVYLQDNISWSVGYGIPTLGLLISIAIFLAGTKLYRHKVPQGSPLTRMGKVIAAAICKWRVPVPADAKELHELDPEVYTKKRKYRMDSTNAMRFLNKAAVKDDDGNGGSLPKWTLCTMTQVEETKQVMKLVPIFVTMFVPCTFIAQTNTLFVKQGTTMNRHLGADFQVPPASLGAFVTLTMLVAVVVYDRVFVKAVRRYTKNPRGITILTRLGVGMLLQIVTMAAASVTENRRLGYARSLGLDKTGKPLPLTIFVLLPQFVLMGLADAFYVVGIIEFFHDQAPESVKSLGTAMSLVAYGVGNILSSVLLSAVTRITRDRGNAWVTNNLNACHLDYYYAFLTVLGVVNLLAFVAISCKYNYKAESTETIDIVTGEKGDKAMVPSEPVTAELRINHRGGQANGQMEICSMSGDSYRLCK >Et_3A_024360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:283309:284842:-1 gene:Et_3A_024360 transcript:Et_3A_024360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCLPFLLLLLAVALLFPAGRCSETPQYTTVHTESDFEVRQYRDTVWMSAPSDHSSFHVATKLGFHRLFQYLMGANLNSSRIRMTTPVLTSVVPDAGPLHSSAYFVRLYLPVKFQASPPVPLPELNLHPDRWPSHCIAVRSFSGYARDQNVVEEAEKLALSLSQSPWANSTNHPSKTAYSIAQYNNPFRIIGRVNEVWFDVNCKSTGIETY >Et_10B_003332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18887240:18890426:-1 gene:Et_10B_003332 transcript:Et_10B_003332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKVKRRVGKYELGRTIGEGTFAKVRFARDTETGDPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTKIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSYGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITIPEILEDEWFKKGYKRPEFDENYDATLDDVDAVFNDSEEHHVTEKKEEEPVTLNAFELISMSEGLNLGNLFDSEQEYKRETRFTSKCPPQEIVRKIEEAAKPLGFDVQKKNYKLRLAKVKAGRKGNLNVATQILQVAPSLHMVEVRKAKGDTLEFHKFYKNLSKTLKDVVWKSDDTKIQPAS >Et_7B_055589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1627385:1632226:-1 gene:Et_7B_055589 transcript:Et_7B_055589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPGKAVILLQGRFAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFIKLVNFTHLMPTRYTLDVDLKDVAAGPDALATKDKKVTACKAAKARLEERFKTGKNRISHKCSITTKLLRLNQELSYKLKEGISKLSSIADLLITNKEHNNTT >Et_9A_061643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14759723:14768221:-1 gene:Et_9A_061643 transcript:Et_9A_061643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALAPRSAHVLAAERASLKRPRGAVAAAPVACGKMKFAHRVVAAKPSAPIVAAPQPPPRRILVAKWLPAGAPSAEEAVKRRKTSSSPSKPAATQAAQQQVATPATAQRPMASLVDKAMEVMRRRPRDEIAIAREKFRRELIEVEKAAMPDETISPEDLAELGLTELQYAVTPTRKQALRAARELIPSPEFLKQFEIFEQSCDPSVKFHNQRRVV >Et_4B_039846.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3967666:3968961:-1 gene:Et_4B_039846 transcript:Et_4B_039846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQQGRADMEQQQQQQVAQLRAELRKVRDERDRAHRVLEVTEWKALASANDRTTIEAELEASRDSEKRMLDSLALQTKQLELTKIALEEARLEMATLHETVRRLEARLAQVAPVPTTPRGRHDRDLQRVHGELRVALAAEEKSKKAMEEFVTALKEVNAELAATRQQLSRAQHEAEAARMEADRAHVSARRKDDRLRALSDEVVRLRAEAEESFAAWRGKEAGFTACMKAHEAELADARREAARLAESQRSGRAEVSKLRDILRQAVRDTKVVKEALEEARAENAVLKGIVGDKDKAVKCTVQELENLRVSEAAARDSVRELQSILEATSSSPTAAMAAAAAKMDVVAAETPSPRGARVAVPVLDKYPSDSKIRPPAGLTRPQRMSETFEGSVYDIFGSAEDQKLPARRRAAMRKVGSLFRWKSFSNK >Et_5B_043785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16033322:16038041:-1 gene:Et_5B_043785 transcript:Et_5B_043785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRESGGGPGAARRADAQAPCQICGDEVGVGFDGEPFVACNECAFPVCRACYEYERREGSQACPQCRTRYKRLKGCPRVAGDEEEDGVDDIEGEFGLQGGVRGDADDPQLVAESMLRAQMSYGRGGDAQSFGAAVPNVPLLTNGQMVDDIPPEQHALVPSYMAGAGGGGKRIHPLPFADPSLPVQPRSMDPSKDLAAYGYGSVAWKERMEGWKQKQERMQQLRNEGGGDWDGDDADLPLMDEARQPLSRKVPISSSKINPYRMIIIIRLVVLGFFFHYRVMHPVNDAFALWLISVICEIWFAMSWILDQFPKWLPIERETYLDRLSLRFDKEGRPSQLAPVDFFVSTVDPSKEPPLVTANTVLSILAVDYPVEKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFSIEPRAPEWYFQQKIDYLKDKVAASFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGSPWPGNNVRDHPGMIQVFLGQSGGHDVDGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLSNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCLCCCCSDRKNKKKTSKPKTEKKKRLFFKKAENPSPAYALGEIEEGVPGAENEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSITEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSKHCPLWYGYGGGLKFLERFSYINSIVYPWTSVPLLAYCTLPAICLLTGKFITPELTNVASIWFMALFICIAVTGILEMRWSGVAIDDWWRNEQFWVIGGVSAHLFAIFQGLLKVLAGIDTSFTVTSKAGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGISNAINNGYESWGPLFGKLFFAFWVIIHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKSDGPLLEECGLDCN >Et_8A_056117.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:22224982:22225686:1 gene:Et_8A_056117 transcript:Et_8A_056117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGRGAALAAVVALLVVLAAAAVRLANGAVTCADVNSSLRPCVGYLTGKEAAPPAECCAGVKSLRTLPVGTAERRQACECVKQAAARFQGLNADAVRDLPEKCGSPLPFPISLSFDCTT >Et_3A_027251.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:5878215:5878781:-1 gene:Et_3A_027251 transcript:Et_3A_027251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAAEKQAQAQPQRAPAPVAAADAEMQKVFSRFDADGDGRISPSELAAVSRAISPPPSSSHGGREVAAMMDELDTDRDGYVDLGEFAAFHGRGRRDSELDAELRAAFDVYDVNGDGRITAAELGKVLARIGEGCSDEECDRMIASVDVDGDGCVGFEEFKKMMSRDGAAAAAAVPAAAGLDDKAKKE >Et_10B_002949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14542450:14546029:1 gene:Et_10B_002949 transcript:Et_10B_002949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLPPLPIDLLLKIAVRTNPATLMRGATSCRDLHHHISKPELRPRFHLELKRAIYTNACVTMKYVCNTFLLLLELCNLATGRIQALPPGLFYGKGYVLTTGGEGGGIGWHFKVLVLYITSQRAMTCKALPCDISDDDSGPAPPHRRPLVPIPRKRSPGQGAQELVMNVPHLLLIRQSMYHNVVSVSEVEDILDISNMQTYVNNSANCKGSHPQLCGSGASFGKALSSSSSSHNCETCYRVLLDEFSFCSLGCDVDHKDDATARFGLWTELRLEMEPKFESDEGEDKPKEMKKKDALEQHLCRERLTPRAADEQTRMEKQLIEAAIGSVRLEISMLKNNYDIKDVREPFPALMPGGAWGAVRSVPQQASGATFDHAKPPVVVNRVVHWLKCDTLRAFIYDVFVVRVVVGSTELIKGPSKLVSQVLVPWNAMMLASTADRRLSMVLADEMEIFVLVLFKELGTWELCLHCKGWRPRAASVAPLGLVRRRERHPGHYHELCAGLDPAEPGDKRGGAHVHTGGAAVAVLPV >Et_1B_011083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17332100:17335237:-1 gene:Et_1B_011083 transcript:Et_1B_011083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEPEGAKKPVLPVAGRRNVLVTSALPYVNNVPHLGNLVGCVLSADAYARYCRLRGHNVLFICGTDEYGTATETKAREEGCSPREICDKYHAIHKEVYEWFDISFDHFGRTSSPQQTEICQDIFRKLLDNNWLSENTIQQLYCNSCQRFLADRFVEGSCPKEGCGYESARGDQCEKCGNLLNSTELIDPKCKACVCGSTPCVRDTDHLFLELPLLKEKLEEYINETSGTGCWSQNAVHATNAWLKEGLKPRCITRDLKWGVPVPLEKYKDKVFYVWFDAPIGYISITACYTPEWEKWWKNPENVELYQFMGKDNVSFHTVMFPSTLLGTGENWTFMKTISVTEYLSYESGKFSKNKGIGVFGNDAKDTNIPPEVWRYYLLINRPEASDTQFTWTDLQVKLNNELVNNLGNFINRVLSFIAKPEGTGYGCIIPDAPNADSHPKTQDLATDVGRLVEEYIAAMDKVKLKQGLKNAIAISTKGNEYLQTSKFWKLYTEDPVTCATVVKTSVGLVYLLACLLEPFMPSFTKEVLQQLNLCPEEHLSFSDEKREKAKIPWDLIPPGHRIGKPAPLFKGLENDAVNSFRENYAGSQGERRLRANTAAAKLEATKLSGKC >Et_3B_031241.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:22532729:22534276:1 gene:Et_3B_031241 transcript:Et_3B_031241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEFKPAAMEVERAEAASSKPRFKMPVDSDNKATEFWLFSLAAPHMSAFHMSWFSFFCCFVSTFAAPPLLPLIRDTLGLTATDIGNAGIASVSGAVFARLAMGTACDLVGPRLASASIILLTTPAVYCSSIIDSATSYLLVRFFTGFSLASFVSTQFWMSSMFSSPKVGLANGVAGGWGNLGGGAVQLLMPLVYELILKIGSTPFTAWRVAFFIPGVMQTFSAIAVLAFGQDMPGGNYRKLHKSGEMHKDSFGNVFRHAVTNYRAWILALTYGYCFGVELAVDNIVAQYFYDRFGVDLKTAGFIAASFGMANIISRPGGGLLSDWLSHRFGMRGRLWGLWVVQTIGGVLCVVLGVVDYSFGASVAVMIFFSFFVQAACGLTFGVVPFVSRRSLGLVSGMTGGGGNVGAVLTQLIFFHGTKYKTETGIMYMGIMIIACTLPITLIYFPQWGGMFAGPRPGATAEDYYSREWTAEERERGFNNASVRFAENSVREGGRSSGSQSKHTVPVESSPA >Et_10A_001649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6438558:6440676:1 gene:Et_10A_001649 transcript:Et_10A_001649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWATRFSVAVCFFAAGVLFAPEALLGARSGTGAITAAKLAHLISFATSWGAALWATFIGGIIMFKNLPRHQFGNLQSKMFPAYFTLISACAAISVAAFAYLHPWKTASAVERYQLGFLLSALGCDLSNLLVFTPMTIEMMKKRHKIERDLSIGEEVGWSKNQEVAKTNPTLKAMNKKFGMIHGLSSLANIMSFGSLAMHSWYLASKLEL >Et_7B_055793.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:4865066:4865691:1 gene:Et_7B_055793 transcript:Et_7B_055793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALGMRLAHLGLPAHCVGDVTAPPAAAGDLLVASAGPGAFSTVDAICGVARGAGARVLLLTARPDGAGFPRRQADVVAHLPAQTMADDEAAAAPEGSASSTANLPMGSLYEGAMFVMFEMVVLELARVLGQSPAQMRARHTNLE >Et_7B_053695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11175026:11181199:1 gene:Et_7B_053695 transcript:Et_7B_053695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPSLACFPAEPALHDSCAMPWGVAVTPFSAADERGTPPATGDEGHLLPRCESCFAYFSILCPLNRWSWTCAVCSVDNDLPADAAARYARDGAHDPPEMRSAFVDLLLPGEEDEPAAAPTPVYVAAIDLSSSEEFLELVKSALLAALEALSPGSLFGLFTFSSKIGLYDVQGPIPIVKNVFIPYDSDGALHVDLEDVMPLCSFLAPIDSCKNSIAEALETIKPMSSWEVAASTSEGQDHVLHHTRGFGIAMDVLVNYLSSEHVNAFELARIFAFLSGPPNYGAGRLDRTSFEDQNACKGGDVDNVLLQDQISFYKNLATSAVQAGACVDIFAITNEYTDLASLKVLSVESGGSLFLYSSTDESTLPQDIYKMLSRPYAFGCVMRLRTSSQFKIANSYGHFFPDPQYMHVQHINCCDSFATYSYDFEFEKDSRFSRKTSSPILQIAFKYTVLVHHGDTSDVSNSDSRSKYSLQRRIRVRTIQYNTTANIWDLYDFVDPDVVLTILVHQVILASLSDLVESRLLLQDWLVTVITQYNKAYQNVASGGGAVDVSFSHCSQLQPLSRFVFSILLSPLLQVSSEDIHPDYRTYLQCLFSTLEPASLRQAIWPTLISYSSPDVEAAVHQSLSRTVFTDESPIFLLDAYSDILVYYSPTTSSAIPFPPPRLLRSTIDRLKQERNKTPKLVFIHGAHDDTTAFEKYLIEDQALDGSLLTSSTGFNSFLEEVSRKVAEHGI >Et_5A_040148.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:15678009:15679298:1 gene:Et_5A_040148 transcript:Et_5A_040148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMHAGKTTTVKTRYVVMSEEILKTYPELAEEGLPTMKQRLDISNKAVTQMATEASLSCLGAWGGGGIPAITHLVYVSSSEARFPGGDLHLARALGLSPDVRRVMLAFTGCSGGVAGLRVAKGLAESCPGARVLLATSETTIVGFRPPSPDRPYDLVGVALFGDGAGAAVIGADPVVPRERPLFELHSALQRFLPDTDKTIDGRLTEEGIKFQLGRELPHIIEANVEDFCKKLMKERADGNGGEMTYDDMFWAVHPGGPAILTKMEGRLGLDAGKLRASRAALRDFGNASSNTIVYVLENMVEETR >Et_2A_016881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29008279:29011072:-1 gene:Et_2A_016881 transcript:Et_2A_016881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRTDVGDERGTDIQRTDPCLQWRWSGSTRRRTLVEQLFKIFSLCGSPPDDYWRKMKLSATFRPPKAYKPTMAERCRELPPSALSLLTTLLALDPAKRGTAAQALQSDFFSTPPLPCDIASLPVVYKEEVVDPSNSHDGRKRIARCRWLTSDSVSTCRSKLRQRSHRRKDGKPKAEEERPADEPKINSGSPEKEVDRVTDAAKSGRESESPAVAIASSSVQESQEDTIVNASSSTPPKQFSVSPVQLLPQPQEASPAPTQDQPPQRASSSHHHSGSDEDHERNEALLSPDYDQADGEPSGSGSVIVLDRSPEIRSASMTDYEAAIAALRGSGELPAKQYVLVDHV >Et_6A_046011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18304298:18305221:-1 gene:Et_6A_046011 transcript:Et_6A_046011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQFGGEEQIFPLWDYGGALLSATTAAAAGKAGVASAAQSELTCNNNGGGGVVALPSRRKRGRDAEVHDQYVVSPSPSPSSAAALACRMVGSGTASTSGRAAVVDASAVADALVAAELCRHGAEVDALVRAECERLRAAMEHARKRQCRELAAVAARRLREKEAEVQAARRRADELEEGLRQAAAESQAWLGVARSNEAVAAGLRATLDHLLLRGVVAGGSDPTAAADDAQSCCFGATGAPAGKWACKACGEREASVLLLPCRHLPLCKSCEPRHDACPVCLAAKNASIHVAPN >Et_1B_010779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1474894:1478851:1 gene:Et_1B_010779 transcript:Et_1B_010779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMARKNVEEEPSDRVPHLPWMRHPVDIDAFSGCPVSKLPRLDPRLAVALQKMGIESFFPVQEAAWLETIGPGAFERDICINSPTGSGKTLAYALPIVQALSTRKVRCLRALVVLPTRDLALQVKEVFDAIAPAVGLSVGSAVGQSSIADEIFNLIRKPKQELYPTIDEEYVQMEPQTKVDILIATPGRLMDHINMTKGFSLEHLQYLVGLLIRIRMLFLHIMSSITICKSNLKPLSLIILLQELRGNKCLVFTSSVESSHRLSTLLGFFEDLPFKFSEYSRLQRESTRRYVINYDMPPYVKTYIHRAGRTARAGESGSCFTFLRKHEVKTFEKMLKKADNSSCSLHSLPEESIETLLPVFSSALKKLEESLESEAAKKSSSGDKMHSKSNKRKRTANQK >Et_8A_056339.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23538184:23538525:1 gene:Et_8A_056339 transcript:Et_8A_056339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALYTEALACRDRAVLARQVGVRKVYIETDCRELVTLWNAGNDERSSVMAVLREVREISYSFQAFVLKYSSRNYNMVAHTLAKQVSGDARTGMWHPTCIINMLAKDCNPVVD >Et_2A_017664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4858479:4861545:1 gene:Et_2A_017664 transcript:Et_2A_017664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSSAPPPQSKPLAIPSSFPTTTSASATGTLARPTAAASRAPRIPERGNRRPVRPPPPPLPGGMKSLWKQSGLACADAGPLSPLGGRSRRRARLTLLGFAAAFAAFTAYVALASPPGAAGGGGGGGASWFGGVYASTAPYRSQVSSFFSSIFPADNSPAPSPEPTSVPAGGSSGGGGGGSEVSRDANSVRVGSAAESNSSAAAGSGKQLGSGGGSPISNASVGSVPPASNLAATGISGKDGSGTLTNNSATSGAPTNDLIDRNQGSDGSASSSLTGGGSGSPASSSAGDGAPVKASQETVDESNKQTGSGSGAPSNDDAGHGVTAKVEAKDAPGIPGNNSAGNGSLAKVDLSAGSSSIKEGGGSAVPSSGSAAGNSTSVKDAADEESDRSATNGTHISTKGSEAQTESSTIAGSPAAAGNSTLVSSDAGNGRVELNNSSVSIVPAGSQAGSGAMAGEKESGSTSKNHTLETSSPALKNQEQTSGTGASDGTGGTANSQKGAAPQGSSGTTQTITSQSANNNSLSGSSTTEDYNCSVEFFRSPFLVQEWETQVGNGKTKETLRLDLVEQSSPKYKDADFLIFNTGHWWTHEKTALGKDYYQEGSHIYSELNVEDAFHKALVTWSRWIDANVNPRKTTVLFRGYSASHFSGGQWNSGGSCDKESEPITNEQYLSTYPPKMSILEDVIHKMKTPVVYLNITRMTDYRKDAHPSIYRKQNLTDEERRAPERYQDCSHWCLPGVPDSWNELVYAQLLIKQHQMLQQ >Et_2A_014589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25539878:25540615:-1 gene:Et_2A_014589 transcript:Et_2A_014589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGNSSTDSVLLVPCALAIMVGYHLFLLHRILRRPHTTAIGYENHNTVAWVRRMAWAAAPEEAALALGVIADGISASTTLASLCLALPALIGAWELSGTSAAAAMPSAAGAAGDTSQLATATVKYASLLACFIASFTCFVHAALGCSDSDDAPAPVSHVQRAVLRGGGFWAAGLRALYLATALLVWAAFGPAPMLACSVLAVAVLHLLDSNSVPLHCHQFTPGSKGRSATI >Et_2B_019064.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28792561:28793250:-1 gene:Et_2B_019064 transcript:Et_2B_019064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KYVPFLAGGVPNLGLDDLAVDLEGAGGELDADGGLGLEAELVAREAGEQVGLADAGVADQHHLEEVVVVVLRPVPRRHCSGASSPSARRRSGFGAREGEERESGGGVALDVGKAKRSKGMKEMGARHGNGRGGRCDAWSAAGGGFWSGGARSLEVGSFCLRSWQVLIARDQPFVCEQAENFDGQKRVFVEFEILNVLNPNLRFSCQTETSAVKWFSPKKKHYNFHTVLL >Et_6A_048130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7248905:7251291:1 gene:Et_6A_048130 transcript:Et_6A_048130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVAGMGMALLFLLHMALSCLGIAHADAAVLGRKAGVVVDEAAKNAPAGPGRYAVIFDAGSTGSRVHVFRFDRKMDLVGIGDDIEVFAKVKPGLSSYAGRPEEAAESILPLLEKAKSVVPSRLMKKTPLKLGATAGLRLIGDEQAEQILEAVRDLVHAKSKFQYKPEWINVLKGSQEGSYIWVRVALNYLLDKLGGDYSQTVGVIDMGGGSVQMAYAISSNAAACAPAVPDGKDPYVTKEYLKGKDYNVYVHSYLHYGVAAARVQTLKAKNGSFSFCMLRGFSGKYTYHGKQYDATARPKGAVYGKCREEIAKALKLNAPCKTKNCTFDGVWNGGGGAGQNNLYVTSSFYYLATHVGFIDSKAPSATATPTAFRDAAKKACRLSVKEAKVAYPNIQNTDVPYACMDLTYHYTLLVDGFGVHPTKKITFVSKVKHGEYYMEAAWPLGTAIEAVSPKKRLGDQ >Et_9A_061074.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:14192343:14192498:1 gene:Et_9A_061074 transcript:Et_9A_061074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYSAPSGNVDVHAKALSASGGELITYLWAFCTHAGISRQPLEQHDELDA >Et_3A_023142.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:31506733:31507098:-1 gene:Et_3A_023142 transcript:Et_3A_023142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTSSLSELGFDADAASSGFFRAVGDGACTSHRRGLTKVSVIGAGNVGMAIAQTILTRDLADEIALVDALPDKLRGEMLDLQHAAAFLPRAHAPRVGHGPGRDQGLGSRHHHGRRAAESG >Et_7A_050757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10819616:10823134:1 gene:Et_7A_050757 transcript:Et_7A_050757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAAPVAAEQQPRRRKPAPGRGGVVLPAGLSEEEARVRAIAEIVSAMGELSRRGEDVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVCYSEHSSVKCIGMTIETPDEVELVRRDYAANEGWETFLSYEDTRQDILIGLLRLRKCGRNVTCPELIGRCSIVRELHVYGTAVPVHGRDTDKLQHQVNLVFAYQH >Et_2A_016423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24619444:24631748:-1 gene:Et_2A_016423 transcript:Et_2A_016423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSTSSTMPTARCAAIWTNSAVVSSRSSLCKVRAVATSSGRASSCSGSSRKDYYKVLSLEHSAAVGAEEIKRAYRRLALRYHPDLCPPSRRAEFTELFLELRRAYETLSDPARRVRYDAELRTVGGEASSPRVEFARDVWEAQLCALRARSELRQRQREREGCGHSRTIPTARCAVVRTNSATNVSGRSRRCKAVATSSGRASSCSGSSRKDNYKVLSLEHSAAVGAEEIKRAYRRLALRYHPDLCPPSRCAEFTELFLEPRRAYETLSDPARRVRYDAELRTVGGEASSPRVEFTRDIVGGAAVRPRARSELRQRARTMSMTTIPMAKCAGRVNNNASAAFGRRSLCKVKAVAASVSSGSKRDYYTVLSLEHAAAVSTDEIKRAYRRLALRYHPDVCPPSRRAESTALFLEVQRAYETLADPGTRSRYDAELRRGEKVTAAAAGFARDVWEAQLSVLRARSERRQRARAACGVAGAPPSRRRARAAPDVLILGVLIHSLIVGLIMTSAFFGVRCLRFDDCTLRQQAAGRQ >Et_9B_065403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4769406:4773378:1 gene:Et_9B_065403 transcript:Et_9B_065403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EVGPALTVFGEAVLGSGSLRCAALGRKRAKCIILGNLMDVPPVEPERECQTDRHPLLMEHVIGIPRDDVASSSTSRRDNHDGLDQLPQVSESSSGTTTASNNQNAPLARNDNHGRRQQSPLNSGFWISVELVVNVSQIIAAICVLCVSRKEHPYAPLFEWVIGYTVGCIATVPHLYWRYINRNRVTPGQEAARQNYLPNNTPESYTGISAPPVPEAGAEPGTNGVSRSSLLTNPRVQAFADHFKMALDCFFAVWFVVGNVWIFGGRSSAHVAPNLYRLCVAFLTFSCIGYAMPFILCALICCCLPCIISVMGFREDLNQNRGATSEAINALGTYKFKLKKARGGEGNDGGGGVLAAGTDKERVVSADDAVCCICLARYVDNDDLRMLPCSHFFHKDCVDKWLKINALCPLCKAEIDGVATTAPTIGFGRRHSDNRHVGSADFRFPTFSMH >Et_6A_047649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8985702:8987716:-1 gene:Et_6A_047649 transcript:Et_6A_047649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCQGQAWPMWSPVATWTRIHLDGLLALMGHDLWCAPAKKIGKGTYGSVYKVTLEGGNLLAAKRLWKISDDKKFEAEATVLGKIHHPNLLSLRAYYLEPKTKGEKLLISDYMPKGSLSAFPHGLALDKTVEWTTLLTIAKGMARGLAYLHDDLSISHGNLTARNVLLDEQCNSKVADFGLYRMMTAEANSAALAAAGKLGYRAPELSKLEKANGKTDVYSLGVIILGLLTGKSPAFSDDGMNLPQWVASKITKGQTRWLLDWGLWEQWDSLAEELTGTLNLALRCVDPSPSVRPEAREVLWQLEQIRPESDAGAGPSEEGHVPLPAGGDDE >Et_3A_025171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27506103:27508672:-1 gene:Et_3A_025171 transcript:Et_3A_025171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRRRASAAAGGGGGGGVRAEEEKAAAPGKTAPPTVWFALKKSLHCRSAPSEVHVPRPKAAAPAPIIGGGHLSSIVTKRTAARSGCSRSIANLRDVIHGSKRHPGQPPSCSPRSIGSSEFLNPIAHEVVLSTNSRCELKITGFGAGAGGLGAADGAGGEVASSFVGTLRPGTPGPAWAAGQHGLQYSGSCRVRATPPRSPNVLLERNGYVASAHRASCCEEPTAKNAGIAKGSSGGLSCHRCGEQFGKWDALEAHHLSKHAVTELMEGDSSRKIVEIICRTSLLKSESSCVRIDRVFKVHNTQRTLSRFEEYREAVKLKASKLPKKHPRCLADGNELLRFHGATVSCALGSGSSSLCASDKCAVCRIIRHGFSSRKEGKAGVGVFTTSTSGRAFESIEAPPGDDGEAATRKALLVCRVIAGRVHKPLDNLKEFAGQTGFDSLAGKVGPYSNIEELYLLNPRALLPCFVVICKP >Et_9A_061077.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:14377201:14377749:1 gene:Et_9A_061077 transcript:Et_9A_061077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQGIIKNARSPDHGASPPSAKQAEPASPSSCSPPDAAAAAGDAEATRRAEDEVDDEQVERFYALLANIRAMRGMFGGATTGKRKRPREAEPPWRPAFRMEDFDTEEVVSDHAGDAGARCGAGRRRKLIKRGGEWRLPEGSEKDAGDEDEDRDEAGVVLDRDGPGRDAARGCVDQLDSSL >Et_4A_035650.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:32424579:32425709:-1 gene:Et_4A_035650 transcript:Et_4A_035650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAQAAQKEAAEDEPVVFDAARLSGLTDIPAQFLWPEGESPTPDASQEELDVPLIDLSSGDGAEVVRQVREACEAHGFFQVVNHGIDAGLVAEAHRCMDAFFTLPLPEKQRAQRQPGDCCGYASSFTGRFASKLPWKETLSFRASPTSPALVEDYLVGRLGDEYRRHGEVYGRYCSEMSRLSLEIMEVLGESLGVGRRCFRDFFQDNDSIMRLNYYPPCQRPMETLGTGPHCDPTSLTILHQDHVAGLQVFAGGRWLSIRPHAAAFVVNIGDTFMALSNGRYRSCLHRAVVNSRVPRRSLAFFLCPEMDKLVTPPPELLLHHDTADRPYPDFTWRTLLEFTQKHYRADMKTLQVFSNWLRNGRDKAALLPLISS >Et_1A_006918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2982572:2984070:1 gene:Et_1A_006918 transcript:Et_1A_006918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVTAAVSSHGRVYNTCISSSKPIAAWSRRTFAMPGHHVLSPRARVVVRASTDRATWLPGLDPPAYLDGTLPGDFGFDPLGLGEEPDALKWYVQAELVHCRFAMAGVAGILLTDLLRVSGISDLPVWFEAGAAKYFANATTLFIVQLLLMGFAETKRYMDFINPGSQAEEGTFIGLEAALAGSQPGYPGGPLFNPLGLAKDIKNAHEAKLKEIKNGRLAMVAMLGFIVQASVTHVGPVDNLLTHLSDPFHKNIIHTLSP >Et_2A_017231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32283831:32289596:1 gene:Et_2A_017231 transcript:Et_2A_017231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSGTLMRFFEGSKVEVLQEAEVPLGSWRPAEIVSGNGHTYLVKYDQSPVDSSVAVERVSRRLMRPCPPSSDDPVGWTVGSILEAFDSYSWKVAEVVKVLGKKHYLVRLLGSSLELRAHSSDLRSRMLWQDDKWIVTQKHSGRCSDGSFRSQSKGGKFGCKLGKNQQLHRGVDKHFELENQEAFDGDMSRGVKRKSSAISTNLQCSEITKRLQTPHRDGRHLKLVDRGSLHLDEKVDAVYSPCFKLGEKYMHASLNMRKNGDLKTNSSGVNADDSYKWHTRTSADLSDTESISSSVGSSSPNIRPHSSKYDSLSYQNGDICSRTDDAEASTSEREISEHGKDGSREEETHLLELHAYRATMLALYACGSLSWEQEALLTNLRLNLNISTDEHLAELRHLVSQAQMVGERKLILLMNFPGPRLEAFKASFRRWRSLPHGLLCDKCGNISIELPLTLFHDRKLERMDSTEVNYPITVRFDMFLLWMIGHQESDMDMHASFHGRQTGLFRFGKANK >Et_2A_015055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27924924:27926014:1 gene:Et_2A_015055 transcript:Et_2A_015055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVRNLLRFLVISSVACIVLLLLQRSADPCPCRDAVTAHKEHAVRANGTRQADPSAKKLGLILSRAAMEDKTILMTFTNQAESFRIGVRTAPLLKHLVIVTADAKAFAWCEQVHPTLCYAFPDGGANFASEQRFMAKDYLDMMWRRNRFQARVLSLGYSFVFTDVDIVWLRNPLLRIPVAVDFAMSADSFYGDNPYDLDKRANGGFVYAKASPRTVAFYEAWYEARKRFPGKNEQDLFDKLKRELAAAHGVTAQFVDTAYLGGFCERRKKVMDFNKLCTFHANCLVGLKMKLERLRGVLDQWKKFKASNTTVLTD >Et_5B_044738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5244037:5251117:1 gene:Et_5B_044738 transcript:Et_5B_044738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLRRCLPSLGRALLTPAPARMLSSGASDALVEIKPGEIGMVSGIPEEHLRRRVVIYSPARTASQQGSGKVGRWKINFVSTQKWENPLMGWTSTGDPYTNVGEAGLTFDSAESAKAFAEKHGWNYVVRKRHTPLLKLSLVSREERLLLTDASASDVRNLQSLDSHCSRLTSHGAETEAHLPSRSHSILAVHANAPSWESGSDDAWSGSATASTTSPLIRLDAAATARSQGHSGCPTISLYASLTRRTRLLESETTAGSASASSSASTSAAVFETTTAAAARVAASASAGRRWMDSTRMVEPTGRTARGEMTLGSSSFRSRSRSTGTSRRSSSSTTTTTFIASDLGGRGAMGTHAPPAGTATDTCAVATASVAAAVAHRRGGYGATAALFLLAAAGDVGDAGAARLGRPRFRARHGRLCSWHSTRLVAATPSATACAGDTAIAGLGAMGLNRARISLALTAMSAGCTMAMARRSCWLLGDRAVVSGLGSLGRSHSSATHRWKESQARRWGPGGRAA >Et_4B_038182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2776466:2777464:1 gene:Et_4B_038182 transcript:Et_4B_038182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAQIDPSPAAPPKSRLKRLFERQVLRVSPAERLPSVPVAGEKDELLEPSSLCLDGMVRSFLEDGGGVEKGAASRCCNCFHAGDASDDEDAAAEAAAIATDIAETIKGLVHCASLRERNLLADVSTLVERHRAAGARKRDLLRLLAESLRAAGHDAAVCLSRWDKSSSHPAGEHAYLDVLLPAASDRGEPERVLVDVDFRSAFEVARPTKAYRAVLQRLPSVFVGKEDRLRLLVAAAADAARASLKKRGLHLPPWRKPEYMRAKWLSPYDREVPPPAPPPPPPTEATTSELAGDAE >Et_6B_048697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12751717:12754121:1 gene:Et_6B_048697 transcript:Et_6B_048697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGRGAMDTPGPRRRCIKQAIETQTPDRMKDNIRSSNGSLTDQTYPTVTNTQRMARLMKDKQKTMSITETGDVTTESTGWAAGKVSSSHSDYMNNQPHIGSFTDLLNAPMDYDLFEQHSLYLTTSRSVYEEPIIPSTQIEEQIIPSTQILLSEAMVPNYHENSSYLGSQGIHASSALEGNTTVEKNTSIQQLNQADGTHDAGANIDEMFDYFQTHVNEEDTRNNDDAEAANEGGANNEEQITEEDIAMFRHNESINQVISLSQEG >Et_1A_007253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32609056:32610757:-1 gene:Et_1A_007253 transcript:Et_1A_007253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGGMMEDVRIGSFDGAGAYPTTLPSPRMLEPSPSGFRKSLNPIYASSSDQLQYTASSSSTTPTSSSSAGATEDAHAAAATGGGNVISTPPATPTAAMIARRHTGGGDGRWEAIRAAEPPLSLGHFRLLKRLGYGDIGSVYLVELRAGGNGGNGGGSGGALFAMKVMDKGSLAGRNKLARAQTEREILGLLDHPFLPTLYSHFETDKFCCLLMEYCSGGNLHSLRQRQPNKRFTEDAARFYASEVLLALEYLHMLGVVYRDLKPENVLVREEGHIMLSDFDLSLRCHVSPALVRSPSGRVAGGGGSFVHGCKLPRILPSRKKSKKKKKADKDKASTQPDDESPPATPTCSSKRLPPLTSLEFTAEPTGARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGVFLYELLHGATPFKGSGNRATLFNVVAQPLRFPDAPPVSAAARDLIRGLLAKEPQNRLAYRRGAAEVKQHPFFDGVNWALVRSAQPPYVPDTAAAVPAGEAQPVTPKSAGGRKTGASRHTDSSHVDFEYF >Et_4A_035415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23929843:23931946:1 gene:Et_4A_035415 transcript:Et_4A_035415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLRQYISNKAILADMVIPPLARTPAITKFLKPYALKMHFTNNFRKSSGQASSMESTRDVAAAAKIGKLLDEQCPSACQETRSIMAKVKAVIDSLRAADDIPEPEHNMTCGGQMEEDLQQRMIAEPRV >Et_3B_030734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6888626:6891042:1 gene:Et_3B_030734 transcript:Et_3B_030734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIYRFLCGLCAPSSQQLGLHGAHPAVAALGRDVLNFEATSQVPDGLSRHVVSSKKAQANWYNKLLVAWKKARPPPKTPEEAARFVVQTLKNHQKADVEGLLVFYGLPIPSGAAGGAAPAPHHAAPPPPKPKGAKFELHTLPVDARAVADGDTINVYVDASDPHVSGSVPREVQKAAAERAKARAAKNYQKADALQKTIVDAGYRPVPNARGEEVLGKKYRIRLRGIDAPESAMPYGKEAKEALLKLVQGKSLKVFVYDEDRYGRCVGDIYCDGVFVQEQMLKKGFAWHYTAYDQRPELAKWEKQAQAGRKGLWASSKPQKPWEWRKDKRNGTS >Et_1B_014339.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6283247:6284521:-1 gene:Et_1B_014339 transcript:Et_1B_014339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGMGTGNGASSSSSRLDSAPLLPHHSGGEIGLSSQPKTFANVFIAVVGAGVLGLPYTFSQTGWASGTLLLLSVAGFTFYCMMLLVACRRRLADEHPKIASFGDLGYAVFGAQGRFAVDSMLVLSQASFCIGYLIFISNTMAHLYPIFAPSSSALLSPKALFIWAMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGQDVSAWLANPPPVVAFGGPAALLYGLGVSVYAFEGIGMVLPLEAEAADKKKFGVTLGLSMTFIAVMYGLFGAMGYIAFGDATRDIITTNLGAGWLSAAVQLGLCINLFFTMPVMMHPVYEVAERLLHGKRYCWWLRWLLVIAVGLSAMYVPNFTDFLSLVGGSVCVLLGFVLPASFHLKVFGAEMGWPGVLSDVLLIVLGLALAVFGTYTSLLQIFHSSSA >Et_6A_046312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12865759:12871214:-1 gene:Et_6A_046312 transcript:Et_6A_046312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSAAITAAADSDDEDYLPVQPSASGTSAKPSLLATATQLKRAAKEVTPTERLIQEEKDMIEHLSERKPLMPVRDIARGVVYSDPLDTGWKPPLRLRRMPRAKADELRRRRWHILVEGGDDDVPPPVPDFRDLRLPEPILRTLRERGISQPTPIQVQGLPVALSGRDMIGIAFTGSGKTLVFVLPLIMVALQEDTMMPIVPGEGPFGMIICPSRELAKQTYDVIAQFLAPLKEAGYPEIRPLLCIGGVDMRTQLDVVKKGVHIVVATPGRLKDLLTKKRMNLDNCRYLTLDEADRLVDLGFEDDIREVFDRFKAQRQTLLFSATMPEKIKNFAKSALVKPVIVNVGRAGAANLDVIQEVEYVKEEARIIYLLECLQKTPPPVLVFGENKADVDYVHEYLLLKGVEAVAIRGGKDQVEREHAIETFKNGTKDVLVATDVASKDLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGVATTFINKNQSETTLRDLKHLLKEAKQRIPPVLAELSEDPLEDEERVAKESGVKGCAYCGGLGHRVGDCPKLEHHKAVAIAGSRRNSDYYGSGGHGGEI >Et_10A_001725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7387273:7398530:1 gene:Et_10A_001725 transcript:Et_10A_001725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSINFRGIAGSAGNIMQGMGKFVFGNERSESKEDSYVERYLDRISNGTIPDDRRSAMTELQALVAESRSAQMSFGAMGFPVLLNILKEDREDVELVRGALETLVGALTPIETTQGPKSEVQPASVNSDLLSRETENISLLLSLLSEEDFYVRYYTIQLLTALLTNSLKRLQEAILLIPRGITVLMDMLMDREVIRNEALLLLTYLTRDAEEIQKIVVFEGVFEKIFSIIREEGYSDGGVVVQDCLELLNNLIRHNASNQMLLKETMGFDPLISILKIRRGSAFNFTQQKTVNLLGALDTVELLLMGGPSGEQGKDANKIANQTALAQKNILDHLLLLGVESQWAPVALRCMALRCIGNLVLRNPQNLDSLARKQVGEEPHVQPALNAILSIILRTSVAQEFVAADYVFKCFCERNPSGQALLASTIAPHPNQGATNGATSDMPFGSILLQALISTDDNGDKEACCRASSVLSHIIKDNLQCKDRVLQIQLETPAPSLGRTEPLLHRIVTCLSVAASTEGENDLNPQPEESYIQPVILRLLITWLVDCSNAVNCLLESAVHMNYIIEIASSKRYTACVRGLAAVVLGACVLYNASREKGLDAFAVADAISQKIGLTTYFLRLDELRKSLSHPSLAQQHRKQLSRSSANSMSDFQEIEEEETNKGDPHPVLSEIFDSQFINFLNKLEADIRENIMDIFSRTKTATAILPPELEQKNGEVDGEYIRRLKSFVDKQCNEMQDLLARNAMLAEELVRTGGVNTADTSQKPSSGRERVQLEALRQELEGAKRQLEALKAEKSQVEAEATNQRNLAVKLESDLKSLSDAYNSLEQANYRLDTEVKTLRQGGNMPYPDLEAIKAQAKEEAEKDSEAELNDLLVCLGQEQTKVEKLSSRLAELGEDVDTLLQGIGDDTAIPDDDDDEDDEETLAFWVSSVTAFKNATSRSKRTLSAWTVFERLFDSSCANSKPAIFSFSISASLSLLAGNFVERPLGDGRTLDAVESQKRGIGLSPSVGNTPQFSDMLKARLSRSKVSEEQR >Et_5B_044343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2301642:2306174:1 gene:Et_5B_044343 transcript:Et_5B_044343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSVRGRCRDELGARRLALMTEAPFLPRERLFKQQNYFQNLTKHTYLKGRYDVITSVAIPLALAASSMFMIGRGVYNMSHGIGKKDNCFLRPFETVSTGSVLVINGVKSWPSVAGQRGATDCSARDTGGQPRGGRGGIGFGNRVPNGGVTGLGFLGKLGWILQWIQTEPDLSHGVREWIWIRIAIAQ >Et_6B_049135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18548794:18549161:1 gene:Et_6B_049135 transcript:Et_6B_049135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHAFPMLNMDINPNSIQQLETYPKLVRLVSKVFRLCNDSATHSEELRRGDAPSSIAIHMFENRATEHESRMAMKELTMEI >Et_8B_059193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15078181:15086434:-1 gene:Et_8B_059193 transcript:Et_8B_059193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSDVQMQPPAEDSETTIEIKIKTLDSQTYNLRVNKCVPVPLLKEKIATITGILSEQQRLICRGRVLKDDELLSAYHVEDGHTLHLVVRQPGHATTLGNAGTEANTSDSGRRRGPTVARSIVVEAVNMDHGHSEVPGFAAEGAPAPSETRPSEGTQSSIPNTVRVELEQQQQPPLFQTEQAHGPSQANVIPDALTTISQYTEFMRDSFRREGQTEGNAENRTTGTSTIGLPTAALLGETMQSTRQIVVEQAGALLSQLSTQLGDLVNVTDSATRRNLQSSAMRSGVLLQNLGSLLLELGRTTMMLRINPASSEAHVNSGPALFISPSGPNPLMVQPVPFFPGRRSVQMGPIFSSLGSHGSVLQPRDVEVHVHTSGSVPVASTNPSEPAGAQAPEHTNRTGDASHANIGEAFAGVAGGGPVPGVSGVRLLPLRTVVAMPAGISRAPSGSSSGVGIIYPFITRIQQRANTNGSNERNGQSPTEPARSSTHPNQQTIPQSSRTHEAGNLGSPIDVNVGNSSENTPGQQSGMNPIFQILDNLRGLFSGENVRADGTSQQAPMASTEQGDAINHGTPEVSGVSEDGLRFANMAASDSSNRARDGTSDSRSSHQHHRDRTEEPNSKRQRTSD >Et_4B_038958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6316498:6319460:-1 gene:Et_4B_038958 transcript:Et_4B_038958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HGKLASFPAHLGRDRQRGEQGSVARKTVRAAGSEQKQGRERSPAMASRSLCFVAIFLAASAFLSAGEPLPPAALSFGDGYTQLFGDSNLALHGDGKRVHISLDERTGAGFASRDAYLHGLFSARIKLPADHTAGVVVAFYMSNGDVYERTHDELDFEFLGNVRGKEWRVQTNVYGNGSTAVGREERYGLWFDPTEDFHRYAILWSRDRIVFYIDETPIREVVRTESMGAQFPSKPMSLYATIWDGSSWATSGGRYKVDYKYAPYVAEFTDLALRGCAAGGAAAACARAPDGGAMSPAQRLAMEAFRARYMTYGYCYDRLRYPAPLPECTVGAEAAAFLPSGDARAASRRRGGRRHRPRGGADSAL >Et_9B_065784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11748945:11756147:-1 gene:Et_9B_065784 transcript:Et_9B_065784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPRTSILSLISFLDHHLRALLADPAALLAARHRCIALLEPPCPRRRHCCPLSSSDEKDDGGDGDVLAALQGAVDALLPGASCWCLDGVEEALQGPALLPEHGETSGLDNRRVAACAYFYLALVRCAQGDAWQGAMHFLQAVAVSPAAVAGGASDAASGLAPRALWEGLFDEGVLARAGAGAGEEDAARRAARRYKDWLMYYKVMAAAPLDAGAGSDDGCLQLGRSGNSIAPRWLNFAEDRSTQSVDHEGMSKTSVSQSGGPNGFAELKDFLNIADQDSEEDTKWSSDNNRCLHEMLEESQTDSPVSFYSHLDSSEESDSEKQAAPHEKGRSAKIMPIDADFLSPELYERKFKTSTTSEDWSEVSSRWGKDSQVDFLERFERAVSKLLVSEGLESCLDAGSEVTTIWQLLNNSSEARHKSSVRQDILDQLLDSISTSKKDKVIRASVYVLLLMISEDRNVMRGIKRKNVHLSNLATALKRDVHEAAILIYLLDPTPLEIKNLDLLPSLLHVACNSDTQKWPTLLPLTPTSASIALIEILVTAFDYVTNNVHLASLSSPPILSKLVDVAKNNNLEEGVALAAILVRCVRLNGNCKKFLSQATPVEPFLHLLRRKEHRAKCAALEYFHEIIQIPRSAANCLLEEIQQQGGIAIMHTLMASLHQTEPEHRVLAANLLLQLDMMEKSDGRSVFKDEAMEILMDSLSSQENGRVQALAASFLANLGGTYSWSGESYTDAWLAKKAGLTSTCHRNTIRNINWLDSCLQDTEISSWSSKSARTIIKIGVPVISALAKGMQSKVKGTSHDCLVFTAWLGSELAALGEDVIRYSACEILLRDIARHLHPGYELEERVLACMCLYNYTSGKGKKKLMSLTEGSRESLRRLSPFTWMAQELLQVTDYFLPSNPRVSCVHTQILEIGQPGNGAVTAIAFFRGQLFAGYSNGIIRAWDIKGQRAVIIREVKEHKKAVTCFALSENGENLLSGSADKSIRVWKMAQCKLECVEVIQIREPVQKFDISNDKIIVLTQDNVLKEVDVSFESKAEIRAPKRSWRIRKQSISSIVVYKDWMYCAGTQVEGSALKDWKKRCKPDMTIPMPKGTNIGAMAVVEDFIYLICSKSPSIIQNIEVIAVNTGFINPDSASEFNYRYG >Et_1A_007667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36985888:36995512:1 gene:Et_1A_007667 transcript:Et_1A_007667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWMPANGGDVPIVDTPYLLRRNLLFHMGWPDYSLLVKFWRVELSSCLSTKRVLAYQPYLRHLELVASGMGQKILFDIFHHIFFDMCLKISLPLAHRLSSHMSTIYMSQLSTLPLMEGDQDQGLFPAFHIAKDPPILFPFMIDSPMDHHQGQSYGNQHLRHQVLAESNQQFNDHVMMGGSDVFPMPAPFRPTIQTIGSDMIQRSTYDPYDIENNRADGSTSRWAVTPPAKMKIIRKATSEYSQSGAARKPRRRAQAHQDESQQQQQQAMGVIRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMAAAAAAAASNGGEAVAVSVSQQTKQAKKEKRTDVDRSLPFKKRCKMVVVDHAATATKASPAVAAPTIKDQDHHVAVDSVTVAASPQSKGPPPPEMFPADEIADAAMLLMTLSCGLVRS >Et_4B_037331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18518821:18519230:-1 gene:Et_4B_037331 transcript:Et_4B_037331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSPPRCTQRLRAAAALLLLLVAAASWLQACDAAPGFCASKCGVRCGRASARARGACMRSCGLCCEECNCVPTAARGGVNECPCYRDMLTAGPKKRPKCP >Et_3A_024192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18231778:18245841:1 gene:Et_3A_024192 transcript:Et_3A_024192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAYFRGSVTTEMCSMEGIAECGVSVDTKTSPRRAAIEKAQEELRAEYDVREERRRELDFLEKGGNPLDFRLDHVASLSVQSTSVTDHITEQNVISEAKGSFAFATSPYGDSVESTGKPGNSSRREGNTADNLMLLDGDNSNIGGEKTVKRGTKRTIISQPEQFMQSDGHNTAKEGEDSGLFRHGPKSQAYARRRSKSNRENANTALVRSPPIPPLSSQQKDVTGAVKEEKAEDHGVSSLGDSNPISQNCKNMLKNPSIDGNMTIEMDSVPTVNEENQRSKHESTNANIDSQAMEVSPTSAIDNSRLTEGGQSLTKSPAEVPDPVSNEAASRTLCSLPSISSEILRDSQNPEKANNSLSVSTVVDVLADGMDNKSDAPHSDVNGSNLNESEMDITLAYATKAVDEHLGKNENLVPVKPGETADEGLNKIVPVDMDDKKEGHLEVSGRPVAVDESSTSVQPEVSNSVCVKDEKEVCNNAVDAQKDTEHLATSGHDNGNREECSDLGENNNCSSDPHNVASVTMPPATSTCDVANSVSLVQNLVLNPKSDAEKSNGDQTEIAKKELEDSVARKEYEDSIIRKARFIEANIKKAGERSLCNTSLEKKRKSHWDFVLEEMTWMANDFMQERLWKSVAAAQMSHWISSSGRAVFEEASIQRNKKSIARTLASCIMKFWCLVGSLQSGGDVPESVPIENSSGLEEKKFGDVGAEKRQDNESLGQEKSRRSRSSPIQSYALRLLDYNSKTSECLSSAEAPPTPERLNDFGILKVPDQLSEENLFYCVAPGAMRAYRESVESRFVHNQRFGNTALKDDYDITTFDSVGDVPMENAYGDDEGDVRTYLSAGHCDGGLASKLSHKKRHPLQQRMNGTRPYETGGDMPYEPFLESKSGNQQFLSNGKRTTDFLPVPIKRIRTAVRQRVVSPFPSGATGSPQFTSKTDASSGDTNSYQDDQSSLHGGSFPRKNADIESTVDFDRQMLYDGNEVSTKSKKKKKSKHQGYKTPQSVAESCTLMAPGKKDYLKKRSEAHHFDSNGNMVINGQHAAKKPKLMNQLPDFSLEALTPVGPMASPAASQMSNMANPMKVIKISTRRKNKGLKMAAGHAGPGGPWSSFEDQALVVLVHDMGENWELVSDAFNSIVQLKCIYRRPNECKERHKLLTDKSSGDGADSADDSGSSQHYPSALPGIPKGSARQLFQRFQGPFEEETLMAHFEKIISLGQKFHQNRRKGEIQEFKQINPLHASHVLALSQACPSSSGVNLTPLDLCDAVPSNSDTLAIGYPGSHTGGLALPNNHGSIGPTLPTSNVNARLPGSPGMVLGGNLPSPSSLNAPSRDTQRYGVPRPTLLQGDEQQRIQYNQMFNGRSLQQPGVSVPGVLPSGVDRSVRMMPGSHGMGMMAGLNRGTHVARPGFPRLGSPGMLNMVSSGNMSPNNGQGMQNSVTGHPGAIPGPGNTMLRPRDPMQMLRPGQNSEEHRQMMMPEFQLQVSQGNSQAVHFSGPPSSSAGASSPVQSFPVQQSQPHQIPQQPHMYGNTHLSHIQGANQASSQQPGYTGRLAKERHIQQMIPQQQRPLSGSSAVPALQNGSQIQQQGQGSAPGVVPSQQQHKQQHPTQNSVGSSVLPNQSATTTSHKQKKQQGQQQSRQNQQQRNQGSQQAKLMKSLGRGNMMHQSPTDATQASGISTTCKNQAPDKNVVQQGTGAGYIAGNKGSLPSVPQPGNQPKIYGSQVPQSPLQTPDISNQGSVKGSPNQTLLGSQQSPLHASSQLATQHQQQQRYMNPSQNNIQRLMMQQNRQMNPDARIELPADVQHNQVMPSVSLARSTDSGSPGISSMNQRKQESPHEPAAIFFPLKINSYLTLQKVTNRW >Et_4B_036173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:589453:590286:-1 gene:Et_4B_036173 transcript:Et_4B_036173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWQHPIAGLAPLALGRVEGVGANSRRGYPDAGSSDSSSVRRYAGVGAVARRVHVRRRREGLEAAAVVSWMLAHDVERVADGEGDGAGDVAVDGALVEVVEEGGALLVRAGDEARRLAAVAEQREQVQHPGRPVQIAG >Et_2A_014745.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:11644213:11644272:1 gene:Et_2A_014745 transcript:Et_2A_014745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHGNGDYGYLRQTLMEV >Et_9B_065827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1462872:1465557:-1 gene:Et_9B_065827 transcript:Et_9B_065827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPVTKDLGAAALAGVPKNASFGEGSSVRRPGRLRVVHPDVAEFLRTSPRLWRPRRPTPPSSPRAVAGEDDDGEEGEEGGARARYDCAFEDEEARGFAPPRLVWGKVKSHPWWPGQVFDPADASELAQRQQLRRGTVLVAHFWDKSFAWTDPAALRPFRTGFRRFAAQSALSSFAASVDAALDEVARRVDVGLSCCCGVGGNNNMAVTKKQVIDNAGVRDGAYGATVDAAFARRAFRGEAFVGYVSALAAAPLAGADRVDLTIATAQLKAFSRWRESRGLPEYTYFYGIDGAAMDPAPARAKKRRRSRSGGEFGDDSGRGKMSRLGASWKNASCESVDDTLELVDLEASPQPTSQQRSRMGMLMSRAAQQMSRSPVVLRANGNAPKVVSHMAKCTSVVDELPPVNNGALKDAHTSNVPLVNEKAGLVLNFSSASAVPSTTDLTMIFSRFGPIKEASAESSAALVIFKKRAHAEEAFARTGKIRAISASLISFRLTYSLPPATMYSPESEHDPLPVEPVMKRRGSSPERLSDLYIKSILSFR >Et_7B_053442.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:19712423:19712509:1 gene:Et_7B_053442 transcript:Et_7B_053442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINACRLLETVIMDIFAKHGWKFSNWLC >Et_6A_046390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14127560:14133998:-1 gene:Et_6A_046390 transcript:Et_6A_046390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLGCSNRNSGTWTTMCRYGPGADRRLGVTIWSPIRKKNPVHSNRLHYPSNIGFIKQPPSRIHILLKSAKQQNSWHGLWHQHRAKSHYTALSLITMAITPEKFFVESLMEAIPPSPSAFIDLPQSHVDDGEDQLTMDDSLLPHISRVLMEDEIEDNILYSDHPALLKVQRPFAQILFSDSFRTNNDTTEPHASVPPPVTDRSNTAVGNNGLLLCNDDHRKLNSDLSKGIDAVDAFLRGVEEASRLLPNNSDFRRGELVDNVCSESITHKVLKKRYNRDKHLEDEVGRARKSLMSMKEMEKIRELFDDLMLHSSEACMRDMKKLRVAMDNEILKHAKKAAMDTVDLRMLLILCAQAIATGNHASACELLKQIRQHASAKGDATQRLAQCFCEGLEARLAGTGSNVNKFLLDRCPSVREFLEAYKLCMAASGFYKVALVFNIATILHAMEGKSRLHIVEFGTIFGLQWPSLLCQLANREGGPPEVRITSIDCPQPRSLPTERIEETVCRLSNTDRKFGLPFKFHSIVAAWEAVSVEDLNTNADELLVVNDLFNLSTLMDESIFLDNPNPKEMVLNNIQKMCPDVFIQSIVNCSSGTSFLTRFREALFYFTALFDMLDATIPRESESRLVLEQGLFGRCALNVIACEGQDLMDRPEKYRQWQVRNKRAGLRQLPLKPNIVQIVRDKVMKFHHKDFLLGEDDQWLIHGWMGRVLFAHSTWPPHHTTAMATTPEEFCLMEQVPPSRSVSLNHPQMADCGGEEQLSTVDLVLPYISRVLMEDDIDDDLLYQDHHALLQVQKPFAQILFSSSFSTSDNIEGTIASAPLHDGDRGSRNVASDLLLYNRDQITLSSESSNGIEDASRLLQKYSSFSGDHLVDDLSLESSTHGRLKKRYNRDEHLEDDDGERARKAVMTMNDTEEVCEIFDGLVLHDYEACFKDSKKLHTAMTSENVKNNRKRGNKQELHKVDLHMLLIFCAQAISTGDQVGAGELLKQIKQHASRTGDATERLAQCFAQGLEARLAGTGSLIYKSLMAKRPSVLEFLKARRLCMEACCFNQVALVFNIMTITHAMEGKKRLHIVEFGTQFGLEWPCLFHRLANWEGGPPEVRLTSICGPKIRSFPTEGIEETGCRLSNWARKLGIPFRFHAITTDWEAVCIEDLDTNPDEVLVVCDNFNLSILMDESVYFDNASPKDRVLGKIREMRPTVFIQSIVNRSSSTSFLTRFREALFYFMGLFDMLDATTPRDSEPRMVLEQGLFGCAVLNIIACEGMDLMDRPEKYRQWKLRNQRAGLRQLPLKPDIIPVLRDKVMNLHHKDFYLGKDDEWLIHGWMGRVLTAHSVWVAKEVPSSV >Et_10A_000473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10952322:10954424:1 gene:Et_10A_000473 transcript:Et_10A_000473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRGFFSLAVLQVLVLFAALLRSAQCQSSQGGAANLTVVGTVFCDACSSSSFSNHSYFLPGVKVRLDCMIKVNSNSRDEIKITAEKVTNSYGAYQLDIPAIDGFECAAAGATAAESFCRAAVLDNPSPLCNVPAVTTAVRHISFPSQAPNACLYSLNSLYYRPAGGKPGGGQCGDAGGDSPPSSLPPAELNESLFYCPPWPWPPIPFCTPRPWFPPIPFFTPPPPAFPFPFPPIPFFTPPSPPPPAFPFPLPPWPWTPPAVQPPPAFPFPHLPPFFSPPSPPPPPPPAFPFPLPPLPHLPPFPPLPSLFSPPPPPPPPPPPPPSFPWPFPPLPFFPPGSSAPSPPPLQYTRKDPSTWSSPKNQP >Et_2B_022677.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3051231:3052982:-1 gene:Et_2B_022677 transcript:Et_2B_022677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNHQPASRHGTPPLFDEVRWVVQIRSSLQEDAAAGDEDDDNGIPVSVFNVPKQLQVHKPEAYTPQLIALGPYHHWRPELYEMERYKLAAARRAQKRLRDGAKLDGLVQRFARLERKVRAYYHRYLDFNGETLAWMMVVDGAFLLEFLQIYDVAVEDDAGGGGGGKALRRVSSRMAHLVDFAGRKSAHSIILRDMLMLENQVPLFLLRKILEPQCASAEEAGATLQRMVTGLMKELCPFKMMDNFPAVDVAKHVHLLEMLYYLLVPKPDEDPAAEADAHDDGYDIEEQPVDGGGPEEQKPAAGCEYVKDLVVAVWGIVSGLNTGPMRYVTKPIAFAVKAPWKMLTVVPGLSSIKNPVESFFASGDGGSTTNPRDPSNAGYLTRPPLIEEIMVPSVSELASVGVKFSPTTGDLSSIAFDAKTVTFHLPTVTLDSNTEIVLRNLVAYEASAASGPLVLARYTELMNGIIDTDEDVALLRQRGVVLNRMKSDGEAAKLWNGMTRSVRLTKVAFVDSAVEEVNHYYNGRWRVKTKRFMRKYIFSSWQLLTFLAAIMMLLLTTLQAFCSVYTCSRWFGTVTVPTAE >Et_4A_032577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11512984:11513834:1 gene:Et_4A_032577 transcript:Et_4A_032577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSFKLWSAMVKVHSAAFSSPPQPLQGLGLAGATLPDDATTTTTTTRSDKREVFTIWMKSLVLHGCGCTVYDSAGSIVYRVDNYGARRAADVCLMDLAGNVVLQILKKKLGFGRRWDGYRCGQEQERHHTAAARRPWFKVVRPWAWRGPSSCTFSELSSDVRYRMDDGRIVDGATGLAVAEVKRKTTPAGVALGADVLTLVVEPGVDRSIIMGLVLVHGLINRAM >Et_8A_057895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:973403:975155:1 gene:Et_8A_057895 transcript:Et_8A_057895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARCASQHDALPGDSDLAGPGDAWRTSGAPQSPSPSRPSHCPAQSPYTELCPRRRPLRLVARHLHPVMKTAVLSRRWRHVLVHAGQERLVQIQASEKLHRARPLGRFRGLDADMESFKVHLWNKGRTLPGEKINEWIPSHTATTKSPRAEWPRTSTQLCRRARRRSSC >Et_1A_008606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9166628:9168822:1 gene:Et_1A_008606 transcript:Et_1A_008606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKARLVCGLAEIHGCRADKPDGARREALGHVRSARSWRTPAAAWRRSPCRSSSSRSSPLRSCCLLPSPAAAADQQPVAGNAGGSTSCDTFPMSPRRRPSSRPTPGRSRRYARTHSSIFHRCSFCSYGHQAWLSTFDWRELQVRVGAIEESLARSRAAIRRAASRRSFKDAGGGFVPRGAIYRNARAFHRSYLEMEKKFRIWTYREGEPPLAHLGPSADIYSIEGQFLEEMEDPRNRFAARHPGEAHAFLLPVSVCNLVKYVYSFNRTAKLAPLRRHVADYISVVAGRYPYWDRSRGADHVIVSCHDWAPLVSEANRELYGNAIRVLCNANTSEGFNPRKDATLPEVNLADGLLRRPTPGLPPENRTTLAFFAGGVHGAIRKALLGHWLGRNDTDMDVHEYLLPAAATAGHVDDDYHARMARARFCLCPSGFEVASPRVVESVFAGCVPVIISEGYPPPFGDVLDWSKMSVTVPAARIPELKDILRGVSERRYRVLRARVLQAQRHFVMHRPAQRSETLRWFQIETLHNANRTSGVHPSSPRREQRSPGGDRSGFERYPHRAYSSLPQSK >Et_4A_034150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30285464:30289552:-1 gene:Et_4A_034150 transcript:Et_4A_034150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHQQRYEDGRRLVAGCIPFRYRYNNDETSSDGHKKLVEVLMINSQSGPGLLFPKGGWENDETVEEAAAREAVEEAGVRGDIVEALLTGFTDWHDKWSKGGGVSAAVVMVSRTMHVL >Et_8B_058566.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1921563:1921973:-1 gene:Et_8B_058566 transcript:Et_8B_058566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKSTKRISNSARRTKRNTLSTALVSSPGSSLLLPPPMPRCCRIAPDRPIDRPWVPLPPPNPRPQRTERIDTSITGQRGGLTGSPAVRTAAEGRPNRLIRRWIRAREEGGGEGTTRQRTCGASATGRYSAWSVRS >Et_1B_010374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:165829:173140:-1 gene:Et_1B_010374 transcript:Et_1B_010374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVDEGMEVEAAAEDAVQNGDDPIRTSAGKPKTNGRRKQGTGDPAFRSPLIKPGDEARGVAAGNRALRERRPATNFYYERDTDDDDDDVEALNDLVKPESPKRRKMKGRPRKTKDEQMDSEVQFSKSHGEINGNGKETADNQVKPETAKKRGRPRKTELEQLDRTCQFPNGKNHSIMSRKNDKETVKKRGRPRKIDVEQVNSKAQLSNSKSHREMNENEGKKLTGKDGLMCHHCQRKDKGRVALCILIYQKMKLLKNARIVARPPPKKETSQENQIHYACHIVRLLLPWLRKLRQEQMAEKELEAKVRGIPTNEIKVEQVVNRCRTSIVDFHRSCKQCFYDLCLSCCQELRRGEIPGGEDVENVLPEPRGSSYAFGNISQSITENDKRVSIREEKDSPHSEACTEIAHAENPINPLLLWKAKGDSIPCPPKEIGGCGGSLLDLKCLFPDKMIFELEDRADNVLRSDTFAKEIASKSNWCPCFDHSGKIRNGIKSLREAANRKDSSDNFLYCPVATAIQDDDLAHFQMHWAKGEPVVVTDCLQLTSGLSWEPMVMWRALRERTQGKAEDEQFAVKAIDCLDWCEVEINIHKFFSGYTTGRAHPKNNWPEMLKLKDWPPSSSFDNRLPRHGAEFISALPFSEYTDPRYGPLNLAVKLPAGVLKPDIGPKSYVAYGFYKELGRGDSVTKLHCDLSDAVNILTHTAEVPCYHLEQIENIQKDMRAQDLQELYGGVKSGPGVNVLPAPVESMNRSAVGVKPQENAGADDEQSSVDIQDTPPVDSTKQLNAGGALWDIFRREDYDILQDYLRKHASEFRHIHCNPVKQVVHPIHDQTFYLTAKHKRELKEEYGIEPWTFEQKLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECVKLSGEFRRLPSWHRVKEDKLEVKKMVVHALNEAVNFLDPCSSDGLKSGNGLPNNSDEAVDERQPKKGGPSRRKRKAR >Et_5B_045556.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:4372172:4373356:-1 gene:Et_5B_045556 transcript:Et_5B_045556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQRRYLNLIVDSRVHGTKSLCRIDLNRQGLFNKTTTDTADDERKQTTSRAAPDSVAGNHQKKKHAAINLGRITLPRPCFNFPVSASDLKDQRLQCLPVADGKVFCADQLGRGFLVEADTRHAVTVPRLRKPKSRPISLFIPGTDLDDHEHDRGSFFIMERTPKPEASEESDQFEAFVYGRVSWHYRKCWSSRLLPPPPYLRDITTTCLHSCPEISAYAVVGSEICISVDGVGTYCLDTTTYKPYKWRELGRWTLPFYGKVEYVAEQKLWFGFSAEDPRRFAAADLSVMESQEPQLLGRLNDIDLPEEWKECRDPQLVYLGSGEFCIARFFHTGTPHGNSGDELNDQSFAVLTGVAVVLHPNGGDGNAKLGMVIHKSRCHKSNGVDDTITAVF >Et_8B_060233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7688596:7697556:-1 gene:Et_8B_060233 transcript:Et_8B_060233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYATKPLSAFKSHPETASRPPPEGRNSGYLVVKGPDDDGEDDETCCWGTCGGSRVWELPFPQNRVLTVRYTEQHGESSTTYAHAYVFVPVPDQPLASNRYYAVVATGSRAGLVRACSREEDMTPCCFCRCISDVEPQPFDPADVYQQVEIVQRRRGRFTARAVAADGFPYFLFRKKYWRVYASKPKGFDLAEARGLDAALRSRQLSDADGLLGVIPAPASTAAVGRWYCPFYLVREDGVSLREQMDRSAFYEVTLEQRWEPAHELGGGSKLGSKKALIGGSVEAKQESGSSRHGDTYVWFRAAATGQSVGLCTSVWERMRWEEFRDGWVDEEEDAGKVAGGSVLLERFVVKRMDGSVAVAFDFVHLHKVRPKQPLSLVKRHPAGASEETPEGPYSGYLVVKDAEDRKWSRWRPVEDLPFPQNCVLRLEYIANNSHGEKQMYDDLVMFVPVPDQPLASSHYYAVVATGKHKGLVRTCSREEDRFLCCFCCPIVRDVEPRPFDPADVYQQMKIVPSILRGRFKAEAVANDGIPYKMYRKFWRVYASAENLEVGEALGLDAALRSRLLSFASLLDGFPTVAVRTAVGKWYCPFFLVKEHGMAPPEQMDRGMFYEVTLEQRWETVHSKAVRDARGGSTLASKKALIGGSVEAEQETGISPHGDSYVWFTAALGQRVAVCSILWQRMLWEEHRTGWVDKEENAGRVAGGSVLLVERFAIKRMNGRVVVAFDFVRLDKIRAKQGHTEAASLPPPEGQNSGYLVVKSADEDGEDGETCCRGTSGGTPVPCGTCPSRRTVCSRCYTQRSTARATPSTPMPSRSCPFPTSRSRPTATTPSSPPKSTGTWSGRAPPRRTRTAKPRPFDPADVYQQMEIV >Et_2A_016154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21547468:21550064:-1 gene:Et_2A_016154 transcript:Et_2A_016154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKSGGSSSAEGAPLARQGSVYSLTFDEFQSALGGGGAAAGGGSGVGKDFGSMNMDELLRSIWTAEETQAMTSAAGAGTGAPPAPLQRQGSSLTLPRTLSTKTVDEVWRNLVRDEPQEGASGAGGQQQPHHRQSTLGEMTLEEFLVKAGVVRENPTAPALPPMPPPPRPVPVAPKSAAAFFGNFPGADDAAAAAALGFAPVGMGNHMALGNGLMPPRAPVGMRGGALAVQTAVNHLDACGKGNSDLSSPSDPLPYSFDGMIRGRRNGAGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKEQNKELERKQVPEMLKDPFGRKKRLCLRRTLTGPW >Et_7A_051715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23586573:23587774:-1 gene:Et_7A_051715 transcript:Et_7A_051715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FDGSLALIAASIASDGTLARQRRPPLRDLPSPWDKPLLTPSSLPRLQALAPPPLRPSIHPRFSGSPPSGAPAPRLLHPRVQWPVLPPNTGPTHRIPSICFTLPLLRDERWEFLGCRYGLTLLLNQTHLELTVNKGPKIVCNGALLWDDGHAGRVPLEVFKVVLLRIDDHVSASLYESVIGLWGNLLSTFIKAPISRKRSSILIGNSLYWLVCDYVGGGILELDLERDTLALIKHPVGADIDRGSSFQILRMEDSRLGFAISSGMRIQLWEGQADSNKIIQVDKLLPLNSPTVTSWQMLSGYDEDGHVIFVLADFQVFMIQLKSLQFKHIFRSSILMPYHPYRTFYATGIAFFLMYLFVAIPFMQ >Et_2B_021152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2765184:2769132:1 gene:Et_2B_021152 transcript:Et_2B_021152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARDSAAAAAEHYMADEHDGGASDVEMDVEAVEEFHDQDADRRDGGADGDDDDYSLLTRISDTSVAEAKAGKDIQGIPWDRLNISRQDYRKARLEQYKNYENFPQSGELMDKLCKQVEPSSKYYEFHHNTRLVKPSILHFQHDVYFMSNSTVGHWSSLSHKLSEVLDFSGHVAPAEKHPGSLLEGFSGVQVSTLAVNEGLLVAGGFQGELVCKGLADCNVKFCTRTTLSDNAITNAIDIHRSTSGSLRITVSNNDCGVREYDMERFQLLNHFRYNWPVNHTSVSPDRKLLAVVGDDRDALLVDSQNGKVTSTLVGHLDYSFASAWHPDGRTFATGNQDKTCRVWDIRNTSTSLAVLRGNIGAIRCIRYSSDGQFLLFSEPADFVHVYSAAADYKKRQEIDFFGEVSGITLSPDNESLFVGVCDRVYASLLQYRMIHQFDYLDSYM >Et_8B_059498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18503274:18504863:1 gene:Et_8B_059498 transcript:Et_8B_059498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IVKNAACLNSSSADTGRGRSKLSSNKVTHGFHLVEGRSGHDMEDYHVAEYRYEKDHELGLFAIYDGHLGDSVASYLKANLFNNILKEPLFWSDPHEAIKSAYSSTNKFILENSKQLGPGGSTAVTAIVVDGVDMWIANIGDSRAVVCDKGTANQLTVDHEPHTTNERKRIEKHGGFVSTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDINHIQINSNIEFVILASDGLWKVMKNQEAVDLVKSTKDPQTAAKRLTSAALAKMSKDDISCIVIRFRC >Et_4A_033207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:247864:250350:-1 gene:Et_4A_033207 transcript:Et_4A_033207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDDGLRCDNHSRLGAGPEISQSSRCTNEVTEGRNRRYHQLKCSESNSGELCLDRIPNFHCKSLPTRSRITNAEQTIVGKRGSMYQSSSEISRIRKIQEGRRKIDSAFDGDAFLSFDIVDSGSQPSTSGAYLHSHQNRRSGAKASVENARKVHRASRDFLDLSFRELPDENFKLDRPRLDCTLLKNDGDDGFLEISLEKELTKGPCRNAASHLLDIESGKSTEAICQHKTNENNCGGDRERDSASSSKSMPAKVSNFDGTRRSENVHHGIENNTKARPSPFKKILDPIMKSKSVRNPSLMEKGDSNDITAPVGRKNSMSRKSLLSDFSRTEQASCHPYGEIQHMTSVLSPAHLQAVLKLDSRNGVQVFEFCVEGPEESISARSWKTGNELNSIYTFHSGGKRSSAAGRISKDGGCCSTPIVGQVQVSSYLCSEVGKDGTMNNSVVTEFVSYDIAHARRALEEKTQCTETSQPPVCGVVDKSNSGESPQTINLLDHQKNARNNSDVSTSCPWSEEDLYPHLEIAATVIQVPINKTTKSKEMKNGSSPCTVKVVTPSGLHGLPSDNEASPSPLLDRWRYGGGCDCGGWDMACPIVVLGNAYDNNWAESITLNAKHPMELFVQGSKEVLPALSMKANGKGQFLVDFHGRLSALQAFSVCISLLHCSEALMAISLEKGKHKLYSSSLKMLLEEDVRHLIEAVTAEEKKKTKKRREKAPPSVVLDPPFSPIGRV >Et_1B_010668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12904764:12909651:1 gene:Et_1B_010668 transcript:Et_1B_010668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLANMFSALRLDADNDGEADIIEVPQASSSTEETTTSKADKNGQDNIVTVNYEEGTIVTSSGDYKMPLVWIDLEMTGLDITKDRILEIACIITDGKLTKQIEGPDLVISQSKDCLDNMGDWCKTHHAASGLTERVLQSELSELDAETQVIDFVRRHVGTATPLLAGNSVYVDLLFLKKYMPRLAAIFSHVIVDVSSIMALCIRWYPKERKQTPRKQKTHRAMDDIKESIAELKYYRDNIFKPQKSKR >Et_4A_034645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5120143:5121418:1 gene:Et_4A_034645 transcript:Et_4A_034645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLRRWLPCCCCCCFGGGGAASGSVADGLVWDVALKAHALGDYSVAVAQANEELEDQAQVLAAPSATLVGVYDGHGGTEAARFVNARLFSLIQEFATENGGLSADVLTKAFGATEEEFLGVVRDSWQSRPRIMSVGSCCLVGAIEGGTLYVANLGDSRAVLGRRASNPGGKGRNNRVVAERLSRDHNVADEDVRREVAEMHPDDSRIVLNSHGVWRIKGIIQVSRSIGDAYLKKPDLGGNGNSNNPMHVYPFPLRRPVMSAVPSIKTRKLRPGDRFVIFASDGLWEQLTDEAAVAIVAASPRRGVAMRLVRAAQLEVARKKEVRYETIQKAEKGHRRRFHDDITVVVLFLDTCNGAAPSSPDGIDSTYAPVDVYSYSPGGDQEDPTRPVLR >Et_9B_064183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12289557:12290136:-1 gene:Et_9B_064183 transcript:Et_9B_064183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGRCSFCEKQACRIIHPPSGGHSGDIDGLTNLYHPAHKVHRDDFSGLLESDSIYFDPDRDFELATVITNAFCKDMPLMTKIPGQGYLF >Et_1A_008766.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12183226:12183498:-1 gene:Et_1A_008766 transcript:Et_1A_008766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNTKLYLQNCYIMKENERLRKAAMRLNQENQALLSELKQRLARSAAAAATAGVNNNNAPVANRATKQAGHDAAPPVQAGGKGKPVPKP >Et_4A_033249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20402580:20404584:-1 gene:Et_4A_033249 transcript:Et_4A_033249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESESSVPLYQGVSAGAVHAGSVSHQVIPGAGMISGMGALLAGFGMAPAGMFLPGRPPALPAGYGGAGADVPGAVWNGVAMAAGQPSRAAGGGNVVERAPPPGPPHRGPWTSEEDDILKNMVREHGERKWAVVARALPGRIGKQCRERWTNHLRPDIKKSHWTEEDDKRLIHAHKIYGNRWSVIAKFLEGRSENAVKNHWNATRRSLRAKRRLKKKKNAPASPPGQQLSELEDYIRGLYPGDAAGAGADTPAPPASPPSPSSYNQQIGYGEAVGSPASGGAAAAASPAGFDPAAGMGMMYLNEGAGGSSSASSSTSSNVHHASTSAANAGHQYYSIGGPSGGGRDGGADDVVEMASREFLMPSQDEVTLDLARFM >Et_6A_046812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2087987:2091236:1 gene:Et_6A_046812 transcript:Et_6A_046812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGLTSDCCENGWRLCDFQEYIYGPKLHWPENVDFEALHQQYLNGKEPLPCEEFPPRLCPCGVPARKGVVPSELGYGYFCGNTIGKDGWHTRRCDWETFVGRSKFFDKVRRTVPPWDKRLLEETRSTIRRENGIVIPPHWIIWNIEHELKTGFEGALLHWRKNKDSYPSRIHWEALLESMREDGLLSIIRWNNCSELMQWCNHKLKELADPVMQEERRKKKLEEQRRQEEAYKQAQFLNPRSAESYFARLRENKRKQREEQARAAMVASGSKLGNVDEGVVEPHGAATIVGEGGFIATLNDRERNHKLKYNNWRESVILQN >Et_1A_005996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16483759:16485822:1 gene:Et_1A_005996 transcript:Et_1A_005996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGPCSTGHGHSRRSRSRRSSSGSGQTVFTAECTDQFDSLSVTGGTSWRELPSYRSMPPPPPASAVPTQPFFRPMEPRVFDDDDPVERTPRPLREDRRSGGASAEAASSGGAVALTTHCEYSALARDASADDFAVLVHARAPGASAGGASAPRAPLDLVTVLDVSGSMVGTKLALLKQAMGFVIDNLGPRDRLCVISFSSGASRLMRLARMSDAGKSLARRAVGSLAAGGGTNIGEALRRAAKVIDERMHRNAVASVVLLSDGQDTYTAPRRGAFGRGGGAANYDALVPPSFAHTSAGRGSAPVHTFGFGTDHDAAAMHTVAEATGGTFSFVEDEAAIQDAFAQCIGGLLSVAVQDLRVDVACVHPGVSVRAVKSGGYKSRVDGDGDAASVDVGELYADEERRFLLFLHVPRAHAWDDAVTQLVQVSCSYLDTASGRDKAVAGEVAAVRRPARVEEAASAEVERERVRVEATDDIAAARAAAERGAYAEAVEILRSRQRAVARSAVAREGDTMCAALARELREMRARVADGRRYELSGRAYVLAGLSAHAQQRATSRQMTFVGGGGAEKKRSSEISGERSLAMATAGSTTSGHTKY >Et_2B_019062.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28630970:28634021:1 gene:Et_2B_019062 transcript:Et_2B_019062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGTNSLLPTLHVLVLLLLLLLAGEGKAVPTTGDRDTLLAIREEWGSPQQLASWDPASDHCAWKGVTCAADHGTGGVVVELSLPSLNLSGSVPASVCALRNLTRLDLSGNNLTGTFPAAALYACAQLRYLDLSSNNFTGPLPRDIDGLSPAMEHLNLSTNSFAGEVPPAVTRLPKLKSLRLDNNHFTGVYPASEISELAELEVLLLESNPFSPAPAPPEFAKLTKLTYLGMFNMSLTGEIPEAFSALTELRTLQMSWNNLTGTIPAWVLQHKKLELVYLYRNSLSGELPRNVTAVNLIELDVSKNELTGEIPESFGNLKNLQLLYLYINQFTGTIPASIGLLPQLSDIKIFTNQLSGELPPELGKHSPLGNLEMCINNLSGPLPETLCANGLLTNIVAFNNSFSGELPANLGDCVLLDNLMLYNNRFSGDFPAKIWSFPKLNTVMIQNNSFTGALPAVISFNISLIEMGNNMFSGSVPASATGLQVFKAENNRFTGELRADMSKLANLTDFVVPGNRITGSIPTSIKLLQKLNALDLSDNRLSGAIPPGSIGLLPVLTMLDLSNNELTGGIPSDIDNVFHMLNLSSNQLTGEVPGPLQIAAHNRSFLGNRGLCARANSGLNLQTCPGSRGGHDELSKGLIVLFSMLAGIALVGSVGIAWLLFRRRMENHEVIDWKMKAFTQLNFTESDVLSNLREENVIGSGGCGKVYRINLAGRRRDEEGRAGGRMVAVKKIWNTRKLDAKLDKEFDAEVKVLGNIRHNNIVKLLCCISSQEAKLLVYEYMENGSLDRWLHHREREGAPAPLDWPTRLAIAIDAAKGLSYMHHDCAQPIVHRDVKSSNILLDRDFQAKIADFGLARILVKSGEPETVSAIGGTFGYMAPG >Et_8A_057912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9258164:9267654:-1 gene:Et_8A_057912 transcript:Et_8A_057912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAHAQVPIEMGVESLEKFCREASRSFFDEIGLISHQINSYNGFISHGLQELFDSLSEVIVEPENDPSKKGSGAWKHATIKFGRVKVEKPAFWSRKDEADIRFKPKQARLQNMTYASTMKVEVTVQVRYLEKSDGSIKGNGGFVRKRDDVNQTRWVFIGCLPVMVNSNLCCLHSLKESDCLFDSGGYFLVKGMEKIFISQEQRCLSRVWITDRPCWTASFLSEIKRQHIYVKLVESTKSEDISGSKVISISFLYATMPIWLLFFALGISSDKEALHVIDIQDSDASVIDTISATIIESDEVCEGFRKSNKARQYVDGLIKSSRFPPAESFDDYVARYLFPDITGNRNKALFLGYMVKCLLMAHTGKRKCDEKGDFRNKRLELGGELLGRELRAHIRHALRRMVKAIQRDLDSDNELKELERYLDASIITNGLKRAFSTGSWCHPYKRNERCSGVVATLRRTNPLQTISDLRKTRQRVSYAGKAGDARYPNPSYWGKLCFMSTPDGENCGLVKNLAVTAIVSSKVVQPLVDSFMLDGMSKLDGIHCEEVTRMDKIFLNGIWVGSCVDPASFVLRLRCMRRNNLVDRQVEIKRDKCQKEVRVFSDAGRILRPLLVVENLDKIRKPNRGSYSFQQLLQQEIIEFIGLEEEEDIICAWGIRHLFGIEGEVSNYTHCELDSSFLLGLSCSLIPFANHNFARRVLYQSEKHSQQAIGYSMTNPLLRVDTLSHQLYYPQKPLFKTVVADCIGRVDYASGKTDDFVRPEYFNGQNAIVAVNVHLGFNQEDSLVMNKASLERGMFRTEHLRSYKAEVENKEGAKRFRLKGKIDFGKMESKWGRVDNLDDDGFPYIGASLHTKDIVIGKTSDTGEDHSIKLKHTEKGIVQKVILSANEEGKKFAVVTLRQHAWPKRCCGLSGIVPNIVINPHSFPTRQTPGQLLEAALGKGIALGGTMRYATPFTTASVDVIAKQLHHYMLSKGLDFKDGEMKVFSTAALVKECNPWFSWALPFTRAANLRERLFVLSDFSKMYVCQTCERMANIIIRCVPGGRKIRGPYCGFCKSSETIVRINVPYGAKLLYQELFSMVICLKVETA >Et_5A_040444.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:22612451:22613230:-1 gene:Et_5A_040444 transcript:Et_5A_040444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIASVPGAEHRGSEVVAREAWRAKLHLVASDWIPNNEAVVVRTHRLEQDAAVAAIEGPRAAVERRPERRGCSRGGGNEARAVFVASYVVEEAEQWRGSVEAAVAAEEARVGEDAAPALADEGGAEEVRGVVRGEVEEDLPDGVVDQLRRRAQRRHGAARREGLRGSDWRGESRRRRRVDSPQRDRERPQQRMNMILMKPILNGIRTRASTATGWLYVAGPYRPAKASRAQASTVILQAAYRKILPLLQASRLQLFES >Et_8A_057676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:668299:668756:1 gene:Et_8A_057676 transcript:Et_8A_057676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNGGGSMVYKRQLLEAKGVNRSYIDRLVHKGPNATAVDLTSVDDTRRFKIQFCTLNTCDKKDCFCCQIMKPVGLCYRTRDICQKHCPMCDPTCPPESSPQIAE >Et_5B_044856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6565304:6569166:-1 gene:Et_5B_044856 transcript:Et_5B_044856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFQGARLVRLRCCPRNGMYLSAEDDRTGVSLRRGRRAMANVWSARTTASAGEAAILLCGCHGLFLTASDHPARIGPPDAVEAQQRALSVHRAPPLDMLWQGIWKDDRFLLRNCTGRFLRGNGRYLKWRRDVTVAADNGSSMHHWAVEVLPVGPERPLLLDDSPLEEDKAKIEELLREEKSELSLKLTEINDEEQKKEDFERKMKSLQVEEAQVRIKELECQEVDKKRQIQKLKWKRTVNTPSLMKRITVPNSATTLAKVPASVERRPLYVPRERRDDITLDKRDRNFLDSSKQGETAQSGTRHTGAGPERPERPGALVDHEPSRWVAYIGRDDVHSTRTRFLVQVTTTRPNRQSETGTNWRRSHPMHPIYKEQGLDLPRAFSPPCKARMKLKLRARLPRCLGGRRAGVVDREQRARETAEILDELVRLGEDIKQMSRDVAEIRQINDELGRAWEEPESLKFLC >Et_1A_005422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10199243:10210810:-1 gene:Et_1A_005422 transcript:Et_1A_005422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALLVFALLLAAAVLVAAANEQTQAKQEESKAQVQDWRGGGGGPYGGYPGRGGGYPGGGYPGGGYPGHGGGYPGRGGYCRWGCCGRGYYGGCRCCFRPDEIPEPMYRPEVVEALLVFAVLLAAAFLVASANEQTQARKEEESKAGVQDWRDGGGYPGGGYPGGGYRGGGGYPGGGRGGYCRWGCCGRGYYSGCRCCFRPDEIPEAMYRPEVVEALLVFAVLLAAAFLVASANEQTQAKEEEESKASVQDWRGGGGYPYGGGYPGGGYRGGGGYPYGGYPGGGGYRGGGGYPGGGRSGYCRWGCCGRGYYGGCRCCFRPDEIPEAMYRPEVHN >Et_10B_003661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4524413:4529679:1 gene:Et_10B_003661 transcript:Et_10B_003661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSGDGAGGAGTSGSMDRVKHENFCRAVVEDNTTLLLTAVENFRKEAMGRIRKGSEASRTLDQEMSARLLHLACKHDAVECARLLVDGGGSGIAPAPVDARDQLTRTPLHVAAETHSARCIELLLSRNARADLRVVDGRPLLPLEVALMCRRVQVQWSPDKSVEELLAFLKGRDLTAVRLLAEKTRVVGELAYKYAMEGCVPPLAMLLLVVEEKISGLVSVVIEGIRTKRSIYNSIVDEALSMGDAPARDGNERRKALLCEIQLLNQFGSAAWRDQNDKRTLPPLLRAAKVGDMNVIKMLLMGNVDVNEVDSEGNTALHWCLSGISSTQEPRIVWLLLKNGARVFQGNKLGLTPVHTAAAKGNYKALQSLLLHEPDCVDIPSKTKETPLFFAVKNGSVDCVKLLLRFGADTKAQNLRKQRPIELGTSQDMRFILSSAKVVPCKILTEIYSSCLFTLNNSPILFVYTPPGNHSSHQKHRVMSKESCKELMDDTFDGYDDDEFNECFVNLKKSACQRDSPSTKSKSQFSSKQGSKLAPRHNHWPRHDYTRKIFVGGLPPSVDSEYLIEFFTAEFGPVEEAVVIGLQIGNRVQSRGFGFVKFEREKDMISAKEAHHVYMLGKRVEVKDAVARGYLPLEERATSTRKCTQEFPKVNHTVLDGEITEEHGPQRRRPLPEKCLPSWFFIFRKWLPGFLRDETERLRDRYPLSSLKGDFRATCRMELDHASLGYPKLSDFMRSLPGICRMCVVPVGSGPATHMVLLPPFSRPKYVPLLEPESFDHDELPESVSDNHSPRSPLNANIREDSPHNTDSQQGDACSETNVQSQQGYESSRSNAESLLDESSTGSLLDDFTVSTTQADLVESEPTRKPDLFVSVPTRKPDLVESEPTKKPDLSLLSVPTRKPNLIESVPTRNHNLIESGPTRSSKLIESGPTRISNLIESSPLPQRNECGPMLKLNLLESVATRKLELMESRPTTCFIDCPVERPAVTPSSRETDTRFSFFQSQWDKYLTPHPKSDESCIICRSCEAAMQLVPCLHKICVACIMRCNVRACMTCGSAVSGVKSSPVVDVTYRYMGFMERVSDQRCQLMVVCRGAEAIVRCAPCMHAIACRGCFLASVTLLKNCTTCGYMIEHFKFV >Et_8B_058949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11387526:11392038:1 gene:Et_8B_058949 transcript:Et_8B_058949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAYADWRANEVDGKKHGGVKAALFLYVLVVLRSSPNSANFSLVAYLYRTLHLGIVDSSTVITLLVGAVSISVALMNFVSATYIKCATSLFLFGPLVVLGYCLLALQAHLPSLHPPFCYIDREPNSCKAVKGWSLALLYLSLLIFALGEGCMRACITSLCASQFSSDDPNGLVFKRRSLTLHKLSNSLGAILGLIFIVWIQNNLGWSIGFLVCALVVLVGLLVAASGLPLYCIEEPTGSPLTRILQVVVAALKKRHSVIHDGVALEEIGEAVCMDRQGNLHTQRKGFLDKASIYAGDTSPWSFCSTTQVDETKAVLQMLPIFLSCLLVFLPFTLLMTLTIQVGRTMDRRIGAVQISSASLIAIPTAFHMLLQPVYRRVITPVLRRMTGHEHGFSPLLRIGAGSICGVVAACVAAMVESKRREAAENYNPRRAGADVPISVFWLVMQFFLLSIMDLASFNGLFEFIKREAPAGMKPIAAPIQSGLIGLSTWLACIFIRLVNVATRYNNDGRGWLDGSDFNRTNLDRFFLLLAAFQFIALTNYCFWARKYVSKPTRCDVRA >Et_2A_018069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9847103:9854453:1 gene:Et_2A_018069 transcript:Et_2A_018069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIDSLVVGRVIGDVLDFFVPTVAVSVQFGTKDVTNGCEIMPSIATTAPAVHIPSMIDDLFTVVMIDPDAPSPSEPTMREWLHWMVVNIPGGNDPSQGAKVVPYMAPRPAMGIHRYMMVVYKQMSPLYPPPPPSVIGDVVDLFVPRVAVSVRFGTKDLTNGCEIKPSVAAAAPAVHISGRANDLFTLVMTDPDAPSPSEPTMRECLHWLVVNIPGGSDPSQGEEVVPYMGPRPPVGIHRYVMVVYQQKARIAPPAPPAGVDAARVRFSTRAFADRHDLGLPVAAIYFNAQKEPANRRRHY >Et_3B_030833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7869444:7871944:-1 gene:Et_3B_030833 transcript:Et_3B_030833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLNIIVQAREKGFTSFKRKRLNWITTAEYWKTMKSHQQHSNATDTGAKSKSSWNKMQGLKPCAHINKSSGNVVAKRRRGAGFLPSQSHTETEIACKTGILMEAPSRDSNKLSARPPSHSGKIKLQLFPIDETIQKILQQEKHNPYLELILAPRKKISSVVQHLNTKWGSAQCAKGELMLFPNDARLDSIAVSEKWTLKDSCTAADVHVAVGSPTTFRLRYGWFGPNLKQQISESSLASAHFAGSTVVNKASDLVFSEQKQMVASSEFPSNFVKPSIVDNTNTVQAVDNQIKVAPLSWLDGISNISFGALLSEAAPSQDSKPLPSQMSLGLQQVPVTCDSFDAAIASLIARQQGSNQPRVSNPSLWEAEETCHAFSFQNQTSKRTSSSVPGNSNGTVTASVLGAIPETGTDDDQQCSSKGREEVLNTQTPVPTDDHNAKPEVSMHESTGDPELGASCSRLLSGTDSLGLTSLLTNSLDAFQNFSIF >Et_4B_037998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25383262:25385169:-1 gene:Et_4B_037998 transcript:Et_4B_037998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAARLILLVAVASALAGLSDGAWCVCRTDQPDAALQKTLDYACGAGADCKPILQNGACFAPDTVRAHCSYAVNSFFQRNNQNSQACVFSGTTTLVTSDPSGNGCQYPASASAAGTSMNGGNGGSGAYSPPMLGPSAFDNNGAGFSTVAGVTKGVVILACCALMAL >Et_1B_012246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30067072:30073486:-1 gene:Et_1B_012246 transcript:Et_1B_012246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGGRRLRPGVEVLVLLLLIAAAALTPSARAATDPADAMVRAIKFICGVCGEWGSNIYWPGVLHVIREAFILLLMASFTLLHAVAAINGLYVALGSPSLPGWTASGGDPCGESWQGVTCVGTSINSIVFNAANLGGQLGSLGKFTTIAEINLSNNNIGGTIPEDLPATLQNFFLSDNQLTGSIPTSISKLQSLTAMSLNGNHLDGKLPDAFDSLTGLVNLDISSNNFSGPLPPSLGNLASLTTLHVQDNQLSGTLDVLQDLPLKDLNVENNLFSGPVPPKLLNIPNFKNDGNPFNTSTAPSTSPSLTPTGSNASTPTQTPSSPSSSSGTPASSSTPSNTSGGTTARDSNSPSSKKHKSSTLRTVGYVLLAIVLFVVMVLLVIFCLSKYQERQSRQDYATSQLGRVRQRAEEPKIKQAPVQPRNDAKIGSNEIPDRKQAREINLTIPGPSTSATSFSVASLQQYTNSFEEQNLIRESRLGKVYLAELPEGKLLEVMKIDNANDRIPVDDFLELVARISDIRHPNILELVGYCAEYGQRLLVYNHFSKETLHDVLHEGADLDDALPWNTRIHIALDAAKALEYLHDTCEPPVVHQNFEPDNVLLDDGFSVRVAECGLAELMGSSSVTQLSGRMRALLNYEAPEIHESGVFTDRSDVYSFGVVMLELLTGRKPYDSSRPRHEHHLVRWAGSQLHDIESLSKMVDPSIQGECSDILLSRFADIISRCIRPEPEFRPPMSEVVQDLARIVGATGDESGLDMQILLLEAKATLL >Et_9A_061000.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:5489229:5489626:1 gene:Et_9A_061000 transcript:Et_9A_061000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACLAIWLLNCPGFSVVSILSCPGGGSSWKFCTNLPSVMPMMGSARMIPGQLRRPTPKGTNRKSLPCASTAFSSSKNLSGRYSSGLTHFLGSLARNQALTRILDSAGMS >Et_3B_030645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5923085:5923886:-1 gene:Et_3B_030645 transcript:Et_3B_030645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GKQSGLFRRLISFLRRNRLYATAHALERQTGVFFDAARLRRMLLDGRCAAASSYALRFVTVGDCSPEADELNVRILILRVVADFAAGRAGGVDDLFLRLYNSLGAYPGCQGLRKLLLSMRSDRTKASTIYRRIKHKTVEVIMNLVSKCPEFQSKSRLPRCTYDPAYNMSLGSGPGYNFHYHIRA >Et_4B_037735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2323197:2328135:1 gene:Et_4B_037735 transcript:Et_4B_037735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PLLHRHPPLPLLHPPPLHPRPRFLPFSTQTLAPAAPPDAAPTGKPAGLAFLEAAEVHESAGDHKQALDLALKALVPLQESHGGWSLPVARALRLAGAAASRTGRISDGLESLGAAAEIVDYLWGARRGDKDVAAVGAAVHEELARAKTTEGRRWDAVGDLRRALELKAVFLEAGSGELGDTYRDVAEAYAGVLDFDKALPLCLKALEIAEGRSGEDSTEVAKVRRILSVVYTGLGRNEDALEQTELARMVYEKLGLDVELSQVEIDGANVRVLLGKSEEAMNYLKRVLQRSGKDSEERALAYVAMAKILSFQDRCEDSRRCLEIARGIIDAKNSMSPGRVAEAYAEISMLYESMTEFETSLTLMNKTLALLEGASEMQHAAGSISARMGWLLLHTKRVGEAVPYLEDAVDKLKNCIGPKHFGLGFAYKHLGQAYLEMDQHQSAVKFLVLAKDIIVATFGPVHEDSIDTYQSLANAYGVMGSYKLAMDFQEQVIDAYKSCGTDSLEELKEAQRLLGQLNKKAQGSRAAVFPANSLPVLPETNDYRTGALPFIKASANAAEFCLSCLAHELSNEELVDISV >Et_2A_015238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:63889:65662:-1 gene:Et_2A_015238 transcript:Et_2A_015238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAILLPVPAAPASATAPLRRRHRHGHLSWSSRARPPSARVHRVSAAASVIPASDNWGNWTFLLTTAAVGIWSEKSTAVGKALSGALVSVLLGLAASSAGVRLDYLLPLAIPLLLFGADLRRVLRSTGDLLRAFLLGSLATTIGTVVAFVLVPMRSLGQDNWKIAAAIMSRHIGGEALEVSPSVQVAGLAADNIICALYFTTLFALAAKIPAEDSRPTAMLYSTWEMMVKAGPNRQPPATSRLLCKVPWPWRQLTTSLLGIQGGSLPCITAIVVALATLFPSHIGKLAPSGEALAAGCPIQSTWCWQVFFAVVGANGSIGNVINTTPSIFAFAFVQTVVHLLVTLGAGKLLGFDNKLLLIASNANVGGPTTACGMATAKGWTSLMVPGILAGIFGIAIATFLGIGFGVFVLKYM >Et_5A_042754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3764281:3765324:1 gene:Et_5A_042754 transcript:Et_5A_042754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTAASSLKNRTFQYSVVKCLDLLVTPHFRPAAESARRELVRLPRQDFSWVPYLDSGDREQWNAMHSSLTQWLHPNPRCCNHHTPTASDNSMTTTLSAVSDLEPVIERHIPLAEYNAHRSKSALVDDDDATTTTCLKDVPHLKLGLLFSPHGSPEEAKTLGPAVESAAARSRPAALEELDEFVLPKAIDCLHRKPEAAAYQVTWKARHGMAFLKVKKAGLVVKTPPMHFGTGRAMIRQPRDPKLERWIQVVIDFFNLWAVRAPRWLQGSFLEWFRRRTKCNKGARPTVC >Et_4A_032448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10107382:10113143:-1 gene:Et_4A_032448 transcript:Et_4A_032448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDLSVRGSAARKAELQTLAPVPLLGPPPPPPIPPTSGMYLPGPPPPGVLLPRPIVYQLNPVAFPHIDECRSRSLIKFIVDSGVVPKPEEERRRELVVRGLGKIVMDWAKRVAYEQGGQYWFTTATVLTYGSYALGAHGPESDIDALCVGPCIASLQHHFFVVLRQLLEGRPEVSELHSIEGAKVPLMRFKFNGVLVDFPYVQLPAMHAFDPHVLENVDGASWRCLSGVRVNRQITQLVPNMKKFQYLLRCLKLWARKRGLHCHLLGFFAGIHLAILAAYVCRRHPNSSINSLFALFFEIFVHWPWPRPVSLLDQPTLCRSPEGCSLMPIMLPCNPPEFCSSSITESTFNKIKEELQRGYAFTKDMRSTDIEWSLLFAPFPYGAKYKCFLQIVLSAPSPEELRDWVGWVKSRFRNLLLKLESLGIYCDPDPSENVDHTIIEPNVVFFWGLVYKENIQICTSSLKEDFMKSIINNIYGKEKCAHSDIAMSISWPAQLPKFVFGRSVYSENLPPCMMVNQLMKQDNHAGLVHASSAGNRGKFFSGAGCQELDLSSSFQLKIVYLFLLYMYIHVHSIAIGIQVTEGYHIVGI >Et_9B_064003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:163503:168727:-1 gene:Et_9B_064003 transcript:Et_9B_064003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATAALAARSKVQAFLEAACAGDLDSLKKLGAALDEEGQGAAAVAAAVRDANKRTALHFAARQGRTDVCAFLIDQLGLPVDPKDDDGETPLIHATRQGHLDTAQYLLDHGANPSVASNLGATALHHAAGIGNVELMKLLLDKGVDVESESDAGTPLVWAAGHGQQDAVKLLLEHNAKPNTKTDDGITPLLSAVAAGSLPCLEVLIQAGADPNIRAGGATPLHIAADSGNTEVIKCLLKAGGDPNASDDDDLKPIQIAALSNNREVVELLLPSTSPIPGVSNWNVDGVIEYTLSKEVEQKAQVKEATSLKSARPQPVEVSSDAKRKSLEAKSRGDDAFRRKDYLVAVDAYTQASELDPNDATVLSNRSLCWLRAGQGERALEDAKACRALKPDWAKACYREGAALRLLQRFDEAANAFYEGVQLEPENKELVSAFREAVEAGRKFHGTDKPNPTQ >Et_7B_054211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17186933:17190606:1 gene:Et_7B_054211 transcript:Et_7B_054211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRASLSRSVKEIRVLLCQSSPASAPARDFVKKNYADIKARNPSLPFLVRECSGVQPQLWARYDMGVERCVNLDGLTEAQIDKKLEELAKAGESLQAK >Et_1A_006161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18665889:18671369:1 gene:Et_1A_006161 transcript:Et_1A_006161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEERSEELLPSTPAEKIPALHHSNSTSAIHEKKKRDRSTPTVTTEVRRSTRIKYGLKGFKNDQCAGKECFACSTTPSTLSPSMIKKLGHTFCKIPLEEISEPKLTAKRKLKTVAKDKKNETKEVAMNEFLPPNTALEAIQHS >Et_7A_050416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14002032:14002685:1 gene:Et_7A_050416 transcript:Et_7A_050416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPASSSPSPLQPPAPSATRRHRRRQLLPPSSSSSATSCASSSSSSSASSSGLSFPSFSPAPSPFHHRFLSPLRASAVPFSWEHRPGIPKTPARKKTAPLPLPLPPSLLLSGKVGAASDNEDPFVSSDAVAGDYFIVSEDAKTARRRRRAPALAATLTDWLAVLSLYRSCTRARDCLAGTPPPRPRPRPPAKAAAA >Et_8B_058899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10681722:10684350:1 gene:Et_8B_058899 transcript:Et_8B_058899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTHTCQMWEDPMPSCGEFLLEQGTCADSSSCSQAPPRTCCTIEGENHGEDCGDGGGVDRISGLPDVLLFSILLRAPHITDDDARIDIMQRFLLGGLPHAADAARTSVLSRRWRRVWAHLPELHFLYDDASAAALDRVDAALGAYAAPSVNHLRIEFIEKEPPLESLEPPFLRDERPTRVPGHRISPWLRFASQRVTGSLCMHRGAHLGSLQHRRQGGAPSPASLVREDYLNKTQPHRPLTGECTALVSLTLKDAQLDSGELEDIVSSRCCPRYVLSLVCVALHGTHQRLKLQSDSLQKLCVNLCEQPECKLIHVTAPELRTLYTRIYVADAYIAAPMLSEVHWCSHQYNPSRHQIAEAKSHLRRLEINSSGEAEVMALMQRFHTVHELILKVSIWQGAKAYKRFLSSISKLSRCEVLVVKVEFSFSVRHAFKPTLLCDVFSGIALDDFECRSTGCPCGFSENSKADDIMLGSLEEVELNALSGGDDKIELVRLLYKLSGTSRKRVVITISRGSASTYMRDNIQSICPPNNKVKVECKE >Et_7A_051956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3205878:3211182:1 gene:Et_7A_051956 transcript:Et_7A_051956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNARVYLLLQVRAGSARAAVSHEGPQTDLRRVAMTNQPVYQVRSPAFNGSRSKSTNASQKSSSQNTAPGPQEEPSKSRSNVSRLVLGTLLVGAATMGAYQAGFIDLQFKDLKLPFSIKKQDDLKMNEDLKAPSEEKFEQKQIMSEPNVVTVQETDKEAFAPKNVPDEVVNKPETPTEQSNPAEEKEAKTLDHNTHSVPDEHGSDTKQPLQDTPAVEIKPFVADDKVIDESPHKEQIDSMVSPVQSSPPTVKPHHDSLTVADERKDTTGADAVEHKSLADTYLLQDEPDVSKDVSAKETKTDEVVHKKTSEDGKIVLDIIEAIHAAEKLQADADAYMFSEEKRKLKEKYEKELKDTRARELMYAEEAAILDKELKKEKMKHAAAIKELQEKAEQKMRDELHMKEEEISQQIEKLQELAKAELAAAVAKEKASQLEQIAEANLNFNSLKETIRHFSLIPSGGGGILTHAVARVASSLKIKEDTSGDGIESIINRVESLIVDGDLSAAADTLEGGLHGSEAEEIATEWVKQARKRAVAEQTLRLLHACASSITSA >Et_7A_052555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9269088:9273060:1 gene:Et_7A_052555 transcript:Et_7A_052555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILDRIRGGGDKAAVAERPPQPEYWVEISESVSRVCSFDPTGGGGGSISVKVMQDSRPIHDKVVDSFLNKFFPSGYPYSVNEGYLTYTRFRALQHFTSAMLHVLSTQILKDGMQHAGKLICSSMGARMDSEPKSWRIFADVLYDLGTALDVISPLCPQLFLEVAGLGNFAKGIAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVMGIGAGIGLASTICSTTQGKLVAGPFLSAVHIYGVVQEMRATPVNTLNPQRTAMIVADFIKCGKVSSPADLRYREDLLFPNRLIEEAGSVKIGQPLHRVLSPQLVERSRTTFPNEKFLLCPKSNKTYMVLEQSASGEDALRGWLVAAFASDMEKSGIGSRDMVLHEAYEKMKGVFPRFVSEVRSRGWYTDQFLDGNGSRIALKSFSSFFLGGKEKFQ >Et_9B_064381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14669184:14672255:1 gene:Et_9B_064381 transcript:Et_9B_064381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAVKLNLIVAPGCLQWLEGVVLDADQVVHQVDHLQHHDEPVVVVQLPSVEVILEPLPTPLRLVVHDGDQHRTKVAPHRVRQPVQRGRSAPQALWRLVVEELHAADVHERVGHAVDGVLRHEPEHAHRQDGLGVVEQPTRGGHGPAPPLDDRGHRVGHHGEGHADARAPEVGDAVGVARAAAKHADEDAVVEGEGEQHRDVGEDEHGGRRDLEARRDVAVHGARLLDREAVAVRARGHQEDAGRPDGQHAHDRLEFLDAVHGRQPPQVRFAGRLHVAVCHDRRLLIGVEKAAGGGDGGVELLVLVQETRVWRDGFPLLERGGGDLRQPDQRTPARRNLDVHRVPGHERDGRREHNDRGDAKPPPPPDVLLDVHHGSHGRELGELDAEEVEIEEAPLGPLGAPWPAVRVQLELVGAERHDAGPRASRADGRAEQRQVEHGDLVRRRTVAEGGVCRARRRVERGEHGGECEEGHAEQIDEGAERDGPEAAGPGVGDEAADERGEVRRAVEVGDGVGGLHERQVQLQGEVRDQVRAEPNRREPVAEVVRCCCAYTDMAGNHNYPSKTDRNIQKVMTASRRSQSNKLPRMKGMVLKPPFRFTFVASAASVCSPLPSSAISLPPRFFGEAWRAMSYS >Et_4A_034715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5708005:5709883:-1 gene:Et_4A_034715 transcript:Et_4A_034715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPYLLPLLLLVFGPAAALAGRAPPPSQPISQPPQPPPPPPQKVLVWPKPSSISWSSAAYAPLAPSFSIRASPSHPSLRHAIAYYSRLIKAERHVPLVPPGNYTLALVPIRLLEVSVSDPGVPLGPGVDESYTLSVPPNSASADISAATSWGAIRGLETFSQLAWAGGGQPMVPSDIEISDHPLFTHRGILLDTARNYYPVPDILHTIRAMAFNKLNVFHWHITDSQSFPIVLPTVPNLANSGAYSPAMRYTGEDVRRIVRYAEAFGIRVIPEIDMPGHTGSWAGAYPEIVTCANKFWAPEGKPALASEPCTGQLNPLNPKTYRVVQDVLRDLAAAFPDPYLHAGADEVNTACWEDDPVVRRFLKDGGTHGRLLELFVNATRPFLVHELNRTSVYWEDVLLGPKVSVRQEVLPRDTTVLQTWNNGPENTKRIVAAGYRVIVSSASYYYLDCGHGGWVGNDSRYDKQEKEQEGTPLFNDPGGTGGSWCAPFKTWQRVYDYDILHGLTEDEATLVLGGEVALWSEQSDATVLDGRLWPRAAAAAETLWSGNKGASGRKRYANATGRLNEWRYRMVGRGIRAEPIQPLWCPLHPRMCNLAQ >Et_10B_004086.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:1232766:1233530:1 gene:Et_10B_004086 transcript:Et_10B_004086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPSAPSLARAQLCRTTTLAILSFLLLLVLVHLIVFPNDDDLRLQEEAFASSSPCSCSSSVRVFLGVLTVPERRAHLRLAFCDQLDKEEEEQRVPVAMEIVDVLILLDNRTETMDKTYFYFSAVARKFAAGDEPSYDLFVGKTDADTHYYRLADALRDSMYHGRFLLTPCHASLLEWQQYVSGTHGYVAVSWEMAEWISAIRNDQGCWEVNFFRHEHDVAADSTVPAHKRRKDRLEWARTLFFFNGAQALQSL >Et_3B_027947.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27826869:27827024:1 gene:Et_3B_027947 transcript:Et_3B_027947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFLPINRDRNHWYLAVINTKKQEIQVLDSLGTPHEKRNELTYTVKIVLM >Et_6A_046565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17004987:17007758:-1 gene:Et_6A_046565 transcript:Et_6A_046565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PPARRRLDGVDLAWHSGGGGDLLQQPPLRHTVDTSSRCGTTTSSPCSYMQGPQADPKWATHGALICRQFSPQCLEVLHGLLKLDPSERLSAADALEMDWFAGH >Et_5B_043238.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:19527367:19527732:1 gene:Et_5B_043238 transcript:Et_5B_043238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVYGLAKKSERFSVRVWIIVSQRFVARDLLKDIVKCTMGVRQAEELDKRSHSDEVKKMLHNFLLRRRYLILLDDVWAADVWDMISEAFPDNKNGSRLILTTRNEVSQIIRMQGRKSSH >Et_4B_036099.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:23341905:23341984:-1 gene:Et_4B_036099 transcript:Et_4B_036099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NREIFLNLKNPEVRLFWIQDEISPRY >Et_3B_030957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9311905:9312981:-1 gene:Et_3B_030957 transcript:Et_3B_030957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNRSGQPSESGVGLLHGDCADEPGAGDAGYWPRRLARPMQIIYQVTAGAVVLTDVVFWGVILPFMSSAHFTLNEVMGCMHSFNLVFILSETALNTLAFPWFRIAYFFLWTCLYVIVQWIAHACGLTWWPYPFLSPSASLAPLWYGQSRPHAFGGYPFNFAKTMI >Et_1B_011777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25908278:25911998:1 gene:Et_1B_011777 transcript:Et_1B_011777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTLSQTQRYAAGALLALALRQAQIHQTVLLGSHGLDDDPPSPDIADAAEADLRDLWIHDSRGLLRPVLRFLEIDPKAWPGVEKTAASSDPKHHIGAFLRKVFEDEDDDEKARSERSDQELALAKAVDAMAMGLEADFEPADLLKPDNKNHAFCSGSDRPASTSGDGSPTASRYNKEDYRKMAVLYMLLSACVADVNMAEDGMGSPRVRKGYDARHRVALRLVATWLDVKWNKMEAVEIMVACSAMAAAKEEEQACESMSPNSRWESWKRGGIIGAAALTGGTLMAISGGLAAPAISAGFTAIAPTLHALVPIIGASGFAAVATAAGHTAGSVAVAASFGAAGAGLTGSKMAKRIGNVKEFEFKTIGDKYNQGRLAVGIFVSGFAFTAEDYSKPWEGWKTNLERYILQWESRHIIALSTAMQDWIASRFAMELMREGAMQTVLSGIISAFAWPATLVTVADFIDSKWSVAIDRSDKSGKMLAEVLLNGLQGSRPVTLIGFSLGARVVFKCLQELAQSGNKEGIVERVVLIGAPISVKGEMWEPARKCLGGLAKLQMVAGRFVNVYSTNDWILGVAFRASLLTQGLAGIQAVRVPGVENVDVTDLVVGHTSYLSLVQQILDQLELNTYYPVFYTGTPKSR >Et_6B_049120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18227417:18240677:1 gene:Et_6B_049120 transcript:Et_6B_049120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGAGCNCRAAAGIDAAGLISMIVQAVQTVQRNKVECQLLVNHVMMISNLLQLLQQSETMRRPEIRRPIDGLEDTLRQAYMLVTSCQKSNVMYRFIMAGNQAQQFRDIRDRIDSYLRIYPLISHLDTRYFITGIYSQTHPSCAEPQASQEVLGSSTGHSHPDSRSEESASSNNGIESVEVQAAIEPLEVQVQQNDGYRNTEVLPNRKHRFRWLSKGTRTENRSIDRLIIGQAQTGCFTVFKFSQLAASTNNFSFLNVIGRGGFGTVYKGVLPTGADVAIKISSTQTTYQIDYFETEVLIMGYLDTHYACTGNVSVKTDVFAFGVILLEIITAQHAVSVEQKRLLAEYRLVKPHIFLVEEYMPNGNNMSNMIYGHPLDWSSRLRIIQGLAWGLHYLHERNIVHMNMKPDNIILDSDMNPKITSFGIARMLDGPMIHDNNIAGTVGYMPPEYIFEGILSTKFDVYSFGIILLETISGMSRNEPARHQASVQWAWKKHDFKQMDEVFDPSLCDKPQLNEIKICLEIGLLCTQYEMDERPAIVDVLEMLSGKKELPPPNQPEYTKERDTTAAMTAGRKVRSRR >Et_5A_040730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11601492:11601785:-1 gene:Et_5A_040730 transcript:Et_5A_040730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDQITVARDHDEEQQAALRHVALGVADVNVQSTYVTFDENITPEADEASPLLSDSGRRRAMWDEWIVRIFEKDESGQMVPQK >Et_1B_014015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27541872:27542361:-1 gene:Et_1B_014015 transcript:Et_1B_014015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSDLSAQYPSLLAEQRKRLSSMSMEDVMKFLHLSKEEAAKQLKISASSLQRLCHKNSTGRWPGRKISALTSQIEKLEQAALRNVGTTRLLEIKEQIDELKNEIKQIYESFMAGIIRENEMKKGAGSSGSK >Et_2A_016877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28995421:28999463:1 gene:Et_2A_016877 transcript:Et_2A_016877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSLLNTKFYNKCKHSFKCVRTRMGPIRRKKHAMIRFLKKDVADLLANGLDTHAFGRMDGLIVELNHSHCYDMIEQFCDDIGKQLGSLQKQRECPPETREPVSTLIFAAARFPDLPELCDLRLLFTERYGDFVEHFVSLEFVHKLDCKEFTNEEKLRAMQTIAEELSVSFDAKKLEFKLWATPETEYDMLAKGVRKQVESTMPSLNKQKGNKDAPCESKDKDIIENVSSKQVELPKPSSNKQNCEKKYEAAPVRGNEKVGIQSNRANAQPVPVVRGQFDEHYRKHQPEKSDEKEHLEKPVPPVATKRRNTQAVPDGRGQFDKNSRKHQPEKSDEKEHLEKPVPPVDTKRRNAQADPYGRGQFDENSRNQQSEKSDEKEHLEKPVPPMDTKRRNSPKGVKKVNRKVDRPSEKELMEAVELDLNGLPKKRLVATKCPETESNKIVQVNAKPKDAVKDDVEKENKEALHYRHRSRIPGGPDHNGRNAEPDLRAQDLVENKGSPVTPLSGNTRNKVPPYAKLIGANTKNHTDKPANNGFLNDSPQHFVELGHPVQKGQGVTERPSNMRPPYVKPKSTVQPVNGDPEKRTPSDYSKHSAPVQVEHLDGKDVPRPVSVRRRNAKQPAPTDAYVEVPNKEKMISQTSSSHRRHSSRQNGADHHHSGNGTGGVVGNGENVQRAPSTQPKHAGRGNGAVNRNNDYDDGLMGHRQPEEDVTAIDFGNLLPRHASAQRRHKSGCNGDLDEEERMMDKLLMHYSKKGLDPSNKAAKDSESQIDSQQKLSLHPPGRAISLPPESVSQGEDAKVPARSTSLQPAVRVHPRMPDFDELAARVNALRNA >Et_2B_021688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4511133:4513449:1 gene:Et_2B_021688 transcript:Et_2B_021688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLLLALAAAAATLLLLLPPGAEAVWLELPPSGTKCVSEEIQPNVVVVADYAIMYESHPNGHPTVAVKVTSPYGNTVHQNGNATTGQFAFTTSEAGNYLACFWIDSEEKGSGLSLNLDWKIGFATKDWDSIAKKEKIEGVELELRKLEAAVESIHRNLLEAEMRTVSEKTNSRVAWFSILSLGVCVAVSVLQLWHLQGFFRKKKLI >Et_8A_057568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4624005:4626023:1 gene:Et_8A_057568 transcript:Et_8A_057568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFPFHIEKRNEEYFQVPCDCLAPATVELSFTPNTRYFYNTKTRESTWEKPVELMRPAQAARELARKKAKQHDQERGKTDGTLVGSTDVCLLENGEPESPAELGSKIYKMSVALAPDGDGGLTKRRLKQLLV >Et_2B_021594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3444926:3446434:1 gene:Et_2B_021594 transcript:Et_2B_021594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSQRILISNNVTSIYIHAARACKLYTYVGSEALRLQARQLVGEHLPELRHALRLQRQHERESTVLPRRVHHVHESAVVHERRPHERWRAPPEVGLVQRHPHPADGAEVRPRDAAPRQVHGVHSLQVVHQRPRVVVALARHPQRAPRGVAHGVGHHLPGRGGDRGAHHRVAEVARRERRVQARAHVDHGVEPRLGVGGQRPRAAGALPHQRHHGAERGRARAPRLERGHLRLGQRDDGARVRGRGHRVHGLRLRLVLRVVVQHRARRPGREQRVVEARRHGRVLRVVAAGDAGPRLEEVRRATRVDDRVVHRLAEHYAAAAQVGHLHEQQCARRVRRRRRGHEQVPHLAARHELVQQVVEHVVRRPRCHEDRALAGAVHLDAPGAVEPQAAGERVERDQRPGEAVLHGLGGEEARLTVGPVEVHRGRVPAARGQVQVGQPDASCRRLLRRDDQLRRQHLHRRHGLLPGYLSSQASTHSCR >Et_9A_062118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19939302:19947640:1 gene:Et_9A_062118 transcript:Et_9A_062118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGADCSARTVLDEMANTGCAWPWRHRVISGRVEGGWWKPNSPGDSKMRFTVWSSVRSTEKGNKIKSGSFKLYSIGNIGNWEEYNMAYASPGTDTSTDPDADEKNQMFELGHLTANEAFDSGGKSKDELGTKGIFISTPGNQPHSTCENGTLAFETEHGRWLEEHNKLINELRAAVSTHAGDGDLRRIVDSIMAHYCESFRLRGAAARADAFHVLSGMWKAPVERCFLWLGGFRSSELLELLASHLEPLTEQQLASICSLQQSSQQAEKVLSHGVEALQQSVTQTLALGSLNSAGSSSNVADCTTQTEAAMAKLGTLENFLQEADNLRLQTLQQMQCILTTRQSARALLAISDYFSRLRALSSLWIARPQA >Et_10B_003078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16242939:16243667:1 gene:Et_10B_003078 transcript:Et_10B_003078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFSGMELQSQRSGSKREQAKGYPCGNDRVDLQYVAHGLLDPCRRRTERMQPVATPPPVSVMHITLT >Et_3A_023496.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:31091864:31092013:-1 gene:Et_3A_023496 transcript:Et_3A_023496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRAVFARELGVPIIMHDYLTGGFTANTSLSNYCRDNGLLLHIHPCSY >Et_9B_063986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:65152:70115:1 gene:Et_9B_063986 transcript:Et_9B_063986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGDADDHRNPNRRRRRSRSRSRRRSMRLSRLYSFACGRRPSVADDDPASRIGGPGFSRVVNAGARHLEEQPPEQSSNSISTTKYNLVTFLPKSLFEQFRRVANIYFLVSGCLAYTDLAPYTSSSAVAPLVFVIVATMVKEAVEDWRRNQQDTEVNNRRTKVFQDGAFCDAKWKDLRVGDIVKVEKDDFFPADLVLLSSSYEDAICYVETMNLDGETNLKLKQSLEVTSNLQDDESFTTFRAVIRCEDPNAHLYSFVGNIEIGGQQYPLSPQQLLLRDSKLRNTEFVYGAVIFTGHDTKVMQNAMKVPSKRSNIERKMDRIIYLLLSSLVLISVIGSIFFGIATRDDLQDGRIKRWYLRPDDTTIYFDPNKSAVSAILHFLTAMMLYGYFIPISLYISIEVVKLLQALFINNDIHMYHEETDTPAHARTSNLNEELGQVDTILTDKTGTLTCNSMEFIKCSVAGTPYGRGITEVERAMAKRKGAPLIADADNVNERFQPEGKVAVRGFNFTDERVMNDNWVNQPHSNVIQMFFRLLAICHTCIPEVDEQSGKISYEAESPDEAAFVVAARELGFAFYQRTQTGISLRELDPLSGKQVDRSYRILHVLEFNSARKRMSVVVKDEEGKTFLFSKGADSVMFERLSSSESAYRHVTQEHINEYADAGLRTLVLAYRELEDDEYMNFDMKFTAAKNSVSTDRDEKIEEAADLLERDLILLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMKQITITLETPDIIALEKGSDKAAIIKASKDNVVQQINEGKKLINASSGETFALIIDGKSLTYALEDYAKGMFLDLAIGCGSVICCRLVKNGTGKVTLAIGDGANDVGMIQEADIGVGISGAEGMQAVMASDVSIAQFRFLERLLLVHGHWCYSRISSMICYFFYKNITFGVTLFLYEAYTSFSGQAFYNDWAMALYNVLFTSLPVIAMGVFDQDVSARFCLKYPMLYQEGPQNLLFRWSRILGWMLYGVASAVIIFFLSTASLQHQAFRKSGEVMDIATLGATAYTCVVWAVNAQMAITVSYFTLIQHICIWGGIALWYVFLVAYGAITPTFSTTYFMVFVDSLAGAASYWVVTLLVSAAALIPYFTYAVIKTWFFPDYHNKIQWLRHRNRGQPDSNADPEFGQALRQFSVRSTGVGVSARRDAAALVRLNSKVYHADSPQLS >Et_5B_044976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7965816:7967043:-1 gene:Et_5B_044976 transcript:Et_5B_044976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSSSSLLPALLLLLLAAPPICLFSTPAAAEGARATAVIVFGDSTVDAGNNNFWPTTAKGNFPPYGRDFPGGRATGRFSNGRVSPDFYPAALGLGRDFVPAYLDPSYTIQDFATGVNFASAGSGMDGTTSLALNVITLSKQLELFRQYKSRLVQQLGADEAEKVLNGAVYVISIGSNDFMANYFAMTSPGRHIEYPTVALYTAYLVGLAQRFITDIHALGARKVGFEEIFPLGCLPAAGAALLGLCNEVPNAATRGFNDAMRAMVQGLKLPGADVRVAELYGFMDALLHNPAQYGFERADIACCGTAGLGCLPFGPTCADASKYVYWDNAHTTDRAHGLIAAYLFNNTFGAFAAA >Et_9A_061216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22352364:22353078:1 gene:Et_9A_061216 transcript:Et_9A_061216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAATRRFHLWFRGLRSLRRDLRTARWSDDPAQISALVGRFVAHLESYCAARAELDPVWTLSAPWASPARGAAYWLAGWRPTTLVHLLYTESGRRFEAQLPDLLLGVRSGNLGDLTPAQLAQIDDLQRRTVADEDALSREMAHVQEGRGVVVAAPPSGNEQLDVAGLVARVGAVLNGADALRLRTVKRAVEILEPAQAAELLVAAADMEIGFREFGLKHGSGRDD >Et_7A_052422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7866440:7872591:-1 gene:Et_7A_052422 transcript:Et_7A_052422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCKVLPRTLSSPTHQQCQNQEGRKDTANASRSDTVYKYPATKPKISVQIPASYTPNMAPPPFMHPVPARPLPVAFQQKQTQVPVEFRGPGLQMQSIGSVASSLPVKMALPLSNAPHMQPLFSHGAQPRALHQQTFIQQGQGFGCAPPANCHLPQFGNMSIAQELSQQQPRSGDENKRTIKITHPETHEELMLDRRGHSFMGVPASGQIPLHSTNQLHQPVQTYPQLQKMYCPRPGTYNSAPIYVPNTNSAPLAARQLSSKMQPPMHSFGSTNSNLPITSVKPPMPSPWLDASSTPLSNLHTVSEVSSFKGLLPSSLSAPVQIELKPPIAFPAEKNEVSSETSIRIHGAETRISRYSGESYASSQQSDYKICLDPEYAKLGSDEGNPRVQAASSDAGHNSISQAVPTQEARGEQASADHITTTAGPQNISTSNLTLASTSLVIPTTSSSHVKCNLSHIKASNRTDSAILTAASFVSTNDGTSQPDRNSKHDSSSILGKPPLIYTQEMLSPKSAGSSIFTEGLRTEKVNIFPCLEKNFEVSGSVTLEKQDFSIEEHVVNDKVMCCSKSKTDVTTPGAACGLEDDTDVSESVKIHTNHESDGSHPSVSIDDLQTSSENKCIRSDAQSTDCTVGSARHVENVAFVSNSMSSQKKIEQESIDSEISNSSSTVASSVVQKKSSVLESTKAKSTCGRKKRQKEMLPKASGQRYSDLDYASSSLNEMEIFNTSKEVQSSYTTDIKNCTFNVQKEISTCGDDNQNRTDLIDWEDATEYSTEKLELFGCIYSNSVPEVIKRDKDELDHKKYSRNFLLTFAQSCIHLPEGFKIGSDISQTVLNVHAGAPFTASSELNPIHGRIKKDRGSRSHRHMAGMFDEDKWRKQFISVSGCDSVNQPDFSRWDGIQRFGHGSTRSSKQNQPFNQYSGEMLSRAMKEVVSQRSMSRGTVDERWQHRTNVHGISSPSQVSTPLMHKAEKKYEIGKVSDEEEAKQRQLKAILNKLTPQNFEKLFAQVKGLNIDNVVTLTGVISQIFDKALMEPTFCEMYASFCFRLAGDLPNFVKDDEKITFKRLLLNKCQEEFERGEREQAEADKSEEGATKKSEGEREEKRIRARRRMLGNIRLIGELYKKKMLTERIMHECINKLLGEYQNPDEEDLEALCKLMSTIGEMIDHPRAKVHMDFYFDLLQKLSENCKLSSRMRFMLVDVIDLRRNKWRHRRKVEGPKKIEEVRRDAVKQKLGQPTRFGSSPNYNSSVTRISSGTRAGPPLENNTHESSQLSPRGSSQVRTYGSQNVNLNARCQRSNKALPVPLHQRRADKSICLGPQGHLGREMSHCGKPSVSSDILPEVPLNSHHGQTSQKSRDGSFTGAAIDRTNFKTGAYAPINQSWGATDHGLPALSTASQAHTSSLISKGVCAESQTFPDNVLQEKTILTIKEFYRQASIYHASFYIAKDEEEVVWCMKELNAPSFYPSLVSLWINDSFERKDLERELLAKLLVHLCKSQEKLLNQKQLLQGFQHVLSTLEGAVTDAPKATEFLGQIFAKFILEDVISLAEIGGLLQERNGKAELTEHHPLDDNLASEVLRSMLESIKMERGDSAVDEIRLKNNMHYFRMDDGLAKQNTSIKSDHLERIFETREV >Et_1B_014291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5129984:5133174:-1 gene:Et_1B_014291 transcript:Et_1B_014291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARERDGEGRRAHATMVGVQLVYAGYHVIAKQALNVGVNRVVFCVFRDLLALSVLAPLAFFQHRGSPAQARPPPLTWRLVGSFFLLGLTGVFGNQLLFLLGLSYTNPTYAAAIQPSIPVFTFIMALIMGTETVSLVSKEGRAKIGGTIVCVLGAVLMVMYRGPAVFGSSELEMDLHSSDFGEVSLESVSDSILGVMASALNYVLLTWSNKILGPAMVALYNPLQPVVSALLSMVFLGSPIYLGSPARQIQRDISTGRVHDALIIGAVTGARPG >Et_5A_040229.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:25042222:25042743:-1 gene:Et_5A_040229 transcript:Et_5A_040229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSANTTLLGESKIAVVFCTQEECEFEDGGDWKKCFCCMASKLCYDTLEQCRVMCPHCDPKCTRPPPPSQQVMNDQVV >Et_5B_044199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21403830:21405262:1 gene:Et_5B_044199 transcript:Et_5B_044199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDFSVAPSSYDDDRTTAHLLIISSTSLFNAIADEPPSSTAFLHTAITSAAFSLAFLPSSPPPPPMSSLTARASSAASTKLPLARSTRIATAAPRARTQRPGRHGLSSTEFQPACVTNPPTAAWARTSFCGARVGRTRPWFLVRARKPDGRSAARSPSEGCCSPLGAGGPRSTQRKRWPARGPPRAPAARRSSRRCRSKGRRRRTWAARPATAGTHGGGERDHRAHGEERRRAELGGEDARLQLGGRVHHDAVEVHHRRRERRRRHGRQPRQVHRRAILRVPELAGRLLEQRREAEEEGQRRRVAGEVHVRLRRRRRDGEVAADHVEQLRAEEVEEDRGDGAGQAGEGRTDVGRVELDSTGPWWSVGGSTAGRWTNRTSSPRPRDAASSSSRRMWASTSAACCSVGGGMTSTVSERAARSLQQASSRFPASSSMGVRWPAPHTGTSTTVGVSLAAMDDDGCG >Et_7A_050360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1064465:1064758:-1 gene:Et_7A_050360 transcript:Et_7A_050360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLWEGTRVVKPSGAVAATQGDDQSSSSGGSSSAGSGEHKGEKHAKSCLTKEECHKKKIICGKGCTLSAHSKCAAKCSKSCVPTC >Et_8B_059535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1888764:1891495:1 gene:Et_8B_059535 transcript:Et_8B_059535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTASSTSAAAAVVAVVFVLVLSSHVAAGARVVVQPDRGRDLLSRRGDRDIAGACAIAVTPLGYPCEEHQVTTEDGYILSLQRIPHGRGGAGGRGRGRAGQPVLMQHGVLVDGLSWLLASPEESMAFVLADHGFDVWIANNRGTRFSRGHVSLDPSSRLYWNWSWDDLVVNDLPAMVDYICSKTWQKPHYVGHSMGTLVALAAFSEGRMVDKLKSAALLTPVAYLAHITTPIGVLLAKAFVGEASGGELGQVVLPQTWHELLRSRKNYCLNSSAVDLFLQYEPQPTSTKTMVHFAQTVRDGVLQKYDYVLPFRNIANYGQAEPPVYNMCNIPADFPLFLSYGGRDSLADPADVRLLLNDLRGHDRDKLTVQYLDQFAHLDFVMGVCAKDYVYKDVIAFFDRFN >Et_1A_007267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32946498:32948897:1 gene:Et_1A_007267 transcript:Et_1A_007267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLSLSSPIFLAAAPARAGDGIWRGVASGTPSWNTANVLYKGYAGSVHGQKQWRHMPVVSVLGRKSKTRETIVPDPDYRLPIAFLGLAGGLAYADNLLAAAPVGLLGLLLLFQTTRVRFVFDNEALEVKIGDQLQEGGENVFVGGKNRWKYSTFVNWELWWPQFPILVYFKETQTKPEGQIHFFPVIFNGKQLYDVMVERAGPSKTSGPK >Et_4A_034214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30907551:30910500:-1 gene:Et_4A_034214 transcript:Et_4A_034214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKRQPEESDGPRRGGGAEAGGSSSSLPHRPGETKRQRVPALRDVISEVMWKTTIEKVFTAIEPLIRKVVKEEIESAFANHGAMMARSVEDTVPCTSRKLQLQFMTKLSLPIFTGSKIEGEGSLSITIALVDALTGRVVASGKESLMKVEIVVLEGDFEGGEDWTAQEFDNNIVREREGKRPLISGDVFVPLTRGIGTVGELSLTDNSSWTRSRKFRLGARTEDGCFNGIRVREAKTESFVVKDHRGELYKKHHPPFLEDEVWRLEKIGKEGAFHKRLNNENIITVKDFLTVLHLDATRLRKILSGGMSTKMWELTVEHAKTCVLTDKVHYYYPDNLNKTGVVFNVVGEIKGLMSDKFVSVDDLSETEKAEAHAAVKQAYDHWENVFTCDNETLVRNPLRLLNMRLPSLHENQFSTQVATDGFGLNDPTVPSADIFSMESSSALDPCTLETEKGGENLFQSELPTLGSHEAPQESHMLEKFSNSLVYDDSASHPSFSDSYYSCVDPGISFDTQDLGAALKGFIATISKPRAYRGWRTLSYVLGLIFYTKKIVALRKKHGK >Et_6A_046042.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20226807:20229788:1 gene:Et_6A_046042 transcript:Et_6A_046042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVYAYAYAQVIEAHSIDEAAKVIVKKLRVEDPADTTKHNAIYFDGWDGLGASAVLRAVGRCLTAGASSSQQKESGGLEFSHIFHIDCSKWESRRAMQRVIVEQLKLPSSVMDILDARDEEDDYQGVAKGSRAEMPQVTEVIYMKLVMNPRFLVIFHNGSNEEVDLNSFGFPLSAYLNKVLWSFQGRFRIYPKMKVDAVLKNARMTDVFLSAGSSTDVSEDELSDILLHEAEEVAREEVDSNIGGIDWSAMAVNYFLYMMKLYGIGNHICDYDMSTHGCNYWKCDNGMTFLQQFGDIDTDDDGADRLWLYADGLHREMQLDEDYYQYLLSPVERSLHKRMAYWTSPTYGFMLIPDPHRQILKGMFQQYDKLCVLKLSACMFSFTSPPFLCCNNLRFLCLDHCRDGSNPTEEVKVEDIRLFFQRLWVLDVRYPNKAFLSNYMLESMTQVKELSVVGEKYTMDMGLLHRKMHKIRRFRIKHYDNVWGRLSFSRIDKMELFEFSSNKIESLVMEGNCSLETIIIGGCNSLYYVSIKGCTKVKNIFLSGSFPCLHAINITGSSVETLDLSAVTAHLNKLLLSECEELCAILWPAEDKRKRYLDNLHIDTTREEGRVVVAGQRVFNWHISIRDARILQSLEPIKDYFGPNEACVDVSSPAHLYGDTTVSKGNQISSREQLKGSAVYADVVLTSNVSDEGERSDAPLTTWMCPPPSDVPPEGCYLHIEDHLRRTKSQPASSIITLPGFICDGARILHVHDSLHVISILADPTASVMWNKLEWCCVEGCPKLECVFSPQVGSNTPEQGEINTNIFKKLRIAWVSNLPSARYIWNRTDSLTTIYHGETFADLTLLHVDCCPNLIQIMNLPTVGIAWNSLERLEILWCSDLSVVFHSCAPYDFTGWTFLKLKHICLHELPKLQNICNIGLMSLMDKLETIKIRGCWSLRTLPIVVSVNVVECNCEKEWWDRLEWVSVQHRRRYKQIHPRYYKKTMLRGSILR >Et_2B_021438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29655279:29659128:-1 gene:Et_2B_021438 transcript:Et_2B_021438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILSEFVALAAPWYRMDSKRRRSHSPVEHKEGRDKNYESSGRNNNSRNLEDSINARPVRGYESRRADRNSYGASHESRRHDDYRRYHDRHADDHGRSHSRASRSDRESRADTYYDRSKCDGMSGRARGDQRDADSRYGKQATARDYRRHDGGEGDRYRDAEKVVERRDYRAGDKDKEIKEEDVVKKRNGKEIEKEAEVETREKKRSLFSSGPNVGCPEVNQNIASFGVGTGRLSTDQKKKLLWGNKKSNPSEETSKRWDLNLFSDRERQEKFNKLMGVKSSAPVQETKVENNDGNSAEAKKLEELDTNLEKHYIAGLRRRDGRTVGLGLCYCIGKFEGLLCTILSDLFILMPRSLTFIFTLPVLSCNPKRQ >Et_3A_026733.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:17663975:17664382:-1 gene:Et_3A_026733 transcript:Et_3A_026733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPKVSLTTCTEALGGKSDHGVHGSAALEVHGFDISWLPEPEPKLRRMLQEVSLSKEKEPRRRSPPPAMPWRGMQLVPERQGGRLMLKEVATKNPFVLHASREDGRLRLMRVPPLDDLDDDFQRYESGGNGDKF >Et_4B_038476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29289271:29294466:1 gene:Et_4B_038476 transcript:Et_4B_038476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVNNGVDARGADEDSNPTVTRLLQLTGDPDSSDGWKQCWKAGVTPWDLGQPTPAVVELVNSGTLPDNGATVLVPGCGAGHDVVTLAGPGRFVTGLDVSETAIEKAKQLSPAGDGSFFNFVAADFFTWEPPEKFDLIFDYTFFCALHPSLRSAWARRMSDLLKPGGEIITLMYLVEGQEAGPPFNTTVLDYEEVLNPLGLVISSIQDNEVAVAKRKGMEKIARWRRMEDAGRVLRRMDEMGVKKDAGWGWIELKVHAFVATETSHPESDLMASTVIAAQGARQVLDGSNPTVAKVRQLFDGRDSSDGWSRCWEEGLTPWDLGQPTPAVVELVNSGTLPDNAASVLVPGCGAGYDVVALAGPGRFVVGLDVCETAIQKAKQWSSSSADGSFFTFVAADFFTWEPPEKFDLIFDYTFFCALHPSLRSAWARRMSELLKPDGEFITLMYLVEGQEAGPPFNTTVQDYEGVLNPLGLVINSIQDNEVAVEPRKGMEKIARWKRMAQPVNQHSE >Et_6A_046506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16243393:16243913:-1 gene:Et_6A_046506 transcript:Et_6A_046506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQYPRFDDLPFSEEEKAACHAQELKGVAARNELRRVKRDTQQWIRDDLEKQGYVVVEERHLVHKNLAPRWQ >Et_3B_029378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24579053:24584621:1 gene:Et_3B_029378 transcript:Et_3B_029378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWRAVRVRRRVAGSRPASTAAAAEKTCRAVLVPRFGGPEVLEIRQGVNVPDLKPQEVLVRTRAVSINPLDLRMRSGYGRSLFEPHLPLILGRDISGEVAATGTSVSSVSIGQEVFGALHPTALRGTYADYAVLPLDELALKPSTLSHAEASAIPFAALTAWRALHGTARISEGQRVLVIGGGGAVGLAAVQLAVAAGCGVSATCGAQSIERVMGAGAEQAIDYTTEDTESAVKGKFDAVLDTIGVPETERIGINVLRRGGHYMTLQGEAASLADRYGLAVGLPAATAALLKKQMQYRYSHGIEYYWTYMRADVEGLHEIQRLSGAGKLQIPVEKTFPITQVKEAHQAKEKKLLPGKNIRTHVSKRVVERQSSHLNFLPTDLLFSGF >Et_1A_006400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21914828:21920752:1 gene:Et_1A_006400 transcript:Et_1A_006400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVVFAVSYAAFTLAKGPQILRDRLANIDIKIVICGDWWHMDLTPTGGSKLGHKNRGRQQYKGDPFSGLCNNLPKPSHRSLARRACHGNRELLLHNGPGGRRSRAAGDLLYTLLAWRGKRRRYPPVVGTVFHQLYNVRRLHDYHTDLFRERKTFRLLLPAVRSQPYEAPTKGSNVITAARPNCRTGSFNYEKLRDLFGDGIFAVDGDKWRQQRKIASHDFSARALRDFSATVFKRNAVKLAGIVSNNAASMLSMDFQDISVAIPIKATKRSCAFWPIPKLSSEGDDGLHLNHHLRAGSLTRLAAPATAADSLWHSTMQANNNNILSKYIQAATSDSGTVDFKYLRDITMNIAIAGMDTTAGALTWFLYMACKHLDVQEKIYHEVSEVLRADETISVDEFAQSLTDDALSKMHYLHAALTETLRMYPPLPLVSPWLPSNGHSLWTCADNLIYVNDDILPDGSSVGKGDIVFYVPYAMGRMELGKGVRLQAGEDFLGRGHRSTTNSTLGPKKGPKLPFCDE >Et_6A_047868.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2288541:2288975:1 gene:Et_6A_047868 transcript:Et_6A_047868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLWKRMSPEERQAHIRDTYAAWLITDIDMRDCDDDDEKEDVVPASKKVILNLHVPTWDQTTQTRTKHGRYICREDLEMGQQFRMTPCYHSFHQTCIFEWLLVNRRCPVCLFTLPTDEEQRLLDKEEARTKDGDGEDQFVIID >Et_1A_005645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12382130:12382955:1 gene:Et_1A_005645 transcript:Et_1A_005645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVVGAAGLIVEAQRALLPPVPQAFAPATDAKSRVLCSGAASISGRPPCAAPVSQGLLSHLYSLSVETDALLRVENERLRAGLQEARRRHVRALEAAAARRMRDAEAELARSLCRNAELEEKLRQASAEGQAWQGVARSHEAVAAGLRATLDQLLRSPPCAGEGDAEDALSCCYEQEDGRVADRDRTRACKSCGAADACVLLLPCRHLCLCGGCEAAADACPVCAAAKNASLHVILS >Et_4A_032266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27243430:27243948:1 gene:Et_4A_032266 transcript:Et_4A_032266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRDGLSSTTYLLDPSNRDRKVSLPHLPEENLSTYCTCVLSEYPEDPAQDTSCVVLLVETDLPVIWYCRIDDEEDWTRHEYDIGTLNLCGCCTEKLVISPITSCRGKFYFNGGGFKELGVLEFCPAPVFSFITIRDAIAELYMVSLVSSYDLNVVH >Et_8A_057252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22833827:22839004:-1 gene:Et_8A_057252 transcript:Et_8A_057252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITPGLGHSPLPLPLPRSRRRAPLELLNRCLRLPAGLPLLRPCRATLITPPTPLDYDGEYPKSRLDLPLLLAAAISVAAAASPHAAIAASGGAMGGRSYSSSSRSSSSTPSSSSSSRSSSSSFSSSSSSSSSFSSSTPTSTSSSSWPQLSSSSSSLAEQQKEAMHLSVGTAEPPRVYTAAEREANMRFWGYLASASVSAVALFLAARHYTRPRTTVVKLQVALLGLAKSFQKDLNEIAEKVEASNQRWYKFILTETICSLRRHNNCCVSSSLSVNLKDRDSWEQNFDKISIEERSKFDEETLYNLEGIKSKKSYSRKPDGFRNEYIVGIQVLWTPQEENDDEVFWTIMAIAGVVAAVLFYVMWRETLPNTTVVKLQVALRGSDAAKSLQKDLNDTAEKVQPSNRLWYKFILTGMSLPDTSLDHTFLVASQGFLHLIKFVSKNHIPYWLRPHSDSQFAVATDSWKELFNKISVEERSKFDEETFSNLDGIKRKRNYSKRTDGSRNEYIVLTILIAAKGILEFPEVREYADLEKVLSKLSYIAADEIRGIHVLWTPQEENDVLSEEEMREDYPYLKPLRSSRL >Et_2B_021339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28865555:28870044:1 gene:Et_2B_021339 transcript:Et_2B_021339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSRAVVGGQRRWRPALVPAARAMASWFGHVEPAAKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGRPVVLECVREAERRVAGNANMEYLPMGGSVKMIEESLKLSYGEDSEFIRDKRIAAVQALSGTGACRLFADFQKRFLPDSQIYIPTPTWANHHNIWRDARVPQKTFNYYHPESRGLDFAGLMDDVKNAPDGSFFLLHACAHNPTGVDPSEEQWREISFQFKAKKHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCDDEMQAVAVKSQLQQIARPMYSNPPLHGALIVSTILSDPELKSLWLKEVKGMADRIIGMRKALKENLERLGSPLSWEHITNQIGMFCYSGMTPEQVDRLTSEFHIYMTRNGRISMAGVTTGNVAYLANAIHEVTKPN >Et_4B_039541.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21003925:21005145:1 gene:Et_4B_039541 transcript:Et_4B_039541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPDKDRPSGRLGRLLAALRPSRAGPLPVQTGFPTSLADLVVKNHGRLKKQPSPSSKRRKGGASASPSPPSPSPSPPPSSPPPPPPPPAAAVSPSDRPRPDLAPVQAVRREKSDAFGFGLGFLAFSGAVSLALLVIWSKKVVAAVTVASFSLFLLESLRSSSLRRRRQRPRPQPEAAERQLCLDGRGRVSPIREVEAETEPARPRCSDSDRGIEASVLANEEKSGVLDESSDPKAKTKKRSWKKLIASAKKLHKGRKGKEADGSGSFRSEGGRADAMAVGSFRIEGDHADATARGNVAATDFLGSRRGVANQTDVVEDAIANAPADLIEQDVAAAEEAAAEEEQGKAGFPFPALVLVAIVLVGLVAGKLPAVAFTMLCAAFFSWVRRLRYHGGGSQWRRLELPVP >Et_2B_022751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4291667:4292578:1 gene:Et_2B_022751 transcript:Et_2B_022751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRDVHVLTGGAASPTTPAARDATIRLFGRDFSNDNPRKDQDGDDGGDRERRFECHYCCRNFPTSQALGGHQNAHKRERQHARRAHLEAAFAAHCGAAAYLPPGAHAAVNGLFGYQQQQAMSAALPPHYYQVWAAAAAAGAVPGMYGGVGSVARTPVYGAAGAMAVPGMWRPPPPPAGGRPGAFGASGRSEVTELVGKDDDNVVMSVVTSLPARLSAGQSPEKIGMAELGLGQKDGVISLDLYGFERFGITKLSGDLLIPHLRGLD >Et_4B_039345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10897521:10899647:-1 gene:Et_4B_039345 transcript:Et_4B_039345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSEITSVTAARAQGPKLFRGKIILPGGPPDVVPSENVEFDFSDVFGPTAVQTPTEVSILTPDSPAPVTESNDEIYDDPVVIVKRSHSLVGPSSLVSQSLPLSKLTLRETDSSLDLSECSSKEKQINQGPLSDEELDDVKKEDEGVGLDDFEILKLVGQGAFGKVYQVRKEGTSEIYAMKVMRKDKILEKNHAEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYQQGLFREELARIYTAEIVSAVAHLHANGIMHRDLKPENILLDADGHAMLTDFGVAKEFDENTRSNSMCGTVEYMAPEIVQGRGHDKAADWWSVGILLFEMLTGKPPFVGGNRDKVQQKIVKDKIKMPTFLSSEVHSLLKGLLHKEAGRRLGSGPGGSDEIKNHKWFKSINWKRLEARQIQPSFRPNVAGKTCIANFDECWTSMPVLDSPVASPVAADSNFVGFSYVRPAPFFQKPSPLG >Et_4B_039752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28528255:28532997:1 gene:Et_4B_039752 transcript:Et_4B_039752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEMEYRVDLFNRLTQTCFEKCIEKRHKEAELNMGENSCIDRCVSKYWQAIDCIDDIISELFTTFACSRMAEELPKRWLSLALHDFQLPFPDQEVPSLASNVQSATQYLMYRIQVRLGKSFPNSG >Et_7B_054303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19613727:19614083:-1 gene:Et_7B_054303 transcript:Et_7B_054303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LKRPVIPIQAANLATGCGIVLRQHIPTLPRWREYKKDPSLCSLSFCSSLGKFLYGHQQQDSETCIDDEITSVIMTVEQWGPQVKMWSSSKH >Et_8B_058964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11585383:11587772:-1 gene:Et_8B_058964 transcript:Et_8B_058964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYYFGYGGGVSQGYIAEDRVGNCEGPCLRSFHATRDEEHDGCPTLGFTTAQVEAMQHFFCTNCTHRHHQCARCRMWGSSDPAHPQVFRCRHVTCGRFYHPACIAAQLHPLDPGEAARCRARVGTGGSFECRLPGTASFAAGE >Et_2A_016958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29891035:29892831:1 gene:Et_2A_016958 transcript:Et_2A_016958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQKLVQDTFHKHRKSVVLIVIRSAAGEALGHGTGFVIGKKGISCLVMTCCHVANNRDLPHGHILKFRLAGDPKEHRVTNMHDDEGVDLAIIQVYDVVEEVVPLQFRDVSDIAPETVVIKIGYINFPVSDFFNLDPSVTRGTVTTPLQQSGRRGREDVVYASSSKQGTSGSPLLVGDGVIGVHYANFQSPSDIMVARLSLTVNCWLKKWLRKSPHQTATTNELIEQMLRLTKWTMYEAATRWSLIGAPHAPHLVPMLW >Et_1B_012210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:318566:319391:1 gene:Et_1B_012210 transcript:Et_1B_012210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTTKGLDLLLDCCYMRCPSVHSSARPGRYNFTSRPYAHLQHVVPGGCLLLTLARLPANKPAGNSERSFSVRSQPKVKPNHPNRINQTILRLTPAPPNRCHVPPASGGGDELSPQKEAAMRWVQRNHMVYNYCLDPKRNHALTPECLHAHHASSLHDDASQARITN >Et_9B_066144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4753697:4757054:-1 gene:Et_9B_066144 transcript:Et_9B_066144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGTARSSTESSPGSPELDLTLGLGSDPDRKRFPSSDSDGSRSTRAPAAASGASPPRKYASGASPPRKYAAGARSRAWGVNSRKPVVGWPPVKPSWGRKFKFVKVAADGAPIGRKVNLELYAGYGQLLAALQDMFTSHFTVRECLLRSSLVLALRSSLGTTCRLIGSAVPGKVGNDEMKLVDVASGTEYVPTYEDKDGDWMLVGDVPWRVFRDESNVLYSLQHREPLNEAQSTLLFYKLLWRTRRLLLAEPFTFLLALLGPSLVDEEVLSKHNFCRKRILLLPGLTVGSSELK >Et_2A_017807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6470035:6475041:1 gene:Et_2A_017807 transcript:Et_2A_017807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMTLPPSPSPLVAVKRDPDAAEPGVHTPAPPRRKRRRVKGRQPVTPTQLPLSPFLTPQTVPSSASVAGPTPSPATATASVKNEPDVDAGADAGPGGRRGARDLNPYARHAAAEPATLWLNRRRLGRTLHELARAHRWPDAASVVSTLLRCSRKPGSFEETRSMFVVAMEIYKRLGEDSGVKQNSRSRYYLRTQKLFDVWMRKLIWFPTSPKKHLVKLELALFYLSQGNVDNAYHATRTLLAKDGLQTEPILNLIHGLISYDKWYSGLPKDMQVEEFDVYNESCTTSMASDGCEQSDLLDSSEVSIGVHDVSLPACSSESSINNEGKDRKMNRKHSFVHPKVENDTLNESMIDKVFRSIFVNNSDGPACGLDKSLLPLRLKLATGTSSDCFDSYWRYKSAPNAFYEDAEKCLRLALHSNPPVIAALLPLVQLLLLGDKMKVALNELERICSISSTALPFRLRGRLLEYFDQNQVLTISSCYEEALRRDPACSYSIEKLITIHRKGDCKLLAAKAACASHMFGPEFAYVKAVGGYLAKQDAPDEVSFVDRNMQNSIKLLETLEKLTS >Et_2B_020102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16874122:16879755:-1 gene:Et_2B_020102 transcript:Et_2B_020102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEGTACNGAGEAPKVEFAAEKVAAAAPEEKAPAEREGEDVGGPFVIVNGDSDGLSDRGSDLGKALDEDPPSEEDEVPGSNAAPDAPVGGDHGEAVGEEGASSAAPGVSSADGRDHVAEEPEGGEDEGKGDEPSADSVTAEVAQQEAAGAELGGEDAVLASGSDRTVTDAESDAPAVDSEVNGKEGTKEDSAANDVSELMVHEAPSAELNVGNATTESCEHDDALTKTESSSARIDDNREEESADTEVEPVEQSTGASGSLMTNKHHLADTKADSFEAAAETQSHDDESQSEKNATEVEKLVELHDACVEQDGVDALQTNGHADSYIGACESEGHSNDTEGQESDQQEEATPITEAEVEGVPEAAGRNCADSVEESVEGEVDVDEHSYVKVNTEPEPVIEKVEGDATCDILQVEEEMYNDGKESSGDDFTSGVASNEEVKLSAIEGMNEAAPGVGELEEATEGTSQELVHGNGLVKDGETDPCVESIQQQNVTVEIATVDETAAESVLKADSVVEANTAAPLVSQQNCDSAVEAVDNEQLEASDVAQTNEIEYNVAEPVAKKEVETEVSDAVPVQAPAASALSTFHNEPQTPDLVENGSVKHSSPLATELESCDLANTEESRSREISDTAVDQVVSGVSFEHGSTVVGEVEHASVTGDEIQEKTSDSAIEHGEPVNLDAAELVVANGAEPGSATEYESDGIDKVGDISKKDEDQPEPCNVSSTGDVAEDISSESLNSSTESSHVVETKCLEVLEPSTVDTVVLAEHKVEDEHAHNEEAKVVEDNSESHGDLDKSTMGDSQVIRQQRVYLIKVPRFAGEDLWAKIQDAHTHLDQLTQERDAINIRKQKQKLVCDQYREKLEAARREEREARAAHGDKKNDLNSVRSVIGKLNQANSIEEIEEMIATKEKIMQHETISLKEEKLLIKEITDLKAQRKQLCSNMGSKAEIDEAFDQKEHIHERHKTLKKDSDVLFTNLKSLEENTRKIQKSFEDERIVLRKLNEEYRVANERRQKAYSNWAELKAEPFKKVERVMEIWNNNEDFRKQYVEANKFSTLRRLGTHDGRRLGPDEDPPVIPSRRPINTSSSLTVSSPDVPTTTSVPAPAPVAVSVKEDSFPVLPSPEISKRAKSKPSGTPAPPAQNEKVTVTGSERDVKDTEKEKARLMEEELERARKAAEQSQMEEKLREEKAAAEKERLRLEQKAKAKEAEERKRRKAEKAQERAEFKARKEAEMKEKKKAKKDKKKGPAESSANGDGNSTALATADTDSNASDNPREVEAPQPTAPKRISRDAAAIKQLNRLQPMPAPLRNRGKRKMRQYILIAAAVLAVLALFVAGNYVPRLKSLRL >Et_2B_020312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18908041:18912535:-1 gene:Et_2B_020312 transcript:Et_2B_020312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVMATVVEPPRPKSPPRYPDLCGRRRLQLELQILNREIDFLKDELQSLEGVQPVSRKRRRGTDPAVFFGGSDQNCAHACHGFAALASACPSAKNQVASIAPAAHAPMSAANQAATHVTTPAVDQTAVRVVMCRAANLIAHPVARSAVHASNQAAVGSRSLRAVNPSAAVAARIAAPAASQAAPAATPAADANNAGHVPVIVAIASQTAAVAMLSVAPARNAACALGLSVLTASVASSPSNAPTCSGAHASSASIASRRAARARHLAASASRRVARGKMAAGAAAANHASEFRSLRAPDALAGVFGPAETVQKDVDVLDAVIHAVPLDAYVKMI >Et_3B_031526.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:3653991:3655139:-1 gene:Et_3B_031526 transcript:Et_3B_031526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLAPCSLLQHGAGSQSLKLSTPRRSTSLRHIPGPGRTLISVVRCASTHQAGTATSSIDIATGRHTKPHGGDGVVVNGASFDFERYLSAHAKAVDDALDAALTGLRCPARLAESMRYSVLGGGKRVRPVLAVAACELVGGPASAALPVACAAELVHAASLVHDDMPCMDDDALRRGRASCHAAFGEHAALLAGDALLALAFEHAARGCGRVGVPADRALRAVAELAGAAGAGGVAGGQAADKASEGGGDGVTTTSLAELEYIHVHKTARLLEAAAVCGAVVGGGGDGEVGRVRRYARAVGLLFQVVDDVLDATRTSEQLGKTAGKDAAAGKATYPGLMGVDRARAYAAELLARAEAELDRFDAQRAAPLRHLARFVAYREN >Et_3B_027748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17000490:17001137:1 gene:Et_3B_027748 transcript:Et_3B_027748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AYATLTISLAAVEVADAVGQDQCPPFSCGGLHNISSPFRRSGDPPECGVQVYELVCSSTKAAIRINTATYFVTSINYTSSSFWVVDANLDMHSSCLLRRWDQLPYPDWGSISHSDSGYVNCSQEVTNKSHYTPVACLTANNSFVYLSIVDCYVGSLEPSCGYLAAFRFANQFASGIRLENASYAAIVEQIKRDFLFSFL >Et_4A_033046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17612520:17618950:-1 gene:Et_4A_033046 transcript:Et_4A_033046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPRKESERGLSVSSWFKCGLINKWLQTGYLLGTFQANKYQKVILAPRNMNGTTYLFIKVSKQQRSNPIMMVRYPASHGHGHSPEICGPVNGFDEPGHLSSYNGCQDQDHACLDHTAEWARHSISPRPGPINYYRRKRSPPFGHVRHYAKGPNRDFRQAVSSRKMFSRHYHEDRYLPINADFPNGLFSEKDAAGHRSYMHSFHAYNKQDSHSWEDPILNISDRTSRAQLHQRGELVRNRRKRPDHEFRAVHEVQLELSPNEDSHEFVESNRKFRKAYNGNVVKRKCLKQGSHKSTYNGASASKYGRHNSQKRNADHLDRKEARINVVFGDKSKRICHDGQDQQPLVKSDEIRNDIVEGNAEIIKLEGPNEAKWHCSPKKNALTIPASNGSRKCDANSNMPSPKCSSKIVASLNTPNLSEGSKSMDLESDKESSVEGCPKRCIQHRPVTCLEKSVQPKGLGNLSEVQRDCLDLWRARRFRNNGVSEADKFLAADQQHPAQRGKASTVGRVRNGRPATFTTSESDEDDIASERADHFSSATSSERLQKHEERSNKKLEQDPSCPSIRKCDKSHRTTTAEKGLMYGVELQPEANTTEVALQNEQDKLLYHQLSTDFKSQTHASDTNKVHAVAPHPDNGVPQNGFHPEPDNDNIKERRKDILAVRCQNKKETGAEIAEKPVSLCTDLTLLDQNNFASFPKHDILKENASEAPNHRGGTTFDGPELDRGAVNKCLKRPVRSCESYCRDYKTWLYGTQQETMNCNISRKKQEYSALTDCANQMNQKATEDLHAPQTLGVGGNQQISQNCTLDIANSGPSNRDDRIPYSPIPDLNFLPSMVADEDYDPSEEPVCIDEGPVLFEEAVCVDEGSDPFKETVCVDEGPVLFEEPVCVDEGSFPSEELVCQDATDGAKPQYVTKNLSDTSTGPHIEEEQFKQAEPNQSVGEVCDKGTCESADRVQISDSNTGPPQLSTVEESSTSIDAFKIALCEYIKKILKPLCEDGLLTREVHKIIVKKAVEKVATVWGSSAPSTETDINRVISEESRNLYKLVQV >Et_3A_024070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1693536:1697783:-1 gene:Et_3A_024070 transcript:Et_3A_024070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNSKSDVQKAEEFKLQANDAFKANKFAQAIDLYSQAIELNSSNAVYWANRAFAHTKLEEYGSAVQDATKAIEVDPKYSKGYYRRGAAYLAMGKFKEALKDFQQVKRICPNDPDATRKLKECEKAVQKIRFEEAISVGRTETRSIADSIDYHTIEVEPQYTGARIDGDAVTLDFVKQMLDDFKRQKCIHKRYAFQIVLQARDLFRSMPSLVDVNVPNSGHFTVCGDVHGQFFDLLNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCLYPRAMYLARGNHESKSMNKIYGFEGEVKSKLNDTFVELFAEVFCCLPLAHVINNKVFVVHGGLFSVDGVKLSDIRAIDRFREPPEEGLMCEILWSDPQPQLGRGPSKRGVGLSFGADVTKRFLQDNNLELVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFTAPELKPDIVTFSAVPHPDVKPMAYANNFLRMFQ >Et_3B_028748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18700418:18705510:-1 gene:Et_3B_028748 transcript:Et_3B_028748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPRAAAAAAVLAVLASFALIAVAEPPASERSALLAFLTATPHERKLGWNTNSSTCGWVGVTCDSSNSTVVEVRLPGVGLIGAIPPGTLGRLPNLRVLSLRSNRVLGSLPDDLLQLPNLKSLYLQHNLLSGAIPARVAGLAGLERLVLAHNNLSGSIPFALNNLTSLKVLRLDENRLSGSIPSISIPGLTVFNVSDNDLNGSIPRPLSRFPRDSFAGNLQLCGDPLPPCGSPFFPPAPTPGAGPPGAEPGPGGGSKKRKLSVAAIAGIVVGAVVAALLLLICVVLCAVHRHRQGAAAGEGGPKAAATSAAAAAPARGPAASGEGGMTSSSKEDLGGGASGSTAAAAAAAAAGGAAGEQSRLVFVGKGAGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAAERRQFDAHMETLGRVEHRNVLPVRAYYFSKDEKLLVHDYLPNGSLSAMLHGSRGSGRTPLDWEARMRSALSAARGLAHLHTAHNLVHGNVKASNVLLRPDPDAAALSDFCLHPLFAPSSTRAGGYRAPEVVDTRRLSFKADVYSLGVLLLEILTGKSPSHASLEGDGTLDLPRWVQSVVREEWTAEVFDVELVRLGASAEEEMVALLQVAMACVATVPDARPDAPEVVRMIEEIGGGHGRTTTEESSEGVRGTSEEERSRGTPPAAPTP >Et_4B_037634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2256627:2260267:1 gene:Et_4B_037634 transcript:Et_4B_037634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYNHLWSIAKKNAAAVALGITISDRYVTFVSVTGASMHPTFTAADSVFRGDFVIADRTCLQNYKFKHGDVVMFKCPSNHKEWFVKRLIGLPGEWMQLPGSPEVIKIPEGHCWVEGDNAARSWDSRAFGPVPLGLIGGRVTHIIWPPSKIGKLERKMPEGRISPGYELHDHTPGLVDGHEAQEEVLLQLVQLLPKVLLVLAQLLQHLLHEPPLLAGGRAVLDAELQQREHVLPLVAHPRHESAYGVHVPVEDVRALGRGLQLHERPPHPPAGEEAHPRRRHHRERRERGEDVHADAGADDGRDRRDGDEGDREPLPEPAEVPDPEARLLPAGVEALEQVGGAELHLPELALRHGERGEGLPQSRRDVGEVGDADVAGELAERVLERAVADADLLDVLEQVAAQERGARRLVVVGGEEAADVGADGPCSWALARGGEGRSDGVLVRLEEVLGEEAVGGEALGRRPAARARDQAGAVREGDVQDARPEVVVGLGAERAGVLLPEVEARRRRHQRLGVVHCKQEKADQHPCIGTASIRK >Et_2B_021744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:515715:524058:1 gene:Et_2B_021744 transcript:Et_2B_021744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVDLLWEFRPGYFCGNFYVYCLDLSVEPAKWVIAENLGSYSIFISFSLRSPAFSCMNPERWGGKSNCIYVANPPDADEPWTVVELGQKVSGKTWNLGYLHDLAAQPSLHAALPLNRWVLPSFVYGVEPMAGSRRRRRQRREQPPCPDESTPRGPTALREVAVAERLSKKTCHAASSTSTITPGPDVWADLLDSLLHQIIALLSSFQDLLTFIGTCRSWRAALPSLPPAFSFNLPPLHLQTDINYPHPRRNYIKYNHLSHLKWKLTDPAKQTSSLCCSPSQNLRDRMCYLGCSFGYLIFSSLEQCVLVDAYSGAIVMPPKFKSTRNHELYYGILIASLNSPNSQLLLCSRTSMFQWQVGTDSWLEHPFCGERILQIVFFRGEIFAMDFLERVHRIRLLPQLIVQEVTVVWGEDMVVGLNFKPWLVVCSDMLHLVDLSVDIDALSGFSGTFKVFRLDFSVEPAKWVKVENLGNSALFVSLDRRNPTFSSMNPERWGGKSNRVYVAGAGSSENSNEAWTVVELGQEVPSTALCSTYSPMPIQTPSGHGNHPQNLWVLPSFVYGVGQ >Et_3B_028214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1188677:1193190:1 gene:Et_3B_028214 transcript:Et_3B_028214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSCSSTSTTCCGIQRFGADPGPDCSERRGAPGEMLEKKKAEFDGERVIAEFQELTLDAAAVQRDTLRRILAENADVEYLQRRGLAGRIDPDSFRACVPLATHADIEPYIARIADGDTSPVLTAKPITSISLSSGTTQGKRKYLPFNQELVKSTMQIYKTSYAFRNRAFPVEDGKALQFIYGSRQFTTKGGLTATTATTNVYRSEEFMPTMRAIQSQVCSPSAVVFGPDFAQSLYCHLLCGLLFSGDVRIVSATFAHSVVLAFQTFERVWEDLCADIRRGALSPTRVTAPALRKAVAPLMASPNPELADEVAAKCAGLSNWYGVIPALFPNARYVHGIMTGSMEHYVKKLRHYAGGLPLVAAEYGASEGWIGANVDPEAPPESVTFTVLPNIAYFEFIPLKTSDGAGAGADSCYAEAEPVGLTEVAVGEHYEVVMTTFAGLYRYRLGDVVKVAGFYNSTPKLKFVCRRNLMLTINIDKNSEQDLQMAVDSAAKILAAEKLEVVDYSSHADVSRDPGHYVVFWELNADANDDVLQSCCDELDRAFADPGYVGSRKARAIDPLELRVLQRGTFQKVLRHYLAQGAAVSQFKSPRCVTPSNSGVLQILSGNVVKAFFSAAYD >Et_9A_061615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14567316:14572874:1 gene:Et_9A_061615 transcript:Et_9A_061615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLPCFGSGGEGTAKKGGARKDASSDRRVSRDGSDKSKPQAGSDSKKDAVILREGNNQHIAAHTFTFRELAAATKNFRQDCLLGEGGFGRVYKGRLEDGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPPEKEPLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPHGEQNLVAWARPLFKDRRKFPKMADPLLQGRFPMRGLYQALAVAAMCLQEQAATRPFIGDVVTALSYLASQTYDPNAPVQHSRSNSSTPRASRGGGSSDQRRLRSPNHHSPDLRRREATTTSKYETEVSRTNSGSGSGRRSGLDDVDMASLQVGSPAHTGRKRESPRTSDRQRAVAEAKTWGENSRERK >Et_3A_023599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:61415:64883:1 gene:Et_3A_023599 transcript:Et_3A_023599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPPAQPQAPPKPTRVSLSYEEISKLFSLPIAEAASILGVCTSVLKRICRSHGIVRWPYRKLVSGKAGDDTKNSEREKAKELLELSKAAKQKAFSLSGSSAMSSSTSQGAGKSQQGGSKAGGQVSPPGKQNALGGLALVSQTKGIPTYMDDFKYGFPSSGLSRETMKWWGASDNTESAGPAKDMSHESAAHDASKGMTDDELDWGADEGEGEVDVTAEPSAQLCSLRRKAVDDGRKLLNGETRRGQQEFCRLNKRQKLVLAQVFGASLPEEWRSKLV >Et_3B_027814.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20649486:20649827:-1 gene:Et_3B_027814 transcript:Et_3B_027814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQGAGQRADDQRRGKEEELVLATWDCGSPLYDSFELASLHNVLESHLMVLPFPSTAVSRSRRLDHPGVVAGPDVADRGPARRSRRNKRRTGWTGSRAAAVIFRVVTCWKGM >Et_5B_045713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7999100:8004480:-1 gene:Et_5B_045713 transcript:Et_5B_045713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASSDEPARHKKAKKHKSDKEEKKRHKKKSQERPATDDAPPSGAAERKKRKHEEGREEKRDGKKKSKKEVKAAEAEAVEGRDAKMKRAMEDERFAAARTDPRFRPMRKKEAKVELDSRFSSMMTDPRFASSEAPVDKRGRRRKKAARDNPMLHYYLNQEEEEEDGKEKEKEEKEKVVEDEEEEEVEDDDEQEEEESSSSDDDEEDEYVDDDADSIGSDIAHYLMARHDDTPMIDKETNRLAVVNMDWDHIKFIPDSMEFKHPARDVATEAPPNYKEPDFETRALQHSRVKLSWDDDEPERKKVLRRKFTDDQLDELDMYLASDDSASDDDDMGNSGDESLPNGASKRKLTKEERLAILLQGDKSDEEQSDDQDMEITFNTELEDLSKRILERKNDEEKTVWEKHQEKMKEKRKARKRGLKESNDYSSEDEQDDDDDFFAEELSDEETKPSKHKKQKLKTKDKAKRKEKSTEEHLEQEATKEELELLVAADQDTTNGAKGYNLKRKKGKKGKKGKEESVEDKLPDIDLSKDERFSEMFTSNLFAVDPTDPQYKRSAVFMRKQAGKEGARAGKADLEPPMERSDLGGTLPPDDAVTKHDDQKPDGASTEKLQILSAVKSLKRNLSSFKQATQVDM >Et_7B_055678.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:2151335:2152273:-1 gene:Et_7B_055678 transcript:Et_7B_055678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIGSRDKLSAAAAASSHRRALVLVFASCFAFATFLTFFYTSSHFDSTSGAGAGAGSSVAGGSGPGLPLPVFDALVHFASISNATHRMSDTDIRAISAVLRARAPCNLLVFGLGPESPLWLALNHGGRTVFLDENEFYVKYLEPRHPGLEAYDVSYTTKVRDFRDLLRGARASRGKECRPVQNLLFSECRLAINDLPNDLYDVAWDVVLIDGPSGWNPNSPGRMPSIFTTAVLARSGATAAKGPTDVLVHDFQFEVEQVLAKEFLCDENRVAGSGTPSLGHFVVRGDGPTDVFCAGQESESASEDKARRRK >Et_3B_029514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25609368:25615049:1 gene:Et_3B_029514 transcript:Et_3B_029514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGLLPQLKSTMVPIRVEELRGLTVAVDTYSWLHKGALSCSDSLCKGIRTTRHVAYCMHRVDLLRHYGVKPILVFDGGLLPIKGDQETKRERSRKENLERAREHEAAGNSRAAFECYQKAVDITPRIAFDLIQVLKEKKVDYIVAPYEADAQMTFLSVNKLVDAVITEDSDLIPFGCSRIIFKMDKYGQGVEFQFTRLERNRELDFNGFTKQMLLEMCILSGCDYLPSMPGMGVKRAHALIQKYKCHEKVIKHLRYSTGSVPHQYEEKFKKAILAFQFQRVYDPSTQDIVHLSDIAKGIALGNIDPLTKEPFEAQPECNTPAVERVYSASESIVPSIGRKKLDLPVQKNILTNYFCLASLEAKRKFRAPKITPKQQILNESSLSSPLTEDSSTPDSVEDTSLPPNHIQASQCSSEQLNSEPPQDDPVIAASQCSSEHFCCEDPHGDSSNISPQCISLDGGSYPPPEDTGIEEKKVESDYCNKSMLPNGSYSVGKMQGISEPSLLPHIRQPCIPVQNYNESNVASTDRGVIIRSSYFKAVNKRAHTNQDMLDNNDDNETGDCTLSGDQLRNSGLLKRRKFSGHRNSEDEPLRTVSYDENPPVADNGADEETCQFMCETPAGCDTDHDHLDDTSTKTEGRFGCNVSHVNKYSGIAEKSMDKFAALISSFRYPGSRASGLRAPLKDVKNTLSVRSVLRPQEQGKFRCATKKTERGPPSKSRCTSDDKKTAGGPPEINAFAYRPVQTSDQSKITDAVNAPPDLGTFAYTSMAPTVCRTDRNKLTGKTIVTADSPPDLSTFAYKPTKSAVKRPGGRKLAGITLKTVGGTSRSQFR >Et_1B_011662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24687820:24691700:1 gene:Et_1B_011662 transcript:Et_1B_011662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGAILQVFGQPAATDVARVMACLLERKLEFELVRTDTFKQARGSKVPQLIKMKARLIHEFGPRGHVTLKHGDTTLTDSRDICRYVCTEFPRWCTRGLYGAGALERASIEQWMQTEEQSFDAPSSALAFHLAFAPRLGDEDDDLQAGVVVAESEAQLLRVLDLYDDALARSAYLAGDEFTLADLSHLPNAHYMACTVRGRALLASRENVARWYGAISARPAWRQVVSAQARSAHCPVVVFGSPASAEVARVMTCLFEKDVEFQLIRVDSFRGAKRLERPKLHPHGESITFDDGHVTLVESRKILRHIADADRYKEQGNKELFGPGALERASVEQWLQTEEQNFNVPSAELLFSLSYLPADMPLGGGRPPPSGAAGGMHPAHRQHLERMKEMRQRFDKSRKELGKLLDIYEQRLYETEYLAGDVFTLADLAHLPNADRLASDPRSAHLIRSRENVSRWWDAISGRGSWRRIKALQRPPSPAEAPF >Et_1A_008816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14678371:14682317:-1 gene:Et_1A_008816 transcript:Et_1A_008816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLTHLHRHSHRLLAAPAPAAVPSRKPAATTNLPFLLSRRLLSDDASPPAAAPPPPPPPAADVPNEEFKKRLETYYGVDEEVELPSVAEAVLARKLADAHSETDDELIEELRSKPLPEVGDKDFEDDFEELHETDEELNDLYDTRPYVEKKMTRDEFFNMDDTKWDSMIKKATEKGILTNMKECEDILEDMLHWDKLLPEEIKQKVDVKFNELGDMCERGELEPEQAYELFKEFEDQMVAECTELIEAQEAEMPIEADAVTELGSKKVVLDDPPGEGPVLRWESRIVFSPGGDAWHPKNRKVKLSVTVKELGLSRHAFRRLREVVGKRYNSGKDELTITSERFEHREENRKDCLRTLYALVKEARKADKLAEDARNAYVKARLKANLQFMERLKRKTEKLRVAA >Et_9A_060889.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:12535687:12537661:-1 gene:Et_9A_060889 transcript:Et_9A_060889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIISNKKPVTQNVQTYIGAGVCLPYTYKLRPCCDVWMSLILSCFWLGMVAGRLAEEQRVDEQVVPRLVLGHPLLLLLLERTVAGVVGEHLERVRVVSLGSYGGRRRRRSARGGAAPDGDVVVVLPLVGGDDGARVVAGALDVLADGAPHGGALVVAPRVGVPLHHLLPVLPPPEAVVQDVDVAQRLHHQPRLTHRLASVVRAARAAAGAALVVAVLLFPALGVGLVVAAPVRRGAVVVAERHRRVLRRRAAAGGVLRRGERAVLRRGGRSRSGSGVVAARGDRLGVVVRALVDDLRDAAVGERPLHLLLHGAAGVRALVVAPRVLAPLHLLLTVLPPVVPVLQLVVAAAAVLAAHHGGSGGGGGLVARHLRLLLLLLGGEGREVVVVVEGEVGERLGVLLRGGDHVGGVLPVERPLRWDGAGGGGRQEVVRGGGEEHRRVEQLGGAEPRRDGEVGRRRHRRRRRDGQARRLRRLELGHAAVAARRPREPRRPLVGGTEHVARAREEVREGRDEGRRREREPAAVVVKAPRRRRHGDHQQSRASLSGSRRAEQLSKRAEEGEEARRGVREQRRERGG >Et_8A_057976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10892970:10894337:1 gene:Et_8A_057976 transcript:Et_8A_057976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLLIALLAAASLLAARQLSHAGPSAAEEFWRSVLPDSPLPDSIRWLLHTDPTSATKGEAMNMGTSKENSDEEILSQDYPYFYFGYRGKSPTPPASDDILSQDYPYFYFGYKGEPQTPPARDNDGDDILSQDYPYFYFGYRGSSSHNKVTKQHKGAASATTGTVVFFHEESVRVGETLPVRFPPARRSPLGLLPRDVADSIPFASSALPAALARLGVAAGSAAAAAMERTLGMCETPSPAAGEAKFCATSLEAMVDGAMAGLGTNEIRPVTSTLPRSGAPLQPYTVRAVRRIDGSRFVACHAGRYPYTVFMCHDTGAVRAYMADMEGARSGGKVTVAIVCHTDTSLWDHEHMSFKLLGTRPGGEPVCHFMPYGHIMFANAKNNATTRSSA >Et_3A_024850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24856625:24859552:-1 gene:Et_3A_024850 transcript:Et_3A_024850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIPLQRIECGVVGPLEFEQNNTMAKTAQNSSYTTHLRSYYPCLPPTNSRVEVIHVVVVGFLEVVHLDLVVLELVRPLARRRTGLAPVRAADGGLGVVGGLARRPHEPAIGRGGALDEDGAAEGLALRVDQAAVDGEHPPVLTALATHRGKFPSLLWECFVRRHEPASEFIYAGTSVFRFRLPWRSRVRVAVGNLASKFFACSTIEHRRPQAKRLDTGPF >Et_1A_008423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7578168:7579597:1 gene:Et_1A_008423 transcript:Et_1A_008423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNEEHCQRKGNGRVGEEVGTMEGHLMVRTKSRRDHLLLTDGAGAGALAVQSPQTPMEPMEFLSRSWSVSASEISRVLTGRRSSNFVVDRLSGMLMPETLALAAAASGNNISPRKRTLRSKSAISAYKVNHTVHTIGRWFHHHRDAGSRADKARAERARVHAAVSVASVAAAVAAVAASGAACPEADVEGVRMEAALASATQLLASHCIEIAELAGADHDQVASAVDAAVDVRSPGDLMTLTAAAATALRGATALRHRAQREARNRAAVAPYEKAAGNCRSDMWCKEGTLLKRNRKGALHWKQVSVYINRKSQVIAKLKSRHIGGAFSKKKKSVVYGVYDDMPTAWPAAREDEGAAEACNFGLRTAQGLLEFQCESRAQRRNWVEAVKNLIRQVAGGTAQLEHSFEALRLSSS >Et_1A_004519.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12195357:12198417:1 gene:Et_1A_004519 transcript:Et_1A_004519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEGMGYNSKAGGGALPMTAPRPRGASPLQSRSRKIHRTFNNLKITVLCGLVTILVLRGTIGLNLSLPSQPSDADALSTAKAVEDIDRILAEIRSDSDPDPDEAAGRFSAAAGFNASALSAKEAAAAYAAAAERYALGPKISGWDEQRRRWLARNPGFPATVAGGKPRMLLVTGSQPGPCDNPLGDHYLLKTTKNKIDYCRLHGIEIVHNLAHLDTELAGYWAKLPLLRRLMLSHPEVEWIWWMDSDALFTDMAFELPLERYDSHNLIIHGYQDLLFEKHSWIALNTGSFLFRNCQWSLDLLDAWAPMGPKGFIRDQAGKILTANLKGRPAFEADDQSALIYLLLFQKEKWMDKVFIENSYYLHGFWAGLVDKYEEMMENHHPGLGDERWPFVTHFVGCKPCGSYGDYPAERCLKSMERAFNFADNQVLRLYGFAHKGLESPKIKRIRNQTTKPINDKENLDMKAKMSTAS >Et_2A_018014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:909873:912809:-1 gene:Et_2A_018014 transcript:Et_2A_018014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IRLRSGCLWRGGIEAARFGSLACGAGIRFGRGGDSAEASSDSSVWDLQAMAAAGCGGGGDGERADAKYNSYKAPGLRGAILEAAHVSCLEDRYALGPQLGWGQFGVIRSCSDLVTGEALACKSIAKDRLVSPDDFRGVKLEIEVMARLAGHPNVVDLKAVYEDEDFVHLVMELCAGGELFHRLEQRGCFSEHEAAVLFRYLMEVVTLCHSKGIVHRDLKPENILLVSKSPSSPMKLADFGLATYIQPGRSLSGMVGSPFYIAPEVLSGGYNEAADVWSAGVILYILLSGFPPFWGKTKSKIFECIRSTELRFPSDPWDRVSESAKELIIGMLRRDPRQRLTAKQVLEHSWMQEHADQPQDSCSHCHEIGLQREDSGSCSFSTPLASRSRDVSFNTGGPIACQSLSEEVCSPTFACRSSFSAFVADSALPCTTSGFSFDGAAEPSGIAFPSMPSFSFFCAQECSEPEASVSGDAGGEKASVYTTVAATAPSTSSRVSEAVRTARSANTSRPVGINSRRNHTIGAGEREHLDVAVAESVIRWASCTNFSTTHSLRASLVC >Et_1A_008254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5782446:5785617:1 gene:Et_1A_008254 transcript:Et_1A_008254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQMYIFIFSGRLRARQKERIAQRHTIMSYADDKLEEFMKSYDPIAAEHENPLIIVEDPNSSQASGAKRFSESTAEMRQTSKKSKRYAEVPQDFYSSKHHSKATDASNPGTQNHLLPVLGLCAPNADQMNSYKNSSSGSSMKEQKRASGDVTNKLMSTPADHTSEQRNETESAQDKATFRGVSEEALRRLNNSIPDSYFPFNPIPPLSGKGGDPVENSGLSIASFQGKLGLPSFDLEGNIPLKHMKSIPDIFPNLSLGANKDYAGNSLSELPNSSLLPNFMADFTGNLKQKSLMSGLLPGLGLNPVQPINSAMPENHKKVLDNIMMRAQYASSKFLKKKSKLDYWSEDELDALWIGVRRHGRGNWDAMLRDPKLKFLNNRTSEELASRWILEEQKLIEEPMSTVATRSSNSASLPGISDAMMSRALNGSNFSKMRMEQPKLQSHLTDIQLGSGDILSRFPHTEVVKYMNSSEGGQPQIPWQDFNHRSKYGGDFPGSTFDKLEKPEIGPLPPFMANPFMNETIGSLPINRKRNSSIPQSEIGSSSHENLLHGVSDGQINMFHEMQRRMMLGKQPIEMNLNRTNQSNSLLENSGDFGSSKSNKLPHWLQEAVRAPPSKPPECELPATVSAIAQSVRLILGEQEPAIPPFLIPGPRLSRPKDPRITSKKRILRKVQQQTSQVDHSKITSSQCDQYTTPSMPPFTEASPVPPAVDCSHDGTPSLNLNSPSSSSAASQGQNESHPVVEELHQTVEGQDAIVSTCVFKPEAPDCQRTGSSVVDDKASRTYRSPAKDTTPDPDPQGGTLHASDNSALPDFDDAPETSSRAALGPAPCVDEDLKQESPLDNEGCPGNREEPIEKPARLEEGRDPGPMEKLAPHEGRNLVASPEDSRDSEPMEKPDPLENMDSDALHSVSAQVVDEDKVDEIISDEH >Et_2A_014816.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:16838044:16839384:-1 gene:Et_2A_014816 transcript:Et_2A_014816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQAPVRVLDRIRVSPSPPSSVEGEATLPLTFFDVAWLFTGPVERLFFFRHTDPASTLPLLQSSLSVALSRFYPLAGRIRPSTDAPYVYSYSHGADGLSLVVAESEHSNDFDHLVGREPRDLARIRPLVPQLPPPGADGAFALAAVQATVFPGCGICLGVSVHHAACDDASAMHFVHTWAAACRDPHSTTPPPVLDRSLVADPDDLRGKTLAGMMRLAPPPPPAALQEEEEEELVMASFLLSRAQIDRIKDAAGQASSFAAASALAWVCLLRSGSAGVVGRPGAERRSHMLFSAECRSRLAPPLPAEYFGNCLRPCFVEAAAADLLSASGDTGVAAAAAAIGRAVREMERGVLEGAEGWLARVMSVLPERPMSVGGSPRHGVYLATDFGWGRPARVEMVSVEKTPGTVALAESPEGDGGVEIGVVLPRRAMDAFASCFAAHGRS >Et_5A_042683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25821927:25823968:1 gene:Et_5A_042683 transcript:Et_5A_042683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMLNPVKEESSHGEGGLLGVAADGPATAPRPMEGLHDAGPPPFLTKTYDMVDDPTTDHVVSWSAGNNSFVVWDPHAFATVMLPRHFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKNIRRRKPPAHNAPNQQSVGPYLEVGHFGYEAEIDQLKRDKQLLMAEVVKLRQEQQNTKANLKAMEDRLQGTEQKQLQMMAFLARVMRNPEFLKQLMSQNEMRKELQEAISKKRRRRIDQGPEVDDLGASSSLEQGSPLLFDPPDPAEFLVDGIPTDIGNSALDAEVLVETQGIDLGISEQQQNIPQADFSEDFWEQLLNEGLAEENDNPVIEDDMNVLSEKMGYLNSDSPTSRK >Et_2A_018037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9305664:9307280:1 gene:Et_2A_018037 transcript:Et_2A_018037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKQRPGGARKDEVVTREYTVNLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRIDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPPEGLKGLGTKIVEDDE >Et_3B_027531.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25660209:25662813:1 gene:Et_3B_027531 transcript:Et_3B_027531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINEWAIRVGAICSLAAHVVLALFAGVRRREATSPGTLVVWLAYQVADAVASTAISKLTFGSTPREQQLVALWLPFFLMHLGGPDNITALSLEDNKLSKRQVFKTLFQLLGTAIAMYKQYIAGTGTGTGPLLWASVAMLAVGVVKFLERAFAFWKAQLDKIRTSTSSKEQRDSLKIQPPRRSDEELGDERALLVAHELLHITKGAFADYWVNKNPFKNDNNLKEIFSVSHTHNSGWKNMCKVVQMEVSLMYDLIYTKAAVTHTWTGYLVRVASPIATAATTFLFWLSYNKDGQRTVDVIITYTLLVVTILLDVWWLLRAAASTWTYAFLNGMPECWLHHEVLCSGKWRQLRLAVMALDMREWQLHKRQRGSYRLWSRTIGQYNLFEKCTYGDTKISLISKAVKMVAPEDTWIEYNYSKGLDLHKSPRVDELLFEKIEQTLEFYPKPEPAKKDKPKPAVPAAAPAPPPDDGIRARRRLDEAMGFLPELQELILIWHIATDIFLWDNQHLMESGEHWKHVETIKAMSNYMVFLAVARPDMLPGLKIRSLCDVTREALDKIWQRKVDSSPGSTWREHSEQKLARILLEMTESDLYEENLFLSDATKFAKVLQEWLNPHNWKKDWDLVLHNSGIRVESAKKKFLFLIPEMEKWKNCIHMDALLASILKSWVRMLIYVSTRCSRDSHVKQLGRGGDLTTIAWILTDHVGIFGYKERDRKHS >Et_10A_001222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22280219:22284318:1 gene:Et_10A_001222 transcript:Et_10A_001222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPVSSVLPVVLLGCGGVGRHLLHHIVSCRPLHAKQGVAIRVVGVGDSSSLLVVDDVHSSGFDDALLTNICTAKSAGSPLSSLLGQGPCQLFKKPEANVKVIDTATVLGRSTGLVLVDCSATYDTVSMLKHAVDHGCCVVLANKKPLTGAYEDFEKLVSNFRRIRFESTVGAGLPVIASVTRIIASGDPISRIVGSLSGTLGYVMSELEDGKKISEIVRTAKSLGYTEPDPRDDLGGMDVARKALILARLLGQRISMEDINVESLYPSELEPDVMSTNDFLESGLVQLDKSMEEKVKAASLKGNVLRYVCEIGTTGCQVGLRELPKDSALCRLRGSDNVVEVYSRCYESSPLVIQGAGAGNDTTAAGVLADILDLQDLFQKTA >Et_2A_017616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4082318:4096956:-1 gene:Et_2A_017616 transcript:Et_2A_017616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFSCNEEQSLQHLLYKTLEDGNPAQDGVDTAILWRAVKDFRCVLCRLACSLVPVGGGFGTIVRSRFSSSGNTGAIADIGDGGSTGLHVACHTLNHSGRILVRVGGGRPVSIGKGNNLVCRSMGQSHCPEKSNVELGDLPEDVLYRVFSKLRLNEVVRTSVISNKWRPLWMISSKLNLDCIVICTWRRYFSTKQTYGQKYIDGVNAVLNQLHGKVIEELKFKVEFDGILVDHLNKWIDFVVSSHTKNLALDLAPYEFVGVKDRYMFPIELFDSATISRMQHVQLSCISFRPQSPFRGFPNLKKLDLHLFDISRMDFEDMLSGCANLEWLSFIRCHLDDELKVKQPLSHLWYLRIAHCCIIRVELHEENLQTFIYHGKELLIDLGQVTQLETAELHLYGCTIEYVLNVLPNVLLGVQNVTLQTRYLPLEREHSLVGILPETSPLIEEFEMHVSTLSGLHSLVVDPVHLSISYIFMHLARKVWDEELSVVFHKVHIIEFNGIKGQLEFLMHIVENAPALKVLTIDPRKKWGLCRCDASDFLASRNSVRRVLDGKILPSTESIGIWIHVCGLCLLGDEHVPHHILTGCVIARDHGSAMMNLNGHCRLS >Et_6B_049565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6638776:6644172:1 gene:Et_6B_049565 transcript:Et_6B_049565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGAASPMRTNTFGVVGMKLPAPSSRWPELPGIEGPRVWVSVRIVFQHQHHQYLYFPPSAIKLVLGIGWKGTSLEKHFSNQTLLRGRVESTTSSHGEVGGMLASAVLKLVIQQLGSVLGGQIKLQWDFGKDLEKMKMTLESVEAVVMDAEKRSVKDAAVRLWLDRLKNAMHDISDMIDEFQTSSQVPARKKVIDVRETPSNVGETLIVGRIRERKEILMALFSEKMSQKVTILPIYGIGGIGKRTIAKLVFNDTEFREYIKVWVYVSQSYDLRKIGNSIISQLSGKEIAMKCGGVALAAQSLGYMLRSMRSDEWESVKDSNIWNLYMTRLKHLYLSGCHEIEELPISLGKLKNLVQLDLSYCGRVNRIPEVLRCLTKLQLLNLSHCYFTDGAKFNLKSIQEFMGSITELRYLNLSRCLERIFEYQICSFIECICSLSNLEYLNLSDNWNIRSLPESLGSLRKLHTLDLSSCIQLKSLPEGICGMDNLRLIAVKDCNSYLKTPQLGKTSTLLPNFVVQSCDGNSGSNLVRLQDVTISNELGISGLENVMCIKEAKAIKLVERKSITELRYEWNRDAKRFVEDMELLTELLPPRTLKSFVIGGYNSVSLPSWLMTISDYLVHLVEIEMKNMPECKRLPPLGQLQNLKYLRIVGMDRVLTIDESFCGGGRAFPTLEFIEIGCMACLEVWNTMYCHGENGVTEFMFPNLKLLTIYDCPRLRLKPHPPKAKDWRISNSDNVISSWEQGKSHNSAFSSYPLVDKLSIVSCNLPLYQWKLLHHLPLLSSLTIMQCSNLSSSPEIIRDFSSLDSFSLNCNQLALLPKWLGELKSLRQLSFGDYWDIVAPLKIFNKLTSLRSLHLCRCESMTTLPHWLGRLSPLQELSIWNWHQLNNLEGSLQHLVSLVKLDISYCPKLDNLQDSLRHLTSLQCLTLANCEIIQGLPECLGDLTALKSLEISQCRSMKSLPDSIQKLTSLIRLEINSCPELELWCELDDNNKKLAHIERK >Et_6B_049247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2224562:2228586:-1 gene:Et_6B_049247 transcript:Et_6B_049247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGASEPAMVEQVITEFFAKSLHIILESRSPYDSSRNFTRPSPPSSPLSGSQPRDRWFNLALRDCPAALENFDLWRQSNLEPLVIDIVLLQRDNTRTNSAGGDRIIERWVIKYETTKSGSGSGSGSKNSGRRSRSSSGENHSLYRRTYNGSTVLLRSLYLMVRLLPAHNLFQELNSSGRIRPLSLSHKISSFVEPFTRAEDAEMKHYTFSPIETLFGHLSLSVSYVPVLEVLAVPEPPTPLSTELIMDYVGSPTTDFLRKLNSLPSSVAPPCVPVTRRHSWSTEHGTGPSESHGRPQPCVLMPDNSRTASSQPHNTSSGKKKILVSEECYPSPPLSPSPSHSPSPSSPRNPLFRYESAPIVIPTVKAGGGGSGLPRSPGRKSMPQCSSQNENLTSSPNDKSIVMKDLVRVGEFQNDKSLRKVLSFGKDDLGYFHGLKLTRTSSKLFIMDELDERELVFAWEDKDTIIDQLNRIDLSDREDRELNQDVGGSLTRSPDAAIGVLLRMLKNAPGLRERLLSIPAPPVPQEPSSLQRVVTEEPGSSASSSAVVPSSLLRSRTAADALEELNKYKEIRESILNRGKGQPWDTSGTNLSIQYDDESSLYISSRSLADDR >Et_1B_009851.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:33064378:33065209:1 gene:Et_1B_009851 transcript:Et_1B_009851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WHVRTGHGRRARQQFWRKSLEVQCRKFEPSLAAPHCRARHAGNGKFCSLPRSPPGSGPGNPNSAPRSKSKSEHAPRKLKRNPWIPHSLPPKPLSNSSSAAKFQSHAALASAPRSCTNTSATACSSIPSILRRGKSPVLPLPAEPRSRNGSPMAVLNLGCGGDSDKENARPASARGIGVRKQSMMKRPGCNSKAWKRPPLRDITCLFLANPRPPTSLAPEGREGPQELVPDAAALPEAVRALAVAPSKGVAVKQARPSLRKGFR >Et_1B_014446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8928736:8931606:1 gene:Et_1B_014446 transcript:Et_1B_014446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGGGGKGDVPQDANEHCPGTESEDAGKAEACAGCPNQQICATAPKGPDPDLVAIVERMATVKHKILVLSGKGGVGKSTFSAQLSFALAEMDHQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQATGIDGAIIVTTPQQVSLIDVRKEISFCKKVGVPVLGVVENMSGLRQPVSDLSFVKPGESGETDVTEWALNYIKEKAPELLSLVTCSEVFDSSKGGAEKMCDEMKVPFLGKVPMDPQLCKAAEEGRSCFDDQKCSASAPALKSIVKKLIKID >Et_2A_017996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8817645:8818170:-1 gene:Et_2A_017996 transcript:Et_2A_017996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETQKRVSSENLEAKKLAYLAAKESKESAMLETYKELLKQDTTVMAEDVRSEHVLALRSFRKKLFACCEQPGARRLV >Et_1A_004884.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14084139:14084783:1 gene:Et_1A_004884 transcript:Et_1A_004884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKILLLVLVLAAAASPAALAAFDVVQMMAERPQYQQFSKLLTQTKVAEEANRLRAASVLAVPDRATAPFYALPPEKLRAALANHVLLSYFDPIKLDELKTRTAMLPTMLSTTDKKLGVVNYTRADDGQMYFGAPGAPCVAKLVKVVAARPYSISIMEVSEAILPPGSGAPAAGRKGGKGKINPSSAAGLEESKMAAGGAGSTAAAAPGAAP >Et_4A_035575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:300657:301779:1 gene:Et_4A_035575 transcript:Et_4A_035575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLAKLTAMAVVLAMMVGGGLCEMGDKPGPNITATYGDKWLDAKATFYGSDPRGAAPDDHGGACGYKDVDKAPFDGMTGCGNEPIFKDGLGCGSCYELRCKEPAECSGEPVLIKITDKNYEHIAPYHFDLSGKAFGAMAKKGEEDKLRKAGELTLQFRRVKCEYPSDTKIAFHVEKGSSDMYLALLVKFVAGDGDVVGVDIKPKGSDEFLPMKQQSRDNKFCEALASSKEKRKYLLSSRAHQGILTIIG >Et_2B_019427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29085577:29087739:1 gene:Et_2B_019427 transcript:Et_2B_019427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPTPRSSDGVRPRSKSGTGTSRPPSSPRSSVDASRQTAGRSSASSDKPVPSFLRLTVSSYRHSSASPAAAATGRRSADKAPAQPLGAPRPITPKVVDKAKAAPASTSKWSAVSARQLMQKASNAIKGTTKSRSKTSKEAASPAASSSSGKETARPGSSASRPGTSASPKTPAESPPAVQAEEAVLEPKAEQPAATSQEAAGTEATAVEGKSEAEEVVEEEKHVLGDAQGINVETPVPEPQEHKPQSFAVAETETEDQKITGDDDSPAIVIEEAAKEAATTEGGDEPATSAVEETVLVETKAEQRQEEEATRPQEVIEIPETSVISEEPPKEETSVIPEEAMEETSVISEEPKEETRIITEEPKEETNVITEDPKVEETGVISEEPKQQEEAEMVVGSGVSAPTTPVKDAIEDAESVPKQVSASEPVTPVQEAVSKDEAVIETLLSTSAPRTPANVVEKAGASKQEKIPAEESSKLPFRGSKVKTAMEKRSEEEQPKKKEVARSNDVIEETKSKLLQKRKSKVLALVGAFETVMESPRSSS >Et_1B_011604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2420297:2422105:-1 gene:Et_1B_011604 transcript:Et_1B_011604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVSFPVINMEKLGTEEKSAAMEVIRDACENWGFFELLNHGISHELMDEVERLTKAQYAKCQEHKFQEFARRTLEAGEKGENVKDVDWESTFFVRHRPVSNVADLPDLDDHYRQVMNEFASEIEKLAERVLDLLCENLGLEPGYLKKAFAGSNGPTFGTKVSAYPPCPRPDLVDGLRAHTDAGGVILLFQDDQVSGLQLLRDGEWVDVPPIRHAIVVNIGDQLEVITNGLYKSVMHRVLTRPDGNRMSIASFYNPGADAVIFPAPKLLGDEEERAETAYPKFVFEDYMNLYVRHKFEAKEPRFEAMKSPIATA >Et_4B_037901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24659944:24661588:1 gene:Et_4B_037901 transcript:Et_4B_037901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSSCGVPDIGDTGAVRWKASNSPSAMTRTPCRQCTHRRREAAGTPEKGSEVGNGVSSASRASSGLRRRSRGTPSRAGAAPEQRHVEPEQGHVEPSWGAGGGGGQGMEEPIQFTVATKSNLECGIFYREDRIFF >Et_3A_027339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8002912:8006220:-1 gene:Et_3A_027339 transcript:Et_3A_027339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAPLRARALLDGTPHRLAPRWCSAAGYQHDTACGHSAGDAVAGYAAMLARGARPDAYTFPSLLKEVARGTASGVAPGGAAVHAHVVKFGFDRNAHAASALVLAYAAGGDGAAARAALDESAAPVAWNALISGHNRARRFGQSCRSFADMVRAGVAPTAVTYVSVLSACGKGKDALLGTQVHKRVLASGALPADLKVGNALVDMYAECADMDAARKLFDGMQVRSVVSWTSLVSGFARAGQVDRARELFGSMPDRDAISWTAMIGGYVQAARFMEALEMFREMQYSNVRADEFTMVSVITACAQLGALEMGEWVRVYMSRHGIKMDVFVGNALIDMYSKCGSVERALDVFTEMRTRDKFTWTAIILGLAVNGHGEEAIDMFHRMIKVSEAPDEVTFIGVLTACTHAGLVDKGRKFFHSMIDSYRIAPNVMHYGCMIDLLGRAGKLTEAREIICKMPMRPNSAIWGTLLAACRVHGNTEIGELAAERLLELDPQNGMAFILLSNMYAKSNRWEDVRRLRHEIMEKGIKKEPGCSLIEMDGMIHEFVAGDRSHPMSKEIYSKLEKKKRRLMPFYQPEAFVFFPIS >Et_2A_018105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11151614:11158833:-1 gene:Et_2A_018105 transcript:Et_2A_018105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDAPSCPGSIADHPSPPSRLLSKHRPRRRAAAPRPPLPPPAPPAATRGQPDLSLCHCCGVRFPPPQPGSKRRPVRPLGSFWRVVLLCPECLSLVRSADICSYCLSLDNLPREDGSAVACRRCRRCVHRSCIPGEHRTALIQPVDLDNFICVDCCPTLRSKNGASNFGLNLEAYTRDPTSVNGVNSSRKAAEVNSAAKQGKLLALAGIGGDGSDGRGSGDPALLDEEFALQLHLAMNGSQRISRPGIPTGGGSPEKGNKDVVGVRKGNVEQEICVTNMMAQLDDEPEQVRKKIPRRVRKLPLVTVVLALECVKGRHAQKSVKAKRKDPPETKVQDDSVDRYKKKYSKRNSAKQVKSEDVARDTMHDGKDIDDDRGGNDSAPLIWSNFKALPGRHKDALGCRGALQPSHAAERLTTFKTMLQKFRFWHGAGVAARIPVIIVVTRNLEKSLLEAGASFFSRITRIRKLWATLEEVDREEVKLKAVREMTMSN >Et_4A_033087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18368805:18371788:1 gene:Et_4A_033087 transcript:Et_4A_033087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAELEPAFAALAAKKQRLRESFDRLAACSPVPIPFRWEDIDAHLSAVQSAIAARFRKLDSASLQPAVAAGGPIAIAERVEHPVEHLVEEDREPRVERGVTEVAQEGNAGEGEEAKNANFSKEAEGSKGEVGGASEGSRVKEGGEVGNEKGEVAIEASPEQYDEDEASEGAMEATPESPRQGGDEVKMVGDDEAANASTGQEGEDDETEEGEAKWPSPRATAAGGVEKAMARSIAAACADMDASTLVDALFQYCRSSLLPARRAFLPALLGAADPHALVVRAVGEFLARPAHMTCRSWGNCVALLDCVPHLTGTAGPSADTLEQAERLASDWKEMMVGKTGSCRDISRLAGWGLFTFLASYNIVLEFEAEEIIRLFDNIPPDLKDNCIELCKHLGLIAKMTDSIHHLIRNGQPLDAIRFACALNLTDKCPPLSVMYDYVEKAKKTAEEILSKGSDSAESLNQVMEKNVNALILSWTAVDQCNIDSFHRNSLKEEISQLLHKYADKQQNLAGVSAFVSTSNQQHNLEGQHQLQRTMAREEKQRKAQELQEKPGEKRQRQKTHKSQPKQLQNQEERGRGWQNLTGRRPSKNQKRKQRRQNWQNPQPKRRQQQQQFNKRPRFSSYSYSGIRGVPFADRATIIPP >Et_1B_013811.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17583834:17584352:1 gene:Et_1B_013811 transcript:Et_1B_013811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGCRSRRPFAVACGVLSRRVRPDSEAANTAASALAVASPRTMLLMPGADVTSDANNVASSPARAQLTVLYGGRVAVFDDVPEDRAEELVRVASAPAGGLPDMRVARKASLRRFMEKRRDRVAARAPYGACLPDALQLASNSKRGKATDEAQADAGSWLGLGIPGGSGR >Et_7A_052021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3800362:3804678:-1 gene:Et_7A_052021 transcript:Et_7A_052021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAPPSFSLGFDLDDEDPPPAAGEQARGCAAPDPPSFSLGFDFDDDEDEPQLPAGGREAERAPVYAAPDPPSFSLGVGLDDDEEPVEGRREEQVRPYEAPDAPSFSLCFDDDDEFLRGGKCHEEAQPQVAPRAPSSTGIEEEDDFVLAAGKPPTPPETNRFKRLRKGPALPHPAPTPQVRSYEAPDAPSFSIGIDDDGFLGGDQHHEQSRPQAAPRVPQSVCVEDSEDDDPQSVCVEDSEDDDFILPGDRRSQRVRCETLNPDPLPTPGTRRLKRLQRGPEPPRLAPKPPPPKAPKLAMPEASPVVNGKGALDGIGSFEDEIEDFTDDEQPTRDVPPSVGSSSSNSKFSLLNHGVLMSQSATKAKISQLKQQSTSSASAPLEESCTKKLLPKITISPLRKIHLLDSDTDADDDQNQNKANKPARPLKSRPESMNKYIGKDPTLQQNSKSQRSTAAWKSKAKVHENWATPALDEFCSEYFNSVKESGQPQQKESSSFSRSNVSHLYQGSSTGAVVDENPADNSPPAVHYFFHQDPMVRDLVRERLQHFFPIGTESTRENEQRRAENISYGLARVVLLLMGGLLQEEVFLFLLMLAEEEYMPVELNLALGIGILGRMEERKVAGDFTSSGRKVRLRKAHPEANEVLPNLRKNQLRQSKAQVEPEESDEMKMHICFPRLQKGFT >Et_1A_007133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31168825:31177731:1 gene:Et_1A_007133 transcript:Et_1A_007133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPPSSKDPTCSSLPQAVYATARLCGCSAECLRHGSVSVHSTNQPAMAAAARSLLRRSQLLLPLGLVLAVVLAAPAAEAWTGEIRGRVVCDICGDAAIGPEDHALEGAEVAVLCITKSGEVINYQAFTNSKGMYTVAETMPESDRWESCLARPISSFHEHCTRRGDAHSGVKFTYSKSSGNAHTVKTFLYKPVNAPLYCS >Et_10B_003962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8503909:8505665:-1 gene:Et_10B_003962 transcript:Et_10B_003962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVGSGEKQLEDCTVANALGTWFFSVAGALVAIPVGIKKKSLAPLVFFGTTGTMLDIIMGISQCEREHAERQMKLLEAQNLSANAASETESSESFGSADK >Et_9A_063157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12624345:12626673:-1 gene:Et_9A_063157 transcript:Et_9A_063157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIEKAAAADTTAAAGDAVAGGGGTSTGTGGVMGSLARRWRAQDVLERSGSALRAGAWAMALLAFLLMACNEHGDWKQFDRYEEYRYIVAIGLLVFIYTTLQLVRHAVRLSGGQDLQLRTGLLVDFAGDQTNPNLSLLLFLQVAAYLLMSALSAAIPITNRMREGADNVFTDSSAASISMAFLAFVCLALSAVISGFKLAKQTYI >Et_8A_057000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2005925:2009100:-1 gene:Et_8A_057000 transcript:Et_8A_057000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGYESSLTMRRYSPPYHSPPRRGHGGRGRSPPPRRGYGGRKEQGSCSLLVRNIPLSVRGYSGHDGRRSSYYGRSRSRSRSPRYRGRPRSRSYSPAPRRRDDYSASPRRKESHRPSPPRRQPKELDEDKKRRSYSPASGDEAHEK >Et_1B_010853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14845188:14850631:1 gene:Et_1B_010853 transcript:Et_1B_010853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPESSVPHTDKVGQARPAPRRLGSRGLVDLEELVRVIAQSLYSLGYRKAAAALEEESGVPLYPAEHDRLLLDVMAGRWDACVETIGSVAGISNADRAVAEFLMWRGHYLELLGMGDAGLRRAREVLRRRIAPLRIDRRCVHWLARAMVSCEGVVAPEAVVQSRIALFLDLVEVLPLWFHVPSGRLEHLVESAVTKQVESCIYHNLPDEISLFEDHNCHEEQIPSKCAQVEKDDTLTKKHCLKGHQNPISFVAWSPNDTMLLTCGNGESLKLWNVDTGECNLKFRGSGDYTITTCAWFPNSEKIVCAGYNSGAGSSNKIFTCDLEGKELEVWAGVRIPKLSDLAVTPDGKHLICVSSNEIWIRELPKGREWRIHEKQTISSLSLSDDGQSLIVNLNSQEIHLWKVHRSSSVPEIFKGHMQGKFVIRSCFGGSNSLFIASGSEDSQVYIWKRHLETPVKVLHGHTMIVNCVSWNPTRPQMLASASDDHTVRIWLACKTRHTDS >Et_3B_030548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4919752:4920785:1 gene:Et_3B_030548 transcript:Et_3B_030548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRQRLGHCRGAEADGRQVVNIVRDRLIFYGCGYGYCNGCGGYGCDDGYGYYCGGCGYDDNYGYDCGYGYSCCYGYNYG >Et_2B_019145.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:13830219:13830746:-1 gene:Et_2B_019145 transcript:Et_2B_019145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFRSTADLAKHLPTGAVLVFEILSPVFTNGGKCDEVNRAMTSWLVGLCAAACFLLCFTDSFLDGRGTPRYVVATRSGLWVIDGTAPPPPEVAASYRLRFIDFFHAVLSLLVFMSVAAFDRNVAACFVPVMSYDTRQVLTAVPLAGGLVGTLLFAAFPSTRHGLGFPIPAAAA >Et_8B_058583.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:2710399:2711662:-1 gene:Et_8B_058583 transcript:Et_8B_058583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTASAKSMHAAYGCAPIWSIFRADASCLQYCCLSLAPSDQSDLVALITLTTRLHFRIGLAIGAPFPSTVLDHVDAVQHRDHGRGAEARQYGAEDPEQERRAGEEEPVSGEVEQEPALEDLADVSPGDGGEAERRGGVRRREGVDEEGGLVERADGEAVAEEGEEEGGEEDHVLERHHVLTVRAEDGVAERLLHGIGAAQHGGDAGAEEDGRGPHQRGPHQHVPDRLHHQHRLLLVVLHVICVCVDQSSACLMNWPALWNGMDEVIKNLKVRDMRTGRKNVPSSWP >Et_3A_023705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11093107:11103038:1 gene:Et_3A_023705 transcript:Et_3A_023705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRRDMFCATVLLLVACNAAAVAKSASPTKKGQQCDVFRGRWVMDASYPLYNSSRCPFVRKEFDCRKAGRPDTAYLKYRWQPNPPCSLPRFDGLKLLRMWRGKKVVFVGDSLVMNQYESLLCMLHAAASGARTTTSWALGDSPSITVRFVDYSVTLVYYLSHYLVDIVHEKAGRALKLDAVDNGHKWPGADVLVFGSWRWWANKKGEWDYVKDGNTIMQDMDRTQAFSKALQTWARWVDANLGQTSTKVFFQGFSPSHRNGQEWGAPWGKTCVDETQPLNNTAAYHGQVNPQDAVLRRVLAGMTKPVYLLDITFMSQLRNDGHTTKYNGDSNGADCTHWCLAGKRSFPSPWSPRASSVTEVTMKPRRDMLLAAVLLLVACNNAAAAVAKSASPMENGQQCDVFRGRWVVDDSYPLYDSSRCPFVRKEFDCRKSGRPDTAYLKYRWRPNPPCSLPRFDGLKLLRLWRGKKVAFVGDSLVVNQYESLLCMLHAAAPGARTTASWTSGDSPAITVRFEASPKIRTRIPFKIGSSGDELCMQDYSVTLVYYLSHYLVDIVNEKAGRVLKLDAVDKGHKWRGADVLVFGSWRWWVSRTSWDYVQDGNTIMPDMDRTQAFSKGLQTWARWVDANLGQTNTEVFFQGFSPSHRYGEEWGAPSGKTCMGETQPLNNTAAYHGQVNPQDVVLRRVLAGMAKPVYLLDITFMSQLRKDGHTTKYNSGSNDADCTHWCVAGVPDTWNIILYAADPLSFRSFFSFAS >Et_2B_022616.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27960137:27961300:1 gene:Et_2B_022616 transcript:Et_2B_022616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGLREAFDRVVEKRAVSSAKAQEAVDQILAELEQTIVKMQMMNTYYMGSVDHSAILAEFKANLNEMVPLNQLEVCQKGLNVSLSKYLKLLEKSFSPDISKACRNVDFEVHTINNIIANHFYHQGLFDLGDLFIRECGESDGASLKLAFQEMYAILEAMKVRNLEPALSWASRNRDQLLQNCSMLELMLHELQWVEMLRNKAATLKAIEYAKAYLDPFAEDHKGHIAKLTACILWAGRLEQSPYSELISPEHWEKMAEEFTCEFCILLGQSNDSPLRVAVSAGFQGFPTLLKLTSVMAAKKQEWQATKQLPVPIDIGPEFQYHSVFVCPVLREQSSEENPPMRMPCGYVVSKQSIMKLSKSSSRPFKCPYCPSVAVASDCKQLHF >Et_7A_050803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11183778:11185249:1 gene:Et_7A_050803 transcript:Et_7A_050803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEQGDGAPGHVSGRRCCKRARPRIFFARRWEAGGGSSSPSSMALGPGDLGPRDGSKGAEGRGAPGDEEDEPHPFVGDAAAGGGGDGAAPLPCSSMADGLVGKKDYFDETHEMRGYNRERLQTLRPQTRLLAPSPRCSNDASPSPSSPTAAGSIRRLVLQPEGRAKLDARPDRDFYAFPRLVTHVDDGFIATLTDLYRERLRPGWDVLDLMSSWVSHLPPEVQFRRVVGHGLNAQELARNPRLDYFFVKDLNREQELELDSGSFDAVLCTVSVQYLQYPEKVFAEIFRVLKPGGVCIVSFSNRMFYEKAIGAWREGPPYSRVQLVTQYFQCVEGFTQPEVIRKLPTAFVRLFGMASSDPFYAVIAYRNFKPM >Et_3B_028641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17608609:17612569:-1 gene:Et_3B_028641 transcript:Et_3B_028641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPSKIPRRRQPKNQQIKVRMMLPMSIQCATCGTYIYKGTKFNSRKEDVVGETYLGIQIFRFYFKCTKCSAEITFKTDPQNSDYTVESGASRNFEPWREADEVADSEKRKRDAEEMGDAMKALENRATDSKQDMDILAALEEMRSMRSRHAGVTVDQMLEILKRSAHEKEEKTVAELDEEDEELIKSITFRNSGNYVKRIEDDDDDDEDLITPGQSSRTSKINGPESVTNPTDVLSKNGVDSGNKEGSKRLMPKFIVKPKKQKTESMAIEDNPRAPVAQEKRAAVEQTNVLQSLCQNYDSDESE >Et_2A_017298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3298663:3303849:1 gene:Et_2A_017298 transcript:Et_2A_017298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLASAMRREHRRSKPASSSSSAGAAAGRAPLVMAFLSCLAWLYIAGRLWQDAQTRAILSGLLERNTGNLPKMLSVEDKLRNLGCKGIGRKIVEAEMDLTKAKSEGYLWGNGSAALNTDSKKKLLAVIGVYTGFGSRLKRNTFRGSWMPRGDALKKLEEKGVVIRFVIGRSANRGDSLDRNIDEENRRTKDFLILFFFSAAVETWDAEFYVKVEDNINLDLAGLIEMLDGRRGNQGLYMGCMKSGAVVSEEGQQWYEPEWWKFGDSKTYFRHASGSLFILSNNLARYININRESLFQRLNEAKGL >Et_8B_059630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2034127:2036568:-1 gene:Et_8B_059630 transcript:Et_8B_059630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLDDDDATSVIGTSTRGYLVELCCWECAAYMNYKLPHLHPSTEVVKKSSVPHLCEKLSGVQHPQMSGTIPIPKPAPEIAKIDKPREIPAAEAASIPKKVSAPAPSAPKPTQEKVPGKDITIVGLQKEEPNSFSAKAVQTCQEAKLAMGMRRRRPSRDGTPCDRRR >Et_3B_031057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1311921:1312530:1 gene:Et_3B_031057 transcript:Et_3B_031057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPGPGGLTLFGYDIGVTSMDPFLVKRRTARGGNQYCMFDSPLLTTFTSSIFLSALAASLLAATFTRAAGRKWSMFAGGERPQRRRGERPPRRRVRQPERAALPVADGACPDARDAQQRLQPHDHVRFGILLVNYATQKIAHVRGDHRPRQPPVHLRVGLHRRPAFSYLQRMKRSRSFARSPDLLPGARRP >Et_10A_000188.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:16137510:16137554:1 gene:Et_10A_000188 transcript:Et_10A_000188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRVVLNETTQK >Et_6A_046176.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7510661:7510891:-1 gene:Et_6A_046176 transcript:Et_6A_046176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVQQGLRQLTATGSKETKRWHAGILFFFWWQIWKERHHRIFENKELSFEQVALLTVDSVRQFQRAFQLQQTSSH >Et_5A_042153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6866857:6867868:-1 gene:Et_5A_042153 transcript:Et_5A_042153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAKSSHKMYKHLAQGTAHSGPVAVFTSSGFEHPEKGQGKELDANNFIDSMKKQVKIII >Et_4B_037773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23239752:23243198:1 gene:Et_4B_037773 transcript:Et_4B_037773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVSEDGGRRREAHTAGYGDLGRALLDLQAAADQVFDAVSKRTAEEREKLSDISRRIKDAKAKIKALSKSEGQLTIVSPAQHPSSSTKHEDYRPLFCDKYVGPDFGLPIAEISVNGAFNREYGLEGTLELFQFFSEENCDYPSKLTSNYGLFPAQVEDKPPKTKDVTYLENLMETANPAAPENHLLSEYDMKNEELPPPPPSLQPKHLVP >Et_2B_022382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19673568:19676807:1 gene:Et_2B_022382 transcript:Et_2B_022382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVAPRVAGAARRLSPSPKPVGRDREKDMSPASRDEYAAADKAKKRLPVAGVPSLLLRRQELLLRRGVVGGRTGTAHHRPPPSSLTASFASEASTDSFCSRASTGRIGRPAGSPAVRRRSAGPPAARPTTTARKAASAGPGGVAAAAVLIPAVGSVNGDAAGPARCPWVTPNTADCKEFPDLTLQQILAMQPSTTKSGESQYTMIIINCRRLFEMLVLSGALAEMAWPVILSKRDSFREVFMDFDPPLVAKLSEKKILGPNSPASSLLSEHRLRIIIENARELLKVIDEFGSFDSYCWSFVSNKPLIGRFRHMREVPLRTAKADAISQDLMRRGFRGVGPTVVYAFMQAVGMANDHLVTCYRFEECCTDAGASTAVCDGHSDKSPAAATATAMSDQEVSMVCGLVQCVGLEPSTAATVISIS >Et_9A_062315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21833963:21837043:1 gene:Et_9A_062315 transcript:Et_9A_062315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTSAASSALTFGHPKTLPPPAPKPSVSFPVAQPACHLAASSRRRDVAAMVAAPPAVGTAMPSLDFETSVFKKEKVSLAGHEEYIVRGGRNLFPLLPEAFKGVKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSKSFEEARAAGFTEETGTLGDIWETVSGSDLVLLLISDAAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSLGLDFPKNISVVAVCPKGMGPSVRRLYVQGKEVNGAGINASFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVEALFRRYTEHGMDEESAYKNTVEGITGIISKTISKKGMLEVYNSFSEEGKKEFNKAYSASFYPCMEILYECYEDVASGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGEKVRSTRPDGDLGPLHPFTAGVYIALMMAQIEILRKKGHSYSEIINESVIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKDTPINQDLISNFMSDPVHSAIEVCAQLRPTVDISVPANADFVRPELRQSS >Et_10B_003783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6065429:6078304:1 gene:Et_10B_003783 transcript:Et_10B_003783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAQPLNPQRVTTAVVVHRMEERDWSLLVADAVGVIADKLLADDVTEYIRLRAFRFVNVHSGAKLSIGLPPVEEYGKVLAAGAEGLLLLHCKSTDRIRLFNPVTTAMAVLPGLADVPMAARSSTRFMTAGVVFDGESTVLLAVATRRRTAILYAKPGARRWGFVDAGVLGGDGNPRPFDGGLSLHGQFYVATRHGDVLKVELAPQPHLVYVARLHGPDDCACANPVGTYLVPSLDDNTEMLLVFCYGFAVETYFFGVDVSSGDLRRRSPVIQGGLSMQGHFYVSTRGGDVFTVELIRSHASMGAHTLCLPVTTRTAECSWCSVFRSTLNDDEQCGWRLEAG >Et_9A_062641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2704821:2712633:1 gene:Et_9A_062641 transcript:Et_9A_062641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDQKKKAPSEMDFFTEYGEGSRYKIEEVIGKGSYGVVCSAMDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFHDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPTPEAISRIRNEKARRYLSSMRRKRPIPFTQKFPNADPRALSLLERMLAFEPKDRPSAEEALADPYFKNIAHVDREPSAQPVTKLEFEFERRRVTKEDIRELIYREILEYHPNMLREFLEGTESSGFMYPSAVDQFKKQFAYLEEHYAKGSTGTPPERQHNSLPRPSVIYSDNRPQSAARITEDLSKCLIRENTQRPQQHSAPVGANKFPLHVPQGAAVRPGKAVSSTLQYNSSPASAAEQYEQRRVARHPAVAPNNVPSGSSYPRRNQTCKSETGDDERIDMHQVVQQKTYAANKLPATVDGRGGHWCSLQNRVITIATLQDDYCKFATLWLITKGGLGAGDGRGGAQPSMAFPSPSSARMSAVSLAIEHRCCRVHQLWGLAIPCNFGGLPIRPTPRPRQT >Et_2A_017410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34010707:34018050:-1 gene:Et_2A_017410 transcript:Et_2A_017410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADAAAAGVAAMGISGGAQEWAQSCPALQRNLQLLTQEEVVLAKMLLNEGQTHLFEHWPESGVDDDKKRAFFDQVRRLNSSYPGGLASYIQNARKLLADSKAGKNPYDGFTPSVPSGEVLTFGDDNFLSLEAAGVKEARNAAFVLVAGGLGERLGYKGIKVALPRETTTGKCFLQHYIESILALQEASCKMAEDACHTNIPFVIMTSDDTNELTIKLLESNSYFGMDPSQVKILKQEKVACLADNDARLALDPNDKYKIQTKPHGHGDVHALLYSSGLLEQWRSAGRNWVLFFQDTNGLLFNAIPSALGVSATKGYNVNSLAVPRKAKEAIGGITKLTHVDGRTMVINVEYNQLDPLLRATGHPDGDVNCETGYSPYPGNINQLILELGPYIEELKKTHGAISEFVNPKYTDSTKTAFKSSTRLECMMQDYPKTLPPSAKVGFTVMDTWLAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYRANSLILRKAGVQIADPVVDTFNGQELEVWPRITWSPRWGLTFKDVKKKVHGNSSISQRSAFVVNGQNIFIEGLSLDGTLVVNAADEAEVKVTGHVQNKGWTIQHVDHKDTSEKEEIKIRGFKFQKVEQLELNYTEPGKHSMSA >Et_9A_061561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13764226:13775438:1 gene:Et_9A_061561 transcript:Et_9A_061561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGTTAMEVRADGVAVITISNPPVNALSLDVLANLQRNYAEALSRNDVKAIVLTGAKGRFCGGFDINAFGNKPKNEKPGSLSIDFLTDIVEDARKPSVAAIDGIALGGGLEVAMVCHSRVSTPSAQLGLPELQLGIIPGMGGTQRLPRLVGLPKALEMMLMSKSIKGKDAHELGLVDAITSANELVNTACSLALDIVEQKRPWFKSLYRTDKLPSLAEVKEILKIARVQAQKQAANVQHPVVCIDVIEEGILSDPRAGLMKEALSGKMLEQSLTSKSLRHFFFAQRATSKIPKVTNMGLTPRKIKKAAIVGGGLMGSGIATVFVLNNYSVVLKEVDERFLSAGINRIKANLQSFVRKGQLTKLDYEKKFSLVSGVLDYEQFRDADVVIEAVIEDLSLKQQIFSDLEKYCRPNCIFATNTSTINLNLIGQRTSSPDRIVGAHFFSPAHVMPLLEIVHTHQTSPQIIVDLLDVAKKIHKTPIVAGNCTGFAVNRMFFPYAQAAGFLVDHGLDVYRIDHVITQFGMPMGPFRLGDLVGLRVFSATRNQYLQSYPERCYNSMLVQILLEDNRTGESSRRGFYVYDDRRKATPDPNLRKYIEKSRTMSGVVQDYKLTELSDNDIVEMIFFPVVNEACRVLDEGVALKASDLDVASIMGMGFPSYRGGVMFWADSLSSRYVYNRLEAWSKDYGGFFKPCEYLATRARQDASLAAMVDGVQARL >Et_3B_031347.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26337655:26338548:-1 gene:Et_3B_031347 transcript:Et_3B_031347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHMAFCSSLAVALVRVLRVVDLPSSPAMTPQLYVASVVPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFNKETFKSSSMLNMLSISFGVAIAAYGEARFDVRGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLCFLVVPWAFVELPRLREVGTFQPDFFIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVGYYNHVKLQALKAKEAQKKAAQADEEAGSLLQERSSHGDHKSDNQN >Et_2B_021283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28267732:28272019:1 gene:Et_2B_021283 transcript:Et_2B_021283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVKDGADMEEGTLEIGMEHSFQLSRLFIKRLSTYAEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSQHAESGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRTGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDAAH >Et_7B_053303.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:2664221:2665420:1 gene:Et_7B_053303 transcript:Et_7B_053303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLAPRALHRASAPPPPTPPASLSSVSHGYLIFLRFCTSSSTPPHFMVDYLVSTCGLPPAKAAKAAPRFAHLSSTEKPDAVLAFLRSKGLNRAQVATVVSCYPALLLSDVDGTIAPKFRAVRSVGLTRAEAARLFALCPPALSWGVHTNLLPRLLFWLDLLGSTRLLMKWLNKTWLFKFTVDLLLRNLSALRSLGIPQARLATILRQSPSLIMQSPARVQALIERVDACGVPRGSGMYTWALFALHGVSDAAFQAKRAAVMRGTGCTEQEFLAMFRRAPCFLFMSEDLLRRKVEFLKATVGCDAGCIVRNPVLLTLSLSKRMVPRCRAVEVLRSKGVDIGKERLVNVVRLSEARFMERYILRYSEEVPELLELYPPDPGSSLRGALSTIGGSVDDP >Et_7B_054484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21958647:21980628:-1 gene:Et_7B_054484 transcript:Et_7B_054484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAAYKMMHWPTGIDHCAAGFITYSPSDAAAFSAPSSAGGAATPGPDGDIDSAAAKAPRRVGPTPNLVVAAANVLEVYAVRADAAAAEDGAGGSQPSSSPGAVLDGLCGARLELVCHYRLHGNIESMAVLSDGTENRRDSIALAFKDAKITVLEFDDSIYGLRASSMHCFEGPEWQHLKRGRESFAWGPVMKADPQGRCGAALIYEMQMTILKAAQVGHSLVGDDEPARGLSSTAVRIESSYLIDLRVLNVNHIKDFTFVHGYIEPVLVILHEQEPTWAGRISSKHHTCMISAFSISMSLKQHPMIWSTADLPYDAYQLLAVPPPISGVLVICANSIHYRSQSTSCSLALNSFASQPDGSPEIPKTSFHVELDVAKATWLSHDIVMFSSKNGEILLLTVVYDGRAVQRLDLMKSKASALSSGVTTLGSSFFFLGSRLADSLLVQFSCGVPASALQGLNEESADTEGDMPFSKRLKRTPSDVLQDVTSVEELSFHNNMVPNSPDSAQNISFIVRDALINVGPLKDLAYGVRTNSDPNAAGIAKQSNYELVCCSGHGKNGTLSALQQSIRPDLITEVELPSCTGIWTVYYKSSRGNTAEDNEYHAYLIISLESRTMVLQTGDDLGEVTETVDYNVYAKGARVLDGSFMTQELNFSTHTSESSLSSEPLAAYASIADPYVLLKMVDGSIRLLVGDNSNCTISVRNPGIFASSSERITSCTLYSDRGPEPWLRKARTDAWLSSGIADAIDGNDSSSHDQSDIYCIICYESGKLEIFKVPSFKSVFCVENFVSGPALLFDTFSRTSTKDTATGSRDATKMFVKKEEANNIKVVELAMHRWSGQFSRPFLFGLLNDGTLLCYHAYCYDGLESNTQCFPMSPQGSTDLGNDSDLRLRNLRFRRISIDVTSRDDISSIVRPRITIFSNIGGYEGLFLSGPRPTWVMVCRQRFRVHPQLCDGPIVAFTVLHNINCSRGLIYVTSQGFLKICQLPSAYNYDNHWAVQKVPLHGTPHQVTYYAEQSLYPLIVSVPVIRPLNQVLSSMADQEQGMHIESEVTSGDDLQKVYTVDEFEVRIMELEKLSGCWETRFTIPMQPFENTLTVRIVTLQNTTTKENETLMAIGTAYVQGEDVAARGRVLLFSFTKSENSQNLVTEVYSKEIKGAVSAVASLQGHLLIASGPKITLNKWTGSELTAVAFYDAPLHVVSLNIVKNFVLFGDIHKSIYFLSWKEQGSQLSLLAKDFGSLDCFATEFLIDGSTLSLVVSDSDKNVQIFYYAPKMVESWKGQKLLSRAEFHVGAHVSKFLRLQMLPTQGLASEKTNRFALVFATLDGGIGCIAPVDELTFRRLQSLQRRLVDAVPHMCGLNPRSFRQFKSNGKAHRPGPDNIIDFELLSDYEMLSLEEQLEIAQQIGTTRSQILSNFSDFSLGTRPQSTTRSLTDEASHEATTSSLTSVPN >Et_2B_020542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21187582:21198926:1 gene:Et_2B_020542 transcript:Et_2B_020542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMAWRIPTLVQEVAATAHEPPSRYVRREQDTVAMAVDMPEGVPVIDLSRLLSVADGAEEASKLRSALQTWGLFVVTNHGIEASLMDDVMNASKEFFHQPLQEILKCSNLLDSKHFRVEGYGDDQVKTQDQVLDWSDRLHLRVEPEDEQNLNIWPKHPESFRDVLHEYSLKNKRMKNILLEAMARLLNLDGDYFLNQISEKAPVTVRINHYLPCTRPDLVLGFKPHSDDGVLATLLVDNDVAALQVLKDGMWYNVPTKPHALLVNIGDFMEAWRIPTLVQEVATAAHEPPSRYVRREQDTVAVAVDMPEGVPVIDLSRLLSAADGAEEASKLRSALQTWGLFVVKTQDQVLDWSDRLHLRVEPEDERNLDIWPKHPEGFRDVLHEYSLKSKRMKNVLLEAMARLLDLEEDYFLNQFSEKAPVTVRINHYLPCPRPDLVLGFKPHSDDGVLATLLVDKDVAALQVLRNGMWYNVPTKPHTLLVNIGDFMEVMSNGIFKSPVHRVVANPAEERISFVMFYGLDPELEINPAADLLHDNQPARYRKLKTKDYMAGFYEHFARGNRVIDDVKI >Et_3A_023919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14671083:14673441:1 gene:Et_3A_023919 transcript:Et_3A_023919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDCTGLEVLSVPSWSFTRANFQANASHTHPSFLCLYASLLQQFLLPSSLSNQSMEKVSREKLPPLPPRRAVQRQPAAARQRDGDDEQVEKFYELLHNIRAERHLFKIRQSQSNCRKRLRMEEPPWKPAFTMQDFEEAKGDHVSGPSNTKLGDADHKVSLKGDSGSRDTGGEEDAAKESNLLTQKGIMAKGVIGI >Et_5A_041783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2833758:2851350:1 gene:Et_5A_041783 transcript:Et_5A_041783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMLHRRLGVAIALLALLGPVPSHGLLTVCFNGWQLPTIILGIAVCPGGSRPTDPPPSPGPPSGLGLSYGYYNNKSNSASYCPQVESLVEEVVTEEIGNDKTIGAGLIRLFFHDCFVRGCDASVLLTTTGSPNQTESEGPPNKNSLRGFDVIEKAKTKVEAQCAGKVSCADILAFAARDAAYNLSYGRINIPTPGGRRDGKVSLASETNQLPGPFSDLAQLQDSFSAKGLTSDEMVTLSGAHSIGNARCTFFSRRLPPNNSTMDADYAAKLKSECKTGDTRVDQDYQTAYVLDNLYYDNVIEGKALFDSDDALKTVREVKENADNPQIWEKKFEKAMENMGKIIDERSRTNGEIRKICSRLAVLVTLLAVLLGPVACQSQGSNTCFNGWVIVPPSGTCPQGSRPAVQPRRRFPAPSGEGLSIGYYNNNNSYCPQAEGIVKDAVQKAVDQNPGIGAGLIRLFFHDCFVRGCDGSVLLTATASGNSDTEREGPPNKGSLRGFEVIDEAKAAIEAACPGVVSCADIVAFAARDASSVLSNGMVNIPTPAGRLDGRESFANETDQLPGPFSNLTALTDSFWAKGLSPDEMVTLSGAHTIGHGKCAFFSNRFADMDPTLAAKLRAQCNGNDNTFVNQDDVTPNVLDRQYYKNVIDKKVLFDSDAALLNSTDTTTQVTDNANSAGSWERKFEKAMESMGKIGVKVRGNTGAEIRKGYGGYYPPPPVSAPPSPSPSSSPSPPPSSSPSPPAPSPPSAPGLKVGYYAKTCYRAEDIVRDAVRVADAGIKAGLIRLFFHDCFVRGCDASVLLDQTDPNTPTEKNGIPNLSLRGYEVIDAAKARLEKECPGVVSCADVVAFAGRDATYFLSNNRVYFDMPSGRYDGRVSLAGETLPNLPPPFAGAQRLKDMFAAKGLDADEMVTLSGAHTVGRSHCSSFSDRIPANKSDIDPALVDSLVRQCNGSGDPTVAQDVNTPDKLDNMYYKNVISHDVLFASDAALLAAPDTSALVRDNARSQKLWEDKFKAAMVKMGAVEVKTAADGEIRKQCRFVN >Et_6A_047223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2741498:2744084:-1 gene:Et_6A_047223 transcript:Et_6A_047223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTCWSEDLPPEIYTLIWHRLPLHHGSKASIDNDGEVPHRRFALPDKHQRGLTKLCGCYDEWLLHSHHDWFAAAGPSLTCSLYNPLSGAIVAGMPLYSDDTRGLMSFPMPKAIVCSPDLVAALMCRGAVAFCRPGAPAWSVSPSVEPGGGGGARERQIIDIALYRGKVYAVDRDDDIFVHEIDGDGGDNAGASEPSHRAATHVVMAQHPAMTNDSNNKAPRFMCYLVVCRGNLLIVKWSVPSGEGSSSPSVAEDAAEGVELRVFEADLEMGRWMEVEDLGDHALFVGRGCSKAVRFTGDDQRFQGNRVYFLGYTLRAACSLPTCRGSPSYGFYDLRSRKISQIFLTGHSNVWPQQKMECTSRSLFGTSKAEPKPCHHLSHVGERSDSGGGRLTRAVMSHTPIFLATIEAK >Et_2A_017394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33929165:33930295:-1 gene:Et_2A_017394 transcript:Et_2A_017394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLSLSTNVPVDAVVAADILKDCSRALAKIIGKPESYVMVSINGSVPMSFAASEEPTAYGEVVSIGGIGPGVNGKLSAALAEILETKLSVTRSRFYIKFDDVKVYTIMCLSSALTVFVHSRGHNFGFNGSTF >Et_10A_001205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22132313:22134485:1 gene:Et_10A_001205 transcript:Et_10A_001205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIIDDRIESISNNYGRAVPDDYCMQDIIGHIHALMPMRDAARAACISHGFLRSWRCYPRLDFDMDTLGFLKLEDPFFDDDDSTRFEEVLPIIEDQIRRGVITTVEEYFKMNNPLIREFAARVDHIMQHHSGTGLKTFRVRPPHGFYIRPATIDRWVRAAITLGTITAFELLLDMGVDIDEDGKIVGRYYCFPCSLLSSKTITSFSLSGCILNSVHTAGCLPSLTRLRLQNVRVTSEELGRFLSSTCPALEQLEISVWDDMVCLKIPRLLSRLKLLRVRNNINNSCLQMVECDAPKLKSFGYVGTPTIHVSLGPSVREMQMSCGMGDGPAGGMLFYATTKLPSIAPNLLSLYLASCFEVADTPMPLDKFRRLKCLEIHLDRPTRCPDYDFYSLVSFLDASPALDTFILCLEMPDASMPESIPYGDSTTHKRQCHCKLKKVVISGFYLAKGMVELTSHILENAASLKHLILDTTYGCDRKHNCKTRGCLPFTVAGLLEARKAVDVIKRYIKGKVPSSVKFDVIEPCTKCHTDAGCRPNYSLEPIYGQ >Et_3B_030115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30666972:30671010:1 gene:Et_3B_030115 transcript:Et_3B_030115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAGLAPWPTPSTTPLARPTPTRPPPRVRVRPPQPTVPPLPPYTRVRLPPPAPTPPPKPRAEPAAPKPSPTATSIAPPPDTISASSSSSTCLDCVHFGKCSGCTHETDLDKPPVLQEVSNFFKGHGIGDFTFSRGRLSEWRCRAKLAVRGTPENPSIGLYQEGTHIVTDIPECRAHHPSINAAIKLLRQGISELNVQPFDEDAGTGELRYVQMAVTTYNTSIPVAKRYEQGKVQVTLVWNSRDERSKNAEKLSLLIEFLWKNGGPKSNVHLIHSIWANFQTSTSNIIFGHKWRHLKGERDLWERYGGVDISLDPCSFGQANTLSFNALLHKLHKYVPRGSTVVDLYSGAGVIGLSIAASRNCRSVKCVEINKQSKMSFEISASRLPTNLGCTITWHNTDASVEPVHWLEGSSVVIVDPPRKGLHPTVISALQKIALSERKAFKAKSSLTKVKDEKRPWILRAREAAVHVDNTTIEESSETWPETLIYISCGWESFKKDCKSLISSKAWQLENAHAFNFFPGTDSIEILAIFKRESEAGKKKKKSKKKKAK >Et_8B_059268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1595041:1599285:-1 gene:Et_8B_059268 transcript:Et_8B_059268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSRVSFVRLVVMGLPQVSSVKDDAATASSPSASRPHFGGVDACDLDGLPAGSSSSRVLSYPLIGDFNRKTALDARTESDGHSRDKHVLDEPLSLRGLNIDSRDTNSRLHPKLVRAVPARRVVGFESDCIGFQTSDRIEEDIVHSFSAISNSQWDVSRRNSDLFTDGPLLGSKESLSYYDHVVAGSKLAHSPLSLSPLSPKYMNKIKITGSQRNVMRDLEHDFLDLKETGRPDETRLQDVSEETNLLHDELDVMTPKWNSLRRYRNWGPDSAPTSPRVGYVRSSSLLVRRSLVGSFEESLLSGRYCCGKDSQTIDGFLAVLNVTGGSFFPATQKLPFSVTSIDEDSSLLYYSSIDLAGRLSANNSKSPKLQRSLSNNDSRSTKSRLRIPVKGRIQLVISNPEKTPLHTFFCNYDLSDMPSGTKTFMRQKVTLSPSVCPSNPVKEGSRACDVNVGPKSVSCGSCGHGQNCNSNDESENCPSESDSSKGSNKYSSPGNKKDNTDSDGCCCQMDKSRLDGKKSCCSSSKINDCSGGRVLRYALHLRFLCPSSKKSSKSMLRCKSDPSSVPYSSNPVPEEDRKFFLYNDLRVVFPQRHSDADEGELRVEHDFPADPKYFDISN >Et_3B_029711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27374185:27377064:1 gene:Et_3B_029711 transcript:Et_3B_029711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGRSGGGRDAEGEWEVRPGGMLVQRRDGGDAGPAIRVRVSHGAALRDVAVPAQATFGELKRIIAQATGVEPERQRLFFRGREKSDSEFLHAAGVKDGAKLLLLEKPAPASVEQKAEPVFMDESMMKACEAVGRVRAEVDKLSAKVCDLEKNVLAGRKLEDKEFVVLTELLMMQLLKLDGIEAEGEARAQRKAEVRRIQSLVETLDKLKARNANPFSDHNKAVSVTTQWETFENGMGSLSAPPPRVSSTQVDTDWEQFD >Et_1B_011988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27877459:27880421:-1 gene:Et_1B_011988 transcript:Et_1B_011988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKHAGGGWFAAVRKVFRPSSSSSVASSKDKDAAQHGRKDGAGEDEEAAAGAAQEPEVLLLEHFPASETSAEASNEGGSVAVREDDDDEDDEAAVADDMERARALAAAAEAAVAAAEAAARVVRMAAARRASREERAAVRIQAYYRGYLARRALRALRGLVRLQALVRGHQVRRQVHLTMRCMQALVRAQARVRARRVTHFPLLLLPPPPPQQQQPTSPATTSRSTTASPLPGAAAGRLLRHPSLELALRRDRDVGDDDDEATLLLRQQRSRSRGRLFNRAEDNGGRSPASGGWDGSSRTLEDARAEGARRHDAAARRERALAYAFAYQQRKEEEKDGGGLGFQWLERWMAAQAAAPPDHPSKPYHHHHHHGATRTSTAAAAALAGVVAPEKTVEVVDASFRSPVNPANAHGGRPPAIPGYMAATRSARAKARAASPVSATPTHGRSRSGGGVAGGDASSASQNGGATLAGYSPDSSSTGDWTPPRFGTSTRPARVAYT >Et_1B_010677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12957202:12958063:-1 gene:Et_1B_010677 transcript:Et_1B_010677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITMDDRGDGREVAAAAPTLRAAMASMLNRRTGHARFRRGPVVAQQQHPSESAAAVAPDAARTCDEGFSASASASSSLPTTTLTNVTAGDGSVSNGSRARHVHFPPASGHSAGEPRCFDRRALSANDAGDGKPQGGRCHCSNKKRKARVKTAIRVPAISSRNADIPPDDYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERDPREPAMLIVTYEGDHRHDVRPDQQGTAAAAAIAPA >Et_8A_056961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19492279:19494503:-1 gene:Et_8A_056961 transcript:Et_8A_056961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKVFPRPTAGPLRPVVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGISVDHRRKNKSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTPEELATATQVQGDYMPIARGEKRSIEVVKVTDEMKSFKAYGKLRLERTNKKHLGARQKRAVEAEKEEKKLQVLFSLSYRNKVAFCLSSFATYDIYLPNSP >Et_3A_023018.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:17910881:17914129:1 gene:Et_3A_023018 transcript:Et_3A_023018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSISGSREPKEFPDLVLLVPIGRTHCYDDTDAAASAVKNDPTAVSVDTSNNRSGHLSFTLSPLPSISYLDLHWPYGMPKLPSRVPALPQVVSVDENLLLIRIDQLPCGTDWFVYSACASSSSSLRRLPECTMQIQDLAGRSRFLQLDTDIGILRRSGDENDYVVADLAVSWKARGGPGSLAGYGYDCNDPPPMKAMLCAFSSKTGEWTAKEMVAPQPGNQEQFPVLWCCDTVVPFAGHYLCWVDYYSGLLIGDFSDEGSPRLSYVPFPTSCKYSDEVRVERNFPESFRRVAVSNGNMRFIHIGDDNWQDAGYSWHTSLPVIKVWNLKMRDNGIRWDLDRVIDVRNLWAHPTYQSHSLPNRPPEFPLVIKNDPDVLCCVPREGEYYGKAWIIMLDIKQLQFPALMSCIPYTIEAIEGGDTLRQYDIVSMHVGPPLIPSDFSKYLNNPSGN >Et_3B_029303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23840336:23844277:-1 gene:Et_3B_029303 transcript:Et_3B_029303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELAKLFVRRGLAVTIAVPTTPGPPRTSVLAGAPTVASLAAANTSISFHLLPPPHYPDPDPVNFLRMLDMLRLCAHSLRELLRSLPSVSALVVDVFCAHAIDVAAELGVPAYIYCTSSASAFASFLHLPYYFSKTVKSLGDMGKDELLHFPGLPPIPASDMPSTLRNREDRDYKVRAELYARVADASGVLLNTYEWLEARAVKALREGACAPDRRTPPVHCLGPMIAADASVEADRSERHACLAWLDAQPDRSLVFLCFGSLGAVSAPQLKEIARGLESSGHRFLWVVRSSPDTSKFFEPRSVPDLVELFPDGFLERTRGRGLAVPSWAPQVEVLRHKATGAFVTHCGWNSTLEGVAAGVPLLCWPQFAEQSLNKVFIVEEMKVGVVVQGYDEELVCAAEVEAKVRLVMESEEGERLRERLTLAKEKAAEALMDAPAFPVMTAPDGCHDEQPKKSVVIFAPPGLIGHLVSAVELGKLVAAHGLKVIVVLGGQADDHNKAGAGGGGAADTFLQGVTAAHPELSVHRLPKVAPPRHVPSHDHVARIFELARASISDLRDFLRATSPAALLLDFFCGSAVDVGAAELGIPTYFFFTSCVAGLALLLHHRVVHGRTTLSIRDLGGGLLHVPGLPPIPADHLAAALLDRDSLANRHFLALSEQLCDSQGVIINSFRSLEPRAAAAIAAGLCTLPGRPAPPLHCIGPLIKSEEEAGANRHECLAWLDGQPKASVVFLCFGSLGRFSAEQTRQVARGLEMSGQRFLWVVRRPAGKDDEKQLDPDLDALLPGGFLVRTMGRGLVVKSWAPQREVLAHGAVGGFVTHCGWNSVLEAVVGGVPMLAWPMYAEQRMNKVFLVEEMKLAVAVEGYDKEMVRDEEVAAKVRWLMESDGGAELRRRTRDAMRQAKETLSDGGESKVALLELVRKWKNALRESVNGRKEELYQY >Et_2A_015170.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34083768:34084148:1 gene:Et_2A_015170 transcript:Et_2A_015170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHQGGRASNKIRDIVRLQQVLKKWKKLATVAPSSSGKSSVPRGCFAVYVGDEMRRFVIPTEYLGHWAFAELLREAEEEFGFRHEGALRIPCDVEIFESILRVVQGRKNDMCRQSCSSETEILCR >Et_6B_050179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9060908:9063364:1 gene:Et_6B_050179 transcript:Et_6B_050179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDARLAPPMRRQCRLLLSAFILGVGRGSPAAGRRLLRLLGGLLLQRLLVSAPPRNNQIGTASVPADKDSAVSETDRIREETAAKSIKEHAPLAALLRGQAVLGPLSVGLPRRRALAVTLPLVAACGPRVKDGTEQERRNRFAGTIADRTSKDKKRIMQI >Et_3B_031668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7321790:7327376:1 gene:Et_3B_031668 transcript:Et_3B_031668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTATPPYSAAAGEEGPLAFLLRPLFLHAVDGAAHLLVALAVAGHLVSRRCGGLSGKDGEARRRRRHAYPGGGGFRCYGVAACATWALAAAAVLFAAYACYLGLGGGGGAGWSRDDVAGLADAAARAAAWLLLAAYLQFEFGRRREERFPAPLRLWWALFLLLSVLAVAAHVATTLAGLPPVPAPSWALDAVSVVGAVALLSAGFLGRREGGGPASEEPLLNGGAHEAANDSGSSSAAAGASLFTGAGFLSVLSFSWMGPLLAVGHKKTLGLDDVPGLDPGDSVASLFPTFKANLDSLTTGDASGSGRKVVTAFTLTKALVRTVWWHVTVTALYALVYNVATYVGPYLIDSLVQYLNGDKRYATRGQLLVLTFIVAKAFECVSQRHWYFRLQQAGIRARSALVAVVYQKGLALSSRSRQSRTSGEMINIISVDADRIGYFSWYMHDLWLVPLQVGMALFILYSTLGLASLAALGATVLVMLANVPPGRMQEKFQQKLMDCKDVRMKATSEILRNMRILKLQAWEMKFLSKIIELRETESNWLKKYLYTSSILTFVFWGAPTFVAVVTFGACMLMGIPLETGKVLSALATFRVMQEPIYNLPDTISMVIQTKVSLDRIASFLCMEELPTDAVQRLPGGSSDVAIEVSHGCFSWDASPEVLTLKDLNFQARKGMRIAVCGTVGSGKSSLLSCILGEIPKISGEVKTCGTTAYVSQSAWIQSGKIQDNILFGKEMDNEKYERVLQSCSLKKDLEILPFGDQTECLLGALSSKTVVYVTHQIEFLPAADLILVMKEGRLAQAGKYNEILGSGEEFMELVGAHKDALTALDAIDAANKGDVASSSNGTAKMSRSLSSAEKKDKQDERNAESGQLVQEEEREKGRVGFWVYWKYLTLAYKGALVPFVLLAQILFQILQIASNYWMAWAAPVSKDVEPPVSMSTMIYVFVALSVGSSLCVLLRALLLVTAAYKTATLLFNKMHMSIFRAPMSFFDSTPSGRILNRASTDQSEVDTSIANQMGFVAFALIQLVGIIAVMSQVAWQSYYIDTARELQRLVGVCKAPIIQHFAESITGSTTIRSFGKENQFVSSNSHLLDAYSRPKFYSAGAMEWLCFRLVVLSSLTFAFSLIFLINLPPGLIDPAIAGLAVTYGLNLNILLEWVVWSKCILDNKIISVERILQYLSIPEEPPLSMSEDKLAHNWPSKGEIQLHNLHLKYAPQLPFVLKGLTVTFPGGLKTGIVGRTGSGKSTLIQALFRIVDPTIGQILIDGIDISTIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLGEYPDNQIWEALDCCQLGDEVRKKELKLDSPVIENGDNWSVGQRQLVCLGRVILKRSKILVLDEATASVDTATDSLIQKTLRQQFSEATVITIAHRITSVLDSDMVLLLDNGVAVERDTPAKLLEDKSSLFSKLVAEYTMRSTHT >Et_6B_048993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16500432:16502567:1 gene:Et_6B_048993 transcript:Et_6B_048993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASGIAALDVKKLKDAGLHTVESVAYTPRKDLLQIKGISEAKVDKIIEAASKIVPLGFTSASQLHAQRLEIIQVTTGSRELDKILDGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMIETRFALMVVDSATALYRTDFSGRGELSARQMHMAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAMFAGPQIKPIGGNIMAHASTTRLALRKGRGEERICKVISSPCLAEAEARFQIASEGVADVKD >Et_9B_064206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12643338:12644931:1 gene:Et_9B_064206 transcript:Et_9B_064206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASVGDVYGSVDNRVTAEHVLLTNKLMDIVAYDGVLRPERHEKFGSWEIRMVVAGFEPAQVSVNTFKKTKVMAHQLSGDGSVRRYRARKIDKGCLFLYCHIIPLFSVSAWRPVQRTRKMKVCPLMRLAATVANLAIKEAMEAECLIHVINFGGTNPYQWLELFHLFATRSGGPLSMRLYGVNEEDNLLSLAAGFLTHEAMCLHIFFALNPVPSHLGVRLDRLSIEHRVHVVDLGGSNLSQWLELLSLFTARSIPADLHRR >Et_2A_015075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28742981:28744048:-1 gene:Et_2A_015075 transcript:Et_2A_015075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFLPNTAHFVEVDALLSPWFPSIAHYEEVCELGTGDHGVVVKARNSVTGPLYYDEDEEEEADSQVARRVLREACFMAACRGHPSIVSLSAIGRLPDTAQYCLVMEHVDGPGLGHVLWHDRGGKPFPESDVLRVMRQVMSGAKAMHDRGVVHRAINPHNIFVADGGAVVKIGDFGEATSMSETDVPYEARLSYVAPECLLGATGCCNNELADSWSMGCLMLVLLMGEDHFAVAETNDYEGVLYKVFDVLGVPGRRTMQTIKPQDDDLARKVQKWRARQRRVGKQQRSRLDDLVPCDALSEPGFKVLEGLLMINPKKRLTAAAALQLPWFTENTDDYSPAPQGD >Et_3B_027810.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20304190:20305413:-1 gene:Et_3B_027810 transcript:Et_3B_027810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREEEEAAGIRLVHLLMSCAGAVQAGDHALASAHLADAHAALAAVSSASGIGRVAVHFTAALSRRLFPPPASPPPPAESADHAFLYHHFYEACPYLKFAHFTANQAILEAVQGCAAVHVVDFSLMQGLQWPALIQALALRPGGPPFLRVTGIGPPSPPGRDDLRDVGLRLADLARSVRVRFSFRGVAANRLDEVRPWMLQVAPGEAVAVNSVLQLHRLLGDPSADRGAAAPIDAVLDCVASVRPRVFTVVEQEADHNKPGFLDRFTEALFYYSAVFDSLDAASAGDAVAEAYLEREICDIVCAEGAERRERHEPLRRWRDRLGRAGLGPVPLGANALRQARMLVGLFSGEGHGVEEAEGCLTLGWHGRPLFSASAWRAAENNQTDSCNVDGSSGSASDESNISCSS >Et_4B_039823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3445737:3446628:-1 gene:Et_4B_039823 transcript:Et_4B_039823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASTTKSLELIVLALQLTAVHSDVSNAGMPLWVERHERRLLLTESPRVDAVVAQDGSIGAALKEAPPGDARFTYGVYAEVVEVHRSNVMLIGDGAGRTVITGNRSNVTKHGMPCTATVCAQGAGTRRAGPDAKQAVAFLSNSNRSVVFRCEIKGYQDTLLAENHLQFYRDCEISGTIDIVFQNCVILARRLRGTKHNGADSPKEKDVNFDDPSSFRD >Et_2A_017828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6833871:6854984:-1 gene:Et_2A_017828 transcript:Et_2A_017828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPSDTGINNDKGKEAASMADVYRGELTPLQRHVAFFDRNKDGIIYPSETYQGFRAIGAGIPLSAAGAAFINGLLGPKTIPENEKVPAFKFPIYVKNIHKGKHGSDSGVYDSHGRFVPEKFEEIFKKHAKTRPDALTANELQELLQANREPKDFKGWLGGFTEWKVLYALCKDKDGFLHKETVRAVYDGSLFDRMEQERKAKKEIFCGDVGSAATAGCSFFAAAAGDGSSVSVGGHAEAVVSNMTELQKHVAFFDRNNDGIITTSEMFEGYVAIGCDAAFSRATASSISAGVGPKTSPPDAPSPHSSVYVEYIHRAMHGSDTGAYDAKGRFVPEKFEEIFSKHAKIRPDALTSTEIKEMILANRDPLDPQSWSAPEREWGLIYKLASDKEGFLHRDSVRGIYDGSVFFKLEKQRTSLRRVQLTLAVAPYGKNMTDLQHHVEFFDRNKDGIITLTESIDAFIAIGCDPVFATTAATATHTAFGPLTTPPGEVWSTNIHVSHIHGAIHPSDTGAYDKKGNFVPKKFEEIFKFSLLKKNALTWWRRCSSRTGTLSDPYHGFIAIGFEPTFATASATATHAAFGPLTTPPGKLPSTNIHISHIHRAIHGSDSGAYDKKGTFVPENFETIFKKHAHIKPDCLTWLEIRELLIANRDLLNPISWAPAEVEWELIYQLGKDKRGYLHKETLRGVYDGTTFYEFANRTDITLRSDM >Et_1B_009820.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:29624999:29625772:1 gene:Et_1B_009820 transcript:Et_1B_009820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDHPISKKSRLVNSMDCEMEEAPSNTTSLKQHLHWTQWQMLDSILPTGGFAHSYGLEAAMQSRMVNNQEDLRSFVIQIMENTGSLLLPFVYCACKSPDSETWVKLDQLLEATLTNEVGRKASVSQGSALLRVAASVFTEIQALQDLRQAFLGSKIVSFHHAAIFGLICGLIGFDSEMAQRSYMFVTMRDVISAATRLNLIGPLAASVLQHQVALDAETMVQKWRDRDVADASQTAPLLDALQGCHAYMFTRLFCS >Et_8B_060843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:967805:969399:-1 gene:Et_8B_060843 transcript:Et_8B_060843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTVSSAAAAASPSTSLSSVNRRRSSPPSMVPAPLRRSLPCNISSSSPSRSPLVPVSARASPTAAGETASRKKLHIFDAEEDLVASLADYVAELSAKFTAERGAFTVVLSGGSLVKALRKLAEPPYLEAVDWSKWHVFWVDERVVPKDHVDSNYKLAFDGFLSKVPIPAGQVYAIDDALSAEGASEDYETRLKQLVNNGVIEMSPVTGFPKFDLMLMGMGPDGHVASLFPGHPVVNENKKWVTFVKDSPKPPPERITFTFPVINSSAYVALVVTGAGKAGAVHKALSEQHNTSDLLPVEMVSLQDGELTWFTDKPAVSMLSSI >Et_9A_061268.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:3665474:3665602:1 gene:Et_9A_061268 transcript:Et_9A_061268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLSVTISSGHQYLVEAFSKAVACRRILQRHNQQRRSRESH >Et_3B_031116.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16538582:16539034:1 gene:Et_3B_031116 transcript:Et_3B_031116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMLAALEGAFAELSGAKDSSPGMRLDMWTSAASGAGCGRRNSRSGLMPPLAVGGLPQATPLAAPGDDANHPARERCFTPSRPSRERTPPPQRRRLPVHPHVRPLPPAAARRFGGPVRPGDPWAPWVLLMEQVRRSKGFREPRRVVPLR >Et_3B_030825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7769581:7772123:-1 gene:Et_3B_030825 transcript:Et_3B_030825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSAGDVEAGLKVVAPPMERDGGDPGGEGCGGRFVEDWCCSCARLLMGPNPMLARYLYALVFLVANLLAWTLRDYGHSALSELQRLKVCQGSRACFGAEGVLRISLGCFLFFVVMFLSTVNARKVHDWRNSWHSEWWPAKLVLWLGFTAVAFFAPSPLVQLYWKVAHFGAGAFLVIQLVSVTRFIMWLNDCCRSEINQKKCHMQITVVSAGLYVGSILGIILMYVWYAPSLMTCKLNIIFITITLILVMLMTLVSMSPKVKAGYLASGLMGVYVVFMCWSAIRSEPHTEICNRKAAVATSADWVNIASFVIAVIVIVAATFSTGIDSKCIQFKKAQSEEEDDDIPYGFGFFHFVFAMGAMYFAMIFVGWNAHQTMEKWTIDVGWASTWVRVANEWLAAIVYVWMMVAPVIWKSRQVVSST >Et_8A_056892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18653503:18655865:-1 gene:Et_8A_056892 transcript:Et_8A_056892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKKKKRVAIVGAGPSGLATCKHLLARGFLPVVFDAGAAVGGVWTRTLASTRLQTPAAPYRFSDFPWPPDEDVAAAFPRHDQVAAYLAAYARRFGVTERVRLGCTVVGASYVGAAAAEREVAAWERWSGNGEAFGDGTGEWHLTVRHDHGNGEPPSIQIHKFDFLILCVGMYGIPKLPTFPDGRGPEVFHGRVLHSMEHSSMAHGDAAELVRGKRVVVVGAGKSALDTAALCAEINGCRYPCTLVYRRAHEWMMDPKVAERMTFSPLVSTRLAELMVHKPGEGFALSLLATILTPLRWLLMKLTEVYFKAHIPMQKHGMVPAHSFARSSLGWRIGILPEGFYDKVDQGVIALRKCSSFSFCADGLVLDHGDAGAGELVGADVVVLATGYDTDAPLRRVFAAPSPFRDAVVVDDADALPLYRQCVHPRIPQMAVVGYAESEASVFPYEMMAKWVAHLLDGAVRLPGSGSVAEMERDVAEWARWRAWARRSSGGRFFRPCIHAVTIWYHDQLCRDMGRRARRKKGILAEWLQPYGPADYADIQ >Et_2B_022914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7933996:7937700:-1 gene:Et_2B_022914 transcript:Et_2B_022914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFATATNADIVGSFHLVLMTAKATENCPIQEVKVEGREKNQFIQIGAANFRKHKDGRADIDMFNLQQKDSIEAVAGGSRGIRRAEGTSFPVAFLLAGHQVSVANALPGGWEDHEQHAGQETQSYRQVCQHLPNVRPNARKQAALNAGVAKRDDDKQKRRDERVNEVQRPDLHPGHVPEPGPPLVVRAVEVEWVEASRRCHAVVEPAAVANQAVGEGKEDAGGGADADEREGQVPARRAARAVVAERRRQGVERQHRAGWKELDDVAGA >Et_7B_055199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8216929:8226473:1 gene:Et_7B_055199 transcript:Et_7B_055199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMRRFLNPLVLNLQKMELELTCPVCLKLLSAPTMLPCYHTSCSMCATTRTTNGYSCAICKAAYRPQDLRTASHLEAIVSIHRNLSSTVNTMLTQQETHVDIPVAKTTPQGTPESGNRSGVDKPDQMKSYNHIASKLVYNQSTGLAFGNMDCVQARDSAFANKTADAAVAPSILVQKGHSGSQSSDGPGDLDCDSNDLQGELITRRSAPQSAQKREPNAMDDHARELKRQKSIDQGEIYWPFPLEDDQAWKSNVLHVHEKCIEWAPQAFFTGDIANNLEPELARASKIKCSVCGLKGAALGCLVKSCRKSYHVPCAHQISGCRWDEENFVMLCPSHSSKKFPCERSKLKKKTKLQQRSFDMVPDDLSSPSPMQRDDLWTASPFLTSEWLLCGSALNGQDKEIVDQFEQHTGMTVINNWRSNVTHVIANTDERGACTRTIKVLMAILAGRWVLNVNWLKACLEAGHPVPEEPYEIHCDVHGSFDGPRTGRLRAMQQAPSLFAGLTFYFSGSFMPGYKVHLEDLIAAAGGSIVEKTELSSTSLILYSMEPPQGSDPNTLNEVINKRMAEAEEQAAAIGCKALPHTWILDSIASCTVELTMLIRSHERMLRSEKCCQSQRHGVLQQR >Et_6B_049306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:325201:328745:-1 gene:Et_6B_049306 transcript:Et_6B_049306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPTHDYPTIEPTSFDVVICGTGLPESVLAAACAAAGKTVLHVDPNPFYGSVYSSVPLPSLPSFLSPDPSDSVPLPLSGDDLHTVVDLHRRNIYSEVEISGAAPEPSGRFTVDLVGPRVLYCADEAVDLLLRSGGSHHVEFKSVEGGSSLFYWEGQLYPAPGSRQDVFNDTATFKRTEKTVLFNFLKLVQAHIAAAAHEDGEGEEASDKISEEDLDLPFVEYLKKQRLPPKMRAAMLYAIAMADYDQDTDCCEKLLTAREGIQTLALYSSSIGRFANAQGAFIYPMYGHGELAQAFCRCAAVKGALYVLRMPVSALLMDEERKNCLGARLTSGQDILCQQLILDPSYKVPILDAPSNGSDSNLLRKVARGICIISKSVKQDSSNLLIVFPPKSLEEQQIAAIRVLQLSSNLAVCPPGMFMVYLSTPCADTSNGKQCIKKAIDALFAPQASDSLEGRLEETSENNEDLKPTVIWSCVYVQEITEGASSSLLSCPTPDERLDYRSILDSSKKFLDNKSITSTK >Et_5B_043767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15710442:15711708:-1 gene:Et_5B_043767 transcript:Et_5B_043767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLARHVYLQHTSDIRCYWQDSKKGPSSKARVSAAYLISDVTGRIAKQVSPGCILLQKYNLTKTMSNPWWIQPLISTEFFVPCEWHPEYPCSIFCIDCSGEKKRSCCCICYEIHHHNHHTLLIRRCTYHNVVRVSDVKHLVDIQGVQVYRNNNTPVLYIRTRLQLRRVHHHGHVRYAKLDTQYHRCANCARRLLDPVSWCSIQCK >Et_6A_046052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20934914:20937144:-1 gene:Et_6A_046052 transcript:Et_6A_046052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDRPAPSSSPDMTPPAAPRPSLQDLKDVGGGASSGAAPSWRLPRLSLDSRAVVDGRGKYRPREIRAPSPAAAPPSPSLNGDERRSPSVVARLMGLDALPHGHGADEDGGGQREQQYQYAAALRRSASERVPRDLPSSLHFRFVDPAFFERPSSPLPQQQQYQRPAPAPVAAEGGIQRRSRFDAREVFPDPARRAASAGADSAAVAQHGGEIALYGEIERRLRKRGIAEPARDLETLKQILEALQLKGLLHHHAPPPPAPHPAARMASPPPIVVMRPSHRAHPHPQTQQLVTPARRLRVDVDRARRPRSPDPSASPARSPASPARRGGPPSPQRRVSPAQSPKQQPPFRGPKTAEASGARSRIARRAAENAATLFPDEEASTTFSDGGSSSSLSASSRWDFEPPLCSWKRVDLVTMSSNFSLDFAMQQPAAARGDEPSRAVLERCGKLLSSIQAFTGGDAGAAAADQLLPSPVSVLDAAAFLADEDSPSSSSGSNPTKTTKPVLTVSDPEDDELALGPWTMAGPNAGDPDYAYVADLVWLFHRKRDPADVYKLAEQRRLGGGGGDDTWRHHRRLLCGAVAEALERQRCACPWDPAACLRGADLVDHVWAEVRRSREPVTAPAAAAAASFELDLNDVTCDAIRRDLAAADGAWASTLQQQRPGAEVAEAVLQIERLVFKDLVADTIRELADADRLMPPRRKLVF >Et_8B_058688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16274237:16274608:-1 gene:Et_8B_058688 transcript:Et_8B_058688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEANAKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_1A_005406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10063342:10065841:-1 gene:Et_1A_005406 transcript:Et_1A_005406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSPFFSTARAHAGAGGRAAAAALLLRHPAARLPPSTQGLRYSLSEAPPAKTLRSHSSLPRATLSSFSDADDGSSAKADAEEAEQQNGESKMSEMAKAFNISPRMAMSISVMIAFAALTVPLAMRTLVFHGTFKMSVLAYLTLLSGFYMAWNIGANDVANAMGTSVGSGALTLRQAVLTAAVLEFSGAFLMGSHVTSTMQKGILVASVFQGKDSLLFAGLLSSLAAAGTWLQVASSYGWPVSTTHCIVGAMVGFGLVFGGVNAVFWGSLARVSSSWVISPLMGAAVSFIVYKCIRRFVYSAPNPGQAAAAAAPIAVLTGVSAISFAAFPLSKTFSIALLQALSCGAIGAIIVRRVIQKQLGELLSSEAEKIASAEKPNVQHAGLLSEIHGPTGAQLQIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALSILQGVASSAEIVIPTEVLLWGGFGIVAGLTMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGLARGLNRVRAETVREIVASWFVTIPVGAVLSIFYTLIFTKILAYFM >Et_3A_027027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3026904:3037840:-1 gene:Et_3A_027027 transcript:Et_3A_027027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDASAAYLMMNGCAEQRYHCLVPSQPNHSHTEQIHVSLYLSAISSLASLVGKPTCKVNPVTGLGVTLTGSAVNGAVPTTLSPTVLNVDWRCEIAQSSPYEVNILIPVEGYDPIEFTLSKECGYKQEKQSDPMKGWATFGIFCCMLVDQEATYELIILVKVMQTKRLGNALLIPHKQHRGQMIGHMGQYEVKRMQFCDLFLADT >Et_7B_055989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8941669:8944732:1 gene:Et_7B_055989 transcript:Et_7B_055989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTATSSVAARTSSCPRLPSAPLRATRAAVSFPSRRTRPSSPQAASTSRPAALTASAGPSRRPDVVAAAGHQKLMGSLTNNEGLRFGVVVARFNEVVTNLLLQGALETFERYSVKPENITVVSVPGSFEVPVTAQKLGQSGKFDAILCIGAVIRGDTTHYDAVANSAASGVLSAGMSAGIPCVFGVLTCEDMDQALNRAGGKAGNKGAEAAITALN >Et_3B_027472.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20549586:20550154:-1 gene:Et_3B_027472 transcript:Et_3B_027472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGAHPVGQTTPTTYESVGGGENRTRTDLRSREDQGNIQIEKVQDKVDDAAGRGVDTRAFGAKKDPSDGRDAGATGTGS >Et_2A_017913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7954972:7957511:1 gene:Et_2A_017913 transcript:Et_2A_017913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLAGDGGGASTPPAPSESVSKGKGKMDAEAAEVWTCGICLAKSREAIRGELDCCAHHFCFVCIMAWARVESRCPFCKARFRSIRRPPVPDRFPSERIVAVPERNQVRPQNPMPQILGPPYLYERIPLARDPVYRPQGNATSTVGGDPYANTICTVCNCTRDDELLMLCDLCDSAAHTYCAGLGTVVPEGDWFCEDCAASKEEHLRCQIDNEGFSGQAEFEISVDVPTAEAVEPPSAFGMVDEDNETSLGSGTRVQSSGLSTDDPAPSIYDIVGDDYTTSAGSIRPRINLKNFASQGTSSAGSQCPKSARGRDNGLASYHALIRMEIERTRALRDARNRDKRIRALREHWASLRDGSVGFGTHVPGRRTPGGIGAISVDTKHQHYAVPRVICSKKDASATSDQLPSEETSTSLGHGIKTSQKDHREVRKAWKMLEMAKSSGGRKISNKPSSLTCNPPLSMGNRSTSYSPIDTILGLKNQNASQKNSASCGHGTRLESTPTTKNSGQYHNLPESSHSSVAKRKISFKDRINEESLNGEVAASNNGQHVGQTLEPLSGTYRSEQVISDMLHPVKCTLSSGQSTVTSSLQFGPSVGSQSNVMVNPEDSSVVCVGTTGEIRSSSIEVRKSSGPDRHERKRKLSSEKCHDRTSKRSRSSCKIAKTEISSLVIRELKLLKIDKTYGPDRFKEVARTATHTVLAACGFEHSPSRSLALSRPVCEHSSEVKQLKSSAIAHTCAECLRGFVKEAINLVLSG >Et_4A_035929.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8720840:8721466:1 gene:Et_4A_035929 transcript:Et_4A_035929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCWCVHAPRAGGAMATPLSSRFAPATTTSRTTTLRHQGHRALKFLSPPRAASSSGDDASAEQEPAAAAATKTATAADDGFEERILQIKSRVGPKKRGARKKKAAGAAASSASAVTLPPVPLREARSRLGAPVELGFTAYSERLNGALAGLGLAALLLVELGSGQALVKYHQPATLFLQVYTVAAAAALFVKYEKEGISKWPGPPASG >Et_9A_062660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2910202:2914864:-1 gene:Et_9A_062660 transcript:Et_9A_062660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDTSGDGTTSTVLFIGELMKQSERCIDEGTHPRFLVDGFDVAKRATLEFLDTFKTPVIMGDEPDRDILKMIARTTLRTKLYEGLADQLTDIVVNAVLCLRKPDEPIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSEINAGFFYSNAEQREKMVAAERRQVDERVMKIIELKNKVCSGSDKNFVVINQKGIDPPSLDLLAKAGIIALRRAKRRNMERLVLACGGEAVNSVEDLNEDVLGWAGLVYEHTLGEEKYTFVENVKNPRSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTVEDEAVVLGAGAFEVAAKKHLIDNVKKTLKGRAQLGVEAFAEALLVIPKTLAENSGLDTQDVIVSLQNEHDRGLVVGLNHHSGEPIDPQMEGIFDNYSVKRQIINSGPIIASQLLLVDEVIRAGRNMRKPT >Et_1B_011137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1779943:1781896:-1 gene:Et_1B_011137 transcript:Et_1B_011137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNCRRQGMKLRGLLCHNVIAYIIGLLARSKPPTHAQLGLIGLLLSPQRSAVVAPPLRHSFPEGQFALGDAVKDWAAEGVDYWYATKACAPGRECGHYTQIVWRATTSAGCTRVVCDDGGFFMTCNYYLPGNVVGEHSGRAMNKTDEDKHMLVTLMAYHASN >Et_4A_035272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16200875:16205978:-1 gene:Et_4A_035272 transcript:Et_4A_035272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVDVHGCIQLLRSCGAATGRQLHQLLFKSGHVPCSLPPTNSVLLMYSRCSPAHSRDARRLFDEMHTKNCFSYNTLITAVLNSGDHPAALRIFRSMPERNTFSWNTVITGVAAAGHLDTARDLLDEMPVKDVVACNAVLHRYVRCGRVDEAFALVKRIGLHGNSEVTSSWNDAFVLTTVVGACADRMKYEFGRQAHARILVTNSEIDSVLGCALIDMYCKCGDLDSARYVHDGLKRVDDFSLSSLIYGYAACGQWDEALCLFDKVDAGVVLWNSFISGCVSACHGDGAFVLFVRMMRSNVLPDYSTYASILNVCGFLSMLKPGRQVHGCALKSGVVSDLVAASALIDFYSKCSLWEDACRAFSELRFHDTIVLNSMITVYSNCGQIDEARKVFGMITTKSVISWNSMIVGFSQNGHALDAMELFCEMHRLGLQLDKVSVASALSASSSICSISFGEQIFSLATALGLQSDHVVASSLIDLYCKCGNLANGYRIFDGIDKPDEVLWNSMLLGYASNGYGHEALELLKLMQNRGIKPSERTFVAVLSACCHSGLVEEGLRWFHRMQEDFGVSPSAEHYSCVIDLLVRAGRLDEAIDFIENMPFKADTLSWTSVVGGCKAHGNEALLQKVARKAINMELSPHSSLYVQLSSMLAAQGDWVKSAEIREAEERAVWIAGLEALALCYELKLLDVSSSEEADVDSETRETCGSKNQLLLDKYTSRMASPLKNHLGFQKIFRSEEEKGRALRLNKECRLAHVQSLD >Et_4A_031993.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:10971073:10971123:1 gene:Et_4A_031993 transcript:Et_4A_031993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRGEHKANYSFAFY >Et_2A_014583.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:25178326:25178616:-1 gene:Et_2A_014583 transcript:Et_2A_014583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCPPGSPYPMSMSAVEAGMVAPTRSLLSVERAEGEATRWWSVGVGKRRIGSWWSGKGVGRRSLVRRRAASKASEEGSVNRDVRWVIEKRTRRSP >Et_9A_062623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2489840:2496400:1 gene:Et_9A_062623 transcript:Et_9A_062623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLVVKKDDDLDEEEYYSPFLGIEKGAVLQEARCFHDPQLDARRCCQVITKLLYLLNQGDTFTKVEATEVFFATTKLFQSKDAGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDSTLLTQIERYLKQAIVDKNPVVASAALVSGIYLLQTSPEVVKRWSNEVQEAVQSRSALVQFHALALLHQIRQNDRLAVSKLVTSLTRGSVRSPLAQCLLIRYTSQVIRESGVSQGGDRPFFDFLESCLRNKAEMVILEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVASTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQMTNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIIILIRDIPDAKESGLFHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRASAVSTLAKFGALVDSLKPRIFVLLRRCLFDGDDEVRDRATLYLKLLGGEATVGETEKDVNDFLFGALDIPLVNLETSLRNYEPSDAPFDISSVPKETKSQPIAEKKATGKKATGPSPVSGPIPSVDASYEKLLSSIPEFADFGKLFKSSAPVELTEAETEYSVNVVKHIYDGHVVVHVDASEADEFLEVVSKPLRSLPCDSPGQTFVAFEKPEGVPATGKFSNILRFIVKEVDPSTGEAEDDGVDDEYQLEDLEIVSADYMLKVGVSNFRNAWESMDPESERVDEYGLGARESLAEAVSAVISILGMQPCEGTDVVPSNSRSHTCLLSGVFIGNVKVLVRLSFGISAPKDVAMKLAVRSDDAEISDKIHEIVANG >Et_9B_065175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21804704:21805640:-1 gene:Et_9B_065175 transcript:Et_9B_065175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRKEKERIDEIRKLKQRREELQFSLQEAERRMDLARVADLKYGALQEIDVAIARLEGETGENLRLTETVGPEQIAEELHVLKKLYLRAEKSFFSWASRFFRSPSQNELNPVIVFNEPVPLPQNPPSPPPPHSGLQAPAMNYRFQNLRGDAVFAGDSPVLLAASSFTLPFESSSNVTRLATSPSGDFLLAADDKGRALYANLRRRAVLHRVSFKGAPSAIRFSPDG >Et_1A_004580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2074383:2074946:-1 gene:Et_1A_004580 transcript:Et_1A_004580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYVRGTILGYKRSKSNQYENTSLVQIEGVNTKEDVAWYCGKRLAYIYKAKTKSSGTHYRCIWGKVARPHGNSGVVRAKFKSNLPPESMGRKVRVFMYPSSI >Et_2B_019711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12860409:12867191:-1 gene:Et_2B_019711 transcript:Et_2B_019711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLASLPSLLAVLLLCCSPLVIASISEPNLSQAACGGDQIAILDASDGLHNLSVNDVLVQDRVLGCKKLWYYFRSGCLRCDELSETWKGAVKQYCGEGSKSSYATSAQNTPRKLLKPQAVDSDRLDDGPCGSFHENDQDINDSSANGDHILAVPGVILLCCGLMFPCFHAERKEASRHDTATIQRNAVHSFEVSTSSDKIPPTPQRIPPSPSRFAPSPQIARVGSVNLTVQQILRATRNFSSSFKLGEGGFGMVYRAVLPDGQVVAVKRAKKDQFAGPRDEFSNEVELLAKIDHRNLVRLLGFTDKGNERIIITEYVPNGTLREHLDGQHGRVLDFNQRLEIAIDVAHALTYLHLYAEKTIIHRDVKSSNILLTESYRAKVSDFGFARSGPSDTEKTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLVEILSARRPVELKRTPEERITIRWTFKKFNEGNTREILDPLLEDPVDDEVLEKLLSLAFQCAAPTREDRPTMKEVGEQLWEIRKEYGKSVRKQVRFNCTT >Et_8B_059965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4467104:4467837:-1 gene:Et_8B_059965 transcript:Et_8B_059965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVGMTMFWSAWLTLVSPRSFRTMEWRYLPSYLGPAMLFIKPRGPPLHSIYKEDPGAVLEVEVVDVLGREERTALLVGRREHGGDQSTGARPGDHVEVVGDSRFRSIQLLHSSRKARMVPGMIPRTPPPSMLSTVTTLPYAGDGVLGVDSNDPFRLSNRCAGFKIRPEPLVSSSGTCTGDMAALNNQATKIKPS >Et_1B_012114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29056027:29060100:-1 gene:Et_1B_012114 transcript:Et_1B_012114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAGLGYALIALGPALSLFAGVVARKPFLVLTLLSSTLFWLLTLIILSGVWRGFVPIKSGAWWPYIILILSSVALQEGARLVFWRLYKKMEEMLDAFADRISKPRLSWTDKMLIYLAIVALGFLVVHTFSMIIAFNGYDEEKKSDQIFVPVVHVAAAVMTLVNLAPGGCLFGTPLLLISAALTLHYCWRVVCWRLTEHQHRQLTN >Et_2B_019875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14790129:14795426:-1 gene:Et_2B_019875 transcript:Et_2B_019875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTTISVAAAFSSSLHLLTKWPCRLPKPARVQSRFVVRADVKVISTGEACRRGLAAGIDKLADAVAVTLGPKGRNVVIDQDDIPKVINDGVTIAKAIELPNAVEHAGAMLLQEIASKTNSSVGDGTTTAIVLAREIINLGLLAVATGANPVALRRGIEKAVDELIKILKSKSIPVSTKEDIKAVASISSGNDEYVGNLIADALEKIGPDGIIKIESSSSIYTTVEVQEGMKIDKGYVSPHFITNPDKAIVEFENARVLLTDQRVNEVQEILPLLEKTTQLSVPLLIIAEDVSHTVYSTLVLNKLNGLLNVAVVKCPGLGDEKKAILQDIAIMTGADFFASDLGWGLQGITSDQLGMAQKITITSESTTIIAHPSMRPEIEARIMQLKKDVEETTSSYLKEKFSARIAKLSRGVGVIKVGAPTEAELEDRKLRVEDAKNATFAAISEGITPGGGVTYIQLSKHIPSIMDLVDDPDEKIGINIVGKALLVPAKTIARNAGADGSAIVEKLLAMRRHLGRKCRVKGVENPMEAAKSGAHGVDLDAEPPGSVGGDRPVRGHDHAGPARPQPGPAPPRGVEPLEQPLHLGRAREHDGVGRGGGVVHGGHQGLGAARPGPARGVRRRVHGRPTRRLEPREEGRHDRRLAEAAGDVGDGAEHAAAAPGAQRRHEARRGGDVGHEDGHPSGVAAEPGEESAVQEIRVAAERGDGEGERGGRAGEGVVVGGGGGGREGAGERGDAGAGGEGDGGEGVESAGLEEGPEAVGVVGADGDGGHGHRVRPALPQERAQAAAIGSAASGLRRRERHTAAGE >Et_5B_043594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12252632:12264559:-1 gene:Et_5B_043594 transcript:Et_5B_043594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRKDVRSALQSAMRESSTSACASSAVPEYPIAGTAKPVLNYSIQTGEEFALEFMRDRAIPKKPIVPGMSQDQHATSCAGHKDSRGSLGAHRTRAETRFDAAIFLTSDNQETGVVERKPFVENENRSRYMSTMSVPRAPSGGGSSHGLSVGYASSEASDTSRRFKILCSFGGKILPRPSDGKLRYVGGETRIIRISRNISWQELKLKTSAIYNQPHVIKYQLPGEDLDALISVSNDEDLRNMMDECGFIDNGDGSQKLRIFLVSSIDFDDMSFSLGSMDSDSGIQYVAAINGMDGGSVKPSSGHGFANTSMDEFPQFINLNIDSGQAILSRDSSNLHPVNASNFVPPAMLSGPMASDLPGGNTANLHSYPSHGMQHLQSSDHSYPTSSERVHDIEGQMSIPLSAPSDYRYTTQYAPYSGTASLLSFDQQSYPEGIVEGSSKEEKWAPAKMLDRNNESDYFQSLENLSANITHHDPSVSNYMRSGLPTTASVEEALTSCLQPSDSAKSLETCSASRARSVTHGSEISEDDRQSSEAFVSGCSDFQVDMMEPSYKNPPPHPVRVFHSERIPREQSGFLNRLSKSDDSLNPQFLILQSQSGDANEHIAENAAFDGNEKSNLASEEINLNNPAVDDGLIEFEKEFVRSAPQTSSFSELVLGEQRSSGDISRNEEKATHEAEKVVAKGKPNAETSHDVETDNKLGSRGTVPHHAGWDASKPSLPTDVQHEPVVPSSTSSVDVSHKEPIIPSTQNKDNAGATDERASPDILSDFFANTAAQSSSPFIDPVHSLNMPNYEPQRWSFFRNLAQNEFPHKDKDHDLVKIEEGVYPFAQLQHDTTNMKNLTPQNNVHMESYSVPSQTNVDSSIPPPPPTFPSTQNDNPPMMKNVEGFQVDNPYTNMHEMMPSLPEFEEPKVEEGRPVGPVMDASFKDNDFEYLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSELERLAHEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRKDKYLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPASCDPEWRRLMEQCWAPDPAHRPAFTEIAGRLRAMSVAANQSKGTK >Et_6A_046198.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:9573272:9573343:1 gene:Et_6A_046198 transcript:Et_6A_046198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFIVNLSALMPVSTIVHRAHA >Et_4A_032771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13634180:13648167:-1 gene:Et_4A_032771 transcript:Et_4A_032771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKICGRCGASLSARSVRCPLCHAVTLCPHPVGFFRGLISSIVPQRLPSSASLRPSGPPESSSPRVGGNKKRALLVGISYDGTKYKLKGAVNDVNCMNYLLRERFSFPSNCILVMTQDEKDPAKVPTMDNLRKAMRWLVAGASAGDSLVFHFSGHGVQKMDNNGDEVDGYDEALCPLDFEDNGVILDDEINATIVRPLGPGVTLHAIVDTCHSGTILDLPFLCRMSRTGRWEWASNSRLPEEHNKSTNGGLAISISGCADSQKSQDTKEARVRLNRRHDAVVTEPGITYGRLLKAMRATIRDSGAEFGIPGPIGTFFHRVITFSCTQEPQLCASEQFNIYRKPRRMAPRTMCGCCGAYVSAPGGARSVRCPLCHAVTRVQRRPHGPHHAAVGFIKGLISSIVSPAAAAATQPPRHSSSSSSLRPGGGMPASYPRVRGRKKRALLVGISYAGTKYELKGAVNDVNCMAYLLRERYGFPSDCILVMTQDDKDPDRVPTKDNLRQAMRWLVDGAVSGDSLVFHFSGHGVQKLDNNGDEVDGYDEALCPLDFEDRGVILDDEVNATIVRPLGAGVTLHAIVDTCHSGTVLDLPYLCRISRTGYWQWENHSRPSGEPKGTNGGLAISISGCGDSQTSQDTTAYSGSASTGAMTYSFIKAVESEPGTTYGRLLTAMRATIRDNGGEFGIPGPIGTFFRRVITFSCAQEPQLCSSEPFDIYRKPFAFLAAAPGSRAGSVWCAFCHRTTQVQHQRGVIERAIAEAPPHCGVSLAAAPGSGFRCAFCHRTTTHVQHQRGVANRDIEETPPTSPPRPARVPTTRAREMLPASYPAVSGGASKKRALLVGVSYTATAYELKGTVNDVREMRRLLRDSFGFPDACILELTEKEEGGDPWRVPTRENLLLAMRWLVAGCAPGDSLVFHFSGHGVQKLDFNDDEVDGYNEALCPVDFERNGKILDDEINETIVRPLGKGVKLHAIVDTCHSGTILDLPYLCRMSRTGYWQWENHCHPSRTPKRPSGGLAISISGCSDDQKSADSSGFSESEPVGAMTNSFIKAVEAEPGTTYGRLMSAMRARIRDGHGNPSLPGRLGSFVRRMIVSSGVQEPQLCSSEVFDIYRKPNKGKVVVQNSNNV >Et_6B_049625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7429506:7431580:1 gene:Et_6B_049625 transcript:Et_6B_049625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKNYNKTKESVGKEIAAIRSQLPASLRKCSTFELVAAALWRCLTVELEYPPGQKVRVMFLSNARRSWKPCLPLPNGFYGNALVLRTAEATVAKLCGCPLGQAIELVRKAKFDVTAE >Et_5A_040225.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24605026:24605628:1 gene:Et_5A_040225 transcript:Et_5A_040225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQSEALAPAVVVAMKGHPGSGKSTVARAIAAALRCPLLDKDDVRDCTLPLEGVVAAGMLNELSYSVLWRVVERQVRLGLSVVVDSPLSRRSHLEALTRLPATLVVIVECRAVDEKEWRRRLEERGASVAEGGIGDGWHKPKTWGELEKLVQGYQGCTDYDIGDVPKIVVDTTEPMVDAEAIALKVVGFVRSHLGCSQ >Et_1A_006921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29003871:29006695:1 gene:Et_1A_006921 transcript:Et_1A_006921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAASLPWGGGSPAWVDVPEGSKSAFMELKRRKVHRYVIFKIDDRREEIIVEKTGAPGESYDDFTASLPADDCRYAVYDLDFVSDDNCRKSKIFFISWSPSVSRIREKTIYAVSRNQFRHELDGVHFEIQATDPDDMDFEVLRGQGDMKLWRSSSSISPPWTGSNRQRQKLPSMPTTITVSPCAPNATMHRSPPSPALNRDAAPYHPSSSARRPNTWTSPPSPAAARNRASPENARLHTSVDDAAAALARLTCRSSLPSAASNTLTTSAQPAPAVASSRPSRDSARHATADAGRGSTSTVRLVSPVSKCRRSTRTCPSRGPGQASSTLLPPRSPRPSGVSAHRPRGFGAVSYRCSSVMSAKA >Et_4A_034414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32316828:32319002:-1 gene:Et_4A_034414 transcript:Et_4A_034414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSRADGRNPNQLRPFSCTRNPLNRAHGSARWSQGDTVVLAAVYGPKPGTRKGENPEKASIEVVWKPKTGQIGRQEKEYEMTLKKTLQSICLLTVHPNTTTSVVLQVVGDDGSLLPCAINASCAALACANIPLKHLAVAISCGVMEDGAVILDTSKAEEEQLKSFAHLVFPNARKSADSKESKQKDENFGRGLITSITHGVMSEDDYFNCIERGLAASSRISDFMRNTFQKDASEAA >Et_4B_036735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11023934:11028442:1 gene:Et_4B_036735 transcript:Et_4B_036735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CLLPGFWYDSVVKILVSFWKQDWKAEAVWLRHLRAMDLEFGRGLRPPKRDSWKTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDIQHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLERPPDTTDKSRIKVWLEKHRKLRVALLIMVMIGTCMVIGDGVLTPAISVFSAVSGLEFSLSKDHREYAVIPITCVILAFLFALQHYGTHRVGFLFAPIVLAWLFCMSAVGLYNIIHWNPQIYQALNPSYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTCLVYPALILAYMGQAAYLSKHHDFYSSSQVGFYIAVPDKIRWPVLVLAILASVALYFSASLIKFLEGAWLPILLALILMSVMFVWHYTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVPVPHVLPAERYLVGRVGPPGHRSYRCIVRYGYRDVHQDVDSFEAELLESLATFVKLDASYRCSSAASNELEEEMERERRLTVVASNPLRGRASYDGQDSVRHHSAASTVEMREASSGTKQVRFFIDSEVSSPEAAAESGKLVAEELEALAAAREAGTAFILGHSHVQCKPGSSVLKRLAVDVGYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >Et_8A_056933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19100712:19105855:1 gene:Et_8A_056933 transcript:Et_8A_056933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLVEVQDQEQGREHMFDKVLTPSDVGKLNRLVVPKQHAERFFPVAGAGTLLCFEDRAGAPWRFRYSYWGSSQSYVMTKGWSRFVRAARLAAGDTVSFSRAGAAGGRYFIDYRHCQRRRREISFADAAAVPASWPFRHDRRLQRTSSGMAGGEGASAAYPVDVDTVGPSGAKCFRLFGFNVECSSDEAAAAAQEENVDSWSSHPFAKEWPSSAWSSKNTNEGLEFLNS >Et_7A_050295.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2167635:2167982:-1 gene:Et_7A_050295 transcript:Et_7A_050295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEPSTGFRPEMRHELEEEDAESKHVRLLVHDAVREVLRRQAPEVVHLFQQCRERPPKKAEQCPAGRRKSADHHHILLRKQVRPYEQPDDAPMNAAAVFVCALCVTCVGQFLSMP >Et_3B_028623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17430138:17434367:-1 gene:Et_3B_028623 transcript:Et_3B_028623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPVSDTGFFEWMGLVQSSGSLSVAAMAAAPVVGNNDNDADRKARNVVVKSETVEVNGDYTMAQGAVPACSDPMVTFGSLGRRFSDDFQFPEYEDGDSTDCSSSFGDSYSVSDDDLELDTGIMEVDSLFPSHVNVDDTTVVPHLKKVTSDWREYISPVMWRCQWLELRMKDLLSQVAKYDKELAIINHEKDLHLEMLKGDSPKSESQHLDLQSRERNTMRRRKRKRDEDILESSLLTEEHLILSYYNEKQKKKTETDEILDNDDSNSLAVDSMKSSLGLNDTVALLEFKENDIVLEQHSLRDIILSIDKIQSRIIRLQNHLSEAQNNRDDNQKAKKAQKKKKLHGLLQRETERDEDEITVEMLFGADKPLIDAHIAGLYRESADDVLIDNQAAREEGYCQFEMVNPTAEKHPELLKSVAQSPSLEEETSRELTGCEFVSIPVPIVTLMNKRGPKLEKKCDSSLPGLEDQIEKEDHNTEKKQLTQEDFDNANNENAPLVAVDTRRSRRVQKPKIYQ >Et_4B_037111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14872908:14874220:-1 gene:Et_4B_037111 transcript:Et_4B_037111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMNNYQQYRQIMAPGWTLGWSWAKKEVIWSIVGAQATEQGDCSKFKGNIPHSCKRTPSIVDLLPGVPYNQQIANCCKAGVVSAYGQDPAGALSAFQVSVGLAGTTNKTVKLPKNFTLQGPGPGYTCGPARVVPSTVYFTADHRRKTQALMTWTVVCTYSQQLASRYPTCCVSFSSFYNDTIVPCAKCACGCGVDGYGGGKQHGRGRNAGECIMGDSKRALSAGVNTPRKDGAPLLQCTSHMCPIRVHWHVKLNYKDYWRAKIAITNFNYRMNYTQWTLVAQHPNLDNVTEVFSFQYKPLVPYGSINDTGMFYGLKFYNDLLMEAGPFGNVQSEVLMRKDARTFTFSQGWAFPRKIYFNGDECKMPPPDSYPYLPNSAPPRSRRIAASVAASALMLLLLLVAA >Et_3A_023769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12043143:12051159:1 gene:Et_3A_023769 transcript:Et_3A_023769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVMVSVATGVMSSVVEKLTVLLGGEYSLASDFRRGIRFMKDELCSMNAALQRLADVDDDQIDVQTIEWRSKVRELPYDIEDCVDRFMILQSSDKAKKSFLQSTLRKIFALWGDRQIAKEIQDLKARVIEEKERRDRYNVDQYINVHQQGKTTLAMEAYRKTEEPFDCRASVTVSRTLDIKKLLRDILFQINRGEYDQSERWDMEQLLPTLREYLMDKRYLIVIDDIWSVLDWEYVKSALPVNNNRKCPYELREVANDILRKCAGLPLAIITIAGLLANKSRTLDVWLKIRDSVSSAAEKDSLDYSIDCRDLIPRWVAEGLIPGRNRESMELLGGSYLHELINRSMVQPTKVGVDGTTVKVCRVHDVILDFIISQSVEDNFVAIFNGNVFQGNFSNKIRRLCLQSDFSEASDVAKALMNNISHLRSFYLLLSDQEELGASTWGCHPERIGSFSRLKYLRIGEMVINKIPEEIGNLQHLEVLDVRENLKIRELPESIVQLQKLVCLFVHKEVKLPNGIGNLQALEELSNVGLHKASVECIHELAGMTKLKALKILGEPGDVQARREACISSLSKLVTRSLRSLHFCGGFGSHFIHSWIGSCASTTQVRKLVLNVKFDFIPSRIGSLVNLTRFSFGVRGEVGEEGIKTLASLPMLLSLSASLLTDFSGVIHPPHVIGPQGFRHLLKFSFRCQRDGALEFKSGAMPKLQRLKLRLQARFQFKYGQGGLLVGLRHLSDLKHITVLNNCNGAAAEEVEALENDIRGAAETLPNCSVVEIVRED >Et_3A_024163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1807785:1809806:-1 gene:Et_3A_024163 transcript:Et_3A_024163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGNGRGGEQYSPTSAKSPSPRAGGENYSSSPKGTPRSSAKLLPPISGVAWVLDSKWAVSAALSLLLFLAVALAVTTFSSSSSYISASSFFSFAPATNQPETHVQINHQNTTTTTTPPPPGAGVPRLAYLVSGSKGDLDRLWRALHALYHPRNQYVVHLDREAPVGERLELAARVANSSVFRRAGNVHVIRRANMVTYRGPTMVANTLHACAVLLRRGKAWDWFINLSASDYPLMTQDDILHAFSSLPRNVNFVEHTGYLGWKEGQRAKPMIVDPGLYRSTKQDIFWVSQKRELPTAFKLFTGSAWVGLTRDFVEYCVWGWDNLPRTLLMYYANFVSSPEGYFQTVLCNAPRFVPTVANHDLHHIQWDVPPRQHPHALALADLPRMVASGAPFARKFPRDDPVLDAIDAQLLGRPHTASNGTAAFVPGGWCGGDAACREVDNDWVIRPGPGAERLQRLMDRVVRSEGFANSQCK >Et_3B_027455.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18948333:18950087:1 gene:Et_3B_027455 transcript:Et_3B_027455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDSMIEFVYYIQMSMNTSSDWNSYAGLLPNGNFEEGPSKSELTNGTVVRGGHAIPQWETSGFVEYIESGHKQGDMLLVVPQGAHAVRLGNEASIRQRLSVTRGAYYAVTFSAARTCAQAETLNVSVSPEWGVLPMQTIYGSNGWDSYAWAFKAKLGAVDLVLHNPGVEEDPACGPLIDGVAIRALYPPTLGRGGNMLKNGGFEEGPYFLPNASWGVLVPPNIEDDHSPLPAWMIVSSKAVKYVDAAHFAVPQGARAVELVGGRESALVQEVRTVPGWRYRLAFAVGDAADGCEGSMVAEAYAARASVKVPYESRGKGGYKRAVLDFTAIANRTRVVFQSTFYHMKADGTLCGPVIDDASLVGVRGKPAGGRRL >Et_7A_051283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16631499:16631768:1 gene:Et_7A_051283 transcript:Et_7A_051283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLSDDFNQTDMISFFRLKLAAILLGLEFNERKELILPWKIVVIPINEI >Et_10A_000309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22217963:22218337:-1 gene:Et_10A_000309 transcript:Et_10A_000309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSSPSLCEFIEYIDTEQTPENIAHVYRVAERARRHWFDMEAEERREEKRRKMRQKEEERRREYEAERKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_8A_056069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16157940:16158670:1 gene:Et_8A_056069 transcript:Et_8A_056069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRWSGLQHDVNVFCGCVTRIEGRNRMMTRLLLGIASACSLFKAEDKKNRKFAFMHCWKILKDKPKWIERRKEIASAKFTSNKKQKRVPNSSPASVAPADAHLVDPANGGGAEEPSGRPDGKKKEKQKLRQRSTKEAVDYLMAKKKEADDEKELKKDERCDKAFALQEERLKLEREKFDFQRDMEEERILNLDLSTMSYKTQQYYEGRQNEILARRSNI >Et_5A_041478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23453556:23454317:1 gene:Et_5A_041478 transcript:Et_5A_041478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHILCSINDFSSFSSYRSAAMSRPSASSALSSRELNGIVSGNVSQGLERFRIHVADADLVLSSLAGPKKSALKTGDRAASTSLCAANISPRTSKSTSAPSFVSRRRRRSACSPVPDGGAITAGVSGGADDGGAVVADDALVDGGVRTAKSHRIVKLSSSKNFDFSKSTCETNVDMPSPGFRLLPKAPTNLEDAKHGGLPPSSCSENLNSTWTSSPTASRALSIRRKTAMAPAASLVPPLG >Et_2A_018466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28913880:28914728:1 gene:Et_2A_018466 transcript:Et_2A_018466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLTAETPVRPAAHLLRLSSAPPFPHLRPRRCSPPPKLLAAISKHPFLLARRSLLFTPRAHGSHDHGHNHHQHHGHNHHHHHRHGHCHCHGHHKADAHGGGGGAAVMRVARAIGWAGVADALRDRLQVCCISLGLLLNAAACPQVAPLRSVRLLPAALNAVTFPLVGHSMRAYGHVVATSYRLDISDDGDAKHVADIIW >Et_4A_035568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29511812:29515366:-1 gene:Et_4A_035568 transcript:Et_4A_035568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVFSVEEIANPYWAPPQPQAPTTGAAAAPIAGGGGAGGSRGGGGPTVDGAGAMNRCPSEWYFQKFLEEAVLDSPGPGTVAGSGLGGVEPVESKPPVGAPGASSSGVVDPVEYNAMLKQKLEKDLAAVAMWRTSSVTPPERSAASSSLPNVEVPRVGPLNSIGGNGIPVQSKPAGGLVPQVVQNADVLVKQATSSSSREQSDDDDMEGEAETTGNANPVQQRLQRRKQSNRESARRSRSRKAAHLNELEAQVAQLRVENSSLLRRLADVNQKFNDAAVDNRVLKADVETLRAKVKMAEDSVKRVTGMNALFPAVSDMSSLSLPFQGSPSDSTSDAAVPIQDDPNSYFATPTEVGANNSYMPDIASSTQEDDDFNNATMGRTAPLHRVASLEHLQKRMCGGPASSGSTS >Et_9B_065076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20993481:20995391:1 gene:Et_9B_065076 transcript:Et_9B_065076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKPLSARRLMPALFPLAHADAASAAASRRERRRDAFVATPPTPKPSARAVRLAEPLPTLAPSRLAFHNRILALLSGPQLDLAEAALLTRHALHSNCRPSSFTCTAVLAALLRARRLDDFFALHRFALQAAVPPTAATHALYLSALAARRLPDDAVHHLRLIARPGSPVPPSPTAYRVVVECLVSDHGRLAEAVEVKDEMLAAGFVGPDPKVYSLLMAGFVGAGDGAKAVELYQELTDKVGGEPVLDGIVYGSLMKAYFLMGMEDKAMDCYNEVLGAESEVRFRVESYNELVDALGQNRRLENALKLFDRMLGEHDPPLRVAVDVRSFSVMVDAYCAAGRFEDAIAVFRRMGEWKVEPDIRAYNNLIRHLGTNRLLHEVEVLFSEMSECGVAADVDTYVAKGILLDEKVVFSDEMREILEGALRVDGREDDIAKLYEDVEMEKAEAEARAAEEKARKEALAREERERRRAEAAAKDAAAARASAAAIEAILAHKRKTENGTEAPAPDANTLDGGFLSKLGLRSPGDHAVQGTQPSTETKQENGQGP >Et_1B_009924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11316174:11316956:1 gene:Et_1B_009924 transcript:Et_1B_009924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHAPPAEQKAGPRQRHAEKAAGAAAPRDALAQRSASFHGRGAAEQQQQRHHLLRQRPRTQPDLLAGVRGHGLRRAAAGGRKAAPSKVLVTVAVQRSMWPLQVMARTEWRVADLIAAAVELYVKEGRRPLLPSADASAFSLHYSQFSLQSLDPEEKLMELGSRNFFLCPKAAPVAASSTCSSDEAGKAITPAKRPNIQVPWLGFVHFWPLL >Et_8B_058933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11156988:11167263:1 gene:Et_8B_058933 transcript:Et_8B_058933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLAVASPHPGAAFAARRRRHQPAASNRSLSLLSPCTRPFPFFSRLRYAVSRQPPRISTPPGSPVRLLCILASSNSTIRIVDSVDLCVLELCGSLGSGTGIHFPSVTFPLISHLAEKLNKDVSKNMLTPAAAVSIEQAEATADLPKGDMWSVHKFGGTCMGTAQRIQNVADIVLRDPSEMKLVVVSAMSKVTDMMYNLVNKAQSRDDSYIAALDEVFEKHMAAARDLLAGEDLARFLSQLHADISNLKAMLRAIYIAGHVTESFSDFVVGHGELWSAQMLSYAIKKSGIPCSWMDTREVLVVSPAGSNQVDPDYLESEKRLEKWFARRPAETIIATGFIASTPENIPTTLKRDGSDFSAAIIGSLVKARQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKYNIPIVIRNIFNLSAPGTMICQQPANENGDLEACVKAFATIDKLALVNVEGTGMAGVPGTASAIFGAVKDVGANVVMISQASSEHSVCFAVPEKEVASVSAALHVRFREALAAGRLSKVEVIHNCSILAAVGIRMASTPGVSATLFDALAKANINVRAIAQGSSEYNITVVLKQEECVRALRAAHSRFFLSKTTLAVGIVGPGLIGRTLLNQLKDQENMNIDLRVMGITGSRTMLLSDIGLDLAEWKEKLETEAEPANLEKFVHHLAENHFFPNRVLVDCTADTSVASHYYDWLKKGIHVITPNKKANSGPLDRYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGERTFSEVVAEAKAAGYTEPDPRDDLSGTDVARKVIILARESGLRLELSDIPVRSLVPEALRSCTSADEYMQKLPSFDLDWARERKDAESAGEVLRYVGVVDVVNKKGQVELRRYKRDHPFAQLSGSDNIIALTTSRYKEQPLIVRGPGAGAEVTAGGVFSDILRLASYLGAPSKLDWTDLSDCNVFIAE >Et_10A_000294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21616471:21617568:-1 gene:Et_10A_000294 transcript:Et_10A_000294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGSSSSSPAPAPQQPSVLKSVLLSYAYVGIWITLSFSVIVYNKYILDPKMYGWPFPISLTMIHMAFCATLAFLLVRVLRVVDVPSSPAVTPRFYAASVVPIGALYALSLWLKALMPVAVYSLAVAFRTDAFRRASMLNMLGISAGVAVAAYGEARFDAFGVTLQLAAVAAEATRLVLIQILLASKGMSLNPITSLYYIAPCCLVFLTVPWYFVELPRLRAAAAARPDVFVFGTNCLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWTVIKDTVTPINLFGYGIAFLGVAYYNHAKLQGLKAKEAQRKAASTAAVAKEDDAEAGARLLPQKDGDDGGHKN >Et_4A_032044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13548709:13549765:1 gene:Et_4A_032044 transcript:Et_4A_032044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTLRWSAHITHPPTSIEQDLHTPPSHIHKESLRTPNPICPSTVQHLLLSSSSSSDPFTSTIDPASSVIHGVHQRKPPLVPLPPHHHRRPRRRRGPRVLLPPPSPPQPLPPFLLRFQPIPRPLLPRPPPHLLLSRHVVPVPSASAGAAAPSPPGEAGEEAPSGLPAAAHHRAHHRHLQLPRHGAGVHRISGAALRRRAAARRPPAPLRRPAVVPDAPVAAQPPSSCTTTLANTAINIGSGSSNNNITSSTSSLVDALALFAKSNVMPGSAGAGGADQHYHSIGMGGFNPFDDFDAPAVGAEGERINSGGGGEHGFFSSFAASGDKYGRH >Et_8B_059041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12857824:12860752:1 gene:Et_8B_059041 transcript:Et_8B_059041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YIYLENNNLSGPIPTSVGSLPLLVYLDLQQNQLSGIVPMNMFNMSLLQFMPLRLNNLTWPTLRNQSDRLPKLRMLYLQKNNFARGIPLELSACQHLQVLSLAYNSFSDTVSKWLAQMQHLTELLLGQNHFVGSIPAVLGNLTSLILLDLSFDNLKGVIPTELGLMCELTYLHTIPSSPKNISKLNNLVLLETLFGSVAYIFGNIRGMKRLLLTSNKLEGNLEFLSFLSNCRQLEILGITSNYFTREIPDLVGNVSTKLLLDVRNNLLTGTPESITLMQNLMLLEVSSNDMSSPIPIQIVLQQLSLKENKFFGSIPNSIGNLTNLQHFSISDNKLNSTILASLFHLDKLIYLNLSHNSLVGMLPDDVSGLKQVAEIDFSSKFLIVKIPNSFGQLRMLTYLNLSHNSFQNMVPYLFEELTSLIFFDLSFIKLCGTIPMFFANFTNIKTLNLSFNKLEGKIPEGGIFSSITLPYLIGNAGLCGAPRLGFSPCFEKSQSTNRHLLKFLIPTAIIAFGPAATFVYLMIRRKIRNRNKTDPSSVGPILDIQLEQSVRSFDAECRVLHMARHRNLIKVLNTYSNLDLRILLLLGDDTSLITAGMPGTIGYMAREYGSLGKASRKSDVFNVGIMLLEVFTGRRLTYAMFVGELNIRKWVHQAFPTELASILDIQLLHHASCTRDLNNLLPPIFELGLVCQVIRLTKGCQ >Et_4B_037060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14065980:14068983:1 gene:Et_4B_037060 transcript:Et_4B_037060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGLHFYPAAGFSAAQRNAGAKPFHHSLPHRILVARRTCLLKAKSSNGRPQISASFGNEMLDGRLSGDGAPEQGGSTVSITVVGASGDLAKKKIFPALFALFYEDCLPEHFTVFGYARSKMSDEELRTTISRTLTCRIDKRENCGDKMEHFLQRCFYQSGQYNSEEGFAELDRKLKETEAGKVPNRLFYLSIPPNIFVDVVRSASHTASSSSGWTRFIVEKPFGRDSESSGELTRSLKKYLAEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRQLKLEDVVVGQYKGHSKGGKSYPGYADDPTVPKSSITPTFAAAALFIDNARWDGVPFLMKAGKALHTKRAEIRVQFRRVPGNLYRRNIGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSNLNLLYSERYHGEIPDAYERLLLDAIEGERRLFIRSDELDAAWAIFTPVLRELEEKRVAPELYPYGSRGPVGAHYLAANYNVRWGDISSDDS >Et_3B_028494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16180666:16184633:1 gene:Et_3B_028494 transcript:Et_3B_028494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAMAAAAMVPLVALLFSVSSAFPAQLDPHFYDHSCPQAQQIVASIVGKAHYQDPRMAASLLRLHFHDCFVKGCDASILLDSSGSIVSEKRSNPNKDSARGFEVIDEIKTALEAACPGIVSCADILALVARDSVVMTGGPGWIVPLGRRDSRGASVQGSNNDIPAPNNTLPTIITKFKLQGLDVVDVVALLGSHTIGDSRCTSFRQRLYNQTGNGLPDNKLDVSYAAALRPRCPRSGGDQNLFFLDPVTPFKFDNQYYKNLLAFRGLLASDEVLFTDSPATSELVKLYAANQDIFFQHFAQSMVKMGNISPLTGGNGEIRKVCRRLNMSTSYNLGNRDQPIELKNPQAKLFLTCTNQAKLSSLVPNLLQPKKEKSSKSMQLEGHCGNLYLLED >Et_7A_052673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11896811:11899853:1 gene:Et_7A_052673 transcript:Et_7A_052673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVARVSGVSSVGVTGDGKDRLEVVGEDVDAVCLVSCLRGKKKFGHVDIVLVEDVKDKTKEEEEKKKKAEEEKKKKEAEEKKKKEAAEKPHQCSCPAGYPCYCHPCPPPLPPYFVCEEPATSCSIINEDVQLLLPDQRHAMTNVKSNAINKDGTTRALIHSLAVCSFLCFCRDQTNKSGVGGRQEDEDKLQQSSSTARRQALKLSKVGGLHAGQGSSPAERLGTRAGRGISPEKEAGPCTEAQGWERAATPKKKPGPLAKKKRRRDHVRGKRRRNEATCEEEEAEAG >Et_2A_016092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2109034:2116723:1 gene:Et_2A_016092 transcript:Et_2A_016092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VFPLPGLPDHSQVPVYHSPGLIESRRRGGGRHPPLLQAVAVAAPSPPLSSTGHALRSFRIRNYTWMMAAAWPLSWSDLPQELLGLVLKRLPSLPDRVRLRAVCHPWRFNAQLQPLPPPLPWLSLLDGTFLSIPDGEIIKMPVPDGSCCCGSIDNWIFLMQIDGRYSLMNPFSKAMLDLPNLDIVWRRDWFNANAGLKPLFYKMVVPSPLDLSPDSVVAVLIADDGNEHTVCICQPPIATDLVRGRYRDPLYLLDEIEFFDGKLYCTVFGDKLFQLEIADGLESKGKISSIQCVINSVDHFDCRPRHLADADAAFGIWKYLVKCVGRLLMVQRWSRNMAAGRRPGPPDYLEHERTVAFQVFEADLSTNPGQWVSVNKLNGQALFVGQHCSKSFPAEKCNGIQENCIYFMCDYPRSECVADPLRDSGVYNITNGVITPLLSEAAAMPRNRGSQSCMMKGSPSSPWPDLQPELLGLVLKWLPSLPDRIRLRAAWRSNGRLQHLPPPLPWLTLPDGTFLGIPDGKIIPMPVPDNASCCGSIDNWLFLMQSDGGCSLVNPFTKAKVDLPNLASVWHRKSLNAHSKYVPRCYKLVVPSPLDSSPDSHVAALIEDSSNSSTPPIATDVYTGNAVEPPHFLYDVVVFDGKLCGTDFRDRLLIFETSYGLDGEPKFSSVECIINSRSRDKWRLPQPLRKARGHLLRWLNVVNSFHATGHETTVAFEVFEADLSTKPGRWRCVDNLGGHALFVGNIAPSLSLLESALEFKRIAYISCVTMLLCGTGLLILFMTLYKNWNDQAFIIRDSSCATASWWSGASNMLPGQHPMHHTPSSASSSVHYSAFCPPSFGVCVDCPRFVSVL >Et_4A_034133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30123820:30140388:-1 gene:Et_4A_034133 transcript:Et_4A_034133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCKLMLLFLALIFVSPALEAAGASPDGTSSSSSFHGAAATVPRGRSLTSSSQSVFNLDNYGARGDGKHDDTQALAKAWTAACASSRPAVVLVPGGRRYLLKIVTLAGPCKSSVVVMVKGTLVASPNRSDWGDKDRRHWIVFRGVDMLTVNGGGAIDGNGETWWKNSCKINKALPCKEAPTALSFHYCTNLRVENLKIVNSQQIHMSVEDCTDVQLAGLSITAPGTSPNTDGIHITRSKDVQVTNCKIKTGDDCMSIENGTHNLRVSIVVCGPGHGISIGSLGDDNSRAEVSGIIIDTVQLYGTTNGARIKTYQGGSGYAKDITFQNMIMDNVKNPIIIDQNYCDKAKPCEASGSAVEVSNVVFKNIRGTTITKDAIKLNCSKSVPCNAVTLQDIDLKMVSGNGATQSTCENAEWKKSGTTGDDCMSIEDGTHNLRVYKVVCGPGHGISIGSLGDDNSRAEVSGIFIDTVQLYGTTNGARIKTYQGGSGYAKDITFQNMIMDNVQNPIIIDQNYCDKAKPCEASGSAVEVSNVVFKNIRGTTITKDAIKLNCSKSVPCSAITLQDIDLKMVSGNGATQSTCDNAEWKKSGTFSSCYILVFLCPSYLQLEMAPRLVLLAPLLALLLLSSALEASGTNSGSSAHVASSSSSSHGAAAAAVPRARRSLASSSQSVFNLDRYGARGDGKHDDTQALAKAWNAACASSRPAVVLVPKGKRYLLKLVSLSGPCKSSVVVAVQGTLVASPNRADWSDKNRRHWIVFRGVDKLTVNGGGAIDGNGETWWKHSCKISKALPCKEAPTALSFHYCTNLKVENLNIVNSQQIHMSTEDCTNVQLARLSITAPGTSPNTDGIHITRSKDVDVTNCKIKTGDDCMSIENGTHNLHVSKVVCGPGHGISIGSLGDDNSRAEVSGITIDSVQLYGTTNGARIKTYQGGNGYAKDITFQNMIMDNVKNPIIIDQNYCDKAKPCKAQGSAVEVSNVIFKNIRGTTITKEAIKMNCSKNVPCHGITLQNIDLKMVGGKGATESTCQNAKWTKSGSVLPQPCTVKN >Et_2B_020608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21882683:21886826:1 gene:Et_2B_020608 transcript:Et_2B_020608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQKREACSTDEEWASKRPKNADPATEIVHAESSASQETNGEMRATLHKGREVQADKCVADRNATVDSKVSGEQGSVLTGVEADAAEDKGCRHTMEDAWVVLPNASAESPGRLRCAHFAIYDGHGGRLAAEYAQKHLHSNVVAAGLPRELMDVKAAKKAIIEGFRRTDESLLQESTKGNWQDGATAVCVWILGQIVVVANAGDAKAVLARSTSTDGEGVVDETKSQLKAIVLTREHKAIFPQERSRIQKAGGSVGSNGRLQGRIEVSRALGDRQFKKVGLIATPDVHSFELTKKDHFIILGCDGLWGVFGPSDAVEFVQKQLKETSSASVAVRRLVKEAVRERRCKDNCTAVLIVFKH >Et_8B_060175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6843573:6847206:-1 gene:Et_8B_060175 transcript:Et_8B_060175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLFATEKLGGRAVYRLHAATVLTGICLVLCYRAAHVPAAGGGGGRAAWLGMLAEELWFGFYWVVTQSVRWSPVRRRTFRDRLAARYGDRLPCVDIFVCTADAQSEPPSLVAATVLSVMAYNYPPEKLSVYLSDDGCSLFTFYALWEASVFAKHWLPFCKIHNVEPRSPAAYFSESEKPHDPRVLQEWLLIKGMYAKMTERINSAVMSGEIPEEIKANHQGFSEWDAGITSKDHQPIVQVLINGKDRAPAVDSEGSVLPTLVYMAREKRPQNHHKFKAGAMNALIRVSSVISNSPIIMNVDCDMYSNNSESIRDALCFFLDEEMGHKIGFVQYPQNFNNMTKNNIYGNSLNVINQVEMGGLDSVGGRPYIGTGCFHRRETLCGKRFSKDYKEDWDRGTKEKTEPCVDQIEEEAKSLATCTYEHNTEWGSEIGLMYDCPVEDIITGLAIHCRGWESVNMNPSRAAFIGVCPTTLTQTILQHKRFSEGNFSIFLSKYCPFFFGHGKIRFQHQMGYSIYGLWAPNSLPTLYYVTIPSLGLLKGTPLFPEMMSPWIIPFIYVSLVKNLYSLYEALLSGDTFRGWWNGQRMWLVKRITSYLYGFIDTIKKVLGMSEMGFVITPKVSDEDQSKRYVQEIMEFGAFSTEFVIIGTIALLNLVCLVGGLSQIMIDDEKSLLNVLFLQVFLCGLLVIINIPIYEAMFLRKDRGSMPFSVTLASLGFVMLALLVPVF >Et_2A_014779.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:14528077:14528280:-1 gene:Et_2A_014779 transcript:Et_2A_014779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NVSRTARELPSLTRLQQNSHFLDFSRGNHPITSNTSQQVKRSDPRSFDRNNTEITETGPEQLENCPL >Et_9B_063735.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:9016040:9016306:-1 gene:Et_9B_063735 transcript:Et_9B_063735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGGLGKTTLANVIYRKLRGVLECSAFASVSQKSNMKKILRNILHQVGYVPPMHSNIETWDVDELMIAQQEFLRQKVQRFGYIFPPR >Et_3B_027854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22829650:22831044:-1 gene:Et_3B_027854 transcript:Et_3B_027854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASQGVLLSSSLLGGAARGSASWPELLGSAHWDGLLDPLDLTLRRLILLCGDLCQVTYDSFNSDAHSKYCGSCRYSRAALFSRTMFPAAADVSPAAWSKESNWIGYVAVSTDAAAAATGQRVIYVAWRGTIRTLEWVDVLKPELVDPDDVLPEGDPARGRARVMKGWYLIYTSSDERSPFSKHSARDQLLAAVRELVARYSGESLSVVCTGHSLGASLATLCAFDIAVNGVSRVAGGADIPVTAVVFGSPQIGNPEFKKRFDELPNLRALHVRNKPDLIPLYPSGLLGYSDVGDLLAVDSKKSPFVKDDTTNVGDYHNLQGILHTVAGWNGKDKEFKLQVHRSVALVNKSSAFLKDDNLVPESWWVERNKGMVIGETGLWEIEPPAEESLPVPPVVTGKVIDDDVATGIVTKEANKIPPAVEDKNKKGPGNKLFSCFGVD >Et_1A_007867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39009336:39011572:1 gene:Et_1A_007867 transcript:Et_1A_007867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQSVVAVKQFGGTDRMARICTAPQPSAHKLLNAKSDQCGSTHNPESSNIKTEICTSSPPKILPSDLRTCSPSSNPENLISGISQTNFSEPILSSSSTFCTSMHSTSSTNSMWCQKTRDLPFLPHPPKCEQQQQQQQTSSGQPSSPSSMFFGDLSSGGHDDAEHSDNPGDFLNLSGDASDDSFHGERNAKAFNDQMEFQFLSEQLGIAITDNEESPRLDDIYDGPPQLSSCPVLSYSDLKGSRSPGSPIKVQLSASRAASCNKPRLRWTLELHERFVEAVNKLEGPEKATPKGVLKLMNVEGLTIYHVKSHLQKYRFAKYLPDTKEDKKSSSEDKKSKSVIHGDCSSKKKSLQVAEALRMQMEVQKQLHEQLEVQRQLQLRIEEHARYLQKILEEQQKARTSLYTRRCTAEEKISESTGNKNTEPEADTSSGPLSNGENSDTDMECNSHEDKEKCKASG >Et_4A_033529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24092764:24095196:1 gene:Et_4A_033529 transcript:Et_4A_033529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVCAGPPRPEPDPAAIAALRLPADLLRAVLQRLPPADLARAACVCRAWNAVASDRAMIEAAFRAPWGVRRVVGEPATRAFWRAASIERFALSHAVRRGDTVPGVALKYSIQVTDIKRFNNMMSDHGIYSRDRLLIPINDPKILLGSTCYIEMDHNAKREVAVFYPEGRPGGKAESSTRTISADKRSQRILESVRRSLRVDDGTALYYLSITEGDPRAAMMEFSEDLRWEQQHAGH >Et_4A_034458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3415338:3417453:1 gene:Et_4A_034458 transcript:Et_4A_034458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKKNTMLIPITYSFADGMACLTAAFPKSQKGTLESFAALGVLDDLEMENFFPKVFGAIPIPDFSVFDAEWSAARREVLEQQNPPQLRQTWKKKKKNKEGVVSDFWDGESWDLNLYRNLGQNSVHEWEELIQLLWNVELNNEDTVKWALEKSAFEWETIPSCMEFLEEWLSLGVKNYDLGLYMFSITAWSLWKIRNKMAIQNVFVKNTDERWRLLLKRQDRLALDENMTKLQDGVTPQVELTAYAHKGWVEEGHTMFSEMQRGTYGKVELTVEHYGCKVDMAGLVGLEEAYLKQKDAQAS >Et_3A_025324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28964867:28967785:-1 gene:Et_3A_025324 transcript:Et_3A_025324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSLVPTCALAPKLGVGYASYGESRRRVAAPRRGRLSVVAVQTGPQKPSPSATEDESDALQKLLKREYKYGFVSDFESFSIPKGLSEATVRRISELKAEPSWMLDFRLAAYRRFLTMVEPTWSDNDYAPVDLQSICYYSAPKTKPKLNSLEEVDPELLNTFDRLGIPLSEQKRLANVAVDAVIDSTSIATTHREALMAKGVIFCSISEAIREYPDLVKRYLGSVVPPGDNYYAALNSAVFSDGSFCYVPKDTVCPMEISTYFRINDKETGQFERTLIVADDRSTVSYLEGCTAPAYDSNQLHAAVVELVCEEGAEIKYSTVQNWYSGDEQGNGGIYNFVTKRGRCKGRGSKISWTQVETGSAITWKYPSVELVGDDTVGEFYSVALTKDCQQADTGTKMIHKGKNSRSRIISKGISAGKSRNCYRGLVQMNSGAENAYNSSQCDSLLIGDNAAANTYPTIQVGCTSGRVEHEASTSKIGEDQLFYFQQRGIDHEKAVAAMIGGFCRAVFEHLPYEFAQEVDALMNLKLEGSVG >Et_6A_046381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1454521:1457663:-1 gene:Et_6A_046381 transcript:Et_6A_046381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFSGEVVEVPAELVAAGSRTPSPKTRASELVNRFLGSADAAVSMQLGDFGSLAYSHNNQALLRPRSFAAKDEIFCLFEGVLDNLGRLSQQYGLSKGANEVLLVIEAYKTLRDRAPYPASFMLSQLTGSYAFVLFDKSTSSLLVASDPEGKVPLYWGITADACVAFSDDIDMLKGSCGKSLAPFPQGCFYSNALGGLKCYENPKHKVTAVPANEEEICGATFKVEGSTILTALH >Et_2A_016212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22051052:22053109:1 gene:Et_2A_016212 transcript:Et_2A_016212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAFDRAAVFEAGMPACSSSSLGKDSDDGLPMGKEKEEEEGEVQSAYTGLSALEESLPIRMFRTVTSIGQVIQSLRLEERGQNSTKLASLQVMITDCDVYIYGALMFSSYLWGRRGISKFYNGKSRSFTFLRDAITPSGSSRDIAKADNAYTRKRKNLIAYSIMYDKSQQTVPETNESGSRKRLASWSSTTLNLRPLASISSSRTSSSNSISSEENEQPLEFSLGQSPDNTARTIPGSRLGSCASMLDLHRLHRSCSSVRLKDKLKVE >Et_3A_026982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28779824:28784482:-1 gene:Et_3A_026982 transcript:Et_3A_026982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAGAVVGAAPAAAPNAASRVDKATSHLLMGPDWAVNLEICDIINADVWQTKDVVKAVKKRLHNKDPKVQFYALTLLETMMKNCGEYVRSEVAEQHVLQEMVKIIQKKNDMRVREKILLLLDSWQEAFGGPGSKYPQYHWAYLEVKTTGVEFPRRPIDAPPIFTPPVHDSQTYGSPRYAAGSLNERMSSDVETLSVGDLNKIRNVTELLSDMVHALNPSDRTAVNDEVITDLVTQCRSNQQKLLQFVSSTGNEQLLKQGLEINDLLQLVLSKYDAVASGAPLAVEAPVRETVEAPAVTPSAPPFNNIAGEEEDEFAQLAQRKNKSVAASDDASSSTGDLALVPVDAEVSESSSSVTSNALVPLDPAPSSSTESKELDMIDLLSLTLCSPTPETSTDSSTQNQNGSHQPAVANGQQYPSGVPQYPSNQQPHTNSRGYTPQNSNYIAPWAQTGAYPPQPPAYASAYLAPPWGVPTPATVETNPFLSSAYQEPRPPVDSTTQTTTYAPPPASYAPYSVSYVPHATSQSVQQFSSAGYPTSNGPSGTQVQTSVNQQPKDSSPAASKPYYIPDNLFSDLIDLKGPSGGNKMGNPNGGEPMIGGKK >Et_9A_062533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23824313:23827017:-1 gene:Et_9A_062533 transcript:Et_9A_062533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRQPRLLDAGAAERYREMGIAAALARPWDYPTACGELTALLRHGYAKLPKAAQALVAADVLLAFRLLPDVQTGYALTAASSLLQAVEVALPKQKKAQAVSEFKHSVVAHKRRARVQQETGSPHIPQDVLVHIFTFLDMHSLVAAGLVCWSWNSAANDNNLWRINYSQFFGACHVNGISIPVSGLSHVQNSCDLAVRNSMGSVSIDPNFCWKELFHKKHAECAMWRFASNRALCGHCRSVIWLSELSCASPHHCSNNKNVKDGVELRPLLPDTVAEYILHDGDLAASPSESDNEDSDSDEYEHRRFWLL >Et_5A_042121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6472736:6477193:-1 gene:Et_5A_042121 transcript:Et_5A_042121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVSGDYAASAPAGGHYYPHQYAPPGPNPPHPATDAGAGGYSSAPPYSSGGYVEHPPSAPTYSQPPSAPAYSQQPPPPHYGEAYPPYTNPAPYPPEPYYSYPAPTQPVAPPAPEPSPPTLPYDAPYYGGGYQPPAAGYDNDEYLDEGVYAYSGGGAGSEPYGARGTAPAKSGSALFDDYGRSISLPSGGERQQSWNGGGGGGGSGSFGKIARAVPKAETHEDASGGAQKFRVKLLPEGAGNPTDVLCQIGLDGIRMLDPNTNRTLRIYPLDSLTRWDVLDSNIFAFWAKTSVDIDAKRIRLKSNSYSTSNTMLDTVTAATVQFKEIGGDARGKETVDAGKPSVQSNEKKKGFDWMFAKPVDEVKDHWVPDEVAKKCQSCGVDFSAFNRRHHCRNCGEIFCDKCSQGRIALTAENNAPLVRVCDRCMAEVTQRLSIAKETAKGSATVQSHEDLARKLKEEMEKNRKSSGPVSGGGSGTRMREVACPTCTVHLQVEVPTYGSETVECGVCQHAFLVSAN >Et_2A_018274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20912859:20913974:-1 gene:Et_2A_018274 transcript:Et_2A_018274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCACVLLALLLSLSTLSASTAEAHKERLHDNVALLNGRKWFRGRKIMAAPAHGDSKKDEVMEGKGAKSTGANTVHVHEEEKTVEVSVVGLSGESAGRKSGGKSKYAGLGKRSKAPAIHAMFPQPRHSNAAAMAPELLSMDYNDKLPARHHRPINNDAPLDVLAKKP >Et_5B_045606.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5346659:5347018:-1 gene:Et_5B_045606 transcript:Et_5B_045606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASAPRVAGGEQGRAVAAEATPPSSALAPQQKKRFTPAEQRAALWFTQAVIDDLEEYMAMTEKNVEEQYRRAGKLHRYQPDKELDKRAARIMKARPPPEGLVPNIDYYLKLIEDEDD >Et_1B_010989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16236550:16243386:1 gene:Et_1B_010989 transcript:Et_1B_010989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREMPRVKLGTQGLEVSKLGFGCMGLTGVYNAPVPEEAGMAIIVHAFEDGITFFDTADAYGPHTNEVLLGKALKQLPREKVQVATKCGIAGFDASGMRVKGTPDYVRASCEASLQRLDVDYIDLYYQHRIDQSVPIEETMGELKKLVQEGKVKYVGLSEASPDTIRRAHAVHPITAVQLEWSLWTQDIEEDVIPVCRELGIGIVPYSPLGRGFFAGRAAIVSVPSESLLTRHPRYTGENLETNKILYKRLEMLSKKYGCTPAQLALSWVLHQGEDVVPIPGTTKVKNLDDNIGAVKIKLSEEDLKEISAAVPAGEVAGSRLLGILEPYSWRLANTPLPKCFKQQEETTGNAQVGLLHCRPWVSLSGGALGGADQILNGSRGSVALHAHHALRALEMARPPPVRR >Et_9B_063897.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19921065:19921751:1 gene:Et_9B_063897 transcript:Et_9B_063897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAATRRFHLWFRGLRSLRRDLRTARWSDDPAQISALVGRFVAHLESYCAARAELDPVWTLSAPWASPAERGAAYWLAGWRPTTLVHLLYTESGRRFEAQLPDLLLGVRSGNLGDLTPAQLAQIDDLQRRTVADEDALSREMAHVQEGRGVVVAAPTPGNEQLDVAGLVARVGAVLNGADALRLRTVKRAVEILEPAQAAELLVAAADMEIGFREFGLKHGSGRDD >Et_10B_003340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1937000:1937415:1 gene:Et_10B_003340 transcript:Et_10B_003340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIISIAILGCLSVAAQCHPQAIEANGTGRIYLDNDLGNTSANNATAAANSSSSDGSKLKLIFCFIPNLCVNPPCYCCVTPDSQKCFNPEAECNKRICLLGHQTLQ >Et_10A_000439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10322132:10323098:-1 gene:Et_10A_000439 transcript:Et_10A_000439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNICVGLCFTKIRLFGSLSDGSLSRLLWFTKTRAPASLSQTTTTSRTIPVVQVQPSESTADSSSSSKPAARPRPGPGPNHTSRPHRHLRATGAALNQQIMRLAARLGSACFFRLVDSGTLGHAFSPTWCT >Et_4A_032335.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30295765:30295890:1 gene:Et_4A_032335 transcript:Et_4A_032335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANDENDWTSALFFAEVKLMHGVKYYFCSPLELTDHGMCY >Et_1B_010479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10964789:10968135:-1 gene:Et_1B_010479 transcript:Et_1B_010479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGACTVQQALTPEAASVVKQAVSLARRRGNAQVTPLHVASAMLQQSGSSGLLRAACLRSHSHPLQCKALELCFNVALNRLPTSTCFSCYCAVEHVVAEVSALACHDGVWLLGHGTYQSYMRCRAGRPSLEVLWELQTLAVPAGTNLALSLNCCAHDSAMVVNHQSMRAKCEDISRNGSASRCLSLLDAGSPSQLTAVSTFCGDCSGTRCDAVKPLPRSVAPSSATIPHWLQRCCDQELPNSKQWSATCGGGGGSLSQRTTLNLSTVVSPSSSVASLEKHYHPHQPWLLADFHDHEAKYQAWKAKSGGHVHVVDDEDVKLVREIKVKSHDSSASNNGSAEAQCLSRFKELSAENLKALCSALEKEVPWQADTVAEIASTVLRCRSGMARRRRDAGASSAKEEDTWLFFLGGDAEGKARVARELARLVFGSRGRLVSIAAAASSSLFSPARSDSAEQRNKKRPRSTAAGEDDGGGGGCLERLYEAVRDDPRRVIVVEGVEQAGRRCQLGIREAIESGLVRSHGGDEAALGDAIVVLSCESFDARSRASTPPVTKKAKPEIEEEETKEDDEGTCDPRDKGAATSASSIDLNMSVENDDVEDSCCFADAGLLEAVDRAFFFRRHVET >Et_8A_057126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21456891:21460045:1 gene:Et_8A_057126 transcript:Et_8A_057126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKMLVSASTGVMNSLLGKLATLMGEEYTKLKDVRKQVAFLHEELSSMAALLEDLADMDGLDNQTKQWRNKVREMAYDIEDCLDDFTRRVGGPHDSKGFLHRIKTLRAHHQLANQIQELKARVQEASARRMRYRLDDCMTRSGNVAVDPRMTALYAETSRLVGIDGPKEEVEDASVKELRVVSIVGFGGLGKTTLANEVYGKIGESFSCKAFVSVSQRPDMTMLLKSLAKRIWGQGADTYELCGLIDDLRKYLQNRRYVFGCGDDLWDESAWEFVKCAFPEGHNGSRVLTTTRIERVAVTCCNYQWGFVYRMKALDIHNSRRLFYRRVFGSGNACSQPFEESSDKILHKCGGLPLAIITMASLLASQSNKSVDQWNYVLNSLRSDLRSNPTLEGMRQILNLSYTHLPHNLKTCLLYIGMYPEDHDIGKDHLVTQWVAEGFVSGLDGRDAVEIASSYFNELVNRSMIIQLVEYHTWNKECIYYKVHDMVLDLIVSKSTEENFLGVVENLKAINARQQARRLSLQFSESGLGKCEPHVSLPHIRSLFIFGLSFHSLQLLELKFLRVLFIYDVDCLDLTPIGKLFQMRCLYIKQRSYKSDIRLPKKICGLQNLETLIIHGRLSLLPHDIVCLPALSCLKVSAPITYPVGISNMKSLRALHHIDLSKQSVDTLRALGELLNLRELKVSISDYNFPANETHAQLSSIEKLINCNLRRLTITAGNPLFLRKRELFGPDKLL >Et_4B_037620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21896370:21901662:1 gene:Et_4B_037620 transcript:Et_4B_037620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPLSASSSQAGVLLLPLPLLQPAVTAAGACLRYRFSKLAPAGLSSEEEVVEEAEVTKQSEEVSGGGGEEARGSGRFSADYVSLGIKEPEYEVIEVRSNGRISTKKISRRQLLKSSGLRLRDTRSVDPSLWLMNSMPSMLVREQAILLNLGSLRAIAMHERVLIFNYNSPGGKAFLETLLPRLNPRNINGGPAMPFQLEVVEAALLSRIQRLEQRLMNIEPRVAALLEVLPNRLTADVLEQLRLSKQALVELGSRAGDLKQMLIDLLDDPHEIRRICIMGRNCTLDRLSDHMECSVPLEKHIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAREMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAVGALIAGIFGMNLTSYLEAHAWAFWATTGGIVVGAVAGFFLMYSYLKARKIL >Et_2B_019481.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6822729:6822749:-1 gene:Et_2B_019481 transcript:Et_2B_019481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRST >Et_9A_062395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22423500:22426184:-1 gene:Et_9A_062395 transcript:Et_9A_062395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCAILAHKVLVKMLQRGAFGGNRGVRPVPPEKGVFPLDHLHECDLEKKDYLACLKSTGFQSEKCRQFSKKYLECRMERNLMAKQDMSELGFRNVDEVDTQPEKSGKLESGPSEPKEKA >Et_3A_024037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16497236:16523215:1 gene:Et_3A_024037 transcript:Et_3A_024037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPAHQASGAAGDDDETKTKKKPAEAAGRVPLRRLFAFADRTDAALMAVGGVAALANGMAQPLMTFIFGDVIDAFGSAASSADVLHRVVKVIMNFVYLAIGAGLVSTLQVSCWTITGERQAARIRTLYLKAILRQDIAFFDMELSTGQIVERMAGDTFLIQDAIGEKVGKSLQLLSTFVGGFITAFVRGWLLALVMLSCIPPIVLAGAIVSRLMTTLSTRIQAKYGDAGNIVEQTLGAIRTVVSFSGEKKAIAMYNKLIRKACESALQEGSVNGLGLGSVMAILFCSYGLAVWYGSRLIVERGYNGGMIISVIMAVMIGAMSLGQATPSITAFAEGQGAAYRMFKTIERQPDIDIYDTTGIILEDIKGDVELKDVCFSYPTRPEHLVFDGFSLQVPSGTTMALVGESGSGKSTVISLVERFYDPHAGEVLIDGVDIRRMNLVWIREKIGLVSQEPVLFSTTIRENIAYGKKDLTLEDIERGVELANASKFIDKLPNGLDTVVGERGTQLSGGQKQRIAIARAIVRNPRILLLDEATSALDMESERVVQEALNRVMLERTTIIVAHRLSTVKNADVISVLQHGKMVEQGSHAELMKIPEGAYSQLIHLQETGREAESYIVEPDMTVTNGFGSRSRRSTSKGSSSFGHSGRHSFPAPLVRPDPMEFSDALDTEGETTAQVSSARKKAPISRLFCLNKPEAFVLALGSITAAMHGVIFPIYGTLISTAIKVFYEPQEVLLKDSRFWASMFAVLGACAFFLIPIEYFLFGLAGGKLVERVRSMTFQSIMRQEINWFDKPEHSSGSIGARLSTDALIVKRLVGDNLALNVQTVATVISGFTIAMVANWKLAMLITVVVPLVGFQGYAQMKFLRGLNKKRKEASQVATDAVGGIRTVASFCAEKKVMETYEKICESPIRQGIREGVVSGLGFGFSFLAFYLTYALCFYVGAKFVHQGTATFPEVFRVFFVLVLATSGISRTSALGADSNKANDAAVSIFEILDRKSKIDYSSEEGMTISSVRGHIDFQNVCFKYPLRPNTVALVGESGSGKSTVIALLERFYDPDSGKILFDGVERQTLKVSWLRHQIGLVAQEPVLFNETIRTNIAYGKQEEASEEEIIAAAEAANAHQFISALPDGYNTIVGERGIQLSGGQKQRVAIARAIIKDPKVLLLDEATSALDAESERVVQEALDQVMVGRTTVVVAHRLSTIKGADIIVVLKNGSVVEKGRHEELMRIKDGTYAALVELSSSSISKRTPGFTICLPVKIIMYNLGAMGGKAQVSGGAGDRGDESAADHEKKTKKKPAEAAGRVPLRRLFAFADRTDAALMAVGGVAALANGMAQPLMTFIFGDVIDAFGSAASSADVLHRVVKVIMNFVYLAIGAGFVSTLQVSCWTITGERQAARIRTLYLKAILRQDIAFFDMELSTGQVVERMAGDTFLIQDAIGEKVGKSLQLLSTFVGGFIIAFVRGWLLALVMLSCIPPIVFAGAIVSRLMTTLSTRIQAKYADAGNLVEQTLGAIRTVVSFSGEKKAISMYNKLIRKACESSLQGGFVNGLGLGSVMAILFCSYGLAVWYGSRLIVERGYNGGMIISVIMAIMVGAMSLGQAIPSITAFAEGQGAAYRMFKTIERQPDIDIYDTTGIILEDIKGDVELKDVCFSYPTRPEHLVFDGFSLRVSSGTTMALVGESGSGKSTVISLVERFYDPHAGEVLIDGVDIRRMNLGWIREKIGLVSQEPVLFSTTIRDNIAYGMKDLTLEEIKRAVELANASKFIDKLPKGLDTEVGERGTQLSGGQKQRIAIARAIVRNPRILLLDEATSALDMESERAVQEALNRVMLERTTIIIAHRLSTVKNADVISILQHGKMVEQGSHVELMKIPEGVYSQLIHLQETGQEAESYTVDPDMLVTNSFGTKSRRSTSKVSSFGNSGRHSFPAQLVLPDPEEFSDALDTEGETTPKAISARKKAPISRLFYLNKPETFVLALGAITAAMHGVIFPIYGTLISTAIKVFYEPPEVLLKDSRFWASMFAVLGASAIVLIPIEFFLFGLAGGKLVERVRSRTFQSIMRQEINWFDKPEHSSGSIGARLSTDALIVKGLVGDNLALNVQTVSTVISGFTIAMVANWKLAMLITVVVPLVGFQSYAQMMFLKGLNKNAKLKYEEASQVATDAVGGIRTVASFCAEKKVIETYEKICESPIRQGIREGVVSGLGFGFSFLAFYLTYALCFYVGAKFVHQGTATFPEVFRVFFVLVLATTGISRTSALGADSNKANDAAVSIFEILDRRSKIDYSSEEGMTISSVRGHIDFQNVCFKYPLRPTVQIFKDLSMSIPSGKTVALVGESGSGKSTVITLLERFYDPDSGKILFDGVELQTLKVSWLRHQIGLVAQEPVLFNETIRTNIAYGKQEEASEEEIIAAAEAANAHQFISALPDGYNTIVGERGIQLSGGQKQRVAIARAIIKDPKVLLLDEATSALDAESERVVQEALDQVMVGRTTVVVAHRLLTIRGADIIVVLKNGSVVEKGRHEELMRIKDGTYAVLVELSSSSA >Et_2B_022351.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18933308:18933688:1 gene:Et_2B_022351 transcript:Et_2B_022351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRVSVRGRAAPAGRRRRIAVAQLGDSGSYPRRGRLFALGRFILRRLRLRALLLRSKRALARLRDYYADMMTSLIAEAAAAEPAKAPGKIDAASGETTVPAVGAVVVPAAVTGSVLRCNSHYYIR >Et_1A_007065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30545689:30549136:1 gene:Et_1A_007065 transcript:Et_1A_007065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPADAETSLDAPGLPKAVSRHRRRLAAVLALVILLFLAAEIALPSSFRPLFLFAPPAPPRHGGASSSSSSSPPPTPPRQVVDPSTAAPQRVAVCLVGGARRFELTGPSIARHVLGELPAGGATDVFLHCPLDADAHKLSVLARAAPPGIALAAVRVFRPRRIRETPERVRALTALNSPRGVQPPPTRGRVARAAAPLPRGRALLHPFLGAPPRHFFLFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFLLLLLLLAAEGGGVPGGRRPALTGPSIARHVLARLPAGATDVFLHSPLDADAYRLSVLARAAPPGASLAAVRVFRPLPIRETPGRLLALTGEHSPKGIQSHMQGLLQYFHLVEGCLDMIREREARGNFTYSWVLRTRVDGFWTGPLDPAGAFHPTAYVVPEGSRFGGLNDRLGAGGRAASEAALPRLSSLPRLAALGHRGLNSESAFRAQLRAAGVAARELRFPFCVLSDRTYAFPPWSASAVPVASLGSPGPLSGAKCRPCRRPACRGACVARYAGRLRRAWSWTEWRGGAVELCDASGPWERGWEAAFDAVAGAEAAAVRRGVARMGAEECVEEMEKLVARAERWDAPSPAEICRLRFGSARSPAASRVDTSPSDGNATISAERTRVL >Et_4A_033096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18478171:18478703:1 gene:Et_4A_033096 transcript:Et_4A_033096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWATTPCDWICRACPESYGRIASAGPGWHRVCRDTCRVVGYDIPEGTKVLINAWAIARDDKYVLEGSGGVPATESQSGSRRATSTSKAPTSKAAESTRESSGARWEKVTGCGRK >Et_9A_062929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6951591:6956694:1 gene:Et_9A_062929 transcript:Et_9A_062929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDGPPPPHRRPPRPRGGDAAAQGFVAALRRRAAAVARANFAADSGDESGPGEPSSSSSASSRRRDTGGDAPPVSSGGEAGPGRGGEFAAFTFRAAAPVHRKAKESPLSSDAIFKQSHAGLFNLCIVVLVAVNSRLIIENLMKYGLLIRAGFWFNDKSLRDWPLLILSLPAFPLGALAVEKLAFNKIITDSVATCLHIILTISEIVYPVLVILKCDSAVLSGFLLMFIACIVCLKLVSFAHTNHDIRKLVVSGKKVDDELSAADKDNLQPPTLGSLIYFMMAPTLCYQPSYPRTQHIRKGWLVRQIILYLIFTGVQGFIIEQYINPIVVNSQHPLKGGLLDAVETVLKLSLPNVYLWLCMFYCFFHLWLNILAEILRFGDREFYKDWWNAKTIDEPVHKWIVRHVYFPCMRNGISKEVAVFISFFISAVLHEICVAVPCRILKFWAFLGIMLQIPLIILTSYLKNKFRDTMVGNMIFWFFFCIYGQPMCVLLYYHDVMNRIEKSR >Et_4B_037919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24868226:24869098:1 gene:Et_4B_037919 transcript:Et_4B_037919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRPPPPKGPTEWDAEAGAASARPLYPMMLENPQLRWAFVRKVYTILSIQMLLTVVVAAIVVYVRPVALFFVSTPGGFGLYIFIIILPFIVLCPLYYYYMHHPVNLLLLGLFTVAISFAVGLTCAFTKGEVILESAILTSVVVVSLTAYTFWAARRGHDFSFLGPFLFAAVMILVVFALIQLFFPLGRVSMMIYGGLAALVFCGYIVYDTDNLIKRYSYDEYVWAAVALYLDVINLFLSLLTLFRAADS >Et_1B_013678.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11951286:11951636:1 gene:Et_1B_013678 transcript:Et_1B_013678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSQLRPPEKGGGLFWFWTMRRAGSGLPVPDPPQLRHGILPEPAQVRQPASPSDQRLHMHSTRPEPPHVAQRGRASHLDAAGSPSPPPRDRFAIATPASMPRPADAITTGATIFV >Et_4B_038398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28774689:28779904:-1 gene:Et_4B_038398 transcript:Et_4B_038398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPVKGERSPPPPPPPGRCHHWLPNKRRHCANSPLPSSHTVLEENLEAHVSKCPLKKQAAALAAQPYYSKGVNSGGGEAGRGVTSAEKRAIVYRLTEEGFRGLLEKIRSVHEAAAVAMRESYLITDACDKWMSGQVDRRVPYQEKHVAQQASIVGNMEAFGLLRRGDAEAVDGEDAMVGAQAVVEFGAGRGYLTQVLVDCYGIRNVFLVERRAYKLKADRSLRQNEAVTLKRLRIDNKYFLSRLGITEDEFHAMTWLSSWAVDGDHSSQDSSVDVEDTSCEIREPEKPDTEAVGIERIIRTMPAGERASLGFKCKDIIDTGRLLWLRQKGLVADLVSYVPSNISPENRLLIAKCKS >Et_5A_042736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3220181:3222930:-1 gene:Et_5A_042736 transcript:Et_5A_042736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANDGGDRAAAGILRLLLGMAAPTAFARGGGGVLLVQQIIIDGDGDMFSGGIGEGVPPASKAAIAALKEVKAGEDGQDGAGSLGECAICLDGVEGAAKEMPCGHRFHGECLERWLGVHGNCPVCRHELPPAKEEDAAAEGRGEARRPRSATVVSYVVQLGGEREQPPQEREEPWTIRIEDVYKYLC >Et_6A_047499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6594036:6622530:-1 gene:Et_6A_047499 transcript:Et_6A_047499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGFSKAFHTLICTPRLVSLRLDDHWCRIPCFENIPPLHDAFVRVTCECVDCCIDDDSGYCPVENYFFCDSIKHDNSKCLNLDVLSESENLSWIVESKTGNEGVALPGAGAVHIDALPDDVLHHILGFVDVREAVRTCVLGRRWRHLWKSTTALRMMRTNLSGVPKFMEHLLLLRGCSPFDACYFRFLDFEDDDVPHVNLWVRHALLCKVGELVLFSFYRRFPLDDLPLVSQHLRSLTLFSVILENSLCDFSCCPSLEHVTIWECNVPCAKKISFKSVKCLTIRGCRFNHNQGFHTRIYAPNVVSLTLDDVGFQDKEPCRTPVLDRMPKLQEAFVRLTQKNADCCSHADESGNCGHVDCDSCYGIEHGNSCLLLEGLSEAENLNLVAESNTFVFKRDLKQCPTFGKLKTLFLNDYWCVAPDFLALTCILKHSPILEKLYLQLFSKGSKYKFRIKGSRNPMELSAATKDLKTLKRLEIKCDAIDERSSKSMADKTRANDAAWSDQEDRISALPDGVLEHILGFLPAHQAVQTSVLAPRWRHLWKSTRRLHITSPGRRWELHNADDMSNFVSPLLLLRGHITLDEVVNVHFPDINNTKLLGDPPLVSRHLRRMELEGVDLDGKFLNFASCPSLEVLEMTNSEINLGTILSQSVNHLRIKSCTFGSHERTRISVPSLIMLHLINFMGTTPLLEAMPSLEIACVKPDDYVKDYCSGGDSDGCCGICANCCGDDDHKGRCVLLGALANATNLELSASPDMRFVTLPFSRSNCFLIRIYIKNSYSVLQFAQFIFARDLRWCPMFCNLKTLLLNEWCVASGLHLIFLLEHSPVLEILTLQLSKGRKWTKQPEGRTYKLPTISGRLNIIEIKCAKVDSRVYKILHFLSKIVMQSLLSKKKEKENLQPEAPLHG >Et_3B_030756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:762817:768962:-1 gene:Et_3B_030756 transcript:Et_3B_030756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMVDRATSDHLIGPDWAKNMEICDICNRDPGQSKDVVKALKKRISHKNPKVQLLALTLLETVIKNCGDILHMHVAERDVLHEMVKIVKKKSDQRVKEKVLVLIDTWQEALGGPRARYPQYYAAYHELVRAGAEFPKRAERPAPLFNGQAQAAKNMRSPDQQDEAESSAANDFPALSVSEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVELVDQCRTYKQRVVQLVNSTSDEELMSLGLALNDDLQRVLAKHDAIAAGIAVRVEKKPKSLQALVDTEDSATANQETKKEEALVDIEDPTGQDSKQEANQSTSDQSPFEQLALPAPPVPNGTATSAPKADSGIDLLSWDDTPTTAENPLALVPVTDPLADSTSSNHNALAIVDMFSQNNTTHGNAAPADLFGLNSSSVMPGSQPYNTPVQQPLQSQQPQQAAPYANGTAVNHGASYDHASQFSNTSSGWNGQVANPMAPAPQQAVNYDDQSGNLPPPPWEAQSAPSNEVPNGHLGGMQSLAASSGQMGGMQPPQPQVNHMGVPQTQPMYNNQPGPNSMAGMPYAGMPPPQMLGAQQAVMMYPQQMPGAQYGAMPQQQQMYAGRLAGYMQHPAVAAAHYYNQGTTTYGGFSGTNDLSQKMYGLSMQDSSYMGMNSSYQQNQTAPSPSPSMGQPIKPTKPEDKLFGDLLSIAKKR >Et_8A_057925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9433279:9438976:1 gene:Et_8A_057925 transcript:Et_8A_057925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSGGALAWQQYRSLLRKNFTLTWRHRRSAALQLFSSLIFIFLIFCIDRAVRSRFSYTTAYRNVPDPKALVAPPIPPCEDKFFIKSPCYDFLWSDGGSATVKNIVDAIRRNNPGRQIPPEKVLGFTTPEEVDAWLFNNPMRCPGALHFQVINATQIKYGIQTNSTPVARRGTYEDPTFKFQIPLQVAAEREMARLLIGDPNFSWTVGFKEFAHPATETFSTIAQAGPTFFLAIAMFGFVFQISALVTEKELKLRQAMSMMGLYESAYWLSWFTWEAFLTLLSALFTVLFGMMFQFDFFLNNNFGILFLLFFLFQLNMLSFAFMISTFIAKAASATTVGFAIFIIGFLTQLVTTFGFPYSSSYEKYYRIIWSFFPPNVFAKALNILGRATATPEDKGISWNQRGACPSFETDCVITIDDIYKWLISTFFLWFVLAIYLDNIIPNVNGVRKSVFYFLMPSYWTGKGGKMQEGGLFSFFGSSRPSDDAALTDEDVLAEENLVKEQGANNEVDPGVAVQIRGLRKTYPGSFSMGCCKCRTTKPFHSVKGLWVNLEKDQLFCLLGPNGAGKTTTISCLTGITPITGGDALIYGHSVRSTAGMSNIRRLIGVCPQFDILWDALTAKEHLELFASIKGLPPATIKSVAEQSLAQVKLTQAANVRSVSYSGGMKRRLSVAIALIGDPKLVFLDEPTTGMDPITRRHVWDIIEEAKKGRAIVLTTHSMEEADILSDRIAIMAKGKLRCIGTSIRLKSKFGTGYIANVNFSGNGHTQSPNINGNTEAPVNPNIEAVKYFFKERLNVDPKEESRTFLTFVIPHQKEHLLTRFFGELQDREGEFGISDIQLGLTTLEEVFLNIAKQAELESSTAEGTLVTLNLSSGASIQIPKGARFVGIPGTETEEHPRGVMVEVYWDQDDSGSLCISGHSDEIPVPANAELRRPPSLSRRASTRRSGPVGYIIDPSQVQ >Et_2A_015310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10923466:10923951:1 gene:Et_2A_015310 transcript:Et_2A_015310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTREQLRTCLRNFVVKLLYMPIEFITHLGSAKLTHNIVRKTWKKPSTGVLEINIDGSFDHNSHRTDEALLSVIMMVTSWELVLDKYKRQAILCRDKYRHAYKLCTSLAIPARFWRHVTLAINLKYEVLVIPFCNKITLQNCCKDI >Et_3B_027493.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21978770:21980318:1 gene:Et_3B_027493 transcript:Et_3B_027493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LCLPACPLQCCARNERKTLRRRRPSLPAVSPLAARRLALLDSAAASSAAGLRRRRPCPRFRLASVASPRRTTAAATTMAATADPRAKPPPTAAPHHLKPWAPPPPRAHRAPSLPDVSGGGARDRRRSSSSSHRRGGAAEEEEPRDGRLEDLRAKLMGHLRDAADRLRVPSPEPQSPEPEPPAALVPLPTPPQEEAPAARPWNLRDRKRRPSARAAAAAAASSPTSSAWEPSAAEKAPSDDRAPFAVALTPEEVEEDVYALTGARPRRRPRKRPRVVQRQLDVRPIPHTALLKKY >Et_5A_042623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22588091:22592779:-1 gene:Et_5A_042623 transcript:Et_5A_042623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQPFMKTLPLWEHIEGTEIFKNVPQRPHFHPFKKLGPELCESMALGLMVFFDKTAENIRSLNIHDDDKLFKEKMKGLCLLEEHGFDVRLLRSRLETLLNMKNRHFELQDTLKKMEEKITLRETDDQKLDAQIGMLYRAIRQIEQQANILRCVLKYSVSQQKTDALEVSRLKTEACDLEQSYLFAEKQFNSVVAAPCSQTLTGNFARLFDSTKRTLLEPL >Et_3B_028887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20012524:20015404:-1 gene:Et_3B_028887 transcript:Et_3B_028887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVYSSSVILLVLFIAAWKTTLVASYAQQTQPAQPAEPELDYGRRYDEIGKTTEKKSVPAAPSYDVSKESGAKGEQANKAAADAAVVLRAKEEIFKRTAAHIQNVIFSAQTTIQLKVHLLIKSAEFLSGVCGEVSSHLSKVAIVHAQSGAADVAAALKIQQDVLKEMAARVRVVTSDRATKIKVKQDMMKAVAQEFVRVAGEVAGSISKMAQVAAAGGISIEGSLHIRAGGGAQVAGGGGAQVAGGGGAQIAGGGGAQVAGGGGAQIAGGAGAQVAAGGGAQVDAKASKSGGADASASGGGKTGAEVKSNAGVYAGGKTDAGANAGVNAGAGVSGGAKVAGGAGVGGNVAGGGSGNAGAGVSGGAKINAGIGGNVAGSGNVNAGAGGNAGADTYGGGGAKGNVGGGGNVNAGAGAGVGGNVNAGAGAGVGGNAGVGVGAGISGGALVGGGIGGSGSANAGVGGNAGIGAGVGIAKTASVGGGIGGNVGGSGNANAGVGVSGGANVGGAIGGNVGGNGGVGVGAGISKSATIGGGIGGNVGGSGNANAGVGAGVGVSGGANVGGGIGGNVGGSGNANAGVGANADVGAGVGVSGGANVGGGIGGNVGGSGNANAGIGVLGGANVGRAIGGNVGGNGAVGVGAGISKSATVGGGIGRNVGGSGNANAGVGANAGVGVSGGATVGGAIGGNVGSNCGVGAGGSGNANAGVGVGAGVGVSGGAKVGGGIGGSVGGNGNAYTGRGAGVTGAGSGSGNANVGGSGGANAGAGVGVSKSFNAGITGGVVGNANVDGNVGGNANVGGNTGVEAGGSKSSGGDFGYGSSQKEL >Et_6A_046971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23448322:23449487:1 gene:Et_6A_046971 transcript:Et_6A_046971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSGVFLCALVAVQAAVLLAAVSSVEAGELEVGYYAKKCTSVEKIVKWHVVKALTAHRRTGAALVRLLFHDCFVRGCDGSVLLDKSSENPHPEKEAPVNIGLAAFDLLEEIKAAVECHCPGVVSCADILIFAARDAASFLSDGHVHFEAPAGRLDGFVSKADEAQAELPDSTDDVDKLLANFARKNFTNEELVILTGAHSIGQGHCSSFRDRLNDPPSKIDPKYRNLLRFKCGFGNPTVDNNVRDEGEAVVAKFMPDFVGQLRKTHDFLDHTFYNNTLDNIVNFHSDAELLNQNETLFHVHEYADNGTLWDEDFSDALVKLSKLPMPAGSKGEIRKKCNVINYSLHASS >Et_1A_006897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28826715:28830321:-1 gene:Et_1A_006897 transcript:Et_1A_006897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRTQRRHTIDQSDQQGAVSTSSSAGEEREMAGSVDLPEVDLASSNVRAAAASVRQVNSEQVIILATLVRQACVEHGFFYVYNHGVDGSLLEAAFAQSRKFFKQPMEEKIVSRRDSNHRGYAPPYAEKVDAASEFEGCFLSASKPYFCGAVLRKLLQQGKSYK >Et_5B_044141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20730206:20734467:1 gene:Et_5B_044141 transcript:Et_5B_044141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRRQLLLLLAAAAVLAAALVDAQPLASRTDVAGMYSLRASLGLRARDWPLKSDPCAAWTGVACRAGRVVSVTVAGLRRTRQGARAPRLALDGLRNLTALERFNASGFALPGEIPAWFGRGLPPPLAVLDLTSASVNGTLPADLGASGNLSTLLLAGNGLFGPVPAPLLSVQGIRFLDLSRNNFTGELPNASAVAAGDVGAASFNVSGNSLYGVAGDAIRVLRRRFQVVDVSSNYLDGAWNGSDGTVLVTTNCFYGVPGQRSRADCEEFYRKQGVGLVDAPAPSPLPSPQPSPLPLPQPLPEKGKKQGISKPLLIGVVIAAGALMVVFLVALLFCFVGKRRRGRRTGRGVEPNEEGTRSGRRRDSSVNPVASSPSAMSPRANAGPKDVSSVSGELTFEQLVHATGGFGDDNLLKHGHSGNIYHGVLENGSHVIVKKVGAKSVNKHASELDFYKRYTHERIVPLIGHFSKDDEEFLAYKYMPKGDLTNALHKKPVDTADGLPSLDWITRLKIATGVAEAMCFLHDECSPPLVHRDIQASSVLLDDKFEVRLGSMSDICAHQSGGSQNVFTRILRSSKSLDKHTSGPPATCSYDVHCFGKVLLELVTGNFGISGPTAAATEESEWLTNTLNHINTGDKAAITDIIDPLLVVDEDHLEEVWAVAIIAKTCLNAKPSRRPSARYVLRALENPLRVVRASSSSASARQLRSSSSRSSWQSVFQGNGGGGRVQSLDVASSGRALDRRHSARSYGSGGGGGASFSFRRAAHMPEIVPEPAAGREEEDDGVV >Et_4B_038307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2856443:2858858:-1 gene:Et_4B_038307 transcript:Et_4B_038307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEARATPGDCSRQFWPVLRHALSECCLIIMLFVTALVSYSATRLARICRLRSPCILCSRLDRLLHGKAWFSEELVCAAHRLEIARLSYCQIHQKLPRSGDLCERCLRSCIGKPGNLKNISIDEKINARSRSRHKQRCSCCSLPFEKTSNAHRLSEIANGRLPDDDLSKEKERRIAMTSVGHSSDDGSDHLPCEGYSKLKLRHDSESEIHISDDDDYDGNSKIHEARDRARDISSHDVQMPPLVSKNNGLSMLPSDNTVMKRSVKSMNTAKSGDRQSSDNKVGNVAKSSDRSIGHGLDEINWSEVNASDDMQWKAMPEKVCTELPKEKTFVVGVEEAGDTLEGVSGISDDQAIKGFAASANAGTGSSADAHVNSNNSMKNASGSRGYPKSPRLSEIISARDTNSKTNEEVKTFLSQLSSARGFDGPWNDTVTSPRISAQIDEFRQYDSTGMASFLERNNSNLEPFDATSISEDEGESSLERLKKQAEHNKKKMSILYKELEAERSASAVAASEAMAMINRLQEEKAAMHMEALQYLRMMEEQADHDQEAIERLNDLLTEREKEVLDLEAELVSRSRFHDEPFDIGKFGATDGSMDFGALDGSDFIRDTMSDFEDEKAKILESLYRLEETLGMSSTNRLDWGDTNDNILNGPLRDDPRRGTQYIEYPELGPSLLPLEQFNGESVSSKENDENQSVENQKSSDACSHLDDEKHPSMTNVKHEVSLLNTRLKALEADQNFLRQIISSLTCSSDGVQCVQEVTSHLRELRRIISEQRHMAVS >Et_3A_025482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30119153:30127056:-1 gene:Et_3A_025482 transcript:Et_3A_025482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRPPPVGGPGGGGGAAAPAVGAPAGVSMRMFHGDVFLGEVEVFPMKKGPDGGLPFPSNEIRISHLSPPSERCPPLAILQTIAPFSARCKLQAKPMPPHPSLQRLHLACFNEYKELHIVAMPSRAEKVPCFWCCSARAGLYAASVAMLNRRCLAIVFDLDETLIVANTMKSFEDRIEMLSRRMETEEDPVRMTGMAAEIKRYIEDKDLLKEFIDTDTVTDKGRIVGTQKEEVPPVSSGQERVLRPVIRLPDRNAILTRINPEIRDTSVFVKLRPAWEELKSYLTAKEGRKRFEVFVCTMAERDYALEMWRLLDPEGSLINLQQLSERFKKSLLNVFRDGGCHPKMAMVIDDRLQVWDDKDQARVHVVPAYNPYYAPQAEMANAVPVLCVARNVACNSRVGFFREFDENLLKKVFELWYENELLDLPYAPDVGDYLVCEDTNLVASNKDHAPIPEGMRGAEVEKRLNGQPYLGIQREGGRQVSASTRASDDEGMASRGTGGVRNIQSNGRSLATTPSIFVTVLQEIARLCDTKVDYRSTVSNGKTMQFSVEVLFGNEKIGIGVGKSRDEAQVQAAEKVLQNLQSSYLEFIAPISGTPNKDTKNSPGSGNGFLEDTSSDVDVVMQEGSGSTLKQDPPNNLDKLSSVMSLIREHCLEDQLVVFRDQVQNSSSTRNEEYHFQVELAGQILGRGVGSDRDIAKLLAAEEALRTLKSTTDPQIKKYLRPV >Et_9B_066029.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:2116670:2117356:-1 gene:Et_9B_066029 transcript:Et_9B_066029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGKVQLQWIADRRARQKTFLKRRRTLLARARELSTKCDVRVAMVVYGGPGHEADPPATWPPAPETTAILQRYADSPDASKEEVELEDFLRERVEKLREKVEKMKLANHVREVNIVVDELLLGRRRNLDGLPDEIVADVKAEVERRMWDLSNLMKLPPQVVEPQAAVSGAAADAPPIDIDDWEPMNGSFFLEMVAAIMDDGSGRDFVPTAEDVERVLKEHGLFNNM >Et_2B_019922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15235129:15237636:1 gene:Et_2B_019922 transcript:Et_2B_019922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVLVLVQLIEVAVIHLLIRYAFCWCRSCWTRTDFSCARSPTTCTRPTQEAEAELTQGVTGTWIQRACTTTRRTHRGTSWDQEALLPQAWREEVELQQALRHAVQGIIFYEPFLFSDAEVIVLSPQTSLALSRFMCELFNMGFQQEQNPTNPPARATFPNRCKVYLCPEPPCMRSTTAASTVRRSGSATTPCRAVRPKVHSKSCGTCEYRCDCGTLLSRSVLFSSIKFREYLYHSCP >Et_2B_022078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8902877:8903246:1 gene:Et_2B_022078 transcript:Et_2B_022078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPQDYWVHVDGRSDVGSADAEGAAEEGEHGVRIGAGAEVGDLLGCMKRLRRGEAASGYEVFLDGEGTRRRSGGRGGGGGGAEEAVEGDSGRVEQGGEAGLGSEDQREGARSEAVERH >Et_6B_048913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15486567:15489633:1 gene:Et_6B_048913 transcript:Et_6B_048913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDQVVVDVDGLASAKGDGAAAKPSEAVSSHVAVAAAPSAAVDLDEGRGGEEEPLIHAADMLTELAYNAGAMRKETLHEYKPGYTAPPRVQSDETVIDIGDELIMNLRDPRILAVTAAQRRLLEAEYDGYAGSDAGGAAFCRSTALILMALLLLRHALSISDSEGNDDDASTMFSLFLLRAAGFLLPCYIMAWIFSILHRRRQRQEEAALAAAEVAFILESARGRALQFAIAPDSPATPQHEPSPQ >Et_1B_012361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31126426:31127865:-1 gene:Et_1B_012361 transcript:Et_1B_012361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSFAAAIGAAVALIADDDEDKEATAPVQQPEEDYAEDSEDKSDVDEPPLPFQKPTDRQWVELTLRDFDHDMRFTFVGAGMSGTCHDMAVLTECQRYDNFPEPPPGDAELDHRWSLRCAHLSLALVMKEPSMDEAPDVGRPNALHFG >Et_1B_014375.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:768741:769229:-1 gene:Et_1B_014375 transcript:Et_1B_014375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFSAACSTRLHAAPAPYCRPRRVTTACASAARFDRRSAVLLLLSAAGAAAPVESPANAASIGLFGIRKKLERAEAAAAEAVRDVEEAAVEAAEVGGEAVKEAVEAAEKEASDVAGEGLQLVAGAELAGDGLVQAAVVAGAEALGVVVGLSVVNGILKPEA >Et_9B_065956.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18689019:18689582:-1 gene:Et_9B_065956 transcript:Et_9B_065956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GTDNTFERGYGSPVAHRGVLYWLCLHPKAATRKMLAYGTASETFRLMSRPPCDGLAALLELDGELCAAAAVRRGTMLHIWVMEDYEAGSWALRHQVVLPPSTKYFGTGDVGVSMAVSAGDGANILIRHPRLPPVVKWCDLRAKRMRREVEFLRLTPTMLLFSESLVPHAFFQSPCCSDLVPRLKFSD >Et_3B_027471.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20336796:20337488:1 gene:Et_3B_027471 transcript:Et_3B_027471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EGGQLHPIGALAVVHRQRAVDELLAVAVDALVHLEQLRLAGHAPVALQVHGEPRGLGDGVPDAAEEVGLAVGRDDPGAGEDGREVVARLEGQRGLEVVVLAQARAGAVLAPLVAPEVHAAAAVLARQRRPVPVQRLEQVRDLQEAQRVEVVAVLRPALLLRRDDVEGVDGPARGVVGPQPVDAEIRRRLPGAWEAAALLRVAERAGPLHAYRSRDVRRDQMSLKGKEINR >Et_10B_004077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11130907:11132037:1 gene:Et_10B_004077 transcript:Et_10B_004077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYKGNRHNYIAVSATKDRLTFSQGQGPSKYPTQPQNKQMAPKRGPSSSFKKKYLILLATVTYAIGLNQSGGVWQDTQNGHYASDPILWDTHYIRYTIFHYCNAAAFMASLVVCRLLVLDENGMICATVLRLVMVLDLLGLISSVRCAGLHFFR >Et_3B_027879.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24634901:24635140:-1 gene:Et_3B_027879 transcript:Et_3B_027879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIGMRHVLFIALLLSAVLRSDSARDLRQRAEATVPAGRPATAEMTVPDASQSAGGVVGAAAHESKRLSPGGPDPQHH >Et_6A_047361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4435603:4442243:1 gene:Et_6A_047361 transcript:Et_6A_047361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASGGGGGAAGGLKTYFKTPEGRHKLQYEKTHSPAVVHYSHSGKTVSQMTVAYLKEKPAGQGSTPSTPSAGSGMRSAAARLLGTGNGSRALSFGSNGASRAVSGSSRMGGGIGTSTSASGSQGVANYDGKGTYIIFNTADTLFISDLNSHDKDPIKSIHFSSSNPICHAFDSEAKDGHDLLVGVFSGDEREGIFVVSHADGNLYVYDKSKDGNTDWTFPTVKDQSQLLISHAKSSKGNPIARWHICQGAINAISFSPDGAYLATVGRDGYLRVFDFAKEQLIFGGKSYYGALLCCSWRLAQFPLIRTGPLRIPKKQEKVSCIDLVLLVRVHIDPLSGLEFTSESILTICREGLVKIWARPSNSENNQHTDSSEQVVGNAASKDKVVTSSNKAGTTSSSFKQPSSAVFT >Et_6A_047098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25234936:25239748:1 gene:Et_6A_047098 transcript:Et_6A_047098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKRVVSAAGTAALVYLVLSGRLSGEGAVSEADWARRRRRRGEEEAKKEVARWPERAPESWREAAAVAARTAGFVYAETLGKWPLGDIAFGINHYMRIQGNLQHEFSGRNCVPLEGPGVRQELIGLLRYLRLCMFFSKKPYEVFLEFGGYDQSDILLRNSKPGVMKPSFTIVRDESTKCFLLFIRGAISTKERITAATAAEVPFHHSMLQDGRKSNLVAGHVHCGMVAAARWIADQAIPCLSKAVEQFPDYRIKIIGHSMGASIAAILTCMLRENKELSSSSCIAFGPAACMTWNLAESCKEFVTTVVNKTDVVPSFGKASAANLRTEVMASSWASDLQEQIQRTRILGFVNRSMSFMQSHIPFVSDPRSKVADADMLLPRTPMDELKLSADMCAAVNKYSALSCWSFVEVDRQTLDPFLNPTQGTAALRFTYVGADGKSEKLDAGESHLCFTEELDHNRSDPEDPDQEGKATDQEHMDRLLRLLRLSPTTSQEPHQLYPPGRIMHMVALPAPEKPSTSEQDLQNEAIAIYETPRSMYSKLRLAKSMIRDHYMPRYIETMEMLIQKLAEGGNNDTDDQVACSSNHPLLCLVIALARLGAATLTAATPFAGGRQSAGGRHRHLLELSGAAITAEDGCEFIS >Et_6B_049834.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:14421426:14421647:-1 gene:Et_6B_049834 transcript:Et_6B_049834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSVFLMLACAAALASSGATVRVELKRVHSDPGATASELMHRDMSWSGRKLTSLDDTLTAPTRKDLPSGGS >Et_6B_048570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1105938:1109681:1 gene:Et_6B_048570 transcript:Et_6B_048570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPRRCGGRRPERPAVVGDNSNGYIETDPTGRYGRFDELLGKGATKSVYRGFDEERGVEVAWNQASLSEVLRTPDAVERMYSEVQLLATLRHDAIIGFHASWVDVRRRSFNFITELFSSGTLRSYRLRYPRVGLRAVRSWARQILQGLAHLHAHNVIHRDLKCDNILVHGHTGQVKIGDLGLAAVLREAAHSVIGTPEFMAPEMYDEEYDQLVDVYSFGMCMLEMLTLEFPYAECSNPAQIYKKVTAGKLPDAFYRLKDDEARRFIGRCLVVASKRPSAAELLLDPFLLQDDHHATLPSPRPLPADVSDTTTTTTTTETSSSSLTDDHQEPAARSDNMTITGKLNAEEDTIFLKVQIADDETGHVRNIYFPFDLASDTATEVAREMVKELDITDRDASEIADMIEQEIDRLLLPGSREQHEYSYANDENEEQVPPPFYYLSSSPTSSQGSSRCGVGPWSSSAGFPGQHGGGWSKDHCYYSLSDDDDMSSMHSGKYSALHYDTSGHEEEAQAPEQEQQVGAGPTSSGSSKAKNTRFGPGADTAAHLQLQRQCSIMSPHERRRGRLSRNRSMVDMRSQLLHRTLVEELNRRLFFNTVAAVENIGFRAPPGYGSSSSSSSTTRGRGRHDDHRRRSTRDDKDRYYML >Et_2B_021131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26788604:26790215:1 gene:Et_2B_021131 transcript:Et_2B_021131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAAPSQEPLEVSSDDSLSSDSDDEVVNAFGLPNNSKKTSASEGALIRKAEMYQQYMKLIPVPPYQASVIPFTSWMGLGRSMKQLYKQPLHYLTNVLLKRWDQQRIGSDDAHRHLDAIIHPVKAETLIWATEEVHRLTTSGHHLAKLWSTDPMYHANIDPVFPSIKSE >Et_1A_006560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24498482:24499518:1 gene:Et_1A_006560 transcript:Et_1A_006560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPCDGLVTDRVGVANDVVADRVGSVGWALYLPSDKAAKVMIDEYPATKVNSAVLAAVAAITACGGRPGWIPDDLNTSTTTSGSWRLSAWSTWCTSCPAP >Et_2B_020933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24948436:24950636:-1 gene:Et_2B_020933 transcript:Et_2B_020933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNDLLLVVLAAALAAMWWRRCSKTGGVDGLPPGPPGWPVVGNLFQVILQRRPFMYVVRDLREKYGPIFTMRMGQRTLIVVTSADLIHEALVKQGPMFASRPEDSPTRLLFSVGKCTVNSAPYGPLWRALRRNFVAEIVSPHRVKGFSWIREWAVNAHLRRLRAEHAATGAVRMMANCRLTICSILICICFGAKIPDDLIREIEEVLKDVMMMTMPKLPDFLPLLTPLFKKQLTEARDLRRRQLDCLVPLVRARREFLRDGTKKMAGGIEMMSGPGEAYVDSLFDLEPPGRGKRLGEEELVTLCSEVMSAGTDTSATALEWAMMHLVLDPAVQERLYDEVVGKVGKTARITEADVEAMPYLQAVVKETFRRHPPSHFVLSHAATRDTELGGYRVPADASVEFYTAWVTENPETWPDPDVWRPERFLEGGEGFDTDLTGTRALRMMPFGAGRRICPAATLGVLHIQLMLANMVREFRWVPPAGEGPPDPTETFAFTVVMKNSLRAAIVERATTECK >Et_3A_027085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32359277:32365833:-1 gene:Et_3A_027085 transcript:Et_3A_027085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRTIGRELAMGSQGGWGQSKEFLDLVKSIGEARSKAEEDRIIARELEHLKRRLADPDVPRRKMKELLLRLVYAEMLGHDASFGHIHAVKMTHDEALPLKRTGYLAVALFIDERHDLVILVVNTIQKDLRSDNYLVVCAALTAACRLIGEEAMPAVLPQVVELLAHPKEAVRKKAVMALHRFYQRSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLYDLILEDPNSYKDLVVSFVNILKQVAERRLPTSYDYHQMPAPFIQIKLLKILAVLGSGDKQASGHMYTVLGDIFRKGDTASNIGNAILYECICCISSIFPNPKMLEAAAETTSKFLKSDSHNLKYMGIDALGRLIKINPDIAEEHQLAVIDCLEVDSYLRIVGEPKLPSSFLQIICWVLGEYGTADGKYSASYIIGKLCDVAEAHLTDDTVKAYAISAILKTIAFEIARGRKIDLLPECQTLVDELSASHSTDLQQRAYELQALLGLDKHAVESVMPSDASCEDIEVDRNLSCLNSYVQQALEKGAAPYIPESERSGVISVGSYGSQEQNETSAHSLRFEAYELPKPSLPVATAQGSMSMPTTDLVPVTEPGYYKEDHQASRSQPSGDALSGEFGVKLRLDGVQKKWGRPTYSSSSTPSSSTSSQQASNGGSHSDGGGATSSQTRESTYSSKRQQGTEVSAEKQRLAASLFGSSAAKADRKAQASRKTAKDSPSADKVAVNNTAPHPVKEQVIPAAPPPDLLDLGEPVLSSPPSADPFSQLEGLLGSASASHVVSETPATSSSKTPDLMSIFSDDVQTGPNSGSTESTLGDASLKNSLIGATAVAAKKGPSLQDALQKDATARQVGVTPTGNNPNLFKDLLG >Et_1A_006138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18410603:18415937:-1 gene:Et_1A_006138 transcript:Et_1A_006138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARTGGAPENSGETDVEKEGRGTWRHAASHVATTIATPAAYAPLPLAVASLGWPLAWLLGHWSHGVRAWLWPHCGSGMEKSTPPTGYLQRVSLGPWGYWYVSFFQQVASMGNNIAIQIAAGSSLKAVYKHYHTADNGAMTLQHFILLFGAFELFLSQLPDIHSLRWVNAMCTASTIGFAGTSIGVTIYDGYRIDRKEVAYSLPGNTATKIFRAFNALGTIAFSFGDAMLPEIQSTVREPVKANMYKGVSAAYTIIVMSYWTLAFRGYWAFGSQVQPYILASLTAPRWVIVMANLFAVIQIIGCFQIYCRPTFAHFEEWVNVKKVICKSWMWRLMYTSTYIALITFISAAMPFFGDFVSICGAVGFIPLDFVLPALAFLKARKKPENLRLHCALKLLSSAAAVLFAIVGALACIGMSPARVDALESREPGSEADTEKQGRGTWRHAAFHVATTIATPAAYAPLPFAVASLGWPLGVSSLVIGTLVTWCSSLVVASLWQWDGEKHTSYQLLAKSFFGQTVGYTFFYCRWGYWYVSFFQQVASVGNNIALLIAAGSSLKAVYKLYHTTDDGAMTLQQFILLFSALELLLSQLPDIHSLRWLNAICTASTIGFAGTSIGVTIYDGYLTGRKEIDYSLQGNTATKIFRAFNSLGTIAFSFGNAMLPEIQSTVREPVRANMYKSVSAAYTIIVISYWSLAFSGYWAFGSQVQPYILSSLTAPRWVIVVANLFAVIQITGCFQIYCRPTFAHFEERVKLKNASKSRMWRLMYTSTYMAAITLVSAAMPFFGGLVSVCGAVSFTPLDFVLPALMVLKTRNGKITEDFGLQCVVKVLCFAAAILFSIVGALACLGAIRSIVLDIKTYRFFHDM >Et_2B_019559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10133893:10137273:1 gene:Et_2B_019559 transcript:Et_2B_019559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRILARVSKHCIAAVRRTGTVRVGVVAAAAEPFAGSCSSVRVSHMLDQHLRYSTSIFQRFGFSSSSPEHSDKEANQPKDQESSSHGSNEDTSSSGSEKASESGTEDLDLSKDNLVKLLREKDELLKSKDDEMNDMKDKVLRSYAEMENVLARTKRDSESSKKYAVQNFSKSLLDVADNLARASSVVKESFLKIDTTKDSAGAVPLLKTLLEGVDMTDKQLAEVFKKFGVEKFDPLNEKFDPNRHCAIFQIPDPSKPPGTVASVVKVGYMLHDRVLRPAEVGVTEGATTEETEQPEQKSAGD >Et_5A_040421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20988740:20989456:1 gene:Et_5A_040421 transcript:Et_5A_040421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRLVLLLAAAAVVAQLPSAAVAANYTVGDDKGWNPDVDYTAWVKKHKPFYKGDWLVFQYQTGRADVVEVGEVGYEKCDKAAALSSYSKGTSYAFQLKEAKDYYFICSYGYCYSGMKLHVTAKSSSSSGDSSSDDSSDDSSSDDSSTDEKPSKAKAKSKSSAAPPSLLAAAAITPFAAIAAAAALL >Et_2A_014830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17581986:17583113:-1 gene:Et_2A_014830 transcript:Et_2A_014830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFRKEARRCEAELRRVQLEARPLVLARLDEVVGADHAVVTIPETSHSLYVRVLSTVDRALLAPSATVLLLASSPGAVVGVLPDAAGSSAAAVESFLVTDDEDTPSVTYDDIAGCEAQKREVREAVELPLTHPELFARLGVDPPRGVLLHGPPGTGKTMLARAVARHTSASFLRVSSAELAGGRFLGSGAQMVRDVFRLARERAPCIVFIDEADAVAAARLENATTGADREMDGFDGGKKDDDGVRVIMATNRADALDPALLRPGRLDRKVEFTRPDTARERRLVFQACAAGMGLDGDVGLDELAARRDGMSAAEISAVCFEAGMRAVRGNRCVVTREDFEQGYRAVAEKLERDACHEFSFYS >Et_4A_032587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11585266:11591039:1 gene:Et_4A_032587 transcript:Et_4A_032587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRFVRLAAAASRRGPQTAGAAAARRALAPLTSRAEPAGVPHWLVPARGHGGHSHHHGEDGGEASERIFWLGLASDVALTVGKAFTGYLSGSTAIVADAAHSLSDIVLSGVALVSYKAAKAPRDKEHPYGHGKFESLGALGISSMLLVTSGGIAWHAFEVLQGVMSSSPDMIGNMMHDHHAHGSGGHHHGIDLEHPILALSMTTLAICVKEGLYWITKRAGEKEGSGLMKANAWHHRADAISSVVALVGVGGSILGLPLLDPLAGLVVSGMILKAGFQTGYESILELVDAAVDPSLLQPIKETILKVDGVKGCHRLRGRKAGTSLYLDVHIEVYPFLSVSAAHDIGETVRHQIQRAHNQVAEVFIHIDPSYSVGPKTDLERIPRNTNRRNSDAIPRQQNAEAIVSHIISSHFSKKMSLEHLMLHYLQGRVLLQVQVSMPPEILIRDAMEIAKQAEEEILRADPSISQVSVQLRLGQQIKQLQLASSQDVAHDSLAEDQ >Et_2A_016039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20454399:20455845:1 gene:Et_2A_016039 transcript:Et_2A_016039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSARGGSNSGESLRNSCNDFARTLARLPVSIMEGLSRSIPRRRSHPAQLRPPPYAAPTPPLPFVPEELLFFTIFEQRYGERHPFFYGCRFADALRAAQREGKLVFVYLHDPDHPYAETFCRRTLCSDVVVEFLDANFVSWGAVSGRGEGPGMVASLQPGSFPFCAVVAPASDESIAVLQQVEGAVSPSELVEILQRTIEEQGAAFRSSVPDEQAALAAALSRSAWAAEEEERRRSAQQLREEQDAAYLESLRKDQEKERSRKSMQEGTAKPRAGKELRPRYPGQVAREPNKTSQIRAPRAQKETSSSHRTEANTKILIRLPNGERRQQSFRHTDTIREIYRFVDSLGIPGIGSYQLVRNYPRKTYGHQQLGMTLRDAGFYPSVTLYIEQL >Et_10B_003602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3688622:3691144:-1 gene:Et_10B_003602 transcript:Et_10B_003602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCVQEYVRNPRGMKLFSCRWVPTKSDEIKGQVFLCHGYAVECAVTMRGTGERLAGAGFAVYGLDYEGHGRSEGLQGYVPDFNALVADCDAFFVSKTTATYSRFLLGESMGGTVALLLHRSRPDFWTGAVLVAPMCKISDDMKVNPALANVLRAVTSIIPTWKVVPSNDVIDFAYKTQEKRDEIRRNPYCYKGKPRLKTAYELVKASMHIEANLLHQVTMPFLIVHGGADKVTDPSVSELLYRSAASQDKTFKVYPGMWHALTSGESPDNIRTVFRDIIEWLNQRTEGQPEMNKLPDTTTSNSMKVFAMHDNGECALEKTLLLGKTIPGLSCTWLYRPVRILTRGPGFIILLLQAFGKWIVSVDLETMEVASGVDYMRPMVYPFELPWPQRLRR >Et_9A_061327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1013402:1015235:-1 gene:Et_9A_061327 transcript:Et_9A_061327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEANMSMEIKDMSGWLVVYGMRTSLKVAAIMMVGSIYKRNWHDLYRIDMSDRTETVSELKKLSTVYPCHPDQENCVRHEPSELIQVFSLSLPKTPVNSVSIQLYGYMAARDDMDGKLNYVFNRSRDDPLILQQGLEFVQSLCKLLEICISTYGLLEMTGPKRGIVMISDVLFEFDMKVRIGEKEEDDIQLIDGVILRAILMATHTVTHRISGNCGGAVDISLALVESGVEAIIEVIISEVQSAFDLSFSSFLSEWEFKESHLFNGTIGEMCVERFVVAVLMDSMMPLKFKAGQKGPDSDVVHDCPFNAKVHGCTNRQIKLEQACISVNVNWSPPFF >Et_2B_020361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19371328:19372580:1 gene:Et_2B_020361 transcript:Et_2B_020361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NGTPRQPLVVPLARATVALDPARVPVGALEGLASYSHCWILYVFHLNTDLDKMWKDPARSKLKAKVRVPRLKGGKMGVLATRSPHRPNPIGLSVAKVEAIDGHSILLSGCIRPEVTTDNGRVRVHLRHATRVEVKDVKKPG >Et_8A_058369.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:602219:602800:1 gene:Et_8A_058369 transcript:Et_8A_058369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSASSPGPRRRWSWGSALVGAAATAAAAAVVVCRPRDPSFELISISLSTFHYRPPASLDIGLTLTVHATNPNVVPVRYGASTVSILYAGAHLGTARLDAGEQPATSCRLLHLPARLDGVELAHHARDILADAARRHMELDAAVDIAGEAAVFRLWARRFSVRINSHITVDPVFLEVVEQDNRSQMQLYLT >Et_4B_037947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24994136:25003312:1 gene:Et_4B_037947 transcript:Et_4B_037947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSFPCPSIPISLLLATGTPVNIIVGSHVWLEDPDEAWVDGVVTEIKGRDATIATTNGKTVVASLGSIYPKDTEAPPAGVDDMTKLAYLHEPGVLHNLACRYGLNEIYTYTGNILIAVNPFQRLPHLYDVHMMEQYKGATFGELSPHLFAIADACYRALINDHGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDVKRFKVGDPRSFHYLNQTNCYEVANVDDAREYIETRNAMDIVGIDQEEQDAIFRVVAAILHLGNINFAKGQEIDSSKLRDDKSIFHLKTVAELLMCDEKALEDSLCQRVIVTPDGNITKPLDPDSAALSRDALAKTVYSRLFDWIVDKINNSIGQDPDAKNIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYVEFVDNQDVLDLIERKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHKRFSKPKLARTAFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLNSSRCPFVANLFPPLPEETSKQSKFSSIGTRFKQQLQSLMETLSTTEPHYIRCVKPNAVLKPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFNEFIDRFGMLAPELVDSSDEKAACAAICDRMGLKGYQIGKTKVFLRAGQMAELDARRAEVLANAARLVQMRIKTYLTRKEFINLRKATVQSQKFWRARLARKLFEHMRRDAASIRIQKYARTHSARKAYLQVYESAKIIQTGLRAMSARNEHRFRRETKAAIIIQTRWRQHKAYVDYKQQKRASLILQCLWRARIARKELRKLRMEARDNGALKEAKDKLEKRVEELTWRLDVEKRLRVDLEEAKGQEIATLQSALQQMREKLEEAHAAIVHEKEAAKLAIEQAPPKIVEVPVVDNAKVEQLTSQNKELEDELCTFKRKAEDLEQKLLEVQKHSDELSQETQERDSKISQLQEMIERLETSLLNMESENQVLRQQSLVVSADEEKSIQIEGLESKIATLESEIQLLRRNSTLVVQAVVTPQENQASVTEVLDNGHLLEEVKLVDEQVVVPPVKNLSKQKSLTDRQQENHDALIKCLAEDRRFDGKISAAACIVYKSLLHWHSFEAERTNIFDRIIQTIRSSVEAAESSGELAYWLSTSSTLLYLLQNTLKASSSASKGSNRSRTTTGSLFSRMMQSARTSSGITSGYSGLVGRPETASMVEAKYPALRFKQLLTAYVEKIYGIIRDNLKKEISPFLIMCIQAPRAIRVRPSRGSLKSIHSNALSRQASSVHWQSIVKCLDHTLETMNNNYVPPMIIRKTFSQVFAFMNVQLFNSLLLRRECCSFSNGEFLKAGLQELEHWCSRTTEEYAGTSWDELQTIRQAVGFLVMHQKSHRTLEEITNELCPVLSITQIYRIATMFWDDKYGAQGLSQEVIGKMREMTTDGSITTPNSSFLLDDDSSVPISLDDIARLMLDVNPSNVEPPPLLRQNSQFHFLLQQHTD >Et_9B_065727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9777435:9781259:-1 gene:Et_9B_065727 transcript:Et_9B_065727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRAGLILVCLLFVLVGDVAAIAETEIGDVRLVQEAPHRKVENAASQDGGKPARVSVSTVAWSTLAMAVATGLGAVPFFFMELEAQWAGLCNGLAAGVMLAASFDLVQEGQVYGSGSWVVFGILNGGMFIWLCKKFLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAVSMLLSSRGVSPQKAMLWSIITSLPQPIVAVPAFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKEATPSQVASAGTLAVAFMETLSTVLQGFTDGRNSEDASGFLVSLVFGLGPLFGGVMLVTFSLAFSMPHPLLTGVASGIAFRLAAWRPVQLLMSSKMGLFTTLFLLIGGSLVYHFATSSILRMLNRKRSSDNIITSSSGLSLSILTLQSLLACGAVFLHAYAEGLVLGVAARKAYGLGRYMVLPASLHGLPRGAAVASCVYGATDSWRGALAAAALTGFAGPSAAISAILAKIDYDGLDYWMVIACGALIPSFGRVFRRSLRLDTRKSIVGLLIGIGFASLCLMSTRFICLHTPYCNSAPEAVT >Et_1B_011185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18508766:18529700:1 gene:Et_1B_011185 transcript:Et_1B_011185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVMMLPPIHGPCEKLAALDICIRDSWSDEELVRFLAERKAEDPLPLDVMTGVDCSVLDPRCFTGCTEATIWHLKVSGDQEIYDGAENGIKNAKNGYWKPLYSSRIPTNTAITGVKIVFEFYEGQAPCGKRTEWMMHEYQVEQNDEANLPQDYKSLCKVFPQSDKNTESGQNSLNANAPNDTLESYLQYLARIEEPKDTVSANEDDTSSSTGQHEQKTESAADDVIATGDYIELNDLIGPCIEQHQQNTENAELNDLLNSEASSSTSESTSKRSMISEEYFDSDAFLREILEDSNIPDGQHKDHKFSIAAPTKSANVVVGPTEQGLVHIHGDNATVAGTSQQKQVPGGDGDQHSSKECQQQSSSIASCFPTRHVKRSHSNSSDSPQNSEKSQKMRPNSLVHIHGDDAMVAGTSQQKQVPGGDAEQHSRQGVSAAKMDDSLQHDGPRTPDVSATPVCLLPQVINSLHEHLQPPLHLLNAEPHRLLVALRELDAIDHGADLNLQPVDEHAYVRQIFDGDERAHLHRADDLLVPVERLLVLLEQRVDPFPHGAHRQGRRGGQRGGDGRRGDGGGHHRADEQRGDRGHEDDAGDAAPRAHRPDPPVELALPLPELAHHGHLLPVHGLERGEEVRGEGAAGRRRRRLEPAQLEERARVTVVAAALLEREVERDLGVACAAERGVERGEEVERGEAAVEVSLDEARQVGAPPHVALAGEHDADHLLVHVEEAPRDLAEGAQRQRPHLHPRRHRRDGAAGAPPQRRVEGPQLRVRRARRLVRRRLLLLLLLLPLLLPPLRRRRVRAPQPPRLPNAAAVSVPHGRRNREHHWTGANYQSNESNGFFFRKNEESRTEQPTPKA >Et_2A_014892.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20512008:20512616:1 gene:Et_2A_014892 transcript:Et_2A_014892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NIVVGERVGCGHAGSRAWDPRGSSSRRSCGRVGVLGVVPRHDVYEEVEHLGARDGGSNVGLLERAALVLLGVRPAAVGELEDEHLACAGEDDGGLGSDHADILVGLHDLLDAGEREVVILEVGGGLDLPVLLRPEHLELLLRGGRLLGRGGGGRSVELRGVSGGAGGRGGRVRRRRIGDGGAHDWGRRRGAGFGGIHGCAGS >Et_2A_018847.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:924050:924829:-1 gene:Et_2A_018847 transcript:Et_2A_018847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFLLGGSNGSRDEKVVVTDRAGNGVIYDTALRSVRPVNGVITRPKFCPVAVMDALFTGTLACRDTCFDGLVCNDDQEFVRVHDWYTRSLPPPPYAQTSTATPPTSSPTRWSAARSGSPRTRPTRWRKAGDWALPFHGHVQHVPDLGLWFGNANPYGEDTVLCAADLAATTPPTARVVWEDPAPPGWISVSSHLGRSMFCHARFFEIRRPDVGCLKFVVVTGLVVERRGEDAGADELVVVKHKSVRYNVSYSCMKWVL >Et_6B_049321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3221382:3227054:1 gene:Et_6B_049321 transcript:Et_6B_049321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAAATSAAWAPGPSPSTSSSSASPSSVRVGIASRGGNAAPASRLVAASGRRRRQGTRGAEYQTISFYYCIHRIKSTSRCPILAVVQAVANPDPATELPLTAENVEAVLDEVRPYLMADGGNVALHEIDGNVVRLKLQGACGSCPASVTTMKMGIERRLMEKIPEIVAVEPIVDEETGLELNQENIEKVLDEIRPYLAGTGGGELEFVTIEEPIVKVRLTGPAAGVMTVRVALTQKLREKIPKIAAVQLLSYIALVHRADVAAAEGRCISFVIIGYPFANKDKSTKDTDLSELYTQTVRKHTFVKKHQMMANTTEEMTKDVYRTRTKHGYVPCVASPSNSSYFFLLVLKALKLM >Et_3B_028174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11330057:11332215:1 gene:Et_3B_028174 transcript:Et_3B_028174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMEAIVKPYGAAIAIQLIYTGMFVISKAAFDHGMNTYVYIFYRQAAGSVLLLPIALYLQRITLGVNLYHVSLKFTSATVASAADSSLPAVTFFLAALLRMEEVKLRHPSGKAKITSVALCLGGVFTIAFFTGPSLSPTAVLKEYPNKMVVTVKQCVFSTVQSFVVAVIAERDFSRWKLHFDISLVAILYSKKGPIFFAAWTPLCFVLTIFCSSFFLGEIVHLGSMMWGKIRECKTDDIEKDQHKQSAEGFQDEQDQTKTQSKH >Et_1B_010204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29708112:29708555:-1 gene:Et_1B_010204 transcript:Et_1B_010204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLRKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGVGMRYFHKLRNKFYCPAVNVEQLWSMVLGKGRLPDKPIVVKAKLISKVAEKKIKAAGGAVVLTA >Et_7A_051750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2424034:2426556:-1 gene:Et_7A_051750 transcript:Et_7A_051750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRGLISAPHSSSTLRSVYAPRGCRRLPFGAFSPLQPHFTAGQTYIRALRPRQEWVEDWVRSNDTLVRGLPILVGGASLVAVLLNRAVSGIAAVSDASSSQSRADILALALSVTDILAGLVWLSIRPKPISPVVPRGVECKRVGPGMSSSALHELLWTWDSITTATCCKSLVVVYGGNCVLQIGVAAVSPDGNAITVDVQKFIRGSLYTSAMESKKQSYLANLALYPGRSELPFLPANTQALILQPIGDKGIAIIGGDTIRGFTSIDQVYHFISVSFLFL >Et_6B_048490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:130721:135064:1 gene:Et_6B_048490 transcript:Et_6B_048490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADCLARLILRPIPAIADTLIDPSESVDRRSVRAARRSLFELSPFPKVAYAISNRAIIEAMKNEKNVHVIDFAGPAAQPRQWIELLHEFHRRPEGLPHLRLTVVHDDEEFLAKTSRLLADEADDLDMAFQFHYVVGQLETLDFNDLHVALNLKSSEARAICGTQQLHRLLATDNDAASSFRSSARRFNEQLASVARLQQMASSSSSWYPRPPTVVRRRRRSVPQPGNAAEIRLAAGVDSPVPDAAGDGELPVRGPRACVAQDDGRHGAGRARTTTASRSGTASRRRCTTTPPRTAAWTRRRPRRTAAAAAELVLGDEIRDVLLREGPRRRERHDRHSYKLDHGTADRLAQLGPVLYECAVHVTEGSFEKTDNSLRQIKGLASIVDGSLQRLSSIIAYSLARRILCPIQGFDGALIHPSDYFEQPSIQTARENFASLSPYISTGFVTINQAILEALEEEKVVRIIDLSCSVSHPWQWLKLLHDFRQRPGGPPEVRFTFVHDDSDYLAKMQKLLSKEAEALKIPFQFTCVIGRLETLDFSNLRNTLQIKFGEAVAISCALEMHRMLVVDDNVTCAGIAQLQRMTNIAQLKQMASSVYSPASTLYYPQTPSPQTPKLLASFLNAVRALKPNIMLVMEQDANHNALLFCDRFVEVLNYYAALFDSLHAVAVASQQKADERARVERMILGEEIKNILVCEGVRRYERHERLIQWAMFMQGSGFNHVPLSFSAIRKCNEQLMSFGLKECQSKQDTEHKRIEQQQMERSIDRHLN >Et_4B_039403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13921409:13922627:-1 gene:Et_4B_039403 transcript:Et_4B_039403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNLSWISSVVDSGNNADHTAREVCTYIWRSRPCNFSPSSPSYKPKLPLRPDSRNAAKRHQKRSTSVLKCRANLHGCTDEVVQSKKDQTTEIPIVLYPSVIFPGATLQLQAFEFRYRIMMQTLLQEGLKFGVIYSGKNGRMADVGCFVQVIECERLIDDRFFLTCVGEDRFRVTEIIRTKPYVVARIQVLNDQVCSEPQDDMGSLMQKVEQHLKNVAMLSEKLHQNFRGDLQAVHLSRLHSAASFSFLVARLFIDDRLEQQAMLQLDDTAQRLVREGMYLERRSKYLAAIAAIKDAFEHLSCNEK >Et_9A_062514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23670128:23670819:-1 gene:Et_9A_062514 transcript:Et_9A_062514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMRVNVTVAAVAVIFLAAAFHPCDASTNVDEPEKYTPKTPAPPPPAYPTPSPSPPAVRPVIVVEGVIYCKSCKLRGYNSNMDASPLPNATASLVCYGDKKSNYRELNLTSTTTDKNGYFVVMVYDVAMFHLNRCMLHLRSSPTSLCAAPFVPAHAKLGLTLEKEPRKAPLPKGALGAYHSKSALMYEPATGGKCPPY >Et_5B_043072.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20606634:20608828:1 gene:Et_5B_043072 transcript:Et_5B_043072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKLLPFTKVMKPDMKPSAPWLLLVLSSFFLGLSLATNNNGDNTFIYTGFTGANLTLDGIATVMPRGLLRLTEGNRQDKGHALHPEPLHFRKAPGSAVQSFSVSFVIAILSIYPNLSSQGMAFLICPSTNLSDTGARGLLGLFCHQNSDNASNHIFAVELDTIQNTEFQDINNNHIGIDVNSIISVQSYYTGYYDDNRGDFQNMTLNSHEAMQVWVEYDSDTTKIGVTIAPLKMSKPVKPLLSTIYNLSMVLTDQAYVGFSASTGNIESEHYVLAWSFGINQPAPAIDVNKLPNLPREGPKHSSKVMEILLLIATAIFSLLVGFAILQFIRRRSRYAELREDWEVEFGPHRFSYKDLFSATQGFRNNHLLGVGGFGSVYRGVLQSSKLEIAVKSVSHDSRQGIKEFIAEVVSIGRLRHHNLVQLLGYCRRRGELLLVYEYMSNGSLDKYLYCID >Et_3B_031533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3717994:3722422:1 gene:Et_3B_031533 transcript:Et_3B_031533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQHREEDALRGQAVKNQKAIWDKTLEMRFLLQKAFSTSNKLPQAMLESNQAAKGADEMPSASKGDDDEWSEVQNLQTRITKFRNTEVDKWQRKIQVTTGAAALKGKLHAFNQDISDQVAGYMRDPSRMINRMCLTKSTVGVFGEDAEEPKTAKEGHTVGGDPELVDDSEFYQQLLKEFLESCDRGASESAFYALKKQQHKKRKLVDRRASKSRKIRYHVHEKITNFMAPVPMVLPPMAPKESSASTVHGSRLSLTCAPCSGCSSGAENGACLYDGESSSSNLRRIMASPILASSIAKFWPMQVRGPQPKGKNAGPASREDALAAPSANRSGLNSPASGPHTSLSWCTHSICSASVTPAGYLTPPSSIGLYVRRCTAGSGVYRRSTSCSTMVTCKIKLAVPVTITRSDFPAADVMVRWTASCNEMRCLRF >Et_3B_031310.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25335090:25335686:1 gene:Et_3B_031310 transcript:Et_3B_031310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLLDDERRVSVNGQTRVANCIIGALPIVVFSACLLLMVYSCFYDFAPPEYWVKIQGVEGLDRSTDAATAPVFNIILRVNYDLSVGHHWPQLCGKGGSVVVAYAGVPLAHGDLPEFCVAVGVAGSVNVVATSNGLGVPDELYQRMENQGQRQGRVPLTVQVQIDELTGGSGSPTLLWCTAILHGQPKGPFICSILN >Et_3B_029840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28459634:28461235:1 gene:Et_3B_029840 transcript:Et_3B_029840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEASKSAKVLDEQEVTSHQRGESQSAATGGVKEDVTEQEAAAAATGAGGEDGGSGLCRQGSLSLPLPAPLSRKTVDEVWAEINQQGSADARPRAAAPQAVVQQQVGSGGGGVAASGRQATLGEMTLEDFLVKAGVVRGSFAGHGQAAPAPVGMVVPAGPMAHMQQAHQLAPPVMYHVAAPANAVYPVMGDGMGGFHHGGYPGGMTVVPPPPPSQCVAAAVSPGSSDGMSAMTQAEMMTCIGNGGMVRNGGNARKRDSPEDGCTEKTVERRQRRMIKNRESAARSRARKQLVEKMIEQSKENVSAKKSGRGLRRCGSSMW >Et_3B_031699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8069457:8070214:-1 gene:Et_3B_031699 transcript:Et_3B_031699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGLCHETLAYPPAASSYCFPPDLVANNRAATNFAAMAGDDHYFPELGVPPPVPPQVFAGENEQMNMRSYVDHDGQWMSRSAACAVNGGGGAARASSRIGFRTRSAVDVLDDGFKWRKYGKKAVKSSPNPRNYYRCSVEGCGVKKRVERDPDDPRYVVTTYDGVHNHTAPGCAAAAGYHHAPPVPSDLWGMPPPPQQLHAAAAVTHSSESSF >Et_4B_038158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26872926:26874529:-1 gene:Et_4B_038158 transcript:Et_4B_038158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAALRPWLVLLVATMAAVSSAPAAEALGMNWGTQATHPLEPKIVAQLLKDNGIKKVKLFDADAATLSALAGTGIEVMVAIPNVLLDRMTDYDTAKDWVRHNVSRYHFDGGVTIKYVAVGNEPFLAAYNGTFDKVTLPALMNIQNALNEAGLGDTIKTTVPLNADVYMSPADKPVPSAGQWRPDIAPLMTQMVQFLANNSAPFTVNIYPFISLYLNDDFPVDFAFFDGGPTVTPVVDNGISYTNVFDANFDTLVAALKSVGHGDMPVIVGEVGWPTDGDKHATNAYAQRFYAGLLRRLAANAGTPARPNQYIEVYLFGLLDEDIKSVAPGNFERHWGVLKYDGQPKFPMDLTGQGQNTMMVPAQGVEYLPRTWCVVNTNSQNMDKLADNINYACTFADCTALGFGSTCGGMDSNGNASYAFNAFFQVQNQKDEACDFQGLAVPTQTDPSTQTCNFTIQIASTSTSAAGRRRLAGSVGAVAAALLALLLLLH >Et_2A_015536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14644382:14647126:1 gene:Et_2A_015536 transcript:Et_2A_015536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIFAWAADVVGGAGASDDEADDARAAASAAMTRAAAPRRRAGRAGGLPAARDPGPAPAGAPAARRAAAAAPPCALPRLLCRPRAPAQRPLLHEGAAYEKAISDCQQKVQEKLMEANLLQSNLKEMEMTEHNLKAQLENAMKEQEATQHKSSTTASETTGNALLEAESLINIKSKDLEKKKKELEFLDNKVQTLEKEWSVVEEESLKNPSPAQREKVLEKQLHSLIEQLTSKQSQAEILIADVRAKEKELERLNSLNRNPYSSANEVGAARNRSSRGLFGSTDDYDAKAGRRPYQWGARTEGLKRLMILRSAIVLYILLLHIVVFIKISV >Et_3B_028224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1248958:1252651:-1 gene:Et_3B_028224 transcript:Et_3B_028224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKALSLLRPPQPPREGEREKEMELRKRPRPARFDPDFVSSPPPLLLRKRVRKQAPSKRPRDAAEAAKQQRPRKRSRCEGLGVGSPVTGLHPASCFHQVRSLPPPKSRRVFRPRHPFNWYEPDMWTEIAKHLCGHDLVCLSLTCHWFRRLLVEDSIWRYAFIRDLLLPVDYQPPPRPLHSSWRRLYAAAFDGSHSYCYRQINKHIDGLRIGGFLLDTPSVLLTGKLPLPRCVLRDVQVSIEMMGACVLNNARPGIWIAVTDFCWDGLGGIGTVQILDVRHSELFLEEEYWDGTWEYEDLGEHFMDEEAAAASCAVFNHNCLDSSYADCTPHSHLIVHVLCSITSISICIDMVHNGVAVVHNTKAWIRKRNDLRPKACMTPYAVAINSNLQRNRGMSAPELTQSFLAQFHGTSDIMCCVDRTTVKVRGDARHNQGWAGCVAKDHAAALLILWFGIQRIDDELCVRGLLQMQ >Et_2A_016405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24357351:24359357:1 gene:Et_2A_016405 transcript:Et_2A_016405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGLKMFNIVSAWNKRRRSRSLDQLNPWVYKPAELWQVKEQSPPPKKRNCTMVFTLKEMEEATNMFSDRNLVGKGGFGRVYRGVLKDGQIVAIKKMDLPTSKHADGEREFRVEIDILSRLDHPNLVRLIGYCADGKHRFVVYEFMPKGNLQDILNGIGEVKMDWPLRLRIALGAARGLAYLHSSTAVGVPVVHRDFKSSNILLTEHFEAKISDFGLAKLMPQDLDLHTTTRVLGTFGYFDPEYALTGKLTLQSDVYAFGVVLLELLTGRRAIDLSQGPQEQNLLVRIHQVVGDRKKLRKVVDRDMAKGSYTVESVSLFTRLAARCVCFESAGRPSMQDCVKELQFIMYANMKI >Et_1B_013322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7341129:7342698:-1 gene:Et_1B_013322 transcript:Et_1B_013322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRRCFCESEQDLKGLLSMENLRLFSYKEIRAATNNFAPCNKLGQGGFGTVYKGVFGDGTAFAAKFLSSESEQGVKEFLAEIESISEVKHANLVRLLGCCVQRKNRILIYEYVENNSLDHALQGSAKGEVNLSWSTRSDICMGTAKGLNYLHEEHEPNIVHRDIKASNVLLDSNYRPKIGNFGLAKLFPDDVTHIRLSTLGYLAPEYFVHGQLTKKADVYSFGVLVLEIVSGRRTDHPVRQVFGARGSGALPSIPALSVLMRLL >Et_2A_016951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29817924:29819079:-1 gene:Et_2A_016951 transcript:Et_2A_016951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIDNRVNRQVTFSKRRKGLLKKAHELAVLCDVQLAVFIFSERGKLFEYSNPPCSMSDLIRRYEIASNTQLHEAHGQDQQLLMEMARLTNEKEQLESNIRISKGEDLSSLSVDELSELEQYLQSVLSKVRARKILSSLIEVTNCLWQHELQTQLLDDLRRKTDESSHDAAADGVETEGMAPSTTLSGSPFPMEPVSASSTALQLWPQPADSVSGGSGFGSSSPRGAASCSRT >Et_7B_053348.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12305614:12305856:1 gene:Et_7B_053348 transcript:Et_7B_053348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDSVVMTPAATVRMMDEHLRHWTVRASRSTSTEGVLNWCSQIVDVIRCTCFHPSTFPPCISAHPRLRTTNCKNLYSVQ >Et_10B_003388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19356402:19361561:1 gene:Et_10B_003388 transcript:Et_10B_003388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLAPRSSPHIATTTRVHPSDADLPRAGDGAGGGRASCAADHRPAVYTVWKRSSMGFQGTDGFSVYDADGGLAFRVDNYSRRRKLFAGELLLMDGHGAPLLALRPQILSMRDQWNCYTASEEAGDKGSRRQQLFSMRKCSLVQSGDEAEVHMSSALQSISDQAEVHMEAATTSSASSSLSCKHQAPAPSFQIEGSFSRRNCKIRGSDGREAARISRKKAGVASRPVTTLGDDVFSLVVRPGVDAATVMAMVVILDRICRKPYTPMVCSSQ >Et_1B_012953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4320437:4322281:1 gene:Et_1B_012953 transcript:Et_1B_012953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPISSGGEHHDAASEGSEPLLPRKHGDDDDFHGASFSGAVFNLSTTIVGAGIMALPATMKVLGLVPGLVLIVLAALLTEASIELLVRFSRAGGATSYGRVMGDAFGKPGKMFLQACVVVNNVGVMVVYMIIIGDVLSGTSSSGVHHHGVFEGWFGENRWNGRFAILTITTLGVFTPLACFKHIDSLRYTSAVSVALAVVFVVLTAGIAMLKLARGQIPMPKLFPDVHDWSSILRLFTAAPVLVTAYICHYNVHPIDNELKDSSQIRPIVRASLLLCSAVYITTSFFGFLLFGESTLDDVLANFDSNLGIPYSSLFNDAVRVSYVLHLMLVFPIVFHALRLNMDGLLFPSARPLSCANRRFGALTAALLAVIFLAANFIPNIWDAFQFTGATASVSVAYIFPAAIVLRDRHGIARKRDKVLAVFMIVLAVVSNAVAVYSDACS >Et_10A_001812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8602957:8603628:-1 gene:Et_10A_001812 transcript:Et_10A_001812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQVMTANLLQRKWREFKQTDESWKEAPRAFLDIGGIDKDVNAMVSNLKRGLGVGCIYSWLSSYQMQRATVTGLPAHNDATGFLTPAMEAMVHEAHKELALEATAQGQDMEVCKRAIELLTMINRRFELWSKLDGDVPPAFQSPSEKEDDEFGNLSAVLREDISRAKQHDIYLQDTNESGFIILIQLCWFWTQAAENAVKHWRSSFIPEEK >Et_1A_008776.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12527241:12527720:-1 gene:Et_1A_008776 transcript:Et_1A_008776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGPPIGQAKEESWEITLAELKGASLCMVQTVRALDRCYTNIWRLVDSRRSVWVKECNVQMTQSWSFFKALEIFGDGRILMLNAFEKVEEEEEEEEEEEEEEEEEEEEKEEEEEEHLSDARSCVVQLYDPSKQTLKDVIEMTEEFRGPLTLYTGSLLS >Et_6B_049003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16514980:16517011:-1 gene:Et_6B_049003 transcript:Et_6B_049003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVYAAATPKSQALVLIKCSTHLFQNMDVSKCDHVTSQGLASLIAGHNFIQLINVAHSLLEVETCFLSKLSTIKDTLTVLRLDGLEVSSSSLQAIGDSCENLVEFGLSKCSSVTNAGVASLVNHCKYLRTIDLTCCNLLTDDALTAIAENCRRLECIRLESCPFISEKGLEGMGTLCSYLKEIDLTDCCINDESLQHLSRCSELLTLKLGLCSSISDKGLAYIGSNCGKLMELDLYRCTAVTDDGLAALTGGCKKIRMLNLCYCDQITDAGLKHLSSLEELTNLEMRRLARITGIGIASIASGCTNMVELDLKRCYAVDDASILQQVKNATTFGLCLCQLLSSLRCLEDLKMVHLTLKGSS >Et_1B_014146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32715494:32718433:1 gene:Et_1B_014146 transcript:Et_1B_014146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFESLLLFFMLSIASAQAWSIDYPIPIANLPSLWTNSNATIPYNATYNDGTMIRAILVRQNPAGFGPSFACGFICSAPCNVFQFGVFFVSIGDSNNFVSNGTAGPRVVWTANRLHPVKENASVQLTNNGNLILRDFDGSLVWSTNTSGSAVVGMNLADTGNLILFNVMGKTVWQSFEYPTDTLLIGQSLRQGKRLTSTSLVTNRTQGQFYLNVLDNGMYAFIDADPPQLYYQKMFNITEAIVGSKMNISCGKEKNCTTYISFLQGSLSAFLSFNKTDIKLFDISLPYPSSAQLMSLEDDGHLRVYGWTGISWKAMADVLHILPQPSSKKINATSYHVGVPILVAIICILILIIRRRIAKRREEDDPFKGVAGVPTRFSYKQLREATNNFSKKLGQGGFGPVYEGKLGNVKIAVKCLRDIGHGKEEFMAEVITIGSIHHINLVRLIGYCSDKFHRLLVYEHMGNGSLDKWIFRKNQSCSLTWAIRYKIILDIAKGLAYLHEECRQKIAHLDIKPGNILLDENFNAKISDFGLAKLIDRDESHVMTKIRGTRGYLAPEWLSSTITEKADIYSFGVVVLEIVSGRKNLDNNQPEGSNNLINLLQEKIKVGQVLDIMGNQDEDTKLHGSEMIERDCSKRPAMSQVVKVLEGAMDKENNAGYDTASRDDIFDASSPVSPVVNSSDERE >Et_7B_055848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5773020:5777820:-1 gene:Et_7B_055848 transcript:Et_7B_055848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALGVLCRSGGWSYAAVWRFHPHDPRLLTLGESYCEDEATTVFEKMLTQGHLWWQHQFLNGIKGLVQFGSIRKVRNIFEQMKNASKDPSFAYGQRASLTSLRSANNIPVQNKANPLQNERLDENIERTESLMSSIYYPRNSHRSLNDLTSHGTGSTIIRTHMSAMPANSKSIYDIKQFDNVTDFLHQNVDVTTALQVSSSREPDSIVDSVTLAYESLNSFHGTEMESSDQSIPEYPQHLYRTTNSPNSGLDRLCYSGAGFSSSSTVSGDANNFLQTESENLPCNLVPHHALVHEQALIPDSGECPSLFLPEESFMVQSDLLQLKNTTQIKCQGSSAFPELPNRTPEKATVGTSDDDMKECDANIGLLESMMLDLSSNNFVQDWWGDSVLQAGNLPNLGDTNSESIKELANKHLSTGERDFPAISVIEQLLGGSVHKPAGHIPQVTGASGLAGRVSKQLPQIGFRDHETAYTAQVPSLASGSCASGNAQSGYSQASSVPPADIPVDDRCSLSTGNSKGSQSNNPEGMKVAKKRAKTGESTRPRPKDRQLIQDRVKELREMVPNGAKMIDKESGVILKDNPKAGKNGGATWAYEVAGQTMMLCEERGFFLEIADNIRGFGLTILKGLMEARDGKIWARFLVEANRGVTRMDIFLSLVQLLEQNTLVGSADQVAKVMSNGVPSFAGHQRSPLPVPVGIAERLQ >Et_2A_018008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8953915:8967096:-1 gene:Et_2A_018008 transcript:Et_2A_018008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNEASFLRTESQNPAAIITILSFDRSPPNRQAEAEPAAAAAADDDDDDEDDFSIPLALPPRVTVITANPSAQYEPAYPDRYPYVLAASPFCVLINFSVMPFYGVQFGDHPHEPHLVLVREHEFHHPEAGVCTATAQRRLPPRDGSFPIIYNFDSIGLVTLGVGVIDSHVVAELFVDRGEESASIVYWFAEGTNLWYKEDFVYPLAHHRDRDWVPSGVVSHDEKNWWFDLSWGLLSCDFDVVSNMTLLFHDLPEGRALDASVPDIHHRRCITESGGALRYVEIIPDGDESATVCLWTGTQVPNDEGHGILVWELGYDVMFEEIWDDDTYKATGLPHKVPVLTAVSPSNPAVVYFCLEEEQRLFGVDVPVHTVVQFVDEAYDLVMPWPAPVCNRYVLPWLLPVQHDSVEDPGEPSVELALPPGISILTVPRSLHPNHDDADKHPYVVAADPAAGLLLLLHVSQWPFVGFDLDKDPVGALIVARGFLPADPAAGRDAHVAAAARVPDRARSGLRGISSIQNIGLVSLPGSGGADYVVAELRLDGADVDTATLFTFRSGSDGWVEKELSCPSMHFGRRMWSSSHDVIAYDGKLWWVNLVWGILVCDPFEDEPALRFIKLPDSIGDMRKVADPPSRESNRMVGVSNGKLLFVEMTRAVVDPVEETVVVVQSLGFDRSSGEPWWDWMSANSLGAIWASRGYKEARMPYQEVPVLALVHPHDPDVVYFFLKEFLFGFDLIMNRVTEFVHKPGLVEVVSGTKRPPPISWRYVVPWVLPPSLATAHNNLMDEDKDRVSPVEEPAPEPAGFDVEEALRLGVRAALAMDDDTLKSEVYMFHGVEASRGHGEVDSWQHRPRFNFRLSDEQAEADVRK >Et_6B_048529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10358498:10362775:1 gene:Et_6B_048529 transcript:Et_6B_048529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCGAGGKPTSRNATSSVPEQRHPRAQPSHPTAAAARRFRPPFLFFFFSFPTSFSAAFLHASSPRCRDKNRTGEPAPGRTEAPAAGDPVRRVVVAGNGSGVFPAAERDPAGHGCLAVRTSLPRCSFGAGGGSSSSALAGSSDEASCGSPRWIGRGLSCVCIKRKGAYERICMNLTPVQEERLQRLKHRMKVYFDPSRRDHQEALKALWHATYPDQELEGLISEQWKDMGWQGRDPSTDFRGAGFISLENLLFFAKTFSASFQRLLRKQCGNRATWEYPFAVAGVNITFMIMQMLDLQSIPSDSNTLLISIAVEDEWAFDLLYCVAFAVMDKQWLEKNASYMDFNEVLKSTRAQLERELMLDDVMRIEDMPSYSLLC >Et_3B_031082.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:14543432:14543686:-1 gene:Et_3B_031082 transcript:Et_3B_031082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPARRGGGAAALALLVFLTLLIGSRRAVVDPSGLARSRSQAQRNPGGRVAVLPRKATVGTRPVPSEEESKRRIPSCPDPLHNR >Et_4A_033794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26976365:26981137:-1 gene:Et_4A_033794 transcript:Et_4A_033794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKTVTTQAEDQKPESIAQFYEQDTNMVTLPAQDDSNSKWMQFIESSKALPTEECTKLLGDMELLDDPEEEEVSMHEDSFELNNEVVQEIQKAREAKQKQKKGLKPCERKDEKKSKWGPILVERKRRRQEDGLSIMQRAINLKKMQNLEVPVIKGNSFAALDSDVLNQISEDVRISIGNDTREKDEIIRDLVNVENENFRKFAEDNLEEGEKEGLESIIQRLELEASTPLALSWGSSESITTDALGVEWSCQIVADREPNLRSGVLPNSGETFDLKKKKNTPDDCWNDHIISSALDATGLDVIGYAPFPCTDCSYVSPSPHTAYASSP >Et_10A_000120.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:736631:736972:1 gene:Et_10A_000120 transcript:Et_10A_000120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSDGRAPHLPVPMLQFFSLTLAECHTSDGSIQLYGYIATRGDRDDWMINYIFNRSRDDPIVVQQGSLIEMTGPKRGITISGPVLVEYDMRIKNGGEQEDDDLQLIDGVFGC >Et_4A_035327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20137918:20140442:1 gene:Et_4A_035327 transcript:Et_4A_035327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSEGTISDIGPQMNRCKKGAAAAADLTDDLIIEILSRLPVKSVCRFKCVSRHWYRLISHPEHCKKLPQTISGFFYHNFRPNDEDEWIAIPISEGISRDQEHLFSDPSLTFLTGYRQILLKNCCNGLILCLCWKDTPRDEADYVVCNPATEEWVVLPDSGDESFAREYCLGFDPAMSPHFHVFQILEDDEDYGYIAGVNIYSSETGVWSHKENGWGDNEIQIVSTRGVFFNRMLHLLTYQFKILAVDTEGVRICYYHMTWTAAIGLATL >Et_2A_017639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4564298:4564784:1 gene:Et_2A_017639 transcript:Et_2A_017639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRGVPPDLVSHTITMDGLCKAWRMKDARRMFDKIVQSGLSPNVLITGYCNKGRLREARLLLMEMVGSHQSHQIWEAFTCLNMVAPLRKYGNSIPYESYSCLVTELCEDMRPNAARSLLQWMIEDGHNPSLLIYNIIVGCFCQCDSMKEA >Et_1A_005870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1573085:1577152:1 gene:Et_1A_005870 transcript:Et_1A_005870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWDEGAVFYSDQAQFPRGGFGGDPSADLTRHSALRKFKEFLRGFTGPTGDFPYRESLVHNRDHVTVAIEDLDAFDAELSDKIRKSPADYLPLFETAAAEVLGSLRSKVAGETGEMEEPVTGDVQIFLSSKENSVSMRSIGADYMSKLVKIAGIAIAASRVKAKATHVTLVCKNCRSVRTVPCRPGLGGAIVPRSCDHVPQPGEEPCPLDPWIAVPDKSKYVDLQTLKMQENPEDVPTGELPRNVLLSVDRHLVQTIVPGTRLTVVGIYSVYQASANQKGAVGVKQPYIRVVGLEQNRDNNSSGPSNFTLDEEMEFKEFAQRPDAYTKLCSMIGPSIYGHVDVKKAIACLLFGGSKKRLPDGVRLRGDIHFLKFVEKTAPIAVYTSGKGSSAAGLTASVTRDSSSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPVSGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDVRMYEQDKRIASHIIKVHASGAAASSNNTDASEGENWLKRYIEYCRVTCKPRLSEKAAEMLQNKYIEIRQKMRQQAHETGRTAAIPITVRQLEAIIRLSESLAKMRLTSVATPEHVEEAFRLFNVSTVDAARSGINEHLNLSPEIANEIKQAEAQIKRRMGIGSHISERRLIDELTRMGMNESIVRRALLIMHQRDEVEYKRERHVIVRKA >Et_2A_018216.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18448700:18449290:1 gene:Et_2A_018216 transcript:Et_2A_018216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATPTMVFVYGTLKRGFPNHPLIAASACPFAGAASTAAPASLVIGPYAVPFLLPAPSSSSGRLVSGELYATSPPALADLDALEVPASPQWIHLSCPFQSQLIAIRLQGTHIGLYERRRITVVADGSGEAVEAEAYFAHPSYAEALWRRCGGEAAEIAEYTMDHAARYVPPGERIAGASSLIDAIHSFIDIAPDS >Et_3A_026147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4869429:4873027:-1 gene:Et_3A_026147 transcript:Et_3A_026147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRAPHPAALLPGAGVPRHLVLLSEAASYSALTKQDIVRLDVKVEDALVASLMQGIGMSLATTTQPMGRVDISVSGVTRYQNWLALLLRLTQELGPTTSSWRQEPGDPGLCHARSRITASQVHRMMEGCLKAEMGTGSQVHAYYIDVMNKF >Et_2A_014947.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:22937681:22938376:1 gene:Et_2A_014947 transcript:Et_2A_014947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGDDADDVDDEQRGGRDEEGGPLERVELGELVVLVARGLGRDGEVCVDPGQHLEQALHDGEEVRGDAADDPELLVPPPLLDADPAPPHLQDARREDGDEERDEEEAGERADGRHDELAREEAHGREGAVGEQRQRGEGVDGGVDVGEALQPLEVAVLVPVQQRAVPAEEDLHGTQRPTQHLVQTVGKVDGRGALERRALRHAVHRTPPAAVHLEPGQDVLRDRPVDPTQLH >Et_1B_013226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6564701:6567639:-1 gene:Et_1B_013226 transcript:Et_1B_013226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAATDLEARQLRILSRIAELELAAQQRRLGELSISDGEADAGSTEARLSAILAERGVRDFAFRRVPADYYDRPLEERRGLLRADSVAQLCKSIVMVNTQAAADVVDCSNPKNSKYYVVVVQYMARLNAENIKNFLYELNEKQIPKKRFNMRLAPEEESHKLTGFVHNGVTCIGMETNIPVIIDEAITKLDEDFFWLGGGEVHLKLGMRTSQFLNAFRPFVVKCS >Et_9A_061093.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:15479480:15479632:1 gene:Et_9A_061093 transcript:Et_9A_061093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PTPAQHPAGAGAGARSHLRVRVRVTYFTRDVLLRGWVFGPPNPNPTRCHP >Et_3B_031076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14248001:14251595:-1 gene:Et_3B_031076 transcript:Et_3B_031076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATSCTVYRSHALIVRCDGSGHQQHRNSGNAVRVNGAAHRAPLQVGAALETSINRSLAELRSAPALAPLPTSGGRQNIPTEKQTVDPFRQALIEGGVRYQQTLVVRSYEVGPDKTATLETVLNLLQETALNHVWMSGLLGDGFGATHGMIKNNLIWVVSRMHVKVDQYPIWGEVLDIDTWVGSSGKNGMRRDWLIRGRNSGDILVRATSTWVMMNKVTRRLSKMPEEVRGEISPWFIDRHAIEEEAAEKIVKLNSNAEYVDSDLKPKRSDLDMNHHVNNVKYVRWMLETLPDHFLQQHQLSSIILEYRKECGSSDVVQSICEPDKDSIPPEENVSMVTGPSLLPHIINAHHSLAGALQRWPTKYTHLLQLKAGDDTYEEIVTMCHVSSCCVGSPSSHSQLCLLSDASVKEAKETDIMEEADDHCDIEEIKEFEDRHTTMTSSPGLQILAERHPDELLQIRAAWRPAAAVDLDTITSVEAILLGSRQPQP >Et_4A_033744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26305729:26308819:-1 gene:Et_4A_033744 transcript:Et_4A_033744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERVKAEALQILGLFQVLPRLVVFDLDYTLWPFYCECRSKRDSPSLFKHARGIMYALKEKGIDMAIASRSPTPDIAKVFLDKLELQSMFVAQEIFSSWTHKTEHFQKIQRKTGIPYKSMLFFDDEDRNIETVSKMGVTSVLVENGVDLNMFKLGLSNFATNCAAASGKQDE >Et_2A_017919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:807311:815921:1 gene:Et_2A_017919 transcript:Et_2A_017919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSSASRREAVRSGELPRTMARSGELPKGPTAPATRYEGWMVRYGRRKIGRSFFHTRYFVLDNKLLAYYKKKPKDNMMPLKSLLVDGNCRVEDRGLKTHHGQMIYVLCIYNKKEKENQITMGAYDIEDALAWKRKIEILIDQQQDTTTAKNRKAFASLDFDMDLGGPFSFSDHDSGQALLIQYMIGPKSLMLGCQIRMIPIRLSPGRTGGYLGLRIFEELVEVEYLARSCSRAMRVVGVVEATCEAIFGLVMSMDVTRYEWDCSFQYGSLVEEVDGHTAVLYHRLQLNWCSMVVWPRDLCYVRYWRRNDDGSYVVLFRSTEHQNCGPQPGFVRAFIESGGFKISPLKCVNGRPRTQVQHLMQIDLKGWGVNYFPSFQYHSMLQMLNCVAGLREYFSQTDEIHPVPRIPIMHNMVNAVSVKKDQKLQEADSKIKQTDSGQADNKSLDIVDEDSEEDDDYQEDEPTKSDSDAKYSDPIDLSWFSGIIRQDTNEKSRNCWAVPDSKIFKVRSKNFPHDKSKIPAGKYLMELVAIDWLKDTKRMDHVARRKGCAAQVAAEKGMFTFLVNIQIPGSSHYSLVLYFVTSSLKKGSLLQRFADGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYLRGPNYLEVDIDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYDELPEQLLGAARLSHIEPSAAVVPELDDSS >Et_1A_005717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12994239:12995845:-1 gene:Et_1A_005717 transcript:Et_1A_005717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRHHHYIFEPARIKVHELRGKNKAELQAQLKELKAELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKAALREAYKKKNLLPLDLRPKKTRAIRRRLTKTQLSLKTEREKKREKYFPMRKYAIKA >Et_3B_030517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4580888:4584952:1 gene:Et_3B_030517 transcript:Et_3B_030517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTTEVNGHHKPRKQYNGRACNGVKPVNNFGDVDPWTAWAYKPRTISLLLMGTCFLIWASGVLNPEGSFSADRVSSVKRGVFAMIAVFLAYSFLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQTRDDARLFMKYLHPDLGVELPERSYGADCRIYVPDHPKSRFNNVYEIIFDEFVVAHILGWWGKAIMIRNQPLLWVLSIGFEIMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMKTVRYFDGRTYEWVGLSRQPNIISKVKRTLGQFTPAQWDKDEWYPLQGPWRFIQVLSLCIVFMAVELNTFFLKFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDSKPFKKVGSFCWLSLAICIVELLICIKFGHGLFPKSMPSWLITFWTAVASLLVIFLLVWTYKHYRTMIRKML >Et_1B_011552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23521830:23522912:-1 gene:Et_1B_011552 transcript:Et_1B_011552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTALMVVLAVLGLATGGSAQLQYGYYKGKCNGSDVEAVVQSIVKARFGREAPIVAYLLRLQFHECAVNGCDGGLLIDGPGTEKTASPNLSVKGYDLIAAIKTELEKRCPRVVSCSDIEILATRDAVALAGGPAYTVRTGRRDRRRSLASDVKLPGAEYSSAQAVAYYARLGFTAQETVLLLGAHTVGATHCSLIKNSRLYGYGGKVNSTDPAMDPSLASTYKKYVCPNVPSSDGVTVFLDDQWSALKVDNNYYKNLQRGRGVLLVDQNLYRDASTRGIVDQLASNNGLFQSLFAKVLVKLSEVGVLTSTQGEIRKVCNKFN >Et_8A_058052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16265664:16269768:1 gene:Et_8A_058052 transcript:Et_8A_058052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAAVSVPAAVTPFPPLRRLLLSTSSSSSSPWAAARRLARISAAMAATAVHPAVVVGGGRVGRALLAMGPPGADVLVGRGEKVPEDAPGPILVCTRNDDLDAVLENTPKSRWRGTCLSPSSSSCARTRVRCGSELLCVRRQAIAFVRLHSNGMLDPWFESKGLVDANQVLAYFAISKLGEPPVDGITDTNPEGLTAAFGSWAPEVAARLQNGGLTCKVLEKEAFQKQMLEKLIWISAFMLVGARHPGATVGVVEKDYRSEVASLIAELASAASAERSLTFDEGIEERLCAYSRAVAHFPTAVKEASPATFKWRNGWFYSLTEKALAAGKPDPCPLHTTWLKEIKLQPQLQPAEHAHLRLYDLQILITKRFTYGFLSSDLRVKPTKSRFRKASQIILRADPTIPDHKNEVAEPSDGSLDKDPGKFLAHTSSAIPYNLGKNEALREYYMTFH >Et_1A_005145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29374814:29375193:1 gene:Et_1A_005145 transcript:Et_1A_005145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYMCTMLPTQCMYMSLPFASTLSLRAYEPEDTNQTYINGKVNFTSLVNEGRIDFKFCTLNSCYSQVCYCCQNQKPKGLCYHTRDQCKAACPSCHPKCPPEAPSPQTTE >Et_2A_017267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32635905:32637106:-1 gene:Et_2A_017267 transcript:Et_2A_017267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKKKGKFGGPCLATNPIDRCWRCRKDWATDRKRLARCAQGFGRNATGGLKGKFYVVTDGTDDDVKEPLWITFAKTMIITLKEELLIGSDKTIDGRGAQVRIANGAQLTVQFAHNVIIHNIHINDIVASNKNGGNIRDSPDHFGWRTVSDGDGITVFGSTNVWLDHISLANCQDGLIDVIAESTGVTISNCHMTNHNDVMLFGSSDSRPGDQIMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGSKNPTIISQGNRYIAPPNLAAKQVTKQHDAPESEWKNWVWHSEDDLFMEGAYFTVTGGELQRKFNKKDLIKPKPGSYVTRLTRFAGSIPCRPGRPC >Et_3A_025587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31013553:31016272:1 gene:Et_3A_025587 transcript:Et_3A_025587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIARFFFGVSGNVIALFLFLSPVVTFWRIIRKRSTEDFSGVPYNMTLLNCLLSAWYGLPFISPNNILVTTINGTGSVIEAIYVVIFLIFAERKNRLRMLGLLGIVTSIFTVVVLVSLLALHGEGRKIFCGLAATIFSICMYASPLSIMRLVIKTKSVEFMPFLLSLSVFLCGTSWFIYGLLGLDPFIYIPNGCGSFLGFVQLILYAIYRNHKGAPAPAGKGEAADEVEDAKKAAAAVELADAKTNKVADTVVDDKVASQV >Et_9A_063570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7594237:7596188:1 gene:Et_9A_063570 transcript:Et_9A_063570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWDNRNLLAPNIARGSQKDEVTSALCVTRYCCASRSLSPSSASVSCGTCRDDADVDARDAPPPPSSAGSFSAHPSPAGLQTTTSARQTGHVECSLSHTSTQPRWKTCRHLGSRRAASPSAMAPRHTAHSRSSSPPSLAAAAYTNSGSAAMASASSPAARFLSALPSAAMAAAAAAAASAASRVAERRTRNQRMAQRTRRMARREPKLIPRTTRYEVVVQQASAAASRREE >Et_4B_039937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6349963:6353475:1 gene:Et_4B_039937 transcript:Et_4B_039937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFEVDVAGRRLVPLRSLGHRAVFVGDTHCVLLSTEVFPSLASNAIYLGYRNQAIRKFSVYHLNSKRTEPPYEFVPDKDYRLGGLLLGGVPAADLQEQQQLLERADPQRLDQAPRHRVVVVLAAAVAGSRPPEAPHRRHGELGGQVGHAEHLLDEHGHGERVRARAGAAFLGRDHGQGLLGRAPVGVDERLDEKRDEPGGRDHGGLGGLWADRGLDGLQFTRASTISSAFPLTSVVHETISLIASTAKRWLRNCTHLAVSPEASKKE >Et_10A_000053.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20148531:20149259:-1 gene:Et_10A_000053 transcript:Et_10A_000053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIAQQPPPAPPVEGGRRRPCAACRYLRRPCVLTCLFRPYFPPDDDPERFAAVHSLYGTTNLDRRIRYLPPELRRDAAATLAYEASRRQGDPVFGATGDVQLLVTAIKMAEADLATAREKLVPYIGQEAAARYGVLPWTPTMPPEAATMQHMLDSMKEVAELVGRRSAYDAAAGVTDFVTPLHEYFVKGMAAADVPGSSTAAVPGPAQPAADAAAQDPGLPEEEEEDAGDDADESSHQGIN >Et_4A_033514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2477536:2481158:-1 gene:Et_4A_033514 transcript:Et_4A_033514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSESSRCRREQEVEGNANAWRNWKEEEPENADNRNYAGRSKKHGYEVGHQDGDGMIITSSDMNESRRDSARASGLPGSVDEDTYLVGTGSRSPKFPRRSPDDSKDRDYDRRRGSGDKNDWDSSRSCGINAESDRLKEMGSRSSERREGSREKQGLRVQEENSSLRRADEIYSTESGGSLRVDTREGHRDQSDRGSDSNWNEKARDPKESNDADWRNAQERLDGGSFHGRVGYRRDVRGRSESVRGPSTYGNRYDGSDSIEIRPNRDLNFGREGSVSGRRFEVGANREVPGGNDNKCTDHPEADQSGTMSTNAPYPQQGPKGDRPSRGGRGRPNGRDSQRIGTPMPIMAPPFGPLGLPPGPMQHMGPNIPHSPGPLLPGVFMPPFPGPLVWPGARGVDMNMLSVPPNLPIPPVAAEHRFAAGMGAGSGHNIHLNQIDTGMGAPDVLGLGFNQMGMQSRDMLHDKPSAGWTPHRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVANSASPPMYYKCDLREHVLSPEFFGTKFDVILVDPPWEEYTHRAPGITDHLEYWNVDEIMNLKIENIADIPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWIKTNKKNATPSLRHDSHTLLQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGRRRLELFGEDHNIRPGWLTLGKALSYSNFNKEAYVKNFADKDGKVWQGGNGRNPPPEAPHLVVTTPEIEGLRPKSPPHKN >Et_6B_050041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4492417:4495240:-1 gene:Et_6B_050041 transcript:Et_6B_050041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVVDELKYKLEGDKHGKLAAKMKKRIQHVKVWRRLAQELSEINVDLEEVARQRNLCAMPAIVERSGGPCDHFGGSAYQTSCFTREEDLVGIKDNTEVEGWLLDNPEEKKSTSQFGGWIVKDDFDVAAWVTVSKSYEVEDLLKRIATGLGITIRYIVQNIYLAYKP >Et_3A_024021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16128222:16130040:-1 gene:Et_3A_024021 transcript:Et_3A_024021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GFPIFPYAAAAEPPKRESTATMLELKLAKESLFLNILEAILDLADVASAVDTEHVAVVELLFPAEDFQHYHCDQHLSMGIPIGNMVKAIRCADEGDTITIKVGDENFNTITLSFESPSKCYLHARLLHYLLFVLTLTVYDLWLMDPNNIMRLQIPDWQVLDSKYQAFAQMPSAQFMRVCKHLSNIGGDAEATCFIIKRNMALRLVDYTLVLVNEVELDPGEATSTVVTMRAPVSLTLDLKYMNSFAKASVLFNQVKICLSTTQTLIVECKIGQMGYIRYFQAPKDRRRRDQKKRKRKRKRLKRNDKDEGSAIEKEENKEIKGSGRGQGRDRRGRERERERKRRGSKKKAKIE >Et_6A_047024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24140299:24142980:-1 gene:Et_6A_047024 transcript:Et_6A_047024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRSPEPLDFFIWTVEDVGLWLEQINLGSYRQAFEENGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRRPWWAPSCLSVVFVRVAKRNRQSRVVSLKLEA >Et_2B_022795.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5298813:5299547:1 gene:Et_2B_022795 transcript:Et_2B_022795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSEPKEVKLYGAWGSAHAAMARNALALKGVAYEYVEEDLEHKSEALLRLNPVHQKVPVLVVDGRPIAESLVIIEFVDEAWPGRDPPLLPRDPRQRAAARFWAQYFRDRVSPLSHAVLFAEGDAERAALVREMKARMAVMEAGIGEELGCFVGPGEEEEGPLLHGRRPGLLDVVLGSCAAGTRVLSAVAGEEIVDPGEMPRVHASMAAFDELAAGFGTTVPHEQLLARLLERHARARATPA >Et_1A_007380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3479473:3482712:1 gene:Et_1A_007380 transcript:Et_1A_007380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LTGEDLKVGMAENTQGSGRRAFGDLTNVLSKRPASTDLEKSSGGIKIRRIEKDVGPGKVSDENAKSSGRGKGIIFGHLFDGVAKGNFERPSIFHATKVQHMAAQAAGLRSKEVSESRDHCAPMDSSALSDKELDSSLESESGCEEDYDDEINGGFPDHSSSSDFVTKATANDGECLTQEEIAGSSGSQKPLSSSDFTTCGNAPGFHVQPASMRAGGLKEPVPTKSCACSFCTKAAFMWTDLHYQDARSRLSVLKKSIKLARSLEAKTRGNDYAASAAMYNSGRAAEMEFELSQQQRSLFLYTENVLVRESTQLHSAFVKLKKLRENCKTDLEKTSNSTLGK >Et_4B_038267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27753950:27757319:1 gene:Et_4B_038267 transcript:Et_4B_038267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGTPPTEGSGKNRRKQKANPYNVAYNRGAAPPPARPGLVVLRDPTGRDLGAHYELGGELGRGEFGITYLCTEAATGARFACKSISKRKLRTPVDVEDVRREVDIMRHMPSHPNIVSLRAAYEDEDAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQMCHRHGVMHRDLKPENFLYANKKESSPLKAIDFGLSVFFRPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKRDPWPRVSEPAKDLVRRMLDPNPNTRLTAAQVLEHPWLHDSKKMPDIPLGDTVRARLQQFAAMNKLKKKALRVIAEHLSVEEVADIKQMFDGMDVNKNGKLTYEEFKAGLRKLGNQMPDSDIRILMDAADVDKNGTLDYGEFVAVSIHVRKMGNDEHIQKAFSYFDRNKSGYIEIEELREALADELEGNDDDIINGIIRDVDTDKDGKISFDEFLTMMKAGTDWRKASRQYSRQRFSNLSLKLQKDGSIGADTK >Et_6B_049805.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1306458:1306910:-1 gene:Et_6B_049805 transcript:Et_6B_049805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPTRTPSGVPLRMYPVEPEIDEDEEFRNPRFPIVPYLNDYYRPREQEGEAEEEEEHSYRCKRARVPATNKAILGLQQEECAVCLQDFGAEEKIRAMPCSHAFHQDCIFEWLRRNRVCPLCRRPLPIQEEEDEEEEDDEELGFSMSAQW >Et_9A_063402.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:23159735:23160157:-1 gene:Et_9A_063402 transcript:Et_9A_063402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLETCSQAYVAESLVQTQQLIDGHALNAELNRQLSFVTTDALYCNNAFDKRCGTCTATASPTTSSTHRVRHQAKSVRSGVTTSPAGVVPLESSSNYNQLLFVSASSVVVRIGSLILKICGRTDFMPHGHKSKYYKKF >Et_4B_037237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1773065:1776286:1 gene:Et_4B_037237 transcript:Et_4B_037237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDIYPASHQRIASFGRVRTMAFSPLQDLLLPFIVLILPLYYYLVFFRRTKKPREPQLPTDWPLVGMIPSLIVNIRRFHDHMATVLAAMGGSFEARGPPSVRFFCTCDPRNVRHIFVSGFANYPKGEEFASFFDVMGDSFFNADGESWRRQRAKVQHIMSGSQLLGFMARWCRDKVETGLVPLLRLVAGSDTPFDLQEVFARFTFDMTAAPLFSVDAGLLSVDMAPMHVPDAMDAVMEVGFFRHTVPVPCWKLLKKLKIGPERKLAAAQLVLRRFVAEMLERRNGGDGQTRAPVDIASNYMNDPVYVDECGNPRDFLYATLINYMVAGRDTVGTTLSWLFYNLINHPRVADAIRGELAPIAARKAKASTTVDDGVVVFEPEETKPLVYLQAALFESMRLYPPGPIERKEALADDVLPSGHRVRAGDKILISLYSMARMEGVWGKDCAEYRPERWLTEDGALRHVPAHKFLPFHAGPRSCLGKDISVVQMKCVVAAMVWNFDFEMLEGHQHLVVPKLSVVLQMKNGLRVKARKRDIIRGGE >Et_1A_009292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37698436:37700421:1 gene:Et_1A_009292 transcript:Et_1A_009292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFKAPAPGFAVRFSPFHENRLLAAASQHFGLVGNGHLIVLDLAAGGPGAAPAPVFSFPTSDALFDCAWSESHESLCAAASGDGSVRLFDAALPPAQNPVRLFREHAREVHGLDWNPVRRDAFVSASWDDTLKLWSPDRPASVRTFRGHEYCVYAAAWSARHPDVFASASGDRTARVWDVRDPAPTLVIPAHDHEVLSLDWDKYDPSILATASVDKSIRVWDVRAPRAPLTQLAGHGYAVKRVRFSPHRQGVLMSCSYDMTVCMWDYRAEDSLLARYAHHTEFVAGIDMSVLVDGLLASAGWDEMIYVWPFGSDPRAISLS >Et_3B_029594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26274233:26276379:-1 gene:Et_3B_029594 transcript:Et_3B_029594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRPIYREPGNPRRPPRSHGGGGNFSVPLWEKKFCTDACAIPWGKLCETKRLMSLYKSVVDWDDSAALEAFEDAKARFYAEYHGQPCDIPLPDPNLYIDIVNPDERLDPELVADIDRSRRAVPKRDNAAPDGWDSFIFTDKPVPVTGWGDVETSNTFGQQCSVNWDNNLEQFVEGNCKQSSLNWDCYVKQPAQTIVQQSSANWDMYVEQPGQTSSLGEQTNPCIASWNMRDDSQDAWKHDYGWGSAAIQTDSWDNHRDSYDVPDSHGMPYGHWRRRNNDSSRRNSRNRDRGGPISAKPMKSKYHADEHSGTNNGWRHCRVRNDMHYSYEQAGYAKQSLAM >Et_10B_002358.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:12301017:12301569:1 gene:Et_10B_002358 transcript:Et_10B_002358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLAADGGDDDAVVTRFVVLAREPVVLPPPRGTDDDDGRRRFKTSIVFAGGASSSSVLLFKVLAARDIGLARAETRPNYHCVDFQASLAEPRAQDAMAQVQELTSFLRVLGSYPRY >Et_1B_012506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32625466:32628528:1 gene:Et_1B_012506 transcript:Et_1B_012506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAERPYPPIDPPPQPRGCSPGAPDSPARWDDEGKGVEGLAGLRIFDQEVDEPPAENCVASNPDTTYTATANGCSTEDANKNLVEKEPGKNFFYGDPLHEHTGIWVPVSVPPMTEKDREEWHKGFGRIGGYFPDEEFGWELGEDEKEMTMWDVFSEMVVAAKDKVISVASYDLGRCGMSVMSNFFLQEAWKDMAQTLADANAGIANELLETEPTKWLPDSAATSCMLCGVRFHPIMCSRHHCRFCGGVFCGGCSKGRSLMPPKFRTSEPQRVCDVCGVRLESIQPYLMNEVSRASQLPTQDVTDLSTLRSWLNFPWGHTMEYEIYKAANSLRSYCKVGILKPEKAIPDAILKQAKGLAMVTVAKVGMMVTYKIGTGLVVARRADGSWSPPSAISTCGIGYGAQAGGEVADFIIVLRNTDAIRTFSGKAHLSVGAGVSASAGHLGRVAEADFRAGDGGYAACYTYSCSKGAFVGCAFNGSIVSTRDTENARFYGGPVKGSDILLGSMARPPAASPLYKALSELFDKIGK >Et_7A_051239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1603368:1605045:-1 gene:Et_7A_051239 transcript:Et_7A_051239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATPVEANAVAQQDGVKNVFCMEGGQGETSYINNSQVQSRNLQMVVHVLKETLGTIRLSHPPEKLLTAADLGCSCGQNTLFVADAIVQHMTELYAAGGHAAPELCFYFSDLPSNDFNTLFRLLTPDHSAAGDDNKDTRLRGYFAAGVPGSFHDRLFPARFVDAFTSTFSVHWLSRVPPEAADTRHAAFNEGKVFVHGASPATGAAYKRQFQSDLGRFLRCRAAELKPGGAMFLLCLGRPSSADPTDQGRVRLLYGTLFEDSWADLVHEFREVVEADGAFRINRLEMVTGSAPVVDRPDDPTDVGRTVANNVRSFVGALVDAHVGKALADELFARLRRRAEERAREIMDEMRLPHVVCSLSLA >Et_10B_003399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19469820:19486777:1 gene:Et_10B_003399 transcript:Et_10B_003399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHHQPNKNSMYLPNQSPTCDNLSHVCDHRSVMKEIAREQSLVTQLRAIVLPALQADERSELVAQMFQNILDCSSKAMAELQLHQSDDARAESDNTLVDDKKRIRRIPDNSNKEEDVKLHRQHKRRRFADLVPLETPVPHYDGRQWRKYGQKHINKAKHPRCTYRQEQGCKATKTVQQQDETIGSDHPVMYTVVYYGQNTCTGNNGGDSGTDDSQTNPTRQSSNDSQSSISGNCSDPSDQQMSLDANKLIDKSTDSIKENKMYEALDITEFVALDLDSWDRKDERSWTTDTYAPYNDGHQWRKYGEKKLSNSNFPRCTYKNEMKCAATKQVQQKDTSDPPLFSVTYFNQHTCSTSSNPTGSTRDVASQSSSKKAVSISFGPHSSSEQPTFLTSVATPPSPRIQSYRTNQQQRDRSAYAHQFHWAGTSSPTSNDPVKMEVDRVSESSTSSSSAGALPRTLLPIDTMAACQRDDRESAVSEVAKVSELIKTNQPLLFLHCHHGQEPTKLAQSLLSEALRALNIALSVMKQPQQQQQESSNTAASPQLSSSSPAARSDERIGETRRQKKKVSIDARVSVIEGRNSSWVNLTTVPYEDGYEWRKYGEKKINGTHFTRSYFRCTYKDDKGCLATKYIQQKDNNDPPAFEVSYNNEHTCNCTSTASPANKNNYNNLPTGGAVSPLNGHVMIKQEATVVLPSLAEIPAVPLEDHHQMPREESFPSLAAAPYHHVSILSATSAGDSSCHTGVSCDEIEMGQIMMEHLMGDIPELQDLELLLLVLSMKDVIRDNLRIHAKKASGHGLDVGSGK >Et_9B_063858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17968540:17970732:1 gene:Et_9B_063858 transcript:Et_9B_063858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFFESFSTVQGDLLRLEVVVLLSALILSALVLYGSAHRRSSDKLLRGAMWMAYSMSYVVVSYAVGIIQDGPFHGETFVLWATALLLIQASAYSAPVHSRRDVGQRKKLLLQHVLQTGLVLWLIANATGRNPSYRAAIWAFWALNVLKTAAKIVEMIQSSRPDMSVKVVAEYMAVEDRDDGHRPPPPNPVTMQGYRYIFHGEETMLPPVVHHDGGGREEVLALGKSVVTIEQVYRWIDRQPGSEVEKDKARDFCLAFALFKLLKRRFYGYVPAEAGSRKARDLVLTGLIHDGATGPDAAFRVVESELAFLYDFFYTRNIVLESIAPPETRYWEEKVGQYELLKRFRHRPFNLLSWVTLYLVEPRRQGQKRGRRKPLPPEVRRAVLLTLKQSDCELSNGVAALRRHRLLPRLAWACKLPMFTDQILVWHIVTTCCDWASGRPERRRRGAADADVNRLVARKLSNYCAYLVAFVPEMLPDPSYNTEQIFDTAVQQARDHLDGCRSHAAILARLAQIADFERPHLQEEGGAYERAGGATVIERAAVLWRQLGAGTVRRWEVLAEFWAEFLLFLAPSDNVDIHAEMLGAGGEFMTQLWALLSHAGVLERPPGQGSRAGRWVNLLDLLPRELEQRRR >Et_8B_060786.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:7576740:7579226:-1 gene:Et_8B_060786 transcript:Et_8B_060786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVTLLILPFLLSTAVAAFTPAFAVFLACGAASNVYPHDSPDRSFTTDTPFLTSPSVPAVTNANFNPAVSLLYAAARASNSAFSYKFAPPASASQFLVLRLHFFPFPAAQPTVSISSAQFAVSVLGTYTLLSSFTPPSAGVVKEFFVPAGGGSGDFSITFTPDDGLSAFVNAVELFSAPRELLWNTMASPVGAARAGDSSMSLYWQQQALETVYRLNVGGAKVNDDTLWRTWLPDDPFFDGSPRLSTVNSTSSPIVYVPSSPYTKEIAPDVVYRTQRATTAAATGTALAPGLFDMSWTFPADARADYLVRLHFCDYELVTSVVGVGVVSNVFVAGEMGTPDLDLMQNEQQPNTAFYHDYVATAPITGNLTVGIGMSAKSNPGAGGFLNGLEIMKLRPSNSSSTRSSGTDDNKKRVVIIIALSAVLGASVVAACSAVSFFVLRRRRRRIPRPAPDQDKESTQQLHIPLAEIKAATDNFHERNLIGVGGFGNVYRGVLRRDGTRVAVKRAMHATKQGLPEFRTEIAVLSAIRHRHLVSLIGYCDDQAEMILVYEHMDKGTLRSHLYGRSSPDQEPPPPLSWKQRLEICVGAARGLHYLHTGGYSAGHIIHRDVKSTNILLGDGFVAKVADFGLSRVVAGPDTHVSTAVKGTFGYLDPEYFMRQQLTDKSDVYSFGVVLFEVLCARRVIDQGLHSDQISLAQWALKWQHEGQLDKIADPRIIGQVNQDSLRRFAETAGKCLADSGTERPSMGDVLWNLEYCLQLQETHVRPEAFEDSSTTATQFPEAVVVPRWRPSSTSFLTMDEAAAATDVGVANSKVFSQLSNEEGR >Et_5B_044570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3610025:3612626:1 gene:Et_5B_044570 transcript:Et_5B_044570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMEEIEGKSSAKGHTRRERPRTRPHGLTQQKRQEIKEAFDLFDTDNSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGAIDYEEFEHMMTAKIGERDSKEELTKAFRIIDQDKNGKISDVDIQRIAKELGENLTLQEIQEMVHEADRNGDGEIDFDEFTRMMRRTSYGY >Et_1A_005874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1507870:1509502:-1 gene:Et_1A_005874 transcript:Et_1A_005874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVCENTVSVDFKQTKLSNFVPFIRSGDWSDIGCRDNMEDAHVCISDLAKNYGCNSADDEVVFDGHGGKEAALYVRDNLPRVIVEDADFPLELEKVVKRSFMQTDSKFAETYSNHTSLTSGTTALTAMIFGRSLLVANAGDCRAVLSRRGIAMEMSKDHRTCCVNERKRVESLGGYVDDGYLNGQLAVTRALGDWHLEGMKELGEPGGPLSAEPELKMTTLTKDDEFLIIGSDGIWDYFSNQNAVDFARRKLQEHNDLRLCCKQIVEEAMRLGSRDNLTAVMVSFHQEAPPQIRVNRIGRVARSISAEGLHRIGVLLEGQ >Et_3A_025699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3260690:3269731:1 gene:Et_3A_025699 transcript:Et_3A_025699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERALRGSSDARLRAKYDDAVHVVRRAFELYPFDELAFSFNGGKDSTVGQQQFSPSSPGWPPFMRINPILDWSYSDVWSFLLTCNVKYCSLYDQGYTSIGSIHDTVPNELLRDGSGGFRPAYMLSDASLERAGRSKKKKTGKQNASANGTVTRQMSRRMEIDEAVRGSSDRRMRTKYGNAVYVVQRAFALYPFEEVAFSFNGGKDSTVLLHLIRAGYYLYKKDSGDGSQMDAVKNCPLRTIYFESPCAFPEINSFTYETVSTYGLPLETIRSDFKSGLEGLLKEKPTKAIFIGTRIGDPNAIGQEQFSPSSPGWPPFMRVNPILDWSYRDVWSFLLTCNVKYCSLYDQGYTSIGSIHDTVPNALLSDSSGGKSFRPAYMLSDGRLERAGRAKKANNKVEMNSVASNGMTTTEGEQMISRSASIVIVGDEILFGTAEDKLGAALCNKLHAIGWRVSHIAVVLNEIDSVAEEVERCKSTDDMVFMFGGLGPLHSDVSLAGVAKAFGVRLAPDEEFEDYLSQIMGNDYTGDRNEMALLPEGITELLHHKALPLPLIKCRNVITFAATNVDELEIEWDCLLDAQESGLVRAKPFVSKHLRTALSDAKIAPVLAKLCLEYSDVYIGSYRVSRSGPLVVNLTGKDYQRVEAAAEKLMSSFEGHFSQVDNCK >Et_1A_004595.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21927279:21928730:-1 gene:Et_1A_004595 transcript:Et_1A_004595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFHGARFSNYEAWLSDATHIGPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITSELQLYCTTIGALIFASLMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQIHVSLPINQFLDAGVDPKEIPLPHEFILNRDLLAQLYPSFAEEAAPFFTLNWSKYSEFLSFRGGLDPITGGPWLSDIAHHHLAIAILFLIAGHMYRTNWDIGHGLKYILEAHKGPFTGQGHKGLYEILTSSWHAQLSLNLAMLGSTTIVVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTARYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGCPQDMFSDTAIQLQPIFAQWVQNIHADTPGVTAPGAATSTSLTWGGGELVAVGNKATLPIGFKWRCAFFPSGEQKREI >Et_7A_050765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10848365:10858439:-1 gene:Et_7A_050765 transcript:Et_7A_050765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMELLGHEATEIEAAITKNLEPEDQEDRLSNLPDDILAFVLESLKLHEAARTSVLSRRWRHLFSFRSIIQIHIGDYAAESNASVVEATKSMLADTVQSPISTLSVKFLVLEESIDIIRCVDSAVANRQINALQFLMHGENFGVGCDEDGMVAYGRRFMSNQDREINKTFQLSEFLRNTMLPVLVLDFECGRIWIKPEASKLVRPRFENLKILCLRRIHEECDLDWIMFLMESAPVLQRMYMQVSDYSVCKCDESGHTDQCQAHHQEAPADWKHYNLVDISIRGYQVNEKFTRFIKLVVEAAVNLQLLLLLDNVPCKRCQLMSLKRISADRAGVRNDKETSVAEVEEEASDRLGKLPDDVLLSILKRLDLRDAVRSSVLSRRWRRVPSVLPDIVIDVDSFINPSDVDADGFTSTLSPTARGNMAVAQAANSILARRSDQPINNLSLTFFLGNESIGILRAAEDAMSARGRGVVAAEINLLCEKTDILCTDGDMNRQGRRLLSCLSACPLAFAGLTGLHVESVKLRDSDIPSVLRTCHKLERLSLLNCETSRDRPLVLEHSRVTELKLVSCNCDGVELKWLPKLAQVICESWFPSRHGRPLLFGHVPQLRRLMLTTAGNAQYTTLKLSELLVDYAMLGELYLDFLSARNLRIVNLRNIFEECDLTWTLFLLQAAPLLKMLHIKISSHECVSVDDWLGQLLGVCKKDNMTWELPDFKQSNLAVLEITGFQPDDKFVGYIRRVMKAAVNLEQITLHDDWCGDCEYYPVRRYPRTKEERDKIRQRINEDTIKPIKSIQF >Et_2A_016414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24394657:24396826:-1 gene:Et_2A_016414 transcript:Et_2A_016414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARHSPRSFLLALLLPPLVLSAAAAAASSYETKPIDPGLAVMTLPAPVSGPESLAFDGRGRGPYSGVSDGRILRWHGGRQGWADFAYNYKHKSVAMCAPEKKLVVPENVCGRPLGLQFHRESGDLYVADAYLGLLRVPARGGLAEVVAAEAGGVPFNFLNGLDVDHRTGDVYFTDSSTTYRRSDYMLVVALGDETGRLLRYDRRARRVTVLHSDLSYPNGVAVSGDGTHVVVAHTALSALRRYWVRGPRAGRSEPFAELPGYPDNVRADGRGGYWVALAPGASFGGGAADVAPPVVAVRVSGDGRVVEALDGFSFVSVSEVAERNGTLWFGSVDTPYAGKLIKGHAS >Et_4A_033464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23009749:23010566:1 gene:Et_4A_033464 transcript:Et_4A_033464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIWPLPDELQFQYGGPEWFLWLLDRCTDIQRELVLLMFWRIWYDRNQQVHEFVCPSIEVKFTIHRCIIRKESNLCLTDFRQDPARRSRPLAGVLPHQAYITKINVDAAFHETTGAAAVGISLVDEVKEIEVKHCVRDQNRVAHSLAFFACAEGLCAVWLRHIPPNVSHLCDVECNLVLR >Et_1A_007332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33460117:33461642:1 gene:Et_1A_007332 transcript:Et_1A_007332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMRAPADEQLQQEAPHLHSRDHGGGDEEEVAVGVGGSESNKKARAGLCGVLRERKVVDLARAKRRLVEVPYTATLAHTANALLAARVSAVAVAAPPGHWIGAGGSMILESDPATGAVRKHYIGMVNMLDILAHIAEASDEAEADDEAVDVDRRMAVPVSSVIGHSLEGLSLWTLHPNTSVLDCMETFSKGVHRALVPLESSADNVVAVELVESSPGYRMLTQMDVVRFLGTHGAELRGVLSRTVRELGAVNDALFAVASGTKVIDAVKAMRTASLTAVPVVDAAFDASEALLDGRGKKAIETFSSTDLRDCPVARLQPWLGISVMEFKEKVAEYRASNKPVVPGADATYTGVPVDDADADAAEAANAGDEVQQEQQLLVTCTPESSLGEAVEAAASRHVHRLWVVDDEGMLAGVVSLTDLLRVVREAALGEDRELHGLVSS >Et_7A_051396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1793900:1800666:1 gene:Et_7A_051396 transcript:Et_7A_051396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYHDQRRFPGSGEAPPPPLQPPHASHPNGQWYPSPPPPYPPHPSHPYPPPHHQWARPPGVHHQHHPPQQPYTYQTPPQQQPYAYQPPARAPGNPWPPHHAAGQPPPPSYPPPPPGQVMAMRMIGLQRQKHGLLLSLLQKTTRCNSIPYPQVEMKMVQYLCCPCTYVTCGLIYETEDYIADRGRLASPAKNFGSFPSTYEQEVSYNYSSAPGNGNAMLQYPSSQAQPFQTVTSVRDGFPQGPISMPVVPSMEQPPFGHERHSVNPSDQPLEFNSRKVADMAIHTTVASNHDVVVTSTHPWMPSAAVGFHSQPSVPPQAAQMDPSVHAAPLFGAVSGSNYVPPAAFGAGSITEVFPTDPNTPLNAAEKSKKPPVPNWLREELLKKKSAPPSISMQHLSHLDSMESNDNEQPQRRPDQSDSRSNDSAKSTEEDEDDEVDDVEAARAAAINQEIKRVLTEVLLKVTDDLFDEIATKVLNEDDSSAEFNEPTGVSALKESGESKTRTPAKVVLPAKPPNISAGALLGLANYDSDDDGSGDDEAKTVSTLSSETNAGTASSEKHSSIGSTSVGEDAKPVDKKNYRSTNAEPEREGIHKMDDRAHRHLEKSSKEDLIKEVKADRTKELESSIGEKYNSDGKHGMHDKKGSIKEKKGSDRIAKHESDTRETHSRINSKHDDAKGDRKDFPKDTRERNRDTTDRRGDKGKDGKEDRSRQMTKSSTSNSSRRSWSPRGRSQARKHSSSRVQGSVSSDEHSDSVNKRKHHSRKNSFSPSPPKSRTRRVSRSPHSKHSHRRHSPYSSADRFGINLAILDIAGVENRLAGNKSKLSIVQKVNFQEEAIQIENSNQKKIEDTGQFAIRQGILCIAGCYECIFCFLFSLIKKWLSSDGRHDTGVSKSV >Et_4B_039254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9092163:9093095:-1 gene:Et_4B_039254 transcript:Et_4B_039254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGGGSGNASGASGCCYYALLGIRKNASATDIRAAYRRLALKWHPDRWASDPGAAGEAKRRFQRIQEAYSVLSDKGKRAMYDAGLFDPLDDDDQDFSDFMQEMLVMMDNVKNEKPDTLEDLQKMLEDIVSGDGGSRGGGGRVPSDGTRRTRVSPYPQPRR >Et_2A_016729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27706494:27710857:-1 gene:Et_2A_016729 transcript:Et_2A_016729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPQEAIDTFISITGADEALAVRKLEEHGGDLNQAINSHFNEGDGTLNRINQDTTLPSHDDMMDLDGPLDNTFQMIDPNVQQQFFDRIGSTDTSSHGPLVSQPREALEVPIEVRDSEPQTGPSDQAPVIEDVTGHESSQDHGVRETIIIGDEDDGLSSAASARHANIPNNMSPPNPTVPSAPPLVHVNDYDDDIEEEMIRAAIEASKKDAEGLTNTVEQDRDQHPEGVNMGAYSEETDMGIADGTVGRQGQASGIAGTSRQPIDEESLQEEGEDVEEEPLVRRRSRRFPSESTELAQPVHPDASPVLDFPSPSNLSDRQNNGDEFPSEWGGISAEEHDEAVMLEAAMFGGVPEGPTYPFSMPSHRSSTHYPPIVHSPPPELTEQRLLREQQDDEYLASLQADQEKAELRRQEETAAREAAIEKQKQEEEERCKKKLEEEELESNLASKQASLPLEPPPDIEGAVTLVVRMPDGSRQGRRFLKSDKLQFLFDFLDVGRTCKPGTYRLVRSYPRRTFTSSEGDTSFSDLGLTSKQEALFLEQITE >Et_10B_003094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16447468:16453332:-1 gene:Et_10B_003094 transcript:Et_10B_003094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLQKGPQGRSTITGRKKKKAPPPSDRWVRGTIVGGLPCIMKFSEQKRPRTSTSAAAATTMSASSSNGGSAYYDVHGRDAKPDVVYKEATSDSTLNLLNVQELVTWVVGDGILPSWVFVKNKPLIPKVVLLYVPGLDAALYMLQSSLLSSLKEFCGNPKPVLASSSIPDERHTIDALLTCRVKRKRDAKTSNQSVEPDTNGKLFNLDDLKDIPFPITYYTLSEKDLEDNGYSFNLPDIVPTVSAPSGSSPHKMLALDCEMCVTEAGFELTRVTLVDVKGTVVLDKLVKPSNPIIDYNTRFSGITAEMLNDVTTTLKDIQEEFIELVYKETYLVGHSLENDLMALRISHSLIIDTAVLYRYNRGRCCKIALRVLAKKFLNREIQNSGSGHDSVEDARAALELALLKIKHGPDFGSQQSTSRRNLTSVLHESGKKCTLIDNVSVLERYSDTSCNSIAVFSDDDALSKSMKEVKNDKVSFVWTQFSGLMSYYRRRAQDPEKLKSFVAEVIALQTCDEKTARKRARRQICPELKEILCELDKKIRQLYGALTDNTMLIICTGHGDTPLVQRLKKMLNQEEETVENRESIVQALGDLKAKAEVALCFCLDS >Et_1A_005809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14229625:14233755:1 gene:Et_1A_005809 transcript:Et_1A_005809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METQRTVATFAMKPRQFNTSCHLAQPFRQGPYRSWMWRRRQAVLRCRQTHQRPAGTHGDPDEAVINCRLGKNPAGFHPSIWGDFFLHYSNPIASSPQLQVRMAERADKLKEEVATMIDRSSTCSLLERLHLIHVLQLLCLDHLFEDAINDLLTQIRHTDVSGCDLQTVALWFYLLRYHGYRVSPDVFIKFKDGVERFSSNDPRGLLNLYNAAFLMTPGERILDEAMSHSRKCLEQALGHMDREGSLSREIIRALNIPLPRRVRIYEAKYYISIYEKETTVHETVLELAKLNSNLMQLHHQQELKVITRWWKNLRLQSNLSFSRDRIVECYFWMLGAYFEPRYSRARIFLTMVMAIITIMDDTYDVYGTSEECELLTKCIESWNPEVAKNLPENLKIILQNILHSYEIIEHELDPGCKYRLSYLKNVTIDWVRAYNTEVEWRDRRYIPTTVEEHLQNSVRSGACHLLTCASFIGMDDIATKESFDWVTSMPNSVHSLCIILRLLDDLNSYEWLKSNKDQPKELLARIFNLARTMEFFYEQDDAYTNSYIVKDIINSTFVDSFTVF >Et_8A_056515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11245963:11268691:1 gene:Et_8A_056515 transcript:Et_8A_056515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKVSIMLDFVTDVQKVSQVKHNVPTSQRSYMSTAEPLTNDNYKADDASEERDQRICGQLEEEPVKETLYEREVNRLLDSLGSRFVDWWWNTPLPVDADLLPEYVPGFKTPFRQCPPGVRPTLADEVLTYLRKPARPLPTHFALAKIAVKVGIQNTNHEQMAWNLKRITGGTVILRNKDFIILYRGKDFLPGGVAQTVIQREAQVHDKHVKEEEARLKVVESLQMTSGFSSEELSGNLIADLVHEKTESDNTIIELEAEKHRLEKELKDQEWKLFTVCTDLQQLVLSFKPFILLHKKIERSNQVLAKLHSSWSPSGQSADKELLTEEEKMIFRRIGLKMDERVLLGRHGVFAGVIEEIHQHWKHKEVVKVITKQNQARQIMYAANLLVVETGGILKAVEKLTTSNAIILYRGKNYHRPTKSSLIHEILCTGETVVHFGDWSVCTIHIFFVAWNVMPTSKAGTLNSKKKPATALCPYFLVSLLQTYVARKMMRHTFVYRGSNYLQNTKHCHSFVTDVQKLSQVKHNIPTSQRSYMSTAEPLTNDNYKPDDASEESDQRICAQLEEEPVKGTLYERDVNRLLDSLGPRFVDWWWNTPFPVDANLLPEYCPPGVRPTLADEELTYLRKLARPLPTHFALGRNTKLQGLAAAILKLWEKKPCSKDCSESGIPTMNKWHGTLRGKDFLPGGGAQTVIQQEAQVHEKHVKEEEDRLKMTSGFSSEEVSRFPG >Et_4A_032808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14102338:14102928:1 gene:Et_4A_032808 transcript:Et_4A_032808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSARIVSHRALTPTTRAPQSEEPGCRRDRSMAVVVAGAAVTVAACATAAVLALASSPSSSCDDEGMISHGATVASPSPATSTQECAVCLSELSPAAAGEEAAGVRTLPGCGHGFHAECIGRWLPLRPECPLCRRPPAARAVLASSSATAWARPARIACGFGDGRVVWTRSPSV >Et_7B_054404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2120976:2123263:-1 gene:Et_7B_054404 transcript:Et_7B_054404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRGLISAPHSSSTLRSVYAPRGCRRLPFGAFSPPQPHFTAQGQRYSRALRPRQEWVEDWVRSNDTLVRGLPILVGGASLVAVLLNRAVSGIAAVSDASSSQSRADILTLALSVTDILAGLVWLSIRPKSISPVVPRGVECKRVGPGVSSSALHELLWTWDSITTATCCKSLVVVYGGNCVLQIGVAAVSPDGNTITVDVQKFIRGSLYTSAMESKKQSYLANLALYPGRSELPFLPANTQALILQPIGDKGIAIIGGDTIRGFSSIDQVYHFISLSFLFL >Et_8B_059237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15725180:15737386:1 gene:Et_8B_059237 transcript:Et_8B_059237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTPVSDRSFAGVSTPKSTAGPKLVPLESLAMVMSKSEDQDGLEGKEHAPEVPLIKKVAAEFIGTFILMFTVLSTIVTDAQHAGAETLLGVAASAGLAVVAVVHISGSHLNPAVSLAMAAFGHLPRAHALPYAAAQTLASAAAAFLAKGIYRPARPEVMATVPSVGAGEAFFLELLLTFVLMFVITAVATDPSSASVAPCFAETNSKELVAISIAAAIMMNALVGGPSTGPSMNPARTLGAALATGKYTNIWVYLDQKRTMEGYKSDTEAATVAVPPMQTSESHRISIIISPRAASSKIMPFELANAGSVSSKSHANPAESSNAHAPHHHRWNQGFPEIKPATLIKKMIAEFLGTFILIFTVLSTIIMNEQHDGVESLLGIATSAGLAVTVLVLSLIHISGCHLNPAVSISMAVFGHLPLAHVLPYMSAQILGSIVASFTAKGIYHPVNPGIATVPKVGTVEAFFLEFITTFVLLFIITALATDPHAVKELIAVAVGAAIMMNALVAGPSTGASMNPARTIGPAIVTGRYTQIWVYMVATPLGAIAGTGAYVAVKL >Et_10B_002888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13001429:13001771:-1 gene:Et_10B_002888 transcript:Et_10B_002888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEERDEPHLVAVPGVVLASRGRSQASAVMIGRMVLGPALPFQPSTPRDITHRMPASGLCILVQDMRRQCRCTLVQHMPGNDDFHTPAM >Et_4B_039682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26467907:26470781:1 gene:Et_4B_039682 transcript:Et_4B_039682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDATGRYAGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEYDEEEEEEAVAE >Et_1B_013476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8981999:8987762:1 gene:Et_1B_013476 transcript:Et_1B_013476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALALACRRTSVEGTSATCLGIEGIDGSDKLALAAVGLLVAARAAIRLAPWLYAALLRPCKPLRRRYGEWAVVTGATDGIGRAIAFRLAAAGLGLVLVGRNPDKLAATAAEVRAKHPDKEVRTFVLDLAGEGLAAGVEALKEAIRGLDVGVLVNSAGVCYPYARYFHEVDEELMRSLIRVNVEGVTRVTEAVLPGMVERKRGAIVNIGSGAASAVPSDPLYSVYVGTKASPNAFMLSTRARAPLYIATKISNITKSSFAVPSADTYARAAVRHIGPEPRCTPYWPHSVMWFLISLFPESFLDRLLLNRCIKIRSKGLADDAKKKAQ >Et_5A_041365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21848383:21859817:-1 gene:Et_5A_041365 transcript:Et_5A_041365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTPLLYSRGRQEWPRGHACRHTSTTVGHTPQATVKCRSKAITAGRGPAILACYHKSSVVSVLRSQPYAAAAVGAEAEQQSGTVVVPEPQPCKGVASWDLDLLESLVVRLGHDKTKSLFWLSGNFIPVRDETPPAPDLPVRGHLPDCLNGEFVRVGPNPKFVPVAGMIHAMRIKDGKATYVSRYVKTARLKQEEYYGGAKKNFKVLDFTYGSGTANTALIYHDAKLMALSEGDKPYVIKVLEDGDLQTLGLLDYGKRLKHSFTAHPKVDPFTDEMFTFGYSPEPPYCTYRVITKYGAMLDPVPITIPEPVLMHDFAITENYSIFMDLPLLFEPKELVKNGEFIYKFVSTKKAHFGILPLYAKDDKHIRWFELPTNAWEEGDEVILVTCRHEKPRLDKVRDEIQHENWFCFTKKTIRFCCEFPRINESYTGRKSEVNVIDAKTMSADPVAVVEVPNRVPYGFHAFFVNEEQLAQQADKQ >Et_7B_055956.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8062937:8063491:1 gene:Et_7B_055956 transcript:Et_7B_055956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGGASPSVGSMTPQSPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHAAACPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGRPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQQLQQGEGSGGGLHGGHHHHQPPPPPPAAAC >Et_4B_039449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16302885:16303118:-1 gene:Et_4B_039449 transcript:Et_4B_039449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNVDDRSEMGFLISEGRELKQLLQQAVNRERNMVAHELAQLARRNFHTAVWLGQAPACVYGLIDSECNSSI >Et_8B_058673.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:15490341:15490670:-1 gene:Et_8B_058673 transcript:Et_8B_058673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSSVQKVEKLRALAAAAVRYKMDRLKLVCESALCASLDAGTVVATLAVAEQLRLSTPAECGWHIDFSSSILVVPGLAIGQIQVCCRTNVWHWTIARPTRALKSFVP >Et_5A_042418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9941188:9944659:1 gene:Et_5A_042418 transcript:Et_5A_042418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHKKPLTRGGIVRNWDSVADSLIQLVKDQGGIDVSLWVFYFHGISHLSDYKDGMSNHIHGLTYVVDSHAVRFGVRLKILVERTPARDDVQRIGPDSLKRRLHAPALQGGPLSSSALTPFTSDTAEASCSTKTSCSAATMAVTRRRRPRRPATAAGRVKFLTYNVWSCEHVAVFRRIQSICDIIERHDPDVVFFQEVTKYIYSIFQKASWWCQYKLLSSEYERCALHGPRREDTLGKCWQRNSVFGGDMNWDDDIDGPFPTEGCAGWVDAWCTLHPGAERNDACGGWTYDTVVNEMMSGRKWERKRPDRFLCSLTDFTLDSIEMVGMERIPGVKYCGDDDRIVRRVLPSHRFGLLLTMSPKK >Et_7B_054377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20658073:20662626:1 gene:Et_7B_054377 transcript:Et_7B_054377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWELAEVSDNPTPSLEDSTVDVVAAKIEPKLANVLIRQLSQICPLENLRHVKRVRRHTEHGTSELSIILCVSDGSENCSDGFSEDLQKIVDTYQLSPFTAKVAKCSATSKEEWEEQCKLWPTSYHPAHDIILVRGFREDELPSIFNFMKTAIELSKAGNAAIIVDPSSKKIIAKAKDQTYPHDTSEEGNKFAEGEAETFSLNGSTEKDECKGLNTDVSCINPWGWTKQCTTRQKPLSSEGCLAWHPLQHAAIVAIENAAERDRMLFPSSATITRLNSNGNLESYFDNEPAKRLKTDQKDKEQSTDGTCCGDISEITRPYLCTGFDIYLVWEPCPMCAMALVHQRFKRVFYAFPNPVTGALGGVYRLHGEKSLNHHYNVFRITVPEAYLKDNMWPGSGNCMIQRKLFSARNLQSSISCVEPTCRLSDVIVPFITSKIPYSVYN >Et_3A_023917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14653079:14658537:1 gene:Et_3A_023917 transcript:Et_3A_023917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAMAALATPPCTSSSPSNLFLAPSASSRRTVPRAAVRASAAAAARPTLSAGWDLSGLSAARPAARKARLEELDTTNMLLRQRIVFLGSPVDDTSADLIISQLLLLDAENQTKDIKLFINSPGGSITAGMGVYDAMKFCKADVSTVCFGLAASMGAFLLSAGTKGKRYCMPNARIMIHQPSGGVGGKVTEMGLQIREMMYEKIKINKIMSRITGKPEEQIDEDTKFDHFMSPWEAKDYGIVDHIIDEGKLGLVAPLAGAVPPPKSRVWYLWKASGPTRKIIKDLPSEEKLIRNSNGRATEDDEKLKEASAT >Et_4A_033132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18966612:18970490:-1 gene:Et_4A_033132 transcript:Et_4A_033132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSSCPMADANAMPVLGRLASQIAVVLQGKDKPTYAPHVENGDMCIVLNAKDISVTGRKMTDKIYYWHTGYIGHLKERRLKDQMERDPTEVVRKAVLRMLPRNRLRDDRDRKLRIFSGSEHPFHDRSLEPFVMPPRQVREMRPRARRALIRAQKREQANRAAAESAKDEDGKTAKVGRADIIRPVFTPTLGHY >Et_7B_055556.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:14194114:14194749:1 gene:Et_7B_055556 transcript:Et_7B_055556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTALRTYFQLLLVLLPCRGLFRHVAAQPQQSDEARLLLQIKRAWGDPPVLAAWNASAAGAHCSWPYVRCDAAGRVTSLSLASANVTGPFPDAVGNLTGLTHLDVSNNAIQDKFPASLYRCRSLQYLNLSQNYFGGELPADIGVRLTANLTTLDLSFNEFNSTIPASLSRLRNLQFLTLNDNRLTGTIPAELGDLTSLSVAGAKPVRRWQ >Et_7B_053318.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6525761:6526087:1 gene:Et_7B_053318 transcript:Et_7B_053318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLATSFLPELDVRRMDKGAFKADAALNFQTAPHDCCIGDHVPSAPVCMSSTAERTPSPFTYLMSTAKYSWFEPASTTKVNFTPGQLHCTLYIMASVVVRKVSTESKC >Et_1A_007657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3704465:3707486:1 gene:Et_1A_007657 transcript:Et_1A_007657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESEVGVNHRKQMEEKDKAAGKSGDEGKMERSQSINLNRVPAVAKEAGRTQKNGETQSAVVSEANDSSTDKAKKCSGADQTKSPKLEQVDYEGENEGHAENPVKEASLVTVVGNEGRADCGDEDGRAQVLSIVKKDEAADEDGDAINPVTIAGFREDNAVVAASAGVTNVRPAGSRSSSFHGVTRHRWSGKYEAHLWDSSCRVEGRRRKGKQGSYDAEEKAARAYDVAALKYWGPNTRLNFPVSQYEKELQDIKDLSQEECVTYLRRRSSCFSRGASIYRGVTRRQKDGRWQARIGLVAGTRDIYLGTFKTEEEAAEAYDIAAIEIRGKNAVTNFDRSNYMDRGMHCIEGEGLRLLASKPE >Et_4A_033250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20426638:20429543:-1 gene:Et_4A_033250 transcript:Et_4A_033250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSVLRLLSLSSSPRTPQDTRTRPVLPLNAWPARRRRFSPACCVSSPTPPPPPPPPSLDLPLLPFQPAEVLIPSESKTLHLYEARYIALLEEAMNKTKNSFVHFVLDPVVDSSPKASFAVRYGCLVQIESVQKLEIGALVSIRGVCRMEPYFRGAVSPLMDQPYEGTELSTRISKLKECMCNLHSLQMKLKVPEDEPLQTNIRASLLWSEKEISEEYNKYFVPSFSERLSFAAYQTVSGMSDAELVTLQENKIKAMDSTNTLERLNNGIEYVEHNIGMIAARLAIQNI >Et_2B_022340.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18499114:18501843:1 gene:Et_2B_022340 transcript:Et_2B_022340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPIAPPRSLACVGLLLILVSARGADAGPLATELVYPNFTASSFEYIDTGGAFLESSNAAFRAAMFNPGKQQDRFYLGVLHGPSGTVVWSANRAAPTTSSGRVRLSAQGLTVSDPNGTVLWSTPSPLRAPVAALRLQDGGNLQLLDARNATLWQSFDNATDTLLPGQQLPAGAHLSASRSETDLAEGDYRLAVTAADVALAWQGSATYWRLSSDVRSYKDRNAPVASVSVNATGLFALAADGALVFRAALPAAGFRVAKLGHDGRLRGTSYATVNSSEPLLGAGDFVAPAVDCDLPLQCPSLGLCSPAGNSSTCTCPPLFAASASTPGACTPGDGSALASPASCRSNSSATTRVSYLGLKSQTAYFATKFDPPAATGVDDTGCRGLCTASCACLAYFHDNSSKSCYLVQEKQLGSLYWSSSATALGYIKTFASVRNGTRHKGNSSSANRVVPIALPSAAAFLLVAVMAWYVCWKRMSKNKKKNKTKSTGTKKAYVGRSKTKSGDRGNAGTNDDELDDDDVVIPGMPTRFSYAEIEAMTDNFGTKVGSGGFGSVYKGQLPGGEGLVAVKKLEAIGVQARREFCTEIAVIGNIRHVNLVRLRGFCAEGSRRLLVYEYMNRGSLDRSLFGRSGPALEWGERMEVALGAARGLAYLHTGCDQKIVHCDVKPENILLADGGQVKIADFGLAKLMSPEQSALFTTMRGTRGYLAPEWLSNAPISDRADVYGFGMVLLELVHGRKNRGEQADDVAAVVGGGAASGDRSEWPSGWSSAAASTPSGTSGGGDEYFPMVALELHEQRRYKDLVDSRLEGRVDEAEAGRVVRVALCCLHEDPALRPSMAAVVRMLEGSVPAPEPRVEALGFLRLYGRGYAAPTSMAIMAGTSGSAAATPSSPGASPLSHSLQDTSGPR >Et_2B_021838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:638867:642031:1 gene:Et_2B_021838 transcript:Et_2B_021838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSASCSIRFDQEHVSMHGNAEGIFKYLEPIPGASMEEAGRELRQMGGGVGRGEPMSAAQSGAENRRELAPSASMEGRYSRCAAGIVVLWNHLLPAQTDLLTDEEFHDWLESLKDSKYFVDVFDECTVHIDITQLVRHNTFEGCLGIPLQQTVPETCAIVASTVCIEAHHRWKYEMVHGPRTFPCNSAAPRKLRNVCYRKHIWDPDKGAVVEHELDKLYIWEDGLTADAVANLLDSNAPSIANIWVCPWYDRFDSDKDDDLVYWSGCARNVDRKLQSIDVFGEAKVGYHSIVCFEYRFCDGDMHVHLLDNHAANGPKRWVYFFELEDIYTIDVERMDPPFHLGGITVAGQLKVGSSFQSIEEFLAEKGELFCALAEGGTRCIATGQGTPWIID >Et_6B_048779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13610627:13614950:1 gene:Et_6B_048779 transcript:Et_6B_048779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETFTVKVGEATPAAGSRPSAGPIYRSIYAKDGLMPLPSEIQSPWEFFSGAVKKYPKNRILGRRQVIDGKAGDYVWQTYEEVYQKVLRVGSAIRSFGVKPISVDPQNAFQHQYWFVEVDVFLLLGGSLWDIWIQLSRMGYGYAGDACNSQGICYVPLYDTLGANAVEFILDHAEISVAFVQQSKIKAVSNTFNTLAAVQKCRTHLRAIVSFGDVTSELKTEAEILGISCFSWEEFSSMGNQNEDLPKKHKDEVCTIMYTSGTTGDPKGVIITNRAIIAGISTTDHLLKETDKVVTEDDSYFSYLPLAHIFDQVIENYCISKGASIGFWQGDIRYLMEDVHVMKPTIFCGVPRVYDRIYTGINQKIQSGGMIARHLFQYAYNYKLSNMRNGLKQHEASPFFDKIVFSKIKEGLGGRIRLILAGAAPLPRHIEEFLRVTSCSVLVQGYGLTESCSGCFTSIANVFSMIGTVGPPVTTIEARLESIPEMGYDSLSDLPRGEICLRGSTLFSGYYKRPDLTEEVLSDGWFRTGDIGEWQPDGTMKIIDRKKNIFKLSQGEYVAVEVLESAYMQSPLVASVWVYGNSFESFLVAVVVPERQALEEWASANNKPGDFAELCNDLKARGYIQDELNKTGKKLGLRGFEMLKAVHLEPVPFSIEKDLITPTFKLKRPQLLKYYKDRIDQMYKEAKAGRTAV >Et_3A_025987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34405178:34408671:1 gene:Et_3A_025987 transcript:Et_3A_025987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVYTLEEVSKHNTKDDCWLIIGGKVYNVTKFLEDHPGGDDVLLSSTAKDATDDFEDVGHSNTARAMMDEYLVGEIDATTIPTKVKYTPPKQPHYNQDKTPEFVIKILQFLVPLAILGLAVAVRIYTKSESAANMDKPSIKN >Et_1B_011157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18110335:18112187:1 gene:Et_1B_011157 transcript:Et_1B_011157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVQVLPQDAGGATVLKCRLCRVDAASSGDILSKDFQGRYGRAYLFHHVVNITLGPNEDRNFTTGLHTVNDVYCNCCQQLLGWRYEKAYEESQKYKEGKFILEKAMIGKEAQ >Et_2A_016651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26962311:26966546:-1 gene:Et_2A_016651 transcript:Et_2A_016651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDHSFEIKLREMIVDNRAALKSWCRRHTWRCPTSGHGSTGKGHIERSPDPRAYYRCSFDKACDTLKLVRRCIYGRNFLRITYCGEHGHDVPRAFPQRPRWRHARQGWRVCRPAPVCPPHLRPSLSRESTWRRMTKALLSTCYVMTS >Et_9B_066012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20368298:20369499:1 gene:Et_9B_066012 transcript:Et_9B_066012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSAPSSRKRDPLLFGSFALPSGWGCRRPMAFCRDNDARVVTEPEPNAAAAAAEKPQNKLPRSPAKGAGAEESPEAPRKQWNLRDRTAWRDYRAEDARPHKKLGNTEAPGKKSRGFSLTLTRQEIEADFVAMTGRKPPRKPKKQPKSVQRQIEFVLPLLFASQNLCPGSSLAEVTRDRYKVNEFIVESALVISQFCA >Et_3B_027477.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20778757:20779038:-1 gene:Et_3B_027477 transcript:Et_3B_027477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAARADGKNLARARGCRKSWGFSWASRGPLVVFSYAAPSLFTPPHASLFSLLFDAFLSLSSRRVGNPPHFLSLCTSPSSAFPRRRIYQVFYCA >Et_8B_060162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6673812:6679388:1 gene:Et_8B_060162 transcript:Et_8B_060162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDESAGGGAFHELFDSVRRSISFRAGGAALDEPASSSSSFAAAAGVGGFRERIGNRLRRSRGMGLLGMSSKSPLPAPRLLPPPSPSPSPPPPPMATDSVRGGGDSGVGGGGGREENPPPIRWRKGDLIGSGAFGQVYLGMNLDSGELIAVKQVLIGSSNATREKAQAHVRELEDEVKMLKNLSHPNIVVSALHIATGFTFLFDACVSSDPVSFDQRYIGTAQEDNTLNILLEFIPGGSIQSLLTRLGSFPEAGANILVDNKGCIKLADFGASKQVENSADIWSVGCTVIEMATGKPPWSHEYQEVSLLYYVGTTKSHPPIPEHLSPEAKDFLLKCLQKEPEMRSDAADLLKHPFVTGGLEDVSQLNCAAPKETSSNELPANVMQTDDSDLSRPGKLRTLNSYKYTRPLWDLDSDDSQFPDKDDVPMSFNPMLEPSDEWESKLDISPEQRVSQSREFAGLAKLAESQMSGNDFTFPCEGSGEEDDEFTESKIKEFLDEKATDLKKLQTPLYEFYNTVNAGVSQGVSDVCRASNISNPQLPPRPLKMVGGTAVEPICDILNHSPKSCNRRFSRSSVESSRVLREIVSPQLNKFEDKIPDDIQDNPSFSEIQRKWKEELDQELKREREMRSGGYGKAPSPKGRRLTGKRDRNPVY >Et_7B_055791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4697876:4700576:-1 gene:Et_7B_055791 transcript:Et_7B_055791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEHLGRGVTTDEEYDDVSPVEQVRLTVPTTDDPSLPVWTFRMWMIGVVSCALLSFFNQFFAYRSEPIIISQITVQVAALPVGHFMARVLPDRKFKVFGKEWTMNPGPFNVKEHVLVCVFANAGAAFGNGGAYAIGIVTIIKAFYRRNISFFTSLLLIITTQVLGYGWAGLMRKYVIEPAQMWWPSSLVQVSLLRALHEEEERRMTRGKFFLIALICSFTWYTVPGYLFTTLTAMSWVCWAFPESITMQQLGSGMNGLGIGAFTLDWSVVVSFLSSPLVSPFFAIVNVMVGFVLLVYVIMPLSYWGLNLYNATTFPIFSTDLFTGAGQMYNITAIVNDKFEIDMDAYNQQGKIHLSLFFAISYGLGFATIAATLSHVALFYGKEMYQRFRESYKGKNDVHTRLMKRYDDIPSWWFYLLLVVTMAVALVLCTVFKDEVQLPWWGLLFACAMAFVFTLPISVITATTNTTPGLNIITEYCLGLIMPGKPIANVCFKVYGYMSMNQSVSFLNDFKLGHYMKVPPRSMFLVQLIGTVVASTVNTIVAWWLLTTVPHICEKDLLPHGSPWTCPGDHVFFDASVIWGLVGPRRIFGPLGYYNALNWFFLGDLVGPVFIWLLCKALPAHARWISLINLPVLLGATGNMPPASTLNFTAWCFVGTVFNFFVFRYRKGWWQRYNYVLSAALDAGVAIMGVVIYFALNMGGHNLEWWGTSGEHCDLATCPTARGVQVKGCPVL >Et_10B_002953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14609198:14612474:1 gene:Et_10B_002953 transcript:Et_10B_002953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTVVLYPGLAVSHFVPMMELAKVFLKHRYAVTVAFIHGPDTPACLATAVDHVVSSSQSISLQRLPPAAPPAFSCDNNDFLLNYYNLIKSYNCNMPNFNCMLLHNNDRLHSFMCSLLDHQHVHALVVDIFSIDTLDVAETLGVPTYAFHPSGATSLAVYLQLPCLSAKFPSGFGELGDTPLELLGVLAMPASHLSKEIHAHPESDLYRASLSKWARVLDADGIIVNTFQSLESRAVEALTNDPGCVPNRALPPVFCVGAVGASTASDQKCLAWLDKQPDRSVVFLCFGSDGTHRAEQLREIAVGLEKSGHRFLWVVQQAPADLGEQLLPDGFLERTKGVVWLSTHGCLRS >Et_4B_037796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23412366:23432293:-1 gene:Et_4B_037796 transcript:Et_4B_037796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGKKLINNPDDVVTEFIEGLVETYPGLQYLDGFPQIKVVIRADVIRGAYEKVAVISGGGSGHEPAHAGFVGPGMLTAAVSGDVFASPPVDSILAAIRAVTGPMGCLLIVKNYTGDRLNFGLAAEQAKSEGYKIEMVIVGDDCALPPPRGIAGRRGLAGTILVHKVAGAAAEAGLSLADVAAEAKHASEVVGTMGVALSVCTLPGQVSSDRLGPKQMELGLGIHGEPGVAVVDLQPVDVVIEHVLKQILSQETQYLPITRGSNAVLLINGLGATPVMELMIAARKAVPELQLEYGIAVDRVYTGSFMTSLDMAGISITIMKSDENILQRLDAPTKAPAWPVGSEGNRPPAKFPVPVPPSPSIKDDEVFTQPHELSTQGCILEAAIEAGTKEIINIKDILNEWDSKVGDAGTINEIGQTIRRVMGGTSGILYDILCKAAYASLKKKTTVTANEWADALEASVAAVSKYGGASAGYRTMLDALIPASTILKQRLKAGDDPMTAFIASSEAASAGAESTKQMQAKDGHRTFLLTSWLRSLIQEQWLQLHGTERQPWRIVISSRDTRNNETATRQSASALAQFGANRKASAVLHCESAAAAVAAPIPPPPHLASRDGSGPMAARGKKLINDPNDVVIQFIEGLVETYPGLQYLDGFPEVKVVLRSDVAARTYDKVAVICGGGSGHEPAHGGFVGQGMLTAAVSGDVFTSPPVNSILAAIRAVTGPKGCLLVVTNYTGDRLNFGLAAEEAKSEGYKVEQMVIVGDDCALPPTQGIAGRRGLAGTILVAGAAADAGLSLEAVAEEANYASKLVGTMGVALSVCTLPGQETSDRLGPEQIELGLGLHGEPGAAINELQPVDVVVARVLKKILSPETQYVPITRGDRAILLTNGLGATPIMELMIATRKAVRELQLEYGIATDRVYTGSFMTSLDMQGFSTSIMKSDTRILQYLDASTKAPCWPVGTDGGRQKPAKIVVPVSSSGAMKSDKMLQQSRELTKQGCILEAAIEAGAKEIMRIKNSLNEWDRKVGDGDCGTTMYRGATAILEDMKKCYPMNDAAETVNEIGSTIRRVIGGTTGILYDIFCKAAYASLKGTKTGVTLYKRPSVLSANMVAGRSSYIAADKLASAPDPGAMAAAAWYGAAALSLKGMSCPSFGMLGSSLYPVLEY >Et_7A_050653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:123103:124972:1 gene:Et_7A_050653 transcript:Et_7A_050653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQLPADDSVLLLITHSNLSTFAADIRISQQTTVEALKDKLWRKTGTAVASMRLELRDDTGAKIADLDDDAAPLAAYRPYNGYRIHVVDLDPSSLTSGGWLEDTSLVDKYKMSDEAYNKLDTSFRKFKEKVVSKNPTSDDKEQSEKHIEELCSRIKVGDRCEVEPGAKRGTVKFVGRAEALGRGFWVGVQYDEPLGKHDGMVKGIRFFECPQGHGAIVRPEKVKVGDFPERDPFEDEEI >Et_5A_042124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6496448:6499254:-1 gene:Et_5A_042124 transcript:Et_5A_042124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGATTTAADCPEYAEVDPTGRYGRFSDVLGKGASKTVYRAFDEFQGMEVAWNQVKLHDFLQSPEDLERLYCEIHLLKTLKHSNIMKFYTSWVDVSRRNINFITEMFTSGTLRQQKHRRVNIWAVKHWCRQILSGLLYLHSHDPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAVHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECTHPVQIYKKVISGTKPEALYKVKDPLVRKFVEKCLATASERLSARELLEDPFLQIDEMAFSSEDGDYNATTNYMQQPSYLGHAYSNGSMMSNEFSESIEEDAPTEDRWDCDDDDMKGQDGIDLFNGHEDEPLGNVDITIKGRKSDDGGIFLRLRIADNDGHVRNIYFPFDVEADTALSVATEMVAELDITDHEVTRIAEMIDGEVSALVPDWRPGPGIEEAPDTSYCHNCGSNVSSCGSLFAYMSSASRGCQCAELHGRFEEITFQANGEQHDLQDSLGSSDDGGGQTEHYAKARESFNMNGFVGMGRTDPSNHLRFSSFQELSCSFNQYENDTNNQANGFDMKHEMKIAKYKARKMAQLKRAIHPSLDFDNANGESRMKPSLNKLKSFHIGKNHNFRVPTCERSPDIGSTNHFSSMNNEVWRSSHPDPGAQRARHCEVEANSNADCMFTARRYYTGAQLPPNLPRTKSVPLNAVDA >Et_1B_013933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24513198:24517623:1 gene:Et_1B_013933 transcript:Et_1B_013933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQESSAQSSISELAHLSSGASGSTKDLLDAAEETIEELLNEAQMWERHSLQLKNDLETLQKDCDEKSKAQSELLLELSASQAERESLRQEIEELKLSMEVATAQQTAVGISKSGNLIDAQKELNDEVQFLRESNQNLTTQLKKTQDANIELVSILQELEETIEAQKVEISNQVSKDDLLDQINAEWERNMSLKEDEIIALSEKLDRALNIQNAGGVGSDTIYFELEKENDFLKIKIQELEQDCSELTEENLDLIYKLKEVSGVDGQDTCVPDSLEISDADDLSGTSASRAKLLERKCADLELKMLIFRSESRELEEKFRKSQAELIERNLELSELREKLCSFRTMELEGGGVGSGKDCQLKSEELGDTESELNLLRCRVQLKEKEIEDLQHSRLEMETFIDSHVSTNKVLEQKISELESCKVDLGLNISKLENMNIELSDSISGLEAQLTDLNSEKESRVQQIDDCRALITSLKDKIESQQEEMELQKVELKKKQLEFQKRLSEVQDDAEALRRLNAKLQATVDSLVEECNSLQTLATDLKKQKLELHSCATQLEQELEHSKRKITGFCKTVEFLEAKLSSIQKDISSKEQSFLLELDNIFQDHKEHEERINHAHFLLNKIEKEKIAEVENLEREVMSLTAQVSSTHDGQESIAFDTIREASILRADKAKLEANLQGVNEQLRHYESQLEDIRKESKSKIKSLADSLNASKQNEEILKTDVEDMRRLVETAKSNEETVRKTSCELELKFKSSDYEKQQIIDENTGLKFQIQKIAGLQVELLKLQSSLDEAKFEKGKLEEQLKLVSEECEELKVQKGMLSDKVSNRKETLNDIDEERRSKTTMQPKNDNSVEKGNNDLATDNGGCSPVNEEQDMQAKIRLLESRLAQALEENSMYKSQLKSPIPEEQPGSTDGKENNDDKIAQLESELKDMQDRLLHVSMQYAEVEAQREELVMELRNVNTKKGRWF >Et_4A_035772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5593437:5596984:1 gene:Et_4A_035772 transcript:Et_4A_035772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPRRLAALLLFLLLAAASPAARAWKKDEFRNCNQTPFCKRARTRAPHTLDAPLSLTAGSLSVGPDGSVSAELSHPSRPRPLVLRLSALPPHALRLQIDEDYSTSPPPHRRFHVPDVLLPDVEARTLYLPEPKTAAGVSTVALSSDLEVVVKHDPFELTVRRAGSGDPVLSFNSHGLFDFEPLQESKPEGETWEEQFRSHTDTRPRGPQSITFDVSFHGADFVYGLPEHGSTSLALRPTRGPGVEESEPYRLFNLDVFEYLHDSPFGLYGSIPFMIGHGARASSGFFWLNAAEMQIDVLAPGWDDAAAPKNGRIDTLWMAEAGVIDAFFFVGSEPKDVIKQYVSVTGAPSMPQQFAVAYHQCRWNYRDEADVDGVDAGFDEHDIPYDVLWLDIEHTDGKRYFTWDRSTFPNPEEMQRKIADKGRKMVTIVDPHIKRDSSFHLHQEATEKGYYVKDATGNDYDGWCWPGASSYPDMLNPEIREWWADKFSYENYKGSTPTLYIWNDMNEPSVFNGPEVTMPRDALHYGDVEHRELHNAYGYYFHMATADGLVKRGQGNDRPFVLSRAFFAGSQRYGAVWTGDNSADWDHLKSSIPMVLTLGLTGMSFSGGYNYFTSSLSNLDARSFTNVWSFDREASVNGIPVMRPLWLEFPDDKETYNNGEAFMVGPSLLAQGIYEEGQKYVSVYLPGKESWYDLRNGSPYKGSVSHKLQVLEESIPSFQRGGTIVPRKDRFRRSSTQMVNDPYTLVIALNSSRAAEGELYVDDGKSYDYQQGAYIHRRFVFADNKLTSFNVAPDNLGKKKFTTECVVERIIILGLSSGAKKAIIEPGNYEVEIELGPASLRSGSSPVAPTIRRPNVRIADDWTIKIA >Et_8B_059987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4794067:4802462:1 gene:Et_8B_059987 transcript:Et_8B_059987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYVNRFGINPDYCEHLAVWAFNRRSLTIHNRRREIADKLRYTHLFLFTFSQAASLRSSEFNAVLLQEADSIVACHPCMRGIDSTMVKDCCLYELFLQCTFHGVTGFDWAAHASNYWICDGIIKEDLTREIINILHQEICWKHDDPSLLGSLFEKLNEDSEAPFLVIKDHSESGGDSSFSSNEAGAQMSHVFLARHHGPTSNMFRVHGEGPIYRSTEGRARAPATDPFFPPLLHAEYKKRPYRWICITSKNLIVHKDIQIVLQKATSLVVALEESNSPQGLPNGFLKQCSKLGVLILSCCVFSFTSPPFLDCHVLRFLGLHHCTHDNTSNNQGKNNINWECLRILLVLDIRYTDWEKLGLMGDLMELNIEGAGGSWQLAGNLPRLRRLRIIKPSNQYQEETSTDSSNSFLGKTELEILDFSGNKGMKNIPASLSTSSSLQVLILDGCDGLENVVVPGGLPSSLRSFSFDGYGPMDHWTSSFKLPVESSRPKPPSREDKMDIKTSKLSLQGCTLLENVFVRGLPNLVEIDLSGSAIKLLDLQTMVVYVPKLKRIFLLGCEHLRAIKWGPHVRTVLNLELVCIDTRPWKRARGFTRPSIAKHKSFSLQLHAVLADARLVRSFSRLVDRYIDYKKSTYLNVHLSSSTEHSGGVEFRAGAIEITEPSNQQHHVQASQYGDVFMEISNANFPMLDFSKPPTEQLDCHVEIGDGSRCLESELAYSRYHFTLQYLMSVITESVHVHDTSANMCTPAEYWYQLKWCRVERCPNLDTVFPSGAVDLQNQLQIIWASDLLNARCIWSKVSRDTSSFQSLQHLHLRSCLRIRFALPVWVASFPSLETLRIIHCGDVRHVFELDERYPEEIAEDGEPFPKLTTIHLYDLPKGSPKLEQIMCGVEMLAPALESIRIRGCFGLRRLPTMGRRQPSVKKPAIEMEKDVWDALEWSGVDAGHHPDLLFEPLVHSRHYRRRRLLRGTVLRVKLWLFVAFDLYFICLGLAGAVGLLVRDALTDKGPSCGLIIIPVRYFIGLAIVKMDDG >Et_10B_003247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18186268:18187052:1 gene:Et_10B_003247 transcript:Et_10B_003247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKHLCLVKFKEGVAVDDVLKSMTDLVSEMDMVKSFEWGQDVLNQEMLTQGFTHVFSLTFASADDLTSYMSHERHAAFAGTFMAALEKVVVIDFPVVIAKPRPLPPA >Et_3A_023174.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3762382:3763215:1 gene:Et_3A_023174 transcript:Et_3A_023174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREFSEISYRKTVRSSVRGPNSSINRTREGAEAALSCYCHRSSSPVVVAPVDRVVVPRRPPAEVAVPHALRQPVHLHRRELLLELAAGAGGVVRRGHQQPAGEHDLLAVLVDAALRRPAKEASHLDGAAVPAAVRCSVRVGVHLEQPGLGRRPLAADEHDLLRRAALRVRGRRGGEVGRRAERRGGRHEGQEEGHGSREQQRGGGGGAEERGGEDGGRLRGRRGSFSSGAADAEVSVFPQLQCAGVESSEQSAAGCGGTTTSCHHGAHGCLHLQLA >Et_5A_040156.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:16690652:16690957:1 gene:Et_5A_040156 transcript:Et_5A_040156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLSLLYNEIVYILLPIFLASNSKGNTSGNFAFWLGSVSVLFTSYYSFRLLFLTFLVPTNSFGRDVLVSRFPILVEVEVHCTCLLRRIKHAKLVLLYLHK >Et_2A_017054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30529215:30532925:1 gene:Et_2A_017054 transcript:Et_2A_017054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGAASDSVKQPLLHRAYPSHVASASSPALPSAPPGSAGRRFPGGLDVPNLKKRGGGTRSWIRVEAATASVQTLEIDKATMMRRCDLPARDLRLLDPLFVYPSTILGRERAIVVNLEQIRCVITADEVLLLNSLDSYVLQYAAELQRRLLQRAEGDELPFEFRALELALEAACSFLDAQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKLRTESSFYGDQSLLGYNPAAAGTSVSAPVSPVSSPTESRKLEKAFSLCRSRHDSVKSSDNTATEHIQELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFETSIFSIKNAFQWVLVITGVAGAFIFCFFLWFFKYKRLMPL >Et_6B_049394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4309519:4310604:1 gene:Et_6B_049394 transcript:Et_6B_049394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSTAEACAAASSWADLPFDLLGDISRRLHTAADYVSFHAVCKPWRDTVPPEACHVPAPHLLPWLVSPPDALGRRTARCIFSSSRFRDRSWLLRAEDCTANSTGVVVGDPLARYAGAAPLPPIFSGDDDDEIKWRAEPANHAILCCHVRTDLDQRVPLPSPSRRRGGVDDGANGPETSSQERGRCSVAYHDGTIVLSYGKGSWRVDSDTARPSTAGLAFAPWKPGYLFESSYVFESCGELLWAVVEHKIHPVVGSRLANDLSVSVYALQQGADEPGQPPRWVKRDGRSLAGRILFLGLPTSFAVDAARFNVSGGCAYLWSGGPLRMCSHRHRKAVLLVQAQLPRRQVGIR >Et_4B_039315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9952805:9955515:1 gene:Et_4B_039315 transcript:Et_4B_039315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVEINHLTFTYPGIDGRPPPGAPPLIEDTTILKILGGKHMVDPSMVRVLGRSAFHDTALTSSGDLCYLGGEILDIDLSWRMHKASDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARSNLLTYLKKECEERGATIIYATHIFDGLDDWPTHIVSLRAITHVDSKIIIAVGFEVYIAHGKLQLALPLEKVKEMSQLSLMRTVEAWLRKERDEDRRRRKERKEKGLPEFDKIVEGSRVIGDPAKSAARVVNNGWAAGRLTSTIAGEENFILSSNSVLRQ >Et_4B_039396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13375493:13380717:1 gene:Et_4B_039396 transcript:Et_4B_039396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVLAQAIRYHPKVPGLWIYAAAWEFDQNLNVAAARALMQSGLRSCPESEDMWIEYLRMELTYLNKLKARKVALGEDVKTLQKNSDDSGRWKEENKELFMSLNEEGDGPKESSATDVALEEKEDLFWQQGLLIIQTIYHGAVEALPSSLTLRKKFLEILNSVDLTHSDELKIEVLDDLKKDFSHSEDYWDWFARLQLSDLTGSNPSNRKDVLSNKLHRSVQVYDEAVKRLPTSKMYSLYAKFWMDVLYPDREDSIMLFQDAEFDASEFTSSVLKVYENAESCGCLTEDLACQYVSFCLKLERVEEAKNLAEKLCNGPLSNAANLWCLRASMEINSYATATGNSFNNENLSSLFDLFNTVLLKLSITETESLWQMALKLFSHEKIYFEKLVKCAVLSLSSAGGSDSGASVSSAIVGWYLQRDGMKRARKIYKRFLALPRPSLKFFQYCIELEANLAAIGDHDALVNARKLYDSAIDLYPQEREVWRKYYNLELKVGTSETANSVYWRARQVLKDSTALTTPRN >Et_1B_011009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16422319:16423409:1 gene:Et_1B_011009 transcript:Et_1B_011009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLFLTPLFRHTPQPQVALALGHHLLRHSCSGGWTSSSASAPSFLAVVFGSVEIGLAVAVGVSVLRVLMLVARQRTTALGKMPDSTDGPVRHGRGRTGTGGVLVLRIDSPIYFANASYQLREVSHIDTTTKGTVHCALSDNVISRWIDDEEDRIKAENEEGLCYVVLDMGAVASIDTSGTKMPEDLKMNLDRRSIQVALANPGSEIMRKLDNSEVLQLVGNEAIFLTVADACGYAQSNCKICGAGLQKSASPDEMV >Et_1A_006198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19008333:19011471:-1 gene:Et_1A_006198 transcript:Et_1A_006198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTELEGAKKPVLPVAGRRNVLVTSALPYVNNVPHLGNLVGCVLSADAYARYCRLRGHNVLFICGTDEYGTATETKAMEEGCSPREICDKYHAIHKEVYDWFDISFDHFGRTSSPQQTEICQDIFRKLLDNNWLSENTIQQLYCNSCQRFLADRFVEGSCPKEGCGYESARGDQCEKCGNLLNSTDLIDPKCKACVCGSTPCVRDTDHLFLELPLLKEKLEEYINETSGTGSWSQNAVHATNAWLKEGLKPRCITRDLKWGVPVPLEKYKDKVFYVWFDAPIGYISTTACYTPEWEMWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWTFMKTISATEYLSYESGKFSKNKGIGVFGNDAKDTNIPPEVWRYYLLINRPEASDTQFTWTDLQAKLNNELVNNLGNFINRVLSFIAKPEGTGYGCIIPDAPDADSHPKTQYLATDVGRLVEEYIAAMDKVKLKQGLKNAIAISTKGNEYLQTSKFWKLYTEDPVSCATVVKTSVGLVYLLACLLEPFMPSFTKEVLQQLNLCPQEHLSLSDEKIEKAKRPWDLIPSGHIIGKPAPLFKGLENGAVDSFRENYAGSQGERRLKANIVAAKLEATKLSGKC >Et_7A_050356.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:9876565:9877095:1 gene:Et_7A_050356 transcript:Et_7A_050356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GPGHGISIGSLGDDNSEAQVSDITVDGAHLSSTLFGAQIKSWQGGRGYAKDIKFMNMIMDNVKNPIIIDQNYCITSDPTKPKVCEQQESAVEFSNILFKNIKGTTATKDAIRLHCSKAFPCRDIVLQDIDLKMKAEGKKNVVTSSCENAVLSKSSNVSPKACTSAVTKDDIAQEQE >Et_4B_039258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9160800:9167572:-1 gene:Et_4B_039258 transcript:Et_4B_039258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAILSLSAAAVVEDVLRQHGCRLSDRDLASRRAEEAAARRNEAAGAAPDGGGGRRARLPEEPSEEEFRLGLRNGQILCGALNRVHPGAVVVNTAADSVLQPDGAALSAFQYFENVRNFLVAAQEIGLPCFEASDLEQGGKSARVVNCVLALKSYGDWKQCGGTGPWKYGGNLKPSGSGKSFLRKNSEPFQRSQSMNDGEVSYEEAGFSGDGHLDSSDTSTSRPLKMLVSAVLSDKRPDEVPQLLESMLSKLVEEFENRLNSQNELVKAALKNGTDNTKSFSKSKMDTTEMYSKHKQTNKEASRQLALKQHSIFQQQSKNVEELKADLITTKAGVEFLQMKYSEDLNILGRHLFSLANAASGYHKVLEENRKLYNQVQDLKGSIRVYCRVRPFLPGQVSPSTVGSIDEGNITIVAPSKSGKEGRKTFSFNKVFGPSATQDEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKNMTEQTQGVNYRALSDLFKLAEQRKGTFIYDIAVQMIEIYNEQVRDLLVNDEIRNNSQNGLNVPDASLVRVASTMDVMELMNVGQKNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTVLRGCMHLVDLAGSERVDKSEVTGERLKEAQHINKSLSALGDVIASLAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDAVGETISTLKFAERVSTVELGAARLNKEPGEVRELKEQIARLKSALAAKDSGSEQTLSRDSEAFNTKMPSPGFSNRRQGSCDLLSSQTNFRQPMEDVGNIEVRANPTSRQKKPSFDLQDLLASNDSPSWPDSNLRVNFQMGDERETVGGDWIDKVVVNNTSVGMREKQYQRNNTRQKDDHEFEQQRPRFYSINTDDSDDIDMATSDSSESDALWQLNVQSINNSISESGSKIKKPQAKIRESSDSRTPSHSQIPSASRKVSNGSNRSVRQPLSRSDSRRLSSNGRQAGYVEFLFAITQAYPSEKT >Et_10B_003290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18508985:18513373:-1 gene:Et_10B_003290 transcript:Et_10B_003290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFISSSAKPYCSSKPLNPNRFPSPTISSSSSAAAPRFPSHGIAAAAAVKTNPTTSSHRIRALPRAVRASLPRRPEYVPNRIDDPNYVRIFDTTLRDGEQSPGATMTSAEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGDDGHVPVICGLSRCNKRDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAREMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGSLIADIKANTPGIENAIISTHCQNDLGLASANTLAGARAGARQLEVTVNGIGERAGNASLEEVVMAIKCRGELLGGLYTGINSQHITMTSKMVQEHSGLIVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEINDQEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQAKVIWSLVDVQATCGTLGLSTATVKLIAPDGEEKIGCSVGTGPVDAAYKAVDQIIQIPTILREYSMTSVTEGIDAIATTRVVITGDVSNNTKHALTGQSFNRSFSGSGADMDIVVSSVRAYLGALNKMCSFVGAVKAGSEVPQNQSV >Et_8B_060068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5692966:5696534:1 gene:Et_8B_060068 transcript:Et_8B_060068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRALLSLSPLPGVRFPACQRRSGFLSIAVPVRARRRGTLGERRTRRPLVPSEKGNAAAAPGGRRRETASRFKPVATPAAAARRCTSPSPGRASAIDTTASCNRARSADRARPAASSPLKPSSAVATRSASPARDAAAEARGTTPPRATSASARSSSPSRRSESSVPAPAKKIDRLVRGLPSEAARVKVQAVADTAASERKRSPVRRNSSISNQCENARPTEWPARRVMEQHRWPAMMTGGRGSASNLTSPASGAPPPPPPPAAAAAAGNAGWSVCSSSALSAGHSPVRTRPSEGTGNGKCLNPSSEMAKRAAIRRSRREADHDSDASSQTSEGSKPACRPSKAANSVPVLQRSSSPSPSKVVSAASSSSASKACQSPSRIRPSGTCRSKCASSAAAQPGAAKQPVFNYMVDAKKGKKNASQIENVHQLRLLDNRYLQWRFVNALSEATLSFRRNSAEVELSTCVDYYMLLLYSLILPKLFICFGHPYVLLVLKLFLQDNAENTCPSNIFGVWKCILTLRDALTTTRIDVRLLQQEMKLYHILTEQITYLEQWPELEEENSSTLAVATEALKASTLRLPITSGAQLLDFKWHCFKHNEQAEIVEVKNAISSAVDVMQALSSSICYLQPKVEGRTSLVSELSVTAMQEKAALDECRELLATAAKLQVQESSLRTHLMQLRDDSG >Et_7B_054926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5651482:5660461:-1 gene:Et_7B_054926 transcript:Et_7B_054926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMKRLNFLLPLWRRAGGAGSSGVVMEEFVMGDHARLVDSMRLAKVVAASSTFFAKLSELFASDAAFRRALERVRGDGGERLRVVAYGLGGTQYSWAPRFRLAILLVLRDTFPEVVGAVEVVCPTVSPVERQALEELGCVVSASPTQCRSVHEPTLIFMPYADRVFLENLFILNWSAEQLGKIDFLGHSFSAMVKMLELSMSKQEKFGVTEQREKVRRVHAIQKYVREIKLCADFAGLFSNPLLGDGPDLFQERDEDPDEVIEDQCDSSKCRCMHCVARIEWQTMITALPSCFSVHLFDLDAEIDMEYLVPDNCTTRIWTTVNIQMNYDAQLQGWHLNPSNVYIEDKNLKEAESIVKELRETMLEIRSSSLYTKFIDHLKENPSIRDRISSMLGAHERMELVVYGIGSFQFDVKSLYQLAFALLLQEDAIFSVGDIEIYDPALSPADVKACFELGIKVLLVNEQCRRSVKRPTFFFVPGLKFVGHLMESNFSPTQLNKIILVSYGIKASGKSISGDLENRNCGFTSSRGSLALERDRFLWASMDYIHEELFAISELKFEFLEVAEDMDIYAKLPRLTLKEKFYDNFELELEYNSSLAFDHVASLCMQLEERISRPFREDQCDSKDDDPPFWGQVFCQRLPAMNRTTWSPPPEGWIKLNFHGIGCSKSLPASAGGIFHNDKGEVLSYYAAPVGDVDQIVASSKALELGLGHMIELHEPVYKLIVEGDNVTVIRWCNGMTHPPERAKDSFMHSLWCMDLRPCMADTTEDCNGEGGKNEDVGSKDKHEDDYSKDEDEDDRASQGASSGFVIPPGCASREYIAWRVEEEANQVAIGLASLGQFLPEPSLHISTECDCGRGRDMKNDKLDITWSWRSL >Et_2B_019515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:43791:45251:1 gene:Et_2B_019515 transcript:Et_2B_019515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQEERRLRLEAHEAALQGRYSLLAVRGKGEFAKVWEARHRRTGVKVAVKIIRRAKSGVPMAKVEREIWVMRLLRHHPHVVHLYEALVSGDGRKAYLVMELAEQGQLFDYVTTSDRGRLPECEARRVFRQVAEGVAMCHRAMVVHRDLKMENILLDAQRNVKIADFGFSKPFRYSKVLSRCCGSPEYAAPELHDGLKYVGPEVDVWSCGVILYTMLCGAYPFSQGNDHDGDIARMLRNLRGGMLKLPAHLSRDARDLISGMLVVKPDKRMTMAEVIAHPWLLSRPDYHPHVGDPAPPHHHHHQQAVEEAAALCGVDRNVLLHALRHGVDNEVTVAYHLILTRTRSSQQAPAPPAGWSLPGVGDECPRQTMLHIAAAARDLGILCALQSPTTLLCAAAQQQDQDQDAVFFEIQLYRPEADNNSNYVVNLKRLSGPQLHYLSICSPLASKLRQIYSSS >Et_1B_010709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13277366:13278827:1 gene:Et_1B_010709 transcript:Et_1B_010709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QQINSVNAARRAARALAPAGAAGGGYTSPYRRSLQMLPVMPLDADVFRAPPGTNAPEQVHITLGDQTGRAMTVSWATPAHPGSSVVRYGVSPDRLRLAAEGTVRTYTYGPSYRSGYIHHATLTGLEHATTYYYRLGFGYAVRTFSFKTPPKPGPDVPFKFGLIGDLGQTFHSNDTLTHYEASKSDAVLFIGDLSYADNHPGHDNRRWDSWGRFTERVVAYQPWIWTTGNHELDYAPELGETEPFTARYPTPFAASGSDKPYWSSSPPRTPSCSPPTASTRRSGRGWRRSSSAWTGPSRRGWWCACTRRGTTATGTTTWKGKPCFERWIVDAKADLVLAGHVHSYERTRRVSNVAYDIANGMATPVFNASAPVYVNIGDGGNIEGLADNFRTPQPDYSAFREASFGHATLEIMNRTHAYYEWHRNDDGVKVVADKAWFTNRHFLPTDTN >Et_9A_062938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:728004:733688:-1 gene:Et_9A_062938 transcript:Et_9A_062938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRPKLTWHDRWCILKEATRLYGASWVGNIGPELRPNDYKKEETEDDESSSSNNEKKGKSSSEPSVLEDLAVAARGGAETLKPALRRIYMTRASTYTDAMKNFVETYQEGLKDQLQEKAHEEGRQQQSGDEAKKSPPPPPPTSVRLVAYNNKEAPLEQNTQQVLLEIIDMSSPVERAGLDLVAVLDVSGSMAHDRKLDKVKTAMKFVISKLGPNDRLSIVSFSDDAKKWCPLLCMTKASQETLKGIVDDLKAGGFTNMEDGLKTGLEVLARRRHKVSRVGSIVLMSDGDQGPGDATAVTIGNVAVYTQQRQGMLPLISWCVCLLIDDEWSAGHQVLEAIASNSQGGTFYFVKDGESLSEQFSQILAGLLSIVVLDLELTVWQQRGYSKIDEDKVHAGGYPKTVDTAAGSVTVSFGDLYCGEARKVIVDLLLPAVHREYPPTTVLFALCQYRTHGKDVYFPRDYLRCDMRRARTARPGAMKPEVKAELVRRRHADTLKEVSALKEEDFNLAKRKLEEAKDDLDAEQSSDARLSLINVLRAELMLLLSLATWKEFISCLLASWTSHHRQRFAARGDVHSVRMFDTPLMGRYVVQATKFDENPDVPPPSVEEEAEIVRKRQPPVADHQPRVAPRIWEASTSPEHRRSSGWAWRAVTILCTTLAIALILAGAAVFAVYLIYKPKTPYLVVSDARLVQLQYGQDDGGIRYLQVSVTILAENSNSKASAAFSLVDLALAFHGADVALLRAEPFVVAPETSLPLRYNVVSAGTALDAAGVQAMDEALKAGVVPLDLVGKARTRWKVGVFVKVKFWTRISCRLRFVFPGNGTVMPDDRQRCRSKSPKLYDYVRYDLKEIAFPSSLPDPPHIKKRPKLTWHDRWCILKEATRLYGASWVRNIGPELRPNDYKKEESEDDEASGPNSEKKGKSSGEPSLLEDIAVAARGGAETLKPALRRIYMARASTYTDAMKNFVETYQEGLKDQLQEKADEEGRQQQQGDRPGKPLQPPPSTS >Et_1A_007790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38303939:38304825:1 gene:Et_1A_007790 transcript:Et_1A_007790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWCPPSTVSSAHREGSSGASGCAASSLEEVKCAPCLTDWHENTMRTRAGSTMPRLRLGWLPQPGSTSSSSPGTPKQLYEVFTLDGGTSSHARWRAKKAPPEHVSLKGSWRTAVINGIVYFFSGVFIAGQDNAPDRVASFDLETEEWRPSLRGPLSSRVEAPSTTTLYPGFERVELSICAMNGFLVMANRDLTPYMDLWFLMDFERGLWVKRHSVRIRPFSIQNDEYTVRPLLMLNDGRIVLVYVGRLGGTLRIYNPRSSLSTDVAQIGCCSALGVYTGSLLNFANSA >Et_8A_058457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8190068:8194361:1 gene:Et_8A_058457 transcript:Et_8A_058457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVTLLILPFLLSTAVASFTPAFAVFLACGAASNVSFPHDSPARNFTTDTPFLISPSVPAVTNANFNPDVSLLYAAARASNTALRPPASSASQFLVLRLHFFPFPAAQPTVSISSAQFAVSVLGTYTLLSSFTPPSAGVVKEFFVPAGGSGDFFSITFTPDDGLSAFVNAVELFSAPRELLWNTVVSPVGAARADNSSISLWRQQALETVYRLNVGGAKVTKENDTLWRTWLPDDPFFDGSPRLSTVNSTSSPIIYGNTSSSPYSPEVAPGVVYRTQRATTAAAMGTALAPGLFDMTWTFPADARADYLVRLHFCDYELVSSVVGVDGIVYNVFVAGEMGTSDLDLMHNEQYPNTAFYFDYVATAPITGNLTVGIGMSAKSSPGGGGFLNGLEIMKLRPSKSSSTRSGSTNPNNKKRVVTIIALSAVLGASVVAACSAVLLVLRRRRRRIPRPAPGQDKESTPQLHIPLAEIKAATDNFHERNLIGVGGFGNVYRGVLRRDGGTRVAVKRAMHATKQGLLEFRTEIAVLSAIRHRHLVSLIGYCDEQGEMILVYEHVEKGTLRSHLYGSSSSQEPLSWKQRLEICVGAARGLHYLHTGGSSAGNIIHRDVKSTNILLGDGFVAKVADFGLSRVVAGPDTHVSTAVKGTFGYLDPEYFMRQQLTDKSDVYSFGVVLFEVLCARRVIDQGLHSDQISLAQWALKWQNEGQLDKIVDPRIVGQQLVNEESLRKFAKTAGKCLADSGTERPSMGDVLWNLEYCLQLQETHVRPEAFEDSSATAAQFPETVVVPRWRPSSTSFLTMDEAAATANSKVFSQLSNEEGHSIGINEREKIDVCDDV >Et_1A_006347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2179730:2180340:-1 gene:Et_1A_006347 transcript:Et_1A_006347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELFDTLLHLPEVFDRIAAADGNRRSAGEHAHHVPHGHAHVHALGGSGGAPVDIVETTGEYTFLLDVPGFSKSDIQVTLEEDRVLVMKGGNGKRKREDEEDGEGCRYIRLERRAAPRSFARKFRLPDDADTAGIAARCENGVLTVTVKKQPPPEKKTKSVQVTIA >Et_5B_044486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2859956:2860307:-1 gene:Et_5B_044486 transcript:Et_5B_044486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGLSLGILIDIVDEEWMRDTLPADDIPVPSSMAVKTEDAEDPAPASTRVLPTHLAVIYCSVIGVAIRLVRSVREDNQEENSGWE >Et_5A_042506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1713709:1715412:1 gene:Et_5A_042506 transcript:Et_5A_042506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METVPMMMQHAAAAAAPSRHRQAIRGMDGRGRVTNRVTFGPARQGGSGRNLIRATGIGRFFGHGSGEHSNKNHDVDDMAPARLFVGLPIDSVTDGATVNSAAAVAAGIRAVRLLGADGVELPVFWSVVQPLSPDRFNWAGYQAVAEMVRAEGLSLRVSLRCHGSPGAGVPTIPSWVKGAGADDPDIFFTDRSGARHDCLSFAIDDLPVIHGKSPLELYEGFFRSFAAAFDDFFGSTITDVTIGLGANGVLRYPSYPPGSDGARFTGVGEFQCYDKYMLARLRHHAAESGDAMWGNSGPHDAPRYHESPDACGFFREQGGSWETPYGNFFLSWYTGHLVSHGDRVLGTASAVFRDKPVELSAKIPFMHWWHGARSRPAEAAAGFYKPNKKNGYSPVAKMFARHGCAMVVPGMDVCMNKQHHSTGSSPDKLLVQIKNACRRYCVRIAGENASLVMTHTSSFSRMRSNILTTERMRPCHFTYQRMGAEFFSPDHFPQFMEFLRSVVCGEWDEDDAAGDDERELAMSGGANDAREARTA >Et_7B_055896.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6934989:6935612:-1 gene:Et_7B_055896 transcript:Et_7B_055896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSPPAAANNNNNAPGRRVPPPCWTTDETLALARAYTARRLAVGREHLTSADWAAVAAAAPSKTARQCRHKVEKLRRRLRSSRRRPCPLLDAIDLLDGPSPPPFPSPSLSPSPPPAVSPPYAPSPLPPASPPRKRRRDDDEDGVNDVVGALRAIGEGFLRAEERRMEAARETQRMRMEMALRHLDAQRRLMEAFVGRIVDALD >Et_9B_065789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1251793:1252681:1 gene:Et_9B_065789 transcript:Et_9B_065789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGSPVGSMPEAVEMKVVGAIRSVLGSNITAERSGAPGRDGLGKMDTPAYYGGMGSTTEPFAAPRSRPFYAVGPILIHVGGDVGLLHGGIRSRRAVPVGAAALRGELRRPGPAARRGRVVRGAVRADVDDAWAARLREQVTKLRATLEAAVAQLSFFRRNYGGKLPT >Et_3A_027315.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7419970:7420509:1 gene:Et_3A_027315 transcript:Et_3A_027315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPFGALDLVRIVHLSGRVDEYGRGVSAGEVLAAHPNHVLSRPCSSQQGVVRRILIVSPDSELERGEIYFLIPAASVPADAKKGGCSGAGGACSPAARHVRSKSEGSVVVTDRQLGLGTASPEMVTTTAAAATVKKKRKPSQQHRRRMSTGSHASPWQPHLACIAEDL >Et_1B_013353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7664430:7668318:1 gene:Et_1B_013353 transcript:Et_1B_013353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNRECRISSGMQMSTDPNHYGMFPQSFCNQHVVSFQTSSIASGSGVMPGCLDTSSGMDNLAMLNTTPSTIVSTGSPNMISDSSQSNKYSAPMAVDWSFLELQILNDGLNKYAHEPGIMKYIKIAAMLPDKTVRDVAMRCQWMAARKEATRRRKPEEHYLGKRIKDRKDKMAETSSWVANPPIQTDMRASSFMPCNTSQNNGILYGASQIDRAMLNILEENARLLNEIEVNILTSQAQKNIDLFHRTRRNIAGLQQSMSRIPGIMSKMPPLPVSVDEKLFCYLLPGAPMAQVLGSSHLKEEPRGW >Et_3A_025692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31953676:31962792:-1 gene:Et_3A_025692 transcript:Et_3A_025692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSALEYINQMFPTEASLSGVEPLMQKIQSEIRRVDASILAAVRQQSNSGTKAKEELAAATNAVQELMHKIHEIKTKAEQSETMVQEICRDIKKLDCAKRHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDVPKITELREKFKNIRKILKSHVFSDFSSLGTGKETEDSMLLQQLSDACLVVDALEPSVREELVKNFCSKELTSYRQIFEGAELAKLDKTERRYAWIKRRLRSNEDTWKIFPPSWHVDYLLAQLVDILNNLKEKPDVATLLLAFQRTLEFEEELAEKFSGGTAGARNKESGSDNEDEGADRNKIVSDIRKKYEKKLAVPNAETEQDKDKHKDLSVPGAGFNFHGIISSCFEPYMSVYIELEEKSLSDQLDKLVQEERWEIEEGSQTNILSSSMQVFLVIRKSLKRCSALTKNQTLYNLFQVFQKILKAYANKLYARLPKGGTGIVAAATGTDGQIRTSDRDERMICYIVNTAEYCHQTAGELAENVAKMINPQFADKVDMSEVQDEFSAVITKALMTLVHGLETKFDGEMVAMTRVPWSTLESVGDQSEYVNGISSILSSSIPVLGSLLSPTYFQYFLDKLAASLGPRFYLNIYKCKHISETGAQQMLLDTQAVKTILLDIPALGKQVILSPVDSVANTYRALLPEGTPLEFQRILDLKGLKKADQQTILEDFNKHAPAIKHPTVAPTVAPPVATPSVQIAPVPTPAVSITPSMATLTGALANREDVLARAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNP >Et_1A_006856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28354004:28359597:1 gene:Et_1A_006856 transcript:Et_1A_006856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKSWRKNHPHGFVARPETLADGSANLMVWNCTIPGKQGGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPAEYKRRVRLQAKQYPALEQFTSGMLASNPLLTMVRGNA >Et_10B_002447.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:5728553:5728978:-1 gene:Et_10B_002447 transcript:Et_10B_002447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LDERNRCEAPKVHLLQIRDKATEQNSSGPCKDQYTQPPYAHSQQSYEPCMTIDITYFRPVGFNGLRMSHCSSEECIACTLTPLVAAEPEAQPLKRVRLTRPSCYYVTSLSTYSFLYALIYLRPCCFTLNKIKYLYLIVERK >Et_9A_062262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21220944:21222175:-1 gene:Et_9A_062262 transcript:Et_9A_062262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSIFSYGQTGSGKTYTMMGREGTDHKGIDQKGLIPRSLEQIFNAKKSKESDGWKYSIQASIYEIYKEKIRDLLAPNSSKKLLIKHDFCGNVNVPGLTVVDVFTTTDVITLLDKASRFRSVARTLMNEESSRGHFVLTLQIRSEHADEQHQGVLNLIDLAGSGSIEKSGVMDDRFSEAKDINKSLTYLKNVIRELSNGAGHVPFRNSTLTKLLRPCFIGQAKVLMIVNVSPEKASAKETLRSLRFATKVNNCIIASKMCP >Et_5B_045789.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:9854863:9855135:-1 gene:Et_5B_045789 transcript:Et_5B_045789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVGVTRLVVASQLGTDRLAASWTRRATSSSIAPWAPPPRCRSYTLALHAIHRREENMVADAANRMARDGEVSLRRVLHAASLNHIMN >Et_1B_009732.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:21579637:21580251:1 gene:Et_1B_009732 transcript:Et_1B_009732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAVVAARKWPQRRRPRRRWKTKTSSRISSFGCRLSPPRSPAPAPSARSGDVSSPAPASSAATATATGSRPSSACSWITMAIPSSSPSWTLPTPSGAGASSCGTMRKTAGACSASATGASSSSTTPGMNSSFGTRHRRPPLRGHAAKAPRRRDDCAQRRDYVRRGRPGPCARKGLPLQPLPVGYVRCQQRRKTSSRLRLLVRDR >Et_1B_010664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12857477:12860602:-1 gene:Et_1B_010664 transcript:Et_1B_010664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPAEALIGGGADLWRPAGRGGAWATAAALVVLLVAHLAVLLVRRRRVDRIARARAAPAAPALASPGPSSGLVLPIEGLVTEDDLRQLVGSLGVGARDPEREGWEPVIAKENDAVSYRAWCDKTADGPPKYLSITTYENCSTELLRDFYMDNEYRMEWDNTVTKHEQLQYDESSGVEVGRTVKKFPLLTPREYILAWRVWETNDKSFYCFIKECEHPLAPHLKKFVRVRLLRSGWCIRKIPGRDACQITVLHHEDNGMNIEMAKLAFSKGIWSYICKMNNALRRYPQHRSLSISIMTMQKLIKFPQDLEAAMDTSHPTPQNMVATVVPSTQTARTSSCKLPGKKSSRQMIASGLLLVGSIVCLSRGRSNLGAQLAMALFLKKAFKHERESGSSTSRERTETARSRR >Et_2B_020067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16504488:16507344:-1 gene:Et_2B_020067 transcript:Et_2B_020067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAAPNPSATAAAAAAAGNGVQASGAGGEGAEDASKQNLAQVTSSIQRTLGLLHQLNLTVSSFNSASQLPLLQRLNGLVSELDTMQKLAEGCNIQVPMEVVNLIDDGKNPDEFTRESLRMHLLEELEQAFPEDVEAYREIRTVELLFAYESKRLAQSQSNLPNGDAK >Et_9B_064935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19945594:19947620:-1 gene:Et_9B_064935 transcript:Et_9B_064935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRRGSGVIVRDEPVRDWSQFNDPSPSPKLLYSQSYVAVRGLLATVASMDLFLLSSKLKSVWAAMTSPRQNRSPERSRSKGSSCKRFVAHLLLFFMVGIFIGFMPFFAVDVSKKIVSENERLPFHDGVIEGGLMDTKVKESETVVVEKEIELIDETEVGESPPVPAMLDDEVDFVGSSRTLPAVDDELDIPVKKLLIIVTITSVRPQQAYYLNRLAHVLKAVQSPLLWLVVEWPEQSYQTAEILRSSGVMYRHLICKKNTTSTRKIAVCQRNNAIYHIKKHRLDGIMHFADEERSYTGEVFEEMQKIRRFGSWPVAIHVGTKYRAVLEGPICKGNRVTGWHTDTVQRKARRFPIGFSAFAFNSTMLWDPQRWNRPPMDSVAVHTGGRGGLQESRFIEKLVKNDRQVEGLPDNCNRVMVWNFNLEPPLLNYPTGWSLYKNLEADMP >Et_2A_018836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8583918:8593948:-1 gene:Et_2A_018836 transcript:Et_2A_018836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQISQMLGFGEAVEALTATKFLECNNSIRIEGMRPQVLECLYGQKKSTRSSVMSAMQPTLKAITREELLKQEDEDVKVLLALCFSEILSITVPHAPYGDDVLRGTSMLANKFARDVIEHTAGELEPYFKKCLISSLTGDVSSSNANVDLYRMVWEVFQCAPKVLDVMVPYITGELQADQVHIRSKAVDLLGELFYLPGVSFLEHFRPLFSAFLNRLSDTVVEVPNRALLAQSLPTTEIPSPSEPESNGDGKCKVMRPTSIVGGKQGSFVSQTLAKHSVTNMKRIQKCRSSKKFHATKLARLAAKENKESKMLDTYKELLLANTSELSENAKAEHAMALKCLRLKLFGVNAC >Et_5A_042045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5631764:5636328:1 gene:Et_5A_042045 transcript:Et_5A_042045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSVREMLSGLLMLYWFADRELNVVSFSPGRETKKHGRTDDDVARSLERVIFTMCITSSEPKDLAFVVDGWALEIILTHYSEAFTELAVLSKTALCWRVTPSQKAQALVVGNVSSLQGLLTIALAVPEKADSCTWAILPFFRSILSTSIAGTSLFNSVSLMAYNVFYTSIPVLTTVLDKDLAEKTVMQNSEILLYCQAGRLLNTSTIAGWFGRSLYHVIVVFLITIHAFANEKSEMEELSTVCPLRKHLAAGICCHIRDELFHICPTSGHMGKLHCLMPLTYQQHTIFSNLIVGVGIGPVLALKYFRYTYRPSAINILQKAERSRRPMYTLINLECGKDGGGASTGASGEKGAELLRNT >Et_7A_050824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11357947:11363660:1 gene:Et_7A_050824 transcript:Et_7A_050824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQEKAAACCGGGAPARGAPASVRAIAASPAAKVAVAAGDERVAASAVGGGAVIEEIATVQPTTAKASSKGIPIMTRAQRCHPLDPLSAAEIAVAVATVRAAGRSPEERDGMRFVEVVLLEPEKNVVALADAYFFPPFQPSLLPRTKGSAVIPSRLPPRRAKLVVYNKQSNETSIWIVELSEVHAATRGGHHRGKVVSSQVIPDVQPAMDAMEYAECEATVKSYPPFIEAMKRRGVDDMDLVMVDAWCAGYYGDADAPSRRLGKPLIFCRTESDSPMENGYARPVEGIHVVVDMQNNTVIEFEDRKLVPLPPPDHLRNYTPGETRGGVDRSDVKPLIINQPEGPSFRINGYFVEWQKWNFRIGFTPKEGLVIHSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPSEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALMPGESRKYGTTIAPALYAPVHQHFFVARMDMAIDCKPNEAFNQVVEVNVKVENAGPHNVHNNAFYAEEKLLKSELQAMRDTDPSSARHWIVRNTRTVNRTGQPTGYKLVPGSNCLPLALPEAKFLRRAGFLKHNLWVTPYRSDEIFPGGEFPNQNPRIHEGLPTWVKKDRSLEETDIVLWYVFGLTHIPRLEDWPVMPVEHIGFVLMPHGFFNCSPAVDVPPSSSDAEIKEAESPKAIQNGLMSKL >Et_9B_064662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17411398:17413267:-1 gene:Et_9B_064662 transcript:Et_9B_064662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQHQGPSELFTTRTSFPMEQHLFLRGGNAQGDSGLVLSTDAKPRLKWTPELHQRFVDAVNQLGGAEKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNVQAQANVGTTKNAIACTSISDRMPGTSVPTMSSTNVIPQAEKTIQIGEALQMQIEVQRQLNEQLEVQRHLQLRIEAQGKYLQAVLEQAQETLGKQNLGPANLEDAKIKISELVSQVSNECFSNAITDIKESSSMHRLEPRQIQFVESSTNSYLTAAEGFIKEHRLQHHGVLKAYDDSSLFCRKGSDENEVHLALNRSLSERSVSHFHDLKGYNKGEFMCESDTEAPSEYITPQKNGGGSTTSSASGSKEGNAEKQPLKEQNCRKQAVEYPRESKLLDFEHPYSGKKLDLNTRNIDDNDQAYRHFDLNGFSWS >Et_1A_009086.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28745313:28745768:-1 gene:Et_1A_009086 transcript:Et_1A_009086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMGKPLRLKDLLELDCDSCSAAGFRCYPRRLGESPPMPLSFGRSRSPSLRRPSKLSHLSRSLSRRLTGLKGGFWRRRDEEAAPASASSGCGCGSEPDTSPSESSDSSRRTSRSHSVTDSDFSSASSASVNMHPAEHEVRAKKSAFLCVQ >Et_1A_006022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16787271:16787600:-1 gene:Et_1A_006022 transcript:Et_1A_006022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQKHKELINKGARKGTDLFDLVARDLVPVTHIDLERVLRNRGTPNESNTGTRGKLNGWSQTLASLWQCRQSKTSPQQGATIRHPRR >Et_2A_016243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22573623:22575782:1 gene:Et_2A_016243 transcript:Et_2A_016243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFGDFGPLTERRRAEKARQQRRRIMIAAGTVSIVIILIVMGGAAVMYSGKQAASEGGSKKGGSSPSKGKSGGGSGSGSGSSAPSTDLKAVSKAIKAMCAQTDFTDTCEKSIGKAANASATSPRDIVRTAVSVIGDAIAQAFDRADLIMSNDPRVKAAVADCKEVFDDAKEDLNRTLRGVDAKDGGGGIAKEAYQLRIWLSAVIAHQETCIEGFPDGDFKAKVKESFTQGKELTSNALALIEKGASLLSAAAGGGKTTAERRRLLQLGEDDGGGVPEWVSDGDRRVLKGGGFKNTLTPNVIVAKDGSGKFKTINEALTAMPKTYDGRYVIYVKEGVYEEYVTITKKMVNVTVYGDGSKKSIVSGNKNYVDGVTTFKTATFTAQGDGFMAIGMGFQNTAGAEKHQAVALLVQSDRSIFLNCKMDGFQDTLYAHSKAQFYRNCIISGTVDFVFGDAAAVFQNCILVLRRPMDNQQNIATAQGRADARECTGFVLQKCEFQAETALRDAARPPIRNYLGRPWREASRVLVMESELPDFIDKAGYMPWNGDFGLKTLWYAEYANTGPAAAMTGRVDWPGFKKVISKDEATTFTVENFLHAQPWIDPTGTPVKYDLFT >Et_6A_047583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7695030:7701996:1 gene:Et_6A_047583 transcript:Et_6A_047583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPCMTELVPQEAATEINRWVAAATNNLIASILDPSSVPRDTRLVLANAVYFKGAWDEPFAASRTTAAKFHRLDGRAVTASFMRSGKRQYIAERDGFKVLALRYKSPAHDSQRAAASDCFALSQHYFMCVVLPDARDGLPALVDRVASTPGFLRDNLPEQRVAVGELRLPKFKLSFSGSLTGVLGDDLGINAVFDAGRADLSDMGRCNGSGSGLPPFVADVYHKAVIEVNEEGTEAAAVTACYALPTCPRIPPRTVDFVADHPFMFFVIEEVSGAIVFAGHIAAVTKNLIGPILDPASVPRDTRLVLANAVYFKGEWEEPFAASRTREDKFHRLDGRASFMRSGKRHYIAERDGFKVLALRYKSPPDS >Et_10A_001552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:507384:507716:1 gene:Et_10A_001552 transcript:Et_10A_001552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSQLRVAYQGVPSAYDEKACTGCDTNPCYQIKTSLGGSIHRNHDLLLRHIVGEVQLSMHHCLVALTKMGLNVHLVLPRHRAVRDGGARRLHPGS >Et_2A_016038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20441950:20448765:1 gene:Et_2A_016038 transcript:Et_2A_016038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVVHVPRLYIEYLERSAAALGPEPDLLVAHVVYHGERLLLRVPEYSDGGVVAVGREREARLRDLGQVQHPAEVEADGGAALEHERHRRDLHLGVPREVVARVEDGQRGGPLVPAPHVPRERGPQRGVGAVGEAGDHGARVDHRPGRPQHGRGDLDGAAADLDPHEVQVVEGRRVAARQHGRELRVRRRGGAEGEVPRGAGRGGEAVGEARAVLGRRLRRQRRPGAAQAEQPVRLAEQAAVAVAAPEDETRERLGRRQRQRVARQVAVGLRLVAVDHLVPARVAVRRARAGVELLALPRGARHGGVRGGLRRVEERVLLLVAVVARLALQPRQVAPRVEHHREGPRRRTEPHRDDVVARAERQAATTASVLCCMFPRIPTVSLPMGANARHVCPTDHTYSTPLGPRLNLVPSANTSATDGIRTLVRPVKS >Et_6A_047478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6164531:6175563:-1 gene:Et_6A_047478 transcript:Et_6A_047478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQEAKVVYRRRKSQQKQAPSVGIDVLPDEALQHVLSFLPARDAVGTCVLARRWRHLWRSIPALRISSDVQEGKLCEFGQIQQALLCKAQAVRVDYYYDTPHLSIDDLLISQHLRTLELSNSSIFHAAQHCKDLLMSFCSVDAEKIMSRSLEKLTVRYCKFKKNTRTRISCPGLVWLELTENFKKTPILESMPSLVKAFVRLRDCDDRCGAEVFGHSCSNDSCDNRVHNGDGITNSVLPKGLSEAESLELVAEPGMLALTHAIYWFMVDLGAVTDYIGGAIYYILHVIFFTVLAYEILFIFKRGLMWCPTFSKLKTLLLNEWCAAIDVRAIVWFLQHTPVLEKLILQLPKPPKRWVAKNGSCNPSKRKFASKNLKVVEIKCGKFDKRVKSIFSALSTYGSVPCNTARKTDNQRKNRMAPLVGIEGLPDEILQHVLSFLPLHEAVQTCVLARRWSQLWRSMPGLRIIWRAEDDRFSQQDVENMNRFVDFLMLRRNRDMPLDFCEISISEFDRGSEPNVNLWIQQALQSQVRTLSVEVSSLYYGMWLDGILLVSKHLTTLKLRFVALLDKFLDFSSCPALKSLLISDCSIYVDKISSQSLQHLTIIRSWFGVYIRTRISAPSLVWLQLTDNTMNPILESMPSLVKGFVRLHDLNDSKERCGKEKYGGSCDNSCDNCADDSAKSRECVLLKGLSQAESLELIAEPETFIFKRDLMWCPIFSKMKNLLLNEWCVALDFRPLICLLQHAPVLEKLTLQLDRVYVIITIIAFIILSYYTPLLILDRHPRIGWNPTFRHKIRLHQRNLKVIEIKCEKIDERVHQVSRILSINNIYLERINIQLSSRCSECEFPMVTLLFYVPEVHRMNYYGCACLSVFMSGVGLFGG >Et_4B_037272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17492085:17503105:-1 gene:Et_4B_037272 transcript:Et_4B_037272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSTSSAAALLLGGGRLPRLRYQSLRAPTNSRFRRAASPPLPRGCCAGARPRPLAPVSASALPLPTTAAAAPPPPRVEDDGWGAVAAAAAALKRVAVSVACVAVAAAWCRRALAVGAAAGAGSGAPGAVEAAAGIGGAALREGWPRVLQILQLLREQGIILAALLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFKMLRNDVTRFLTTILIGTTVVNIGATAIVTEAATAMFGEAGVSAATGVMTVAILLLTEITPKSVAVHNATEVARFVVRPVAWLSLILYPVGRIVTFLSMGMLKLMGLKGRSEPYVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTHVREVMTPLVDVVAIDATATLIDFKNLWEVHQYSRVPVFEERIDNIVGIAYAMDMLEYVEEVEKLKEITVKEIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDAVEEIVGEIFDENDSKEEIQRKTGNIVMRGDGTFDVDANTSIENLSEELGIKIPEGHHYETVSGFVCESFGYIPEEGGKMLVILEKDYREENEEEGSDRHDDREKTQAYELEIVEANERKVSKVLFKPISNECVDVDSKGVNRLISKKIIKRKKKDSGDSTDSDDDECSDITENGCPAEILSYSDDKRAELEDASNSSTMRSTASGSPRNWKLLHSPVAEEGTAGNKAAAVSRSGLHGYSSSGWKNHLTGVSLEVAAGRGTSIWSSLSSRCSLIFSSSLNLCCSSAMEEK >Et_1B_013948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25267203:25269909:1 gene:Et_1B_013948 transcript:Et_1B_013948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTNGHGLVQQQHHHHTQQATMPFASSAPAQQQGGGGGSKQRPGLPPTPPPSAHAMHAADVCMDDSASARAGGGLPPRKAHRRSRSDVPFGYFQPLPPPSPKTEAGGWGLPAGAGAGGTGAGSGGDDLFNAYMSMEGMDGLKYSSDGDSRGSSMRTNGGAEYSSENESEDYGDSQFLLWGDKKREGVKRNAAGEPAAAPAPAAGRHARSLSMDSLMGKLSFSANGEPGKFSLEFGGGEFTPAEMKRIMADEKLAEMALADPKRVKRVLANRQSAARSKERRMRYIAELEQKVQILQTEATTLSAQLTLLQRDSSGLATQNNELKFRLQAMEQQAQLRDALNEALTAEVQRLKVGDASSSSNLPQQMQMRVQNQMHELHKQQQGEQIPFYQLEQREQNGVARNHEANQIKWKLKTD >Et_6B_048411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1975780:1976291:-1 gene:Et_6B_048411 transcript:Et_6B_048411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADANSKLLVEVGKLGYCAPELSKVEEANKKTDVYSLGVIILELLTGKSAAYRTSGMDLPQWVAYIIQKDWSDELIDTEFMRDAAALPFLNHCLWTKLAMPCVNPSPSVRPKAREVLWKLAGADQSGVGWWRQLVCGRARATFCRRRR >Et_2A_018109.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:11316105:11319764:1 gene:Et_2A_018109 transcript:Et_2A_018109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPFMKAAASFLVAVLVLLHATVPAIAGPEEDAAALLAFKRASVADDPRGALAGWARAANGTAAPCSWDGVSCANGGGVVGLNLSGKALAGELRLDALLSIPALRSLDLRGNAFHGNLSHAADAAATATCALVDVDLSSNAFNGTLPASFLAPCGALRSLNLSRNSLAGGGGGFPFAPSLRSLDLSRNGLDDAGLLNYSFAGCHGLRYLNLSANKFAGRLPEQLSPCNGGLAVLDVSWNHLSGALPAGLVAAAPPNLTHLSVAGNNFSGDVSAYDFGACANLTVLDWSYNGLSGARLPPGLANCRQLETLDLSGNKLLAGPVPTFLTGFSSLKRLALAGNELSGTIPDELSQLCGRIVELDLSSNRLVGGLPSGFAKCSSLQVLDLGGNQLSGDFVTTVVSTIASLRVLQLSFNNISGANPLPVLAAGCPLLEVIDLGSNELDGEIMEDLCSSLPSLRKLLLPNNYLNGTVPRSLGNCANLESIDLSFNLLVGQIPTEVMALPKLVDLVMWANGLSSQIPDMLCSNSTTLETLVISYNNFTGGIPPSITKCVNLIWVSLSGNRLTGSLPRGFGKLQKLAILQLNKNQLSGPVPAELGKCNNLIWLDLNSNSFTGTIPPELADQTGLVPGGIVSGKQFAFLRNEAGNICPGAGVLFEFFGIRPERLAAFPAVHLCPSTRIYTGTTVYKFSSNGSMIFLDLSYNALTGAIPASLGNMMYLEVLNVGHNELNGTIPYEFSGLKLIGALDLSNNHLTGGIPPGLGTLTFLADFDVSNNNLSGPIPSSGQLTTFSPSRYANNSALCGIPLPPCGHDTGRGGEAPVSHDGKRKVIGASILIGIAFSVIILLLLLLSLFKLRKNQKTEEMRTGYIESLPTSGTSSWKLSGVHEPLSINVATFEKPLRKLTFAHLLEATNGFSAETLIGSGGFGEVYKAKLKDGAVVAIKKLIHFTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKHGSLDVVLHDKAKPSVKLDWAARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDNNLEARVSDFGMARLMNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLSGKKPIDPNDFGDNNLVGWVKQMVKENRSSEVFDPTLSETKSGEAELYQYLKIACECLDDRPNRRPTMIQVMAMFKELQLDSESDFLDGFSINSSTIDESAEKST >Et_3A_024728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23682922:23692575:1 gene:Et_3A_024728 transcript:Et_3A_024728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAIAGANGTPEVDERKAQRSYWEEHSKELTVEAMMFDSPAADLDREERPEVLSLLPSYEGKSVLELGAGIGRFTGELAKTAGHVHAVDFIESVIKKNESTNGHYKNTSFTCADVTSPDLMIEPNSIDLIFSNWLLMYLSDEEIDKLVERMMKWLKVGGYIFFRESCFHQCGDSERKLNPTHYREPRFYTKVFKECQDFNQDGASFKLSLATFKCIEAYVKIKKDQNQICWLWQKVNSTEDGGFQSFLDNVQYKESGILRYERIFGDGYVSTGGVETTEEFVDKLALKPGQKVLDVGCGIGGGDFYMAEKYDTYVVGIDLSINMILFALERAVGRKSSVEFEVADCTTKTYPENTFDVIYSRDTILHIQDKPSLFKSFFKWLKPGGKVLISDYCRSPGTPSEEFAAYIKQRGYDLHDVEAYGQMLKDAGFRDVIAEDRSDQFLGILQKEVAKFEKNKDSFLADFSQCRGALLASRGGGCSSRPRKLDLLEAEERKAQKSYWEEHSRNLTVEAMMLDSRAADLDKEERPEVLSLLPSLEGKSVLELGAGIGRFTGELAKTAGHVLALDFIESAIKKNESINGHYKNASFMCADVTSPDLRIQANSIDLIFSNWLLMYLSDEEVVQLVERMVKWLKVGGYIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKECHAFDQDGNSFELSLVTYKCIGAYVKNKKNQNQICWLWQKVNSTEDRGFQRFLDNVQYKTSGILRYERIFGEGYVSTGGVETTKEFVDKLDLKPGHKVLDVGCGIGGGDFYMAENYDAHVVGIDLSINMVSFALERAIGRKCSVEFEVADCTTKTYPANSFDVIYSRDTILHIQDKPSLFKSFFKWLKPGGKVLISDYCRSPGKPSEEFAAYIKQRGYDLHDVETYGQMLKNAGFHDVIAEDRTDQFLSILERELAEFEKNKHDFLSDFSQEDYDDIVNGWKAKLQRSSAGEQRWGLFIATK >Et_5A_040767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12108932:12110552:1 gene:Et_5A_040767 transcript:Et_5A_040767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFASLTYESRLVLLRSSSNSQAMSSQGSRRRSEYGDGDGVDRLSNLPEELLLLILGCLTSFWNLRAAVRTSVLSRRWRHLWNGLPGLAFDDVDPESVDAVLAQVTRPVLSQLCIIFDKAAVPSRRVSSLLCAAEGLAPENFAICVEVFNTEKNHCIKLPCFGRTVSLYLDVVGVRLRPPSSGQYTMLERLSLNSCMNPATLLPMCPFLRDLSIGQCRGLDDVTVHSASIHWMQFGALRKRCRSFQRPLELHTGVHVYGSLVLHLLRIQPVIQRLKIVLAGGELEASCSPNCPCEQPSNWRSECVALTDLEEVEIVGFKEQDYEIDSLKLLLRCATVLRRMTMQVRNKVSLNDNGYKKIDGILEKYPHVDCHVYSSC >Et_5A_040315.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:13012264:13012419:1 gene:Et_5A_040315 transcript:Et_5A_040315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRACRFLEVVTMKLFAKYGWNVSNRLRYRKLFRKLFPFDKINNRSNEKQN >Et_10A_000872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18500104:18501045:1 gene:Et_10A_000872 transcript:Et_10A_000872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPMHARKALHFASLRAKLAQGKGGLALRLLLAACLAAFLLVFAARSLSSPTPSSSPSSSSLHQQQQTTDCVGGNGDDNTKKQQAIPAAVAEALVHYATSNTTPQQTHAEIAVTLRVLRRRAPCNFLVFGLGLDSPMWAALNAGGRTVFLEEDASWIASVRSKHPGLESYHVAYDTVLTDADALLELRSHPACTEQPDLAAAAEASCRLAMRGLPPAFGEAEWDLVMSPGRMSAIYAAGMAARARRPGDGDTDVFVHDVDRPVEDKFSKAFLCEGYLKEQVGRIRHFVIPSHREKDGTPFCP >Et_4B_038781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4856345:4857931:-1 gene:Et_4B_038781 transcript:Et_4B_038781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLQKLVVNYCDWGGSSRGIRAFMEKHLPAFKESNPHLEVVTELVRGQHPNLKGIYKNHNERVVCVRNLPPEDILLQATRLRNSLGRKVVKLRTRHVTKRPSVQGTWTTETKM >Et_1A_008301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6170137:6180663:1 gene:Et_1A_008301 transcript:Et_1A_008301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGPRSKLDHETRARRQKALEAPREPPRPKLHWDYVLEEMLWLSKEFDSERKWKLSMAKKIAQRANKTIADHATKGERKQKEEEHRMRKVALNISKDVVYKHQLEFEEKKKKALDKQLDFLIGIRLCWLKTLWTCPIRKNKKTELFRQINHHVQKISLCIDNMEADDDYESSLNEESEDDERTIDEDEALITEAERSEELAALEAEANLPLDDILKMYAKVTKVSRESSPDSKGIDSNLDSKNLIIDTSNQANGCDHEPSRSSSDEDDSLEEGDDGHSYAEFVKKNHGKSNGNISSRDEQEDKDYVASDEGKDDETTLSEEEELAKKEDPDHLDEVKLLQKESEIPLEELLARYQKDGYTDHGTPDSEDSPYFDEKVNTDMPMDGQSANILKVKTDTYEHHESTDMLENEHNVSITALESETASELCVKEDSVEENKLTDVKMVNGDKSDDITAAAADATDVKMVNGDKSDDVIADAAAAARSAQPTGNTFLTTKVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNYFHVCITTYRLVIQDSKMFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPSKHEHVIYCRLSRRQRNLYEDFIASSDTQATLASGNYFGMISIIMQLRKVCNHPDLFEGRPIVSSFDMAGINMQLSHSVCMLLDKSPFSKVDLSELNLVFTQNEFSMTSWEVDEIFAACPPSIISGSMEISCSKQDHNGSNSTNIFEDIQKALQEERIKESRERAASIAWWNRVRCQKRPVYGTNMRELLSVKHPVSDLLEKRNNPLCHLDYSSSLANLVLPAVERFQKMLDIVESFTFAIPAARAPTPVCWCSKGKSPILIEPAYREKCMNEFSPILSPIRPAIVRRQVYFPDRRLIQFDCGKLQKLAILLRRLKSEGHRALIFTQMTKMLDVLEEFINLYGYTYLRLDGSTPPEERQTLMQRFNTNPKFFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVNIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHTSIQAENQQKDCSMSTGSSNDLGLALSNADVEAAIRQAEDEADYMALKKLEQEEAVDNQEFSEEVAVRPEDDEFVNEEDLKPDEHINEEHKYNSSDADKEKNVPVPINQLHEDKALTLAGGDEDIDMLADVKQMAAAAAAAGHASSSFENQLRPIDRYAMRFMELWDPVNLEEEEWELDRIEKLKEDLEAEIDEDQEPLSYESWDVDFATTAYRQHVEALTQKQLLEEQERQAREAAMELEEKNDNMSQRKKSKKNKKKTGKFKSLKKVRLSSESEVILEETSVDTMSIDDNALSPDLISDESPCHYSNKRKKISAAEEENSNNRSLKKLKKTSKSNCFSEALSPREHESDQKSAIRAKNDGKVSISCMPVKRVIVIKPERLKKKGIWSRDCAPDPWTPEEDAVLCATVHEYGPFWELASDVLHSLPGGSFYRGRYRHPVHCCERYRELFCKYAMSSTDNSNSEKVPSGPGKAILKVSEEQAQMLVNVTSELPNNELLLQKHFMAVLSSVWRSKCRRDPRSTSTYSSAFHMSSIKKTGASENLFMTNFRPSFNLVSKALVDAQVQGTRMLIPPTRNQERRRNYLELELDFLADRHGCDNDFPSIVNVSILEPEPSTQSLEPVDQSLLSGLSCRQAENRLRLASEACFEGEGSHWASSAFHIHDAARHKSGAKSIGKHKAASESGRPPKSKMQKITESHQEGPIGMNNFLRTPGQLFSSTAEFCVSESLSEFGISDSEFTHSEDLSEEADCQEFLPYHDEPGFLLGIEELEPLSDFTDIG >Et_7A_050396.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:12725075:12725215:1 gene:Et_7A_050396 transcript:Et_7A_050396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLARTSRYLVMTSPTAGLVEVAAISNHVHTDMLLSRSHFDLAS >Et_9B_064423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15055446:15064329:1 gene:Et_9B_064423 transcript:Et_9B_064423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRRRRGGGGSTSAPRGRKRPRRAVEEEVEEEEEEAETGQEMNGEDYCFICKDGGDLRICDFRSCNKAYHPACVEKDSDFLNSDEEFICEWHTCNICKGRSRYYCFCCPRHSFCTGCVAQADFIPVLRKTKGFCANCLRLVIMIEKNVDVDSDGERADFSDRETYEFLFKDYWEILKNKESFTLDKLEEAYSFLKRGLNCKQVYLEKVPDEESNSDSDFLGNSDDDDDDLCSSSYLNGSSKKIKSPLKEGKSKKNGYMGWGSELLIEFLALLGKDTSKSLDQFRAAEVIKDYIRQNGLMPKDKKKIVICDDKLKSLFRKSKLKFNRIPSLLKKHITENMTSEDETLHSEDNIDSVSAMTKKDFTANHVSRIPNRTSEINKRRFASLKPDNIKLIYLKRSLVMDLLKEQDTFESKVTGCFVRVKNDLKDYSYLIRKKSHELRQVTGIKRSAEQYKIKDISTDVLLCTFDNDLRISTLSDEDFKEDECDDLLLLAQKGSFKRPTVGDLEEKVKSIHRDIMSHYEDMDKKKLLQEPSERRRLLEEVPQVIPDTEDIKDTELQVTAQDKSVEKKTVAFQGNNGERVISSKRFSEEKSKGTDGERTLSLKCCPEEKYKATKEDADGATAGINVQEGNTEEPGANIAAGEIPCAQQDSEATKADANGDTSAMHVQRQSTEAVDVGLTAQVIPIEDDGDENLRQGGGQTAVINIEDDDAGDTLPVQHKTSNTPRRRVISQHRSVWYYNDPQGDERGPFTMEHLRHWWHGGYFPDDFKVWRRGQSSDSAVFLRDVLQVTR >Et_3B_031141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1801039:1803028:1 gene:Et_3B_031141 transcript:Et_3B_031141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAAESSRARQDGEAAARKRPWVVLPPFPRVVGDEDEEADKFTPGTADFLFELREPPRPSVLLLPERLAGDLELSEAYPSIVSTHPSGMILLHASQGGRSVVVKSVYFICDARARTATRIPDCQLPLKLSPCGVIGLAEDARHAGEFMVVQLLRATASIARPDALVYYSTATGAWGVKAVDSSSLENKPWQAHGVFAYDGALWWVDVAYGVLRCDPFEDIPRLLLVPLPAGYEIKQPAAPRGKFIDQKRVVGLSEGEIHFLAIGCSPSDTAAPVTALSDRTTVVMWRLVADSVGRYMWKSEYEVSFADIWGNASGNLTAGLQPNSVPALALVHPHHHDVFYFDQGGYLFAADLGKKRLLGRTEEDLRFDRASYVEGWNLSLGPNENVDDKSLAHLLQRMQTNKVTRKHDFKGRKLFVSGPNPLEHLRMAPQAEVADLPTATPMRSTKSRFEDALVNLQGKIRQETNVRALEKLMRERQDVKKMMEVISPGDDDE >Et_4B_037280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17669310:17669551:1 gene:Et_4B_037280 transcript:Et_4B_037280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLHGSGLVDCRSHNQERRPSKCNLLPLPLHSGDGRCRRVFDNKTMTVPQLVLVIKQDIQN >Et_4A_032406.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9657286:9657624:1 gene:Et_4A_032406 transcript:Et_4A_032406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHIISASKSEMKCDSMNISEGNTYENYNIQFGTISNIVNDCKKEKFQSACDAMISNIFIGANLCKKENNRDKKPYIQIGSMLVKIQEAKDHIQVAKTFPRACHTFLSSST >Et_8A_056345.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23805012:23805338:-1 gene:Et_8A_056345 transcript:Et_8A_056345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HLHHPILPRGALGLACDVRRRRRLATVSAWPTASLRSSSTPPRVRCSLRHCRMPSPRARTASRSMCKCVGFWVGRRSPLRPATCVMLQLLPALVRRPSSVSTRCLVVSH >Et_8B_059511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18666104:18668444:-1 gene:Et_8B_059511 transcript:Et_8B_059511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMSTSGDGDAAARGATGGAGRWAVGAVCGGLVYYHCAVRRASAVSLAADVLLVLLCSLSILGLLFRHLHISVPVDPLEWQISQEMANSIVASLANTIGAAESVLRVAATGHDKKLFFKVVFTLYFLAALGRVVSGAAVAYAALCIFCLYMFAQSTDLFDQLPSWVPLGRDSLGGAQDST >Et_9A_062520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23757773:23760232:1 gene:Et_9A_062520 transcript:Et_9A_062520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFRFLGDMTHLFSVLVLLLKIYATKSCSGVSRKTQELYLLVFVARYLDLFTDYISLYNSVMKIVFITSSAAIVWYMRRHPQVRRTYDKEQDTFRHSVLVAATFVLALIFNDRFTFREICWAFSIYLEAVAILPQLVLLQRCRNVDNLTGQYVLFLGAYRAFYILNWIYRYFTEGHHSRWIPWIAGLVQTCLYADFFYYYFLSWKNNVKLELPA >Et_2B_022362.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19279154:19280725:1 gene:Et_2B_022362 transcript:Et_2B_022362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYWTALASLMGAFAFLQGVLHTVFPAELRAAVARLLGRVTRAFSPYCYFDVTEMDGMSTNEIYDAVQLYLSSTAAPAAGARLSLSRPLNASSFTFGLAASDRVVDSFRGASVTWEHVVAPRQGQGFSWRPLPEEKRRFTLRIRRGDRDKLLPAYLDHILAAAADIRRRSQDRLLYTNARGGAMDARGLPWDPVPFKHPSTFDTLAMDPARKAAIMADLRDFADGSAFYERTGRAWKRGYLLYGPPGTGKSSMIAAMANFLGYDVYDLELTEVGSNAELRKLLMKTTSKSIIVIEDIDCSVDLTNRAAPAPAPPSKPRIVDGAGIDQDGAGAGAGRSITLSGLLNFTDGLWSCCGAERIFVFTTNHVEKLDPALLRSGRMDMHIFMSYCSFPALRILLRNYLAFSDDELDRLAGSEVMRGLEEWIDAAEITPADVSEVLIKNRRNGKEEAMQELLDVFKARAEKRRRESGAAAAGKEAGADNEEEEEEKRALESPKEGKEQAGMDRCGDGVHDEEAEAKKQV >Et_4B_038518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29589152:29591207:1 gene:Et_4B_038518 transcript:Et_4B_038518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQEEKTAVKTDEASPAEEQQQPQSEQRRAAPSASAGAPPNPFDFSTMMNLLNDPSIKEMAEQIAKDPAFTQMAEQLQKTVVSPRQQARAQPAVPELDPQKYVATMQQLMQNPQFVAMAERLGSALMQDPAMSTMLGGLTNPAHKEQLEARIARMKEDPTLKPILDEIESGGPAAMMKYWNDPEALQKFGRAMGVGPSSEAVAEAEAEEDAGEEGEYEDESIIHHTASVGDVEGLKKALEEGLDKDEEDSEGRRGLHFACGYGELKCAQVLLEAGAAVDAVDKNKNTALHYAAGYGRKDCVALLLESGAAVTLQNLDGKTPIDVARLNNQEDVLKLLEKHAFV >Et_10A_000798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17497738:17498695:1 gene:Et_10A_000798 transcript:Et_10A_000798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRCHVADPVFHGCLRHRHNGRFIRALLVDNATRHATNLLNNRECTPVTAGRGLFLLRVHDAFPHANAMPCRQGRRSVAISHMFWSLEMALAARWADLSKAWGMLPPDPNVLVGKRHLSPVTDVLTPFRHGSSGLRRQANYLVIGDTVHWLCYTDHSYYVLQLHTGGQARVTIMELPPSFQRVSHFNRTAQILLAMETVDGSPIVLVANNGMISSWVPSKLTTDWNEQPQVVIKNEALPSFAKVKGLTTESLGRVRLEWFAQMSGVVVIGTPHCGFFWLDLESTEIFGWSKSCRDGYPARPYEIELSSWVSS >Et_6B_049330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3348365:3349045:1 gene:Et_6B_049330 transcript:Et_6B_049330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLWKQLTTDFLILICRRTPKKRGKKANSARSSILPLEEDAPTASMSFPPSRSLEVSSKKKGQHTGSNSRASKRSRSGSNNQPAEPLSIDHPVHIEQPVKPKQRNKAKPTKKAANNPRVPLDSPSMCTRSKRPPASPAMSTRSKRRLSI >Et_8A_056211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15988987:15989376:1 gene:Et_8A_056211 transcript:Et_8A_056211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLIALALLILACSYWKLNSLLGSGDADADASTGATANDAASPAAFADLVAVVMAGEKTPTFLAAPIVRRVTDVRGRAGESSTETEEEKNGGKAGEGEGGVLPADNAERGDPQSNHV >Et_5A_041823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3088443:3091377:-1 gene:Et_5A_041823 transcript:Et_5A_041823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDGDGEQTQDYLFKIVLIGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVVTILVGNKTDLKHAREVSTTEGQALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYSILSRKVFQSQEQKKSELQSLSNGKAVVLQGETNETNSGGSSQTNAVNRATTAPAISVQNEKRVLKRETTSLQGKISEVSSSHFQELGVSQAEYRNMIWKQWQHRT >Et_7A_050971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13076542:13077159:1 gene:Et_7A_050971 transcript:Et_7A_050971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPEQHQETLPSILKPGVLSSRETRIALIFISGDSSRRAMADEESSPSSCLSSENEHGLQWSNSPEATSMVLAACPRCFIYVMLPQDDLRCPQCKSPVLLDFLQDNNNNNRNKNNSNSSRRSRRG >Et_9B_064968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20064701:20070968:1 gene:Et_9B_064968 transcript:Et_9B_064968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGHLLVALVLLALAASSAAAASGGRHRHRAAKAKARARLGLVRAAPDASLADRAIDDRHRHAYISAKLRGSAAAAAAAEVGASAFAMPLTSGAYTGTGQYFVRFRVGTPAQPFVLVADTGSDLTWVKCRGGAGKAAGEGGERVFRPADSKTWAPIACSSDTCTSYVPFSLANCSSAASPCAYDYRYKDGSAARGVVGTDSATIALSGSGRADSINSRRAKLQDVVLGCTASYDGQSFESSDGVLSLGNSNISFASRAAARFGGRFSYCLVDHLAPRNATSYLTFGPGGPEDGAASSSAAGAAPAAQTRLLLDRRMSPFYAVAVDGVHVDGVQLDIPSDVWDVDKNGGAILDSGTSLTILATPAYKAVVAALSERFAGVPMVSMDPFEYCYNWTAAAAGDSEPEIPKLEVEFAGSARLQPPAKSYVIDAAPGVKCIGVQEGAWPGHGSSAPVDLVPAAPGASLAERARDDRHRHAYITSKLASRGGGPRRTAAEVASSSFSTPLSSGAYSGTGQFLARFQVGTPAQQFLLVADTGSDLTWVKCRGPGGASPPGNVFRPADSKSWATIPCSSDTCKLDVPFSLANCSAPAGPCAYDYQYKETSASARGVVGTDTATITVSGGAKQTLGGVVLGCTTTNVGRSFAAADGVLSLGNSNISFATRAAARFGGRFSYCLVDHLAPRDVAGYLAFGPTSGRATPRAQTPLLLDPAMPFYTVTVQAVRVAGASLAIPADVWDVKKNGGVILDSGTTLTVLASPAYKAVVAALNKGLAGVPRISFEPFEYCYNWTARRPGAPEIPKLAVQFAGSALFEPPAKSYVIDAAPGVKCIGVQDGGWPGVSVFGNIMQQEHLWEFDLKNRFVRFMPSTCTK >Et_10A_002280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:687845:692655:-1 gene:Et_10A_002280 transcript:Et_10A_002280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVDQDSDADWVVLDSDNPADSSDNDAGVRALSSGCPTPDCRSDDDDGEHLVGVVLALSSTRRARASPGGSDDDEAKVLVGDDPEGLYEPADAEEVPQPPPPPPKPLSGLFHHTLAGGVNYAAFDPVLLWPERELIPDPSFSSISSEEVTVLASSRGLVCLRGRTSRDYFVSNPATNNRVRLPRHNCDHIAYGDPAVVITFEDTYTCCADHAGHYHVVVAFPLGDGVCGYESFSSRTWKWTVAEGVSAVEQVVSASGVGALGCAFWRTTMGYFLCYNPVAGNADLIPAPQEVMQWPYWELGEMNGTLAVICMDERVNEVVVINLQLEHAGAGDVYWTLAGHFEGGCLRNRDQVQLLRSQGPEVVMWDPMVERVVATDVEGRTTRTIDPLSGHQYFADFIPYVRSSTGITRIEAGAQCKFTAAVAEAQLTTSAGQNQTPVDPKPPSPLTVASRSSTSATSGVATLSMIICATRSPGLTAKSSSEWLKSTTPTGPR >Et_5A_042719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:297090:298177:1 gene:Et_5A_042719 transcript:Et_5A_042719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVEYVSDKMALHPNSCSDELEPPPSSKNIHVAANNAAGAAMDKRLRLAGKVCIVTGGAQGIGEAIVRMFVEHGARVVIADIADAAGEALAAALAMACSFEHCDVSVEADVERTVQRAVARHGRLDVFCNNAGVLGRQTRAAKRIASMDAGEFERVLRVNALGAALGMKHAARAMVTQGGGGSIVSVASVAGVMGGMGPHAYTASKHALVGLTKNVACELGEHGIRVNCISPFGVATPMLFNAWRQQHQEEDQEVELENSPEKEEKKSWPDSVEKMEEVVRGLATLKGVTLRARDIAEAALFLASDESRYVSGHNLVVDGGVTTSRNVIGL >Et_6B_048513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10034560:10036388:-1 gene:Et_6B_048513 transcript:Et_6B_048513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKSKDRKRNYNAQFVSFLGLAAAPAVDNEGYPKSFYYGILPMAQMSANYSGVMLWDRFYDKSSNYSSYVKRWA >Et_2B_022297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17205194:17209612:1 gene:Et_2B_022297 transcript:Et_2B_022297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTFLAEALYVWGSFVPLGPHSRMPSRRMVVQDQLEPHRHYIIRDTKMGAKENGDQRVIHSNDVERDSKQGKEAESDYEPSRDSLSSQGEATSNEDTKVKRVSRVPKKLVKKETKENSPRSARSYSNRQIHTKLQFISSNNAQNKSPKSKVPNGAKIVEAKKQETVKVPSCSSSEASEETDDKAIEDRATDDKAVEVRIKDEDGIEERSKDDNAIEDRSKDDKADEGIATSDKAVEDRSKVDKAAEGIATDDKAIEGRVTDDAVTECTEIDDKAVEEPKEIDVLDEAPKCDQSTGTDDEIADAEESIVDDGKLGSYGKNEELDSRIEKLEQELREVAALEVSLYSVVPEHGCSSHKLHTPARRVSRMYIHASKFWSSDKKASVAKNSVSGLVLVAKSCGNDVSRLTFWLSNTVVLREIIAQTFGIPRQPSPVMKVFSTNGVAKKLDRNFSPMQWKNNSNGKQARQNIMQMPDDWRETGTLLAALEKIESWIFSRIVESVWWQAMTPQMQTPVEDISTPKVGRLSQPSLDDQQHGNFSIDLWKNAFRDAFSRICPLRAGGHECGCLPVLAKLVMEHCVARLDVAMFNAILRESANEMPSDPISDPIVDSRVLPIPAGDLSFGSGAQLKNSVGNWSRWLTDVFGMDAAETEKDSQDAEDNGDDRRDVAENNCFRLLNELSDLLMLPKDMLLEKSIRKEVCPSIGLPLVTRILCNFTPDEFCPDPVPGMVLEELSSESLLERFTDKDVISSFPVIAAPVVYCPPSVEDVAEKVADTGCSNGELDRRASVVQRRGYTSDDDLDDLDSPLASLYDKSAPPSPCNGGVPHFSTQQGANSMANARYELLREVWSERR >Et_8A_058503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9847566:9854813:-1 gene:Et_8A_058503 transcript:Et_8A_058503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVHLLCLAAVLAAAAAPAYSIFHFDFRTDMISPFDGAYSRHDVWRRAALASHARVAKHAASHAKALGKPKHHVVAGGAHNVSEAAEVGGTEGHWINVGIGTPPQPAKLVLDTSGPLIWAQCKLFDAGKTRQVEPVLDPSKSSTFQVVPCGDELCRAAGTFANCTDNKCMYSALYASLLSDGVFSSDTFTFGVDRGSVAAPLGFGCGTLAGDGLAGASGVMSLSWSNSSLITQLDVPRFSYCFSRFGEGKKSPLLFGTAANLQKYKTTGPIQSVPMLKNKWNDVFYYVPMVGISFGAKKLDVPLETLVLNPDGSGGTVIDSGSTLSYLVKPAFEELKKAVLETVKLPVANHTVKEYQLCFDLPHGTPMDKVDVPPLRLHFEGGAEMVVPRENYFQEPEPGLMCLAVGVTPIMFFPNMISNVMQQNMHVLFDVRNSKLFFAPTEFTQLEPVAPPATAAAAAAQAHLAEAPIHHHASNLTLRRIHTLEAPPIPRAAAWCPWNRWARPRSCEVDVLLRSTNCTKRQAATPVSCWREGEEDLRPRFTSVLFWEEEAELRQDTKQSCAKSCEVESPEETLSRIAYGGFGSRGNGTASDARNGGGPLNPTASGGGTYSPPQVDEFTLY >Et_8A_056100.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19914044:19915918:1 gene:Et_8A_056100 transcript:Et_8A_056100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSVLDAASPVVVRPASGDVLSPEPVLAPHVVHLLDGHPLGLRQEERDEGGHDEHPRGEEVEEPELEVAEHGEERLPDDEGERHVDAHGDALPGRPDLQREHLGGHEPPERAPRPREPGHVEADEEHEEARVRARHLAHARGPELEPDERADDDLGDEHLRAALQEQRAPAEPVDGDDGHDGGEHVDEARDDGGHERRVPREADGEEQHGRVEHDHVDARELLEERDEHGDGQLGPVLALQDGAPRVDVHGAGLLGGRHHVVVLVVHVVRAADLDQHRLGVVGVAPGDEGVGRVGQGERAERDHRRGHAGEAEADAPSPAAGDPDGCVVDEIRGQDADGGHELEADVEHAAELGGGHLRQVERHRLVGEADADAEEDAAHDEHHHARGRAVEDGPREEGDAAAEHGPLAPGHAGHPRRHEGGHQRRQVQRGGEEGQQLAVELAVLVRVLVCLRLGVHGREELHQERVHGGDAARDADVVAEDEAAGRGHQAGEEDERRHAAGVRLAPGHVHHHAAGHCCRRDELIKLLLLLLLCYKTMQRQWRWKREEKRRWPGCVVWGRAVTCLYGGGGRSGGNPWPVAPLMDETRLRFVITQLLGGVPRKLLKLGYFSSHVISLLLQLLCC >Et_3B_029556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2665625:2669333:1 gene:Et_3B_029556 transcript:Et_3B_029556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCASVWTPRYALGRSSSPGPGGSRQRSSVRARAAADEGGGATGGSGSDGAPRFVLHDSLDAAGVATVHARAAREGFAAQVGRLTRVAAASSIAIGRGPDLARAALCIAAEDDSLVSHSSVPLPVDAFIARLDGLSTGFCGGGNFPPIGAPADVFFDHLDRYLYGYKGLRRTNGVSDVRALYLHTVLTCRSGSALMLSLIYSEILKTVRIYGLLDFDPEIFFPHDLNSLPRGYDKHKSKLGDETHIMTSKSLLVEILKTLKATFWPFQSNQSSSLFLNAVAANNYGPGTSGGNQARSHGNISAIEMAAAKAAQHRLMRGVWTNVRFGDMRRALAACERLILLNHDPHELRDYAALLYHCGYYEDCLEYLIAYQTAMAGNKFPSSSLEILEEEAVNTLRARVSLILAEDGWSSRRPAASYWTKNSEPW >Et_7B_054696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3641402:3644460:1 gene:Et_7B_054696 transcript:Et_7B_054696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSATRRGISALLLSSRALPCRLRPLAAAATHLAPWALLPSRGAKTASPGGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPTDPKPTEEEMVAAYVKTLASVLGSEEEAKKKIYSVCTSTYTGFGALISEELSYKVKGLPGVLWVLPDSYLDVPNKDYGGDLFIDGKVIHRPQFQFTERQQTTGDYASGTKRNHAKRSFDSTAEATILAGAHSESRATLWNHATRSRKRSLCKMVSVAGSHHGSYRLPNEATSRTLSWSSPRT >Et_2B_019903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1536319:1536549:-1 gene:Et_2B_019903 transcript:Et_2B_019903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQFFMLSELRVQLSDESLVLANPVGSVAERMFNSAVGEAFGSDRLFFSVAEAVAASQCKAQP >Et_3A_024664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23036827:23037466:-1 gene:Et_3A_024664 transcript:Et_3A_024664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVLKVAITCKKCKTCVLQIASKVKGIKSLTFDEEKATLTVVGDVDVVIVVEALRKAKHPAEVVSVVDEKKEAEEKKKKEEEEKKKKEEEEKKKKEEEEKKKKLCAQMCSPCPKPYPPPCPQPYPPPCPQQYCIVDDQPGPCTIV >Et_10A_002025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19033630:19037397:1 gene:Et_10A_002025 transcript:Et_10A_002025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRELAREAAAKVAAANGSAAPPPPEGMMTYEGVWFRKWGKWSAKIRDSPTTKLWLGSYGSAVEAACTYDAAARTLWPAGGARTKTNFPDPAATEEERAARAAVVRAHVDEVKRKRADKEAWREEDAAEASVVAVAVAPPPPASAATQGAGVKRKREGSWKRRPAARWRLTRRRRRPWLPPCRRQHLLHLLHPPHRRAARPAPSLHRLRPAMPPSPKASGAAGAFDVQASTASASEASGFQSLLAPALTAEPLAFHFPTPPNPIAPPPAFHTEPSPAASAPVGNASTSQFPPPAPQLNYHFPNAMVPPPPFHHLASASTSAPPPAFHLASASSSSSAPPTQEREQAALTSLAKLLDLWNQRDFGGSLLPFRSRAAAATTYNNPSPHQPTMDNNWPAAYSGTEGGSTSGTMMSGGGGQEELPGATTLRRPNSRVCAVSCWRTAILELRAAPTQLGLPSSRTEARRPDRAQRRDAPPHRVIAK >Et_7A_052675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11982316:11984365:1 gene:Et_7A_052675 transcript:Et_7A_052675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTATTTTARMDDHDEYAKLVRRMNPPRVVIDNEASTDATVIRVDSVNSHGTLLAVVQVIADLNLVIRKAYFSSDGNWFMDVFNVTDRDGNKVVDEPTISYIQTPSRRPQTLEADDCYYPDARNTVGIVPSEEYTSIELTGTDRPGLLSEVCAVLAGMRCAVRSAELWTHNTRVAAVVHVTDAAAAGGAIQDAARIADISARLGNLLRGHHSDARSGSGAAAAAGGSLAHKERRLHQMMFDDGRGHHAGATAGITPRTEVSVTACAERGYTAVVVRCRDRPKLLFDTVCTITDMEYVVHHGTVSAEPCGGAYQEYYIRHVDGRPVRSEGERLRLVRCLEAAIERRTAEGLELEVRSGDRDGLLSDVTRIFRENGLTIRRAEISSEDGEAVDTFYLSDPQGHPVEAKTIEAIRAQIGEATLRVKHNPFAAESSGGGKGKDADARSDGAGAGTTAFIFGNLFKFYRPFQSLGLVKLSS >Et_2B_022566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26034259:26036581:1 gene:Et_2B_022566 transcript:Et_2B_022566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRFSAGDGGPRRPCERRFMPRGKHSRAPGRQSSAPSALASDSLRSHAAEPVHFNGGPPPPPPQTEWEATARRERIIREEVERRLIEEEVCRELALARARLHGRLSAGGPFVPPPPVPFFGADVPFIPLPIAAGTNPNEPRPAPFGPWPGSRPCQRSAFGRRKLPGEARRRPLPTAKPKHNLEPGESPESTKALYGKVLPSEISGVKADVIASTTEPTLPLKTQISGVKRKADVIAATTQPTKLQKAAMDWSCALCQVRATSEASLNIHIEGKKHKAKLARCDAIKVISGDKNGTQAATGKDDSGPSDASRKICILVDGVMHEVVQKSNYLWCERCRIRCENNITMADHLRGKKHSEWNKVWKSIKAVRLNTSKEGSAATCRRKVNENGSIRIPEEEKREGCMASELNENGSVEIHVEIKEETTDMAEEVNESSVTETPVEIKEEDTDIAGEVNEKNGHTNFEQEGTAMVMDVNRNGSTDFSAKDMKEGTDVAEMKVAFLKFQQKLVKKLHSGR >Et_3B_030209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31309456:31311536:-1 gene:Et_3B_030209 transcript:Et_3B_030209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTAVVAGIAAGAATSLVVAVLVAAWLVARRLRARRDRSSETGSSEAPPTLAEWGRFGRTASAPEFHGARQFSLDELAQATKNFAEGNLVGAGSFGLVYKGLLLDGTVVAVKRRDGAPRLDFADEVRRLSEIWHRNVVTLIGYCQEGGLQILVFEYLPNGSVCRHLYDTGKESLTRLEFKQRLSIAIGAAKGLNHLHSLAPPLIHRDFKTSNVLVDENFIAKVSDAGIDRLLRGFEDAGPSQRSNSSNAYQDPEVHSSAQLSESSDVYSFGVFLLELITGREAAGLIGPEYKESFAHWMEAHFASNELVDPRLGGSFTSEGMTELVGLAFQCLSPSARRRPKMRLVAAELDRILEKEMTLTTVMGDGTAIVTLGSQLFTS >Et_6A_046522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16535556:16538886:-1 gene:Et_6A_046522 transcript:Et_6A_046522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RHYKKEDAETKRPLFSLPHSPEPSPRAVSSPSEPGETANNGVAAAGDAGRGGEGGEEHVERIRRDRYFIGRGEQNPLAEDMHQAVNYLSQELYSKDVHFLMELVQVTKSCSLQVHPLGFFPTLFLLPRIALLGLLNAEDNEYPSGVAPSLEFLITSKDITGTGASSTLLIFNNEKGFSSSNVDSICRVGRSTKKGNRHHGYIGEKGIGFKSVFLISNQPHIFSNGYQIKFNKKPCVECNIGYIVPEWVESTPSLSDIKDIYGCSKVLPTTTIILPLKCEKVDAV >Et_9A_063207.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:15531525:15532199:1 gene:Et_9A_063207 transcript:Et_9A_063207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQASSYLFSRQKSYESPELREPGKFEDVCGDGSVLRAQLAALGATTPSCVYGKRLSAGAQADLSNGLLSVGGEHLTEALTAIELGTIVHYTRTGGLDVPVFDRDGTRYDFKCVQAEDMGFYRLVAASESEFERFMVDNNVVYCDPAELQAKEQRFMEVWAFRSPALRNGRKAVDGHHRHGALGMVILFSDPGTEGLGDDNRSILHLLRHCLKLPEGYELNQFI >Et_5B_043120.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6221367:6222389:1 gene:Et_5B_043120 transcript:Et_5B_043120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIIYPFFHFYAHSALLIIFFLRQARDEELELARVRDDELLDLGKVGEGPSVAGEAREVKAVGELAERAHDGRLHHLLRRALAGADEDGLVSGDVEGAGRDVHQVVGPPDHVGLPDPIVGVIGVPDGGRGRSSEVDPGGEAEEGGGLVVGVVEGPVELPELQRGDEGLARGGVGGEREAAPGASFRRRAGGRIAPAPQEDPEPRPAPPLAVLALVGARVPRLVLSGAGGATANAAALLERGAEPEPAGVPRRRLPAGVVRGGVAGRDMGAASGGGNGGDGEKCAFCGVERHEVLPASDGHHFPASGRKKRREGAEPATKLARGYILNQPTLRRVNFEDH >Et_3A_026568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9779721:9781596:-1 gene:Et_3A_026568 transcript:Et_3A_026568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAEVMHQVVPLLEAPFHRCAVKSVEVIEEAVGVATAPVQPAASPRAAVEVAVDVADLESKTSIAGCSASVEPQHFVPIIRSGSFADIGPRRDMEDEHIRFDDLSGHLGSLLMPSAFYGVFDGHGGSDAATYMKQHAMRIFFEDAEFPQASQVDEMFAECVENLIFKAFLRADLALADDSVINRSAGTTALTALVLGRELLVANAGDCRAVLCRKGEAVEMSQDHRPTYDAERRRVLESGGYIEDGYLNGVLSVTRALGDWDLKLPQGSASPLIAEPEIQRITLTEDDEFLIIGCDGIWDVMTSQQAVTTVRKGLRRHDDPERCARELAMEAKRLKTFDNLTVIVVCFVSELSGNSAPLEQAPTGRIRFCKSLSPEAICNLRRLLESDH >Et_7A_052125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4934088:4935263:-1 gene:Et_7A_052125 transcript:Et_7A_052125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRRSPKLVSGSSLLLIAVAVLLGTHRCAADDLALDQKIELIWGTAHTYFFMDGDTETLALSLDEQQGSCFRSMELYLFARIDVDIKLVEGNSAGTVATVYTISEGPWQIHDEIDLEFLGNVTGEPYTLHTNIFANGVGGREEQFRLWFDPTADYHTYTIEWNPKLICIRVDGKVIRVFKNHEDQGVPFPTWQPQRIYGSLWNAEDWATQGGRIKTDWTQAPFVSYYRNYNVTWCQPSPGVPWCGAEPKDSTHFDLTPQDLANLQWVRDNYRIYDYCSDHRRFNATEFPKECYLD >Et_3B_030343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32344150:32345332:1 gene:Et_3B_030343 transcript:Et_3B_030343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AFSEDGELWEYFPCPFCYIEVEVPFICNHLQEEHCFDTKNAVCPICANNLGKDMAAHFRVQHSHLLKRRKPAKPSSWPAAANKTAPGLGAYEVNPYFEEPQHYRMSSRPYQDPAPDPLLSQFICSIEQSEDVISGTVNNESGVATIPDEQRLNKGVSYDTSKLGLEERLQRIEFLTEILMSTIL >Et_9A_061883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17426427:17429199:-1 gene:Et_9A_061883 transcript:Et_9A_061883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding STARASWSATLTACSPSASPPLLSLQISHTSLSSSIHLVLQNFANSAPLLSPFHRSRSIRFHRSGMAEETKQETAAAAAASTAEEVQTALAQLKELVAAALASGEFDLPPPPPPPAQPAAAAPAAEEAKTEEPAKEEAKDDAPAKEEEPKAEVTAAEETKTEAPAPEEPKTETPAPEEPKAEEPAKEEPKAEEPAKEEEPKAEKPAKEEPKTETPAEAAVEEPKVEAATEEAKPAEPEEKTVAAAEEESTKTAEAIEEPAAAAEPEAAPAPAAAAPKEELIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMAMLKSAVLWRKRFGIDALLDADLALPELENVVFYRGADREGHPVCYNVYGEFQDKELYEKAFGDEEKRERFLKWRIQLLERGIREQLDFAPSGICSMVQVTDLKNSPPMLGKHRSVTRQALALLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKSKIVFCSPGKSAETLFRYIAPEQVPVQFGGLYKEDDTEFSTSDAVTELTVKPSSKETIEIPATENSTVVWELRVLGWEVSYGAEFTPDAEGGYTVIVQKTRKVPANEEPIMKGSFKVNEPGKLVLTVNNPASKKKKLLYRFKVKGTAESA >Et_9B_066149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4927900:4929251:1 gene:Et_9B_066149 transcript:Et_9B_066149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAANTSGSPPPRPAYERAVTLAARIVGGCFHFAMLNAVAYGLRARRAGLLRRRVRCPRRAAPAPRRPRPARGDAGLAAKVDKVEALGDVLCFAFSYRVAALAGSPALAELVWCVTVSVLFAGLYVVVFCFRKDQHEDLRQSTLVVQLFRVADCLVNPFAKH >Et_10B_003841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6677143:6681457:-1 gene:Et_10B_003841 transcript:Et_10B_003841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVVEDPVQHHQHGVQCGMLAVEDDNDALDWGQLGGPLQGGPQWGRQWWACTVMREDKGEASGEASRLVGNHVKPLEKPLKKPYQRGPNLLPSYSKLVRVNHLNRKPAPPAPDNGNGNATESLLMDPLIIYPCISLGVEELLHVLETPSPSLRQAGVNEHESRGGDGGVDVERPGHGDGLSEMKVMVTTPLMTRLTAVPSPAPCPRSRSGRISELYTHASGPNPTEKATTNATTAATLTAATAASSLAPANAAKSEPRTSRDAVMPPVLTRRSGRRPTRSASAMAMRMEPALATPKRTLRPSRSVFEVTPAMASTRGPYSTTESIPEACWKNCSVRTMASTRRTPAFVATSFHAPPRSSPPAPFTTSSISRRRCSASAPVSDVLSSTALASPSRPFMASHRGDSGMVSTPAARNTVGAAPAANITRHDRCVGRPEKARLDT >Et_7A_052546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9186592:9188983:1 gene:Et_7A_052546 transcript:Et_7A_052546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTAIVESDPLNWGKAAAEMAGSHLDEVKRMVAQAREPVVKIEGSSLRVGQVAAVAAARDASGVAVELDEEARPRVKASSEWILDCIAHGGDIYGVTTGFGGTSHRRTKDGPALQVELLRHLNAGIFGNGSDGHTLPSEVSRAAMLVRINTLLQGYSGIRFEILEAITKLINTGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAVTVDGRKVDAAEAFKVAGIEGGFFKLNPKEGLAIVNGTSVGSALAAMVCYDANVLAVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILDGSSFMKQAKKVNELDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNARLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLANPITNHVQSAEQHNQDVNSLGLVSARKTAEAVDILKLMSSTYIVALCQAVDLRHLEENIKESVKSTVTQVAKKVLTMNPATGDLSAARFSEKNLLTAIDREGVFTYAEDPCGAGFPLMQKLRAVLVDHALADGEEASASMFSKITKFEEELRAVLPQEVEAARVAVAEGTAPVANRIKDSRSYPLYRFVREELGCVFLTGEKLKSPGEECNKVFLGISQGKLVDPMLECLKEWDGKPLPIN >Et_1A_007310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33189932:33193494:1 gene:Et_1A_007310 transcript:Et_1A_007310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGDALRQAFLMPRRAYAALRDDEGRALPRLRRPLTAAAAACAAVAVAVAACVSLGIVFPAEPAERPFCRERRMLEALPAAASSREEEPEAYRYRGGAFYMTTAEAADFYWMVVFVPTSVLFGASFTYLLAGMSVAYAAPRRHPMICVVENNFCASRRGGVRCLAILNAVFAVIFGLMAIILGSTLLALGSTCSVPLFWCYEIMAWGLVILYGGTAFFLRRKAAIVLDEGDYAAHNVGLEMLETNIEVTPEMQRRINDGFKTWMGSSLLSSDDEDEASDDYIEHNVPSPTAPAPHRQEMDKHIDLHMRNGRGDMETKSQEKK >Et_1B_010791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14057460:14063541:-1 gene:Et_1B_010791 transcript:Et_1B_010791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGGDGGQSTYGFPIYCAAWVPLAHILKPDAPVAGDADADDASSSAPPPPSAQMAVVGGGGGEGRSGVPNKLVVAALEGDAAAAAPALCTEPVLVVETKEQVPYRMAVHPRGDGVLVSFPNGCRLYQWESKEGKEPHKLDLNCDEESLAELKDVGSQLAVSFSGEGSILATGGEDGHLRVLKWPSMESVFAETDTKTSIKDLSFSLDEKFLAVNRSSGPCRVWDLKSTEVVANLPREAGEIFSFCRFSNKTDGTHILFITAMQGDYGKIISWNTTSWTRIGSKKITREAISALAVSPDGAHLAIGTIEGSVIVLGSKNMQILVTVKKAHLGIVTTLAFSHDSKTLLSTSFDSTARVTSVGSVKSHGHRDNSISSPLTPAAVDGPDLVCESWQLPAPNETDLATPVPAITGASDNFRNLLISPSPVISSSRPLVDAGHPSETSGHARLGSATMDAARLTARSGGRTPRAVSSV >Et_9A_061894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17528344:17531838:-1 gene:Et_9A_061894 transcript:Et_9A_061894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLTTPSSSPSFTVPTKSSATNSVSFPRAWEPRRGKHAMQSRTVVVRAEAVDTSISPRVSALRPSKTMAVTDQATALRLAGVPVIGLAAGEPDFDTPAPIAEAGMNAIRDGSTRYTPNAGTMELRKAICNKLQEENGLSYTPDQVLVSNGAKQCITQAVLAVCSPGDEVLIPAPYWVSYPEMARLAGASPVILPTSISDNYLLKPESLASVINEKSRLLILCSPSNPTGSVYPKEQLEEIADIVRKYPRLLVLSDEIYEHIIYQPAKHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYLAAPKHFVSACGKIQSQFTSGASSISQKAGLAALNLGYAGGEAVSTMVKAFQERRDYLVRSFGELPGVKISEPQGAFYLFIDFSSYYGSEVEGFGTINDSESLCMFLLEKAQVALVPGDAFGDDKGVRISYAAALSTLQTAMGKIKEAMALLRPPVAAK >Et_6B_049439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4939560:4942084:-1 gene:Et_6B_049439 transcript:Et_6B_049439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDSSKTQHVGIWLEWPLALYLLYQLIMASFFFFNSTQEDIAGEEATPASPKLKLYSFWRSSCSQRVRIALNLKGLDYEYRAVNLVAKEQSDPEFEKLNPIKYVPALVDGDTVIGDSFAILLIASIVGSSIQPLQNYPVTDFIEEKFDSDEVIKWIQHHINRGFTALEKLLKGCRTKYATGNEVQLGDIFLEPQIYGGVKRFGIDMSNYPVLARLHDEYMEHPAFQAALPEKQPDAPSF >Et_3B_027991.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:30187434:30188054:-1 gene:Et_3B_027991 transcript:Et_3B_027991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPWHPFSAVSQSISSCSDRDTSRPVRMALMPSMATTAENAQQLPHLPWFFTGVTAPVWRQSTDCGMSKAPSYMNPGTAGARRSSWRSSLMRELANVERNSSRPMSPKWFRRRRYGCLPCLLCRWMKCTFSLKSSKRRPFSPWWRCTLWCTCHRSYRFQSASSDARSLSLNGMARHSSTAAMDTTRATRRSCLVPVAMVQVWSSW >Et_7B_055923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7474864:7477244:-1 gene:Et_7B_055923 transcript:Et_7B_055923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLHIAKARYVHMAAAAKVQQAKNSMATPPSSETTTRKPLPLLLFSLSLPVLLLLLALVFLLSHTTFSLLICPLLPKSTSRPNGTSSSTTSSLDISMEMTMQAFHASASPPRPLPPPPPPPQALPAASAAVKSRKKASTKRNKSLLKLLLKQTPQTRLFAARAAELFAAPCTDRFFMTWLSSLAQFGRRELQVLESLFRWHPGACLLVASDTMDSPGGNDRLRPFVERGFRVAAAAPDLAYLLNGTPAESWLGAVQRGGVSPGSVPLGQNLSNLLRLALLYKYGGVYLDADVVVLRPFSSLRNAIGAQAVDQATGDWRRLNNAVMAFDRGHPLLREFIAEFAATFDGSKWGHNGPYLVSRVAARLRRGAGLDVTVLPPRTFYPVDWNKIGGLFHAPKDRKDERWVKAKVENIKGECFGIHLWNRESRGLEVEEGSVIGRVFPLVSGTQKYTNSTEIVEITANTKNIPATDITRAMERNDMETKPLLTLPPT >Et_8A_057204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22331411:22337406:1 gene:Et_8A_057204 transcript:Et_8A_057204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLSKLNDLVGDTFANLIGMSKDILSFRIELTDMNALLKKLEGNEQLDLQVKNWRNQVLEMAYDIEDCIDDFNNNVRSVDATASLIGRFSDFLMTLRARLDTAMQIKELKTRLQDMNDRRKRYKVDDCPSNTTIEALDPRLPALYNEAANLVGIDNPKEELIKWVLDEDQKLKVVSIVGTGGLGKTTLANEVYREAGIQFNGKAFVSVSRTPDMTRILNSMLSLLRHDPMLHAHDVQYLIDSLKKYLHDKRYLIIVDDLWDVPPWNIIRCAFPANNQQSRVIITTRRVDVARACCTDRRYIHHMQPLSDTNSRTLFFKRISVSEDDSHSCHISEAILCQILKKCGGLPLAINTISSILACQQPERQKEQWECIQNSLAIQSATNSTLDQMVQILDLSYKSLPHHLKPCFLYLGKYPEDYNIRRDDLIRQWVAESFVTSSPGRDVWDIAEGYFNALVNRSMIQPVYSQFGTEVLYCKVHDMMLDLILRRCVEDNFLFAVHDPQKVAEAKYKVRRLSMDMGGTKDLTMTVATASLLSPVRSLAMFGGPNWIPPLLEFKFLRVLFIEFDKHIHSIDLTSIIHLSQLCYLKIERVNIQSIQLPSQMRRLQHLETFELCSTMDPDVSIPSDIVDLPHLSHLTVPNSTRLPDGIGKMNSLCTLVGFSLQRSSSEIIRALGMLTKLVDMTLTCTERTNSKCVLTATCALSSPLENLSNLKRICLTTLGRNFRGDALSSISPAFKNLERLHMVGATFPRVPRWISCLHDLYRLDLGVDEISMEDVGILGTLPSLVVLWLRIPGVPAQRIVIAGSTGFTVLQRFDFECDGISRLTFEAGAMPKLWELELSFDPREWDKALPVGLKHLSRLKVIDVHRAGRLPPKQNYKEVEEATGVLIRGVFQVAADALPNRPTFTLREGWRTGTGAISIRKLTRNYGIIKRQQGKGQAKLTLVYWTSEISTGRLMVEKTCGMSLAGSNVDNRSDFGFCVPEMASLLVWYSYMVILANKR >Et_7A_052282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6434474:6435652:-1 gene:Et_7A_052282 transcript:Et_7A_052282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKQLNKMILVSHGFKESGKNVSRIVENRNCGVTCIEDWMVFESHRFLWAIIDYIHEVIVMENFNEKLIALSGLKFEVLEVADDMDTFVKVPRLTLKEKVYLNFGLDLDYNFFLSFDHVASLRMQLEERISSPFREDQCDCKDDVPRFWGQVFRHRLPAMNQMTWSPPPKGWIKLNFHGIGCSKDRHACIGGILHNDNDDVLSYYAAPIGEADQIVASCKALEFGLALMTKHHEPASKLIIEGDNLTVIRWCNDVTRPPQRAYHSFMHSCLHMYLRRLKEAEVLAPAEASEECSNGKDEDVGSSENKEKRRTMIQML >Et_9B_063761.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:12438880:12439029:1 gene:Et_9B_063761 transcript:Et_9B_063761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGLLSSVCLCSHLYGSSYRFGVEFWGYTKCEYNLEIYIVYFSVLVTR >Et_2A_016373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2407861:2421071:-1 gene:Et_2A_016373 transcript:Et_2A_016373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VELQTVSWFQFVPNEPESTTTSERSSKAEQKDALNKIVLAAYLRLQSEGFLSTWTNSFVGPWDPSQGEHNPDEKIKLWLFLHGRHSVVTENAQPVVNKLRVISNGLWVAPGNSEEVAAALTQALRNSLERSLRGLSYARFGDVFTKYTPPTRNQNSFRRAQPTVEFVFAATDEAIFVHVIISARYMRNLCSDDIEKVLSHSPRSIGEGLPVVVAPSGMLGRLVGCCPSDLVRQVYSSKSPTPNLPGFTQPTICQLRGQSYYVEVALGFPATSADNVPELENKQIKKESDSVKDPLLGADGQKKLESPDTLPILERTFIYPPEAVLVPMVHQAFVRFSSKRMWLQGWLGSSSWEAWPFWNFSPSSYFQNSSFLGSSRGLGVNSNFLRLRRQKNNKCNSMASSISSVSSTSNGSDHAVATEGDLLADADSMACRQPDMPSNNDNTGSKLSKRPRSEITEVSSHAGKVVENIQGANGQSGRPWGWDDEGVVMDINILLSEFGDFSDFFQEEELDFGEPPGTAESHALVIPASDCGDVTFTDSPSTAMDIPEQRLSPVGFTSLDAFDHQSMTPVQDVVSKVQEPQKDIATPTRSQSLVSSSGRFDYLTRAEAMLTFAPEYAAVKIPAAEVPTSLFTNPYMPISKKPGSSSFSSRVYSYDVTQRSQIESIEDKPEKPVKLTSGNLSRDVCTSNLYTLVQGGKKESDKSLNNTDVQSCKAETSPPISGVTSFSSSLVSQKKSDSLFDPGYFLLSMKTALATELECITFQAAMCRIRHTLLSLRSKASNESKTALSSFMQIEASNKSDITPKYDIRKKENVPVRLSSDVDHEMYDRSLMENVGVWRPVGTPKGAKPLEPLSATSFTSASPNLPVQRQPVVDLLSAMALLVQQSTSFVDISLDMDDGDGPFFWLSLDEQKRRGFSCDPSMVHAGCGGLLGTCHSKDCAGVDLVDPLFAEVSESSMISLMQSDIKAALKTAFANMDGPLSVIDWCRGRGNAAESASMGDAYSFQYSTGDIREPPSAISIGADSMSPPQPGGGNRGTSELEHQKGFHRVKPTIAVLPSPSLLVGYQDDWLKTSVSGLKMWEKGPFEPYASPKPVTYYALCPDIDMLTSAATDFFLQLGTIYELCKLGTHSAQNSGGQMELSPGKYLPSGLVVVECPDQVKVGSSHLSSISSISDYLQALSKNWSVKSYVTSLARILKDIKLTSNICTNQKENSSGPCTVIYVVCPFPEPSAVLQTLVECSVALGYVISSPERERKSFLYPHVSKALHCSASADEASASNVVMLSGFSISKLVLQIVTVETLLRLHKPNNELAVLKDIAFTVYNKARRIPRAVSTGDMFQSPTYMGRSQSTMMHVTSPGPTLWKECLVPRMSGPTLSRETDFDATMRSVTWDNSWQPRAGGLLDPSKMPDICAQDDRKYAFEPLFILAEPGSVDHNALMESSKSGADASGSGAYNSISGGGSDGGVSPLLEGSESDNAASLHCCYGWTEDWRWLVCIWTDSRGELLDSLIFPFGGISSRQDTKVLQSLFIQILQQGCLIMSSSPEASNMRPRDVIITRIGGFLELEIQEWQKAIYSFGGNEVKKWPVQLRRSIPEGIPSNTNGPTLQQQDMALIQDRSMPSSPSPLYSPHSKSSFMKGGLGQSGNKKQILVEQTGIDSSKGSLHLVRSISLVAVSQDHSLHLTCQADLLTRPTSGEGNQGSSGPSSYLEGFTPVKSIGSLPASGSYLLVPSPSMRYLSPATLQLPTCLTSESPPLAHLLHSKGTAIPLAMGYVVSKAVPSVRRDFAQITKEERPSVLSVSIIDHYGGSIAAGQDKMSRGAGGSNMSKQGRNFTQETTTRDYEMEMHNVLEAVAADLHSLSWMTVSPVYMERRTALPFHCDMVLRLRRLLHYADWHLSQPAETAEVA >Et_6A_046728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:197330:203830:1 gene:Et_6A_046728 transcript:Et_6A_046728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QKPPWPWLAITSSLGTLVIALLTGHTFHATIKWVAKVEDNFQEKIELKKQEEVADVAKSQKMLFAYSSLNVSCVLRMDSIHKELSVLQHMLERSEKPSNISLSILKYITNDFSEEWKIGKGGCGEVYKGVLPNGHIVAVKKLFNKHTIDDKQFNQEFQSMIMAEHENIVRFIGYCSHTEQKAMKIEGKFIMAEMRERLLCFEYISNGSLDNYLTDELRGLQWCTRYKIIKGICEGLHYLHKEKHIIHMDLKPANILVDDHMVPKITDFGVSRLAELSHTLSNDRLCSPQYCAPEYRHHGKMSLKSDIYSLGVIIIELVTGSREEPCTTNVLRRWWHRWNKSSKHLSEFKQVNASIQLALRCMHKDPAERPFIWDIVNELNQTENANSNDNAITSCFEDMLEIEPLELHFPYEPNTNISCALQLTNSTDDYFAFRIEMIKSLQFCILPIKDVVPPRSKYSVTVMAQTRNQAPEHEHSKDEFTVQSTRVDGGLIAMGTTEDLFSVKRTGKIIDNVHVTIVFDTPLPEESKEDSSAMPRIGLDEVVPQYQEGGNRSNEPQLNATKEGTSAQVVMLNSLL >Et_1B_012156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29552320:29554789:1 gene:Et_1B_012156 transcript:Et_1B_012156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAVRFSPPVTAAAAAAGAVLAAVALRRYLYSSRQRPSASLTMSAHRSNSAATLVVSGKSPEDQELLASAASSLSLGEGEGVDKITVALDSDSPVSGKEGGFDAGAYMDALRAQRFGRWMLWSPRLASTQDLIAQNFSKLSVGVVCVADVQFKGRGRSKNVWESSPGCLMFSFTSQMQDVRKLPLMQYVVCLSMTEAIRELCRTKGLPELDVRIKWPNDLYLKGLKVGGILCSSSYEPKVYNICTGIGLNVDNEKPTTCLNAGIQEINANAPKLKREDIMAFFFNKFETLFETFSNQGFQALEKQYYDSWLHSGQKVVVQDVHEGQSVGSVVTIQGLTPAGYLHAIGHDGQSYELHPDGNRIGEEKD >Et_4A_034298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31507609:31511543:1 gene:Et_4A_034298 transcript:Et_4A_034298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALASPAASFLPRTPNPCANPSPRAPPRAAALLWGTSGRAVVVAAAAATGDHWSADHNQQYHGGRRRSSEGRAPPGVQCEVDVVSWRERRVLASVAVAADVDTLWQVITDYERLADFIPNLVHSGRIPCPHEGRIWLEQRGLQQALYWHIEARVVLDLQEVPDSINGRELHFSMVDGDFKKFEGKWCVRPGPRSASAVLMYEVNVIPKFNFPAIFLERIIRSDLPVNLGALARRAEKIYLDKQRCSSRKFAVGDSKSSSASQLNFHSTTVETDAISSSKFKEAPPTSGVSSVLASPSSELNSRWGVYGNVCRLDRPCVVDEIHLRRFDGQLEHDGAHRCVIASITVKAPVREEGCKGLLYMVLHARVVMDLREKHEQEISFEQVEGDFYSFRGKWRLEQLGDQHTLLKYMVETKMHKDTFLSESILEEVIYEDLPSNLCAIRDYIEKAGAEDSNSPTYSAALADPDDVGVDYAEGRHSEQAIASCSSSSTKQRPKVPGLQKDIEVLKSELENFISKYGQNGFMPKRKHLRTHGRVDIEKAITRMGGFRKIASIMNLSLSYKNRKPKGYWDNLENLQEEIRRFQKNWGMDPTYMPSRKSFERAGRYDIARALEKWGGVQEVSRLLSLELRRPRRRADSDDERQSESPSGMMKKYRIKPDKSNTLPNAQKWLLKLKDLDVNWVEY >Et_4A_034284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31464580:31472151:1 gene:Et_4A_034284 transcript:Et_4A_034284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTANGNARRGGSDGVPRPTNPMVTPLLTDLYQFTMAYAYWKAGKHLDRADGFFEYLSSIDCSDVEVYAVPEGYVVFPKVPLMRIEGPVAVVQLLETPFLSLVNYASLVTTNAARHRLVAGKGLMEESVHQDILIWEALMLQAGRLFGIPIRGTHSHAFVSSFMGLDEILDKTLTSSDGLNKCEDFVSLVKSWLTRIQDSSSLGSTFGETSHSELAAFTSYALAFPNSFLALVDTYDVMRSGVPNFCAVALALNDMGYKAVGIRLDSGDLAYLSVETRKFFHAIQEEFGVVGFGKMNITASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYAQAALGCVFKLVEINKQPRIKLSEDVTKVSIPCKKKCYRLYGKEGYPLVDIMTGEDEPGPKIGERLLCRHPFNESKRAYVVPQQVEELLRCYWPGNSAKQREELPSIHEIRSQCIRHLERMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >Et_7B_055382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9858676:9859949:1 gene:Et_7B_055382 transcript:Et_7B_055382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQEGVVVHELGPVEEATAFLPFRLVLAMEEANARSASARLAVVGLRPRPLEHGGSRLLPAAVRFVAPAVARRNHQLEGAEVSVVVKMTVRLQMPTSKSFLQLKRCNWNDKTNTVLCQLLLEQIEMGNYNNGTMNGRGYKQVIERFYAATSLRHDRKQFYNRIRQLKLMYGFIKDLNKKTGLGRRDDGWIDADKNWWETESQGVAELKKLQHGPPPFLDMLEEIFEGVAVDGSSSYTPGQMSMDVDEDGHGDNVLEIHEDSPNSNGSHKRTSSTSTTATSPSKKSKSLMLRIMNKWFTSSSATAEHQTNLFEQMASIKVATKQSALETYLERQRAQEDRQRAEEEKNKKRRTGEKGN >Et_3B_030677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6185051:6197119:1 gene:Et_3B_030677 transcript:Et_3B_030677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDAGEGAPAPPPEMSPQQRIEQKLILYGVPEERLQEHQEGLLLYMEAHKDQISDIVKLILSVGTDVLESRKTSKKDANSSSSGDAYSESLSWLQWLMFNNEPEAMLDDLEHSTAGERAVCGAVWGQNDLAYRCRTCENDPTCAICVPCFQNGDHKDHDYSIMYTGGGCCDCGDATAWKREGFCSKHKGAEQIKPLPEELASSVGPVLDVLLQFWKERISMVEPPRAKSDGGNSCKRVAEELTTSVASMLLEFCTCSESLLNFVSQRIRECPDLLDALTRAERLLDKKVVKKLHELLLKLISDPAFKYDFAKVFIRYYPVSFGEVIKACNDSLLEEYPLMPTFSVQIFTVPTLTPRLVREVNLLGVILGSLTDLFISCFGEDGRLQTNKWGNLFDASIRLLEDTRYVLSHEEVSKYVAYERPDLTRSWIKLLSLVQGMDPQKRVTSIHAEDENEHLSAPFVLGHYLGIVQNLLMKGSFSPDQHESTDVTVCSTALKGMESAENQRNAKVGRVSQESSVSSSSSRDSFLSSGVPPPAAWLILQSLKAIESWLEPDIALRSKLSSLDASSSDPRNFVAFLEEPLTTNKGSPRTKMDAESIKINEGSQSDIIADYHEAFSSGQEHVSMMDVDQDLMAQASNRTGKGKMVGNTNTTDIQLHPEDAITYTLTDGSLLYAHPDSRIEELGILNTRRWPHVVFDVSSQETSFHIPLHRMLSLLLRKALKKCFGENGKPDEGLAVQSDEFFSSVLKGCQPHGFSSIVMEHPLRVRVFCAQVRAGMWRKNGDAVILSAEWYRSVQWLEQGLESDLFLLQCCAALSSPEFFVRTIQERFGLSSYTSFDLAEQNEYESVLMQEMLTFIIQLVKERRFCGFSTADNLKRELIYKLAIGDATHSQIMKSLPRDLSSSDELQNVLDSLAVYSNPSGMKQGKYALRKTCWKELDLYHPRWNSRELQIAEERYYRFCNISALNAQLPQWTRVFSPLRNISKVATSKAVLQIVRAVLFYAVYNDASSAPRAPDNVLVTALHLLWLALDICESESQINADQCGMDIVQHDDESWVVLSSHTEEAFPILTYSAELVAPESGKIKKESMLTLLVTLMQKYKEESDITFSGSKYCNIPSLIENLLKKFAKLSKECMLTLRQMAPHVVPSTPDHTSSKESPGFSSDSLEKRTKARERQAAIMAKMRAEQSKFAESMKSSGNEGHDVPMSEPDVSSSTGVVSEESLPVCSLCRESDSKSPLCYLILLQKSRLATFVEMGNPSWENPNQMNKTSGSVKREDSTDSSASDSSTPEELVHDTTVEPSFDLDNMEVDAFLDLSNEQHPLIRYISSFPSGHCNSNADGIVSLEAIEADIYKSIINDIFGSKNVPTQDGEQTLVSSTSNVTVGSKKMRSPKCSVLGTYVSCLSAKHRQSSRYDVSSKSSASVTTRNKFGPIDCDGIHISSCGHAVHQECHDRYLFSLKQRYIRRLGFEGGHIVDPDLGELLCPVCRRFANSILPASPNFSTSSLLTPFVQTVSPEAVPTTSDVDINKLQFPRALSLLESAGKVVGQGNFLKALSGRLNDTTEPSLDPSLRRLALLYYRRNHSSFSASERLSPSLFLWDTLRYSLVSTEIASRGRMSSHSFEPKSCIESLRSELNSSSGFILSLLFRVAHSARNLNRLEVLLRFEGIQLLSGSICSCVSGYKDIVNATKRKGTLPTLVDPASEGGFFPDIQFWKQCADPVLAQDPFSSLMAALFCLPVQFLSSTEFFIPFVHLFYVVCVIQALITCYGEETFDRSSFSDCLLNDVCKTMSGYEIAREYFVSKYINPSCHPKDMVRRLTFPYLRRCALLWELLRSSSTAPLYDSSNIWEGSHLYLSNTTQEGNSSLATELNGIRELEDRFQIQSLDMILRDESVHMLALKWSQHFCEEYSSRKYRGALFATPAVPFRLMQLPPVYQVLLERYIKMPCPDCGSVPDEPALCLLCGKLCSPSWKPCCRNGKCLSHAWQCGAGVGIFLLVRKTTILLQRSARLAFWPSPYLDAFGEEDHEMQRGKPLYLSPERYAALTYLVASHSLDRTSEVLRQTTISFYGSD >Et_3A_027037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30203533:30206236:1 gene:Et_3A_027037 transcript:Et_3A_027037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGKKPRESHRQGRGRRASRFGDEDGDDLPSSAYDAAPSHHEDASDEDDPNEATAEDEQEGDAEASDQDPMQAGSMPSKFHLYQLSVQSPKGDISYLQKFFLMYVGGRVPLHLQEDFCGTALLSAEWIRTDARRTAIGLDLDLESLEWCLENNLRKVGADGDSRMLLFHGNVLQPKEARLVKQKISDLVNGLHVNNDNGSSGNNSSEQCGPANPKCLANSTMSESALPGRDIICAFNYSCCCLHSRKDLVLYFRHAFSALSKRGGIFVMDVYGGTSSEQKLRL >Et_6B_048284.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:9689695:9689988:1 gene:Et_6B_048284 transcript:Et_6B_048284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTQPRLQLPLVASVAVVAVFLASRGAVAAAAFSAASAGKRRRAQSAAGGGGRERVHGRQGGSVPGEAGAVQPWVHQLQHTDCKNRPCCIHFSLAR >Et_6A_047549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7139232:7142101:-1 gene:Et_6A_047549 transcript:Et_6A_047549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDAKRNLEIGSKEIESKNMRREEPLASSEMEMDEPGVPVGSGAVDASNGGPKERPLPPKDSKNSKSCVAKKPRSVSSDFGEELDLELGNGDRDSGRQQERKLTKQDRVELSRSFQRAVSSHDWPSAEGLVGMADAHGLNDVLCVAVDAIWFLSDREELQAVVALIRRIVSEGANDFTRAALRTSFLASCVSACRGRTTSLADAVSFMGQKLHERLQESHGDEVLKAEASSKVHKFTEWALKCIGLHSRVRENKGRGNHDTIIEVQLQLSAFKTFLDLADNELTGKDFTEAFDAACFPLTLFSTTFDQGWASGISAVAIQGLLELLVEGGADNVNQCFLEAARYGSTELVRILLQVAQRNSLDIDVDLALGFAAHYGKIETMGCLVEEGNASGFLGPLMRAAERGCLQVVEWFVNRGCREMELCLALTAATSSSQIAVAAYLLPLVPQHVLAPLSIEIIKAAGERSTGSLHGVDFLLRSDFLNDPAATYAVADSIARSADDAVDAKLRSFLHEHWSEAAFSTGCEQAQQHFVNFMRIMERGESPICLSDLPLQLVIAMADLPLYRECMESGGRLLPQRLRGQLVEAAGRLEGRQLDRGSEGRELLAILENHLPRFMIQT >Et_10A_000625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1458438:1462779:1 gene:Et_10A_000625 transcript:Et_10A_000625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGGGAGREMDSPRFRAILRVTSGRRKQRAPEVKSFSHELSARGGGGAPAAMMRKMGRGGAGALSTAPEEFIGAVRTKFTRLKAEVDSELGVFAGDLVGVLAREDEEMTEESRVALEDLLVVAQRCAEMSPEELWTRCEAVVQGLDDRRQELAAGAAKQAHTRVLFILTRCTRLLQFRKDAAAAGGDGYRYGAVDGDEDKQHVLRMHQLSDLGLFPFKSTSGDLGRKSTSSLTELKDRLIRRRMLEHKHFSPARNVFSSADGGGEPHSPTGKIASWKKLPSPAEKNAQSQSQSGNGKISASTTAAGDAAKVDETAAAKKKAITLHSKATVEEMVERVGAASIHPDLQGVAGADVSAAAEEMASSPYPDQAQQIMVDGKPRMICRICDFEIPMACAEGHLVVCTLADRCDGKGLTADQRLLRVAEVLDRVLDARSSSDRSRRSSTSSSAAESDAASVSNAGDHDAALSHLLAVPSSELFSSGSPASASAASSSLSSLPPSPLLTPRTSHAESQLLTKHNRGFAELENFQQIESLLAIARGVEGVKTCEYASLEDLSSFLEDLNAVIDTRKVDALVVETFGRRIAKLLQEKFMQLCGQIDDVNDAPPQLLGPIDEDRPMEGSVSSRTSQALTAGGNNNKLFKDRTCIEDFEIIKPISRGAFGRVFLARKRVTGDLFAIKVLKKADMIRKNAVESILAERDILISARNPFVVRFFYSFTCRENLYLVMEYINGGDLYSLLRNLGCLDEDMARTYIAELVLALEYLHSMNVIHRDLKPDNLLISRDGHIKLTDFGLSKVGLINSTDDFSGPDVSSVLVGDHHPTDAEQRALKREQRQKQTAVGTPDYLAPEILLGMTHGPTADWWSVGIILFELLVGIPPFNAEHPQIIFDNIMNREIPWPHIPEEMSFEAYDLIDKLLIENPVQRLGATGAGEVKAHPFFKDINWDMLARQKAAFIPCTDDEYDTSYFACRHTWGSADEQINTASNEYDDRSETSSMSCGSSPHSCDYEEDGDECGSMEEFGAPSLSVKYSFSNFSFKNISQLASMNYDLITKHNEDPLHQSSRS >Et_2A_015645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16341093:16342452:-1 gene:Et_2A_015645 transcript:Et_2A_015645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDTLALCNGNEAICNTIKGITRPILDEYLTLGALPPVSQGLQHPSSLVDSWVKKHDKLRMQVIPLRILAAYESKLYIHSRPS >Et_3B_029838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28432122:28434849:1 gene:Et_3B_029838 transcript:Et_3B_029838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGKKPRESHRQGRGRRASRFGDEDGDDLPSSAYDAAPSHHEDSSDEDETNEATAEDEQEGDAEASDQDPMQAGSMPSKFHLYQLSVQSPKGDISYLQKFFLMYVGGRVPLHLQEDFCGTALLSAEWIRTDARRTAIGLDLDLESLEWCLENNLRKVGADGDSRMLLFHGNVLQPKEARLVKQKFSDLVHGLHVNNDNGSSGNNSSEQSGPANPKCLANSTMSEAALPGRDIICAFNYSCCCLHSRKDLVLYFRHAFSALSKRGGIFVMDVYGGTSSERKLRLQRKFPSFTYFWEQEEFDIISRQTRISLHFQAGKKQMLRHAFTYHWRLWSIPEIKDCLEEAGFKSIHVWIREMPNTQSSGNAREYNADRDVKYEESKRFNQGDAWNAYVVGVANI >Et_4B_037200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16351925:16355114:-1 gene:Et_4B_037200 transcript:Et_4B_037200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGFAVLAGGLLGYLRRGSTASLAGGAGAGALLLLAGFVSLKAFEKRRNSYFALAIETLCALALTYVMGQRFLETSKIMPAGVVAGLSALMSAFYLFKVATGGNHIPPKKE >Et_2B_019316.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:23002180:23002260:-1 gene:Et_2B_019316 transcript:Et_2B_019316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRCAAPNTCTPSASLTPRRQTS >Et_2A_016058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20626556:20629881:-1 gene:Et_2A_016058 transcript:Et_2A_016058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTDTLNPIKTVQLHNLKHISSDKFSQLMRIMCPFEQCKRVSAKNKICRRAKLKAAKLKQQQFVEASVQRRMEFMGTVLFRTHLTKSGHSHQPGAMHNRRNKRSAIQTTERHNTGMRL >Et_5A_041253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20071953:20072755:1 gene:Et_5A_041253 transcript:Et_5A_041253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRPHPSQANGRFFPMDLLREILLRISSAKTLCRLRAVSRSWRTLLSDAPFVADHAARHPGPLFAVSVVPGCYSSIPISNFYEVSDTPSPSYGAEIRFLDASGDMVKRILAHGDLVGLVESSHRLRLLDPATGATTLLPENEFRSMYALGRTGDGKLKVLGIATYGRYDMWHLYMVLTPGRGAVWRDAPVPPVTIYTNRWQSAVVSGVAYFNVRHPGVRSGAGAMAAEASPRPSGKILLRLVKLGGAEWAPCRSL >Et_6A_046526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16552161:16553183:-1 gene:Et_6A_046526 transcript:Et_6A_046526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGRRQSILRSWAEKAASSRPTPRARLDFSLSKPTFAGPIALQPTPVVDLDPPLLEGDILYMQRMAWEQPITAIDSWDPSLINP >Et_1B_014032.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27999018:28000040:-1 gene:Et_1B_014032 transcript:Et_1B_014032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNAAAGAQAMFRHPPMFPSYPPPPPLPHGAVPFVPFSPPPPRGAVPYYGGAVVLPPPPPPAAVMNVEMRSVTAANFASELDLLGSLLPAFPIVVVDTEYPGAVHRPPAGRRESQLTADERYALVKANVDELPIVQLGVTICDARGNLPRGVDLAGRPVELAWEVNFSDFDARRDRHVPESAAFLRSQGIDFDLARAHGVPSAAFKAKLLAVLPQQREALTWAAFGGAYDLAYLVKMLADGRPLPETREAFMARVRELLGGRVFDAKHMAENCGRGDLRGVGLRGVAANLGVPRHFPEPPCLAGPKSLTACRIYTLLTRNVLGADGGASHEGLIDGLL >Et_2B_020809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23886725:23888995:-1 gene:Et_2B_020809 transcript:Et_2B_020809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNNNHYTKQRNNRPSPSRPIRICLGCGLRLNPNPGRERPRSLGSLAHPASDAQKMFTSSLAPLAASPPPRRRSLPFGTPSSPCGKMAPKRGGRAPVPAKKKTEKVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFRMLLKYRPEDKAAKKERLLKRAQAENEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKYDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >Et_5A_041961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4681199:4689552:-1 gene:Et_5A_041961 transcript:Et_5A_041961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEEREVQVRALDGRSTAVRLAAGTSVRDLKAALRSTFAPAQVSPSFHLFLKGAKLRLDTEIGGIGIGDGEFIVLVPFTRASQKSSSVCTPSQEQGINATKAPEVSAAADSAWQDIMDDLSSIPTSPPSDAASKDFFSSLPYSEDLTTCHGSSNGSSRKRRKTCKENGNVSLEPEAPSSTVNGTSDKRNMSKKSGVVKSAASSCHGMQPLEPAEMVEHLKQGLGKEGQIVHVEEIPSREASFVDLPCHLSKAMREALNSIGISRLYSHQSQAIETSISGKHVVVATSTSSGKSLCYNIPVLESLSQDLTACALYIFPTKALAQDQLRTLLEMNNAFHIDIDVKIYDGDTPKEDRLWIRDNARLFITNPDMLHVSILPYHGQFQRILSNLRYIVIDEAHSYKGAFGCHTALMIRRLKRICSNVYGSHPTFIFCTATSANPREHVMELANLDQVELIQNDGSPCGSKYFLMWNPPLHTEKEGSSKGSPVTRRSSPIVEVSYLFSEMAQHGLRCIAFCKTRKLCELVLAYTREILQETAKELVDSICVYRAGYIAEDRRKIEADLFGGKLRGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRARKSLAIYVAFEGPLDQYFMKSPHKLFGKPIEHCQVDSHNPKVLEQHLPCAAYEHPLCLQYDERYFGSSLDSVMTILKYKGYLVNKPSGPFSSSMWSYIGPEKSPSQTVSIRAIEHDKYKVIDRLNDRLLEEIEESKAFFQVYDGAVYMHQGVNYLVEELDLSSRTAFCRKADLKYYTKTRDYTDINVIGGEFAYLPTIACKTNSVKTTAQANDCKVTTKWFGFYRIWKSSNKISDSLELNLPPYSFNSQAVWIRIPHPVKLTIEERNLQFRGGSHAASHALLNIVPLHLMCSASDIGTECANPHETRGIPDRILLYDRHPGGIGIASQVQSLFGELLIAALELVSTCNCTSAAGCPNCIQSLTCGEYNEVLDKEAAILILKGVIDHERSYFEAEDASHRS >Et_9B_063953.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:5643525:5643806:-1 gene:Et_9B_063953 transcript:Et_9B_063953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPYGAPTPASAPSQAGCPSGFPNIFDFQRASSQLLPQGTLLVSAPASVKPSISSIINNIPHTSNIIRICVCFYNVNIFVPGGVGPFVCQAV >Et_3B_030313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32140743:32149121:-1 gene:Et_3B_030313 transcript:Et_3B_030313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGASNRSRGSKKGHAWPRSPGLARNRGKYDSDVGYAVVNSINSLDVQVLHLNPAVEMAPYTKVVAVGRCISGNLLSTNGKLTYDLYGSEDNEDRMLSTCRITEIYQSFFKDRQVLVHSFEETFGETYNGGETRTFACTGFFIKWNGCTTILTSASLVSKLVGAIPVGKLRIEVLLPNNECKQGTLLHYNLRYNVALVSVKDFWAPHPANVRPMGHNESCKLIAVGRCFKSGMLMAASGKHINVMESKLDCKLLQYSTCAITKAGIGGPLVDFDGNFIGMNFFVWKVSETAFLPSDVILDVLACFEKKGSTVADVGLDYHPRSVLDWSISGDRNGRPYRHEKVSFLKLSKGSVIYISVTIGQIAFHCVLTFEDPYGCILLTFRMI >Et_4A_034702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5610441:5612923:1 gene:Et_4A_034702 transcript:Et_4A_034702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRKLLLAFIVAISTFPYMLHDAKSEEVFPEAADGPTAESPGYLDQPWLSPGTQPMVFDVDNYGAGAAGGDDTQAFLGAWREACNSSEYPATFLVSEGKTYLLMPVTFRGPCRAVSITAMIVGTLEAPSNRSVWFDRSLQEWITFEDIDRLHVLGGGTLNGNGQQWWSNSCKVNKSARCVTGPTALYFRRCTHLVVEDLEVRDSMQMHVAIAYSWNVLVSKLFITAPGWSPNTDGIHVSNSKEVTISDCVISTGDDCIAIVSGSTFVRVTGISCGPGHGISIGSLGRNNSWDHVSDVLVEKATLLGTTNGVRIKTWQGGHGYAERITFQDITMHNVTNPVIIDQNYCDSKTPCHEQESAVAVHNIRYKNIRGTSASKVAVKFSCSEAIHCDGIVMQDIYLVGDRSYATCSYTKATVVQLGYNFPFCSTDIE >Et_7A_051002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13542160:13543541:1 gene:Et_7A_051002 transcript:Et_7A_051002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKALLQALAEELPPGTIRFSSKLVSIDTEPAAGGGSSETVVMRLDDGTAIRAKVLIGCDGVHSVVARWLGMSKPVSSGRSCIRGLSVFPGGHSLKQELRQFLSNGRGMVPINDTDVYWFLINNTVPAGKSTAHPHTAHAVPNNILANNKFIGKEAAGEPTKILPEVKDVLASHVPTEFLDVVHHSDLNNMSWALLLYRNLWSILTGKATRGNVTMAGDAFHRMTPDIAQGGCAALEDAIILARALSQSAKPVEGLALYVAERRWRVSWIVAGAYMSGWVQQGGTNVSGMSKYLVKLFRDWIFYRFISPRLSDTMWYNCGDLTLHKDGKDHTE >Et_1A_007406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34225398:34232487:1 gene:Et_1A_007406 transcript:Et_1A_007406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMRRAAALGARHIFAAASPSSRVVPRCHMSANAGAAMERIRAAGLLRTRGLIGGKWVDAYDGKTIEVQNPATGEVLANVPCMGSRETSDAIASANDTFHAWSKLTANERSKALRKWYDLIISHKEELALLMTLEQGKPMKEALGEVTYGASFIEYFAEEAKRIYGDIIPPTLADRRLLPVGVVGAITPWNFPLAMITRKGAVNVVMGNAPEIGDALLQSTQVRKITFTGSTAVGKKLMAGSANTVKKVSLELGGNAPCIVFDDADIDVAVKGSLAAKFRNSGQTCVCANRVLVQEGIYEKFASAFVKAVQSLQVGNGLEESTSQGPLINEAAVQKVEKFINDATSKGANIMLGGKRHSLGMTFYEPTVVGNVSNDMLLFREEVFGPVAPLVPFKTEEEAIQMANDTNAGLAAYIFTKSIPRSWRVSEALEYGLVGVNEGLISTEVAPFGGVKQSGLGREGSKYGVDEYLELKYICMGNLN >Et_4B_039031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7129130:7139253:-1 gene:Et_4B_039031 transcript:Et_4B_039031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSSSQSAQSSAQWKICRCGEGDPNLQITKTSEAGDSPPTTCPNCQILKSGSLLLSSKGIGWTVWKKRWFILTRASLVFFRSDPTAPLPRGTEPIVTLGGIDLNNTGRVVVKEERKLLTVLFSDGHDGRILTLKAETTEDLNEWKSALENALTQAPSVVNTTGPSPTFSTDTTEPFQAEAEQSEDHFVIGRPAEFALVNSDGSPSFLEKALKFVEDYGVKVEGILRQSADVEEVKRRVRDYVKGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPASCCTALVRAYRTDKTRRLDAMNKVIYEVFPEPNRRLLQRVLKMMQIVGSHKAVNRMSQSALAACMAPLLLRPLLLGECEIDNDFSMAGDGSFQLLQAAAAANNAQAIVIIMLEEYDQIFDDIEDGSSEAYTESDSGSCDSGEDDIEEGLDNNAEHSSGGGKCDSNIRIGDTDTCDKEDSNQVSSVPQVTGTSQLESNNPVSKQNSWELNGITDHIQKSNAHSSSSRATLLEKAHIEKLENNRDQLHSKITEEVKENVILQASLERRKEALHERRLALEKEVENLRDQLQNERNLRASLESGLMNMRRGQVSFPSKIDSKAKADLEEVATAETDIMNLKQKISDLRGQLNSQVHLSYTSLCESCNKRYLNAEKPVTDEQTATHCSDIISVEAPPIARPSSRPGMPRSINKRAQKMLSSKGEILKDSLDGSVTSMWNFAQRQYSSSQLVISKLQSNAYSSTKTEESGAAPSALAKLTNRLNFLKERRALLASEMQNLDLTRSQEPTAPKRDSR >Et_2A_017686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4988435:4994060:-1 gene:Et_2A_017686 transcript:Et_2A_017686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQNGGYEHSVIKIYGSVSSHLVFHRSSVMSATKPAGRVATDGDERRRELQAFDDTKAGVKGLVDAGITAIPTIFHHPPDSLDSISSTTDDAVSIPVIDLSAAAPREEVVARVKAAAETAGFFQVVNHGVAGEVVVGMLAAVRRFHEEPVEAKMPYYTRDLAHKVRYNSNFDLFQSPAANWRDTIFCDLAPEPPRPEELPEVLRQVLVEYGAAVRKLGLWLFELLSESLGLASDHLREMACAESLSVVSHYYPPCPEPHLTLGTSRHTDPTFLTVLLQDAMGGLQVLLDRGSGGRGWVDVPPLLGALIVNVGDLLQASARYYFYYFADLLFCDHIRRNGRFRSVEHRVVANMSRDTPRISVASFFNTNMKTSTRLYGPIEELTSSDGVSAPLYRSVTIREFITQVASDGDDRLRELQAFDDTKAGVKGLVDAGITAIPSIFHLPPDSLDVISAPSSTAKDAVSVCIPVIDLSAAPREEVVARVKAAAETAGFFQVVNHGVASEVMADMLAAVRRFNEEPAEAKRPYYTRDYARKVRRGRRIGGTPSFQSRAANWRDTILCNLVPEPPRPEELPEALRQVIVEYGVAVHKLALWLFELLSESLGLASENLRGMGCAESLSVVSHCYPPCPEPHLTLGHSSHTDPSFLTILLQDAVGGLQVLLDRGSGVRGWLDVPPLPGALIVNIGDLLQASCRSLPLLITWSILDVIKLLIDCSCAFRALACIVQLVSNARFKSVEHRVVANRSRDTPRFSVASFFDADVKTSTRLYGPIEELTSSDGGNAPLYRSITVREFITQFYKKGLEGRSALEYFKLEEDTLWCSCLHVTATLRNGKWSNEVEG >Et_2A_018294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21670804:21674649:-1 gene:Et_2A_018294 transcript:Et_2A_018294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVVAKRLEKFKTTIFTQMSMLAIKHGAINLGQGFPNFDGPDFVKEAAIKAINAGKNQYARGYGVPELNSAIAERFLKDSGLQVDPEKEVTVTSGCTEAIAATILGLINPGDEVILFAPFYDSYEATLSMAGANVKALTLRAPDFAVPLEELKAAVSKNTRAIMINTPHNPTGKMFTREELEFIATLCKENDVLLFADEVYDKLAFEADHISMASIPGMFERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGLRQAHSFLTFATCTPMQAAAAAALRAPDSYYEELRRDYGAKKAILLEGLQAAGFIVYPSSGTYFIMVDHTPFGFDNDVEFCEYLIREVGVVAIPPSVFYLNPEEGKNLVRFTFCKDEDTLRTAVERMKTKLRKK >Et_4A_034269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31355006:31364928:1 gene:Et_4A_034269 transcript:Et_4A_034269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEIRHRTVEANGISMHVAEAGGDGNGKPAIVFLHGFPELWYSWRHQMEYLAARGYRCVAPDLRGYGGTAAPPEVSAYSAFDIVGDVVGLLNKLGLDKVFLVGHDWGAIIAWYVCLFRPDKVIALVNMSVAFMRQIMIRAGPDAVKPTEYFRRIYGPTYYIVRFQEPGVAEKEFSPAKARYIMRQALTGHFPDKAPMPEKPTPDEPLPSWLTEAEVDYFGSAFEKTGFTGGINWYRNMDRNWEQAAPWADAKVTVPTKFIVGDGDLTYHYPGIQDYINKGGFKAEVPLLEDVVVIPGAGHFIQQEKADEVSKHIYDFFANMVEAAAAEIRHRTVEANGISMHVAEAGGDGDSSGKPAIVLLHGFPELWYSWRHQMEHLAARGYRCVAPDLRGYGGTAAPPEVSAYSAFHIVGDVVGLLDKLGLDKVRTAPSGLRIGDVHVTDSFSSSPLRALVFLVGHDWGALIAWYLCLFRPDKVIALVNTSVAFMRHIMIRAGPDAVKTTEYFRRIYGPSYYIVRFQEPGVAEKEFSPANARYIMKQVLTNRFTSEASAGEKPAPPADEPLPSWLTEADVDYFGSAFERTGFTGGINYYRNMDRNWELAAPWADAKVMVPTKFIVGDGDLTYHYPGIQDYLHKGGFKADVPLLEDVVVIPGAGHFIQQEKAGEVSKHIYDFFAKF >Et_1B_013352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7644200:7654304:1 gene:Et_1B_013352 transcript:Et_1B_013352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTQNENQTDIKQDDGAHHSKQDDEEARLEEHKRIIDQKVSLRRINLNPERPDANYLRTLDSSIKRNTTVIKKLKTINDEQKDSLMDELKSVNLSKFVSEAVSYICEAKLRSADIQAAVQVCSLLHQRYKDFSPCLIQGLLKVFFPGKSGDDLDADKNSRAMKKRSTLKLLIELYFVGIVEDASVFVNIIKDLTSAEHLKDREATQTNLSLLSAFARQGRFFVGLQSHGQEAYDEFFKELNVTADQKKFFKKALNSYYDAVAELLQSEHASLRLMEAENAKVLSAKGELSDENTASYEKLRKSFDQLLRGVSSLAESLDMQPPVMPDDGNTTRVTTGTEVSPSSGKESSSALEPIWDDEDTKAFYESLPDLRAFVPAVLLGEAEPKSNEQHAKGREQSNESTTEPEIEVHDSAQASATEHQLDIKTDAGAGSSEDKDKGKDGEKEKSKDKDLDRKPEREREKVRALDGASLDNLLQRLPGCVSRDLIDQLTVEFCYLNSKANRKKLVRALFNVHRTSLELLPYYSRLVATLSTCMKDVPSMLLAMLEEEFNFLINKKDQINIETKIKNIRFIGELCKFKMAPPALVFSCLKACLDDFSHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARIAKVRLPLYQYIRKLLFSDLDKSSVEHVLRQLRKLPWAECQQYLLKCFLKVHKGKYSQVHLIALLTASLSRYHDDFAVAVVDEVLEEIRVGLELNDYGMQQRRLAHMRFLGELYSYKHIDSSVVFETLYLIIVFGHGTPEQDVLDPPEDCFRIRLIITLLQTCGHYFSKGSSKRKLDKFLLHFQRYIISKGPLPLDIEFDIQDLFAELRPKMSRYSSIEELNAAIVELEESERAAPIDKAESERHSDSESQKRQPRGADTSVNGQTPANGVEENGNDHEAAGSESYSDSGSIDGREDEEDLSEDKSNDGSDNEGDDEDDDIPVGSDEDEGVEIRQKVVQVDPKEQEDFDRELKALLQESLESRKSEARSRLALNMMVPMNVLEGSKDPRATESESGEETVDEEGGNAGGSSKVRVKVLMKKGHKQQTKQMLIPADSSLVQSTKQQEAAELEEKQSIKRRILEYNEREEEELNGGASQMGNWGQTGSNTSSIRSGGRGSWDGSRGGRQRHHIAGAGGFYHSYGRRR >Et_5B_045262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15532150:15542313:1 gene:Et_5B_045262 transcript:Et_5B_045262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHSAESGTSMLLHGDLDIQIVEAKCLPNMDLMTERMRKCFTGYGACSTDCRSSNSDPHPDMRKIITSDPYVSVCLSGATVAQTRVIANSENPKWDEHFYVQVAHSVSRVEFHVKDNDIFGAELIGVASIPVEDITPGEMVSGWFPISGQYSNPMKPSPELHLSIQYKPIDQNPLYQDGVGANCSQGVGVPNAYFPLRKGGKDGLMHTHDEEARKYFRHSGVHCVLAPRYASNKLSIFKQQVNKYGPRQPWHDLHCKIEGPAAYDILTNFEQRWRKSAKWKVFRSIDSGSVKGFPKLVQEAESQIARKIKARERFAAYIVVPMWPEGNPTTAAMQEILYWQGHTMSMMYKIVADALRKEGLHETHPQEYLNFYCLGKREITDDVPTTVSHSNENSALRLAQKFRRFMIYVHSKGMIVDDEYVIIGSANINQRSMDGSRDTEIAMGAYQPHHSWARSGSPPQGQALPLLASHL >Et_9A_061296.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:8793056:8793247:1 gene:Et_9A_061296 transcript:Et_9A_061296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLFILTGHDPGLLEPRVGAHHCGANFVACQSEYMYKKIFTLFYFSLHLCSVASFCSVRFC >Et_6A_045980.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:15290613:15290645:1 gene:Et_6A_045980 transcript:Et_6A_045980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAALDQRHL >Et_9A_063188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14781799:14783758:1 gene:Et_9A_063188 transcript:Et_9A_063188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRNILAEFIILLIKRHFKMGEGPETDKNIMIWKVKKLIKGLDAARGNGTSMISLIMPPRDQISRVTKMLADEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNRVPPNGLVLYTGTIVTDDGKEKKVTFDFEPFRPINASLYLCDNKFHTEALNELLASDDKFGFIIMDGNGTLYGTLSGNSREILYRFNVDLPKKHGRGGQSALRFARLRMERRHNYLRKVAELATQFFINPATNQPNIVGLILAGSADFKNELGKSEMFDPRLQAKVIKTIDVSYGGESGFNQAIEMSAEVLSDVKFVQEKKLIGKYFEEISQDTGKYVLGVQDTMTALEMGAVDTLIVWENLDINRYELKNTTTGETVVKYLNSDQEADQKNFIDEATSGELDVIDKMLLLEWFSENYHQYGCTLEFVTNKSQEGSQFCRGFGGIGGILRYPADVALFQDGDMSDEEDYEDVE >Et_3B_029791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2840002:2843637:1 gene:Et_3B_029791 transcript:Et_3B_029791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTEDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Et_4A_035393.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2296267:2297130:1 gene:Et_4A_035393 transcript:Et_4A_035393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFPSPAVSLTVLLVATLHVAAIHAQIPSSSTTGAAVAATNPQAGSGSGSGSGSGSVGVGASGPDGPLELYMHDILGGSNPTARPITGLLGNIYNGQVPFARPIGFNAPRNGVAIPNANGQVPTVNGNNGIPLDTGLSGAGFLQPSGKSGGGAGAAASQAQVQLGPDGLSLGFGTITVIDDVLTSGPDLGAQPLGRAQGVYVASSADGSAQMMAFTAMMEGGEYGDTINFFGVYKVGTPLCRLSITGGTGKFKGACGFAEVRPLIASGQHVTDGAETLLRITVHLA >Et_7B_055195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8146155:8151891:-1 gene:Et_7B_055195 transcript:Et_7B_055195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAAAAGAATAFFPSLSSFHRPRLRRPYPRRLAAVALQPRATGSSSSWEEREEARWLREEQRWQREEQRWLREESRWRAEREALLAEVAALRLRLRALEGSLPPPHHLVAVDAVASPAPPAAVPAPQPRPVLVGDVEVRKKVVVVEKEEKKKAAPHKADDGAGGGKSKRRTLRAGAEGEDVRAMQEALQKLGFYSGEDDIEYSSFSTGTDRAVRTWQASVGTSEDGVMTSELLEILFSRKTGEEVKTKDGINGAAAPPVTGIAEIRQTVVTENGASAMGVSEHRVFLLGENRWEDPSRLTKKKPTNTPAGATAKTCISCRGEGRLLCTECDGSGEPNIEPQFMEWVGEDTKCAYCEGLGSIVCDVCEGKKDEPEDQLRSFSSIKQESMYLPSQTKGIQVNASAKDTHKCKVISLQVDPPHILEHLNGLFFQAEHFTLEPALRVTGDDSIPSHRARHMYPLQHGSCVLQPTHARKSGNDGDARYLVRDRAHLLHPGKQSQRLVVVADPMEPRNHARPAYGVRASDPVEHPPSIGDLATFGIGVHQRRLAEGAGAEPGLEHVAVHLPAVVEDAQPGTGGQDDCKTELVRPATGGGALDAPERIEDVPRRVGTRVVGQHRARRRS >Et_1A_008446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7753761:7756012:-1 gene:Et_1A_008446 transcript:Et_1A_008446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPPAPGPPSPELPRHPNAPRGLRALPALSYNAHRALVLALTFVAYALYHASRKPPSIVKRELARSWPPFADPALLGATDVAFLTSYSLGMFVAGHLGDRLDLRRFLAFGMVAGGLAVALFGLGFFLGLHSLAFYVGAQVIAGLLQCTGWPSVVAIVGNWFSGKRRGLIMGVWNAHTSVGNITGSLVAAAMLGYGWGWSFVVPGGLIAVGGVLVFFFLAPYPQYVGYGPSPIEPLLSEESTDGEDAGATVGGGKDRRDAVGIFKALAIPGVVIFALCLFFAKLVAYTFLYWLPFYLSQTAIGGEYMSAASAGYLSVLFDVGGIVGGILAGFISDQLNARATTAAIFMYLAIPSLFLFRAYGSISKAANIGLMMISGLFVNGPYALITTAVSADLGTHKSLKGDSRALATVTAIIDGTGSLGAALGPFITGFISKKGWDSVFIMLALCSAVAAVLLSIQVKREFSQHFQNRRNRSTSMRGGTADSGVEPLLVENS >Et_7A_052964.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:3501103:3501417:-1 gene:Et_7A_052964 transcript:Et_7A_052964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPDDLLANILGRLPPCSLAASRCVRKDWRAIIDARRLLRADLLLLRLDDFFCLIDPYKIFARPSTARRINCDLDSLWDDDHDPHNPWVSDHCNGLVLLFPF >Et_4B_037962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25129357:25133178:1 gene:Et_4B_037962 transcript:Et_4B_037962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDEVTSRPLQLTEDIEREQKRARQQQIQTARRGPLLRNWPDPYWWACLVCNKYIPNAIPIFRMTSDWTKYRTDEEGLKLVEFASRIGPAIRAKYNLQRVKLDAGIKEDDKVLFEKTDEMKPPCKEYAAPDLQNVGHLSESPSLPEQSGGLTRSNIHQAEDPTSDEFIEQLERTAKAYFERINKQKFDEEEIVKNGFQYMQDEAFLAFRNYVAEHDLFEDSDYQFVKVLHHCFTAEGYGKVYTHFNFTVDIKKKDENDWTSRLYFAEAKLAHGIKFYFCAPLEGVNDGMCYVRLIQHLLLKHHHHRTYSWYVVTDYFLQFYFDAVKTSWLVQIYTDFCVRTTSKQNQRQYIWSGAIYLGPFLVLRTPLPSGVAAIHVLPRSFSTLLKVAMKKASKLLDRAIQ >Et_2A_015658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16590375:16591103:1 gene:Et_2A_015658 transcript:Et_2A_015658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGKSDYASLSEEEWKKSLTKEQYYVTRQKGTERAFTGEYWNTKTPGIYHCVCCDTPLFESSTKFDSGTGWPSYYKPIGDNVKSKLDMSIIFMPRTEVLCAVCDAHLGHVFDDGPPPTGKRYCINRYIKVYVPVCSHLVTVLPSLFLVATLSLFSLAYFWWSSSP >Et_9B_064161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1191577:1196191:-1 gene:Et_9B_064161 transcript:Et_9B_064161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEFGRPFIILREQEKKSRLRGLDAQKSNIASAKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAEKLLERGIHPIRVAEGYEMASRIAFDHLERISTKFEFSADNIEPLVQTCMTTLSSKIVNRCKRALAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGIVVDKDMSHPQMPKRIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLREQEQKYFDEMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELSAEKLGKAGLVREKSFGTTKDRMLYIEKCANSRAVTIFIRGGNKMMIEETKRSLHDALCVARNLIRNNSIVYGGGSAEIACSIAVETAADRHPGVEQYAIRSFADALDAVPLALAENSGLSPIDTLTAVKAQQVKDNNPHCGIDCNDVGTNDMKEQNVFETLIGKQQQILLATQVVKMILKIDDVISPSEY >Et_2A_014540.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20943283:20944348:1 gene:Et_2A_014540 transcript:Et_2A_014540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQHHYHGGLASLHCLSPPDPAFHAHYHSNMITMSPSPFHFSPATYEPILRDALAVAGNSSAGSGSAEDAYGSRTVMPEEERKRRRMVSNRESARRSRMRKQRQLSELWAQVVHLRGTHRRLLDELNQAMRGCSDVCCENARLEKEKAELKTKFEGLMRAQNTATPSSSGPHEDSATR >Et_5B_045062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9042854:9047329:1 gene:Et_5B_045062 transcript:Et_5B_045062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDTGTAAAAAACQIDPAYEFAAPRFFDFINEETEEAIRAAEAWFEASASHAPSPFGSRIRESRAGVKVTFLCDFADAEEPATKSCSLAVLASDGCPEDMSESPPVDEMSESPPVEEERKESPKSFEFMPTRDPAAKSDGGSASTPELQRPPPAIKIAPAVSTYAKSIVKTEARTPKTLANMSKAGAATSSTSIKRSVIKGARDLAAGKAANAATDIAQENQAVKRQKLDDGGVRQILNVKTRVLPHKGRTGLGSAEMRRSHEDMHSAKEVTPYVSAAEMVKKFESGTRELGISHNKSLSNEDAAAASQNRPKLMLTRPKEPELQTAHRVRAVRVKSSAELEEEMLAKIPKFRARPFNKKIAEAPVFPPLPRKTPQVPEFNEFRLKTMERATRHADTCSETSSVGSIRSQNKPLKLTAPKPPQLHTAMRARPPSVKSSQELELEELEKAPKFKAKPLNKKILESKGDIGVLTHPKPQVTAPKEFHFSTDDRLGPPPSVTDLFDKMSLYSESSSQSDKKGVPRVTIPNPFNLHTEGRGHLKEAQLAAQLAQKQMEEEKARLHKANPYPYTTDYPVVPPKPEPKPCTRPEGFQLESLVRHEIEQQRLMEERQRMEREEAQRRLVKAQPILKEDPIPLPEKERKPLTEVQQFALHVDERAVQRSEFDNMIKEKEKTYKRLREENEFAQKVHRSSCSLNGSVAAYSFKCLADGPINPCLKIEEEKALKQLRRTMVPHARPLPKFDRPFRPQRSTKQVTRPKSPQLQVDERGARRHAFIR >Et_4A_033378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22077888:22083234:1 gene:Et_4A_033378 transcript:Et_4A_033378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRLPAAAGIDPIAEEPPRARDGGPDQAALACAISAQASAVLAVIDAVAGCRFEAVADPAAEEAVLVRMLQTLLDCHRAPAAAALGDQHVCTSVNTCFRVVHQAAGKGELLQRFSRHTMHEIVRCVFARLPQIGSGDGPDTAVKPDVCPLSVQSVRFLLLIVTIHALSFANASQPRISLLTSHNRCIISYDYERAEFFLPSAIVLYSKMGGMDKNHPFGIRQTENGNGSYASETGTSDENSADVTGVVVEPYGVPCMVEIFNFLCSLLNFVEQIGLDEDMPLFALKLINSAIELGGSSFRKHPRLLSLVQDELFRNLMQFGLSMNPLILSMVCSIALNLYHHLRTELKLQLEAFFSCIILRLAQSRFGSTYHQQEVAMEALVDFCRQKNFMVEMYANLDCDITCRNVFEELANLLSKSAFPINCPLSSMHILALEGLIAVIQGMSDRIGNATSRPELMPVELDEYTPFWTVKCENFSDPQHWVKFVRQRKYVKRRLMIGADHFNRDPKKGLEFLQGTHLLPEKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAQTFDFQEMNLDTALRLFLETFRLPGESQKIQRVLEAFSDRYYEQTPQAFANKDTALLLSYSIIMLNTDQHNMQVKKKMTEEDFIKNNRNINGGSDLPREMLSELYHSICRNEIKTTPEQGMGYFEMSPSRWIDLMRKSKSTSPYIVGDSQPFLDHDMFAVMSGPTIAAIAIVFDHSEHEEVLLTCVDGFLGVAKISAFHHLEDVLDDLVVSLCKFTTLLNTSLVEEPVTAFGDDLKARLATETLFTIANRYGDYIRTGWRNILDCILRLHKLGLLPARVASDAADDSELSAETIQGKAAPSAVPTSHIPVMGTPRKSSGLMGRFSQLLSLDSEEPRSQPTEQQLAAHQRTLQTIQKCRIDSIFTESKFLQQDSLLQLARALIWAAGRPQKVASSPDDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIASIVQSTVMPCALVEKAIFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYSENITQEVARLVKANAAYIKSPMGWRTVVLLLSVTARHPDASVVGFEAIMFIMSEGAHLSMANYGFCIETSRQFAESRVGSVDRSLRALDLMSDSVKSLAQWSQEIKEAGDEADKGLETIREMWLKLLQALKKLSLDQREEVRNHALASLQKCLTATEGICLQSASWSHAFDLVIFALLDDLLEISQNHSQKDYRNMEGSLVLAMKLVAKVHLQLLPELFALSSFLKLWLGILSRMEKYIKIKIRGKRSDKLQEVIPELLKNILLVMKNKGILAKRSTIGGDSLWELTWLHVNNISTSLQSEVFPSQEYDQQGNAAEV >Et_4B_037388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19331406:19333090:1 gene:Et_4B_037388 transcript:Et_4B_037388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNNSSTILVQYNCIRPAAGVVSVSRSRKEQQATYRFFSPHATPASKSTRASQLHPPPAMDAAGSPSASPLRVVIFPWLAFGHLHPYLELAERLASRGHRVSFVSTPRNIARLPPLRPAAAPRVDMVALPLPRVEGLPDGAESTNSVPSEKFPLLFQAFDGLAAPFKEFLDAACAGEGTRPDWVIVDSFHHWTAAVAAEHKVPCAMLQPTAAVLASFVKRSSESAERERQASVPRYERERTARANTTHGDHGLSLSERFTSTRDKCTILAMRSCVEWEGEAFALAASLLGSKPLVPLGLLPPSPDGGRGRGAVRNGEHAAVRWLDAQPASSVVYVALGSEVPLRVELVHELALGLELAGARFLWALRKPSGVRDDDADILPPGFQERTRELGMVTMGWVPQITVLAHGAVGAFLTHCGRNSLVEGLLFGHPLVMLPIFGDQGPNARLMEGKKVGLQVPRDEDDGSFDRHGVAGTVRAVMFEEEGRRADFVANARKAQEVVADEELQERYVDEFVQHLRSYATTYSNSISAET >Et_2B_022165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:169569:173859:1 gene:Et_2B_022165 transcript:Et_2B_022165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRKLMMLLVAPAAEACDGHPCPKPSGKCPVNAVKLGVRDPRRSPLISGLADLDAAVCVCLAINANVLGANLNVCLAINAQPLAAAHAGARAPLGLKLTAMASAHPPLELLHRVVKQLRPAVVVCVDDLVGGGSTSCHRGDLPLPRLNALRSTAAFLESLDAANQLEQAAVAKIEHFILRPRVEELLLGLLRGGPCLRPPGSRRCSSAAPQAECLLRRTPTQAGFHVHKTQADLALRWQHSELLTEQTKKLVKGNMLASSYLPLPVKGRVRSSFPVEMYRLNTYQNFSDVSLPVAVEMKVTK >Et_2A_017757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5946986:5950276:-1 gene:Et_2A_017757 transcript:Et_2A_017757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSRTNSRVNYSNEIHDLSTVQSGGSVVPTLYYPEKSLADIFPPHLLKKVISEVVATFLLVFVTCGAASIYGEDNKRISQLGQSVAGGLIVTVMIYATGHISGAHMNPAVTLSFACFRHFPWIQVPFYWAAQFTGAMCAAFVLKAVLHPIDVIGTTTPTGPHWHALVIEIVVTFNMMFVTCAVATDSRAVGELAGLAVGSAVCITSIFAGPVSGGSMNPARTLAPAVASNVYTGLWIYFLGPVIGTLSGAWTYTYIRFEEPPAANKDVPQRLSSFKLRRMQSQSLAADEFDTV >Et_5B_045521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3596429:3601712:1 gene:Et_5B_045521 transcript:Et_5B_045521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGGVAAAAGTAVEALDGLNFSGSRSSLWGSSADQEIVIAMGSNVGDRVSTLDRALQLMKSSGVNITKHACLYETAPAYVTDQPRFLNSAVRGTTRLGPHELLEKLKEIEKDIGRTGGIRYGPRPIDLDILLYGNCQIDSETLIVPHERIHERPFVLAPLVDLLGTSADDGIETSWHSLSKCSGGFFELWNKLGGESIIGTEGIKRVLPVGDRLLDWCERTLVMGVLNLTPDSFSDGGKFQQVEAAIYQAKLLISEGADIIDIGAQSTRPFAKRLSPNQELERLVPVLDEITKIPEMEGKLISVDTFYAEVAMEAVKRGAHIVNDVSGGQLDPTILKVVAELGVPYVTMHMRGDPSTMQSEQNLQYGDICKDIASELYARVREAELSGIPLWRIVLDPGVGFSKKSEQNHEVIMGLDSIRREMGKMSIGASHVPILLGPSRKRFLGEICNRANPVDRDVATVAAVTAGILNGANIVRVHNVGYGVDAAKVSDALLKALEQFAKLHPV >Et_4B_038917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:625954:627814:-1 gene:Et_4B_038917 transcript:Et_4B_038917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASDLALAGMEPGKIMRAGLGDLPELCAAEVLLYLDAPDVCRLARLNRAFHAAASADFVWEAKLPANYGYLLGFVDGEGEGKKGEMEKKAIYARLTKPVPFDGGKREFWLEKSKGGICMALSSKAMVITGIDDRRYWVHMPTTESRFNSVAYLQQIWWFEVVGEVDFCFPPGNYSLYFRLHLGKSSSRFGRRICSSEQIHGWDKKPVRFQLWTSDGQHSSSQCYLEEPGSWILYHVGDFVASSSEQATKLKFSLAQIDCTHTKGGLSVDSVLIYPKGFEPEKVIRPQK >Et_5A_042653.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24255297:24256835:1 gene:Et_5A_042653 transcript:Et_5A_042653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGAILGAAIGGWTTDRFGRRASILAADFLFFAGAAVMASALGPAQLVAGRVFVGLGVGMASMTAPLYISEASPARIRGALVSTNGFLITGGQFLSYLVNLAFTKAPGTWRWMLGVAGLPAVVQFALMLFLPESPRWLYRKGRAEEAEQILRRIYSAEEAEREIAELKESVAAESLEKKSGLWQAVRTSATVRRALVAGVGLQVFQQLVGINTVMYYSPSIVQLAGFASNQTALALSLVTSGLNALGSVVSIYFIDRVGRRKLLVVSLAGVVLSLGVLTAVFRETASHSPPVGAVQTRRFDASLTCPEFRTASGFWDCTRCLKASSTACGFCASGAAGDKNKLLPGACLVSNATTRDACVGEAGRAAWYTRGCPSRYGWLALVGLALYIVFFSPGMGTVPWIVNSEIYPLRHRGACGGAAATANWVSNLAVAQSFLSLTQAIGPSWTFLIFGGLSVAALAFVLVCVPETKGLPIEEVEKMLQRRKLRIRFWAPRQAEDGDKENTQKGGNV >Et_2B_020589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21674710:21677789:1 gene:Et_2B_020589 transcript:Et_2B_020589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRLLLALLLFPLLAIAAGSSSDGRRLLAAKRAFSDPTGALAGWRRGPGDGSPCSWPYVSCSGNSTGAVVGLNLTKLSLTGGFPAPLCNLRSLEHLDLSSNDVVGPLPVCLSRLPALKHLNLAGNNFSGEVPPEWGTGFRSLVVLNLLQNLLSGAFPGFVSNLTALQELLLAYNAFSPSPLPENLSDLAHLRVLFVANCSLIGNIPSSIGRLTNLVNLDLSSNNLTGEIPSIGNLTSLEQIELYSNRLSGRIPVGLGGLKKLRSLDMSMNHLTGNIPEDMFTAPSLESVHIYQNNLTGHLPATLGTAPNLSDLRIFSNQLEGPLPPELGKNCPLVFLDTSDNRLSGPIPATLCSSGKLSQLMLLDNEFEGGIPVELGQCRTLTRVRLQSNRLSGPVPPEFWGLPGVYLLELRDNALSGTIDPAIAGAKNLSKVLLQDNQFTGVLPAELGTLSNLLEFVASSNGFSGPVPSSLSDIPYLYKLDLSNNSLSGGIPPELGKLKKLTQMDLSNNHLSGSIPSELGNLEGINSLDLSKNELSGALPAELEPLRLTFFNASCNKLSGPIPPFFNGLQFQESFLDNPGLCYGFCQSSSNSENNRGTVIKSVVSVLIASTIILFIGLLWFGYKCRTYKDTDDELNDGKSSWVLTSFHKMEFSERDIVNSLNDNNVIGQGSAGKVYKAVVGPRGNAMAVKKLWAGNVASTRSGGFESEIETLSKVRHKNIVKLACSITNRVSRLLVYEYMPNGSLGDFLHSAKSSILAWPMRYKIAVDAAEGLSYLHHDCVPPIIHRDVKSNNILLDAEFGAKVADFGVAKAIGNGTATMSVIAGSFGYIAPEYAYTLRVSEKSDIYSFGVVILELVTGKKPLAPEIHEMDLVSWVSTTIEQNGLESVLDQTLAVQFKDEMCKVLKIALYCVSNNPNNRPPMRTVVKMLLEVRGEIKPKAEEASPDI >Et_2A_015281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10347938:10353612:1 gene:Et_2A_015281 transcript:Et_2A_015281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGWRVESIRTVRPLDSQDEATSTALKFAYEALPPLFVTPDAPLAAAAAAVAPDDGVDRISSLPDQILRNIVSRLPAKDAVRTGALASRWRGLWRSVPLVFVDAHLIPGCKENLFWRPGIEGSLGVSNAVSDVLAAHPGPFRCIRITCNYFDTGREDIKQWLQLVADKGVQELAFINRPWPLDLPLPAALFSCTALTRLDIGAWKFPNTAALPRTAAFPHLREVVLSLITMKDRDLAFLLDRSPVLESLAIIASQADVRLCLISRSLRRLQLIQCSLGDIVVADAPCLEGLFLVDTRAPRTGGKKCSRIKIGKAPKLRMFGCAQLEPYELEIGSTVIEAGTKVGSSTIVPGIQVLALPAQLEVRNKVKTVSTLLKCFPNVETLHIFVILRSELAFIKFIAERAQALEEMVIGWRMEGFSSENDIGAILKPLFTWKWTNKNVKLSVAMPRGPTSWSVWRIASITPVRAFDGQDQGTLAALTAAYESLPVRAVPTDAPLASAVAARAPADGVDRISSLPDQILRNVISRLPAKDAARTAALATRWRGLWRSAPLVFTDAGFVPGCIKNPTWRPGLERTLGITNAVSAVLAKHPGPFRCVHITCCHLDMNKEKIKKWMQLVADKGVQELAFINRPWPLDLPLPATLFSCTALTRLHIGAWKFPNTAALLDDAAFPHLKDLFLSLIVMKDRDLAFLLDRSPVLESLTIIASQTDVGLCLISRSLRCLQLGLSSLGDIAVADAPRLERLLLWMTQRRRAGGNKFSRIKIGNAPKLSMLGYWHPGQHELQIGDTIIEEGTKVTPSTIIPSVQTLALDVHFEVCNEVKAVPSFLKCFPNVETLHVKSMKVDRPTGKVKLNFWLEACPVECVQHVKKLVIHEFKGNKNKHAFIKFIGETAPALGRVVIMLCPQSFSSRNGLDAKMKPFTAVKWANKSMEQIYFKFPSDPTPWSFRMAVDASCRDPFDLMSAV >Et_2B_022782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5004904:5006465:1 gene:Et_2B_022782 transcript:Et_2B_022782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCNLFDAKGLPMVVALVLLSFSRLSVGARRLPGLAEIEQASNELSYHGGTVLRGDIPVSIVWYGQVKPAQKAIIVDFLLSLTSVPVNATPSAAQWWGTIDRAYLSKASSTPPSGDDVDDGGSSSSNNATRVLLAGQVADEQYSLGKSLTLVEIFQLAAGLVPNPGSLVLVLTDADVAVEGFCSARCGLHSSDAGAGYAYAWVGNAERECPGHCAWPFAKPAYGPQDVPPLTPPNGDAGVDGMVVTLATMVAGAVTDPFGDAYYLGDKDAALEACTACAGQFGAGAYPGYPGKVLVDETTGGSYNAVGANGRKYLLPAIFDPATREDDAFC >Et_9B_064944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:191128:194330:-1 gene:Et_9B_064944 transcript:Et_9B_064944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGDGAARQAELRRIEGNADWAKVEEDSRRALALDDTLVKGHYLLGYAMLEKEEFPLAIKEFEKGLNLLKSTNSAGSMAEDMWQVLAKAKYLDWEQHSTERVWKMQSLKEACENALQEHHFLSGTLAEDSDGSSIEYSEQLKLLSEVFTKATLADTPTDVPDYLCCQITFEIFRDPVITPSGVTYERAVLLEHLHKVGSFDPVTREPLKEHQLVPNLAIKEAVQAYLKEHSWAYRLN >Et_5A_042160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6974728:6975529:1 gene:Et_5A_042160 transcript:Et_5A_042160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGLSRRSTSLSIASRLDASAEAAMTNNKFATTPKRVEYDEEDVSGPPRGYDVFINHRGADTKRTVARLLYDRLEQLGGGGIRSFLDSMSMRPGDRLEERIDEAIRECGVAVAIFSKTYCDSEFCLSELAMLVEARKTIIPIFYDIKPSDLVLPQAVVDSKDHLPRDIERFRFALRQAKYTVGLCYDSATGDLAELVTRAANAVVERIEEQEKKSVQRRRQTIVSRL >Et_6A_048032.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4053040:4054514:-1 gene:Et_6A_048032 transcript:Et_6A_048032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRILAGGDHHPPPTFASSPENPFLSPRFLLPSPSPSPAELSSPHLPLALAFSFLTHP >Et_9A_062280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21457268:21463691:-1 gene:Et_9A_062280 transcript:Et_9A_062280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHQLLARKAPLGQIWMAATLHSRINRKRLDKLDIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKLLYDDVSRLLIEINEAWRIKPATDPTVLPKGKAQAKYESVTLADKFMDMEVEQPMIFSEVDTARFRGMRLEDLDEQYVNVNLEDDDFSRAENHHQAEAVNITLVDNFESGLAETDVFNRFERFDIADDETTVNITPDEHRQVPSTLIPSPPRQEDPPQQQEPYYAAPSPQPSKTSKRKARRKLPQVIMDNQQIMIPGNIYQTWLKDASSLVSKRRKVNSNFNFIRSTKISDLMDMPPVALISFLDKSPSGFYYPKPLVELWKECTEAKSSKASSSEERPSSQEQQPRNSPPQEFASQPEGEYEGDMGPHPMDFTDGIEKLRGNMNAEYEKAYDPLHSDHSVTPGSPGLLQALVAPDGDLYHWIQKSKRRQHSSGRSFGNLDPVEEDFPMEQDVRGFKMRRLSDIGPTPDLLEETEPTQTPYEKQYSNPIDKVTQSIQSHLKLHFDTPGAPQSESLSQLAYGMTTAKAARLFYQMTVLATFDYIKVTQLEPYGDILISRGVKITKSPDLFYAFGTAGS >Et_10B_003214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17870479:17874497:1 gene:Et_10B_003214 transcript:Et_10B_003214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVELLRGPADLASPASRASSLRYLANADSDVLPRSGSPERAAGSAGSRGRGQEPEGSEEEEERLSFLALLFELLRKSLLRCRAEGGSGGEEGEGGGCGMEIGWPTDVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSFDSRGNSVPTILLMMQRRLYEQGGLRAEGIFRINAENTQEELVRDQLNSGIVPNGIDVHCLAGLIKAWFRELPSGVLDSIPPEQVMQCQSEEDCARVAKCLPPAEAGLLDWAVNLMADVVQEEQRNKMNSRNIAMVFAPNMTQMADPLTALMYAVQVMNFLKMLIQKTLKDREESNLEEALLPQKDPSDENGHQKPSVTLDSQPEEGSRRPSFVNDEPLLNSPAHSTEDKPNEISAAEGVTAAFIGQTSEVLMSMEDSPNSQPALAAPTATGDASGATSVDSLQGKGSRSLSRRRNRKGKSQSGTCAIPPAEKSRGVSIVSRINSKVERIEAWR >Et_7A_052953.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:3248515:3250443:-1 gene:Et_7A_052953 transcript:Et_7A_052953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFCHIPRGRRAAAASHSHQPLPPPEWIEPYADLSDPSPYPSASAAPPTPSPWLLRVVSLVLRSPPATLAADLRAFCKTFLLRLSPAFVAAALRSPQLLAHPLPLLHFFRSLPNRADLLAHPQHHLSCYVSLLHSLSRSKEATPDAADHARQLVAELRAHGDAVLRHLTPPSSASLIRSLAGLGLSEDLLWAWQAMRLAGVEPSRITYNCLLDGLVNAGLLDTAVNVFDAMSKEDRVRPDVVSYNILIKGYCREGRTQDAVARLEDMREQAALAPDKVTYLTLMQRHYSEGTFSKCVGLFQEMEERGMGKEIPLHAYVLVIGSLCKEGKPFEGMAVFERMLKRGCLANAAIYTALIDAMGKFGREKEAMELFERMKSSGIELDAVTYGVVVKCLCRFGNMDEALACFRNCVQKGVTVNAILYASLIDGFGKLGMAEQAQELFEEMIAKGCVPDSYCYNVLIDALVKAGKMDDACALYKRMENHGCDQTVYTYTILIDGLFKEHKNEEALKFWDTMIDKGITPTAAAFRALANGLCLSGKFSRACRILDELAPMGVIPETAHEDMINMLCKTGRFRQACKLADGIVKKGREIPGRVRTMMINALRKAGNTDLAVKLVHSKIGIGYERSGSIKRRVKFQTLFE >Et_4A_034547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4211106:4211929:1 gene:Et_4A_034547 transcript:Et_4A_034547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPARSDEAQAMSPMIPRLSSCHATASPTSTSPNAAASLCAAWKSPLTAIPSRHTTVPAAPITACICITVAYGGGTLAAGPVRRPYRAMATSTSGSTRPASAAAAMDSQNPVQYVLDASPDGFPDPGPMPRTAGPMEDGVGGEGREPEPDEEEGEDGREGELRRLQQRRRGQRQRLRTQRVDEARGGVERAEGGTRAGEARREEEVEDAVAAAAEEGRADRREEERPAGDLERGGQPQALPAVDEVDAEEERPAGADEDEAGAE >Et_1B_011062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17079379:17082774:-1 gene:Et_1B_011062 transcript:Et_1B_011062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRKEQEAQGAVGWMTVPAFGEWDVKNGAVPDYSMDFSKIREMRKQNKRELSRASLGGDEDILQQQQQKTQPTQPSKAAAAVAAEDQRRPLHDDSPTLLQRNLDQCGMIPSRNDLLDI >Et_1B_012716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34621600:34626460:-1 gene:Et_1B_012716 transcript:Et_1B_012716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFDGKSMVTIIGGQTAAEVHFPSTLQRGARPSSCGASRSPSQIGAGAGGWQRAMSMKHPHPPLPEDEASLSPPPPPHRRPRGFASATSPSAGSTPPRRRGEREREREKERTKLRERHRRAITSRMLAGLRQHGNFPLPARADMNDVLAALARAAGWTVHPDGTTFRSSTQDGTFYPAPVDTPSLTNTLNSYAIGTPLDSQASLLHTDDSLSPSSLDSVVVAEQSIKNENYGNSSSVNSLNCMDSDQLMRSSAVLADDYTRTPYIPVYASLRIGIINSHCQLVDPESVRAELRHLKSLNVDGVIVDCWWGVVEAWTPRKYEWSGYRDLFGIIKEFKLKVQVVLSFHGSGETGSGDVLISLPKWIMEIGQENQDIFFTDREGRRNTEYLSWGIDKERVLCGRTGIEVYFDFMRSFHMEFRNLTEEGLIFAIEIGMGASGELRYPSIPERMGWRYPGIGEFQCYDRYMQKNLRQSALTRGHLYWARGPDNAGYYNSRPHETGFFCDGGDYDSYYGRFFLNWYSGVLIDHVDQVLSLATLAFDGAKIVVKIPSMYWWYKTASHAAELTAGFYNPTNRDGYSPVFRMLRKHSVLLKLTCYGPEYAVQDNDEAFADPEGLTWQVMNAAWDHGLSLCIESVLPCLDGDIYSQILNTAKPRNDPDRHHASFFAYRQQPPFISQRDVYFSELSSLVKCMHGEAPQNEEG >Et_9B_065997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19840035:19841451:1 gene:Et_9B_065997 transcript:Et_9B_065997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVAAAVRGGHDDVESQHERDDGSRHEQQRWPLLAKRSLDDDGGGMSPIQRAISQTYQSTAHLATLLPTGTVLAFQLLSPIVTAQGHCIRANRAMAGALLALCALSCFVLSFTDSFRDAKGAVRYGFATARGLWVIDGGAPLDDAAAAKEYRIRFIDFVHGTVSVMVFAAVALFDQNVVSCFYPVPSEDARQVLTVLPIAIGVVGSMLFVTFPTTRHGIGFPLSTRYEKTSWLVVSEAFLYLADYSAKGSMPENLPSNARRFGQEFPPNFIQRSDG >Et_6A_046027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19238622:19239254:1 gene:Et_6A_046027 transcript:Et_6A_046027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPHGVLGMVGIDLSQNHLTGEIPGEITSLSRLLNLNLSWNHLTGQIPEKIGDMKSVESLGLSRNHLCGQIPSSLSDLTYLSSLDLSYNSLTENPSIYNDNSGLCGHPLRRICSGRNSTESGNQITREKGSETVFLYFGLGSGFTVGLWVVFCVMLFKKTWRISYYRLLDRAYDRVYVFVVVTWGRLARQATAEY >Et_7B_054312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19868664:19876698:-1 gene:Et_7B_054312 transcript:Et_7B_054312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TGFIHAAFTLGYEAGIHKGSIDGNTVPPGALIAVVQKGLQYIELEANTEENDEEVERDFALLEPLEIITKDVEELQQLVKKRKRERAQNDREKDKGKDKERSDKDERRPGAERERDRHDKEKEQEREKDRAEKDRDQEKEKEKEKEKQHTERTDKVKHDEDSHAGGGPIPMDISTVAHEISSNNVTVLEGHSSEVFACAWSPAGSLLASGSGDSTARIWTIPDGPCGYTQQVPPVVHVLKHFKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWSRDGELKQTLFKHKGPIFSLKWNKKGDYLLSGSVDKTAIVWDTKTWECKQQFEFHSAPTLDVDWRNNNSFATCSTDNMIYVCKIGESRPVKTFSGHQSEVNAIKWDPTGSLLASCSDDWTAKIWSMKQDKCVYDFKEHSKEIYTIRWSPTGPGTNNPNQQLLLASASFDSTIKLWEVEHGRLLYSLAGHRQPVYSVAFSPDGEYLASGSLDQCLHIWSVKEGRILKTFRGSGGIFEVCWNKEGSKIAACFSNNTVCVMDFRM >Et_5B_043295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22524394:22525569:-1 gene:Et_5B_043295 transcript:Et_5B_043295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIAARVLATGGILDYVRFRAVSPQWRASSSSPRGRGLIDPRFHPHRWMLFPEGYGQFPGHRAHGGHARFFDLATAGALVRVPLPELKNHCILDSPEGLLLLQRDEDSAVRLLHPFTGDVAELPSLKSLAEQNFPAWLGSSAFYLQRVRKVCAAINVTATGVVTVMLALEQIGRVAFASAGDYEWTISSWVVVNQLDRVLPYQGKLYMVNREDDGLTNVLLIDPPPPRCEGEGSPSEQTIPPPRTVVTFSSEEIHLPYLVELNSEIMLVGYNDSSFSRILILKLADLVTGRTVPVTSIGDNVLFTGARSLCVSSSWLPSIGGNSVVCFHAGENYLAVYDLRTETCPPPRPCGLIHHIFTCCYRQFW >Et_6B_049762.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1026427:1027299:1 gene:Et_6B_049762 transcript:Et_6B_049762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRGLDGSSLTLFLCRREDKPCQNHHNSSAPIRFSRRSDSIGLDAPADPNNMDLRPRRHHAAARLVRVHFLDADATDDDQRRRPDRRCVQEIDLLPSSAHPLSSPASQKRRKSTKRGGEREQGRRFRGVRWRPWGKWSAEIRDPGLGTKRWLGTFDTAEEAAAVYDSAAIRLRGPTAVTNFIHSSSSSSLSAAVTSETSTAARPPPPLPGPSPEAESSTASPPSPGSSVVDADEEVTGMWFQDEPPLGFMDFGLPEEGGLWGPAPAACEFWDLGDLDDLLFSPELLAV >Et_10A_000372.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:6055037:6055075:1 gene:Et_10A_000372 transcript:Et_10A_000372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRYHKGPISQ >Et_9A_061648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14811912:14822939:-1 gene:Et_9A_061648 transcript:Et_9A_061648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAQWVGVAAVCAAAVACAVAATMVARRTAARRRWNRAVAVVRGLEEGCATPTERLQRVAHSLAIEMFAGLASEGASKVRMLLTCVDALPDGEGGNAEGRALGFTFSFPVRQDSISSGSLIRWTKEFSIEEAVGKDVAQCLNEALVRSGLNLQVTALVNNAVETLAMGHYYDADTVASVIIGAGTNASYIERSIAITKSRGLLTNSELMVVNVEWGSFRPPQIPLTPYDICFNDETHDHYDQAFEKMISGVYLGEIARLVLQRMAQESDVFGSDMNCLSTPFILSTPCLAAIREDDSPNLREVGRVLEEHLKIQDVPLKTRRLVMRVCDIITHRAARLAAAGIVAVLQKIGRDGTLCGTTKVRKIRGEPKRSVVAIEGGLYQGYSIFREYLNEALVEILGDEIASTVTLRVMEEGSGIGAALLAASYSSTKQRFITYSSNAQQPSRCGWKSSIGLETEHHRVSAKDSSSAISFWMQLRALSKPTRREID >Et_10B_004162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16870425:16873585:-1 gene:Et_10B_004162 transcript:Et_10B_004162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFGRDRYQRLDGGGGPGRKPSSSFCSSATVVVFVALCLVGAWMMASSNNIPVSVSPENKSEAKDETTADTTIDVTQSVKGGGGGGADADAGGDTPRIGVEGADAGRKGEGDGGGDTPRVKGDEGYGDKTGDGGGGAARTTDAGSDDVTRSDVAGETSVSGTTGGGGDEESSKPAAGGSAVAEGETPSSKNQTFSDENGKTEGGEVATPEDPEKKAVEATTGGVQADSNANAKPEVTDDKDTGGDQADKSGQEASTTDTNGDTGGKDQETPTDGGGGTAKNQTTFDDSNGNSSDEAASNSSSDKEQSTTDNNGGGAAAKNETRSGELSQAMAFAAADDITNGTVYQDAKSSTTTNSSTVTELLPSGQADLLNETASAASAATFPTQASASSEEKKKTKKSKKKKTTKNKGAITAGDDDLCNTSAGADYIPCLDNTAALKKLKSTKHYEHRERHCPSSPPTCLVPLPSGYRRPIPWPHSRDKIWYHNVPHASLARYKGHQNWVKVSGEHLTFPGGGTQFKNGGAGHYADLMEKALSGEPGEEEALLTWGKRSRVVLDVGCGVASFGGALFDRDALTMSFAPKDEHEAQTQFALERGIPAVSAVMGTLRLPFPGGVFDVVHCARCRVPWHIEGGKLLLEVNRLLRPGGLFVWSATPVYRKVPEDVQIWHAMAALTKAMCWEMVKRTSDTVDQTAMVVFRKPTSNACYDARSSAPSSSEQPPMCDEAADDPDAAWNVTLRACMHRVPTDASARGSKWPAMWPERLTATPYWLLAGGGEGRTTGVYGKPAAEDFAADMEHWSKVVQNSYLHGLGIDWKNVRNVMDMKAVYGGFAAALRDMKVWVMNVVPVDAPDTLPVIYERGLFGMYHDWCESFSTYPRSYDLLHADHLFSALKNNRRCNQLLLPAIVEVDRILRPEGTLIVRDDKGTVDEVRSIVESMRWEVRMTVSKQGEEMLCVRKTMWRPTEVEANS >Et_1B_013814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17971079:17973627:-1 gene:Et_1B_013814 transcript:Et_1B_013814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMSCFPALLAGRRKKHRKVADAVKAVSCNDCPKKVKPVEFIVAPVSAVVGECGDKFSARDVKVAADDNDAAPVAAGKGGDRPDEKASWMKGDDMSSVKGDDMSSDFEFEFHAAKKSAGSDDGGAAETGDDAGAAPEQDTAAEGTEASPKLKRSCSNIETKRPGLRDAAVTPVRSRSHGDLRDLLPTVLAVETTTPRGAPDASPASVKTSRTADRVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPRSAARPAAACSPGRHGGYTSDTHAEEGRNADRKNKKVMVDGSPPPMPVPNQWVAFSAENSLLDRVSAWVSSIENEPFRIAEDDEHDDVDVDGDNFDGGECAARPRALELGEPSSGKGHGKSKRGTAADEVVQANSVVQSLNAFSSVAHISGMGLKVMPMIAPFSSLRAVNLSSNFIVARYTPPVVGQVLNLVAPAVHISPGSLPKGLHSLDLSRNKIATIEGLRELTKLRVLNLSYNRISRLGHGLSNCTAIRELYLAGNKISDVEGLHRLLKLAVLDLSFNKITTAKALGQLVANYHSLQALNLVGNPVQANVGDDALRRAVTGLLPSLAYLNKQPVKPQRSAREVATDSVARAALGGGGGRSQRRRASRRLGSGSGDGSARSRSKSRHHGSGSMRK >Et_2A_015154.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33374719:33375447:-1 gene:Et_2A_015154 transcript:Et_2A_015154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVSNAAPFAAEEASTRRVANRIIRALQHQLRLLHRAGEEFFVLGATGNVYTVTLSTTPACTCPDTAVPCKHILFVLLRVLGLSLDEACVWRQSLRPCQVARLVGAPTHPDVLAGARARERFHQLWSARAAPASSCKPDGAGGRQDGAACPVCLEDMQGGGGAAALLLTCRTCRNAVHAECFARWKRSRARRAATCVVCRSRWRQPRREKEEERYMNLAAYMNDDGEAMQCDDDSGLCAG >Et_2A_015772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17701052:17706546:-1 gene:Et_2A_015772 transcript:Et_2A_015772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSRVQDEVEMQRRTNNNSIYRIFPDERQNHSKPLYQVARADRFGANRTDVKNPEKLKVLSEGTPWHRRILDPGSSVVLTWNRVFLVSCLFALFIDPFFYYVPLVRQDKVNNSACVAKDQRLSIWITVLRSLADLFYMLNIAIKFHTAYVDPKSRVLGKGELVMDINKIQHRYIRTDFVIDILAAVPLPQVTVWLIMPAIRSSDYNIRNTTFALIIVIQYVVRMYLIVPLSNQIIKAAGVVAKSAWGGAAYNLLLYMLASHITGAIYYLLSVERQITCWDQQCHAEANTTSCIYGFISCENTGSSDYDIWKNNTQIFKNCDATDTNISFNYGMFISALGKGAVSTSFLEKFFFCLWWGLLQLSSSGNPLQTSAFILENAFAIAIGALSLILFAQLIGNMQTYLQSISKRLEEWRLRQRDMDEWMRHHQLPPHLQERVRRFVQVKWLATRGVEEESILQALPADIRRDVQRHLCLDLVRRVPFFSEMDDQLLDAICERLVSFLCPENTYISREGDPVNEMLFIIRGKLESSTTNGGRSNFFNSIILRPGDFAGEELLTWALLPKTNVHFPLSTRTVRSLTEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRQHQRRKLAESLSRLESYSWWSEDHPAADKPKPERFRANDTAIRRLQKPDEPDFSADHFD >Et_4A_032779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13725899:13731939:-1 gene:Et_4A_032779 transcript:Et_4A_032779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPECSTSSLLCDESEFSTSSLLCDESVESIFGFDDGEGGTPECNTDLDFSSFAGLSLESDELEVIGSLIDQEKEQLAGIATGQYLERLNTGGIESSWRTAAIEWIGKVQAHLNFGPLCICLAVNYLDRCLAINMPENQTWAEELLSIACLSLAAKMEETMVPNYLDYQVSNQKHFMETKSIERMEFHVLRSLNWRMNAVTPFSYISYFMEKFNGGNQLTSECVSRCTELILGTLKETKFLQFRPSEIAAAVVLSAVADSQALDFSSALLASEVPVDKENVRRCHAAMQEVAPVNNNNSSICPSVPKSPSGVLNTSCFSVSTDGSQAAGSSEASDHRNAPSNQACSPPRKRTRMNAVTPFSYISYLADKFNGGNPLTSGCISRCTELILGTLIRLDCITNNRTCSLPFSFFFFGEAFTVP >Et_2B_020083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16755139:16756250:1 gene:Et_2B_020083 transcript:Et_2B_020083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFRRGTYLDESWWDAPVPSPFPFRKCECNSIDVVTQSRQPLTAARAYFCCANDGIEECDFFQWIDGPDKYDERILLVPWTVKKAPYRKFKRWVPPPPNPPPMSREEMLAKFTEHKGNKRGCDFQEWPHGPRSHYPNSESLSDEVLYGNELPCLNPPPLLCQCGVRARKGVVPSQLGYGYFCGNTVGEDDEWASDILKYLSISFNMGSCLDTRRCDWETFEGKKKFLTEAKKRGQEYFRTAFPQHYNRSIERYMTSDLPRIVPNNPSCNIQPAKP >Et_2A_014728.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:10471752:10471952:-1 gene:Et_2A_014728 transcript:Et_2A_014728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPAGKLPDEKSDVFSFGVVLLELITGRKTVDSSRPLGDESLVEWVSSSSRTLALQLQFHSHTND >Et_3B_031190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20129525:20132218:1 gene:Et_3B_031190 transcript:Et_3B_031190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGQDGAGVAICSSGDEGEDGARPVYGPSRGRKTHGCVFDLMRRGWHQQVLTVHTVLPAIFMSRPSDVGKRREATGKKRDGGRRNPKARNSGHASEDESCWERET >Et_7A_051630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21543495:21550948:-1 gene:Et_7A_051630 transcript:Et_7A_051630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEITTPSTEKGKTASLDLVVVLDMSGSMNGQKLHNMKHAANFLITRMGKFYTLTFVPFSHKAWKPSADPPHHMSACAAAIFLMSDGYQNVDDARDVDVHDFPVFTFGYGTKHDKHVQNKLGGTYHKAPHWAHKNSLLHYFAHVLGTLRDLSILDLEVTLKPHDEATIIQVDSGNYRTTQSHESHVIHFVSLIMKGEPRASTLTWPVTGTRLQAPRGHFKVELVRREQAQRIGKMKRLADAKDLDQAMDELAEAKNALRIIESTGHSSMDLMDSLSLELEHLEELLESHEVYDQHGSAYMLVALLSHDCQRFMARGGPEDVMIYALPRMLKYASHAEGIHRNPNATHI >Et_4B_040036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8056992:8059890:1 gene:Et_4B_040036 transcript:Et_4B_040036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGGKRLMGLSSQFLGMRCFSTEIFVSKLSFYTTEEELKDIFSPFGSVKEARLMRDNQTGRVKGFGFVRYSSQAEAEKAIKAMDGRILRGRLISVEIAKGHKSE >Et_10B_003897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7355810:7357799:1 gene:Et_10B_003897 transcript:Et_10B_003897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLPSFCLHRIKSGGSAAAAPPQIRAKEEVSSCTGGDGRAGESDDGKVKDEKETTAKKAAGNDAAVGRKVMVAADGGSEEARTALQWALVCQQLEAMRSICQAKRPEVRVELSLVEGKDRGPAIVEAARKQGISLLVVGQKKRSVTWRLLSMWIAGMKGATAAGAGAADYCVQNAACMALAVRRKSRRGGGYLITTRRQRDFWLLA >Et_2A_015668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16773645:16777360:-1 gene:Et_2A_015668 transcript:Et_2A_015668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLALGAAAAAGWPATLSAAWRAVRWSVVVPALQLAVYVCAAMSLMLFLERLYMALVVAGLWLLRRRRRKKCSRAAVVAADEDQLQLDDLEAAGDHCPMVLVQIPMFNEKQVYRLSIGAACGLSWPSDRLVIQVLDDSTDASIRELVEVECARWAGKGVRIRYENRSNRNGYKAGAMREGLKKPYAKECEFVAIFDADFQPDADFLRRTVPLLRRDPGVALVQARWRFVNADDCILTRIQEMSLNYHFAVEQEVGSACHGFFGFNGTAGVWRAAAMADAGGWKERTTVEDMDLAVRACLRGWRFVYAGGLAVRNELPATFRAYRYQQHRWSCGPANLLRKVLPEIMRSDRVSAWKKVHLVYAFFFVRKVVAHLVTFLFYCVVIPACVLVQGDVRLPKYVAMYVPAAITLLNAACTPRSWHLLIFWILFENVMSMHRSKAAVIGLIEASRANEWVVTDKLGGSTANNAAAAVVTRKNKNKDKKKQQPAKKRLCMSREVHVMELVMGACLLYCAVYDMVFFGRDHFYIYLLLQSAAAFIVGFGYVGAFVPAAAAS >Et_1A_006314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20583491:20583881:1 gene:Et_1A_006314 transcript:Et_1A_006314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELCYCVDDDAGGFQLTLVLSTRARYNYFTLRVLVEPGEVQQERKRGSVGGKVHVGRNCKLTGHIQYRSPEKVDDQPGHRGLQGRDGISDVRHVEPH >Et_10A_001519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4487880:4493881:-1 gene:Et_10A_001519 transcript:Et_10A_001519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPPHVSLSPSGEIAGASAPPSRKELLSMVKKHSHLIGWTIVDAEDDASDAGMDDKFWREMLDLFFVRGATSNRREEDDLVFFVNNMKLHGYGFNDNMEDPPPFFVRRWAPLLDKVTSSNTADVDWERSFYLNLIAHTSYTVTVAICGIDELRNRAEKSKRVSPIYKVAKTVYASPSRVNFHLDRRKAVETVPAYPNICFSVDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPDETESNNTVSNIQSGVNSFSSQQRPPKRTLFSGYVSYQNVREAYDAGRSKFGGLLSLGHDHTKPDRLYMRGPEGRGEVEVAVSGIADQSHEKSKKDPGDSFRVLVHRAASAASKLAKHAYDSASANKRTDDELVPLKCCLMSVSLPWDYIAHDLLHKQDTPPLNL >Et_6A_047861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21518651:21519443:1 gene:Et_6A_047861 transcript:Et_6A_047861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAEKISSEFGDDLQCVFSDDNADKLILRLRITNDGPGKGEIQDESSEDDLFLKKIESNMLTEMTLQGIPDINKVFIKEMKVTKFDGIDGFLSNNEWTLDTEGVNLLAVMCHEDVDASRTRSNHLIEVIEVLGIEAARQTLLDELQKVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGGKPLNFNSQAMGKVWTFA >Et_4A_035777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5765586:5767081:1 gene:Et_4A_035777 transcript:Et_4A_035777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPAPSQITALLRSQNIRHVRLYDADPAMLAALANTGIRVIVSVPNEQLLAIGNSNATAANWVAKNVAAHYPSVNITAIAVGSEVLSTMPNAAPLIMPAMRYLQNALVAAALDRYVKISTPHSSSIILDSFPPSQAFFNRSLDNVLVPMLKFLQSTGAPLMLNVYPYYDYMRSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMAYLNVTNVPVMVTETGWPHKGDPTSEPDATADNANTYNSNLIRHVMNSTGTPKHPGVAVPTYIYELYDEDTRPGSTSEKYWGLFDMNGIPAYTLHLTGSGQVLANDTTNQTFCVARDGADPKMLQAALDWACGPGKVDCSVLMQGQPCYEPDNVEAHATYAFNAYYHGMGMASGTCYFSGVAVITTTDPSHGSCVYSGKNGSALLNGTSLAPSSNSTADSGAQRAIGDVSTFVRTVLSALLLLSVFML >Et_3B_030948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9257081:9269792:-1 gene:Et_3B_030948 transcript:Et_3B_030948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDTPSIDPNPEKASPVNSGPRGVVVIQEVKRQLESVCPGTESCAETLAFAARDAAAILGSWTIRVHAGRAGSRSPARTPSAAHTAPPPRCGYAKRHHGSPGLAYRRPGRDRGSALSPQRLARMKLACLQLLTVAFQATLITMSSAGLQYNFYSSSCPSAEDIVRNKVYGMIDADKSTAAALIRLLFHDCFVNGCDASILLDPSKDNAQPEKTAIPLRGYDAINQIKAAVEDACPGKVSCADILAFAARDSVAKSAGFSFPIPSGRRDGAVSSAFAVFSSIPSPFFDAQQLVASFAAKNLSADDLVALSGAHSIGTARCSGFTNRLYPTVDPSLDAAYATTLRAACPSGGPDNAVNNSPVSPDALSNQYYRNALGGRVLFTSDAALLTRNDTAAAVGRNAADATGWMARFAAAMVRMAAVEVLTGAQGEMGMARSGRASHLVALPILLSCIVFFSVATTGAAQLQVGFYNSTCPTAERLVRNVVLAAIRRDPGNGPGLVRMFFHDCFVRGCDASVLLDTAPGSNATSVEKASQANNPSLRGFSVINRAKRVLERRCHATVSCADVLAFAARDAADIMGGIRFAMPSGRRDGRASNGSEVLNNLPPPFANASVLVGGFAAKNLTADDMVALSGAHSFGRAHCSAVAFRLYPQVAADMDAAYGLALRRRCPAATGRRDRVVDMDPNTELLLDNQYYRNVRAGQVLFTSDATLLSQNDTAALVDLYAGNRTLWASRFAEAMVKMGNLDVLTGDQGEIRS >Et_1A_009053.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27270337:27271350:1 gene:Et_1A_009053 transcript:Et_1A_009053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHTCKLCFRRFQNGRALGGHMRSHVMAAAAAAAAYSPASPPLSLASTSSTEVDDDKPKQQEPVSWVLRDSSRKVGAAEFSGGGAAGGESSIVQDGESDTESSPRGGGARFAVSRRRSKRARRHRAPPPPPPPAAAADPEPASSVSDATTEKDVAMSLVMLSRDSWARSGSEHEQQHWAAASSEPEQQNNVDGAGFFDHDVAATAQQRPRGRFECGACKKVFRSYQALGGHRASIKKGKGGCVPPPATTPSKKDHHADAAAIHECPFCFRVFASGQALGGHKRSHMLLSGGASPSPAKCGEDSSGSIDLNVPAAMDDDFELSAVYDAEEFGGSTRH >Et_7A_050934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12625806:12628600:1 gene:Et_7A_050934 transcript:Et_7A_050934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPLLVRPPAPANPSLPSRRWAPAVSVAVCSASPSAATAPFPRLRPTKCRSAASDISEDYSSTPIDIVADIVVLGGSGFVGSAICKAAVSKGIEVVSLSRSGRPSYSDPWVDQVTWVAGDVFYARWDDVLLGATAIVSTIGGFGNEEQMKRINGEANVVAVNAAKEYGVPKFILISVHDYNLPSFLLTSGYFTGKRKAESEVLSKYPASGVVLRPGFIYGKRKVNGFEIPLDIVGQPLEKLLTSVENFTKPLSSLPASDLILAPPVSVDDVAYAVINAVIDDSFFGVFTIEQIKEAAAKCFPNPFLIGRI >Et_9A_063453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2876543:2880053:1 gene:Et_9A_063453 transcript:Et_9A_063453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLPPPHEFSNWWERWLGNRCVPSVVSCEFRPNNPEQVLARWNAIAPIHAENPEEAEQLMAQRTWDSEHGKFCTGFLMGQDDDAVYILTCAHALGPAYNGQNPLTPVQANGLFQPFILCDHKERRYRHGQDENRHYTWVDIARISCPRDLILLRMGKYRLSLYCEHEYPLMQIAQEFPAPFKTVVMVSRPPLRNRTRAMGHVSKQARSLTALAMENPYNYEMMLSEVNMNSEFGSSGAPLVYGNVQVVGVLHGGEKGVSYFVSLHDIRAALTEFGILNSSPWPPSRAARCGRRLQAPRGSSLWPPSRAARLGLRIGLLESSSPRPPEACCGC >Et_4B_038050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25865711:25866148:1 gene:Et_4B_038050 transcript:Et_4B_038050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPEAQESITSRSKATCTSRTLALPARRAGQEQRHGGGSGENGVALGFPQPGKDKPPCFDMVKNRVQEVAEFNTQRHPAVGFAYKHSRISRYLKRNPCNFA >Et_1B_013896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22866421:22869886:1 gene:Et_1B_013896 transcript:Et_1B_013896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPARSTVSAASGGAVSAGEAADVADSIDALYRKDEAMAELKSEVMEALQKEVRSLDDDSWMFAAPRSRINLVSRPACLASPLLASDGERVQLAWPAKKFLESSSSEVAGKPTKRSNCSCSLPNSLNDRVKPDAVLDS >Et_9B_065520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6053521:6056217:1 gene:Et_9B_065520 transcript:Et_9B_065520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRARCSLSDALAAARVQDERDSLSPVSPLAFCRTPTPTTSSSGSSGTAVPRTPGACPSAAASAGGHRSSGSGPLTGANGSAGSSAAAATSPPLNSALPAGNICPSGRLAPAPPPCAVVRRDVLRSGAGSYGHGSVVRRRCVGVAAADEEDAPARRRAMASAKAEELRRAGNEQYRKGCFEEALRLYDRALAACPDSAACRGNRAAAFMGLGRLGEAVRECEEALRLDPSYDRARQRLVSLLIRLGHFADAPTQISLAHFQSDLELHKLETVEKCFGRCLDARKVGNWKSVLSECAAIAEGADSCALLFASRAESLLQISQIDEADLAICRASELLCSSSCALDMKFCGFLVSSYIYYVHAQIDMAKGRFDSGLSSMEKASRIDSTNAEVAAMLNIFRAVAQARSLGNELFHSGKYAEACLAYGEGLKLHAGNPVLYCNRAACRFKLGHWEKSIEDCNEALKIQPNYTKAILRRAASYGKIDRWENSVKDYEILRKELPGDTEVAEAHYHAQTALRSSREAASNVNFGGRVETRQRMF >Et_1B_013097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5484181:5490331:1 gene:Et_1B_013097 transcript:Et_1B_013097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIAADERGLAAGATGKVGGLSVDGGGVADEEDASPVEEVRLTVPSTDDPSLPVWTFRMWSIGLLSCALLSFLNQFFSYRTEPLVVTQITVQVASLPVGHFMARVLPRRKFRAPAMLGGGEWSLNPGPFNIKEHVLISIFANAGFAFGNGNAYAVGIIDIIRAFYQRSISFFTAWLLVITTQVLGYGWAGLMRKYVVEPAHMWWPSTLVQVSLFRALHEKEEFPAGSRQISRSKFFVVALICSFAWYAVPGYLFPTITSISWVCWVFSKSVTAQQLGSGMKGLGIGAFTLDWATVSAFLLSPLISPFFATVNIFLGYALFVYVVMPTAYWGFNLHNAKTFPIFSSHLFMSNGTEYDIKAIVNDQFELNTDAYKKLGKVNLSVFFSLTYGLSFAAIAATITHVGLFYGKEIYYRFRASQKEQPDIHTKLMKRYDDIPGWWFYSLMALSMTASLLLCTVLKHEVQLPWWGLLFACGMAFIFTLPTPGLNVITEYAMGLIRPGYPIANVCFKVYGYMSMSQAVAFLSDFKLGHYMKIPPKSMFLVQFVGTIVAGTVNTGVAWWLLGSIKDICSDNLPADSPWTCPGDRVFFDASVIWGLVGPRRIFGPEGNYGATNWFFLIGAAGPVVVYALHRAFPGQTWIPLINLPVLFGATAYMPPATAVNYNSWIIIGTIFNFFVFRYRKKWWMRYNYVLSAALDAGVAFMGVLLYFALTMENRSIDWWGTAGEHCPLASCPTAKGVNLGGDSVCPVF >Et_6A_045822.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:15682881:15684312:1 gene:Et_6A_045822 transcript:Et_6A_045822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPGRRALAAGGCALALLLAAFYLVATLVTTPLPYLLLPPLALPCLPAVADAASGSGYASPGVSALADAAVSYAVADTVPQQSLAEISLSLAVLRRRAPLRLLVFGLGRDSALWHALNPGGATVFLEEDPAWYRAVRAQSPHLRAHLVAYRTRLDAADRLLASYRRNPACLPSISGAGEVLPPVRGNAACPLALHDLPPEVYENEWDMIMLDAPKGYFPAAPGRMAAIWTAAAMARARTVEGDTDVFLHDVNRRVEKVFAEEFLCDRFRVGGTGRLWHFSIPPVSRRRGNNDTAAAANDGGGRRPFC >Et_2A_014686.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34906605:34907738:-1 gene:Et_2A_014686 transcript:Et_2A_014686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSSTPSSTPVRAALTVDEEYDRAFKSKSFLDLWANAFKSSSSFKSSSSVDRSAGSLDQEQDAAAEQSCSYFVLEPRPEALGRRPGSRRRKRREESLLVEYFEVTQAACEACSELLAALGAARRHHLTLRRLLLRMETENAASDDDDDASASRDALAEHVRLDNPLSPASLSGFHDAHARCAPLATRLAAARRRLRRLARAASVARARRRPRSSPRARRPSWPRWCSRPTPWWASARRPWWARPARCGGGPGSGATRGPARRWTRRRGARTSWAATWTRSAAWCGARTTSWSTAATWRASPCAPTGSCRCCCRRWPGRRPSARPTSGRSWRSWRSTYASASSQSTEPGGWSRTTSHARRRRRRRRLKINSLLSSF >Et_2B_022307.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17569232:17569711:-1 gene:Et_2B_022307 transcript:Et_2B_022307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEYASMQRISEKSDVYSFGVVVLEILTGRHPLDPTLPGGAHLVQWVRDHLQAKRDARDLLDARLVRAGAADVQEMRQVLSVAALCVSHRADDRPAMTDVVALLKEIRRPAGAADDAKPPTAAAVSGSGAQQVSPVRSPLSSRGQSSSCSFNVSDYSA >Et_2A_014943.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:22567909:22568124:1 gene:Et_2A_014943 transcript:Et_2A_014943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARGAGCPSGFAGRPAPLASLAARLPAEGGGGRGRVAESTFPTKVSEGLGARRSNCTQDPNKPSAGICPP >Et_1A_006995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:310944:313519:1 gene:Et_1A_006995 transcript:Et_1A_006995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KGDQEDRLSKLPNDILLNILDRLQIHDATRTSILSRRWQQLPTMISKVIIDIEDFLPEDCTEFTTDDLIQSSASLIQATNHILGCRNGGQYTIHILCIKFYLRDDDSVSISQIIANTMATQRVDIAELTILTPKQKDQCVATDLLEYARQFKLFFDSCPNVFAGLTHLQLQNLRFGDTDVPNVLSTCKRLAFLCLQNCDSGFRRKLHLEHQELNELEIVGSRFEMVVLKCLPKLTKLTFNGWISYGHPFCFGYVPLVQTVRLTNTGLSWHKLLNISELLHNAPSLCNLHLNFKSEKIWVQPEGPKQLARVFHKLRFLNLVNIPEGCNLSWTMFILEGAPYLKELYVSVRDHFCEMVKDEKMRRALSYSEKKNVEWAEHHLISSTKVWLCSLFLEAYFVRYIRHVMETAVNLEDVFLYRKLACKKCKSKVAMTCKYPRTKKQQCSLRNRITKGTHSLAIKVQINCTLPVFHKPRHVNLINISEECDLKWTMFFLQGAPCTLPRGAAHYGTRSRVDWEGVAYNEFKHLKLSVLKIFGFRPEDKLVRYVRSMMEAAVNLRDVFLFNKLVYVRGAASTRFQSLASRSPWPKKQRFSLRKRIISGTNSLAVIHFPSSFDTSQ >Et_1B_011269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19797240:19801958:-1 gene:Et_1B_011269 transcript:Et_1B_011269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTPQSSLPWMERWLPTAARPYAMVARLDKPIGTWLVAWPCFWSIAVAAKNGELPDLKMMALFGFGSAILRGLGCTVNDLLDRDIDKKVERTKNRPLASGALTLSQGLYFLPQAYLGFTINWGALLGWAAIKESLDVEVILPLYAAGICWTLVYDTIYAHQDKEDDVKVGVRSTALWFGNMTKYWISAFAAASIGNLALSGYNADLAWPYYPLLTPAAAHLAWQISTVDLSKRSDCNRMFVSNKWVGALVLQLNAYIN >Et_8B_058886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10378488:10381674:-1 gene:Et_8B_058886 transcript:Et_8B_058886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNGTASSASHGKWRGLSDGGRFVVSSIHNHLVLTGCNVFAELLFAASNDTITGCASFCPRSRSGLVTLSIGRICTGIGCCEMPVNWSYLTSYDVRLRHLYESSRNDTPVLVFIAEQAWYATVVREVLIAYSARRPSRAEMEVPVVLDWVFGQEGCDGACRSRYSFCHNDTCKQGYDGNPYIHDGCTDLSIGLGISSGAIFMFVVLGAILLTRKVKEQRRKKQKQKFDKQNRGQLLEQLVSQRADISERMNITLEELEKATNNFDRSRKLGGGGHGVVYKGILSDQSIVATLSQINHRNIVKLFGCCLENEVPLLVYEFISNGTLYDHLHVEGHRSLSWEQRLRIANGTARALAYINSAISVPIIHRDIKSSNILLDDSLTAKVSDFGASRYIPVDETGVNRVVQGTIGYLDPLYYYTGRLTEKSDVYSFGVILVELLSRKKPFSYMSSNGDSLVVHFVSLLGQENLVQILDPQVVEEGDMELIKDVANLAAVCINLRGEDRPTMRLVDMKLEGLIQGSRKPAPESTVNGGSGENAIPINGMITQGKGRQSTSIYYSTEEESLLS >Et_4A_035851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7231197:7241834:-1 gene:Et_4A_035851 transcript:Et_4A_035851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKKLSFSFASSKSKPPRPTSRPAAAGDDAEPRSAPAPAPQFVTEFDASQTLTPAGAPAVIAPLPNSGNFLNHRPRKPSSLPTPEEEAALAAESGGGGPSFVLDTSTAPEDASSHIGYGLTLRNAAADTDTAAAKESENAPPPQAAAHASGGDLMLQRYKEDMAALPEHRGLDEYLDIPVEGFGAALLAGYGWSEGKGIGRNNKVGDTKVVEYNRRAGTMGLGYNPSEADPKKTRAGDWIVGEKKVTENGTAKKRDRDSRDKEQERDSSAWKKRYGEQRSEREVREKERNGRDSREGNSSGGGTVNSVRWLQSHIRVRVVSEKLGKRFYLMKGKVVDVVGPATCDIMMDDGSELVQGVEQDMLETVLPRTNGRVLVLYGRHKGVYGHLIEKNSKDETGVVEDADTKDMATRCSGVAGRWGQHASTRMVFAILPLASHMRGLALDRRPAASGGRHSNEWRGSSGRLRGARKIGDGALGSLGGISAPASCISRKIQLSRGSHQQQIHDALGEAERDGIDKEERITQHMDASACCALHIQPGQTAEAEPDASAGAASSSLCFTMPESTRMGTSAASQGASPRHSACAIPNLGQFFAHSGLKWTVRQIFPPRYICANEQQSQSNRDDTIEQA >Et_9B_064172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12169139:12172761:1 gene:Et_9B_064172 transcript:Et_9B_064172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPCTCRLVFLLLSLQLLLVAPWQGWTAARALNFTRQDFPRDFVFGAGTSAYQYEGATDEDGRSPSIWDTFTHAGRMPDKSTGDLGAGGYHKYKEDVELMGHTGLEAYRFSISWSRLLPRGRGPVNPKGLEYYNNVINELVKRGIEIHVTLYHLDFPQILEDEYHGWLSPRVVDDFTAYADVCFREFGDRVRHWTTMDEPNVISIAAYDSGAFPPCRCSAPFGVNCTEGNSTVEPYIVGHNSILAHAAVAKLYREKYQATQKGVVGMNVYSFWNYPFSQSPADLAATQRSLDFMIGWIVNPLVYGDYPEIMKKVVGSRLPSFTKQQSDIIRGSTDFIGINHYTSVFVSDRSNSVDSELRDYNADIAAIFRFSRNDPPSGQFIPFNMPSDPQGLQHMLEYLTDTYNNVPFFNDSIYDNKRVEYLSGYIGSMLTALRNGANVKGYFVWSFLDVFELLAGYYSRFGLYHVDFQDPELPRQPKLSAQWYSKFLRNEVGINIESMINTDATSHAEQ >Et_3B_029768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27811335:27811857:1 gene:Et_3B_029768 transcript:Et_3B_029768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVVTRHHASPNGDGDQRLAHCFANGLEARLAGTGCRIYKSFTMTWFGCTDLLKNYQLYLAACPFKKISHFFANQTIVNAVEKAKKVHIVNFGIDQVFSDRASFKGFLLGLLALRDSESLKSTHPSLVFAQLRVSKRLCSYLQLLSSKPLHLGLRLSDLAYSTYKQ >Et_9B_064121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11485349:11486271:1 gene:Et_9B_064121 transcript:Et_9B_064121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPQQRFPTCKLHNASTSVVAAEQRRRNVGRLKRIIRHPEDDGVKVHGGEPWRGVDERLQTRDIHVAEQQLQLRQPREANRFRERELPAPGEVQLTESL >Et_4B_037289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17727124:17729995:1 gene:Et_4B_037289 transcript:Et_4B_037289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSGSSASPAGEWQKLLQEILGKEEGAAAAAALDREEVVINLDGSEYLSTQNSDVANEPLSCSNPGSAPWLQVVFIEEPDSVLHIVAASGDSKCHLECAKMVYSKAMHLLTTAAPNSNGDTPLHCAARAGNSNMVACLIKLVKDEGGVGDHDKARDLVRMQNKLGETALHEAVRFGDVKMVEALMNADKGLASVDAKDGTSPMYLASTLGHKEMATNLYEYGDEPSYSGPRGQNALHAAVLHDKRGITESLLNWKNDLVKQRDTDGSTPLHFAASAKDPFLQFTVSVFSMNFSISYAGIFYFFPSRWILTKVFKWLGRHAIQLMAADSTWAFQPDSHGSFPVHIAASGDCMVFLFVLLMRHPACARLRNAEGKTFLHVAVGKRRFIIVQFLCLLWGGKPLFKSVVNLQDNNGDTALHLAVRKGDMDLCRLLIGSKDAHINLENREGKTPLDLAMEAVKSGFYFGVTAPRRILSILTFAKAQTGNRRRDLVPKYSSRLEEDKESEKIKDFAQIVGIGSVLVATATFTAALTMPGGVWTPGDSKSNKLAVAAAPPPAGTPVLAGSFAFDGFVISNTVAFICSTLATFSLVYCGVAAVDIRQRLGLVTISLALLLCSARSFCAALAFALYMLLAKVAYGTAMASIVMTSLALLDGVLFMLASLNDLTAIIRRRKGILITYAPAFLFFNILYPFWPYLVIGGYLWYDASSRT >Et_8B_060496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18287608:18291235:1 gene:Et_8B_060496 transcript:Et_8B_060496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEAGASTVPETKLSGCFSIISTGGTASSLEAAGVNVTKVEEITHFPEMLDGRVKTLHPSIHGGILARRDQEHHLKALNEHGIGTFDVVVVNLYPFYDKVTSGAISFEDGIENIDIGGPTLIRAAAKNHKDVLVVVDHNDYPALLEYLEGKQDDQQFRRTLAWKAFQHVASYDSAVSEWLWKQSNKGDTFPPSFTVPLSLKSTLRYGENPHQKAAFYGDKSLSLVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFDSPTCVVVKHTNPCGVASRQDILEAYRLAVKADPVSAFGGIVAFNTTIDEDLAKEIREFRSPTDGQTRMFYEIVVAPGYTEKGLEVLKGKSKTLRILEAKRSGKGMLSLRQVNGGWLAQESDDLTPEDITFTKMSDRAPEDSELSDAKFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRRESLRIAFQKAGDEAKGAALASDAFFPFAWNDAVEEACQGGIAVIAEPGGSIRDNDAVECCNKYGVSLLFTSVRHFRH >Et_1A_005263.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:36322026:36322436:1 gene:Et_1A_005263 transcript:Et_1A_005263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLALHAITAALPAAASGHFGGAVAAVAAFLAVCTLALALCASHSWPGSSSSSGPGRLRRALASVSRRRTEPVIAAVHQVQPGNGEASPCVWQKGILMGGKCQLPDFSGVINYDPAGNLVAPPRPGRAVPALGW >Et_2B_021810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5679635:5683466:-1 gene:Et_2B_021810 transcript:Et_2B_021810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRFGSFKSEKGDSAAAAAGGAAQRRDPYEVLGVGRNATEQEIKSAFRRMALKYHPDKNGDDPVASDMFQEVTFSYNILSDPNKRRQYDTSGFEAIESDSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVVVSQLQLGNAVHRKVEKQSAHFYSVDITEKQAKMGLVCRVHSNEKSKFKLLYFELEENGGLSLALQEDGVKTGKVTSAGMYFLGFPVYRFEQSSSAGSAKDSDGAFFKKLDSFQPCDIHELKPGTHFFAVYGDNFFKSASYTIEVVCGESFPAEKEKLRSVEAKILTKRAELSKFESEYREVLAKFNEMTSRYAQEMQTIDALLKERNEVQASYTISAPPLKRSSSRNKMKSPSKVPKGDAEKHHQKEKRVKDHCMEGYGSEDDNYSEKKTKGRFPRSRWLNIPFKIDRRKPC >Et_8A_056772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16545208:16560393:-1 gene:Et_8A_056772 transcript:Et_8A_056772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLWNAPRLKEWLMLSVTQSGPWKYRRCEPPLCRVEGVLVCLRYDMNAPSNYIFFMRCHLVGKKHNIKMTSVAVIAKADGVGGQGVSVAASLGQVEGNVPKTGKANGEADKEPPVTMKAKNAAATASEVLMEVDARGEHSTSLMAISKASFLAVLCFAFLCSAVLASRELSDDSAMAARHEQWMEQFGRVYKDAAEKARRFEVFKANVKFIDSFNAEKHKFWLGVNQLADLTNDEFKAIKTNKGFKPGSVKVLPGFRTKGAVTSIKDQGQCGCCWAFSSVAATEGIVKIDTGKLFSLSLSYTTADGKCKRVKTVASMKGYEDVPANNEAALMKAVAYQPVSVAVDGGDKTFQFYSGGVMTGSCGTDFDHGIAAIGYGQTRDGTKYWLMKNSWGTTWGENGFLRMEKDISDKRGILVSTMAVSKASLLIVLGFAFLCSAVLAARELSDDSAMAARHEQWMAQYGRVYKDATEKAQRFEVFKANVKFIDSFNAEKRKFWLGVNQFADLTNEEFKATKTNKGFKPSTVKVPTGFRYENVSIDELPASVDWRTKGAVTPIKDQGQCGCCWAFSAVAATEGIVKISTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESSYPYAAADDKCKSGSSSAASIKGYEDVPANNEAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGQTSDGTKYWIMKNSWGTTWGENGFLRMEKDISDKKGMCGLAMEPSYPTNAILAARELSDDSAMVARHEQWIVQYGRVYKDAAEKARRFEVFKNNVKFIESFNAQNNKFWLGVNRFADITNDEFRATKTNKGFKPSTVKVPTGFRYENVSIDELPASVDWRTKGAVTPIKDQGQCGCCWAFSAVAAMEGIVKLSTGKLVSLSEQELVDCDVHGEDQGCGGGLMDDAFKFIIKNGGLTTESSYPYTATDGKCKSGSNSAATIKGFEDVPANDEAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGQTSDGTKYWLMKNSWGTTWGENGFLRMEKDISDKKGMCGLAMDPSYPTE >Et_2B_020838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24066224:24074800:-1 gene:Et_2B_020838 transcript:Et_2B_020838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSFAALRRLPLFSLAVVVGVLTRNASALTRHDFPEGFVFGAGSSAFQVEGAAAEDGRKPCVWDIFTHAGYSLDGATADVSADQYHHYKEDVKLMHEMGLDAYRFSIAWTRFIPDGRGDINPKGLEYYNNLINELILRGIQPHATIYHCDLPQVLQDEYGGLLSPRFVEDYTAFAEACFKNFGDRVKHWVTVNEPNIETIGGYDNGAQPPRRCSLPFGKNCTGGNSSTEPYIAAHHLLLAHASAVSLYRDKYQANQGGQIGITLLGWWHEPATNSSQDAAAATRMNDFHIGWFMHPLVYGDYPPVMRSRVGDRLPRLSTEESAKVRGSFDFVGFNHYIIMRIRSAEKDSGWKPRDYYVDAAGLFKSHPWALGKLLNHLKLEYKNPPVFIHENGAGGAPNPPGAIVYDDEFRSQFLQEYLQVLHMSIRHGSDVRGYFVWSFLDVFEFLFGYRRRFGLCGVDMNAAGNTRCLRSSARWYAGFLQGGELRPPASRRPDDMSYAADDTRSRAAALLLLLAVVVPAALAAPVTRRDFPPGFVFGVGSSAYQVEGAVAEDGRKPSIWDTFTHEGYSIDQATGDVTADQYHKYKEDVKLLHEMGVDAYRFSIAWPRLIPDGRGAVNPKGLEYYNNLIDELLSYGIQPHVTIYHFDFPQALQDEYNGLLSPRFIEDFTAYADVCFKNFGDRVKFWSTVNEPNIEPIGGYDQGILPPRRCSFPFGFACDGGNSTTEPYIVAHHLLLGHAAVVSLYRGKYQAEQGGRIGLTLLGWWYEPGTQDPEDIAAAARMNDFHIGWFMHPMVYGDYPPVMRKNVGSRLPSFSDDERKRVKGSFDFVGFNHYIAVYVKADLSKLDQKLRDYMADAAVAYDMPYLKSSNQFAFGLTNDAMSSTPWALKKMLKHLQVKYKNPAVMIHENGAAGQPDPSGGNTDDDEFRSEYLQDYIEATLQSIRNGSNVQGYFVWSFLDVFEYLFGYRLRFGVYGVDFNSMARTRYQRHSAQWYSSFLRGGELRPVALPDRAYSQ >Et_4B_039632.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24459700:24460197:1 gene:Et_4B_039632 transcript:Et_4B_039632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACNSCEATAVAAAPCHTSAEARVVLADGALRRFPGGTRASQAVKASAGAGAWFLCCADGLELGGAVAGVGADEELQPGQLYFVLPAAMRRRPLQAEEMAALAVRASAALVGDHDGPLVFPEAAAGGGSAASVTVAGSGKGCRRSRRGSSRGRDFVPDLGAIAE >Et_3B_031628.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6332043:6332381:1 gene:Et_3B_031628 transcript:Et_3B_031628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAADGASSLSSSSHTPTAVVNQTAPATTTTANTSGMMTSGGVRPNVPAGRQTTSHGGPSSGRPTLVGSPPSEHARDSGVPGPTAQTNASSGANAATATAVVATAVHVAA >Et_1B_011019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16684579:16685367:-1 gene:Et_1B_011019 transcript:Et_1B_011019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTIAARKQAPATGGVKKPRRYRPGTVALRCPEAC >Et_3B_027505.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23196318:23197202:1 gene:Et_3B_027505 transcript:Et_3B_027505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSTTSSMMLITILVVVFCVSAFVADGRHIEANNKGGGDLVAQVCLNASPHNSRAFHYISQELCESALRSDKRSATATHPRDLALVAMDLVQQGVADTDAKLGGVMKRHPRGDLHTQLEYCSVNYFVLARVVQMCHTMVQEYKPSLRQPDNDDSRLEAAQSYFECADKLRDAALDCRTNYLYNDVLGKQLLEVLFRTSLAKAMIEQMLGVLDSVE >Et_6B_048368.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:16667816:16668031:1 gene:Et_6B_048368 transcript:Et_6B_048368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PPRTCNPTQLTPCAGPALFGGPVPGACCAALRGQQACLCAYARSPNYGSYVRSPNAARLFAVCRMPMPRCR >Et_7A_052177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5319136:5321949:1 gene:Et_7A_052177 transcript:Et_7A_052177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWADAALVLASPSTAAACSSSSSCGIRPRAAAESSRLFCKGFPYSIRSKAGFQINNYRTRTFKVKARKEAGDGYTRLAPLHFETPSGQLLLQILQSHPHLVPATVDQQLENLQSEKDAQIEEASKVPQDLLYKRIAEVKEKERQNALEEIIYCCIISKFMENDISMTPALAPGGGPVQDISLLPNQEDKLQSIHSPDAFEMIQNHLNLIMGEKMAVPRDTIVEISNLNLGKLYAASIMYGYFLKRVDERFQLEKTMKTLPPNPKQQIVFENLQPNPFWDMESLVQITPDGEEISLDDEESNPNKLRSYVSRLDADTLQRYATIRSKEAVSLIEKQTQALFGRPDIKVLDDGTVNAKDGKMITVTFTELTHLVLEAAAFGSFLWEAESYVESKYHFVNS >Et_3B_027460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19393024:19393440:1 gene:Et_3B_027460 transcript:Et_3B_027460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPNCHVRANVSVAKTEQNYGRIFHKCPRFSASGCQYYQWDDEIDAAIVPSAQPVLMQVQAPPQAPAMIQVPAEAPPQAPAMIQVPAPPQPQGGRVQDAPGNLQVLMVK >Et_4B_039553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21808777:21809959:-1 gene:Et_4B_039553 transcript:Et_4B_039553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDVARRNNYESDYYAKEFGIKVTNQLAMVDARVLPTPRVARRNNYESDYYAKEFGIKVTKLSES >Et_5A_040699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11266121:11269075:1 gene:Et_5A_040699 transcript:Et_5A_040699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGERQGHGASAGNGDLSTGDEHGDESYTVGIILIALQRASTCSWTGVVSSAQHVRSPLNRRWATEHAAPVGPPPDVARRWSWLEASKRPPIGLPDDLLLDVIGRLGCAREAARTSVLSHRWRDLWEELRELTFDAVGPDAIEIALTRVRTKLKHLKMFVPDVFNPPGTWITSLLRAADRLAPEELVVRLDGSFLPNFFELPCFGRATSIELSASCLILTATLPPAGEFGSLEQLKLFFDFFVDLSALFPRCPRLRKLDLYIQMLNGDMITVESESLVELVMGFHQTKDRIGVVIVAPELKKFSFLGCVYGGFTVSLCAPKMECLLQYPITSFEVGYDDKWFLRSLRMETQCGDQNGSHPSVRVLSLTIIPNYVLSHIAANRTFAQEVRLLGGNYFSVLELSVQTQGHVFGPLALHLLQIQTSIQRLKLVLTESKTSITSSKKCAI >Et_2A_014716.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:9309193:9309833:-1 gene:Et_2A_014716 transcript:Et_2A_014716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIICISIAILGMSLAFTLFLLLFYRIKMKSFGSILSNTQGDGGIITFSYSDLRRATKNFSEILGVGGFGSVFMGFLHQSSTTIAVKRLDGACQGEKQFRAEVSSIGIIQHINLVKLIGFCCEGSKRLLVYEHMPNGSLDAHLFHGKATELKWSARYQISLGVAKGLAYLHHSCQECIIHCDIKPQNILLDASFVPKVADFGMAKFLG >Et_8B_059901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3986299:3989180:1 gene:Et_8B_059901 transcript:Et_8B_059901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVASKLAFFPPNPPSYGVVDEEEEESPPEGAAAAAAAEDDRGKKATMMTTRRVAITGVPWRPGVEARRVPTRRGTEIIVVYVRCPEASLTVLFSHGNAADIGTMYGMFVQLSAALRVNLMGYDYSGYGQSSGKPSEGNTFADIEAAYKCLVEVYGTREEDIVLYGQSVGSGPTLDLAARLHRIRAVILHSAILSGLRVMYSVKKTYWFDIYKNIDKIPLVKCPVLVIHGTNDDIVDCSHGKRLWELSQQKYEPLWIEGGDHSNLEYFPVFVRHLKKFLSAIKKLPAENGAAADTQKSPAASKTPPDNVALSEAPQIISQRIGTLKKGTEHLDKHRRSTGCREKARTSTDKRERSRRSVDWIDRIKEEDEQLEKPRKSFDRIGEKIKSIGLCNADCFKEPAPSTEP >Et_6A_046366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13743417:13744879:-1 gene:Et_6A_046366 transcript:Et_6A_046366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TQQTKAVLICEKKIVLSTAAYAMAPAEIKIGQVDDVQELQRTCLGTVPEWYVRDGDERPGGAKVCEHARIPVGNHGIPDELLDEMQEVAREFFMLPLEEKQKYPMEPGGIQGYGHAFVFSEDQKLDWCNMLALAISPAVLRRPQLWPASPAGFKETLERYSGEVRGLCRRLVAHIAETLALAPATLFDDMFGGAVQAVRMNYYPACPRPELVLGLSAHSDGSAVTVLQQDVSSAGLQVLKDGAWVPVRPVPRALVVNLGDTLEAISTN >Et_6B_049825.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1486659:1487984:-1 gene:Et_6B_049825 transcript:Et_6B_049825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAMNKKRQQTLNNIKLTLLCGFITVLVLRGTVGFNLLVNSGVSDGEAADAKVAEDIERILREIRSDSELDDDVVVAVASSNSTANSNNATATTPPPPPPRERYQLGPAITDWDATRQQWMSRNPEFPSRDSQGKPKILLVTGSPPGPCDNPAGDHYLLKAIKNKIDYCRLHGVEIVYNTAHLDPELTGYWSKIPLARRLMLAHPEVEWIWWVDSDAIFTDMAFELPLSRYDGKNLVVHGYPDLLEKRSWISLNAGIFLLRNCQWSLDLLDAWVQMGPKGPVRVEAGKLLTANLTGRPPFDADDQSSLIHMLLTEREKWMDKVHIETEFYLHGFWTGLVDKYEEMMEKHHPGLGDHRWPFITHFVGCKTCGRYEDYPLDRCLRSMERAINFADNQVLRLYGFQHRSLESPKVRRVANRPDNPLEAKEAALKLDARFDSL >Et_1A_005931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15707687:15710017:-1 gene:Et_1A_005931 transcript:Et_1A_005931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELREMDSTLPPGFRFYPSDEELVSFYLRKKVANERVASGTLVEVDLHAREPWELPDVAKLTAEEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDRMVHEPGTRTLVGLRKTLVFYLGRAPNGQKTSWVMHEFRLETPNSPPKEDWVLCRVFSKGKSSETEQSNSNLHHNHAATLLCSPSDTTTTAAGKLYDQRQEAAAVSHCGSSGTPLMDLPMLNQGSFLDYYCSLVVDNDVAEGPRSHGNNAVMAMATGQAGFEPGMGEIETEYDSQVLLLGSCGYRDGLYF >Et_2B_019738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13339451:13340850:1 gene:Et_2B_019738 transcript:Et_2B_019738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAALFDPLYCPEEHLDLYQEELSGEEYWPPEQLVPPAAAAAVLDDELPALFEALRAKEEGAVVTAPAPETEDGYGGAAGREAAVGWACRAAARLGFSALTAALAAAYMDRCFLLGGGALRLVGEPWMARLAGVACVALAAKVEETRVPVLLDLQLCAAGGDADGPCVFEAKTVRRMELLVLSALGWRMHPVTPFSYLEPILAARRHHCEGVLLAVVADWRWPRHRPSAWAAAALLATAGSGDDDSELLALINAPEDEVAECAKIISEATGMCFLAGNITGAGNNKRKHAAVARMCSPPLSPSGVIGALSGFSCDSSSSSVDSRAPPAVGVSGAGATAWPASAPVSVSSSPEPPPAAKRAAVAPLLPPDEESRDAWPPSTCAA >Et_3B_030611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5420620:5429675:1 gene:Et_3B_030611 transcript:Et_3B_030611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGTDLFSCPSKLPCNSTIEIRPPFFVDTPGLDPACRKSINVSCGDFGPELNLVTHSKLRLRDIFYKNLTVVVQDVELSVLELETLPCDLGFSFTPPVSSFQSRYSDLERWLSSISCLDVNLPTFRGMFGDDHGVLQSVEPEQQTPASCHAFPLFEWILKFDEIVGGGTQIPQVNFSVLSARIAKNHVSSTDCASPSTGGGDHGNKPDIRLLMAVFISVASGLLLACLFVVLKLIWRKPFTLRRNNRETKENIEQILSSYEIRPKRYRYTELKMITKSFSEKLGEGGYGMVFKGALRDGRLVAVKLLHNLRGDGEEFVNEVASIVNTSHVNIVCLLGFCLEGSKRGLVYEYMSNGSLERYIYSENPKSALGWEQLYEIAIGIARGLEYLHKGCNRRIIHFDIKPHNILLDENYSPKIADFGLAKLCNPKDSIVSMAGARGTIGFIAPEVFSRSFGVVSTKSDMYSFGMMLLEMVGGRRNVQTNAENYSQVFFPQWLHDHLSHGGTLENFEVTSTTEEIARKMAMIGLWCIQMMPEARPSITKVIEKLERSATELEIPPMQFFPCPSEPSIHSINTTSGDFQDSPLSCQQFLDTLALDTWIRNPFSIFGTTVAADAWLASDTSAFANVYIESRDTAAAYVFSAALPPGVKKEEVTVEVDEGNVLVIAGERSVRREERSDKWHHIERSCATFLGRFHLPEDAAVDGVRAAMDGGVLTVTVPKVGAAAEKTPEAKAIDASPC >Et_4A_034552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4208655:4212040:-1 gene:Et_4A_034552 transcript:Et_4A_034552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTASASPAMQEAGSKAPTPAAGTSPSRYMPSPLVLFSACLVLIGSGGPLLLRVYFVHGGQRLWLSAALQISGWPLLLAPIGASLFRGRRHGVLNLLLPARLAGAGAALGALYAASCFVYALGSQALPLSTSSLLQATQLAFTAVFAFLFVGLRFTPFSANAVFLLTIGPAVLGIGPGSGKPSGEASRTYWTGFCESIAAAALAGLVLPLVEVAMARVPPPYATVMQMQAVMGAAGTVVCLLGMAVKGDFQAAHKEAAAFGLGKTNYYLVLVGDAVAWQLLNLGIMGLIACASSLLAGIMIAVLLPLSEVLAVIFLHEKFDGPKGIALVLSLWGFTSYLYGERAQKKLEAQKNEQQVAKKTGDLEVELHMVDPTTQLVDLATEPENMVIQIGIDWVDLERNLAGA >Et_3B_029923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29089971:29094615:1 gene:Et_3B_029923 transcript:Et_3B_029923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPGLAKEFQNLDWPGLLSVVAIDFHSPANSAKGTMSTSQFQIHFWLILVFCNFRILESLPLDRDALLDIKAHLKDPQNYLSNWDESHSPCQFYGVVCDPNSGDIIGISLSNISLSGTISSSFSLLNQLHTLELGANSITGIVPAALTNCTNLQVLNLSMNSLTGQLPDLSALVNLEVLDLSTNNFNGAFPVWVSKLSGLTQLGLGENNFDEGVIPERIGDLKKLTWLFLGQCNLRGDIPASVFDLLSLGTLDFSRNQITGVFPKAISKLKNLWKIELYQNNLTGEIPREVATLTLLSEFDVSRNQLTGMLPKEIAGLKKLKIFHIYHNNFSGELPQGLGDLRYLESFSTYENQFSGRFPSNLGRFSPLNTIDISENYFSGEFPRFLCQNNKLQFLLALNNNFSGEFPGSYSSCKTLQRFRISQNQFNGSIPSGMWGLPNAVIIDVADNGFTGGISSAIGFSTTLNQLYVQNNNFFGELPEELGRLSKLQKLIAFNNRFSGQIPKQIGNLKQLTYLHLEHNALEGQIPSHIGMCNNLVDLNLAENSLTGDIPETLASLFTLNSLNLSHNMISGEIPEILQSLKLSYIDFSHNELSGPVPPQLLMIAGDDAFAENAGLCVADISEGWRQSVTSLRPCQWTDRRHISIRRLFLLLGILMSLVVLLSGLACLSYENYKLDEFNRNKDIESGYGTDSKWVLQSFHPPELDPDEVCNLDGENLIGCGGTGKVYRVELSKGRGTVAVKELWKRDDEKVLKAEINTLGKIRHRNILKLNAFLTGGATNFLVYEYVVNGNLYDAIRREYKAGQPELAWDKRYRIAVGAAKGIMYLHHDCSPAIIHRDIKSTNILLDEEYEAKLADFGIAKLVEGSPLSCFAGTHGYMAPDACALRVLKIESHIISNIAELAYSLKATEKSDVYSFGVVLLELLTGRSPTDQQFDGEVDIVSWVSFHLADQNPAAVIDSKVSHSVSDGMIKTLNIAILCTAQLPSERPTMREVVKMLTDIYPNSPTGRAKNKNDKK >Et_4B_036985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13041136:13068398:-1 gene:Et_4B_036985 transcript:Et_4B_036985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQKICGRCGASLSARSVRCPLCHAVTHPVGFFKGLISSIVPQRLPSSPSLRPSGSPASFPRVGGRRKRALLVGISYAGTKYELKGAVNDVNCMNYLLRHRFSFPSDCILVMTQHDKDPDKVPTKDNFRRAMRWLVDGASPGDSLAFYFSGHGVQKMDDNGDEVDGYDEALCPLDFEDNGVILDDEVNATIVRPLGPGVTLHAVVDTCHSGTILDLPFLCHMSRTGRWEWASNSRPSTEPNKSTSGGLAISISGCADSQKSQDTKEGFASTGAMTYSFIKAVETEPGITYGRLLKAMRATIRESGAEFGIPGPIGTFFQRVITFSCTQEPQLCASEPIYVNASFLLDVSTTHMLARPRSTNILSDICKMKFTYGMAPRTMCGRCGAYVSAPGAGARSVRCPLCHAVTRVERRRPHGLHHAAVGFIKGLISSIVSPASATQPPRHSSSSSSSSLRGLPASYPRVRGRKKRALLVGISYAGTKYELKGAVNDVNCMAYLLRERFGFPSDCILVMTQDDKDPDRVPTRDNLRQAMRWLVDGAVSGDSLVFHFSGHGVQKLDNNGDEVDGYDEALCPLDFEDRGVILDDEVNATIVRPLGPGVTLHAVVDTCHSGTVLDLPYLCRISRTGYWQWENHSRPSGEPKGTNGGLAISISGCSDSQTSQDTTAYSGSASTGAMTYSFIKAVESEPGTTYGRLLTAMRATIRDNGGEFGIPGPIGTFFRRVITFSCAQEPQLCASEPFDIYRKPFAFLAAAPGSGAIIVRCAFCHRPAPARRSQQRHRGEAPVAAAGLHTARDVAEKPTSPPRPARISTRLEMLPASYPAVSGSGAKKRALLVGVSYTGTAYELKGTVNDVREMRRLLRDSFGFPDACILELTEKEEGGDPWRVPTRENLLLAMRWLVAGCAPGDSLVFHFSGHGVQKLDFNDDEVDGYNEALCPVDFERNGKILDDEINETIVRPLGKGVKLHAIVDTCHSGTILDLPYLCRMSRTGYWQWENHCHPSRTPKRPSGGLAISISGCSDDQKSADSSGFSESEPVGAMTNSFIKAVEAEPGTTYGRLLSAMRATIRDGHGNPRLPGRLGSFVRRMIVSSGVQEPQLCSSEVFDIYRKPSSTVRCKYCSTSLVVTPGERAIQCTQCSGVTRIRRFHRPHLPAMPMPAPPPMCFPPTRGKKRAVLIGITYAGMRRGCGQLSGPINDVKCMRQLLCQRYGFPGECIIMLTDDQRDPFRLPTKDNIRMAMHWLVQGCSYGDSLVFHFSGMGAQVCDDDGDEVDGYDEAICPVDSFQKGPILDDEINEAIVRPLVPGVRLHAIVDACHSATCTQCNGVTRVRRSPSRIFRPPAAGFPCARGNKRAVLIGITYAGSRRGCGGQLSGPINDVKCMRQVLCQKFGFPSECIITLSDDQKDPFRLPTKDNIRMAMHWLVQNNCYGDSLVFHFSGMGAQVADCDGDEADGYDEAICPMDSFQRGPILDDEINEAIVRPLVPGAKLHAVVDACHSAGVLDLPFQCRVSRTGNWQWQDQRGPNRACKGTSGGQAVLFSGFSDGKTKFSVMPDAYASVGAMTHSFIKAVECEPRGVTYGRLLTTMKTIMVNGVGSCNMPCGGAPITKIANFSGVQEPQLSSSEMFDIHRRPFCL >Et_4B_039903.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:5666184:5667173:-1 gene:Et_4B_039903 transcript:Et_4B_039903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRQRRQLPHLTLPLDHFPLRLAPQQPAAAPSASDARLSDYERLSVLGHGNGGTVYKARHRRSAAQPPLALKIFAAAGDPSAAREAEILMLAADAPHVVRLHAVIPSGEAPPAALALELMPGGSLSGLLRRLGRPMGERPIAAVARQALLGLAALHALRVVHRDLKPSNLLLGAAGEVKIADFGAGKVLRRRLDPCASYVGTAAYMSPERFDPEAYSGDYDPYAADVWSLGVAVLELYLGHFPLLPEGQRPDWAALMCAICFGEAPEPPAVASEEFRDFVARCLEKKAGRRASVAELLEHPFVAERDAADAQQALAALVAEAEQGDL >Et_9B_065201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2292660:2296333:-1 gene:Et_9B_065201 transcript:Et_9B_065201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAADSAPPSGSSQQEMASSRNKRKYRADPTSGELGPFGLEYPMTADYVGLEFMAAEKAAMAAAAAAAAGEGVSSLDILQNMCDTCSGGFHGTTEEFLESQRYINWSDPNEVQLEEILLKSLDATFDNAVSMITAMGYSEAAARAAVVRAARQYSWKESLAGFGEAAVDVLKTEGDMLPREGASVEDMRKIERAVLRSLVAVVSEAQPFYTKADVMFCLLMSDMNVASACTMDYTHATLPAVGTQALAQSGNYEPGVSSDVSVSITNPQTGVIFRGKLTPMGPNSFNPLGRADPSTTPACPNVSRSKPSVSGNMQCVISNMKPKEHTVTTPDHSEGQPFVAAATHSSKDDKPFSSKRGTSKRDSSHRQKLISFDKSSRVMGSKGSLRSGKHGSLGSAALDRKCRQLQDSSTNGLKGSSKVGKGFSAVMTGSELSVDLSFSAAVSSTPSFDTNKATSSNSVPAASTDLSLSLSPSSDGSVPSSNQNSNIEAKDSSGKINFSYDENQKAWIPQDRKDEMVLMLVQRQKEMQAHMQDWTDWAQEKVMQVTRRLAKEREELQSLRKEKEDAGRLQDERHTMEESTRKKLLEMESAISRANAQLEKADASARRRDAENAQLRIQLGAAKLHAAESATKFEELSRKDEKALKRSQHWESERAVLQDELVAEKNKLSRVLQQLQNAKEKKDQLQARSKQEETAKIEAIACVTSERKERDQIEMSLRSEENLLHLKAENDMQRYKSEVRALEQQISQLKVSLDSANVSAPKWGTDNKSYALRLSEGRKSSNAPILVQQDFNFDDIQRDRECVMCLSEEMSVVFLPCAHQVVCGKCNDLHEKQGMKDCPSCRTPIQRRVCARSADS >Et_9A_062154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20071260:20081652:-1 gene:Et_9A_062154 transcript:Et_9A_062154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLVPLLLLICSLSLLTAPTHAEDDIYFECVSNTNYTRGGAFQANLVALLSSLPAAAAASSGFAKNATGAAAPDKAYGLAQCRRDVSAPVCSNCVEKLAQKLRAGCLGLKSGIIFSETCMLRHSNVSFLGEADPSYLIYYNAAENATKPEFTTRLGALMNDLTRKAAAYGNPRMFAARVTGVAPLVKIYGMAQCTGDLGSDDCYSCLDRAVAQIPTYCGTPNKQGCQVVFWSCFIRYESSLFYNVHAAEVAMSPALPPVPALGAGPPNNSEHSGQGSTDAATGVGSNRTVRTALLVSVPVAVTLLVLLFVAVYVCKKNRKLHKNVQIACNSHVDEQMGSLDSLRYDLNTLRAATDNFSEQNKLGKGGFGPVYKGSDQGTLQNGQNIAVKRLSTTSQQGQAEMKNEVVLVAKLQHKNLVRLLGYCNEQQEMLLVYEFLSNKSLDKLLYGYLHFVNYKFIVPQELSWSQRYKIIEGISRGLMYLHEDSRLKIIHRDLKPANILQDADMNPKISDFGLAKLFNIDSSVKNTSHKSGTYGYMAPEYIMKGIVSAKSDVFSYGVLVLEIITRQRPDEDLIKFVWRNWSQGDVPQLVDGCPVDEHGKQEMLRCIHIGLLCIQDDTQLRPRMASVVHMLKSRPETLASPTEPLFEVPGERPRVVALEPSINEASISHLEPHMSSLLTLLLLCSLSLAAAPANAEPRFTDCPSNTNYTSGSAFQANVDALLSSLAAAAAASSGFAKNVTGAAPNQAYGLAQCRADVDTHRNDCPACRACLDAAVQDMASLCTAGQKSAMLGYNDCLLRHSNASFFGALDTSVVKYWWNPKTQDATQPAQFNSTLGTLMRNLTATAAYASPRMFAAGSVVLTPFVNIYGMAQCTRDLAPDDCNSCLVTAVTAIPTCCNGKQGGRVIYRTCSIRFEVYPFYNARAAAEAAMSPSPAPVSGPINGGDHSVPGSAGESKSPPGNTVRTALLVAIPVAVTLLVMMVVALCLCNRNRKKHKHVHIASVRHGEDEEMRTSEFLLYDLSTLRDATDNFSEENKLGEGGFGPVYKVISIIKPGNQKILIFRISARPSSDLNFLQGVLQDGQEIAVKRLSTTSQQGQMEMKNEVVLVAKLQHKNLVRVLGCCIQEHERLLVYEFLMNNTLDKILFNPARLQELSWEHRHKIIEGIGRGLLYLQEDSRLTIIHRDLKASNILLDKEMNPKISDFGLAKLFDVDSSVGNTSRIAGT >Et_3B_027957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28232445:28234064:1 gene:Et_3B_027957 transcript:Et_3B_027957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNILIGGYIKNGDLGTARKLFDEMPRRGAGLEANAVTFVSAITSCSDLAALAQGQQVHAQAIKAGFDNVVPVMTSLVHMYSRCGCLADSERVCFGFTGSDLVLCSAMISAYGFHGHGQKAVELFKQMINGGVEFNEVTFLTLLYACSHSGLTDEGMDCFELMTKTYGLQPSIAHYTCIVDLLGRSGRLNEAEALILSMPVRPDAVIWKTLLSACKTQKKFDMAERIARRVIELDPHDSAPYVLLSNIRATGSRWEDVSEVRETMRKQNVRKEPGVSWVEFKGQVHQFCTGDKSHSRQREIDECLDEIMSKIRQCGYAPDMSMVFHDMEEEEKEASLVHHRVPIRVMKNLRICDDCHVAIKLMSKVIGREIVVRDVSRFHHFRDGKCSCGDYW >Et_10A_001916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11023592:11025640:1 gene:Et_10A_001916 transcript:Et_10A_001916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVHKARSSCTFESDMWSLGAIMYEVITGSPLIKGCDPANMTTCMRSLFGILSNPAHTLSNELICDQ >Et_2B_021681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4447329:4450621:1 gene:Et_2B_021681 transcript:Et_2B_021681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSASAAAQSAAALLAVTLVAAALRGATAKTTIEPCSGADACTALLGYTLYADMKVSEVAALFGADPAAVLAANALDFASPGAANRILPAGLPLRVPTRCACADGVRKSVSVRYAARPADTLASVADVIFAGLASTDQIRTANGLAAEDTDAPLGTGQTLVIPLPCVCFNSTDNNLPAIYLSYVVRVGDTVQSIAASHATTVTDISNAILWTSTHMPRTTSISPSHSAAYCSKPWVIFSITCARTCRGRWCYSWLIFARRVECISCKCPCRKRLTGIFDESTILYPPLHSFPSVVVACR >Et_5B_043279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21605750:21606894:-1 gene:Et_5B_043279 transcript:Et_5B_043279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLLLFASLTALLLLAPRMSPPPHAVASAGVGSGGVWGAGVGDEPNDLALFRRATLDAGAGAAAEAPPKVAFLFLTNSDLAFAPLWERFFAGHEGRFTVYVHADPAARLRQPPTPSFRGRAARRPRQRLLRAALAELRPAPLLPAPLRRALPSRRRAPQPPHPPAKLHRGAHRRAADAVALRGARGGRHAARGALRAVPHRVPVLHAGATPRRAHRPGAPALAQVPAALPPRDAGLVVLPGGALLPDAARHGRPRRRRAVHAHARQLDRQRRRAPAHVHRAGGDAGAHRRAARVQQHTPVHVRAQVRARMPRPAPGHRGLCHPQGLTEAPVHSCTMIQVII >Et_1A_009088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28873848:28874712:1 gene:Et_1A_009088 transcript:Et_1A_009088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNGEIQLLGQWASPFVTRVKLALGLKGLSYTYVEEDFRNKSDLLLRSNPVHKAVPVLIHNVKPICESQIILHYIDEVFADTGPSLLPADPYDCAVARFWVAYIDDKLGRPWDRLFRAKTDEERAEAMRQTFAATEALEGGLKECSKGRNFFINPHSATPQTGAPWPSSLPEKENPAFTSFQQPEEDQGRREKRWGREQASGERSMEQAQFLVWFRREKRTAKYFDAHHFISIPRNRGCFDAGSQQNGN >Et_1A_006110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1794621:1796765:1 gene:Et_1A_006110 transcript:Et_1A_006110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSPVDPPRIFWKSKRRSANGRSLQQEPDKEGTEEATEQAQGESMKIDDTTATIATADDAQPDPKANLSDKRKALFEPLEPINGKRSSAEMLLPPPDFEPASYPKGWLVGKKRKLVNVDVVESMRRIAIQEMNRKDREITGLNEQLEEDSRVLELLQKQLADERKKRTEIEKENSMLHEQVSMLMNMLDENEGFDDEGEAPPPDSFD >Et_4A_034875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7380402:7383806:-1 gene:Et_4A_034875 transcript:Et_4A_034875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSQANGDPHTPPVAKAAEAVEEGDTGEAPDTQANCNHQVPPPAEAVEAVEEGDAGETMEGVASIALLPSGAISGHFISLPDSICYGLHGTPISCERECSRGEDCRLIKLTIIDFKSKREKVIVVECRGHDAARLQNVDHLHGWEEDIVGLVEKKHGSQKVLLSFECETLKADKDAEGHITKYMPNLCGLDAVVNVGKMTITGINLDEDDEPRGEN >Et_2B_021816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5728046:5733805:-1 gene:Et_2B_021816 transcript:Et_2B_021816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENKHATAAAAALSRPCVLIIVVASVERFAYKGVSSNLVTYLTGVVGMSTSAAAKSISAWSGVNFMLPLVSAVLADSYWDRYSTITGSSLLYVLGMVGLTSWALLRTWMPCSTLFLPLYLISIGQAGYNPSLQAFGADQLGIGDDENTGMDAEEKGKVKSKFFQWWYFGICSGSLLGNSILPYIQDNLGWGLGFAIPCAVMAVSVAAFFCCTPLYVQKQPKRIDTPSRISIVKVLKSILSSRKIRLPSRDDNDDTSMSELDVERFAYKGVASNLVTYLTGVVGMSTSAAAKSVSAWSGVTSMLPLVSAVLADSYWDRYSTVTASSVLYVLGLVGLTSWALLHTWMPHSTLFLTLYIISIGQAGYNPALQAFGADQLGIGDDDDAEPGTAAAEEKGKVKSKFFQWWYFGICSGSMLGNSIMSYIQDNLGWGLGFAIPCAVMAVSVAAFFCCTPLYVQKQPAVSVGRPSPISVFKVVKSVLANVGARKIKLPARDDNGNDDISELELQEKPLKAQSHDQKQSLDESAPSVAKIILGLLPIWTMLLMFAVIFQQPMTFFTKQGMLMDHTVGAFVVPPAMLQSSITVSIILLMPLYDRTIVPLINAVTRSRDGITVLQRIGVGMVLSVVAMAVAALVESRRLAGGDRRLSIFWLMPQYILLGVSDVFTVVGMQEFFYTQVPGAMRTIGIALYLSVFGVGSFLGAFLITALEMATAKDGNSRGWFSDDPREAHLDKYYWFLALLSSISFVVFVHLCKYYRATDASGK >Et_1A_006131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18218866:18219632:-1 gene:Et_1A_006131 transcript:Et_1A_006131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKMLHTIAARKQAPATGGVKKPRRYRPGTVALREIRKYQKSTDLLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAVHAKRVTIMSKDIQLARRIRGERT >Et_6A_047039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24554168:24558584:1 gene:Et_6A_047039 transcript:Et_6A_047039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEPGPVPTGGDRLSSLCDGVLGRILSFLPSDEAARAALLSRRWRHVFAAVDVISMKETAERPIPEWEDGDWSPSGYDRPDVDPFYVPSQPFVNRVNAAMLGRIRAPRAPIAPLRSLRVAFKEFEGTDARSATAVDGWLSYATIQAGDELHVDLRFDEEPFCESAYALRPPDDVDMMVDNHMEVLDHRDDGMEEEEEDTDDDDMEVAEEPATSEETEYVVPNFLFRCAALRTLRIGPCRLNPPASISLPSLDTLLLTDVSDQNAAIKRLVSGCPRLADLTLQACNNVTKLSVPRTTRLRRLALRCCHYLEVVAADLSELRAFEYRGGVPPPKFRRSNHSPSRITSCVLDFCGEEVSDSGNLVRLRNLFHLFPSATHLQLKSARLGAGVGHGVFSSAPAFPVLTSLRELELTGIVLDEDTTMIATVTRILERTPSLEILSLFFMPALVEFKNKTYNKEDIVNEHWLKYDRYATLVFPVGKKIRCLRRKTKEINLVHYQGALAQRTLANNIVDREVQLQLQDLCHIEILKKPPEG >Et_9B_066037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21112855:21114776:1 gene:Et_9B_066037 transcript:Et_9B_066037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGSESESLASRRSKKPKYSKFTQQELPACKPLLTPGIVIGAFSLIGIVFVPIGLASLSASQAVVEIIDRYDAECVSANDKVGFIQDTKTDKACTRTITVPKPMKGPVHVYYQLENFYQNHRRYVKSRSDKQLRYKDSANLIKNCEPEGTSVNGAPIVPCGLIAWSLFNDTYAFSVNKKAIEVNKKNIAWDSDKSSKFGSDVYPSNFQKGGLIGGGKLDEKMPLSEQEDLIVWMRTAALPTFRKLYGRIETDIRASDEITVVIQNNYNTYSFGGTKALVLSTTSWIGGRNNFIGVAYVAIGGICLFLALGFVVLFVVKPRTLGDPAYLSWNKEALDHPN >Et_4B_037422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19751140:19752188:1 gene:Et_4B_037422 transcript:Et_4B_037422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGKHSHESCRVSGAAAGGHAGLPADLSLVIVDRSHAATLLRCASLRRNILSPAFIHRVNREPGDIVPPSLLRFPGMNLMARNGTKPPPPFSLAHPATPAEASTFSRKHITTRKITISHQASPASLVSCAGRRATASFNCYGYVLLTASDGIVGSNLHMASSANGGLRLLVAEKLKISVLDAVWQRRVDAACCDRHGGNCMCAR >Et_1B_010533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11364165:11371247:1 gene:Et_1B_010533 transcript:Et_1B_010533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVVDADNAGGRALPGGASSAAWFCRQRRTAAMGGYELVRNDEAAGAGVPDLELGAAKAALSTTPAPTSARQRLVSLDVFRGITVLLMIIVDDAGAFLPALNHSPWDGLTIADFVMPFFLFIVGVSLTLAYKRVPDKLEATKKAVLRALKLFCLGLVLQGGFFHGVHSLTFGVDLTKIRLMGILQRIAIAYLLAAVCEIWLKGDDDVDYGIDLLRRYRYQLFVGLVLSAMYTVLLYGMFVPHWEYQISGPGSTVKSFSVKCGGRGDTGPACNAVGMVDRTILGIDHLYRRPVYARTKECSINYPENGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQFGHIIVHFEKHRGRIINWLTPSFSMLALAFVMDFVGLRMNKPLYTISYTLATAGAAGLLFTGIYVLVDVHGFRRPTIPIEWMGKHALMIYVLLACNILPMFIRGFYWRDPKNNLERNGTCTQR >Et_6B_049362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3955555:3956336:-1 gene:Et_6B_049362 transcript:Et_6B_049362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTRKAGVVHIQVALLDVDNIPDEAVVVDDSLYAIFFKVDYIMPTGGSASNEKKGEDHVMEEVDNLAHDTDNTVNPGQLNSTAPGPSGTNTTEEEVQIINKAIDIVVEELLVYCANKIIAEEADFSGEGMAAFAGVEHAPPPVSEVCSDLNGDGGGGHGAGGGRAELQPPPAVRMAPPLADHAIGLAVGIAVQAADVTEEGMAPLAVAETEVLISSLTVADTGVLAHVVASAGMGDEEVVAESD >Et_4A_035744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:515092:521757:1 gene:Et_4A_035744 transcript:Et_4A_035744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGHLRPGSYLGDVSALSFLPSSPRPLLLAGTGSELLVYEVGAARLVASFQVFDGVRVHGIEPRRGGPSPSNYSLAVFGERRVKLFSIEVDVNAEKGEVGAVRLELDQRLPGFDHWVLDARFLEVDGLLAIGLSDNSVALWDLSRRLLVTRVKSPEKCLLYSMRMWGDSVTKLLVASGTILNEILIWKLATQIQGSSSYEGESPGGNNYENVHINNKQYMALHLGRLKEHEGSIFRIAWSADGSKFMSVSDDRSARVWMLRVQSQGFVNQVARQVDVEIVPQFTLFGHSARIWDCCISESLVITAGEDCTCCIWGMDGKLIKMFKEHIGRGIWRCLYDPSSLILVTAGFDSAIKVQHLFNSSVDDTFEDNMVSDGLNCDAEVFAVSSPTVAGQHGPLDSKSEYVRCLHFAEENLMYVATNNGYLHHAVLSSVEDVRWTEIIQTIEKAPIICMDVMSMYSDLPLNREDIVALGDGQGNVTIVRLSNGQVEPKIALTYTWQAEKDRQLLGVHWCNSLECSYIFSADPRGVLKLWNIRGAVFPNANDINAAEKVLLVAVFESSFGARIMCLDASPREEILIAGDKKGNVTAFPFPKILAAYESGVTQQKIPQQDRFKGAHGISSVTSVRMKNSTSDHIEFHTTGGDGCICFFKYGRNARKIEFFGMRQVKELGTIQSIHHNLTSENQPCRTCAIGFTSADFIIWDLENETKMVQISCGGWRRPYSYYLGKVPEYQNCFAFVKDHNIHIRRHWVPTQDKKLLPQALHLQFHGREVHSLCFIDPSSYSKFPNSSDLWIATGCEDGTVRLTGYSSGSSGIWHSSKLLGEHVGGSAVRDTCFIPMTYTLADKSRNGSSSGISDDIVVGNNDDTFLLISVGSKQVLTTWILQPRVADYKQVCISGFNVDTKQSSESLENGDLAVSFQWLSTHMPQKLTRNRLKASHVKQNFHEGNFPVVQPNMSIMDQMENDWRYLSVTAFLLKHTATNRLTICFVIVACSDATVVLRALLLPSRLWFDVAVLAPQTSPVLVLQHMVISSSQFKDDAGDRYIIMSGSTDGSITFWNVTDTIHGFMQLVSETQPHMTIDCQMRPRTGRGSQGGRRRWRSLANHSSMKGCNVSTLCADENSSETSIVEESDPTNAQSITLEESEDMSAPNIMHPSTQSCDIPEVRPMHIFSSVHQSGVNCLHVSYSTMDASYHIISGGDDQAIQCFSFTVGSLECCSTDTARQSSHDNDTLKVLCQHKVPSAHAAAVKGIWTDGRWAFSTGLDQRVRCWKMGSSGKFTEHSHAVISVPEPETLDVFHDCEKRKYQIAVAGRGMQMVEFSPYEQD >Et_2B_022810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5704132:5705318:-1 gene:Et_2B_022810 transcript:Et_2B_022810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSEPSHHSSKHFQNPNSSVCLYRCIATLKGNSSYVSSLTVDSSGSFYVASSDGHISLWPLDMSPDMKMKEQSAFTVADTDSSIKCVVATSKGLISAHQDGKIRVWRHAVHRRDGTLRRFALRAVLPTAADRLRTFLLPKNYVEVRRHRRRTTWVHHVDAVAALALSPDGAEMYSVSWDRSLKAWRLGSGSSGGRCVASVAVAHDDAINAVAVSPADGGHVSTGSADGTRKLFALVGTMARHEAAVNALTIGVGGQVLYSGSCDKTVVVWEGAGGGVAVASATATLWGHTKAVLCLAAAGNVVCSGSADTTVRVWRRGAAAPTGYACVALLRGHAGAVKSLALVSETRGDHDGSCNECCSAALVCSGSLDCHVKLWRVNVSCL >Et_2A_014824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17031761:17032135:-1 gene:Et_2A_014824 transcript:Et_2A_014824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTKQTSKDIAHVYRVTQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAHEAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_7A_052873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2198477:2201864:-1 gene:Et_7A_052873 transcript:Et_7A_052873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSHNYPWLNFSLAHHCQYISCMLSLDPPQSLGSFLRRVGDLEEQERGAAAELAAIAGAAPPPKLEDFLGGGNNNNGGGMVAGADTVAAAAEMYDSELKFIAAGFMSGAAAGAAAAPSPSPVSSVEQGDAKLALPAAAAQAPAPEQRKAVDSFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGSSTTTNFPVADYEKELEEMKNMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGTEEEAAEAYDIAAIKFRGLNAVTNFEISRYNVESIISSNLPIGSMSAGGRSNKGLESTPSNSPDAITGGEAGTVPQSLAFSALPVKYDQQDYLSMLALQHHQQGNLQGLGFGLYSSGVNLDFASSGGAGAMAHCYTNGASHEQYQQQQDQQQQDQSQGSNSCSSIPYATPIAFGGSYESSMTPSPFGYYPNVAAFHQTPIYGME >Et_5A_041649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25475924:25476423:1 gene:Et_5A_041649 transcript:Et_5A_041649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IRDNWLKKQIALAIAFNNVLILLVQFRTSLPPRYLNHVAGVFAVLWIIGSINFFRGLFVMSGLRHSCRVARLIYIFFALFVVYALYLISQPLYE >Et_8A_057591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4838155:4838882:-1 gene:Et_8A_057591 transcript:Et_8A_057591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADERGKKGAAGGGGLEWELERQFAFEREMLLMAEDAPGAEPQKPPRGRGRGRRPFAADLLQNCDLPPPAKLFGPVSTLQRLESAAVGDQKAAGGDNESLLRALRLSQSRAREAEEKLAAAGASNGDLAALLVRDSVALSAHRRWVMMLEAENSLLRGGADPDDGEEEGPAARRGRGGGGVAAWWVALAVCVGVAGVGLALGRFLC >Et_8B_060109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:643396:647113:-1 gene:Et_8B_060109 transcript:Et_8B_060109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGAAASPASAVDATAGVKVKVSDRYVEIKNGIFELTLSNPDGIVTGVRYNGVDNLMEILNKEDNRGYWDIVWSPPGERTGIFDVIKGTEFRIIYHDENQAEVSFTRTWNPSLEGKAVPLNIDKRFIVLRGSSGFYTYGIYEHKEGWPDFGLGETRVAFKLRKDKFHYMALADNRQRIMPMPDDRLPPRGQQLAYPEAVLLVDPINPDLRGEVDDKYQYSCEDQYNNVHGWISFDPPIGFWQITPSDEFRTGGPLKQNLTSHVGPTMLAMFLSAHYAGDDLSPKFTNGEYWKKVHGPVFMYLNSSWDGTDPTMLWEDAKVQMTIEKESWPYYFALSEDFQKTEQRGCVSGRLLVRDRYIDDQELYASGAYVGLALPGEAGSWQRECKGYQFWCRADDDGSFYIRNIVTGNYNLYAWVPGFIGDYKLDATLTIASGDDIYLGDLVYEPPRDGPTMWEIGVPDRSAAEFYVPDPNPNLVNRLYINQPADRFRQYGLWERYAELYPDSDLVYTVGQSNYSTDWFYAQVNRRTDQNTYQPTTWQIKFNLDSITPNSTYKFRVALASSALAELQVFFNDQNRSVPHFGTGLIGRDNAIARHGIHGLYWLFNIDVDSAWLVQGVNTIYLKQARSTSPFQGLMYDYLRLEGPCGC >Et_2B_022007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:859870:867864:1 gene:Et_2B_022007 transcript:Et_2B_022007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHAGTLKSTSINGVKLYSLTGNRYVAPWVLAKKKRALRKDKEYQRRLDLIHDLRFETATTKINVTPDEQYVIASGIYPPQVKVYELKELSMKFERHLISEIIDFQILGDDYSKLAFLCADRSVNLHAKYGSHYSLRIPRMGRDMAYDCWSCDLLCAASSPDLYRINLEQGRFLASLSSQSPALNVVTRSKIHGLVASGGEDGAVECFDMRKKSSVGRINIPAVSSEDYNQEVTSLQFDEDQGYLIAVGSSTGKVSIYDLRMSSPLRVKDHMYGSPILKIKWHQTLNSMEPKLITADKHIVRVWDPNTGNNMTSIEPDGGAINDVCIFRNSGLMLLALDNSQIPAHFIPALGPAPKWCSHLDNLTEEMEEQPDTAVYDEYKFLTNEDMERLNLTQYIGTSAVRAHLHGYVVRYELYKKRRAEVDPVEYEALKEALKKKKIEAQSKSRITRVMRIPKVNRGFTDSIVEQEMDLDVENGDKSSMKKKKKKLELSKAVYHDERFQEMFTNEDFEIDEESREYLALHPQASIKEPRPIEDYFDSVSEDELPDGNASDASEKSDSDDDMHKSKRIRLYEVKDDRHAEAFLNSASLANEDAMPLEERVAEMDRQQNSKALGKVKYGPGGSREISFYTRSSRRYKEEAHSDEEPKDFKRRGVQSLGLKQGKAEYYMFGGNRGRGGRGGGRGRGGRGRGGRGGRGRGRG >Et_8A_058161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22294362:22300077:1 gene:Et_8A_058161 transcript:Et_8A_058161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVFGALFGGGAGAGHRRSVGRGPTTRHRSVSRQPSAHDVAGGGHRRAMLTKKYSYIPDTYMSLEQVAAALREQGLESSNLILGIDFTKSNEWTGKRSFGGQSLHRIGDTPNPYEQAISIIGKTLAPFDEDNLIPCFGFGDATTHDYNVFSFHPDNSPCHGFEDVLSCYKKIVPHLRLSGPTSFAPIVEAAVDIVDRSGGQYHVLVIVADGQVTRSVDTSDNDLSPQERRTVDSIVMASSYPLSIVLVGVGDGPWEDMQKFDDKLPARDFDNFQFVNFTSIMSRNTTPQQKESAFALAALMEVPIQYKATMELGILGRSTGKAKRVVPAPPPLPATQRQPSLRRGASDVSGQPSAPREDQVCPICFTNAKDLAFGCGHMGMWGEPHQMSDMSTGDTVEVEAILGMKSAAVPTLRHAQPAKTWCVAKPSADEAALRANVEFACSESDCSAIQGTGGCTHSDTVISRASVAMNAYYQARGRNSWNCFFNGTGLITITDPTDPLLMIVATQQQENQTA >Et_10A_000453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10615243:10622307:1 gene:Et_10A_000453 transcript:Et_10A_000453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALETDGESGTLLRLYFEFPDLEYFTTEQSEALQLLTSLQDLLIVSCRKLQSLPAGAFRSLPKDSLPSSLTKLVIKYCPAIRSLHKDSLPSSLQTLDVRHSNEKLQRQSRKLKGIIPIVITDD >Et_2B_021145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26914161:26920265:-1 gene:Et_2B_021145 transcript:Et_2B_021145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKTSKGGGPWMQTASDFHGRQVWQFDPDAGTAEERAHVERLRREFTENRFRKKESEDLLMRIQFTGLKHLHVDMPPATKMEDGDEVTDEILLATLRRALNWMSALQADDGHWPGDYSGMMYLLPFWIFALHVTGSTDDVLSNEDGGWGFNILDESAMFGSCLNYVTLRLLGEVQKHEDDGLAKGRAWILSHGTATAAPQWAKILLSVIGVYDWSGNNPVLPELWLVPRFFPIHPGRFWCFTRITYMSIAFLYAKRFVGPITPTILSLRKELYSSPYDNINWSKARNNCAKEDIRYQPSTPYRAISTWLNIFVEPALNCWPINKLRAKALSHIMEHIHYEDKTTQYIGLCPVTKALNMICCWVENPNSDAFKRHLPRIHDYLWISEDGMKTKAFLSTDLVDEYAPTIARALRYIKKAQIIRNPPGDQRYWFRHRSKGSWALSTVDNGWASSDTSAEATKAILLLSKIYPSIIMDPKEEKWLFHSIDCLLSFMNKDGSVGTFECQRTYSWLEILNPLESFRNIVADYPYVECTSSVLHTLALFGELYPWYRSEEIKEYVNKAATYIEKNQKKDGSWYGTWGICFIYGTFFAVKGLVTAGRTYENSICIRKACNFLLSTQLESGGWGESYLSCEREVYVEGHSTHAVNTSWAMLALIYAGQMERDQTPLCRAAKVLINMQLETGDFPQQEHVGNTNCSAYFNYPNYRNLYPVWALGEYRQRLIAKENLKFTDLKQI >Et_7B_056011.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:9203974:9205251:-1 gene:Et_7B_056011 transcript:Et_7B_056011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVGFTWQACPAATELEALALDWLAQLLRLPTSFMNRATGEGGGRGTGGGVILDTTSEAMLVTLVAARDAALRQISGSRGVAGITRLTVYASDQTHSTFFKACRLAGFDPANMRSIPTGAGTGYGLDPERLLEAMRADAEAGLVPTYVCATVGTTSSNAVDPVGAVADAAARFGAWVHVDAAYAGIACICPELRHHLDGVERADSVSVSPHKGLLTCLDCTCLWVRHARRLTDACGGATQTVEECLRNDASGSGAVTDLKDMQVGVGRRFRGLKLWMVMRTYGAAKLRGHIRSDVAMAKSFEDSVRADGRFEVVAPRNFALVCFRIKPRGAGDEEEDASCREEKRELMERLNRSGKAFLTHAHGGRRQVRAAVRGGVIIAGGEARAERLGTDQGDGHGDHGEEAEDFFHVACYDFYAEPTKPRR >Et_4B_036196.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1078234:1078431:1 gene:Et_4B_036196 transcript:Et_4B_036196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYAAAAAASATPCRNGGGLGGSRRLMPRRGQIKARIASAAMHSVTSVVLKAIHNSHILVRNPF >Et_2B_021424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29587850:29590045:1 gene:Et_2B_021424 transcript:Et_2B_021424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMTKLPLKLQAAATAADRRHGLGFGYGPGRRHPLPLRHASAAAHGSRFAACFVRCLLLLAAAATALALTLSLHRPDRAAAYDGASSPRGFAVVINTWKRHALLRRSVAHYAACAGVDAVHIVWSEPRAPPESLRRSIANSTRRGNVRFMINEGDSLNNRFRPVRGLATDAVFSVDDDLIVPCSTLRFAYSVWQSSPSAMVGFVPRMHWLADPRSSTKEYRYGSWWSVWWTGTYSMVLCKASFFHRKYLDLYTNRMLPFIREYVNENRNCEDIAMSFLVANVTGAPPLWVQGRIYEIGSSGISSLKGHSLQRSRCLNTFAAMYGHMPLVATTVKAVDSRGSWLW >Et_1B_012926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4123188:4126077:-1 gene:Et_1B_012926 transcript:Et_1B_012926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEAAAEIVREIAAVGAADLAAAAEPLRADCLRLARKVSLLSHLVAEVAEAGPPTGDGDAAAAWLRDLVRALEAARRFVALGRAPPQATEASDQDAMCNNAAVQFKFVTWQLQAVLTNVPHSCFQISDEVQEEVDLVRAQLKREMEKKGAVDINTFRKVHDILAQIDNAGPQSQQPRDEPEPSQMKEFSKDHLELQNIVLLVSEISGLSKSDMTKITSEIIEKLENVGPPDSPKPANVDSQSSDDTKGSSEKVKKPDSVTIPEDFRCPISLELMRDPVIVSTGQTYERAFIQRWIDCGNRTCPKTQQKLQNLTLTPNYVLRSLILQWCEEKGIEPPSRSKNDGSSLEVGGDRLAIEALVRHLSSSSLDERKSAAAEIRSLAKKSTDNRILLAESSAIPALVKLLSSKDLKTQEHAVTALLNLSIYDQNKELIVVAGAIVPIIQVLRTGSMEARENAAAAIFSLSLIDDNKIMIGSTPGAIEALVELLQSGSSRGRKDAATALFNLCIYQANKIRAVRAGILAPLIQMLQDSSRNGAIDEALTILSVLVSHHECKTAISKAHAIPFLIDLLRSGQARNKENAAAILLALCKKDAENLACIGRLGAQIPLTELAKTGTDRAKRKATSLLEHLSKLQVL >Et_8A_057551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4387352:4388270:1 gene:Et_8A_057551 transcript:Et_8A_057551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPVARASGDRRLVALQEGDKGQLPTETATGSPTKFRSLHRLVQRELVMEFPEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGTKLWMCNNYQYDLPLKRLHFSEGRPKSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAELT >Et_9B_064340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14091319:14092569:-1 gene:Et_9B_064340 transcript:Et_9B_064340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTDAADDLESYLSLGLTVSQSKKDAEYPKVLCLLSAYLNKKVQRNEELLDSNEIKESTTIFHGHRVPDLSLKLYVERIFKYAQCSPSCFVLGLIYMERYLQQPNIYMTSLSVHRLLITSVVVAAKFIDDGFFNNAYYGKVGGISTREMNRLELDLLFSLDFRLKVNLETFRSYCLQLEKEALALFLEKPIHVQAANGIKHLSFNNSVDETCKHELVRERYSNQALQGCS >Et_8B_060363.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:10007663:10008367:-1 gene:Et_8B_060363 transcript:Et_8B_060363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNEASTGTVLFFHEEVVRVGETLKVHFPRAMRTPLGLLPRDVADSIPFATSELPDIFARFGIAAGSVPAFNIQETLGMCEAPPVGKEAKFCATSLEALVEGAIAALGTRDIRPVTSTLPRSGAPLQPYTIRAVRPIDGSSFVACHSGYYPYTVYMCHDTGDVRAYMADMEGANGGGKLTLAFVCHTDTSLWNPERVSFKQLGTKPGGKPVCHYMPYGHLMFAKNDAIRASA >Et_3B_028114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10475353:10482797:1 gene:Et_3B_028114 transcript:Et_3B_028114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASSTTFISATATTPLPAMPPPPPRPSQRPASSRAGRANLFSSPPPPLTNRRDPQHRPVPLPPLPTRRRYPKNRDDQPSHGQQHIGPTPRTGPTTTNPAFRAAHLRTSYRKPVPPAAAAGEGEALLAADPTDAAEGRAVVVGPTGLSFRLPGAPFDFQFSYSEAPRAPPLAIREPAFLPFAPPTMPRPWTGKAPLLTKEEKARRRGVRLHTPLGQEPPPTVSAHGLMMEVRGRRQMDLARVLGEPLTRAEVRALVKPHISHNRQLNIGRDGLTHNMLEMIHCHWRHQEICKVRCRGVPTVDMNNLCYHLEEKSGGKVIHRVGGVVFLYRGRNYDPRTRPRYPLMLWKPATPVYPKLIQEAPEGLTKEEADEMRRKGNDLLPICKLAKNGIYISLVKDVRDAFEANEMAKIDCEELNPSDYKKIGAKLRDLVPCVLLSFDNEQILMYRGKEWKSRYSKPLTLIPKVPKKNLAMSSDVSTSDTDEATDDNAQVAIREVLGPKMFKLWKSAVDSSLALLLDDAEAKDLTPDSLLTKVEEFSITSQAVEHSFPALLVTNDEGNPEVLSDDESETSGIGNQDQFEQPPNLSDDEHFEFDMLERLESSAPLGSLPIDAMIEQLNGE >Et_4A_033857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27468044:27470326:1 gene:Et_4A_033857 transcript:Et_4A_033857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEEIITEAGGRGYMDTIGLADEDFFRCLSPSSYFSSSVVSTETTGATPAAASSPTCVSYMGMAPPPYHHMLSFTGQEQCHGDGLFGLQYYGCDRAIPMVVPQKSSPTTECSSSISSMSSSPTATSISAISSTKPQAFKKKGSRGNDQRKAAPAAETNKKPRVRRERLGERIIALQQLVSPFGKSDTASVLHEALGYIRFLHDQVQVLSSPYMQRLPASAHAMVQESSAGTVVERPRPSDLRSRGLCLVPIACTEHVAGDGHSNGADMWSVAVSAGMARATAAAESKAAVARAMLPGGHPGRLA >Et_6B_048800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13879164:13881607:-1 gene:Et_6B_048800 transcript:Et_6B_048800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNRFHGRLPASLANASQLQLLQLGPNSFTGIVPPEIGRLRNMTQLLLSDTLLGAEQPGDWEFVTAMTNCSQLLALDLSAGKFTGVLPRSLSNLSTSLETLYLSHNAISGTLPQDIGNLASLESLVVSSNSFTGTLPPSLGRLKNLHLLSASDNKFSGSIPQSIGNLTELSTLNIKANAFTDTIPSTLGNLTKFYELRLGRNYFRGQVPGGLFSIRTLSIALDLSHNNLEGPIPEEIGNLINLIELHTESNNFSGQIPKRLGECQLLQNIYLQNNYFSGPIPSLLGELKGLQYLDLSSNNLSGQIPGYFGNFRALYFLNLSFNIFVGEVPIFGAFANASAFSVQGNAKLCGGIPDLHLPHCSFQSPKKKHKKLVIPVVLSLIATLVVLTFLFKICLGRKKRKTKGSSVTNLQGLPLISYSQLVKGTDGFSAANLLGSGSFGSVYKGEIEVQEAESTILVAVKVLKLHAPKALKSFTAECEALRNMRHRNLLKIITVCSSIDTRGDDFKAIVYDFMANGSLEGWLHPDSNDQTERRYLNLLERVSILLDVAYALDYLHYHGPTPIVHCDLKSSNVLLDDDMVAHVGDFGLAKILVDRSSLSEHSTSSMGFRGTIGYAAPEYGAGNVVSTYGDIYSYGILVFETITGKSPTASEFIQGLSLREYVKVALHNRVMDSVDTRLSMDLEKVLHTMGDSSYKRMIDSIVSLLRLGVTCTQELPSRRMPTEGIIREMHVIKETLLSGPTA >Et_1A_005510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1122696:1128368:1 gene:Et_1A_005510 transcript:Et_1A_005510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYMRYIEIMMGTECIMTTLSEDPDLSFPPGFGPFASLSLRGIQHDVKPVENHSSSVQVVQNIDKDVEILETSSANCRNGTPCSTSGSNTCRKSLRNRPPIDYSLFELMWDDDSDVEVAEKGATSVRRRQQLPKGVLRGCAECADCQKVVARWNPSGARRPVLDEAPVFYPSEEEFKDTLKYIESIRPTAEPYGICRIVPPPSWKPPCLLKEKNVWECSKFSTRVQKVDKLQNRKSSKKSRRGGTMKKRRKLSEPEGNCNINHNQAVMQQNQERFGFEPGPEFTLQTFKKYADGFSDQYFRKDTCEHSSPSVEDIEGEYWRIVERPTEEIEVIYGADLEMGTFGGGFPKSSSEAKSDVGQKYAQSGWNLNNLPRLQGSVLSFEGGDISGVLVPWVYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGKDAVNLEAAMRKHLPDLFEEQPDLLHNLVTQFSPSVLKSEGVPVYRCVQHEGEFVLTFPRSYHAGFNCGFNCAEAVNVAPIDWLPIGQDAVELYREQARKITISHDKLLLGAAREATRAQWDILFLRKNTADNLRWKNICGPDSTICKSLKARIEMELKQRQNICTPSQSRKMDAEYDSTDRECALCYYDLYLSASGCLCCPEKFTCLAHAKQLCSCDWDRRIFLFRYDVNELNILADALGGKLSAIHRWGVSDLGLSLSSCVKREKVQDSTNARRSTDGPRRSYMSQASTISLVPSLVCNEQENNRNKILNSASPEMNNACLSPEQIKSRNISPLKEPCLKNEVLYSQNDSIIQSPTSPFNASGGSTLVDHGTNTKPTLASSNSSYRLMTSNCNAASCHSYKDEVLITPEINASVMTGKDNSTPCTVQQFGKTFPRAQNTSQEAPVSIFTSKPFVDPSLLKTTYRGLSSGGGHLGHQNSGNQQPNGGRLQTNFESTSGAEDRARGHSALLKGPRIANVVHRFKCSVEPLEIGYVLSGMLWCSSQAIFPKGLFSCGTSASFTSCQCGSIFVIINYFFVIMLEYPSAVYLNLLYLARFIFVNAGFRSRVKYFSIVDPLQMAYYVSEILDAGLHGPLFMVTLENCPGEVFINVSPTKCWNMVRERLNMEIRRQLSMGRANLPTTQPPGSVDGLEMFGLLSPAIVQAIEARDRDHICTEYWRSRPHGIVDDRDYCRMPTQGPPHIALRGLFQWANCDELQALRSLLTNTSSLDDSTRHQAFQLLDEEIAKR >Et_8A_056416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:45640:49469:-1 gene:Et_8A_056416 transcript:Et_8A_056416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGEPTTSVGKMTEEKMRSKVLAKWLKETISPLIHQNGAAMLHKGGYSSTGICGSIVRVTGSSGNLARPCLKELFDIDLKNLRVIAKYLQKMNPKSSNGAKRDRVCIYDDCASVLYQVKKEIDYTTEAFNTNFFLLKISSVLIVPEITGTVPHLRFLLHQKFQNKSTSKATMDVQLGRYAVESYLEHILFNGFFDADPNIAIEDANGGMLIFNDFEMMESINQNIQEGLLEASYGVYEKDPDNAYGLVNNLSIAVLVTGEFGISAGA >Et_2B_019746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13454839:13457588:1 gene:Et_2B_019746 transcript:Et_2B_019746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDRGERRQPYHCTGPDPSAASNSDELLEDYLASLSTLPLDDSRPLWEVHVLDFPTSEAAASLAFRIHHAIGDGMSLISLLVACDQKPKILPSPPARRKRPICGVPPRAATSAGAWVLSCALLAWHSVVDLARLLAMPLLPGDPRTVFTGVKGVEFRRKRFVSRSLSLVDVKHVNNALNCTVNDVLLGVSSAALSRYYFRKQGDHFPMKSCLCLRLVVLVDMRPTPGIQKLENLMDPHANNDARWGNRIGYMIVPFYIASHEDPLEYVRKAKKSADRKKYSLETIFTKTISEMATKFLGVKIASPLFHLMVSRTTASSSNMTGPIEQLELFGNKVLYIAPSVYGHRSWTTDNFQIVISF >Et_2A_016349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23659291:23662434:-1 gene:Et_2A_016349 transcript:Et_2A_016349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKVADVKKVIENVQGQSVYPADQQVLIHQGKILNDDTTLDENKVLENNFLVIMLRQNKGSSSAAGATSKAPSNQAPPTQTVSASPASQAPAAPAPTVPVSAPAPTATASPAPAVAVSTEADSYGQAASNLVAGSNLEATIQSILEMGGGTWDRDTVLRALRAAFNNPERAVEYLYSGIPEKMEVPAPPPSSQPANPAQASQPAQAAVPSSGPNASPLDLFPQALPNPSANAGAGNLDVLRNNTQFQSLLALVQANPTILQPLLQELGKQNPQVMQLIQENQEEFLRLINEPVDADEENEMNLLDQIADGVQAPTIEITPEEDEAILRLQAMGFDRAVVLEVFFACNKNEQLAANYLLDHMNDFDDEQ >Et_9B_064476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15486922:15489160:1 gene:Et_9B_064476 transcript:Et_9B_064476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMDKTVIVVSAVVGSLGLLSAILGFAAESANGNVTVSTIDMSDSLVRPAFDDCNRSTDVCKSRAIPSETKRIVGIVCAVFSWILAVIAFVLFLGGRGYQGAFAAGGVLTLAATALGITSFIKTRGQPADATPTVTPADATMPTVAVEVTKVDAKPRELQQPSVMFVVDPRLSTAAKIAEDAQNKPAGQEQHTRTDVVAMDNYLQPKPVAAADTTTNKTGELPPPHTVGPHQVAYGLQPLLQQNMHVQTPAQDEQEQPLLQPQVVQSPRVPAAVQPTMFGTSTMDGHQTSPQVYVMQQPPIATPQLADQSQVPVQPPPPAVQPQQQTNYPVQQQMPAPLQPTFPTPTTSPPVCFVPQPPIDMPQFTAELPQVPAQLPLPATAPTPYSARAARTRKTFNSYLQGSDQSRN >Et_8B_059564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19200085:19202501:-1 gene:Et_8B_059564 transcript:Et_8B_059564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVFGALFGGAGHRRSVGRGPSTRHRSVSRQPSAHDVAGGGHRRAMLTKKYSYIPDTYTSLEQVASALREQGLESSNLILGIDFTKSNEWTGKRSFGGQSLHRIGDTPNPYEQAISIIGKTLAPFDEDNLIPCFGFGDATTHDYNVFSFHPDNSPCHGFEEVLSCYKKIVPHLRLSGPTSFAPIVEAAVDIVDRSGGQYHVLVIVADGQVTRSVDTSDNDLSPQERRTVDSIVMASSYPLSIVLVGVGDGPWEDMQKFDDKLPARDFDNFQFVNFTSIMSRNTTPQQKESAFALAALMEVPIQYKATMELGILGRSTGKAKRVVPAPPPLPAAQRQASLRRGASDVSGQPSAPREDQVCPICLTNAKDLAFGCGHMGMWGEPHQMSDMSTGDTVEVEAILGMKYHY >Et_7B_055189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8143776:8144713:1 gene:Et_7B_055189 transcript:Et_7B_055189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLSHTPAPTSGDESPAESSRKRAPAAPTNVCAPANQPNDDEWAETQTSALLQITLSLETNRQSGMPDGNFWDHVARKLYAEHALARTASECKKRYKEISIFDNDGEEGPQEAEDDEEEEEEEEEDAGDKSKRHLHDKLASSAPVPMHVAAAPIEKRLEGKVAIVTGGARGIGEAIVRMFVKHGARVVIADIDDAVGEALAAALACSFVHCDVTAEADVERAVRRAVARHGRLDVFCNNAGVLGRQQTGAARTRPGSSACCA >Et_7B_054787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4477876:4478655:1 gene:Et_7B_054787 transcript:Et_7B_054787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFFCRAWDRARGKSDVERICKKVFEDLADNNTNLLDVSTLHVATLMVYNSINKQLFGPHKDPPCLKVVNDKMEKYRADGKKWITAEEFQELILTWVEKDLRLVLANKAALAILGAPLLAVTAKNAGRQVPRVKDAVDKVPTPLLATVFSVGLLLLQDVRVGRKRP >Et_3B_031218.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21523286:21524659:1 gene:Et_3B_031218 transcript:Et_3B_031218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSRGDRFGARVVQIITDTWCSSGGFDAEGNLVQTGGYFEGEKVVRYLSPCPTCDWREFPGTLAEGRWYGTQQTLPDGRSVVIGGRRAFSYEFVPAEGQSNPAATPLQILRDTTDDVENNLYPFVHLLPDGNLFIFANDRSVVLDPRTSQVVRELPVLPGGGRNYPASGMSALLPLDLRRGDVLSPEVIVCGGSPKNAFKLGETNQFPAALRDCARINPTKPDAKWATDLMPVARTMGDMLILPTGDLLILNGAAKGCSGWGFARQPVLSPLLYSPRQPRGARFRALSATTIPRMYHASSALLPDATVLVSGGNTNSAYNFSGVDFPTEVRSERFTPPYLAPDRAANRPQIDAGSVPADGMAYGTKFTLQFASPAAVTADDMKVTMYAPPFTTHGYSMNQRLLVLSVTAFAANGGQRYAITVDAPPTPELAPPGYYLLYVVAKGTPSKAAWVKVHK >Et_2A_016302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23022772:23036904:1 gene:Et_2A_016302 transcript:Et_2A_016302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHVAVRSRPLSAEDARNTPWRISGNSIALTTQPSTRFEFDRIFGEECRTSEVYEARTKHIVDSVVRGFNGTVFAYGQTSSGKTYTMKGSANEQGIIPLAVYGLFQHIQENVDREFLLRMSYMEIYNEDINDLLVPEHRKLQIHESPERGIYVAGLREEIVTCPEQVMSLMSFGESHRHIGETNMNIYSSRSHTIFRMVIESREKVDDNEPGDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGVEGQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQIHADETKSSLQFASRALRVTNCACVNEIMTDAALLKRQKKEIEELRAKLRASQSEHWEEEILNLRNTLLQSELEKERIALELEEEKKAKEQRDRRLIEQAKKIENLSSLVLNSDRDDRNTSFTKNKRRVTWCPGPFTRQSGVESLEAVHEGPTSSIVRHGRNMGMPPRFEELVQGNHENNCEPCVDACSSGDLSKDDGDASLLDSHAFVLVTSRRRPNKMKKSEQEQLNGSVDEVTVPHDPNDGNGAVLSQESTMPSVANSLSSREAEAILVIKQLQDQIKLLELEKSSIQSNLDEVLELATQQKASFSEKYEEDSLAAQEQLKVANEKLSTLSVTEKSKQEVEHDFLSSVLMEAREINVEMDQSRCSVDRALFLVDELGQNLSSMAKGIHEVKQSTREDMMRLSSVTKDYEKLSTCLMDKICKLESEKKLLSEQSQDQRSEIDRLKFNLESCEKTIDECTLVHEMEKDSILSGFLALQKEVETLSSSALLKEKESIRKELEKTKTKLRETENKLKTSIQEKIKLQSEKAEALKEIKKLESQRTFLERDLKKRDSHTVDKRHEMNSELSGFFNQAVQMEEDYQKLEMHAADMKAEISSLEEALSISIAEKDEALSKVETLTLELEEHANKLNLAESERDSLSEKIAVLQLTDALLDMESKISMWTAREKEYVEANLRLSNCNDDLTKVRQELVNCKKQCKTLEDRLILSMENDMNEKAIKCWSVFSPNLFHQGSTCLHLRFGELHKQLLTVMEERNNLLSETKEMRLIINELELGHTKTTIDELSSRISDMEVKMKNDAMSNNKEKTKLRMRILKLEPELDAHRGLLREAVNENRIMDAKYQEASTKLKKDLSYYCHEVL >Et_1B_012139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29359327:29361196:1 gene:Et_1B_012139 transcript:Et_1B_012139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVIVHHHARHKTYPGEVTGFVFFACLIASVGGCIFGYDIGLTAGLTSTENFLIQFFPSIYEQMKNQVVVNQYCKFDSQLLTLFCSSLFLSACVAAFLAGPMGRSFGRKWTMFVAATAYVIGACLGGVAVNFAMLLTGRILVGAGVGLCIHASPLYISEMAPAQQRGMLNILFQLMITVGILSANMVNFLSSRIAGGWGWRVGVGFGAVPAAVIALGSLVIPDTPVSLISRGDPETARATLAQIRGLSDVHAEFEDLSAACEEGKAVAHPWRELLFGGRYKPQLTFSVFIPFFQQLTGINVIMFYAPVLFKTVGFKQNATLVSSVITGLVNVFSTFVAIMTADKVGRRALFLQGGTQMIISQILVGTFIGLQFGMSGTGAISEQYAMCIVLFVCVYVAGFAWSWGPMGWLIPSEIYPLGVRSAAQSITVAINMFFTAFIGQIFLTLLCHLRFGLFYFFGAWVLLMTLFIAMLLPETKNVPVEEMAHLWRKHWFWRKFVVDNADARGAEMRKRIALEMS >Et_2B_021454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29833436:29838746:-1 gene:Et_2B_021454 transcript:Et_2B_021454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPPPQLPPPVPPPGALADPQPQPQPAPDTEPPEVMHRTRAVDFLGRRTPIVYQNDNGPCPLLAICNVLLLKNVISLNPDASEVSQQRLLSLVAERLIDSNNSLQDKDEEYVRNREQNVADSIDLLPRLTTGIDVNVMFRKIDDFEFTRERAIFDLLDIPLYHGWIVDPQDTETATAIGSKSYNALASGLAEFKSGKPTEESKHMEEETVDFAAATTAALRIPSPTVSRGRSFDELTLSEPAELQMRRGDREEEEELMRVLNLSKADAETPQSESFRSEAPEMVRETNKEEHGNHAVSDDGSMLQDTNGFANGSDIPGEPREALTSKEPEDSGMNNMLPEDLLVPVQPSESTPASSLEPVAPSEQPAAPAIGEADKETCRENSDVEIHGPSTDTEVTCGSSSAACEAAPSHATKVVDGKMDSLDDSETLSSSIQESEPIYQGEEHVLGTGNMAYENQEPVYEGEVVLAEQADKLGKTSDCSEDTATEHQWELIDNFLHSTANQLTVYGLFCLQEGLKERELCVFFRNNHFNTMFKYNGDLYLLATDQGFISQTDLVWQKLDEVNGDGVFLTSSFTPFKAETPRNDSWNEQQAMTSTADYLAQYDNSTLPHSSEKYDLLTCLFLQCFIVQAVSDLELAIALQQQEFERQPQRHQSPPQRQQQQQQQQQQTQQPPSQSGRPGLVVGPRRSNAPPPQRSESKKEKCIVM >Et_5A_040129.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:13970188:13970515:-1 gene:Et_5A_040129 transcript:Et_5A_040129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHYDGAVESWSDLAWSRRAVHVSAPGQTKLWFAKRFLHPDVVAEYEYVFLWDEDLEVVGNSFDPARYLAVVRREGLEVSQPALDRASEIHHAH >Et_2A_015964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19761666:19766687:-1 gene:Et_2A_015964 transcript:Et_2A_015964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLAAAALLLLVSLAAAGSAAAQDAAVEGVVPAAEEVAANARAKEAAALAAEVDQLRAKISGLEERANKANAHAIELEKQRTSDEQKRRIQKTEQALKAAEEELLKVQLETTTKLKQLREVHGAWLPPWLAIHAGRSVEVISNHWNEHVKPVANSLLQKASEISMEAKKWAKPHLETAKTKWVPVAKENWVILKKNAEPYVRMASTKSVEVYQASKDFITPHLVNAREVADPYLQEAKKRSKPYVDQVAMATKPHVEKIRTTLKPYTKRAVHAYGMFLEKATTYHKQAQATILDYLRQHELTKEFATEEAAWYLAYAQRSRRNLQGVVLPTMAIDDISVGMLTNREAWPCTFHG >Et_1A_007531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35665513:35669841:1 gene:Et_1A_007531 transcript:Et_1A_007531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNGESHLKEPLLPPSVGGSGASPARASSRKERKTRKTVFSVRGMSCASCAVSIETVVAALKGVESIQVSVLQGQAVVQYRPEETDARTIKEAIEDLNYEVDELQEQEIAVCRLRIKGMACTSCSESIERALQMVPGVKKAVVGLALEEAKVHFDPNVTSRDLIVEAVEDAGFGADLISSGEDVNRVHLKLQGVNSPEDTKLIQSVLEATDGVTNVEWDTAEQTVKVAYDPDVTGPRLLIQRIQDAAQPPKCFNASLYSPPKQREAERNHEIKNYRNQFFWSCLFSIPVFLFSMVLPMISPFEHWLSYKICNNLTIGMLLRWLLCSPVQFIIGWRFYIGAYHALKRGYSNMDVLVALGTNAAYFYSVYIILKALTSKSFEGNDFFETSAMLISFILLGKYLEVVAKGKTSDALSKLTQLAPETACLLTLDKDGNAISETEISTQLLQRNDVIKIVPGEKVPVDGVVIKGQSHVNESMITGEARPIAKKPGDRVTHVGSETALSQIVQLVEAAQLARAPVQKLADKISRFFVPTVVVAAFLTWLGWFIPGQLHLYPRQWIPVGMDSFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNALEKAHKIKSIIFDKTGTLTVGKPSVVQTKIFSKTPLLELCDLAASAEANSEHPLSKAIVEHTKKLREQYAVNSDHMMDSKDFEVHPGAGVSANVEGKLVLVGNKRLMQEFEVPLSPDVETYMSEMEELARTCVLVAIDRVICGALAVSDPLKPEAGRVISHLRSMGISSILVTGDNWATAKSIAKEVGISSVFAETDPVGKAEKIKDLQMQGLTVAMVGDGINDSPALAAADVGMAIGAGTDVAIEAADIVLMKSSLEDVITAIDLSRKTLSRIRLNYVWALGYNILGMPVAAGVLFPFTGIRLPPWLAGACMAASSVSVVCSSLLLQLYKKPLHIEAVPVTGDGSDLV >Et_1B_013301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7168278:7170264:1 gene:Et_1B_013301 transcript:Et_1B_013301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVRAAPFTYVAHALAVASAVMVLVWCIQFRGGLAFENINKNLIFNLHPVLMLIGYIILGSVAIMIYRVLPTWNHDITKLIHLILHAIALVLGAFGVYCAFKFHNESGIANLYSLHSWLGIGTISLYGIQWVFGFVAFFFPGASPNVRKGVLPWHILFGLFVYILALATAELGFLEKLTFLQSSGLDKYGAEAFLVNFTALVVVLFGASVVVAAIAPARLEEEQDYAPIPEN >Et_3A_025307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28857495:28874187:1 gene:Et_3A_025307 transcript:Et_3A_025307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYTGLIARLKSSPEAARDLFIKYTPEDVEARIQHLFEGASNLGRSLWSNSDDADAFLEAVDELISAIHGLENTSEDHGLLDSFDCLLERCSSRLEDEFQHLIGTSSFDDNNIERSHTDNVKHTLVALPLTNFDIIVDALPEVIIIEANRIARRMIAAGFGDKCVETYASARRNFIDESIACLGFRAHMAEMFKSASWEELETQIMRWIPAIHVVFRILIPSERHLCNRIFEGFASYNDLVVTAACKPFFQLLSFTNFFAASGKSPECLFRIVDMYDALTDILAVLDDTFDHEVAGLRDCLGLSIKDIFMALENLIKHDPSGSSPPDGGVHPLTRYVMNYLMAACASRHTLEEVMLLEFDCVKTCSIDPDRPTSSLAVRFAWIVDVLMENLELKSKVYGHAPLGCIFLINNGSYMIKKVDGCELNVLLGEDWTRLLSLKVRHWVMEYSEATWGRATRMLEMDSLNTIIEKLNHFHNFVEAICQVQSRWVLVEKQQAEDLSTMVEELVIPVYRDTTGMLEATGAPGNSYPRTEDVKSRIQQFRAMIGVSALQSRAASLSPVPQHPRVVSRIGNDPGSLLVSNNVPEPEEVVLEEVHLLRHRQSIRQLRCLIIKP >Et_10A_002334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9115207:9117337:1 gene:Et_10A_002334 transcript:Et_10A_002334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLPSFFIHRIKSGGRAAAAPPQIRDKEQVSTSAGGDGKVKDVKDAKETTAKKAAGNDAAVGRKVMVASDGGSEEARTALQWALSHAVRPCDTVVLLDVVRGGLGGGNKNRADPRGCQQREAMRSICQAKRPEVRVELFLVEGKDRGPAIVEAARKQGVSLLVVGQKKRSVTWRLLSMWIAGMKGPAAAAGASAADYCLHNAACMVLAVRRKSRRGGGYLITTRRQRDFWLLA >Et_3B_031129.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:17156482:17156943:-1 gene:Et_3B_031129 transcript:Et_3B_031129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSVVDENVRSTNRCLGERQGGGLGYAHFDLSVFEVWDLQTQGENSEWWKLVHQIDVMDELVQKNEEAADFVCHTHGSILVAHINNNNLFQVIGFHPTDDIVYFDVGSTLATYAMDNSSIRFLSPRHCFQCDVFPYVHPAHPVAIPQIHNSS >Et_7B_055090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7153988:7154824:-1 gene:Et_7B_055090 transcript:Et_7B_055090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASSFLSPAKLAPLQGRRFAKPRRVVRFPPARAEVKEAEAEASLPPRQEEASAAKSRVGDAQSLPRQPLAESKNMSREYGGQWLSSTTRHIRIYAAYIDPETNAFDQTQMDKLTLILDPQEEFVWTDETCQMVYNEFQDLVDHYEGAELSEYTLRLIGSDLEHYLRKLLYDGVIKYNMLTQNLNFSMGKPRVKFNSSQIPDAK >Et_8B_058533.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:13807251:13807700:-1 gene:Et_8B_058533 transcript:Et_8B_058533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GSALTGLLLLAVRPLGDGEVDLLAGRGLGLEGLLEAVPHQRGADVGVADVGHPRRTPEGHRRRRRRHRVSPPPSLLAPPPPVGGWRSEMGGMRPRCLACAVCFCWSLGWVRHLLIMRCGPRSSWAGPDRIRAHKWCFFLRSPINGVDCS >Et_8A_057621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5321367:5324315:1 gene:Et_8A_057621 transcript:Et_8A_057621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQWRTFLSPAPHHAMAMLAPPPPPNRTIYPPFRSPSPRYVARAAPMLSATEATVSSPSSPKKKVLVPVAMGTEEMEAVILAGVLRRAGADVTLASVEDGLEVKASCGSRLVADTHIASCADQVFDLVALPGGMPGSVRLRDSDILQRITVRQAEEKRLYGAICAAPAVVLLPWGLHKGKKITCHPSFMGDLPTFRAVESNVQVSGELTTSRGPGTTFHFALSFVEQMFGIHAAEDVDRALMTQMDADLERSTEVNEVAWSFDHNPRVLIPIADGSEEMEIIILVDILRRAKINVVVASVEKSPNIVGSQGMKIVADECIRSASDSEYDLIILPGGPAGAERLHRSRILKKLLKEQKQAGRMYGGVCSSQMVLQQQGLLEDKVVTSHPSTTNQLTRKVIDGSKVVIDGNLITGKGLGTVIDFSLAIIRKFFGHGRARSVANGIVFEYPKS >Et_8B_058858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1080584:1082376:-1 gene:Et_8B_058858 transcript:Et_8B_058858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGPPTTPAAAASFRPPIPPPPPCFDYRAAVLADTRAAAAAKDPALAGLVNSGALVRVPRRRFGPVPAWRPPDFEEPEEVWILGTSHLSAESVDDVERVLRAVRPDNVVVELCRSRQDRAPSHSLEHLLAISIKHAVTPLQSHHCRAGLMYVPSGGSDEPLLKSNMFSLGGAKFFGAVNRSINLGNSILHSGQSALALRLLLAVFSSKISSGANRPFGQEFRAARRVSEDIGAQLVLGDRPIEITECGYLSAYCCRNASSVIYSERFSEAHVRLLLQLERAWKSLSWDEKSKLVISLFRGITSATEDTPVSTVIHVVSQSGLLERVEQDEKTAGSPYELYQKLSGSYPSLLQPLIHERDMFLAWSLKRSKAVNKSKTVVGVVGKGHMNGIVYALISDQGDLRFRDLVGRESSDTWATSVIKGLVRDTIIGLVIWALYELLQSVL >Et_1B_012326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30945845:30946787:-1 gene:Et_1B_012326 transcript:Et_1B_012326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GCRHEIEGDEEVVLKDQEMKSKYLEAECCRLSFAFQCCTAENMAIRQCLLKDRPVDAPTAMQDSQTLPLVSQLWLVSIVCLFLMPGVPNKSLVVQSGPEKDPVKLVRMVTNGIKMIRRTANSVKVTRMTAIADARGTSELVRHGRRGKGRRARTKFPWLLWHATAAY >Et_2A_014555.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:22349419:22351056:-1 gene:Et_2A_014555 transcript:Et_2A_014555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGSPGHCGSGERSLHLQGELEDLVEADGGRAVGQGPLEGVHEAGNPEADGDEAEVLAGADAAAGAERREAEVAAADVDVGAALRWQEALRGEGLRVSPDGGVVGDGPHVYHRGGSGRHHHAVGQEDVGGGEARPAEQRARRVGAQGLLDHRLQVRHARHVGVGDDTGCDRGVHLGEQPGLDGRVAHQLCHAPLGEQGRRVCPTEDHLPEDGDHVVIAESLAAGLEGEEHVHEVSRRSINNVDRDQGGALAVLVDDATDHGLDARDERGAAAGDVARVVKAGEPGEVVGPVERAEELEPLADHALDLVVTVVMAPSPTQDAAHDAVEGGVLEVAAENYHGGLVLGGVGGDGAEHGVGVALASGLVRGDAARGEEVHRRDAAEAAPVLAAAGREPDGPREHELPGRPLHGPVRERQVPEHLLRRRRGRRHHGGRVPDGESHEVLGPRRARHRGERAVRQPPAGQRQDAAQHGQPVRTLDGRHAEAAAAVRPRRPARRRGPREQRRRDRAQEERAADDERRVCAKERARARGGHCRTCLNSLAVAS >Et_10A_001876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9515750:9515966:1 gene:Et_10A_001876 transcript:Et_10A_001876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAVTSFTGTEHNIGEERRKKIQWRGPSQIGGEAGGTAVCMMGDKPEPNITRGREASVLRPRPSL >Et_3A_023355.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:22538482:22538682:1 gene:Et_3A_023355 transcript:Et_3A_023355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASSRLAAGLRWKRVSSGLSSFGGGGGCASALFDTVAFRVMYVLEAVVLGLALSCFFCCCGCQI >Et_10B_003940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8072480:8076352:1 gene:Et_10B_003940 transcript:Et_10B_003940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KVPNIYSSRYSTLVVPSNEGLIPPELLSSQTVWTPERKIGQYEDLVARVTNFHNEDKGYMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLFVFEDLEIPSHKTKNIVNYISQMDDTKKVLLVDGVDIDKKLKLATQNLHYVNVLPTIGLNVYSILQHDTLVMTRDAVNRIVERMHTPINR >Et_8A_058096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19711924:19721525:-1 gene:Et_8A_058096 transcript:Et_8A_058096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVQTDGLQSPNGSDAEVLEYLNLDGDGEEESHGQEDAEGEQRPSADAIGEQSLMDVSQGTDDAEGEHADEEQIDMDVDLKGITSVVDEEKGKTSSDLCARVPIDFDIPSLEKFCKEASRSFFSETGLVSHQINSYNEFVSHGLQELIDSLGEIKVEPDYNPSNKAGAWKHATVKFGKVELDDPEFVVENDAENLKLRPKHARLQKMTYASRIKVEMTVEVYSLETSDKAKTGKDTYVRKRDILKETKQVNMGMLPVMVKSNLCWLHRLQESDCQFDYGGYFVIKGTEKAFVAEEQRCLSRIWVTDRPCWDACYLSQTKRGKIHVKLVQSKSSENRKVINMSFMGAIMPIWIVLFALGVSSDKEAFDMIDIEDCDASVVNIISSTVKESHEECEGFRGPGRAQQYVDGLIRKTKFPPEESFDEYVRNYMFPDVHGNRSKAIFLCYMVKCLLMAFSGKRKCDNKDDFRNKRLDLAGELLRRELWVHLRHAEKRMVKFMQRHLSAGDSLQDLRCYVDASIVTNGLTRAFSTGSWRHPYKLGKCSGIVATLRRANPLQMMSDLRKTRQWFAYSGTTGDARYPNPSYWGKLCFLSTPDGEKCGFVKNLAVTATISSLGRKPLVDTFVSCGMKKLDDLSIQEICGKDRIFLNGNLLGVCVDPGEFVLRLRSMRRTMQIDSQVEIKRDRHSKEVRVFSDAGRILRPLLVVENLRKIMKPKGGLYSFQELIDQNIVEVIGVEEEEDIQCAVGVRHLFAGDKDVGSLCYTHCELDPSFLLGLSCSIIPFANHNSARRVLMQSEKLSQQAIGYFSTNSHSRLDALSHQMYYPQRPLFKTVVADCLGRTDHAFGKKDDFTRAEYFNGQNAIVSISVHQGFNQEDSLVLNRASLERGMFRTLHFKNYKAQVDNKEITKRLKHRENINFEKMQSKRGRVDSLDNDGLPYIGASLQSGDIVIGKVTESGEDHSVKLMHTEKGMVEKVVLSANHDGINIANVTLRQSRSPCIGDKFASMHGQKGVVGLLDSQENFPFTRQGIVPDIVINPHGFPTRQTPGQLLEAAFGKGIALGGTSRYATPFTTPSLDVISEQLHKAGFSRCGGESVLSGQTGERTRSLVFMGPTFYQRLTHMAEDKVKFRNTGPVHPLTRQPVEDKKRFGGVKFGSMERDCMLAHGATANLHERLFVLSDFSQMHICQSCERVANVIMRPVEGGKKLHGPYCLFCRSAENIVRLNVPYGAKLLYQELFSMGICLKFESEVRSN >Et_1A_007848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38811542:38813454:-1 gene:Et_1A_007848 transcript:Et_1A_007848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDAKHYDSKMQELLQQGEGDEFWTSYDEVCESFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGVVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDNIRMFVLDEADEMLSRGFKDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQKFYNVTVEELPANVADLI >Et_10B_002648.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:2962213:2962542:-1 gene:Et_10B_002648 transcript:Et_10B_002648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLAAAAAAAAAARGDDPAAADALRACEFVYGAASVPALRFMRGYAAEGSWAAARSLLSLTPQGGIGCEAALKGAAAGTAAAGDMAAANREFSQLNAMAIALLNAVS >Et_7B_053464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21129980:21130663:1 gene:Et_7B_053464 transcript:Et_7B_053464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQDALVEISYSTFDSGRRRKSDDTCVLLQGLSQAKYLALISDTKMLVFKRDLNWCPTFSNLKTLLLNEYWCKPADSFSALTCILEHSPVLERLTLQLFCKGAENKIKMKERSDPRDRSSAISKYLQIVEIKCEVVDKEVLTILKFLRSQFNIRKIEHRYL >Et_5B_045654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6216508:6220279:-1 gene:Et_5B_045654 transcript:Et_5B_045654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSTGYCRYLDLPELHGHDLFPTTEGLLVLLDRATSVVRLLNPFTRQAAELPPASTLLAQRELKLGLPIIDLLEVSGAGLADETSIAIHFRRILTVAVVKPGDAHWTVVAHDTNIRPAMSFAGRFYCATQYDIMVVETSTDHPPRLSVVAELAKPLSAMMMDTVHLVDNEGELMLVDRRQIRNVSKYNGNNGMEYNIYRVDLDARKIVPVRGLGGRAMFIGLKLALSVSPSVFPSISADAIYLGYENLLTGWLDNSPIHLMDGTSEPRELDLDDSSSYGPLGVDDHLSWLKRLLLQMLTAMVLSSLLYDGTADAEVVFFGEVGYCLIGKDVKMPTRSNRKAEDIPSKIASKTVKLKIY >Et_9B_065450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5139007:5141986:1 gene:Et_9B_065450 transcript:Et_9B_065450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNGGGGGGEEEGSGLELSLGLPGYYTRSPGRAAGVEEMASGVKFWSLQPTQIPQAEKKDQSCFVLFIIGQIFVLCFCGLKLCFVLCRPAAAAPVVGWPPVRAFRRNLAASSSKPSHAPASNGHHDAAGAKVEAGKKGLFVKINMDGVPIGRKVDLAAHGSYDRLSAAVDELFRGLLAAQASGGEQKVIAGLLNGSGEYTLVYEDDEGDQMLVGDVPWEMFIATAKRLRVLRSSDLNASSLRVATRRRSEVEL >Et_10A_001035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20365552:20373113:-1 gene:Et_10A_001035 transcript:Et_10A_001035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAEAAAGGPGGGVEEGVGESSSPPRDAAAAPAASGGSGGGGARDICAQVYERLVADGHEGASDPEFREGIFAHFARLPHSYQLDINVDKAADVIVHQKVLAEAKDPDRRPAFHVRFLRIEDVDPSYDSDASEEGADDGDDLSVRQETSYTNIHEIVFSTIDKPKLLSQLSALLSDIGLNIREAHVFSTFDGYSLDVFVVDGWPVEDTDGLDKALEASILRNEGSWSGSSHSSAAERTLPFQVKGGDWEIDKRLLKMGERVASGSCGDLFHGNYLGEDVAVKVLRAEHLNKNVWNEFTQEVYILREVQHTNVVRFIGACTKPPQFCIITEYMSGGSLYDFVHKQHNVLELTTILKFSVDVCRGMCYLHERGIIHRDLKTANLLMDKDQVVKVADFGVARVQDQGGIMTAETGTYRWMAPEVINHQPYDNKADVFSFAIVLWELITSKIPYDTMTPLQAAVGVRQGLRPGLPRKAHPKLLDLMQRCWEADPSNRPAFPDILAELEDLLTKVQPRSAFNKICPMEASITAQQMKEEITK >Et_2B_020496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20830407:20830858:1 gene:Et_2B_020496 transcript:Et_2B_020496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTRGYERCATAGAVIRFEDGHTRFELHRPGLFYFISGVRGHCEASQRMAVRVAAARSSALATAGPAPYPATHTALAGSGRVDRPDDCCAHVNAIGAPVDCYSFVFVFLLMFLPDLLFASARAVG >Et_3A_025406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29658463:29659603:1 gene:Et_3A_025406 transcript:Et_3A_025406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IEALKEVGVTEVVLAINYRPEVMINFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLADGSGEPFFVLNSDVISEYPFAELIEFHKSHGGEATIMVTKVDEPSKYGVVVMEEATGRVERFVEKPKIFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPQIAADQQLFAMVLPGFWMDVGQPRDYITGLRLYLDSLRKKSAARLATGAHVVGNVLVHESAKIGEGCLIGPDVAIGPGCVVEDGVRLSRCTVMRGVRIKKHACISNSIIGWHSTVGQWARIENMTILGEDVHVCDEVYSNGGVVLPHKEIKSSILKPEIVM >Et_4A_032191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22856635:22858431:-1 gene:Et_4A_032191 transcript:Et_4A_032191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIRGRWFMFFASILIMAAAGGTYIFGIYSKSIKSSLGYDQETLNTLSFFKDVGANVGVLPGLINEVTPPWVVLACGAGMNLAGYLMIYLSVTGRTARPPVWLMCVYIAVGANSQSFANTGALVTAVKNFPEDRGVVLGLLKGFVGLSGAIFTQLYRAVYGADDDGADLVLLMAWLPAAISLLFIPTIRIMPRRQSVAASGERRAFFYFLYASVVLAVYLLVMNVVELEVLGFPKPAYYVTATVLLLLIFFPIVIVPQTPAPSTVTIITVDDDTKQTQEELAVDSDNNKSSSPSCFQDVFRPPARGDDYTILQALFSVDMLVLFVATICGIGGTLTAVDNLGQIGQSLGYPPRTISTFVSLVSIWNYAGRVVAGFASEYVLAKYKLPRPLALTAVLLLACVGHLLIALGVGHGLYAASVILGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGAVASPVGSYILNVRIAGRMYDREALRQNGGQRTVGKDLSCVGVRCFRESFLIITGVTLLGALVSLVLVWRTRKFYRGDLYGRFREVGMVGARAAGDGRHQQGQEANAGSDDVGVTKDTAKDSTGTSGEIVNGGKV >Et_10B_003316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18758545:18761283:-1 gene:Et_10B_003316 transcript:Et_10B_003316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWNKPPAPGVTVAVDASDTDHARLRELGYKQELKRHLSVLSNFSISFSIISVLTGVTTLYNTGLTFGGPATMTLGWFVAGAFTMAIGLSMAEICSAFPTSGGLYYWSARLSGHRWAPFASWITGWFNIVGQWAGTASVNFSLAQLIQVIILLSTGGNNGGGYLASKYVVIAIHAGILLSHATINSLPITWLSFIGQFAALWNMLGVFALMFAVPVVATERASAKFVFSHFNTDNSAGIHSNLYIFVLGLLMSQYTLSGYDSSAHMTEETKNADWNGPIGIISAIGISLIVGWGYILGITFAVTDVPYLLSPDNDAGGYAIAEVFYLAFKSRCGSGFGGIICLGIVAVAIYFCGMSSVTSNSRMTYAFSRDGAMPFSSVWHKVNKQEVPINAVWLSAFISLCMGLPSLGSLVAFQAMASVATTAVCIAYALPTLFRITLGRKSFVPGPFNLGRYGVLVGWIAVLWVATITVLFSLPVSYPVTKNTLNYTPVAVGGLFTLVVSSWIISARHWFKGPVMNLGA >Et_8A_056494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1153044:1155382:1 gene:Et_8A_056494 transcript:Et_8A_056494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSHRVERSEIKPGDHIYTWRASYAYSHHGTFPFLPPPPSSMPATIVLNSVSVRRIYVGGSKVVHFTTKKEAGTAGLDSAIAVSSLLSRGSDECPTFPDCGFQLPDSGVVLTCLDCFLRGGALHRFEYGAPPAVFLAKLRGGTCTTARADAPDAAVRRAMYLLQNGFGDYDVFENNCEDFALYCKTGLLPAGAGDDAGEPSPFGGIGRSGQAASAVGVPLAALFSTPFKLLAAGPLGMAAVTAGVYCAGRYITDIGVRKDVVKVEVEDLSAHLGWRRAKAEEAVKKKQPVQTKRLLPLKRKRDFWVK >Et_5B_044736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5226961:5229979:1 gene:Et_5B_044736 transcript:Et_5B_044736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAARAALLMALVLALVLRASGAGAGADCHFPAIFNFGDSNSDTGGLSAAFGAAPPPNGRTFFGVPSGRYCDGRLIIDFIAESLGMPYISAYLNSIGTNFSQGANFATAGSSIRRQNTSLFLSGFSPFSLDVQSWEFDQFMNRSELVYNNKGGVYRQLLPKAEYFSQALYTFDIGQNDITQSYFINKTTEEVEGIIPDLMERLTSVIQSVYWHGGRYFWIHNTGPLGCLPYALVHRPDLAAPMDSAGCSVAYNKMAQLFNLRLKETVASLRKMHPDAAFTYVDVYTAKYRLISQAKKLGFDDPLLTCCGHGGRYNFDLNIGCGGKARVNGTWVVVGNSCNDPSKRVSWDGVHFTEAANKFVFDQIVAGALSDPPVALMQACHSRGH >Et_9A_062099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19692480:19696376:-1 gene:Et_9A_062099 transcript:Et_9A_062099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SNGASSPKTPKENVLVAKENLPLSGSKLSNPEPHQRSCEKSNVSRRKHLMLEATEGVTELKNLPTPIGLYEDECAFCHSFRTSEYHGPMECRLNGRILSIDEGNPSNGIYVHQKCLLWAPQVWFESDESDIVVNLEPEIRRASRLRCHRCELPGAALGCYYESCKKSFHVPCALQINGCRWDVDERLVFCPEHVSVTFDKEHVSKPLPCDKLSSHKKKTDQSSSLALSQCLHKKESFVTFQGEGQQTDQLHTKTNVRIKKHFPHIAQGKANKCISLPHQVLPRDSSPHQVLPRDCDEEGISSACQGKEMKGDQQETSRYSSEKWILLDSSSSASEKILYKKGNFQRCLQKRQNKNRSTVGFARFIFKCVREGKNAGSAWSRTYEVLMAILFGKWIVRAEWMLNSLEARPDLEDSYEVTFSDGSCALDGPKKGRTRVAEGTLYPYSQAPKLFSGLHFCLSAYMNPGDRGLMRDLIAAAGGRLLEGISTLHHLRREDPSVRPYYVYDGGAPAKLNATLLQEEVEELNEYGAAGAGVTSHLSVMDAIAAYDVKVLN >Et_9A_062870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6018882:6026615:1 gene:Et_9A_062870 transcript:Et_9A_062870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSPSPSPSPRAAGVEPTTVQCSSPEPRLSHAAAAAAATPPRASDDSCVVNDVDAFARTIAAIRSKPPAAAATASGDSNTTSLAAVLSHYAARWLPDAASSPSSGRFVLMPPPPESPTAAWLKKRLLLESLVAALPPDDGADAEEDDGGVTCDFLLRLLRAGIVAGADAALLGDLEARAARRLDQASLAAVMIPAFGLRHGGGAEAGATLLDVPLVLRLVRGFLREGGGGGAAAAAKVARLVDAYLAEAALEAGLRPPEFEELARAVPAHARAADDGLYRAVDTYLKAHPRASKEERRSLCRLIDARKLSAEAAAHAVQNDRLPVRCVLQVLFFSDHGGGDGGQLSHHRRFAEWSGGSFRDLQVRSPAVSRDAARCPSKREVVAQHHEMRRLREDVARLQVQCHALQAQVDRLGSESRRRRGLFRWGAAFLFGGGAAGAARFDDSDSGVERTPLSGRKHHQDRLAPTPATGTPTVARWRRSHS >Et_3B_031519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3571831:3575176:-1 gene:Et_3B_031519 transcript:Et_3B_031519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRMRWMSDEDGQWELDAETPVTMEGTARPVPSDPLPLGLSRGPRVTRPKQLNFLHCFMASPLVPSFSASLDGLFVHHAHILHLANNWSCTILEKIHVPKLVSVVKEKLANRQEEPWSKDLKKHLHDVMSFGIGTELLITPDTTLLLELYDIKKGDRGKAIFHHKAKNLDALKLFGIPLLPHQNLTFQASWPGLFVDKKGAYWDVPLSLSADLASVGSSSGLSYHLLLQQNSGEPKCFGGDGTSDVPVALLPGLCAKAAISIKKSIDVWRRKEDKLKNVLPYDIFLSDSHASFTGIVGAVASGYFGDCSKRVSARDETRKSNAFRMFDERKKFAAFSDLFASIHFTAQYGNFQRLFLDLTRASARFDISSGSLFLCGASRLAQDFFFSRRPDIETFCDVCPDVVVSLQQQIIGPFSFRVESSVTIDPRRQDHFVRVDDSVFAIDWALKVLGSAKATAWYSPKHQEAMVELRFFET >Et_5B_043195.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:16957852:16958052:-1 gene:Et_5B_043195 transcript:Et_5B_043195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGQFDGQGRQATAGILNVIADLARIRAGYVPAGWVQPGVGDAWNQHGLGITARFLDYVDKQHK >Et_1B_009656.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11840274:11840612:1 gene:Et_1B_009656 transcript:Et_1B_009656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKAVPAGCFAVLVGPEKERFVVRARCANHPLFRALLDQAETEYGFAGCDGPLELPCAVDDFMEVMWEMEQGDPTASPACGRFAAARGSLHMHQGYQMMSPARTFLVAGRS >Et_10B_002459.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:8077920:8079220:1 gene:Et_10B_002459 transcript:Et_10B_002459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMILRPQRGKAEAMMDRRYAEEKKAHDAAEIAALEDALAKANSDAAQQQQRETPPGPPPPPPVGRVHPAARGGGGGGGYYPALRCCTIDHPPTGPAHPPGPPRPPPRARRHRHSHHPRRAGLGVPARLLGLRRQQQPRLPGRRRRFLPGRRQLRRPGVHRRRRPRRAPGTAAEARRWAATTAASAAEDGEARRRLSSRLLSLEAERESMRQAIIDMGADKAQVTLLREIAHKLCKEAAAEPPAQMPVAVAQLQQSYYKAGNAQPAMTVTVRPPRQAPVIVQRAAVLKKPPFRGTSFLAAVVKVINLL >Et_10B_002848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12438535:12442191:-1 gene:Et_10B_002848 transcript:Et_10B_002848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAETDLSPPPLELVPEPAMSPEPDVGGDDNRGWKADMMSALGESVSFGRFLSEPLDWGKWSAFAHNRYLEEAARQARPGSVAQKKALFEAHYARKRKSEEAEAADQGGYEEEDLAAVDCGGGGGDGASLSSSAAGSSCMTDDAATGQEQEVCGGGGGKLDAAECGSGGGDEPVAVAEEVEAITDGVGSACKMDEAASEPCHVEPDAQAQDGAAHGQEGACNDDSGPIEAVQKQPLKEISIVNQDITDSAKKRRLQVPSLLQKPSKFSSPSSGKKGQSSSAKRRSALHSSKENTSPQSTDSSKRAGNSVTKKRSTLAALHMSMSFSRCETGNAASTSRNLGTTIAERINQLQSASRPVENTQPEEFGPQIKTIPRALPELAPRTSQADEQRSSHVMRVKEKLFGSASPSVAQKTGITKEKEKKLNNEAGFKESRQSFCFKASPLPNFCWKNKQAKDSNQKTTQEIKHLPNSNRLPSDAHQMGKGVSKEKQICCFPIRKLY >Et_3A_025150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27330343:27332967:-1 gene:Et_3A_025150 transcript:Et_3A_025150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRAVAPQLFASSSHPIHPARPVAAVPRSNAAPQAIRSGRGKFALAAITLDDYLPMRSTEVKNWTSTEDITSLRLITAVKTPYLPDGRFDLEAYDSLINMQIEGGAEGLIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTRIKVIGNTGSNSTREAVHATEQGFAVGMHAALHINPYYGKTSTAGLISHFEAVLPMGPTIIYNVPSRSGQDITPEVIQAISGSPNMAGVKECVGHERVKCYTDKGITIWSGNDDECHDSRWKYGATGVISVASNLVPGLMHSIMYKGENATLNEKLLPLMKWLFCQPNPIALNTALAQLGVARPVFRLPYVPLPLEKRIEFVRIVEAIGRENFVGQKEAQVLDDDDFVLISRY >Et_2B_020738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23163634:23167127:1 gene:Et_2B_020738 transcript:Et_2B_020738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSSTRPGALEKLKSFKGIEKQRSFKFLSMEKQQSFKRNKDSPGKRGDTALHLAARAGSVAHVQKIFADCDPELVGELAARQNQDGETALYVSAEKGHVDVVCEILKACDVHSAGIKANNSFDAFHIAAKQGHLDVMRELLQAFSALAMTTNSVNATALDTAATQGHIDIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVTSLLSKDPGVSFRTDKKGQTALHMASKGQNAEILLELLKPDVSVIHMEDNKGNRPLHVATRKGNTIVGDSISENLLLSASYSVFTIAGGVTAKEQVNPPSSAKQLKQTVSDIRHDVQSQIKQTRQTKMHFHKIKRRIQKLHLGGLNNAINSNTIVAVLIATVAFAAIFQLPGNFLEDMSQAPDPDMTLGQALVASDPAFIIFLVFDALALFISLAVVVVQTSLIVVEQKAKKKMVFVMNKLMWLACLCISAAFIALTYPEMALSSAAPENEHPLSPDPSGP >Et_2B_022653.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29333296:29333886:-1 gene:Et_2B_022653 transcript:Et_2B_022653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAFSSASGPGALPPFSGVRVIHTNGYVEDFPGPGVVTVAHVTGKVSASDGIATPPRYVLCSSAHLLQPGRGPFRPEDPLQPGTVYFLLPQSVFQAESSAVDLACLMNRLTALARKGCAAAAPGSASPVEALFAAAAADGQRQQQQSAVVAAAAQKAKQTPGRPAPWRPRLDRIDESFGRASMRSASSRSACSEA >Et_3A_024002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1642578:1647854:1 gene:Et_3A_024002 transcript:Et_3A_024002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRSRATTPRPLVGPGEDWGATGRCARQENREAGKLPLGDSTRRRPTNPLIPRAVFFPFLPLRPARFGNPSSEGSRTFRSRRMAAAADQALLDLLPQIHALFSDPLRVISYKWLSRNFSVSSNDAKSIQACIPKDTAVLWNPEFVQAEELFNQPFDEENCLRDNRFCGVLNSFVKRISSGKHVSLLPPKPINSAVAAAPSKPSITRKEPAQQQDLPGRSNLKQVSGNKAEKDNSSALATKDSSDPVVKEPSVAVHASKNKPQNGKAQPGNGGSLATMWGHASAKPKPPTTTNTTAAPSVAATADAQICAKEEADGDSSDDEQGINYKRGSNGANNRKRRAVFDFSDDEEENIISIASPERPAQIAPDPVTEAAEDTKEHQNNSESKQDKLNSVQDCSKGMDSDLFSERKTKSSNTPNHSGITLKEKSCDPPVKDSKQDQAAEPTSTSPKRRKVLKTRIDERGREVTEVVWEGEPSAGNKAEKNVSNADAGSRATLPSKPQPASNTDKSKAPSKEAGSKKPAKAGTKQGNIMSFFKKV >Et_2A_015501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1414409:1416414:-1 gene:Et_2A_015501 transcript:Et_2A_015501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCPASKHRQPPRPSSFIASTSRRDAASRAATPAPCDRRLHAATPHHGRCGYFSSSTDSNRLGIGNGEARIPIWTLARTRTRGEPGTELSNTNTRTCPGTSLSPFRSEKNLVASLAESTSATDSKEPHVTSSEELLMSRRTRVPHGITKRSSQPSATERCYSASSGPTIWVRSPPDWHFMFCIRMDRQGSFHLYPDQLGGPFQSLQEAEDAIDHYVNGLPRPARCDGQDKVNSIESQIRRIVFYPDGTPKSGPNSPEEKNPNYRERRLVQVVLDQYNDDHKLFGDRAHELNDDVKFLWFDEDDTSYYHFNFMTKTGKPFFVELLEMQIGGDSVVSCCCIIGRNDKGILPSSLSVNYISFSIIMYHTHGLCYGCTRDGSTEVQHPKNTDAYTGGRVDCRYLTFGGDPFSDSDDDV >Et_10B_003887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7224369:7226855:1 gene:Et_10B_003887 transcript:Et_10B_003887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTICSVPQVLEGPLLSSWLSVKGGLKMFVIEDKGGAIAIMLASLLFLGTWPAVLTLLERRGRLPQHTYLDYSITNFLAAILIAFMFGQLGESKHDMPNFITQLSQDNWPSVLFAMAGGVVLSVGNLSTQYAWAYVGLSVTEVISSSMVVVIGTTLNYFLDNRINRAEILFPGVACFLVAVILGSAVHASNAADNEEKLNSTTNSYKLGTSESMEPNKESINKDAPKDLENGDSATKHPTKAEAGTAEYLIELEERRSIKVFGSSTFIGLGIVFFSGVCFSLFSPAFNLATNDQWHTLKDGVPHLVVYTAFFYFSLSCFVVGIGLNILFLYRPMAGVPKSSFKAYLKDWNGRQWALLAGFLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRKSSRKTYILLGFMLFMFVVAVAVLMASSGHRSTK >Et_5B_044489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2889908:2892148:1 gene:Et_5B_044489 transcript:Et_5B_044489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVYLDAATKLTLEWQEKMEQYEREYLERGGPEPDVEETESELDAQALRRYSEFREDWIALWSPYYGSFEDATDIPCMRYKNDPAPPLTLAFSCNTLQIFSAMVAGLKHGLQWPLDVYGMVAVRDAVDRNRNIIFYRYRKRDTCQTLTEKDPFLVLTGPTRAVVLTDPVTFECSIRITDGSWPDGFHGQSAARTAAIDHEKVIVLDFGDENNAFVTGDGSIMLYRRVITVGARGKLKVSVEAWQDDYVVVEEDEVDFTPNDAGLSFGLHRLRVGSCQMEVIVAWSLISSDPEPA >Et_9B_064066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10947713:10950304:1 gene:Et_9B_064066 transcript:Et_9B_064066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNEAPLSPRSQLALSCFEELLDCAVADVASECHRIARLGLDCSVDAEEEELRVWAARAAAASGDRPTGAGGGGAEEGGSKGGVDVFGQTHPAIAADIVECMNCGRPVVAGRFAPHLEKCMGKGRKARAKTTRSSIAGRTRSNNGGTASSYSPYPTTANTNRANTPNGMTDVGGVAGGDHRNGVI >Et_4B_039532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20810096:20816950:-1 gene:Et_4B_039532 transcript:Et_4B_039532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHSYDRRGVPLVEATIAAFTLAVIPPLPTRDLSPKISKTAMDKLPVVEPLPPHTNST >Et_6A_046514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16471374:16482908:1 gene:Et_6A_046514 transcript:Et_6A_046514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLNHPLAFTFGLLALRILIPFLFFNDVEGNVISFMTYLAPLPTFYRIYKNKSTEGFQSIPYVVALFSAMLWIYYALLKSDECLLITINTAGCIIETIYIVIYLVYAPKNAKMFTVKILLLLNVGVFGLILVLTLLLAAGDNRIVVLGWVCVGFSVSVFVAPLSIIRQVVRTRSVEFMPLSLSLSLTFSAVVWFFYGLLIKDKYVALPNVVGFTFGVIQMGLYAFYRNATPRIPAKEMEHDKEAPVKDVVKVPEHVVTIAKLGASTVELTKESTLSMEAKQDNNRVEAEEEQPKGFQSVPYVVALFSAMLWIYYALLKSNECLLITINSAGCVIETIYVVVYLVYAPKKAKMFTAKIMLLLNVGVFGLILLLTLLLATGEKRVVLLGWVCVGFSVSVFVAPLSIIRQVVRTRSVEFMPFSLSLSLTLSAVVWFLYGLFVKDKYVALPNVIGFTFGVIQMVLYAFYRNATPVLPAKEVSDNKEVSVTAIAKVPEHAVTIAKLGTPGGEAKSCEVRPVEFPLTGEAKQEDSVAEELGKVADKGSNAV >Et_8A_057012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20104134:20109576:1 gene:Et_8A_057012 transcript:Et_8A_057012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNRRGGKSGGKGKAEREFWRSIERDLFRSAAHAAAELSRTAHAVVDLQRPADQAQLHLRGCACPSVVLRVRPGVTAVQSRAHCSRARILLPCSRAHVLLPWPAESGRNKRARREKEQEVRDAVVIDDDSDAEPEQTTGLDEIGRSGPRVIGPMDNFTKSLDSSSLSNGKKLVQPKISEHVMKERLHRFKRYVARWLYVRGKSARLNQPREIEDDIYSEPEDGPVDEEEIEFESDQDDVVTRDYEEEEGAGTRDKNIGQTKFPNGMFLAKLLDNLQFHR >Et_1A_007385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33990564:33993895:1 gene:Et_1A_007385 transcript:Et_1A_007385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYLLAPAPTTASASPLLSKDAAKSSPPSGTLRIRALGSRSSKARPIMAVASEQSAPPVKYPKVAAPTTGPIPAAELLGVIQEAAKAGADVIMEAVNKPRNIHYKGVADLVTDTDKLSESVILEVVTKNFKDHLILGEEGGLIGDSSSEYLWCIDPLDGTTNFAHGYPSFSVSIGVLFRGKPAASTVVEFCGGPMCWSTRTISASSGGGAYCNGQKIHVSQTDKVEQSLLVTGFGYDHDDAWTTNINLFKEFTDISRGVRRLGSAAADMSHVGLGITEAYWEYRLKPWDMAAGVLIVEEAGGVVTRMDGGKFTVFDRSVLVSNGVVHGQLLDLIGPPTEELKKKGIDFSLWFKPDKYPTDF >Et_2A_016527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25648808:25653982:-1 gene:Et_2A_016527 transcript:Et_2A_016527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQNKQISAVIREAEYGTFRRIYTATETRSRNSLGFPVRAMGVHAIPNDVLERILLRLDSPLCLLRAASACKQWRFVIAGAAFLRRFRSLHGRPPVAAVYHEDSLNRRRRPDLVTLPPDAIDGRFFSLDFLPDSNGASPWVWRIEDSRGSLLLLDRLPDAQGSGRYRDMIVCEPLTRMYMRIAPRARFAGYKSLRASLIDGESGGGISMSNFQVVCQLYSDGIHSDAGFFTMGSNQQYSWRKKSIDQLMLVSESTKELTPDSLYWYNGGRRIVALDRSSGKFSSVKLPEFEDWDLHKESFRLIVTAGRDGKARVILSESTGDLKVFARLHGSGEWVMENSVRLSVVAQGLPGYVPWCFNQRAEIHKTGTPEIIVSQWMTRAWWSFYLDIETIDVAPAPDPDMHQAYPCELPWPPSLQATTEAGGLLLLIRAPANSHLSSCRKSIDDWDLHNESFRLIVTAGRDGKARVVLSDSGGDQKVYTRLHGSGEWVLENSIRLSVVPQGLPTYHCTSISAPRSTRLALRRSLCRSG >Et_3B_028642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17617631:17619139:-1 gene:Et_3B_028642 transcript:Et_3B_028642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSDLPVLLYLWLDWEGRRNLSRRETDGEIGKSARGRRQGHFGLFASSFVIDWYPYVTGTSVIAFKYKDGVIMACDTGASYGSTLRYKSVGRIKAVGKHSLIGASGEFSDFQEILRYLDELTLSDDMWDDGNSLGPKEIHSYLTRVMYNRRNKFDPLWNSLVLGGVKKGPKGDEKYLGMVNMIGTHFEENHIATGFGNHLAIPILRSEWREDMTFEEAVKLIEKCLVVLLYRDRSSINKFQVAKITAEGATIYPPYSLRTYWGFSHFENPAQGAVGSW >Et_3B_031474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3160538:3162009:-1 gene:Et_3B_031474 transcript:Et_3B_031474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKKSNYLIAIVFASLLLSAMAGGHKKLLNKDEAAGSMETSGSMQQLQEDDEVVVMVHKRILKHVKMDDYGKYDPTPTMAKPHFKDIPN >Et_7A_052295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6615866:6618530:-1 gene:Et_7A_052295 transcript:Et_7A_052295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGRNGAVRRYNRSKEPRMRWTADLHRSFLQAIECLGGENKATPKLILRFMGVKNLTISHVKSHLQMYRTARPGPGRREMEAPLQRKHSCAADEQGPNDFLCPPLKRANMGTEAAYKGMQGSQGISEMRTAGNQYCMHDYMQAMVMERRIKQEGLRWQRDAAAAVSNLQTVGCLVQESGSFKISSTEEHHLGPASSFSVAAAKGEPDEPSEKCSLSLSLGLDPKCTIVTSSSPSGSSCIISASPTRRISSDCSSHSGCFVAPGVSLELSLSICGS >Et_3B_027884.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24702907:24703122:-1 gene:Et_3B_027884 transcript:Et_3B_027884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAGARLPSMTSSSSFRLAAADATNARSTTARRAAAANLVEAAMDGDRRTSRQAKKPRSCGEHGDKTS >Et_1A_005233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34453459:34454226:1 gene:Et_1A_005233 transcript:Et_1A_005233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDTGLAHAINTRAAVTLLAPNDTAFEAFARGAPPGLPRSFVADLLALHVVLDYLDAPKLAAMQRGGAGGRRLLQSTRAVPRGAGFLRVAGDKAITFSSAAPGGGQRNATFERQVVAQPYNVSVLQVSGFVVPPGIKFVQPFPPPRARHMSTPPPSRAPGPAPAQPPVSGSGPLVPAPVRPLPNPNLIDSPPPLPEEETGVIPIPSVHGGMAAKLPSAAGHGAANWRSGLAAALGITTCLLGCL >Et_7A_050239.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14642378:14643300:-1 gene:Et_7A_050239 transcript:Et_7A_050239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEGVSMEQMAATDMFQLLPPTITGQPQQQQQYRGVRKRKWGKWVSEIRQPGTKTRIWLGSFESAEMAAVAHDVAALRLRGRDAQLNFPGSVGWLPRPASTHPADVRAAAAEAADRVRREPALVAARRDDEEELYGFGGLRPAVPGRAVELAGSDEEYDLDLGSPRLWAEMAEAMLLDPPRWDTGVSDADVAQCWSHGSLWDAC >Et_1B_013775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16015198:16017643:-1 gene:Et_1B_013775 transcript:Et_1B_013775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRRLLLLRRISHLHPAPTPAISSPPPPALAGLLAPLGRRHFAFSSAEEAAAERRRRKRRLRIEPPLHALRRDHPPPRDPNAPRLPDTTSALVGPRLSLHNRVQSLIRSGDLDGASATARAAVSSRVRPTVFTCNAVAAAMVRNARHEDAVELFDFFFKRSNIVPNIVSYNTLILAHVEAGRVDTAMEVYRDILASAPFSPSAVSYRHLTKGLVAAGRIGDALDLLREMLSRGAGADSLVYNNLIAGYIELDDWNKAFELFNELSERCLVYDGIVHTTFMEGYWKQGKDKEAMDNYQSLLDRNFKMTPATCNVLLETLFKHDKHKEANDLWETMMDNHTPPSFIGINAESYNVMVNQCFKEGKFQEAIEVFHRQPRKNVQMDVGCFNNIIGKLCENGMLAEAEKLFEEMETKSVLPDVYTYTYLVDSCFKEGRVDDTMQYFYKMADGREHGPKFNIGFFNRMFEGLTEAGRIDDALKVYGRMPDKEIKPNTTTFDILVKALCKEENLDRARDLVVDMARGGVVPPQEFREYVVDIFKNADRLEEIEKAFEEKPVPTRQPSVDLGATVHLTKANQGMILYHLVLALADPKCSSLRGCLLNPTSLCLVALIKLEKQIMVAAHCIKGLVHLKSHSLGFVLLEDRLDSRQNIVHGNMQLVLLKWNDLLLAQVSRGNQDLVTLSRNSQHFLPLKISSPRLEHLVHGSQVLALLKCSNLGMVHLHHSLRVVPLSHCDRNLALLRVYQGMAKWEISMIGLDLLRVNRNLTIMHRSLGMVPRFLSQDIILSKAEAILSFSMDM >Et_10B_003004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15259791:15263833:1 gene:Et_10B_003004 transcript:Et_10B_003004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLSPPRYLPMRRSWGASGEVTRVLPPPSRKRSCVLASCAREDVPGTRGSEMERRHLLLSGLVSSFVLVLPISESYAVMETEEDVKMATQVDEINAYSFLYPVELPGNKSSFKWVESRKSERYSSAAPLSPDARQRIVGERVDMIHNVVISVSIGPPNSRFLPSKDKSAWDPKDVADCILADRSTLKVTTGQRMTESSVLDAHSTEVEGEPYWYYEYLVRKSPTKSAPEPNLFRHNVACTAERDGYLYSLNASTLSKQWDSMGPVLKKTVASFRLLPPTENYVPPYKDPWRFWSLSLSFTLKE >Et_4A_035336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20672481:20676087:1 gene:Et_4A_035336 transcript:Et_4A_035336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPHLGYPFLPYVIFSLSCYGVVVLGTLIHWLMYGDNGFNIITYRVRLTYGTRLLLSPLSAFSTRSNARGLHLVQLGIVYHDPL >Et_3B_029331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24011124:24019036:-1 gene:Et_3B_029331 transcript:Et_3B_029331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCGLSKSHPLPLLAPASSPRRAMLAGLLRAGYFSRAPASSLARHPAATTRASTRAASCPGDPSLFGLGARVAFSTAPDGSASVGGGRALPWLAVEGENSGAPAARTSAARSSSWEASAEKFFSRDDQYTRREVSEDRVSNKVAIREDDDDEPIDNPKWGRIKDSYQRVVGRDGGYRGERGRDGGYRGERGRDGGSRGGERFRRERFDRPDVKQWNKQDNWGKKTWKEAGESTVPKMAGQGVYGVGPVLAALVAGRREFYALYTQEGMDLSGSNKKKKDKKAVDKVLRMAEKIGLKVIETSKHDLNMMVDNRPHQGLVLDASPLEMVSTKELDPVRVDGGKAPVWLALDEVMDPQNLGAIIRSAYYFGAEGVVLCAKNSAPLSGVVSKASAGSLELIELLSCRNMMQFLSSSAENGWRVLGGTIAPKAVPLSEVNTGVPTILVLGSEGTGLRPLVERSCTDLVKIPGNADGLVVGADADTDVVEEGDNYSVESLNVSVAAGVLLYHLAGKDACPVSDKSSVAIMHDLSTEDKKRSHYLVVLRELQITMRLNTSLSHRTSNFTGVSLERLSLRCEVYFPSNRDPIHVDFYERTLLAGGCLDELLLARFLLKDRIGGQPTTAAEAPLHQIRAL >Et_3B_028240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12162608:12163811:-1 gene:Et_3B_028240 transcript:Et_3B_028240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGRKISQQSLETLVKGINGANEGVRMGRVAVDCEGAGVPGGGWCRLRDRPDEQVRRRSPPAGTPCQKQSRAIARYILRKYKPELLRDGDLEGSALVDQWMEVEAHHVEQTLWQIIRNCITGPYVGRHRDQAVVDESLAKLKNVLEVYEARLSVSKYLAGDDVTAADLCHFGFMRYFMATEYAGVVDAYPHVKAWWDALLARPSVQKVMAGMPPDFGYASGNIP >Et_2A_018522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31625675:31627925:-1 gene:Et_2A_018522 transcript:Et_2A_018522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSPEQSPQAGGWRRRLAGDGAALAVRSPRRINSAEANGEAAAVLTIDRPELKPRPKQHETTVKMKAIVTVHLKSEPALDTASKFSRTLGKILTRKWLSIQLVSSELDPETGLEREIGPVQATYADNQDDFYMFEATFDDVPSSFGTVGAVRLDNDHEHEMFIKDVRVFPDGDESSAVSFHCNSWVIDNEQNGNDDRRTFFPIKSYLPSETPKGVQRLRRSELEAIRGNGRGVRTKADRIYDYDVYNDLGDNRPVLGGSDKYPYPRRCRTGRRKDDKGNEEREDDPKKIYVPRDEAFSERKQNAFDAKLTLSGLHGFIQRTRASEQVETSFTSLAAIDALFEDGSRNQPKQEDGSLTSRAFSVFKEELLHILKGEFAGLKDEIDKLLKFETPEIHDST >Et_6B_048864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14759448:14761872:-1 gene:Et_6B_048864 transcript:Et_6B_048864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLIAAKTAASAARDKEKKQPVTRSSRAGLQFPVGRIHRQLKQRTQANGRAVYSAAILEYLTAEILELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKAPKE >Et_1A_004863.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12824156:12824383:1 gene:Et_1A_004863 transcript:Et_1A_004863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKENAEDKTPIFLTLSSFWSHGGFGRKEASAYSNFKPFNRWPWLRSHRRSSGLGSSGHLQVTGFSFPSSCLAG >Et_8A_058417.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:756290:756532:1 gene:Et_8A_058417 transcript:Et_8A_058417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSADMERIFKRFDTNNDGKISLAELTDALRTLGSTSADEVQRMMAEIDTDGDGFIDFDEFISFCNANPGLMKDVAKVF >Et_10A_000905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1933370:1934710:1 gene:Et_10A_000905 transcript:Et_10A_000905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTHAPCGAEAFAPGRARVRAPAGVGFRGIGPSKAGLPRRPLAIAKRTAGAAVPVAAGAASRLRCAASTDAARPISAPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLYSRHLKVVDVGGGTGFTTLGIVKHVDPENVTLLDQSPHQLEKAKQKEALKGVTIMEGDAEDLPFPTDTFDRYVSAGSIEYWPDPQRGIKEAYRVLRIGGVACLIGPVYPTFWLSRFFADMWMLFPKEEEYIEWFTKAGFRDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKREHGDSPLQLGPKAEDVSKPVNPITFFFRFLMGTICAAYYVLVPIYMWIKDQVVPKGMPI >Et_2B_019715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12979216:12986545:-1 gene:Et_2B_019715 transcript:Et_2B_019715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSAAAAAAAPSSRDIAERLFKKNGELENHLRKSVQSKVPSDPNIWLQMRDNFEKIILADHDFSEQHEIEYLLWQLHYKRIEEFRRNINSAGSAASQSGKPNVSVERIRRIKSAFRSFLSEASGFYHDLMLKIKTNYGLPLGYFSEGPESAGNPMKDDKKIAEVKKGLISCHRCLIYLGDLARYKSLHGDDDSASREYAAASSYYKEAASIYPSSGNPHHQLAILASYSGNEVVAVYRYFRSLAADTPFATARDNLIILFEKNRQSYVQLPGNNRVPPSKTLPPRSSGRGRGRGEVRFQPKDTNTETTARERECSVPDTLKAFYVRFVRLNGILFTRTSLETFGELFASVSNDLQILLSSGPEEDLNFGSDAAENALAVVRLTAILIFTVHNVKKEPDTQSYAEIVQRRVLLQSAFTAAFDFVGRILRRCSELRDVASSFYLPAILVYIEWLASHPELAVDSEMEEKHADARSFFWNQCISFMNKLILTDLASVDGDDDEACFSNMSAYEEGETGNRLALWEDLELRGFLPLVPAQIILDFSSKHKSGNIGSIKEKKERVQRIFAAGKSLLNFVQIDQLRIYFDPSSKKFIMAKKPPEANNPPHGSSDALNMNAIEPEHEAAGRFDSGSAGLSVLRSEVQLSPEGDDDEEIVFKPTASDKFPKPPSEPAVNGYIQPVQTAAGWPANASSASFQGTASVSASGWPSNGGSVPMQSSSSMSAAGNYSINQSLPMSSVGWAVNGEQKVVASIAPRYEFVQPVEMPASSWASNGAPHVGPLNTISAFPDVVSDPRLSASMIPRFSSPDYSKLLSEQEMLLTNGLKNVNITGNGYLEHRLQGGLSGLQPMGYSPQVSIESGGNITNLIHNQVKVAGASIPSKLDSVVHSVAPSDGMPLNYSEAPLAASKKNPVSRPSKPAGPPPGFNHVTPKRQDESISNGNLQNPQVDDYSWLDGYQPSLDQVHNLRAVYPDMSATSTAFTTPFPFPRKQQVSGIRTQATNEKTWQDFHLFEPARQNMFQNYQQRNQQSGQMAEQEPANPIWPGRYLPQFWMT >Et_1A_006591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2537429:2538947:1 gene:Et_1A_006591 transcript:Et_1A_006591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSHILLLALVLVTTSPDTLAKVSARCPDPESIALFPGKPCKPQACRTACAKKYHDGIGTCLYPDRCDCEYCLDSSSTSAQSRMM >Et_1B_010273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33897268:33898629:-1 gene:Et_1B_010273 transcript:Et_1B_010273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGTSLVNGAHDTAKLAGLLEVFIKKYVQCYGCGNPETEILISKTQMISLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGGKDKKAMRRAEKERLKEGEAADEEMKKLKKDAKKKGSKKKTAAGGSDEDNSTSPTRSRDGDNAAADDDDDDDVEWQTDTSLEAAKQRMQEQLSAATAEMVMLSTEESEKKKKQASPKEANANGSVKAEPTPYDELMQDIKASLGSAATPSQLKSVMSSSTLPSQDKMNALFEALFDGVGKGFAKEVIKNKKYLAAAVPDEEAQTLLVQAIEEFGGECNAEALKEVPVVLKALYDGDVLEEESIVEWYNAAVAAGKNSQVLKNAKPFVEWLQSAESEEEDE >Et_3B_027796.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:19672227:19672571:-1 gene:Et_3B_027796 transcript:Et_3B_027796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TATNAAASEESPNLSSSPQPRVLLESNRDQAIPMASRPSLKPKPKGGRGGKKGPSAADEDQSTAATAVRLVKEWTTWTMKTTKVAAHYGFIPLIIVIGMNSEPKPSIAQLLSPF >Et_6A_046468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15588240:15589994:1 gene:Et_6A_046468 transcript:Et_6A_046468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDTFLAISAIEKRPSGRRIFAIEKMENNGFLFSQGILLEAQKIVPDLENISQSAKYTVQQSKG >Et_10A_001884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9831479:9832642:-1 gene:Et_10A_001884 transcript:Et_10A_001884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARHLLTLDEKNPRRIFEGEALLRRMNRYGLLAEGQNKLDYVLALTAENFLARRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQIVNVPSFMVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDGGDEDEE >Et_2B_019808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14143361:14144777:-1 gene:Et_2B_019808 transcript:Et_2B_019808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQPRIELLRKRHAATTVGKRSLAGRVVWIGAISLAVGFALSSVNDLYIYLAAQHGDEENIVKLNLVSSCGRPSTSEEFEQPENDNQSDQSKISISSSNN >Et_1B_013685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1274996:1279440:-1 gene:Et_1B_013685 transcript:Et_1B_013685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLLKMVGFCVWALFWLGGQSATVSPRAGEAFAGGEAVVVDARSAIAVTDDDFVCATLDWWPPDKCDYGTCSWGLATLLNMDLSNKILLNAVKAFSPLKLRLGGSLQDMLIYDTGDTQQPCTPFVKNSSAMFGFSQGCLPLHRWDELNAFFKESGANIIFGLNALNGRVPMPDGSLGGPWNSTNAASFIRYTVNKGYDIRGWELGNELSGSGVGARIDADQYAADVMTLKQIIDSTYQGSSKPLVLAPGGFFDAAWFTELIGKTKPNQLDVITHHIYNLGPGVDDHLVEKILNPSYLDGMTSTFSNLQGILKSAGTSAVAWVGEAGGAYNSGHHLVTDAFVFSFWYLDQLGMSSKYDTKSYCRQTLVGGNYGLLNTTTFEPNPDYYSALLWHRLMGTKVLSTTFNGTNMIRAYAHCARNSDGITLLLINLSGTNTDHIYVTSEGAQTQGSRKEGSGFSHIPGLGKAAVLTREEYHLIPKDGKLQSQQVLLNGNVLATDANGDIPKLEPVQVEGTQPITVAPYSIVFAHIPSFYAPACSPGAGIAQENGRCRNGWGLESGGMGTLRFPWRRSGDDVHALAPALAAGRRLPLMRWIASAAFSDEELTCDDDSVVAVGGREREPWRSRRRRPQERASQHRGKG >Et_4B_037065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14146295:14148310:1 gene:Et_4B_037065 transcript:Et_4B_037065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSFAMNHNPLPGYCVHAKKKHFYGDVVSSAQRRMCLIHGCVSWHWYNLITKPEHRRKLSQALSGFFYPGCRLDQENNILLYPNFEDISGGEELPFSNPSLSFLTDYRMIIPEMCCNGLLLCLCWKVSPEDEYNYVVCDPATEKFVVLPDSDYHTGASTCRLGFDPVVSSHFYVFQILEGHEDYGFVIRVNIYSSETGEWSHKGNGWVNEELQVVESRGVFLNGRLHLLTNDFKLLTVDTEGKIWRTISLLETMPAECLWRGYVAFIGQSQGQLYYINMRDRDASILSVWVLEDYYGDEWKFKYNISTLHLFGVKDLKFERDYALIAIHPECRLIFFVWKREDILMSYDMDRGKVSVISNLKEHLYDQFLPYLPYVPYLSDSLAVAVILDENLGAHRDGFCLCSNIDIELTEQNQGRVQF >Et_10A_002228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4817401:4818555:-1 gene:Et_10A_002228 transcript:Et_10A_002228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNSREKTWFFLENHGMRNSITLLPDMTNPTGITCRVRCMNPLEPFQVKTKQTKTGGKPIHRSMDQVHACCPFFTPPLLPPFLPSSNSSASSAAAIIQLRRDDRNGKQFSARLFGGAGAKGAIDILVAFSPALVDLHLRPFSPPSALPPPLFASSSLAPLY >Et_10B_004473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8613421:8616903:1 gene:Et_10B_004473 transcript:Et_10B_004473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAEKERLKEGVAADGEQQKLKKDAKKIDGSSKGSTEDHFTSPTQNLYGAVGGDADGSDDDDDVQWQTDTSPEAAKQRMQKQLSAATARMVMLSIGESEKNNQAPPKDVTTNPCDELVEQIKAPLGNAATADQLKGVLSSSTLPSQDVMNSLFEALFDGVGKGFKEEIVKNMEYIIAAVPDECYQALLLQAIEAFGGKCSFEALKEIPFVLEALYHGDVLEEESIVQWYNVAVAGGRNSQIQFGVQSAQVQTIENTEAILAQQCAAKFQTGHKLQIHSHRREKGTAKQIHKNHNASSTLLQFTGC >Et_3B_028576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1738719:1744286:-1 gene:Et_3B_028576 transcript:Et_3B_028576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSNLCTVERRGRVHLITLTGAGEHRLNPALLSAIRSAVAASAGAGALVLAAEGKYFSNGFDQDWARTAPLHLQATMGDAFCGLVADLLELPMPTVAAVTGHAAAAGCALALAHDSVLVDFVPALLREKVPDAINRRDLLLRGDKMSAAEAVRRGIVDKAVDGGVEDVVDAAVAEAEKLAARNWDGEVVAEIRKAVWPNLWSKVKDYGADDTAAARARLRGRVHLITLTGAGEHRLGPALLSEIRSAVAASTGAGALVLAAEGKYFSNGFDQAWARTAPLHLQAAMGDAFCGLVADLLALPMPTVAAVTGHAAAAGCVLALAHDAVVMRGSRGFLYMSEVEAGIKLVDFFADVFREVVPDAVNRRDLLLRGERMAAAEAVRRGLVDAAVEGGVEDVVNAAVAEAEKLAARGWDGEVVAEIRKATCPTLWSKVKDHRADAPARRGRVHLITLTGAGEHRLNPALLSAIRSAVAASAGAGALVIAAEGKYFSTGFDQAWARTAPQGPLQLHAIMGAGFRGLVAVAAVTGHAAAAGCALALAHDTVIMRGSRGFLYMSEVDAGLKLVDFFAELLREKVPDGVNRRDLLLRGDRMAAAEAVRRGIVDAAVDGGVEDVVNAAVAEAEKLAAKGWNGEVVAEIRKAAWPNLWRGMATRDLCTVERRGRVHLITLTGADEHRLNPALLSAIRSAVAAVRASPDAGALVMAAEGKFFCNGYDLAWARGDPSDRIAAVRAALRGLVADLLALPMPTVAAVTGHAAAAGCGLALAHDAVVMRASRGFLYMGEVDAGIKIVDYFGELLRQKVPDAVSRRDLVGVKMTAPEAVRRGIVDLAVDGGVDDVVASAVAMAEGLASRGRNGEIVAEIRKAAWPELWSKVNDYSGEAPARPRL >Et_8A_057088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2145877:2148520:1 gene:Et_8A_057088 transcript:Et_8A_057088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSWRTVCLSVLCVVLFLRPAAAIRFVIDREECFSHNVEYEGDTVHVSFVVIKSETPWHYSEEGVDLVVKDPNGAQVRDSRDKTSDKFEFIVQKRGVHRFCFTNKSPYHETIDFDVHVGHFSYFDQHAKDEHFAPLFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMSRRAVHKALLESLALIAASVIQVYLLRRLFERKMGSSRV >Et_4B_039152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8257279:8260986:-1 gene:Et_4B_039152 transcript:Et_4B_039152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDAKGKGKAEEGSGKGEELSDSIGSLSIAPGPTNFKKKPVIIIVIGMAGTGKTTFMHRLVCDMQATNKRGYVVNLDPAVMTLPFGANIDIRDTVRYKEVMKEYGLGPNGGILTSLNLFATKFDEVVSVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYIVDTPRSTSPVTFMSNMLYACSILYKTRLPMILTFNKVDVAKHEFAIEWMQDFEAFQTALDSDKSYYSTFTRSLSLALDEFYKNLRAVGVSAVSGTGVNGFYEAVEASAKEYMETYRADLDKRIAEKERLEAERRKENMERLQRDMEKSKGKTVVLSTGLKDKNPASDMMDDADEEEEEDSLKDFKISEDDEDEGEDEEVDHFVLVGSTGE >Et_3A_026454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8247828:8250377:-1 gene:Et_3A_026454 transcript:Et_3A_026454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFIGKLSWKSMKSSSGGGGLPPAKTPQPLPPSPRANGTAGKPNASPPPPAGSAGAEVKSREDAFIQMVNICCVVFDFSDRGKDSPEKERKRQMLMSLVDSIGAAEEPLTEVMIQACVRMFAANLFRVFPPKVRSGTTASETEEDEPFFDPSWYHLQVVYEFLLRFVTSPFVDPKVARKYVDNSFVSRLLDLFDSDDPRERDCLKTILHRIYGKFMGNRPFIRKAVSNIFYRFVFETDHHNGIAELLEVFGSVISGFAKPLKEEHKLFLWKALIPLHKPKSVGVYLPQLTYCITQFIEKEPKLAGTVIRGLLKYWPVTNSQKEMMFLGELEEVLELIDMAEFQKCMVPLFRRIASCLNSSHFQVAERALFLWNNEHLFDMISQNRQVILPLIYPALERNTRWHWNQSVLNVTMNVRKMFLDMDERLLLTCQSNFQEEEEKRAATEERRRLMWEQLERTAARGHQPVTADTTFPSPPSSVRLVAPTVT >Et_9A_062974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7748293:7752607:1 gene:Et_9A_062974 transcript:Et_9A_062974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVAKGDWIVFSSTRDKPFDAPLSHDYFSIYLVNVADPSVVVRVVTGADPDIDASNLPGHVNHPVFSPDGRSIAFTSDLAAVSAEPISMPTFLFANRPYGDIFSVDIDPDDICRNMDIDKINRVTHSRYEYYTQAWTMFVPEDPNKQWNTLVSMDDSVANFRMACTYGHPDGGESWHITGHLIIPRKCR >Et_4B_038632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3481545:3492538:-1 gene:Et_4B_038632 transcript:Et_4B_038632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFSLTRVRPPIPRGNPLFLQRLRPIHCRRSAPASSHSRHLHLPQLPTRGALAGTPRATADPSASSTVDAAAEAEGAGASTVLLDVTGMMCGGCAARVRAILAADARVETAAVNLLAESAAVRLRAPEPGAGEELAARLTECGFPTTARRGGAAAGAGESARKWREMAARKEELLARSRGRVAFAWTLVALCCGSHASHLLHSIGIHVGHGTFLDLLHNSYVKCGIAVAALFGPGRDILFDGFRAFKQGSPNMNSLVGFGSAAAFAISTVSLLNPEWEWNSTFFDEPVMLLGFVLLGRSLEESARLKASSDMNELISLLSPQSRLVIASSSDDPSSDGSKNSDAITVEVPVDDVRVGDSVLVLPGETIPVDGNVIGGSSFVDESMLTGESLPVAKEMGLPVFAGTVNWIEDAQAREAPVQRLADSIAGPFVYTVMTLAAATFSFWYYVGTHLFPEVLLNDIAGPDGDSLLLSLKLAVDVLVVSCPCALGLATPTAILIGTSLGGDVLERLAGIDTLVLDKTGTLTEGKPVVTSIASLAYEETEILRLAAAVEKTALHPIANAIMNKAELFKLDIPMTSGQLTEPGFGCLAEVDGRIVAVGTLDWVHNRFETKASPSELRDLRNHLEFVLSREASSSNHSKSIAYVGREGEGIIGAVSISDVLREDAKLTVDRLQQENITTFLLSGDREEAVASIGSTVGIKNDNMKSSLTPQEKAGVISTLQGEGHRVAMVGDGINDAPSLAAADVGIAMRTHSKDNAASDAASVVLLGNRLSQLIDALSLSKATMAKVHQNLAWAVAYNIVAIPVAAGALLPQFDFAMTPSLSGGLMALSSIFVVSNSLLLQLHGSFQKTEKNAGS >Et_5A_041463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23238620:23240677:1 gene:Et_5A_041463 transcript:Et_5A_041463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSLDLPAPSTRISSRSVPAYCWISHSCLVSGGLQSAVSGHRGPPLEHKGDASAWRVQGGGDVCRAAFAVESKAPPPFVKQGRLCRIACRVLRECPCPVQGSRVKEVTNCAKKAWYMMKSYYHLPPEMSDIVPKLMFDDGGYDIIDGSNPLCFNRASMLKLKCVDASSGTGLSPSRKSMTCCMLGLKGENTAVQALARGMSPQCYRFLAALCPPSQEVSHCAMLKYPID >Et_2A_018666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4493194:4495181:1 gene:Et_2A_018666 transcript:Et_2A_018666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSPVVDMAICIFVIGMAAAQLLSVLMLARFVRKGRVQAGAGGGAAPRAPVTNCLAKMTLMVSVGVAFVVTCCLVVVSGGLSSFRLVFDDFAGKSLIVATLVTGYLSSTMSLLRDAGNAGPVASAARAGERFSPSLIAVGLLLLPSIAHDALRQFPDFDNTFLGIAILTVIGIPLFARFSCWARNAPGAGGAAAPALATDGALRQADLGAPPGPGRPLLRPRLRPLPDNADSSTGKVDPPFLSAGGEDASALLH >Et_2B_022363.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19217678:19218298:-1 gene:Et_2B_022363 transcript:Et_2B_022363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLQSRVSPPTRCQVLRRKCCQQLKQVEPLYRQQAIFEMVQSIIQQQPQQQEEQAAGGCQQGAQGQMTMLEAMQMAQQLPAMCGLNQPGYGTPPFGMSGDASYQPGYGSSPFGMAGAGSYQPSYGYGTSPFGMAGGGSFQPSYGTPPFGMAGAGGGFSFGMGAGDLSQPSYGTSPFGMAGGGSFQQSYGTPPFGMAGGMAGAAAI >Et_6A_046905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22010746:22012132:-1 gene:Et_6A_046905 transcript:Et_6A_046905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAVVLRFELRDRVAKKTKKEVDLVTRTHGDGDDDASPSRADASIQHRSIVDTFSDATPTSVNAAPFSTLDLYFQGITLFAVGTIHLGVPVPDHKASIIWQILRKLQHTVVEVVRRHAAASASGDATTPTNTWLAGYAAAHFSHDSLGDNTHWRSSPYSWTWMQSKSPVASAAARRWSGYPHRGVAVNITLSPSLQCLFCTSAELARLLRGAPVDEEVDAVHRRVAEWAVHASAAAGEEGVPEVVGEVRRCLGGGEGVPAAMAADGEEHEDSLALAVLDVVADEVDRVTGEIEGVLAVAESVEEGDDDGGARTGVAGLTEGALLLVAAPVNSDVARADEPGCQLDGGEMKCQEQAPCWTTPERHRSWIRGEIHGLDG >Et_2B_021887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6589844:6593126:1 gene:Et_2B_021887 transcript:Et_2B_021887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTEAVTAMEEMAVEETKKTGRKKGGLRTMPFIFANEVAEKLAVVGFQTNMLTYLTQQLHLPLAKAATTLTNFGGTSAATPLIGAFLADSCVGRFWTITGASVVYQIGMALLTVSAALPQFRPPPCKPGGAATCQEAAPWQLAVLYAALLLNAVGAGGYRPCVVAFGADQFDESRAAERARSWGFFNWYYFCNGASMLLAVTAVVYVQDNVGWGWGLGVPAFFMGVSVAAFVAGYPMYRRLEPPGSPFTRLAQVVVAAFRKRRVTVPAAADDGRLYENDEMDEPISLYGKLLHTDQLSFFDRAAVVTDGDLVTPTDASSSGKPVPNPWRLSTVHRVEELKSVIRMGPIWAAGILVITASSNQGTFSLQQASTMDRRLFPGLSSFAIPAGSMTVFALLAMLVTLFAYDRALVPLARRRTGLDRGISYLHRMGIGFAVSVTATLVAGFVERRRREAAAAASTTDAGTAPMSAYWLVPQYALHGVAEAFTSVGHLEFMYDQAPESMRSTATALFWLSISLGSYASTALVAAVHRWSAGADGSNWLPDNINRGRLDYFYWVVTMLQVLNLVYYIICAKRFTFKPVQMHKKEDEEGGKSLVQLQEKV >Et_1B_010645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12459703:12467175:1 gene:Et_1B_010645 transcript:Et_1B_010645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSHQQQMATSRASVNGFPHRKLEREGSGRQESKPQLVKSSSGGFSSAGELGQAGPSRDRLIYVLTQLIGHHVDVHVKNGSVISGIFHATNSDKDFGVVLKMAQMIKDGSVKGPNYAIDVVKKPETMIIPARELVQILAKDVALGGDELPKGPSHDKRKDLLIDSAISRTHYLEERELERWAPDEGDSDCLELEKYDRKGNRSWDQFETNAALFGVKSTFNEEIYTTKLERGPHMRELEKHASRIAREIEGEDTKDIHLAEERGLYLGDDLDHDEEIKYSAVRRDIDNSTIKSFSKLPSSNPKPKTGNIDPKDSLACSATMDEESSYIFVDADPSSAIQTNNFGQLSSDYQTNKPSSTDENRLDKKVDKGSNEVKDNRNLQRQNINIYDAMLIFTGLDGLPSSSHAYDPSSSRQGFKSADSLKPDSAVSAKHPSTVEPVNSQRPGSSTSSTSERIAANSVASAPGLSPSSSMGSLTSEKSTLNPNAKEFKLNPNAKSFTPSASVRSPQPPASDGSYYYPNSMPTSPLGPGLPVGMTYPPAYGGQPMMYNAQPGTTPQGYMHPAAPQYGQQMMMGQTRPVYYYAPDMQQYRGRNF >Et_6B_050139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:738598:739820:-1 gene:Et_6B_050139 transcript:Et_6B_050139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGTAARKETKVVVHYRECQRNHAASIGGYAVDGCREFMALGVEGTAEALIKTGLHADGHEKLAS >Et_9A_062568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24050412:24051976:-1 gene:Et_9A_062568 transcript:Et_9A_062568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSGEGGDNCVERACVQKVASFVWDFYWGEAQRSVLSVAHRLRRHPLQAPIWGGGGGDGVERLGVAPLRFDGTHRGARAGVGRGGLHVLARRDPTLRDERLRPRGWAALCDGDGVRPADACQISFLHTASGRCVRVHLPELRGHRIIGFTDGLFILLNKDTTAVRVLHPFTRVAVDLPPIAAIFKYTVKDPQSRAWMRAAVCMSQVSSDSIAVVAWFPNARGVVVAEPTFLSWYIVYRNMELATAVPFKGRIYGVISNQRQVVQVYPQCHNLYIADIPNTFGIPQTHSFLWSPQHVSSLFFATFLLTTTLRDMGLADLHCSRLTRHKGLAPLSCLADIALFLSSYRCLSVSQKNLPSISSDAIYFNNGNLYPVCLYSVSSGTYEKISTLSIIHDFRKRIRPSVRPFTLADHLFTFCSHRHWSRGLMFHEYHTIPRSWKELTKKLKAQDHEINVKSLGGSSSKNSGHPVTGAPCEISALR >Et_1A_007701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37370703:37377398:-1 gene:Et_1A_007701 transcript:Et_1A_007701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSDGEHERDDVSDDESPWSDGVWSEDDEGSLSFEDSGEGSDAESDETGEEAEESDSSEDELAPRNTVGDVPLEWYKNEEHIGYDIEGRKIKKRDREDRIGTFLKNADDDKNWRKIYDEYNDEEVKITKEEAKIISRMLKGKTPHSNVDPYPDYVDWFEYEDKGHPLSSAPEPKRRFVPSKWEQKKVVKLVRAIRNGWIKFDKPKEEPKYYLLWGDETDTADNKRQGLSYIPAPKPNLPGHEESYNPSVEYIPTQEEIDSYQLMYEEDRPKFIPRRFESLRSVPAYEKALREGFDRCLDLYLCPRTRKKRINIDPESLKPKLPSKKDLRPYPKTCYLEFKGHSALVKSISVEITGQWLASGSSDGTIRVWEVETGRCLKVWRVGGEVRHIAWNPSPDRPILAAIVDHDLLLLNADVGSEEAQMRAKELLLVDESTPQDDADDKKPVVRWVKHEKLDGITLIHQKAVANVDWHFKGDYFTTVLSKKNSHHPFRKLPGLPVAAAFHPSEKMFFVATKKFVYDLQKAQLVKKLESGLREISSISIHPGGDNVIVGSKDGKLCWFDTDLSTRPYKTLKIHSKDITRVTFHRKYPLFASSSEDCTAYVFHGMVYSDLNQNPLIVPLEILRGHSSSDGRGVLDCKFHPKQPWLFTAGADSMIRLYCD >Et_5A_041420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22319536:22327891:-1 gene:Et_5A_041420 transcript:Et_5A_041420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPLFLTLASTHQFAARRNPTFCSAGMAPPPLLDELIEEVLLRFPSHEPARLIQAALVCKRWCRLVSEPRFRRRFREFHRSPPMLGFLCNLGGFGHFDDVARFVPTTSFCSPQADRRHWRALDARHGRVLIRRGYGICSSDEDALVVWNPITGEEQERRFSAPTPAPVTTLTATVDLSVSFFWAVTAMRHSSTSNYPKMICGASLSPLHNLFTMSVRLLIRNTLYFRLHASHKILIYDLQTHDISFIRLPPGYSNCYCFTAALITTEVGGLGFATERDSKLYMWSREVTPEVDGGWVLSRTIELENLLPKYPNYACLSLPHVAGFADGIAVIFLKAANGVVFTIDLKTYKVKKAQSKVTVLARTVLVSNLVLNYLLVVVLGWGFLAAAAAYNIAHWVIALGQAVAQLVQGRMEGGMVHGRVPGHGRLPQALPGAARLAGKRQRGAPASEQHTRAAAWRAGEWQQCNARAAVMACEQATAARLMNSRPPSAPHGVRSLSARRATPRAQPAARPAASNCQTRRRTR >Et_7A_053046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5603722:5605191:1 gene:Et_7A_053046 transcript:Et_7A_053046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNADVEAGGPARAPAPAPTGIKPPPGRYNTSGAPPYPYPPPPQQQAAAQERHHRSWLVPAVVLANVAMFIAVMYYNNCPSGGGDCLGRRYLHRFAFQPLKENPLLGPSAATLQKYGGLDWQKVVHGNQGWRLESATWLHAGLIHLLANMISLIFIGVRLEQQFGFWKVGLVYLVSGLGGSVLSMLFIRKGVSVGASGALFGLLGAMLSELITNWTIYTNRFAAMMNLIIIAAINLALGILPHVDNFAHIGGFATGFLLGFVLLIQPQFGWLEQPFGGKSKSKYKAYQIILLLVALVLLIAGLAVGLIMLFKGENGNDHCSWCHYLTCVPTSSWKCDN >Et_6A_046434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1539043:1541831:1 gene:Et_6A_046434 transcript:Et_6A_046434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLLQRGRDAARLSPAREAFARFCPKLRFGPPAAAVSVRLPCLAGADHQTSNKPMAKGDDALARKRNKVRRKRMRSSENAVSERVAAIIASKRRRKAGKRRGCEGMCFSLPTPEDPFNDRHGKKRKVEEPADDAPADDDVAATEVDVPKKTKKDLKAKKQLPAKAGAKPKPKALRGQETETEEGPVDYDRPSKFLVVCLNAIRDAVAPGDGGSGIHGAGDWGVELWRSCSAAAPCDVLDTSGACATLEQTAWLVSTACDIVARKERLGMVVSCPFLLYLVPSQEKAVQVRTICKPLKPLGIHSVSLHPGTSIEHQISGASSHLYPLDCVMIRLKGCEPEFLIATPERLLELVLVKAIDISNVSMLVVDGLKYFMDLNVIDKLYSIRNAISSKPQITVFSDPCGKNVAKIAGNLVRGRITRLCINNSVPSQSAYISQYVHFCPSEEQKTSKILKGHAKKTSKVLLVAARKAQDISSSLKLENCTVITEDSQQGHSFTICSSVGLLNVLVNDRENLVTADVEEFEIVMVMDLPSSIDEYAEVLTGAARHAVGGEVHSIFCNTDAPLAKPLAELLANCGQAVPDFLKKLESS >Et_7B_055084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7132804:7136308:1 gene:Et_7B_055084 transcript:Et_7B_055084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALRSPFAGGNLPDGDVDPDYLYFLQHIRLDGDSYTLELPAQGAAPPSVVRYEAPPASSDGECVSDPSTGRLSTNQREEEKESSASVEAEPAWYDSLGDVDEDYRLFLQHTRLVGGQLVLEMGGVVINYDQADTAMSGVSFEAEKDKDKRRGEEVDSRGKMGEREGVALASPVTPVPKSVAAAGNSKGVYWEATSSNGRDAGLPAAVVRNSDTSNPTGQVEKERGVIWPAHINQRPDSDFKKRLIQVLSEPGDRKEYYKLFDMATLRTPLVKLRQVRTETKFYPTEEMGSSYLDHYPDLAEQIMNAGSRHGLALMRGLFFWLQNMAHEDQFRPWVDDSKGPEVIPLVD >Et_10A_000838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1879243:1883584:-1 gene:Et_10A_000838 transcript:Et_10A_000838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRCGLRLRRLVLQFSQDGLFKMAIVVRAGQIKEFDLLSTLFIPSVEYLCLIVDHTLLHPKVKNKRYTGTDQSVQRPRPNAKPSPVLPLPFSPKPLAAAARKAFALLASPPARMALVAGSYERFIWGFSLKTLTSTTDSETLSLAPLFSYPAHTGPVRCVAAAPRAGLAASGGADDSVRLYDLPTAADLGPLLDPSAAVSAVAFYSRGPVPRNLLAACDDGALHLYDADGFALLSTLRAFPRHEAAQGLAVHPSGRVALAVGRAGALAMVNLVRGRRSFACRLERPASAVAYAVDGSGGDRFVMAAEEKVNVHDSVDARIIHEMDCGKRVLAFAPAKSGVLYTGGEDRSITAWDLSSGKVSSRIEGAHATRVKGIVVFDNCNKGSEFSNLVASASSDGVIRVWDVRTMGNAKSTPLAEANTKARLTCLAGTSLKWL >Et_5A_042130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6659084:6660320:1 gene:Et_5A_042130 transcript:Et_5A_042130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IGFLFTSITGIFGLLSAFSPNYASLLALPSLLVWDWVAAMCSLLGKSADGDVPGWLRLFPLLLDCGNKLGGSSCLVGTISERLYPAFRHLGTPELQSRLPWLPSERSYFYDGPDTDSGRSSLCSVPPGDKWLPDSLKVEDSAEITIY >Et_10A_002267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6283359:6288510:1 gene:Et_10A_002267 transcript:Et_10A_002267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLVSGDLDAEKGLVVRFVGLDPGPWVVHPSILGQKHLASDLNSKQMAGGRVAHATLKGPSVVKEIFIGLTLGLVAGGMWKMHHWNEQRKTRSFYDMLDKGQISVLGRCDHVRNHLFSSSSCQPQQQPSSRCLHPRRRRRPQYWNMCAAVAPQMGMAAAGQGSCHGRSSGSSTGISSWMSMATPLNSSTTRSTSLTDRRRSPSSAARARSTVFSCSTRAYCAEMSAARPATRAFSACSRATSCTSSSRCCCFRSLDRRADSRFDSRRFSRRCVSAAGGESPSDPDSLLPGSDSDDDDIRESTFLFFLLIPQETYQAFTSQIPYCCCNYSPILQKPRTNEETLRTNGEGTNNDGSGPIMRSHKTSRGRQPRRSGGSRNGAGEWRSS >Et_3B_028067.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:9025510:9025647:-1 gene:Et_3B_028067 transcript:Et_3B_028067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMWSCLKLMRSWSPIFKQSNQERMKLWGEFLEKKIKEPLQIRSA >Et_4B_038750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4588789:4591003:-1 gene:Et_4B_038750 transcript:Et_4B_038750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGFSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADRENMAIAKGELHDLLSKPSLTGIPLLVIGNKIDKPEAFPKQSFTDVMGLKTITDREVACFMISCKNSTNIDSVIDWLVKHSKKKN >Et_4B_036023.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:14163185:14163769:1 gene:Et_4B_036023 transcript:Et_4B_036023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTAHDPSADDAMAAEEEMSVSAPPQYSMYVVLPDARDGLWSLQEKIGSSPDFLRDHLPTRRVKVGRFLVPKFKLSFRASLNKALQNLGIQDVFSARADLGDMMESGGAREPLFLSNVLHKAVIEVNEEGTEAAAATACMMRGCGLLSNRPAPVDFVADHPFAFLVVEEVSGAIVFAGHVLDPTQPDSNNENM >Et_3A_026566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9776840:9777556:-1 gene:Et_3A_026566 transcript:Et_3A_026566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNRAVQPSESGVGLLHGNRAEEPGAGDAGYWPGRLARVMQIIYQVSAGAVVLTDVVFWGVIIPFMSSAHFTLNAVMGCMHSFNLVFILTETTLNTLVSDNMSESKHGISTHFELGMKSVFAES >Et_8B_060299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8933687:8934408:1 gene:Et_8B_060299 transcript:Et_8B_060299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LPGGWTASRYSSPRRTVLTDSSKHCRHSNGTRPNGLTRLDQRHHGRSHGAIASAVHQTVDQATAFRTPTANFRASTLAMDNGRNVHVQIGLRDDLLGIKGVYDWWQQWRPRLSMKMAKVVDALIMLVAWCLWKERIACIFDDKAATVQQPVETILIEVDILIAAGAKKLA >Et_5A_041029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1760514:1762468:1 gene:Et_5A_041029 transcript:Et_5A_041029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVAVLSGTEGVKGAIFFSQEEDGPTTVTGSISGLKPGLHGFHVHALGDTTNGCMSTGPHFNPTCKEHGAPEDENRHAGDLGNVTAGQDGVANVNITDKQIPLTGPHSIIGRAVVVHADPDDLGRGGHELSKSTGNAGGRVACEWLSKLMSNIHRQ >Et_1A_006505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23395640:23398412:1 gene:Et_1A_006505 transcript:Et_1A_006505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKILDSPSISSVEMRLFVATLTGKTIRIVVDSAATIRNVQEKVEQRENIRPRDQRLVFHGKQLEEARTVSDYQIKPDSTLHLILRCPTRYDEIYVQTIAGKAITLEVKPSNIIRVHSQQRLFFGGKPLEDSRTCSYYKINRWDTLQLDFGMTIFATMLTGKTKTSDLNPCDGIRNVRAKTQDEVLVGKQLNHQVKFTDHNIQKESTLHFDNCRHGGIPIFVEALRSKRVCVPVEDIDTDTIGTVKAKLQSQQRFFFNGKQLKNRQALADDSLRWKTLADYDIRKGSTLHIDYVIGNCPMNINVKTPTGKTISLEVAPSDTIEDVKEMIQPQLSLALDGKQLGDKRTLDDYDIKNDSTLHLDLSLP >Et_2B_019297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22118124:22119280:1 gene:Et_2B_019297 transcript:Et_2B_019297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPTSIRCRSTRRCPPSRRPPPLLLPRHPPTRTASSSAPTRRRPRPRRHTTRTAVAPPLTGTTSTCRPARPRPRRRRTRRTPPPPPPPALVATGARTNLHRSRTAPAMAPLSAAALAAAAAAGDQTPEPPRRPSIVLHAFLFLLAYLALGITFYAAAPGNFVSSAGTTHPVVDALYFCIVTLCTIGYGDITPKTPAAKLFSISFVLVGFGFIDILLSGMVSYVLDLQEHLLITALKDPHSARKHRHNYIFDVKKGRMRIRMKVALALTVVAFCVGIGATVLRKLESLGWLDAVYLAVMSVTTVGYGDQAFRTMPGRLFASAWLLVSTLAVARAFLYLAEMRIDKRHRAMAKWVVSRDMTVSEFLAADIDNNGYVT >Et_7B_055136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7592920:7595211:-1 gene:Et_7B_055136 transcript:Et_7B_055136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTAVAPHHRLFEFAKTALIKIFAFPYATVCDLYCDGGVDTDRWCDAQVGHYIGIDVSASAVSDAREQWEGKKKPFTAEFMELDPSDDGFEAQVQEKGIHADLCFESEERARKLLNNVASLLKPGGYFFGLTPDSSTIWTKYQKNVEASHNKGLKTVPNSIRSENYTITFEVEEEKFPFFGKKYQLKFANEAVFDNHCLVHFPSLMRLAREAGLEYIEIQNLTEFYDDNRTQFAPMLGSCGASFVDPRGKLLGRTHDILGLYSTFVFQKPDPDAIPPIVTPDLCDPDNVHEEEWLQSQQTSTDDGRRPHVELILPTDPAKGILGPGPADMRL >Et_6B_049178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19104722:19106880:-1 gene:Et_6B_049178 transcript:Et_6B_049178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVLYRPVSQVSLSEPSSLFRPQNTVSCPVFFAEAAPARNTRTAKATDTGTRRPVERRRGAAMSSLLTKTQGFTDK >Et_2B_020727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23064674:23069130:1 gene:Et_2B_020727 transcript:Et_2B_020727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTMAPLPTTQHANTILAVSSKSPALKSSSILSLGSKCDTRRTFLGGLIAAGAGAILGPDVASAASKRRPPPPETTEEKKDPNISGVQAKVLASRKRKEAMKEEVQIWSAKGLASDEQQWDLAYPRISFYEHQLQLNYLEP >Et_10B_004190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18202893:18206803:1 gene:Et_10B_004190 transcript:Et_10B_004190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALCRVALQAAADGNLRLLKIPLHARLLSDEFDCFLLLLPRPWSEAAKQVDLRGATNADGWNALHVAAAKGRVDICRFLIEDEGGPGLDVNSRSAEGTVVALHHTLFAAGDTPVFIAATEGHLPVLRYLLDHGGDPAIPNARGITPLHRAAKNGPCDAVRLLLSKGVPLEPLANGWTPLHFAINGAQFQALRVLLEHGADAGADVNSMTPYGQTALSHAVACCLPGFEKLKEVGADPNYHGEEVLDDSLGGIIKFLLEAGADPNIPNEYGKIPIMVAAAWGPRKLVEILFPWTKPIPPLPDWNADAIIRSMKLKAKEEYLCTSKSKGKEAFGNGDYLAATFFYGVAITLDPLDATLFPNRSVSYLRLGEGNSAFMDAVQCRMMRPRWAKAWYREDAALSLLKIYTEAVDAFKEALKLDPASVEIKNALRQMLLFIAEIEHVILRPLFLETYREAMEAMGSSSKQDP >Et_8B_060645.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3981143:3982252:1 gene:Et_8B_060645 transcript:Et_8B_060645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAALRAPLLAWCERQPSGRRVTAVVSDFFTGWTRPLAAELGVPHVTFWPSAALHLAMSHSLWRHLPVPDQDGADGEAEVTFTEIPGSPSFPWSQLSWLYRQHVPGDEVSEAIRQIFLWNLDSTCFVVNTFAALEARYVDRPLADLMSKRVLAVNSSSSGEDRGGKPAVPAASVAAWLDAFPDGSVAYVSFGTQHALSPAQAAAVADALAFVWAAPARAGGTTAVPEQGFESATASRGLVIRGWAPQVEVLRHRAVGAFLTHCGWNSALEAAAAGVAMLAWPMGADQFTDARLLAEAGVAVPVAEGADAVPDARRLAEAIAAAVGEEGKPVRERAAELGRKATAAVAEGGSSHRDFDELVRMLTGAV >Et_8A_056796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1721982:1725861:1 gene:Et_8A_056796 transcript:Et_8A_056796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLNGKAKSFSTARNSNSQNRRVLSVSVARLSHCHLPILLSRVIISGLFLKLPLVHDALRSLSLGPVPSLSLSFLSLLRRCGYLNLDNYSLNIALSAAARLPSVVVGAQLHALSLKFGLASDTFVLNSLINMYSSCSYLDTARLVLDSAPEGACDVVSWNTIIAGYLRGGMPNRALQAFGQMAKEKVHLDDVTLLNALVACARTGSVKIGRLCHALVAVNGIGINCYMGSSLVSMYAKCGLIGDARKVFDRLHERNVVCWTSMISGYTQLGKFKEAVELFRDMQITGVKVDDATIATVVSSCAQMGALDLGRYVHAYCDFHGLGKELSVKNSLIDMYSKCGDVKKAHNIFCGLNKRDVFSWTAMIMGFAMNGHCVAALDLFAQMEGEGNILPNEVTFLGVLTSCSHGGLVEQGYHHFRRMSVIYNIAPRIEHYGCMVDLLGRAKLLSEAEQFIKEMPMAPDVIAQVVVEFLEVAVSCIVFLKGFYPPGAFERRRYMNVVVQKAVHPQLASYIHSVTSGLLPFIQKGLVERVVIIFYDKEHVPIERFVFKLAVNQSYGSKLEEANLEFALRAFLIKLTVAEPVTKSLPSDGSWEITAYFRSLPTEGEGDAQLWIPTDTKMWMQPPQITPIKSVTCDPLKMQLYLEHPSRTEPKNSEA >Et_4A_035683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3686068:3689139:-1 gene:Et_4A_035683 transcript:Et_4A_035683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPGRRCRQTYAPWATRSCHSEGTEKEIFSELSLPEVPCLLQRLSYLEVLGCGRLRVIDCDAPNISSFYFQGNPKVQLLLGEALQMKKMTNTPMLHSKYLQLKNLRIVLSAFTFSPAFDYFSLASFFDACPSLETFVLDVTQRKMEHVSVFADPSDLRRMPGQRPRKLKSVEILGFSSAKSLVELTCHVVECQTSLECLKLEAHQSSFRCSLPDNQYSKCSPLPVDVLTEARRALLAIRTYIEPKVPSTVKLHVVEPCSRCHATEL >Et_4B_036319.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:17439697:17440053:-1 gene:Et_4B_036319 transcript:Et_4B_036319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFACVHTHSLTPQRTPTHTHMSSPTTTHNVIEDCVLSEIAKDHQALARDEERHILIVLARMERHLQLLCEHRNEQYHYWYCSLQQCPQVPRLEPGLPQCNPVPLAIRPGERSVTFTSC >Et_9B_063631.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14221086:14221343:-1 gene:Et_9B_063631 transcript:Et_9B_063631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGREVGLDYESVAMMWLSRKKFQVEHVVSSVVLWSTWKLRNDFCFQNAIWKSPCVILSKVAGLLALWKPLVPFDQLNTLERYIGW >Et_8A_056510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11117730:11118501:-1 gene:Et_8A_056510 transcript:Et_8A_056510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLNSTSDPLIAILIMEGNGVAVSTCQQHDAMTIAISRKPPRIYDIAFLHGKLFALTEREGLYVAELDAGQLSMSESPSSGFNQCIDGDPKQQQIYYYRTNNDDGYLVLRYLAESGGRLLMMRRWMRFPREARLGDHDRTVKFEVFEADLTTVPGRWTKVESLFGHAIFLGSGMLQISGVQEDCIYFMHRVFDNPSIAFFGPCVDPLADSGVYNLRDGKFMPLLPECVMTKLRAKRQFLTWFFPTEA >Et_5A_041955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4585612:4590845:-1 gene:Et_5A_041955 transcript:Et_5A_041955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMPFYKGLSQTFTMDRAGPARPTTARELLSSETQSRVELRTAELPSPQGEQRCERTPPPAMRVPAPRPAPGAVPPRGPAGSPGRLSRRSCGRRRRTTVTPPTSPKPAAMLSATYSIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATSHGLPPTPARRILFILYQTTVPYLAERISSRIVVRSMALNESQFDDHPESRIHALWVWVLQKWPMMLPFAQDFIQLTIRANLMMFYFEGLYYHLPKRAAGIRYVFIGKPMNHRPRYQILGIFLLVQLCILGAERLRRSNLSSIASSINQISSGNYQSSTGRGIPVLSEDGNIISDIRSGKTADMASHSEASSGMSKCTLCLSTRQNPTATTCGHVFCWNCIMEWCNEKPECPLCRTPITHSSLICIYHSDF >Et_7B_054235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1801012:1803164:-1 gene:Et_7B_054235 transcript:Et_7B_054235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKQIHDVRNCLKKCSAHNVLEKRRSAICLDCIQELGREGCHAQQQHRRLGRGSRPVRASLQALDPPLAQHLPDNPSIGSLCSAGKNAGPPRGAEAAHPMLDEKPLWGAAAYAETVSRHIRERDLSCADALYRAAPAAARGPHLDGIMLDGYVKAGRVDRAREIFDTMRVKKMVMWICMISEYCRAGRVDEARLLFDLTPCLFGTLFHGRRWCRGMHAM >Et_1B_011041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16941834:16942824:-1 gene:Et_1B_011041 transcript:Et_1B_011041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECAKTKQHFFLIHGVCHGAWSWYRVTTALEAGGHRVTALDMAGCGAMAGRGEEVASFEEYSRPLLDAVAALPEGEKAVLVAHSFGGQSLALAMERYPEKVAVAVFVTATMPAAGKPMTYAFKQLSQGKGPDFFMDCTLGTTGDPGNPDKTFLFGPKYMARRVYQRSPPEDLTLGIAMVRPSRRFLNDETMNGDVLTSERYGAVRRVYIVAEDDEWKPAEMQRLMASWNPGTQVMVLQGADHMPMFSKPRELSELLMVIAKKYT >Et_8A_057672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:596697:599662:1 gene:Et_8A_057672 transcript:Et_8A_057672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPGPATALPLPRAPLLFSRRAAVVVRRAQPDTAASTSAPEFKAPPGFKAPEPQRFGVKPGQQGSVLGASLGIPFRLGTGVFVLGYSPTLVSPAEIPSDQYALEIGAFKVKESSKIDQCPRPEKPIEIYEFEGCPFCRKVREMVSVLDLDVLYYPCPQNGPTFRPKVLEMGGKKQFPYMVDPNTGVAMYESDEIIKYLADKYGDGTVPIMLSLGLLTTITAGLSTIGRLGKGSSYIASKVPPQPIEIWAYEGSPFCKLVRETLVELELPHLLHSCARGSPRRQEFFQKNGTFQVPYIEDPNTGVEMFESAEIIEYLKTTYSLYSSSG >Et_1B_014380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7095440:7100147:-1 gene:Et_1B_014380 transcript:Et_1B_014380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFSAGRFEEAARHFTDAIALAPGNHVLYSNRSAALASLHRYSDALADAQKTVELKPDWAKGYSRLGAAHLGLGDASSAVAAYEKGLTLDPSNEGLKAGLEDAKKAAAAPPRRGPSGPDAIGKMFQGPELWTKIASDPETRPFLEQPDFMQMLREVQRNPSNLNMYLSDPRMMQVLSLMLNIKLQRPEASDSEPSQSTPPPPQQQRQTPPPETKAREVEPEPEPEPMDLTDEEKERKERKAAAQKEKEAGNAAYKKKDFETAIQHYTKALELDDEDISYLTNRAAVYIEMGKYDECIKDCDKAVERGRELRADFKMIARALTRKGTALAKLAKCSKDYDVAIETYQKALTEHRNPDTLKKLNEVERAKKELEQQEYYDPKIADEEREKGNELFKEQKYPEAIKHYTEALRRNPKDPRVYSNRAACYTKLGAMPEGLKDAEKCLELDPNFTKGYTRKGAIQFFMKEYDKAMETYQAGLKLDPNNQELLDGVRRCIEQINKANRGEISQDELQERQNKAMQDPEIQNILTDPIMRQVLVDFQENPRAAQEHLKNPGVMQKIQKLRGPVCDGLGLGSSCARILRQDFPPRARA >Et_6B_048670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12344328:12359049:1 gene:Et_6B_048670 transcript:Et_6B_048670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HGSRTPSAKANDEPYQQGGFNQGPQNTRSEVLVDKLPEDIFHHIYSLVPLQDAARAACVSHGFLCFWRRYPNLTLNEKTLRLDGTKLSLDAEALALYGTGYKVDKMESYLVNRIDHILKNHSGIGLDTLKLQLYPCINIGASCLDAWLQIANKSRIKELALELSVYKKTEYNFPCMFLSGELGKSIQSLRLSCCAFRPMERTLGCLSILTCLCLRSVRVNEEELARLLSNCPALEKLDMIFCNEIICLKIPSTLCHLSLLSVFECEILQAVEINAPNLSTFHYAGAPAQISPGDLHVREIHMLGLLYSDMINYARTKLTSIAPYVESLTLSSQNETVNTPMEPSKFLHLKHLDISLSGAPTFSPSYDFFSLASFLNASPVLESFILRIKQDGIRHDSVLENSSGDQELTGQNSEWRLDCLKKVMITGFCSSKSLIELTSHILDKTSSLKCLTLDTTRYDERSFVNIDKCFPMSRAALVEAHKAIKAIKRFIEGKVPLNVSFKENSGYKYNIGCARHFPPRRSSEELLAADIVIEADDERADLHRPQLDNELGRINRTSSDHGKIKHELRTELTKLLPLEKSISEEGQ >Et_8A_057796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7298781:7300028:-1 gene:Et_8A_057796 transcript:Et_8A_057796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTVPPVPTWARQDAIDLHRAFKGFGCDSTTVINILAHRDATQRALIQQEYRAIFNQDLSRRIASELSGHHKRAMLLWILDPASRDATILKQALTGDVTDLRAATEVICSRTTSQLGILRQTYRARFGCYVEHDVTERTYGDHQRLLLAYLAIPRYEGPEADPSLAALDARELYRAGERRLGTDERAFIRVFSERGWAHMAAVARAYHHMYHRPLEAAVKGETSGNFGFGLLTVLRCADSPARYFAKVLHKAMQGLGTSDSTLIRVVVTRAEVDMQYIKAEYHKTYKRSLADAIHSETSGHYRTFLLSLVGRDR >Et_7B_054167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16484137:16485944:-1 gene:Et_7B_054167 transcript:Et_7B_054167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIKRALSVSLLLALLVLLHPREVHGEDGLSRVESLIHEEVLPFAQGLGFPSVASLHGDAISDMQDGVEDVVEAWYMDDDAEGDQRLPHRRQPHDPVPLTRLLDLGVALRLDAENHEHDENLTIIRDQRGYMHMDIVELTPVKMPNYEAMIKRFFEEHLHADEEVRYCLEGSGYFDVRDEDDRWIRVSARKGGLIIMPAGIYHRFTLDTSNYIKAMRLFSGGPDWTAYNRPHDHLPA >Et_5B_043395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:185345:187133:-1 gene:Et_5B_043395 transcript:Et_5B_043395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NFRNAHARRLLQISGGDHHPAFPQPPTHARVHKKHKPHRRPQILTPVPAPSASPFVSPRHASPSPSGSHPFAFPPHNPIRPLPSAPESNHDHEADPPAHSLHNHSHRNYGMVSAGGAIFLVMAAASVMYCRVKKVGTVKPWATGLSGQLQRAFVTGIPALKRSELEAACEDFSNVIGSTASCMMYKGTLSSGVEIAVVSSSVSSTKDWSKECESHYRKKITSISKVSHRNFMNLLGYCEEDHPFTRAMVFEYAPNGTLFEHLHVREAENLDWTTRLRISMGIAYCLVHMHQLEPPIVPRHFDSSTIYLTDDFAAKVSDLDFWNECTEPNSSSGADDDYTSLDVDSMVYQYGIILLGILTGKVPFSEEDGSLEGWTSRYFDEKMSLTELIDSSLGSFPEESADALCEVARSCIDPDPKKRPEMAQVAGRMKEITALGPEGATPKVSPLWWAELEIMSSEASRRRDRPSSAIYHPFHCV >Et_4A_032908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15559651:15562156:1 gene:Et_4A_032908 transcript:Et_4A_032908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSISSSMQQSMKGKNGNSRISKSKKKDGMKGHEELTMNPLIAVASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRAHWPFAGSFGLNTDILATNLINLTLVVGVLIFFGKGRILSTIRNSEELRRGTLEQLEKARLRLQKVELEADQYRMNGYSEIEREKGYLINATSITIQGALGTLNSCLNTELHFRTIRANIGILGAIKWKR >Et_6A_047021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24091771:24093170:-1 gene:Et_6A_047021 transcript:Et_6A_047021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARDPPETRRVGAGAIFHPRVRMRVSHSTRDVFFDGWVFAPPDLNPTRWDHAPARRGSQEGRRVPKDASNHVFDRQHTRSLACSSPPRCSPCRSCRRGSCCTGATPRLARTSDAADLRLEKIKQQEVHVNTLDKNTAVAGVWRELLFQPSASVRRVDIACVAKKNQQYRRTGQSESTVNQLMRIVVMEASVAQTKANVFRRHGASADEGRSGTPMASQLHHVKQRKNESVIQLSGVWNQLVVRPSKSVRRALVCVLAVRSSSDPRGGLHGSLQPGRWSNDTTLSATVAVNFVKTCFILVATLLSDCTGRRTLLLVSSQHCGRRRGAGLGGRDPQCLSAARTVLSLTGDGH >Et_3A_024106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17486212:17488469:1 gene:Et_3A_024106 transcript:Et_3A_024106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMGNKKQKLADEECCFYGGGGAYDYCAGFVDDQGRATELRPLSLSSPHTQAFHLAWLSLFACFFAAFAAPPILPALRPALVLSPSDPAAAAVGSLSATLVGRLAMGPACDLLGPRRASGAASLLAAAALAATALAASSPAGFVALRFVAGLSLANFVANQHWMSGIFAPSAVGLANAVAAGWANAGSAAAQVVMPAAYDLVVLRLGAPVTVAWRVTYLLVPCALLVTTGLAVLAFPYDLPRGAGCMSMGGPPKTTRNRGFWKVVWGGVRDYRAWVLSLTYGYCYGVELIMENVAADFFRKRFRLPMEAAGAAAACFGAMNAVARPAGGVASDEVAKVFGMRGRLWVLWAVQTAGAALCVLVGRMGAAEAPSLAATVAVMVACAACVQAASGLTFGVVPFVSKRSLGVVSGMTASGGAVGAIITNRLFFSGSRYTIEQAISLTGAASLVCTLPLALIHFRRHGGMLCGPTAVDDTYEEDDNDVEHDTDYMLLK >Et_9A_062543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23893508:23897924:-1 gene:Et_9A_062543 transcript:Et_9A_062543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHIILPPEDSDDEHGQHHEEEDQEEAARRGPPDQTAAKAGLPFSASCVRISRDSYPNLRALRNASSASLADAAYVKISEGDFGYVLDDVPHLTDYLPDIPTYPNPLQDHPAYSTVKQYFVNDDDTVPQKVVVQKNSRRGVHFRRAGPRQRIYFEPDEVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNKIYGIQNGYKGFYSSNYLPLTPNSVNDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIFKEIRKRGLKVSVAGVPKTIDNDIAIIDKSFGFDTAVEEAQRAIDSAHVEACSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYMDGEGGLLEYIERRLKENKHMVIVVAEGAGQDLIAKSIARSDQQDASGNKLLLDIGLWLTHKIKDYFKIKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHTFIPFYRVTSTRNKVRITDRMWARLLSSTNQPSFLSQKDIDEASEADRLANRPPLPTVVSHRVANSFEQSASSSANGEI >Et_9B_065123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21419986:21422949:1 gene:Et_9B_065123 transcript:Et_9B_065123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRRNPPHNPRRKGEEPWLAASLRAANFLPGLAIGFFLGLFLDLSSSWRPRLGPAPAPAAASTRGSSSKRASRSSSATGGEELKMVLVVRQDLKMGAGKIANRGLLRQWEQFGQAKIVLTCKNQQEMNRIKETAEHRGIPTFVVADAGRTQVVAGSKTVLAVGPDRCLSTSKAQGAFD >Et_1A_007017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30068377:30068667:1 gene:Et_1A_007017 transcript:Et_1A_007017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKPIYRKEGATTALVCDLITPGDPGMTMHYHRTLFMLMRSVYDGFRSAVHKKISRLGQGTTWNDIDSGGCSEQGSWQFMLISLSSG >Et_10B_003303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18654079:18655255:-1 gene:Et_10B_003303 transcript:Et_10B_003303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGEGDEATRFITGEGRVSMKRQEVTRRRGMGEEEVVVAAATAPAVEEEDGAARTPVQGKDTRERMGRRKEHGVVLLAAAMEADRRASRIAAEGQNPSEVVVVEEKDPPEAAAAEEQDPPEVVAVEEKDPPEAAAAEEQDPPEVVAVEDPEVVAAVEKESWTILHEAGHRNYTVLDLNGSDAIEIRNRWRKSVLDFRLWCFNTTDRRYDFGGMHRI >Et_8A_056295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20163788:20164186:-1 gene:Et_8A_056295 transcript:Et_8A_056295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTQGSRLTAAGFAVMLLAVSAGVGSGEYGDLLGLVGVVVGANLVTLGVLYCWTTAAFAGDGAITAFLRRNLATAGVILASSAVTLVSGADASPELCCAMFALLLLGISLITIGFRGK >Et_1A_005926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15653739:15655594:1 gene:Et_1A_005926 transcript:Et_1A_005926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKEGLRRGAWSADEDQRLVAYIRQHGHPNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTADEEDQIVRLHQELGNRWSAIAAQLPGRTDNEIKNVWHTHLKKKLQAEAVAGSAGRKKQPRKSKPAKNAAKEDDADGDGDAPSSFTAPSPGLSSSVSSGVTCSTATESTAAVSSADNAATTSASQPGVKTEEMESFSTAEFPPIDESFWTSADVMDMDLGAMDEELGLASSASTRDEDMEFWLKMLLESGDMRDLSA >Et_3A_023832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13007692:13010118:1 gene:Et_3A_023832 transcript:Et_3A_023832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKFKKTEKTWSKSNSNKTCSVQHSPRSPPAGKPSTDTMGNIGSRSGPPPPPPPPPHLQGLLHRVPPPHYHRYPGWPPGAAPPPLAVPAQVERRKAVAVSTGVNIKGDTLRLEPDDDGRGLLLAFSFDADAPGSITVCFFAQEDEEHILKATKENLLKPVTVAFKEGRGQEFKQPCGTGIDVSLFEESDLTKVGEGGVFPVAFKVEMAVSSNQELEEGHNDEASKCLVKFAIFVKKDDAEYGVRVVQQVLWVNETRYVLQEIYGIGNTADSNNDGDSGKECVICLSEPRDTTVLPCRHMCLCRECAQLLRIQTNKCPICRQPVQHFLEIEVDMKSGGHEGTH >Et_3B_027449.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18362749:18363015:-1 gene:Et_3B_027449 transcript:Et_3B_027449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWPVLLLHLTPLHWQQSWPFHKARSFCGSLVMPALNESSASRSFAMHPTLVRCAYTVVAARISRRQEIAAASMEDTGAARMCCFWFS >Et_4A_032843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14569271:14572352:-1 gene:Et_4A_032843 transcript:Et_4A_032843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPPLSPGPSSTGRPTPPPPLRPSVAATHAAVCGGPLFWSWKPRRSTAVVRAKSKGEASFTDRILDYIEGGPKLRRWYGAPDLLPKDGDIEDPRDAVLVTNGDSEIGQMVILALILKRARIKALVKDKRSTEEAFGTYVECMVGDVEDKTFTKKALRGVRSVICPADDGFFSDLIDLKGVQHIVLLSQLVIYRNSGGIQAILNSKLKKLAERDEDVVLASGIPSTIIRTASLQSTPGGERGFDFTEGVAAKGRISREDAATICVEALDAIPQKTLIFEVANGDKKVEDWKEWFAQQMKRDEEV >Et_7B_056001.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8998605:8999315:-1 gene:Et_7B_056001 transcript:Et_7B_056001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKSPWYQRAVEVLLLIWKQPAGATAAPTTTKAAAASGVTAKGQGGGPGKLRKSSSLNVAASFTRVCLCAPISSYNSESLYCFPADAAPRRSYSYPRASSASGCGPGVVSPLVAPPPAAEQQARPGRGRPSTGGGGKAKAGAGERRVFRGKSLTDDILMRRFVVDEEATRRRNEMEVIRRRHAAAAKRRRLGPSPLRRMAMALAESESEAEEEAEAEAARMEETDKPVAAAAVL >Et_4A_033854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27431760:27434987:1 gene:Et_4A_033854 transcript:Et_4A_033854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSLTGDATVGAVSRVRFAPSSNNLLVSSWDSGLRLYDADAGTFRFTAEAKAALLDCCFDDESTALACGSDGSVRRYDFQSGAQDTVGLHEDAIACIEFSQMFGQVVTGSLDKKLQLWDTKTGSTNSTGTVTLESHVSALSICGMYLLAAVARNVYFYDIRNLAGPVKAKDCPVVHHVQCLQASAEWNGYVAGSVDGIVALKYFNRGTDGDMGYAFRCHPNSRDGKLDRVSVNCVAIHPCKKTFVTGDDKGYTISWDAESKKKLLEFPSYSGSVASMAYNHNGQLLAVGASYYHEENKEVEKHQIFVAAMEDYKWKTRLG >Et_2A_017604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4079177:4086089:1 gene:Et_2A_017604 transcript:Et_2A_017604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILSDIIPPPRRVSAGHLWPEKKKQRKAGEGRKRRPRRADDEELLKEESDEDFEADFEEFEVESGESELESDDDVKPVAATRSGVARDGLNTTPAGADGAAARSAKRKRKNQFRGIRRRPWGKWAAEIRDPRKGVRVWLGTYNSPEEAARAYDVEARRIRGKKAKVNFPDEVPVASEKCRALPTSMKVPNLDTEEKPIIKPIVNNMTNSNAFSYPHELVVQPQNMSFVPMANPAAPIQEHFMNLSSDQGSNSFSCSDFSRENDTRTPDITSVLAPIPILTQLDESAFLQNTSNAVTPPVMENASVDLPDLEPYMNFLMDSGSDESMNTLLSCDGSQDVLSNMDLWTFEDMPFSADNYMANVEDYGEFLIPHLPSKMHETAQIILTTDPDKAKYSHQIPTGSAISRRGRYLGVTIRSA >Et_8B_060427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14911562:14912045:1 gene:Et_8B_060427 transcript:Et_8B_060427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELPYMRMVIKESMRLSPAIPMLVPHLCRETCDIGGFQIKKGTRVIINTPLYWPDAEKFRPERFEDGKVDYKTTLFEYLPFGAGRRRCPGDTFELASLELMARLLYYFNWSLPGGFRADELSMEMSMGATMRQKDRLQLMASPYTEIPTKG >Et_2B_020313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18916446:18919092:-1 gene:Et_2B_020313 transcript:Et_2B_020313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TPQLLHALSTTSPPISSARSATISLTSSTPHLTNRLHHQHQPASSSQHLSQHELPMAMELPTWASFLGVVLATVLFLKAVLRRSRRAYNLPPGPKPWPIIGNLDLVGALPHRSIHALSKKYGPLMQLQFGSFPVVVGSSVEMAKFFLKTHDVVFTDRPKTAAGKYTTYNYRDITWSPYGAYWRQARKMCLTELFSAKRLESYEYIRAEEVRALLRDLHAASATGGAVMLKDFLSTVSLNVITRMVLGKKYLDKEAAGAVTTPEEFKWMLDELFLLNGVLNIGDSIPWLDWMDLQGYIKRMKKLSKMFDRFLEHVVEEHNQRRLREGKGFVAKDMVDVLLQIADDPTLEVELNRESVKAFTQDLIAGGTESSAVTVEWAISELLKKPEVIAKATEELDRVVGRGRWVTEKDIPQLPYVDAIVKETMRLHPVAPMLVPRLSREDTSVAGYDIPAGTRVLVSVWSIGRDPELWDAPEEFQPERFLCSRIDVKGQDYELLPFGSGRRMCPGYSLGLKVIQVSLANLLHGFEWKLPHGVTKEELSMEEIFGLSTPRKFPLEAVVVPKLPAHLYAEA >Et_1B_011931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27288157:27288414:-1 gene:Et_1B_011931 transcript:Et_1B_011931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGKAVLETDSLLVQQAANSNGRWQLQISRTFQLIITLENVTELHMLWQRWGANVQSEMIRLWTHTRTHIK >Et_3B_031521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3688621:3689687:1 gene:Et_3B_031521 transcript:Et_3B_031521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLQLRLHAATAGAARHGNLAGRPCPRRFCSISRSSRGRRTALVTAAATTRGGKTRTAADVVREFYDGVNRRDLAAVAPLIAEECVYEDLVFPRPFVGREQIIGFFGEFMGTISQDLQFVIDDISGEDSVAVGVTWHLEWRGKPFPFSRGCSFYRLLDSDEQEQLQIVYGRDCVEPAAKPGDFALVIIRGVTWIFERFPSLARML >Et_8B_059413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17705016:17707977:-1 gene:Et_8B_059413 transcript:Et_8B_059413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLWSPRRHLRGARRPPASAPGSHPSSPSSCTAPPPPPFPARQRVPGQPRARARRPRAPETTPPPRSPRRLRPSLPPPISSRPSRPSCCCSCCSAPCSRSSGSCPSRTSSASPTTGTTMAAASKRTTAGLKVAAASVRRMGGRRRSGRRSVSISPELLQSRNRIYLRGTVSKELLTHKQVVQLSKKIKDGIWLQHQRSKLKEKLGNEPSYKQLAQSLRISAPELRARMRESFLAREMLTMSNLRLVISIAQKYDNLGVELADLIQGGLIGLLRGIEKFDASRGFRISTYVYWWIRQGVSRALAENSKTFRLPTYLHERLIAIRGAKYALEDQGIAPTVENIADSLNISARKVNNATEYIEDQNVVNDPWHGFEEVYLKEEVNKLINSTLNERERDIIRLYHGIGKQCHTWEDISRQFGLSRERVRQVGLIAMEKLKHAARKKQLDALLKDS >Et_4B_039651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25157526:25159879:-1 gene:Et_4B_039651 transcript:Et_4B_039651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLMEPGAEPLTESEQADLAAIAAIKESAARDYKEQGNQFVRKGRKHYADAVDCYTKAIAQLEPFSSPDAAADASVLFANRAHVNLLLGNHRRALDDCEQAIRLSPSYVKVCHPVPAYYRAAKAAFALDLLPDAASFCRRGLEQDPASDEFKNLLSQVDARQSEQERQRAKVAEAIATAKVSSSSKMPTSLMLPLCVTTPDLAAAMEKRGLKLGKASYQELTGVKKPKLDDQGVLHWPVLLLYPEVMSSDFIEDFSETDTFSPHLDVISFTGTVDSKSLPESLLDVEDEDTGKDRTVASSVFFVVSRKSTFYKKFKAGNWSLP >Et_5A_042723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:303525:304519:-1 gene:Et_5A_042723 transcript:Et_5A_042723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDQYGAASSGLTAASNLSRGLSGPGPPIVTASVSASLLCSIKGAGWAGLQTLLPNQIKQEKIRASLCLDACAVKVFACWLKAARLSLPSEPGQARPGKLPIPIHRPTKEAAASRSPEKKKKKPPSRVPCRAVPSEARCCVRTELADLEEAQGGETAEQRNKFLVLRLYEALNGRDHAGVQALLAPDLEWWFHGPPKHQHMMRILTGAEARGGFRFVPRAVDAFGSTVIAEGPPAGDKEEGSLYWVHAWTVGPDGVITQLREYFNTDLTVTRLAAATKCVWQSHRPDSKTNSLPGLVLAI >Et_1A_008510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8279743:8282195:-1 gene:Et_1A_008510 transcript:Et_1A_008510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGPSKWSDPVRSQRRPASVGEVVVFLPGLRVPRDMDFSQPFGDHLDKSVVERLSYLRARVVALATQESATALKPRRKHGGSSTAYLLQALEEYLPVLLGLVKESNELRNKVQFAWTNQEDVAQETSMTDPWYEVLSVLHLMAMVCFLQANTLLLPRSYGDGQGPRVSEESRQATVDLFLKAAGYLDCAIHHVLPQISPEKRKELPVDLAEGNLKALSLQGLGQGVDMQLGLAIDNPKATLAVKRRLACEMVKCWRQVKDSIPELPSSDGWGRKHALFVKWKYVEAKAAAYYFHGLILDEGETVKSHEMSIAALQASEEFLNESKRASEAFHTAPPVSRSSAPFGKTKYILDTIPKDTLTKVQSYQELYPQERASNVGTSKIITTLPQLPDFALALSPNDYELPLSDPLWKGAKHR >Et_2A_017756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5925994:5928724:-1 gene:Et_2A_017756 transcript:Et_2A_017756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRAFRPSAPRRAAFAALLTLLLLASLSFLLSSGPASSGSSSSSPSPPSARLAAVRRHAADHAAVLAAYAAHARKLKEASAAQSLSFSTLSSDLSALSTRLASHLSLPEDALKPLEKEARERIKFARALAADAKEGFDTQSKIQKLSDTVFAVGEQLARARRGGRMSSRIAAGSTPKSLHCLAMRLLEARLANPTAFADDPDPSPEFDDPSLYHYAIFSDNVLAVSVVVASAARAAADPSRHVFHVVTAPMYLPAFRVWFARRPPPLGVHVHILPYSDFPFLNATNSPVIRQIEAGKRDVALLDYLRFYLPDMFPALRRVVLLEDDVVVQKDLAALWQVDLDGKVNGAVEMCFGGFRRYRKYLNFTQPIVQDRFNPGACAWAYGVNVFDLQAWRRDGCTELFHQYMEMNEDAELWDPTSVLTAGLMTFYGNTKPLDKSWHVMGLGFNPSISPEAIRSAAVVHFDGNMKPWLDVALNQYKALWTKYVDTEMEFLTL >Et_5A_042274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8269571:8270629:1 gene:Et_5A_042274 transcript:Et_5A_042274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSKLHHKNLVRLEGFCLEEGERLLVYEYMPNKSLDTILFEGVARGLQYLHKDSQKKIVHRDLKASNVLLDVGMNPKIGDFGLAWPGSSSKIRLGMSQTASGYMSSEYVMSGLYSTKSDVFSFGILVIETITGQRNNDHYFCERNEDIISTRHWSDGTILEIVDNSLGRNYWEAEVRKCIKIGLLCLQQNPIDRPTMSDVMVMLNGDTTSSLPRASRPTFFLEEAVLPIHLP >Et_5B_044322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22660056:22663382:1 gene:Et_5B_044322 transcript:Et_5B_044322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTGALDLASGLGGKINKEEVKSAVDEYEKYHGYYGGKEESRKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLGLKPGMKVLDVGCGIGGPLREIARFSLTSVTGLNNNEYQISRGKELNRLAGVSRTCDFVKVVWDKDLADDSPVPWYSPLDPSRFSLSSFRLTSVGRMITRTMVKALEYVGLAPQGSERVSNFLEKAAEGLVEGGKKEIFTPMYFFLARKPLSE >Et_2A_014784.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:14755427:14756128:-1 gene:Et_2A_014784 transcript:Et_2A_014784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQSADQQQARTALSRAETRFRAGDVAGARGLAHLALGLSPGLPRAAQAAAAYDMLAARDWYAALGLPHPLAGAAVTHDAVRKQHRRLCLLVHPDKNSSAAADAAFKRVQAAYDALSAAHPPAPVPPATASRTPPAATARGRHPLWEEEQDQPGYCQPAGFAMPGADENQPPIGRPSTQRWPEKLPPRRARRNSCPICMVGGASCPCCRLDACRRSAGGDGAQGRPASSAV >Et_1B_013722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1461966:1463624:1 gene:Et_1B_013722 transcript:Et_1B_013722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVSKLIRVARDASAARDTARVAASRAAPVAAAAASRPEAAVATSRAARSSSPGSRRVGRRLGYPVPGLDVTDKDLESDEAIWTLYENWCKIYNMERDHEEMTRRFPVFKKAAQIVNAMYGRLGFGADDSRSAPIKFSLQAARQFISIVTDAKKTADPQRGGGDECAVSTDGASIPGGMRT >Et_5A_042330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8870725:8871565:1 gene:Et_5A_042330 transcript:Et_5A_042330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIWSVPDELQFRVQDDGWLLLLLDVVSDVQRDLILLLFWRVWYIRNQIVHELAAPEIENSRRFLDSFHEAFNQVRSAGVEQGTKGKQWKRDRFEEPSPKYQKSPVGWKRPVQGVTKINLGASFRASSGAAAEIVHCHRSENRVAHTLASYAYDNNTCVVWLGHIPEIASELCDADCNPTMT >Et_3A_027233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5581356:5581822:1 gene:Et_3A_027233 transcript:Et_3A_027233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRALGWSEGEVMRPESKPCSRLMRHTAGIFSVGGGLAFWVLCRLHYGPRITVPRSLRWASCGAVSMSATSALLVRLFSPECEPQNIAAYDKPEFKPA >Et_3A_024844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24788118:24799653:1 gene:Et_3A_024844 transcript:Et_3A_024844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIISAVLGDLVSRSVSFVIDKYFKQEKSMEESLQCLCSVLLRIQATVEEAEGRHVTNKGMLQQLEILRVAMYKGCYLLDTFSYRMLQQKMTHDQARHHPFASTKLSPPKRFCFPTRRINLALQSDGLKEVEKMLESLHDIIDGTTEFVIFLKSYSVITRQPYSKYLYLEKCMFGRQAEMEKIISFLLQPEPPGIESLQVLPIIGPARVGKSTLVEHVCYDERVRNHFSSIMICSKGHIAPEGSDILKKQIHGSHGRSLLIMELADDFVVDKRQWRKLQSSRSQMPPGSKIIVTSRSDSILRLGTTEPIRLKFLSQEAYWYFFKVMAFGSTNPDEHSELASIAMEISADTDGSFLSANILSRILRANFHAQFWRKILELQRDYVQRHLFLFGEHPHTLFMKNKPVYHWSMSDVFMCFYVYSCDKIYYQNEIPKIKVHEMMTGTAKAQGKFEVIVWKSCIPPCHNFMMSCEAPRHMLAKKKRPYSVAIISAVLGDLVSRSVSFVIDKYFKQEKSMEESLQCLRSVLLRIQVTVEEAEGQHVTNKGMLQQLEILRVAMYKGCYLLDTFSYRMLQQKMTHDQARHHPFASTKLSPPKRFCFPTRRINLALQSDGLKEVEKMLESLHDIIDGTTEFVIFLKSYSVITRQPYSKYLYLEKCMFGRQAEMEKIISFLLQPEPPGIESLQVLPIIGPARVGKSTLVEHVCYDERVRNHFSSIMICSKGHIAPEGSDILKKQIHGSHGRSLLIMELADDFVVDKRQWRKLQSSRSQMPPGSKIIVTSRSESILKLGTSEPIRLKFLSQEAYWYFFKVMAFGSTNPDEHSELASIAMEISADLDGSFLSANILSRILRANFHAQFWRKILELQRDYVQRHLFLFGEHPHTLFMKNKPVYLWSMSDVFMCIDVHSCDKIYHQNEIPKIKVHEVLTGTAEAQGKFEVILWKSCIPPYHNFMMSCEAPRHMMAKKKRPYSVVLQSMCTHRSSSGLTNPEEHPQLASISMEIAVENVLITKEVAQFCDHPHTLVQKKQPVYIWGIPPVSHSFKMEAFVSAVLGDLINRSVPYVINNYYQRQKGMEEELQRLRSVLLQIQATVEEAERRHITKQAMLQQLENLREAIDGLKEFQKMLESLHNIIDNMTEFIAFLKSYPPIAR >Et_3B_031719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8984360:8987634:1 gene:Et_3B_031719 transcript:Et_3B_031719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATECKGGGDRMSPSSSMDSSTHPVLSTTSSGCRPARRDLSTDLQLGLSLSSASSLLAADTKSIPSTTRNQGHSDWPPIKTFLRSALTASTRRRRTLFVKVYMEGVPIGRKLDLLLLDGYESLLAKLCHMFKTSITYADVMEYQQRVPHEKASHVLTYEDQDGDWMMVGDVPWESSSVIPETS >Et_2B_020092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16805949:16808627:1 gene:Et_2B_020092 transcript:Et_2B_020092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTPPRRWPPGFRFSPTDEELVLYFLKRRITSGRPTPYIADVDVYKSHPSHLPGRSALQTGDRQWFFFTRLDRRYRNGSRASRTTGDGYWKATGRDRSICSGGSAGRPVGNKKTLVYHHGRAPRGERTDWVMYEYTILADAFPQAAGACEAYALYKLFQKSGAGPKNGEQYGAPFREEDWLDDDEEEQGLSAGAVAHPVATVQQQADRELPIVDLEELLAEFGSDQEQVEEQFDFATPASSQGQLHHVHGQGWLDNAGDKADIVDTSTGSGAVPVPENACTDLPLGDIEEFLMRISDDQQNAELLSDFSSSVPELQLQCDDHQVWLDADREGEFCATDPITGSGSVVTAGYTGAELPVGDLEGLLLQIANDREMVQPVSDLPTPFPHHNFNQVDIKGFQSPGDPVGTLSCIVQKSQDFDPQIRPNSQIPESNLTNMPVSAEANYAEETSMWRSSGSMSYNCQDADEFLEINDFFDLDEVEHNMNSTATEHLISATNGMFGNLEYSDAPSSLTGHFDTDEVVAENQFVDFGNSVIQSQGYQYTTEVRTQSQVARNVQNHMKHNHVVLSSHTSGTLNLHTGNEPLNQSSNASQTWFNAALSALLDSVPSSPALAAEIENTVINRTFQRISSFRSQQAANEESTVIYRTIQRISSFKSQQDAREEPSTPVIKATRSRRLIFVSVLVLLAGVMWTGPAVNFFKGLWKSSST >Et_2A_015998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2060805:2064444:-1 gene:Et_2A_015998 transcript:Et_2A_015998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQHSTLTKLGFGVLTFNSALAIYKSWGDAGSVAFVLAADAALLLLFLCLREFERAGRVRGTNIKAAVWALTTLLTVMFASRVAPLMPPVVSAAVWLMAVATAAGGPGKIAWGTT >Et_4A_034247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31132536:31134687:-1 gene:Et_4A_034247 transcript:Et_4A_034247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSRPALAINLFFLLLFLSGSVVPRLAEAGGDEKKKMVAVDGTQFVVGGGTVYLSGFNAYWLMLMASDPARRGKVVAAFRQANEHGLNLARTWAFSDGGDTPLQLAPGVYDEAMFQALDFVVAEAGRHGIYLLLCLTNNFPDFGGKRQYVRWARDAGRLDGLATADDFFNSTVVKGYYKDHVKTVLTRVNSITGVAYRDDPTIFGWELMNEPRCDADPTGAMVQAWVEEMAPYVKSIDAKHLVTPGLEGFYGDGAHESKDLNPWSIYYGTNYIATHLAAGVDFATIHLYPDVWLWGSSAARKAAFFRNWTRSHLRDTELYLAKPLLVTEYGKFIWDAEYSSNRTQRDYFLGTVLDSIYRSARDGGPLVGGAFWQLLDDGMDTFRDGYEIIDGAGRIVISSSSTSDTDDIPSQFRILLIRFLSLFRQHISSFLFQ >Et_2B_021587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3324516:3327193:-1 gene:Et_2B_021587 transcript:Et_2B_021587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETAANHTRSPQWRRTLAVQAALCLALYAAFSLGEPQLIPRGGGGVDALGRGARSGGVAFLSVAGGARSAVDQTRLLRQMEAIAKVYEVKFVLDVAQLGEDDPLWQNGSMYFHDQNIPWYATTSSHGQTAGNFLKTLNMPYDLVLDIIGLDTGSLQEALHDGKISTSYREQTKWLERSVAQTHGNWKIVVGYNPLVVCNKAEPPETTKFYLPFQRIFAKYEVNAYISMAGVCGYFHRDNSILFIGDPSLGGDQTNADGFFLHRISPLEMESLFINVDGKVVQRSVIHQHGTGAM >Et_1A_007302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33143011:33150323:-1 gene:Et_1A_007302 transcript:Et_1A_007302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRMDVESGRHDRLESILHDQSSEPCNLPLEYLRKITNDFNDKQLLGEGGFGKVYKGLLQNEKFVAVKKLDQLKPGVQEKQFENEVYHLMRLKHPNIGVCCGIHYLHEECKCQNNGSLIHLDLKPANILLDNNMVPKVADFGLSRHFDDKKTQTRTTNLAGSLGYMAPEYIYSHVITTKADIYSLGAIIIEIITGKRIDPFSFNTVTSYQDFVEAVLRNWSKRLKEAPSESDLKKIKGCLEIGLSCVKVNQNERPTTKEIIDSLNRGEGINCYVNNEDRQPSDKTMSDLKELLEITPLELRFCLELNKRIPCLVQLTNKTDRYIAFHFGVLRTTSLYYIEPPSGFMRPRSTSNVTVTMEERSVVPQDWQCYDEFLVQSVIVKKDCLMSEHNTGHIFSQTPGDIVDQVKLTVVYVQSPLLPSSSGLNDKEVCFPEQKIRAIIVRMPSKKPVGLVIIILVFE >Et_2B_020929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24978798:24981796:1 gene:Et_2B_020929 transcript:Et_2B_020929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMDRGKSVVAELAASLSDVRVTPRRKSASLLPAASFYSLSKKSKPRKLVSLCLGALGQHLEDIIADISEFTYFFPPHIKLAILSIARRRRLLNDEVLTSLVESSWEILDISGSDVTNVGLATVANICSNLRAVDISRCENITTVGVSEIVSHCPSLEILRCGGCPRSEFTARRCLNLLKPKLNTHEEESWEELETVDIGGGAGSLRWLVWPRIDDNSKATLAEECPRITVNPQPSPFDLRGSRVSIEALANTPLDHSIVEDIDPKTWAVSAAPRRIVDPPSPNAPPEIPIAERFRLAYVEREARLAPKRAKRERQQRRRAERDYMMNDINARSIALAAQASRNLRKS >Et_1B_014217.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:3596480:3600718:-1 gene:Et_1B_014217 transcript:Et_1B_014217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAVQSGGRSPKRLNGASVAQQKSSSDGTQNCGPSKGKKRDRADQGNDPAKRDRDRSVKVDDSEPGSLKVENMRSEIAKITEKGGLPHAEAVEKLVHLMQLDRTERKIDFAGRVMLADIIAATESPECLVRFVQLRGLPVLDDWLQEVHKGKSGEGSSPKETDKPIEEFIFALLRALAKLPINLNALQSCSIGKSVNHLRSHKNMEIQKKAKCLVENWKKRVDAEMKSNDVKAVSGQAAPWPGKTGFSEISNASNRRSGSSEPSTKNQVSQVSLSKGLNAKSGASDAVVKLTPGISGSSKSQHMQPANVTSNSKDQPCKSAGGTELPTVKEERSSSSSQSLNNSQSCSSDHAKTVGSSWKEDARSSAASSGNASKSSGGSSRSHRRGNNGRLGSGIQKEASDRSSLPEKSAQSGMACEKGGDTPSDHANGHRLIVRFPNPGRSPARSASGGSFEDPSVTGSRVSSPVLADKHEHNDRRVKMKTEHSRPHLPMEANAESWHSNDVKGIAGSDEGDKSPCATLEDDRSRIAEEAVKDASARVASSSYVNEKGACSSETKAKNSFNPMSALIEIKYSDAGPSMQVGDDTAMNLLASVAGEISKSELVSPSTSPSNAPENEDGSERDNTRKLKVESVGDPSQHPGTSDEADKIVMEKEKNDACLVAKEEQHQTAAGPSSTSKALESKVQTANHEDEHANKCSSLPASVDSQGGRHQNSDANKTTDIKVNTMSNVNSSAGEHKNACTTLGKVEDGCSSSPDVIDTTLGGQCSSMVSNKVSETIPAEESSLSAGKQSQALKPTDQHQLVAVPDRTDATDKCGESMAGNSDLKSSVLLVATEAKKANGSGVGNMVLKEAEKKEQCSSTSADVTKSVVSPAPPLGAVKGTNKTKESKDSSSESSSHVNPCGTASLETGHTTRHSSDKSSSEDGGKEDLVSSNEGSSFSAKGKSSAGAKLDFDLNEGIPGDEGHQSEPANSPLISSSVHLPGISPFISPISSGLPAPITVAAPAKGPFVPPENLLRVKSETGWKGSAATSAFRPAEPRKISETPIAASDTPVSGAAKKQSRPALDIDLNVADDQALEEDVPQSSAQTTGSESGNTRSRDGPVGIELDLNRAAEVAENGQVLSMFPARPLPGIFSNSDRNSSMDFDLNNGPNLDEAGSEPAPRSASKNSSSIQFLPQVAGIRMNNAEMSNISPWFASGAHGAPVAMQSFLPSRGEQPYPVEAAPGTQRIIASAADSGQFGNDSSRTPVISTSPAMVFHPPAYQYAGFPFPPNVHLQAPGFSIGSTPFVNSAPAGYFPPITPLVGPTGVLPGQHARQYAINLPEGGSGGGHDSNRKWGRQGLDLNSGPGAVEVEGKEERMPLPVRQNLLTPPHALVEDQARSYQMPGVGIKRKEPEGSWDAERSQYKQLSWQ >Et_6A_047017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24105656:24106568:1 gene:Et_6A_047017 transcript:Et_6A_047017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQAADSSSSPWPSLPPNAEAAVLRHLVSHADRVRFAAVCRPWRAAARRQTPPLPCLAFPDGTFFSFPSPAAFRFPTAARYHSSCDDWLLFRGDDGRYTLLNPFSGETMRLPRLSSFRYVVHESRKSGGMTKPPPVDIDDGLVLRKVIMCPGPVVVALIADDDTQRGKVAVTMKMIAANRVVAAPAKRCAAPAARYLVASGGRLLLVHRVLRGGDDGCDATSELFEVFAADMVASRWAEVARVGRDTALFVGQWSSVSRSVARYGFPGNVIHFLDDDVVDRKGERRRRGGFGS >Et_9B_064605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16972593:16976933:1 gene:Et_9B_064605 transcript:Et_9B_064605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFGGEDSFSPQHSFHAFADEDDRRPHFPGPAAAARRPLDLNSQSDGWSPQADYQGAWEGDVAGGSGGGGGRGFMATSGGAGRGLGFNGGGGSRGFGARGRGPGGASAGSAIGGAGGSHGSILCGGGGFHGSVLGGGGGFRGSGYGGGSGFGGSGLGAGQRMGGSNLGGGDGMGRSGLLGGDGIGGSGGSGGSGSLPPNPARRAGGSANRLVGRGASRGERSTPTRGAGLRDASPRRKATLRAATRSASTRGSSRGRRRRGGDDDDDEDEVLDDLVPGDENYDKANWLPEENSALLCRLLLEQIELGNYNQGNMTRRGYKLLQSRWREETNAFLKLKAFANRIGQLKALYGFIKQLHSDTGLGINPETGWPEATDDWWNAHTQGKSEWKKYKYQGPEYLPMLQQVFDGVAVDGSTGWEPGEEQSTMFFDQGLSSDDELVAMITDDDEVEEQGLLLLVIRMTYAFSRDGAMPFSSVWHKVNKQEVPINAVWLSAFISLCMALPSLGSLVAVQAMASVSTTALCIAYALPTLFRITVARKCFVPGPFNLGRYGVVVGWIAVLWVATITVLYQTTRYVPYSSTIVLHRIFNLNYQWKVCNWMLKCGCECLVSCKHMNLHEVAWQWGHFSHF >Et_3B_030473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4004892:4008201:1 gene:Et_3B_030473 transcript:Et_3B_030473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDVRVDLDKLPIKRLEAIDEAGNEHYPPDTSNEEQRLAAIRRIDFSWVIEKDAKKAKKAAKADAAQQAWPWQGLMESLQQAQQELSVVIDLIGTVEANDAVTVVSTTKPKSQPNEILVDMAVSAATKLQRLRHLSRYFKQSAKTMEQQFEKETRFYSSLIRLQQNWKVKRQRVVGSGPGSEGFMFDLADSSQIDPAAMTRFSPLSLVPIDQDSSGTLSVTAPQKSFRSFSLQFLGDGGNNAESNTSRKKEGALSSTSTEAEKDVLENDDVFDMLIRETFVQSQGINVTGMCEDFLQLAIGQECSLCLSLVHSGQNNDTETVGSEDYMDTEDARNLAVTIVNGKHEPSKKDASGFPNPKSLEIYLLHMFHENILRSMKEKSRNVVRFQTRAQTVPDDSGLLGHFCMTVAHRIFSNKVHSELESVVSRVPCLHLRSLPTWHSRTSSWSLCLKVPQPILAADRITKPSDNHESKYKSRSQFITKVILKDGQINLMGEGSPSIAGSLTVKPSDGHLINNYNCDLEDLPMMLLQQVASQVIHWLHEEALVLGMNVTRDFLCLYFDLDQGETLGLVAHVDPEDSYGCISWYLTVDHPTEDGKMTADNPELEKRRFLGYLSLEVLYSTLMDLINMCSSGVHH >Et_10A_002251.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5620839:5621516:1 gene:Et_10A_002251 transcript:Et_10A_002251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFLVGFILVVAASTAAATTLTLHNLCPYPVWPLVTPNTGFPSISDNTAWLDGGGRGLVSFRFPPTFWAGRVVARTGCVSGEHRRCETGDAPPATVAQLAVHAAGDVAAYSVSLVDGFNVPAVVSPQAVGGGECAADVNAACPRARRVVGAGGAVVACRNDHGDASAGWFKARCPLTRTTATDVEPVPQRCLAPGELKIVFCQPAMVGASGEPELIRTVVADN >Et_2B_020229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18125332:18136998:1 gene:Et_2B_020229 transcript:Et_2B_020229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASSVLPALAALMLAALAAAAHGWLRRAEARREEVRRLAWLAAEEAEAAERLEAYSYGQYGGFVMASDLGEAPLMGTVLHPPREEVEAPAPPPAPPAAGKSVCALCGRRTTFRCKRCKSVKYWSVGSHPSLQFMLVTLRHCMSITFKCQIAHWREGHKDECHPPGVGGRQDNTIEMVRVDPGVKAMPSDKESNTADGHGDHNFRSSQFTGLSESVHCSRLSTSSNSCTIKGATVLENFPHDLPAGLESELKKSNKQVVGPDNLESSRHLPCMSAVYKTPPVHSGAHYSSKVADKLSDPPVRSETAGLMPNRLLEKKNTRQQTAPRHYPPELTIFPYKDFVDLYNFDKVGLHPFGLHNLGNSCYANAVLQCLTFTRPLAAYLLEGYHSRNCSKKEWCFMCELEKLIVDGKHGKSPLSPTGILSHLHEIGRSFGQGREEDAHEFLRYAIDAMQSASMKDAKKHGVRRLSEETTLVHLIFGGYLQSKIKCTNCQVSSKQFERILDLTVEIDGDIGTLEEALRRFTSSEVLDGDNRYHCSSKFGKINKVIRFKEYLNLSDYMSAADDYTPVYKLYAVVVHRDVMNATTSGHYVCYVKDPQGKWHEMDDSKVKPVSSEKVLSKCAYMLLYARCSPRAPSFVRKAMVTQGLSHVKKDKQLADSEPTSLGGGSYLSRHQGEQLCKDLIAGDLTHTLDPTNGSSYRAPDFSRSDSSSLFSSSDAVSSSTLSSDSADSTMSSTSMEYDYIFGGSDPMCLSNSGVIPEDQELSYSRQRSSLNPSSSGQDMDQTNGFEKLCRAGRRICEERGENASFSPTDQCTNQGNSSSIYSLSCSCKLTEQRRVTGVAGHGTREARDGVLHRRPTKRAAQTFC >Et_4B_038973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6532269:6536213:1 gene:Et_4B_038973 transcript:Et_4B_038973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSYPEQGTSNGPQNKDDFNISRKALRGFDLLECQSLPISQLINSLGNSVNVNDLECQKDVYVCYKLPGEGSSKLNLVFRREDSLDLNDIEASNRYDIDTTGLVCCWPSEEVLAYYCINNSDMFRSKKVLELGSGYGLAGLVIAASTNASEVVISDGNPQAVGYIQKNICINAENFGETKVKSMMLHWGKEQASEMTSTFDIIVAIKSLLKHSATSQAIFLSPKRGDSLDKFLEIIKQNGMCFELIENYDPTVWNLHKKYATVDDRAWTNYDEEHCYPLL >Et_8A_058171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22741183:22745606:1 gene:Et_8A_058171 transcript:Et_8A_058171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAKERKLSRLGSCKGSPGAGGGGLGSPAARGHRAAAAAGPQRRLFAALFAFLCAGVVVLGGVHQSADVLVSDAASFRPVLRTAWPSATLNAISFDAQAHQAGSATDTVLPSVQIRHAVYLPDQILLILKDGSVLPPPDQFKCLYSPANSSELRRPPLSAVSLPDGPSLVHCPAGSSSDVAVSLSLSLSPPVAPLQWDRLVYTALIDSRDNSTVVFAKGMNLRPGRLGVASRYECVFGRDLSKPKHVLTSPVISAAQEVFRCVTPVRIRRYLRMTTDTNSKGESDEKPMLVSIRTKGRGSSTLPSITQPEPLPRYNRHRRQKAHSMCVCTMLRNQARFLREWLIYHSHIGVQRWFIYDNNSDDDIEQVLNSMDPSRYNVTRHLWPWMKSQEAGFAHCALRARESCEWVGFIDVDEFLHFPGNQSLEDVLQNYSNRPQIGELRTSCHSFGPSGRTKIPKKGVTTGYTCRLAAPERHKSIVRPDLLNPSLINVVHHFHLKERVRYVNIGQGVMLINHYKYQVWEVFKDKFSGRVATYVADWQDEENVGSRDRAPGLGTKPVEPEDWPRRFCEVYDTGGQNDYLFCWTKDKLNEMQH >Et_1A_006523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23609015:23612215:-1 gene:Et_1A_006523 transcript:Et_1A_006523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTTQSASTLFFILARTQLELRNLLLDAASLLVIQATGYQRLFDDLYYYKHQMGDKSELPENKLRDFIQDNDTESGDATTIILLKNELKFITSNYRSKLGNGAFGEVFRGVLRDGRPVAVKRYIQANRKEDYHRKKKKEEVIVHSQINHKNVVRFIGCCIEKNAQMLVFEYITNGNLNDHLHGGDTPISLHTRLNIAIECAEVLACMHSMYNPVLHGDIKPSNILLDEKFHAKISDFGISRLLQGGNAECTINVKGSIGYMIRHLKTMDVLSRRVMSTTLELFWSN >Et_2B_019177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15874330:15875732:-1 gene:Et_2B_019177 transcript:Et_2B_019177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCFSTARRVASEPPPPQARDSYGYVSLLRSHADPRRLSEIHSQAVVSGLERDRFVASGLVARYAALGRAGVESARQVFDRVPHRDAFLWNVMLRAYARAGSPHEALALFARMRDTATRPDHVTFVAVLPACAALAAVREGLWAHSYVVRSGIVMDAALATGFIAMYAACGRLDMARALFDRAPDRSQAVYSAMIQAYGSHGRGAEALDVFHLMLANGVAPDGVCFVSALSACAHGGLVEDGLRVFDMMGVHGVEKRQAHYACVVDLLGRAGQLSRALGVVEAMPFEPGKDVWGALLGACRLHDHMELAELAAEKLLVIDPANAGRYAALAQMYDDARRWDDASTVRRLMRDRGVNKPFGRSIAE >Et_9B_064122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11399344:11411992:-1 gene:Et_9B_064122 transcript:Et_9B_064122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLERLPAERNGPHRYARMPPPDPDRGPEAEDEAAPERRPEVLAATASASFRVPDAARVFDELPRASIIAVSRPDAGDITPMLLSYTIEVHYKQFRWRLHKKASQVLYLHFALKRREFLEEFHEKQEQVKEWLQNLGIGEHMPVVHDEDEADDMNVPQQSDENSIRNRNVPSSAVLPVIRPALGRQQSISDRAKVAMQEYLNHFLGNLNIVNSREVCKFLEVSCLSFLPEYGPKLKEDYVSVGHLPKIRKDRKKNCCSCGLFSCCQSNWQKVWVVLKPGFLALLEDPFDPKLLDVIIFDALPHMDISGEGQISLAKEVKERNPLHFGFQVSSGGRTIKLRTRSSSKVKDWVAAINAARQPPEGWCYPHRFGSFAPPRGLMEDGSMVQWFIDGQAAFEAIASSIEEAKSEIFITGWWLCPELYLRRPFQQHGLSRLDALLEARAKEGVQIYILLYKEVALALKINSLYSKQRLLNIHENVKVLRYPDHFSSGVYLWSHHEKIVIVDNQVCYLGGLDLCFGRYDSPEHKVTDSPPVIWPGKDYYNPRESEPNSWEDTMKDELDRAKYPRMPWHDVQCALYGPPCRDVARHFVQRWNYAKRNKALNEQGIPLLMPHHHMVIPHYKGRSKETNDEAEGKQCHDKDVDVRKPVSTSRASCQDVPLLLPQELEPQELSSQDLRLTDLDINQSDHVNKKSFNQPLLNRKAKLDSSRQDLPMRSFVDNFSFLSDPSVRHFDSSKYDGHYMEKKWWEMQERGDEVASILDVGQVGPRATCRCQVVRSVGQWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEREKKRFKAIIIIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILQNLIDVIGPKAHDYISFYGLRAHGKLYDGGPFVTNQIYVHSKLMIVDDRITLIGSANINDRSLLGSRDSEIAVVIEDKEVVNSKMDGRPWEAGKFSLSLRLSLWAEHLGLHPREISRIMDPIDDSTFKNIWMAAAKTNTMIYQDVFSCVPNDLIHSRVQFRQSFAQLRDKIGHTTIDLGVAQEKLETYKDGDLKGTDPMDRLRLVRGHLVSFPLDFMCQEDLRPYFSESEYYTSPQVFH >Et_5A_040166.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:18310699:18311783:1 gene:Et_5A_040166 transcript:Et_5A_040166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSWYDFKECLKNGCLWFGLVVAAIVVTGVVLIAVIFGGPLRKIKVTVDDASLTRFALISSPASELAYNLSVTMSVRNPNWAIGIKHDEALEAAYSFDGQQFDRAQVADKGEKLGARKTVVYRLVTNSDGRSVALGNAGEAEFKKQNATGDFEIEVKLTGKFKYQLRKTKCEFAATCPVKIKLAQPGDNVAFTKVDCELEKNDDQYCKMVY >Et_1A_006571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24587343:24588025:-1 gene:Et_1A_006571 transcript:Et_1A_006571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSGDASMAPVASVPGVPDQRPLLLRALSHLDALQVVQTSVLSQRWRDLWRSVPRINATRKAFEAMADTEVGCDALFKVFVNRLLMLGNPVPLDEFNLGYYVQDDVSMDYDAESEDANLWICHALQCNARSVNVSVPWHARLHLDSLVFTSKCVLNSLELSSVVLFRGFFANLQRGSTALERLSLFECPVNDVEICSQTLKVSQLVLGVTSQFMKGLLFRFQA >Et_2A_015231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9667890:9668375:1 gene:Et_2A_015231 transcript:Et_2A_015231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMHNIITGSNPTAVRLTAYPGMSFGDTSVIDDPLTESASATSAAVGRVQGLFMVSSKSGPVLTVCANLVLTSGEYSGSTIAVMGRLDDTPEAMEDASVRELAVVGGTGKFRKATGHVLWKINRMDGPNAVMELDVYVSMASGTNGTIVDT >Et_1A_008391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7253044:7274892:1 gene:Et_1A_008391 transcript:Et_1A_008391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHRASANAAAALHKPIAAHAHLRLLLTVPVCSATLHFAISAVAAAVTARDPSPRRGVAATAMRSSSSPPLPDVTTAGAAGEFRLTEPSFLESLMPKKEIGVDRFLAAHPEYDGRGALIAIFDSGVDPAAAGLQITSDGKPKILDVIDCTGSGDVDTSKVVKADADGAIVGASGTRLVVNSSWKNPSQEWHVGCKLVYELFTDTLTSRVKKERKKKWDEENQEAISEALKQLNEFEKTHAKTDDATLKKAHEDLQNRLDYLRKQAEGYDDRGPVIDIVAWHDGDVWRVAVDTQALEGNNNCGKLADFVPLTNYRLERKFGIFSKLDACSFSANVYNDGNLVSIVTDCSPHATHVAGIAAAFHPDEPLLNGVAPGAQLISCKIGDTRLGSMETGTGLVRALIAAVEHKCDLINMSYGEPTILPDYGRFIDLANEVVDKHRIIFISSAGNNGPALNTVGAPGGTSTTIIGVGAYVSPAMAAGAHCVVQPPAKGMEYTWSSRGPTADGDLGVSISAPGGAVAPVPTWTLQSRMLMNGTSMASPSACGGVALLVGAMKAEGIPLSPYAVRKAIENTAASISDAPEEKLTTGHGLLQVDRAFEYAQQAKKLPLVSYRISINQVGKSIPTLRGIYLRGSNACHKTSEWTVQLDPKFHEGASNLEQLVPFEECLQLHSSDSSVVQIPEYILVTHNGRSFNILVNPANISSGLHCYEVYGIDYKAPWRGPIFRVPITIIKPITLSGEPPLLSVSNLSFRSGHIERRFINVPYGASWAEFTMRTSAFDTPRRFFLDTVQICPLKRPIKWESVVTFSSPSSKNFSFPVEGGLTLELSIAQFWSSGIASHEPTCVDFEIAFHGICIDQKVIALDGSESPLRIVARSLLASERLVPVATLNKIKIPYRPVESNLCSLPTSRDRLPSGKQIIALTLTYKFKLEDGAEIKPHVPLLNNRIYDNKFESQFYRISDSNKCVYSSGDVYPSYVKLSKGEYTLQLYIRHENVQILEKLKQLVLFIERRLDEKDFIPLSFYSEPDGSVVGNGTFKSSILIPGEPEAFYVGPPSREKLPKNAPPGSVLVGSITYGAVSSFSKKDGQNQHAPASYCISYIIPPSKVDDDKEKGVSVGKKSISERLDEEVRDTKIKFLSSLKQENDDDKSTWLELVASLKSEYPKYTPLLTKILECVLQKTTGDDKISHQKEVVAAADEVVDSIDREELAKYLSLNSDPEDEEAQKFKKKMEETRDQLADALYQKGLALAEIESMKSNEKIEVSAKDVFEENYKELIKWADAKSAKYGTLTVLRERRCGRPGTALKVLNDLIQDESESKKKLYDLKIQLVEEMGWTHVSTYEKQWIHVRFPPTLPPF >Et_9B_064910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19758305:19761517:-1 gene:Et_9B_064910 transcript:Et_9B_064910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRHWPSMYRSSLACNLQQPQPDMNNNGVAGAGGKSSLMSSRVRPRRRRQRLLLNRKSRTKHKLRAAAQLQAPPGRAALARASPPAPTAPVTPPRHHHHLFASAPVAPTSSSSSSSDRSSGSSKSSVKPAVTLASPSAAIQLGYLPATAMDFLAPAPAPALAARQLYYHSQIAAAPPAPPTPELITSSPDPVLLQWQQGHHYLPATDLGGLLGAHGHAPAMHSPVSPNVLLGLCNEALGQQDCVNISSSKGLGHGQYWNNDNNNNNCGAELCNKTDAVSAVIRDDEKARLGSLHYDFGVTTTAAATSSPLAAPVHAAADASTAAMLPTSAPAPINFAAATTTSAVLPPTDHLQGLLDFGLIGVTAPPTATLVAVARDAVTCTNTMQFSVPAMRLDVKQFGEAAVLLRHPGEPVLVDESGVTVEPLQQDAVYYVLITGDLLNTMYSE >Et_4A_035058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9126223:9129291:1 gene:Et_4A_035058 transcript:Et_4A_035058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAASSSPPPLPPPSPASPSEDRRGTGSRPPVPPYVKAAAGSLGGVMEACCLQPIDVVKTRLQLDRAGAYRGIAHCGTTVARAEGVRALWKGLTPFATHLTLKYALRLGSNAVLQSAFKDPTTGKVSAQGRLASGFGAGVIEALLIVTPFEARLLRISVVKIRLQQQKGLSPDLLRYKGPIHCARTIVREEGIFGLWSGAAPTVMRNGTNQAAMFTAKNTFDIVLWKKHEGDGKVLQPWQSMVSGFLAGTAGPVCTGPFDVVKTRLMAQGKTGDIKYTGMIHAIRTIYAEEGLRALWKGLLPRLMRIPPGQAIMWAVADQVMGFYERTHLHPAHL >Et_7B_055495.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12041245:12043575:1 gene:Et_7B_055495 transcript:Et_7B_055495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAVLLRVIGVLLVAAADAAVNAGGDRKPYIVRMDASAMPAPFVEHEGWYLSVLSSLASATGEAAPEHLYTYAHVMHGFSAALTARQLGELQRTAGHVAAFPDSYARLHTTRTPEFLSLSSASGAWPASRYGADVIVGIVDTGVWPESESFSDAGISTPAPARWKGACEAGASFTPSMCNRKLIGARSFSKGLKQAGLVISSDDYDSPRDYYGHGSHTSSTAAGAAVAGASYRGYANGTATGIAPMARVAMYKAVFSADTLESASTDVLAAMDRAIADGVDVMSLSLGFPETSYDTNVIAIGAFAAMQKGIFVACSAGNDGSDGYTVMNGAPWITTVGASSIDREFTATVTLGGGGRSIRGKSVYPIGAAVAGAGLYYGHGNASKQRCEYSSLSRKEVGGKFVFCMPGEGVRQQMDEVQSNGGRGAIIASDMKEFLQPTDYVMPLVLVTQPDGAAIAKYVTTTAAARSGAPAPPPPKVSVRFVGTEVGVKPAPTVAYFSARGPSQISPGVLKPDVVAPGLDVLAAWVPNKEVMEVGKQKIFTKYMLVSGTSMSSPHVAGVAALLRSAHPDWTPAAVRSAMMTTAYVRDNANNVIVSEPSGAPGTPLDFGSGHVSPDQAVDPGLVYDVSADDYVSFLCGLRYSAKQIATVTGRRKPSCGGAGTNPDLNYPSFMVVLNKTSSATRTFKRVLTNVASSPAKYSVSVTAPAGMKVTVTPETLSFGGKGSKQSFTVTVQVSQVKRSSDDYNYIGNHGFLSWNEVGGKHVVRSPIVSAFAQ >Et_8A_056881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18486063:18489118:1 gene:Et_8A_056881 transcript:Et_8A_056881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVYDASGGSTAGEKKTSWPEVVGLPAEEAEKVIKKDMPEANVVVLASGSPVTQDLRSDRVRVFVDTVVRTPQVTYCLVRKEPKMSSGGAGASGGKKKTAWPEVKGLPAAEAAEIIKRDMPEADIIVSLADEDSTMELVFDRVYIIVDTVVIAPTVVGMSVEEAKKTIRRDKYDADIVAQPIGSPVNVDDGGPNPNRVRLFVDTVSSTPFVG >Et_1B_014310.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5737162:5737668:1 gene:Et_1B_014310 transcript:Et_1B_014310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPIVILLLTITIAPVLVISTPSAINTTCALITTPTRDFCAGFLSGDPAAVAATEARGVATAVVNTTANKAATTMRDLVDELSTCRGYYTAMAESLAGVLVDFRAGRVDNATLEKAHQAMNQPKNCDTLLLEGKAQKNPFLKENGENDSLVRLAAAITSLLVSKRIG >Et_1A_005318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40166139:40167137:-1 gene:Et_1A_005318 transcript:Et_1A_005318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLSSAPLHRTLPAEHRPPASAAGAVSLPVIDLSLPRDEVRRAVLDAGRDLGFFQVINHGVPEEAMADMEAACAEYLRLPAEDEAKAGYYSEDTDRTNRLFSGTMYEVAGERYWREFRDALERFIVPTRGVGMELLRLLCEGIGLRPDYFDGDLSGGEAIVNVNHYPPCPAPGLTLGLPPHCDRNLITLLLQGPVCGLQVAYDDGGRWIDVDPVPGALVVNFGHQLEIATNGMLKSVEHRAVTNSASPRTDSVIGPAEELLKAHGDPPRYRTFTFRDFMTIYKTVGARRESVEKAFKL >Et_9A_061401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1065672:1067923:-1 gene:Et_9A_061401 transcript:Et_9A_061401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDVLLDFDMRIKTGENGEDDIQLIDGLIHYDDHMAFDIPFTSRISGDCGAVDMSFAVVEFGLEAIIEIAISEVQSAFDLSLSSFVYVGKVRKEIQLFHGAAASEMGIRSVVAVPIDTTMHLKFSVGQKGSGRDASHCCSFGAKLHGCTNRQIKLDIACISMKTYMMRPLCEVWPNQMFLATATKRRR >Et_3A_025979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34285228:34286857:-1 gene:Et_3A_025979 transcript:Et_3A_025979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAGAVGGSVVAVSAPLYVEEVEEEFDRVVDLCVAEVKATAAALPKLKLRVDSRNEVFQQWELPEKEPEEESSVLDKLQRGVWRGRRINQELPNFPLYYRGPPSFDLEEESTIQPGRHTSAPTAQLEWSANIVTIKVLDSTVGFPISLYGTVFVRDDLDRKRVYLFRCDRDNAQEIKSSEDTLVLTGPSWTCFT >Et_8A_057160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21859491:21865717:1 gene:Et_8A_057160 transcript:Et_8A_057160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPSPPRRPQRRAASSRPPSYDESLLDVELQAYLGDAPSRRIRRLRRLSAEDRQRETEKEALIALSVGFPIDELLPEERPLLPDPVADAPNDYIVVRNHILASWRADPAAPLPRARVLETVAASYDHLVIAAHGFLAREGHINFGVSAAFPAAPPPDVAPKGGPAASVLVVGAGLAGLAAARQLLRFGLRVLVLEGRARPGGRVYTTRLGGDKAAVELGGSVITGIHANPLGVLARQLGIPLHKVRDRCPLYYPDGRTVETRLDRSIDLVFNTLLDHATRLRESLSQAAERISLGEAIEKLRRLYHVARTEEERMLLDWHFANLEFSNAGCLSELSLAHWDQDDPYEMGGDHCFLAGGNSRLVHALCDGVPVLYEKTVKRIEHGEDGVSVTVEGGQVFQADMTLCTIPLGVLKSGSVVFDPELPEEKLGAIQRLGFGLLNKVAMVFPHVFWDEEIDTFGCLNKDSRKRGEFFLFYSYHTVSGGAVLIALVAGEAALEFEKVDPVVALHRVLGILRGIYGPKGVTVPDPIQSVCTRWGSDPFCSGSYSHIRVGSSGADYDILAESINERVFFAGEATNRAYPATMHGALLSGLREASKILRAAESRADSNQKKYSIQKSLRPPNGALEDLFMEPDLAFGRFSFMFSSVTPDDPDAPGLARISLDKPQKVDRETFYLYATISREQADRLQLSSDDDKSRLELLCKDLSVKLMGYDCTCDEVSDLILGILSARKARKRLQRPKNFDISH >Et_3A_025069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26566214:26569710:-1 gene:Et_3A_025069 transcript:Et_3A_025069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRDLAIASISAAAGAVAAAAALRFLTSCRTSSPRPQNQLLAANGSAAEAERPPAPSPFNPAKREGYISWDDYFMAIAFLSAERSKDPNRQVGACLVSQEGIILGIGYNGFPRGCSDDKLPWAKKSANGNPLETKFPYVVHAEVNAILNMNHVSAAGQKLYVTMFPCNECAKIIIQSGVSEVIYFVEKRLDNSDYVYLASHKLLSMAGVKVRKHQPQMSQIPIKFLEPQSSTSEKNDARC >Et_3A_024533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21769448:21773723:1 gene:Et_3A_024533 transcript:Et_3A_024533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFQRSPKPPPAPPPPESPSRTPAQAPSTCSAPPSKASALARSFGSYFPRSSAQVRPARAPPEVAELLRAIEQLQERESRLRVELLEQKILKETVAIVPFLEAELAAKSRELERCRETADRLDSENARLCAELDAAVLEATSRKQRILEMEKEMAELRKRQEAADAEDCSSSASATNGHVESSSAAPSQAIFPRLGADRPYIPPPPPMPPPPFKSKCYFSASSHASPEVSSSASPSSSTYTSPTRSSSSSDTATAPRTRVLDLSKLPPIPPPPPPCPPPPPPPVHQSKRPSHSNTVTVTGAVAAAPPPPPPPPPARRPFGASPAPGASGQCVRRVPEVVEFYHSLMRRESKRDGGCGAEAANGGGVAAARDMIDEIENRSAHLLAVRGTEASYQSNDSGDASFVGTFGNEVIAQCGCRSQPLLQDYKGFVDVSSNEESGSGSTCDLASDGRKTLMLENCVVSVAQIKSDVERQGDFIRFLIKEVEGAAFTDIEDVVTFVKWLDDELSRLVDERAVLKHFEWPEQKADALREAAFGYCDLKKLEREAVSFHDDDARQPCAVALKKMQALFEKLEHGVYNLARVRDAAASRYTRFQIPSEWMQQDTGIVSQIKLQSVKLAMKYLKRVSSELEAIEGGPEEEELMLQGVRFAFRVHQFAGGFDVDTMRAFQELKEKASMCQIQRQKQNRHMRQQRL >Et_1B_011168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18183242:18189774:-1 gene:Et_1B_011168 transcript:Et_1B_011168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVAGSPASCASSIQVEGKLVLMCLVESPNTNFRLSFKLVSATATEEDGRGVTGEETVLITVIGGSETELDTTFSWDQKLGAPGAVFVKDHSDFHVYVKMMSCSVPSAGGAVHFACNGWVYPLGKHPYRLFFTNDAYVKQKTPSALLKYREDELRLLRGDGRRSIDEEPFQEWDRVYDYALYNDLGNPDLRKDLARPVLGGSQEYPHPRRIKTGRPPCKTDPRTESRVPGGQQIYLPCDERVGNPVVPAPFVPKVDGHFKSFAEIYSLFGLNELGQLGQAKGKFPVPQVISVNPTNWRTDEEFARQMLSGCNPVCIKRVMEFPLTSKLDQRMYGDQDSKITRDHIEKNMGAMTVEQAVNNGRLYVVDHHDFMMSFLKRINELPGEEERGEISPRKAYAARILLFLDDGLTLRPLAIELSSPHPEDEKLGAVSTVYTPPDTGDDNIAGRFSTWDLAKAHATVNDTCKNNFVYHWINIHANVEPLVIATNRQLSVLHPIHKLLKPHFRKTLYINTTARQILFRAGDQRENGDIVRGVQEMSSHAYKNWNFSDLALPRDLINRGMAKGDPREPEKLELLIKDYPFAGWARPRDRNQEMVHVHGFRAQ >Et_4A_034308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31680012:31684776:1 gene:Et_4A_034308 transcript:Et_4A_034308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFKGDASNNTEKEEAYHVLKIDGYSSAMDTKDDMLCIVSCVFPAGGHNWQILCYPMGANGSENMSFIALFVIRQDANAVDDDAVVAESTFSLLDRDGKPVPTYSRTMGKKNFLKSKGFGYYDFVKREDLEQSSSKLLIKDDCIAFRVDVRVFKEAPPMTIVPQSDIHRHLGDLLSKGTCADVEFRVRGEKFVAHRLLLGARSPVFKEQLLTTNVVEIEDMEPQVFKSLLEFIYTDSCPASDDEFAMAQNLLVAADKYKIQRLKLICEDSLLRSYIDTASVSTLLVLAEKHSCPALKEACFDLLGSKEGLFAAIGTREYEQLAQSCPAITTELIYNVLNREKANTDGWSQEVQLRSATSIAKVRSYHVMKIDGYSRTLNSHGDRPTFSSSPFRAGGCTWHVSYRPMGSPHHPENNEFISFYLVLDDVVEEPVMAEVSFSLLHQDGTPARTRTTDVNNFSTSRDRAFGYERFIKREELQGSSEFLNDDDCFAVRVHVHIVKEEPYVTVPPPDLHQHLGHLLASKEDADVEIEVGDERFPAHRLVLATRSPVFMAQLFGPMKVPDTTNVIRVHDMEARVFEALLTFMYTDAWPEHLLEEDDEPAMTQHLLVAADRYGLRRLKLICEDRLFNHLNTDSVATILALAEQHCCARLKEACIEFLLGSSTAVLGSQELEFLAQSCPTVEKDLAHILKLT >Et_4B_039002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6901483:6904770:1 gene:Et_4B_039002 transcript:Et_4B_039002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQPSPPSPSPSPAATALILAELRYRAVRHAPASAAAAAGGSAAAVALILVAAVVVLLLWRRRRKLAAAGGPGAVQPAAALRRLSYQQLRRATGGFAPGSKLGQGGFGPVFRGALPRSGQPVAVKVMDAAGSLQGEREFHNELSLASHLLGCAAPDHGAILLPFAYSLSAQPRRRRMMLVYELMPNGSLQDALLGKRCPELVAEWPRRLAVARDVAAALHYLHSVVQPPVIHGDVKPSNVLLDGELRARLSDFGLARIRSEEEDELESGPIWGDANGNGNPGGGCDDDVSVAGESTAAIVVNGEDNAAKSPEDDEAFTTASPAEAASTSGCDKTSVGSGFNSRSCNGGGAAASGTGSDWWWRQDNGGGGGSVKDYVMEWIRSEIKKERPKNDWIAGASTGAPTTSAERKKPKRRAREWWREEYAEELTKKQKRRALAKSKSDAGGVSGMQWWERDCELEEKGRSRWRMMKSWSRRSSNGNGNIGLWVDGVRSSRDWLSGEFVPKSGGAVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSFGVLLLVLISGRRPLQMTTSPMSEFEKASLISWARHLAQVGRLLDLVDPALRDVDREQALLCITVALLCIQRSPARRPSSTEVFEMLAGEAEPPPLPLEFSPSPPGGFSFKSRKKGSGCGCSCRKITANTVAAAKTL >Et_5A_042358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9070521:9074280:-1 gene:Et_5A_042358 transcript:Et_5A_042358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVSSSSFKSVLSCSEGQAQSVESSWTDYFVDFMLSEEEKRRQDASYCATEGENDGDGSNYEEEEEEDSMISDAASRVPAALLPGKYKELKKLKKKAFKAMSHDDSLEDTASSPVNSPKEYGFGDDHIMEGMDCTDATKKGVRFSDQSDRSIAPSPCAELKDKGSLTLHIPLQMFTRLYFVG >Et_4A_033909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27956783:27959126:-1 gene:Et_4A_033909 transcript:Et_4A_033909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAAYLLLNPTKPFHRLPPPRVPRRLHVACAAPRGSSQSGGKREVISAGASKGKKQIVFFDAAPPVSQAQDAAVGGREEAKSKRAPVKPAAGGNPALALVRRATKRTLSVLSNLPLAIGEMFAIAGLMALGTIIDQGEAPSYYFEKFPEDNPVFGFITWRWILTPGFDHMFSSPVFLGLLALLAASLMACTYTTQLPMVKVARRWSFTQSGERIRKQEFADSLPRASIQDLGVILMGAGYEVFTKGPSLYAFKGLAGRYAPIGVHLAMLFIMAGATLSATGSFKGSVDVPQGLNFVIGDVMKPRGVLSVAPDVFNTEVHVNKFYMEYYDSGEVSQFYSDLSLFDLDGKEVMRKTIKVNDPLRYGGVTIYQTDWGFSALSVKKNGEGPFNLAMAPLKLNGDKKLFGTFLPLEDSDPSNSKVKGISMLARDLQSIVLYDQDGKFVGVRRPSSKLPIEINGNEILIEDAIGSTGLDMKTDPGVPIVYAGFGALMLTTCISYLSHSQIWALQDGSTVVVGGKTNRAKLEFSEEMNRLLDKVPELIGANENVVDSKSTAT >Et_5B_045626.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5713601:5714683:1 gene:Et_5B_045626 transcript:Et_5B_045626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTISFSFLHCVTLFLLLTQITHSARIPEPKNHADHISTYIVHADHLAKPTHFAKLEHWYSSMITTHSPRAAASSRRTLYVYDTVMHGFAVRLTGDEARRMSDATGVTGVYEDRLLHPLTTRTPGFLGLEPGFGAWQETDYGDGVIIGIIDTGIWPESASFNDVGLGPVRASWKGMCIDAEDFNASLCNNKLVGAKAFGVPSPRDPPYEGHGTHVASTAAGSEVRDAGLGVFARGTARGVAPKARIAMYRVPENTSTIDVVAAIDAAVKDGVDIISMSFGDDPPPKPFHRDAVAIAAYGAVLKGVFAERDSYLYTRARRLSNGLGTSCFLWIRAMWPALLPTCPSSSQTAGCAGFIRSR >Et_4B_036623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:136750:138194:1 gene:Et_4B_036623 transcript:Et_4B_036623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHGCCAKHKKPSSHKAHAAPVPYYSPAPPPVAGGNINPGYGGGGSNGTGGGGWLNARATWYGAPNGAGPDDNGGACGFKNVDMPPFSAMTSCGNEPIFKDGKGCGSCYQIRCVAHQACSGVPETVIITDMNYYPVAPYHFDLSGTAFGAMAKDDRNDELRHAGIIDIQFKRVPCLYPGLTVTFHVERGSNPYYLAVLVEYENGDGDVVQVDLMESRADDGEPTQVWEPMHESWGSIWRMDSRRPLQGPFSLRITNESGRTLVADQVIPADWQPDAAYSSIVQFD >Et_9B_063887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19406552:19406908:1 gene:Et_9B_063887 transcript:Et_9B_063887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLLEEFGLPLGLLPLADVIEVGFVRATGYMWIAQRNKQVSYDVEITGYVKPKCIKKLKGVKAKELMLWPPVNEIYIDDPPTGKIHFKSLAGVTKTFPVEAFAAGQ >Et_4A_034798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6643257:6646192:-1 gene:Et_4A_034798 transcript:Et_4A_034798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSPPPSTAAGDHPRHLLRGFLSHETCKELEFVHRSCGTAGYRPSVVSTSLPHLAATGCGHLLLPFVPIRERLRDAVEAFFSCQFDLFIEFTGLISWCKGASIGWHSDDNKPYLRQRAFTAVCYLNDHGKDYKGGILQFQDGHPSSIVPVAGDVVIYTADNRNVHCVDEVTEGERLTLTLWFTRDSAYDEDPKLLKFLSQTSLSCEPRGQNYIPAPASDNMYWFPYDDSGFDIRCARVHVLGFNFHVISGEDNTSVLSAEDDPIELLGKNLWLGRGDEVFEKIFYNSLHALQVVQFYFWKAHDLAVRREQTGVGSGTVCHPTTHHSRGTQLQLPCNYALAQTIFGPYNNAEFAFEWSDFALAVAMWENYSEDLKKKLSAYLPYWLSSDTIFVVDSPELQVCM >Et_10A_001825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8895870:8897107:1 gene:Et_10A_001825 transcript:Et_10A_001825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFWRAWHLCNDVVLGDGKESVFGSARYLENYSRSLLNVRHGQEEDRKGKRPAYTSRRKPQAKEIRCASAEMAEAIALREGIQLAAEWIRMPTIVESDCAYVLNAVQSEMPDRSCCAEIFEEIRGTAQILPAVKFVKIGRECNRVAHELALLAIRNMHSAVWKRDAPACIRELLKVHLHK >Et_1A_007481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35000192:35006054:1 gene:Et_1A_007481 transcript:Et_1A_007481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGRLALAVPLPAEASSAKAVGRAAACSGRKPSVASLLRQKPYPSNCTCAAMEKVDLPSHLSWPRPNYCGCNCNQNPAPAARRHGLAPGTLEIHPDLVLQFIYGSIPVPPVSVFAPLACAAARRPKAGDAADRISALPDDILCRIISRLPAKDGARTTALSSRWTRVWCSAPLVLVDTHFLPRCGEEGVRPPRRGAVSRAVAKAFSAALAAHPGPFSFVSLTCAFLEAAAADRAMLARWFTLLATKGVKELVFVNRPFTVPGLSLPAALFSCASLRRMWLGAWVFPDTTKLPRGVSFPNLQELALGAIVMEDRDFDFLLAVCPVLEVLSVVGSLERSHARLASQSLKLAQFCMCMFQELAVVDAPCLERLFIWRCCWTQRRCPKVKIGHVPKLKMLGYLEPGVEILEIGNTIIKSGTSPSPRTTVPSVQTLALHLHFDTRNEIKMLPCFLRCFPNVETLCIESKETSFPTGTLSKKFWQETAPIECIQSHLKILVLREFQGEQSELDFLMFVAENARVLEKMVLVMKQGRYAAEREVAARFKALDSARWASGDSKLKALVTRYPEFGGTVWCPTAGADPTFDDPFFCYLSFRDEATPMVLRHGLDPTTLEPRQDAVLGLLYPCLPNPPVSAAGSLSCAAAVGPEDGDSTCISILPDDILLRVVSRLPAKDGARTAALSTRWTRLWRSAPLVLVDTHLLPRRSVEGRPPRSGAASRAVTDAVSAALGAHQGPFPFVSLTCGFFEAVPADRAVLARWFQLLATKGVEELVFVNRPWPLRGLRLPTALFSCAPLRRLWLGAWMFPDTATLPRGSALPNLQELVLACVAMEDRDLDFVLAASPVLETLTIVGDQQELHARLASRSLRCAHFCFSFLGEVAVVDTPILERLVIQRCWSRRRSGRKLGTRVKIGNAPKLSTLGYLEPGVHVLEIGNTVIKAGTKVSPNTTVPSVRMLALHLHFKVRNEVKMLPSFLRRFPNVETLCVQSEVSPEPTGSLNSKFWKETGRIEGVQSHLKTMVFREFQGEQSELDFLMYIAENARKLENLVLIFKFGRYAAPEEVCAKLMSLESANWASGGSKMGGLMSRLKDGEFVWCFKAGDLSNSDPFLLGIDPVSGKRVA >Et_7B_054914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5568020:5574387:1 gene:Et_7B_054914 transcript:Et_7B_054914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SKPEEPTQDAPSGSSGVINWRRWFIVAIDILFLIVGQTSASLLGRYYYHQGGSGKWISTFVQTAGFPILFFGLFFFPSKPSSAQSETPMAKVALTYIVLGLIIAADDMMYSKGLHYLPVSTYSIICASQLAFSVVFTYVLNSQKLSGLIFNSVVLITLSDLLVGVSEESEESISISRGKYILGFLLTLGASCTYALILSLMQLSFKNIIKRHTYSEVLNMQIYTSLVATFASLVGLFASGEWKYLKQEMDTFKSGEFSYLMTLVWTSISWQFASLGMVGLIFEVSSMFANVISTFAIPIVPFFAVVIFHDKMNGVKIIAMLISVWGFVSYVYHHYLDDKKARKASIRGQEAEDDHGQGAEKAENGGACPASAVAPQPSTSKRLKWWALVLANIVFVLAGQCVATLLGRIYYDQGGKSLWMQTVVQSCGVPLAIPLLLYFRPKSSSVGPRPRIVTVAAIYAGLGVLLAGDNLMYSYGLLYLPMSTYSIIQATQVSFNAVFSYFLNKEKFRALTLNSVVLLTFSAALIGVSQGSEDNTSSAIPKGKFAAGFALTLSASALFSLYLSLSQLTFEKVLRSDTFYTVLELQFWSNAAAACVSVAGLFVSGEWSTIAGEMDGYRKGKVAYAMTLAWTAVAWQLCTLGLMGLVATVSSLFTNVISTVGTPLSPVVAVIFLGDRVNGVKLLAMLIGVWGLLSYVYQHYLDDRAKAKKIAEKSDDDEHHASKLSADSRSEGSPSTNRTMRTAVMMDRKAQRAGRREAASGGG >Et_5B_044143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20766503:20769406:1 gene:Et_5B_044143 transcript:Et_5B_044143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AADAHSFDAYLHNGTNVPQIGIELTTKLNYTLIIPSLLVAVATCELRPGGEVMAANAPVEAIDASLMVATRRGDCQKLKDLIIEESKEKSSPASMHPLLIAAACKGSLEELKFLLNRGPLPRQELHDQLEAYPGNSSSRSLAVQRTSDIYIEEGMSAASILEGVTVEGNTVLHLLAANGRGDNFMNCANLVYGENNALLYKQNYNGDTPLHCTVRAGNSKMISHLIGLARSENRVEDLLRKENSSKETALHEAVRIGDNHIVKELLRADSQLARFPEGGPSALYLAVLLEEESIAQTLYDESEDNILSYNGPDGQNALHAAVLWRTACTNIKSPYAGMLKMLLEFNKSLTSEVDCNGSTPLHFLSSRPRSIQVVPNLLSFCVLPPSPWPIQMLLDANPAALYQADNSGMSPIHVAASVGADITITRLIDYCPSSAALRDTRGRTFLHIAAADSSGWRTVAFACRTPSLAWILNMQDTDGNTALHLSIQAGCLRSFSSLLSSPHVHLNLANKKGQTPLDISRFNWPRGMKHTQNNVAVIHMALSHCGARYGGCRWDHFMEAYTNEKGKDFRSQELEKLKDSTQTLCIGSVLIAMVAFTATFTLPGGYRADDTNGGTPILAGRYTFDAFVIAITLAFICSSVGTIGLMFSGSPMIDFKSRRVYYVVSVVFVSSSVTSLTAAFALGAYMVLAPVARKTAVAINVMSPLVVICIHMESVLKWALLARPLRSRIGLIPALIIISDEIIGRLLLFCWPVLV >Et_6A_047907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2466976:2469553:1 gene:Et_6A_047907 transcript:Et_6A_047907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGLISRPLLGLHRCSHLFFSLSFEWKFGPEKKLEEQEQHQGIMKDQKQYRQRSGQEQHLGVMKNLDEQDHEMTDHEQEDQQQKEEEQQKEEEQGKKNLKAEEVEVPAHKQPGRQEDPGVHHHQQEPVTDLKASHQKEEPMPDQKHLKEADEQLKLPAAGEGSACFQETLEVHHEEIKEDQGLLLQKLHDAVSAVHAYEQLHATGSAVQKARAAAAALKAFEDAAHALKGLDCPETEQKEAPPDVFLRKPVPFMANWMVRHVLRDYACHFLGLILDINAEEIVSKAHYGSLGTILSMMLPRFLIESSLSLAITFAYKKAMAIRSRKLKFVPEFQDPDLKKFLNPQWAQHLAAARLPQSHVQQGLRVCYQRADQADYDLVMFVFALFICSSFFKESSDQDSDHAEKFMMYQTITDARVFLCHQNKCGGRVRLQTIATPLTEFCRPERGAALYAMELALALEKLVDEKLHDLHRVATSSNNPQLTEFIKIDFFQKQADAIQKIAEYVTQLRTVGKHGVQQFDQMLLEEAAA >Et_3B_028914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20379996:20382290:1 gene:Et_3B_028914 transcript:Et_3B_028914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPSVAERIERLMNESAAGSSNPDDASLDLSFSEDGRNGTFMIGNESFPASLLDLPAVVESYKTYDDSVLIKTADIGQMIMVREENDPAPEGVEYKHGLTPPMRDARRRRFRREPDLNADLVSQVEKHLINIMHGVSNASVIGGEEGGDRKKAAAPRATKPDVQEPAANGEEAEPERSDSDESEN >Et_9B_063815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15843195:15843800:1 gene:Et_9B_063815 transcript:Et_9B_063815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAATPAPGPAAAGGAVVPDAARPFPDHSDAERAVTLVNANALTILALLVCALLAALALHVVVQCALRVTRRACHYDDDGGHQPHAGGHALPCLAYFAGLGLAGSSRSECAICLAEFARGDRVRVLPRCNHGFHARCIDRWLAARPTCPTCRQAPFADDEPDADPVVVPRPDTTDRVGVMGPPGAV >Et_4A_031839.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21378732:21379187:-1 gene:Et_4A_031839 transcript:Et_4A_031839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWLFRRWGGQPSPACNSVHAAQGQDDESSCHPDRQQPQRPHQDRLRQHRRVPHRHAATVRGDRRAAAAGKLHRAAADDHHDAETAQDHGHHLHLGQLRRRGQVQRQGAGERDRHLLPAGEAAHQAAELHVPAGHRRPHRRRSHLRNRPS >Et_7B_054232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1800228:1800615:-1 gene:Et_7B_054232 transcript:Et_7B_054232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKDLVSWNAIIEAHANNEHKDGVSSMFLLMHRSTLSPNSTTLISVLGKCESTTDSLKLTKFMETALGNALLTMYSRHGDLLSAWIAFKSLEEKGAITWRSIMPFPRIEADQSCQKFGE >Et_2B_020866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24425260:24449575:1 gene:Et_2B_020866 transcript:Et_2B_020866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKLALILLPLLGIFCAVLYKAGVQWNVTGRIAAVLVICIVVTVLCDLVRRRATSEEDQNEPMSNDESTAAPARDAASAIAGLPVYKYEQKRRGGATADECAVCLGEIRPEEVVKRLPVCTHLFHAECIDVWLRSHPTCPVCRTPVGVAAESAAVDLDWAISILVIVTVLLCIHWPLSLRDRLAADRAAAEAGLPVLHPEPPEPAHDERVRQQCGGVRGLGASAIAALPAYAYEKKAGADDCAVCLGELQRGEAVKRLPACAHLFHDACIDAWLRSHVTCPVCRSLVDAAMPVAAQVVVVQTHNCRYAVIMCPLTLLALWCRRRRQPTGAESEHGTHANVPAARRHQAFPVQTTLPAFTYARDSDESGGAGGCECSCSVCIGTVQAGEMVRRLPMCKHMYHVECIDMWLASHATCPVCRADVQPPVDGKNAVTGPPPPPPELAHHPPTKGTAIFSYICVGLTGTAIIVVLIIFCRYRVRRRAPVTAAGGELGNPAEERQVGGDIAKLPEFAYTESSRRRSGGGDGAQCSVCLGAVQPGEKVRRLPLCKHLYHVECIDMWLASHTTCPICRADVEQPGEDGQAAPAEQEQAPPPQTWPPRPPTHRLPPRAARRRPPLVDPLSDLDSQQRRLPQPVTTAAFLPAFVYTKSVNSKVTAGNGEEEAAATCSVCLGAFEFGEMVRLLPVCLHLFHVECIDMWLAAHTTCPICRSGTDPTQMTMDVEQLPPASSFLHLRRGGQYGSWYPTFSHLPADESSTPPDRVIIGAVIGVVATLVVVSLLCGLCQRLRLNQPNPAVAAAETTRAAAPPAAARPDNDEDDECRERRPSSTAGLPSFTYSQSVKKNLTTVSDEETATCAVCLGEFRIGETVRLLPVCLHLYHVECIDPWLDAHSSCPICRSGTDPAMEHDRVATMSIYMLQSSGNSSSSGISGSAIAGVSIAVGVILLVLAFMCSLCQGYRNRNNAAADAAERAAAAAFSARPRAPPTAPAAQYDEEAARRRSARSRRSSQTASLPSFTYNLSVKRNVTMGGSGEDAAATCSVCLAAFQVGETVRLLPVCLHLYHVDCIDPWLEVHSTCPICRSGTDLTMDGSLLPPMASLWPPGFVFPPALPLPQPLAAAPWRPPNSPTNNHSKSPGGVIAGVSIAVGMFLFLISCICSLARAQRRNDGNSAAQQHAAAAGAIAALPSAPLDEPGVMWGNNDDDQRPSSAHHDETRRRRGSRTAELPSFTYSGSLKHNVTGETAATCSVCLAAFQVGENVRLLPVCLHLYHVECIDPWLDVHSTCPICRSGTDPAMDGILLPPV >Et_5A_040424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21028678:21029724:1 gene:Et_5A_040424 transcript:Et_5A_040424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PILQHLTLGAVTISEDSLHAVVTGSPALKCLVLKYCSGFHRINISSSSLECVGVYLPISAQGIVLQKVIIENAPRLERLLHHGPFKERLDVSIILAPKLKMLGRLTDEISRLQFGTNIFKGLRGSKIETLIHSVKVLALRFKTLSLDMIIDFMKCFPCIENMYIETFSITMDDLSCEDDMDHIECLDRHLKRLVLGYYRGYKSHVDIAKFFLVEAKMLESMALDVRPDKNIQSQRWLENQRRQLQVEDRPSVGTCIDFTCLNNYVDLGDIHELSDPFERRWYV >Et_9A_060972.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22426718:22427683:1 gene:Et_9A_060972 transcript:Et_9A_060972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRADAAPPNLLADERDDIVLLQLSLRRVPASPTTRPRLLPLPHPVIAHSGSSVCVISDDRPKSRSPPASDLLDAAKTLGLPVSEVIPLSTLRTDYRPYESRRRLAGSHDLFIADRAILPLLPRVLGKAFYSTKKAPIGADFTRVGWPEQVRKVLGSSFLYLRTGTCSGIKVGRLDMEEEEIVENVIAAVEEAVEKVPKKWANVRALHLKAVDSVALPIYQAVPELGMKIEVPSIPQLEGEVVAKEESGKRKWNKKKRTEDVVMQEDVQEEPEKKKRKVPSDKGQKVSKKGEHALEKEAKPGKDSKKGKKSGSAMEEVDNT >Et_4A_032662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12355710:12362156:1 gene:Et_4A_032662 transcript:Et_4A_032662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKGALRRLCDGLCAVLLCRRCRRTAGSKGPRVVVRPAGTVDRATASEATTAASPATPVTIRLATFNAAMFSMAPAVPPAANDGDDPRRSLSRAGSGRRRRPKKGILKAQQSPASPPPSNKKLRRVSLPPGEHWWRKSSSSSSGRTRSVAEVLREVGADVVALQNVRAEQERGMRPLSELAEGLGMRYVFAESWAPEYGNAVLSRWPIKRWRAHRVADHSDFRNVLRVTVDVPGAGEVNFHCTHLDHLDESLRMKQVNSILRSVDGHHILAGGLNALDYTDYSADRWADIVKYYTEIGKPTPKAEVMRYLKAKRYVDAKDFAGECEAVVVVAKGQDVQGTCKYGIRVDYILASPSSPYKFVPGSYSVVSSKGTSDHHIVKVDITIGSAGSAPQCRRQRVVRMSNGSTKGIWAARFYKEGCDQISEVTGERVSVSAAKVYDLQRLRQVHNQLRRL >Et_5A_042236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7971959:7973886:1 gene:Et_5A_042236 transcript:Et_5A_042236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCRAHLARILLHLRRRSPKIQLPFAAASSSSSPHGLLPGSPLCPPPSPGGAWRRAFHDGRPRGPLWRSKKLIGKEALFAIQGLKRFKGDEEKLGDFVKRHVARLLKADKLAVLGELERQEEVDLAVKMFRIIQKEDWYKPDMYMYKDLIIALAKCKKMEEAMVIWGNMRDENIFPDSQTYAEVIRGFLRYGSPSDAMNIYEDMKKSPDPPEELPFRVLLKGLLPHPLLRNRVKQDFEELFPERHIYDPPEEIFGMH >Et_3B_028875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:285929:288148:-1 gene:Et_3B_028875 transcript:Et_3B_028875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGDKAAGGGGDYGTFQGPPSYPPPRPPPVGFPQPVPPPGLSSARQRAAYHAIPAQDYEAGVRRNSHDRLPCCGIGFGWFLFILGFFLGAIPWYVGAFLLWCSRVDYREKPGYVACTIAVSKQFILNIQMAHHLSYTRFHASSNVDYSCHFLELCNFIQLYHHLVDGLEVPAHELAIVHGEMELGVIRPPLLQPVSFKELAWPFGNDVELGANLIVLLSSNSCDTAAINIKAAYVIAVVYIEVWHPSLDLLDELFFPRFNLRH >Et_6B_049984.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:2633476:2633874:1 gene:Et_6B_049984 transcript:Et_6B_049984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSSTTLRRLITASVVSALLLVRLCTAAPPSAAEYEEDDRVDDFLRVLDQAARYRRECFGECAKGCYCADNPYSCLRECMPTPPTRRCGATYSAVQGVFSSAATFSSTFRPEERVDSGAGSGDGFISRPT >Et_8A_056099.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19495805:19499518:1 gene:Et_8A_056099 transcript:Et_8A_056099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQLQKLRNTAITRANSACLPMGDRVGVKYSSVNEGEERKGGHGVPKVSMVPLIFLIFYEVSGGPFGIEDSVKAAGPLLAIVGFLLFAFVWSIPEALITAEMGTMFPENGGYVVWVSSALGPFWGFQQGWAKWLSGVIDNALYPVLFLDYVKSSVPILGGGLPRTLAVLILTVALTYMNYRGLTIVGWVAVFLGVFSLLPFFVMGLIAIPQIEPSRWFEMDLGNVNWGLYLNTLFWNLNYWDSISTLAGEVDNPKRTLPRALSYALVLVLGAYLYPLITCTAAVPVVREYWSDGYFSDIAKILGGFWLHSWIQAAAALSNMGNFVTEMSSDSYQLLGMAERGMLPEFFAKRSRYGTPLIGILFSAFGVILLSWMTFQEIIAAENYLYCFGMILEFIAFIKLRVTHPNASRPYKIPLGTIGAVLMIIPPAILIVVVMTLASYKVMAVSILAMVIGFVLQPCLVYVDKKRWLRFSISSDLPDLSRSLETEDDTVPLVF >Et_4B_036180.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7505629:7506192:-1 gene:Et_4B_036180 transcript:Et_4B_036180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGHRCVGPRPDAEAGELGVEAAGEGEDEHAVVADEGPHGVPERGRAVALHEEVAVPGHAVPERRRREEERGAARGGREGHAGEGGQRAEEVPPPRARLRVLAQVEPPELLHAPELLLARRLASVPPHRACRGCLPALATASSPACGVLARRCFFSYLLALGGCCGQHLFLSRRYKQMRHRICKQ >Et_7B_054412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21017008:21020835:-1 gene:Et_7B_054412 transcript:Et_7B_054412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAAVGWVMSALGWIVSPATTRLLNDGFALLGFDEPEKLRDLEARLLPQLALILERADKIPPGPQRARIELWAGRLRAAFYDAEDILDLADYHRLREQVPNQKNPSVFFYMELCLSRSIHRTPLKCIIALQVLCQSGNKSALDRAKHIFSGKTRKLKKVLKKLEKLIEQGKCYSVIGVYGIAGSGKTTLAQYVCTYERMSTYFSPIIWVHVSQNFSVAKIYQEMLEAASSGESSREFSNLDTLQMKLEEQLRCKRFFLVLDDVWAANDANVQYKLNQLLSPLKVGKKGSMVLVTTRFKGACISLGAQSPMRMPDLNETDFFNLFMYYAIHDINLDAQELDMFQMIGKQIVKKLKRSPLAGRVIGARLRQDLRPTFWRRFADQDDEWFTMHDLLHELAMKVAGNDCLRIEGGEMKEFPPDVRHLFICTKDQMKITEQICKLEKLRTLIVITSIGGVGITVEELEGILKNLKKLRVVHVDIEGYMAAIPACICELKHLRFLRIHNPLSMKVHLPKSLGTIYHLQILELRGSGVLEFSNVKKMSHLTSLRSIRYSGFSFDNSDVSGFPGLGELRSLRELSDFRVRKEKGYELQQLKGINNLSGNLRISGLDCVESKEIALEAKLTEKTHLTALSLEWSPCSRQQHSLSRELQVEILEGLCPPSQLTELHIWRYGGWKCPSWLSQDQNGLISRLQHLELCQCYNLEALPEMGDLLLCLSHLKLVSLPKLRKLPEALPKSLKSLEIQRCGALVITCEEDVNMIRKHFIERASQIEPSLNTPVHPEEIDMFADEQPDRFTTILCDIFGRCGSLPARLIRGHITEEDYSRFMLPASLNRIIISYCAITDTVLHNSLRGSTSLDSLNLKGLPFLTSIPYEVMRCLPKICDLSIDECVRFTQLQGLNHLTRLQHLSVTKCPNLGTLEDKVCVLHGVAVDDISLVPQLLSREGCSSLWSLRIDESEILREEEILQQFHSLTSLNFSCCSWNRLPENLATLTSLEHLHLDYCRNIRSLPTLSASLHSFELTDCDPSFMKSCQKAGDPNWQKIAHIPVKRFFP >Et_6B_049168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19021558:19024558:1 gene:Et_6B_049168 transcript:Et_6B_049168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLREHLKRLAGIDLQILSAQITQSTDLAELLNQQPWLSTTKLVVKPDMLFGKRGKSGLVALNLDFDQVKEFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTIFLPTEKPMTPDACAPLIATLPLEARGKIGDFIKAVFTVFQDLDFSFLEMNPFTMVNGEPYPLDMRGELDDTAAFKNFKNASLKFTVLNPKGRVWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPKEEEVLHYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFNGIIRALREKESKLKASRMHIYVRRGGPNYQSGLAKMRKLGAELGVPIEVYGPEATMTGICKQAIECIMAAA >Et_2A_016054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20610149:20612732:-1 gene:Et_2A_016054 transcript:Et_2A_016054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRREETGAPCYFWSLCRWHSKALNDVQKFIAHRFALLGAADKYDVSDLKMACLDSLLQDIDTENLIERLQVAHLYRLPELKRSCIRLLVDFRKLYEIQDDFKEFIRTADSDLVVEIKEYVLHSFTFVG >Et_5B_045647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6184126:6186472:-1 gene:Et_5B_045647 transcript:Et_5B_045647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVAVDALPSAAVSSDSDSEDLLLLPNLATTAAPSSPLHAQLHHFDLPSLPSPITVRALPSRGLSFQLWPSAATLLRFLPAFPSALPRAPSPGSPLRFLELGSGTGAAGLALAAALPARAILSDLPDALPNLRHNVDLNAPLLASVGGTASVVPLPWGDAAAMEAAAADAETPFDLVVASDVVYYEALVDPLIETLRFFVKGEVVFLMAHMRRWKRTDKKFFGKARKVFDVEVLHEDPPLEGWRHGPVVYRFTAKKQNGRNPSSVPSDEVYENLQRWNGGAKYIATGIFGGRLGKGISNFNTLMTWRIEGRKL >Et_4B_036238.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12542049:12542051:1 gene:Et_4B_036238 transcript:Et_4B_036238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding M >Et_7A_052312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6909248:6913270:1 gene:Et_7A_052312 transcript:Et_7A_052312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGSAAEAEAEVRSILERAATSSLPPLHAVHHLLSVGVCVRCILRLFGAYSNACSCASLTALVLHSFLEEHDDSIKKESCPCLSTDDSYCSVCLGVLLPAYHQDNGTEMATGVSHIDNISSMISQAAQRKSYQVDEFSLEISLPPVIAANERAARLYMKQKYGNETWFKDKTLPQQTMSVKEALRLLIVPSLEKQMNAKHGNNSFRIRLTYTHSDASQKLQSLLPNDNGRKRRTESRNGRDTSSEAHKMNSVDENDKNTISESDSFIYKTLEGIQDEEFFNLVQWPPEKMSKSCHLEISCLRSPIYIGGRYLKLSRNVSQSCWIIDDERMGEASVEEIIGEGVRSICRGDSYKFHAAGREDIDVRMLGSGRPFLIEALNVRSIPSAIEVQQIAEKINNSEKKYVRVQNLKLKQYAALIWTSRELTETDLQSISLMKDMEIIQKTPIRVLHRRSPLERKRTIHWMEIEKVADSSNYYLLLLCTQAGTYIKEFVHGDLGRTHPSIGSILGCRAEILQLDVTDVKMDFLQ >Et_2A_015868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18629288:18633317:1 gene:Et_2A_015868 transcript:Et_2A_015868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPARAPLLRRLLLAAALAASCSYYLLVLQAQASVPPRYDGFAYGGGAAAAWKETVLVEAFLDPLCPDSRDAWEPLKLAVERYAPRVSLIVHPFPLPYHTYAFHACRALYIANKLNTSSTYPLLELFFKNQEKFYNSATSSLSSTAVTVEMSKMAAQAVGNSVSEFHSGFSDVRTDLATRVSFKYGCTRGVAGAPFFFVNGFLQPGGGSPIDYNTWISMLDPLVSQHGDRIEVFTSL >Et_3A_024731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23728550:23731007:1 gene:Et_3A_024731 transcript:Et_3A_024731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAALASSAAPFISSSVASSLPYIMLSLMERFLANQTNLPSYPVKLKIFYVNAIYQNFTDLWIIKSFNEADNNPMVCSTVSPASPYDVSFFLETLVRTCAETALAIAISGSDVSMTRVRSQPLVNAMMKPPRKADESCRSFPASSWTTRVSLDILETAWPVVNSVSKKPISCLRTALRYKERMRADCLCPAIVQHDTSGTSEKGSSPIINISTIQTNLEEEDPNVTIDCLIVNRPPEGDDDCTYDALTFLTLRRMLSAVLSPKELMTFPKRSVTRGSDTPLATAPTVPTTISSTSRRSAYRNMPSSGTFLPLLAVVASIATPSSAQFAAALLEF >Et_7B_055977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8533881:8536515:1 gene:Et_7B_055977 transcript:Et_7B_055977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPVPMQAAPGSSGGGSGSGSSSSDSSSYGAPPARDYTHDLPDEILALVLASLSPADRNACSLACARWKDVDGATRHRLSLDARAALGIWAPSLFARFTAVTKLALRCARGSGADSLSDDGAAAVAAALPSERLARLKLRGLRQLSDAGLASLAAAAPVLRKLSVASCTFGPKAFVAVLQCCPQLEDLSVKRLRGLPDTAGAAAAITEDIVFPPASSLRSVCLKDLYSALCFVPLVASSPNLRSLKILRCSGAWDLPLEVITARAPGLVELHLEKLQVGDRGLAALSACRNLEVLFLVKTPECTDTGIISVAEKCHKLRKLHIDGWRTNRIGDFGLMAVARGCPDLQELVLIGVNPTVLSLRMLGEHCRALERLALCGCETVGDAEIICLAERCAALKKLCIKGCPVSDRGMEALNGGCPSLVKVKLKRCRGVSYECVENLKVIRGDSFSISLDIVLEHDAAGASENGAQEAGQAQIAELTDQMAGMDLPTNAAGAQSSAHTINRMRSVMSAIRRRFGNKKAVFVECSTADGMPHSQSSNA >Et_2A_018000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8913395:8913837:1 gene:Et_2A_018000 transcript:Et_2A_018000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLAGISVGGKQLNIPPALFANGMVVDSGTTITELPEMAYTALRTAFRSAMSANVTVPKVSLTFSGGATIDLDVPSGVLVEGCLAFSDFQDNESQFGIIGSVNQRTFEVLYDSGRGYVGFRPGAC >Et_10B_003426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19696912:19699970:-1 gene:Et_10B_003426 transcript:Et_10B_003426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTAGTVTPQGSPAPAELSSPKSSATKRRRMGAALSDVTNLLLPETPTPIKPRRTARRPLPQPSEASSTCSSSASVTPAPKRSYAAVLEEESSVVKSPAISTVYARRGAAEAEGRGRRAVPTTSKAKGKAPAAAGTASCSPLGKSRTNNSRKTSTAQDTRPISSSAPCHEAKKKRPLSSTPKLPEDFVKKQREHFAEIDAFELLEEEVSETDLEHDMTDDEFHSGYSCARRRPQLHREKAPWRRDSPPLHRLAQKNLPRPAVHDSSLLLLLGLRGDSADGSKGEGSS >Et_3A_023490.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30690604:30690726:-1 gene:Et_3A_023490 transcript:Et_3A_023490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNPLPGYCVHAKNKHFYGDVVSSAQRRMCLIHGVLSLV >Et_2A_015748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17573507:17575687:1 gene:Et_2A_015748 transcript:Et_2A_015748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKATAATSWRWRLLLLLLVAVAALCWIPPAIAAAAAAASTASGARRSLLGFVEAQGNASYQCSPSGPCIPCQYSEKNDEKYCCSETGYRLPLKCVQVQNVTREGNKGKQRKVLDDVSAPGGTKHYITYRSCAPLEGEEKLSVLGFEVLMAGMLLVSGPFVYYRKRRTNVMQGAARIPTSPPRF >Et_3B_028478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1607042:1609398:1 gene:Et_3B_028478 transcript:Et_3B_028478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKGELDQITLPAAQPPPADVRSVDLSAPAGPARAAAARAMAAACEEHGFLRVTGHGVPPELARAAEAASARFFALPQGEKEAAAQLGYGSKRIGGNGDLGWVEYLLLGVTPSGAVPSAFASSSTLPCAAAAAVDAAASASSSTPPCPLRDVLEEYAAAVRRLARAVLELMAEGLGIASPDALARLVTRGDSDCMLRVNHYPPRPAAAELVTGFGEHTDPQIISVLRSNGTSGLEIALRDGAWASVPPDGDAFFVNVGDTLQVSASFFLSFYRVSSISIEQIDQSPVLTNGRFRSVRHRVVVNGDRSRVSMIFFGGPPPGERLAPLPELIGNGGRSRYKEFTWKEFKSSGCRTRLAEDRLSRFENY >Et_8B_059316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16622271:16625540:1 gene:Et_8B_059316 transcript:Et_8B_059316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGAGVVLAVVVLACALGVRADGSDHKYKEGDHVPLYANKVGPFHNPSETYRYYDLPFCAPEHPKDKKEALGEVLNGDRLVDAPYELNFKEDQNSKILCKKTLTKEQVAKLRDAVAKDYYFQMYYDDLPLWGFLGKLDKDREQGDAKYLLFKHIHFDIMYNNDRVIEINVQTDPNVAVDITEDKEMPVEFSYSVTWKKTDIPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHEDESLEDQEETGWKYIHGDVFRFPQQKSLFAAIIGSGTQLLALAIFIFLLAIVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLILTGCLFCGPLFLTFSFLNTVAIAYRATAALPFGTIVVIILIWALVTSPLLVLGGIAGKNSNTEFQAPCRTTKYPREIPQLPWYRSTIPQMAMAGFLPFSAIYIELYYIFASIWGHKIYTIYSILFIVFIILIIVTAFVTVALTYFQLAVEDHEWWWRSVLCGGSTGIFIFFYCIYYYHARSDMSGFMQTSFFFGYMTCVCYGFFLMLGTVGFRASLLFVRHIYRSIKCE >Et_8B_059151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14418852:14420291:-1 gene:Et_8B_059151 transcript:Et_8B_059151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQPFELNAAPVEIDMKIVAEDLLVANHFDLNIPMDEDIAVPEAQAPILQGDVLNHNHPGQVHEGNEPNHEPQIGNPEVNANMNILSGEVFIELNDFVNGLVNDEEEQNDGQLSFQVSDSIYGGNASAGSAASVNQPAPGEANAEVVLALEATNNPMAAFLPMELQMADLIGDQHHPEQGNHDNVHLNMQVGMMQFKGELQPDPVFQIMFDSLGFARQKQPSPDCYRLWARYFSPIGVADPKIGIPAEWVVFFISLLLSSETFDSAKSLLVSKAWDIIRKHAVMDNEVAFMLRAKCPVNENSPCQSKLAENLAGSIVDFQEEIKVKAPVGKKEKQEEGDKLPEQRKRKKPKLSTNKIKKKPTNEDKEPK >Et_1A_009541.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:7726569:7728209:-1 gene:Et_1A_009541 transcript:Et_1A_009541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAAAVSAPTTAPVHAAPRHHATPTVVHPRPAPRREQSPLNPATNPTTAPLRSSSPPGSSSSDGGARAHIANLDRVLGKPPQVPRPPAKREEQDAAGGEQEPLTVRHGLLNALNLSFFVPMPGMRARTAADEHMSPRSLMHMQQLLSADSPRASPRCTIAPRWRALHGDGGWAGMLDPLDSDLRRELLRYGDFVQAAYQAFHSLPTASARHRGLMLPDRSYRPTRSLFATSALSMPEWAKRPNTPEWLTQQSNWVGYVAVCDSEREVARMGRRDIAIVLRGTATCLEWAENLRASLVPLDDGDTSDGPEYTGAAAEEPKVARGFLSLYKTAGEKVKSLSAEVMDEVRRLMEKYKGEELSITVVGHSLGAALALLVADEVAASISDAPPVAVVSFGGPKVGNAAFVDRLSRTGKVNVLRIVNAGDMVTKVPGVAPRLPLKKEQYHHAGAELRIDSKNSPCLRPDAGPACRHDLEAYLHLIDGFTGTGRPFRHDARRSVIRLLQLQRGNVKKEYVNRARELGVDPAAPADVGRSVAYGNCALASPSS >Et_2A_018124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1221094:1221440:-1 gene:Et_2A_018124 transcript:Et_2A_018124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLRGSGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Et_4A_033967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28511004:28512796:-1 gene:Et_4A_033967 transcript:Et_4A_033967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMARASVTPSAQLRPCPRLRRNCSTPSPSLRRRPRRWAIACSASVPDADVVDLFDAAKLTVDRFVKSGMVVGLGSGPASALAIQYLGARLRRGSLTDIVGITSSVLSASEADMAGMQVSSYQEGTKIDFAFTDADVIEEGTLAAVVGRRKIETGEPSFTVDKAMLKSADKLAFIVGTDKYVTGIEGSIPVLVKTGNWIDTAEEIDDLFLGDAEVWRRPSFGTAGPLGGDHPLVTKEGHHMLDVIFTTPIPDLGKVAEGLDKIAGVVDHGIISSLQSYAVIASKGEVQVLDEKASVIS >Et_3A_025070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26601557:26613150:1 gene:Et_3A_025070 transcript:Et_3A_025070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVVRGCCKEFIVDMACDSSMGIALSWWLDKLRMEELNHDFDVPSAELNAIKFDLMTSADMEKLSSVSIIEPSDVTGPKLGLPNGFPQCDTCGSQTTRDCDGHFGVTKLAATVYNPYFIDEVVQFLNLICPGCLNPKENVNMKILERAPVQESCKYCSKDGAKTYPSVIFKTLSSPRVLLSKSTLHRSSSLMERISIVAEAVDRVSIKSKNNGSIEFLPQDYWDFLPSEHQTLPNVSKIILSPYQVFHMLKKIDPVIIEQFISRRELLFLSSLPVTPNRHRVVEMGYGLSDGPRLTFDDRTKAYKRMVEVSKRIDDYRQHPQFSVLASSLLYSKKTDGETSTDPYGMKWLKDAVLSKRSDNAFRSTMVGDPKIKLYEIGIPENLASNLLVSDHVNGYNLESINLKCNSHLRSKEELFIRRDGKLMFVRNANQLEIGDIAHRPLQDGDLILINRPPSVHQHSLIALSAKVLPIESVVSINPLCCAPFMGDFDGDCLHGYVPQSIQSRIELGELVSLHRQLLNMQDGRTLVSLTHDSLAAAHLLTGTDVFLKKSELQQLQMLCLSVSSTPVPAIIQSMNSQGPLWTGKQIFSMLLPSGMNFSYDQKLRITDGELLTCSWGSKWLQNSTSGLFSVMFEQYGCRALDLLSSAQEVLCEFLTMRGLSVSLSDIYMFSDHYSRRKLAEGVKLALDEAEEALRIKQILLDPANTPILKCLDDNEKVSCSYSESNFIQSTQHITRYSIMAFKDVFNDLLKMVQHHVSNDNSMMAMINSGSKGSMLKYAQQTACVGLQLPASKFPFTIPSQLSCVCWNKHKLSNCEIIEGSSENLGGQNLYAVIRSSFIDGLNPLECLLHAISGRANFFSENADVPGTLTRKLMYHLRDLHVAYDGTVRSSYGQQIMQFAYDSSGEMYCDRGPIGELGAPVGSWAACSISEAAYGALEQPVNGLEDSPLMNLQEIFKCPKATNSHDHVGLLFLSKNLHKFRFGMEYASLEVKNHLERVDFCDLVETIMILYDGCEKTRKGSPWTTHFHLSQGMMKKRRLGLRFIVEELTTQLNSLIPSICISKSKCLVGDGCTENPACCVTVVVQAESDSISQLNSLKNREIPIILDTLLKGFLEFKDVEIQCHNDSDLAVKVAMSEHCKTGKFWATLQNACIPIMELIDWERSQPQSIYDIFCSYGIDTAWNYFVQSLRSTTADVGRIIRREHLLIVADSLSVSGQFHGLSSQGLKQQRNRLSVSSPFSEACFSRPAQSFVNAAKHSSVDNLCGSLDAIAWGKEPFNGTSGPFELLHSGKSHEPKQKQSIYDFLCNPEVGNFENDCMDTCKQSTENASRWRLASKSNDSVIVNGGTVSIDQDLLHAKVGIWDNINEMRTCLQNMLREYPLNGIVKEPEKSHLMEALKFHPRADTKIGFGVREFKIGSNPNHPSTKCFILQRKDGTTEDFSYNKCVLGAANSISPQLRSYLEKKLYHRA >Et_10B_002813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11903724:11908082:1 gene:Et_10B_002813 transcript:Et_10B_002813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVQPADAEPPECLVCLCPFDTASVCGAARAAVPPLPLRTLHHRPPTRIDPLPALLPVQTVNRRREKPSRFRFTPSTTPLWMVSERHSNDLPLLLEEERINGEKVVARIGAVAMEMCEAIMGLHRKGLVLGGLGLDCFSIDRCTGAVSDAFVAPEVVEVLADTSQTKDCDLDGLVGYRSDVWSLECVLVTLLITGDEQLALGWSTDESYDDWDKKLLTRLDASLVGTQLEPLSAITASCLSYDPKDRAEIADVWKCIRDSLMNSDNNALAPDDELAAEKSLRCLLRGKLSTMFVQSCAVKSDGKIQFSRGSDDSRSNQEDACTGGSTDNGEIDFSEKDDPQFGRVFKSSTLLAHRDCVTGLEIGAGFLFSCSYDKTINVWSLKGLILKGHKHKIMAIVAVSNDNWSLCISGDSGSGIFVWHVDSSLKEEPLTKWYDHNDWIYRGFHCLSVSGTGYLYTSSRDKSIKAWSLEDNTLRCTMTGHKSTVSCLAVASGILYSGSWDGTIRSWWLTDHTPMSVLEDDIPGNIAPVLSILTEANFVVSSYENGYLKVFKNDVLVKSDKLQNGAVYAVKLSGQWLFTGGWDKVKNIQELLEDESEVEILDVSSITCDSIITSLLFWDERLIVGLSNRDIKDSGDRCHANATHFLRHPDSINNPCTTQLRNTF >Et_8B_060753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6130621:6133841:1 gene:Et_8B_060753 transcript:Et_8B_060753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRISFSAASLFPSHHAAAGHRFVYKGRCQSIAVPMALAAVASGKGGGVLDRPVEKVTPGRQSEFDVKKKRKMTPPYRVMLHNDNYNRREYVVQVLMKVIPGMTVDNAVNIMQEAHVNGLSVVIICSQSEAEEHCTSLRGNGLRSSIEPASGGC >Et_1A_009554.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:847708:850710:-1 gene:Et_1A_009554 transcript:Et_1A_009554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAEKLVVEVVAAHNLMPKDGQGSSSAFVEVEFEHQKRRTRARPKDLNPVWNERLVFPIADPDDLPYRAIDVGVYNDRAGSGDGASPHSRNFLGKVRVPASGVPGPGEEVVPQLFTLEKRSLFSHIRGEITLKLYRIYSGDVVVNNKSKPEKQANVVVAGPEVAAAPTTVTGGKKQQQQQPVVAVQPPHQHPHQEQPPMDIMQPPPAQPMMKPVMLADPYPVPAMFSGPGDFSLKETRPHLGQGDKASATYDLVEQVEYLYVRVVRARGVAMVGEAVAEVKLGNYRGVTPAAPAHNWDQVFAFSKETIQSSFVEVYVRARGGGSDDHVGRVWFDLAEVPRRAPPDSTLAPQWYTMEDRRGQRGGAEVMVAVWFGTQADEAFAEAWHSKAAGVHGNGPLGSIKSKVYVAPKLWYLRASVIEAQDLFPMDKGPLPMGRFPELFVRVQVGNQTMRTRPAPVVPTRGPSSPFWNEDLMFVVAEPFEEFLVLSVEDRVSPGRDEILGRLVVPLSAIERRWDSKPVVSRWFGLDRATGGANVAGSNVNRFGSRRVHLRLSLDGGYHVLDEATAYSSDLQPTAKQLWKPCVGVLELGVLGATGLIPMKARDGRGVTTDAYCVAKYGQKWIRTRTIVDSVCPRWNEQYTWEVFDPCTVITVGVFDNCHVDKPASGNTTVAVRDNCIGKVRIRLSTLETDRVYTHAYPLLMLHPSGVKKMGELHLAVRFCCGNAGNMFHAYARPLLPKMHYAEPLQVRQVETLRFQATNVVAARLGRAEPPLGKEVVEYMLDHRSHLWSMRRSKANFARLVGVLSGPIAMVRWFELVRSWHRPVHSCLAVFTFLVFVTMPELILPTAFLAMAFTGLWRYRVRPRHPPHMEMRLSHADAATADELDEEFDTFPSSRGDVVRFRYDRLRSIAGRLQTVVGDVATQGERMQGLLSWRDPRATLLFSIACVAAAVIAYAIPVKVMIGFWGLYAMRPPRFRSRMPSRLMNFFRRLPSRADILL >Et_2A_017773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6066845:6069821:-1 gene:Et_2A_017773 transcript:Et_2A_017773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPLPAAASADAEEGRRAPTGPCLLPRLVSGVLSGALTGLFAVAGGLTGAFTGALAGRASDSGVLRGAGLGAFAGAVLSVEVLEASRAYWCADRSSPQSTSSMGDFIEQLLHARIVPEQYEPSVYMAYRWQVDNADFNNDDLYDVLEEILSDGLSPETLKKLPHHVVTDQQEPIGETLSCAICLQDIVTGETARKLQKCSHTFHQPCVDRWFIDHGSCPVCRQEVRDGADETRGIARW >Et_1A_008516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8292023:8294533:-1 gene:Et_1A_008516 transcript:Et_1A_008516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRLLLLALAGLLLATFPSGCSCDDHRRRELADDCQPSGTLQPSESPLCQDCCEAGRSYPTYECSPATSGSTEAIMTLNDFESGGDGGDPSKCDEKFHRNTELVVALSTGWYADGGRCGRNVRISANGGSVLAKVVDECDSLHGCDSEHAFQPPCRPNIVDASQAVWDELGITGEEVGEVDITCLARPRAPYIKCGRDRRLRFTGSSLVVTRCRLLVLALAGLLLVTFPGRCSSSSSDDGDGRRELADGCQPSGELRPTKSHSCDECCKAGRSYPTYTCSPAVSGKTKAIMTLNDFEAGGDGGDASECDGKFHSNSARVVALSTGWYDKGKRCGKHIRIHANGRSALAKVVDECDSLHGCDRAHAFQPPCRPDIVDASKAVWDALGITGVQVGEYAITWSEA >Et_9B_065236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2683953:2691956:1 gene:Et_9B_065236 transcript:Et_9B_065236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASGDGADAGGDGASSAAAAPAGRRIPPASSMPWVRNLRRFVGSGAGLGSEAFMELETKRILLDIFKERQQRSAQAGSIPSFYKKKPEEGSISQRVQRLAKYRFLKKQSELLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIATVCTEQIGQKCKRFFSPSNFMKFEKDDSGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPSAFVQMESMHKESIAEQLSSRTNGTTSDMFLALDKDTNGTLSKQELKEYADGTLTDIFIERVFDEHVRRSKVGGGNNREMDFESFLDFVLALENKDTPEGLTYLFRCLDLNGRGFLTTADIHTLFRDVHQKWIEGGNYELCIEDVRDEVWDMVKPADPLRITLSDLLSCKQGGTIASMLIDVRGFWAHDNRENLLQEEEEQSSRKISFPSDISGPAASPQSGLLGIMLPTLIEQ >Et_2B_021399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29380148:29387443:-1 gene:Et_2B_021399 transcript:Et_2B_021399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTDAAAVGVAAMGISGGGEEWAQACPALQRNLQLLAPEEVVLAKMLLNEGQTHLFEHWPEPGVDDDKKRGFFDQVRRLNSSYPGGLASYIQNARKLLADSKAGKNPYDGFTPSVPSGEVLTIGDDKFLSLEAAGVKEARNAAFVLVAGGLGERLGYKGIKVALPRETTTGKCFLQHYIESILALQEASCKMTEDACHTNIPFVIMTSDDTNELTIKLLESNSYFGMDPSQVKILKQEKVACLADNDARLALDPNDKYKIQAIPSALGVSATKGYNVNSLAVPRKAKEAIGGITKLTHVDGRTMVINVEYNQLDPLLRATGHPDGDVNCETGYSPYPGNINQLILELGPYIEELKETHGAISEFVNPKYTDSTKTAFKSSTRLECMMQDYPKTLPPSAKVGFTVMDTWLAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYRANSLILRKAGAQIADPIVDTFNGQEVEVWPRIIWSPRWGLTFKDVKEKVHGNSSISQRSAFVVNGQNIFIEGLSLDGTLVVNAADEAEVKVTGNVQNKGWIIQHVDYKDTSEKEEIRIRGFKFQKVEQLELNYTEPGKHSMSA >Et_1B_011498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22953316:22956523:-1 gene:Et_1B_011498 transcript:Et_1B_011498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAASVEGWPRRRERRNGGYSFKAEIEMNRGSSAIPRDSSAIPRGAEYGFAAAVREPLVRLQRPKFDFEIWDWGYFAWPHDRLDANLEMRDSDPEATLEADRKASESFLNLSTLQLERYAMDQYTQEQNAIALPHNQLSVNQGMWDSNMEGTLKADQRANENLLSRSTVQLKRREMDQDQHTQERQKAPKLRSSPLHDGKPVGRRSTRRCHGCAEAIGILDHGRAMQGSWAC >Et_8A_057746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6724935:6728682:-1 gene:Et_8A_057746 transcript:Et_8A_057746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPNARAKPIEQTLAPAPAPAPAKMGPQRRRPSSRRRVAGALALLAASALALILLLRSPAPSYGVVIDAGSTGSRVHVIAYRAGAGALPRIDWARTASLKATPGLSSFAADPSDAGRSLAPLVEFARHRVPRGSWANTEVRLMATAGLRLLDAAVAESVLESCRDVLRRSGFRFQDEWASVISGAEEGIYAWVAANYALGTLGGDSRETTGIIELGGASVQVTFATGESLPPEFSHVIKIGDVTYNLYSHSFLHLGQNVAYESLHDLLSTPELKSMATHLIPQAKYRDPCTPRGFTRIAGAVNLPASVLEPKVEYRPFAHAVGNFSECRSAALSLLQKGKEACTYHECRLGAAFVPDLEGKFVATENFYHTSKFFGLQSKSFLSDLMLAGEKFCHGDWSKIKKKYSSFNEGELLLFCFSSAYIIALLHDTLKLPMDHKRIHVTNQIHGVPVDWALGAFVVQKSLNLTDMLHPEVAKTTAEDHLRHGERQVHHNQGQPMSLARHRWELVGLLQRSTSDRNDLGCGGKPAILHAQCCCSQPVVDVIICVSKIVQ >Et_1A_006759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27186198:27203738:1 gene:Et_1A_006759 transcript:Et_1A_006759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSKVVALAALFSVLVTYGSCARPVNYTASAFTADPNWEAARATWYGAPTGAGPDDDGGACGFKNVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCVNHQACSGNPETVIITDMNYYPVAKYHFDLSGTAFGAMAKPGRNDELRHAGIIDIQFKRVPCNYPGQKVTFHVEQGSNPVYFAVLVEFEDGDGDVVQVDLMEGNSGSWTPMRESWGSIWRLDSNHRLTAPFSLRVTNESGRKLVASKVIPANWAPNTYYRSIIHTLELATKMASFSSKVVALAALFSILVTYGSCARPINYTASAFTADPNWEAARATWYGAPTGAGPDDDGGACGFKNVNLPPFSSMTSCGNEPLFKDGKGCGSCYQIRCVNNAACSGNPETVIITDMNYYPVAKYHFDLSGTAFGAMAKPGRSEELRHAGIIDIQFKRVPCNYPGQKVTFHVEQGSNPMYFAVLVEYEDGDGDVVQVDLMEGNSGSWTPMRESWGSIWRLDSNHRLTGPFSLRITNESGRKLVANKVIPANWAPNTYYRSIIQPINYTASAFTADPNWEAARATWYGAPTGAGPDDDGGACGFKNVNLPPFSSMTSCGNEPLFKDGKGCGSCYQIRCVNNDACSGTPETVIITDMNYHPVSKYHFDLSGTAFGAMAKPGRSDELRHAGIIDIQFQRVPCNYPGQKVTFHVEQGSNPMYFAVLVEYEDGDGDVVQVDLMEGNSGSWTPMRESWGSIWRLDSNHRLTGPFSLRITNESGKTLVADKVIPANWTPNTYYRSIVQGGDEEAKLNVLSRPLSMNLQVIYASSVLHSKSDGLQLNYFLVSYEILDRSFSIKVRKFS >Et_3A_023223.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:12188687:12188989:1 gene:Et_3A_023223 transcript:Et_3A_023223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSIIIFPSEGSTILNNAWISVDFPLPVLPTTPIFSPPRMLIVMPFNTSGVLCLYLTCIYTEIKSVYFMLFFQDTVKKLENVQVVKQINKTVSVENLP >Et_10B_004491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9295037:9299361:-1 gene:Et_10B_004491 transcript:Et_10B_004491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELWLKRLRTERYKNLEVTNKKMIDKMVAKMMEIPRLQCGMSSLGNETRLDVRSCDTTCRENEIATYVAGTVNGPAQQPVSTELVNELNPVLVFNEN >Et_1B_012410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31775625:31781882:-1 gene:Et_1B_012410 transcript:Et_1B_012410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPWSFPAGGDLCPGCSARHFPFCPPPPLPPHPFPYDLQPPPPPPPPQYQAPFQPPPPPPPMWGPAAPGPHPYELPGREGPHKRMRVGEAPPFDPYDAAPPPPQPGRASVEGDRLLGLVREHGQPRLPASPQTQGGRGYPSPYGQGADFANFDHTGRLPPPAPMHDRHNAFVPGFPPGRRPHENYLDGDHRYHQFHPEALPATPYDYRAWRPEAGAVPPPPEPPFPSHPDYRAMPPRPPANSSLFPVLSDSLATTTLPPNAHTLHQALPMANANCYDRHINNEGSGSIYRLQSEQHLIDGRPTSAHPSLDNAKVTIINACDLFKQPLRASRPDHIVVILRGLPGSGKSYLAKALRDLEVENGANAPRIHSMDDYFMIEVEKKVEDNEGSKSSSASKGRRQLTKKVIEYCYEPEMEETYRSSMLNAFKKTLEEGNFTFVIVDDRNLRVADFAQFWATAKKSGYEVYLLEAPYKDPAGCASRNVHGFTLDAIKKMAADWEEAPPLYLRLDIHSLFHDDNLQGHSIQEVDMDTEDVDDSNVTATSTTAEDSRKTIQEAPHNASYEDLSKPEKWNEEDEEDSDGFKELGQSKWSKDFEEDTEKKENAEKNKHALSGLAQTYGTHRKSVSWGDRLEKGGFSIAATKRKFTSSLIIGPGSGYNLVSNPLSEDSTGTKGRTNNENKRRFSEQLRDEGASFRAVFDKRKKRIGVFENGDDE >Et_3B_028502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16226265:16230278:1 gene:Et_3B_028502 transcript:Et_3B_028502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHGFLLFVTLLLQHHICYSLLDWPSKIASTTIWQPMQCNDVSINPSCSSFLYVTPEGRNLSAIVSIFNGNASLIQPIKRLPDSEDLLVGVPCMCEAINDTMTALFHDAKYKVEQDDTPITVNTNKFSGLAMSVGDGKNLTVNETITVHLPCGCSSTASYGVLSYAVQEEDTISSIASLFRSSSQDILNLNPSLVDPNFIKPGWILFIPMGVPGSSKKKVGSSSIIIAASVSAAMLLLCVFTIIVRVKRKSFKPNVEAPEIKMERAPSNTSIAALESRFFPSMRVNEIDPFQTERPVIFSLKAIGDSTANFGEKRKIGEGGYGSVYLGFIGTHEIAIKKMKASKSKEFFAELKVLCKVHHINVVDLIGYAAGDDHLYLVYEYVQNGSLNEHLHDPLLKGHQPLSWTARTQIALDAARGIEYIHDHTKACYVHRDIKTSNILLDNGLRAKVADFGLVKLVQRSDEEECMATRLVGTPGYLPPESVLELHMTTKSDVYAFGVVLAELITGLRALVRDNKEANKMKSLISVMRKAFKPEGLESSLETIIDPNLKDNYPIEEVCKMASVSMWCLTEDPLNRPEMRDIMPTLSQIHLTSIEWEASLGGDGEVFSGVFNDLD >Et_7A_052443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8113968:8118566:1 gene:Et_7A_052443 transcript:Et_7A_052443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRSPFAGGNLPAGDVDPDYLYFLQHIRLDGDSYTLELPAQGAAPPSVVRYEVPLSSSDGECVSDPSTGRLSTNRGSEEKESSASVDVEPAWYDSLGDVDEDYRLFLQHTRLVGGQLVLEMGGVVINYDQADTAMSGVSFEAEKDKDKRRGEEVDSRGKMREREGVALAAPATPVPESMAAAGNLKGVYWEATSSNGRDAGLPAAVVRNSDTSNPAGKVEKERGVIWPAHINLRPDSDFKQRLIQVLSEPGDRKEYYKLFDMATLRTPLVKLRQVRTETKFYPTEEMGSSYLDHYPDLAEQIMNAGSRHGLALMRGLFFWLQNMAHEDQFRPWVDGSKGPEN >Et_9A_062298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21675651:21680044:-1 gene:Et_9A_062298 transcript:Et_9A_062298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRARSLLRWLRHRSRRVSSSSPLTTTTDDNTITSGDLHARSLPHQQCQGEEEEAGEEEVPEPASEGYIVVLDRGAAGTRAPVRTKPPIPMDPSKKESDFFTEYGEASRYQVSEVIGKGSYGVVAAAIDTQTGERVAIKKIVDVFDHVSDATRILREIKLLRLLRHPDIVQIKHIMLPPSRREFKDIYVIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAANVFHRDLKPKNILANADCRLKICDFGLARVSFCDTPSAIFWTDYVATRWYRAPELCGSFFAKYTPAIDIWSVGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAESISKIRNEKARRYLSNMRKKPLVPFTKKFPGVDPMALHLLQRLLSFDPKERPTAAEALTDPYFNGLANNEREPIAQPISKLEFEFEKRKLGKDDVRELIYREILEYHPQMLDEYLRGGDQNQMSFMYPSGVDRFKRQFAHLEEGKAKGEKNSPQLRQNVSLPRERVMGNKHGDGDYRINVGDKEAHASVTDGISQPLLSARSLLKSESISASKCIGEKQKPVQDEESLESIDETVDEVSKKIAQLKT >Et_9A_060924.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17819132:17819737:-1 gene:Et_9A_060924 transcript:Et_9A_060924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAATPAPGPAAAGGAVVPDAARPFPDHSDAERAVTLVNANALTILALLVCALLAALALHVVVQCALRVTRRACHYDDDGGHQPHAGGHGRIKKKKPRRSALVQALPCLAYFAGLGLAGSSRSECAICLAEFARGDRVRVLPRCNHGFHARCIDRWLAARPTCPTCRQAPFADDEPDADPVVVPRPDTTDRVGVMGPPGAVQ >Et_10B_004454.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:838677:839015:1 gene:Et_10B_004454 transcript:Et_10B_004454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLIRRLSRVGDSCTSPSRRRGSGGGGSSGRKGVPEGHVPVYVGGAGAEEERFVVRAELLGAPALAELLGRAAQEYGYDHQGPLRIPCPAAVFRRALAAAGDGGEEYDDDE >Et_7A_050655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:153088:159251:1 gene:Et_7A_050655 transcript:Et_7A_050655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGNATDDLLGFKEDDAMRFIFGDDIMAMEDHAAFDRSLMELQVFKEVFLGDHTANAQIDSTQGKLPDSSSLLFTSSPPFHALNQPPAPPAITSAPQPEAQPTHMDAHYHSEPTLQVQEHTHSHTSADLDFLGYCQTGAKCAPDPPSFCDTRQQCFGAGLIDKVLHEPPTQDVTVGLGGSSSTSMVDDPMPSYAEALAEISEFQSAGTLLSDPFLHPWFHDQEQFPTDTCLSFDQGHVFDNKHFLCTTDTSHRGAEQYPFYSKPASDTGMQSQYLFSPGQFLELEAICQNSTPEANISSLDDADIHGCSGLHSGSAVVSSKKTLGRDLPDQLEAHAHRLFKDAGWTIKPRKRNDRAKMACYFTAPNREAVHTSLTQAWKFCGKKLYEASADSKRGGYPKEWSDVDSFWKDLTDTMTIVDQMLVNQQNSLTLLQRWQILDPFIAVVFISRKVTALQQRKTLRAVDSSTVVLEDSTDIPSESERMHKASDLLASQVIQSAPLIMDSDCSTLATESYIGQRALQSCHDVEDSNHKDINPKLCRAKGSNCDATVQTKIHIYEGDNARQSYAQAEVADSSVKKVRKKSKRTSDIDTNGLDGNIDASEENDMCSSISTHKKYLKSESKSEKLDENDQSKCGVFLLSESKRLREYNTASETHCTATRSDVPLTPDDKVQKEFSPSQGQSSSDSRNVQFLESSHKGNAAVLETELTHESQTCKAVTTKRKPKGWEKYAKKRPRELRINDDDLLITAIVKNKDLGSCHKFAAGFSGAKKLKKHKSQKRGLPFRTTGKVGTNLLGGKRISLARKTVVCWLIATGFLGVKDVIQYWDSKTNKVVKDGRVTWEGIVCNCCTKTLSVSDFKTHAGCSLLKSSLGLFLQSGKSYTLCQVEAWSAEFMSRRNNAFGRKVEAMDDNDDTCGFCGDGGELLCCDNCPSTYHQTCLSAKELPEGSWYCHNCTCQICGRSVSEKEVLTFSAIFKCLQCRDAYHDTCLEQEKLPFESKTSDPWFCGRYCKEIFIGLNSHVGIDNIVGNDLSWSILRCNNDGQKLHSVRRISRLTDCNTKLAVALTLLEECFIRMVDPRTGVDMIPHVVYSEGIHGTKAAELPFIATSIDYRRQGMCRSLMDIIEKMLRSYHVKMLVLSAIPELVSTWMSGFGFKPIEDTEKKQLHNVNLMLFPGTSLLTKRLDGIMTTKPGEEKNANDVCGLSNGKTSKHLELHDLDLSGTEFKADISVSDPFRTLKHECSPGAWFQSSK >Et_7A_052133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:494065:494849:-1 gene:Et_7A_052133 transcript:Et_7A_052133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEAPAHVIPIDDVARHHGKAPVSDAPPPPPAPAPAAVPAAPVATAPRKIPFFRRADRGSRCVALIDFVLRIAAFGPALAAAIATATADETLSVFTQYFQFRARFDEFPALVFFMVANAIAAGYLVLSLPFSATIVLRPQATGLRLLLLICDMIMVALLAAAAAAAAAIVDLAHSGNLRANWVPICMQFHGFCQRTSGAVVASFLAVFVFMLLVILGAVAVRKR >Et_9B_065092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21120662:21123085:1 gene:Et_9B_065092 transcript:Et_9B_065092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLRAPPLIAGSLATPLRRFPCSRRTRSPTTGGFPCAELSDPRRRWSEQARRGLKTCASVAEAENGTPEEDVADDYYSVLGVMPDATPEEIKKAYYSCMKACHPDLSGDDPDVTNFCMFINEVYSVLSDPAQRAVYDEIHGYTATATNPFFDDRAPKDHVFVDEFTCIGCKNCANICPDVFRIEEDFGRSRVHSQSGNTELIQDAIDSCPVDCIHWTSAAQLSLLENEMRRVERVNVGLMLSGMGNSVDVFRMASARWEKRQAKVLENVRTRMVNRKNSDTSSSWSDIWGSPTQNPSNEEEASERAKRAAAAARRWREYSRRGADRPPTYKLPEAVGNKD >Et_4A_034745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:658932:661828:-1 gene:Et_4A_034745 transcript:Et_4A_034745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFCGNFDFDTRQYDLERLFSKYGPIQRIDMKFGYAFIYFEDERDAEDAIRRLDNVCFGYNRRRLSVEWSRQVEPVSKSRDRPTGDVKPTRTLFVINFDPIRTKVQDIQRHFEPYGKIMNIRIRRNFAFVRFETQEEASAAVKKTDKSTILDRVVTVEYAFRDDDNEKDERYGGAHDRRRGSPYMGSPSPRYQRGYSPDYDRRARNPGDRSPVYDRYDRGRSPGYDRHGRGRSPVYDRYDRRRSPGYDRCLILKNPERSSSMEQVSDNMILLCLRAVLASLVRKQLSVL >Et_4B_039307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9836490:9842031:-1 gene:Et_4B_039307 transcript:Et_4B_039307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQPKEPAEDPAPPLATYPTTTPVSILLGSGSTSRLHRIPVSPPPKTREAQGHRYHQIAYKYPPSLPARLLATSSVCAPPGSGPVFVSLQRRERTHQTKTLWSMSPPTNGAVSLAAYPRAGGLPPPDELLFPFAEGFAAEHFFVADPAPLAADQQRLLLQLPSSSSGTSCHAEQGLLPMATPASPEVATTAITVAGDAVASAPAPAPPSPALPLVHAAGTRTSSYRGVTRHRWTGRYEAHLWDNTCRKEGQKRKGRQGGYDKEDKAARAYDLAALKYWGANATTNFPKENYVNEIKEMQFMGRHEVVASLRRKSSGFARGASIYRGVTRHHQNGRWQARIGRVAGNKDLYLGTFATEAEAAEAYDIAALKFRGANAVTNFEPSRYNLEAIALRDLPVNGPGRRLIQNPASETQGQVTLNAPSSFSQQSSNSLQPYLLPNLQQQLQPQTSHPLQALPPADYNYAYEPNFYWPYGTVEQKVQLDSKLEMVNGLLQLANSTAN >Et_1A_007518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35498128:35500209:1 gene:Et_1A_007518 transcript:Et_1A_007518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALCCTIVSRRNVWHNKTGTGIVNNDEDDGLEHSTVFCSLIPDGIMILCKWGKPRYDVHVEALEVLLQGLSGVPKERVRVHELCLKSGPNLGVVPSEVRLLCDLAQSTPSWTIRHVGGAMRGAGAEQISVLVRTIVESKASKNVLHYFYTIGYKLDHELLKIGFAFRFHRGAQITVTVTSTNKMAKLHATDEAVPVTPGIQLVEITAPAAADNYNDVVSAVTAFCEYLAPLLHLSKPGNTTGIVPTAGAAAASLMSSGGVKTL >Et_3B_028392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14688883:14689428:1 gene:Et_3B_028392 transcript:Et_3B_028392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVEAAGADSSPQFAASGQRNVQNANSKHGSKVSCWKLNCWAIVRRLGSMGNCKKARQYLKCFSFDMVVDSDLTNCKDLVQLVAGEFRPCYLEVAHVKLEITSHYCVEIYTSLCNYYQIKVGKMLLMKKRQGIIYTFSKQNKPAN >Et_9A_063376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2277836:2285753:1 gene:Et_9A_063376 transcript:Et_9A_063376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVRRLLIINHDEVGEALACAALVVVAAVADHHASLEVGFYKHSCPQAEEIVRNAVRRGLAREPGVSAGLIRMHFHDCFVWGCDASILINSTPGNKAKKDSPANNLSMRGFDIIDDAKAVRDGRVSEHCRAPSSTPTSSPSPPATAPSSPAASTTRSPLAAATATSPSRARCSTTTSRAPPTRRKGLTADEMVTLSGAHTIGRSHCSSFTQRLYNFTGKVGTTDPAYAVELKRRCPWPSFDDQMDPTVVPLDPVTPASFDNQYFKNVLAHKVVLTSDQTLLDNPWMAGVKKFHAAVGEAWEAKFAKAMVKMGNIEASVKQDLSVK >Et_1A_008372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:758341:762105:-1 gene:Et_1A_008372 transcript:Et_1A_008372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAWRRQLLDHHLSPSSSAAIAALRSASQPGLTPQGLCGADGARYMASARTPAVKGSGHLVRKGTGGRSSVSGIVATVFGATGFLGRYVVQQLAKMGSQVLVPFRGSEDSHRHLKLMGDLGQIVPMKYHPRDVDSIKAVMAKSNVVVNLVGREYETRNYGFEEVNHHMAEQLAMIAKEHGGIVRFIQISCLGASPSSPSRMMRTKAAGEESVLKEFPEATIMRPATMIGTEDRILNRWAQFAKNWGFLPLVGGGSSKIQPVYVVDVAAAIINSLKDDGTSIGKTYELGGPDVYTVHELAEMMYETIREWPRYVNVPLPIARGGPAFGSTVSEKIRSSEM >Et_3A_025796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3350791:3359283:1 gene:Et_3A_025796 transcript:Et_3A_025796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAANGVEEKEVDGEQPQEAAAAARPPLAVEALRDKIVEKVKANRVTLIVGDTGCGKSSLIPQYLLEENMEPVLCTQPRRFAVVAIARAIAESRNWELGEEIGYHIGHSNVSDVNDKRSKIVFKTAGVVLEQMCDRGVAALSKYKVIILDEVHERSVESDLILAGIKQFLMKRNDLRLVLMSATVDITRYREYFRDIGRGERVEVIAIPCTSIFQRKVLYLEQIADILNINSQSLSTEYCNGPNASADADLNCDVYELIHKLLLHIHQSEKDISKSILVFLPTYYALEQQWIRLLPFSSTFKVPILHRSIDTDEALQTMKVSKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDSAGLVWISQSQAEQRKGRTGRTCDGQIYRLVTGKFYGSLNDHEYPAILRLSLREQVLMVCCAEPRSLNDPSVLLQKVLSPPDPDAIEDALESLVQIHALNKTVSGRYEPTFYGCLLNSLPLSFDSSVLVLKFGELGLLHEGILIGIMLDIQPLPILQPFGYQALCQKYRDNYFKESDSVQIGKKEATTIGNLCAFQFWERTCKDKHRLKYLKEVVNTEEPKETHTYIAKPEEEWCATHNLVPAALKNISDIYDDVMKQLHRFRPSFLVKTNPPRYFQPSEFNHTCSHHEILIQEDKDTLSVEAGNSHCNSQNKCAATPYVSQTDFGTSTIVETLKTLVKEVKMQHVEEKRRGANVQPAFETERCVFFANGSCIKGSACHFSHSFSAPKPVCKFFLTLQGCRNGNSCPYSHDCGSLISSSITSGISLQEGRSTSLCCTKLLLADGNGHILDLKILHDLADPSLLIIGREHKLPVAWTEVQRVIWFADFDNDESASDQVLLQKFFEHMAIKILSEPLSDLQVILIMKNTKYIYLQVERMARECFFFLGESFLFDEATLGWFSDISRSPRGVQMSAPVVYVFTMHRPSTTQFGDYPAELRKALRMNQLGRASRDSGKIRGKLP >Et_1B_012439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3251812:3255930:-1 gene:Et_1B_012439 transcript:Et_1B_012439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPVPLLLLLLTASSTSASAATLSSRMVHRLSDEARLQAGTGGARWPRRGSEGYYRALVRSDLQRQKRRVGGKFQLLSPSKGGQTFSPGNDFGWLYYTWVDVGTPNTSFMVALDTGSDLFWVPCDCIQCAPLSGYRGNLDRDLGIYRPDESTTSRHLPCSHELCLWGSDCANPKQPCPYNIEYFSENTTSSGLLVEDTLHLDSREAHVPVNASVIIGKQSGDYLDGIAPDGLLGLGMADISVPSFLARAGLVRNSFSMCFKQESGRIFFGDQGVPTQQSTPFVPLHGKLQTYAVNVDESCVGRKCLEGTSFQALVDSGTSFTSLPEDIYKAVTTEFDKQINASSVPNDNFKYCYSASALEMPDVPTITLTFAGNKSFQSVNPIVRLGDQQGALAGFCLAVLPSPEPIGIIAQNFLVGYHVVFDRENMKLGWYRSECHDVDNSTTVPLGPSQHNSPEDPLPSNEQQTAPATTPAVAGTAPPSSGSTNLQKLVASSYPLLLLTMSTVFSIS >Et_4A_035000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8743051:8745220:1 gene:Et_4A_035000 transcript:Et_4A_035000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAFSIIQLLGIIVVMSQVAWQVFVVFIPVFAACFWYQRYYIDTARELQRLVGVCKAPTIQHFAESIAGSTTIRSFGKENQFVKINSYLTDAYSRPKFYSIGAREWLCFRLDLLSFLTFAFSLVLLINLPTGLIDPGIAGLAITYGLNLNMMQDSVVFGMCILENRVISVERILQYISIPAEPPLFISGDILPHNWPSNGHIQLHNLHVKPLIPFASSKVKYAPQLPFVLKGLTVTFPGGMKTGIVGRTGSGKSTLIQALFRIVDPTVGQILIDGIDISTIGLHDLRSRLSIIPQEPTMFEGTVRSNLDPLGEYTDNQIWEALDCCRLGDEVRKKELKLDSPVIENGENWSVGQRQLVCLGRVILKRSKILVLDEATASVDTATDNLIQKTLRHQFSEMTVITIAHRITSVLNSDMVLLLDNGVAVEQETPTKLLENKASLFSKLVAEYTMRSMHT >Et_8A_056325.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:22957089:22957679:-1 gene:Et_8A_056325 transcript:Et_8A_056325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGATSTSRIHSSNSCGSSFSPMEVRTWRRSATETVPEASLSRTRKASRSGRSKGSGRRWARMSSRKRGKSKGAVSFSCAAMSRSCACVGLPPRERMRTPSSDGAMRPSPSVSKREKASRMEAIWSSLSSLPAIVAGEGWSGCRIGGGGDLGCGSLGVWLPLSRLRLIGWCCGVAQVRREEENDDAVAGVGSCP >Et_5B_045390.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20763592:20763927:-1 gene:Et_5B_045390 transcript:Et_5B_045390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRPASALRSATPEDPPTVARASPPTLSGLHADLGRLLETKEGADVDFEVRGVSFAAHKLVLAARSPVFRADFFGAAKEKPTSYIRICDMHPDAFAAMLHHIYTTRCRRR >Et_10A_000567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12969806:12973144:-1 gene:Et_10A_000567 transcript:Et_10A_000567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEKQLNGFVRVVAIMESVGNALGTLAFTWATVVLLGGYPTSLSPNGDFLFATIIVFLEAARMFSRKNKQDYQLFFHTRGAFRALDCNGLMFMVCFSNVQTHLIIFSKYRLATIAYVLMIVLYVSLRRFLSPRALKLLPEALRRATSLWSPLVAILSLAPIPYWYGYNSEVELTFRYTKVLKWIAFLLLLVAVLLLTISNLQYQAIIRIADSILGSKQVFWRQGILNVCILLH >Et_9A_061831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16986594:16988449:-1 gene:Et_9A_061831 transcript:Et_9A_061831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAHHRLLSSSSSVAPRTRRRRFSTLPFSHPPRHGRSGSRAFARLLTRASAAGGSSANSAAVAAAAATSAPSLSLDELRGGCTTWTWRGMRVNYLVRGQGPPVLLVHGFGASVAHWRRNIGVLSESYTVYAIDLLGFGASDKPPGFSYTMETWAQLILDFLDEVVKSPAVLVGNSVGSLACVVAASEATGGAVRGLVLLNCAGGMNNKAIVDDWRIKLLLPLLWLIDFLLKQRPIANNLKDILLSVYGNKDAVDDDLVEIIRGPADTEGALDAFVSTVTGPPGPSPIALMPRLADVPVLVLWGDRDPFTPIDGPVGKFFSKLPSELPNVTLHMLEGVGHCPHDDRPDLVHDKLLPWLQGLPAPAGGVV >Et_3A_025577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30932987:30935360:-1 gene:Et_3A_025577 transcript:Et_3A_025577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAARRLRAFKRWMRDHGVVCSDALRLDSSESGGFHVRAVAPIREGDLVATIPRRACLTPRTTGAAAAIEAAELGGCLALAVAVMYERASGKDSPWYAYLRLLPDTESVPLVWPAEEAERLLAGTELDKIVKQDREFLCEDWKECIEPLVGELDVDPDDFSLEKYFSAKTLVSSRSFQIDSYHGFGMVPLADIFNHKTGGEHVHFTSVSDASDSDVEEEDEDDKSDSSTDDQSTIEHNTNSFSGASVNDEDLEMIVVKDANEGDEVYNTYGTMGNAALLHRYGFTELDNQYDIVNIDLALVTKWCKSMFSNRYARARVSLWRNLGYSGCTSQDAEYFEISYDGEPQLELLILLYIITLKADVYDKLICVADDLIVNEEHDSVSSLVRLVKATNSNLNLELNGLEKMPDVKKLLHSKSISSALVSLADMRESLYGSNSLEDDEEKLDKCNCVKERKMYHSLVLRVSEKRILNRLRKYASSCYKTKKRKHR >Et_7B_054502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22043038:22045630:-1 gene:Et_7B_054502 transcript:Et_7B_054502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPDLRPPDPPPPPMPDLAALADDVLAEILLRVPSPEDLARASASCLSFHRVASSPRFLRRFRALHASPPLGVFCCRPDGGAGFHPALPPHASAPAARALAHSADFSFGFLPPPCDAWLVRDCRDGRFLLDRPLEDSTAFTEVAVCDPLFRRYRLLPPIPEDLAASVENPYVQRGGDGEVQSRSCEIFLASHGSDVNISEEEPPFTVIWMACCRGKLVAFCFTSESQQWRALAPPEHYALSTRRVMGVRLGQRNHAHGCFYWMVALTRRWLVLDTRKTEFSIADISPVLSGRAMMFSNQITTLESKDGRTAVVVSDVFRPDKRYFLYFYGFMYFSDRWQLLNRIILPEKWGYRYRGIIGATEECLFIKLDNAKESLRDQTDRNVEYFCLDVKTMQLGCFCRTSSLTVNEAYLYCGFAPSLSLPSMHSKPSRSDLHGLLASHSQTRSNIMWGLTYNEEGPEDRDN >Et_8B_059842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3223680:3226581:-1 gene:Et_8B_059842 transcript:Et_8B_059842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGRSHGHNHSSRLRRIIPRVLLLVFAVHAVSFALYLLIQSHHPSADPTPRAQVHDEARAPSSQKPWPRLPSFRPWTSGPAPPPHSCEAYFGNGFSRRVDVLPAGSSGGGGGWFRCHHSETLRSSICEGARVRLDPALIAMSRGGEPLDQVMGRAEEEELPKYEPGALQVEGPAAGRAGPLLEAGFLDAYLPTNGIGMHTMRALLESARVVPPGELHCSQWVEEPTLLVTRFEYANLFHTITDWYSAYVSSRVTDLPNRPNVVFVDGHCKAQLEQTWEALFSGVTYAKNFSGPVCFRHAILSPLGYETALFKGLSESFSCEGASAQSLREKPDYEKTARLSEFGEMIVASFDLPQDDIMSSKRLDGLNVLFVRREDYLAHPRHSGKVESRLSNEPEVYDAIDKWAKGQKCKINAVNGLFAHMTMKEQLRAILEASVVIGAHGAGLTHLVSATPDTKVLEIISSMYRRPHFALISHWKALEYHAINLPGSYASITDVISELSNILKGLGC >Et_1A_009226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34330034:34331309:1 gene:Et_1A_009226 transcript:Et_1A_009226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQIAKKKRRPAGTPDQDAEVVALSPRTLLEQDGRLACEICGRRFRRDQNLQMHLRRHNAPWELRKRRASAAAAAPAKRRRYVCPEPGCRYHDPARALGDLTGIKKHFLRKHGGRRRWTCPRCARAYAVQTDYKAHVRTCGDRGGHPCGGCGRVFPRAEVFDEHQRTCRAGESSPPPRSGLGVDASQQQLHSAAASGGSPSCGESHTDAASSANVDEAFHGFDPVVFSPLTSPDRPVIHATEELQLMPPRGSCARGAAPAAVTRCDPAADVTPQLELSMWFGFGGARSVDELASALVEKAVAEDARTQARRQVQMAEQELEVARRARQQAQAEFNIAHALRDQAVRQVRAAMLLQAQCYSCRHKCSVTTPSMSSEAVTEGDTDGHFSAGREHAKPC >Et_3B_030693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6480191:6489913:1 gene:Et_3B_030693 transcript:Et_3B_030693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLSAITQLQVARLLCRPTLAGTAPRTTLHGFPSTCGNISIPYPFDVGKGCSWSKSFNISCNHRYDPPRACHGGFEAIDVKLDAGEMRVFTPLAHLGYNSSNTASNWSSPWYSFTRPALLQGEDTDDDYLSGCKFSRCSYSFVAEKGWHNFSRKHLSGTWEMSPPIQLGKRTVKLVLDWAILKGGAYHSERKDIVRRDGRPWYRCCCLDGGCQITFSDVHERKDPTMDSCPRASKCVDMDGEYECKCNFGRKFQDRRPPPAAAVLATVVASVLLALLLWIVNKEKKRRQRRGFFEKNGGKILKGAGISIFTQEQLEKITGNYSTLIGNGAFGMVFIGTTDDNQKVAVRRPSIGRGDKEQHRRRQRIEFVDEIIFQLQMRHENLVRLVGCCLETDIPMLVFEFLPRGSLHDVLHGGECALSLTQRLDIAIGSAEALAYMHSSNAGRHQNRIHGDVKSTGCYTEKSDVYSFGVVLLELATRKKAKDDGGNSLPMDFVALCKEDGNGRKMYDKDIVSSDRRVDVECLDRIGALAVRCLKEDVDERPTMAEVVKELKLVRAHGCSLNAGDAIGVQLEGNTTMKQRDLCFTPGRDKPEEAFFQVAADGNGHRQEDKTHQ >Et_4A_033373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2257558:2262940:-1 gene:Et_4A_033373 transcript:Et_4A_033373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAVSLASKARQAGSSARQVGSRLAWSRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRTVVIEQSFGAPKVTKDGVTVAKSIEFSDKVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFAEGCKSVAAGMNAMDLRRGISMAVDAVVTNLKGMARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIAELSDPLILIYDKKISNIHAVVKKQKPLLIIAEDVESEALGTLIINRLRAGIKVCAVKAPGFGENRKANLQDLAVLTGGEVITEELGMTLENFEPQMLGTCKKVTVSKDDTVILDGAGDKKAIEERAEQLRSAIEQSTSDYDKEKLQERLAKLSGGVTVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKDLDKLQTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVIVGKLLEQENTDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTESIIVEIPKEESAAPGVGGMGGMGY >Et_7B_054524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22506136:22510796:1 gene:Et_7B_054524 transcript:Et_7B_054524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRRISSSRAAVMSMAILLCFVAAAVAARPAPAAVRAAANGTCQSRVVPFGYKCEEHTVTTSDGYILSLQRIPSGHAGGSSTGKIPVLLQHGLMMDGVTWLMNSPAESLGYILADNGYDVWIANSRGTVYSRGHTSLSAATDPAYWDWSWDELASNDLAVVVQYVYAQSGQQKMHYVGHSLGTLIAFAALSQQQQLGMLRSAGLLSPIAYLDEVSSPLARAAADIFLGEALYWLGVNEFDPTGEKVHALVTDICDQPGIDCYNLMSSFTGDNCCLDNSSVNVFLAHEPQASATKNMVHLAQMIRRGTIAKYDYGNAADNTKHYGQATPPAYDVSAIPDDFPLFLSYGGRDSLSDVQDVRRLIQTTLNSHDANKLTVQYLDDYAHADFVFAGNAKERVYAPLMAFFKLQEKSHVVSSPVIILFAFEECLIRKADGVMQQEEQSLSEPSSSLASREDRVKKSSRLQCKSRTRILGEPLVRRPAVRKAAVATKSTREAVAPPWRKPPEFCGPR >Et_3B_028862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19957891:19958713:-1 gene:Et_3B_028862 transcript:Et_3B_028862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSSGPPPLLVAAVVLALLAVHARAEVKRYQFDVNRVSNVSRLCHAKSMHGDGERELPGADHLRARRGPGRREGQQPRGAQRDDPLARAEAAPERVGGRAGRRTYVTQCPVQSGASYAYDFNVTGQRGTLWWHAHIVILPPRGVPYPFPKPDAEAEIILGAWRAHTIRCRTDYSSITNSWLMSQATRRVVASRRGGRGEAGEDARHAWRPTCRTRTPSTASPARSFPCSQKRA >Et_8A_057623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5313730:5317458:-1 gene:Et_8A_057623 transcript:Et_8A_057623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDGERRGAAGPGPLSRQGSIYSLTFDEFQSTLGGMGGGLSKDFGSMNMDELLRSIWTAEESQAMASASAAPAPAGEDGALQRQGSLTLPRTLSVKTVDEVWRDFVREGAPSAAGGAAGAGAEPQPIRQPTLGEMTLEEFLVRAGVVRENPAAAAAVAAAGISVPPPVAAPRPIQAVNNGSSIFFGNFGGASDTGAGAMGFAPVGIGDPAMGNGLMPGVAGMGASAVTVSPVDTSVAQLDSVGKGGNSDLSSPMAPVPYHFEGVIRGRRSGAGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKELNEELQKKQEEMMEMQKNQVLEVVSNPYAQKKRCLRRTLTGVGKPEYLLYISDQQS >Et_4A_032472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10392780:10398278:-1 gene:Et_4A_032472 transcript:Et_4A_032472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATMEMPRASPLCWLPRALVVVCALCQILYVASVTAQLAQLSVDASSQNAQMIPGNMFGIFFEELNHAGAGGLWAELVSNRGFEAGGPSTPSNIDPWLIIGDESSITVATDRSSCFAGNPVALRMEVLCGATGTNACPSGGVGIYNPGYWGMSIERAKVYKVSMYIRSSDAVELKISLTSSDGLQYLASHIITADQEDFAEWTKVEFDLQSSERNTNSRLQLTSTKSGIIWFDQVSLMPSDTYMGHGFRKDLASMLANLKPRFLKFPGGNYVMGNYLSNAFRWSETVGPWEERPGHFNDVWGYWTDDGLGFFEFLQLAEDLGACPVWVVNDGASIYEEISSATIASFVKDVVDGIEFARGDNQTAWGSVRAAMGHPEPFPLYYVSIGNQECSKRFYKARSGPKAIVSEYAVTGNDAGRGTLIAALAEAAFLIGLERNSDVVEMASCAPLFVNDNDRRFNPDAIVFNSWQYYGCPNYWMLHFFKDSSGAMFHPSTVQLSNYDQLVTSAITWKNPQDGNTYLKIKVVNFGNKAVNLNISVTGLETDIQTFGSVKTVLTSAWLRDENSFQQPDKVVPVASPITNAREQMGAVLDSYSLTSFDLLLDSSQTMHSVSVSSLHPTA >Et_6B_049339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3404271:3405228:-1 gene:Et_6B_049339 transcript:Et_6B_049339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNNIENMVDDEDRFSKLPDDVLIYILEKTDIRTSLFNYQLDGGISRPPSLTLNISDFIIHSNTAGHHIETNEAMATVTKAAGICLAAPGREGTMKTVSLHLVLTNSYLFDIGKLACRAINNGEVKSVELDLQTEKLNLDCDEVDMVQHANTLVCFWDTSRNLFQCVTRLFLHNARFDGMQMHRFLKSCERLEHLVLDNCDIGDQSVLKIDMPNSKISYLRLRLYCFEKVECSRLPKLTELHYESWFSWNTPFSFGFVPCLDELRLVCASAMDAI >Et_9A_063593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8880092:8884421:1 gene:Et_9A_063593 transcript:Et_9A_063593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKHPSIDASLRLLAPKKVSEDDKLVEYDALLIDRFLDILQSLHGSSIPLTHSSSVSLLFSSYVQEFYETAAEYDIKHNESKLDALGTKLTSLDPADAIIVASSFSHMLNLANIAEEVQIANRRRSKLKHGDFSDEGSAATESDIEETLKRLVKEIGKKPEEVFEALKSQTVELVLTAHPTQSLRRSLLQKHTKIRNCLTQLYAKDITEDEKKELDEALQAEIQAAFRTDEVRRAQPTPQDEMRYGMSYFQENIWKGVPKFLRRVDTALKSIGIDERLPYDAPLIKFSSWMGGDRDGNPRVTPEVTRDVCLLARMIAANLYISSIEDLMFELSMWRCNNELRARADELLSAPKKASKHYIEFWRAIPSTEPYRVLLGDLRDKLYNTSERWRDLLATGFSEIPEKSTIKSVEEFLEPLEVCYKSLVEVGDKTIADGVLLDFMRQVSTFGLTLAKLDIRQESERHTDAIDAITTHLGIGSYREWSEEKRQEWLLTELQGKRPLLVADLPVSEEVADVLGCFRVLAELPSDSFGPYIISMATAPSDVLAVELLQRECHIRNPLPVVPLFERLADLQNAPASVERLFSIDWYLNRIGGKQQIMVGYSDSGKDAGRLSAAWQLYQAQAEVAKVAKKYGVKLTFFHGRGGTVGRGGGPTHLAILSQPPDTINGSLRVTIQGEVIEHSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWSKLMDEMAVVATEAYRSVVVKEPRFVEYFRSATPETEYGRMNIGSRPAKRRPGGGITTLRAIPWIFSWTQTRFHLPVWLGVGTAFKSAIDKDIKNFQLLKEMYNEWPFFRVTLDLLEMVFAKGDPGIAALYDKLLVADELKPFGENLRSKYLETQDLLLKIAGHKEILESDPYLKQGLRLRDPYITTLNVFQAYTLKQIRDPNFKVAKQPPLNKEPANVVQLNSTSEYAPGLEDTLIITMKGIAAGMQNTG >Et_4B_036827.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:11812577:11812795:-1 gene:Et_4B_036827 transcript:Et_4B_036827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEGKEKEFPRLVTEIKEEAIPVECAGAKRLAQLVVRNFSE >Et_2B_019412.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28427169:28427375:-1 gene:Et_2B_019412 transcript:Et_2B_019412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCRPIAGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >Et_9A_062664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:303044:303746:1 gene:Et_9A_062664 transcript:Et_9A_062664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAACLRWTSAVAFAPVDRSWRTRRPAMASKRGARIMMVSAGCKTCKGKGAVECPGCKGTGKNKKNGNIFERWKCFDCQGFGLKSCPTCGKGGLTPEQRGER >Et_5B_043762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15599948:15610352:-1 gene:Et_5B_043762 transcript:Et_5B_043762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVVVGLLTSAVVKIAGDKLSSALGDQANLAWNFNKDLEDMKDTMESVAALLQDAEKQSGKNESVRLWLKRLKHAAVDISDMLDDYQDTDAQATAKMPGMFSCVTAAHKKLVLANKMKNMREKLRKINEQSQKFNFTLITGAHLEQHNYDEYETTSVVNEAEILGRDGAKKEITDLLSASQNEDQAMVLPIYGLGGMGKSTMAKLVYNDTQFKKYDHRVWVYVSQEFDLKKIGRSIISQLPRVGGQQNTDTLELIYQCMNDLFPGKKILIVLDDMWEEDDFKLKNLKSMLDKTGSMIDVIITTRSEGIANKICSNAPYKLEPLEDNICWDIIKRNSGFKHKTNKGELGKIGLDIAKKCGGVPLAAQAIGYVLKSKDLHGWSDLNNSDTWNESSRLDNSQHGKVLPSLKLSYERMLLILRLCFSYCAIFPKGHDINENNLIYQWVALDFIKNPSEGKECIKQLLGMSFLHYSKLPSISKKHVVRYNMHDLVHDLARSVIGDELFVIDAANVAKKSNSSEQKYCRYVLLTNYDGQTKLSNILPQKVRALHFSSSSRLGPHDDSFSFAKCLRILDFSGCSSTILPAFIGQLKQLKCLVAPKTQNERLPDSIVELSKLQYLNLHGSSLISELPRSFGNLGFLIHLDMSGCSNISVLPEAFGGLKTMVHLDMSGCYQVLELPGSFGNLTNLQHLDLSGCSGISTLPESFGELKRMVHLDMSGCYFIRKLPDSLGNLTNLQHLDLSGCSRISVQPESNDDLTKMVHLDMPYCSLRRELPNSHGNLINLQHLDLSRCNRLTAIPISLCSLVYLQYLDISYCWEIRELPESFKNLRSLLYLDMRNCCRVSEEQLSQVLCGLSALQYLNMSSVIRCDGPIYDDAIRNLTNMEHLDISANAALVCLPESIGNLKKLRTLNISRCWNLKSLPDCVSEIATLKSLLIEGCSDELIDQINSQMPCSLTLPLFKVRVHDASACSNLPDLECVNVDDLRIHCLENVRFLEEACKIKLWEKTNLSTLALAWTWHDDRSLDDKDLLTQLEPPREAEQLQNLEKLKLQWCPSVTEIDESFCGGKGAFRRLTKFTMRDMDGLEEWRTIYSVQDGDVEEVMFMFPVLDRLNIRDCPRLRLIPCSPTFRVCHIADSDQVISSLEAAGSSHLASSAPTTEMMIKGSHSHGLELFHHFPAIHKLEICFCDNLKSLPVSMRHLASLRSLQLLGCHKISALPEWLGDTSSLISLAIGLCDSIKSLPQSIQRLTKLQRLSIGHNKKLKQWCESEENKKLLAHINDVNIKGLKLICTLVERKDYRNTALHARDPHR >Et_4B_037108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14857239:14861789:1 gene:Et_4B_037108 transcript:Et_4B_037108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AFVLASCFPLSSFVGFGLRREWKGRDCAGQANALLRPNKIMDHKLMTSYETKKNCEIRIFESLDEMETDLAEFISQVSEISVKERGFFSIAVSGGSLVKFIRKLCEAPYKKTLDWSKWYIFWAEERAVAKNHVESNYKLTKEEFLSKVPILNGHVYSINDSATVEEAASDYEFVIRQLVKVRTVGVSERNDCPKFDLILLNIGSDGHVASLFPNHTALELKDEWVTYISDSPEPPPERITFTLPVINSASNIAIVATGEDKAKAVSLAISDCIEGRDAPSVPARMVQPAEGKLVWFVDKVASTSLEPKNDTYEHHGY >Et_3B_027578.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28645842:28647175:-1 gene:Et_3B_027578 transcript:Et_3B_027578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSHHEAMMPYAPPRPPSLLVDRRYKQGGEVAPNCPRCDSPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRSKSSARSAAESFSGGREAAAFGNRFPGPVRPDMVLEGMVGNPANPGQAMPDVPSSGDGSSIDLAMLYAKFLNHPPGDAGVGAATPESAGQMDEAFDTFSASSDLSPGGVLAPAQLIDPCHDGFGEWSGPVSSTDPTSTSSTTTITTTLLCNDVSVQAALGELNFAMDQSCFDSLGLPADVGNLSSWCSMVPSLSTLEDPKYDSLDSFPDDALSLHDGMISAPDHDWSVDCQGLEALYMP >Et_8B_058796.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:6444192:6444425:-1 gene:Et_8B_058796 transcript:Et_8B_058796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQGASGVFKVEQEAEVAVHSQVKKIKQEEEKARELLIRLQLLERRPVTGFREPAARQASPSPLRRAGQAISVGDC >Et_2B_020683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22770862:22771651:1 gene:Et_2B_020683 transcript:Et_2B_020683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GNTSNDVVYSIVVDPPAVEPDLQRHPRRRRYVPHLHHGNGEVPRERLAVLAVHLHQTVHRAAASVERLVRREQAVPRHQVLVVGVVEPHGAQLVERRLGVVVPSGAACPTKHLREGRVDVAALAVVAVDAGPVVVALRLTHGVRAGERHHVARVQALGRERGDEGGDVVVRGGEASAADMLAERESLRPSGTVHAGPPRRATASREARARTSAQETVPGQARSRWDLISSMRSKPARDSFTSESLSLSRSLVRSRSTDASHP >Et_2A_015207.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:6944710:6945588:1 gene:Et_2A_015207 transcript:Et_2A_015207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEELAKVQPNLQVLLSGDAKVAVCQETSMLLDKLRGASLCLLLEFLKLQFDSKQEEKTALDGSILSMTQFVMGFVKLLAKYSDSINLILTLKEEAAEGGGGERTISPWERYTRKLLSHLQLKIVEKSESYKDEHLRYIFLMNNAMYVLECSPSSVLKMSLRDEWIYDQLVLRVEQYATAYFRASWSTALRYLKFKGQHFTRGKQGHNSLKENFKCFNSAFKEIIRVQTTWKVSNPQLRLNLRIVILQHVLPAYRAYWNRHSYLMDTGRNSGKYIKYTPDDIVNHVLDLFEG >Et_4A_034613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4882249:4885334:-1 gene:Et_4A_034613 transcript:Et_4A_034613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQHSKDRMFITKTEWATEWGGAKQKEVATFKRLPFYCCALTFIPFEDPVCTADGSVFDLMSIIPYIKKFGKHPVTGAPLKQEDLIPLTFHKNSDGEFQCPVLNKVFTEFTHIVAVKTTGNVFCYEVAIQELNIKPKNWKELLTDEPFARSDLITIQNPNVVDGKVLGEFDHVKKGLKLEDEELQRMKDDPTYNINISGDLKQMIKELGTEKGKEAFLQGGGGKKAQKERAAALAAILAKKEKDDSKSEKEPKQQPFSIVDAASASVHGRSAAAAKAASAEKTAARIAMHMAGDRAPVNAKLVKSRYTTGAASRSFTSTSYDPVTKNEFEYVKVEKNPKKKGYVQLHTTHGDLNLELHCDITPRTCENFLTHCENGYYNGLIFHRSIKNFMIQGGDPTGTGSGGESIWGKPFKDEVNSKLLHTGRGVLSMANSGPHTNGSQFFILYKSAPHLNFKHTVFGMVVGGLTTLSAMEKVPVDDDDRPLEEIKILKVSIFVNPYTEPDEEEEKAKEEEKKKDEDYDKVGSWYSNPGTGVSSSTSTGSGVGKYLKARTAGSVDVTGNGAVDDSSKKRKANASSVEFKDFSGW >Et_1A_007377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33977421:33979882:-1 gene:Et_1A_007377 transcript:Et_1A_007377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASLYPVPLASAAATTATRKRFLSSQSITPRRGPGHRLRAGSLKQWREFEDDAGAVKEWREFEDAVRRKDLSRALRFLQSVEPQTGPAAMQVAVPVPPGRDWEVLDTCIDANDMRLVGRAYQFLSDRGVLASFGKCKNIVLEGPREVTPTVLKEMTGLEAAKLAPKKWGLSGSSPYVLIGFLGSVSYLLTQGIDLRPNLGAVLALATADALFLGGTCLAQISSFWPPYKRRIIVHEAGHLLTAYLMGCPIRGVILDPFVALRMGVQGQAGTQFWDEKMEKELAEGHLSSTAFDRYCMILFAGIAAEALVYGEAEGGENDENLFRNLCILLNPPLSIAQMANRARWSVMQSYNLLKWHKKAHRAAVKALEDGHSLSTVIRRIEEAIAADR >Et_1B_013802.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17298249:17298671:-1 gene:Et_1B_013802 transcript:Et_1B_013802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSIFFFLIPLLLAARAASGVPPPLGGAAAAAAAFAVYERREHHGGGGHEEPGTAAFYLKVGKFAVWIYSLHHGISPVLQLERVVSAATRSARDGRGVEYLLVLHVAKLGTCEALVWGVPGEGSQEWKLKEFKPVGGA >Et_2A_015596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15856337:15862947:1 gene:Et_2A_015596 transcript:Et_2A_015596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDTPETVEVTLRAVGPSRPTKILLPPLLTVADLRRRVAHDRRLEASEEVRLRLVLRGKTLPREDDAHVNFRDGDTIIVAVAPKPPATHLRDDDDDEEDEEELKFKIPQTTTWWKRNIFVFLRDKLRLPDIVLMALFSLSMKAWVIIAMWFLLAPIAQKYGVGPLYILGTGFLIILLNLGRRQQGDVSAYSIFNEDFRELPGTFNAERIDRDIRAGSSFEYTRPLPEATDPNNGDGGALHFLTTIEDQNG >Et_3B_031563.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:4786062:4786559:-1 gene:Et_3B_031563 transcript:Et_3B_031563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTSCDFAAARGAVPAARSWQRPSLKTTTNKKPAAAGLRCAASRSRGDDDAEFSCNGGDADVGGGRMVDEGMVVLRRRIHEMRAAERNWEPPAEWAEWEKEWYGAYDADVCGLAAALQALLLGARPGVGVGLLAALAIAVPASAFVLVHHLLDASRALISNLPH >Et_2A_018191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17358574:17361426:-1 gene:Et_2A_018191 transcript:Et_2A_018191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIFMSNTFTREQCFQTGVFGLPVEYESFVYHVRKGMPLFLFDHNLRKLYGVFEAASDGGLNINRDAFQRAFPAQVRVNIIWKCRPLIEDEFAPAIEENYYQPWKFYFDISYKQVVRLYELFNDKRVERPIQDYPVNGSLGTKDVSKGALDKRSFTPNVPYSDDQSHLLVPDISTIVRRYSSHTSKHTVVPPSVEVEPSRTMPSAAKSFGGQITSITTRHQQLANKSFPLYPDYPHKILSSGRIIQVPSSHDFTHNNSMPSGFVTSPTYENPVSATSTPYAPLYPRLSLEKSQGHADYQEHCDICIRQRQLSAHETSTRYSYQRQHLSEGKAPPPAELSQQVIPAYPEVPEFRGEAVSAVDQQMNGSSDYIPLSECGINFENASGPSNHENGMGVNLSDPCHKNYGIGAESNTDVPQSSAFSRLSPSQQPPSQEGMGPTLSQLVSSLSQKAKQWNDKSAPDGFCYMIREQATDRPYSRSELNLPNQLELEAEEGESTESQPPFLNFKRRSEAHKGDTNLGNEISGKVKRRKLVRPSLGENNICSGNCIQERKHDHLKVGGNHFDIDLNVPATVDGDPVEKHNSIEVCSSVLTKTQTEDADKTNSSNVIKTIKEVEKHNSIEVCPSVFTKTQNKTQTEDADKTNSSSVMETIKEQVNDAPAKKVSFDINLAELTTMDESKLRTIYDHASSLLQALGKIASVKPNNFEEDKSNIRGGL >Et_1B_013407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8096417:8100281:1 gene:Et_1B_013407 transcript:Et_1B_013407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRRMKTEASGTGPTLPDEMLTEVLLRLPVKSLLRFRAVCRSWAATLSSDEFCALHMATDAASSAPPKLLLVAPTAAYDATALYSCSPSTRGSGPSATPLLTLDDLRGDFVDGIAAQCRGLTLLYDAVAPAYYVVNAATRAATRLPPCQDVMYSSAGLGFDARANEYKVMRLFTKPDEQDASCEVYTLGGGRGGGDRWRPAIGAVRSPPFGTLGVHLVELDGCLCAVRDLRHASPDDCRSTLEIWRLQDYPTGIWFLDTRIDLSHHLTKSLRGPPLVARVLGTSGDGRSAQKILVATSNHDVHAYHVMSRNWETILPIADTGISYRSNRTAIRVCLYKETLAPVHKTREEMSISSPQAKATKEILLRLSVRSVTQCKLVCSQWRRLTEDKSFIHSYSAHKVTEKKTKIMLVGKGTGGSFFSFAPLEKWLPEADNKDTWLDTKVVCSKQCHGLNLLCTAKMDYLYNPCTGYHKIKIYPGSLAHAPWKTPSDCWRMPDHDFAIGNKNVGLGFNPIKQEHVVAIILYQFKDFKSREYCLTCSVWHCKTGFFQEDLFPPLPVNDMPPAYVAGMLYWMSDPALGPYSEHAIVSFDIASGAFDVIPLPSHIAMWSSHCARRLFVVELEEKLCVVIADLVANELVVWKLEHGEWNRAYTICLKASPDYSLISNIVVPLAVDSKDGRVLLSTGSRVGFYDPVKQTIDELYATEKRRKKMNGARLDWSLAPCDKSAISLVPTLYEESLISYPRIRKQRYMRRVPMGSNTRMKTDVLGVGPSLPDEMVTEVLLRLPVKSVLRFRAVCRSAPFCALHMATNAAAPPKLLLVAPNASYDATALYSCSPRSADLLFTLDDLRGDFVDGVAAQCRGLTLLYDAASIRRSNRC >Et_7A_052992.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:4066779:4067633:-1 gene:Et_7A_052992 transcript:Et_7A_052992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTEAAVRRTGALVALAAACGLVVVVLLAPPVAGSSYAVLALYAVYLLGCAAPRRLRAHAEAARKFGTARVFLLEQRSFFSAACWHAGACPPWQAEAAMRRTGALVALGAASILVLDPAVQELHHGVLAAVYAILQLGCAAVLLSPAHRAVADLVRPAAEAASGKLQGASARAINTVLRWAGFACIVFCFCVATDMPAAAAEEVNGLLLLFLLFLVGVWTVSLSVLLAASGPPPLPLWLPRPPPPPAAVEEDRDGGEINDSLPPAARGAVASKVWKTWTHPLS >Et_3B_027975.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:29138484:29138567:-1 gene:Et_3B_027975 transcript:Et_3B_027975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQRHSVRGKGCSHGSLTDRAIVCCS >Et_5A_042684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25856322:25865065:1 gene:Et_5A_042684 transcript:Et_5A_042684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRPRKAAAAADFQLLPCEHSPKRRRAATRTTWSSMPGDLVESIAERVLAGDPVDYIRFRAACRHWRSCTVDPRGRGVADRRFHPRQWMMLPEGHGLYPGHAKLRGRVRFFNRATGAFVSVHLPLFADHAALDCPDGLLLLQRDADTVIRLLNPFTGDIVDLPPLSSLLRQPRLGGDDRLRYFRMVCAAISVAPVTGTITVLLSLEHCCRFAHACSTDRSWTLASWSVKAVSRALAFHGSLYMVYNGGTRSILRLDPPTPPLDEDDGGSSSPVVLQQPQMIASLPANLIILPQLVDCDDEILVVGSTDISRSHLVVLRLADLLTQGPRPAVPLKSIGDHCLFLGMCSLAVSSKGLPSIARNAIILCSSIPDRLRPYNLGDDTLSPACNGDIARIPPPSPHSIIHHLVTCCYRYLWNHGLIYCTGTDPSWKTKRKARVLYGSTTIAIYSIGWIITNSQYRHGRHHEKIMYKRNSGKYRIQGVVGGDNLSPS >Et_9A_061002.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:617187:617468:-1 gene:Et_9A_061002 transcript:Et_9A_061002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIKYAVFTEKSLRLLGKNQYTFNVESGFTKTEIKHRVELFFGVKVVAVNSHRLPEKGRRMGPILGHTMHYRRMIITLQPGYSIPLLDREKN >Et_2A_017170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31722328:31725767:1 gene:Et_2A_017170 transcript:Et_2A_017170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPSSPPPPPQPQELPAAGAEIQALTPASAAGPSSSTTPTGGGGGGSFTALLGLPTSQAMELLLSRVAPPAPAPAPAPAPTFPSDPHLVDRAARFSTFASPSPVSPSSPSPPPPAANAKRKADPASKGKAAKKGKTAAGADESKPAGGGDGQDEKPDYVHVRARRGQATDSHSLAERARREKINARMELLKELVPGCSKVSGTALVLDEIINHVQSLQRQVEYLSMRLAAVNPRVDFGGLDSFLTTECGRLAGLNCKNGIDLEQVAWPEMGVHGARPLMQLQQQFWHGDLAHPHQVASQWEKRGDGHPTVFSNSSSSLFGYDLTSSGKSCMQTYILYLTEFICLSFSSSISGFLGPRFLSFALSGVFSKCTDNV >Et_2B_021014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25623461:25625025:-1 gene:Et_2B_021014 transcript:Et_2B_021014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAESGDGNKGAMVTLISSDNVRFEVDKAAASLSGKVHLMLTEGGAGAGRDGGIKLPDVDGKTLAKVLEYCNKHDASAATSSEEQGGGPSDAAAAAALAREELERFDKEFVNVDPVVALPTIINAAHYLDIKGLLDITCQKVADMIKGKTPQQIRQTFGIADQFTPEEEEEIRRENAWAFEYATHQSFQSILTRAHFRSGDRLTSMAASAESGDVGREATGVTTITLISSDNERFEVPAAAANMSQTISHMIDDGCADGGIPLPNVTAAILAKVLEYCNKHAPAPAVAASSEAAPAAGSADTNNNAAGSSSSTNAAAPPKQQEQEEDLKSFDKAFIDVDQSMLYDLLLAANYLEVKGLLELACQKVADMIKGKTPEEIRQTFGIKNDFTPEEYEEIQKENSWAFPEQ >Et_4A_034937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:788231:789891:-1 gene:Et_4A_034937 transcript:Et_4A_034937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SCVEECQKTGSLKDTSIKHCLVPADGQPADKSWYTHEPLYLQWKEWNCKSECRYHCMMERENERKELNQQPVKYHGKWPLKRASVFQEPLSAALSALTLVLQFNGWLSFFLLLYYKLPLRPETHKTYYEYTGLWHMYGLLAMNSWFWSAIYHSWFPIYYSDTTWTETLYFSSAAAFLGYSLILAILRTLNLRDEASRVMVAAPILAFVTTHILYLNFYELDKGLNMKVCTVISIAQFLLWALWAVMTRHPSRLKILFVTVAGVLSVFLETCDIPPQLGYIDGRAICLGIAIPMSFLWWSFAKEDAEMRTSEIMKKTR >Et_4B_039189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8580198:8583203:1 gene:Et_4B_039189 transcript:Et_4B_039189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRESPRASPPHTPPPGTEDEGDGTTGTAAAAAAEMGTYRCCIFFTRRFALEDASTPDDVRALFTRFAAGSPYMGADDLRRYLAASGAPGGGDDAEQVVDRVLQNRSRTPRFGRPALTVDDFRHLLFSEDLNPPISCSQVHQDMNAPLSHYFIYTGHNSYLTGNQLSSDCSDLPIIKALQLGVRVIELDIWPNSSKDDIDVLHGRTLTAPVSLIKCLRSIKEYAFVASPYPVIITLEDHLTPDLQAKVAKMVLEVFGDILYYPESKHLQEFPSPEALKGRIILSTNPPKEYLESKGGTMKDRGVEPQFKKGESEEAAWGMELPDIQDEMQVAERSDDDLLFRERGVEDDDEQKTCKPMAPEYKHLITIKAGKPKGALVDALKSDPDKVRRLSLSEQELAKVAARHGPNIVRFTHRNMLRIYPKGTRFDSSNYNPFLGWVHGAQMVAFNMQGYGRALWLMQGFYKANGGCGYVKKPDFLMQTCPDGKVFDPKADLPVKATLKVHGSFFLFLFFFCFHF >Et_4B_037532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20912035:20913187:-1 gene:Et_4B_037532 transcript:Et_4B_037532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHYLAVVSLILARVPPGSRGLPILGETLEFFTAAPTLELLPFLKKRLDRYGPIFVTNLVVSLDPAFSARVLRLEERAFQIWYPTSFMRVFGADNIITKLGPLHRHIRTLVLRRALLCTHHHRRRHDGGVEVRAATSRVRTVDEKQITIYSFSSFKSVKQQQYLPACLQMTFGVTAKKLISHDDAAAGGSLWRCFDACTRGLLSFPLCVPGTAFYRCMQGRRQVMRTLKRQLDERRNIPAAEREAVDFFDLVIGEMDGQARPRAERERRAGPAVPAAVREPRDHVHGAHGHTQSSCPTTRKRYRNSR >Et_2B_022900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7472845:7487201:1 gene:Et_2B_022900 transcript:Et_2B_022900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEEQHSNGHGSGYPPGEQQEAEQSHDDQNPGADEAELLWKLRKYLMLLAILAAAITYQAGLAPPGGFWQDNQNGHIAGDIVLRVSYPKRYHVFFYCNTTAFGASLIVLILLLIRKLSRNAVWLRSLQFAMLLGLLGLMGAYAAGSCRELRTSVYIWVLLVGIFAYVTFHVVFFKHVAPQWLKQMCQDIRKYWKGIFRKTESTTNEPETLTAHNESEELERNRSFLLVLATLAATVTYVAGLSPPGGFWPDNSKPNHLAGDPVLRDHYPRRFKAFLICNATSFAGSLVIIIMLLTDTAVDHVVKSNALRFCVLVSLFGLMGAYAAGSCREVRTSIFVFSLVGAVLLYLVLQWIFPIVKKPKCVDNSIEWMRKKKTEVLQKLSSFIMKGNGSSHIDRHTTPSGPNDDMNDLQKLRTYLLLLGILAASITYQAGLNPPGGFWADSLDGHIAGDPILEAMNPRRYKAFFYCNATAFVASLVIITLLQSQLITVSAMKRHVLQTAMILDLFGLMGAYAAGSSRKFSTSIYVFVLVLIVFTYIVLHVLLSVATLETRLKRTIDSMSQVFHERTHHFRINETTGNDEEADLKKRRKFLMLLAILAASVTYQAGISPPGGFWNDNNDGHQAGDPVFRDEFPRRYKVFFYFNATAFVSSLVVIMLLVSKRLCHKGLKSYALRACVLVDLISLMGAFAAGSCRKVSTSVYVILVVVAISLYVMIQVLVLTFAKGKVNNFLERVFSIMPFESQHPSMNHRGSIQIKKRTEHKWRKDLMLIGTLAVTVTYQAGLLPPGGLWPDDQVSHFAGDPILHDTHPTRYKVFFYCNATAFMASMVMVILLLNNTISKYKRSLLAMKTAMVLNLFGLLGAYAAGSCRKFKTSAYIFALVIAVFIYIVIHVLLSFDEVALLVRKKGEKWMPWLSKMWALIETEPSKPEPFAVQLGERSVESTITVVASKSKMKEQCQLREMEVELQPGNGNGAENTMSQKETEQEVDQLPSDADEAELVRKLRKYLMLLAVLSVTVTYQAGLAPPGGLWLDNQHGHLASDVVLQSTYPKRYKENKEELEQKRSLLLVLATLSTTVTYDAGLNPPGGFWPDSDGGHLAGDPALRDHYPHRFKAFMACSNTSVHHWIAPIVPRPEFVKRCKWITGKRDNLILKLKSILVESSRTTSKQELPLPLDGQNPSLTGSTNAFTDVNGDIRKLRTYLLLLGILASTITYQAGLNPPGGFWIDNEDGHLAGDPILETIDPKRYNTFFYCNATAFVSSLVIITLLQSQLITFGAMKRYVLQTAMVLDLLAIMGAYAAGSSRTISTSLYVIILVILVFSYVIFHILLLVCKRSPDGSVQQMDDNPELKDLEKRRKFLVLIAVLAASSTYQAGINPPGGFWPDNNDGHQAVSLTFQAGLLPPGGLWPDDQDGHFTGDPIIHDIDPTRYKVFFYCNATAFMASVVIVILLLNSTISKYKRSLLAMKTAMVLDLLTLASPVENDMTTPKANNEEKGVDFEGGAIGNKYKDLRTAIRTQATR >Et_6B_049259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2390028:2391994:1 gene:Et_6B_049259 transcript:Et_6B_049259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCAAKVANAQGGGGRHRRLAGGEEEELEEQAAAAAGATARPMLSQHQREMSAMVSALTAVVAGGAPAPAEEAVVSPEGAWWGYGAPSPSSYSAAAHEYGGAAMPAQQHSPRAETTSEQVPSPPSSTDSTTRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAAAAARAYDAAALRFRGSRAKLNFPESATLPSPTPPQTMTTARPEAVLETQEAMVGDGGGGEYSEYARFLQGAGDPPRFLDRPSHAHQPAAALSSSSSFPVFFSFGGGGGGEIDGVAHHFQPQGRRAEHPPPPPPATWADSGWWPSPPPRDPSG >Et_7B_055276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:915070:919242:1 gene:Et_7B_055276 transcript:Et_7B_055276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTGAAAAALHPAVSTRRVGVGNGNAPAAAGGYVAGCRRGAAACVVRARVAEAAPVATDSGRQEAPAAPMVEIPVTCYQILGVTEKAEKDELVKAAMELKNAGIEDGYTAEVATCRQALLVDVRDKLLFEQEYAGSIKEKVPPRSSLHIPWSWLPAALCVLQEVGEEKLVLEIGQAALRRPDSKPYVHDLLLAMALAECSIAKASFEKNKVSLGFEALARAQYLLRRKPSLEKMPLLEQIEESLEELAPACTLELLSLPQTLENSERRRGAIAALCELLRQGLDVESSCRVHDWPSFLCQALNKLLATEIVDLLSWDTLATTRKNKKSLESQSQRAVVDFNCFYLAMLAHLAFGFSTRQTDLIRKAKTICECLVAAESTDLKFEESFSSYLLGEETSTTVFEKLQQLQSNGSPNSKDYGLARKKDSSDKVTVNQSLELWLKDVVLSRFADTKDCPPSLVNFFGAPKRNLTTSKQNLGSPRLVRLSSQPSSGVSSCNRTSGEQTQRLSSNSHLGEAVKQLAPTNLGLHSSMDRPVNGSGTTSVPLKRNPGSHPLRSLELWGITGDIIGKLAYSALLGFVVFGTLKLLRFQLGHTGSPNPSRGPASMLSLNEASAPEGSFITSSIRKRFEKLSKMLWLNDRLYSRSEENDKYPVPSDGTAAVCRQKMDIQEAEALVKQWQDIKSEALGPDYQIDMLPEILDGSMLSKWQHLALLAKDQSCYWRFVLLNLSIAQAEIISDEVGAGEAAEIHAMLEEAAELVDDSQPKKPSYYSTYEVKYILRRQNDGSWKFYEAVVRDLT >Et_1A_005673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12626617:12629108:-1 gene:Et_1A_005673 transcript:Et_1A_005673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAVYNSVSSPITHTLLAAAYTTAIASRCPTRTHNTTAAKSILLLSLPLLHSSSVSCAPSSSSIQAGRGAPSPALMTTAPNIEMIASSLRHCSLNAGGGRRRAGRGGRRGGEGGDDSESVTVELNSDIALPYHWEQCLDIRTGQVYYINWEDGTRTTVDPRTSTFTSSPTPRSTSSASRRSRRASTPSSGYTSVSSVGAEVSAAAAAWPLAGDVDSGYDNDNEEDDDGDDEAEEDDEEEEEEEESSSTTSSSSSSTGSSRGSAVSSTLSSFSPTDDSAASGDNGSVVGAAGPVLVAAGCRACFMYFMVPKSADVCPKCGSAGLIHLNGNGYA >Et_10A_000480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11058153:11061218:-1 gene:Et_10A_000480 transcript:Et_10A_000480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHGTSGRAKSAAAESSGGGGKTAPRTKKRVALGNVTNVAGAGRRGRSASGSGKVARASEKSEKLNSASSAETVKQGRSASALFVSSARGPAVPPHENAMEKQDVHPLKNCAIVDVSNVEPYSERPEFECVDTGGCPRLAPLQLQANEHLSVVEARDGEETKWMTNAPVAMEIDQVCDASNNNEHPQLCPYRTSDIYTFLREHETKKSPSTNFMETIQKDVNSRMRAILIDWLVEVADEYSLVPDTLYLTVNYIDRYLSGNKVKRQRLQLLGITCMLVAARHEEICAPQVEEFCYITDNTYFRDEVQDMESSVLNHLQYEMSAPTTKCFLRFHSLVLIKFLDESLHLELLANYVAELSLLEYNLLSYRPSLIAASAVFLAKFILQPTKKPWDSALARYTRYKPSELCDCVKELHRLFSVGPGVKLQAIREKYSQHKYKFVAKRHCPPSIPAEFFLDEAC >Et_6B_050154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7624568:7626304:1 gene:Et_6B_050154 transcript:Et_6B_050154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGRSTALKESKKQEHVLPAPTSDATVQRSPVDKPPFTLGDIKKAIPPHCFQRSVIKSFSYLVHDLVIAAGLLYFALVGIPTLPRTLRFVAWPLYWAAQGSILTGVWVIGHECGHHAFSDYLLLDNIVGFILHSALLTPFFSWKYSHRRHHANTGSMETDEVYVAKKKSQLPWYTPYVFGNPVGRLVYIVLQLTLAWPLYLAFNLSGQKYPRFTCHYDPYSPLYNDKERVPVLISDAGILAALFALYKLASPYGFWFVVRVYGVPVLIVSGLFVLITYLHHTHLALPHYDSREWDWLRGALATVDRDYGVLNRVLHNVTDTHVLHHIFPNMPHYHAMEATKAMRPVLGEYYKVDSTPIIKATLREAKECIYVEQGERKGGIDGPLLLVLQIPSQSQENIYGERREDEYARRSSSLLPCLQGAIRY >Et_9A_061845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16994594:16998165:-1 gene:Et_9A_061845 transcript:Et_9A_061845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMGLKRSAAAAAGAQTVTLPAPAVRDAVRVAVREATEAAAPAPVRVPAVARDGVLCLEEVDGRRFSYVLDGPGTSVKAKGSASFGPSFKAVPLQSPLPPVEEIMSFIRSYVVPEGFPDSVTPSYVPYMSWRALKHFFGGAMGVFTTRTLLNSVGVAQSRATSGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSGDLLMELGAGIELATAAFPQLFLPMACIANVVKNVAAVTSTSTRTPIYKAYAKGENIGDVTAKGESVGNIADLLGTGMSILISKSNPSLVTSFAFLSCGYLLSSYHEVRSVVLNTLNRARFTVAVDSFIKTGHVPSLKEGNSQETVFNPPWRHEPVAIGSRFGEAFQEPASFVAIRPLFEDERYLVTYNPTKDKVYALLKDQAKPDDILKAAFHAHVLLHFINASHANLNARKRKKSNQSYQYNPLNMDFLPHIEESCKIVMSSYGIFKKKARDQGWIMSESLLNPGRARLCGVVPQ >Et_10B_003918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7579266:7580118:1 gene:Et_10B_003918 transcript:Et_10B_003918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TAGHMMNSKDYYGVEVTSDVYGFPIGQDDRSGVLVQISNVGDGRKSIRNEIVVGWHVHPGLYNDSKTHFYVLWTRDGYESTGSFNLLRPGYVPESNITMAPGVIIDAVSDPDGIKRTIIFKVFKDSAGDWLVHIRFDSEPYLIGRSLSPCSLAWAIKQTIFRLVDLW >Et_1A_005630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12131401:12133928:-1 gene:Et_1A_005630 transcript:Et_1A_005630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLCSAPVRPSRGNLRTRSRRPVRMVVVAAGARVSGAEARASLVLALVSQALATSQRRAADLAAETVKYAFPSRRFEPRTLEEALMSVPDLETVPFRVLKREAEYEIREVESYFIAETTMPGTSGFDFSGSSQSFNLLASYLFGKNTTSEQMEMTTPVITRKGESSSEKMDMTTPVITKKSAGDNKWKMSFVLPSKYGSNLPLPKDPSVTIKEVPSKIVAVAAFSGLVTDDDIRQRESQLRKSLQKDIEFRVRGDSVVEVAQYNPPFTLPFTRRNEIALEDSELQSGALLDS >Et_3B_029859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28667858:28670396:1 gene:Et_3B_029859 transcript:Et_3B_029859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEAHQTDLMTLTRHVLNEQSRNPESRGDFTILISHIVLGCKFVASAVNKGEEQKKLDVLSNEVFIKALVSSGRTCILVSEEDEEATFVDPALRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMVKDKDNVTLNDVLQPGKNMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKIPKKGKIYSVNEGNAKNWDEPTAKFVEKCKYPKDGSPPRSLRYIGSMVADIHRTLLYGGVFLYPADQKSPNGKLRVLYEVFPMSFLMEEAGGQSFTGKERALDLVPTKIHERSPIVLGSYDDVEEIKALYAEQAKSSSG >Et_6A_047217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26972171:26974885:-1 gene:Et_6A_047217 transcript:Et_6A_047217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSPAYHSPPRRGYGGRGRSPPPRRGYGGGGGGGGGRGGRGGDQGSVSLLVRNIPLRCRGYSDYEGRRSSCHGRSRSRSYSRSRSPRPRGRARSRSYSPAPRRRDDYSASPRAKEEHRRSPRPAKEHDGDKKRRSYTPDDKSDGRGAANGHDESSPPEDKEHGRRRRSPLDSPPGSRSRSASPARSS >Et_4B_039965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6896191:6899182:-1 gene:Et_4B_039965 transcript:Et_4B_039965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISGVIMGMIVGIALIAGWSRAMARRAAKRSRKASAALSFRFLINITLKAADISSMGSLNRDDVKKICGENLPEWISFPEYEQVKWLNKQLSKLWPFVEEASIRVQSFQKGQITMDIDFRWGGDPNIILSVETLVASLPIQFKNLQVYTIIRVVFQLSEEIPCISAVVVALLAEPKPRIDYILKAVGGSLTAMPGLSDMIDDTVASLITDMLQWPHRIVVPLGGVDVDVSDLELKPHGKLTVTVVRADSLKNKELIGKSDPYVVLFVRPIFKEKTRVIDDNLNPEWNETFELIAEDKETQFLVLEVFDEDKLKQDKRLGIAKMPLNDLELETAQEVNLQLLSSLDTTKVKDKKDRGVLTIKARSIDLLVIVADSHSCVVGVRSKNAMFSSCIASDDAGVCEFAQVMYHQFTKAEALEALELEKSLMEARRKGKNETAAVSGAADAASGMASTVTNVAGTGVVAAGTVAGTGMTAAGSGVGLVGSGIGAFGSGLSKAGKFVGRTVTGQFSSARRSASSVPPVDE >Et_5B_045655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6269310:6272225:-1 gene:Et_5B_045655 transcript:Et_5B_045655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLADLACATEGPRLAGAGLRDRLAALLELAAADDAAGFREALDGGGEEEATEVADGVGLWYGRSKAYEPRTPLMVAATYGSAAVVSLLLGLGCVDVNRRPGVDGATALHCAASGGSRNAVAVVKLLLAAGADPVTPDSAGRFPADVVLAPPGSPDALGDLEMLLGRRRGLTVATSVPSGSSSPPLSSSPDEGNRSPSSRSSSLSPIMVDRGRKEYPVDPTLPDIKSSVYASDEFRMYAFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKHPYTAVPCPNFRRPGGCPSGDSCEFSHGVFESWLHPSQYRTRLCKEGAACARRICFFAHDEDELRHVPHNSGAGLLSPRATSSIDMTAAAALGLLPGSPTRHFVPPPGSPSSANNGGGAAAHWLQGSRLRSSFNARDAQADDLGALLEWESQYLGALGLPQSSRSQQRLSTGLSIRPTAITPSSLEEMYASDMAMSPRFVNDQGHSVYSPAHKSAILNKLHQQKGLLSPVNTNRMYSPRSLDPSALIHSPFGGMSPRSPRTMEPTSPLSARVGSTVTQREMFDQFSSLNRHQVPSVGSPRNSNAAWGNIGTPKSKGAASLCPLPVPICVLAHVSSIRCLPPCQELGPLLAA >Et_4B_037178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1588484:1592831:1 gene:Et_4B_037178 transcript:Et_4B_037178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRGLAAPPFDLTAARGAAPWPPRPARVRCRCGADPPETRRRLSRAAAAAPERAEEWRADGNKPSAAAPGRRRATLIAMPPAPFPSPRYRRLPKQHDFYPRCTPRGPAPQSRDTPPKRDTGIASEKEWGINLLDEAVKESGTNEDGSTWYRESGEDRSENGYRCRWARMGGQSHDGSTEWKETWWEKSDWTGYKELGAEKSGKNAEGDSWWEKWKEVMYQDEWSNLARIERSAEKQAKSGTENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWERWGEHYDGRGFVLKWTDKWAETDLGTKWGDKWEEKFFAGIGSRQGETWHVSPGGERWSRTWGEEHFGNGKVHKYGKSTTGESWDLVVDEETYYEAEPHYGWADVVGDSTQLLSIQPLERPPGVYPTLDFSSSPPKDDPPGMPPSPLE >Et_3A_027162.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3691217:3691942:1 gene:Et_3A_027162 transcript:Et_3A_027162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGMLDAARRIMQEDEDMFSETCRRDSFCLSCNRAYCSHCCFCHHVHLFDLSVLAKIDLDAGGRPVIPTHTAGPTGFRIQSCFAEGMAAQDYTTRLARDAFCLHCGKAFPADVCTHHDHADEGLSDAVVRVVEHGGRRCVVCAGTESWTSHMDEALGDPVHVGEDEQGRYCELLPVLKIKPGTCRGCGARGPYPPATHCSHACVQTHHREIDERRRRRQARHAALGQGSKGNADALSVEP >Et_1B_011544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23451043:23453357:-1 gene:Et_1B_011544 transcript:Et_1B_011544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTQPRKRASERATPSARKSRVFSFSSSPPLHAPEMATLARWHPPPPAAAPTARLLLPPRTAFGPRRRPRRAATVVAPRAFGRSDFDGFVRRAWQSANAGAERLAFEARQTAKRLNERYAISRRLTEAARAARERAVEIDAELGIGRRWRTFSVDFSRNWPRYRRELNDLLKTPIGRTFSTLLFLWLALSGWLFRIFIFGTFVLPFAAPLLLGTFANRVAIEGTCPACKRRFVGYRNQVIRCMNCQNIVWQPNNMSSGGARSSRNSEPDVIDVEYEEK >Et_7B_053236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13761269:13762774:-1 gene:Et_7B_053236 transcript:Et_7B_053236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSIQMNFSTSIGSCFSGTVTFSSAASSTNSSTSPPSESSASTASAAAAAARLRSERRDSLLLLKLEMRVQLEEVAARDVEGLGAEAWGRAVRAGVVAGWTAIGS >Et_2A_017584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3862302:3864983:-1 gene:Et_2A_017584 transcript:Et_2A_017584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQQPPCLAEDKERETNVLIEAAPVSPAKASEDAPRGQLSPAAWFRMLAKELHWSFVFGVVATYGISQGLGGGINRVASDYYWKDVQRVQPSVAQVYQGITSIPWMIKPIWGLLTDVLPIAGYRRRPYFILAGFIGVVAMLVISLHGKLHALFALLALMTGSASVAIADVTIDACVAENSIIYPNLAADMMSLTGFCASVGGLIGFSISGFLVHAIGSKGALGLLTIPPALVILAGLLLNEVQIPNFPYEQAHKKFAEASGKMLTTLKCPEVWRPCVYMYMSLALSVDIQEGMFYWYTDPGAGPSFSEGFIGFMFAVGSVGSLVGVILYQNILKDHPFRNVLFSSQLLLSLSGMLDLILVLRLNLKMGIPDYYFAVIDEGVSKMINRIKWMPLLVLSSKLCPTGIEGTFFALLMSIDNIGSLSGSWAGGLLLHLLNITRTEFKNLWAAIIIRNAMRLLPLALLFLVPRSDQNSTLLPSDLLIDDDDGEAQQTENVELTSLAVDRSSCSDKSIKECKSQEDHGTEQDDDEASLLANTG >Et_10B_002596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17593776:17593982:-1 gene:Et_10B_002596 transcript:Et_10B_002596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRSRRQFGSKNFQRDDYRGSLVNIYGVVGILSYGKTSLKKNLFPSFTKQNHQQKGC >Et_1A_008325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6432445:6435708:-1 gene:Et_1A_008325 transcript:Et_1A_008325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAPPRGALRDAVGQRWLAVFALQAALSAAASALHLAASPRRRHPLLGVPRGLLLVLHPLLSCAATGLLALALLLTASPHPRPPPLPRRALAASLLAAAGALGLGAAASILPEDAGWAAVAGLAFRGAVLGAVFAAHYLGRRRWLLQFPVVQRPLFYGLKMGLLPSGKRALKVSLQAFILSGALTLFLPWQFRIGGSFGSQILAQISIFIMITGVSFCLEVSHYFVQVVHTRRCSFAPPQSTAAAETNPTEFILETLEQSDPRSLIQYLAYQDLCVVSECNLEPWRRSAFFEESGETYKRIITACLKPLEEFTSKIADALEGFASDKPELMLQQSKLFAAFDDSQICTWCARTLACLTARSRQEDRYGVAQLTGCNAAVMSTLLSALVALEACLGKKTNPQPVNSLGPQSIRWANFSTGRKGTGTAIASTQKGGLHKKAYAMADVLRTSIYQIVSAFVDDLRANAKPSSLEKNWISEGRKPIYGSQAVLVQKLILFIEYRAV >Et_2A_017964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8426095:8432202:1 gene:Et_2A_017964 transcript:Et_2A_017964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELQPGNGNGAENTMSQKETEQEGDQLPSDADEAELVRKLRKYLMLLAILSVTVTYQAGLAPPGGLWLDNEHGHLASDIVLQSTYPKRYKVFFYCNSTAFMASLIVLILLLARELNHNAVWLRSLHFAMLLNLFGLMGAYAAGSCREVRTSLYTWVLLVGIFTYIALHVVFFRHLAPEWFRQTFIDIQMFWKASVEHIFKKDHNTIDELDASYQENKEELEQKRSLLLVLATLSTTVTYAAGLNPPGGFWPDSDGGHLAGDPALRDHYPHRFKAFMACNDTAFASSLVIIIMLLSNTSVHHVVKSNALRLCVLVSLFGLIAAYAAGSCRDVHTSIYVFTLVGAVLLYLIIQWIAPIVPRPEFVKRCIKWITGKRDNLILKLKSILVESSRTTSKQELPLPLDGQNPSLTGSTNAFIDVSGDIRKLRTYLLLLGILASTITYQAGLNPPGGFWIDNEDGHLAGDPILETIDPKRYNTFFYCNATAFVSSLVIITLLQSQLITFGAMKHYVLQTAMVLDLLAIMGAYAAGSSRTISTSLYVIILVILVFSYVIFHILLLVCKRTPDESAQRMDDNPELKDLEKRRKFLVLIAVLAASSTYQAGINPPGGFWPDNNDGHQAGHPLFHDEFPQRYMVFFYLNSTAFMASLAAILLLVSRRLCQKGMNGYLLRGCVLLDLVSLMGAFVAGSCRKVLTSVYAILVVAIVFAYVMIQVLVLTFAKDKVSYFFEWVFHATSFKTLNPPKSCNRSIMVNRKPEHKWRKDLILIGTLAVSLTFQAGLLPPGGLWPDDQDGHFTGDPILHDIDPTRYKVFFYCNATAFMASVVIVILLLNSTISKYKRSLLAMKTAMVLDLVALLGAYTAGSCRKLKTSVYVLALVIAVFIYIVIHILLSFDKMERSVRKVGEQWVSCLKKMWALIETERPNNQPSAKEEEPWYKEMKLKCRVIVARDAQLTLASPVENDMTTPKANNEEKGVDFEGGAIGNKYKALRTAIRTQATR >Et_10A_000801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17505299:17505825:-1 gene:Et_10A_000801 transcript:Et_10A_000801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCLPGPKGRTPLALSDHPLEEIAVQISAPANLTCASAVFKTFRHLITNPTFLCRCSRLCRPRQVQTSSLPRRPTPMRLSLAPLPPPLTSLWATTTLSAGCLYCGVATPAPAMFSSCTLTIFSRDGIVSPELLVCDPLTGGYMSLPRIPDDYAPQSLSRWRTDTSIFLCHL >Et_4A_033837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27273229:27275554:1 gene:Et_4A_033837 transcript:Et_4A_033837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQTLVRCLQVQCRPTHARTGHQQVKSGPESFKSGYKRRAGEVLIPSLVIWIAVALPACTHCNMEGFRLTTDNHLLLQSRGMFDGGTDASMFAADGFAGVASFSSGSDAVRTKDQQKNKGKDLFFCDLPELGGFDDFETSMRNFDPAFEIGNIDFDDTLWSVFSPYAQRVPSSSFDSTNASSVRNPSTTDAQIHSSVPSPDQVQLLISHQFLSFLMVLWCIGFPPMGRLLVMLRVKTFTLSNMQYNNMTHSTNIQEQTRSDGRNHTPWSYDAELFGPFHNDIASAKQTARCEGLEAIIYSNQQMQVPTTSSSMLSDESGVTSSTSSGADLVAAQIPCSKRKLNDPLHRNPDMLLEGMAESPLEMYFPPLTTLEQPDELTSNNTSTQAHQFPEQYVCSTSVSNHPGMQFCSKEMSSAGLHEQPRSTVILEAVPVKRFGFEKLQNGMNQMDVATKGRMRDALYRLANSVEQRHYAASTSRVVGSSSSNRFISSTWTETWTDPMDQSVAQLLLQKPPYRSRPM >Et_6A_047338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4107477:4120288:1 gene:Et_6A_047338 transcript:Et_6A_047338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMVDSTEIWALDAYKGLPRVHPFCPYAGFDEPHIVCFVVSEVYVVNGGDTKEWFVMLVLRSKMILSACRRRNGCYGNWYGKNIYSSRISDYFNPCPSTVTSSNGSSTVKDSRGNNDGSIAAVPSPTDSSQPAALPYPRSALLYFYGEREDDDSCPAADATTAAAARSRTGHSFRVSFHFAPPPEVSLIIAGFLDRAKKHGKPVVLAAHGDSATTDHFLYNAGGTGPRPPTVSLLPPSYLTREELEKLYRYSHRRLRGPVNRILDDVATGFLRRGEDDFVVAELKMVVISEAEEEPEKKVAELLLLRSGEWTLHRPTMIVSDGGDVDVVELLSEWNIRCVLPLHDGFLCWLDISRGLLFCNVFDEIPELRFRPLPVVSDNWNRNVSVTADGGAVKFVNIFPRCCCGGSGATFCDRSLHAYTIHTWSMRIQDMASWEMDGIVDSTEIWALDGYKGLPHVQLVCPFPSLDEPHIICFMMEGTMRSKMILSACRRSNNGGYGHCFGKNIFPSRISDYFNPCPSSFSSNGCSSSKTKNHIDMVAPPVEEFRGNNDVVSVDPARRLSILQCKRQRSWRYGLDHDDALKAINILSHDSGHRFKSLSGLPKSMRKDWLDQRQAAAAIVASYPPSLQLDIYGEHEDEDEACSCADAEAKTIVPARTSTGHPIQVNFRFAPPPQVSLRVRFPVAANKTNTFVIAVHGNSVLFQIRFEVEEDDFTTDHFVYNAGAAAGPHPRPPTLSLLLPYYLSNDEVDEFYYNYNRPRGPVHRSLHTNATGLLRRSEDEFVVAELKIVDVDDDKVVPERLHLRSGEWSVHRPTVISHGQNRQQLSKWDTDSVLPLRDGLLCWLDESCGLLFCNVFDENPDLQYVPLPVEPSDGNVRVIDGGSTVKFINIVRRCCCGGKGTTDCHRSRHAYTIHTWTLRMEDMVWVMDGMVDCSEIWALDAYKGLPRVPLIRPVVSMDDPHVISFEVYERIFVKGGDMTEWLITLDLRSKTLLSACCNNNQVARVICTGISDYFNHYASRSKGSSSMIQSHASIVAPTSKKSRINNEVSPIRSS >Et_8A_056185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13348898:13350871:-1 gene:Et_8A_056185 transcript:Et_8A_056185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTCLLITGLNLPTIVVGDDDQFLFQGFAKANIALSGVATITPDGLVDLTNAQQRSTGHMFYPDVLHFGKSPNGTVQSFSVRFAFAIHPNSLPSHGVAFFIAKSMGFSTAIVQQYFGIFNTDNQGSSSNHIFAVEMDTILNSELRDIDANHIGIDINSVISSKSHTAGYYDDKDSSFKILNLTSGEGMLLWIDYKGESTQLDVTMSSLYMVKPERPLISTIYNLSAVITEVAYIGFSSGASKASVPHYILGWSFGMNRPAPTIDVRRLPKLPRVGPKPQSKVPEIILPIASGLFVFSIGLTIFLIVRRNKKYGELLEDWEKEFGPHRFSYKDLYYATEGFKKENLLGVGGFGRVYKGCLLKSKVEIAVKRVSHESKQGMKEFIAEVVSIGQIQNRNIVHLLGDFGLARLYDHGIDPETTHKVGTIGYLAPELGRTRKATPSTDVFSFGIFIFEVTCGHRPIMRIAQENQLMLVDWVLDHWHNGTITDTVDAALHDDYDVNEASLVLKLGLLCSHPLKDGRPTMRQVVQYLNGDAVSPELTPMHMNFEMLAMMQTEEGFDPYIMSYPSTMSGHGSV >Et_5B_045268.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1636594:1637238:-1 gene:Et_5B_045268 transcript:Et_5B_045268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQMVLLPVLLLSFLLLPLASLALTQDFCVADLTCSDTPAGYPCKAGATAGDFAYHGLAAAGNTSNIIKAAVTPAFVGQFPGVNGLGISAARLDIAVGGVVPIHTHPAGSELLFVTQGTVAAGFISSGANTVYTKTLYAGDIMVFPQGLLHYQYNAGTGPAVALVAFSSPNPGLQITDFALFANNLPSAVVEKVTFLDDAQVKKLKSVLGGSG >Et_1B_012060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28534145:28535617:-1 gene:Et_1B_012060 transcript:Et_1B_012060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTDQYFERHHHGRGTKTDANAEVTRQRVFLGWEKLRTVVVVRTNNIVVESGAKEPVHGRHTPCHAEESRFRCGRDSARSGTWRRRQLGGQAPEYVAKGIGGQRRRGGFGHGARVGNQPGGDDLSWCHDNTCVLTAVQDVEGVIVATFMVHVLQDDGGAWMTHSSSPFRVQRPMDTYVSLYSLVVGSSLYMLIITGYIAMFDIVAASFSLHKLTHGVSCGDGGVLDHRLARAGDMGL >Et_4A_033896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27835819:27846971:-1 gene:Et_4A_033896 transcript:Et_4A_033896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGERGAAARAHDYEELAARKRKALSQEQRQRGESSKEPVQYDLSEAEATTMFDQLMEGFSRCRKRSSKVAKKRGRKKGTRNKCSPEVTKKLGDATLLFIESKFKKAISILHEIVRIAPNLPKPYYLLGCIYAETGKIEKAINFLMLAAHVSPKDAYLWKKLIGFAREKEDAALARYCILKAMRADPEDARLKYICADIYCKLKDYHKAAQIYEQIVKVDPTNDFVRKVAAQLYRDCGRIDKAISLLEEYANNRSTNMDWSVLDLLISLYLRTNATSEALKQIEKAHLLLGSEQNLPVQLHAKAVICHASLGDMKHAEVFLQEVHLEPSKYNIDLIKELASTFENMEQYEYAVKFYLTIENVAKHNDCGLYVKIAQCYMVLGDRTKAIPYFYKANDNIDVRITLSSLLVDDEKTDEAITLLSPHNISESQSANTPDQPKPWWCDGEVKMQLAKIYYDKGKLVEFVGTIFLPILKTLKIERYNKKVHIFFVMNGAHVTPMKRLSDTVPCKRVKLFAEQRPDVLFQGIKPIESPVELPTADRAKKLTKQSIKQVPPVPGLLTNMENHQLVLNLCRTLASMQRYLEALEIIYCSLELGNIAYRAPNPSRGLKYVCYAAKQHPYSLSVWNSFYQVTSRIEDGSQNKFILQTREEKTDCVPPIIISGHRFTALSQHQAAARDYLEAYKLEPENPLIVLCVGTALINLVLGFRLQNKNQCVVQGFAFLNKYLRLCGNSQEALYNIARAYHHIGLITLAAVYYEKVLAIELPYEAGSCAGQDLRPGYCDVQREAAFSLHLIYKKSGATDLARRILKTYCTV >Et_8B_058564.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:18466644:18467090:1 gene:Et_8B_058564 transcript:Et_8B_058564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGTPVDMVFNPLGVPSRMNVGQIFESSLGLAGDLLKIFGLPQEPMKKWVASYGLAQNDSSLSIVHGLLEVEGALVQSLLTEKDCSRVDNNRVPLLRRSEPRNPLEMF >Et_7B_055593.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:16039756:16040091:-1 gene:Et_7B_055593 transcript:Et_7B_055593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSRFAGLHAADSLPALCYSLTSSRSCLLAAGDSCLVVVDAVMSFTYELPQLCWHHGPRSMTSWSTGSSTRLRNAWLPDAVMINTFDDPERPVLDAMRATMLFDPAAVHR >Et_3A_024389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20317606:20320179:-1 gene:Et_3A_024389 transcript:Et_3A_024389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PNGFEKRNKNRGKENSPTLPTSNAENSPNPLLAAPLAWCVLVPPTLEPQRMNCDGGGGGPSAAETVPLLLHPPLPEPSPAARGSPEISDEEVEAASAASCRICLESETEPGDELISPCMCKGTQQFVHRSCLDHWRSVKAIAAIGVMAYLLDKDGKFRNSFTDDWERFLSKHPVPFYYCVGVVVFFVLVGFFGLMVHCSSFNNADPCLAGCRNCCYGWGLLDCLPASMEACFAFAVIFVIIFAILGVAYGLFAATVAIQRIWQRHYHILAKKELTKARIRCRGSSGGLHTTKDGSRAREAPEDVAAF >Et_2B_020731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23055736:23060539:-1 gene:Et_2B_020731 transcript:Et_2B_020731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATNFWRDPGTTFCSICGDDIENHLELTCPYKATTIYRLLHTLPAKRGLLCGAITQPLFVTSVRGIKKKNRVSPLCTTKPTRGALATHRSGVCKGFGGITSSSRTVIMRMKPSRHSTAMTSVTVSCESIGLALV >Et_6B_048492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:170532:173730:1 gene:Et_6B_048492 transcript:Et_6B_048492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFAVCFSCVDEFGFIHRRRESPLRGSAVPRAGTRARTWIYELRPQSCGSALLKLIMVKENKRMDLASLSRRKQQHRQCNTRVPRIKTFVEMLEKNDNFDDDYLRSQLMLAICTFLCSATGLGMSPRCYPPVVNVSAVNKVRWCDFVVEQLIISKNKMGKRNSVKGCMFYLQVTYCYTCRFCTRIFWSTILKSLTEPRIGAWTTKMMEKVVEMDKKEDGSFGKLKLEFFLFGRWKCQDHVAFLGAFWDLTSSE >Et_4A_032606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11862607:11866123:1 gene:Et_4A_032606 transcript:Et_4A_032606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQPKTGKRLGGMAEALAIATDLGFPAPPPQEDQNNMDNSDDLVKVLRELTVVQRNIANLQVELQGRKDDKNIAHLTHVNEMEKKCESLARITAILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTTSVGDFQWSQNFRESPAVWGEMLRPIPAALASCTRFFEAMSAMRESFSTLQKLRVRHSSLSMTPGGSSDDSKFLTPLPQWREVTMLDSWKQVDDINPESDGLNGIDHRRLS >Et_8B_059419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17776714:17793661:-1 gene:Et_8B_059419 transcript:Et_8B_059419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKGMRIPLEDSPERDWSELPLDVLSSVFMKLGTIEILMGAGLVCRSWLMSAKSPELWRFVDMTRHKLVFSKGEDTMCAMAKVAIDRSDGKMESFWAQKFVTDELLDYIASRANSLKSIRLIGCTYIWTEALARFASKCPLLEEIECSHHKMPAGLFRYIGKVCPQLKRLRIHMQWFDSDQIMREIAMENRQNDEDEYEELEESDEAWEARQNQDALAIAESLHELRLLQMQGNSLTNKGVYAILEGCPHLEFLDISECYHIDVNAELRTRCAMLKHVWLPTRGNLRCPELHVIGVNEGEDDGLTMHDLWLAEAESLRAEAAMDNDDPSLVSQSPVRDWSELPLDPLSKIFMKLGTIEILMGAGLVCRSWLAAAKTPELWRFVDMTRHKLIFSKDISTMCAMAKVAVDRSGGQMESFWAQKFVTDELLDYISSSQIIKEHPTHGMQIFWHPSLVKLAAECSLLEEIECSYLKMPAELFRYISHVRPQLKHLRIHIEEWYDSDQIRREMEEEYRRSCGYEEEESEEESQEDWEARQNEEALAISSLHEPRVLQISGNSLTNKGLDAILEGCPHLKCLDISECKHVCVDDKLQARCSKLQHFVWLPRQRNNVCCPDLDIIVKDEGDDYMLTMPDWSSDHGDMDLDGEAEMDYGSYGNYWQETPPSSPDDAPGPDLSNVTCDDTRFYTEEHEYYSW >Et_4B_038892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5684221:5692030:-1 gene:Et_4B_038892 transcript:Et_4B_038892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRVGLPGGSMSSALVNGLAGAGGGIIAQIITYPLQTVNTRQQTERSTKKRKASSGGTNTSTLFQMLQLIQTEGWSGLYSGLTPSLAGTAASQNRVEDLAVARRKKGLGDGTVGMLSWLGIAAVAGSINVLLTNPIWVLVTRMQTHTQAERKILESKKELLLREVSRANSMEVSVLKDRLAKLESEKPRPFGTIQAIQEVYRESGIRGFWKGLVPTLIMVCNPSIQFMIYETLAKRLQSKRSGKQIPKKNLTAMEVFLLGAIAKLGATVITYPLLVVKSRLQAKQEIGRNVMSRYTGTVDAILKMFRYEGFHGFYKGMGTKILQSVFAASVLFMVKEELYLKRMVPFEVLLASSVFGVVSCSGQAESPPAVPQLTHRESSLAVPQLAHAESPLAVPRLAHVESPPVVPQLAQAESPSTAPQLAQTESLDDRTVHITIDLEEVVIGLIVRAVRAKDLVALEAEYAVLRPVLILVPFAARLPEPCPQQHL >Et_8B_060609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3165705:3166307:-1 gene:Et_8B_060609 transcript:Et_8B_060609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRDKKVEEPTELHAPEITLCANSCGFPGNPATKNLCQNCFLAASSAPVTNVLPPSPSSSSSPAVFDKPRPAAVAAAVPAPAPVSAAVDRPSAGPVETKTSRSSVNRCHSCRKRVGLTGFRCRCGELFCGSHRYSDRHECSFDYKSVGRDAIARENPVVRAAKIVRN >Et_8B_059651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20275661:20276476:1 gene:Et_8B_059651 transcript:Et_8B_059651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGTMVSSARTELPLHMPNLDTLDISSSHEVVNTPMLPSKFLYLKHLNIDLVSGSTPLPSYDYFSLVSFLDASPSLETFTLNTQVCPVFMEHESTFLNPSDLRQMPEHFHGHLNSVKISCFRAEKGLVELTCYIPKNAVSLECITLDTIYGQQKCYLETYRHCDYIEDSVLEQVPRALSVIRTYIEDKVPLSVKLTVVEPCSKCQIIRGY >Et_1A_008655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9490661:9495849:1 gene:Et_1A_008655 transcript:Et_1A_008655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGVVECSVCRSRLVAPSPRSVSRAYDKHRSKISSKFRARNVLLVVGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVMKVIFAIVMLIIQSRKQKVGEKPLLARSTFIQAARNNALLAVPALLYAINNYLKFIMQLYFNPSTVKMLSNLKVLVIAVLLKFIMRRKFSIIQWEALALLLIGISINQLKTVPAGNTAFGLPVTAIAYIYTLIFVTVPSMASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILGTALFQGPESFNILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHKLTINFLLGISVVFISMHQFFSPMAKVKDDKPTELVELEDSQNHRSSESSFVNMTAGAADDVSNIFFEKKVHVLRAIELEMMRDNLCCQHKLAFEVGR >Et_1B_010353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:43541:47814:1 gene:Et_1B_010353 transcript:Et_1B_010353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLVVVLLLLAAAFVLPSGASAPPPPPCSRSCAALNCDSVGIRYGKYCGVGWSGCDGEEPCDDLDACCRDHDRCVDNKGLMSVKCHEKFKNCMRKVKKAGKIGFSKKCPYEMAMATMTQGMDMAIMLSQLGNASIGGIRRVSDRRGGRETPREPNNYKWLNNNSSFNFSCYRTGPRLCLDDYQSQPHHICGHATSLASKMAATMLTSFGMLKNK >Et_6B_048790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13741527:13754632:1 gene:Et_6B_048790 transcript:Et_6B_048790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMRETSAEFLVLVTCRYFLLAGALHQPNPAGDNVTKSCIPRAREALLTFKEGITINSYNTLASAAAGSLSLVTYARQQHHVLAAGNFSASCRPHERDALLAFKRGITNDTISLLHSWRPGQDCCRWTGISCSSKTGNVLKLDLGRPYQDPALVGQISPSLLSLEYLEYLDLSNYFLEGPNSSVPEFLGSMRNLRHLDLSQIPFSVPPMLGNLSNLRYLDLGWMQNSYSTDISWLANLQFLEYIDMSNTNLSTIVDFPLVANMISTLQHIVLINCSLQSADQSIPHFNLTKLEELDLSRNFFGHSIASCWFWNATSIKTLSLVSTHLGGHFPDALGGMVSLQNLDFNDNGNAATMTVDLKNLCELQTLWFDGSFSSGNITQFVERLPRCSSNKLFFLSSVANNMTGMLPNAMEHITRLTFIDLSNNCLTGAIPPEIGKLTILDTLYLSSNQLSGQIPLLPKTITTLDISMNCLSCHLPTKFGAPNLTTLILSSNYIMGEVPESICELRDMQILDLSNNLFEGELPRCSSMQNMLFLKVSNNSFSGVFPSWIQSCSSMVLLDLSWNKLHGTLPRWIAELERLKFLQLSHNMFRGDIPVNITNLGSLQYLNLASNNISGLIPLTWSKLVEMTLKYPVAPWTFDSFFDGQQAEVLSLVMKHHVLMYGPSGIGGMFGIDLSQNYLIGEIPDEITFLKDPSIYDGNSGLCGPPLRSSCSGSNSTESGKEMPRENDSETIYIYVGCFWGFTFGFWVVFFVMFKRKWRISYYHCLDRAYDRVYVFVVLTWDSHTSAKFLVLVTCNFILVASALQQPKLAYDNVAMLSCIPRERDALLAFKHGITNDTSNMLTSWRPGQDCCRWRAVTCSNRSGQIIKLDLHGSDLAGQISPSLLSLEYLEYLDLSSNSLASPNYSIPDFLGSMKNLRHLDLSNMNFSDRVPSFLGNLSYLEYLDLSGSFWEWSNLSYFPEFMSSMKNLRHLDLSDMPFTGTIPSFLGNLSNLHYLDLWGSYFYGSVPPQPGNLSNLQHLSLGAWSQNLYSTDISWLSHLRLLESLDMSCIYLSTIIDWPQVLNTLPSLNMLYLESCLLQSANQSFTHLNLTNLEMLDISYNHFDHSVASCWFWNLTSIEVLDLSGTYLYGPFPTALGHMRSLKSLSFDGNGNTAMMQVDLKDLCALEALSLDESLSSGNITELVEKLPRCSSNKLQYLTLEGNNMTGSLPDRLSHLTSLVILDLSNNSITGVLPPGIGNWTSLWLVDLSNNHITGAIPPGIHLTVGSTPLGPLDLCFGSIDLSNNHLTGAIPSEIGNQTCLRYLDLSVNHLSGVIPLGLGNLTNLETLILHSNQLTGQMPSLPRSLVMLDISTNLLSGPLPQDIRAPYIKVLTLFSNQISGHIPRSICGFQNMHVLDLSNNFMEGKLPKCFRMPNMVFLLMNNNRFSGMLPPSLQSCSSLAFVDLAWNNFCGTLPLWIGDLMNLQFLQMSHNMFYGDIPASITNLRRLQHLNLASNNLSGGIPWMLSNLTAMTKNHWKKRGVNMSRWYRKRVGEFEEVIPVVSKS >Et_1A_006312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20413604:20415044:-1 gene:Et_1A_006312 transcript:Et_1A_006312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTNATTTMLKPVYSTPHPLAGEKLPLTVFDLAAFDTYVPTVRAYAAPAPSNEAIKEGLLKAVALFPPAAGRFTVDGRGRRCIHLNDEGVLAIEATVDSADLADLLADGVAANFDDIMYPAFPEENVGAALLQVKLVRFRCGGVVIGTISHHFLGDGHSFSVFFATWARAVREGKGFAAAPPRLPFLDRAATAVPRQPTPTPAFDHRSIEFDDGKKPHTVVPMDKIRSLTVHFSAEFIAELKARVGGRCSTFQCLLAHAWKKITAARDLAPDEFTRVRVSINVRGRADPPVPADFFGNMVLWAFPRMRVRELLSASYGRVVAAIRDAVASVDAEYVQSFVDFGAAAGVNGGRGDGEEEEEEPKATAPVAGATLCPDVEADSWLGFQFHQMDLGTGPPCVFQTPNLPVEGIMIFQPSLSAKGGAELFVAVAEEHVDAFLQICHSMD >Et_7A_053079.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6156066:6156377:-1 gene:Et_7A_053079 transcript:Et_7A_053079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Et_2A_017011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30065737:30066416:-1 gene:Et_2A_017011 transcript:Et_2A_017011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPPGHINQHLDRLSRFVYNPWSIQPHSCIPIACATTKCMDKATIMRERDPLEVNNIWFPNIDENSTHRDGAIYENMPLRKYWIDYNIDITDRNESKWSK >Et_7A_050642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9549006:9550943:-1 gene:Et_7A_050642 transcript:Et_7A_050642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANQWSCEGNRRDAVAGSHVGDPRRRAREQWGTSRSYFRVDGRDPSAAEYARPAAAQKRRPQYYGSGGLPQPVRFVVSAAAGSCAASSGDPERPRVPGPNCDPPWRAPEAGSLASNAVVANMMKRMNYREGSGLGKNGQGIVAPIELALRPKNAGLGTVEGSSRDDADEPRPSADNWPRWDDAGGAKKCDGEEISGEIVLSRPLEKGIAIEAVVKIQNALAQAAHGGGGQGNQCFGEEMAAIAKAMEWVQAASASGTLTAVDLISKLKDLKEKYQRDYAAFGLADAARAIVAPPLRSLFQHWDPLTNPSRGLDAVNTLRDALLDDGSSESPLAALVDDVVGGPALASAAATWDARDYAPMFAFLEAWGDTLPLPAVRRLLERVVVPKLAAAVEAWEPRWDPAPPCHAWVHPWAPLLGRCCRPDLERRVHAAVRRKLGAALAGWHAPRAGADLHMVAPWKDAFGPEMWAEFVGRSVAPYLRAGLRALRVAPPMAQTEYAAFRAVMRWVSSSVLEAKDVTRLLEEEFFGRWMEALRRWLLAARPEVEQAVAWHEGWRRLLTPELLADERVRVPLEAGLVMIRRWEQGLEIGRPGRRGGHAGEARSCRYRSRRRGGVSHDSSNRY >Et_8A_057519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3912316:3913845:-1 gene:Et_8A_057519 transcript:Et_8A_057519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKGSARVWASGHRALLAARGGSSQCLRADAGVTSKRLAVFVSGGGSNYRAIHEAAISGRVKGDVAALVTENKPGDDACGSSFFQLVGLLGRREARKGNGAPRTGGCLSEMEGVAGGCVDGWAVELNALRLLEVCCLRMYFLKDTNVCLGIFKIHSEYPPITPRSIWRQRVVVVVLQSITVIFSSNDASLKGGIGATVIFAVYDKFHFSFGLCILWISKLTLEEH >Et_9A_062403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22538125:22540433:-1 gene:Et_9A_062403 transcript:Et_9A_062403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAALVAALVLVGFASAATAQMESCNQELPPQLVGNYSGLACQPVWNNFVLRYHQDKNNVLRIVLSTMYSTGWVGMGFSRDGLMIGSSAMVGWMGKKGLPHIRQFSLRGKTSSKVVVDRGFLVSNDHDHTVVVQQAKIYIAFQLKFSYRLTNQHIILAFGPGIPTKNKLSKHQDKTSFTFDFTTGKAVADGSFPYGLRRAHGALNLFAWGILMPIGAIVARYFRRSDPLWFYLHVGLQFVGFIIGLAGVVAGVALYNKIQADVPAHRGLGIFVLFLGILQVLAFFLRPNADSKYRKYWNWYHHWAGRLALFFAAVNIVLGIHVGGGHTSWKVGYGFNLAVILVAVVALEFLLWTRWSKDSAPTPTY >Et_6B_048381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17348095:17349156:-1 gene:Et_6B_048381 transcript:Et_6B_048381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELQSLGNLHRLSLQNLEKVSDLLDVRYARLQHNTKLESLSLRWNTDSNDLTPASAVLESLQPHRRLKALEIVGYQGTFPYWMTTTEPYLMSLVEIKLEVWEQVHRADMFPRLAELSIIQCPKFRTLHMELPSVEKLILWMKTKALNSLKGALRGVASKLEHMSISFSEELLASSDCNGLKDLCKLTKLEICGCDELTCLPQGLKHLSSIRSLTIENCSKLETLPDWLESLPSLQIMRLSRCPLLRYIPEGLQQPPGVIIYIEDCPNLPEHTISNFPAQSSGMLKLECILHESC >Et_1B_012331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3095615:3102061:1 gene:Et_1B_012331 transcript:Et_1B_012331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTPEEDQKLMDYIQKHGHGSWRALPKLAALANRCKLDKTRWSAIAKHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHRPRTDFFAALPQLIALANLRQLVEQRPWDDHTARLQAEAAQAAKLQYLQGLLQSAASIATSPSSNSINTIPSDLEQIGLLSPPQMSSLSSLPSPSFLESISGQDIAAAQVHDIQMSSSFFEQPISNDANQNPDYTAKGSVEEYNDTPKTLLMSENSLPPLADFPISNLGDACSTSSCGGSNTQFPSWPELFDEQFLSDKQWSEKYTLLRMRLNSLFLNSWRAERLRRSRKSSPLRSTTSSSSCSVDMPRISSSSCSLFAIGTNAAAAPLPAGCGAAASPEKNLVAGAPTVRRPRKAVRGAGEATPRGVETRGDAAARDMVAIVLPLRSRSTLSRFGSRIRGFDNEVVYLVV >Et_10B_003874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:767589:769744:-1 gene:Et_10B_003874 transcript:Et_10B_003874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWGSRKAAKARVPAWYVSATSSNTGMVPKGYVPMLLVDGEEGEQGQKIMVHVRVLREPCMAALLDMAAQQFGHSQSGVLRIPCNVIHFEQMINGKLQGTKAAVPAWYACATGGKVPKGYVPMVLVAGEEDDRQSQRILVHVRMLRASSMATLLEMAAQQFGHDQRGVLRIPCDLTRFEKMMDDQWVDV >Et_2B_019615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11033688:11036377:1 gene:Et_2B_019615 transcript:Et_2B_019615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQVNKDQLYTQGVRHKMQSLLRSVCRAGSREASAVKFLVCVATTPAVAQPAANQAPVNLLSPLIRPVGSQVLSHAGFCVKALSLRSLMTAGNAEVALEEDVPTSTAVEHPPRIKFKRPDKTARHILNILNKEAVEKVRSEREIPDVQPGCIIQMRLQVPENKRRESTLKGIVIGRRNAGINTTFRLRRLVAGVGVESVFPLYSPNIKEIKILDRKKVRRAKLYYLRDRMNALKK >Et_1A_009584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8629399:8631047:-1 gene:Et_1A_009584 transcript:Et_1A_009584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPRCYSFNFWPQTEEGRRQKIKTITRVLSSAVWPVFGILSEEEAHKHARVIERNCFAAADDLFMKEPRGNGRSALRLYETESCKMMWEVLSKARKTTADDEAAAVNRHLKPADTVFDISNHKVTAIGASGARSLLKPLAAPGQSYKKFCLRNRSFGLDAATFARPVLDSIKKQITDLDISGFVAGRCEDEALHVMSIFSKALQGSVLRYLNISDITLDYKGVMAFKELLESQKDLEELYVMNNGMSAEATKALSELIPSTGKLKVLHFHNNLIGDEGAMSIAEMVKRSPNLQNFKCSAARIGFDGAVALVDALGTCAHMEKLDLSDNLYGLDAAIALRKSLAKLPERTELYLSKQSLENKCPVVMVNVLKQKAPAKGDPVVAECSTVVENETEWEVIGNEEEEDNVRDDEGWVWVQKKGFEKH >Et_3A_023128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29937811:29940565:-1 gene:Et_3A_023128 transcript:Et_3A_023128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQLDPPAPPRRSVSTSCDLHPGEAFTGFCAACLRERLAGLEASAAAASAPGRKSTSAIRSLFARPFGAGAGGGASASGPAEPPDLRRSKSFSCGRGGDALAATAAAAARADEPQRRSCDVRGRSTLWALFHQDDRDRVRDGTAFGAFPASSSTAAAALAADILPQPQAPCVPDEFFPVDEEEDIPVVMESDEIIPVEEEPVDAMDTSGEMGADVNAAAPEGSKPIKDHMDAEASAQGKKASPKDLKEIAGSFWVAASVFSKKWQKWRRKQKLKKEAAVSKAAAAAMPPPEKPSKPSFLLRRRRFRGEAGSELAGGRRSCDTDPRFSLDAARMSVDDAGFSWDEPRASWDGYLFGAGGGIGIGRAPPPLSRLPPILSVLEDTPTDIVERSDGQIPVEDDADFDPPGGSLQTRDYYLDSSSRRRRSLDRTSSVRRPSFEVGLERTSSVRRPSFEVGLERTSSVRRPSFEVTEPVPVPVVTGGNGKESPIGGSEFYHFHHAEDLLDRGFSSNSLIEDISASLEAALSGPAKKPRRWRKAWSLWGLIHRRAAGGGGHRSGGPSDIADRSFSEPWPDLRVRGANPKMQRCNSGLSARSSFSSNSGGLGSSRRSYVDASGNVKQHVLERNRSARYSPPGRAAADNNGMLRFYLTPMRSGSARRGGGLPSKAGPGRPLTSQSFARSVLRMY >Et_4A_033517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24001790:24012477:1 gene:Et_4A_033517 transcript:Et_4A_033517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTQPSAGERDPLLTSSASPPPYLDPHPADSYAVLLVPVRLRRRLRRGCGSRCLGPLLCVLAILAVAGFLLWPADPDISVARISLEHVSVAARPAVAINISAALKVRVRNPDFFALDYNRLAVDIGYRGSQLGRVTSGGGRVRARAVSYIDADLHLNGIRIVEDAFYLLEDLARGSVPFDTVAEVEGHLRFFFFSIPVKGRISCVVHVNPHNQTIVHQDCYPEDVIKFKCGQYNAQRHYLASLAFDDACCCCRGAGAGTGRPLSRQLRQRLSTHPSTSRSSTLGATCRPPHTRARTPASTAASTIAAMITTSVSTDRAAACCCCRACRAYDAPPATSCSDLLITAAAAIVVRSPHSVVCTL >Et_2A_017524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35140038:35147226:1 gene:Et_2A_017524 transcript:Et_2A_017524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAFDAYFRAADLDRDGRISGQEAVAFFKGSGLPQPVLAQIWTYADKNQTGFLAREDFYNSLKLVTVAQSGRELTPDIVKSALYGPAAAKIPAPRINISAAGPQNGAVAIPLNATQTLGSAQPNPATKGSQGLPAASPNSQVLQPGNVVRPPQPPNASNTAPVQGISPRPPVGGGPSGLNHTNSNTANLSTDWFSSKRSASPLGATSQAPPRGISPQASLSSVGVSAQSPTPIAGYSPHTQPAATPAHANSADRNMLSSQPSPSVNDSKALVPLGNGLPSNSTFGADPFSTTPQAKQDSSLPPVLSNILPSPTPPGPAAGSLQPPRPVQGGSMQGISSVPSHTGQLPQNQPAPRQHQVNAVPSSLGSVSANGPGGQIPSNTNHSQVPWPKITQADVRKYMIVFIKVDRDRDGKITGEEARNLFLSWRLPRDILRKVWDLSDQDKDGMLSFREFCTAVFLMERHREHRPLPDVLPDNIWAEGTSLPSTGQFAENPSGPAPHPSAGFGSRGMQGPQHGMLPSSMKPPSRRPLSLDADDAVQAEQQKPKIPVLEKHLIGQLSKEEQDALEAKFKEASEADKKVQELEKEIMDSREKTEFYRTKMQELILYKSRCDNRFNEVSESMAADKREVQSLAVKYDERCKKVGDVASKLTMDEATFREIQETKLEIYNAIVKLQKGDEEDEKLQERANKIQSDLEELVKSLNEQCKRYGLRAKPTTLVELPFGWQPGIQETAAAWDEEWDRFGDEGFSIIKELTVEVESPIVQKSQPTVEDGKVSTNGESADKEGTKSDKSAAAEQSGKPEDTHTDSKPKSTKSPPVSPVKNKEDGYTDESDKKQPGTNDVSPHATESIRYTFGFHSDGENGDSDLFFGPQGLPPIRTGGSSSGSSFVKEQKPLFDSVPGTPMEKPFFDSVPGTPMEKPFFDSVPGTPVQKSVFDYSVPSTPVQKSVFDYSVPSTPMQKSSFDYSIPSTPMQNSLFDSIPGTPVQRSVFDSVPSTPMQKPFFDSFPSTPMQKSLFDSGPSAAESPTASSMYGKEQMGFFDSSVPSTPMYNTGLTPRYSEAGDDSFDTMSQYSSFGMNENNSFGQRDSFSRFDSFRSNADPGSNDTFARFDSFRSTADQGGDSGFMRYDSMKSSSDHDRSDAFARFDSMKSSDYNSRGYSFDDDDPFGTGPFKSTETSSPTRHGTNTWSAF >Et_8B_060167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6660445:6663254:-1 gene:Et_8B_060167 transcript:Et_8B_060167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESESETPIYDESPGFRRRLVDYAGTGFLYGGVRAVLANGPRVAARWGAYAAVFCAFERAMFLARRREEEYDTWNSVAAGAATAGLFGARRGAASAARSGLLGAAVVAGFAGAFWATEFLHSHVVSCRVNRDVVSPAPVVRTGSGPRPTVDGCWGAMSGKTPTRFDRLIDVSGDGFLLGAATGSAFHFVKGLAAGSPGGGRRLAGAAVANAPRVAYAAVYCASKSAVAIARRMDDDPWNAVVGGAASGGLLNGRRGAAAAARCAAVGAVAAGAVLAFLWADEQRQGRVIAERDAQMYRELPRPKEDEPRPRIIPPRTMVPRVFAAAGASPEKGVASSSAIHVLI >Et_6A_047138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25808818:25809786:-1 gene:Et_6A_047138 transcript:Et_6A_047138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKKASCWSRVLDHRPSPLLGRRCQLVLRVQTSDAEVEATAPMLRGRRPMKILYSSDFIVLVPSDCLVTLVIVCKAWRAIIDERWLLARRLLPHAVHGIFVNYQDHVIRQLPRPRHAALLRPSGGDSGGKPPDRRRVPLHREREKMLEPRPGPLQWPRPLQGRRFCILLLIAFACKQN >Et_5A_042595.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:21382586:21383083:-1 gene:Et_5A_042595 transcript:Et_5A_042595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQVERLDALVTMIRSAVEAAEGVHIRNWWLRRWLWKLREAACEGAAAVRSFRQRAAEDTGRDNAGPRPWCLRTARSLFFRDGGAAAMKSTVARLEEVAGGLGDFLKLLEMEIRRVPLHQPPPPAAVHTGMRVLGAIGFAAGATVIWIAFQVRTKMLRRRGGTR >Et_1B_011572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23717018:23722316:-1 gene:Et_1B_011572 transcript:Et_1B_011572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAADTSVSPDMERAWYLLTVVLRLGRPSAASDVAAAAAISPCIVEQVCHIPESPLCLSDGGVVTASQTAVLTFLRFVGFDVPPPRVSLRPSDVRRYWGEVTITYVRKRKASEARCLSGKRRRLLAPDADLAEHKQPKSQQRTAQSNSRVVTGEVHLDITQELLDRLSTAHKFVGEPSEFCTGLTLVPGVGISMPYLQARIDQSPRGDDGSVPGNMASALVLTELSDSPCGINIPLLDPEKPKDIYTAVDKITRTGESEDVPCHNCRVEDSDDPEKRSALPPTTIHAVLVGEKNNGVEEDINLVCKMPVSPTKCNIKIEDNMEIGDMISNNADAIQYDIPNARQRENVPMCGQEKNPLGGSTCAELHKNKAPQILLQPPTDTKTESLLPEMKRNVELATLPQEATRIDCVNKRNLNSPAENKESTYLNHGEKTENEDTGNIANNRQDRKIVKQREKSKKNDGLPKDDKDQLAKAQKGHVAPKPLPSFEGYLVEEEEGSGGYGTVYRARRRVDGQIFAIKCPHPNANIRYVHNEQKMLERFGGKNYVIKYDRSLRSGDLECFVLEHVEHDRPEILRKDINMLELQWYGYCLFRALAGLHKQGIVHRDVKPGNFLFSRQLMKGYLIDFNLAYPSSNFAPLVHSKEAIADLKQPLPSKRKRSDRSPVDSTPKIDNKNLPRIDNKNRYGSQAADASGVLFKSVHQGYKVDVWSAGVTLLYFIIGKAPFGGDPEQNIKEIAKLRGSEELWELAKLHDCESSYPSELFDVKSLHSMDLRKWCAANTRRPEILNRIPDSLFDLVDKCLAVNPRCRLTSEDALMHKFFAPLRDSLRKERVHRRSAGSDAASSSQSNSLAVKQS >Et_1B_009792.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27166606:27167708:1 gene:Et_1B_009792 transcript:Et_1B_009792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASRKRPAPDGSCSEAAAAAAAKKRARYNFTDIKDYERLEDLVEGTFGVVSKARHRRTGEKVAVKWIRSDSNGASDLDAVVREGGCLAKCRGHPSIVQIKDAATDKATGDLFLIMEFVGPSLRDWLTRPVSEDVAREFMGQLLSAAVTMHAAPMIHRDIKPENILVGAGGELKICDFGLATPKPPPHPELRVGTLPYCSPEQLIGSRCYGTAVDMWALGCVMAELLIGTPLFTATTEDDMLEQIEDLRDGIASMGLKAFDDLLDLSPAGRELLAGLLSIDPRQRLTAKEALGHRWFTE >Et_4A_035348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2142118:2145671:-1 gene:Et_4A_035348 transcript:Et_4A_035348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAASLTAAASAAATAGGDPAALHAVLVKTASSSRAAYNLLLSRYPPSLSERLLSRLPFHPNAASLTSYLASVSSSSPSSALPLLRRVLGMSPSLLADGPLSSLLRSMSPSVAPQVHSLAFKLALSSCPFSASCLITLYSRSRSPLAARHLFDEIPVESRDTVCYSSTIVGLARNGCYEESLSVFAGMRSNAVDSTMYALSGALRAAAGLAALEQTWGIHAHAVVVGLDGNVAVGTALVDAYGKAGVVDDAEKVFEGLCDNRNLITWNAVLAAHAQQGDVQTVVGLFHQMTEMGFAPDGLTFLAVLTACSNAGAASEAEFWLETMQSKYNLKPGLEHYTCVVGAMARVGRLEDANRVACTMPCKPDAAVWRTLLMGSVVHRKVDMAEAMGQRLLEIDPNDDSAYVMLANIYSAAGKMDEMAGAWTAMRDRGVRKEGGRSWIEVRGHVHVFVANERRHEQLPEIYNKLNELIQEVEKLGYKEADEGFWHHSERLALAYGLISGAVPSGKALRIVKNLRICAHCHEFFKRPWEVLTSNESSTNYIGSQALGLMLNKTSGRFQDYLFCTKLGKIGWLGQPGVFVICN >Et_4A_034566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4347758:4348493:-1 gene:Et_4A_034566 transcript:Et_4A_034566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQSALLPEAKRPPCLSLAGGVGGGVVASTATSKKRKREDLGGGDDGHEDSRGEVVDGIELNFDAAPLPPEWQRCLDIKSGQIHYYNTRTHKRTWKDPRADAEADDEYEEESVNCAAAGLDLELNLNFEPRRVVTTVREKRPKPSADRRPPAVEAEMVPAVCVRCHMLVMMCRASPACPNCKFQPTAPSRAAPPPEPEPLKLGLQLLCCRD >Et_1A_007493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35117695:35119542:-1 gene:Et_1A_007493 transcript:Et_1A_007493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVDILKKRNVSAHYNGKNSKFLHRDHAFCFRFTCRNRSKGKRPPAATHLLVSTRPHHRRLPPHGLRAPAPPFPDHIRARSMAAKWAQKTVVVPAQRRVCHLITPKILREIESDLAGFKCGLAHFFLQHTSASLTINENYDSDVQADTETFLNKIVPEGRNAPWKHTMEGPDDMPAHIKSSMFGCALTIPITDGRLNMGTWQGIWLCEHRDHASPRKIVVTLNGV >Et_2B_020623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22055967:22069393:1 gene:Et_2B_020623 transcript:Et_2B_020623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHVSLFSLQIVLVCRNVEFAHPGSQDQICGQQSRAGLSPAEQASAEDNFASLYCKPVELYNIILRRSITNPLFTQRSLLYKINARQKKRIQITVSLSGSTNTELKELNIFPLYVLLARPTSNVSLDGGKSGILFVKITAQGIMWKALLFKHGGKCSWGKIPINLLASSLENCVDLNLGHTIELASTVTMSQSFLETKFLEQDSCLTFCSHKVDATGSYQLQLCISAQEVGARAMFLSPYNSYSYIDVPPSSLSITEDFSCAFCLVRCGSFKVSEKCQAVNVRLKTDVWRTECVGAGVDPRHQTFSYISSKFKRRRQLKTTTEKIKGVHSHIIGLGSPEDVQAVSEGEYVHGENGTSIDPALSLHGSNLSQPTVLQFGKTRKLSAERADPRNRQLLQKRQFFHSHRSQPMALEQVFSDHDSEDEVDDDIADFEDRRMLDDFVDVTNYEKSIMHMWNSFVRKQRVLADGHIPWACEAFSQLRGKQLVQNPALLWGWRFFMIKLWNHSLLDARTMNKCNTILQAKLALPCPARIERQGIWIAGAC >Et_3A_026367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7362717:7365031:1 gene:Et_3A_026367 transcript:Et_3A_026367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVDEEGNSQFASLKNIRTLELASCNITRLPDVLRYLDKITSLDLSSNHINGVIPRWLWENWKDRLRYLNLSHNMFTSIKKSPSFVPMVYLYALDLSNNRLEGNVPIPHSKARTGTILDYSNNYFNSIVPEFGKYLSGTTYLDLSKNKLNGPIPTSVCSAKKLQILDLSYNYLSGSIPPCLTERNLRVLKVRGNQVKGVFLDNITEGCMLETIDFSNNHIEGKLPRSLTNCLDLVLLDVSNNQIVDSFPSWLSALPHLRVLVLRSNQFSGMVRDLINKSDQNMTHFSSLQILDLASNNFFGNLPQGWFNELKSMMMNDNDKGLVIGQETNSLEGFYRDTITITFKGFNIIFTKILSTLNGPIPKSIGRLISLHGLNMPYNNFTGEIPSQLHNLTRLESMDLSSNHLSREIPPDFTSLTSLAWLNLSFEGNAGLCGSQVSKKCENPASTTPQTSDHPESNETILFLTFTGLGFGFGFASAIMFQHFHHIDGSIPITIGNFVLLNVLKMSHNSLTGSILSRFGHLTQLEPYPSGVSNVGLSYDIEPVLQQVSRKHTRITSIHDIPIAVCINTTTLNVASHHSNKEPADIPLFLLPDWDLVLDLQLKLLWHGEFSLVANGLEKVQEGITIMHSSGMKFPYSGSSCNQGSLFINSHCWQRGNSTQ >Et_7B_055361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9684302:9685699:-1 gene:Et_7B_055361 transcript:Et_7B_055361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQGHPSGDVRVQGRPRRPCHVCAYALDASGAQVAHGETTPAYFNVAGVTGIHASLEVADGVFSDFSVAAPAARSDIGVICNSAFARISQRGVVVLFLFLSERLTLRTETYLLNMQELEQEDRLSDLPDDILTFILERLKLHEAARTSVLSRRWRHLFGQRSSIRLDLGAFRRKQKGSEFTRDDLAKSNASLNQATRSMLSHTNQHPIKLLRIRFHLMEESIEVVRSVE >Et_4B_036287.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:15386939:15387184:-1 gene:Et_4B_036287 transcript:Et_4B_036287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTASSAAILALTVTLLCGALVAAVDAARQLPAIDARVESQEMTAAPVAYTTLHKRAWSTTATAWTQQLPSGPSSRGPGH >Et_1B_010106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24287730:24288884:-1 gene:Et_1B_010106 transcript:Et_1B_010106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPARAAGSTSGASSRASTRTSSATAAPPPSRSPTRRRRRPRPRPASRSPTTAWARGRTRGAGPGTAPTPGSWRPSSTAASWSGAASSTTRPAPTPCSWPTSRSSPSSRARGRGRSTPSRTRRPSTRPRSRGCAPGSPARGARAATRWCSSRGAPPARRAPTSGAPSSPSAPTAPTPPASSSTAPAGSARTTRPGTCAPCCGRSSACSRPGTRPRGGPRSTPSSPAACPSSSRTSRRGGSTAGTCRPRGTTSSRSTYRRRPWCGECGSARWSTPRG >Et_4A_035417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2409999:2410633:1 gene:Et_4A_035417 transcript:Et_4A_035417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQYSEKYFDDTYEYRHVVLPTEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQDAAAAAAAQMLPK >Et_3B_028211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11863749:11876846:-1 gene:Et_3B_028211 transcript:Et_3B_028211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPAAAAAAAAAAISAAMDWRSSPDARAAAFAYLESVKSGDVRALASTSFILVRKDQTSEIRLHGFKMLQHLVRLRWEELSAAERNEFANLTINLISDVVGPHEVWALKSQTAALVAEVVRREGVALLNTLLPSIVSLSNSGPIEAELVAMILRWLPEDITVHNEDLEGDRRRSLLRGLTESLPQILPLLYSLLEKHFVAALSEHTNQQMELAKQHVGTVTAVLNAVNAYAEWAPVIDLAKYGLIHGCGSLLSYSDFRLHACEFFKVICQRKRPADVAVGEYDAAMSNIFQVLMNISQQFLTKSGTQPSAVDESEYEFAACICETMVALGSSNMQCILADGSRTFHFLKQMLEYYQHYKIALHFQSLLFWLVVLREPSKAKSVSRVSGDNSAAGISSSTGDNSVEKEKKGVSVFVTDEIYKTILDVSLKKMLKNSGSTSSGLVEIWSEELEGKSDFCNYRTKLLDLIKVIASQRPVIAAASIVQRINVVFGDTNQATKSPQNLDAMEGAQLGLEAIVSAIFDGSFDYEKANQETKLQIHNIFGGLLQQLLSLKWTEPNLAVIHGHYLDALGPFLRHYQDAVAGVVSKLFELLTSLPITFQDPSNDSRLARLQICSSFIRISRAADKALLPHMKNIADTMAYLQGEGRLLRGEHNHLCEAFLLMASSAGIQQQQEVLAWLLEPLNKTWTQEHWQTAYLSDPSGLTSMFADTQFMWSIYHTVTFFEKALKRSGTKKSTTPHVPTTTAVPGYLHPMSSHLPWILPPLLRLLRCIHALWAEPFAQSQTGEIRAAKSMTVAEQASLLGETGKLTKGQVAPADGLLDSQREGESKENNIRNWLRGIRDSGYNVLGLAATLGEPFFRSVEGSSVTVALMENVQFMEFRHLRQLIHLVLVPFVKFCPSDLWHVWLVNLLQPLFVHCQQALHYSWSNLLQEGRAKVPDSIGNLSASELKVEVMEEKLLRDLTREVCSVLWILASPGLNSGLPSLEQLGPSNRMDSSLRGLESYASSCLTGFLMLHVGAALPALRISVEVFTWTDSEAVTKVIPFCGALIHLAVATNQAELRQFVAKDLFFSIIQGLSVESNSIMSAELVGLCREIYVYLSDRDPAPRQVLLSLPHIKQEDLLAFDDSLSKTASPKEQKQHMRSLLLLATGNQLRALAGQKITNVITNVTTRNRSSTTHHGSSAEEDGHIGLAALSST >Et_9A_062024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1917730:1920531:1 gene:Et_9A_062024 transcript:Et_9A_062024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQSSFLAVAPVAKPGAGARVALPSRRARVISSCLAVPPPTTGAAAASAAPRELSAASRAVVEDEARFLVGTYKRSEVVVVSGRGCKVYDADGREYLDMAAGIAVSALGHAHPDLVAVAAEQAATLVHSSNVVYTKEQVELAKRLVETSFADRVFFCNTGTEANEAAIKFARKFQRVARPGGDAPVEFLAFSNCFHGRTMGALALTSKSQYREPFEPVMPGVTFSEYGDVEEAKKIIKSGRIAAVFVEPVQGEGGIHSATKEFLQGLREACDEAGALLVFDEVQCGLGRTGYLWAHDAYGVEPDMMTLAKPLANGYPIGAVLLKEKVAATIQYGDHGTTYGGNPFVCKVALTVFDKIQNPGFLAEVSKKGENFKQLLRTKLSGNPHVKEVRGIGLIVGIELDVPATPLVNACLNAGVMVLTAGKGNVVRLVPPLIISEKELEHAADVIRDCLPALDKPCKHSDDGRHRDGGWLSLLVILPVIIVLVIFIRPSDDRAGEHVRVGRVPVEVVEPAPAGDLRGVGLDARQRRPPVVHLGRERHPLPDHVAHLRRVHPEDVVHLLPREREEVRRRQGCAAALERGPCGRQVVGVRDEDERRRRDELQVGPGLPPEHAAGGEPDVAAAALHHVAEELLQVALRLRGGALVWQLPFEDELRRTRSLSVVKC >Et_7B_055509.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12845482:12846051:1 gene:Et_7B_055509 transcript:Et_7B_055509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLSPQHLLLVVVLAAGSRAALGLGAVEDTCTKATAGGKRADLAPFCVSSLQAAPGSDGADARGLAAIATNLTLANYTAAVVAVKALQLRGGWSAAERAALATCRARYIEALNVVHSAVHALAAGKAQDYAADMAVVQSAATDCERAFAGAGAGTEEAAGESPLRKVDEDAVNLTTVAMLIVASLE >Et_8A_058018.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1422050:1422991:1 gene:Et_8A_058018 transcript:Et_8A_058018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLLLAVVTMVASKAADALVQIITLMWSVETQRDKLERQLLAVQCMLADAEVKAETNPAVRRWMKELKAAAHQADDVLDDIQYEGLRQEAQDGAVSKILRYFTLHSPLLFRLIVSKNLNKVLDKFEELVLEMRTLGLVECVEVPRPLYQQAHATLDESADVFVSGHDKEMVVKLLLDQRDKKNVQVLPITGIGGLGKTTLAKMAYNDCKVQKHFELKMWHCVSENFKATDVLRSIIELAANEPAGVPETVEFLRQLLHGVIGRKRFLLILDDVWNDEQRKWEEYLRPLLWGCLAAGRSVPRHSCSRHTLWR >Et_1B_011927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27185956:27193615:-1 gene:Et_1B_011927 transcript:Et_1B_011927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAPSVLPSGLASPSLARPAAGSLRPAHTRLLRHGSAQAGSAVCHVGRGGARRFPVSFAAGGGAVGDAFVIEGTTNVKFPRELRIPGHADPLVILGTGYRDKFFVKVYAAAFYVDYSLGIDTEQWKQKAGIEIYDSSSVFASIFKAPVVKSLRIILVRDVDGKTFVNALNDVIARQIKKTTAEEESALSTFQSTFLGRNLKQGTSINLTWLEPSRMLISISENQDPVQVDAEIKSATVNYALYDGFFGDSPVSPSLRSSTAQLLEALLTNLH >Et_4A_033032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17351365:17361308:1 gene:Et_4A_033032 transcript:Et_4A_033032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMTMGAKYKTTVKDPGTPGVLRMNEDKFTFTPNDPRSAMKLNVDFRGIKGHKFNKVDGSKRAPPLLNLFKDSEKGGGYIFEFDNVANRDLCRDFVARVLGKHQGTVPSRPNVPPENLGASTGSEQLSSEEVDRRMKLLREDSELQKLHKKFVLGNILQESEFWATRKNLLDGQANKASKQKPGFKSAMLADVRPSADGRTNKITFNLTTEIIHQIFAEKPAVHRAFLDFVPKKMSEKDFWTKYCRAEYLLRTKNTVAAAAEAAEDEELAVFLKNDDILAKEAKFKIKRVDPTLDMEADVGDDYIHLPDHGILRDGSKETVDNDSELARRTLSQDLNRHAAVVLEGRASDVELIDAKTVAEALVRSKKEPSSTSAADDANHERVVNVARMTEIEDLQAPRSLPYAPLCIKDPRDYFDSQQANALGSFGGNNDVRKARDCRLSPDDVFHHLRDQISSIEINKLDDLVVRSDMSLKVLNELNEGILRSRRLNPKNPQESLLGRLPQHTRDELMDHWTAIQELLRHFWSSYPITNVVLYNKVCLHHLYLCTFASLSGIQVESFTTSLVALWLLFLEYNISKASRKALNFAQVFRYWIIFGICTMFLFQWSDFACFIRLGAMQIPPSVRESVQETTLVKGNVQRVKDAMTQIYHKLQTVKESAQPDVRHEISQLVKPMTQALDAAFNHDLEHQQKSSKAGSKFNGF >Et_7B_054620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2906435:2929282:-1 gene:Et_7B_054620 transcript:Et_7B_054620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGGLTDMVYFPVFIFLLLICSCKSEDQLTHERPLSPGDILVSSNGVFALGFFPPVSSNTSLYVGIWFHNLSDSDSSRTLVWVANRDSPATSASSTSTWSSRPRSWRCSTRISFAAVAAAGRAAAARPAFMLSSSSIVYQTIVNTGDEFYLVFTVSDGSPYTRITLDHTGTMKLLSWNSNSSSWVVISERPKGRYGLYDSCGPNGYCDFTGDQPACHCLEGFEPIGANSSKGCRRTEELQCGKGNHFVALPGMRVPDKFVLLQNRSFEQCAAECSRNCSCTAYAYANLSNAGTMGDQSRCLIWTGELVDTWKSTNYGEKLYLRLSDSSPAVKWRNKEIQKKLMLWYLNTPNELEGKNVEFPFVSFGNIVDATDNFSDSNMLGRGGFGKVYKVTSLTLTTIGYYVLKGRVKQTNKQFWLSSFSNSEMQSCREYWTVARKLLSKGLVEILDKVSMNSEMKWFYLSNYNTGTYKRASISPSRFKLTIIHRDLKPSNILLDTEMSPKISDFGMARIFGANQQLANTTRVVGTYGYMSPEYVMNGAFSVKSDTYSFGVLLLEIISGLRASKIMEATCFHLLNILLLSFLLLSPRSALAADTLGKGSNITGSATLVSADGTFTTGLFSPGTSTKRYLGIWFTVSSDVVCWVANRDRPINDKAGVLVVSDTGSLLLLDGSGQTLWSSNSTSTSPVEAQLLDNGNLVVRNRGSTSFLWQSFDYPTNVMLSGMKVGTDFWNGAEWHLTSWRSADDPSPGTFRRVLDTGGGLPDNVVWNGNVKTFRTGPWNGLWFSGIPEVFAYKNLIEYQIVNKNDGGQDLYLRLPKSELGLPGRTIAVKRLKSKHGLPDRIVDYFTREVQVMSDLRHHDNVLQFLAYCNEGDERILVYEYMNRKSLDIHDRHVLLFQLTGNNMQKRALLDWKKRLHIIQGIARGVSHLSRDNIIHRDLKPANVLLDDEWNAKVADFGTAKLLVPDATGTGTRIGTPGYVAPEYVQDEGQTTLKCDVYSFGVMLLEILSGRKNNERNSGGTSLIADVWTLWDAHKRRESNIMALLDSSVAPLPANSELVHQLYRCIQIGLLCVQMNPLFRPPMLEVVEMLSSTNKDLSEPETPMLVFPALREADTSTPTVYGTVTDLEPAKPLAFPNDKLISNNGYFALGLFSPANSSNTCFYLGIWYNNLPERTVVWVANRESTLAVANQSELVVSNTEGRIYWSTKSSVTITGDAGASAALLNDGNLVLRSSVGAVLSQSFDHPTDALLPGMPFRVNYRTRVTNHLVSWKGPEDPSTGDFSFSWDASSGLQFFLLLWRSATWTGAMVASLNQRNTSAVRVDSVSSAPDSRMRSLRVVRPVRLLATPRRFLRCRCLSGKKLRRLRGGVPRQLLVHGLRLRQLEQRHERGRLDQVLVEEALVAGLSRLATHTRTSKFLLRGQETPLVMAEAATRFHNPYALIFSVLLLFLRASAAGTVTDTLSNAGNTTDGETLVSSGGTFTLGFFSPTGVPAKRYLGMWFTASPDAVCWVANRDAPLNTTTGVLAISSAGILRILDGSGRIAWSSNTTTGASAPAVAQLLESGNLVVREQQGSGAVLWQSFDHPSNTLLTGMRLGEDPQTGAAWSLTSWRAPNDPTPGDYRRVLQTQGLPEGVSWSGGAKKYRTGPWNGLRFSGVPETASYSQLITIRVVIRADEVAFSFNVTAGAPFSRLVLTEAGVLERMAWDPASRAWNVFSRAPRDLCDNYAMCGAFGLCSSLSTTTTQYCSCVEGFSPASPSQWSMMDASAGCRRDVALECAAGNGTTTTDGFVAVRGVKLPDTENATVDMSITLEQCRERCLANCSCVAYAAADIRGGGTAGGCVMWTDDIVDVRSVDGGQDLNLRLAKSELGKRRNNQKRMMQGYRSASELGDENLELELVSFGDIVAATNNFSEDNMLGRGGFGKVYKGILDRNRVVAIKRLGQGSQQGADEFRNEVVMIANLQHRNLVRLLGCCIHGDEKLLIYEYLPNRSLDSFIFDATNKYILDWHTRFKITKGISRGLLYLHQDSRLTIIHRDLKPSNILLDADMSPKISDFGMARIFGGNQQEGNTNRVVGTYGYMSPEYAMDGAFSVKSDTYSFGVLLLEIISGLKMNLTRFDGFPNLSAYAWSLWQDGKAMDLVDSSFVETYSPAEVLRCIHIGLLCVQDNPNNRPLMSAVVFMLENETTSLSVPIQPVYFSQRYPEPQGAGENTSNSVNNMSLTVLEGRSITPKLYVSDLTENAPSIAYSGAMPIEDLFTGSIYNGDT >Et_7A_051812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2580891:2585518:-1 gene:Et_7A_051812 transcript:Et_7A_051812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASVPVVNLDDDDDDVIPTTPPSSRNSKRSRSSASASPDPFSSSPPLHKRLQLAAAAIDLETPPPPRPRPSSAPKPPVHVVVDDDDTDPSLVPNDDVAETPDSVLARVGFSETPELSGPRSTVPETPGTVVPETPGFTSPRPGRPPAAHRLCSAGPAHKSSGDSSVISLDSDDEDDDDESDEALYKELAESPSDTADQKDGTNSYGQPILADLRHEDSTLHEESTPPDTDVGTGKKRSRGAKKQQNEEEKLSRQEAKRLKEEEKAKRAEERKQTQQVCLREKKARKAELAEAKKKEKEIKKWESGKDAIKRITAVIDTNIESGSVGDILLNGMADKGLKKKVTDNPIKRSILWKMEVPNDTAQPQELSSLNDGCDMVVHKLIELPYIAIVLQAEELCDLVNKELFFKDVQDVRAKYPTYTICYVTNRLMSHINKIDQTHFKNKTRWTPPPVEKVLCKLATHYDNVHSRQCMDEAEVAEHLVGLTSSLATCKPQLTWLSVNANGAVVPDNFIDKALAKKDTWLKSLIAIPGVQAKCALAIWKEYPCMRSLLNVYMDPSKPVTEKELLLSDLKWEGPLSSKRLGPKCSRRVYRMLMAEDGNLYTDTAETGGA >Et_6A_048053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4577030:4579880:-1 gene:Et_6A_048053 transcript:Et_6A_048053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQQQPQRQPQTQAGKPHRLLGMASVRQSKKLHIVLLPFFATSHIRPFTNLAFNLVAARPGNVHATIAVTPANASIAQSAIASHNPSHADAVKVETYPFPIVEGLAPEVQNMSAVKPIDAWRMESVACDELLMRPGQESLIRELSADVVVTDLHFFWNAGVAADLGIPCISFNVLGVFPALAMERILSICPLEATSGTVTVPEFPVPDIHVPLTELPEFLRSQHIYSCDIGDKIRMALKRCHGVVFNSFIDLEGEYCHTYANSEYVRRAYFLGPLPLPVTLPPADTNKAPCIDWLDTKKPQSVVYLCFGSLTHLPDAQLRELALGLEASMVAFLWVVRDESWTPPEGWKERVGDRGMVVKGWAPQTTILKHQAVGAFVSQCGWNSVLEAIASSIPVLTWPMVFEQFITERLLTEVLGIGRRLWPDGTGVRSTRPEENELIPAEALARGVEKFMLPGGEAEEARRRVKELSVKAHAAVVEGGSSHHDLQRLINDLVEAREAAVGTA >Et_5B_045109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9611993:9614167:-1 gene:Et_5B_045109 transcript:Et_5B_045109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRHEDSKQITKKPAAQSGGSRLHTGQEYGEKERRRGTDPKHRVPAEGRRPGRCPECGGVLGGAGEEMGVFGAELLLMRDTQVIHSRPISPQLSSAVRDVSHWPVGPAYRSVHSILSLSLSPLPKSPFSALEPHLLCLASAPRRTRPGSAGPRRHRGAHLAPPATPLHAHGLSSPELEDSARRASRWCRGVRDEAGRPSRLERRVNGDEELPSNESESIMSICFPSEQI >Et_3B_027932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27255416:27255842:1 gene:Et_3B_027932 transcript:Et_3B_027932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DADGGGAGGRDGDGGAVGSVREVRVVSGLPAANSRERLEILDDERRVISFRIVGGDHRLANYRSVTTVHEAAAVATVVESYVVDVPPGNTVDETRTFVDTIVRCNLQSLARMVERGS >Et_9B_063883.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19288671:19288823:-1 gene:Et_9B_063883 transcript:Et_9B_063883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTYAYPRTTSPAAHAASRSSNSPTPATRPVPWPSHVTSSTAARYLINQ >Et_5B_044644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4232072:4235111:-1 gene:Et_5B_044644 transcript:Et_5B_044644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYLLHGPAAAPYPLSLRSPPAVAKTPFLASPVRTPPPRRAAAFSFSAAAAAPIAASLLQGPVLVWAGRLCLYYALLHVGLAGSPRNPFLSHEIGGEDGAGDSDLGFSKWAEQLRGGASDDKEAQDKRKLTSKWRPTTKGTLKRTYRVRSTEEGRRILKEIASVLSQDDHFVDASSHKGCQIRRESAHGESVCCYNVRALFDELPTPHLVLEITPFPAGLLTDNDYRKAERLEMVLRLIPKAKDELPQAVHQLKVLSHFTFSPHGDNMLHAIRNKLCVSSTLCTEVHGGTKAN >Et_2B_021101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26470928:26472904:-1 gene:Et_2B_021101 transcript:Et_2B_021101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKRAFHGVDDGIGREDELQGGAPEEGSRMIFGFPVPGPHSRPATVTQQFFPATEAVAAPARQHQQPAMEQCHAVAAAVVADPWARPALRKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDQAAIKFRGVSADINFTLDDYKDDIKKMKNFSKEEFVQVLRRQGAGFVRGSSRYRGVTQHKCGKWESRIGQLMGKKYVYLGLYDTEMEAAQVYDKAAIRCFGKEAVTNFDPQTYDNELQLQYGELDLELSLGCAGGGRGGNPTTVADEAFISATGKQRTSLTFELPEEQDETIAGYPYRSIRTRPSQSPATPNGGAFGHHPADDHARISGSWSALHVLHMVRSLITAHRNHQTESHEERRTNAC >Et_3B_028107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10292453:10295703:1 gene:Et_3B_028107 transcript:Et_3B_028107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTPPAFHFDPGSGAGNNSWIVNLEGGGWCNNVRACQFRKTSRRGSSDLMEKEIPFAGIMSNSPADNPDFYNWNRVKIRYCDGASFAGQWFRAFFPPSTTVKCLADAGLFLDAVDVSGGRSLRSYYSDIVAMQSVAPNLPPTCTNHLDATSESLAPNAADPSGAWRACKFNRSACNASQMKFLQDFRDQMVASVTGFSNSKSNGLFVNSCFAHCQSELPATWNSSPGGSPAIQNKGIAKSVGDWYFERAEVKAIDCPYPCDTTCRHII >Et_2A_016276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22900564:22906358:1 gene:Et_2A_016276 transcript:Et_2A_016276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAAEPGLPAAFLSVPFPLLSTPLPTTAVSASPSLPSSYHASLLPRSRGGTRAALSVAMSAPGPASTAAGRLHRMWSEFARFVQLHGNQIAPLGFASLGLGLGGRGGGSAGEGGVGGGGGGDVDVVPEEEAAARAEAPKKVLILMSDTGGGHRASAEAIKAAFMQEYGEDYQVFVTDLWTDHTPWPFNQLPRSYSFLVKHGPLWKMTYYGTAPRVVHQPHFAATSTFIAREVAKGLMKYQPDVIISVHPLMQHVPLRILRSKGLLDKIPFTTVITDLSTCHPTWFHKLVTRCYCPSTEVEKRALKAGLKPSQIKVYGLPVRPSFVKPVPPKEELRRELGMDEDLPAVLMMGGGEGMGPIEATAKALGDTLYDESLGEPVGQILIICGRNKKLANRLQSINWKVPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNDYIAGQEAGNVPYVVENGCGKFSKSPKQIAKIVADWFGPRSDELKIMSQNCLKLARPDAVFKIVHDLHELVVPAHSLFPWSLALSAPTERWGTLSGQVSWNGKAVTPFMSDH >Et_10B_003827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6516048:6519378:1 gene:Et_10B_003827 transcript:Et_10B_003827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAATGSVSWVAAAQPSVLGRCGGGGAPCGPAHGGVGGGGRGVRAVGVVRCCARVQEKRPPRGRKTKEERRELVESFINNYRLSNDGKFPSVNLTHKEVGGSYYIVREIVRDIIQENKVLGPGGLNATTLSFEDCPDSSELSMKHELGQDCIEILHTSDDVQVGMVGSSEMSNSEEAYSLQNNVISTETLLGSSNLLEAGVLNSAVQNGSAGGTGCLETSLEKQDKVPSVGSVEVDVNSSDEQGPQFAHVSDSHKEVELESLGDADEGTSTSAINGAILSPESSAVYEPNGVLLGEHETIPDDHHDGTTDDAVNEETLLGKTNGVLHTEQTTLQEHEALIESVPSDSKIAANSMDGFTSKTNSPETQVTTKSIELSNEHKLQENSESPVSHPELDTQGLLEMEGKHSTLQVDENELKKSMSEITSEEDEATSDFMHGEGTSTTTTISRHALCLLTLRCMLTVYNFLHTSQKKTSKAQQKKEENLFWLVLRAFVIAVSKLWTK >Et_2A_016625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26729933:26731023:-1 gene:Et_2A_016625 transcript:Et_2A_016625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQPRREDVDEQLLHASEEATPQQLQPQEQQDMCDQAAIRYGHVFAVTGDLAGQPISPRDAAAMRSAEDSVAGVQVPEAAGGGFSAATAMETAAAYNQAVGAVRPGQASDAAAVHGITVTQTAVPGGRVVTEFVAGQVVGQYSVADPLPAAEEDATKITIGEALEATARAGGGRPIDSADAEAIRAAEMSALGADITMPGGIGDQAQAAARTNTQAARDDDKVKIGDVLSDATAKMAGDKAAATEDATRVVQAEKFNDAGARARAGGVGAAVATAARLNEDNALGDAA >Et_10A_001464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3721279:3722960:1 gene:Et_10A_001464 transcript:Et_10A_001464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSTKHHNLKSLLQDIKARPCDLPLQFLTDITDNFSAERVIGRGGFGVVYKGVDNGEIVAVKKLVSMPGITDIQFENEVHHLIMLKHQNIVRFLGYCSETRQVCMEHDGTYRLVEKQERLLCLEYMPNGSLKQHISDASHGFDWHERYKIIKGICCGLHYLHEARETKTPILHLDLKPANILLTNDMVPKITDFGTSRLFGKQQSQAYTRRMMGTMGYMAWEYVDKGIISKKSDIFSLGVIIIEIITGRRDDPESIGTSTHDFVESVRNFCPLDRVVHMEKDLQQIKRCIEIGLRCKHIDATKRPTAKQIIESLNRWDNMSLHVIFKEWSHTDQVHCLLTKG >Et_5A_040111.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:10708328:10708682:1 gene:Et_5A_040111 transcript:Et_5A_040111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDATRQVQAFPQWQQQQQHPDEPQERDIAVDPFSLRQFSRLDIDRPLPIPSVSVADPHASSPARFGGGSGSFSVSSSPRVSIAGRLSALVEATGWD >Et_4A_033609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2540834:2553491:-1 gene:Et_4A_033609 transcript:Et_4A_033609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPASGTDGVWMRYVPHVLMTLVQLCYTLLYFIAEAAFNRGLNPHVFVTYRHLFVAVLLSPFAYYYEKKQRPKMTFMLFLEIFVLSLLGVSLTLNMYFASLKYTSPAFLSSVVNSIATITFVIAIALRHEEPARTCKSCWHHSVICRGDHLTIYKGTAIASLWRAPIRIHGSNAVQVHESWVKGSFLAVASCICWSFWYIVQASTIKRYPANLSLTAWMPLVGGIQSAVFTVFVDHKLEDWLIGFGLKFWCVVYTGITCNGFAVFAQLWCNKKKGPVFVTMFNPLCTVMVAILASSLAKIYTLEGVVVILGLYMLLWGKDKDQEQKARMALEVAAERGRVWSRYAPHILMTLAQLCYTLMYFITEAAFNRGLNPYIYITYRHLLVAVLIWPFAYYHEKKLRPKMTFMLFLEIFVLSLLGVSLTLNMYFASLKYTSPAFVTSVVNAVASITFVIAIILRMEIVDVKSLRGLAKIAGTVVSFAGVTTMTLYKGAAITSLWKSPIHISGGSSAHDGFVKGSILAIASCICWAVWYIMQASSLKRYPAQLSLTAWMCTVGGIQSTIFTVFMQHKPEDWLIGFGVKFWCIVYSGLACNGFTVFVQLWCTEKKGPVFVTMFNPLSTIMVAILAYFVFGENLYVGSIIGGVVVILGLYMLLWGKEKDNDHNAGKALEEVDCEKVSDVSAVRNEALKTMK >Et_3B_029896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28984625:28986547:1 gene:Et_3B_029896 transcript:Et_3B_029896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGERFLRQLSASNDGGCGLQHHAPAEEYGGDRRRGSRRWSKKRAAARGYGGGNGNGKLQAEARKRVMVLVDDSSGAKHAMMWALTQVANKGDFLTLLHVLPHAGAGGEEASSLANSLGTLCKASRPEEFVEQCINQAECLTLAVRKQSKGMGGYLVSTRWQKNFWLLA >Et_1B_009650.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10831323:10833390:-1 gene:Et_1B_009650 transcript:Et_1B_009650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLPPGALIPGSPFDLEASMQQQHRQQFHFAQPQVHQGVFVVPAANPAQDLVNVVKTSLSDEEDADDGHHDHAKAAAAGASHWHRVKWSSDMVKLLVTAVSYIDEDVEADHGSTSGRRKHAMLKKKGKWKLVSTAMNERGCAVSPQQCEDKFNDLNKRYKRLTEILGRGRACQIVETPALLEKVSLSAKLKEETKKHLNSKHLHYEEMCSYHNRNRFCLLDDPALQKSLRSALRSGDEQGKKTSSFGYDDDDGDQMFSDDDDEDDEGNDDLEVSVEDRHHRVHSTKKLKHDHEETHCGSHLSEVAAVDMNKMLSEGSGGPAADKNSSGITLNQIEQKRLKIKAEMLKLEERHFKWLKFSKEKDRELEKMRLENEKMALENERMELELKLKEIEMG >Et_7B_054045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14681659:14691656:1 gene:Et_7B_054045 transcript:Et_7B_054045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSAAAAEAAPAETVGQAVIPLVNSLQDIIARLDGDDAAGLELPQVAAIGGQSSGKSSVLEALVGRDFLPRGPDICTRRPLVLQLVRHSAAEEWGEFLHTPGRRFYDFEQIKREIQSETDKEAGDNKGVSEKQIRLKIFSPNVLDITLVDLPGITRVPVGDQPSDIESKIRTMIMQYIKHPSCIILAVSPANADLANSDALQLARLADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKLGYVGVVNRSQEDINFSRSVKDALSFEEKFFSTLPAYNGLSHCCGVPQLAKKLNTILLKHITKMLPGLKNRINAQLVAVAKEHAVYGDTVESTAGQGVKLLNILRKYCEAFSSMVEGKNRVSTDKLSGGARIHYIFQSIFVKSLEEIDPCKSITDEDIRTTIQNSGGPKGAMFLPEVPFEILVRKQIVRLLDPSLQCAQFIYDELIKISHGCLTNELQKFPILKRRMTEVMDYINTSHPSFVGGVKVVELAKHEALPSKTSTSLSVHKDVIPIGSDTQLTSERSQKLRAVFPRDGTRGATPEQMGNSLVGGNSSSNIVPQARKLDNISSTIQLNEPPITLKPSENHPEQDATEIAIVKLLIKSYYDIVRKNIEDAIPKAVMHFLVNHTKRELHNFLIRKLYRDNLLNELMRETDEVIIRRQRIQETLEVLEQAHRTLEEFPLDVEKIEKGYNLDEHDTGLPRIHGLSDDGPNGIFRSSPNHYEPHQASHIAM >Et_4B_037169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15752246:15756132:-1 gene:Et_4B_037169 transcript:Et_4B_037169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSSICRRRRRLLLLLAGASPRARLAQVAPGPHYIGFPHSRCGCDALLCSDIPFCLSRWSCLGYKERALALILWAGAEGAAGAATLEFCFLMMLLEAPFWRLPTRGSNNLSYCWPDSRDSLAGAARFWIAEWSAGCHEEREEDELAIIGLLIDRRNFLPLYFTGSEAEAYMSHYMQKRNRLCEFVTAAKQPQQKGSACGLSISVSLLCFLLLPLAHARLLLGSENTLNESKSFSIRGGSGEGGGRGFGVSISHGGHDTSIGIGGGFGGGAGTSRGGGASVGGGAGAGVGISVGHGGVNVGIGGGGGGAVSAGGVHAGGGGGGGVGVHVGHGGVSVSGGGGGGGGGSANGGSEGGGSGGGSGVGHAGNAYGGGGGFGDANGSTGSGGGSGVGSAGGAVGSGSGNGGGQG >Et_2B_018975.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20540923:20541423:-1 gene:Et_2B_018975 transcript:Et_2B_018975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVDDVSWITPQGWALTLDPATRGASLRDPFTSRTVRLPPDTDGLLASSDDTRCVVSALRPTDPGFVVLVIHRTDPVICYCRPGGTRWSRHEYQPELLAGDDEPHNRVNVLYAVVELAAVGGGGGRFYAYLGWVNKLVTIEFSPDLMLWVGQSRWVSTAIQPGL >Et_10B_003786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6028054:6030520:-1 gene:Et_10B_003786 transcript:Et_10B_003786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVELWEMLKEAIMAYPAVFFTAMAVGVTLYHVASGLLAHPPLPLPEPEPEPEQITPPVLLGEVSEEELKQYDGSHPKKPLLMAIKGQIYDVTKSRLFYGPGGPYALFTGKDASRALAKMSFEPQDLTGDISGLGPFELDALQDWEYKFMSKYAKVGTIKKSMPVEDDNTASTSAATNEATPADNETTIATEADNAPATEEKPKAAVAKEVKEKEAPAYKEAAAVESAKES >Et_3B_027612.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:31929816:31935144:1 gene:Et_3B_027612 transcript:Et_3B_027612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTLISFITSSVASHLWDPVIAHMKYMTEMEENIGKLDSTIKTLEARKHEIQLRLKNSERKQEICNPEVSEWIGKVTAIENEVNKMKNGQKKRGRSFSYWSKYEIGMQAAKKLKEAELLHERGTFRQVSIESPPFFVQEVPITTSTKGTECNFSKVLQYLKDDKVGIVGIWGMGGVGKTTLLRKINNNFLDMIEGNYGFDLVIYVVASKACGIEQLQVDIAEKIGLFLKPGSSTEMRASYMLTFLKRKNFLLLLDDLWDYLDLAEAGIPYPNGLNKQKVVLATRYESVCGYMGAHKTVFVECLDQENSWQLFKEKATEDIINLDSRIENIAKEVAEECGGLPLALATIGRAMSTKKTCHEWALALSYLKKSRIHDIPNMGNVCHIYTRLKLSYDYLQDERIKECFLCCSLWPEDYSIWKVEVIDCWMGMGLIEYDTIEEAYDKGYSIIECLKSACLLENGDLEESEVRVHDIIRDMALWIFSDGNDNTKRIVQAGVGLRNISNKDIDRWRPAIKISLMCNYISELPKAINFPNLQLLSLQQNFQLKVVPPSLFQSILSVTYLDLSWVPIKELPEEIGTLVELQYLQLKQTHIKSLPMSIGQLRKLKYLNLSYMEFLEKIPYSVISNLSMLRVLNLYGSRYAGSEADFESINHMDFDEFRIEELSCLTRELKAVGISIRKVSTLHRLLDIQGIHVRFLGLYKFNGETSLTLNVPKSLFVLNVMNCSELKEFYVNKRHCYGCHLPRLEFLTIWGLPRLEKVSIGHLQNLRVLSVGKTCQLKDLSCIRKLPYLEHLNVSFCNHLKQLADTENVSAMEVRDEAPGQGFRLLKILRLNSLPSLDCICNSRLDLPSLEYIDVFSCPRLKKLPFDNEMGKLKRIRGEKTWWDNLEWDDVSSSLSLFPFFKASETSSASLRPELDGNVISSSEAFFTKRQPLLRHQFSSHLT >Et_10A_001193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21975181:21989954:1 gene:Et_10A_001193 transcript:Et_10A_001193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIALDADLSSCSSSSGATFAGAPPLVFRQEGKIENLVRSVYAEVDEKDVNLDAAFSVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNYEVLKETLTRHGFIFESDTDTEVIPKLAKFVFDKAHDEKGDVTFSQVVMEVMRQLEGAYALIFKSPHYPDELIACKRGSTLILGVNELSGQNSGKKFHDVKALTTNGKPKELFFSSDLCAIVEHTKNYLAIEDDEIVHIKDGSVSVLKFDQDKEKPASVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRGRLKDGGILLGGLKEHLKTIRRSRRVVFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALDYALANGALCVGVTNTVGSTLSRRTHCGVHINAGCEIGVASTKAYTSQIVAMAMMALAIGSDQISTQLRRDAIISGLSNLPKNVSEVLKLDAEMKELASSLNDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLIVMCSKGDASAVCPSGSCRVIEVPEVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSMEVEQINKGSYDHFMQKEIHEQPQSLITTMRGRLKDGRVVLGGLKEHMKTIRHSRRVVFIGCGTSYNAALAARPFVEELIGIPVTMEVASDLLDRQGPIYRSDTAIFAYTSQIVVITMMALAIGSDQISTQARRDAIINGLSSLPSNVSEVLKLDTEMRELASSLFDSESLLVFGRGYNYATALEGALKVKEVSLMHSEGMLSGEMKHGPLALVDENLPVIVIATRDACFRKQQSVIQQLLSRKGRLIVMCSKGDASVVCPSGSCRVIEVPEIADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKNKEVPLMQGLSRLRIIFTNVRCKSAA >Et_9A_061629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14610221:14610669:-1 gene:Et_9A_061629 transcript:Et_9A_061629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAWVEYHLRHGVCKYLVRLVDMLPYSFTYVGRCLFVFFYDNNQYMQENLPDADWSFGEAIQTLPFSQFSSLEVELDTEGHAFGPLLLHLLQIRPVQMLKVNLLRYSEIGVLRILTSVVPSRLSM >Et_4A_032410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:120147:123268:1 gene:Et_4A_032410 transcript:Et_4A_032410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPASLPLPRRPSDGRRRGRLLILLIVLVATAAAAALAYLSFPSMVRSDAARPPSMVRSEADCCRGTQGLELWGPAVKWGSDHRLPSAAACCASCKAMCPHPEDGSCRCDSWVFCGDERRCKDRFGECWLKKQKDVMAPAVVARGEDVMWTSGLVFGKGQGIVGLETNLGTLDIQLLPGCAPRSVDYFIELLGLRNCAGCRFYRAEGRGTLWDAKGNHEKHGSFGPPYALLQGTLEVDGVPFKEIAREACPSVKRGSVAWVGSGPEFLISLADHGEWKDAYTVFGYVLPEDMAIAEAMALLPTSTDVWSNVTVKVLRDPVFFKVRRSTNASAL >Et_6B_050166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8186251:8195431:1 gene:Et_6B_050166 transcript:Et_6B_050166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSWLLCLALAMVACSAHAAVVEHTFNVTHCSPVSFHFCKQSSLHAVLAFFFLVPLSHKLNHEAFFDQVGNFSISQLCRPATVITAVNNRLPGPTIHVHEGDTVVVHVVNNSPYNITIHWYVLHIMQFHLSNEMCHPSELNSHVAYQNRHGMFQRGTQWADGPEMVTQCPIRPGRSYTYRYNVTGQEGTLWWHAHSSMLRATVHGAIVIKPRNGEQGYPFPKPDKEEVIMLGTIEQLLATFSVLSPAPNKFCSDTESIFAGEWWNQNVVDLEREAFLSGIPVGQADAYTINGKPGNMYNCSGRNHKRSKTAFFGPIKALLGTYRLQVQSNSTYLLRIINSGVNLPFFFKVAGHTFTVVGVDATYTTPYRTDVIVVAPGQTVDALMVTDATPSRRYYMVSSPYESAVPNLDFSKTMARGVVEYTDGAPKTARHRPLMARMPPFTDKATAHTFLSNLTALVRPGRATVPLTVDTSMFVTIGLGLSSCRREQTQCDKSQGVFAASMNNASFVLPTGMSLLEAHYRNVTGVYTRDFPDKPLVEFDYTNPPSGMDVTTTKSTKVRTVPYNATVEVVLQNTALVARESHLIHLHGHNFFVLAQGFGNLDNSTAVNLYNLVNPQERNTVAVPPGGWAVIRFVANNPGPCIKEIHFTNIN >Et_4A_033416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22430113:22433303:-1 gene:Et_4A_033416 transcript:Et_4A_033416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLPLLASSSKSTTTPFYLALDQRAITASSPPGEASTPPSDASDPSRQSNNEGGSEIIKAKIMSHPLYPALLRAFIDCRKVGAPPEIVGRLSALAGEVEMNSNDRQEQPEDPELDQFMEMYCHALERYRQELTRPIHEADEFFRTMEAQIESFVLDDKSCELGGGGSSEEDEQETGIDAGGLPAETSEEDKELKNRLLNKYSGYLSSLWRELSRKKKKGKLPRDARQKLLHWWQLHYRWPYPSELEKAALAESTGLDSKQINNWFINQRKRHWKPAPPPMLVAPDYRLQPHGGASSSSAQAAPRADGHYFTGGSAYSRGL >Et_1A_007997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4007139:4027524:1 gene:Et_1A_007997 transcript:Et_1A_007997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFMSDEELRLLGGDTAAVAERADAAIRELRRQVDTVRAEADAAAIAAEQTCALLEQRYASLSAEFDRSQAEVAELAAASERRAAELASSQAEVHQLRIQAIAKDGEVERLKVEISELHKSKFQSLELIEQRDVEIKEKDGIIQSYYDKIVNLADTSAGKEARIQEVEAKLTHCQATCNRITEEKKLLEKNNLWLDEALKAKLKNIDELRKANMDEEARMAAKIAELERELSNSSSSLRRSKDRISELEHRVSYMEKELCSTKDAAAANEQRLGAELSTVMKLAELHKESSEEWSKKAGELEGVIKALETHLTQVEDENKEKLEKETLSRINLEKEAADLKQKLEKCESDLENTRKSSELSLVPMISVAPDSADLVDTAMHELPLSDTMNQNDLMIVPKVPSGVSGTALAASLLRDGWSLAKIYEKYQEATDALRHERQGRRHAEAVLERVLHEIEEKAELILDERAEHERMVEAYALMDQKLQQALLEHDNFENTIRNLKSELKRRERDHSVAQMEIDDLQKQVAVLLKECQDIQLRCGSSLPHVGLGALSASTANIVSNVEDNLKDSMAFKDINGLVQQNVQLRNQVHMLSADLDKKDMELRESFQIELKKIVDDAASRVEKVMKKSEEQAIMIESLHRSVAMYRKLCEEQQKTRSNVESIPNNFEGSGRTDLMVLFEGSQEVSKKAYEQVSERARSLDEELNKLRAELVSLRSERDKAVLEAEFARDKLNGFAAELEHQRKEANSVSLRNAELMHLIIDYEKRLREDSDSKQALEENSRKLSMEVSILKHEKEILVKSEKRASDEVHDLTERVHRLQATIDTIHITKEVQENARSVERKSHEDNIKRLERDWADLKKELQEQRDHVRVLTLEKKNAFDSCLKQVEDMRKELQTSWKAASDAESRAAVAEARCSDLEAKLKSRKENDELFQLKEELEKYKEEAQANKNYMVQYKEIANSNEVALKQLESAHQDYKAESEIGKKALEDEIAKLRDKLSEMEKSYVIKCDEAASVLESREKQATSFMNEIAVLRTEISQKVSQIENLEMELASSKSALDDQYKRWRTAQDNYERQDALRAFGEQEKIGLLKEKDEALRKYNELNDQNRILHNQLEALHIRLAEKDRSIAGLSSQHTDSHAEGDLHSVISYLRRSKEIAETEISLLKQEKSRLQIELESALKSAKEAQDLLRSQADSARTLMFKDDEFKSLQLQVREINLLRESNIQLREENKHNFEECQKFRDEAQKAKMESERLHNLLLEKHVDAEMCQKELEMQKAEIANLNQRISELVESSKGIDLNAYEAMKEELQNVKSTLRENSVELENAKSLLAEKEVTIKNLEENLARCQSELDSREKKLNDVEASMKSESERLRKVQFSFKRKQDTLMKEKEEVVKVNQSLLKQIEDLKSSQKATSESTLEQAVKEKDFRIQTLERTLEKERDDNKKEKIARRKSEKVFSTAVQQAKEGKKEVEDSLAKHKQAVKEVIEHYPGLSSQVPSMAALEESFLKYFGYAKKVEESKFNDGATTQTPGAETTAVDAPAAAAEQLVDTPPRPAKAKVTEERAVATLPKPTTEVRRPGGRRPLVRPTLERTEEPQADTDASAVEGQDKGVPSLERETSGGVAVLQPSSRKRLISSSSQMIDNTSLDEGNEANPPSKRPKEEESSQGASELKSGQPLVGDVTAAQEGQQPTEEMDTDQASVPIEEAEPAREDDTVDDTEAHVDASMHIKSQDTDVNIDINATPVEDALAKSEAAVQSFDEDQKIDDLKEEAQLTTTTDVDDEMEEGDDLDMSDTGEADTTAERAAGEPDQSPIAPSGASDASPSRTADASPAREPSPNPAQTSGFSEQRNTSTTTGGREPSPNQAQAGVSSEQQSTVADGDESRSRIINVTQRAILNRQARMQRTQQPSRGRGQQSPQRRGATGRGSRGRGGRGQT >Et_1A_007111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3140897:3143499:1 gene:Et_1A_007111 transcript:Et_1A_007111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEWEGGCGEATREETPVDFDFASLLSKPKDYYKILEVDFDASEEAIRSSYIRLALKWHPDKKQGEENATSRFQEINEAYQVLSNPAKRQEYDKKGILYVQDPNVVDYLNRHKGLILTCNGLGIRLQSHSYKELGLMITELE >Et_1A_009201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3373254:3378893:-1 gene:Et_1A_009201 transcript:Et_1A_009201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSLAAASPLVSTPRGVASPAPRTAFLGLRTVGAPATRFFSGLAAAPQPARSGAAAALVPMAKREQELEEIRAMSTEKLEEEVVDLKGELFLLRLKRSARQEFKNSEFSRMRKRIARMLTVKREREIEQGINKRLSRKLDRKWKQSIVVRPPPSMRENKEESKMKPTPEYATKIEVKREEN >Et_5B_043510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11351594:11353864:1 gene:Et_5B_043510 transcript:Et_5B_043510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKARLQELCQQRQWPLPVYQQSREGPDHAPLFLITVAVNGANEFARTAKEAQNLAAMAALEILSAVTVPLPAPQIQPDHPKSQVRNYCQKRGLELPSYQAIPQGPLESLWFKSIVTVDGQTFMSPRFYRTLKEEQAAAANVALMLLPQEATIPVPAVSYKNLLQEFAQKERLPLPIYHTSSYVANPGAFTSSVEVNGTIFVGEPGRNKKQAEMNSAKVAFQHLNGVIVVFLLSKQAQHELIGMERIQ >Et_2B_019952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15531416:15532720:-1 gene:Et_2B_019952 transcript:Et_2B_019952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPAAPTCSHIGMAVLFLLLPLSFSDDRLVLGKPLSTRSTIVPDGGSFAMGFFSVSNSTPAELYLGIWYNDIPRLTVVWVANREAPATNSTSSAPALSLTNTSNLVLSNADGRVLWNTNITGATSSAAAVLLNTGNLVVRSPNGTTLWQSFDHPTDSFLPGMKLRISYKTRAGTRSVSWKAPDDRLAPSTAVRRFTFGWEQLIVV >Et_7B_053666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10946587:10954334:-1 gene:Et_7B_053666 transcript:Et_7B_053666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGNAGAAPGRCGAGVPRSAAAMGGYELVRSDDATALAEGAAAGALPSKAPARQRLVSLDVFRGITVLLMIIVDDAGAFLPAMNHSPWDGVTVADFVMPFFLFIVGVALALAYKRVPDKLDATKKAVLRALKLFCLGLVLQGGFFHGVRSLTFGVDLQQIRLMGILQRIAIAYILTALCEIWLKGDEDVDYGFDLLKRYRYQLLVGSIVTVAYMALLYGTFVPDWEYQISGPGSTEQTLFVKCGVRGDTNPGCNAVGMIDRKLLGIQHLYGRPVYARSKQCSIDSPQNGPLPHDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQYGHAIVHFQKHKERIMNWLIPSFSMLVLAFALDFLGMHMNKPLYTLKFIGIGA >Et_3A_027077.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32136617:32136931:-1 gene:Et_3A_027077 transcript:Et_3A_027077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYSESGYCAEEMNRPYRHGEGGEHYAVRKEYEEIDEVERARRGHYGNGGGHLGHGGGSHHHHPVHGGHEGCHEHYGGHREHAHGHGYGGGRRYDEYDSCTGQY >Et_5A_041467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23189533:23191969:-1 gene:Et_5A_041467 transcript:Et_5A_041467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LSTFTMGDRGCIINDQDETILLTYLFGKEGRPNLWQVNGLMLVNAILMGVVVTIGAYAPRYRRHPLIGLLYLGATILLLPIYLSGAVTKEVSCSPVHIFQALTWTGLVLIIGVSTSGIVAGDAREGRNTGPPTELLVKAIWLAYLALPVIKHLGLSSYLEVFFLGQPLVIIFTKLLFKYSVFCKARGSFGFGRTPRLIAGYMAQLQQPGETQCASAPDEQVSGEDAVPPSPALVVMGEDTVKVEKQPHGYNFKTINSNMLVTLDKVWRLDDMDMLLNSSSTARPKDVCFSFALFKLLRCRFAKYTVFESGFMKDQTFFLHTLIQADDYQRLFGVIADELSFTHDYYYSSLPISYSHCLFPILSITISVYTTAYCLYLIVMLSIRLTWVGLGSSQQIICELYCDNSQRYYSGGPIRSIGFGNAYFDTIPIYLVVAVLVLAEIRDIVLYMSSNWTKVALICSYASRPSWQKSPTVQKLIGFVFKHSKCKLLNNWGQKMNQCSILVHQQPWSIAVLVRRLLHMPNQKKIMIPSVVKKAIFEALQGLSSKQEGLVPEVYATYSTSLKKSMFASNIFVSPPYVLFFYIYWHAMFLQHIERPRPPISGSIANTGVGLLPERDGQRIGHTILVWHITTSIFEMGHPQPSDPDSHKIAATHLSRYCAYLMAHCPDLLPDDDEWCKQLYEDVKKDADRIIKSEPKATYQKLVQLLSADSNHEVLKIGARLGKQLVDSDVGWEELARFWSEMVLYVAPSENLDGHAEAIARGGELVTLLWTMLAHAGIVSRQQDTAAATTNAAAGQV >Et_7A_051648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2241073:2241668:-1 gene:Et_7A_051648 transcript:Et_7A_051648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFEQQHTKRRPPVAPSSERQQQRKLLRLSSVQEDDVAAGVVPPVTVVLDGRCICHRVHLSRHTGYRSLAAALRRMFVDTDAADDDEEAAGLDLSNAVPGHVVAYEDMEDDLLLAGDLNWKDFVRVAKRIRIIPVKPSSRRKQTSSAEGLNN >Et_8A_058482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:910357:913990:-1 gene:Et_8A_058482 transcript:Et_8A_058482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRARLAAAALVAVAVAALAAGAAAKYELTKNGTVITYDRRSLMIDGRRELFFSGSIHYPRSPPDQWPDLIAKAKEGGLNVIETYVFWNIHEPEKDVYNFEGRYDIIKFFKLIQDNDMYAMVRIGPFVQAEWNHGGLPYWLREVPDIVFRTNNEPFKTHMEKFVNLIVQKLKDANLFASQGGPIILSQIENEYQHLEVAFKEEGSKYIDWAAKMAVKTNTGVPWIMCKQTKAPGEVIPTCNGRNCGDTWPGPLNKTKPLLWTENWTAQYRVFGDPPSQRSAEDVAFAVARFFSVGGTMTNYYMYHGGTNFGRTGASFVMPRYYDEAPLDEFGLYKEPKWGHLRDLHQALRLCKKALLWGNPTTQPLANNVEARVFEMPEQKVCVAFLSNHHTKDATTVTFRGQQYFVPRHSISILPDCKTVVYSSQHVNAQHNQRTFHFADQTVQKNVWEMFNEEQIPKYKQAGVRTPRPQEMYNLTKDKTDYLWYTTSFRLEADDLPFRRDIKPVLEVASHGHATVAFVNDAFVGCGHGTKMEKAFLLQKPMELRKGVNHIAILSSTLGMQDSGAYLEKRQAGVNLVQVQGLNAGTLDLTGNGWGHIVGLVGEQKQIYSEKLGMGKVSWKPAVPDRPLTWYKRRFDMPSGDDPVVLDMSPMGKGILFVNGEGLGRYWISYKHTLGRPSQHLYHVPRAFLRDKDNVLVLFEEEGGKPDAIMVLTVKRDNICTFISENNPAHIRSWERQDSQLTAVAGDLQPRAVLACPPKKTIQQVVFASFGNPLGICGNYTFGSCHAPRAQEVVEKACVGKRTCTLPVSHDAYGADPNCPGTTATLAVQAKCSKRQPSAAQ >Et_3A_025576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30905591:30927515:-1 gene:Et_3A_025576 transcript:Et_3A_025576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTYPAPGAPDVAEKTKKLLEDAGFGPVGEDRRRGLDHGAWWVPLMLMYPYANIPTGRDGTYHYELGRALAPLREEDGVLIVGSGSATHNLGRMLRTFAPTSHEKPPASLLKHTRIHTTKYREKAPYAEVAHPSPDHFYPLHVALGAAGEGCKAELIHHSWSNATLSYASMGQNQARPKISATREQQQQRGDVAKPEGERRSPQAAMDTFYLSHGSPTLSIDESIPARHFFKSWLPARVAGDRTPRAILVVSSHWETDTPTVNVIRGTNDTIYDFYGFPKPMYQLKYPAPGTPDVALRTKELLEQAGFGPVKEDHSRGLDHGAWVPLMLMYPDANIPVCQLSVQTDRDGTYHYNIGRALAPLREDGVLILGSGSAVHNLRKMGPNGSPVPQWAAEFDTWLKDSLLSGRYEDVNRYDERAPHGKLAHPWPDHFYPLHVALGAAGEGCKAEQIHSSWTNASLSYASYRLLLSAPGRTPASYFSFPNRVPLLINACEASTDLYTHARAPVTFYFQRNGVLLKLALLLTKVALSAPTSAPTSHEKPLAWAAEFEAWLRELFVAGRHGDVKRYQEKAPYAEVAHPSPDHFYPLHVALGAAGEGCKAELRMGQSQGRPKISATREQQQQQRGDVANAKPGATEGERRNPQAAAAPGSRGAAMDTFFLSHGSPTLSIDESIPARHFLKSWLPARVAGDQTPRAILIVSGHWETATPAVNVIHGTNDTIYDFYGLPKPMYQLKYPAPGAPDVALRTKELLEQAGFGPVKEDHSRGLDHGAWVPLMFMYPDANIPVCQLSVQTDRDGTYHYNLGRALAPLREDGVLIVGSGSATHNLGRVLRTFAPTSHVPPPAWAAEFDAWLRESLVAGRHDDVKLYREKAPYAKLAHPSPDHFYPLHVALGAAGEGCKAEQIHSSWTNASISYASYRFTTKD >Et_4A_034617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4947639:4956452:1 gene:Et_4A_034617 transcript:Et_4A_034617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAAATPRSGARRKRQRSRSPPRDGEGTSEPKTARPRLVSGGGSAGGAWEHLDLVLSLQGKELSLERKIELAVEFLRTQSDNSSNDRKVHSIQLSRLVSFIGNWVQSILNFSENSRKTPQPFHPALDSRCWVILRVCIGKKPSISVSLNLLKSLSMDLWASCGIEVTNLAQKVSANERNDSHVLRKLANCLLGQFSSFLRFYANPKNIFHTFVEKILEPLLELLVLLNSQASSNKHTQAGAMLKVVEDVLSNGLFHPQHLSGYFGLKSLTKTPAAKDIKGSYHRHLFERFRGIKENKAVLLAGFGYLLQLLVNRVRSQKTALAPSGTALSRLQKSSEGSDEPQQHRESLFEVFMLFMEPLLLECKLYSQKEFSGLGVTRLVEVHCMLKSINVMLKTVIEEKIYVPTEDTSEGSYFNFLQDIYKVLISVSEKMYEFWVSAVHLEDTSIKKILPLMFAEVTAAVGHFLEIEYKVLGDDHVKLWLMIFALSAINVSSEDIKPCFLLASKISSLSSQIIRTFSELRQVSLSVFRLCDAVRTFGAGGPDAVKGSFSVASLSSQKCLASLTTLLSSETLIGAIRTSIKSMPEGQSSRCIDELTLDLMETLKWTQGNSFEDHLKEQGGSPLVGRKSILYQKAEILGRHLSELYTSVLESITITASNSTLVGKAVAKLISAIRPNFTHLVRTDSNKFSEFISSVMGASIPKKQLAKWQKIPSFSWIFVIFFRLYISCRSLYQQSISLMPPDAAVEATELVGNSFVLCSGKEWTNPANIIGECYFAWIVESSSSLVDVIESLSEFVSRTCPSFALVIYSFHLMILQRLNDLNRQINAFDYFIEDGAHEHDKDSTGNTKVLKVTCSHEATRLTSFMMGYVRLLSSEENDPFGSYETSASWDLSLCSLDKGSFPTATWRLLCDNIDIWSAHASKNDLKNFFSNLIRFAFMPKGSCTDKEINGNQSSNREINLHNISSVLLCDTIIYDQKVLLKNLSTSFCRALKKSVSSFNNSDEDFALLDSAPDLMEIISNIENGKLIATDFDAAHAHCTDRHWICENLLHFLSAAPGFHANSKSFLRLRCESCNPMKLLHLFICCRMAMKNLIVKFDKECPESKQYLAFSDKSGKSYTHWLLRSVLEIVGSSNKIFDNCTDEVKNTIFSLVDKTSELFSTLANVHSLFCLLDYKKQIECFLNVNPIDRKASENDEQTFDILENSALECIKHMAELLQKTTTGIPVTVKDSKCVIKLQNFCSTVCWNRLSCTMSCIGGFLWGIISALESTSENYPIASSEERKMVLKYIAKFETFADICLHVLFMGNKDYRSNDLVTVRLPQELDCENGECPLIALTLREVYNVSAAIVKLHGTLSITSDVSRQTSPVQQLSLGTLFETAFISLQKIADMSSWPHMFSLVWIDGVLRYLEVLGSTFTLPGLSIPLELYSQIVSAHLKANGKCILLQGKNATLPTHEIGSSTKTLQLQNGSGYIFPKDLIDKQNRLNSLKSRLRQLLRKFVNIASNTHLNAALQVIERALVGVNQYSHSIYEIYSGNPDGGTVSSDAAAGIDCLYLFLEFVPGNKRVFKRTIPGLVGALFNIVLHLQSPFIFYIEKLPPHYTEFHPDAGAVVLMCVEVITSFIGRHSFQIDASHVSQCLHVPVTLFKGFKHLLACRKIPHSSAKYCNQSVQHTDHKEYILDRQFSVDIYAACCKLLCTTLRHQQREVGQCVALLEDSVSILLCCLESADSKMVNMAGYFAWNMEEAIKCATFFRRIYEEMRQQREILGKHALHFLAGYIKMFSGQGPFQTGVTREIDEALRPGVYSLIDICEESDFQQLHTYLGEGPCRTTLADLVRDYKLQFQYQGKI >Et_1B_012070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28590319:28591442:1 gene:Et_1B_012070 transcript:Et_1B_012070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWKSKALPKMRLIFVKAGGKKAAAATELVKSFDESKGEINSQFEEKKADLQPKVVEIYESVTAPVKVLIKERKKVSAIKKNSAAITKLFEDLANIEFPGAKQVSEGISKVGPALLSGPIFATFEKISTLLPVVVEETPKEAPAAAEEKKDEAAAAAEEEKNEEAAVEEKKEEAVEEEKKEQAPAAAEEAAPAAAAVEPAAEETSAAPAEAAAPEAAAPAETTESAAATAEETPKAA >Et_1A_007953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39776359:39778878:1 gene:Et_1A_007953 transcript:Et_1A_007953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGDMPMRPRPGPPMQHRGPPPMARHRPEPIDREKTCPLLLRVFTRVAGHHQQEEFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVALPARKRNARLSFAFVYPDKNGRFVVRPVGSTFAYGHGRGDDAKTLAELGFQIGDYLSVAIM >Et_4A_033205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:208033:211130:-1 gene:Et_4A_033205 transcript:Et_4A_033205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLNCSPPSPEPAVQDDLNRSMLLQQHTFRHQVKDLHRLYWSHNNLMDVPPFWKQSDGVLHAAHRPPHPSHMVNFDDTGVFSRCYRPGKYGFQHDHYEVAGNNLDVKGPIRRQPDPPIAQGMRSGYRCVIDLEKPATLDDDVEIVSSQHFINYASCNGVFPDNSHCVPLESSPVVGDLCRDHSTPYATYGSSGSSDTSYSHSPVRTKTAVSGHMLFDLNVAQENDFNIFPGPSKAPCSLLASSATRNTEGCCNNSNKIFHQGSESSIGSSKGSSVTVGTAISAPDGAREVMAAGLFCDSQSSMPFSVQTSKYNAFLKGNMHHQQPLDKNSGLDAEGCMKIPQISSVTCNGGNNSSSGVYKLGDNQAANLTGQVPVAGHREPQEDIITIISDTEMEVFDLNVAVESVDLPSKAAGDCRGKHVNNNGSGENSSDHYFTRNQGQPNISLVECLTSTSHHLTKADEDVQSPASEIAINRSVLIPKTPQGRDTACPRLRSSSNRVVIQLETVCIHQAELGEDERSVAKAAETLVSIFAVNSACATYSNGSNSQTDANDRNHEPLISLDSFEENVLGLQELKDDGESIPVRPPDKDGPSCGIRLKRGRGLRDFQREILPGLVTLARHEICDDLHAIGYEIRKTRSRRGSGDQGTPPTRTRLPRRCSTAWNG >Et_1A_008742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11442502:11443227:-1 gene:Et_1A_008742 transcript:Et_1A_008742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMFSVYFLTDHAEIKEKTRIYVLVFAALAVVSLFLNIGQHYSFGAMGEYLTKRIREQMLTKILTFEIGWFDQDKNSSGAVCSQLAKDASIVRSLVGDRMALVIQTVSAVLIACTMGLVTAWRLALVMIAAQPLIIACYYVRGVLLKSMTKKSIEAQSESSKLAAEAVSNLKSRRSLLSHPKTLSYDYLTKLKMPHARRTSGSRGLQALALAPQ >Et_1A_004828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10642515:10644188:-1 gene:Et_1A_004828 transcript:Et_1A_004828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRLVSLLIDGAATCSSGRAAAEAAASAHAAAVKSGHAADVFLCNHLIVSYARSGRLALARRLFDEMPHRNLVSWSALISGCDRHGTIKEAEQVFLSVEEKDAITWNTYIAAHSRRSDHMDALMLFRNMVMDADVRPDNFTLASALAACGELSLILHGKQVHGHLIRSRVDGDVAVGNAIIGMYAKCGGTVFAARVFDALQNPNLLSWNTLISGFGRQGHAKEAMEAFERMEEAGIAPDSVTFTGLLSACKHAGLVSQGVAYFSSMTGTHGVQPGPEHVACVVDLLARAGRLEEAERHVQASAFRDDPVVLGGLLSACRLHGDAGGVGERAAARLLALGPATSSPYVLLSQLHAAGGRWDGAATAWRMMKDGPGKKDAGRSTVGGCR >Et_3A_026782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20428463:20429928:1 gene:Et_3A_026782 transcript:Et_3A_026782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKVPPNSFVLPARPTTTMMLGDGANIGLSITHFFRLTWRPQKESSIGKYKMVRGTEPSWNLAKIVEPIAFLVSKIVEASGSSFSMHFLGHAEPKQIVPGPRVRSPLRLLLLIGDLPTGHMDVRDMPSVRQNPSKKGHQTVTPSSFSLRNLSGNPPW >Et_3B_030666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6012887:6014184:-1 gene:Et_3B_030666 transcript:Et_3B_030666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ISVGMTFSRSYLVVDPRVQEFDEYLKLQATSFVQYYRCLPTSYLGRGDIVEDGNKVFMPTSAVDRVCTLNLEWPLHFRIQNPSTGRTSHCGVLEFVAEEGFIHIPAWLMARLGLEVNDLVLVQQKALPTATFLKLQAHTKDFLDVAYPRELLEHNLKKYACLTAGETITVTEGDRRYCLDVVELQPEDAVSVLETDVNTDFAPPLDYVEPPPPQPAPVVPAGQAGGEPAKFTGVTARMDGKPVELPAAPAPAAAGVKPKGGLRFGGASAAGGGGARKGKEDKGAGKEQEQRFTGTAYSLQ >Et_1A_005731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13127457:13134509:-1 gene:Et_1A_005731 transcript:Et_1A_005731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEAEKAATAAGTEDLGPHLGIAPATPDIVRKLVWHSGATGTDGSCCTSLFTAVLAPTGCSKLDSDGFSLVPSSVEKLSQSALVSAVMVKSDGYSSAPSSVHGLSQSSSIGPAKVESDGFNSVPSPVGEQSQSVQDDLGALGCPVNTQESSTLPAQVCESPGKIPQQWGEGVVSDSDPGANDVVPTPGKVEPTPPRWRGKSTKGVPRFKVMKDKILKPKVTPKESTLDKVKKKKIPQEDSSEHVRTSSSNIVRRKLDLNSSESKACFSRATLIGNLRFLAKSRGLQDDPCARMRSKRGKKRKFMIFKHQESGHLAMVPYQSIETDVSSSKLVPLTGFTQLDIARKGSQEQKLQTKVLDLDEETLRVYDVLRKWDESDSESFEGFDIGSGPEWERRRFILEKCVDVFIATMHDLLGTVLALFYVLLLGPRKFSQWGGSVIDSVVGTFLTQNVADNLSSNAFMNLAAKFPATKRRHTAGECSNVAPLFSAFEENLNLGIEDGYEKESKGHYGQEYRALIENFINDMEQKDMSTLDKDHLMNLVKDKSGNPICSEKTLRKFIATLEPKNTSEWDTLREEACRKGYNNRSETRINDAVDWESVLHAPLVEVARCIAGRGQHYLMACRIHAFLARIKKDHGSFDLDWLRFVPRESAKQYLLSVLGLGDKSVDCICLLSLGHKAFPVDVNVARIVTRLGWIKLQPLEGSAEFHSVNLYPIMRDVQRYLWPRLCTIDKEKFGKCKYYRSTLGRLPLPPAEAHRHESSKEQTSIVISGRPHLSNGSCMPSVQQMCQHQIGISRTAEKQPTHNCDPIIEVPPSPEHGYEEAPNELEEPYEDDMCDLEDIVPEEVQYDAEIDLTSSKHMMNNHYWTPDYGKDLVLINPQCSFGQNKKLKNIGRLRTEHNAYVLPDDHLIIEGHFEERVPEDPNRYLLVVTSCPNDNIVKGTILIPCRTATGGNFPLNGTYFQEHEVFADHQSSHFPISVPRSCLWNLERCIVYFGSSIHSITKGQTRQDIQDCYKKGYICVRGFDRATRQPKRLCGTLHATNGEKKEGDENPAKRARTSPNVKDNGEASLAN >Et_6A_046958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23183716:23187241:-1 gene:Et_6A_046958 transcript:Et_6A_046958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRYAICPSEPRFDPSGKSVVVVVVVVVGLIFRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHLGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESATQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTLQEAETIALSILKQVMEEKVTPNNVDIAKVAPNYHLYTPSEVEAVIARL >Et_9A_061834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1755931:1762142:1 gene:Et_9A_061834 transcript:Et_9A_061834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYRVCCFLRRFRAASNEPSEAVADVFQAYAADGGGVLGEEALRRFLREMQGLDAGAAEAEAREVMAFAAEQRVLKKGGLTAEGFHRWLCSDANAALNPRRGVYQEMSLPLSHYYIYTGHNSYLTGNQLSSGCSEAPIVKALHDGVRVIELDLWPNAAKDDVEVLHGKTWTSPVELNKCLDAIKEHAFVSSPYPVILTLEDHLTPNLQAKVAKLIKETYGDMLFISESEHMAEFPSPDDLKGKIIISTKPPKEYLQTKSGKDETDDDKAEGGIWGEEISDDKSIARQAITHDPNAEFTHSHCACSVIVQNVLQLSEQGSEKYIEEAEELVESEKKAQQGADNEYKRLIAIQLTRRKHDMDKDLMVDPDKVSRLSLGEKAYEKATISHGADIIKFTQRNLLRIFPRSTRITSSNYNPLMGWRYGVQMVAANMQGHGRKLWLTQGMFRANGGCGYVKKPDILMNSDPDNLFDPRADLPVKTRLKVTVYMGDGWRFDFRKTHFDRCSPPDFYARVGIAGVAADTRMEQTRVIMDNWIPTWDHEFEFPLKVPELALLRVEVHESDNHQKDDFGGQTCLPVWELRPGMRSVRLCDHKGQTLRSVKLLMRFEFFPSSL >Et_3B_030374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32529773:32534309:-1 gene:Et_3B_030374 transcript:Et_3B_030374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGPLLLLCFVLVFFSASVVAQMPGFVSIDCGGSANYTDELGLVWTGDAGWFPFGATANISVASEKRTQYSTVRYFPSAGSSSKHCYTLGVRTRTRYLVRASFLYGNFDRSNVFPEFDLYLGASRWSTIVIYDEAAVVTREAVVLASSPTLSVCVSNATTGQPFISTLELRQLNGSLYYTDYEAGAFLGLSARINFGAPTTDPVRFPDDPYDRIWESDMVRRANYLVDVSPGTLNVSTDKPVFVGSSERPPQKVMQTAVVGSLGELTYRLDLPGFPGNGWAFSYFAEIEEFVVPATRKFKLFIPGLPDVSKPTVDIGENAPGKYRLYEPGFFNISLPFVLSFAFRKTNDSDKGPILNAFEIYKYIHVDLGSPDGRRGSVPTVTLVLGEMHSRITTKSHFHKSFRKELVREHTAGTGGGLADNMLTGPIPDLSGSSNLSIVHFENNQLTGNVPSYFGSLPKLSELYLQNNKLSGAIPRALLSRSIIFKYSGNTYLGIGKQEKKHLIVIISALLGISFLLAAALCCYMLTRKTTRKYSLESQNDDVTKVLPAQKLQNSKQSCEIATETAHPYKLLDLEVATNNFANRIGSGGFGIVYYGKLTHGKEIAVKVPTNDSYQGKKQFTNEVSLLSRIHHRNLVSFLGYCHQDGRNILVYEFMHNGTLKEHLHGRDKHISWIKRLEIAEDAAKGIEYLHTGCTPSIIHRDIKTSNILLDKQMRAKVSDFGLSKFVAEDSHASTNVRGTLGYLDPQYYISQQLTEKSDIYSFGIILLELISGRPPISTITFGDHFRNIGPWAKFYYESGDVEAIIDPSMGGEYQDVQSIWKIAEAAVRCIDIEPRKRPCMPEVVKEIQDAMALERTATSEMRVGCPFSPAAASVRSGGTVRSHDIVMDNLLLMDEDSSSFSGSVSKLKYPELR >Et_2B_021295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28329384:28331209:-1 gene:Et_2B_021295 transcript:Et_2B_021295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPTTQGPDPFVPAHSSGQRGQSVSRRETKGDLETAPATTTSSGGRSEAMAAKAISSPVPVEWYPTLAVVMVSVGLMFTASFFIYEATSSRRSRSLAKEIATAAVASVFLGFGSLFVLLASGVYV >Et_9A_062639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2687789:2691657:1 gene:Et_9A_062639 transcript:Et_9A_062639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQDAVELLLHLKLAFLAGEPPACVLALARKAGGGSITPHVQHFILENCTGTNLEGRQNCTYTKTILKRIITEFELSSEVVIDELYEEFAQRMLSKAKDPLLNKADHMYKEISFISSSDDSTSPGLVSMVARLSCSSNMLEGDTGCSLWPSSLFLSEFILSYPKIFSKKCCLELGSGVGLVGICLNHIAASKVILTDGDSSTLTNMKENMELNNLCVDEGEDPKLLDEHTNKIECKYLSWEEVSESDLRGFQPDIVLGADIIYDPICVPHLVRVLSMMLRRDGDQGQTNGRSVDEFVTEGPIAYIATVVRNVDTFNCFGKAAADAKLSVVNITSDAAPANFLPYMLSYDRSSVQLLRITSVIEH >Et_5A_040921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1505334:1509168:1 gene:Et_5A_040921 transcript:Et_5A_040921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKLLLLLVALCLSAAIAAEQTCPADLDSKCDGGASDHWEGEFFPGIPKVKYEGPTSKNPLAYKWYNAEEVILGKKMKDWMRFSVAFWHTFRGTGGDPFGAPTKVWPWEDGTNSLAMAKRRMRAHFEFMEKLGVDKWCFHDRDIAPDGKTLEETNANLDEIVELAKQLQTETNIKPLWGTAQLFMHPRYMHGAATSPEVKVYAYAAAQVKKALEVTHYLGGENYVFWGGREGYQTLLNTDMKRELDHMANFLQAAVDYKKKIGFNGTLLIEPKPQEPTKHQYDWDVATTFSFLQKYGLTGEFKINVECNHATLSGHSCHHELETARINGLLGNIDANTGDPQVGWDTDQFLTDIAEATLVMSSVVKNGGLAPGGFNFDAKLRRESTDVEDMFLAHISGMDTLARGLRNVEKLIEDGSLDELVRKRYQSFDSEIGALIEAGKGDFETLEKKVLEWGEPTVPSGKQELAEILFQSAL >Et_7A_050532.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:22862088:22862255:-1 gene:Et_7A_050532 transcript:Et_7A_050532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPRRRGNVRWTAANSVACASARRTAPTYAAQKGSWAAGAATSDAAACARRHAR >Et_1A_008960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21382753:21388247:1 gene:Et_1A_008960 transcript:Et_1A_008960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCAIATMKGQRLLGEGYEALDVDDGLFAERGGELYHLCADPGVGVGDEHALHGAHALAQHDEGHLLADGADRLREPADEHGAPGRGLVEVADLAPDAAGDVLGLDLLDGDIAVVGGEGVLGVSGLLLLLLALHRLLGLLRLPSVLLLLLLAEGGRG >Et_9B_065343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:447846:456130:-1 gene:Et_9B_065343 transcript:Et_9B_065343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGVHPMMRRVVAVGLMEKLIVTITRAGVCKAERQRTIKFTSRVNNGDEAEITCGSLKMHVKEWAELEPFFFDEAEAITDHARRAPKEKLKALRLQKIMTTLAWIQQYDPKLGRVVYNKIYFVDLRSFDHDEESPLGPMRDTEASIDMVDGSVCKEGKKQLVIQGDHESSIGALSVKAVATLDVSEKLFVPCNSANVLRVKIVSSDVGFPIQVYGTVVARDMLDQKCVYLFRCDRDHSQIVLNKMVAMCGVEKRAVEATIEIEVIQGKFYGEITACTTNQDGIVLHDSKLISAEATAGGALPLLRRVVAVGLMEKLIVTVTWAGVCKAERQRTIKFTPRVNSGDEAEIACGSLKMRVKVTWSIISRLYLDSTDEEGQYDGAFRFPNRVLAPRRRSPLRIPPPFRLLDDEAEKRIDHNDAVGRLRYV >Et_8A_058464.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8471751:8471972:1 gene:Et_8A_058464 transcript:Et_8A_058464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVVVGGSVYYFWSDEYAAQVEEEMTWGYDPDDLPDFIACFDLATEQWTTIPGPRPTEEDDGSSDEGSSDD >Et_1B_012000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2826789:2829756:1 gene:Et_1B_012000 transcript:Et_1B_012000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAISQEAFDAMVRENMEDLGMDPDEALADAVEALTLQGADLTGIIKRVPGEAAEAEVSPVVRVLDELKAYSSAGGGSGEDLDRLVSLLDELRSLCCSGVGSENAAIAVRNGGVEALVALCGSARVASERVLASGLKALSSMIRDIGSTEKFRQSEGPKIVMDILRGGSKNPDLLDAGFSVVAAGSAGNEVVKESFMDLKVDELILQLMREKSNTNVQSLYEAVRVLLAPDDCRVVASQVYGYSRKFAEIGIAEVLVNALRDQVAPSSLPAACAALKAISVNDEICRSISDNGGIDVLLQCIDEAGEQKNKVIAKSCCSLLSKLAASDANKSVILQRCGFDKFLKLASVFSEDASIIQEVMSMMTVLTLRSPDNAAHAMEVGYGTLAIQAMQRFPSSGQTQKQACLMIRNLVVRNPENRTILLKDGAEKLIRKAKMTHASCKDAATAALRDLGLDNYNA >Et_2A_016313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23263296:23268181:1 gene:Et_2A_016313 transcript:Et_2A_016313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSRKIPTGLSIFRPNNARRLEAQLDDQMIAYRKLVSMKSDGSENDIESDIERSLKQLQVVNSQMQTWVSSGGSEVLSHTLTRHMEILQDLTQEFYRLRSSLRVKQQHASLLDLRDFDRAKFDVEEASDSSDQALLREQAAISRSTGQMDNVISQAQATLGALMTQRSTFGGITTKISNVSSRLPSINHVLASIRRKKSMDTIILSLVASVCAFLILIYWLSK >Et_4A_033377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22072361:22076106:1 gene:Et_4A_033377 transcript:Et_4A_033377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRISQLALRRLLSPPSAAAAARRAAPVAVEDASGGFIPVLPRGGGSWVAASGWSGGISGVRLARRLCTYDERDDRALEEEAEKKFGWILKFFFLGTAGLVGYQFFPYMGTDFVFPLRLNYQHMIISGEPESIALPDKFSGDNLLLQSISLLRVKDPLFKRMGASRLARFAVDDGRRMKVVEMGGAQELLIVLEGAKDDKTRKEALKALIALSKSEEAARFLDKAGAYAIVSSTPEPSSPEFAEIETYKTSVLKAFDQLKS >Et_1B_012702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34551173:34564525:-1 gene:Et_1B_012702 transcript:Et_1B_012702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSGVGGGSRRCRVGGFTAAAFLIMLQLWSVLRGLGGVPAANAPAGAWVKMVDEMQSAALSTRLGIPLMFGIDANHGHSYAYKATIFPHNIGLGCTRDPALAKRIGAAVALEVRSTGIPYIFAPCVAVCRDPRWGRCYESFSEDPKLVQQMTSIISGFQGEIPANGRLGAPFVAGQRNVAACAKHYVGDGGTTRGINENNTVASFHELLSVHMPPYYNAVIRGVSSVMISYSSWNGVKMHANRDLIAGFLKTKLRFRPEGMASTAAAPRSVLSGGGSVPAPNAPPEAWVKMVSGMQGGALSTRLGIPMIYGIDAVHGHGNVYKATIFPHNVGLGCTRDPELAKKIGAAVALEVRATGIPYVFAPCVAVCRDPRWGRCYESFSEDPKVVQLMTSIISGFQGEIPAKGKKGVPYVAGSHSVAACAKHYVGDGGTTNGTNEGNTVATPKELFGIHMPPYYNAVAQGVSTVMVSYSSWNGVKMHANHGLITDFLKNKLKNRGRAGVLPLILCYCACVTVVSAAAAAVTAGAGGGAYAKDPKQPLNKRIDDLLRRMTLAEKIGQMSQIERANATADVMRNYSIGSVLSGGGSVPSPNAAPEWVKMVNEIQRGALSSRLGIPMLYGIDAVHGHNNVYKATIFPHNIALGCTRDPELVQKIGAAVALEVCRDPRWGRCYESFSEDPRLVQQMTSIISGFQGEIPAKGRLGTPFVAGSRNVAACAKHYVGDGGTTDGINENNTVSGFHELLSVHMPPYYNAVIRGVSTVMVSYSSLNGVKMHANRPFITDFLKTKLRFRGFVISDYMGIDKLTTPLHADYVLSVKLGILAGIDMVMIPYTYTEFIDDLTKLVQNGTIPMSRIDDAVRRILRVKFTMGLFENPYPDASLAGELGKQEHRDLAREAVRKSLVLLKNGKPGDKPLLPLPKTASTGILVAGSHADDLGSQCGGWTITWQGLTGNNLTAGTTILDGIKRAVHPGTDVVFAENPDARFVQQNKDKFDYAVVVVGEPPYAETSGDRMNLTIPSPGPSIIQNVCGSIKCVVVLVSGRPLVVEPYLYAMDALVAAWLPGTEGQGVSDVLFGDHGFTGKLSRTWFRSVEQLPMNVGDKHYDPLFPFGYGLETQPSTA >Et_4B_037790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23356383:23361310:-1 gene:Et_4B_037790 transcript:Et_4B_037790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAARLLPRISPVPSPGATPRADPHRPSVLRAGALCGSAEVLKQKCIAKCAISVVEGGAAFDGVKQYTRPIIVIDNYDSFTYNLCQYMGEVGANFEVYRNDEITVDEIKKISPRGILISPGPGTPQDSGISLQTVRDLGPSIPLFGVCMGLQCIGEAFGGKVIRSPYGVVHGKGSLVHYDEKLDGTLFSGLPNPFEAGRYHSLVIERDSFPHEALEIVAWTDDGLIMAARHRKYKHIQGVQFHPESIITTEGRLMVKNFIKIIEGYEALNCIP >Et_4A_033493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23867414:23879686:1 gene:Et_4A_033493 transcript:Et_4A_033493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFAKTLASRGVLTTFVTTRFVARTTGVDARPATVATISDGHDEGGFASAACVGEYLEKQRAAASESLAALVEARTSSPAPFTCIVYDTFEQCVPPLARRMGVPAVAFSTQSCVVSAVYRYVSLGRLAVPPAADGDGGVPKSEALEGLPEMERTEFPSFVFGDGPYPMLTEMALNQFAHVGKDDLVLFNSLEELESEVLAGLMKHMNARCIGPCVPLPAADTSSAGHITYGANLLNPDASCIKWLDTKAPNTVAYVSFGSFASLGDTQTEELACGLLAAGKPFLWVVRATEEEQLPRGLLEEATASSAAMIVRWSPQLEVLAHPAVGCFVTHCGWNSALEALCFGVPMVALGLWTDQPMNALNFERAWAAGVRARRDAAAGMFMRGEVERCVRAVMDEDAATVREGAVKWREKARAAVASGGSSDRNMDEFVAFVRAGAAEKGKALGHMNPMVQFAKTLASRGVLTTFVTTRFIARTAGVDAWPATVATISDGHDDGGFASATSITEYLEKQRATASASLAELIEARASSPAPFTCIVHDSLEQCVPPLASRLGVPAVPFATQSCAVSAVYHYVSQGRLAVPPAAADNGGVVKSEALDGLPEMERTEFPSFVFGDGPYPMLTEMALNQFAHVGKDDLVLFNSFEELESELADIGSAGHITYGANLLNPDKACIKWLDTKARGSVAYISFGSSGSLSLGAAQMEELGYGLLAAGKPFLWVVRPTEATNLPRGLLDEATASGAALIVRWSPQLEVLAHPAVGCFLTHCGWNSTLEALSFGVPMVALGLWTDQPMNAMNVERAWAAGVRARRDVDAGMFLRGEVERCVRAVIEEGEEADALREAAGKWRNKARAAVAPGGSSDRNLDEFLEFVRASPRKEAMAIESKETAGPEI >Et_3A_023064.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:23380896:23381692:1 gene:Et_3A_023064 transcript:Et_3A_023064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRDAPRKRVRVRMEASGGRSRGGGGGGDGCCCSVSGKAETPTPRLVVIVGATGTGKTKLSIDAAVALGGEVVNADKIQLYAGLDVTTNKVALADRRGVPHHLLGAVPADAGELPPSAFRALAAGAAASVAARGRVPVVAGGSNSLIHALLADPFSSAPAADPFSASAAGYRPALRFPCCLLWVDVDAAVLDAYLDRRVDDMVREGMVEELRAYFAATSPAERAAHAGLGKAIGVPELGDHFAGRKSLAAAIDE >Et_8B_060478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17726014:17727151:1 gene:Et_8B_060478 transcript:Et_8B_060478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAQLAARAARTAPSARPRVGPCSLAMASGGSAPVEAPWLGRPGRGACRGKAIGRRTDMGQMRQTWKKTGKGTHPVIWTKPIQTKAKIAKDSVPSSSKQAIVGGAAMASTGGKADEWILSHGDVVLVRSDLSILRGPRFINDRLIAFYFAHLSSVFAAGGDDDDLLLLPPSIPYLLSNLPDPASVAAVAEPLRLGSRRLALIPVNDNPDVALPEGGSHWTLLVLDNTDPSSGPRFVHHDSLRGAPNLPVAERLAEALSPLLVDAPGRRVPLVEGPTPRQTNSYDCGVYVMAIARAICGWWIGRGEEGGGSDWFEAVRREVDATSVKAMRTELLDLINCLIQEKASLKSESNKEH >Et_6B_048820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14023307:14026552:1 gene:Et_6B_048820 transcript:Et_6B_048820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPSAAPAQRRPTVALLLGLALAFCLAVLSIQSSFFAAPGAPGRKLDLDADDVRALAGFQSRVQQCVANRGLGLTADIIDHCKLVLKFPEGTNSTWYNAQFKIFEPLEYKYDVCETILLWEQYRNMTTVLTREYLDVRPDGWLDYAAKRIAQLGADKCYNRTLCEELLSILLPAKPPFHPRQFATCAVVGNSGDLLKTEFGQEIDAHDAVFRDNEAPVNEKYAKHVGLKRDFRLVVRGAARNMAPILKGSSDEALIIKSLTHKEINAVIKELPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIVDIYGFTVDPGYTEWTRYFSSPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAQRVEDWSDIPSKEDIRRAHAAAFRLKRHETGQPDDLSPFSNCKVWGTVDPDYGPVSGTSDMSETRKNSNYSKWEKLPFERLREEAQEHHIQMGGVSLYKMDGNKLDDLVCVRHDRSSS >Et_6A_047148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2612846:2613696:1 gene:Et_6A_047148 transcript:Et_6A_047148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVTGIAATALLVTSVGLWKIGLRTVTYPVLATCFVAWIITFASHTAINVPWILGKNSTGRFPVWSIILFGPFLMLARSYAIVKRFMRKESVYDKIAEGLYLGGWPFLLTHLPPGGLSVIDCTCELPRSSFVPKDEYLCLATWDTRAPAPHQIELATRWACEKRSQGKPVYVHCAFGHGRSACVMCAILVALGIAENWKDAENIIRERRKIKMNALHRKILEDWSKHRLLHKKEN >Et_9A_063571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7763001:7765392:-1 gene:Et_9A_063571 transcript:Et_9A_063571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVAALLGLLVALASMASVASGAGGHDYRLALKKSILYFEAQRSGVLPPNQRVTWRESSGLFDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMLSWSILEYGKQMAAAGELRNAMDAVKWGTDYFIKAHPEAEVLYGEVGDGDTDHRCWQRPEDMSTSRQAFRVDPQNPGSDLAGETAAAMAAAALVFRGAYPGYANLLLEHSKQLFAFADKYRGKYDASITVARNYYGSFSGYGDELLWAAAWLYEATEDGFYLDYLARNGDALGGTGWSINQFGWDVKYPGVQVLAAKFLAQGRAGAHAATLQRYRANAEFFVCSCIGKGTANVPRTPGGMMYHQRWNNLQFVTSASFLLTVYADLAAGAVRCPGGAARAPDILAFVRRQVDYILGDNPRGTSYMVGYGGGAFPRRVHHRGASIVSVNKDPSFVGCGEGYAAWYPRAAANPNVLEGAIVGGPDEYDDFADERDNYEQTEAATYNAAPLLGVLARLAGACNGAGFEEYQSPLLLIQNQTSPAEPAHHRHRLRRSSGHPAWTSPIEIEQNVTETWARRGTTYRRYAVTVTNRSRKTVRELHLGVADLQGRLWGLEKARYGYVPPKWRQALRAGKSLRFVYVQAAPPANVWVTGYKLV >Et_2B_019244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19762511:19763964:-1 gene:Et_2B_019244 transcript:Et_2B_019244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPKPRSERLAELLRAEPSECGEDGELADADAAAARKVEALEELKAVVGALQAAGGDGDGCMSRVEAAMAVRRKAKDDAAAREMLAMLGAIPPLVAMLDEGDGGEEEITAAALYALLNLGIGNDANKAAIVQAGAVHKMLRIAEGGGASGALTEAVVANFLCLSALDANKTVIGASGAAPFLVRAFQGGGGGGTTTEQARHDALRALLNLSIAPANATHLLAAGLAPALVAAVAAAATTDRALAALCNLVAACPEARRAVSRAKDAVPALVDVLGWSDEPGCQEKAAYVLMVLAHRSYGDRAAMAEAGATSALLELTLVGTALAQKRASRILEILRADKGKQVADDASGVAAAAMSAPQQRGMSVEKRAVRQLVQQSLHSNMRRIVRRARLPQDLAPATSESLKALTSSSTSKSLPF >Et_7A_050989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13241076:13247936:-1 gene:Et_7A_050989 transcript:Et_7A_050989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGRFADLQLCCFYTYLTILLHLFLNPGSSLSRIDPQDPCDSSEATGTIGMETTHVEKVKSASHEVHGTSMDEYDPWNPPYPPRRPVPPGLDLHSRVKMTSEWFDEVDEVVATSRRTKIIIPDRTPESVDDDLWNAIPRLVPILATDNVRGFLDLFDQDDRGLAWGFIITPLTLTQMVKQNALQCAKVALVGKAPQLRGFRANPNCMNRYGYFPLHEAAEMFSVDMIKLLLRYNASANVRTAGPEVIEGLLPLHVAVENTCLHKYLEDNAFLDQENLDDNQTNANYVCKLIHLLCLPEMRIFLDTTRLLGEHTDNLIDEIWNYMKDGRLVESAILLLASQKQIRGGRNKQDGFSVLIRRILEYIVSLDRGQTGKENRQLVVQKKLINAGLLLVYAVSDAGEALDAYIRSHPEDVCMINWCPPPPPPAADKRTHTTRLGIRTLVGCLKVFGAGHPPTRRVVSNGTPRAWGIEYARKSYFPFWRSVLKQKSPVKVIPAVRVSEEPENSRNKLAGQGSPLIQDLNLSLAGRFKQFSYQHKRTFCSVAFPLLKVLRKA >Et_3B_028239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12143710:12146297:-1 gene:Et_3B_028239 transcript:Et_3B_028239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKVYGWAVSPWIARVLVCLEEAGADYEIVPMSRRRGDHRRPEHLARNPFGEIPVLEDGDLTLYQSRAIARYVLRKYKPELLRDGDLEGSALVDQWMEVEAHLVEPTLWQIIRNCITGPYVGRPRDQAVFDENLAKLKNVLEVYEARLSVSKYLAGDDVTAADLCHFGFMRYFMATEYADVVDAYPHVKAWWDALLARPSVKRVMAGMPPDFGYASGNIPGPHGRGTARLAGVLDVYPHVKAWWEALLARPSVKKVMAGMPPDFGYASGNIP >Et_3B_030344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32359169:32362383:1 gene:Et_3B_030344 transcript:Et_3B_030344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVYTLEEVSKHNTKDDCWLIIGGKVYNVTKFLDDHPGGDDVLLSSTAKDATDDFEDVGHSNTARAMMDEYLVGEIDATTIPTKVKYTPPKQPHYNQDKTPEFVIKILQFLVPLAILGLAVAVRIYTKSESASTLYFKSK >Et_1B_010383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1024351:1030828:-1 gene:Et_1B_010383 transcript:Et_1B_010383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NGKGNAGGKPRRESSSTVRLLLESEDPVIELPISAWWGFAGWTWNQITSRYDELTSSQINAHQHTSSSIVLLSCSSRTIILLSMLFQRTNLADVQRKGDISDLFSEAQRNILHLNKQRLVAIEELKKLRDENKSLLQDIQVIETEAQGFLFEAAQSSSFCELLLRIDTMAISGLITTAEASDIRKKIVDNQRTIQRAFSDIHLKPDTELLSALRLFMRKPIEKPLHIVHMCSEMDPVASFGSLSTYITGLSCALQRNGNLVEVIMPKYTSINENGVRGLRRSEGEYESYFGGRWHKNRLWTGSVNGVGLILIEPVQLSYFNREMLCGYPDDFERFSYFSRASLDYIVKSGKQPDILHIHNWETAIVAPLFWDIFAHQGLENTRITLTCQDLDSQCLVEPAKLELCGLDPHKLHRADRLQDPNKTHLVNIMKGGIVYSNKVVLVSSNHSKDVLIQGLRHGLEATLTTHKDKILVVSHGLDGELWDPSKDIYLPRRYSVNDIEGKSFCKEALKRRLGFRSGSSIIVGCICDGDSNIHNLKEAVQVALHKSAQVIFLENLGPVVNSTVRALKEELKGDNTTFVEVYDEALVHLIFAGSDIILCSSFQDRSLQIAIKAIKYGSVPVQINFPSDKSRLSEGHNCHNKKMSQYIFSTYGDLSLSEALDDFKNDPYHWDGHTKDGMAKGLSWDAECYELHWEAYSSVKQL >Et_4A_032494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10693247:10697726:-1 gene:Et_4A_032494 transcript:Et_4A_032494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPPPPTLPPPPLTPSPAPPPPPPQQQQLLQQPGSAPAAGATLLVRHLPEAITEEMLSRLFSHYGATSVRPCAGGKLRNCAFVDFRDEAAANHAHSLLNRLRFLGKVLIVERANQPNAKNAHVKHQDQSLHGTPQAPNISSLNQKDPSLSAEPIAPKLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNLPAPFRMALPTPPLPSHVPAPQPPPPPQQPLTTEEIRSADLSSDESELESSDEDVDKRKSKRAKREAIVGPAIDKSVAHEAVGVKPASLVSSELQVIKKKNPVLQIKIAPKHTHKEPPVQSTTDNELTLSHEQLEEKHFITAEEIEKEKLPPEEILSLPMFKNYTPGNPASVLYIKNLAKDVIQDDFYYVFGSLFESMDATRSGLIIKLMQEGRMRGQAFVTFPTVDLAQRALNLVHGYVFKGKPMIIQFGRNPAANKAS >Et_4B_036291.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:15378068:15378313:-1 gene:Et_4B_036291 transcript:Et_4B_036291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPKRMATAAATAAADLTDDLIVEILSRLPVKSICRFKCVSWHWYSLITHPEHRRKLPQTLSGFFYPSCRLDEENEIMKP >Et_10A_002089.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22026712:22027275:1 gene:Et_10A_002089 transcript:Et_10A_002089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQKRRPRFRRLEVGRVPRLHQAQRLEDSGCHKDDSFTVRCDISVIRDSTKSTCRPTVTVPPSDLHKHLNKLLCEKQGTDVTFDVAGETFHAHRWLLPARSPVFKAAIANSSTKENKSASSTCSMKIDGIEPKVFKAMLHFMYTDSLPDGMMHLEQQDTTVVQNLIAGAQRYELERLKVDVRRGLV >Et_6B_049569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6705774:6713295:1 gene:Et_6B_049569 transcript:Et_6B_049569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTELAPGDHVLPVFTGECKECAHCKSEESNMCDLLRINVDRGVMIGDGKSRFTINGQPIFHFVGTSTFSEYTVIHVGCLAKINPEAPLDKVCVLSCGISTGFGATVNVAKPVKGSTVAIFGLGAVGLAAMEGARLSGASRIIGVDLNPAKYEEAKKFGCTDFVNPKDHDKPVQEVLIEMTNGGVDRSVECTGNVNAMISAFECVHDGWGVAVLVGVPHQEAVFKTHPMNFLNEKTLKGTFFGNYKPRTDLPNVVEMYMKKELELEKFITHSVPFSEINTAFDLMLKGEGLRCIMRMGDNGDRREAAVAWEAGKPLSIEEVEVAPPQANEVRIKILFTSLCHTDVYFWEAKGQTPVFPRIFGHEAGGIVESVGEGVTDVAPGDHVLPVFTGECKECAHCKSAESNMCDLLRINTDRGVMIGDGQSRFSINGKPIFHFVGTSTFSEYTVMHVGCVAKINPEAPLDKVCVLSCGISTGLGATINVAKPPKGSTVAVFGLGAVGLAACEGARIAGASRIIGVDLNPNRFEEARKFGCTEFVNPKDHNKPVQEVLAEMTNGGVDRSVECTGNINAMIQAFECVHDGWGVAVLVGVPHKDAEFKTHPMNFLNERTLKGTFFGNFKPRTDLPNVVELYMKKELELEKFITHTVPFSEINKAFDLMAKGEGIRCIIRMEH >Et_3B_029006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21265023:21265853:-1 gene:Et_3B_029006 transcript:Et_3B_029006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSLRHVWFSLTYPRITGTRNIHASIVSGSMVLNPPPSSGIRPLSQFLGNNINMWKKECSIDGGFDSLDCSCPSPLVPVSNSSGRNVLAKT >Et_1B_011377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21341332:21344167:1 gene:Et_1B_011377 transcript:Et_1B_011377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDELDLPENKLRDFIQHSNKEKWRCDNNHNIKYFTKAEIRAITNNYNTKIGSGAFGDVYRGNLRDGHPVAVKRNNRATTKEEFAKEVITHSQINHKNVVRLLGCCTEGNAQMMVFEHVPKGNLSDHLHHADAIMPLETRLNIAIECAEALWCMHSMYRPVLHGDIKPDNILLDDNFHAKVSDFGLARLLSAGSNTDRATIVKGSVGYMDPTFREEGCLSPKVDVYSLGVVLVELVTKKKPTDNNDVVQIFARFSAKTKTLRELFDPDITNAGSIKVLEGIGKIAKECLHEKIDGRPEMKVVAARLWELRATLEQGKEKALKRGGRRDTNNVATRSSLSEPNSMKNTGDITVFTYKEIRKMTQNFEPSICTEYSSIYLGVLPDNTRVAVKELRTAKKEGVNELTIHSQMQHSNIVKVVGCCLEKYSPILVTEYVPNGSLGEYLLVKAKGTRESTAKKHDRTRSHVRSRHLLDLNICYQIALGVASAMAYLHEGRQEWVLHCDIKPESILLDDHFRPKVCNFGLSKMMSMHDKVVTVSDIREKLNRQRDHHRSETCLTTSSTVGYLAPEWFRSSEAITAKADVYSFGMVLLEMVSGRRKRESFRDPGDSMLWYWPKEHVEFLLQSYIDDILDPRVMTAYYSNKTNLAIVKRMIKTALRCLQYSAEERPSMGEVTKMLEGTVDITEPLASSTHPKPKGQQRPSK >Et_3B_029342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24094884:24099067:-1 gene:Et_3B_029342 transcript:Et_3B_029342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTDWIPERERRQMEQILELDMEELQVEEVDDADSSSSSDVDTFLRSEHVPRPFLTVSLVVESMLFTCQINTHGAGGITTPEGFIIDTSLVCLQAHSYLEAVVNDSRGSFAFLDGDKVLNLPMFCLKGVVLFPEAALPLRVIQARLMEAIDKAINHVDAPCMIAVVHVYQNTNESHHNIASVGTTAKIQEIQQMDDGSSCVLTRGQQRFRLRRRWLDADGIPWGEIKIIEEDTPLRTPRDAFGQLAASNNFRQCASTIPSLHVSCSEQLDHVDSEPDWDSLSPTSTSSDHSISDKRMCLSGEDEDPLYEQSWQNHDSIKKIGTSLKYTNSGDEVDLCFASSKSSSRVRIKGSGQQRRYCGAYKSKIASQAPLSFWPHWAYEMYDSYSLARRAADLWRQAIINPSIDGYARQPDLLSFYIGSKFPISESLRQELLEIDGISYRLQREIQLLKAFNIIRCRNCLLCDRLNEIIMVYNVTGLALRGDPATAHSWFPGYTWTLALCSSCESNIGWLFRAEKKNLHLKSFWAIRTSQISDDTQSRQKEGLSVNLDCGAAEI >Et_6B_048217.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1261699:1261960:1 gene:Et_6B_048217 transcript:Et_6B_048217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHISLKDYEIHDGMGLELYYN >Et_4A_034757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6158205:6159484:-1 gene:Et_4A_034757 transcript:Et_4A_034757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEDVSHLSDAGLALGLSLGGGGAPEAARHHSPSSRPCPLEPSLTLSMPDEATATGSGGAHSVSSLSVGGVKRERVEEAETERASSTAAAARANSGAGGEDDDDGSTRKKLRLTKEQSALLEDRFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLHKELAELRALKFASPAPPSATPPAAAPFYMQLPAATLTICPSCDRLGAPAAAAKADPDRPTKAGVRTTHPFFNPFTHSAAC >Et_4A_032830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14405514:14406231:1 gene:Et_4A_032830 transcript:Et_4A_032830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLSNADAMVPVSEWCGPCFYAFMGIEDCHLVLLSQMVWTMPSFTLVKEYYKIKEKNNDFEIVFIFSNRDQSSFDEFCSEMPWLALRLKNEGSMFEEDLQNLWDPFTRRQQSYWADCHQGCKKPTDVQVT >Et_5B_043935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1868420:1871184:1 gene:Et_5B_043935 transcript:Et_5B_043935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQLRSSAAAVATRLQPALAGRRRLGTAAAEVAETGAVLPAGVARWEPMGAREYYDYRRAIYGDITHKAILVDAAGTLLAPTEPMAQVYRTIGQKYGVEYSEDEILDRYRRAYSRPWGGSRLRYVNDGRPFWQYIVSSSTGCSDLQYFEELYHYYTTSKAWHLCDPDAGRVFHALRRAGVKTAVVSNFDTRLRPLLQALKCDHWFDAVAVSAEVAAEKPNPTIFLKACELLGVKPEEAVHIGDDRRNDVWGARDAGCDAWLWGSDVYSFKEVAERIGVDV >Et_1B_012576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33328646:33330281:-1 gene:Et_1B_012576 transcript:Et_1B_012576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATGAMNTLLPKLVELVVGEYKLQKGVKREIKELEEELTSMNAALRKVSMVTDLVGIEEPKNELAEKLVGEGSSSGQNPKIISIWGFGGLGKTTLANALFGDLKSKFDCHVFISVSLNPDIKMVLKNTLSQLDKNENVNEAWDLVQLINKIREFNENKMYIHPCFSSFPRYEVEHTVIISSCCRTYLSSFSLRQSLSQLYSGILT >Et_3A_023363.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:23266977:23267027:-1 gene:Et_3A_023363 transcript:Et_3A_023363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRGEHKANYSFAFY >Et_8A_056129.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23663430:23665351:1 gene:Et_8A_056129 transcript:Et_8A_056129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLIGAGFGSMTEALRVLTQHVHSVLPRPPVDSAAHLSFAAAASAPDGVDHISRLPDFILRNVVSRLPVKDAARTIVLSHRWRRVWSSAPLVLVDAHLLLPAVVRRYHEVDLVVQVSHALASHPGPFQCVYLTRTTMEDVQPAEIANWVQLLASKGVQDLVCVNRARRLHSDLELPAAIYECKHLSRMYLGFWRLPKTTTATLPPAPWFPYLRELGLCSVNMDDRDLAILLEQCPSLAKLLINANRKPLCVTIRSPSLECLELCTAIVPDIQLRNAPRLRRLLIWHPCGGKGLTCMSQTVRVSVATNLRILGFLVPGTHRLEIGATEIKVCFDPSTLSICTCRTLQILYANQLLFVSVSDSAETMHHGPKCGDARSASEAWNSQGSDNVACLLEVFSQCEDALCTGKIWPNLLFFFSDQRRMQLLFNML >Et_1B_010625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12184400:12197229:1 gene:Et_1B_010625 transcript:Et_1B_010625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGLEQKLCESHGINLCFQTSSMPFHMDSSIDLGAGNVMPPVTSSQAVQDDAGAVEGVDTNGKSVHKPKRKKHRPKVIKESTKSQKPKTPNPPKEKVNQSTGKRKYVRRKGPNAPANQSPTGGTDIQARAEPEESQGCLNFDREDPHGNTNLVSQTQVTQMPTRPGDTLTSISGVERSNVQVQCHWGDTSNLFSSADRMADLQKLRLDSMPERAIFDLNNSVINQIPTSCSNPMDGSVQSFQHGSRAKVQTNRLLDSHSGMQVRSLTHLSNSANQMQNSSSNLDQYIGTSQSSIAPRHDHMLHRFQMLENPAAPQLQLNPEASIREDVMINQMGQFCRPQYSPFAPPRHIERDAMNGDLNEFSAKNNYLNCGNDNNYQIGAGFGFSDAPDFSGVLATGRKRDYNAINGHQISFGMDFDNVNRARQFCNDHRSSSSQTSYFPETCKRMRPDNINNQLNIAVGNVSSSSMFSGNWKVPAIKPGACTLADVQRLMAREKSRASQQMIDFGNSGSNMARQHILHNDYIALPGKEFGSFTMQHVQLPGTTTNPLGERNILRNGIYQPQSCEIGPSQHHSSDNFCLPDKWSTYLSTGHTQLPIGTENPSIESYIQKNPNHQLHSLENVVVEGSILFSEPPKTVTQDDTVNNYNTAANTDSQVRTTNAEAVRPLSQPPSKLTGDGSCHLESSKLSAESTEKRKVRGRPRKDANANGTPKDRNTTGNNNVGRAKKRAASKGANSEVLKTDGITSASDPSTGTIPKMATTESERYGEKTLNVLKTSDHHNYSGTSKETQGGFISQATSLSVDSLDAIIQKIMVLSINRPDEIAAEVPKNALVPYEGGFGALVPFEGKVKKSRSRAKVNIDPVTTLMWNLLMGPDASDGAEGLDKDKEKWLEEERRVFRGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMAVAAKFPAKSEIPEKPVADISDSPREQNDNCSGLFGDSIKLQGKLFVEDISDIRSLITTEDNEESNSNELIGSSSACGRNHVAEGCSVSHRKSLTISHENGTPGSVFPTNGFSCAVEAEDGSLEDVISSQNSVVSSQNSPDHLFHRTDPLGSFSVQSFTDEGYIMRNMSNGIGTSTEYTEVPSMQDPKIMSNRNAGSSEYHEGNFPVSGVNKGVLLDLNKSYQPVHSSISYVQNGQSNFTGVSCLNNMDQSFYTGPDRVNVSSVAQSETSQPASRGNKNKTKISDSSSHLLYRINGSVSQERTSRPSEPTQQGDFSPIIKQNFQTSISTEDLSFSKDHSFYGNHFLRNKTETSFVEPHNYSNLQVYTITTNSIGGEQLQSGCNQLDNDVRVQTTEQGNYCSSNLCENQNSHSNVASGSTQKFRDTQKGPLEAPMEGSKATKARGRPKKKAYDWDSLRKEVLSKCGDKQRSHNAKDTVDWEAVRQADVRKISETIRERGMNNMLAERIKEFLNRLVRDHGSIDLEWLRDVQPDKAKDYLLSIRGLGLKSVECVRLLTLHHMAFPLCMLIPQVDTNVGRICVRLGWVPLQPLPESLQLHLLEMYPMLEQIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCSKSKPNCNSCPMRAECKHFASAFASARLALPGPQEKSLVTSKEPNAAGFCHQTYTDSQTVGQLEWNANHPKHAISSNQLPIIEEPPSPEPEPESAETREGAIEDFSFEDPDEIPAIDLNIEKFTQNLKSYMQANNLEIEHADMSKALVAITPEAASLPTPKLKNVSRLRTEHHVYELPNEHPLLQGVSSQQLSTSVRFRVEYYYKADLFVSVQLDPREPDDPCPYLLSIWTPGKIIFLNLCETAQSTDAPKTFCNSEETGKLCGSSTCFSCNTIRETQAEIIPCRTAMRGSFPLNGTYFQVNEVFADHDSSRNPIYVPRDSIWRLPRRTVYFGTSIPTIFRGLTTEEIQQCFWRGFVCVRGFDRTGRAPRPLYARLHFPASKVVRGKKPGEARVEE >Et_8A_057535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4011756:4018081:-1 gene:Et_8A_057535 transcript:Et_8A_057535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGAIRNICAAFDAVALTVIAAGLSRGSGPHSRHPFSAHAHSPHPADFPTIAACHAAISASKSSRRRQPSSSPTDAPTKEPAPAEQPALVRIKHERDPERLYELFRANAQNRLLVENRFAFEDTVARLAGARRNDLVEEILEQHKALPQGRREGFVVRIIGLYGMARMPDHALKTFQEMEMYGCPRTAKSLNATMKVLVRARLFNEVMQLLEESPEKYGVKLDDISYNTVVKMLCDMGELRAAYRVMQEMEKEGLRPDVITYTTLMAAFYKYGQREVGDGLWNLMRLRGCEPTLASYNVRIQFLINRRRGWQANELVRKMYSAGIKPDEITYNLVIKGFFMMGEHEMAKKVFGAMHGRGCKPNGKVYQTMVHYLCDKRDFDLAFRLCKDSMEKNWFPSVDTINRLLKGLMGISKGRNANEIMKLVTGRKPSYSKHEMEVFKDILSQVPEKKKQKEDILPRTADAGEAAGSDGGGLVNRLPEALLVEVLSLLEVDDACSAAASCRALHAAADAAISAITSVDLSEFAPSNAILSRILAGNGSVRSLTVNCSRLEDSAAAAIAKHSLRELSLLKCSFSKNFFVAIGEKCPNLRSLKLEMVISPDDLNSRYDEFCTFLAPIFAGCCHLETLWIKFPLLDPRTGESLLTFVPNTIKELLLQPVSQSWARALFPTTISLTTRFTESLESISLVLDTITDELVVWITKNIRNLVELCLEDEPVSQAYLIDDLTNVGFQALSLCNNLRHLSVTRRYSDFRRVNDFGILTLSEGCKQLRTIRLGGFSKVSDAGYAALLHSGKDLKKFEVSNGFCLSDLACLDLDKAAPNVQEVRLLSCALLTSDTAISLASCTNLKILDLSGCKSIADSGLISISQLSMLTLLDLAGADITDAGLSALGNGKCPISSLCLRGCRRITSNGIASLLCGTGTINKTLVSLDIGNVPKISGRAVIVIAKNCEQISSLSLRNCLFITDSSLEMLGSMGRDTGKCSLRMLDVAYCSRLSRNFLRHFEPPLFRGLRWLGVGKNVVERRGCRPSIAELLEKKPGLTICANACEMGCRNKFHPDIRVHQ >Et_3A_026184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5193529:5203324:1 gene:Et_3A_026184 transcript:Et_3A_026184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKRCSQLQNGEHGHSGKKARARGMTNNGVVKQEWQESEEGEKEEEEREEGEVRRGSDARSMAEQLKRSLALGNGDDGHSGKRPRVPAVQNGDVGKEEEEEELSQVWKAERAVVPVVAMEEPQISLTFGVSIFHCQACLLPLKPPTFKCEADHVVCGACRGKHGEVCGRAATYAPCRELDVVMLDAKLPCQYAEFGCKSVVAYYLAADHHAACPSAPCFCPAPGCEFVSSAARLADHLRDAHSLPVTAFSYGRPRKLAVPAPEDLHVLVGDGDGAVFLASASALGAATAVSLVRVAANGAAAAAAGQFWCKLWVELPSIKNRMVMITSPVGSSDLSRGFPEPDEDMFLVVPPVLRHDAPGEAPELMVLIDKPKPATPKSATPPSRSPRMLHGEPSPMETGEPSPMETGERSSAKKARVDSPRGPLKHEAEEKEANGGGAVVAVDGAAAKAEITCGVGHLACGRCHGQLAGNQCNSCGDGGVYARCFVMDAFVSSAVVPCPHEAYGCRTEVAHYQVGDHDSACPHAPCACSEPGYAFAGSPATLLAHLAAAPHSWPVDKLRYGEVLRLRVPESEPRRVLVAEDGRVFLLAVGEAVGSLPRAVPVTVACVRARAAAGPRYTCKIWANGSVAPATGKVERVLMEAEVPSCSGGVDDVVDGEEAMFLGVPRKMLRGDPKQILLRVRVDKALNLGSFWSSSVVPSTHQKPVLLCADQTLRLRLCPGSEAAALLHLSERGSPPTAEQEGYKNKTPLPPSEKPSQERRVRIGEATAGGGLQNGKGGGGESAAAGRRADGEISFKMDSRVLDCSICFEPLKPPIYQ >Et_2B_022591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27210992:27213069:1 gene:Et_2B_022591 transcript:Et_2B_022591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPATTTARAGAPHVYLKTHGTRVARLHLSDWVVLVILAVVDGVLNLIEPFHRFVGEDMIPGLRYPLKDNTVPVWAVPVRPTPFPLAASLLFSVLITAVLTDAIKDGVGRPRPNFFWRCFPDGVPSYHNVTREVVCHGDQAVIKEGYKSFPSGHTSWSFAGLGFLSWYLAGKIKAFDQRGHVAKLCIIALPLLLAAMVAVSRVDDYWHHWQDVFTAGALGLVVASFCYLQFFPPPSGEQGFWPHSYFEHILSLEGEIPVQPATDSSQHQSLALDLSSGPVGIEIEAGHSSALQLPSVHMQEGGTSVPPTTPPATCAHRDWVASPRLTYRRSMSLSSSPWHGLASLHDSFTATRVADTPLMFLNLTSLMLTADGRIFCTDINAVTDKSKPMRN >Et_6B_049754.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:135155:136690:1 gene:Et_6B_049754 transcript:Et_6B_049754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDDVVSSATSSPASSSLYSPSAHGYGSWVQDLSQDQQSVRLVGLLYQCAAEVAAGAFDRANLYLEQITQLASLDSPHTLQRLAAVFADALARKLLNLVPGLSRALLMSSSSPASFSGAGEARRHVFDALPFMKLAYLTTNHAILEAMEGERFVHVVDLSGPCANPVQWIALFHAFRGRRGGPPHLRVTAVHESREFLAGMAGVLAREAEAFDIPFQFDGVEARLEEMDADALRHSLRVRSGEALAVSVVAQLHRLLAADDTGSRGRYGGGGGSSCLTPLQIIAARSASPRSFGELLERELNTRLQLSPSDSSSSVVLCSSPLSPQLSPAAQQSQQQRAAAAKLGSFLSAVKALSPAIMVVTEPEANHNAASFQERFDEALNYYASLFDCLERSSSSTSSSQHHQHRAAVERLVLGEEIRGVVAREGAERKERHERLAQWARRMEAAGMERVGLSYGGMNEARKLLQSCGWGGSYEVVHDARGQGFFFCWHRKPLYSISAWRPAARRLNS >Et_7B_055868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6253020:6256900:-1 gene:Et_7B_055868 transcript:Et_7B_055868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQQPPMRGGRPCPVVALSIRMDGGSSPPSVGSAGDESLVARAYSTPSSSRCSPQPLPARLLRFSLRLPENLSWLLAQPEIAKRPSSKKKKKKEETVTVNRFGVILEWEGVVVEDDDPDLEPRVWYVLSLEEAKSFPPDAVLKEIEGMRTDQAISEVLCWSEDPAEIQRLAARKEVIYQTFRGGYYKLRPGVLDFLNTLVDFDIPIAIATPRTRTSLEEGIRTVGLQGYFDVIVALEDFCQGKSDGEMFEVAAEQLGLEPDSCLVFGNSNLTTESAHNAGMRCVAVASRHPAYELQAANHVVRWLDQLSIVDLQRLANGEAIGGRGRESDMDMEILEAGSEETPIIPMRQSFVDASTERTSKLRLLILSNTRVINNSFHRHCISRSTSVPMTVTLTLFGRSLERTQWCFISMSPLLSSETFFLFALAGKLPCLVSPGREVASLLNSNSFTLRSSSLSTFTTIIVGPSSASYHSLQELLWLSDDPHS >Et_1A_009542.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:7735040:7735612:-1 gene:Et_1A_009542 transcript:Et_1A_009542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGEVCRRGEEARVRYHGEPSLRAWGSVPEDTDGPWLRDDGAQLLPPRRRRRRRLRRAHTDYTLVTFLLASGEGLEELDREAGSWRAVPHSAGSLVVHVGNYLEVLSNGRYRAAWHRVASGRGGTRVSIASLPSLAMDEKVQVPKELVDERRQAIYRESSLREFIEFLSAGGKSSDFMESLKVNGTEQK >Et_10B_003330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18970037:18971821:1 gene:Et_10B_003330 transcript:Et_10B_003330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGPSRSWRPAGLVLLCSSLLLNVLLLAHHFLPPSSWRLGDDGGGHQLSWALQAAVEAEAAAATDCSGHGRVYLDGVPGEDGRPACECNRCFVGPDCSRRTPNCTADAESADQMFMEPYWMRHAADSAVVVSGWHRMSYFATDDGAYQSAELERHIRMLHKAVGNAVVDDKHIVFGTGSMKLLNALVHALSSTPDGIDATTPASVVATAPYYPSYRTQTVMFNGRDYKWAGTTANASSSTRRKSNTSTSSFVEFVTSPNNPDFLLRKQVMPSNSKVIADHVYYWPHFTPIPSPADEDVMLFSASKLSGHAGSRFGWALIRDAAVAARVKSYLEESSMGDSRDTQLRVLRVLKVVLANLHGDKDDMFAFANGVMAARWRRLDAVLARGRGGVLSVKKKIPPRYCTYFKRVREPAPAFAWVKCEREQDHDCYEALLKAGIVTQSGVDSEDSARYTRVSLVKTEDDFDVLLERLTDFVTADEKQYSAPSSTSASA >Et_10A_000707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15877968:15881228:1 gene:Et_10A_000707 transcript:Et_10A_000707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMPQPWNKFLQPLLCFIFFIFFCGRRKTQERMLNFPYRNVGYQYSQLFVECLPVQSFIQDITILGLGFVDRI >Et_4A_033268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20877429:20880270:-1 gene:Et_4A_033268 transcript:Et_4A_033268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPKQRWTSEEEAALRAGVGRHGVGKWRAILKDPHFGSTLRCRSNVDLKDKWRNMNVTKKIQSTPKDGDHAMAITTVTSDIDNEIVDVKPIAAVPSEALNASNPKKSQLRLDMIIMEAVKKLNEPTGSHRTSIANYIEEQYWPSSDFEHSLSAVLKDLTADGKLIKDVQAKPFRIGGDDSRTLTRSLVDAELARMATMTAEEAAAAAARAVEEAEAIMAEAEAAAKEAEAAEADAHAAQALAKEATLALKNRNATKLVITLVHEPKFVVLSASGRLFWVTLKNRLSFRSSIAYSITIIIPDDTFEKA >Et_5A_042696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26116767:26120660:1 gene:Et_5A_042696 transcript:Et_5A_042696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAHSLIHAPATPKSLLTPTPLSSASPFLRLPVRPRLPLRLRSTSSSASSTADLTAFPEPNGLLSIDPIDVDAATEAELRENGSRSTRRTKLVCTVGPATCGPAELEALAVAGMNVARVNMCHGDREWHRDVIRAVRRLNEEKGFAVAVMMDTEGSEIHMGDLGGASSAKAEDGEIWTFSVRSFESPLPERTVNVNYEGFAEDVRVGDELLVDGGMARFEVIAKLGPDVKCRCTDPGLLLPRANLTFWRDGSVVREKNAMLPTISSKDWLDIDFGIAEGVDFIAVSFVKSAEVINHLKSYIASRSRGSDMAVIAKIESIDSLTNLEEIIRASDGAMVARGDLGAQVPLEQVPAIQQKIVKLCRQLNKPVIVASQLLESMIEYPIPTRAEVADVSEAVRQGADALMLSGESAMGRYPEKALSVLSSISLRIEKWWREEKLNEALELQNVSSSFSDKISEEICNSAAKMGNYLHCLLSKIILQE >Et_4A_031813.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:17705036:17705287:-1 gene:Et_4A_031813 transcript:Et_4A_031813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMDGLPTSGDKRGSGDNGSFKRGSRNAKADKKTVADDVKAAASERFLKAKAAALLGAEKVKSGTSRGIEWVKEQYQKRASK >Et_2A_015689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16981226:16984242:-1 gene:Et_2A_015689 transcript:Et_2A_015689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTAAAGGSGEPAANGAKHEEKQFDPSRMIGIIKRKALIKELAAAYHAECIASCKEILQLQLQMRWEEVRILQLPNCPDVAIPVGKRADALTATSTRPCTRQAASNAAPMTLSGRTSIPAGNLSASSTSPARPNISTMHIPRNQHLRRQRFLPQELQGDGNRRRRCHHGLGRPLAWNPVLLCSQTANTPGEEAVRLLGYVPVPSSIDKDTREAGKADARLWRNIAVVQGRRLKYVELLQHMDTLSEDYYLADGWTVASCHVQQARLLL >Et_1A_005769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13851725:13855079:1 gene:Et_1A_005769 transcript:Et_1A_005769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANASCSSSSSSPHRTLRGAASLHHSHARPCVSSRFSPPPQPHALLWRRPRRVALTTFCSAPSLPRVGRVGWPRREGNAWLLSFHAETAAPATAAGDPSQALSALLPLVVAATAVAALGNPATFSWVSKDYYAPALGGIMLSIGIKLSVDDFALAFKRPVPLTIGYAAQYLLKPLLGMLIARAFRVPSAFFAGFMLTCCVSGAQLSSYASFLSKGDVALSILLTSCSTISSVVVTPVLTGLLIGSVVPVDGIAMAKSILQVVLVPVTLGLFLNTYAKGVVNVIQPVMPFVAMVCTSLCIGSPLAINRSKILSSEGFFLLLPIVVFHIVSFVAGYWISMLPQWRQEEPVCRTISVCTGMQSSTLAGLLATQFLGISQAVPAACSVVIMAIFGLTLASYWGSGSRIRDGVAGFFPQASAVCRWISFQFAWARKATANKADWIFED >Et_4A_035287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17533350:17538594:1 gene:Et_4A_035287 transcript:Et_4A_035287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVGAQHHHHHHHHSLSPRTPRTPTRPHPLLQQLPSNRFRDHHQIHHASPRALRVTPPFFLLLLAAVYLLASFTILSSPAPLLRLRSSPKALLVPMPSPPASPPSPELFELDSGGMRVRLTNVGAAITSLLVPDKNGEDFVPLSSNYCLLFANCIVAVNLTVVDDALLVSSSGVLGDVVLGFDSLDPYLNGTSPYFGSIVGRVANRIKDGKFSLDGVQYNLTINNPPNTLHGGSKGFDKTIWEVAEYNKGDNPSITFKYYSKDGEEGYPGDVSITARYSLLPTTTLKLEMEAIPLNKATPISLAQHTYWNLAGHNSGDVLEHSIQIWGSQITPVDQTSIPTGEFMPVSGTPFDFLTENKIGSRISQVSGGYDHNYVLDSGEVRSSLRRVAKVTDPSSSRILNLWADAPGVQFYTGNFLYGIVGKGGAIYGKHAGLCLETQGFPNAVNQPTFPSVIVHPGLIVLANGRLTSDVSFSNSEEDMKKMELLISDIAFEEVSMIGGTYHS >Et_4A_034067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29667195:29667939:1 gene:Et_4A_034067 transcript:Et_4A_034067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNRSQLGQIDPRRARFPCCIVWTPIPFITWLVPFIGHIGICREDGVILDFAGPNFISVDNFAFGAVARYIQVNSEECYKLLEPEGEATWDDALKKGTREFQDRNYNLFTCNCHSFVVNNLNRLFYSGHDKWNVVSLAAVMFLRGRWVSTAAVVKTFLPFVVLLIIGTLLGGTTFLVGLLAFAAAMTGWFLVGTYCIKGLIEL >Et_4A_032599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11758779:11761946:-1 gene:Et_4A_032599 transcript:Et_4A_032599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLDKVEDLWKEFQTSESARRVVKLKAFNKFENTSDALSAATLIIDSKPSKGLRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCLHNSAVMELMRGLRNQLTELISGLGAQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAKVVKMMGNRTNAVNLDFSEVLSDAEVETQLKEAAVISMGTEVSDIDLLNIRELCDQVLALSEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLINLAKQPGSTIQILGAEKALFRALKTKHSTPKYGLIYHASLIGKASQKNKGKISRSLAAKTALAIRYDALGDGEDNSIGLESRLKIETRLQALEHRDLEKSSGSTKGKPKIEMYEKDRKKGAGALTTPAKTYNPAADLVLGHTEETPKKSELASKKRKQSTEAAEEAIQEGGDQEDRKKKKKKSKETEETPAVDADGDKKKKKKTKENEEPAAATAEGEKKKKKKKSDLGTEDVAMQTEESGKKDKKKKKHAAE >Et_3A_024387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20303811:20306327:-1 gene:Et_3A_024387 transcript:Et_3A_024387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGGYSALDDPKASGSVPAAAGPDPPAIRFTDSNLQTFPPSEARGKISGAYRPPTDADVTFSSKVGGGGGGGGSAGADDAGQSGWFRIFSVAAYKPYFDVDTSDVVERIWESIFPFRGTFTEKTSENPDLYGPFWTCTTLIFVAASIATFVTYLSHKWHKKEWNYDINLVTWSAGLFYGYVTFVPLLLYVILKYFSAPAGLVQLWCLYGYSLFIFIPASLLSIVPIEIFRWVIAGVAGFMSATFVAVNLKAHIVNAGERWFLIVAGIFLLQLGLAVLLKLYFFTITV >Et_3A_027279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6701155:6703180:-1 gene:Et_3A_027279 transcript:Et_3A_027279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVVDALAMKILSNLVDLVQDEVVVTLRVKKNIKMLKNNLEYFCAVREDAEALAMENTVTESDLFMVHSKNPSQLPRLSYTEIDLLKQAIRMAGGSCDQLEAKSELLPRLMDTVNGKTRDAVAYWWVAEGFVRKEHNYTVYQIAEDYYHELIRRNLLQPKPEYVDKGISTMHDLLRSLGQHLTRDHSLFMDAKSKKILPKLRRLGISGAVQNIPAIEKQKFMRSLLIYNNKNFKSLNECIFRNLEHIRVLVLSGTGILSIPQSIGNLILLRLLDLSFTDISILPESIGSLISLEYLSLLGCRKLNSLPATLMRLPNISFLQLDQTAIDHVPKGIEKFQKLYNLRGVFESSTGFKLGELQSPQYPTSLS >Et_8A_056998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2038812:2046548:1 gene:Et_8A_056998 transcript:Et_8A_056998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLSMAHPAITLSGIAGNIISFLVFLAPVTTFVQVYRKKSTGGFSSVPYVVALFSSVLWIFYALVKTNSRPLLTINAFGCGVEAAYIVFYLVYAPRKARVRTIAYFLLMDVAAFALIVLTTLKLVAPAHRVKFLGSVCLAFSMAVFVAPLSIIVKVVKTKSVEFLPISLSFCLTLSAVAWFCYGLFTKDPYVMYPNVGGFFFSCIQMGLYFWYRKPRSTNAVLPTTTDVQGQAIELPAAHTVVIRSVSPISILGVHKVEAVDQLVVTAAADATAETCKIAAANVDGDVNKGPEVIEIVAAVGRRVLLHGSPGGDHLRHTRPTFWEVRRRGTTGGFSSVPYLVALFSSALWIFYAHVKTNSHLLLSINIVGCVAEAIYTALFFAYAPRREKLRTAGAVLLEAAAMGAVIAATLKGFTARDHRVKFLGGVCLAFSLAVFAAPLAVIVKVVRTRSVEFLPFGLSFCLLLSAVAWFFYGFFTNDNYVMYPNVAGFLFSCVQIGLYFWYRNAGNQDGNAPPPPPPPVPNGGAAPAGQGEIIELAPV >Et_1A_007798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38385019:38392834:1 gene:Et_1A_007798 transcript:Et_1A_007798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVELPLPAKVDFGKILAPAAAEGVEGSGAGAGGGEVLRRCADADRRHGGDVKQHNQNAESYPSYRSKRTSLEVSTQKSFALGFKSENSVKRDYVGNDAVQFMHKQDSKIVAKKTIKLLDGPPSSKRPKLEPVQTIRDTEAKGHDSMSQKNVPELSQCAPSEKSRLLKQKRVSDAKRIDKKNIRSGVRSKYDCFSSKAGLANFDSGFLGNSVLGAHGLKSDIRDVTDHVENLSLSELLNGTYKYSSLGREKGKRVLHTKDELLVSVRKAFSMLSDMDRYGKESSLILSPKPPSASNTSSDIKEQCGDKPSSTKESSQINVLEMALRRPKDILSCLSLPQGQDLDSLLSPGSEISDTVKPCTPSMTAHGASLPPFPWSHSQSGGYRPNVDSGKHGASRSNSHWQWVRVGCSLTPPDDDNSTVHKIDDLLQEMDATKLSIMDSFEGRYNLCGTESTSGSPVQIHSRKVGNGHVSQQRQNLENGDSSDGFQKHVSENSLLRTPQASPRVLRAAEILCDIRSTEPWSAQGYSNGAIKWPKSPSEKAMKARKPSSPFGTAESSSGSRNSDAARNGNNQSSKKIVDRKSDSTRLNNPGKGSIRWPVPIEDSASPVRSERGLMLDTRQPHGNGGRLPSQEIGIGRGTRECELYIIHDAEIIGKRYIVWDGVTRQLFHKSSQNIAVGGGGGVVLI >Et_3B_030017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29982575:29985532:1 gene:Et_3B_030017 transcript:Et_3B_030017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPPPAGIDPRSGFCAATRTFYSLREAAELPPESLPTTAAAYSFSTLPSPLPDRPAIIDAATGIAVSYPSFLAAVRSLAGGLWSTLGLRPGDVALVVAPSRIEVPVIDFALMSIGAVISPANPTSTAEEYAHQAALSRPIVAFAAPEVAAKLPRHLRCVVIGSDEYKRLACDGRVPAPPPVAVKQSDTAAVLYSSGTTGRVKAVAVTHRNLIALICAHKDNRERVAKVAAEAGEEPPPPTVTLFPLPLFHVFGFMMLLRSVSMGETAVLMERFDFSAALRAVERYRVTLLPAAPPLLVAMTKSEEARRRDLSSLLVIGIGGAPLGREVAERFAAIFPNVELVQGYGLTESSGSVAATVGPEESKAYGSVGKLASHMEAKIADPATGYVADEEATAATLDPEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQVPPAELEHILLSHPEIMDAAVIPYPDEDVGQLPMAFIVRKPSSKITEQQVMDYVAKHVAPYKKVRRVAFVSAIPKSPAGKILRREIVQQALSMGASKL >Et_1A_004569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18498706:18499056:1 gene:Et_1A_004569 transcript:Et_1A_004569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding STPNLAWRNLVNSTTLSAAGSAAKRSLHRCRSGVPAEAPMRAIPWSRSHALTAGRKQPSATSSRPGAAVTGGAQGDGVHRRPEPVVDEGRPEAVH >Et_3A_026413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7808100:7812527:-1 gene:Et_3A_026413 transcript:Et_3A_026413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARPSPLPRVPLPAVAPHYTFSHTHWRLVLGASASPPSLLIIPTAPEKARRRVRWATRKPHRVAPLPFLPRRRRPHSAASIARPRSAPGSNFAQNALPTSQVSQPANGNNMSTEAYRAKHEITIIGNEAPAPFTTFHSTGFPSEMLREFQQAGFSAPTPIQAQSWPIALKGRDIVAVAKTGSGKTLGYLLPGFMLLKRLQQNSREGPTVLVLSPTRELATQIQDEAIKFGRSSRISSACLYGGASKGPQLRDLERGADVVVATPGRLNDILEMNKVSLRQVAYLVLDEADRMLDMGFEPQIRKIVRQVPPKRQTLMYTATWPKEVRKIASDLLANPIQVNIGNTDQLVANKSITQHVEVISHGEKARRLEQILRSQEPGSKIIIFCSTKRMCDQLARNLSRQYGAAAIHGDKSQPERDSVLSDFRNGRCPVLVATDVAARGLDVKDIRIVVNYDFPTGVEDYVHRIGRTGRAGATGSAYTFFGEQDSKYAKDLVKILEGADQSVPSQLKELALRGGGYGGGRSRRWASSGDDSYGGQGYGSGYANKSTDNFNNSSFGNQAGGGSSFHSRSVSVICFCLNDAFWLEARQPDELLLCSFDNSSRNNQTGGNASFTPRFYGSGGRDQSRSSNDGFRARSRSPPSKVLGVSNW >Et_4A_033619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25052210:25058399:1 gene:Et_4A_033619 transcript:Et_4A_033619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRLCSPSPPAAIIPPRPRRHRHRPTTPFPSIPSHSRSLHHHQRLTQLLASCVDRRQHVGGPAMVLFTVTKKATTPFEGQKPGTSGLRKKVTVFQQPNYLQNFVQATFNALPADQVKGATIVVSGDGRYFSKDAVQIITKMAAANGVRRVWVGQNSLMSTPAVSCVIRERIGADGSKATGAFILTASHNPGGPTEDFGIKYNMGNGGPAPESVTDKIFSNTTTINEYLIAEDLPDVDISVVGVTSFSGPEGPFDVDVFDSSVDYIKLMKSIFDFEAIKKLLTSPKFTFCYDALHGVAGAYAKSIFVEELGADESSLFFVTPSDSVAIIAANAVQSIPYFSSGLKGVARSMPTSAALDVVAKNLNLKFFEVPTGWKFFGNLMDAGMCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAFKNKDNLGGDKLVSVEDIVRQHWATYGRHYYTRYDYENVDAGAAKELMSNLVSMQSSLSDVNKLIKEIRSDVSDVVAADEFEYKDPVDGSVSKHQGIRYLFGDGSRLVFRLSGTGSVGATIRVYIEQYEKDPSKIGRESQDALAPLVDVALKLSKMQEYTGRSAPTVIT >Et_3A_023463.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29132406:29132594:-1 gene:Et_3A_023463 transcript:Et_3A_023463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GNPLPRRGQVKERIVKTIVVAVGTAAVLACDKTASGAGKKGGGSNKAPIPTAERPETAVKKN >Et_3B_028454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15596988:15618556:1 gene:Et_3B_028454 transcript:Et_3B_028454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRAGQQLGTGHHARPCLKHPRCTSSTCSPQLLFTATGKASQKKEGKEKKNTRVLPVAFSGAAATRAGPIPMSRFVREAVRSLVGMQGFPHDPRPYSGFFLATSVPSGPGHLGLVRSRPALRDLNALLAPEAFLLDATYALGAAALRVQPFPGRDGRLLRDDVAGPEESVEKAEFRGICIALVDAQDGRFEDALGDAARLASEHPDSPNPRLLAAAFCYLLGRFEECSQWLDSLPEDASLPRPRENTCFYLGLVAATLGGAPGALAGSEDRVASAAFQLLNCRAAIDGDMSVSQIILTLFLRLAARRTCKDPALKRDGIGIFGTVSGAFKALSGRAGTLVTGSAKHGTSFSVLDASQALLSAVVLRAAPLSRERVRAAARVAERDLARAVEEGDTATAASLRLLLAFLAVRDGRFGDALERYAEVARDDPSDPWPRHLAQIVCLFTGQREECDKWSASYESLDPGSKEERVALSTLKDELVVALALGGLPVAFCEQLPLARIGVIGAAASRVDTALVSALQDKEKSVAERLELRAVRTFLHDWACLMLKELQGNIMLLMVTLFQAMSRLLDRAALSFAAAVGSAYLPGPFSGLFLASASPSAAGHLGLVRARPALLDLNRLLTPEAFLLDATHALGAAALRHKPFSGNDARMLRSLAPGMRAAEEASGDVDGVVVGRTFMALLDAGDGRLGEALDALQRLAVDRPTFPGPRLCAAAICELLGRADEGERWLAGITAAYPVREHIMFQHALVAAALGGPAGAVEGAQGAVASAALKFVYEKVWGSASDGGTPVLKKVLVTALLNRVVRARLNKDAVVAIPSVAKLLRSGTGNTREPGYADPVFQHAKGTELYVMQATQALLSAVVLRAAPMTAERIRAATRAAQRDLARALRKKDAGAAADLRLLLAFLAARDGRFQEAANRYVEVAQEHPSDPRPHCLVQLLLLFDEKPEEAVKWEATYQRLAAASSEEDRVAHKSLREELVIALALGGARTAFSERYPVVMREVVGAAGSRVDAALLSALRDKDMSIGEKMELRAIRALVYFEMWSAVKDLDMGSNMVSSSSPRNHHHQRSRDLTTRPPLRLPVQETIAMSRFLGRAAAAVATGLWTSYRPRPFSGFFLASTGPSAAAGHLGLVRARPGFRELNALLGPEAFLLDATHALVAAALRLGPAADNAVVPSRRLTVTLVDAADGRFDDALGALTCIAAERPDDPAPRLQAAALCYLLDRADEGDRWLAEIPEDVRRRHLRDDFDFQLAVVSAALGGAPGAVAGSEDLVASAALELFNLTLWARVFDGDMSIYKIVLVSGALKRVVKGKYKELVLKRTGKPSPVAMARLLRSAASGLRAVARAVVLPTAEKQSARLGRSGTTSSAVAPRFPRLKAGLLLSSQALLSSLVLRAPPLCGERLLEALRVAERDLVRAVEEGDAPAVADLRLLLAFLAARAGRFDDALARYAEMARDDPSDSRPHFLAATICALARRPEEEGEKWCKSYERLGAPELDPGLMTLVQELAVAVALGGSPTAFDERFPYAMFLTVAAAGNRVDAALLSALRGKEMSAMERLQLRAARAFMYAGMSSAIKGNPAAA >Et_1A_005119.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27899264:27899317:1 gene:Et_1A_005119 transcript:Et_1A_005119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTLLSHQKRSPTHNN >Et_10B_003263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18383631:18386924:1 gene:Et_10B_003263 transcript:Et_10B_003263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLATLTTSILLLLSLLSAVSSSSLPLENGIRVISAEKRVDLAGSIVKVFLTLKVENAADAASDASQVLVAFTPTEVEHLAIVKAMKVEGKRKKKAYTPLSVQVLDVTTAPNGAHLYSVQLSTPLKPGEATTLEVLYVLTHSQEPFPAEISQSEPQLVHYRDSAVLLSPYNVLEQVTYIKMPSNRIESFTRVDPTGRAGTELKYGSYKNQMPYTYLPILLHYENNRPFAIVEELVRKVEISHWGNVQITEHYKLKHGGARHKGGFSRLEYQSRPSISGTSSFKSILAKLPPRVHSVYYRDEIGNISSSHLRSDSHKTELEIEPRYPLFGGWHCTFTIGYGMPLQDFLFESDDGRRYIDLTFGSPLLDTVVDDLTIKVVLPEGSKNPQPVVPFLVEQHLETSYSYLDVVGRTTVVLKKKNIVGEHNVPFQVYYEFNPIFMLAEPLMLISAVFLFLVSCIAYLHMDFSIGKSS >Et_1B_012255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30149325:30150045:-1 gene:Et_1B_012255 transcript:Et_1B_012255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TVPVNPKPFLNNLTGKPVMVKLKWGMEYKGFLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVLYLRGVPEDTEIEDAE >Et_9A_061440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11920293:11921329:-1 gene:Et_9A_061440 transcript:Et_9A_061440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRTWGKDGGWKALQKSPSVYDENVFKGEIGAIQMEERLEALPWMDVEENGGDLGMESWGLAITRFVHAIALSCRNIMQLPGAFLTAVPRGGAPAKVLVLLLCRHGAVKEGPALLGRGASYLLLPLRACPKVWH >Et_9A_061367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10585846:10591343:-1 gene:Et_9A_061367 transcript:Et_9A_061367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASQIHKFTTSSKLRASMAGAPSLITFPVRRRERELVIPARPTPYEFKMLSDIDDQDILRFYRSGIFFYRGNASKTGLIDPVKVIRAALSEALVYYYPLAGRFRELQPTRKLVVECTGEGVVFVEADADVRMDELGPSLAPPVTCYDRLLCEPERPTADVVDRPLLYIQVTRMSCGGFIFGHREYAPLPDPDKDAAVSATDDAFAHHAFFFGPGEIATLRSRAPPDLRATTSRFDHVGAFMWRCRTAALQFDAGDLVRLHVFVNARSRSRRPVVPRGYYGNAVALAAASAPAGEICKKPFGQYALRLLLEAKARASEEGYLQSVAAFNAAHRRPPFPKARTHLISDVTNAGVMALDFGWGNPVYGGPATTMLATFHLEGRNEAGEAGILVPMRLPAPAMERLKLMVAKELAAADDVDGGKKNLVADPVLAKL >Et_3A_023240.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:13359503:13359832:-1 gene:Et_3A_023240 transcript:Et_3A_023240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVSSNGKQCLVDRFEVRSRVPRKLFEKKKVPRKDQQCWIEFNVDAAFSTSSSVAALGIVARNSRAKFCSHLDIFNAVWRPREQNCMLACREGLNLALQWLSPPITRV >Et_9B_065328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3909720:3912869:1 gene:Et_9B_065328 transcript:Et_9B_065328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAIDTPSPSPAPAPSAAVGRQTRAAESVRLEHQLVRVPLEALRSAVRVNHRLAEKEIAAVLSSASSTPIENSAAAVEHLTSLMEEGARAEELQVQRCRARLDRLATASTGEDGEWEDLRLKRILVDYMLRMSYYDTAAMLAETSGIQDLVDIDVFLDAKRVIDSLQNKEIGPALAWCAENKSRLKKSKSKLEFLLRLQEFVELVKAKNFTQAIAYARKYLASWGSTHMKELQRVTATLVFRSSTNCAPYKVLFEQNQWDHLVDQFKQEFYKLYGMTLEPLLNIYLQAGLTALKTPLCIEGNCPKEDPLSLDGFRKLAEPLPFSKQHHSKLVCYITKELMDTENPPRVLPNGYVYSEKALQEMSKKNDGNITCPRTGEVCKFEDCVRAFIS >Et_10B_004242.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:2061950:2062396:1 gene:Et_10B_004242 transcript:Et_10B_004242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFKNVVLRRCKSLSRAGRPSSSSYSYSNLRSMSTRDAVPAVAGRAEEEADRDGFSDSVEGGGVVVLVGSSRRRYVISAAHLSHPLIAALIDPGFGGGGGGRPVAVNCEVVLFDHLLWMLDNAADLRAGGDGHDDDAMRELAQLYAC >Et_10A_000387.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:7510596:7510829:-1 gene:Et_10A_000387 transcript:Et_10A_000387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGLGFLVVTWSTVVLLGGFVSDLEKKDFWSLTLITLVQITGLVCLSPHLLTPFLLLFFFPLKNCITHFLLPPLH >Et_6A_047515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6796253:6798639:-1 gene:Et_6A_047515 transcript:Et_6A_047515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLTAHSKKAVAFVVMPLLMALLTDTASASAGRDDHHCVEWPHCDPYHPTPDNCGGRCAVQGIKGIGICMVISGVSYCCCIDENPSPIHLQQQIQDGALKKKKHWPRHTKAYQSLMALHAARSKKTLAFVVVPLVVTLLTVCVSAGLEDRHCVKWDCGPNFKTPSNCGGRCAMERMGVGMCLVTSGVSYCCCIPPNRSSIHARQQLVH >Et_3B_028761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18919341:18923432:-1 gene:Et_3B_028761 transcript:Et_3B_028761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRAPEESSVLSEVKKQLRLAVPLVVGCFLQKIILTISIMFVGHLGELALASASLATSFASSSGFYLMTGMAWSLDTLCGQAFGAEQHRLVGVYKQRAMLVLALASIPVAVVWAFAGEILLWFRQDPEIAAAAGSYLRWMIPAVLLFGQLQCHVMFLQAQNIVVPVMLSSGVTVAVHVAVCWLLVRRLGLGANGAALGIVVSYFFNMSSMALYVRLAPSCKKTWAGFSREAFRGIPEFLKLAVPSALMVCLECWAFELLTLLSGLLPNPKLETAVLSICFNTYVLAFMIPMGLGFAGSIRVSNELGAGRPQAARRATLVVMLLAFSISLFVALVMVLSRQRLGYVYTNVKEVALYSSKIMPILAVCFFLDSVQCVLSGVVRGCGRQNVGAFINLAAYYLVGIPAASIFAFVFHLRGKGLWLGILCGLAVQTLLILSITLCTNWNKQASKANNRVFCSTSPADTTTSGIDDGLRGAHLAAMVESSVDLEFRVGIGKEETRNM >Et_2A_015377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11829361:11829905:1 gene:Et_2A_015377 transcript:Et_2A_015377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPFTMTKGFSIVLRILMLAALTVGSISALVQQDLDRHAPLYDDNDDGRELRVIVFKDGDKDGAKLALTTYEDTPLEVPSSICFSAVAGTMTSTSSAITK >Et_2B_021317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28543065:28547389:-1 gene:Et_2B_021317 transcript:Et_2B_021317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRVCLLTVLMSMSTLLLPFQVHCGKAAAAVLGSCHGAGAGAAAGGGRRCGNTINKAAGKKHQHQQVEGVFVFGSSLVDNGNNNFLNGSGVVRADYLPYGVDFPLGPSGRFSNGRNVIDALGELLRLPGLVPPFADPATRGAAALHGVNFASGGSGILDNTGQGGVVSLRQQIVNFEAVTLPDLRAQMRGAMATNGHRMKGQDSSFHKCYLSKCLFIVGTGGNDYLLNYFNPRNNGTEGRPSLPEFTRSLVTKLSDHLQRLYSLGARKFVLFSIQPNGCTPVVRAFLNVTGAACIEPVNDAVALFNSELRRLIDGAGARMPAARFAFIDSYRIIKDMLDHPAKHGVKETGRACCEMSRGSSGVLCEKEGPICRDRTKYVFFDGLHPTDAVNARIARKGYGSSSPDHAYPINVKQLAMLLPNFGPSTIQGPHNAEALPFIKIEDGKDTS >Et_10B_004378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5206662:5211430:1 gene:Et_10B_004378 transcript:Et_10B_004378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAIGHLSSDLNSKQMAGGRVAHATLKGPSVVKEIFIGLTLGLVAGGMWKMHHWNEQRKTRSFYDMLDKGQISVVVDVIMCATTSSRLLPANPNSQVLHARRRRPQYWNMCAAVAPQMGMAAAGQGSCHGRSSGSSTGISSWMSMATPLKSSTTRSTSLTDRRRSPSSAARARSTVFSCSTRAYCAAMSAARPATRAFSACSRATSCTSSSRCCCFRSLDRRADSRFDSRRFSRR >Et_7A_052249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6079916:6080413:-1 gene:Et_7A_052249 transcript:Et_7A_052249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMQIVLAGGKIEAQYVEMKVPLYSYGCEKKIKKALSNLKGIHSVQVDYHQQKVTVWGICNRDDVLAAVRKKRRAARFWGAGEPAPGDGASPAGDAPKQYLAAFTAYRCRKAWKKLFPLIRL >Et_3A_026068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:454562:455171:-1 gene:Et_3A_026068 transcript:Et_3A_026068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSYAAAGETVMCPPESSRSRRGGKTKAAAEQKANKQPQRGLGVAQLEKIRLHNQMIAAYRSGMHQQDAGRMQVPFAAPSAAMPFQASYLDETTGRGIVGVHYYDNLLPYSSSSSSSSPPPPLFAHDLRDSSGHRLGQGRHPPAQQHYWITTSDGSSSHGSAEELDLELRL >Et_2A_017725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5537940:5567281:1 gene:Et_2A_017725 transcript:Et_2A_017725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGAEGARRPFPAVSTSGVSAAAAPVFLATDKDRPSARRPFPAAGDGNISARAAPMFLATEEDRPVDPMIWGDEKRVKRELVAWAKAVASMVASKNKTVRRMFPVASGGDGAVSGGAAPVFLAAHKDRPVFLAANNDRPVDPMIWSDEKRMKRELVAWAKAVASMAASKNTSSTPSSSPSIDGSASGDRTAAVPGRQRRQREVSGGAPPAFLAADKDRPDRPVDPMIWCDEKRMKRELVAWAKAVASMAVSKSTSSSSSMALRAETVRRPFQAASGGDGEVSGRAVFLAANNDRPVDPMIWSDEKRMKRELVAWAKAVASMAVSENTSSPSSSPSVRRRG >Et_3B_028337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13606241:13609310:1 gene:Et_3B_028337 transcript:Et_3B_028337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPETGKAPERDEHGRDDYEQQQARVLMALMQGFFAARYRKADNTPCPIDQGLYLGSVGAALNKDALKSLNITHVLIVARSLNPSFPAEFNYKKIEVLDSPDTDLSKHFDECFSFIDEAISTGGNVLVHCFAGRSRSVTIVVAYLMKKHQMSLESALSLVRSKRPQVGPNEGFISQLENFEKSLQGKMQIAVIIPLEFIYFVGLRGTLHLYLAS >Et_3A_025186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27739307:27748352:1 gene:Et_3A_025186 transcript:Et_3A_025186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAAEKSPVPPATGLGLGVGGGLGGAGMGPHYRGVRKRPWGRYAAEIRDPAKKSRVWLGTYDTAEEAARAYDAAAREFRGAKAKTNFPYASSQCPVPAGGGSPSSNSTVDSSGGGSACGSQAPMQAMPLPPALDLDLFHRAAAVASGGMRFPFKGYPVAHPAPNPYFFYEQAAAAAAAAAGYRMLKVPPPSVTVAAVTQSDSDSSSVVDRTPSPPAVTAKKELQPRSQPPKRQPEITNLCTRLPIRGFIRLRPAPTSPAHKRPGILLCVQSADQTTHTSPHPQQTYGPQAARGDRLDNPTLRGMMGHGGGGGGARGGGGGSDARYRGVRKRPWGRYAAEIRDPLKKTRVWLGTFDTPVEAAFAYDRAARALRGDKARTNFPGHDDHRRQHFHPLPPPFLRQPPPQHVPFGGVDLNHHYSPWHFVYFQQQATAADADPLPLPSELPPSTVLELGTGHRQDSLPFDLNEAPSC >Et_10B_002442.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4368932:4370011:1 gene:Et_10B_002442 transcript:Et_10B_002442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGSGTGDEFGRAVARAAVAQALEASGFDCAHRSAVDALVDVVLRYVTHLGRSAAFHASLAGRALANECDIIQALEEVGADTDGFAGAVATGRCLVGSGVVRDLMAFVDSRDEVPFARPLPQFPIPRTHQQQPAASFAAAGKETGMRHVPEWLPVFPDPHTYVRTEVWVEPPPTKERVDKVEQVRQRRKAEKSLLSLQQRLALAGADGFRPAVSHDTEEKGKEIQAAGSKRNLFLEPALPPGEKDGSEVDMPPEKKQLSILEAFAPAIQAATIREIDAGTGLDQSKNQKSIVPKERAPVHLKIGIDKKPLAAALSSGALDLREDPSFLKEEAKDDRKRRAGMILRASMDNPQELPQH >Et_6B_050189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9693708:9705050:-1 gene:Et_6B_050189 transcript:Et_6B_050189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKLLLVGINGEFVLFVFRIAVQGAQAEYIRWIQQSAGFPSQCLVTVSSLSSPSDVAFLKCGGVAIGTTIHHSAVDAMSVFHFMQTWSSFSRDGDGAAVEVPCHDRTLLRPRSPPVVHPDALAVINITTDVFTISKDQVAALKRYYNGASTFCSVSALVWRCACVARRLPPDAQARICFLANVRRRLRPPLPERYFDNAVVRLGTTGVARDIASESSLASVAGRVASVIARMGMDDELVRSAIDYLDQGEIDSRPPKGVMPETDLYIISWLGMPMNDADFGWGKPWVSSRAESIGGGFVYLMDDGPQVCQGGDGGVRVVMCMEASKLKMFEWLFYADLAKAVNP >Et_1B_014185.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:34614126:34614470:1 gene:Et_1B_014185 transcript:Et_1B_014185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAISFGFPVPASGGMTKKRGGVDAGAEEAELLRRRNAELEREVAALRAELDAARRRAETAEEAEERLCVQLGDAEVEAVELARAYQAQVEDLARELAAARRVPPATTSSSFF >Et_5B_043756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15434237:15434688:-1 gene:Et_5B_043756 transcript:Et_5B_043756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGASGLRAAAAVAVFAVLVMSSQGHPRKKPLCSDCPSLCNNNCTAVIDEKCISECSYQFSCDQCRSQVRQACCQQLCSSSDGTSSFSCCPNGTSSVTCNCDNCNSAIQNSCTDLRCMACRYGIGQQCNESCMSDCNNNCVKKDC >Et_6A_047169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26231679:26235017:1 gene:Et_6A_047169 transcript:Et_6A_047169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GENHDMLKRNRAFVDCVRKVLKYSHGFVREDEACAARALQFPTTPLLLRPLALICFQHEEAILTLGVKEDLKELQRTIKGKFPRWVPPVNETPMTYTGLQNFYNGTYEIAPTIKQIQCFLSAAEQRRTEDSAVTNWLGELKDAMYEADDIIDVVRLEGRKLLEDGPLSPRSSAACPGISFFSCLPSIQRRHEIAIRIRNFNVELDKISKLGKQFNLQIVQPDANASRVRQMKTSPLVEPNLVGKVTALSCTRLVELMLAHEEKKAYKIGIVGTGGVGKTTLAQKIYNDHKLKRTYNKKTWICISQQYNEIALLKEVLRNFEVHYGQDETIAELSRTLATAVENESFFLVLDDVWQHEVWTNLLRTPLASAAAGIILVTTRNDTVARAIGVEDMHQVELMSKEVGWELIWKSMNINKEIEVHT >Et_6A_047495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6536572:6541425:1 gene:Et_6A_047495 transcript:Et_6A_047495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EAWRTCGALSRGSDERGEVWREVWPDAASFPSWTDLIRNISGRVGTAANYVRFHAVCWSWRETVPPLDRRPASLPWILSPRDATGHRHARCIFSSEKSSPKIRIRDRRWATSPEDGTAMYWLQSASWPESARSGVGPLTGSAVAIPIPRHRNEVERWEERAVGVSCAEGTIALFAVGPGDRMRCGHGFDAALRHPAGDAEWTLVQRNGMDVPDLQWWRRCPSLAYRNGKIILSYLNQWRIVSPEEPSADDQRWRQMPPEPRKDLSGGYLVETRGELLRVFVHLDHEFYRRYRRRYPVSLNKDLASALSVSVFVLHEEGGCVPRLVRRDGRSLADRVLFLGPVRSFALDAASLGMSSGCAYFVDRRGPFYESDGNGGDKWEPERSSVFKYSFNEAKSGFVAMLPNRWNRRNPFMWVSPRPAIATKQEMRSRAAHFRMYVGNLPGNVDSDRLRQFFSNHGKVADVRIMYHRKTKRQGFGFVTMMAANDDEPADIIAKLQGESFDGPPTDAATSPPWADLPPDILREISCRLSTATNYVRFHAVCWSWRETVADRRPALLPWILSPRDTTGHRYACCIFSSKSSALIRLRDRRWVTSLDDGTVRYWLQTSSWTETAARSPVDPLTGSAPAIPVPRYQDEVEGWEENAVGVACADGTVALYSIGRVDRWNYAGQGFDAALRHPGGAEWTLVQRNGVDVPGEVQWRPLCCLNYRHGKIILSNLRQWCIVSTEKADDQQWWSVPHEPEELLSSGGYLVETRGELLWVFVLRDSIYHRYDRGYTVSATDELASELSVLVFELHEAGNGGVPQWVKRDGRSLADRVLFLGPVRSFAVDAASLGMSVGCAYFVKWTPSFCVRYGIGGREDHPEQCRVFKYNFNDEKTEFVEELPLRGNGEPFMWVSPRPVIATKQEMRSRAAHFRMYVGNLSPNVDSNRLRQFFRNHDKVADARIMYGRKTKRSQGFGFVTMIAAKDDKPTDIIARLQGQSLDGRPLQVKLAN >Et_1B_012257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30175114:30179646:-1 gene:Et_1B_012257 transcript:Et_1B_012257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRGLEAAGGSGDGRPEAKRARPPALASVIVEALKMDSLQRLCSSLEPILRRVVSEEVERALGRLGPAAITGRSSPKRIEGPDGRNLQLQFRTRLSLPLFTGGKVEGEQGAAIHVVLLDAGTGCVVSSGPESSAKLDIVVLEGDFNNEDEEGWTGEEFESHVVKEREGKRPILTGDLQVTLKEGVGTIGELTFTDNSSWIRSRKFRLGLKIASGVCEGVRIREAKTEAFMVKDHRGELYKKHYPPKLKDEVWRLEKIGKDGSFHKRLNKAGISSVEDFLRLVVRDPQKLRGILGSGMSNKMWEALVEHAKTCVLSGKYYIYYSDESSAVGAIFNNIYAFCGLISGEQFYSSEGLDDSQKLFADALVKKAYDNWMYVIEYDGKALLNPKPKKKATSSGQAETHHPRVSSASYEQHISSTSMPGSLQAGARDSINYDANKSTERAAEVQSTSANVSLPYDDTFSFLPPNMLTGSVNQENGNDAMGLDLGPLQQVISQSIEPANVGYVDWPRNRENQYPDDFTEDIRLKSHQMLESEDMQQLLRVFSMGGASSSLPDDTFNFQSYMPSPLPSLGLEGERSHSSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >Et_1A_005228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34204682:34204893:-1 gene:Et_1A_005228 transcript:Et_1A_005228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKEKERRCDTKRNAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_1A_006720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26811627:26817776:1 gene:Et_1A_006720 transcript:Et_1A_006720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRACLLLLALLIAVAALVPVASAVPFIVLHGIGDECGNDGLASFTELLGVWSGSKGYCIEIGRGAFDSWIMPLQEQANTVCKKVKKMKELRKGYNIVGLSQGNLIGRAVIEYCDYGPPVKNFISIGGPHAGTASVPLCGSGILCILIDDLIKLGIYSDYVQAHLAPSGYLKIPTDMGDYLKGCRFLPKLNNEIPSERNATYKERFSSLENLVLIMFEDDAVLIPRETAWFGYYPDGAFNPVLPPQETKLYTEDWIGLKTLDEAGRVKFVSVPGGHLSISRSDMKKYVVPYLEPDGSSKQSIRRIVSH >Et_4B_038758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4702753:4703991:1 gene:Et_4B_038758 transcript:Et_4B_038758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHALKNCALSVLRQGPYGCHQKERSSMLHLTKLSKMFIHGIFIKFDLLWTIALLEAAPSLEIFGISRYLYLALHHARNDDNKLRSSRHSWITRLEFGGFMRTKKHVEFIRAVMVYAPNLETVLLEDKRALRMS >Et_7A_051261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16215973:16220324:-1 gene:Et_7A_051261 transcript:Et_7A_051261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRLGLRRLLTLRAPPPLVTTLPSRALSSSPPASGGGGGGDGDGGSSVAVKQVTRGNLAESLEELRVRVREAAFVGIDLEMSGVTSAPWRDTFELDRADVRYLKLRDSAQRFAALQLGVCPFRWDPAKSAFVAHPHNFFIFPRKELSSDSLSHEFLCQTTSIDFLAKYQFDFNTCFGEGISYLSRAQEEEALQKLNLLMKMKFNEWRDVIISKPIVHSHLSENINYNTGQFQTVFFKMRPAIILNGFSSHQLKLIQQVLRKHFRDLVYICTFGEDDISEKKVVYSDTTEDQMLLMKDVHDDLLRSREARVKSAIGIRHVIDLLSSEGKLIVGHSCFLDIAQVYSKFIGPLPSSMKEFALGIHKIFPHIADTRHLMSVSLAVQNLMRQKSKSLSSVFSLLCPASYTSPEKSSILRPVRIKVEGDETTSSRFVSGVKHEAGYDAYMTGCVFAQLCSYLDIKFENLPPQENLATNNKLQKHINFLSPSFNSGTVVDLSTGMERPDPGYIRRYPAAVYDNIVLIWGFQSKVRPKDIKDCISKVFGSASVTLVFSIDSTAVLVQFSEQESVNDFLDLKAALERTDSAIALLHPLSRYLGCSTGRQSIGWQENEKLMTIVCH >Et_4A_035026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8950997:8952503:1 gene:Et_4A_035026 transcript:Et_4A_035026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSIHMLPLRLYAGEGNEIWRWRVVAANKIDRSFFYFMLFCPISHSVMTTTQKSMESTAKTVRQASEFKRWGRKHPFVRYGLPLISLTVFGAVGLAHLIQGSKEVTKEKEDMEWEVVETTKALSRTGPVEGAYKPKKLSLEEELKALQQKVDINSYDYKPIPRPNEK >Et_2B_019565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10183239:10192218:1 gene:Et_2B_019565 transcript:Et_2B_019565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPSPRRAIGLTCKVTHLGILGRDISSYQPLARLLSLPYLLFFTMALLLRPSAPAPAPPRRSSGERASLHAVLPYTVSKKTILLIGDRVARFSLPSRCSAARHVLSSTNDTDFRRDFIHAANRQEHDLMRPIFDLQEIVFSCFGKACLLSSFILYVLPPSCLAEPCEQEYSLPNMPLLFAIAMVGATVGGLLARQRRGELTRLNDQLRQINAALRRQAKIESYAPTLSYAPVGSKIQESDVIVDPQKERLIAYLRSGKNHLRNQAPDKAFPEFKAALDLAQSLSDHVEEKKAARGLGASLQRQGKYKEAIKYHSMVLNISKMTGEDSGVTEAYGAIADCYTELGELEKAGEFYDKYIARLQND >Et_3B_029225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23167705:23173073:-1 gene:Et_3B_029225 transcript:Et_3B_029225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQAVARWAVEAGAYVAGLEALRGGTGRVHTSGFLAVLGTQDKAKIAVAVRSARSTDPDRIPSANGGRRVVPPSRLGRVCARKFVIRLAKTVKMGALDMRNEHVASQHGQAKLNGEGYAQGSLLSDGNYSSPDDYERLEKGIMQYGNRHLNGRCAHYRRRCRIRAPCCNEVFDCRHCHNEVKNSIKNDMLKRHELPRHEVQQVVCSLCGTEQEVRQVCINCGVCMGKYFCEVCKLFDDDVSKQQYHCNGCGICRIGGRENFFHCSRCGCCYSTVLKNSHACVERAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLKEMEEHYQFACPLCSKSVCDMSKAWERLDMELATMSDSCDKMVRILCNDCGAISEVQFHLIANKCQCCKSYNTRQI >Et_6B_049608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7092015:7095923:-1 gene:Et_6B_049608 transcript:Et_6B_049608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPMGAWRHHPQHRGHMWATSPAFRRQLVLLRTLLPPHPAAGSPSSASSSSSSLRFRAMASSAVYEADAEAVVRRITPPLDRARHKGQAGKIAVIGGCREYTGAPYFAAISALKVGADLSHVFCTKDAATVIKSYSPELIVHPILEESYSVRDDERVSVSSKILTEVAKWMERFDCIVVGPGLGRDSFLLDGLFLVTNNPGLVEGNPLAILTPNVYEYKRLVQKVLNCDINQEAASEQLTTLCQKIGGVTIMRKGKEDLISDGKTVTQVSTFGSPRRCGGQGDILAGSVAVFSSWARQFVSANEQPTEQRVNPMTLGCIAGSLLLRKAASLAFQKNKRSTVTTDIIELLGKSLEDICPAEH >Et_3B_028784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19089256:19091894:1 gene:Et_3B_028784 transcript:Et_3B_028784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGFIAGSATAHDYGGGVTFTVVMTCLMAASCGLIFGYDTGVSGRVGRQAIMLIGGALFLGGSIINAAAVNISMLIIGRMLLGIGVGFTLQAAPVYLAETAPAKWRGAFTSAYNAFAVFGILAATVTNYFTNRIPGWGWRVSLGLAGVPGIIIVVGALFVPDTPSSLLLRGHPDRARAALRRIRGPDSDIDAEFKDIVLAVDEARKNDDGAFRRLLSKPYRQCLVIGLGIPVFFELTGIIIIAVLTPVLFRTVGFNSEKAMLGTVINSMTNLTATLLSSTVMDRTGRRFLFIVGGTGMMLCEVAITWIMGAHLGKHHSLTMPQNYAIGVLVLICMCTFCSGLSWAPLRWVLPSEIYPLEVRSAGQAVSISVALCLSFLQLQVFITMLCNMKFAVFLFFVGWLFVMVIFVVLFLPETKGVPLETMRSVWAQHWYWKRFSKHGDNQSNMF >Et_3A_024069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1689687:1691528:-1 gene:Et_3A_024069 transcript:Et_3A_024069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRDSLVLGRVIGDVVDHFSPTVALRVSYNGRRILNGADLRPSAVSARPRIEVGGTDLRQSYTLIMVDPDAPNPSNPTLKEYLHWLVTDIPGTTDVNYGREVLCYESPRPTAGIHRVVFVLFQQMARGAVDRPPLLRHNFCTRNFALDHDLGAPVAAAFFTCQPEGGTGGRRHVIRP >Et_1A_008317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6296958:6299491:-1 gene:Et_1A_008317 transcript:Et_1A_008317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEGQRPVVMHRYREPVATMRSLTTHPVAILIFAMAITPALAIANSSIINATCASLTPQLFDYCMGVLSADPAAANATDVHGVATAAINITAHKVASTLQVMNYLISELNTCHDIYGSMEEGLGNVLADIRVGRFDSAVFEMDMNVTGSPDGGCDIMLFEGNAHKDPISEENLQNDLWASLADAILKTIERNYCVGVLSADPAAANATDAHGLATAAVNITADKAAATLQVITDLISELNTCQDIYASMEEGLANVLTDIRAERFKSAMLEMDVNVTGSPNGGCDIMLFEGSAQKDPISEENGENDHWARLADAILETIGMNASKRGI >Et_8B_059773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2658639:2659097:-1 gene:Et_8B_059773 transcript:Et_8B_059773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLSAFSQWFVNPRRNPLARLQMHTISSRLGKYGLRYDDLCDTYFDLHTKEALGRLPTEVVDARIQRLKRAMDLSMKHQYLPENLQVRPLPSPPPPHTLSWISPMIRIWIVGAFA >Et_8B_058934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11086371:11091221:-1 gene:Et_8B_058934 transcript:Et_8B_058934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLGGGGRAGGRVREPAAWRCPTGGGGWEGADQEVMTTDYSKPIVDAALRHLGVERLSIGDMQRLEWDEDLPILKARRNPLLNGLLFHGWYALGVVEDIVGVDDRLGPDEAVVVVDGEVGRAPGDPRPFHRRQRAVDADAFLAGARVELEVEVVDVRGARAAGHVRQQGPPVQRLEPPRVWLDVPGMDVAGVGLPDDDVHDAVEYAGAVRERRVAGGRVGHGAAVGVEAHVPARRAPGEEAEQRR >Et_1B_010382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1019559:1020251:-1 gene:Et_1B_010382 transcript:Et_1B_010382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTDEKDKPFVLAHNRVLVDNQLAIDSRLFGPIPLTYICGRVLYSLRTSVDHAPVVNRAMEQDLPVLAMELDLKAFVHRANMHNGLKE >Et_1B_013433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8348058:8350711:-1 gene:Et_1B_013433 transcript:Et_1B_013433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAARTRVVIDVSPGPRPFRSRGPAKTHSGKPSNTSPAEASLLRARVCSRAGEMVSVVAAVGVLLPFPFYYALWTHPQLWMDLCGRGADPCHRMAQVSHVLKALQLLALASVATFSWPPPLYCFVLLAVGQYLNFKVYQLLGEAGTYYGVRFGKKIPWVTEFPFGYIKDPQYVGSILSLVAVLCWVPFQYVLLWCLGYVFMMRLEREEDPATRAKPLS >Et_2B_019740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13365860:13367801:1 gene:Et_2B_019740 transcript:Et_2B_019740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPIPWNSRLLPRLAAARAISARAHPPLPPSPSPSAAVPTYSSQAFHAHLASVASRLSCLLAALSRARAARLPLLPATRALAASVLLRHGRLPDALAHFSLLPDSSGAALPAPLCNSLLAALAASGSLAHARKVLDRMLAGAVELDTVGFGVFVKVVGRIDGLAEVMRLVEVVGDQGDRVDRSVVAAMVVDGLCREGRIEDAWRALEEMRSRGWKPDFVAYRIVSQGFRLAGRAEEEGRVLKQKRKLGVAPRKEDYREHMLALVSNRHIAEAKEIAEALVLGDFPIDDDALNILIGSVSEIDSDAAAMFCKFMLGKGRFPSTEMLVQLSENLCKNMKRDVMWEIFTLLLEKGYCNNSRDYHLVVSFLGKAGKVREAYDVLKEMKRKRLEPDISSYNSLMEALCRNDLLRPAKKLWDEMFTVGCSPNMQTYNILITKFAEIGESEEVQQLFDHMIQKGVAPDCGTYTSVITMLCEENKYEQAMETFEKSLMQDAAMASSILTFKRALSVMYCVPSNVKNLDSHVILLKNLTDAGKIEMAIEHIKWIRSNCSCSLQNIMNEFMASLSTSANLQHVTKLMQYLHSQGLVDEADPWMKLVEN >Et_2B_022671.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:301861:302379:1 gene:Et_2B_022671 transcript:Et_2B_022671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCLVAAVLLAATTSNAQTMTTIEDACRSAASSVMSYDHCVWSLSSDGRSRDAADLEKLAALATRMAVEHAASTEAKMEDLNEVEESPHARARLHHCRDLYNAAADVLRDALDNIRARVYGKASQQLAAALGASESCEDVWKGEERVPVAGHDREYGRMAIVALGLTTGIN >Et_10B_002798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11374522:11385918:1 gene:Et_10B_002798 transcript:Et_10B_002798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRRGSRRSTPPELRLAYGARARPLGRAVLSLLPPPPPPGESCPACRSSAGCLACRRWAHLLREGDPVAYRRLVTRAICVVAPAGAAPPPPPRYTLGNAGHSQAKVSPAFYPYRLVSVPFHLVRETIKSIMTDRLGTTNNVLCIGCREGGQSRCVGDLVSSSSWDILLHRIGDLLMCYILRYSSIFLSVKKNDYIQVSGRPLNVVLQKPIFASALARNQQPHSKKEKCSTCYMWSNTKIAPNLSGDKYDSNVGFYSLDATSKFDALQCSENYRSARTTKPNCSTKGCNYSKSHFISKSTICSSLCYQNPSKRKRLYSWQRYNKQRKVCCEDRPAIEWSKIKSSDFDANAKVSDEVHYLEPALDTNALTMSSDDQYSQIKEPYGVAVSSSEMPPSSVFDIRPSQGPCGCSTPRCQPACLHVGPPSFLHLNSSPICFNCLILNSSKYLSVDSLIPRHTIFYNRRTSYNVFHGKHILNRRKRPDALSLIKHIFGIKGCCAKFLTFISHETTTTNYNCLCFGLLSLMKNLIRNSRRCQYKKLFLKHCTVKSKVATNVVNCDTKAKHSTGGKSSYFDQFAQLEAYSTHQQVVSFVWAVLTRIVPEPLLGNSNSKRSLRIIIWKFIELRRFETFHLCDCIGELKVSDYSWISNIRASCFCSALLAREISLSNGSDEQKHMNLLRSWINWLFSDIVIPLVQAYFYVTERESKRYDIFYYPKPVWRDLTSNAIASLNGQNFKILRGASRRAIKNLRTSSRVRFVPKAKDMRPLVNLKAQSKDGLLNKCHLIIKRVRDENPEMFGSSVFDYNNVHQNLSNFISSVRSHLKKRNKIYVVVADVSKAFDCISHDMVLKVMDNVLKCDDYVLRKCTKVVCNRSKNAIYRFDSNVCISNGENTGDFSIQLSSGGGILVDQGKTSTIQKTEVQRLLCEQVRLNILKIGQNFYLQEVGIAQGNKLSPNLCSLYYGHLENSALSKFLHDGKINSGDNVSAPKSLLMRFIDDFLFVSFSKKHALDFFNRMRRGFVYYNCYMNDHKYGFNFEVANNEHCCNRLYRGDDGFSFIPWSGLLINCESLEIQADYTRYLDIVISSTITVKIYSSTKYLEKKLCHYMRPKCHPIFYDSMINSPSTVKLNLYQAFLLCAMKFHCYVRSMPDLDISKPEVLHIIKRTFRYMHSLIIRRTQDVELLYSVRPVLKLKRKETMWLGLSAYLRVLKKKQSRYNDLLALLTAEIGKYGHLDRNSDSLRYAVDDSHSSMFWKFKF >Et_1B_011080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17266544:17275131:-1 gene:Et_1B_011080 transcript:Et_1B_011080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERKRAASSSSALVRILTGCASQAKDYGKCIAAKVPEIEHNMCAKEFLALRACMQTVVQGVLGTPGALSHAYVQHPPLRCDIPDIRGLFYDDANKFLIAPTADRILYWKIAPSTPAGPPNSDPVNEGHVLSVRYSLDQKAIGIQRSRHEIEFRNRETGETCIKKSRGDSETILGFFWTDCPTCDVILVKTSGLDLLAYEPQSNAFRMVDSKKFSVSWYLYTHESRMILLASGMQCTLFTGYQFSAGGIVKLPKFEMLMSKSDANNKPVLAADDVHIVTVYGRIYCLQLDRINMSLNLYRFYRDAVVQQCTLPTYSSRIAVSAVDNIIMVHQIDAKVVILYDVFLDSYAPVSAPLPLLVRGLPSNSRQAAQTADNQSSSAYGGTIYGEGWNFLIPDLICDTENGLLWKLQLDLEAIAASSSDAPSILEFLQRRKFDPSMVKTLCLAIVRTIILERRPLITVAKAMDVVLDSYSRLMKVGGGPPGVRRTHEQNSGQPAEGSHMVHQEPNPSTNPDQASGVASRSVLSNSGVEHAIARTILNTCSDSDEITNEPEATSEARTGYEASDAVNRRQVEREDSRPLSSGTSMQHGAHVASVAISPTEMFQSVFALVEDEMMGDPAYLIAVIMEFLRSASKAGLKAPPNLYVMMATLLARSNRYAEIALFVSNKILEPSKELAMQLMELGQQHSPTRKLGVDMLRERGLHHDYVTALLQDGYFLEALRYARKYKVITVHPALFLEKAVAKNNAQSLAAVLGFFSEFTPSFKTTSDFGRYRHILSEMA >Et_2A_018320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22937426:22941659:-1 gene:Et_2A_018320 transcript:Et_2A_018320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVLIRGHEDPKPLRVLSGQVCEICGDEVGLTVDGDLFVACNECGFPVCRPCYEYERREGTQNCPQCKTRYKRLKGSPRVEGDEDEEDIDDLEHEFNIDDEKQKQLQLQGGMQQNSHVTEAMLHGKMSYGRGTDDGEGNNTPAIPPIITGSRSVPVSGEFPLGNGYGHSEHGSSLHKRIHPYPVSEPGSAKWDEKKEVSWKERMDDWKSKQGILGNADPDDMDAEAPLNDEARQPLSRKVSIASSKVNPYRMVIVLRLVVLAFFLRYRILHPVPDAIGLWLTSIICEIWFAISWILDQFPKWFPIDRETYLDRLSLRSRDMYEREGEPSLLSAVDLFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGASMLTFESLSETAEFARKWVPFCKKFNIEPRAPEFYFSLKVDYLKDKVQPTFVQERRAMKREYEEFKVRINALVAKAMKVPEEGWIMKDGTPWPGNNTRDHPGMIQVFLGHSGGHDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYINNSKAIREAMCFLMDPQVGRKVCYVQFPQRFDGIDAHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYNPPKGPKRPKMVTCDCCPCFGRKKRKHAKDGLPEGADVGMDGDKEMLMSQMNFEKRFGQSAAFVTSTLMEEGGVPPSSSPAALLKEAIHVISCGYEDKTDWGLELGWIYGSITEDILTGFKMHCRGWRSVYCMPKRAAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPLLYGYKNGNLKWLERFAYINTTIYPFTSLPLLAYCTLPAVCLLTGKFIMPSISTFASLFFIALFISIFATGILEMRWSGVSIEEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKATGDEDDEFAELYAFKWTTLLIPPTTLLIINIIGVVAGISDAINNGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFIVRAKGPDVKQCGINC >Et_1A_005552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11320970:11322927:-1 gene:Et_1A_005552 transcript:Et_1A_005552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAWGKNVKAKRQPMVASAKPDLPFGADSDIDEVDKEEKTEANPNFPGTEPADTTESLQRQGNKLAEEGKFQEALGKWEAALTLTPDNAILHEQKAQVLLEVGDAWRALTSASRATELDPLWPEAWVTLGRAQLNFGEPDAAILSFDKALALKPDYDEAKADRETAGRLVKKRGQLHSTGLSANKRRFTVGENSGGDAEDEEKREVTAVEY >Et_3A_025783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32894771:32896499:1 gene:Et_3A_025783 transcript:Et_3A_025783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFCCCFCNEDFEEYVHPSNPIYRQCICLRNIFHNIFGGYTATFQRLESRPSNPAHGAASLGSSNQNASITDNSLAETFQLVSRPLPYDTDPRYARVQREGLVSRREKSVNLTQEESLALRRNGSSSAIEHLTSQKKRSSTDTESDCKVRRSESTKSLSAKAYSSSYAGVTSEDEDVCPTCLEGNGVLRESMICFHL >Et_3B_029140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22385066:22386882:-1 gene:Et_3B_029140 transcript:Et_3B_029140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPHCSDNKPQSMNVVAVLRMKDGLSESSYAKNSSLQKKGMDTLKSFVTDSATRVYESVRPERFTIAELGCASGPNAFGLVEDAIRSVTGAVSSRGAPPEFSVLLNDLPTNDFNAVFSRVPEFAAKLKAETKAEVFLSGVPGSFYGRLFLSRSVHLVCSFASLHWLSQVPPGLSDETNTPLNKGKMFISSTSPPAVPAAYLKQFQRDFGLFLQSRAAEVVSGGAMILSMLGRRNRDHTDVETTVLWDLLSESLAALVSQGLIDQEKVDAYDTPFYAPSPQEIEEEVRREGSFRLDCVQTFETNLRVTGDAKRDGTMLSMGIRAIQEPMLSHQFGPDIMDALFHKLTELVTQAIMEKGEIMNSRLERSSSRVGRQRSAARRITAQAISIVCWDGQTLTRRQCSNMLQTSNRTM >Et_1B_010191.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2930407:2930475:1 gene:Et_1B_010191 transcript:Et_1B_010191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEGRACRWNCKPLRRWTTIC >Et_9A_061765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16107601:16108274:-1 gene:Et_9A_061765 transcript:Et_9A_061765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELHEKQSLSVHLGMLTMIASCTSVASSYESIETRSFSSPSKRPFLDYSCKEEAFLWHCRLPRADSKGLFGTASAIDSEFCLWYCRYSKITIAESIFSQNP >Et_7B_054674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3358136:3358561:-1 gene:Et_7B_054674 transcript:Et_7B_054674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGCRRAKGRVPVFLDGGIRRGTDVFKALALGASGVFIGRPVLFALAVDGEAGVRNALQMLRDELEVVMALSGCASLKEISRDHAITESDRIRRSRL >Et_8B_059114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14045312:14047482:1 gene:Et_8B_059114 transcript:Et_8B_059114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPITVTSPVTPVSQMPVVEPDGHSRRQYAPSLWGNFFLTHEPFTQQELLSMNEKAQAMKEEVRQILLDTAATDDVARKLDLVDALQRLGVGYHYRKEIDEVLRAVYDDNHGGSGDLYVTSLRFYLLRKHGYTVSSDVFLKFRDEEGNISSNNVNIVMMLYDAAHLRTPGEDIFDNIITFNKSRLESVARTNLETSEVEEARFTLETPRFRRVERVEARRFISVYEKKVERDDTILEFAKLDFNIVQAVYCTELKELTIWWEDFKSRTDMRFARDRMVEM >Et_3A_025438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29917546:29920497:-1 gene:Et_3A_025438 transcript:Et_3A_025438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAARNQAFTTGHQDFSSFNHEFQSDLGFSVTSTCAPHSRVVNRKVRSSRSFWKSVGSIAGSCKNCFAPRQSRIKEGHGNPQTDVHDVSISAISRISSTSSKSINTSKQIGDTAQKKSWQEQFTFQDICTATSNFSEENKIGLGNFGAVYKGRLRDGSIIAVKRATKKMFDRELSAEFRNEIQTLSKVEHLNLVKFLGYVEYEDERLILVEYVSNGTLRQHLDGSRGDPLEFSQRLNIAIDIVHAIAYLHGYTEHPIIHRDIKSSNILLTDHLRAKVADFGFARLAPENPEATHVSTLVKGTAGYVDPEYMRTNHLTDRSDVYSFGVLLVELVTGRRPIERGRGRHQRLTTEWALRKCREGDAVVAMDPRMRRSSAVVAAVEKVMALAAECTAPDRSARPALRRCAEVLWSVRRDFQQERQRAAAAAAASAGARRHDGSTYVLPSVTSLRGERSRA >Et_10B_002936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14140260:14143341:1 gene:Et_10B_002936 transcript:Et_10B_002936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLEDVPSLDLMHELLRRMKCSSKPDKRLILIGPPGSGKGTQSPLIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVAQAQKLDEMLAKQGANVDKVLNFAIDDAILEERITGRWIHPSSGRTYHTKFAPPKSPGVDDVTGEPLIQRRDDTAEVLKSRLEAFHRQTEPVIDYYSKKGLVANLHAEKPPKDVTAEVQKALS >Et_3A_026245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:622034:624219:-1 gene:Et_3A_026245 transcript:Et_3A_026245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METDEPQRPARVQPEAAGVHAPSCSHAEDPAFIRERHGDEVEIDMAAAAAAYSPFPAPVLPTSISLPASPTGFDIARTGVEQLQRYAIADAASRMQAPAAMSQPARVVFRSQPMPPHAKINSDNMDRAVKQQQQARRDRSYDSFKTWSGKLEKHLLGGSRPHQEEPEQEEEPEADQAAPATNHHHHRPMPRVQRFFAALEGPELDKLRSSEELVLPSDKTWPFLLRFPVSAFGMVLGMSSQAILWKRVAISASTRFLHITVKINLVLWCVSVALMCVVSALYAAKLVFYFEAVRREYYHPIRANFFFAPWIACLFLAIGVPEAVADTLPHWLWYLLMAPILCLELKIYGQWISGGQRRLSRVANPSNHLSIVGNFVGALLGGIMGLKEGPLFFFAVGLAHYVVLFVTLYQRLPTSETLPRDLHPVFFLFVATPSVACLAWARITGEFDYGSKIAYFIAMFLYASLAVRVNLFRGFQFSLAWWAYTFPMTSAAIASIRYSSEVKNVFTQSLCIGLSVAATLTVTALFFTTLLHAVVLRDLFPNDISIAITERRSKRPSKELLLMMMPEDRRSGKAKPPAPAPAAPSDARDLEAAVATSYT >Et_2B_022249.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15330998:15331726:1 gene:Et_2B_022249 transcript:Et_2B_022249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAGFPGGKPARPAWKRPARQPWKRKGPPPPPAGARRGGAAAPRNTTSFLIRAKRAGGVASLVSPCPVTPAVLPTPQLSPAREVLVEMAKEAWGVDGYGSMKGLIRLRSQAAGAGDAVAGEEDSGSGESDLEEHVEMERRLDHDLSRFEMLQLPAGAGFDDEEDDDARAARLEEENLTLRDRLFLMERDVADLRRRLLAVEELCRDRHHRDGCVVDAADEAAPSESVADIAGEQADAMEK >Et_2B_022910.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:7821422:7821757:-1 gene:Et_2B_022910 transcript:Et_2B_022910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVPLAAPPALAVSAVGSPYEALRVGRAATQAEIKAAYRAMAKRLHPDASRGAAGGAAFMEIRRAYETLSDPAERARYDLSSLGARWRPDAGVGVVGAMRVRRWETDQCW >Et_10B_003098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16519506:16522723:1 gene:Et_10B_003098 transcript:Et_10B_003098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLGLLPHAALRLASASSSSSRALAPSRARLPYPPPAFPTYRRASSAAPPRLRPRRALLAPRAMSSSSGNPYAAELAAAKKAVTLAARLCQTVQQDIVESGVQSKADKSPVTVADYGSQILVSLVLKMEAPGSFSLVAEEDSEELRKDGAEEILENITDLVNETIFDDGSYSISFSKDGILSAIDDGKSKGGPSGRHWVLDPIDGTKGFLRGDQYAIALALLDEGKVVLGVLACPNLPLSSISNLNGSSSGDQVGALFSATIGCGAEVESLYGSPPQKISVCSIGNPVDASFFESYESAHSMHDLTSSIAKKLGVQAPPVRIDSQAKYGALARGDGAIYLRFPHIGYREKIWDHAAGSIVVTEAGGIVTDASGNDLDFSKGRFLDLDTGIIATNKQLMPSLLKAVQEAIKEQNQAASLL >Et_2A_017365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33627919:33629316:1 gene:Et_2A_017365 transcript:Et_2A_017365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRNNALRIFLLVLVVCAAHAGKAASKEKSEKSDKSEKSDAAEGPAEGPSGSAEAFGPGGGDGKTDSTKAFMEAWTSACGKEGEQKILIPKGDYLVGPLNLSGPCKGPVTIQLDGNLLGTTDLAKYKANWIEIVKVDNLVISGEGKLDGQGPKVWENNKCDKKYDCKILPNSLVLDFCNNATVSGITLLNAKFFHMNIFQCKGVTVKDVTVTAPGDSPNTDGIHMGDSSDVTIVGTTIGVGDDCISIGPGTSGVNITGVTCGPGHGISVGSLGRYKDEKDVTDINVKDCVLKKTTNGVRIKSYEDAASVLTASKLHYENIEMDDVANPIIIDMKYCPNKICTAKGASKVTVKDVTFKNITGTSSTPEAVSLLCSDKIPCTGVTMANVKVEYKGTDNKTMSVCTNAKGSATGTLKELSCL >Et_9B_063833.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:16705730:16705975:-1 gene:Et_9B_063833 transcript:Et_9B_063833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTCQAQAPAMPAVAVRAAATAGNGRVPAGALLPPPRRRGQIKEKIVKDVVAAVAAMAAGLVKNGRGGVPVPADADNDE >Et_4B_038550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29815788:29819905:1 gene:Et_4B_038550 transcript:Et_4B_038550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPQMSADVAVAPEVAAALARGGAVVALESTIICHGMPYPKNLQTAMEVEAVVRDNGAVPATTAILDGLPHVGLSSEQLKRLAISGRQFQKTARRDIAHVIATGGNGATTVSATMFFAHKVGIPIFVTGGIGGVHRHGEQTMDISSDLTELGKTPVAVISAGVKSILDIPRTLEYLETQGVTVAAYRTNEFPAFFIEISDANRNLHLGSGILIAVPIPKQHAASGTIIESAIQKALQEAEDKRIIGNAITPFMLERVKELTGGSSLEANVALVKNNALLGAKIAVALSNLQQRKTNSELPSPLLQNFKIHPEYTLWLKRFKHIPWYICLIMCFHTIHSMFDVLQYCPINIL >Et_4A_033687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25859251:25862287:-1 gene:Et_4A_033687 transcript:Et_4A_033687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDARKSRLSSHLFTLLQKTKELRTLVFPRQFEMYSGQQSDQNPGAISGKEFLEANWNSVTMHQKMGFNSGPYGIGPYSMELEDQPGLCRSSTGTFSQNVQLSDEHSGGVKKRKGIDNCVALLQNVGDQQTEGSSQPERNSMEEGNKKISPKMQSKEDSSDGDGTKEDYVHIRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELGFDIEQILSKQMMLSQDRHIAFYGADPGSTSLVTQYNQGIMQQEMMCSVSNPVDVLHGTTHDVSTMNQMTAMWEGLQSIPHMNFNPGVAADSGGTNDAGSMKIEQ >Et_3B_028912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20351543:20355361:1 gene:Et_3B_028912 transcript:Et_3B_028912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PSTTLPFLLSLLPFAASSVSRTTPSSPRFPGSSTVPEARRRGPLADAPNPEAFGTRAGTTLTHARRLVASAAGDRRNSGSVGVLSAETAGATDTMAAAGKGWAERASRAVKTVWFVVALLVSLLVASAPALVAAGDVAVALWLEVRLGCFRCHGLRDHFQRYGFRSSLADIPLVSIVRSLIITCVYLMSDTSGLSHGPYLGTTTFCSLASLLILIIKASVYSPVHDIGPELSPSLVDHKLNLKKLWGMPVLFLSSLVFALGHVIVAYRTSCQARRKLLIHRIDPESILAYKNAFSGSFKAPRSPTPYSAKLFSRSESETKRKTIVHDDRDMPISFLADSDSMFIACQGITIHYKISDPSTCLSSAPDSFTERDTHHDVVSSSLSPRRQRHESPPSASSNTRRLLNRSFSHQYHQTSLYAPLLVEPVTSPTLSDEVPLMTFDNGSADECLNSMGFDLEAGEQGKFAIVLVHGFGGGVFSWRHVSNLLARQVGCTVLAFDRPGWGLTSRPRRKDWEDKKLPNPYELESQVDLLISFCSEMGLRSVVLVGHDDGGLLALKTAEKLRTYGNHKKLEVKGVVLIGVSLSREVIPAFARILLHTPLRKKYMVRPLLRTEITQVINRRAWYDATKLTTEVLNMYKAPLFVEGWDEALHEVGRLSFSTVLSSKRAADLLRSVEDLPVLVVAGSEDALVSVKSAQAMASKLVNSRIVTISGCGHLPHEECPKALLSALSPFISRLVPSDDSLQRL >Et_1B_014186.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:34677755:34678279:-1 gene:Et_1B_014186 transcript:Et_1B_014186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMISSMLGRKQQTQNQNQKAGAGAGGRSGGTEVEPVSIDILDPFMEAISLTAFAAPALGLPPFATASMDWKETPTAHVFMADLPGVRREEVKVEVEEEKVLKISGQRQRAAEDKGDRWHRVERSSERFVRTVRLPPNANTDTVQASLDNGVLTITIPKDNERKAYGRLIPITN >Et_4B_038793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4919691:4920609:-1 gene:Et_4B_038793 transcript:Et_4B_038793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILFLSGLGLTIGLKSTMQFFTKPKNYKGTISFGAGFFLVLIGWPFFGMLLEAYGFVVLFSGFWPTLAVFLQRIPIIGWIFQQPFVTSFLDRYRGKRVPV >Et_6A_047709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:110213:112787:-1 gene:Et_6A_047709 transcript:Et_6A_047709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRVSPSPAAAAAAANQLAGAASATPASVRVAAPRASPAAGTACKAAAKGKEVLSGVVFQPFEELKGELSLVPQSPNQSLARHKFVDECEAALNEQINVEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEEREHAEKLMEYQNKRGGRVRLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHSVATKCNDPQLTDFIESEFLQEQVDAIKKISEYVAQLRRVGKGHGVWHFDQMLLEEA >Et_2A_016376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24005049:24006324:1 gene:Et_2A_016376 transcript:Et_2A_016376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRQAVDDGGAHGEAASSSSSPSSLSGAEEDDTLPDLLGGFVVDDGASASATGGGQQKALLRRLCAAARDGAPGLCEDAARDVAAWTRQGGALRALLVVSVGSASLAALTGLLVVVFFVAAVTTNAIICSFLVSLIAAGGFLAVLLAFVASVYVGTLSLAVFVVATTTAAAVIFITTATAKRIEAEKCLSKALLRFHALQETETQTEKYGMTAHVAAATEAQHGAA >Et_10B_004420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6789530:6793691:1 gene:Et_10B_004420 transcript:Et_10B_004420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGTTSGEHDGIEVLPVAVYELSPILCEPVDCRDHLQNLSPDSSARTHIYVFIVYTDSGPTIGRWRPYFSVSPRINLEKKSSKESTRSMGSHLSRNIERPNVEMPKYIDKTQEYVRTYLVPDNHGWHGAIVAEVEADLHAGVAASDDEHLLSPELVAPLVAARVHRHAAEGVSALGKHRLRVLAGGHHEPPRQELHLHAGVCFAGVVDDDPPEPDCGVVGGAPDAHAEAGLDGEGARVGVEVGDELVPGRVRRRAGRERRQRQLAESAWQVETEPLVRAAAPRRRKTRVLLQHQRRHAARLQARRRRKARRPGADDHRPGDPHLPRYYSAVATTISGGVRSAAAAAVQDGGSMVVVLFSTSSARDWHDGND >Et_4B_036900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12413999:12428461:-1 gene:Et_4B_036900 transcript:Et_4B_036900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLGPNANASRACGIFPAFVTPFSNLSGLNSSASSPQTSLSRCIIGIGITTATPWGSFVAPTVISVTGFLCMKSAGQPSAVGYSDPNSLKISFRSLSCHSGYTLSKNTVQKCCGVMTSKEKHFALMYNLIDAQARAPFLASLLGCHGSVKHQTKQIISVEDTCIEKGIFSMHIEFQSIARLKTFDELVRGSMDPLLPELLGYGVRPPYEAHDCVIP >Et_6A_047252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:317169:320546:1 gene:Et_6A_047252 transcript:Et_6A_047252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLSAFQQAHDTRNHEQIHASILKHGFASCQFVSTSLIKAMAASGLLQSSLKVIEEGGKMDLVSWGVTISAFLKHGMNDEVLYLFNLFRGGCSENPDEFILASILNACANGALIRQCKCIHSLVFRTGHSRHFCKMKQFQLAPTPATFVAVISACNHLGLVEQGKLLFTSMLSDRGMNPTRANYACLIDLLARKGLLEEAKNTIKAMPFQPWPAVWRSLMNGCRIHGNKELGVLAAEHILRLVPNSDGAYVSLSNVYAEDEEWQSAEVTRRRMAEYQVQKVQGYSSVEI >Et_6B_049766.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:10382108:10382506:1 gene:Et_6B_049766 transcript:Et_6B_049766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSSPYRAPELFLGLMDYDERIDRHVGARLRRGRPTSSFFGVRSDEEVFRKMLPAGRGRSSSGPGSRRWRRRTWSPNCSRRVANTRQASLREAFPKEVMSQAGFQVLCRLLQSNSGTKAAASRAARFASS >Et_3A_023276.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:17152695:17154179:1 gene:Et_3A_023276 transcript:Et_3A_023276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAFLVCGGRLRLLRQLAGGRRRCPHGAEEVGDEVDDAEHGEQPVEVVEAAVVDGVGEPWRACLDERDERAAEEEAERERGEEEAGAHGLHALGRARDEEVELPRVDERLAGADQQELRREHEHADGQRRRRRPGVRGGDGEALLLAERGGGHAGDGEDEAGADLLEVGEALAAGRRHEAHPAERDEDAVVDGEGEHDGADEEDGERGGGDLEAAAAHAAVHGGGLLHGESDHLRVDGPEQDGGRPHGHQPRHHLHLLHARHGAELPRVGRRPPVGPDLVVVVSGGHQRRPVQARELRRARDARVLRAVLVQRGLGGVLELQAPLPGGGDEDLEDVHDGAARAAPVAVAPGAGEEDGDGGEHGAGADAVGPAPADVVLDVHQHRDGQQRADADEEEEAVEEEAHGGALAGVALVELVRAEAGHAGLEPAGAQRDQVQAHVQNAHLEPRRRLARRRTQLLHLRRHGQEPRALLAHVHFTSHHDIGLAVAHTIEI >Et_1A_004871.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:13138470:13138685:1 gene:Et_1A_004871 transcript:Et_1A_004871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSGWSLLLQFQNSPASRLGTAPRTYHSLLQAELEEPQRQLGHSHVGSSHLLKYQCQWEPFGGCHHGIC >Et_8B_058893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10502131:10505701:1 gene:Et_8B_058893 transcript:Et_8B_058893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFENTVSSSTAAASATTSSTTACDSLDSGGPLQRQVACTAADVELLSRCGVIAHGLGNDAEVARCFADLCKGVVFTVNDPHSNYLKATCQALEKRYRSQHGRWMAWLRQKYFRNPWLAVGLAAAVVGLVCTVVQAVYSVLSYNQGGAR >Et_4B_039239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:968701:971433:-1 gene:Et_4B_039239 transcript:Et_4B_039239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLISTAAHDRLPDNYVRPETQRPRLDEVVADADIPVVDLSNPDRAAVVAQIGEACRTHGFFQVLNHGVPVELMLAMLDVAYDFFRLPAEEKAKLYSDDPAKKIRLSTSFNVRKETVHNWRDYLRLHCYPLEKYAPDWPGNPPSFREIVSRYCREVRELGFRLYGAISESLGLEEEYMKKVLGEQEQHMAVNFYPKCPAPELTYGLPAHTDPNALTILLMDQQVAGLQVLKEDRWIAVNPRPNALVINIGDQLQAMSNGRYKSVWHRAVVNSDKPRMSVASFLCPCNDVVLGPAAKLISEDTPAVYRDYTYAEYYSKFWSRNLDQEHCLELFRA >Et_1B_011525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23159373:23159757:-1 gene:Et_1B_011525 transcript:Et_1B_011525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQFGLSKIRDIVLLINNEQEVKLRLYGTTNWIKSMLSQHISYYHSESTTIKKFGSSPFCLLVVILTWTRRLSATDRGNNKRKYGRNERRQI >Et_10B_003678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4843947:4865628:1 gene:Et_10B_003678 transcript:Et_10B_003678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSTALELLALLSLVASSELISVIHGQLDSEFINIDCGIDEDPSYSYPTSRGLRYVSDAGFTDAGLNGRVKPPYDNPDFGERYWTVRYFPGDTGGPRSCYTLQPVVPGGRYLVRASFYYGNYDGLNMLPAFDLHLGVNRWATVNVSDAGYRYILEAVAVSPADFMQVCLVNTGLGTPFISALELRPLNETIYPEATVNQSLLLLSLSRPSTMFSFNRYQFSNDLFRYPYDPYDRLWQSYGTVAAWTNIKTSATVEVSDISNFDKPTAILQSAAAPVDGTQIEITWSPDSFINNGNITYLLLLYFAELERLPINAQRKFDILVDNATWNGSQGFTPKYLSADVVKRMVHGSGGQQTFSLVATPDATHPPILNAFEIYTVQPMTKTGTNGADARAMMKIHTTYAVKKNWMGDPCAPKALYWAGVNCSYASSGPARIIALRLSSSGLTGAVDSSFGDLKSLQHLDLSNNSLSGPVPDILAKMPSLKFLDLSSNKLSGSVPAALLEKRANGSIVLWFASSRKTYISIIQSFKQEEWKLNKKEICCSNVRIDNNKDLCDSTGTSTCEPKNKKNKRTLILATVGPIAAASVLFAAGLFIQHRMKNKIRLHSPRNTANVFENRQFTYKELKHMTSNFKEEIGRGGFGAVFLGYLDNGTPVAIKMRSKTSSQGDKEFLAEAEHLTRVHHRNLVYLIGYCKDKKHLALVYEYMQGGSLEERLRGETSAATPLTWHQRLNIALDAAQGLEYLHKSCQPPLVHRDVKTQNILLSSSLQAKIADFGLMKAFADNFRTHVTTHPAGTLGYLDPEYYNTSKLSEKSDVYSFGVVLLELITGQPPAVPINDSEIVHIAQWVRQKFSEGNIESIADPRMGGQYDINSVWKAVELALQCKEQPSQTRPALTSVVVELKECLELEVSRAMSYCNSVPSSATKLGAATVDLDSEDQETSSELLTVIHGQLDSPGFISIDCGIAADRTYSDQSTSGLRYVSDAGFTDAGLNAAVGPPYDDPDMAERYRTVRYFPDADGAAPRSCYTLRPVTPGGKYLVRAAFYYGNYDGLNRLPVSFDLHIGVNPWATVNVTAASNKYILEAVVVCLVNTGLGTPFISGLDLRPLRWTMYPEATANQSLLLLSLSRPSATFAFNRYNFWPAGYPVFRYPYDPYDRLWQSQTDILRSAATPRLQSNALRQFDILVDDATWNGSQGFTPKYLSADVVKRTVPGSARHTVSLVATPNATLPPILNALEIYSVKPMTEVATNDTDAKAMMTIRTKYALKKNWMGDPCAPKAFAWDGLNCSYPSFGPATVTVLHLSSSGLSGVLDASFGDLRSLQHLDLSNNSLSGPIPYFLAQMPSLSFLDLSSNKLSGPVPAVLLEKNKNGSLVLRIDNNANICDNGASTCESGNKRSKKTLIIATVVPIAVATLLFVAAFLILHRMRKRQDKWMANTSRLNSPQDTSNIIENRRFTYKELKLMTSSFREEIGRGGFGGVFLGYLENGRPVAVKMRSKTSSQGDKEFLAEAQHLSRVHHRNLVSLIGFCKDKKHMALVYEYMHGGNLEDRLRGEAAAATPLTWHQRLKIALDSAHGLEYLHKSCQPPLIHRDKLSEGDITNIVDPRMGGDYDVNSVWKVAELALKCKEEPSRKRPTMTDVVVELKECLELEVLHAMSCYSSVPSSANNISGTSAYIHGEASDYPRQQAMLELEQAGVPSTTHLLGLVWLAASSELVTFIHGQPDSPGNFSSVLKPSSISSALAAARSRHTPTSPHAACGMSLTPASPMPGSTPMSDRHTITRTGPTYRNLRYFPDGARNCYTLRPVTQGRRYLVRARFFYGDYDGLNRRPVFDIYIRVNRWTTVNITAVAGRHVIEAVTVAPADFLKVCLVNTWFGTPFISGLELRPLGTTMYPEATANRSLLLLRLARPSLATFSINRYHFWKGFFSVSTNLGMLVNLLQRGFICNTHQLAKTSENNRYPVDPYDRSWQRYNDPAWTNITSTATVNISNVSNFDKPNKILQGAATPKNGTRIDFTWSSDPDLNNDNATYLLLLYFAELQRLPSNALRQFDILVDNATWNGSQRYTPNYLSAEVVKTMVQGSSQHSVSLVATPDATLPPILNAFEIYSVNPMTEISTNNADAKAMMTICKTYSLKKNWMGDPCAPKSFAWDGLNCSYPSLGSASVTALLLSSSGLGGEVDASFHDLKSLKRLDLSNNSLTGPIPDFLAQMPSLEFLDLSSNKLSGFVPAALLEKSHDRSLVFRLCCSNSRIDDNPNLCNNGASTCNSENKKSKTTLLIAIVGPILVAILLFVAAFLILHNGRNKQDRWMSNNSRLNSPQDRSNIFDNRQFTYKELKIITDNFREEIGRGGFGGVFMGYMENRSPVAVKMRSKTSSQGDKEFLAEAQHLGSVHHRNLVSLIGYCKDKKHLALVYEYMHGGNLEDRLRGESATATPLTWHQRVKIALDSAHGLYFVKPNILLYVDFEAKIADFGLMKDPLTTQSAGTFGYLDPEYYNTSQLSEKSDVYSFGVVSLEIITGQSPAVPVSDTESIHIAQWVRQKLSEGDIASIADTRMGGEYDVNSIWKVAELALQCKEEPSRKRPTMTDFVVELQECLDLEVSHAMSYYSSSVVRSVNNLSATSIDLHAPDQATDRSKQHAQIDLDQMGMASTIS >Et_3A_024959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25648257:25649903:-1 gene:Et_3A_024959 transcript:Et_3A_024959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAEYGFYGGAGRERKAAGCGDHFVVDDLLVLPYDDEDEGDGEAPAVDAAGGGSGVVVVKEETCGLGNLSADSSTVTALDSCSNSFSGLADGDFSGELCEPYDQLAELEWLSNYMGEGDDAFATEDLQKLQLINGITAGGFSSAAKAPPAPAAHVTAASATQPGVFLPEAPVPAKARSKRSRAAPGNWSSRLLVLPPTPASPPSPASMAISPAESSVSAHAFPAKKPSKPSGKKKDVAPSPAAQHNSSAPAQSPGGSAASAEGRRCLHCETDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVMSKHSNSHRKVLELRRQKETPPVHHTLAAPHHHHQQPHVIAGGGGAGGGLMHMQSPLLFDGPAAPPLMAGDDFLVHHHLGPDYRQLI >Et_3B_030244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31725514:31736501:1 gene:Et_3B_030244 transcript:Et_3B_030244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRNPVQQLADSSNSKPHNRRKVSGKATKMGAKDRGNQQGLKECGEMEKKMDANVHDDQQSLQVCGGTGTKVDSNVHDNQQSLKVCGGTANKMDANVLDSRQSLKLCGGTAGKMDSNVHDNNQSMKVRGGTANKMDANVLDTRQSLKVCGGTANKTDANVHDNSQSMKVRGGTANKMDASPSGTAERLSHLLNQPANKYCADCGAPDPRWVSLTFGVFICIKCSGAHRNLGVHISKVVSVKLDEWTDEQVDVLADSGGNAAVNTIYEAFVPEKDIKPTQDCSAEERSDFIRRKYELQQFLSNQQLSCLPKQNEKHRHHHHTGSNRHGLGHSFRNSWRRKEQEPKAAKKTIEVGMVEFVGLIKVNIIRGTNLAIRDVMSSDPYVIINLGHQSMKTKVIRSSLNPVWNERLVLSIPDPVPVLKLQVYDKDTFTTDDRMGEAEINIQPLVAAAKAYETSVVTDTAQLNKWMAKDGIWIPRDSTIAVIDGKVKQLVTVRLQNVERGHLEMELESMDAAALEKLILDLHEIEAVKLGSFVLKSGITSPIYLDLRVLVSHPRLLSAIASLLHSLPATRPYNLLCGVPYTALPIAAVLSVDQSIPMLMRRKEVKAHGTAKSIEGSFKPGDTVLIIEDLVTSGASVLETATPLRGEGLVVADAVVVVDREQGGRENLAANGIKLHSLMTLTEVLAVLLKHGKVTEEKAEEVRRFLDANRKVAVPGAPAPRVLRKPFEERAKSATNPVGRKLFEVMVAKQTNLCVAADVGTAKELLELAEKIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIVPGPGIVDGLKLKGLPKGRGLLLLAEMSSAGNLAHGDYTAAAVKIAEQHSDFVIGFISVNPVSWSAKPSNPALIHATPGVQMAAGGDALGQQYNTISSALILDLHAVEAVKLGSFVLKSGITSPIYLDLRVLVSHPRLLATVSSLLHSLPATRPYDLLCGVPYTALPFAAVFSVAHAVPMLLSRYDNKSIEGAFRAGETVLIVEDLVTSGASVLETVAPLRAEGLVVADAVVVIDREQGGRENLAANGVTLHSLMTLTEMLAVLLKHGKVSEEKVEEVRRFLDANRKVAVPGVQLKPKAARKAFAESARLAVNPMGRKLFELMEAKQSNLCVAADLGTAKELLELADKIGPEICMLKTHVDILSDFTPDFGSKLRTIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIINAHIVPGPGILDGLKLRGLPKGRGLLLLAEMSSAGNLANGDYTAAAVKIAEQHSDFVVGFISVNPASWPTTTSSPAFVHATPGVQMIAGGDALGQQYNTPYSVINGRGSDIIIVGRGIIKAHNPAETAREYRIQGWQAYQSSLS >Et_1B_010143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25801299:25801751:-1 gene:Et_1B_010143 transcript:Et_1B_010143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRSSGLCRDRWRHHLARDLYHRPFTARDDEELRRLVARFGSRGRWKDVGRAVYGRTSRVMKRRWKDNDDQPTTESGQTASTSYADDVLASSFVSCSLKACDDDAMDPRAGSLALGFACMAV >Et_1B_013624.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:183132:183836:-1 gene:Et_1B_013624 transcript:Et_1B_013624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFHSSCSNSYVDLAIFHPDTRRAPGPPLLLFHYTDDELREHLVHAASELREEASSCRRCEAAVAGVVARHIRTGAGWPPSSSSPSPTSATSTPTTRTSSSATTTDASGLWVSAMPRLAALYNLMATDRRPNPQPTGGQVRRRPSAGPEARMSWEGYGSPGPRPKPATGHAPNGASSTSASSTASSTPTHHDPSIFNTSLRMHDQIHQHFFPSIYHIHSSLLLSNTPSMHQQE >Et_6A_047630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8830495:8832409:-1 gene:Et_6A_047630 transcript:Et_6A_047630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQGSVVDATIGWLVQSILSSLTDKLDVWAREVGLADDTRRLEREMRGVEALLATARRIGDGNLPLARSRDDLMQLLYDAEDVLDELDYYRLHREIQQGNAAATGTSLDGSSAYSANSSCSSSTCHLARDDAKHSFTSWASKAVDFVMSRAGRKRKRADQEPEDIVVVPLPNKHDISRRINEIASQLRMVADSVQKVLHLESSYRAAIVTEHAVSNKALTTTSVPVEQKVYGRDTERDMIVELLIDHKSNDLRVLPIVGNGGVGKTTLARCVYHDQTIKDHFGLRMWICASSDFNEVKLTREMLEQACTGRQCFYNVSSFNVLQELLIENIGNKRFLVVIDDVWEDKVKNRWRQLLAPLRCSQINGCTILATTRSLSVAKMIETLTPFELKGLDYDEFWLLFKSCAFGDDNHVDHPNLQAIGRHIAKTLKGYPLAAQSVGALLRQDLTYDHWCEIQKQWTTLQESDDSILPVLKLSYDHLSFYLQQCFSYCSLFPEDYKFDGEKLVRAWISQNFVHCEDAGKTAEETGRQYLDILVDLCFFKKDDSCYIMHDLMHELAKMVSSNDCATIDGLTSNTMPPTSFINHNLCL >Et_7A_052625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9956873:9959665:-1 gene:Et_7A_052625 transcript:Et_7A_052625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATTAGMFTVCFESLCPCFGSKRKDGSEDPVLAKHSNYLSSSELRSISDRIPGSPLRVPASPSRFSLSSPSRNEPLNLSLEHVVKLTHNFSPNLMIGEGYFGKVYRAELRNGHVVAIKRAKKEHFVSLRAEFSNEIALLKNIEHRNLVKLLGYIDKGNERILITEYVSNGTLREHLDEKPIIHRDVKSSNILLNEGFRAKVADFGFARTGPTEPDQSQIETDVRGTAGYVDPEYLRTNHLTIKSDVFSYGILLLEILSGRRPIDVRRDARERITVRWAFSKYNRGKVKDILDPMLTEAVNEDILNKIFDVAFQCVAPTRNDRPHMKEVAERLWKIRRDYAKTQRRAEVALNS >Et_6B_049429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4802755:4809519:1 gene:Et_6B_049429 transcript:Et_6B_049429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQEAEERPGGLPRSGSASRLNAQAPEFVPRAAAAAVPAPPPPAPTVVRLFPRPPPPAAFFVAGPPPPPPPPFEYYAAAVGPGGGGFGAAAAAEQEAEAEMAARDGIFDDAVHKVRKQVGVDAPLSVVEYYFSDINLATTEHLMRFITRDPEGYVPISVVASFKKIKALMQSDSILASALRTSSKLVVSDDGTRVKRVQPFTLSDLEELQARIVVAENLPDDHCYQNLMRLFSAVGSVKTIRTCYPQTPNGTGPVTNRSAKLDMLFANKLHAFVEYDTPEDAAKAIAELNDERNWRSGLRVQLLNTCMTKGGKGRKGRHEAGYGEDDNASPSDQPNDKHLEETSQLSDAPGENMAEDVTGDMGRGRSRGRGRGGRGRGRGYHHQNNNQHSQYQNSRSGAHPVGTPPTGHPVKIEEQQEDVQAQPQPPTASNKQPPGPRMPDGSRGFTMGRGKLQISTPSASANEPEP >Et_5A_041748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26617891:26628190:-1 gene:Et_5A_041748 transcript:Et_5A_041748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKRLHEEGSHSTPAKRPLDDSSSYSSPSGKAVQSGSSDFHGAFEHDGRFAKVQRVEPRDDKARSSLSHRMPIGSSNFVDHPISSDSRLESKQNKDARDSKADDRESKADARDVHSDSRVEFQGNKVESDVKVDNRVDESEIRTERRSHADYKADTKFEKDSHPSVTSHSGWKDNKDHRGKRYFEQPADNMDWRFSRPGTQGTDETPKVPTPVEEPNSKDAHESTGDNKTDPKSEDKFRDKDRKKKDEKHGARESDRNDRRIGIQIGGSSVERREMQREDRDAEKLDRERKDPQKDKEGNAREKDSAKKEALVATEKGNTVEKATSDGAVKIAEIENTTTDSKALKDDIWKAHDTDPKDKKRDKDVDAGDRYDQRSKYNDKESDDNGAEGDMEKDKDGFGSVQRKRIARPRGGSQSSQREPRFRSKMRDGKSEVSAIVYKAGECMQELLKSWKEFEATQDAGSSESRQNGPTLEIRIPAEFVTSTNRQVKGAQLWGTDIYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRATVQVLPPQDSYTSTLRNNVRSRAWGAGIGCSFRIERCCIVKKGGGTIDLEPRLSHTSAVEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWLKYSISIVADKGLKKSLYTSARLKKGEVIYLETHFNRYELCFSGEKPRSIGSNSNASEAEPEKHHNSGHHSQNGDRSSMDHELRDVFRWSRCKKAMPESAMRSIGIPLPPEQLEVLQDNLEWEDVQWSQTGVWVAGKEYPLARKEAELTLSKEMILSMPVRLTITCRQGIETLKLFGQKHKE >Et_7A_051667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22123394:22128522:1 gene:Et_7A_051667 transcript:Et_7A_051667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFSFSRSGTHPRRRSPFPTPDNSTSFAAGHRGGVRRRHGGDDMSWQSSVSWAPDTSWAQPHGLGAAVGPWAPAAANDASSRRGPAVFRRTARDYYLSSRRSSRVYLDRSSAMLQSRAGKRLELQSVVTDASRAIVVAPNASSFASNDDIPSTGGEKAMVKVKYSGTYNSSRSNNIAVSREVSFSRDNHDQLYIVKSDKGQMMKDVKQISLYMVILAAVVVIGAYLEITCWRIIAERSALRIRREYLKAVLRQEIGFFDTEVSTGEVMQSISGDVAQIQEVMGEKMAGFVHHVFTFIFGYAVGFIKSWRIALAVFSVTPVMMSCGIAYKAIYGGLTAKEEASYQRAGSVAQQAISSIRTVLSFVMEDRLADKYAELLNKASPIGIKMGFAKGAGMGVIYLVTYSQWALALWYGSQLVAKGEIRGGDAIACFFGVMFAVGTVAAGRVFEIIDRVPEIDPYGGEGRKLSSVRGRIEFKDVEFAYPARPEAMILYNLNLTIPAAKMTALVGISGGGKSTMFALVERFYDPTRGSITLDGQDLGSLNLKWLRSQIGLVGQEPILFATSIIENVMMGKKNATRQEAIAACTKANAHTFVLGLPEGYDTQVGDRGTQLSGGQKQRIALARAIIRDPRILLLDEPTSALDAESEAVVQQSIDRLAVGRTVVVIAHRLATVRNADTIAVLDRGAVVELGNHADLMARAGAYASLVKLASSDSGRSSDSSGEPAGGAAMYNNNSFTDESGYDMSKSMYYGGFATIQEEAAEQNDKKDVKVRVSEIWQLQRREGPLLILGFLMGIHAGAVFSVFPLLLGQAVEVYFDADPSKMKRQVGYLATAVVGLGVACILTMTGQQGLCGWAGARLTARVRDRLFRAVMRQEPAWFDDEDNAMGVLVTRLARDAVAFRSMFGDRYAVLLMAVGSAGVGLGICFALDWRLTLVAMACTPLTLGASYLNLLVNVGPKSDDGAYARASSIAAGAVSNVRTVAALCAQGNIVGTFNRALEGPITKARRRSQYMGVVLGLSQGAMYGAYTVTLWAGALFIKKGMSTFGDVSKIFLILVLSSFSVGQLAGLAPDTSGAPVAIAGILSILKRRPAINEEGTKRRTIKEGRPLDVELKKVTFAYPSRPNLAVLSNFTMRVKAESTVAVIGPSGSGKSTVVWLVQRFYDPLGGKVTVGSVDVRELDLKWLRGECAMVGQEPALFSGSIRENIGFGNPKASWAEIEEAAKEANIHKFIAGLPQGYDTQVGESGVQLSGGQKQRIAIARAIVKQSRILLLDEASSALDLESEKHVQEALKKVSQRATTIMVAHRLSTVREADRIAVVSNGKIVEFGSHDVLLANHRDGLYAAMVKAEVEAQAFA >Et_2B_020730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23012839:23016701:-1 gene:Et_2B_020730 transcript:Et_2B_020730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMRPAREGPKKMVGMSSFTCLAYQRSPDQFYCYICGVLGHRERCCPYNYIYGRYVDQTCKGECTSGPEQHRITSQDHHKFLCCFIRVNNMPPGFHLWKLEKLFSPFGPLLMWDVPKFINNTCCCVTVIHMSFGVVVFKNREDGSRAIDELNGYETGGRKLRVDWEILHSSRDVLLSKKKDKGGAGGDLGATSFWSDPDAVFCSICGDDKEEHLELMCPYNYLSPAAYSPCKARLALWGNYTTTPRYKCTRQHPVEKKQRDPPVDDETNSRRLGFMRCFVRVNNLPEQCHPEEISALFSKFGPLRMWHVATHRSGICKGFGGIVFQNRDHADEAIETLNCFVLGDRKLRVDWAYPSLNC >Et_8B_060117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6012102:6013503:-1 gene:Et_8B_060117 transcript:Et_8B_060117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDVVETTLVAPSEDTPRRELWLSNLDLFVPNKHTPLVYYYPAPAPDGAAEEGGEGLTISPERLKSALARALVPFYPLAGRLGAGEDGRPRIDCNGAGALFIVARADFTGEEVFEDFRPSPEFRRLFVPFTAPSGELAMFQVTFLKCGGVVLGTGIHHVPIDGIGSFHFIQTWTRLSRGLDVAEACGPPPFHDRTLLRARSPPTPTMDHPVYSLALLGAQPRHSVARAYPVSPKLLAHLKSRCAPARASTYCAVAAHLWRCVCVARALAPGSDTRLRMTTNTRARVRPPLPRGYSGNAIMRDLVAARVGDVLASPLGFVAQAIRDAVDRVDDAYVRSAVDYLERESETNKGSSIQAAHGQAGQLMPESDMWVVSWLGMPMYDADFGWGAPRLVAPAQTFGSGTGYVMHRGSSKDDGVVVIFALEPEYQQCFQKLFYGE >Et_2A_015489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13681386:13692418:1 gene:Et_2A_015489 transcript:Et_2A_015489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNSSSAFLSLDISGQITINVWSQAERLWQSVYANPLDSCTPYATCGPFTVCNYISNQLCDCVEGFSQKSPQDWVLGDRTGGCARNTPLQCISKEKKKDSTDVFHPIPRVTLPYAPQSIGHATTQSKCEESCSNSCSCTAYSYNSSGCSVWHGELLRVNMNDGIEVNSEDVLYIRLAAKDLPSSREKNRKLISGVVTWVTISSFVLLMILVVLVIWRKKINWCGASLEGTQGSAGIIAFRHSDLCHATKNFSEQLGAGGFGSVFKGVLSGLTNIAVKRLDGARQGEKQFRAEVSSLGLIQHINLVKLIGFCCEGDKRLLVYEHMLNGSLDAHLFERSANVLSWDIRYQIAIGVARGLNYLHQSCHRCIIHCDIKPENILLDASFVPKIADFGMAAIVGRDFSRVLTTFRGTVGYLAPEWLSGIAFTPKVDVYSFGMVLLEIVSGRRNSPEVKTGSNYDVEYFSVQAIKKLHEGHVQTLIDPGLHGDFNLEQAERICKAACWCIQDNEHYRPTMGEVIQVLEGLQEVDDTLVAGKVLAAGDKLISSNGKFALGFFQPRISKSQVNTTSPLAIDTTSPNWYLGIWFSGIPVCTPVWVANRETPITGTDIKLAQLKISGDGDVVVSLGNASKHIIWSSTRIVNRAQPRSLNTSGVLLDSGNFALIESLSSALIWQSFDYPTDIILPGAKFGWNKVTGLIRGVISKRSKIDPGLGSYSVQLDSAGLVLKRRNPSVVYWFWSPKKQVLKLIPLINMILNMDKRTTNLLIPVYNNTNEEEYYAYSMVHNSSSAFMSLDISGQIMINVWSQAEQSWQSVYANPLDSCTPYATCGPFTVCTGSSHPFCDCMEGFSQKSPRDWVLGDRTGGCARNTPLQCISKENKTDSTDVFHPIPRVTLPYAPQSVGDATTQSKCEESCSNSCSCTAYSYNSSGCSIWHGELLSVNMNDGIEVNSEDVLYIRLAAKDLPSSRENNRKPISGVVTSVIISSFVLLMLLVVLMIWRKKNKWCGASLEDTQGSAGIIAFRHSDLCHATKNFSEQLGAGGFGSVFKGVLSGLTNIAVKRLDGARQGEKQFRAEVSSLGLIQHINLVKLIGFCCEGDKRLLVYEHMFNGSLDAHLFERSANVLSWDIRYQIAIGVARGLNYLHQSCHRCIIHCDIKPENILLDASFVPKIADFGMAAVVGRDFSRVLTTFRGTVGYLAPEWLSGVAVTPKVDVYSFGMVLLEIVSGRRNSPEVKTGSNYDVEYFPVQAIKKLHDGDVQTLIDPGLHGDFNLEQAERICKVACWCIQDNEHYRPIMGEVVQVLEGLQEVGMPPTPRLLAAILECSNTTSM >Et_9B_064025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10129282:10132917:-1 gene:Et_9B_064025 transcript:Et_9B_064025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLEKALLGLFAAAVVAIAVAKLTGKRLRLPPGPPGAPIVGNWLQVGDDLNHRNLMAMAKKFGDIFLLRMGVRNLVVVSTPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVAQNRAGWEEEARLVVEDVRRDPAAATTGVVIRRRLQLMMYNDMFRIMFDRRFESETDPLFNKLKALNAERSRLSQSFEYNYGDFIPVLRPFLRGYLNRCHDLKTRRMKVFEDNFVQERKKVMAQTGEIRCAMDHILEAERKGEINHDNVLYIVENINVAGRGEGDARLRMAIRCGAAHEPERRKLNGYDIPAESKILVNAWFLANDPKKWVKPEEYRPERRRKAVEAHGNDFRFVPFGVGRRSCPGIILALPIIGITLGRLVQNFQLLPPPGQDKIDTTEKPGQFSNQIAKHATIVCKPLEA >Et_4B_037372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19015329:19015795:-1 gene:Et_4B_037372 transcript:Et_4B_037372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPNAPQVTKTACAHPRRRATSTKARHVGVEGGLERLEGLHEGHEPHGREGAGGLAVGGQAVFRRGERQRVEADRFQGREEEEARRAWMLRGVETTVTAASGISRRRRRAVARKAVRWPCPGKGNSRTCLLVLE >Et_7A_052437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8024995:8025263:-1 gene:Et_7A_052437 transcript:Et_7A_052437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELAWLALLLVHALLMPCASAVVGRGDFPTSFLFGTSTSAYQGSLVMQGLVVQKIWHENDESREN >Et_6A_046668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19181854:19183681:1 gene:Et_6A_046668 transcript:Et_6A_046668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QLGPSPPHREQSTQQRNQPGPSQADTGADTPLFVAGDMSSSAAYPDADENLEAIITRIEQKSRKIETLLKQSKPVEALKTALEGSPLKTRDERCKSANWIVVHRAMMAIRDVDGMFNSLDPEYYDILMKYLYRGLSTGDRPTCDQCLKIHEKLTEKAGLGCILRSLADTVNTV >Et_5A_040637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10684266:10685706:-1 gene:Et_5A_040637 transcript:Et_5A_040637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCWPFFVDQQVNSRLVGTVWRTGLDMRTCAIEPSLRGWSAAQALAQQLRQDISDGGSSATEFQRLLTFIRDLSMSSERSGHGHVHQARAQQVWRVTSLTEGRRRQSSSDLLFLTSERRLMMNEKPCVDLQEKIAQAWRSIPGATWTVLSTAAGLVT >Et_6B_049276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2646411:2648218:-1 gene:Et_6B_049276 transcript:Et_6B_049276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRFRRFKISNLSKEEASPDGSSTKKTGAWNNTLNTILQQASVYGVAAGYCLSASLLSIINKWAIMKFPYPGALTALQYATSVAGVLLCGQLKLIEHDGLRLRTMWKFLPAAVMFYVSIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSFKTWLSLSTILGGSVMYVFTDNQFTVTAYSWAVAYLASMSIDFVYVKHVVMTIGLNTWGLVFYNNLEALLLFPLELLIMGEFDQMKVDSSKVSNWLSFDVILPVALSCLFGLSISFFGFSCRRSISATGFTVLGIVNKLLTVVINLLVWDKHGSLVGTIGLLTCISGGVLYQQSTTKAPKVEPKEENDEEQQKLLHLQAGHEGNSTQKQGSFAWQRCGAGLTMTTPLGSSPSPLA >Et_4B_036620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:111384:115166:1 gene:Et_4B_036620 transcript:Et_4B_036620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRVGPPWPFLASCRRHPRSHYSGRRPSFRRLHANSGDSAAGQGNFLLPGATAAVLVMLGALHARRMYDDKKVVERKEKGIEPEFSPDIKASFFRLLPLRSMSRLWGSLMELELPVFMRPAIYKAWARAFHSDLNEVALPLEEYPSLQAFFIRSLKQGSRPIDPDPNCLVSPVDGKVLQLGELRGPGTMIEQVKGLSYSVSSLLGTNSSLHDAGEEDLSRERIEQNIPENASAKSWWRISVATPKMWDQTQLSPKKGIFYCVIYLHPGDYHRVHSPIDWNILRRRHFSGHLFPQNERAVRTIRNLYVENERVILEGRWKEGFAAIAAVGATNVGSIKLFIEPELRTNSPVSRVLHSQTPGERVYEPDGTGVMIRKGEEIAGFNMGSTVVVVFEAPVSKETSSSSDFNFCIRTGDRVKVGEAIGRWSE >Et_1B_012291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30507663:30513869:-1 gene:Et_1B_012291 transcript:Et_1B_012291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEIEVVADAAPISATAPVSTAGGEVGQATVAGAGQEEDALKDDVYTGAAYGDLEKLHRLVELEGHSVTEPDGLGYHALQWAALNNRVAAAQYILEHGADVNATDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQHNMVRQHLFVTLLQNGMPILISLITMEEALFTGCTPLHWAAIRGNLESCTVLVQASKKEDLTLQDNTGLTPAQLAAEKNHRQVAFFLENARRVHERGCGGNSYFEKLSKFGLAPVLWCIIIGLLFIYIHSIILGQYNANMTVIFGLFSWLGVFLATTGLVMFYRCSRKDPGYIGKNIRDTQNQRDDEPLLKRGLDNPELLAGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKWNKWEFFMFLILEVSAMIITGVTAIIRSVGDPACPGSFGGWLSYTAINHPWVVSFVIMDCFLFFGVITLTVIQASQISSNITTNEMANALRYSYLRGPGGRFRNPFDHGVRKNCSDFFLKGYHEDIERVEQTVHHDEEMGTIQMTRSAISQNGDSMPLHCCDHSKKTDSKKADKTPLGLGLGLGRNNPSSRYARSLLPL >Et_10B_003070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16227813:16229203:1 gene:Et_10B_003070 transcript:Et_10B_003070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRSVEKDTRDDAVGDVWKTQKIGNYVPLPVEYGPKYWFLWGQTYGVYLLFMRLFILHTPSNIYQAYGGCVIYINTNEMINTGGCVVDINTNEMINTKEIINELELCCSQCGAVAAGVPQVNDNCIRFVTSVCHNLKSVCLINYLSLKEKALMIIASNCLALQNLMMFREFIEASLRALVPDDKRDLSLLLWEAASQSKMAGESHLSGAR >Et_1A_007211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32075160:32103044:1 gene:Et_1A_007211 transcript:Et_1A_007211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHESAPSPAAARFYPVRRLPELATAARRAGSFFDAFVGSAIHDSRGSRLLVTCFEDSDSEVDSTDLVCSPLSLAGNAVVVPPPLPVMQTQHPAESTVYHYCEFLPVNGGGDGESYFCVLTGYSEQQTTVHLHELKHGDWVLRAYVEAQLPVTPPTTKESLLHVFKSDNRGNWFLVRSISLHEVCSALGMADSLSLNGHTHRVKIYAAGDNANFVFLDMFGTIVFLDITSKQAEKVYEMTQEDEALLRGALVCRRWCRHTSNPAFPRRFRVRNPPRVIAVYLASSLPLKPQRRPLPPPHQAVETSTSLVLGDDDLLREILLHVGLLTSLLRGALVCRRWCRHASDPAFLRRFRARNPPRVIGVYLASAPGPGPPPRPRFLPIRPLPELAAAARRAGAFFDAFEGSSAFILDSRGGRLLVSAFDDRYESTQFLCSPMSPTGHTVVVPPPPPPPPIQLTSDEECLIYHYGEFLPDDAGDSDGRSYFSVVMGYSEQQTTVHLYELQDMSWVFRASAAAQLPVSPPKSRVILFDNTKFYMLSSIKKILVCDFPSSSISPMELPNGVENEHNGCIMLSRGENSGIYLIHVKGSQLRIFLCRSVGDNSGNWFLVDTICLREVSTNVGMKAWPPLDGQSTGVKIRAVGDNASFVFLDMFGTIVFLDVTSKQAEKVYEMAPEDKELVLLRLVFPTSLVCRRWLRVASDPAFLRRFRDLHPPRLLGFYVQTGRVGSSPSWFMPTPHPPAEPALSSTTPRVTSISDCRNGRILVELNNNGPKRGDAAVLSPLHSAEDAAVLLPPLPPSATRSHCCTMRLTADGVVYAAVWTWNLLSETAKVTQGFHELRDGAWHSLASPPLSFPSLTRSTVLISRPFDGELYLMVSASAIATLPAELSRLPYLSIILLPDGVESMPLGNVKPWVDDSGLYLVHVDKELQLRVWLHIMETGKWLLHDTLCLRLCSRDGLSATTAVNVCEVGHCAEFVLLRVGDDVLYVHIKSRYAEKVYTVKPEESWVRLIPFVMVLENDDLLGEILIRLVFPTSLVRAALVCKHWLRVASDTAFLRRFRDLHPPRLLGLYVQAGHLGSSPRFVPAPHSPAELAAAIRRAGSILDDDASLGVTAVSDCRNGRVLVELNNNPKRGDTAVLSPLHAAGGAAVLPPLPFATRSNFCTKRLTADDGVVCAADRRWNLLSMTTRVTQGFHELRDGAWHNLASPALKFPSGTLSKVLISLPFDGKLYLTASASTIAKLPAELSRLPYL >Et_1B_013635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10332989:10338056:1 gene:Et_1B_013635 transcript:Et_1B_013635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRCDDSPVGSLTVVDTMAMMAIFTEVKGEGYILEEKMRQQSVSDKLYLQPDISHWIVRSYLLHYGYQDTLTSFDMASETDPPANHQNGFGEPPEMYGLSHRKLLRQLIMNGDIDSTFKKLGEWYPQVVKAEQLEDAVKYARANLASFLTHKAFEGLLKECVALLAYEKPAESCIGYLLGSPQCEFVADAVNAAVLSTNPNMKDPESCLYSCLEKLLRQLTVCSFERRAFNNDQGDAFLLHKEMQKCERSKR >Et_2B_022551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25977545:25979675:-1 gene:Et_2B_022551 transcript:Et_2B_022551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVAAGRRRQSPVVEDRFTRPHRLVMQLPDMDEGRLRRLICSGDLAPCFDAAEDAVDGQAEECPICFYVLLSESEQIEVLQQSIENPLAKQVGNSSH >Et_2A_015798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1815649:1825322:-1 gene:Et_2A_015798 transcript:Et_2A_015798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSRAYLDQKLALAKRCSREATLAGTKAAAIATVASAVPTLASVRMLPWAKANLNPTGQALIICTVAGMAYFVAADKKILSLARRHSFEEAPDHLKNTSYKGMSTVSGASLDKKLALAKRCSREATLAGAKAAAVATVASAVPTLASVRMLPWAKANINPTGQALIISTEATLAGAKAAAVATVASAIPTLASVRMLPWARANINPTGQALIISTVGERADAAMGEGEHQPHRPSPHHLHSCWDGLLHRCRQEDPVAGEKALLRGRPGAPQEHLIPGRRPSPPGFLQALSKQVMNTLALP >Et_10A_002130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23254715:23256060:-1 gene:Et_10A_002130 transcript:Et_10A_002130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNCHSEGLEIVKNRLIQCIPKIGAVFDVVNPEYIKQQSESLDAWLWQLRDAVEEAEDAIDELEYHVLKEKVKDQKVSNCGLSFAKIKHKAAKYISVFDNTNKTFTHNNRTLKRLRNAVVRLDEVAAGVASFITLLDHIKDVPSNRWNGDHFLNGSRETGSILTATAVFGRDNEKGQIVEWLTKTTEENAEIVSSSYHPPVVSIVGYGGMGKTTLAQLVCMEERVMRHFEKVIWVFVSTTFDAKSVTCKLLESATGERPTADTLEALQKVLREKVMTCRVLIILDDVWDDKNRDQ >Et_3B_028906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20267733:20271454:1 gene:Et_3B_028906 transcript:Et_3B_028906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVCILSIDRVVSHQYWHAGVQLADSSKSSAHAQKYICHETSPTHNTNFYTRPAVSARRGGLLRINTTAAYSCQSGVIGTWSTHCLHILVAIASSSLLQFQSNYHRPPYASPSRASVSPTSSSLADHPSPPNLSKYHSRARRLAGHLSSCPADEVNISGPIIANGVPWDFFSYVTRIQLGTPARTNSVLVDTGSSLPWVTCKPCRTGCDERVDSLFDPTSSSTYNPIGCESSLCDNVPGNCFQNLKSCFYNQTYEDNSMIQGIASTDRLVYGKGDTQVLVFGCSSYFSGLGGQYSGIIGLSANSISFFSQLSLGRYKAMSFCFPHPHNTGFLQFGQYERQEDLRFTPLFIDGNNYYVHITGISVGTSRLDVPSGLGNNQIMRCLFETGTPFTMLPRKLFETLTDAIEKRIIGLYRVHAWTWQRCYEREIFWNEEDVYVPNVRIEFGDSAKIMLVAEDLWFREASGQVRLAFKRNAGSDVVLGSRHLMSVHTVIDMEKSTPGLTGSRMHL >Et_2A_016669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27022382:27025674:-1 gene:Et_2A_016669 transcript:Et_2A_016669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFSRASGGPNFEFAFNSINFSDRVLRIEIVAGDDAPGAKGAAGEGCSSLADWARHRKRRREELRRGKESGKYMSDSTNCKVEAEECDAYEEGTEEPVAMIEESPPDIGHDGEDGESSDSSWSMECTQVLRVKSIYISSAILAAKSPFFYMLFSNGMKESDQRHATLRITASEENALMELLSFMYSGKLNTKQPTLLLDILMIADKFEVVSCMRHCSQLLRSLPMTTESALLYLDLPSSISMATAVQPLTDAAKEFLANKYKDLTKFQDEAMNIPLAGIEAILWSNDLQVASEDAIYDFVIKWARAQYPKLDERREILGTRLLPLVRFCHMTCRKLRKVLACNDLEHEQATKCVTEALLYKADAPHRQRALAADVTTCRKYSERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMDQQSAFHCFGLFLGMQEKGSTSVTVDYEFAARTRPTGEFVSKYKGYYTFTGGKAVGYRNLFAIPWPSFMADDSLFFIDGVLHLRAELTIKQP >Et_5A_040901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14388455:14397463:1 gene:Et_5A_040901 transcript:Et_5A_040901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKQTGGEEMSWISKKLFLYNVTFGLYALDWWEQYLFTDTILLVLLWFICYNTSRSVWQAFDSHLKSNVQLGRGNYSMVAQAEEMGEMTWVGKKIHLYNVTMGLYMLDWWERCLFNILMLVLLWFVFLNGSRFATDVFERYPFHSLIWHVPIHSQT >Et_3A_026113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4438225:4439528:-1 gene:Et_3A_026113 transcript:Et_3A_026113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCYSSSDAQGRHRCAACFRQFNRMEHLVEHMRTARHSAHEPRCGVCFKHCRSFEALRDHLGVGGSTLPRAAHCAAEFAARGCALCLAVFPTAAKLLEHQSKCQLHRTLTIPMARQGGGGRGGGGALALGCKMVGAGSDGTLDVCARVCVVNELEEIVFETFVKPLIPVTHYRYEQTGIRPQHLRDGVTVKQAQERVLGLLLSGEQPWKVRTSRGRASLLVGHGLDHDFEALGMDYPAYLKRDTATYPPLMKTSKLSNSLRFLTRTYLGYDIQTGHQHPYEDCVAAMRLYHRMRAQPHRKGDGDAAASPASADQAFPAWRQREMERMTPEELLQLSVPDYYCWCLDG >Et_5B_043297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22643369:22645597:1 gene:Et_5B_043297 transcript:Et_5B_043297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPPAAAAAAGRRRQTIYHGHRRASPDRPTVRGGVFTDLRAAAAARPRPSRPSSAPSASAAQFRLADWEPSSSSPSFPSPSASASARRLSPLARFLLDALRRHQRWGPPVVAEVSKLRRVPPTLVAEVLSARPPPPPPLALPFFHWAGRQKGFRHCFPAFHSLASLLSAAGLPAAADQLPDLMRAHGKPVAQPQLTLLVRLHTAARRPLRALHVLRRFRHEFGVRLEVHACTRVLGALAAAGHVDDAVKLFDEMAEGGVRPMPVTFSIMVRALAGAGMTERLLEMISRMRNEVCRPDVFVYTALVKTMVRRGYMEGCIRVWEEMEKDGVEPDMMAYTTMVGGLCKAGMVEEAARLLKEMRSKGLLIDRVVYASLIDGYVAAGKVADGCRVLKEMADAGYRADLGIYNTLIGGLCGIGREDKAHKMFQIVLQEELMPSSETVSPLLVCYADKGEMDTYFVLVDKLVDMGLPAIEILEDFFKHFACKDGRELKAVEVFVALRQKQYCSVGIYNILIENLLKIKERKKTLFLFEEMQGSADYKPDSCTYSHMIPCFVDEGNVEEACACYNSLMKANQIPSISAYCALVKGVCKVGEINAAISLVKDCLGNVENGPMEFKYTLTILEACRSKSPYKVMKVVDEMIEVTCPMEDIIYSAIIYGFCKYASSTEARKVFAIMKDRNILSEANFIVYEDMLNEHLKKVTADLVISGLKFFDLESKLKWRSRID >Et_1B_014009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27220751:27223166:1 gene:Et_1B_014009 transcript:Et_1B_014009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWAGLRADLLDRVLQRLPCLAHRAVFAAVCFNWREREPVNRPPPPQPPWLLLPSTAAEEPSFFCILCMALHRAGLPNVYRAARCCGSLPGDWLVLALQQFRGYTLYNLRTGQRAALPDVLATPGEAAEALLITHAAARLPMTPDVKFCLAAAVTLSASNIAFWSPGMGHWSPPTPAVPQGTIADVVYYIGHGMAGFHALTDTEELLVFDPVHAADGGALETHQVTYRFPNHRVTPLPPAGKGTIARYLVNHSGNLLMLVRAVSPEGRTLGFRVFRLTGVMTADAYGNCFASWNEIPAPGGLIFLGRCSSMVYDLYGRGLDGFYFLDDATSFHDAARSLEANRQYPCSDMGWLPSHSDQLIDDTRGKATV >Et_8B_058862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10073880:10080486:-1 gene:Et_8B_058862 transcript:Et_8B_058862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLITKIATCSIFGMKDENAYHPVVRCTKSAALRDGLRKIWCLPHEEQLAYSDRTGLKYIVCMAEFWGPVLSHSPHMRRDGPGTFTFLQVTVSLCILRDRRLFLCLMQKEMGRFSCKHVTGSRWLEEQQARRLPRINGSRRLRVGLRLILMLVFVKEQGRLVQELWPEMRTEEFSCMLGGCYDTVRQQLRRRPKLALKDIQACSRLLSDVRFGGIRRDANKVAHLLAKHAMRSKEFVVERFSFPERVKQLIESEFPVEEPCNVALRNQPKKPTWVA >Et_2B_021080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26283028:26287976:-1 gene:Et_2B_021080 transcript:Et_2B_021080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAGAAEEGGGGGGGGERMKLLCSLGGRILPRPGDGTLRYAGGDTRIVSVPRGVALPDLLTRLSEAYGGATGLHFAVKYQLPDEGLDALISVSSPEDLDNMVEEYEKLDGASPKLRVFIFPILDASGGSGAGGEELEGGSVDAGLRYLEAVNGIVRKDSIASVSSAQYSDAGLPPPASSAGGAPGSPVGLSPTSTSSNEAARSAFSGTAPPPLVDVFSNAAPAPVPVKPQESAAEVRAPQASPHPHPHPHPEATRYRQPLSQLPPLPPVFMNDHRDAMQGLNQPQPGNGVRFEDCNMCMKALPHAHSDPVVNEYGNEVQGGAAPDPGPVFMSLRPEDVARIMMPERAVQAPMGAYGYTHMHQVPQDRQPQQQLPSGHGMAQYPVKPVSPNNPLAGEGSLSGNSRHREDGQVYRDNAPPVAPVAVPTYMANVDRMMDSLRVSPNEASAEQRKQAMSPDSALPQHAIPEHTQGLPENNTSARPDTRAKEVHLSNTNTFFDVNEPKVLLQTESMPPPSVANSYMHNEPKVLLQAESMPPPSVANSYLHNVQHVNMSHMPHMMSIGGPYSSYVVATVGPGGVPASAYGMDMVYANATVNPMSERKDVPHEVYIKEASHEVINAPNTAQVVTTALANHVPSVEQHQESGLPGQGQQFSNEDPWKVATNAHPLPPRPKRVASRENISPKDPHPNNNLLNCKGPDLNLPAEEQQQSEHRDAYAEHARFIKGDDITSPDLAGMEDGLPASKTESSDAYVSTTPESMPPLRNEGIGAATAKADDANEVVKSKPAELMAGFPVTDGRLQVTIMRYFFSSVPIQYCILLMISCILHVFQIIKNNDLEELQELGSGTFGTVYHGKWRGTDVAIKRINDRCFVGKPSEQEKMRSDFWNEASNLADLHHPNVVAFYGVVLDGPGGSIATVTEYMVNGSLRTALLKNAKCAPYSLWHMLFNSSSILICCILKKMKMVIKSTFISLCRSLDKRKRLIIAMDTAFGMEYLHNKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVLWELLTGEEPYADLHYGVIIGGIVSNTLRPPVPDSCDPEWRALMEQCWATEPSERPSFTEVANRLRSMAASQKVQN >Et_3B_031460.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:30412963:30413256:1 gene:Et_3B_031460 transcript:Et_3B_031460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKVSNAAAVAFAVAVVAMLVVSATAQCGVNRGQVMRSCMAYCRDGSQEQACCSALSQGNVDCLCNTYWGMLRNTKYESCARDIQNKCGMRACHY >Et_7B_054625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:288910:293154:1 gene:Et_7B_054625 transcript:Et_7B_054625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAAAPWMMMKTLPVLWRNQLFDPTRLAAASSFHSTPFSSEKWKNKWDCPKSEKGARKASRNYERYVVRQKRAEGKKALKDYLLYGKSSPHLQDGSTGSFANSHHVPRFKAFRKGHHPHWSSKSRQGVHNHRKKKKDEARFCNFFHEDQYVHPDDLFEAIFGAHRGFTWSHISWEGFRFRDRSFRYRWTHESNKETISSDSEDESEDDTRETTSTGSHAHRTILGLPARGPLTLDDVKSAFRASALRWHPDRHPGSSQAMAEEKFKLCVNAYNSLCSVLKATSHGDPEQDLEAEDGDGCCDGECEGGDEEGEVDGPAALELGEVALGRLLVGHLDVGGGHDVAPGLEHPQPVGGEREGELVAAALVAEAVEAAEVVRDGGVEGEVREGEEPRGRPEVAALEAGRRRAGDGGEDEREEEEEGEEEAPELLLLRVGGALLLHRLVEVELHHRAQRLRRRLARHHVHHPGPGPGAGNVGRLTHRHRFPHPGAVMQIHHL >Et_9B_065751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10079549:10081425:-1 gene:Et_9B_065751 transcript:Et_9B_065751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKHSMQLCCLLPLLLVAAGAKVTAGDELTTFIIHVHPHEKDVLATADDRKKWYESFLPEEGRLVHAYHHVASGFAARLTPEELDEMSTIPGFVSAVRDQTYELQTTHTPQFLGLDVIQAGNVSDGGAERGAGVIIGVVDTGVFPFHPSFGDAGMPPPAAKWAGRCEFKFNNGSAAACNNKLIGASSFVSVNGAVIPPLDDSGHGTHAASTAAGAAVPGANVLGQGNGVAATGMAPLAHVAAYKVCHELFCRGSDVLAGIDADVADGCDVVSVSLAGLQNPFHKDPVAIGTFGAIQKGVFVSMAAGNFGPSEGSLRNEAPWALTVAASTMDRFRHAVADRLRRHAPSIAFFSSRGILKPDITGPGVNVLAAGPFKVGPTDQTSGVSGGPPTFNFLPGTSISTAHLSGIAAIIKSKHPDWSPAAIKSAIMTTADVTDRSGNPILDERHQPADWFATGAGHVNPGKAVDPGLVYDIDPSDYGGYLCGLYTSDEVSMIARRVVNCSDITTWNRSMPVVVQRTAKNVGKLPSTYTPMVDMPAASDVTIDVSPRQLVFTEVNQEQSFKLTVWPGQNGTKVLQGALIWVSGTYTARSPVSIAFD >Et_3A_023447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28044880:28045722:1 gene:Et_3A_023447 transcript:Et_3A_023447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLVGPATPRTPPPPASPRTPRPAITLTAPPSNKRRRARSSLRAIRAVRALFRSLPILAPACRFPGVIPRHGGGGGGHDGHISGASRTTGTLFGHRRARVTLAVQETPGSVPILLLELAMQTGRFMQEMGAEHLRVALECEKRPPGSGIGRTRLLDEPLWTAYVNGRKIGYAVRREPTEDDLTVMQLLRTVSAGAGVLPADVVGGGHDQGQEAGDLAYMRAHFDRVVGSRDSESFYMLNPDGNNGPELSIFFIRI >Et_2B_022558.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2650396:2650716:1 gene:Et_2B_022558 transcript:Et_2B_022558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHKSRTSKLLAQPKKVAKLDTAFSCPFCNHGGSVECSIDRKHGIAEASCFVCKEFYATTAHALTQPVDVYSDWIDACEKANEGVRVRRRVSYADDDEGSYLWLN >Et_7A_052526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8895561:8901990:1 gene:Et_7A_052526 transcript:Et_7A_052526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDGLWALPQAILSLANVKPPIRMKFLSRAVNAKLTYPSGTATVHLINGFHTPDGSERAKYVARRHICYTPEGSWKQVSTLIKCSVASFLLGFFQWFYTAGEGCGFQQFPEMGLKATRTSTASVIHFTTHYVLFRFLDDLHRRRDDLPAHCERINSSWWDPLVGSDVASNSREERELVIYQIYFGHMSLRNHAGKLFTPSYRPASSPPTASPSALFGMSPTVAGQAAEESNEDNVKEPSVAWMIGFLFLLRRSLRLSATTLFIHTHTHTHTHVRWPHFLERCLDLNVMIIDYKLTYPSGTATVHLINGFHTPDGSERAKYVARRHICYTPEGSWKQVSTLIKCSVASFLLGFFQWFYTAGEGCGFQQFPEMGLKAYKNQYSLRNTFYHSLCTAASLSNNVCSSLDRFYFDFSTTYIGAGMICPHIVNVSILLGGILS >Et_1B_012468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32249231:32252667:-1 gene:Et_1B_012468 transcript:Et_1B_012468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGGGGRGKSGGKKGEESLAYRAWRQYLLQLQQHPLRTKMITAGCLAGISDSVAQKLSGFQKIEKRRLLLKMLFGFAYGGPFGHFWHKVLDYIFKGKKDTKAIAKKVLLEQLTSSPWNNILFLFYYGYVVERRPLNEVKNRVKKQYPSVQLSAWMFWPIVGWINYTYMPLQFRGNISQPSRKDYVFEAGIDNLVHLVGTPQRTRSWRYRTGNLELTLP >Et_1A_008747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11604631:11606513:1 gene:Et_1A_008747 transcript:Et_1A_008747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQGRFRPIYRVKLVVDSSSPRRERTHLPPRNTKRRDSPIPGHGALAASTFSDDERAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYFLFDGYAHLSSGLACGLAGSPPEWPSASSATLASVV >Et_5A_040504.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:26945258:26945389:1 gene:Et_5A_040504 transcript:Et_5A_040504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEWGRCKWANMHLMFLMGLTPIASESHLVFVPGYAHLISLP >Et_1A_009524.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:7143608:7144189:-1 gene:Et_1A_009524 transcript:Et_1A_009524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRRTRPSPAKCIAATLLVMVVILAIVILIWLFLHPTKLRFSVDHAAAAGFNLTSAGALTGAFDLTLRSYNWNERAAVSYRSLEVGVWYDGEYIAGAQAPGFFQPPENETRVDVATQAAPTPLPRDVEDAIKRERTTSGKMTVDVHVRAKARFRYGVVSTRRYTVRASCPAVVVDFSAPTKFDRVYCHVHI >Et_4A_031888.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:26403181:26403683:-1 gene:Et_4A_031888 transcript:Et_4A_031888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARIIFCVVIAAAVLAVVLLATVSPLPHRSGGHKGALKLRTFTVYVHPTTSTSVQYYQQQRQREAVHGGEASALVFRHRLTAGPEITSRTVGAASGFLLPAGEGGAATAAAAMSVFDMVHLAFDAPGLSGSLCVEASNAKGR >Et_2B_019671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1230926:1233520:-1 gene:Et_2B_019671 transcript:Et_2B_019671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGQNGRDEFFGGRDPFAGFGGFGPQRSMISSFFGGRDPFDDPFFTQPFGGRMMGGPGMFGPSLFGPMGGPFGDMRNNGFIEQAPPRSNGRRPVITELDEEDGENTERGTEQGNHGSYVQEPDDERNGMEEGQVQLRRDSSKANGRQPQAHTFTYQSSSVTYGGINGAYYTASKTHRSGSDGITVEESKEADTTTKEATHRISRGIHDKGHSLTRKLKPDGKVDTTQILHNLNEDELAGFEESWKGNAGHHLPGWIQSTGAANNDNPGNRGSSGRDRRSAWGWALPGREQSRDSSRNGKPKSRVIPIS >Et_2B_020999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25598917:25599910:1 gene:Et_2B_020999 transcript:Et_2B_020999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTSTSTSPLSRLLLSLPKHNAARPPRSPLPPPCSHDTPAKNTGALVLRRRDAAAAVLSGAILSRILPAVAEEASGECPLELTSSGLGFCDRVVGTGAAVQQGQLIRAHYTGRLEDGTVFDSSYKRGKPLVFRVGVGEVIKGWDQGIVGGEGIPPMLAGGKRTLRLPPQLAYGEKGAGCRGWEPTSCVIPPNSTLLFDVEYVGRAIS >Et_6B_049495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5777730:5780649:1 gene:Et_6B_049495 transcript:Et_6B_049495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAAVRAAAADAAVTFLWVLCASALGAGTAAVTSYLNLQEGAHYAPLLVTASLLFVLLSVFGPLCDALGGARFSPTDVAALYAAGISRPSLFSIALRLPAQAAGAVGGALAISELMPEQYKHTLEGPSLKVDPHTGAIAEGVLTFVITLAVLWIVIKGPRNPIVKTSMLSVTTVCLILAGAGYTGPSMNPANAFGWAYVNNLHNTWEQFYVYWICPLIGATLAAWIFRALFLRPEPKAKAKRA >Et_4B_038212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27138627:27143433:-1 gene:Et_4B_038212 transcript:Et_4B_038212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSPNPAKRISEIFRSSPRILRTLATPPEIRIPGVAIRADPAWSASSPWRRSPTPTGPRRNLKRLPPKFLEEAVLDSPGPGTGAGRGLGGVEQVERKPPVGAPGASSSGVVDPVEYNAMLKQKLEKDLAAVAMWRTSSATPPERSAASSSLPNVEVPRVGPLNSIGGNGIPVQSKPAGGPAGGLGPQVVQNADVLVKQATSSSSREQSDDDDMEGEAETTGNANPVQQRLQRRKQSNRESARRSRSRKAAHLNELETQVAQLRVENSSLLRRLADVNQKFNDAAVDNRVLKADVETLRAKVKMAEDSVKRVTGMNALFPAVCDMSSLSMPFQGSPSDSTSDAAVPIQDDPNSYFASPSEVGANNSYMPDIASSTQEDDAFINATLAAGKMGRTAPLHRVASLEHLQKRMCGGPASSGSTS >Et_6A_047629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8753075:8756857:-1 gene:Et_6A_047629 transcript:Et_6A_047629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESDALQSIAYSRGSLRLLDQRKLPLEVVYIDVKDSADGWNAIRDMVVRGAPAIAIAAALALAVEVSDLDFTGTPAEAASFVSKKLEYLVSSRPTAVNLSDAATKLQTLVSKTSQSAKDSKAIFQVYVEAAEAMLVDDVADNKAIGSHGAEFLQKKLDNSKTISVLTHCNTGSLATAGYGTALGVIRALHSGGVLEKAFCTETRPFNQGSRLTAFELVHDKIPATLIADSAAAALMKQGRVQAVIVGADRIAANGDTANKIGTYNLAISAKHHGVQFYVAAPVTSIDLSLSSGEQIVIEERSPKELLNSEGGLGKQVAPGISVWNPAFDVTPANLITAIITEKGVVTKSNGDGAFDIKGFIQSAK >Et_5B_045667.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6515626:6516906:-1 gene:Et_5B_045667 transcript:Et_5B_045667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVAPAAPASSGGGGGTRLDFDVIVVGAGIMGSCAAHAASSRGARALLLERFDLLHGLGSSHGASRTIRDAYPDARYPPMVRLSRRLWRDAEAESGYRVLTPAPQLSLGPRANSAALRAAVASSPGAREVGTAAELSRMWGGAFRVPGDGTWLAAVSDVGGGGVLNATKAVAMFQALAVKNGAVVRDNAEVVDITKGPEGGVVVRTSAGEEFRGAKCVVTVGAWARKLVRSVSGGAVDLPIQPLHTSVLYWRAKPGRERDLSAASGFPTFSSYDAVAAYGTPSLELPGLIKISCDGGPPCDPDARDWASGGGDVAERVARWIEEHMPDHVDTAGGPVIRQACMCSMTPDHDFVIDFLGGEFGKDVVVGAGFSGHGFKMGPAVGSILAEMAIDGRATTAVEAGVELGHFRINRFDGNPMGNANKD >Et_8B_059000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12062155:12064366:1 gene:Et_8B_059000 transcript:Et_8B_059000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGGLDRFKKAQTLEPFSVKSGSGTTNAPAAARTAKGPPAPLTLPQNSNFVPGQNHQSPQGASSRGAWQDVGAPSHVGTQVGGGQSTWQPPDWAIEPRPGVYYLDVLKDGEVIDRINLDKRRHIFGRQVPACDFVLDHQSVSRQHAAVIPHGNGSIYVIDLGSVHGTFVANERLTKENPVELEVGQSLRFAASTRTYILRKNTAALFPTPSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGISKSDIPLGSKGSSRGVSGGNDGSQPVERPLKRRKKLRVSFRDQVGGELIQVVGISDGADVETEPGPIGVKEGSLVGKYESLVQVTVIPKGKEQASPKESTSPSGVTDKLQEVLRKVKSTTRGGIYDGLYGDSVPAPVGSSWAYKSDNQSDKVSAVEEKTAAMNSNVNSADDSDDLFGDS >Et_4B_039115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:826491:827424:-1 gene:Et_4B_039115 transcript:Et_4B_039115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLDPSNCEMLAKGRKVSGRGEDMSAHYAFGPQEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEIEKDTDNINDCERLYKAFLQEINTFELPLMKSKAVVDANIREKESFNELQVEIQRQILQAQTDIEDLKKQLEKSKIERQHKEECEAIRKMISMQPPRSETEKLIADLEKEIADLEAENVACIRTLELRKKQFALLLHVVEELQISIEDEQRSIADELRAVAGEQRMSIDEGSGGASDAMAVY >Et_4A_033774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26825854:26829250:1 gene:Et_4A_033774 transcript:Et_4A_033774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAEAGRAAIRRALRSLRQRHLVEEGAHIPAIEALTRPFAAHALEWKEKAETLELELQQCYKAQSGLSEQLVTEIKEGKALKALLKEKEELITTLQNELKQTSEENVQLKQSLEEKTKALDILIQEHQAAKAELEQALAKLKVAEDENKTLIDRWMLEKMKDAERLNEANAMYEEMVLKLKTAGVGGIQQNAQQEADGIIRQSEAGYMETAIPSTCTITIRAHDGGCGSLLFQHNSDKLISGGQDQTVKIWGAYTGALTSTLQGCLGSVNDLAVTNDNKFVIAACSSNKLFVWEANGGRSRHTLTGHTKSVSAVDASWVKSFVIASSSSDRTIKIWDLQTGFCKSTIMSASNPNSLAFIDGDIICSGHRDGNLRLWDIRSGKCTTQIAAHLDVTSVCVSRSKNFILTSGRDNVHNLFDVRTLEICGTFRATGNKVVGSWGKPCISPDDNCIAAGSSDGSVYIWSRLKNDMPTILEGHSSPVLASACTYYGPKILDKVF >Et_2A_018210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18262669:18268908:-1 gene:Et_2A_018210 transcript:Et_2A_018210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARTALLPFFLLCVLAATPAAWAARFACNATAPRANTCQALISYSPPNGTATATLAAVRALFQLRSHRGLLAANGLPLSTPPTAPAPTPLRVRLPCLCSGGAGATFQRPTYRVRAGDTLDAIARGVFAGLVTFQDIAAANNVSDPNKVAVGQQLWIPVPCSCDPVAGQPAVHYTYVVPAGSSVAGIAQEFGTTEETILAVNKMPDAKSLLAGQVLDVPLRAIDRNLLVPNGTYILTANNCVILDCQPTQGLSSSFCPVAKCGDMFLGNTSSTSSCETTKCSYGGYTNTTSFTILANLTTSNVCNAAGVSPTAQPAHSSAFRLEPARWRWTELDDSIVTEAECLGFLEHNATFIYHFIEPFWQHCNQASRHSIGEAIVSCTKVFDTKHLTIEPDVPEEVKFASI >Et_9A_061801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16632917:16633202:1 gene:Et_9A_061801 transcript:Et_9A_061801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPSPRLPVFHDDFHLTFPHRHRPAAPRFFTPPPAGADYNGGPSGSPDWSSLHVDLLISILRLLDAPSALAYSGVCAAWRAAAADFVGVPLTRTP >Et_3A_023977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15484524:15501211:1 gene:Et_3A_023977 transcript:Et_3A_023977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAGFLLAAFAVLLALPAPSVANDPDMLQDICVADYKSLKGPLRLNGFPCKRPENVTANDFSSNVLARPGNTGNAVGSAVTAANVEKLPGLNTLGVSMSRIDFAPWGVNPPHIHPRATEMILVVEGSLDVGFVTTANKLVARTIRKGEAFVFPCGLVHYQRNNAHSPALVISAFNSQLPGTQSVAETLFGASPAVPSDVLARAFQIDGGLVKGIKSKFPHNVVKSLAGFLLAAFAVLLALPAPSVANDPDMLQDICVADYKSLKGPLRLNGFPCKRPENVTANDFSSNVLARPGNTGNAVGSAVTAANVEKLPGLNTLGVSMSRIDFAPWGVNPPHIHPRATEMILVVEGSLDVGFVTTANKLVARTIRKGEAFVFPRGLVHYQRNNAHSPALVISAFNSQLPGTQSVAETLFGASPAVPSDVLARAFQIDGGLVKGIKSKFPHKKKPTITLGPGRLLARKNQSIIMRTMAHNKQLPAVVLVICAVVLALAAPLLAGDPDMLQDICV >Et_1B_009723.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:20965281:20965911:1 gene:Et_1B_009723 transcript:Et_1B_009723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSCCIVLFLLLCSSVATATPAPGTLERVTKQQILASIPPGDSPAPVLFLTSPSGKYAAYFVRTHTAPGAGGLGADFCYVEVVDTTATAHAGGAGEGAGVEGTSVWESECRPISTVNTCALLFSWDGLEVFDGAEEVWHGETNRDGTNFLETLELVDDGDMRIRDKDGELAWRASDEPRHAQRCGAP >Et_8A_056329.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23162933:23163004:1 gene:Et_8A_056329 transcript:Et_8A_056329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNWRSARPTWQCGEGKSHDI >Et_8A_057725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6429825:6434312:-1 gene:Et_8A_057725 transcript:Et_8A_057725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARALQTLLLLCNAAVLLQLLSPCAGLSLDTVRDFLTREEDTIIFSLIERARYPLNQPAYDPAYLGAGHRLNASYAELFVRESEAVQSKAGRYQSLQEIPFFAYRVPFTLAPPYNFTSELYSAAAFVNVNDAIWNIYFDNLLPLLAKNGDNGNYAVTVDSDLACLQALSRRINYGRFVAEVKYRGDQQTYTTLIQSKDKDALMKLLTSEAQEDVVKGRVEKKAVVFGQSIASGGPTEIGVNNSSQPTFKVDPSVVYQLYDQWVIPLTKQANVQQNISNTYMQQSEQRKSKRKISSPKSRTHHSHLWVYAKEADTILRSAP >Et_3A_025092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26854778:26856339:-1 gene:Et_3A_025092 transcript:Et_3A_025092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVCPAGTCRCSASSRLSSSPALFSFPTRRHHDGTSSASVASPLISSPSPPRALAAAAYGGGLLSPVDTQTIIIAAAVVSAISLSLILGLKGDPVPCDRCAGNGGTKCVFCNDGKMKVESGLVDCRVCKGAAGNQLADVHRMIYSCNWALYIHMRCHRIV >Et_1A_007965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39844199:39846657:1 gene:Et_1A_007965 transcript:Et_1A_007965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENWIPELKHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTAQGEELRKQIGAPYYIECSSKTQLNVKGVFDAAIKVVLQPPKAKKKKKAQRGACSIL >Et_1B_011336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20612696:20615273:-1 gene:Et_1B_011336 transcript:Et_1B_011336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LDAEKQKKRKDHAPILSRNGHTCGLPPGPWPLPVIGSLHLLGNLPHRSLARLAETQGPVLSLRLGAVTTVVVSSSDVAREIMQKQDAILATRSVPDALNFVPWLPHSDPRWRALRKIVTSELLAPDRLDALQHLRREKVLDLVDHVRCLPQEGVAIDVNRISRDGTDLDDHGRSKEFKEMIGEIMEVAGRPNLSNLLAVAGGWEGCLHGCTGRSTTRSTRGFAGGEAVKSDFLYHLLDAALGEDGTAGWTARLSCRCSRNTSSNTVERAMVELLPNPFSMVRTCDELAKIIGSGRNIEESEISRLPYHQSVIKEAFRLHPPAPLLLPRQAQVTTNVLGHTIPEGTRLLVNVWEMGRDKDIWPNPENFFRKGSWKGQLILEVETLSSYHLAQDVVSSVQDGAPSSLLNQFKWSLPLEIGRNGVDVAEKYGVTLVKEGHHG >Et_10A_000507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11708398:11715236:1 gene:Et_10A_000507 transcript:Et_10A_000507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRCFLTLVIAVVSLFARGAVSGLMAMALSCSTTGNFTDGSQYQINLNTLIRDLPPNAIANGGFANITVGNAHNKVFGHAMCYADRNLTQCQDCLRVVSSDVQQECSFSREVKACYDACVLHYSNQSFFSIADLDIAFDTVSPKSFVADMVSMNTARWILMTGLVSEAASSSLRFANNSKEYTDSDGISQVIYGLAQCTRDLNASECTRCLTNFVAELSRSHANNTYGTVKGYSCYVAYKIGQDLDIAALPMAASPLHPTPAEDPLHPPGSRSRGAYSGTSADGLNVGAVVMIICIVVFVICTGILVLFLLRRRRRKARQHEQDELHDEPLGDDFERRAGPRRFNYSELTVATNFFSAEEKLGEGGFGSVYHGYLRGMDLHVAVKRVSNSSQQGRKEYISEVKIISQLRHRNLVQLIGWCHDGGELLLVYQLMPNGSLDAHIHNQINVMSWQLRYEIMLEIGSALLYLHQDSEKCILHRDIKPSNIMLDAAFVAKLGDFGLARLIDRDRQSHTTVLAGTMGYMDPECMITGTASTSSDVYSFGVVLLEIACGRRPIVVVSETEEYATKHLVQWVWEFYAQGRIIDAADVRLNGGFDAKEMESMLVTALCCSHPDRNSRPSIRQAINMLRLDAPLPSLPAKMPVSMFLTTGRRHQSEPRVVTGRKSGASEEFKRKAEPKQFHYNELAIATIFFSGEQKLGEGGFGSVYHGDLRDMELHVALKGVSKSSEQAGRSTFPSQLRHCNLVQLIGWCYDGDELLLVYELMPNGSLDTHIRNQHRVMYEVILGIGSVLLYLHQDAEQRVLHGDISRPTLCWTLLSLLNLTTLASLGSLTETDNRT >Et_6A_046404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14398881:14411621:-1 gene:Et_6A_046404 transcript:Et_6A_046404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQSSEDDGMGGRRKKGIKDKIKEKLPGGRKGTQQQMPAATTGGAYGQQGHAGVTDTYGQQGHAGTYGQPAHTGVTDTYGQQTGTYGQPHTGTTGTYGQPAHAGVTGPMEHQGQPGHATARVDEYGNPVVAGHGTTGATGGEQMQPMRDDHKTDGVLRRSGSSSSSSSSESDGEGGRRKKGIKEKIKEKLPGGNKDNQQHATATGAGTTGTGTHGTTAGATGEKKGVGQPGHATASADEYGHGTTTATTANPVVTGHGTAGATGGEQMQPMKDDHKTDGVLRRSGSSSSSSSSEDDGQGGRRKKGIKEKIKEKLPGTGNKDNQQHATATGGTHAGTAAQSTTTADSAGGEKKGVMEKIKEKLPGTGNKH >Et_10B_003362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19112364:19116102:1 gene:Et_10B_003362 transcript:Et_10B_003362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFKSTTRRSLHGSASASSSRSDQPPCPRRSRSRSVSAAPRGRDLIQEDYANTRTNPLFDSTASPSASTAPQQRSAGTAASTSSGGGDVARPWERDRGREPVKGGGRGGGGRARSVSVAPQRRRINSAPSVDSAAAVGGRRSSRARSVTDDTRPYRGSETDAESRDVARKFQSCRSRDSVLENHSTVPADPVLEIPPEFDPDSAEFISDISDYASEHRKEEFVDIPLDFDTDAADLAVDITHDAAHHDLGQMKIPLEFDTDTVEELSELRHYSTKQQWEQIEIPLEFDPETSELTTDITEYTRKLKQSHERARKLRADLAVEEQREQELSRMLKDIVTVPNFTETHKKRPRRKSSLERLKVSRHLAEEAMNYFEECVSISTMDSTDFSSPEDPQSSSLVSVPPKTTSRFSHNVGSTFQEPHPTTDQHGLCEESENQTQCSISINGSDASDSVFFSHMNPPGLKIRSNSGDDIDGFDTPRSRSSCFSFTREPAKTVQNCDVQQYIGNFGRGNNKALRGMRSSYSADDYAVQKENLDLLTDIMTLQNRIEYGGLLICSTRIF >Et_5B_044622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4030470:4033649:-1 gene:Et_5B_044622 transcript:Et_5B_044622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFHLLLFNGSFIFPECILIFGLILLLMIDLTSDQKDRPWFYFISSTKFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKRDLRSNEATMKYLLMGGASSSILVHEIVNGIINTQMYNSPGISIALIFITVGLGFKLSPAPFHQWTPDVYEGVLMSLILRRPSSTSRFLRKTLSILVWMASRPIFLGFNRTPYERSFYLLLSKNNQKIPFKIKQFHRIKYDCMCDSIYYTRNINEPHSCNCSGYPLLAARNPYVLTFYLELGIGVIRPAFDISILSLFGYHMCLFGLLLNREIGLCPTDNANRSYLMSDSGLYDRSIEILQDSTFVIYSIYHIR >Et_2A_018293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21624303:21625960:1 gene:Et_2A_018293 transcript:Et_2A_018293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFKGGNGKLTVQKKEYTLQQVHWHAPAEHTINGTRFDAEMHMVHEDPSKARAVVSVLFSTKADRPSKLLIDLGQYFKRLAGKENAEEEVKDRIDPATWIDKASGYYRYEGSLTTPPRTEGVIWTIMSKVADASEEQVDLFKSVSKTPEPDARPVQKINNRVVRYYKGAETS >Et_9A_063603.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:9213697:9214143:1 gene:Et_9A_063603 transcript:Et_9A_063603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKITDFGLAKLLNRGGPNQNISHVRGTLVYIAPEWVSGLPMTAKVDVYSYGVVLLELLSGTRVSELVEGSDKDHMVLRKFVRMLVDKLEGEEQSWVDAFVDTKLSRPFNYIQARTLIKLAVSCLEEDRAKRPTMESIVQTLLSVDD >Et_1B_013985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26417951:26418227:-1 gene:Et_1B_013985 transcript:Et_1B_013985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLVALVAAAAMRAGVASAGGRCDPEALSACLPSLVSGSTPTARCCTNLRARHGRKYLNGPNARNTVTYCGMGMDIPIVC >Et_2B_021496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30063921:30068077:-1 gene:Et_2B_021496 transcript:Et_2B_021496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEVLGEGDTAKLEIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQSGGDLNLIGQFGVGFYSVYLVADYVEVVSKHNDDKQYVWESKADGSFAISEDTWNEPLGRGTEIRLHLRDEAKEYLEEDKLKDLVKRYSEFINFPIYLWATKEVDVEVPADEEESSEEEESTPETTEDDETEDSEEKKPKTKTIKETTSEWELLNDVKAVWLRSPKEVTEEEYSKFYHSLAKDFGDDKPMAWSHFNAEGDVEFKALLFVPPKAPHDLYESYYNTNKSNLKLYVRRVFISDEFDELLPKYLSFLKGIVDSDSLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDEYSNKDKTDEEKSAMEEKKGQYAKFWNEFGKSIKLGIIEDATNRNRLAKLLRFESTKSDGKLVSLDEYISRMKSGQKDIFYITGSSKEQLEKSPFLERLTKKNYEVIYFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKLKDLKESFKELTDWWKKALDNENVDSVKISNRLHDTPCVVVTSKYGWSANMEKIMQAQTLSDSSKQAYMRGKRVLEINPRHPIIKELRDKVAQDRESESLKQTARLVYQTALMESGFNLPDPKDFASSIYKSVQKSLDLSPDATVEEEDEVEEQPEVEEKESTKEESEPSSQYDKDEL >Et_2B_020811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23959764:23961303:1 gene:Et_2B_020811 transcript:Et_2B_020811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPVTAAAARDGAVAVQAPRQLPPGFRFHPTDEELVVQYLRRKALSRPLPAAVIPVVHDVARLDPWDIPGAREGEAYFFCQRRAPASGSGGRRWRARSGYSKATGKAKPVLVQSAGCSGKRYLVGVKTAFAFHRGEPSTRAAAAALRTGWVMHEYRLAVPAGVAEQRKQASHVRIPVHSFRVALMLIQNSENPFVGWRIHDVAMTLQGCVVQPGEWVVCRIFLKDDSSRRPSRDAAGETPGDLASVAPSRHQGTGQLRLVLPSSPQASSSSCVTGVTESSDQDEVSSGRSIGGASAGSQRES >Et_1A_007314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33276969:33284939:1 gene:Et_1A_007314 transcript:Et_1A_007314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMSVSPVDELPAVVSDYSLQREGDPWPWDRQPVVLLPDEEAHHAVMLHQLRVGNAGHPRHAAVANEDIFFQIQRHGAVLGETAPEPRHPQPKPLGRNGGPAGAAAAAPHRDVRAIQRERPPVVDDDEDVIFAGHLRIRGEAVGPAAVAPLRQPRQRDARPRRQERVHQHGGELVVGVDGDDVAGTEPGQPRPLALERRKVDLDELVRAHAVEEDVAVNADAVTLEDPGAEATVGFALDGRSPRLADGTERDEVDGVVEAIGDELEREVGKTSPVPGFSHENSL >Et_9B_063979.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:8597962:8598069:1 gene:Et_9B_063979 transcript:Et_9B_063979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIILMCWSIWVTRNNWIFNNVDPSVQNCREFFI >Et_9B_066158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5210881:5215109:1 gene:Et_9B_066158 transcript:Et_9B_066158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKSPLLILLFLAATATATMASNPEQAAAPRAPKPRSSISAPSPRPRQPGDGEGCGALPLQPRRQRIRDQGAKEQQVLSRLSE >Et_2A_017505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3585052:3585641:1 gene:Et_2A_017505 transcript:Et_2A_017505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMRTNCSTTTGMIGISVSTNKNTRLTSILMAAQHSVSCNSDACIKLVVTMLTMLHTAQQGVLRREEAKSISRSVPRELCVLHTECWSNMVESKTASW >Et_8B_059215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15423938:15430636:1 gene:Et_8B_059215 transcript:Et_8B_059215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKRPAQKSASRWTPETERGAHRFEISDYSLVKSFRTGEFIQSATFTIGGNDWCIRYLPNGDLLEEEVEDEEEVHQSVSVYLQRLCKTTEVRVLCNFRLIIPATGQSLSVGSFAGVFGPASEENLWGVKNFMGRNALEAEFVKDDCLVIECEVTIVMGAPRSKSEMICEIQVPPFDMLDSLGKLLGDEKLADVSFTINGEVFRAHKFVLAMRSSVFEAELYGPLRDKKMKIIAVEDMQPAVFKGLLHFIYKDSLPDMDDLDKDETHEMVKHLLVAADRYGMERMKIICESVLCKGLDVENVATTLILADQHHCSQLKDACIGFIKSSNRMVEVVESPGYAHLKRACPAGPIATTASWCVPEKAQGRHTFEVTGYSQHKGIGVCQYIECTPFTVGGYDWCISFYPDGDEDFEDYASVFLFLNSEITKQVRILFDLKLLNPATGVWSSVRTETCVLDNECPTTGTVDFIKRSELEASYVRDDRFVIQCDLTVFLGTPVSKSRKVCEIQVPPSDVLDNLGKLLESTEGADVTIKV >Et_4B_036485.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26533774:26534088:-1 gene:Et_4B_036485 transcript:Et_4B_036485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELRRITTARTPATSTRTWIHGTALGWRSSGTPSWSRDPGQDVPPPPTQNVEWQSKLVLDRRRRGACPRACTETTRRSTKKWCRVPPGHTHRRRRRISHSLHI >Et_1A_007768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38001846:38002780:-1 gene:Et_1A_007768 transcript:Et_1A_007768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSQQLVVCAVLAACVAAGTAQWLPATATFYGGPDGSGTMGGICGYDNLYDAGYGINNAALSSALFRDGASCGQVKCSRSGGVRFVIRSLNYHELVNIQNLAGSGSVASAWIKGTKTTGWIQMFRISGTASWQAKANAALAGQALSFAVTSTGGQYIEFLNVAPESWKFGQAFSTQKQFDY >Et_6A_046005.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:17795752:17796171:-1 gene:Et_6A_046005 transcript:Et_6A_046005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERHGRREGVQEGALHGGGRGGERDERRCHAVPGRQALGQLRQRDQVAHPRRRQHGHMRGLRRRRPVARIVVHAHGFLLGVCFSQAGAAMSSLEYHVCYCVEGVVWCGGFYKHRMGMPCMITVSGYEVDLFSPWLRGG >Et_5A_040163.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:17613038:17614728:1 gene:Et_5A_040163 transcript:Et_5A_040163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPTSRARLTPGTSSAGVLSPDMLFDVLLRLPAKELCRLRAVCRSWRSLTIDPLFISAHADRHRSPLFLVRFRDDKVHIHVMDLSGNLVKRIAIPRGHQLLCTRLELACVASPSNSCRVINPATGGVCALPRDPALVQPQRKSLRRPDTFVFGRVPSTGEYKVIRTFNRAEFPHNDKLQPFEVFTLSGAASIGQWKILDSRDFVVEASSAVVVDGAVYFLMNSSYNRRGRAVTSGIPADYIASLDIEREEWRRDLQGPISGSPVIGDLDARDDYMFMWPQLALAELKGSLVLSYYRHRQFILDLWFLKDFEDGHWVKEYSIQTGSIGLCLADEYRVKPLLMLDDGRLVIFAALTGLLLICDPQTNTFTELETKHLDSVSVYAGNLLSLQEGNIV >Et_6A_047702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:70133:76833:1 gene:Et_6A_047702 transcript:Et_6A_047702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGPAAPSCFSPSTAAPSAGGHLGADLLALVASRSSSVQIYERITGDRIDILHNRIIVAPADIHDECKKLSCKWGLLSVPIQDPAAVLHWTAPPGSRFKRNMIREATAFLLDVLKRNLPEHVFLQTKTKKFLMEAKLPDARPLINVCDRFGFVPDLTHYVYTNNMLRYIEGYKSGNFNLPNLLILTAIKADPSRVMDYVNRLDNFDGPAVGEVAVEAQLYEGAFAIFKKFNLNVQAVDVLLDNIRSIERAEEFAFRVEEDAVWSQFAKAQLCEGLASEAIESFIRADDATHFLDVICAAEEANMYYDLVKYLLMVRQKAREPKADGEPIFAYAKIDRLSDIEEFILMPNVANLQNIGDRLYDEEQYEAAKIIYAFISNWVKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFFLAQICGLNIIVQMYDDTAGQLHLVKPYMVAVQNNNVYAVNVALNEIYVEEEDYVRLCESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYLFSSVTVHRREQFIFNTPKSFNDHKQPRDILFDCQ >Et_10A_000644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14211916:14221046:1 gene:Et_10A_000644 transcript:Et_10A_000644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPEAAPPPVRLRLVFESRRLLRRYQRDEGLRRCWLLLRPDLATVADLAAHVADRFRLGRSCPSGIILSMDGFALPPFESTSIFRDKDIIRVKQKSCKNMIRHNDMPYVQDPMIVEKRPLPIDGQILAIEYKNNNNKHHKEEQGCRQHEENATISHNVESNDTSSKRKCPDGIAGIPEDSKKKKMKGMNSGKHIGVNKEDTIHHYQDQSGSKKLMSLSIDIEMEEATTQAETVVLEKKQRTERNNATELNCETKVEDSNALTDTKKLESRSSRRKKIKRQLRQKAKAQIEKSIQEEPQTAAACPSSSNQDGSSHPPGNQNESHIPFSTHKTDEEESDSSEDLVPVMIRPGHIRFERAGMAQPIKNLITIEITLGTFQWSGTMSKKKGQKWGMNNSKKKNTDINYDTRINGISPEVNHVLDSNITDNGSCGVDYQKVGEGINESASVKAIADEEKSTDGPLDFETLYPLTRLPKEGDLIAYRLVELSSSWCPELSSYRVGKVLIYDPISLRIILLPVPEYPIISEEKITEDDSDMLMDTSPYKEDGSLEIEYASLVDVRLLKGIDSATSALSTPFAKSGKKGGSLARTPNPLDKSNGDINSQVAPSVRNNTKTPKATPEKTHKTVWEETVEVPNEKPEKPDVQENGWGTWTPNASTSAWSLRALRSSAIGPTVAILRGKNNQRGKPSNRNYGK >Et_1B_012053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28493439:28497591:-1 gene:Et_1B_012053 transcript:Et_1B_012053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAEILPPGFHFKPFDDELVELYLLPRVRDQPDLFPGLIVDDDAAANTHPCELFQRYGRPDNVPAFFFVRNKGGARPDRRCHGGGTWKSQKCEREDPSHEMVLDDGEKIKWKRHNLNLHMVTGKNGGGSSGWVMHEYSITDHPSIKICRISFSGFGQKRKRVPDGYEDKEPANQRPRVAADDSGSATSGYPGTMTFDQGFSTAHASGDRDLLHDSSDAAMLAEMTNWEFQAEQVQMMNTSAPQEPPPSSWSPTTTPSQESALTQDGAGLEPMALLSDDDMAEVFDLLAQPPAMDQSSCGVPNIGDTDIVRWEGIDSQKRLREDLNHEMVVDGEKIKWSRHNLNFHMDTESGSKGWVMHEYTVTTRPSLKLCRISFSGYGQKRKRDGADLEPLALLSDDAITEVFDIIAQPPADGEPYQQVPVMDQSSCGVPDIGDTDAVHWEGIDFTFSYDDSSMC >Et_4A_035446.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2563323:2564213:-1 gene:Et_4A_035446 transcript:Et_4A_035446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPAPFASSSSSSSPPPSSSNASTTMVIITVVGILAAFALLASYYAFVTKCQPLRLLWSRHRLASRGGEASVIRAAVAANEHRGLGLPLIRMLPVVKFTAEAAERGDAGAGRISVSECAVCLSEFEERERVRLLPNCSHAFHIDCIDTWLQGSARCPFCRSDVTLPAVPRPPPPAASKTTTATAPSRRHRRDAELAAAGGGDDSIVIEVRGEHETWFGGHGACEGRRQWQKQKRKAESVGDEAIDTRTNKDEAFAVQPLRRSLSLDSSCDKQLYVSVQELVATQRQVTYVPSVV >Et_1A_006416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22017183:22017562:1 gene:Et_1A_006416 transcript:Et_1A_006416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVYTFLLVSTLGIIFFAIFFREPPKGCPNAVYKAYPVKLTSKPDMKMATKVAVSIFLEFQDYNGSMKRSCIYNYNGIVYKVNTND >Et_2A_016404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24342679:24353034:1 gene:Et_2A_016404 transcript:Et_2A_016404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPPPADPPRRLTVSDLLLLRRPTTGASSLHFAPVSVPAPSSTSTSTSPPRKKSKIAAAAASNPTSKPSTAPFAPISHPVLLYGTLSLPSAASPAACRNNCFSFSDPSTSSTAASVCCCLIDFDPSALGREIRVLAWNYLPSLRLHGAAGVLEVVRWCLVEEPAPAPKPAFLATIPLNCAVKKPVLATHGGVFGVVRSVSVVFSMPRVKAGGTRSSVGFLAELVCCGCRQCLVSRPEGDQGHNFETVKFLYFLESASKWRPALACLVGKLLYITGLKKQMVSVGEKGSYTMLVSSTKTAMAWCPSYKGNVPSDCLPENCGGVYAGVITGIYMKGMVVELDDTLWLLIDDQQLPPPHSLRVGAVISLKNFRTISLKLSWTRIVLLATCSKTSVTIKSFSLVDSKIYMKVESKSLLGKFVDSLDMPARFWISLLISCFKQKFSKLFSDKEILGSLNVTPLSSFICKCESLWTSLMLKFWNDSEGVEKKQCQNQFLCDGISYPGSTTRILSSEDMNVVLAGSIKASPLSGRLQLDDSTGAIDIFIPDLPLTESLCGIYEIRNYKIVLEGPVAYLDHYVVGDPLSCKAVFQQLTYSKRVHHLHIYIVVCWRELNQIGPSSHIPLHVNNSPTSFHLLKLSHMFPVNNIPRQNMSGPSLYAEAIVLPYDLQVFGQGGCIEHAEGFRMSYSRLIGNSEASTAKLCKIPCSLSFGSTNLCGTLMSSYSGSDLLRIGGCYLLQCPSGNHTAPMESCGCLKAGKVSLDSDDKLWSLVITSCGSIGIKETTGDQLTGVISAKMDEPFSMNTIHDELKLVQPWNDFCLGSYFYLDFPCEAISKYTEEYNPVRHVLNGLCASSSEILSISSCIDIMTAKEASGSAKLQMEEVVQGDLISLQGKVENIHPHGCKEGRYMPGDEKYSTCIHVTDNNHRVRIRGYLSKHSSLGIGPGSMVIFHRILLTKHELLLMPVTYIEVISIRHADLNDGRVMSSSLSNCLKHNSPTVVPCLFSRLKHFTDNRCTQFHSRVATVLMLVLDSYLHDLQTPKLTSHGKGPNVKVRLAGFILDDGSSLCCCWADDTRAELMLRLQEVAHLNASVGLKLSKDGSSTNLQHTIGSCLEKMLKKHTSVIVRNYGIPPDFSCGDLEVSSVSGKVLGRLEEKLLKFITLNACWKGTLNVVASVLNPDDLNGFDVVVPDFYPIRNTQMLWINEVFQVDPLQEARRLYGDLLIEPIPISSELPHVRPK >Et_7B_054956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:673695:676184:1 gene:Et_7B_054956 transcript:Et_7B_054956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFILSSAKHNHWPKHDYTRKIFFGGFPPVDSEYLIEFFTAEFGPVEEAVVIELQIGNRVRS >Et_1B_011007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16481503:16484297:-1 gene:Et_1B_011007 transcript:Et_1B_011007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVMVISEQRNHHHRHHGVRSKSLGPQFSSPQPSRGFRGVNCRSFHASACMGVLPSPPPPPTRTYSSPEPKTPKQQPRQGGKRSRPVSISPSMSPPNRAELWAGPAFSNSPPPSSLPIPKFSLRQKRSISLELPPVERPDDVEVKPHAKSAPSSPAGGSGSGYDFFKNNETAIATENLRRILQFGQASFYPVFKLDNHLVKTWK >Et_1A_008795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13212604:13219337:1 gene:Et_1A_008795 transcript:Et_1A_008795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMLLNAAYNGDLRFVKRLVRALDKGKGRLKEAVEAVRTNEGVGVLQLAAGNEQMEVCSYLVEGLRVDVNSADNKDRTALVYAVISENAAVVKYLLDHGADPDQADHEGLSPLHSAAGIGDCEMIELLLAKGACVDPLANECGTPLHLAVKEKQTGAVKILLDHNADAVNVSSVECVKLLVEAGADVCSDCMATVSLDSAMGNNGSTECLNFLLEAGANHNVHDDDEDGAKGKIAQLKSLGRKAIERNNYFHASTFYTKAMDLDPDDATLFSNRSLCWLRQGDGEKALLDALECKKMRPDWPKACYRQGASLMLLEDYESASEAFFEGFKLDPENAEIEHALREAMESLKMSKGTKAK >Et_2A_016924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29574268:29575596:1 gene:Et_2A_016924 transcript:Et_2A_016924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAADEPPGVAVAPSMADRADAAEEALQKGIDYLKKRIRSSSLAVLLLAAGMIVPIAVEHSNKEQAALNRPTLLCAFLTFMSALSLCGLVADEFLHPTPGPRRYVAIKALVHLHPVLLASLSFSLSLMTRMSVAAASLALASSAALVARRLWRCAATALEADVDACVGCEDALQNLIELAANVASDLYVALLGLLQICRSCGAKKKNNSALQYQIGPAQ >Et_5B_045241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13489775:13493798:-1 gene:Et_5B_045241 transcript:Et_5B_045241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAAKRARESGDAAEVAPEGAAAAGAGEQAGISAVIPGWFSEISPMWPGEAHSLKVEKVLFQGKSDYQNVMVFQSSTYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIKDPKKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVVDVSKEFFPHVAVGFEDPRVSLHIGDGVAFLKNVHEGTYDAVIVDSSDPIGPAQELFEKPFFQSMARALRPGGVICTQAESIWLHMHIIEDIVANCRQVFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPAVDFQHPVFNIEEDEHSTKSKGPLKFYNSEIHSASFCLPSFAKRVIESKAK >Et_9B_064481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15588815:15592728:1 gene:Et_9B_064481 transcript:Et_9B_064481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRVYETWKGNNKFFFGGRLIFGPDAKSLILSVSLIVIPVLVFCVFVARHLRHHFPAYNAGYAISAVAVVFMIYVLVLLLITSAQDPGIVPRALHPPEEDFTYGNSLSGATPGRLQFPRVKEVMVNGMLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRFFFMFVSSSTLLCIYVFAMSALYIKVLMDEGYPTVWKALKHSPASGALLLYCFIALWFVGGLTGFHLYLISTNQTTYENFRYRSDSRPNIYDQGCLNNFLEVFCSKTKPSRHKFRAYAQEDVRPPTVNFGRGAEEEPVGGPRSKVEDDLEIGSDLLKISQRRNYEDVDVEMGGRCSNDLEGIATQIPAVGSDVRVRHSSWDRRSGNWDMSSDILTRSASDVVTRNASDVIGSSVLGTEAAPQSQN >Et_9B_064135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11608382:11615146:-1 gene:Et_9B_064135 transcript:Et_9B_064135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWAIIKRTCHFEKWLHHTQEEDELEEVGQEVARRCWGLPLAAQEIGSSIKPLEWSSILHNTSYSQPMHSSVLSSLRLSYQHMPAKLRLCFAYCATFPRGHVIFKQDLVHQWIALQLIDPFEKISHRRNLGEKYIIMLLDILFLQPMKSPNLPSEKNDMNAVPFTMHDAVHSLARSEIGDLLVFLDGRMARSEIDDLLAVLDGRSDGRSTTHRKEKYWRYALFNNVDATHSILPDQTKALRILCCSKMEFCKDSFSFAKYLGVLDLRELSMHKLPDSICQLKLLGYLNLSRCSGLEALPESIGNLISLVHVDLSGCSGLVKLPESFGKLRNMAHIDLSDCYRLVDLPHSFGNLINLMYVQLSGCSGLRKLSESFGDLINLVHVDLSGCSGLVQIPESFGKLKNVEYLDLSSCSLTEGIRSALDSLTNLKHLNLSNPCCFLVQHRLHLRQLKDVWGKLRNLQYLNLSMFLNAMFYISEKETSEYIEYFSGLTSLKHLDLSHNIFLFGLPENICKLTSLHTLNLSGCIRLKRIDRRIAEMNCLKSIVTRNCHGLETFHFAVHVDDEEYGSDLVELEDVVNCKELEIRCLEKVKSAEEAKRIRLVDKQNLQKLRLCWSLGSPSYVEDEALLTQLVPPHTLQSFELCGHSGRCLPDWLLGPSISSHLPYLVELTMEDIPRCSRLPPLGLLPQLQRLTLRRMASIRKIDADDLSGGNTGAFPRLSQLTLDDMQFLEEFISCGEKFMFPNIDALVIQKCRKLMFRPWTPRSKMRLMSSCDQRRSLLCHLPPLHSLHISNCNDLATSPEIKPFLTSLKSLNLSHCDSLVSTANWLGKSIISYLPNLVQLTMEDISRCTDLPPLGLLPDLQRLVLKKMVNITRISASDLSCGYRVAFPKLSLFIIDDMENLEEFTTTYYSGDDECMLPTFKELVIKKCRRLRFRPCTPRAKMLLMSACDQLVSSVNRQGGNEHDVEDGTSSPTSTSAPITKLVVEEEHLSNLNWSLLDGLPPLYSFHIKNCIDLTIPQERMPLLYDLNELVILNCRKLMFQPRILKAKMLLISDCGKLTPSSVKREGAGRQVVFKGHPSSSTTPVSVLETELAAYSVPLAKLNWKLLQDLPPLHSLQISNCNDLNILPEIMPLLSSVKSLVLAHCGSLVTLPSWLGKKISSHLPNLVEVTMENIPMCSNLPQLGLLRYLQRLVLRRMPRITRIHDNDLCGNSREAFPQLSRIIIEDMENLEEFNTTYSTNDEEFLFPNIHELVIQRCPRLRFKPDRPRTKVFVISSCGQLLSFPRNKKKQLMSSAAEIRGDGVNKGPSCSTSTTSAEVAELVIISLAEQIKELVIISAMRLDELNWSFLHDQHHFHSWHISSCNDLTVNAAITEAGNKETIIPREFLELLSSLKSLYISRCDNLVSLRPNWLGEGISKHLPNLVEVTMEDIPRCGDLPPLGRLPSLQRLVLRRMSSIKEIKVANLIGGSKEAIPQRPAPTRMSSIKEVKAADLIDGSKKAFPKLLHFTLEDMPGLEEFNIEYYSDITKFTLREIDEFVILKCPRLRFQPCIPRAKILLISDCQQLISSSMNGGWGSRDGVEEDESSSISTSSSPVTELAVQTVQLDKVDWRLLQDLPPLHCLHVRNSSDLTIFPENLKLLSNLKLLCVSLCNSLTTLPENLCNLTSLQELVIDRCDGIKTWPQGIDKLTNLKCLRIQGCPQLRNWCELEENRIKFSHITSFEEHSNKTEVNQILTYLVDDAENLVRAYGFWDNHAMIDQLNERSTNRPRPSRISRIGYRNRIRAIYGSLP >Et_4A_034029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29247230:29252523:-1 gene:Et_4A_034029 transcript:Et_4A_034029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSSAPLVHLTASRLRLPRPRAHAPAPGCSRVVGAGWRPTVGWRTTRRCDRLRCLSNDGGGGEDGEKRGEEEAKAAAAPAEAQTPGVSSEPPLLNFSVDNIDTVKLLELLGPEKVDPVDVKTIKENLFGYTTFWLTREEPFGDLGEGVLFVGNLRGKREEIFAKLQQQLRELTGDKYNLFMVEEPNSEGEDPRGGPRVSFGLLRKEVSEPGPTTLWQYVISLLLFVLTMFSCVELGIASKISSLPPDIVSYFTDPNATGPPPDMQLLLPFVESALPVAYGVLAIQVFHEVGHFLAAFPKNVKLGIPFFIPNFTLGTFGAITQFKSILPDRKTMFDISIAGPVAGAALSFSMFFVGLLLSSNPVGASDLVEVPSQLFQGSLLLGLISRAALGYRCGLTTTAFNMLPVGCLDGGRAIQGAFGKDALFGFGLTTYSLLGLGVLGGPLSLPWGLYVLICQRTPEKPCLNDVSDVGTWRRTALIVSVFLVVLTLIPLWDELAEELGVGLVTTF >Et_6B_049016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16764984:16766826:1 gene:Et_6B_049016 transcript:Et_6B_049016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSHKHVYIHIISYLVASNQIPDPVNLPVDRIHGGRQRWRQQQQLGVDGGGGGEAERGRRLGRGVQVVAHSIYRVPACIKDLNPKAYRPQAVSLGPFHHGDERLRPMEEHKRRALRHLLRRAGRPLREFAAAVEEVAEELRGAYRGLDAVAAEWRGGDEFLEMMIVDGCFLLEVMRAAGGRNLVGGGDYAPNDPIFSRHGVLYVVPYIRQDMLMLENQLPLLLLAKLLAVETAKPANDDAINRMVLRFLSPTPPLPPSGAGLGLHPLDVYRRSMLHGQYQTPPEGGRHDVLASDTDIIIRPATELHEAGVRFKKSATGSLRDIRFRRGVLSMPAASVDDATEYTLLNMMAFERLHAGAGNDVTAYVFFMDSVLRAARDVALLISEGIIVQNAIGSDEAVAKLFRGVSRDAVIEPESALLAVHRRVNAHCRKRWNVWRAKVRHTTYFRSPTSVVNFVAASIVVLMIVVQTIYTVVSFYKG >Et_1A_004807.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:8802135:8804325:1 gene:Et_1A_004807 transcript:Et_1A_004807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDNGNRIRLQPKWRKVAYGGRQPGYEDNYTDESFLEEMVMNASVVKRDLLKVMVDSMSISQYLCIVALVVSTWTLTLNLVIDEATLLKLDVGLLLVGFSVLLLTTCPFSVQLLSKYVLNISFFISGLYVLAPIYHTLTRSISSDSIWALAVSLLLLHLFLHDYSGSTIRPPGALNNPKLTSNISLNASIVASVLVASRLPSRLHVFAIMLFSLQVFLFAPLITFCIKKNHFKLHLLFSISLMIVTLGVTYQLHRMFFILLLVLLIFISVVCPYWLIRIQEYKFEINGPWDEAKLCFDITE >Et_5B_045213.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1238269:1239687:1 gene:Et_5B_045213 transcript:Et_5B_045213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAERTQLRVVMFPWLAHGHITPFLELARRLTSDQDIDVVVHFVSTPVNLAAIAHQQTEKIRLVELHLPELPDLPPALHTTKHLPSRLMPVLKRACDLSAPRFGALLEQLGPDVLLYDFLQPWAPLEAAKVGVPAAHFSTCSAAATAFFVHCLGADGRRPPRAFPFESVGLGGADEETKYTELFVMRDDGNNAMVRERDRLLLSLARSEGFVAVKTCAEMEQAYMDYLSELIGGGKDIVPCGPLLVKSEHGAGSEANRVGRWLDAQEAGSVVLVSFGSEYFMSEAQIAEMARGLELSGERFLWVVRFPKENAGEDSNAAQALPRGFAPARGLVVEGWAPQRRVLSHAACGAFLTHCGWSSVMESLAAGTPMVALPLHIDQPLNANLAAKLGAALRVPQPHRFGEFRAEDVAKAVRAVMRGEEGQAVRRRTRELREVVTRRDADDAAQVGALLQRMARLCGKGQGSQVAVPN >Et_3B_029105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22087260:22092155:1 gene:Et_3B_029105 transcript:Et_3B_029105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPHASNLSAFLAAAAVAHLSSSAAFRASPRAPPPPPLRLRTFASSRSSQPPPPPPPSPSQVVASAGAVAAAASAAACEPGAEKPAICTADELHYAPVPGTEWRLALWRYRPPPEAPKRNHPLMLLSGVATNAVGFDLSPGASFARHMSMQGFDTWIVEVRGAGLSMRGSELGAANNNSDISPNSDPNESSPDKVDVVVPTEDMSTQPQISEVPVIEDKNMVVNDTSEEPQLVTKLSNALARLGETFSGYVKDSRLKNVADSFFDRVSELAPDASLASLEEISEKILGLLELPRTSVISDQISSLSQRLVNILGEGQQNVSPRLFGWQERLSATIEDLQKQLELIISYDWDFEHYLEEDVPAAMEYIKKQSIPKDGKLLAVGHSMGGILLYAMVSKCGFEGAEPKLAAIVTLASSVDYTTSNSSLKLLLPFADPAEMLRVPAIPLGALLSTTYPISSRAPYILSLLRSQISAKEMMDPELLSKLILNNFCTVPAKVLIQLTTAFRDGGLRNRTGTFFFKEHLHKIKVPVLALAGDEDLICPPEAVYETVKAIPPHLVTYKVFGKPEGPHYAHYDLVGGRKAVHEVYPCIIEFLSQHDSVSS >Et_7B_053519.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5362060:5362122:-1 gene:Et_7B_053519 transcript:Et_7B_053519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQACRLLKAVTMDIFAKHG >Et_9B_064670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17496544:17498184:1 gene:Et_9B_064670 transcript:Et_9B_064670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKKSKKSTESINNKLQLVMKSGKYTLGYKTVLKTLRNSKGKLIIIANNCPPLRKSEIEYYAMLAKVSVHHFHGNNVDLGTACGKYYRVCCLSIIDPGDSDIISTTPGQ >Et_9B_065378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4336752:4340530:-1 gene:Et_9B_065378 transcript:Et_9B_065378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASQFMDKQIQGLAASGFGPGAGTAVSSPPPSVGSGGLADLMSPDPQEEAESRHANRPHHGGANGVGGVSNEVVPSYDFQPIRPSVAATSAVPAASSPPAAGAWCSLDSKAASAGLKSGVLEPHVLKTVSHEEDRSNFSTVTIVDIDRTMKKYADNLLHALEGVSSRLSQLEERTYNLESSVGELKLTIGNYNGSTDGKLRQFENTLREVQAGVQILRDKQEIVETQIQLANFKVPKAEDAQSENTGTGQADSRQQPAPPQPTIQPQGQIPLPAQPTGTMLALPAPTAPPPPPVQNLPPAQFPGHLPHSQVPSVPPVQSAPSVPTVPQDSYYAPSAQPSEATHQQYQTPPAPQPQAPQPPPPQHYQTPPQYAQYSQPPPPANANPSAAQPPSVPQRPEEPSPYGPPPQSYPPNVRPPPPYMPPPSGPVPPFYGPNPGMYEPPAARPNSGPPPPYNTGYKPQGGAGFSEPYGYSGSPSHRGNAGMKPPSPFTPAGAPSGGSGNYGHLPTAQVLPQAAPISSGPSASSGSKVAIDDVVEKVATMGFSREQVRATVRKLTENGQNVDLNVVLDKLMNDTDGQPPRGWYGR >Et_7B_054888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5251792:5252129:1 gene:Et_7B_054888 transcript:Et_7B_054888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGAWLAKLCVMIAEAERFNQIFNTVSQGWEHKARISEKDAKRVKTEKWVDTKEKELWGSGIVDQDKAMEILRYVIWPARFKFRGAVDPEAEGEDCD >Et_3B_029274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23681992:23684534:1 gene:Et_3B_029274 transcript:Et_3B_029274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSPPLLADSVAKFHSASTPIPCSGSAQRCAITGLAGAGRGDRYRRRKARGRRGLRVTAVAAESRSSEGGVAEDYYAVLGVMPDATPQQIKKAYYNCMKSCHPDLSGNDPDVTNFCMFINEVYTVLTDPIQRAVYDEIHGYALTATNPFLDDSAPRDHVFVDEFSCIGCKNCANVCSKVFQIEEDFGRARVYDQVGDPKLIEEAIESCPVDCIHWTSAAQLSLLEDEMRRVERVNVGLMLAGMGSSVDVFRMASSRWEKRQAKVLEKVRRRMSQDDSSRGPKGSSWSDIWGAPTRYEKNEEEAKERAKRAAAAARKWREYSRKGADRPPTFKLPEAVSNKE >Et_3B_030616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5471653:5497559:1 gene:Et_3B_030616 transcript:Et_3B_030616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDCQVAHGTEEIVNKLTLVDLWCIQMTPENRPCMSRVIEMLERDTDELKMPPKPFPYSPSPSINVSSNTSVVVSAPMNRPVLLMLPILASLHHLTTAANSITGEDTDCRPATCGNLTIAYPFSLAGSGRDTSSCGPPGFQLTCNISEAGAFLIGSYIKVLDIDYGSRSLVAVHAGLAAGAGCGLLFNVSSALAIMDRFKISPSNRELYIMFNCDGTLPSPAPGAVPVTNCSGNTSNFVYLGGSYGTSQPPANGGSCELAELLVLGSEAAGATAASYRRLIKAGFRLDWNPIGDCNACTASGGRCRYDNNTAAFACLCSDGSRRPSTCDGKRKRKLILIVSLSAAAGFAFICFPWLMYRHKKKYRSFVYRFYSTSRSNEEQVLRKFGSLAPRRFSYSELKKITKSFKENLGQGGFGVVFRGRLSDDRMVAVKLLKGSNGKGEDFLNEVVSISHTSHVNIVSLLGFCLEGSKRALVYEYMPNGSLQNHIYSELPIKWEMLMKIAIGIARGLEYLHEGCKTRIIYFDIKPHNILLDYKFCPKIADFGLAKLCHLNGSILSTAEARGTIGFIAPEVFSRCFGVASTKSDVYSYGMVLLEMVRGRKEIKQGADNSSEISFTHWVYDYLMRDMQDGQVGHGNEEIVNKLTLVGLWCIQMAPENRPCMSRVIEMLERNIDELEMPPKPFPCSPSPSTNDKKRHNLFILHKYTDNGSNIEEILKVYGSLVPKRYRYSELKKITRSFKDKLGEGGYGSKGGEEDFLNKVVNIRRKSNVNVVNLLGFCLHGSIRALIYKYMANGSLDKYNYSEESKMAMAWGRLKHTAFFIARGLGIFASGLFWIGKTVSLSIAEARGTIRFIALELWYHATEAYFPNWIYNRLVKDLQTHEVTCDTEDIAMQMALVGLWCIQTSPVNCPSKSTVTEMLLRHNAGANSVTAQDTGCRPATCGNLTIAYPFWLSGRDASSCGPPSFQLTCNTSTAGAFLSVSYIKVLDIDYASRSLVAVHALLAADAACSILFNVSSAFAITDRFRISASNRNLYVMSQCDGTLPPEGAVPVTNCSGNSSRNFILLGGSYGTGQPPANDGSCELTVFLVLGSEAADATAASYRRLIRAGFRLEWDPVGDCGACSASGGRCRYDNNTSAFACLCSDGILRSSTCVSLSAVAGFALICLPWLIYRHKKEYRSFAYRFYSSGRSNEEQMLRKCGSLAPRRFNYSELKKITKSFKENLGQGGFGVVFRGQLHDGRMVAVKLLTGSNGNGEDFLNEVISISQTSHVNIVSLLGFCLEGSKRALVYEYMPNGSLQHHISSKLATEWEMLLKIAVGIARGLEYLHQGCNTRIIHFDIKPHNILLDNELCPKIADFGLAKICHLNGSILSTAEARGTVGFIAPEVFSRGFGVVSTKSDVYSYGMVLLEMVRGTRETKEDANNSSETYFPQWLYDHLLRDMQDCKIVHGAEEIVNKLTLVGLWCIQMTPENRPSMSRVIKMLERNIDELEMPPKPFPSSPSQSTNVSSNTSVVVMSPSVDGRWVIAGVHGSSRRARRRTLLARDMRQRERTSASHSGSSRTRRRTPTAVRLGSRSVAPTTLRTSVIISSHWLLMDLILDIFYDNASLLVADINKLQHFYSLEFTSLITSRNVSMRRSAMLTPSLLHIVAFLLQPDFATAQTKQPEQQGCLPKKCGSLNVSYPFWLDEPGRPPCRPPAFQLKCNISGAFQSHSIFQDLMRGRGMAQPPSLAHDSRPPSLTRPSGTCPVNESNVSATSHSSSLLRLPLHILQYDISHSHHTCKDPPQAVPLGFHHVPCGNISFVSLGGERKYSNTEILPMHFRPAVSPSTVNMSPTLLLLLLLPLSLHDTAHADCEPATCGDLTVKPPFWLGGVKNKSLSPCGHPAFEVRCTNGGRVASLNGRGSALHIRSVDYDNNCFVATHTRVAGGDDGVCRAEYFNISDSINPRAFTFRRRNRALFFLYNCNGTEPCGPEYVNATSNCSAPIYAYLGGSFDRDNPSPPETGRCMYTYFPAMESEGENLTAANYARLLKDGFVLEWQLTASINADCRACVASGGKCHYDDNDAAAFACLCPGDMVSQLGSTCVASTAAIVGSLLLPCIYVLIWHRKGGKLRFLHGRKTSDNNERNIVALISSHGSLAPKRYKYSETIKITTSFINKLGEGGYGAVFKGMLQDGRLVAVKLLHDSKANGQEFVNEVMSIGRTSHVNIVSLFGFCLEGSKRALIYEYMPNGSLDKYIYSENPKAILGWERLYAIAVGIARGLEYLHHSCNTRIVHFDIKPQNILLDHNFCPKIADFGLAKLCHTKGSKLSVTGARGTIGFIAPEVHSRTFGMVSTKSDVYSYGMMLLEMVGGRKNVKSVVEKSSQKYFPEWIYDHFAQDDGLQACGVTREVEEIAKKMSLIGLWCIQILPMHRPTISKVLEIRIGHATKAKLQPNPWERLRIRAFLIQSTNVDPPYTGKVHCSNNTPYLAYYQRHVSLLAADIHKLQDSEDLPPEGATTRATTAPPRSLSRSRSIGPLNQELIFYNCTEVRVKAVIREEPVETRCRNNTFVCTREVYEREVVVG >Et_6A_046819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2153570:2162930:1 gene:Et_6A_046819 transcript:Et_6A_046819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALKDTLTQHGFTFDSDTDTEVIPKLAKFVFDKAHDGEGDVITFSQVVMKVMRKIEGAYALIFKSPHYPNELVACKRGSTLILGVKELSGKPCHDVKALTSNGKPKELFFSSDLCAVVEHTKNYLAIEDNEILHLKDGSVSIFKLDQDNEAPGSVERALSVLEMEVEQINKGSYDHFMQKEIHEQPQSLITTMRGRLKDGKVVLGGLKEHMKTIRHSRRVVFIGCGTSYNAALAARPFVEELIGIPVTMEVASDLLDRQGLIYRSDTAIFVSQSGETADTLQALEYVLENGALCLGITNTVGSTLSRRTHCGVHINAGCEIGVASTKAYTSQIVVMTMMALAIGSDQISTQARRDAIINGLSSLPSNVSEVLKLDTEMRELASSLVHSESLLVFGRGYNYATALEGALKVKEVSLMHSEGMLSGEMKHGPLALVDENLPVIVIATRDASFRKQQSVIQQLLSCKGRLIVMCSKGDVSVVCPSGSCRVIEVPEIADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >Et_5B_044154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20884486:20885845:1 gene:Et_5B_044154 transcript:Et_5B_044154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRSSSTSMVQDCNLTKEKMDSKMNSEHGDQGEEEVVLVGDEEEGEDMVLPGFRFHPTDEELVTFYLRRKVAGKRLSIEIIKDFDIYKHDPWDLPKASTISMDKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSAATGRAGGCIGLKKSLVFYRGSAGKGTKTEWMMHEFRLPPRPESPGSTPSSQEAEVWTICRIFRRSITYKRQPQVAGTVATAAVPQPDSSCSITGSLESDTGDEYMNGLPPQAPMNHNVSDVYNSYQFQGQWNSDAVVPPPAMAGAFHHGPAVQDDLYYKDGCNWDDMVMELTDPSVFYDCSSYA >Et_8A_057757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6877203:6879693:-1 gene:Et_8A_057757 transcript:Et_8A_057757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALVDLLMGIFRQQTLGGAALDLAVLAAPLWVAALVGLLIGWAWRPRWAGAVVGEHGQLAAGPTAAQPVLARTAAPAEVSCRNTAAVVPRPEMVVSPEEEQLAVNTGDLMHLWRLVEEKDGGPSWIHMMERTLPTFRYQAWRRDPPNGPPQYRSSTIFEDASPEVVRDFFWDDDFRIKNTWDDMLLQHETLEEDPKKGTMIVRWVRKFPFFCSDREYIIGRRIWASGKTFYCVTKSVPRPSVPRNSKPRRVDLYYSSWCIRPVESRKGDGSMTACEVLLFHHEDMGIPWEIAKLGVRQGMWGCVKRIEPGLRAFQIARTAGEPLSKCAAMAHVTTKFNADELNTEDNVEASPSNNNTEVEKPKHWTCNIPKVLMISGAVALACTFDRGLLTKAVIFGTARRFAGPGRR >Et_4B_038365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28453449:28456063:-1 gene:Et_4B_038365 transcript:Et_4B_038365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METEAAAARQAKESLELAFQMSQILDTGLDRHTLSLLMALCDRGANPEALAALVRELSSAAPPAGAAAPAPVSNGAAAPAPTSASLIRVVAESEKADSIDRFSDSNQLFF >Et_6B_050031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4173559:4176353:-1 gene:Et_6B_050031 transcript:Et_6B_050031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRPDFSFPGSPAQGPDRAPLPPRPREEEEVRWLQASLQASPDNYYQQSSSGTPSPQLWSSAQHHGHEHHDRLYPASAGSSPSRAQAIAGYRREMLDLVRGLPESAYELSLRDIVEHHHRPASPPSPSSAPLPAPPLPPAPTSNAGTAARGHEPAAAATADEQGAKQSSDKSRKQQGRAATMMRRQRSRSLERSVSLDTGLLIKFFMPLSIGRGGKKKVSPKPDAAAKDAKKKKKKQRKEQEPRQQQEEEWWSRSEAGSSTRTSSTGSSNSNSSARNGGSNPPKAPPPVRSRSRCTHTARNMKSKDGDGIRLDPTYSHFPWKYVVCCENSACPAPDAGVKSSSMEWEEIGQHGRVTGREMGAMPSSGKTKAKAELAKIRTVTMQTEKASLVISSPVLIHLFTEAKPMTLIAGVNSLFYRCH >Et_3A_024082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17170411:17171272:1 gene:Et_3A_024082 transcript:Et_3A_024082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRHGSRRTKADQWLFGMRWRGTAKETRHSVIPETKPPNPNSIEKDEDICLEKSRVHLHGPGQRGTMDVMPGRRSDVAPGRRSMPEMEINMKEVVAVLGVRVMAADMPPFMQLHAFRCAKRSHDSLDRFSSRQLAHDVKKEFDKVYGPTWHCIVGTSYGSFVTHSRGCFLYFSMDKIIVMLFKTKIRKVLAS >Et_1A_008723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10793593:10798732:-1 gene:Et_1A_008723 transcript:Et_1A_008723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDEHAFKPQWLRQDAVKAAGTASIFAAPSPRADYQGKGGSSRNHATGRDRHPPSRKSSGSNGSRTADRDGMGKSRGYANFGRNREKDREKDFDSRDRESRPIAPDRDGFQSFSTCRPERDRLNRARLKAETRNKGIVSLNNNSTSRSNADVSSSKSDDVVSSSESNAVVSTPVSTAAVSTSTSNAAVSTSTSNAVVSTSASSAAVSTSGSSAGVSTSVSSAALSTSKSSAALSTSRSNAADTSRSNSADTSRSNAALSASKSNSALTTSRSNAALSTSRSNSALNSRSNAALSTPRNNAVVSTPRNKAAGMDFEREFPQLSLEDKNGKQGISRVPSPGISTPIQNVPLINASDGWNSVLADLPLLKDVKKSLAASSVLQTAPCKQIEAVSNSGTALSMAETVMQAPLRISIGPQLSIEAQKIEERTLRQYTLRPLTPPASKSSVLTSSKTKSARMGDPIGPSKTAQQFKMHSANGSVRAPVKIDISKLSQSGSFQVLNREQNGTVHTSRDCPSIPVSPPAPSVSVEPQKKPVVSQKFKIATRELSLPLQAPCGDRKSNARDKHKFFESLRTKSSNGSSTTLETGYQQFPSSLIDVKQDSSLNHGNDQSVFPTGMKCMGNGKCSCEEENSSEGSQRHLSDNEDNSSSVKTDVADGVSQELLDDKKEADSSSEPADTGDEEFQLSLSDSAEGSSFSAPADSDDGFNRSQTGNEESSLSSDATEPEDEEYPAEPPPEDKPFLISLGWREDEVVQPLGLEEIADSVKGCAELEKKLWSMESNANIASAVGYGVKID >Et_1B_012684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34455946:34458343:1 gene:Et_1B_012684 transcript:Et_1B_012684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAEDGAGDRFRSWYLSREEIERGSPSRRDGVGAAKEAELRSPIAASSAKPQITIATAILLCHRFYLRQSLARNEWQTIATTCVFLASKIEDTPCKLKHVVIVAYETMYRKDPDAAKRIHQDEVLEKQKSLILVGETLLLSTIGFDFNIQHPYEPLKLALKSLEITQKEVRQFAVNLINDTLPTTLALQFKPDYIAAGSFFHAAKFHNIKLSETREICPFQFQL >Et_2B_022441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2216984:2218234:-1 gene:Et_2B_022441 transcript:Et_2B_022441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDVFRALLHFIYTDSMPAAVEDLDARKKTETVKHLLVAADGYGAVERLKLIGEDILCKRVDTGNVVTVLALAERHDCSSLRDVCVQFLASTNKLDIVVASQGYKQLRKGSPNMVVDMLEKVTKWMHRHKKMEVART >Et_2A_018661.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4485573:4486133:1 gene:Et_2A_018661 transcript:Et_2A_018661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPATAAFALAVSIVALYLLSFLDYLGTATTAQAPTDPLNGALQVLVPLAAMEGLIAFIYSHMHRARAAVNGRISELVTSILCASVGLLLFVLFVQPAGGVDDDGAQARVLGVVAVRALPAAATATFFSGVMLIYAHVGNGVRAGAGAVAGDGPVPAYAMNLLAKITLGAVAAVIILMAMTFNTN >Et_2B_019370.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25782602:25782724:1 gene:Et_2B_019370 transcript:Et_2B_019370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNMLSSEKEVAVDVPPKRRKRPFWRLPPWFIRSPHATRQ >Et_2B_019281.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:21134284:21134451:-1 gene:Et_2B_019281 transcript:Et_2B_019281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQDHVLATRPTSLAVPRRLMYGCTDIAFSPHGPYRQTGLVTQIIHNNTVLIVG >Et_2B_022773.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:4907502:4908050:1 gene:Et_2B_022773 transcript:Et_2B_022773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGKSKEADPARCRRHPRHRHSAGVCPFCLRDRLSRLSAAAARAGAASANANAAYSASSSSSSSGSPPCASWEESVAPPAAPPRRRERLGMLLLQEGREAAVLGAAARVEVAAAAASAPEADGAESADKKAKRGNFWARLQQQLHHGGWHRKDGCSVAHSRTAAEKSAAAVAARPRRAPVV >Et_1B_009889.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:934660:935010:-1 gene:Et_1B_009889 transcript:Et_1B_009889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSACCTFLRCTKAAAGSAPSLRSTLTSSSQTLSAARPGLVGGMSIRTVAGMTSCLVSFFTLASPPTKLTPLRSSAAITSSATAAPSATRACCISTLPSAKSTLSVSTRPCMQCR >Et_4B_037621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21912258:21915828:1 gene:Et_4B_037621 transcript:Et_4B_037621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPRPATAAHHRRTHPAPSAPAYLAATFALLAVAALAFSRIAFPRFPNPPATRRCRPDAEGSWSAGVFLGDSPFSLKPIEDWGISSDEGAAWPVANPVVTCADVEEAGFASSFVANPFLFIQGDSIYMFFETKNPITSQGDIAAAVSKDSGATWQQLGIVLDEEWHLSYPYILSYENKTYMMPESSKNGNLRLYRAIDFPLKWRLEKVLLEKPLVDSVIINFQDSYWLFGSDLSSYSAKRTGDLNIWYSSSPLGPWTPHKQNPIHSTDNRSSVRNGGRPFIYNGNLYRIGKDNGGGSGNGIQVFKVEVLTTNEYMEIEVPFVLDKPLKGRNSWNGARSHHLDVQQLPSGGIWIGVMDGDRVPSGDSVHRLTIGYMFYGATCILVLLLGGLIGAIKCSIPLRWYLPHTEKRSDSFSMQQQFFVYHNFGFLIFNANKLGSFIGGRINYRTWKGRIYTSGIMLILVLLTSFGTYYFDAGNGVEEPYPIKGRYSQFTLLTMTYDARLWNLKMFVEHYSKCASVKEIVVVWNKGRPPLQSELKSLVPVRVRVENKNTLNNRFNIDSEIKTRAVMELDDDIMMTCDDLERGFKVWREHPDRIVGYYPRLAEGNPLEYRDESRNTQAHYHIRSTCLAKFSEIYGNLTAKRTFSSRGDGWDKAVKKDYLQSNKE >Et_6B_049940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18876345:18881658:-1 gene:Et_6B_049940 transcript:Et_6B_049940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRERSNVTDNTEYITKVAGMGTRSSVKDIASKICGHGWEEHSSVNSLPVVMSNQDQSFPLESSLQVQGPKSHGKGFTLSADDILVLVSKNSESKSQLGGDPVPNAKLPMEEQKAQPHGTKAPNMTLPPPRPDRWRHPPDHPPDRNRMWHRESASYGPFKPGNTPGTGSLPVEPGGRSKAWSTFKKEGVFCSTYQTINRRGEELNSTKGRICEPVHVRTADGKLNFPDSEIQRSKSREVSAQHDKQLQEEGKNSAKGKVYGKTGRDSNDTIVPKLLPMENRPRRVHSQERILREMSNIAENNAGTLMDTRSSEEKRHVATVRGFTVGSILLEDVSLASVNHEEKTVAMEVHDTVNGRASSQQKMKSEKDQHGVQHAKLPPQE >Et_4B_037158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15680625:15685024:1 gene:Et_4B_037158 transcript:Et_4B_037158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGNKLVNRDEITDATVSAFADSSQIPEKYIRTDEVLDGLVVSGNETYELPIVDMGSLLDPELSKLETAKLGSACRHWGFFQLTNHEIDEEVIQNMKENTAQFFSLPLDSKKTVGVRGDGFEGYGHHYTRSSATAGDKLDWAESVILVTQPVQDRNMPLWPANPPTFRNALETYSVEITNLTRQLLGFMAADLGVEPDALLDAFSGKRQSVAIHHYPPCRHPEKVMGNTPHTDGLGLTLLLHADDTPGLQIKRDGRWFPVRPVPGAFVVNVGDILHIITNGTYKSVEHRVLVDARRARTTAVIFQDASVDGMVTPLPELVKGGEARYKSIERFQYLKVRFSALAKGEGFLDSFRARRIRGTMEAPSYDTLVNRHETLPCRQKYVRTEEVLDGAIVCENESYDLPVIDMARLLDPEFSALEIKKLGAACRNWGFFQLINHGVDEAVTQQMKDNTVQFFSLPLESKKSVAVRGNGFEGFGHHYSRSSDKLDWAERVILITQPVKDRNMEMWPTNPPTFRHALDNYSAEMTSLAMQLLSFMAADLGVEKEALQGAFNGKRQSMAIHYYPPCPHPEKVIGITPHTDGLGLTLLLHGDDTPGLQIRKDGRWFPVQPLPGAFIFNIADILDVLTNGTYRSVEYRVIPDANRGRTTVAMFQEASVGGMVAPLPGLLKGGDEARYKCIELEEYIRGNFRALADGTRFTESLKI >Et_7B_055713.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:2466709:2467260:1 gene:Et_7B_055713 transcript:Et_7B_055713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWHAWLSSARLEPALVYKYALVFARNELEADDVAYLDHELLHSMGVSVAKHRLEILKLAWRHRDRRLPSLISPGPRPPRLSRLLGRVARYVRSLVRREEDSTTALELVPSHHHQQQPDDGHKGRRGKALRRAKSEPKGSTSTPRAAALAIGGRGAAAVHAMDASDGEETVRWDSLFQDLKPN >Et_4B_037807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23566091:23567116:-1 gene:Et_4B_037807 transcript:Et_4B_037807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDAPPQPIHSAKAAMESLAAILGGAIPGSVASAEDPAGALLHDADVARAVSGRLRAAGSGAGNDSICRWLYDAFRSGVPELQLAVLRFVPALAGVYMCRAVSRKPLAGFEAVLLALNAHAAAQRGGAGEAAETVALPDLANPSPYHDAKLPPKAKPADLDVAVLSPPIEPHGTVRATRRARIVGAVLELYHAKLAQMPVSSKTDFCEFCPRLASASATDAAEEKWRRVPLPWELFQPALRIVGHCLMGPTCSEELKAQAARAAECLYWRTAETVDARALLATRSLMRLSQMVEEPIPEPSFSGDNMEDLEAMRANVLSMKN >Et_2A_017982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8685856:8688807:-1 gene:Et_2A_017982 transcript:Et_2A_017982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSPATASHLLRSLQRPRGFSSSAASPAAKVDDGKIVASVLFERLPVVIPKIHPVIYAFQEFSFRWRQQYRRQYPDEVLGKADARGKGDYQIDYVPAPRITEADNTNDRKSLQRALDSKLYLLLYGNTYGAPDGKPVWHFPEKVYENEETMRLCAESALKSVIGGLNNTYFVGNAPMAHMVVEQTDSSVSPFKRFFFKSQVIGSTKYSIGKCKDYAWVTKDELLEYFPEQKDFFNKMIIHVR >Et_2A_015502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1425160:1427897:-1 gene:Et_2A_015502 transcript:Et_2A_015502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLARRLAAHLLPRRSRALLHTSPPATEPSVLTSRLASRAVVRFAGPEAARFLNSLLTNDLLAAFAAAGSAAPQRYGPTPNAPARGPAGPAYAALLTPQGRFLYDLFLYRPAPRSQMLDRTGSAPQTGEREEGEGEPTEVLADVDAAEVDELLACFKRYRLRSKVEIDNVSEDFACWQRFGRDVEHTEPSTQEPEAQSIGWGQGTDHAAESAAQGNGHGWQWLKDPRLDCLGYRGIFPADTIPPLVESDKEADERNYQLWRIENGVAEGSTEIPKGEAIPLEYNFAGLNAISFEKGCYIGQELIARTHHRGVIRKRLMPMKFVDENGQELEQAVAPGSEVVDEASGKKIGTVNTALGSRGMGLLRLEEALKQDSSLSISGKSDVRVKAIKPDWWPAEWTQMVEQQSAAA >Et_7B_054858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:537193:539915:-1 gene:Et_7B_054858 transcript:Et_7B_054858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSSPAPPLVVDGAPWAEAASSAALRHYRSLPKKGKPQGRESTVLAAFLLSTPQDPCNPTVLSMATGTKCLGASHLSPRGDLVHDAHAEVIARRSLLRYVYSEIGRNAPPEWLVASGDGGRLRLRDGHCLHLYITQLPCGVMPVPPAPSVSTREELVRANGCSDANLVQRKPGRGDTTLSMSCFDKITRWSIAGIQGALLSHILEPLYLSTITFGQLPDGAPQGFSIENNIEKVLDARLSSVHSKLPASFRIHKPQFFEAPVPPKEFQQIAGDEAPLTCGYSICWNKSGLHEVVLGTTGRKQGTSSKAACLPSTESLLCKRRLLEAFMSLEHPLVVNFKCQHLSYRAIKDMAYEFQHTLELLRKAPFFSCWRAKPAALDLFVV >Et_10A_001531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4738816:4739537:-1 gene:Et_10A_001531 transcript:Et_10A_001531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMEKQLAVRQCDMEVMKMAMLKHEETFRQQVHELHRLYRIQRQLMSDLGSRAERVSTRRRSKQPRRSLNLQLPADEYIVSTDEEDNESELELTLALGSATTARRSNRQERNTGGGGSGSPFGSDCSGASLLSSSPSSAEYYSDDTPAVVHALPPPPPPPPCQRAMAFDLGEGMMMRQQAPWLMQPQYLSLRMT >Et_4A_034698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5559028:5561523:1 gene:Et_4A_034698 transcript:Et_4A_034698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAGAAAAAAAVSLVTCYLLLHKSSLKLPWTLLNRPYGVSGRRTRRRGLVEAIGNTPLIRINSLSDATGCEILGKAEFLNPGGSVKDRVAVKIIEEALESGDLVCGGMVTEGSAGSTAISLATVAPAYGCRCHVVIPDDAAIEKSQIIEALGATVERVRPVSITHRDHFVNIARRRALEANNLATSHRESSKAQTNGSAHVSSETTYDKLAAVQRKSNSTQANGSAHISSEMPHSGKCYPNSDSKGGFFADQFENMANYRAHYEWTGPEIWEQTKGTLHAFVAAAGTGGTTAGVSRYLKEKNRNIKCFLMDPPGSGLFNKVTRGVMYTKEEAEGKRLKNPFDTITEGIGINRVTKNFMMAELDGAYRGTDREAVEMSRFLLRNDGLFLGSSSAMNCVGAVRVAQDLGPGHTIVTILCDSGMRHLSKFFNDQYLADHGLTPTATGLEFLDK >Et_5A_042378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9374179:9380548:1 gene:Et_5A_042378 transcript:Et_5A_042378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGPDLSKVVSSPSYAKAADAYKKAVATAATVTAYTVLARSMARELLPYEVCAAARWAASAIRDRLAPPPKPRRTKTIFVCRYGEGSSHIENRFFWDARAYLATRIDPRRMSRLCLTTGVGRQLLSMVPGDSMTDVFEGVEFTWTLVSSSHSSGRGRGDGDDYYPPASPDSLALSFDAEQTELALCRYVPSIMRATEVTRQRERALKIYVNKHRSWEGVNLHHPATFDTVAMDPALKQSIVDDLNRFLSRREYYRRIGKAWKRGYLLYGPPGTGKSSLKKRTKNGDGDSDSDDCSSDSSDEPPPNNNSYRTGITLSGLLNFIDGLWSTSGEERIIIFTTNYKDRLDPALLRPGRMDMHIYMGYCGWEAFKTLAKNYFLIDDHALFPEIQELLSGVKVTPAEVSEMMLRNEDADVALQGFMQFLQDKKKDGKP >Et_1B_012588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33473860:33477777:-1 gene:Et_1B_012588 transcript:Et_1B_012588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLALGDLHIPHRAADLPAKFKSMLVPGKIQHIICTGNLCNKEVHDYLKSLCPDLHITRGEYDEDARYPETKTLTIGQFKLGLCHGHQAVPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTATMHT >Et_2A_015264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1003290:1007334:-1 gene:Et_2A_015264 transcript:Et_2A_015264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNLALVIVAVVVSVVVLLVSLYLLINYQHPDDANQAYFPKLVVVLGLTVAILSILMLPADVANRQACKRAVYNGACHLTLPMKTLWLVVYIVDAVLVFLVIPFAMFYYEGDQDKSVGKRLRTALMWVVASAVVCGLILGILYAIVGKVDFTVRHLSSTVQPFPNSFSGFSSGQPCFSQLPKVCAASTAPANSLTTWTMRATFPEYVVALATIVGSVLFTIFGGVGIACLPLGLIFSFVRRPKAVITRSQYIKEATELGKKAKELKKAAEALHQEERSGNKGRKWRKNVKAVEKELLLLEDDVKALEEMYPQGEKAEATWAFTVLGYIGKLIFGVVGLIISIAWVAHIVIYLLIDPPLSSFLNEVFIKLDGVWGLLGTAAFAFFCFYLLLAVIAGEMMLGLKLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVALAILTLIYYALFGWRKRKPTGRFQISN >Et_8A_056735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16028457:16034115:1 gene:Et_8A_056735 transcript:Et_8A_056735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARATVPRPGLLAPSNVAKPKAGLFLPIPPPSSSSPRRAVATAGAAPATAAAAPSPRPRLGDVIESQQFDRDALNEIFEVAREMEAVEQGSHGAPSRILEGYLMATLFYEPSTRTRLSFEGAMRRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVLRHFESGAARRAADTANIPVINAGDGPGQHPTQALLDVYTIKREIGTLDGIKLGLVGDLANGRTVRSLAYLIAQYQNIKIYFVSPDVVKMKDDIKDYLNSQGVEWEESSDLLEVASKCDVIYQTRIQKERFGERLDLYEAARGKYIVDKKVLDVLPKHAIIMHPLPRLDEITKDVDSDPRAAYFRQAKNGLYIRMALLKLLLVIKRGEVPAVDRPALIIAPPLHSTLLLHAAVDAPLIPLTVKKLLELGFDVDDVRVL >Et_5B_044813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6051594:6054255:-1 gene:Et_5B_044813 transcript:Et_5B_044813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRPRTVVLRRPTPRRLRPPAASEGNAVPVRGSTDPAAAPSAREREAQRGAEGPGCGSGRQADLAVRVRPAAAAAAAAAAAAIVAAMAARLPAGGSGRRGDVAVGKRRETMAAARGEARSRVVRELLHSALFILICVFASAAAGHAESRKHSDDRRQASSYKLFVFGDTFVDNGNTDKKDLTWWSRNWYKPYGVSDANHDYSPTGRFSDGKVQSDFVAMILGHDESPAPESARQDDDDSFGMNFAAGFSNVKAINSTAPEFAAQIGRFRRLLKHGIIDKDIGQSVALVAFSGYDYTNIPNKQTDRHGYTNFIEDVTASIADGVSDILELGVTKLLVNMLQPVGCSPRYTRTTTNHTECIKNEITEIHNKKIKEKLGSEDSVLLLDLYTAFNQIIHPKSGTGFAHRHVPCCESYEADGYCGQVDWRGEELFEVCDKPDKYFYWDEWHPTQAGWQAVMDKLEDPIKEFLDI >Et_7B_053736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11682414:11686259:-1 gene:Et_7B_053736 transcript:Et_7B_053736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARQRHTCSSSSSASLFELEQLLLRSLSISTTDLPSSTLSTQHIAMAFSRSMPSESRATRWLTVSRSLPRTYVPFAAVSSCSRSRTKDLAQLEHFFFVAVSRLLSAVGQSPAAAGPTRRPRNSRCGAAAMAVAAAAPHDRTRQVFMSFLTAFTTFSFVATFPWLVLMLWSTDEFLSWICLKLFRVVVQKELLLTTGKGWLSGRGLLVLVLPVPSSKLASKLSIDRSKPQTQIMLAWRSLRSPRV >Et_8B_058838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:61707:63738:-1 gene:Et_8B_058838 transcript:Et_8B_058838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTDLFSSSPVDLLASSGLGSREEEKIYQILKMSEVVAMAGQAYMRQLQAHPLRTKAITSGVLAGCSDAVAQKISGVARLQLRRLLLVAVLVEQLTASPWNNMMFMMYYGLVVEGRPFGQVKSKVKKDYASVQLTAWKFWPIVSWINYEYMPLQLRVLFHSFVASCWAVFLNLKAARSIANSKKA >Et_1A_005005.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22027974:22028027:1 gene:Et_1A_005005 transcript:Et_1A_005005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLIRLKLMSKTRSFT >Et_4A_032477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10484150:10484859:-1 gene:Et_4A_032477 transcript:Et_4A_032477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKAMSSHGSGAGALSRQGSVCSLTFGEVDGQLHGVNLDDLLRAATGGGKRTADEVWRGIQGGCPRAQTMTLEDFLCRAASDAGERGWAPAPEPRLGPHQNQHHHPAVGRPVPRPLGVGAGPVLDALLYHDGHEEAGLKRAAAADGGPGERTNERRKKRMIKNRESAARSRARKQAYTNELENKIAELEEENKRLRRHKVR >Et_9A_063059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9258195:9259623:1 gene:Et_9A_063059 transcript:Et_9A_063059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSPPADVMADYVETAKERKQEEKLKNVKNLDDWLPITSSRNAKWYYSAFHNVTAMVGAGVLGLPFAMSQLGWGLGVVVIVMSFVITLYTLWQMVEMHEMVPGRRFDRYHELGQYAFGEKLGLWVIVPQQLIVEVGTDIVYMVTGGQSLKKVYELLCTSSSCKDIRLTYWIMVFASIHFPLSLFPNFNSISAVSAAAAVMSLTYSMIAFVTSGVKGAQSTVAVDYALRASTTTGQVFGVLNGLGAVMFSYAGHNVVLEIQATIPSTPEEPSKKPMWRGVVVAYAIVALCYFSVAFSGYYAFGNSVQPNVLMSLEKPRWLIAAANLMVFLHVVGSYQVYAMPVFDMIETVLVKKHKFAPGFWLRFVARSSYVAATMFVGMTFPFFDGLLGFFGGFGFAPTTYFLYMTSTLY >Et_7A_050749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10686651:10699628:-1 gene:Et_7A_050749 transcript:Et_7A_050749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASSRASESSSATAGTRTRTLLFVGLRGLRRRSVALAAVSVVTAAVGAVTEALVLRERCGMREMAAVGAVAAVAVARIAAMVGMARAQEVTALAVASDADRAEAAPTQDFAKRETRVGRRCFFTLSLSLSLSLSSLSLSLSSRSVPLGFGPSSISRMSSGEYNIAIGRACSMHGARYASTARNDSVTKWKAIGRAWSMEKPLLPTRGPPSTPPPLLLLRRLLPRRRAPSSPPPPPARRRPAMTRDSVAAAAVSFRVGMVRLVSFLVGGLNLAVLLLGLYLIDAVLPPGCRGAHAFAAEPSLAAIRVLAMVGAARAQHATADAIARRHLHEADASVAADAVARHDIRVRYKRWLWWTRFGMAVGALQLAAAIYLMFVIVRDLSKERRSTSCFFGQDEAAQVSGRALIALFLILSWIVVIVQCFTGSDILRWRSFYATHDMAWKAHYREVFDHGIREALCCLGRAKYLTVLEEDEVYSVARLLGDLVAYRASGTGHLEFLAGLALLQKHGNLLDLQTDLMEAPYELMQEAATLHPFAEACYTGPLLDFGRNPILFPCAWVYRQGALTPWARRRRPALDGDNCGEATLQLSLDFKREAAYFVVVLHDKKTVVIAVRGTETPEDLITDGLCRECAFTMEDLDGLVNSEQLPAATRERVISTFPHYGHGGIVEAARELFMQLNDCSRGNTSCGQVGFLSTLLGVGSECHGYKVRLVGHSLGGAIATVLGMMLFGKYPDVHVYAYGPLPCVDFVIAEVCSHFVTSIVCHDEFSSRLSINSILRLRSAAIKALSDNSPADTAMIQKLARRILNVNRFHENGVNSGMIEGCADDTRMAEPSVSSQGQFRHRGSLCSTEPDLQNIHNGFVGSSASIDEHRSDEGPSSNPDLHIISLNGPDAGFTEHPTSYTEIPVEPPEMFLPGSIIHIVRQRRSMFPLWKCWNLQEIGPPYKAFLAKRENFRDLAITPSMFTDHLPWREFLKPKCLRDGLILIHPWNA >Et_4A_033865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27537321:27541138:1 gene:Et_4A_033865 transcript:Et_4A_033865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGARGGVIGVGGPSGGGLMRTRLRLPVVLLSCSLFFLAGFFGSLLFTQDPQVEEEVDLPARRERLLEAAWPEMAYGESGETAPSLIPYQILSWQPRALYFPQFATSEQCDNIVRIAKARLAPSTLALRKGETTESTKGIRTSSGTFLSAREDPSGTLAEVENKIARATMIPRSHGEPFNVLRYEIGQRYASHYDAFDPAQYGPQKSQRVASFLLYLTDVKEGGETMFPYENGENMDIGYDYEKCIGLKVKPRKGDGLLFYSLMVNGTIDPTSLHGSCPVIKGEKWVATKWIRDNTI >Et_5A_041049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17292115:17296285:1 gene:Et_5A_041049 transcript:Et_5A_041049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASMAASSFTSRSNIIKAFVLVTLIFAAAEVAGEPPSVVPPACEDAYAMGNNSFTVDFCLSTLTGHSVGATDYADLVPFAVDLTTANATATKAKLDELVAGAFGRGPLFYGLRSCQDLYDPVDKGEKFGHDNDSNAICLLLR >Et_3A_024547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21920505:21928590:1 gene:Et_3A_024547 transcript:Et_3A_024547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVVEVEPRRPAGDGRPSVGPTYRSAFARDGFPPPVPGLESCYDIFRMAVEKYPNNRMLGHREIVDGKAGEYVWKTYKEVFDIAKKVGNSIRSRGLAKGSRCGIYGANCPEWIITMEACNAHGIYCVPLYDTLGAGAVEFILCHAEVEIAFAEEKKIELTSPKSSEFLKTIVSFGKVTEEQKEEGSKYGLSVFSWDEFMSLAGDQDFDLPAKEKKDICTIMYTSGTTGDPKGVLISNASIICLIAGVDRLLNCVNEELAESDVYMSYLPLAHIFDRVVEELFMFHGASIGFWRGDVKLLVEDIGVLKPTIMCAVPRVLDRIFSGLQAKISSGGFVKSTLFNLAYKYKQFRMMRGAKHNEAAAICDKVVFSKVKEGLGGRVRVILSGAAPLATHVEEYLRVVTCAHVIQGYGLTETCAGSFVSLPNQMSMIGTVGPPVPNIDVRLESVPEMEYDALASRARGEICIRGETLFSGYYKREDLTKEVLVDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIYGLVTAIDSIWIYGNSFESFLVAVINPNKDALESWAAANGIDGDFEALCQNPKSKQYFLEELSKIGKEKKLKGFEFIKDVHLEPVPFDMDRDLITPTFKKKRPQLLKYYQSVIDNMYKNAK >Et_10A_000720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1685136:1685766:1 gene:Et_10A_000720 transcript:Et_10A_000720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSNKKARARQLPLLPDDVIEDILARLPAKLVLRCRCLSRGWATVLSSRSFTNRHLDLGWPHGSILRLINTLVPRPPEQHLKRRILRLQPVHGADGTTIKGRNADACLGLGYDARAERHKVVRVYHHAGRPAWCEVYDVSCDLIEESLESAQALSLALRRLPASSRRTTSTTDGVARPAHLSTSRAASRRIKSSRACPGRIS >Et_4A_034174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30467244:30467950:-1 gene:Et_4A_034174 transcript:Et_4A_034174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDAAPALAVVDARFCAADAASLAVAKTLSMSGSDFAVTDAATGALVLRVDGVLFSLRRRCVLVDADRRPVLTVQESALLLNTRWKVFRGDSTSPRDLMFTVVKPSVIPLRWATKVSVFLASNDAEQACDFRITGSYHDGACAVSLGDSDTVVAKIDRRFSVVGALLGKNTYTVTVNPGIDYAFIVALVVILDEMYYQL >Et_1B_013296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7100740:7105137:1 gene:Et_1B_013296 transcript:Et_1B_013296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWCLVLLVVVLAAAAAAARDGAKGDWDPVIRMPGEKEPAATRGGVGLDEEEEDDGVGTRWAVLVAGSNGYGNYRHQADICHAYQILRKGGLKEENIVVFMYDDVANSVLNPRPGVIINHPEGEDVYAGVPKDYTGSQVTAKNFYAVLLGNKTAVTGGSMKVIDSKPNDHIFIYYSDHGGPGVLGMPNLPYLYAADFIKVLKEKHASNSYAKMVIYVEACESGSMFEGLMPEDLNIYVTTASNAEESSWGTYCPGMEPSPPSEFITCLGDLYSISWMEDSETHNLKEESIKEQYEAVKKRTSDSNSYGVGSHVMEYGDKTFKAEKLYLYQGFNPENANVTNKLLQHGQKAAVNQRDADILFLWKRYELLHDKSEEKLEVLRTISETVMHRKHLDSSVDFIGKLLFGVDNGPSTLQAVRPPGQPLVDDWDCLKRTVRIFESHCGSLTQYGMKHMRAFANICNSGTAGTAMKQASISACGSYNSARWSPLVHGYSA >Et_7A_053012.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:4871169:4871390:1 gene:Et_7A_053012 transcript:Et_7A_053012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMSAVRVMCGAEEERVLGTHKAPGACPRCGGPVVATDVESERRILCLPLCLKSKRKYSCTRCFRRLVALIS >Et_1A_007923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39476445:39479542:-1 gene:Et_1A_007923 transcript:Et_1A_007923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPPATTSGTTASVYPEWPSFQAYSTMPPHGFFPPPVAANPQAHPYMWGAQPMVPPYGTPPTPYVMYPPGTVSAHPSTPPGMHPFNHYPMPTNGNAEIPGATPSAPEMNGKSEPGRTSGPSANGITSHSESGSESESDGSDANSQNDSHSKENGGKEDGSSQNGTSYSASQGMVNQAMYMLPMQPGAMVGVPGSTSNLNIGMDYWAAPGSAAVPAMHGKAPAGSARDQWDERELKKQKRKQSNRESARRSRLRKQAECEELGQRAESLRSENSSLRAELERIRKEYEQLLSQNASLKERLGGASDSVPDMNEQNDGDGSSKKQPDSDAQPGSEP >Et_7A_052455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8245969:8248122:1 gene:Et_7A_052455 transcript:Et_7A_052455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTGTFSMDQQHFVQPPPAAEQEQLCYVNCNYCDTILAVGVPCSSLFMTVTVRCGHCANLFSVNLCSLLLPPAANQLPFGQALLSPTSPHNLLDEMSFQAPSLLSAEQAASPTVSVSTITSSNNSCGNSMSAMSIAPPPKPAPQEPQQLPKSATSGNRTTEKRQRVPSAYNRFIKDEIQRIKASNPDITHREAFSAAAKNGLKKTSIQNQDGGECLLFKDGLYAAAAAAAASSMRIAPY >Et_10B_004071.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:10277240:10278193:1 gene:Et_10B_004071 transcript:Et_10B_004071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDVKKPTGEAAPAVAAVGDDVLGDTFLRLPDTASLSRAALACKRWRRVASDRVRLRRFLSIHQPPLLGAFLSDRGVVPGPCPKLQFVPVRSGNPHLAAAASTGDFFFNNIPEHNFDSDEEEGNPWMLRCCDGGLLLLAVYDPFARTAVFFRAPIGFSWVLSYALLADETDADASFRVVAAQFFDDRVEAAVFSSRTRDWSPLPSHDGVRRAPWNARDGVRAGRFAYWKSNSNKYFNDKERVLVLDTISMEWSLLQVPFPIGEPYCAADMAEYGGGLCLVVGSKTSHLQLWVSIDAGWTMGKQVSLRDEFPSFR >Et_4B_037431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19738848:19742491:-1 gene:Et_4B_037431 transcript:Et_4B_037431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHQFGFLCIYHVKQKAIIVLDVETKEMRIGSKGRAFSTSHMRSTWRHAAISYENLLAARRNVRLVYPPKRLRALTKVAPPPEVTPARTLPFDLVLETVSQTDAATLIRSAGCCKPLRCEILSQDFIRRVCQNPDGIMPSCLLNFLHTYDKVFGALRPRCASPWCTR >Et_10B_003618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3866442:3867555:-1 gene:Et_10B_003618 transcript:Et_10B_003618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRKRVTRWKDTATTVIDDLTDDLLEIILARICPHGGLVHAAATCKRWRRVIGGEVFIRRFRSLRAPCLLWHGGRTVFVPSPAPPGTVAMDIGERVLRKFLLGSLDPRQSEFSGELTIVAKANTSAQQIALSWRLQAAIHSGVEAFDLKAAELGTFGP >Et_2A_016296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22995192:22995751:1 gene:Et_2A_016296 transcript:Et_2A_016296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDSAPSRETDSCTSITSQDEEKSALESICGICQVKWSCQSVMEDHVGGKKHRKNFQALQLEANRLRIIQSKMARKEQTNLEWDCSTCQKHPTHGDGQEGIVFLQVLQFAVQQRKDARSPSNWEKASGKAPWLLIGRSKKQDSGATIHIWDQLLVQEHNFVYCSILSCYVL >Et_7B_055996.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:890184:890999:-1 gene:Et_7B_055996 transcript:Et_7B_055996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTYASRPNRGSGMSATTLLAAKVGFASAALAAAASLARLAVPHLVSVGGAVFPRVWAVARFWLAPPYLFVTVHLIILVIWKLSDHKHFQAQHKDPWPVAAHQQQHAAAPAVHAVEEAIVKAKVEEEFGAYGGGYLELEPESSPDSGGADSCVTTESDEDNGASSAAPTSYYSVEATTRHRVAPVQEEHAALQRELSLPAPQDCEGGGGDDDLDATWNAIMQKTRSAPAPAPPPPARPPPRARDPSVGAEEMNRRFDDFIKKNRNSFGRQ >Et_8B_058926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10978679:10979622:1 gene:Et_8B_058926 transcript:Et_8B_058926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKAMATAEPTRPFHLAVIGLGLACLLLLAHAATDDGTAASTTELLHASVLPHDDTTLTLAGRPASSSSTSASTTVPDDAAPRLLDVSAVARVDSTAPATTAASAPHACSCCTCASVVVDMREAAVEDLVPQPGGVTAAAMIRKALLLHALPLLAVPFLPAPLAAVVALSALATPVRAGSLSSNGTCPASRDREYATCTVYRYLPGGYADRTRPFAGLRKRQGTFHSHCRVRTLEPEDGPAFRVLPGHQTPIYDPDAVVRSGGEVCYVELEGWDYREGYYILCPVRKCPRLPFLCCPESPHGH >Et_8B_059170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14786318:14790514:1 gene:Et_8B_059170 transcript:Et_8B_059170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHEARGDDFEKKAEKKLSGWGLFGSKYEDAADLFDKAANSFKLAKNCAQALNQAVNLYLEIGRLSMAARYSKDIGEIYQQEQDLEKAIDYLERAADLFDSEGQTSQSNGIKQKVAEIAAQLEQYPKATEMFEAIARQSVNNNLLKYSARGILLNAGICQLCRGDPVAITNSLERYQEIDPTFSGTREYKLLADLAAAMDEGDIAKFTDAIKEFDSMTRLDPWKTTLLLRAKNELKKKEDDEDDLT >Et_9A_062716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3625467:3627495:1 gene:Et_9A_062716 transcript:Et_9A_062716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRVEGAGLDNDNDLVPPKGSPSAVTVDGTDALDCCVCYHPLSPPSSRCVRPTQTSHEQTCRASIDRSRMSDNAYPGRRFWDNWKLQKSMQCIAGHVVCSPCRHKLIKASGGGKCPVCRVDVHGYSLRNHAMERLVESVRAPCPNAAHGCAARPAYYEWRRHRETCPYAPYSYPREGCGFVGAAGSLLDHISSVHFNVQAMQQFWANEVTTLIIVRKKVTTLMGKRDMAADVAGFEREDIDFIVVQSGLKRDEVIKALMEKNGDLFDTILRSFGE >Et_7B_053420.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:18126816:18126980:1 gene:Et_7B_053420 transcript:Et_7B_053420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPQKHPGHRRPTPQPPCRGLREGLVGESGMGREEGSPPSLPLHATPLQVLHE >Et_2B_019694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12510483:12512668:1 gene:Et_2B_019694 transcript:Et_2B_019694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALQSCRFVPSPAAASARVRPPSMLAIVPAPGRRERLFFPGLKLQHGRTAAPLRPRVVAVRAAVQSRGRDARPLRQHFVEEREHVMRRYADIIDIDHGCLYVEATAMSARLCILANEAIKMASRVMDAAYLDLDAAPAPNEISTGTIYRTLLQYVNIFLDTADASYSRTVSKKTVTSFLGALRGLASISHILLEAALEALSHTHPRESLSEYAFNSDVKAMRQEFNRQMNDLEDGIMKASAVEICKISSLPPMRQK >Et_1A_007784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38246216:38250576:1 gene:Et_1A_007784 transcript:Et_1A_007784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFSPGGGGPSFEFAFNEVNFSDRELRIEVVAGDDTPGSSEDGAGGGLADWARHRKRRREELRKEKESATHMLDQTNCNDDEAEERDVFEDNQEEPVAMIEESPPDVGQDEADDGQNIDSSWTVVGTPVLRVKTIYISSAILAAKSPFFFKLFSNGMKESDQRHATLRITDSEENALMELLSFMYSGKLTTTEPTLVLDILMAADKFEVVSCMRHCSQLLTSLPMTTESALLYLDLPCSISMAAAVQPLTDAAKDFLAVKYKDLTKFQDEVMNIPLAGIEAILSSNDLQVASEDTIYDFLLRWARAQYPKSEERREILSSRLLPLVRFSHMTCRKLRKVLTCTDIDHEQATKCVTEALLYKADAPHRQRALAADAATCQKFAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMEQQSTFYCFGLFLGMQEKGSMSVTVDYEFAARTRPSGEFVSKYKGNYTFTGGKAVGYRNLFQIPWTTFMADDSLFFIDGMLHLRAELTIKQP >Et_3B_030285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31990592:31993444:1 gene:Et_3B_030285 transcript:Et_3B_030285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQLNLDEAPSWGSRGVDCFEKLEQIGEGTYGQVYMAKETGTNEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIKLKEIVTSPGPERDEQGKPIEGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTVPQIKAVAYGLALLSRESRSNLLIDNEGNLKLADFGLARSFSSDHNGNLTNRVITLWYRPPELLLGSTKYGPAVDMWSVGCIFAELLNGKPILPGKNEPEQLTKIFELCGTPDDSIWPGVTKMPWYNNFKPPRPLKRRVKEFFKHFDRHALDLLEKMLTLDPSQRISAKDALDAEYFWTDPLPCDPKSTKHHMNSRLRKNVSNRGKQRRLQSVKKFSTLLHILVCLQSSSPGRHTLKSDLARVCTMHHPWELAQAITTQSPEGQEDLIGTHRVGVKVEATIRTTVEDRVVAMGVAHIRSKDEGLLLTLVVVHVVAEAVVMELAGQISHHKVARMAHLVRAEGRTILKVVLAISSSM >Et_2A_018527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31832583:31838577:1 gene:Et_2A_018527 transcript:Et_2A_018527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCIHQGSPRRRLTAPPSPASSTPTTSSPPRASPRPRPPPSPLAAVLLCRPPIRLGSCLHAVAARSGLLADRYLANALLAFYVRLPGHLPLALRAFDDLPHRDVVAHSSILAAFLRAGQPRRALGCLRAMAAGADGVAPNAHALSAAAKACAMLRDCRAGACVHGTIYIRGYGGDDVVLSALVDMYGHSGAPGDARKAFEEMLAPDGICYTSLISAFVRNDWFEEAVRWFRTMVTTDGILPDGCTLGSMMTALGNLKRAKQGREAHAQVMTRGLCGNVIVESSTLDMYSKCGMMVDARKVFDRMKVRNAVSWCALLGGYCQSGEHEEVLSLFRKMDKEDDDWYSMGTLLRSCAGLSAVKLGKEIHCRFMRMRGCRDVIVESALVDLYAKCGAVEYAYRVFEISTIRNTITWNAMICGFAQNGHGEQAISLFNEMVGEGTRPDYISFIGVLFACSHTGMVEEGRNYFSSMSKDYDIAPGIEHYNCMVDLLSRVELLEEAEDLVKKSPFKDDSSLWSAILGACATHSNPDVAERVAKKMMELEPQYHLSYVLLENVYRTIGRWQDAVEIRKLMASRKVKKEPGISCVDCLSGSGGVNAEAPSPTLSEERMVRVLDGKSCCYLVAWCPI >Et_10B_003753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5537645:5538704:-1 gene:Et_10B_003753 transcript:Et_10B_003753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELYGSTTLDFLSTAHMYYTILEDKNWLRELRWHWMKLKRHSSNHYIIRYSIMTFKDVLSYLFTMVQEHMGIDNSMMAIINSGCKDSMSLHNSLVFARIRRNNSNSKIIEGTRKIGVQNLYALVRSSPIKGANIFFSKNANVPGTLTRKLMYHLRDLHVAYDGTVRNSYEQHIMQFSYDNANGMYCDRGPVDELGSIEYARWSKFSVSHT >Et_4A_032100.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:17452376:17453134:-1 gene:Et_4A_032100 transcript:Et_4A_032100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEIAFLLLLAASEAAAGSTLDAPVVSCSGAGSFAADSVFAGNLRQLLSRLEAKVPATGGFDITTVGGGADDDDGDRVHGLALCRGDVARAECARCVRSARAHARRLCASKTDAVVWLDGCTLRYAAGGRRPFFGEVDRDHRAFVPEDAAVAKEERSPELDREVAGMMRRLTRTAYLSPLLFAAGGGGGGGKARRMHGTAQCTKDLSGGDCKMCLETAIAQLLARGCAKDGGRVLGGSCSLRYELYPFFDS >Et_7A_051276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16432069:16436866:1 gene:Et_7A_051276 transcript:Et_7A_051276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPRVGNETLAGPNLHGSGARERERERERFEVENDELEVVDAMNHIAMLDMELTVHERNLLSLGYKKVAAEKRASVRALMIVEVEEEEGSESRLKMATEFREKVEAELDKLCNSVIDTGDNHLLPYTSDAESRAFYYQMKGDYYRYLAELKMQPEYSENASNIAKINLSPAHPVRLGLALNVSVFYHEILDSHDRAHQLAKQAFEDAIPNLWLLDSDSYNDSTVILQLLGNNLALMILNSNMDIEAEYTQEDTERTGAPSDSNMDLDAENTWECIGKSGATGNSAEWITTCLYSTIMMVGSNARE >Et_9A_062681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3013200:3018154:-1 gene:Et_9A_062681 transcript:Et_9A_062681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AEVAGSCGSAEVAGDMGLGLGALLKSAGLEGTDYDSIVSINIFIALLCGCIVIGHLLEGNKWVNESITALVMGLITGGVILLVTNGANSRILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIILFGALGTLISFVIITLGAMGLFEKLDVGPLDLGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIENLDIGHFDALVLLNFVGKFLYLFFSSTILGVATGLLSAYIIKKLCFARHSTDREVAIMMLMAYLSYMLSVLLDLSGILTVFFCGIVMSHYTWHNVTESSRITTKHSFATLSFIAEIFLFLYVGMDALDIEKWRLASSSPKKPIALSAIILGLVMVGRAAFVFPLSFLSNLSKKEARPKISFRQQIIIWWAGLMRGAVSIALAYNKFTASGHTEVRVNAIMITSTVIVVLFSTMVFGLLTKPLLSLLIPPRPSLTMSSQLSSQSILDPLLGSLLGSDFDVGQTTQYNLQYILTAPTRSVHRLWRKFDDRFMRPMFGGRGFVPFVPGSPVERSAPESHLGTVTEVENS >Et_9A_060927.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:18140969:18142447:-1 gene:Et_9A_060927 transcript:Et_9A_060927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSPTGFNFLSSPVNPSGAFPFPIPSHHALPAESSTERPAPHPQPAALTRRPRRNSTSTDPQIPRPDGGEPVARADGRRRLLLLLGGAWRRRGGRRRVLARGGADGVAEQAGRVHQDVAAAVVRAQAGPALLVQGPRRDARLRAARRHPSRLLPHRQGRRGRAQPPVRLRALHPRRDHVLHRRLREGEGGVDQLHRPLHRPALPICHRR >Et_4B_036661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10170634:10175839:1 gene:Et_4B_036661 transcript:Et_4B_036661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASAAVPPPPPSPAGVPPYGPGLAGILPPKPEEEKKEEKVDYLNLPCPVPFEEIQREALMSLKPELFEGFRFDFTKGLNQKFSLSHSVLMGSLEVPSQSTETIKVPTAHYEFGANFLDPKLMLIGRVTTDGRLNARVKCDLTENLTLKVNAQLTHEAHYSQGMFNFDYKGTDYRTQFQIGNNAFYGGNYIQSVTPNLSMGTEVFWLGQQRKSGVGFASRYNTDKMVGTLQVASTGIVALSYVQKVSEKVSLAADFMYNHMSKEVTSSFGYDYLLRQCRLRGKIDSNGVVAAYLEERLNMGVNFILSAELDHPKKNYKFGFGLTVGE >Et_4B_037041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13928339:13929705:-1 gene:Et_4B_037041 transcript:Et_4B_037041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GMQLKRHIDATLGSGNLREAVRLPIGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPATCPTMSAGPKYEYRWADGVKIKRPIEVSAPKYVEYLMDWIEAQLDDESIFPQKLGTPFPPNFRDVVKTIFKRLFRVYAHIYHSHFQMIVKLKEEAHLNTCFKHFTMFTLDNE >Et_9B_065029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20642185:20642627:-1 gene:Et_9B_065029 transcript:Et_9B_065029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVKQAKLRVDAYVASYHGGNPMASMLETCSQAYGNVAESLASNPAADRRPRLERRAQPAAVYCSRREVHQQDTAPVANVRRCAGTRTFAAADEPRDALPVVTPADQ >Et_3A_023209.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:11256050:11256370:1 gene:Et_3A_023209 transcript:Et_3A_023209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QLIDVVITGLNGTIDGQGASWWSKFHKNQLKYTRGYLIEVMHSDGIFISNVTLVNSPAWNIHPVYSSNVVVLLLLAARHRRTRRHHLQADHHDLGGLVLLTTTSPE >Et_3A_024386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20355709:20358398:1 gene:Et_3A_024386 transcript:Et_3A_024386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDHSFAALPNQQTVAYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFNTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCGIIMFDVTSRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEVSAKSNYNFEKPFLYLARKLAGDGNLHFVESPALAPPDVQIDMVAQQQ >Et_2B_022230.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:14528863:14530176:-1 gene:Et_2B_022230 transcript:Et_2B_022230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAVLDAFSAATPRHRHHQIRSPAGGCRSACLQATPFARADWQTTCAILASNNTSGGGDPDAARPAPRVNGQKPLPPLEADKSPSPAAQVPAELDLVPVSKLPRPLSISDLSPAPMHGAQLRVAYQGVPGAYSEAAAAKAYPGCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRRELLTRVISHPQALAQCELTLNAMGLNVAREAFDDTAGAAEHVAAGALRDTAAIASARAAELYGLQVLADGIQDDAGNVTRFVMLAREPIIPRTDRPFKTSIVFAHDKEGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYIDFQASMADVRAQNALAEIQEFTSFLRVLGSYPMDMTPWDTAPSSSRVPPKDVDNSSSRYQ >Et_3B_027842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22103164:22103871:1 gene:Et_3B_027842 transcript:Et_3B_027842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPQGNNKRRDAGGDRDPLALTLGSIYAAAAAPAPKPKPSAPGSAHAVVSKPPRDAAAGAGARPAPFPWATDRPARHDTLESLLRRGVTSVEGAARCKRCGDRTAVSYDLASKFREVRGFVDANRHAMDDRAPDAWMFPALPDCAACGHRGVVWPEIAAEKREINWLFLLLGQMLGCCTLEQLKYFCMNTGRHRTGAKNRVLYYAYIEMCNQLEPFDGKVHHG >Et_1B_014215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35661068:35661647:-1 gene:Et_1B_014215 transcript:Et_1B_014215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSPTRTLAASFRAALRRGRQVAVRKALAEAFPSLHPKAAVFTHNDGRAAHLLRADSILIHHAGASYNLPAVIWLPEPYPCSPPLVFLSPTRDMVVKPNHPLVDRCLVANAPYLRSWVFPSSNLVDLVRSLSQLFGIDPPPSTGTSSLRLLLIPLRLGYSPRPHPPAPLPPPTGLVAPSQPR >Et_1B_010550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11542136:11545891:-1 gene:Et_1B_010550 transcript:Et_1B_010550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGGEEGVAVKIEDAARLLVEHLVEPVLKSGGLRRETSPTPEKQEAVARQVHAAVLLYNYYHRKQFPQLAFADPKRFMVSASLAAGDALLVYLKHGGGDAEASVTDRAFEEACGIAEALDAKAGSPQTLMWPISKVAVLLVDPTGKKCLIEHGSVTQGVWSILEKDITAASGKSRSINLSAPGSSHELALNSEPYLLQQTAYSVVESKTGLKRAGLRLVEEHLVYSLSKKETTAKLFVLQYEQTVSSDLKEMPIEDLIRRMSGPIFRNEAYPETTSVVEYYHILPYKEVLLNLLNREKNLDSSQSIQKEQPLHSDIDESLKEQEADSKSNIKYTIESTSDPKKSKGMKEVGNSGTNNSSTSKNRKNSNLNCRRKSEALKATPKKENGSFSNPDAETLKSVSNAANAEATRAESGGLVDMETSESYEPYSSPSLLIHHCFCTLLLYDHLISGGQMDKNKSSGGLDNLQTIVRMYASLQSLQKMRDDIVREHCMLGDQSAQFDMDIQTILTEGKMTPRVISILKKYKENSSNVMKVASSTSSGEGSQTMKMKRKRLTEAVLSRTKCQARNLM >Et_9B_064480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15571738:15580215:1 gene:Et_9B_064480 transcript:Et_9B_064480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGRSAAVEPGTPVARGTPWKGRLRTHHETPQSMSTQRRPCHAKNREEAEEGETSKKRGSPKILRRGRSAGSARLLRAPQRRSPRLSRSDQEHPIVIDEVSEEFKGRDNQVAIKPLRRSPRFQTENKDSSDKQVLLPEPQETAHNRKTEKTLGKDKSQECLKRSKRNAGVKPLARMKSQKEPQEPCQEPCHFEVLTGKRKRGTGGKSSSKRQSCQDPKSSPPDWQEMAPGNEPTKSVDSKIEKDPSVIRHPKIGDEMLMNADKINKAASGIEREGREHCFDSDDWTEEQDMALRKAYFTARPSPHFWKRVSKMVSGRSAEECFNRIHADLSTPTPIGPRPRTSKSFSPLGKFTLSDAKLPNLLEPAVARQRTAKQKSLAAQKTVRHLLQKHCRIDQAQEADHFSIFETSPSAIQLNIFLEDSPGTPDSYMNTGSLNKCSGSSIACKKPHSRLRTKQAEPSPAVLKPIKNAVLHERYINQLSRREGTKQRPRKRTPGCKAADSENTFYDKQAGGLKAAKNALISEATDFIGRFKKLQADSHAHIVENSEDDESDCNECDATIIEEEGGALHLLPGVHGCQRVRGKERWEVLQRRLSASRLGEESEAYVARLDVTTVLGMGCIAVAARQWPGGARKSKLPRLPLKLIQAAGPT >Et_9A_063177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1458342:1460896:-1 gene:Et_9A_063177 transcript:Et_9A_063177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVACLLRSGPMGFLQSTFSLLIGTGCGIYIAQNYNVPNIKKVVMDLLGQAKKMEESYQKPTNGKNKD >Et_5A_041601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24812978:24823024:-1 gene:Et_5A_041601 transcript:Et_5A_041601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRCASRDFSVQQELQPQPQPQEAEEEVLVPHQELPNGAQPMEVCYRVLNMGYSCCLLDVMSVVPAEPAATVENQPVEDPPISRFTWTIENLSRVNSKKLYSEVFVVGGFKWRILIFPRGNNVEYLSMYLDVADSGVLPYGWTRYAQFSLSVVNQIHSKFTIRKETQHQFSARESDWGFTSFMPLSELYNPSRGYLVNDTCIVEAEVAVCKVVDYWSYDSKKETGFVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDLHDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQLLFEGHHMNYIECINVDFKSTRKESFYDLQLDVKGCQDVYASFDKYVEVERLEGDNKYHAEQHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDDGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLTAQIGKDIYFDLIDHDKVPSFRIQKQMPFTQFKEEVAKEFGIPTNCQRFWLWAKRQNHTFRPNRPLTPQEEAHTLKELVNKAHNAELKLFLEVELGPDLKPLPLPEKTREDILLFFKLYDPEKEELRYVGRLFVKATGRPLEILPKLRKMAGFSQDEDIELYEEIKFEPNVMCEYIDNRLLFRSCQLEDGDIICFQKSPKRDSADQYRYPDVPSFLVYIRNRQVVHFRALEKPKEDDFCLEMSKVFTYDEVVEKVAEKLGIDDPSKIRLTSHNCYSQQPKPQPIKYRGVDRLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHATKDEVSVHSIRLPKNSTVGDVLNDIKSKVELSHPDAELRLLEVFYHKIYKIFAPSEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTQVQNFGEPFFMVIREDETLSSIKERIQKKLKVPDEDFSKRNMYGAWEQYLGLEHADTAPRKSHTANQNRHSFERPVKIYN >Et_3A_024829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24687891:24689222:1 gene:Et_3A_024829 transcript:Et_3A_024829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFVCVVFFTMVIGLSASVSKHPLPLVCLPLAAALPLALDGLRFCASAGWLVRGQGIVAYGMLEDASSDDDNLDEDDLEQVLRRHEAQRGLGLRGRGTRRGVDQSVDVGRGELGHGARRRVNQSSMWVGASLAMGRGATSTNPSTWRGANSTNHLLPQAPEALAHAEIDSGGANPSCRAVGRHGRSRKRRGKVAVVDGGGILAAALLDLVRVAGKGEMQWRTWRRGNVARGAVGPSRQCIAGIAIQFGNTAGDALRREGSSNSRAP >Et_1B_011797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26052855:26054976:-1 gene:Et_1B_011797 transcript:Et_1B_011797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGISVIAEPSNVIFLSTILNTEGSNPSHKCDKRCQNEHVFGNMYRCKLTGITHICDKNCNQRILYDNHNSLCRVSGQLFPLSPLEQQAVRGIRRKHEVDSNEGCSFKRRRGAQLHPSPFERSYSAVSPIPSQSIEWEFKDVGFCNAELSG >Et_3A_025429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29867547:29873512:-1 gene:Et_3A_025429 transcript:Et_3A_025429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPSSSSPSSSASSSSSTSPLLSGAHRRRLADVERDVADLCGGPCGDSDDDDDRVHGAVGVRALFFACRRSKQRASVVDQAWVRNAVACLLFLAAAVGLLSSHRGVVIGGGGGGRRLVRRVDGAGEGDVLRWREENLTAVARRPPETPITHIWMKPDSEGYTKCTERPKNHYRTKNGTAGYLIVDANGGLNQMRMGISDMVAVAKIMNATLVIPNLDHKSFWTDPSEFKDIFDVKHFKETLEDHIAIVDSLPPAYKRLKYYMRAPTSWARASYYRAFARTLKKVKVVKFTHTDSRIVNNGLPPSIQRLRCRANYEALRYKQEIEELGNTLVDRLRNGSNHYIALHLRYEKDMLSFTGCSHNLTHQEAEELREMRLKVRHWKEKDIDSKERRLQGGCPMTPREAALFLKAMGYPSSTKIYIVAGEIYGGHSMDALKAEYPNIYTHYSLATANELESLKLYQNRLAGVDYNVALQSDVFVYTYDGNMARAVQGHRRYEGFRKTINPDRQKLVDLIDKLDEGTINWSEFEIEVKKHHENRLGGPYQRLSGRSPRHEDESSAGLFTVSATSFVPPLPSSRSRKLTFMRAMAVAAPSSNLMARVCTRSRFKR >Et_5A_041447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2362085:2364354:1 gene:Et_5A_041447 transcript:Et_5A_041447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLENTDRLSMLTDDVLLSILERLDITTAVRTTILSTRWRHLPWLLRELTIDVKDFLSVPPMARLAPLTKAIRSFMATNRSEASITKLQLKLYVVSNYADVIGPLVSQAIDSGGVKDLDLSIVDEKEPDDCYDEEMLQQARMVDAFFSCYPSVLCCLTKLTLYNICFAKWDLHHLLFDCCMQLQHLSLNNCDLGGPSAWKIHAPDSKLSFIELRFCLLGKLEVLCLPKLEKLNWNIWMCPRTPLSFDVVTSFKELNLLCPATVSHRGFNLSEVLRHTTALQNLTLNFQGEKLWLKPEGKELCTAFSKLRKLSLQGIFIEFDLLWVIVLLEAAPSVEIFDIEIWEHPCIVDSEDRSKTHGERTNPSWRVAKFRSQKEWLLKEVEVTCFSPLEQQIIFLRAVIERASNLQTVVLKDHQPSLLRGDRCTASSRKITSGTAIPEGQG >Et_7B_053940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13575195:13575954:-1 gene:Et_7B_053940 transcript:Et_7B_053940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMISGDLAVAYVLKNACKEEIRSAEARAVDGKAAAQGKKQRHAGGDEVGSKGDGVCFFGLVKKKVHPKLRAEYKLRSYTGTLYKDTKYSCTTQSAKRPLRKLKITKKPLDLKHTIDAEIHRRQPPPTPAAPAASTNPTPGRAPSHQGFETSRERHSQREMSKS >Et_8B_059984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4696659:4699054:-1 gene:Et_8B_059984 transcript:Et_8B_059984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIVVQPLTSYVRGVGRARTDLEPDVLLTSSSSYASASSTEPQEDGTLEGEKDHRWVHGRLQQPANNNGVPQSTGECQGQQRQQEAVLFHGRKDRMQRPASLDFGSPGVARSSTHSPGFSGSSVGVMNKGLGVSSHNRPDVLSSPGTPNYHRRGAAAAVYQQGCNSERGIPPANGHRRHPGSSMVLPPYNGGRTLPSKWEDAERWIFSPNPSNAPGRSVPQYWRPKSKSGPLGPPGRFGGPCSSVSSSTMFLESGRVEITANSPFLDGVLLPEHDCGGSMHDGKDLSKLSGDDSRNGQGCRSAQSNEGHPATWSTKSSQQSESYQSVTTSHESIQDVQSETTKDSTASSVPIIMRKDVATQTSPDISRPTSPSMRPSFSRSLSAQQVKELETCFSKLEIRDVQIDDRVTLTRWSKKHVTRGSDKNSTNIIECKKRTMESKSSAWEVTETAKCLSKIEGEEAKMTAWENMQKAKAEAAIQKLVIKLEKKRPYSLERIFNTLRSSHRKTQVDYQDFKPQQEWTSELVEWMLHMSCFLEMYFHDQ >Et_4B_036925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12700710:12703919:1 gene:Et_4B_036925 transcript:Et_4B_036925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSPGLSSFAAEPARAGESLRPLMEFAKEKVGGAAVQTEVRLMATAGLRLLDESTQEAILASCRDTLRASAFRFEDAWAKVIPGSDEGIYAWVAANYALGALGGDPHKTVGIIELGGASAQLTFVSDEVLPPELSNNFTFGETTYTLYTNSFLNFGQNAAQDSLLEILRFRGSSNNGTLVDPCAPKGYSHNEHVMVRTSSDSRSTLENQFVDNGNGNFTECRSSSLMLLQKGNEKCQYQQCHLGSTFVPELRGHFLATENFYFTSKFFGLKQSSSLSDFVHAGELFCNQDLSTLRKHYPNQSDEAFSRYCFLIEYSNQVGDIQVEWALGAFIVVMQGTNSKPSHSAASSTHSNRQWAAVLGMFLLCGVFLVSRWRKPKTKIIYDLEKGRYIITRIS >Et_7B_054644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3121850:3128300:1 gene:Et_7B_054644 transcript:Et_7B_054644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIIARALEYTLKYWLKSFSRDQFKLQGRTAQLSNLDINGDALHASLGLPPALTVERARVGKLQITLPSVSNVQVEPIVVSIDKLDLVLVEKDESENLSSPSSVSSPSAKSSGYGYADKIADGMTVQVGIVNLLLETHGGVRREGGATWSPPLAAITFRDLVLYTTNEKWQVVNLKEARDFSNNKGFIYVFKKLEWQSLSVDLLPHPDMFTDARFNSSSSEDNKRDDDGAKRMFFGGERFLEGISGEANITVKRTEQNNPLGLEVQLHITEAVCPALSEPGLRAFLRFMTGVSVCLNRGDVDPKAQQHAEAAGSSLVSIIVDHIFLCIKDTEFQLELLMQSLFFSRASVSDGESSKNLSSIKVGGLFLRDTFSRPPCTLIQPSMRGVSQDPPPVPDFGQNFCDPIYPFGNQLLEFTAGVPMFSLYCLQITPSPSPPMFASKTAITCQPLMVTLQEESCLRIASFLADGVVPNCSAILPDSSINSVACLLKELDLSVPLDAEEITRCSGTKNTCPHSSFSGARLHVEDLYFCQSPSAQCPLLNLDRDPACFLLWGYQPVDASQMKWATRASHLSLSLETSSTSTGQRAVKDPSTNLWKCIELDDIRFEAAMVTADGSPLLDVPPPEGVVRIGVAFQQFTSNASIEQLFFVLGFYTYFGQVGERISKVSKGNRSGTSKSSSGKIENKLPSDTAVSLTMNNLQLNFLESLSANDLHIPLVQFGGEDLFVKVSHRTLGGAFAVTTNVMWKAVSVNCLEGEMIHENGTAVTGEHNIIVHENGHPKMRPVFWVDHSCKHQAKEAQFIDINITHVMPYDMRDMECHSLNVSAKVSGVRLGGGMSYTESLLHRFGILGPDGGPGEGLLRGLKDLSSGPLVKLFKSSHLTEKEDGRSKVDHNSKFDLGVPDDLDVSVELKNWLFALEGTEEVGDSFSPGGTDRISREEKCWHTTFRSLHVSGKSIDRINMGGAEVLPKKAFPVERFTAGVEGLQAIKPRLRDQLIGKVTSSNHHVGNEFNSACSVGDQGVDVEATMVFGEDEIEGSKWIMDNVKFSVREPIEAVATKEELENLAILCRSEADAMGRIAAAILRLLKLEKSLGQGTIEQLRNLGMDSP >Et_4A_033397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22229867:22230699:-1 gene:Et_4A_033397 transcript:Et_4A_033397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVKSAYHLLTDTKQRYHAENHGSSSIEDTNILWNFFVEPESRTRSQFFWWSHQLVHPGPSRHNLFRRHIDKLAYCDTCGNPEETTLHALLTKRVFPDQTATSPSLDMSVRHSGHSIFKKEDCCIILMWSLWCSRWHGKSPIPRRKAEWVLDTTAELVHIANPATGTVRQQSWLWSRPRAGTDGNTDGAFFSNELNDAMGAVIRDEFGRFVWASSRWLPVAAFPFIAEAEACRDSILTTSAGEL >Et_7B_053949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13706376:13711682:1 gene:Et_7B_053949 transcript:Et_7B_053949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLTIVAPTTVAATCAAARGVGRRRLVVECAVSATSDGEPASRSSMRAAYDLGEMSSKTLLHVSKRKVIALSAFCVCLHSSRYFSALALGDPSVKLEDVTPKIFPSGPLFPTENRIAELFETNTYSVVNIFDSTLRPQLNVTGVVEIPEGNGSGVVWDDSGHIVTNYHVVGSALSQNPKPGDVVARVNILAAEGIQKSFDGKLVGADRTKDLAVLKVDAPKDLLKPIIVGQSSALRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQAGVTIGGGIQTDAAINPGNSGGPLLDSKGHMIGINTAIFTQTGTSAGVGFAIPSSTVLKITPQLIQFGKVRRASLNVEFAPDPIAYQLNVRNGALILKVPGGSAAAKAGLTPTGRGFAGKIILGDIIVAVDGKPIKGKSDLLRVIDDYGVGDQVTLKVRRGAETLEVALPLEEESI >Et_7A_051009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13566048:13566484:-1 gene:Et_7A_051009 transcript:Et_7A_051009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHNLFHRHKEEENSGEKEKHHKHLEQLGGLGAIAAGAYAITFAVFI >Et_7B_053687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11035644:11047338:-1 gene:Et_7B_053687 transcript:Et_7B_053687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPAAASACDGDHPYIAASGNPVPLPPPSLFLPNPIMDQLESRSFLRSEQLNTSDSMEVSLQGLLAPATNNAVADYTSHYGHDHDTIQLYPPAPHYLAAGNPYGTYLPTLQEYYFPTLLEENMASFRAAPQAQLGLDYGYSYGGYRTYYYPQRGGYAYGHHPPRCQVDGCTADLSKAKRYHRRHRVCEHHSKAPVVITAGAIMPQRFCQQCSRFHEVDEFDDEKKSCRQRLADHNRRRRKPKPSGTDVALKKRARAKKSATAKNEASSSKNMVTGDVLGTQELRSASKEHDRSMGALGEVAREPVDPKGKAPMKQQARIPQQNVQQGFPLMMSPASSGTGTCTPQNHPVSGGNTSQVQEPCLAFHQHHQHGKIILQLGQAVLDLDFDQFHEVDEFDDEKKSCRQRLADHNRRRRKLKPSGTDIALKRRAHAKNSTTAEKEASSSKNIGTGDVLGTQEPWRGGARTGGSQGESSDDAASTHPSTEHPARLPSYVVACQPASLRCNIGSSARAMLSIPSAPSTGQRHFATGADS >Et_7A_052958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3293958:3294642:-1 gene:Et_7A_052958 transcript:Et_7A_052958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRYMSRVGARAAQAVRESTSRSVKDKAQSASSASMARGRAAGSVDSGRVSAAAAARRKAEEEKRRRAEQSLRTVMFLSVWGPNTYQAASFQQRITKSEMTS >Et_2A_017124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31096618:31099420:1 gene:Et_2A_017124 transcript:Et_2A_017124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSHCRTSWVQAAFLLALCCCRGGATPASPSPSPSPKVPAVIVFGDSTVDTGNNNAINTMLKSNFPPYGRDMAGGKATGRFCNGRLPPDFISEALGLPPLVPAYLDPAYGIQDFARGVCFASAGTGLDNATAGVLSVIPLWKEVEYFKEYQRRLRRHVGRAAARRIVSDALYIMSIGTNDFLENYFLFVTGRFAQFTVAEFEDYLVAQAEWFLGEIHRLGARRITFAGLSPIGCLPLERTLNTLRGGCIEEYNQVARDYNVKVQDMLRRLCASRPGLKVAYINVYQNMLDLIQNPSKLGLENVEEGCCATGKFEMSYLCNDVPKTCEDPSKYFFWDSFHPTEKVNNFFAKETLDLCYKEGLL >Et_4A_035060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9154978:9158510:1 gene:Et_4A_035060 transcript:Et_4A_035060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGGGSASAPPPPVESTGAGAKEDGERGGKTVIVILVGPPGSGKSTFAEAVLAGSAVGRPWVRVCQDTIGNNRAGTKMQCLKAATDALKEGKSVFIDRCNLEREQRSDFVKLGSTLHVDVHAVSLELPAKVCISRAVSRTGHEGNLQGGKAALVVNRMLQKKETPLLTEGFTRIMFCNDDSDIKKAVDLYNSLGPSDSLPSGVFGQKSKGPVQVGIMKFLKKADSSSVEKSSDPKLTLSERKPEHQDALPKQENVEAGNCSIEVKEELNEEGNRKQSKGSGSYDIGSHTLAFPSISTADFQFDLDRASDIIVESAADFLRKYDNLRLVLVDLSKKSRILSLVKDKAAKKRIDSSRFFTFVGDITQLLSKGGLQCNVIANAANWRLKPGGGGVNAAIFNAGGEALQHATKECADTLRPGSSVVVSVPLTSPLHQQEGVTHVIHVLGPNMNPMRPDYLKNDYTKGCKILREAYNSLFENFASIVQSYTRKQNNDSVVDKSAASRTTPGDSKMKREGSHESERMKKHKLFQPILTSKKQHECPGSNAPNRNGTSLGSSDAPNQATEADNKNNGAVASKSWGSWAQALYELAMHPDKYKNSDSILEISDEFVVLKDLYPKAKKHVLVVSRMNGLDSLADVKKEHLPLLRKMHSAGVKWAQKFLEEDTSLVFRLGYHSVPSMRQLHLHIISQDFNSVSLKNKKHWNSFTTSFFRDSVDVIEGIEQHGSATTGSDEKVLAMELRCQRCRSAHPNIPKLKAHIANCKSPFHSNLLQKNRLLSSSTEHMDCT >Et_1B_010264.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:33459213:33459284:-1 gene:Et_1B_010264 transcript:Et_1B_010264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALVLTYLIHPLDASSPYKLF >Et_1A_005957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1646772:1650362:1 gene:Et_1A_005957 transcript:Et_1A_005957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSSVAARLAFFPPEPATYEVTPAAQDGGAGGLLQMTGLSPDAGVEVRALPTRAGTRVVAAFWRHPAARLTLLYSHGNAADLGQMLGLFLELRSHLRVNIMSYDYSGYGASTGKPSEYNTYYDIEAVYDCLKKEYGIEEEDLILYGQSVGSGPTLHLASRLEKLRGVVLHSGILSGIRVLYPVKVTLWFDIFKNIDKIKQVECPVLGTADDIVDFSHGKRLWELAKEKYEPLWVEGGGHCNLETYPEYIRHLRKFINAMEKLAKDRAAKAPQLLPSSSMTEVKHNKCLRFGKR >Et_9B_065098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21136734:21142062:-1 gene:Et_9B_065098 transcript:Et_9B_065098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAASSESAAASIGGGDHLRGHAHLTSSIHLRHHHAHGGSGRRRSPTGSSASASAALMRDLLALQRSRSLRDPSTRRSVDSASNRVAAEPEPPNADQSPRGGGGGALKTLLDQLAAENPLQPKPARRPRRRFKRGAGRRAPAPAAGAGAGAAGRAAVSVNSSSQEAVCGNKYLFGATTGAGDGADSLQQPQEPRNVCGIPWNWSRIHHRGKSILDMAGRSLSCGLSDPKSSAPGAPRSEAGATSAGSCGGGHANGSHPHHFPVTTARLTSSTSSDSDSLPLLVEGRRRRNGGVGGVSSSFSGELGIFSKSSELDSDLASEARSGQRSHRSQHRSLTQKYAPRTFKDVVGQSLVVQALSNAILRRKIGLVYVFYGPHGTGKTSCARVFAKALNCHSAEHPRPCDSCASCIAHNLGKSRSLLEIGPVGNIDLDSIVDILDNVMLSPAPAQHRVFIIDDCNTLPPDTWSVISKVVERAPRRVVFILISPSLDLPHIIVSRCQKFFFPKLKECDIVNTLQWISTSEGLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISMSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLREITETGVEPMALMSQLATIITDILAGTYPFTRGLRRKFFKRPTLSKDDMEKLRQALKTLSEAEKQLRVSNDKMTWLTAALLQLAPDKQYILPSSSTSTSLNQGRHTHADGDIPRNSAAGLSDIYAGPHGLPATSDLGNRQHRNVNIGVGSSINMGSNYHGGRMPREHTPDSHLLSMSTTRANEGSKYSKTDREMIWQAVLENVQSDSLRNLLAKEGRLISVSLGTAPTVQLIFSSCVNKSKAEKYRGQILRAFESVLSSAIILEIRYESKDDLTAGHAPVISPYPVDDSSNMVLRSSFTKHSSVSSGGENLIRRLQQDSAAQGASSNQTRWMQSDPHILTEGEIIEVGSQMDWRAEPDNDIVTTSKGRHESVWGECLSSQDQEVPQGGKYVNEEHVRQKNMFGKVSLAHVINQTEASSQQGGWSRHKAISIAEKLEQDNLRLEPRSSLLCWKASSTSRRKLSALKIRARRSRALSRLALCGRCISARSPR >Et_1A_004765.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:39272874:39273560:-1 gene:Et_1A_004765 transcript:Et_1A_004765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QLAEREHLRAGSEEVVEGGGAVEGEEGVSGGGEGDGGDGPSSGGVGVHAGDDVVERVEARGELGGLRAEDGVLGIDGEEALRGEAERGGDVRVLPPELRRLRGEVVEVALLPHPRPPRRLAVGQHPLRAPLLHERAQLLLRARRGGERRRRRRERARACPAPARGSHLDDLLASFLPRTFLSCKNRAEQIPLLLLDHLLLHNSTRNPSSFLPLDFFLVHNSNTTKDRY >Et_1B_012964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4448602:4457617:1 gene:Et_1B_012964 transcript:Et_1B_012964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTGNPNPNPNPPFELGKLFRPPPNPMPTATAAPIFPGAAGGPAGPPPPSGPYSYPPVTPPFHRGPYLHYPPDPHAMPRPVVSFPMPNPNPSPNPSAIPNAATPGPNPGARLMQLLGNSGPAQLETAVSMPPPTSEFAPPPPLPAIPSAPPARMLSSTSSKVPRGRLLGGGERAVHDVDSRLPGEAHPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRRVTDMAFFAEDVHRLASASVDGRIYVWRIDEGPDEENKPQITGKIEIAIQIVGDAETYHPRICWHSHKQEILYVGIGNCVLRIDTTKVGRGRDFSVEEPVKCHLEKLIDGVRLVGKHDEDVTDLSISQWMSTRLASGSKDGTVKIWDDRKAAPLSVLKPHDGQAVYSVAFLTAPERPNHINLITAGPLNREIKIWASTNEEGWLLPSDSETWHFTQTLELVSSLEPRVEEAFFNQVAVLPQASLILLANAKKNAIYAVHVEYGPDPASTRLDYIADFTVAMPILSLTGTHESQSDGEQVVQVYCVQTMAIQQYGLELSLCSPPTADTTGFGRDPAISRVCEAPLEVVGTESSTGTSFTDSVSASTKPSTVDQSTEFDPKPSAPPLAYSEADCSIHLPSAPLASKTDQPGSGATPGNRDIDQPVFDYTPNRNMERDALKRQDTPMPMRRDVLGKDAPRDGHSDVTMLPNPRLMFGGNATHLVTPSEIISGTLPSAENHDVSDSDGGKIQDVSSSNSHIAEVEPKHIDESKPDQNSELEAIKETQVVCENTGKTQSSLEQTVEMISERSVTTDKYSVEESQSPSDRPNSEHTGAADDNILKKFVEPEKTNGSSASREQSSSYAKEERVLHPQTSGQQSPPASGFNSTESHEPLSSAYPPVDSFPEVAATQGMLQQLIAMQKDMEKQLGSLVSAPIAKEGKRIETSLGRTMEKSVKANIDALWARVQEENTKREKAERERMQQFVTLITNSLNKDIPASLEKSLKKEVSSLGPVVARAITPIIEKCLVSAVSDTVQKGLGDKVCNQLDKSVSGKLEATLARQIQMQCHTSIKQALQDALRTSFESMLVPAFEQSCKTMFEQVDGAFQKGMSEHSIAIQQQVVAAHTPLALTLKETVNSASSITQNFSSELLDGQRKILSVVTSGNLKAHSTNALQPSNGPMGGLPEVEAPLDPLKELGRLISERKFDEAFTMALHRSDVSIVSWLCSQVDLRALCAMVPLPLNQGVLLALLQQLAIDINNDTSRKLQWMTDVAMAINPTDQVIAAHVRPIFEQVYGQLVHHRTLPTTSAADGTSIRLLMHIINSVLLSYK >Et_4B_038159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26897616:26901680:1 gene:Et_4B_038159 transcript:Et_4B_038159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSRKRLMRDFKRLQHDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAAKLFSENKREYNRKNRSVNDHLLRFSRDNRATRDDDDTGDPSLLRVSPSQSSCTWEANCRFQSTSSTEGRCAGSLARHLRQISMTVFSDSSEHPLRTAGSAKLLLLTLSSSAMAHCICSREQ >Et_4A_032719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1297562:1299668:1 gene:Et_4A_032719 transcript:Et_4A_032719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVVGDAHAAALMQQQQQQQGMSFGSEQGSANKPRMPGLPPTPPQPQQRAGDVCMVDVDPRGGGGKAAHRRSRSDVPFGYFQAAASSLPPPKVEAGWSGGDADDLFNSYLNLESFDGLNSSDERHGGDDSSENESEEGAIDSRAAAAGVRLWGPDGGGLKRGAAAEPAAPTMARHARSLSMDSLIGKFNFAAGGTAAMANGVIPGPNRFSLEFGSGEFTPAEMKKIMADEKLAEMALADPKRVKRVLANRQSAARSKERKMRYIAELEHKVQILQTEATTLSAQLTLLQRDSAGIATQNNELKFRLQAMEQQAQLRDALNEALTGEVQRLKLATAELGDSCSSNNLAHQIQLNVQDQMFHLQPQHQQGTPIPFYQLQQPQQNGAGKNHESKE >Et_10B_002777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1149383:1155964:-1 gene:Et_10B_002777 transcript:Et_10B_002777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGGGAGREMDSPRFRAILRVTSGRRKQRAPEVKSFSHELSARGGGGAPAAMMRKMGRGGAGALSTGPEEFIGAVRAKFTRLKQEVDSELGVFAGDLVGVLAGGEGTMTEESRVALEDLLVVAQRCAEMSPEELWARCEAVVQALDDRRQELAAGAAKQAHTRVLFILTRCTRLLQFRKDAAAGGWRYTGDDDGGENKQHVLRMHQLSDLGLFPFAGAGGASGDLGRKSMSSLTELKDRLIRRRMLEHKHLTVDFPPARNVFSADGAGEETAAKKKAITHSKATVEEMVERVGAASIHHPDLQAAGDAAVQEIESQYPEAQQIMVDGKPRMICRICDFEIPMACAEGHLVVCTLADRCDGKGLTADQRLLRVAEVLDRVLDARTTDRSRRSSTSSSAAESDAGAGDHDALSHLLAVPSAELFSSGSPASASMGTTSSLPPSPLLTPRTSHAESQLLTKHNKGFAELENFQQIESLLAIARGVEGVKSCEYGSLEDLSSFLEDLNAVIDTRKVDALVVETFGRRIAKLLQEKFMQLCGQIDDDGPMEGSVSWRTSQALTNATTAGNKLKDRTCIEDFEIIKPISRGAFGRVFLARKRVTGDLFAIKVLKKADMIRKNAVESILAERDILISARNPFVVRFFYSFTCRENLYLVMEYINGGDLYSLLRNLGCLDEDMARTYIAELVLALEYLHSMNVIHRDLKPDNLLISRDGHIKLTDFGLSKVGLINSTDDFSGPDVSSVLVGDHHPTDAEQRALKREQRQKQTAVGTPDYLAPEILLGMTHGPTADWWSVGIILFELLVGIPPFNAEHPQIIFDNIMNREIPWPHIPEEMSFEAYDLIDKLLIENPVQRLGATGAGEVKAHPFFKDINWDMLARQKAAFIPCTDDEYDTSYFACRHTWGSADEQVNTASNEYDDRSVTSSMSCGSSPHSCDYEEDGDECGSMEEFGAPSLSVKYSFSNFSFKNISQLASMNYDLITKHNEDPLHQSSRS >Et_3B_031418.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28836120:28837667:1 gene:Et_3B_031418 transcript:Et_3B_031418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEMIRTLETAMKASSSMASGTEDPDQQIMRPNSMEQFYFSRPNQSFPGFPPFFGAPSSSLYLPNDNEPKVGNQFEQNPAPNNLLIDWDPQAIVSNLSFLEQKIKQVKDIVLSMSSRENQAGGGSCELAAKQQLITADLTSIIIQLISTAGSLLPSMKNPLSSNPAVRQLGNSLGSPMGFGMNANQRPSTNNKTVMPDAEKTSDYEELMNSINTNQDEKDELIKCPNPCGGEGSEPTPMDDHDVKESDDGGEGENLPPGSYVVLQLEKEEILAPHTHFCLICGKGFKRDANLRMHMRGHGDEYKTPAALAKPSKDSSSDHAPVTRYSCPFVGCKRNKEHKKFQPLKTILCVKNHYKRSHCDKSYTCSRCNTKKFSVIADLKTHEKHCGRDKWLCSCGTTFSRKDKLFGHVALFQGHTPALPMDDVKVSEASEQPQGSEAMNEITRNIGYNMPCSSSDGISNLDMKVADDPRGYFSPLNFDPCFGALDDFARPGFDISENPFSFLPSGSCSFGQN >Et_4A_034179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30566242:30569529:1 gene:Et_4A_034179 transcript:Et_4A_034179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVESPADSGTSSSSVLNSGDGGFRFGLLGSPDDEDCSGELAAPAAASAGFMTRQLFPVPSPLAVPEPELAAAPVPVWQPRRAEDLVVAQRPVVAAKKTRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFTLSDYEEDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYVYLGLFDSEIEAARAYDRAALRFNGREAVTNFEPSSYNGGDALPDTENEAIVDGDAVDLDLRISQPNVHDSKRSNPLSGLQLTCDSPESSSAMASQPMSSSSPWPVYHQSTVVPPHHQRLYPSASPSFFPNSQERPMERRPELGPPSFPNWGWQMQGSPHMPLLNSAASSGFSTAVGGVPLPSHPPAPFPDRHFYFPPTA >Et_3B_029356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24375156:24377146:1 gene:Et_3B_029356 transcript:Et_3B_029356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATMRGLPALLVVVLAAVVVAVRGAPQVPCYFVFGDSLVDNGNNNDIASLARANYPPYGIDFAGGPTGRFSNGLTTVDAISRLLGFDDFIPPYAGANSDQLLSGVNFASAAAGIRDETGQQLGQRISFGGQLQNYQNAVQQLVSILGDEDSAANHLSQCIFTVGMGSNDYLNNYFMPTIYPTSQQYTPEQYADALIDQYSQQLRVLYNNGARKVALMGVGQVGCSPNELAQHSPDGTTCVGQINSAIALFNQKLVALVDQFNSLPGARFTYINNYGIFEDILRSPGAHGLTVTNRGCCGVGRNNGQVTCLPFQTPCANRNEYLFWDAFHPSEAANILVGRRAYSAALPSDVHPVDLRTLAQL >Et_4A_034703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5615951:5620097:1 gene:Et_4A_034703 transcript:Et_4A_034703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRATATPRIINLNLARRSGGRPSRSAGRPQTRPAAAAAAKPVQLGALFEMERRVRGLESAPASPPRAAAAPLRSSREEEDPEEEQQEKWRFQADILRAECNFLRMEREVALRKLDRHRGQMEAALKSAVETLVSGRKKVESRGDVGVAAALDEGIEDLEEMMEELRVDKESGRRATSGPRELRRSHGRNFDRQASSLRRRLEKMPIPDAEPCVKDIREIALPVAPPRAEHSNDDDERVHSANTSDVEMLRMKMEGMSKGMRERMAEYSRRLEAVAAGDNAGCQSRKCGSRHSRKASASSQRSWSGGSNASTGNLSSAHDNAFSSLLGGNRQSAAAEKKHHRHHKIMPEDCKLVGSGSCCDCREIVGKIMEQVKAESEQWTEMQGMLEQVRLEMQELQSSRDTWQHRAIASDISIRSLNSQMLEWKKRAQVSEQQVEELQKKISELQSKLHTFKAHFPTRAVANKDQWSEACKLENPRAKSQQHRPQECGKEKEKHVLICRVKQSPSVIPKRSPFQEIGNISLPRQQR >Et_2B_022891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7366315:7376160:1 gene:Et_2B_022891 transcript:Et_2B_022891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLPWLAWLVVSLLSLYLLELFTHSRRGLPPGPRPLPLIGNLHLLGRQPHRTLARLAKTHGPLMSLRLGAVTTVVVSSPDVAREFLQKHDAAFASRNSVPWLPNGPRWRALRRTMAAELFAPHRLEALRHLRRDKVRELVDHVARLAARGGGAAAVDVGEVVFTTGLNLLSRMVFSRDLAELDCRRAGESKEIQGVCAQIMEVAGMANVSDFFPALAAADLQGARRRMSRLFKRLHRVFDAEVAQRLRGRGAGQTRKNDFLDVLLDDAKAGIDGDTLRSLFTVTRSNLLLCDLYAAGMNNISNTMEWAMSELLQNPLSMAKACDELSRVIGSRRNIEESEISQLPYLQAAIKETLRLHPPAPLLLPRQADTTTNIMGYTIPKGARIHINIWAIGRDGNIWPQPEKFMPERFLDKAVDIRGGDFELIPFGSGRRICPGMTLAIRMVHTVLGSLLNQYKWTLPDDVQGNGIDMSEKFGVNVTKVVPLRAIATPI >Et_8A_057279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23074961:23076778:-1 gene:Et_8A_057279 transcript:Et_8A_057279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSCVPPGFRFHPTEEELLNYYLRKKVASQQIDLDVIRDVDLNKLEPWDIQEKCKIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYNAVKRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDPAADATTATVAIIYTCLTSPLPRRRCRRHGQVTAASSDAGQEDGWVVCRVFKKKHHLKESSGGAGKHGHGSKAAAAAHHGGLQYSSSDDALDQILHYMGRSCKQEHEILSPPATARPAVAGSSRYLPPIDTVLAGHGFMKLPPLESPSSALTTTPHVPGEAASSGGAGADELHRRGGNGITDWAMMDRLVASHLNGQPDAAADQLCTFDAGDDAADGLAFYSAAATRLLAAGSDDDLWSFARSAATTPAERLSNVTTL >Et_6B_048423.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:2557806:2557934:-1 gene:Et_6B_048423 transcript:Et_6B_048423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVGKEKPTDRVISEIKEEAALWSTAGAKRLAALVVNQFRE >Et_6B_049985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2636479:2638472:-1 gene:Et_6B_049985 transcript:Et_6B_049985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLLKSSFLPKKAEWGATRQAAAPKPVTVSMIVRASAYADELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTAPGLGQYISGAILFEETLYQSTVDGKKIVDILVEQGIVPGIKVDKGLVPLAGSNDESWCQGLDGLASREAAYYQAGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIERTFEVAQKVWAETFYYMAENNVMFEGILLKPSMVTPGAESKDKATPEQVAEYTLKLLHRRIPPAVPGIMFLSGGQSEVEATQNLNAMNQGANPWHVSFSYARALQNTCLKTWGGRPENLKAAQDALLLRAKANSLAQLGKYTSDGEAAEAKEGMFVKNYSY >Et_2A_014573.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23887172:23888915:-1 gene:Et_2A_014573 transcript:Et_2A_014573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSLVTVPAAAALSMLYARMAASLVGPGLCRLLALAPVLALLLVLPLASPLYSARGFAAFTLAWLGEFKLLLLASGRGPLDPALPPVQFVFTGALPVKLRIHKGGPDDHAAAEEEPLLLSSLSTRFAVTVALLHVLRGGKDRMHPYMASAIYDILTYCGLNFVLPCIAAAGRALGMDLEPQFDRPYLSASLQNFWGRRWNTMASAVLRAAVYDPVRARVGTYVGVLATFLVSGLMHEVVAYYITFRAPTGQLTAFFLLHGASVCAEKWCARRRPAGARPPRVLATPLVLGFVAATAFWLFFPPLFGDGMDDRYIAEIMALLSSVVDAMPGLAR >Et_2A_015367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11693877:11695661:-1 gene:Et_2A_015367 transcript:Et_2A_015367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGRELREGEGKRLGKRRREDNWTITVKPVVSMGNITEEPSGTRALQIETASSGCKEKAQPMGKHRSSPSGTRKISERNRGMELLCAIARPPQERWSIRERINFKIALGRYKIWSPRVAQFISSKTTDQICVYAHEYFQKRQHKEKKRALRLFRMVVLKFRRKFLRGSI >Et_7A_052543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9040616:9048677:-1 gene:Et_7A_052543 transcript:Et_7A_052543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPNTRNKNKRPRADESESPIAAVFKKIHSTGDVSPSDIRQLYMVWKPICHGCHGNSKESPNCFCGLIPAANGVRKTGLWQKTQDIVRALGPDPSEDLRDSAERPAGLTNLGATCYANSILQCLYMNTSFRSGIFSLEPDILKKHPVLDQLARLFAQLHSSKMAFIDSAPFIKSLELDNGVQQDSHEFLTLFLSLLEQSLSHSKVPGARTIVQNLFRGSVSHVTRCSSCGKDSAASSKMEDFYELELNIKGLNNLEESLSDYFSEEALNGENQYFCESCQKRVDATRCIKLRSLPPVVNFQLKRYVFLPKTTTKKKVSSAFSFPGRLDLGKWLSSPSSSFTYDLAAILIHKGTTANSGHYVAHIKDESNGQWWEFDDETVSKLGLHPFGEKPGKSSNKDDQKSQGISTAGSVTNNNNNAHQEAASTSTVGEMFSSTDAYMLMFKRTSKDENAIESKNVTEINNGSFPHHFLKEINELNASYIKSCEEYQSKKDSQLACITERRQEVKSILIEAPANPEDDSYFWISTDWLRQWADNITPPSPIDNCPIQCEHGKVPASKVTSLKRLSAVAWQKLFSKYGGGPTLSSDDFCIECLKVGAKNVVSADVYRDRKASFKSLAEAALAGSSSDDPSLSQWLRKKNADMPSDTDNGPTSALRCCHGHLLPEHAPGAKRVSVPESLWLFLYETIRTRKTDDIVTFPSDCQPCEICSQELSDVASVEGNLRAEKLKQRQNHEKLISGKSFPLFPGQKYYLIPSSWLSEWRAYVTATGKNISSLPEPQNLEATHSRLLQRPLDLVCKRGSITQKTSNTDGLTVIAESDWELFSEEWSATPGKGIPAEIAFRKSPQDKLQGSSEATPHVNGDLDQPRDDADDLQDRDPYIKTDPEVCEECIGERESCALVEKLNYQNEEIQVYFVRGKEAPKSIREASKGFPIPDRRTSKRSRRTSSGNSISLKVSGSTTVYQLKLMIWESLGIVKENQKLHKASVEIEEDFATLADQSIFPGDVLWVRDSEIYENRDIADEISEQKADIQQAEEGFRGTLLTSNVAAQFCQDIAFSE >Et_3A_026669.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:12869938:12870147:-1 gene:Et_3A_026669 transcript:Et_3A_026669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLMRHSYTTGEMAGLYWCASTSVHTSTMAASLRLPSRRRSASADMYLRSTYFAIARDSGIEMFSNNE >Et_4A_033354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21870617:21877138:-1 gene:Et_4A_033354 transcript:Et_4A_033354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFLRVHARLSGMLSQLLTPRIRLALEYLYLAGAVALFCLLVVMHTNFVQQPGCSSEFSGIEFGEAQLVQIKIISGGLWASRGASYIMDLQNLGRSAEKILEVNGDKFNILASKFWSTWVGPGARRSVSDFKAAGDGSVHHPLSAKESFKAAVTYLFRKWYLRVVSFWRNIKQLSENTLQLMVRSNWNDFLHIIKDLQLPSMDHLLSSIVQWFERRSKAFEPTYLYGVEKGYFLLSEGAKIRHGVRTINITISARNPCFGNRWQQLLINSIVGYDTILTNSLVNSPGHGYLYNFQTKELYDLSYGHEPPEGPTRFGDYFVTKCGVLLMSLFVFFTTTMSVSFTLRETQSRMLRFTVQLQHHARHQLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFLVLTLVWLCELFTMISVRTSISMQFFPRFFLLYFLVFHIYFFSYTYGFSYLAFSATAAFMQHLILYFWNRFEVPALQRFMRSRAHIQQQTGVQITSSTIYTSTLHIARLNVGDPGTLNDGLGGAREADAILVQDESTRNQQDGQQNANSEVTANNPIQYQDQNPQQAGNAPAGSGSLNPFGSLLLWLLGGGASDGIVSFFSMFRDVRDHGQDFTDPPRNENDQVT >Et_7B_054024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14379935:14381164:1 gene:Et_7B_054024 transcript:Et_7B_054024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRLVTHCLAGFGGLSFLLQCKNASNIAEINLSPAHPLRLGLALNVLFSIMRSLIHLIGNTV >Et_7A_051199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15455688:15460142:-1 gene:Et_7A_051199 transcript:Et_7A_051199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLSKLRSLDAYPKVNEDFYSRTLSGGIITLASSVVMLLLFVSELRLYLHAVTETTLRVDTSRGEQLRINFDVTFPALQCSIISLDAMDISGLEHLDVKHDIFKQRIDVHGNVIATRQDAVGGMKMEKPLQHHGGRLEHNETYCGSCYGAQESDGQCCNTCEEVREAYRKKGWGVSNPDLLDQCKREGFLQSIKDEEGEGCNIYGFIEVNKVAGNFHFAPGKSFQQSNVHVHDLLPFQKDSFNMSHRINKLSFGESFPGVVNPLDGAHWVQHSSYGMYQYFIKVVPTVYTDINERIILSNQFSVTEHFRSSESGRIQALPGVFFFYDLSPIKVTFTEQHVSFLHFLTNVCAIVGGVFTVSGIIDSFVYHGQRAIKKKMEIGKFN >Et_9A_063467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3405201:3407720:1 gene:Et_9A_063467 transcript:Et_9A_063467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTTAGRWLCYWRGQIRQVDGSSNVGSPALPDDDDVLRRCADLPRRSPRSDRTPAVLEHALAPCHAHSACALPTKCPCHVLVLVAETKHQRGQIRHVDGSSNDGSPALPDDDDALAAVFARLSDAADIVRCAATCRRWCRVVAKEAGVLSRHLPAQLTRSGAVVGVFHQEDAGVTAPRKRKRGANGAAGQPCFVPTAAAARRLRIPSSSTTTGSDGHGGLLEYARPVASRNGRVVFELRRDGHADGLKLYVVNPMTGEASLLPPLSGKDKPGCYAIALLTSNDDERPQATVFFFRVVIVYNRRSFTAMRAYSSNTGRWSSEVQMRRPKIDSHRLRELGQSVVLRGVAFWPLDRSALAVRLDASSGEPREVAMPPDGILVDTQQHTRLMAVMTTPTSTIEQSDDGNKQQEQEELCFIKARFHNDRNALNETGLPHWSTIVLVTSCLRAAVGNDDDMSAGRWEHRRSRCVRLPPHMKVRSWNAVNQLKLRCFCEKSGVVVFTVGQHSNCPGAYALDVATHRVEKMADGAACDAPACLASIACY >Et_2A_014521.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:19011728:19013715:1 gene:Et_2A_014521 transcript:Et_2A_014521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVALLLLALLLPLGQILPAAASHHHTSPASIHAHLHHHGHYHGHHHRSPMMTATARINTAPSMHQNHLESEESQSLLVSDPFTAVAAQAPSGEEIMAAMGAAATVADPKPLDPPQAPSPPPSLDSAADRTSSTPPQPQAEAEWSASGVAAPPPLDEPAATTTTTTLPLPIPDNAAASPSVPVHASAESMVASGDDMGALQQLAKVLASLGYNEMASAAPLLADTPSVAGWPGAVTVFAAPDVFLQASCPGCSRRHLLLEHIALGYYPYYELTATPTVKLPSASVGFCLNVAAQPGPFVIHHVSLYVDGVEVSHPELYNDGRYVVHGLHGFVPPLSRASCSYEAAHHHYHHRRHHLTARSAATSAATAASIVRIMIRETISRLRDGGFGFVSLAMRVKFAELEKLTNLTVFALDDQAIFTGGSGQGYVSSVRFHIIPGHRLTRANLLQLRPGTKLPTLAGEDQKLVITHGAGSGSDEVRINYIPVKEPDVVVNSRVAVHGIYVPFPHLHLVNLASSVAAVSNIQMNGSCGFGGCASTSMTASATIPATHFHGEGQ >Et_1A_005766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13779390:13783716:-1 gene:Et_1A_005766 transcript:Et_1A_005766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRASSFLLLLLLFALPALAPATGVFEVRRKFPRHAGAEDGEAAADHLAKLRKHDVRRHGRLLGAVDLPLGGIGLPTETGLYYTQIKIGSPAKGYYVQVDTGSDLLWVNCIRCDGCPTRSALGIELTQYDPAGSGTTVGCDQPFCVSSYGGVPQTCTATSPCSFQITYGDGSSTTGFYVSDSLQYNQVSGDGRTSPANASVTFGCGAQLGGDLGSSSQALDGILGFGQSNQSVLSQLAAAGKVRKIFAHCLDTVRGGGIFVIGNVVQPVVKTTPLVPGMPHYNVNLKGIAVGDVTLQLPTNTFDTNKNTGTIIDSGTTLTYLPEAVYNNLKDVVFSKYQDMNFRTYQDFDCFKFSGSVDDGFPTVTFSFEGDLTLNVYPHEYLFQYGRDFYCVGFLNGGLQTKDGKDMVLLGDLVLSNKLVVYDLENQVIGWTDYNCSSSIKIEDNRTGAAYTVDAHNISSAWRFQWHKSLTLLLVTVMCSYLFL >Et_2B_019459.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:4279719:4280090:-1 gene:Et_2B_019459 transcript:Et_2B_019459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QRIGKGSPNCSVCSESGDVEACAWSPSDPDVKLPNLNFVCSYSGTRRCSGIITCSTSCRFACEIFSDKLFTSGALAFSVLPAEGDTASATLFSAPEADNFFVDFGFHSLAGCMAVKIDFNVLV >Et_7B_054314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19950914:19953281:-1 gene:Et_7B_054314 transcript:Et_7B_054314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEILGLGFFGVKGSATIHLLQSKAAATSIDSTLNKERPPRRLPRPHPVRRSLAACRRFRRAFSATAGSPLPASIALLPHSVRGIFNNYCDHGRPHFFARPRGIAPSIDGTFSSMPDNEFEEWDTILDHCNSLLLFDNGMEDKIYVCNPATRRWALLPPSLPSDPSLGHSLAYLAFDPRVSLHYNVFMIPQIPNEDDTVTVKINKARDLRTEWPPLHYKLQVFSSSTGEWEHRVFVRQGSPAGVVADVRSDSWQPVVWGPERRYAECWRGSLYVHCRGAYVMRYLYMHPLHQACITFIHTAIQIIFWILYTNSTFSTRVELCRILTKLSFASMGLSLTEDKYRIIKTPKNIEENKDIASFLGLSVNGVSYAALKDHELRIWALDDSGEELQWVLKHHVNLETLCRQILWKYGDETKRPWAIINRDGSHLVRGALYLFR >Et_1A_006757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27162199:27165446:1 gene:Et_1A_006757 transcript:Et_1A_006757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQCVPSWDLDDPAAAAAAAAGGAGSGLHHNPVSTAASHHRGAGVAPVVVPMTSDQYYEVAELTWEKGNISSHGLLNRPPAPAGPPTKYASSPQPQLHATINGGGNSHGSGRGGEPETLEAVVGEAAARSTQHQFPHPPLTPWLGVGAGGVAARDALVPCAAEEDDEALRRKRARVVGEDGLQLVCASQGSAAPGGRRCGGGESALFTLQDAACGTGPDDVCGFTTTTTYNSTSLDRDDNKGSPDTENTSIGGGANGLCDEADNVVINGDPAMRSSISTKRSRAAAIHNESERKRRDRINQKMKTLQKLVPNSSKTDKASMLDEVIDYLKKLQAQVQMMSRMSSMMMPMGMAMPPLQMSVMAQMAQMAQMAQMAQGMMNMGSLAQPGFAPPMMHTAPFIPMPWDAGAAGPSSGAGAAADRAQQPGGTAVPDAFAAFLACQQAQQNGQQQPGSMEAYNRMLAMCQKLNQQQSQPGNSKQ >Et_7A_051629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21533811:21537548:-1 gene:Et_7A_051629 transcript:Et_7A_051629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQMNLSAVLLVVILLPVVMASARESASVGDYSCHQHLSGSYKGVCIGLINDAACNGVCIDESSDNVYGF >Et_5B_043065.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:19209343:19210456:1 gene:Et_5B_043065 transcript:Et_5B_043065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHITNQTMLQQLGLLTDTMLQSCYTLDTFRYQSHDDEATKGQLVSHSSSLLKVRLLNGFYLSSKTTQILRKLQEELDNLNSTILSLDELIRFLMSYPRMFSQPYSMHIMLSNCMFGRQMEKQLAINFLLHTRAHGIQDIEVLPIVGPGKVGKSTLIAHVCSDERIRDHFAEIVLLRDHDFRYEWLSILQDGWSVEHRSCTSKKDKRLLVVIEVAGDINDDAWKKLSSASKRWVTDGTKIIITSRSHKITRFGTTGVITLNYFSDEAHWYFFKTLTFGSIDPKQHPRLANLAMEIAKTHLRSLIGANMDACVLRNNFDIHFWYKFLSFKRRVIEKLLSKFGEHPCDALNQTRPTCLGRMGGTSED >Et_1B_011259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19762660:19766486:1 gene:Et_1B_011259 transcript:Et_1B_011259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEELYWRASMVPQVRRTPYQRVPKVAFLFLTGGDLPLRPLWEKFFSGHEGLYSIYVHTSPSHAGSPPKNSVFYGRMIPSQITRWGDITLVDAARRLLGNALLDQNNERFALLSDSSIPVYNFTTVHGYLTGANTSFVDSFANRDSVVRYNPFFGDRVNITLEQGGSHPRTHRGRDVTEALLTEIREGTGGNCTYNGRPGGTWPVCYLFARKFSPDALEPLLALAPKLMGYVKTIGKQRTTEKKKLYSFILLGM >Et_9B_064073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10976196:10980788:-1 gene:Et_9B_064073 transcript:Et_9B_064073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEPVVERPEATAEEENDASAAAAGEEEDTGAHVAPIVRLEEVAVTTGEEDEDVLLDMKAKLYRFDKEGNQWKERGTGTVKLLKHKENGKVRLVMRQAKTLKICANHLVVSTTKMQEHAGSDKSCVWHAVDFADGEVKEEMFAIRFGSVENCKKFKDLVEEIAESLAKKEDKESEDGSSTAGLLEKLTVSETKSEEGANAASTGSDKETETKAEATPSE >Et_5B_044807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6050078:6050726:1 gene:Et_5B_044807 transcript:Et_5B_044807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPLPTGTVTMWCTVPAPGAAVVATPTRYSLSPRSTSLKSTGCTDCGTTALPLSRASRSSPSSPTSAVTGRMDTGIWKPQTASVSPCSTTAASSASSPAPTGTSMASASTSSPSDRGMAAAGAACHLSRVSCGSAVKP >Et_9B_065284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3058245:3064764:1 gene:Et_9B_065284 transcript:Et_9B_065284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPDGDSEFEAYGADTYALLASGDLKVMTDEGLYRCPFCSDAQNDCTLLDLLEHTMGVEAAHNLDGKVKADHRALAKHLKGKPAESLGLLLQPTLKDTQAPQNNRDEQFVWPWMGVLVNMPDEYIGRSSNRLKEHFLSFHPTKVHHVYSKGCPTGNAIIEFGKDWSGFRNARAYESHFEMKGCSKGRWKEMKCGGQEPVGWIARADDYNSLGAIGEHLRKNGDLKTFSDIVNEETNKTDKLVAHLAYHVKEKETHLMELECEYKKSAASLEKLMEERDKQMQSYNEEILKMRQLAQQNTHRVVEENRKLRLDLQGMMDELDARNKQFEELAAQTEEDKRNLELEKEKNAMRTNHLKLAALEQQKASEDVLKLMEKQQIEEEDALEKFKRLTIQLDTKQSLELEIEHLMGKLQVMESTPAGEATGSGKKIDEVKDQLNEKISELTDMESFNQNLIIKDRKTSDEVREAGEVMIQALQTLPSDIKVRTQVGIKRIGELDPKAFLNTCMWKFSKEHAKAESAILCSKWQNEINNPEWNPFKIVMVNGKESRVLSEDDAKLQELKEYGEEAYDAVTKVLLELSNGEGSRRSPFPVLWNHEEGRKAPMKEAVRHALELWKASKTGNFQKSMQKQKVLSSVTKWQNESNNPELNPFEIVMGVLREDDAKLQELKEYGEEAYDAVTKALVELSNGEAVGGLLSRCCGTMRRVGRRQ >Et_2B_020162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17430142:17433014:-1 gene:Et_2B_020162 transcript:Et_2B_020162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNKSSTPPPAPVMGAPVAYPPAAYPPAAAAGAPAYAPQLYAPPAAAAAQQAAAAQQQLQMFWAEQYREIEATTDFKNHNLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRGWAHAEENKRRTLQKSDIAAAIARTEVFDFLVDIVPRDDAKDADAAAAAAAAAAAGIPRPAAGVPATDPLAYYYVPQQRGSLAAHLTSASTAKLSKKEWLSSCTSRARSVPSAP >Et_9B_066170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5601261:5602862:-1 gene:Et_9B_066170 transcript:Et_9B_066170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYGTIPRSGSAPPSVEPSSPFDPISGVHERGMSSTTLATHRPWRELANPRALSLPSDFADAFRRWRYNLHYFAANYAAVVLLAVFLSLLWWPVSQLVFLACAAAWLLLFFLRGGAGEPPLVLCGRAVGEGVVLAALSALTVVVMLVAGPAVNVLASLLVGLAVVLLHAVLHGDVPFARAHLQQSLGGPPAAAAPCPSSSRAPTVKQGAARRGAKGSVARGARRHWARARAARLAGLRGGERARDGAARGVGWLPASGRGANAAWSRGARSRCARAGCTGRERRAKSRGAQAGGTTRIAGSSSELKEGEGR >Et_9B_063770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13289679:13293137:1 gene:Et_9B_063770 transcript:Et_9B_063770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSKDVPKKPRDPLLTPPSKLGGAGFLDEGRPGNRSGAAMSPAPASVPNYMRGTSSSDAKGGRRRARLAATVAAPASASPARRRTAAVRVVTRGKVLFPEAPSPVSVSGPGLSRATCSSTLKEAKFPDALDLAPGATVAEGPAAMRVCPYTYCSLNGHAHSPAVPLRSFLASRRRLIKTQQSMKLKGVSAFRKKSGDKNCGGGAAAAAAAAKIAPLIDEEAVGDFFVEVYAGPRVSSDMSCSDMSLDEMDATVRKMEFVVFDRCGADEDIEKGKDPSVCSDGERDGMLEEKHGAYMDNSSVCSDAVISGDFIEELPWMRYHGYEYDSLDDGISEEQKMRDDEIDGSQISKEQEDNDGEGISGRAGYECEQKAAQEHEVNDEENISNLVSGSEIDADQSVVCRMDVRDEDNILDTVCHEEPSAGTADEKFSDCVHNLEIPDQEVAGRADSILAESCTEETSPDQEAKDNEFIVEPDGGSEVTEEQDMKEEECKADDDSEMEISEDAISGDQCGEDFSEEVTSRAVLADNVFEHYDHITDDRTVDDVSEQNDSPADAQINAQKELGVTRSKFGDASEESDNVQQTNLVNTLNSMQDDQNELEITKCTLEDACEESDTAQDSAQMEPVIGIFKSNDASEESVVSLDGGLNDNEENVAGGTEMEQKITGCKLVDTSEGTGVDQETVEDDDSACAIDGAQSILEITKCKSEDAFSDPVAIQDTDESYSPSNVSFGAQNESEITTSEFEVSAICEDIENESKLTTCETEYVCEESSIGQEVDQDDSEVTTFESEGTQEESGVVKDHENEAKTADAQKEAEIKAREPGGAPEKSAMPQETDGHANSLDASICAQEDITMPGLEVCEDILVTEETNQSINGQVPEHNYECTAEGHAEVQNTPAEFTDAKEVSIDDICDAFSGMNLKGVVYSDPTESAMCPRNKLIISRRRRTPEEEEYLRGFNPRAPNFLPLELDPDAEKVDLKHQMVDERKNAEEWMIDYALRRAVTNLAPARKKKVELLVQAFETVLPHDEDDKKSITPTRHVQACN >Et_1B_014089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30237412:30240712:1 gene:Et_1B_014089 transcript:Et_1B_014089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSRALSLTSLCATALAAAKPPLPFLAPAHRILPLRLAAAMSSSSAPSPTPSVPAAASGDAGAPAPSASSAIDFLTLCHRLKVRDGFRIRRLGLLVARFDRMGGATTKREGWVRRGVQAPESIADHMYRMGVMALIAADLPGVDRDRCVKMAIVHDIAEAIVGDITPSDNVPKEEKSRREKEALDHMCELLGGGPRAEEIRELWMEYEENASLEAKVVKDFDKVEMILQALEYEKGKFQTDIGKAWAAEVASRRK >Et_9A_061249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24260491:24260987:1 gene:Et_9A_061249 transcript:Et_9A_061249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GVTGFGEHTDPQLVSVLRSNATPGLQVALHDGRWVPVPPDRHAFFVLVGDSLQVRTYNSQRNLTNRVQLANSCCREGPSTVNNGWPAPEQTLAPLPQLLARHAEHSLYRAFTWADYKKAAYRSRLGDNRLAPFQNHSS >Et_4A_032685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12524453:12530644:-1 gene:Et_4A_032685 transcript:Et_4A_032685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLGALDKARVGLRIPASGGIVGMTKAATLDFGARPGPLRPANIIRNKFPTYKNGSNGIVIKLADGPEMPPLKEAVAKEAADLLNRRQRLSVRELAMKFEKGLNTATLLSNEVKWRQVALLERDILLKNLKSILESLRGRVTGKTKDEIEESISMVEILAVQLSKRESELLQQKEEVTKLAKSLKQASEDAKRIVEEERANSHKEVESARNAVQRVQQAVQEHEKLSQSTGKQDMEELKKEVREARRIKMLHYPSKAMDLENEIQILRKTFAEKSTDCINLLKELELHKRLKDNGPPLFDLEGLQCLGSMLRIVALSGGSPMDLSNISIQWFRIHPKGSNKEIISGATRPVYALEPHDVGCYVQAEINLDGEIAVAKTAGPVDPDAGLVDYVETLVRKPETEFNVVVLQLNGIDQPKESVHVLNVGRLRMRLMKGKSVVAKEFYSSSMQLCGVRGGGEAASQAMFWRPRNDLSLVLAFESCRDRNTAIMLARRFAIDCNIILAGPGDKTPW >Et_1A_009294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37771424:37772151:1 gene:Et_1A_009294 transcript:Et_1A_009294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYCGHVDLDQAIDLSEVDGSLLMELMQDMPPSDLLDNDPDRLSHVIRSLEAEIGGGAETVMGDDGSTAGSSSEGDRRLLEEMLSDIDGYESGSFGYWPPEAEGHEVEGWYLYADGCVGSVVGYEAIDRQYHYVESCIEQCNTNAMGNKTSLPC >Et_3A_027118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33436706:33441408:-1 gene:Et_3A_027118 transcript:Et_3A_027118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTGGSRLFRMALEDTKRQDQRRRLTESRRGRKYPPVLAAALKAVDTQRTISREGELHRRRRILGAAAEQGPDPHHLPHEVDQNRGEPSGVAAPLAPNASVDQESAKSSQEVLALVSNASLQPDGPAQGEGDNLASVPIGLHPSAPRMELPVDTEVEQWVDSFMDSVAEMLGDDPPPKHPPPASSGPPPPTDAAAVGTYPTRADLTPPPPPSLEERELAHPTLISPVVPVLRVWTVCSTIDAEEALEAWHSRGADGLLVRVPPDLSLVQVEQIWQVLQIAGLNIFEEPRTFAAMLSAARGLKEHPTVYMKEIDDSFLKFGGAGLATDVGGRTVVAVKIMGMGGVARHLASTGAPLLANVYPYFAYRDNPRDISLNYATFRPGTTVRDDGNGLTYTNLFDAMVDAVYAALDKAGAPNVRVVVSESGWPSAGGFAASVDNARTYNQALIDHVRQGTPKRSGPLETYLFAAFNENQKMGSATERNFGLFYPNKSPVHIYDTDPLWYGSEAISIVRI >Et_1B_013578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9692493:9696309:1 gene:Et_1B_013578 transcript:Et_1B_013578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPADKGKKAKTDADDGEENEQIDGALVLSIEKLQEIQDELEKVNEEASEKVMEVEQKYSEIRRPVYVRRGDIIKTIPDFWLTAFLSHPLLSELLTEEDQKIFKYLDSVDVDDSDVKSGYSIHLNFSENPYFEDTKLTKTYVFADDGTTTINATSIKWKEGMGPANGNGVNKKGSKRPLVEESFFTWFGDTEHKRLADGVQDEVAEIIKEDLWPNPLKYFNNEIEEEEFEGDDDDEDLEGDDGEEDEEEEN >Et_1B_014214.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:35575244:35576446:-1 gene:Et_1B_014214 transcript:Et_1B_014214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQQAGSTTMRLLLLCLFFIQLGSSSDAAAGAAARHGQQQHGRTSSSGTNTNATSAQAAAGHGGQKAKNLKKEPLAPALIVFGDSIVDPGNNNGINTIIKADFPPYGDDFVGHRPTGRFCNGRIPTDFIASRLGLKELLPAYLSSTPLERQDLLTGVSFASGGTGFDPLTPRLASVISLPDQLSMFHEYLAKVRAAGGDADKILSRGVFAVCAGSDDVANTYFTMRARSNYDHASYARLLVTHAASFVEDLVRAGARRVAVIGIPPIGCVPSQRTMSGGLDRGCSPGHNEIAVAYNSGLEAELASLRHKYPGTMLVFMDIYGFLYDMMMHPQSYGFTQSTRGCCGTGLLEVSVLCNGLTSAVCSDVGDYLFWDSYHPTEKAYKVLADFVFDNYVKLII >Et_5A_041612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2545273:2566286:1 gene:Et_5A_041612 transcript:Et_5A_041612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPINNSNMEQHSQRLLEPDLPVQVRLQLAMEVRDSLELTHTPDYLNFLRCYFRAFSAILTTYTKPQATENAEHKLRNVVIEILNRLPHSEVLRPFVQDLLKLSLRVLTQDNEDNALLAIRIVFDLLRNFRPTVEAEVQPFLDFVVTIYRNFPNTVTYFFENPNANISAAVPIQHLDPTVDAPGTMAVPGGGQLNPSARSFKIVTESPLVVMFLFQLYAKLVQTNIPYLLPTMVSAISIKGPDKVPPHLKTPFNDLKGAQVKTLSFLTYLLKSNADYIKPYEESICKSIELLVGLKQVLNTEYRRGLFPLIDTLLDERVLVGTGRVCVETLRPLAYTLLAELVHYVREDLSLPQLSRIIYLFSRNMHDSSLTLVIHTTSARLMLNLVEPIYQKGVDQQSMDEARVLLGRILDAFVGKFRTLKRTIPQLLDEGEEGKEQPNLRMKLEVPLQTVLNLQPPLEYTKEVHDYKSLIRTLAVGMKTIIWSITHAHWPRPQQQNQQSSNLQVQPFRGLREDEVRKTSGVLKSGVHCLALFKGKDEDRDILQCFSQMLAIMEARDIMDMFSFCMPDLFDCMITNNQLLHIFSTLLQAPKVLRPFTDVLINFLVSSKLDALKQPDSPAAKLVLQLFRFLFIAAAKAPESCERTLQPHVPVIMEVCMKSATEVEKPLGYMHLLRSMFRALNSAKFDSLMRDLIPSLQPCLNMLLSMLDGPISEDMRDLVLELCLILPARLSSLLPHIPRLMKPLVLALKGSDDLVSLALRTLEFWIDSLNPDFLEPSMANLMSEVILALWSHLRPPPYTWGTKSLELLGKLGGRNRRFLREPLALECKENPEHGLRLVLTFEPATPFLVPLDRCIHQAVGAVMQGSSMEAFYRKQALQFVRVCLDSLLNLRENVSGEGVSPGVLGTLLISSLDPSRRRNDASDMKGDLGVKTKTQLLAEKSVFKTLLVAVISANSDTSLHDEKDDYVVDLCRHFAMLFHVDSPSSNQSGFMQPIGTSLPSSISMGSRSRSNTSSNLRELDPLIFLDALVEVLSSENRQHAKAALSALNTFAETLIFLARMKHTGMLRGGPSTPMLVSSPSLNPVYSPPPSVRVAVFEELLPRLLHCCYSSTWQAQMGGVMGLGALVGKVSVETLCIFQVRVVRGLLFVLKRLPVHANKEQEETNHVLTQVLRVVNNADEANSEPRRQSFQGVVEYLATELFNPNASMVVRKNVQACLSLLASRTGSEVSELLEPLYLPLLQPLISRPLRSKNIEQQVGTVTALNFCLALRPPLLKLSPELVNFLQEALQIAEADETVWVTKMMNAKVVLTWNKLRTACIELLCTAMAWGDLKAPNHNDLRAKIISMFFKSLTCRTTEIVNVAKEGLRQVVQQQRMPKDLLQSSLRPILVNLAHTKSLTMPLLQGLARLLELLSNWFNVTLGAKLLDHLKKWLEPEKLAQTQRSWKAGDEPKIAAAMIELFHLLPPAASKFLDDLVTLVIDLEKALPEDQFYSEINSPYRAPLAKFLNRYAVEAVDYFLARLSHPKYFRRFMYIICSDTGELRDELAKSPQKILASAFSQFSPQTDAAAAQLSSSVKDEALTGAITESFTGQSSSNMVTGSDSYFNGLELVSALVKLMPEWLRNNRVVFDTLLLAWKSPARIARLQNEQELSLPQVMESKRLIKCFLNYLRHDRTEVGALFDMLSIFLYRSRIDYSFLKEFYVIEVAEGYTPNLKKTILNHFLNIFQSKQYGQDHLVVTMQILILPMLAHSFQNGQSWEVVDSSIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQNDLVHHRKELIKFGWNHLKREDNSSKQWAFVNVCHFLEAYQAPEKIILQVFIALLRTCQPENKLLVRQALDILMPALPRRLPPGDSRMPIWIRYTKKVLVEEGHSIPNMIHIFQLIVRHADLFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVAWERQRQSEMKVAQESDSQNQIGDMVNPNAIGGDPKRSSDVPMFADDLSKRVKVEPGLQPLCVMSPGGGSIPNIETPGSSGQADEEYKPNAAMEEMIITFLIRVSLVIEPKDRESSSMYKQALDLLTQALEVWPNANVKFNYLEKLLGNLSPSQSKDPATALAQGLDVMNKVLEKQPRLFIRNNINHISQILEPCFNNKMLDAGKSLCSLLKMVFGAFPLEAATTPQDIKLLYQRVQDLIQKQLAAVTTSQISLELSNANSMISFALFVLNALAEVQKNFIDPFIGLLFRVLQRLARDMGSSAGTQIRQGQRPDLDSSVSSRPTVDSTVISNMKTVLKLLSERVMSSSDHRKSMGQILQALLSEKSTDPSILLCMLDMIKTWIEDDFRLASSTGSVSSLNPKEVLTYLQKLSQVDRKSFPSSAQEEWDAKYLELLYSLCADSSKYPYPVRQEFFHKVERQYMLGLRAKDPEMRKRFFNIYNESVGKSLFSRLQFIIQTQDWEAVSDVFWLKQGLDLILAILVENEPITLATNSARVPALMVAGPVPDRITLPQQVADAQESMDGTSLSFDSLTTRHAQFLTEASKLVVADIMAPLKELAFADANVAYHLWVLVFPIVWVTLHKEEQVALAKPIIGLLSKDYHKRQQGARPNVAQALLEGLHLSHPQPRMPSELIKYIGKTCNVWYTAIALLESHMVLMNEAKCSESLAELYRLLNEEDMRCGLWKRRSITAETRAGLSLVQHGYWLQAQNLFYQAMIKATQGTYNNTVPKAEMCLWEEQWLSCATQLGQWEVLADYGKGVENHEILLDCLWKVPDWAYMKENVISKAQVEETPKLRLIQAFFTLHDKGTNGVSEAENLVSKGVELALEQWWQLPEMSVQSRMPLLQQFQQLVEVKESSKILLDIANGNKPASAGSGGNNAHNSFADLKDILETWRLRTPNEWDNMTVWYDLLQWRNEMYNSVIDAFKDFGQTNPQLHHLGYRDKAWNVNKLAHIARKQGLPDVCVTILDKMYGHATMEVQEAFVKICEQAKAYLDMKGELVSGLNLINNTNLEFFPVKNKAEIFRLRGDFLLKMNDCENANQSYSNAIALFKHLPKGWISWGNYCDMVFKDTNEEVWLEYAVSCFFQGIKYGISNSRSHLARILYLLSFDTQNEPVGRALDKYLEQLPHWVWLSWIPQLLLSLQRNEAQHCKLVLLKIAQVYPQALYYWLRTYLMERRDVATKTEMGRNMLAQQRMQQAMLANNAASNLADGSARASNHAGGNMTSDNQVHQNTQSGGATGSHEGGNLQGQEPDRSNVEAGTGASHDQGQQSSATAEGSQVPLRRNTGLGWVTSAASAFDAAKDIMEALRSKHTNLANELELLLSEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSQDAVNKHVDFVKEYKQDFERDLDPESATTFPATLSELTERLKHWKNVLQSNVEDRFPAVLKLEEESKTLRDFHVVDIELPGQYFTDQEIAPDHTVKLDRVGPDIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERMLQLFRVLNKMFDKHKESRRRHLAIHTPIIIPVWSQVRMVEDDLMYSTFLEVYEINCARHNREADSPITIFKEQLNQAISGQVSPEAVVELRLQAYNEITKNIVNDNIFSQYMHKILPTGNYLWTFKKQFAIQVALSCFMSYMLQIGGRAPNKILFAKNTGKIFQTDFHPAYDTNGMIEFNELVPFRLTRNMQAFFSNFGVEGLIVSAMCSAAQSVVSPKQSQHIWHHLAMFFRDELLSWSWRRPLGIPSVPVAAGMINPLDFQQKVINNVEHVINRIKSISPHDLADEEENATEPPQSVQRGVTDLVEAALSSRNLCMMDPTWHPWF >Et_3A_024866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24973026:24973516:-1 gene:Et_3A_024866 transcript:Et_3A_024866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVLVLASLAPSSPRSQSATSRRGTPPPRSGPLNRTSTVGTWIPALSNSYYVDQLCHHHPIEKHLVITLPVEPREEAGVVLLGVAEHALDEGLALREAHGAHVHLLVPPLPRTARCRRPPPRPGGGPCAGAGTRDGNSKPGTRYPTGTRPDPTRRGRAWG >Et_1B_011281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19977568:19978981:-1 gene:Et_1B_011281 transcript:Et_1B_011281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAVKDVAKAFTKPVKDSMYHELYNATTGIPGFSEEALMFALSHMLDNKSQGYGFLEMSDDHRVLWLRTFLGKHYYNSVMHHKPLAVWDLAKFQATRPPNVVVTSPKLKEVI >Et_7B_055114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7469530:7471105:1 gene:Et_7B_055114 transcript:Et_7B_055114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSEAQDKDKQSGKEEEEVEEEEYVLLELGDCLYSDISPGAPFVLSGLDTMTPTLTVGDGLKMIGEYEETVGTCYLFSESEPQTKPSAEMPPSGGNKDKPASSSKEAPPKEVKHLASVEKILKFRPVDADHQQRREYQHKDREI >Et_3A_026590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:115108:116192:-1 gene:Et_3A_026590 transcript:Et_3A_026590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMCILIDPDAEVIALSPRTLLATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLKQSNGGGKNGIRRKRVYVCPETSCVHHNPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKSCGTREYRCDCGTLFSRRDSFITHRAFCDALAQETARIHHHRQIQNNLSLWGPGGDAAELIMPPSIAAAGMAVPFDTAAAQLGWLYGNNKLSFSNNNACSSELLVTSTASKVVDSVVPSLFSGQHLAAANKPAAVADMSATALLQKAAQIGAVITSNNNSIPCTATTTASAMPSQVLDGLLFAQHHNATSSSLANLQPAYMHKGREEETRDFLGVALCSPSLHGWI >Et_1B_011164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18151061:18152941:-1 gene:Et_1B_011164 transcript:Et_1B_011164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTWANANQLGNKEMRRLRKLTLSNTGRQHRPENKQTYERPPPSTILPARAPCHLCRRVESVTSESKANHDTSRSKQRKIPTMPPAVRARRATAVTLPADDGPLPAPGRRVPLSPFDVYWAALPPVRRVFLFAPPSPPVALPDVVGALRSSLAAVLPSFHPFAGELVYEPGSRTVAIVVGEDAGVAFVEAETTELEFARLAAEGAEHDVDALRQLVPDIRREELPAPVMAVQVTEFVGGGGGGVAVGVALHHAAADGNGLWRFIETWSAAAASGSRVEQRAEEAAPPFHDRRLVHFDGDEELARVFLRQLVPELPRVVPRQDASPGHHPSLSRRTFTLAPSAVRRLKQQLAGTTTAPSTFAAVVAHGWVSIARASGFTDGAPVYACFLADCRAHMTPPAPVPPAYVGNCVALCAVALSGALLTGADGCARACAAVREAVAEVKRDPLADCSRWVTKFAEIPRGRAIVMAGSPWFPAYGVDFGFGTPVRAELASMNHDGEMVLVAGREAGSVQASVALSADKMPAFCQMFVVES >Et_4B_037314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1885501:1887719:1 gene:Et_4B_037314 transcript:Et_4B_037314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Et_2A_014562.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:22921483:22922812:-1 gene:Et_2A_014562 transcript:Et_2A_014562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTMAVKPSAILLLLLHLALSLDLASTAYERAAAGRRQVLRQERATLLALKRGLTLPPSSSALADWNESNGHVCSFTGVTCDRRREHVVGLALANMSISGAIPAVIGDLVRLRSLDMSNNSISGGMPPSLGNLTRLESLVLHSNRISGAVPLALGNLLRLQNLDVSSNLISGAAPAVLGELSRLRNLDVSNNNISGVIPPSFGNLTRLENLCMDGNRISGAISPAIGNLTSLQNLDLSSNLLTGEIPAELSNLRSLGTLNLGNNQLRGSIPPTLAELKGMYYLSLEHNYLSGSIPEAIFLNCTILGVADLGDNDLSGEIPRAASGTLADTFAVLNLYSNKLTG >Et_9B_064232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1376482:1377421:-1 gene:Et_9B_064232 transcript:Et_9B_064232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQPPQRAHEQLPVGPTRWCPTPEQLMILEEMYRGGLRTPNASQIQQITAHLSSYGRIEGKNVFYWFQNHKARDRQKLRRRLCMSHHLLSASCAAAHYYYGGHGGGGFHLAAPPPTTLPFFDQAALLAPTSPTPAAAAAAAAAAYGYYYPATAFAAAAAPPASRCAGSGATTPPSPNQPNIFHHHYLQGAGGGGVAPGEAAVGRPEYSLGKLDNFGVALDDVVMSAASVDMVGPPGFEVAPPQPPAFCRPLKTLDLFPGGLEEEQRDVA >Et_3A_025688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31905704:31908993:-1 gene:Et_3A_025688 transcript:Et_3A_025688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAFPYGLRVLVVDDDPTWLKILEKMLRKCSYEVTTCGLASVALEILRERRNKFDIVISDVNMPDMDGFKLLEHIGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMHEVKEIEGHDNFDDPQMFRNGCEGLEERGLFMRADSDTLRKRKDMDKDHADQELSDGTTVKKARVVWSVDLHQKFVNAVNQIGFDKVGPKKILDLMNVPGLTRENVASHLQKYRLYLSRLQKQNEERIMGATRQDFSHKGSSDNLNLRSSFQEQQGNVANGYQHGPQKAQAQVNMLDSHLEDMKVVVPIQVPDKSRTPVSDATDSQNVTGVSPLGGVLSFERMPVNHDRKPSETMILGCQPWSGNVPPKQFMQYPKHNHERCDLLGDYSCLPKPDLEHPIAPGHLYTPPSVLSMSCSMEADVRDFSDVKPGLLGCMKSLSPALNCTVDSVSVQLSDSVVTSTGGDLKFSSVEGLPSIKDCYFDQTKNQATLLTSEEASIIGGTDLACLPDDLPSYQLQGVSLENIGLNSIDLFQYNDAMILPELQNNWYDDLEFSGETTDYQLIDGCLFA >Et_7A_051922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2820765:2823747:-1 gene:Et_7A_051922 transcript:Et_7A_051922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRPCPSGLVVGASRLSIGGSSRIRINPQIGSRHSISPLKMSAGRTTASHLRHRHMIRAHNGDIDDLSAFPVPDGDRPMTKEEINKFRQALKKHSEWDKDMPFREDILKIDEYRNEMTSWNTSIFHMKATGLSLHLCMVVKHGVELASKIMESAAIRLDKQDEISFYTTKQTMMAYVSIFVKLAEDTYHKKFNVESVFSLLGAFRGMAAISHILLVDAMASVNYADDSSSKDSLVHETENSRREPTMNEAMILAMFFVLKMIARRETVLGHVRGEHFPAPLDACMLRFLSHAGGLIYSLIVNVMPKI >Et_8A_056326.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:22927997:22928518:1 gene:Et_8A_056326 transcript:Et_8A_056326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTAEAGVYCPTAMADAIGPDAEIGVAFSGRIRLPSGPYFLGLPRFFATFITTGATAPPTAGPTVAVPVGGDTNTPLELPFSAAAATAAGAGAGDWKLIVTSVGAVVAAGAAAASAVASIGAAGAALASPGLASMHATPRTRPLPHSPRVPATSSRHWSARTLSPSPPPPP >Et_5B_043259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20544972:20546993:-1 gene:Et_5B_043259 transcript:Et_5B_043259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHLPLSFLLLLSLISLYLPGFCVGAGNESFVFSGFADAGAGNLTLDGAATITSGGLLELTGDAANIKGHAFFRTPFQFKGSSNGIAPSFSAIFVFAIISSYAISTDGMAFLISPTTNLSDAGAMNRTFAVELDTVQNTELQDIDKNHVGIDITKLFSVQSHAAGFYDGKDGKFNNLSLDSGVAMQVWVDYDGKAKQVDVTLAPMGVDKPSKPLLSTISDLSALLGDEAYVGFSAGTGPLPSKHCVLAWSFAVNGAAPPIDYSKMPQLPNSRHKTLSKVMKIVLAVAAAATALVLGTGVLIFLWVQREAYAELKEDWEVEFGPHRFPYKDLVHATEGFKNKNILGVGGFGKVYKGMLPKSKLEVAVKKVSHDSSQGIREFISEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMANGSLDKYLYGEEDKPVLDWAQRFQIIKDVASGLFYLHEKWEKVVIHRDIKASNTTHVVGTMGYIAPELARSGKASPLTDVFAFGTFLIEVTCGRQAVINSVQHGTKLLVDRVLEYWHRGALLETVDLKMQGNYNPDEARLVLSLGLMCSHPFPSARPTMRQVMQYLEGDAPLPELTPANLSLLSMMQSEGLSFDQSVLQYPWSGTSMGTMSPDIAVGR >Et_4B_039127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8052985:8054065:-1 gene:Et_4B_039127 transcript:Et_4B_039127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSLSSALLRRLIQNSTPTSSSILRATFCSSSSSPSPTSSLPSSIFGGDTEVANLPPLTTPKLFVSGLSRLTTDEKLKGAFDPFGRVLEAKVVTDRISGRSKGFGFVRYATLEEAEKARQEMNAKFLDGWVIFVDPAKPKQPKPPPQQDTRSSHAGFMTNKTIGWCG >Et_1B_011588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23938669:23941082:1 gene:Et_1B_011588 transcript:Et_1B_011588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSGAACRVCGGGGLGDCACHGRHGLVGGGGGARCGVADLNRGFPGMWQQHHAEEEPAASSVVVGAAAAGPLQEFQFFGHDEDHDSVTWLFNDPAPHLQRGPAAAAVGNGVVADAEHRRQQAAPPLFDGYAAAQYGQLPGHGLTFDVPLSRGGGDAAAVLEAGLGLGAGGNLATTSSGTIVSFCGSTYTDAASSVPVEASANGGTPACGGDPAMDREAKVMRYKEKRKRRRYEKQIRYASRKAYAEMRPRVKGRFAKVPDGSDGAAPPPQQQAAAAGYEPARLDLGWFRS >Et_1B_012084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28848794:28854091:1 gene:Et_1B_012084 transcript:Et_1B_012084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGLVHKSSSPLVGEMGEAGHGWWSVNNLRPPFEQQHHPSLFLPASSTTTGAAPSSSSALHSFSSLLLANHYPLPTTSASPWHDSSSSQGLGQQDSWSQLILGGLSNGEERFKEGQLLFPTTVCSEAGGSGSYIYSTTASHGSSTSDEIQLPWGNVHQHKVAMQQKAASSPRSSSITSKASLGNNMLEFSNNSSSSPRDRECISTASGSAFKKARTQESSPAQSTVKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLHGQIEALSSPYVGGSNGGGGGCSNQQQHEASVHGERHSIFPEDPGQLLHDTAMKKRGQPTEQDGSCDEEKKDLKSRGLCLVPVSCTLDVGVDVIAGPADYWGAAPAFGMGYGR >Et_5B_044472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2728204:2730334:-1 gene:Et_5B_044472 transcript:Et_5B_044472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIKMGNLARAVCPLPFRRSQPRFRLKSSTPPPRSLAASRAGLAPPSRLPTPAGDETAAAAQETSPGAEPPTADLYLSADSRTDVLRSVQSVANTLNNSCILLLSNRLRHLAIDCLAPMSKKKSLKPTKSLKDSEEVVTTDYIGGEALDDLLSKLVRSVEVAKASRGGLPEKIWMKQQFAIGVNDVTRVLERMTPVAATHSAHSSSEAQIVSCRRRAPLVPLQAVLVAADCNPKWLTKHIPTLASSSKVPVLYLKDNKGDSLRLGQVVNVRTALAIGVKARDSIVNKTIDEVLQCGSKLVSDEL >Et_4B_039619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24011731:24013585:-1 gene:Et_4B_039619 transcript:Et_4B_039619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNVILATELPAFGGEHEWAEIRCASRKAYGCGEQGQRLLNGLTLLARLVDNPVLTTALCIHVDAQARQIIRAQLGAGKHEPIFQSTMYGNVDLADGDLVVLTLPLSYCPQLAYHLIYDAAAESLSMLPHMRTDNARRLTATPIPVRQRGEIGLLLVAKVLRSRTTYEQRRRVFDDDALCMLHPAAAPPPPADDDMEEYSHIAPWQRTQRCVTGEGSTTHAFWVQVVFSFQGRAFWADLAKGVLHCNPLATTGGGHSSDHVDLEFIALPPGYRVDDPHHPQMLPIEMLRTIGAVGDSIKFVCIDDTGDDIGARTVAVWSLRLDRKRWTRDVAFSVRSLWELEGFKRAGLPETEPKCPALTSDGALCLLFPNLRKRVEDSMADYICSVDMSRKTVLWSGRLDHHNTSQPTENVWM >Et_2A_018158.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:15017109:15018065:-1 gene:Et_2A_018158 transcript:Et_2A_018158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNAKPSSSPCLSFLKDALLLPTLNPKLFIPVFILVAIPTFLVQVTNALDIQPLAAKILDLVNKIKTMDPSTPEYAKLMEEIMKDVKGLVVIAVAFVVATAALSFAKQIVAFFAASTTYSGDRYSLPELVSKVIMKWHRLRGPLITIATVSALELGCVFLETSLLQLVMRRSGLAAMAVVSVFLTVAFVYLNVVFTVAVAASVADAERRGASALREAWRLMTRARRMEGCVLVLATEVLAMATTPLYAAAIGYAKKSVAVELAVLSAYALLSGAVQLFGFAAAMVYYCNAVEMRSKEMIIARDDDDYVKIPSSEAEA >Et_9B_065080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20989802:20991663:-1 gene:Et_9B_065080 transcript:Et_9B_065080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSSSRFSRVLPNILGPVSRVLKPPLLRRRAGQALASTPAADAAPSTSPASPPPLSLSRWLAAALRGGRAGGELPDLAAAAAGGAGIGTLLMSTTAAAVTKARESPYLLALAANPTFVSGLVAWAVAQTAKALLTSFVERRWDLRMLFSSGGMPSSHTALCTALTAAVALCHGVSDALFPVCLGFTLIVMYDATGVRRHAGMQAEVLNKIVEDLFQGHPISERKLKELLGHTPSQVFAGAILGVLVAWYCCQGCIVPI >Et_1A_009129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30014760:30023154:-1 gene:Et_1A_009129 transcript:Et_1A_009129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFKCWSLLAMSFFSEGINQNCYSTMIDLLPILKKDCPRRFRSFFEQYGGWLIWRKMIVPEALNYMISQNALKCTKVVLEGKAPELQGIRCNPNWMNQYGNFPLHQAADRLDIDMIKLLIANGASANVRTADDAVIGGLLPLHVAVENTSMHKYLEDNLLPNREDPVYSKSDVYKLIYILCLPEMKIFLNATRELAKHTNDLGDVIWNYIKAGKLVQAGILLLAAQEHVRLGPSCKKNGSSKPDGFAIIVRRITNNFYTLDLNICLYEKEHEQPDANVPHVDVLERVSSILKDFDLCPPEDIDIGNLVPYKAKHYEEFFDNPNFLNQYGQFPLHRAAESFSAHMIKFLIKKGASANVRMAGDAVIQGLLPLHVAVENTCLHKYLEKNLLPNREHPNYSKADIYNLILLLCLPEMKIFLDTTRELANHTNDLVGEVWNYIKAASLNAYLESYLTNMVGVAVWVTEYCCGIVEGVEECISVGSPHLQI >Et_2A_017275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32698575:32698775:-1 gene:Et_2A_017275 transcript:Et_2A_017275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYFFAGGQGWLYSMPVMNSSTFSAGCKLFATLSLSPHLADHAATLGATSAKLNSPYRS >Et_4A_033048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17652602:17656569:-1 gene:Et_4A_033048 transcript:Et_4A_033048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLRSLLTAAACSVPEALMAAGDDHGGRAHTHELSGQLEGILADREVPWARRASRAAMIELRLLTPIAAPAVVVYVLNNVLSISTQIFSGHLGNLELAASSLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAHRYEMLGIYLQRSAVLLVAVGVPLTFIYGFSEPILIFLGQSPNIAKAAAIFATNFPIQKFLQAQSIVAPSAYISAATLVVHLVLGWLVVYRLGMGLLGASLVLSLSWWIIVAAQFVYIVTSARCRQTWTGFSWRAFSGLPDFLKLSTASAVMLCLEAWYFQILILIAGLLDNPQLALDSLTVCMTLAGWVFMISIGFNAAASVRVGNELGAGHPRAAAFSVVVVSTLSFLITLAMAVVFLIFRDYLSYIFTEGETVARAVSELSPLLAATLILNGIQPVLSGVAVGCGWQKLVAYINVGCYYFVGIPLGVLLGFKFHLGAKGIWTGMLGGTCMQTLILFWITFRTDWNKEVEQARKRLNQWEDKKQPLLAPTDRLITG >Et_5A_040684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11046459:11048729:-1 gene:Et_5A_040684 transcript:Et_5A_040684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVQSSALLGVSSPPLAPSTHCGSKKQSCSARPPQPRRQQRGGHLRVRAVEVGKPGGEPSAGAPEEVVEPGIDFAFVAPRLLPDGSPDVHYRTACGGQKLRDIMIDGYIDLYGPYDQVLLNCAGGGVCGTCIVEVVAGKEMLSPKTDVEKEVLKKKPKTWRLACQATVGNPDSTGQMIIQQLPEWKVLFCNDSRVDT >Et_10B_002753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10560460:10562757:-1 gene:Et_10B_002753 transcript:Et_10B_002753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASLKSSLLLPSPISDFSGAAVSISTQKRRRSWQPRGARMQVSAAADSKNILVMGGTRFIGVFLSRLLVQEGHQVTLFTRGKAPITQQLPGESDADYADFSSKVLHLKGDRQDFDFVKTSLAAKGFDVVYDINGREAVEVEPILDALPKLEQYIYCSSAGVYLKSDLLPHCETDAVDPKSRHKGKLETESLLTSGGVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPGAGNQITQLGHVKDLATAFVKVLGNPKASQEIFNISGAKYVTFDGLARACAKAGGFPEPELVHYNPKDFDFGKKKAFPFRDQHFFASIEKATSVLGWTPEFDLVEGLTDSYNLDFGRGTFRKAADFTTDDMILGKKLATV >Et_6B_048471.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:8961463:8961555:1 gene:Et_6B_048471 transcript:Et_6B_048471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVPAILDHIKDAVFKKVCFFSGLKFQHR >Et_5B_043768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15754576:15759882:-1 gene:Et_5B_043768 transcript:Et_5B_043768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSLVFAIVIFLSGAIGVSSHPHPLDPLTAAEIAAVGAAVHASPLISARPITFHYVGLDEPDKSDVLAQLPSLPRRALVIARAGGQSHELRVDVTGVSSPAVVLSHAVHHGAGFPSFTAEDQAAAAALPYEYPPFVESVRRRGVDVEDVGCGVLAMGWFGGEGEEARWGSRRVAKMMCLVTGDTANFYARPLEGVTMVVDLDRMEIVGYRDRVTFPVPKAEGTEYRAEKVGPPFTGPAAAPGVVVQPEGRGFHVDGHVVRWANWEFHLGFDMRAGTVISQASVYDADAGLQRRVLYRGFVSEVFVPYMDPEEEWYFHTFMDAGDYGLGTLAFPLQSGGDCPANAVYFDGYYADGTGKPVKADNVICMFERYAGDVAWRHTEAALPSQMFTEVRPDVTLVVRMVVTVGNYDYALDWEFKMSGSIKLKVSLSGILEMKATSYTHTDQIKEDTHGTLVAENTIGVYHDHFITYHLDLDVDGTKNSFVKNIITPKRNTGNPATGGADTPRRSYWTVHREVAKTEADCQVSLNDSPAHLLFVNPSKRTKIGNEVSYRLVPAGATATSLMADDDYPQRRASYTKKQVWVTPYNRSEKWASGLYAVQGTGDDSLASWSKRNRRIKDEDIVLWYTLGLHHVPCQDDFPVMPTLSGSLELRPFNFFERNPLIRSRPARHFSPNCSCGAQ >Et_8A_058297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4556704:4560836:1 gene:Et_8A_058297 transcript:Et_8A_058297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDGDPAFNRGEAISAVQDVDQYYGEDDEFDELYNDVNVGDGFLRNSHPPPPPPPQQAPPPQHLQHQQQQQQLPPPPQGPPLHQHQQPPPHSLPPPPPQALPQQKVHAPPAAPAPNHLPTQPNLPPPPQPPAGPPPPQHHQIQQGDGFHRPGGNYGGGPVVVGNGGADGPGSTTLFVGELHWWTTDADLEAELSKYGPVKEVRFFDEKASGKSKGYCQVDFYDPAAAAACKEGMNGHLFHGRPCVVAFASPNSVRRMGEAQVKNQQAMAGQTSAMPPKGGRGGGGPGAPQVGGNYGGGRGGAGGGGGAGGNWGRGGGGGGIGRGGGGIGRRMGPVGGRGIMANGNMVVPPPPMLPPILNQGFDPTGYGAMGRFGGFPGGPGPFPGVMPPFPPVAPHVNPAFFGRGGMGPGGMGMWPDPSMGAWGGEEQSSYGDDAASDQQYGEGGNHGKDRPPERERSGASERRRDREIDMPPAQEWPERRHQDERDMGRERDRDYDRDRERDRDRERDRDRDRDRERERDRDRERERQRERDRHRDDRDRYGDHHRHRDRDPERNEDWDRGRSSGVRSRSREADHSKQSERNVSLENF >Et_3B_029934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29165153:29168526:-1 gene:Et_3B_029934 transcript:Et_3B_029934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANFNRSTSARLAARGGVGSPRVSTPGSAHRNWWAAPSGPSFDRIALSFFLAAVSFVLSCAIYLYVVRYLGRGRAVADFAGKKLDSCDVFHGTWVPDERYPLYNSSECPFAERGFNCLANGRKDTEYLKWRWKPRGCDLPRFSARDVLEWLRGKRVVFVGDSMSRTQWESFICMLMTGVEDPKTVYEVNGNQISKTIRFLGVRFESFNLNVEFYRSVFLVQQIPAPSLGRRRVRTILKLDKLDDLSSKWANADVLIFNSGHWWTASKLFDMGCYFESGGVLKLGTSINAAFKMALETWASWVKEKINLSQTHVFFRTYEPSHWSGLNQKVCEVTEQPTVEAKGDDRREFGDILADVVANLSVPVTVLNVTLMGAFRSDAHIGIWSHPNTILDCSHWCLPGVPDAWNELVFSHLLTNGNAIIYALTKGNNCGVRICNGAGPRFTIFSLVDAGLLSAELPTIPL >Et_2B_019181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1589301:1591373:1 gene:Et_2B_019181 transcript:Et_2B_019181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHARAGAHEKALNAFSELRLLGFRPDHTSLSTVLSACARMEALELGRCVHGLATKTGSSSNVFVGASLITMYASCGLCRCLERVLEGVDSPNMALWNALISGLVMNHRVEDARGVFDRMPERNIVSWTAMIKGYVMALGLNSEALEQYKEMLRSNIRPTHSILSSLIGCFSKRSVMVHGQQFHAASIRLGFESHLLIQNSLIGIYCKCGEMNISRAIFDRMASRDVVTWNAMVHGYALNSLGQMAIETFENMKEAQVDPDDITFLGLLSACNHMSLLEEAKNFFSLMTCYGISPNIMHYSCIVDLLCRRGMLKQADELVKSMPFEPDSAIWTSLLSASRVSGNYKLAEHAASQLISINPSSKMPYLHLISVNGSTNRWNVINDLRNQIKSIATEKEVGYSWT >Et_5A_040172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18982569:18983048:-1 gene:Et_5A_040172 transcript:Et_5A_040172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPICASGRRLEDALTVKSRSLALLLFPLNTAIGFDWVLAFCFRERTGWPVASRRMRWPLKLKRGTSMRSTTCPLASVTVQPPLNFMVIVSGRTRGSQYCRPTTTTGGAA >Et_9A_061728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15873477:15878188:1 gene:Et_9A_061728 transcript:Et_9A_061728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPALPTVLRLRPASPLLPSSGPPPHARGPLRLTPAHSSPLRPARLLRPISAVEKTKDTAAAAEEAEVEGMPPEFYDEEWQARQRERTKEWQAYRQKEEAEEDRRTNEYREIGMRLKAYPQEEVRKARILVSSFIRAGGDIEEEIEKAAERGELTELVLMVIWNRLDIARRDDERDAIRSLDLLYRRIEAEILKSEATPAMRLLDELLHLHDGGDDDKWLKKCRKRMLEVFPREDPFTLVFPAGFNMEKKEGRIELPPQDDDVLLRVDFVKEVDELLKEVQDEQEKNKQQTGYDLESVATMLKQQEKLQVIRQVESLLELAGSLKW >Et_7A_051563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20372103:20377542:-1 gene:Et_7A_051563 transcript:Et_7A_051563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDESIHIVLFPFPMQGHFAAYLSLAGQLNRAASAIITLVSTPGNVAALRASASASSSLPFLRFHALPFAPEEHGLPAGAESLDALHARHFFDLFHATRSTSLCRPPPPQPRTGASSSVIADPFLSWTTSVVRRLGIGPTARSAARGNDAVDRERIAEAVETVMGDSAKGTEMRRHAKEAQELVLGAHSVGAKHVVLFPFPGQGHLAGFLAVARLLRRELPDATAVTVVCTPRNVAALRACSSSSEDNIGFHALPFAPAEHGLPADCESTSSLPVPEFIKLFEAFEALEPAFDAYVSGLRERGDEVCVVADVFVAWTVDVARRRGCAHAFFVSCGAFGTAVFHALWKRMPFAAANDGGDELLRLPELPEAGALHRTQLSPTFVRGDARWTAYMHGHLPRGYRTDAVLVNTAAEFEPAGLAMLRRALKVPVFPVGPLVRGASDDTATGDTDEPVGVLRWLDTQPPSSVLYVSFGSQNTIRANQMTELAAALEATGRPFVWAVRPPVGFDMNGAFHDEQWLPEGFEERARAGNRGLLVRGWAPQVRILAHAATGAFLSHCGWNSVLECLTRGVPLLGWPLAGEQFYNVKMLAEEWGVCVEVARGNLETSVVDRSAVVRAVETVMGDADESANIRRRVAEVREVLRKAWAEDGGSSRAALHEFFTAVQLS >Et_10B_004395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6063078:6065013:-1 gene:Et_10B_004395 transcript:Et_10B_004395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGIVFDGESAVLLVMATRRRTVILYAKPGDRRWGTVDAGVLGGDQNPRPFDGGVSLHGKFYVATRQADVLKVELAPQPHLVYVARLDGPDECTCANPVGTYLVPSLDDDADGDNDGMLLVFCYGFAEETYFFGVHAGSGTFTPPLGARAIGNRSIFLPSVTIRADISSPSSLLELCTNDVPKEKPWMYVDYLVAER >Et_1A_007477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3519771:3520604:-1 gene:Et_1A_007477 transcript:Et_1A_007477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLCGFLGGNNGGHREKKKVVQRRQVLTVELRVRMDCQRCEREVKKALSGMRGVHLVEVNRLRQKVTVTGEVDPHAVLRRAQSMGKKAEPWPQSPVVYTPAAVALYGIGAAQLQAHDGRWAPAAWYPRNVETAAIGAEQITNHQHVSDTTTTQRLHRHVTHGTLCVPCSE >Et_1B_010737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13481436:13485529:-1 gene:Et_1B_010737 transcript:Et_1B_010737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLTHLHRHSQRLLAAPAPAAVPSRTPAATTNLPFLLSHRLLSDDASPPAAAPPPPPPPAADVPNEELKKRLETYYGVDEEVELPSVAEAVLERKLADAHSETDDDLIEELRSKPLPEVQDRDFESDFEEMHDTDEELNDLYDTRPYVEKKITRDEFFNMDDTKWDSMIKEATEKGHLTNMKECEDILEDMLHWDKLLPEEIKQKVDVKFNELGDMCEQGELEPEQAYELFKEFEDQMVAECTELIEAQEAEMPTEADAVTELGSKKVVLDDPSGEGPVLRWESRIVFAPGGDAWHPKNRKVKLSVTVKELGLSRHAFRRLREVVGKRYNSGKDELTITSERFEHREENRKDCLRTLYALVKEARKADKLAEDARNAYVKARLKANVQFMERLKRKTEKLRVAA >Et_1A_008240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5531043:5532914:-1 gene:Et_1A_008240 transcript:Et_1A_008240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLLLAVSCSRPCLIASVSRPPHCKDRFLSPPVRRWPRLRLGVVCMAEMARVGGGASPEIGVSGEADAMLGEESSPGARRGAPLRVLVEAALNRMSKWLVGCSFTVAALWKHDVEIMWVLFGAVANSLLSHILKKILNHERPAPALRSDPGMPSSHAQSIFFAATVLVLSLFYWLGTNYLTMILGPATLSVATYLSWLRVSQRLHTLNQVIVGAAVGSAFGALWFVLWHSLVKEVYASSPLIRNAIILGSAVFCIGFIIYVICDWLKDE >Et_7B_053888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13040029:13044206:1 gene:Et_7B_053888 transcript:Et_7B_053888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNETRGAISEVIDEHERSGKDTCRSTTEVIDGARGQNVNIIGCKEHQRSTSKGQTTKIVVSEDEEASDDERYFPFTNLLARSRHRDGSIYRGMDLWWKKEYHVTNRNETRLEAMMFSDPTNCTIRDGFCRQHYARRMLQIFSLELAEICLDGGLVELYGYIAVRDGLDPLLNYVVNFSRDDPIIVEQGSLINVTGPKRGIELLGAILIEYDMRIKTGKQEEDDLQLIDGATLMDTEGSWDRPFMMRIPGDCGVIGINLSRINYAVEATIEVHISEVQSRFNLSLRCLTSGLDEEICLFDGVITQSRGLKRSVVAVVRNSLLDLKFEIGTPSPTTDKYRCCFKAKKHGNDIQNIKTYFALISVRVTWSTLPSHFPRPRD >Et_4B_038926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6018673:6022367:1 gene:Et_4B_038926 transcript:Et_4B_038926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETFTAELYINERIILTKTVQIRLEKALKFRERGPWNTESTNVNNDQKQKRKKNSHSAINRATAAYATLRFPPLRRHLLLSLPRNGPETTRPHRQWTPQAHRPKPPPPSPTVSGAPDGGATKTSSLLGLPPAVAAAAAASLVVLLVLAAAAAAAFFARRRGARPPSLSRVEHAPSSGSSHPASSSARKEKVVVDAEAGASSSDVASSSAAASSLESPVRRKVEVRVGGAAAGVEMGWGRWYELAELEAATGGFCAENVVGEGGYGTVYRGVLAGGEVVAVKDLFDHKGQAEKEFKVEVEAIGKVRHKHLVGLIGYCAEGPKRMLVYEFVENGNLEQWLHGDVGPVSPLTWEIRMKIAVGTAKGIAYLHEGLEPKVVHRDIKSSNILLDKKWNPKVSDFGMAKVLGAGSSYVTTRVMGTFGYVAPEYASTGMLNESSDIYSFGVLLMELISGRSPVDYNRPTGEVNLVEWFKGMVGSRRVEDLVDPRIAAAPPARVLNRALLVCLRCIDSDAHKRPKMGQIVHMLEGDEFPFRMVRQLASMSSFTDLPLVHVTVGLEVTSLVLRDNAGAPLAAGVAPDVNGNAAVAPVGERRGRGHGQVDVEVSHPRARHGT >Et_1B_010332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9124725:9125529:1 gene:Et_1B_010332 transcript:Et_1B_010332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLKCALRGFDLRALLILLIGVPLLIFLIYVHGQKVTYFLRPIWEKPPKPFNVLPHYYHENVTMDNLCKLHGWKVRETPRRVFDAVLFSNELDILDIRWHELSPYVSEFVLLESNSTFTGIKKDLHYKENRKKFEFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAGITDDDLLIMSDVDEIPSGHTINLTKVGELRYTDTELERRGMRISGKQMTFWLIQGGTAASASVT >Et_3A_026276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6189357:6193591:-1 gene:Et_3A_026276 transcript:Et_3A_026276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPPSHATAAAAAYGCCQRLLLASTTVRPSRSGCASLALALRSLPLVGHSRFACRATNETEPEHNEDDEEKEKLGDDASSTSVDNVEEGNGSAESDSNIDNKKDETTNAEPLSSSNTVRNPDVDSTPTSDTQENMEVVDVASGSPLPGMKQQLDDSIRIPKATIDILKDQVFGFDTFFVTSQEPYEGGVLFKGNLRGKPAKSYEKITNRLQNKFGDEYKLFLLVNPEDEKPVAVVIPKQTLQPETTAVPEWFAAAAFGLVTIFTLLLRNVPVLQENLLSTFDNLELLKDGLSGALVTGLIIGVHEIGHILVARDSGIKLGVPYFVPSWQLLLGDALKEGTQLSVNPLVLWAWAGLLINAINSIPAGELDGGRIAFALWGRKISYRLSSVAIGLLGISALFNDVAFYWVVLIFFLQRGPIAPLSDEITDPENNYITIGIAVLLLGLLICLPYPFPFDPSQLSDLDFDL >Et_5A_042863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5843440:5843895:-1 gene:Et_5A_042863 transcript:Et_5A_042863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVAKRLARKETKTVVAQRNSSRLAAKDAGTFELVSSKAERLRKLRDDLTGCSKELKKQVKKAGLLKKTKEPLSKRDLRNLASAAGLNAKIAGLGKKELSVPNV >Et_4B_038100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26231812:26234325:-1 gene:Et_4B_038100 transcript:Et_4B_038100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QRPKPTLRSPVGCRPRTPDQSVAHISPVHSQSGIASCSCSSTRPSPQPLTRSPTGSSTSSLSDPSPPPPQPRRRRRRSTMAALLARQAAQALRARQTAQLGPAASAMQGHLRTYMNAGTPKRFKEDEEKEQLAKEIAKDWNAVFERSINTLFLTEMVRGLMLTLKYFFERKVTINYPFEKGPLSPRFRGEHALRRYESGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >Et_4B_038795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4933674:4937854:-1 gene:Et_4B_038795 transcript:Et_4B_038795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVAIAVFSALGFAFYVFFVPFVGTRAFQIVVMAIYTPLITCVVLLYVWCAATNPGDPGIFISAKDSKLDKAEKHSHISSEHGISYGGKTLSEDFSAVDNSEKLSSMLRRSDSPSRPRFSEILCLICVPFSRLCKRCIHSDSQPSGQNIGEEGMFFCSLCEAEWLNNCIGKRNYKGFFFLMASAVILWLTGVLVLILCVLKRGEFSRQIVTKLGSSFSTVAFVIVVATCTILAMVATIPLAQLLCFHVLLIKKGISTYDYIIALREQEDQLEVPGHQSPQMSIISSVTGFSTTSSFGPLHRGSWCTPPRLFLEDQFDVIPPDVGVSQNPGSKKAEEGVRRKPGTVKISPWTLARLNAEERRPEQRGHFSAELSLDPIATLSASGTESNFSDAGMEISGSLAPLQLEARSAFQPSTTASIRNVVSSPESSFDSPDLHPFRISSSTADEMQGVMPHPAHKGIEFTRSSSDGYEASGGEDSDRIPSRIVHRSSNWANAILHSSQGGPSADMHLPSSEGMFTNMKPSY >Et_3B_028920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20420801:20439611:1 gene:Et_3B_028920 transcript:Et_3B_028920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFWDLVGFRKPRNRNRRSHKKMNQIRGIGNIAKRWRELNGVNYWKGLLNPLDIDLRRNIINYGELSQAAYTGLNKERRSRYAGSCLFNRKDFLSRVDVSNPGLYEITKLIYAMCTVSLPDGFMVKSLSKAAWSRQSNWMGFVAVATDEGKEVLGRRDVVVAWRGTIRMVEWMDDLDISLVPASEIVPPGSAGNPCVHGGWLSVYTSADPGSQYNKESARYQVLKEIKRLQGLYENEETSITITGHSLGAALATINAMDIVSNGYSKSCPVSVFVFGSPRVGNPDFQEVFDSTTDLRLLRVRNSPDVVPKWPKLGYSDVGTELMIDTRESPYLKVPGNPLTWHDMECYMHGIAGSQGSNGGFNLVLDRDIALVNKHEDALKNEYSIPSSWWVVRNKGMVKGKDGQLAMFSGDMSERWRELHGSDHWEGLLDPLDVDLRRCLITYGEMIMATYEAFIGEARSPNAGMCRYRRADLFRRVDVSNPGWYAATRYIYATACADVHGKVLLRPFCRQGRARECNWMGYVAVATDEGAAALGRRDIVVAWRGTQRKLEWVADMKLAPTSAAGILGPEGADGSDPSVHRGYLSLYTSEDEESKLSKQSARMQVLTEIVRLMDKYRDEETSITVIGHSLGATLATLNAVDIVANACNKSPYSDVRAPVTAVVFGSPRTGDRDFRDIFHRLPGLRMLRVRNQPDRIPHYPPVGYADVGVELLIDTRRSPFLKPHGNESQSHDLEVHLHGVAGWQGEAGEFELVVDRDVALVNKYDDCLADEYPVPVAWRVHHDKNMVKGPDGRWVLEDRDPDYDEEEEADDFSPALGCYTRIFSFGDSLTDTGNFVRLTARSPSLYGAPPYGKTFFGRPTGRASDGRLVIDFIAQEFGLANVTAIQAGTAPGDFQHGANFAIISATANNGSFFTGNGMDINPYSLDTQMLWFRSHLRSQQQNASDVLGGALVALGEIGGNDYNFAFSSGVSRDKVRAFVPAVVDKLGAAVEELIGMGARALVVPGNLPFGCAPLYLRRFGGAAARAAWDYDPRTGCLAWFNRFAEYHNRLLNARLDAIRRRHPDVTIVYADWYGAMMSIFQAPGKLGTPGCSVCDDPSTYGSWDGTHPTEAVYKVIADGVLHGPYASPPTMETELSALAWATFLTPAFAVYARFASRRLRPGLPRFAALFPTFPFFVYLPFMFNALHLRLLSSFFHTWLAINKLVLLALDKGPLHPTLPLLPFVLCAGLPIKVRLGKKGENKSSSSPPVAEFFRPCARNLLLLICVAAVYPYTGRLPHYALHYLYCVQIFLTWDLVLSSSALAAAALLGASLERPFSAPLASASLNDFWGRQWNLMAVDLLRASAYDPVRARWGRDAGVLAAFLMSGALHELLYFYMTLRWPNGEMLRFFVLHAVFQIAERRARKAGLWRPPKLVAYLLISSFMVITISDMFFGPFVRAGTEARMREEASAMLELVWSVAKYLLPTTLPGAVDARRSWAELSGKYNWDGLLDPLDVDLRRTIIRYGELAQATSDAFIGDPASPHAGASRYAPPAFLRKAQVPGDPDAYRVTRFVYATSTSARVHADAFMTRPAPPGAWSTESNWMGYVAVATDRGAAALGRRDIVVAWRGTKRAVEWADDLDITLVPATGVVGPGPGWSQPSVHRGFLSVYASKNSTSWFNKQSAREQVLAEIRRLLDAYKGENCSITLTGHSLGAALAALTAIDVVTNGFNVRGPPSSRDTVPVTAIVFGSPRVGDDQWKKAFDSTPGARLLRVRNAPDIVPTILPSAFYKDVGAELLVDTLKSPYLRKPGVGPAAWHNLECYLHGVAGTQGAGDGAGFSLAVDRDLALVNKEVDALKDEYPVPAAWWAERNKGMVKDASGRWVLQDHEEGNLAM >Et_3B_027727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16363854:16365189:-1 gene:Et_3B_027727 transcript:Et_3B_027727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFASMLILSVSGATLIFGMYSKELKSSLGYDQRTLNTLAFFKDLGYNVGILAGLVNEVTPPWFGLAVGAALNLGGYLMIYLAVEGRTARPPVWLMCLYICVGTNSQSFTNTCALVTAVKNFPESRGVVLGLLKSFTGISGAIFAQIYLAIYGDDAKSLVLLIAWLPVVVSALFGRAVRIMPYRSNGGTSAKATNQGFYKFLYISVALAAYLLVMIVVQKQEHRILKEREETLREPPTLTVEEPPAAALQQIEEKEPALPSSSCCLAPATSSSPSACRTRSTWRPCSRASASARCGRWCTPSSPRCSA >Et_3B_029661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26887854:26901335:-1 gene:Et_3B_029661 transcript:Et_3B_029661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKEVVAGSGSGLKDFPYTIGEPYTSAWGSWTHHRGTSKVRHPNILSFLHSTEAEVPDGPAMKHTIYIVTEPVVPLSEKLKELNLGGTQRDEYYAWGLHQITKAVSFLNNDCKLVHGNVCLASVVVTQTLDWKLHAFDVLSEFDANNEASGCLIYELFSGAKLARTEDLRNTASIPKSLLPDYQRLLSSQPTRRLNPSKLIDNSEFFQNKLVETIQFMEVLNLKDSVEKDSFFRKLPNVAEQLPREIVLKKLLPVLASALEFGSAAAPALTVLLKMGSWLPADQFSAKVLPTIVKLFASNDRAIRVSLLQHIDQFGESLTAQTVDEQVFPHVATGFSDTSSLLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPGIRTNTTILLGNIANYMNDGTRKRVLINAFTVRALRDTFPPARAAGIMALSVTSSYYDMTEIATRILPNVVVLTFDPDSDVRTKAFQATDQFLQIARQHHEKTNCSKQTERNKVQAGSEFLWKFKSKHNKKFIGRLSPLSVKGARMEANPSQQAAVVAVVGLLPFAAGSSHPRTPFGATLKPPPHLRVRDSRPMPAHDRLGSWCGAARDGSWQPQSRWREQRGRRRRGRRSERELVKAGWRPCSNAGAAGASLSAHVKWWSSTACIDGSLEEELRRRCLAWSVLRRCRCVLWRWCW >Et_9B_065585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7479128:7482554:-1 gene:Et_9B_065585 transcript:Et_9B_065585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHGGEESSFMSEVKRQLRLAWPLVVGCLLQKLIQTISIMFAGHLGELALASASLATSFAGVTGFSLLTGMASSLDTLCGQAFGAEQPHLLGVYKQRAMLVLALVSVPVAVVWAHTGEILVLFRQDPEIAAGAGSYTRWMIPALFLFGQLQCHVRFLQAQNVVVPVMLSSSATVVVHVAVCWVLVRSLGLGVNGAALANAVSNLFNMSYLAVYIRLSPSCKATWTGFSREAFHGIPGFLKLAVPSALMVCFNTYSFAFTVPMALGAAASIRVSNELGAGRVQGARIATRVVMLSAFSLGISEGLVMVLARGVLGYAFSSVEEVVLYTARLMPILAVCFLFDSLQCVLSGVVRGCGKQKIGAFINLVAYYIVGTPAASILAFIGHLRGVGLLFGILYGLMAHLLLLLTITLCANWNKEALKANDRVPRTTIPVEMRTSSCTKFRKRVALRLERTTLVNFDALYLPNDFDTPATLVSPRDSRNSLKLLYHPSTALTHHPTLAYVATFK >Et_2A_014967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23870598:23870912:-1 gene:Et_2A_014967 transcript:Et_2A_014967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGGKGVVAAAVLVLLVAVTLQADAAAARRALSGPKPNPCTNDPNNPGQKCHSPGQQKAAAVSVEKASSGPNPCTFNPNDPTKGQCHPPLTAP >Et_10A_001695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:716600:722407:-1 gene:Et_10A_001695 transcript:Et_10A_001695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSVGFHSTLMVIDDKAVGSIRLSQTQTLARFGTSSYLPPFLLFKHLRVLTVEMSQKTTLTVLDLTGICHLFFLKHLKIIAYNHSDVLLPHKLGGLQQLETFQMELGFSLQKKMELGRNTSSQPPSQLPSDIIHMSWLLHLIVPWWIKIPDGFENMKSLRTLRSYNLRLYSLDNTKALRELTNLTDLTVQCLPKNSLSSHEKAARCMEVLRTCIEKLSNLKYPYMEVFNPNMDLDVRGFVPASLNRTEKFHANLYLTGVPDWIPGLSNLYDLELRVREVLEDEFGMLSQLPSLAHLYLRIEGVPRDNIIFRGNGFPVLKHFTSPPPLCEFIEYVDTEQTSEDIAHVYRVAQQFNANEWALYGAAPVGMEHLSGLKEVHVYIGGHRAYVSNRKGAESALRTAIDMHPGRPTAKIDCCVNGYIPFDDDISFDCAVSDDEEDERKDQKDAVNCNT >Et_4B_037123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1510788:1513875:1 gene:Et_4B_037123 transcript:Et_4B_037123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSLEESRSLRATKQKYRQTPSAIADRISPAPTSRNTAAAAMPKKMGINSKAEAARARRGAAEADRRDREARAQEEAYWQAAEGPKSRSARRREEDAEKRAEAAARRAENRRLAELEQQQLAAAARRPDRKAARVGGPAVPKVTEAELQRRREEERLRLQREAEAAKKRQARTTEQEEYERVVLVANTNRDDSIIEARSVEDAIAKMFIAAEPALPPDRHPERRLKVSYKAFEEAELAKLKEEKPGLTLHQYKDMIWKLWKKSPDNPLNQISRNTRRNQTRGRIHPAIVSFCGGSPPAEPDCRCSNSCTSSASTFSAGSHVASSMSTHLTRYSHLSSPSTACRLSTTASATAYSSPASKSLTRSSATHAAFTHSLSPPSRHSTRYSRHLPSPRPSTTFSTSAHSYTAFSISLAAVSSASRCCSNAGLPLGILPSTSWTSSSGVRPCPSGASGSAPSSSRTRTAAARPYPTAMCSGVSPPPARSLWPTSAPAAASARRHSSEPSPAAKCIAVRPCASSASGSRRSASSASSATIASASAFLAAFHHGVSYSATTSAAMAPAGTHDGSCPSFHSTRYSVATAVVAVPSSANTGLVTVRLDTMRSTSPYASSSSLSLFAGSLGFD >Et_3A_024531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21738946:21741914:1 gene:Et_3A_024531 transcript:Et_3A_024531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPGDHSSSLALAVWWSLLLVLVGSSSPALGCYTRIFSFGDSLTDTGNFVRLTARSPSLYGAPPYGKTFFGRPTGRASDGRLVIDFIAQEFGLANVTAIQAGTAPGDFQHGANFAIISATANNGSFFTGNGMDINPYSLDTQMLWFRSHLRSQQQNGSDVLGGALVALGEIGGNDYNFAFSSGVAREKVRAFVPAVVDKLGAAVEELIGMGARALVVPGNLPFGCAPLYLRRFGGAAARAAWDYDPRTGCLAWFNRFAEYHNRLLNARLDAIRRRHPDVTIVYADWYGAMMSIFQAPGQLVVGWTGLYIHTNVLLLRTGWYRVSLGYSSLSPTAILRPTPDVVLSPPFDAGITNALLSCCGNTTVPCGRPGCSVCDDPSTYGSWDGTHPTEAVYKVIADGVLHGPYASPVPLAKTCTPS >Et_8A_056667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14860399:14861309:1 gene:Et_8A_056667 transcript:Et_8A_056667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLKKHIRLILPRKLNILMIPILLVALFVLNVMNIATLSYKLYYVLSMISVGVHLCIVIFMGSNILSPEIFPTKACAMLSTEYMMSNSRYYSLNVYSYGVPLQPIVEFFSYGKSIRK >Et_2A_015743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17471720:17472379:-1 gene:Et_2A_015743 transcript:Et_2A_015743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding INEYEKNYGCFGEWINRIGRYDCRCPQETQGNYTIPNSCIPIAMDKSFSKGSIIRIAVGNGVGCVLLVLATMYITQTLKYMRATKLKEMYFKQNRGQLLQQ >Et_2A_016283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22968296:22969451:-1 gene:Et_2A_016283 transcript:Et_2A_016283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CGQLLEEELLEAVHAVGLQRHGHRHAAPLPGAAEEVHEPRVVHERGRQKRRLAPPEVGVVRRHPEPADHAQVRAGDPAPRHGAYVRLLQVVHERPRVRVAVPRRRADPALAYSGLAAAAVVVVLVPTTDAAALVGVVDGDARARRGVRSRDGGTRASPSDAPRAMPAHVRMKWKALRPSMTKWCIAVPSATPPHRKKVTCMPIETRTHQAHVRATNLKLEQRGSGVGGRRREALELVERGRGPRDDEERTLGVALDLGAAVGALAEASGERVERHQCPGQRVSHDVGREEQLSSQRCLPIVVDGAAEEVDRRGVPVPRGEVEIGQPQRAARRGLARRNQLRRDHLDGHGILRGACSK >Et_3B_031619.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6163066:6164562:1 gene:Et_3B_031619 transcript:Et_3B_031619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLQRATRKKKRGGTIAQQQLPDEIVWEILSLLPVKPLHRFKSVCKAWRATIADPAFIRAHLRRRSAANRDRDEYPAFLISPNNNNNTAPDSDSSGQTAIRFYRWKPPPPQQQQGASAAAEVEHLHTKDFGNDYGGFKRVRALAHCDGLVLAPTDTALHLFNPATRDALTLPDSDLNNLRMSGSLCCYCAGLGLDPRSGMYKVVQAFCRPVEEVPAYYLLEHPDYTEDTVPAMGMEVFTVAGYGGEWREIEDDLPYPIKRHQTGVSVNGFIFWRIDRRTRQRRVKQRRPSPPPPPRGVLCLSLADEEFSVTKLPDSLDPAFDDAFVLDELRGRELCLSARTAPEAMTIWTMSIEDGMTSPSSSPWERRYAIRVSDHLFRPMAILHGGSGIVLRREEGGDSRTLHRYDLVTSELTTLCRMDRVRYQQQGRGRGARKWNKSLASFDVRPYTESLVRVTREPRPLVHPDKAGPCLIDILRAELLWTFRNGTLAVASRSRQ >Et_1B_010629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12288359:12290154:1 gene:Et_1B_010629 transcript:Et_1B_010629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASRKRIVASDNSNGGDEDRLSELPDALIHTILTFLKARQVVQTSVLSTRWRHLWRSVPCLDIDQSEFTENEGEKFEDFADTLLAHRNGLLLDTFQLRIRGNITEHRGATRWIRRGLKCSPKELYFYDRVCCYVNAPMPKLDSNASFLRKLHLYNVQLYDTSSEQISSACALLEVLELKICRLSFQEITLPTLKNLVVDDCILDFSEDSDDDGPTDIDDELRIMAPHLSHLHVVLNVNDEKFSVGAMPSLIKATISLKYNNSEKMLSNQWQVVSNLFNATSLELSGFQSMVMPQAELVGFPVFKNLRSLLLYKCDLSDDFELLALFLLKSPELEKLTVHCCKFREGVSETVNLIDAQCVKLKSTKIIYGYGDCSYELINILLNMELPTNTITLTEASSDHQPGYY >Et_3A_026800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21222020:21223125:1 gene:Et_3A_026800 transcript:Et_3A_026800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGITKALRFHGSQLRHTVLQHMNKGIFSWATLISRIQSESPTVIIPHMGLENITVREILKAKGEAEAGAVYWCNTSHLVHEAIKHMTAHNVGALVVLKAGDEKHLAGIVTERDFARKVLLPGRPSKETRVQDIMTEEDKLITVSSSTSILRAMELMTDKHIRHVPVFDEKVVGMISIGDVVRAIVDQQHQEVKQLKKYIRGDYY >Et_2B_022316.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17793026:17794969:1 gene:Et_2B_022316 transcript:Et_2B_022316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDSDCPVQTQMAVAVLDRSFSSEYPGGSRTEARPLSWKRVFVQTDNGSVLGIELERGENAQTVKKRLQLALNVPTDESSLTFGDMVLNNDLSGIRHDSPLLLKRNQMHRSSSTPCLSPTGKDMWKRDRSGPIEILGCSSPSSRMKQLAKDVIKAIRSGVDPVAVNSGMGGAYYFKNIWGERVAIVKPTDEEPFAPNNPKGFVGKTLGQPGLKRSVRVGETGFREVAAYLLDHNHFANVPPTMLVKVTHTVFNVNDCVGCKTKVFGNKSQAVSKIASLQQFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVKKLGPGADNFGEQTELIPIDHGLCLPECLEDPYFEWIHWPQASIPFSEEELEYIANLDPVKDVEMLRMELPMIREACLRVLVLSTIFLKEAAAYGLCLSEIGEMMSRQFTGKEEEPSELELICMEARKWVEEREFFLPDEAGVENDDDDFTQFPLDSEDESDEFEAPVFSKFGTMKTSSRNPLSKLDECDEEDENEDDTTKEDSDTLSSASPDQTPSICKISSSLKGLGILGKAKSYNSGIPKVAGKTNYSGKASEHQSGSRSANELLPPSASFVKLSDMGPHEWSAFLEKFQELLPSAFRARKQAAGAGPRPLQRLGTSCQF >Et_6A_046081.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:22215078:22215092:1 gene:Et_6A_046081 transcript:Et_6A_046081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQ >Et_4A_035426.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24363858:24365237:1 gene:Et_4A_035426 transcript:Et_4A_035426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSSTMHIVIFPWLAFGHLLPCLELAERLAARGHRVSFVSTPRNLARLPPVRPALAPLVDLVAMPLPHVDGLPDGAESTSDVPFDKFELHRKAFDGLAAPFSAFLDATCADGMKPDWVVADFIHHWVADASQARNVPSAMLVPCAAGVAASAGRPADDSHAEHREAIAQSMSAAPKFEADQAAKDFATESASGDSVIGRFVQTLTRCKFVAMRSCPELEPDAFPLLTRLYGKPAVPLGLLPPQPDGTRGVSNNTDDDAIVQWLDAQPAKSVVYVALGSEAPLSIEPLRELAHGLELAGTRFLWALRTPVGVHEGGILPDGFVERTGERGLVTTRWVPQISVLAHGAVGAFLTHCGWGSIVEGLRFGHPLIMLPIFGDQGPNSRFMKERKVGVLVSRNNVDGSFDRDGVAGAVRAVAVAAEGRVFASNARKLQEIVADRESDERCIDGFIQHLRSCAE >Et_4A_032006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11671116:11672057:-1 gene:Et_4A_032006 transcript:Et_4A_032006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTPTQWSFHLPLPEGVTGAESLAFDRRGQGPYAGVSDGRVLNTASEVPSQDTESMCGRPLGLQFYAKTGDLYIADAYMGLMKVGPDGGEAEVLATQADGAPFRFVNGLDVDQATGDVTEVMMNADSTGRLLNGDRTHVVVAHTVPCQAFRFWIKGDKAGQYELMADLPGYPDNVRRDAIGVRLNKDGVEVEELTAAKGVTLSDVSEKDGQLWLGSVELDYVGLVH >Et_5A_042927.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:7014709:7015734:1 gene:Et_5A_042927 transcript:Et_5A_042927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGEQQQQQAEGDAPAPAPAVNFWGEHPATEAEFYAAHGVEGESSYFTVPGGDGDGERRLFTRAWRPRAPARPRALVFMVHGYGNDISWTFQSTAVFLARSGFACFAADLPGHGRSHGLRAFVPDLDAAVADLLAFFRAVRARGEHADLPCFLFGESMGGAICLLIHLRTPPEEWAGAVLVAPMCRISDRIRPPWPLPEILTFVARFAPTAPIVPTADLIEKSVKVAAKRVVAARNPVRYNGRPRLGTVTELLRATDELGARLGEVTVPFLVVHGSADEVTDPDISRALYDAAASKDKTIKIYDGMLHSLLFGEPEENIKRVRGDIIAWLNERCTPAATP >Et_7B_055281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:912076:913488:-1 gene:Et_7B_055281 transcript:Et_7B_055281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSTTGSTLAPPARSPLSPARGPRLPATLRLSPAHAASARCCVAPAAPGRPAPPRTRVRCCAAVKFIGQSEFAAEVLESELPVLVDFVADWCGPCRLIAPIVEWASEEYAGRLKIVKIDHDANPQLIEEYKVFGLPTLILFKNGQEVPGSRREGAMIKDKFKQYLEPLLTASTVS >Et_4B_037817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23738525:23741380:1 gene:Et_4B_037817 transcript:Et_4B_037817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSARCSNHSLFSLCAREPAVSRFGDCSCPRITCSTLCLTGHSLQIAVEGCMHGELDKVYDTLRRLEEAEGIKIDLLLCCGDFQAVRNEADLQCLNVPQKFRSMNSFWKYYSGQAVAPYPTIFIGTMEDGQHLTFTFWGLLALLSLERIGHYERPPYNEDTIRSVYHVRHYDVLKIMHVKEPLDIFLSHDWPLGITEYGDWQKLVRVKKHFEEEVNNRTLGSKPAAEILDKLKPPYWFSAHLHCKFPAIIQHGEGGPTTKFLALDKCLPRRDFLQVIDIPSSPGPYEIQYDEEWLAITRKFNHVFPLTQMRFTMRGEQLDTEEDRKWVRSKLNTRGAKPFDFVQTAPSFDPSPRVSNHSIPVPCRNPQTESFLQLLGLPYLLDSSNSDGVGADRNESSSQPGYMPDDDIELPDDDEDAVEDDE >Et_3B_030296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32063347:32071560:-1 gene:Et_3B_030296 transcript:Et_3B_030296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLRPPPQPATAAAATAASLASFLPSPSFRRLLPLPSWRPPPRRRLSTTVAFSPNGSGSRLHSAERYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEMGKIADRCYLQKRVLNSSKGPAVHALRAQTDKREYTIEMKNIVESTENLFIREAMATEVLIGNNDSVEGVRTFFGMDFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQHFGFETDRLKTGTPPRIDRRTVDFSGLEAQHGDEEVGWFSFDPEVHIEREQMCCYLTRTTKETHQIVRDNLDETPTYGGWVEAKGPRYCPAIEDKIVRFKDKESHQVFLEPEGRNVPELYLQGFSTGLPERLQLSLVRTLPGLENCLMLRPAYAGIISGINAARHSDGKSLIILERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRADNADSRGEFAAEVTAVSNQPVKDSSTLEAILKKPHVQYKLLDKHGYGNENLSRIEKECVEIDIKYEGFIARQQSQLQQIINQEHRKLPEDLDYHSMTNLSLEAREKLSKVRPQTVGQASRIGGVSPADMTVLLIWMESNRRMANHRRRQGQLRPAAGNADGSSEEVVHASTAWAAKPTRREWWDSIRCNFLLHLGDPFLQPTIECLNIRSLSADPLVEAASFFSQKQSFSRVDERLPAPFPLPALDEAEVLALLLDALDFLPCDLGRQDDVDTVRPRGSTTLEERSAKNRDELVSFLHLHIAENSNQTN >Et_7A_053036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5304501:5305209:1 gene:Et_7A_053036 transcript:Et_7A_053036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALLKLRSLHCESSYDERVRALLDFTIYLDISDQVKFAWKIQRDMAERGHSHESIKASIEARKADFDAYIDPQKQYADAVIEVLQTELIPDDNESEVFRVKLIMKEGVKHFSPVYLDVDEWSTPSWIPRGIKFACYHDTYFGHKVIQLFTATISPFPTRCSSCMPCDLTSIV >Et_4A_034582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4524390:4530136:1 gene:Et_4A_034582 transcript:Et_4A_034582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGPLPLGVHVGGGGSGSGMGSAVVKDEEFACLEPRFLNEAEAEQDGARRRLGLRQGLIRGGERGGDVVTWEGLHNFSFDVAAEDCACLEPATGEAEAEEEHECTDLEPFFFDEAAAVAEHAVAEAKRKNKERMEAREELRDQKWKAHREALNRIRGRDRLGRCFTRFYLADLSTFDLDEEYTENLIETHGTVCEQDKKLFRPCNSVNVLSVKIVSLGLDFSFPIAVCGTVIARDCLDLKCVYLFRRDANDCQLINSADESLILTGPKRGLALIDDMYFEIDLKIKRGEGITELSKGLRTLMGVPHIFVQRAVEATFAIEVVQGEFFGEITAYTTSIQDRLVLHDSKVVDDPVPVAVCLQEKLMVTVAARTGASITERTIEFTPGVNGGGQGEITCGSVEIVVKVTWSIISHGHG >Et_4B_036336.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:18557636:18557920:-1 gene:Et_4B_036336 transcript:Et_4B_036336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAASSSTRSLATTCLFALLLAGFLAASLPAADARRLLDDMAMPPALAAASPAVAPGPESGADHARRILFEGRGLLDGGLRLAGRLLLGLGL >Et_4A_032856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14747892:14754098:1 gene:Et_4A_032856 transcript:Et_4A_032856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKAVVKETLSTCPARQGCYWNEHANATIRKMPVLYVAELRERILQLAGHDCHEEEETSQTKLQEDLNMCKRDTLIELCHSFDMTGSRANRKDELVSFLMKFLKGDCSRVHVTIPVIKVTDLREQILQLCEPSCHEEEEKSQMELLERLNVCKRDTLIELCRLFHIGGSRANRKEELVSFLMKFVKDHSSLTDRTNHDKKIKKRRHMNEEENLSSGKPLKKKRREGTGLETQEEKETIGQKDNRYLFADYKNGKSPNEEANLEPTARIGGFLLENVDAVTQNKVQVHTNEKPLTTSASAKVAEVDSTNVKASKKKNWSVTKKKATPKKDHKVKSCGKKGSKGDLNPRKLAMKPSRDELREAIVVILDATDFATMTFGDVVKEVDKYFGKDLFERKPLVRSLIEEELFRLSEEAEKKELEEEEAAEAEAKAEQAAKEMAQVGAIETGIDKRNKPKAGQNCKTKDGAKNANGSFNEKDVKGVSSVNRNSSDADEGSQIVKVDADTKITDKESTKDGNCEKTSPNVIDDFDVQGYRNGEVATVNVKNNNVDTLEGSKDGRPKEACNGENDDTKYDGTEGGRSENGGNNAKGINGCETEEPQIRGDDEHVNHAGDGKAQKSGNESHSNVAIRGDNDAKGKEGNLHAEKGPRDYGGDGKAEDADHTASTKVDVDSGKNGAAENGKMGDDVRADSNGIAREIQT >Et_10B_002829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12065159:12075841:1 gene:Et_10B_002829 transcript:Et_10B_002829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SCPQQGLLAAEPVASPYDTAWVAMVPAPGSPGAPRFPQCVEWILQNQHGDGSWGLGHLHPTLAMDALSSTLACVLALKKWGVGENHVRKGLRFIGENMSCLTDGNRHAPVGFNIIFPGMLRLGMDMGLELPLIQEDIDSLFRLRNMELTRKAFMAYVAEGLGDLQDWNQVLPYQRKNGSIFNSPSTTAALAIHQYNNRAIGYLDFIVSKFGSSVPTAYPMNVFSRLCTVDCLEEMGISQSFVYEIKSILDMVYRLWLHNDEEIVLDMATSAKAFRLLRMHGYDVSTDVLAQFTEESSFQNTIQGYLNDSRTLIELYKASQVQILEEEAILEKMGSWSSKILNQQLHSNNVSRWVDPDEVKHALKFPFYSTLDRLEHRRNIKHFKTDGCFHMLKTNLINQVSKHRIRSFSANEEIAELAIDGFHSSQSVYQEELLHMKSWMNQTKQDELKFARLMPATTLFTAAAIMFSPELSEARVAWAKSCVLATVMDDFFDVEGSIEELENLVTLLEKWDAHAEIGFCSEVVEIIFLAIYNTSKQLGEKAAALQKRSIEHHIAETMMTESKWSRSGYVPSMEEYMRVAEVTIALGPIVLIPLYFIGPELPEKVIRGPEYNELLRHVNICGRLLNDLQTHKKESSQGKINSVTLLSLRNGGSVSDIEVAEAEARKTIEASRRELLRLVVTETGSVVPTPCKQLFLNKYKVLHFCYWDQDGYFSPEQMMSAVNAVLHDPL >Et_1B_011306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2036505:2040384:-1 gene:Et_1B_011306 transcript:Et_1B_011306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FIDLLHEAPLSGHREPRSVVGGTLYCILLACFAAVAISAPWISLFIPHMIPFLPPLLCSANVILLVLTGVFQQYWVHQVRKVRLQGYYDFSQKLKHIARLPFATIAYGTALMLLIMVWQPLLHIFSISLLLRIAIVVEVACAGCFMSLYIWHIHKYNSMDGRPDILRSLYSALQPSSTLEDRRYFDGRLSDQQMALLQYQRENIHYLSEEVLRLQECLSKYQRTDAGISPQVDLAHLLASRDQELRALTAEMNQVHSELQLARGLIDEKDSEIQRIRVSNNQYIEENDRLRAILGEWSARAAKLERALESERVSNIELRKNIAKFKGHLFKEQET >Et_5B_043875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1772875:1777697:-1 gene:Et_5B_043875 transcript:Et_5B_043875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGDKVVLFGSFTEDEAKLFQGQPLTSPTKSVNKPVELPEIQFGSLDLSVLSFPKASEPIIKGPVLPAKSTNGEARVVTKDTACSNKQETVRSSLPNGGPLLANGFSSANVSPTNGKVVEDVKKAEALLPSGVPAKNIINSAQYAASEVGKDDIKSSQRSNLESKLAENGSLVVDMPSAAAPEVKAVTSLNKNVSPNTPLLPHGLRNTGNICFLNATLQALLSQEDAQEFLSFALDRMHDELLKLNGNGSNSKEGMVVSSVDDDAWETVGRKNKSAIVRTQSFAPSELSAIFGGQLQSVAGLVTARKSFKIHTLSKIMILHLKRFSYGNHGSTKLYKPLRFPLQLDLNRDLLTSPSSEGRTYELVSTITHHGRDPYRGHYTAHAKHASGQWLRFDDDTVTPVSVNTVLHDQAYVLFYKQIWWGEDTCLVVPMCPVFLCRVADTLMLRAASESV >Et_1B_013268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6969226:6984660:1 gene:Et_1B_013268 transcript:Et_1B_013268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGARGVAGDEIEKHELAPGDMESDPAEAREFERVPPWRDQLTLRGAVAALLVGFIFTVIVMKIALTTGLVPTLNVSAALLVFLALRGWTRMLERLGFAHRPFTRQENTVVETCAVACYTIAFGGGFGSTLLGLDKTTYELAGKSPANGPGTYKNPGFGWMAGFLAAISFAGLLSLIPLRKVLVIDYKLTYPSGTATAVLINGFHTKQGDKNAKMQVRGFLRYFGFSFLWSFFQWFYTGGDVCGFVQFPTFGLKAWKQTFFFDFSMTYIGAGMICSHLVNISTLLGAILSWGLLWPLISKQKGDWYPANIPESSMKSLYGYKAFLCIALIMGDGTYHFFKVFGVTVRSMHERLSRKRASNRVANGDGTVALEDLQRDEVFNEGSIPAWAAYLGYALLTIISVITIPLMFRQIKWYYVIVAYENTVIETCAVACFDIASAGGFGSTLLGLDKKSYELAENSPANAPGSYKDPAFGWMAALLLAVSFAGLLNLIPLRKALVIDYKLTYPSGTATAVLINGFHTKQGDKNAKLQIRGFLKYFGLSFVWSFFQWFYTGGDVCGFVQFPTFGLKAWRQTFFFDFSLTYVGAGMLCSHLVNLSTLLGAIISWGIMWPLLSKQKGNWYPANIPSGSMKSLYGYKAFICVALIMGDGIYQFIKVLGISAKSLRERLNQKNVSNRDEDTMVSVDDLKRDEVFNKDSVPAWTAYAGYAFLTVIAAITIPFMFRQVKWYYIIIAYGIAPDNGVIAGLVGCEIVKQLVQVSADLMHDFKTAHLTLTSPRSMFVGQVIGTAMGCIISPLTFMLFYKAFDIGNPDGYWKAPHALIFRNMAILGVEGFSALPKHCLELSAVFFTFAVLINITKDLMPHRYRKHVPLPMAMAVPFLVGANFAIAMCAGSLIVFAWHKINSKKATLLVPAVASGFICGDGEATDLHEVHARKLVVQVSVFSLVEDWTDNDGKGGDDD >Et_9A_062217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20826212:20830281:1 gene:Et_9A_062217 transcript:Et_9A_062217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMRSLVPAAALLCLLALQLQVASPSSDAPAGCRLDYGSLATLSCEETTPEKPTGSCCSALLYAVDAAPVRNADKGLCCLCSYLVLKRPRFDLAGSRLPRQGRRFRGALAAAASWRSCCQLRRPVWPRGGGAPVRTASAPEQQRRRCQREGEEDDAAFNLIRRRKCRAGWEMATTPRRLAKLHFGITESRRAAASPAASCRALLCPRRGAPPQLPHVASAAAPRATATRSHRHAPRRHAQQRAPRHPDAPPRAAARLVMRSRAPQPSHPDALLRTARWLYCSYVWNIAAAAAPTTSPAWSPWPAALACTPWLQWPGERRKKEIRAILSKRKKYAEMLLVVEGGGYFSSSASGYSQGVAPLLLGRKGEDKRDKASPCSHYRLVGQEAEHDCQVPSGKTDVPSKCASYRLVGCTPARLVGASPPKLSSSNTPGTSSEQSSSSTNETATATNGSINGSWINGCLKNNLQRDSSERSITSFYEGPRESLEQVQTLRLVWNGEKSVDRFMWDSGKELFEIREFEIRFMRGLALLLLLSGR >Et_1B_010055.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:21285220:21285516:1 gene:Et_1B_010055 transcript:Et_1B_010055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHTSRSAQSRPRRRARPWRHSTNHHMPSSPSLRSAPAAWTMRPGEPCAETKRGEHGELWKKPSTGGVRWNARKRRRNPGSRMRRCQRLQTQEERER >Et_3A_026311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6753318:6756873:1 gene:Et_3A_026311 transcript:Et_3A_026311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALLSSSLLPSLPRASVGGGARLPPLPSLRSLTRRRAGACKVRAGLHGLESVPGLQAALERAEAALYTLADATVVAADAAAGGDAEQAAAAVQKNGGWFGFISEALEVVLKVLKDGLSAVHIPYSYGFAIILLTIIVKAATLPLTKQQVESTLAMQNLQPQLKAIQQRYAGNQERIQLETARLYKQAGVNPLAGCLPTLATIPVWIGLYNALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGAGISWLFPFVDGHPPLGWHDTICYLVLPVLLVASQYVSMEIMKPPQSNDPSQKNTQLILKFLPFMIGYFSLSVPSGLSIYWFTNNVLSTAQQVWLRKMGGAKPAVSEGGNGIITAGRAKRSDAKPAGDRFRQLKEEENRRKFNKALSAGDSVSASSISEDDDSDDESTEEGGPVEDASNTGSDKKLPSYSGKKGKRSKRKRMVNSVDQFDATADLGENFGGTLEAETSGADK >Et_8A_058390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6343913:6346092:-1 gene:Et_8A_058390 transcript:Et_8A_058390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLVVFGASPGHLSTAFYSCSSQGDAATDTLFTVDGLRADFVSLSSRPSRGLVLFRDTRTVSGDYWVCNPSTGECRALPRQRPRGMIRMSSAGLIVDDRTKDCKAVHLFITKYTGLECEVYTLGDSECRWRPPTTDIQSLGINKRNMIVSALKTEDAVTKVPPVPADGCLHWLIYPSYSESRDVILRFSATDESFDFVAAPPVSMGEVATWEEHSPAVLFHLAEIKGSLCMVHDLRRRHPGYGGGSLDVWVLRDCIGVGRVWSLDYRIAVGSLLAQDVHSPRFITVLGCYVGGVSCEQDEKLLVATSEHKVYAYMPDTGRVKIVFSAEDTAIGLQKEAVAGLCLGIYEDSLVKIECERHRREMEVSSAVREVLLRLPLKSIAQSMLVCRELCTLIESESFVATQMSMKRSVSILMATDGRARRAFFHFAPLENWIDQASNLGLPGSSHINGKIICSKPCNGLNLISTSSDYYLCNPYTGAVQCLGVRGRSFCNPRFTNGKQLGGHAFTVGRNIGFGFDLSTGEHVAVEIGHLHGTLACMVKTSESDAWTCVGTPPMAVTDMPPAHVDGTLYWMGEQMQACIVIVAFDITRRVFRVLPYEQPMMLQNKDHGAFLVELNVSSNQSGLPHAVPGEFGSRTR >Et_10B_002866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12701398:12708442:-1 gene:Et_10B_002866 transcript:Et_10B_002866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASGAASGSGSVSRAASENASASEAARGRGRGRGRALFASVAQAAIVEHTFNVGNLSISQLCQPARIITAVNGQLPGPTIQAREGDTVVVHLVNLSPYNMTIHWHGVFQRGTPWADGPAMVTQCPVKPGGNYTYRFNVTGQEGTLWWHAHISFLRATVYGALVIRPRAGRAYPFSPKPHREETVILAEWWNANVYDLERMAFMTAIPAVHADAYTINGKPGDFYNCSAANQTYKFRVRRNETVLLRIINAALNTPLFFKVANHSFTVVGADAAYTTPYATDVVVIAPGQTVDALMVAGAAAGGRYYMAASPYDSALPQGPPFSQTTATAVVEYAGGSPPTTAPPVLPPRPAYNDTATAFRFFSNLTALVLPGNPTVPLAVDVRMFVTVGLGVSDCQPDQLLCNRSTTHFSSSMNNASFVLPKSISMLQAHYGNAAAGVYTRDFPDRPPVIFDYTADASDNATMQYTAKSTKVKTLRYNDTVEMVLQNTRLIAKESHPMHLHGFNFFVLAQGFGNYDAATAVPKFNLVNPQERNTIAVPTGGWAVIRFVANNPGMWFMHCHFDAHLDLGLGMVFEVKDGPTKETSVPPPPADLPRC >Et_3A_026661.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:12529000:12529279:-1 gene:Et_3A_026661 transcript:Et_3A_026661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSCCCIGNGGKGGDDDVGGGDAGLDPKGFLLAMMIAVVLFMLCHVRPQRRRNSYAVYRCY >Et_9A_062496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23565585:23568552:1 gene:Et_9A_062496 transcript:Et_9A_062496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLRIGKLLDCFAFSLCTSTCVCIRALEDEEEAAVERKALVSDHRQLDQVLRLRDLVDGTRTLGFHLEPKTVELRVSMHCYGCARKVQKHISKMDGVTSFEVDLERKKVVVMGDVTPFEVLQSVSKVAKFAQLWMSPN >Et_4B_038149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26777662:26780383:-1 gene:Et_4B_038149 transcript:Et_4B_038149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLRFNCSIQCRFIIQLRQPSSRRFQQTPPRARTHSPEKGVASRSVTSPSPPCRARARAQPPHQPSALGGGGIGAREPGAGCVLLPHTYASMDPVAVADPSPSRRSLKRRPPARSPELSPKAASGGDDAAEELIRRVAELEAAAARLRGEKEAAEEAARALQEELDAERASAETATSEAMLMIERLQREKATAQMEARQFRRYAEGRADHERQVQEELASLSDLAASYHSRLRSHGIDPDSFSDEDEELDEEEGEEFEQIDMAAALEAERNAGDASTGMEVKAMVVDGNNREEQEEEEEEPLSPVEKEFEYTVDVSCSSTTKAVAAVVTEYVGDGNAGGLYARVEALEADRMAMRRELAALRVERAQLVMAREMARRLCWEMVAEQRAIVKKPAAPAGSFSVLRVCKWVLSILFWRNRSSTAKYTFGLSTTFLGLLLLLDRSSIFSPWRRLPRPQR >Et_2B_019275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20828753:20829625:1 gene:Et_2B_019275 transcript:Et_2B_019275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAKDDAAASVPLDRRQAPLLLFDYGRDTTTASEASDADAGFIFYSIPKKQITPQGWMLMAAPAGSPDTETFLCDPFIGRRVDLPPDTEGFLKGDSRKRCLLSRKSVTAGDPGLLVLVVDLTDTVLWYCRLGGNEGWLRHEYEPAALGDAVLESMSAHLTLVDGKFFMDLGDKVVTLELSPEPVFSVFPVDRDRERSPPCTCFTSQLVESGGDLFDVCFRFSDIGGRFVAGIDVLKLDLSAGAWVKTESLGAVRLLFIRESSEHRLILKRSA >Et_3A_026023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3586585:3589556:-1 gene:Et_3A_026023 transcript:Et_3A_026023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARTLLLVAAAVVALLLAASPPAAEATRDNRRAAMKKICKATSYPDVCIKTAGKHVKHHRTVDAFTVLQMQVDAFAKRTAAARKRVARKVATSGRAAHGARTALNLCGKFYLDVMDNLGACRRAIRHRDGVTIRATMSMAAQDMQNCDEEFRKAGEKRNPLERFNKSLGKMAEVAMAGRSRALLVLAALVAATSCSLQVAAHGPLFGGPLFGSTTQQQQGQQLATVAEICRATAYPDLCTVTAGKQAGRYETVDALTVLQMQVDALAMRTDAARARVGKEAATASPAGRTALEQCNKFYGDVMENLGACRRAIGHKDAVTIRSTMSMVAQDLAFCDEEFRKAGEKNPLVHFDQSLGNMSEICRSLSNMITV >Et_3A_027311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7324289:7327393:-1 gene:Et_3A_027311 transcript:Et_3A_027311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAITTRNRKPLLLYSLLLHVFLAARVCYSRGTTYSNQTSAIPAPCQAEHASALLQLKRSFSTAGWGPFEDVKCSLASWRAGTDCCRWEGVLCGDADGRVTTLDLGGCGFESGALHPALFNLTSLRHLNLAWNSFNGSQLPDGFERLTELVRLDLSNCEFDGRIPDGIGQLTKLVSLDLSATIYYGEQLDDGSIQLFRLWAPQWTLVEPNIGSLLANLSNLKELYLRYVDLSGNGAMWCTTFANSTPHLQVLSLPNTQLNVPLCESLSDLHQLTEINLDYNLVHGRIPETFADLHSLRVLTLRNNNLEGWFPPKIFQNKNITTIDISYNFEVSDCGLSGEVPSSIARTLVIIDLSYNNLSGSIPPCLMEELSSLSVLNLKRNKLHGELPLNMKQGCAFQELDLSDNYWIEGKLPRSLASCIALEVFNIGNNQIKDTFPCWMSMLPKLQVLILKSNKFVGNLGPSVLGIENNCEFKKLRIFDVASNNFSDTLSNEWFKTMRSMVGTTTNEMMVMERKNDSLLEPSATYQFTTTLTYKGQSDIEFSKILRSLVIIDISDNALHGSIPESIGSLVLLSGLNMSHNALSGPIPSQFSTLHQLESLDLSSNNLSGEIPQELASLNFLSMLNLSYNELVGRIPESPQFSTFTNLSFLGNIGLCGPQVPKACNDVPPNVDPHNSEKKPADVILFLVTGLGFGTGFAAAIVLTWGIRFRRSQGGTFFWLRKVFFFV >Et_1A_005465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10672356:10677101:1 gene:Et_1A_005465 transcript:Et_1A_005465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPARSPTAEAPPLPPERPRSASGSGLRSLASAASGWWDRWGVMGSSLSKLERAFGDQFPEGERYFGLENFGNTCYCNSVLQALYYCKPFREQLLEYCANNRNPEDAEENLLTCLADLFSQISASKKKTGVIAPKRFVQTVKKLNESFRSYMHQDAHEFLNFLLNEIVDILEKESSSAKDSPDTTSPEKMSNGAVANGVRKEPLVTWVHKNFQGILTNETRCLMCETVTAKDETFFDLSVDVEQNSSLTSCLKSFFSTETLNADDKFFCDKCCSLQEAEKRMKIKKVPQILVVHLKRFKFIEQLNRHKKLSYRVVYPLELKLSSISDDADCEYSLFAVVVHLGSGPNQGHYVAKIKSHDHWLSFDDDNVEMIPESTLQTFYGSSREYSSNTDHGYILFYERIDGNCHEKTDSPHSGV >Et_5B_043832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16610671:16611198:-1 gene:Et_5B_043832 transcript:Et_5B_043832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRTTTFIVIMCLVIVGLNANLVTAAQCSCCKSARAKACCFGCIALGRSDTVCKNTCCFPCILADSVAAKMEELGVLANMEEIRVLANMEEGQA >Et_5A_041547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24262459:24263517:-1 gene:Et_5A_041547 transcript:Et_5A_041547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHAQGFAGAPPHRALRDLARRHGELMMLMFGEVPVAVASSPDAAREIMGTHDAAFCSRTRARGQGNYGVIDLCAPRRRLRKVCALELLGARRVQSFRPIREAEAGRLLRAVADDAAIDSIVEARSIARDRQKDQALLDVLLRLQKEAGSQDLTTDKIKTARDDAEVGDGRAHEKLKSQRVMQKTQAEVRGALAGRAKLTEGDLTCSLHHLRLVIIHPPATFLLPRKCGTSPCCRILGYDVPEGTMAIVNAWAIGRDPAHWDDERRRGTSSSSRSADVRPGMALAALLFHFDWELPGGLAPQDLDMAEAFGITTQRQDELMLVPTVRVPVSMEGR >Et_3A_025758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32621228:32629335:1 gene:Et_3A_025758 transcript:Et_3A_025758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGSSSSSRRPVAAARSRGRPAGSEPEPDARRAAAAARRRARGDHGPLRLMDLSPRALALLGIASVALVSVAFMAYTGGWWQEAEGEGSATLRTVARSVTPLPAPRMMDLPQFQSNHKESLYWGTYRPNVYLGIRARTPLSLIAGLMWIGLKNGQYFLRHVCQDSDELSTYGWTAHNGRDYGRQVLVDHGLFLTTSFLKEKGEGSGFGGDWAVRLDTNSERSSISDAQESTTHLFFYIAEESGKSITMGSDEASSRGPVRLASGSHEEIGDWELYLRSEDSFEIYRAGFKSNSMHNLSDLVQQAVATNAMQTGNLNLPDVTEHSSNIMVYQVSVKSSAKIDIVFLSGAASKNAMIEERISKLTGTMLTARLESKQKDFEERYGQIFNVNDKIDSRDVSVGRAALSNLLGGIGYFYGQSKIALPKGFTQKNGDTYIPYWPAALYTAVPSRSFFPRGFLWDEGFHQLVIGRWDVHISMDIIGHWLDLLNSDGWIPREQILGAEALSKVPEEFVLQYPSNGNPPTLFLAIRDLASGIHSKKFSDEEAEKISAFLERAYIRLNSWFQWFNSTQSGKYEGTFYWHGRDNMTTRELNPKTLTSGLDDYPRASHPNDEERHVDLRCWMLLATNCMRSIAEFLKMDSALEKDYHKMSNELSDFGTLNKLHLDGKMGAYFDYGNHTEKVRLRWYDIKDKDAMKRELLRETLQPPQLQFVPHVGYVSLFPFMMGAIPPQSWVLEKQLDLISNASILWTDYGLRSLSKTSSIYMKRNTEHDAPYWRGAIWINMNYMILSALHHYAHEEGPYKGRAGELYDKLRSNLIRNIVQNYHETGFFWENFDQKNKGKGKGARSFTGWTSLVVLMMAESYPTLHR >Et_1B_011531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23253056:23256780:-1 gene:Et_1B_011531 transcript:Et_1B_011531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATEALSRRLSAAVRGLSGVWYGRHMAAAERAIRTRLPLVDLVLEVRDARIPASSAFEPLSRRTLEHDGRRVVVLNKADLADPAETKKWVAYMKQRSCSCVPVNSHNRESIKELLKIVQSRIREIKHGESNCTGTVLLVGIPNVGKSSTVNAMHQIGRIGAAEKGKLKQAIVSSHPGETRDITGYKVASHPNLYVLDTPGVLSPTFANDDSGSRLALTGAIRDSLLEYDIARFLLAVLNSKEEDSKWENLNPVADNFSYDTVCGSNTTKWQYVSDHTQDFIVKAVRKVLFESVSFCKEGLGKGDASRRLIESQIMSLREVFKISTEPSEDMHKPVALKLLNLYRTGRLGHYTLDHVPDVNQDVLHKYFGQGVPNKRLH >Et_1B_011093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17522259:17527618:1 gene:Et_1B_011093 transcript:Et_1B_011093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVQPLVSEFVLKLKRRKVEGSHAVARQTAELLRSVVSQHRMGSTNQAATLADAVRAVGQQLIAANPIELAVGNIVRRVLHIIKEEDISSTAVGIEGLSVTVDSDDEYDSEHDDRPTLSAAVLASHARNALRAPSLQTLLDDIPVSTAISRTASSIGDSDGKTGDKTSTKKLKHDVIAAIGDLIDEIDNCYEPISEQAVELIHQNEVILTLGRSKTVKEFLCAAKEKKRSFRVFVAEGAPRYQGHALAKELVEKGIQTTVITDSAVFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNELKSPSDLLDFGEFSDCMNFSTQDGSPLLNVVNPTFDYVPPKLVGLFITDTGGHSPSYMYRLIAEYYSADDLVVRRKSTS >Et_10A_001017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20192944:20193537:1 gene:Et_10A_001017 transcript:Et_10A_001017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPLQLTAVAFLFLLVAVVAGRPAAAPVPPLEERLRRAFSLPLDDAGGDEQDGGGGMMECWGALSKMGACTSEILLFLVNGESYIGDDCCRAIRGATRHCWPAMLASVGFTAEEADVLRGFCDGEAATGHGGAATTPPAAAGNAPAPGKP >Et_5B_045633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5831182:5833583:-1 gene:Et_5B_045633 transcript:Et_5B_045633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMYGPGKGMSSSVVPYSRAAPSWVKTTAPEVEEAIVRSAKKGMLPSQIGTLLRDTQGVPLVRGVTGGKILRLLRARGLAPEVPEDLYFLIKKAVAIRKHLDRNRSDVDAKFRLILVESRVHRLARYYRRAKKIPASWKFESTTASTLVAFDGEMLSNY >Et_8A_057681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:613753:616615:-1 gene:Et_8A_057681 transcript:Et_8A_057681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSKQALIYSFVAKGSVVLAEHTAFTGNFSTVAVQCLQKLPPNSTRSTYSCDGHTFNFLVDRGFVFLVVADEAAGRSVPFVFLERVREDFMQRYGSSIDEESQHPLADDADEDDFLFEDRFSIAYNLDREFGPRLKDHMQYCVNHPEEINKISKVKAHLSEVKGIMMDNIEKILDRGEKIELLVGKTETLQSQADTFHRHGRDLRRKMWLQNLRFKLMVGGAIAALILILWLMICGGFKC >Et_3B_029870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28698146:28705493:1 gene:Et_3B_029870 transcript:Et_3B_029870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGNGEAVAAWEEAGLGVRQRKGGAVVAGAGSGVGASSSFPEGMGMGEFVLSSMDARFSGSADTDGLFTAPRQPAFGHSKSTTASSRMFKGQEHVFVRSHSDRLLKCDLTLDMLSENEKLKIIEKLVKIQNDGTLEVDVTRSDLIASELSEIDAFGSISRDIGDSTPGINKSVPKLKIAILVVGTRGDVQPFIALAKRLQEFGHYVRLATHANFRTFVKSAGVDFYPLGGDPRIMAQYMTKNKGFCLAGPTEISAQRKQLKEIIFSVLPACTEPDLDTGAPFRAQAIIANPPAYGHLHIAEALGVPLHIFFTFPWTPTDEFPHPLARMPQSATYRLSYLILDLVIWWGTRGFINDFRKKLNLPPIAYFSTYHGSISHLPTGYMWSPQLMPKPKDWGPLVDVVGYCFLNLGTKYQPPLELSHWLQQGPQPIYIGFGSMPLDDEKKVTTIILDALRETGQRGVISRGWGDLGNFSEVPVDVFILEDCPHDWLFPRCAAVVHHGGAGTTAAGLIAGRPTTVVPFFGDQFFWGERIHARGVGPAPIPIAELTIEALSNAIRFMLDPEVKSRTMELAIAIGNEDGVAAAVDAFHRHLPSEWPLAPPTHVEVERLDLFQWFSRALEKCCFPFNF >Et_10A_000289.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21420113:21420253:1 gene:Et_10A_000289 transcript:Et_10A_000289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPCTHSFHQRCIFDWLRSSRVCPCCRFALRSALQARATQVGAVTL >Et_6B_049641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7742903:7744137:-1 gene:Et_6B_049641 transcript:Et_6B_049641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETKAIIVPTDAELLKAQADLWRHSLYYLTSMALKCAVELGIPTAIHNLGGATSLPDLISALSLPQAKLPFLRRVMRLLVQSGIFASDSNSEVEVYRLNPLSLLLIDGEIGKEHGSQKYFVLATNTRHCIEAALNLASWFKKDVTPLPSPFEDVHGAPLFDESTPLLDKELDEMVNAGCAAHDNLGIGTILRECADLFSGLQSLTDCCGGDGTTVRTIIKAFPHIKCTVLDLPRVIKDSAPEHGINFVASDMFKFVPPAQAVMLKFVLHHWNDDDCVKILSQCKNAIPSRDEGGKVIIMEVIADPSLGPIMYEAQLLLDMLMFVNTRGRQRDENDWREIFMKSGFSDYKIIKKLGARAVFE >Et_2A_017425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34223192:34231275:1 gene:Et_2A_017425 transcript:Et_2A_017425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TPSCRAAHLLESRIRPPGRQLLPSTTAPQIRGRAFQNLKSRQSKHPSSPLTKVSLPPPQQPRLPLTSPQPSPAEANRRAGEEEADHAAAAGQGKEGLLPAAHAAAAGPLLFLLVQVKKLEKALQEETALHSVLEGALERAAVTLTDMAYLPTNAQELLSNICILETAVSKLEEEMVALHFQLIQERNERRLVEYRLKKLPSQQPSACSCHSGRTETDDTASEKSCRGDKVYSRAVMHAQAMKLQRQISIKGFANPNQLSEDIVRCMRNIFISLSDSCREASRNSSTENQQSVPSPSGNYSISAFWSLSEPSSISSWVQSPQVDLNYNNNLLASETVFDPYKSREKLSWADIGCYGSAAEVSWMSVGQKQLEYAAELFIEQLAEVNPVHLNDDAKLAFWINLYNALMMHAYLAYGVPRSDMKLFSLMQKAAYTIGGQSFSAAFIEYVILKMKPPSHRPQMALLLALQKIKVPEEQRKFCIGAPEPLLTFALSCGMYSSPAVKIYTANSVREELQDAQRDFIRASVGVNRKGKLLIPKMLHCFGRGFVDDNSFPIWISHFLPQQQATFVDHCVSQRRQSLLGTRAFGIIPFDSRFRYLFLPDLGSIPVLAASESVFEHDEGFAHVERPLAGRATAATDAGADALGRALERLGRLGVLDPLLFRVTSCMPSSNMPNSHRLHDACVRLNRNRVVHEPESVGGMLEKVSAWGAGVERMAASASASAACAGDAIVTAFPTFAAPLAETAQWPRSQNPAGRAAATARPMQIPRDARPVAARQIRWVRVHRSFARLVVYWAERRRPTT >Et_4B_037435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19832402:19849049:-1 gene:Et_4B_037435 transcript:Et_4B_037435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVAVGGGKDRSSPGGGGAPQVDTGKYVRYTPEQVEALERVYSECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSRLVYENGYMRQQLHNPSVATTDTSCESVVTSGQHRQQQNPAAPRPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDVLHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWTLRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFVRAEVLPSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHIRQIAHESSGEMPYGGGRQPAVLRTFSQRLSRGFNDAVNGFPDDGWSLMSNDGAEDNVPPALLVRFLREHRSEWADPGVDAYSAAALRASPYAVPGLRASGFMGSQVILPLAHTLEHEEFLEVIRLEGHSLCHDEVVLSRDMYLLQLCSGVDENAAGACAQLVFAPIDESFADDAPLLPSGFRVIPLDAKTDPPSGTRTLDLASTLEVGSGGTTRASSDASNASNTRSVLTIAFQFSYENHLRESVAAMARQYVRTVVASVQRVAMAIAPSRLGGQLEMKQTPGSPEAQTLARWIGRSYRFHTGAELLRTETQCTDSSLKALWQHSDSIMCCSLKAAPVFTFANQAGLDMLETTLIALQDISLEKILDDDGRKALCTEYPKIMQQGFAYLPGGVCVSSMGRPVSYEQAVAWKVLSDDDTPHCLAFMFVNWSFAALVFTFANQAGLDMLETTLIALQDISLEKILDDDGRKALCTEYPKIMKQNVTPALLVRFLREHRSEWADPGVDAYSAAALRASPYAVPGLLASGFMGSQVILPLALTLEHEEFLEVIRLEGHSLCHDEVVLSRDMYLLQLCSGVDENAAGACTQLVFAPIDESFADDAPLLPSGFRVIPLDAKTDPPSGTHTLDLASTLEVGSGGTTRASSDAANASNTRSVLTIAFQFSYENHLRESVAAMARQYVRTVVASVQRVAMAIAPSRLGGQLEMKQTPGSPEAQTLARWIGRSYRFHTGAELLRTETQCTDSSLKVLWQHSDSIMCCSLKAALVFTFANQAGLDMLETTLIALQDISLEKILDDDGRKALCTEYPKIMKQGFAYLPGGVCVSSMGRPVSYEQAVAWKVLSEHWRPPCSLLPGACWYNGGSLSES >Et_5A_041260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20271144:20271771:1 gene:Et_5A_041260 transcript:Et_5A_041260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLEEKHVGLCFVVKDYGRPHSFTACLLRSMRNSKKRERNLKLYLVGSSFNVLPTLVLIGSDGKNVNNTLANITEYTSSVFMCEKDQKIVMYFYAQVPVSELVGKTVLLYFSAKWSGFWTMPSFPAYTLVKEYKKIKETNNDFEIVFISYDKD >Et_9B_065452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5164385:5166087:1 gene:Et_9B_065452 transcript:Et_9B_065452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAARWFRNILGKKDQTGKEQKTKQDQAPAPPPPTNARRWSFGKSSRDSAEAAAAAAGGDAAIARAAEAAWHRAAAAAYGGETDREREQSKHAIAVAAAAAAADAAVAAAQAAVAVVRLTSKGRAAGGRGAAAVRIQTAFRGFLAKKALRALKALVKLQALVRGYLVRKQTAVTLHSMQALIRAQAAARAQRAAAAAVPHHLHHLPPLIRPRFSLQERYADDTRSEHGAAAAYGSRRMSASIESSSYGYDRSPKIVEVDPGRPKSRSSSRRASTALADASGEECWSASNSVSTPLPSCYNFAAGPPRIAVPTSRNFPDHDWHCAPEKPRPATAQSTPRYVAPPTPTKSVAGGGGCSPSLSTSGGGSRGYMSSTQSSEAKARSQSAPKQRPPELRKRVPLSEVVVVESSRASLTGVGMHRSSCTGGRTAQQHEAAFSFKAAVVGRMDRTLELAGENDRLDFLQRRW >Et_3A_026904.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:25731550:25732707:-1 gene:Et_3A_026904 transcript:Et_3A_026904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLRRLLRAAPTPASLSGHLPFSTRSRRTPHRFRRSRRGPNPPPPSPDAVSAAIASLPSRLTPPVLASSLASTSDARLLLPLLTHSLRLPAFRPDPTPFLVAIKRLAAADLYADFDRACSLVFSLLPSLPSPGPLLRTVLYFYCQFGRLGKAFHVYTLMRASADPTARPSADSYHTLFTALLSRGRGDTMVHYMYMDNVSALFRQMLEEGIPPDTRTLNVLVRGYAQSLHLNDALRIFHQMRPLYGCEPDASTYSYLVHGLSAQGRTRNARELFDEMRGKELLLTEAACNAFVSALAVAGEVDEAEEIMWEMARTGRVVDDITRRAVVEELARTGKREDADRVVREMEEKGIVSARERQALLSSIHEYHDDNFDVDDRGRNTW >Et_6A_047489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6437995:6439907:1 gene:Et_6A_047489 transcript:Et_6A_047489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFAVITLALLYNSLAPRRCPGQPWQYCGSSARYTPNSKYQTNLDAVLAALPCHASSSPALFATSAVGAADADNDRVFTLALFRDDGDGPGCLSCLVGAFRYGATRPLFLHACDQVVSVLYDACFVYFAAGEDFLRTTANVGPISLYNNQNVTGGGGPIYTPRVRAQLDGAARRAAYGRRKFATAPIYNGIVAGAALYVLTQCTPDLSPGDCWSCLEELIEKSQLSGRTVGARIVGARCSYRYENYTFYCGELMLKMGTPPPPSHTQLTAGRRPGRGISSCWSRGVASCRSRVSCWSSSWGSVAPEQRRPLVVE >Et_2A_016300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23007020:23007235:1 gene:Et_2A_016300 transcript:Et_2A_016300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQEDAAPGDVVYLHGVLELTVFEAEHLHNAVHGRIMECRHARLLCSINSVLQRVLEESFFL >Et_2B_022003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7947129:7952968:-1 gene:Et_2B_022003 transcript:Et_2B_022003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTYSACYSIRAFGPRQGMEPICGQAFGLGHCELIGVTTQRTVFLLVMAAVPISGLWVRVRPLLLLCSQNAGIAAVVAFLHPVRIYLRPQSINLPLTLCAGLAIALHLPIKYVLLFFLDLGIRGVALPSVLANLNLILFAYILFRGVRAQAHRRLRALDRQTSSSAAGASWSALPCRAASSSASSGGDNLSSTSSLPCALSFGVSKRVSTELAVNRPYRASHAATVGLMLGFAFHGVAFAFAYLVRGAWATMFTVDHRKRPTDDLHRRVARQRPSQAHRQNEPPVWARQWRSCSTTIDFLGLWVGVHAAQATGMVCVLLVIGRMDWETEAKRARPYPLTVVAAKYHENWIGNKQPEKQSN >Et_6B_049270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2489343:2491321:-1 gene:Et_6B_049270 transcript:Et_6B_049270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKVETIVAGNYMEMEREGAGEEAGTAAASSSSKGKALSSLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMASGIVFQLFYGLMGSWTAYLISVLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNIGLFFNCTFLLFGSVIQLIACASNIYYINDKYDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYLTIAAIAHGQVEGVTHSGPSKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKLIYLAATLYVLTLTLPSASAVYWAFGDTLLDHSNAFSLLPRSAFRDAAVVLMLIHQFITFGFACTPLYFVWEKLVGVHESSSLALRAAARLPVVLPIWFLAVIFPFFGPINSTVGSLLVSFTVYIIPALAHMATFAPAAARENAVERPPRGMGGWPGMFAVNCFVVAWVLVVGFGFGGWASTVNFVRQVNTFGLFTKCYQCPPTKH >Et_2A_014817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16807479:16807862:1 gene:Et_2A_014817 transcript:Et_2A_014817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGEGDPHPKYRGVRRRPWGKFAAEIRDSSRHGVRIWLGTFDTAEEAARAYDRSAYSMRGANAVLNFPEDARIYARERGLSSSSSSSSRDVIEFEYLDDEVLQEMLRNHDERKKK >Et_1B_011246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19289979:19293340:1 gene:Et_1B_011246 transcript:Et_1B_011246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRAAMECPKKVAGLVDLVNLPTALREFAGGRSQMSHLTFFRRVWLYIKDNNLQDPTNKNIVKCDDKLKTVLLGRSKVELSELPMIVKFHFPKVPKSLDDATAVSHY >Et_5A_040387.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:18621170:18621505:-1 gene:Et_5A_040387 transcript:Et_5A_040387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGFGTVAPVVASPAARSRMSRRPAHIPAATKSVATAAKAEEQKGLFDLIFGPMFKEEQLLETDPILNKVEGKAPAAPAPRKAGGAAAKKADAGGNGGFSLGGLFSKKG >Et_9B_064898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19665454:19665904:-1 gene:Et_9B_064898 transcript:Et_9B_064898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNIKLQNIKLNEEQDKDRCELEKKETTDHILFQCKTASYVWCCMGEAFGWD >Et_7A_052783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1644949:1645396:-1 gene:Et_7A_052783 transcript:Et_7A_052783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTERSLLFTLFLLCGFFVSSRATSPSTAGNGHDLLMLDRFHGWMARHGRSYPSADEKLRRFTVYKRNVEYIEATNRDGGLGYELGENEFTDLTAEEFAASVRPVVIRNNDRPWDREELRLRAAPRLA >Et_7B_055264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8905137:8906877:1 gene:Et_7B_055264 transcript:Et_7B_055264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGLGNGGEFEVGKTCIGEERASFPLHVYQIELKTAPADFRFPTTNQTRHCFTRYVEYHRCVSAKGDEAADCEKFAKYYRSLCPGEWVSAFADFCRPSAIFFNCKVILSELSYDAAGVEKWNEQRENGTFAGPLLCSEYLEAVGNVKNGDC >Et_10A_000797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17390989:17392163:-1 gene:Et_10A_000797 transcript:Et_10A_000797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAAHNRSRKRKRRSQREAQAQPLPLDLVLEIARTDDAGALRRDVQGRASPRRRPGLPRRRPPPPPYNNATKHATKLPIATPSPALFHDVLAARDGLLLVLTTTGEADELLHVFCPATGRRMQILPDPPYDGQYILLVGGSGDDGSGDGAFGRFGVVKVKSTSWNGKRHDIQFQTFSSEHGGWGQSVKVRIPYKHGALLRPDPLVSNGALHWLCRSDKLYYIVKLHVDSAQVTTMKLPASFHHEYGRVGAARKQLLLATTTSEGGIPRLCVFAAEMTKISVWAQSERDSSMWTRQPQMEIEHNDTWVGTEGLISQERIRLEWFSDRSGFVLFNAAGHGFFIMDVRSKKIVTWSPGHGDWDRTKSNVDCPYEMDVSSWVPTFTKFF >Et_3B_028863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19956614:19957782:-1 gene:Et_3B_028863 transcript:Et_3B_028863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDAPSPHHHAAVNDELFFSIAGHTMTVVEIDATYAKPFAASTILLSPGQTTNVLVRAGQRPGRYFMAVDQALQRRSRARRLRDGHRHPPVRRRPVVPAAPRLMPAANGTGFVAAFHDRLRSLNSARYPAVDTGTFSTPSGSTSTRAGSACPNGSRLAASLNNITFVMLPVALLQAHYYGGGRARGVFTADFPDRPPARFVNYTGVPLTAGLGTSLGTRLSRVAYVQRQRGAGAAGHQPAVRGDSHPFHLHGYNFFVVGRGLGDFDPAKDLARYNLVDPPERNTVAVPAGGWTAIRFRANNPGVWFLHCHLEVHTSWGLKMAFLMSRFCRRQRICPSAEGTTTLLLLLLLLPCK >Et_8B_060806.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:790936:791319:-1 gene:Et_8B_060806 transcript:Et_8B_060806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERVEPAVSAMIMSAASASRRDGEFTADDLAAADQLVQLSASGGGEEDACSSSSLSARSVNNAEAVAPAAAREDDDEDGDDDAGVVDRRARKRYRLVSELYAATRRVKSSAAGGGKRKSRDRTEK >Et_8A_057815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7632258:7633662:-1 gene:Et_8A_057815 transcript:Et_8A_057815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRELLSQEHLQLLLQQSCRYLAMSSEDPKVEIGKRKIYLVDWLWRKFFCAMDGASKEMEVLRIKAIIVNHHYEQPIDLFESLRRLQLMQFAVDISKSRHPRKKWRALLWRSVETTAIVSLSGSDMCVWCRSGSHLGTVLKVKDVELLLGIGEVQTYSQNNDLVVFLNKRPMEGELEAWGI >Et_2B_020728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22993216:22997487:-1 gene:Et_2B_020728 transcript:Et_2B_020728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLVKLLQHMNTDVKVAGEHRSPLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEEQHDLILSDKIQLGQFIHVDRLEAATPVPILRGVRPVPGRHACVGNPEDLVVTNSSNFHGSKKTQQTNGLKDSSSLSLEKESSKLEKINASRKPVGAENKKPGLTKSNSSLSKQALNGIGDKKEAVKSKAKSASTRSVPSSPTSVYSLPASFDRFSSDLKQRNKAKGAEKASSSRISLLERAASVLKVTAAGRKSSAGNSINSSVLSIGSGPKALRRSWEGNVDIKGKASSESKTSRADRKPENKAPMTPRRKPPVDEKVSHKDDSLIQKAARKSTASAPSEDADKIIKKHPPTVKRTSGVSSNSNVTNLVKIPPNSKKLTDASTSWTSLPPSLAKLGKELLKYRESAQMAAVEAMQEASAAESLLRCLSSYAEVSSTAEEQNPQPAVEQFLKLHSALSRATVIADTLTKPAASVASPARSAASDTGTAVSSTDEEAAAIAAERRRRATSWVSAALATDLSGFGLYNLKPAPATITSPLAVVVVDESAKPPAAASTTTKSSPSPKPRMSPAKGKPRTGPAAAAAAAAPMTTPAPPEWEKGGGAEERGELARRLGEESRGWFLGFVERFLDADVSAAAPWDRERAARMLPQLKRVNDWLGEIGKRSEIPLPLSLDADGEATTTAPVAANGGCGVPEETIERLRKKIYEYLLTNVDSAAAMLGGGGTAAPANGKK >Et_7A_051505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19692823:19695812:-1 gene:Et_7A_051505 transcript:Et_7A_051505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFTVLSLLLLACMAAPSLLSSEASAAAAPRVPRGAATAAAFLSAINDARANVSVPPVSWNATVAQDAKAQVIWLRSSAGGGGSELARISKSPVPQVRATTWYTSSGRRTPADAVSTWVSERQWYDHASNTCAAGKQCGDYTLVVRRAWQQVGCAVVACAAGGTVMACEYSPGTNRLPDPEEPPSVDRSVT >Et_10B_003855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6862349:6864688:-1 gene:Et_10B_003855 transcript:Et_10B_003855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCANPHGLIRKYGLMCCRQCFRSNAKDIGFIKCCETMFDIGYVCLEHLLIHYILAFQTTPLRLHHKEQLHHSQQEKLPQDSPCPNKP >Et_3B_029358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24310364:24312287:-1 gene:Et_3B_029358 transcript:Et_3B_029358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSGGLGLYHVLGVASCVALVYFSLGEMGIHPFSFSISLPSVPGSSSSSYAAPTAPFVERRGARLFLDGRPFYVNGWNSYWLMDQAVEMGTRHRVSRMFRDAAEMGLTVCRTWAFNDGAYNALQLAPGHFDERVFKALDRVVAEAGRHGVRLILSLANNLEAFGGKTQYVRWAWEEGVGLSASNDSFFFDPAIREYFKVYLKTLLTRKNHLTGVEYKDDPTILAWELMNEPRCTTDPSGDTLQRWIEEMAAYVKSIDSKHLLTVGSEGFYGPTTPQRKKTINPGDWENSYGMDFIRNFNVSDIDFGSIHLYPDKWLHDAKLDDKVKFVTRWINAHVEDAEKELGKPVLTTEFGVSHMAKGFDHSHRDLLYRAVFDTVYQSAKRRGAGAGAFVWQLAVERMEEYLDDFAVVPSEKPSLHRLIKAQSCRLAKLTHGSGGEAAKRTLSACAGLS >Et_7B_054568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2437329:2438987:1 gene:Et_7B_054568 transcript:Et_7B_054568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVLDDSTGHRCYLLVPARRHRSLDASSDAMKEDAYMERFLAPARSSPNRSSENKQDPAEKASVSSVPVHFVCLFVLAHLYGIADVDDDAAVDGLRLDPVAVLEDLEPPDSVLEDEGDAVAVLVLTEAVALLLRRREVAQRHHEVEAAVAVVALEEARRKAHALVQDLLNEQPQLAECAGCRAYPAGSGELDPSAGRAFAFSSSGSAKNAAESSSARAISSGGTPWLTARKNPCERHASRIASVARATPPSSSTPAKKGARSTTGSAPLSFAMSSPGVVLSAASVVAGLPLSLVNTWDTVETRR >Et_9B_065004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20431741:20436884:1 gene:Et_9B_065004 transcript:Et_9B_065004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLWIAKVKEGQHLAEHELQSLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFATGGHVPDTNYIFMGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGTVLCVHGGLSPDVRTVDQIRIIDRNCEIPHEGPFCDLMWSDPEEIETWAVSPRGAGWLFGSRVTQEFNHINKIELVCRAHQLVQEGLKYMFDKGLVTVWSAPNYCYRCGNVASILSFSENMEREVKFFTETEENNQMRGPRTAMIEQGIEASGTLSADTGKREPAPVLAFRCCPRVVTERRSPQIASFLAAASPAAIGVVASPAVACVGLETYSSESDDDDPLGARTRRRLPHARASTSHARAAQQRADTGRHAARGRALRRGLLPRRGRCDAGHPRLAAPASSVLSSSSLPLVAAVFRRGRHGVVVPQLAAGEVLV >Et_3A_023310.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:19968055:19968333:1 gene:Et_3A_023310 transcript:Et_3A_023310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPLKAAVRGGFFAPAQVAASDGEGASPPKLRRHGGGDSSRRRRGDLGGGRGVVLNICVAEVLLRRSSSQVRRSPLQAARKKGRQDQEHH >Et_5B_044183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21081343:21082586:-1 gene:Et_5B_044183 transcript:Et_5B_044183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESLKSWALALAAAGLLLAAIRLALWVYAAFLWPGKPLRRRYGAWAVVTGATDGIGRAIAFRLAAAGLGLVLVGRDPDKLAAVACEIKAKHPEAPDVRTFVLDFAGEGLAAGVAALGEAVRGLDVGVLVNSAGVSYPYARYFHEVDEELMRTLIRVNVEAVTRVTRAVLPGMVDRKRGAIVNIGSGAASVVPSDPLYSVYAATKAYVDQFSRCLYVEYKSKGIDVQCQCFSDFQHTCRM >Et_4B_038449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29047983:29050744:1 gene:Et_4B_038449 transcript:Et_4B_038449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVPVAYQGNTSAAVADWLNKGDNAWQLTAATLVALQSFPGLVVLYGGIVKKKWAVNSAFMALYAFAAVWICWVTWAYNMSFGDKLLPIWGKARPALNQALLVGQAALPATAHYRADGTLETAAVEPYYPMATVVYFQCVFAAITLILLAGSLLGRMSFLAWMIFVPLWLTFSYTIGAFSIWGGGFLFQWGVIDYCGGYVIHLSAGFAGFTAAYWVGPRAQKDRERFPPNNILFTLTGAGLLWMGWAGFNGGGPYAANVVASMSVVNTNICAAMSLIVWTCLDVIFFKKPSVVGAVQGMITGLVCITPGAGLVQGWAAMVMGVLAGSIPWYTMMILHKRSKILMHVDDTLGVIHTHGVAGLLGGLLTGLFAEPTLCNLFLPVTNSQGAFYGGVGGAQFGKQLAGALFIIGWNVAVTSIICVAINAVVPLRMSEDKLEVGDDAVHGEEAYALWGDGELYDITKHGADDTEHGATRAAVAPVSPT >Et_7B_055744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3227647:3231881:-1 gene:Et_7B_055744 transcript:Et_7B_055744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVRQAFVFESNALFRGVYWPRNWMLLQPEEARDHVGRGLKWTFSLFRDEIELPTLPFWNWNAQGGMTLLAMYTNKSAHRCMTSGAPAGVVA >Et_5A_042498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1644964:1648548:-1 gene:Et_5A_042498 transcript:Et_5A_042498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSHGPLPSASLSQYKRSPKTLHPFFPFPSRGASSPDPDQVKPFSRRRGRHPARPAASPPLRRIRLSVLLCRGYPSFSVRLGVLTRSWLRWDSGEEMLVLFETPAGFALFKVLDEGKLSKVEVGQLVACLSSVSAHACFREDLWRDFTSPDSARRQLISLIDMTSLQKIDCLHNSAVMELMRGLRNQLTELMTGLGPQDLAPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRLKLPENVEQELKEAAVISMGTEVSDIDLLNIRELCDQVLALSEYRGQLSDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLMNLAKQPGSTIQILGAEKALFRALKTKHSTPKYGLIYHASLIGQAAPKNKGKISRSLAAKTALAIRYDALGDGEDNSIGLESRVKLETRLRILENKELGASAGSTKGKPKIEMYEKDRKKGAGTLITPAKTYNPAADLVYPDAMPKKSEVASKKRKHEDEATEEERGQENEKSKKKKKSKDVEEPPAVDADGGEKKKKKKNKEADEAPAVDADGEKKKKKKSKDQDAPMETEESGKKDKKKKKKKHAEE >Et_7B_054532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22733069:22734212:-1 gene:Et_7B_054532 transcript:Et_7B_054532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTDAVVVVVSAPPPQPEPALGRVRSLPAVAKPLAWVLLATAWVGCASLGAGTVAHRVWGMDSPVANALLIISVAAIFFTGLPVAVFSLHVMRVTGLRVSTVVKEIVACVKETLARKTFGALMWELMQDTAVLGMVAWVPFLFLLFTGLLIVLLSADGSKREWIGQAIFDVGVLGFATISCFIIIPNFAVKLWKTKALQCRIAISEVSYSCSGCHYVFVPFKLCQL >Et_10B_004107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13042865:13044218:-1 gene:Et_10B_004107 transcript:Et_10B_004107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWAARLEAPVAVVTGASRGIGRAIALALGKAGCKIEEFGGRAISFSADVSCEAEVESMMRAVNKSLKQPKVDQIWITRDALLMRMKRTQWQEVVDVNLTGVYFCAQAAATVMMKRKKGRIINIASVSGIIGNVGQANYCAAKAGVIGLTKAMAREYGSRNINASSIHDPFMFHQQQQKKRLRTLLSEI >Et_4B_039928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6147445:6155955:1 gene:Et_4B_039928 transcript:Et_4B_039928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSASLRMKRPTFSVSVATASQLHDAVDRLLPLLRADASHAQAARELAAAAASLPPSTLLSNRLLHLLSSNAATLPDALALLSSMPHPDVCSHNTVVAALARSPRSLATARAMFDRMPRRDHFSWSAIVSAHARHGQPHDALALYRRMLRDPGSAGADNQFTASSALVAATAARCARAGRELHCHVVRMGIDADGVMWSALADMYAKCGRMDDARSVFDRMPVRDAVCWTAMVERYFDAGHSSEGFKMFVQMLTSGVGPNEFTYAGVLRACAEFTSEKLGRQVHGRMAKSSVGDSCFAESALLHMYSKYGDMVSAMRVFEGMRKPDLVSWTAVISGFAQNGQPEEALCYFDMFLRSGLRPDHVTFVGVLSACAHAGLVDKGLDIFRLIKDEYNVEHTADHYACVIDLLSRAGQFERAEEIINNMSVKPNKFLWASLLGGCRIHKNVRLARWAAEALFEIEPENPATYVTLANIYASVGLFDEVENVRRDMESKGITKMPASSWIEVGRRVHVFLVGDKSHPQAEEIYALLKKLYVKMREEGYVADTGFVLHDVEDEQKEQDIGYHSERLAVAFGIIATPEGAPIKKEIIVRDSNRWGTVISKFMAKVNARQLLMWPTASRKKKKKKKKKKKKEVDQQRHEPELINSALISPAEKGELHLRKEERFVIPSLFSRQQSAPFCCLVHGDRGSATGSESRNQQVQIKKPGCGNAIAPIGVIGVALVVPLYRLAQHTKTARLSALSAARSQKKRSRWPLSRSSGIHAGWPPLAVRQVTTVTTSELR >Et_3A_026817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21999538:22001280:-1 gene:Et_3A_026817 transcript:Et_3A_026817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPNLAVLDALDSARTQMYHMKAIVIAGMGFFTDAYDLFCISTVSKLLGRLYYPNENLDHGKNKPGKLPDNVNDMVVGVALVGTLMGQLVFGYFGDKLGRKKVYGITLVLMAACAIGSGLTFGSGNRAVIGTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGVGIIFAGLVSMIVSAIFLRYNPAKSWKEDHGGSTQPAADYMWRIVLMIGAFPALATFYWRMKMPETARYTALIEGNAKQAANDMTKVMDIQIEAEQEKLAAFKAANEYTLLSWEFARRHGLHLIGTTTTWFLLDIAFYSQNLTQKDIFPAIHLTSDPAEVNALQEVLQISKAMFLVALLGTFPGYWVTVALIDKMGRYLIQLIGFFMMSVFMLIMGVFYENLRDKHVALFALLYALTFFFANFGPNSTTFVLPAELFPTRVRSTCHAISAASGKAGAIVAAYGFQKLTLKGDAKSIKKALILLAVTNMLGFFFTFLVPETMGRSLEEISGEDGNNAEAGAGAGPADVSRDVDKMPVSSTEWQSSMQA >Et_4A_033336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21681003:21684437:1 gene:Et_4A_033336 transcript:Et_4A_033336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTESQNRQPEVLWAQRSEKIYLTVALPDAKDVVLKTEPQGLFTFSAVAHGKPFSFSLELFDSILPEGSKTRTKIGLRNIICSLQKEKKGWWKRLLKSEEKHPYIKVDWNKWCDEDEESEASADSDDDFEGVNGDESDDDGMLCECPSYPVLECNL >Et_3A_023616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:139134:142187:-1 gene:Et_3A_023616 transcript:Et_3A_023616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKERRGTNAMASSLLLLLLVLLLKDGAEAVNQEGLHLLEAKRSLKGVDMLSDWNPRDATPCNWSGISCDDGDGSVTGISIPDANLTGSFPAASLCRLPRLRSVNLYMNYIGPDLGGGGDIAGCAKLEHLDLSMNALVGPLPDALADLPELLYLDLSSNNFSGPIPESFGRFRKLQSLSLVYNLLGGQPVPAFLGGVPTLRELNLSYNPFSSGPLPAELGENLSSLRVLWVAGCNLVGSIPPSLGRLGNLTDLDLSGNRLTGRIPPEIAAGLTSVVQMELYNNSLSGPIPKGLGSLPELRSLDLAMNRLDGAIPDDLFQPAPKLETVHLYANSLTGPVPASVASAPSLVELRLFANKLNGTLPGDLGRNAPLVCVDVSDNSISGPIPRGICERGELEELLMLGNKLSGPIPEALGRCRSLRRVRLASNRLAGDVPRAVWGLPHVSLLELNDNRLTGAISPAIAGAANLTKLVLSRNRLSGPIPSEIGAASKLYELSADANMLSGPLPGSLAALPELGRLVLRDNSLSGGLLRGRKLSAKEWTLTSFHKLSFSEYEILDCLRDEDNVIGSGASGKVYKAVLSNGEAVAVKKLWGAGVKNDQQHESFDAEVRTLGKIRHKNIVKLWCCCTQTSKDCKLLVYEYMPNGSLGDKLKSLDWSRRYKIAVDAAEGLSYLHHDCVPPIVHRDVKSNNILLDADFGARVADFGLAKTLLSLGGDSASSSSSPTAKAANKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVLLELVTGKPPVDPEEFGEKDLVKWVAATMDHKGIEHVLDTTLLPMDTTTITTTREEIIKVLHIGLLCASSLPINRPAMRRVVKMLQEVRAQPRLEKLYHSPYYYDEDTTSDQGSTV >Et_3A_023239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13323679:13324320:1 gene:Et_3A_023239 transcript:Et_3A_023239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SAACSSSPATASSTATSPPGSATSSRSPLWRRRCSWRTAPARRSPSRRATCTRSSACPAASDPTEKDTAAVRRALGLVDPGDALTRAKDDNPLPAPGTKPMTAAERDAFVVAFVLLPAEHFFAPGPAVGRRGKVNEQVFHALANPSEVHLYNWAEYALNEFRSCAVRVRQQVASRCSRISLSGCLLFLQVINYLVWDYKS >Et_4B_038017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25494173:25495495:1 gene:Et_4B_038017 transcript:Et_4B_038017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRMQAKSIRKNGHIVINGRPCKVVDISKIEKHGDENCHFVAIDLFNGNRLEATVPSFENCDGVPNVSRDEYQLALIDDGLATLMYADGTSKELSLPPNKTLRTQIEDAFNEGKDLIVTVVSAMGEEQIISAKEEPRGEGY >Et_4B_036744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11149344:11150971:1 gene:Et_4B_036744 transcript:Et_4B_036744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYSGDRSSSSSSRPTTTSFDSYQFDFGINAPRSGGSRPLRDQRPGAATGASQRPGATAAWTHQPATSAKPAWTHQPSPAAAKAAAPGSGPTSMVGDIFGKSWSSAAPSSGLGIPQANNPGLFSDLLGPALGGTSRAQSNAPLRSAAPQASKPTGANPNAGASSFSMGGMASTLPKTTAAPMGSGGYGVGGRPMKPAGMASAAAAQPMGQKKDPFGSIDPFAAKPGSMNTAKQASSVKPDQGFGAFQGVSSSSNSGFGSFQSADAGFGELDGLPPPPSGLTVSAAKSKGMESYKGGQYADAIKWLSWAVVLIEKSGKSADIVEVLSSRASSYKEVGEYKKAIADCTKVLEKDKENVSVLVQRALLYESTEKYRLGAEDLRLVLKIDPANRLARSTIHRLNKLAD >Et_2A_017313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33098806:33100457:1 gene:Et_2A_017313 transcript:Et_2A_017313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNASCHQLGVDAMMSSSSSFFVSDCVVGADAAMVHVPAEYTPHDGGGGGFGFGGFSALDGQLQLVRAHGAAYSEASGWYGPSPSEVTVALPPTAAKLAGDPDSGWIQEPPYYCAPTWFAAAARELEPSSTGTVVNLPGQSSSDVSCSGLTHASSGLFRPPYGGELVRPCRPHFSQVLSRSGYAHVVQQTLDEFVGCLLQDVAGSVVVGEASWPLPSSTCSMTSPSSQSMFSSSEEHPQQKRKNDLVKLLQLMEQRCNQCLDEMQSAASKYGGMVRPGGGGLPAPFAHRAVIAAHRRLRARIVAEIAAATPTPRSREQASSVSSLADRERSWESAFIQKHWALRQLRRGDQQSWRPQRGLPEKSVAVLKAWMFENFLRPYPKDNEKEMLAVRSGLSRSQVSNWFINARVRLWKPMIEEMYEDLKKASADSASVAV >Et_4B_038044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25756450:25757971:1 gene:Et_4B_038044 transcript:Et_4B_038044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVGEIGMGLDLGLDLRLFAARSAGRMAAAAKGGPAAVDACIRSLEEERRKIEVFRRELPLCVRLLADVIEELKEEAAKRGEDAESKADDGDKRKWMSTAQLWVDSDAKSEESEKEQQSDITSPEPKLLGGAPMPIRAVAAVPPSLPPFFRTEDKVAGSVGLPGLPVLSPAVKRPLSPVPAGDEHRQNAMTRFATTMPPSGPALSLHAQTQQQQQQARKARRCWSPELHRKFVAALHQLGGPQVATPKQIREVMQVDGLTNDEVKSHLQKYRLHNRRSPGATPVSQQIVLVGGLWVPKEQSSSQSGSPQGPLQFSGSGSGVGTSAATGGGDSSSSSSDEDEKSEGYSQK >Et_2B_022886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7224604:7225281:-1 gene:Et_2B_022886 transcript:Et_2B_022886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWLMVLAVLAASVTYQAGLNPPGGFWQEDGKGHVAGTPVLESKFPKRSVHRFLLLQRDGVRDVGGDHHPADERVFLPLGGQGGGAGGHRGARHGGAHGRLHRRENPRREVSSSIYIIVLAVVVFLYVVYTARFLPKLWGLVLGVPFVHRAAEDGTLPVPRRVLDERRQQIHLDHAQSAPPP >Et_3B_027851.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:22654895:22655086:1 gene:Et_3B_027851 transcript:Et_3B_027851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKVFRAVVIAAVAVSSLAGVAMAADEPAPSPTSGAASVSSSLVAAILCPAVALLFGGLRH >Et_9A_061178.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:20705947:20707356:-1 gene:Et_9A_061178 transcript:Et_9A_061178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSEEFGAGEAAVEEIVRDVVPGLAGRVRAHGVLRHRLVVGELESEPRVHLLPAGLADVVQHAAGAQHHGQVLQLHLRALLQARPPPLEPREGFLGDAPEVRDLLVEGVLRPRQVRVRVGRQHPVREREAGAADDPGPGHHPGRGALLQRGSVEHLVVGHGARPPDADVGEGAVGRDDALQRDGVGGLVVALRVAAVEVRGDGDLGRDDGDVGGVDGADDARDARVGLEAGFEGGRGVGVGPAEEARHEEVADGGAHLEDELVAGGDAEAEALGDEAERLPRGEAPHADGHALPDGDGGAECGVLLGHGGAQRVAEHGEGGVAHAEGGAEVGLRVVGGAALLPPRAGPVLHPQPPLLVPSSAAGRTRRRRRRAASGHLLLVVFLRVGVEEGELHARGVVDVQRGEDAPLAALAILLLVVGGDALPAPVVLLGHRLALPAPLALLLRIRFVGGAGKSSSSGEGVGRVGGE >Et_9B_065081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20995733:20999210:-1 gene:Et_9B_065081 transcript:Et_9B_065081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFAARRLLSARARSCAAATWAPSSSYAAVSRPRFPTPKEIRRGLDEFVVGQDKAKKVLSVAVHNHYKRIYNESSNKRSAKNLACGGVSTSGDEEIELEKSNILLIGPTGSGKTLLAKTLARFVNVPFVIADATAITQAGYSGEDVESVIYKLLVAADFNVEAAERGIVYIDEVDKLTKKAECSEDRRDVSGEGVQQALLKIFEGTVISVPRKRSQDNMSNGYVEVDTRNILFICGGAFSGLEKIVSERHQHCPFGFGIPICHDLRDCGWKTALQESCSVDATENDDLITYGLIPEFIGRLPITVGLMNLSEEQLVQVLTEPKNAIGKQYKKLFKMNNAKLHFTEDALRLIAQKAAARETGARGLRSIMEDILTEAMFEIPDTREGKEKIIAVLVDEESVGPLHSRGCGAKIFRDDGALELYVHQNNIKLPGLIQSNPRRSRISRLCLLVALSATKLWVYHTFHCFSSMYDWIVLMLCKANIFTQ >Et_4A_034770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6421078:6422976:1 gene:Et_4A_034770 transcript:Et_4A_034770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTSLSLPFSSLLRTTATTRPRLQPRPPNPNLPKPLRLSLTPAAAPRLAAVPDGVAIADVVEKDWSFLDAAGASHPRALAAGALTPASRVLAVTPTAPFVGALLASSPCDLLVAAHESLYVLAGVKEEHDEVRCFHLEGGGGGRGGGVVEAVPERFNDFDAVFVCYFPGMGVSAAALLKSLAKRCSKGARVVIFLDQGRQTLEQHRREHPDVVTSDLPSRSTLEKAAAGNKYEVAELIDEPTLYLAVLQFLG >Et_3A_023633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10149747:10155526:1 gene:Et_3A_023633 transcript:Et_3A_023633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRVGGAASEAASIVGVGGGPSSADLTELMKAQIASHPRYPSLLSAYIECRKVGAPPEVASLLEEVSRERRAGGGGAGAGEIGVDPELDEFMDTYCRVLVRYKEELSRPFDEAASFLSSIQAQLSTLCSGGSSPAATATHSDEMMVSSEDEQCSGDTDVADMGQEHSSRLADHELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARLVLLDWWNTHYRWPYPTEEDKVRLASMTGLDPKQINNWFINQRKRHWKPSEDMRFALMEGVAGGSSGTTLYFDTGTIGP >Et_1A_008379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7015875:7017757:-1 gene:Et_1A_008379 transcript:Et_1A_008379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKADTSSKGDGRLKAAGGAGKRKAAASGKPKRPPSAFFVFMSEFRVEYNAKNPGNKSVANVSKAAGEKWRSMSEEEKAPYQEKAGQKKQDYEKTKANFDKKESTSSKKAKTEDEEGTKSEVDDDEGGSDEIIELMYLYPQTAYML >Et_7B_055819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5331062:5332460:-1 gene:Et_7B_055819 transcript:Et_7B_055819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSFAAAIGAAVALIADDDEDQEATAPVQQPEEDYAKDSEDKSDVDEPPLPFQKPTGRQWVELTMRDHWRLREGAING >Et_5B_045147.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:10073709:10075034:1 gene:Et_5B_045147 transcript:Et_5B_045147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLQQGAHFVFVPLMTPGHLIPAVDTALLLAANGAALCTIVGTPATAALLRPTIDSARRSGLPVRHAEFPLDHAGSGLPEGADGGGVIPLMHMRSYFRAMALLRGGEPPTCVVSDLCHPWTSELAASLGVPRLSFFSMCAFFLLCQRNIERSDAFNGVRDDNELVVVPGLERRVEVTRAQASPLFGAPGWERFADDVERAQAEADGVILNSFLELEPEFVAGYAAARRTKVWTIGPVSSLYHHRAETAALAAARGKNPAAVDDNAGDRVLGWLDDDGRKKKKKKPNSVIYVSFGSLLQPGARQAVELGLGLEASGHPFVWVLRDAGQYDETARASLRELEARVAGRGLIVSGWAPQVLALSHAAVGGFVTHCGWNSMLEAVAAGLPMVTWPHCTDAFLNEKLAVEVLGVAVSVGVKEPTAFRMDTAKRSWSDGMRWRRL >Et_4B_037783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23345187:23350936:1 gene:Et_4B_037783 transcript:Et_4B_037783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGAGEAVAEALPLPAGGAAAGKDDGGSKKRRLAVAVWVLLAAAVWFILHFHLRRSAQRRAEEGLVSMCEERARMLQDQFAVSVNHVHALAILVATFHYDKDPPALDQVRHRRRHRPALEYSVFRYLRVSLSLEILAAYSIAINPWTWSSTCASSASSAAVLLPLHLITVKSEPCRVSPWRHRPLRCRRRPYLGADAVIIKWKIILARVFCRRQMRLLFVLDTFADYTARTSFERPLLSGVAYAQRVVHSDRESFERRQGWIIKTMKHEPSPVQDEYAPVIYSQETVSYIEGLDMMSGEEDRENIVRSRATGKAVLTRPFRLMSNHLGVVLTFPVYLWELPADAKVEDRVAATAGYLGGAFDVESLVENLLKQLAGNQDLVVNVYDVTNQSNPLVMYGSEVPLGYPSPSHICMLDFGDPFRKHHMICRYRNKLNVPWSAISTPSGIFIICMLVGYIVFAAWSRYDNVKEDCRKMEELKKRAEAADIAKSQFLATVSHEIRTPMNGVLGMLDMLLDTDLKSTQRDYAQTAQVCGKALISLINEVLDRAKIEAGKLDLELVPFDLRSILDDVISLFSSKSREKGIELAVYVSERVPEILLGDPGRFRQIITNLVGNSIKFTERGHIFVQVHLADHSNLATEAKLEPVANGMNGHKDETATISTNVSHNTLSGFEAADSRNSWENFKHLLSYETSEMHYGNDSDKVTLVVSVEDTGIGIPLDAQGRVFAPFMQADSSTSRNYGGTGIGLSISKCLVELMGGQINFVSRPHVGSTFTFTAILQRCDRSAISDSKPVMLHPVPSSFKGLSAVLVDKRPVRATVTKYHLQRLGITSEVVGSIEVALGVLSGRNGSSLISMKQPSMLLIERDSWGSKIDASLRTRLLELKQSGCTPVSPKVILLAAAESDKLRANYTVDSVITKPLKASTIATCLFQALGITQSSNEKREGSGSLRGLLLGKNILVVDDNKVNLRVAAGTLKKYGAKVECVESGKDALALLQVPYKFDLCLMDIQMPEMDGFEATRQIRAMEAKVNEQAEAGDALEADSTSKAAKWHLPILAMTADVIQATYEECTKCGMDGYVSKPFEEKQLFQAVQKFLDPSMLS >Et_8A_057468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3308523:3323185:-1 gene:Et_8A_057468 transcript:Et_8A_057468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCGGGGGRAPLSRRRLVQLACVLAYSFLFGAVRGRQLQPPPPLEVRTYSYASFQEGGLREGRDLQVLKDASVHGGALQLTPDTRNIPSYLVNKSGSILLRQPFVLWHLDDGDDATTAAGNGSNSSTSGHQQPATPRVRVVSFNSTFSMNVFYDKERPGEGLAFLIAPSLDGPPPGSHGGFLGLTNATLQAAGPAQNRFVAIEFDTVNQTYDPPNGNHVGLDIGSVVSNKTANLADFGIPTIAVNESNAVNYTVWVEYDGAARHISVYMAKKGQPKPASPVLDAPLDLSQHVVPEKTSKAWVVIVAVVVPVSVIIVAVAAYFVARKLLAKRSMERRQEMLGHTLSHLPGMPREFTYEKLRKATKNFDERQQLGRGGYGKVYKGLLPGAADASPEGMEVAVKMFTRDDARVVEDFLAEVDIINRLRHKNIVPLIGWCYKKGQLLLVYEYMPNGSLDQHLFRRGVHEHLPVLSWASRYAIVEDVAAGLHYVHNEYTRMVLHRDIKASNVLLDASFRARLGDFGLARVLEHDRNSYTDLGVAGTRGFIAPEYSVGHRATRQTDVFAFGALVLEVVTGRFALLGDPRCPLLSDWVWQMHGRGALLGAVDQHLGTAEFDADEAARLLLLGLACSSPNPADRPTMPQVLQILGKAAPPPEVPLFKPAFVWPPEGGTQFDLSDIGLSSSSAGTGNNNGASSAMATQDAASFDSMQAYTAPNTTGDYFQALSTGPASSGSVATNAMAGSDDFPTFSFPDFEYGDFHNVTVLGDAKINQGALQITPDSLNNVSYFLVNKTGRVLYSTPFKLWAHEKGGGKRVASFSTFFTFNVFRPNGTEPAEGLAFVIAPSVDEPPPPGSFGGYLGLTNASTDGNAINRIVAVELDTEKQAYDPDDNHVGLDVNSVVSVATASLTPFGIEISPIDPVLYDVWIDYDGAARRIAVYMAVEGNAKPRRAVLSAPLDLGETVAEMSYFGFSASTGLKFQLNCVLAWNMTVEKFPPFDDEMNSKSPVRGLLTIGIPVGLFFALFAAAILLYWFVAKRRKLHGDDSSGITGTMIRCLAGGPREFDYDELRKATNNFDEQMKLGQGGYGVVYKGVVVADDGSTVEVAVKKFSRESTKGQKDFLAELSIINRLRHKHLVLLVGWSHNNGELLLVYEFMPNGSLDQHLFSSAPGRQLLGWELRYNIIKGVASALHYLHEEYAQRVVHRDLKASNIMLDAAFSACLGDFGLARAIETDKTSYMDEVGGGIHGTIGYIAPECFLTEKATRESDVYAFGAVVLEVVCGRRPRCDIDDDFHFRVDWVWRLHRDGCALDAVDPRLEGAFDRDQAERLLLLGLACSHPMPGERPKTLAIQQILLGSMPPPVVPPFKPSFVWPATDDISMPSGASGAVATETTLFTSSPTWLSSGSYACFSVSSSTATILLLALPSVAALVRPRYPPKLPGGGGSSADGAMTNATPSAGSVPFGRNTLTVKTVLNDATLLPPPVALFLSWVQSLNGVE >Et_7B_054356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20283332:20284024:-1 gene:Et_7B_054356 transcript:Et_7B_054356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGRVIFPLVRTTTTTTAAASSPAVLRVGDAMRERRRFTEADVAAYAAVSGDRNPVHLDDGAARELGGFERGRVVHGMLVASLFPSVIAARFPGAVYASQTLKFAAPVYVGDEVVAQVQAVHIRRTTAASGSTSRYVVKFATKCFADEEEGSLAIDGEAMAVLPTLELVSETTRD >Et_8A_056698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15190641:15192422:-1 gene:Et_8A_056698 transcript:Et_8A_056698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGAGEGTAAPPRAAISHVIFDMDGLLLDTEGFYTEVQEKILARYGKVFDWSLKAKMMGKKATESARIFVDECGLNGLLTPDQFLEERESMLQELFPSCTKLPGVLRLIHHLHAKGIPMAVATGSHKRHFALKTQNHQEMFSLMHHIVMGDDPEVKSGKPSPDIFIAAMRRFEGNVEPSKCLVFEDAPSGVAAAKNAGMSAVMVPDPRLDVSYHKEADQVLSSLLEFKPSEWGLPPFEE >Et_1B_010125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25146001:25146693:1 gene:Et_1B_010125 transcript:Et_1B_010125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARPWRWAKTAFFLAAMLASLLLVCAPPLLVVLLDLALPPALLSATLRGSFASAAIAQARAFDFRSSLVDLPALSAARALLILCAYVVCGGGGAYLWVVAACAAGSVSYVLAKAAAAASARAVSVAGPEAMLLLSLALAAAHMAAAYRTSCRERRRLLVYRIDVEAVSARAA >Et_2A_015155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33357476:33358107:1 gene:Et_2A_015155 transcript:Et_2A_015155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLWHVVRAVLFMLRKGMSKRKLAMDLHLLLQRGKIAGKAISKLMNGHDKAAAAAGAPPPPCRGVDPALAVYNPRGGSREVEFSCSNTPSQAPPPQQQQPPHPPRRQRRPAGVVQLRRRRHCQGLRDPQQQRPAAQQRRRRRRRRAAVAPRTGGDAVARAREAAADHRLAVLGQGRRGRGRAGGPGG >Et_7A_052366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7245779:7248416:1 gene:Et_7A_052366 transcript:Et_7A_052366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPFARLVPNARPALPPVNAGAAGRGCTPVLVPRPTALRGPPLAGRRAFRLLAVSAQSASPQTGLRLEDKFFEVEMKVRDYELDQYGVVNNAVYASYCQHGRHELLESVGISADAVARSGESLALSELHLKYFAPLRSGDRFVVKVRLASIKGVRMIFEHFIEKLPNREQIMEAKATAVCLNKDYRPIRVPPELLSKLQLVSSKDSMG >Et_2A_018687.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5069099:5070544:-1 gene:Et_2A_018687 transcript:Et_2A_018687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGHLIPAVDTALLLATDGAVCTIVGTASMAMRARPTVEAARQSGLPVRLVDFALEYSKVDLPEGADSEDNVPAMHIWNYFRAVALLRAPIESYLRAQAPYPTCVVSDFCQPWTTELAANLGVSRLSFISMCAFCLLCQHNVERFNAYDGVADDNEPVVVPGLERRIEVTRAQAPGFFRTIPIPCWQEFADYVERARAEADGVIMNTFVEMEPEYVAGYAAARKMKVWTVGPVSLYHQGVATLATRGSSSTIVDADECIRWLDGKEPNSVVYVSFGSVSQASPKQVVELGLGLEASGHPFIWVVRDSGEYEYDETVRDFLCDLEVRVAGRGLLVKAWAPQVLILSHAAVGGFVTHCGWNSTLEAITAGLPVVTWPHFADQFLNEKMAVEVLGIGVSVGVKERLTFQAVEEIMVGRDVVEKAVRSVMDSGAEGEERRRRARALAAKARASMQEGGSSHVSLLDLVKRFKTGDACDAADAHV >Et_4B_037961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25124915:25126252:1 gene:Et_4B_037961 transcript:Et_4B_037961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGVEEERVRGDAGEEEEEDVPQLSASALEALKEFLAEQRGAEPDAGEGGVELVAEDWRLSQFWYDDLTARTLVEEVIRLASSSAGSGAAAAAGAVACIACPTLYVYLKKTDPGVPAQVLEYDERFGQYGGDFTFYDYNRPEELPPAMKHAYRVVVADPPYLSRECLEKVAQTVSFLAQPEGSFLLLLTGEVQKDRALELLNVRPCGFRPQHSNKLGNEFRLFTNYDPAGRLGGWEQSDGASS >Et_4A_033244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20368995:20374923:1 gene:Et_4A_033244 transcript:Et_4A_033244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWNSNMGDNSRPLGEDDDLIELLWCNGHVVMQSQTHRKLPPRPEKPAPCVAPAPAAAPAAPAQEDDAGLWFPFAMADSLDKDIFADFFCEPPAPVAAAAPVVAAGVEAGGKPCHRDVDVPVVDVGGACAVSEAPCELMPPPKSTTAHVSCSRQQTISLGDGEDLSELVRARKEASSSSMLSAIGSSICGSNQLPRAPDTATAGAAYCGGAASAMPSAVGSANANAIAGGRGGHHEATVASSSGRSNGCFGATATTTTEPTSTSNRSSKRKRLDTTEDSESPSEDAESESAAAARKPPAKLTTARRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYLKSLQLQVQMMWMGGGMAAAPPVMFPGVHQYLPRMGVGMGAAAAMSSMPRMPFMAPQPVVPSAPVHSVPGFRGHMPAVGITEPYAHYLGVNHLQPAPSQHFAQGVSYYPLGPKAVPQNPALHVPSGSMAAAAAASGVLPPESAPNRGPGTTTTPLCSPGLFKTGVTNTQKRTNYMAALESLPEQDGMLKCSPADQPQC >Et_2B_021277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28142635:28145963:-1 gene:Et_2B_021277 transcript:Et_2B_021277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAVAERAYQTAARAALAALERNVLPDAVTRRLTRLLLAQRLRLGYLPSAPLQLQQLLLFAHSLEEMPIAIETDKAKAQHYELPTTFFKLVLGKNLKYSSCYFPDQSSTLEDAEIAMMELYCERAKVQDGQSILDVGCGWGSLSLHIAKKYKNCSVTGICNSKTQKAFIEERCRENELSNVEIIVADISKFEMERSFDRIISIEMFEHMKNYKALLKKISRWMKEDSLLFVHFFCHKTFAYHFEDNNDDDWITRYFFTGGTMPSANLLLYFQEDVSVVNHWLVSGTHYARTSEEWLVRMDKNITSIRPIFEETYGKESTTKWIAYWRTFFISVAELFGYNNGDEWMVAHYLFRKK >Et_9B_066161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5267025:5271701:-1 gene:Et_9B_066161 transcript:Et_9B_066161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPSPASRRPPGPLALGLESSANKIGIGVVSLSGEILSNPRHTYITPPGHGFLPRETAQHHLAHLLPLLRAALAEAGVSPADLACVCYTKGPGMGGPLQVAAAAARALSLLWKKPLVAVNHCVAHIEMGRAVTGAADPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLELSNDPSPGYNIEQPNYFTQIYVQSSVLRMLAPFVCRYMANRLVET >Et_8A_058108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20233620:20234638:-1 gene:Et_8A_058108 transcript:Et_8A_058108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDGTVAPLLPSSNAPPPRRNIFPFLCATLASMTTVLMSYNTTSFTDEWHRPVHPGDLSLMSGVELFIREDLGLSDTQTEVLVDCSIVYMLVSIVAGGGAGDMLGRRATVVLANALLMAGALATVLGGSYATLMAARFITSLGSGFNRVVAPVYNAEILPPPCGAKSAFELGGCHEDIEGAGQWKFHVFVDRPGHMLNNDGTLFGYVSNYAFVGMPVQYGWHVMHAVAVLLPVLTMP >Et_1A_009492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6633676:6641355:1 gene:Et_1A_009492 transcript:Et_1A_009492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSASVGIGCCIPDDILFFQILILLPVKCLVRLQSVCKLWRATITSTHFGRRHLEYSRSKSSFVIIPRKYQDPRKFGLQGVLEPGHTKVAELILEKICRNGIPMFSLPLHCDGLILIPCSLGRIFVCNPGTREFVELPPGSRNVAGDCRVAFGFDPRSGKYMVARHFLRSYSESHQADGERTVLEYSAGHEVLTLGGGEETWKWKATMDPPYGINARTPICLPGFFYWSALRSSTDNGNRKVSSHVILRFSLHDETFTVHPNPPCRGFLSKNDMLCELGGKLCYIHSASPWDAAIWLAEDGLNLAWSLRCRISLPVPRRLRLHCFSCPSGDQDKIFLSIDAWYLFRCNLHDGSLEEIINMARGMLYDNGEGIRFSTGPANKPASPAVALRARKPDPRSSYSLKGDKRTRNLHNKKHNTIVPPHIRSICNRKQKEKTEGEEIEGLKDHRVVTLWLGVKSNLYAQSCNYSVASAVLPAAVSAVALPANSWGSELKVTHD >Et_4B_038755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4647831:4651214:-1 gene:Et_4B_038755 transcript:Et_4B_038755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGPGLFSDIGKKAKDLLTRDYTYDQKLTVSTLSASGVGLTSTAVKKGGLYSFDVSSVYKYKNTLVDVKVDTESNISTTLTVLEVLPSTKLVTSVKLPDYNSGKVEVQYFHENASFATAVGTKPSPVVEVSGTVGAQGMVFGAEAGYDTATGKLTKYTAGIGVTKPEYHAAFILADKGDTIKVSGLYHLDEKQKASAVAELTRRLSTNENTLTVGGLYKVDPQTTMKARLNNTGTLAALLQHEVKPKSILTISGEFDTKALDRPPKFGLALALKP >Et_4B_039756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28636683:28637347:1 gene:Et_4B_039756 transcript:Et_4B_039756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWDSPVLGDDSKVRARRNRSLTKEEVEAFWKQRRKPEDGGEASSPGASPFGSLEKARSLPSPLAGRGIMKVETGGGGPSSPASRVEGFAPGDVDAGESPSKSRDWWTRSSWAFLNEPPQEEASSRAQSYAPQFHVARIATGNA >Et_4B_036211.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:10913890:10914333:-1 gene:Et_4B_036211 transcript:Et_4B_036211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRVDAHAGTTATRQARVTAAGRVAPALRLRARRASCVGAGGSGTTEPVPVEVRAQSVVADLQGGAEPEMVAEELESLEEAAIAGEDEGRRPTDYDRRAHIFEESSRVFRELKQRHDGDVQGGGGGGSGTAAAETATHDQQQQPR >Et_4B_036294.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:15487542:15487709:-1 gene:Et_4B_036294 transcript:Et_4B_036294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATDAGISKIELDTDATNLKTVLTPSMLDHSPNRVLFKYEVFDVDIVCVCQDST >Et_4B_038879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5617612:5621901:1 gene:Et_4B_038879 transcript:Et_4B_038879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAARTLGCVSRAVFSWKRTGRPQQTLAAAASRSGVGLHSGARTTATLLPARAGDGRYFVVDGDEARVAAEVGNAEPQSQLCTTLRRGDGGGSPRVRTVEHLLSAMEALGVDNCRVEVSGGDEIPLLDGSAQEWVEAIQSAGLCAAEDTSGQQLEKLAPEIHDPVYVRRDDCFVAAFPSSQINITYGIDFPKVPAIGCQWLSTFLDADIYSRKIASARTFCVFEEVEKLRDAGLIKGGSLENAMVCSMTDGWLNPPLRFDNEPCRHKILDLIGDFSLLAQNGNQGFPIGHIVAYKAGHALHTDFLRHLLGKTTVDQEKLAGQC >Et_2A_015111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30330915:30331289:-1 gene:Et_2A_015111 transcript:Et_2A_015111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGSDAFRKGKYPRCTQ >Et_8A_057897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:976810:978112:1 gene:Et_8A_057897 transcript:Et_8A_057897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDCQNIFLLTWLACQFARDDMDGHGLAGDFDQFSALPHDLLHVSLTFLGDGATTAVMRTAVLSR >Et_3B_027864.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23488740:23488937:1 gene:Et_3B_027864 transcript:Et_3B_027864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGAIVLTWQLGPCTAGHLFIAFILWLFGAAAVMMSLVAQQFPRLAAAGATAAMVLRNHLLGGL >Et_9B_065594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7680160:7684135:1 gene:Et_9B_065594 transcript:Et_9B_065594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPSAFPKSLLSVRRLLRRSLSGRHYRRTTSATARTPVAPPQDKLHDRTVVVDVEGWLMRSPLSTFPYFMLVAVEAGSFLRGLLLLALYPVLLCLCLLGNDLYLKAMVMVSFFGLREKEVVRISKAALPKFFMEEVAMEGLESFKKAGTVVAVTTAFPRVMVEGFLKEYLDVHDVVGREITVVAGRYVGLLEEEETSMERVGGLLEEMDEMKSKGDGAVGLVMMGSRLHHVFSRYCKETYAVSDFDKKAWQALPRDKYPKRLVFHDGRLALKPTFFAAVAMYTYLPWGIFLAVFRSAAFGLLPYRISVPLGALTGMRSRLIAGPRPDATANKSNNKAQGRLYVCNHRTLLDPITVAAGLNKPVTAVTYSVSPVSELIAPIRTARLTRDRDEDRRRMASLLARGDLVVCPEGTTCREPFLLRFSPLFTELTREVNPVALETRVDMFYGTSTKPGAKWMDPFYFMMNARPEYRIEFLERVVTAPDDGEVGGHGHSIRVANQVQRVLAEALGYKPTQLTRRDKYMVLAGNEGVVMKGDAKNSQQHRSSSSVTCPALEVRVVEEGLRQPLLQAGDGRDGGRLLQAVGAPAEGVVDELAAEGQQLDLGHGDVGTGHGVAAGEVDGRAADVVGAPDVGVGDVGDVHGGGLLRTFSINTVSKVMPETEPMPPCHVLMRTPLSEFRITAFRTVTFATHACELCTPRLPMLQKKQATYARGGMGFRTPFVNSARNRH >Et_2A_017599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3989529:3991108:1 gene:Et_2A_017599 transcript:Et_2A_017599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPSSPAPHVAVVAFPFSSHAAVLLSFARALAAAAPAGTRLSFLSTAASIEQLRKSGSALPGNLRFVEVPDGAGDDAVPMPMPRRMEMFMQAAESGGVKAGLDAAAAAAGGARVTCVVGDAFVWPAAEAAAAAGAPWVAVWTAASCALLAHLRTDALREDVGDDAASRADELLASHPGLANYRVRDLPDGVVTGDFNYVISLLVHRMAQRLPRAAAAVALNAFPGLDPPDVTAALAALLPECLAFGPYHLLLPADSDANTHATADPHGCLAWLDRHPSRAVAYVSFGTVASPHPDELRELAAGLESAGAPFLWSLREESWPLLPAGFLDRAAASGTGLVVPWAPQVAVLRHASVGAFVTHAGWASVMEGVSSGVPMACRPFFGDQRMNARSVAHVWGFGTAFDGPMTSDGVAQAVAALLHGEEGDRMRARAQELQAMVDKAFQPGGGCRNNFDKFVEIVCRV >Et_5A_041701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26065635:26071359:1 gene:Et_5A_041701 transcript:Et_5A_041701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQAHTPVQAGKRGTVSALHLRVSGPFLVLNGGPRRHRKPRVPFHQPRDTGATLSEDLLKRQSTEDPDGSFYTGDDPDGSFYNGDDLDRSFYNGDDPGRSFYDRDDNDDLDGGDDGPPKKSSEDIIRSRAENGFVCREMLVKETKKVFRSEDENGNKMINQYVHLGKIGSGSYGKVVRYRSMKDGKLYAVKVLNKPYMMKVRVVRSETAMTDVLREVAIMKMLEHPNIVNLVEVIDDPNIDKFYMVLEYVEGKMVCDNGIEEATARNYLRDIISGLMYLHSHNIIHGDIKPDNLLVTNTGNVKIGDFSVSQIFEDDDDMLWRSPGTPVFTAPECCQGSAYHGRASDTWAVGVTLYCMISGHYPFLGDTLQETYDKIQETVSPYKLQLSTHGWLGIRGQSPNTSVDVALAAGREMIFRKKYNSRE >Et_1B_012538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3296959:3308799:1 gene:Et_1B_012538 transcript:Et_1B_012538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FLRAECGSVRSRTLPVGSSPSAIINHQDQNGKTTRRLPHDLPVSDSHRARLPPPPRPDSDAPPEAARGRRRAGCWRRRPRSRRPAAMEDLGIEAKEAAVREVAKLLPLPELLSSIASIKSDYLARQQTNDAQLSTMVAEQVEQAHAGINALALSQETINKLRENFIDIDKLCQECQTLIENHDKIKLLSNARNNLNTTLKDVGGMMSISVEAAAARDSLSDDKELIHTYERLAALDGKRRFALAAAASHKEEVGRLREYFEDVDRTWETFEKTLWGHITNFFRLSKESPQTLVRALRVVEMQEILDQQLAEEAAEAEGAGTMATITNQRRTAKKGAGATATPTKGSQDKSKVQGKGYKDKCYECIRMAVEARFNKLLTELVFGDDLMDALEEAKAIGEELGDIYDYVAPCFPPRYEIFQLMVNLYTERFTQMLRLLSDRANDIQNINILKVTGWVVQYQENLIGLGVDESLAQVCSESGALDPLMNMYVERMQATTKKWYTNILEADKTQPPKTREDGKLYTPAAVDLFRILTEQVQIVRDNSTDVMLYRIALAVIQVMLDFQAAERQRLEEPASDVGLESLCALINNNLHCYELSSELSSSTLEALPQNYAEQVNFEDTCKGFLDVAKEAVLQTVSVIFEDPGVQDLLVKLYQKDWLDGMVTEYLVATFADYFGDVKMYIEERSFRRFVEACIEETIVVYVEHLLTQKNYIKEDTIERMRLDEEVLMDFFREHVNVTKVESRVRILADLRELASAESLDSFTLIYTNILEHQPDCPPEVVEKLVGMREGIPRKEAKEVVQECKEIYENSLVDGNPPKSGFVFGKLKCLTARKGIWRKLGQ >Et_9A_062144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2075482:2076342:1 gene:Et_9A_062144 transcript:Et_9A_062144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFRIHRSIGPLHAGDPSLRPGWPTYLNRLILRCHHPAEHHAYVEVVAARELEARAMYAADDVEGLGAEDFVQMLVLDGCFVIVASGREEPSLHATPFGATQLSVDLILAENQMPFFVLVDLIKHTVLPLPIPELVCGVRSRQASAADEAAGADRVSHILHLLHATVTEACTRWPQPRSAPCRIRTTLLLLHPTLPEEYTWNAGYLPSASDMKLVWLHFKKVHDGKSASSSAAAGNSSIASVLGPVPLAVAM >Et_4A_033772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26780020:26786443:-1 gene:Et_4A_033772 transcript:Et_4A_033772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPNIERAWKVGRNFLKGKSIQDVQRIVQLLTSGSAGARPPVRRLVDTRPREPLRLGEAARNLLIAAGSALPWQYYAAVAAGGVALVFILRTAIRRRRGNAGDDAAAADEDDDDAAADEDDARTEGDVHGRGPGNDGNADDADARGGRPGGGGGGESEGGGQGNGGGGRGGGVEGGDLPGNRGVGRGGSDDEDEEKEDGGGGPRGHQARRYAQVVAATAAAAKHGPQVLHCGATCVPHIHRHCQTNSPRRPLRYRRFAACRPLLRADPGRNGGLHCVKHTAGTLRLLVPGVCKLIHTRLRFYWFWFIGVILRVQRTAANGSPGNDSPSGDKKRFRRPYQTKTFKVELCFAAKIPMSAAAQALRGQESEHTQEAIRVIGIIWRQHSAKQYVPVAPFLTGCCLLVRQSFIHNDPSNFVDLGGGSFCGVSLIIDVSTTTIVKPDPVIDFLPASQKVNHPNQIDWAKAKRALKNLRIKISPANSESKDKDKDLVCDLIKCWGMKGIKVENPFDVFEENRSMRRAPAIRRVEDMFEQVKTKLPGAPKYLLCILAERKKSDVYGPWKKKCLAEFGLVTQCVAPTRVNDQYVTNVLLKINAKLGGLNSTLQVKASPSIPLVSKEPTIMLGMDVSHGSPGQSDVPSISAVVSSRQWPLILNYRASVRAQSPKMVMIDSLFNPQGTEDDGLIGDCLIDSTPDLERENLNKSSSSGTVVDNGVCHPRKYDSYKCAHPGMMGTTRPTQYHILHDEIGFTPDDLQELLLRSAMHILLLLRSASSWCRRCRPVSVATRPQAVSRCKSCLANLKKNKIRERKGKTWGRVSMDCRRDQAQQLVFPNLLAVQGQRLAVRRSSNLLARKRKGKGRGRGRRGRGRGGAEEGRARLIWSSSAALDEDPAWGSGRSSAAARREG >Et_9A_061508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12801130:12805938:-1 gene:Et_9A_061508 transcript:Et_9A_061508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNPGGDSPDNIGGGDLRLICEFCGYADDYSPDDAESGFFSCRQCSALHATQATAADPHEFHATGNISVRRIATQPTQTPKPRTPAPYPTPHAAAAAAAAPAAPVFDDFDMPNGPRDFALGADSCGGHEDLGARIRWRYVRGLQVILQRQLGVLVERFRVGALVCGVAGTIWVRWVAASKVFDEMWVHQKPDVVKLELDDEILPQGRDRRKVEFSFLRSLRMLLPVYSTLAVSFLACHVAREAILPTDIYKWAMEGKIPYMAAFTEVDKLLGRSMQECPLDARQLFRPVRVIGAWQLETAAGSIAERIGLKLPSVNFYAIALRCSKDLSLPLEKLIPHACRIYEWAMPAELWLSSNPARIPTRVCVMSILIVSLRILYNINGQGIWEKICEEGRNTSGFDSGANSRPFMKPGGGNSEELEELLRTVAASCDKINVGHDYSNDLQSYLKYCKENAHPKEQVKSEPNGVEETAIPSGVNKRFRDGTFVEASSLSSSIEHDALQLIKSEMQDHGFHYMPPRKPRDSDGYLRYRRRKLMGGFVYVAHADYYMLLRAFAKLAEVDTITQMIRTTAQWRDRLSSAGAWQVVMGRIAELLIFHLEK >Et_1B_014190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3513127:3514318:-1 gene:Et_1B_014190 transcript:Et_1B_014190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLVKPHSNFAGAVHSSRRAELHGRCPATVHFGRFQDLRCSPSQSKKPAGRGSVKVNALPSLDMVSLMAVMVDHVANSRDYVVTKSIWHLSDTALKSTYTFYAMFTVWGVCFFASMKASTLVDLKQDPFYDSEAYRGQGGDGTVHWYYDKQEDLEASAREELMREELLEEIELRVGGLRELEEAGREEQLTQ >Et_9B_064860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19341637:19354330:1 gene:Et_9B_064860 transcript:Et_9B_064860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAIAAAKPIALQAAPTRGGFGCRRRLVPKVPAAAARLRVSASAGSSDVPDFLASNWLETRKRKPFGPRLNFSAEEAVEYQLESLKYNDKPHQDYGIEIMYATLIPLKGQLILDDGLIWDRVLLGHNERKILSSLWVEENRFKQRVWVQGSRPREEEIFQFTMVQRLGGLWDGYWLTESLINDGDAFSGDIPRHSVQRYWARRNHRRLGLPSRRLKVARLGGGDGGRKQSVRSAPWKKLAVAALRLRAAIALATRPARLLARLRDAYVDAMLALAGGAGRPCAALARSRSCAPAEAGLLARRVPRARTRGSGSDFERCMMAHIYSAPIALLAPPTRCGFCCPRRLMPKVPAAAARLRVSASAGSSDVPDFLSANWLETRKRKPFGPRLNFSAEEAVEYQLESLKYNDQPRQDYGIEVMYRALIPLKGQHILDDSLIWDRVLLGHKEREILSSLWVEENRFKQRVWVQGSRPEEEEIFQFTMVQRVGGSWDGYWLTESLINDGDAFSGGIAY >Et_3A_026254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5999967:6006716:1 gene:Et_3A_026254 transcript:Et_3A_026254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGSLLLPCIYMLIRHRKGHSTHFLLIRRTSSRDERNIEALISSHGSLAPKRYKYSEVTKITSSFNNKLGEGGYGVVYKGMLHDGRLVAVKLLRDSKENGEEFVNEVMSIGRTSHVHIVRLFGFCLEGSKRALIYEYMPNGSLDKYIYSENPKAILGWERLYAIAVRIARRLEYLHHSCNTRIVHFDIKPQNILLDHNFCPKIADFVTGARGTIGFIAPEVHSRTFGVVSTKSDVYSYGMMLLEMVGGRKNMKSLVEKSSQKYFPEWIYDHFVQDEGLQACEVTHEVEEIAKKMVLIGLWCVQILPMHRPTITKVTEMFEKGSDELHMPPKQNFSQILEDSTCNLSAESISSNSSKTQAYSEVIKEPHLRLQLSVFLQKLLSPPCANHTMGAGTLRATHRIGLQRTAALCSVNMPVRAIIQVEKPALDYVRLISAGFLLERPASGDCDTCTRSGGERGFVELAFQCVCPAKGCSGLKVRNLLLPEHDGDVRTGVVRQPPPSPTRFWLAGTHPSECGYQAFQVACDKQGSASLIMHIFYSNSSFRVRNFQLMHGTCNTELMVNASSDLGLSPYRISTRNQELFFLYNCSRQARQLPGSWAPNDSINSFALLDGRYRPDANLTPLPGNCTVSVMPVLGYEGAKGADYEWLMRRGFLLEYSADGCEACMETGGWCRIGDRDDAFECHCYDGVYPEICGELINAQYFVLILFLYRFSRSVLPAKSLKISSSFKITELSFCRDGSTAEVLSIAPTTTNGTNNTICAPARCGDLSISYPFTPAGVQQLECGFPALQLRCDAGRAYLTGSFRERLYRVYNISYDTNSLTVAVETTFADEDWCPVPNFNVSSSLALFPLNISSTNKNLTFVYNCEVPLQRRLPPPCANHTIGDYMSERPDAEGNPPQWVPTNCTSVKMPVRTIIQEKEPAHDYVCG >Et_3B_031307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25238191:25244072:1 gene:Et_3B_031307 transcript:Et_3B_031307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSHPWQLFPGISPAAAASASAPAPRSVCKSSKVLAGLPHRRKQLLWGFSSVMRRTRIRSVKDDSIHFDPTKIEPPPYSSYLDSTSGEMEPASGARASIPGQEYWPEGTAARVRAARAPAPVGESAGAPSFGKKPGSRRKGYKEQVPSASAGQVTETDDDDGGIVVAAEDPDDVPEETKYSADEYVIYETPQEEELSEYDMDKMMGRPHPFIDPAKAMSLGEPKTSEELWWHWRRKSDKDVWSRWQRRRPDVDTVFAKAMAETGQIKIFGDHPTRTEAALAKTRRHLYKEERLEAEQRRLEEIGPIAYYSEWVEAYKNKDTSREAVQKHFEETGEDENTQLITMFQHQTAGEYRIMMGTDVRIQRDPLAMRMREDQIKQIWGGDPVYPTINYVQDPDEVIDYRGPEFHEPTPEVVPYLMEHGIMITKEELYARLNEEREDFNQDITYIPEVKDPMATAVDIGEHSYNEDSDDEEEDGDKVAAQPESLEDEDDGDGAEEVEDAGHNWSVLKSTGQAEKPKAGSWPQIDK >Et_2B_021673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4356508:4356845:1 gene:Et_2B_021673 transcript:Et_2B_021673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVAAEIGEGHLNLKIIVNVRSYFALTDGGPRTFTHRRKLPSLTVDSHNLSLLQLVNHIAETCIWGQNNT >Et_7A_052370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7211479:7219187:-1 gene:Et_7A_052370 transcript:Et_7A_052370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKASVALFLAVNLVVFAMASACGGNCPTPSTPSTPTPSGKCPRDALKLGVCANVLGLIKAKVAVPPTEPCCPLLKGLVDLEAAVCLCTAIKGNILGINLNLPIDLSLILNHCGKTSPEGFNNGRQGLDRSVPGRQPGRVRHGQRLRWQLPHSINPVDSHPVRQVPPRRAEAGRVRQRSGLDQGQAMASKVLIILALNILFFTAANACGCACGKCPTPSPPALPPPPPPTPSYNKCPVDTLKFGVCANVLGLVKGEVGKVPAEPCCSLLGGLADLEAAVCLCTAIKANVLGIVVDIPIKLSGLVNYCGKCLPSGYQCA >Et_3A_023369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23781844:23782392:-1 gene:Et_3A_023369 transcript:Et_3A_023369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVTGRILDVDFVQGLIGQVRAHLAENAAAYFEAEAQLEEVRQLLAEAALVAVANLPPPAEEEVEVEEDPEVSARAAEYLEIAARVNQLLTHHLHLSEALLFLVILRAVAFAVARAPLLPRVLLAVAAAYALAYAASWGRVVPGPASLLRIAVLVLCFLLGRGPRLMLGV >Et_3A_025611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31194959:31197931:1 gene:Et_3A_025611 transcript:Et_3A_025611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENTTSRQVTFCKRRNGLLKKAYELSILCDAEIALIIFSGRGRLYEYSNNSIRSTIERYKKASASTSGSAPVIDVNSHQYFQQEAAKLRQQIQTLQNSNRHLMGESIGNMTVKELKNLENRLERGIGRIRSKKHELLFAEIEYMQKREADLQNENMYLRAKVAEADRAQEQAAEGHEIVPATATAGGGATELQALPASFDPRSYYQQVSMLAAAASASSSQYSEHPGQEHHQTALHLGYHIKVDAAARKGLI >Et_3A_024883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25041071:25042954:1 gene:Et_3A_024883 transcript:Et_3A_024883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKALVLACCCLLAASPVAARSLTGDEHFRSQKHPADPHHSPGSSATPCSPPLGGGGGYYPPSPSSGTSPTTPGGGGGGGGGGGGYPPSPSIGTSPTTPGGGYGTPSPDIGNAPPSPDSSTSPTTPGGGSGGGYNAPPSPDSATSPSTPGGGGGGGYGTPPSPDIGTSPTTPGGGGGTYNTPPSPDMGTSPTTPGGGGGGHNTPPSPDIGTSPSTPGSGGGYYGGPPSPSSGTSPTTPGGGGYTTPPSPDIGTSPTTPGGGSGGYGNPPSPDIGTSPTTPGGGSGGYGNPPSPDIGTSPTTPGGSGGAYGTPSSPDIGTSPTTPGGGYSNPPSPDIGTSPTTPGGGSGGYGTPSSPDIGTSPTTPGGGGGYSNPPSPDIGTSPTTPGGSGGYYGPPSPSSETSPTTPSIGDTPTPFPPLTPTTPTPFDPNSPPFSTGPCIYWMTHPGVIWGLFGGFWCPLTRLFGPAAVAPFGRDLTVPEALANTRGDGVGALYREGTASLLNSMVSTRFPFTTQQVRDAFGAALRSGDDGAAAAQAQLFKKANEGRVK >Et_4B_038564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29890264:29906371:-1 gene:Et_4B_038564 transcript:Et_4B_038564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVEEINGDELVINCTSGKKVTANVSSAYPKDTESPHGGVEDMTRLAYLHEPGVLQNLKSRYSLNEIYTYTGNILIAVNPFQRLPHLYNNHVMDIYKGAEFGELSPHPFAIADRSYRLMINDRISQAILVSGESGAGKTESTKMLMQYLAFMGGKAQAEGRSVQQQILESNPVLEAFGNAKTDCKKYKLGEARSFHYLNQSNCITLDGLDDSKEYMETRRAMGIVGISSDEQDAIFRVVAAILHLGNVEFAEGSEADSSVPKDEKSQFHLRTAAELFMCDEKGLEESLCKRVMATRGESITKNLDPRAAALSRDALARIVYSRLFDWLVNKINSSIGQDPDSKILIGVLDIYGFESFLTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIHKPKFSRSDFTIHHYAGNVTYQTDLFLDKNIDYAVNEHQVLLNASKCSFVSSIFPPIDESTKSTKFTSIGSSFKQQLQSLLETLSSTEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFYEFVNRFGILQPKVLGRSHDEVTAAKMLLEKANLTGYQIGKTKVFLRAGQMAELDALRTEVLGHSAKKIQSKVRSFMAQKKYIHLQQCATQLQAACRGTIARRCYENLRREASSLKIQTFYRMHHARKNYVDLCSASTAIQSGLRGMGARIRLRCSRQTKAAVIIQSHCRRFLAHSHYVRLMKATVTAQCAWRGRVARRELRNLKMAAKETGALQAAKSKLEKEVEELAWQLQLEKRSRADMEEAKTQENKRLQLQLQELHLQLKDTNALLKREHEGAKEESEKAVVMPQIHDDTTLVNELTAENERLKAQVTSFEAKLQETEQKLEETEKARERLLNKTTDSKLDELKNTMQSLQEKLTTMEAENHVLRQQAMKTRPDNMPLLNMHRKSNLANGSLHAEEQTPHATPMEFGRRSYIERHNESVDTLINCVVENVGFSEGKPVAAITIYKCLLHWRIFETDKTNVFDRLIQIFASSMQKQENNTDLAYWLSNSSSLLIILQKSLKPPGSSVTTPLKRPQTQTSFLGRMVFRASSITVDMDLVRQVEAKYPALLFKQQLTAFVEGLYGMIRDNVKKEISSTLSLVIQAPRNPKAGLMTDQGIYWQTIVNNLNDLLRILQENCVPTIFSRKIFTQIFSSINAQLLNSLLVHRESCSFSNGEYVKQGLDELEAWCTQAKTEVIFKKFRISYDEIISDLCPVLSVEQIYKICTQYWDDKYNTESVSEEVLDEMRKLMNVESGEGTSDSAFLLDEEISMPLSLEEIANSMDAKEFQNVSPPQELVENAAFQSLVAPEEELSIPTADVPGRHLAVGHAQGPKKHHPGAQGRTSTTSLFTTLLQRPTSSSSSRASRTRSPVRRSGREGWTKQGEEERLIGAQQGHGVRLRQASRTSGLPLAGWSASWRPNNSSSSPLSVAGSPRFRVYDLDFGFGRPT >Et_5A_042717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2970233:2970524:-1 gene:Et_5A_042717 transcript:Et_5A_042717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSTATCIDIILAIILPPLGVFFKFGCGASSIISRFFELQTAYVEFWICLLLTFFGYLPGIIYAVWAITK >Et_9B_065829.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14019831:14021705:-1 gene:Et_9B_065829 transcript:Et_9B_065829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLLSLHVLLLLLVPAALAGVPDSYTVPRARGVDGAGTSNASEPQEYLDPSYPVPRPPPSAPSCVVPVLSHSFGNTYGEAPAKGSFAPPSECPAPWSLVVLSFSAAVAGDQYDRVAAVWLDGVELLRTTTAQPTPEGVRWTVRKDVTRYSSLLRSPPNGGLFVMLENLLNDEFTGAFNVTVSLEFYGTPSYLSEEAGESASSSPSSSAGSDVGASPSTPTPTLPKSYFEPADRILPVSESSGEHSGFWFRIQNASHAGSKAVSIPSNTYRAVLEVFVSPHADDEFWYSNPPDLYIKENNLTTRRGNGAYREVVASVDRRFAGSFVPFPVIYTGGVNPLFWQPVAALGAFNLPSYDLELTPFLGLLADGKEHEVALHVVDGIAEWLVDANLHLWLDPNASEVSAALVRYRTPRLSIKRQYTRQLLDGSFTIRGKRKAKSSGWVSSSFGNFTTEVEAEVETTSTVQFTNQGKSKKVWLQAEQDTEVSVKSSETRREVGKVETEIKFPLSLETATEDGENGTFVVRANLSHSISVEAESEAQGLFERESKLFDLQNASGWMLVKDHDVLNGTAATSSVYRYSDESGQYQRVIDASDGAVLSDNVTESFRAAGGAASLLEDIAAM >Et_8A_057325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23669769:23674885:-1 gene:Et_8A_057325 transcript:Et_8A_057325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAKRGGDLPGTFVRKEKLATLSCLHDGDSSQDVCAKLSDWAKSVVSISLCNGDMTLFSCSGMAIAQEQYHLTRFLTSASLVRAFDGKTNERYYDLKIKVRREGKEVYMGCLAEYDLVRNFAVVVARGFRDVNVGIFHRKLKSVPHGVACVVGRDVSGDLTAKSVELSGDLRVYKDDKDLDKKTSRAWEGATIVSFDGEVVGMNLFLVTERAVFLPWGTVLENLECHWTSWEKKTGLTRSKSLVYGFGAPVGGKSNSHPEGRRDCLNQEQLDIDSMGYPRLPSTMLDAGMILVNTFEEPFGDIHGKDVCSKLGEKAYSTINRSVVALASFNGEKRIFACTGFFIEWNGSIKILTSASLIRNSGDQNSIVENLRIDVLLPTNRRIDGTLEHYDLHYNVALVSVKNHHDHCPANTLLSWFSCSDVAAVGRCFKSGALMAMSGKRISSTGTLDCDFLTYSSCKITKVGIGGPLVNLDGDVLRMNFYDKKIGTPYLSWTDICESFEERSETGKVDTSDVPLWKMDGDLRDKCNRWPVPMPCWRHHDFVDEDKLDGDDDMVAFERKFCYVKGVKFRHI >Et_2B_022334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18215541:18225083:1 gene:Et_2B_022334 transcript:Et_2B_022334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKAFNARMTKWSGCIDLHWKDAPVSMMPTIKWLLGHRLPVGGYVQQYTGGLVLLSMRGSSHQVPYFQPERALVLVSSFLKGKLPAYATTASDAHQQAAPTATMVFLSSPYQSSCSCNH >Et_6B_049318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3202185:3205602:1 gene:Et_6B_049318 transcript:Et_6B_049318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVEVVGAHDLPARRRGGAGGVSPFVQVDFAGQRHATAVKPGAVNPTWNETLVFAADAATTGGGVIGRSLSDRAVKVAVYHRRASGGKSCLGRVRLFGAAVAPSAEEAVLLRCPLDRPGFFAPARGEVTLRLYMAPYASSVADSAANAAGNAYSATDATTSYDDGTDSMAGPETVVGGASTQSVHVFHSVPTRPSAGPLIHPQPPPPFMPPPAGVPKSAIMPPPAGIPNSAFTPPLPAGVPNKVTKKAAPAATADDAKAAAYLMVDKLEFLYVNVVRARDLPGVDMTLGIDPYVEVRVGNYEAATRHWERNHNPEWNQVFAFAKETLQANTVEVFVKTRNLIVPDGFVGKVALAIAEVPSLAPPNRPLAPQWYVLEGAGGGEIMLAAWKGSQTDEAFAGALHAGAHHGLSPAAVLATQTKTYHAAEEHRERVLRRQVRAEVDPDAHGARHGGPELAGAVHVRHVRPLHRAHRRRLRQTPAPRRRRRRRNLRPRRAAGQGPHPRVDAVPGRTDEHPYALFVLRPGGLLHRCGELRLAVRFRHASWAAAFAGATAMYLRPQLPNQHFAKPIPSHLVPVLRRRAVDVVAARLSRAEPPLRPEAVHYLLRDPAAHPSPAAPEQRAYSRRRHAALYARLRDVLAPAAAFARWYRGVRNWDRPAATVFVLILFLTLTWSPRLILPTFFLYLFAVGAWNFRRRPARLAQMEHHADGVPAIFLDEEFDTWAASGTPPDVVEWRYRRLREIAASVQATIGDVAMMGERAHALLSWRDRRATAVALVAVAALAVVFYAVSFRAVVAVAGVHEMRPPLLRRKVPSPLFHFFGRLPTNADRVS >Et_4B_038871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5530734:5541034:1 gene:Et_4B_038871 transcript:Et_4B_038871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPADPSLETEAPHAPVTRERRLNPHLQEQVPMPYLARALEAVDPSHPQGTKGRDPRGLSVLQQHVAFFDRNGDGIIYPWETFQGLRAIGFGPFLSFMGSFLVNIGLSYATQPWWLPSPFLSIHVKNIHKFDPSKFDAIFSKYGLTHPNALTIWEVMSMLNGNRNMYDFLGCMASSSPADPSMATEAPLVAVTRERKLNPDLQEQLHKPYLARALVAVDPGHPKGTEGRDPRGMSVLQQHAAFFDRNGDGVIYPWETFKGLRAIGCSVPVSLFFTFFINLALSYPTQPGWLPSPLFSIHIKNIHKAKHGSDSETYDTEGRFDPSKFDAVFSKYGRTHPNALTKDELDLMLKANRNMYDFIGRLAASAEWKLLYSVAKDKDGLLQREAVRGKKREKQMPAGALHVYFLPRYAVVPMERPGRRAHFCPAAAAAFKSTGERAPIATMTLQLVSSIPARQPHLAFLRLQRSCKLSARVRMASSSPLPPTDQSLATEAPHAAVTRERRLNPDLQEQVPKPYLARALEAVDPSHPRGTKGRDPRGLSVLQQHAAFFDRNGDGIIYPWETFQGLRAIGCGYPVSIVGSFLINLVLSYPTQPGWLPSPLLSIHVKNIHKGKHGSDSEAYDTEGRFDPSKFDAIFSKYGLTHPNALTREELISMLKGNRNMYDFLGWVAAAGEWLLLYSVAKDKDGLLQRETVRGAFDGSLFERLQDNKKSS >Et_5B_044440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:24145259:24158868:1 gene:Et_5B_044440 transcript:Et_5B_044440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSEGNAVAAAGFPEDPLLEILCRVPAKSLCRFKCVSKPWRDLIADLLRCRKFPQTLEGFFYGRRFKSYGNFTNLVGKYDPPVDPSFSFLTKVPGIQRLAILRSINGLLLFRHERRSGMYGYIVCNPATEQWMSVPRASLWEEKREDDQDDEECDECYWHTGAHHFLIFDPAVSSHFHLVQLNYNRSDDRMYEVAVYSSETGLWSCKTEGWAFYLEGGGCERWKRGEDEVKSELGSAYVNGMLHVPVCHHYRDHLRTKETQIVAVDVQGNVCRTMRWPVENELATPTFIGQSQGRLHCINSHRKPEDPNRRIVGLSIWVLEDHDAPQWVLKQTVSSLQLSGRVSCRVGDYALVAFHPDQNLLFFVDDWSGKLVSYDMGSMEVRAVSSLADGNWPVIPYVPYFVDMSSCVRSSMDGPERSAVAAAGFPDDPLVKILSGVPAKTLGRFKCVSKAWRDLIADRLRCRKFPQTLEGFFYGGRDQNYGNFINLVGKSVPLVDPSFSFLTKVPRIEDLVILSSHNGLLLIGHSMGGSGTYGYIVCNPATEQWVAVPCSGWTVSLPAENVSSHFHLVQLGHYSCDEMLFDVSIYSSDTELWSCKTEEWARNVEGGRYKRWLRGYDEVKFELGSAYVNGMLHIPVCHYYNHDPLHTKETQIVAVDVQGNICRVMCWPDENEIAFPAFIGQSQGCLHCISGHRKPEDPNGHIIGLSVWVLKDYDAGHWVPKQSMSSLQLFGKLSSKENDFAVIAFHPDQNSVFFVQHWNQKLISYDMDSMEVRAICTLGHDISSVIPYVPYFADFPKDVCTASINGHRKPEDPNRRMVGLSIWVLEDHDAQQWVLKQTVSSLKLFGRVSCRGGDYAVVAFHPDQNLVFFVQDWNERHVSYDMGTMEVRAVCSLANGNWPYRLQLCKMATKGGLQEGDKQIEAASR >Et_7B_055445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10801076:10802878:-1 gene:Et_7B_055445 transcript:Et_7B_055445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEATMLSFKDMLTIKGAETLGLLDRQQYNELEEHDDDVRYFSYGQSNAINSLLGLTRSVELLSKIYIELLDKRYALVVRNLDEPIKPIMDDAFTEDACLPPPSWKGSSWLVSTTSKDVYDKSISGRHHTLRIVDGEDILMLTLYSLHQAAKYILDTTSYKDETYWHIVALRCFHYAVMLLIPYCSPSHGQSFDARANITSDELIRQWGAQGILLVINQSCQERMEQVTDNYDHGKCSDDDIYQTGNIVLQAFQEYSLLQLPFCPATKADEPTDTAAHFLVYSGLIAEHLTIDEHSYDSHPRLEHMQWISYVGEQGCHISREWLSKGARGPTALIISNFFQYTPNLQELVLEKCSSLVELPPSIAALTSLTTLELSGTEIKSFCVEIFEQMKKLRSLKLIGKILFYLSALIFKASDLTNVHIEGWHSSMEEEVKLERHPTMRSFTLIDAPQIKRLTLCGCKNLEFVDIKELHALEELNLSATAIKELPVDIPNLPRLRRLLLVGVP >Et_1B_010043.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:260662:260904:-1 gene:Et_1B_010043 transcript:Et_1B_010043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFQHLLPCILLVLFVMSHFPSSSLGLRTIREEARSDFTGHELPPAKSPSQEVRDGDANKYAVSGRVVPQGPNPLHNR >Et_1A_007525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35501222:35505909:-1 gene:Et_1A_007525 transcript:Et_1A_007525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESAVLTGKIFTLVLPSPVHPVSGSWAADPAPPGWVRLSRHTSGRWSVDLNTRAVTPRLQHTFLPLHEPPFVDWWLRSRKRMSKTRRQGLEGKKQSAFPNFKPFNRWLWLKRSSTKQRSREQRASPNDATFLVCRNPAAAFPALASVHRVPIPDARGAQQKSGVSKRPRKRPCKVGQNGNKRDKDDQKDSKLCEGDQNDSKC >Et_8A_057705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6195544:6199121:-1 gene:Et_8A_057705 transcript:Et_8A_057705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALIGRLEAAVSRLEALNAGGHPSVAPRGLADNASAQEPAILAFDDLVAGALGRVSAAAAKIGAEVAEVTRLVEKAFLVGKGLLVRTKQTQKPTTESVAAFMGPLNETILEANALAEGTRSSHANHLKAAAGSLAALAWIGYTGKGCGMPLPIAHVEESWQMAEFYSNKVLVEYKNKDPDHVEWVKALKELYVPNLRDYVKKFYPLGPVWQPSGSAPNKAPSAPSPPASLSFSSASSSQPKSGMSAVFAEISSGKPATQGLRKVTDDMKSKNRTDKTGVVTADGKETRSAPSFSSTKSPAKLELQMGRKWVVEHHIGNKSLVIEDCDAKQSVYVYGCKDCVLQVRGKVNNITIDKCTKTGLLFKGVVAACEIVNCNSVEIQCEGSVPTISIDNTSGCQLYLSKESLEASVTTAKSSEINALVPDANSEGDWVEHSLPQQYIHAFKDGQFTTLPSNKMDTRISQGHSHPQADPLENPDANLALRSFLKILPDGAFGIASMKKILCNRL >Et_8A_057439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:257389:258874:-1 gene:Et_8A_057439 transcript:Et_8A_057439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCFDRRHNVDSRREAATNLALIISLASLQSSLSDVVFLLVFLNCFCAFLTKRMRATLLWIILLNRSSAPCSRSPTMHSNA >Et_1B_014256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4438130:4443277:-1 gene:Et_1B_014256 transcript:Et_1B_014256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSGRAPWLGDALLFTAGAVAATVLLLAFANPFPQPGDYYYHDDDNGAAPRSGAGSSSLVGGGGRTFYDDPRVAYTVDRPMTGWDEKRAGWLRLHPELSGGGNGERVLMVSGSQPTPCPSRGGDHTLTRLLKNKLDYCRLHGVQLLYNTALLRPSMDRYWAKIPLVRAAMVAHPEAEWVWWVDSDAVLTDMDFRLPLRRYGAHNLVVHGWPRLVYEARSWTSLNAGVFLVRNCQWSLDLMDEWARMGPDSPDYARWGAVLKATFRDKVFDESDDQSALVYMLLRSGSPWRDKVFLESGYYFQGYWVEIVGRLGNVTERYEEMERRPEAAPLRRRHAEREHAAYAAARDKALEPAGLAESGVHGWRRPFVTHLTGCQPCSGQRNEHYSGSTCDEGMRRALNFADDQVLRAYGFRHAGPLSDDVQPLPSSDYLVFSVVADRQEYNLLGPTNYKLHPDYPLQSPDEAKAHRFPENV >Et_9B_066218.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:7500145:7501329:1 gene:Et_9B_066218 transcript:Et_9B_066218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAGVVVSDALRDTLADLEATDPECVYTKTMGASDRGIGQNRLLISCKKKIKKKNGEAEQAHLDHIFTEEEKIEVYRQDPEKPDAVKNQGKNDHGCGDNKDQGESVDESGDKDRQGKIRSKKRDKKKKKRDKSEEDMGEGDKEKEKEKGELGLPVRAYDRNGRSYDVRWRYLASNTAYRIIGTGWGVFLRRNSLHNSGGKRRKLSPGEEEGGGGNQSPTSSPHDQEAGVEKDAPNDVFSIQLWTFRSRKLGLGDKDGHPQGALGLVLLHNREGEEADVGEDNEEMDAHQVHIADDDADVVVSDKVTPVVAAAATGGKSGGVAAPRSAARGERLTLLEVVLAQTLPLLKVRDRNNRADPDASNRVCNCKQQGPKCTCSDHFVRAGGEQAHRAEV >Et_6B_048693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12673395:12673996:-1 gene:Et_6B_048693 transcript:Et_6B_048693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVYLALEMVDYVPVCGALGAIAMSATLGLGTAAHEVAHAPNVRLDKKKREAVPEFAAPDLAVDEAERYLNRSLFRRAARVVQGEGLAPVTAKKAVTLKDAGVEPPGIERTREEVLGNFCKRNTSAA >Et_7B_055443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10845892:10847324:1 gene:Et_7B_055443 transcript:Et_7B_055443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSQRKVVLPLLLHRKVRDIPARSRSSPSITASTKRHVQPQKCRHRGAEAELERALRRNAELEDRARQAAAECHAWMGVARNHEAVAAGLRATLDQLLLQPPSARPSCCFEAPAADDGASSTRAAAASSCKACVLLLPCRHLCLCRACEPAVDACPVCANAKNASSPPSTSCSPESPLPDATYGVLYSLWNGDSTGDVATTRITLFGCTMIRGIHVAGIASESYRDCGEARQIG >Et_10A_000210.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:17947601:17947681:1 gene:Et_10A_000210 transcript:Et_10A_000210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRILSLLAKNGLPISIIWLTREPS >Et_5B_043570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1281167:1286854:1 gene:Et_5B_043570 transcript:Et_5B_043570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLWKHLSIHMINCAFLAHALILIMVDAGCPLRIASNMDEHTLDYKENDVLQEFEMLTMNAKAAQEHILKKILEKNKGTEYLSKFMNGSTDISAYSRQVPVVTYDCVHPYIMRIASGEQSSILCGERIVELLRSSGTSRGEPRLMPSISEDLDRRTFLYSLLMPIMNKYMQGLGKGKAMYLLFVKAEALTTSCIPVRSVLTSYYKSPHFLHRKHDLYNSYTSPDEVILCPDSRQSMYCQLLCGLVERQNVLRLGAVFASAFLRSISFLEQHWCDLINDIRIGQLNSSITNSVCRSAMQGFIAMPNPELADELEVICSSGSWKGILDRLWPNVKYIEAVLTGTMAQYIPMLEFYTGGRSPLVCTMYASSESYFGVNLRPLCSPTDVSYTILPNMAYFEFIPLMNGLKLADHEEMVENDKLVSLVDVKLGCYYELVVTTFSGLYRYRVGDVLQVTGFYNRAPQFKFICRRNVILSVDSDKTNEEDLHNSITHAKKILENRNYLLLEYTSCTDTSTVPGHYVLFWEIKSTNEGATTCALLDPQLFEGCCIAVEESLDYVYRRCRAHDKSVGPLEIRLVQAGAFDALMDLLVSQGSSINQYKTPRCIESGLALKLLNSKVIACFFSPRDPKWTM >Et_10A_001925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11466834:11467782:1 gene:Et_10A_001925 transcript:Et_10A_001925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRVNAAYHRVLNLAISLSFQHKSDSIPIIPYQVFATLSWRETEIGLLASLRTWSSTSPISFRVVCPHWRNAVKDGSFHPWILKSGESGIDGNVVFYCLGSEKFIRIHVPELEGRRLAGFGAGHLIAIDDEERSGVLVNPLLSTAVGGTTTLPRLPEWCVGGDTYGFATDPKMTGDKDVFVVIYKWFISPRTYHVAMFWTRIPMLRRRLSMGLELLEDDDAGNDGGGGVAAWVPHGVDTHLLEQEGRVRFLYQRWEETRWGSFPWLRVCFVLKADPDDEIMAAQVDWAEAPELRDKIILHT >Et_4A_034345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31926973:31930294:-1 gene:Et_4A_034345 transcript:Et_4A_034345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFDLLELADGESGAAAVSVVVKKRKEEAEAAEALARAEEAEAREAARTARKEAMVADAKAAVCERLNKMKAQARAKAGKAPLEEGKEEKYTDAEYEKVKEAEAAAEKQFEEDELRQPPPKMSALEINEKAKEKRKVIEDQITLTKKRRQLLEQQISLLDQQRKLSEEGENIGSEAQTKLGDEQRKLEVDQETLNQENETLRARKVNLSAELGIYDVRGRAKELWEELKKFNEDQKKFLEEESNFLAEQRRKFPEYFRPGYDERQQEGGDSYHSGERQGYSGGKIRKQVYQRKDKAVSEAGTDAEQPEEKVVAATESEQQAATNGDAVPASGSEKSTGVAANGEEANKRPFVKVKLSGSEKRKLRRQRAADGSKKTAGNETEKEKQEQVAKVQKVDYVEEARKVVTLDEYEKVLEEKKNKSSEATSSVVRKVTDEDFKGLQLLKKADEEEASKKAEKVKLKGKESAAKEEEAKKAAELAAKSKQVMLEMYKRQPRRPYQEDGPYNRGRFNGGFQGRSRDNSIESRGSGRGDNGTATQNNGNGAPRGNGSYSGDRRGGGNGGHGGRGNAGCQQAGYRGNGNGNGDYQQGGYGRYQQRSGNGSYSGRGNGRFQQRGYPERAGNGIIDLKNFPALPGTAAAPATASAPASAPAPAPASAPPAPASASASAGAPAPAPARAPARRF >Et_8A_057990.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:11275779:11276387:-1 gene:Et_8A_057990 transcript:Et_8A_057990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPQRFKLLATRCAAGAPSPSRSPAPGYAQAGASPGYRLRRRRGAPRRRGRLRRFLCRRGGGGGGGGGGGAEPLSGAGKEDDDRKPLFGGRAGGGGGGRTLRDLFVASPEAGRRRGCGCACDDSDEEEEEEEGVGGRAMGAGGAADPGLNGGGAAWVGSRRFGGSGGLRSLLMRRSWRPVLVAIPEADGGGKVELGAIAE >Et_2B_020116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1703540:1708067:-1 gene:Et_2B_020116 transcript:Et_2B_020116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPLLSPHRACRASLLRSAPPQPLAFNLAAPARRPRLCLRRAPPPCTAKFGKFDASDAPAEAEETEAAAADGGAAQLAEEDDSCLPSDLEGAIRQSGKASADFVNSGGMRAIAELLIPQLEFLNEEGAQAELWSLARIFLDTLVEETGQKVTAIFPDAGAAALLKYQWQDAQFKCSSLSDRKPVGAEDEVAVMIIPDHQMLESVERIASQLADDPIRPLVMWNPRLVSGDVGVGFNVRNLRKNFLSTFTTVYSMRPLPTGAIFRCYPGKWKVFYDDPNRPNRYLLARELTARPDATDIDRIFGGDDEQSEEPPSLMNNVKGVFSSVSRFMRVISNRVSSVDVMYIELPNLQLVSSLFSTGEVEALREPADDSPSDGCKNARPLELCATAAAADVVDDDRASSADEHGVLVLDDDGPRPRCCPHLRPCRPPLSLPADDDPPP >Et_3A_027247.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:5794082:5794690:-1 gene:Et_3A_027247 transcript:Et_3A_027247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSSGSNSKPILGRAMAILALPLTPISKAKGGLILFKKRAASAAAANRRRYLKPFRHYNYAYVGEYQFSPSRSPLLPCPPPGVTAWRRAAAKKRRSRARMILASLFCGGDELDVAVLDGLTRPADVVDAREREQLAPALEWGRDEDACSYADDADEEYYAAAEEVVEDDEEVDGRAERFIERFYEEMRLQRQRSLVQRLL >Et_7A_050937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12655091:12655973:-1 gene:Et_7A_050937 transcript:Et_7A_050937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARGKKNSVGGQRPILPAGRAARLDKSEGYELGNAVCRSWHIASLPCSPLFRCGRQQLSILVLMASNYVDTGMEEGRFHSHGHGHNSTTPTGAAASPKTMGRSWSSAHGHGHAHGHRAPKCLPRPPGVPSVTGHHRVLVVVLPHRRGAVTERDHRNSRNQGRGGKIKGKKHKHVSLEKGAYLFLP >Et_1B_011748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25627760:25629710:1 gene:Et_1B_011748 transcript:Et_1B_011748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKKIFLFEEVAKHNVTKDCWIIIAGKVYDVTPFMDEHPGGDEVLLAVTGKDATNDFEDIGHSDSAREDMEKYLIGEIDASTIPAKRTYVSPQQAPQNTNKDNDILIKILQFLVPILILGLAFAIRQYTKAE >Et_5A_040272.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:9302608:9303012:1 gene:Et_5A_040272 transcript:Et_5A_040272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRELETIKVPSQAAATPHLRHQGCPVSVPCGASPRKIVLRKSASNVSNNNSNIGRTDRVSTEDASKTRRVSRRHTFNSPILHSDGVAADRSPNAEKAKKKGNRYGVEVVDVRCSNPMSSRLRKLGFSKLSETFA >Et_4B_036355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19759553:19760176:-1 gene:Et_4B_036355 transcript:Et_4B_036355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLVPTGPASALMAQGDLALKVFYYTTSDEGSSMVSCDDKTTEYIQTICAGYGRKLEVTYLVIPNAIETEVEVRLKLKDLGFSSRVVYGKIKASVTGYGNKWVHLFSRERGSSLSFLSGSTSILPLSPSVIALPYCRQLELHIEVDLTLITTCDSQEEEVKNLKFSLEFTREIRSQEREDDDDQVEVNINYNLEDV >Et_3A_024297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19280588:19282559:1 gene:Et_3A_024297 transcript:Et_3A_024297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPRVPGPTPQRDIERLGKHCMISPNFPSKRNPTRKKEKQSIFFFQRATDSSAEANLTSRLRHFSLPNHRPEVPQAAAPRQIPRPTTASRPRARHPFSLSGGLPRSRLLGGGGGGERPPAKMFYGAIVWDPWLIVSQIVCLQCLYYLTLGMLMSLLVGTRVPRLTLLYLFDFATLTPRTPTGWCAIASFLLAAVAGYASPLLLLYSPIPHCFVFKLLIANLASMLCMSSAGFMLYVIERAKKCLDFAATLYIVHLFICIVYGGWPASVTWWVVNISGLAIMALLGEYLCIRRELKEIPISSRLRA >Et_6B_049688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:903354:906376:1 gene:Et_6B_049688 transcript:Et_6B_049688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEMDSPIPIGSGGKKMLKKLLPKTKSKKKKEAASSALPTLDRLHETLETLEKKERFLQKKSTAEIEKAKDYTKAKNKNAAIQCLKKKKLYETQIEQLSNFQLRVHDQIMMLESAKATIDTVDALRSGSSAVKAIQHSLNIDDIENAIDEANEQTENMKQIQEALATPVGVSADFDEDELEAELEDLEEEELDELPEPPVRHSVPIQASADGTSSSKQASDLTELTKLQAEMAL >Et_10A_000161.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:13627969:13628199:-1 gene:Et_10A_000161 transcript:Et_10A_000161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSQMPSSASNSWPCSRTPPGRMPLLRTACRTGSASSSSHASPTKLNKSMPSSLNSSTGSACKKAMMGASSCGMA >Et_9A_062309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21785617:21787505:1 gene:Et_9A_062309 transcript:Et_9A_062309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTIAAAKPNTLLAAPTRGGFCCWRRLKPKTPSAAARLRVSASGSASSSDVPDFLASNWLETRKRKPFGPRLNFSAEEAVEYQLESLKYNDKPHQDYGIEIMYATSIPLKGQLILDDGLIWDRVLLGHKERKILSSLWVEENRFKQRVWVQGSRPREEEIFQFTMVQRLGGLWDGYWLTESLINDGDAFSGDIVY >Et_3B_029877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28754045:28758878:-1 gene:Et_3B_029877 transcript:Et_3B_029877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVQPPRFLPLPRRRLAGRHHRVPPTLAIHSQWKLPDVDTDAVRQRVRSWMSLARGTIVDAAQAARERARHKEESPEGRKVKQRKEVPVEEQALVAVPEVTVERRVDQGWLSLDAVVSIEQFARLNGLTGIKVQRIFKALAPERLRSDARSLVEYSCFRYLSRDNCDFHPSLKGRLVGEDAFVRIAPAVAGVADVTTAHHLFRALVGAEKRLSFDAWTTYLAELLKVHHGRQTYQRGDHFSSDEQILCIGSSKKRPVLKWEENTAWPGNLTLTDKALYFEGIGLSGTKKAIRLDLTDQNSRVEKAKVGPFGSKLFDSAISVSSGSKSDEWTLEFVDFSGEMRRDVWLAFISEIISLYRFIREYGPSDDDPAIHHVYGAHKGKKRAVTSAANNIARLQSLQFLRRLSEDPAKLVHFSYLSNAPFGDVVLQTLAVKFWGGPLITKPKSANHRSSQWHRSSGDQSSGYAHIFDIDGSVYLRKWMTSQSWASSHSIAFWRNSSSVKDGVVLSKSLVVDDKNLVEKAMVDCKVKSKVVEKTQATIVAATIEGIPSNIDLFKELMLPFAILAENFKKLQRWENPRSTFCFLLLVHTIIFRNMLSYVFPFTLMVMALSMLALKGLKEQGRLGRSFGKVTIRDQPPSNTIQKIIALKEAMASVENYLQNLNVSLLKIRTIFLAGQPEVTTQVALVLLASSAVLLLVPFKYVLTFFTLDLFTRELEFRREMVRAFMNFLSERWASIHAAPVVVLPYEGNQSSPKTLPVKVSRQAELEDLQCGNVDVTSKNGSSS >Et_5B_045665.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6467772:6468245:-1 gene:Et_5B_045665 transcript:Et_5B_045665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQADAPSGGGRTVKLKDLVPAPTNTVNTTFIVLDKAAPRPPHAHATGGEAATTCLALVADETAAAHFLLWGGECGAFEPGDIVRLTGGIFSYVWGNRLVLRAGRQGRAEKVGEFTMLFVETPNMSEIRWGVDPDDRRRMVQEAVVSPYSQVFKPPR >Et_4B_036702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10874883:10883813:1 gene:Et_4B_036702 transcript:Et_4B_036702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADTGGGEQPHKAHRQSKSGAKARKKKGKGKGDDTGGNQKNPKAFAFRSAAKAKRLQARSAEIEQRRLHVPIMDRSIGEPPPFVVVVQGPPQFLECPNDINGMIDAAKIADLALLLIDGSYGFEMDTFEFLNIMQVHGFPKVMGVLTHLDKKFKDPKKLRKTKQRLKHRFWAEIKEGAKLFYLSGLDDNGKYVKRELHNLARFISVIKPVPLSWRMAHPYLLVDRFEDVTPPESVHLNKKCDRKITLYGYLRGCNMKRGTKVHITGAGDFSLSGVSALADPCPLPSAAKKRGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHLVQFSKSDDNDAPKKQGKGNDVGVAMVKNLQNTRYSVNEKLEQSFINFFGRHPGAQSKESDAERNDNSASQQDQEDANFCNSGTLESNELSECSSESEEDNDDDIQLIDRDVNLREEVEFCDGRLRRRAISANFRDDIDEEGTDEDDSDNEDSGDDQLSAGSVSSDDSGEATDSDGESENASKWKESLLARTLSSRSANIMQLVYGQSSSKLESGVSKDDDNGMDSSDEEFFVPKGQKKQAKTELPSLDDIDAEDCSKFIKAEQRDFSNEELIKSIRDRFVTGNWEKAALRGKEVNENGEHDEDIYGDFEDLETGEVHMSQAGEDAEGNGGVHKDDDLKEEMEIRKQLNISELNDLDEDTRVEIEGFRTGTYVRLEVHDVPFELVEHFDPCHPILVGGIGLGEDNTGYMQASLKRHRWNRKVLKTRDPIIVSIGWRRFQTTPVYAIEDRNGRHRMLKYTPEHMHCFAMFWGPLAPPKSGVLAVQNLSNNQVPFRITATGWIQEFNNTARIVKKIKLTGEPCKIFKKTALVKGMFTSDLEVARFEGAAIRTVSGIRGQVKKAAKIEPGDVMKRKGESTEGIARCTFEDRILMSDIVFLRAWVNVDVPTYCNLVTTALQPREQMWQGMRTTAELRRAHNIPIPHNKDSVYKPIERKPRKFNPLEIPAKLQHLLPFKSKPKDRSKQKKPPVEKRVPVVMDPSEKKKYTAIQHLMLLKHEKEKKKRSKEEKKKKAYEAEKAKTEQLTKKRHREERRERYREEDKRQKRARR >Et_9B_065007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20482426:20486112:1 gene:Et_9B_065007 transcript:Et_9B_065007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGLGLSTSFLPSHDTLLRRRPRRPVSAAASFRPVTAELGHAATELGRQLVEAVGVGLPCTVMSCGDVIYRSTLPRNDGLTITAPGVALALAAVSYLWATPGVAPGFFDMFVLSFAERLFRPTFRKDDFVLGKKLGEGAFGVVYKASLANPEAAKKQGAVVVKKATEYGAVEIWMNERVTRACASSCADFLYGFRERKAKGKGADDYWLIWSFEGEDTLYDLMQSKEFPYNVETKILGDVQDLPKGIARENKIIQTVMRQLLFALDGLHSTGIVHRDIKPQNIIFSEGSRTFKIIDLGAAADLRVGINYIPNEFLLDPRYAAPEQYIMSTQTPSAPSVPVATTLSPVLWQLNLPDRFDIYSLGLIFLQMAFPSLRTDSNLIQFNRQLKRCDYDLEAWRNLVEPRATAELQRGFDILDLDNGIGWKLLTSMVRYKARQRTSAKAALAHPYFIREGLLGLSVMQNLSLQLFRATQQDYSETARWVVGLMARSGTESVGGFTEAQLQELREIKPKKGSAQRNPLASLLRVQRKIVRTINESMNELASQGKSIWWSRWIPRDE >Et_2B_020128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17029524:17030096:-1 gene:Et_2B_020128 transcript:Et_2B_020128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRHVAISRQFSRGMPAMRAALEKAKWTTRSPILLLPISASFRSLAATTVLSMASHEVPSSSPPPPPQKSKLSGRWSALPSQSTTTISSSVAAGEAAHVKGTTLMPAVRASPRAPTVLPDAGKCAKWRGLCQCVMPGRMRSRTSRSAAANAPGSGRNGASSGSWRRRNPGVTLGCTGYSSMRP >Et_2A_017407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3485854:3488660:-1 gene:Et_2A_017407 transcript:Et_2A_017407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSLEDVQSVDLSKVPVEEVFQTLKCDRKGLSSAEGEGRLKAFGPNKLEEKSESKLLKFLGFMWNPLSWVMEMAAIMAIALANGGGRPPDWQDFVGIVSLLIINSTISYIEEANAGDAAAALMAGLAPKTKLLRDGRWEEQEASILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVNKHPGQEVFSGSTVKQGEIEAVVIATSVHTFFGKAAHLVDSTNNVGHFQQVLTAIGNFCIVSIAAGMLVEVAVMYPVQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLVEVYSKGVDKDMVLLYAARASRVENQDAIDTCIVNMLADPKEARAGIQEMHFLPFNPVEKRTAITYVDGNGDWHRVSKGAPEQIIELCNMGAEAEKKVHALIDGYADRGLRSLGVSYQQVPERNKDDAGDPWQFIGLLPLFDPPRHDSAETIRRAQHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSTTLLGDKNSTVNGMHIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLNEIFATGIVLGTYMALVTALFFYLAHDTDFFSDVFGVMSIKENDRELMAALYLQVSIISQALIFVTRSRSWSFVERPGFLLLIAFFAAQLVATCIAVYADWEFCRIQGIGWAWGGAIWVFSIVTYVPLDVLKFMIRYALNGPASANPKTKRSNSGSLSRE >Et_2B_020660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22562164:22566626:1 gene:Et_2B_020660 transcript:Et_2B_020660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGGGGGGSWSIHGRADVNSRYEVLGRAGSGAYADVYRGRRRSDGATVALKEVHDAVSARREADALLAVSSPHVVALLDHFPGGDCDDDVLVLEWLPLDLAAVVRDARRRADGAGMPAAQLKRWMLQVLEGVAACHRAGVVHRDLKPANLLISEDGARILQQTGPTCQDTHPCGESSGVEPWVSQQQAALQGAEEEPPSHGSDIHVDQEPETLTAADYLHELDQLRAKSSDVDKMSLQDGDASCLATCSTGDIEDDPFRASYSYDLEAMGEESGAFTSCVGTRWFRAPELLYGSTNYGQEIDLWSLGCILAELLNLDPIFPGVSDIDQISRIISVLGNITEETFPGCSNLPDYNKIFFNKVEKPAGLEACFPNRSSSEVRIIRQLLCYDPVKRASAADLLNDSFFTEEPLPAPIEGLQVPASKDDDDDDSSMEEWANNGDGGSDSDFDEFGSMDVTKTDKGFSIRFS >Et_1B_013227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6570717:6574335:-1 gene:Et_1B_013227 transcript:Et_1B_013227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALALFLAVNLMVLGVANACGSSYCPPTPVTPTPTPVTPTPTPSSFGRCPRDALKLGVCANVLGLIKAKIGGPPALPCCSLLQGLVDLEAAVCLCTAIKANVLGIPLNLPIDLSLILNYCGKTAPTGFNSRHGIQGLPPRRPQPAPLHGGQRLRQLVPDANSGDADPAFTVVLRRHALKLAACANVLGLVSAEVGHPPAEPCCSILGGLADLEAAVCLCTAIKANVLGITLDIPVKLSLIVNYCGKSLPSGFICA >Et_4B_038328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28135385:28138984:1 gene:Et_4B_038328 transcript:Et_4B_038328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVDPHSYTDGAHPVTKHAALAFYLDFAASTIHASALLTLSAPHSGDLLLDTRDIAVHSATTVSDPPEPIPFSLAASPDPVLGSALTLTLPLDTTSFRLTFSTSPAASALQWLAPPQTASGQPFVFSQCQSIHARSIFPCHDTPAARITFSLLLNLPAQLSAVASARHVGRRDPLPSDHGGACDEATWCAPGRIVEEFEMEQSVPPYLFAFAAGGIGSRDLGPRTRVYAEGGEKILDEAAREFAGVEEMIKVGESLFGPYDWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDAAGAQVVAHELAHSWTGNLITNKTNEDFWLNEGFTTYAERRIVEVVQGEERAALNMGIGWRGLNQMMERFKDNMEFTKLKPKMAGIDPDDVYSEVPYEKGFQFLWRIERQIGRPAFDEFTKKYIATFKFQSIDTETFLEFLKANVPGIENQIDLQLWVEGTGIPPDAMEPDSAIYKKICSLAAEFKSGKLPSEDEVADWNGQEWELYLENLPTDVTALDERYKLAESRDYEVKVAFLQLAIPAGCKCYFNEVEKCLKQVGRMKYLRPLYNALAKCSSEEKMLAQRIFSEAQEFYHPIARGVAESILSKQG >Et_5A_042843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5419737:5420257:-1 gene:Et_5A_042843 transcript:Et_5A_042843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATAGAAAAADSTRAAEVERLLTSELLPVPLRGDDGRRPRSEGGPEARAASYVAGGYNVSVTAAVFAAATVLLQGRLARVLERRPSPPSPSPRLTPLAAWPLAVCTWGFISMCFVGLLQLGEEVGLVGYGDWAVAGVASAANLAMAVRTVAVSLVTRQPVPPLSSLFSA >Et_4B_036750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11214659:11219718:1 gene:Et_4B_036750 transcript:Et_4B_036750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPRMARGKPAPGPPGKRQRRGPRLPTALRREIDSMGPNPACGSDDDEGSDSGVADVYEYEEGVPEEEARKNGRYDAVDNYEYEFDSDASNADEDVPSDEGDDMDEDDDEDGGDEEKQIRILQETTGMPREAFDGKKKNKQPLELPLQPGDGDGPVTISDLLENIQGKSGYSKLRKKLQKQGKEPMVVSAPLPKSERERLERTMAYKLTTKEVSKWEPQIKKNREASTLYFENDNNLGVNSVGAIASEFRPRTKLEMEMAQILQSKEMMEAHKSDGAKILELNMIDVEDVRERQNRLAKMRSLLFRHEMKAKRVKKIKSRTYHRMLKKDKLKAASADLEADPEAAKEYAVKQEQKRAEERMTLKHKNTSKWAKRILKRGLSVQDEGTRDALTAQLQQHALLTRKMNSMKDDSSSSDESSDDDEEEDESEAKLLNKEREKILKVLEEDNEIPKSGVFSLPFMERAMKKHEEATYEEARQSVKEYDEYLRKLEDDNSEQNEDSIKVTGKRTFGPVKSTHGEVNKRQKLEDCDKNSDSEYDSDSAQQFDNNEVTKKQNDIQLGTALLDDEPQDALYRKFDDIIKNPGPKTTFEVGMLADSSWKKVKSSKGNGNHNTDGVTNKSKLQASSIVDPNPKQRDHNSDSDSEEEMVEGFLTVSDTKENYELPSQADLIRQAFAGDDVEAEFEKDKMEFLNDENPEPEKPALIPGWGQWTDIQQKKGVPSWMVKEHDIAKRNREEALKRRKDSKLKHVIISEHVDKKTEKLLPRRLPFPFTSKEAYEQSIRMPIGPDYNPAMSVSALNRPAIVKKPGVSIKPIQYEEVDPNQKPDEPKRVIQRATPNPNVKKSSAKQAKVARSHKRI >Et_5B_045744.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8665332:8666417:1 gene:Et_5B_045744 transcript:Et_5B_045744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSHLSTAWSSSALASTSTRRRSGPSTSRSGGLLVRCSLRELRSRIDSVRNTQKITEAMKLVAAAKVRRAQEAVVSSRPFSEALVEVLYNMNQEIQTEDIDLPLTRSRPVKKVALVVLTGERGLCGSFNNNVLKKAETRIEELKQLGLSYTVVSVGKKGNAYFQRRPYIPLERELEIGGVPTVKDSQAICDLVYSLFVSEEVDKVELLYSKFVSLVRSDPIIQTLLPMSPKGEICDVNGVCVDATEDELFRLTTKEGKLTVEREKVKIETQPFSPVVQFEQDPVQILDALLPLYLNSQLLRALQESLASELAARMSAMSSATDNAIELRKNLSIAYNRQRQAKITGEILEIVAGADALAG >Et_4B_040031.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:882276:883139:1 gene:Et_4B_040031 transcript:Et_4B_040031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTYAGLDPRSGGGEAPPERADAVVEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSAANEKGLLLSFEDRSGKAWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFGRGVGEHARGRLFIDWRRRPDPPAPYYRLPSAIPFAPWARTAMVLHHLPPSSPYVDSHHHRRHVAYDGYGPSSRQLLFYRPHHQQHHPAAMVLESVPVRIPTTPGHAEPASVASSGSKRVRLFGVNLDCACDEEDQENGDRRTAPRQLPSPPSSSSSSSGKARCSLNLDL >Et_3B_027443.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:17858910:17863431:-1 gene:Et_3B_027443 transcript:Et_3B_027443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYAKKGDVTSTFALFIDMQRDNSGIQLRPTEHTFGSLITATSLSLCSSGVLDQVFSRVLKSGCSSDLYVGSALVSAFARHGLLNEAKDIFLSLKEKNAVTLNGLIVGLVKQHCGEEAVDIFVGTRGSVVVNVDTYVVLLSAIAEFSVPEDRLRKGKEAHGNMLRTGLTDVKIAVSNGLVNMYAKCGAIVNASRVFQLMEKRDRISWNTIISALDQNSNCEQAIMYYYLMRQDSISPSNFAAISCLSSCAGLRLLAAGQQVHCDAVKCGLDLDTSVSNALVKMYGECGAISECWKVFSSMTEHDKVSWNSMMGVMASSQAPISESVDVFSNMMRSGLMPNKITFVNLLAALSKLSVLEMVKQVHAMVLKHGITEDNAVDNALISCYAKSGDMNSCENLFSEMSDRRDAVSWNSMLSGYIYNGHLQEAMDCVWLMVHSDQMMDCCTFSIILNACALVAALERGMEMHAYGIRSHLGSDVVVESALVDMYSKCGRVDYASKVFYSMTQRNEFSWNSMISGYARHGLGRKALEIFEEMLLSRESPDHVTFVSVLSACSHAGLVERGLEYFEMMKDHGIIPRIEHYSCVIDLLGRAGRLDKIKEYIQRMPMKPNALIWRTVLVACRQSKDGAKIDLGREASRMLLEIEPQNPVNYVLTSNFHAAIGMWEDTAKARAAMRLAAVRKEAGRSWVTLGDGVHTFIAGDRSHPNTKEIYGKLTFLLHNIRNAGYVPLTEYALFDLEEENKEELLSYHSEKLAVAFVLTRSSSGGPIRITKNLRICGDCHSAFRYISQIVGRQIILRDSIRFHHFENGKCSCGDYW >Et_1B_013636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10339297:10341591:1 gene:Et_1B_013636 transcript:Et_1B_013636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLCAFYHRLLDYRRPEVESLAELFGGPGASDAVEWRMPENHHEDSPFHLVRLPGDERLAAQVANRSLLVKGLYELWGQGTTYEELEKSVREYPDERKLPYLTPESTFKIFVDTFGKAISSQEQNEIMNSLTYIPFKGRVNLKKPENKFFIIETDDYGSNNGLPPVMKRTIFFGREVGAADRHLLPTYQLKSRKYLGPTAMDAEMAFLMANQGLARPGKLVYDPFVGTGSILVAAAHFGAMTMTSVGRAALLIKVFQGADIDIRVVRDGRGPDCNVWSNFEQYKLPAPLSLLRADNNLPPWRPGLKEIFDAIICDPPYGVRAGGRKSGGRKLLKGVKGPYTVPEEKRDNHIPSTAAYSLAECVHDLLHLAARMLVMGGRLVFFYPILRGEDGSSNTQFPEHPCFKLICSCEQILSLRYSRVLLTMVKVAPYTEEIKKMAEEQHRDFRKNHQKWLDEGNLHSAVFSPAESAADGKSKFDRDSKPKYRGKYV >Et_4A_033733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26258869:26261760:-1 gene:Et_4A_033733 transcript:Et_4A_033733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRDPWGGPLEISNADSATDDDRSRDLDRAALMRQLDETQQSWLLAGPGDQAGKKKKKYVDLGCVVVDRKIFMWTVGTILGVGLFIGFVMMIVKLVPHKKPPPPPPDQYTQALHKALMFFNAQRSGPLPKHNGVSWRGNSCMKDGLSDSGVRRSLVGGFYDAGDAIKFNYPMAWSMTMLSWTVIEYRAKYEAIGELDHVKEIIKWGTDYILKTFNSSADTIDRIVAQVGIGDTSKGPSPNDHYCWMRPEDIDYKRPVTECHSCSDLASEMAAALAAASIVFKDSKTYSDKLVHGAKALYKFGRMQRGRYSPNGSDQAIFYNSTSYWDEFVWGGAWMYFATGNNSYLTIATAPGMAKHAGAFWYGSPNYGVFTWDDKLPGAQVLLSRLRLFLSPGYPYEEILRTFHNQTDNVMCSYLPLFNSFNFTKGGLIQLNHGGPQPLQYAVNAAFLASLYSNYLEASDTPGWYCGPNFYTTEVLRKFARSQIDYILGKNPLKMSYVVGYGKKYPKRPHHRGASIPHNGVKYGCKGGFKWRESKKANPNILVGAMVAGPDKHDRFKDIRTNYNYTEPTLAANAGLVAALISLADIDTGRYAIDKNTIFSAIPPMFPTPPPPPSAWKP >Et_7B_053259.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:17130238:17131682:-1 gene:Et_7B_053259 transcript:Et_7B_053259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKVSLAIITTLLTHHLLVMVDSIANHTTMSHTSSIGFSFGLFANHEGPDHTIHHDSDGFLHFQRKLSSSVTNVTTLHPETMHQVHLPQLYEALVIVGSESGIQQYALKIDVMSSLTWLQCKPCEPRAKQLNPIFDPTESPTFRNVASTNPVCQHPYDQSGNQCAFHLFHGRRGMSVHGFLATDRFLKDEVHKDFLFGCSHSTENFDSKGRYAGVFGMGRMEDSLVMQVAAQGLTQFSYCLFGGSNTKRQGLISFGTNIKHNPNSRTTRILPSLYTHESEYYISLVGVSLGEHKLDKIHPKMFARHMHGQGGCVVDLGTPLTVMVEEAYHIVEDAIWSHLQHHQAERVNHHGFGLCVRATQAIMSHIQPLSLHFSEEEAVQVLSPKQLYLMMDDKHGQIMCLAMTPGQRTIIGAFQQVDTRFIYDIKDSKLSFAPESCVQDTIEIV >Et_3B_030280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3254150:3257978:1 gene:Et_3B_030280 transcript:Et_3B_030280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERAMRGSSDARLRAKYDAAVRVVRRAFALYPFDELAFSFNGGKDSTVLFLPRASSPHMFKYADDGYSLLTIDTWLQQVLLHLLRAGHYLYRTSSGDTDGTVRTVQNCRMRTIYFEEPNAFPEIDSFTYDTASTYGLPLEIIRTDFKSGLEALLKDKPTKAIFLGTRNGDPNAVGQQQFSPSSPGWPPFMRINPILDWSYSDVWTFLLTCNVKYCSLYDQGYTSIGSIHDTVPNELLRDGSGGFRPAYMLSDPSLERAGRSKKKKTGKQNASANCTVTRQMTTLPFDAAQPGLRPSHQCKTVGTHH >Et_4A_034075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29782400:29786050:1 gene:Et_4A_034075 transcript:Et_4A_034075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLHPRFPTHPHCAATHNHDATSSGAHLLTQPGRTWKRSSFAVVCRAAKVKEAGVPPPPPQSLAKEAHKYFDHAIVTVRAGDGGHGAVLAMPPAPSADAAKRRGRFDRDKRKSKKPVSLKRNYDGSVALPTGGHGGDVVLYADEAEETLLRFHEKARYCAKRGGNVGAGGGTLSSRMHNGFAGETVRIPVPVGTVVKRKKGAVLADLAHPGDEVLVARGGQGGISLIDVPEHSRRKAMALSPNIMRDVDDKVLTHGQPGEEVSLELILRVVADVGLVGLPNAGKSTLLSAITLAKPDIADYPFTTLMPNLGRLGGDPALGALQFSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRVIVHVVDAAADDPVNDYKIVREELRMYNPQYLERPYVVVLNKIDIPKAHDRLSSVAFEISSIGCEESHDRNASKDNLNANISEHQVLSETKSEGTEKELGDYPRPQAVVAASVLKHIGIDEMLNEIRAALRKCFDRKLPEP >Et_9B_063850.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17483225:17483299:1 gene:Et_9B_063850 transcript:Et_9B_063850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRHQRPEWGCCLCRQRQDRACS >Et_7A_053198.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:9932450:9932764:1 gene:Et_7A_053198 transcript:Et_7A_053198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGADGTLADARHCICSPTTHPGSFRCRLHRGMLKSASCQQFGVPSAPTGSSGHMRRAASQQQFVHPSGAGAGMTRSASEQQFLRSAGLPRSASWQDFAPKE >Et_2B_021287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28235399:28238193:-1 gene:Et_2B_021287 transcript:Et_2B_021287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRPRIRAVPLRPLLLVLLIPLIYSVSRLHPWTPEKGVCLPPPAAPKRPNHLVLGPAAGQGRPNRLQCRGLKALNKIGMSSYGNHSGDQISFVTVFTTYSSGPPGDGKVPLDTVTVGNHTYGKIERSMAILNTFISFIQVSMPKSNVIILTDPSSKFSINQGSAALLPIEGNYSRGNLMLQRIKSYIAFLEQKLAESETIEGFNHFVLTDSDIAVVDDLGHIFRKYPHCHLALTFRNNKGQPLNSGFVAIRGTRDGISKAVAFFKEVLETYKLKYIKASRMLGDQLALEWVVKFHLPSAFGKFSKHDPFTGEVNGASVLFLPCAVYNWTPPEGAGQFHGMPLNVKVVHFKGSRKRLMLEAWNFFNSTSVLSDTLCLILKSGRAKYDF >Et_4A_032832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14446109:14448465:1 gene:Et_4A_032832 transcript:Et_4A_032832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPLAFLSSRDLNFQCHAHDQNAPAADGDGDDDDVGLFGEETGEECAVVDVRAAAVMASGQKKESGNSSTLLDVKLWDDETGMLKIEEAVRSVKFHCGPSLEPANEYIQSCDTVVFNKIWNKKQL >Et_5A_041927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4383471:4385195:-1 gene:Et_5A_041927 transcript:Et_5A_041927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARTGERATSFSMACSLLSRLVRQNGAAAAELGLGIKGEAAPVTMSLLPGADGEEAERKKETMELFPQSAGFGTKDAASDAARDQEKKEKQQLTIFYAGKVLVFDDFPADKAKDLMQLASKGSPVVQNVGLPQSSAPTTVTDNTKVQKVVPAPVSTLPGAQAEAQKPARPNASDMPIARKASLHRFLEKRKDRLNAKMPYQTSQDATPVKKEPENQPWLGLGPNAVNSNLS >Et_6A_047788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16177800:16180762:-1 gene:Et_6A_047788 transcript:Et_6A_047788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTTHVTVLLVIVAALSCCHASTGGTKISVNRCKLSEITVSTVRTGMLVKGQPQFQVTNENNCICPQFDIHVRCLGLPSVEPVDRSKVAPVGDGKLCIVANGSLIFHDFGPVTFTYAWKTPLSFAVVSAKPKGKSRREIDMACTFNFTVILFLAASVLCSHAITDRPGACKLSELHVTVERTGKLVAGQPEYKVTIDNQCWCAQMSVRVGCTGGLPSTEPLDTSKIRPEDGGVCLVNDGMPIDKGSPVTFTFASKTPQSFPVTLAVPHC >Et_7B_055273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8950211:8953569:-1 gene:Et_7B_055273 transcript:Et_7B_055273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIGSLPLAETARTTSNVTSARQAAHVTIVERNMSTIRWRRELLSEPQGVTQILTPCLARALQQAAKKPLNVTGDKLGIPSCPSDTPICSRPRAMYSGNHWGGSFEVAVDGSTEDDQSLNMDLDRGAVSARRHHELDETERGWLLGPPEAKKKDRHVDLGCVLVKRKVLWWAFWALAAAFVLVGLPVIIARSIPHKKHGPPPPDQYAMALRKALLFFNAQKSGRLPRNNGIPWRGDSGLSDGSHAKDVKGGLIGGYYDAGDNIKFHFPMAFSMTLLSWSVIEYSDKYKAVGEYDHARELIRWGTDYLLRTFNSSASTINHVYAQVGHAKVNGTEPDDHYCWNRPEDMEYKRPSISVGSAPDLGGEIAAALAAASIVFRDDTAYSEQLVHGAATAYKFARDSGGGGGVDSSHAAAATYSRGRPDIEPYYNSTGYLDEYMWGAAWMYYATGNATRYLASATDPRLPENAGAFAGVLDLAVFSWDNKLPGAQLLLSRLRMFLSPGYPYEETLLRYHNATEISMCAYLPRFAAFNFTRGGLALFNHGAGQNLQYVAANAFLASLFADYMEAVGVPGWNCGPHFMNADVLRTFARSQINYILGDNPRKMSYVVGVGERYPRHVHHRGASTPRNSVKYTCTGGRRWLDSKKADPNVLTGAMVGGPDKDDGFQDARANHAQAEPTLVGNAALVAALVAVTSSGRGAGAGTVDMNTMFSAVPSMSPAAPPPPPKWKP >Et_3B_029585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26208347:26211014:1 gene:Et_3B_029585 transcript:Et_3B_029585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSNVKSAAGVAQIDGRPVLQPAGNRVAAPDAARPLKKSLQKSFSMPASYDNNPAATAFTATPRPVPENARAAPSLLPPTTPASATARATKAAATKAAAVAMEKSRTKAAKKSGAVLPVVTFAALDAVATAAPEPLAASPTGHDEKRCSFITPYSDPLYVAYHDEEWGLPVHDDELLFEMLTLSGVQVGADWTSILKKRHLYRQAFSGFNVEAVAKYTEKQMALLSAHFGLDIGTVRGVVNNACRILELRRDFGSLDKYVWAFVNNKPLSPSYKYSRKIPVKTSKSESISKDMVRRGFRFVGPTVIHSFMQAVGLTNDHLVSCPRHRVCSSAVARGN >Et_3A_023029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19306304:19307279:-1 gene:Et_3A_023029 transcript:Et_3A_023029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPKAALLAVLLATAALFTVVAAQSDYEHHVYRKCYRSCMRKCDDDYDDDDDAVSTSRARKLAITEEDDDDHHDDDHHDDDHHDDPHDDDDDDDDDDDDDDCKEVCRDDCIDYVPGMCYKSCISRYCLFIPPRSYRRAACFKGCGYRCYNHHNHHHHHHHHHHDDEDDDEDEDEDDDDKKPSPPKPTPPKPGPAPVPPKPPVQSTPQAPAAPTTSPSKPVRSKPKPKPKSKPQVADAPAPAMSPMPAMPKLPVRPDPPRPKPPPAKPSDQKPPRQVLSSPAVSPTKN >Et_1B_011872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26709153:26712133:-1 gene:Et_1B_011872 transcript:Et_1B_011872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIRLSKIGTSDSGGAASGAAAGGGAPAKSASAAAGGGTPGSVTVACPDHLVIADLPVAKSLGAVTNSAAAATRTIGRRSRRPLGERVHICSRCEFPIAIYGRLVPCEHAFCLTCARSDSTCYLCDERIQKIQTVKMMEGIFICAAPMCLKSFLKKADFESHVPEAHANLLQTTVAKEERNESDAPNISRASAGDQRQSQMPEMSTARAPPRPGFSPSSTSHMQDREERSRYHQSREQTPLRPPMLAKPPSFHGRHSYPPGEAQAENNTPQGFDRPYNWASHPHQESPGAATPLRQDSDPGTQDKQQLMANAPFMFPPIPPNQPNFMMPMNMNQPLMPNASFNYPPLQQDGNPQYFGGPFQMQLPDSGSDQGSMSGVQLPSGPLGFPEGLQRPWAMGLMGNPFQSMPMGQGMSDGAGDPQGGGGMAFMQAGFAGIPDGSMNPGMPGQADRGDGRGILAQMPMQMQMQMSLPPPPLPTQPPSGTQQPFNRT >Et_2A_015481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13497560:13512035:1 gene:Et_2A_015481 transcript:Et_2A_015481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMRTSCSTITGMIGISVVSTNKNKRRAMLPQTCFIFTASFSDHRSQPNCHNAHHMFDVIPDPCTLLKPRDTNSVSCNSNACIELVLTMLTMLHTAQQGVLRRQEAKSISRSGSYVSCTLSAGATWWCQQRQAGAGQKGFHVLHKLELFRAKQTGDKLMVPTAKAEPSEIWQTASTIFILSTGFVRCRSIPESMAFCRSSSMFPAHHGEQSQAILVDD >Et_1A_005899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15229848:15231684:-1 gene:Et_1A_005899 transcript:Et_1A_005899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNKGQAKEGVERMNLLPHKKRKNARTCRRTTILSFMPSSPPDPKPKKTTTQPHPLLKHRRCCDGLVTQQKILRSAGAVTMSGLGLGNGSRGVNGNSQSSRGKAMLLALGKGLPEQVLPQEKVVESYLQDSSCDDPATRAKLERLCKLSCLVSMTTTVRTRYTVMSKELLDEHPELKKEGTPTLTPRLDICNAAVLDLSAAAARAALEEWGRSAVDITHLVYVSSSELRLPGGDLHLAARLGLSPNTVRTSLLFLGCSGGAAALRTAKDIAENNPGSRVLITAAETTVLGFRPPSYDRPYDLVGAALFGDGAAATIIGAGPMTPEENAILELHFSTQEFLPGTDKVIDGKISEEGINFKLGRDLPEKIESRIEGFCRTLMDQVGIKEFNDVFWAVHPGGPAILNRLEFCLELQPEKLKISRKALMNYGNVSSNTVFYVLEYLRDELKKGAITEEWGMILAFGPGITFEGLLVRGIN >Et_1B_013260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6849181:6853562:-1 gene:Et_1B_013260 transcript:Et_1B_013260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTKVVPNIEGFAFGPGSVVHAAGPARRVADHVILAVCRSDGGEKYQGRYKGSHEDNNNTRPAANADGQAQDGRRLSCGNGCDEEPIAIRHHDHEAFIAAGHDAVRHVSGLALPEHEVLQPELLPLHDLKLVPGGARLRNGIRRARLHAHPRRVVPFALPGNNDDREPRHLVCSAELVAGLVKAGPGERTVVGRRPGVVGGGPEEHGLDVAAGAGDDSVDRDGLDALGHGVGALEVAEVLHHRVGGDLVLGLHRGVRARPRQEEPRQRQRVGQHQAAAHADGVAPVALEPCQLHASENNNASRAHMSGSSAFTSVHGASAASLRARWSCPSAPRKSSTAPSAVASTSLTPVSLSLTSHDAPSARRRSRPAISGWSASASLSDSFTHTASSHGAPSAR >Et_7A_052134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:496564:502269:-1 gene:Et_7A_052134 transcript:Et_7A_052134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSKATACCCRTPSNGVINDNTETVTEEQGEAYELPVFQEFSFEQLRLATSGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRSAWPDSRQFLEEAKSVGQLRSKRLANLLGCCCEGDERLLVAEYMPNDTLAKHLFHWESLAMKWPMRLRVVLYLAEALEYCTGRGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGTLLLDVLSGKHIPPSHALDLIRDRNFNMLTDSCLEGQFSNEEGTELVRLASRCLHYEPRERPNVRSVVQALTPLQKDVETPSYVLMEIPRGGAPSIQSLSLSPLAEACSRKDLTAIHEILEKTGYKDDEGTANELSFQMWTNQMQDTLNSKKKGDNAFRQKDFATAIDCYSQFIEVGTMVSPTIYARRCLSYLMNDMPQQALSDAMQALVISPTWSTAFYLQAAALLTLGMENEAQEALKDGCSHEQSSSGGH >Et_3B_028596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17111278:17115478:-1 gene:Et_3B_028596 transcript:Et_3B_028596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPAAAAGRKPPAVVLLLCVAFCFLLLLLGSYSPRLEPNGRSHHRRLKLHPRSSKSAASSSGAAPVANGGQEQQHHHHTFDPAIAEVEHRLEDKEWEREHYRLLHGDDGDGGPDEHMKEWEEFIKEEEDLINDDERFNLDARIRELFPKIDLAPQDGFVSVDELIKWNLEQARADQLHRSGREMELYDKNGDGMVSFGDFKAQRQESHGQGNLLGFPWWNEEHFNASDANGDGFLDKAEFNDFLNPSDSENPKIINLLCKQEITQRDKDGDGKLNFEEYFNRLHDHIHGYDDENADISHIGNVTVAKERFSRLDKDNDGFISEHELEPVLDKLYLSERYYARQQAVHAISEADKNHDGRLTLEEMIENPYAFYGSVYFSDDEDDFHDEFR >Et_3B_028461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15620242:15621558:-1 gene:Et_3B_028461 transcript:Et_3B_028461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAYDYPSAVHVDRAGMDLILVGDSAAMVAHGHHDTLPISLDLMLQHCRPLVVGDLPFGSYEASSVQAVKSAVKLELEGGLPSRVSAAKAIVEAGIAVMGNIGLTPQAISALGGFRAQGKTAALPLQEAGCCFAVVFECVPAPVAAAATAALQVPSIGIGAGPFCSGQIRLCLVCCIYMVSHDCAVFPMPTTNTVLVYHDLLGMFQSPHHSKVAPKFCKQLANVGSVINDALTEYKQEVEARSFLCPTYTPYKISSVDIEAFANALQKIGLNGAADAAITATENVDGGANELRAATAPY >Et_8A_058117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20750861:20752438:1 gene:Et_8A_058117 transcript:Et_8A_058117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSFPRWKREKPATTLPDPAAPRDWTALPRGILLDVFLNLGPREVMLGSEFACTAWRRVAVEEPALWRRVGWEDPMDFDRYRCLHVSVRMAMACVAVARAAGQCEAFKGDLEEEDLPHLVERAPSLRSLDLDYFSDRDSVDELVVALKKLPLLEDLQLSLSFIAVQMDGERNVLQSICKACPGLKTLVVIENMSDLSEAHVLQAGQQAFKMK >Et_3A_023313.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2037044:2037229:1 gene:Et_3A_023313 transcript:Et_3A_023313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TLHVSEVEVVYCVERVCPHQVTCYCCLNQQPEALCYSTRDECRSHCPDCTPKCQPRATNHL >Et_5A_040631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10606805:10617566:-1 gene:Et_5A_040631 transcript:Et_5A_040631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVGPLVGKLRELALNETKALVAVTGDIRSLRERLMWMQAFLRHADPRRRDTSNELIRVWIKQTRDAAFDAEDAIDHYYLKVDLSRYPGWTQSIIRFLAGFTTQISIRHDLSRKISEINIRLEDIINNKEKYKIDDAASNSTLRQWKPSTNISSAAINLDDVHIPIVKCKKEEELEKELHGNQKGQVVISVKGESGVGKTTLVREVYGKSTTKTHFEEQVWASFPPHLSSSNILQIILQILQRRNYWSKNNNMEKDLKEILDGKDFLLVIDGEVSNTDLRAILAALPDEKDNKVVVICIMDDNQSSGSIFKHHIKLSRFDKPKTIDLFMKMVEKEEKDEDQILTTEIPWNNIKTDDIFIENKLVRQRADENLGLANDIYYITRGLPLAIVLLSGLVKTKEYPNEWKAVFKHLKSKQSKRLDSILTICFDDLPHDLKSCFLYFAVLPVNTPIESCKLVCIWMAEGFLTQKDGKTMERVGRIYLNELIARNLVKLVKKDFTNGNDVELVTVHHKVHEFLQVEAQEANFVDIDNGDSNHSFTRARRLSLQNYIDKLAALKNSLPKIRSILSHFEKEEGEEIDWEDEYDEDEYVEEDEHQDGEEERGTHEDNENGHIEEDIPRRVGDQEFGVEEKKESDETVEEEENKNGDDDGADEIVKDEEGQENEQRGIHEEDKMNEDGVQDASRRQEKDIVKPTIMPWSGLSRCWGKGITTQDINKSYIRRMLNYSKFLRVINLQGIDIGKDLPETIRNVAHLQYLGVTACLLGHIPSAIGKLQNLQTLDVRNTSVQRLPREFWKIRTLRHVFGDCLILPKRVGDLKDLQTLETVRPDKKNGWDSKTFERMKNLLTLKIWDFSKNYSNAKALSAVMEGSNVLQYLETMELYVDTYKIPLKVFTSSSRRLSALTLYGEMEILPKESKFRAPNMTFLWLENTKVTQDFIDKLSKLPLTTLILDTKSYVDDQKQLVFSASGFKCLTKLKLSDLEDLSKVEIDKFALPELKDLLVVWYPEELKIVVHGEREFVKKIQDEDEDLFKCITIAPNKTGQGLTSKSMKLVEDKRPMLS >Et_3B_031068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1453400:1456100:1 gene:Et_3B_031068 transcript:Et_3B_031068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLVLFGDSITEMSFADGGWGAGLADRFARQADVVLRGLSGYNTRWALKVLERAMEGAAAAGVDPAAVTIFFGANDASLPDQKQAHQHVPLGEYQSNLRAICGYFKVLDYEHWPSTAIILITPPPIYEPARIRDMYGDDDPSRQPERTNEAAGAYAQACMAVAKELDHPVIDIWTKMQEFPDWQTSALSDGLHFTPAGNKILFDEVVKTLASIGISQEKLPSDLPLFHDIDPKDPMKAFD >Et_4B_039038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7258204:7260975:1 gene:Et_4B_039038 transcript:Et_4B_039038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAAAAGKLAAALHRRTHRVTSALAHAALEWVLIALLLINGLLAHAIARFADYFGLAPPCLLCSRVDRLFDGGGARLRDVLCGAHAAEISALGYCLAHRRLADAAGMCEACLSSWKEMMISDAVEKGAAVACSCCEAVVRTALRELQDTREDHVEEKVTEEEEEEEEEEEEEDHGYAPLAQEEHDDEEEEHEQDEVVCPLPKDKVQGQEHQDEKAAAVEDGSMEVMAQCEEIAPEDDRLVPVVALDEMTIADESGLHRDVEEEEDAMSRADFEQDSRDVDVGVVMEEKRMANSAVATPANVIDDSVVPVSPIPRPEMVTGTADPDENFIPRDEDTVANEDSTAEEEQIVVPQVTEAVHEDDNNRAVEVDTNCEVSIGSEICEHESAVLEEPSAPTAASYDQSSPFERLHEASATEQERSDAEHENMADTTRLDHLPDEQNEADEDRAPETPTYGVATQRADRMFLLERKRSLSLSLDGSVTSEADGAVEPSSVDQLKSALHAERRALSALYSELEEERNAAAVATNQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELVTKREREKQELERELELCRHKLQHYEHRERRRMEAGFKASGLSVSPSGSGTSMSSGSVDSDEAASDEDCELAEHPDGGGNLQSSSDAAVCPSTGQDGTGHLVALDDSLTYFEMERLSILEELKTLEERLFTLEDDDVSIPNSAAAHSSNDFDLSAEYGFTGDKPKYGGKTSICRGKSLLPLFDAVGDENCDQMPSAEVGEAETDNSTTKSASVQEKEQERLAIIEEVDHVYERLQALEADKEFLRHCIKSLKKGDKGMNLLQEILQHLRELRNVDLQVKNAGDAFAANSA >Et_2B_022169.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1084462:1086564:-1 gene:Et_2B_022169 transcript:Et_2B_022169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALAVDAAAPVAHAFAASCDATRFPAPLLGPAPLPAAERQQEAAAWSADLSSALYNVDGWGAPYFFVNDDGDIAVRPHGAATLPGQEIDLAKVVAKAAGPGGLGLPLPLLVRFPDVLRHRVETLNAAFDYAVRSTGYGNRYQGVYPVKCNQDRYVVEDIVEFGEPFRFGLEAGSKPELLLAMSCLAARGNPDALLICNGYKDDEYVSLALMARTMGLNTVVVLEQEEELDIVVEASRRLAVRPVVGMRAKLRTKHAGHFGSTSGEKGKFGLNAAQILSVVTKLKALGMLDCLQLLHFHIGSQIPTTALLSDGVGEAAQIYCELARLGAAMRVIDVGGGLGIDYDGTHSAQTDMSVAYSLEEYAAAVVAAVGRVCDRKGVQHPIICSESGRALVSHHSVLVFEAFSASAPGRIDTATAFLLEDLTDDCRADYRNLMAAAVRGDYDTCALYADQLKRRCAEQFKEGVLGLEHLAAVDGLCEIVARGMGVAEAPRTYHINLSVFTSLPDMWAIGQQFPIIPIQRLQERPAVDGVLSDLTCDSDGKVCHFIGGRDSLPLHELPSHGTSGYYLGMFLGGAYQEALGGLHNLFGGPSVVRVSQSDGPHCFAVTRAAPGPSCADVLRAMQHEPEVMFEVLKQRTDGATAAALARAFGAMPYLAFDGDASAMSGGECSGMSSDSEGSAAGVAEEDDDEWEFMRGLTV >Et_2A_018722.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5902347:5903540:1 gene:Et_2A_018722 transcript:Et_2A_018722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSGDTGGVRRPNFPLQLLEKKEEPPCSTSPSGAGAANGSAAAAAAQGDHHQLQQVNRKAQAAPPKRSSTKDRHTKVDGRGRRIRMPAICAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSFSIPAHLRAAAGLQHPAGGGGSRFGGGWDRVVGLGFGGGEGPASAASSASSPLLLSFHSGSVGLDVSPSSPAANSDLSSRKRRWEQEMQQQQQQQQQQYQQQMAGYTQSQMPGTVWMMPSSNAQAAGAAAAGGGGGGSESIWTFPQAAGSGGAATVYRGVPSGLHFMNLPAPMALLPGGQQLGLGPVGGGGTDNGGGGGGEGGHMGILAALNAYRAHAAAAAGQNGAEGSSQHQHGGGERQESMSASES >Et_9B_065065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20957418:20960129:-1 gene:Et_9B_065065 transcript:Et_9B_065065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLAVAAAAVSLAIAAAALLPRPASATGAAAVGVNWGTMMSHPINPAAVVEMLRANGVDRVKLFDADPWTVAALAGSGVQAMLAAPNDQLASLARDPRRAREWVRHNVTANLDAGVDVRYIYPRTTTPSSPYLTQTGLLDGLARSDLAAACVLCYARVFGGFPRRDVVYVAVGNEPFLKSYNGSFINITFPALKNMQRALNQAGFGQRIKAVVPLNADVYSSPANQPVPSAGSFRTDISSLMIDIVNFLHMNDAPFVRFYDGFLKKMAKNIGTPLRPGRMEVYLFALIDENQKSVLPGRFERHWGLFTYDGKPKFFMDLGGNGQLVGVKGVQYLPAQWCVFNKDAKDKYKDLPASVNYACSNADCTPLGYGSSCNGLSHDGNISYAFNIYFQTMDQDVRACSFGGLAEITTTNASQGGCLFPVQILSASGNVAPLIFLPMSLVLLVSVFILI >Et_3B_029497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25500112:25501900:1 gene:Et_3B_029497 transcript:Et_3B_029497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFYFDLQDVAVVDDDYLMSLGDVILPPPPPQGSAFAAYHRELLSRGGRYNINSGSGGANVHRRMFGYLRRIGDAAAASSAGNAAAQWHARPEPAADRDDVVAAQAATRGTPRFRHIMRERLRRERLSQGFADLHALLPPGVSKGGKNDVVGAAVGYIRELERRKERLRGRNEELLLLLEQEAARSGGRGMVVVKVRAESEQHAMAVDVFDMVLRRLKAVEELRVTGIRSCFRDDGGMWMDVGVECEQISAGDVDKAITNAVAEIEESALRMQFPRSSKPTFSCHVERGVPMPEGSVEVKFELASRDTNAWAGRSLN >Et_10A_000026.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:16382801:16383370:1 gene:Et_10A_000026 transcript:Et_10A_000026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINKLFCIILFLRYLTVIVGTVKEWETIKCFLVGNNLGSRVIITTLNQGVALAWGPCFDRHVRIRAFNHFDSRRLFHKRIFGSEDACPMQLQAVSDEIEKKCGGLPLTILSVASILGGHSAGITLHVGSPIARLMQRHIVFLMRWKREEGKRKRRLFPQTLKFKSMKWLTHHYTSCSCHATLKPFFSHP >Et_4A_031963.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:647900:648727:-1 gene:Et_4A_031963 transcript:Et_4A_031963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPFVRSATASTPGLQTLRSWRRCWSAQKKKKIRPRCLFTNKQGASRQLRAEPKPSSPLLFLSCLPRRRRRRPPWRIRGCTRRDPTNAGTPPAAASTVRTRTSTSPTSSSTTCPPPRSSSSRRRPSRSAAPGARTSPTTPDAAISSAPSVAPRSASATPSAGPSPGRPPRSGPTASSTPAAAPAAASATPSGSSASCTPGSRAPWSPSATRTTGSTASPRGSAPARSSAPPTALARPSWPVPSEGSSPVASLRSGRLARDTCPPSDAVIVPAVL >Et_5B_044511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3003259:3004901:1 gene:Et_5B_044511 transcript:Et_5B_044511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIEMKERNEEIKRKKNEDLEEEERKVQRLLLKTVTSTDADSIRRARRRAACPVASGFIVPSARSEDGQLDPVAALAVHGQRAVDELRPGLVHALVHLVQLRLAVRVAVALQVRLGPRPFRDGVPDAAQKVGLVLGRHDAGAGEDGGEVVLRLERERGGEVGVLVQVGAGAVLDPLVAPELEAAPSLARQRRPALVQRLDQVADLPQLQLVVVVAELRPAPAAPPDHGEDAVVATAAAAVDVVGPQPVDAELRRRLARVREAAAPLRVAERSRPLHSQENKLCYSSVVSM >Et_1B_013237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6661806:6666096:1 gene:Et_1B_013237 transcript:Et_1B_013237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPGRHCVGDLGLGHQLRQLKGWPDLWLAPDKHTLLERSAAMAPAQPPPPAPDQTLALSDALLLRVLACLPEPTLTGAASLVCRRWMRLAGRLRRRLAVRDWAFVAHRLPYRFPDLADLDLFPASIAAPTALPHASSPLLTCAELSLTLDTSADPPLGACRFLDDDVLDRGLAAVAASFSNLRRLSATAAAESGGLMAIAGGCPTLQELELHRCTDLALRPVSAFAHLQILRIVASSPALYGPGEGGGVTDIGLTILAHGCKRLVKLELQGCEGSYDGIAAVGRCCAMLEELTIADHRMDGGWLAALAFCGNLKTLRLQGCSRIDDDPGPAEHLGSCLTLESLQLHRCQLCDRRALHALFLVCEGAREIQVQNCWGLEDDMFALAGLCRRVKFLSLEGCSLLTTRGLESVVTSWNDLQSLDVVSCNKIKDEEISPALSELFSNLKELKWRPDNKSLLAASLVGTGMGKKGRVFFKRILPAHQRIKGKTRARLRGEGGG >Et_7A_052016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3752704:3754195:-1 gene:Et_7A_052016 transcript:Et_7A_052016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTATSSSAQRRWLPWARRQAAAYLAAAAGRDDGWAASLVSAVRVVVCFAAMMVTTAAWAVVMLLLLPWPCERIRQGNLYGHVTGRMLLWILGNPIKVEGLEHLNNRGIFICNHASPLDIFLVMWLAPTGTVGIAKKEIIWYPLFGQLYVLANHLRIDRSNPAAAVASMKDVARAVVKHNLSLILFPEGTRSRTGRLLPFKKGFVHAALQTRLPVVPIVVTGTHLAWRNGGVRVRPVPLTVKVLPPVGTDEWEEERIGDYVEMVRSMYVDNLPDSQKPLEALEADRKSD >Et_3A_024424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20672410:20672658:-1 gene:Et_3A_024424 transcript:Et_3A_024424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSTCEEIIDEFEMLTRDARPEQASILEEEQTPRVSNPVFPYVCMVTLNPKSNG >Et_9A_061372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10717981:10718508:1 gene:Et_9A_061372 transcript:Et_9A_061372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACAISAPSFSGHQALSSRLSKKLTPPSPRTRSFRVRAAKLPAGVEVPRVQPKLSEPFLGFTKTAEIWNSRACMIGLIGTFIVELVLNKGILQMIGVEVGKGLDIPL >Et_3B_030791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7368525:7371523:-1 gene:Et_3B_030791 transcript:Et_3B_030791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCRGGAEWWRPPLRSLTRPPPPPPRAQISLFPYPRVPPPASPLFSRTHARARASIPRRLYLLRWCWSSVVIVVVVVGCAALAGVLARRGRGGAAAFVRGMSFGGSSSVASGAKRPFEYGRTHVVRPKGAHKATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPSRPVSVFGGFPSTAWFDVADLSEDAPDDIEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCFAHGKYGNGNPYPVNLSLAVGLSGWLPCARTLKNKIEASSEAAQKASSIPLLLCHGKADDVVMYKHGERSADALKLNGFSNVVFKSYNRLGHYTVPEEMDEVCKWLTENLGLGTSSS >Et_2A_018287.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21540598:21541806:1 gene:Et_2A_018287 transcript:Et_2A_018287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDNRMAGLAPAAHAAGLRRLSTRAASGHASASASPRHGLHSFHGVAGAVLSHLRAAGVAVLPGLSDAEFARAEAEFGFTFPPDLRAVLALGLPSGPGFPDWRGRAGLRAAFDLPLAAASLQTARGALWPRCWGPRPADPDRARRLARSAIRRAPLLVPLFDRCYLPCRPCLAGNPVFFVTDDRVLCCGLDVLHFFTREASFHPTTEVASSPLASPVSGRASASCTRRSLDAVCGGQAPRWIEFWSDAASDRRRRDSSSSEASTASSSSSSSSSSGCPSPPRRSTPRWVDNYLDNLGSMLKKGGWRDREVDEMVEVSASGLFDDEEAPAPDTEAVLDALVLKTDRCSDSLRRAGWTSQDVSDALGLDFRRSGKERSRQAVRVPPEIAAKVQRLAQALARP >Et_7B_053625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10427494:10432610:1 gene:Et_7B_053625 transcript:Et_7B_053625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASGSGVWSRRRDEITFDRLHKFWQDLPPHAPQELLKLDKLTLIEDARKNSYCSRCNGLLLDCFAQIAIYGKSLQQEASDIDLSRATAAESGARQVEQDEAQDPSVHPWGGLSTTKDDTLTLLDCFVKAKSLDVLQNVFDNALVRERDRKMCYPDACGAGGRGYGTREMCSLHTARPSCDALVDFWSALSEETRSSLLRMREEDFIEKLTRRFNKKKFCRDCRRNVTREFKELKELKRMRRERRCNCWFCVDNGFQCEVFEDGIIVDWRQCLSEAEGSFDHFEWAVGTNEGESDILDFEDVGMNARVHRTGINLVEFENYFITLRAWRSDGRCTQYCVKAHVLKGKSCLHLHHTLVVSSGFVTITDVESMRRFFERAEKAEEEDEDVATNGDGNDLDGDGSHAQKHAKTPELAREFLLDSAAVIFKEKVVKAFRNNTAQENAKSVFISLALKLLEERVHIACKELITLEKQNKLLEEEEKEMREKQERKMKRRSKEKEKKHRQKQRLKGKGKAAKLFESKLPDNISSPAVSDSSTSTNHESTNSTPDSRDSAKAEVVDLDSPGRFIDQSSCRENKVEHINGVTDLSPMVCIDCCNTSEQSESSKQSPRLSNNSPQDQPCWCDDSHDEPGRIGDSKWRSIGRKRRSARSCGITVPKGSRIGTGGAQKNAIPKQVWEPVDARKKTGLYSIDNAWVSVGNVNPLKPVDCDTRGGQKIRAGCDEPLHLASENSSNLYKSGTVQACGNSKSCQAASSDGTHMMNKQDCYSIPDESFWHDEDLMRKSDSYSSLSSCIGEGDRESSCSSSNVIISSEQNPESSLSDETEGSPYRIDSNLDTPPLRTASQSLLEACARKGFREYRPEATRPTDSDRFGFNTPIQDQQFHHQSMHLPQNSPATLRLHNHYCWASPTNRTFQYVNHRVRYSNVNPYLAHQQCCMHPEPTQKATASFRAMPPSPPCQDGAKQIVGHPRRKIHVERHPSNRLKQLGQKEHPEDNGKPQDDADASFSLFQFSLPIASPVPASFKDDRCGEFSDRTPLAQVCSREQTGVKEYKLFSTKDSGMFSFICR >Et_5B_045740.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8598572:8599099:1 gene:Et_5B_045740 transcript:Et_5B_045740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARRPPFAAAERFLVFPRGGSAAVFPAGGDDLPDLAEADVWYSSEAAGPAPDEAGREEGMTTAAAAVSGGRASRRAVGGLSRAFGDGRQVAASAPVEVPAWPSRFAAGEPEAFVEAPQEDESKDDDGGWVPPHVYLARRQARASVVEGAGRTLKGRDMSRVRDAVWSRTGFDG >Et_10B_002844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12359514:12364163:1 gene:Et_10B_002844 transcript:Et_10B_002844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWNSVGLEVLYQVLGWVAFFAWSFSFYPQVLLNYKRKSVVGLNFDFLVLNLTKHSSYLIYNAALFFSPFIQRQYHDKYGDKEMIPVAANDVAFSLHAVTLTAITVFQVFIYERGTQKVSKICISISAIVWTAAIVCLIIAWPKGNWLWLIDVFNSIQVGMTAIKYIPQVIMNFRRKSTIGWSIGNILLDLTGGVLNFGQMGVQSIDQHTLVNFYGNIGKTLLSLETVFFDVLFIIQHYVLYPVKRDENGKAIISERVAPLIRPSDKPEEDNTTGTQFSPLRQNTLSTR >Et_3A_027228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5402721:5403203:1 gene:Et_3A_027228 transcript:Et_3A_027228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSKLFVVLVLQAALVMGIVAALAVAKEDGMGAGKSKVQVSAEPPCCNNCKDLFSGVVRCDDVVRRCHAGCTKCVRLKGSPMKQYKCADTYFGPCNNPCKKN >Et_3B_030533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4763160:4765251:-1 gene:Et_3B_030533 transcript:Et_3B_030533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLALLVDRLLTESTLEAAIGKQMVDLQPETVAVEYCRGGADGGGAASKVVECRICQEEDWDTSMEAPCSCCGSLKYAHRKCIQRWCNEKGDTICEICLQQFRPGYTAPQQLFHYGSIPMNFRGNWEIARQDLDDARIITMVPTERDFIDGYEDYLPIRTRSSTICCRAVAIIFMSLLILRHTLPLMIGGNGEFSLALFSLFVLRTAGILFPILVMVRALASFHRRRWQQGNQETYVSSSESEEGEEEEEEEEEGEDAVINSARSNYAQPRSIPVY >Et_9B_063796.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14581337:14581825:-1 gene:Et_9B_063796 transcript:Et_9B_063796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSESLEVFFSCFSFKFVRREANCVAHCCAKRPTQHERLCSWSAHLPKWLREIAEKDCNPASLIK >Et_4A_035752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5005168:5008010:-1 gene:Et_4A_035752 transcript:Et_4A_035752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQASFDPTLRKPYMHLDKQNQALMETYRAMSHELHKLQVEEETIMRKLYELMSAEGLLPKMQDNNA >Et_7B_054850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4929614:4931729:-1 gene:Et_7B_054850 transcript:Et_7B_054850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAPRWLRGLLGGGKKAAEPKPAKEKKRWGFGKSFGEKAPARPPTPPVQTTATPRRGYAVPVDEGDDEQSKRAIAVAAATAAVAEAAVAAAQAAAAVVRLTSSGRCAPAAAKREEWAAVRIQAAFRGYLARRALKALRGLVKLQALVRGNIVRRQAAETLRCMHALVRVQARARACRAIRSQYVVSHPEPPTPEKYEQVPREGATRHGRSVVTMVKRSVQGSSSKAPGNERLGRERSESCGRNWLDRWVEERYMDDEKNAKILEVDTGKPGRHVSRRRGGGGGGNNNHHHHHSPCSTMTSDQNSRSYATMPESPSKDSTTAQQSVPSPPSVGMGEALSPLRLPVDIAELCDSPQFFSATSRPGSSRRGSAFTPTKSECSRSLFGGYSDYPNYMANTESFRAKARSQSAPKQRPQYEKSSSLRKASAHAFAPGPCASSAQRSAASLHAKFTNKAYPGSGRLDRLGMPVKY >Et_9A_061745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1595855:1596642:-1 gene:Et_9A_061745 transcript:Et_9A_061745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSCDTTLCTLLTVNKLSPGSSPPPPPTSPQLAPAVVLSPCAACKILRRRCADGCVLAPYFPPTEPHKFATAHRVFGASNIIKLLQDLPEEQRADAVSSMVYEAAARIRDPVYGCAGAICQLQLQLNDLKAQLARAHAELAGARAQHAHLLALVCCMEVAAGASSSPASSSDPSAAYCAAADYSVGPAAADALYVVDGGSLPLQASPVNWGDQPLWT >Et_4A_032570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11393258:11398670:-1 gene:Et_4A_032570 transcript:Et_4A_032570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATAVGSQPSSEQPPPPITADSVLRLATRDPSAAAALLHDLHPDALSDILSSLSAASPANHLALLPHLLSLSPSPSAASAIFSSLLSAPSWPSATLLAVASLLRDLPPAYRTRVPAFLAKIISLLPKADAQDLPALAYQLLLLASKPLHPRAILTGLLRFFGGRSGACVRAPASILRQVECTVLLNVAFVVKQDPALAREVLAAVKDDAAGALSGFAVAVLLAVARVRRFNEGAVGVLRDAAVLSRRDYRLSRRCKWLPDCLKEEFARAAQSVEKALLKSVTESIGGREQVVPSIVQVGFLLLEVSGGDRGVEDGLDKGIMSTEDIGVNMLKSLFEIHEMARTEIIEQCKFRILSAKPQQSAPILRLLGSLIRNHPIPMLEYIAHLKELLDYFAFMNDKISTGLISCILPLTKSSRDLKDYIILVVRKAMFKREDTVRIAAVNAIVELIITESMHRKNEANPFEDSSSQPSSSQHPEMHLGIGGGLFQELSGLLRRCFSQQANVKEVLYEGLKQIVTSDPAVANSVLDFLWPHFLNYYTEDGESPLKLDACFKVENAKLCIVEPLDSLLSCISSILRVQQINKYERPNDANWKFFGFAPSQDNEAGGASSTDLFMKALSSVQKYMRKSLTEDQQGQSQESSSLSSSSEMVHCHNLAMLGIIEVFVDFASSKLDKASDESKEMIEKEILELVATHSGFERKTSNGREKIARRRGNAGDAADMHTNEPKENSNASLQKLHEKRVRFMDSSLYQLAVLCVKQCNADSHNRSSQCPSQTKWNQNSSLVSLVLKACLELFKSLAAKDSGHAMRNMRTMLDEDVNKLIQPIMQLVWYLIFDPKQENGGIKRNMTQGKKNIENKKDHLNLALACLKELLKPSESGDHSADIIEVLISSVPPNMEDTMDAGELDTTMVEDRSTKNAHVFLNILKQFYVRILSQSLLRECEAVTELIFSISRKLHPEQRYLVGKWAVDLCKMKNVQSPSIARELVKLAIHLAPAPEDIFLMYEMSGELKKSVVSGDEGTRDSSDTFQIISCKTRNSLAAVFLQMVESSLTELDWGLGKLKAMLTLGYDAPTTDEDHPVDERMQRLDLEEALYSRSALVVHVLSSFAHMSLKDTQAEQFLKLSAKFYKLLTRMSKSQIAPKGYTQHIPGPKFQRLAEVTCKMLTAPLYAFVSSDQELQNQQTSKKGTLAKIRRESKCIPDLIFQIEDYEKYLIQLSKLTKVNLLRHAKRSVARDFQIKAKEKSGEQQQEEDCAPSCAASSGNEPDEDVEGPNPPVETNGDQDIRSSAEGSPVPGFESDEDEEISARRKRAKTNHIVQDSDEEAEEE >Et_7A_051317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16982530:16983258:-1 gene:Et_7A_051317 transcript:Et_7A_051317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSGMNSSAASHTLGSRPIAQTFTRTVVPAGTQHMYKVKILVDGRRTARYLSCVLVDQLVKQLIPPAPNPLRLPTHRPYKHAASYLGECGIEVLQVESPQE >Et_3A_026241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:644748:650189:1 gene:Et_3A_026241 transcript:Et_3A_026241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSVVMSALGIGIGVGVGLGLASAPWAGGGSANARAGVTVERVEQELRRLITDGADCKVTFDEFPYYLSEQTRVVLTSAAYVHLKQAEISKYTRNLAPASRALLLSGPAELYQQMLAKALAHYFEAKLLLLDPTDFLIKIHSKYGAGASTEPSFKRSISETTLERVSGFLGSLSILPQKEQPKGAIRRQSSMTDMKLRSSESSGNLSKLRRNASTSSDMTPLRRASSWTFDEKILVQAVYKVLHSVSKKHPIVLYIRDVERFLSKSPKMYLLFEKLLNKLEGPILVLGSRVVEMDSDEEMDDRLTILFPYNIEIKAPENENHLVSWNSQLEEDMKLVQFQDNRNHIMEVLAENDLECDDLGSICLSDTMVLSKYIEEIVVSAVSYHLMNNKSPEYRNGKLVLSTKSLSHALEIFQENKMSDKDSKKLEVTSGVSKVAEKGIAPTAAKTETKPATSLPPARPAAAAPTVVESKTEPEKSEKKDTPPPAAKAPEVPPDNEFEKRIRPEVIPASEIGVSFDDIGALDDIKESLHELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAQASFINVSMSTITSKWFGEDEKNVRALFTLAAKVAPTIIFVDEVDSMLGQRNRAGEHEAMRKIKNEFMTHWDGLLSRPDQRILVLAATNRPFDLDEAIIRRFERRIMVGLPSMESRELILRSLLSKEKVDEGLDFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQRERKKELEKMKREKGETPSDLPKEKEKEEAIILRPLNMQDLKEAKNQVAASFAAEGSIMGELRQWNELYGEGGSRKKPQLTYFL >Et_9A_063523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:678223:680555:-1 gene:Et_9A_063523 transcript:Et_9A_063523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGVSCARTGDEHDYFRAAQLGDLDALTALLAADPSLARRATLYDRLSALHIAAANGRLEVLSMILDRGVPPDSVNRHKQTPLMLAAMHGKIDCLLKLLQAGANILMFDSVHGRTCLHHAAYFGHVDCLQAILSAAQTTPVADSWGFARFVNVRDDHGATPLHLAARQGRAGCVQVLLENGAIVSALTGSYGRIPYAVALKRNHGACAALLNPSSAEPMVWPSPLKFISELDPEAKALLEAALMEANKEREKKILNGTKFSLPSPSHCDDDDANIVDDASSEPNPTTLTLPSPACPFCRGSISRLLVARTSTATAGDPEKPASPQLARRRSRRSHNLSEGSSSFKGLSSAMGSLSLSKLSLGSSRTVNIDLDKPEHDL >Et_1A_006600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25050972:25073674:-1 gene:Et_1A_006600 transcript:Et_1A_006600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRPPPPRRRGPLENWGRLVGAVLKRDREDHGGASSEGLGLAGGVPASLGRSTNDIEGILQAADDVQDEDPNVARILCEQAYTMAQNLDPTSDRRGVLQFKTGLASVIKQRLTKKDGAPIDRQNDKQVLWNFYLQYKSRCRIDDIQREHELLRESGTFNIEMGARAEKVRTVSANLRALLHVLEILVGQSPTDRLGRQILEEIKKIKGSNEVLRGELMPYNILPLDAPSSSVANIVRFFPEVRAAAAAIQDCEDLPRFPYDASQLRKKDIFDLLQYVFGFQDDNIRNQRENVVLMLANAQSGLSLPVGTEPKVDERAVTEVFGKVLDNYTKWCRYLGKNVAWTSLEAKNRKIMLVALYFLIWGEAANVRFLPECLCYIFHNMAKELYGILALSDAEQAKSCITTDGFSSYLKRIIAPIYQTMAAEANNRNNGNAAHSASRNYDDFNEYFWSSSCFELGWPHADGSKFLCKPAKSKRTGKTNFVEHRTFLHLYRSFHRLWIFLLLMFQCLAIIAFRNGKIDTSTIKTLLSAGPAFFLLNFIECCLDAILMFGTYKAARGFAISRLFIRFLWITTVSAFVTYLYVKVLEEKKLGKSDSTYFRIYILVLGGYAAVQLVFALMAKAPGCHRLSRVSDGSQLFQFFQWIYQERHYVGRGLYESISDYARYVIFWLVILACKFTFTYFLQIQPLVEPTKIIVQLHDLQYSWHDFVSKRNKNALTILSLWGPVVAIYLMDIHIWYTLLSALVGGVMGARDRLGEIRSVEMLHERFESFPEAFAKNLSPQRFLILFFEFDSEIPKMNASIFSSFWNEIIKSLREEDYISNSEMNLLMMPSNCGNLRVVQWPLFLLTSKIMSVSDYASSCSGSQYELWDRISKDKYMAYAVKECYYSMEIILVSLVDGEGKHWVERLFLDLNDSMTKGSLLVSINLMEFKSVLSKLTDLTGLLIRDETAGSAADVTKALIELYKVVTHEFLAPNLREQYVTWKLLLKDTDAGRLFSRIFWPKDPEMKEQVKRLHTLLTIKDSVDDVPKNLEARRRLQFFTNSLFMEMPKAKPVSETIPFSVFTPYYKETVLYSKKKLLKENKDGVSILFYLQKVYSGNVI >Et_3B_028953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20868464:20869746:-1 gene:Et_3B_028953 transcript:Et_3B_028953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSGMPKDVLLLILTYLQCFADRASLAGVRLAVPPQLPWLLLPSPKAQASFFASFLSGRRRQISLPQDVRRADHFCGSHDGGWVAVAAGRQGPYALVNLLSPHHELVVPLPRSLEVPFPRLSIRAITLSDKPTAPNCIAAAHLHATGGGDPQIAFCRPQVDRRWVRPVMGNGRVGKFDDAIYYSGDVYQGFYAINNQENLYMFVSLDINGGATLVMRQVAFPVEAGTPCHVRDTYGSTASVNLYLVESRGKLLMVVRHSGAGGIESTGMAQRVLHFEVFEMVVTLSPDAAHTSAHSASWVELEDLDGRVIFVGRGCSRAFEAGQFKGFQGGSIYFLDDAAALHSMYISDGEGGASSTRFALSHLVCNDQAASSYNMYGPRDLVIRAGNASHATAGGSTTLKIVPETDYATSNSEEDVMAT >Et_2B_022695.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3187084:3188322:1 gene:Et_2B_022695 transcript:Et_2B_022695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGTQIASTFSGALPSHRVQLLPPVPPRPGRHQQNQSTEYSAVLAASSRVPTHQPMPLSCFARGGRAGGEASPAPPSSSATSVYWTHLGAVTLTWSRAPLGLLLSAELRLADDDAPPARFALRPWLPWRRRGTKRFTTASGRVVAFAWDLSRARLAARRPEPVSRYSLRVSVHGELALAAGDLLLRAPSSPATASAAGFLLSRRETAVVAAAGDAYTTAVAVEGAVREVSLGVEDAAMWVAVDGEKALQMRRLRWKFRGSERLDLPRGRVRVTWDLHGWLFGDPDAAAAVFVLRFETTSDDADDEAEADDDDVEEDVGMHVLRQSSFRNQHATGGGESWCSSDSDRRGWRRGPFRSGSDTSPSVSVASTSATSSAGSVATVSQWAGAEEAVALKDGGGFSLVVHLWKKRK >Et_5A_040219.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:23703680:23705330:1 gene:Et_5A_040219 transcript:Et_5A_040219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAPSKRNEIFAALRQTNGHRDRVPATRRRRPWQSRQRRRHEMLKWVNRRRNVHLAFQHLHHHADRRPRLRLLLHAPERHLEHPLHHLLPPSHVVLAAMARHGAGDEVHELAALVLGPRQPGEPALALRRRGLVEVPPKTDDLEQQHAEAVHVALLRDARLPPHLRRGESGRAARAGDRDVRGGAAHEPGQPEVGDLGRERAVEEHVPRLDVVVHDLPVALLVEVPDPARDAGGDAEQRRDADVAAPAEEERVQRAALHVLVHQRARRVVEAEAQEADQVHVARQPDRAHLRHELLRGGAPVVAADAAAEHLDGHGDAVGEGAPVHDAEAAGAERGRVVARELGDLTRAEPHRPVALDLAEEVLHGGIGIAAAPVVVLVRHRRRRLVWAAHGANQVAVDARHLRVRPLRRRRDPHGSEEEHGEARMEPPAWQ >Et_4A_035883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7831476:7835826:-1 gene:Et_4A_035883 transcript:Et_4A_035883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKGQFGSEKNLKIVSSRDGQKKVNSQHDQIVLVTKDRVKAANRNADGKFEDRVRVVKNDKFRRQREPWNTDMKGSKPWPGRKATTVDELVKHMSNVPSYLQHKGTADHLQDKALNVGVLEWGLLANWSQQQKHELSRSPGVSPSNTSRSVLFSSPSHSSASPSSKSIESDQSTPASDHQHSSSKAQQSRLTDEHLGKARCSPSPNSAVLSLLPGHGKYLSAEHSCNYGGPSLSNLPSESVTASSESCVKREMDENKETRRKIEDAVHHCSRRLFTDSDKIGKKCFTSDNNDYLCNDPEQNSGLNGENLESLISTSLMDTGRNSSRLPFDFLEDIEPSHEFPRIPYSCPLPTIESADELDTSSTAARDNLVGTSATIGGNWNQSRSSTSVTEDPPQSSGKFNDVGRMRDRHLVSGMNHVSRSCSLKEAPYARQNEASASVDKTGDRASSNGKGNRSRSPLRRMLDPILKPRQASTSSPIRASFVPKCHLPGNASKQSLDFGGSVSQNMQRNSVDMVVNSNYQAEVNINQPPRVLPNSARYLQQQHDSATTRQALLQLAWKNGLPLFMLSYGDSDILAATVRKRDISDKDDLESTYAIFSVEEPKKKGGTWIKAGGKNKKYPLVSSIVGEMRVTCCKSRCDHTKNVHAHREFVLVGSELLPSSEESGDSHVNRELAAFISSLPQQEAETSVQSPSQSSRRSSSPSGCRCPPLGNFQPSVKNSGTHSASVIAVLPNGFHGTSTSGQPLPLIERWKSGGACDCGGWDEGCMLSVLTYGTQEDKGAIRANEALDGSQRFDFLVQGRSREDRHAFSMVSFKEGLYTVEFRSSIALLQAFAMCIVVLHGRYPTRMHVGSQASQEHDLLADHELKTMGNEIDQDQIGMHEIDRRVGVIHVDGGHLRRPDAHGHRRRYPYRRRPCVVLVDDGHVLSFLALPEVAGRQEAVHGQAPDVEVDLLDGPRLLHARPGVAVVAVPEADERDGGSARVRDVDAVDGAVVVEARLHGGLPEDAAGAGAARGPDLEEVRVLELHQQARALAEVAPHGVADDLHAAAVARAQAGGLRLHLEHEAVLAVDAALADAHRVREQARRQLRVKTLAKTHFAVNQRKPVHACVKT >Et_9A_063034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8885314:8886606:-1 gene:Et_9A_063034 transcript:Et_9A_063034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLDEIKVEDCLPPIDERVRSTTERLEADFKQINTKIHRFPPSLRGIGSRYITPSVVSLGPYHNGSPNLQQMEPVYNKIISIAGDARRFYEDEAVARFSNAEFAEMMFLDGCFLLEYIGGMKDHDPLLANSMVLSTGPCMLRDIMLLENQLPWLILETLMTFKPVAVSKFMVHVIRVDLLDGKGPAREDVIKQFQAPHFLGLARLYVTGNMPAGPEGEHSRVTEQSRGTAASLSAIRLAEMGVKVKAACKDNLFIEMNVNRNGWICAELSLSPVCLNDFTTCWLVNMAAFETSIATGYPLDGFVISSYLSLLAMLMDKEEDVHELRARHIIRSFYSNEETLNFFKSIARHLRLGNRCFVVSKTIDDYKREKRMWIKVHKPLYHHWGKIVTTISIISVLVGIFKALLSSK >Et_6A_048018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3558797:3559431:-1 gene:Et_6A_048018 transcript:Et_6A_048018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDKKLLATFALSRDHLHHVKDAVAAAAPRRRTSLVAALGLVWACYQRGAIKPRDAGPTCLLFPVDHRSRMRPPLPDTYFGNCVGGAFAIAPRSELAAAGAGGLLAACAAVAAGIDEAVSGGGGDATETMAASTERYREVIATMGVLSVAGSPRTGAVAVADSRAGDGGVEVGVCLPPEGMGAFRKCFADAVAGLDLFGDAGAHQ >Et_5A_042502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16170112:16172033:1 gene:Et_5A_042502 transcript:Et_5A_042502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDQEGENSGQVSTNPSVTPIETDNQTSAEKLVNKKERSSSSSTSSEDIDEDDFFQIEGPILGSTISFAENSSLQDIRQQDGSSSNPESNSPIGPNQSPPAQAMSRASDEFPDPKRIPSSVFERSKSSSPADWSVTSNESLFSINVGNASFSKDHFFLYGKSGELGNPNDPLAPLPPLPRLSTSPTRSEAVKTTGLANAKVKPTATKNGNQDAEESTDYIHNLSHRSDASTSSFAFPMKFIFPLSMDLINLWSSLSTIPFLT >Et_9A_061125.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17215814:17216338:1 gene:Et_9A_061125 transcript:Et_9A_061125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPGAAPSGSSQSKRRTRFASASSTVGSANCIPGQTRRPAPNGMSWKCAPLKSTSLSRNRSGRNSSGARHDAGSRLTAQALTNTRVPLATWNPSTVASRMHSRGTRSGAGGWRRSVSFTTARRYGVLAAPIASAPATAAKGAAAARTSRCSLCCICVEYVYTSRLQLGLELY >Et_4B_038788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4924173:4932843:1 gene:Et_4B_038788 transcript:Et_4B_038788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGLLFAVAAMVMYIVVAPATAIRPGSFYPIDNINDPHIQELGRWAVTEHNKQANAGLTFNRVVSGQQQVVSGMRYRLKIDASNPNGNYRADIYEQSWTNTRQALYNAARLENLLNLKRLKSDLSS >Et_9B_066244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8442941:8450730:1 gene:Et_9B_066244 transcript:Et_9B_066244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVHRVVKNLEGTKAWIMEATLRFWNSFTFGYSVLLIYFLFTKMLWRVFMLFLILSDDDSPKSASRSAQDIEKFKHFAYNTIPYKLIAYSNSVPLLLSGDNARVAWIWFLHRGSRSDEGDGGDIASNFMSKSRKGFKSTIAACRVEPLENGPRKATNGCFNESRNLEPV >Et_4B_038381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28591388:28602191:-1 gene:Et_4B_038381 transcript:Et_4B_038381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPRIRQSSARSSQVSKSGSIRAAAQRVARVFGSCFVPRIQVNTQEDQGESSVAVYHVPTDSGSGQEENRVLTFGDITRAIFNNRETRYRNIKDSSSSTIYKGKMKDGSLIAVKFVRKQQNGQYLTDELRRELETFQKIEHLNLVRFLGFIDREDVCIITVEYVSNGSLREHLDESHGNGLELAQRLNIAIDVAHAITYLHEYKEQPITHGAIRSSRVLLTDALSAKVAAFGLARMATSGPGSGSEAMPVNGAAGYVDPEYLRTNRFTDKSDVYSFGVLLVELVTGRPPVERSQSSEARLTTEWALQKLRGGEAVMAMDTRMRQSLASVAAVERMMALAEQCLAPAREDRPSMRQCTELLLAIRRDYHLQEQPGIDAIAKEHDDETRPMISQGRSWSVAAVERMIELAEQCVAPARVNRPSMWRCTQDLLGIRRDYHLQEQPGTDATGEEHDDETSGQSSARQSFEVSGTGAHKPYDHGHPPFTPDVYSRQASSYSARSSQVSRSGSIRAAAQRVAGVFGSCFVPRIQVKTQEVKDESSVADYHVSIDSAGSRQEENRVLTIGDICRATLNFSEKNIIKQSTSSTMYKGKLRDGSLIAIKCVRKQLNGQYLTDELMRELETLQKLEHLNLVRFLGFFERESDCLITVEYVGNGSLREHLDESRGNGLELAQRLNIAIDVAHAITYLHEYKEQPIIHGAIRSSGVLLTDALTAKVAGFGLAGMAASGSGSGSEATPVKGAAGYVDPEYLRTYQLTDKSDVYSFGVLLVELVTGRPPVERSHGGEPRLTTKWALQKRRGGEAVVAMDPRMRRSPASVATVERMMALAEQCVAPARKDRPLMRRCTELLWAIRRDYHRREQPRADAIAEERDDEWVIR >Et_8B_059693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20784377:20786633:-1 gene:Et_8B_059693 transcript:Et_8B_059693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGSENGAGEGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFVSPSVLREARRIIQESEIMKEDDSNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQTSKDPEGLRIFYYLVQDLKCFVFSLINLHFKIKPIQS >Et_3A_024405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20475694:20482623:-1 gene:Et_3A_024405 transcript:Et_3A_024405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAACCPRFITTAPAPGSGSRFFGCGCSPRLRAPRSAASGPRARLRRGHAMAAHGGEHSATDGAGASTAPPSLLVFSGGTAFNGVVEELKKVTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTSEALSVRRLLGHRLPLDPSEAKLEWYQIVEGDHSLWDGVSRPYRETIRAFLVYFHNEILRRSADIFCFTNGSIGNFFFAGARIFFQSLDAAIFLFSRVSQIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPSNGRPEVVNKDCNSCTALPSRIKRVFYMSSEGSNLLHEVFPAVNNTVLEQLSKVDCIVYAMGSLFTSLCPSLVLRGIGETIASRAIPKVLLLNGSHDRETAGLSASGFVTAITDSLNRTYGDAHKSLKNRPNDYVNAILVPEGGQIPLDVENLASQGIFHVVTVASIHDPKVGVVFDPRSLIQALTSLISEDMHLRLSHQKENVNIVS >Et_2A_015607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1608178:1612826:1 gene:Et_2A_015607 transcript:Et_2A_015607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSSAGDGADPMALVQGYNDEELAIAGEFLNTWLPFLSAGLCPSCVSSLRARVDSLLPQAEDGDAPQPQPQPLRIDQIEASGWESDPAPPQHLSFEPSGWDSDPPPPPPSPPPQQQTPPPADKPRMSWADMAQEDELAAAAEEDATAAAADDGEEASEVGKEKVQLSRDQREQRRYRSVVRKKDYICLERVSGRLVNILEGLELHTGVFSSAEQRRIVDCVYDLQERGRRGELGDRTYTEPQKWMRGKGRVTIQFGCCYNYATDKKGNPPGIIKTIVSDPMPPLFKTMVKRLVRWNVLPANCVPDSCIVNIYEPGDCIPPHIDSHDFVRPFCTVSFLSECNILFGTSLKVAAPGEFIGTMAIPLPVGSVLIINGNGADVAKHCVPAVPAKRISITFRKMDPAKRPFSFKDDPELLNITPLDTVVQDAGRSSDEGRSKVSDVQIRNLSKISRSKRSKGRTSAGKVEQGILGDQPPGHVQTPAVDVLSQQRLHGQHNVSAASAERNSAGRSRDLRDRLSVPGTQSQVDDFRQWPNRSAQERRHGNGMSSSEDGVESRERRQRMEHRQISLINRTIKDDMDSLSVSSRESADPSRPMGRTIYNKPRRTRVILDD >Et_7A_050377.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:11018250:11018516:1 gene:Et_7A_050377 transcript:Et_7A_050377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASACAHSSFGSTIKDSYSLYKNIIQFGTIPFDEKYITKEKIFSVAGMTSTIIIRGATLLQKEEKKPRKYICIGTMQIELGEASGYA >Et_4B_039805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3094623:3101132:1 gene:Et_4B_039805 transcript:Et_4B_039805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRFLNLVLKCNGDLYSVNQLDTSDLFYKTAAAAASVMKKNAKKLGSGMEQEILGLKLLPVPSFNFKPFRSKHYGIIKGADVFGPLGESKILCGDGLGQTAVYDTRSNSLQIMPMMNAPKGPMSMVLPFIRTKAHAASAMACDSDSDTSTESNFFHQVNGSHSESLYVMDIAPRWLALAPSASPAFIRNPGYKPRWESSVVYKANTIFISPSTDQRKSMGTYCFNTVTQEWIKAGDWVLPFLGRAEFIPELGHWFGLSHCSPYHFCASSSLDPPKVNYVWSDLDVPDNWSLFDHQLVNLGSGRFCTVKFFDARDPGAEDAIISIFAVFTGVEVVRCVDKTGVFRLEMLKHKSKYLSNLDINALRWPSLASPLRLPFHRHALRPPPGRAPFPPQPLPARARPSRASLPARPVPAASAPATMPAHSSRSRKSHVTPTSSSGGSATTRDSRRRPFLSHAAVGLSGGGSRKWSDGDDAASGSHRATGTDGMAEVRLVLFPEPEDAAAGGLDWMAAMVLCCSRRGRDSSVAAVSVVLALRSCCWSAPALWKPCPTTSCPKFDVGRIMEQWLLQGIPDDLLQRAVLRLGAEKEKESGLDDGQPRKQEEDAAASLYSDTNVMEVLAFTTRLMATLTRAPFARSRSGYTSELYAQVMDPTRLRSSR >Et_9B_064380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14653280:14658302:1 gene:Et_9B_064380 transcript:Et_9B_064380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSPVDEDVSPKKTKQGGFKTMPFILANEICDRFATAGFNANLITYLTQQLHLPLVEASNLLTNFNGTAAFTPVLGAIIADSFSGRYWAIAGGGVLYQLGMLGLVVSALAPALRPPPCVVAGAAAAAPPCQRATSGQLAMLYMSLLLTALGSGGIRPCVVAFGADQFGQRGRRPGGEQKWSYFNLYFFSMGLAVLLALTVVVYIQENVGWGWGFGIPAIAMFVSVLSFVVGYPLYVMVKPEGSPFKRLLQVVVAACKKRKEAVPEDAALLYRNKELDAPIAADGALLHTDQLRFLDRAAVATTVDADSGEPDLWRLSTVHRVEELKSIVRMLPLWAASITLIAAASHNFTFAIQQARTMDRRLTARFQIPPASMIIFTPLTMLVSLALYDRAFVPLARRYTGRRSGITYFQRMGTGFAVSVLGVMAGAFVEAKRRGVAAENGLLDEPTAVVPISVFRLVPQYALHGMSDALSTVAHMEFLYDQSPESMRSSAAALFWVAGSLGNYLGTVLVTVVQSASNGVWLQDNLNRGRLDYYYWLVTFLLVLNLVYYIVCFHFYTLKTFEVDAGDDVRPRRSDSGEAVSESGKLTESHFASAVINVYQRTGGASPHSPLVPTDQAARGGSQTSICELQSPGMAMYMNIHHLKNLLYTT >Et_6A_046028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19278630:19279145:1 gene:Et_6A_046028 transcript:Et_6A_046028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLRHLDLSSMPFSGTMPSFLGNLSYLEYLDLSYTSFSGSLSHLHLLEYLDMSYVNLRAAVDWPHVLNRLPSLQAIKLDGCLLQSANQSLKHQNLTNLVQLHLSSNRFYHLVASCWFWNITNVTPRVTKIPASAHAQTEQN >Et_3B_028026.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:32083357:32083593:1 gene:Et_3B_028026 transcript:Et_3B_028026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVIHVVLRVMMRRSFSRLQEVVGMAVEVGTALFVAVRVSGLVFRRPRSPSISGSSTTYYYSPPAASLIGMSRIDRH >Et_9B_064424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15072668:15074447:1 gene:Et_9B_064424 transcript:Et_9B_064424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEATAVRCRTLARPHASRAPGAIYDPRAARRTGSSLAASRRTGKDTYRIGRKDQASHRTEEKKKVGIEMSGRGGRGAAQAQEQARELQMPPGFRFHPTDEELVMHYLCRRCAGLPISVPIIAEIDLYKYDPWQLPRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPVGSPRTLAIKKALVFYAGKAPKGDKTNWIMHEYRLADVDRSARKNKGNNSLRLDDWVLCRIYNKKGAHEKPAGGDPHGSSKETSHGEHAHSPPEQKPPLLPPGQGGPGAGYAPPPFPELAAYYEVRPSDSMPRAHAEDSSGGSGHTAEERPEVQSQPKIAEWERTFASAAPGVNPAGSMLGAAAAGRSAGDPLLNDILTYWGNKPF >Et_6B_050145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7182286:7184336:1 gene:Et_6B_050145 transcript:Et_6B_050145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVEEHPMAAIFLVTVGTVNNAYGSFNDRTPCHAVNTVYPRTEAELVAAVMLDVREKSKVKAATKHGHCFPKLACPDSGRGGGTIISTTRLERVVHVDAGREAPDDGEGRRAAA >Et_10B_002579.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:16986559:16986735:1 gene:Et_10B_002579 transcript:Et_10B_002579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAKRLSSGAGEKASKKRSHGSTALFVAVDYAFLLAFAGFLAYLVGSRILPSVASAV >Et_7B_054052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14741759:14747446:1 gene:Et_7B_054052 transcript:Et_7B_054052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFENGERLGDMASRHCTNRVFLTGGSGILHGPKSRGTSSRRHSAVRFRRCWVRANMWKTDRRPLRISPSEIIAVLQASDIFSSIKKWSRVQLVTMTGIAACAVLVIPSADAVDAFKTCTCLLKECRIELAKCISNPSCAANVACLQTCNNRPDETECQIKCGDLFENSVVDQFNECAVSRKKCVPKKSDVGEFPVPDPSALVKNFNMADFDGKWYISSGLNPTFDAFDCQLHEFHVEGDKLVGNLTWRIRTPDNGFFTRSAVQRFVQDPSQPAILYNHDNEYLHYQDDWYIISSKVENKEDDYIFVYYRGRNDAWDGYGGAVLYTRSKVVPETIIPEVERAAKSVGRDFSTFIRTDNTCGPEPPLAERIEKTVEQGEKTIIREVQEIEGEVEELEKEEVTLFQKLAEGFMEVKQDLMNFLQGLSKEEMELLDQLNMEATEVEEVFSRALPLRKISCCIKTSRYMIVRHIRDGLLTAISHFEFARN >Et_3A_024400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20449025:20452327:1 gene:Et_3A_024400 transcript:Et_3A_024400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIRRSSKRKAEAESSGQPAAAEGEARGGKPIRFILRSSDRHRPPPTPRSSVPHAHQTRGPRRQRMPRRVYRFLADLSSSDAKHVDRGPQLTTGKENSAILALAAHGDIEEIAAMAPGNPSNEISDKSASYLNGRVKNDDTLLGPACPDLLVRNENDRIQHNPRYFPEEKSREQNAVALGQSSLSLLSQPSLAGFGPFEYDPKFCPSNRLEVSSSSRIAIPSVPRTGNFQASLSGAFGLLRPHPHCVPVLEIQSLIPDFDSTEGSKKAFSDKMTCYKRGKNIVDVNVKETRKSVVTSEALTNAENDKGKEVSNDATSSGILSEVNTTTCIDSTPLKYWKGLQGSSAPEIIDVPVGIIDLDEGNYIGAQQHATEFSFMSDNPGDADVFLSNFPTQYGNSATETDKCATSMEQDISEESFEQYLVRSDGDNDDLLPPVKSCQAISHDHHPSEDNVAAMMEGKTSSVVATESVSVMPLAMIDDVVPNLLPFNATSDTCKEDHLGNTGLLGNMAAVEQPTTDSLFSAISLPALKGDIINTQSPNKNFAAEDQQGVLIEDHDPPTTEYTTESSQTIGHSAVNQLFSTYLRNSTEAEPGNRLTSPEDTDRIPSKCVASGPDDLYCALLQQSPIHESTVTDRPSEFLKTLPLWEQIEEMEIFKKVPQRPHFHPFKKLGPELCESMALGLMTFFVKTAENIRSLNIQDDDELFKEKMKGLSLLEEHGFDVRPLRSRLETLLNLKNSRCELRDTIKLLEEKITLKETDGQQRHAQIGMLYKAIRQLERQANIFRFILKSSVSEQNTDALEVSSLKTEACDLEQSYLSVEQQFSSVVTAPW >Et_3A_024712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23547259:23552193:1 gene:Et_3A_024712 transcript:Et_3A_024712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPHASNLRAFLAAAAVAHVSSTAAFRASPRAPPPPPLRLRTFASSRSSSQPPPPPPPSPSQVVASAGAVAAAASAAACEPGAEKPAICTADELHYAPVPGTEWRLALWRYRPPPEAPKRNHPLMLLSGVATNAVGFDLSPGSSFARHMSMQGFDTWIVEVRGAGLSMRGSELGAANNNSDMSPNSDSYESSPDKVDVVVPTEDTSTQPQISEVPVIEDKNMVVNDTSEEPQLVTKLSNALARLSETFSGYVKDSRLKNIADSFFDRVSELAPDASLASLEEISEKILGLLELPRTSVISDQISSLSQRLVNILGEGQQNVSPRLFGWQERLSATIEDLQKQLELIISYDWDFEHYLEEDVPAAMEYIKKQSIPKDGKLLAIGHSMGGILLYAMVSKCGFEGAEPKLAAIVTLASSVDYTTSNSSLKLLLPFADPAEMLRVPAIPLGALLSTTYPISSRAPYILSLLRSQISAKEMMDPELLSKLILNNFCTVPAKVLIQLTTAFRDGGLRNRTGTFFFKEHLHKIKVPVLALAGDEDLICPPEAVYETVKVMPPHLVTYKVFGKPEGPHYAHYDLVGGRKAVHEVYPCIIEFLSQHDSVSS >Et_5A_041627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25242354:25243384:1 gene:Et_5A_041627 transcript:Et_5A_041627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLSSAASHETLPDSFVFPADERPPASSAAVELPVIDLSRPRDEVRRAVLDAGKELGFFQVVNHGVPEEAMRDMDAACADFFALPAEDKAAFYSEDAAKPNRLFTNTMYRAAAGGAGERYWRDCLRLACAFPVDDATRGAWPEKPQRIREVVERFLVPTRALGMDLLRLLCEGAGLRPDHFDGELSGGDVIVNVNHYPPCPDPARTLGLPPHCDRNLITLLRQGDVPGLQVAYRGGDWIGVRPVPGAFVVNFGHQLEARTGIHTLLWFLQSLSDY >Et_6B_050106.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6287236:6287580:-1 gene:Et_6B_050106 transcript:Et_6B_050106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYVRRFLLVPAKVRMLRKRAQELRRGAGRHLPWRRGHTWRVRRRLCRGLALYVLRASRPAFRAMARHGLNVHGKRLGGLGHVAVWVGKASSAPTIEFVVSGDAEQMRVAAAR >Et_4A_031946.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:32186607:32188687:1 gene:Et_4A_031946 transcript:Et_4A_031946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRRRLRALPSQCSLRRLHSSFLNSRRPPRHCAHLNIRSSSSTLAAARALSELPFRLSLLRLHSIFPKPDWRPRQTTQGGKSSAGEKDGPPRGAEAAQPVLDERLKWGAAAYAETVSRHIRERDMSRAEALYRAVPAAARGAHLDGIMLDGYVKAGRVDRAREIFDGMPVKKVVTWTCMLSGYCHAGRLDEARLLFDAMPVRNVVSWTMMVQGYARNGMLKEAKELFDRMPERNVVAWTVMVRAYVDHGQIQEAWELFDRMPQRNSFSWNAMISGFLSVRKVDEAVWLFERMPHRNVVSWTIMATGLAKNGFAGRAIEFFYWMPEKDTAAWNAMVTALANSGSLYEAQRLFDSMPVKDLVSWNAIIEAHANNEHKAGVSSMFLLMRHSELSPNSTTLISVLGKCESTMEVMQIHGLVITLGLLSETALGNALLTMYREAVICCLLGLHSRA >Et_7B_054040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14646727:14649852:1 gene:Et_7B_054040 transcript:Et_7B_054040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKKTKLQDHHHGSLLCDPQQCPGTPAGLSFHRHNGGAGSWVQEEHKQTPRSVLATRQGSCVGSDDAAALSVAEHLLAMARFNFALVSTTTLPAMVTPDGAPFGQPPETDQLYGSVDPPLLRDDSVTTYYVPPRQRDAAEVSPARRLPLQQRDRLQACWFESASAAKLLVGEPGTQSISPHVAGSTLPPAAEAPRVQSTSENPIPRCCDISAPAKHTGKAPSKTRMRIRWTEDLHKRFVDSVYRLGGADKATPKGILKLMNPNGVTIYQIKSHLQVVTLFISPPADRSSFCYPVAGKKVKRAAGTDLQNLDPNTGTQIKEALRLQLDVQKRLYEQIEIQRNLQLRIEAQGRKLKKMLEEQLKSSGSMLKPWDWEELQGVRDVSSFDDEENKFHNVQLLSVASSAHNDDADDDNHASYLVSWITVRMMKLVNNPRIYP >Et_2B_022475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23117463:23120341:1 gene:Et_2B_022475 transcript:Et_2B_022475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQLLLVHLLLFLPSSASPAPHDRHYRTRRWLHESLFPLESAPALPPPPPAPFFPFLPGATAPPTQTPDAGAAVTPTPVVGAGAATDPAAGDSSAQHPTAPANISSLTALPVSHPSPLRSFLSSHRLLTVLLLVAAVAAAVLAAALVYILARRRRRPSPKKEPAVHTKPASLPPANIALYDGDNQHGRGSTATVSSTSSPELRPMPPLPRQFQQSRMSAPSSSKAVLGGAGTGGKRAPEGAPPPPPPPPPPPPMPPAKGNGSTQAAAGPPAPPPPLPRAGNGSGWLPRRNSERPAATVIRASAGAVHPEESPGRAHSDKDADAASLPKLKPLHWDKVRASSGRPTVWDQLKASSFRVNEEMIETLFVSNSTRRSSKIGVKGANGNFCSQENKVLDPKKSQNIAIMLRALDATKEEVCKALLDGQAESLGTELLETLLKMAPSREEEIKLKEYREDALSKLGPAESFLKAVLAIPFAFKRVEAMLYITNFDSEVDYLKTSFKTLEAACEELRGSRLFHKILDAVLKTGNRMNTGTNRGNASAFKLDALLKLVDVKGANGKTTLLHFVVEEIIKSEGASILATGQTSDQASVADDLQCKKVGLKIVASLGGELNNVKKAAAMDSDSLASCVSKLSSGVRKISDVLQLNQKLGSEDSCKRFRASIGEFLQKAEAEITAVQAQESLALSLVRETTEFFHGDSAKEEGHPLRIFMVVRDFLTALDHVCRDVGKLNERASMCFSRPAANASVPPRFNTGHSTSSEEESSSS >Et_10A_001547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4951416:4956231:1 gene:Et_10A_001547 transcript:Et_10A_001547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPSWSDVLAYMSEYWSVLIASVIFACVGAVTIYYTVNQLNKNISLSLIKAIKARAKRYKKWKDRVPAAAHIWRKEASPRSKGLKCCVCLKSVWPPQYLGGAIHQCDICGAAAHPGCSGNAHKDCKCVSMAGLNHVLHQWAVQWIDTADHSEEDSFCCYCDESCNGAFLAGSPIWYCMWCQRLVHVDCHSNLAKETGDICDLGPLKRLILSPLCVKELHWTGATGILSSITHGANELASTVRERIRSRSKKCKNGTTSADSDGSGVVELPSDVEGDSKEANSEAKRKDDHVNGKLDDVHESSESEKDKQLVSGDTNATNKSNGQHQNSHVQNNQKYEIVNVPSDSRPLLVFINKRSGAQSGGLLRQRLQILLNPIQVFELSKQQGPEVGLALFRKVTHFRVLVCGGDGTVGWVLDAIEKQKFEAPPPVAILPAGTGNDLARVLSWGGGLGVVEKRGGLFSVLEDVEHAAVTVLDRWKITIKDNQGKLMSPPKFMNNYFGVGCDAKVALDIHNLREENPERFYSQFMNKVLYAREGARNIMDNKFDNFPLDVRLEIDGSKITIPQDSEGILVANIRSYMGGVDLWKNEDDISDAYLPQSMHDMKLEVVSFTGMLHLGRLQVGLSRAQRLAQGHHIKIEIRTTMPIQVDGEPWSQEPCIIEVSHRNQAFMLKRVSEEPLSHAASIMADILENAENEGIISAAQKRTLLQEIASRLL >Et_3A_024596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22469956:22474340:1 gene:Et_3A_024596 transcript:Et_3A_024596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGSAAPAPARTTKMTARRTRWGRGGDPEECGGASGARRSSSRASEVRRGRVRAGQAAPRRGSSRATAAWRSSSRARAAQGRERERGKPASIRYGTGAISGYFSLDSVKIGDVVVKSQDFIEATREPSITFMVAKFDGILGLGFKEISVGNAVPVWYNMVKQGLIEDPVFSFWFNRQAGQGHGGEIVFGGIDPSHHRGNHTYVPVTRKGYWQFDTGDVLIGGKSTGFCAGGCAAIADSGTSLLTGPKVCCDFVFHFVRKERKQTQPTKICSSVGLCTFDGTHDIITGIDDEVGESNGVFSNAMCNAWAVVWMHNQLAQNQTQDLVLQYVNELCERIPNPMGESTVDCSRLASMPDIAFSIGGRKFILKPEQYILKVGEGPATQCISGFTAMDIPPPRGPLCLIFGTRYCGDDYLQVIGRGPPRGPAACPPQSDASAPRATPLRRPASAARPRAGAPGATPARYPAPPPLRRGATRRALRPCSSPPFAGGPARHLAPRRHQPALGQSRRRAPPAPHAASRRGDTSPPPRFRGTPSRAAAVQARPRAVVDRLRRGSEEEEETQTMEARS >Et_1B_012262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30262072:30265879:1 gene:Et_1B_012262 transcript:Et_1B_012262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAKTTTTTTTTKRGRATGKKEEAAMVPSSGVEVAAARRRRPRPRLVGYDELPEYLKDNEYIRGYYRVEWPLRDAFLSAFAWHNETLNVWTHLGGFLLFFALAVAGGAREAADEVVPGIMRFVVRSANASWDSDHSGLPNHDAATALSGVPRWPRMVFLVGAMSCLAISATAHLLACHSRRASVVFWQLDYAGISVMIVASFVPPVYYAFLCHPPARVAYLSAISVLGALVVGALLSPSSSSPRYRRLRAALFLAMGLSGVVPALHALWLNWGHAACYLALGLEVAMGLVYAAGAWFYVSRVPEKWRPGVFDVVGHSHQIFHVFVLIGAITHYVAVAVLIDWREKVAVACS >Et_4A_032367.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31989411:31989608:1 gene:Et_4A_032367 transcript:Et_4A_032367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASYPDKRRSEALSVIRNGSIAGKPEEKHVKSRTDTPWITLSWSVVFEAIANGSSNIFGFSLN >Et_3B_028538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16615463:16629062:1 gene:Et_3B_028538 transcript:Et_3B_028538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPSLPRLGGLALSTLLVVLLSLCSPRAAGDPRTSVAGQTCASGAAVSGPVLADNFVPSMDDLNSNVSAHGFGTSAVGTGGPNTVFGLGQCLRDLSPVDCKLCFAEVRSLLPKCYPRVGGRLYLDGCFGRYANYSFFGEAVDPAADAVTCGGGGNGTAAPAPRGFGAAVRAALANVTGAAGAPGSGGFGAGEAAAGGATAFALAQCWETLNATACAQCLRAASDAVAARCAPAAAAEGRALFAGCYVRYSTSRFWNVNATAADGSSGNNDIVWILLGSIFGALAIVFIIGFLAWKKRIIRSRKGSSSFIDTYGDGLSVRIAQSSLNFKYEELRKATNYFDPACKLGQGSYGAVYKAVLLDGKEVAVKRLFLNTRQWADQFFNEVDLISQVRHKNLVKLLGCSVNGPESLLVYEYYYNKSLDIFLFDASRRGKLSWDLRVDIIQGIAEGLSYLHEESETRIIHRDIKASNILLDDKFKPKITDFGLARAFGEDITHLTTGVAGTLGYMAPEYVVHGHLTEKADVFSYGILVLEIVTGKRCSSSNGSHGGQVLLTKVWKHYKDNTVGTIVDRDIYDDTIRDEVMHLLQIGLLCTQANPDDRPTMGKVVELLRNHRHDLNIILSDPPFLTVEAAEDIIEGEHSRLLSTNSALSLSGSSRSYLSYCPFLVAPIIISCLSSWLTTTTQADSRATLVREFCNKTIAAGPGAVWADNFVVAFDNLHSDLEQQGYGMTSVGQDPITYYGLVQCLEDLSKVDCTLCYSEIRSLLPKCYPEIGGRIYLDGCFMRYANYSFFDEFTDSLDTSVCSFRNLSSDQRGFISAVNTVLSNVTSLAIKSNKGFAVSSVSRSPKLSAYALAQCWQNLNISSCAACLSSAAASVARCAPAEEGRALFAGCFIRYSTTPFWNSKDSTASFGSRKHVVLWTILSSSIGIVLLLLISVSIWKKKKEARKARERSLRGLYGSELPVRISQSCLNFSYKDLKKGTGGFSLDNKLGQGSNGTVYKFFNEVNVISQVRHKNLVKLLGCSVDGPESFLIYEYHFNRSLDLFIFADDQNMLLDWLQRFDVILGIAEGLCYLHEESETRIIHRDIKASNVLLDHKLKPKITDFGLARVLCGDRTHLTTGIAGTVGYMAPEYVVHGHLTEKADVYSFGILVIEIVTGRRCCGSTGSHSGHSLLAEVWHSYKANTTEKVIDARLQREQGSLEEITRVVEVGLLCAQADPDERPPMSRVVELLRDREGTRRGDVELVLSDPPFFDVEADAVGGCGGETSTLTLRPRNSTSELSIEIEYLHDHFGSDSGSGFSMSSDSEAGGAYNQHL >Et_9B_066237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8037610:8038790:1 gene:Et_9B_066237 transcript:Et_9B_066237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPWLLLTIRDAKDDQPKELHMARRHGMAAVGGKVYFEITGSELGVVEFDPANGAQPKLTPMEVDMVVDTPETMWSSYLVESCEELFLTVVFFRGENVHKVAEVAVYKMDFSARAWRKVDGVGDDRVFLLGGHDIGTSSFGASCNASALTCSDPPIDYSDNFAILHYAYLGQICSLY >Et_10B_003515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2328304:2335992:-1 gene:Et_10B_003515 transcript:Et_10B_003515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTDAAAAAVQLIDGEGEFAGESAERFMAAAGVAGCGLSYAVVSIMGPQSSGKSTLLNQLFGTNFREMDAFRGRSQTTKGIWMALCVGVEPCTVVMDLEGTDGRERGEDDTAFEKQSALFALAISDIVLINMWCHDIGREHAANKPLLKTVFQTRLEHLEPVLREDIQKIWNSVAKPEAHKDTPISDFFNVEVTALPSFEEKEEQFREQVQQLRQRFSNSIAPGGLAGDRSGVVPASGFLFSSQQIWKVIRENKDLDLPAHKVMVATVRCDEIANEKFGCLTSDTEWLDIESSVQSGPVPSFGKKLGYIVDVHMQEYDKEAVYFDEAVRKAKRQHLESRILNLVQPAFQKLLNHLRLKALEKFKTSLNLSLESGKSFAASVRDNTESSLTEFEQGCADAVIKQANWDYSKILEKVHRDIEDHAFSVREKKLSELTTQAKEKLRKALAEPVESLFDAADETTWASIRNVYRRETESILPQFLKTLFGFEVEYVPAEQMVSNLRDYAQSVVENKAKDEASKVMIHMKERFTTVFSHDKDSIPRVWTGKEDVRAIAKDARSAALKLLSVMVAIRWDDEEDRIESILMSTLLEGSVVSKIASAAHADPLASTTWEEIPPKHTMITPAQCKSLWKQFKAETEFAITQAVSTQQAHRRGNSKLPPPWAMVAIAVLGFNEIMMLLRNPLYLFLLFVGYLLAKALAVQLDISREFQNGVVPGLISVSAKLLPTIQNLVNKVAAEQQAEHHPPAAEPPEPHLQPPPLLLSPRSPMSELRRLHMPLSPVRKIATPSSPSSPSSSSTMSSPRHFVDDQKPRPAVTQPENESSNADSILGPTIGKYTLCSSSPKMQRTRALFSTWRCSCDACKEPRHAPCSQSPKIHASIVFSHPGTEIRETARQGTWSRDSAKGKHRILHLILIRMRLENQTTDNWISNPPMYYMIASDT >Et_4A_034146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30241558:30245634:-1 gene:Et_4A_034146 transcript:Et_4A_034146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEPFDEAELFALPASPVASPPRRLKRLKKSSSQTTGTAAINTTLIPPAGSPPPPPQSPPPVASPGEETLAPRLSPPSNSSPPLPPPVSDADALSPLPHSSPNPDSSPLPPTDSPDSEEDDGFDPLFSESGPAAGWDPLGAPMEGDGGDEEEMLEGGLIEELRRETSAKKRLDMDEGEGEMVVDAEVKGKRSKRKRKEEASKELARGKKQSEKERRVQLESIHAESQRLLRETRSASFKPVVQPVFKPISSVLEKIRLRKLEIQKKANTPIEDNDDDDAAPEPASDSAGHLEVPRANEVAADDDVDKEFAADGHGLDQCDSVKDEVGVLFLSIHMQFGAHFTNTEIFDTSQDNHDENVRLSENHNDLGDQTQLPPSSSPTKSADDSSSEDEEEDNDKENIDPISQTNDVNIHEPPQRATGDSCPDDALLKDFLDDEAEEEDDSDNDMMRFKDNEEDDGSDENEVFNDLIEVGYKEKEVDHKKRNELHQKWLEQQDAAETNNVMQKLKFGHKVQKEILDEDEDLEECGDESENEMSYDLTPTNILRQNSEKAKQMIAKMFTDDNDTYEHSDDDEIEENLARQRISKRELQRFICISIGDDNSREVFGLIKKLNIAPQPKRRGKQSSSNHEMLVTGRTSSTSKASDFRLFLVEQPVVRQYLLTDQFIDPMYLVVMIAIAVGAACLLRRVIQKFNMVLLPILQDQTNPNQPKKAKFSSSQPKPTGSKTNSEGGTSSGLSLFEILRRSSSGKHEYSCQESCSTITESQVVHQFSAFKSRRFSKVGARN >Et_3B_028779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19050409:19054668:1 gene:Et_3B_028779 transcript:Et_3B_028779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFARGALLVVAVVMLAAAAEGAGSVCFDRVFSFGDSLTDTGNFLLSVPEDFPDPARNLPYGQTFFGRPSGRYADGRNLLDFFAEAFGLPYVPPYLGGGDFPYGANFAVGGATALNSSFFRELGVEPTWTPHCLDEQLQWFKKLLPSIAPSEPERSDIMSKSLFLMGEVGGNDYNHLIVRGKSLDELHELVPNVVGAISSAITDLINLGAKKLVVPGNFPIGCVPLYLAIFPSQKEDYYDEQTGCINWLNEFTEHHNIMILEELEKLRSLYPDVTIIYADYYGAALDIFRAPLKFGFTVPMNACCGSDAPHNCSLSIMCGNPGSSVCPDPSKYISWDGLHFTEATYKVVIQGVLGGYASPPLSEICKGGEYRVSQLHQCTDNPTNTVTYDALSSFI >Et_3B_028005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31127329:31128375:-1 gene:Et_3B_028005 transcript:Et_3B_028005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYYDGHLNPRGYKILADRFHAATQMKHTKKQLSTRIRQLKKIYNFIEYLNSKTGIGRNEHTGWIIADDKWWDGQTKHCSEFKKLKHEPPPYLDLLNQIFRGVTADGSSAFTPSHKHMEVDEEEAEDDDVQEIKDDSPMSHGSHSHKRTSSTSTTATSPSKKTKSPYLNTMKNFVASSTATSDRSLALFEQMASIKVATNQTVMESFLERLREQEERERMKENMVKAETKQAKQLALQSGVKETSVEFYALRTICANHQDREFFLDLETAEGRVAFLQRWCREHNLM >Et_2A_017598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:485117:488815:-1 gene:Et_2A_017598 transcript:Et_2A_017598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSVAVVWTAAAVLVGAVVLVLDGVVRWVHGLYREAPLGAERRAQLPPGEMGWPVVGGMWSFLRAFKSGKPDNFIGSYIRRFGRTGVYRAFMFSSPTILVSTPEACKQILMDDEGFVNGWPKATVALIGPKSFVAMPFEDHRRLRKLTAAPINGFDALTSYLTFIDRTVTATLRSWSDRCEGEGEQIAFLTELRRMTFKIIVQIFLGGADDPTMRALERSYTDLNYGMRAMAINLPGFAYHRALRARKKLVAVLQGVLDDRRASATKGFTRSGAVDMMDRLIAVEDEHGRRLDDDEIIDILIMYLNAGHESSGHITMWATVFLQENPHIFAKAKAEQEAIMRSIPPGQKNLTLRDFRKMEYLSQVVDETLRFVNISFVSFRQATKDAFVNGYLIPKGWKVQLWYRSVHMDNEVYPDPKKFNPERWEGLTPRAGTFLPFGLGARLCPGNDLAKLEISVFLHHFLLGYKANPNCRVRYLPHPRPVDNCLAKITKVSADA >Et_1A_008623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9229654:9232273:-1 gene:Et_1A_008623 transcript:Et_1A_008623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIRTAAALAPPPPLGREPRGRCVSLICSRRAPARPLRAWVLPTSRVPGRALGRLRRLGATEAEEAAQTETQEDSETEVTGDSAADDGAAGADESPSVVLTALQSYKEALINDDEEKVAEIEAFLLSIEDEKTSLANKITVLDGELATQRERILRISADFDNFRKRTENEKLNMMTNVQGEVVESLLPVLDNFERAKTQIKVETEGEEKINNSYQSIYKQFIEILNSLGVKDVETVGKPFDPMLHEAIMREESTEYEEGIILQEFRKGFILGERLLRPAMVKVSAGPGPEKSEGDDPTVVEDSVAPQKVEDTEGDGDTE >Et_1B_011803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26170593:26174068:1 gene:Et_1B_011803 transcript:Et_1B_011803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPGDLLDVDPPELQFPFELNKQISCPLRLTNRTSSTVAFKVKTTSPRKYCVRPNNGVVPPRSDCTVVVTMQAQTVAPPDLQCKDKFLVQSVVVSTGLSAKDRTSQMFTKEGGNAVEEVKLKVAYVMPPEPPSEIAEVQEGSERILVPMQHILNNVRSTSELSSGSVSLRSAELGTEVGSPVGRIVKSDEFFKAAGSDMETKTYARPAEQSHQLSALIAKLTEEKNSALEQNRKLRDELELVRREVSKQQGDFSLILVLVVGLLSIILGYLDVLKLITSYPHLKQHKLLLQLASGFLSS >Et_3A_025518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30462220:30469585:1 gene:Et_3A_025518 transcript:Et_3A_025518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDGNGEAVAAWEEAGLGVRQRKSGAGASSSFPEGMGMGEFVLSSMDARFSGSADTDGLFTAPRQPAYGHSKSSTASSRMFKGQEHVFVRSHSDRLLKCDLTLNMLSENEKIKIIEKLVKIQNDGTLELDVTRSDLIATELSEIDAFGSISRDVGDFTSGVNKSVPKLKIAILVVGTRGDVQPFIALAKRLQEFGHHVRLATHANFRTFVKSAGIDFYPLGGDPRIMAQYMTKNKGFCLAGPAEISAQRKQLKEIIFSVLPACTEPDLDTGAPFRAQAIIANPPAYGHLHIAEALGVPLHIFFTFPWTPTDEFPHPLARMPQSATYRLSYLILDLVIWWGTRGFINDFRKKLNLPPIAYFSTYHGSISHLPTGYMWSPQLMPKPKDWGPLVDVVGYCFLNLGTKYQPPLELSHWLQQGPQPIYIGFGSMPLDDVKKVTTIILDALRETGQRGIISRGWGDLGNFSEVPVDVFILEDCPHDWLFPRCAAVVHHGGAGTTAAGLIAGRPTTVVPFFGDQFFWGERIHARGVGPAPIPIAELTVEALSNAIRFMLDPEVKSRTMELAIAIGNEDGVAAAVDAFHRHLPSEWPLAPPTHVEVERLDLFQWFSRALEKCCFPFSF >Et_7B_054114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15635434:15648347:-1 gene:Et_7B_054114 transcript:Et_7B_054114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAMLMFEEMWNLGLIPNEVNYKTVINALCKHGRLDDAKEFISDAINKVLNKLCKEGRTTEAQDLFDFIISIGQRPDVITYCSLMDGYCLVGRMEEAMRVFDKMGSAGLEPDVVTYGALINGYCKIGKIDDGLNLFMEMSLKGVKPTTCIYNTILDGLFQARRAVAAKVKFNEMIENGVPVGIDTYSIVLTGLCKNNCTDEAITLIQKLPMVKAEEFLRQMVGKGVLPDSTTFNSLIHGYCTLGQWRDAFRIFKEMTTHGVLPSAATWNVLMDYFCQHGRINEARDIFDSMAMKGQKSGIVSYAIMLKGYAMEGLFANMTDLFNLMLQNNIVPNHYIFNIMIKAYGDRGLMDEAMLLFVEMRKHGLMPNEVSYGTLIDALCKLGRLDDAKDMFKQMVDLGVSSDIAIYRCLVQSFCIHGDLVNTKEFISDAINKGLCFDNMFISSLLNKLCKEGRITEAHDLFDFIISIGQRPDVITYCSLMDGYCLVGRMEEAMRLFDNMGSAGLEPDVVSYGLCKNNCIDEAITLIQKLRAMNLKLNIITVNVMISALFKARRIEEAKDLFSTLSANGPMPSVVTYNIMMTNFIKEGLLAEADDIFTSMEKTGCAPNSRLLNNVVLVLLKKGEIVRAVNYLSKIDEQKFSLEATTTELLISRFSNGTCQKHRELIPAKRLTPSSTTAKE >Et_2A_018546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32375397:32376544:-1 gene:Et_2A_018546 transcript:Et_2A_018546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTNSAASPAVSGLDYDDTALTLALPGSSADPAADRKRAHADHDKPPSPNRRARLLVFTTLRTVPTDLDGCLVRATTCRARAVGWPPVRAYRRNALREEGAAGCKLVKVAVDGAPYLRKVDLAAHDGYAALLRALHGMFASCLAVRGAGADDGVGKLVDSATGAEYVPTYEDKDGDWMLVGDVPFKMFVDSCKRIRLMKSSEAVNLCAPSLGRSMAGSLFLHDGEKGGAVIW >Et_8A_056577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12723504:12725888:-1 gene:Et_8A_056577 transcript:Et_8A_056577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGFETRDGVVIDPEPHRGGVQVPAAVYNLEFNDDVCALCGDGGLLICCEGPCLRSFHATVEGDQHHGCPTLGFTTAQVEVMPHFFCTNCTNRQHQCARCGELGSSDVANAQVFRCSHATCGLFYHPACIGAQLHPGEPVRAARCQEQVAAGVAFQCQGLHHLPHDYLF >Et_8A_057099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21064314:21066327:-1 gene:Et_8A_057099 transcript:Et_8A_057099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QEDQSCMDKSDDLVKVLRELTVVQRNIANLQVELQGRKDDKNIAHLTHVSEMEKKCESLARITAILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTTSVGDFQWSQNFRESPAVWGEMLRPIPAALASCTRFFEAMSAMRESFSTLQKLRVGHSSLSMTPGGSSDDSKFLTPPPQWREGAMLDSWKQVDDINPESDGLNGIDHRRLSWPSSLKGDP >Et_3A_024679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23212040:23215796:1 gene:Et_3A_024679 transcript:Et_3A_024679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLHAAPPPVSASAASSSAAARPLFVARPYSHRRAAVTVTATGPSRKAFLSCPDHGPTDAQPRTGRSPAPAQARAPSSPPALVSSVQDLYEFICSGPLVDRIGYTKEKIAESIDRWLRCGVQVARLFRLNELQLSEAERARIYHFYIPVFLWCEDQVNEHRAKYNEGDDIPPLVIGVSAPQGSGKTTLVFALDYLFRVAGRNSATLSIDDFYLTHEEQNQLRDNNPGNALLELRGNAGSHDLQLSVETLESLLKLTKKDTKMKVPRYNKSAYGGRGDRADPSTWPEAEGPLEVVLFEGWMLGFKPLPNEVVKELEAVNKNLQAYYDAWDRFIQSWIVIKIREPSSVFQWRLQAEIAMRADGKPGMSDEEVMDFVSRYLPAYHAYLPTLYKEGPNGSDPDHLLVIDIDDGRNPITGTPDVQPTSNFSPPGEPSEL >Et_5B_043602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12399231:12405033:1 gene:Et_5B_043602 transcript:Et_5B_043602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWFCCNCHFDDEEDGRDKEQSKAQSNKIDPKLKSSKPPASHPEPEISPPTIDVPELSLDDLKQKTDNFGSNALIGEGSYGRVYHAMLDDGRQAAVKKLDASENEPNDEFLKQVSLASKLKHDNLVEMLGYCVEGNYRILAYEFATMGSLHDVLHGRKGVQGAQPGPVLDWMQRVKIAIEAAKGIEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKIADFNLLNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLQQRPAPAASEPAPTPAS >Et_2A_016214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22006015:22014107:-1 gene:Et_2A_016214 transcript:Et_2A_016214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQPMHGSGGATGASGSGGGGGTDHLHHHQRLHSPRMAAGSMTRRANSFKRGAGEIELQIGSPRSPRSDGFGSPPADAAETSGSGASGLHHHQSHQQHLRFRIFKRPGSAGGAVDIGLGLGIRERRKLGNMLFLAFCGVCLLLGVGKIWAGGWFALPGDDRESDLQDLSASFSSEKGHQVDRHLDYNGGKESDRTLMTVESSIGEREDSEQDSHTNGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSYWADDSEFKDLFNWRHFIESLKEDVDIVETLPAKYSHVEPLAKAPVSWSKVNYYRDEILPLLKKHKVIYFTHTDSRLANNGLPSYIQKLRCRVNYRSLKYEKDMLAFTGCSHNLTSEEEEELRKMRYEVSHWKEKEINGTERRSLGGCPLTPRETSLLLKGLGFPRSTRIYLVAGEAYGNGSMQALMDDFPNIYSHSTLATEEELVPFKHHQNMLAGLDYIVALQSDVFLYTYDGNMAKAVQGHRRFENFRKTINPDRMSFVALVDEFDQGRVSWEIFSSEVKKLHKDRMGAPYFREPGEFPKLEESFFANPLPGSVIAKQVSEVTKDLNYLKRKGQDGEQGDLKIEGDAGLLLHDLRERGVRLEDEALCADDGEVEPRTLVERDPEELAGHVGVLLLARAPRGGAVAGRGAVKDDGGLPAAVLDASEGLRAGARLQEDLAVERRAGVRVPVHQPAPPETKGVRRSRRRERTGGEVGGESSLPLDGGHGGGSVERRRRRARAGSAPLGERCWSLWAAGLGE >Et_1B_013309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7267335:7269769:1 gene:Et_1B_013309 transcript:Et_1B_013309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHLAVAVAAPVSTPSLAVSASRFSPPRVTLRGLAPCRALRASARSRGAAVVCQAQGGQDTAIEVPEVTKSTWQSLVVESELPVLVEFWASWCGPCKMIDPIVGKLSKEYEGKLKCYKLNTDENPDIATQFGIRSIPTMMIFKGGEKKDAVIGAVPESTLVTCIEKYVGR >Et_1B_013334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7452466:7466506:-1 gene:Et_1B_013334 transcript:Et_1B_013334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATALLRLLLLLCSSAKPLLGSGGSNAIASDELALIHFKSKLLDPTGSLASWNASSYFCSWQGVTCSRRHAEKVIAVNVNSFGLTGRISPFLGNLSFLRILDVGSNRFFGEIPLEFGHLGRLQTLNLSMNSVDGSIPPLLGNCSKLTILGLKYNHLRGEIPIELSSLKNLIHLNLAVNNMSGEVPPFLGNMSSIMHLNLGYNSFTGPIPTSLSHLPSLSSLILGSNNLSGAIPPEIWNISSLMLFSVEINALSGTIPPNALSNLPSLQELLLSKNQFHGHIPTSLANASNLQYFEIIYNNFSGLVPLEVGFLQNIQWIVLAYNSLEAKEAEDWNFMTALTNCSQLISLELDYNRFSGMLPSSVSNLSTSLQCLSISNNEISGIIPEGIGNVVGLQIFALEKNNLTGTLPYSLSKLQNMVDLSLESNGLSGHINLAIGNFTRLNYLSLGANLFTGPIPSSLGNFSSLLEINLAGNNFSGMIPGSLFNIPTLSGYLDISHNQLEGTLPPEVGSLKSVTSFWAHSNRLSGEIPAALGDCQLLQNLQLQNNFFEDAIPSLLIKLKGLEILDLSNNNLSGQIPRFFEDFTALYYLNLSFNNLVGELPTAGIFANSTAVSVQGNVKLCGGIQSLHLPRCSFGSSKKQHKFPVMLAILSLVGALITLLLLIYFLFTCNKKGPVNNTPASSLEGCPLLSYSQLVKATDGFSATNLLGTGTFGSVYRGKIDDETGENLVAVKVLKLQIPGALKSFAVECEALRNLRHRNLVKVITSCSSIDFRGDDFKAIVFEFMPNGNLEHWLHCNSSNQGERGHLNLRQRVSILLDVAYALDHLHFHGAAPIVHCDIKPSNVLLDADMVAHVGDFGLARILVEGCASFQPSTSSMGFRGTIGYAPPEYGAGNTVSTYGDIYSYGILVLEMVTGRRPTDSIFDHELSLRMYVELATKTRLMDVVDAKLVMELQNEPATSDSTSSGKIIDTVISLLKLGISCSEETPSSRICLALLLLLQQQLWPSVAAQRGPGGSSNALSADELALLSVKSALSDPAGSLASWNASNNLCSAWRGVTCSRRPPGRVVALRLNSLGLRGRLSPLLGNLSFLTELDLGNNGLTGGIPPQLGNLRRLHLLNLSMNSLEGDTPAALGSCTSLETLLGGEIPDEVCALKNLAYLNVESNQLSGGIPASVANLTSIQELRLGYNALSRAIPPSLGALPNLSALVLYFNNLSGTLPDTLWNISSLTKLIVSGNDLTGTIPSDVFDRVPRMRYLSLSINRFHGQIPSSISNASNLVTFQANNNSFTGTVPSQLGVSNLSTSLQWLTLSGNQLSGPISEQISNLVGLQTLALEFNSLNGPLPSSLSTIQGLQYLSLAGNNFWGDIQWLGNLTQLNYVYIGACSFNGSIPTTLGDLISLLEFDLSRNSFTGSIPPSLLKIPTLTNYLDISHNLLEGPIPFEIGNLKTVSVFHAESNRLSGEITSTIGQCQLLQNLYLQDNLLSGALPQQLSGLRNLEILDLSNNNFTGQIPKFLGKMSSLLYLNLSSNNFTGEVPKIGVFTNASAFSIHGDAKLCGGISELHLPPCPSDLVEKKKKKIPATPIVVPIVATLCILLFIYFLIIRNKKRSTGSPSITPMIGHPKVAYWQLVRTTDGFSEANLLGAGTFGSVYKGILDEDSGGNANLVAVKVLKLQIPGAVKSFEAECDAMKNIRHRKLVRIITACSSIDSKGDDFKAIVFEFMPNGSLEEWLHPGTDDQSGVRQLSLIQRVDILFDVANALDYLHFHGASPIVHCDLKPSNVLLYANKRDACLTKSKRTRWASEGQLAMLLQINSHSSIVNATEYGACNIVSTHGDMYSYGILVPEMFTGRRPTDNAFDGALGLRDYVETGLNNNVMDIVDAELLAGIENDRAPVQGPSSSRGRKVESLTSLLKLGLLCSVETPSSRLTTKEIMKELHVIKDELANS >Et_2A_015014.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:26262543:26262629:-1 gene:Et_2A_015014 transcript:Et_2A_015014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCWDDPLVDTLPVCIKSLVADDLLAYE >Et_1B_013329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7435583:7437388:1 gene:Et_1B_013329 transcript:Et_1B_013329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPPSAVGGANINEVLSDDELRAVLTRLGPEAERDAFGLVCRRWLRIQSSERRRLRARTGPDMLRRLAARFPGVLEIDLSQSPSRSFYPGVIDGDLDVIAGSFRNLHVLALQNCKGISDVGLARLECGLPSLQSLDVSRCIKISDKGLKAVAVGCKKLRQLHIAGCRLITDNLLLALSKSCLQLGELGAAGCSRITDAAISSLADGCHRIKAFDLSKCNKVGDPGLCKIAELEIKSIYSLAKFCHNLECLVIGGCRNISDSSLEALAHACSSSLRSLRMDWCLTITDTSLRSLLWNCKQLVAIDVGCCDQITDASFQDIEGNGLQSELRILKISCCFGLTVAGVSSVIESCKALEYLDVRSCPHVTRDSCKEAGLQFPSGCKVNFDGSLLESDPSAEFFSSSSAYYDILLS >Et_4A_032489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10625902:10628103:-1 gene:Et_4A_032489 transcript:Et_4A_032489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNSPDRQQSSGGSPEERGSGGSSGGGRGGTGGEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQMQAAAAAAAAAAAAANTSPAASAAAVVGVPPGAVHHHHPQLAMGGSACQYEQQASSSSSSGSTGGSSLGLFAFGAGAPSGGGYFQTSCGASSMPLAPGLMGDVVDSGGVGGGDDLFAISRQMGFAESSTIAASSSLAPSTPAQQQPQLQQYYSSYQQLPAATITVFINGVPMEVPRGPIDLRAMFGQDVMLVHSTGALLPVNDYGILTQSLQMGESYFL >Et_3B_030878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8215292:8216537:1 gene:Et_3B_030878 transcript:Et_3B_030878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSGRLGEAGDSGLELSLGLPAYFSKQSGSVAGEEPSDSSAFALQADVGRSNGSKSRARPSAAPPVVGWPPVRSFRRNLGRPPPQSSSAHKDGGAKGGGHKGSGAFVKINMDGVPIGRKVDLRAYGGYAELSAAVGKLFRGLLAAQRDPDGTGQRCGDEEAAPVIGGAMDGGSAEYTLVYEDEEGDRVLVGDVPWEMFVATAKRLRVLKSSDLPASSLKSGSKKRAAAGC >Et_3B_030488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4172754:4181588:1 gene:Et_3B_030488 transcript:Et_3B_030488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYCASPDGDAAAMTAVPLPPPSATHLAQDAVARPRYGSCDRRYMKQVFDNLHGNISLDPLALQFVDTEEFQRLRDLKQLGLTYLVYPGAVHTRFEHSLGVYSLAGKVMDNLKMHQGEELGIDRVDIQTVKLAGLLHDIGHGPFSHLFEHEFLPRVIPGSTWSHEQMSVLLLDSIVDKHAIDIEADYLKMIKEMIVASSKFATTKSAKDKHFLYDIVANGRNGIDVDKFDYIGRDCRACGLGCNFQYWRLTEGMRVMGDEICYPAKDYLSVHKLFTTRADLHRTVYTHAKVKAVELMLVDALVEANDYLGISLHANNPEDFWKLDDTIIKSIETAPNNELKKSKEIIQRIRRRELYKFCNQYSVPRDKLEHFKDITAQDIVCSQSSSKDLKEEDVAVSNVKIDLTRGKDNPLERFVDFGCNEKFPITDDRVSHLLPAYNQDRIVRVYAKKPELVEAVSEAFENFQLRMYGEKTQVHDTPKKKRIRYKGNELDPVGGRIAVSMDLGREMVAPPSASPCKLGVMGRRSRTGGVEEEEVGRARRVLSQA >Et_6B_049031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16879948:16883075:1 gene:Et_6B_049031 transcript:Et_6B_049031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGIMESAATGVMNSLLVKLAELLRDDYHMHKAMRREIAFLKDELSSMNALLERLADAESLDPLTREWRSQVREMTYDIEDCVDDYTRQLRREPERSAGGVTGFFLGYVQKVKDLMARCEVADQIQELRARIVEASHRRKRYKIDDAVNSCSTEMVAVDRRLPALYAELSGLIGINGPIDELIKLVGDEEQGMKVVSIVGCGGLGKTTLANQVYRKISEQFDCRAFVSLSQNPDMGMIFRSIVQQLKKDECGSTSSCDKEQLINELRDFLKDKRYFILIDDIWSTQAWKTVKYALPDNTCGSRIIVTTRIGTVAKLWCSSPYHNLVYELKMLSEGDSAKLFFRRIFGTEDKCPHQLKEISTEIVRKCGGLPLAIITIASLLSTKSYTRAEWLKVCNSIGSGLERSCDVEEMNMILSLSYNHLPHHLRTCLLYLSMFPEDYVIKRDYLIRRWVAEGFINTHGERNVEDEGEGYFNELINRSLIKPVDFQYDGRVYACRVHDMILDFIVHKAVEENFVTVLTDRKQMLVSQDKVHRLSLYCHGQENRTANPLVTTHVRSLNILRYSEQMPPLSSFGALRVLDLDGNENLENSYLEDIGKLFHLRYLRIRGSNIALPQQIGELQFLVIVDLLNCPGIGELPASIVTLRHLKWLITPPVNLPDGVGNMQALEFLSLIVVDYTTSITLLQELGSLTKLRTLGLEWRISTVHKDKTAYLDNILSSLNKLGSSNLQHLTIISPWSLDFLFNFWSAPPPHLLQELGIKGWYLSKIPVWMTSLTNLTYLDIEVKVRQETLQMLGDFPALQFAKLYSNVAAPEERCLVVSNNGFRCLNKFSFVGWVNMMFEEGAMPVLETLEFQIIATEVRTVCGFNPPDLGVQHLLALRNLVVNVHCEGARVEEVEAVEDAIRIGASMLPNHPAPDLHRFLDSEM >Et_9B_066232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7974024:7982640:1 gene:Et_9B_066232 transcript:Et_9B_066232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSKSHVTPFAKVGVFSLMSFWWLNPLMKMGYQKPLEEKDMPLLGDTDRAYNRYMMFLEKLNSKRQPPNGNPSVLWTLISCHKSEIIVSGFFALLKVLTLSSGPLLLKAFINVSLGKGTFKYEGYALAATMFICKCCESLSQRQWYFRTRRLGLQVRSCLSALIYKKQQKLSSSAKMKHSSGQILNYVTVDAYRIGEFPYSFHQTWTTSVQLCIALVILYNAVRLAMIASLLVIITTVLCNAPLAELQNKFQRKLMEAQDVRLKAMSESLVHMKVLKLYAWETHLKKRIEELREDEYKWLLAFQLRRAYNSFLFWSSPVLVSAATFLACYALKVPLDASNVFTFVATLRLVQTPIRQILDVIGVVIQAKVAFSRIVKFLDAPELNEQVRKSYHVGTEYPIVINSCSFSWDEDPSKPALKNINLVVRKGEKVAICGEVGSGKSTLLAAVLGEVPKTEGMIQVCGNIAYVSQNAWIQTGTVQDNILFGSSMDKQRYQETLLKCSLVKDLEYCHMEIVLKLEREEYVMGALSDKTVLLVTHQVDFLPLMSDGEIIRSAAYQDLLAHCQEFQSLVNAHKSTIGISDPNNVAPHRATEIATKEKNEIHGSRFRKTLKPSPADQLIKQEEREIGDTDLKPYMLYLCQKRGFLYASLCRYYLASAKELMRINGTTKSSLANHLGESILGPVTTRAFSEEDRFFSRSLELVDKNAGPYFYNFSATEWLIQRLETMIAVYMDIQSEAAEVIEEKRPATDWPQVGRVELRDLKIRYRKDSPLVLHGITCTFEGGNKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSIDIATTGLHDLRSRLGIIPQDSTLFQGTVRYNLDPLGQFSDQRIWEVLHKCQLLQAVQEKEHGKVIEYEKPIKLMETEGSLFREVVKEYWSNTSSGNI >Et_6A_046496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16105607:16111016:1 gene:Et_6A_046496 transcript:Et_6A_046496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEALVNGFLSCFMHVGLFLVLLVYLPIAFVCRLLARIFVRPFARGEDLRGKVVLVTGASSGIGEHLVYEYARKGACVALVARTEVALRAVAKTARDLGAPDVLVVPADITEVDEAKRAVEETVAHFGKLNHLVANAGIWSSCFFEEITNITAFHNVIDLNFWGAVYPTYFALPYLKASRGNIVVTSSVAGRVPTARMSFYNASKGAVIRFYETLRAELGTHVRVTILMPGYVVSNLTKGKGLQKDGHVGIDEEARDINVGPLPVGKTESLAKVVVASVRRGDYYVTWPGWYWPFHMVMCAAPELVDWFSQAFYVSKSGEQDGDGAALSKKILEAVGGKKFLYPKTIRSQATIAAN >Et_2A_017890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7560978:7565754:1 gene:Et_2A_017890 transcript:Et_2A_017890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSAARRSSIDALGACASLPFPSITMPIDSTALVDAFSRSPPRKATSTASKVVATAHPTIAEFPVNAPPRPRLRASYQLNCGPPVVTRPGVVAEEEERAGGFGGRVGGRLPNRFWLLGGGRDGAAAPWWLRVVGPVKARGASRLASTSVVGGGSAAGDGGRRRAMFTLFICVSKGMINILGIPDGELAAVFYDFRVLQWAAHRGHLEVCKYLVEELGADVNMAGPDQGMTPLIAAATSESDHVSVVKYLLDRGADPMKPDEHGSTALHHAASSGSSKVTEFLLSKGIPVDTDYNDRGTPLLGAINYDKDNTLKILLDHHANVYIYAQKTVSLMFIFCFQPNIVFTGSGTPLMIALLRRSLKCMKLLIKASIFFILLFSYSYAGADVNGKGSVTSPLIIAIEQGGFTNFIRFLLKAGADPNIPDNMEGKKVLAKAEADKAFRNKEYKFASAIYDMALSCGPSGQDATIYSNRSLCKLKMGDGTGALLDANQCRMMRPDWAKACYRQAQAHMLLKLVMPSWMQKKMDPESDEIEKLLRRAMEVMKISPDEAQQ >Et_5A_041254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20141970:20145710:1 gene:Et_5A_041254 transcript:Et_5A_041254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGAMDLASGLGGKINKEEVKSAVDEYEKYHGYYGGKEESRKANYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLGLKPGMKVLDVGCGIGGPLREIARFSLTSVTGLNNNEYQISRGKELNRLAGVSGTCDFVKVVWDKDLADDSPVPWYSPLDPSRFSLSSFRLTSVGRMITRTMVKALEYVGLAPQGSERVSNFLEKAAEGLVEGGKKEIFTPMYFFLARKPLSE >Et_2A_014819.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:16871466:16872269:1 gene:Et_2A_014819 transcript:Et_2A_014819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPRWWSRVKAKLLCFGARHGHPHRIAAASPEPVRRSSSNSYCTHHATQPTTVAFAAPPPSPASSSLFASQAASPAQVLRLDASSSYSSPTTASMFAVGPYAREPQQLVSPPAFSAGLTEPSTAPLTPPPESAGGSLQLLVAGSSPEVPFARFLSPSFQGHYQLQAGSPIGGTLVLSPASTSSSPPPWLQHRRDDEEPSPLEAGGEEGCGARRKPSGEFVFGSAAGEWTTTFADADGGERRSSISMADDAAEGGNRQWPFSSSFPS >Et_4B_037195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16317186:16322760:1 gene:Et_4B_037195 transcript:Et_4B_037195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVGAQHHHHHHHHSLSPRTPRTPTRPHPLLQQLPSNRFRDHHQIHHAAHRALRVTPPFFLLLLAAVYLLASFTILSSPAPLLRLRSSPKALLVPMPPPPASPRASPPSPELFELDNGRMRVRLTNVGAAITSLLVPDKNGVLADVVLGFDSLDPYLIGTSPYFGSIVGRVANRIKEGKFSLDGVQYNLTINNPPNTLHGGSKGFDKTIWEITEYNKGDNPSITFKYYSKDGEEGYPGDVSVTARYSLLPTTKLKLEMEAIPLNKATPISLAQHTYWNLAGHNSGDVLEHSIQIWGSQITPVDQTSIPTGEFMPVSGTPFDFLSENKIGRRISQVRGGYDHNYVLDSGEVRSGLRHVAKVTDPSSSRILDIWADAPGVQFYTGNFIYGIMGKGGAIYGKHAGLCLETQGFPNAVNQPNFPSVIVHPASARSHAYSCDGEKKSPSSSRCRYLTLAGRTFRPLPVTSATSADEHILGKNNTLPVALFFGFLTVGLPPLAATCLPLFLPWPRTASILLK >Et_5B_044911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7240022:7244718:1 gene:Et_5B_044911 transcript:Et_5B_044911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCTADGRFLNYYCYNSTQNEDERANEWQMKNVIPLPVLHDPRIFSASQRYIFLKGNSKVQDSVHTTIYSLDIKTLKIERVNLSSCINYTGRPYFGFPPWMSFMAPPWQLPTLTDELLEEIFLRIACPATLIRASAASLAFRRLITDRTFLRRYRTRHKPLLLGFLDLNVSKGFHSVEEPHPGAPAGRAIANSSVNYLPQDKINHWTILDVREGRVLIECSDANNPVLPDFAVCDPLSRRYLMLPPLPPCENQDELDLIDVDVLFAPWAEEDDDETSFRVIGAMHYERNWTFSTSASWDDLRLSNGIELCPLLGRPYYAYGSFYWNVCDMNKLLKLDINRRGLSTVDLLPNHGKLWFDVVVEAGEGTLGMFSCTADSKFLNYYCFSNMQNEDQGANKWQMKNSIPLPILVNLWIFGAQHGYIFLKSTPNFQDPEGTTCYSLDINTLKLERVIQTSSRYCLGQPYFSMSSSMLPRI >Et_3A_023829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1325380:1328830:-1 gene:Et_3A_023829 transcript:Et_3A_023829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRRQRRPFQLVPLLFFLLAVAAYGRLISDGLTPTSHLGTTVIRLGSSAAPAKAEEKCEQSYGFLPCTTTVFGNLFLVLAYGFLMYKAATYLSAGSELLLEIMGPGLVGGLLLPILGALPDALLVLASGLSGSKETAQSQVLVGMGLLAGSTVFLLTLLWGTCVVVGKCDLGPNGVAVDLQNTKGCSLTGTGITTDVQTSYAARIMAISVIPFVIAQFPVMLKSHHGKRLAVLLALIVSFLLVLSYCLYQVFQPWVQRRRLAYAKHKHVISGILRHAQMQALGRLLNDDGTPNEDVIRKLFHKIDMDESRSLSRSELHALIIGINFDEVDFDKADAVDKVMDDFDTSRNDVVEEEEFVQGMKKWLEEAKRSVPTSGAFSVKFIDDFHARTRQEHNQLVDQADEAVESVENPAWCITKAVALLILGAAIAAAFADPLVDAVHNFSDATHIPSFFISFIALPLATNSSEAVSAIIFASRKKQRTCSLTFSEVYGGVTMNNTLCLGVFLALIYFRKLTWDFSSEVLIILLVCIVMGLFTSFRTTFPLWTCLVAYALYPLSLVIVYILDYVFGWS >Et_1B_014236.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:4042493:4042735:1 gene:Et_1B_014236 transcript:Et_1B_014236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQQLRDGDGGRQQQVARVVHSQVRRIKEEEDDKAKVHETYQHQVAEMRLVLRDVARQQRSRSPLGRASRPAISIGGDS >Et_1B_010230.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31128328:31128489:-1 gene:Et_1B_010230 transcript:Et_1B_010230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDGFMDGSEMFLKAAVLCEHRPTRVQFLDLKDRDARIEFINFVWSNRDARI >Et_9A_061458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12219819:12227868:1 gene:Et_9A_061458 transcript:Et_9A_061458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPPLAALSKTAAAATRRAVTAAASVSARRLLHPVPSLFPKPAVTSCDIPRRFARHMPQEDQAPRAPMPPQGRSLAVAVGAGDVGGEAPELVVVSFYRFADFPDHASFRQPLKELCEELRVSGGIILAPEGINGSICGTPFSVEKVLNFIQEDNRLKGLRMIQQPVTPEDEAIHHGHSSHSPVGAGEDAPFRWDHVRVKLKKEIVTFGDPDVRPTKMVGEYVKPKEWNKLISDPDTVVIDVRNMYEIRIGKFKRAVDPCTNSFREFPTWVDDQFQLADSETQDSGVSNYNGDDKAAEDCNTSNSKQLPRVAMYCTGGIRCEKASSFLLSKGFKEVYHLEGGILKYLEEIPKAESLWEGECFVFDKRVSVEHGLAQGTHKLCYGCKQPVSDEDMESPEWEYGVTCPYCFATKSEAEKERARARQRQFQTWGVVGGPDKGRSPKRIDADRAVDSKQLPNSI >Et_9B_064309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13918330:13925445:1 gene:Et_9B_064309 transcript:Et_9B_064309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSRRLTRGRGGESLAAKEHPPPSSSSSHRARRSRAKEPAVVIDLGDDDDCCGGEAAGGAASGRGGSASAAAASSSTSPMMVPAGGVAMRTRSRRRALQAAAEEDATPRSKRTRKGASADAGEAAGSRGSKGVAPSSEKRRVSSRRKPKPASRGRSRERKGEASPAQAKAKAPARGKQAKAPRANESDENGGDDASDEENEESRPGASSNGIGRANGVRRGAARARGDQIGEHRGKQKTSAVNLNQAVNELVSGDAEEGEGGSRGRARPVVGFNVREETGGCGNRELLPIADAMPLEAAAAFEEEEDDEMLEEQLVGEVIRAYSNGEDLDPVAGDWEAEDEMGFTDNGEDDDFMDDDDADEPGLPEPMHDRSKMELQDAANCKVVLGGIASQKEDGADIKAQTEPKRVEAPDFVQGSSHVEDLDSDEEVIVLENVSSPPSRKASAKAKLAVIPSCVAWRTRSSWGMKQDRLSYNTYFEALSDEPKEEDDDTEVELDEDDDNDDSSDSYANDEEEEEEAEEEKEAERRKLKNPIVASDDDMIDFTASTSKYDFQWEEVEDPDVDIYQPMTYKKNCNLYPVGSDTLTEQQKRSRFTWELERRKKLKLGMKTHRLYERNLDSDSNSSGSEQIKRYGFQKVADHKIGTKREHSSSKSGKKSGTATALKRQSLMKLLIDKMSGDKNGESLTFDQNPQLKYTFKEMHPLVFSFGDEDPIPAEKSKEDLALDMLWAEFDFALESENIGTYYDDETKEEGNQVNLGLPPCSQARGKHDFIIDDRIGIRCNYCSLVNLEIRFMLPSLVSGFSEKPTWTNGCGVKSTLMFHDLYEQAGCGSEQSQDYHLYGTVWDLIPGVINTMYEHQREAFEFMWTNLVGDIKLDELKHGAKPDVVGGCVICHAPGTGKTRLAIVFIQTYMKVFPDCRPVIIAPRGMLFAWDEEFKKWNIDVPFHIMNTTDYTGKEDRDICRLVKKEHRTEKLTRLVKLLSWNKGHGVLGISYGLYTKLTSEKPGCTEENKVRSILLDNPGLLVLDEGHTPRNDRSVMWKTLGKVKTEKRIILSGTPFQNNFLELYNILCLVRPRFGEMFLTKGKVGRRHYVSKKQRDKFSDKYEKGVWVSLTSNVTDDNAEKVRSILKPFVHIHNGNILRTLPGLRESVIILKPGPLQKSIIRKVENIGSGNNFEHEYVISLASTHPSLVTAINMSDEEAALIDKSMLEKLRSNPYEGVKTRFVIEVVRLCEALREKVLIFSQFIQPLELIKEHLRKFFKWREGKEILQMDGKILPRYRQASIEAFNNPDNESRVLLASTRACCEGISLTGASRVVLLDVVWNPAVGRQAISRAFRIGQKKFVYTYNLITYGTGEGDKYDRQAEKDHLSKLVFSTEDEFNNVRNMLSKAEMEHCSKLISEDKVLEEMTSHDQLKGMFLKIHYPPTESNMVRRAQKTPNQADAFIGEHYRRQKGKKVQPEIAH >Et_3B_028316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13280750:13285778:1 gene:Et_3B_028316 transcript:Et_3B_028316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LPNMGWKRKMHHGGSSQHEQVGLMLSNEVFAIDEEVSHLTRVKSEPSQRTRASFYSERKKPISTFKLLAGRESNCSGIGRFSSADCSYALRKHLPVKGPWCVDDMDSEVYVSQFSADGSLLVGGFRGSHIRIYNAEKKWKIHKDITCKRLRWTVSDIALSPDQRYLAYSSLSPTVHIVNVQNAVRESHANITEIHEGLEFSDDDDGFSFGIFSVKFSKDGRELVVGNNNESICIYDLGANKVTERIHAHVADVNVVTFADETNDVLYSGSDDGLCKVWDRRCHRRHKPVGVLTGHLDGITFIDSRGDGQYFISNCKDQTIKLWDLRKMSSSAKDCTPKAYEWDYRWMTYPSEARYLKHPYDQSLATFRGHSVLRTLIRCYFSPMHSTGQRYIYTGSSDQCVYIYDVATGNVVEKLRWHGSIIRDCSWHPYLPTLVSSSWDGYLVRWEATEDDKDPSMLKTGKQRMHPEGYTLSFVL >Et_6B_049919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1821505:1826642:1 gene:Et_6B_049919 transcript:Et_6B_049919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNKNSSGLCPAKSDKDTSGVCPVTGNNNGAEHRNSTGNAEEKNADPRMVPAKCPFGYDSGTFKLGPLSCMICQALLHECSKCTPCSHKFCKACIVRFKDCPLCGADIEGVESDSQLQALVDRFIDGHARIKRLHAAGDAEVPGGKGKVIYEDVSMERGAFLVQQAMRALRAQNIESAKSRLSICAEDIREELKSSEDNLNLRSQLGAVLGMLGDCCQTLGDASSAITYYEESAEFLSKLPRKDLELVHTLSVSLNKIGDLRYYEGDLQSARSCYARSLDVRRNAVKEHSAVASQVIDLATSLAKVADVDRNLGNESTAVEGFKEAIDCLEKLKLGSEQASLEQRDNRFIERVN >Et_4B_036373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20517461:20519797:-1 gene:Et_4B_036373 transcript:Et_4B_036373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTSVVMFMLAALFFNLNLFSRFSDVSAILNPTVRLFLSSSLSLFLPVMSYLFSEAKNEGVAAAMALSLRARTILMWMLLVELLRKKVEAILVNVGVQSYSSTIDRASRIAWLGYLVFYNLSSTGKKAFYGTLWVLAAAKLLQRVAINELLKRSLAYGKNPEQLSWYMAKIEKQHQLQQAAGDQGAELMEKCKYAVMGEEDLEMKVSPKEGYHLEMKNNADVVVTVGDIWKVDMKGLLRHDPSLKRLCLSFALYKVLRRRLEDRPITDDETRSFRCLIFRGLRMELQQNAEKSLSNQKEEVQFLCEYYHSVLPVVLSNPFFFVANYVLFPVVVWAFCLLTFILCGNGDVVFAYHSIATDNYILSTGTMRIFGCLLRGVVNHPDVLFTAVDLAVTMLLLLTFLYEQVWEFLVFILSNWLMVSLLCEYTAKPRWRHSRVRAGLIRRILWVRRTMSRPNLCFKQLSVLGFGCRLPFMTMSVPKKKAVPMEVKRSIMDYLVATTLSGYAAYLVTSYPELLPDSTEGTKRVYDDMKDELKEVLGGCWRLHVSTQRTRFNKIMDNNIDERPEEETKAVRKGAKLGKKLMDMAEGGRRVDQVWELLAGLWTELMVFLAPSSGELHVKAHKEALALGGEFITVLWALCTHTGITRPAVAPWEAEAAVP >Et_7A_052809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1731054:1735809:-1 gene:Et_7A_052809 transcript:Et_7A_052809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKNGCLKILACAGAGSDPSAGSDADADEHTDENKAISDKSRWSFRRRSTRHRVLKNSDISEPETLSSSKAKSDITPSGNAYSSTYAYASEKPLHQEKPDEKILHQEKPEEKLLQHEKSDEKMEEKPVENPVGKAMEEPAAQISERSIEQTAEKITDTPTEESAERITDTPIEEPAEKVTETASEEPAEWITENPVEEASEKAVEVLIEEPNESIPVGETRLKQEETTSLAEESSAGPEEDKLESAAIVIQSGIRTSIARQELSNYKDLVKLQAVIRGHLVRRQAAESLQCLLGIVKMQGLVRAHQAQQSAGNFQDIVLHSSSEELLRNGFALKLMDNMSTSKSTQIKCDPSESDVTWKWMERWTSLIPSVTMEHLVEHRENSGLVVEKVKGDAQCDDQVVPLDTDLSSPKLISDAVNETPESSDSSAPEAPACIPDKSSEVETKCNPEPELIEKNYVDVEQVTDQKTENVVEESSQFSDQQSVQADASREPIHLPEKPESFNEDTSDAYSTEQTLGMEGKRFGAKKSCNPAFAAAQMKFEELSTNSSLSRSNSSSYSDGASKSKVLTPRSQDDSVPKKNNDAGMSESSVGHDARVLVATSECGTEISISSTLDSPDRSEGDGGEIVMEIGALENGNYVTDKADKGINILDSEVKSAPEVEAEPHKEVQQNGHVADPDVITDSELVQEPHVEPEKSNFCNQLEKPLESYATPEGTPMSRATVSESHGTPSSEVSVNTKKSRSKKPRSHASKRSLASPSSDSVGRSSTDNFTKDFRHAKRENSNKATKSEHIDQEPRISNSNPLPSYMQFTESARAKASANASPKMSPDAQDSHPRKRHSLPMTSGKQDSSPRMQRSSSHAQQNVKSNGAVPHNPSGECSVLLYVQAQEDAKSNDTIAY >Et_8B_059064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13021916:13025250:1 gene:Et_8B_059064 transcript:Et_8B_059064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTRAHFLTSLAVVYLVLAASPAVSDMTDSCDKMWGNTQVLYDSTGHQIMSLTLDRWTTSAFRSKSLYLFGRFDMDIKLVPKDSAGTVTTLYMVTEGPWQYHDEIDLEFLGNSTGEPYTLHTNIYAKGKGGREKQYRLWFDPTEDFNTYSIIWNSRNILILVNGKPIRRVKNKMRDGYPFPLFQPMRLYASIWNADDWATQGGRIKTDWSQAPFTAFFRNYSATACVPYKTAWICGQGSSDSSWFSQELDEDEQRKMQDVDNQYKIYDYCTDSKRFPNGYPQQWQLELYPDTISKELKNKERFPEED >Et_1A_006730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26887221:26887741:-1 gene:Et_1A_006730 transcript:Et_1A_006730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPKVIHQLLIFALVFTALTTNQAWGEQDCYDEKVSVMHKCMRTLALNQPHVNPSAECVEAVEASDMDCICRILTPEDWNTISIVKFVRLARDCHKPLTPGTKCGHWTVPGRQVPPPSRADP >Et_1B_010258.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:33173146:33173775:-1 gene:Et_1B_010258 transcript:Et_1B_010258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGVETSSLLPASVHYYKKSPCYSLNQHSTEFTDTSALHTHPYVPSTQVTSQGKGTVPQAATTASQQRTDTPSEPRRRRRRRRSMDKNGGGGVKVTYIETQFVTSDAAGFKDLVQRLTGKAAPVPAAAAAPPHRPRPCRAGAELGAAASRPQGYNSYTAQSAAPAGGVRQVSLLEELNQLADFDDLFYVGGSERRRGPHNGGYNDFPY >Et_1A_008463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7977727:7981545:1 gene:Et_1A_008463 transcript:Et_1A_008463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSDSAGGSGGGGRAGAELMVPQFLTKAVHAILAVRVPRPLASAPAPAASFRRRDRWFHLPLHAPPPPPAAEHLPEPVPGEPLVVDVYLAPADGGEGAEAVVERWTVACEPWTSPASGEGAAVNRAYKRGITLLRSLYAALRFLPAYRAFRMLCSSGQVYNYEMGHRVGSFAAPFSRDEEAAMRTRNFSPVETQLGRLVVSVQYLPSLAAFNFEVTSLAPAAIITDYVGSPAAEPMRAFPASLTEAAGSPFSSPSRRPSSWASPAPWPHSPMQQPKLSPPLAHYASPTPSPPTFTGGYLHSRLGASGGTAPMNIPQAGGGRGPVHRPNMSEPTRAFMFPPPSPKNVRGEAGTQESPSETSRSFRKGTEGIRMGDIYANLPGSKIKDSRDESGRFSGVFSSSGSPRHGFSRSSSRLSMQDDTDDADFPFAVDDVDPDSRPGSSGGKEMGDQAGSSSHKSQEAAVGYLVHMLKSARPLRDSSYSSHTSRADSIEAGSTSSFMSRRTSDAFDELESFKEIKENLLARSRSRLQDSSFIG >Et_4A_032491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10664947:10673216:-1 gene:Et_4A_032491 transcript:Et_4A_032491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQERPPVPPSTPPLPEESEPGASMEDVRTRLPDPVPSPILRHSPAAASARRSRSLRSLIADSPSVTFAADIRSASKGAESTASSFESFQYPRSASTGPSPVGFARASTRRSASERAGSQRDLRDEDARFVYINDADSTNAPPAAAFPDNSVHTTKYSVLTFLPRNLYEQFHRVAYVYFLILAALNFVPQLGVFTPAAAVLPLAFVIGVSAVKDAYEDWRRHRSDKTENARTASVLVDGVFTAKPWKEIRVGEVLRVVANETLPCDMVLLSTSDPTGVAYVQTINLDGESNLKTRYAKQETMPTPPEALSGVIKCERPNRNIYGFLATVDLLDGRRRRAGAVSLGPSNIVLRGCELKNTSWAVGVAVYTGRDTKVMLNSSGAPSKRSRLEAHMNRETIVLAVALVLLCAAVSLLAGIWLGDHADELGVIPFFRKRDFSSRDDATYKWYGAGAQVAFTFMMAVIQFQVMIPIALFISMELVRVGQAYFMVQDEHMFDRQRQARFQCRALNINEDLGQIKYVFSDKTGTLTENRMEFRCASVHGGDFSDTDGPGGDGHSVTGEDGVVLRPKTAVKTDPKLMALLRDGSGAKADRARDFFLALATCNTIVPIVAADGADPAARTLEYQGESPDEQALVYAAAAYGHTLVERTSGHIIIDVFGNRQRRPPRPNPPFFLPAKTQLVLCSALCSVPSRSPSPVS >Et_6B_050114.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6605082:6605339:-1 gene:Et_6B_050114 transcript:Et_6B_050114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTAGRLSGLLRRCAAAGALSAGAQVHAHALVAGHLPDATIEADLVLLYPRCGALRRARQVFDGMPSPSIARLQRPAGRVAAL >Et_8B_060177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6863883:6871748:-1 gene:Et_8B_060177 transcript:Et_8B_060177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADAVVLVPPPQPEPGHGRRSDIAAARAVIYLCLASLWVCCACLAGVALGRHIYDTVCPAVYAFFKVSVGAALLAALLIPVFFLLLQRHACDGVSGASMWEMLQDTGMLGVPACFPFLLLLVTCYPVVMRLLPVNGSSRQAIGAVLFDYCLLHDALLLFHLTCLSTETVEDEWRKLSGECGSIRSTAGVWVTCASTGALTIAGRASGTDSPAYQALVRTSVGAYILLFLAIVAWCLHELASGLRLVKAFVAVVVKNKKVSFWKVRGKKTLSPFLTLMMQCNRLSLPVVCLNFCTRKTARDMIVRAHERSHQVLAASFREKATSLLACLACALFYLLAIIGLVMTQVVPAGGKCHRIGAALFDLGTLVAAAICCFILVPIIALTFLKNKDWRNSIVISEGGILRKSFLLVMFAWAPFILLVLAGALVMEWYVVGKWLGLVLFDVGLLGISALSCFVVIPTIALEVWKTKVMGCCIAVSE >Et_7B_054086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15156273:15161172:1 gene:Et_7B_054086 transcript:Et_7B_054086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPAAAAGAAPSPSAQVVGNAFVHQYYNILHQSPELVYRFYQDASRLGRPAKPGAEMDVVTTMEAINDKIMSMDVTRAEIRAVDAQESLGGGVTVLVMGHLTRRTGVSREFVQSFFLAPQEKGYFVLNDILRYAGEGEGDAPPPPPPQPEVDAAAEAAPAAVLPNGTAGGVTEAVPRDVASQPEPQVAEPATQPQAEEDLNEPEVYNPPNEPQEPVVEETPVPEVINEVPNSAAVAAPSSAPTIPAEEAPKKSYASIVKVMKEYRPPASVAPPSRPAPPKPEKQAPPAPAPVTDAPAFSSNPQGGSYQDPEVDAHAIYVRNLPLNATPQQLEDEFKAFGTIKTDGIQVRSNKIQGFCFGFVEFEDASAVQSAIEASPIMIGDRQCYVEEKRTNGSRGSSRGGRFAPGRGGNFRGEGMRGRGSYSGGRSYGRGDFNYRSDYGGRGGGRGGSSRGDVGYQRVDHSGTAGGRGARVPSAASAAAK >Et_6A_047473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6243443:6245335:1 gene:Et_6A_047473 transcript:Et_6A_047473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANHQFVPWCLDTRKLVAKELIQGFDMLVVLLWKERGTTGSSTSAHYSRWLLARPSLTGALTWTATRFRRLDRLFSSLLKCSLSYEMNYCLIIDKTGQGQGTCLQAFSALMMPMKGKNDMGNWIWWKAVSSKGSGSTRHLLDEMPPGRKGGKVKKQHGATGIDALPDGILEHILGFLPAEEAVRTCVLADGAKRMPADGVNKSMYQPMGY >Et_1B_013839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:216112:217742:-1 gene:Et_1B_013839 transcript:Et_1B_013839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKASMLMLIARSVAAATGRFARVAEQAAGLDRLPQPSSAAMAAIPPAMDAAPKHRVVDGWIGPGIPAKGKSKMKFVAQEKDLESDEALWALYERWCEAFNQKRDHDEMRRRFKKFKETVWLVVHRANKASLPYKLALDKFADGKLMELCANRDNREAMLARKAGKSWVLLKPGDRFLRRVFSDFKVVNGKLFVIYPSKASRGCFDLEELDVEYEVFAGQLFVNLPEEHELVSPLASSARGVPRIRPSPAWTPEEDAPRTTAGAAWRRACRGGPPSSAATGGLLQ >Et_8B_059813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:316065:319722:-1 gene:Et_8B_059813 transcript:Et_8B_059813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPTTRPLLVLLCVAFLAMAVPSHGAGTPDGSEEWGYVEVRPKAHMFWWLYRSPQRVENGSTPWPTVLWLQGGPGASGVGYGNFMEIGPLDDELKPRASTWLSKADLLFVDNPVGTGFSFVEGGDATLMVRTDAEAARDLTALLCSLYRGNARLRGSPLYIVAESYGGKFAVTTALAALKAIQQGRLQANLAGVALGDSWISPIDFVMSWGPLLYQVSRIDEDGLRQCNAVADRIKEQLHNKQYAEAEASWSDLEQVVISNSNYIDFYNFLRDDAPATATAAQQRGRSLASFRTKRGYSGYLSSKAVRQGGVSSLMNTVIKDKLGIIPKNLTWGEQSDDVFEAMAGDFMKPRIHEVDQLLELGVNVTIYNGQLDLICATEGTMDWVQKLKWDGLKNFTSSPRTPIYCNKEGRTGTQAFVKSYKNLKFFWILGAGHMVPIDNPCPALKMLADITRSPAQ >Et_4B_036068.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:20525417:20526323:1 gene:Et_4B_036068 transcript:Et_4B_036068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAFSLAPSPAMSIISIPPPRKWNHRHIILVTLVGCLVVNAITAAISISLSPAHIFFSIKDAAASGNKTGEEDTMNYRFTLVANNTSPRMAAHYRALSAEVWYSATTWVPAVVDRSALLHNGTVQPHGSVFNISVWAEYWQSEQPSTPPPPAIGDKTPPPPAATNNDETTDWSSCTVLVTASVWFKSPWWIGTRAYDVRANCSQVNFHDHKAIVDCK >Et_1A_004738.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35615211:35615528:-1 gene:Et_1A_004738 transcript:Et_1A_004738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIQVDSSVGVRCCSWSRSRSRWTCSSAGADRSRAAARSVCDSPVNALVHIFPSAPCGAAAAIKSVTIPSTAVAAGGMPRARAISPFSAKEPVPSPHSTGRSTT >Et_5B_044686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4724441:4726858:1 gene:Et_5B_044686 transcript:Et_5B_044686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKSKKGGKKKTVDPFAKKDWYDIKAPSVFSVRNVGKTLVSRTQGTKIASEGLKHRVFEISLADLQSDEDQAYRKIRLRAEDVQGKNVLTNFWGMSFTTDKLRSLVKKWQTLIEAHVDVKTTDGYMLRLFSIGFTKRRPNQVKRTCYAQSSQIRQIRRKMVEIMANQATTCDLKELVSKFIPEVIGKEIEKATSGIFPLQNVFIRKVKILKAPKFDLGKLMEVHGDYKEDVGVKLERPAEADEAMATQEVPAAE >Et_4B_036992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13214569:13217325:1 gene:Et_4B_036992 transcript:Et_4B_036992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWKYMFDKDELRVLILGVDKSGKTTFLEKLKSMYLKGEGLPTDRVVPTVGLNIGRIEDANVKLVFWDLGGQAGLRTIWEKYYEEAHAIIYVIDAAAASSFEDAKSALEKVIRHEHLRGAPILIVANKQDLPGVIADEELARFLHLKELDDRPYMFQAVSAYEGKGIKSGIDWLVEQMKGSKRTEALRARAAVAGKI >Et_1A_009474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6196117:6199191:-1 gene:Et_1A_009474 transcript:Et_1A_009474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSSSGGGGGDGGSHREEVQIQIAGSSKPATSSSADSAPQSYPTKHWHWWLMVALNIFFLIAGQTASTLLGRFYYNQGGNSKWMSTFVQTAGFPVLFIALYLFRSKSPSSPRAISVPETPVTKITLIYIALGLIIAADDLMYSYGLLYLPVSTYALICASQLAFNAVFSYVLNAQKFTPLIFNSVLLLTFSAALLGVDEDSQGTNGLSHGKYILGFLLTLGASATYSLILSLMQVTFEKVIKRETFSVVLNMQIYTALVATVASLVGLFASGEWKTLQGEMHAFGTGKLSYVMTLLWTAIAWQIASVGVVGLIFVVSSLFSNVISTLALPIIPVFAVIFFHDKMDGVKIIAMLMAIWGFVSYGYQLYVDDKKARKTSTIAFKAKKVICPMTIA >Et_4B_036989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13140281:13144890:1 gene:Et_4B_036989 transcript:Et_4B_036989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLLHGVIDAKILEADLSVTSDGKLRPAKKTVMKRKVFSWIKKLPFCNCQQQLENAFGLGPTAGKLYATVDIDKARVGRTRMVDPVHSPQWQESFHIYCAHDASHVIFTVKADNPVGATLIGRAYLPTGGAVVQGQQVDQWLPICDEKRQPLEGGDKIRVQLRFTAVGSAADPAVGRWDAGVGPAYPGVPRTFFGQRRGCRVRLYQDAHVGAGEGGFAPRVQLAGGRMYEPQKCWVDVFEAISNARRMVYIAGWSVNTDVALVRGGPSASGEETLGELLKRKAREGVAVLMLVWDDRTSLGLGPIRRDGLMATHDEDTAAYFRGSGVRCVLCPRNPDQGRSYVQDVETATMFTHHQKTVVVDGAGDPNAPPKLVSFLGGIDLCDGRYDTQEHPLFRTLGTTHNKDFHQPNFPGSSIKKGGPREPWHDIHCRVEGPAAWDVLENFEQRWRKQGDGDNFLVTLDKSWAAREAVEGDAESWNAQVFRSIDGGAAAGFPEKPDEAAHLGLVSGKDHVIERSIQDAYIHAIRRARDFVYIENQYFLGSSYAWRQGDGGVAVESIDALHLIPRELSLKIVSKIEAGERFAVYVVVPMWPEGVPESGSVQAILDWQRRTMEMMYRDVALAIRAKGLVADPRDYLTFFCLGNREAVTPGGEYVPPERPDPDTDYSRAQQNRRFMIYVHAKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAYQPAHVATRNRPARGQVHGFRVALWQEHLGRAAAAEAAADILRPSSLACVRRMNQVAERHWAMFADDSFRGDLPGHLMAYPVGVGGAGEILETTANFPDTKAKVLGTKSNILPPILTT >Et_7A_051167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15042851:15047679:1 gene:Et_7A_051167 transcript:Et_7A_051167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADRTVHRASRFSRGPRSVATISRVKGNESGKSCRILPISSDSVGISPQGRKHSVMIQGDEEVSDDERYFPFTNLLARSRHRDGSIYRGMDLWWKEEYHVANRNETRLEAMMLSDPTNFTIRDGFCRQHYAHRMLQIFSLELAEICLDGGLVELYGYIAVRDGLNPLLNYVVNFSRDDPIIVEQGSLINVTGPKRGIVLLAPILIEYDMRIKTGKQEEDDLQLIDGATIMGTEGAWDRPYMMRIPGDCGVIGINLSRINYAVEATIEVHISEVQSRFNLSLRCLTSGLDEEICLFDGVITQSRGLKRSVVAVVRNSLLDLKFEIGTPSPTTDKYRCCFKAKIHGNDIQNIKTYFALISVRVTWSTLPSYFPTKRLST >Et_7A_051125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14694219:14697756:-1 gene:Et_7A_051125 transcript:Et_7A_051125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPTLPFTPKKPQQMPVTGGPPKIVNDGETVLKEIELEDPLENLGVKLVRQAGARTNDIAGDGCTTSIILARGLIAEGMKVLAAGINPVQVARGIEKTAAALVSELRSMSREIEDHEIAHVAAVSAGNDYTVGNMISDAFQRVGRKGMVRIENGRGTENSLEIVKGMQFERGYLSPYFVTDRGNMLVEFTDCKILLVDKKITDASEIIRILDSAVREDYLLLIIAEDVEEKAMADLIKNKLKGTIKVAAVKAFSFGEQKTQCLDDIAVMTGGTVVRDDMGYTLEKAGKEVLGAASKVVIRKDSTLIVTDGSTRHAGEKRVALIKGQIENTTEKYHKKILGERIARLLVESQSARANLQVGAQTVIEMKDKKLRIEDALNATRAAIEEGVVVGGGCSLLRLSKKIDVIKELSLENIEQKVLRNSDTNYGYNAANNRYEDLMAAGILDPSKVVVRCCIEHAAVVAKSFLTSDVVIVEAKESKPELNPPNACFRYSLIASFPQNHPRIQQKYLRTKFCCKSGKVIAKKAQKRAPQTSLCIFALRSSVCCRIDKISSKGC >Et_7A_051520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:262626:264311:1 gene:Et_7A_051520 transcript:Et_7A_051520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATIIVQLVVVIPGWSSWGAAALVGETCASSSASCGAGLRCTSCVPPPGTGPAVCARTTPLDLKNSGMLPFNRYSWLTTHNSFAVVGSKSPLGSAIISPPNQEDAVAAQLRNGVRGLMLDAYDFNGDVWLCHSFNGKCFAFTAYVPALPVLRDQIRAFLDANPSEVVTVFLEDYTAQGSMAKVLAAAGLTNYLFPVDKMPNNGGDWPLLRDMIAQNHRLVVFTSKQGKEATDGLPYQWNYVVETQYGSDGLAQGKCTNRGESRPMDSTAQSLVLMNFFTTNPSQSWACGNNSSPLVTKLKACYDASAKRWPNYIAVDFYMRSSGGGAPLATDVANGRLQCGCDSIAYCNPSAPFGSCDMRPPSPSSSSSSSSSSSSSSSSSSSSSPAPAPSQSAPSSLIAPGPAPQQYYSSSSISLGPTTAASAPPNSSPVQRRQMSVEEAATATTSEVPDIAPVSSTAFNQPWTSSSFFALPAPLIVLTTLVLTSC >Et_8B_060270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8297645:8303398:-1 gene:Et_8B_060270 transcript:Et_8B_060270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLGGRGRGVWFAVPGAADHRRGGATFPWGRGGGAGETLAQVMARRAPEPWAIRRDAVRAAEAAAREVALRVHPTREAERRRQEVLGYLKTLLGSTFGFEVIAFGSVPLKTYLPDGDADVTVLANTWLNSSLVDDVRQVLELEMTSCNTEFEVKGVTFINADVKLLKCVIENIVVDISFNQIGGVSTFCFLELIDREIGKDHLFKRSIMLIKAWCYHESRILGAHHGLLSTYAMETLVLYIFNIFHESLHGPLEALYRFLEYFSKFDWDKYGISLNGPVLLSSLPDITLEPVVPLDELLLSQEFFEGSLDKVVVITGSDCHDTNFRVKFINIIDPLKGSNNLGRSVNKASFYRIRSAFSFGAQKLGHILMLPSDLIPYEICGFFANTLQRHGKGERPDLGDNSSFESLLCTENAPNEDIECLNMSRITEGENRDSCNLLELAEKESRVVQTRKKSLPPFSESDMLDLSGDLDLYLGCLGKVNYHMEYLYDEFMKEFQKAWLAGEINEDYFFKLRSVRTEIKTRPQRLTIASSTGTDTRKLSPVCSTEDVAQQSHLEDQADVVWRQNVLLSTNGLSFASSPLSNSNNYHVSILSVSPNSRGTGTYIPRVSYQMYRERMERFAPERGFIPERERRQRPRLADRQLDQWCSDLRDEHTAFLSTSQVPEKEKGSLQDLDSSKSVDPNGGFLIVQEKTAATGCGTKQTETNLPNVQTSQDVPSTPAIDNSSKDPMEKERQIKPQSSGIELPHFGQGNPPASSTCQPSSPATASCLLKAEAVENLEFGSMGPFSFGLVSPQFEEAFPPLPKKPVPQVPASVVQSPEPVVTESRMKALV >Et_6B_049338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3390991:3392760:-1 gene:Et_6B_049338 transcript:Et_6B_049338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCGGALQLPPGFRFHPTDDELVNYYLCRKCAGMPLAAPVIAEVDLYKFDPWQLPGADRFIPVHVNHPTPPQEKALGGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPVGSPRPVAIKKALVFYAGKPPKGVKTNWIMHEYRLADVDRSAAARKRTNNALRLDDWVLCRIYNKKGVIERYDTVDDDAEPAAAAPAAAAGGRGGAAAMKVEMHDYGYYDYDMDTPAAEMLCFDRPFPSPPEPEPEPEPELSADRDSNNSLPRNVHTDNSSCGSEHVLSPSPDLPDRDHAESQPVGCAAGWFGVDWGGAVDDGFVVEDAGALFGPASPAVMYGGARDAALLNDMFAYLQRPFGSE >Et_9A_061420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11509809:11527977:1 gene:Et_9A_061420 transcript:Et_9A_061420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPCDGDGACMACRAAAPPEVELIRCSTCATPWHAPCLSDPLALAVAALWSFPDCSGDSSVAPVAGSGLVAAIRSIESDEALSDQEKARRRQALLPGAADEDDDGDDVGNDDIVKLLGENICCVFCLELPEHPVSTPCGHNFCLKCFQKWIHDGNSTCGKCRARIPSQMAQQPRVNSAIVEVIRKAKIANAANSASSAAPHHYVRNEDRPEEAFTTSRAKKAGNANASSGQIFVTTAPDHFGPILAVNDPRRNIGVLVGQKWNSRLECRQWGAHLPHIAGIAGQANHGAQSVVLSGGYQDDEDHGEWFLYTGSGGRDLSGNKRTNKDQSFDQNFTKLNAALRLSCLRGYPVRVVRSSKEKRSSYAPESGLRYDGIYRVEKCWRKISVQEKEESWKWMKPPPASKKPIVSGNPKANKKFKRVLARDDPISVAERLLEDFGCSICRKVITEPLTTPCAHNFCKTCLLGAYCSQSSMRERSRNGRTLRAQKIVKKCPSCPTDICDFLVNPQINYELMNMIESLQRKAVEHDTKEDSVGIIDDDDEDSDGSIDNEDCVEKNEIQQSPKRNGDSKIGTNGDKRVDPLRCSTCSTPWHAPCLSGPPSLAVAALWSCSNCSGDSSAAPVTSEAGGDLVAAIRSIESDGDKDNDAGNDIRKLLGEKISCVFCLELLERPVSGKWKGQQLERWQWGALFPHVTGIAGQANHGAQSVVLSGCHQDDEDHVELFLYTGSMMVSTELKNTRERLVFRLFLIFFIKNKIIVLNDIICFFSLSDEHGDRPRPWHEIKEQFVATSIIEKNGCTSWGYDEKLIVRITVRKCVFADNTPSKSFSFETHYDRLYREIKEGWKWMMPPRVSKKPIVSENPKATRVLARHDLIYPWLKGYLKFIICHCVVFVALKDVNLLPWAFFKIEFDCSICQKMITEPLNYYLSTTKGKTVWSTLTNIDDEDEYSDGSTEDDECDEINDFNLFEENEIQQSLKHKGDSEICTNGDKRMQTNATLAWKVPMMVVAEGDRRQWSTVR >Et_1B_012666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34240255:34243312:-1 gene:Et_1B_012666 transcript:Et_1B_012666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHCASSSSAFLGLPLSNGGRRCSNSCSFVANAKPAPVSATLDKETAAAKPRNSRNRRSRKATKSDSTAVLAPDEPEAKRGSPEAEKAGNGAGKGMVALDDVIVNPVGLGRRSRQVFDEVWRKFSRLGQMSSASTAAVAEQDPAVLLRGGPMCDFTVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDPEVVDMLPRSVDVVVGDVGDPSSVQAAVSGCNKVIYCATARSTITGDLNRVDNQGVRNVTKAFQDYYNQLAQSRAGKSSKSKLSIAKFKSAKSVQGWEIRQGSYFQDIYPSRFDESTDATFEFSESGQAVFSGFVFSRGGYVEISKRLSLPLGSTLDRYDGLLLSVGGNGRSYVIILETGPLADTKQSKKYFARMNTKVGFCRIRVPFLAFRPVNPQDPPLDPFLVHTLTIRFEPKKQRPGDSSFQEGSDPRNFELKMEFIKALPTGQETDIVLVSCTGSGIQPNRREQVLNAKKAGEDALRKSGLGYTIVRPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEHVSEQGNELYELVAHLPDKANNYLAPALSVLEKNT >Et_5A_040735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11768508:11769335:-1 gene:Et_5A_040735 transcript:Et_5A_040735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLIDDYDAATRVGTQPERAPLQNYTVSCLALDNTFSHSFVTISDISSATQMGRLSNEAAYALRYPQGSAEELGALRLFAQRVRKSYRRLALKLNCIQHQDVVQGHEQAPTPSRSWSSTRTRASTPRNVGSSSQAHGSSESKDDGSSEEDDPAYQGHDEIGFSQLPDAPRASQPSPRRARARRRKDVESGNVLPTAPGRQRKKKKPYTPG >Et_3B_030861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8066517:8068246:1 gene:Et_3B_030861 transcript:Et_3B_030861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVERPPVVKEEKMADANKDMVTTIGSALPIVFESFQSGSMKQEGGGSRLEAARAEMGEVREENERLKAALSRIVSDYKSLQMHFLDVVKVHDEAAKPETATKLPAEPKAPAPVAADDDLVFLSLGTRSGANGGGRKGHERSASSGSGSGTAADDSHLSLGLGFRPGSSLTLSADDDKASAGPVLNLSSDSNSSADGDAANKAASQAAAPGESSRKSGAGDDEVQQQAKKARVSVRVKCDTPTMPDGCQWRKYGQKISKGNPCPRAYYRCTVAPNCPVRKQVQRCAEDKSILITTYEGAHNHQLPPAATAMASTTSAAAAMLTAGSTTSSSSSFAGRHLPAALLGPTTMVSTAASCPTITLDLTAPPHSSSSPYAAAAAGYESKALPAAWTSGYPSYYAKSSPAMGLFGGSLMSSLSRPAPEQHLFGGGHSSPYLLQRSASLGGAAPPAVTDTLAKALAADPSFQSVLATMITSYMGRGGGGEAAQK >Et_4A_033669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25676420:25682399:1 gene:Et_4A_033669 transcript:Et_4A_033669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGCVGTEGEGDPEAAAAAAVVERDEAVVAPSPARELVVGYALTSKKAKSFLQPKLRGLARKKGIQFVAIDQKRPLSDQGPFDIVLHKLTGKGWQQLLEEYRESHPEVTVLDPPGAIAHLLDRQSMLQEVSELDLSDCYGKVGVPKQLFVNTDPSSIPAAVMRAGLSLPLVAKPLVAKSHELSLAYDPTSLTKLEPPLVLQEFVNHGGVMFKVYIVGDTIKVVRRFSLPNVDEVDGSNNAGVFRFPRVSCAAASADDADLDPHVAELPPRPLLEMLARELRRRLGLRLFNIDMIREHGTRDRFYVIDMNYFPGYGKMPGYEHVFTDFLLSLAEKDYKRRPSYSSGEG >Et_1A_005216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33471687:33473565:1 gene:Et_1A_005216 transcript:Et_1A_005216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAKLAAAHLRLIVILLAVASAATPPAQAEALLAWKASLGDPEALSTCTNATPICTGWRGIAATRAAASRRSASEAFGLNGKLGALNLSALPALVKLDLSGHILGGAIPHQLAELRRMSHLDLGDNYLTNPSSELTPMPSLRFVSLCLNDLNGSFPVFVRGSGNITYLDLSLNAFSGPIPGALPEKIRYLNLSGNTFSGRIPASLAGLTKLRELRLGANNLTGGLPDFLGSMSQLQVLELGDNLLGGQLPPTLGQLRRLRHLDLTSTGLLSTVPPELGNLTKLNFIDLSINRLTGALPASLSGMQSMREFGVSSNSLAGEIPGDLFANWTKLVSFQAHMNWLSGKIPTEVAKATKLKVLSLFSNNLGGRIPSEIGNMSALQRLDINTNQLEGELPTTISSLRNLQYLALFDNNLTGTIPPDLGEGLAMVEVTFGNNAFFGELPQNLCNGLMLQNFSAHNNNFSGRLPPCLKNCTKLYRVWLEGNHFTGDISEAFGVHPSLVYLDISRNELTGRLSDDWGKCLNISFLHMDDNYISDSIPTTFGNMVNLQDLSLAKNSLTGAIVPYLGGLDVLFNLNLSGNFL >Et_2A_015628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16235563:16241001:1 gene:Et_2A_015628 transcript:Et_2A_015628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTASKGRGAARSSPPIFGPYLRRIVKWQQMDIEYTFWQMVHLCTSPKVVYQHTKYHKQTKNQWARDDPAFIVILILFLVFATSAYCAAYGESASHAALTITSVVLIHFLFAGIVLATLCWFLTNSYLREEPNSHVVEQRVECEDKIPCRLYAFDVHCNSFFPAFVILYVLQYFLSPLLVAHGFFPSLLSNLLFVVAISYYHYLNFLGYDVLPFLDRTTFFLYPIGLVIILSPLSLSSPFDQPTACANAALFEPVTPSAAGV >Et_2B_019172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15761019:15762248:-1 gene:Et_2B_019172 transcript:Et_2B_019172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHLPSPARLGLTASSPSLPPNPAPVNPTSSPPHGNLTAGAGAIAAPTLTTSPSLLPLLPPLPRAQSLLHLISSLASNLFELSPNRAAWNSAYRGSLPTFLPSSSTAAPLPSTVSSTKDALSLLNSLQTQLFEAVTELQETLDLQDSRARLAREARAKDAALVAFAKKLHEAHHVLDRLVDDYADYRRDPKRPRGAAAADYPEPVSDGDFGASLHSRLKVDDILTYAHRISYTTFAPPEHGAGLPLRGALPPAPQENEMRMSQLYQFADLDVGVPKKPLEPKEGIPADVEPPQPPKLPITLPPGFPKDLPLPPPGWKPGDPIEFPEDMPLPLPGWKPGVKAEEPKASVPEPHISVRPAVPTGQQTIEVKRVQLDFESESSDEYSSDVGSSEEDDED >Et_1A_005447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10438381:10441153:-1 gene:Et_1A_005447 transcript:Et_1A_005447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRSDSSAGGEDPRIPAAADTVGGGSSEPSKKQRTEEPSASSSGAGEGSSSSPPAPPQPEQAPPGGDEQARVPDLGEDLVFEVLLRAEARTLASAACVSRAWRQLARDERLWEAACVREWVSLGYSEQMLRRVVLSLGGFRRLHELYIRPLQRPGAGARRRQVPARMGRDQVQVSLSLLSTSFFQNMPKPNAPPDQKKKDKDNDKNGVKMSQPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKAVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNEDRVTLIKDYRSKIETELTKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGSERKDAAENTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDTAEEIKEAPKGESGDGQ >Et_6B_048359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15885366:15885617:-1 gene:Et_6B_048359 transcript:Et_6B_048359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEERREEERRKMRQEEDERRCKYEAERKAREAERERMRERARRARAAGPNAFRKGKYPRCTQ >Et_2A_015061.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28306793:28307632:1 gene:Et_2A_015061 transcript:Et_2A_015061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDHHVARAQSLALPDRPAWPARRGRSRSPCRAGTRPTPSGSPSGRRAPWSPPPHVPPHAAARVAAGGALGRRVRDGGGQGLPQVRGHGLDTGRARAVRARHLPEPLDVARLDVVKPLHEALPRREHVHQVLPVERGEHLGHRGAVRRRVARPEHGAVEAREPRNYRPGPRELRPRRDEPGGTAPVQDQVVVAPRHREAAAGERHQLHRQRDVVVVRHEYPLQGRLGQAEVQAAQGDTSGVSDHELRALVAAVDLRQAGAWVRHDAAGEWEEVAEQGM >Et_6A_046474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15722711:15725149:1 gene:Et_6A_046474 transcript:Et_6A_046474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNYSMLFATQPSSSTSNSYHFMPGTSSHDHDPHVAQGAHRILSHGSFLGEHSSSKDGVSPTELGESSAGGGASEADRSTAVVEKKKGGKKERRPRYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRCTHQGCNVKKQVQRLSKDQGVVVTTYEGTHTHPIEKSNDNFEHILTQMQIYSGIGGSGFSSSPMFQ >Et_5A_041010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16636226:16647207:-1 gene:Et_5A_041010 transcript:Et_5A_041010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLEFIRTRTPFKGTKLGCGEGGCGACVVLVAKYNPTTDEVTEFSASSCLTLLYTINFCSVITTEGLGNTQDGFHAVQKRMSGFHASQCGFCTPGMCMSIFTSLINADKSKRPEPRNGFSKLTVSESEKAFSANLCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKSDKNPDVSRLPSYTLGGGICTFPDFLKSEIKSLLNHLNDACIAASREGWYLPRSINQYYELIYSGLLSDSVKVVVGNTSVGVYKEQDLHNQYIDISGIPELLNVVRREAGFEIGAATTISRTIEILQQECESISSNGSVVFRKLAEHMSKVATPFVRNTASIGGNLILAQKYPFPSDIATILLGAGSTVCLQFVTERKHITLEEFLEQPPLDPTILLLSIFIPHWIPDSQTGTSLVFETYRAAPRPLGNAVSYVNCAFLGHVSLNESSDALALSNLHLAFGAYGTEHAIRARKVEKFLTGKSLTASIVLTAIQLLRETIVPVEGTSHAEYRVSAAVGFLFSFLSPLSKVLNIGSTISADADDVCNLPLSSRIETISIDEYKPIGEPIKKYGVEIQASGEAVYVDDIPAPKNCLYGEFIYSTQPLAYVKNIKFKSSLASQKIIAVVSAKDIPSGGENIGSTFMFGDEPLFGDPIAEYAGQALGVVIAETQRYADMAAKQAVVEYDLDDLGPPIITVEQAVENHSYFNVPQDLYPKEVSDVAKGMAEADHIIPSAEVKLASEYYFYMETQTALAIPDEDNTLVVYSSSQYPELAQSVIARCLGIPFSNVRVITRRVGGGFGGKAFRSFQVATAAALCAYKLKRPVRMYLNRNTDMVMIGGRHPVKAHYSVGFKSDGKITALHLDLLIDAGISEDASPMIPGTIISSAKKYNWGALSFDIKLCKTNNTSKSVMRAPGDTQGSLIADTIIEHVASVLSTDAICVREKNFHTYDSLQLFYPDSAGEASTYTLHSIFNRLASTSSYFDRAESIKKFNSCNKWRKRGISCVPLIFKVEPRAAPGRVSVLNDGSIVVEVGGVEIGQGLWTKVQQMTAFALGQLWPDGCEGLLERVRVLQADTLNLIQGGLTAGSTASESSCAATLQACNMLIDRLKPVMDRLQLQSGNVSWDTLISQASKENVNLSASAYWVPGQDSNKYLNYGACISEVEIDLLTGAITIVRGDLVYDCGKSLNPAVDLGQIEGSFIQGIGFFIYEEYVTNKDGLMISNSTWDYKIPSVDTIPKQFNAEVLNTGYHKYRVLSSKVREAIRAARKEFANSTGCGTSPLVFQLDVPAPMTVVKELCGFDIVEKYLESLSSYGT >Et_2B_022869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:759359:760340:1 gene:Et_2B_022869 transcript:Et_2B_022869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSPKARKGAPAKLGSMKNTPVTAAVAPAAGGKVSAEEVWEVRPGGMLVQKRGGGLSDDEPSVNVKPVPTIRVKVKHAGVTHEIYISSEASFGELKKLVAAKTGLHPDDQKVLYKDKERDSKAFLDMAGVKDRSKVVVVEDPEAKARRLIEERRNGHLEKAAKAVAAVTAEVDKLAPKVRTTRQSAHIAATNQSPIHPKIASFSEPNRVPVNGTDQNRGVDLTNKRLLLSPSDWQVASLDASVRKGEKVAENDVVQLTELLMNELLKLDAVVADGDVKTQRRMQVSIH >Et_2A_017017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30145974:30146500:1 gene:Et_2A_017017 transcript:Et_2A_017017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDGNVLRVLKDVAGTALSATRLDLVCVDEGRNCAASSIRRPGGFSRYLDEPILDLLDAIAGPSFHWFGRATPSGEYKVIRFLCTRVGLEDATGHVCEVVTLEDGSTDLTWRTRQNSPIRLCFCHGCTVAINGVIYFMPPGAHVQRGLWNRIAGFDLESESWKGIINGPPD >Et_10B_002430.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19812799:19813476:-1 gene:Et_10B_002430 transcript:Et_10B_002430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PSPATAPSPIPSVASSPHPHLPPPSASSPPAAAPLSAPPCASSPSLTHSPNPWPPPTPLLFILVSPSFNRIHSTHSYDYRAILVTGARLVPTSLTVVNLGPGFHAFSAESPLPGLPPSQPSSLATGHAHSTIEEQKAVDVMVDGFGLERLQGVIGSAAGQAAEMDEMYAGMLRTLEKLAREVEKSNLRVLEQVLFLTPQFIPVNAVYILMPCVRACAITCTWFVA >Et_5B_043166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14175564:14175764:-1 gene:Et_5B_043166 transcript:Et_5B_043166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGGGWFHKRRRWSHLFCLSQRKQRSNNRCQSEARRL >Et_3A_024275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19068442:19075889:-1 gene:Et_3A_024275 transcript:Et_3A_024275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPPEALGPEKAPQEKQLDEKELERKLKKDQKACITFHSELAKEKEEKRFKAKQKEAARLQKKILAPHMAKQYSPRVVEKSWYAWWESSGYFGADSASTKPPFVIVLPPPNVTGALHIGHALTVAIEDAMIRWRRMSGFNALWVPGVDHAGIATQVVVEKKLMREKKLTRHDIGRDNFIAEVLKWKDQYGGTILNQLRRLGLRLIGPAFTMDEPRSKAVTEAFVRLYKEGLIYRDYRLVNWDCTLRTAISDIEITPAHDPNDFEVGKRHNLEFINIFTDDGKINSNGGAQFEGMPRFTARVAVIETLKAKGLYKDTENNEMSLGVCSRTNDVVEPMLKPQWFVNCNTMAKTGLDAVRSKKIEIIPPQYEQDWYRWLENIRDWCVSRQLWWGHRVPAWYVTLEEDQVKDLGSNNDRWIVARDECDAILEAQKKYPGKKFQLNQDPDVLDTWFSSGLFPLTVLGWPDDTADLRAFYPTSVLETGLDILFFWVARMVMMGMQLGGDVPFQKMSKSLGNVIDPLEVINGMSLDGLLKCLEEGNLDPNELNIAKEGKKKDYPDGIAECGTDALRFALISYTSQSDRINLDIKRVVGYRQWCNKLWNAIRFAVGKLGDNYTPPANVDASLMPPICKWILSVLNKAIGKTVISLEGYKFSDATSVIYSWWQYQLCDVFIEAIKPYFFNDSQEFESARAASRDTLWVCLDAGLRLLHPFMPYVTEELWQRLPQPKDSCRKDSIMISEYPSPVEEWTNGKLENELDIVLDAVSKLRSLKPPTETTERRPAFALCRGQQIADTIRSYHSLIMTLSSILGENDGTPADCATAVVNRDLSVYLQLQGSLNAEAEREKLRKKRDEVQKLQHALTQKMDASGYREKAPRSVQEEDERKLTALLEQLVIISEAEKKLDAKTDKN >Et_3A_025886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33711691:33719191:1 gene:Et_3A_025886 transcript:Et_3A_025886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASASAMFPPSNLSHKVWEDPSFFKWRKREAHVPLRSQDTPEGALKYWHERRNVNYLNSDSAVWNDDAVRGALESAALWSKGLPYAQSLSGCWKFLLAPSPENVPDKFYDAHFDDSNWEALPVPSNWQMHGFDRPIYTNTIYPFPMNPPFVPSDNPTGCYRTVFHIPKEWKGRRILLHFEAVDSAFFAWINGIPVGYSQDSRLPAEFEVTDCCHPCDSDKGNVLAVQVMRWSDGSYLEDQDHWWLSGIHRDVLLLSKPQIFITDYFFKATLDDNFRLADIEVEVEIDSHNQDREHVSTLSVEATLYDNSGLSDSFDANHLSANAVNLKPKAKSVRSCLGFHGYVLCGKIENPKLWSSEHPNLYTLVVQLKDANGKLIECESCQVGIRNVVLAHKQMLVNGCPVVLRGVNRHEHHPRWIRERDPTRLIHYEGGGSRTSSTDIVCPMYMRIWDIVKIANDPSENRPLILCEYSHAMGNSNGNIDAYWMAIDNTFGLQGGFIWDWVDQGLLKDDADGSKFWAYGGDFGDTPNDLNFCLNGIVWPDRSIHPAVHEVKYLYQPIKISLTDNMLKIENVHFFTTTEALEFSWILQGDGCILGSGLLSVPNLPPQSSQLISMESSPWFPLWCTSVVKEVFLSVNVTQRYQTRWAQEGHLLASAQLCLPQKNAFSPHLVSFSRRPLVCERVGDHVTISNNNAWQIRVNSQLGTIDSWKVNDVELMSKGIFPCFWRAPTDNDKGGFFIKPYASRWREAFFDKISFYSRQFLVKESADNTVELSTVYYGLPGHLAKPVDAALSEASESVLFQVNMLCRIFESGDVVLEYEVNAKADLPPLPRVGVVFNAEKSLSHVTWYGRGPFECYPDRKAAAHVGVYESSVEDLHVPYIVPGECGGRTDVRWMALRNADGFGLYASVHGESPPMQMSASYYGTEELDRATHVHKLVMGDDIEVHLDHRHMGLGGDDSWSPCVHEQYLLTPTRYTFSMRLCPLLPSSSCHDIYRSQLPN >Et_4A_035597.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30546667:30547557:-1 gene:Et_4A_035597 transcript:Et_4A_035597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVYTLGGGDAAGVHGNKSLRTVLSVGDGEICMKSLYIDGTIYLFHFKKAAVLAFDVDEETVTSINMPGNQYPPYYSPDFELQELCGRPCMGTYDGNSRALWLLTEDHRWEQRCVITELRSYYGQKTRDLSSCSISGVWDSGGALILYLYDELTDNHWLHMTNASTSQTFQVILPRNMMPELPGHSFCWGYKPTLLSPESIVGKLSQDEKRHRELTADITPNERVNERERRKGHKKTLNTVCFMEFLARIMQKPPDDMEDVIQMSIFKTAPTPSDSVPVHVASKSTRGHCFPTAR >Et_1B_012871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3734570:3736262:1 gene:Et_1B_012871 transcript:Et_1B_012871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRKRVNLKKEVFEVFEIVLRGNLPRSYEQLMDSIPARLEEILKGEAPQNHKGVSRFMRVNGKLFTPKTDECFMIRVKWSADSPPEEDLHLHYRWRVFYFQAFHAEGEWNKLNGFSGRLPPMSQLRFKNIYHVAIDTAYYSLGGYIIQISPTTFEICYHSLMQVRYLAQRNQLELLRDIPLVLPAVAISEALRDVLFQSWIKSRLPWRWDKEDVEEHGQAYLQDDVKKRGLFIPPRYHTKFNSWGSDSEKMFDPPPPRPGIGASPQAIEEWRNHEERVARLGIIKTPCDMDLPIAPPVYVKRNVNE >Et_1B_011618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24227482:24231693:1 gene:Et_1B_011618 transcript:Et_1B_011618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLPETASDGKALTDAWDYKGRPASRATTGGWGCAAMILGAELFERMTTLGIAVNLVPYMTGTMHLGNAEAANTVTNFIGTSFMLCLLGGFIADTYLGRYLTIAIFTAVQATGVMILTISTAAPGLRPPPCADAKGASADCVRADGKQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDDGHHGERKKMLRFFNWFYFFVSIGALLAVTVLVYVQDNVGRRFGYGVCAVGILCGLAVFLSGTRKYRFKKLVGSPLTQVAAVTAAAWSKRALPLPSDPDMLYDVDDAAAAGSDVKGKQRLPHSKECRFLDHAAILQEREEAGTASKWTLCTRTDVEEVKQVVRMLPIWATTIMFWTIHAQMTTFSVAQAEVMDRGLGGGSSGFQIPAGSLTVFLIGSILLTVPVYDRLVAPLARRATGNPHGLTPLQRVFVGLFFSVLGMAAAALIERHRQATSERGVVLTVFLLMPQFVLVGAGEAFTYMGQLDFFLRECPKGMKTMSTGLFLSTCALGFFFSTLLVTIVHKVTGHSGRGGWLADNLNDGRLDYFYWLLAVMSAVNLGLFTVAAKWYVYKEKRLADAGIELADEETIAVGH >Et_2B_021092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26443448:26449902:1 gene:Et_2B_021092 transcript:Et_2B_021092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLAGRKKALSPPKHRHDGTSPLPLGMDWSPPPKRWEGRNTIWPHNPQTGWSYCVMIPSWIAQTPEAGATAESFLKSVVFYRIHVGIQSPEGFSSSHGVLRRFSDFLKLASDLKRAFPRKDVPAAPPKHAFLRINSSRLLLEERRHSLEEWMQKLLSDIDLSRSAPVASFLELEAAARSYFQEWNRRPSEGDSSAKRSSHPDEPGSVARAQFNQINPSFARGSSLTGSTGNGLHGEVIIDQADLHVSGVSNHGKNDRVFLENDGRNGSAETYRGVASEDDHDSNPGHARKDSTESLGSDLSSLRGSEISAPGASSSLWDGPVDLPSGVDRHNSQSEQFGGLDMQILFDVDAQIILPNDEKQKLTRLLVTMQRRIGTAKTDMEDLIARLNQEAAVKEYLATKVKDLEVELEATKQKGRETLQQAILAERERITQMQWDMDELRRKYSEMESNLKTEQNEKTRVESEKTTASGEREMLLEELESKRKEVDSLQQHLGEVEAKSKADIKVLVKEVKSLRNSQKEMKKVLNQYLEEKTDLERVINREKQRSTRMKLSREKILHECRLLRERLQECSAKFLAEEQDNVTIDPSSLPDALDLLSTSDNRIRLLVAEAQLLARDDEQGSSDDGDNSDSKSSLTMGSEEANATNEDTVKMLSDLLIDNAQLRMRLNAVIRNAVNTAVKPEKDGSDEVVPKKTVLNWLLDR >Et_4B_038194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26995002:26996432:-1 gene:Et_4B_038194 transcript:Et_4B_038194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSCSRLSLDSLHAVFSSRLLNTLLLCSQSLVAGEDFQHILRLLNTNVDGKQKIMFAMTSIKGIGRRFSNIVCKKADIDMNKRAGELTPEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >Et_5A_040294.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:11692896:11693042:-1 gene:Et_5A_040294 transcript:Et_5A_040294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKKFPSKPTDVLLSGIAFLQSWIILLKTVDKPTVEAIISKIRTWV >Et_6A_047747.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:13013686:13016151:1 gene:Et_6A_047747 transcript:Et_6A_047747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDAAAAAEEPRRRLTARSYVRGKIGRALSCLRCRCCGGAEQCHDSSGMPLFQDIAGIDDVGGLKLAGAGGSPRIFSYSELYIGTNGFSDKEVLGSGGFGRVYRAVLPSDGKTVAVKCVASRGDRFEKSFLAELAAVARLRHRNLVRLRGWCVQGEGEEEELLLVYDYMPNRSLDRLLFAKAPVLSWDRRRRIVAGLAAALFYLHEQLDTQIIHRDVKTSNVMLDSEYNARLGDFGLARWLEHAVCADDLEVSPSPPSARLSSSGSANYQFRLMDTSRIGGTIGYLPPESFQRHSTGTAKSDVFSFGIVLLEVATGRRAVDLTYPDDQIFMLDWVRRLSDEGKLLNAADGKLPDGTYALFDIGRLIHIGLLCALHDPRARPTMKWVVENLSDSCSGDLPPLPSFLALPRYISLTSSDSGTTTITTESTATSLSKPVYATAAGDTIYLTAEDGRPSSRSADSGANNSGNSQRSSRPMAMPNVDMPREISYTEIVAITNDFSESQVVAELDFGTGYEGFLDTGHGRVHVLVKRLGMKTCPALRVRFARELCNLTKLRHRNLVQLRGWCTEQGEMLVVYDYSPGSLLSHHLIRRDDDAVLPWRQRYKIVKALASAVLYLHEEWDEQVIHRNITSSAVFLDPDMNPRLGSFALAEFLSRNEHHGGHHVVVSTSSARGIFGYMSPEYMETGEATTMADVYSFGVVMLEVVTGTMAVDARLPEVLLVRKVQISQEQNRPVVALADRRLEGKFDDRELVRMARLGIACTRSDPAARPSMRNIVSILDGNDEVLDKFEQRKESAEDWQKRNAASLSLVRRFKALGIH >Et_8A_056230.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:16940218:16941447:-1 gene:Et_8A_056230 transcript:Et_8A_056230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYTDMKPFNLALKASSKLQSHGGSIKKLCNIVAQEILDLTGYGRVMVNMFHEDGHSNIIAEATIPGLEPYLGMHYPATDIPQASMFLLMMNRMRMVCDIHAKPVKIVTDGKTPFEINLCSSLLRAPHSCHLQYMKNMKTTAYLTMAVVVMDNINDQAECEIELEEFTKKNQDNKRLWGLIMCHKETPRYAPFSLRCACEFLVQMFAVHVRKVLDSEKHRQEKRTFKVLSALSGVLLSEESSPGSIITGKPNIMDLVKCDGAAIFHGHKVWQRHVAPTEVEIRMIVNWLLDIHRDSGVTSTESLYDAGYPRALVLDHHAAICGMAAAIVTSNYIVLWFRSHNTATPTATWGGAKHGPSEEDKTEGMGPRSSFKPLLFFEVAKMTKSLPWKDYEMEGIHALCLVLKET >Et_8A_057697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6162838:6165706:-1 gene:Et_8A_057697 transcript:Et_8A_057697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSAKAPKTLLVLSLLLLALTNAHPLTPSPSPPAARKAGAPTTGGAAISPGLVSTLRETLDAIRDVASIISSFPVGGILGGGDLRLSSAVADCLDLLDLSSDELSWSMSATGTSSSNPTSAGGADGGGGKVGTGDARSDLRSWLSGALGNQDTCKEGLDDTGSPLASLVATGLQAVTSLLSDGLGQVAAAAAADGEAAVTSSSNSDRRGLADAGTTPRWLRARDRRLLQMPVGPGGLAVDAVVAKDGSGNFTTVSAAVEAAPAGSAARHVIYVKKGVYRETVDVKKKKWNIMIVGDGAGETVITGHRNYVDGYTTYRSATVGECALFFRTSLLLLDRRSTVCVYVLCSTGTNRGRSHACVNTPFHLPTACVLTSKSQYYYRTRICPKKISNPIISSMQQQQ >Et_2B_021764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5183716:5196971:-1 gene:Et_2B_021764 transcript:Et_2B_021764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQITIIKYGCNGLDERRHSRAVSITTAGVLVPARNAQTPQGLKRSSLTSPHSVPNLAAASIRRRRPSAPAMLHLQRHLGAPFRHQWLLSFNRFATTAASTASPDPAPFAIEDYLVATCGLSRDKAVKASKKLSHLKSPSNPDAVLSFLSGLGLPPSDIATTVARQPSLLCSKVEKTLTPFVAAVQDHGLCTSHIARLVMADPSCFFCRSTIPKLQFYIPLFGSFDNLLLALKKNSYLLGANLERIVKPNMSVLSEYGLGAHEISKFCLKAPTLLTSRPARVREMAALVEDIGIPRGKPMFWLALRCFISVSKESVTAKIELLKKIFQCSEPEVITVLSKTPTLLTNSEDRLYRVSGFLFSEAGLDPEYVACKPALMTYSLEGRLMPRFYVVKFLKETGLLGHRRSYYSAVVAKEKDFVERYIQPYMEAAPHLAEDYAAACRGQVPSRFRSQESRTGLANGRLVPHFCVVKFLVKEKGLLGHYQSYYAAVLLKEKDFGEANGLLKHDRDYFAVAMISMISERVFLEKYIVPYKEAAPQLAEDYANACRGELQAPHIVPHLPRSPPRSSPPAPAAMLLVQKQQPPISFPPRATVAAFIPLRHHQCRFPTTRLAAAASVSANRTPFFVEDYLVASCHLTPEQAAKASKVLSHLKSPSRPDAVLAFLSGLGLSDADIAATVAYDPKLLCSEVERTLAPRLPELRDLGLSPSQIARLVLVDPARFRRPTVVSKLRFYVPLFGSFENLLQALKSNSYLLSSDLEGVVKPNVAFLMECGLDACEIAKLSIPVPRLLTTKPERVREMALRAEVVGVPRGSGMFRHALLAVAFLSKEKIAEKVEFLKKTFRWSDAEVGVAVSKLPLLLKHSKDRLCRMAEFLIITVGLEPEYIAHRPALLTYSLERRLRPRHYVVRFLKANGLLEHDRSYYSAVQVSENIFMEKFIRPFMEAAPGLDQDYAAACRGENSRTNSIPPISSLHRLLSATSIPFAVEDYLVTNCGLSPAQALKASKKLSHLKSPSKPDSVLDFLAGLGLSRSDFATVVSNDPRFLCADVEKTLAPRVVELTDLGLSPPDIARLVLTVGCHFRHSSLRRNVDFWLQVFSSIDKLLAVVKVSSCLLGSDLEKVVKPNLAFLQGCGISVSNLPKAFMCRMVTTSTKHLQEALARVNEFGIERKSLMFARAISTFAMVNQEKLNMNVRLFEKLGWSRDDIAQGVRRAPHILVLHEEKIRRNLAFLTGDVRLEIPYIAQKPALMAYSLERRLLPRHRLVNFLKAKGLLNTEHSFFYIASISNEKFLLRFVHRYEDSIPDRKSSGARPLLQSSTARRRAAQGKPQTGDDRRRPSTAAMLLLRRHLIPLLRPVSPLHLLSTSAAPFSLEDYLIASCGLSPDQARKASKKALTQVSREAGKQLRDLSCSRLNSASNPDAVLALLSGVGLARADIAAIVNAYPLILRSKVSIIGPRFLALHDRYGLSATQIARFLLVGSPAFCSRDVAPNVEFFISFYGSFRGLLAAVKRNNCILASDLERVIKPNIEQLLQCGLSVRDIVQVCSVKPRLLSYNPERMNEFMWRAEELVVPRSSPMFKYAVAAVVGASKEKVAAKLEVFKETLGCSETQVATVVSRMPTILGLSKEHLRRKIRFLVNRVGMEPRYIVERPVLLGFSLEKRLVPRYCVMKVLHEEGLLNGNMSFFTLAAMKEESFKLRFVDSHKEAVPALSDIYTAACSGHVPPE >Et_2A_015888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18847007:18848570:1 gene:Et_2A_015888 transcript:Et_2A_015888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTILFSLILGLLAAANAVPFDFYYLILMWPGAYCEDSSNGCCVPQYGYPAEDFFVQQFITFDLSINKAAVRCKNGKPFDAKQLDKIENNLNHYWSNIKCPATDGVNSWKSEWNSYGVCSGLKQLDYFKAGLNLRKQADVLSALAEQGIKPDEKLYSTEKIKWAVKQQLGVAPGVQCRDGPFGKKQLYQIYLCVDTDAATFIECPKLPVGLNCPAQVVFHPYYSWMLNTTSAAVFDAKIMLPTETMI >Et_8B_060189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:785331:785607:1 gene:Et_8B_060189 transcript:Et_8B_060189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKEYRLIGSFHLQIELKIILMARLRLERVSDYAGCSRIQLETDSSLLARALTTNLSD >Et_5B_044168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2108687:2115347:1 gene:Et_5B_044168 transcript:Et_5B_044168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEDGERGDDDSTLLEDGGDVPKDGSCGPESSDDSDDKMPFVQRAVQVLAIRANFPISSVNGYDWQTGRVIYFLRKGEVQEEGMIDLVPVGPREIFMAYGYFSLEVYYFTTPPSDERFTTADLPIKDGWDVCDGEEIEEYTQTICAGPNRKLEIAYLVIPYAIEANVEVRLKLKDLGSGSRTMHGKIKASATDFANKSVHLFSCERRRSLSFPSGSTSILPLSPSKIAVPYCRQLKLHIEVDLTVITPCDSQEEEEKNLKFSLEFTYGIGIKSQKREVDDDGVEVNKGMVDLVPVRPGEIFMAQGCFALEVYYFATPPSDEGFNRADLLCIKDGWDVCDDKEIEEYTQTICAGPDRKLEITYLVIPDAIEADVEVRLMLKDLSSGSRTLYGKIKASATEFGNKSIHLFRCDRRRSLSFLSGSTSILPLSPFNIAVPCCRQLELHIEVDLTVITPCDSQEEVEKNLKFNLKFTHKIGNSIQEREFDNDRVEVNFTIPLLHPVMRGPVRLLFASEMNGVFVMTRKLKNYTQTICAGLDRKLEITYLVIPDAIETKVQVRLKLKNLDPKSRAVYGKIKASATDFANKSIHLLNCERGRSLSFLSGSTSILPLSPSKIAVPCCQQLKLHIEVDLTIVTLCESQEEEEKNLKFSLEFTHRIGTSSQEREVDDDGVEVK >Et_6A_047502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6587746:6593520:-1 gene:Et_6A_047502 transcript:Et_6A_047502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLETAIIKKPSNLLEKCLRGRGSGQTCGFCARCCGNDDYTGRCLHLEAVSNAVKLELIASPETCIRITDAFNFHYIVHVHFKINPLAVIRYLTFKNFVQFVFRRDLRWCPTFSKLKTLLMNDWCVTADFGLLVCMLEHSPANQHLKVVKGKYALTEMSEHLKIVTVECAEVDERVLQISEFFITMDIEATVRLTPASSEKDQQIGDGERRGPDQRAAGRRAGARSWLPTGGQSGADERPRPALVPPMEVHATPALQLRRQVEGCRWFQKAYEGLLLLRNTAVALDEVEFRDTPLQNDDGTYINIWIRHVLSCQAKLLSIGLYIYAQLDGLPLVSRHLQKLELGSVRLDRNFLDFASCPVLEITGCYILCERISSRSVKHLLIKSASFYCEVRTLISIPTLVSVNLEFYNGKAPILQKMPLLQTALVKPAYFVGADYCGKGNSEECCGICADCCGQDIHSGGCVLLGGLSSAMNLELIADCRKIIFRRDLRWCPTFCNLKTLFLNEWCVEQCILNYYVFMYDLFVFIKDLCFDIGA >Et_9B_065609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7928561:7933599:-1 gene:Et_9B_065609 transcript:Et_9B_065609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPLARPFKNFQKTKFISKPSIIDKRCPTSFMTTTSSRSGTNFFKPPFCQVMKELARTRFNKAITNNLLIQGTLGKGTSSSGEPQPLFGMNRGPEYVFGKSESDV >Et_2B_022116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9384058:9386491:-1 gene:Et_2B_022116 transcript:Et_2B_022116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPAGIPTGTANAIFVLVIVLLVTTPSSFYHCLARRESSHRRHPPPAPTTTPPLAPTVPPLPPAGSSTIFNVLHYGAVGDGTTDDTKASEPCTHAFAAAWSAACHVTASTVVVPASHVFLVGPIAFTGDSCESNMVFQVDGTILAPAGPKAWRSGDVKQWLEFKNVRGLTIQGSGTVDGQGSHWWSSHPVDEDGDDDVKAVRVFQGVNVTVTGITIRNSPKFHLTLDTCRGVEVRGVAVSSPGDSPNTDGIHLTGSVGVSIRNATIACGERSPDTTRFVAHKNKDRVEMNERDDCVSIQGGCSDVSIRTVSCGPGHGISIGGLGKGGATAVVSDITVEDVTLNRTTNGVRIKTWQGGSGWVRNVRFSGVRVAAVRTPVVIDQYYCDDRQAAAAACGNRTSAVAVSGVAYSGVAGTYTDRPVYLACSDAAPCAGIHLADVRLAPAKDDGEARKVRGPFCWKAYGDEVRPVEPPIDCLMAGGP >Et_7A_050366.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:10095246:10095980:1 gene:Et_7A_050366 transcript:Et_7A_050366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSSTSRLRREAAVRAVAALLRWLRHHPTPVPEPIYLIVALKRAPVRRFEHRFRLPRSPFPSIWLVSDRLPDGLPDDIDLLPSAALRSLPAAARRGLVLVDSRIRVPSGKAAAKGARPVPVDLANPAWAESAREAARFVELRVEGGTCRAVRVGHAAMPLEEAVENVVAAVEAAAACVPKKWRNVRALHVKSPESVALPLYSAPGTGGDGDVEDAKQESATAAEEEKQGKAKRRKKSSEGN >Et_8B_060091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5890373:5893753:-1 gene:Et_8B_060091 transcript:Et_8B_060091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRSASCLFSCSPSSSSSSSEPSASWPPSSGAPLPAIPPPPSTTSSSASARRPPLRDAREPRPGAWFISALKNDTSEPEGEARNLVLPSAASNGRVLCVAAPPGVDATYAIAWRDALPRGAALRLGLAFVSESAYDYRNLWHGLAALAPFASWHERSGCARAPARWALFQRGAARSGMSGWLTTLAQAATGVEMVVEPFATPEPVCFEKAVVFRRNMEGLSKERLRAAYDFMRCKARAHCGVTDVGKAAGGDTSSEALRVTLLFRTGARAFRDEAAVTRVFEKECARVAGCAVTAAHADNLTFCDQVRLLSATDVLISSHGAQMTNLLFMDPNSSIMEFYPKGWRERAGGGQFVYRWMAAKAGMHHEGSWWDPDGEPCPGSDDVLSCYKDRQIGLDAAYFAQWAAKVFTTAKERKKFLAALRTQAEEEQLQLAALHCTVWSCGESYTKRG >Et_3B_031216.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21393106:21393375:-1 gene:Et_3B_031216 transcript:Et_3B_031216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRVGVVPVKAVCALLLLVVVSIWAPRADAARRLESRRDQAAVAVANGSLEMQMSGLVVPGNETGEAAGSVAASERLSPGGPDPQHH >Et_2A_017627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4190971:4191850:-1 gene:Et_2A_017627 transcript:Et_2A_017627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTPSTACCKPSPLLVPRASSRGSPARAQALLCTPSTSAFRGLRAPLSASPLAPRRRAASSTGIVCGKVNKGSVPPNFTLKDQNGKPVSLSKFKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVIGISGDDTASHKAFAQKYRLPYTLLSDEGNKVRKDWGVPSDLFGTLPGRQTYVLDKNGVVQYIYNNQFQPEKHIAETLKILQSI >Et_5B_044578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3769217:3770342:1 gene:Et_5B_044578 transcript:Et_5B_044578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNERSPLAISIMHAVIYKNRLGPSKSEFGNSRESCESERLGRIEEGCEVNVRSAIKLFWVWRALFINPVRALQMIMVDECQLSLCSAA >Et_10B_002852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12514442:12518104:1 gene:Et_10B_002852 transcript:Et_10B_002852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMPPPWNKFLWSLLYFIFFIFFGGRRKKKEDTRKNDQFRLQECWLPLFSVCLPSVCLCSHLYESSYRFEIEFWSYMLSALSCNLFVLQSVCKMRMILQMLGLGFCGQQDI >Et_1A_006898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28842413:28842877:-1 gene:Et_1A_006898 transcript:Et_1A_006898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGEWTEDRRGTYNKGDGVARSTIQVYPGAWAAVLVSLDNVGIWNVRSENLDSWYLGQEVYVRVVVNPEDTSNKTEMAIPDNALYCGHLHNYQKYGSQILHSARRVLFRVFHLYSLLFIL >Et_1B_012266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30269731:30274327:-1 gene:Et_1B_012266 transcript:Et_1B_012266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSAVTGGGVEEEVAVALGQKLVVHVAENGHTLEFGCGGDTHVEVIQHYIQHHCRIPPGDQLLLCGNTSLDGAHPLAYYKLPRDDREVFLYNKARLLADSQPPLPESVDIPAPDIPPPPRPQDSPPVEVSADPALKALVSYETRFRYHFQVANALYRSSMAKFELCRRLLRERQVQERALDTARSNLEHTYRKLSQRYSDFVRCFSQQHRGHVEMLNNFERDVQRLRAIRLHPVLQCEGRQCLLDLIKENDLRKLADGCFSSHKQFEVKVTQLKANFLELKKRVDSLFNVMSSNGCKDLEKLIKEHQGVISEQKSIMQSLSKDVDTSKKLVDDCSSCQLSASLRPHDAVSAVGRIYEVHEKDNLPSIRNLDYRLTKLLEKCKDKKNEMNTLVHVCMQRVKSAQTSIRGMMNELHAFQEVMGHQDRDFENLKLVSGLGYAYRACLAEVSRRKSYFKLYTGLAGTYAEKLAMECESEITRRDNFNRTWSKYIPDDIMGSMGLFDSPSQCDIKVAPFDRDLLPIDVNDVEKLAPQSLVGSFLKSERSQLPKSSLSNSSTSGNFNQPEQNPLNTEDKMDFQDLLGCYETIDIAGTSKLEVENARLKAELASAIAVLCSVGAECGYESIDEGQIDSVLKKAREKTAEALSAKDEYANKLQSMLNAKHDQCSSYEKRIQDLEEQLANQYIQGHMVSGSKGTSGSLLSAFKGNDCNLDVCGGRHTQLRDESSVAMDEASSTSEQPSKQTEGGDENMTDISGAPNLPSLDSAVCTNLDAFMTEMPRDNENKIVNIDKEGRIADEHHTLELRNKELLLSELQNSLDEKSKQLGETESKLSAVMDEVNSLKKELEHTRGLLDESQMNCAHLENCLHEAREEARTNKCSADRRAVEYNALRSSALRIHGLFERLNKCVTAPNMSGFAESLRSLAVSLSSVNKDEADSTIQFQQCIKILAERVALLIRQSTEMAERYRRVQEAHAALLKELEEEKERNNSFYNKLQLEKQASKEKISFGRFEVQELAVFVRNPAGHYVAINRNDSNYYLSEESVALFTERHSRHPAYIIGQIVHIERRVVRPDQIGGPDSSGGRRSPASTLNPYNLPQGCEYFMVTVAMLPDAVR >Et_2B_019971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15742533:15744150:-1 gene:Et_2B_019971 transcript:Et_2B_019971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKDATAAAATAAGKEKEKMLTLCSSDGEEFEVEESVAMESQTIKHMIEDRCADNAIPLPNVSAKILSLVIEFCRKHVQARAADAEDGASSDGSKKTSGEELKNYDADFVKVDQGTLFDLILAANYLDIKVLLDLTCQTVADMIKGKTPEDIRKTFNIKNDFTAEEEEEVRRENAWAFE >Et_4A_033824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27092130:27094037:-1 gene:Et_4A_033824 transcript:Et_4A_033824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGVVAAALAVAALAAFCGTDPLRWGSMVDFPGFEAHPVDLPSAAEMPPHADARERLRGAEVRFRGEVQGPESVAFDPQGRGPYTGVADGRVVVWDGARWAPFATTSPRWTDELCGGPKASPLEYLPNEHVCGRPLGLRFDKRTGDLYIADAYFGLLKVGPEGGLATPLATEAEGVRLNFTNDLDLDDEGNVYFTDSSLHYQRRNFMQLVFSGDPSGRLLKYNPQTKETTVLHRNLQFPNGVSMSKDGSFFVFCEGSRGRLSRYWLKGEKAGTVDFFAILPGFPDNVRTNEKGEFWVAIHCRRSLYARVMSRNVKLRKFMLSLPIPAKYHYLMQIGGKLHAVIIKYSPEGEVLDILEDTKGEVVRAVSEVEEKDGKLWIGSVLMPFIAVFDLAKA >Et_5A_042894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6459354:6461693:1 gene:Et_5A_042894 transcript:Et_5A_042894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSWVLVAGALLLPLLLILLRHTAKNRRLPPGPPSVPLFGNLLWLRHSAADVEPLLLRLFETYGPVVTLRLGSRLSVFVADRRLAHAALVGPGSGVALANRPRAATSSLLGVTDNIITRADYGPVWRLLRRNLVAETLHPSRVRLFAPARAWVRRVLMDKLRERASSEGNVMEAFQYSMFCLLVLMCFGERLDEPAVRAIEDAERAWLLYISRQMTVFFFLPSVTKHLFRGRLDAARALQRRQRELFVPLINARRDYKQRRAVVKDGQSPPPTSETTFHHSYVDTLLDITLPDEEKNDGSQRPLTDDEIVALCSEFLAAGTDTTSTGLQWIMAELVKNPAVQAKLHAEIAEHTTSSDEEVPEDTVQYRMPYLKAVVLEGLRKHPPGHFVLPHRAAADMDVGGYLIPEGATVNFMVAEMGRDGAEWDRPMEFSPDRFLEGGDGAGVDMTGTKGIRMMPFGVGRRICAGLAVAMLHLEYFVANMVKEFEWKEAPGHEVRFEEKREFTTVMKHPLRPRLLHV >Et_1A_005437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10345479:10358168:-1 gene:Et_1A_005437 transcript:Et_1A_005437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVSSPPRLSLPHPLPLPSLRSPPPPLLSPHCRRFFHVDEVTDIGDRDLPVDVSFTRRLPPALTVGNGLDALRRAACEAKSRPPGVGSGVIRFEVHVPPSTKALSWLCSQFSESPLFPQFYLSRKKTSTPSFQLQICGIGSAICLHGSKLVKSGVHMLSRYLSSDSHLIRAYGSIGMKYDRKLLTIEEKTGSYYFFIPQVELSEFDGFSILSSTMVWDDSVSHTFEDAVSLFESCFDQIQNCYDFSASISDKGLAASYFSEDSQLLEIGNAQLDKFLTPDQSFIRFSPQLFFSSNMYFCIAPGSRSSPLALSATSHPLTTCISCYDERSLGFHALGYGRGSRKAAVVITSSGTAVSNLLPSVVEASQDFIPVILLTADRPPELHDAGANQAINQVNHFGTFVRYFSNLPPPCDRIYARMVLTTLDSAAYHAMQAPQGPVHINCAFREPLHYSNQEWNLDCLRGLDKWFANSKPYTQYLRMKMVSGFSNYTCSDMEVLKIIKEAEQGLLVVGAIHTEDDLWAAALLARHLSWPVAADILSGLRLRKILNSFPGLDKSNLFVDHIDQILLSDNVKNWISPDVVVQIGSRITSKRVGMFLEACFPSSYILIDRHPCRHDPSHVITHRIQASVTEFAASLCRYTFERKTRWKNILMVLNSVVSQAIMFQIHCNCSLTEPHVAHMITDSLCGGTVMFVGNSMVIRDLDMFGKGWLDETAKVNHVMKHHFPDFIGTVVAGNRGASGIDGLLSTAVGFAVGSNKHVFCMVGDVSFLHDTNGLSLLNQRAWRKPMTIIVTNNHGGAIFSLLPVAKTTSPQILEKFFYTSHDISIPKLCAAHRHINMYSDYSATRYLDYLLGIPYYNSELGGMYVNRIHAVEYMLYSIQLSAPRTSGVSDGSFFHEGFILKLCVDDNIVGFGEVAPIEIHGEDLLDVEEQLRFLFHRVKDSVLDVIPLLRGSFSNWIWTNLGIPPSSLFPSVKCGLEMAVLNLLASQRKCRWSDILAGSNPLVQEGNAVEYNHSTSSSIQICALVDCNGTPMEVALAVAKLVTEGFTTVKLKVGRRKSPTEDAAVLHEIREIVGYQINIRVDANQKWTYEQAVEFGSIVKSLRLQYIEEPVSSANDLIKFCEETGLPVALDETIDNLKEDVIPKLHQFMHPGIVALVIKPSVVGGFEDAAHIAKWAQMHDKMAVISSAYESSVGLASYIQLAHYIDQHNSIVSRIKNKDTCGAVAHGLGTYQWLKEDISEQKLKFHVSTLADGIGASVEDANGYLHHLNINSKKIERTYSEEKLRSYSIQVDMDDCSYLVKLQEAGDPTNEKVVLLLHGFLGTSEDWVPMMKALSCSARVIAVDLPGHGESQMLQHHTGSSNQFPFTVQSVAELLLKLMCHITDSEVVVVGYSMGARIALHMALNQIHKISGAVIVSGSPGLRDEASRHRRLAIDKSRAQFLVSSGLECFLETWYSGKLWASLREHPKFDSLVRTRTKHENIEALAKALAEASIGRQRPLWEDLKHLKRPLLIVAGEKDKKFKEISQQMCREIRSSECGSDGRNGIELCEMMIIPESGHAVHVENPLPLVRAVRKFLQKLR >Et_9B_064726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18056801:18057649:-1 gene:Et_9B_064726 transcript:Et_9B_064726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNFNPQPLVNKNTTGCPDRPLIAGEHVGAPHVDHRLFEILVVLVRRRGVGHLLDVRSGDGVLEEAAVGLEQPPRGEHVAVVGQAELLVAAGVQGHLGLPRAAVVVVIVAGTGRRAELLELRRVGRVQRRVLVHVAGEVVEPLLDRAAMRCPDAVAPWRRQAERGEWLFAEPLLSAHAKSEEVWWWRGKEREQGVGRGGQLPKRTAMSLELSDLTLKNVFTSTMLESGGARSFSAYSPTLTLPSRRPVSTSYHGPWLCTTQRDTNEQTFSKTKQSA >Et_4B_036930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12781012:12783874:1 gene:Et_4B_036930 transcript:Et_4B_036930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSAAGMQMVAARPCISASITSRAAFSQVERTLSATMGFAKCPKICYSRPLSSKRSGVVVRAMSGESKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMDIVKVYPLDAVYDSPEDVPEDVKSNKRYAGSSKWTVKEVVESVKNDYGSIDILVHSLANGPEVTKPLLETSRRGYLAAISASSYSFVSLLQHFLPIMNPGGASISLTYIASERAIPGYGGGMSSAKAALESDTRVLAFEAGRKGEIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNTAAFLVSPLASAITGSTVYVDNGLNTMGLAVDSPTITS >Et_6B_049809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13366478:13366790:1 gene:Et_6B_049809 transcript:Et_6B_049809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFDMTSETFTQMQGPPTMTGSFVKLFDMDGQLVGADFGKEHHIDLWFLESYGTAARWELRHQWLQRVIAMAMSCLVTAMS >Et_1A_009011.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:25100821:25101207:-1 gene:Et_1A_009011 transcript:Et_1A_009011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNILCHDQRRRSRTRRDPTGDKVYTVPPQDFAHTVQRLTGAATPAPMASSSGSSSPVPGLGDSAPSRSPTRPPPVPDLLVSVPTAAGRAMQEAYLAWCDSNSVVLSPGTMAEIMEHQIRGGDYWLS >Et_10B_002509.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:12557604:12557768:-1 gene:Et_10B_002509 transcript:Et_10B_002509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFEFSRFVSSLHVLVERATEKNGNRGSVPRDAQPWRPQRDRVPQPDPPAVAK >Et_8A_058093.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19466051:19467643:1 gene:Et_8A_058093 transcript:Et_8A_058093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTAAAAAAATGFNSPWTLAIRAAADQGQPRRAVALYLSSLRSPHHRPCPFALAAVLKSVPRLPAHAQLPAASSLHAHLLRLGLLSHPYPHAALEHLYSRLLLPTHPLLDEAPAAAPRNRHSLLVASNSRLASLLRAGDVPAARAMFDGMPARDVVSWNSMVAGLAKAGHLDEAVELFDRMPERNAASWNALVCGFIAQGQLARARELFERMPLRNNVSWITMISGYAKAGEVHAAVDLFERMENKDLYAWNAMIACYAQNGCAREALGVFNRMLKPHFWVLPNEKTLSSVISACSQLGDLRFGLWVESFMGCVGVELDDHLRTALVDLYTKNGRMDRAFDLFRGLKKRDVVSYSAMIVGCGMHGKLNEALGLFKEMSEAKIDPNAITFVGLLSAYNHAGLMEEARACFASMSSKYRISPSVEHYTIMVDLLGRRGKLDEAFRLITQMPVQPHASVWGALLLACRLHNNVELGEIVASKCFELEPEETGYYILLGNIYAQAEKWDKVKRLRKIMSEKGLNKMPGSSWVA >Et_4A_034070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29687590:29694014:1 gene:Et_4A_034070 transcript:Et_4A_034070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRDRDSESRRRSSSSRRRRSPSPSESDASSESSDSGRRSRSRHHRRSRRRRDSPSSSSEVSGSGSEDSGSDSGGRRRRGRSGSRKRRDVTEEQIVEYMAKKAQKKAEKVAKKLKANAVSGYSNDSNPFGDPNLTENFVWRKKIERDVSQGQKVDISVKSEKKKQQERMAEIEKVKKRREERAIEKAQHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDVLLKNLNFSDEFDVELNEPYLVFKGLTVKEMEELRDDIKMHLDLDRESQTNVKYWEALMVVCDWELGEARKRDAIDRARVRGEEPPPEVLAEERGLHASIEGDVKTLLDGKTSTELEDMQSQIESQMRSGTAKVVEYWEAILKRLHIYKAKACLREIHASILRKHLHRLEHPTAAEQDVVSEKEDDNKTEDEMRYEEDDDKQYSPEPVPEQTDAHLEEDDGSFSPQLMHGNEDEDAVDPEEDKAELERKREAVVMEHQRKVQEAIKAKARVPDDMETKAIKTMGAMEEGDAVFGAGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKSKAPTYSIEKDGSTGETCLIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHLYFNFKRYRYRR >Et_3A_025640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31477013:31479521:-1 gene:Et_3A_025640 transcript:Et_3A_025640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTEKVAADDCCGGEGLVEVEVAVGMEGKGLIECRICQEEGEEDAMDSPCACTGTLKFAHRKCIQRWCNKKGNITCEICNQVYSPNYVLPPSKCCSDEMDMDLRQNWVGRMDPHDSHFLAIAIAEQQMLQAEFEDCVPSNSSGATCCRSIALILMFLLLVRHVIVIVRDVSMVQDATVLFSATLQFAGFFLPCYVIARSCYALQHRRRRQIQTSAENVQFQTTPVTNLFR >Et_3B_027883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24786341:24786940:-1 gene:Et_3B_027883 transcript:Et_3B_027883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIRCCIACILPCGALDVVRIVHSNGRVEEISGPVLAGEIMKAYPKHVLRKPPSTCPAKPVVLPPNAELQKGKIYFPMPVMSPAPEKAAGAAPARRRRRRKDHHQTGPRGAAAGSSSAAAAASGLGGACTEGEKERLLANERYLSEIMKEKASTARDRRRGRVAVWRPHLESITEDDL >Et_2B_020319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1931514:1933728:1 gene:Et_2B_020319 transcript:Et_2B_020319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RKLWKGGGIVGFHSIPRRPRLLPHTQPIYSPPSRPAERPRPIQADRANTQQAAAKSSPEGKEKGKMSWQAYVDDHLMCEIEGQYLKSAAIVGHDGSVWAQSPDFPQYKPEEIAAIMKDFEEPGSLAPTGLFLGGTKYMVIQGEPGVVIRGKKGTGGITVKKTGMALIIGIYDEPMTPGQCNMIVERLGDYLVEQGY >Et_3A_025696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3222796:3229625:1 gene:Et_3A_025696 transcript:Et_3A_025696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHPKKRGGAMEEEELDRLSSLPDDILHSILRGLRLKHAARTSALSRQWAHHWLRALAASSVIDFTDRDFSRGQSPEHAAAAVSRCLRLQAELGPPLEAFRVALDGTLRSGGVVGWVVDAVARGAREVEVDLTPARGSRVQPEAFMELPGELFLTETSLARLSLDRFSLRAVPPGAPGLAGLRSLSLSRADVTDEKIEAVLSTCRSLEFLSLSSCHLLTSVRIAGDNLRVLELVSCPAMRELRVAAPALESLAFHGNILCLDDSEDNHRVVAVDLGAAPALRDAYLSHLGFGTEDDIDDKEFAYAEFLTRVARARTLTLCSVGLEHMWAHVGYDPLVEIDTTNVQELQLLMASLGDHDIEAISSFFQLFEFPLLDRLFIRLPRELDRAVVESGTAAALTGEVDDAGILIHHDDFVLGHLRLIKVVNFRGTRLEFVLLSFFIKRAPALEQLVLTTVDEDGAPGDEQLKIIQERVSAMKKASLEAQVTVCRPSEDRNHNPAHARFYHEEASSSKRGNTEEQLEDRLSGLPDNLLHSILHRLSLKDAVCTSGISRQWATTWVRALATSPVLDFTDRDFLVRQAPAQVVALVSLYLKRHADQRAPLHVLRVALDGSSLGGAKAFERDVVGWVADAVARGARVVEVAMTPKQGDRAAQQHDTAVSEGFFVELPGDLFLAKNSLARLALDRFSLRAVLQPGAPPAGFAGLRSLSLSHANVTDEEVKAALSSCLLLEFLSLRSCHLLKSVRIIGHKLRGMELVMCLGIQQLRATAPALESFSFHGEIIYSRDSDHFSAVDLGDTPALRDVYLSHIGFGNNNMYLVPEYEYGFFGFLSCIARAKSLTLCSVGLEHLWVRLVNDDHPPPMDVDMSNVQELKLLMASLDDDDLEAVSTFLQLYSFLTLDRLFFHLPGEQVEAGDVFDALDDEIEELDDCIYMDEFGLDSLRLIKVVNFQGTSGELSLLAFLLQGAPDLEQLVLVTVEEEKGATGDTPLNLIQQRVSEMTEACVTMCGPSEDRSQNPAHTRMELEPKKSQAMEDEDRLSSFPDDLLHSILRRLQLKHTPPRQRALLHSILSAMAASSVIDFTDSDFARGQSAAQAAATVTGCLQLHAENSAA >Et_8A_056536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11842969:11843715:1 gene:Et_8A_056536 transcript:Et_8A_056536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKEMRRGRRLPTAAAGEGEDGCAVVGMRWPGAFLLSTSTDVLGPRYYDSAATRPSSESSQTHPFRCCVRAGLLPSTTKRSSAASSVRAALQQRKGLQSAVSAIAVRTVWNFHGHRRWRGMAAYFVDWSQGRPSSESTEIVVASMPYNRKHVCCYAISRTKLTCG >Et_1B_012402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31585704:31593601:-1 gene:Et_1B_012402 transcript:Et_1B_012402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVWQPLRLDIKRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEITDLPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNISAVCFHPELPIIITGSEDGTVRMWHSTTYRLENTLNYGLERVWALGYMKGSRRIVIGYDEGTIMIKIGREVPVASMDSSGKIIWAKHNEIQTVNIKTIGADNEIADGERLPLAVKELGSCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSTDGEYAVRESTSRIKIYSKTFQEKKSIRPSFSAERIFGGVLLAMCTNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVSSHLDGGGSAGEEGVEDAFELLHEINERVRTGLWVGDCFIYNNSSSRLNYCVGGEVTTLFHLDRPMYLLGYLANQSRVYLIDKQFNVVGYTLLLSLIEYKTLVMRGDFDRANVVLSSVPKEQYDSVARFLESRGMLEEALEIATDTNYRFDLAVQLGRLEIAKAIATEVQSESKWKQLGELAMSSGKLEMAEECLLHATDLSGLLLLYSSLGDAEGITKLASVAKEQGKNNVAFLCLFMLGKLEECLQLLIESNRIPEAALMARSYLPSKVPEIVALWKKDLQKVNPKAAESLADPDEYPNLFEDWQIALNVEATIAPKRGIYPPAEEYMVHAERSNESLVEAFKNMHVHEEVLPDDGGDNVHEGIEDEGVEESQEDAVEVEAENGGVEEGQEDAVEVEAEGSTDGVLVNGNSSEEQWGTKNEEPSA >Et_4A_033962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28478939:28481038:-1 gene:Et_4A_033962 transcript:Et_4A_033962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSFDLTVASKCSWFGARRSGLLLVRLWRGALGFGSFVDALSNASSGMGVAPNIRDTFHELQMKKAFRYVIFKIEEKQKQVVVEKTGATTESYDDFLACLPENDCRYAIYDFDFVTGENVQKSKIFFIAWSPSTSRIRAKMLYSTSKDRIKQELDGFHYEIQATDPSEVDLEVLRERAH >Et_7A_052304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6807473:6820761:1 gene:Et_7A_052304 transcript:Et_7A_052304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRMADENRRPVAAAGKPLPSVREMGNRRALRDINNLVGAHPYPCAIAKKPVLQMYAASLASKEDPEHQAIETDAAPGVEHHKEASIDDGTVDMDVELCETIDDTDMDEQQKDSASDETMDIDKADSKNPLAATEYVEELYNFYWETEEASCVKPDYMTSQEDINSKMRAILIDWLIEVHYKFELMDETLFLTVNIIDRFLEKQVVPRKKLQLVGITAMLLACKYEEVSVPVVEDLVLISDRAYTKGQILEMEKLILNTLHFNMSVPTPYVFMRRFLKAADSDKQLELVSFFMLELCLVEYQMLKYRPSLLAAAAVYTAQCALNRCQHWTKICELHSRYTSDQLLECSRMMVDFHQKAGNGKLTGVHRKYTSFKFGCAAKVQPALFLLEKGGRGEQKPALASCRPMTRQLAASLASKKQSEHQGTANDAVPVVDLDPPKEPIYIDLDEDLYESADDIDMDEDKVIVNDESVMDIDSADSKNPLAATEYVEELYKFYRENEAKSCVKHDYMSRQEDINAKMRAILIDWLIEVHYKFELLDETLFLTVNIIDRFLERQVIPRKKLQLVGVTAMLLACKYEEVSVPVVEDLVLISDRTYTKGQILEMETLILNTLQFNMSVPTPYVFMRRFLKAADSDKQSILHNALSTAARTGQRLASFIADTRDDGRFPPEGWDWEAYRRPQEVQYLQVWVRSKSRAGTVPAGIRRGINLNRNLALTLTTQFAGIVEHFSYLHLSLLCMNLTNEGD >Et_8B_060377.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:11757787:11758479:-1 gene:Et_8B_060377 transcript:Et_8B_060377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRSSTVLRQGLSPLRINPRPQIQPPNQAPVVVPGFVNWEIHDFVIVCDLGSGNFSSVMKGIHRATGVCLAIKTLRDLSGFWQEAIMFSQCSGSIGVVQFFGVARDRNRNMMYIVMELGGTPLEDAIRAGHAHERALSEDDVRVIMKSLLLGLKHMHEKGIIHHNLRPSNILIDSNGKYVVGKICDFGLAIYYDQAVATWSRTPRGTYGYMAPEVHKAKSSCTFESDM >Et_2B_020470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20529836:20532331:1 gene:Et_2B_020470 transcript:Et_2B_020470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDPSRQALSGLKYILEDILNCICNWTFEVPILLNAVDVGSTNIRYYSPYHHKVFEVSNNNTLDRPDAKICCSNGHRLTLVYRVGDELEVVCANLVTGCVQDLHPLNFDFVVYDGEHRMFGINAVSGNLRVIRSIERDGDWSRYWDFSELSTSDPEFRASPMTNPVLHHGMLYMLAEDGRLAVYDDRRHDDGFQILDKPMGFGFECDDFYLLESDKQELMAVLTGRRGSPLHVVKLNEVTMEWEEVESLEGRVMFTGTLKTMMVKTDVKWMQNKVVFPKLHHWPDIIQVDLIDRGGELAFVPKSAMAPQDGSTCGKNIWTCELGQSAEFWGTTDFDYGIWVNFRKLTT >Et_1B_011061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17060029:17060431:-1 gene:Et_1B_011061 transcript:Et_1B_011061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVAAGRRPWPWEVFLEAKDEAWVETYCRRRRQSGLTFEDESRWPPVVLPTADINELIYLPNGSSYVDEMMVGNGNGLIDQERKCIHGDATTVCEC >Et_8A_057914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9325641:9327871:1 gene:Et_8A_057914 transcript:Et_8A_057914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEMEEVAAALPVAHTVARERGVEIYPLSRYYFGAKDAAAVPRGLETAADRALRLKANFAAHGLRTSVHGVLLVELFDHPHVLLLQVRNSSFVLPGGRLRPGEEDVQGLKRKLSSKLSVVGGDGEDTAEDVDEWQVGECIGMWWRSEFEAVPFPYMPPNFRAPKECIKLFLIRLPMSRRFVVPRNMKMLADKQPKAPIYEQPIPANLPTTISCEFHGYTILRPFNAL >Et_10A_000435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10137174:10139308:-1 gene:Et_10A_000435 transcript:Et_10A_000435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDEQKLRAFYDKRISQEVSGDALGEEFNGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSHDLSVINLVIVKKGDNDLPGLTDTEKPRMRGPKRASKIRKLFNLTKDDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPQTLQRKRARIAEKKKRIAKKQAEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSSAVKAAPASA >Et_6A_048185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:900874:907310:-1 gene:Et_6A_048185 transcript:Et_6A_048185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSGGGGGCSARALAACVVGGIVLGASVLALHLAGPVAVPSLPPVDALRRRFRRPVRVYMDGCFDMMHYGHCNALRQARALGDELIVGVVSDEEIKANKGPPVTPMHERMIMVGAVKWVDDVIPDAPYAITEEFMYKLFNEYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSTTDIVGNNFGLGPCPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTISSTRGRHRPIMNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISLVVHGTIAENMDFMQDDSNPYAVPMAMGIYHRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYEIRDLMPSEEARCGS >Et_2B_019430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29285211:29285517:-1 gene:Et_2B_019430 transcript:Et_2B_019430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRFCHLIRPQEVALLFSSITHSASFRVQEVGMIAGLGCHHITTLKIAFLRTTLCMRWLWLDRSMHLISMVGAVIYL >Et_5B_045423.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:2276067:2277140:1 gene:Et_5B_045423 transcript:Et_5B_045423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLPSSPSPVRQLPALALLLLLVAATTVSGIRVDVIRLPSFREAPAFRNGDECPPRGSAEGRVDVAMTLDATYLRGTMAAVFSILQHTACPENVAFHFLAAARRDADHHQNPDPLAAIRATFPYLDPTVHRFDPSRVRGRISRSVRHALDQPLNYARIYLADTLPPDVTRVIYLDSDVVVVDDVRKLWSVDLAGRVVAAPEYCHANFTKYFTDAFWSDAQLSATFRGRRPCYFNTGVMVMDVARWRAGGYTRRVEEWMAVQKRKRIYHLGSLPPFLLVLAGDIKPVDHRWNQHGLGGDNMEGKCRSLHPGPISLLHWSGKGKPWLRLDARKPCTVDYLWAPYDLYKAAATALEE >Et_9B_065814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13597232:13600047:-1 gene:Et_9B_065814 transcript:Et_9B_065814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRCLAMVIFDPTAAQQSSAKRPRQQLADTGAVVPYSAEAQPISAVPWPKPQQARPPSTFEKEPPCLRNHILPALRLRADLPVHFIDAKAVTSTDLDAHQNRFRIPTDGVLRRLRLLLTLEELDSANLLYEPEPRKKPKKQQLPEPENSAAASNAGEQKMKKKKKRKGRVHGGLPVRLVDLAGGASGVLRLSRWESSHGTIVKGEGYLDFIRRCSFKENDVVEIWAFKQRSFRHFGVTMCDDSILHVFIVKRHNQPETCCCCPFSTTTLPLRLRSTNCLPQAFLQGKKKQTTRRDTFLGPLLVCQASSHLSCRRKSNESPANDLSLNFDDVTGDCVVPRTQLAALGATTPSCVCTARSCPPQCPRQQADLTIGGKHITASLTDGELSAAVNHDTTGGLDVSVFDFGDGPRYDFKCDYAEDTGFYCLPAGGSEYEGAVHGRQRRGDWCRGVGKGAVYGGVSVPLAGAAQGKQVRQRPPGRAPRDVGTDGSGDEPLDDGSLTVEHWLRHCHKVPEVTDMKLNSPHTYDMYEI >Et_9A_061863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17215747:17220734:-1 gene:Et_9A_061863 transcript:Et_9A_061863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CSSVLYNTRLVPVHTESSVKHSKSTTIALAVAMEPSSLLLLLPFLLAGFLHLSKLRRNGGDARRRLPPAPRGLPIIGNLHQVGAVPHRALRALAAATGAPDLMRLRLGQVHALVASSPAAAAALMREHDHVFATRPYYRSADILSYGSRDLVFAPLGEHWRHLRRLCSAHVLSGARSHGFNGMREREVAALVRDVAESASASSPGGAVVDVSKALYGFANEVICKAVNGRVSSSSREGEEEEGTKRSELFRELIEENSALLGGFCVGDFFPSLAWVDDALSGAGARARRNLKRWDDLLEKVIAEHEARRRRRDDDSEQDFVDVMLALQDEKQQDDDGFELTRDTIKALLEDMFAAGTDTSFIAVEWAMSELVRSPAAMGRLTPYLRAVVKETLRLHPPAPLLVPRECMRDATVLGFHVAKGTRVFVNAWAVNRDPASWRAPDEFRPERFLESEVDFRGAHFQLIPFGAGRRVCPGMQFALPTVELALANLVRLFDWELPDGAAPGELDMSDAPGLTTRRRVPLRLVAKPLV >Et_7A_051142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14919260:14923885:1 gene:Et_7A_051142 transcript:Et_7A_051142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKHFSLPLLLSPNGFLPQRIDKGAKSNPSKRVAAGAGEEPARGNGRAAPETCSGIAALVSNKRAAPQLHRAKVLRMRIVNFCPTYAAYTSPTTPNKQRETPKQQAMASPTGRSSTCHLKIPDFLVGSIGQSVPAVGLGTAFHPFVAEDVKTAVVSALELGYRHIDTAALYASERVVSEAMAEAVQHGIVAFREELFVTSKVWCTQCHPELVLPSLRESLLNLQLEYVDLYLVHWPMAVKPSKPHFPMKREDIVPLDLSGVWQAMEECHRLGLAKMIGVSNFTTKKLQELLAIAKIPPAVNQVELNPTWQQKKLIEFCKSKGIHVAAYSPLGGQRISEMNPVRHSDVLEAIAMARGKSVAQISLRWIYEQGVSMVVKSLRKERLKENMELFDWELSDDDRFKISQIAQRKLITVKNLLCPEGVSSVDISDVDVLEM >Et_2B_020536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21089769:21094028:-1 gene:Et_2B_020536 transcript:Et_2B_020536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGVEPPAPPSALAARLVARGVRPRRISAKRSWPPGCGRFPAPPPPAAAAGDGEKGADGRVDEAIDPAAVPLAAQNGALHQHVKDKAEEAAATAAVQPAAQNGAPHQQGKDKVEVAVAPMAVPPSAQNGGPHQQGKDKVEVAVAAAIVPPAAHNGALHRKGKVKVEVAFAPAAVPSVAQNGALPQQGQNKVEVVSAATASLAAQNSAPTQKGQDKVVEAVAPVAVSPEERNGIIPHALPQQGLEGDRGENGAAGSLINGTDVASLDGQEGNRTVQVKVPVVSGTDSGIAGAGTSAQNGGKGAALLQAEEGRDGDGSGGVMMDEVAGDGDDREMRNRTDCGEVERNVNVVQGSRKKRWLMSAVNPPPKRRAVSAVRKFPPGCGRAAVATKGSGVSKVSAIRTFPPGCEKAAVATDSSGILEVLPVRAFPPGGGRVAVTTDGAVLEVSPIRSYPPGCGKAAVTTVGSGDEERMAVGATTVANCDASATEAVAALPVSGGAASEKVEGKRGVAEGSSNAHNKIQESKLDGSSRKDVLKTPTSRAIDTNTKGKRSDGDKMNATLLDNAKASGERNMQRKTLSTKKELVNSNAKMKQDKFDLKPKGDGISKNTPARSTESKYGKHVTTNQIEENDQMDLVPDKIIVQALMAPDKCPWTQKKRSFVSASKSLAPRKRTKGKDAAPIKQLEWKVASSELINDETIDDNEDPYVEDDENSRAVVLYDEKREKKEFTANLLPSVPSGSHHGQFGDDDVDARRKVRKLLQKFQLLCRKFMQLEEQGTRKVGRIDIAASNALKKDPTYTKPEAIVGNVPGVEVGDEFHFRVELSIVGLHRPNQPGIDSTTINGVPVAISVVASGGYPDELSGSDELIYTGSGGKAVGKKEAEDQKLVRGNLALKNCIETNTPVRVIHGFKGQSRGEVAHSKGKQVSTFTYDGLYNVVECWQEGPKGSMVFKYRLRRIPGQPQLALHLVKVTKKSKVREGLLLPDISQGSERIPICVINTIDGLRPAPFKYVTKIIYPPWYRKEPPTGCDCTNGCSDSVNCACAVKNGGEIPYNFNGAIVEAKPLIYECGPSCRCPPTCHNRVSQHGVKIPLEIFKTGNTGWGVRSLSSIPSGSFICEYAGELLQDKEAEKRENDEYLFDIGHNYHDEELWEGLKSTPGLESSTSSSKAMEGFTIDAAECGNVGRFINHSCSPNLYAQNVLWDHDDVEKPHVMLFAVENIPPLQELTYHYNYTIGQVEDKNGEEK >Et_6B_048802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13922164:13924042:1 gene:Et_6B_048802 transcript:Et_6B_048802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFISLLALAGGVAVSWQLFGSGHRVRDEKADDDKLPAVLPERRLPLPKYGEKITVLSIDGGGMRGVILSVVLARLEEHLKEDKNNEDPRIADYFDLIAGTSTGGLIAAMLAAPDMKSPDGRPKKAEEITKFYEDKGPTIFSLRRSSFWSTATKRSFPVAVVEPCIFTKNRLVYIYSIASYKIRGLYIYILFFLEHRLVYDFLACLACRGRALLGWADYCFGQKGWWRYDFVTRLWWGPKYDGKALERVIKEEMGGITLGHTVTKILVPAFDINQRSIKQFGLWTHPELHLKHLCMATTAAPVYFPAYSFRHGGEEFNLVDGGVAANNPTLDAIWCIIKEVEAKNPDFHNNPGPFDIKKLLVISIGTGYAKQEYTAAECKKWGLIGWLIKRGRRPLLDIFSNATTSLIQCSAEYLFHLHRCHENYLHINPKLEFDVSLDDATKDNMDNLGIVGKHLLDNEKEMKVRFEEKKWERTAAGDNTNNQALKIFAGKLIAERNRRVDAT >Et_4A_032499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10840886:10846454:-1 gene:Et_4A_032499 transcript:Et_4A_032499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGAGPCGSGSAPRAVAPAFPVTGNALFPFAASTDTAKAARQPPRTEPIRHSLSSSSVPRHHLDRSVTPGSNRTVTVTRGGEISCAEPVAPRQPCCRTPQPPRTQYGRGAWPPRTGALEPPQQEATEAIADRVHRYRGVLMVLLAPVLLISFVLLLMPRAPASASGGGLLAAGGRRWGPRAIEDGLRKYAVIFDAGSSGSRVHVYCFDGNLDLVPIGKEIELFKQKKRGLSAYAKDPQEAAESLISLLEEAEKVVPAELRAQTPVRVGATAGLRALGSERSEAILQAVKDLLRDKSSFKSQPDWVTVLDGSQEGAFQWVTINYLLGKLGKTYSNTVGVVDLGGGSVQMAYAISDKDAAKAPELSDGEDSYVKKLLLKGTTYYLYVHSYLHYGLLAARAQILKAGEGNDYSNCMLEGHHGKYQYGDDICDASGSPSGASYSKCRALAVRALKVDEPACTHMKCTFGGVWNGGGGDGQKNLFAGFVNPKAAVAKVKPSDFEEAARRVCKLNVKEAHATYPDVSVENIPYLCIDLVYQYTLLVDGFGIDPYQDITLVKKVPYSNSFVEAAWPLGSAIEGETVYL >Et_3A_026667.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:12809529:12809783:-1 gene:Et_3A_026667 transcript:Et_3A_026667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPFRTAFRGTFVVNGGYSQEEGDEAVGDAYADLVAYGRLFLANPDLPERFARNAALNKYDRSTFYTSDPVVGYTDYPFLHQL >Et_7A_053116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7012414:7014372:-1 gene:Et_7A_053116 transcript:Et_7A_053116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGKGVSTSVAISNAITNLYATVFGSCHRLEPLPPEKRSMWRREMDCLLSVCDYIVEFFPSKEILPDGTTREVMATRPRSDIYVNLPALEKLDDMLLEILDSFQKTEFWYVNDKGHKDDTAATPCRPVSHRGEDKWWLPVPCVTKPGLTESARRDLQQKRDCASQIHKAAMAINNGVLAEIRMPDLYKQALPKCSNISYGSSELPNPNTDSESGMAPNNVQCGRASVGDMIYRHMSFPGKFSPGYLLDCLEISSEHEALEAADRVEAAMHVWRRKASQSHSRTPWSALKDLMESDKNVMLASRAEDVLLCLKQRFPGLSQTTLDSSKIQYNKDVGQAILESYSRVLESLAYNIVTCIDDVLFADEAARKIA >Et_3B_031211.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21253080:21253541:-1 gene:Et_3B_031211 transcript:Et_3B_031211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPYSSARPWLMPAADVVVKPEPVVTVAALRAEEEDSSSRPAEPGDDGDAEAAAAEEVGRAVAESPVLVVGRRGCCLSHVVKRLLQGLGVNPAVHEVADEAALAAVVAEAPALPAVFVGGKLLGGLDRLMAVHISGELVPILKKAGALWL >Et_8A_057354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23944031:23944865:-1 gene:Et_8A_057354 transcript:Et_8A_057354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKMVCARAQKVHRAWDDLLESLIDDHQHLSSEDDDSEDFIDVLLSVQQEYNLTRDHIKAQLVIMFEAGTDTSFIVLEYAMVQLMRNPRVMANLQAEVRIIRTNKNKDDMLLSSKRRSGCTLLVPHLSMADCDIQGYTIPAGTRAIINDWALARDPTCWEKPEEFMPERFITNARAAAMDYKGNDCLYLPFGTGRRICPGINYAIATIELMLANLVCHFDWKLPPVVPAIDMTECFGLTVHRTNKLILLPVVPPQD >Et_5B_043764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15661169:15661440:-1 gene:Et_5B_043764 transcript:Et_5B_043764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLFLTDPENGIWHTACRKHKVSIPCKAIVCADDGRLVVYQDASGMLRICDSETHSFVEVRTRPLDSGTLFTRSLLSIHEGDVV >Et_3A_024951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25663493:25669808:1 gene:Et_3A_024951 transcript:Et_3A_024951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPQASTAVRGSGNGGDGRFRNAVGGVLCAFALILIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLSKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWLLNSLNIPLSVETVCVFTAPIFSANASWATYLLTKEAKGPGAGLMAAAILAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVVLGTLLAALVPVVGFNSVLTSEHFASFLVFIILHVVALVYYIKGLLTPRLFKVAMTFVITIAILVALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFLPLSDASSFLVLYLVTSVYFSGVMVRLMLVLAPAACILSGIALSEAFKVLTRSMKFQRPSSDDVSSTAGDETSSTPANSTKNQCKTSVKSETVTKQKPSKKNRKKDQDSEGSSPVDPTREQKLLVLPCGPSAVGIMSLIVLCGFYVVHCVWAAAEAYSAPSIVLTSRSHDGLHVFDDFREAYAWLRHNTEVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSQEKEAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEADYLRDGNYRVDAHGTPTMLNCLMYKLCYYRFVETDGKGFDRVRRYEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPQKNRVRGKLQLKPVSQATCSSKTSSMRKSGGKTNPWQ >Et_1B_013612.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2929:4116:-1 gene:Et_1B_013612 transcript:Et_1B_013612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQMMFPMWAPPPAPPSDDLAAPPQPFLPPPNRGWKRKNPPSSAAGYQPPALGDLQVQNRAKARRWFKNPNTNRTKHHFFPKNKAAAPRNTTSYIIRAKRAGGIASLVSPCPVTPAVLPTPRLSPSREGLADMAQAQWGVDGYGSMKGLIRLRSSPQPANPNATASDDDEDGNSSGSDVEEHVEVERRLDHDLSRFEMVYPAAGEDAGGYVFEEEDDYDQDAHVARLEEENLTLKERLFLMEQEVGDMRRRLEALEARFSQTGGIGGGRENPETDDVHVVSENSSEDNVDRVTDVGSHQKHISVGSEVELDAGKAAVDSEKELDALHSEKNDAEKAVVGSEKSNVEKASADLEKSGELSVEKGGVDSEKVAVDSEKRDEHDAEMGRCSVGEEL >Et_4A_033127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18919409:18925336:1 gene:Et_4A_033127 transcript:Et_4A_033127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEGIEVEAGCRGSFRAAAGCELTKPKRWGMPRRGRGRGRRRPGLRIACNETLGEGRGVAAGGRVLIGGRQAPNAFSERNITDNECSPKLIIGSVDKERVRVRYYHVALKMVLASKSMIPPMLKEDCCWAKFILWQDGAEKVRGKESMGDEVLQDAKKRMQQYTAKNVATKRLKVDGEQKKSSPSQDKQGDENSKKRKKMLTGENARMCHQCQRNDKERVIWCNLCRNKRYCVPCMQRWYPDLSENELAAKCPYCRRNCNCKMCLRMQGVKMLSFSCLPYGLLFLVKQPRKKGISEKHRFHYACHVVRLLVPWLRKIRQEQMKEKELEAKIKGILMNEMKVKNAEHTLVERQINKCKTSIVDLHRSCKHCCYDLCLSCCWEIRNGETPGEEVKIVCCEDRGRDYVFGAIAGKHKKYSNVDPWNDVTASEDPSNPLPLWKAKRDGSIPCPPKELGGCGRSNLDLKCLLPDNMLSKLEERAERVVRSELFAETVPQKGDQCPCYDHSGRLRMQSVWKAANRKGLVDNYLYCPVATGIKEDDLAHFQTHWAKGEPVIVPDVLQLTSGLSWEPLVMWRAFREKKTNGRIEDEKSAITAIDCLDWCSVEVNIHKFFMGYVKGRTHLETYWPEILKLKDWPPSTSLDQRLPRHGAEFLSALPFSEYTDPRYGPLNLAFKLPDVLKPDLGPKINIAYGFYQELGRGDSVTKLHCRMSDAVYILTHTAEVPYETHHLQLIERTRKKMREQDLQELYSVLQSGTECGSQSADSRVLTADRTSKASCDDKGKPSSHQSQAQSETGQWSDHNHTYQENAKGVLGTGGALWDIFRREDSNKLQDYLRKHASEFRVEPWTFEQKLGDAVLIPAGCPYQLRNLKSCIEVAMDFVSPESVGECIKLTEEFRRFPSDHRAKKDKLEIKKIVLHALNEALNFLDAYYSSKGSARGHPSVDHYRDCVVGKKCQARAHPAGQSRQDNTADQGHCHSNLAAVSTDDVVVTVPSSRAQDVDAQVTTTSKQTALEIPPLVGQLSKSTAL >Et_9A_062671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:329935:334637:-1 gene:Et_9A_062671 transcript:Et_9A_062671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKSKFKLATAIGIVLSMLSLLVHLFLANYSAGGITKNNMHMDDVLPFGLRPRPRRLWGPLRRLDHLHPFSQPRKPYAAPSEHNGFIYAKLYGGFEKIQSSICDLVAVARLLNATLVIPEIQATTRAKGISPKFKSFSYLYDEEHFVAALSNDVAIVHGLPKDLRETRKRIKFPTVSPRSSATPEYYRTEVLPRLIKSKVIGIIVNGGNCLQSVLPSGLEEFQRLRCRVAFHALKFRPQIRALGSEIVGRLRASGHPYLAYHPGLLRDTLAFHGCAELFQDIHTELIQYRRSQMIKRGTVKEQLTVDSISRKMGGSCPLMPEEVGLLLQALGYPPNTIIFLAGSETFGGQRLLIPLRAMYANLVDRTSLCSQRELSDLVGPEGPLASDLPHPPPPKSEKKLVEEWKRAGPRPRPLPPPPARPFYAHEKEGWYGWIGENDTEPDPSPIEFRRQAHRLIWDALDYLVSVEADAFFPGFDNDGSGWPDYSSLIMGHRLYQTPSGITYRPDRKIVAALFANVSDNRYHPPRNWTIAAREHLNKSANVDGVVSSAMSSKPVSFLSHPLPECSCRTAVSAAVQPVKDSHGRHLFGGEEECPDWMARSLTTVSAKNNEPQSEDYEGELLEDDSSPDTQQESDRSDTNKSTEQDEEMDPDD >Et_8A_058147.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:21626475:21627134:1 gene:Et_8A_058147 transcript:Et_8A_058147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGGGIPRWYKVVVPWRFGYAMLETPEVTGSTVADGGLLGSWSDGDEELQRHVGIGDNKGDAFPNDTSCIHSDEGVLKKKAAATDLSGVAPQPSDNLQGFQFNGTAEKSIPTGKDFIPAEGFRAGSTVTGCGLSASLSDVGLGQQSGVGTGEVSKVSGDGIGGDYSLGGNAAADEVEGNSAGDEGLENKAASTEPVGSAQPSNWQSFQPVMVAASGGR >Et_1A_008967.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2283081:2284124:-1 gene:Et_1A_008967 transcript:Et_1A_008967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLEQIQRTLGDVDVRVPDAFRVALGLGNRVSPTPAPGDDDDVADFVASLVQPLATESSDDGDPAKEPGAAAPALKIDLGSCYVPLHDHDAHFCHADAGVFGVADGVGQYMDDGVDAGAFSRGLMSSASAEVSGTGPVGTPVYPYSLLEKAYEKTAASGAPGASTAVILSLIGKSLEWTYIGDSTFAVIRDGKIVFRSTPQQHLTRTSRAKLRFASTPARRNQHLCSFSDPPFQLSAEAERSDKVADAQVGQVAVRAGDVVVAGTDGLFDNLLDEQLERAVQVGAKLGFSPKNMVDIFAGVAYERSMQPTSKKLRKGKPDDITVVVAFVLQSDSTYSVDAELPQRA >Et_9B_065025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20591088:20592225:-1 gene:Et_9B_065025 transcript:Et_9B_065025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSCSGGGGGVQAKLRKGLWSPEEDEKLYNHIIRHGVGCWSSRCGKSCRLRWINYLRPDLKRGSFSQQEEDLILALHEILGNRSVQSHFAMPSISQRAACLRAERIDFDNRWSQIASHLPGRTDNEIKNFWNSCLKKKLRQRGIDPATHKPIAEALLPDNDDGAARDEEDHKPAAAAAQKQQPAAVFDPFPVTDFGGGFDLAAGVAALYGGQYDAGKAAGFVADYSSVLDVSENLGYGESSSNSSNWNCAAEMSNVLDSDVLDWASNGGAGAKAEPFTEMEQQPEHGGGYGDDDALEHKFALPCHEQSLAHFDFSLEYF >Et_4A_034913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7829655:7832177:1 gene:Et_4A_034913 transcript:Et_4A_034913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLERDDALDTVLEVPIPEEMFTGGGGGSRGSKFGCTNVKAWMRSHAADRSGEGEPCSMTRGELQLMLGVIGAPLIPLPVHHAKQSPCSVLCEQLKADPIESSTAKYIVQQYIAASGGEWALNKVTSMYAMGKVKMTAAELNSNDADGHGGGNNNGHRGGKKGGKGGAGGEIGGFVLWQKKPELWCLELVVSGCKISAGSDGKVAWRQTPWHQSHASRGPPRPLRRSLQGLDPQLTASLFADSVCIGERSIDGEDCFVLKVESEASSLRARNSSSVEIIRHTVWGYFSQRTGLLVQLEDSHLLQIRSTGGAGAGSVFWETTMESRLDDYRAVDGVNIAHAGRTAVSLVRFGDCHDGNTRTRMEEAWTIEEVDFNIWGLSMDCFLPPSDLREGKEGQDVAIVNKHDARPPPIRIPAPVAVRVGPSQVAAVNVDDSDSLIARS >Et_9B_063808.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15540438:15540761:-1 gene:Et_9B_063808 transcript:Et_9B_063808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFVGGVEQGAGRVLKEAAGRCLGCGGAADLVETEKVLKLFFVPVWRWPGKDPAYLCRECGLLAPGSLGAEPGPPPLLPRDGRCGACSRAVDPQFRFCPFCGSAL >Et_3B_030913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8762463:8765839:-1 gene:Et_3B_030913 transcript:Et_3B_030913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKRVQEKMTALFVLNCVLKTLPPATDAWPAAATATATVLDELREVADSTDSVIRTDPDAVALASTDFGHVSSSPRPAAVFYPSCAADIAALLRASCASPSPFPVSARGCGHSTHGQATAPGGVVVDMPSLGRGDSGSSARRLAVSVEGRYVDAGGEQLWVDVLHASLAHGLTPRSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVHELDVVTGTGEMVTCSRDKHGDLFHAVLGGLGQFGVITRARIPLAPAPARARWVRLVYADAAALTADQERLIDLRGGAAPVVAGLMDYVEGSVLTDYQGLVGSWRSPASSSAFFAEAGAAARVAALAEEAGGVLYCLEGALYYGGAGDTTAEDVDKRLELLLRELRYARGLAFVQDVTYVEFLDRVHAGELRLRAAGLWDVPHPWLNLFLPRSRILDFAAGVFHGVLRRDRGALGPVLVYPMNRDRWDPDTSAVIPRDEDVFYTVAILRSATAGDGGDLRRMEEQNAAVARFCEDAGIPCVQYLPHHATQAEWAARHFGPGRWARFVERKRKYDPKAILSRGQRIFSYPLA >Et_2B_020944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2545686:2546844:-1 gene:Et_2B_020944 transcript:Et_2B_020944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAACSWIAPTLATSTSLLGPAGSVLLDMRCYLADRRNATAATSVTSRGLPIQVTLHAARPPALSHLCVHCPGCTDFPGGSPPKIVATHADLVLLRVPINPDAVYIASCTEKVPRRDPSSGEMVRNEFDLHIYRSDDVAAGEAGWVSKRLSVAEPMRDRLVPIPEADFLMYHESGKAITIGGERGTVAWVDLWRGVILCDVLVDDERPVLRDVPLPPPATGNWDYLLCLSKHRNTSRNDPERLQDRRGHR >Et_8B_059787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2795681:2799642:1 gene:Et_8B_059787 transcript:Et_8B_059787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERSESDHGSPREEESLISLLPMREGWWQPFFSLQGNWFPPSAVKSIKLVQGQFQPRPDDVILATYPKCGTTWLKALAFTIINRSRHPIIGDNHLLLTNNPHDLVPFLELPDRQLYPITELEALPSPRILGTRAVPDKPGALYIDTWVSSHVPVSGAQGCACLYLKVNKACFIEFDKAFEFFCEGFSFYGPIWEHYLGYWKQSLMESSKVLFLKYDEMMATPVKHVKMLAEFLGVPFTDDEVRGGVVEEVVKLCSFEKLKGLPVNTYGVADRIGVGPINNSLFFRNAKVADWLNHLTEEMAQKVDNIIEEKREAESLTPTLLPREGWWRPLFLFRSTWLTPQVHKSATLVQAQFEPRADDIILATYPKSGTTWLKALAFTLANLTQHGVTGDTHPLLFNHPHDLVQTLEVPDRALRPVAELEALPSPRLICTHLPHAWLPSGTMSLGCRIVYLCREPKDVLVSLWHFANKMRPDFFVQFYRAFELFVEGVSFYGPIWEHYLGYWKQSVIEPDRILFLKYNDMMADPTKHVKMLAEFLRVPFAREEERANVPEEVVRLCSFENLKSLSVNSTGTSDRIGGLPMENSAFRSGKVGDWHNHLTEEMAKKMDRIIEEKLQRSGLTF >Et_4B_038519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29595679:29597591:1 gene:Et_4B_038519 transcript:Et_4B_038519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSEPEVIRDKAAMRAWSRRRRAEGKAVAFVPTMGFLHEGHLSLVSAAAAASTGPVAIVVSIYVNPSQFAPTEDLATYPSDFAGDLRKLADTGVVAAVFSPPDLYVRGSARRSADASWGGAASCVEEADGNGHETWIRVERLEKGLCGSSRPVFFRGVATVVAKLFNIVEPDIAVFGKKDYQQWRVICRNIKHCSVRDLDFAIEIIGSEIVREADGLAMSSRNVHLSREEREKALSINRSLANAKTAALSGNNHSQQIKDQIAQALTEGGGQVDYVEIVEQESLVPVERIDRPSVICVAAWFGKVRLIDNIEIGTPST >Et_5A_041486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23500517:23505164:1 gene:Et_5A_041486 transcript:Et_5A_041486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALPHSHLRLHLLLPPHHTPNPSSPRRRRLPILIAVARLQNPTTSTHPVLPPPAPPPSAALLAAEGASLAPRREHRFPGSVASPPRPADPASTEAAEAEDAVLRRALEVRRAVATEVLVAALRGGKVGGLTYIKNLIARMGRFVDRVVVEAAAMRRDRPELAHMSFNARARTYIQESGLVELVKWFKHNSMTYPQIAKVVCACSGDLQKVRRMIQWLRSIYVKGDFLGRVLANGESFLNKSFEELEVTIDYLESCGVRKDWIGFVISRCPQLLNMSMDELETRVKFYTDMGMNEKDFGTMVYDYPRALGFLSLEEMNSKVQYLKEFGLNDEEMGRLLAFKPQLMACSIEERWKPLVVYLYHLNISRDGMKRMLMVQPTIFCLDLETVIAPKDIGVRSDAIGNVLVKFPPVLTYSLYKKIRPVVIFLLTKAGVKQEDIGKVIALDPQLLGCSIAHKLEVSVKYFRSLGIYHHVLGQMVADFPTLLRYNEDILRPKYQYLRRVMVRPLKDLIEFPRYSLEDRIEPRHKTLVVNRINMKLRYMLTGSDEDFARRVQEAVERRARFEAGNAIVDTSDGASEMSREAEATAAACQTSCVVD >Et_2A_017609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4054775:4055379:-1 gene:Et_2A_017609 transcript:Et_2A_017609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGSCYHHPSSSMAMISRRFGTRKEAFRFSISAHRSSSSSQLSVAVTATDRKKVFEDQLRGIVCYRDEKGEMICEGYDEGPRIGMRLPEKACFPWPVGVQVTDFIQLATLRVFEDADVLHLNGDQKRQL >Et_3A_023103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27919281:27920291:1 gene:Et_3A_023103 transcript:Et_3A_023103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLQQRSGLLVGLCRLLLRLLGLERLQLDVVVVSDAEEGDPVAEEVDGGDGVADDGPGEGDEQPVLDDAGDVHGERGGLADEEEDGEVEREGAERVGAEDEEVRLEGADLAQPGQLDEGPGHDEEAEAAGRDVVERGDGVERDALGCEEDLDEDEARGLERDGGKLERDAADVEARLPVGGDGDAEGDGEHVEHGGRLEGLLVEEHPDGVDGDGHERLEPEGERVERADGEDGGDVELRRHGGGGGEVDDAEDADERDGEGGAEGHVHHGEGDGEGPVVHLGVEDVLVVDDDGEGEEDPHRHVHVRHQHLAEHRLRHAAHLA >Et_2B_020526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21051463:21052366:1 gene:Et_2B_020526 transcript:Et_2B_020526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMKQPEQRHKEEEECPCSRTARGDRYSLTEILARLPVKFLLRFKSVCKAWQSIISAPFFIQRQPRCSASKWEHTTRLSYSALTHWSAPCFFAHCDGLVLDRSHWHHALPLPPGHQESRRVARQRQQQQHARSWSLPLCGPSLGRDPCTGKYKVVQRPSSLSRCVEHLSRMNGDGGVHRRRGWPFLEGDEA >Et_1B_013213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6443950:6457432:-1 gene:Et_1B_013213 transcript:Et_1B_013213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELTVTPLQVAVLSRVRHPNLVTLIGACREAFGLVYEFLPNGSLEDRLACTNNTPPLTWPVRTKIIFEMCSALIFLHSNKPHPVVHGDLKPANILLDANFVSKLGDFGICQLLIQSTTTTTTRPYRTTNPKGTYAYMDPEFLTNGELTPRSDVYSLGIIILRLLTGRPPQNIAEVVEDAMDRGELHAVLDPAAEDWPFVQANQLAHIGLRCAEMSRRRRPDLAGEVWKVVEPIMKAASLTAGRPAALNASPDDAHAPSYFVCPIFQEVMSDPHVAADGFTYEAEAVRGWFDSGHDTSPMTNLKLAHCELTPNRALRSAILEWQQHQQQPHSSPVSLLTAAGMERAGGVHTPGSPALEDTVYVAVDEDHGRSTLLWTLQNLVNEDTKIVIAHVHCPARTIPVMGEEVHDYMMNPQVVDAYRKKERAKAEAKLDEYVMMCTRRKLHCSKVTIEKDDVSKGLEELITLHGITKLVMGAAADERYSEYGQVYHGMFCSLNCKISALLSWNLNWSKKACRLVKVASKSCKIWFTCKGHLICTREGDRKTFPMLPLPIEEGLREAQQRHTSPKLSLLIDEGLREVQHFREKAYEESTKRQKAERDLVSALQMVGEWKMLHQHEMWQRQAVEESYLRDRKEVREMIRRFEMIYDQLDDVQELKRRVTEMESARKYHKEELAMSKYFLERLQADNEKLQQGLKRCTTELESARKDHEKELAASKFHVEMIQADAEKRKHSIEKLRQELNRRIAEMESARKYRDEELATSKFHAQMLQADNEKQQQELNRRITEIESARKYHKERLAMSKRFIEMVQADKRGLQQKLDAALAEAEELRLKSCLSSASEADGTTPPSYFVCPISQKFHLMNGEQEVMNDPHIAADGFTYEGEEIRGWLHRGHDTSPMTNLKLAHCELTPNRALRSAILEWQQQQRRLLLDMFEPPRSARRSPSSSEGSLQPDEKRTPAQAEDKVFVAVPEEFSDGESTLLWALHNLATDGCKIVIAHVHIPVKAPAMVKDNASVKPQEINEYRRLKRAEAEKNLDAYVLIAKCTREDLKIGCEKVIIEMDSVAKGLDELIARHSITKLVMGAAADQHYSKYVSRLTNLAFSMSLFRGNVHTKFKDSTRVDEDGSAIVQDMCSQPELYLCSFKLGIFAGTEVCKALQIAEDSLSMHMKIFRRANKNLSTIPASSPRRKAPLGTTHSISKQLKSVELTDLEYEASGSNGTTSSLTATTMTDWEYFFGDWGMTVYGSSRADDAISIYGLTTLPATIVGTNELTPIMHSPSHDCDNIYLKSASTCDWEDKPSAEEELYHKPHDPCTNAEILKGEDDEEINKLRKAEMDLLSALKRIKESEDLYLREFSERKEIEKTLATQKLEIDEMRRRHCTLYDELHESKKQKLVLEQRITQIKSAAKDYVEEITESFIKQLCKESKTRQRTEMDLLSTTQRVKEAESSYRHEKARRENLEEKVARQRLEFEEIRRQRDELYYELQDVKEQKLKLERVNASEETNRRRKAERDLISALHRIDELEHRHMQEMKKKEAMEETIARQLTEIEEAKRQLHEVHGKHMIEMKYAVKAHEEKIANSMHLLQELQDKNDKLLQERNIAFTEAEDLRPKYKQKQRAFMTTETLNIEFSFVELQQATKDFNAEFKISEDEFASAYRGFLRNTFVAIKLLHPQSLQGEVEFHQQVAKLAKVRHPNLVTLIGACPEAFALVYEFLPNGSLEDRLLCKKKRIPPLTWKMRTRIIAEICSALAFIHSHKPYPVFHGNLNAGNILLDANFVSKLGNLGIGQPLKQSHITIANMHHHHTNNHRTLCDIDHGEFLITREFKLWSDVYSFGIIILQLLTGRSSEKIVEIVQEAMEKAQLHLIMDAAAGDWPFVQAKQLADVGLRCTKLSGREQPDLAGEAWEVIEPLVQAATLTKRASASALPSDDTSIPSHFICPIFQEVMRDPHIAADGFTYEAQAIRGWLDSGRNTSPMTNLKLTHGELTPNRALRSAILEWEQQQEEK >Et_9A_062775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4789026:4790257:-1 gene:Et_9A_062775 transcript:Et_9A_062775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSRFAFVLNHLSELAQPARPRRDETAAAGSPSSSAPPPSWVILGSIPCVSASDLPRGADHALSLAPPPCVTLLTIARRLFPDGAVTSGNFPSVKAVVPSAGLLLLHADQGRPKRPITFNGPFGKELFCGEMVPGYFVLDASSSALSAAAARALPEPEVVMHPGNIGILASPAGDGHYVVAELQPVLGSEEAKLLCFSSATGEWEFKDVRYPLPYRIKAPNGVVAHGGRLWWVDLSIGLCSCDPFADAPALTFVPLPPGTLLRCREAWGVLDRWRAVGHGELRFVDMYNNNTCFDAPVVTVWTLADPVARKWAREHEASFADIWAHPSYKAAGLPEKMPVLAVVHPQRPYVVYFFLEEYLFGVDLRDGSVVECEEYELVAPPREHVATRFVHAWELPRALAESSSP >Et_7A_052872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21295355:21303334:1 gene:Et_7A_052872 transcript:Et_7A_052872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRLHRPEPQAHHVAAAAPADDWGDGSWTVDCSCGVTFDDGEEMVSCDECSVWVHTRCARYVRGVHTSFSCHNCRSKRAPSSADEAEVAELLAELPTHRPPPLFRRWAEVPLPARVHVHGLPGGGDSALFRGAPAFSAALWRCTGYVPKRFGFRYYEFPSWADDKDNGADALFALAREKRQETSDSVPIGGVEAKKEKHYIRSLSCRGKKIDGGQPPVPPLTEAKKREPDIREGRYQQREGRTVPDAREHHYAETNMASSDFRSVKTKKKMEDPLEHSGEKCPKEIPGMLNKAGQKDRLKLEAFTVEQEVHSGFASAEVTMYKKQAEGKEGLKSCVGNAGPKKMHDMRGLQKQSNPTSNLQDVAGLPDVQNVQSESQMIKTEPGSVGNDAADAIQFSDDHICDKQGLGDAAGSSVVQRDSSKSTYASVCCEHPKSETENPMHKDVEHPNSLPGVSKVCTSFSGTISIPCELPQDKEPSSARNTDHLAKKELVSPTDSKHESAKFSEESSQQVTRCSEKVQPKNSIASAPKSSQESRIHTSTVKPRLPVSKEQSQKTTTTGGASAKSFHGEVPSLQSRNKAVACSSSQRKDKIHQRTVHVIHEGSVNSTSTELRASDSTASLSDEQLALLLHQQLNSSPRVPRVPRCHQAAGSQMLHPTGASVFSKRSSAHGGRDHSTVLKKRNREDTMKDGEDTRRIERASFVERRHRDSSAEHVPSVKDSCRSAENMASEQRNRGVANTGLTKEDSVDSSVSRSLPGLIDEIISKNGNITHEELCDAVHQHFKDLRKSNEEDRACPSFLHAINDCLGKKREWAHLVDQAPKLMLFQTNSNKRRKGESDSLLADVLETENMKPVRDSEEGSADLHQDDPPRGKRKARKRRRLELRGRRVRDKRKRSSIDSSSEDAAATLSDSSSDRNDTPMDDVNQEDNSVAPRPGSYMFKLIGHVVLVMK >Et_8A_057028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20304568:20314733:-1 gene:Et_8A_057028 transcript:Et_8A_057028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPMVVVDVVEEEPVEVEGVKVPVDTSKPNPNGLEFDNLYLDMNGIIHPCFHPEDRPSPTTFAEVFQCMFDYIDRLFIMVRPRKLMYMAIDGVAPRAKMNQQRSRRFRAAKDASDAAAEEERLREEFEREGRKLPAKQQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKDADLIMLALATHEVHFSILREVVYTPGQQDKCFLCGQVGHLAANCEGKAKRKAGEYDEKGEAIVPKKPYQFLNIWTLREYLEYEFKMPNPPFEIDVERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPAMGGYLTDSCTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIKRDKAQAKRGDDLNPHVKDDLIVPVANFRGSRLASGAVPSPYENGSHRKENSQAQKAVRVSSSESSIAAAIVEAENDLEAQERENKEDLKSMLKNVLREKSDCFNSENPEEDKVKLGEPGWRERYYEEKFGAKTPEQIEEIRRDVALKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLRSLSELNITFELGSPFKPFDQLMGVFPAASAHALPLQYRQLMTDPSSPIIDFYPTDFEVDMNGKRFSWQGIAKLPFIEEDRLLAEIKKVEHTLTPEEARRNSTMCNMLFVNGSHPLSPYIYSLNSQFGHLPDKERNDIKEKIDSSSSGGMNGYVALCGGDPCPPVFRSPVDGLEDIMDNQVICSIYKLPDPHKHIARPPAGVIIPKKIVEAGDLKPPPVLWHEDSGRRAYDNNNRRPYDNNNRRPYDNDNRRPHYNDNRQNPAGALSGRHLGEAAHRLVTNSLNVRGGSGQYNAPSMPYQTIMNGTNHPNGRHHTGVPPRMEQPAGRPGWHVPGDNVSNGKFPGYASSSSHYQQERSGYSQYGQDNRGRQQSHSHSRESHHDSRGRVPPPSAYHQNRGNPNSSHPAAPPPGTEQIWQPPPTYSGGYSGGYRPAPYGAQQWQQRPYGGGTPPTRPDSQQSQNRYSNLDRSSNKRDENEDLDLDLDDLDDLADALGESLPAFFLLDFLGGFGCIPAPPIKQDVVGGCRASIV >Et_2B_020573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21479377:21479734:-1 gene:Et_2B_020573 transcript:Et_2B_020573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIATTWPSKYWRTDIGREPGTWTESLTSRAARRPRHRPSNDGERCRHRGSDVYSFGIVLLEIVSGRQPVEQAPPFVLLKGSFGWPGIGRDRGVIHVDFAAAD >Et_1B_012010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2844844:2845146:-1 gene:Et_1B_012010 transcript:Et_1B_012010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRPDFMLCLIAGEQVLVSRPQPAPSLPFPFPLPSLLLAFRIPSHHNPRRRLVRCPDRELFPPIAVCAERVGVGRRK >Et_9A_062988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7946431:7951289:1 gene:Et_9A_062988 transcript:Et_9A_062988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVWVVRLASLLAFGLVLGSVDASLGDVDPQYRTCVEECQTTGVIGENIISHCQSQENQTDTSDGGSWYTEEQWKQLNCKADCRYFCMMQREGERESLGLNPVKYHGKWPFLRVSVFQEPLSAALSAVNLLMHFTGWLSFFLLVKHKLPLRPQTRRTYYEYTSLWHIYAMLSMNAWFWSTIFHTRDIDLTEKLDYSAAVALLGYSLILSLLRTFNLDYGWNMKVCVVMAVVQLLTWAIWAGALAMLLELYDFPPYMGYADAHSLWHASTIPLTYLWWSFIKDDAEFRTSTLIKKAK >Et_4B_039881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5009609:5010802:1 gene:Et_4B_039881 transcript:Et_4B_039881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDPPIRGVYERCLPQIDKADICQAKLGIPARSRLHHLITGMCSGRLSSLTLFIAKIDQHKPPRLLYKGDTCIIFHDNKINSSEHYPPTG >Et_3B_028283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12793397:12794328:-1 gene:Et_3B_028283 transcript:Et_3B_028283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTLKKPYLKKLQWGPLAKELLDQLFKGFTVVGSITFVPGDDYGNNLEEADEEEYQGTPTSSSSQRNKRASSSTTISTCINPLKKSKSPMVKDIATTYKESVAVNTKQFQQRVTEKAAFSVKRCQELAFKCGVEKTVDDVYAMSKLFELEYQQEFFCGQLTMEDHGPMFQEEEYNAGITSEDEIMFMCCNSLVQSENMLLQLIPIF >Et_7A_050700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10230602:10234021:1 gene:Et_7A_050700 transcript:Et_7A_050700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSANHWGGSFEIAADGAAEDDHSRNMDLDRGALSGRQHHELDETQQSWLLGPPEAKKKDKYVDLGCVVVKRKVLWWALWVVVGAFFLIALPIIIAKSIPHKKPGPPPPDQYTEALHKALLFFNAQKSGKLPKNNGIKWRGNSGLSDGSDLTDVKGGLVGGYYDAGDNIKFHFPMAFSMTLLSWSVLEYSAKYKAVGEYDHVRELIKWGTDYLLLTFNSSASTIDKLYAQVGHAKVNATEPDDHYCWNRPEDMAYKRPAIPVSSAPDLGAEVAAALAAASIVFRDNPAYSKKLVQGAATVYKFARQMGHRTPYSLRNPDIEYYYNSTSFWDEHMWSAGWMYYATGNTSYISFATDPRLPKNAKAFYNILDFSVFSWDNKLPGAQLLLSRLRMFLNPGYPYEESLIGYHNATSLNMCMYFPRFGSFNFTKGGMALFNHGRGQNLQYVVANSFIAALYADYMEAVNVPGWYCGPNFMTTNDLRDFAKSQLNYVLGDNPKKMSYVVGFGKKYPKQVHHRGASTPKNGVKYSCTGGLKWRDSKKANPNVIIGAMVGGPDRNDKYADSRKNHGQSEPTLVGNAGLVAALIAITNSGRGAGVSAVDKNTMFSAVPPPFPAAPPPPSSWKP >Et_7A_052865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2102429:2104926:1 gene:Et_7A_052865 transcript:Et_7A_052865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQEDRGHRDASITDEPRSTAGADEADLEMGSMSYFLEDIQKERPVRFSSQQLRAFTRNYAHKVGSGGFGVVYKGRFPDGAPVAVKVLNSTLGKRAEEQFMAEVGTIGRTYHINLVRLYGFCFDAAVKALVYEYMENGSLDGYLFDDPRTPEKKVVGFDKLHEIAVGTAKALRYLHEECAQRIIHYDIKPENVLLGAGLAPKVSDFGLARLCDREDTHLTITGARGTPGYAAPELWMPLPVTHKCDVYSYGMLLFEMLGRRRNLQLGGLHARESQEWYPMWVWHRVEAGDTDAVLARAAAAGEKKEREKAERVCMVALWCVQYRPEDRPSMGSVVRMLEGEDQIAPPRNPFAHLSSYNSGATLLQSGHTTTADSYGSSGHVPSVEYKVTVQTKNTKDQAKKT >Et_4A_032717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12960534:12963072:-1 gene:Et_4A_032717 transcript:Et_4A_032717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTDMGRGYDYSPSPPRGYRRRGRSPSPRGHYGGRGRDLPTSLLVRNLRRDCRPEDLRRPFGKFGRVKDIYLPRDYHTGDPRGFGFIQFFDPEDAADAKYHMDRQFFLGREITVVFAEENRKKPSEMRARERVGSRGRSYDRRSRSRSPGHSRSRSRSYSPAPKRKHHSRSRSPRERSASRSPVDSRSRSASPALGGSPRRQRSLSVSQ >Et_1A_007239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32393370:32403322:-1 gene:Et_1A_007239 transcript:Et_1A_007239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRAPEERSVLSEVKKQLRLAVPLVVGCLLQRIILTISLMFIGHLGEFALASASLATSFACASGFYLMTGMAWSLDTLCGQAFGAEQYRLVGVYKQRAMLVLALTSIPVAVVWAFAGEILVWFRQDPEIAVTVGVHVAVCWLLVHRFGLGVNGAALSIVVSYLFNMSCLALYVRLGPSCKNTWTGFSREAFCGIPAFLKLAVPSALMLCFNTYILVFMLPLGLGFAVSIRVSNKLGAGRPQAARLATRVVMLLAFSMSFFVALVLVLSRNRLGYLYTNVEEVALYSSKIMPIFAVCFFFDSVHCVLSGVVRGCGRQNIGAFINLASNYLVGIPAASIFAFVCHLRGKGLWFGIFYGVAVQMLLLLSITLCTNWDKEVSIRDFNAFDFIMHQKQRIGSSALLLPMTREPQVPSRQMDAVLTETRLKEQRKKQISLLILDMGERNAERSVGDPALAHSSSPRPD >Et_10B_002870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12973661:12974727:1 gene:Et_10B_002870 transcript:Et_10B_002870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPTPQTSILLITTYETKPIHKFQFLAHTPYLKVFGIFGTYPLSQGGTNPLTQTVHGIARGLYIERGTASFRSRDIHLSHYRHICPIDTSKGINVALTGSSEIDRIFSRSRKLEESFSLFTIISRLPLLLIDMKIMSCPIMKQRVFADMSFFPNSRLEKEDLRGIYGECGQKSIIESNHNDQINYPHRET >Et_4A_032932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15767014:15773678:-1 gene:Et_4A_032932 transcript:Et_4A_032932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGAETQPAFGATARSSKTPSSVLPMLHGLIVYDIPASIINEVRIAGQFFTFLSSGRGRREKCGVMSVELSQTDPKACKRPSQTDPKARAARLATRRSSPPPSSPTPASGVPRPRTGRPLHNLSSEELIQVRPLLSRRVYLPFLPNQSCSMRPCYDSPDFGRSQTGGRWRLIGSEIFFDLALVETRKHFQHRNCSMAARRNVRYSPLSTEERDDNNLSDGDVDRRFTYTPKSLRKIPWKSIALAIFLLLLGTSLLFLSYFIFTGHMEGDSSQAYGLLFLGFLAFLPVCTHSISSVKMGIAMDSIRVLRYMS >Et_9A_061187.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21271026:21271097:1 gene:Et_9A_061187 transcript:Et_9A_061187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKLVVAGVTCLIPPPPPPPLL >Et_4B_038373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28549575:28550199:-1 gene:Et_4B_038373 transcript:Et_4B_038373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IASRTPTALCKTMMTPPPEPTMAAESRRRRHVLLFPLPYQGHIPCSISPASSTPAASPSPSSTPTSTPLTRRGTRTTASSPSPTACRRLAPRLPPSRTSFRDRLAAVLEEYSRDADAHLLPVVEAATRLSVPTLALRTGSAASVCFATHPMLCEKVLLPSTHRLADAESQRDAPVRELPPY >Et_8B_060687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4958472:4960854:1 gene:Et_8B_060687 transcript:Et_8B_060687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERDARAEGKANGSAAAASIDAFSQLPFIGRPAAAKEKQPPSSGTPGIRLFGFDVPPDAAPAAASRAAADVVKRAGEVVEESPGTTTAPAAAATAETTAAAAGASGGSRRFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAQFQTAMAMHHGQYYPLPDPAHLYATAAFAGYRQHHHRFSAVTPPPPHYPSWAGASRYYNGPGSISQPINGSPVAAAAPGLWRAPSGGVGGVGTQFAARRQERPTPPDLPVLGVGGEDPAVVAGVGSRSFSPSTSSSSSSASRPSPHSMHPAAMPECKDNLSYISMVLVGPTNRVQAIAFHADMYYTV >Et_2A_015492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13791150:13796322:1 gene:Et_2A_015492 transcript:Et_2A_015492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLQCVLVANRDHGVSTHMIRFATLLSKESVVNVKGVVSLPKEPLKSTTQQVEIQVRMIHCTSRALTTLPMNVEDEARSESEFENTVQAGEKFVSVGQDTRLNYRSIDLRTPANQAIFRIQCQVENKFREFLLSKDFVGIHSPKLIGGSSEGGAANGKPACLAQSPQLYKQMAILGGYERVFEILALAENSNTHRHLCEFIALDAEMEINEHYFEVCDIIDGLFVSIFKHLTENCKKELETINMQYPFEPLKYLDKTLKLSYEEGIHMLKEAGTEIEPMSDLNTEAEKKLGRLVREKYGTEFFILYPYPLAVRPFYTMPCEEIISGAQRVHVPELLLRRAVECGIDVNTISSYIESFSYGASPHGGFGVGLERVVMLFCALNNIRKTSLFPRDLQRLFP >Et_10B_004226.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19830887:19832965:1 gene:Et_10B_004226 transcript:Et_10B_004226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPRQCLLLLVLLSSSVVPATPSAAVGGESQFIYDGFYGNDLTMDGQSSLVDGLLRLTTGPESNTKGYAFYAYPLNFSSLPDPDGPSVPSFSTTFVFAIMGTYLDLSGHGLAFVLSPTKDFSSALPGQYLGLVNSANNGNTSNHLLAVELDTIQSVEFKDIDDNHVGIDINSLISVAARSAGYYTSDGLFRNMSLISGKPIQVWVDYDSKSSSLNVTIAPLPAVKPSKALLSVACNLSSLLTTTRDSPVYAGFSASTGHVDSRHYILGWSFKVNGEAAALNYSALSLKTIQELAQQLHPRPQRGRCKATTIYAVLVPIIAICVVVSASLVKVYMKRRSKARKNELDWEREYGPPSFTYKDLLAATDGFKDKLLLGRGGFGSVYRGVLLCSKQTVAIKRVSPESKQGMKEFMAEIIILGHLRHRNLVQLLGYCRHKQHLLLVYDYMPNRSLDCYLHSQETTNDSSLCWAQRFHIIKGVASGLLYLHEEWEQVIIHRDIKSSNVLLDAEMNARLGDFGLARSHDHGADAHTTRMAGTWGYIAPELARLGKATKATDVFALGVLMMEVVCGRRPIWVNATDGEPVALTDWVLATWQGGSITNAIDPKLLLLVEEEEEKEEAELVLKLGLLCSHPVPSARPCMRLVMQYLQRDAPLPAVLQPDNLLPSSYALAQEDDQNAFSYPLTTITDLSKGR >Et_7B_054364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20296405:20312323:-1 gene:Et_7B_054364 transcript:Et_7B_054364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHASPAFAVANPPRVAAATSSPRRSGREQASPAASRSWRAAASWKRGVLAVSPRTPMATGEQVSANATDDVAVASEVRLLLSSSRHLLICQCRLNPSLLVMPHLQGFLSNSRAYWVTRSLIAWDVSDQETSLCLYASRNASMSMSGGVIEGYDFKVELQPEHAGLPTIIFCAAVHILFDHLCSKRYSNVGVPIHMLQVSQKFPFISCYRAFSVPSNVDVGNLVKCQLAVASFDAHGKMRDVTGLQLPGVLDDIFAYTGPLGAVFSEDAVSLYLWAPTAQDVSICFYDGPVGPLIETVQLNESNGVWSVTGPKDWEHRYYLYEVTVYHPATSHVEKSSAIDPYARGLSANATRSWLVDINSEMLKPASWDELADEKPKLDSFSDISIYELHIRDFSAYDSTVDENSRGGFLAFTCQDSAGIHHLRKLSDAGLTHVHLLPSYQFGGVDDIKTNWKCVDEVELSKLPPGSDMQQAAVTAIQEEDPYNWGYNPVVWGVPKGSYATNPDGPSRIIEYRQMVQALNRIGLRVVMDVVYNHLYSSGPFSITSVLDKVVPGYYLRRDTNGQIENSAAVNNTASEHFMVDRLIVDDLLNWAVNYKVDGFRFDLMGHIMKHTMPNGYYQGSETDTRLALATYADHIQIGLAGNLSDYVLISHSGETKKGSEICTFDGSPVGYTTSPIETINYASAHDNETLFDIISLKTPISLSVDERRRINNIASSIIALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNKIDFTYETNNWGVGLPPREKNEENWQLMKPRLENPAFRPSKSDILAALDTFVDILKIRYSSPLFRLRTASDIERRVCFHNTGPSLVPGVIVMSIEDAQNDRHEMDQLDKNFSYVVTVFNVCPHDISIEIPDLASMRLELHPVQVNSSDALVRQSEYEAATGRFKVARRTTAVFVEPRL >Et_1B_012137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29312621:29316461:1 gene:Et_1B_012137 transcript:Et_1B_012137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDALLNSKFYNKCKHAIKCTRTRLDLLRRKKQAMVRFLKKDVADLLINGLESHAFGRMEGLIVEMNQASCYDMIELYCEFIAKQLNNMQKESECPQEALEAVSTLIFAAARFPDLPELCDLRHIFTEKYGSSIESFVNQEFVQKLQNQTFTNEEKLQVMKSVAEEFSVPFDGKALEWKITCAPQQKHDLAKKGSHKRLEVEASSRNGQKVDRHAMRERNYKATPEGYEQKQETKMKTKDIRVVPDGIDQMGEKIRKNYSEKPDEKRNVDDYVPPLDMKGRNSRKEAKKYDKKDDPHRRGQMNAELDLNGIKKQERGAVKPAGRDDEERMMDKLLMHYSKKGLDREERKERDREERKERVKSRIPRPRADQPAHGVREHSNKEDTPAQRPERAASLPPESASPKAKPKAPVRSMSMQPDMSRGNVHPRLPDFDELAERIRVLKNA >Et_1A_005239.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:34849534:34849761:-1 gene:Et_1A_005239 transcript:Et_1A_005239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCFGQGAGVVGGERMRWNRRAGVGEFRYDPLSYALNFDEGEVDEEDEGGRGNGLLYQSFSSRLPTPSPAFEVA >Et_7B_054451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21480007:21483010:-1 gene:Et_7B_054451 transcript:Et_7B_054451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAAGTKWFQHLQRLSASKVSAEAVERGQNRVLDASLTLIRERAKLKAELLRSLGGVKASASLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPIQEIRDCGVEDDRLMHVVSESVKTVMEEDPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDCFEGNVYSHASSFARIMEGGYARRLLQVGLRSITKEGREQGKRFGVEQYEMRTFSKDREKLENLKLGEGVKGVYVSVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILQNLQGDVVAADVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >Et_6A_045991.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:16004802:16005122:1 gene:Et_6A_045991 transcript:Et_6A_045991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TLQPLSHTGPRRTEAEAQSTHSRSFLALIPSVREGRVMADWGPVFIGLVLFILLSPGLLFQIPGKGRIIEFGNFQTSGLSILVHAVIYFALIAIFLLAVGVHMYLG >Et_2A_016290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2343577:2352977:-1 gene:Et_2A_016290 transcript:Et_2A_016290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRPRPPPPPIEDCDLAADVLYLHSLWHRGPPVPAPASDPVPDLAPAAAPTAKQSRSARHNANRRKRRRLQRAAAAETEDAGSDRPLEPSPPASPSSASPAEPTPPSPGSPAVEFVIYNGDHIRAASYRRRADRRKWRRQEQHAAEAQGAVSPLPRAPPHPPLPKPGPRPPPELGPTQAPSPRSLSHRRCRPVGRGAAGALRAALGGAGAAVPVHGVRGEEDAARKETVPGLRRPRAARARLQFSDYGLPRARWDIERLPSILSSLLAPVLAAKAQSDAHEAKVIEQSDCFALAIEDVEEEMKNSNTGGDGAANELVPKESAQMKSVREIVPLNCKDSSKNNVVGHETIQEEDAVKNRMEEPASIDGEKEITELRGGKESSEKDNANKDDYLSQNDNGVVLEQEIARETTEKEVNATVSEPEHSKTADDIGDKVVTRLENSHAEENVDENKEHANSIS >Et_5B_043383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:111668:114759:1 gene:Et_5B_043383 transcript:Et_5B_043383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFTAAAAALLPIPAQPRGAARPMIRVVSLAPVPMRGCRVSASAATPSLGDSGSDRADVPAPASRDPISLPRPLTSADLMEPTGDGLKVAYQGCPGAYSEAAARKAYPSCHTVPCEYFETAFQAVENWVADRAVLPLENSLGGSIHRNYDLLLRHRLHIVGEVRLAVRHCLLANRGVKIENLRSAMSHPQALAQCEQTLTKLGIEHREAVDDTAGAAKLIAEQKIQDIGAVASSLAAELYGLDILAENIQDDTDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALRKINLTKMESRPHKKRPLRIADDNCSTPLKHFDYLFYVDLEASMADPNAQNALGNLKVDYEVARTMSKVLVYYQRTFSI >Et_2A_015780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17846934:17859065:1 gene:Et_2A_015780 transcript:Et_2A_015780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TVTAATAPKRKRNQPGNPNPDAEVTVLSPWTLLAMNQIVCKVCNKGFQHEQNLQLHHRGHNRPWKLKQKNPKENQRKVYLFPEPTCMNHDPSRALRDLTGIKKHFCRKHGEKKWKCDKCNKHYAVQSDWKAHSMTCGTRKYRCDCGTLLSRSVPFFLYLAGLGFLFSRSDWRAHSKTCATREYRCDYGTLFSRSVSVLSLRQSSFLFRFGIHTLLKLGTLFSSSVMSIKLGTNPDVEVIVLSPQTTLTMNRFVCASFSTWGSSGSRTYRPPTRTRTPLEAKVEPVQGVPVPGAAVREKHNCHKHGEKKWKCNKCYTMQSNRKVHSKSRGTREYHCDCGTLFSRSMQPPQQNSAAAAAPPAAATAAAAPKKKRNQPGNPNPDAEVIALSPRTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKENRRKVYLCPEPSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALARESAHIPLPGAGLYVGSGGMALGLSGAAPQIPIFGDHHAAQSSSAAAAAAQFDHMIPSPSSGSSMFHHSQASGSSSSFFLGGGGHAPSQDFSEDGDQSQGSQQSSLLHGKPPAFHGLMQLPEQNHHQQPGSSNGNSLLNLGFFSGNGGGAGGQDARLVMQDQFGGGGNGNAEQRSMIGNHLGGSFASHSQLYNNNYSAGLAQNSATALLLKAAQMGSTSSNPNGPSALLRAAGFGGGGNNGQQQGSSNRAAGGGGGEGSSAQHESHFHDLIMNSLAAAGGAAGFAGVDDGKLSTRDFLGVGGRGSMAPLGLHIGSLDPAQMK >Et_9B_064767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18433157:18436787:1 gene:Et_9B_064767 transcript:Et_9B_064767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRYWIVSLPVQSPGTTASSLWSRLQDSISRHSFDTPLYRFNVPDLRVGTLDSLLALSDDLVKSNIFVEGVSHKIRRQIEDLERAGGVESGALTVDGVPVDTYLTRFVWDEGKYPTMSPLKEIVGSIQSQVAKIEDDMKVRAAEYNNVRSQLSAINRKQSGSLAVRDLSNLVKPEDMVTSEHLVTLLAIIPKYSQKDWLSSYESLDTFVVPRSSKKLYEDNEYALYTVTLFAKVVDNFKVHAREKGFQVRDFEYSPEAQESRKQEMEKLLQDQETMRTSLLQWCYASYSEVFSSWMHFCAVRVFVESILRYGLPPSFLSAVLAPPTKSEKKVRSILEELCGNVHSIYWKSEDDVGVAGLGGETEAHPYVSFTINFV >Et_7A_052682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12094692:12102887:1 gene:Et_7A_052682 transcript:Et_7A_052682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPVDEKADKKPKAEKHVHTDIGISSKTNVHHELHQRHLREARRRGRQAACYNKKPTITSREIQTSVRLVLPDELAMHAVSEGTKAVTKDFEAGELSGSVEKAEVLPRMLDVGELTSLSRWDAGEIRGEQNR >Et_2B_020433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20035630:20037670:1 gene:Et_2B_020433 transcript:Et_2B_020433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDGGVEHHGAQDAAPHQLLPPWLGGAAPFAEPAAFGAGYDVFGGLAGLSHGGVFGFGFDAAASAMQQRHQHEQQRAAEAGSGSGKAVVSGLLGSLQAELGRMTAREMMDAKALAASRSHSEAERRRRQRINGHLAKLRSLLPNTTKTDKASLLAEVLDHVKELKRQTSAVMLLPTEADELAVDAAVDGAGRLVVRASLCCEDRADLIPDIVRALAALRLRARRAEITTLGGRVRSVLLITADGGACDEAGDDDDDDAEERAATSQGRHECIASVQEALRGVMDRRAAASSDTSSSGGGSIKRQRMNYGAQEQCSV >Et_5A_042368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9250077:9253615:1 gene:Et_5A_042368 transcript:Et_5A_042368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKGDILCNSMDFPGGVYRRYKSALAELNDPTEAKVFLTSTNKFQYEHIIKKHLLDHHVCTVGYNKDGVPHLQAILTKFQGESDFLQSLGKISSTSASTTTPDMCRVQGWADLPEGLLQSIIPLLGSFLELLAFAGTCRSWRSSPHTHPNHDSAPCSLLSLSGHISKSDDGHKLRTCQVLDLANLRTGLRCQIPKDTFEMLHFAGSSYGQLICGGGRNCVVIDVFTGAKVLPPQLPSRFSRDTYFFSGMLTAPLTSHNAHLVVCAALEQGSGQRSLLDWPVGSDSWSELRLNDSRIEQIVEFNGQFIALDYYYRLHTLTLAPQLGLQEIATVWWDDMDECPYLRPWLVVCGDMLLMVDHYMTLSFDGAPVNYKAFRLDMSTVPAVWVEVERLENHVLFIGSDVRSPAFSCVSPGRWGRRNNCLYYAYYDVPWIKGLVMRRMLCGIQTMTLTLCLRGTGTPNCSPSGCTQACSMLMLLASDPCALQLLVSPSVSVGNRLAFIWMMQLWYYILKHGICRRSFADLSSFTVCFFMSALALLNLLHHNCWLCAGSHGGPECWNCLLLFESAENRPNEINRAASRGWGGAGDWDSRLKG >Et_3B_029869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28688396:28692124:1 gene:Et_3B_029869 transcript:Et_3B_029869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTTLAARSLRKASVPSTLLSDPSPGSLPPTRLAVHVNGAGSSCSAYLASGCHVYKIEINMDGEMLFKGKESLLIPDNAQIISSSVVDRCPHRSEVQSVALAEGGEGENCLILGTVDSYGHLIVSRLDTVADDMDRTSYSVPPRDCGVGEGSWAGVCFSPMHRSMVAVGRQLCKSIDVYDQDIHLRSFRTLWYPSSFSFLQCSSQVNESSSLLAITEGSQLSIWDLRMNNNGGCAQRVSGSVGNVLYSVCSSPSGPIATGGTDRTVTIYDPRRWSALSRWVGCSKYEITGLSFSSVDQSFIYVQGVDYEITCGLWKENERAFSFRGDSNWLGFSKCADTDVVAGWCESGNIFIADVKDEHLPSHIPELLKGPS >Et_6B_049133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18515646:18519599:1 gene:Et_6B_049133 transcript:Et_6B_049133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQELWILLSPALVVVVISHLVVLAAASESPAIPRPDCPSKCGDIEVPFPFGIGEGCAIQTSPSFTLTCNDSVSPPKLIQGSNLEVINITLETGEIRIGGHVSYICFSSNDTVSSISSRATTLRSFTLSKTRNVFTAIGCSTHAYIEGDPLHRLHLLLRELGRSGSRRQECAGLGCCQIGVPGNIKQLGVNWGDEKDYVNPAWNYSPCSYAFLSEKGSYRFQREDLIVDGNNSFINRVGTSLPRVLDWAIRDNGSCSGVPGKSVTPACASDHSYCVNATQGTGTYAGARMDSKAIPMSREGAQECDLRKSDPAIYEKKYPCGKHSKCRDRPGGYDCICKGLFPKGDGNSQEGCQPLVPGFILALVETLVAIVLLAVLLWFVLTEHKRRQRKGFFYKNGGKLLKGAGIHIYTEDEMKMITKQYSEPIGGGNFGKVFKGIIEGDQRVAVKRAIVQDSSKIQEGGEFFQMRHPNLVRLVGCCLETDVPMLVFEFIPNGSLADVLHGDAGTRRPLSLLQRLDIAVSSAEALRYMHYSHVGGHHKRIHGDVKSANILLDDDLKPKVSDFGSSKVMSKGSRYVRFVASDMNYVDPDVSRRKRRLQLWCCATGDHHRKPAKYDGGNSLPIDFVKTCKVEGNGRKMYDEEIMTVDDPKSSVYTECLDKIAEVAMRCLKEDDDERPNMEEVLEELMRVHCTITKLLPSTGTRVGSSFRSHT >Et_9B_066151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:571855:574498:1 gene:Et_9B_066151 transcript:Et_9B_066151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTSYWCYQCDRFVRAAPQDDDAASSAVACPGCGGGFLEEMGAPPPRAAYLRRPRAHHAADLRRGAAGADRASPFNPVIVLRRSPAPEDDGSPAAAASSFELFYDDGAGSGLRPLPESMSDFLMGSGFERLLDQLAQIEAGGALARARDNPPASKAAVESMPVVTVGDSHVGDESHCAVCKEPFELGAEAREMPCKHIYHQDCILPWLQLRNSCPVCRHEMPTDAPRARSTASEEETTVGLTIWRLPGGGFAVGRFAGGRRPEERELPVVYTEMDGGFNNGGAPRRISWGSRQSPSTERSTIRRVLRSIFSCFGRGHSSQASSSQARPELNDSASDRSAGFSHGSRSRSTSWRLEDGHADAMKDSIILGKFHMKCFATSIGWVPPPPPLPTTKPKVLQLRGPCRYASTTQLKTREELFCRNVMALI >Et_3B_031041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11857166:11860366:-1 gene:Et_3B_031041 transcript:Et_3B_031041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHLLVGVIIVLSLASISAQPAPGCPTHCGNVEIPYPFGFEINCSNTVDGEKPNIIIFGKKPNIVNVEVLNISASHGKTLVLNHISTDCYNPIKRKMVKDPWSLDFSGWPYRFSNVDNKFIVMGCNTLAYLYNNIYNRTGYTTACASVCESPRALTNGSCLGVGCCQNAITNGLTRYDVYFKRVHNDSSSYQFNPCSYAALVATETFNFSSEFITTMRFNETYKGKQPLVLDWAIGNVTCNVAKNMPSYACRDRNSVCVDSTNGPGYLCKCSDGYEGNPYLPDGCTDVDECKQNSSSCPRGAICHNTIGGHRCSCPAGRKIIKETNSCNPDTNLIVGICIGSSVLVIIIFCTRMIFERQKLTNVKKRHFQQHGGFLLLEKMKSDQGLAFTMFTEAELEQSTNRFDKSQILGHGGHGTVYKGVVKDNILVAIKKCALIDDRHKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFDLIHGKNRTLHIPFSSLLRIVNEAADGLSFLHSYANPPIVHGDVKTSNILLDENYMAKVSDFGASILVPTDEAQFVTMVQGTCGYLDPEYMQTCCLTEKSDVYSFGVVILEVLTGQMPFKLDENELQRSLSSSFLLAMKENNLDAMLDSQIKGHESMELLSGLADLAKRCLDMCGDNRPSMKEVSDELSRLRKLSRHPWLNHDTEADTFLSGQSTSNFEIEQSTEYSRTEEEMPMSQSSSYFVR >Et_7B_053566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:989649:993070:1 gene:Et_7B_053566 transcript:Et_7B_053566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELCDLKVHINGHHTLHLHPARTTCQLNSSSSCSVMCAFSGRLRTMVKQEKKKTSRKEALCIKLAEFPGGAEGFELVARFCYNNGRIPLCPSNLPLLHCAAVFLEMTEEVCACNLLAQAEAFVNGLCYWTWADVLAAVKSCEPFAAAADASGLLERLISALFSKITAGPETPTGAAAGTPTNRSSSSCSSSPDTVGFARSSSTKTPESMKPCVGRGEWWFDDMTSLSPPTIEKVMRVLGCYGIENKNLILTRFLLHYLRAATRRPAPPCTEEVGGTLAGLADTAVHGVALVGGTAFSCRGLFWVLRIVSAVGLSRECRHKLERLMGLMLDQATLDDLLVSGVDGGVYDVNLVMRLSHPTLSLEDRTTLCRCLNYEKLTLEACKDLAKNRRIPPGVAVQALASQQSKLQITKHAKEGRPDPSQTPRRVVRAGARTRSVDLDGGSDEKEVLRLNLQRMQSRVVELERACKEMKGQMSKMAKGGRSFGAASCHQAGGRGLPRLC >Et_9A_061578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1387269:1391429:1 gene:Et_9A_061578 transcript:Et_9A_061578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHVRTKQSKWLDNNLKDMDDRVKCILFLLGEEADSFAKRAEMYYKRRPEVIDSVEEAYRAYRALAERYDHISGELHKANHTIATAFPDQVQYALLDEDYDNLPKAFTTVDSRKIHKPTVKGLMKKKQGGKSELKNGGKKSTTPISKENVHAEIGRLQKEILVLQTEKEFIKSSYESGIARYWDLEKQINDMQEEVCYFQEEFNENAAIEDDEARALMTATALKSCEDAIIKLQEQQKTFFSQAITESDRVKVAREKLESIMRGHGKSLSCSGNSPHENFKTDVSVKIHELYSMKQEKIELQETVDKIKEYFQMNSDLSVEEIAEKIDELVNKVVDLELMISAQTAQINGLCLENNELEKSLQKLEEEKIELTSVPGELTDKLKEAEEELIRVENVERSYHVEERIFYSNFTETIYTFCDIANMLQLPHIENKDVYRRMLSDEAAPSVGTEPSGEHDKTNPSEDSEMDEAASNLQVNGSPKHQHFSRCILTDEATPSIDTEPSGEHDKTNPSEDPKMDEYARNSQVDGSPDPSDIPETAILPNDSQSSSLNYDMNAEKYRHLEKTEDSCCLKLEDKSSCAAASMNVGTAENTDNNSSNDNKNEETEHVPEITTDTKGSMQPYIVLSNEGGSIEQMHLISPGGPGEDVKQEDSKQDYSTSCNSISEDNNEAAAKMNKEDSYMTRSPTPSSVKVADVEDQEDSMIKLQHLLMNGLQDKEKVLLAEYTSILRNYKNAKRKLTEVETKNQEYLNEMTAMINELQSTNAVKDAEIRSLHELLHSYKNASYNGHRMTSTMSLSEKDGMVRGHRRTPSFLLPVHQRAQSASSISRITKNSSSLKINLTIKSPEKADAVTTPEIIILEDVKSTNVEMEKASPLEEKFRREIDALVEENLALLMKFSMSFQQIQGFQTKYEQLKPEIGKLTNEEKLKANKDQANDHPARFETETTEKRLRELKIELQVWLEQNAMFKGELQCRLDSLCSIQEDIEGAMEMGDTEDGARFTSYQAAKFQGEVSIMKQENNKVSVDLQAGFDHVKGLQAEIEKVVAKILKSTSLSGPKPTSTWRNAPSKSRVPLRMFLFPAKKKKPSLFACVNPAFQKQNSDMAFFTKMK >Et_8A_056832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17655716:17656871:1 gene:Et_8A_056832 transcript:Et_8A_056832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCILARYADGGGVLEAKNEDGVRATHVFKISRYSQLKHLKPGMSIKSATFTVGGYDWCICYNPAGSISLESKDHVSVFLRLCTKDSERMDVTFEVQGESFCAHRMVLAMRSPVFEAELYGPVGENNRQHAITIQDMQPAIFKALLHFIYTDSLPAAMDNNLSEDENQDLVKHLLVAADRRLDVDGVEDTLALAVQYHCNKLKDACIEFINSSTNRKADVLTSRGYPHLKTTHPAVIVEIWERATESRKI >Et_8A_057646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5680426:5682274:-1 gene:Et_8A_057646 transcript:Et_8A_057646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEKEVAPKEDGLEEQVVNPWEVSAGKGGIDYDKLVDQFDPYFRMTRDVAPRLGYQKPSLIESKIFPCSSGMVRTRKCWPVTRILQYRWQMINRYAFSGDQDSVELHRKLGANLDYPNFFLEDDDELERIKMEYKEGRMLTGEVKQTLVTVLSELVARHQRARAQVTEEIVDAFMAVRPLPNMFD >Et_10B_002425.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19397169:19401586:1 gene:Et_10B_002425 transcript:Et_10B_002425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEKGLGADFGSNSIEELENLDTSQTASRGKVESVQMTETSTMCEKTEVARREERLHANNDMHVESHEALIEELERSLSLSSDDEYFSDEAETSGLSDALRHQMGSRRFMLGGRTDSASRSDPHGRLIEELEMSFSDAEEPMEQHPAVIDRIHEIKHDKHPQTLDAEIANPCEESISSLDSGHFELEQTLHPVNRPVENGNQGKENIEDDNKAANDVHGNEHDMRPQTLGAPESAHPCEESIPSLDNGYLESEQSFHEENRLTDNGNEGKEDIEDDNDIANDVHGNEHIVDPNEVGEERFHEKEHDKDWHPADSEITHSCEDIEDDNDIANGVRGNEHIVAPNEVGEERFHEKEHNKDWQPGDSEITHPCDVSSIDDSDIKSIPSLDNGYLESEQTFHEENRLIDDGNEGKEDIDDVNDIANDVHGNEHIVTPNEVGEERFHEKEHDNDWQPADSEIRHPCDVSSIDDSDIKLKQTIQPNGPIADATQEKEEDCIEDAKMTNYIDGNENPVFTDEDIAVKVHSNEVVRYERLKKMDELRDQLTRLSSQKGMEKKYQKRGLEYLQQSNSYDVEQHLQSVDVDSYALESYYGHERKPRYQAPNPLSPTQTYPHCHFGHAQKSIPYNYDMWEFNSYYQSSSYAESTVLDQDFLIASYKEPKRMVRKHILRPLSGASPFSICSSCFNLVQMPSDMYISKAKIGKMQCGKCSKVLVLSFPVSCHGEGKISEDDTQQTNQPAGGMVAKNKDATSHSAECLGGSVSLNKECGASFTRSFSTQARTSLAATQSSTKISDSALHRLMGYDSASQLLRHSRALEDGYDSFESMVPVSTRISRRKNM >Et_6A_048062.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4860278:4860844:-1 gene:Et_6A_048062 transcript:Et_6A_048062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVGALLLLFLSRLVVTNAQLQNGGHRRAGRNSDSTAPAAAPTHLHFYFHDTVSGKSPTAVRVVAPPDPSTMPMFGMVNVMDDPLTEGPEQDSAPVGRAQGLYMGSDQAKLGFLQAMTIVFTSGEYNGSTLALLGRNCPLDAVRELPVLGGTGTFRFATGYALLRTHWLDVRTGDATVEYNVYVSH >Et_4A_034504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:396644:400570:1 gene:Et_4A_034504 transcript:Et_4A_034504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGASPPYSTELDLYIYEYLTSRKLSATAQAFMEETQARTFARDLKRNYLDDPLSGQVVPILLNSNMISLEDSSLIGGLYFGTASVQVQGYKLEGTTVEVADHLILEEEQMQRRAATLANPDWSNSAVFNHRMHQDPAADSAIYQRLLGQTPVDINAQGLMQQPSQQPTDGNGADYMIDLSGDVAMEKTMGIAMEGVFSLKASWKKIFCCGFSPDGDLLASAGDENKVFIWNLRNNLEKHTWEAHSSFITDLCFGPNKTMLGTASSDKTVRLWDTSQGGHCIQTFVGHSSLVRSVDFHPQVDTSLLCSCDDGGKVLYWTIDQPKPRISEATGRGKVRFDPLGSCLASVIGNTVNLTNVETDKRMNCLQGSADNKTLRSICWSEHFPCLACVSDDSVRVWSAQGGRHVRELNGMNLSYFRSCSFHPKYPNTLVIGGYQTITLWNFAENKVVSVQPHDCHVADLAGCHATGLLASASHDGYVKVWS >Et_2A_016311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23080731:23092571:-1 gene:Et_2A_016311 transcript:Et_2A_016311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLVSTFAEDRALAEDLQFQEVLRFSTQSERVCALCKQMFPSLEASWKPDNCDHAICIACFCQYAPEIEATGVPRCAVASCQSLRISETHQGVDVYHDALSSFEDMDGRKGKEPLDGMLQEFGECSRGADVMTGSNFYCAICMESVHVRELFPIAGCTHTFCVGCVGQYIAAKVEENVVSIGCPDPGCKDGTLHPEECRDVIPFQVFQRWGAALCDSALGALKFYCPFKDCSAMLVDDHGQGEAAITQAKCPHCSRMFCAQCKVAWHEGVSCAEFQRLGNDERGKDDLLLRKVAQNSKWQRCPKCKMYVERVDGCVYIVCRCRFCFCYLSAAAGAGSRFPIYISSDEEDEDAPLVVSYIPADGGGGSHIPIYISDDEEDEHAPFVDSYSPDDIEIQEVILISIDSSRAANTGSAFASASSSSAIVSTAVESPPDRKGKSKLSSQDETSNSRKRRSNRDRHFDCGICFEKVQVWEKFPVSNCGHAFCNSCVGGYVAAKVSENVAVIRCPDPECKTGSVEIQLCRYIIPSELFDRWNVVLCEHAVGGDKFYCPFKDCSALLINDGEEEIRETECPHCHRPFCVRCRVPWHNGIKCEEFEKLGDDEKGADALTLKNLANKKKWQRCPKCKMYVEKVNGCDFIVCRCGFSFCYRCASPTTIAVGSGSHVPIYVSSDDEDDGGGWDIPIYISDDEEDERRDDIEIQEAILPTIDSSRSAFALASSSSVALESPPDRKGKGKIQGTLIRSRILNSLHCRRRFEAIIFIFCLNCSNRAQCLEGNRSNFRKRRSNRDRHFDCAICFEKVQVSEKFPVSNCAHAFCNSCVGGYIAAKISENVAVIRCPDPECNKGSVEIELCRGIIPSELFNQWSVALCEVVIGGDKFYCPFKDCSALLINDGAAKIRKTECPHCHRLFCASCRVPWHHRMKCKEFKKLGDDEKGEDELTLKNLADKEKWQRCAKCKVYVERVEGCVYIACRYCFFNSLLADERSLCTEHKMMVFFFSCFRCHFRFCYLCGSPMTKGNHHCSKCNRTCAATATVGGGSHIPFYISDPDEEDEHAAFVDSYSPDDIEIQEAILHYIHSSRAAAIAASASGSSLRPANVSTAQETSCDRKGKRKTSTEVNAKKKRSKRAHFDCAICFEKVQVSEKFRVSNCSHAFCNSCVGRYVAAKIAENVAVIGCPDPECKNGSVEIDLCRDIIASELFDRWSIVLCEEVVAGDRFYCPFKDCSALLSNDGAVKIRESECPHCHRLFCASCRVRWHHGIECEEFKKLGDDEKGEDDLTLTNLANKNKWQRCPKCKVYVERIEGCVYIACRCGFRFCYLCGSPMTKGNHHCRTCVSHIPFYISDSDEDDEHAAFVDSYSPDDIEIQEAILVSIVASRAALNAASAPGSSHRPTNVSAALEPSAPDRKGKRKISTDVNTKKKRSNRDHFDCAICFDKVQVSEKFPVSNCSHAFCNSCVGRYFAAKIAENVARIGCPDPECKKGSVEIDHCQDIIPSELFDRWSMALCEEVVGDDKFYCPFKDCSALLINDGAVKIRESECPHCHRLFCARCHAPWHHGIKCKEFKKHGDDEKGKEDDLTLKNLANKKKWQRCPKCKMYVSRRSGCLLIYC >Et_4A_034115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3063770:3067180:-1 gene:Et_4A_034115 transcript:Et_4A_034115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLASLSVGRACEKLTSFIRAFSSPSAPSTSCFSSQDDLEELMKLKRTVVRIRAVLHDAEEHWNIREESSKLKLQELKAVGYDMEDVVEEYEYEINRCKVEALERRAGVNNTNKRKRQKQNEAYQEDIGVVAVPKELFDRARKITARFNEIIDFSDRFTLSGNDGDRRFSHDISDWRHTSSFVVQKSILGRDQDKQKIVEKLLSGEGKNVGSCVYVMAIVGMGGLGKTTLAQLVYNSSRVRQSFDKHAWVCVSEPFNVTTITRNIINSLTSGTCEYTELADLHRKLADEIKDKRVLLVLDDVWNERRDCWDSLCMPMSVARICQIILTTRSKAVAKLVQTMDTYHMTCLTSDDSWSLFKQAAFSSQEPNTSKKLKDIGKKIVQKCNGLPLAIKTVGSMLRYETDENIWEDVLENELFELEQTRNKVLPVLQLCYKHIPIYLKPCFIALSLYPKGSSPGKYEIIRLWKFLDLLNCNGSYDKDETGSLYLKELVQRSILQTEEHFNDDKPPYKSSWLSYHMHDLVHDLACFLSDGEFYRLEGDTSVEIPQKVRYISVPSNCGKPIEIPILPHSLRAFIMFDDVEIIIPESLFLSCKNLRALDLRQKSFAKALAGSMGSLKLIRHLALEGGGAEFTSISLLQSYNLQTLEHVLWSAPYNPVPHEIGHLINLRTLGLAISMCGCCGCNIRELRNINKITDLTISGLGGVSCIKDANEAQMQSKRQLRSLCLDFALDCTSCQHNQRSAHAPVSQDQLLESLQPHHNLAELTIRDYKSHEYPSWLGNDAFSKLTRINLVYCESKHLPPLGGLPSLKYLIIRGMWNMEHIGQEFVGHPSKGFPSLTDMEFDGIYNWLEWAVVDESDFVRLDTLSIHVCNGLKSLPSLPSLVTANLYICHGIDTIPAWSTLCKLRIDFCNSLSVVPTLPSLLDLYVARCFSLTTVNSQPSLTSMELESINMCSVDFGSLSSLTSLKLTNDLQRFEKQFFGKILKYELYTLFYDLTSLECLEIYDDPATSLCLKQQSVPSLTKLCLVECRDLQYCDGLADLTSLQHLEVRGCPKLVKNNLHLPQLKTLMMDDE >Et_4B_038880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5626831:5631800:1 gene:Et_4B_038880 transcript:Et_4B_038880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEARGADFPVGMKVLVVDDDPTCLLVLKKMLVECKYDVTTCPQATRALSMLRENRRGFDVIISDVHMPDMDGFRLLEQVGLEMDLPVIMMSADSSTNTVMKGIKHGACDYLIKPVRMEELRNIWQHVVRKKWSENKDHEHSGSLDDTDRNRLTNNDNEYASSANDVGDGSWKSQKKKRDKEEDEGDENGDPSSTSKKPRVVWSVELHQQFVNAVNHLGIDKAVPKKILELMNVPGLTRENVASHLQKFRLYLKRIAQHHAGMAHPFVPSASNAKVAPLGGLELQALAASGQIPPQALAALQDELLGRPTSSLALPGRDQSSLRLAAIKGNKPHGEREIAFGQPIYKCQNNAYGAFPQSSPAMGGMPSFAAWPSNKHGMTDSGNTLGSLNNSQNSTMLLQELQQQPDSLLPGTLHSIDVKPSGIVMPSQSLNTFPASDGLSPHQNPLVMPPQPSSFLGAVPPMKHEPLMTTSQQSSSLLGGIDLVNQASTSQPLINTHGGNLAGLMNRNSNVMSSQGMTNFQSGNNPYVANQNAVGVSSKPPGVLKTESTDSLRNSYGYIGGSTSMDSGLLSQSKNPQYGLLQSTDDVSGGWSLQNIDSYGSTLGPGQSGSNVALGKLLDQGRGRNHGFVGKGTCIPSRFAVDEIESPTNNLSHSIGSSGDLGNPDIFGFSGQMFDQNSTGNNLVVS >Et_3B_031118.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16706701:16707669:1 gene:Et_3B_031118 transcript:Et_3B_031118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPKSKGQETYQSDPKPTGRAMERGAAAAKKKNGSKASARASGGASRGRPPRSAAPVVPMDEVLAANVALRDALASLGATTPRYVTGKQLHTSDVHGNQARLLFSCKSGGPGPSPSRHPLAACFTALEAARVADRETGLLVTALDGRGRRHDITCKYLDCNHAYRFIAGWKRFVEANGLNLRGGESAIRRDVRVEVWAFRSRELDNGYVDSTKKEKVREETGHPYGALGFVLLHYENNAAVGVDAGEDEEGMVVDEKPTMPAAAAPPVTRQEDLAGAAEQWEAAAATRAMSKDEMVAKFGEKMAFAAIGMNMLRMGSWGRN >Et_8B_058890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10454905:10455679:1 gene:Et_8B_058890 transcript:Et_8B_058890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHRLRHLAVVIVVLAIVSSFRADASDDPYQPEWPVCLPGAGFTPGSLYETNLNELFKKLSYDAVDNDGLLINATVGGAVTVPTASGAWTWRRPTRPGRAYARTTGPYVALLFSDCVIRYSDENFFSEEDDHNARVGIAVTAYLNSSVVVEARRQMLNKLVASEPLWAYGNATHRDGSPVYGLVQCRRPEPGPVQDYVLVNFPNNTAASFKSISCFVKYHPEPIKLLDPHGKRILSRSHYINTGLLGLS >Et_6B_049602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7010553:7015186:-1 gene:Et_6B_049602 transcript:Et_6B_049602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALLLLTAGSRDAAAAVAVTTPGARAGKRVSVCSISFSGYGQKRKRVPDGYDGDCHVADEPVTQRPRVAVEEGGSAMSGSGTATTILRSPSRLFFLVHDDDAQPRIRLAAGRTEEGLALLALLSDDDIAEVLDIIAQSPADGELYQQVPVMDQSSCGVPDIGDTGAIRWKASNSPSAMTRTPCVHFISSCTSGQDKGKKGANGPHARILNIALLALLLNIALLACAGRQRTHRRKEAAGTPEKGSEVGNGVSSASRASSGLRRRSRGAPSRAGAAPEQRHVEPEQGHVEPSWGAGGSGGHRDGGADTHKHMGTVKRAHDEPSLMQTSKH >Et_9B_065936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18073659:18076978:-1 gene:Et_9B_065936 transcript:Et_9B_065936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSWWRGGRRGGSTEQRGQPTPAAAGSAAPEVAAASGAHGAVEVRRQASDATVFEFGSAAESGAALTLAGYCPVSDELEPCRWELVPAAGEGAPQFRITVTTPGRVQGRAKPCSTNSLTVTAQCSGLADNALSSLAATLRAPMAMNNLLNLSPSKIALKPPLPPLPTRPSLSAPHQPFSRVSLVRSEPPRPQQVRSSASPSSEAAPSPPSSREEAVAQARSCLATALQKPLNNSVPLKKQKRQRQARFRAEIPVVDDSPGSLARLAFDVFSAGAGAGVKRKGAPARLLLVWPSADALAAALREHSNWGDSTAHAQLDAVAPDALGACDAAVFLAPAPAQVDKVRAAAATLEPKPVVLFNPAWSFDEEEERGDAFGAGAGARGFVAFFDVVYSFTGLEVRGLLSKKTGVLLRCVDGGRFGGESWVLMVEADAPAKAGEFRVVSRLKRRPTIGEVETMLYNLMAANSPVTKSARFLREMVSNVTGRKTNQ >Et_7B_054379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20631355:20632050:-1 gene:Et_7B_054379 transcript:Et_7B_054379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPPRWSRWTDQAAASLAGGILLCGVLADDPVLRYISLPPQLLIDKFSVRFGFTPTTVQDIAVVQCYIKFVERRTHVRPSDNWTGPSLHGAARLTQIVVGRGSGARTTSAKRHTYQAHCLISFVRAASTLQHLRRRALLGLSTGHPAIGLDDSDVVFLLAEAHVPEEAFVLALDMRAGTLQGADYFSCQKMVAISSYIYTQSRISI >Et_4A_031907.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28501541:28505981:1 gene:Et_4A_031907 transcript:Et_4A_031907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADAGLLGDAREVFDAMAERDCVLWNVMMDGCIRGGDVSGAVGLFRHMRASGCKPNFATLACFLSVCATEADLLSAVQLHSLAVKCGLEPEVAVANTLLSMYAKCRCLDDAWRLFDLMPRDDLVTWNGMISGCVQNGLVDEALGLFCDMQRSGVRPDSVTLVSLLPALTDLNGFKQGKEIHGYIVRNGVHMDVFLVSALVDIYLKCRDVKMAQNVYDASRAVDVVIGSTMISGYVLNGMSEEAVKMFRYLLEQSIKPNAVTVASVLPACASMAAMKLGQEIHGYVLRNAYEGKCYVESALMDMYAKCGRLDLSHYIFSKMSVKDEVTWNSMISSFAQNGEPEEALNLFCQMSMEGIKYNSVTITSALSACASLPSIYHGKEIHGVIIKGPIRADIFAESALIDMYGKCGNLELALRVFEFMPDKNEVSWNSIISAYGSHGLVKQSVSLLHRMQKEGFKPDHVTFLALISACAHAGEVEEGLQLFQCMTNEYQIAPRMEHFACMVDLYSRSGKLDKAIQFIGDMPFKPDAGIWGALLHACRVHRNVELADIASQELFKLDPGNSGYYVLMSNINAVAGRWDGVSKVRRLMKDNKVQKIPGYSWVDVNNSSHLFVAADKSHPDSEDIYMSLKSLLQELREEGYVPTPDLCHPMHPDDNEQVSFDNSRKLFDLLCTFILSLFNLTKIVGLILSKIILVLSANLTPVATGLFTSFSLIRL >Et_4B_038472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29230232:29231891:-1 gene:Et_4B_038472 transcript:Et_4B_038472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVLNLVMDLVVPPASMVMLAFAWPTLSFLRGVEWVLKTLTKEDMHGKVVVITGASSAIGEQIAYEYARRNANLVLVARREHRLFGIRDNARLLGAGQVLVIAADVVKEEDCRRLVSDTVSYFGELNHLVNTVSLGHDFNFEEAADTTAFPHLMDINFWGNVYPTYAALPYLRQSQGRVVVNASVESWLPMPRMSLYSAAKAAVLDFYETLRYEVKDDVGVTVATHGWIGGVATSGGKFTLEEGAAEMQWKEEREATLTGGQVEAYARALVAGACRGDAYVKHPSWYDVFLVFRVFAPDVLAWTFRLLLSTPAPTTSPATTPRRPTPPAALPAPPVRPLLEYPVSAAARRPAAAQLQKLE >Et_1A_005373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:144644:147370:1 gene:Et_1A_005373 transcript:Et_1A_005373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTSRRGPGAGRNMDDENLTFETSPGVEVVSSFDQMGIKDDLLRGIYGYGFEKPSAIQQRAVLPIINGRDVIAQAQSGTGKTSMISLTVCQIVDTAVREVQALILSPTRELASQTERVMLSIGDFLNIQVHSCIGGKSIGEDIRRLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDEADEMLSRGFKDQIYDVYRYLPPELQVDWLTERMRSNNFTVSAMHGDMPQQERDAIMAEFRSGATRVLITTDVWARGLDVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVRKDDIRILRDIEQYYSTQIDEMPMNVADLI >Et_2B_021463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29915777:29919059:-1 gene:Et_2B_021463 transcript:Et_2B_021463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQRSPAMMGGGGAAAAVAPYVGMPSQATVPVRRRCEGTAMGAITLDLRPGLGVGPFTLGMPISDAFAQIEHQPNIYDVVHVKYFDEEPLKLDFVISFPDHGFHLRFDPWSQRLRLIEIYDVKRLQLRYATSLIGGPSTLATFAAVYALFGPTFPGIYDKERGIYTLFYPGLSFAFPIPSQYTDLFTNGEVADLPLEFPDGTTPVTCRVSIYDSSTDSKVGVGSLMKKAIVPALPAGSLYMEEVHAKLGEELWFTIGGQHIPFGASPQDVWTDLGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFSRGIDILFDGQPGNISKNCITPRTKWEQVKEILGDCGRAAIQTQGSMNNPFGSTFVYGYQNIAFEVMKNGYIATVTLFQS >Et_3A_023652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10457118:10462707:1 gene:Et_3A_023652 transcript:Et_3A_023652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALKASTRLHGMVSIVFVPFAAQGHVAPMLHLAQALVADHSNLSVTVVVPDFIHRRMGQYHDGGGVSLVPIPTGVQDDGGDEPPGTAAILHAMEHDMPSQLEGMLTTKMQGVVSCVVVDLVASWAIPVVERCGLPIVGFWVGMLATYRSVAVIPELISEGFVSESGTLLLTYGVNEDHYIKHHDLGHLNAFPPKLKLRAKDLPWLVSGAVSQKTRFAFWLQIVNRAKSVRSIILNSFPGEDGGDSYQYDPPWGPQILHVGPVLLNEDLKKKTSMWQADQTCIDWLDKQSPGSVIYVSFGSWAAPIEPDKITGFARGLEASGRPFLWALKNHPSWRAGLPEGYAEKVAGHGKIVSWAPQDDVLKHKAVGCYIMHTGWNSVLEALRQGVRMICYPICGDHFMNCAYVVNMWEAGIALPSSDENDVKDCIERVMEGEEGRHLQEKVNQLRKTITGDTMCVAKRNLNLFMEGIKNN >Et_1B_012592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33541117:33545966:1 gene:Et_1B_012592 transcript:Et_1B_012592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLSLHPSPSHCFPLRRLLLCRCSFSHLSASAAPSSSLAPYHEAFSRRMALAGIHPHHRIAVGVSGGPDSMALCVLAAAWKEAVLGVAVRKVGEEVSAAPGFVDGLLGVVVDHGLRPESSEEAQIVRNRVHDMGVQCEIAKCEWPDGRPKQGHVQEAARETRYGKLLDICLKQRIGILLIAHHSDDQAELFVLRLSRNSGVLGLAGTAFVSQLFAPHLKYDGENFRRHNILLVRPMLDFSKDDLYKICQCSNQSWVEDPTNNSMLYVRNRIRASLGSLSTEGTFLSGVHKLINACRLTRSCIDSVCSMLINQSVTILESGCAVIDLEKLDPVNVGDLCLSQYLAYILQFVSQRHRPVRGRSARLLMDYIRSIPCKAALTVAGCYLCAAPRSKGTKVLVCCSVDWMDSSSVEMSYKCSYEEQPPPAPEVDQIVLEACLQSNHFIQKCSTIPFVYSKSSTDVLNKAKDLNIIDHFTFKNLCYLRTEENDKFIAKEQKHEEQDQEETISPDCNVLCLSPGETCHFMSRFLITWKAPKDVVSGICLHGTKEYISKNCATNKGESLVVRHMVDTDWLFLAEVSNTCSVEENLSDSKAYSSKSEINNVLQHSRYLQRSAQKALQILKSIPAAARRTLPVLTDSQGDIMCIPSIGFRSYPSLSIEAVFCPRVPLGGGYSSYL >Et_4A_032388.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:5574148:5574246:1 gene:Et_4A_032388 transcript:Et_4A_032388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKSARFCPRHFLNFVGSSFICMHEGENDTS >Et_3B_028381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14519782:14521568:1 gene:Et_3B_028381 transcript:Et_3B_028381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGNKRLCIVGAGISGLVACKHAAEKGLQPVVFEASAGIGGVWARTLWSTKLKSTSATFRFTDHPWPPSVTEVHPDHTQVMDYLQSYARHFDLMSLEFVGVEEEEVVERWERWSGNGEAFGDGRGVWRVTVEVSGKQEPENYEFDFVVLCIGRFSGIRRIPEFPDNGGPRIFNGMTMHSIDFFELDRATAAELIRGRKIIVVGSGKSALDITAECARLNGIAKQYYVSSS >Et_7B_054988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6131174:6132279:-1 gene:Et_7B_054988 transcript:Et_7B_054988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGNGKEEAKRTGLEGPGLSLQGGSHGNLRGAGSDQQLKQMLDSVKSSKSPAVINYGASWCRVCSQILPSFCKFSNEFKNLTFIYADIDECPETTQNIRYTPTFHFYRDGERVDEMLGTGEQRLHDRLWLHS >Et_3A_025373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29269703:29276130:-1 gene:Et_3A_025373 transcript:Et_3A_025373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEIHEEGGEAVASPSARTVKVMSVSGSGKRGRYVRQVTGRHNDTDLHVAARGGDAAAVRRALGEAAAAAAADEGVEGLEEERRAVAAEPNQAGETPLVAAAERGHLEVVVELLRHLDADGVAVKNRSGYDALHVAAREGHHDYLHQLRANRPNNGQLLSAVVQEILCHDRMLAKTFGPANTTPLISAAMRGHIEVIRLLLEQDDFGLIEMAKDNGKNALHFAARQGHIGIVNALLEKDPQLARRNDKKGQTALHMAVKGTSCDVLRALVDADPAIVMLPDKNGNTALHVATRKKRAEIVTVLLRLPDTHVNALTRDHKTAYDIAEGLPVCEESCEIKDILSQHGALRSRELNQPRDELRKTVTEIKKDVHTQLEQTRKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNDDNGLAVVVQAASFKIFFIFNAVALFTSLAVVVVQITVVRGETKSERRVVEVINKLMWLASVCTTISFIASCYIVLGRHFQWAAILVTLIGGVTMAGVLGTMTYYVVKSKRIRKIRKKEKMSRRRSGSSSWYDDTELSETELNQVYALFCCYFDADVDMNRKPASLALFLTEASCCDFLQYAIAP >Et_1A_009185.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:32157095:32157610:1 gene:Et_1A_009185 transcript:Et_1A_009185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRPAPLQPRGSPPPPPSPPPPIPDDMLELSLAPPSQQPVAVAVPPPPSSPMRPRKERPRPPKLNADGAPFPRVRSATPAGDAPPSPCSECGRRFPSWKALHGHMRRHPERQWRGITPPRQFVAVHHAAAPAVDDGLPPAAHLFPVEERDDAVEVANSLVKLQDGPV >Et_3A_023692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1085589:1089973:-1 gene:Et_3A_023692 transcript:Et_3A_023692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TAAATRAPTRRRPPNLPQPHLPRGLASPRLAFIIPCFLPHEIPIPIHAALARTDRPTQRERAREIPTPAACCSARLGSAGEATMSHRPPPPMPDTLSDAFAAAVLLSSTDKPDTLPPGRLSPVSPLPHSSKQGHPTPSSSSGSSGSVSRAPPASALASRRSHSGEIPLSSDAPPRRGHRRTGSGPLIFTSGASACSSSATSPLTNALPAGNICPSGRIAKPLPSSCSAATPPPPPPPRASRHDVKRAGNEQYKKGCFEEALRLYDRALALCPDNAACRGNRAAALTGLRRFGEAVKECEEALRIDPSYGRAHQRLASLHIRLGHIEDALKHLSLASPQPDLLELHKLQTVEKHLGRCLDARKVGEWKSVLRESDAAIAAGADSSALLLAAKAEALLRLNLLDDADSAISSASKLDYSISCLSDTKFCGFLANAYLFYVHAQVDMALGRFDHAVSSIDKARITDSGNAEVVTMHNKVKAVARARSLGNELFNSGKFSEACLAYGEGLKQHPTNKVLYCNRAACRFKLGQWDKSVEDCNEALKIHPNYTKALLRRAASYGKMERWAESVKDYEVLRKELPGDTEVAEAYFHAQVALKSSRGEEVSNMKFGGEVEAITGMEQFRMATSLPGVSVVHFMTPLNQQCCKISPFVNTLCTRYPSVNFLKVDVNESPAVARAENVRTIPTFKIYKNGIRVKEMICPSQQLLEYSVRHYGI >Et_7A_051631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21590792:21594514:-1 gene:Et_7A_051631 transcript:Et_7A_051631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYDNVKLSYSRDRIVEFYFYTITVFPREESSVARMILTKMYGLLVLLDDTFDVRTTFEESQMLGMAIQRWDESAVSLLPEYLHMFYTKTLSNFNDIEDILEPSDKYRMAYVKKQLKLQSNNNVQQAKWLCENCLPSFKEQIDMAVTVSGLHLMFLTTLMAAGQAVNDEAFMWAVDMPDISHATAEIGKCKKDAASAVECCMKEYNMTGEEAIAAVADMVEQAWRRINRACIEMKRMLEPAAQCCLNLARLTYGSDVKELINLFFLKEAVWESSKANMAKPSKERRQQN >Et_3B_028877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2022896:2023554:1 gene:Et_3B_028877 transcript:Et_3B_028877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDAEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKQREEAERRRKQEEDRLAYEAREAERERMRERARRARAAGPDAFRKGKYPRCSVDTEDK >Et_8A_057998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11650802:11651824:1 gene:Et_8A_057998 transcript:Et_8A_057998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATATGLSVSTAAATASATKCHLFKIEGYKRIKILYGNGKCLESGGFEAAGRTWRIRFFPDGNKEENAGYISLYLKLEEDAADNNESDGVLAEVRLSLVRHHRRAGDKPPPATAAASKPYVGANFTTTFKEDRKVVGYHQFIKREDLEKSEFLRDDCLAVRCDLAVLKQSVDAEEHAAQAADMERLGIVCECSDDACKRHHVRTGGFWLRDAFAKLFLGSRPEWQV >Et_2B_019799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14062953:14065940:1 gene:Et_2B_019799 transcript:Et_2B_019799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKEPGALAAGGTPWKGRLRSHHATPQSLPPPRLSSRAKSREAAEEAQTSRKRAAPRITRRGGSQDEDAGAARPLGAPPRRSPRLAGKDPEHHIVIDGADKECKARDDQSAVAPLRRSPRFHTENKNPVTPLLPPNPQQIACNGKTHNASRKDKNQRNTYRNDAANALPRAKNLKEPSSLCQTSQDIPPQQKSGDVSCKKGEDKKPKADHCEMLTKKRKRGTEGSESKSCQKPRSMPPDCQEVALCNGTRKLTCKKKGNVAQPNIGDVKLMNAKKNYEEPRGIEREGKEPFCSQDDWTKEQDMALHQAYFTARPSPHFWKRVSKLVPGRSAEECFNKIHADLSTPTAIAPRPRRCKAKFSPLGKFSLSDPELPNLLEPTVRKKKTYRQKNLAAQKTVRHLLQKHCLIDQAQEADHFSIFESSPSALQLNISFEDSPGTPHSCMNSGSPHKCSASSSSRKKPFSRLRSKQDGPSPAVLKPVKNVILHEKYINQLFRREGAKGPHRRAQGSKAADNMKTHPEQQAGNLKAAKNALISEATDFISHFKKLQANSLANIVENSEDDESENRSPY >Et_6A_046562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16986343:16989815:1 gene:Et_6A_046562 transcript:Et_6A_046562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLMTSVHRMVLLGRIGCPACVRVLSWSAVVFHPTLANRKEKLASVEPDGTDLIDDVRCTASGVPDGAGGVAIENVGAGTPGVSGAEDGHVIKDVTLEKPVSKRRLIIEVVVPGGEIDALFLKRYTIAAHCYSQC >Et_9B_063732.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:7508136:7508646:1 gene:Et_9B_063732 transcript:Et_9B_063732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGLLLAQGVEVAAAAVLHDEAVELVGLEVRVERGQERVVEEAEDLALRLRAGHLVAADDGRLVHHLHGEEGGRAAELHQVHAADVAVPQPLQQPEVAKVQGLVPGRRGHLDRLPPAVAASVSADVPGGRSRRHRGGAAALHAVAPRAAAFTDAAAGFS >Et_10A_001548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4957397:4964791:1 gene:Et_10A_001548 transcript:Et_10A_001548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILPMKTMRLLITLWVLLGCAGVLHGDKQPLSRIAVERTAAAVVGSATVKAHPTVLGLKGESSDWVVVEFSHLNPSNEDWIGVFSPSALKSASLNYGDLPPLLCTAPIKFQFANFKNDGYNKSGKGSLRLQLINQRADFAFALFSGGLSAPKLVAVSNKVTFENPKAPVYPRLAQGKSWNEMTVTWTSGYNIKYTYRLGHRLSDGTHIWSKSYSFRASPYPGQDSLQRVVIFGDMGKAEVDGSNEYGTYEKASLNTTKQIIRDLENIDMIVHIGDISYANGYLSQWDQFTEQIEPIASTVPYMIGSDMAGRLRRGAPRPRRAAAVEDRGGEDCPCRRRRHAHQGGETSEWVDVEFFHPNPASDDWIGVFSPADFSSAICEPENERQYPPVLCTAPIKYQYANFKNDGYNKSGNGHLKLQLINQREDFSFALFSGGLIKPKLIAVSNKIAFVNPKAPVYPRLAQGKSWNEMTVTWTSGYDITEAVPFVEWGEKGGRRLLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKDLWPDSRYTYRLGHRLINGTRIWSKSYSFKASPYPGQDSLQRVVIFGDMGKAEADGSNEYNNFQPGSLNTTREIIRDLDNIDMVLHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGSGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKFWYATDYGMFRFCVAHTEEDWRPGTEQYKFIEHCLSSVDRQKQPWLVFLAHRVLGYSSCAYYAGEGTSEEPMGREALQELWQKHMVDLAMYGHVHSYERTCPVYQGQCVAAASDRYAGAFAATTHVVVGGAGATLSEFTDTEIQWSHVRDFDHGFVKLTAFNHSAMLFEYKKSRDGQVHDRFTIERDYRDVLACAVDNCPRTTLAS >Et_7B_054885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5208954:5213414:1 gene:Et_7B_054885 transcript:Et_7B_054885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCCLRHWFAMSGIAAALRRSCQSAARQGLMEAYVGPCVSSSSSRWFSSFAKHSRKADTSAAYQIKGVDCYSTVHDVSKISRAPLSSRMDTNWLITSKSRYSALPGFLGVSRTSRAYSSDTGIKPEAAQSAVSNVPFAESSNIGSAGGDGSSWIEMLDNARKSTIDATTDAGKKVREMTDEITPHVQQLFDANPNLEKVVVPLGGTLFGTMMAWWVMPIILRRLHKHASQSPIAALWGNSTTNDVSYQTSLWCALEDPAKYLITFMAFSEMAALIAPSISTYLPQAWRGAFVLSFVWFLHRWKTNFIARSMTSSVDRDKISVFDKVSSLGLIGLGVMGIAEACGVAVQSILTVGGVGGVATAFAARDVLGNMLSGFSLQFSKPFVAGDYIKAGSIEGKVIEIGLTSTSLINPEKLPVIVPNSLFSSQMIVNRSRATWRASVTKIPIRIEDIEKVPSISEEIKKMVRSNPNVSFESDAPYCYLSRLESSYGELTIGCNLKNMKKDEWLSAEQDILLAAARIIRSHGIELGSTMQCC >Et_6B_049312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3182625:3183791:1 gene:Et_6B_049312 transcript:Et_6B_049312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEQAHTNKGAWTKEEDQRLIAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKFHELFGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLARGIDPQTHRPLNANAATPAAAPAPQHYQLPAQKPHFAASSPGQHQLQQDHFAGALSRSPEACSHSSDEEPRSATPPPPTRPRQHLDIDLNLSISLAPYQPAEEFDNKPSLKQEPVTTTTAGSINAMPVCLCLNSLGYRPGVECLCGGAASSRQQEQWARSLLQAAPCYRGQ >Et_6B_049699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9018464:9023027:-1 gene:Et_6B_049699 transcript:Et_6B_049699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASVTNLGSNGRPGPMPSAVARRAHLVTRISFSGFDGIPRWHYAPGRLCRCMVITNLIEEKGAQFSPRGSVSVKADDDNDILLKAPQKPVRPNGRPEGLKAVSPPERKPAAATLEDREKVRESLDEVLEKAEKLEASSSGNLGPGNAGFKQNDVSTRSGPGAMTADANGNSRKTKTLKSVWRKGNPVPTVQKVVREQPRTDSRNQSISTTKPSVPSPSKPTPPLLSKPSVVPPPRRPVKPDASNEKKGPILIDKFASKKPAIDPVVPEDLLDPQKPVRRTPTKVKVERRNKQTTPVGSRRRMPNDDGVDEDTADVPIAGVAVRKGRRWSKAKRRAARLEAMQAEEPVRVEILEVGDEGMLIEDLAYDLAVTESEILRFLSVRGVMLDNVQTLDKDLVKMVCMEYDVEVLESGPVKVEEMAKKKEFLDAEDLDKLEVRPPIVTIMGHVDHGKTTLLDYIRKSKVVASEAGGITQGIGAYQVLVPVDGNPQACIFLDTPGHEAFGAMRARGARVTDICIIVVAADDGVQPQTSEAIAHARAAGVPIIIAINKIDKEGANSERVMQELSQIGLMPEMWGGDTPMIQISALTGDNIDELLETVMLVAELQELKANPHRNAKGTVIEACLDKAKGPLATLVVQNGTLNKADIIVCGEAYGKIRAMYDDRGRLVDKAGPSNAVQVIGLNNVPLAGDEFEAVANLDIARQRANERAEALRIERISAKAGEGKVTLSSIAASVSSGNQAGIDTHELNVILKVDYQGSIEAIRQAIQALPQENVSLRFLLQAPGDVSVSDVDLAVASEGIIFGFNVKAPGSVKTYAKKKSVEIRLYRVIYDLIDDLRNAMEGLLEPAEEEVPLGSAKVRAVFSSGSGKAAGCMVTTGKIVQDCNVRVLRKGKEVYVGTLDSLRRVKETVKEVGAGLECGIGVDDFDEWEEGDVIEAFNTVKKARTLEEASATVTTALKSAGVLV >Et_6B_048532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10366021:10378129:-1 gene:Et_6B_048532 transcript:Et_6B_048532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGCCGAKATAGEEMASEAYLAGEAVREARELVAELCRHFYGMGWVTGTGGSITVKVNDPAVPLADRLIVMSPSGVQKERMVAEDMYVMSADGKVLSAPVAKPWPNKPPKCTDCAPLFMKAYLMRGAGAVIHSHGMETCIATMLNPGAKEFRMTHMEMIKGIKGHGFRDELVIPIIENTPYEYELTESLSEAIAAYPKATAVLVRNHGIYVWGDSWINAKTQAECYHYLLDAAIKLYQLGIDWTTPEHGPINSAKRLRSIMGPGIANGSHASESLKCIVLDIEGTTTPISFVTDVMFPYARDNVRKHLTSTFDSEETKEDIKLLRAQIEDDLRNGISGAVPVPSDEASKEEVVNALVANVESMIKADRKITSLKQLQGHIWRTGFEKKELQGVVFEDVPEALKNWHDSGIKVYIYSSGSREAQRLLFGNTTYGDLRKFLCGYFDTTTGNKRETRSYFEISQSLGVDSPSQILFITDVFQEAVIISIRPGNAPLPENHASRRSRRAAMASSEAYLSGEEVRQARELVAELCRHFYAPGWLTGTGGSITVKVHDPAEPLADRLIVMSPSGVQKERMLAEDMYVMSANGEVLSAPAAKPWPNKPPKCTDCAPLFLKVREGSALFLLHFIVSPTVSSTEHSGLIPLVCWHLKAYQMRGAGAVIHSHGIETCLATMIDPGAKEFRVNLLDLVQFSILYDPTCEMNVLESPFPIRFMTHMEMLKAIKGHGYRDELVIPIIENTPYEYELTDTFSEAIAAYPKATAVLVRNHGIFVWGDSWISAKTQAESYHYILDAAVKLYQLGIDWSTPEHSPIRTAKRLRSISSPVILSGCHCHAAEPSKFNSCVVLDIEGTTTPISFVTEVMFLMPMIISEETQEDIRLLRIQIEDDLKNGVAGSVPVPTDEAGKEEVINSLVANVESMIKADRKITSLKQLQGHIWRTGFEKKELQGIVFEDVPEALKSWHANGRKIYIYSSGSREAQMLLFGNTTHGDLRKYLCGYFDTTTGNKRETKSYFEIFQSLGVDNPCQILFITDVFQEAIAAKNAGFEVIISLRPGNSPLPEDHDLRTI >Et_6A_047569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7506007:7508204:1 gene:Et_6A_047569 transcript:Et_6A_047569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSDDTRLALLLCAVAATAILALLHLAPNPCAYSLSRAVLSVAGLDPHLVSCGGGGDTAKKLKALLPDNLRSSSNTTTTGGPIATDFRVCVKPELPAHALPPYLCCPPAPSSEPINFTLPDPSEPLRVRRPVHSVGAEHLAKYERAVALMKALPKSDPRSFYQQANIHCAYCTGAHRQAGRTDLPFQIHFSWFFFPFHRAYLYFFERIAAKLLGEPGFAVPFWSWDVPEGMTFPVEFANETSPLYDPVRNPRHRPPKVVDIDYAYVDRNITDEQQIELNLRVMYKQMVTNAALTSLFHGQPFRAGQNDKPGPGTVELTPHNTMHTWTGDISYTNAEDMGTYYSAGRDPIFYPHHENIDRLWQAWRDIDGNHTDFTDPDWLDSSFLFYDEEARPVRVTVRDMLDMEKLRYTYAGVGTPWLAARPPTTPDVNTLKLKASPPSFPVALDKAAVSAQVMRPPKREEEVEVLVVEGIQADGGGLVKFDVYVNAVEYEKVAPGGREMAGSYVSLKHLGMEGAVVESSMRLVLNGLLEDLGAERDDSVTVTLVPVMGKVSVGGLRIVYMKD >Et_1A_007412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34278028:34285843:1 gene:Et_1A_007412 transcript:Et_1A_007412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRRDVSQGNDGEAGGTRESEILNMIYGHYKEALNKLPLEHMPALAQRLLDAGVCFGIADPVTSIIANTVCFLPGENGEPETNGAKKRKRTTKASRESGARKKAVLSKIVAGDASEARTIAERSLDGPINFLTKTAAAASRMNSTSEAVKTALKCAASAARINADTFITVSLALVSHMDSITQAVIERRCGLSVQHIRWFSGLLQKPLKLKNSDNPMHLAVGRCHPCDSDASIAKVPTGLAQSLRGFLGFQWKISGFVITAAFSKEVTVMARSGVQPCVQHNCQHRLVRPAVEELEVDMICTMARIESRSLDGLIKLMLVCVPNMSEHDAMTCLLKNNLKPLKAIRIAREQGHVTSSWDNSAYKAPADEACHPQSEAFVEFVSQTLPMAQSAIKTLLKASQSLSPSEVLSLSRLMSPSKNNFAKSQEPAVELNKDAMEMISSYKEAFINQQSFIRGKIEAALQKYEQTKGHRYELQIVCGLNENVGKKRWIRDSKRQYSHVNFWANSKDGMTPTLFFAEFSNDEDIENHQSFCYPLPDLSTDGTRILHPNKSHCGDSMDFEKIARGEHKLTHADIISHGQLMASTVGTLFEEDYIFLDPAQDTKLARDVNLDAWELNLNWEDQIRMMSKMCAERRDLQEAH >Et_5B_044537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3206320:3208636:-1 gene:Et_5B_044537 transcript:Et_5B_044537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETGETSAAAAEAEAEKVAAGEGERKITLVSREGQEHVVSEAAARVSPILMGMLGDDDRIQFENIPDPALAKVIEYCNKHAAAAASARAAASSISSVNPAAFVVEVDDEDRELVGDLGTDDLYHVLIAANFLGLEGLVDAVSERVANMIKERTPRQIRETFNITDDLTAAEKAQIRRQYAWAFYDGDIILLRLRHWVIKY >Et_1A_005923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15581361:15586135:-1 gene:Et_1A_005923 transcript:Et_1A_005923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRPRLPLRLLLPHLRRRAPLSSAYMRVLLSSSHAAADAAATESEEDAIVVRDTPVAPPRGGGAGGGAAWHHWERQREENDRIETKASIAARFRLCHELLWQRRWREMRGCLAEMVSEQGYDSAPTLCDILWNVFREWDSNGTIWDALANSYVKSQMIRDALYVLNQMNSLNMQISLSTYDSLLYSLRKTKIALEIYEEMESCGISPSEYSHSILIDGLCKQDKIGEALSFLKEARKGGMFKPLGMTFNILMSALCKWGFIQFAKSFFGLMLKYGLNPDRYTYSTLIHGLCKVGSVEEALDIYERVTKEGMELDIVTYNSLINGYRLIGLTREIPKMIKKMTYQGFEPDLVTYTILIAGLCEGGDVEEGLKIRKDLLDQGLQLNIVTYSVLLNALFKKGLFYEVESLLDEMCSSGMDMDVVAYSVLIHGYCKLGEIERALDVCSAMCDSQRVMPTSLNHLSILLALCKNRMLVEARLYLENVAIKYQPNDVALYNVVIDGYAKIGDISNAVQLFDQIVLNGMFPTIVTCNSLLYAYCKTGDRQMAASYFRGIQFSDLLPTAVTYTTFMDALSEGGEIQMMLSLFDEMRIKGIKPNAITYSVVIKGFCKQLRFSDAVCILDDMESIGVDADPITYNTLIQGFCEAQNIKKALEMHNRMVSRGLKATPVTYNLLINALCSKGRVIHAERLLELLRGNNTELRKFAYTTLIKAQCAKGMPHKAIVWVGKLIDAGFEASIEDFSAAINRLCKREFTEEALMLIPIMLSVGVYPDVQLYRVLGTALQKRNEVFYLPILQALAIKTGRSICYIWIEGIQDNVGHKQLQDQYSHAKHKALTRDLNMLQDVQGANKMPNPLNFGLVLT >Et_7A_052324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6949144:6950285:-1 gene:Et_7A_052324 transcript:Et_7A_052324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGNGKEEAKRTGLEGTGLSLQGGSHGNLRSAGSDQQLKQMLDSVKSSKSPAVINYGASWCCVCSQILPSFCKLSNEFKNLTFIYADIDECPETTQNIRYTPTFHFYRDGERVDEMLGTGEQRLHDRLWLYS >Et_1A_004990.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21629136:21629237:-1 gene:Et_1A_004990 transcript:Et_1A_004990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEKENSSGRFDRNNIEITDTGPEQLQNSPV >Et_5A_043000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9564910:9567565:-1 gene:Et_5A_043000 transcript:Et_5A_043000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARQPEAERRAYTSGSICDTDSQREKGVRNEGGKSNSFHVGPSALELLLRWKQKPDGYVEESFRVWRTDQTKEQSVLLSDAMTAWQSVIVLD >Et_5A_041839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3295223:3296637:1 gene:Et_5A_041839 transcript:Et_5A_041839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SILNRKCAKKAPKKVHKSEREKRKRDKQNDLFEELGKMLEPDRQNNGKACVLSDTTRILKDLVSQVDSLRKENSALKNESHYVALERNELFDENNVIRNEILGLQNELRMRLEVNPIWTHGTTRSNLAVPHPATTVFALQHSPHTPVITTMALPLQQPAVHDQSYVAPRRELQLFPETAETEDNERSQDQGISNHVTRPQARYPTTMVTLPGNVYPALPRMDDEQCSSGTTRSEKEDGPGNA >Et_4A_032836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14476379:14479920:-1 gene:Et_4A_032836 transcript:Et_4A_032836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKQNKKKQQQERSQRLSLTEVMSTASDQDLSVSLVGSNLHVFTVGELKSATRGFVDSNFLGEGGFGPVYRGAVAEGAKPGLKAQQIAVKLWDPEGTQGHKEWLSEVIFLGQLRHPNLVKLVGYCCEDEHRLLVYEYMPKGSLENHLFKKFPPVLSWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKTSNILLDPDYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLEILSGRKAVDKTRPSREQHLVEHMRPWLKDPQKLGRVMDPALEGKYSFAAAQKAAMVAYRCLSGSPKNRPDMSAVVEDLEPLLGVVDEDVPAGVAVAPARDEDVKKERTARRRDGDQRNNKDSGHGRRNRARSPKRTNVRRRAPGQSEEFWEWHMPAEGKA >Et_8A_058154.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:22010278:22010502:-1 gene:Et_8A_058154 transcript:Et_8A_058154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIFQPILRLSSTAPCWLTWTLTYVCTTLSTTSTNAVDPICSIAGVATDFGAWVHVDVAYAGSGCIGQSSGTI >Et_9B_065922.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17728560:17729321:1 gene:Et_9B_065922 transcript:Et_9B_065922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLVLPILLSLSLIRATTATNVDEPILMDCVDNVTDAHAGAFNAILDVLLSSLPAAAAASSGFAKDTIGSAPNLAYGLAQCRADVNASDCRACLDASARDAGTKCRGQRSAMVVREACMLRYSNASFFGAMDKSYEITKCGGGLHGQQNATSSQQFRSHLSDLLINLTGKAAYRSPRMFAAGDLEVSPSVKLYGMVQCTRDLAADDCHLCLASAVLSMLSPEYCDHMIFRSCFIRQEEYPFYNRQALDGADK >Et_1B_012962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4402789:4407133:1 gene:Et_1B_012962 transcript:Et_1B_012962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSRSSPTAGDAPVAELVPAADAPDAALAAATPDPDVEYGYVVPDDVPVLLHKHIGQGEIVDHLWRGQMGLSEEQQKKALELRNMTNGGSSAKESLEATGTDGAPCNPAPAGGCCQGNGGFTCCQSDLPKENKDKSVPAEQNQKSSGKENGKESSAGSKKGHAKICSMPTWFETWERADTYAALAVVAAAASVFVAFRVYKNLN >Et_10A_001502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4126054:4130830:1 gene:Et_10A_001502 transcript:Et_10A_001502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASACGGAGCGPHCSSSAGAEEDAPAERLGQLSISSMASTACGKCDGGGAAVAASGGASMCGECFRAYLFGKFKTAVTSNAMVRPTDAVLLAFSGGPASRVALQFIHEMQSKAIQSWETSNSQALPAFGVGVAFVDESSILSPKHKHEVESASEDIRSIVSSLSPGEKAVHIASLGDMFASESEDGAGRLREVVGMIGNETGRDDFLQRLRMLLLQKIALENGYTKIMLGSCASGIACHVLSATVKGHGYSLPADVQYVDTRWEVPVVLPLRDCLAQELSLLCKLDSLKTQQLLDRPGSGINSLVASFIARLREENPSREHTILRTAQKLKPFAFNKFSANGYHDFLPSRLRPKFQNVDSNESTFPEILCLICGSPFSESELQNLESTKHKAQKKIDLYTAHCCQSCRFQIIPSDTNMFEHFFSLLPKFWTDKVNSASASHNTLRDQIEDYLLEDDDLQI >Et_7A_051501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19706843:19708984:1 gene:Et_7A_051501 transcript:Et_7A_051501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSIEVFYVLTLCQGSLYIMASILGFFSFFPRRSLVRQSKFYGRRGTKAIDVYYQGAYATCMETGLLASRSTMSLASFAVDSLRSNSSKVQLAAVVILDNLLSQETDSREKLKSRIISSKKAVSKLIGMLRWSDVRDRDIRLFAAKATAKLADSIRIAEIPGMLKLVSSLLDAGNHPARYEDSLLHPHVTSGIVTTAGLINTENVAANQPLVQEYLAQTGSSQPRDGQHGERYKNRGCSWVRRCCLEMKERWSIPEEPPLTHQDSLPVLGMLTLEKLACHLDNCTEMLKATDLISKIIGLISYTACNKGNNYEQHKAVICSSLHLVRRLASTGGKIGVTARRKLWESPLLLDNLASILEENDSSPEVWTSTIDIIAQLAFDEDARREIGNAQVIIGKLIHVFFGPNDQSLRMAAGEALANLTMESTANCLAILEEPGYELVKDLKDMLCEDEYRNYIYVTASLLQNLCAHSRDKLMRHPAASEPLRSALPAVMQNIVSAEGKQLETLIGLASQICSIPECFVFDLDSQTNIAGLVKKMVGALNSNRKPSPEYPRMRRVIVEMVISLARSYPGYQTMLREEGVIEALSKAARTPSKVEKYRVFSGDEGLVAENGIPLRDLVERAKELIGSATPARRAQPGD >Et_3A_027097.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32712056:32712954:-1 gene:Et_3A_027097 transcript:Et_3A_027097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALCAARIFQLTRELGHKSDGETVQWLLQQAEPAIVAATGTGTIPASALASVPSLPSPNAGLARPHHHHMWAPPAASAGFSSPGFMNSAGAAPDAAGIGGLMQRMGIPAGLDLPGGGAAGGHIGFAPMFAGHAAAGMPGLELGLSHQDGHIGVLAAQSLSQFYHHVGAAGGSGQLQHPHQHHQHQQQEDGEDDREDADSDEESGQ >Et_10B_004132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1557366:1559048:1 gene:Et_10B_004132 transcript:Et_10B_004132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLRSKCSSVGRTLMGSLGNNLFGVASSSLEAVTRPSQCDAISQQIRTFIQMRTNLKVVDNSGAKRVMCIQSLRGKKGARLGDTIIGSVKEAQPRGKVKKGDVVYGVVVRAAMKRGRNDGSEIQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >Et_2B_020139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17192499:17195398:1 gene:Et_2B_020139 transcript:Et_2B_020139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLVLLAAAAVQLLLPVVRSGRVFSVADYGAAGDGTRYDTAAIQTAVDACAAAGGGRVLLPAPGDYLTATIYLRSGVVLEVTPGARLLGGTRQQDYPRESDRWYVVLAENTTGAGITGGGEVNGQSGTFVVTPSEKKNIMVSWNATGDCQGDECRPRLVGFIDSKDVRIHDITLSQPAYWCLHLVRCDNSVIHNVSIFGDWNTPNNDGIDITDSNNTVISNCHIDTGDDALCPKSLKGPVYNVTATNCWIRTKSCAIKFGSWSSFNFKKLVFDNITIVDSHRGLGMQIRDGGNVSDVVFSNIRMSTRYYHPSWWGRAEPIYITTCPRYPNSKEGTISNIQFINITTVSENGVFLAGSKHGLLRNLKFKNIDLTYKRWTNYTGGLYDYRPGCEKMVKHRTGGMMLEHISGLEIDNVRMRWSRGSLKGWEVNPLLFRPSTIDNLSFHDWQSQKSHYLSGKEGKKQNSHLTSDDP >Et_10B_004353.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4592325:4593452:1 gene:Et_10B_004353 transcript:Et_10B_004353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWRPEEDAVLRAYVRQFGPREWHLVSQRMNVALDRDAKSCLERWKNYLRPGIKKGSLTEEEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQAREVRDARRPPPEPSPDERGRYDWLLENFAEKLVNERHQQPQAPFMAAPVLPPWLSSSTTVVAQPPPPPSPSVTLSLASAAVAPPAPPAPHGWMPPERVAAAEAAAFGFPSPGQQQPAAAAGGGQPGMMSAAVVEGQALAELAECCRELEEGQRAWAAHRREAAWRLKRVEQQLEAEREVRRREVWEEYEARMRAVRAEQAAAAERVEREYREKVAELRRDAQAKEEKMAEQWAAKHARVAKFLDQIASSGGGHQRPRGPSWSSADIN >Et_1A_006962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29559836:29563434:-1 gene:Et_1A_006962 transcript:Et_1A_006962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRNDDFLYLLADAAAEGFQYGHPDAVCSPLINAKKNGQNMVETFAQYVKEFYIKEMETPVSSYDQEYLKNTTPDDSSSRLWWFQVCSEVAYFQVAPKTDSVRSASINTRYHLDLCRNVFGEGVYPDVFMTNLYYGGTRIAASRIVFTNGSQDPWRHASKQKSSEGMPSYIIKCRNCGHGTDLRGCPQSPFRIKGDASKCTSPVAVNTVREQIAKHIDMWLSQCQESSISGYGLERQDLCMVTSCCSVLESEFGFANPAVT >Et_1A_009445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5549388:5552386:-1 gene:Et_1A_009445 transcript:Et_1A_009445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAASAWGSAMAEAESARGSAMARSELQQGAMAEPDLQQGAVAGAVQGEGAIAGRGGEEGEDAVPGAGREGEGAVDGSGSGNHMDEAASNLSCTGRCKWGSCQEPVFWYWDIERKGSLVVATDDDLSMVFQKYQSEKFVSFVVEFPIKPAYKCNMTLEAKLEKLPVRRNSNLAPETNSSCESDEESGDEIEENVDPSWMEDEDIFMEDHDVFVSLGLRAEDEAARLNLRHDGVGFAGQNAEILVDDKAKNEPRFVIDKEKPKIRLGETFPTMLDFRMALKQFGVLNKFEVHKDKKATRKEKAKEAQDGPS >Et_1B_010652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12577992:12582443:-1 gene:Et_1B_010652 transcript:Et_1B_010652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGEEVVRALGAGFDLTSDFRLRFAKAVGQGRRLVELDDAACRDVPLPGGCGATLRGVPRDIGVDKGDRIRFRSDVLEFNQMSELLNQKSSVQGKVPSGYFNALFDLSGAWLTDAKDTKHLAFDGYFISLYNLHLKASPLVLRDEVKKAVPSKWDPVALSWFIKTYGTHIVVEMAIGGQDVICVKQSHSSTVSTADLKLHMEDLGDFLFSDGKNHSPIHRKTKDGKGKVPEVFVRIVQQPNNLHLSTYSETSTKDGLTVTCSKRGGDVYIPSHSKWLQTVPKNPDAIMFKFVPITSLLTGIPGSGFLSHAINLYLRYKPDLHDLQYFLEFQVPLQWAPMFNELVLGPQKKKGSCPSMQFRFLGPKLNVSTSQVSSSQKPVVGLRLYLEGRKCNRLAIHVQHLSSIPSMLGDSIASSMSEWRESEDTDPGYIEAIQWKNYSCVCTSAVKYNPEWHRRAPGGVFIVTGAQLITKGTWSKKVLHLRLLYTHIPNCSIQRTEWASAPASSQKGSFLTTISTTLSSPFTQRDAPPAPRHEPAQLNSGVYPDGPPVPLRSRKLLKFVDMSEVVKGTHDVPGHWLVIAAKLVKDGGKIGLNVKFALLNYDGQPQGDSFIG >Et_5A_042343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:938060:942357:1 gene:Et_5A_042343 transcript:Et_5A_042343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILNVRSFFHILLSTQLVLSVFSPLVVADEATEKQALLDFVSAVYRGNKLNWDKNVSLCSWHGVKCTADRSHISELRVPAAGLMGAIPPNTLGKLDSLQVLSLRSNRLTGSLPSDLASLPSLRSIYLQHNELSGELPSFFGPSLNVMDLSYNSFAGEIPASLQNLTQLTVLNLQDNSLSGSIPDLKLSSLKLLNLSNNELKGPIPRSFQTFPDGSFLGNPGLCGPPLSECSLPSSPTPSPDSSSPRRSPPISHHEKKLSTGLIIAIAVGGFALLMLVVVVLVVCFSKRKNKEESDVEYKGKGSATRSEKPKLEFSSGAQLAEKNKLVFLEGCTYSFDLEDLLRASAEVLGKGSYGTAYKAILEDGTVVVVKRLKDVVTGKKEFEQQMELIGRLGKHANLVPLRAYYYSKDEKLIVYDYIDAGSVSAMLHGIRGVCEKTPLDWNTRVNIILGTAHGIAHIHAEGGTKLTHGNVKSTNVLLDQDHKPYVTDYGLSALMSLPVSASRVVVGYRAPETIESRRITQKSDVYSFGVLLMEMLTGKAPLQSQGNDDVVDLPRWVHSVVREEWTAEVFDVELMKHQNIEEELVQMLQIAMACTARPPERRPTMEEVIRMIEALRQSATESRASSDEKFKDSNPPSE >Et_5B_043517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11420632:11421871:1 gene:Et_5B_043517 transcript:Et_5B_043517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMGQQAAADAELSRGELLQASIELTRHTLGYVRSMALACAAQLGVADAIRRGGGEVSLDSLLAALSLPPSKLPYLGRVMRVLTASGVFAMADGGGGYRLTPVSTLLLSDDAAGESRSLLQFVRIKLFPFCVAPVTNLAEWFTRDDEEETPFTMTFGTDYWGLCGRDPAFMEFFNGAMACDSRFVMDAVIHEMGDVFDGVASMVDVAGGTGAAAKAVAAAFPGIKCSVLDLPQVIDGISTDGGLVEFVAGDMMDFIPQADALLLKVMMMNLILHLFNHEFVLHDWSDEDCVTILKRCKDACKEPGGKVIIIDAVVGSTSQGICHETQLLFDLFMSTLTPGKEREEKEWCKLFQEAGFSNYKIRSMLGFRSVIEVFR >Et_6A_047396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5085548:5088853:1 gene:Et_6A_047396 transcript:Et_6A_047396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPQHYQYMELAQPSRCSSKGPTDDDARLMDMCSSLYHAVHRGRTQEVMALLLQQHGAASHDQGKGIFQHSRCDILEASAEGNTVLHVAAERGHDDLIRELHLRFREKNGLLSRRNAALDTPLHRAAWAGHAKAVAVLVELAREREEISVLDCKNEAGDTALHLAARHGHGAAVEVLVSAAAAASEVNDAGVSPLYLAVVSGSAQAVRAIVTSCRDASPAGPGSQNALHAAVFQTSVTIVTIQKVVSSFSPVLAEMVDLLLEWRPSLADEADVNGSTPLHYAASDGHRSVARAILRAAPPRTAYRKDRAGGLSALHVAARMGHGRVVRELLRSRPDAAELRDDGGGTFLHAAAKERRAAVVSLAAGDPALRGLLDARDRHGNTPLHLAVAAGAPGVVEALLRKGKVRADVLNDDGLTPLDLAEKSTSFFTMISLVLILVAFGAQSRPQRQDHVKPWSGRDIGKGIETASDTLSVVAGLVATVAFAAGFQLPGGYGDDGTAILKDNAAFQCFMFLNTVAVAASVATVILLVYGKASRSAGSWKSFVAAMHGLWLSLNCLMLAFYAALTAVATGKGDQYGLMAINVCIQVLIGCITVWMAPATESWRTLWGSLWRSCWRTRQHAIVKRQYPFAGASLPNFLLFLVVNFVVSFGFVVVSNPSVYAAYLPGRQNV >Et_2A_015403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1212442:1214490:-1 gene:Et_2A_015403 transcript:Et_2A_015403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLGHRENGRQRPDQYKAIHTQWMMPQRQLKDHHSMNILALMNEKDSAIRERDHALAEKKAAIAERDEAFAQRDAAMAERNAAIVERDNALAALELARTNGFNMNNGNGFHQGPLNGTKNIHHHDQLSHVQSSPLQLADSPYDHVREMHISEAYPISTAPGSVGKGKKPRKINSQASPLKRPSGVLRKTKKPTADWKNGGISGVGEDASRASVSKNEWKDQDLGLNQVSYDETTMPAPACSCTGELRQCYKWGNGGWQSSCCTMSISMYPLPVMPNRRHARMGGRKMSGGAFTKLLSRLAAEGHDLSTPVDLKDHWAKHGTNRYITIR >Et_2A_015452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13136050:13143655:-1 gene:Et_2A_015452 transcript:Et_2A_015452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVPDLSFSASISSVKLPRYGASKNETFLVKRSPTFRTEARATESDKHGSNGRAIKMVPTTELRRSNVNGTSLVKGSKMSSLVKTQKQMRPDATPFEEELKVLPSDEGFSWAKDNYNSWQRSVDIWSFVLSLRIRVLFDNAKWAYAGGFSEEKQKTRRGKTAAWLREQVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPEKAKAFIEKEMGCPIEVVFKEFEDRPIAAASLGQVHRAVLHNGEKIAVKVQRPGLKRLFDIDLKNLKLVAEYFQRSETFGGPSRDWIEIDYINEGKNADRFRRDFRNIKWVRVPLIMWDYTTEKVLTLEYVPGIKINNLGVLDSQGYSRSLLASRAIEAYLIQILKTGFFHADPHPGNLAIDKDSSLIYYDFGMMGEIKSFTRERLLSLFYSVYEKDANKVMKALIDLEALQPTGDLSPVRRSIQYFLDNLLSQTPDQQQTLAAIGEDLFAIAQDQPFRFPSTFTFVIRAFSTLEDLKQRRRPGPELVQEIRKQANDARDSTISMPYRVQRIENFVGQLESGDLKLRVRVLESERAARKATVLQMATMYTALGGTLLNVGVTLNAQGNQTIANGSFIGAGIFLALLIRSMQRVKKLDKFETMI >Et_8A_057880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8707497:8711525:-1 gene:Et_8A_057880 transcript:Et_8A_057880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLTVVVLAYLLLGSDGAGRPALSEDDDMALDMLNKPYAKTFEDKYGVIFDCVDMYKQPALDHPLLKSHKLQVLSSSAEAPVPFGLQESCPDGTVPVWRSVKREKAYTQISGQHGRRSKRPAQRSRWIHSVPPGQVSSAQILLVDEGISGRLSVIQAGWACPLKITYTNLYKKCSNPNQTVHQTLHQGTPDIEFRRNLTETELDEWTELMDTLEKVRLTLEPDSLKWALEKSVASFLWCVMRDARNWPRAPRCLTHYRDMCIINRGKIDRETNLFILAACSWTLWLTRNDLVFNDKIIPTPISAAYKALSMMQRWSMLTKEKDRAKIKEVETKLKEKIKAFQSQSQSIINLPSAGIGTWTALASCCLVKLKLRGWFFPRELSASVYPR >Et_3A_024202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18390752:18392341:1 gene:Et_3A_024202 transcript:Et_3A_024202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFFRTKMKHGLVTYWGIYMGALFFGLLMIMFNSFSELALTVFKLPVFFKQRDLLFFPAWAYIIPSWILQIPVTFVEVGRYVFLTYYAIGFDPNVSSITSIGSLSDGSVTFLVHWWGNMIVANAFASFMLLVVVALGGFIFVRGKKSPLSLSLCYSLQFSFFINLNFFVSDLTKSRNGGFGDWISPMMYAQNAISVNEMFGQDKMLNSTASNETLVPWGIPGAKWYWIGFGAMIGFTLLFNTLFTLALTYLRRDIWQLPSISIEEELKVKHDNIAGEVLNGNHLASASIHRSTGINTETRTVDDGGMILPFVPLSLTFDNVRYSVDMPTETKAQGVVEDCLEHLKGVSGSFRPGVLTALIGNTTLMDVLAGRKTGGYIEGNISISGYLKKQETFARV >Et_6B_049307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:371960:373941:-1 gene:Et_6B_049307 transcript:Et_6B_049307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEETGARRWLVDITRWRPSPAQFDAAAARASSHRPDDRKRALVSRLLQYSLVHQVLGIPFHRITICRAAEGKPYLQNENSTFRNFNFNTSHQGNYVGIASEPFCLVGVDIVSISKPQGESVTDFINHFSSYLTDHEWDCIVRAGSPNEALTEFYRYWCLKEAYVKAIGAGVVFGLHRLEFRHVDWTNISICIDGKESRRWKFWLFKLDEMHMASIAKGHPEDAVNSYKKTLSNFIIEEEQLHATLETPEGGFLLRTVEQLARLHY >Et_9B_064308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13909975:13914702:1 gene:Et_9B_064308 transcript:Et_9B_064308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGDDDSGGKLVVDRYRKREVLGEGTYGVVFKATDTKTGNTVAIKKIRLGKYKEGVNFTALREIKLLKELKDPNIIELIDCFPYKGNLHLVFEFMETDLEAVIRDRNIVLSPADTKSYVQMMLKGLAFCHKKWVLHRDMKPNNLLIGADGHLKLADFGLARLFGSPGRNFTHQVFARWYRAPELLFGSKQYGSAVDIWAAGCIFAELLLRRPFLQGSSDIDQLGKIFATFGTPKSSQWPDMVYLPDYVEYQYVAAPPLRSLFPMASDDALDLLSKMFAYDPKARITAQQALEHRYFSSVPAPTKPSQLPRPPPKGDSGNNKIPDLNLQDGPVVLSPPRKLRRVTAHEGMDGNIQRPDKAEDHPSGARHTDGMSSQSSRIPMSVDVGAVFGTRPAPRPTLNSADKSRLKRKLDMDPDFGYAE >Et_9B_065371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4320673:4322588:1 gene:Et_9B_065371 transcript:Et_9B_065371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHAEAGDTTSGGAGDDKEEEEQVRLVSVSWNQDKSCFVAATTADFRVFGCRPFREMLRRVHEGGFVAAEMLFRSSIFATVSVSSSSGDGGRHFKVEVWDDRENRRLWQRGYPAAVRAVRLSRDRAAVALDDKVRVYDLWAPTRLLCRIDTAPNPRGLCCLSCRADGSTVLACPGKERGQVRVEHLEEEEASRFIAAHASDLACMGMTADGAVLATASVKGTLVRVFSTVDGTCLQEVRRGRDTAEIYSIALSPDLKWLAVTSDKGTLHVFSLRIRVAGGKHAGAVPSAAAVPVVQTNTGSSSARSSLSFMKGEHKFCFVDDTVSRILPNYFSSEWSFAQFHLPEATRCIVAFGEQNAVMILGMDARCTFDPVNGGEMLRTEYFRFLNSKNNPE >Et_2B_019566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10224559:10227141:1 gene:Et_2B_019566 transcript:Et_2B_019566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPYTFLRRPNEDIFFSFQRSLVFPPPCPPATLPPAKEALPLLSLLPSSHDRNHAADHNNHQQPKMQEELMEAVEIKLQIGPPSPSSPSHLPDLAKSTVVDTDDDHLKVEEGEDQGSDGTAASIDGHCSEYLAIGKLTKGKYWIPTPAQILIGPTLFACPVCCKTFSRYNNLQMHMWGHGSQYRRGPDSLRGAQPAAMLRLPCFCCAPGCRNNVDHPRARPLKDFRTLQTHYRRTHCARPFLCRRCGKALAVRGDWRTHEKNCGRRWRCACGSDFKHKRSLKDHVRAFGRGHVEVPPPPANAQM >Et_6A_047077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2513882:2515032:1 gene:Et_6A_047077 transcript:Et_6A_047077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGSFLPLAFAAVVLLASVAVAQHQPRPPKAQGPKAKPHPMKVKCTGNRKQYPNCPPNAMECPAYCPQSCYVDCNLCKPVCVCSVPGACGDPRFIGGDGNAFFFHGRRDADFCIVSDRDLHINAHFIGKRGADGMSRDFTWIQAIAVLFDGGSHRLYVGARKTAKWDDDVDRLELTFDGEPVHLPDGADAKWTSAAVPALSISRTKAANSVLVVLDGKFKIRANASNFSTSGLFAADCALARFGPAGRHDDGAADISELAGVTCASGMSGQGVVCKK >Et_2A_018178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1709337:1713462:-1 gene:Et_2A_018178 transcript:Et_2A_018178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVTLPATKTTTSRGCNGKERDALFDLKASFKDPQSLLSSWRGLNCCSWYGVTCNNKTGHVIKLDLRNHNFSSKSGLTGDISPSLVNLTHLEYLDLQRNDFGGAGVLSEEHLNLSKLESLVMSSNLLRVSVGTKWVPPFQLNELKLHSCPLESQFPHWLQTQTTMMTLVLHSTGIIGPLPDWLGTSLVSLTGLDLSNNMLSGKLPASVMHMKSLEFLRLDSNQLEGQIPDMPRSIKVLDLSNNSFSGPLPRNSGSGLQFTSLSNNHINGSIPMYFCDLSSFSVIDLSNNNLSGKFPDCWKQNRTIIRLDFSNNSLEGEIPSSVGSLTSLLSLHLSKNRLSGVLPTSLRSCNNLRLLDLGENNLEGSIPAWIGHSLQLLAILRLRSNQFSGNIPDELSRLQGLHVLDLASNKLSGSLPKSIGNLTKMASKKSEPIQPVIFFSPNTEHSSSYNESLYITTKGDERMYSRILYLMKSIDLSDNELTGEIPVEIGALVGLKNLNLSRNHLSGDIPDTVGRMNSLESLDLSWNQLSGVIPQSMASLHLLNHLNMSYNNISGKVPPGSQLQTLGDQDPYIYAGNRYLCSPLVPESCSEYKENPADHDKQTDDRDVLLYVLSGLGFGSWFAAVWWLLIFSKAVSKVYAQFIDSICEKVFDSIILLKVKLSRKGLGGNQNPNN >Et_7A_053031.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:5236761:5237909:1 gene:Et_7A_053031 transcript:Et_7A_053031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSESRRRRGRDLPHGDDAAAKMPRRRQKHLYLVMDDWNMGYSIHKLDVDAWDAGGGSEEPRRRLPEPAALRVAAPEDRSTAYFAALGRRILFVPDRYIDEDPVLVYDTETASLSVGPRPTPALLPLGHILFAEIDGRLYSLNPRRADQECSFEVVSLVPRDADEHDPLCRRADRWAVESVPAPMPFHRHELVSAHAVHPDGRTVFVSARNRNPGRGMRKESTWSFDAQRSTWTWHGEWQLPFRDRGFYVDELDAWVGLRRDGFLCSCAVPSRGGAAALPECKLGKKTMFREELERHVGTPGATLTYMGDSRFCLVECAAREGVTYEDAIDGAVDGCVLHVTVFGLKYDKRGDLETTAQQPSRSYILSRCSGAFPAKMFWM >Et_7A_050400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12901885:12903024:1 gene:Et_7A_050400 transcript:Et_7A_050400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGINYGQIADNLPSPRRVSWLLRSMQVSKVKLYDADPYVLSAFLDTDVEFVVGIGNENVSAMVDPAAAQAWVQRHVQPYLPSTRITCITVGNEVFKGNDTALKANLLPAMQSVYQAVVSLGLQGRVNVTTAHSLDIMGSSYPPSAGAFRADVVPYMQPILGFLSMARAPPNPGVMDSNTKLNYDNMLYAQIDSVYAAIQALGHTDVDVKISETGWPSRGDPDEAGATPENAGTYIGNLLQRIEMKQGTPLRPSVPIDVYVFALFNENLKPGPASERNYGLFYPDGRPVYNVGLRGYLPPMDDESEGTRKVMSTRFPFVEFGISVTNFLHLMRRFSDCRLTEGSSIFANLCP >Et_1A_006140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18421155:18425649:-1 gene:Et_1A_006140 transcript:Et_1A_006140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTQTSSKDQVVTKVVKKLHEPQQDEVVGSILNESTVDNEETGDTASGNRYPGWPGTSVFRMLIPAQKVGVIIGHKGERVRRLCEETKACVRIIGGHLCAAEHAVIVFAKEQPDEPIPPAMDALLRVYQLIIDDASDLRSNSTIMIRILTPSEQAASLICEQGAMINSIMQASQTNIRVLDGDLPPVALEEDRVIEIWGLPARVRKALELVVSHLREYLVDRSVIPLFYPNAPMPTLHMDIPPCHYSDHHEGPLHAFSPGYHSLCSEDLQHEPWPETFYLRGRHPVESFQHADTFENRWEAHTSFRRYRSVTPPKHGISAYGPEASSPMEAFLPAPMDLHSHRNLIYGWRAPPSGPSANVERIRALISIYGQQAHSRRHTYQSPEMEKNTHCGISLHGREAHPARVFLSDGTELPPTPVIFAHELEESPSFSVCRPTTVENLLHCRVSACEPEAPPHVSPPPLTSQSAPVSSKINKKMQVPIFYAEAVIGPTGERIEYIRRASSCSILIRDSEGAMSIEITGSSATDVLTAEQLIKNFMAECAAASPGHSFDFIPSYLPRARSPQADILTTSGVSSLPEQRLQMIY >Et_6A_045847.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:19237430:19238521:1 gene:Et_6A_045847 transcript:Et_6A_045847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTLKHIVLINSSLQSADQSIQFNFTKLEELDLSQNVFGHSVASCWFWNVTSIKTLSLSSTYLSGPFPDALGGMVSLEELYFTDNGNAATMTVDMKNLCELGILWLDRSLSSGNITEFFEKLPRCSSNKLADLSSGYNNMIGLLPNETEHLTPLVSIDFANNSMSGAIPPEVGKLPILDTLVLKFNQLSGQIPLLPTSITTLDLSTNCISGHFPKELVAPNLEVLIVYSNYIMGEVPESICESQNIKLLDLSNNLLEGGLPRCSSMQNMLFLHLSNNSFSGLFPSWIQSCSSLLLLDLSWNKLHGTLPTWIGELARLHFLQLSQYALWRYSSEHHQSWSTSVLQFSSEQYIWINTLILVKVS >Et_8A_056210.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:15854683:15854766:1 gene:Et_8A_056210 transcript:Et_8A_056210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPLRCPWPFEQNFETFQGNDWPPEP >Et_3B_030546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4903646:4908662:1 gene:Et_3B_030546 transcript:Et_3B_030546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRVLLHPPDSRVFLLLNGGEIKSGRSLSLLCVGPRPAADKALEYTMVVRAGGEPELCRCPRPGPCRALVGGRGPGRLRPMGSCSCRMPTGAPLAASRSPFICGSLNGEKLPNRGRVEGHHRHTGAGHTGVSNLLRPVCKNGRVVCKTCCDCAHGKCPFRRESMGDIRCLRMEKLIAGMVFPCAFRARGCTRSLTYAGKPIHERLFCQYAPWACPIPGCPYNGGQLRQHIWERHAAAAGDDAAVSFVRQGQATVTLGTSTLPRVLLDPSDSPRVFLLLNGGGEDPTNGRPLSLVCLGGPCPDDQALEYTMTVRGGGRQGARSMSATGPVLCDRWWLMFHLPFSLGLEIHDNILNAHAAVAGAGDADTAVTFVRSTQVALHRGTPFRVLLHAVDARVFLLLNGGDVPGGRSLSVVCLGPRPAGNQALEYKVEGSAGGALDVGDGPRAVHPNLGGALPDGCVPPRAGRVLELHRQRLRHRRGAEAGRQGLKA >Et_6A_048049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4395585:4396736:1 gene:Et_6A_048049 transcript:Et_6A_048049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCGDLVMAFNLYDSPTFSGWIFHKLKHIRLHELPKLQNIAGLNRVILPELKTIKIRGCWNLRRLPILRSNNVVKCDCEKEWWDNLEWESEEHASEYKLTYPRYYKKTMLKGSVLRSPLLLTTLPPCDLKVILSVPFLRVQRILPVISSRFRLLGLFVLHCYGVVVLRSTICSWFALLCFFALVKLTRFRPSKVQSTLSGRVALRQLIASFAIVGLVRSDYAHCQKWVA >Et_2A_015734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17313071:17316332:-1 gene:Et_2A_015734 transcript:Et_2A_015734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSIPSALGHPHPTAVGPFLFHSLGDFRVRRCQTCLGPVLAAKSPGLGNVDNLKESSNLSRSWDFSSEMDDDREVLIECRDVHKSFGDKQVLRGVSFKIRHGEAVGIIGPSGTGKSTILKVMAGLLAPDKGDVIICGRKRHGLVSDEDISGLRIGLVFQSAALFDSLTVRENVGFLLYENSSLPEDRIGKLVTETLAAVGLKGVEDRMPSELSGGMKKRVALARSIIFDDTKEEIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHMTGRDALGKPGKIASYAVVTHQHSTIRRAVDRLLFLHEGKVVWEGMTHEFTTTTNPIVQQFASGSLDGPIQYF >Et_3A_025256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28270374:28275018:-1 gene:Et_3A_025256 transcript:Et_3A_025256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLPQPLAGFEVGWWSWHSWLVGVGLVAGTQLVTGDGGCGGLLRGGSGWLLRCGGGGGFWNPGRRQTLDFGGSWRLRLLSFVFCHLLCHLGGCRPKLFKTKEKKVAKSTDHPSCHDAAKAGPSSSKAASPSPLRTLSEVKSMRLSHFLAQSSNNTTTELVRIFVSTWNVGGKTPTASLNLDDFLPPDDNSDIYVLGFQEIVPLNAGNVLVIEDNEPAARWLVLINQALNRPADVDASIFQHEPSPSVDSSSSRASSSLDTSFSDLSKTANGSTIFQKSLLKSISKSFMPVRRKQLKACNCPVEMTKSSYRDACFGCPKAHGDETDSSEEDEEVKNKEIIQDSQGHLLDGVTSAPATRDRLKYNLIACKQMVGIFVTVWVKKELVQHIGHLRKSCMGRGILGCLGNKGCISISMTLHQTSFCFICSHLASGQKEGDEFRRNSDVLEILRLTMFSRICRRSGRKIPEKILEHDKVIWLGDLNYRIALSYTDTKNLLMENNWDALFVKDQLKIERDAGRVFKGWNEERIYFAPTYKYSLNSDAYAAESATSKKKRRTPAWCDRILWRGDGIVQLSYYRGESRFSDHRPVCGTFIVEVEVLNRKTKRRPSNADMRIGAEELLPMDKGKGIALCLLLTCLSFNQFFFLEQKIVPHCELLEDMMRACVS >Et_6B_049229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2013316:2017752:-1 gene:Et_6B_049229 transcript:Et_6B_049229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAALPQKMDALSRRASMLRDSLRRSQGNTDGMVAILGSFDHRLSALEAAMRPTQVRTHAIRTAHENIDRTIKAADSILSQFDLARRAEAAILRGPHEDLESYLEAVDVLKGIVRFFSSNKNFKSSEGVLNHVNNLLAKSTLKIEEEFKQLMSNYSKPIEPDRLFDCLPKSLRPTKGDNENDGASRSDHPSKGLETAIYRTPTLIPPRILPLMNDIAQQLVQAGNQQSCYKIYRDSRAAALELSLRKLGVEKLSKDDVQKMQWEALEAKIGNWIHFMRIAVKLLLAGERQICDQIFDGVNFNKGQCFAELTTNSVLTLLSFGDAVARSKRSPEKLFVLLDMYEVMRELQSEIEVIFEGKPCSEMREAALGLTKRLAQTAQETFTDFEEAVEKDASKTIVQDGTVHPLTSYVINYVKFLFDYQSTLKLLFQEFETGSDAESQLAVVTTRIMQALQNNLDGKSKQYRDPALTYLFLMNNIHYMVRSVRRSEAKDILGDDWIQRHRRIVQQNANQYKRVAWAKILQTLSVQGAGSTGDLSSSGVSRATIKERFKSFNMQFEELHAKQSQWIVPDQELRESLRLAVAEVLLPAYRSFIKRFGNLVESGKNPQKYIRYSPETVDQLLGQFFEGQQWAEQKR >Et_4A_033979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28734862:28736924:1 gene:Et_4A_033979 transcript:Et_4A_033979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGSNPRPKPWESAGTSSGPLPFKPPSGGSTSDVVEASGTAKHGEVVSTTGNNVTSNVNNSISRPVPPRPWQQQGYGNSYGGYGGGYGGLYGGSGMYGGSTYNSGMGGPYGGYGMGMSPYNQGPNSFGPPAPPPGFWVSFLRVLCDRGGMLYGELARFVLRLLGIKTKPKKGAIQHAGPSSLEGHGQQFADAPKANNSSWDTVWTQEDKGNRLIS >Et_8A_057673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:622148:622556:1 gene:Et_8A_057673 transcript:Et_8A_057673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSALTSLPARLRGPSAPAATATTGRRLLSDGKGRVLSEEERAKESVYIQKMERERQEKLKKKLEQEKTDADKNKPPESDKVHCLCHPTANVQYL >Et_1A_005536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11164359:11170640:-1 gene:Et_1A_005536 transcript:Et_1A_005536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPEAVALEIPADEGSPPARVPPRIMKRLLRGAGEGGGRKAPTAEDIQAKLHEAGLRRQQFHEALSSKAKRTFRNPQSQEEDLPAQRLEAKLVAAEQKRLCLLAKEQSRLAKLDELRQAAKNDAEMRFEREREELSMRVESRVQQAEEKRQQLLHARLQRRAALEERTKKLFLQKMTWEQRYRESVRAAILQKRTAAEKRRLGLMESDKTRAQGRLLQVQLAAKSASSQRETESNKLKEQLEDKLQRAKWHRAEFLKQRGSPHRSMRTSSIRNGEFLSRKLARCWRRFITSRKTTVALAKAFDTLGINQHSVVSMPFDELAFCIESPTILHATKALLDRLESRFVLSQSSSSLKPENVDHLIRHLGSPKKRILSSSSGRSKSTPKKASGNSDTSKSSRYPLRVLLCAYMILGHPKFVFSAQGEREERLVESAANFVKEFELLVKIVLDGPDGACILSHSMLDAGSPGSSIYQESSSIVADRKKFRSQLGVFDKAWCAYLYHFVAWKAKDAKSLEEDMIRAVCKLEVSMMQTCKITTKGQANNLNSDLSAIQKQVVEDQKLIREKIRHLTSEAGVERMESALSETRSKFFEAKGKERSMATKAANVASPVVTSFSGETSLSENRENSDMDVQKTSHVVQSLLRASSSPSESTTLSSAVTEKLPTANEQMVNEILHDIHGSLADKADNVGSIEGDFKAKIKETMEKAYWDIVADSLKGDVPDYSHLINLIKEVRETLHELAPAWKDEISNNIKLETLSQVLESGSQDRQYLGQILQYSLGMLRKLSSPAKEDEMKKNHDRLLRELIEDPESKYRDPKSFVISVIKGLRFTMGELKALKAEISRARIKLLEPIIKSSGGIEYLQKAFADRYGSPTDALTSIPCTAQWFSTLKDNVEEEWNEHASSALPVTNHVQPLVATLRTGRGVPDQLQSVIPAADNIGLPECTREGLGRFLRIGLLRLISSMEGTAGNSVPETFKLNWLRLRSVQSNFRQVIVIAISMLILHQVLLSENTTTPLELENVTMDLFNTLTKLLDNFSDVGTENIIEVMMHSSASTSTSSDEVIETRKQMLTRVFLKSLQSDDTVFKKVSASVYCAFRAATLGGSGAKGRKLADAALGRIGATKLTDRVVKAAEVLMKAAMISEQVHGPWYKQLFIMYISSISVYYNLICKHPQNSIRGTKIPQQLSSRGQRLRFRWRRGGLGGGAVLDLDWRVGGGGLDGRRRHRLGLELGDVVAERRAGFAAGTCGWFLLTWMRMDEAAATVMRTSPPAMAAVFSAPSDDEPAVPELMALSATYTVGCAFQFTKSINTS >Et_3B_030289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32020519:32026226:1 gene:Et_3B_030289 transcript:Et_3B_030289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDDPSPEPRLIGGGAEPPRIRRLEESVVNRIAAGEVIQRPSAAVKELMENSLDAGASTVSVTVKDGGLKLIQVSDDGHGIRFEDLPILCERHTTSKLSEYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYKDGVMENEPKPCAAVKGTQVMVENLFYNMVARRKTLQNSNDDYPKIVDFISRFAIHHINVTFSCRKHGANRADVHSASTSSRLDAIRNVYGASVVRDLMEIQVSDENNAETVFKMNGYISNANYVAKKITMILFINGNRLVDCTALKRAIEFVYSATVPQASKPFIYMSINLPSEHVDVNIHPTKKEVSLLNQERIIEVIKNAIEEKLRNSNTTRIFQTQAVNSLALNPAITQKEKATEVKMTSGTKSQKPPSQMVRTDPRNPSGRLHTYWHAQSSNLEKKSDLVSVRNVVRSRRNPKDASDLSSRHELLMEIEAHCHPGLLNVVKNCTYVGLADEVFALIQHNTRLYLVNVVNVSKELMYQQALCRFGNFNAIQLSEPALLRELLMMALKDDEMVDENDAEKQEIAEVNSEILKENAEMINEYFSIHIDKDGNLTRLPVVLDQYTPDLDRLPEFVLSLGNDVTWDDEKECFRTAAAAIGNFYALHPPILPNPSGSGIQLYKKNANSMASGDHADNTEDDIDQELLAEAEKAWSQREWTIQHVLFPSIRLFLKPPKSMATDGTFVQVVPLPLVIEYFKKLFTRSAPLRVFKDQSIWSAFVYGRCNCCTYSSACLVYKFSYYFSPA >Et_3B_028483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1675176:1678622:1 gene:Et_3B_028483 transcript:Et_3B_028483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSWRGLRLIAEVQLLFIFLLTQVGCGAALLNGEGLALLELRARVEADPHGAFQDWDPLDSNPCSWSGVRCSDGKVEILNLTGRELAGTLAPEIGRLQRLKYLLLSKNNFRGQIPREFGGLTALEVLDLSNNNLDGTIPEELTAIPLLKQLLLHDNQFQGFSEAHIMQNLQSFASAMHRRFLLEGGNLPALSGNDAKSSDMANSKETEIPVDVLSQGSGSFPAFPNAYGQMLMPLLPEAIEAARSQPPSGEGAQLTDGKLSSAKYSKWAYLIIIMAAILILIIALILVWRIRGRAPIAPWKTGLSGPLQKALVTGVPRLKRQELEAACEEFSNIINTYPSCTAFKGILSSGVEIAVVSTLISSSKDWSRSSEAVFRKKIDTLPRVNHKNFINFLGYCVENEPFTRMMVFEFAPNGTLSQHLHIKEFEHLDWPTRMRITMGIAYCLQYTHHELNPPVAINDLCSDTVFMTDCYAAKIADVSVWKDVSNKAKTAKEDGSSRSEAPPDLVSNVYCFGALLIEIISGKLPEPDDHEYESICNWASEYLKDKSYAKLLDESLKEHNSNELEAVCEVIQECIDPDPTRRPTMRDIVGKLRPALNIAPEAAAPRLSPLWWAELELLSVKAS >Et_8A_058361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5909718:5911443:1 gene:Et_8A_058361 transcript:Et_8A_058361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLRKPSVFATATEELDRVVGRGRWATEKDIPNLPYLEAVGKETMRLHPIVPLLIPRVAREDARVGGYDVPKGARVLVNVWTIGRDPALWDAPEEFVPERFVGRKMDVKGQDFELLPFGSGRRMCPGYNLGLKVIQLSLANLLHGFTWRLPEGMAKEELSMDEVLLGSQPRASSRSRSLLNPGSQLNSMHDAHMQFLEGCSFAL >Et_6A_046329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12991711:12996757:1 gene:Et_6A_046329 transcript:Et_6A_046329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLLCAAKLTFLKVFAPKPSSYIEHNATIEKGRSPCRFDRNNTEITETGPELLPNSPSFRAGTIRLHQINRNKNYRNESRTAPFDQSAAKLTFLKLFTPEPSSYIKHTATSEKERSPGHFDRNNTEITETGPELLQNSPGPSSYIKHIATSEKERSSSRFDRNNTEITETGPEQLQNIPV >Et_7B_054219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17811955:17813348:-1 gene:Et_7B_054219 transcript:Et_7B_054219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAVVLASPASDDRRFWDHLRNRVDTILDDRRVLVPPAAATRGVESERGKRLREDSLILVRGLDSVAASLAQLSDTLTAAQKGVSALAACPTQAAGCESAADADDEEPKAKRQCSASTEAAYLNGNTPAAVNDAGDAIPQRTGEVQASTEVGQSTNLKRARNLAVSMASRAAALARELKNIKSELHFMQERCGLLEEENKRLREGCDNEVAPEEDDLVRLQLEALLAEKSRLAQENANLTRENQSLMQLVEYHQLTSQDLDESYEEVMQGMQLDFSSPLGRIDSGDEGECDDGALVTPTDKLEVLSSSEE >Et_8B_059045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12930699:12931644:1 gene:Et_8B_059045 transcript:Et_8B_059045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTSLPQPLAVATLLLLLLQAAADSWLHEKFTTDGHVHAGYDASGRQVTSLILDHESGGGFHSKERYMYGEFSIQMKLVHGNSAGTVTSFYLTSGEYPGHDEIDMEFMGNATGQPIVLSTNVWANGDGRKEHQFNLWFDPTADFHTYTIVWNDRFVLFLVDGLLIRAFKRHADLPYPGGKPMQVHATIWDGSWWATQHGKVSVDWAHAPFVVSYRGYAVDACTPMGEGKPLRCPKDTDRWMKRQPSHAEWGTIAWARDKYMNYDYCHDAWRFPKGFPPECFRK >Et_3B_029201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2373109:2375204:1 gene:Et_3B_029201 transcript:Et_3B_029201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAMELSLLNPAMRHHGGLAGRSASGLPLARRSSVVRFRVSASAAAAPPKPSSSGPKKRGKTEIQETLLTPRFYTTDFDEMERLFNAEINKQLNEAEFAALLQEFKTDYNQTHFVRNQEFKEAADKMQGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIFRHLKANPEYQVYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTSFYEGIGLNTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVEINQKIIAIGQSDDIPLVKNLKRIPHIAALVSELIAAYLMPPIESGSVDFAEFEPQLVY >Et_7B_055070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:719551:722990:-1 gene:Et_7B_055070 transcript:Et_7B_055070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFFAGDGADDLSRTTSHPFDADDYDPNSAAAAGGDDAGGYGGYSSFVDGAVEDEVEEEIAVEGDGVPIRHVSGGYSPSPFSPELEPNGDDSGPILPPPTEMREEGILLREWRRKNALQLEDKERKEKELRAQIIAEAEEFKKAFYEKRIQNCETNKVHNREREKIFIAGQEKFHAGADKQYWKSISELIPHEIATIEKRGKKDKDKKPGITIIQGPKPGKPTDLSRMRQILVKLKHAPPPHMLQPPPAPAAKEGAKDGAKEGSKDGAKDGAAKGSKKPSESKETPANGPAEPEKEQPAASE >Et_1B_011420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2194129:2197788:-1 gene:Et_1B_011420 transcript:Et_1B_011420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGLHDALVNKCEENEFEKKGGSDPVIYQLVRVEGDGTLIPATEDEVLQFEHFLHDEKVDLPSVEDVGRVEELFSNDCMLLKKSDLEDGSSKLETTELGKKMMKVVYNHWMIPLVLPRKCTVVHDQQPDKLHPEQGDNNITPQDNASTETAKSTVLNDSFIAEKDRADACSRPADDTCSGQTDSRVTSSVPDFSILRGEVCLDDLTIRELQEAFRATFGRHTNVKDKIWLKRRIIMGLTNSCDVPSTGCVVKNYKIFGKDGKQVIPNTEEIPEVELQAISLVRDPVISLVNERNSSSSSYYQSDDQQGSSKRLKRVPITNDEQQGNLLAEQSTIKRTRKPTKRFIEEVSDIDTRDSTGKLSPPAKKTAHDEMLLKQWVTPFHDVGSLRTSYPTRKDTLGGLSVHVPYVSRMRRGRPRKDFISFVDKKPFVECSEAQTTVGVMLKKDGEEGNHVRKAYQAPPMVNSKGDHLEAVDNKGVQKLQTNDYNAAAKAKINRGFTRKHHRAWTLCEVMKLVDGVALYGVGKWSEIRKAAFSSYSYRTSVDLKDKWRNLIRASQTQLPTLTDGGCPRKTNPSIVPIPPSILLRVKELNELQSQGGGFTAPVKFSGPKSNVVQKGSGFL >Et_8A_057080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20984223:20987773:1 gene:Et_8A_057080 transcript:Et_8A_057080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCFSLLVASTIHMYYLKQDVSKSMAAVGKVLQLRNEWEIHLLVLLSFMLQVFLFFTGSLRRRSINKFLRLCIWAAYLGANAVPIYTLGFLSRQDAPIEGGASGGIPPLAMIWVPFLLVHLGGPDNITAFAIADNNLWVRHFLSLGLQVSLSAGWHDRGLFVRCIFLFVAGIIKYGERTMALKNGNLKSQKGITDIPKALDSDDKDSRVDSIMKTLEIELAMIYDDLYTKALVLRTKTGVVFRCTTVVSTIVALVLFLARNKQSNGKADVVITYTLFIGGICMEIWALFMILLSPWTWYWLNVHYKRFGWRFTSMSMRLLSSRLGLSKRILWSNTMGQYDLASALGNDNGWSTLWKVGLMRMILRTVHFSGVGKGAIVWFSKLPGIKYVEVDKEIIECLSNEVKRLDQGCEWSFPKLLPLLKGLREVILTDFNLAIIILYVFTELHLRQYPPASATSDMDESPVEAKNRGKVRRLAEVCRKLSRFMLYIKMTYQEIFPTDATIEATLPFLMDSAYKDRKDFMSYIEAEVANQYLDLREPEVCVETLEEIQHMWIRIIMYAAGKSRPEMHAAQLARGGELLTFVWLFMLHHGLGDLHRNRIELFTPEFVADKDTVRYAFNPPVSPA >Et_9B_064917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19857223:19859751:1 gene:Et_9B_064917 transcript:Et_9B_064917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISRLAAAKRFYAAQLGHGDRAALEELDMDEEVRPEFACPYCYDDHDVASLCAHLEEEHPFEPHAANRRRLRRFVIPGSQALSLLSRDLREAHLQVLLGGGHRSSNNNTTTNISADPLLSSFGLSFPTSDADETSKSTISVPDDATLAKEAPARAQKLSIDSSLTSEEREQKRKQASIRATFVQDLLLSTLFGD >Et_8B_058742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19983477:19984304:-1 gene:Et_8B_058742 transcript:Et_8B_058742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEAKKPPRLHARPLLLALPFLSLLLLLYVYTSSSPRPSAAVAVSSSSTATTALPLNQSPPHIRMRAGAARFRSYDDYLRHQLNKTLDPRLRRVWATRDWRRKVDAFARAFARLRDEDPHLLTNASRALCVGARLGQEVAALRQVGVADAVGIDLAPAPPLVAKGDFHEQPFADDTFDFEFSNVFDHALYPDRFVAEIERTLRPGGVAVLHVAVHRRGDKYSANDLLDVQGLVGLFRRSEVVRISKVDAFGLDTEVVLRKKRSL >Et_10A_001228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22370574:22375609:1 gene:Et_10A_001228 transcript:Et_10A_001228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEPARVQNLRLSLAHRGEKAIIEGLKLRSDTSQLQYTIVYIIEAMVYLKTKSINNCFMLLERTFPPPVPATHFLFVECNSSFSNVICRKLGEETITVSMVAAVVAAVLSGTLNVVANKLAPLIIKQYSSVVGVTKDLEELKDLVNEVNCLLVGVGYEAMRNDPPLDWLRKLKDIAYTVDDVVDEFQLKAEEHDASLAAGGGIIFNMLIKPKSFIFQCKAARKVKKIKKEFAAIVKKRTDVSAIINSLPPCPPVRLTKDTVVGPSLPIVNEALVLGRDKEKKKIMFKLVEDCDQQEIKVVSIIGLGGSGKTTLAKLVFNDDKIIEKHFEVRLWVHVSQEFDVQNKLVKKIFEAISNENSESHSIQHMIKKISDKLTKNRFLLVLDDVWTENRLHWETFMEYVKDGAPGSKILLTTRNRNVAEAVECTATNLFNLPFLSNTYSWQVFQQSFGIAVKDLDPEFLEVGKDIVNKCGGVPLAIKVLAGVLRVKKRIEQWHAIRENNLLYAEDKERQVSACLSLSYYNLPSYLKPHLPPKNTFEKARSMYVVQGDDFIFGKALENAKHLRSVTVQSVQTKSALRAILQIKNLRYLNVSGLKCETFPVVISDIWGLEALHVSLSHLVELPESIGKLHKLRVVHLSYCSDLERLPDSISNCHMISSINIYSCQKLTKLPNSISGNIHLRVLRLARTGIERLPSSITILENLEYLDLRWCSKLVELPKGIGNLKKLVVLNLQGCGKLEAMPIGIGHLTRLQKLGLFAVRGDKNCAQISELGNVNRIGGKLIIHGIPCGMDPNDGHACLKEKTNLQSLELFWRKNIAANIENEVAVLDGLEPPSGIRSLKVSRYVGSTYAQWMLQKVSTGAAQRPRFPWLRRLELSDSPNLKHLQGLVELPRLEKLVLRQMPALESISGGPFPALVDLVMREMYSLGVVWVVQVVSKGNLADDVQGGGSRQVTVGSRLPRITIDRCPKVRAFVLGQQARSSIRVAPYRSGCEWKLLQHMMALESLEIDECSGLIELP >Et_4A_033438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22832525:22835932:-1 gene:Et_4A_033438 transcript:Et_4A_033438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEEGRIFVGGLSWQTDERKLEEAFARFGKVVDAQIMLERHTNRHRGFGFVTFEDRRAVDSAIKEMHGQELDGRTISVNKAEPKMNTDDTRYESGGGRGGDYCGSGGRGDGPPPGNCFECGRPGHWVRDCPNVGGGRSSRFSSKFSGGGGSGGRGDRFSGPDRFGDRYMEDRYDGGRYGYRDPVDSRDRYAGGRDRYASDRYPSGGDHLGADKYGGPDRYAPSGYGRERERSYERDGGRGGYDRTGPRGGASYDRDGPRGGMGGGYDRDGPRGGVADRYGGGGPARYDGGSFRERPGPYDRPSRGGRFDDRY >Et_4A_032253.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:26484475:26484702:-1 gene:Et_4A_032253 transcript:Et_4A_032253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIGNPGADHVHKVFAELQYLAGIIAVCYLEREYYTQGGPLIERLEYGAVLFGASGLALNIIYSYFDAVDSRRR >Et_3B_028589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17001448:17023310:-1 gene:Et_3B_028589 transcript:Et_3B_028589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRPLLLFTTVLLLSSTVAEAVVCDSREEMTTRVNPLYYECCRQGRQYKLNDTYHANLTTLVAGLLANISAAPDAYFASGRVGSVSGFALCRGDYTGGACARSLNQTIQDAVVASSGFICPLYKDVAVYYDQHMLSFSSDALLYGDRTNRPAWIASNMNYVTGDAAKRYGDRVKELMNSITDYAARNSSSLYATGMSWFGEEGVDVLHGLVQCRPDLERELCRECLANLTVEIPGRFTNTGGDHRVGGRILGPWCNLRFEKDLFFQETKETIKLHMPKKMRLIISQAKRLGEGAFGPVYRGQLRCGVVAIKRLSVHSWQGLAEFRNEIKLIADLQHLNLVKLIGCCIQHNENILVYEYMPNRSLDDVFSDVAKWASLTWSKRQKVINGIAQGLLYIHNYSQSARCIVHRDLKASNILLDSEMNPKISDFGIARMFNSSVTELRTTRLIGTRGYMAPEYFFGNTFSVKSDVFSFGVLILEIISGRKVATSFCRYKNSNNLMAYAWRLWEDANCKQLIDNSLSVGEHDQEVEIIRCSQIALLCVQANPEDRPDMKEVVRMLSNKDAQLKNPKQPSYFNEPIMNIVAATNNNYARTEYLLPLLLTALLLISSTAAANVDDEVTAEVSPLYYRCREDGGRYTSNSTYLSNLKALYYGELIAKANFFPGRSGTFGQAPDAVYGIVLCRGDYTGASCSDSLLRAFQSAVDKGFLCPLYKDVTIYYDQHMLRFSGDDFRQSRRGTINAMAWVAWNMNHVTGDEGRRYGEKVRKLADAIVDVAARSPDRYATGEAWFQGKKDDASTVYGLVQCRPDLAADDCRSCLAGLVALMPAWFGNDSTGDHRVGGRIVDVRCNLSLTIDAQAHNELEEWTKLLASEIGSSFTLFTLSEIRKATDNFSEAKRLGEGAFGPVYRGQLSSGVVAIKRLASYSRQGLAEFRNEISLIANLQHINLVKLIGCCMQHGENILVYEYMPNRSLDDIFSDVGKWASLTWLIRQDIIDGIAQGLLYIHSYLQSDRCIVHRDLKASNVLLDSQMKPKISDFGTARMFSSSLTELCTSRLMGTRGYMAPEYFFGNTFSVKSDVFSFGVLVLEIVSGRKVATSFRRYKISDNLMAYAWRLWEDKNCRELIDNSLSIEEHDQEVEIIRCIQIALLCVQANPEDRPDMKEVVRMLSNKDIHLDNPKQPSYFNEPIMSIAVAASNNQTHTQDTPDLLDSHVQAKRSCPNFINSNNEGVGTARTVAGTRPTARICRSNLKALYGVLVAMASTPNFVSGAVGEAPDAAFTSCLQLVPAGLNPCQIEHCGRSCYPLLLAGARPQTLEPLLGGSAHTTDAPAAHCCAHARASSLF >Et_7A_052569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9447928:9448624:1 gene:Et_7A_052569 transcript:Et_7A_052569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSCNIRWHRENILLDSYMMTTKVIHQALVLALVFIMLTTHQSWGEHDCYGEKELVKNKCRKTITMVGDYVPPDDNCRRAVEASDMASICRILSLEEQNKICVVKLCGKPVPAGEKCGTWTVPPVVYTPPPRAREEDDIKARSQIRIPQMKKINRC >Et_6B_049410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4438533:4441966:-1 gene:Et_6B_049410 transcript:Et_6B_049410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KHADETGGGGPDDVLHLLLSSLPSDEAVRTSVLARRWLHHWKSAHAIRIAARPRRDPDHSWTPRRLTRFVNHLLLLRGYSPAADECDIRCGELDADRDDDDCYGYDAVSECRASRRSDADLSDAAGLWIRHAVSFCKCSVLRVSIRTGNRLRIPDVPFVSQSLTKVELTDAKLAFDTLDFSRCPALDALDFSLCRIRLGRILSPSLKRLSMDDCNFTGETRTRISTPRLVSLHVTVCSGWAPFLDDMPMLVAADIRIQDDLSSDMCQYESHYRPCDRKTCYDCNGDDKDCGSVLFQGLSSATDLELTSDPRVFIFRKECQSCTTFKNLKTLLLNEWCMTGDFGALVYFLRYTPILEKLTLQLDYCEDRLAVAVTDEKYSPKEEFLVSKQLNIVEIKCQEETELVGKILMILWTHGIHPEKLNIESDFRPPCSKTFASLQYFYRMVMRRMIHTMEIHDFQANTNVLSMTKRNCACCSSMSAHPRRERRLGEDLSTATGLRSATHCPSATPGSSKYSSTPGSACMMPGVGGSEPEHLPSPCRQDPVFVVETSELRTGRDSMP >Et_3B_029531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25810860:25815798:1 gene:Et_3B_029531 transcript:Et_3B_029531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGMSLVRRAADAVRRTPRWQKCLVVFTVGVGTLTYACQDNRVLQICDGTGNKKKLVILGTGWAGASFLRNIDTSLYDVHVVSPRNYFTFTPLLPSVTCGTVEARSVVEPIRNIVRKRNGAFRFWEAECYKIDPTSKKIHCRSDVGTNIDGNGEFVVDYDYLVVSVGARPNTFNTPGVTENCHFLKEVEDAQKIRKSVLKCFERASLPNLSEEERKKNLHFVVIGGGPTGVEFAAELHDFVNEDLAKLYPDVKKYVNISVIEAGGHILTMFDKRISQFAEEKFKREGIDLKTNFKVVKVSDKDITMSNPATGEVSVPYGMAVWSTGIGTRPIIMDFMKEVGQGSRRVLATDEWLRVQGCEDVYALGDCATIAQRKVMGFHDLLKNSEGKESKELDIEEFKKALAQVDSQVKMLPATAQVASQQGTYLAECFNRMQTCEQYPEGPIRIRGEGRHRFKPFRYRHLGQFAPLGGEQTAAQLPGDWVHVGHSTQWLWYSVYASKQFSWRTRMLVVSDWGKRFIFGRDSSSL >Et_7A_052925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:26271868:26275064:-1 gene:Et_7A_052925 transcript:Et_7A_052925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGWRRALCTSVQRDDHDDHDAGKAKAKKRRPRDHAGGFFSKSGSHPATPTLRCRTKPLQEPADSAPVTPPSAPAPMRKPRMPLLQALSAPASPRSPSRFALLKASLLPSKCRCGVCCRVVKSSGSSAVFTAECSHSFHFSCIAGHARSSSSSGGVLSCPVCASPWRQAPFLASLRLHLDDDAARKPKAAASKLYDDDEPLVAPKAAANGNGGGGGGGFNPIPEDDGEEEAEEEEELKKGLFPRSGVAVSVAPEAALVSSGRRHGKYVVAVKVRAPGLVSSSSKQQQQRRAAIDLVTVLDVRQGMTGEKLQTLKRGMRLVIASLGPADRLSIVAFSGAAKRLLPLRRMTRQGQRSARQIVDRLVVCAAAASSAASSQEEQQQQQGGGCVGDALRKATKVLEDRRDRNPVATVMLLSDTTQQQQQQPQQQPLVRRPAVAPATRFTHVEIPIMDAPPPRPSHDKEEEHAFAKCLGGLVSVVMQEVHLELLFPTGKITAVYSSQQQAAMSVAGNGVAVTVRLGEMYAEEQRELLVELRAPLHHHHQQQSLSVRCSYRDPASQDTVRAADHPPLPLPALRGSSRRLHDLFVATRAVAEARRLAELHDLATAVHLLSSARALLLQSPPSQQRQDLVGSLDTELSDMRWRRTQQQPLTPTSRRSDRRTTTSESTTPVGTPRAGSSGPAAAAEPLTPTSAWRAAEQLAKVAIMRKSMNRVSDLHGFGNARF >Et_5B_045443.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22586292:22586480:-1 gene:Et_5B_045443 transcript:Et_5B_045443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEPVRYSVKASATRPNMAKRPFQSSASALMKPPLLASALSPWSTGTSDAAHPAPYMRLRP >Et_8B_060347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:53240:55551:-1 gene:Et_8B_060347 transcript:Et_8B_060347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTARWQLLAGEVKKQASWFLQDKYKQARLALGDVTPAELLVQEATNNDVGVPDARTLACIADAAFDMDDCWRIAKVLHGRLMKGSVDWKEWRPVYKALVVLEFLLTHGPEDLPREFMPDMPAMNDLRSFNYIDDKGFNWGACMQRRADAILALLTDGDRLKEARRRAVRVSHEVQHGIGSPTTSSSPSSASSSSSRTSRTWSFGSHYSDSPTMCLTSGGSSDADYRHDKKFDAYTADDDWTKHATKWPATVDEQHHDDEDQDYHQLL >Et_9B_064945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:209426:211457:-1 gene:Et_9B_064945 transcript:Et_9B_064945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRDALYSIDAADARDEQFGDLVPCTFQQPDGTAKYTFQSIQKMETRPSRKYATVAELESSLDSHHVFTRMETFSPVDFLLQLSCILKFNGAYKGNPGKSGAGAIIRQLDGSVIALLREGLGVTTNNAAEYHALILGLTYAAKKGFEHICAQGDSKLARYQNDNMADLCNKAKELKGKFLSFELNYVLRVGITSSLSFGLVKVYLSSATGGNSTWMLMFELTLQLRFLLVKFKITQTFHARSCASE >Et_4B_040063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8884124:8888651:1 gene:Et_4B_040063 transcript:Et_4B_040063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDQLLNQRYSEDKPAVVSIVGNGGQGKTALAQLVFHESRIVNYFDKRIWISLCGSFDELKYTKEILRYLDSDVNMDGMNLNLLQETLQMKLEGQRVLLVLDDMCIPEQLTDYMNTKRWAKFVDPLSNVRCHIKILVTTRMRLVADLLDSVDVCVLGALCKEDSIALFEASALSNGNLELYPTLSDLGIEIINRLNGYPLAIKVVGSLLSGQLDSEAWRQVLDIDLSKDVDIMTILRLSYNRLPEHLKKCFAYCSLFPKNWSFCPEDLGAQWAALGYIEVTSSWSELKITSATYFQQLVSRSFFQPIQTENNEVQYSMHDLLNDLAVYITAGEFLRVEGSSCPTIPLTVRHLCITDTALERMKDKSVLQRLKTFYVLPDYSEVKFGAKSPDHKAALRKLRSVRVLHLHYGYETRAPDENDNWKADWIMNPNGLTGTLVVKIPGNVGSIEEASRLQLVKNFRALILQWVPSNKQVDSRDLLTKLRPAQILQVLIMENYPGRSFPDWLCCNKFEILRHIELLGCEQCEQLPSLGDLPSLLTLNIYDMRALKKIGPEFYGSMGFPSLVTAEFQDFRELEEICQPDNSMKVFPSLRSFILHDFPKLSSHKSEPPDNFVISQTMNETNLDLPEPTVPGQEVLA >Et_1A_008496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8128115:8143597:-1 gene:Et_1A_008496 transcript:Et_1A_008496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRSSHVGSPDHLHQRAAAMETRVAVVTGGNRGIGLEICRQLASNGVLVVLTARDEKKGSQAAENLQNSGLCGVIFHQLDVADRSSIMKLAEFVKTKFGKLDILVNNAAVAGTTIDPDRLQELQKQDPMASLKAILIYCIKMSVQEDLRTFVDGYMGSLQQNYEMAKECLDINFDGTKNVIDCLMPLLLLSSSGRVINISSQVGQLKFMSNEGVVKVLSDIDNLSKEKLHDVANVFLTDFKEGNLAARGWLPVASAYAASKALLNAYSRLLAKRHPSLVVCCVTPGFVKTDMNYGMGLVSAEEAAKSAVVLALRDDRGDSGLNFELGNWNLEKAINLFYAGGGVVAAAAGTSSDATPVEEKKEAEEEEVRAPIPSRVERIYGDPSYYGRSRRSRATVPAVPVPSIWDAPSPKPVPAVLVQGPSLTEIQVSGWGADGTDNGGGVGNYEVERANEIVEGPGSGGAEPGSGGGGNGSNAQEKNFNGSEEAVDVVQEDEEVDINVEDGEEQYNGEYKDKQDNVDEHGGEEQHNVSEYVEEAYNASDNEGEIDDYGYDMDEDDGYYHDSMMEEEEPSWSDSDAGERPSLQAAPQSLAEMYRLPYELMYQGPSFHFAKVEAARRDRFLLVNIQSRSDFASSLQNRDLWKNAVVGQVVRDNFVFFFLYKGIGEKDEGLKVCHYYGLEEDDQLPAVLVLDPITGEKLAVHAGAIRPDDFMMLIDKYMTSKPSERSRPKVVQETAEVPQGSEATGAAGGEKEQEAHESSTASAGADAEKEQEPAVPMVEEAPAEMVVESDDEPEEGEKMYRMRVRFPDGSVVAKEFGCKRKVSKLFAFCRSAVHDAGKTEQVAFRIMRLAGRAFEELQNNGATVALVTGGNRGMGLEICRQLASSGLTVVLTARDEKRGNEAVDKLCGLGIRTSCSTSLKSPILLVLLVNNAAILGVTMGVGDQAAAREAVAGKDVNETAEWLRQRITQTTKDAEECLKINYHGTKNVTEALLPLVQSSSDGRIVNVTSGFGLLRYFCGEELRQELSRIDTLTSDDAEAGRAFLEDFRSGELEPRGWPTDRVYSAYMVSKALVCAYTRILARENPALRVNCVHPGYVETEMNCNTGNLTAAEGAYVSVAIALAEHGVVTGGNRGIGLEICRQLASNGVTVVLTARDEKRGVEAVNALKTQGLSNIVFHQLEVGDRSSAARLAGFIRQKFGKLDILVNNAGIVGTVTEVSDPESFQRELAELGGMEKLEWIRKHTKEPYEKAEECLRTNYHGTKNVTEELLPLLQFSRHGRIVNISSYFGLLRFFSGIDLKQELNSIDNLSKERLDDLSELFLKHLRNGQLETHGWPAEGGIPAYKASKALANAYSRVIAKEHPTLCVNCVHPGYVSTDVNFHSGDLTVEEGARGALMSALMPKGGMTGIYLDCTKVASFV >Et_4B_036779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11479841:11483925:-1 gene:Et_4B_036779 transcript:Et_4B_036779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSANVPVVAAGFHPTKSEEWMTERADQLKEKISGMFEACTTIVDQLNLVDTLQHLSIDHHFTEQIRSMLSIIHAGEFNSNCLYEVALRFRLLRQQGFWVSPDEFNKFKDENGAFDVEITNDPRGLLSLYNAAYLLTHGETELEETILFARQHLESMKDNLENALAKQVKRSLHLPLTRTLKRVETLHYMSEYSEELTYNSSILEFAKLDFNLLQRVHLKELKALSRWWKDLYKEMGLTYSRDRVVECYLWAYTAYYEEEHTRARMILAKIIALIILTDDTYDVRATLDECRKLNQAIQRWEDGAVSLLPEYLKMFYLKLISIFKEFEDELKPHEKYRVAFSRKAFQILSNNYLQEAEWSHCGYKPRFRDQVNVSTVCSGAPFAGVGLLVGMGDDVATKEALEWAINCTDAVQAFAEVTRFMNDLASFKRRKNKNDVASSVECYMNEYHVTSELALAKIDSLIEDAWKTINQARFEHHEILPAVQRVVNITTSMPFMYNDKKDVFTYSDGLKGVIKSLFVDPIPL >Et_1B_011535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23332430:23336266:-1 gene:Et_1B_011535 transcript:Et_1B_011535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSKAAGALPPKDAATLPADNPADPEAANGGADGGADGDDKDAAKRAVPAFREFGLAELRAATKGFSADLIVSESGEKAPNVVYRGRLDGGRLIAVKRFSRLSWPDPQQFLAEATGVGKVRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRLRVAYYIAQALDHCNAENRKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIAESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNILLLMDSSLEGQYANEDALKLVDLASKCLQFEARDRPNIKYLLSSVGPLQKQKEVASHVLMGITKATSVLPTILSPIGKACAGMDLTAVHDILLKTGYKDDEGAENELSFQEWTQQVQEMLNTKKFGDIAFRDKDFKTAIDYYSKLVSMMSAPSATVYARRSFSYLMNEQAELALRDAMQAQVCMPEWPTAFYLQALALSKLGMETDAQDMLNDGATFEAKKQNSWCG >Et_6A_045998.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:1776358:1776582:1 gene:Et_6A_045998 transcript:Et_6A_045998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHELAEVLEVDIQSTFVSVDGKWLSSKRFWLLNIFSSAALWSLWKLRNNFVFQNGKWRDMRLIWNMMVPMLHQ >Et_3B_030208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31299524:31305040:-1 gene:Et_3B_030208 transcript:Et_3B_030208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAASAPVFSHLLRPLPPPPMLSTLLLRHRLSSSAAASSTLTRSPLRVLAAAMSSSASPSSASGGRKPNRLAAEHSPYLLQHAHNPVDWYPWGEEAFEKARTKDVPIFLSIGYSTCHWCHVMEVESFENEEVAKLLNDWFVSIKVDREERPDVDKVYMTYVSALHGGGGWPLSVFLSPDLKPLMGGTYFPPDDKYGRPGFKTVLRKVKDAWETKRDALERTGNLVIEQLRDALAAKASSQDVPNDLAVISLDECVDKLTSSYDPKFGGFGSAPKFPRPVEDYIMLYKFRKHMEAGKNSEAQNILKMVTHTLGCMARGGVHDHIGGGFHRYSVDECWHVPHFEKMLYDQGQIVNVYLDTFMITGDEYYSTVARDILDYLRREMIGKEGEIFSAEDADSAEYEGAARKKEGAFYVWTSKEIEDTLGENAEMFKNHYYVKSSGNCDLSRMSDPHNEFSGKNVLIERKPTSLMASKCGKSLDEYSQILGDCRQKLFDVRSKRPRPHLDDKVIVSWNGLAISAFARASQILKSERTETRFNFPVTGCKPIEYLEVAEKAAKFIKEKLYDASSTRLQHSYRNGPSKAPGFLDDYAFLISGLLDLYEFGGKIEWLLMSCFWTNKEVAILILLEKILLFFCVLKRIMMVQSLLAVFETRLRQLSIALPLMCCAADMLYVPSRKQVVLVGQKGSAEFQDMVAAAFSTYDPNRTVIQIDPTNTQEMEFWESNNANIEQMARSSPPEKPAVAHVCQDFKCSPPVTSPGALRELLNKAVSAASPSA >Et_2A_017667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4947064:4950773:1 gene:Et_2A_017667 transcript:Et_2A_017667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVMPKQRVLEAEQREEAMEMSGLELWKHEKLPKICPMPPPMPPAACDEATLVPPLNFAMVDDGIFRSGFPETTNFRFLKSLNLRSIVYLCPEPYPETNMEFLEKNGIKLHQFGIEGRKESFATIPDDKIREALKVVLDPRNQPLLIHCKRGKHRTGCVVGCLRKLQKWCLSSVFDEYHRFAAAKARITDQRFMELFDISSLKHLTPSHC >Et_1A_008149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4748543:4753749:-1 gene:Et_1A_008149 transcript:Et_1A_008149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGTKSKQAAAPIPIVSMVKKGTKSKPVPPPPAAAKKGSKAPPPKAAKKALKKQKLLQSSSDDSEAEQQQLQEESDLDIPSDSDAEELSGSDAEADEAIDERKEKMDQDAEEELQTNINLESDEFRLPTQEELEEEAHRPPNLPNLKRRISEIVRVLSNFSKLRQEDVPRKDYVSQLKTDIMAYYGYNDFFIEQLIEMFPAVELVELLEAFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTVSAGATTEYMAGHYMKQGASSFLPVMALAPQEKERVVDMAAAPGGKTTYIGALMKNTGIIYANEFNEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTVWKDLQIKTSKDIEDIRNCAFVQKNEAVIDYALKKRNVKLVKCGLDFGRPGFIRYREHRFHTSLELTRRFYPHVNNMDGFFVAKLKKFSNTIPTTSEPSKVSEGAAEEVDGSSDDEGEKGDEQPVKMKNHKGAKRTDERSSITKDTEDDKPVPDKPAKQLNNHKGKRKTDGPKSTETNGDVKEVNHEKTEQSSQKKKYASEGTKKFGPKSTSGTKEKKPVSDKKRKRKWQFKLRRDWEAEKKSDKRRK >Et_6B_048458.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6963653:6963790:-1 gene:Et_6B_048458 transcript:Et_6B_048458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAWHLWNEKNNRIFADTAMQPVEVVKLIKKNMALRARACGVT >Et_2A_018749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6610573:6612021:-1 gene:Et_2A_018749 transcript:Et_2A_018749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFDPDRTTKRAASIPREDLDEVREDLKSEYKKNESQLMHVQIEYQKTEMANCGLWGCCRLLANKVAIITGAASTIGKAIATKFVMHGATVVLTDLDNNYKICVDTADKLKETKTLDQGKLGLAEAMNCDVRDPDNISKVIQRVNERYSHLDIFYNNAGINGNMCSADVDNFRKTMAVNVEAVLASIKHAGAVMASNDPEGGCILCTGSTTGVLGDVVPSAYSVSKAALVGMIRAAAAELEDCGVRVNAISPHLFAPTFDKRVLQKKIFHNTTDTQLDEMIKKYVTNRVVTAEDVANAAVYLASDASKGVTGHNLVLNGKFPLIS >Et_2B_019158.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:14822495:14822809:1 gene:Et_2B_019158 transcript:Et_2B_019158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLTQDKDADLQLLMQEDVTPSKRQPKRGSNYSLEEDIQLCKSWINISNDPIIGTDHLIKTYWERIAQDFHRNKDFESDRSANSIEHRCQSILKECMKVPWIL >Et_9A_062299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21732816:21734390:1 gene:Et_9A_062299 transcript:Et_9A_062299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEAKQLPRIDFSGVDPSSPGAGTWPAVRAQVLDALTTFGCFDAHYPALTLELRAALFDGAVRPLFALPVDAKRRNDYGADKPFHGYIGGLPGLDGYESLAIVDGPEPEHVRAFADLVWPDGRDNASFCKTVHGAAKRIAELEEAVRRMVMEALGVAKYHESLNASTWYSFRMSEYKAPHAAEKTVRYGSHQDTNMLSVVCQHEVEGLEVQTRDGDWIVVEPSPTSLVVMAGNALRAWTNDRVHAPFHRITISGNVTRYSGILFAVPSFKIQAPDELVDDEHPPRFKPHNNEDFIRFWVSEIGTQHEDKLEAYCGV >Et_3A_025182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27649421:27656727:-1 gene:Et_3A_025182 transcript:Et_3A_025182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAVTQNAVTSWADDGEWELPSTAEARGAPAGATASGRTTDQQHMAEVTIRITRRQLQELMEKRAGVPKTRRAAAELLADVMNAGTPSRLLGGFRYAVQGRPPMPPHHLLLRALVAHHAGGHELRQHLLHLTHLAVPAAGLLQQLLQLPLRHPDLHLDALHLLGGGRLALARLLHHHLLLPLVAVRPRRRALQLETLLADIMNSGEVHHHAEAHWHWKPALQSIPEAVES >Et_4B_038897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5768043:5772468:-1 gene:Et_4B_038897 transcript:Et_4B_038897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDAEEVSPPVSSSELEQEEDDDDCYLSDQEDDALEESVLQVLEDEHLEDCHWSSSSVITRESLLAAQREDLRKVMELLGLKEHHARTLLIHYRWDVERIFELLDQKGRDRLFLEAGIPLQCADNAGPPSSSEVTCNVCFEDVPPSVASEMDCGHNYCNDCWTEYFIVKINEGQSRRVRCMAPKCNAICDEAVIRKLVTAKRPDIAERFERFLLESYIEDNDTVKWCPSVPNCGNAIRVKGDIYCEVECTCGCQFCFNCSLQAHSPCSCTMWELWIKKCRDESETVNWITVNTKPCPKCHKPVEKNGGCNLVACICGQAFCWLCGGATGRDHTWSSISGHSCDQTQRTEQARRDLYRYMHYHNRYKAHTDSLKQEAKLKGDIQGKISISENKESKIKDYSWVINGLNRLFRSRRVLSYSYPFAFYMFGDEIFKDEMTPEEREMKQNLFEDQQQQLEFNVERLSGFLEKDFQDFTDDEVIDTMKHVINLSNVVDRLCKQMYQCIENDLLYPLRTPHNIAPYKSKGLDRASELHFSWDSAEQSSQSIKHSQDEHKSQPGSSILGKRPILQLHGSSSDDRGHHSHKRGRGDANGGGALFDLNVPAEVADKL >Et_6B_048668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12293951:12294639:1 gene:Et_6B_048668 transcript:Et_6B_048668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLVTLRAAGTQVELLASGELVAAVGVLARGHASERVRGLARDVVREWRETVEAELGTAMTAMHALDAAAGGDRHDAWEGGKKRSKIPEKPTPCKRKSTLPPAVCDRACQRRQDASTQCQGVDRLTRKVPPPQPNKRTSTPVARRMPSSSCRAQEKKKKMEATKRKIHARYQEADDAKRQRTIQVLVAAPEMLRRQGRRPTVKAPACSTADSRRFDVSSLRRV >Et_10A_002171.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:367765:368631:1 gene:Et_10A_002171 transcript:Et_10A_002171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVLGAAAHWLAEHPAIVGFRWSPTHLWFSTWAFLLGFLASYVALCLALDAFLGAVLRRRRPLPLGPVPPAHALLMAAVSAAIFAGTLLSAAAEIRDTRWSWRGRSRTTPFRWLLCFPPGTRSSGRVFFWSYAYYLSRYLHAARGVVAVMQRRRGATARVFAHAASIAMAFLWLEFSQSFQVLAILASTLAHAVAFGFRFWVGAGLPAARAARGAPVALACQLALLGCNLACHVGVVWMHFGGVAGGCSGIGAWVFNTLLNGALLWVFLHCYGKRGVCDDDGKKDL >Et_4B_036943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12814735:12817323:-1 gene:Et_4B_036943 transcript:Et_4B_036943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHRTVSSFATVPDDDYVVIARRRDRVSRREKDDASGWSSAIQERGLALRRRQGSARRGCGWVVEAAADVGAAATSGARGAEAKETAKVDSELASFCISVTCEKSIYTAAASLILPCIFGCKALPDAVVKMIKALQDLMGGYRNGGEVMGFYGNPQPDGWFGANMSGSLVIRIHGTAFERLG >Et_9A_063264.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17994133:17994567:-1 gene:Et_9A_063264 transcript:Et_9A_063264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATAPRLSVSKFGAAAPAPKTASFVGYARQQAPGRRLSSVFTVSAAVHKVKLVGPDGKEHEFEAPEDTYILEAAENAGVELPFSCRAGSCSTCAGKMSSGEVDQSEGSFLDEGQMGEGYLLTCISYPKADCVIHTHKEEELY >Et_1B_011970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27602745:27603098:-1 gene:Et_1B_011970 transcript:Et_1B_011970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQRDLKSPCPNPIRRRSEDVSDSDSPRCVFVRGFDDNVRGLRTETRSRTLPDFFVGIVRCFFRIERLRAIC >Et_6A_047279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3215903:3219837:1 gene:Et_6A_047279 transcript:Et_6A_047279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGVDTCPAAAAAASSSSTAGAGGSASGESALSYLSRSLREDLRLIRARAGELETFLNAPVPEPELFARLRRAYTSSASSGRTQLDLSAIRKAFEVEGWKGARAAKWKWEEEAEEWEPVRMVKARLKELERTAQGQSASDMLHKVKLSLKSMSFAPEGSEEVPPLDLGELFALFLKQSGPLFDQLGIKRDVCDKLVESLCSKRKDQQAYNFLSTSEPSSFRDDNVGDELDLRIASVLQSTGHRYEGGFWNDGPKCDITNKRHVAIVTTASLPWMTGTAVNPLFRAAYLSKSSKQDVTLVVPWLCKSDQELVYPNSMTFDSPEEQETYMRNWLEERVGFKTDFKISFYPGKFQKERRSIIPAGDTSQVIPSKEADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGAIQAFFVKHINNMVARAYCNKILRLSGATQDLPKSMICNVHGVNPKFLEVGERIAAERESGQQSFSKGAYFLGKMVWAKGYRELIDLFAKHKSDLEEFKLDIYGNGEDSHEVQSAAKKLNLNLNFHKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVICADHPSNEFFRSFPNCLTYKDSEEFVAKVKEAMTRDPQPLTPEQRYNLSWEAATQRFMEHSELDKFLNNNTGSDGANTTEHAVSANTRMRKSVSLPNMSDVVDGGLAFAHYCLTGNELFRLSTGAIPGTLNYNKQHSSDLRLLPPQVQNPIYGW >Et_8A_057736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6530013:6543007:-1 gene:Et_8A_057736 transcript:Et_8A_057736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKPPTPPVSSSSAAGDVSEVEVEEVGSPELGSQSSGSGSGRGTAEYSGWVYHLGVNSIGHEYCHLRFLVIRGKSVAMFKRDPHEHPGLEPIRKGVVSHTLMVEELGRQKDVYVLRLYNRLDQTKKGEIACATPGEARKWIEAFEQAKQQANYDLMRGARWNGLQNENEINLDGHRPRVRRYAQGLGKLVRIGKGPEMLLRQSSDLRSRERITTNFGGDSGDASEAHEWRYVRTFNGIRIFEDIVNSKGGKGTLLKSVGVVGANPDTVFEVVHSLDKRTRYEWDMLTADLELVETIDGYYDVVYGTYEPKYLNWWKGKKDFVFSRQWFRGQDGAYTILQSPAGHKEKPPRHGYERTKVNPSTWEIRRLNSSGSSTPKSIVTLMLEISPSFWDRWKRRHSSNFDKSVPFALLSQVAGLREYFAANPALTSDLPSTIVKSKASEQLIIQSDLEDSEPNDEFYDALARGESFEDEDSDDDDDVAIPKAGKVKLKNVSWAIASLAFKPTKASVENSELVTNSSPVTVDPSHFQGTLKRAINENDPNSWSAPGGEKFMIRGKTYLTDYTKVVGGDPLLKLIAVDWFKVNERFDSVALHPKSIVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERPVNKDSLLGRFIDGTDAFRDSRFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTSIVVDLAVLIEAKEEKELPEYILGTVRLNRVNPDSARLLGWLPHRATPISSVPK >Et_5B_045317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1888172:1889578:-1 gene:Et_5B_045317 transcript:Et_5B_045317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVAQVHNVGFSEGRHRESREWTHGKIFLQFKKVMVKSQWHNKVVVKTQIPRYLYTLVACVCSLSLVRKARGMAGGLLRWLCLRPVRRRRRHEARLVLWGGETRAAEPGTAAGEVMVEHAAGHVVCRADGFRIGRPAPVLAIEDRLEAGRIYLVVPVDKLPHGAVTAASLAALSYGAKGKSSAAPSLAGGARSPFEYVKDEDGRTVIRVTEEFVVNAVSGGKPRSDCGGGGDDEAGGCGALCSTPELRKHYEQLVGAARGRSWSPRLDTIMERKGRRIVDVVSPGRYSPVRLLGMGKRLS >Et_3A_025283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28553924:28561618:1 gene:Et_3A_025283 transcript:Et_3A_025283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPHMKSEQLFDFGDSSTQDAMGSASESSSPPGSGFGLSPPESSPPDGRRRRKDRPTWAKYTYTPDLDGHLWRKYGQKKIKDADFPRLYYRCSYRDDRQCLASKLMQQQNNEDPPLYHVTYTYEHTCGAPPVPLPDIVVEQPPAAREGQGLVLRFDSPGGQQWQPQSTSPSPYTMLSFGSRSNSQQPVFCSDPGAGSSSSPFPTKAAPAPASTNGGDDMYSTWNSFSYDLDDHMQYEAKLSDVLAGGYHLSAKLQALLCRPLDSRGQEEAMALSQELSRVFMVSMSMVKPDESNRVAILKMAPEIRTGHGFGVCTPAKKRTKYTHQLYPYNFSFIVSSPCWCYGNRSRGVTSHKNIREEEFITKEITSSPHSKDGYQWRKYGQKNIQNCSFARHYYRCNRDRRCTAKKQVQQRDGSSDPPMFEVTYVNDHTCHAPLANDDDSFGMPLPAPTTTENDASGNGDLFDLFRRVRAAGGSSAEEEEAIVSCLATVISGTAPSSPGAQYTSSGPSPAYVPQLLLAGPELPPSACAADDDTGFSWDASSFFCPAAEAAEVMDLCFDVHMDVARFVDTVWPQYHT >Et_4A_033511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2483080:2486746:1 gene:Et_4A_033511 transcript:Et_4A_033511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYNHLWSIAKKNVAAVALGITISNRYVTFVSVTGASMHPTFTAADSVFRGDFVIADRTCLQNYKFKHGDVVMFKCPSNHKEWFVKRLIGLPGEWMQLPGSPEVIKIPEGHCWVEGDNAARSWDSRAFGPVPLGLIGGRVTHIIWPPSKIGKLERKMPEGRISPYEFHDHASGLVDGHEAQEEVLLQLVQLLPKVLLVFAQLLQHLLHEPPLLAGGRAVVDAQLQQREHVLPLVAHPRHEAAYGVHVPVEDVRALGRGLQLHERPPHRLAGEEAHPRRRHHRERRERGEDVHPDAGADDGRGGDDGDEGDGQRLPEAAEARLLPAGVEALEQVGGAELHLPELALRHGERGERLAERRRDVGEVGDADVAGELAERVLERAVADADLLDVPQQVAAQERGARRLVVVGGEEAADVGADDPCCRGALARGGEGRADGALVGLQEVLGEEAVGREALGRRDAARARHEAGAVCEGDVQDARPEVVDSVRNALVYSSRKSKLDDGVIRGLGSCTANREKEISIHASVQ >Et_2A_015218.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8548022:8548102:-1 gene:Et_2A_015218 transcript:Et_2A_015218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIATTTAVSPKINATTKALDPPGG >Et_3B_030874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8160917:8163044:-1 gene:Et_3B_030874 transcript:Et_3B_030874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMIYQLLLSALIALSHAAQATSGAARPPASWPASLAALAAAGKLRADSNATVPASMDFGNITAALPSAVLFPSSPDDLAALLRAADSTPAWPYAVSFRGRGHSTMGQAFAAGGVVVNMPSLGEGAGGDRINVSADGRYVDAGGEQMWIDVLNATLKHGVAPRSWTDYLHLTVGGTLSNAGMSGQAFRHGPQISNVYELDVITGHGEMVTCSKDSNADLFDAVLGGLGQFGVITRARIALEPAPARTRWVRLIYTDFATFAADQERLVSPPFGAVSYLEGSVFVNSSLAGGLRGTAFFNDSDVDRIVALAAERNASVVYSIEATFNYEYADNATAVASVDEEVKSVLDGLSFEEGFAFQRDVSYVEFLDRVHVEVAALDKAGLWRVPHPWLDLFVPRSQIAEFDRRVFKGVLQGTDIVGPLIMYPVNRNKFDDAMSAMTPEEDVFYAVALLFTAVSPADVTRLQAQNQRILDLAGAGYKEYLPHYTTRDDWKRHFSSKWSRFVEMKNKYDPKKLLSPGQDIFKPSTD >Et_7B_055633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18540472:18544534:-1 gene:Et_7B_055633 transcript:Et_7B_055633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRKFFTAVVVFLFVVMATEVTLAHARVATASFLGTAAEGAAVMRRTQRKTRTAPFILQKLLLIDLQDNNGKVLCSTPQDERLGQF >Et_1B_014154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32900224:32905131:-1 gene:Et_1B_014154 transcript:Et_1B_014154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVEAAAAVAVAAEGPIRAEANVAVAPEPEAKVEAKGGVEEEEEEREYKSDMRKLEELMSKLNPCAQEFVPSSRRPAVAPPTKAVGGMLSADAPVFVSAAEYFGTAGVHLPMGGGGGGGGSRDSSSDGSSNGGGHPLNRRRRNSFNQGRRRMGGRPRRADREDSVRRTVYVSDIDQHVTEQKLAEVFATCGQVVDCRICGDPHSVLRFAFIEFADDGSILMAFHFSFTVPTEDEKEMVSRTVYCTNIDKKVTEDDVKIFFQRVCGKVSRLRLLGDYVHSTCIAFVEFAQAESAILALNFSGMVLGSLPIRVSPSKTPVRPRSPRVMSN >Et_10B_002893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13098234:13101013:1 gene:Et_10B_002893 transcript:Et_10B_002893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAARSRALGRWGVPPPPPHVLTSLLTSSSSSTGASPAPQQAAPLLELPEVEKVLRDVRADDVRVFPVGEGGLHGGACADYMVVATGRSDWHIKQKQKGSKRILMPSVQGQQAGKWVVIDSGSIIIHALEERAREYYNLESIWSKEVSPNTSVQ >Et_9B_065238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2704858:2717585:1 gene:Et_9B_065238 transcript:Et_9B_065238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SGHTCGSAEVGKKERAKLRELQTLKKKKIQEILDAQNAQIDADMVKGRLKYLLQQTELFAHFAKQSQSANKKARGRGRHGSKGTEEDEDEEYLKEEDALAGVGGTRIKGKMREYQLAGLNWLIQLYENGINGILADEMGLGKTLQTISMLGYLHEFRGISGPHMVVAPKSTVGNWMNELQRFCPILRAVRFLGKPYERDHVRENLLVPGNFDVCVTSFEMATKEKSALSRFSWRYIIIDKAHRLKNENSQFSKTMRLYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFCSAETFDEWFQISDQENDQQEVVQQLHKVLRPFLLRRLKSDIEKGLPLKKETILKVGMSEMQRQYYRALLHKDLDIINGGGDRKCLLNTAMQLCKCCNHPYLFAGAEAGPPYTSGEHLIENAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILDDYLIYRGYQYCRIDGNTSGEDRDASIDAFNRPGSEKFIFLLSTRAGGLGINLATADVYAIEEKVIERAYKKLALDALVIQQGRLVEQKAVKKDELLEMVRFGAEMVFTSKDSTITDEDIDCIIARGEEATEKLDAQKFTEDAFKFKMDENFKKLVLDDRIEPPRRERKNYSEDDYFKHALGEDEPLIHREPRVDYCTNNGLVPHLAQLEPLTAAEHEEKEKLLKEGFPSWTKSDFNAFLRSCEKYGRDDIKNIASDMEEKMEQEVQRYAQVFKRRFKELNARIARKDEITRAISKKISGYENPWSELKIQYGQNKDKRYTEQCDRFMLCTVHKVGYGNWNELKAAVRMSPLFCLDWFVRSRTALELSKRCDTLVRLIEKENQVIEERERRASKGETSLTPSKRSSSRDPTLEDLTLGSIKRMC >Et_6A_045976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14890723:14891091:-1 gene:Et_6A_045976 transcript:Et_6A_045976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQFPQHSSAAGEQRAGNLQPLQPGTNQLGPAQGEHILRDMGCRQTTRMEATVWLDGVLRPSRCPRRGLMWPLPFGN >Et_1A_005036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22864402:22865133:-1 gene:Et_1A_005036 transcript:Et_1A_005036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLFEVTSTMPSDWTACNHDNLNGAVVCMAGDDDKGRHVLISGRAPRAIVSVYSSLVREWSQTIWYDGLPMWADVCPRPCVVVGNILYQPPTASHILSFDMETRNFTVIPHPVETAWMDVQIMKLDGTTLGVVVADNAAFRLHFWEREEAGHWMLRRTVRLDTLEPQPALVAGSLRSVQLLGACELGNVIFLKTRLDTSLFYLDSMQLKKWSSCHAAPLGALYPYESFYAPR >Et_2A_017412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34044210:34045272:-1 gene:Et_2A_017412 transcript:Et_2A_017412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVGSFSYISLIPFLTCRYRFLSCITRELDYNIHTPVQVEFSTPRKLCELIMVIVDCHNTVCVHGAVLALAQDGYYGFIGTMDVYGLSLTPGQGSAAAVWIQGGGDGTPSNMKYIMIGWDATEYGDSQTHFYTKWTNDGFQSTGCINMRCTGFQPEKDASISPGGVI >Et_4B_036870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12146519:12153572:-1 gene:Et_4B_036870 transcript:Et_4B_036870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HRTIVIHIAEDCSSHLQSPYTLKNSKGPAFPLRSILIFFIALFGFYVCYFSFSQITFENEEKLTTEDEQIEIPCRTPAIPHDQLPYVHFPKPVTYDRGECACTPVRFFVIISMQRSGSGWFETLLNSHPNVSSNGEIFSVKDRRENISSILRTLDRLYNMDWLTSSAKNECTAAFGLKWMLNQGLMEHHHDIVKYLNKKGAMAIFLFRRNTLRRLISVVANNYDRKTKQLNGIHKSHVHSTQEAEILARFKPKMDISTLIPSIRSAEQSMRTSLSRFSNTRHMILYYEDVIRDQNALSRVQEFLGVPVKKLFSKHVKIHTSPLPDLIDNWEDVSEMLNGTEYARFLDDADYVKLFKTQINCSSTTVLCVK >Et_5A_042963.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:887548:888153:-1 gene:Et_5A_042963 transcript:Et_5A_042963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGQSVAAVKPALAKAAPSASFRLRNGSLNAVRLRRVFDLFDRNGDGEITVDELAQALDALGLDADRASLAATVGAYVPEGAAGLRFEDFDALHRALGDAFFGSLAEDDKDGEQGGAGEGGGQADDEEEMREAFKVFDVDGDGFISAAELQEVLKKLGLPEASSMANVREMICNVDRDSDGRVDFSEFKCMMQGITVWGA >Et_6B_049169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19025209:19025814:1 gene:Et_6B_049169 transcript:Et_6B_049169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHWSFGTLLKQKQVLPSSIGQLTRLMCLRAGNAEVPNGVIQKLTSLEELRIHAWVDTAAQFVKDLGKLRERRVLNAMLTLKDKSMQSDLAIFTTSNISRDLDKTLWDAVVLPRLLRCLIVDIVTFPTLPSWINPSDLPNLCYLELRVCQMDEQGLKILGGLPEHVHLDLRSC >Et_4B_037430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19738234:19738756:-1 gene:Et_4B_037430 transcript:Et_4B_037430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTSCCGLVVFRRWHVYRHCRTDICMYDPLTGSRVFFPEPPDFESISIHTFVVLTAADGVGSSLLLLCRRTGRIANGRPSLTWLTDPHLQGQHHDVPIDQVSADGLTKSSSHLTSSPNNRLRLLVLDKFNVSVWHLLSTTTTCWERQAVIHVDVT >Et_4B_037975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25184251:25185158:1 gene:Et_4B_037975 transcript:Et_4B_037975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITSQNEWATGDQRSAADGVQSRSQAKRPKTKKKRKIMAASMNPMMWHKVAAVSGVAALGLGTYGAHMFRPENPRYKEVWQTASLYHLVHTAALVGAPITKNPNIFGGLLTAGIVLFSGTCYTTAYLEDRKFSSAAPIGGFAFIAAWASLLF >Et_4A_034659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5277434:5278274:1 gene:Et_4A_034659 transcript:Et_4A_034659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRGLIAAPTTAAAPSSRTRCSASATSPERTRRVFVAIPAAASLSLVLWSNPVNAGILSGSTGLESVPGPDLPRVEFLEKWNAENQKKYAEFDDRFKKSKVLQELLERSKKNKEKNERLIQDKYCLRGAEWGVGDCSTEGMTDQEREDFISELKKRTGAE >Et_1A_005576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11680521:11683559:-1 gene:Et_1A_005576 transcript:Et_1A_005576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAAQHLPRKESRELSGHEGAVLAARFNRDGNYCLSCGKDRTLRLWNPHTGALVKTYKSHAREVRDVHSSSDNAKLVSCGGDRQIFYWDVSTGRVIRKFRGHNSEVNSVKFNEYNAVVVSAGYDRTVRAFDCRSQSSDPIQTIDSFQDSVMSVILTKTEIIAGSVDGTVRTFDIRMGRETVDNLGHPVNCISLSNDSNCLLANCLDSTVRLLDKTTGELLQEYKGHACKSFKMDCCLTNDDAYVVGGSENGYIFFWELVDAPVVASFRAHSSVVTSISYHPTKACMLTSSVDGSVRVWN >Et_2A_014662.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3289760:3290812:-1 gene:Et_2A_014662 transcript:Et_2A_014662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVPPGEEKGDPERAEATELGVPLLGVAEGLDELLDGDGLLVGEGVALGVEAGGVDEDVGVGHDPGDGAGEVGVDLVHLLGGAGGLEELGGDLLLADEDHAVGRHHAEGGAGVADRLHRVLHLVQPALRREDRRAAVVTARHRGGEIGEGDWRWGIRFRGGGRLERSTSTILAPAALVCLEIS >Et_8B_060171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6783244:6784065:-1 gene:Et_8B_060171 transcript:Et_8B_060171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLRIKDVILIHRHEQNSFIDSHYEVSCETLYKISWDSQYMFACLPYNFDIVFNLCFFCCYLECLEDDFTVSFAAPKGHTDSSEDEDLSCPAKRYRGIRSVYEIAEDGAAGTSTMP >Et_4A_034041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29374637:29376327:-1 gene:Et_4A_034041 transcript:Et_4A_034041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSEILNLQSMTSGESNPSLDQQVSFNEAEHDHVFHGALDDAVLPQHGLPREPSLLQHALRRPVRRFHQRLHALQPLHFAEHRGHGPLHGGRRHALAPVRARQHVPQLGAARVTRGPQGDGADRAAVAGEADGAVPRVREHDVAQELEQLFRGLVGIPAAEGGDLRVRRPCHHCVDVGELEPPERDLHGARRFSAALVHS >Et_6B_048799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13847560:13850824:-1 gene:Et_6B_048799 transcript:Et_6B_048799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAPVDPSPSPAPSPSPVPSPKRRGRPPKNPAAEAPNSPVSPLAAAEAEMATGYEREREARIRQNMARMQELGILDLAQSLSHSAAAASGRGGKRRRKPVEPGSVAAPRAKPAPPAPARRSNRLKSLEPVSYSEIPTKKEKGSKGVRSEFNEEGSKEEVYTEEHLKLLGPCETTWTLFVDGCDDKGERIYDQVRGKTCHQCRQKTLGHHTSCSKCQIVQGQFCGDCLYMRYGENVLEAKKNPNWLCPVCRGICNCSICRTKKGWAPTGSAYRKVVSLGYKSVAHYLIATKQASANSADSSSTDSSDKLPCAKSETSCVSDHDAPIAKEGLADGETSSRAKAKQNKATCRHVKSSDGFKDVSRSESVVTSDSQDDQDNKDAGCATPSKPAVPRKRKVTERSPDCVASRLRSRTNKP >Et_6A_047201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26740921:26741322:1 gene:Et_6A_047201 transcript:Et_6A_047201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKQGGTATGVLLLAALVAMSMVFTPCHAAAQCQRVVPCDAATCFNYCQKNNFKNFQPICYPIGYGSPYYPTCCCKLA >Et_3B_031278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2437792:2438561:1 gene:Et_3B_031278 transcript:Et_3B_031278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVTWSFWSGSSLDDDVHVFDGQVLPTRAAEAAVAGELRAQLAEEEAAAARRMDLQTWTTRGSEPIAAATTRRTLHSTDAIKTKLLKIKIPQNSAVCALEDAHVVVNPAATSPRPGFHVPAHASHDTRARATGSAPPRLDRGLSGEGNELQWPRCGAAKAAALAAAAGIKEATTSPSRLSPRLAGSRDENVLDKARKRTAWKNLDTAEELRAATK >Et_8B_059421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17826856:17831834:-1 gene:Et_8B_059421 transcript:Et_8B_059421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPPLSQLPGEIVEEILLRIPPDEPAGLVRAAAACTAWCRLVSGPGFRRRFREHHRAPPLLGLIRSFTDKNGEGVVRFVPTSSFRQPHAGRRGWLAVDCRHGRVLLRRSTQSSARWMMRPPDALAVWDPITDELRRLPLHVAMYRRIISASVLCAAMGSCDHFDCYRGHFRVVVFEDDPYVTGKVNAYVYTSEDDAWSKPNSATLSASFSEWTRNAHAGNTLFCSGKYQMSRKMLKYDLGTGQMTELDSPTVPHYRTEITAVGAELGCVTVSGSRLYIWSAEPGHGGDMVLSQRRVIDFETPLILVKHGIVPHDVVCFADGGGAACVGTDEGVFIADLKTGRCRKVQGLSGHDRLPDEIVEEILLRIPPDEPADLVRAAVACTGCCRIVSGAGFRRRFRERHRTPPMLGLIHSFIDADGEGVVRFVPTGSFRPPHADRCGWHAVDSRHGRVLLHRSSRYLTRHPDGFAVRDPITGELRRLPPRLELMYWSKISASVLCTTMGSCDHLDCHRGPFRVVVFVRYPYVSGKQTWSEPTCATLSASFSEWTRNAHAGNALFCNGQYQRKMLKYDLGTGEITELDSPTLSRFRTETTAVGAELGCVTISGSKLYIWSREAGHEGGDMVVWSQRKVIDFETPLILVMHSTVPHDVVCFADGGGAACVGTDEGVLVADLKTGRCRKVQGVSGYDRILPFMRFHAPGRRWLRDNVLNKDRLDLFYRTLAALIGLAQPLLLPPLREVVQRWRG >Et_10A_001939.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:13364247:13365242:-1 gene:Et_10A_001939 transcript:Et_10A_001939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYERLSKIGEGASATVYLARDRRSGETVAVKRLRVGCDVDDGLAGEAFLREVRCLEACRGHPSLVELRAAHRDPFNPGAAFLVMEYVGPSLSRVLQEERAERPFTEAEACHVMRQLLEGARAMHKLGILHRDLKPDNILVDGNGNAKICDFSLSRTTSSGGAPYTSAVVTLWYRAPELILGSTEYDAGVDTWSLGCVMAELLAGAPLFAGRSEMDQLNKVFDTVGVQDIKAWPGFARLPRAESVLCRRSRPPSRLREMFPALSDAGFAVLSGLLACRPDRRLTAADALRFPWFAETTVPVAEADQLRATCSAAGYYSASVSSVAEAILA >Et_1B_013063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5185652:5188390:1 gene:Et_1B_013063 transcript:Et_1B_013063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSRRCTTSFQLWLNRLKIPTVVGVMTYNGLEACIINSSSYDEDSGISATTGADGCVTTDSLDDEVSSCSSSKDVCGSSFSSHCLSLSKQDDEQSLCELGTPSSVHLLPLKGKTPITYTLSASDVENMKEKFAKLLLGDDSSGGARGVCTALALSNAITNLSATVFGELWKLEPLCEEKKIRWRKEMDWLLSPTTYMVELVPTKQSGADGCTFEIMTPKARSDVHVNLPALQKLDSMLIEVMDSMVDTEYWYEESGSRTDGRGKNKGPRKSKKWWLPSPRVPETGLSQFQRKRLVFQAKLVLQILKAAKSINEQVLFQMPIPEASGRASLGEDLYHAITTEYIPIEEIFVSLSLKTEHSVLETINRLEGAVFAWNQRISEERSKRSPGRHSWNFMKDSLSELEKMSACIERVDTLVQLLKSRFPNLPPTFIDVLKVQYNVDVGHAIVEAYSRVLVGVAFSILSRVAEILLEDDLIKKPNTPMATLKFDLSSDVYLAGITETPPGHIRRSLMDQISLVDGRFDPIVKKKGVKQLRW >Et_9B_064796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18647467:18648630:-1 gene:Et_9B_064796 transcript:Et_9B_064796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPGTIWVTCHGGSGITWLVRSSSRSMLKLPEGKSCLVAAGLARGMMRSEPPSKSELSVCDSR >Et_2B_019945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15491590:15513566:1 gene:Et_2B_019945 transcript:Et_2B_019945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCHNILGTGNKRSRHKKQIFDGIGTCDEHGEGKSAQNFEFPFVGFEDIVAATHNFSEACKIGQGGFGNVYKAIIGGKEVAIKRLSKDSRQGTEEFRNEVVLIAKLQHRNLVQLLGCSVEGDEKILIYEYLANGSLDASLFGMYIIHHKLLQIDNHNISRTYCLNLNMHADNSRKILLDWPTRFSIIKGVAKGLRYLHQDSRLTIIHRDLKAANILLDVEMRPKIADFGMARIFNDSQKKANTHRVVGTYGYMAPEYAMEGTFSIKSDVYSFGVLLLEVITGIRRSSINNIMDFPNLIVYVWSMWKEGKAKDLADSSIMDSCFLDEVMLCNHIALLCVQENPDDRPLMSSVVFALENGSHTLPDPNQPAYFAQRSNEMVQLRENVQNSMNTKGALLWYATFILLTLLPPCASEDRLVPGKPLSPSSTIVSDGGSFALGFFSLSNATPAKVYLGIWYNDIPGPGLTVVWVANRETPATNSTSSAPALSLTNTSNLVLSDGDGRVLWTTDITGGTSSAAAATGLSAVLLDTGNLVVRSLNGSALWQSFEHPSDTFLPGMKIRIRYRTRAGERLVSWKALDDPSPGPFSIGGDPDTFLQIFIWNGTRPMLRDGPWTGYLVSSWYQPNTSAIFYMTVVSTEEEIYMSYSLSDGAPHTRYVLTYSGEYQVQSWNGNSSAWTVLSAWTTRECNLYGFCGPHGYCDETVASPTCNCLDGFEPTSPEDWNGGNFSQGCRRKEPLQCSDGFLALQGMLVPDKFVHIMNRTFEECATECTKNCSCVAYAYANLTTSRTKGDVTRCLVWAGELIDTQKDGTETLYLRIAGLDKGMEDVERRQGERSGRPIYYGYLFVLLCTHMALLCVQEDPDERPLMSYVVFSLENGSSTLPNPSSPGYYGQRSNEMEQIRDSIENSTNSLTLTKLEGRSLLVRHFPPHLAASMCILPGELLTPGSRVISDGGSFGFGFFSLSNFTPAKLYLGIWYNDIPGHTVVWVANRGTPATNSTSPAPTLSLTNTSNLVLSDGDGRVLWTTDITGATSSAAAAVLLNTSNLVVRSPNGTALWQSFEHPSDTYLPGMKIGIRYRTRAGDRLVSWKSPNDPAPGPFSYGGDPDTFLQIFIWNGTRPLFRNGPWTGSMVSSWYQANTSDIVYQTIVSTEEEIYITYSLSDGAPRTRYVLTYSGKLQLQSWNGNSSAWSVLGDWPDWECNFYGYCGPHGYCDNTLVSPICKCLDGFEPTSPEDWKGGKFSQGCRRKEPLQCSDGFLALPGMKSPDRFVLVENRTFQECAVECANNCSCVAYAYANLSTSRTKRDVTRCLVWAGELIDTEKLGDPGSDTLYLRIAGLDAGVRPKRNVLKIVLPTVLTISILVVTSTSIAWFKFTAKIRKRKGHRKLTLGGIKDLEFPSIQFSDIVAATNDFSRACMIGRGGFGKVYKGTLAGGREVAVKRLSKDSEQGIEEFKNEAILIAKLQHRNLVRLLGCCTEGAERLLIYEYLANKGLDAILFDSERKSLLDWPTRFGIIKGVARGLLYLHQDSRLTVIHRDLKASNVLLDAEMRPKIADFGMAKIFGENQQKANTKRAWKLWKDGNASDLVDSSVAESCALDEALLCIHVGLLCVQDDPNGRPLMSSVVSILENGSVSLPAPDQPAYFAERGWKSGGNGEDVETSRNSMTMTVLQGR >Et_5B_043485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11043646:11045010:-1 gene:Et_5B_043485 transcript:Et_5B_043485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGYNLPLEGVVFFLVVVSIQGTVVVRPPAMYVFGDSTLDVGNNEYLTGKDVVKANKPYYGIDFPGFPAGRFSNGWNTADLVAMSMGFIGSPPAYLSLARSSSLLALTALNNGVNYAAVGAGIFGSTHAGNTIPLSKQLQYFSATKAGMVARRGSGAVDALLSNSIFLVGIGSNDSLVFPTTKQSDIPTFYSNLIANYSAAITRLRNPIGACGDALNQLVAGFNGELSCLLSSLAPKLPGLVYSLGDFHGVMVSILSNPWAFGFTDVASACCGSGRLNAEGLCLPSSRLCTNRDQHIYWDPLHHTQRARFIAARTFYDGPAWYTKPINFKQFTKTLKRGLSDHLI >Et_1A_007200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3229429:3236307:-1 gene:Et_1A_007200 transcript:Et_1A_007200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGMVVVTLRYFAGPGIPRYVVATVGYAWFCSLSFIILVPADIWTTLAGSEKGGIGFFWSWSYWSTFILTWAVVPTIQGYEDAGDFTVKERLKTSIHMNLLFYSIVGAIGLVGLILLLVMHRAWDGGIVGFAMACSNTFGLVTGAFLLGFGLSEIPRNIWKNADWSHRQKLLSHRVAKMAVKLDSAHQEYSNAIVIAQATSNQMSKRDLLRPYMDIIDNMVAQMLRDDPSFKPSGGRLGENDMDYDTDDKSMATLRRQLRRAHEEYYRCKSEYMTCVMEALELEDTIKNYERHDANGWKYVSSFRGSRSGTLGSLLDTMEFIWRCILRKQVQKAFAVILGCMSAAILLAEATLLPSGVDLSLFSILIKAVGKQEVLVQVAAFVPLMYMCVCTYYSLFKIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLIRLGGNKTTFEKRMGNIDDAVPFFGRGFNRIYPLIMVVYTLLVASNFFGRVIDFLGSWKRFKFQREEENVDGFDPSGMIILQKERSWIEQGCKVGEQVIPLARNFNGVNTDIESQKVPLVENTVEMKTEATSSRIDGRVGQSKYATNRETIASKYSSVREQSRQAAKKEVSSNSMSLLEERNPEPRSAGVPPGSSAGMSATWASMKIGFQNFKANMGSKKFLPLRQDPGYAPHSSVSSPESLDDIFQKLKRRPPNMPVDYLDDDDDDDNIGDMDLHFQDH >Et_2B_021959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7344683:7351062:-1 gene:Et_2B_021959 transcript:Et_2B_021959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLAQSTMEKLHNSVPCRVAPKITSLESLKEITDNFSEERKLGSGAFGKVYKGVENGEEIAVKLLHYKQGYDDLEQFKSEFENLWRVRHQNVVQLLGYCYEIRLEYVDRGDGKIFFCENIYRALCFEYMHNGSLQKHLSDEHHGLDWQIRYKIIKGICEGLKHLHEGLENSIYHMDIKPDNILLDKDMVPKLADFGLSRLICTNQTQATQSVVGTINLVSKKLDIFSLGVVMIQIMAGMKGYYKIVDMSSQEFIDLVHKNWRNRLEGAPKSTSLEVECHQVRRSIEIAVDCVNADRAKRPAIGDIVCWLNETEQTIKKGPGETSGTEVSLLPVAPNISSFPFGVDTFSLGSYESVKLLDVYPLELRFPWEPNTRITCPVTLTNRTDHCIGVWITPTCQDPCSDLHFLVLWEGKRQEVPCSTLYRRVGAHSTLVVQMIMEEKQLPPPPREVGKFEVVMIDLGSEHVLLRSDLKVKLNSDFLKRMEESGRKIYRAMLRAVICDSSSCQAAVTHRERTMVLKVTKVDTGGTCSVHSIKFIAGEQWFATGDDDGWVHVYSYTTKDKIKEFEAHRGKSIDSLAVHPTGRPFLLTSSPNDTSIKLWDWSKDWMCTQTFDVLSNSGVFCLKWNPGDTNTFSCVSFDRKIKIWNIDSPCPITTLEESCSNDYLFLGIHRRLMVTACYQKPLVEGFDSACIWDLQTEKRVHNLGVRGSTSLVACHPTLPLLVTLLEHRIVCLWDARMYR >Et_5B_044296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22371809:22372627:1 gene:Et_5B_044296 transcript:Et_5B_044296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRALSLLVAAAAVLLLAPHGAWAQPSSSSPAPSSGGGGSCMTEMLSLAPCLGYMSGNASKPSSSCCSSLSAVVASNPRCLCMVLGGGASSLGVTINNTRALELPAACDVKTPPPSQCKSVGVPVASPAAPATPSTMTPPANPATTPSVPTAAPAGTGTKATQTAQSSGGRSTTGKAASVLPVTAAILSAAFALLQA >Et_1A_006331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20872799:20877315:1 gene:Et_1A_006331 transcript:Et_1A_006331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPARRFLPARPPRRRRPKPPAEPSPPPAPTYTRDVVRRATAILRDHPWSAARPLLLSLPGLAWDSHTVARVLKTHPPLHKAFLFFRLAAAASPSFRHDRFTYTSMLHLLGEAGRVPAMLRLLAEMLRAGVAPDAATFTTVMHWLARAGDVDAAMRVWDEMKARSRPTVVSYTACVKILFDAGRAAEARTVFEEMVAAGMRPTCKTYTVLIEHLANAGKFKATLKIIDEMQEAGIEPDKALCNILVQKCSRAGETSVMTCVLQYMKKHFIVLRRPIFLEALEALKASGNSDELLREVNPHLSYEGIECDPMFSDQGYITDRSTMLYLLAAKKWSAIEHMISIMGRNNVKMETHILSDVIEGSCAGSRLSCGLAVLRYSLKVGNQLDKLSYSSLLGHYIRSGSFDLVIEIIEGLIKSGYNIGTYLSAILILRLGYSRHSAYATHIFRLLAANKNVVTYTALMNACFQSGKVDDALSLLTEMRTNGVSTCSEKKEWICNGISSIVISAHPKKAYVTICFVAFKDRFRKMMLQESGSMTLIEQMHEAKLK >Et_4B_037879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24329275:24330826:-1 gene:Et_4B_037879 transcript:Et_4B_037879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KMQRAMQALGSHGNVLRSAVLRHISAPRSAMQPAAFSRLMSVSPASLEEGGFETATVADVLKSKGKGADGSWLWCTTEDSVYDAVKSMTQHNVGALVVIKPGQEKSIAGIVTERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVKPDTRVLQAMQLMTEKRIRHIPVVDGTGMVGMVSIGDVVQTVVSEHREELNRLNAYIQGGY >Et_1A_007165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31528301:31534984:1 gene:Et_1A_007165 transcript:Et_1A_007165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAAEAVLLLAVALLLPLRFLSLALRPHVSSPRRTRSAAGLFAVAVLVTAICAVPDAGIRPAFANANTDALRSEVEALRLEVARLESMLEENTKALNSRASILEEGSKLIAGMERDIQLLMNGQGTTKNTRSKSYSADNIKDMEDEVQELQQEVNKINSNAHAIESLAHDAEKRVEVLSSEVKKMEDIIAEQWIQIRQFEQAFVLTKMMTSKVHERSNPSKTVYKWPGKDTILKVTRFRKAIRRQSIPDTDRADVFFLGGSISKRSCISLPYKKFKNSMSSAQKFHRKVQMFIQSTMISNSYSRGLANEPVTFLLAYLVLISPMWIIWFLHSM >Et_1A_007776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38122408:38124844:-1 gene:Et_1A_007776 transcript:Et_1A_007776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSVGKVAFVLIALCCVIFVAQAAVIPVGGGTGWTFGVGNSWARGKQSFAVGDVLVFQYAAGVHNVVQVDQNGYNSCKAGAGAQVHSSGDDRITLTRSGKYFFICTFPVASVLVALCCVIFIAQAAVIPVGDGAGWTFGVEDTWARGKQPFAVGDVLVFQYAAGAHNVVQVDQNGFNSCKASAGAQALTSGNDRITLTRRGKFFFICSFPGHCNDGMRIAVTVN >Et_1A_006300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20260253:20265881:-1 gene:Et_1A_006300 transcript:Et_1A_006300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPISTTSLVFLFLISLLVLVVSSSLSSLKLKSRARRPPGPWGLPLVGSIHHLLLTSQPQAAFRDLAEKHGPPVSTSSLIFLLLTSLLVLVVSSSLKLKSRAKLGARRPPGPWGLPLVGSIHHLLLTTQPQAAFRDLAEKHGPVMYLRLGQTDTVVVSSAAAAQEVLQAKDISFASRPSLLAPDIICYGGTDMAFSPYGPYWRALRKVAVLELLSARKVRQFAPIRDGETMSLVREIRAAAGDGEPVNLGRMLVSCTNSITGLATFGYRCGRERREQFMSAMSAVLKSSLGFCVSDLFPCLWFLDVVTGTVSRLRRLSKQLDDVLDEIIAEREERRKEKTVKNSNGEEQDREDDLLSVMLRIRDEGEFEFSFDNTNIKAIIVDLFIAGTDTTSSTAEWVMSELMRNPGAMAKAQAEVRHVFAHTDPSDHESCLDQLHYTRMVIKEALRLHPPLPLLLPRICRETCDVGGFKVPKGTRVIVNSWAIARSRKYWDDPQEFRPERFEKSAIDYFGTQFEYMPFGSGRRMCPGMNFGLVALDLIVARLLYYFNWDLPAWMRPEDLNMDTTIGATARRMTQLQVVATPYEDYRMD >Et_7B_055625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1836842:1841608:-1 gene:Et_7B_055625 transcript:Et_7B_055625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTWIAENIPIEEVFEQLKCTREGLSSDEGAQRIQIFGANKLEEKKESKILKFLGFMWNPLSWVMEMAAVMAIALANGGGKPPDWQDFVGIIVLLVINSTISFIEENNAGNAAAALMANLAPKTKVLRDGRWSEQEAAILVPGDIISIKLGDIVPADARLLEGDPLKVDQSALTGESLPVTRGPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQQVLTAIGNFCICSIAVGIVIEIIVMFPIQHRRYRSGIENLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLVEVFAKGVDKDHVLLLAARASRTENQDAIDAAMVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDESGNWHRVSKGAPEQIVALCNCKEDMKRKVHSIIDKYAERGLRSLAVARQEVPEKSKDSAGGPWQFVGLLPLFDPPRHDSAETIRKALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQHKDSTLEALPVDELIEKADGFAGVFPEHKYEIVKKLQEKKHIVGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRCIFQRMKNYTIYAVSITIRIVLGFMLIALIWKYDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGTYLAIMTVVFFWAMHKTDFFSNKFGVRSIRDSEHEMMAALYLQVSIVSQALIFVTRSRSWSFVERPGFLLVTAFLLAQLVATLIAVYANWGFARIKGIGWGWAGVVWLYSIIFYFPLDVFKFLIRFALSGKAWNTLLENKTAFTTKKDYGREEREAQWATAQRTLHGLQPPEVATNTLFNEKSSYRELSEIAEQAKRRAEIARLRELNTLKGHVESVVKLKGLDIDTIQQNYTV >Et_5A_041060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17556809:17561664:-1 gene:Et_5A_041060 transcript:Et_5A_041060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSAISQVRQAYYQQFCSSSDGTSSFSYYPNGTSSVICSCDNCNSAIKNSCKDNALSYR >Et_2A_017277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32712375:32717735:-1 gene:Et_2A_017277 transcript:Et_2A_017277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLRHRFRLKQLVYIAFQAEERDDSATAKASENDEQRRRAEAKERLEAGGATAVLGFSTLSGCLCFPSDAKRATSSALFGVCLFLAFASFLSGVSLMVLSINMLGLVSGVHEVAARCLVVACAALSVMTLISVVALVPGGVYVYVGLAVVAAAVAYWYLGRRTDGGGDEDKKEMEAVAKVTSSVTNSAFGGLVGVLFSVSKISGAAAAGRAAYAAIFFMFTTAIFGLFVTNPRFRRLPIAVVRVANAFLLCSLACAAFAASFVVLRYRLFAAFAPLVVTGVICLLLRHCAAGDQKEKENQEADRDQKEKENQEAQLKVTEDLAGKVTTATFGGIMSVLGSSIGGDKGNGKTGATDMFMIALTSTFVSGFGFMLLAAAPGTPKARLAPAAKVLVWSSMALFAATAVAVYAVEIEKGSSGNEQCHTAKGQKDVEFGAVVAVFSFAVMLTGFFLSPAAAKEETTAESWRLDVLMLLAFASFICGFSFMLLSMQLLGAPETRVFGCHRAISRCLFYACSVLPALTLLGLLVLTPFKPYVYVGLAVLAVVAVPVAVVHCYVSHRTAGEVPEATAELLREQQEQMEASFKITAAMAASSFAGLVGMLFGVYKQSGTTTPGGVHVAIMFMFSTAVVSMLLMMLSMKVLEIRKQDLRRSIVRAIGHANSVLLCSLAVAALSAAFVILQCYVLAAFVSLAVAGIVHFIIQNCTTAQTKADGVDHQGRQAEGGSSHNADSNSNSQEARLKWMAEIASKVTAYSLGGVMAIFGGFLGDADSSHDKMVAIKICMFLLTSSFASGLGLMLLTTRRGGSADRVGFKAATSILAWSAMGLLAAAALAIYGVEVMKS >Et_9B_063774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13615837:13616610:1 gene:Et_9B_063774 transcript:Et_9B_063774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFDPTAAPQSSAKRPRQQLAHAAGAVVPYTAEAQPISAVPWTQPQPHVFGKEPPCLRNHILPALRLRADLPVHFIDAKAVTSTDLDAHQNRFRIPTDGVLRRLRPILTLEELDSANLLYEPEPRQKPKKKNLPESEKTTKKKRKGRVHGGLPVRLVDLAGGASGVLRLSRWESSHGTIVKGEGNLDFIRRCSFKENDVVDIWAFKQRSFRHFGVTMCDDSILHVFIVKRHNQPETCCCCPFLSKL >Et_5B_044716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5013544:5014804:1 gene:Et_5B_044716 transcript:Et_5B_044716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPYISSMPSMQIDMMAQFLGAHDHCFTYEHVDESMEAMAALFLPSLDTDSNSSSSCLNYDVPPQCWPQPGHSTSVTSLLDPAQSFESFEFPVMETFPSANFQSHSDIPYFSEDCSLQGNHSSVREEEAPKDAPPAKKRKCSAAMKATKKTKKVGKKDSISNDDGGNSYADTQSSSSCTSENGNLEGNADSSSKKTCIRASRGAATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAAHYVKFLQLQIKLLSSDDMWMYAPIAYNGINISNIDLNI >Et_8A_056417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:54812:57685:-1 gene:Et_8A_056417 transcript:Et_8A_056417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFTSTGSKDTSMSLNEVSAAVSVLLGFAPPTSLPIHSSSQLNKVLLPNPFHKPRAVFLLQIDGSHASADRFISEASSSFKTRIDGANSTAPGLTDKDELIVIHSDESLDVVSGSDYLDNEFSNLANWLEGSYHKSSGKLVVPLESGNSLTLLLDKKADFKFASNLVSLCKTVERGIQVHEDFSGVVSPAELLVCHFTGLKGLEDEYGSTEFDEQRTEVVRKAFTKSFESLQEAYKGKIVGLVISTNEASPFLGSIIDAPSSLHISRQLEEASQINATIASVILVRKSLAWITGIILLVSTLIGVCLLMNMPLTRDTLLYSNVKID >Et_1A_007626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36587404:36588085:1 gene:Et_1A_007626 transcript:Et_1A_007626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQACRRGAERARARAAAAWSLNARCAEIMAASSTSVIPARLWIISSVRRSRGQRATSASLPPARALPQHHRNGGRTADADGPPPDDAAAGCRDGSIKWVMNLGFVFYILDCLMNVYWYKGPAFMFSNLSLLGLVLCLRSYEKAEPGSQLRGRLKVAVWLLTTAVALLYSYMVASVAPAAVAVVACLMRSARSPEGCTTSGQKCDLVCTLKGLSQSSFVIC >Et_10A_001308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23205157:23209604:1 gene:Et_10A_001308 transcript:Et_10A_001308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGRLPYLFVRVVKAQNLPGVDINGKPDPYVEISTGNLKGFTKCIQEEQNPEWNSTFAFSKLQLDSVEVTRIYVVVYDGVTDDFVGLVSFDMIDIPKHHPKDKPLVAGWHCLIDESGRTLQGELMLAVWKGSQADEAFRDSWISDCVDVTMTHIGPKVYDLPRLWFLRVHISEFKCIALECGTKTVELYVRAIVGEQRQRTNTVKKPLADYVWDEEMAFIASEPFEDDLQILVEAYLGPGQGTVVGRIDIPLETVQRRVDGHYSELERKWFDLQMPPPAAAFDDGGNELNVSSCRIHLSTCLDGGYNTQYNMGDCIGDLRPATQENSNPSVVGLLEVGILGAQGLTPRQRRTGRSTLHPYCVAKYGQKWVRTRTIINSCQPSFQEQYSWDVYDTATVLTVGVESSWYKGISIEKVRIPLSDLQPGRIYSHAYPLLVLQHSGVKQMGKLFLSVRFTSRSLLNLVRMYTSPKLPRMHYEHPLSVTAKYHLSSHEAQFLASKLSRMESPLCKEVVEYMCDVQSLVWSLQKTKVNFYRIMSVLSIFITFWKWFRNACNACSWDKPSVTLRAHAVFLLALAFHQFILPLVLLYTFLSTVWNYHRRPDYPSYIDIKISLTDTVHPDELDEEYDTFPTTRSSDLVLRMRYDRLRSIAGRIQDAMGDVASCGERITALTTWRDPTATAIFGLFTLATSIMLYFMPWKILVAILGLYTMRHPKLRGRTPSSVGNFFWRLPQKTNNLPATKHDCKFASTGLREDRRRW >Et_10B_002805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11587565:11596082:1 gene:Et_10B_002805 transcript:Et_10B_002805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLCCIAPVSLDHAADQLHRQPPRILAAAAAPSPSPSPAPAAQPQPAAEAVVAGVLHKWVNYGKGWRSRWFVLEDGVLSYYKLRGGGAVAKAAPAAAATVIGREGGALRRAREEAAAAGKQWKPFGEIHLKVSSIRASKSDDKRLYIFSGTKTLHLRCDTEEDRNAWIDALLAAKDRFPRSLTANDFGPMADIVVSTEKLRVRLLQEGLDETAVKECETIMMSELLELHNQIKSQQQQHSILIDRLRQMETEKVELERTVVHETKERETHGYANGRYSDFYSVVSGGSASESDPDTASQVAEVENDEDEVTYFDTKDFLSAESLRSASCRRRELIANGCNGSEYAGESVTYTVKSTQYPFVRRRDKLPEPKEKEKPIGLWSIIKENIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDEALHWGKQGDSLMRILHVAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPVVVACHCEGRGWKFWGDSNLKGKFWGRSIQLDPIGVLTLQFDDGETFQWSKVTTSIYNIIIGKIYCDHYGTMRIKGSGQYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGQKVAMLIGKWDEAMYYVLGDPSAKPKGYDPMSEAELLWERDKSINQTRYNLSPFAISLNELSPHLSKKLPPTDSRLRPDQRHLENGEYEMANAEKLRLEQLQRQLHSRLGLQSSSDRPRLGLHLDASTILVERQGTG >Et_7A_052808.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1779046:1779498:1 gene:Et_7A_052808 transcript:Et_7A_052808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKCSSKIRSIVWLRQTLRRWRSRAAARAAVAAGESVVPAGHVAVCVGGASRRFVVRAAHLNHPVFRELLRQAEEEYGFPSGACAGPIALPCDEGLFEHVLRHLSSPSKASRFVTLEDIKSGALSCCCAAAGEALPLLRHGISADKAVW >Et_7A_050705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10192255:10194362:-1 gene:Et_7A_050705 transcript:Et_7A_050705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDRGLIVYEEPSSEPTDLLSSSWCSSAIQVLQKEPKDYSMALVENPVHTLESGKKDMFQKSDGSLVVDNSSISTTQWKYDDLKSWIWLQKAIHPELDYDLCLKKKWLPRKMAPWCSISLKKWVKERKQKRKEEARLQRAEVHAAVSVAGVAAALAAIAAENAAPAGSAGVRETAVASAAALVAEQCAKVAEAAGATRDQVAAAVDAARASTDAGNVFTLTAAAATSLRGAATLRGRRSTSGGGHSQSERAADRAGSARSQDDLDFDFNYARSRAALAKGDEMFVAMPDGKWKLHTVSAASNKRGEIVLRIKKANLVMAFSHAKESVVKDVQPCAPEKPSHDDGATYPVEVTTSKGKVELRADDYAVYKRWVATLSHMLVMSTAVSASLEPPPRRD >Et_1A_009482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6376305:6378269:-1 gene:Et_1A_009482 transcript:Et_1A_009482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNGGGDQAEADRFLRAVDDLRRLAPACARVRRCLFPASAREREEEEGRDLVMGRTYFNEASRKRAMAIRKAPKSSNPPRFAAAPFFFQLLLLPPPPPPPPPHRGHLAGSSHAAKAMGRDLRVHFKNTRETAFSLRKLPLTKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKNRQPNGQGR >Et_1B_013210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6410243:6413774:-1 gene:Et_1B_013210 transcript:Et_1B_013210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSMAMSRALTARNGHGSYLQRRCVSPSCHTSPRMPFLPRRPSLSVTVASRPRLLPVSPKASSSESDLSPTPPSERTMTAWDLASLWVGLVVGVPSYYLAGSLVDLGMSAIQGVATVAFANLIVLVTLVLTAAPAVTHGLPFPVLARAAFGVRGAHVPAVLRALVGCGWFGIESWIGGRAIFLLLPAWLKSHQPLLTPVPGLGAAPLEFACFLAFWAAQLGIIMRGMEGIRKLEKFSAPVLFVLTSALLAWAYTSAGGFGRILSLPPRLTRAEFWKVFFPALTANISFWATVAINIPDFARYARSQADQVLGQAGLPVFMGMFTFAGLAITSSTEAIFGHIISDPIELLGRIGGPATTFLAIFGISLATITTNIAANVVAPANALVSMSPRRFTFAKGALVTALLGIAFQPWRLLSSSESFVYTWLVGYSALMGPIGGVVLADHYIVRRTALDVDALYSENKESPYYFQGGFNVAAMVAMAAGIVPIVPGFLHTAGVLPSVHKAFVTAYNNAWFVSFFVAGAIYCLLCRRSPYSQIPPVFKSKPPSSISPLSE >Et_3B_028747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18752437:18759584:1 gene:Et_3B_028747 transcript:Et_3B_028747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVRNKNTAEITQELLAKKLGTLSPTKSLTEAAKERLTDLFDAPLTEEAMEAIEALLKVMNLNKSAANKKVRAGKKVFLMLLAVGVCVQSPGAAPVQIQAMQATMAAGAAWSWCFPASAVLRPLLPFPSPKMSPPPAWHMSGWPDLAGNRQGCWDYNCQYFEKVHHGKKKIKLEKGESSRTRKLTAQVERMAYNLRPRKVDPATEQRRSEFEKRRKQKKQKRDKRKAEDFKSLCDQIKIMMDALPEDSDEDDKDPNRTEIRNADESALTKASKRPYFPGPDIGYSGTLKGASWEVEKLALQAAPSVVALRSSTGEDKLHFSCSGTIVELLGGNGLIMTVANLVKCEDTDEIFKGLKIEVYLQNGRVLDGDVLHCDFNYNICVIGIKWSEVGSSYHLTRKSFDDTRDMGCLKDVVALGRDRRTYCLLVSTGMITPKVSELDCEELLISTCRISKEEVGGPLMDFDGNFIGMNYYDTDETPFIPCSIVSMCLWHLKTLGKVSSPWLGLRVRTLYANGGANGVIIKKACIFSVLLFFLLIEKESSAEASGLTEGDIINRVNGIHFSSAAEFGVRDSELEGRIVIRKSVAAGGLNRWPFPVPIIVRYYRDGVPVRDA >Et_4B_037931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24906480:24909570:-1 gene:Et_4B_037931 transcript:Et_4B_037931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTAVEEAEVSGAAEFAPALVAAHPHGHSVAVAVGPELRVFDLKTGSSVSLSDDSGGSSHSDAIRAICFSATGALFASAGDDKLVKVWKTDSWRCIRTITSEKRVSAVAISKEDLYVTFADKFGVVWLVTLGEDGGEQVSTTDKPVSILGHYCSIITSMKFSPDGRFIATADRDFKIRVTLFPKNPLKGAHEVQSFCLGHTDFVSCITFTHISEGQSFLISGGGDSTVRLWDYINGCLLDTFQVRDKMGELLEPNETDDSKLAIADICLSVDSSLVAIAIQGFNGVMLLTCDLIGKKLSFLKMITMEKSYIPTSLASSSSNELLWTVMGASNMPNQTASQLLTRLRIIPHFEKDLLSSSENGPAVLEDSEVPNGEKLLLALQGSLDTVKQEEVLASVLAALKVSMHKMLVKKQYSEERREQRKRGRNDKKIKS >Et_2B_019283.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:21135571:21135906:1 gene:Et_2B_019283 transcript:Et_2B_019283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNENCRGLGRGTDFLHRVEVLSYQHHVHDVLSCCTGDILREGEHAVPQPVHDGLTLPCDADSSKVLGLCLSFSLFDLHYLLGLSFLVGCQPHSSSCVHQFSSCSLVANHK >Et_7A_051828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25315938:25317437:1 gene:Et_7A_051828 transcript:Et_7A_051828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEHALPELDQGLARLVSSLDCDMAIQTGVMKQYGKINDTVKDFLAQKVKISQEKQEVERLQQEISNKNKQLTLEVEKLRHANQELICENNQLSLKDEMLSHENKELTLELEKLKETLEERESVKGKFHFISSNGVQTRSMCNRNVQERYGGSMAASERKEETFTEVISNRIIAEDYERKRELTEIWKNLIEVFANMDHCRQTIRIKMMGQIDIKPFLDAAHREHPTHISKMEAAKNCSSWQTKIQNPLWHPYKNISEDGPLEEVLNDNDETLKQLKECGEPIYDAVIEALKEMNEYNMSGRSVVPELWNYREGRKATVVECINLMAKKVKEHNCKKRKTNPSAI >Et_7A_052566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9358103:9367352:-1 gene:Et_7A_052566 transcript:Et_7A_052566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDLEAGSSTTLVPAVVSRNRAAILTCILVAVLVAISVVVVCLIPLDTLRPTFSVEIASFDGLDGAKPAPTTLNPEFNLTLHGVSRRRLMGSLGLCQEHGMVAVSYAGAVLGWGQVPKFCVPAHARKEVRMVALGAGVELSDELRERMASERLSQAIELDVDIMLDRWRFLSCRVKLDELSPQPTPCKVGVGSNLLVKKQKTCSQNSPFFVFGMLVVFIPVLIVQSESPRNDSYSIALTAIDGVTRPAGAPDASLISPAFNLTLYVENTRFIGENCFSHGRLAVSYGGVAMGEVRVPGWCAGRRSPAEVDALVRGKDVQLSDGLRRRMEAELRWGTAELDVEATLFRDDETEAPVLLRCKAVGLQVPPQPLECRAFTDYVF >Et_8B_059774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2675138:2678676:-1 gene:Et_8B_059774 transcript:Et_8B_059774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCARRAELYRRLDAYAEDARRRGEPQSPPAPHFATPPPPPPPVEASQEDDEDIWSNIGRYFKQRYCHHYRTVEVLSNSDGSWCQALASETFPPSYLLVRMPFVHSIVLIFTVTYIRSLTGREPNLAISPLREGFICPPPEKRRRNLSDKDGEQSDGGRHSSECEPNTVLCKLDEGDGGEDEQGDNHISDVPSKRPRQKSVPIKAYAAQCSLCRKWRLFQSKKKYEEIRAHITKDPFKCEKAREWKPDVTCKDQSDVHEDDNRLWAMDQHDITETPPGWERVIKIRSEGSTKFADVYYLSPAGKTLRSTIEVKKYLEENPQYVDQGVRSSQFSFKIPAPSRPDYIRKRTQTNRKDGVFEVEESTKPLPEEVVQPIAWAAPSTAEGPSGDNSQLVPYNENPSELLLGLPAAT >Et_5A_040786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12434502:12437910:-1 gene:Et_5A_040786 transcript:Et_5A_040786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMELEILGMNFGCVLAALSDAKIPEKDCILPLASKLLGYAIVAASTTVKLPQILKILKHGSVRGLSVASFELEVVGYTIALAYCIHKGLPFSAYGELAFLLIQAIILVAIIYYYSPPMGTKTWTKALLYCGLAPTVLAGRIDPALFEVLYASQHAIFFFARVPQIWKNFTNKGTGELSFLTCFMNFAGSIVRVFTSIQEKTPFSVIMGSAIGIVMNGTLLGQIVMYQKPAPKKQKKED >Et_3B_030836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7872940:7874917:1 gene:Et_3B_030836 transcript:Et_3B_030836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAAKKIWPEDEVEEEMHLAFRGAANALSQVYTQAVAHQKASFLAGERRAMETVYRWLSSQQEQASDVPVSDVLAYLQNEIAQRTDELPVPPQYPSPQPPHNSPPAIVRSNPFSFGNVAAALDVRVEEIDQTRSMGISNCLPNSLQTNFQSDHFVQSSGYSPVNSFPNGNGARNNNSSQNQDFIHYSSYEPSVDMRHEGP >Et_7B_054419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21138741:21140264:-1 gene:Et_7B_054419 transcript:Et_7B_054419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKAPHSEFWTIMEDLESDNVAANAAAVNHVSALIAGGKLPDPALLCRLIAGLTSNMSKPHHEIAVKLDAYSTLNAIWVAITRNQMNMDSSACRNALHTIKCCFLDEAFRLVQHCGELVRLWGVQEQLNTVKIIRISLHCSPVNNNARCVTVFASLMVSEYPDVMHACGDALLFLAPVIPDFAFAVTRAYCNLLVASPPQSSLQTISVAVMLDRLKQIRPSMEGHPFFNNLAMDVLQMLANQHLSVRKKVLNLAVCLLTPLNVDSVLQHLRIELGLAASADTPLEYYQMLEEAIRECHSSYPVSIMQFMLDPKYLAFVECINYIKEIMDHNPLLRTQLLKGLLRVLRNVKSSPIRSAILAITCLFEDLLDQREILKTDTGGGDVQHDYTYYIDHCSGKEGDAQGKHQQPWLMEMEELLFMHLGLAWQRDGSYTIASSSRINTDESLLMPSRLERTDNLTRLVGSGDPLLAEFVGNVLSRLVEMASTEQ >Et_7A_050479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18378967:18380044:-1 gene:Et_7A_050479 transcript:Et_7A_050479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDHHLFKFKVATAAPDECHYYAYCSDNSSSSWSSSIGHFNFESSYSIDPEGSGIVYFRLVLPHGSPAEAHDARFKFSLLDRDGRPVPSRTQACSFHNWVAYQSGRSTSTAMATSPSGATSRSNVSPSAEEVCASADGSAVVPPSDLHRHLGKLLTEEEGADVTFQAASGETFAAHRCVLAARSPVFRAQLFGQMKEGKQQSTGTALPKPIDMEAQVFRSMLHFIYTDSLPETDEHDDDDDWVVLQYQHLLAAADRYGLDRLKLVCQEKLLKHIRASSVATLLASAERYHCRGLKDACLDFLSSSANLESFTETDCFDLLLSTCPAVLKELLARLATTLIFE >Et_1A_006521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23588310:23589616:-1 gene:Et_1A_006521 transcript:Et_1A_006521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAIRANWNFVQEKGFLDILNELKVEDRFTTQIGWTAEGWNSIHRKFNQMFPFARYTKAHLKEKNKDLKATYKAIRDARKDSGAGLDPASGMITGGPNVWDKIEKGALPQFINLLNLKEGHLEAARVGQEASLGAVGTRVSGTVAARMSSDVARVGQEASVGVVPANSTSVGALAANYASVGVVPASSTSVGAVAANYASVGAVATSSIGVCALAASSTGVPGAQEAGESSSTSVGAQEVSEDSGKKRKAGRVAAVLDDYLEHKKAQSGKTVEALMEKKMREEEYSIEKCLDTTDGMEELTDEDKAIASEVFEDDKNREMFMKHKNHNVRLIWLQRKI >Et_2A_018524.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31711918:31714323:1 gene:Et_2A_018524 transcript:Et_2A_018524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHADPHHEDFQLKDTNPLLGEQWPKGAAGPARPSGGGGGGLAGWLGVDKPSSTYDLVEQMFFLYVRVVKAKDLPPNPITGAAMDPYVEVRLGNYKGTTGHFDRRPNPEWDQVFAFSKSRVQSNAVEVFLKDREMLGRDDYVGKVVFDLAEVPTRVPPDSPLAPQWYRLGDPRRGELMLAVWIGTQADEAFPEAWHSDAATVRGEGVASVRSKAYVSPKLWYLRVNVIEAQDVQPQQPGARGGRAPEVFVKAQVGHQILKTSVVPAPTLNPRWNQDLVFVVAEPFEEQLVLTVEDRVSPRKDDLLGRVALPLTLFEKRLDHRPFVQSRWFDLEKFGLVGVEGETRRELRFASRVHVRACLEGAYHVMDESTMYISDTRPTARQLWRPPVGVLEVGILGASGLQPMKNREGRGATDAYCVAKYGQKWVRTRTMIGTFNPTWNEQYTWEVFDPCTVIAIGVFDNCHLGTNGSNQARDARIGKIRIRLSTLETDRVYTHSYPLIVLHPSGVKKTGELRLAVRFTCLSLINMVHLYTQPLLPKMHYLHPFTVTQLDALRYQAMGIVAARLGRAEPPLRREVVEYMLDVESHMWSMRRSKANFFRAVSLFSGAAAAARWFNDVCHWKNVATTALVHVLLLILIWYPELILPTVFLYMFMIGLWNYRRRPRHPPHMDTKLSWAEAAHPDELDEEFDTFPTSRQQDVVYMRYDRLRSVAGRIQTVVGDMATQGERLQSLLSWRDPRATCLFVFFCLIAAIVLYVTPFRVVALVAGLYLLRHPRFRSRLPSVPSNFFRRLPSRADSML >Et_3B_031468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30581329:30583208:-1 gene:Et_3B_031468 transcript:Et_3B_031468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGEEDKKPEGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDMNAIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGFLPSA >Et_2B_020930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24897475:24899468:-1 gene:Et_2B_020930 transcript:Et_2B_020930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSQHDSTSAPGIQEGVDFPGSSSSKCTKTTSSSSWRWCLGLIYIVAVASIWIAASYIVQSVVDAGVSPFLMTYICNSLFVIYIPIVEVARYFEDSLDTFWAKLKGKNVASLQETADLESVNLLHSTGHEGNVASDQSQTRSPEDTLVPDSILPDQTELNVAECSKGRDAKGRWTRARVARVSMVVCPFWFLAQFTFNLSLRYTTVTSNTILSSTSSLFTFLVALVFLGETFTWLKLVSVLLCMGGTIIVSLADSSSTVNAIATNPLLGDFLSIISAGLYAVYITLIRKKLPDEKEGQGEVSMAQFLGFLGLFNMLFFLPVALVLNFAKLEPFHKLTWEQVGLIVGKGLLDNVLSDYLWAKAILLTTTTVATAGLTIQVPIAAIVDTLTGHAPHLLNYIGAAAVLVGFAGINIPSETPQAAHQEQETPIVSLVDDPLHLPNSRNASDAVS >Et_4A_033119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18739299:18748641:-1 gene:Et_4A_033119 transcript:Et_4A_033119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSQQPDSTQNANGEFELEMAAKEHQNEATEAGESSEKLLLDSSKVGALKRREFINNLLKVGVMLPAIEVKYENLCVKAESRLSGGNHLPTLWNSIKGVFSSTVKMLGLKKDKVHMNILEDVTGIIKPCRLTLLLGPPGSGKTTLLRALAGQLDKSLKVTGNISYNDYQLNEFVPEKTAAYISQYDLHIPDMTVRETLDFSAWCQGIGSRADILDEVIKREEETGIIPDHDIDLYMKATAVGASEKSLQTDYILKIMGLEICADTMVGDAMRRGISGGQKKRLTTAEMIVGPAKAFFMDEISNGLDSSTTFQIINCFQQLTSITERTMLISLLQPTPEVFDLFEDLIVMAEGKIIYHGPRNEAHYFFENCGFRCPERKGMPDFLQEVLSRKDQRQYWSGTDESYRYISSHQLSSMFKEYHQQRELANKSATEKRKLGKESLSFNKYSVSKLELFKACRLSVIALIAMSVFFRTRMTTDLTHANYYMGALFFSIFMIMLNGTPEMSMQVARLPSFYKQKSYYFYPSWAYAIPTSILKIPISLLDSLVWICITYYGIGYTATVSRFFCQFLILSLVHQSVTSFYRFIASYAQTHILSFFYMFLGVELFLVFGGFILPKPSLPGWLSWGFWLSPLTYAEIGTAINEFLAPRWQKETIQNITVGNQILINRGLYYSWYFYWISVVALLGCIVVLYIAFGLALAYRRPLEAYHESMPRKSFSEGQEEEINIEKGFSDHINMPVEGTMAMPIMQLAVTFRNLNYYVDTPPEMLKQGYSARRLQLLHSVTGAFRPGVLSALMGVSGAGKTTLLDVLAGRKTGGYIEGDIRIGGYPKVQETFVRIMGYCEQVDIHSPQLTVEESVTYSAWLRLPPQVDGKKRREFVDEVLKIVELDEIKDALVGIPGMNGLSLEQRKRLTVAVELVSNPSIILMDEPTTGLDARSAAIVIRAVKNISETGRTVVCTIHQTSIDIFEAFDELILMKNGGKIIYNGSIGEHSCKMVEYFEKIPGVPRIKRNCNPATWMMDVTSISMEVQLNIDFASIYEESLLHRQMEDLVEQLSNPQPNSENLGFLNRFQQNSWVQFKACLWKQNIAYWRSPQYNLSRIAMTTITALIFGVLFSRHAKILNNEQDVFNVFGAMYLGVINLVVYNDQTIIPFSTTERVVMYREKFAGMYSSWSYSFAQAAIEIPYVFIQVLLYTIIIYPTIGYYWTAYKFLWFLYTSFCSVLSYVYIGLLLVSITPNVQVATVLASFFNTMQTLFSGFILPAPRIPKYWIWIYYASPTSWILNALLTSQYGNIDKEIKVFGETKSVAVFLNDYFGFHQDRLGLVAALLIVFPFVFVVLFSLSVEKINFQKR >Et_2B_021793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5561705:5570353:1 gene:Et_2B_021793 transcript:Et_2B_021793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHHTQVKLKKRGSDTKYLATVLAIGNECDMAMLTVEDDEFWEGVSPVEFGPLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDAENIGYVIPTPVIKHFIEDYEKSGEYTGFPILGVEWQKMENPDLRKAMGMKADQKGVRIRRVEPTAPESGCLKPSDIILSFDGIDIANDGTVPFRHGERIGFSYLVSQKYTGEKARVKVLRNSKILEFNIKLATHKRLIPAHIKGRPPSYYIVAGFVFTSVSVPYLRSEYGKDYEYDAPVKLLDKHMHAMAQSPDEQIVVVSQVLVADINIGYEEIVNIQVLSFNGTPVKNLKHLAAMVEQCNEEFMKFDLDYDQVVVLETKTAKDATQDILTTHCIPSAMSEDLKT >Et_4A_032265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27286163:27286921:-1 gene:Et_4A_032265 transcript:Et_4A_032265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPCAACKLLRRKCTQGCVFAPYFPPDSPAKFANVHKVFGASNVSKILSELPQSQRGDAVSSLAFEADARLRDPVYGCVAYITVLQVRVNEARDELAAARKELAGYIGPAAFAPLAAATPQYHHHQYAGDVHLGVGVGQMAEAQQLGAAVEQGMMMRQAYVPGVDAAAQFDGGFFLQQQHAECASQAQTAVALTYQMESSPPPSSCGQSPAEEVVSQQQHTDGSDEGSGGVALPAC >Et_5A_040377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18333816:18334220:-1 gene:Et_5A_040377 transcript:Et_5A_040377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIAAYLLAHLAPVAAPSKADVRRILESVGAEVEEDRLDLLFAELEGKGDVAELIAAGREKLAYAPCGAAAAAAEAKEEEKEEEMEESDGEGLGLSLFD >Et_8A_056207.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:15318140:15318400:-1 gene:Et_8A_056207 transcript:Et_8A_056207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HPVTTRFCRSRACCVALRHGTPALEAVSWRASPQQSSSVCGPRSWKGFAAPRPTGQCRLSASCSTMRWRSCSSRCGRCSRRRRIGHS >Et_2B_019664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1261795:1264308:1 gene:Et_2B_019664 transcript:Et_2B_019664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVWLTAFFLVVALIVLVIYQLMCLADLEFDYINPFDSSSRINKVVIPEFVLQALLSVLFLVSGHWAMFLLSVPMVYYNYTLYQRRQHLVDVTEIFNQLGREKKRRLFKIISLIVLLFLSLFWMIWSVLSEDDE >Et_2A_017056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30495705:30496050:-1 gene:Et_2A_017056 transcript:Et_2A_017056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGSGSARKQETVGSKAAAAGVSVQEWLQHVKATFLGLVRKVTARSEQEAAEADMLAAKAQVEATDEAEAKKKQLAEG >Et_4A_032124.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:19321465:19321860:1 gene:Et_4A_032124 transcript:Et_4A_032124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASTFGSMLHYMYHGVLPAAILESTVGAYGGRMPEVERLCVAADRYALDTLKQTCEEILCIGVTVPTVLSNWVFAEKLSCQKLNSRCLEFLAAGENFKEVAVTDAYIELMRNEPSFVFQVRKQFKRGRFA >Et_1A_008944.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2023829:2024380:-1 gene:Et_1A_008944 transcript:Et_1A_008944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRLPFVAAATATLLLVVLLLAGATDAARPRGNKVKVKLPSLQALGFLAAHNAARRAVGLPPLAWDERLAAYARRYAAARSGDCALVHSHGPFGENLFRGSGGAGWRPADVVGAWVRERAMYDARSNTCRGSHGACGHYTQIVWRRTTAVGCALVPCGGGRSTFGVCSYNPPGNYVGMRPY >Et_2A_017792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6274058:6278285:-1 gene:Et_2A_017792 transcript:Et_2A_017792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METHGDLDATCPVYERTHGLGLATPLLVLNHAALLQAHGRFEDAFRVYQRGVKVFKYPHAEPVWAAYLTKFVERYGTSKPERVRDLFEDAVRQAPPAMKKAVFLQYAKFEEDFGLAGRAMKVYEDAVNAVPGCDKLSVYDTREIYHHAIRSGGLPDKDARAICIRFADLEIGLEEVDRARALYLYASGLTDPGAHPEFWRRWYDFEVLHGDQSMFREMLRLKRTMTVAAHTVVHAQPEMGKRKRQCAGQHVDEAIKLHRSLKLWCFYPDLMETHGDLNSTCVVYKRMHGLGLATALLVLNHAALLQAHGRFQDAFRVCRRGLDQVLQVPARRAGMVGVPDQVRQEPERVRDLFEDAVRQAPPEMKKAVFLRYAKFEEDFGLAGRAMKVYDDAVNAVPSCDKLGVYNVYIVRAAALFGVLKTREIYHHAIRSGGLPDKDARAICIRFADLEIGLGEVHRARALYVYTSGLTDPGAHPEFWRRWKDFEVLHGDDSTFREMLRLKRTMTMAAHTVVHVQPEIVKLKRQCASQQVHDDDMLEPKSKRTSLRPQVREVGVGKRAGGHGQGFLMSV >Et_9B_064394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14747365:14749765:-1 gene:Et_9B_064394 transcript:Et_9B_064394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLDWWRDYFRGAGAGICDVIENAILVAAADAPRELLHRRDRIAERLFTALRRDTAAAPPPSLGSVAASATPATPIEEDKGSVRRVAEKECKVDSSSNGAHRGDQGHDEDDDSDSDDERLRRAAASNYGHNYDEEDEEEEDEQVANEDQEEEEDHEVEELEALTNEIDEESQIVGEVLRIKDLLLHKEDHSDATLFESLRRLQLMQLSVSTLKATEIGRAVNRLRKHNSQQIRHLVRILIEGWKVLVDEWVNTTNAALADNSPDSSNPSVVDEEEEEGLPSPPLDEEAFFATQTTSIQLSEFFDEMDEDGNLRHNNDANIGNKRGNSGGRPANHSAIARQDPLRPSPGTAEKVQFRRPELARQANPQRPQSSSLQIKPHGVLNKQSKPSSFESGPGRPIKAATQLKPTGDMKLKQTREQSVVERKPMASQMDKSRLAAQPSAGARLELAKPKTNDDGLDNNRKLEAAKRRLQERYQEAENAKRQRTIQVMELGDIPKPKHQNRHPVAKSRNNLRNWANGRR >Et_1B_010948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15857689:15858447:1 gene:Et_1B_010948 transcript:Et_1B_010948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRCLLVWVVLAACVAAGAADWSLGTATFYGGSDGSGTMGGACGYGNLYNAGYGVNNAALSSALFNDGASCGQCYNIIGSVGAAWIKGTNTGWIPMSRNWGANWQSNAALVGQALSFAITSTGGQYIQFLDVVPAWWQFGQTFTSDKNFDY >Et_10A_000970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19752703:19758463:-1 gene:Et_10A_000970 transcript:Et_10A_000970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGRRGGRGRGGGGGSGGGYGRRDTRPGGAASRDDRDRRDRRPDYSPRPRRSPSPRRHARRPRGDDNDDRDVSHGNRGPARGDRADYGGDRERSRGGRTNYGGDRDPPPSRGRGHREDYDRDRDLPNGGRMEDYGRDHGLPNGGRREDYGRDHDLTGRGRREDFGRDRDLPNEGRMEDYGRDHGLPNGGHDLPGRGRREDYGRDRDLHRDGRREHYGGIRDLPGDGRREDYSRDLELPRGRDYERAPYREERDRRERGANGTYDSPPPYMFPDHPSDLSRPSLRSERKESYYLGGPGRRSIDRERELLGDDGMTLRISASELGRTSALYPERRSPPSRAVLSPPLPPPPPLYPSVPPTDTGFLMGGSAMNAGDGFGLESTRFLQDDGKYGKHMRDPYDERGRGSGRHYSGGRDVPVEEDGGTDRRYPPADMPICRDRETDRPYSSRGMQGSDLVPCTQLKQIGDSPPSLLAKDGQYRMHSEPHFEPSNGRETNAFVMPSNDSLGHGSGRARRFSGSPFERGSGHSDEALLGITRQERSILAPSAEPMEFDGHPYVTRDPVLDTYLASEDLHGNVSKNPRLLSGSASLTGLRDERIDHHMRLSHRMSDDEDIYKATLHDSDHNLQNSDGAHASVPYPPARGGSGRYSDSPRLEPMNVRRPARKHEFSSFEDGRDLSDQEVSPMISRKRYRSPPYPGHAMDMPLADDGFTRQGYNSDDIDAHDLSPRRISRHYELIDEDEYDARYSMQNNRGVFSRLALPHEINGEWTDAEQESHPHSKALTYGHSKHKPLSQRLSRPTGHLRFGDSSIHGRGRGRGLTKIGKKKMRGALHQFHGGYSSPRNEFIRPNKFSKTSEDDPKQSEMNHEDAQECEDLPVQKDPPEGSEEFAKQVHEAFLKYSKMLNESPATQKKFRGAEKGALSCYVCGSVARKFPDLDALLSHAYDTCKAGLKTKHLGFHKALCVLMGWNWHVAPDTSKSHHSFPAEEVNAMKRDLMLWPPVVVMHNSSIAIETEDTEANIVSKEAIEGLLADMGIPREKVKVSHGRPANQSVFVVKFQPTISGFQEAMRIHDHFYARNHGKEEFLQMRGGKGKQAAPVDNLEELLYGHIAVAEDLGYLDEETKRRCFIRSKMDIEARADATLNLEP >Et_8A_056304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20949614:20952099:-1 gene:Et_8A_056304 transcript:Et_8A_056304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSSNVDGAYEEEDSKSWVRAAIKQGVRVIHLVGHRDGLATLEHKAFVSSHLKVLKLSYAMLDDKTLRKLSSQCPSLEELHLKDCLIAGHEISSASLKILTMFKCQISVNLSVDAPNLVLLRCILPITQAPSFKNAASLVTGTIILDDRSFDDDFEDFSKDELDETTDDDDDDDDWNDSNKKHKTRYGFGAPFEELLSHKDRYGYGSEIDSDDNTYEYSEIANDCGSSNYGNRNVGRNSGFSDNKILGGHNVLQILSSATSLELIADAGEVILNREMKRCPTFSNLKTLSLGEWCMDADFDALVFLLQHSPILEMLFLELKLSFNTTKPLESGVRPKGRSFSCKHLQMVKIKCSKDDVRVHKVAHLFRANGVPVEKIFVHRTGSTHLRSEMMTRELARQELEFWEDDEFWGNDMFLIILL >Et_2B_020792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23684197:23686688:-1 gene:Et_2B_020792 transcript:Et_2B_020792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRADEGGEENRLRAALRHLQAEAGVLERLVYKHRNQHRGAAYFQYLLKVRRDLKLLLGAGLADVINAVFPVLASRKPANTILVPVKQSKKKPSTNHSHHERLLGVARLLSQITFLLARSFFIDLCTAVLALLARVRVLIQQMLLDIVPVYNKVTNLTDRKQAVKISISGVQAFREYYPSIDDARTILECVWVKDKFVLHEKLKGSCQVTEDEDQRSCGPKSTIQYETFGLVSEEMKNLEEKNSPDKQPDTNLVEHPDKMSHCSDAGAPQSVGQLENGNNAASSLPDTLSSHASSVHLDVKPETKKRVAFVAVGNPKSSEVNKKQRLDMVPRTNVESGDLFRKLLDSESANSSLF >Et_6A_045803.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:13602964:13604278:-1 gene:Et_6A_045803 transcript:Et_6A_045803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTSRLVVNNHIPEDVMYDAIFPRLPYKSVMRLKVVWKNVLEKITDSTFSANQSRMCPLCPAIVHIGRSTKKSYEYTMELLTSTPADVGVPSSKLDFLGYPAEGVHFFLLGSSNGLLCISCKPYHIGLTPPPTFHIVNPATQKSQLIPGATQHLDWRRVVGLVFDPLDQATTGLQKFQIIRAIPFIGTSDTSREFHFLIFSSDTGRWIMSDTTVSANIKKSLCQKVVYTNGVLFWDYVEDLLWFDVTTSKAGTIKVPKQIQESMSEEWERHSIDASNNGTLVCTMIDKDGLAMYEIVKIGDPNWELNHRKGWEDIVEINGNAF >Et_2A_016825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28642726:28644762:-1 gene:Et_2A_016825 transcript:Et_2A_016825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTCRGSICAIAILLSGVTILSSSWLFLTNGRGSAELPPRPARTPPHPDKARIAVCLVGAARRFELTGPSIMRNVLGRYPGVDLFLHSPLDGDAYKFGLLKDAPIFQPRHIVETEAHRWLLTPKKSPMGLQGLLQYFSLVEGCLGLIQTHELRNNFTYDWVVRTRVDGFWAGPLEPTAFRLNTYVIPEGSRHGGLNDRLGIGERGLSTLPLSRLSMLDNLATAGYNGLNSETAFKYQMKMGQVSSHEHKFEFCIVTNRRYKFPPGPYDTPVASMGSRGPLSGTKCRPCRPAYDGPQADQIWARLDPTRGWVEWRNQSLGLCNAMGEWEKGWEEAFDKAAGPSDAMTRRRVAAMSIEECNLDLEVLKAKTSKWDAPDPATISSLHQSYIIN >Et_6B_048312.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:11373254:11373562:1 gene:Et_6B_048312 transcript:Et_6B_048312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDPAAWTSIEVETLVAHDSRDGGIMVLVTGSHAAPSRPRRRFSQTFYLAPQDVGGYFVRDDTLSYIDDGAGPAPSAAATQASATGPARGSVSDGGMFGPV >Et_10B_002636.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19721812:19722333:-1 gene:Et_10B_002636 transcript:Et_10B_002636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RREPRGARRGGTPARRQLRARVVRREVDKSVAAAAKRPWRASVRRSRRCSWPLPGSARCSTNKRHISQALTPRLGGSVPHFYIATETNQITDKLYKHNSYIQTEPVLRLQCTTWGQVNAGHLVLLLAEQMLLYLFNSICDAWAHMHNHFPRQPLPNPIYDITPVYTWQRTQSQ >Et_3B_028990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21139741:21141757:-1 gene:Et_3B_028990 transcript:Et_3B_028990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVADLLDMQSSPNGAVVAPACASPSVLKVARSHAWDWAALLLLVAVEVLLNIIEPFHRFVGADMLTDLRYPLKSNTVPIWAVPIYAVIGPIIIFTIVFIRKRNAYDLHHAILGIFFSVLITGVLTDAIKDGVGRPRPNFFWRCFPDGKAVFDKITTGVICHGDPSVIKEGHKSFPSGHSSWSFAGLGFLSWYLAGKITVFDRRGHIAKLCIVLMPLLLAVMVAISRVDDYWHHWQDVFAGGILGLVVASVCYLQFFPPPSDDKGTSISFKLTVQSDHMVTVCLYASQYEPCQKVLPILAIQFLFGLQPTICDKIGKLIYGHN >Et_7B_054236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1815185:1820123:-1 gene:Et_7B_054236 transcript:Et_7B_054236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNIPAAAGANACDEFPYVSAPPPSLFPIMEQQQQESSIQRGEHHQLGYNLEANSLALLPPSNHAAAHQTTIVSHSPHDILQFYPAAAASSHHHYLAGAGNYFGAGSTFQSYYPPAAAAAAQPEYYFPTLVSSAEENMASFAATQLGLNLGYRTYFPPRGGYTYGHHPPRCQAEGCKADLSGAKRYHRRHKVCEHHSKAPVVVTAGGLHQRFCQQCSRFHLLDEFDDAKKSCRKRLADHNRRRRKSKPSDADAADKKRAQANKAAAAKDKAGSSSKNMDIGDGLTTQVLGSALFSKEQDQAMDLGEVVKEAVDPKGKSSMQQHAHHQGIHQQNHHGFPFPTSSGSCFPQSQAVSSDNTSNIAQVQEPSLAFHQHHQHSNILQLGQAMFDMDFDHYDDRYVTGFASQVSGQPLAA >Et_4B_037432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19753931:19754941:-1 gene:Et_4B_037432 transcript:Et_4B_037432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLVHPTSLGNRLSYPDSLLSVAGGSTTALEFTKSGDPPAPLAAKRRGRKPAGSRAGGDGHVEAERQWRDKLNRRFCDLRAAVPIVSRMDKASLLADATSYIAELRGRVKELEAGAKQQQEAAGVGAGAAAPPLAAAAAAPVEKLEVRMLGREAASLRLTSAARHGPACLMEALRQMDLPLQHTYVCRAGGVTVQDAVVDVPAGPLQDEAWLRAALLHRLQGSGCLSFLSRMNGVALAPDTSQAGCMHDVIIEESMFLLGSHYTTSAYTYVHTAPTLEKNRSLLKLS >Et_4A_032820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14260050:14263145:1 gene:Et_4A_032820 transcript:Et_4A_032820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSRKKRPQRVSSLSDDLIVEILCRVPYRSLCRFKGVSRSWLALCSDPDIRKKSPQTLSGFFCYARDHGFRFLNMSGRGRPLVDPSLPFLQGLGYDRIRLKQCCSGLVLCSGWKSSWSGYDADHIVCNPATRKWTVLPDTGEEHNVIHTFWLCFDPSAPSCFYVFLFVVDFSQELEPHLMRVKIYSSETGAWIERQHEWGDSIRLKDDVEPVFFNGTLYAVTSGLSLITVDTEGTTWRKIRMPHWSLQTWFYDVEVFIAHSQGRLYATHIDFHEDNVLSVWQFEADGNEQWALKHTASITRLLGRYKDGHREFYQVIAAHPERNLIFLTGGMGNELLSFDLDNQEVRAICTLEMGRPLVDPSLPFLQGLGYDAIMLKQCCSGLLLCCGWKSSCSGFDDYVVCNPATRKWTVLPDTGEQPNVVPAHLWFGFRPIHAVPLLCLLDSFRDLVRVKIYSSETGAWISRQHEWGDSIRLKHDLYATHIAFHEDNVLSVWQFETDGNEQWSLKHTASITRLLGGCKNGYNEFYQVIAAHPEHNLIFLTGGMGDELLAFDLDNQELRAICTLDKYFQAPMLPYIPCFAEWPSDGL >Et_6B_049361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3925396:3927963:-1 gene:Et_6B_049361 transcript:Et_6B_049361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRHPKTTHRIFDNLHGNLLPRLLKLAVRLFSTNERPSDPTTANSSERCFSLIGSVIREIWISLVQVIWTLLSFPANLVVGFVLFLYGSGPFVCMALSSWRLSTSNFGVTGREEGKANLTPALFIFYVLVLLQGAFYLFWKLCDNMDEEIIRSVCRRCELCSTWGHNVVAEYLSDTRVKCEQEPTSITDSNLVRFAVGLLDSELPDKYLSGARMLDELIKRREDVRSLLLLSRPKIQKLIDTLGWRSNTANNREIRELAARILADLASDIYLAQFPGAIQCISSLLEPNKAYCKKQQALDGVLSPHNNNLQAVSSREPITMVRFGIFQVVYKDGKKSSIQDKEGGGTCKELILHGLTILERLAYNQHNCRDICGTPGLIHKVMAPIYSRTLMEDIKITAFVDVVKGSLTVMHQLIRSPGMAGRMLRYDISFNKNSMSNLEGILIQGNEVDDELRMQAMEILTELVMDSSIIINRITEENLIINQVEIFLADEGENHGAILRTTAGRTLAVLTNKSEINCVFVTGKFNNIINRLAIMLDSNTNITYRGIAAEILENLCTHCEEPLHQRNVAEILLSKILTEMLCKSQRNISDLGNDEGGEHSILKILWSAYLSLVAGRILKRQSSNQGNNEEQTIPKELQEAFLSLTLVIYDRMISSADEFDAAVQAMAPGSGAFVAKLNTIIEGNQQATPDSLRIIKLCYEIAIAMIKRSQYTEHFKDKTFVESLSKASGNMSDLESCMLFAGTDFGVKKAARPLLYDLEKEFKALLQSVG >Et_8A_056250.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:18157072:18157311:-1 gene:Et_8A_056250 transcript:Et_8A_056250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVILIAAWAIWKVRNAIIFYGINQTFGAWCHLFREDMALHSNRFSQQDRQEIMDWLTLLFLDNGTTVPASTPSKRSTQ >Et_8B_059046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12946421:12948464:1 gene:Et_8B_059046 transcript:Et_8B_059046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PNLRTVPIRGKNNVPHASTERGGGPAATEAEHQRPPHTSIKPRHLLSFFSSSNQRHHFQGYRAAAAAMARWSHLASLAVALALVQAASSVHWLDDYFFTDGNVRAGYDASGREIAMVSLNQQSGGGGFNSKKKFLFGEFSIKMKLIPGNSAGTVSCFYLSSGTDDYRDEIDMEFMGNATGQPVVLNTNVWANGDGKKEHQFDLWFDPSADFHTYTITWNPENIIFQVDDKVIRCFKRYADLAYPNAKPMQVHATLWDGSYWATEKGRIPIDWSGAPFTVTYREYFADACVGGRACPAGSGKWMYRQPSKAEWGTISWAEKNFMRYNYCTDGWRFPNGLPAEYNAFAYPERQNPAGWKVR >Et_5A_042634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23181062:23181555:1 gene:Et_5A_042634 transcript:Et_5A_042634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSKLACLALALAAAAVMAPCEGKTWQQDVVDAHNAARAAVGVGKVDWDNKVAAYARSYARQRQGDCKLKHSNKSDRPNYGENISRRWVKEKQYYHHDTNSCSAPAGKSCGHYTQVVWRNSTAIGCARAVCDNNRGVFVTCNYNPPGNYRGQSPY >Et_3B_030749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:685502:691431:-1 gene:Et_3B_030749 transcript:Et_3B_030749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKFKLGRKIGSGSFGEIYLATHIDTYEIVAVKIESSKTKHPQLFYEAKIYNTLQGGSGIANVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRKFSLKTVLMLADQMLTRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGNLPWQGLKAATKTQKYDKICEKKISTPVEVMCKSCPVEFASYFHYCHSLTFDQRPDYAFVKRLFRDLFTRQGYEFDYVFDWTILKFKQGQKQRHGPGAPVTRPVSGDTHKEAAVNGAFHHNDAREHVGMSHPAGPAALLQGKRPTQRDPNSNLQLADNFRQDMAAGKAQLTTSITLPSSQWQNGGISGKIGQSDAFHHNQGFVSITGSSSNWCPTFEHNAPAK >Et_3A_023662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10522259:10524674:-1 gene:Et_3A_023662 transcript:Et_3A_023662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIMSIVCVPYKCLAPSTAEEHHRSKGSATFSIDQARNRGPTMGVAQVPTMLWLLLGLTLTFGVAVSPAQASRANHYDFFIRKTKVTRLCHQKEVLTVNGQFPGPTIYARKGDEVFVNVYNQGDKNITIHWHGVDQPRNPWFDGPEFITQCPIQPGANLTYRIILSEEEGTVWWHAHSDFDRATLHGAIAISPKRGSHYPFKKPHKEIPVIIGEWWKEDLNHLLEEALRTGGELEPSEANTINGQPGDRFPCSQKDTFKMTVEHGKTYLLRIINAGLTNEMFFGVAGHRLTVVGTDGHYLKPFTVESIMITPGQTINALLVADRARSNKNSRYYMAARTFMTNTDSTFKFNNSTATAILEYADMPPFAGPPDFPTNLPAIYDIGTATAFSARLRSLATAEHPEHVPAHVDQRMLVTVSINMVPSCPPNQKCNENNDTSLAASLNNVSFANPTIDILDAYYGSIPGVFEADFPNKPPHVFNYTDPDLPPARWFTKKGTKVKEVEYGTVVEVVFQGTAILGAESHPMHLHGYTFYAVGRGFGIFNESKDPASYNLVDPPRQNTVSVPRAGWAAIRFRATNPGVWFMHCHFDRHTVWGMNTVFIVKDGKAPQAKMMPRPPTMPKC >Et_2B_020493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20713028:20715639:-1 gene:Et_2B_020493 transcript:Et_2B_020493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALAALAAVLLALLACCSRQARCEEAADVRQSLVAFLRELAGGDEQVVRDLRWDASVQPCDAAAPWASVECGGDGRVRKVLLENLGARLNGTFNATLLCAASTVRVLSLHDNALRGGVPADIAACKALTHLYLSGNRFSGGPPASLPQLGQLRVLDVSRNDFSGEIPGGLSKVNLKGFLANDNRFNGTIPDFDLRKFDAFNVSNNNLTGRVPTNTGVFGVDRFAPNAAGMCGKPMFEACRPSLPPSASPPSPGSEADEGLSDSKSRKRRARHIVMYLGYVLLGVVILALVMYLCIKKQRRNKLGRRKSMLGGRSRRRVNDSSSKVTTTTTTATSVSASKSVYSLPTSVAQSPAAAAAAPSTSLVVLRRSGTASITSTAAAAAAKELRFEDLLKSPAELLGRGRFGSSYKVVVVPSGAALAVKRVKDAAVSEEEFRRRMERVGRAKHLAVLPPLAFYCAMQEKLVVYEFQSNGSLARLLHGSIESSQGPVDWPTRLQIAAKVADGMAFMHATLRGDGTATISDSYSGEAAAAATDGPIAHGNLKTSNILFTSGMDPCISEYGVTTPFPPSDGGGAAALEADVRAFGVVLLELLTGKATAAQGDGAELARWVTSVIREEWTAEVFDRAMLAGGDGSSEQRMVRLLQVAMRCVDASPGAAPPAMREVASMINAIREEDDRSISSEA >Et_3A_023037.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:19905801:19906556:-1 gene:Et_3A_023037 transcript:Et_3A_023037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDFLPWFEVLDLSSASCVDGQLTDCIWYPLPSPPLFPILSDGWSRLDQGPPIVEVESYAVVGRYILLSIKSDPFNEQDAGTVAFDVSAEEWLYLDQRNLPFIGQAVPYGHLFLGRSKSKDWNDLAAYSISVTLEKVNTRATRTLSITEVPITVGMTNGPPLMPGQFFASLGNGVICTVGCWTEGWTCDEEIERDNIYFNFHSPISDEQGLQAGKIVLSSSPSKYFFRLHEPVCHLIAPSLVAAPYLSVT >Et_2B_020574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21472894:21476188:-1 gene:Et_2B_020574 transcript:Et_2B_020574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKHRILFSPSELSAPAPAPAPAPAPMLPDDQGFPFDSNDAADNKYYKTRLLLEVLVPIAVFCATVCVLVWLWLKRRRNAEQIVAPHDSDSSDEQHGEEADFERAVAGPRRYHYRELAAATGDFNDENKLGRGGFGSVYQGSLHGDGGDRKVAVKLLSAETSSQGRRQFEAEVKIISRLRHRNLVQLLGWCDSPKGLLLVYELVPEGSLDKHIHSNPCLLTWPDRYKIIMGLGSALRYLHREWDQCVIHGDIKPSNIMLDSSYNTKLGDFGLARLVDHGTDPWTTANVLGTPGYIDPDFVNRRRPSTESDVYSFGIVLLEIVSGRQPVDRREPSFMLLKWVWSLYSQGKTIEAADARLRGGDERQMERALVVGLWCAHHDPEQRPSIAQAMQVLQSEDAKLPVLPPHMYKLDALASAISTGESGGVSGSSFSSGVRSSAATDISLRSEKVSRIGNKIKLHDGLKQSRSLFCEHLKNSGNSVVEIRISRPGSPVTASSTPRDWDSSSEPE >Et_1B_012162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29583681:29586394:1 gene:Et_1B_012162 transcript:Et_1B_012162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYAGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTCKGLTMASTFIGNSTSIQEMFHRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEEEYDDEEEEEAA >Et_2A_018026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9105840:9106378:-1 gene:Et_2A_018026 transcript:Et_2A_018026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ISNAKCDEMMPPNIDHNKFEVNVIGASNCEETAGSVTNNEMSEEEVNAFLENEFKAASEGNNADLDSKYAPQMGQHAQHYFHFYAFLAGFQATVTHTNRSTSKKKDYRIYKQEMKCKKYGVDEPPKTTEQKEAEAEKEEEAKSISSSVPKIGNLKLVFGRGERVFA >Et_5B_043914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17647506:17653678:-1 gene:Et_5B_043914 transcript:Et_5B_043914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GELCTDRPNGQGAEEACCFHLVPWSKAQNHVDSSGPFPLGAPRRAVHLLCCTDRASARIPSLRLSAHVPHPLPTHSPPLTRGPGAEPHPPKDTCAQHPFLTARPPNGYLSLHWHHRRSPETLRAPASRTAVHNVSHRHAGPAAVSRPRAGAAARSPAKANARPPRRRDKATGTRASYTRKVGAPNPSGQAPFCSVSFRAASPHRPPVSLTAGERVRHHNTPPPRPLASLPPPVPELRGSPDLGCRSLFREPKPPEPPAAAAGGAAAVTAAAAVAPQTHAEWAASVQAYYAAAGHPYAWPAQHLMAAAAAGATYGAPVPFPVYHPGAAAYYAHASMAAAGVPYPTAEAAAAAAAAAAEGKGKGKGGGASPEKGSSGAASGEDASRSGDSGSDESSDTRDDDTDHKDSSAPKKRKSGNTSAEGEPSQAVVRYAAVESPFPVKGRSASKLPVSAPGRAALPHATPNLNIGMDLWSASPALAVPAVQGEASPGLALARRDGGPLDERELKRERRKQSNRESARRSRLRKQQECEELGRKVAELTTENSALRAELDNLKKACQDMEVENSRLLGPSVTTTLGMSIEAPKAQQHDDEGQLRKNTNNNSNGNYVGGSHKQEANTRVLVQAAWARQQQASGICFVADSPSSPSRWLTGSNPNTRENLHLRLCDSSGWKKNHCPPHFVTSAH >Et_7A_052267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6301772:6308258:-1 gene:Et_7A_052267 transcript:Et_7A_052267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSATAAAAGKTKRMSKTGTLTPEEVKSLGRELLSSRAHLNHAPVLLALLSPSAPLDLALEALISIQSFFVPLIPSIPSVAAAAAGDASSDPEAVFGSWLRQRFDELIASLVELSVSPQSDDAIRDVALDALMDFVKVGKDGKFQSAIYHKFLHALVHATDPIEPLLELLGPKYFKYADVCYFTYTSLDKIANSLGIRTTGSGKDALQNGGDGSENRGVIFINNIYNILAHVPVIDFQKESAFEMWSTVGISSKGEKDSSKDSATYIKKKLKLKFTKAWLSFLKLPLPLDVYKEVLASIHQNVIPSIDFLTRSYDIGGVISVMALSGLFILMTQHGLEYPKFYEKLYALLTPAVFMAKHRSVFLQLLDTCLKSSYLPAYLAAAFAKRLSRLALSVPPAGALIIIALIHNLLRRHPSINFLVHWEVDESDSNATGEGHQTKKIGTDPFNNQESDPAKSGAMRSSLWEIDTLRHHYSPAVSRFVESLENDLTVRAKTTEMKITDFSSGSYATVFRDEVRRRIKQVPLAFYRTTPTSLFQGSEFPGWTFGDHSNSVIQAMETLDASDSTPSKRLRVDT >Et_3A_026237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5907874:5908495:-1 gene:Et_3A_026237 transcript:Et_3A_026237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YTPNKLKHKTLNSDHILSPHNRAKLQDSEPSIAAFPVTDLTSDLNALHLRLLHLGLGHGDGEHTVLQAGLHLVHLGVLREAEAPDELPAAALDAVSFLSSFSLARWPLMTSTLPSSTSTFTSSFLTPGMSALNTCASGVSFQSMRALAKAAVSPAVDGAAGTMLERNTLSNGSQRSREKGSNTLLRLTSDIVAACALLCGDEQR >Et_2A_017717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5461232:5462474:1 gene:Et_2A_017717 transcript:Et_2A_017717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLANHWIDTRYEYYYNFTVPLFIAGRLPSTLRTATRTVIFSIFTHTTHRTGWIPADSRRRRLHQRGARRRVRVEQRGEQVLPPVRAVRVVAGSGRLVHEEVAGVARVRALAVDSRASRRGLERRGAVALVEPVAERVGDGAGEHAGHVDHHAVHARVAAGRHQRRRLRAVLRLVERPRALTRALRRGVAHPRVRRAGARVRPVRPAPAHAEALHRHVRRREHQRHAALRRPRLGRQRRELVQLQVLPEGARLVGDLPGQDHPRVRRAAVRAVLADGRGGFGESWLTLFHHWETDGAGASRGAAERERRKSETTALCAGVKRPYQRTDTGMSPLSTAPLWYVRRFGCGCTRNMSFLVAPPDDAVAMFAVMASTISSRRTLCLAIWERLRVKFVFWGLGTGELSKGRELFI >Et_1A_005960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1663056:1665061:1 gene:Et_1A_005960 transcript:Et_1A_005960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARRARFIGGVLFAARTNPKPLFSSSSFSSASAARATSGDRDDDRLSRRLLLLRPPRGGGAAAAIERWAQERGHVSQPELRHAIAQLRRARRYDHALEVFSWMDSCNSLELSPWDHAARLDLIAKSHGTSQAEEYYKKLQSTAAKRAASFPLLHCYVMERNVQKAETFMAELQRCGLPVDPHSFNEMMKLYVATCQFEKVLSVINLMKRNNIPRNVLSYNIWMNASAQVSGVASVQSVFQEMVNDDKVEIGWSTYFTLANIFRIHGMNAEAQAYLRKAETKLSSTGRLGYSFIMTCYAALNDSEGIIRMWEASKNVPGRIPAANYMSVILCFIKIGDISRAEWIFGSWEAECRKHDVRVSNVLLGAYVRNGWIEKAERLHLHMLEKGARPNYKTWEILMEGYVQSRQMDKAVGAMKKCLSLLKSCHWRPPVELIEAIAKHFEEKGNADDAYRYIKVLQKLNLTTLDLYKSLLRAYINAEAVPPNIPEMLARDQIVVDEETAQLIMRAGKIDITGDG >Et_4B_037630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21977847:21983822:-1 gene:Et_4B_037630 transcript:Et_4B_037630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLSFLTHTTRNPEPSPRESRARGKGEQRKQSARMRGGGREDEEASRKLKSMDVDKLENGAGEEDGHPPRPAVKYYGWKAMPFIIGNETFEKLGTLGTSANLLVYLTQVFHMRSVDAATLLNGLNGTTSLAPIIGAFLSDAYLGRYLALAIASVASLIGMFLLTLTAGADSLHPPECGVGETCEKASSYQFAVLFISFAFLVVGSAGIRPCSMPFGADQFDPHTESGKRGINSFFNWYYFTFTAAMLISATVIIYVQSNVSWSIGLGIPTILMFLACVLFFMGTRLYVKMTPEGSPFTSVVQVFAAAVKKRSLKQPKDPKQDLFDPPHTSAIVTKLAHTDQFRCLDKAAIVASNDEVRPGGAAPADPWRLCSVQQVEEVKCLIRIVPVWSTGIIYYVAVVQQSTYVVLSALQSDRHLGRAGFQIPAASFTVFAMLAQTVWIPIYDRLLLPKIRKITGKDEGLTLLQRMGIGIALSTVAMIISATVEDRRRAIALSEPTLGTTITGGAISAMSSLWMVPQLLILGLSEAFNLISQIEFYYKEIPEHMRSVAGALAFCNLALGNYLSGFLVTIVHRTTGSGSNWLAQDLNKGRLDLFYWTIAGIGVFNLIYFVICARWYRFKGTPN >Et_8B_059944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4276966:4287154:-1 gene:Et_8B_059944 transcript:Et_8B_059944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHARVPLLQPHGEAATAGKGRARRAVALEWWVESKKLWQIVGPAILQRIALYGINVVSQAFIGHIGDLELAAFSIAATVVAGFNFGFLLGMASALETLCGQAFGAKKHHMLGVYLQRSWIVLLLFAAALTPTYIFMEDLLLLIGQSPELSRLAGQMSIWLLPQHFAMAMLLPLTRFLQSQLKNWVTAYTAGVGLAVHVVVTYLLVHVVRLGFVGAVIAADMSWWLVVLGQFLYVVGGGCPLSWRGFSMEAFADFWEFIKLSSASGVMLCLENWYYRLLVLLTGYLPNAEIAVDALSICQTINGWEMMIPFGFLAATGVRVANELGAGSGKGARFAIIVSITTSVVIGLVFWCLILYFDDKIALLFTTSRVVLNAVHNLGGRWFRMASVGGLRERRNLLPYRSTLGSHTRLAPEGIWSGMIGGTAVQTLLLAYLTVRCDWDEEAKKASKRMEDARDTPLLQPRGEVVAATTRCSGVRQVALEWWVESKKLWRIVGPAIFQRIALYGINVVSQAFIGHLGDLELAAFSIAATVVAGFNFGFLLGMASALETLCGQAFGAKKYHMLGVYLQRSWIALLLFATALTPTYILMEDLLLLIGQSPDLARLAGKMSVWLIPQHFAVAMLVPLTRYLQSQLKNWVTAVTAGVTLVIHVVTTYLLVHHFQLGIVGAVVAADLSWWLVVLGQFMYVVGGGCPLTWKGFSMEAFADFWEFIKLSSASGVMLCLENWYYRVLVLLTGYLKNAEIAVDALSICLTINGWELMIPLGFLAATGVRVANELGAGSGKGARFAIVVSVTTSVVIGLVFWCLILYFNDHFAFIFTSSKVVLDAVHSLSVLLAFTVLLNSVQPGWPSVQDGKRWWRTYYLVGVPFGVLLGWPLRFGVGGIWSGLIGGTAVQTLVLAYLTARCDWDEEVSTYLVRFYLFFIRFNLFLGMKCCVFTG >Et_6A_047935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24575306:24577770:-1 gene:Et_6A_047935 transcript:Et_6A_047935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVDRLSALPDDVLRRVLYFAPAKEGASTAVLSRRWRSLWRTSGAVNNDWRSHHRAHGSDEEEPTFQSLVKVFLPAVNAALDAAEAPLTRLTFHVEAECHVFYLLMLPGTFDRNLIDHALDHPAARRLEELRVAAVNYRQEEAGNQFHYWIHLASLPSETLRDLQLVNCTYLTPAPPGTVFPRLTSLRLQGCNDVSLSCLQDTINAAPQLVTLHLESSKITGKITPPVDGVQVQRHHRLLCPVVTALVFADCTWPCEEDELAAGLELDLPRVTYFRYKGHLLHNRLLLRSHEPSSSIVRADLHFTGYKHGELGTNISAHFWQFVTQNFHMVKVLKLRLDFTIHLIAVVHKKEQDDLIAKSLFYNVKRLELEGRYKPGNKMSGFAIGNLLQCCPVVCDLTLKLTAIASAESSFQSSLGTQQAQLDFEKSYNHFRQRKRQPISSGRDDDDNTYDDEVNVPGLSKRSFNCLQSCLRRMSLQFRMDVPNCFGVQLAKFFAENTQVLEELHVDDGSHKMCDHMNWKPLALRLDATVPPCPRKSSRQVADFLRAPSAPARWPSPSRVGSSSQVAPSPAHGANSSLAAALSPAHACSSLRARPAAAELSLPPPARIKRGRHEEATSPALNLSRR >Et_9A_063424.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:2403805:2404110:1 gene:Et_9A_063424 transcript:Et_9A_063424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSGKKRAAVLGFLGLTAAADEFRQSQEYQQCHVYTTAVVGSVPPATTRVRPSDDDGYVEGRPWWYAERDIDRRASEFIDRVHRGMLAGDGPSSSAARY >Et_3A_026759.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:19134695:19134931:-1 gene:Et_3A_026759 transcript:Et_3A_026759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAKLLPDCHPGGSMFVNSFCPRSGFVLGAVPGQQLRFDVGQGSRHPVVCIGPDRRFVLNFPYEMDWSTYISKMKRF >Et_5A_041536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2458363:2461435:-1 gene:Et_5A_041536 transcript:Et_5A_041536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWAWWPWPWPWSWREGVLALAAWLCLHVAARLVEALWLRPRRLERHFARHGVHGPGYRFFFGSSIELVRLMLDAASRPAPPDAPHDVLPRVLAFYHHWRKLYGPKFLIWFGTTPRLTISEPELIREVLLSRAEDFDRYVAPPLIRQFEGMGLSNLHGDEWARRRKILTPAFHTENLKLLVPFVGETVQRMLEERVLSPSAASGAGEVEVDVAEWYPRLPQEAITLATFGRNYAEGSVVFRLQGEHASHATVAHSKVFIPGYRFIPTRRNRRVWRLDREIKRTLAKFVVDLQSRGGDGGDHHRRDEGRADDTGGLRDFMSFMAPAMTADEIIDECKNFFFAGKETLTSLLTWATVALAMHPEWQDRARREVVAVCGRRGLPTRDHLPKLKTLGMIVNETLRLYPPAVAIIRKARRDVELGGCAVPAGTEVLIPIMAVHHDADVWGGDATEFNPARFAEDRPPRHHMAFLPFGGGGRVCIGQNLALMEAKVALAVVLQRCEFRLSPAYVHAPQVLMILHPQHAAPVIFRPL >Et_9B_064080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1185206:1185715:1 gene:Et_9B_064080 transcript:Et_9B_064080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNSPSRAQMPSSSGIRGHEAVFSGPHGAPPFTPCLSSSAASFASAASGFLLGFIVRILEFDPTHTARAASCRRPRWSKVRLRRSGSTTVIPSRRST >Et_9B_064692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17737328:17739161:1 gene:Et_9B_064692 transcript:Et_9B_064692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGVLAGKQKGAAAAQPRNPLGDIGNVNLVVPEGINRPITRSFGAQLLKNAQANAALAKKNAIAPAHPEAQRAAPKPARKAPAKPAPRTELGRAPRPEQATKITTGPDQNKKPSEGAAGSSSACKSRKKVVNTLTTVLTARSKFACGLSEKPKELIEDIDKLDGDNQLAVVDYVEDIYKYYKAAENENLPSDYMGSQPEITAKMRAILTDWIVEVHGKFGQMPETLYLVMYIVDRYLSLQPVPRRELQLVGMAAILIAAKYEEIWAPEVNDLIEIADYAYSRQQILGMEKAILNKMEWNLTVPTMYVFLVRFAKAAGRDDKEMENMVFYFSELALLEYSTVTLCPSFIAASAVYAARSILKRSPLWTDTLKHHTGFDEPQLKERANILIRCHDALPGGKLKATYLKYAKKFACVGQSPPAAAPG >Et_8B_060300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8978746:8979691:-1 gene:Et_8B_060300 transcript:Et_8B_060300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIADNNCVYRNVVHRSAGERTQVLQDVASDPTFPRTKTVRCGQCGHGEAVFFQANARGEEGMTLFYVCCNPECGHRWRE >Et_8A_057868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8530283:8531018:1 gene:Et_8A_057868 transcript:Et_8A_057868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKEEGAREPVSARVRECDVSRTTGAQPCYATVGQHPHVYFVCSHHGQGVGGRGCLPGKARCRDCRHDFAGVRLILERLCQYPSQMINTELLKCHQLLNGEIIPIFIWENRRKGCLMHLFMVGMTFLFGSSMNLVIRQNGR >Et_3A_025810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33051271:33051972:-1 gene:Et_3A_025810 transcript:Et_3A_025810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNLRQLLAALVSVSHAANTPLTELALCVSTCGSNVANCIMTKCYEPLARGKGSRVMVPLCLLACTTDVMSCATNCPNGFAH >Et_1A_004864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12838392:12839051:1 gene:Et_1A_004864 transcript:Et_1A_004864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLETIYDDVDLEAGGPRSRPRKKRGAALLLVAAAAALVGALYLALDPKLPRYTVHALNVTAFGMDDDMTARARFDAAVRFENPNRAIGIRYEAGSSLAVWFRGYRLAGGAFPAFYQGHGDASLVRVDMGEARLRGTGVVEAMRHVNEAGGELPLVFTGEVPVRVKVGPVTTAKVTPSVRCGLVLDRLSTEGSVRVKNMDCKFSMKLW >Et_8B_060757.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:6276603:6276854:1 gene:Et_8B_060757 transcript:Et_8B_060757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAVLGERFSRRDELLKVLEVGLNKLKPVMSISDMFPSSKHVCAIGGTVQNARAFHRKNHKAPGLRHREAQGASSESTKYEN >Et_10A_002201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4134224:4135730:1 gene:Et_10A_002201 transcript:Et_10A_002201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVEAAEEWSSCAFSLICEEDGADLGDGVVDDGELFSLYNAVDEEEEEEYVEQLVFKEISFCSSSSDSAAEDDGDDDVDEDDDRAATSEEWFRQARLAAVKWILETRGCFGFGHRTAYLAVAYFDRFWLRRRVDRAAMPWAARLLSVACVSVAAKMEECAAPPLSEFVAGGGYEFCPASIRRMELLVLSTLGWRMGAVTPFDYLPCFASRLHQHDGGDHGGARVALKAIGYIFATAEAGSVLDHRPSSVAAAAILAASYGPLLTKEALDSKMCCLAPSCLIEKAHVHSCYSMMVGDMNRGSGKRSLPCSGSNEVATSTYDSVLVDDVTDTAAFGTAGVARNKRIRLELPGIR >Et_3A_025066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26517011:26520719:-1 gene:Et_3A_025066 transcript:Et_3A_025066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAKEQSGPTASCPVPVRCIVKLGGAAITNKGELESIDEGSLRSACEQLRKAMSDGDGAPEKVLGMDWSRRHGDPTDPAVDAEGFAGMSGLGLDTNFIVVHGAGSFGHFQASRSGVHKGGLHSTLVKAGFVATRISVTSLNQEIVRALAREGIPSVGMSPFACGWSTKRRNLASADASQIIQSLHAGFVPVLHGDAVLDELLDCTILSGDVIIRHLAKLLSPKYVVFLTDVFGVYDRPPTDPNAVLLREIVVDDNGSWSIVKPASLQGNKNGVEISVAAHDTTGGMETKILEAAVIARLGIDVYITKVGTEHSLRALKGDVNTDSDDWLGTVIRSSK >Et_8A_058105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2013648:2016078:1 gene:Et_8A_058105 transcript:Et_8A_058105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPEMQRFLQQEQQRMMMGEMVTKLTSVCWDKCITSTPGSKFSSGEATCLTNCAQRFLDMSVIIAKRFEMQ >Et_7A_051543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20047965:20054646:-1 gene:Et_7A_051543 transcript:Et_7A_051543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGDSAEFVGITRYHAYARVIFSKERAAVGRRREVRTWVKAMGGNVIGDSFLSHTCSRMASALGVQMDEHECNGKLPPGSMGFPIVGETFRFFKSSPSLDIPHFYKERLNRYGPLFKTSLVGQPVVVSMDVEVNRFIFQQEGKLFRSWYPDMSNNIFGKESMISYDGTLHRYVLSLAARLFGLESLKGAFLAEMGDVVTESLREWASQPSIEVKEAISNMIFDMTARKLISMDVKKARELRTNFDNFFQGLIAFPLYVPGRTFYQCMQGRKRVHSVLKHLLKERLSNPEKCHGDLIDIVIGDLTSKNPVADENFTTDAIAPLLFASLATISSTLTVGMKFQTDHPKVVEGLMDEHEEILKNREGINSSVTWNEYKSMPFTSQVINELTRLGNVAPGIFRKTLKDVHVNGYTIPYGWLVMISPMAVHLNPEFFEDPLTFNPWRWQEEDKRNTLLRNFMPFGGGIRLCVGAECSKVLIALFLHTLVVNYRWTEIKGGDVLHISEIVFPQRYHIQVLPRT >Et_9A_063224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16168119:16174287:-1 gene:Et_9A_063224 transcript:Et_9A_063224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIVLERNMQLHPRHFGPHLRDKLVSKLIKDVEGTCSGRHGFVVAITGVEDIGKGLIREGTGFVTFPVKYQCVVFRPFKGEILEAVVTMVNKVEPLASSPPVVMGFFAEAGPVQIFVSNHLIPDDMEFQSGDVPNYTTSDGSDNKGQEPHLHKEG >Et_7A_051183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15265520:15271420:1 gene:Et_7A_051183 transcript:Et_7A_051183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNSPVRRASRCSHGPRNMATINRVQRDESGKWYPRFPTSFAKVVAEHEMNDKEIKDIISDAWGQNMNLIGCEEDHTSATKGHTAEIMVSEGEEFSDDEGYTARNILQESRHLDGSMYRGMDMWWKKEYHVADHNETRLEAMRLSDPSDCIICNGTCLTHVPCGMLQIFSIELAKIPVDGGLVELYGYIAVRDNLDPLLNYIVNISRNDPITVNQGSLIKMVGPKRGIFLMDLTLFEYDMRIKTGEQEKDDLQLIDVEATIEVLISEVQSSFYLSLGCLTSGYNEEIWLFDGTIAESCGLKRSVVAVVMDSLIDLKFKLGAMSSKPDQRCCSFMAKDHGHDTQEIKTDSASFSVKLTWSALI >Et_5A_041520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2380986:2386327:1 gene:Et_5A_041520 transcript:Et_5A_041520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRISEEANMDRISEEAHMDKVSKAALMGRDKVCEEAHMDSDSVPNLFVRNKIDNGIGGEAPINSLKSEEPAYVSLDEPSSLQATGIDSVESTDGSANGFGKSIDGSAEPIRQANAVVSEASGSCNPVPCDETVLAEQMVNTCERTSTSSLVSKVPFIHSDASTTRFDMHNSSVIAPEELNRGLNLMDKSSSPDSTFMKEPIDDGSRSNSFMDDSLGSKAFSKSLVNIPSIKEGSSDQCSPSNHMIRSASDGIHTQQNSDEMPFDNLQTEGFNELADRSEANSARRAFEASVGTLTRTKESIGRATRLALECAKHAIAGEVMDIIIEHLEKETNLYKRVDLFFLVDSIIRYCRNQKGGPGDAYPSLIQAVLRLWLERKTLSEYIIRHHIKELEVLNEASFGSSHRPSGTERALNDPLRDDEAFLVDEYGSNAGVDLPNLICTKLLEDEEERSSEERSFEAVTPEHESTGANEQGASHQLQSAKHQLILEEVDGELEMEDAAPSSGAEASSKCQQDRTDANCTGTGQRVSSGPPLPDDRPPSPPPLPSSPPPLPRSPCPVSQGGQVQGASVAADRGEQHHPGATYNTEGQHSYSVANNRGNMDGCVPSSQPPVSYNSGFVGHNNQIIPPQPPPPPPPQPVPQFHPSGPHGNFCGPPQPHHGNNYHHPPSAPLPNNAYHLPPPVPNQFPYPPEPEQRAQPWNYNPSCPERYQFGGHDRGHHEFDRRNHFDDRGHHFNGGGNHFDNGGYHFDERWHHFHHDRGPHFSDRAVGGQMHPEAIEGGRFPPYFLPACDRLHVPMTSFAKILGLIVPRFQTILEGHPQCTVDNHRILHQVNVLDGLCLIRDPIILLLPDTRWSHQSPMEVGGGMDDAITIDSIDF >Et_4B_038103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26281100:26286172:-1 gene:Et_4B_038103 transcript:Et_4B_038103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRRGFAGDDGMTSETLPNRIPLDLFLDILARSDAGTVVRCAATSKAVRRVILGQDFRRPLALRTEANGGGFDPALLLGVSYLLEKDISAAVEEDRVVQSSRQHLRFDASLLLSFDAVASRDGLVVLQQRQTGRRSPELMSVCVDSLAGETCLLPPAAIRHDDYPLALLSVGDDTAVGGRSFQLLAVDRDLRTQTFSSTTDGGKWGPVRQTRYVSQQLPYLAPVLYEDYTSRPIVLGSSSTVQWLRLHCEDPHIVALDVRSAQATRIIDLPPECISRMRCIQDTDTGLLLAALPDGRPAVLTAEILVISMWTLSETAVTPTWILIERQVIGMETGPTGYLRFLGFGQRSGTVLLQMDAVGLVQLNLGSKAEVLVLRHEGHENGRFRCVQLCLHEINFTPVSPRNATTIEPSPPPIPLDPLLEILARLDAATVVRYAATSKPSRRVVLGPDFRRRLALRAEAGGGVDPALLAGFSYRFKEGAQTSQQRHLRFDAGLLESFDPVASRDGLVVLLGRPPSDEATDHRSHGVISKAGELRVCDSLTGKTSVLPPAPDVTYKYPHALLAVGDAGRRSFKLLAVDAKLRTQTFSSTEGRWGPVVEAGYIYTPRVPYRWPFHVPDHASRAVVLGSTVHWMCLAKWNSHGSSAHRSWTDPYVIALEVGSASAHVTRMEMPPECLDRMRWAQTFRDGLMLVASPDGRLGVLAAENRVISLWTLSAGSPPAAAARWARQVVIRREAIAGGPEPGPGYAMQLMGYGERSGTLILQMEGVGVVQLNLASKGVTVISREPSFMKAGVDNEPFGMCLHEIDLSALLQAMAPF >Et_2A_018129.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:12828385:12829116:-1 gene:Et_2A_018129 transcript:Et_2A_018129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPALLVVAIAQGVSVMDFTERDMASKESLWALYERWCAHYSVARDTGEKARRFGVFANNVRFIHEFNNNKGDDAPYKLRLNQFGDTASDEFCACYKKHSRMSEGQWRRHGSNRFRHGSIAESELPKEVDWRMRRIGGRPGCVTDVKDQTPQCGSCWAFATTAAMEGLSSIVTDHMIPLSEQQLIDCNSENGGCGGGDAAAAFDYIKEAGGLTREADYPYTARRGTCKKYPSAAASPIDGLP >Et_3A_024820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24544211:24551759:-1 gene:Et_3A_024820 transcript:Et_3A_024820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGLYSDIGKKARDLLYRDFQTDQKFTLTTYTLNGAVSIADSFSFLVVASGAFDSRVLIVTVVGFELDSGWFGGVLSFGMRWSVGFGEGLDSNVRIVLWVDFCARVLASRYALAITAASTRKNEAVLSEIQTQLKHNGVTVDLKATSDSLLLTTITTEDLGVPGLKKIVTIPFPYQTAGKAELQYLHEYSGISASVGLNSKPLVNLSGAFGNKAVAVGADVAYDTATGNFTKYNAGLSVTNADLIASVTLLTASYYHLVKADNGTAVGAELTHSFSSKENTITFGAQHVLDPSTTVKGRYNNHGIASALIQHQWMAKSFFTLSTEVDTKAIEKSSKVGLSLLWSRSPKFFMQLTIDANFCILPLMALCIAYGAGATAQMDYETPAKNIPDTIAVLMLSTPVVQNSITKNLDASFMIDLDKLLQLFHGSISETP >Et_2B_020971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25321287:25324760:-1 gene:Et_2B_020971 transcript:Et_2B_020971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLTPAVCVAALVFLTNHEARASIYHVHSNTGVPSVNMTSSLFMALDPVELGDESKFTQHRKVSKWAHTHIIHMSNHKMYVLFLQCARYRVDEPPASGYTGAMATLDFYSSPSTNARQLTAALISVSAGKPYGSDFNEIQAGWVVDPSRYGDNKAHFFVYWTADGSRSVGCYNLDCNGFVPVNGAPITPGDALEPTHGQAKISFKIFKVIIKKMEIGGYTLDMAVSAEMLPQMGNGQWPGKNSASFQNVQLVGTNGQGYVPPVWTLDVYADNKKCYQVSTFLDDMFYYGGPGGCVN >Et_7A_051871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25923356:25923987:1 gene:Et_7A_051871 transcript:Et_7A_051871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPYNKETLPLGDGLIAPMCLCGTPCKLVKSLVLGDDYDKRLWMCNNYQYELPLQRLHFSDGRPKSPPSLYEFIEYIDTEQTPENIAHVYRVAECARRHWFDMEAEERREEERRKMRQKEEERRREYEAERKQREEAERRRKQEEDRLAYEAREAERERMRERARHARAAGPDAFRKGNTQ >Et_2A_015673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16818029:16820326:-1 gene:Et_2A_015673 transcript:Et_2A_015673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGALQELLFVLGGVLALWLSWRALEWGCLSPRRLERVLRAQGLRGTTYRFPDGDLKEEARLVATERAKAMPLRSHGISARLQPLVHNVVKEHGKISMVWNGPMPSVILGEPKLVREVLSTQFRHFRKSLLNYKFMKVVGLGLLTHEGEKWEVHRKIIRQAFLLEKLKKMGPAFIACTNELVSRWEDSMGSGKEKEIDVWPELQDLTGDVISRAAFGSSLSEGRRIFRIQSEQVQLATQMSNNLYIPGYTFLPTKLNRRIQANAREVETLLKGIITKKERAMKNGHADDSDMLGLLLQSNAKDSQENGSSKPTMTMDDIIGELKLFYFAGMDTTSVLLTWTMIVLSMHPKWQDRAREEVLRVFGKKQPDHDNTNQLKIVTMILYEVLRLYPPVTMLERETYKEMELGGVKYPAGVKLVLPIVSIHHDPVLWGEDVDEFKPERFAEGISKASKDAPAFFPFGWGPRICIGQNFALLEAKIALSMILQRFEFELSPAYTHAPFPVATLQPDHGAQIKLRKI >Et_9B_065518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5988082:5990501:1 gene:Et_9B_065518 transcript:Et_9B_065518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGKKATLLLGLVVLLLCAAAEAQKYNAIYSFGDSISDTGNLCVGGCPSWLTTGQSPYGETFFGRPTGRCSDGRVIIDFLAEHFGLPLPPASKAGGDFKKGANMAIIGATTMDFDFFKSIGLSDKIWNNGPLDTQIHWFRQILPSVCGKDCKNYLSKSLFVVGEFGGNDYNAALFSGRTMSEVRGYVPRVVSKLVRGIETIIRTGAVDVVVPGVLPIGCFPIYLTLYGTSNGADYDGDGCLKSYNGLSYYHNSLLKRSLSNLQKTYPHTRIMYADFYSQVINMIRTPQNFGLKYGLKVCCGAGGQGSYNYNNKARCGMAGSSACADPGNYLIWDGIHLTEAAYRSIANGWLNGAYCHPAIQH >Et_9B_064529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16241416:16243456:1 gene:Et_9B_064529 transcript:Et_9B_064529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGSDGVRKIGVAMDYSPSSKKALDWAIDNLLRRGDTLVVLHVLHHGGEESKHALWAKSGSPLIPLSEFREPEVMRQYGVTCDAEVLDMIDTAARQKELKVVAKLYWGDAREKLCDAVEENKIDTLVMGSRGLGPIQRILLGSVTNYVLSNASCPVTVVKGK >Et_1A_007678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37183437:37189067:1 gene:Et_1A_007678 transcript:Et_1A_007678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPVSVGRAALRASPKRSIGTDPPLSLARPTVADLQRTAELEKFLVDEGLYEGKEESAKREEVLCELGQIVKEWAKQLTSKKGYAEQLVEQANAVLFTFGSYRLGVHGPEADIDTLCVGPSYVNREEDFFVTLHGILADKEEVTELQPVPDAHVPVLKFKFRGISIDLLYASLSRQVIPADFDLSQGSVLCDVDEATVRSLNGCRVADQILRLVPNVENFRTALRCLKYWAKRRGVYSNITGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCPIEDDDLGFSIWDPRKNPRDRSHVMPIITPAYPCMNSSYNVSTSTLRVIMEQFEFGNKMCQEIELNKANWAALFEPFRFFEAYRKFLAVDIVAEDDDDLRLWKGWVESRLRQLTLKIERDTKGILQCHPYPYEYADPAIKCAHCAFYMGLSRKEGMKRRGQQFDIRGTVEEFMHEIGMYTSWKTGMDLTVTHVRREQVPSYVFEQGYKKLNSPMHANHEELSDKNGIEDCTYLGDQLKRKYDSDGDGNVELSPHGSEDTSASGTSCAAVGTVVMVDESSKLGSLTSITDIDTTQTMTVHTEKDETKFEEIRSLASSSCAEFLERAEVVTGNVLAENVHLSGDENPPCAINWASGEGNDYCLLGWNVCGR >Et_3B_030014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29922174:29937333:1 gene:Et_3B_030014 transcript:Et_3B_030014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHAQQCGGAAILRVSPGSRRSHARCAAGGAAVAAAPCVPVEAAAGVARRAASAARTNNVAGMWRQVQGCDDWDGLLDHPVLRGEVARYGEMVSACYKAFDLDPASRRHLSCKYGRERMLREVGMAGAGYEVTRYIYAAPDVAVPTMEPSTSGRGRWIGYVAVMGSALALLFAYDLAELGLNRGAPVTVFSFGGPRVGNAAFKARCDELGVKALRVANVHDPITKLPGIFLNESTTDVLRPWRASCYTHVGVELPLEFIKVGDLASVHDIGTYVSLLKSGETATRAAVKEQRPRDGGVLGKVMDFVGRQRAGALPWQDAALQMGGLVRCTVTNAAVRHAPSRACFASAATTAAAARPSALSGPSEKRRGTAAAGANMWRQVQGSDDWVGLLQPLHPVVRDEVARYGKLVDACYDALDMDPSSARHMCCKHGKESVLDGAGEAGRGYEVTRYIYATPDPARLDARDDVKVESGFLNLYTSAGKNASSGMGSCRDQSPSPTRTRK >Et_8B_060644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3955400:3963384:1 gene:Et_8B_060644 transcript:Et_8B_060644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENFDSPVQTQMAVAVLDYSLSSDYPSKKIIEGRSLSCKRVFVQTDNGSVLGIELEPGENAHTIKKKLQTALNVSTEGSSLTFGDQVLNNDLSCIRNDSPLLLTRNHMHRSFSTPCLSPKGKDVKQHDRSRVVEILGCSSPSTTMKQLVNDITEGIRNGVESIAVSGGMGGAYYFMDMWGQCVAIVKPTDEEPFAPNNPKGFVGKSLGQPGLKRSVRVGETGFREVAAYLLDHKNFANVPPTMLVKITHSVFHVNEGIECKSKVSKNRSDAHNKIASLQQFIPHDYDASDHGTSCFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLDTGAGRFKAQTELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSEEELEYIANLDPVKDAEMLRMELPMIHEASLRVLILSTTFLKEAAAYGLCLSEIGEMMSRQFTGKEEEPSELELLCLEARTWVEEERGLILPDADIEKDEDDDVEFTQFDLDSEDDSALVEASVLDRFESMAVSCRNTLTKLAEGGENEDEAEEGKNDVSQENFSTFTDSIPKCTSSTSKLSVSLKGLCFRGSSNGHSGVAVNKVSDKADKSYSAYSSEHQSGGGSANEMLPPSSSFVKLSDWSANEWSAFIEKFQELLRSMFHDRKQRQLRQLLYSGDSSGKQIVWAKVNEVVDDHASGLHEGVDDDGADEAETSPDKLSNVPYMLFLCKETGVLIGSKQVDTSTSPQGLNVPPYSSGTFGSQLELELLSEESLEFCERERDDNVRLELLLWMPRGYILCMYLLPAAWLMASCSFLSRFFMWLGLFSNAWCCLLCAVLSLRDGTEDLKP >Et_3B_031064.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:13511353:13511904:-1 gene:Et_3B_031064 transcript:Et_3B_031064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRDLAAGLGAVGHHATNAVVSTVSLAKPATHAAAAGLGAVGHHAASAVVATASLAKPATHAAAAGLGAVGHHAASAVVATASLARPATVAAGLGQVRQHAAAMVSTRESAVRTAAVVLGGAVGAYFLWPAAAVAPAAVGATMKAPGAAGFVISRAAFVANPQLYFQILRTAGAAAAAAAFV >Et_2A_014848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18665443:18665880:1 gene:Et_2A_014848 transcript:Et_2A_014848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGRMKHLMKKYGKVALGVHVSVSCATITGLYVAIDNNVDVDSLLSKVGISPSVAVESPPGPAPAAEALPDAAAVPNRSETAELVASSGGALALAVLCNKALLPVRIPITIALTPPVSRALQRWRLVKS >Et_8B_060603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3095590:3097472:-1 gene:Et_8B_060603 transcript:Et_8B_060603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPVSKDLNLPVQPPMTSSGLLRYRSAPSTLLGEVCEDFLQPGPRVGSPDAGTDNVFSRFLSDHQIRDKAPAPAPPAPAAAHFPNQQQQMMFHSQQQQQQQMAAVEPGLYRTVSGGMEATSAGGAGVSSLIRQSSSPAGFLNHLNMDNGYTNMLRAGMGVGFRNGSSAAAADSPSAGGSRLKGQLSFSSRQGSLMSQISEMESEELGGSSPEAAGGGRGFIPGYAMGSGWEDSSSLMSENMSGMKRPRDSSEPGQNGLAHQFSLPKTSSEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMDKQTNTSDMLDLAVDYIKDLQKQVKVLNESRANCTCSASKHQQYSG >Et_2B_020200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17986398:17987426:1 gene:Et_2B_020200 transcript:Et_2B_020200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLKLRRLAATLLFTSGPNSPGSSPSDAYQAVARATAHHPSTSLPSAHHLDALLAFGRGSRLSAAALASALVDRLRASATGNGDPYVALKCLVALRVLLASGAFILRDQLLVALARHPASGRNPLALASFPLGRSFATASWVRFAARLLELVLPLPADASPADDADEYLAALPNPHLVAELAAYAAVADAHSALIWETVRLAEEDRVASERNIAARVREMGERLDTLSLADAVELVCVLRRVEDGAASSSPPPEWKWAALDEGVVCAARQLRERAEEVVLRRTREERRLVRRDAGATASARVLAPRRAGAGDVVRFGSTRWDGAASAWR >Et_4A_034480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3681289:3684372:1 gene:Et_4A_034480 transcript:Et_4A_034480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPYELMALNEQLNKFKQQQARCQTTLSSIAASQASFSKLKITPGFQPKNAPLAPAKPLQPSKFSNDTQRLQHINTVRKSPTGSQLKLVIDLLYKTRQAFTAEQINEATYVDIAGNKDVFEHLMDNIKVSFVGGRYSYKPKHDLKGIDGLLKKIRDNVDGLDVADVIDSYPSVLEDLQALKETGDILWLSGTDSQEGVVYPNDPRSKITVDADLKELYNKIELPRDMLDIEKELHKVGEKPLTNTAKRRELAMIHGATRKPKPKKKQRGTITSRSKLTNVHMPELFEDRKR >Et_1B_011932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27299503:27306414:1 gene:Et_1B_011932 transcript:Et_1B_011932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLESKKAVSRHTTEVETGIHELRSSTTHSKRESASANSSGRAPSPPDLAAAVARHFPSAVLSKRRKVPLFKETASAHYWNLGICMGRMGLESKKTASRHTTEAETGTHAFEIVGYTLKKGVGVGQFIRSGTFTVGVCNWSILFYPDGLEGSREDVVIFLVLMSKKANVRASYHLSLVNQTTGLRECVCSETTAKVFNSANSFSQCALVARKKLESKAAGYIVDSCLTIECSVTVIKESKVSDITEDLEMVPPSGLSEQFGKLLLEEEMTDVTFSVGGENFPAHKIAQLFGQMQEKRAQRIIVEDMQPDVFKALLQFIYTDSLPDFGDLTDDEYCEIIRHLLVAADRYAMDRLKIQCASNLAEYLDAESVATTLALADLHNCDRLKEVCIEFMASGEMKTVVATQGYANLKRTCPSIVVEVLEKASRFAEEDIWPIKFMWLMATSDELAQGHANLKRTCPSILVEVLEKTSCHRLAFHCNLTAHQKNGATISEFREG >Et_9B_065852.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15100570:15102540:-1 gene:Et_9B_065852 transcript:Et_9B_065852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAMLRIVRLVAVVLALLARSPAGAAAAGGGNATAEACPMDLGYVRTFPWDASPCAGGAPNMTACCQTLLSVLAIGLADRLRATGRFRLPSAGASAACLDDFAGNLSGAPLGLPGTSLVPTCFPSPDQFAITPSYCAGVSTAADFQAAVGNDSVEALDSACGPDLAESQVCLRCLNAGIGATARLTTAAANATKSAPCFYLTVLYAAGVSNKKGPTYPPTAACALGLGLGLSSAPPAPSNSSKKAVIYATTIPIAIVLLASILLAFFLWRKRRHANLKRKMATPRKISEEGSAERRSHPRPNTGSILFDIAELAKATDGFAERNLVGRGGFGAVYRGVLADGSVVAVKKMLDPDMEGGDEEFTNEVEIISHLRHRNLVPLRGCCIHDDDVEEGKQRFLVYDFMPNGALEDFIFRGDKEPAAAKPPPLTWAQRRSIIMDVARGLEYLHHGVKPAIYHRDIKATNILLDGEMRARVADFGLARRSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVLVLEIMSARRVLDMTAPAGPVLITDWAWTLVKAGQAREVLDDALAAAESPRGGGGAMERFVLVGILCAHVMVALRPTIGEAVRMLEGDMDIPELPDRPLPYGHSLMFSEAGSNFSASPMFSGPFMDNGDMLR >Et_1B_009875.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5675642:5676031:1 gene:Et_1B_009875 transcript:Et_1B_009875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSQSRLASASPFPIRPRGLNPSFSPRARPPARRLSRRPPLPAQHAGRRCLRPAAAPSTSAAASSNARATPSTTRLTPSAVCTFCTAAGRRSPVRQCLPPSAFRLPDPAPPPQVGSLIVVYSLIVATQ >Et_9B_064187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12387767:12388937:1 gene:Et_9B_064187 transcript:Et_9B_064187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPGSKNARSPEDGASPPSAQQAAPSCSPPDDAAAAGGAETTRRAEEVDDEQVERFYALLANIRAMRGMFGATTGTRKRAREAEPPWRPAFRMEDFETDEVASDHAGGEGRCGAGRRKKLIKRGGEWKLPAEGCEKGAVVAAGDDDDEDLEEAGVVVDRQNGPGRDAARGCVDQLDKV >Et_8B_058800.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:6712709:6713020:1 gene:Et_8B_058800 transcript:Et_8B_058800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFRKEGVHCNTLTKVGEAEPNQDDLGGPLQPQSLHRVQAERTESKCGRPLGLRFHYKSGNLYIAEAYKGLMPFGPGGGRQAKVLVTKADGVPLRFTKTLIR >Et_5A_041236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:231188:234653:-1 gene:Et_5A_041236 transcript:Et_5A_041236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPLLRRLLPLLVSAFWLLLPHSTAATVAGDAGELSSGSQAAAHLGNFRNAHARRLLQSSGGDHHPAFPQPPTHARVHKKHKPHRRPPILTPVPAPSAVPFVSPRHASPSPSVSVPVSNHDHEADPPAHSLHTHSHRNYGMVSAGGAIFLVMAAASVMYCRVKKVGTVKPWATGLSGQLQRAFVTGVPALKRSELEAACEDFSNIIGSTPSCTMYKGTLSSGVEIAVVSSSVSSTKDWSKECESHYREKITSLSKVSHRNFMNLLGYCEEDHPFTRAMVFEYAPNGTLFEHLHVREAENLDWTTRLRISMGIAYCLVHMHELNPPVVPRHFDSNTIYLTDDFAAKVSDLDFWNECMEPNTSSAADDDYTCLDTYSMVYQYGMILLEILTGKLVFSEEDGSLEEWASRYFDGKMCLADLIDSSLGSFFPEESARALCEVARSCIEPDPKKRPDMAQVAGRMKEITALGPEGASPKMCSLPA >Et_6A_046487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1667992:1671518:1 gene:Et_6A_046487 transcript:Et_6A_046487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRLRTSALLRRASKDGGVLAAIRAELAHELSSSTPSSLPSFDSHEIPDFATVSDAPKAQDVLLRRRTDSEEVLVSALLAPLQFADQEPLPRAALMKVFVTKPGSRPALHFNCRTVGEDGGAVDYAINDFRYHSAPGDAGDDKYEGPQFRELHHRLQAALQEYLVARGVTSNLASSILHHLLQKERVQYVNWLKTLEERFTEDN >Et_1A_005652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12409316:12412494:-1 gene:Et_1A_005652 transcript:Et_1A_005652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWVIGALINIVGSVAINFGTNLLKLGHDQREKLSAINHSEGNDKFVPKSVIHFQTWRIGILFFAVGNCLNFMSFAYAAQSLLAALGSIQFVSNIAFAYFVLSKTISVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEQLIAKYSNLVFVLYCMSLVFVVAFNHYLYRSGETILSNSSKDAGTYWRTMLPFSYAVVSGAIGSCSVLFAKSLSNMLRLTMSSRYQFHSWFTYAILLLFLCTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQGSPCWLLMTVKVGKRNGKMQMEETESDDTNSFVTSVKVKARRVLSRAKSACSMSLGLGEETISASSVLAMPMVSSRTTGFRGNDRTKYVPLRNTDWNNL >Et_1B_014430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8531104:8534710:1 gene:Et_1B_014430 transcript:Et_1B_014430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWNSHHVRGEFKITDGGENVRVKYASSSMQVVPDLDGVTSFFGVLMAMEATPYIAPQYMGSTACVDIIRGTQITVANVGDSRCVISSDRKAIELSTDDKTFERGESKRIKKAGGQGETDLRIICERLIDGSMSSDENNTVILLQFKDGTSTAADGEGSSDQDTASESSDQQLPDTEMVERALAFLKGEQIVEGIKSS >Et_3B_029177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22738318:22740716:1 gene:Et_3B_029177 transcript:Et_3B_029177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TVKESSAVVTSSTLLSLETESDIQSPPRSVDIRNTAGGNNVEQLVIDHEQGADEIEGLDWDGTKLVEEIDTYLDQFTYDLPPKDDEDFFFDSTYLYFLDKENVQRRVHIQDFWYYDGEEQLQGIKQQLALHRINAHRVSQGAKLEELMKNADLMEYYPPKVLEDEGYYKHFEQHFEWYFDPEYSENAGFQDYKRMVLRDDGHYLEYNYYSETLCTHEGDQEFVDFYEKLSSETKWIVNFLEVQRSEWKRYECVAFYHAVKIAAGSCKIFFRLIYLGFQEYVWSIMFDNFDCKDIATLYFEIWKRVTKDKMDFVEALEQVYKLDVGAPCCFDIKRELGCRPGSGRLKYKYETYIADIDEGAKEDEARTMIMEAVKTITCYPVRLAALQQLFCNSYSIPVISSAVVTPDYDYAKTKLDIAREIGVISPKQSKSVNDLNE >Et_3B_029329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23980422:23995011:-1 gene:Et_3B_029329 transcript:Et_3B_029329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSGGCKQEPLLHSPAANGGRAGRRRFLTFVAVAAALLASYHLLLAAPPASRYHALFLTLGSNATAAAHLRALTLRPHVAGTEANAAAAEYVRAALASLSFPTRVVPYSVLLSYPAHRSLSLSAGPGLASRPFSLVQETYPGDPYAEAAAEVIPTYFAYSGSGSVAAEVVYANYGYNEDYAYLAARGVDVAGKVVLVRYGDLHCEDMVRNARAAGAAAAIVYTDAKDFGGSSAKGAKRKAFPDGRWLPPTGVQVGTLYYGNGDPTTPLWPSCAADDDCERLTMEELDGSEAMPGIPALPVSARDGETILKAMGGDVAPPKWQGGEGAPVYRLGPGPAVLNFTYIGNDTLATIENVFAVIEGKEEPDRYVIIGNHRDAWTFGAIDPNSGTAAMLEIGSTEWAEENMDMLASRAIAYLNVDISVFGPGGLMPRATPQLDELVKEASKMVPDPDEPSQTLYDSMMAHHPPITRVAGAGTDFAAFVQYIGVPSLDMSYGLLASVWGLIALKLADDEIIPFNYINYASELEEYAKDVIDKCTGCSPPAKTRAGGRLLWLLTGATLSLLLFFFLHPAPPNPPPNYGALFLSLGSNETAAAHLRALTLHPHVAGTKANAVVARYVLDAFSSLSFPAHITPYSVLLSYPVHRSLSLSAPGRAATSFSLKQETYRNDPYAAAAAEAIPTFYAYSASGSVSAEAVYANYGREEDFAYLTSRGVDVAGKVAVVRYGVIHCEDIAHFARAAGAAAAVVYTDPLQYGGAPGEGWFPDARWLPPSGVQVGSLFRGQGDPTTPLWASSEGCERVSVEDAMATDYMPLIPALPVSAQDAMEVHRALGGAVAPEDWQGRQGGPVYRLGPGPAVLNLTYTGNDTMATIENVFGIIEGADEPDRYVILGNHRDAWTFGAADPNSGTAAMIELAQRFSILQKKGWRPRRTIIFCSWDAEEYGLTGSTEWVEENREMLSSRAVAYLNIDVSVVGPLFRPSATPQLDELLQETIKLVQDPDNSSQTVYDSWVKSNISPMIGRLGDGGSDYTAFVEHAGIPSTNMDQDIRFTILCMMTMYGWQNSPILDSIGTLQLQAYGE >Et_1A_008335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6659622:6664290:-1 gene:Et_1A_008335 transcript:Et_1A_008335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRMVVGNQARMLDCFRAGHQRAASIIVEWFSRLGIFAVDDPNKGLTRVGTMYRTATILRQACQAAIIWSPQMAYWFSCSDTAMIGISTLNKIKAIEQKHHTISVAKNCSLHLSADVNHYWLTSKYRYNPSPIFSGVHSLCRMYSSDTRTEPEFAKHSVSNVSPSGVSEIGATNDGGNTWINMSENTHRPTTDVSITAGKNVKELIDTIRFHAQEFYGNHPTLDKVIVPLGGTLIGTTMAWFVMPIILRKLHKYASEGPFMKLWGDSNKKDMSYQTSLWSALEDPAKYIITFMAFSQMAAVIEPNLSAYLPQAWKGSLVVSFVWFLHRWKTNVIAHSVSKKTDIGIDQERLSAFDKVSSLGLMALGVIALAEAFGVPVQSILTVGGVGGVATAFAARDVLGNMLSGLSLQFSKPFSVGDYIKAGSIEGQVVEIGLTSTSLINTEKLPVVVPNSLFSSQMIVNKSRAIWHVSVTKLPIITADIEKIPAITEEIKATLMSNPKIEAPYCYLSQLGSSQGELTIGCNIKSTKRAEWSSTEQDILLKAAGVLKSHQLWGTV >Et_1B_010298.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:35616602:35617102:1 gene:Et_1B_010298 transcript:Et_1B_010298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLPAFPILIRIQSNTPPATPPRPALLALPWHSTPAANPPPSPTTASSPVLPTTPPAARTLAPAARRRGRKPRSARAVPPGKRSERLAKKEPATFIDMATRTTNLKALRNCIASCSKDVKAHVAKRRLLKKKNPLGSLDLRKLAVSAGISKAGVRSIAAVSKGKK >Et_4B_037545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2162436:2165845:1 gene:Et_4B_037545 transcript:Et_4B_037545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPKSSYDCSFKVLLIGDSAVGKSSLLVSFVSASHIDDDIAPTIGVDFKIKFLTVGGKKLKLTIWDTAGQERFRTVTSSYYRGAHGIILVYDVTKRESFTNLSDVWTKEIELHSTNKECVKMLVGNKVDKEEERMVTREEGAAVAQEYGCLFLESSAKTRQNVEKCFEELALKILEVPSLLEEGSSVVKRNILRQKQENAKQVGGCCQ >Et_5B_045010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8315204:8318949:-1 gene:Et_5B_045010 transcript:Et_5B_045010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQFTALLLFACIFVSGSIADKQNGTGNITRPTEVRIGALFTFDSVIGKAVRPAIELAVEDVNADPSVLPGTKLSVLMQDTNCSGFVGTIEALRLLAKDVLSVLGPQSSAIAHVISHAVNELHVPLVSFAASDPTLSSVEYPYFVRATLSDYYQMGAITSIITQYQWKEVIVIYVDDDYGRGGITALGDALAKNKCKITYKAKLPPGAPKTTVNEMESRVYVVHVNPDSGLNVFSAAKSLGMMSSGYVWIATDWLSTVIDSSAHGNPDVMELTQGVLVLRQHITDSDTKHALLTKWNNLTRNGSSTLTSYTMRAYDSVWLVARAVEQFLSEGNDISFSADPNVEDTKGTSLQLASLRIFDNGDKLLEKVWSANFSGVSGPVQFTLDRNLIHPAYSILNIGGTGLRTIGYWSNFSGLSVVAPEKVNTSALHSSTNNIQLHGVIWPGQTSEKPRGWVFPYHGKPLRIGVPLRTSYKEFVMQDNGPDGVKGFSVDVFKAAINLLPYPVSYKFVLFGDGLKNPSYSDLVHKVSENYFDAAIGDIAIVTNRTRLVDFTQPYIESGLIIVAPAKEIESNAWAFLKPFTFQMWCVLGVLFLFVGAVVWILEHRTNTEFRGPPRQQIMTVCWFSFSTMFFSHRENTVSALGRFVLLIWLFVVLIINSSYTASLTSLLTVQELTSGIQGLDSLISSSSAIGYQVGSFSRNYLVEELNIAESRLVPLNSPSDYERALELGSGNGGVAAIIDELPYVEIFLSKHCKFKTVGQVFTKSGWGFAFPRDSPFAEDLSTAILTLSENGNLQRMHDEWLAGTECGADNNVGSNSLNLSSFWGLFLICGLACVLALVIFFLRILCQYSRYNNQVEVKFQEPQIINRPARLTTIKSLISFVDKKEEEVKNALKKKPNDSQQPSIASLEEQSSLPR >Et_6A_047140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25837476:25842726:-1 gene:Et_6A_047140 transcript:Et_6A_047140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIEGLLAQNFGVRPQGKAAPMAGSAASRPAGSTAAAAWTSTRSTPASSAAPSYDDLFSAPAPAAAAASASSFDSLFDSYKPAASTTSAKPAPSSAPVFDDDIFDALPGLRSSKSSTSFSSARYDGGDDLFGAAPAAAPAFDDVFGGNRSASPPSYDDDLLGGFGSRPQAGDKKKASVAVDDNDDDLLGGFGRSTAEEKRKTPAAAVKDEMGSGGFDDLIPGFAGSSPPRSRKADGDNKKKPEVHSSKPTASMADDPFVVLPGRSPDPLEDLGKSANSEGKNVNSTDADDSLFEDSSASDEVSGSDPLFTSDINGHAKNSNPSSRARDSSPVHHSMNGNSARKSSMEDLGDVMPKSQSARYSDSHGDDMVQSPRSTESEDDIWLTVSEIPLFTQPTSAPPPSRSPPALKQKPPVASANGKKSEHTRRSSQNYNYYSDVPKQAEVPSVDDLESFARGKPVYDNNVFDEDFEEEKDSQERLGQEREMRLKEEKEREQRRLEKERELEQQRERQAVERATKEARERAAAEARAKAEREARQRAQRAAVQRAQQEARERAAVEAKERAARVAAEAKERAAAEAKERAAAEAKDRERAAARERAAAERAAAERAQQEARKRAERAAVERAAAEARERQAAAAAAAAAREKQSTPDDLESFFGASARANSAPKQSASTPTVDSLFGSGAQSRGTTNGSHRAASSSASTKKAPSAASFGDDLSDLFGAPASSDVFQEVEGESEERRRARLERHQRTRERAAKALAEKNERDMQVQREQAERDRIGDTLDFEIKRWSAGKEGNLRALLSTLQYILWPECGWQAVSLTDLITGAAVKKQYRKATLCIHPDKVQQKGATLQQKYIAEKVFDILKEAWNKFNSEELF >Et_3B_031156.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:1984461:1985111:1 gene:Et_3B_031156 transcript:Et_3B_031156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGATSARERPAPTPPSPVAALPMPLPQPSADPSNPFPTTFVLADSTSFKQVVQILTGTPETAAAAAAGGAQPSPPPPQKPAPALPGPKKPAFKLYERRSSMKSLKMLCPLLPAAAAFAAGGSAGGFSPRGFSPRGLEVLSPSMLDFPSLALGSPVTPLPPLPGSAEASAAEDRAIAEKGFYLHPSPRGNAGSGGDLQPPPRLLPLFPVQSPTRP >Et_9B_064378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14617734:14622557:1 gene:Et_9B_064378 transcript:Et_9B_064378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATKSQEVQAASVVVPSGRKVVDEVSGWLRVLDDGSVDRTWTGPPEAIPLMQPVAPYAVPRDGHTLHDLPGEPNLRVYLPTEARGDGEAARLPVIVHLHGGGFCISHPSWLMYHHFYARLACAVPAVVVSVELPLAPERRLPAHVDTAVVALRRLRAIVAQPSDAGAITDDAAAELLLREAADVSRVFLIGDSSGGNLVHFVAARVCQDDGADTWAPLRVAGGIPIHPGFVRAARSRSELETKADTVFFTLDMLDKFNALALPEGATREHPFTCPMGPQAPPLESVPLPPMLVAVGENDLVRDTNLEYCDALRAAGKEVEVLLNSGMSHCFYLNKFAVDMDPATGERTRELIDAVTSFKQQQVQAAAGGRTVVDEVSGWLRVLDDGSVDRTWTGPPEALPLMQPVPPYAEPRDGHTLHDLPGEPNLRVYLPTEARGEGRLPVIVQLHGGGFCISHPSWLMYHHFYSRLAVAVPAVVVAVELPLAPERRLPAHIDAGVAALRRLRDIALSKDVDVDPAAALLREAADVSRVFLIGDSSGGNLVHLVAARVCQDDGANTWAPLRVAGGIPIHPGFVRAARSRSELETKADSVFFTLDMLDKFLALALPAGATKDHPFTCPMGPQAPPLESVPLPPLLVAVAENDLIRDTNLEYCDALRAAGKDVEVLVNRGMSHSFYLNKYAVDMDPTTGERALELIDAIRSFVSRH >Et_2B_020481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20599730:20603112:-1 gene:Et_2B_020481 transcript:Et_2B_020481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPKADELQPHPPKEQLPGVSFCITSPPPWPEAIILGFQHFIVMLGTTVIIPSALVPQMGGGNEEKARVVQTILFVTGINTLFQTFFGTRLPVVMGGSYVFVAPTISIILAGRYSNEADPRTKFLRTMRGTQGALLIASTIQMILGFSGLWRNVVRLLSPLSAVPLVSLVGFGLYELGFPGVAKCVEVGLPELLLLVAFSQYLPHVLHFGKQVFSRFGVLFTIAIVWLYAYILTISGAYKNAAPKTQVHCRVDRSGLISGAPWISVPYPFQWGPPTFDAGEAFAMMMTSFIALVESTGAFIAASRYASATNIPPSIISRGIGWQGIGILLDSFFGTASGTSVLAENIGLLALTRVGSRRVVQISAGFMIFFSVLGKFGALFASIPLPIFAGMYCIFFAYVGAVGLAYLQFCNLNSFRTKFILGFSFFMGLSVPQYFNEFTAVAGHGPVHTGARWFNDMINVPFSSKPFVGGLVAYVLDNTIQVKDARKDRGYHWWDKSRSFKKDARSEEFYSLPFNLNKFFPPS >Et_1A_004810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9255903:9256787:1 gene:Et_1A_004810 transcript:Et_1A_004810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSQNRASRTFMDYNSINHALDGICGLYERKIRDINPMVPNITYDISDLYNFIDGLADISALVYDHSIQAFLPYDRQWIKQKLFQHLKKLAQR >Et_2A_014602.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27243419:27244502:-1 gene:Et_2A_014602 transcript:Et_2A_014602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSGGPSSPVGSAAIRGALGGSGGSRADECSPISSPVVPAENTNTADVIEEFSDVDSDEGKKAGRKVWSKDDNKRLIQAWLSNSVDPIDGNSKKGVHYWKDVAAEYNMSAPKGHKRTATQLKNHWNTHSTIVAKFHGAWTTMKNTYASGQSDKQLMDKAQAEYMAIMQTDKPFKFDYWWEAVKDEPKWLNRDVFSDMNKRNKVSASGEYTSSSNRDTDEGSVADRPRPPGQKQAKAQKKGKGKGKSTLTDESIGQFNVLQERKSEAIETMAAAAREHAQAIASKAAAEKERVKLEKMKHLHELMKTDTSTYSQIQMSIHEKMMLSLSDEIYQED >Et_10A_001257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22729044:22731429:1 gene:Et_10A_001257 transcript:Et_10A_001257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTKDQDPPPWADLQPELLGMVLLRLPTRADRARFPMVCRQWASAAQQAALPLPSPMPWLVLPGGSAISFPHGETFHLPEGTCYHSSYGEWLLLSRKDNTCFLMNPFTEDTMPIPSLSSYSPYNEPVEALNVCIVPDYELHHKWINIMVVEDIKVVSLIVCSPRLVAAIVAFDDSGEDPCTIALCRPGAAAWSVNAHEPCRCLSNMVFFQGKLYAIDTNTEDLLAIDIVDGHDNDKPRVSRIVRIIQGAPLPDRQCIHQMPYLLESHGKLLMIRRKICYTTVSGCTRKSPFLVASSNEFQVLKVDLRCLFWAEMRSLGTNQALFLGRGCSRAVFVSPYDLSRDCIFFVDDYIGWDWKKTTTSCGVFDMKDVKVYSPLPMVSWKSENVPATWLFSQDKICKLLTSEEHSKELVEPDMQDNVMLPRGGTSSLDASTIPS >Et_5A_040580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:988800:994688:1 gene:Et_5A_040580 transcript:Et_5A_040580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRCMNPACGAPAPGPGGGGDWRKGWPLRSGAFALLCDKCGLAYEQLVFCDIFHQKESGWRDCSFCAKRLHCGCIASKNSYDLLDGGGVQCVTCMKNSAAHSVSGQGAPKLFPSQSSLRFLGKSDELLLGRKFDQSPSMMVDPRNDDITIINKNSHPFMLRNIEVGQSSSFLRQKEIENGPRQQIKWEQATLSIGDIGKMPFLTRTQSALESPQCVRRDDSKDPTTDSTTSESLSEACLSMSLSIANNGSKMEATSTVERPILSPTTAMAEGRELTASLSPFQHAQRARNFLTRPPRVGEGAAFDPTRDVFSHLRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGRPLTIQDARGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRIEPGGKLVMGFRKATNTVSLPDSQISAIANGSLLSETLFSSTNENLSVVSGYPGFFQSIKGAADLHPSSLYDHHVNSADGDVSWLKTDKFGSRPDEGSLQFLQKRSRNIGSKSRRFLMDAEDALELKLTWEEAQELLRPAPTSKPTVVTIEDYEFEEYDEPPVFAKRSIFTVRATGEQDQWIQCDDCSKWRRLPLNVIVASKWTCTDNSWDPKSSSCSAPEELTPKELQSVLQQYEEMRRRKGSSYGLKLNVAEMDASSLDALATAAVYGEAGNQGTAVATTTKHPRHRPGCTCIVCIQPPSGKGPKHNPSCTCNVCMTVKRRFKTLMMRKKQRQSEREEAEASKKIQWMNRDEPEGSSLSRSPQTLDTTRDSDVTMFDKVPETNKGHIDLNFHPTVRDDQEQHGSQPRPVSMMGLLEVATRPLENYMKQNGLTSLAGEQGGSPSTVTAPPAPVESEERTSNENRVASVEREREPDAMAIDEAGENQQEKASDDAVAATAT >Et_3B_028102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10190909:10195541:1 gene:Et_3B_028102 transcript:Et_3B_028102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESQQPPAARTTSTCSAVTTRGTHVFKITDYSLHKGMGSRKFIRSATFAVGGYDWCVRYYPDGKGEPAVFLELLTKDAKVRALFRFVLIDQVAGAQPFYIRLARPVVFSSMEADKNNNLDSGYLRDDCIVIQCDVTVLNDSQVVSVAPEIQVPPSTFLNNLGKLLETGEDADMTFDVKGEVFPAHKIILANQSPVFKEELYGPMRVDTSTQNITVEDMEPDNPISPAQSSHHHRQASMAESQQPAAATTTASTCSVATARGTHVFKIANYSLHKGLGAGTFIRSGTFTVGGYDWCLRY >Et_5B_045399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2181023:2182149:1 gene:Et_5B_045399 transcript:Et_5B_045399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGARVHLKGWQQAAVAFGSAFGALLDPRRADLIAALGETTGKPAFERVLQRMKNSAEGRVAHAWDMPQNTFGAAYAQFMGSRNFSPDDRPPVRFMDTDELAYVVTRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFSEKQRSLFFRHYFPWATKAGLRCTDLMSVYYEKHFHEDLEEVRRNWGIVPCPDPKKSNV >Et_1B_012703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34579970:34583183:-1 gene:Et_1B_012703 transcript:Et_1B_012703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTTGGSSTRSYEPMATADTTELHYWVQWRVGLCALWVLVCMAVAAYLIWRHEGPRAERRPGGAASPAAGGQEREGAGGAKGRPPGVLYDDEAWRPCLRDIHPAWLLAYRLISFFVLLSLLIVIIISDGGNIFYYYTQWTFILVTIYFGLATALSIYGCSRFADENAATAPVDMELGTSYNARGVVTKPSFDDDDVREIAGFWGYLLQIIYQTNAGAVMLTDCVFWFIIFPFLTIKDYNLNFLLIGMHSVNAVFLLGEAALNSLRFPWFRIAYFFLWTALYVIFQWIVHAATPIWWPYPFLDLSSNFSPLWYLAVAVMQIPCYVVFRLVIKLKHHLLLKWFPSSFVRG >Et_2B_019449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30244187:30244870:1 gene:Et_2B_019449 transcript:Et_2B_019449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTKTCVMSTSYSEVINCINVMWANYGSVNRILHDAFLRGEFVPENENVSHLTNLTIQMVRSLEELHTRNSQTFPDRSLKFLFLINNFHFILQKLQTKCPYLMPELSGKINYYVNSYIRVSWAPVLECLRNPTPHCFTRRSPLPRFESKFQKTYAAQKLWKVPDPELRRRLRKAIIEKVTSEYTKFLQDNSISAPRLTAQELEDMIQELFEG >Et_4A_035906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8342048:8344514:1 gene:Et_4A_035906 transcript:Et_4A_035906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLLTALSMDTAAPHHHPHQGPSTFLSMDTSAHDDYDLFLQPHGRLHRCLHAAAVAPPDINVPLAADPSPPPPALHDSNSLVDMLDVGLGGPQLYDSDSPAPAAAGGAASASPPPPAAPTTMVAVSHTRGAGGSSAARKCVKRNDSIWGAWFFFTHYFRPVMAADKGAKVKAATAAAGNGNSATMDAFLVQHDMENMYMWAFKERPENALGKMQLRSFMNGHSRLGEPQFPFSADKGFVRSHRMQRKHYRGLSNPQCLHGIEIVKAPNLAGVPEADLKRWMELTGRDANFSIPNEASDFESWRNLPSSDFELERPATAAPVKNNSHGHHKKSLNGTGLNLSTQPSNHSSGDGMEITAVGNKRRKDSSPVAMEEDCSNSNSDKAHDMDTSHTFEPSWMNDFSGVMRHASGPVTAAKTIYEDSKGYLIIISLPFADIQRVKVSWKNTLSNGIVKVSGTSVARMPFLKRHDRTFKLVDPSPEHCPPGEFVREICLPTRIPEDATLEAYCDETCTGLEIIVPKYRVGPEEHEVRVSMRPPSSCPDVGVNESREKLKIQSEDFAGVHGV >Et_3A_023728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11377943:11382588:-1 gene:Et_3A_023728 transcript:Et_3A_023728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQLLLGNALVLQVLSVVFYEELYGLRTTLMDEIKQWVVSKSPNMIFQRNGGVDRISDLPDDILGHILSFLPTTEEAGRAALLSTRWCYSFAHVHTLSFEDVDPGERYVDNISFEIESEEWRSLNGDFLDRVNAAILCRLRCAGLSHNTSLRAFRVAIDQYECWDQDMVYWWVSHALQQSRQELHLDLRLNTYHLCEYGDGRSQDGYYDYSQGEGQGWSYSFSFPR >Et_6A_046195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9428666:9428970:-1 gene:Et_6A_046195 transcript:Et_6A_046195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWADISKILDRRVGENFESIGSMWLSDKRFCIMNMVTSAALEGDVYDLLYDHTDGDKQASPMPSGEAGFAAAAYCCVEGDEEET >Et_1A_005511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1132427:1133032:1 gene:Et_1A_005511 transcript:Et_1A_005511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNCGDAEVSAPPPPPEGPGGGGLPSDDGPAAAADAEAGFLRFFFSRPMRASREPQAWRSGQGQGAGGLGWP >Et_3B_031178.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:250212:250724:1 gene:Et_3B_031178 transcript:Et_3B_031178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVASSRLRNRKGKKERQAQAQVVMAAHECPSAMRAHVLEVPAGRDVLSCVAQFARRCRRGALVLGAAGHVVLRGGCTMQILSLAGCFFPSGAGAGGTAVFLAGPRGTVLGGGVAPGGLVAAGPVVVMLATFVAAALDRLPLQRHSTPPATAAVPETQLSAAHQQFTV >Et_10B_003889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7263699:7282052:1 gene:Et_10B_003889 transcript:Et_10B_003889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSTRKRNGARKRPNPMDQLTDDILVEILSRVPYKSVRRFTCVSKRWRDLIAHPDHRRKLPQTLAGFFYHEAQLSASPTLGFVNASGTGAPLVDTSFPLLPDRERVSRLTLLDGCNGLLLFRCYRLADPMQFDYLVINPATEEWVAVLVTRRWSVKVETVRLGFDPAVSSHFHVFEFQIDDDGDGESEDSDGDGHVLGVKIYSSESGLWSYKQSGWEVEAKLNTDFRSAFVDGKLYVVALQCVIGAVDVEGRTWSEIEFPRSEESPFLDRDVGFIDLSQGRLHFATSDDIDGDKLAIWVLEDWDSEQWSLKHTVSFNYLVGRPNVGFGFHQFIVVAIHPQRNMVFFVFGHNRKLMSYDMDSREICTICDLGYNCMEHFIPYLRLIRVQTGRLGFEPVVSSHFHVFEFQLDWDEDNDEEGPNDDRDGRVLGGKIYSSETGLWSYKQSGWEMDLTLNPDFNSVFVDGNLYVIPTDCVIGVVDVDGRTWRIIDCPRSEDSPFHDTDVGFIDLSQGKLHLANSDSDDITGNNLAIWHTVSFRHLVREKSVHFGYDESIVVAIHPDRNMVFFVFGDNIKLMSYDMGSGEVLVVQILGQDCLKHYISYVPLGIYGWAAIILLVQCGSIFQRRLAKLAAMAGGSSRKRSGGRKRRRRKRPNPAEQLTDDLLVEILSRVPYSSLRRFTCVSKRWRDLIAHPDHRRKLPQTLAGFFYHFYDTPARCFVNVSGTGPPLVDASLAFLPDRERKDLNLSDSCNGLLLCHRFRFARRTPDEFDYLVINPTTGNWVAVPVPRRRPDTVLARLGFDPAFSSHFYVFELQLDWDWDSDGEDDYIDGDGHVLGVKIYSSETGLWSYKQSGWSDEVTLATYCKSIFVNGMLYVVANELLIGAVDVEGKTWRIINFPFEKPSVDASPAYIGLSQGQLHLAIAKADVTGDKLETWVLEDRSSEEWTLKHTVSLMHMVQQPFVMFGYDEYIVAAVHPDRNMVFFIFGDDKTLMSYDMDSGKGGATSSGGRNLLTDDVLVEILSRVPYRSLRRCSCVSRRWRDLIADPHHRRKLPQTLAGFYHHAPKICFANAASDTSGSALVDVSHAFLPDRERKGLDGCNGLLLCCCFRFPDDPNEFDYLVINPATQKWVAVPGSRRWLNKVEMARLGFEPAVSSHFHVFEFQLNWDSDDDGEDGEDFDEDGYVLGVKMYSSETGQCSYKQSGWEVDIELDSDFNSVFVDGVLYVIAACCVVAAVDVEGKAWRTIDFPHSENPRFPDADVGFIDLSQGKLHLANSDDTTGDDLEIWVLEDRNGKEWTLKHTVSFRHLVGKETVHFGLHEFVVAAIHPDRNMVFFVFADESNDKQLIGEVRFIQNLGRDCHDHYVSYVPLFSEAWEPVDSSTAIWVLEDRNSEEWTLKHTVSLGIWLEEREFPLDSI >Et_1B_013325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7385268:7390135:1 gene:Et_1B_013325 transcript:Et_1B_013325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVEAWVREKPIRTFLARLAQRRAEAAAAYITASSAAAAADGDASIPQLSSIANSVVSRCSRILGIATENLQQNFENDFPDNCKEQNTYSRDLLEYCCHKALHEVTTRPDYLADKSLRRLMFDMMLAWESPGAQDEFLVNNSTSNSLGTEDEDEGSIFYANSTRLAVQVDDKKTVGLSSFARIAPSCPIIADLVTVHNLFDALTCSSGGRLHFLVYDKYLKSLDRELRSVKGIMQSPLSSGFQLEAGECILAIEGDRPIHPVLQHIGISAWPGRLVLTTHALYFQSIRVGYGDKIVKYDLAADSNQVIKRDLTGPLGVRLFDKAMMYKSSMLTEPIYFDFPELGGPSRRDYWLAITREVLQVNRFIRKFNLGDVQRAEALSKAILGILRYSAVKEAFHIAPSHFKTTLTFSLAEKLPKGDMVLEALYKNYFQLLESSLSHLEIDESTVDKLSRTHSVPFSFYALSRMGLQLKRKDEIEKEISFCAVCFGVTKSLEAALEESICFSERIESARATVDQVKVEGVDANLALMQELIFPFIQVAKLIYSLSQWEDPFKSLLFLAFMLYTIQKGIVGYMVPFGFLVFAVVMLWHKYIGGGKLLEVVEVKPPPGKNAVEQIVTLQEAISKLEDSLQAANIALLKFRAVLFGSVPKATEVVAAILIAAAVFLVFVPSRHLLLIVVLEMYTREMPLRKQNTEKFRRRIREWWARIPAAPVQMIRPNEDKKKR >Et_1A_008877.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:1750017:1750199:-1 gene:Et_1A_008877 transcript:Et_1A_008877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGREGLLEPWHLAGLEVGDVYEVGVVVEEEALHRRELPEHEVEERERQRPWLASRRRR >Et_3A_024146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17812344:17817932:-1 gene:Et_3A_024146 transcript:Et_3A_024146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHLPSVAQLVLFHRGLLLLWHWLAANGHQGSSFRCNHLSSLADNLELPSLPYYIVTKPGQLPAEFLEPSAGQKLVIGFDCEGVDLCRNGALCIMQLAFPDAVYLVDAIEGGKELIEACKPALESDHIIKVIHDCKRDSEALYFQFGIKLHNVMDTQIAYSLIEEQEGKKKSFDEYISFVGLLADPRYCGIPYPEKEEVRILLRQDPNFWKIRPLSDMMIRAATDDVRFLLNIHEKMMEKLSKVSLWRLSVRSELYCRCFCINDNQYTDWPPLPPVPDDIEPDVYVPEVDILSVLDVPPGKMGRVIGRKGSSIMAVKEACNVEIHIGGSKGPPDRVFIIGPVKEVRKAEAILRGRMLEF >Et_8A_056397.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:7759633:7759866:-1 gene:Et_8A_056397 transcript:Et_8A_056397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQETGALGVPACLPFLLLLVTCYPVVMGWLPVDGSWRQAIGAVFFDYYLVFSSMMLCCCLILPDLALKLWKMNGGS >Et_1B_013318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7331550:7340961:1 gene:Et_1B_013318 transcript:Et_1B_013318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSMVYYGNTSIGEVEVWPKGEASAGLAVAAWAREIRVDRLSPPSERCPPLAVMHTVAIGARCFVMESKPPATADVAPPPLVAMHAACLRDNKTAVVALGDEELHLVAMTSRRNLTNHACFWGYKLPFGLYNSCLTMLNLRCLGIVFDLDETLIVANTSRSFEDRIDALQRKLSNETDPQCMNGMLSEIKRYQDDKSILKQYIEGDQVYDDGKVYKAQPEVVPPLSDNHQPMTRPIIRLHEKNIILTRINPQIRDTSVLVRLRPAWEDLRSYLIARARKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLQDRMVCVKSGLKKSLLNVFHDGSCHPGMALVIDDRLKVWDEKDQSRVHVVPAFTPYYAPQAEANCSIPVLCVARNVACNVRGGFFKDFDEGLLPCISNVLYEDEINDIPSAPDVGNYLITEEENAVVVNGNKDSLPFDGMADAEVERRMKEASGNVQALHPTGANFVMPVAPAQNFVSSSVAPLAPPLGAMPPPFNQPVVQPGFSDPLQGSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPTPPLPVIPPVQVPVPPAQPHGNWFPAEEGMNPSNLNRGSTGFSLESDTMLYETKQPPPFFPGGDNTLSSDRFGYQNQRFPSQLPHTEDQRILQNHATPKYRPFSGEELAARHVPSSQRDPGRRFAQYAGTSAGILEEIALKCGSKVEYRSILCDTAELQFSIEVWIVGEKVGEGIGRTRREALFQASEISLRNLANKYLSSDPNKMTDMRENGFGSNRNPFGYSGNIRDDLLPVSCTSEESRFMKLEENNSRKTGGPVAALKELCTVEGYNLVFQARPSPPDASVGKESYAQVEVGGQIFGKGVGMTWEEAKLQAADEALGTLRSMLGQLGHKRSGSPRSLAQTFNKRFKPDFSRTVQRIPYGTYSRIEGHSYTSDRPRTIRNSAARTATLTHCLPRSNLADLSEVELAAAACGGHLAFGDVWSNGPARGVEAVLLPQCSAKLGGRISSSCRETGRDSMATT >Et_3A_027323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7572615:7573303:-1 gene:Et_3A_027323 transcript:Et_3A_027323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGLRRSGKSCRLRWMNYLRPDLKKEPISKREEEVIISLQQSLGNRWSTIAARMPGRTDNEIKNYWNSRIRKRLSAAAKAGRTSDSAEEPAAAAAGEEEESTTTAEPLPIPARFPVFACQLLHGSGGAVSSSTTTQQNSGSEEREASVGDSNMIHDFFSFDEFDYPSDLLMDVPGVMDAWESELYSANSMGSIN >Et_4B_039678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26329982:26335543:1 gene:Et_4B_039678 transcript:Et_4B_039678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPVDLQLEIVAHSDDAATILRCAAASKSLRGAILGSGLARLLGVSDASRNKHGGGPSRSFRLDAKPLQSFEPVSSRDGLLVLWRRRRRDDGEEEEPHLRVYNTFNGEVTDLPRMDVEGKWGTGGIYRPALLAVRRTGSPFDLLVMDVCLRTRIYLSSAGEWRPIRVVKPPPAHNHWCVIEQAAMMRASPAVVGRRVHWICRSTRTGDTFILAVHVDAEEATSIELPPGCRHSLFIHAF >Et_2B_020011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16068368:16071078:-1 gene:Et_2B_020011 transcript:Et_2B_020011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSEDDAASERCCGSYSPSADVSESETSSDCSAPTASTRRFASSSSATVSRLASSSSSLPTPASAAAFYLSKPASDLSEVDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLSATVFGELWRLEPLAPARKAMWAREMEWLLSVADSIVELTPSIQELPEGGGQFEVMVPRPRSDLYMNLPALKKLDAMLLAMIDGFKETEFSYVDRGIMEEKWWLPCPRVPPKGLSEEGRRKLQQSRDCANQILKAAMAINSDVLAEMEIPEVYLETLPKSGKSCLGEIIYRYITAEQFSPECLLDCLDLSSEHHTLEVANRIEAAIHVWRLKGQKKSTPQAKSKKTWGGKVKGLVADKDKSHTLSERADGLLQSLRLRYPGLPQTSLDMNKIQYNKDVGQSILESYSRVLESLAFNIIARIDDVIYVDDATKKSAAAESVSIFNRGIGVPVQKRISPSPFSIQNTPYASPFATPTFCSSTPVNVSPGRVQPPLNKSNLGKREIKVEKLFSGDLEKVWTYTGNLSARKDVGAAPERD >Et_5B_044688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4687975:4689829:-1 gene:Et_5B_044688 transcript:Et_5B_044688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSPLSGFLTNAMDTREPAANKDSILENNKEFQQFRQDYSARTQFNFRNTYNGGTMSNTSGGEKPSIFGGPFPFAASRSFNDQKQFSRRKTKDFVHVLLKGNKTFVTVTDAKGNRKTGASAGCLEDRKGRSRLSRYAAEATAEHVGRSARKMGLKSVVMKVKGASFFKKKKKVILGFREGFRGERVRDQSPIMLIHDVTQLPHNGCRLPKQRRI >Et_4A_035753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5087580:5089667:-1 gene:Et_4A_035753 transcript:Et_4A_035753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSVLALLVFAFAGGNLFIGISSFKKRSIESSSDQVHRSIVLSGRRLKEQHTITIRKTKNLENFTTDDYQPVDPSPSTKASIRAGPIEHGAPILPYIPRYPPPSGDLKDVDRTESVLLSTNTPRTERATSCLLHKFVMLSQATKRSRLAVLAAVLAQFGIPAIDAFELDSESENCKLEIPPDESGRFARFAAVGSRVFAMSYLEQNRDAPVLVHDTATGALAVGPCTPFELQSIPHLVAAGGSLYAFDGEPPAGA >Et_3A_025848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33371436:33374779:1 gene:Et_3A_025848 transcript:Et_3A_025848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPPPATAALEKLSSTKMFGGHNLRFRHQSATLGCAMTFSIYLPPSPASNLPVLYWLSGLTCTDENFIIKSGAQRAAAAHGIALVAPDTSPRGVNIEGEADSYDFGVGAGFYLNATNEKWKNWRMYDYVVKELPKVLSDNFEQLNTSQASISGHSMGGHGALTIYLKNTDKYKSVSAFAPIVNPINCPWGQKAFSNYLGSTKSDWEEYDATCLVKKTKEVAAPILVDQGDEDKFLAEQLLPRNFEEACKTVGVPLILRMQPGYDHSYFFIATFIDDHIAHHAQFLKST >Et_1B_011203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18773314:18773656:-1 gene:Et_1B_011203 transcript:Et_1B_011203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLNVTDPPWQYSGAIYPLHKKIFSLSPELPYTDPLRFEVITRTKISNFSHHVMVQQYVCWFQIQMNNFLLV >Et_2B_020024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16130060:16133398:-1 gene:Et_2B_020024 transcript:Et_2B_020024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCQSRLERLEAVSRCKARRRYTKQLVQARRDMAAAHALYLRALRATGASLLHFASAEADNPHPLHTSSHHHHQPPPPATPPPPPPPPPLSPTPTTTSWTTTNSSSISASAILPPPPPPPMPSSWDFWDPFAPSSSRAATELDADWDDAATTVVDAAPVVVTAAAAVAAPPSVVTATTTSTTPSELTVVAVPRGGAGKKDLAEIATELDEYFLKAADAGARVAGLLECPICEPPETTPNNSSFPGKPFPLQIRKVLSYSKSLKPAGWSWGGGGGGGYGKGSNGFSRFERGDGGMPMGNSGGGGILSHSSTVERLYAWEKKLFLEVKSYEGYKQEHDKKVGLLRKQEVKGVDYLKMEKNKMEIESLESKMLVATQSIETTTTEIIRLRESELFPQLLELVAGLMSMWRGMYECHQVQTHIVQQLEYLNHARNANPTSNVHRQAALQLEIEVDRWYSAFCSLVKSQRDYIYSLTGWLRLSLFCHHDPLSKVHRNSDIYSLCEEWQLAIDRIPDKVASEGIKTLLTVIHAVVVQQAEEQKQKKRSEAAFKEFEKKAEELRSLESKHGPYIGAEGYGEMTRKSPLADKRAKVEALRSRADEEKSKYEKSIGVTRAMTLNNLQTGLPNVFQAMTGFASVCTEAFESVYNFKRSSDRILDAKRLLT >Et_4A_034018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29128023:29128471:-1 gene:Et_4A_034018 transcript:Et_4A_034018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASPLPARTGDDEGPVHVASHTVAARGRPQHLGIEGEPREPRLQHGDRVVGKGRSLGAAVRRPRRVRPGAEALFAAGDDDEYDHVCAADLTVAAPLRLYSEREWIGRSSYLVYLGLSKFFLARFFGTGSLACATNSRRLQPNDT >Et_7A_051622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21415280:21422235:-1 gene:Et_7A_051622 transcript:Et_7A_051622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWRLRRVGAAALAASSAVAATTAAWPYASASDPSASALEAARQRVAQPGAVPPPRAAQRAALAGSTPAEPLDVLVIGGGATGCGVALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALKERKQVIENAPHLCHALPCMTPCFNWFEVVYYWFGLKFYDLVAGKRLLHLSRYYSVYESVELFPTLAKNSHDRSLRGTVVYYDGQMNDSRLNVGLACTAAVVGAAVLNYAEVVSLIKDESGERIIGARVRDTLSGNEFETFAKVVVNAAGPFCDSIRKMANSDVMPMICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTAITMLPEPHEDEIQFILDAISDYLNVQVRRSDVLSAWSGIRPLAMDLSAKSTESISRDHVVLEDYPGLITISGGKWTTYRSMAEDAVNAAVRSGNLKPANSCVTDSLHIVGAYGWDPASFTVLAQNYRRMKRTYGGKVIPGVMDSAVSKHLSHAYGTLAEKVATIAQNEGLGKRLAHGYPFLEAEVAYCARHEYCESSIDFIARRCRLAFLDTDAAGRALPRIIEILASEHKWDKARQKIELQKGKEYLETFKSSKNAQFSDGKHNALFPINRIPDYRALDSVI >Et_1B_014287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5000800:5003428:1 gene:Et_1B_014287 transcript:Et_1B_014287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPMHICMDSDWLKGIVPEEAGMGGSSSPSTDQLIACPRQMMHHPAAAADRRLRPQHEQPLKCPRCESTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGSLRNVPVGGGCRKNKRSAAGKKPASAAPAPPPLLQAARHMAAAETGLHLSFAGMPPQMSPAADPLCSLGLLDWKYDPILAAASGGAAAAALEGGGNSAEAHFAGAGMMGIPGNGGGEYGHALSALRYAAGLGEHLQAPFGTSRAEHDHGVVEVKPPAERLLSLEWYGEASRAPESAISSLGALGLWSGMIGGAHQHHGSSAAI >Et_2B_020302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18847413:18851871:-1 gene:Et_2B_020302 transcript:Et_2B_020302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQPMHGSGGATGASGSGGGGGTDHLNHHQRLHSPRMAAGSMTRRASSFKRGAGEIELQIGSPRSPRSDGFGSPPADAAEPSGSGAGGLHHHQSHQQHLRFRLFKRPGSAGGAVDIGLGLGIRERRKLGNMLFLAFCGVCLLLGVGKIWAGGWFALPGDGRESDLQDLSVSFSEKGHQVDRHLDYNGGKESDRTLMTVESSIGEREDSEQDSHTNGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSYWADDSEFKDLFNWRHFIDSLKEDIDIVETLPAKYSHVEPLAKAPVSWSKVNYYRDEILPLLKKHKVIYFTHTDSRLANNGLPSYIQKLRCRVNYRSLKYEKDMLAFTGCSHSLTSEEEEELRKMRYEVSHWKEKEINGTERRSLGGCPLTPRETSLLLKGLGFPRSTRIYLVAGEAYGNGSMQALMDDFPNIYSHSTLATEEELVPFKHHQNMLAGLDYIVALQSDVFLYTYDGNMAKAVQGHRRFENFRKTINPDRMSFVALVDEFDQGRVSWEIFSSEVKKIHKDRMGAPYFREPGEFPKLEESFFANPLPGCICEKHSEE >Et_8A_057610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5028962:5031137:-1 gene:Et_8A_057610 transcript:Et_8A_057610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCYDVAASMLLCAEDNSSILWLEEEEEVVEAVGTKRGRSPGWDDFGADLFPPQSEECVAGLVERERAHMPRSDYGERLRGGDGVDLCVRREAVDWIWKVHAYYSFGPLTACLAVNYLDRFLSQYEMPEGKAWTTQLLSVACLSLAAKMEETAVPQSLDLQVGDTRYVFEAKTIQRMELLVLSTLNWRMQAVTPFSYMDYFLQKCNGGNAAPRSWLFQSAELILCAARGTGCIEFRPSEIAAAVAATVVGDVDAAGDIVKACAHVDKDRVLRCQGAIQSMASSINTVPPKPAGGGRSSPAPQSPVGVLDAGCLSYKSDDDAAVTVASHGLACASASASTSSSVTSKRRKISR >Et_9B_065498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5911629:5914852:1 gene:Et_9B_065498 transcript:Et_9B_065498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKQQQQPTQAAAAATTGVWKTVKPFVNGGASGMLATCVIQPIDMVKGLSAGLLRQATYTTARLGSFRVLTNKAVEKNEGKPLPLIQKAFIGLTAGAIGACVGSPADLALIRMQADSTLPAAQRRNYKNAFHALYRITADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVELFRDKLGAGEVSTVIGASAVSGFFASACSLPFDYVKTQIQKMQPDANGKYPYTGSLDCAVKTFKAGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKFEKKIGI >Et_8A_056528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11627749:11631747:1 gene:Et_8A_056528 transcript:Et_8A_056528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGQHHGPDRGGGLWLLESCPGADHIKLSLFHWEASNVTDGELVDYLTRSQGALPLTFPNVRRMEVTVKPHRFPEGHLVASLSSLLLGFPDLRSLCVDFLPSDIWGSSWRWDCLCSRLDTWLGPQVISLRSLETVKISGFIGADEEIDLVSLLFASSNSIKSLTASWEWTKIKTADATTDTPTTISLKQMMGEGDNNGIETVVQKLTNIPSTNRGLWQFRKDVISPMEAAGDVDRISALPDDLLHVILGFLPDATAAARTAVLARRWRRVWIHARRLTLLETEVVARAAAPSCRFAGFVDWVFAQRGDAIVRSLEIIMKRHDCASPERVNEWLRYAMRHVAEYFILHLPSDWSRDRRAVVLPSRGSAASTIWMSVPRYGIELPICGAAKYEVLTALRISQASFSRTRLGDFVSNSCPRLRRLEIESPTGLQQLVLRAEALEELHLSDAADLLNLDVTAPKLRVLKLKSCFQDMASKMARVAASSTLEEIGIDSSPRGMRAKLDIHDLTSVRRLSDLTLAVHGQFYQDMGVGLLLLKNCPGVQHVDVLVVHLPNRAQARSSSNNLLASASEHTQHFANVRSMALEVCLYPRHHLVASISLLLLRFPRLRSMCIKFTDTNEDSWTRECYCRGQDVWKSNEKICLKYLEEVKISGFIGTIQEMDILNLLFACSPSINSINLRANPEIRYAAALKRMLMTEEENDEEDGTDMETIGQELMKIPYTDRGCWHFGEDVYRWTCHTTESNATFVQAA >Et_4A_034346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31931945:31941185:-1 gene:Et_4A_034346 transcript:Et_4A_034346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASSGQVALCVPRRAPSPLNRRLLLPHPALLLVLRRGGNRPAGGRLCCAAAPPPPSADSTLEYPVRRKCSPLLESALLPSGSAPTVHEWKAVPDIWRTAAEKYADRVAVIDPYHEPRSEWTYKQLEQEILNFSQGLRAIGVAPDEKLALFADNSCRWLAADQGIMATGAINVVRGTRSSDEELFQIYTHSESIALVVDSPQFFNRIAESFISRTNARFIVLLWGDKSCIDSKAVKDIPLYDYKDITELGQESRNSLLYSRQQDEQYVFETITPEDVATLIYTSGTSGTPKGVMLTHQNLLHQIKNLWEIVPAVPGDRFLSMLPPWHAYERACEYFIFTYGIQQVYTTVKHLKEDLQRYQPHYIISVPLVYETLYSSIHRQISSNSAAQKIIALALIKISLLYMEAKKIYEGTVLSNNPVEPSFIVYVVKWLWARLVASFLWPLHNLAKKLVYRKIHSAIGISKAGISGGGSLPMHVDKFFEAIGIKVQNGYGLTETSPVVAARRPSCNVLGTIGHPIKHTEIKVVDIETGEVLPDGSKGIVKIKGPQVMKGYYKNPSATNQALDQEGWFNTGDIGWIAPHHAVGPSRKCGGMIVLEGRAKDTIVLSTGENVEPAEIEEAASRSNLIHQIVVIGQDQRRLGAIIVPNSDEVLAEAKRKSIVDENDKLTKDKVMNLLYDELRNWTEHCSFRVGPILVVDEPFTIDNGLMTPTMKIRRDKVTAKYHREIEALFNRGHEMRSYLTAATWRQKKAMAVVTAVKKSALPQLPPAVSSSSD >Et_3B_030047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30008969:30010955:-1 gene:Et_3B_030047 transcript:Et_3B_030047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGADGTATSAPPAAPAFSYLSVFHNFPLVAALVGFAIAQSIKFFVTWYKENRWDPKQLVGSGGMPSSHSATVTALAIAIGFQDGFGCSLFAIAAIFASVVMYDASGIRLHAGKQAAVLNQIVCELPTEHPLSETRPLRELLGHTPTQVVAGALLGFTIATAGQLFL >Et_5B_043860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16942343:16946045:1 gene:Et_5B_043860 transcript:Et_5B_043860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFGKRKTPAELLRENKRMLDRSIREIERERQGLQAQEKKLITEIKKTAKEGQMGAVKVMAKDLIRTRHQITKFYQLKSQLQGVALRVQTLKSTQAMGDAMKGVTKAMAQMNRQLNLPGLQKIMQEFERQNERMEMVSEVMGDAIDDALEGDEEEEETEELVNQVLDEIGIDINQELVGAPSVAVAQPASAGKVAQAESAGTADSGIDADLQARLDNLRRM >Et_1B_011608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24060134:24077621:1 gene:Et_1B_011608 transcript:Et_1B_011608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFNSAVAAEVRALIQGADDATSDSIYRELCQLADCGPDGCVLLLQVCVDEVLMNARGANNSQLKDLLPIIFRYCMDKPYFSTSFCEALRAISVDDGFLETVSNELELSPAERVGMGLALSDSEDMDLSLKGKTFSMAQIEELCSNRAHSVSNDRIQEIAVFLHQTDGLSKHVDSLTNIVSLFNVKESPFYIPSPLQHPELCIGSLDDDFDSLLSEIGKEISMADIITELGYGCASDIAHCKEILSLFEPLDDMKISKLIGAVVCTHTGIDEAHNTYSTFLTAFGSNPTIDSSHLTAWNIDVLVDSINEAAPGTNWTRVIENLDHEGFNIPDEAAFNLLMSIYSRACKDPFPLHAVCGSLWRNTEGQLSFLKHAVASPTDTFTFAHCTRKMAFPDVGNVTQGNHAWYCLDLLEVLCQLAELGYAKLVWSILDYPLSHCPEVLLLGVSHINTTYNLLQHEVVSCVFPAVLKDTLQSRLMNYLWHVNPYLTLRGFVAARSDINCLLRTVEICEDLKILSTVLDSTPSVFSIRLATLAFRKDHSSLEKWLTEKLGAQRETFLEECVKFLKEIMTNTNRDPAEGVIQHPHATIMSIFQDSCPVFIKVIHSHSGQLLSKELVDELRRVEAVHESRNHIAVGRDITTSDGGSEDIEAQANIYFQQMFAGHISIDAMIQMLARFKESKDKREVSIFNCMISNLFEEYKFFPKYPETQLKLAAVLFGSVIKHQLVSHLTLGIALRGVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHSELVLAIEQALNRISLSQNEPNFNPVIPGDQRGSVTQSIENPEASESSWLNSTPAQSDRTTSSFALQQRHQSFLGDRSKVSTSIPQTKTVIPIGQPPLASTSNDSAVIPKVPATVTPQPSPHHSSTVSAPSHPSGFPRSRSAAPSGILRQSSYTTGFGSALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTSNMETKAKEFNEVLLEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEIMKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKVLIVEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLVEIYNLPNLKMNLKFDIEYATPIRLPPNSMVEDDKAAIIMPEQVTSHSLAQVAPPQAPSPSPFSLSQLMAAIPRADIYFRINEKLNALGPQLQYSKIMDVALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMESDDGAISRSAHLMVGTLAGSLAHVTSKEPLRVALLSHLRSLVQNLISNSETTEQIVLLLINDNLDLGCALIETVATRKAVEMIDGDIKQPFSQLRRQKELHGSAYYDVFPYTQGLTRVPDALRPKPSGNLSAAQRRVYEDFITVWHSQSSQNAGATASGTSASLTATDSSIAPVHGPILAPSTSTGFSTLQFSSFTSAVQSKETIPDKADSGTTQLSSLSAPFSTTDTSSQVSGTTNLAAVFPPMASGDLLGEPATTKDIGAAAPLSPTATINRPGSAFSELLNTGDALDRYQQVFQKLEALIGNNGKDVEIQSVIAEVPDILLRCVSRDEAAVAVAQKVFRSLYENASKSTTVTWLLATLVAIRDVCKLVVKELTSWVIYSDEEKKFNVDITIGLIRFELINVGEYNAHLAKLIDGGRHKTATEFAISLIQTLVTQESNSVSELFNVVDALSKLAIRPGSPDSLQHLVEIARSSFNNNGSYAASKDEKVIQSRDKKVLSGRPLMNNEDNNADGIALANASEFQDKVAVLFSEWCQICDHPAMGDSMYSHYILQLQQNGLLRGDDLMDRFFFTLTELAVAHSVVSEQPIAPSPMSQQPQISYFSIDSYSKLVALLVKHLGDLGPNKGSLLPKILSVTTRIIQKDAEEKKASFNPRPYFRLFINWLSELTTSDLHHDSANFQILLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISVAPRIMTDVDGALKTKQMKAQVDEYLKRPEGSLFLAELKQKLLLPQSEASVAGTRYNVPLVNSLVLYVGMQAVQQLQQNKANASVQINQGSQADIFQIETATELFRNLIMTMDTEGRYLILNAIANQLRYPNSHTHYFSFIILYLFAEATQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWGRSFTRCAPEIEKLFESVARSCGGKAADDGISLPDGGH >Et_9A_060980.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:23834058:23838274:-1 gene:Et_9A_060980 transcript:Et_9A_060980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAIKWGKPSMARCQHLRRFLSSAAAKAAPPIPARRVPRSWDGTSAQSSRIRELGRLGRVSEAREVFDAMHHRDIIAWNSMILAYCSNGMPDAAGSLAAAISGGNLRTGPILLSGYARLGRVRDARRVFDEMPARNVVAWNAMVSCYVKNGDINLARRLFDEMPSRDVTSWNTMLTGYCHSRQMVDARNLFEQMPERSLVSWTLMISGYVLIEQHGKAWDIFCTMHREGIAPDQPNLVSVLSAVSHLESMDILDSLHVLALKTGFERDVVVGTAMLNAYTRNGSMLDIAVKFFDCMTERNEYTWSTMIAALTKAGRIDAAFAVYQRDPVKSIPSRTAMLTGLARCGRVNDARIIFEQIPEPNIVSWNAMITGYMQNEMVDAAEELFNRMPYRNTISWAAMIAGYAQNGRSEEALASLQALHRKGLLPSLSSLTSSLFACSNTEALETGKQVHSLAVKAGCQFNSYVCNALITMYGKGRSMDFVRQVFNRMTVKDTVSWNSFITALVQNNLLEEARDTFDSMPSRDVVSWTTIISAYAQADQGKQAVDIFRSMLHEHEFPNSPILTILIGVSGSLGASKLGQQIHTVAMKLGMDSGLIVANALISMYFKFGCSDSLKIFYSMEERDIFTWNTIITGYAQHGLGREAIRMYQEMQYAGVLPNEVTFVGLLHACSHSGLVDQGWRFFKSMSSDYGLIPLLEHYACMVDLLGRAGDVQGAEHFIMDMPIEPDSVIWSALLGACKIHKNVEVGRRAAEKFFDIEPSNAGNYVMLSNIYSSLGMWDEVAKVRKRMKEKGVNKEPGCSWMQIKNKIYSFVTGDDEHERIEDIYSTLQELYTLLKATGYVPDTEFVLHDIDEEQKESSLLYHSEKLAVAYGLLVTPKGMPIQIMKNLRICGDCHTFFKFVSHVTKREIDIRDGNRFHHFRNGSCSCGDFW >Et_1B_014281.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:4944601:4945059:-1 gene:Et_1B_014281 transcript:Et_1B_014281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKSAGKEGGDKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Et_10B_003028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15597038:15600668:1 gene:Et_10B_003028 transcript:Et_10B_003028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGFVAQPPVVRTPEEVFRDYRARRAGLIRALTTDVQKFYMTCDPEKENLCLYGLPNETWQVNLPAEEVPPELPEPALGINFARDGMNEKDWLSLVAVHSDAWLLSIAFYFGARFGFDKESRRRLFSMINNLPTIYEVVTGTAKKESKEKTPKSNSKSNKSGSKPSRQSESNSRGSKMRPPKMRKRVRGRTNRKIMKVQRAVHAFKITDKTCSGSAVTCARNGTMARASRSPQQKRST >Et_1B_013658.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11208816:11209493:1 gene:Et_1B_013658 transcript:Et_1B_013658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRHLLPLLLAVLLPAAATADPDAVQDYCVPDAGRGRPVELALLPSYPCRSPANLTAGDFAFAGVRAAGNFSADTGFAGVSVTPAQFPALHTLGMSFARADLSAAGGVNPPHYHPRATETALVLAGRVYAGFVDSGGHIFAKVLEKGEVMVFPRGMVHFQMNVGDELATVYGTFNSENPGIVRIPATVFGSGIDAGVLERAFGLTPEEVRRLEKRFGPPKTKKE >Et_2B_020925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24937054:24937989:1 gene:Et_2B_020925 transcript:Et_2B_020925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRGLGLVCFALLVAAASATQFRVGGQKGWSVPDANAESYNAWAGRMRFQIGDQLLLVYPKETDSVLLVEPAAYNACNTSSYDKKFDDGNTVFTFDRSGPFFFISGNEANCRANEKLIVVVLADRSGTRTPPTMPPPSSAPQLSPSSPPTAAPPTSATPPSAAPFPAPAATPTSAPSPTVSAPASAPTTTPSSPPSPGVQAPSPSTPGGAPQPPSGSANAPGAAGGSTTTPTPPSGNDQSGASTVVAGIVSSLGAYFGYAMLAI >Et_10B_004438.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:7129223:7130779:1 gene:Et_10B_004438 transcript:Et_10B_004438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKWSGLWSAVASFMFLWTMLQNHVPEGLTHRLATWANKLTTYFNPYLEITISEFGADRFRRSEFFKNVEAYLSDACARRARKLKAEFGKDSKKLQVAVDDYEQVTDAFGDAKLWWYASKTVPKSQVISFYPGEEECRFYKVIFHRRHRDLVVDSYLPHVFDQGRAITVRNRQRRLFTNNPSSNWSSYRGKIWSHVPFEHPATFDTLAMDPVEKEAIVDDLSAFKEAKDYYAKVGKAWKRGYLLYGPPGTGKSTMIASMANFLDYDVYDLELTAVKNNTELRKLFIETTGKSIIVIEDIDCSIDLTGKRKDKKVEKKSDDDGDNNPKMTMRPDKEDSKVTLSGLLNFIDGLWSSCGGERIIIFTTNHKEKLDPALIRRGRMDKHIEMSYCSFEAFKVLANNYLDIDEHELFGEIRQLLDETDMTPADVAENLMLMSKKKKRDPNACLTALVEALKKAKEDAAAKAKIEEEAKKKEEEEAKEKAAADAKNSKENEEAEAKKSKAESEGKDKSSDSAGTN >Et_9A_062856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5940205:5943845:-1 gene:Et_9A_062856 transcript:Et_9A_062856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAANGGEDPRWRRSNTDCVSFLASRFGCTKGAECEYRHCEGARFNFQSCWYWFQGNCVNPSCTFRHPVSNRPLADVLNSTWALSWHAPLESLNIAKSVVDPLSSHGSACVKTASPCFFYYNSYCAKGDRCPFLHEPLTNNVVGTCSEAATLNPTANENSAGDEMVQSLITSLTNPAEDSSNHIKEHQSKGVIESSNPDIDGVVSIASERSVLTGERDANGPYVKYGGDSVGYGLGYLDSDCYEQDFCGFDSVCNPVSSFYLDHFKEQDTMGTSGHLPDNRVNLAVSTFEEYGRRFFGPRKFIGSMGDVAFHRQYTEIEHSSKRRPENRKGAKGRNRRNKRRRGFEPSIGSEEIESRSTHGSQHPSMGDGSRPAVCSTFREQKKRSKRKQHHSHYAKSVERTANPKHQACRADFTGPKTLAQIKEEKCNSKLSSQPDACMPHGRSLSNDFKGPKSLSEILKAKASTVFPVLFLDEYGWPSVSILQPHQ >Et_5B_044566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3573622:3576636:-1 gene:Et_5B_044566 transcript:Et_5B_044566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTGPRASHNDSSRPVLPAQEEEEEEEEEEEEEEEEEEEEQRSDKIGVNAIEGHEQEHGGASGGNVRALLDMDDDTLLEQIAYYFDLLKFDPPDDNDDWFEYTEQQLTDLYQRLAFCRIRGYELSVDGQLPRLDDAYLKEWFSEDILLSKGYFKCYEEDLECYFDPELCWNAYYDDYQRLTLCDHGEYRDWDSYHLIRNTYEQDLAYVQYCKEISNETMCKMLIIYHLGLITLSSHDSGLTIFWITDQFRNIYGALNLIILTIREWTLSILRFGNGFLRKMLVYISACKTKHLMVLVVSSMYFCLALYAYLLNTSFHFNFFQMDFTNALSEIHRQNMFPYRSHEIEYELKDKIHRFSMKDDVDVYPLMYDAHVACINETVSEDHAKLLIKEAVIKMCPKSKTYVDYARKKLGIAKDIGMVPKVYPLQLI >Et_1B_011790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26034601:26038636:1 gene:Et_1B_011790 transcript:Et_1B_011790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLKLFACLILLFALFSTTWPVAVLGRTATAPPSPKPAPKKHKILPPPPPYVLRRHNEAGQASCRTRHTGCPVHRDMACGCAGKGGEGAACSETRPDQSPLSATVAPTASHKIASAIAPWSSFLLDSPYWSLCSQRHGRLWCWEGRLGCRLLRSQAPSSTTVRHTGTHRLRHRHRATIRSFGLL >Et_4B_037061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14070666:14072696:1 gene:Et_4B_037061 transcript:Et_4B_037061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGKRSPSPPGQKGNLVPRGGRGSEDGGRGGRGFNNGRGRGRSRAGGLIGLPRADDRAAPHPDDRAPPRTDDRAALTSADAHVGRKEEDNPLLAGKHRGPELCANKVIKEDAVVVGKGMAFGAGATHDHIVASQVGTDLGDGGQVALSEMDPTVQASTPAAVACGCQADDSTAVAVDSLVVAEVQMHVSPPAVANMEKQVPDGVAEQGEVAQEARELDGHVEPGVAGPGEDQTIGDAVPLVMAEDEAPPSPPVVADKGAMLALDAAEQQEEGQRPVKGLADDAFTTPTRGRTAELGAGHSPISTGAKLSYSDVVKGSVTPRYGLSAARRVDPVYNNISAIAT >Et_9A_063057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9248735:9256834:1 gene:Et_9A_063057 transcript:Et_9A_063057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELCRISVGPFAGGDQPTNSLHDWGTASEGRVVVDRGVFPVWARDPSSFLSIFRILVEDEIRPLVIGIIIHINLMGDKDVMEPISSLLRSNISTSMNMEMGIFFMSPCSVIPLHSHPRMTVDWIVITLCNVPTTICIKTSKDVRDRETSAPEKILYPSRGGNIHTFRATTPCALLEVLSPLYLADEGRHRSHFRKSLRKEPPVVLPSKIDSSEVNWLEDHQPPYRALLLREVLVIRREFHLKNVILKPRISRKDASFQHLLHLTPPASQLLHHLNRAFPLLAGNLVVPAAAKLPRPARGTEAAAPAWRAVQHGGCDLGSQRCSGDAHGWSSTTRRQGAEAAALSRATGTEAAS >Et_5B_044549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3362181:3365120:-1 gene:Et_5B_044549 transcript:Et_5B_044549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RHSKLTSQTNHYIPFFGSSNLLSPQQNRTRTEQSRANMASSQAQPGKAKPHVLLIPYPAQGHVNPFLKLAKALHVRGFHVTFVNTKYNHGRLLRARGPGAVAGADGLRFETIPDGLPPSDLDATQDIWALCEATRRTGPAAVRELVGRLGRDDGVPPVSCVIADGAMGYVVHVTKEMGLPSYLFFTPSGCGYLAYLNFDQLVKRGYVPFKDESCFTNGYLDTPVDWIAGMLPTARLRDLPTFIRTTDGDDTMLTINIKQSELDSPAADGILLNTFDSLERRALDAIRARLPNTFTVGPLGPEVAPPSYLPSLTSSLWRADDRCLAWLDGIAEDASVVYVNFGSITVVTAEQMDEFAWGLAAAGCPFLWVVRPDMVRGDGDGGGWKLPEGFEDAVAGRGMTAGWCDQEAVLGHRATGGFLSHCGWNSTLESVRAGVPMLCWPFFSEQVTNCRYACEEWGVGLEMPREVTRAEVEAAVRELMEKEGRGAAARRRAAEWKVKAAEAVAPGGSSRVNLDRFIDELARAKC >Et_10B_002398.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17602996:17603521:1 gene:Et_10B_002398 transcript:Et_10B_002398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNWAVLLLVCAIICNHQVDGECTVDQKKTVLRHCKPRLYAQHPKMSAEEMYVCCFWVRMVPNRDMNCILNLCTNEGKRRHGGK >Et_8B_059570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19300374:19302328:-1 gene:Et_8B_059570 transcript:Et_8B_059570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVLLPIILCSCIFVVVYWKRLSSMRLRLPPGPPTWPIFGNLLQLSPLPHKDFARFCTKYGPLVYLRLGTIDAITTDDPEVIREILIRQDEVFASRPRTLAAVHLAYGCGDVALAPLGPNWKRMRRVCMEHLLTTKRLESFAAHRAKEAEHLCQFVWAKAQSEKPVNLREVLGAFSMNNVTRMLLGKQYFGLQSAGPGEAMEFMHITHELFFLLGLIYLGDYLPAWRWLDPYGCEKKMREVEKKVDDFHQKIIDEHRRAREAKKSGLLDDDDSKDEMDFVDVLLSLPGENGKERMDDVEIKALMQDMIAAATDTSSVTNEWVMAEVIKNPRVLRRIQEELDAVIGRNRMVAESDLPHLPYLRCVVRESFRMHPAGPFLIPHESLKPTTIMGYHIPAQTRVFINTHALGRNPRIWDDVDEFRPERHLPEDGGRVEISHLPDFKILPFSAGKRKCPGAPLGVVLVLMALARLFHCFDWSPPDGEDIDTEEVYGMTMPKAKPLVAVATPRLPPHMYGSCGAAHRGGKQM >Et_2B_020399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19819869:19820700:-1 gene:Et_2B_020399 transcript:Et_2B_020399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSRLLLLLALLGLLLAVSMAKYEGQARRSEEHADNVYRVSKGGQGSLKSYQCSPQCARRCGNTQYHKACLTYCNKCCAKCLCVPSGYYGNKGECPCYNNWKTKEGGPKCP >Et_2B_019769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13797733:13800466:1 gene:Et_2B_019769 transcript:Et_2B_019769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLVLRVLLLLQVAVVAVLLEGARGAGTIGKTNISAVFFFGDSIVDPGNNNRRITEARANFPPYGQDFPGRKPTGRFSNGKVPGDLVASKLGLKELLPPYLGDNLQLNDLLTGVAFASGGSGYDPLTSEISNAISSKGQLELFQDYKEKLKAIVGEEEMARVISQGIFFTVMGANDIANNYFLVPLRRSRYDVSSYVDFLVSSAINFTVTLNDMGAKKIGFVGIPPIGCCPSQITVAGGPSSECEPLRNQASELFNTRISKEIDRLNAERNVDGSRFAYIDVYYNLLDIIQNPALYGFKEVSEGCCGSTPFDAAIFIAYHRRACPNAVDYIFWDSFHPTEKAYNIIVDKLIEQNMQFLIQTLLWRILFLSQTSQDCNH >Et_9A_061552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13638921:13647331:1 gene:Et_9A_061552 transcript:Et_9A_061552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADQLLGPSPSAAAAADTGRAWAPHGRLLTACLVALNIFLVLLVYFYFWRFFTRRGRSGDEEDQDAASSEAGSSPPAPPKARDRREVERAITALPVFVHSSPDGGASEAAAAECAICIAEFADGEEGRLLPRCGHRFHARCVDTWFRFHTTCPLCRASVLLLHDDANRRSSDADADCPVHTTFLLPLFAILLPFISRSFAILLFPEIFPFFQFDSRAWSSSCWEGVLISKTPLFAACVLQIHPVGAEAMSTTVGSLGVSPAAASPASPAADTGSHWAPHGTVLTAFVVSINLLVILLIVFFFWRFFSGKPQGQGASAGAEDDEDDDDALPVASPWATRRHRRRDPPRKEVDVAASLPVHVYSAAADGGKAAECAVCIVELRDGDAARLLPRCGHQFHADCVGEWLRLHATCPLCRASVVAPVAAVAAAEAVNPKDAVVGADSPV >Et_7B_053923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13412025:13435577:1 gene:Et_7B_053923 transcript:Et_7B_053923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYAVFLFFAACLLTMTVFVAVFLPETKGVPLEAMQSAWARHWYWRRFVKDAGNHNSDDRSARDYGEGITFSVVVTSLMAASCGLIWGYDSGVSGGVTQMESFLGKFFPEVLIGKKNAKVDVYCKYDNQWLTAFTSSLFIAGTLSSLVASRVTRRVGRQAIMLIGGILFLAGSVINAAAVNIAMLIIGRMLLGFGLGFTLQAAPVYLSETAPAKWRGAFTSGYNAFVVIGILSATVTNYFTNRVAWGWRVSLGLAAVPGAVVVLGSLFVPDTPISLVMRGDPDRARAALQRIRGPNADVDAEFKDILRAVDVARQNDEGAFRRLFSKDYRHYLVIGVAVPVFYQFTGMLVISVFSPVLFRTVGFSSQKAILGSVINSMTNLVSTLLASVVMDRVGRRFLYIVGGLGMMLCEVTISWIMAKHLGKHEGVTMPLNYATAVLVLICMCTFCFGLSWAPLRWVVPSEIYPVEVRSAGQAMSISITLCIAFVELQVFIALLCAMKYAVFLFFAAWLLAMTIFVALFLPETKGVPLEAMRSAWARHWYWRRFVKDAGNDNSHNRVFVTGDGSRLDYSGGLTFSVVVTCLMAASCGLIYGYDNGVSGGVTQMESFLSKFFPQVLSEKKNAKTDAYCKYDNQWLTAFTSSLFIAGMLSSLVASRVTRRVGRQVVLLIGGILFLAGSIINACAVNIAMLIIGRMLLGFGVGFSLQAAPVYVSETAPAKWRGAFTSSFNAFAVFGTLCATITNYFTNRIPGWGWRVSLGLAAIPGTIIVVGALFVSDTLSSLVVRGHPDRARAALQRIRGPDADIDAEFKDIVRAVDEARQNDEGAFRRLFSKQYRHYLVVGVAIPVFFEFTGMIVIALFSPFRTVGFSSQKAILGSVINSTVNLFATVLSSFVMDRTGRRFLFIIGGLGMMLCEVAISWIMAVHLGKHEGITMPHNYATGVLVLICLCSFSFGVSWAPLRLVVPSEIYPVEVRSAGQAMSISIAFGLAFVELQVFIALLCAMKYAVFLFYAGWLLAMTIFIVLFLPETKGVPLEAMRSVWTQHWYWRRFATDAKQEVQGNHL >Et_1B_011916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27128057:27131083:-1 gene:Et_1B_011916 transcript:Et_1B_011916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALMVSLKRTGSWPTSPTCCLSQLSLRLFRFAPSRKTAPESGSAQAMRYNYCGSVHHYIIKCLLNHTLRLSIKCTCSFIKVAKMHIFKFNSSHEVLQHKTILIIGINLRVP >Et_4A_032282.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28077752:28079194:-1 gene:Et_4A_032282 transcript:Et_4A_032282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AEVDRRGEGDECGGVLRAPDVRDTDLNSWLAAAALALDGCGHDEAAVVAERPVSEVAAASRAAPGRAAAAERLRGGEVDGEFAALGRRDGRLGERDGVVDAGDEEVEVVAQAVGRAGAAALAPALEARPGLPRAVAGGAHDEPRARVGAAHAVGPRRRHERGHHGRQRHGGARQVVHRHRPPLALLPRRRGRAVHPRPPQVAAAAAPELACEHGLLHAAAHLQHLRAALRLAVELEHEPRVRLRADGEAQLRRRGGALAASLPDRDPAAVQHRGLRLGALEVERDGRLPQQPAGAHAAGAPLAAGVDGHLQARLPAGGEERRLRVEPLQVSLGQMERGGEGAGRRRLLGAVGEDERGRDGLGRHLPEPDLAVARRGGGVDARAGAGGRRRHRHSEREVADQHPHERARVHGAASLLLLPSLHSRNPRGNLALIRWDWRRRTTLCEVDEPDEGDKAETSWAGGIRIGCVSEARLDPGEPWL >Et_9A_063220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16069313:16070858:-1 gene:Et_9A_063220 transcript:Et_9A_063220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLWEIAATVWTSKHAKCGNTVLTAALRAGSRGAKIVHITPREVRRRSPESTALRLLPGRNHSVLERGEAEVLRVTG >Et_2B_021844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:614198:615942:-1 gene:Et_2B_021844 transcript:Et_2B_021844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEEFEEYAEKAKILPDTTTNENKLILYGLYKQATVGDVNTGRPGIFNLKDKAKWDAWKAVEGKSKEEAMTDYITKVKQLLEEAAASTSY >Et_1A_007497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35263669:35265755:1 gene:Et_1A_007497 transcript:Et_1A_007497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSGSNAQRNIWSGALDLEAAFLQVLVALGLYVPEGPLAMRDACVPFSTIIPLSSTVIRSQFWIVLSLCATTTLVLPTITRSNASCTTFSDWLSSALVASSSSKIAGFFRMARAIAMRCFWPPDS >Et_7A_051224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15782524:15782897:-1 gene:Et_7A_051224 transcript:Et_7A_051224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALQLVDRVFGKGKSARLLSKAAPTNSRSSNQHSRAPHRDGRQTPRKTQPTTALRSVSRYKSTPFASPFSSSSSSVRVATAAAELLSAE >Et_3B_029499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25520148:25523210:1 gene:Et_3B_029499 transcript:Et_3B_029499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRMPILRRISNVLSTALLEWLLMLLLFIDGVYNFLVTRFARLCKLPTPCPFCSRLDHVLGNEQPCFYRELICNTHKLEISSLAFCRLHQKLAGAKSMCEGCCEKANDDDKTNEAAMDVNVSTRSRVDDMLNSPRTRICSCCGQHFKQRGGTLSSRKIEGLEPTEVVGSPKIYTDYSIAGRVDESLKPKDIYHQSDPHERQSVLQMTPDSEVEVLSADDGKSSHPHVANSVEEDVHEDAIFELPDIPFPEMFKESERNAQKELCVTDHHDTSLANNALNDHYDIGTNGNQVDAKDIPSVNWASHHDFRSYDRMNGLTDVDVPQYPVDLSDEFPQNLGETEPCQSMTEGNGVPYTSQFTILEQHYPVTGERNIEDNLKEILGPQITVTSNSEFHQRITLAKDLGTTDMAPQNTHLVAFQDAALKGNTDVSQDVYSENIGEVGDCTKKIEPTGDMVTGDLKMQDPSGTAPNNFIEKDYVKEACISADAVKPSGEVPQDHCATEYPKTSESTVERRPSLSTQISMNEAYRLAVGSKSSLPSPTLTDVILGKDSTSSVNEELRLLLSQLSASRGLEAPWVDPGPSPRAYGRGDDLVVQNITKRISLERNTSGLESLDGSIVSEMEGESTIDRLRRQIDLDRKSIHLLCRELEEERNASAIAASQALAMITKLQDEKAAMQMEASHYQRMMEEQAEYDNEALAKANELLAERERQIEELEAELENYKQRFGGGHSPFKQENTNTALLEGGDFEIPVINTSKGTISLASFEDERTYISNCLRKLEQKLQSYSNNSTFVVVSSSDALENDLAGKVFTAEDDSVFQQESSRDTEERISIGKEEGSSTMSGEFNLTKVQEEVASLNRRLKTLEGDRNFLEHSINSLRNGNEGLMFIQEIACNLRELRHIAIDKK >Et_1B_009689.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:15211407:15212705:-1 gene:Et_1B_009689 transcript:Et_1B_009689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGISLATNQKIPNKNCHTRASQEERRARPVLCSPSKEQRKRKPKKKNGASENPRRRTEEAKPKQASEKKKEHGTAGRTPRSPWWAAHLLGVGVGRRDVSVPLAVDGGAGAAADGVHLPRRVVVVDDVEVPSPAPWHPLHQPGAEPVERDGDLHPGVREVRVAGAQQHHLVVAGEVAVRHRDGRGPHDGVDQPVGAPPQRAVVHPHVPGAEQRDAVAVGARAPPGVRRRRPHVGIPRGHAVVDVHVVDDHVGHVLQRDAGAPGPDVHVGAAPVDGLEAVDQQLLGEADGHVGREHDPQGTFLDGGVAERARGRVHRVAVRRVRHHVQRAALPAQRVAPEPNAAVRQPLTVLLPVRARATPAVVDRVARHAPAHAAAGLLHPPPRRRHLAASSSHSELVIKLVKVAAFFFLAAAARTRTPHIYVVEGKTEKG >Et_1B_013161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:683521:687289:1 gene:Et_1B_013161 transcript:Et_1B_013161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAAAPHLMHCGGFSRLPQLPALRRRRSALRRVRAVATEPKPSTSSRPKPRSRNDISDTRFGEMSKEIQRVRKQMEEDEQLASLMRGLRGQNLRDEQFADENVRLRLVEVESTDNNEGLPLVYSPEIISAYWGKRPRAVATRVVQLLSVAGGFISHIISDLITDKLKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPSAMTELQKLCDKVPSFPDDIAMALLEEELGKPWQEIYSELSPSPIAAASLGQVYKGRLKETGELVAVKVQRPFVLETVTIDLFIIRNLGLVLRRFPQVVVPKTYHKYTSRKVLTTQWIEGEKLSQSTEDDVGSLVRLVTKLTDDQKYGMIEAIAHLIHRDYDAIVKDFVKLGFIPEGVNLDPILPVLAKVFDQALEGGGAKNINFQELAADLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGDPEFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKTGVFDAERFIDVMQAFENFIHAAKSGGGEDLKGNMAELADIGTQPSTSLVPVFPMAIAQPEQPVKARAALAFLLSERGNFFREFILDEIVKAIDAVSREQLIQIAASFELGNATPVFGMVPVRARALLPTITEEDRVILNNVEKVVKFLTSGTVTPAMNGDVNMLSVVQELLPVLPGISWKILPDVLSRLSSRVFARVIREAFL >Et_4A_033078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18137426:18138177:1 gene:Et_4A_033078 transcript:Et_4A_033078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAASNGSGKPAANGAKHEEQPFDPSWMIGIIKRKALVKELAAAYHAECMASCKELLQLQRMWEKVCILHLPKSPDGAVP >Et_8B_060215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7637141:7640691:1 gene:Et_8B_060215 transcript:Et_8B_060215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAFNRAAFAARPLRRPPRPQPLLHIGGAENGAAGRGGGAALTRRLRCSASLSAGAGSENAPVFPRHNSWDPYKLLGVDHDASEEEIRSARNFLLQQYSGYEESEEAIESAYDKIIMESYTHRKKSKINLKSKIKKQVEESPSWVKSLFGCFEVPSMEIIMKRFALFGFIAGWSIATSAETGPTFQLALSLVSCIYFLNDKMKNLVRASTTGFGVFVSGWVVGSLLVPVIPAFVIPPTWSLELLTSLTTYVVLFLGSTFLNRGCPPLTSSSMARTMASSLDRLPNSTERRSRLLGVLTRWGHAGTSPL >Et_2B_019240.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19664460:19664684:-1 gene:Et_2B_019240 transcript:Et_2B_019240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSTSVKTEASDSAGQPAAPAPAPETKPKKKICCACPDTKKLRDECIVQHGEDACGKFIEAHLQCLRAEGFNV >Et_5A_040570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:129223:131351:1 gene:Et_5A_040570 transcript:Et_5A_040570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSYPAVSEEYLKAVDKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVSSKTGGPFGTMKNPSEQAHGANAGLDIAVRLLEPIKEQFPIISYADFYQLAGVVAVEVTGGPDVPFHPGREDKPEPPPEGRLPDATKGSDHLRQVFATQMGLSDQDIVALSGGHTLGRCHKDRSGFEGAWTSNPLIFDNSYFKELLSGEKEGLLQLPSDKALLSDPSFRPLVEKYAADEDAFFADYAEAHLKLSELGFAEA >Et_8A_056103.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:20292190:20292735:1 gene:Et_8A_056103 transcript:Et_8A_056103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLSYIVHKIRDSPQLKGIIGDEYLTRLTSKFRHAATWYQRYGWLKILNYMRTEGLRISSGFSTRISKQVLRERFKGFSTGFGEAHQVQSEWYVPDARLRKELKISILEKLRLAYRPFLGGFRHHIESEKSPKHYIKYSVKDLEEAVANLFEREHHHQHIRLCFRIQITRIYNKVELILK >Et_7B_055423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10255676:10257895:-1 gene:Et_7B_055423 transcript:Et_7B_055423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGATNGGVVNMTRAMEALSPLLNNPRRTIIQVEALMTVAAGLLFLQFILGNCKRQWHNSIVKGALSVSNGLMFPLIIYTLGLMVSSPIKNSYYPVWIVSLIIASGGTTAVKQYDFDDSKMWMQFFIETTRYGFYFLMLAQLLNPKTPEETLRDIRSMEAWSLSKLNQQYVKTSASTWWLSFYVTAAYFAQITEGFTGFRNRKHLSGRQVAKWTKKHANDHPTDYNPRSMKGYNYLVSVDNLKTQRPVEVSDSLKRAIASSLTSTVSKTGHLSNGETSLMEHGVFEDYSWTLKDNSQAEAMLIWHIATDYCDLALSHHGNNGIQDGRDVAVNLSRYYAYLIVYVPELLPYHVADIRELRTTVMEEVKEILASSKLDEWYQKMNSLEEIDKEDKPPSVFRKGVKLGKQLESIPRRWTVMADFWAETITYIAPSHFTAKQHMRHLENGGEFLTHIWALLSDAGILNLDRDKDQGPNHAQSTAETV >Et_8B_059333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16815837:16817538:1 gene:Et_8B_059333 transcript:Et_8B_059333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAHQLPVVPHRLAPAWHSSRSCSPPVAHPASRATKPPVRFQAAVEQPAATARAAGFAARVAFNPSGNYDLSLSMEEDDTPQVQPPPPPTKGRFEIIINNDTIRALDLSPVKEALGDLSSLTAADARNMMDRTVGFTINYEREDEHDMRELSELPDIRLWFVRLDAAYPWFPVVLDWRAGELARYAAMLVPHQMSLRLGVVFNPEALELFVLKKVFAVDAWLKEQNHPKPRLKTADMAKMLGFGIGDELFDLIEKHPVHPS >Et_1A_004795.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5962196:5962464:1 gene:Et_1A_004795 transcript:Et_1A_004795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSAFFASRAACPSGSSGEFSARRICRYSDSTTGRFRARSSAVAQISPPAPPFAIAAGSLRRRRAAVLELDWTRGLGVGLLRCRG >Et_3B_029634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26662416:26664873:-1 gene:Et_3B_029634 transcript:Et_3B_029634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVLAAFASLRAAAAIASGNSAFPSSHRLKNTSSSHRFSSSKTSLWNLGLSLWMGESLADFSVEGISSRSCRCHSTQATPGCEHSCACCNVEGFEAEIACGKDDFVVEEIGTALAEVMHIYDDGGPDLDEETDEDDDALLSLESDSTDDLVDADTTLVVSHAFSSGDASESSIDTSDYGSFSTNGTPPLVSAMKGTRAKQGIVTQLSVSWAPDVYDPPVTSDSHTAYSTSGGCSSTDAQDIESAKASPLVPSASAAMPVIPSESAVMPEAVPVLKTVEQIKCATSCKEKPFNLLSRQFSPARYKGMFSFWSQNQLAS >Et_2B_021992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7795007:7798106:-1 gene:Et_2B_021992 transcript:Et_2B_021992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSPATASHLLRSLQRPRGFSSSAAAPAAKVDDGKIVASVLFERLPVVIPKIHPVIYAFQEFSFRWRQQYRRQYPDEVLGKADARGKGDYQIDYVPAPRITEADKTNDRKSLQRALDNKLYLLLYGNTYGAPDGKPVWHFPEKVYENEETMRLCAESALESVIGGLNNTYFVGNAPMAHMVVEQTDSSVSPFKRFFFKSQVIGSTKYSIGKCKDYAWVTKDELLEYFPEQKDFFNKMIIHVR >Et_2A_016966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29985241:29986225:1 gene:Et_2A_016966 transcript:Et_2A_016966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTSTSTSPLSRLLLSLPKPNAARPPRSSLPPPCSHDTPAKNTGALLLRRRDAVAAVFSGAILSRVLPAVSEDAAGECPLEVTPSGLGFCDRVVGTGAAAQQGQLIRAHYTGRLEDGTVFDSSYKRGKPLVFRVGVGEVIKGWDQGIVGGEGIPPMLAGGKRTLRLPPQLAYGEKGAGCRGWEPTSCVIPPNSTLLFDVEYVGRAIS >Et_3B_030545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4817605:4818947:-1 gene:Et_3B_030545 transcript:Et_3B_030545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHARKRATWVQIPRHQHHNGKKLRAEDEVGAAPSRHEDGEKITVAIDPKLLECGVCFGPGHISCSECCNFGALHHECLMCRDQETATRCRAMERVLDGLSVHCAFRQNGCTEMIPYAEKQVHAASCARAPRHCPIPGCDGYNNGGFLLRHIEMDHDDVRRTRVTSDFLTALEMREGEAARLLWLGNGRALFLLVVGRGVPSGRALSVIHLVGEPSLDEEDFEYTVKVAGEASLFSLTDKAEGVDRLTKPYEANVFLFIPNAFWDVDTGEFEVFVELKRLK >Et_5B_043965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18388548:18391016:1 gene:Et_5B_043965 transcript:Et_5B_043965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNPPVPQNDSDWEIRVAVLLSLLLQIFLIFVGPMRKRTSHPFPRFLDNELWLRHLIGLLFELFSALVIFGCSVHTNPMVPATALMFVVGIVKYGERTYSLYSGSVDGFRDKILDEPDPGPNYAKLMTEFDAKRNAGLVVEIAVADGEASEAQRAAEEKVTQRLVVRSDKSVEARAHGFFLTFRRLFVNLILSFKERRQSQAFFVDKEEGLRPSEAFEVIEVELNFIYDMVYTKAPVAHAVAFRWVPVGWLLRALCSACLAASLVAFFLLPKRPHGIRHVDVAITYALLLGGLALDAAALLMLLFSNRVTVYLETHRRLKRVAAATKSVARRFWRTRRWSGKTSQMSLVSYALGKPDPEQLNRVARCCLCLVRFVGKWCPCLRFVGKLELVDDLVFISREPIVELDQGGTWLQKLVRRLTFSRGRAAGEPPLLEFIFGGLQRAAKKLKQKHEIMSLCNCRGNYVIQHHKEKALREHNKLNKVDAETNKNPPSDQDEISCREVEEKFQLLKDSVELKDFDESLLLWHIATDLCLSREFQAVKGGSPEWVTLTDVPTEKTKRMRAIGKTLSEYMLYLLIKQPEMLSATAGIGLLRYRDTCAEAKRFFASMAAWDPGHGDARRMLLGVNTSKKPIEVKGDRSKSVLFDAVILAKALRGLGDEEFMWTLIAKVWREMLTFAAGKCRGSTHVRQLSRGGELITLVWFLMAHMGLGDMYQIQAGDAKAKLIVIDQ >Et_3A_023694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1107351:1109183:-1 gene:Et_3A_023694 transcript:Et_3A_023694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAPVVKKVLVPIAAGSEPVEASVPIDILRRAGADVTVASAGDELLVEVMYGVRIVADALVADCADASYDLVVLPGGIPGAENLGGCAALEGIVRRHAEKGGLCAAICAAPAEAFAPWGLLHGHKATAHPAFVEKFPPEVTAVDANVVVDGKVVTSRGPATAMEFALTLVEQLYGKEKVEQIAKPMLVRYEPGYTIKELNPVRWQCDGTPKVLIPLADANEEMEVIMIIDALRRAKADVVVASAEDKLEIAARYGMRIVTDMSLDDAADQQFDLIVVPASRRSCP >Et_1B_010714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13326267:13326990:1 gene:Et_1B_010714 transcript:Et_1B_010714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPRPSSSGLQSIRRELQRRKQKAALAPARPASKKASAPLPREGARDKCLDPRPRPSPEQDPSATVSRSSVPSPQAAPPSRSVSSSAGYASHMKPGTRVQVRTRSQMVIDGRTLVLWLPATVVSAAADDGGGYYEVIYEGNLPREDPFSTVRVPIHHSLRLLGTLAPPETKPAPRPTTAGKSIHVVRRILAAEKERQALDSFCLGY >Et_9A_061408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11164784:11168288:1 gene:Et_9A_061408 transcript:Et_9A_061408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVVYWALILCLFAECLSMQSSIYISPHTDPITINGGLVRRSGLFFLLLLLWQKEDDYGQFHLQEC >Et_3A_023743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11774136:11781039:1 gene:Et_3A_023743 transcript:Et_3A_023743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTAMSLTAAAAAASVTSSRPNAIRPAGLRFCGLRREALGLRTLRASPQAVSSRRSVAAAAAAENGAAGSGGFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVQSAGYDRQAVADHANNLASKIRSNLTNSMKALGVDILTGVGTVVGKQKVRYGKVGFPDNEITARNIIIATGSVPFVPKGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRILINPRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENINVVTQRGFVPVDERMQVMDADGNAVPNLYCIGDANGKLMLAHAASAQGISVVEQICGKDNILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEVSVVKTSFKANTKALAENEGDGLAKMIYRPDTGEILGVHIFGLHAADLIHEASNAIALGTRVQDIKFVVHAHPTLSEVLDELFKAAKVDSGVLSS >Et_10A_002021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1922085:1924973:-1 gene:Et_10A_002021 transcript:Et_10A_002021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYLSKNPFLARRHLLLQPRRPCTAATSSATDDGAITTAATRNDLPLEDGLAEESRSSLVRDTCRLLELRDSWSPKLEAQLRHLLRVLSPPQVRAVLRAQAEKDARLAFEFFRWADRQWRYRHAPEVFDEMLCLLSRTRLHDPARRVMRLMMRRGMRRGTQQFAHLMLTYSRTGKLRSAMRVLQLMQKDGCAPDISICNVAVNVLVVAGRVDKALEFAERMRRVDVEPDVVTYNCLIKGLCDARRVVDALEMIDTMVKNGCSPDKVSYFTVMSFLCKEKRVAEVRSLLQRMRNDAGLFPDQVTYNMLIHALSKHGHADEALNFLKESEGKRFRVDEVGYSAVVHSFCLNGRMAEAKEIVGEMISKGCRPDVVTYSAVVDGFCRIGEIDQARKMMKQMYKSGCKPNTVTHTALLNGLCKVGKTSEAWELLNKSEEEWWTPSNITYSVVMHGFRREGKLKESCDVVVQMLQKGFFPTTVEINLLIHALCKDGKPAEAKDFMEQCQSKGCSINVVNFTTVIHGFSRHGDLESALSLLDDMYLSNRHPDVVTYTVVVDALGKKGKLKEATELVEKMLNRGLLPTPVTYRTVIHRYCEKGKAEELPKLLDKMLAKQEFSSAYNQVIEKLCAFGKLAEAYNFLSKVLRTASQRDAQTCHILMERFLSSGDTIQAYNVACRMFQRNLIPDIKLCQKVESQLALEGQGQAGKLIIKFVERGYQKKSKRNGLVEVKELTAVS >Et_1B_014135.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:3260494:3261120:-1 gene:Et_1B_014135 transcript:Et_1B_014135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSAEKFSPTISSGLRSLLATPHGSGGVVKRALAAASRVTAGAGVPESRDSGTGIGDDAENNVEAEEEDVEAEEEGNVQDEEEGCWVSYGRREPRRRLPQVIPSLAARGALRRTRTHDGRLVIRIVLVPVVWRECIRARRRGGRLTMQLVERDDESPLTPIGARGYGIIRALEEPPDDDDTASPAVGEGGDDTTAARVPATRSEGVH >Et_10A_001018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20211414:20212250:1 gene:Et_10A_001018 transcript:Et_10A_001018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSGTWLLILALVAATMAAFSDARAVGAGGGSGNPTAGFTKVQLADGDFQVQSPYNVPEGQRFQFRNGVRRFWVYKNDKPFNTATHTNPRSEVRLRGHDYSSGVWQFEGYGYVPSGTSGASVMQIHNDEEAAHATILMLHVYDGVLRFYSGDAIEANIYDRWFRLNVVHDVGASTVTVYVDGEKKYGASVIPSQSYYFKFGVYMQHHDVSGRMESRWTNVTLYTKN >Et_9A_061588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14136141:14139058:1 gene:Et_9A_061588 transcript:Et_9A_061588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVAGDKDNVVDRKAHNVVVKSETVEVNGDYIMPQGAMPACRDPMVTFGSLGGRVSDDFQFTEYEDGDSTDCSSSFSDSYSVSDDDLELDTGVMEVDSLFPSHINLDDTTVVPRLKKVTSEWREYISPVMWRCQWLELRMKDLLSQVAKYDKELAIINHEKDLHLEMLKGDSTKSELQHLDLQNRERSTMRRRKRKRDEDIMESSLSTEEHLILSYYNAVDNMKSSLGLNDTLTLLEFKENDTVLEQYSLRDILLSIEGIQSRIISLQNHFSEAQNNRDHNQKAKKAQKRKKLNGLLQKDTDRFNDFVKINIALPFAVDVTEPDEDEITVEMLFGTDKPLIDARIGGLYKESADDVLIDNQAAREEGYWHFERVNQTTEKHPELLRSVAESPSLEEEKAGELVGHEFVSMPVPVVTLVNKRGPKSKKKCNRSLPRTEDQIEKEVHNTEMKLTEEDVDNSNNERTTLVAADMRRSQRVRKPKNYQ >Et_10B_002702.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:9124263:9124646:1 gene:Et_10B_002702 transcript:Et_10B_002702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVGCTHSGHKTLAIRIVSVYRFSIRRNILELVAERLRDKIILKSDCANVIATLRKRHAAPRFVFLIRDAKDSSNSFLVVSFRAVRIEQDFVTHELPQTHTSIWRTQVPCCVCRAVGRSQVHCPIE >Et_4B_039314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9942902:9944107:1 gene:Et_4B_039314 transcript:Et_4B_039314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPELAGKMTAKAVAKPATRAYVTFLAGDGDYWKGVVGLAKGLRKVGSAYPLVVAVLPDVPESHRRILVSQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVFDNIDELFELEKGSFYAVMDCFCEKTWSHTPQYKIGYCQQCPDRVAWPTAELGPPPPLYFNAGMFVHEPSMETAKALLDTLRVTPPTPFAEQDFLNMFFRDQYKPIPNVYNLVLAMLWRHPENVQLEKIKVVHYCAAGSKPWRFTGKEANMDREDIKMLVKKWWDIYNDETLDFKGLPDMPADEVEAAAKKPLRAALAEAGTVKYVTAPSAA >Et_7B_054283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19222546:19227401:-1 gene:Et_7B_054283 transcript:Et_7B_054283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIEELNGTISDSDSEGFTEVIMLPRSPSPRCFHVWHQWFRMTNTNPTFLTSKILTAPCPYEHIASALLQFKSMRFDGDLSRGQTLKIHGFVAVRDDIDRLRNYIFNRSQEHAQPITQDSPDLILTTPARGISAVCTVLVEYSLKVLCEEGGEELIDGCFQFKQEGCQGSLVVLHTVRLFSPLGPFDIRFNYLRYAVEATVEVKVKRAVAGYSLTSVTAATCGCGSKEEIVLLSAPEGSASGRRSSCQHELLFRSRKRHHTKGSVVMGRMFKVAAKVTWSTMGTVYVPFLHNNRNLLGDPDN >Et_5B_044160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20961011:20961285:1 gene:Et_5B_044160 transcript:Et_5B_044160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DRNTSEHSESAAEKIISITGKISNNFANQKPAIFDEETWRVSHALQDTRTRAQTNLPDGSVMDSIQDPS >Et_2A_017192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31917795:31919420:1 gene:Et_2A_017192 transcript:Et_2A_017192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSWPSLRKTPKLHLASLTPRRTPARIIPCQNSLLLRRPNHRADSVPAEDSSHAVDPAIPPPLGSRLGELDFFPFSRCPEEVSLPSMGGVFGRHESSSSARSSDGTKLETKMVEEMQRRALKGTSVKSFNTIIMKFPKIDESLRKCKVIFEQFDEDSNGEIDKEELKRCFQKLEISFTEEEITDLFEACDINEDMGMKFNEFIVFLCLVYLLNEPAVSEAVSFIAHTATS >Et_5B_044728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5136794:5140146:1 gene:Et_5B_044728 transcript:Et_5B_044728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSSPSPAALDGSTVRTPVRTILDAGDALVGQRVVVGGWVMAGRVQGRGAFAFLQVSDGSIPGTLQFMVDATVHPLVHITTVGTSLLVEGEIRKTPGRCKDLIELRVVEVIEVGLVGTRCCPLHKSKNKPSMFRAHHVHANMVGAVSRIKHQLACATHIFFDENGYFYVHTPITTSSGCDGAGKMLKVTNQYSQAEEVVTEMKEDHETSEVEVRAEVAELKVVEASTQGITSATSELTKGHEDFSRWQERSKQHVVPQKDDSTNHFARYVFCKFAGCNKLCRKLSAISLLVHCREDIKLVNISDDTVLERLALVAKEHFERISYSDAVDIMKNVQEKEFNIKAEWGVDLAPEHERYLTEEVFKKPIIIYHKPRGTKPFYVRLNDDQKTVATMDIIVPKAYSWKPFPAALVIKACGKVIPYTKGLFGWLPWVATAKVR >Et_1A_009133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30260888:30264526:-1 gene:Et_1A_009133 transcript:Et_1A_009133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAVKEVGSKAELDAAVAGARAAAVHFWASWCEASKHMDEVFAHLAVDFPHAVFLRVSAAVPGRNRFGSVRPPMGGFVGPLCGLRFLVEAEEQPEISEAYGVSAVPYFVFCKEGKTVDTLEGANPASLANKVAKVAGPASVAESAVPASLGVAAGPAVLEKVQEMAQRNGSSAAESTHTGSAEDALNKRLEQLVNSHPVILFMKGTPEQPRCGFSRKVVDILKQEGVQFGSFDILTDNDVREGIKKFSNWPTFPQLYCKGELLGGCDIVIAMHESGELKDVFKEQNIPLHGSNDEAEKPDSATEKGGAVPEAMRLTDAQRARLESLVNSSPVMIFIKGTPEEPKCGFSGKLVHILKQENVPFSSFDIFSDDEVRQGLKVFSNWPSYPQLYIKGELVGGSDIAMEMHKNGELKKLLSEKGVIPKETLEDRLKALVSSAPVMLFMKGTPDAPRCGFSSKVVNALKKEGISFGSFDILSDEEVRQGLKTYSNWPTFPQLYYKSELIGGCDIILEMEKSGELKSTLSE >Et_6A_045958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13655430:13655804:-1 gene:Et_6A_045958 transcript:Et_6A_045958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_2B_020953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25119557:25121734:1 gene:Et_2B_020953 transcript:Et_2B_020953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSRFFKSKRSPQQRDDPSFDDFSGSENITRYSYKELVKATSNFDQANKIGEGGYGPVYKGTLKDGTAVAVKVLSLHSRQGAKEFLNELLAISDVTHENLVRLYGCCVEGRHRILVYNYLENNSLAHTLLGSGRSNIRFDWKTRVNICIGVAEGLAFLHDSVRPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPSDVSHISTRVAGTLGYLAPEYAIRGQVTRKADVYSYGVLLIEIVSGRCNTDMKLPYDDQFLLEKTWRYYDQGHVEEIIDSSLGDDLDVDEACRFLKIGLLCTQDVTKRRPGMTTVVAMLKGEADVGAEKINKPDVIRDFRDLTLRSRATTSSTMLTSIMARSSPVSSSQETTRTSITFTAISERD >Et_2A_015651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16568851:16572236:1 gene:Et_2A_015651 transcript:Et_2A_015651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVKRPSATTKLPPPSAPPAPSASAPTAPPLPYNHHYGILYPSPPPTTAAMQEAKRPSSTTKLPPPSPSPSAFGPTAPPLPYNHHYGTFSPPPPPSQLQPVVGTAYHASPTGFCGQGVAAYPCTVQQHVFVEGVPVQDPPLPFCGVGIGWVLFLLGFFLAAIPWYTGAFLLFFVALDHREKPGLIACTIASTTDQSSRMKLQLGRASFTASFCHA >Et_9B_066226.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:7832802:7833914:-1 gene:Et_9B_066226 transcript:Et_9B_066226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKKLEMKLIEDPKKRKATFKNRRDGLVQKTRQLATLCGVDALCICYDPKADGAGAAVATTWPPGREDALKLITKYRDTPADRFRHVLNARTFNEEELRKERHRLLKVRQCGAGELGPWDSSFDGASVGDLGALLAALDATQEKVQQRMAALGCHAGRDNDVIVPAAAAFDDASVAAPVPHAMSLPDNVFHFGGLPDTGGNSMVTQYYDNVSHDQMMLARAPFHPLQPPCHGSQMPPPYITYHYHQMPPQPTLLGPPEFGAAGYATNVVNNGASAAGSEFFDDGLMQGFDDGGACVNNDVFGQALAAAGHAGAGYHLAHHATAGIWSVSKLNNPMDGGAFQLKNDFARPHAGSSASCSSSTTFQGGFQN >Et_6A_048110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6414131:6417781:1 gene:Et_6A_048110 transcript:Et_6A_048110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQSLHVRPRRIPAEAPATEEERGGTHQSRGGGSGDLDRHGLRQPGPPRRWLPDLWLVRAAATAKCFLRAAADPVFLDRFRARHPPRILGLSVVGIHSWPRLLPFPQPPELAGAVSLASLVLGCLGRGDRCVDSCNSSLLVEMDSDMEWPRYHVSSQHNVRRHRMLPPLPPLGNDEEEPGGLFYSHRLFLFEDDTTSCLSLRMACDAETVSANFSVLRHGVWGCQQSAVIRELQQDPYQTVLGHKLLSGGKVYMMTTARCILELDLATAALSAIELPDGAEQSASLKFSRAQQSAGGFYLICTTGLLLRASGIATVQRGCGHWWILSLYMRHVLISLGNRVVEKVAKGLTQICSPSARPITMLLYGSQQRASLLKIHGVKSSCRTGERGVMVSRRQRVKSLNLASIILRLFEMF >Et_2A_016732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27729416:27735760:-1 gene:Et_2A_016732 transcript:Et_2A_016732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGMFDGAGSGVFSYDAGGGAAAGMHNPARLMASPPIPKPGGGGGFASPGLSLGLQTNMDGGGGQLGDVSRLGLMGSGGSGSVGDGDSLGRAREDENDSRSGSDNLDGASGDELDPDNSNPRKKKKRYHRHTPQQIQELEAVFKECPHPDEKQRMELSKRLNLESRQVKFWFQNRRTQMKTQIERHENALLRQENDKLRADNMTIREAMRSPMCANCGGAAVLGEVSLEEQHLRIENARLKDELDRVCALAGKFLGRPISSGSPAASLHGLELGVGSTNGGFGLGSLGGGSSLTSHIPDLMGGAGMHGSSSSALRLPAGIGGLDGGGAMHGGAAADGVDRTVLLELGLAAMEELVKLSQMDEPLWLPAAGPDGGGLDTLNFDEYHRAFARVFGPSPAGYVSEATREAGIAITSGLDLVDSLMDANRWSEMFPCIVARAGTTDIISSGMGGTRSGSIQLMHAELQVLSPLVPIREVVFLRYCKQHAEGLWAVVDVSVDAILRPDQNGAAAPGYMGCRLLPSGCIVQDMNNGYSKVTWVVHAEYDEAAVHQLYRPLLRSGQALGARRWLASLQRQCEYLAILCSNSLPARDHAAITPVGRRSMLKLAQRMTDNFCAGVCASASQKWRRLDEWRGGEGGGPDGAGEEVRMMARQSVGAPGEPPGVVLSATTAVRLPATPPHRVFDYLRDEQRRGEWDILANSEAMQEMDHIAKGQHHGNAVSLLRPNATSGNQNNMLILQETCTDSSGSLVVYAPVDVQSMHVVMNGGDSAYVSLLPSGFAILPDGHSPPSSHSTQGSPNGASSASGNTGSLVTVAFQILVNSLPTAKLTVESVDTVSNLLSCTIQKIKSALQANIVSP >Et_2A_017498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34931711:34933462:-1 gene:Et_2A_017498 transcript:Et_2A_017498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAAAAIATTSRTFPLPFSSTHVHRPRRASFLPVAASKRRNDDDEEPTSLAPYGLSISPLSKDAAMGLVLGAATGSGWTTGSGMEGPPAASKAGGTDGPQVSTLPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNIFHKLVDNLNLFHEMKCYVGPDFRYEGDAPFNYFDNNEDEDGGNIFRP >Et_1A_006329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20826586:20832807:1 gene:Et_1A_006329 transcript:Et_1A_006329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGKSKRRRQARSRSAGDAGLICGLGDDVLVRILELLPDARDAVRTGALSRRWRGLWTRVPDLRFASDSWPKLRTASDAKRFVSFVHRALALRAAQTEAAVENLAISLKLNHHWGSEPERIVRLSVRAAQRWIRHAVRREIKSLDIDLRLPTMPSPAYNKMRLMTLDELPSSAKMETMRLALGSAIVQLPSSATFSSLTDLSLERIVVADADGHLLLARLLSSACSPRMQKLRLRKLEFAVARRADDPLARPLEASALLELSIEDMDDPMNLELRTPSLRGFQMDRSNVEVLTISAPNLERITVDEPYDIYFNEELPGVQRLSCILMGTLMMIKSTIIAFDSSRTVPHSNACKCLCMFQRKDKRFDILKDRVPHLLHVTSLTVDAYFSEFHSFGAGMSSLLKECSNVRHLHLHCRYFITMFPMIYIALSHVCISFVEQGNVSRLDFLCDNPGHWKSHEIPLSHLQEVELKELAGINCELWFLQFVLSNATELKKVTISFNKDYRAKDTRDASGLLSLLGCGTWTACSDTDLSYMWKHHLNRSRSAGEGFDMISGPGDGANMISGLAGDDVDLITGLGDDVLVRILELLPEARDAVRTGALSRRWRGLWTRIPILRFASDSWPMFRTASDAERFVSFVDRALALRAAHTDDPPLSVSFKPKCLRGCIKPERERRITVQRASVRVRKAAEGWIRYAVLREIKSFDLDLRLLKSKLSNHNFEMRQMTLDELPSSAKMETMRLALGGAVVQLPSSATFTSLTDLSLERMMDGPRRQYPPHRPPCVIVVLPAPAEAATQEGRVYARISRSGRGASARGQQALGAVAGGHEGPTESGVEDSQPARFPHEGYQPGGAHDLGPESGEARLGLPTTLHLLHRRITRRAERQGCAEIKEQMFDIIKDRIPHLPHVTSLTVRASFSELHSFGASMASLLTVCSNLKHLRLHSQYHVNMDDISRLGCFCDHLNHWKSHETPLTHLRNVEFKGLAGTNCELWFLQFLLSSATGLQEATISFDKRYGVKNTKDASEILSLLDGGTWTPCGGTYLSYKWKCHL >Et_1A_007698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37311063:37316937:-1 gene:Et_1A_007698 transcript:Et_1A_007698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALVAIAASIGNLLQGWDNATIAGAVLYIKKEFKLESEPTMEGLIVAMSLIGATIITTFSGPVSDLIGRRPMLILSSVLYFISSLIMLWAPNVYILLLARLIDGFGIGLAVTLVPLYISETAPSEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPSPDWRIMLGVLAVPSLFFFGLTIFFLPESPRWLVSKGRMAEAKEVLKRLRGKEDVSGEMALLVEGLEVGGDTSIEEYIIGPAAEPTDDHVADGDKEQITLYGPEEGQSWIARPSKGPSFLGSVLSLTSRHGSMVNQSVPLMDPIVTLFGSVHENMPHAGGSMRSTLFPNFGSMFSVTDQHPKTEQWDEENLHRDDEEYASDGAAGDYEDNVHSPLLSRETTSMEGKDIAHPGHRGSALNMRRRSLLDEGGEVASSTGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHQEGVPGSRRGSILSLPGGGDAPEGGEFVHAAALVSQSALYSKDITEQRMAGPATMHPSEVAAKGPSWRDLFEPGVRRALLVGVGIQILQQFAGINGVLYYTPQILEQAGVAVLLSNLGLSSASASILISSLTTLLMLPSIGLAMRLMDISGRRFLLLGTIPILIASLIVLVLSNVIDLGTVTHAALSTVSVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWIGDIIVTYSLPVMLNAIGLAGVFGIYAVVCAIAFVFVFLKVPETKGMPLELVQGKRLQRPNIIGTLLATFVPELSVSAPPPSDTSLSLPSESTSPRAERSAELWPSCRVSSIESMDPASECLSLVSEKLSTSEAAPLPKLATFPRDVMPGEEDECFNWESAFVDFNRE >Et_6A_047020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24182296:24187732:1 gene:Et_6A_047020 transcript:Et_6A_047020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAPSSSLPPSVSAKTANPSPRLLPLAHKAASAAAPRPLLLSLPLHAPARRRTACLRAAAAQKSSSEYQFEDEEEEEEGDFEYEDDDEEWEDEEDDDVDVEAMEEEARAAADELTKSLARELHIDDDVKEKRKNSRDRISAYKQIPDNLLPKVAIIGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSYWGDQEFMVIDTGGVITLSKSQAGVMEELAVTTTVGMDGIPLATREAAIARMPSMIEKQAVAAVEEAVVILFIAGLVAADIEIADWLRRNYADKCVILAVNKCESPKKGQMQALDFWSLGFTPLPISAITGTGTGDLLDLVCTELKKFEGLNGAEEEKSDVPAISIVGRPNVGKSSILNALVGEDRTIVSPVSGTTRDAIDTEFTTADGQKYKLIDTAGIRRRAAVAAAGSTTESLSVKRAFRAIRRSDVVALVIEALACITEQDYKIAERIEKEGKACVIVVNKWDTIPNKNHESTKFYEQDVREKLRILDWAPIVYCSATNGTSVEKIISAAALVEKERSRRLGTSILNQVVREAIAFKPPPRTRGGKRGRVYYTTQAAVGPPTFVFFVNDAKLFPETYRRYMEKKLRTDAGYPGTPIRLLWRSRRRPDKRGKSADSNFQAGNPSRMVVAA >Et_4B_036004.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12067208:12069906:1 gene:Et_4B_036004 transcript:Et_4B_036004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKKGRSDAGESRGGGGDAPPSVQLEIMEHHGGGGGGLGTGGGSTSFFEPWREQTPGSGSGHGSSGSSGAREPPEKRLTLFALRLAVLEKAASGIGKLDFVWATVVLLGGFASSLHITDFWCVTVILVGEGARVFSRSHELEWQHHSTSTSTAGGALRSSSRFFRRVVHALVDPAKAVVADTGDGRAAQFQRQVVALLKQRTWPAAEVPLLPYTGWVFVSKKIGRLLNWLQVLSAFACVALSLMRLWRHNNFDASGGDNNSNDNMRPALLLFYTLALIEASLFLLEKAYWTWKLSVRKLLHQVSADCDLGAYGLVSLTRFFYDAYSRCIAGSIFDGIKMDLVTFAEELILSDFLDEQLIGVRILERFASNRRSERDTLRKVGTSHRAIERLVEMLNWKRPDEEEVRRCAAEVVSKLASKSQNVLRVSAIPGSIESVMSLLYTGRSTAPTVLSGTHPQQNGDHEAATHGGYDYLPFNLLGLHILKRLARDHDNCGKIGNARGLLAKIIEFTHASPELLGNPYASELQVRAVKRALQLVKMLVYATGNTGRALRRDVAENVFSVGSLRGILQHGHQHRDLQKLATDVLTGLAMDEKGTDAIVGTGGVVKLLLSIFSSSEETELGREAGEALAMLALESPSGCAAILKRADVIDILVSALRAGDARRLNAARVLRNLCAYSGPEHRERLRAATKAFPAVLNATMTESDKILEVSVGLMNEICKFVDGDRFGAELRASAVEERAYVERLASVLRHFKSPEIRVPRMRRFVVQQLIWLMTSGSAAGRYVELLREVGMERLLENVADTTSELECYHVFSGSVGIGKHREN >Et_4B_037361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1988023:1989041:1 gene:Et_4B_037361 transcript:Et_4B_037361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISSLMLQGGWRKGPWTALEDRLLTEYVQQHGEGSWNSVAKLTGLRRSGKSCRLRWVNYLRPDLKRGKITPDEETVILQLHAMLGNSGGPVPVPEQYCAIPADDDALLWDSLWRLVDGDSCSGGEGSSGGEY >Et_9B_064761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18386373:18390124:1 gene:Et_9B_064761 transcript:Et_9B_064761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRIGNKFRVGRKLGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGKRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDMESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISERKIATSTEALCRGYPTEFASYFHYCRSLRFEDAPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQMTSAPPRAIAPAVGQSSGMAPIANNNRHSATEEGRRSGWSDMDPTRRHVPPPAINAGSLAKQKSPVRLEQSTSKNAVFSSSTFLGRSSGSSRRPAVSSSRELSTEADQTRSRTTDASPGAFQRSGAPRWSPQMPDSSDGRRSSSGRHHSSNTKNYESTIRGIQGLNFDGDDRVHY >Et_7B_055425.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:10189518:10192397:1 gene:Et_7B_055425 transcript:Et_7B_055425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRLPGASKPATAMATAALSFPPIPCRSPPLTPPSASPPIPISVSLKRLCKEGNLRDALHLLTSGAHGRRRPPPPEHYGWVLDLVAARRAAQEGRQVHAHALATGSLDEDGDGFLATKLVFMYGRCGRVEDARRLFDGMPARTVFSWNALVGAYLSSGSAGDAVRVYRAMRASEAQGAAPDGCTLASVLKACGVEGDARCGREVHGLAAKTGLDRNTLVANALIGMYAKCDMLDSALRVFEWLQDGRDVTSWNSLITGCVQNGRIHEALGLFRCMQSAGFGMTSYTAVGVLQVCAELALLHLGRELHAALLKCGTELSIQYNALLVMYAKCGRVDSALRVFRQIGEKDYISWNSMLSCYIQNGLYAEAIGFFGEMLRHGFQPDNACVVSLSSALGHLGRLNNGKEVHAYAIKQKLHADLQVGNTLMDMYIKCQAVECSARIFDSMRIKDHVSWTTILACYAQSSRHFEAVELFRGVQQQGIKVDAMMIGSILEACSGLKSLSLLKQVHSFAIRNGLLDLILNNRMIDIYGECGEFTRSLNIFQRVENKDIVTWTSMINCCANNGLLNEAVSLFAEMQKANIQPDYVALVSILGAIAGMSTLKKGKQVHGFLLRRNFPMEGPVVSSLVDMYSGCGSMKYATRVFEGMKYRDLVLWTAMINATGTHGHGKQTIDLFERMLQAGLAPDHVSFLALLHACSHSKLVDEGKYYLDMMMNKYRLKPWQEHYACVVDILGRSGRTEEAYKFIQTMPMEPKSVVWCALLGACCVHKNHELAEVAATKLLELEPDNPGNYILVSNVFAEMGKWNNVRDIRTRMADRGLRKDPACSWIEIGNNVHTFTARDHSHRDSQVIHLKLAEITEKLRKEGRYIEDTRFVLHDVSEEEKIDMLHKHSERLAIAFGLISTRPGTPLRIAKNLRVCGDCHKFTKLVSKLFEREIVVRDSNRFHHFIGGSCSCGDFW >Et_9B_065561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:692965:699043:-1 gene:Et_9B_065561 transcript:Et_9B_065561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILASMAVGPLVSMVKEKASSYLLEQYKVMEGMEEQHEVLKRKLPAILDVIADAEMQAAEHREGAKAWLEAVRKVAYQANDVVDEFKYEALRRKAKKEGHYRKLGMHVIKLFPSHNRIVFRYRMGNKLRMILQAIEVLITEMNAFRFKFRPQQQLSMKWRETDSNIVDPMEIANETGKSPLDSLRGVVSGKRYLLVLDDVWNRDASQWEKLKSSLQHGGMGSSVLTTTRDETIARFMGRSSSLQTLLCSVYGTKELQDLPKCKFLRALRTQVYSSLKPKYLHHLRYLDLSESSMEALPEDLSILYHLQTLNLTGCWRLAQLPKGMKYMNALRHLYTHGCPELKMMPPELGRLTSLQTLTCFVAGTTDSSCCNLGELRLLDLGGYLEVCGLENVTESDAKVANLGDKERLAELMLRWTTDHNRAAQHHDKVLEGLKPNHGIKVLKIDSYGGSTFPTWINTLQHMVELVLSNCNKLEKLPPLWRLPALRVLHMRGMESLHCLCEADPPFIFEKLKELNLYKLPNMKMWWDLNEVQGQEPMFPEVEKLSIVGCKELTALPRASVITESSDSGGVSTVWLSAFPALKELELDGLPTFRWKAAEGTVEEQITFPLLEKLIIESCPELINLPEAPKLSVLKAKGISQQILLHAARYIPSLSTMELSVIDGETTLPVDHSLIKLIDDKEKWNKKSSLRLIKLWKCNFLLTQSRAPALWSCLVQLEELRIFNCNALVHWPENVFQLLVSLRELVINACDKLTGCTQASEQSTPEQSVLPPCLESLHIWECASLVEVSTLPASLRELDIEDCDKLESIVIRKQQNIRLGSGDGVLRQEKSAPIPAGSCSESAATPNVPEIPTRSPPIRELWIDDCSNLQSLSVQLDCTQLFIVDCNMLKSVDYYLPSLEHLTLWDCKNLESIPSGPQPYSSLRYLKIISCPGRQLVNSRYLEIGVETAKPWKPEHVAFD >Et_2B_021910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6843535:6852902:1 gene:Et_2B_021910 transcript:Et_2B_021910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCSLIRQVAEPEPSKPSDRHTVFYYGEHNFTVSFFWAPFLVRYEQVVEDGPALGVWNLYLDEPDAVWAAHVAAFDYVVVSASTWFYRPATLYEAGRRVGCQYCSLPNVTDLTLQYSLRMATRAALRALDGADGRFRGTAVLRTVTPSQYEGGEWNKGGDCVRTRPSRRGEKEMQGVELDFHTMQVEELAAAKEAAKATGGTVKMILMDTTEAMILRADAHPSKYRGYYKAEKNSLKFNDCVHWCLPGAIDAWNDMLLHMSASSVPVLLALIFVTGSALSLVFLGPFDKWPPIASFLGGGGDTRGEWVREPNAAPYYTNATCAFIEDYQNCMKHGRPSLEFLRWRWRPAAGGDLDRFDAARFFALVRGRSILFVGDSLASSHVRSLVCALSQAAGESPARDARDAAGFERWRFPAHDFAVSFFWTPFLAVGPERQGEVFAGTSDLHLDEPDARWTSALAAHDYVVVSASHWFARPAVYYRAGRAVGCHACGDRNLTAALVKPEHAQRAAFRTVLRALAGTEGGFKGTAILRTVAPTHYENGGWFDGGECTATQPADPEDPVEMAEPEAEFYRAQVEEFRAAEATARRNRVRLRLMDVTHMMLRRPDGHPDRYGHGPGEHEGFDIDCLHWCLPGPIDVWNELLLHILAG >Et_3B_030973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9567169:9575016:1 gene:Et_3B_030973 transcript:Et_3B_030973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATVEEQMVVKAIREECPWESLPKRLQSTLQTKEEWHRRIMNYCIRKRLQWNTCFARRVCREGEYYEEMMRYLRRNLALYPYHLADYICRVQRISPFRYYCDLLFEVMKNEQPYDSIPNFTAADALRLTGVGRNEFIDIMNRCRSKKLMWKLNKSIAKEMLPTQPVDFPVESWWGVCLVNFTLEEFKKVSDEETATIDKICKEEANSYVLFDPKIIDGLYKRGLVYFDVPVYPDDRFKVSRLEGFVSNKEQSYEDPIEELLYNVFVVSSANATVAELAATLQADLYQLQVAASFACRLGWAVKLVDADSIVNDEGAHAFPSSILSDDEEGSNTSINSEKSGQQLISMESDRKLSGTAHVGFVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIAELCSDLASLEGKKFEGVLEEFANHAFSLRHFLECLQSGGVSATETTDTVAESKTPTSSLHDVDNATSHWAEVKIEGRVDDNHDEFPQNNQDASTGSRVMKNKRKYKVDILRCESLASLAPATLERLFLRDYDIIVSMVPLPSSSVLPGPSGPIHFGPPSYSSMTPWMKLVLYTAGHCGPVSAVFMKGLRFRLLPEPLAGCEKALIWSWDCSVVGGLGGKFEGSLVKGNLLLHCLNSMLKQSAVLVQPLSINDLNASGNLVTVDIPLPLQNNDESIASAVAQTNLPKEQVFNLTSVLKDLSSKFELSTLGYLRLLRLHRIDESDKCVPEDASYQWVPLGLEFGIPLFNPKLCERICERVVASRMLQREDLTEHCDVMQNVRRQLRELCNEYQPTGPIAKLFNKRGSSKDLPRVLINNISGKWSLSNEPSTPSSRGAPSEHDRLKFVGRQRCRTEVVSFDGSTVRSYALSPENNEAATKPFYGEESSQHGARTDQEETDSKDVVLPGVNLIFDGAELHPFDIAACLQARQPIWLIAEASAASSALP >Et_2A_016379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24041662:24048046:1 gene:Et_2A_016379 transcript:Et_2A_016379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGRAAAPVVPPPLLLLFLLLLGGRVSDAAKHVVVEEDDASAPAPPDYGAALSKSLLYFEAQRSGRLPHNQRVAWRGHSGLTDGLQQGVDLVGGYYDAGDHVKFGLPMAFTVTMLAWGAIDFAGDVEAAGEWGHALEAIKWGTDYFVKAHTEPFVYWAEVGDGDTDHYCWQRPEDMTTSRQAYRIDKDNPGSDLAGETAAALAAASIVFRRSNPHYSHLLLHHAQQLFEFGDRYRGTYDSSIAEVRSYYASVSGYQDELLWAALWLHRATGRDEYLRYAVDKADDFGGVGWAMTEFSWDVKYAGVQVLAAKLLLEGDPQASKHRAVLEQYKAKAEHYLCACLGRNGNGSDVDRSPGGMLYVRQWNNLQYVSSAAFLLTAYSHYLSSSTTTNNKLLRCPAEDAAPSELLALARSQADYILGRNPQRLSYMVGYGRRFPVRVHHRGASIVAHKSNSRFIGCMQGFDDWFGRGRANPNVLAGAIVGGPNCRDEFRDDRGNYMQTEACTYNTAPMVGVFARLRRLTTAAGSVGCRRGEAADAECR >Et_2B_021626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3719400:3723521:-1 gene:Et_2B_021626 transcript:Et_2B_021626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPRTARTAEDGNPAQDGVDTAILWRAVKDFRCVMCPPGLFARPCSSSGNTGAIADIGDGGSAGLHVACHTLNRSGSILSVSAAAVLIGKGNNLVCRSMGQSHCPEKSNVELGDLPEDVLHRVFSKLQLNEVAKTSVLSNKWRHIWTNSSKLSLDCIVICSGGRYFYDKQNYAKKYIDRVNTVLNQLHGKVVEELEVKVEFHSILVDHLNKWIDFAVSSHTRNLALDLSPYEFVGVEDRYMFPIELFDSASISRMKHVQLSCVSFRPLSPFRGFPNLKKLHLHLFDISRMDFEDMLSGCASLEWLSFIRCHLDDELKVKQPLSRLRYLRIAHCCLTRKISKLLYTMESDCLLTLVKQLETAELRLYGCTIEYVLNVLPNLLLRVQNITLQTSYLPLEAPLLVEDISSFSGLKFLRLLLHVSYSDSNNILSLASFLRASPLIEEFEMHFDASCSEGVGRGTLRSLPRWPYSYLKKVHITGFSGIKGQREFLMHIVENAPALKVVTIDPRKKWGLCRCNTSDFLASRNSVRRVLDGKILPSTEVQTTNLN >Et_4B_037719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22803955:22810605:1 gene:Et_4B_037719 transcript:Et_4B_037719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASLSLPVPCARAASLQVVARPASSLSFGGVRGLAPAPGGRRDRRWRAGVSSFSFLPPFLTGKGEKDAKKAERFKEELLAAIAPLERGAEATPEDKEGVEQIVRQLEAVNQAKEPLKSDLLNGKWELLYTTSTSILQPQRPKFLRPFGKIYQAINADTLRAQNMETWPYFNQVTANLVPLSSKRVAVKFDYFKIFSLIPIKAPGSGKGELEITYLDEELRVSRGDKGNLFVLKMVDPTYRVPFKVIEKAWYSFLSNCSVILYTEPASTLSIVLIKGKFVISVNLIMGSHSNTKDLDTKASVGLKRKKKKK >Et_1A_009263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35959112:35966177:-1 gene:Et_1A_009263 transcript:Et_1A_009263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGENQSSDNLLSSSDKCNEPEDLPVKERCFEQREALPGEPRCVVCGRYGEYICDQTDDDICSVECKTILLARLDAQTKPAIKAAKRVDLPFADESFCITDNNFPDIPTLAASRINALRSKLDITVKGVAVPDPIMCFSSCGLPEKLVHNLETAGYCMPTPVQMQVIPASICNRSLLVSADTGSGKTASFLIPIIALCSRKRSQQYTGKRGPLAIVLAPTRELCLQVEDQAKVLGKGLPFKTALVVGGDPLAQQIYRIDNGVEFIVGTPGRLIDLLMKHNIDLSDVSVFVLDEVDCLLEKGFRDQALQIFRALSDPQVMMFSATLHSEVEKMSNSLAKNVVQISCGSPSRPNKSVKQVVIWVGSKQKKQKLFEIMKSKQHFKPPAVVFVSSRVGADLLSEAITVATGLEVVSIHGEKTMNERRESLRKFLTGEASVIVSTGVLGRGMDLVKVRQVILFDMPNSIDEYVHQVGRASRMGDEGMAIVFVNDEDRRLFRDLVQFLKTAGAPIPRELANSKYTSSVSIGEAGLLLLSNSSIICSAILSSSSTVVAGDVVAADVECAAASVDAASLLSSTVMTQLESARAPARFCQTPMWDFSGSRRRQVRDGDGALQHLRSLALRIWDRLAAEELLPPLPRTSRPAAGSPVVLLCTGKLVLALRPLISTAASSYALAASSAVSKVPNHTLLLLHALQKVMKGLQEWVPDLRDPGSPVALPDSTKLLWLHHLSGMEVLCHGRTHKGGR >Et_10B_004195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18326757:18329401:1 gene:Et_10B_004195 transcript:Et_10B_004195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDLTKHLPRLCRVSLSLPPIVRTQLSVHATPACILVVHAATAERTWQPRLARGRLFFVPRGLTDPDKAGTLAGHATIRDALIMTLADLRSFAAKDEIFCLFEGVLDNLGRLSQQYGLSKGANEVLLVIEAYKTLRDRAPYPASFMLSQLTGSYAFVLFDKSTSSLLVASDPEGKVPLYWGITADGCVAFSDDIDMLKGSCGKSLAPFPQGCFYSNALGGLKCYENPKHKVTAVPANEEEICGATFKVESSTILTALH >Et_6B_050045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4665402:4670074:-1 gene:Et_6B_050045 transcript:Et_6B_050045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASTSSVPTCGDLPAAVSAFADAFVDYAVSGIFFPASASASAATSTPSPPPSPPTTFLPSPSRLVAIGDLHGDLPKSLAALRLAGLVAPYSAAAGGADAASASTSWAAGPTLAVQLGDILDRGGDELRLLYLLRRLSLSAEARGGALLPILGNHEVMNVSGDFRFATPQGFQEFSAWAGWYRAGLAIKRRCGSLEPQPRNPFLGVPRAFPGVKPEFWDGMRSRLAALRSDGPISRRFLADLPTVLVVGDSVFVHGGLLEANVEYGLERINAEVSEWIRGGGGANARSPEYVRGRDAVVWLRRFSDGYNCDCQRLEGVLGMIPGAKRMVMGHTIQTEGINAVCAAQAVRVDVGLSKGCGNGLPEVLEINGGGAEVRVITTPPAEAWQYRKQEAEKAAKAVKEKRGEVKDGLALLANKILLVPGE >Et_2B_022599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27437104:27439729:1 gene:Et_2B_022599 transcript:Et_2B_022599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAEIADAIGAMGIDNGMSGKLLPSDSLETCGQEHDALADGAHSGESEVINPSEEVEGEATSHSQDVKPRVPEGSQTPKVVKSQRQSPRSGDKSQARKSSPNPSYPKAPIARVSDPDLVDSSSSNGDSNANKKKAEKSSSRPVAKESSSLEDSKEKKKSHKASNQHSVKNYDAESNETVKPQRVGSTPAYGFSFKCDERAEKRRELEEKIHAQELEKSNMQAKSKETEEAELRRLRKSLNFKATPMPSFYKEPPPPKVELKKIPTTRARSPKLGRSKNTTSGTGGNASTPTRPARMSLDERASQNIVKKTPSANAVKKPQRKSLPKLPSEQTASLDIAASLSSAEELESSKAEADHVRVPIRAQVTPDEPGLSG >Et_3A_024905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25206112:25208702:1 gene:Et_3A_024905 transcript:Et_3A_024905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMEVNKNRWIEEWNAGRENLEFNFRWTRRSLAVVGLFGLAVPILVYKGIVREFVRSLPVSPSLNLLSSITVARLDLDRAGAGRRPRSVQFNGLIAISLVPIPTIL >Et_6B_048758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13374220:13374828:1 gene:Et_6B_048758 transcript:Et_6B_048758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVLLFRNDEGTIDDKEYAFYFHMSSLHGRLHFEKLFTSPCVFSKSCLCAIFDVDRRCTRNNRACTLQANDSDNASFFARPSALATTPWLSR >Et_3B_031104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15889579:15898960:1 gene:Et_3B_031104 transcript:Et_3B_031104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYILLEVSCWTITGERQAARIRTLYLKAILRQDIAFFDMELNTGQVVERMAGDTFLIQDAIGEKVGKSLQLLSTFVGGFIIAFVRGWLLALVMLSCIPPIVFAGAIVSRLMTTLSTRIQAKYGDAGNLVEQTLGAIRTVVSFSGEKKAIAMSLGQATPSITAFAEGQGAAYRMFKTIERQPDIDIYDTTGIILEDIKGDVELKDVCFSYPTRPEHLVFDCFSLQVPSGTTMALVGEWQWQVNRHQFGGKGLDTVVGERGTQLSGGQKQRIAIARAILRNPRILLLDEATSALDMESERVVQEALNRVMLERTTIIVAHRLSTVKNADVISVLQHGKMVEQGSHVELMKIPDSAYSQLIHLQETGQESESYIVEPDMTVTNGFGSRSRRSTSKGSSSFGHSGRHSFPAPLVRPDPMEFSGALDTEGETTAQVASARKKAPISRLFSLNKPEAFVLALGSITAAMHGVIFPIYGTLISTAIKVFYEPPEVLLKDSRFWASMFAVLEYFLFGLAGGKLVERVRSMTFQSIMRQEINWFDKPEHSSGSIGARLSTDALIVKRLVGDNLALNVQTVATVISGFTIAMVANWKLAMLITVVVPLVGFQGYAQMKFLRGLNKNAKLKYEEASQVATDAVGGIRTVASFCAEKKVMETYEKICESPKRQGIREGVVSGLGFGFSFLAFYLTYALCFYVGAKFVHQGTATFPEVFRVFFVLVLATSGISRTSALGADSNKANDAAVSIFEILDRKSKIDYSSEEGMTISSVRGHIDFQNVCFKYPLRPNVQIFKDLSMSIPSGKTVALVGESGSGKSTVIALLERFYDPDSGKILFDGVELQTLKVSWLRQQIGLVAQEPVLFNETIRTNIAYGKQAEASEEEIIAAAEAANAHQFISALPEGYKTIVGERGIQLSGGQKQRVAIARAIIKDPKVLLLDEATSALDAESERVVQEALDQVVVGRTTVVVAHRLSTIRGADIIVVLKNGSVVEKGRHEELMRIKDGTYAALVELSSSSA >Et_6A_045828.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:16897158:16897697:-1 gene:Et_6A_045828 transcript:Et_6A_045828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPDEAQLLGMLIKLTGAKNTIEVGMFTGYSLLATALALPHNGKVVAIDTNREWYKLGRPFIDRAGVAHKVDFREGPRWSASTSCSLTRATTARSTSRSWMRTSPTTRGTTSSCCVWCAWAASSCTTTRCGTARWCCPGRAPVRLQPAHLGGHPRPQPEAGMPAHHCRWCHLCRRLV >Et_2B_019243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19727687:19729123:1 gene:Et_2B_019243 transcript:Et_2B_019243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGREGKEERAEGAEPTRRLVLGKYELGRVLGQGTFAKVYYARAAAVAIKVIDKARLRRTEGMVEQLRREISIMRLVRHPNVVGIREVLASRSRVFVVMEYARGGELFAKVARGRLAEDHARRYFQQLVAAEGNLKVTDFGLAALPEQLRHDGLLHTQCGTPAYVAPEVLRKRGYDGARADLWSCGVVLYVLLCGFLPFQHDNYVKMYQKIFKAEYQVPPWVSGDARRLVARLLVVDPAKRASVGEIMRTPWFRKGFVPPVIPSPPASPKNVSPRTCNAFQLISSMSSGFDLSGLFESEQKAATVFTSRAPAAAVADKLESAGRALGFEVARGKGWKVRMEAKADGTSGGLAVTAEVMEVAPDVTVVEFAHEAGDELDFNRFCAVDVRPKLADIVWAWQGDAAAPPTTSTAAAAVVGCA >Et_7A_050841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11574394:11576764:1 gene:Et_7A_050841 transcript:Et_7A_050841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIGCGQLESPGKDEAEAEYHSHDFEWENLKAEVEADPALSYHLSPFPDPVGTTNSAPPQPSSEAWRSFHRRHASGKFFKERRYLLKEFPELLKSNDCSKVLEVGCGNGSTAVSVLRSSESTIVFACDCSKDTLQKASEIISNTKGIDIKDRFHPFLLDFSKETFPDWLFCNACQSSLGKTAEDLLDLSHRKREERPVFLRGNQYCVGGMDFITMIFTLSAIPFDKMPTAIKHCVSVLKPGGLLLFRDYGLYDMTMLRFLPHQRVGFREYIRSDGTFSYFFSLDTARELFHAAGLIELELEYCCVTSVNRKNGKTMQRVWVHGKFEKPTS >Et_5A_042229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7839721:7843040:-1 gene:Et_5A_042229 transcript:Et_5A_042229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLWMLLEIRDNFVVVTEEFKFVVTGATKHSKSSFLRLHNDNIPYIIGIRREVDCGELPPTNVELKQLLHVANNSPVEAAIRIKFFLILAEGHGRQHNVSPGQRVPNREVRQDGIVSVAALDQDAPLANVTDGPVVCFVLREVVDDGAVGNGAAGRSAGVGCLDGVETLGHIKIEESEEERWVAGAVAAEEGAVGGEAAEGDRRGGDAGEGGGAGDPDENLLHEVVGEGWELPRRRHCRQWRDLGTDERFDGFVFCGGAGKVWKAIPLFENSFFFDTMGLLFSCRLQTENPSVWEETAPSVAS >Et_10A_000526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12053272:12058139:-1 gene:Et_10A_000526 transcript:Et_10A_000526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAIVSAVVGEAISRVISLVIGNFSQQQSTEAKLQRTSRLLIRIHSAVEEAKSRQIENHGVLQWLAELIAAEYEGRYLLDTIGCSEHDQLVEDEKDCLCRASLPQASSTLSLVNPAKRVRVAASAMKSVLLRRAALGARTEIDRVLDTLQGASGDLAEFIMLLQGYHHPVRRPLATNIFIEGQMFGRHVEKERIVNFLLHDNGASSMEHQPGVLPVVGDIGVGKKTLVQHACNDHRVRSHFRVIMLFNISCTYMIATRDDATVILRPKHGLGDAKTSMKDPLQLIKRDFRNKRFLMVFEDVNMRKKKMLEELLQSLRCGTKGSKVIFTTNNRRVASIGTVEPIVLKVLPHSEYWFFFKAHAFAGTDVEQNPQLVAVGKEIARKLNGSFFGAKIVGGLLKDHPDPRFWCVEEQHWGSVVVGDGLAYSADLAKDLQPSHVDIRQVNISEDRYFSQTELARFQDLCKPRGSKATCLADDSVFARVVFVSVNQGMMNIQIETDSSELVRAVTTLEKSLVSLYFNRVEFFHWLSRGPDQPEIWLDPLPEFVSDLAIVSAVVGEAISRVISLVTGNFSQLQSTEAKLKRICRLLIRINSVVEEAKSRQIENPGVLQWLAELIAGEYEGRYLLDTIGCSERYLEDDEEEGCGKLSLPQSASSTLSLFNPAKRVRVAASAVKTAFSRRAALGAGDEIDRVLETLQGVSGDLAEFIMLLQGHQPIRRPLATNIFIDGQMFGRHVEKERIVNFLLQENGASSTDQFIGVLPVVGDIGVGKTTLVQHACDDPRVRSHFPVIMLFNFSCTYAIATQDEGTVFLRSKHVIGDAEMTMKDPWQLIKRDFGNKRFLMVFEDVDMRKKQMLEELLPGLRSGVKGSKVIFTTSNRRVASIGTVEPVVLKVLPCPEYWFFFKAHAFAGTDVEENPRLVAAGKAIARKLNGSFFGAKIVGGLLRDHPDPKFWCKVLRSNIGGLSFLGDGIGYIADLAENLLPSHVDICNVTVSKDRYSSQTDLATFQDLCERKATCLADDGPFARVLLCKSVWPFYNCYYLASCTIGSEDRCFQGLDVV >Et_10A_001113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21191532:21192486:1 gene:Et_10A_001113 transcript:Et_10A_001113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SFAAKDEIFCLFEGVLDNLGRLSQQYGLSKGANEVLLVIEAYKTLRDRAPYPASFMLSQLTGSYAFVLFDKSTSSLLVASDPEGKVPLYWGITADGCVAFSDDIDMLKGSCGKSLAPFPQGCFYSNALGGLKCYENPKHKVTAVPANEEEICGATFKVESSPILTALH >Et_6B_049114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18090231:18091610:-1 gene:Et_6B_049114 transcript:Et_6B_049114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPPSPSSSGLRSGLGMESPKRCVKFELLDVLDCISMPEPRCFYTHINFTARSSKIGSHEKVFFAELYHFTRRRVAITARSRKECSQKEPSVADRRLVRREFAVTCCEPLGPDSTVGRKLLKRDHTSVARKSADFTYCYGCTEIVSHPKG >Et_8A_057409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2823932:2830931:1 gene:Et_8A_057409 transcript:Et_8A_057409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDDVHVTVYEKDDCLGGHARTVAVDDDAGGGGTVHLDLGFMVFNRVTYPNMMEWFEGLGVEMEISDMSFSVSAQVGGSGSRCEWGSRNGIAGLFTQKSNALSPSFWRMIREILKFKDDTIKYLEDRENNPDMDRNETLGQFIQSHGYSQMFQEAYLIPICACIWSCPSQGVLGFSAFFVLSFCRNHHLLQLFGRPQWLTVKGRSHCYVSKVREELEGMGCQIKTSCEITSVSRVEGEGYRVTTSDGSEEVYDRVMFGVHAPDALKLLGDEATHEELRILGAFQYVYSDIYLHCDKSMMPQSSSAWSAWNFLGTTSKGVCVTYWLNLLQNIESTGRPFLVTLNPPHVPDNVLLKWCTSHPVPSVAAAKASLELHHIQGSRGIWFCGAYQGYGFHEDGLKAGKSAAQCLLGKESSLLVNPKQMVPSWTEAGARLLVSRFLNQYVSAGNLVMLEEGGTMFSFGEVGKKCHAKSVLRVHDPLFYWKVATEADLGLADAYINGYFSFVDKRDGLLNLFLILIANRDAQKSSSSSGSTRGWWTPLLLTAGVASAKYFLRHISRKNTVTQTRQNISQHYDLSNEFFSLFLDPSMTYSCAIFKTEDESLEAAQLRKVRLLIDKAKVERDHHVLEIGSGWGSLAIQLVKQTGCKYTGITLSVEQLKYAQRKVKEAGLEDHITFMLCDYRQIPSNRKYDRIISCEMIEGVGHEFMDDFFGCCESLLAQDGLFVLQFISIPEERYEEYRRSSDFIKEYIFPGGCLPSLARITSAMSASSRLCIEHLENIGYHYYTTLIRWRDNFMANKDEILALGFDEKFIRIWEYYFIYCAAGFKSRTLGNYQIVFSRPGNDKLSSDNPYASFPAANQTD >Et_2B_022287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1771093:1772373:1 gene:Et_2B_022287 transcript:Et_2B_022287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRHEARLKVFVRLNSTAFFSSLLIAVQLLDKHLRTRTEADARSFRFRLLYASIIVALLGLVSAYATGSSRETDTTIYVTLLVGAVPASILLFQVIRRRRENKTAAAAPPPATTPPPVSEEVVMNKQAQSLVLLLATLAATITYQAGLDPPGGLWENDGDDYKAGDPILLTTSPKRFKAFFYANSIGRFRGLLACHHPGQEEETQALQAAMILDLFGLIGAYAAGSSRDVSTSIYATALAGAVLVYVVIHVLFFTLDQSTTANDEKALEVVEKRRKRLLLFAILAATITYQAGLTPPGGFRTQDDKFGNHAGDPVLHYNSPPRYNAFFYCNSVSFMLSIAMIILLVNPNLYKPAIQSHAISVRTAVGMFSIVWVLMPLAAHSIWEPSSKSLG >Et_9B_065001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20361405:20366109:-1 gene:Et_9B_065001 transcript:Et_9B_065001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAADDSVDHRPAAKPAPASPSPSPAPASRTRLHGFTFPTLSWGTHRLLRCSKDGGAPASASPPPHPQTPSPHKEKAQGGAGGASQPPQRPWNLRARRSATVAPPAARSEQGAGKAVAWQAQPPLASPPPAEPKKRGFSVALTKAEIAEDFLAIRGARPPRRPKKRPRAVQRQLDMLYPGLSLADVTLDSYKIEEVRYRSSAWCVLNSFLQSWFLSSRSFSSCRGDWRHGGELHIGGDFQTFPAFAFFMRWDGQHLHPMIVLVHEVQEEASNGKGKEIYSSGKSPKPASDDGEGPASDALLREAVKARVGACGGAAAELQMAQMASASTHNASVN >Et_3B_031380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27695110:27697760:1 gene:Et_3B_031380 transcript:Et_3B_031380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRDIVSATAAIGALTRRGRHRDALALFSRVLADRISPNEFTFGTILRSATALRDLRAGTQLHACAAKLGLCSNVFVGSALVDHYAKMSAMREGRGALDDTREPNVVSYTALIAGLLKNGMSEDAVRVFRCMPERNVVSWNAMIGGCSQAGLSEEAVTLFLAMCREGVRPNQSTFPCLFTSVANAGALGVGRSVHASAIKFLGKLDVYVGNSLVSFYARCGSLEDSVLAFKKMKQKNLVSWNALICGYAQNGRGQEALDAYNAMRATGLKPNNVTLLGLLFGCNHAGLVDDGYALFKAAQMEQPGILKPEHYACVVDLLSRAKRFNDAQRFLEELPFEPGIGFWKALIGGCQIHWNRELAESVAKRIHMLDPKDTSSYILLSNVYSASGSWQSVSMIRKEIKEKGLKRITGCSWIEVQNKVHTPHNATHLGRGELGDGLGALGDGVLGELAGEDEADGGLDLAGGDGGLLVVAGELGGLAGELLEDVVDEGVHDGHGLGGDPNVRVHLLEHLEDVDLVGLDALLGLLLALLVAALLAGAGDALLGLGLLVALLLHRLLLGLGRHGSRTGEVDGGGWDWEAAG >Et_3A_025344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29087019:29087525:1 gene:Et_3A_025344 transcript:Et_3A_025344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKAVTRSNTVVQTPSSSSEGEIRVWRAVSASLSPQECYSAY >Et_3A_027042.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30402587:30403813:-1 gene:Et_3A_027042 transcript:Et_3A_027042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAEVPSHFLCPISLQLMRDPVTLPTGISYDRAAISRWLAAPAPAAGRACPVTRLPLAPEPQLTPNHTLRRLIHSWLASLSPGAEVDEDVAALRAPVSGEEVAALLSDAAAARVDALKRLREVVASCEDNRAALESQDGVFDALSRVVSSGDACTTAREEAVGVLASLRIPDQELVRVVASHGDNLAEALTAVLRSSNPKSRENAARLVRSLSDASWPAWVIGLSQELLAEVVRVVRDSVSTRATKAALHALSALCPYGRTRVKIVGAGAVAAVVDLLLDDPERRVCELALAVLDRLCTCAEGRAELVAHAAGLAVVGKKVLRVSEAATERAVRVLRSVARHAATPAVLQEMVQAGVVAKLCVALRAEQCGWRTRERAHEVLKLHSRVWRSSPCLSPKFLALYPS >Et_5A_041093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18263575:18277060:1 gene:Et_5A_041093 transcript:Et_5A_041093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQHERDKTQQCLMLGTPEANKKKHIMWWICWRLVAVLVVLFGLPVITATASHRPPTADRYSEALHKALLFFNAQKSGRLPKSNGIPWRGDSGLSDGSDAKDGLVGGYYDGGSNVKFHFPMAFSMTLLSWSVIEYGAKYKAVGQYDHVRELIKWGTDYLLLTFNSSASTIDKVYAQVTNNISYILTRQDAKTSTIAELFSAPDLGGEIAAALAAASIVFRKDNPAYSSKLVRGAATMYKFARRANTRTLYSSGQPDVEPYYKSTSYWDEYMWSAAWMYYATGDAGYLHFATDPRLRKNARSLVGDVVDLFVFSWDSKVPGAQLLLSRLRMFGRNRGGYPYEYEQSLAAYRNDTGDNMCMYLPRFAAFNFTKGGMAMFKFDKDKGQPLQYVVANSFVAALYADYLEASNITGWYCWSKFMSASDLRAFARSQLNYILGDNPKKMSYVVGVRNKYPRRLHHRGTSTPHNGIKYSCTGGYKWRDSRYADPNLLTGAIVGGPNRNDGFTDSRNGAGGQNEPTLAGNAGLVAALVAVTGSGRGAGASALDKSTMFTAVPHKFPHGKRWNYPSFAE >Et_9A_062605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24446472:24450116:1 gene:Et_9A_062605 transcript:Et_9A_062605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQQSVNAGKAKVDVNVDLTHMLCEALLLPPLRSSGMSFSQIVGRISLKHPSLFGRSEKLDVILDKGINDSNVVVAFRRPRPEWLSQQSFVFQHSMTPEIAVHGFPADNFTRSGSRGINLSRFSFGLELNEPATSNWTSGTSVKFEHIRPVNNQGRSIARDHDGFPLTSSGNLHDNMIILKQESGYADVNDNSFLKANFQMEQGLPLVPKSLTFNRVKCAVSKGIKLGPTFLVASLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGSGRLCLVANCEYTIPLAKHLEGSVFMDCGSDLGSARHVPGNPALRQGKPGFGVGFGYGLHFNTDLGQIRVDYAVNAFSRKTIYFGINGGGGS >Et_2B_019568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10258962:10261271:1 gene:Et_2B_019568 transcript:Et_2B_019568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVDKFCVPKFKLSFLGSVVGILDKLGLRLPFDRRRADLSDMVEDAAGTGEPVFVRDMFHWRSSSCQRVVEDDFCGMDDPEPPKRVDFVAEHPEVGTIVFAGQVLDPSRWLVATPAPTCQRSLIASRSSLPSPRRTPTGADGEKKPRNLVFSPLSVYTALSLAAAGAQGTTLSELLDVLGARSRKRLAENVRFMTERAFPDEPQPGGPRIAHACGLWHDARHKLKPAYRDAAAASCKGVALAPWTSSGSLGLQHVFDTGEADLSKMVQDKGKLALSQIVHKAVIEVNEEGTEAAAVTGIRLCGSSPRKLQMPVLVDFVADHPFAFFVIDEMSGAILFSGHVLEPNA >Et_4A_032062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14754925:14755593:1 gene:Et_4A_032062 transcript:Et_4A_032062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDNDSGGPSNAGGELSSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVDPLKHYLHKFREIEGERAAASSGAGAASSIQQQQQSAYAGYGGHGGAGAGMMMMMMGQPMYGGSTQQQPPQQQQQQQHQQHHMAMGGRGSYGHQGGAGGSSSSSGFGRQDRA >Et_8A_057305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23398106:23399815:1 gene:Et_8A_057305 transcript:Et_8A_057305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEGKRDLRMANDGKEIREDIWWRIHSLLPLIDAARVACVSHDFVWSWRLCPNLTLTTWTLNLYPMSGEVGEIRYFINVVDSILKNHSGDDSCYFDHVDNWPQIAVKPGIEDLSLILTANSAVYNFPCTLLSGGSGASLRSLDLRFCKFKPRVGFCLRSLTSIQLLGVHMEDDDLSSLLSSVPSVESLVLVSSHRIMCIRIPCLHKRRYLFVSFRRKIQLIESQAPNLSSMYFAGKLGVPCSRGSSSQQMETLHLVSDDTISYAYTELPSIMPNLRTLTIDSFREEASSVSMPTKFVHLKFLSIRTLCGPDYDLFSLMYFMLACPSLETFVLNVSLRPSYTNIVNRSAGTVSSTLVVHHLSGRSTGHTSLGFFRSLRAADNAEAPSHHDKLKSVRIETFYSAKMLVE >Et_3B_028013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31598909:31600239:1 gene:Et_3B_028013 transcript:Et_3B_028013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDEGSSSSVTSSPLHNFSNMPLHPSAAAPTPPWLMRELRSDERGLCLIHLLLNGAAALSGPVPVPPARRRRGQPVDPGGDGGREDRARGRPRRRGRHAVAGAPPPARRAARGPAAPAPHRRVRAQGRAHPDGHGAVERGGAPGRAVPVQPRGDPAGGAGRGVPPREDRRGAGHHVQPAAALPPRLRRRRGQDGSTAEPGVGAVAVDVARRRVPGRAVGPVAESGGGDGAGGEPQRGGADGALRGGAQLLRRALRLPRGRGAAGLRRARARRAVDARRGDQERRRLRRRRATGAARAARPVGATDGGRRIRPRAAQLLRAAAGAAGGAGPGMRRLQGAGGEGVLLPLLARPRHLLRLRMARPPIRLTHRSL >Et_4B_036944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12817910:12820337:-1 gene:Et_4B_036944 transcript:Et_4B_036944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVTYWMKKTESSILVRCIRCTVTRDSHGARAPPSFLLGLCVPRTRSRDVSPHHEHSARAPATRSSPLERHVHARQRTKQRPSVPSVHRLISISPSVLHFHSRPKKCLIPPPPAPRLTSGRRPTPRATTAARAASHPVAVKGSAALSVSVVLLALLVASLAVFLMSSSPHEEHGGKQMEGAAAGEGGKQRAGEPVERAVGDDVGIPGCNSRLDAFRTWARLTWMKLRQPHSDEPRYDDAAGSAGSVAGAAKKSFEMGKETVEQAAATAAKATGEAVEKTKEKARRAAVAADDDDDL >Et_5B_044386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23636483:23642576:1 gene:Et_5B_044386 transcript:Et_5B_044386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAALFRSASGFRRSPMSAAPFSTAAAASAAWLTDGPASTPPKVRLLIGGEFVESRADEHVDVTNPATQEVVSRIPLTTADEFRAAVDAAKTAFPGWRSTPVTARQRVMFKYQELIRANMDKLAENITTEQGKTLKDAWGDVFRGLEVVEHACGMGTLQMGEYVSNVSNGIDTYSIREPLGVCAGICPFNFPAMIPLWMFPVAVTCGNTFVLKPSEKDPGAAMMLAELAMEAGLPKGVLNIVHGTHDIVNNICDNEDIKAVSFVGSNTAGMHIYSRASAAGKRVQCNMGAKNHAIILPDADKDATVNALIAAGFGAAGQRCMALSTAVFVGGSEPWEDELVKRARGLVVNSGTVNDADLGPVISRQAKDRICKLVQSGVDSGARILLDGRDIVVPNFEDGNFVGPTILADVKSDMECYEEEIFGPVLLLMKAENLDDAIQIVNRNKYGNGASIFTTSGVNARKFQTEIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVQFFTQIKTITQQWKESPAQRVSLSMPTSQK >Et_10B_002585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17387467:17387992:1 gene:Et_10B_002585 transcript:Et_10B_002585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHFFHHHKKGEDEQAAAGGEYGTGVVVSTGEGEYDKYKKEEKEHKHKQHLGEAGAIAAGAFALYEKHEAKKDPEHAHKHKIEEEIAAAAAVGSGGFAFHEHHEKKKDHKEAEEAGGEKKHHLFG >Et_7B_054345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20144261:20145681:1 gene:Et_7B_054345 transcript:Et_7B_054345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEGRMTMIPCVTLNTGHAMPVLGLGTGSSRTPEDLAATLLHAVRLGYRHLDTASFYGTERAVGAAVADAVRSGAVASRADLFVTSKLWIADAHPDRVVPALRESLGRLGLDYLDLFLVHWPVALAADGSKSLVDFDMEGVWRGMEECHRLGLARSVGVSNFSAAKMSRLLACAAVPPAVNQVEMNVGWRQEKVRGVCAENGVVVTAFSPLGAHGSDWGSNAVMESGVLRDIADRRGKTVAQVALRWLHEQGVGFVARSFNKERLKQNMDIFNWELTEDDKEMIMQIPQRRACQGEFFVSPNGPYKSLEELWDGEI >Et_7A_052386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7522570:7523632:1 gene:Et_7A_052386 transcript:Et_7A_052386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERADDLGLSLSLSSSLAPRTHHVAMLLRSPEKRFLEMPLLPAKRSEVAAEDGLRGGSDEEDGGCGIDGSRKKLRLSKDQSAVLEDSFREHPTLNPRQKAALAQQLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQKEVQELRALKLVSPHLYMHMSPPTTLTMCPSCERVSSANSAAAAADRRAAGSIVATAAAGGDGAVCHRPIAVRPPQQQSLASS >Et_2B_018927.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17316626:17318436:1 gene:Et_2B_018927 transcript:Et_2B_018927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKAAIFITAVLAVLSSPALAQKSSPPAPAPVSLPPSTSPAPAPAPHYVDLAQLLSVAGPFHTFLNYLEKTNVIETFQSQANRTDEGITIFVPKDSAFAALKKSTFSNLTADQLKTLLLYHAFPRFYALSEFRNLSALNPVSTFAGSPYTLNLTDDMGTISVESMWSKPKISSSVYATDPVAIYALNNVLLPMQIFTKEPPLAPAPAPAPESGASDAPSPAAGKAGELAGKGDSSAAAYNAGVSVASCLVLAAAGCLMLMW >Et_7A_052253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6181413:6185143:1 gene:Et_7A_052253 transcript:Et_7A_052253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRRGLSRIDGGTVQRNKGYACGCTAKPSSQSARSLPPIMAPPPSSSLLRDLLAADGFKRNRGNKPPDNESAPASSRAASMPLQQHRRPGKPARSQSDVPDRSRLRNGGGVGVGSDDGSAGGVERRAATATRRSSASLTSARSYNNSNKGNNDSGGAGGARKSSAAAVPALDESALNALISLAAGSVKRFASDEGFRASLRAGCASCLGEPDHRAALDLRVLAQTVERAAREEEGLDPRDLKRASAKLHEMAAGGVPLYPRLAACAHVYMSVVSKLQKRDHSAAVHALEAFCLAPREARAALLPALWDRLFRAGLSHLRAWRDRELAAAASSDERIKQVEKTFADALDEGTRVLACYYRDWLLGRTDAMALPDVPAPPSTVHASSGLRFSTSTSYDVGSDVVFSSGSLSPAKVMSTGATGRSDEIEEEEEVRAKTVHLDSASPERDDGDARSFPPALPLEGNMLPVPNKLVKETSEPKVQPIKVPAASTSYPLISDISATEFLTPDEGPLQSDTDDLNQFAVFATVPSDFLCPLTRQIFNRPVTIETGHTFERHAMVQWLDRGFRVCPVTGQELESLSIPDTNRVLKRLIDNWKSEQFNNLNSESSGLEEKLTLAVMDKILSSARDMSEKLDKARRLMAVGGIDFLLRKFQERERGEKVQAAEHLLLCIQAEGSCRNYVAVRISGSSILQLLMSEVLSARRAAVCLLTELLCLRRREMFDLLFRGLGTELLMEAMDVLLEHIRSLPVEEQAPVAVLLLHFDALVANKSNNTYRDEAAKTITHSLRSCLSDDNVVPNTRKALLLLGWHFSFSGDLLAEDWMLKQAGFIDDARVTPINSDAVIVQDKGAAEHEAWLSTVTSVLLGGNARRPFLDALSKCLASPDADLVGACLTTAGWLTRSLASFDDATGTDAQLAAFSALVPRLKQCLATARPAPHRVLAAVSLHNFSRIPDCRALLMLLADALRDHLADLAGLTWTTRQLSAELQE >Et_4A_035576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:315951:316850:1 gene:Et_4A_035576 transcript:Et_4A_035576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLCRRRWWRRRCTEDDVGLTEKEARRRLRFHGPNVVVLSHHDSMLRQFLMALLLPWGWIVSSPIASLAITSAALRSCKVAIICKLVLKYAKAPLESKAYAPRAKVLRD >Et_6B_049418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4599135:4600387:1 gene:Et_6B_049418 transcript:Et_6B_049418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELKTFRAHDKQICCLDIHPSEPYMLSSSSFDETVKIWNWEMDWECVRTFHVKAGQVKFNSKDADYFACATRNGVKVWNIASSGSDDLTFTFGSSDVHRIDYLSRGDELYLITGNVDGSVEIWDWQSQSCLKTLKEHTRLVNTVRAHPDLPLFITGSRDGKVYVWNSSTFELEVKLNCGLGVVHAVAWLKGSN >Et_4B_038902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5846291:5849454:1 gene:Et_4B_038902 transcript:Et_4B_038902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSLLPSVLPAPASPCRLHLSASASPRHRRSYPPIRPLRAARRRHPDAVVVSPDARPWVGDLSGAAASYRDSGQEDDDDSENDEEEEDARSVDLLVRFLHSVFRKASRRARRAARSVLPPSVPAELVKFSVNGVLVLTFLWIMKGLLEVVCTFGSMVFTSILLVRGIWSGVTYIRENRYSYIRQIDNDDNQWSRVQTAG >Et_4B_037777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23187223:23190414:-1 gene:Et_4B_037777 transcript:Et_4B_037777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLADLVNLDLSDCTDKIIAEYIWVGGTGMDVRSKARTLSGPVDDPSKLPKWNFDGSSTGQATGDDSEVILRPQAIFRDPFRKGKNVLVMCDCYAPNGEPIPSNKRYGAAKIFNHPDVKAEEPWFGIEQEYTLLQKDINWPVGWPLGGYPGPQGPYYCAAGADKTYGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPSVGVSAGDEIWVARYILERITEIAGVVVSFDPKPIPGDWNGAGAHTNHSTKSMRCEGGYEVIKKAIKKLGMRHREHIAAYGDGNERRLTGRHETADINTFNWGVANRGASVRVGRDTEKQGKGYFEDRRPASNMDPYVVTALIAETTILWEPSHSNGVVAP >Et_2B_020286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18635744:18636831:-1 gene:Et_2B_020286 transcript:Et_2B_020286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSFPIIDMELLGGEQRPAAMEMLRDACENWGFFQTLNHGISTELMDEVEKMTKGHYKRVREQRFLEFASRALKADGSAAQGAVKAEDLDWESTFFVRHLPESNIAEIPDLDDEYRAAMKRFAGELEKLAERLLDLLCDNLGLEKGYLTRAFRGPSGAPTFGTKVSSYPPCPRPDLVNGLRAHTDAGGIILLFQDAQVGGLQLLKDGEWVDVPPMRHSVVVNLGDQLEVITNGRYKSVMHRVLAQPDGNRMSIASFYNPGGDAVIFPAPALVKAEEAAGAYPKFVFDDYMALYVRHKFEAKEPRFQAFKSMETDSSNRIAIA >Et_9A_061406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11130109:11131103:1 gene:Et_9A_061406 transcript:Et_9A_061406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGPAIEAAGTDSCLLAREAFSARGGFGCKRGAQDIGASRINGENRRDFLVGLLTILDWNKFRAATLICASVSARTRTCFLQCWVWTPRALEIEGKGPEALYELVKICRIHTCFHRR >Et_7A_052937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2882317:2884644:-1 gene:Et_7A_052937 transcript:Et_7A_052937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVAVASVIAAVGVVAVIGTIAAVTSSKKGSEANGGAMSAGVKLGALCSSTLYPAKCEESLKPVVNETASPEDILRAALNVAMDEVAAAFERSAHIGKDASGNVTKSAMEVCKKLLEDAREDLRDMARLKPEEAVGHVKDLRVWLSGVMTYIYTCADGFDKPELKEAMDKVLQNSTELSSNALAIITRLGELLPPDEAKKLTNASSFTPAGGPTRRLLGWQMSDESAAEEESGGRRLLGVDEEDKMKEIAAVTDASRKLLAETVDEVSAMSRDANRRLLGLFSEVSNSSDPMGRRRLLSMSSTDNDDKDAATDHDDRRRLLSIQADSIADMSAEVNRHLLAAEVPDELAGRRHLLSTTLMQIVDMASGVKGQLDAMNKTTDPNNHRVLTTDLVGAFDEIDDGRSGVPGSDLPEWMPAHQRRLLQMPGLQKPNVVVAQDGSGNFKTINEAVNGIPKDFKGRYAIYVKAGDYKEYVTMPKDIANVFMYGDGPTKTRVIGDRSNAGGFATMATRTFSAEGNGFICKSMGFVNTAGPEAHQAVALHVQGDMSVFFNCRFEAYQDTLYVHANRQFFRNCEVLGTVDFIFGNSAALFQNCLMTVRKPMDSQANMVTAHGRTDPNMPTGIVLQACRIVPEEALFPARLQIASYLGRPWKEFARTVVMESTIGDLIKPEGWAEWMGNFALKTLYYAEYANTGPGAGTSKRVAWPGYRGVIGQAEATHFTPGVFLDGMTWLKTTGVQNVMGFTK >Et_5B_045145.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:10036933:10038669:1 gene:Et_5B_045145 transcript:Et_5B_045145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGAAIRHCRHLSTDLAAAAATKLHNLPSYRLRHASVPAARAAVSEYLHSTRGLPACHADSIAAHSPRTLLAFLASLPGMPSTLPTAELQGHFRRHLAFNPLNELPFFLESIGAPPHAAAPRSDLMFLADHPNLLDAVAALTHFGFPWSRLGVLFPAVLLGVSPDLISARLAALEARLDRLPRAAIIASCLTFPSLLEGELSDGDPLVKDLGTAFRSLGPDLDSSNDIDAFSGVCRRMTIFYDAGAEVGTIGDLVGGSRRVFLELNEQRIGQRLAFFKELGMSVKELGRLLLTNAWIFDLDFSEMVISVPEYLQRVGLAKDEIDVAVKKYPYVVGKNRLENLPGILRAMGLEHQFLEKISVGRENLRYLTQDFVLEDDSYDAEIERAFLDGIAKVKAEKKVEYVDNKLEFLKSIGYGENAIAAKLVRVMHSTQDLLQERFNSLLERGIEYKMLCRILSVSPKVINQGKEAINLKLDYLTVELGYSLEYLNFFPAFLCFDLENRVKPRYSMLRWLHNHGLLKKTLAPATVLANSEKRFISSLYLIHPAAPKVWLECFSSTKNMEYYLENLFRQHPDNK >Et_3A_024906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25209026:25213683:1 gene:Et_3A_024906 transcript:Et_3A_024906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHHRLLPRLLLLPSTHSSISTLLVPSRLAHRLSLSTRTSAFSHLAAPQPAPQGELRVKLPLDRLFLPPGASVDSGDKEAVSARVLKGSNIVLGPYARGDAQVVNADFVKSSVRPEDCPRDGLPEFALVGRSNVGKSSLLNSIVRRKRLALTSKKPGKTQCINHFKVNDSWYLVDLPGYGYASAPQEARTNWDEFTRNYFLKRETLVSVFLLIDASIPAKKIDLDYASWLGQNKVPMTLVFTKCDKRKKKKNGGRRPEENVETFQSLIREYFEAAPPWIMTSSVTNQGRDEVLLHMSQLRNYWLKH >Et_8B_060865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9692922:9697817:1 gene:Et_8B_060865 transcript:Et_8B_060865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAFFLSASTLIPGSPCTRPFLQQHTQPSANSVKLLVQPPAPKGVAVARGVTEASQRPGRCASSSSSAAAAAAATATEVPSQMKAWVYDAYGDAGVLRLDAAAPVPAISDDQVLVKVLAAALNPVDAKRRAGKFQATDSPLPTVPGYDVAGVVVRVGGEVKSLKEGDEVYGMISEKPLDGPKQSGSLAEFTAVEERLLARKPVGLGFAEAAGLPLAVLTADEGLRRAGIGEAAAGKSVLVLGGAGGVGSLAIQVGWCALHSAASVSSELELAADTLAKHVYGASKVAATASTPKLDLLKSLGADVAIDYTKENFEDLPDKYDVVFDAVGQGEKAVKVVKEGGSVVVLTGAVTPPGFRFVVTSDGSVLEKLNPYLESGKLKPVIDPKGPFPFSQVVEAFSYLETGRATGKVAAISEQTMS >Et_10B_002883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1369960:1373450:1 gene:Et_10B_002883 transcript:Et_10B_002883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWLDNHLQDMEHRVKCMLLLLGEEADSFSKRAEMYYKRRPEVMTQVEEVYRAYRALADRYDIMSGELHKANHTIATAFPDQVQYAMLEEEDDNIPKAFTPVDPRKIRKSTLDGLMKKKKKGEHTGRMARGAKNNTLDQINKENAHEEINRLQKSILVKQTEKEFIKSSYESGIAKYWDLEKEINEMQEQVCQVQDKFDESAVIEDDEARALMTATALKSCEDTIIKLQEQRKSSTAQAIGESERIKVLREKINNIMNQHGKSLQDPPDFTDIYTQTNHIAEMENVYNIKQGEIEMQVVIDKIKEHFEKDHDNSMAEITEKIDEVVNKVVDLELMVSSQTSQINRLDRENNELENYLQSLEEDGKTLSDDSSGLNEKVEQIQEGLIRVHTLESSFHRDESTLHSNFIETIRRFSELSELLQSSVSEQGGSSISRDLSHDASTTESSEPAPNECSDAKEEVHEVVDEPHVESIESTPGDANTNNHSDKAVSIAINESTEVHEVVHEPLVESIKSTPGDANINNHSDKTVSISINESIDSSSESNIHQSETEHDRISRERGSLARLRHISSGNLGGCDDEEVINKEGSLCLSDGITNMGKLQERLINSLEDKDKVLLAEYTLLLQDYKDTKRRLTEVVKKNDEFQDELRSLREELSKFARVENEGSHNDGHMRSASICPRPGHRRTPSYSSVHQRRPSLASISRLIRLGSTIQQGDVGSGKSNPNSNDSVVATNQGGVNFNPDDLKLPMIAEAENVSPLEEKFRRDIDTLLDENLEFWMKFSSSLQRVQEFRNKHENLQSTIKKLLIKKEEGKQDGSTKHHDPSAAATEKELRALKTELQVWSEQNAMLRGELQCRFTSLCDIQEEITAALDMDSDVQVDEPQFTSYQAAKFQGEVLNMQQENNRVSDELQAGLDHVKALQAEIEQALAKLHRSVSLSSQPSEKEQDDGHGNIGRVSSNKSAKVPLQSFLFPTKPKKTSLLARVTPVLQKQQAEMKFLAKLPR >Et_3B_028826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19590250:19594824:1 gene:Et_3B_028826 transcript:Et_3B_028826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSGRRWKQQPFRVGGIGVGIGLGLVSARLTGTTPGEGSARGPTPAEVEVELRRLVVEGRDTGVTFDDFPYYISEELRLALTSTAYPYLNQTVLPDRIPVLADASRTILLCSISEPCLHSLAKALAHQFDARLLALDVLALATRIHHKFGVTNARAEMPEKSLSDSTLDRVCDWIESFNFMRKKTEPTGSSHNEKGLLDLRTSDCRHKDTSSVRVQVSLLPPAKDDDDSDSSEEYENIPPSWNVDEKILMQSLYKIIVSISEDSPFILYIKNVNLFLAYSERAYSMFQKMLNKLSGRVLIIGSQFLNGDQNSDDVDEDVSHLFPYILQTKPPKEEAQLEKWKTQMETDLAKMEAEDFTRMVTDVLSANNLECDDLSAIPRNDYVAIETNLFDIVPPAVSYHLMNDKDPEYKNGKLIISSTSLCHGLRIFQESNLGKDTVEKKDESKQVKDNEYEKRIRESVIPAGQIGVTFDDIGALDDIKESLSCCHFNVLIYSNGGLLKPCRGILLFGPSGTGKTMLAKAIADEAGASFINISFSSFASKWYGDGEKSIRALFSLAEKISPAIIFLDKVDSMLGTRTSSSEHEVSRRIKTEFMTHWDGLLSKSNEKVLVLAATNRPFDLDEAIVRRFEHRIMVGLPTLESRELILKKLLSKEKVENIDFKELARLTEGYSGSDLKNLCVTAAYHPVRELLQKEKEKKDKVKDKQAESSQKGGKDKVKGEQAEKPPKQGSGKEKVKSEKQKADKVAEGETEGAIALRPLNMEDLKHAKDQISASCASEGPAMKEIKQWNELYGKGGSRKKQHLTYFL >Et_1B_011920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27169458:27170129:-1 gene:Et_1B_011920 transcript:Et_1B_011920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSVALWKEKLYADGGSRTVRREVAGVNGGGCEYEILGGDVTEVEEKVVVVAAPAAAPMGVKRGAEGAAVSGGTPSRPIWQRKVLMGVRCQLPRFSGMILYDESGRPVCSGVRDRARDQEKHAAAISVLRDML >Et_8B_058666.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:15258784:15258918:-1 gene:Et_8B_058666 transcript:Et_8B_058666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPLRPLTPTICQDHPRGLAVALSPQVQRRRQRYPPTVTPLGW >Et_4A_035796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6094646:6099701:-1 gene:Et_4A_035796 transcript:Et_4A_035796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPRPSLLQALAPPPFFRRATVPVRRTLSATAAEAAGTASPGDGAAAPGPPRRNARKAAAPPREIVRTALFLPPGVGRDVAVAPEMVIPGSNIVAGPYAGDAKVKEAEYVKSSASARDCPKDDRPEFAVLGRSNVGKSSLINSLTRRKEAALTSKKPAASQSARMDWSSFTKGYFLNRDTLVGVLLLIDASIPPTKIDLDCANWLGRNDIGFTFVFTKCDKVKKGKGGRPEENIKVFQDTISELYSEPPPWIMTSSVTGLGRDGLLLHMSQLRNFWDNESV >Et_5B_043723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14873174:14876612:1 gene:Et_5B_043723 transcript:Et_5B_043723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGDPVAVVRAKQLKVLMPPSFHQLRISDELAGCSTPPPAKGPRPYPFGKVWCVEVGRDGDGAFLGRGWAKFLAAHGIGVGWFVVLRHEGGGAVTVKAFDTSFCIKEFGAPAAVMAPRNSKEVSYKPQFIRITHQDFMEKMIIPGKFLKHYVSEGYLNSRMVVLVSPLGKFWNMVFKFKVFGLDGGQKYLKNRDTEILQNTLKQHESPCPMRKLGSNSERSSYEGKKRPKSSMTTLNKTPRKESDYHIGPPSWIKKEITLYMLQRLLSLSVKFCHSVGFQAACPITLKTEMESTKSWHVRGLAYEKVCYLLGEGWVTFCQDNKITKGDICTFNVMGTTLWHVIITRYSQEEKESPCSFSREGESKNGMPISGGDNQPKGSMSVLNKASSTYTKSIYEIGPPSWIQKKITPNSLKQYHLCLAHDFFYAIGLREPSTLIKFKTSINSARSWQVCGLMKKDDSYYLGSGWKKFVEEHKLKVGDVCTFNIVEARAQEIKQLSQEHWWF >Et_5B_043868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1735701:1740048:1 gene:Et_5B_043868 transcript:Et_5B_043868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLAIPALCSLGTQLTSAPMSTKHSCQCACVRVAGGHGPEQALSAETEQTAEGEAPRFRWDAFGSELSESQKQAIRGLSPKLPNRCKALMTRVVCLSPGDENLVALLVFWVKAMKPKRADWLLILKELKAMDSPLLTEALEHALQEDSFEANVRDYTKLIHIYGKQKLLQKAENAFHAMKSRGFPCDQVMLTALMDMYSKAGDLTRAKEIFQEIILLGLPLDKRAYGSMVMAYIRADMLDKAEDLIKEMEDQQIFAGKEVYKALLRTYSYKGDSDGAQRVFDAIQFAGIVPDTKLCALLVNAYCLSGWIDEATCVIRNMLNVGLKPCDKCVALVLGAYEKVNRLERALAFLTELEENGVEIGQEPSQLLAAWFTRLGIVHEVEQVLKDLSEDTSSKQKAALNRDKDNNNFRVLAIDLSAKDQAGAGRQSSTNARCMNAIEYCGTVLTLNRH >Et_4B_037562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21133863:21139268:1 gene:Et_4B_037562 transcript:Et_4B_037562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRLPAAAGIDPIAEEPPRARDGGPDQAALACAISAQASAVLAVMRLALRHARADDAAAEHPLVASLRALRRVAFSPAAFGAAGSPSALPAAALRPFLDAIRSEEAGAAVTSASLAAVHEVMALTGPALTGAALREVIDAVAGCRFEAVADPAAEETVLVRMLQTLLDCHRAPAAAALGDQHVCTSVNTCFRVVHQAAGKGELLQRFSRHTMHEIVRCVFARLPQIGSGDGPDTAVKPDMGGMDKNHPFGIRQTENGNGSYASETGTPDENSADVSGVVVEPYGVPCMVEIFNFLCSLLNFVEQIGLDEDMPLFALKLINSAIELGGSSFRKHPRLLYLVQDELFRNLMQFGLSMNPLILSMVCSIALNLYHHLRTELKLQLEAFFSCIILRLAQPRFGSTYHQQEVAMEALVDFCHQKNFMVEMYANLDCDITCRNVFEELANLLSKSAFPINCPLSSMHILALEGLIAVIQGMADRIGNATSRPELMPVELDEYTPFWTVKCENFSDPQHWVKFVRQRKYVKRRLMIGADHFNRDPKKGLEFLQGTHLLPEKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAQTFDFQEMNLDTALRLFLETFRLPGESQKIQRVLEAFSDRYYEQTPQAFANKDTALLLSYSIIMLNTDQHNMQVKKKMTEEDFIKNNRNINGGSDLPREMLSELYHSICRNEIKTTPEQGMGYFEMSPSRWIDLMRKSKSTSPYIVGDSQPFLDHDMFAVMSGPTIAAIAIVFDHSEHEEVLLTCVDGFLGVAKISAFHHLEDVLDDLVVSLCKFTTLLNTSLVEEPVTAFGDDLKARLATETLFTIANRYGDYIRTGWRNILDCILRLHKLGLLPARVASDAADDSELSSETVQGKAAPSAVPTSHIPVMGTPRKSSGLMGRFSQLLSLDSEEPRSQPTEQQLAAHQRTLQTIQKCRIDSIFTESKFLQQDSLLQLARALIWAAGRPQKVASSPDDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIASIVQSTVMPCALVEKAIFGLLRICQRLLPYKESLADELLRSLQLVLKLDARVADAYSENITQEVARLVKANAAYIKSPMGWRTVVLLLSVTARHPDASVVGFEAIMFIMSEGAHLSMANYGFCIETSRQFAESRVGSVDRSLRALDLMSDSVKSLAKWSQEIKEAGDEADKGLETIREMWLKLLQALKKLSLDQREEVRNHALASLQKCLTATEGICLQSASWSHAFDLVIFALLDDLLEISQNHSQKDYRNMEGSLVLAMKLVAKVHLQLLPDLFALSSFLKLWLGILSRMEKYIKIKIRGKRSDKLQEVIPELLKNILLVMKNKGILAKRSTIGGDSLWELTWLHVNNISTSLQSEVFPSQEYDQQGNAAEV >Et_4A_035811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6577942:6580669:-1 gene:Et_4A_035811 transcript:Et_4A_035811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGSLGIRNWGFYETVKGNLGLQLMSSVTSDRDTKPLLPNGGTFLQHHGHHNAPHQHHPQHSHHPRDCGGASGGMPNEPSSVHMDFVRNEAWLLPSQHQHQHPRQQKVLHHLPVGPVGHVGHPGHGGHVVHHHPTGYGMMTDTHGVHTLQMMQPQAQAQAQAQAQPQPQPQPHTQDPPSSKEESMQPPLIEDHSVVKNEPPVKKRQQNRQPKTPKPKKPKKVVAPREDGEPNRPASRGRGPRKAVGMVINGIDLDLSRIPTPVCSCTGTPQQCYRWGAGGWQSACCTTSISTYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAGEGYNLNNPIDLKTFWAKHGTNKFVTIRNHVLCDYNLC >Et_7B_055661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20091044:20115796:1 gene:Et_7B_055661 transcript:Et_7B_055661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGRSMNASSLVLRTNLAASADDATTTQMEPVQMDMSGPYRTESSWRVRCVSGPSRFRLPMTGQGLGPGGKLPNPGRLHRRSTVVLVGPSKPPQGASSINLSPFDKSMAGYSVTSFLVYELPIHQPAESIKMALSQALVQYYPIAGRLAMDADGKELTIACTNEGVSFVAARANSSLAEAKLVDSPLTSDLIKDLAISYGAGRCSHTDPLLSMQVTEFSCGGFVLGTTSNHVIADGQGMAQFMQAISEFARGLSQPSIAPIRCANSLTDVSPLLASSNKWLMSLSPVDMAYLDIAFPSSLISRTKAEFDKQSNVQPCTMFEATVALLWQCRTRAIISDPDTPMPLLFASNMRKHVGAKDGFYGNCFMMQAVFASSSEVAYSHINDVVKLIKVAKEKISEMCRAGSVIRQMDGAVAYNVFIVSSWRNFGLDSVDFSSGRPARVMCPGNQRSVPSSVVCPPIKDNDGVSVSTRCVKKEHIHAFLEEVARFCKITIDFLKTDGKIAKLFCKITLLLKTDAEAMFKVSVVVEVGDGASALFWVDTWLDNIPIQARAPHFFMAVRKHAAKTLAWEPPDLNWTKVNTDGAFDVNSSNGASGAVLRDDRGRIISAEARWYENIPDVITIETIAARDELLLAVAYGCQRVVLELDNLSLVESLNASTVDRSVVAGLWHEIQELGSSGVCKKKKTPNRTDLPIPIQRPHSPTLGCLLLEPQQQDGAEGEQGDTGGEVVVANSAAEEIDTDDLSASVSLTPAART >Et_5B_044240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21886876:21888345:1 gene:Et_5B_044240 transcript:Et_5B_044240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNMLNGTLPPSLGDIKSVSWIDLSYNSFVGQIPVILSSFTLLIHLDLSNNHLEGPIPIGGNTTATKLYGNLGLCANATTIFALDGFPICPEILARGTKKIEHLLVIVIPPITIALFSFLCFMVTLLKKGAQTTPCYKETMKKVSYGDILKATNWFSPINKISSSQTSSIYLGRFELDTDLVAIKLFHLDEHGSLNSFHMECEVLKNSRHRNLMKAVTLCSTVDSENNEFKAIIFDFMANGSLDMWVHPKLYQTTPTRRLSLGQRIRIAMDVASALDYMHNQLTPPLVHCDLKPANVLLDYDMTARVGDFGSAKFLTSGLDSPEGIVGTGGTIGYIAPEYGMGYKMSTGCDVYSFGVLLLEMFTGKRPTDTLFIDGMNLHKFVSSAYPDRLVEVLDPYMSQEGSLACADQLSMQSLQMRMVEVALSCSMESPKDRPVMQNVCAKIFAIKEAFLGSW >Et_4B_039967.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:6972318:6972704:1 gene:Et_4B_039967 transcript:Et_4B_039967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTFWPAHPPFVSCFTVHCPDLPITVFGNLPKIVSTEDDLVLLRVNLRPHGAHLDDDSNDYFIYQAVAQNNCPSLRLIPRAPIPEFSDGEPVLLRRRASNMFFIAILHRQLSNPLQYDLPPVQLRDR >Et_3A_026610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10433379:10449337:1 gene:Et_3A_026610 transcript:Et_3A_026610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSQRPPSAAPGAPHTRLTPAGSTPLFRTPCLVFHGAGDVPAASQTTATLRSLDADGGGDDDRRVHYRVVLPDPPFPTRFVMGSSHGWLATADERSDLLLVNPVTRAQVRLPPIGTLTNVTGNCIVMIIHEHDDLISFARVGDTRWSLLHAESSCKNYQDFFYNNEDELLYAIRTNYEVHTIDLHGPFPTVKVIFKANATVSPVEDYKYIVCAPWTDILQVSLYFVHVDEDDYVKLSKIVVYKMDFTEQNIVELRCYGSGANQLNVGNMETLFLPVGWCANN >Et_3A_023531.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33077634:33078272:-1 gene:Et_3A_023531 transcript:Et_3A_023531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHTAPAAANSKRMWSYLRAVFFMMRKGVLSNKRKLFISMHLLMKRRNKAVARTVANLLSHHHHHHHGNSNALRRRDYEFSCSNSPVDPASFSASRRRLAYFPCLGAVAEEDDYFPTTADVARIEYYAASPAPSSPGLLMRELAPGEDYLASPAPPGAFSVRVSNFSSEDEARGSDAVDDEAEEFIRRFYEQLRRQNQIAMLPYYLQEAAA >Et_9A_062456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23071233:23075686:-1 gene:Et_9A_062456 transcript:Et_9A_062456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LISSLEIDRRIDFGTDGRDTTVCEGFEGFMHNDERKESKSDADNSEGDKKTKIGSFKKKAINAGNKFRHSLRRRSKKKSENRPVSIEDIRDIKELQDVETFRQSLVDEDLLPQQHDDYHMMLRFLKARKFDVEKGKSMWSDMLRWRKEFGTDKIEEFDYSELDEVTKYYPQFYHGVDKEGRPVYIELIGKVDANKLVQVTSIDRYVRYHVKEFETCFKMRFPACSIAAKRHIDSCTTILDVQGVGFKNFSKSARELITRLQKIDSDNYPETLCRMYIINAGQGFKMLWSTIKSFLDPKTASKIHVLGNKYQHKLLEIIDECELPEFFGGKCKCDEHGGCQRSDKGPWKDSNIIKRVLNGEANYGRQIVTISSTDGISKIIGYARPQYPTKKGSDASAESGSEVEDVTSPTASRNLITNPNLTPSKLSAQASTSVSHAAVEENIPVIDKVVDDGWGSPRASLTASSSATFEGLRAQIITWLTVLIMSLFAMLCYIPSRMAKRISNQSVKHDDYHVEYPQEHEYKEEFRPPSPAPSYTEKDVLSSMLRRLGELEDKVQVLETKPSEMPFEKEEILNAAVRRVDALEAELISTKKALYDALMRQDELLAYIDNQELIKFRKKKFCF >Et_1A_007876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39113455:39123767:-1 gene:Et_1A_007876 transcript:Et_1A_007876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAPAGSASARSHGNAAAGSATAMMLPGPPGRGNGGCIDLSPAGLLAHGAGSSVVVTDPRSMQLLCVLPMPSSSLASFVTAVRWAPPAAPSLAGAAAGEGEDDDDDRRPLRLAAGDRHGRIAVWDARARAVLHWLNLDETRGVAPGSGGGVQDLCWIHHASGWLLASIHGPSLLCIWETSNNPRVLWMFDAAPEYLSCLRRDPFDARHLCALGLRGFLLSAIPRQDSDISLQEHRVTCGAGDVAELQKLEKELSAPAPAPALAAFPQFASRLCFSPLWRQILFITFPRELIVFDISYSTALSVTPLPRGFGKFSDVMADPDLDLLYCTHIDGKLSIWRRKEGEQVHLLCAVEELMPSIGTIVPPPAVLAATIWQSESIFRNIDKQCQDLAQTHFSHSVITDTNSDHNVYQGTTTYLMSISEDGKIWSWLLSFDKSPHSNKANLGRPSRSNAAIANTCSSGPDFAVKFAHISYAVQINLMGQLHLLSSTVTTLAVPSPSLLATVARGGNNPAPAVPLVALGTQNGTIEVIDVVANAVSVSFAVHSSTVRGLRWLGNSRLVSFSYNQVNDKTGGYNNKLVITCLRSGLNRSFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPMMLRSLALPFTVLEWTLPAAPRPSQNASSKQSSTSKERSAEATGAESSDETSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFASSDGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGLSSSFSTHREGIRRIKFSPVVHGDRSRGRIAVLFYDNTFSIFDLDSPDPLANALLQPQSPGTLVLELDWLSTRTKKDDPLVLCIAGADSSFRLIEVNIDSKGSSVSKPVATKERFRPMPLCLPVLLPTAHALALRMILQLGVKPSWFECNNNDKLASDSFKVAPATFGDLRSYMIETTLPPIGDAVVAELLLKVLEPYRKEGCILDDGRARLYSSIVKKGTYARFAFAAAIFGDIQEALFWLQLPQALHHFLDKSTSRSSEKISQSSLRPDSDQSSTLNRIASRERSAAEKFTRDAVVNYGQISSMAFKQEQLWFNANERIPWHDKLDGEEALQKRVHELVSLGNLEAAVSLLLSTPPEGSNFYPNALRAVVLSSAVSQSLHELAVKVVAANMVRTDKSLSGTHLLCAVGRYQEACSQLQDAGCWNDAATLAASHLHGSDYARVLQRWADYVLRGEHNMWRALILYVAAGALPEALDTLRKNQRPDTAAMFLLACHEIYSQITSQTEPTDETSESEPTDDTSGSGRMPENVDDEDLIAVSEVFGQYQQKLIHLCMDTEPAAD >Et_4A_034184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30547559:30554179:-1 gene:Et_4A_034184 transcript:Et_4A_034184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKSRKDATEAAAAAPRKPGKTRKRKRGARAAASFDSGLCDDVIPNIFARLPARTLVASMALSKHHRRMILCPEFRTLHCRVGPPLHHPHIAYFATAKIRRCGKDQDPITKFHGFHVAGVGLNSNAPMRSLAGPAYLDMRYVNSCNGVVLLAGKPGTTACVLWNPAVADEPKEVTVPDCAGDDRVILGLGCGRRSQTYKLLVSRREKRRARLDCWPPITRHPKELLVYGLRGGGTERRPPVLRTVLSAGVDGEISRRSLHINGIIYLLHVKKSVILAFDVDDETVTTIESPGVHIISKQMHRVFDGCEVEMGTTVDNLTRSMCTLMEMSGRPCSRRTTANPEPYGSSLRTTGGSRGASSRIPVFMVTTSSVVAQSPVFGTVVACYSFGGDHCLYTVDNASSTRVLETNLPRYMTPEWSDYAFCWGYKPTLLSPGSIVGKQDEDSRRTANIMEVLKPVNEHEWKKWHGTTLGTARFMEFLCVIEMQEFDPVHGLRRRADPREDEIAEAQDKAAPAVRKRKKRRTTGASAAASCDAGMCDDVIRSIFARVPARTAAASTALSTHHRRMILCPEFRSLHCRLGPPLPQPHIAYIATANIRREENKDPVSKFHGFHVAGIGLHSNSPMRSLTGSAYLTMTYVNTCNGIVLLTDSSRTDTCVLWNPAVADDEKEVTVPVSLRNHNCAILGLGYGRRSQTYKLLLLRWRKPGQPTIASTYPKKLLVYALGGAAAEPVSSAGLHDKKSLRTLLSAGDGDIIRKSLYIDGIIYLFHRLDFKKAVILAFDVDEETVTSINTPGEHWTTSQLLEISGRPCVETHEGKSRALWMLTEDHQWEQRCVIKELIPYYGQETRDLKYCSIAGVWDCSGVLVLYLDESIAGNRWLHMTDASTMKTFQVKMTPDRSSYAFCWGYRPTLLSPGSIVDGKLSQDEERRCRDGEKAQENAIMEAYIMEAQKPVNEDDRREGHRKTLNTVCFMEFLVRIMQNLPDNMEGVVQMSIFKSARTPSDSVPDRNTKPKPGEDETAEAQEKAAPAVRKRKRRRATGASAAASCAAGGMCDDIFRGIFARVPARTAVASMALSTHHRRMILCPEFRNLHSRLGPPLPQPHVAYIAMADIRRRKDQDPISAFRGFHVGGVGQHSSNAPMRSLAGSACLTLWYVNTCDGIVLLADNWSRPNTCVLWNPAVADEAREVAVPVSLHRHNCAILGLGYGRRTQTYKLLLSRWRSQGTVGNRN >Et_1A_008914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18672561:18675230:-1 gene:Et_1A_008914 transcript:Et_1A_008914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSMPYWIPGLQHPGMASSSVQSPWWAPPSMEDSQLQVWGVDSTPPGGLLNFLNKSTPSNGSAQVVRNGNLPRPISVSDDTNGSDCPRTEKRLLWTKEEDRTLEPKWDAYLECLANKGKHNVDDDVGQHSSDDDEKEERPIGGKKAKELQKRKRKDQACVIDLEDELQIFVEAQNKANEGRKEMLETQKRVSNENLEAQKLAYLAAKERKTVLCYVYAVVSRRTLPLPLAEAAATVLELRQAVPSSAV >Et_9B_064621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17079504:17084581:1 gene:Et_9B_064621 transcript:Et_9B_064621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVAARQEVAAAAMPGRGEVDTSSPFESVRQAVDRFGGGGGSQWRHPQAPPPVQLRPEINLSVFLLHSLIPFLQEVELMKVEEQTVKLEMELFVKEKETFKVLKELQATKEVIDGLKVQIEKVTLEGINAAKGHTDIEPKSVSHSEPQIWSTKGTQSPLTTLIKLNQAKAFLNTDTVNILRSQIEKEKGSLEKTREKLQSNIAKASSLEADLDKTVARLRAVKGPQPILESSEIWLQMKQLNSEKEKNRKMAADSKHEICELTAAIEHTRSKTKTLQFRIIMAKKLKEASRRGEAIALAEMKKQRNGPGLDVATFDVTLSVEEHSMFVRKAQEADESSRKRIDVAMQELDQANQCKLELLERVEEAMLAVETSRKALEEAQKREESANKAKLAAEDTLRKLRSDQIIQNWRPINNNSVKFKNSALTPRRAGSGIYDVNGLSLVTTGPKNAKTVSIGQILSMKLDRELETVKTINTRKKVSLGQILSQKYEVFSPLRIDHDGASRNQCQPRRKRMGFVVYALLLAKQKHRKRQAASCTHGSFSAKAKIPLQTWLSPIVFVFGTIVVAMVRKAFAPSSVTVVVEVVAVATASAAALHVADATAGDHLAMSKQYL >Et_4A_033419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22564877:22565649:1 gene:Et_4A_033419 transcript:Et_4A_033419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FAAFPLDNCCLKSSCTTISFSPILYGRTDHTKVVTINTRIDIMLIVVDVEVLVVVAAHDGVAPEHQLAHRLAFARHAVHGGWVLDDVHVPHGGVVEPLHRAVRLGEAVDLVDHEAQLLHREQDAHGPRPRQRPACGRRPASWRSCRAPWALRAMLSKTTFAVKWRRQTLVPPCMATPRGRLQPLHWNMGAVGRHGVAVTSYAWTVATALRHAPRWLGRDVVPDVLLSAIESSSFSVAGHRMSNCCPPGTSLMRSS >Et_7A_050708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10257598:10261674:-1 gene:Et_7A_050708 transcript:Et_7A_050708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEEKLLATVQHIVQTLGRTDTMTEDILKVFSNYDGRLSLDKLYATRAAAAAAAAVGGATERSMPASPPMPPPPAAPSVAGGVPPVTSLERTVRTLDRQISQFVTMDRLIWADSGDADAFLEAVDDLIGTVQELDAAGTNRGLLDRADELLSRCMARLEDEFRALIERPDDVAPQVPGGFGSDESEDEDYDADDGYGDEPIPIAKPVSDFDVVIDALPPGSVSDVHQIARRMVDAGFGRECAEAYAAARRGFIDESVARLGIRSRTADEVHSSPWEELEFDIARWIPAFKMVFRILIPSERRLCDRVFEGLAPYGDLAFVAAVRTQVLQLISFGDTVAAASRAPERLFRVIDMYEAVRDLLSDLDPVFSDPYSAALRAEVSAVCNTLGSSIKGIFMELENLIRRDPARVAVPGGGIHPITRYVMNYLRAACGSRPTLEEVMEGDLGAVGATAIAVDPDRPTSSLAVHIAWIMDVLHKNLETKSKIYRDPPLASIFLMNNGKYIIHKINDSELGILLGDEWMKQMMSRVRRWSMEYQRGAWAKVLSVLQTGGPGIGSISTKAMLQKMRIFDGYLEEICSVQSDWVIADEQLRADVKAAIADSVMPAYTGLIARLKSSPEAARDLFIKYTPEDVEARIQHLFEGVSKNVNEAHLKEIFLASLRFILIDTNDVEVVNVELAMDQLVNLPCGYGYTGFKNKADAEKALLYVNGGQIDGNVVKLKFNPLHPRQRALSPVKVPPPPLKKGVLQNDKNASIVEKDTQQRPRESSPPRKQSPNRRVESPRHPPDPSPRRCPDSPPVRRRADPSPVRRGDTPPRHRPPSPVRRRSPSPPPRRHSPSPRRGRGSPSPCRRSRLTWPLRR >Et_2A_014480.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:12107048:12107563:-1 gene:Et_2A_014480 transcript:Et_2A_014480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPANRSAALEELSGAVTPTPALPRGDTDVIVSSLPLESQCAGLQLCPYNGFWLPEHFLTIIKTIHARFKPRPADIILASYPKSGTTWLKALAFAALHRATYSPFDKEHPLRRSNPHDCVSFIDMNLFDKSDVDINDILEGFEASRSPRLLATHLPYSLMP >Et_3B_031561.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:4757298:4757945:1 gene:Et_3B_031561 transcript:Et_3B_031561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTALCLSLAPVTGGGGGGELSTSSSATKVIHADGTVTRLARPVRASELMRDHPGQFVCDSARLAVGCRVPGVAADEFLRPRHAYFLLPMDMLYSVLTDDEMAALSDSHAATAAASAWKRIVTGATARGRDHHHHHDGSRGANRQSKDGNNGNDCGGAKVYPMLGLLEAGDLGAGDDKPERPAAGVGKSRPAAAGMIRRHRPWQPALDTIEEVP >Et_10A_002034.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:274818:276527:1 gene:Et_10A_002034 transcript:Et_10A_002034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATAFAAQVLRGRWFMAYGSFLIMSAAGATYIFAIYSKDIKSTLGYTQEQLNTVGFFKDVGANVGIHAGLVAEVTPPWFVLAVGAAMNLGGYLMLYLSVAGKIHGHGRTPLWAMCLYIAVGANSQAFANTGALVTCVKNFPEGRGVMLGLLKGFVGLSGAIFTQLYLAFYGPGGRGGDTKPLILLVGWLPAAVSVAFLGTIRIIKAPRSELAARREYRAFCGFLYVSLALAAYLLVVIVLQKRFRFTRAEYGVSAAVVLAMLLLPLGIVLREEAALSKITNSLDAPATVKQAPPPEIEKPTTTRGSARSLLGSLRPPPRGEDYSILQALVSLDMLLLFTATVFGVGGALTAIDNMGQIGESLGYPPRSIATFVSLISIWNYLGRVTSGFASEALLTRYRIPRPLLVAGVLLLTVPGHLLIAFGVPGSLYVASVLIGFCFGAAQPLILATVSELFGLKRYSTLYNFCGTASPVGSYVLNVRVAGRMYDRESARQGKGLTCVGVRCYRESFLVITAVTVGAAVVALALAWRTREFYAGDIYAKCKPQDTTTNYSSNGVGEEKVVQAKE >Et_3B_028581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16973469:16974554:1 gene:Et_3B_028581 transcript:Et_3B_028581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECGAGGLQMPSRPFVCDDEQIAVADSYLFSSELTAISEEDDSSARGSDYSRPLSIPHARYQGSTAREAPAHRGPGVRPSNAAVVFFLMFPPPSSVDRRPHRICAAPLPAEQRSVVPGRTAPHRAVPGRAAPAAELVVPKIETKCSRQETKCSEDRNQLFQSRNEMFRR >Et_9A_061438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11940211:11942456:1 gene:Et_9A_061438 transcript:Et_9A_061438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLARDPQARTTTTTKKASSPASAFTSVAAQLLLRRGGREAANGDSIEFFSDLRKRQPEPPASVKTERGGLGRPEDGRGRTRRRGSSAGSDELLASEIGKHDYDWLLTPPATPLWSPATSVSSGHQQASTITAGPNQPARAGSASHAKSNPRQPGAPSGRDNKENATSRLSRSSSTANTPAVTASAGGHARTLSSASASSINTITSNASLGSTKMLLSAASSPRTPGTARSAWSAAATATARHRDVITKVSGKPQMATGGSSVATVARQGRPSSSSSSSRSSAAGQPPAPATRSRARAAAPSGVSSPRSTTASTSQQQAQTQTTQVSKRADAAARSRLLASQSSGTWSTGLHAPSSPATPQQTRDVHPTRGARALPSSSCSNTGGVKTRSAAAKQSTTTTQRWRQTLAPAIAAARSARREVGSVDVGSPRNNTAAGPGRKAVTADNKQAAPQQRPSTAAAGSSSGLARTASRPKSAHTHAPPTTNNAARSPQDGAKHGGGGAPHRKQPAAALLQQWSSRSVMSARSRLGPPTSANPDASVGSVSKGRPTRPAETAGAGRVPALAAAAAAARITAAPEAFPSTRYDAMLLREDPRNLTWLRGCDEADDAGSGGGLELIDDGSLEPFDLRGN >Et_5B_044666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4390627:4391008:-1 gene:Et_5B_044666 transcript:Et_5B_044666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWLKPDVYPLIAAMSFVTGMCVFQLTRNVLMNPDVRVNKNHRQSAVLENAEEGQRYSQHAFRRFLSTQRPEVFPALNRFFAGPANK >Et_10A_002258.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5853404:5853859:-1 gene:Et_10A_002258 transcript:Et_10A_002258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPDRRRTDYRIFTDASFQNPTLYAPAEPAGGGVFIMDKTQTLYTIISIQALLLQPTTPLHAEAQALLVAARLAVHLNCNHTTFYTDNQILATAAQLNDPIKQPGHWMIRPMLQEFAALLNTIEYKTSKIPRRYNSRADRLAKRAKTGQC >Et_3B_031438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29774194:29779792:-1 gene:Et_3B_031438 transcript:Et_3B_031438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSHTHKAFLLCNYLLLGAASSCIFLTLSLRLLPSPCGLLLLFLHALTAVFSAAGCSGSFTAPATPAQWHNAHTAGAALTAIFQGAVALLAFTRTSDFLAELQSYVRDEDGAVILKMVGGLGTAIFVLEWAALALAFSLRLDDEDEDLHAKNWQSSYHLLYTAITQEPSAHVGAKLNSTEGRHLQDIIFSGEEARALTASSGGKHRFEKSVSGIAEAAAGEPFFTLDWLIPSVLGGELSMQDLRVFRTARIFTGNSLRSRI >Et_9A_063062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9418250:9423146:1 gene:Et_9A_063062 transcript:Et_9A_063062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPVPVSKPNTRSRYGEPLERLEGPQLLGSSGTRGLNVTAHSWKITDIVINGVHVEFTVYDEGKTDHCGLYTVVAAVNCKRRIETARAAGAYSVAAFDMDAAVRLYENKTTFTLGNEPRTMPYEGMDNLPIALTLARDHGIPYACGKYDCDQQYWSPAPGEERGLHALAVIGTGLARNNAGELETFFCLRNSYGYDAHSVYQKKGFGGDLLVWASDLIHVWGFRLEKDEF >Et_8A_057511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3859341:3861376:1 gene:Et_8A_057511 transcript:Et_8A_057511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANAFPGSFDLHCYAVDDDEPELRRCLAPTASSSQAPTETTPSILCLLLTIEYTAFSLDELEKATNKFDEARVLGGGGRGTVYKVILSNQRVVAIKKSKFVIQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFIPNGTLYAHLHRLRIATEVASSLAYLHSAASTSVGHRDIKTANILLDDRLAAKLSDFGASRGISIDQSGVTTNTIQGTHGYLDPEYCYTRRLTDKSDLRCHACRAADEKEANQLSSSERVGLVAHFVMSLNQGQLNEILDEQVVEEGEEEAKQVAAIAAMCLRLKGEDRPTMRNVEMRLQGLHGTESNISGTENRTAQHFKKQTPDPVTTTPVGNTVWRKSSCFQRACNDDIGFMFKSNAKESYTSRQIYFGVVA >Et_7B_054260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18692961:18693338:-1 gene:Et_7B_054260 transcript:Et_7B_054260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWELEKSGQFTTASLYKFLTFEGVKNLRLLSKLCNQFIAMDIATMISIRDIIPHYIPMPLGSVCLVLYLGKIGLEYKSKHSNIVYSRLAKTSRW >Et_9A_061045.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:12482983:12483177:-1 gene:Et_9A_061045 transcript:Et_9A_061045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQITLLWTSLVNMAQPFQISFPISHLMTADSLYMIIREKTPRRSSLSSFFGNLDLTFPLCYCK >Et_7A_050520.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:21431353:21431490:-1 gene:Et_7A_050520 transcript:Et_7A_050520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGISCGVYAAQNYNVPDVKKLFNTYVFMMKYIEKTYRKPKKDGD >Et_1A_005211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33101286:33101644:1 gene:Et_1A_005211 transcript:Et_1A_005211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVAARSRVLAQAVSSSLLRRGLLPTSRRASCINSALGGSCRLPLVAGGMLSALPLHSAVASARLRSAIAPESQSWGLVPQGTTFAY >Et_2A_017459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34619110:34621073:1 gene:Et_2A_017459 transcript:Et_2A_017459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGNRHLSCLTLLLLLLGLASGQVLFQGFNWESSSQSGGWYNLMMSKVDDIAAAGVTHVWLPPPSHSVSPQGYMPGRLYDLDASKYGTASELKSLIAAFHNKGVQAVADIGGTADGRLDWGPHMICRDDTQYSDGTGNLDTGDAYAAAPDIDHLNDRVQRELKGWLLWLKSDLGFDAWRLDFARGYSPEVARVYVDGTAPSLAVAEIWNGMQNGDDGKPAYDQDAHRQALVDWVDKMGGAASPAMVFDFTTKGILNAAVEGELWRLIDAQGKAPGVIGWWPAKAVTFVDNHDTGSTQKLWPFPSDKVMQGYAYILTHPGNPCIFYDHFFDWGFKDEIAALVAVRKRNGITPTSTLTILEHDADAYVAEIDGKVVVKIGSRFDVGHLIPAGFQVAAHGNDYCVWEKGASRMIPA >Et_1B_009662.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:12439380:12443143:1 gene:Et_1B_009662 transcript:Et_1B_009662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILFEDLHQHFYILVPALLFLLSTLPSPAIAAKKTYKPSFFDKASIDRQTLLYFKSQLSDDPLGALQSWDNASANICDWQGVTCSKGQRKRVIALDLRSMGLVGQVPPSIANLSFLTDIDLSDNHLQGSIPHEIGGLTRLRKLDLSMNSFNGEIPATLSSCSSLEEISLWNNSLTGEIPANLSRCLHLQFIHFSSNKLQGRIPPSFGELPTLQALILSGNNLDGNIPDSLGTASSLNYVNLGQNYLIGEIPSNLANSQSLEHLILLYNSLSGRIPPKLFNSSSLLELDLTRNNFTGPIPTVHTMSLPLFYLSLGQNSLSGSIPPSLANYSSLVTLNLGENNLVGSIPDSFGMLHLEELDVSTNYLSGLVPHSIYNMSTLTFLDMGNNSFAGKIPLDIGFLLPSINTLVLQANRFEGLVPFSLANASSLQVLDLGVNSFHGFIPKLGSLTMLQELDIGVNNFEEQDWGPLSSLTNCSNLIKLLLDDNKFNGSLPESIGNFSTNMQWLWFSRNKFSGSIPSSIGRLKSLTVLFADQNMLTGSIPSTIGNLYNLGSLSLARNNLTGLIPSSLGNLYQLEELYLDHNQLEGAIPSSLEGCKNLLILNMSSNSLDGNIPVELFKVSSLSRGLDLSYNHINGSIPSQAGSLINLCQLYLSGNLLSGTIPSFLGQCVLLQSLRLDGNFLEGSIPDSFNNLKGIEKMDLSKNQLSGQIPSFFESYTSLQYLNLSFNDFSGPVPTGSPFDNRTEIYLEGNKMLCTLTIMPGLKACMASNSRGKRISYMLKIVVPLGVASLFSLSCLFWLFCNKRRRQSQKIYISNQKLKKVSYANITKGTNRFSPQNLVGVGRFGTVYKAALDGVVLPAAIKVFNLEQHGALKSFFDECKILK >Et_10A_000833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1787941:1791339:-1 gene:Et_10A_000833 transcript:Et_10A_000833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWLDNHLQDMEHRVKCMLLLLGEEADSFSKRAEMYYKRRPEVITQVEDVYRAYRALADRYDIMSGELHKANHTIATAFPDQVQYAMLEEEDDNIPKAFTPVDPRKIHKSTVDGLMKKKKKGEHTGRMARGAKNNTLDQINKENAHEEINRLQKSILVKQTEKEFIKSSYESGIAKYWDLEKEINEMQEQVCQVQDKFDESAVIEDDEARALMTATALKSCEDTIIKLQEQRKSSTAQAIGESERIKVLREKINTIMNQHGKSLPDPPDFTDIYTQTNHISEMENVYNIKQGEIEMQVIIDKIKEHFEKDCDNSMAEITEQIDEVVNKVVDLELMVSSQTSQINRLDRENNELENSLQSLEEDGKTLSDDSSGLNEKVEQIQEGLIRVHTLESSFHRDESTLHSNFIETIRRFSELSELLQSSVSQQGGSSLSKELSHDATTTESSEPSLNEFSDAKDEVHEVVDEPHVESIEATPDDANTNNRSDNAVSMAINESIDSSEKRNIHQSETEHDKISRDRGSLARLRHISSGNLGGCDEEEVINKEGSLCSSDGITNMGNLQERLINSLEDKDKVLLAEYTLLLQDYKDTKRRLTEVVKKNDEFQDELHSLREELSKFARVDNEGSHNDGHLRNASICPRPGHRRTPSYSSVHQRRPSLASISRLIRLGSTIQEGDVGSGKSNPNPSDSVVATNQSGVNFNPDDLKLPTIAEVENVSPLEEKFRRDIDTLLDENLEFWMKFSSSLQRVQEFRNKHENLQSTIKKLLVKKEEGKQDGSTKHHDPSAATSEKELRALKTELQVWSEQNAMLRGELQCRFTSLCDIQEEITAALDMDSDVQVDEPQFTSYQAAKFQGKVLNMQQENNKVSDELQAGLDHVKALQAEIEQALAKLHRSVSLSSQPSEKEQDDGHGNMGRVSSNKSAKVPLQSFLFPTKPKKTSLLARVTPVLQKQQAELKFLAKLPR >Et_8B_060065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5657811:5659868:-1 gene:Et_8B_060065 transcript:Et_8B_060065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVGIVVSNKMQKSVVVAVDRLFHHKMYNRYVKRTSKFMAHDEADECNIGDRVRLDPSRPLSRHKHWVVAEILRRAKMYVPPSAASSNEQDTKAQQAGAAAKSSA >Et_4A_033022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17063022:17066411:-1 gene:Et_4A_033022 transcript:Et_4A_033022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINTEEFVLFWRGDEPEWRRDVERGARRGVRDAGCAVQGSRRGHGTLYAGRAGAGDAAVAGRRVMHCPVGDAEQTLRVVTAAKQPQQKGSASACSLSISVTLLCFLLLPLAHARLLLGNENTLNESKSFSIRGGSGEGGGRGFGVSISHGGHDTSIGIGGGFGGGAGTNRGGGASVGGGGGAGAGVGISVGHGGVDVGIGGGGGGAVSAGGVHVGGGGGGGLGVHVGHGGVSVSAGGGGGGGGSANGGSEGGGFGGGSSVGHAGNAYGGGGGFGDANGSTGSGGGSGVGSAGGAVGGGSGNGAGQG >Et_5A_042225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7750031:7757946:-1 gene:Et_5A_042225 transcript:Et_5A_042225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPESGYGSEEKFLGDLKDVAKCEVLVVRFIGEERVFRRTMLYLLTKFAGIRKLVSHEALALDSLEDVEIRSFEGSCEVLEFLEQLVSRCNREILKKVVINYSPFNDSSLTSGVHEKDTSSASHGLTGDAGLRRLPPGTDLISALPDEVLQAILGWLPSAAAAAQTSILSRRWRRVWTGCTSLTFTVEQKIPLSPYASTAGAVDAALATYSTGGASLTCLNIRPGDRGPSLTVARIGPWLRFASPRLAGELHLSAVPDLLYAKAHVHEYYTLWRLARRHHQLSFSDLLRRAEMERGPNSLSPLELPAFERATRIKLARLNFPLGFPQTGTFAELLVLKINKAKVLAGDVGRLVSTQCPHLRELEMVDVDGITASAISINSATLARIILRRVKINKGDQIEITAPELLYLALDDCGDRSAAATISAPMLTQLIWNHEYDPSRHRIEEADRLIYRLVLTYGSKTSSSPLLGRFDSVDELHLHLSMPLGAEGYNKFVQEMDELPKATVLDVKGLSTKQHLGPAMLHLLGKCSRLKKLKIDISPPIPKETLCERGCSCVPPEIWTTNDIELGSLEEVEISSFTGAKEEMEFLELLFSCKIKTRRIAIHTRRDASLSREKQRQIWALSRPCCIVLEFENTQFI >Et_8A_057290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23274778:23277461:1 gene:Et_8A_057290 transcript:Et_8A_057290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CLPTSSTPRSAGLLAHERRSSNARRPANGSTEHPSKLGVDIALLFTAHECKARVLRLVNLRLRHGALISLYIVCSRLEEMILNAGHLGFSEGCELADVDGGDDVGGAVERLPGDDDVDGGRGVIGVPEDVAVAVGARRHERQARDLAAVPADAAAAAVHHGEPERGRDGPEHPEVVEVGEPGPREAAGVEAGEHGAQVGVEGPHEVVHLGRALARGALGQHARDAAHGAHAGDDELPRAGAVEARQLGGVLPDQEVRELLRGVAPPRVVVGHQSLLPIRTNRMDRARWRDADAAPIAPAICDTDAGGGGGVVDALQDLLDGGCLRVGWHQWQTQQPSCKHTTMLQF >Et_3B_031247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22622486:22627832:1 gene:Et_3B_031247 transcript:Et_3B_031247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSRKLSGVLHKGFKADKCKTSLRMAVARIKLLRNRKEVQVRQMRREVAQLLEANQDQTARIRVRASAPPSPLLPHDFQFLSSSVLVDSMRIHRISAADLIDDVTELADVRKHFTNKYGKEFAAAALEVRPDSGVNRLVIEKLSAGAPDVQTKIKTLTSIAEEHSIKWEPKAFEEKLQKPNEDLLHGSTTYYGGNISTMGSSTPSMSTPLPTYSSVSAASVDSGASRVPMGPYSPSEASTNNASSQENRRGPNASAPAPLSSQYDASTYYSAQTPGSNNFSHEKPGDQSVSRPYSQYDASVPVSRDEEINQPRERKPSASGASWNMEFKDATSAAQAAAESAEMASIAARAAAQLASRGHYSGEQSTGGFESGAYNHANAPRKQPAVHSVQDEKRSSNDRSSHTNDPRMISSNEGKHSKVDSQNMSTSHSPSQDVRSYSSESHAYVYGMPTEPPRAHSPEPPHFDDLYDRQSNVGKYGHPLDFPEEKLQDTALDGRNVSNVNVSQVNPDHESTGDYYGNYTSHDSFTHGSRTVWDNQTDKTQVNPSSVVFDQYDSDAEEENVFDAFASKHTEQQPGDQDYMESSNADWGQQHRSDSPSNHRSSGLFSRTETQRSDTSEANRRDIPSPRSYGNMPPTFDSDGDSSDEEIAASPRTKHAESLMSESKGSTNSGKLVRDVNESVEDYYESSSSKKFVASPGLNVSYKEQHENGHGGSPRFDYFGANEQKNLSRVQSRDSDLSDEESEPEPVKLNIVSSLRAKENQSLPSAMQTSATFDDKGAGDFGLNFSRLTPGLRNKLKQPPSYPKSSRENVLPRQSFNKASASIEETVDSEENTTFDQIRSTTKASRSTKTLSGGSNSGDLYDRDSSVETPREARSTMTRNYLRSDDTEKLSEQFDDTSPIETQGSERRNSAQERYRETTGIGGRREMSPRMAKNFFDSDDSEEELEQRQAPQSKWSAEQIPSRRTREVTSGAMRDSRVQTVPQYADESEIMPRETKVTQAFSIPNVEKRRDGPSYARVPVQSPSTRTEQNESPIARGKSQKAEMDTRSVPADESTETSAGTPKDSTSKTAPAHVHPKLPTDYDSFAAHFKSLRTNRR >Et_4B_036659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10157799:10160765:1 gene:Et_4B_036659 transcript:Et_4B_036659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSRKEEERNERIVRGLLKLPPNRRCVNCNGIGPQYVCTSFWTFVCISCSGIHREFTHRVKSVSMSTFSTQEVEALQKGGNQRARETFLKDFDAQKMRLPDSSNINSLREFIKAVYVERRYTGGRFSERPPRDKQNQKAHDEEHRRASSYHSFSQSPPNDYQYEERRNGKQSAFLSRKPGSDRGHDEKISVFGYSPHSLHERMSEDGFASESCGSRTSNCSGSSMSEAIRTAPQSPNILDNSAPVQQDQSNVQTSHVFTNSQRIVSAGNVDSISVKSGKSSLSDLIFEDDNVHGIQKSTNSTLPNFVASSDAISAANGDLFNPIAMQQHPVNSFDRSIDFFAIMTPVTPSADKILSAAPSLDNAGWATFDTPPEEKQPSVTGFSAIAATGKDKQAISRGLFSFERNDQPAWFQISKENASVTNQFLDTSSSQPCSISYGTSDNTQPSFPGELSLLTTESQEPNGPMDINSSQWHSFDDINGIVAHNPPSELPQSVEGTNAINIPCNPFTHSVASKSRSNNRAGKRATCGVQLRNGGGGVHCFLMR >Et_5B_044259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22035537:22038804:1 gene:Et_5B_044259 transcript:Et_5B_044259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQPIQTFGLRPIVKTFDGSTENPIAAKPIATATAAGAALKIGRLAYVDKPDLPEGVLRMIRRFANIVTENRWGNMYSLHRLDISKRLFYPSTAAADAANDRKKKNGNGRMATLPRLPAPAMRPRRSEGRILCADAEGHTVLCHADSRSVQTMPSLNGDKGFVPTAISVARHGGEEREEDLYVLSSVMRSFDVLNLRFHSEDEEAMYPLKRKRWHCDRLPPPPFTANVRAHAVVDGGKTICVSDHHVSGHTYYFDTVKRKWRQAAGDDDGDGWVLPFVGGAKYVPDLDLWLGFANDGSDDLCAWDLSAMDEPPTLACRGPGLEAPEEDCSPTMARLLSLGAGRFCVAKVFRVVDSREWELDFNVSRSMGDRFAVFTGVEVVAGRGENKIERMVQHKSVRYVFANDEIRWCSLHRLDVSPRLFYPSTADADAANERRTKEELKQNGVGVSKMATLPRLPAPVMRFQPSPTGSSRTGYPHMGLFTLLAPRRSDNLILCAEGTGRAVLCHAGSRVVQTMPSLSDGHSPFPTAFSVARGGHEEGQDLYVLSHDSFDVLTFRSSFWGRRRRWRHCDPLPPPPITHGVLFCSHAVVDGGKTICVSDHHVGGRTTYYFDTVKREWSQASWGLPFYGRAEYVPDLNLWLGFARGGSYDLPPTLACGGAGLETPDDGSPTEMRVLNLGAGRFCVARSFGSVRVEGDYDVEGELDLEDRFAVLTGVEVIAGGGGGESRIERMVLHKSVRYEFRHDKIRWVL >Et_4B_039755.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28589517:28590050:-1 gene:Et_4B_039755 transcript:Et_4B_039755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTRPAPSSTAAARRRHVLLFPLPYQGHITPMFRLAGILHARGFAVTVFHTQFNAPDTSRHPEYRFVAVPDGLSGPAPVAVNDVVARILALNGACEAAFRERLKGVLEEYSGEDDVACCLIADVHMLSMVEVAKQLGVPKLTLRTGNAACFTVFLAYPMLCEKGYLPVQGMSLDPV >Et_1B_011108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17745778:17747174:1 gene:Et_1B_011108 transcript:Et_1B_011108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDVFQLHQKGKVVIWKPPEFRLLKINHIGATRAMMRDTEDLGDASGVEALALNDGLALSVEKVILEGDNFGVINMMKSEKGAQSSIVSAWHDVRELGRNFNGRSSNGHLGVC >Et_6A_046710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19754534:19755798:1 gene:Et_6A_046710 transcript:Et_6A_046710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRWRSRARRDPDLDDDGGGSPPAELRRSDDDDEEAGNEDLSLEIVERAARRQRRSRGSRRRESADALSVSSGEEVDEDAVVELGEAPESRRKQRKKQRRKLRKKQRKEAGEAAATEAAVAAGEEEVGGTQEGQTGTADSVLTEDGVDVPASQNMVLRKLLRIPRYFDPGETLLATCFNCGEEGHVAANCQMEKRKKPCFVCGLFGHQAKQCTQVGLPCSEGLSLGDL >Et_9B_065691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9213933:9218189:1 gene:Et_9B_065691 transcript:Et_9B_065691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQFPVEIEDFTDYSNEFYPFLDGPFASFYSTDDMGSSVLHPLLPMVGMGGVGLQMNNYHFGNPMSISNPMSFYQQVGTETTSNCSIMAEMETSSGSMMVSEYAFVQTVGVCLGQTDPAIISITEEKVMVV >Et_9B_064126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11499869:11504056:-1 gene:Et_9B_064126 transcript:Et_9B_064126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTSGTRPCRCRSHSRCRCLAFANSCRSSLRLRSSSFDVTSMPQISILQVSNEVPGTAPRYSKGLQSCGAIKVDAPKLQSFMYSGYTSGFSMTSPCPDLERVDLRLYDGHRQDSEKYAQYRDRECKLFWQLLQNFTGAKTLKLKVKQLENIAATSEKKQTELLRVFPNVGHLELEGAALTHADAPVTKLTVHVETEQDEFVLDKFPCLQNSLRRVGLQFRLDRSSCLLVQEICVDCGNRRLNEHMNLGVQRWVSANSSKTSLKCKNLAESLSKGPGVSSVDSTTDLQRNATGFTFHTYNSTSNRANVSFDQRRPSRDEAAGEGHARLWQPVVPEHHAGDGEVSGVGGVSEHVVPRPRHPAAGISSRAGVVVLGVVERVEREVARPRHPPGGVDEAADVRLHDHGTGEVLPGAAEVGDRPERVVGGELGRGDEGASLDGGARVLVRVAPALRRDGVALALHGQAGVEGAALEDDGAVDVAAALTLNNTPPQASSIAASHAHQSPPPVSIVAAQDVAVAWPTCALFCGSWTLRG >Et_9B_064818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18886454:18890386:-1 gene:Et_9B_064818 transcript:Et_9B_064818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEQRKKCALLVLQSSAEAEFFTEYGDANRYKIQEVIGKGSYGVVCSAIDLHTRQRVAIKKIHNIFEHVSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRKDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTVFWTYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSVDTISRVRNEKARRYLSSMRKKDPVPFSQKFPNADPLALKLLEKLLAFDPKDRPTAEEALRDPYFKGLSRAEREPSCQPIRKVEFDFEHRRMSKEEIREMIFREILEYHPQLLNSYINGTERTTFLYPSAVDQFKKQFSHLEENGGSGPLDPMERKHASLPRSTVVHANPIPAKEQPVAASLRGRPISDDSNKSAWEKERVPGNIPRASQGPLGLQAVGSGRVDGSVMNSGYPHHQQQIPQAYGHRQTAARSDSANPSQATGGYTLHSQAYACANSKATPNVAVNMRAPQYHVPAGPKNNPLDRLGSGTDIYTRSLNGIVAAATASVGTGTGTHTHRNVGVVPSGMSRMY >Et_8B_059226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15628390:15629450:1 gene:Et_8B_059226 transcript:Et_8B_059226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETVALRKGMVKEECAYQQLPHTVRIHKQNEWPPFAGHQRSSRHSLSPLVAARGGTPLPVWLEAVPLNVKFRNIFVICFEQQISVAQALQGNNINLSFRRSFGATEEDEWTQIVDNKSNSRMFFMLGAICWPLWLTRNDFVFRNQLCPSPQSIIHQMLSLMQRWKVLYRQEDREDIERLIQAVRSKIEQRSNQHSLLTGG >Et_9A_062335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2276373:2277263:1 gene:Et_9A_062335 transcript:Et_9A_062335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWSLETDMGLYPQLMEPSHFQSIHYLHLTVYEITEVDMGKLARLPELLSLKLKVLLRSVEQLIIGAVGFENLRIYAANCQFKFLQGAMPRLESLRFTVYPGDGLDFSLATLLSVKEVILNVDCFGPCRGHVEEIEAAVRRAVEDHPGRPTLRSIENVKGSLLPDDKIKPDEVVYVLLSARNSTTSWLGRIQPDNDVHIKFYPWLLEITYDIDCEDFTLSKVEQIEEEVRFDAARHPKRPKLMMNRINEDKM >Et_1A_006593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2572833:2576160:1 gene:Et_1A_006593 transcript:Et_1A_006593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPAASTPMEIDAAAPPAGATAKGKAPLSATGRAAPWVEKYRPQSLADVAAHRDIVDTIDRLTNENRLPHLLLYGPPGTGKTSTILAVARKLYGSQYSNMILELNASDERGIDVVRQQIQDFAGARSLSFGAKPAVKMVLLDEADAMTKDAQFALRRVIEKYTRSTRFALICNHVNKIIPALQSRCTRFRFAPLDGSYVRERLQHIIKSEGLDVDDGGLTALVRLSNGDMRKALNILQASHMASQQITEESVYLCTGNPMPKDIEQIAFWLLNEPFSTSFKYISDMKMRKGLALVDIIREVSMFVFKIQMPSDVRVKLINDLADIEYRLSLACNDKLQLGALISTFTDARTAMVAAAS >Et_8A_056232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17078197:17079504:1 gene:Et_8A_056232 transcript:Et_8A_056232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALLGPPIAPPVPAAPKPAYSSMSDSDDSSDSDHDSPVPARTQQKEAPRTGRALAYVTSGDPCVDFFFQVVPGVTSATDVAALLDVAWSRDPKAALRLVCHLRGVRGLGKADREGFYAAALWMHNRHPRTLAGNLANFARFGCLKDLLEILYRVLHGPREDKGDDRRRTNNNQPAADRAAVAEAKRREEARQAQVMLARYGSDADFRLLYDRVAETFAELLKSDLEQLRAGEVAKVGLAAKWCPSLRSSFDRATLLCEAIARRVFPRESSQEYLNISDKYYAYRVRDRLRREVLVPLRKALELPEADMSAGKWDELPYARVSSVAMRQYKDAFHKHDKSRVAGFYDEVRTGRATMAVDAVLPHELVAAALKGEHDESADLQWRRMVAAMAAEGRLANCIATDVVVFEASNA >Et_1A_007522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35555789:35563843:1 gene:Et_1A_007522 transcript:Et_1A_007522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDRKRGQAEGANGASAIGKRARESESFQTGVGRKSKPCTKFFSTSGCPFGDGCHFLHCFPDGYQAVAKMTNLGGSAMAPPQGRMPMGPTPSVKTRLCNKYNTAEGCKYGDKCHFAHGERELGKPMNGSLPAPMVLRPTGHYAPPPMASPGMATPASFGSSAIAKISVDASLAAVVVPLLQPGTQLEDLTVEAQEAISKPSCVITSQMDHALLVTDATSPMVKMSFASLLLLEINVVGCEEPTHVHIPSRQGQSWPLNRRLRLDV >Et_1B_011593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23897217:23900838:-1 gene:Et_1B_011593 transcript:Et_1B_011593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWDALVDAALARLAARSLLRATRPIALAPLPATPRTFPGPGPWDRAAVEIRLDRATLQEWLAEGGEARVKEEESDGNLILFSGNDYIGLSSHPAIREAAVKAAQEYGMGPRGSALICGYTTYHKLVEESLAELKKKEDCLLCPTGFSANMAVMTALGSISSLLAVGRKPAADERTAIFSDALNHASIIDGLRLVERQQEAVVFVYKHCDMSHLDSLLLFSMDGDFAPFPGLVKLRRKYGFLLVIDDAHGTLVCGENGGGAPELFECENDIDICVGTLSKAAGCQGGFVACSTRWKRLIQSRGRSFIFSTALPVPVVASVHAALYVSRKERWRRSVIWRHVHYFASLTKLNITSPIISIVVGSEEAALRAGRRLLRSGFHVTPIRPPTVPPNSCRLRITLSASHSSDDIKTLVDALTPWLPVKHADQSYITSSKL >Et_7B_054675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3358626:3365645:-1 gene:Et_7B_054675 transcript:Et_7B_054675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTNVSEYEKLAKERLPKMVYDYYASGAEDQWTLKENREAFSRILFRPRILIDVSRIDMTTNVLGFDISMPIMIAPSAMQKMAHPEGELATARAAASAGTIMTLSSWSTSSVEEVNSVGPGIRFFQLYVYKDRNIVRQLVKRAEMAGYKAIALTVDTPRLGRREADIKNRFTLPPHLVLKNFEDLDLGTMDKTNDSGLASYVAGQVDRTLSWKDVKWLQTITSLPILVKGVVTAEDTRLAIEYGAGGIIVSNHGARQLDYVPATISCLEEVVREAKGRLPVFLDGGVRRGTDVFKALALGASGVFIGRPVLFSLAVDGEAGVRKVLQMLRDELELTMALSGCTSLREITRSHIITDSDRVPRSRLEMLHITNVCEYKELAKQKLPRMVYDYYASGAEDQWTLKENREAFSRIQLRPRVLIDVSHINMSTSVLGYNVSMPIMIAPTALHKMAHPEGELASARAAAAEGTIMTLSSWSSCSIEDVNSTGSGIRFFQLSVFRDRNLVCQLIRRAEKAGYKAIVLTVDASWFGRREDDVKNRFTLPQNVVLKCFEGLDLGDIDKTNGSALAAYYCSQVDRSLSWKDIKWLQEITSLPILVKGILTAEDARTAIECGAAGIIVSNHGGRQLNYAPATISCLEEV >Et_2A_015261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1085185:1088044:1 gene:Et_2A_015261 transcript:Et_2A_015261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSLPFPIPPALLHAATALVLAAAAHFLHLPSLLLYSVHTYIHPDAVPSTTPRAVLRPPGSNSGPAPSSKRRVANKDESPFDASSAQLYRLRLSHTTLATRPHFSAFHFALLLPLALLLPALFLPVASAVVPLAPLVPAAYLFVALLRHIVIPSPRPAQLAAALGSLLVATLLSSSPFAGTLASLAALPAARFARAFWLGTDQPRTGLAVLASSAPSRLLLHLAVLVSSAAAVLRCCGYLSGAEQEVTLLAAAAGLQLLAARPAEQMYLNEAVFCWYQRLHVSRTPDTEYGRAKVFLHNHHLCAVATQLVAPPLLVLSLLALWRVQGKDFFDGLEGLDWLVGWSIAMKEAALLAARWVVAVWSAVTVSTLVAYKRGWLFVLRLEVGASMLIRLFDLATYIVAIGEDLS >Et_9B_064415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1522248:1525954:1 gene:Et_9B_064415 transcript:Et_9B_064415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFGAVKKVFSPESKEKKEERLRKKAAASNPTPLDLTPSASLEVNVSVPPPPVQQTLHPQTEEEVRVPEAEEHSKHITVEAAPAAPAAAPVLPPGVPSEELAAIKIQTAFRGYLARRALRALRGLVRLKSLVEGNSVKRQSASTLRCMQTLSRVQSQIRSRRAKMSEENQALQRQLLLKQELENFRMGENWDDSTQSKEQIEASLISRQEAAIRRERALAYAFSHQWKSTSRSVNSMFVDPNNLQWGWSWLERWMAAKPWEGRNGTDKESNIDRGSVKSMSLNLGEGEITKAFNRRDSKPDKPSPTTPKLTRPASRQSPSTPSAKVTPIPARRKSITPKNGLSQVDDDARSVFSVQSERPRRHSIATPTVRDDESLASSPSLPSYMVPTESARAKSRLQASAVTNGSETPEKGGSAGSVKKRLSFQAGKAPASPMRRHSGPPKVEILVKDTVATPQPEALVVNGGNEDKFRLSCSLPEPEDFPDLILKPASIIREP >Et_2A_018397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26588309:26596508:1 gene:Et_2A_018397 transcript:Et_2A_018397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCASSLASLDMRIPESSKLKQIPVVLMSSENVPTRISRCLEEGAEDFLVKPVRPSDMNRVFSRVLQ >Et_6A_048108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6434834:6437115:-1 gene:Et_6A_048108 transcript:Et_6A_048108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGPEKMSVPEEPEQIGSGSMAPLTRRRKKAQAAVVPVIGEEDRLSALPGDVLPQIVGFLPAQEAVRTSVLGRTWRNVWKETDRLLITGDPVQEVQDFVDRLLELRLPRLSTCDFYFRCFRKEDEPRVRHWISCVLRCEIEALRFDVWGEGDEYAVDPYFEISDVLVSCHLRRLQLAGVIFSQSFLDFSSCPALEDLKMEECDLCMCLTMTCPSSIKRLAMEGCISVHKPRMRISAPRLVSLPCLI >Et_2A_016701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27331396:27332362:1 gene:Et_2A_016701 transcript:Et_2A_016701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEWHIDYLKKQHTGFMFRKPHHMLCADALNKKFAMGVTVGQVDRHYSKSGNSFDNTRCLVIISESEKSTLCDRAIHLIICLLNKPIKFFNEMQELFTGSSADVFFAADQNTCMGGSDGSDSDDSRDLIDLNCYTQPEGPLGEDSDTLPTPTRHGNVDNNSSSTSRGNSKRPKGKKTPLAEKPQNKSRLAESTEEITATMKSLRKTLATTAPPQMPQLIDPHATLWQKLETIPMTSDQRVLVGEHLSSKENKGKHSWLCSASGETLHAWVFKFLCEKEGINL >Et_8A_056102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20269926:20270684:-1 gene:Et_8A_056102 transcript:Et_8A_056102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRQSYLDAVLIPVGLLFPAAYHLWLWRTVRRRPLRSAVGISAAARRLWVSGMMQDNEKKAVLVVQSLRNVIMGSTLVATTSILFCTGVAAVVSSTYAFVAALKYGALLLFFLLAFLCHSLAICALNQAAFLINALSPALPAAVLHLPVVTRDYVADVLERGFLLSLAGNRLFFAGAPLLLWVFGPVLPCLGSMAVVPILYCIDMAVPAADDGAGSDGGKVVMDISRSTTDQSMQV >Et_7B_055748.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3333886:3334764:1 gene:Et_7B_055748 transcript:Et_7B_055748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQMISGAKKPLLFLGQPYRQGDLPDPGPGTLESVPHGPVHFWTGDPRQPNEEDMGNFYSAARDPVFFAHHGNVDRMWYIWTRLRPGNTDITDPDYLDAAFLFYDEEARPVRVRVRDCLDTNALRYTYQDVDLPWLNAKPTMEAGTPAPATGGAMPATLNQTVRVNVTRPRTSRSRKEKEQEEEVLVVDGIEIPDHFRYVKFDVMVNGTSGGGGDSAAAAQRAGSVALTPHLVRADRTTMSPVRTVARFGITDLMDDIGADGDSSIVVSLVPRSAGDMVTVGGVRIEYVK >Et_9A_062801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5027749:5037524:-1 gene:Et_9A_062801 transcript:Et_9A_062801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMNGGGGGVVKRMSALRVQYYCVMGAVAAAVVLATLRYMPGPAAAGTSSAPVDETGFSKALYTTDIGHNDINGILHLPYHTMLEKLPAIVAEIKKTIERLHKNGARKFLIHGTGALGCMPQKLAMPRDDDSGLDANGCVATINNVCKQFNKLLSETCDELRLNLKKSTIIFVDITILWPTTQNTNLGSVASTGAGSNSSGAIPAATPAAAKEQERRRPPVVLFNFGDSNSDTGGVAAAGGIRIMPPEGRTYFGRPTGRLSDGRVIIDFICESLNTHELNPYLKGIGSDYSNGVNFAMAGSTVSHGVSPYSLNVQVDQFVYFKHRSLELFERGLKGPVSKEGFENALYMMDIGHNDMVGVAHTPSDQWDTKIAKIVDEIRQAMRILYDNGARKFWIHGTGALGCLPAVAVREKGEHDAHGCLVSVNKAAKAFNKKLSNLCDDMRFFLKNATIVYTDMFAIKYDFVANRTKYGIDWPLMVCCGNGGPPYNMDQGKPGCGDLCEPESKVLSWDGVHFTDFGSGLAAKLVMSGEYSKPRVKLASLINGGSKKTSDS >Et_10A_001452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3530606:3539691:-1 gene:Et_10A_001452 transcript:Et_10A_001452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLMLPALLLFIAFAAATTGAAETTTSTALNETCAFLGGWYVTPDLCTSVLCADPWDPCRAARDAPAVAALAARLAARNATATKGSVEAALAAHAAGCIEAALAAHGGNATVAKGIAACLEVYVGMVLYLKQAAQSVAAGRYTVAREFLNEAMRVPGWCEKGMVGDKAALPRENDAFASMAIVAHAVVAHMTLALDHACAILAKYDDWPPEVCTSVLCVDPSDPCHAIRDQPAVAMLAARLAVRNATATKGLIDAALAVHAGNATVAKGIGSCVQLYAGVMAMLQRVAQFVAAERYRKAGQVLAANPPVEVCDKCGRAMEDAGDAALLRGENNGFAIMAMVAHSIIANMVPDPELLARASPVHRLCGGHHQHSGDDSGAGPGLRVDGRLVRAIGALHVLCGDPSDPCRATRDKLAVVALAARLAAAQFVAAGRYRDARDCDGMAGDTALLPRENHAFMNMAFVAHAVIADMAPELLAGR >Et_1A_004503.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:10440608:10441309:1 gene:Et_1A_004503 transcript:Et_1A_004503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SREQRQRDLDLVPAHPRWHLPPPRTGTRALEWADVELVQAAEAAEREHDPAEHLLGVPEADPLPHARRLPQPLVPRQLPPRPTHARRGSQRPRLRPQQHFEHQVLTEIRHSRLLVPARRGLLRLRRSRGGRGRALPRPTRAGGRLLRPLLLTRLAGSPADRISGCRNPGILATGRGIGAAVHGNRLEQTNLQEAGQLIYLHRKGFDDTTRRSTRRETPLMLPNPHPQPETSPD >Et_6B_049351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3663752:3666809:1 gene:Et_6B_049351 transcript:Et_6B_049351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVVGRMVVLVALLLTMAPCLSTALGDSVFGRKAGIADEEATYSLAAGKKITGRYVVIFDGGSTGSRVHVFKFNKRMDLVKIGDQVEFLEKVKPGLSAYAGKPQEAAKSIYPLLEKAKAVATAGLRLIGDEKSEEILEAVRDLVHSKSRFQYNPKWITTVGVVDLGGGSVQMAYAISDAAAANAPAVRDPYVTKEYLSGKQYNLYVHSYLRYGLFAARAEILKVEKGPFSDCILRGFSGTYTYNGQNYSATASPDGAVYDRCRDDAIAALNLGVRCEAKNCTFNGVWNGGGGAGQASLYIASYFYDRASEVGIVDANAPNGKSTPAAFRDAALKICPLSVQEAKAAYPNAWGPEFLCMDLVYQYTLLADGFGLEPTREITIVTKVKYGEFYVDAAWPLGHAIETLSSQKLNQLQ >Et_3B_030721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6747669:6761645:-1 gene:Et_3B_030721 transcript:Et_3B_030721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRGAVPLLTPLLNFRFLAYHSPTLTERFMDHCLAYASSLSLSLYGQIPESFTYLHSLSVLRLADNLIKGWFPTKIFYNRRCICISDSLSNANYGFAILVSLVDRVPSSISNLKSLNKLGLSSSGFSQELPSSIGELRSIRDIWNRIVGTIPSWIANLTSLVRLYFSDCGLSGEVPSSILSIGNLKNLTRLELYRCNFSGTLPPQIFNLSQLKVIYLHSNNFLGTVKLSSFLKLPNLLSLNLSNNKLNVAVDEDYNSTTFIEMDENHIHGSVPQWAWETFTEMEVLDLSHNKFNSVGYGPVNLIDIPVIYLSFNLFEGLIPAPGPETELLDCSNNRFSSNPLDFGSHFSGISYLKAYANNLSGIIPPSICEARSLVLIDLSYNNLSGAIPSCLIEDLTSLTVLNLKRNGLHGEIPHDIKGGSAFQALDLSGNYWIEGKLPRSLASCSALIVFDIGDNQINDTFPCWMSMLPKLQEEKIIASSRSYGYLTWLQIILVAHYQMSEKKSVDVILFLVIGLGFGVGFAIVIVLTWGIRIQGRTLLWLRKFFILCRANMPSSISSTQLLLYLLIHAFVVAARLAYSHGTYSNQTVIPVRCLPEQASALLMLKRSFSTNGWGPFHKGDTCTLASWRAGTDCCGWSHIRCGHADGRVTTLDLGNCGLESGGLHPSLFDLTSLRHLNLSWNSFNGSQIPTIGFERLTQLIHLDLSNCQFAGHIPDAIGRLNKLVSLDLSTTDYLLDMDDVYLTLASWSPQYILIVPNIGSLLANLGNLKELYLSQVDLSDNGASWCNAFANSTPQLQVLSLPHTNLLGPICESLSNIRSLTQVNLQYNQLHGQIPESFADLPSLSVLLLTKNYLGGWFPTRIFHNNNLTVVDIRYNFEISGSLPNFSSHSIMTDLLVSNTNFSGPIPSSIRNLKYLDNLGLAVVGSSQQLPSSIGELTSLSMLELSGSGLIGAMPSWIANLTSLEILQLTNCGLSGQIPSSIGNRKNLTRLQLYMCNFSGQIPPHLFNLTQLEVINFELNHFTGTIKLSSFFMLPELVSLDLSNNMLSVVDCEDNSSWTSVKYMNTLRLASCEISKFPVALRHMGWIQYLDLSENHIGGAIPQWAWQNWNYLRILLLSQNQFSSIEYAAVFPGDISIIDLSFNLLGGTLPIPGPSTQSLDCSNNQFSSIPLNFGYQLSSLSYFKASGNNLSGNIPPLICDAKSLVLLDLSYNNLSGSIPPCLMEEMNSLTGLRIFDVASNSFSGPLPNEWFKTMKSMITKSNNETLVMGTQSFVSKECNNVTPNVQPHHSVKKSVDVVLFLVTGLGFGVGFAIAIVITWGIPIRRTQGLTFLWLRK >Et_10B_002573.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:16575413:16575646:-1 gene:Et_10B_002573 transcript:Et_10B_002573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding INSPRDWRLRPLLYEFISNNSATTFQVHNISRNHNRVAHNLARQASLSSDLADCAYTCSHMSHHSQCPIIGALKTVS >Et_7B_053884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12991033:12992540:1 gene:Et_7B_053884 transcript:Et_7B_053884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAPSPSPAAAGRGETEAVSTPLLRQRGSYARSMSHARDELRSFRSCLRWMCVVDRSDAAAGGAASLLVFALLAVAVPAAAWLALPRRAYDAQILRMAGFAREFGQCTDVAAVLKQHRRIRVQLRRISHRYRKFILSCLILVTASQFSALLAATRAHALVNIATAGELALCSMSLVTGLLICLHSAAKITHKTQAITSVAAAWHADATINCTDRDQENPRTPSKAYLQVPQAAPAESMSSGEESDDDESRSEDSVDTTRFTSFHVTNISFQKRQALVTYLENNRAGITVFGFVVDRTWLHALFMIEFSLVMWLLGKTVGIS >Et_9A_061419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11472320:11477006:1 gene:Et_9A_061419 transcript:Et_9A_061419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDGDRKEFLAAARERLAAAVKWRLAAPVEKVDSGGREFRNAYPRELLALPHQMRLLGPLAEDLRETCRASVNEQEFRGLAPLAESLEKVHELLRLIRDEGRIISVLESDTVMKFQEVITQLVHTLSDFTYSKLDISDGVRDQVELVYAQLKRAKRKDAELAILERLSEKLRLTTITLTNLTQEYTALHKMMASYGGQDSQNYIEKMSVLKKIEGLVLAKNSDMGPPTASKLMDSNRDGSETIADEFQNPKPLGQTKYAGQVTIYPTQFFHTKFYANCVHIMRCLLLISLQTYASACTEECLASGHQIHQSTQQRKLNYASSYSLTDRVNIDALLSKLCSSDPVEQKSAAAELRLLAKQNANNRLCIAEAGAIPLLLNLLSSSDLQTQVHAVTALSSLSLHVDNKERIIISSGAVPSIVHVLVNGNMEARENAAATLLSLSATDKYKVTIGETGAIPALVVLLSEGSQRGKKDAADALFNLCTYEGNKAHAVRAGLVSLIMGLLTTGALMNEATAILPLLSSHPEGKAAIGAAEPVRVLMEMISSESRRNRVEEQKLKQLACLPECRIMVPLRELALNGSGRGKRKAVQLLERISRFLVEQQEEHEAKLQAPTGHPSSY >Et_4B_038856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5375774:5381086:1 gene:Et_4B_038856 transcript:Et_4B_038856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQRSYGDGLDDDRRRFYDRGPPPPPPSRRPVREYEADRFDRRKGFGGGGGGASSDNQYREYQSPRQYGGDRAMHRSESFSGFRREFPNGFRSERDRPRRDGHGSSSWRRSGGGCRDPEYSGEYRAPARLSGTSLPSTPRRSRSPVEPRRRFEAAKADKLRKQSASVNEMEEGEVAPDADHKTRHAAAVEHRKQVQSSRAKEKGAEQAELKKTEAGVSVELGTHCKRVAGASHSDDAGSEQGNRRDGLVVAEAGEAIDKESGMSALKVAGEGEERHDVKSQDVAASGAGKCGLSTSPMQQEVMQEKVNTHEETTNSIDAVEQSTSSSTMKEVIQEEATVQAETTNDIDGAGKTDTSLLKQGATQEEVAVLHKICCAADGTGSVIDEVGKSVSSGMLEEVRKEEVMQHEGTANGVNRIEIGTSSGLLQEEMQEKIIALLDETANTVDEEVRKEQVMQHEGTANGVNRIEIGTSSGLLQEEMQEKIISLLDETANTVDAPQPVSYSGLMKEAMHDEYLALDGAANTIGLAGQFDSSDMLKDTVALEGITNVVDVAGETNMSTGLKEEVMACLHQHALESKDSENLIVIEENISEPTEYDASQPAEDGHKMDHGEKRGAPEETTITEEAIFMHENVEKQTKSFDMVVETEKTNVFLHLTTEHAGWSKEEATNVNVMTREPRAEDKETGIAFDILGMKGNVDCSKSVGRGLDSTLQLRTEPAETSKSASTTIVKQEHETIKIEKLDLSLSLSDCFQNSESKYSTPKTGSLVHATCSQPLPSSSFCTNSDGLTTSMSFSNSKTPRHNPSCSLAHQSTDNYEHSVSCKPMFMGVDQMSNCAGRKAQLSSEYTKKGANRPLHTVQKNGHVSDNTLVGLSGHYNGTSKDYKRLGSISGVLSPTHSHGSQNSRLEHIRFRRQLTREGSSSSLTTGERQEGQQLVINGVGVIERVISKVVSEPFHHTAMMLQKMTENSITFLREAISDIIVNPDKRGQIIALQEALKKRSDLNSDLLQTCPQVLMEILVAIRTGLPYFIKKSNNVAKSDLVDIFFNLKCRNLSCRSVLPVDDCDCKICQWKTGFCSSCMCVVCSKFDLASNTCSWVGCDVCLHWCHTDCGLRHSLIRKGHSSSSAHNTTEVQFHCAACGHPSEMFGFVKEVFRTCARQWRMDTLVRELRYVERIFSCGDDTRGQRVCNFVKQMLIKLENKAYYPEVVKCVTAFFSDDDDVNLGIGPSEPLIGIPCSIAGGDGITSSSRMAAWKPYTLEGLPVSEKATVLSTTGSPSLHGESGETKFLAADDRPVTDELDSLIRLKQAESYIYQERANDARNQADDLRHVVMVKTARIEEDYATQIVDLNINELQERRKRKMEELQEFERTYRQFFSMKTRMETSIRELLCKERRAEHDPHFVSKKVVSGVFPTTKNQSRQSSYG >Et_1A_008629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9311688:9315934:1 gene:Et_1A_008629 transcript:Et_1A_008629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAAAAAPLDEAKAKSVLRQVEFYFSDSNLPRDRFLRETVEQSDDGLVSLALICSFSRMKSHLGLDATVKPETMPEETVLAVAEVLRRSPALRVSEDGKKIGRSMELLKPDEVIEQVDSRTIAASPLPYNVKLEDVQSFFSQYAKVNSVRLPRHFANKKHFSGTALVEFSEEEEAKNIMESSLVFAGANLETRPKKEFDVEYESKKEAYEKAHPRKDSQDEGYPKGLIVAFKLKKITVDSALQQKDSDKVNESDTKLENSDSTQKPSGQGPQGLPESSETKEEKGSDGITVEKDVNTSEATESGEKGTGASLVECEKCESGNGKSISGNAKNPISREDLKEAFNKYGTVRYVDFSIGDDSGYLRFEDSEAAEKARVSAVLSDEGGLIIKDNIVTLEPVIGEAEKDYWTTIRGIQGKNKDNRNFKGRGGKNFRGKQFNDKRGRNSESEKSSNKAQKVEAAA >Et_6A_047032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24451893:24452869:1 gene:Et_6A_047032 transcript:Et_6A_047032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTMLERAWYMHCHYEYHLSMGMAAVFLVEDGPIVEMSLPPPPADFPMCGHDKNLMPDGFYLLTK >Et_6B_049562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6605775:6606578:1 gene:Et_6B_049562 transcript:Et_6B_049562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGMLASAVLKVVTQQIGSAITGQIKLHDEDGAVEAVLKDVERRSIQDAAVRLWLKRLTDASLTDAMYAISDMFDQVDDTKPAQRKLAAVVLKLPIGPKITMVIKMKNMRKQLENITNQHLNFSFKTDNISNFQAIPDERETDSYIEIKHSLSGGPRKKER >Et_10B_002423.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19273587:19274209:1 gene:Et_10B_002423 transcript:Et_10B_002423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVWGGRGSGAGASEGDGILAAISRSQVAAHGREAATMVKKLLKSTGKAAWIAGTTFLVLVVPLIIEMDREQQLNDLELQQHTLLGGPPSVSAPAK >Et_5B_044256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2266310:2270046:-1 gene:Et_5B_044256 transcript:Et_5B_044256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKLSSGLFAGTHFNRKRFAADFARFQEGSPPTTATAVVHSAPTSEKKRKRKSKAKAKKSKKKRDEWAADSDVVEGFNVFKGLADKKLGVESEKVETNKDEDLEVIRRRKEIEREIERAAILRKRFDIHISGQNVPAPLESFEELFSRYGCDSKLFKNLSELRFQEPTPIQRQAIPILLSGRECFACAPTGSGKTLAFLFPIFMKIKPGSKGGVRAVILCPTRELAAQTVRECKKLAKRKKYYIKLMTKDLSKSGNFKDMHCDILVSTPLRLDHAVRERGLDLSSVEYLVLDESDKLFELGFVEVIDSVVAACSNPSIIRSLFSATLPDSIEALARTIMHDAVRVIVGRKNSASSLIKQKLIFAGTEKGKLIALRQSFQESLNPPVLIFVQSKERAKELYKELAFDDVRADVIHSDLTEQQRLDAVDNLRAGKTWVLIATEVIARGMDFKGLNCVINYDFPESAAAYIHRIGRCGRAGRSGKAITFFTEEDKPFLRNIANVLISSGCEVPSWIMALPKLKRKKHRVERDPISTLPDED >Et_3B_028765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1902869:1909308:1 gene:Et_3B_028765 transcript:Et_3B_028765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFSIRSVRGRWAAAQIRSAYAESMRATTAADFGPFAFAVRDLPPMEVRQFRWWEDELAAIKASEEEEDEGAAPGRGRAPKKRSISDLFAAAPPVDPSDSGGAARVGEEDDEVLGAIMRRTRELRRRRRVEAVAAAAAALTATAEAETSSAGEARGTEANSAREKELDTAYLQDELGNPEASEEPEAEQHISICKENVPDFKEKKHEKVDTIQKNKVDKLKHVESRKATKAGKQRDLKKMLPLHSILKKYTKHTSIKMVKEKNRHSKRSGVIELCRKSVKRVKFSEANDVLSCSKIPQLESICKLFSDAMTSSSSSSTDMSSEGEKYIAAESSSSHMPEKAFTKDKKANETTDLEKWCKLSKTGSSGLFDLNQALPGSVELNDPYNSNLEGANLDHIQDGTSSMDEQALDIGREKQKHATDLDIGAKGKSYAQPNRTIQDSVQLQQSWCSMTVHHGVSQLSTGGELPSCQFREYNPSHSAKPNFHTEMNVQQQHLPVAGQTFRLMGKDLTISATRTGYLAETVQKHTGPSDQYNLNTQMVLGLPRDGQPFLSLQAPNIPTVSGKSASIVHDSAANPASKRQAQFGYRSPHNFSQPLLTSTGDPSPYEDRFRGSANSESRRNVLLGYPPLPNRASAAFLQNLQAPWHSSRTESPSEPFVPAVTRHVTPSSDYHANFPQPYGVYSASSSGRPCEYMSFTLTNPSQTVQGVSGSRVSSALPSRYADTGVARSVPENSNASSSNRSVLKSGPVKLRAGAKHILMPSESTVDDNAAPLYSRVSFGSRSGNMSTPRKKGADFHNLKLRLNTKIKAQIKIGFSAKILGLVKIAKSSKTFIMPFIQSSDLLGPVSPAQ >Et_7B_055784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4474346:4477012:-1 gene:Et_7B_055784 transcript:Et_7B_055784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVQYDLEALTRLYGLLHKGPTDENLDVTSKALLMRMLEDATKRALQMRMTDETGMEQAQSDLESLNRLYGLLHKGPTDSNLDATSRGLLMRMLDDATKQALLGQTKMISGSLMSPVPERNLSIRSVRRTPATNPRQSLNPLPSPSLISLRRQPSERSHRPNLQDSASSSRDGRHVRGLRHNAVEEPVLSRLASNRSSRTAVPLSRLASNRSSRTAVPPRHQQSGERQHSGLNLYRLPIASTSQQGTVTGRSRRADVPDMTRPSYGGGDHSSLERSTSQHGAVTERSRRADLGDRTVPSYGRGDHSSLERGSVRPSVSRELSRGRERPPPTPRRVAAEGSSSTRHIGRQGSGLSRRGTERAARGGAATPRYSSSSASSDEAATIRSRITPHRELVTERFLRRQAEEEEEGGSTQLRRLEYGAVSSAAGWASRSSRPQRRTLHRIDSVSTYSVSSAGAASLARYTSLSVSPASSSASASSYSSSSPPASRRGRGARPAYAYEAPADSWRDRGARPAYAYAYEAPAESLRRRRRRERLERRVGRILKIKEKFAMLFRHRHDHHHHHHHHHGHAQEGPSSSRRDVVPHNNRKSLWKHLGGVFHHAKGKDKKKTMSQTGVSVPAKKRGSGGVGHMRSLFGAMQHLRGKRKAPKAKTPASVKMMNKGFQTQMKRMHWWQQLRKRRGRGKASSSKPRRRLGLGLRD >Et_8A_057308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23467926:23469568:1 gene:Et_8A_057308 transcript:Et_8A_057308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHRDSSFSMQSTAQPRNSEMQSRSSAKSTVSSLIPAQVFVILLKVTRGTNKSKTPTSNKEWHQNIFQKKEGKTLMLSSLATKTSVPLQYDACRSAMANRDCFLLMPAGSGKILCYQKKSALLLNTVLSASLDVKTWKTSLELLFLQLPAALHSGVTVTYPGSEFGINLQDWYTAAFLNSQRTASQASAMVQELRCGKPSFKLVHVTPERMVGDYAFMEILSGLDQRIGLLARFVIDEAHCVSQWEHDFRPDCRGLGCLKQNFPREVTDKTKTAQKQLGDLLKDRFMYKSVVLFTVSPRECADTARFLREKYKIKCAHYHAGMAAKQ >Et_1A_007160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31469549:31474269:-1 gene:Et_1A_007160 transcript:Et_1A_007160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSHRIKLDHVWPTATRSVYAKVVDECDSVHAAATRTTISRSHARTTLSTPCRRCGKLSGLTRALAPTLATGFHHAAASDVVRRYHAANMEIQSPDPEQAYGFPKEEAPPLAAAATGVVDALPSFEGMGTDLGNASGGAMVEPDVRPVEEDPTVFVPFVRGQLDGTNCRTVWEALHESGKKHTLSYSYVYILDQCMHRSFINTSLQDFTCNIFFWPFLSKSQAIYLVVHGTGLGNFHHLITDRMQLGPDGQTTSSEQMYLEYNLQQRTQDWVKSFIANCEEALRNDRKSLRLRTSCTADCTDTSTPPVNNNAHMELEIEMLINALNNKIEKLEQAAQRNVGTRGLLAIKEKMDKLHESIMKQNRENEMKGAGSSGSKANLNSSNQANQRTGNPQQIEREEGNSTMASASAVTAMALFVLVALCTSHVAFSLRPGLGVCRASGYLPGRSGNCVKDNDPDCCVDGKQYPQYHCSPPVTSSTRAVLTLNSFEKGKDGGGPSECDNAYHSDAEKVVALSTGWFSNMARCGHRIKITANGNSVYAKVVDECDSVHGCDEDHNFEVPCANNIVDASPAVWEALGLDQSVGEQDITWSDGDE >Et_2A_015420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12328688:12330202:-1 gene:Et_2A_015420 transcript:Et_2A_015420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRMTTEEMRKVQRADGVATVLAIGTATPPNCVYQADYPDYYFRVTKSEHLTDLKNKFTRLYMPSADFRAAKLLGLRPSVNRVMLYHQGCFAGGTVLRVAKDLAENNRGTRVLIICSEITAVTFRRPCANHIDSMIGQALFGDGAAAVIVGAGPDEAAGERPLFQLVTAAQTIVPDSDDAILGHLREEGLTFHLQERVPDLIGMNIKGLLETAFKLLGISDWNKIFWVAHPGGPAILNMAEAELGLDQARLRATRHVLAEYGNMSSACVLFILDEMRRRSAKQGLGTTGEGLDWGVLFGFGPGLT >Et_8B_059253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15886362:15889684:-1 gene:Et_8B_059253 transcript:Et_8B_059253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSESSGGGPPAASVGDGDWEEGGWGRSVRLKPPLNSQARVYSSILIVDSLRLSSSENMLRLRKPFPSFFPSPAEHLKKTRKPLEDGTNPTKNPHAIQASSDPRLPFPRRARWAPLLLNLLRLLPCPGPGESKSSRSTYKMTCSNKAEHQWIENVKLGGAVPCLEPENCPNGWATPPGDTFMVRGPEYLTNKVKIPGGECLLKPLGFDWIRSQTKICEILNDKSHRVRKAIDEEVSCGNQPFVWAFNLQLPKDNYSAVFYFVSLEPIPEGSLMDQFLKGDDAYRKSRLKLIANIVKGPWIVRTAVGEQAICILGRALSCKYIQGSNFIEVDVDIGSSIVANAIVHLAFGYITTLTVDLAFLIESQTESELPERLLGAVRFSELNPGSAGLYKKPSEEHQESSEVPPARFWHGFSQLLHNPGNPREASPSSQIPNGNLQTEDTNENKW >Et_8B_059233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15683557:15685870:1 gene:Et_8B_059233 transcript:Et_8B_059233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPIKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEINVKKNTRKPLGRILLKGDNITLMMNT >Et_8B_059979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4691452:4694363:1 gene:Et_8B_059979 transcript:Et_8B_059979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQWHSLLSPAPHHAMAMLAPPPPPNRTIYSPFRSPSPRSVARAAPTLSATEATVSSPSSPKKKVLVPVAMGTEEMEAVILAGVLRRAGADVTLASVEDGLEVKASCGSRLIADTHIASCTDQVFDLVALPGGMPGSVRLRDSDILQRITVRQVEEKRLYGAICAAPAVVLLPWGLHKGKKITCHPSFMGDLPTFRAVESNVQVSGELTTSRGPGTTFQFALSFVEQMFGIHAAEDVDRTLMTQMNVDLERSTEVNEVAWSVDHNPRVLIPIADGSEEMEIIILVDILRRAKINVVLASVEKSPNIVGSQGMKIVADECIRSASDSEYDLIILPGGPAGAERLHRSRILKKLLKEQKQAGRMYGGVCSSQIVLQQQGLLEDKVVTSHPSTTNQLTRRVIDGSKVVIDGNLITGKGLGTVIDFSLAIIRKFFGHGRAKGVANGIVFEYPKS >Et_1B_013167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:652397:655501:-1 gene:Et_1B_013167 transcript:Et_1B_013167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVEDYDNFYVMRGYPDAASYIEAKRREHFLEYLGKVHPTWLLILERDSVAKFEQVCRGWSFLIGRGDLIHPEILASIASKNALRCARVTLQGGDLFRGRRADPNLRHRYGFAPLHLAAETFSVDMLKLLLRHGASANLRTQGEHVIEGLLPLHVAVENASMHKLIAKHTDNILDEIWNYIDDDGKIVQLAILLLAAQKQLRGRSKVSRNGFHVLTQRTFDLSAPYATAMVYQGKKGTALKKLKNKKEALVTAATLVGIVFNAGEALDRCIQSHSEVPHKEILERVSSILNGIGIAPSGKGIDTGNLKGFLLIASSKQILQKAPPKGLALKDVRNEFFPYWKSVLSARMQVNIIPPCELSIKDRKAVQGTNFMPQTNQGEMSP >Et_4A_032104.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:17990218:17990313:1 gene:Et_4A_032104 transcript:Et_4A_032104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKILKRRLAVPFFMEITILMTWALWKTMND >Et_4A_032001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11326775:11327247:1 gene:Et_4A_032001 transcript:Et_4A_032001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSPSRATSSSCSSSSRSSSGASSSRGEVKTAAAAPPPSRRTKGGLGEADLLALPVFVHGASPEINGEIKVECAVCISELRDGDTGRLLPLCGHRFHAECVDRWFRSHITCPLCRAVAVADGGAGQIDSKVASVV >Et_4B_036977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13038854:13040226:1 gene:Et_4B_036977 transcript:Et_4B_036977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTITAAAPPPSIDQPRRRWQCVLSFTAARDRFLRRRFAAAGLRPFSVRLPSPAGTSTTVHVWAPPRPSRRPVLLLHGFGASAIWQWAPYLRNLLATGFDPIVPDLLFFGGSSSTVQDRTDGFQARTIKAAMDAMGVRRFAVVGVSYGGFVAYRMAAMYPEAVERVVMVSSGVCIEEDDLAAGLFPVTRIEEAAELLVPQRPEDVRRLVKLTFVRPPPVMPSCFLKDYINVLGSDHIQEKTELLRALIHDRKLSDLPTIRQPTLIIWGEQDQVFPLELAHRLQRHLGENSRLVVLKNAGHAANLEKSKDVCRNIVEYLKEQVSNASTNGEKVATAFPLHLACSVSI >Et_9A_062126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19948480:19951056:-1 gene:Et_9A_062126 transcript:Et_9A_062126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAAAAVASGISARPAAPRSALTGRRARSVVRAAISVERGEKAYTVQKSEEIFNAAKELMPGGVNSPVRAFKSVGGQPIVFDSVKGSRMWDVDGNEYIDYVGSWGPAIIGHADDKVNAALIETLKKGTSFGAPCYSENVLAEMVISAVPSVEMVRFVNSGTEACMGALRLVRAFTGREKILKFEGCYHGHADSFLVKAGSGVATLGLPDSPGVPKGATSETLTAPYNDVDAVKKLFEENKGEIAAVFLEPVVGNAGFIPPQPGFLNALRDLTKQDGALLVFDEVMTGFRLAYGGAQEYFGITPDVTTMGKVIGGGLPVGAYGGRREIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLMEPGTYEYLDKITGDLVRGILDAGAKTGHEMCGGHIRGMFGFFFTGGPVHNFEDAKKSDTEKFGRFYRGMLEEGVYLAPSQFEAGFTSLAHTSQDIEKTVEAAEKVLKRI >Et_7B_054221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17850102:17852986:-1 gene:Et_7B_054221 transcript:Et_7B_054221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAERHSVSLLLLVVIGCWACRGCLAQIPIPARTDGFVYGGKPPAWGKTVVVEAFFDPVCPDSRDAWPALKKAVEHYDSRVSVVVHLFPLPYHSNAFISCRSIHTANKLNSSFAYPLLERFFKYQERYYNKPTYTKSRATVVDELTSNLVVPIIGQANLAAYKAGFDDAQSDQAARISFKNGCARGVTGTPYFFVNGIPLSDSGSPLDYNKWISIFDPLVGKM >Et_2A_014643.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31223824:31224726:1 gene:Et_2A_014643 transcript:Et_2A_014643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFGDELFLDIGDDGLCEPDCLSFSESIEEDLAFPRHLFSQGFDLGTLSPTPGSPFSFDSDPDLLGHPSSRPRSPPFWDCLEDDLADHGFEWEEVVDAAPGVGGRGSGGVGGDGVRGVGSDGDVFGFLDEGEMLGVMEGIDSGDDESIFSDEPPFALGDGEMEELDGIFRGVGWEVLPMPLDEEFEMLPGHLADAAVGGAPPAARAAVERLQVVAVGGEEAAQGCAVCKDGIAQGELATRLPCSHFYHGACIGPWLAIRNSCPVCRYELPTDDPEYERRRARRRSAGGSTAQLGTPMQL >Et_7B_053982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1436836:1439620:1 gene:Et_7B_053982 transcript:Et_7B_053982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDHDGHARYKQFKKNLMLIGLALAIAVGSLLCSFLIPGLSKQKKIRCWQVAGLMLCGIVTGTPFHVLEFGWSVPQIEEPKTLSGAESPASPKPGVRSFPLLQRGSRQPQDAKIGSETPAADGVGDAVRKRPGGGDDPAPAPADKRRRPEPAPSSGSRDRHHHRRAPAAEEKVRASHILIKHEGSRRKASWRDPEGVAIAATTRDDAADLVLALREQIVSGERKFEDVATENSDCSSAKRGGDLGSFGRGKMQKAFEKVAFALKVGEISDVVDTESGVHIIKRTG >Et_1B_011966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27616395:27619853:1 gene:Et_1B_011966 transcript:Et_1B_011966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTQQDGHAAAVENGGKALQHGGVGHGRPPVAPKGTWRFARAGNGGRERAMAGEGDKMSIRAVRFKIMASVEERGPRPVLPLAHGDPSVFPAFRTAAEAEDAVAAALRTGQANCYAAGVGLPAARRALAEHLSHDLPYKLTADDIFLTAGGTQAIEVVISVLAQPGTNILLPKPGYPNYEARAAFNNLEVRHFDLVPERGWEIDVDSLESIADKNTTAMVLINPNNPCGSVYSHQHLAKVAEVARKLGILIISDEVYGNLVFGSSPFITMGVFGHIAPVLTIGSLSKRWIVPGWRLGWVAACDPNKILQTSKITTSITNFLNVSTDPATFIQAALPNILENTKEEFFNRIHDLLKETSEICYREIKDIKCITCPHKPEGSMFVMVKLNLYLLEGIHDDVDFCCQLAKEESVILCPGSVLGMESWVRITFAIDSSSLLDGLKRIKSFCERHKKKNLLNGF >Et_1B_012876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3711867:3714350:-1 gene:Et_1B_012876 transcript:Et_1B_012876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GLAEGDHSLLGADAATLDPQVVALDNTIVGESTHGCDVLLSPVRTSQVYNHFIYIKISLMQHHRQTQTIVPVKLCGGVMAFLSSLADLCGGEVTLPTSTSNSVGDPSRMPRSNTGNLAETPVGLAGKAGDTPTGDHSFVTLTFSDTNDVNHLILLEDGIHQDFILKEVVTKVHLLSNGTSIDLDLHQRAYLVKAFFLLATGFRLAAAPGERGMPGRVFDAGTPEGMPNAQYYGSSEYARISHALIYDIQAALALPVLDPDSGACLTVLELVTTSPRLRFAAEVDKLCKVLQGRALLLERGDVGGHALACAATAGALASSVSTSFFLIFPKEIPRECAPQRNFVLSTAKAG >Et_8B_060671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4551745:4564855:1 gene:Et_8B_060671 transcript:Et_8B_060671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLLPGAVGLESAVVVCIVPSIPCPELVAVGISCFARKLPSPSTTASLRAMPLLAASIRRLASAWLETMIMIRFVGRESVVVIGIIPWPPCPVLFAVAMSCFALKVPSPSTTASRLGPSAALLIAVISHLASAAWLEMTIKIRTQAHKTLEPAMQILYDLGQERNANKYIQLLWRGKEYLCKGTRDDMKQCHNQFQLRQTEEMRISQLNLIRCCHRQRGESTVEEVADDLVLRDGLVAAGLEEVERVALAGRLPNLGLAAPAAGPQPVVVGAAAVAEHVALADADEHAAARQGLQRRRAVDERVDERVVLARGGGAHDAPQAAEALARRRVQVVGGHLVGAEEVGVDHDEAPDLGAQPLRSRAHRDVVRDVGARALAAEVEAGEVGVAGDPGVLAGARGVGDDPGQRLPRVGVGGGDGVLGREAVLDGDDEDVGERGEAVEVGVEGGVEGGAEAEAAAVVVDEDGELLGGRVAVAAGGAREVEARGDVGGDGDVAGGDAGGGVVGGGGDELGAEVALDAVLVDADAGHGLVHDLVVGRGGRRRGGGGGGHRAAEIWAGHGAGGRWLG >Et_2A_015983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:198239:199546:1 gene:Et_2A_015983 transcript:Et_2A_015983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRIRTTLVTIRGFMSGSPAASGSLQAALSYCVRQVRSYDYHHYLCLLHLPPAMRQAAFTFRAFNVETAKAMDVVSDPKTGLMRLLWWKDVIDKIFAKKLVEHPVAQALSAVASQQKISKHWLKRSVDARINDANRDEGAIPETTAELERYAEDTQSTILYMTLQAGGIQSTIADHAASHIGKASGLLLLLKALPHHVSKQGIIPYIPASVAEECGLLTQEGGRSEVRMDERLPDAVFKVASVAEAHLQKARELAPSVPREAVPVLLPALPAQVLLDSLRRCEFNVFDSRVSRGVHGVSPLWYQVKLNWNAWRNKY >Et_5A_041380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2239494:2240273:1 gene:Et_5A_041380 transcript:Et_5A_041380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEACKDACGGGRAAVEEGLVDPADTAKLYEDVPPMPLMALNHISRLCKSVDASVRFYVKALGFVLIHRPPALNFSGAWLFNYGVGIHLVQRDDARKAPDVNPGGKLDPMDNHISFQCEDMGVMEKRLKDMKIKYMKRTINEEEGSPIDQLFFKDPDGFMIEICNCENLELVPAGALGRLRLPRGRHNPPVRMDFSDDDDE >Et_1A_005102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27346709:27347089:-1 gene:Et_1A_005102 transcript:Et_1A_005102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTAAVEAATAAAGRAAAMAAMEAATAEETTAAAAAATAMIAVAAAAAFSARSSAVAGQSSAAATAAATEEAAAAAMAGRAGGTGTARRTR >Et_4A_032492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10749416:10761694:1 gene:Et_4A_032492 transcript:Et_4A_032492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVAAMETAAPGEGQRFKRIPRQACAGNLELDPLLNENLDQWPHLNELVQCYKADFVKDECKYGRYESVAPPSFQNQIFEGPDTDIETELQLCNARHSKPDDATEDDTPSTSGRQIYETEPSTSSSKVHCSLSPLPAYEPAFDWENERSLIFGQRVPERLPAINNSGLKITVKVLSLSFQAGLVEPFSGTICLYNRDRREKLSEDFYFHILPTEMQDAQVSLDRRGVFSLDVPSPSVCLLIQLEKAATEEGGVTPSVYSRKEPVHLTEKEKQKLQVWSRIMPYKESFAWAMIPLFESNHSGGVGDAASPSSPLAPSISGSSSQDSIVEPVSKLTLDGKLNHYSSGSSVIVEISNLNKVKESYIEDSLQDPKRKVHKPVKGVLRLEVEKLHNGHNDVDNASEAGSMANDLNDAGELNNGRCNRSSFDGMRSSLNSGAVVHKESHHNGQIFNAENGDYFHAFDFRMLTRSEPFSQLFHCLYVYPLTVSLSRKRNLFVRVELRKDDSDIRKPPLEAVHPRDRNTMLQKWGHTQITVGTRMACYHDEVKISLPALLTPHHHLVFTFYHVDLQMKLEAPKPVIVGYSVLPLSTHIQLHSDVSLPILRELVPNYLQESGKERMDYLEDGKTVFRLRLRLCSSLFPVNERIRDFFVEYDRHTLHTSPPWGSELLEVAAFRAMVNILTRVQQESSDGAERNKFLVNYVDFAFDDFGELQAPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMGLEQSRLFYHNLPLGEDVPPLQLKEGVFRCIMQLFDCLLTEVHERCKKGLSLAKRLNSTLAFFCYDLLSIIEPRQVFELVSLYMDKFAGVCQSVLHECKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTLDHDDLSQRAKAARILVVLICKHEFDARYQKSEDKLYIAQLYFPLIGQILDEMPVFYNLNAVEKREVLVVILQIVRNLDDTTLIKAWQQSIARTRLFFKLLEECIAHFENNKTGDSLLLGSSSRSPDTERPGSPKYSERLSPSVNAYLSEASRHEIRPQGTPENGYMWNRVSPQLSSPNQPYSLREALAQAQSSRIGSTARALRESLHPVLRQKLELWEENLSTAMSLEVLGITEKFSVAAGTRSISTDYAKLDCITSILMGLLSRNQPLAFWKAFLPVVYNIFNLHGATLMARENDRFLKQIAFHLLRLAVFRNDSIRKRAVVGLQILVRNSFNYFKNTTRLRVMLTITLSELMSDVQVTQMKSDGSLEESGEARRLRKSLEEMADVRSKDLLKECGLPVTALEAALEGSTDNRWSWVEVKHLSKCLVQALDAGLEHALLGSVVNVDRYAAAEGFYKLAMAYTPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVIMQALVGRNDAVWSKEHVASLRKICPIVSADVSAETSAAEVEGYGASKLTVDSAVKYLQLANKLFAQAELYHFCASIQELIIPVYKSRRAYGQLAKCHTSLTNIYESILEQEASPIPFIDATYYRVGFYGERFGKLNKKEYVFREPRDVRLGDIMEKLSHIYEAKMDGNHTLHIIPDSRQVNADELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDHFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL >Et_9A_061580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1453694:1454282:-1 gene:Et_9A_061580 transcript:Et_9A_061580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEFCREFLAGMLKPVAVVAVASGSSRRDQSGPNPEEIYATARARSFVQLAAIGFVPQFIFTQKKPVLCRVLAYLALHCDAGRDLRMLAFFTKTFQLEDTWSLLTSDCNAVTKSYYRGVFNYDQSA >Et_2A_016534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25775673:25791254:1 gene:Et_2A_016534 transcript:Et_2A_016534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADLVVCEPLTQRYKAIFPPDLERVCIIGAFLLEGVDADGAIGMDNFRVLLVLYDPDYDQRDEYRSGSPEINVTFSTAPGTVSSATRPCPRTPCPFRVRKRFNLAGRTGGRIYWGCEDEQVVILDESTFKFSTMTLPNHMKWKFHIDNFRVLGGGEGGTVRIVRLTDDGDLEVYHQLRGRGTDDWALEKSVRLAEASRGLPRRKDEYFTIAPKIIRVQEGSVVMSPVKETWLFCIEIETMELAREHRRNKFAGPAYPCTQPWPPVLRPMGKESQRWSNDAKKRKMNRTPPGALTTIHNLADELLELIFLDLDSAVCLIRAASTCKRWRHVVADADGAFLRRFRSVHEPPVLGHYYYPVVVDPPCSFGRNHFFPHEDPVFVPSPELAAAACPGLQHLSLDFVPDAGARRELVDSRGSLLLLLNDTVGSGTHDDSWFYDYGDRMDADLVVCEPLTRRYKVITIPDLERVCLIGAFLLNAVDDEAGHGAISMDSFRVILVIYDPDYEYDESYRHGFPYTVVLTCDSNGVRSSASSSDMNGLRLPFLEEVHFAGRTGGRIYGGCEDEQVVVLDERTLDFSTMTLPDHMNWDFQTNNFRAVGGRSDGAMRIIRLTDEDRLVVYHLRGSCTDDWVLENWVSVRLAKANRGLPGRKDEYFVGTPNIVRVQEGSVVLSLGKGKWLFSVDLETMELTRENRRNQFAGPAYPCTQPWPPVLRACVEDKPSSGRAFQTSMATERRRHRRRGSDKPKGKKTSVRPATVHDVPDHLLQLVLLHLDSSVWLLRAAATCRRWRRLVAEAGFLSRFRSLHAPCVAGNYHVVDPDWVEYGSPPITGNPVFVPSSSSSSLTVDSRRFSLDFLPDSDTGWEIADSRGSLLLLFKRRTSRSWAARATRRSRYCFPDLVVCEPLTRRCQGILRPGLAAVTSCLGVFLLDGNRVDGTGGLIGMSNFRVVSVLHECDISEQGRGTPVAYMFSSGSDGGWRVLRKALNSDISVPGAIESISFVGRANGSLYWVTGEEGVMLAFDEATTKFSRFQFPAGSIRGSFVDRSSLRVIGGGEDGALRIIRVVGNDLKVLARFQGNDEWVIEKLVCLSEATRGLPGREGRFFRLEALIVTASEKYVLMTPQGKTWLFSVELETLQVEREHERNRYPGAAYPCELPWPPVLEACAADHGQVNTPSSSSMAKTERRQRRSRRNMAKKNREEPRPDGPTSLHDLPDHLLELVLIRLDSSATLLRAAAACKLWRRIITGSAGFLTRFRSLHHAPCVAGHYHAYDPDWVEYGVPPITGSPVFMPSSSAVVDSRRFSLDFLPESHSGWAIADSRGSLLLLFRKRTGWAERARESFYFPDLVVCDPLTRRYQGILRESHHSHGVFLLDGVDGSSGGDHVINMSNFRVVSVDIYYLEETEPEGYKPVACVFTPGSDGGWRDLPTASTNSDAITLPAGSTETFAGRANGLLYWVTGEDGAMLAFDQATTQFSRVTFPADAGVGGAYDKWSVRVIGGEDGALRVVRMVNSNLTIFVRHKGSENLVVEKCLRLPEAAIRLPGCEDYNYFLRNAMIAAANEAYILVTPQEKTCLFSVELDTMELEFEHERNKYPGTAYPCELPWPPSFEADKPDKSKGASKQRREAPAAPAGPTSVHDVPDHLLELILLRLHSSACLLRTAAACKRWRGLVAGDAWFISRFRSFRRAPPVAAGYYHTSDPFYYARPPPIGGGPTFVPSVPVDRGRFSLDFLPDRDPSWELADSRGSLLLLSRKRLDGWHGARLFPDLVVCEPLTRRHQGVLRPVNRSSRPCIGVFLLDGGSMSSFRVVAVLCLYDDDDDNHPWADGHGKPLACVFTSGSDGGGWRTAASAGSGGADDIALPRTTDLVSFVGRANGALHWEIGDVGAVLALDEATATTFSLVPLPDKAWGPYGRWCLRVVGGGGEDGGALRVVRLVSNELSVFVRLQRSSGGGGWVLEKQVRLPEATRGLPGRDGRFFRREAMIVDAHDAYVLVTPQEETWLFSVELGTMELERAHERNRYPGAAYPCELPWPPALEACAAADHGRRRRR >Et_7A_053179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9119600:9121605:-1 gene:Et_7A_053179 transcript:Et_7A_053179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKFRNEEIQKGMVPYVVELDKTMAPRSVLPFDETQWIIRIRRILDEEIELCDDQPISIFDVPKALLSTKPEAYIPQLVSLGPYHHCREELCDIERYKLSAAKRAQIHLPGMDLQQLVHGFTKLEHRIRAHYHRHLNLSNETLGWMMAIDVSFLLEFLQTLNKNNNERAVQMIPSRMSHLVDLSRRTSSHSMLLRDIVMLENQVPLFLLHKAVELRCSSAQPAQSVMSSMLIGFFQEVSTFRGIGCPCTNINQYVHLLDFLYSNMVPRCTGTEESSGTAEEAQDETHCKHDHRKRETLYSIMDFLVKRGSKLISVLIDFMAGILLKFVASLPCLSVLSQPIEQLTQAATEPSRAPDVQNKNLSPLLEEIAVPSITELAYAGVKFCPTVGDLSMINFSSETMTLHLPVIGVDVNSEVVLRNMVAYEASVGTGALKLARYVELMNGIIDTEEDARLLRECGIILNHLKSDQEVAELWNGMTRSVRLTRVPALDKVIDDLNKHYNSCWKVKIRTFVKVHVLGSRELLGGVVVVLLLFFMSLQVFCVARGCVPAPYRMASRKVGT >Et_1A_008173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4981706:4984673:-1 gene:Et_1A_008173 transcript:Et_1A_008173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSGISAADRRSDGPNLRVPTIRGVRAVALRDRAWRRGGDKKALPNEASPPLAKIGAAAESWKEGRHHLPPPPELKGESRVSPSFSPVLSSRFEVVMADQANQPSVLQKLGGQFHLSSTISDGVRARNICPSASSYERRFATRNYMTQTVWGPSMSVSGGINVPAMSSSPLFANAPAEKGGKNFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFKRTIKDEGFSSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFGGNLASGGAAGASSLFFVYSLDYARTRLANDAKASKGGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGLYDSIKPVVLTGKLQDNFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFQQILKKEGPKSLFKGAGANILRAIAGAGVLSGYDQLQILFFGKKYGSGGA >Et_3B_027497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22597797:22598264:1 gene:Et_3B_027497 transcript:Et_3B_027497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FFCTNTGQPILVENENAVTANLIKGHSRKVLTEIQDYDYGGANSRHDPRRRPGRNG >Et_8B_059303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16401779:16406679:-1 gene:Et_8B_059303 transcript:Et_8B_059303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGRLPSSPSSSAAATDPGRNRGWLSALRRSTAACSYFRLVMVYSRRDFSAFRSYSSSSDDGGCWSEEPKVATFLCRTSRKPGGCACVEVDSEAVADEAAHGGWVRNKSGVVIFTAGNCDGPGTHVYTLDVGMEASGGE >Et_8A_056709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15668828:15670373:1 gene:Et_8A_056709 transcript:Et_8A_056709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGWFCLVQTNERRGLKINNSWGDHNNCELHLTMFRVRYGRNGELTTMNRRLVRSYVLPRYNPCKKNYLRAFWINVAPRATSDPSAPPDLHRHERRYEEESDRQDDMAVYMAMQSIYDPLTAERVNNLQWRERAITTGISRTPTLTGAFTASLIVLRYWGTK >Et_9B_063828.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:16581613:16581687:1 gene:Et_9B_063828 transcript:Et_9B_063828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVASRDLELIKEDIGSYKIGA >Et_4A_032889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15043336:15043750:-1 gene:Et_4A_032889 transcript:Et_4A_032889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKKTNAKQATILCLLLVLMLHADHASAGTACFDSKPWIPFCKAWSCKSECWMEAKMLFLGAKVMQHKCTKGGIKGRCYCLMCNEPDQ >Et_1A_005163.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30147000:30147248:1 gene:Et_1A_005163 transcript:Et_1A_005163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPARRLLLLLACVLLVAECSSFNHGRRMIAEEKQRPSSSVEEQRYRIPRTQQRRGSGSSGRMYEASARPVPQGSNPLHNR >Et_7A_051679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22631143:22636652:1 gene:Et_7A_051679 transcript:Et_7A_051679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAAALSVWPSMTTRGSWHHQHQVWCLSAALETPEGGEQPSHRSANYQPNSWDYNALLSLKSPNGHRLVELSPRYDKLKDSIKDMMHKKAEPDSKLKIIDTMQRLGISYHFEEEINGVLNSISMENANHRHMDDISFAALKFRLLRENGFPATQETLGFHNYEGTCIKMRLKKDVDGLLSLYEASCLGYEGEEILDAARTFSTIALKELMPSMMPQLRKRLALDLELPLHWRAPRLETRWSVDHNVSNISMCPLLLQFAKINFNMVQDVHKQDLATVTRWWRHTALADKLTFARDRLMECFYYATGIVWEPNTGACREMLAKVSCLILHLDDVYDAYGTMDELVLFTDAIGRWDANPDEVLPEYMRALYSVIYNTSNEVADHALKEHGCSMHYHLQKVWHDISVTFLVEAKWQYGNQRPSLQEYLENGWISSSGPVLLLHAFPMLNSVVNLKTIAEIKNCPRLAELQRGDAPSSIAIHMAENGANENDSRKAMQDIILKSWKIINKEAFDIRQFSRPFTKACVNLARISHCIYHGGDGFGAPSDMKKKQIKDLFLESIDEKID >Et_8B_059713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20947822:20949198:-1 gene:Et_8B_059713 transcript:Et_8B_059713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNLKHDRWMDGKTNNSPGREGECRKLLYPAMHPSSVDKLDGWTAYIDLQVVHIRLHVDDGEQMKGEYYTIIKLCYIYSSICILVAPNKSSSSTFIIVAQRLYSSPASSSINSPLDAMVRKQRQLVGGLSSLFSSKKDGAGAAQVLPCPWTSSSSSSSSAWQWPSCGQPRTLSFRQQQEEVHGHHHDQQTTMKQAYKTMNSAYSVDSFSTAEEEEEEAAAAAADSSLLSEEAVIRALRSDRLFFEPDDSDEPCIVKHKLQGGDDTAVAFGGATVMSVESRNPYRDFRASMEAMVLSHGGPKMVKDWLWLEEMLGWYLRANGKTTHGLIVGAFVDLLVSLSNTSPSSSSPATPTPTNGSACSSSSSL >Et_8B_060847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9079125:9081571:-1 gene:Et_8B_060847 transcript:Et_8B_060847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGHCFPWLLVALGGLILLPLAHGSPNQQNEDRIQLLPGQPNGVTFDMYGGYITVDEQAGRALYYWFQEADRGTADPATAPLVLWLNGGPGCSSIGGGALEELGAFRVDTDGETLLLNEYAWNKEFVNLTYGSVTYVHAAANILFLESPAGVGFSYSNTSSDLVVGDNRTAHDSYTFLVKWFEIFEQYKYRDFYIAGESYAGHYVPQLSQLVYRRNLGVEKPEINFKGFLVGNGVTDDRIDTIGMLESWWHHGLISDETLESGLKVCPETSLTHPSPECQKVMDKATEEQGNIDVYSIYTPPCEKGTSYERSLSLKRSRRRPLMLPAYNPCTAFYSTHYLNIPEVQDAMHANVSGIIKDPWVMCNNPIYDNWTTDVVSMLPIYEELIGAGLKVWVFSGDTDAAVPLSSTRRSLAALNLPVKTSWHPWYIVSTEVGGWSMEYEGLTFVTVRGAGHEVPLHRPEQALFLFQHFLQGEPMPAEASVTFALNEIARKN >Et_4A_031777.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:13807031:13807354:1 gene:Et_4A_031777 transcript:Et_4A_031777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAGALTAPAPNVWAYAALRFASGFARSMIGTCTLVLSTEVVGRRWRDAVSVAAFFCYTVGFLSLPALGYALRDASWRSMYLWTSVPCLCYAVLLCFVAQESPRWLL >Et_8B_060862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9726953:9727977:1 gene:Et_8B_060862 transcript:Et_8B_060862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATRDSTGRIMKYSPHTNMVTVLMSNVTYPNGISISTYRTHLVVALTGPCKLLKYWLQGPKAKNSEIFADLPGYPDNVRPDEKRGYWVALHCEKYELPFGKYSHLLAIRIGADGQNIQDMRGPKSVTPTEIVGRRWKDISRIRRVVICEHSGRINMLPGASVRRGAGRVWPPRCATVLASTRQPVESLEPVIYRGPTGTRNVLLRRSSIFPFRQCTAKISRGYRQDLANPVLETEKFSVYTSSLRLYDRPDPWGC >Et_9A_062780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4837747:4839622:-1 gene:Et_9A_062780 transcript:Et_9A_062780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QCIGETMPSSDKVLALSVQKQANCELRNKGANIVNMSQGTSALPKDKGPAPTADPGKAVVTKRQHSDTPSSPGYHNVYVRRKVESEHNKVNSSQELKGNGRDKTKEQEAQQDVQHEAQQDVQHEAQKDVQHEAQKDVQHEAQQGVQHEAQKDAQREQGAQHEAHQDIQHDEVNKPQVASPVAKSVEPASSITSGKPNAETQQDVQHDEVNKPQVASPQDVQHDEVNKPQVAFPVVKPVEPASSITSGKPNVETVPKKTVPPVSSATGTQDDVEQSSIQYWSERFNRLQTYLENCDRSTQEGYLRKLRSLSAAGRSLHAIELEKRAIHLLVEEGKELQRMKALNVLGKVSPNDSSKQTPLQRQFHK >Et_7B_055354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9657401:9657815:1 gene:Et_7B_055354 transcript:Et_7B_055354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKEEYDFKLHNLMDHYGIKSEAEIISGCIVKMSKIFTKSSDADAIKMAVRSLRKEARSWFSELTTDGHDDVDAMASAWYHVTYHPNYGVAAMEEPIGHILLASHEEEEDMRFRLLSAGSLLYIR >Et_4B_038422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28940114:28942239:1 gene:Et_4B_038422 transcript:Et_4B_038422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFGAPALVGLALVFGLIWLRSRSSSKEMRDIPGTMGWPVIGETFSFISDFSSPDGILSFMRDRQKRFGKVFKTYVLGRITVFMTGREAAKILLSGKDGVVSLNLFYTGKQVLGPTSLLTTNGEEHKKLRRLIGEPLSIDALKKYFDFINELAVQTLDTWHGRRVLVLEEASSFTLKVIANMLISLEPEGEEQEKFRANFKVISSSFASLPLKLPGTAFHRGLKARNRMYEMLDSVISRRREGGEVRNDFLQTLLRKHAKDGSASDDTDKLTDSQLKDNILTLLVAGHDTTTAGLTWLIKFLGENPDVLEKLREEHLEIKEKLNGTSNLRWSDVSSMPYTNKVMNETLRRATILPWFSRKAAQDFSIDGHEIKKGTSVNLDVVSIHHDPAVFADPERFDPDRFDQTLKPYSFLGFGSGPRMCPGMSLARLEICVFVHHLVCRYSWKPLEDDSSVQPTLVRMPKNKYPVIATAL >Et_9B_065783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11659773:11662990:-1 gene:Et_9B_065783 transcript:Et_9B_065783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGSTSPAPASLPDNDDLLLDIFLRLPPLPSSLPRASLVCKRWRCLLSDPAFLRRFRAHHLCSPMLGYFVVDFGNWLVPVFSPTLPAPDRIPPARFSFPQRPDEGLWFLGCRHGLALLFNREKLEVVVWDPITGRRCCISLPPELQMNHLHPNIKADMYNGALLRDRHTSAFKVVMILSNQLYHRVWACLYESDSGKWGNIVSTAVPSSTSVPCPSVLVRNALCWIIHRSGGGVLEFDTDKQSLAVIQMPEEIHDGGDTSDSSARVLRTQEGGLGLAILSGEKLMLWKETAISDPVARWVFEKTIELDKLISLGSSMEAHHPTDIVGFDEDTNVIFVWKAIGVFMIQLESMKPTHVSSESCVSGIRSLPDLPR >Et_7B_055657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:267418:271402:-1 gene:Et_7B_055657 transcript:Et_7B_055657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDSSSAATSIGRSIRSLRRDQIPTFPHPADASSDLDEADAFQRRAADLLTDLLAPDLLSLAWTSRLLDSFLLCLEHFRALLFGSGAAAAARPPLDRLVADFFERAVKALDLCNAVRDGLDLVRQWRNHLAIAARVLASDAPLGEAQIRRARKALTDLTILMLDDRDGGVVGHRNRSFGRANNNRETPRAHGQGHHRRSSSGGSSGSGSSNHLRSLSWSVSRAWSAARQLQAIGGGLPVPRPNDIAATGGLASAVYTMSAVLFIVAWALVAAIPCQDRGLQAHFSVPRNFPWSGPVTALYERILEESKKKEHKNSCGLLKEIYQIELCSRHLMEITDAAEYPLPEEKDAEVREAAQDLVQVCGALKDGLDPLERQVREMFHRIAPSLTMFGWLGQKDGVETTMANLSLFYP >Et_9A_062113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19838107:19839880:-1 gene:Et_9A_062113 transcript:Et_9A_062113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADPLLSTPRHGNGAQAQPPLVSFGAPPRRAQQDLIALVSMAAAAVSVSTSSLCGSGHQSLSLRPRTRAQPRHLARSALLLGRPSSSRRRSPSVLAAAGKKPSEAEEQVPAWARPGADEPPPWAREGGGGGAQEPGAGQVPFYAYLLASAITAIAAIGSIFEYTNQRPVFGIINPDSVLYAPLLGFFVFTGIPTSGFLWFKAVQTANKEAEEQDRRDGFIRRRASVRVGSSVSRDLGDREIECRDGNVEVAKMYTLAKAPLKAKPMDLYSTIDSIGSW >Et_4A_031804.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:16952206:16953286:1 gene:Et_4A_031804 transcript:Et_4A_031804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIWSTYSSLHHCSQAQLVLAAENSGGEAREREPRRDNTMPALVLAFFLTAAAASALPSTMPVSPALPAPYPPAPAPAGGSKPEDEFVRSCCARTLYPRLCRAGLAPYAASVRSSHARLALASANLTLAALNSLAGRIPTASNSSSSGCGALGDCAEAVAAAADQAAHAAERLEGVERAVGPEVLWRVDDAQTWLSAAMTYEDTCADGLRPGRSAPAPVRAELRARVRRAKQYTSIALALVNMLVHNP >Et_1B_012519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32680410:32688720:-1 gene:Et_1B_012519 transcript:Et_1B_012519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGHAVALAVAGLLLVALTPLAAGMPWSACGGNTTGNYTANSTYGGNLRLVAAALPSNVSTAPALFATAASGAAPNTVYALGQCRGDQDASACRDCIAAALGNAQRLCPDNRVAAIFYDLCQIGFSDRDLLTSTANSDDQEKRKELDWSKRFNIINGIARGLQYLHEDSQLKIIHRDLKASNVLLDANMNPKISDFGLARLFGGDQSQDTTNRVVGTYGYMSPEYALRGQYSMKSDIYSFGVLILEILTGTRNSDTYNSDQPVDLPSLAFFSTYYPTVASSVAGRFNGLVARLLEATAASSSSERTRFATGEMVVDGDNSQGAGLIPANIFATAQCTPDLTSEQCRACLAGAMAEMPRQVFPRKSSGASFVGERCGLRFATYSFYNGNAMVQLHARPQGKKTAKTTVLITVFATLAGLLALSLVGFWVWKKKRSQRKAPLFINVEDMESFESIFIGLSILRSATNNFDEKNKLGEGGFGAVYKGALPDGQEVAVKRLYQSTKQGLGQMKNELAMMVKLQHKNLVRIIGVCLEDGEHMLVYEYMPNKSLDTIIFDPDRSGHLDWGARFRIINGIARGLQYLHDHSQMTIVHRDLKASNVLLDADMKPKISDFGLAKIFTDDQTRKATSLIIGTLGYMSPEYALRGHYTTKLDVFSFGVLVLEIVTGRRNNYAVNTEDLFSLVWKHWVEGTIAEITDPGLGRHFPRGEVLKCINIGLLCVQQNPTDRPSMSTVVVMLDSDTISLEAPYRPAYVLNRSRSYIDTTELVKEPLCSEPQSSITELEPRLGKQREFMSYNILVSSHITLWQPID >Et_9B_064894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19632295:19636764:-1 gene:Et_9B_064894 transcript:Et_9B_064894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKGKQRQDKFYHLAKEQGYRSRAAFKLLQLDARYRFLPTARAVLDLCAAPGGWVQVAVNHAPVGAFVVGVDLVPIRPIRGAHSLTEDITTTKCRAAVRRLMDANGVAAFDVVLHDGSPNVGGAWAQEATSQSALVIDAVRLATMFLAPKGAFITKVFRSQDYNAIMFCLKQLFDKVDVTKPTASRSTSAEIYIICQRYKAPAKIQPELLDIKHLFSVVPEHNKPRDVTNDKRKRHRDGYEEGNTTLRKVGSASDFIWSEAQTPLDFLGSYNVISFDDPASEPIKSHELTTDEIKALCEDLYLLDKNGFKHLLKWRIRLRKALSSSSQVTPKSDDSVKDTEVKDDEKLLGQMEELSTVIDRKKKQEKKRKAKRRAKDKARKATGMQIDATGDDYGDPDLYDAQLEEMLDEAYKRFVTKKGGEVKQERKRAKRIDPDADADLLEGGKDDSDDVEMDQGFDEDQDEESNPLLLSLGEQKPTKEQIGLPTWFVEDEKRHRQPMKPVTREEVAAMKAMFKEIDARPAKKVAEAKARKKRVAMRKLDKARQKADAVADQNDINERSKRKMIDQIYRKAMPKKPQKEYVVAKKGVQVRAGKGKVLVDPRMKKDKRASGTGKKGKGGKGAKGKGKGMRGKAGKKAK >Et_1A_005472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10722699:10723914:1 gene:Et_1A_005472 transcript:Et_1A_005472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NTREQMDRIREQLLGAEQQPSSYDTAWVAMVPAQGTQAPRFPRYVEWILQNQHDDGSWGLGQLDQHSLGKDTINSTLACILALKKWNVGDEHIRKGLRFIAKKSSCITDEKCNTPVGFNIIFPGMIKYGVDMGLEFPLRQSDLDVIFCLRELELQRFSSLLAEPFLPLLFYPISPKIKVAKNYDALLFPFYIFHCCGFIYSNFRSSKASGRKAYMAYVAEGLGDIQDWDNILTYQRKNGSLFNSPSATSALAIHNGDINALKYLDDLNKLGNSGVAVELSCQNSREQMQLDVYLMPMIVCSSNGISDLHTFKTLHG >Et_9B_065501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5975205:5975544:1 gene:Et_9B_065501 transcript:Et_9B_065501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGGGDGECRPLGWLLGLPFALLAVLVSIVGAIIWIIGLPISCICPCCLCVTLVLEAAVELIKAPLHVMTWFTSKIPC >Et_9B_063924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21865457:21865595:-1 gene:Et_9B_063924 transcript:Et_9B_063924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRFTPGGGMGTTACCGRVGLCHTD >Et_3B_031121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16973469:16974017:1 gene:Et_3B_031121 transcript:Et_3B_031121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECGAGGLQMPSRPFVCDDEQIAVADSYLFSSELTAISEEDDSIEKRIYCFSSSCIAMFRTDTR >Et_1A_007071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30581362:30584356:-1 gene:Et_1A_007071 transcript:Et_1A_007071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIRLSKIGTSDSGGAASGAAAGGGAPAKSASAAAGGGAPGSVTVACPDHLVIADLPVAKSLGAVTNSAAAATRTIGRRSRRPLGERVHICSRCEFPIAIYGRLVPCEHAFCLTCARSDSTCYLCDERIQKIQTVKMMEGIFICAAPMCLKSFLKKADFESHVPEAHANLLQTTVVKEERNESDAPNISRASAGDQRQSQMPEMSTARAPPRPGFSPSSTSHMQDREERSRYHQSREQTPLRPPMLAKPPSFHGRHSYPPVEAQAENNTPQGFDRPYNWASHPHQESPGAATPLRQDSDPGTQDKQQLMANAPFMFPPIPPNQPNFMMPMNMNQPLMPNASFSYPPLQQDGNPQYFGGPFQMQLPDSGSDQGSMSGVQLPSGPLGFPEGLQRPWAMGLMGNPFQSMPMGQGMSDGAGDPQGGGGMAFMQAGFGGIPDGSMNPGMPGQADRGDGRGILAQMPMQMQMQMSLPPPPLPTQPPSGTQQPFNRT >Et_4B_036956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1316448:1319714:1 gene:Et_4B_036956 transcript:Et_4B_036956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARLRNNDAVLVAAVASIGNMLQGWDNASIAGSMFYIREEFHLQSMPVIQGFVMAIGLIGAMIITTFSGFLADKLGRRKMLIASAMSYFVGSILTLLTWSTCILLFTRLMSGFGIGLAMTIAPLYISEIAPTDKRGLLNTFPQLSGSGGMFLSYCVGFCVSLIPNNNWRLIFAVQAIPSFLCFVLTVFYLPESPKWLIRQGRAVEAKKVMERLQTTEDVKGQVALLIEGTGGGQTASMEEYFIGPNDEVLDSKLVPNEDMIKLYNLDEEEPCCVAYPIKDQSTHEDYIGLSKSHGRLPLDPIVDLIATENNILNEREEDDEWDEENPNESEEHLIERKEDDNDNSLYSPLISRSRDTGKEDLTPSLRSDIIRKGSGLEIGGGWQLAWRLPAGDLSNGQEHGGIQRMYIHQEFSQSSHDLSLDVPLSGKFIQAAALVNISVLTKDHIESNNIDLANLHQSNEDGFKGTTWASLLTPAVKRALVVAIGIQMLQQFAGINGVLYYTPEILEQGGAGVLLSTIGLTATSASILISALTTLVMLPFIGLSMWLMDRKGRRWLLLVTIPILLVSLIVLVVINIVTLSTELHATLSTISVGIYLCVFVMGFGPIPNILCAEIFPTSARAMCSTIYGLTFWICDILVTYSLPMLLRSIGLAGVFGTYATICLFALVFVYCKVPETKGMPVEVIVEFFSVGRSVSHFLKKKEDKPCQKDT >Et_5A_042245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:862298:867447:-1 gene:Et_5A_042245 transcript:Et_5A_042245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQPLLAAAAKRRGPRAAVLSLLFCSLLVPLAFLFDLSPSGGMQPLFLIHFPSLHLLLNAGVAARVATSALGSPPLRLDLGTQRLFDHCAASQINRFILRIPLSYPDWFVMQDTSRRRSSTDRFSTVCSHPFLMSLSRMWTPEVVLPSFDHVDERDVHGAVSGVSQDAPKRISKGSPDVVHQHKKTDPTPSIGSTKPKVWSFVGPRVGVFVALQRLLSEVSKDIKRQQKGAKADETEKAKACQFEFGSYCLWSTEHKEVMKDAIVKRLKDQLFVARSYYPSIAKIEGQEALTQKMKQNIQDHERILSASSVDADLPSSINKRIKQMEQTIARAKSCTVDCKNVDRKLRQILDMTEDEAHFHMTQSAFLYNLGAQTLPKSHHCLSMRLTLEYFKSSSSNSDDSFSRKLNSPKYRHYVILSKNVLAASLVINSTVSSSKDPGNLAFHILTDAQNFYAIKYWFSRNSFKKAAVDVINFEGFMLKKLPKYSMKQLYLPEEFRVLIRSITQPTENTTMKYLSLFSHSHFLIPEIFKYLKKVVVLDDDVVVQQDLSFLWNINMGDKVNGAVEFCGLKLGQVRNLLGKTAYDPKSCAWMSGVNLLNLDKWREHNVTENYLLLMKKFKYKDDASLRAAVLPLSLLSFQHLIYPLDEKLTLAGLGYDYGIDEEAARRSASLHYNGNMKPWLELGIPDYKKYWKRFLVRGDRFMDECNRAGEENS >Et_6A_046336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13275042:13282300:1 gene:Et_6A_046336 transcript:Et_6A_046336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEDGGEVGPTEDASPAVVEAESTPPAKAAAPAPATEGGELVKAGDGTAAASSSHPEALSLNYEEARALLGRLEFQKGNVEAALRVFDGIDLQAAIQQFQPSLSDKTPSKKGRTKSELPASVPQNTQNPACLVLEAIYLKALSLQKLGKSTEAAHQCKSVLESVESMFQNGTPDIEQKLQDTVSKSVELLPEAWKQAGSYDEALASYRRALLGQWNLDDECCTRIQKRFSVFLLYSCAEWSPPSLASQAEGSFVPKNNVEEAILLLMILLKKWYLGKTHWDPSVMEHLTYALSLCGESLVLAKHLEEVLPGIYPRTERWCTLALCYYAAGQKDTALNFVRKSLNKLENPNNILALLLAAKICSKKSHLASEGVEYAKRVVANAESSNAHLKSVGLHFLGSCLGKKSKTVSSDYQRSLLQTETMKSLTESITLNRYNADLIFDMGVEYAEQRNMNAALRCAKEFIEATGGSVSKGWRLLALILSAQRRYSEAEVATDAALDETSKWDQGSLLKIKAKLKVAQSSPMEAVEAYRALLALVQAQKNSSDSCNTAEEGDDGNVNEFEIWEGLANLYSSLSYWRDAEICLQKARALKSYSAATLHAEGYMHQGRERTRDALASYVNALSTDLEHVRSKVAIGALLSKQGPSYLPAARCFLSDALRVEPTNRMAWLYLGKVHKSDGRIADAADCFQAAVMLEESDPVEMYNHMTY >Et_2B_022373.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19512539:19513831:-1 gene:Et_2B_022373 transcript:Et_2B_022373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELRHHIFSPVPTKTRNDMVDAILSSDAARVASLQRRIENYRLIRSSPEAAATAASNAQVPVTSGAKLRTLNYVATIGLGGGEATVIVDTASELTWVQCKPCASCHYQEDPLFDPASSPSYAAVPCNSSSCDALQVATGMSGTACGGADDQPAPACSYTLSYRDRSYSRGVLARDRLSLAGEAVDGFVFGCGTSNQGAPFGGTSGLMGLGRSPLSLVSQTMDQFGGVFSYCLPLKDSAGSSGSLVLGDDSSVYRNSTPIVYASMVSDPAQGPFYFLNLTGITVGGEPVESSGFSSAGRVIIDSGTVITSLVPSIYDAVKAEFMDQLAEYPPAPGYQILDTCFNMTGLSEVQVPSLKLVFDGGVEVEVDSSGVLYFVTSDSSQVCLAMAALKSEYDTSIIGNYQQKNLRVIFDTAGSQVGFAQETCDYI >Et_3B_031427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29081363:29081984:-1 gene:Et_3B_031427 transcript:Et_3B_031427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFGQRSRPWPGDPTPTPSEPVAAAADARGEESTLKNFGTSMDAISFGFAATAILISLFLLMAIFEHLIKPRAFPPGSPGGTPHRAHHHRHGRSPGKLRSPPMVEAVLQAADLSVLMPGQRYPTYLAQPAPLLPSCPREGVHWPPHDHRRSSFMPP >Et_4B_039218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8898868:8904273:1 gene:Et_4B_039218 transcript:Et_4B_039218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPEPRLAPLPLLLLLAAACSFGLAAGGGGGGGADGAGPCDFSVVRGGELYSFTLAAPTPAHRHGVLSEDGFYKVTVNDLTLWFQLCDEMIFNFDPPVCLNCEDCGGPFRCGTGCNALVSNSIGGYDVCTTIGRPPNSHISLIDERNPQKGVIVRMFSSKCSISISVLCDSNIVQVSDEFILSGKCDYATTIRHPSGCARSVSAPGNGWGWLGTSFITAITRHQAISESAEYQWYGATSAKGGKKANRMAFEYLRARTYRL >Et_3B_029262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23489831:23517755:-1 gene:Et_3B_029262 transcript:Et_3B_029262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWLARCLVISLSLVVLSVGCVSGMGGQIPKPPPDLNFTIGVEGVVWCQGCRYRGYNKSVDASPLPNAAVLLRCRRDEWQLSLWNTTDADGYFLIETEKQVTPYKSKDCKVYVPRSPARGCAVPVKPALKKGAPVKFRRFVPFSDELQARYTAGNFTFAPEDTTKYVFSHDKSGERGVDGFKNRLDGDSVAHY >Et_5A_042708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26839499:26844494:1 gene:Et_5A_042708 transcript:Et_5A_042708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSVEESSLLIESDDDDDQPAPVSGRRRRHRRGGGGSGDEEDHAGSDSDSGSSSSSCATPRRGPVTASASSYTHQWPQSYRQSIDILSSVQSPNLSFLGTPTLSRLSNSFLTNSFRGKTPEIISNLVKPLLRPTTSDDQEQQEQQQQQQQPEDARKSSQYILPSDRKPVVVGHEVSPYQNCSYTQGVMNGINVLCGVGILSTPYAIQQGGWLGLVLLFLFAVLAWYTGVLLRRCLDSKEGLETYPDIGHAAFGTTGRIAISIILYVELYACCIEYLILEGDNLSKLFPNAHLTIGSMTLNSHVFFAILTTLVVMPTTWLRDLSCLSYLSAGGVVASFLVVMCLFWVGVIDHVGFENKGTTLNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKNRNQFPSILFTCIGLSTILFAGAAVMGYKMFGEATKSQFTLNLPDNLVVSKVAVWTTVANPMITYALTITPLSMALEELLPRNQQKYSNIIVLRSALVLSTLIIALSVPFFGLVMALVGSLLTMLVTYILPCACFLAILKNKVTWYQIAACSFIIVVGVCCACVGTYSSLSGIIQSYA >Et_10A_000236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19386818:19388275:-1 gene:Et_10A_000236 transcript:Et_10A_000236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSTTSPAAATWSDLLPDLLGRVIALLPFPADRARFRAVCRAWHSAARAHVRQPQLPWIVLPDCSFCTIDGGAHFLLHIGGENSKATTCLGAAADGWLALDCTDDPRPDVKHSHTYLLHNPFSGETVPLPELDSIVGNVAETFEIRKVLMRSSSPDDMVAVTTNNWNYNVILCRPGKGMWVVHNLRVFDVAFLGDRLYGITPDEDLIALDLAEEQDGRPIVTRCRRVIKSPVVADDAEDSWSWMYDDDTGSSGEEDSSFNGDDSVPGGTTTKEDEESLCEPKDYISTTRYLIRSHGTKELLLVKHHLQLPSYSSRYTRKVQIFKADISAGKWVPVSSAALAQGEALFLSRAFCKCTHADGDIKESLIYFINTDEVFDVACWACKAILPSRTHRTGGPRFADLALPSPTRGLKHSK >Et_6A_046110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25525671:25527515:1 gene:Et_6A_046110 transcript:Et_6A_046110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVYSFWVAGLVVYSIFFIDQENTPPELRFALEVISPLWLLGAGRMVLRFASFQKATGSFALGRNVQLFDGYMVQLLKEDHDELRAAEVPRLIVTGERNQDVEESPMGYRVKRSTLQEDEGRRRLVTLDQVWSSRHDRQLDPRLQDLCLSFALFKCLRRRFAGCRLAEAGSTWALRFVTDGLLGREDDHVRIFGVIAIELSFARGFYYSPLPVASLGTVSAALDFLLSFLIMALVCLIFMSRLPVILLILDRYLMLLFVVLAMVSACSEISDMVSSVRSDWTKISIIGHHVRCWNTCARRIFSSLLRSESPKLWNDEIGQAELFFMEPEAGRRFWKQSSWQQNRHKPVVMIPPAVKAAVLSSFRSSGRQLSDGTAALRRRCRSFRHDITWACRGGEVTTTTDVILVWHVATSLFQIRFPSSSSSSTPSPKADHILVAGRLSRYCMYLVAEAPDLLPDNSAWTKCRYEKVKKKADELSLTSTRRSHGVPPEAAAYELLVEAFSSETSSHELLKKGSKLGKQLVEEAERPRDGDAAGAGEDAVWELLAEFWSELVLYIAPSDNVKSHIEALQRGGELATLLWALLLHAGITDRPHRHVPVEP >Et_1A_005357.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:9939169:9939285:-1 gene:Et_1A_005357 transcript:Et_1A_005357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGASISACKLRAWSTNADLTRPPEMVEGTEPLGLPT >Et_1A_007823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38628764:38632612:1 gene:Et_1A_007823 transcript:Et_1A_007823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVSGSFVLAAAVVAVSLVMASAASAAHDYGDALSKSLLYFEAQRSGRLPYNQRVRWRGHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWGVIEYGAGVSAAGELRHALQAIKWGTDYFVKAHTAPHELWTQVGDGDSDHYCWQRPEDMTTSRRAYKVDAENPGSEVAAETAAAMAAASVVFRRAGDAHYAHLLLHHAQQLFEFADTYRGRYDDSVEVVKNYYPSSSGYDDELLWAALWLHRATGRRDYLDYALANAEAFKGTQWAVSEFSWDIKYAGLQVLASQLLVDEKDGKLRLSVEQRAVVEQLRSKGAYYVCSCMNRNPGGAEHNAGRTPAGLLFIRPWNNLQYVSSAAFLLTVYSDVLAALGEPLRCAGGDGAGAGVGGEPAGDAGDVLAFAKSQADYILGSNPMRTSYLVGYGAAYPRRVHHRAASSASYRHDRDFIGCLQGFDSWYSAGGENPHDLVGAVVGGPDGEDVFYDQRGKYMHTEACTYNTAPMVGVFSKLMQLEGQMTQRGPSPASETEGPEVDL >Et_1A_007069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30535932:30541400:-1 gene:Et_1A_007069 transcript:Et_1A_007069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRVTQWTWCSIKCGSLAACLLLSPTTPFSRGSVMKKSQEALELLHMMLENGGSCRPDLVSSLPETRCLVRACNFSEGLVHPLPHPCRQQHLAAADPRSSGRVAPLLGTAGQRHFLISRRSNQATTYLELFFSSSPRPSLDLFYHWFAPAEMLDHGISPNVVTYNSMINGFCKAQAMDKAEAVLHEMSEKGTAPDSTTYNSIIHGYCCLGLWEQAARIIEEMSIHGHKPDVVTCNTLIKYHCKKRRCTEARKIFNSMVQRGPRPSPLTYKNMLHGYAANGALADMHEFLDLMVRDGISFDHHVFNILIHGYTKRKMVDKALLIFTKMRQQGLSPNVVSYGTIIDGLCKIGRVDDAMFQFGQMIEDGSTPDINVFNSLIHGLCTCGRWDKAEELTFEMVNQGIHPDAVFFNTIMGNLCQEGRVSEAQKFFDLMVGVGATPDVVSYNILIDGYCLDGRMDEAMKLLTDIVSIGLRPDRFTYNTLLNGYCKKGRTEEEMLSKGVEVDAVTFNILLQGLFQTGRTAAAKELYLEMFKIGICLDISTYNTVLDGLCKTNCVSDALKIFKSLCSMDLQLDIVTFTIMIDALFKVGRKDDAMNLFAAISDNGLAPDVVTYSVMMKNLIKVGLLDESDKMFLEMEKRGCAPNSHMLNSVVRSLLLRGDLSRAGAYLVRIDENNFSIRASTASVLVSLSSKTEYHENMESLPEKYLDIYSLLSSVSKIIRQPYADEAAKLCVSVCVFVSTSIRRRPAFRRGRLVVGFTHDLLHRGRPHQRLRQPQQRGERVGGRHALEEHLAVVLLGGGQLGFNLPPEPRRGVPHRRRRLLDRCLADGAVQDGRRRVTQPRDRLADAAVALVAQRPRAQEAGLGVEVGGLRLRVRRPRLVDRLLVKAVQSDGHLLMVLTNHDYDLRVITYLPRNLGAQCRRLASGDCELEVALEGLRKHQALERREARVRHVAQPSGLGRAAPLGRCCAQRACRVGELPARAPYPVGAAPVLLRRGARELRRQREQRHRILRPQRRVAPLALRGRRRRGRRGRLALAPAHGARGPAGVAPRKRSSWCLDAPFISDA >Et_1B_013283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:771060:773847:-1 gene:Et_1B_013283 transcript:Et_1B_013283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSYLSTAAHAAICLPCPKLSGGDAAWPQLHYASAFARPARAHCRLIADHVAAPAVPVARRLRLAVTAMASLEEGAATAVEEGQLQEEEEEQGGIVEESSDNGGDKAEEGDASEAASTTATKLYFGNLPYNCDSAQLAAIVQDYASPEMVEVLYDRVTGRSRGFAFVTMTTVEDCELVIKNLDGSLYGGRTMKVNFADKPKPKLPLYPETEHKLFVGNLSWTVTSEMLTEAFQRCGNVVGARVLYDGETGRSRGYGFVCYSTREEMDEALSTLNGMEMEGREIRGAQAAMNSKPRYRRGGARVYMASKQEGVGM >Et_2A_018403.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:26930809:26932164:-1 gene:Et_2A_018403 transcript:Et_2A_018403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAHYLKTYQLQQDDKFLLPKKQLVTYALYALIAVGLLYLFIDPAPASTRPTVAAPLVLEQLPPPPSYQGDEAVATTSRTAPPPQGETPTSAAAPPPCDYSDGEWVPDPSPPLYNGTTCEAIKEGRSCTGNGQTDTGYVHWRWQPRRCDLPAFSPDAFLRWLRNRHMALVGDSLARNQAESLVCLLSSRSPAELVARHGDDARFRRWAFREHNATVSIFWSPFLVRGVEKSERDGVRRNELFLDAFDERWMSELGGIDALVLSAGHWFKIPSVYHDRGGVVVGCHGCAAAGLNRTAETSFLAVFRDAVSRTLAEVARRHGDASKEKVVAMATFSPSHFEGDWDKGAPCPKKQPYKSAEKELGYTETELRKIVVEAVADAMAASPSSSLKFAALDVTTLANLRPDGHPGPYMRKNPFAAAGNGRPVQNDCLHWCMPGPVDTFNQILLQTVLR >Et_8B_058825.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:9610853:9611083:1 gene:Et_8B_058825 transcript:Et_8B_058825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSGTVGQHQSEDPLYCYYCLSMERLKGRNSKIFIHEDEADSLVVARCKEYLALWSQRCNNQADRDLMLQWRNSF >Et_5B_045536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3949175:3950639:-1 gene:Et_5B_045536 transcript:Et_5B_045536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVSTSDVPARESCRQQDAGRDREEKNDWPQNILSIGTLGNESPPHAAAAAEGDVPDFTIEEVKKLQDALNKLLRRAKSKSSSRGSAATDDDRQLPLDRFLNCPSSLEVDRRLSLRHAAGENGEFSPDTQIILSKARDLLVNSNGAAIKQKSFKFLLKKMFVCRGGFGGPGPTLKDPVESRMEKLFRTMLQKKMSARPSSANAASSRKYYLEDKPSGRMQRDRRLGEEEDDDKGSDSFKWDKTDTDLGSVALDFLF >Et_1A_007072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30605699:30609290:1 gene:Et_1A_007072 transcript:Et_1A_007072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRERLAWSPTRQGRERRAQSKANRSRFHTPRLLLLLRLPSSVLIKSPTRFNLGSGRARAHDPRCGVLKDSGRFLLPVRFLGVLCLLGAIRCADIVVLREGKAVWGGVEGGAMGGQWVEEGRQPSNLQCFLDCTTPAVETHILPKTHGRLSADAWHHAEMDSLEYFNLADLWEQYYEWSAYGAGASVQLPGGEKVVQYYVPYLSGIQLYTNKALTASRSFGEDNGMDFWSDDDDNEKMSRSWSSTSDDSLLNCDVLGGNRRRPGHLYFEFFEVGSPYGRVPLIDKVYELSQSFPGLTSLKSSDLSPVSWMSVAWYPIYQIPYQRNVKDLSACFLTYHTISSSFQDHVLETMNNGCCYPAADGKQNGHLEKKINTISLPSFGLAAHKIQGSLWTNQRTGDCKRMDSLFSAADSWLKQLGVQHHDFNFFITHPK >Et_5B_043531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11532505:11534129:-1 gene:Et_5B_043531 transcript:Et_5B_043531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LHGAVPLKDSLVRSKPSDGEGTRTLHKAAITPLNVSDLVAHDYVRCFEEQMRLVYDPAIDDYRNLPGVETRVPKFGSARAFHDKNPLTPKECLDNLKEALEERLGYRDGDTLFGAPYDWRYAPPIPGQTSRAYSHYFKQLKALVEAASNKHQKKKVIIFGHSYGGMAALEFVRSAPLAWRTKYIKHLILAAPTLSQGFVSQLQGLLSKWTKMIYLPDKDSSSVRKLWLSLESAIADLPSPEVFGHEPIVLTQQRNYSAYDIEDLLAAVGLGDGVIEPFRRRMVPKMRYFEAPMVPTTCINGVGNRTPRQLVFRQQLGDYDDEQPPQVVYGDGDEAINLVSMEAFEEEMRRQPWQRKRFKSVKVHEARHSELVTAEWALKKVLPKFLKPIGSLRRLGTWSAGVLSECAYWAVYSHSLNKDFFRILFRGVLRAQYH >Et_3A_023658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10493047:10496231:1 gene:Et_3A_023658 transcript:Et_3A_023658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMLARRRAADAVRRHAAAASSAWRAYAASAEESDVVVLGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKTSFAHHGVKVSDVEVDLPAMMAQKDKAVAGLTKGIEGLFKKNKVTYVKGFGKLSSPSEVSVDLIDGGSTVVKGKNIIIATGSDVKSLPGITIDEEKIVSSTGALCLKEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEVRKQFQRMLEKQKMKFMLKTKVVGVDTTGDGVKLTLEPAAGGEQTTLEADIVLVSAGRTPYTAGIGLETIGVETDKGGRILVDKRFMTNVKGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKAAGIAYRVGKFPLLANSRAKAIDDAEGIVKVVADKETDKILGVHIMAPNAGEIIHEAVLALQYGASSEDVARTCHAHPTVSEALKEACLQTFSKAIHI >Et_2A_015134.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31726280:31726420:-1 gene:Et_2A_015134 transcript:Et_2A_015134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSPNRTTRVIRFLVLDMHLLIRAIRTNQLGPLVPERTDPPDEHI >Et_5B_044370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23375605:23378231:-1 gene:Et_5B_044370 transcript:Et_5B_044370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKVGRIFVGGLSWNTTERTLERTFGEYGKVIEAQVVVERDTGRSRGFGFVTFSEPRAVEAAIRGMHNGELDGRNISVNKAQPRMNTDDGYGYVGGGGYSSDRFASRYDDDRYDGGRYMESRDTYYGAGRDRYAGDRYAPAADRYSGDRYNGADRYASSGFSRERSYERDGGRTSGTYYRDDPRGSGGYGRGGARVGSGGPARFGGSYRDRPAPYDRPARGARTYDDRY >Et_2B_019693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12459464:12478114:-1 gene:Et_2B_019693 transcript:Et_2B_019693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQRLHYWPLLLAVHFLASSGTATSGKVPAIIVFGDSTVDAGNNNFIPTIAKANFPPYGRDFDGGVATGRFCNGRLVTDFLSEAFGLKSSVPAYLDTSYTMDQFASGVTFASGGTGLDDLTAQILSVIPLSQQLEYFKEYKEKLKMAKGESFANEIIAEALYIFSIGTNDFILNYLALPVRPAQYTAPEYVTYLVSLADAAIRDLYELGARKIGFAGLGPFGCIPSARMLNYDEPGECNEEYNRLARRFNDELKDALRKLNSDLAGLKIVYAETYSAVASIIANPSKYGFENVVQGCCGTGLIETSVLCGIDQAFTCQDANRYVFFDSVHPSEGIYKIAAHEILNTALQNMASQRVHYLLLLAIHFLASSGTATGGKVPAIIVFGDSTVDAGNNNFIPTVARGNFPPYGRDFDGGVATGRFSNGRLVTDFLSEAFGLKSSVPAYLDTTFTIDQFASGVTFASGGTGLDDLTAEIPSVIPLSQQLEYFKEYKEKLKMAKGESLANEIIAEALYIFSIGTNDFIVNYFVFPMRPAQYTAPEYVTYLIALADAAIRDLYDLGARKIAFSGLAPFGCIPSARTLNRDEPGECNEEYNQLARRFNDEIKDALRKLNGNLAGAQVVYTETYSVVESIVANPSEYGFENVVQGCCGTGLIETSVLCGMDQAFTCQDASTYAFFDSVHPSEVTYKMVANEVLNTALQVHLPWLIFFFSLHLVVAAAGKVPAIIVFGDSSVDTGNNNFIPTIARSNFWPYGRDYADGLPTGRFSNGRLATDFISEAFGLPPSIPAYLDTNCSIDQLATGVSFASAATGLDNATAGVLSVITLSEQLAYFKEYTDRLKIAKGEAAAAEIIGEALYIWSIGTNDFIENYYNLPSRRMEYTVSEYQSYLLGLAEAAVRRVHGLGGRKMDFTGITPMGCLPAERIGNRGDPGECNQEYNAVARSFNAKLRDLIARLNKELPGLRLVFADTYDLLAAVVDKPADYGEQSTRLLFSIDSVHKTAMHAGAVARLHSCGAAVRFDNAVQGCCGTGLFEAGYFCSLSTSLLCTNANKYVFFDAIHPTEKMYKLLAETVINSTLHVF >Et_7B_055150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7774861:7778306:-1 gene:Et_7B_055150 transcript:Et_7B_055150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FHWPSLFIYSSASVQLIKYGPAKRRLISISRAKPPARDRAEEKITPPPDQPRGPVQLASPAEASERMALAAVATAASDMKRKEEEQRKGPSVLGRIWRALFGGRDDDYAKRLQHLSKEEADLLARMRRLAQFSRRGVRNLVVLSVLGEVGAIVYAVIMAKSKDLDWQTRAIRVLPVFLLPALSSMIYSVLVSFTRMLERKDHTSLERLRAERKAKIDELKEKTNYYLTQQLIQKYDIDPAAKAAAASVLASKMGAETGLKVSMGDEAKSDSTKARNSRVEVRNQKETKGKGGSQGSTTGSQTQQESSNESLGAGMEAMQPSKVVGHYQGSGTRDSGWIAKIAALLVGEDPSQSYALICDNCHMHNGLARKEDFPHVTYYCPHCHALNRSNQSIGQCPGTDSGQQSPITAADGVSPRDHMAETEMRSTAEGQQVPEEGSAGKQLVDPAN >Et_8B_060873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9788708:9790407:1 gene:Et_8B_060873 transcript:Et_8B_060873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREEYVDFRTPVEGKFNSRPSRSVPSKQRTIATLPPPLETCRSMFKDVLPIYPDHRDLVYGFIDGVGEAFALGAAGGAAFHFARGLIHSSPGGRLVAGFRAARAGAPRVAGKFGVFCAAFSALEAATYLARGGEYSFTGSAAAFAATCGLQGMRRGGGPAAAGYAFLGGACVLVLEELSWAKMVSDFEKSLARQRRMHGDRPAPAALRPKPDGCDGRGPAPPTPSVFPWMEHK >Et_1A_005555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11446461:11458713:-1 gene:Et_1A_005555 transcript:Et_1A_005555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGETNAEKAPVQGSSSFMSVFMHADAADVALMLLGLVGAMGDGMSTPAMLLLTSRFTNDFGRGPDRVQEFSSRITANAMNVVYLACFSWVMAFLEGYCWVRTAERQASRMRARYLRAVLRQDVEYFDLKAGTTSEVITSVSNDSLVVQDALSEKLPNFVMNATMFVGSYVMGFAVMWRLTLVALPSVLLLLIPGIMYGRILIGLARQIRSQYAHPGAIAEQAVSSARTVYSFAAEKSTMARFSAALEESVQLGLKQGLAKGVALGSNGITFAIWAFNLWYGSNLVMYNGYQGGTVLAVSSIIVNGGLALGSALSNVKYLSEASSAAERISEVIRRVPKIDSGSDAGEELDDIAGEVEFKNVNFSYPSRPESPVLVSFSLRVPAGSTVALVGGSGSGKSTVIALLERFYDPSAGQVTLDGVDIRRLRLKWLRAQMGLVSQEPALFAMSILDNILLGKEDATEEEVVAAAKKANAHSFISQLPRGYETQVGERGVQMSGGQKQRIAIARAILMSPKILLLDEATSALDSESEHVVQGALDLASMGRTSIVVAHRLSTIRNADLIVVMKSGNVKERGSHDGLIANKNGLYSSLVRLQKIKDSGKADESKRFSAIRSCSAWSMSDARHDDNIENSNLPAPSVRRLLMLNAPEWKQALTGSFCAVLFGSIQPVYAYLMGSMFSVYFLTDHAKIKEQTRIYTLVFANLAVVSLLLNIGQHYSFGAMGEYLTKRIREQMLTKIFTFEIGWFDHDKNSSGAICSRLAKDASVVRSLVGDRMALVIQTVSAVLIACTMGLARAWRLALVMIAAQPLIIACYYVRGVLLKSMTKKSIEAQFESSKLAAEAVTNIKTVTAFSSQDRILRLFDKAQDAPRKENARQSWFAGFGLGTSVGLMACTWALDLWYGGKLMAEHRITAEELFQTFMILVSTGRVIAEAGSMTTDLANGSDTVASVFSVLDRITQIDPDNPMGYKPDKIKGDVEIAGVDFAYPSRPNMIIFKDFSLSIQAGRSTALVGQSGSGKSSIIGLIERFYDPLKGVVMIDGRDIMSYNLQALRQHIGLVSQEPTLFTGTIRENIMYGTENASEAEIEDAARMANAHDFISNLKDGYATWCGERGFQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKLVQEALNRVMIGRTSVAVAHRLSTIQNCDMIIVLEKGTVVEKGTHASLMSKGPSAYNKEATSTEILRNSSKAPLGARASSPSLHMGDETSPEKATAPPLAQSSSSFMSVFMHADAVDVALMLLGLVGAMGDGMSMPVMVLLSSRLTNDFG >Et_2A_016563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26065039:26066967:1 gene:Et_2A_016563 transcript:Et_2A_016563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTSTTLLSSPTSLNCDRLISTNVNRPTNTHVTVSAATAFQCNCLPGYEGNASVPNGCKDIDECAHPNLHSCYGICTNVPRSFQCQCRDGTHGDPFSKGGCNSLSVFKISLGVGGGLTCLFLALGAPFIIRKIKLHKDKKMKQRFFKQNHGLLLQQLVSRNSDIGGRMIITLQELQKATNNFDASHEVGGGGHGVVYKGLLNLQVLAIKKSKIIVQKEIDDFINEVAILSQINHRNVVKLLGCCLEIEVPLLVYEYISNGTLAHHLHVEGSISLSWDDRLRIALEIAKAIAYLHSAASTPILHRDIKSSNVLLDDNLTAKYVPIDQTGVTTAVQGTIGYLDPMYYYTGRLTDKSDVFSFGVLLIELLTRKKPFMYQSDDGKGLVSHFASLLAESGLADIIDSQIMEYEGETVYEVATLAAKCTKLNGEDRPTMREIEMTLENLQVIKKHVHHNTSRTNYSGEQIAAHDLSSFDGVATETSRKCSIEEEMLSP >Et_4A_033574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24568417:24570881:-1 gene:Et_4A_033574 transcript:Et_4A_033574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GPSAPATAPAPAASGSAHTNTSRSNRIGPQVLADLNGAWDGVLRRKGEGVVTAVLDTMVNPTHLSFRDDGMNAPPAKWRGECDFWGGRVPCNKRALKNGHGTHTASTAAENFVALLSCESGSMLKGSLACQAFATRYVASICHGAMAWMPMMAAACPASPRNSSSRGTYKNPASAWSRALHHLLAAVRSGKAYGRRRLVDVPAAW >Et_6B_048525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10218104:10222020:-1 gene:Et_6B_048525 transcript:Et_6B_048525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRSSRSRRSRYSGVFPVNAAASTASGDGGVQLVDKLEIFKSDHFDPDSYLQSKCPNMDEKEIRDLCSYLQDLKKATAEGMGRDVHANHAVFIRTSKEISDLEGGLLSTKKLLTTQSALIHTLSEEVERDSLTTSLECSAEQDISAVEDQEPSELQKWCAGFPYMLDVLLSERKVNEALDALDEAERIAAAAKQEETLTSAVIMALTRTTTENRQKLADQQAEAAIQSSTRVELRAALSALRRLGDESGAHSLLLCAHDQRLKLNMQTIHPSSATYGGAGAYTSSLAHRCFGDKPSYASELVTWATEEAVSFALLVKKHALASFAAGGRLRAAAECVQISLCYSDLLEARGLSLSAILMKQFMPSVVQALGSNLRRIDGSTAALAATDDWVLTHPPAGIRPFRKSSAGDLAIQPKLSNSAHRFNSMVQDLFEHVGLLVRLHLCGSIMDRLLEIFNSYVKLLISALPGSVDGEVNLGEEQQIALLANASLLAEELLPRAAMKLYSMNQVSMDSMRKRGPEKSNHAVELLEWKRKLQRTSDKLRDSFCRQHAIDFIFTDKGGTLAEMYISMDSATEEPEWVPSPIFQLLISCSLQELYASLNKLTSIAADEFATLLMTRLTEKVILWLSKDQSFWEGIEEGPTLLGPLGYQQFCLDMQFVIKIGQGLFLSQDVHQVILDIINRAAVASATGMDADRYTSFFILAL >Et_3B_030466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:400119:401532:-1 gene:Et_3B_030466 transcript:Et_3B_030466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPFFFHNKQLTLLTPLLPPPPPPSQRQRTNERTTLGFPRPPLQTLIRSNGVDAHRGFSGRRQAAALRKPVFTKVDQLKPVTSGHTLTVKVVSAAPVPARARPGSPAVASSRAPRIAECLVGDETGTIVFTARNDQVELMKPNATVILRNAKIDMFKGSMRLAVDKWGRIEATEPASFTVKEDNNLSLVEYELVNVNE >Et_3B_028184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11433838:11444993:-1 gene:Et_3B_028184 transcript:Et_3B_028184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKTAQQSKTARLADVSSLTALRPSKKARQTAGSSGMTPFALRLAKEFAKGEGAGKNLVFSPLSIYAALALVATGARGQTLDEFLTVLGAVSRDELAEFVRHAAECALADRSGSGGPLVVYACGVWHERSSTLKPAYRAAAYKEARQEINKWVSNATNELITSILPQRSVHPHTALMLANCIYFKGKWSRPFTAEDTKDRRFYRLNGTHVSAPFMRSRKDQFVKVHDGFKVLKLPYVNSSDDVGRNWRKSVRSQNSDDDGRSRFSIYLQDHLPKRSVKVGRFVLPKFKISFFSQMKKAVKKMGIKAAFDARKADFSEMLERGPKLLLEQLFHKGVIEVNEEGTEAVASTFCTIKYECMRPRSVDFIADHPFAFFMVEEVSGAVVFMGHEGKARKITNLRLAADDTSLTAARPSKKARQPAGSGLTPFALRLAKLLAKGGDGEGEKGKNLVFSPLSIYAALALVAAGARGETLDEFLTLLGTESRDELAEFVRHAAESALADCSESGGPRIAFACGVWHEGTFTLMTTTFYEEAREEINSWVSKVTNKLIPTILPQGSVHSRTTLVVGNAIYFKGMWSKPFAKEDTRNKRFYRLDGGHVRAPFMHSQANQFVEEHDGFKVLKLPYQMQHPDPRRGRMQLFHGGPRFSMCIFLPDTRDGLPSLLEEISSSPSFLRDHLPRLSVKVGEFRLPKFKLSFFSKMNDVLKGMGIEAAFEEAKAEFPDMLEGEDRDGLFLEHVFHKAVIEVNEEGTEAAASTMCTMARKCARIIRKPVDFIADHPFAFFLMEEESGAVVFMGQVLDPTESE >Et_1B_009922.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11201641:11201988:1 gene:Et_1B_009922 transcript:Et_1B_009922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKVMYSFHPEEHYIFRSSSAEVVEARVRKEGLDLVAEWTCKRAILESDCLSLINTLNSTLPNKSSLVFLLDEIRNRSIEIKFQAVRRDYAVWRAQVPYCVETLVEQDCIPIPQ >Et_1B_011154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18081542:18082205:-1 gene:Et_1B_011154 transcript:Et_1B_011154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPLVSTLRAVVMIAAGLHVGRSIAAHAMDVPESTLNCSRLASVASPVGEASPVQAARMSTPGAVTSGFRISGARTLGPRPEKDAIRGEDGSLLNVVPLNRIVAVAVAAAGCLDKTMYALNVTAAAGMMCALATVCSPKSMALVKIAPAPPAALTASPCAAWFHLLGSHRTIFPATFLGFSFPALHVLEPASPAKTRGSFEDSPSNGAPA >Et_5B_044045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19357307:19358184:-1 gene:Et_5B_044045 transcript:Et_5B_044045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRKPRSSETVVARSKQGSSKVASSSPTSLEFLSFSTMSWPERRRAAARRQSATGSRAACWAPTKRWRRGASWAASAAAMRWGAGEKLKRSSGRRVHTLRQRRERTLVRPAAAAVSRRSRMRTRVSSGSVHIGFNLHRRRRPPHDPSPPAATTGGRRRRAARTHRRRLPPAPALNSTT >Et_1A_006819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27905178:27913174:1 gene:Et_1A_006819 transcript:Et_1A_006819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTITGGAVLFLLLGVLTPSNAAEDQPDVTWNEKNVTAGDADDVRLIVGLTQKLLAETEERAASATPTRLATGVMDSGTTYPPIKVTNCSFRYEAYKFYDSQPMFRLGPTSPVPTPSKEIHKGRMLWAIPIVLVPLAAGAYQDLLREDDELVWEGKNSEFSVFELQQILQATNNFSEENKLGQGGFGSVYKGQFPEGMEIAVKRLASHSGQGFTEFKNEVHLIAKLQHRNLVRLLGCCSEGDEKILVYEYLPNKSLDFFIFDENKRSLLDWYKLRAIIEWTAHGLNYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIYSSTNNEGNITRRVVGTYGYMAPEYASEGMFSIKSDVFSFGVIILEVLSGKRNSGANQCGGFINLIGYAWQLWKEGRWIELVDSSLLPEHHSEEMVITMLSGEHTILAEPKQPAHFNVRVGNEEASTATESCSVNDINYICHNWQITFIHSSTLLKNQCSITMAARVLLITILSFLFMTPSAMAIGLVCSKSGNYTANSTYQNNLAFLVRALAINTSSSPQLFANASAGRFPNSTVHALALCRGDISSSNVTGCSACIAGAFRYAQNVCRSDKGAAVYDDYCLLRYAAVDFLAGRPDVTENATFFQFWNSVKIAGDTGVVAAYIHDMLNQTAQLAAVDASRRFAKAFMDAGNSGGGGGPTLPTLYSMAQCTPDLSAGDCLDCLRRIIGMVNDTTLVGIGGRVLVMRCNFRFESNMFFDGASMKRLTPSSIATPPPLPAPAQNNNKLLAALCFIFYFRCWQRRFGKGKVTSQGKKRTNNLLGQDEQADWGETEAELAEFSVFGFNQILEATSNFSEENKLGEGGFGPVYKGQFPEGMEIAVKRLASHSGQGFLEFKNEVKLIAKLQHANLVRLLGCCSQGEEKILVYEFLPNKSLDFFIFDDDRKSSLDWNKRLVIIEGIAEGLLYLHKHSRLRIIHRDLKPSNILLDREMNPKISDFGLAKIFSSTEADTTRRVVGTYGYMAPEYSSEGLFSIKSDVFSFGVLVLEILSGKRNSGSHQCGDFINLLGYAWQLHNEGRCDEVIDTSLLPERDSVEMMRCMTIALLCVQENAADRPTMLDVVAMLSNKTMILCEPKHPAYFNLRVGNEEGSTGTDQSCSINDMTISAMTAR >Et_1A_007506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35306837:35307301:-1 gene:Et_1A_007506 transcript:Et_1A_007506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLNCVNLLLWLSILLVSLSPVVATGRRELMAAAIGDQGFHQARALNILTEAPEVAVKDAEETTRRKRMEERVNYRRFGTRKPPSFQARFGGRIPFTSDYGKIKRHPPKHN >Et_3A_026992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2943850:2948711:1 gene:Et_3A_026992 transcript:Et_3A_026992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWRAIQLQELQRKWNKMHSKSHCGTNRHKSLPDRIIDNGAILHRALDAQLQLSRLPLDTAQALHLPAEDAAAHHAGHRPPQQPELTQRLARHHPLVQVALERAALHVLVGAHLHQRLAPGVAAVEHLLQQVQEPEHEQVLVVPPPQVTTLQPGLRDGARARPLLGHGLPAQPARLGREVDPLAGAPRDVPRCVADERDAPHDAARARVLRDRVRLHLDDLAAGDLGPRARADGRLQLLDLRLGADGARADGDVVALGEDPAVEVRGHVAADVHLGEVVVVAHGGVGEPDALLERDGRGVVPGPDLAGDAAVGAVRADDGVDLEHAPLGGGDVVDAVRVGGPRGEGERGDEARDERGARVGRAAAEEGVEHLAAEHGDVGARRQRAGEVGGEVGGGEDAHAGDAAVHELPRDGELVEHAERDGAAAGLGARGAPLHEERLGPGRGQRLGRRRTRGAAAHHGNAQRASRRRGGGGGAGYGEARRGVAGGGAHGHHGLSELRSRVGSCPRGRQRRRCDEMALSGRESERATT >Et_6B_049075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17490064:17491980:-1 gene:Et_6B_049075 transcript:Et_6B_049075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRKNSVGTPSRWTSDGSISPRCVLDGCTTTSHSTTTNTSSSTAAATPSFTATYAADDPPSTSPRAQSPPSPAAASRRPDSGPPPWKAVAEAWRSRSKRRLPSLRPTMSSALRRLSLRRPDNLPDAVELHEFCVLKPSLRTFSLAELKKATGNFSRDNVVGRGGFAKVYRGSLPGGELVAVKRLTVAQGRDRMEGFLAELGHVVNVSHPNIARLVGVGVDGGEHLVFPFSRLGCLSGRLHGGGGGAVETLPWAARYRVAVGTARGLEYLHERCARRIVHRDIKPANILLMDTYEPLICDFGLARWLPASLTHLQVTVFEGTFGYVPPEYTTHGVFSEKTDVFALGVVLLELLTGRRAVDAAKLSLVAWARQFLDGDDDEEFLKMADPALGGRYDGKQLRDVAWAAKLCINTSPDLRPRMSQVVQILQGVGSCHRGEGHNRTDPSGELQHMDGYDATRYTDDLSRHKALAFDFGAESAATSCANTPRALRLP >Et_6A_047752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1391758:1393587:1 gene:Et_6A_047752 transcript:Et_6A_047752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTAALLLLLLAVAAASAASSAPAPADSAQDAAAIVSISPCLPHVAAGAPPTAPTDACCVALRRAVFPSGGGGGEGFLCHLLRDPLLLGFPVDTARLSALLPACAARNSFADACRGENPPYAPLLARHDSGNALGALSELLIGANLLTHVMVLISPARSLRFQRVAELLQQGCHAIQTI >Et_6A_047892.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2339575:2339784:-1 gene:Et_6A_047892 transcript:Et_6A_047892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILALPMPTGGETAERGCALCGELFKEGDLLRMMPCKHFFHQICTFRRLRVNGTCPCCGFAMPAADDE >Et_2B_022788.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5123551:5124411:1 gene:Et_2B_022788 transcript:Et_2B_022788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDIIHGHGLDAVGLPPPPAPPPPLRTVVSHAVFQINTKSAALWHLADALASCRGDARAIRESIRRTRAEATRLARNTARKLAAGAGGDAGAEVGPKLAADFEAALRDLQRAQQRVIAADRRETASAAFLAPFFSPPAPPTSYASPSRTGSYNGTWDDHHQRNVQMQQQLVESRRTQELARLESEVTFNEAFAQEREQEIVKIQQEITEINEIFRDLATLVHGQHQTIDIVESNLSSAASETGKAEAELRKAATAQKTSSEASCLLQTAAGLVVLILILVFTLC >Et_1A_007807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38404188:38406482:-1 gene:Et_1A_007807 transcript:Et_1A_007807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGAVGLGFETLDGVLDALRRKLCGCCGIWASGSEAQEWYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRNYYQQFEEQQTQSLIDQRIKEHLGQAAAYQVGAPFSQHLMSLPGGAMRPRLPILPTPFMQPGVPLMPGGRPPILPTPGIPAPPTMPQPGAPVSIPQPGAPPGSMPQPGAPPGSMPQPGAPPGSMPMQMAPLPRPPTLPPPTSGVPGAPIPGSGAPPAMYQTNPPPPAGPTSGSPPAPPTAPQQPSFSYTQPSEGTH >Et_8A_056645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14307982:14309033:1 gene:Et_8A_056645 transcript:Et_8A_056645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPGRFGRNNTKITETGPEQLQNSAEPSNYIKHITTSEKERSPEFLRRNNQITSNSLQQVKRSDPRVVFTEITQKLPKRVPNSSRTAPFGQNHPVTSNTSQQVKWSDPRVVLAETTQKLPKRVPNSSRTAPFDQSATKLTFLRFFAREPSSYNKHIATSEKERSPGRFGGNNTEIT >Et_3B_028462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15676164:15678756:-1 gene:Et_3B_028462 transcript:Et_3B_028462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRRGGEGPSTAGRAANQAVSLREESSGRLHVDEASLLRVKHLQRLAAWAGADAGVGPVGALLGRRLAASAETAGVPLGAATFLCQRCETILKPGFNCTVRIRNKRNKTKRRKKSNCCQNSVAYACHFCGDQNLILGSGKGVVKNLLSSREQATMDSTRRSFRVQPSHSAVSTCEEGSIHKLVLQNANDEHMHETEPEFSRNSSKKIEICETSANLESEFMVGSKFVTPQKIRLMDSAHRFNTRSTGDKRGEASSSVPRKSVRSSSKSVPDDSRKNSNPVTSDAAQVSSSRKRAKKGWTTLKQIAEKDELERKEKMGNFVIPFFMQ >Et_9B_065983.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19188730:19189257:1 gene:Et_9B_065983 transcript:Et_9B_065983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSAPAAEKAPTKPPPQALRGSQLKQLREIFRRFDMDGDGSLTQLELAALLRSLGLRPSGDEVHALLAGMDADGNGKVEFEELAVAIAPVLTTQTHLVDQAQLLEVFRAFDRDGNGYISAAELARSMARLGQPLTFEELTRMMRDADADGDGVISFPEFAAIMAKSALDFLGVA >Et_10A_000408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:82285:86187:1 gene:Et_10A_000408 transcript:Et_10A_000408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VPKVSAAALEQWRRPGRLALSTGSPSSPPFAVLTVMACTSGDGGKTPLAPMITSDFEAGPLSFGLDVDGSSSFAFPSAHHASTMIPSFSSPATPTPVRTARQCLPIPTTPFFSAASAFQGPVTMLRQASLPLLHPLFKTLWLKLLKLRTMMAGRINMHGRQVVLKQRADDERVWSADVLTLEGDKVDEKGVAGAEKANVVDVEEEDWLAPPPPLPKPASRPVTQEDSTLRELRLMKQEFAMFTAEAEDIFRKLEEAAKKKAGDKEPEQIIIDDTPMKAREKIILSVQDKDGQQQIRIYKDDKFDKLFKAYAKKAKLNPSDLAFIFDGERIDPASTPKDLDLEDNDMIEVSHKRRRC >Et_4A_032725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13008977:13009542:1 gene:Et_4A_032725 transcript:Et_4A_032725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAEANDGNGVAIDDLRLDDVFSRDTIPAWVAYTGSALLSAVALRWYCVIRDIPAYALAPVLGFYNSKVTLFIFAAWAGRDDGVVAGLVGCGLVKQLVLIPADLMHDFSRRTAHLTLTSPRSMLAAQAIGGTAVGCVLSPLTFFLFYRAFDDVGDPDGYFSFA >Et_2A_014678.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34083718:34083778:-1 gene:Et_2A_014678 transcript:Et_2A_014678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CSSIAACTGGVGGCRRADGS >Et_2A_015520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14456230:14456452:-1 gene:Et_2A_015520 transcript:Et_2A_015520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIATSENERSPGRFDRNNTEITKIGPEQLQNSPSSAKLILLIVFAREPSNYMKHIATSENECFPGRFD >Et_5A_042213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7556702:7559657:-1 gene:Et_5A_042213 transcript:Et_5A_042213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPVPGAGDDNANLREPLLANGGDAGFQTGALAAVVVGNAHGGGKAKKGGAVKAKDKYWEDVGQPADEVSSAGDLESGGGGRPLLFSEKKVKASLLYPYRVLILVRLVAVALFIGWRIKHNNSDIMWFWAASVVGDLWFAFSWLLYQLPKYRPIKRIPDLAALRRHFDNGVSSILPGIDIFVTTADPVSEPVLYTMNCVLSILAVDYPVDRYTCYLADDSGVLVLYEALVETARFAALWAPFCRKHSVEPRAPESYFRREGMIYAGRSPGEFMSDYSHVRREYEEFKMRLETMPDTIKERSDVYNESVAGKDDSRGVKATWTASGVQWPGTWVEPAENHRKGHHAGIVQIVQGHPSCKPEQQQQASTVENPPLNLAGVDARLPMLVYVSREKHPRHEHNKKAGALNAQLRASALLSNAPLVINFDCDHYINNSQALLASMCFFLDAREGEGTAFVQHPQRFENVDPSDRYGNHNRVFFDGVMYALNGLQGPTYLGTGCMFRRLALYGVDPPQWRPDDEIAVDSKRFGNSMPFLSSVLAALKQERRVTPPEIDETFLAEMTNVVSSAYDEGTDWGRGTGYIYNIATEDIVTGYRIHGQGWRSVYCTMENDDAFRGTAPINLTERLYQIIRWSGGSLEVFFSPYNPLCSGRRLHPIQRAVYLNFTVYPVTSLFILLYAFCPAMWLVPDEVLIQRPFTRYVLYLVAVVALIHAIGALEIRWAGVAWRDWWRNEQFFMVASLTAYPTALLHMVVKPLTGKGIHFRVTSKQTMSTAEDDGEDVDAYADMYEMRWAPMLIPAAAVLGSNVVAVGVAAGKAALYAGVWPPAQRRHAALGLVFNAWMMAMLYPFALAVMGRWSKRPAVLLVLMPVALAAVALAYVGLHCFLVKFLPFMVI >Et_8B_060359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1062683:1065841:1 gene:Et_8B_060359 transcript:Et_8B_060359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCSDQPTQCHHHEPLLPKATACPELGDRPRRGGGGDGGAAVVAEAAPIFRLAVPMVGAGLLMYMRSLVSMLFLGRLGRLPLAGGSLALGFANITGYSVLSGLAAGMDPVCGQAFGAGRRSVLAAALRRTVALLLAASVPIGLLWLAMRRVLVAAGQDPDIAAAAYEFILCSLPDLAVQSFLHPLRVFLRAQSVTLPLTYAAAAALLLHVPVNFLLVHRLRLGIRGVALAAVCTNLNLLLFLVAYVYFSGLLHGNGNVDGGDGDGKAAAGARATTPVDEESEGSAVEWRKLARLSVQSCMSVCLEWWWYEIMVLLCGVLADPKAAVAAMGILIQTTSLLYIFPHSLSCAVSTRVGHELGAGRPDRARRAARVGLAAGAAMGVVACALAASVRGVWARMFTADAAVLRLAAAALPLLGAAELGNCPQTAGCGVLRGSARPGTAARVNVAAFYGVGMPAALALAFWPGRLEFPGMWVGMLAAQLVCAALMLRAVRGTDWEEQAARARELTGAVAEDDDDVKSAAHADADKVKADNGMLVCICISFLRL >Et_2A_014639.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:30852823:30854007:-1 gene:Et_2A_014639 transcript:Et_2A_014639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPATMKVLGVAVGLISIVIMGILSEVTIELLVRFSVYSRSLSYGEVVHRALGRPASVVAQLCVIINNAGVLVVYLIIIGDVMSGSLKHMGVMDQLIGHGEWDNRRLLILVVLVIFLAPLCALEKIDSLSLSSAASVALAVVFVVVSCIIALIKIAEGKISMPRMGPDFSSRAAMLDLLVVIPIMTNAYICHFNVQPIYNELNEKTPQNMYKIGRISTVLCVVVYALTAVSGYLLFGEDTESDVLTNFDKNLGIRFSTLLNYIVRIGYVIHLVLVFPVVHFSLRQTVDSLIFGELATSSRKKTLTLTAVLLSLIYLGSTMIPNIWMAFKFTGATTGLALGFMFPALVALRLDKEGCRLGHVERILSLGLLGLAIIVSVIGVVGNVYTLKSKSE >Et_5B_043508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11307654:11310520:1 gene:Et_5B_043508 transcript:Et_5B_043508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKNIVALLLALALVASATPPSEAIRVQAASKPEAAVGADQEAPEKAAATNPPAGQLPGFPFPFFPLPGAPAAGGQAPGFPFPFSPLPGFPFPLLPLPGGGGGAPPAAGGQVPGLPFPLFPPLFPAPPAAGGVQFPGFPFPLFPFPFPSIPGFTPINHPSPPASPVTPPTPTSPTSPSAGTAPALPPTSSPVVTPSPSPPPASPSPTSSPVVTPSPPPQVTDCLPPLMALTPCMDYLTNAGGVSAPPSACCHGFRTLVSDAPICLCHGLNGDINKLMPAPLDFTRMMSLPATCSMPLPMQALAKCSTEPVPPLSDPAPAPVSAPSPESLLPESAVSALEGAQGLQVLLRPKIWPHGVGEVELRVGRLPQQEVAEPELAAGPDDQVGVAAGDAGGELAGEHGLVDVVRTELVRPHIPGQRTARLQHVPPPAVADGQHHVELRVVPRGVRGLLCGDLQRRWLLGGVADDLKPDPLPVLCTDQKGKKL >Et_8B_058589.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:4703827:4704703:-1 gene:Et_8B_058589 transcript:Et_8B_058589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASIHARRGRSRSPCRDRHRLNEQDCSDGSSDSSGSEGSRRGDARHRRRLTKGRERSRSKGRHRRRSRRTESNSEDESDSSYDSEDSRDRKRRKRSKSHKSSSKIRAKNGSRRKGSKRSDPDGSGNGVEMNQETIRFRETFDARKLPALSDEAPTPMVGPMPPPRVEVPVSYGGALRPGEGDAIARFVQQGKRIPRRGEVGLTAEEIQRFEDAGYVMSGSRHARINAVRFRKENQVYSAEEKRALAEFNHEQKVMRETKVREDLRRLVDRALGKVAETERDPFADN >Et_10A_000953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19502598:19505841:-1 gene:Et_10A_000953 transcript:Et_10A_000953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFGRDRYQRLDGGGGPGRKPSSFCSSATVVVFVALCLVAAWMMASSNNIPVSVAPENKAEAKEEAAADSSIDVTQSVKGGGGDADADAGGETPRTGVEGAEVGKKGGGDDDAPQTGGEAGGSGKKDDGGGETPRIKGDEGYGDKTGDGNGATRTTDATSGGASGGGGEEETSKPAAAAGAVGEGETPSSKNQTFSDENGKTEGGEVATPEDPEKKAEEATTGGGGQADSNGNGKPEATDDKDTGGDQADKSGQEASTTDANGDTGMAKNQTTFDDSNGNVDGAGTAEQDGANVSEKSTDEAASNSGDKAQTTTTDNGNGTGAAAKNGTQPGDLSESMAFAAADDTTNGTIYQDAKRATTTTNTSSSSSTVADDDGKSTVAAEKAELLPSGQADLLNETASSADAQSTFPTQAAESSEEKKKKTKKSKKKKNKNKGAVTAGETPKTATSYAWKLCNTSAGADYIPCLDNAAALRKLKSTKHYEHRERHCPSSPPTCLVPLPDGYKQPIPWPHSRDRIWYHNVPHASLARYKGHQNWVKVSGEHLTFPGGGTQFKNGGAGHYADLMEKALSSGDLLTWGKRSRVVLDVGCGVASFGGALFDRDALTMSFAPKDEHEAQTQFALERGIPAVSAVMGTLRLPFPGGVFDVVHCARCRVPWHIEGGKLLLEVNRLLRPGGLFVWSATPVYRKVPEDVQIWHAMAALTKAMCWEMVKRTSDTVDQTAMVVFRKPTTNACYDARSTRSSSPEQPPMCDEAAGDDPDAAWNVTLRACMHRVPTDAAARGSRWPAPWPERLTATPYWLGGGEGRTGVYGKPAPEDFAADMEHWKKVVQNSYLHGLGIDWKNVRNVMDMRAVYGGFAAALRDMKVWVMNVVPVDAPDTLPIVYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSALLKKNNRCNQLLPALVEVDRILRPEGTLIVRDDRDTVEEVRRVLESMRWEVGMTVSKQGEEMLCVRKTMWRPTEVEANS >Et_3B_028312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13180561:13182134:-1 gene:Et_3B_028312 transcript:Et_3B_028312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FIQGNMTVWERKGSTAGNNWGCNSQHYSNKEEGDGDNKSDEVTIHKLQCCLSSSTLQCVELLMVRKEMAPSWLELLLVTQFFSTCTNHLRSSRNECNLFCVDCKEQPIAFCYYCKSLHHSTHRVIQIRRSSYHDVVRVSEVEDILDISTVQTYVINSAKVLFLNERPQVRGCGVSFGKGLSSSSHKCETCFRPLVDEFRFCSLGCNDVELPNINDNGADCAKMEDVAGSGNTESKNGNPGDNNEDEPPAKRVARRHRRREFLSVHHSSESQLMLSNKMRKCLNELG >Et_1A_009402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4822086:4822390:-1 gene:Et_1A_009402 transcript:Et_1A_009402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNNYQYELPLQRLHISDGRPKSPPSLCEFIEYIDTEQTLENIAHVYRVAERARRHWFDMEAEERREEERRKMRQK >Et_2B_019148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14077275:14078027:1 gene:Et_2B_019148 transcript:Et_2B_019148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPRPSSSALQSIRRELQRRKHKAALAPTRPVTKKTSAPPLREGARDQREEDPSSTIARSSGSAATRAPPPPPPRRPRPSSASSATPAPPARSVSSAVSGHLKPGTRVVVRTRSQMVIDGKTLVLWLPAAVVSVADEVYEDHYDGKLPRDNPFSTVRVPLHHVRALKPSPPLSQPPSKNPETQPAPRPTTAKKPAPRPTTAGKSTSVIRRILPEKERQALDAYCLGY >Et_1B_014275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4824899:4831813:1 gene:Et_1B_014275 transcript:Et_1B_014275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGNKNKAKKVSLGTFLREFGDSSPSRNRDSWARSVPKLGGEKLGNYAVLRMDCRNCGEGNDHWSFKCPDLAPQTETFVDMSSTEEPPNCPVCKRPADVTIPSMSTEDENSVRVSNLPKDTSESDLVDLFRRCGPLIRVRLAMDEKTDSTRQFATVEYDWRCDAEKAIFFLNGFEYSDDAILRVEWAAPRPKPTYVPPVY >Et_1B_010342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9689191:9689478:-1 gene:Et_1B_010342 transcript:Et_1B_010342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPCHQVAIVVVLVLLASASEVLAIRSPGTLQPRVLVDGNAGASYDPEKKHTEASSSPPSAVFDLDRMSKRRVRRGSDPIHNKC >Et_7B_054844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4889702:4892523:1 gene:Et_7B_054844 transcript:Et_7B_054844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAAAPADTYDIPWVEKYRPTRVVDVVGNSDAVARLEVIARDGNMPNLILSGPPGTGKTTSILALAHELLGPSYREAVLELNASDDRGLDVVRNKIKMFAQKKVTLQPGRHKIVILDEADSMTSGAQQALRRTTEIYSNTTRFALACNTSSKIIEPIQSRCAIVRFSSLSDQEILGRLMIVVAAEKVPYVPEGLEAIIFTADGDMRQALNNLQATFSGFRFVNQENVFKVCDQPHPLHIKNMVKNVLEGNFDDACSALKQLYDLGYSPTDIITTLFRVVKNYDMAEYLKLEMLKETGFVHMRICDGVGSFLQLSGLLAKFALETYPFYPAVLCYA >Et_7B_055749.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3319888:3320739:-1 gene:Et_7B_055749 transcript:Et_7B_055749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGAKKRELFLGQPYRAGDAPDPGAGSIENVPHGPLHGWVGDPRQPNGEDMGNFYSAARDPIFFALHANIDRLWHVWRGLSPGGNTDFTDPDWLDASFLFYDEEARLVRVRVRDCLDVSALRYTYQDVGLPWLNTKPAKTAGTPVPATGALPATLNQTVRVAVTRPKVSRSQKEKAEEEEVLIVEGIQISDHSRYVKFDVYVNQCAAGANGAAAAECAGSVAITPHVVKHDGSSVKTAARFGITDLLDAIGADGEKTVVVSLVPRCAGDVVTVAGVSIEYVK >Et_5B_043323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3004221:3005081:-1 gene:Et_5B_043323 transcript:Et_5B_043323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLVFSLLLSLLAVSATAERFDFFYFVQQWPGSFCDTQRGCCFPDTGKPAAEFGIHGLWPNYVDCRPQFCNDDNELQLWEIRDLIKSLDKSWPTLACKGGRSFQFWSHEWIKHGTCSNLDQHAYFAAALALKAKHNLTAILAGAGIVPSENETYFLSSIRDAVAEGTGAKANLECNRDADGESQLYQVYQCVDKAGTKLIDCPLSMNSKCRDRVKLPVF >Et_2A_017520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35106081:35108275:1 gene:Et_2A_017520 transcript:Et_2A_017520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWIWQAHPVHQLLLLLNRDEFHSRPTKAVGWWGEGSKKILGGKDVLGGGTWMGCTKDGRLAFLTNVLEPDAMPGARTRGDLPLMFLQSNKTPLEVATEVAKEADEYNGFNLILADLTTNVMVYVSNRPKGQPATIQLVPPGLHVLSNARLDSPWQKAIRLGKNFRELLRKHGDDEVEAKDIVERLMTDTTKADKDRLPNTGCDPDWEHGLSSIFIEVQTDQGLYGTRSTAVLSVNYDGEASLYEKYLESGIWKDHTVHYQIE >Et_9B_065384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4411972:4414612:-1 gene:Et_9B_065384 transcript:Et_9B_065384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWTGLRSSAPAPTAAWAGRRPRTARWPRGRLPAARRAVMASAAASDANSSSNTPGRDEEREEAARREKEEKAAASLLMRSQKYAMLKQQLAVAAQFEDYKEAARLRDSLRSFEEEEPVLRLRRLMKKAIEEERFEDAAKYRDELMILAPHCLLKCSSDATTLGIRVQVRSVYIESRSQPLKGQFFFAYRIRITNNSQRPVQLLRRHWIVTDGNGRTENIWGVGVVGEQPVIFPKTGFEYSSACPLNTPNGRMEGDFEMKHIDKAGSSTFNVAIAPFSLSILGDDNDVLL >Et_1A_004594.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21945287:21945559:-1 gene:Et_1A_004594 transcript:Et_1A_004594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEANSDSARISFFGSTKGELAQKLDRGKDAAGCRRLIQVPPEPREMVAYYTAHKLCLGWGYLLFVGGTYGARRASVCCVDCLCISYRV >Et_3A_024801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24388273:24391456:1 gene:Et_3A_024801 transcript:Et_3A_024801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSNAFGSRSSLTLGELACAALIPLLAVVDAVLLGAAQCFHKSPPRLLPALEARARLRSSGRLTFRELADLADESRCFTVNEVEALYELYKKISRSIVDDGLIHKEELQLALFRTPSGKNLFLDRVFDLFDEKKNSVIEFEEFIRAISVFHPNASLEDKIDFSFRLYDLRQTGFIEREEVKQMVVATLMESQVELTDDLVEAIIDKTFEDADTDKDNKISKEEWKAFVVRHPSVIKKMTLPHLKDTTAAFPSFVFNTQVDD >Et_2B_019736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13302538:13304594:1 gene:Et_2B_019736 transcript:Et_2B_019736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDTPETVEVTLRAVGPSRPTKILLPPLLTVADLRRRVAHDRRLEASEEGRLRLVLRGKTLPRQDDAHVNFRDGDTIIVAVAPKPPATHLRDDDDEEDEEELKFKIPQTTTWWKRNIFILGTGFLIILLNLGRRQQGDVSAYSIFNEDFRELPGTFNAERIDRDIRAGQL >Et_10B_004398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6177305:6177950:1 gene:Et_10B_004398 transcript:Et_10B_004398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAARRVVSVIRGFAGRFPRGVAGDTTAWTRQMVPHATAATRPSCDPRLLLRRGLAMIPGDHSPATDPCPDACSEPYAPDDPETVFDYGEEDLASEEAMWAMYERWCAFYQVKRDRDDMLRRFGLFKDRARTSDSRV >Et_2A_014976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24319384:24320559:-1 gene:Et_2A_014976 transcript:Et_2A_014976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRRAGGRFLAPEVDAHGFFWVAGGGGVSRALAEAPDAAAGREPSPSTAASAAVARKGKAKAGRPFDCAVCLCEFADDDRLRLLPVCGHAFHVGCIDVWLRSSATCPLCRAALRGFAAAAAAASASAADQQKQQQEAPGDAAASSVVLPVRLGRFKNLAAVGPDGAESSSGTTGASPRLDGRRCFSMGSYQYVLSDEQFLVSVHVKNGNAVSTVTSCGSDGAAAAATGVPAASGDDDKQGKKVFARGDSFSVSKIWQWRGSQRRLPAGLCADDSLPWAPTPTPSAATRQDKDTC >Et_3A_025683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31972022:31972645:1 gene:Et_3A_025683 transcript:Et_3A_025683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPSPRRLFRSRSRSISSSSSTSSADICAMKRRSPEEDAAAVEIILVRVSTGCQWHDVSIDATATFGDLKVMLSLVTGLWPKEQRLLYRGKERDDCDHLHMVGVQDKDKVLLLEDPAVKERKLRSTTLAQLMGVPCHSFIEV >Et_9B_065825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1387506:1391130:-1 gene:Et_9B_065825 transcript:Et_9B_065825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAVISNPSAAEDWTVVRRRGRRRGDERRTALHPDAPLPLLETPVPWSPSDPSIDPAGVSRIVARARAAMSRVAASRLYRGLLLPDSPLRRRLALLGPARLSLLGVGSFESSPVARLQLALAALLRRDLLPGSAAADVFDPVLSAAECAAASALGFSVPSLDDGGRRRVEEPTLFYMPHCEAALYDALLAANWESPAQLRRLCVLGNSFQRYAIQADENRSGPAAKATHVLAAERFAWEERVAEEGDLDEDDWFAQAFNETSWHFFEHALRFVLNLDPTTETLSSSFVFPACLAPPSPLHPVRLAPRLVVPASPRRRVVPSFTDVVPAVKKIVPAVKEFVPAAGEFVSAVGEFVPGLRRAATCSGGEARPCGGGGWARGGGGAAA >Et_2A_017262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32583188:32594947:1 gene:Et_2A_017262 transcript:Et_2A_017262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDFSAVAGPLFFEWVVALLFPVMLTYIVYEKQHKLRTMMKMHGLGDGPYWIIYYMYFLVLSTVYLILFVIFGSIIGLNFFKINDYSIQFVFFFSFINLQIVLSFLAATFFSKVNTAQAIAYLYIFGSGLMAGSLIRNFIEGGKFPRHWITVLEIIPAFSLYRGLYELGQYAVGASETGSPGMRWSDLNDHTNGMRDVLIIIILEWLVLLPVAYYLDHAASVGHISSPLSVLKRLLKKDPIRRRITVNGVADNDVQIEMEKPDISKERETVIQVLQQQSSSYAVVCDDLKKLYNGRDGNPDKFAVKGVSLALSNGECLGILGPNGAGKSSFISMMIGFVKPTSGNAFVRGFSIQNDMEKIYNSMGVCPQNDMLWETLTGREHLQFYGRLKSLSGSSLDIAVEESLRSVHLLHGGAADKQVKKYSGGMKRRLSVAISLIGDAKVVYMDEPSTGLDPASRKSLWNAVKQAKQDRAIILTTHSMEEAEALCDRLCIMVDGSLQCIGRPKELIARYGEYYVLTMTTTPEFEQDVENLVRKLSPSARKVYHLSGTQKYELLKQDTRIADVFMAVENIKKRVEVQAWGLADTTMEDVFVKVAKGAQSSEELS >Et_4B_039848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:477095:478248:1 gene:Et_4B_039848 transcript:Et_4B_039848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSFLLLVSLALAPVTLVEAIPSYSIDFDLATETYKDLYTKLNKLLLRTSKDPPYNPPNVYNKPILAKPRNDFKDPPDHWIMVHLKIGGAKTTLAIAHDDLYILGFQNSAGQWYIVEGFAGLPNNITLPFKQNYGDLVKGGHRMLYTVPLGNQSAVEAVRWIASYPATTTELQLTQGLARFVVMISEAMRFIAIQEEFSGWWEQLSFITQAQAKYVTCWGRISELLIYWNLYYQWSGSIADDVRTDFK >Et_7A_052653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10909985:10911734:1 gene:Et_7A_052653 transcript:Et_7A_052653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVASTSFTYHTPRLAVVCRKGKQDGRDRDREREKEHKHPFKVVEITPPPRCLGVRCFPTVRNHALPVAHRMHAASLFLLAILASSNHPMHVASCHEKFALSKKRKEKKHIVDLVVQERNIHCGESVTIEGQAYTVSAVTHRYQLRKGRYEPSEKRLDVLSTGRYILNLYLQNLMDQS >Et_1B_014187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34725784:34736643:-1 gene:Et_1B_014187 transcript:Et_1B_014187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCFSASAADGPSKEEKRKRRGAASPDGQGKAVRVEFGYDRDFEARYEVGRLLGHGQFGYTFAATDRGSGDRVAVKRIDKAKMVRPVAVEDVKREVKILKALQGHENIVNFYNAFEDDSYVYIVMELCEGGELLDRILAKKNSRYSEKDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRKRPWPSISPGAKDFVKRLLVKNPRARLTAAQALSHPWVREGGEASEIPVDISVLSNMRQFVKYSRFKQFALRALASTLNEEELADLKDQFDAIDVDKSGSISIEEMRHALAKDLPWRLKGPRVLEIIQAIDSNTDGLVDFREFVAATLHIHQMAELDSERWGIRCQAAFRKFDLDGDGYITPEELRMHTGLKGSIEPLLEEADIDKDGKISLSEFRKLLRTASMSNIPSPRGPPNPQHRERQIGSSKNFRQAERLPRFNSMPLPRLAPNPATILHAALLRASSSSACRLPPRLSFNSLLAAAASSQHPRLRALALPAFALAHRYPDAAGCGPLDSYALCSALRSSASASAAEPLHALAAKSGWLGSVFVSCALAACYGGSGRFLDARRMFDESSAKNGVFGNAVLAAYIGAGKWASALEFARLFYELGLLVDGYTMTAVVRACGELANADLGMQAHGHAIRRVGGVERDVFLTSALVDMYAKCGLVSHVELVFRLAHQENACGGDVVLWTAMLNAYGRNGQCKEVIRMYDLMVSSGVHPDELAMLAVLSACQHAGEVVKGLKYFESIRMDYGLEPTPEHYSCVVNMLCRAGEVAKAWEIATRDGCGSNIGVSTWGALLSASRDCGNVGVGKMAALKAIDLEPANVGIYTELSNLYARAGLWEDIDQLREVMKEKGLEKDVGFTWVEHGLPGLTSATTAQVYEKHFKDKETGDFKDFHIAYVEFCKYFNTIMPGQDFDTPTLKEIKKFYEEEWSQQKTDDLKKQKFFEYMEKNVKEANMGDSFFIMAGLAAPAAAVIGKRASGHIPYVKNMRLDLVPNVIFVPVFTLGAIVAATMAQISRKSTEAQEKSAAEKDESKTT >Et_1B_013483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8957335:8964278:-1 gene:Et_1B_013483 transcript:Et_1B_013483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVQEEREVFEREAVALRRMLQEMVGQEASVSSQGEDTAETPLHSMLDDCSRLVLELHSVARSREQQIESLHARASEAEVSKAVAERSEQAVGRILASIDAVVGQDDVSFEGADQDTVSILERKTLSLVERHWQLLMGIQQLEQVLAEVKPGFVTPGQGDHATIIGIVTEELVSSKRNEVDLLHQMNAFAEEKKALADELEEVKAARDAANAEASKAKADLEQMEHKLSTTKEKLSMAVTKGKSLVQHRDSLKQALAEKTGELESCLSESQKKSDALQEAERRVEELRLLLDEKSNEYERCLDELRETYSAWEAAKATIEQLNEANTALSSIQASVSLKYEILQRMEEVLSEATFPEDLLSLEMTDRLGWLVEQKKIADMIFSEHHKVKDILSSVDMPHSVLTAELDSQISWLVNSLNEAKDDAVRLHSESSAMLIKLAAHESKLVSMHEEIDRLTIILLEEKQEKDMLVNEHSELMTLYNAAVDKLSVVSSQNNELVKAFAEFSDVVLEGNEPLDTAKLVQQGLSNIQQRTRSSSFDDESFEKLKTLLYTLDQESTLCKIILEDDMTDRSERTGEHQKMTREIFVLKNEKDSLQKELERVEEKSSLLREKLSMAVKKGKGLVQEREGLKRVLDEKSSEIENLKQVLGEKNSEIEKLAYLQVLDGKNYEVEKFKHALEASSLEIENLKQSLVDKSLEVEKIEQELDAKNMGIENLKHEIELRESAITDLREQAEHLSLQAAHLEKLQADIITLDDEKVKLESMLEEAKLRWHTLADSISGLALPIDQSFEEPLEKISQIAHYIKETDFAKSSLDNELRKANEQITLNASRFSDALSTISMLEDELSKLKDYISSSDEEKRQIQLHAVAIEEELEKTNEELVINVNKIEDANATIKSLQDELSLARSNLSNVEAEKNDAQVKYETELSALNAKLTNCLEELDQTHGNLKSHSTEHHSYLEKLNMIVMDDSLLSQMTEEFGKTISSLRDMGLIVKSMHEHLAAKGFQTDPVMEGSEFATLFSLPDYDSFVTERLVNTRSRKRNIDDTSSFATIVEQLSNQAEYLSGLFHDLSGYMNNNIMSALHALQVASNNFARTLEEHHSLKIELGNKDAHNSAREDELLSLQKELRAMSSKCIYCTQQIKNIFDDVVGLGYAIESATGNSAKGSESEGIAFVLKDEDAGDYAKVADTLLSAINTLKSESEKLSDTKGLVITSLGELKMRLNQAESTAETASQDRQLYVDRVCALEKDLKTLQDACNGMELKIREYQGREDTLKARELDLMSLEHSQVTIDRGVADTISKDQLEALVEKITKLDMPPDESHLQNEMATFSSPIEKIFMVIDQFVALQHEVETLKYENEGLQLNVESYAREIEQLREVSRNSELNNKELESKSSELLEVTVSMERMIQRLGHLGGKDVIEDNKPTTTQALLSKLEKLIIASITEAGNAQSIIQDMEAKLQSREKAVDELSTKVKMLEDWYHARLAQPEASKERAFEAASSAIGSDMSEIEDLGPMGKPSISSVSTAAHARTMRKGSSDHLVLNIGTESERLISSQDTDDKGRIKSLHTSGMIPAQGKHIADRGLREPNSDESSASKARAYGVLDFLALMVAWQHLLREQEKSDL >Et_1A_008693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:47787:50260:1 gene:Et_1A_008693 transcript:Et_1A_008693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDLSDLEEQSYQEPAFDAFMCPLTRQVMQDPVTIETGQTFEREAILKWFRECRDTGRKPTCPLTQKELRSTEMSPSIALRNVIDEWRSRNEEKELEKACSSLNLQSSEDDALRALLFISHMCRRKKNLGGGIIPSIADMMKSSSRRVRLKSLEVLRVLVEDSRDNQEELGQGDTIRTVIKFLSNEHFQERELAVSLLYELSKCDSACERIGAVYGAILLLVGMGSSKSENNAVAVEKAEKTLKNLEKYETNVKQMAENGRLQPLLTKLVEGTPEVQLAMAEYLGELALANDVKVVVAEQAGALLVSVMMKSGGSVAAREATLKALREISSNETSARILLEEGILPPLVKELFSVGAGMRLKEVSAAILANLVASGADFRAIPLQQNLVANGQTSTTLLSEEVVHSLLHLISNTGPAIECKLLSVLVGLTGSPATVGDVVSAIRSSGATISLVQFVEAAHREIRVESLKLLRNVSPYMGAELAGALGGGHLSSLLRVVTDISGLGGVTEEQAAALGLLGGLPERDRNLTRQLLDLGAFRALASKLVELRRGTIRGNRHVAPFTEGAVRVLYRLTCAPLHEAREYVEFAREAGLAPLFVELLQQMSSGLDAVQLYSAMALEKLSLQSRRLTVVPDAPAPPSGFMEGKAPPAGEKPPGTCRVHGGFCSLRSSFCLAEPGCKAVERLVACLEHADARVVEAALAALSTLLGDAVDNATEGVLLLGEADGLRPVVEVLVANRTEALQRRAVWAVERILRVEDIALEVAADQTVASALVEAYRNGDARTRQTAERALRHLDRIPKFSTAFETAKPRGVVSSS >Et_5A_040432.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:21761903:21761995:-1 gene:Et_5A_040432 transcript:Et_5A_040432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRGRGSCPRPSPGTECTYWTCRSLRRSC >Et_2A_016458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2495859:2497426:1 gene:Et_2A_016458 transcript:Et_2A_016458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLVVALLAVSSVFVAVSGAQSFNAIFSFGDSMSDTGNLCVNGPPAGLTLTQPPYGETFFGKATCRCSDGRLVVDFLAEKFGLPLLKPSKQGGADFKKGANMAIIGATTMESGFFQSLGIGDKIWNNGPLNTQIQWFQQLMPSICGSTQACKSYLSNSLFVLGEFGGNDYNAQIFGGYSPEQARGQSSTIVDTIGKGVEQLISLGAKYVVVPGVLPVGCFPIYLTLYQTSNAGDYDQYGCLTRFNSLSSYHNSLLQSKVNQLQSKYPGARIMYADFYSHVYDMVKSPGNYGFSTNLRACCGAGGGKYNYQNSARCGMSGAYACSNPSSSLSWDGIHLTEAAYKKIADGWLNGAYCHPAILS >Et_4B_038577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:363248:365845:-1 gene:Et_4B_038577 transcript:Et_4B_038577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAVRRAAFASSLLAPRAIGASLVAARCASPAPAAATSTAYDHASFIKEIAATEPPEHLNSLLSVLPSRGEKIVSPGAKRGLIPVVVPLSETPAGNLTSLLRWPTAPPGMEMPVVEVRKHGLWLLAKNVDQYIHRILVEADINGESGDDVWSAVGGAGNNLYTKGDFKESQAADLDAYLLKKVGLFPDVLERKTLKHLEKGDSVSALITGEFYTRDQFPGFGRPFVFNSEILKRVGRVSEAKDSARVALKSPWWTLGCSYEEAAELAGWEDEQIEFIREKVTEEGKREDLKKGKAPEQVVLDEAAFLMDLASVDGNWDDVVDRIAECYKEAGLHDIAKFIAYRE >Et_5A_042107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6385270:6388273:-1 gene:Et_5A_042107 transcript:Et_5A_042107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNACWELYCLEHGIEPDGTMPSDTSVGVAHDAFNTFFSETGSGKHVPRAIFVDLEPTVIDEEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDEGDEGEDY >Et_3B_029849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28447662:28449207:-1 gene:Et_3B_029849 transcript:Et_3B_029849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRTCATEGETVIGSPFFQWLKPRCSSSSSSPSSAYSSSSSASMASGYQGEGSRGEEGGGGQEASSMTCLPLLSKLEEGRQRPDDHHEPQYPVKEEIMMSGSTGVMWQSGVDLNIGLPVGGGSGNDEDAAMEEEDHHHHRQEEDDMEEEDGEEEEEWKHVHGGCKAEGEEVERGEVVPVSVEGSSKIVVGEFGPVGAEGGGAMGCQYWIPTPAQILIGPVQFVCHVCNKTFNRYNNMQMHMWGHGREYRKGPDSLKGTQTLALLKLPCYCCAAGCRNNVAHPRARPLKDFRTLQTHYKRKHGAKPFRCRRCAKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGADHRPVDQPAGPSKDSVIRFHR >Et_1A_007691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37324281:37325774:1 gene:Et_1A_007691 transcript:Et_1A_007691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKASTAVTLRTRKFMTNRLLSRKQFVLEVIHPGRANVSKAELKERLAKVYEVKDPNCIFVFKFRTHFGGGKSTGFGLIYDNLEAAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAGKKK >Et_1A_005044.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23196935:23197060:1 gene:Et_1A_005044 transcript:Et_1A_005044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQAATYDAYDLSAVGGLIIELKEIAEANFSSFVVMCLST >Et_2B_022941.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:8968289:8969305:1 gene:Et_2B_022941 transcript:Et_2B_022941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPAPASRKRRAPDDTAAAAPACKKQELEAYDYEFRSIHDYEQLEVLGEGSFGVVVKALDRRTGETVAIKMAHGGRPDPRAVVREAGCLAGCLGHPSVVQIRDLAADEDTGELFLELAGPSLRRVLRQRRRFTAAETRAHMRQLLGAAATLHDVLRIVHRDIKPANILVAGGGGGGAVVMKLCDFGTAAPVARTTTTYPEPRVGTLWYRAPEQLQGGRSYGPGVDVWALGCVMAELLTGEPLFGKADDEEDLLVMAMQLRQEIVSAGTEVFHDLPEPLSPVGREYCLLCFDPEERLTAAEALQHRWFTGDEEEEQTKVPAVAKAEHPGSLPLLSAA >Et_7A_052510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8691930:8693925:-1 gene:Et_7A_052510 transcript:Et_7A_052510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVESQPTPPVAESSPPTGEPSPPSSAPASSSLEALAAEAMSFDGDDSESIDVKVQKALDCPCVADLKNGPCGGPFVDAFSCFLRSTEEEKGSDCVKPFIALQDCIKENPKAFSKEILEEEENDTEAEKSDLKVRAPAWSKEPKPKL >Et_3A_024642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22944416:22946411:1 gene:Et_3A_024642 transcript:Et_3A_024642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLRAAVLICATLLAVATAGEAHASLKGLKDILNIFKPRSEKDYFHNAFEGEQEQALPRASDEPQLGAALVPGGHGLLKVPKGSPPTPAAQDTVVLPVDNNGAGAAGGGAWSMVSENSGVSAMHMVIMRNDKAIMFDTVTTGPSLMRLPKKNCRLDLRSKEPGAVDCSAHAVEFDYNTGAIRPLKILTDTWCSSGGFDAEGNLVQTGGYFEGEKVVRYLSPCATCDWREFPGTLAEGRWYGTQQTLPDGRSVVIGGRRAFSYEFVPAEGQTNPTAIPLQILRDTTDDVENNLYPFVHLLPDGNMFIFANDRSVIVDPRTSQVVRELPVLPGGGRNYPASGMSALLPLDLRRGDVLSPEVIVCGGSPKNAFKLGETNQFPAALRDCARINPTKPDAKWAIDLMPVGRTMGDMLILPTGDLLILNGAAKGCSGWGFARQPVLSPLLYSPRQPRGSRFRALAASTIPRMYHATSALLPDATVLVSGGNTNSAYNFSGVDFPTEVRSERFTPPYLAADRAANRPQIDAGSLPADGMAYGTKFTIQFAAPAQAVTADDMKVTMYAPPFTTHGYSMNQRLLVLSVTAFAANGQRYTITVDAPPTPELAPPGYYLLYVVAKGTPSKAAWVKVHK >Et_3A_023504.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:31546305:31546796:-1 gene:Et_3A_023504 transcript:Et_3A_023504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSHTHKAFLLCNYVLLGAASSCIFLTLSLRLLPSPCGLLLLFLHALTAVFSAAGCSDSFTAPATPAQWHNAHTAGAALTAIFQGAVALLAFTRTSDFLAELQSYVRDEDGAVILKMVGGLGTAIFVLEWAALALAFSLRLDDEDEDADLHAKNWQSSYNV >Et_8A_056363.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4083828:4083926:-1 gene:Et_8A_056363 transcript:Et_8A_056363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAIGICTTSTRWSAAILALNSLRTSLIFRS >Et_3A_023742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11752107:11756061:1 gene:Et_3A_023742 transcript:Et_3A_023742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVADVAIPACAAVGIAFALWQWFLVSKVKDVGMGGDRDEEEEEGDGVIAVARCAEIQSAISVGANSFLYTQYKYLAAFTAIFAVVIFLFLGSVHRFSTEDRPCQYTPGRTCKPALANAFFSTVAFLLGAATSAVSGYLGMRVATYANARTTLEARRGVGAAFAAAFRAGAAMGFLLASLGLLVLYAAVRAFGAYYGDDWEGLYESVTGYGLGGSSVALFGRVGGGIYTKAADVGADLVGKVERGIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESTCAALFVASISSLGADHDFAAVCYPLLVSAAGLLVCLLTTLVATDMFKVKTVRGVAPALKMQLVVSTVLMTVAALVVTFAALPARFTLFDFGEVKQVRNWHLFFCVAIGLWAGLAIGFTTEYFTSNAYSPVRDVADSCRTGAATNVIFGLALGYKSVIVPVFAIAVSIYVGFTLASIYGIAIAALGMLSTVATGLAIDAYGPISDNAGGIAEMAGMSRRIRQRTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVADVNVLSPKVFAGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFATIPGLMEGRATPDYARCVRISTDASLREMMPPGALVLLAPLVVGTFFGVRTLAGLLAGALVSGVQIAISSSNSGGAWDNAKKYIEAGASDHAKSLGPKGSDAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLIFK >Et_5A_042812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4845759:4849342:1 gene:Et_5A_042812 transcript:Et_5A_042812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIAILHPEVAQEDFGSMASVHRDYLYHQCQHRLPTPPSSPTSHQHHGVTGLEESILFLLPLASPLPSIVAVVVLGAVLLWLSPGGPAWALSRSRRPPSGPPGVLTALSSPVAHRALAALSSVIEGGKSLMSFSVGVTRLVVASQPDTAREILVNPAFGDRPIKDAARHLLFHRAMGFAPSGDAHWRGLRRQLGIRDSGWLVASQERNDTMAQGSFGAENYMI >Et_3A_023515.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32162165:32162239:-1 gene:Et_3A_023515 transcript:Et_3A_023515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNSRTRNWKVTEGDPSFCNEKT >Et_1B_011100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17632940:17640447:1 gene:Et_1B_011100 transcript:Et_1B_011100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLDDVPAEKAAELLRLRDERGGAANDDVPMARKASLQRFMEKRKGRAIPQAGRRRRRLTPWYQPTTPSPNQTKEKLAKLINAAAAAEAEANGDGGQHQGPRPVRGGVRRAQPAAAVPRAAATVVALPLMPGADVSSSVQEEQQPAPAPQLTIIYGGRVVVLDDVPAEKAAQVFRLAAAAKEAPRHAVLPVERKASLQRFMEKRRSRVVARAHAPYSRPDGGVCDDACPVRLALSLATETAASTTSRFAAACGALSQYVKARARPVRPLALMPGADVDAGAPESHEDAEAGAGPAQQQLTIVYGGRALVLDAVPADKAADLLRLAASAAAKEPRRSTVAAAADMPVARKASLQRFMEKRKGRVAAARADRDELALAL >Et_7A_050343.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:7805175:7805744:-1 gene:Et_7A_050343 transcript:Et_7A_050343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETVNGWEGYFHAHFTDEVKLMITKMKALQKELESGQQPVDDINKLTARNEEVDVMLKRAVSISEQITRSRMKISEMKIAGDENLQELKSFEAKYIAHVEVLEAELAETKSNLEIVRSSQTKYNGFLVQEQTVSEDINTSSVKLNQAIIKGSALSKKLHERLQDSDDEDLPAVVQALHSLVKHYPVKE >Et_3B_030375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3283859:3294469:1 gene:Et_3B_030375 transcript:Et_3B_030375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WWKEREGKREGRRKKTSTSDLLSSRSDHLPARSPRPEAFPPRRNATDPHADEAAAGEDGRASPGTGLEGPMRRMGLDGSGGGGEGQEEDGEVARLPERPGEADCGYYLRTGACGFGERCRYNHPRDRGGTEFGGGVKNGAASDFPERLGQPVCEYYLKTGTCKFGSNCKYHHPKQDGSVQSVVLNNNGFPLRPGEKECSYYMKTGHCKFGSTCKFHHPEFGGPPVTPGIYPPLQPASVPSPHAYASLANWQMGRSPVVPGSYMPGSYTPMMLSSGMVPLQGWSPYPASVNPVASGGTQQTVQAGPLYGMGNHGSSTAIAYGSPYMPYSQSAGQSSNNQQEHVFPERPGQPECQFYLKTGHCKFGATCKYHHPQDLSAPKSNYMFSPLCLPLRPGAPPCAYYAQNGYCRYGVACKYDHPMGTLGYNSSALPLSDMPIAPYPLGFPVAVLAPSSSSPDLRPDYISTKDPSVNQVASPVAAPEPVGVILPKGGFPPDTIMRAQTSTTNGGSSSPGESMQRLGLGGGEEASPAAGKLPERPGEADCTYYLRTGTCAYGERCRYNHPRDRRAAAAVNGVGRTTSTVEYPERPGQPLCEYYVKNGSCKFGSNCKYDHPREGGFVPAVLNRSGYPLRPGEKECSYYIKTGHCKFGNTCKFHHPELGVPETSTIYPPVQPSAISSAHPYTQLATSQMGRPPFVPGPFVPGSYPPMMLPSAVMPMQGWNPYISHMNQVTPSGGQQTVQAPYGLSHQGPTSSVTYGGHYPQLYPSAGPSSSNKQGYGFPERPGQPECEHYMKTGTCKFGPTCKYHHPQYFSALNPNYMLNLLGLPLRPGSQPCAYYAQHGFCKFGPTCKFDHPMGSLSYSPSASSLTDMPVAPYPLSFPVAHMAPSAPSSDLRPQYAVTKEPSVNQQALPGTTYGHPGSITKVYAPHTLIRSPASTAAGMQAS >Et_7A_053016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4907746:4909889:1 gene:Et_7A_053016 transcript:Et_7A_053016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRRHHGHVHGVGFGVGGGYAEHEEKKHKEQKLDMSGMSMDTIPHLTMPLGHITTLDLSNNNLESIPESIIARLLNVVVLDVRSNQLTSLPNSIGCLSKLKVLNVSGNLLHSLPSTIEECRALEELNANFNQLTKLPDTLGFELHGLRRLSVNSNKLTSLPFSTSHMTSLRALDARLNCLRALPEGLENLGNLETLNVSQNFQYLRELPYGIGLLVSLRELDVSYNSISALPDSMGCLTKLARFSATGNPLVCPPMDVVDQSLDAMRAYLSARINSSESKKKRRSWMPKKMVKYATFTAGMMTPGRAKVHGNNEGGLLMSDYRSLDGGRIASPGFLSMLSPRRLFSPRRNSPKH >Et_1A_009423.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5140507:5141478:1 gene:Et_1A_009423 transcript:Et_1A_009423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDPGGGGSSRYFHQLLRPPQQQQQPSPLSPTSHVKMEHHKASPDKSPAVGEGGDTGGSAGGGDQPSSSAMGPADGGSGGSGGPTRRPRGRPPGSKNKPKPPIIVTRDSPNALHSHVLEVAAGADVVDCVAEYARRRGRGVCVLSGGGAVVNVALRQPGASPPGSMVATLRGRFEILSLTGTVLPPPAPPGASGLTVFLSGGQGQVIGGSVVGPLVAAGPVVLMAASFANAVYERLPLEGEEEETAPAAGAGGEPQDQVAQSGGPQGQQPTASQSSGVTGGDAGGGGMSLYNLAGSVGAYQLPGDNYGGWSGGGGGVRPQF >Et_10A_001428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3003162:3004220:1 gene:Et_10A_001428 transcript:Et_10A_001428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSYKFYLPYANKTCIQHDAIQAFGKVVKERVLLRFSFWVVVAAGAAVAHGHPAAPCISPAAQFWEEAQPGTPMPEDISNLVQRVHHVKIHRICGIVNISSLASRSVRTCPLVEHNPAFPSISVCGAWTGLCTASMAAGTGIFFTASDMLGAGVWGATSAIPRRTGLPRSPSSSCCHACPRAITRLRRPAGTETRKRRPVNVSLGESGP >Et_4B_037789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23326996:23330754:-1 gene:Et_4B_037789 transcript:Et_4B_037789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPTASTAGRRRPGGGAGPWCAPVILVLVLAVGAVAQTSPSDVEAMRAVAKALGADKTLGWDTAGDPCSPKPWDGVSCQGGRVTRIQVGKRGLKGTLAPEVRNLSALTRLEVMLNELSGPLPSLGGAGGLSQLQVLLAHNCNFTSIPPGFFSGLTSLAAIDIDHNPFEPWRLPTDLGGCTAIQNFSANSANVIGNIPDFFGAMPSLVQLSLADNNMSGPVPESLSGAPLVQLWLNNANGGGKLNGSISFLTNMTSLQQVWLQSNTFTGPLPDFKGFDNLQDLQLRDNQFTGLVPGTLGNLKALKKLTLTNNLLQGPTPKFPKSVNVDMEDGTEKFCLPNPGVPCDPRVNLLLEVAAGFMYPKKLAEGWTGNDPCKGFTGVTCNSTGNITVLNFKDMGLSGSISPSIGKIVSLQTLILANNNITGTVPKELTALPALKDVDLSNNNLYGEVPDFPKNVLVKTDGNPNIGKDAPAPTAGTGGTSGNSPSGGGSENSGKNGGSSSTSAGVIAGSVVGAVAGLGLIAAFGFYCYKRKQKPFGRVQSPHAMVIHPRHSGSDDMVKITVAGGNTNGGARVSETYSQGSSDPHEIRVPDGGNMVISIQVLRNVTNNFSQENILGRGGFGTVYKGELHDGTKIAVKRMEAGVMGNKGLNEFKSEIAVLTKVRHRNLVSLLGYCLDGNERILVYEYMPQGTLSEHLFEWSEHNLQPLEWKKRLSIALDVARGVEYLHSLAQQTFIHRDLKPSNILLGDDMKAKVADFGLVRLAPSDGKCVSVETRLAGTFGYLAPEYAVTGRVTTKADVFSFGVILMELITGRKALDETQPEDSMHLVTWFRRMQLNKDTFRKAIDPGIDLDEETFASVSTVSELAGHCCAREPHQRPDMGHAVNVLSTLSDVWKPSDPDSDDSYGIDLDMTLPQALKKWQAFEDSSHFDGATSSFVASLDNTQTSIPTRPPGFAESFTSADGR >Et_7B_054860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4986799:4990338:1 gene:Et_7B_054860 transcript:Et_7B_054860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLVSMDMLRGSTEKREVFISSMNAPLPYAIEDSFGGYFFEDDDDDLAQVLQDQEILYQLIQGNDGSGSSRMRSAPNSSYGHDRISNERKLLGDANYKLQLAVDEALARELQAMEGQLANSSLNDNNGRRNRTTSTTSNNRSSPGSRPPHAIADDDIDPDNMTYEELQQLGEAIGTESKGLPESAIALLPTSTYKSGIFSRKEKHNECVICCMAYKKRDKLTKLPCEHQYHQTCVAKWLQINKVSYLVMISE >Et_3A_026018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3579891:3580704:1 gene:Et_3A_026018 transcript:Et_3A_026018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGNPNQMDVCFYNSSGRTPLAYSIGAFLLLAVAMFAEHGYMLVAVASPESASAGLAVAQDHPRVPATVSSLTWQTCCLFFLTWICFGLAEVLLMIGIGVESGHVSDWRRPRPVCHRVRPGMFAAAGILGLITVVVGFVVYVTAVQTRKLQQGHYPGGGHYVGHGAPYPGAPHYPHMQPPMAHPHPVPHAVPSAPGAPEIRPAACQVEPSRASLITKEITDV >Et_10A_000773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17018347:17021473:1 gene:Et_10A_000773 transcript:Et_10A_000773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMASAAGGAMASLLRKVGSLTAEQGARLMGVPAGIEWLKLELKSIHAFLMGLSDIEDPDEVVKCWMDELREVSYDMEDAIDGFELGGGNDSGRASSSFSSTGLFMGSFQRCMGLVKCVESRYAMAGEIQRLRDRVSEAEDRRKRYKLSDDEPAHCTVDHRVVSLYREAISLVGVDGPRDTLISWLMQQRDGTTDVVSIVGFGGIGKTTLAKHIFNTIQGQFDCTAFVTVSRKPHINYIIKKILSQFQTGGDMAQVGLHERQDDHQPFIDLLRAYLRNKRYLVVVDDIWDKDTWEIIKCGLLNNSCGSRIITTTRVFSVATCCCSGGGHIHRMEPLCWDDSRKLFLKRVFDSEVCPPHLGTALDKILKKCSGSPLAILTVSSMLVDKVTPYQWEQVCQSIGSGISQDDDVSGMRRILSHSYFDLPYHLRQCLLYLSTVPEDHVIKRKDLVYRWIGEGFIHGQHGQNITEVGERYFHELINRSLIQPVRIKYDGRASACQVHDTILDFIVSKSVEENYVTILGALEQRPYKKIRRLSIHSYQDEDNFIELEELDLSHVRSLNLFNYVEQIPSALTKLRVLHIDYAFRRKSLSKEGDDEVHEVDLDELIGDVRVLFNLRYLSIQWHFLTERILEQIGNLQCLETLDLREGIIVDDLPPSINKLQCLVRLFIPGAIMPYGGIGGMKALEELKYIDVTKQSVIFLQQLSKLTRLRVLGLYIDISEMTDDMELALMSSLHKLGRCSLHSISFRASESYRVADLSLVPCNYWKLALQHLRKIKMRNIDYVPDWVGSLHNLEKINLYSNKMEQHELDILGGVQSLLHLKLHICKNPLIFSSRKGFQQLKYLSMSFRMRRKATKTRSTRTRMVTFETGLSMPRIQHLDLRLDTCFCYIGRDSPSRLEKHARVCMFSKSEFADFYDMGIQNLSSLTMVSVTNRCCVPMAAELGEAITKRAVSSLPNCSTAKLTVCDLVLFMIK >Et_4B_040037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8031161:8034605:-1 gene:Et_4B_040037 transcript:Et_4B_040037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAARRLRELQAQQGNKTCVDCAQRNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWTEVQLRKMEAGGNDRLNAFLAARGVSKETPHVAKYNSNAAAAYRDRIAALAEGRPWTDPPVVKETPGSGAPAPARKPPLPAASGGGGGGWDDWDDDFRPDMRRNQSAGSFGAAGAQSGRQPTRSKSTEDMYTRQQLEASAANKEDFFARRMAENQSKPEGLPPSQGGKYVGFGSSPAPSANRNGAAAQGDVLQVVSQGFGRLSLVAASAAQSAAGVVQVGTKEIQSKLREGGYDQKVNETVSVVANKTAELGSKTWGIMRGVMALASQKVEELSKEGGSSGWGDDWQRRDQNSEPYQRFEHETNGNGWNSSDNSSSKNYSSNSWDDWDDQGKKDEPAKPHQSSDSWAGWDDGKDDSFESYNHSTSNKGELLYARKREHPVVGIIQDTETDFRSKLREG >Et_3B_028150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10971345:10974632:-1 gene:Et_3B_028150 transcript:Et_3B_028150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSAARRDTPSTVAPESLPNLGIIPTVDMSSTAPAGRGELARRLVRACAERGFFRAVNHGVPASVSGRLDAAASAFFARPAPEKQAAGPPDPLGYGSRNIGAHGDVGELEYLLLHAEPGAVARKAKVIDAEDPSRFSEAVNEYVDAVRRLACRVLDLLGEGLGLRDPKSLSRLISAVDSDSLLRINHYPPSGNGGAKGSTAIGFGEHTDPQILSVLRANDVDGLQVLLPDDRGGDDEWVQVPADPSAFFINVGDLLQALTNGRLVSIRHRVIASTTKPRLSAVYFAAPPLHACISALPETVTPATPRRYRPFTWAEYKKTMYALRLSHNRLDLFHANDGVGEDGNDQ >Et_1A_007147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31339548:31345843:1 gene:Et_1A_007147 transcript:Et_1A_007147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITEVTVVHHAALVLAALWAAAATGWAHPTLYLVALLYIFAVNERYTMRLRRRLQYEERKGANQRKLLSDAETVRWLNFAVEKMWPVCMEKVASQQFLLPIFPWFLEKFKPWTAKKAVIESLYLGRSPPMFTDMRVVSQSTDDDHLVLEIGINFLSADDMDARMAVQLRKRLGFGITTIMHITGMHIEGKVLVGVRFLEQWPFIGRVRVCFVEPPYFQMTVKPLFGHGLDVTELPGISGWLDRMLDVAFGQTLVEPNMLVIDLEKFAAESTENWFSVDEKPPIAHAKVEILEGVEMKPSDPNGLADPYVKGHLGPYRFQTKIHKKTLNPRWHEEFKIPITSWEAVNILSLQVRDKDPIFDDALGDCSISINKLRGGQRHDIWIALKNIKTGRIHIAVTVLEDENEKVPNDDEEQCGTPKVGKTSTRRSSFSSRTNNESESSDEFRKMTDEYEPVDIEGLEKAEVWVHRPGSDVTSTWEPRKGRPRCQDSKIHRENDTCSDSPRSSVSESQRSDSSTEEPGNGKSHHRHLRKVKKGLGKLAGAVLHRSSKKGSDDEASPCVTPHPNIRPVGESRVKVTYVVDQDHGNSQMQKGADDPYSSPEREELDSPTKRHLRKKAVHIVKHAGKTAQNLKTMFSRKGSDKSKDECQNDEEDDPSATKIDTVEVSPVPDSTVVDAPESAVDGKDKVQ >Et_5A_040322.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:13735726:13735806:1 gene:Et_5A_040322 transcript:Et_5A_040322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVGLVFTAIAMASLFLYVQKNKIV >Et_9B_065953.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18681183:18683729:-1 gene:Et_9B_065953 transcript:Et_9B_065953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAISVSLPTPPPTHHHHHHSKPLPPHHARGPPPPRLLTTTSLSASLRGADSFRDGRLLVSLLRQCADLLHREEEAEGFDAARRLAPQLHSVVVRSGRSRDPHIACALVDLLARLGRGASGRRLLQEAEAKDAVLWNKHVAMLAEAEDWGEAIAVFREMQARGVAADGYTCARVLHACGRAGALREGKAVHAHALKAGADAHALVPGFLAGMYAENADVAAARLVLEATAPESVVPWNAVVACCARLGLVDDALDLAERMARAGPDPNMATWNTVLSGCSRNGRDLEAFGVVRSMLEQGLRPDATTVSSLLKSVANSGLLAHGMEVHSFFLRHQLEPDVYTGTAFVDMYAKCGRLDYAQKVFDALELRNLATWNSLIARYANAGQFDRALEVVEAMKRNRLDPDISTWNGLITGYSMSGQSSQAVLLIRQIKALGVTPNVVSWTSLISGTCHNGEYEDSFYFFSEMLKDGVQPSSVTMSVMLRACAGLALLKKGKELHCFALRRAYDDDMVVRTALIDMYSKAGGLTSAKRIFKGIQKNNLVSCNAMLTGLAVHGQGHEAIKLFHDIRSSGLKPDSITFTALLTACGSLELITEGWEYFDSMENRYGVTPTVENYACMVDLLARCGYLDEAMDFIRRSPVKAASLWGALLTGCSIHGNLALAEVAARKLFKLEPYNSANYLQMVSLYEHEQMYDEAESLKYAMKARELDTRPGWSWIQIGPSIHVFEVDGKPHPDTAEMYEELIRLIFQIRKAGYVPDTSCISYNVPEEEKEKLLLSHTEKLAITYGLIHSDGSRVPIRVIKNTRMCNDCHEVAKHISALCDQQIILRDADRFHHFADGKCSCNDCW >Et_10B_003266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18316745:18320760:-1 gene:Et_10B_003266 transcript:Et_10B_003266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTQMIFLSVHGIILLAFCSFSLPPFCASDSRLLPNKPLSFGSKLTSDDGTFALGFFSPSNSTKKHYYLGIWYNNIPKDNVVWVANRATPITDPSSTTLSLTNGSNLVVSNTNSPVLWIANIDATTNFSSEIASGEATLDNSGNFILRASNGIILWQSFDYPTDTLLPGMNLRLTHKSHAIQRLISWNDPQDPSPGSFSYGADPKEFLQRFSWNGSRPYWRSPVWNNYLVVGSYINMINSTIYMTLHRINDEISVSFGITGGSSTVKIKIDYLGKVKIQVWNNSNIPEWNILQTEPNNECSTYGYCGPFGYCDRTEPVATCKCLDGFEPIDNKDRTNDKFSGGCHRKDALRCGQENRFLTLTAMKIPDMFLYVRNRSFDECRAECTSNCSCTAFAYANMSTKTINGDDTRCLLWTGDLIDTEKLIGQGEDLYIRVNILSDKKSRNNLLKITLPVVTSLLVLLCICLVWICNCQDSSLRYLICIALLLSSAKQRNKSIWKKLMSGTLSTSHELGDVNFPFFSYRKIMLATNNFCSSNMLGHGGFGTVYKKYVILQGTLDCGKTIAAKRLSKSSRQGVLEFTNEVILIAKLQHRNLVKLLGFCIHGDEKLLIYEYLPNKSLDAFLFNEEMSPKISDFGMARIFDGSQQQENTNRVVVSDKQQYTNELYNRSCNHVLEPKTIFLGYMSPEYALEGVFSVKSDVYSFGILILEIVSGSKISSMHTSEDFPNLIAFISIIKYSYHRNLFLWKDGNMKNFVDSSIAESCSFDEASQCIHIGLLCVQDNPNARPFMSSVVSILENGCTSLPPPKKPIYFVERNYETYEAPETIVNSSYTITELEGR >Et_1A_007857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38972148:38974465:-1 gene:Et_1A_007857 transcript:Et_1A_007857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEEHREREEGEQAYGNVAALGALRQRQAGYAWADVAALEPAAAGQAVRLRGAAQKVRATARVTFLVLRQGTATVQCVASGGAALFAKGLPRESVVDVAGVVVLPREHVRDTTQQEVEIQVQKLYCVSRALPKLPISVEDASQNEEDVASAKAAGKQLARVGQDKRLDYRAIDLRTPANQAIFKLQSEVEYAFRQALRSEGFDGIHTPKLISGSSEGGAAVFKLDYKGQPACLAQSPQLHKQMSVSGGFQRVFEVGPVFRAEDSNTHRHLCEFTGLDVEMTIRDDYTEVCDVVDKVFVAIFDHLNKNCAKELEVIQRQHPFKPLKYLEKTLRIDFREGIQMLKEAGVDVDPMGDLSTEAERKLGELVRDKYDTEFYMLCRYPSAVRPFYTMPCSDDPLYSYSFDVFVRGEEIISGAQRVHDPELLTSQAKARGIDVNTIATYIDSFRYGTPPHGGFGAGLERVVMLFCALGNIRKTSLFPRDPRRLNP >Et_10B_003666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4563297:4564958:-1 gene:Et_10B_003666 transcript:Et_10B_003666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGKRAIFSKQTGGQSPTAIKSADMMQCKGLPIRCNCTLEGKSKRKAEIPCLASLTKQGCSRGTAHAMLIALSVINQMKRQTTSCWNAPMQSKVWPEVSRPSTLPNTPYGDQSQGLKEWWNDVMSKFRKKEKKEVAASTCVEPLEKEEQKKFPKSIPTTTSSAQTGEGGDADERAGSTKWRSSNYN >Et_6B_048302.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:10896572:10896886:1 gene:Et_6B_048302 transcript:Et_6B_048302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TLQPLSHTVLEEQKAEAEHKVEASLLSSTVSERGGSWRTGGRCSSGWCCSSCSPPGCCSRSPARAGSSSSATSRPAASPSSSTPSSTSPSSPSSSSPSASTCTSA >Et_3B_030524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4708594:4711735:1 gene:Et_3B_030524 transcript:Et_3B_030524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLLSSLLRSSSSALRRAAAPAPSPAARRASPAGLLLARFASASAAQPSAPSPPSASPASSAAKGKGGKITDEFTGAGAVGQVCQVIGAVVDVRFDEGLPPILTALEVLDNNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVPVGRATLGHIINVIGEPIDERGDIKTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLANDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVYPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVKSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAS >Et_3B_031370.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27134988:27135209:1 gene:Et_3B_031370 transcript:Et_3B_031370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQGLEVMLVLTLLAAIVSSLARVAGPLCASRRRDKNAAAWVVHLSNVIPVVEMLVAVPLAAKVFISFLPVA >Et_8B_060141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6294286:6299593:-1 gene:Et_8B_060141 transcript:Et_8B_060141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHGGSVGAVVELDWAYVLDTRVADVKKLIESAQGPNVYPADQQMLIHQGTVLNNETTLEENKVVENNFIVIMLSKKGSSSAASATAKEPTSQTSADRVISASPATQPPSGPVSVAHVSEPASTATASPAPPTASTEANPYGQAASNLVAGGNLESTVQSILEMGGGAWDKDTVKRALRAAFNNPERAVEYLYSGVPELEEAPAAAAAAAAVPPASGQPVDLVQAPQSGQPAVPSSGPNANPLNLFPQGLPNAAPNAGGGNLDVLRNNPQFRGLLSVVQANPQILQPLLQELGKQNPQILQLIQDNQDEFLRLINEPGEGDEGDLLDQLGAAGIPQTVAVTAEENEAIQRLEQMGFSRDLVLEVFFACNKDEQLAANYLLDHGNEFDDEAPQ >Et_4B_039865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4370170:4403781:-1 gene:Et_4B_039865 transcript:Et_4B_039865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGGENRADGAGRGEANRGRGATTQGGLVGRGRGALMGTDGHGYNYGHQGYNGRNYGAGNRQGRYGRPWHRPYGRPSRHYNSYHRGGPSGRGYGEQQRAPIAGAQVQRTGPPGAEVHTQAAGAQANGGVPIRSWANTEKVDQVEVEGRDLQPPNDNMEVDAGDEDGHDGKERKDNGSNPENGAEERKYGGKPQEKGKENSNKDKKDGNQNSNTSKQQETGEGADLVDVLNAGSAVDLHAGMRAACSSSPELGMGHTRESISALGAGNQSLTHVPLMGFGMSQQATTGAKAARADAIQGRMECTASDLMTGVMNEDALDNMMKILGQEKMPEKRSRRRELDSDEHSMDRASRLKAIKNLDSYFLTFCDSKIASNISSLGVSLGNDCTRTITRMKNIEKDRLTAVQKKKVESCVEIEEDDSDKDSEDGLNYLTLGNLCGDLTEDILGEDNSHSMDDFKKAEGW >Et_3A_024077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17035309:17035841:-1 gene:Et_3A_024077 transcript:Et_3A_024077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARRTAPSGSSLRVSSRRTWTRSFGRLGTRQTGLTGQYNIGLVQDGMRHELLGLMREKGAIREVVIYAAVLDAFFKLYDAVNSFRKMQGNGVISPPNAFRVEMFEAGPSQNADTEWTRSFQDRRNFAIYEKSIRDHLDKKGPFSPLVWEVFGKKKSGR >Et_7A_051727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23594973:23597597:-1 gene:Et_7A_051727 transcript:Et_7A_051727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYDGVGEEAVAAWEEWAGSGKAFGTGAGEWRLEVADAEGGVESAPFTKKLFSVHACATSTLPIRHLIFFKMNTYKVVTHKVDFVILCIGRFSGVPNIPTFPPGNGPEAFDGQVIHSMDYAKIGTKKAKEMLKGKRVTIIGYLKSAIDIAAECAQVNDCFGHCVDIVRTDHPCTLVVRTKHWIIPDYFAWGFHISLLYLNRFAELLIHKPGEGFLLWLLATMLTPLASSP >Et_9A_062114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19840335:19843852:-1 gene:Et_9A_062114 transcript:Et_9A_062114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDEQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMANSSVKHGGQADARSGRRVQGNLRPVRRRRGRQDTTSKLLSPTNFLAAASTDSSLAFPQIATDELVTALRSLGLNVDEAEAQRLLSDVAAGGAGAIDFAAFQAVAARKMAGGGRQSEARLAECFDVFDDARSGSIPAEQLRQVMTSHGDRLTEEEADAMVREADPRGEGRVEYREYVKVLLRNK >Et_2B_022888.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:7323390:7324841:1 gene:Et_2B_022888 transcript:Et_2B_022888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPGENAGARPHVVLLPSAGMGHLVPFGRLAVALSSSAHGCDVSMATVLPTVSAAESRHLEGLFAAHPAVRRLDFALAPFDESAFPGADPFFLRFESMRRSAPLLLGPLLAGASASALVTDIALSSVVLPVARQRRVPCYVLFTASAAMLSFCAYFPSYLDANTNAGGDVGGIGDVDIPGVYRVPESSIPQALHDPKHLFTQQFVANGRGLVGAAGILVNTFDALEPEAVSALRQGTAAAAAAAGFPPVFAVGPLRPVPLPADDQPAKNPGATGGYMDWLDAQPARSVVYVSFGSRKAISKDQLLELAAGLEASGHRFLWVVKSTVVDKDDAAELGDLLGGEEFLGRVRGRALVTKAWVEQEAILGHPAVALFVSHCGWNSVTEAADRGVPVLAWPRFGDQRVNAGVVARAGLGAWVETWSWEGEEGLVTGQEVAEKVKNMMADDGVAKKAAKVRDAATAATAEGGTSYRSLAEFVARCRAA >Et_3B_030004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29811728:29822348:1 gene:Et_3B_030004 transcript:Et_3B_030004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGSEAEVTRADFPDGFVFGVATSAYQIEGARREGGKGDSIWDVFTEEKERILDRSNGDVAVDHYHRYKEDIELMASLGFSAYRFSISWARIFPDGLGEKINEQGVAFYNDLINFMIEKGIEPYATLYHWDLPNNLQKTMGGWISDKIVECFALYAEACFANFGDRVKRWITINEPLQTAVNGYGIGVFAPGGCEGESARCYLAAHHQILAHASAVDVYRRKFKATQGGVVGLVVDCEWAEPFSEKLEDKIASERRLDFQLGWYLDPIYFGDYPESMRQRVGKDLPTFSEKDREFIRNKIDFIGINHYTTRFIAHHPNPGDICFYQVQQVERMEKWNTGEKIGERAASEWLFIVPWGLRKLLTHVAKRYNNPIIYVTENGMDEEDDQSATLDQVLNDTKRVGYFKGYLASVAKAIKDGADIRGYFAWSFLDNFEWAMGYTKRFGIVYVDYKNGLSRHPKASALWFSRFLKGEAAENKADTN >Et_8B_059067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13056882:13060165:1 gene:Et_8B_059067 transcript:Et_8B_059067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAMGRRTVGGLLVTKGGSILVFREESPRHKATSCCTRLGCSSKLFPNKDRKIHRTDNEAAAIQRSPVLRKSNRMSPQGINAYGGSGSTSRNAASTCAATNNRPRRRENAGRDLLARLKERVNASRKRSLSGGSSPSPLSPNTPGTGSSSSSQVISRSLHRPASKMRKDGSRTSESVRMHRDSTRVDVETSSADHDPSGRFLSRRLFRHRRRLQGGPISSLDDNLDYSDGYWRFNMNGSEEELLALGERIGTVSTGLSDGALSECLITSHYVPTTSISHEDGDIKCIICQEEYFSGVELAKMVCKHYYHTTCIQQWLRQKNWCPICKSLASTIIF >Et_10B_004311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3555761:3558696:1 gene:Et_10B_004311 transcript:Et_10B_004311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGLSGRDTCGPSFCDVYAGSMCVYIVGGKYKYNILTLLLASLLLLPFSWLLLHFLSSPPDGKKKNSTDGAHGRRIPSPPALPFIGHLHLLKKPLHRSLAELARRHGGGTGLLLLRFGARPVLLVSSPAVADECFTSHDAALADRPSVASRRLLTGNGDCPAISTAGYGPLWRNLRRLATVHALCAHRLAATAAARDAEARAVAAKLWRAAGGEGGGGKVMVSVKATAYEFVVNVIMAMVAGERMAEEKVLEFKEMTEAALAAAGAANRLDFLPALRLLDFGRTRRRLAGIAEARRQFGQSIVDDYRRRHPRTTTAGEATRTVIGDLLREQERAPESHDDVVIRTVCLVSDSVSQSSRFARTFARLRTL >Et_4A_035413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23831058:23832480:-1 gene:Et_4A_035413 transcript:Et_4A_035413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGKMVDLYVPRKCSATNRIITAKDHASVQINVGHVDENGLYNGQFTTFALSGFVRAQGDADGSLDRLWQKRKAEKLTPNKAPNCIGPMNKEG >Et_6B_049468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5187500:5189156:-1 gene:Et_6B_049468 transcript:Et_6B_049468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVQVKESSFIAPSEATPTKKLWLSPFDQVLANRGHTPLVHLYRANPDATAFFDVVRLKEALAKALVAFYPLAGRLDADVEGRTEIKCNNEGVLFVVACADLGDDLVFKPSPELRRIFIPRIEPSTIILAIQVTFLKCGGVVLGTAVHHAAVDGSCFFHFLQTWSAISRDGDSAAVELPCHDRTLLRPRSPPVVDPSALSVLFPKVTFVSQPSGGPTATEVFTISKHQVATLKRLCGGGAISTFCSVSALVWRCACVARRVAPHARVRLSFPANVRRSLRPPLPDRYFGNALVWLGVTGVARDVALGSSLVSVAGRVAGAVARMDDDVVRSAIDYFELAETDGRPLKGSMPETDLRITSWLGMPVYDVDFGWGKPRNMSRAESVRGGFVYLMDDGPAGESGGGGAVRVVMCMEATNMKTFEGLLYANITNKSAKL >Et_1B_012408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31680726:31681216:-1 gene:Et_1B_012408 transcript:Et_1B_012408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKATLIVLTVLFACLLISAKCEGHGPAESHVGGLRRQQGGEETMRSSKINIWLCDKRSCQHSYNSCYCCPGAPCYMDQKQCQRACARQQTLWPALPAPEPSSVGSQQVNPEEDTSQGLGLIQFCDIC >Et_9A_060974.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22818502:22820065:1 gene:Et_9A_060974 transcript:Et_9A_060974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPLPSARNKTQARPHPCGLKTSSSPPPSHLSLSVSLPSRPRRRRSRLSTPPAPPPPLRAERVDMAATADPRAKPPAAPPHHLEPWAHQPPPAAAHRMAPVVAPPAGGGCAARDRRRPSSHPRRGGAARDVGEEAYDGGIEELRAKLMGHLRDAADRLRVPQPEPSLPPPPPPPRKTASPPPDTDSEPEPELRATPPPPPQQEQPPPQADVATTKPWNLRERTRRRPSSAAARSWAASSPPPPSSSSSRRRRKRAPFSVSLTAEEIEEDIYALTGARPRRRPRKRPRVVQRQLDVRRIPRAAPLHSKPLCLINHEPIPPFVYRIDTL >Et_7A_051943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3062917:3064142:-1 gene:Et_7A_051943 transcript:Et_7A_051943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVVQLKDMFFAVVERVTGYGRAQDQHAAAAGNVSSMHACNSGKVVYYGLLKYHVFPPCDPWKSIGAQEPANVVKHTEIRARGEKSMPDDVPDVPRGSLPQDNLRPTGQRNYTRYRYGVSVRFRSGSGMKNDLYEMEIGSICILPPQPDVLRLYPYGTD >Et_5B_043551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11731673:11732739:-1 gene:Et_5B_043551 transcript:Et_5B_043551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIVISLSAKLGVALSRRAAHSLSSLFGVRSDIAAANQDLLLLLRAFLQHADSSCRGKDALVTAWVRQLEDVADECCYLSGHGHGVLNAAGALSKRLGKARERLRQLTAAKDQYGIRPLLAMPDVDPAPPSLVAVTTSTNESAHFFLGKEEVVGVTAHEARLLEWVADGDADPRRKVVAVCGMGGVGKTTLVTRVAVSRGFAVDELLKKELRRGGDARGGVTDAAAIANGDDYRSVRAHLGKRRYLVVLDDVWDKHLRHAFLDEASGSRVVITTRSGEVAKAAAATAERTLTLAPLPWPEAWTLFCNVAFRTAAPPGSVHPTCPSHLQEVAAGML >Et_9A_062283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21526672:21527584:1 gene:Et_9A_062283 transcript:Et_9A_062283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSNNKGSWTQRQNKQFECALAVYDKDTPDRWHNVARYMGGAKSPEEVRRHFEQLVEDVAHIEAGRVPFPCYGSSVGSFPPARGLDAAARSKYLKYQ >Et_2B_021468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:304166:308516:1 gene:Et_2B_021468 transcript:Et_2B_021468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRPRPSGAGRFSFDCKLRRLIQNGGLAVSGSSAEDVAHTLRIHHPEYRREKLVPFTAAVSRVLSSIPAPSSSGSDDDDDSASRSRRRRHDAQASTSSSTSVSEEASHPSPPPAFDVTKAMLRTQYASQTPKRNPANNQQLEIEVTTEKARRLITSDGGGGGDAKPEAPSSSEGVVRGEKGPRFADLGGMEVVIEQLMMEVVVPLCHPQLPQRLGVRPVAGLLLHGPPGCGKTTLAHAIANETGVPFYKISAPEIVSGVSGASEENIRGLFQKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDEFHQNIGGSDSDAESSDKKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGVPDENARKQILRMLTQNLRLEGQFDMFKIARATPGFVGADLKALVDKAGNLAMKRIIDKRRIEYCREHEGNNKHDWWRQPWDAEEVESLHITMNDFEEATKMVQPSLRREGFSSVPDVTWDDVGGLNSLRKEFDRCIVRCIKSPEEYEVFGVSMQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKGPELLNKYVGESESEVRKIFTRARTNAPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGADQRQGVFVIGATNRIDVMDDAVLRPGRFGKKHYVPLPGADERVSILKAHARSKPISSSIDLDALGRREECNNLTGADLASLVNEAAMVALEERLEFLENGTSSMSSSNLIEPSHFERALSKVKPSVSEQQIKHYEALSKRYSSN >Et_1A_007172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31657605:31668676:1 gene:Et_1A_007172 transcript:Et_1A_007172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GLWARLGGLGGAAERGEEEVEELLAEARVGVAEEADARAGRGAEDGGIEAEEEAVGVHGALQLRLPLLLPIRRRHSRRLPRSHFGTFGGLASAGGRRHWGVDLAQPERGGGGSLGLLDENERSWSFSVSFRGQDCTCCNTPPPHRPLTASGGARDLDRSTMADTSNDQEEIEQEDRLSMLPDHLLLNILDKLEIMHEVVRTSVLSKRWRHLTGLHSRIVLDVLHFEPDNDDGSEFTLYDLVIQATKSILAHTSQDTVTLLYLRFYLREESIDIVRAVDAAMAHREFTKVEFVFKTEVWDMDCTDGDMLMYGRRFMRFFDACPHAFGALKELSIQNLTLGKSDIPNVLRSCKKLKYLSMDNCDAGIRSVLQIEHPQLAELRISSCCFERVELICLPRLVHLSCQTWMTSKEYPVSFGYVPQLQTLFLSNSGTTHDKIFVFSRFLGNTIINHLDLDFQCERVWDHTCDADEEDEYQEYGELWQQIYQKRNDLLKWDSPKYFKHKNLKMLTISGFQIEGKFLRYIRRVMEAAVNLHLISLHDSAYFLRCQFRPSTKYPTTDGERDLLKKQISEWRSSPVEIDI >Et_6A_047454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6005329:6011643:-1 gene:Et_6A_047454 transcript:Et_6A_047454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARENQQQQGISSAAAAAKERSMRKGKESGMEKQKEKGAEERMEKGTEKGKYNGREGRKEQKGRGEAKKRDAVGAGRHFFKVFFPEQSGERLKIPQPFWKYLKEEPTRPISLKGPSGNTWQAMLTSGEEGLGFTQGWKEFVGDHSLQQGHFLVFTYDGHSEFSVVVFCKSGVEDESALDAQPSKERVVKAEEEKGAQDTDVAGASEREASALPSVEDNGKTRKRVRQGMVNSSVPKRQCVQKKPENRRPEAFIDTSKAGSTVPDSNKEYCIVVLLTSFGLFKLRHFTDLSCMLDEYSSFNKSGTRLKDVPRVGKAVSKKSRQPVVISQRRRITEEEKGHALKRAKEFKSKNPFTLQVMMESYVYVGFFMNIPCEFAREWLPRTCKKMTLWDPQGKPWEVQYVYYSERSVAAFSGGWGKFAVGNNLEKFDVCVFELLKENNIKVHIYRVVPDVTPLLRNRSMFMIAKADASKRLVASDNLTACAAIVSSKEQLLEQPDHQQQAPPPAPDHPAVVCAQEAPNALLHVAGDDHVVVTEPRLLRHHWSSLVDQRAQRTQRRHARELLIEILPQHVHLVLLLAAVVTAGGGHYCGEPWRRGAAETELMREW >Et_1A_008582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:909766:912104:1 gene:Et_1A_008582 transcript:Et_1A_008582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IEVDHFMEAQYSHTSSMNQLHMGRVPTCRSSAVTLVPALSEGTFPTFPVLRPSYVERELPDSSSTSFGASLAALQSTSTITSNITSSDLHIYNEWQSPCRKLSSGSYATEQFDPDTRLPSTYPAFKGNSSSLRMVLPKLPERINWNQEPLQGEIDCPTSVYFFNQQNVNPVGKQIEDSITMDPNGHLAERQEFFPSEGSMQFLESAGSVLKAVDARSLTPENYSYCQVQGSVPPFNSDEVSHDNLTSNTTPTKLRMRWTPELHEQFVEAVNMLGGSEKATPKAIQKVMKVKGLTIYHVKSHLQKYRAVQHRPESSDAGTPAKRGSLSDEVPFEQSKGLKNVEGLRTQIGLQKQLYEQLEIQRKLQLQVEEHSKYLEMIIVKQSESLKKLGALPGSQDRPHSASDNNKACEERTQCTDSAEARK >Et_8B_060309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9056058:9057265:-1 gene:Et_8B_060309 transcript:Et_8B_060309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSGERLDCSGLAVEVGGERVAEREVEAGGAMRRRGRRRVARGGLARAAVHRARWRRSAGWVRAVRRGGEGAAASRVAVIAGGVRGLGCQGRRRRRKPLSPTSLEGRIQERRPARRWAAARRCGEAALAPPEVSRAGGREDEEEGEEAGGVPTAGRTRGGRGRGRALRHRAYGRDFLGFLNLRNMSHRRRCTSRAASPPLENEDILGEILLRLPPQPSSLPRASLVCKRWRHLLSDPWFLRRFRAHHREPPLLGFFELHFGLSSERPKDRIDVALTSLLDPPDRIPPQRFSLERAAAAAGRDAVLLGCRHGRVLLVNTPYAGSFGVFVCDPVTGGHIRLTAPPEFTSSFVNGAVLCMPPTPTGDTCTAPAIEAPSR >Et_8B_058895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10543610:10544658:1 gene:Et_8B_058895 transcript:Et_8B_058895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLEDCEGLTNHHMRYVCRLCLLNFLSMKDTNIDKMPSPLGKLVHLQMLNTSGTYHTGLPGTVTNLEKLERIEFRQKIEWYHMWHCVVDVAFLNKDNVQAARELGELQQLQGIGYCTFNSRFKMTFSKSLPSGLDLSHIVQFHIMRPGLVDDQLFDVLCKLPNLKRI >Et_7A_050411.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:13656964:13657512:1 gene:Et_7A_050411 transcript:Et_7A_050411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRSRCPSSSAARRCQPTTACSRTRRSPSATASCAGSTTAAASSCATWTRAAAASTSGTRSCRVRRRRHRMRAQLPAQLGHRRRRRPRGAVRQRRPALLLRPQPHQDGLPQQPAPVRGGHVDAGPDDDDDQGEEDGVGEGEHARLRGALGAPGVPGAPAHALRVPRRQRGRPGRGLLHGP >Et_3A_025318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28968147:28971568:1 gene:Et_3A_025318 transcript:Et_3A_025318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PHGKRIAAVESVQRHAPFRAAGALPIAGLRIERNARHGDRARITRITPRSTAHPAISRAMAASSLLRSLTRRGCAGSGAFSHYQHHLSSPFSTSSAAAAAAAAVGARDEAGKGFPGLGPTAKGEKARVVVLGTGWAGSRLMKDLDTTGYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPLARIQPAMSKSPGSHFLLARCTGVDPDAHTIDCETVTDGQKDALEPWKFKVAYDKLVLACGAEALTFGIRGVAEHAIFLREVHHAQEIRRRLLLNLMLSEVPGISEDEKRKLLHCVVVGGGPTGVEFSGELSDFIMRDVKQRYAHVKDYIHVTLIEANEILSSFDVRLRQYATNQLVKSGVRLVRGLVKDVQPNKLILDNGEVVPYGLLVWSTGVGASSFVKSLPFPKSPDGRIGVDEWLRVPSVRDVYAIGDCSGFLESTGKDVLPALAQVAERQGKYLARQLNSFMKAGGGHANSEATVDVGPPFVYKHLGSMATVGRHKALVDLRQSKESKGISIAGFVSFIIWRSAYLTRVVSWRNRFYVAINWLTTLLFGRDISRI >Et_3B_027995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30488031:30488642:-1 gene:Et_3B_027995 transcript:Et_3B_027995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWKSRSYLSGGQSSTTNNDDDGQQYCESSGGKKRPSSRLKKSSKQTDGDATGDDYPNYTAPSKKTSNDYGGGGAYNGSVVGAPYYGGYGNSSSYNGSGNNYSPYNGGGGGEGGYASYNLPASYLAPQDGRRSPMIIQTKEVNVYGALPGYDNDSYNDQRRRGGGGGGGFFGPALHAVGHFVDRKFGLHDRD >Et_1B_010017.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:18607063:18607317:-1 gene:Et_1B_010017 transcript:Et_1B_010017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQQRAARACVGSCDPAVSRQLGHPARAVHPGDGPRGGPPRAGAAERRGVPGAPGQRDAQHHPLARGPRARAASARQVVVVVR >Et_3B_031472.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:3172724:3173686:1 gene:Et_3B_031472 transcript:Et_3B_031472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRGIGSFCHGDASTSTLQRHQFHGKVDLVGSSGAADAASSSFLTVPPSVVGSSCVAESDAMSGVAGGACGPSPPDQPAVTLEQMILQLDLEEEAARKAKRRAAMAEEEDGEWCPPRRMSCVDGDHVVRSARNALSQYPRFSLDGRDAMYRASFSGGGCCYYDGMVGGLGATAVSRVNNGVHRRPTACCAAAGTGCGAGYEMDLERTLRMPATVAGESVVWCKPGVVAKLMGLDAVPVPVRGGLRRRKASGASCVAGVRKQRVRRTGQEELAFQKERLFMALHGYDVVGAGACHAGARRSDAGGIGRHGDGWQFGLRR >Et_6A_047265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3042071:3045257:-1 gene:Et_6A_047265 transcript:Et_6A_047265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANAIDSDCDFTWVDWPTRMTIAKGIARGLACLHDDMSIIHGNLTSRNVLLDEQCNPKITDFGLFRLMTSAAKFNMLATAGKLEYNAPELSKVEEANAKTDMYSLGVIILELLTGKSAENRTDGIDLPQWVVSMVMEERISQVFHLELIRLSIVKEELTDTIMLAVQCVDPAPSVRPEAREVLRQLEQISQSEEGHVPLPPARGDDSPLAFTADPVLGETSVIMGKSKYGTVYKVTLEDGSLVAVKMLRQKITKGDEMFEAEAAVLGKIRHPNLLARRAYNLELKHDGEKVLTFDYMPLGNISAFLHGSSYVNWETRMTIAKGTACGLAYLHDDLSIIHFNLTARNVLLDEQCNPKIADFGLSRLMTDAANCSVLSAACFLRYRAPELSKVERGNMKTDVYSLGVIILELLTGKSAAYRREGMDLREWVAYLVKEGRTIECVDSSPSVRPEAREVLGQLEQISPVSDGGFRKSEEGHVPLPAEGDDHSVRDFSDSFSFVEVTPPPIKYIYDRLALYLTAKQAESGGDVGGKLVHLDDPSAFTADAMLGATPGDPGQEHIRDGVQGDAERRQPGGGEGAEAEDHQRR >Et_4A_031785.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:14547167:14547421:1 gene:Et_4A_031785 transcript:Et_4A_031785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKILQWHAVASWTWDAQDETCGICRMAFDGCCTDCKFPGDDCPLMWGACNHAYHLHCILKWVNSQTSTPLCPMCRREWQFKG >Et_1A_004722.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:33912410:33913090:-1 gene:Et_1A_004722 transcript:Et_1A_004722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAPGCAYPYSCAARRRSAAKSGSPSSSARTAKRSTGPPSSPTHTGTCPAGTPSPPPPPPPPAAAAAFFFGRSCLHAAAAVDEQEEESSAAATLRDSLLSSCFMVIRRERWRSSGEEDEKTERREERGARGSRRPVAVVLASEWDWSKALRCAALYRKAGREGRAAEREEDVRGGGTGGYRVGGQKAGGPGPTCRSDPTHPALLTRHPPRAVAICTQVWSRHAAA >Et_4B_038225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27340599:27342270:1 gene:Et_4B_038225 transcript:Et_4B_038225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFARAKAVRLKSHHDKFLYADEDEVHVTQDRNGSSPNARWTVEPVPNSSPPALRLRSRYGRYLTASNEPFLLGMTGRKVVQTAPARLDSSVEWEPVRDGFQARLKTRYGNFLRANGGLPPWRNTVTHDDTPRINTQHVLWDVEIVQVLTPVGPERAESAPADLPPTPPPPEISRPPPPPHAAHHRPSKSYAATPPEYEAPPPPAPALSKLESSNSFSAPLHKVEGRAIHYHIADDLGNVEDAKGGHSFTFNGSNLEELTHKLQELTGLDDIIICTRSPINKKLIPLRLQLPPNNAAMHIVLVRESSK >Et_8B_059861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3477094:3478365:1 gene:Et_8B_059861 transcript:Et_8B_059861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDRATTAAAAGGSSSSGAMAVDQAAGGVEKPRFDALMPSEMSGGRPQFRKVVVPQHRFAPLKRCWLEIYTPVYEHMKVDIRMNLKARRVELKTRQDTPDVSNLQKCADFVHAFMLGFDIADAVALLRLDDLYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKFAIENSTRTRIVIADTKIHILGSFVNIKVARDSLCSLILGSPAGKVYSKLRAVSARLAERY >Et_7B_054847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4944873:4960424:1 gene:Et_7B_054847 transcript:Et_7B_054847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALLYVSLFTVVSMAYSDADYSDNESVNGNEDSDYEGEPAHVNGAANVVGNSEDEVDDATLFESLKILRRITKQIGSGIGRQGVTTCSSVHVPNAECGPSKPTTVKANNRCNHTYFKDVIVALNDHQKYVVSEKGFADHVDVKCQDIHVNREVILLTALAVHNVLGTPLGGNDISETGDSGKIEFLEFFETCYANVPVTGDQYHVLKNALGERFAYLPENVRDGICGLYEDYSPDQLVLAIFQYLQESMDGSGNAENDKAHDEVNEDSENGNYAENENQEDPHHSMNIDEGKEDSVTEKVESDGGQEQSGGDNAAQVNSSGNRKRKNNSSSPDSTNSVASRTRRRLALNLAKSPLSQPSKASSNVNSSSEGSKENPFVVDEGVSSANVSILEKSIKEACDEVAKKHEEYDRAKMLQSASGNVVSSKVPVEDVNEITKTEFNKTHVNDKISSKAHGVWFASKPPVGSFFKSSDVGKAEASCPEPIVDCHAKSIAADVQEKDKLTKKAPYFNSPSVPPFRFFDDEDDLGSYVEDERLRRPAGTSSQHSNGGLPIGCRLHERVLSAYNFPMKIQQNINPEASQSTMSNNNSHDKSASESQGGEKYNDVIIVGERTANERLSEMSQDSDQFYNNSFGSNDHVAGSGSSCKLANHRARRVRVRSKFQVSPYFDEYPKIYLTNREKEVYEVVMQLSNSEHGRNNGGIFVLKFLEVFEPCVNLYQKFSDFDVPNIRKKVVNDMLFAVKNEQVDAIELVKTYNPEDATAAAQEHDVMEPNYGGFLLMLLLLPWISSEKHGCELAWMSTSNNNHEVLVIFPSLSQSQDSKLDLLEILEQNYQPSDDIPQNLVHNMLYLNWLLVPTSISGFSSLSPVGDEEQERMTCKASPAVAPSVAYKRLLVSQPPAGQPSATSNAGGKGDASGSGSKKGKGKQIKAEPSTRRSPALPLCDPYVLDSADPIRDYFGLDIEEVSERLLFVTVEKIKKELAANKMYVVTSVTQLLLNKNDFKVSCTKCGNIYVLTATEHDATKLDGQVFSVLGGFVRFEKSDIVNAEIVDVMTPEWFAAKTEANVVSEGELSVRASGRG >Et_7A_050470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17517990:17521448:-1 gene:Et_7A_050470 transcript:Et_7A_050470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVVGGFLSAVVDGTAAKAFACLESNYDMPERARELLRELETRLTVVKAISEAADNRLITSNVNLVQWLKRLHKAAQEAEDALDEFEVDEASITGKRKVSEFIVSSLRSLKSLVIPDKSMEKLEHVVKTLTHLCASSATFVELLKMEDSKANNQQAGVVAESTSLLPLDVHVFGRDEVKEYILKVIIGLSSHDHAGSSSGTVKVRGARHNILVFSIVGMSGVGKTTLAQVIYNHAMVKEQFKHRAWVYVSEHFGVKRTLQQILRSFNGYENKGLDNGDSMEATITKLRSKLRGRFLLVLDNIWTEMCQEWSTLLTALSDEARQYGSVILITTQSQRFAQIISTIYPINLKSLPWESFWPLFQYHAFGGVEVAQEEDNENMRLIGEAIARKLDGLPLAAKVIGNLLRYRFSRDSWIRVAESDWWNMGEALLGVLPYLRISYQHLTPKQRQCFAFCSIFPRNYLFDKDRIVQMWIAHDFIQRNDVKDGMSVSLHQCFLHCERSGEASSIAPENVRHLALQTGSLEQCQELDKYRNLRTLLLFGRFESGAVFTILDGMLRNSPSLRVLDLSFMEAPVKGWPNDSKGLRKLRFLDLSFTRIGRLKDFPSNLQVLHLRGYDADCFPQSIVKLANLRHLVVDDSALSKIQGIGRLTELQELDSFIARKGQGFMIRELKNMRTLTGQLCIRGIENVGSKEEAMEAGLMDKKHLNALVIEGRKVPKFALEGLQPHPYVKELTIKFYQDQAFPDWMMQPDNLANLLHVNLESCRFLSTLPPLGYLPLLKHLCLRNLPSVKHVSGTSFGFFPHLEELEFHWMEQWEEWTEPDTATAESYAPGSSLFLRHLKKLHLESCLSLRQLPRLQFLSALRELKISKPGNYILALPTCFQVLAYLTTLKIEYCHQRVALSAHQFKSLENLELIKSEGIRLADGFRCFRNLRSARVEGCPQLLSATASSISAGCGKESNENQQQSANQLTNLRTDDSLMTGDYFRAMGNLSSLRNLFIINVPNVTHFSEEQEIWFQQLTSLESLCIVSFYALRRLPSSLAARPSIKKLELCSLHNLHSLSDVTLPCRISATVPYCYLGSLKMGLIGQRLSMFRISMLKVQLSRTYRILVL >Et_3A_025801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33005457:33009228:1 gene:Et_3A_025801 transcript:Et_3A_025801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRKGTATPLGSVFSPEETQRAVLRVAEAISDRQAELGRLQGFVADNAALVSLVKKLPDEVSHEIMTTEILHRRGLELEAQVEAMKATVSDLEAEAKFFRSTATEASVSIPLLSILYTWEVIICISLGKGIHNINFFEALEGLVEIMEEYDEDIENNRLQFLMGACQIKIGNILESWLVLLKNVLEQGDGLSDNNVQLSPGFGASFSGSDGNDKSRGNIQLKSALKKTGREEILKSVSHTSTSHTSHPVFPGQTSLINSETRVLKKAVSFQGDKDMESSDLAPPRDRKIISSGQKAFTGSIVEHDDNLLAIQPPAGNSSQKKFIMNRSHTIFLNVSQPGTSSRPTSRFKMQKGER >Et_2B_021195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27303003:27304000:-1 gene:Et_2B_021195 transcript:Et_2B_021195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQALLSGRQLLGRPVQSAVSRSSSSSRKAPFIVRASSSPPAKQSDRQLWFASKQSLSYLDGTLPGDFGFDPLGLSDPEGTGGFIEPKWLAYGEVINGRFAMLGAAGAIAPEVFGKLGLIPAETALPWFKTGVIPPAGTYNYWADPYTLFVFEMALMGFAEHRRLQDWYNPGSMGKQYFLGLEKFLGGSGDPAYPGGPIFNPLGFGKDEKSLKELKLKEIKNGRLAMLAILGYFIQGLVTGEGPYQNLLDHLADPVNNNVLTSLKFH >Et_7B_055564.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:14508367:14509362:-1 gene:Et_7B_055564 transcript:Et_7B_055564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TEQPSSSPTFAQALSSRTIPLVILSFLVAVLYLVAFPVNLKLQEVSFASSSCSNDDATAASSRQLAKQEPVDVRVFLGVITRPDFYERRAHLRLAYSLQPRPVRAVVDVRFVFCNLDREEDRVLVAMEIVAHGDVVVLSNCTENMNDGKTYEYFHTIPRMFADEPYDYVGKTDDDAYYRLAALADTLRDKPRDSVYHGMLIPCPGSLEWQYMAGFGYIVSWDIAEWISAHEELRNDRGHEDMVFGQWVRKAGKFKNVYGQEPRMYDYWDRESTGEDVTCFRHELIADTVGVHKVKTRLKWARMLNFFNATQGLKPSKMYDVDRLKSNLYHV >Et_7A_051170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15068353:15071209:1 gene:Et_7A_051170 transcript:Et_7A_051170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGGEGGQPGTLAKVSLSSISAAAAETATFPIDALKTRLQLHRSPGVGVIRVAGELVTGGGVYRGLSPAVLRHLMYTPYRIVGYEYIRSSLARGGREVGLLEKALAGGLSGVAAQVVASPADLIKVRMQADSRLLSQGIPPRYTGIVDAFTKIIQAEGFLGLWKGVVPNAQRAFLVNMGELTCYDQAKHFIIGKKICDDNIYAHTLSSMTSGLCATTLSCPADVIKTRMMGEGAGAVYRNSFDCLVKTIRHEGVTALWKGFLPTWARLGPWQFVFWVSYERLRHASGISSF >Et_3B_029453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25129814:25132910:1 gene:Et_3B_029453 transcript:Et_3B_029453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNANAVAEFSKIATSFLPFGSGKEEDVEGEGEAVGVTDEVAVFVRNISKHPETWLDFPLFANDRLADDFELSDSQYRHALSIERLVPSLSYLRTELCSTDMSEACFWKIYFVLLHSVLTKQDAELLSTPQILEAREELLQKSPTKNKISPKATEGNKEPSHSSIENKNEISETPSKTSNPIAEVEADKHPISTTEAEIIDKPVIQEELVVENETKGIEAVKPNLYTSEDDDKEVDDWLQDMASVPSKTGNTTAAGEDEDVSFSDLEDD >Et_3B_031085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1497982:1498790:1 gene:Et_3B_031085 transcript:Et_3B_031085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFQDPLNRSLLQVVAAEGAEREPKRGGRKELGALPIRADCLKREAGSFVEIRTRSCWKDVQIMGDRVASMPRGWRTVKALGILVPEV >Et_2A_017483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34821244:34826141:-1 gene:Et_2A_017483 transcript:Et_2A_017483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWALSSALLLLFLLTTLPDPGIDANSDFNLCTVLGIPKNYVQFKSFILSEPSYTAAKKLQVNAKESSDDLANPPKVEEKLGAVPHGLSTDSEVAQREAESISRKTLRSSAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEVLGEGDTAKLEIQIKLDKEKKILAIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTGGDLSLIGQFGVGFYSVYLVADYVEVISKHNDDKQYVWESKADGSFAISEDTWNEPLGRGTEIRLHLRDEAKEYLEEDKLKDLVKRYSEFINFPIYLWATKEVDVEVPADEEESSEEEESTPETTEDEETEDSEEKKPKTKTIKETTSEWELLNDVKAVWLRSPKEVTEEEYSKFYHSLAKDFGDDKPMAWSHFNAEGDVEFKALLFVPPKAPHDLYESYYNTNKSNLKLYVRRVFISDEFDELLPKYLSFLKGIVDSDSLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDEYSNKDKTDEEKSAMEEKKGQYAKFWNEFGKSIKLGIIEDATNRNRLAKLLRFESTKSDGKLISLDEYISRMKSGQKDIFYITGSSKEQLEKSPFLERLTKKNYEVIYFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKLKDLKESFKELTDWWKKALDNENVDSVKISNRLHDTPCVVVTSKYGWSANMEKIMQAQTLSDSNKQAYMRGKRVLEINPRHPIIKELRDKVAQDSESEGLKQTARLVYQTALMESGFNLPDPKDFASSIYKSVQKSLDLSPDATVEEEDEGEEQPEVEEKESTKEESEPSQYDKDEL >Et_2B_020050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16431128:16432835:1 gene:Et_2B_020050 transcript:Et_2B_020050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSDLSPSAPAYVPQASMLRPPAAPMLPCYVPPAPVEQLPPLGLLPAGPFPGPPSPPPLFAGRFHPPPPLPVLGMAPPPMSIPRGPMALPGPEPPLLRLAETPKDGGDKAPSASARTWRLASGRRRPKPLQPPRQRAPACGETAAANGGVKEEPANEPSPRSVLAVAGASPPDSPPVVLPPVFPYQVPTPPPPPPAAVTRRSGAKEHRYRRVPPTGSRPVKPRSPQQHAPPGHGARGRDRMPRRLFNLACSLTTLMIRNIPNSFSRKKLMNILDQHCAVENEKIASAAVGGGGISSEYDFLYVPIDFRTLANKGYAFVNVTSPEAARRLWEHLHGYKWKTRDSHKTCEVDYATIQGRKALVDHFSGSYFECGTAEFLPVWFAPPRDGARPPIGQQHEHVVGRLGRRSS >Et_5A_041565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24485306:24490958:1 gene:Et_5A_041565 transcript:Et_5A_041565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTDVQQSVRSLDPRGGMPNLFHALGAALLISIGYIDLGKWVAAVEAGSRFGFDLVLLALLFNFTAIVFQYLAACIGTVTGKNLAEICHQEYSKPTCIFLGVQAGLSLLTSELTMIFGIALGFNLLFEYDDLITGICFATIVPNLLPYAISQLGKKMAGTVNACIAGFALLCYVLGLLVSQPQIPLTTNIIFPKLSGESAYSLMALLGANIMAHNFYIHSSVVQDQKKSSAVGLGTLFHDHLFSILFIFTGIFLVNYVLVNSAAAESTNTLLLSFQDVVELMNQIFANPMAPTIFLVVLVFSSHIISLTSAIGSQVISEHLFGIKLPILGHHILLKVFAIVPALYWAKVAGAEGIYQLLIICQIVQATLLPSSVIPLFRIASSRSIMGAHRVSLHLEILSFLAFLLMLFSNIIFVAEMLFGDSGWINNLKGNTGSPIVLPYNVLLLVACGSVAFSLYIAVTPLKSGSHEAESQEWSAPSQRELMNTPPGREEAKLDNTTYEEDQRSDVEPSPRALSDSNSKSAMEEYVDTSDTAVESDHESLQSNTYVSTVPETCPSPSFTPEESKSVVAVNWPEPLEVSTSTVIEESKGESVDSSSTTERDVLVETDVMAEKDKEDPHVLESEKLIVGSNPPCASDDGPPSLTFSRGKGSDAGNGNGSLSRLSGLGRAARRQLAAILDEFWGHLFDYHGKLTQEARTKKFDILLGLDLRAPSSAARTDKQPTEVPKSPLLRDTMRGSAFMSSSRDLMSPKNDMSNLDMAYGLQRGTSMGSSNWSQSLQLPNTQVQGSSNSLLEQSARLTSNFGAASYSDNNQFYQPATIHGYQLASYLKQMNASRNPYSSMALDPQRLPKSSAPAAPTYADSMMHARNQNLLASLGATPQMAATSRIGSMMPEGPYYDPSALDGSESAGSSAYSKKYHSSPDISALIAASRTALLNEAKLGGATGPQSYLSRLASDRSQYGNSIARPAAPLAFDELSPPKLQSDIFLAQSSMNPTTRSLWAKQPFEQLFGVSSAELSKGDFNISGRSVGMKNDDFSYKESETKLLQSLRFCILKLLKLEGSGWLFKQNGGCDEDLIDRQPSSDQADIQYMRTLPNCGEDCIWRASLVVSFGVWCIRRVLDMSLVESRPELWGKYTYVLNRLQGILDPAFSKPRNALTICSFTTAAVVLEMIKDVETAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLASKGQ >Et_10B_004128.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:14636572:14637339:1 gene:Et_10B_004128 transcript:Et_10B_004128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRHCLLPAPATYVRDHSLVLLIGDNASASSPFHLLAVNLSFHAPNVIVQLFSSPEPFPAIMPGGAWGPVCRVAVQRLDLVVNPTQTPVVINRVAHWLRQPLSASSCAVLALHIDAERTALIEGPWPRSQSKPKSVMLASTAHRRLSLVAVEELVISMWVLSQDNNPGGWEQNTVVVREGIIAPPNWIRLHWFGERSGAVLMSMPSSPGLLVLNIETKEVAHMPAESGPGRPAYLYEMDLPSLVTALQIRFNSA >Et_3B_029682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27094095:27094953:1 gene:Et_3B_029682 transcript:Et_3B_029682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRTLPLSPAQSSSFGAHQSIHSFKTSSGGARSVKTYAKADGEKENKQSLFGSITEALDFSQVRSEKDAELLYEARESTKGGGRMTREQYGALRRKIGGTYQDFFKSYVEVEGDYVEEGWVDKTCKVCKKDTRGEPRQKDKFGRYAHVACLENPKPTNFFAKLFAR >Et_1B_013256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6873686:6876336:1 gene:Et_1B_013256 transcript:Et_1B_013256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGALISVYPEELTFLFELDKPCYCNLKVVNNSEHHVAFKVKTTSPRKYFVRPNASIVQPWDSCTITITLQAQKEYPPDMQCKDKFLIQSTKVAASTDMDEIPPDTFNKEIDKVIEEMKLKVVYTIPSVGSDDSSATSLGSRSFKAASDDLTMLKNASIEEIQNIQRLKEERDNMLQQNQQMQRELDVLRRRRSRKGDAGFSLTFAAFAGLIGVLVGLMMSLIFSSQPATA >Et_4B_040018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7884194:7885589:-1 gene:Et_4B_040018 transcript:Et_4B_040018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSVPAYVDPPSWNNQQGQQSRASGGDDAPPLPVGPTAAAAAAEAATGLPTSSSPAASSAAAVAAARPNSMAERARLMRMPQPEPAIKCPRCDSTNTKFCYYNNYSLSQPRHFCKTCRRYWTQGGSLRNVPVGGGCRRNKRSSKSSSSSSSGAGAGASSSSATRQLPSASTTAGGGAIAGGIISHSGLGSLSQHHHYLPFLGSMHHQPGGNNLGLAFPAGLPPLGVQHVDAVDQFPVASGGASLDQWRVHQQQPAQQQFPFLAAGGMLDLHQPRQMYQQLGLEAANRGSSGAAAFTLGQASGAGATARQEGTTMKLDDSKGQEMSLQRQYMAALRQGEGIWGGNAGGGAGDGGGNGGVNWPMNIPGFRSSSTGDDGTGLL >Et_7A_052930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2727870:2731986:-1 gene:Et_7A_052930 transcript:Et_7A_052930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWHAWLSSARLDPALVYEYALVFARNELEADDVAYLDHELLHSMGVSVAKHRLEILKLAWRDRDRGARRPSSRLLGRVARYVRALVRREEEDSTTALVLVPSHQQQHQPDDGRKRRGKALRRAKSEPKGSTTPRAAALAIGGRGAAAVHAMDASVEGEETAQVCSKNIPDSPPFLVVLPLKVLKHISIGIPLDRLRHRLAAFDEKVVEQNTSNHHIGECLLALSLPAFVQKLPACAHMAKGILHHLHPVRVHMVKDAITSGGATVLVRCHQPGEQRAASRPQEVARHGAANELALGSIGEHDGLGGVELRPREDLGRGHGRVPSYGDVEEAAVGVHQRLRDGGGVPAEARVAERAAAVGQGERAEARDRAGDAVGRGEVEQRGEAVGDRAADLVEGAGRDGGRREAEAARDGAGGSGVGEPEQGGAELVVGRQREGGGGGGERECVEEKAGLDMRKASRQAESGSEGEERRRRNQEEGSGACDGVGGESEREVSVSVCRWSGSSARRRDTARAAARRSGSSASACDGSSRRSRSDANRSARVTDEASWASSDVSCGGGAGGGGDAGAGMGAAPARRERLRPEATILWSRVESRAARNGKVVVVFSFSFSPLRVSGSRAGRR >Et_8B_058854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1049073:1053667:1 gene:Et_8B_058854 transcript:Et_8B_058854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRAAPPLRCATVLLLAAVIGVLASTARADLVISKAERRVDLTSHIVRVLASLRVENVGPDPASQVLLAFPNIQAKNLAAIRAFGSAGKTKGQSIVLPIEVVQPSGAPPELTFFSALLPKPLEKGKTLHLDVLTVFTHSLQPFPEEITQAEAQLVVYQDSAHYLSPYPVKSQTLTIRLPGGRVESYTRQPNAKLVDSELKYGPFTDLPPFSYTPVIVHFENNNPFAVAKEVVREIEISHWGNVQITEHYNIVHGGARLKGEFSRIDYQSRPYVRGVSSFRHLIASLPPRARSIITEMRLTQLEIEPRFPLFGGWQTTFTVGYGLPLQDFVFTSDGKRFLNITFGSPMEEILIEKLTLKDIQVSAPFLTKQSQEVKYSHLDIVGRPVVVLEKPDVIPEHNLYFQVYYRFNNISLLREPMMLITGFFLLFVACIVQATVQKIQGIFEQYLAVHDKLEASLRDLSRTGDIQTCKAARKAADAQFKELSKELKPLLTSLQSSPQSYQIWPKVDDLIAKEREMQEKLMTRHSTVVDSFEKKLRGQDVENRIAQQQQKFAALRQEIESLIEYSEI >Et_1A_009161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31346549:31349803:-1 gene:Et_1A_009161 transcript:Et_1A_009161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHCSVLLAASLLFASSLWASAAVRSAGTDADHRALMQFQSLITNDPHGALASWGGNASAVTGPCGWHGVTCGARGRRRGRVTALDLHGFGLAGSITPSALAGLTYLRRLNLAENSLTGGVPSRLPLSLKLLNLSYNALGGPVPPALGSLHRLQNLNLDSNDLTGTIPASLGNLTSITALSLSINNLVGPIPDSFGNLQSLTGLYLNFNMLQGSIPTAVFNLSSLQYLILQDNNLNGTLPSDGKLPSLRVLSVDSNHLHGAIPASLCNASKMEVIQMVNNSFSGVIPDCFGALNNLWAVVLDVNQLEANVDADWGFMDSLTNCSSLQFIGLGGNKLRGVLPGSIANLSTTMKSLSMWGNMVSGEIPQEIGNLVNLNTIQMGFNNLTGTIPASLGKLHKLSNLYLNDNRLSGQIPPTIGNLTVLLILALDNNTLTGPIPSSLGSFPLTRLTLDNNRLTGPIPKEVLLISTLVYASFQGNMLTGSLALEVGHMINLQVLDVSKNRLTGEIPISLGDCQVLEYCVLKGNVFQGKIPDSIGQMRGLLGLDLSGNNLSGHIPDYLGTMKGLEELDLSYNSFDGEVPKRGIFLNASAFSVEGNTALCGGIDELKLPPCSNHGSTTDKRSRKVIMTISLATGILSIALLLALFVFYYRRRNQRRKLRKEEHTMPNINDQHVRVSYINLANVTNGFSSENLVGVGSFGSVYKGTMMINDQEVVVAVKVLNLQQRGASQSFIAECETLRCARHRNLVKILTVCSSIESGGLDFKALVFEFLPNGNLDQWLHTWEHGIHMGLDLAQRIDIAIDIASALEYLHNYRPSPIVHCDLKPSNILLDNDTVAHVGDFGLARFVHQDRTSLPDISSSWATRRGTIGYAAPGKRPTDSSFVDDLSLHSYVQVALQDQQVASVVDQRLLPVQDQESEGRTSSSSSTTETMLSCVTSVLQIGILCSKEAPTDRLLIGDALRELQGVKDKYSRTHN >Et_5B_044870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6772435:6775106:1 gene:Et_5B_044870 transcript:Et_5B_044870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPPISGRQALSALTDELLEEIFLRLSTPTDLVRASIACASFRRIITDRSFLRRFRAIHPPPLLGFIRVDGFHGAQPPHPSAPLVRALSHADDFFSFIPARKWSTPWCTLDVRQGRVLLECSPEQVTSEYYDITDLGDFELVVCDPLFRRYVLLPPVPDELTDGHGRLVDFGAFLAPTGNDDEETSFRVICTAWNETRLFAIVFHSVTGQWSLAVSPSWDSLGTVRPSSHHYSTCFDYAQGCFYLTALWRDKMLMLESVRMDFSIINNVWSSYRVSGHGQPCISVSAEGIPLMFLFGDLNENGSIDIPRLHVTKLNDTRDQWELEKTIPLPKDYEFFTLGAAEGFLFLRGIPEEDHSTRHYFALEINTSELKTINP >Et_2B_021831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5932294:5943291:-1 gene:Et_2B_021831 transcript:Et_2B_021831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIISTAAAGLWLRPGRLGELLAVAVLLASAFMANADASSSPSPAASASGGGARRSHDYESALRKSLLYFEAQRSGRLPHGQRVSWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLAWSLIEYGADVAAVDGGAELAHALESVKWGTDYFIKAHTRPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRDRPGSDVAGETAAAMAAASMVFREHNPHYASLLLHHAIQLFEFADKYRGKYDSSIAEVKSYYASVSGYHDELLWAALWLHRATGRAAYLDYVVANADEFGGTGWAITEFSWDVKYAGVQILAARLLLNGERSAHHRATLEQYRAKAEHYVCACLGKNAGADANVERSPGGMLYIRQWNNMQYVTSAAFLLSVYSGYLSGAGAAVSCPAASEAATPADEVFALARAQVDYVLGSNPRGMSYLVGYGAKFPARVHHRAASIVPYKHSKEFIGCAQGFDDWFVRKGANPNVVVGAIVGGPDRRDRFRDHRDNYMQTEACTYNTAPMVGMFAMLNRLARDEAAQAAADRSACTYNTAPMVGMFAMLNRLARDEAAAAADQSVNR >Et_6A_046304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12758917:12761862:1 gene:Et_6A_046304 transcript:Et_6A_046304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAATNLEDVPSLDLMHELLRRMKCSSKPDKRLILVGPPGSGKGTQSPLIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAQKLDEMLENKGTKIDKVLNFAIDDAILEERITGRWIHPSSGRSYHTKFAPPKVPGVDDVTGEPLIQRKDDTAEVLKSRLEAFHRQTEPVVDYYAKKGLVANLHAEKPPKEVTAEVQKVLS >Et_9A_061935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17984242:17985527:-1 gene:Et_9A_061935 transcript:Et_9A_061935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAATADAAPAAVRFGINYGQIANDLPHPTQVSGLLQSLNVNRVKLYDADPAVLTAFAGTGVEFIVGNEDLHNLSDARSARAWVAQHVQPFLPSTRITCVTVGNEVLSGDDASAKQALLPAMQAVRQALVDLGLDLQVNVSTAHSANVLAASYPPSSGAFREELAQYMSPLLNFLAQTGSPFLLNAYPFFAYKASPDTVSLPYVLFEPNPGVVDPGNNLTYDNMLYAQIDAVYAAMAAVGHADIPVRISETGWPSKGDEDKVGATVENAAAYNGNLMKRIAMGQGTPLKPDVPVDVFVFALFNEDMKPGPSSERNYGLFYPNGTPVYSLGFDGASFGRSPASTVSSSSKQPTITFLVAFVALLATFFL >Et_4B_039150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8224597:8230616:-1 gene:Et_4B_039150 transcript:Et_4B_039150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAIAHLKHLDFAVRSSAKAAASAGSRRCNLLSRRSPQPPNHLDEPRLLSGTRDTTSSSSALSSLNCPGPMAEGLAPSDPGPPCAKRPRVAAEQLAPGRSAPGPEADVRALVSMAGGLYPLGRAEALRGLAVVLEKADASGGGTRGLLECCYGCASELMRDDDEDVRLAVVRLVGLCAEKFAARLDVNANGDCGQVDVIFLQLSSMARDMCMKVRIEALGALGKMQRVSESVLLQSLSKKVIKTDILSGSIVKGMKLPPKLKLPCAAGIFAHGIEDEFYQVRTAACKSMGALAKLSTQYAQKALDMLMDMMNDDTEAVRLQTLQALFLMATYRCLNVQEQHMHMFLGLLVDANTAIREAARKILGLVNLPKLQVLRSAVDGLVTSLEKYPEEHDIYGVLFSVGKNHGSFSANIAKHLAKEISMASDGELILDKPRIKALLIVSISAPFSDDKHKKLDIPSVIFSHAISLVGKISCALGEIVNQDLLLSHLCHKGGMPFLENRLVLPESGESEGCSFETMGEDSGHMENTVKATECVDVLVMQSTKFILETVEEAWTTRSCNISETRNIMRTCKEELKILSENSSGSTSAFLTFLCEYIDAIQLIIEIVQLIQLDNSYAFGQTSLDILVEKLDVSLRRMECCYAGLNRELEVHVLEVTFLATLFRISKNGIRSKLVLDKLHWIINRLEGLCADGSCELSDFSREIKKALDADTIGDILICNICTLLELFHVNEATDYRVLKEIRAVLQVCDNENPLLYVCGLPVGVSFNISLWNISNHHRLWLRMTVGDSIQHTFLELSCFGGNEELRTCSMVVPLYSTPMTCSFVLRVCLVMECPYGGISSHKRGHGGPSDCVVQLSNELDVYFVGTGQR >Et_4A_032720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1308787:1311577:1 gene:Et_4A_032720 transcript:Et_4A_032720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRCCRSSEDAVAAAALLLLLVLLHCGVDAATDAERRILLEFKAAVTADPRGALASWTATGDPCYDFAGVTCDPSSRSVSRLRVHGAGLAGALTPSLARLPALESISLFGNRLAGGVPRSFAALSPTLRKLNLSRNALSGEIPPFLGAFPWLRLLDLSYNAFTGEIPAALFDPCLRLRYVSLAHNDLAGPVPPGIANCSRLAGFDFSYNRLSGELPAQVCAPPEMNYISVRSNSLSGDDIATKLASCRSVDLFDVGSNNFTGPAPFALLGLVNITYFNVSSNAFDGQIPSLATCGARFSYLDASGNRLTGPVPETVANCRNLRVLDLGANALAGDIPPVIGTLRSLSVLRLAGNAGISGAIPAELGGIEMLVTLDLAGLALTGEIPRSLTQCQFLLELNLSGNQLQGAIPDTLNNLTYLKMLDLHRNRFDGSIPVTLGQLTNLDLLDLSENRLTGPIPSELGNLSNLTHFNVSFNDLSGMIPSAPVLQQFGESAFIGNPRLCGSPLNYQCGGHRKRLGVPIIIVIVAAALILIGICIVCAMNIKAYTSKSRDVEDSKEEEEVLVSESTPIASPGPNEIIGKLVLFSKSLPSRYEDWQAGTKALVDKDCIVGGGSVGTVYKATFENGLSIAVKKLETLGRLGNQDEFEHEMSQLGNLSHTNLVAFQGYYWSSSMQLLLSEFMTNGSLYDHLHRDRPPFSESSNRGAGGDLFWERRFNIALGAAQALSYLHHDCRPQILHLNIKSCNIMLDAKYEAKLSDYGLGKLLPILGSIELSRIQASIGYIAPELASQDFRYSDKSDVFSFGVVLLEIVTGRKPVESPGVGTGVVLRDYVREVLEDGTASDCFDRSMRGFVDAELVQVLKLGLVCTSNTPSSRPSMAEVVQFLESIRTSS >Et_1A_006864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28397100:28399966:1 gene:Et_1A_006864 transcript:Et_1A_006864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVNGLDGDMLASRLYVGNLDFRISESDVIKMFSPFGKITAEDFLWHKRGPKRGEPRGYAFVQYTTKEEAQLAKEKMNGKLVCGRPMVVHLASEKCSLDSSNAQRALKDKKLSGCSGGKSGQTDRAAKIAAIKNKLKSLEGEGCSKKRPRLKPDDLQCTGEQSRKNLKAQGLYVHGTPLNPPSESEPVSPASIHFSCSMVWLKPGDTTRIAE >Et_1A_007785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38265234:38269181:1 gene:Et_1A_007785 transcript:Et_1A_007785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGSPVAHATPKGPIKSGNSSADHVDKLSFDRAAMSTNIKSLIEDMNSLCASITSLLDLHQHFQVRVWVCVSTSFDVLKLTKEILSCFPAAENEDRNQANNTSNLSLLQESIAKRLKSTRFLIVFDDIWECKNESEWDNLLAPFKQGKTKGNMVLVTTRFPKIANMVKKEATNTINLQGLEPDEFWKFFQYCVFGEVQDEKKKDDLIVIAKAISDKLKCSPLAAKTVGSLLRNNHSPERWDGILNKKEWENLKHSDDDIMPALRISYDYLPFHLKKCFSHCALFPEDHKFRTTELTCFWDAIGIMDTSGQTDKDLVDELVANGFLIKLVEKHKSESYVLHDLLHELSRIVSSQECLNISFPGFIANNIPPSVRHVSVVIQGRSFQNFEAEMIKLKTMIDIANLRTLMIFGRYGIKKINNVLKDTFNEIKNLQSLPLNFSKLFHLRYLQIETPGLDNMKHSSIPLPSAISRFYHLKFLNLENWKGSNDVPEGFNQLVNLHHIFASDQYYFKRQFHSNIPGVGKLECLAELNKFHAKKESVGFDLAELEELTKLRGSLTICGLEKVRTKEEAAEAKLQNKCNLRELRLEYGEPEHTTGHDAIEGLQPHPNLKGLDIRNHPVCVIFVDCINFRLDFGGVTNGSFKHLKKFEISDMPDLVECVRGDNSQLLLSLESIKCDHCPNLKMLPFYDCCCPSTEGTDITWFPKLCELDIQNCPNLPQLPPIPYTSTLEHVEIKQDWSCRLVYLGTSLTVEEYSGPLAFQNLGKVESMMILGKGHVSLTDLQKLNSLRVLDVTGDVFSGELDDNFVLSNVQELSITGFDPSERLFSNIFEKLPALSELEMEEFCEGHLEGVVLQFPASSLLRKIKIPRCDNLVLNSKDGGAFRNLTSLHSLIIENCYMLFDQLYVAEESEIINLIPASVRELSITTIYAQISLVDDVPGHRDMLSMALLSNLTSLTILRLFCCCLTMEGFNPLMVPNLKRLEITNCGSLAAEILSTAAVSAGSWQLEHLEVDRIVAVLVTPVCGRLSAYTLECTFDEIKRFTEEQDRALGLLTNLEELRRQVCSKLQSLPKALHRLGSLKKLDINGCDKIQSLPSSGLPPSLTYLRADRCSRPPCICYHTK >Et_1A_008915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18815188:18819594:-1 gene:Et_1A_008915 transcript:Et_1A_008915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAAPEPRLVRFGILGCATIARKVSRAMLLAPGVAVAAVGSRSEEKARLFAADNGLPGEGLRLHGSYEALLDDPYVDAVYVPLPTSLHVRWATAAAARGKHVLLEKPTALCAADLDAILAACDAAGVQFMDATMWMHHPRTTKMREVVDDKDAIGDIKVINSALSFRANEDFLLNDIRVKPDLDALGALGDIGWYCIRAILWAVDYDLPKTVIALRDPVKNKAGVLLACGATLYWADGKTATFNCSFLTNLTMDITLAGTNGTLHVTDFVIPYQENSAEFSMASKSNFAELSIGWDPLPSKHVVMNDLPQEALMVQEFARLVRNIQDTGSKPEGKWPAITRKTQVVVDAVKASIDKESEPVEVVS >Et_4B_039008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6955087:6960523:-1 gene:Et_4B_039008 transcript:Et_4B_039008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRITRLLNHQHRRALATAAEAATRHAPRGPSAASLAKVFDGMPMFVSAPAQLPFPSCCFNATAASSVKNSRWFMIRSNPSGSLTTRYECRTVSPSLIRPSASYSTQASDKNPKEARKDLSAVEEEPFDAITDKIPEKPVTFAEGASYSLVILAGLGLAAVAGYAVFKELIFEPKEYKIFGKALARIQSDSQVAARIGHPITGYGHETRNRAARQRIPNKVWTDEDGVEHVEVNFLIRGPHGAGKVYSEMFKDNSDRTWKFTYLLVDIVSPHPQRLMLESYVPSYTPA >Et_6A_046380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1483284:1486812:1 gene:Et_6A_046380 transcript:Et_6A_046380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDLISIKISNDMPLFIELHDVKLQLLGDHQRQNAVTASCTALCLRNLGWNISEASIQAGLEETQLPGRSQFLTQDEASVLGLDGSSTVLIDGAHTEASAKALSDVIETVEPEGPLALVVGMANDKEHLVFAEQLLSGPRPDIVLLTKARMGGGTARAKPASSLKELWIAAAQDQGIDYMDIGTVSGMETQKCISNLAVSSSSSGKPMLIGCQDAPFSCDLIKVASQLLEGRGACGAAPGLICLTGSLYLVASVLQQLGKD >Et_4B_037267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17451161:17470062:-1 gene:Et_4B_037267 transcript:Et_4B_037267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCQRNIYRIIQNIIHERSQTTAPDREEDLLGVLLRLQKEGGLQFPLTNEIISTVIFDIFSAGSETSSTTLEWAMAELIRNQRVLHKVQAEVREVFKGQAKLTEDNMIKLSYLHLVIKETLRLHAPTPLLLPRECRETCQVMGYKVPKGTTVFVNVWAMGRDATYWSDPEEFRPERFEDSDLDFKGTDFEYIPFGAGRRICPGMALGLVNMELALASLLYHFDWEVPGGIKSEELDMTEDFGITARLGLAREGQHHVPVSTLLLLLGLLLFILLSFAKTKSKSSSRPQQNLPPGPWQLPVVGSLHHLLLLSRCHGAASLLPHRVLRDLAARHGPLMTLRLGAVPCLVVSSAQAAREVMKSHDAAFASRHQTPTLAVFSRGGRDILFSPYGDLWRRLRRLCVLELFSARRVLSFRRVREEEAAALLRSVVGDVRGAVGGGKPFLRELNRAVKLTAGFNLADLYPSSKLVRFLSPALREAEQCDRTVREIMAEIIREDAVDDGGKEEEDHLLAVLLRLQKDGGAEGPLTTEIITTLIMEIFAAGSETSSTTLEWAMSELMRNPRVMRKAQAEVREAFKGKPKLTEADMEKLIYLPLVIKETMRLHIPVPFLLPRVCGETCRVMGYDIPEGTKVLINAWAIARDDKYWEDPEVFKPERFETTNVDFRGADSEFIPFGAGRRMCPGMALGLVNMELALASLLYHFDWKLPDGNRTEELDMSEMCGITVKRKSKLLLHATIRAPIDFEFILLKKKRKQEISSSSTSALVAICAWLSAWSIWSRHLLVLFTNFDWKIPNGKTEEDFDMTEVCGITIERKSN >Et_6A_047518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6851932:6855904:-1 gene:Et_6A_047518 transcript:Et_6A_047518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDEKKSRLKLTYGRHSLYYLTSMALKCAVELGIPTAIHNLGGVTTLPDLVAALSLPTNKLPFLRRLMRLLVTSGIFASDNTAAVETYCLNPLSWLLVEGVEAEDHTYQKCFVLGTVSRHYVEASLSLAEWFKKDSPAPLPSPFEELHGVPLLDEKTALLDEELDTIVKEGVAAHDNLAMGTIIRECNNLFKGLQSLTDCCGGDGTTARAIMKAYPHIKCTVLDLPQVIETAPADGVVNYVAGDVFKFVPPAQAVLLKLVLHFWNDEDCVKILEQCKKAIPSREKGGKVIIIEIVLSPSMSHIMFEAQLLMDMLMMVNTRGRQRDENDLREIFRKAGFSDYKIVKKIGARSIIEVYP >Et_6A_046307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12787096:12798029:1 gene:Et_6A_046307 transcript:Et_6A_046307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAPREAEKLALHNAGFLAQKRLARGLRLNYTEAVALIAAQILEFVRDGDKTVTDLMDLGKQLLGRRQVLPAVPYLLDTVQVEGTFVDGTKLITVHDPISSNDGNLELALHVPSLERFSGRAIEESPGEIHYSSGCITLNLHRQALTLKVVNKADRPIQIGSHYHFIEANPYLVFDRRRAYGMRLNIPAGTAVRFEPGDAKRVTLVSISGHKVIRGGNCIADGAVDCSQLDDLMQKVSANGFGHEEYPEAREGLIGDGTFDYTLDHEKYSSMYGPTTGDKVRLGDTDLFAEIEKDFAIYGDECLFGGGKVLRDGMGQSTGYPAVSCLDTVITNAVVIDYTGIYKADIGIKDGLIIAIGKAGNPDVMDGVHTNMIVGVNTEVIGAQGMIITAGGIDCHVHFICPQLAEEAIASGITSLVGGGTGPAHGTCATTCTPAPSQMKLMLQSTDQLPLNMGFTGKGNTSKPDGLAEIITAGAMGLKLHEDWGSTPAAIDNCLSVADSFDIQVNIHTDTLNESGCVEHTVAAFKDRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTVDEHLDMLMVCHHLDKNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVITRTWQTANKMKAQRGRLLGSDISDEDKDNDNFRIRRYIAKYTINPAIVNGFSAFIGSVEVGKLADLVMWKPSFFGAKPEMVIKGGVVAWANMGDPNASIPTPEPVMMRPMFGAFGKAGSSNSIAFVSKAAKEAGIAMEYNLEKRVEAVTGVRGLTKLDMKLNDALPNIDVDPETYTVTADGEEAAKAKSFLNRVAYSSPHK >Et_6B_048667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12288620:12293943:1 gene:Et_6B_048667 transcript:Et_6B_048667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRWKPFLAAFDHIDAAIESAAGIIVTREELKRARNWIVEALCSATDDAVAEGICVLLDDVMAESLATLRAAVHADKKNLDLLASGELVAAVGALARGHVSERVPPPQPNKRTSLPAARSLPSSPCRAEEKKKMETTKCKLQERYQEAEDAKRRRTIQVLAAPEMLLRQQGRCRTHSMPFLAVFDQIDDAIESAVGPEAIIPREEFKRAEPDRGNAVRRHRRRRGRADMHPA >Et_10A_001713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7179211:7179881:1 gene:Et_10A_001713 transcript:Et_10A_001713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAASRVVSVIRGFAPHFLRGVPGDTAAWTRPMVPHTAAATWPSCNLCLLRRGLAMILGDHSPATEPCPDACSDPYAPNAPETVFNYGEEDLASEAAMWAMYERWCAFYQVKRDRSDMLRRFGLFKDSARHIHEFNKSGTPFTKGLNVLGDATSEERKGFLRRRKGSNIR >Et_2A_016477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25117717:25120472:1 gene:Et_2A_016477 transcript:Et_2A_016477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAALRLLCSPQFWRMAITWTVSLLYSYLLLLFLRGRTTVPRRRRQTPTAADGRRPVCVVTGATSGLGKAAAAALAREGFHVVLAGRSAQLLSEMSAILSFTDAIIINSVKQTVQEITTQQPDACLEAFQLDLTSYKSIRKFGTSLKQWLQETYSEPSIQLLINNAGILAHSHRVTEDGLDETIQTNYIGPFVLTNILLPLLKNSRTPSRVVNLTSFTHRCVSCIDVSENALRGMKPGQCSTAKSYPFASTYEYTKFCLLLFSYELHRQMHISSAVSVMAADPGVVETKIMRELPPWLSWFAFFVLRILNLLQQPDTGVGAVLDAALAPPEASGKYFFGGKGRTIRSSWLSYDMQLGKKLWAESSALFKELQLKHVILVNSQ >Et_2A_016074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20869606:20874932:1 gene:Et_2A_016074 transcript:Et_2A_016074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRPRLRHFSTCRRSSGLPSQPHVPDPNAPPPPPVARKVPFTVSAHGRSWSDPYRWMRDTSNPELAALLAAENAYADAFVGSAGGGGLRARLAAEMRARLPLFAATPPQPWGPWLYYQYVPEGMEYPVLSRKLRPSGGLAGALLDYLSGSEKEQVLLDWNEVAEKNGYVHIGSCRISPDHRLLAYTVDASGGELFSLEVKDLRSNHVIFSSSDKGIVSLAWAYNSEDLFYTVSDETLRPNRVFCKNLQSDEAEFLVFTEKDVNCCVDITSTKDFKYITVYVMESDNLRKGLWPLRKRADKVQYFLEHHNGFFYILTNAPLKDTETPTEGYYLARSRAEKSLVDNWQVVALPGSDCAIQDMDIFHDNLVLFLRRNGINGFCSIDMPIDGDFQEPKELGDLDPWYFPIPSNSCSIVPGSNNDFMSSTYRLVVSSPVIPDLTVDYDMKKKTFTILDQEEVTNISASLETPGLQSNISSIQQNLHLVENLQSWSDLSNLFACERLEVISHDGVSVPLLILYSREARRHGESPGILYGYGSYGEDLDKSWCSERLSLLARGWVLAFADVRGGGDVSWHLAGTKASKINSIQDFTACGMHLIKEGFVHKSRLCAIGCSAGGLLVGAVINMVPDLFSAAVLKVPFLDICNTMLDPTLPLTVLDYEEFGDPNIPAEFEAIYSYSPYDNLSPGVCYPPVLVTASFNDTRVGVWEAAKWVAKVRDVTCRSCSRSAILKTNMQSGHFGEGGRFMHCDETAFEYAFLMKALGMDDTAMT >Et_1B_013297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7128104:7133505:1 gene:Et_1B_013297 transcript:Et_1B_013297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FLGQWSKMDGSSHVPPGFRFHPTDEELVDYYLRKKVASNKIDLDVIKDVDLYKIEPWDLQEKCKIGMEEQNDWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYTKNCLVGMRKTLVFYRGRAPNGQKSDWIMHEYRLETTENGTAPEEGWVVCRVFKKRVAMVQRMADRSPCWFDDHHVAGFMPALAHHPNAAYGGQQFYHCKPELEYHHLLPSQETFLPQLPQLESPKPPAAYIGHGSGSLIQSSEEPSKCTAQQPTMDMAYMAAIDDSATDWRALDKFVASQLFSHGDGTPKEPSYSNPVPAFHLTENNKREEALDYASTSASGGAEADIITTLPKAEETNDFSALSLDPISNLYTASSGPTPAVCWINPHFASHIPVAESQKPSRSRSFCGGALNRGEEGLPYAFRRLLPHPRLFRVDNSITEVGTFNDCSTKVTTCEYRPHKNSICKESSEFQFKRELKNTGATNP >Et_9B_063873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18830807:18831550:-1 gene:Et_9B_063873 transcript:Et_9B_063873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKPKNPKGSTQFAFDVAKARAKSHVATPPPPAEHGHRSSSHLLRRACCALAVVGGLAALVMLVLSLTVLKVRDPTLSMESVAVKWFNVHFDARSPRPLRINVTLAGTIVIGNPNYESMRFGASATEIFVDGVAGSVGVGRAPPGEVPARGATRVDADLDVFVDRVGPAVVGEVLFGSGEVRLASRTAVDGRISVLGGLYGRRTVRVAMQCRVALRVSAAVVVAGNPSCVADFTR >Et_6B_049264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2404557:2407055:-1 gene:Et_6B_049264 transcript:Et_6B_049264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAQDPFYIVKDEIQDSIDKVQDTFNQWKQTPENAGEYVHLTKELLTSCESIQWQVDELEKAISVAASNPAYYGLSEAEIRKRRSWTSSARNQVLSLRRNVEAGTQKGVFGRTVNPSESSRAKQHISQDNDEFIASESDQQTLLIKRQDEELDALSASVQRIGGVGLTIHEELVGQEKLLGELSLDMETTSNRLDFVQKRVAMVMKKASLKGQIMIIAFLVFLFIILFVLVFLT >Et_8A_056050.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:12419736:12421985:-1 gene:Et_8A_056050 transcript:Et_8A_056050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASNRDRISELSDDLLTRILSFAPAKEAASTAVLSRRWRSLWLQSGALNLDTSSYDRAHDPALHFLEWQDRAIPKKRRAFSADARQALDAMFLGASASPAPHLRRITVTAAERTYGRCGEFVADVLPFVLRHPAASWLEELRVACVPNKRPHSFRADDEHKRGEMYVLRPGSLPCAQTLRLLDLTDCKLQPLTPPPQMPPQPPPIAVLPPLPQMPHPMTPPPPPPLPQLPHPPPSPPPPLPQLPPPSPPPMPLSPPPPLPQMPPPPPPPLPSSPPPPLPQMPPPMPPQPLMIIRQPQSLLTPVPLHRSSNIPLPPHYMVRPTMPPPPPLLRPLIPTPRAAFPILPDSTHQPAQLPSTSFPSLTSLRLHCCKLALNDLQHMIHASPRLASLTLDRVFFDGCRGYGTKNSLRLRCQTATNLSLIFDTAYNFTIMELDVPQLRSLHYVGNLAEDSSFALKSHTPHLARVDLDITITGNGNGYGPAKDVKYGAPFWHFLGSICHCKSLKLNCSPAVENFLIHPNEEAKLLCTFTNLTQLVVKAKYRDGEHEDVATILSYLLRRCPVISELKINLVHNPFHFKRNPEAGLSAYVKAQLAMKRSINKLKMHSSLMFSVDDHDDDVDVQAFPDLPGWTESSFTCVRNSLRKLFVEFSMEERGCFEIQLIRFFVENTLLLKEVYIGDGDQELREHINYKVAKWRANSVRRNQLMKQEFKVYDHWEKEVPSYVHNARKYSSTFGSDQLKRQKISN >Et_1A_008127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4614615:4622172:1 gene:Et_1A_008127 transcript:Et_1A_008127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLVLRAAPYRHSSHLSAATLLVLSASKHRHYVSFPSSSPSPTRRILPSPLRVPTRAVESSPGPTKEEQSPAAGEAQEPPPAAPAFEIEELGWGTQLAVKLRMLVEPPWKRVRKGSVLNMKLRGEISDQLKTRFGSGLSLPQICENFEKAAYDPRISGVYLHIEPLRCGWGKVDEIRRHIEDFKKSGKFVVGYIPICGEKEYYLACACSELYAPPSAYVALFGLTVQQSFLRGVLEKIGVEPEIQRIGKYKSAGDQLARKSMSNEIREMLGTLLDNIYGNWLDTISSLHGKKKEEIEEFINSGVYQVARLKEEGWITDLLYDDEVMAMLKERIGQKDKKSLRMVDYSKYSRVSKRTLGLQGGGEQIAIIRASGSITRTRSPLSVPSSGIIAEQLIEKIRTVRESDKYKAVILRIDSPGGDALASDLMWREIRLLANSKPVVASMADVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFNLQKLYERIDFNKEILSKGRYAELNAADQRPLRPDEAELFEKSAQHAYALFRDKAAMSRSMAVDQMESVAQGRVWSGQDALSRGLVDSLGGVSQALAIAKQKANIPKDKKVQLVEIAKPSPTLPEILSGIGGSLLGVDRAMKGVLQDVTSRSGVQARMDGILFESLGDMEAASLLGVFMENTIAVPDDMVQLIKELAIGIAEVDNLSPKLTQLLLLSHT >Et_5A_042527.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:18537036:18537410:-1 gene:Et_5A_042527 transcript:Et_5A_042527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLAGLLRAGGLAVTVVSTPPRIARATAKATSGKIAQRQGLPSHVREGVRRRRAPARARGEERRRRRPGAGAESARGGDRRAGALRRSIRRRRPVLAADRELDDQVELAIGLLPPPSLRTTGP >Et_10B_002840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12226010:12228615:-1 gene:Et_10B_002840 transcript:Et_10B_002840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEPEYNEMNEKNPRPLDDDDIALLKTYGLGPYSTSIKKTEKDVKEMVTKINDLCGIKESDTGLVPPSQWDLVSDKKMMQEDQALMVARCTKIINPNTEDAKYVINIRHIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPQIDPTVTMMTVEEKPDVTYNDVGGCRDQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARTKKACIIFFDEVDAIGGARFDDGVGGDNEVQRTMLELINQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRAMNCERDIRFELLARLCPNTTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVVKGYQKFSATPKYMVYN >Et_10A_001362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23779385:23785633:1 gene:Et_10A_001362 transcript:Et_10A_001362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSGKLNLVDLARSEKVLVDEAQKLRFELENTAGDLSGLFSKLERKGNIEDANKTMVQHFHSQLTEDMNLLYRIVSTSVTGELKEHVRKLKETFNSRIAQLHGFAKELELKSELSFEKINSQVITHTSDLEDCLKGLLVDVDQSLSELQNGYLERSKSVSATTVNFFGTIDAHALELVKILEESQAAHQKQLFHIQIKFEVIFVEVAYFVNS >Et_1B_012687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34390667:34393855:-1 gene:Et_1B_012687 transcript:Et_1B_012687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTVRLVLVAAAAAGHRRVGFRRVPVASSLSFPRHPPFRGRASFSCSSLSLPSAGSSSFPPNAAPFNLLPPDSEPFIEWDPPLPDSASSPLGGGGAGDGATLVVLLGWLGARQKHLRRYADLYRERGVAAVRFVVPVRELLGLDLGRRVERRVADLSAEIAAWCDADRRRTLLFHTFSNTGWLAYGAILENLESRADITERIRGCIIDSAPVLEIRPEVWAAGFSAAMLKKSSSMTGPSAESLDGSVMNGTLNRVPSNVTQPSWGECFLLKTLQKFFEIVLHLPDVNKRLCKIISVLSEKQPSCPQFYLYSSADRIIPAECVERFIDSQKSLGRSIFAHNFVSSPHVDHYRSFPHVYSAKIDEFLKTCSTARVS >Et_1A_007731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37644220:37654604:-1 gene:Et_1A_007731 transcript:Et_1A_007731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARSIMSETSWKNSRTRDAPIRDSLVTALLTAERTVDSSDGQVVAARMSAQETTPEQLASTLALMVSMTLKPRRELALGLASRSLLGTSWKKSRRSEAPIRFSVVKALVTSVLMISCNPGQVAALAVDNPAVGPDLASRSHERADVPHLYHRRHEVRVEQGRVGAVLLNQRVLRAAIEELLVRVQQPLPDEQVAVVGVVEGLLRRHVQRRQVAVAGARRRRAIAPPQRGECGVDMWSRKFWHCEKPMVCAPDSAVRSRAFRPLLPNAEISLVRFDVGAGRSVLAWLWLAVVESRRPRGTAQEGAVPGGEGDDVGAGDDAGADGLNVRLNLVDDLVAADGFVVRSGELLVARTIQENRTVATLIAICLYYLNKAVMEEEPEQGGAHPALGVDGLDHSGPHGCLQPGAALGPDLAGISGERADVPHLYHGSDEVRVELGRVEAVRLHQRVLRAAIEELLVGVQQPLPDEQALSKSSCDCVFWSSGARLLSPVPTAAGQFLRRSLAKFESMLASL >Et_9B_065793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1233456:1236315:-1 gene:Et_9B_065793 transcript:Et_9B_065793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKLIENMPRYDDRYGNTRLYVGKLAPRTRSRDLEYLFSRYGRIRDVEMKRDYAFIEFSDPRDADDAQYSLDGRDVDGSRIIVEFARGVPRGPGGSREYMGRGPPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPRSLKYVTLIAREKLFTVSISTPWTGPESQLQQKPEPEPKLQVFRSRSRSLSESPRGARRDRDERRSRSLSYSRSPRRSISPPAKEKERSPTPDGSRSPRSPSPRDQISPPPKDNGERNGSDRGNSPPSRENSRSRSLSDGGRSPAANGRSPSPMEADGRSPSPRDNRSASPGDNGKADDDDRGTSPRGSVSP >Et_6A_046612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18154576:18159341:-1 gene:Et_6A_046612 transcript:Et_6A_046612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GKVKLQFEKPERLKVVPEKALGKQSPPFSAASRVQHAQSSSQREPRRCTERGHLPPRPSLLVGLPSLALQVSDMRLPRPPRPPPPRPLSLLFLAPLLCSAFLTTPAAAAPGTESIGREVIRSVTAMKCSYVVTICSLWNTGVAIKMSMVTLTKQWEELFIYYCFQIPQGTNGAVSAQVMLKKEPVSCVIALKESMKFFDANFFNDSKLREMEDGAKEFNIPAFRQNRKLVALENGGLHNPSALVFKSQWRDSVSTESRSFDYPRLSNVHRPSNDEDIAFMSVIELGELIRTKQITSRELTDIFLKRLKRYNPILESVITITEDLAYKQAKEADDLLAQGKYLGPLHGIPYGLKDIIAVPHYKTTWGSRTFKNQVLETEAYVYKRLKSAGAVLLAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPASSTSAGMVPFAIGSETAGSITYPAARCGVTALRPTFGTVARTGVMSISESLDKLGPFCRSAVDCAIVLDAIRGKDPGDVSSREVILDDPFHVDITKLTVGYLEDAEMEVVHVLSAKGVKLIPFKLNYTVESVQSILNITMDVDMLTHFDKWQREGQDDDYEAQDQWPVELRRARLIPAVDYLQAQRARGKLIKEVKDSFTVDAFIGNVTDWELVCLGNLVGMPVAIVPTGFKSIENPPKGGTRRTTVTTGIYAPPDHDHIALALAMAYQSVTDHNKQRPPIDDLGPNDEVHR >Et_6A_046188.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:921827:921913:-1 gene:Et_6A_046188 transcript:Et_6A_046188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMADGHAGATLGHTDTSLHVATMEA >Et_5B_043453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10667181:10669077:1 gene:Et_5B_043453 transcript:Et_5B_043453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVCAQLASLQASTTCTMMRYSSLAMLACLIAAVGGSGGSRSTGIATTKYNALFAFGDSMTETGNICLGSNSTELDALTCTHPPYGMTYFGKPSCRWCNGRVVIDFTDCKEFLKKSLFQFGGFGGNDYNVQLLELALTVQQGMKNTPMIINAIVNGVERLIALGARQIVVPGIHPTGCLPLFLSLFASSSNEADLDQYGCMKSYNRLTEYHNTMLQKQVEILQRKHRSARIMYADYYQLYYQMVQQPQKFGFSNPFEACCGAGGGKYHFDITKRCGMPGATTACHDPSARVSWDGIHPSEAANKFISDGWLHGPYCTPPILG >Et_6A_046321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1291075:1293031:-1 gene:Et_6A_046321 transcript:Et_6A_046321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGNFAKPKLLPPSILHHCCSWSSRAMAMASALPLLLVPRPVSARVTAATFQSRGLALPRQPTTFSVPAATHRRKWGRLQQVHAASCCSSSAASASTAGSGARLLAWYLMALDKNPVMTKAVTSAVLTLAGDLICQLAIDRALKLDLKRTFVFTFLGLVLVGPTLHVWYLYLSKLVTISGAPGAIARLLLDQFIFSPIFIGVFMSLLVTLEGKPSLVVPKLKQEWLSSVMANWQLWIPFQFLNFYFVPQKLQVLAANFVALAWNVILSFKAHKEIIAK >Et_8A_056699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15411422:15414941:1 gene:Et_8A_056699 transcript:Et_8A_056699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMDPPNSCASFPSLRWSRGTLFRNARQRPASRNNMIQLATSSVAQACRRRGRNRRRGRFLLTVVAASILTVATSVDPVWIDCQTTLPPSPSPSPPPSSSANSSAFRSNVAMLLDALPSATAPTGFAVLSRGTGAGRAFVRGLCRGDAAPADCAAYLRTAAAGIVSRCNASSLRAAVWYDKSFVSYGDTNASTDHEDTFRQILSGGQPLIFERPGDPFTWLDCQSLYNNTEFNSVFQEDMVALLEKLPSSAAPTGFASRSGGSAFVRGLCRGDAQGNCEACLTAAATHIYDHCNSSRRAAVWYDKCFLSYGDTNASTDREDTFRQILYNTGQVSEKDAFEKTYYALMGRLAARVVNGSGTMYDSDAPNGTMYGLLQCARDRTPAECNSCLQKSVQQLPSCCYGNQGGVVLGYNCYLRVEIYTYYDLALDPPPPLVPAPSSVPGDKHRARYFVNTGFHFQHSHLELDATRAAVFNKDYLTENAGKKRPDVTLAVALSVGILLVAVIILSGVLLYRRKVNREQTLSDNHPKDEGNGHIEPGQLNLTVLRAATNKLMGVCLQEEKLLIYEYMPNRSLDTFLFDPVRRLRLDWSRRFDIMCGIAGGLLYLHEESRLKVIHRDLKPSNVLLDAEMNPKISDFGLARAFGGDQSRDITRRPVGTLGYMSPEYAYYGHVSTKSDMFSFGVIILEMVTGRRNNSTFECADSVSLLSYVWGKWTTGSTIEVVDPSLDGHYPEDDVLNCVEVGLLCVQENPAHRPDASAVALMLSSHSTTDERHPPSRPAFVFGSGHSRTAYGLPAVAWNRKGALIRDEQPSTDQQISENEVTISELQP >Et_1A_006739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2756257:2759425:1 gene:Et_1A_006739 transcript:Et_1A_006739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVERHLQTPHPWPSNAPSKSGFDLFSPDRRRAGPDSDSDDEDNIPPDWRALYHPRLEVEAPVQDPRDEATADAWVRRHPALVRLTGKHPFNSEPPIPRLMAHGFITPAPLHYVRNHGPVPKADWSTWTVEVTGLVKRPAKLTMEQLVTEFEAVELPVTLVCAGNRRKEQNMVRQSVGFNWGPGAISTSVWRGVRLRDVLRRCGVMGAANVCFEGAEDLPGGGGSKYGTSLRRAVAMDPARDVILAYMQNGEPLAPDHGFPVRVIVPGFIGGRMVKWLKRIIVADKESESYYHYRDNRVLPSHVDAELANAEAWWYKPEYMINELNINSVITTPGHDEVLPINALTTQRPYTLKGYAYSGGGRKVTRVEVTLDGGETWQVCTLDHPERPTKYGKYWCWCFWSVEVEVLDLLGSKEIAVRAWDEAMNTQPEKLIWNLMGMMNNCWFRVKLNACRPHKGEIGLVFEHPTQPGNQPGGWMARQKHLETSSESAQGTLKKSTSTPLINTATTQYTMSEVRRHTSPESAWIIVHGHVYDCTGFLKDHPGGADSILINAGTDCTEEFDAIHSDKARGLLEMYRIGELVVTGSDYQSPQSSSADLTAIYLDSLPLGATVDIKGPIGHIEYAGRGAFAVNGGERRRARRLAMIAGGTGITPVYQVIQAVLRDQPDDDTEMHLVYANRTEDDMLLREEIDRWAAAHPARLKVWYVVSKVARPEQGWEYGVGRVDERVLREHLPLGDGETLALVCGPPAMIECTVRPGLEKMGYDLDKSCLIF >Et_7B_055333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9432823:9435432:-1 gene:Et_7B_055333 transcript:Et_7B_055333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGPPAAPTPPLLLPESSGEDGGGHDSSSRAAGSAPKKRAETWPHRAAPEMDAHFNTSKSNKHLWEAISARMRDQGFDRSPTMCTDKWRNLLKEFKKARSYARSSAAGGNGNAKMAYYKEIDDLLKRRGKAVGSGGSSCGGGAGKSPTSNSKIDSFLQFPTDKGFEDANIPFGPVEANGRSIMSMEDRLDDDRHPLPLTAADAVATNGVNPWNWRDTSTNGGDNQVTFGGRVILVKWGDYTKRIGIDGTAEAIKEAIKSAFGLRTRRAFWLEDEDEVVRTLDRDMPIGTYTLHLDDGMTIKLCTFEDADHMTVRTEDKTFYTEEDFRDFLSRRGWTLLREYGGYRIADTLDDLRPGAIYQGMRSLGD >Et_9B_063676.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18271566:18271991:-1 gene:Et_9B_063676 transcript:Et_9B_063676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDTGTSVTGLAPPAYAQVTRALDRYLGHLPRVTDIDGFEFCYKWTFAGDGVDPAHNVTIPKFTVEMEGGARLEPDAKSVVIPEVVPGVACLAFRRLPTGPGIFGNILMQEYMWEFDHINQKLRFRKDKCTTHDTSNHRP >Et_1B_014303.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5523895:5524959:-1 gene:Et_1B_014303 transcript:Et_1B_014303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGPARLRERRQLRLSVLPPASPPFLHKEHPFGGLPSTPPGSPGPAIDSLAELERVAVLGHGAGGTVYKARHRRTGAVLAVKALRGDGDAALREAEMHLHVAAAAPDHPHVARLHGVFPASAGDALLCLVLEYVPDGSLGDALRRRHGHGLPERAIAGVARCVLRGLRHLHRLGVVHGDVKPSNLLVGRGGEVKLADFGASRLVSGTGRSAHRAAVGTCAYMSPERLDPEGFGAAAGAGAGCDFASDVWALGVVLLECHVGRFPLVAAGERPDWAALMVAVCFGGAPEVPVAASPEFGSFVRRCLEKDWRRRATVEELLGHPFVAGTRPSWFTNNDWLTNFDDEQTSERTGFT >Et_3B_030556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4950897:4951857:-1 gene:Et_3B_030556 transcript:Et_3B_030556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRILNGSIAVEQFDCPMCFKALRPPIFLYTTVANFICEACRDKLYVQDIECSACSNTLITRSFAMESVVESVRVDCAYAGHGCAAKTVYYDKEEHERACPHGHCFCPEPGCGFAGRSPELWDHFANHHGWPSVTFGYFKRFDVLLRLGYHVLRGESDGQVFVLYVERAGPHDGGHAAASIACVRAGATESKYGCSLSFSCFKGHHGSSTLRSIPCSTLSDGPPTGCFCLVPNASGEGDGGVLLTVNIYAGTGCDDVQALEDGDDDEGSSQDDEGDD >Et_3A_026102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4363981:4365663:1 gene:Et_3A_026102 transcript:Et_3A_026102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMETDINAPPPPAPAGEGSSAAGPSSSSRKTNKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >Et_10B_003187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17475295:17479494:1 gene:Et_10B_003187 transcript:Et_10B_003187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVARFVVPEKCATAGGGATLAWQPMARIQAVSIYFNFIGACLEPVMVVVTELLVGGSLRKYLVSLRPRNLEPRIAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPENIRPSADNLPDELSEILTSCWKEDPNDRPNFTQIVQMLLNYLSTLSPQQNVAPRRTFSSENTILPPESPGTSSLMASRGDLGDTPKGKREDKPRGFFFCFSECY >Et_7A_052343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7017663:7019683:-1 gene:Et_7A_052343 transcript:Et_7A_052343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTRPGSVAFTGLTWPAPVAKKLAGACCSSSNGLPERSTTSAVVMMADLIAAADQSRCLLLMNAAMPLRCGVDMDVPEMMLRRRRIGTDWERPRGEHVHAGAGDVGLQNAGVLEVRPAGGEEDDGRSGRRAEHCAAEDDPCRGVRRRVDVGLDGFAGVVADVRHRQDVRISERVVALDQLVHQDHAGAAGFLHHRAFEDPWETPSELADHYLALDVNAGAGDAELSGRPPARSGVDQREEPGVPVCWLDDSPRKNRPFPSRTVARIERSMVLAATVSIHGASFDRELSSGPELPAAMLTNTPFSIAPNVPTAMGSRWNGTDGPPSDMEMTSQPSATAASIPARMSDPAQPVSSQTLYTARCARGAIPAATPVAWPSTCAPGTTAPAAVLAVWVPCPTSSTGGAVVVFPVVKSRAPMSLLLQAVPLKSQRPFHLAGVGGMPRLVLRVDAGVEQADDDAGAVKGRVVYRRALRRVRVQPQELRRVRRQQLVLPVGHRAHEPRHGGDLVQLTLRQPRREPARDVVVRVAEPAVLGQEPLVPRPPVGCCREHEVVVRLHVDDERAQLIAGHVGDGCEEKEGEKGEQGELEDFHGWIFPEHYCELVHGLYMTHEHARRISLGTVTTYEFRGNCCASSDVHGFGRGSGVVAFARDVLPASTLCS >Et_7B_054494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2277547:2283966:1 gene:Et_7B_054494 transcript:Et_7B_054494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPCLSQPWRNKCLLVLAVPTYFSPEDFIRFCGPEVERASTVNGVHERYCVLVEFEDQKNADGFYLDINGWRFSSQQGEICHALFITSVKYERASTIPPIPPSRTFELPTCLVCLERIDQDISGICATTCDHPFRCSCLSIWLNTSCPICQFCQKQSEVPTCSVCQNTGNLLLCVLCGFVGCGRHKEGHAEQHWKETQHRYLLDLETQRVRDCVGNTYVRWLNHFKSNPKHANSKINYSGDKNVKCSCDDDIVRAVICNKVEARVDECNSRFASQLKSQREVRACFLSTLISWQVEIVFLSDVIRHYVQYYEAKLSKVKREKGRLISEAIDKVNDNLQDMHQRLDNLNVEKNKAAAVSIYLMHRNKDLAKKHGIFCNTLRDVEEREKEELQSKDKKILDLNEQIKDLKYSIKVKKSIAKNAGLKGGTLVPLPMVPDSGDKGKRSRMGKKKN >Et_4B_036960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1357099:1360117:1 gene:Et_4B_036960 transcript:Et_4B_036960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTQRGRRRAGTGARRRSRGHAFRARARATALHLMLLGSGEPCLLPRSIHPNPNDSSRARGGLALAKTNAHALDPSESHWREPAKPSRATSPAPRLGLGLLPALALRRPPHLRIDDDAFLARSTPQRRVLHHYSVTRARRRRVPASARMLLPNQIDLLRTIRSSAVIDCGQCGDMDRTVEPESPPDSPVGVGKPPRHHLTSIRHCASSARIAAAASSSNYGLDLGTLSLISPTDVRPGFLPVFRSGSCAEIGPKSYMEDEHVCVDNLIEHLGGRAAGIPAPGAFYGVFDGHGGTDAACFVRKNILKFIVEDLHFPNNIEKAIRGAFVKADHALADSNSLDNSSGTTALMALIFGRTLFVANAGDCRAVLGKRGRAVELSRDHKPNCKSEKIRIEKLGGTVFDGYLNGQLAVARALGDWHMKGSKGSVSPLSAEPELQETVLTDEDEFLIIGCDGLWDVMTSQCAVTMVRKELMLHNDPERCSSELVQEALKRDSCDNLTVVVICFSSNPPPRIEVPRSRVRRSISLEGLHLLKGALDSNS >Et_5B_045737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8545465:8548833:1 gene:Et_5B_045737 transcript:Et_5B_045737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPQRRGAAKQSRLREADEIRLLNEWIEAGKPLPGTKPPPPLKSAGARPAVPAGQHPAYGACTRFDELPLSQKTKDGLRKAGFTVMSDIQRAALPHALCGRDVLGAAKTGSGKTLAFVIPAIEKLYRERWGPEDGVGCIVLSPTTDLAAQIFEVFRSVGKCHSFSAGSIVGGRKQGHKGLEEEKACVNNLNILVCTPGRLVQHFNETPNFDCSQLQILVLDEADQILEHGFKCQVDAILSQIPKTKSVKDLARVSLKDPQYISVHEEARIATPDNLEQYAMVVPLEQKLNMLWSFIKRHLNSKTMVFLSSVKQVKFVFEVFKKLRPGIPLRCMHGRMKHEVQQAIVADFNESTSVLFSTDISSRGLDIENVDWVVQVDCPESIDNYIHRVGRTARYYKKGKALMFICPEEERMLEKMKATESKIPIQIRKLSFSRLGRPSKKKKLKINVHRPVGTRVKYDDEGNIIPPLASVAEEVASEAVVHEDKISQRYAELYKEMQEHDKEDKLEHKKSLREKKLQKKLKLKRKRQEAEARSEEDSGSESDRGHNAANKSKRYFNSDDEGDVTAKDGDLLAQQEELALKLLSKMHS >Et_7B_054936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5811874:5812585:1 gene:Et_7B_054936 transcript:Et_7B_054936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIGHLVSLALPKASRSLRLPHQNPNPEHVVAMADAADTASSGDRRSESGRRAYTPFQPEGLNLPLSSLRAIYDLPTSPELLFHEERRGGRTWGENLTFFAGSGYLVGSMAGAAVGLRRAAAQAERGESAKLRANRALNQCGAVGRAYGNRFGIVGLLFAGIESGVGGYRDADDWANTVAGGLGAGLLYRSTSGPRSALVGCLAGGLLAGAAVVGKQVLDRY >Et_4A_032329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30233089:30234264:1 gene:Et_4A_032329 transcript:Et_4A_032329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYGLVSGGEEEVRIFYQRYGHGGTKVLLITGLAGTHESWAPQVKGLTGATDPGDDDDDAGNVSAVIEACCFDNRGAGRSSAPARRSRYTTAAMARDALALMDHLGGSMVAALALLGATGGGYQCVPNLLDWRTLSLAYRFLRAATPEQRAAVDLEAHYTREYLDEAVGFGSSLTTRRETLYREYVRGLSPSSSLSGGMQSRHRFEGQMHACWTHELSPEEMETIRSAGFPVLILHGRDDVVAQLCHARTLAEKLRPAAKMVELHGGHLVSHERPAEVNMFLMDMIKASRSNTDLEEWSDVPDKKSDDGLICLAGSSAGSLGERESYLTIAYNLVTNIQCFEAFYVILEHARRVVGMLKPVTVRLPASAL >Et_5B_043078.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:21464554:21465111:-1 gene:Et_5B_043078 transcript:Et_5B_043078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESTPAPPQSHPIGGEGGGRGVRLFPCLFCSKTFLKSQALGGHQNAHKKDRVAGSWNPYASSSYGHLYAAAGVAPATTTLVAGAPHCGGGVHATAAGEAYGTAIAAALRSERWSPVPVGPALHHGGVADDHRDRDRDGFLVRDDVLNWTRGTKSPVAATRETTACSGGVGVEEEEEELDLELRL >Et_3A_023856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13505103:13510758:1 gene:Et_3A_023856 transcript:Et_3A_023856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKRAFELLPNPGAARICGNPLHLGRPEPVHLFLVKTFCQPRAFLHGAHLALAWPLFNLHLHGFPGRLPVQGSPYKKTAEDPPSKEAESPVFYFQSISAMPVYSNKSHEELRYEDYLIENKGGVMMNPASIVPVSSPSSIEQPSTKPQYSAPGSKCTSNPFWLPSVRLQSPISSAHLQSSKQGPYASTSSLSFPFPFIPMEFQTPPFCPTFSDQQHTKFQMNMSSPYSASSLAIPCTAHGGTLLGAETHTAYATPRSTKTKATFSPNSLISTSPTARQENVFSNTAAAHATSAEATSTVRVDYPNNTIELLLPTDISLAHSNHVAGTSEIPISLSILPRENEGLIIQSIEQPRQQAANPSDLLGKILLCQLNIQEEFPGLADLENCASAGSTFPGLGNPENCCAHISTLSFGGDGQAAPSIN >Et_8B_058735.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:19472734:19473207:-1 gene:Et_8B_058735 transcript:Et_8B_058735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATVDELSAAYDEFVAAAAAVVEARAQAGSAEKTAAMDAMLEAFKQRWELFRVACDHAEELVESLRQRIGSECLVDEATGSASSAPAAPGIKPISAVRLEQMSKAVRWLVIELQQGAGGGAAPPGVAGGAATPTAGAGGQQGHSGVDARFSEDGAQ >Et_7B_055313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9260452:9267763:-1 gene:Et_7B_055313 transcript:Et_7B_055313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLRPAAIAGGRQVWPVAEEEEGAREAEAASQRLVEAVARGDAREARELLAAGRADVNYAGVVWLRARRVAEAEPREGAAAELRAVHEEIRADVSPLFLAAGNGDVALVRALLAKGADVNGKVFRGYPATAAAREGRAEVAELLVRAGASQPACEEAIVEAALQGQVALAAIFMGSDLVRPRVAVHALVSAAARGFVDVVDTLMKCGADPNVTARVLLRSLKPSLHANVDCTALFAAIVSRQVSVVRHLLQAGVKRETKVRLGAWSWDMATGEELRVGAGLAEPYDALWCAVEYYESTGSVLRMLLQSGYTASATHLGRTPLHHAILCGSAGAVQTLLAAGADPEAPVKTSRSARPRPVHLAARHGQPEILQVLVDRGCDVNARADAGDTPAILCSRHKREDCVRVLVSAGADVALLNAAGESAAALASSGGWNAGFERAVLGVIRSGTIPHSSDRDVFSPLMFTARCGDAAAMEVLLAQPDVDVDEQDVDGCSPIMAAAKVGNVEAFRALVFAGANVKLGNKRGETAVVLAQQSKKRDLFEQVMLEYALEKGMPGGFYALHCASRRGDAAAVRHLASAGCCVDVDVPDGDGYTPLMLAAREGHAGVCELLISYGARCDLRTPRGETALSLARAALATAPFNKAEDVIMDELGRQAVLQGGHAEVGGSSAFLRHRQRKGDAYEPGLFRVVTASGREVHFVCQGGEEAAELWVRGIRAAFGKRGRE >Et_2A_015752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17529809:17536931:-1 gene:Et_2A_015752 transcript:Et_2A_015752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRSVGHLYHSLGQRTDNTHGRHRTNRQQPSLLPASPADNCGCHSMDKVASFLSSLLAGGDGSGATEPAATVKSIFVYPIKSCRGISVPQAPITDTGFRWDRQWMLVNSKRRALTQRVEPRLALVQVEMPPEAFAEDWQPTPEDHMVIKAPGMEPLRIPLVADRTTVYDVSVWEWSGSAYDEGVEAAEWFTTFFGNPTRLVRFKEVSETRLTDPDYARGYKTMFSDGFPFLIASQGSLDALNKILEEPIPINRFRPNILVDGCRPYSEDLWKTVKINKLTFLGVKLCGRCKVPTVDQDNGIPHPTQPTETLQTFRSGEVLRLSPKNKRQVYFGQNLVCKESLSANGNGRIIKVGDPVYVMHSFSSSDKAVSFLSSVLGGGGGCGSPEPAATVKSILIYPIKSCRGFAVPQAPITATGFRWDRQWLVVNSKGRAYTQRVEPKMALVEVEMPPEAFTEDWQPTADAHLVIRAPGMDPLKIPLAAELSTIDDVSVWEWSGSAYDEGAEAAEWFSTYFGKPSRLVRFKEVSEVRPTDPDYAQGYKIMFSDCFPFLIASQGSLDALNEILKEPVPMNRFRPNILVDGCHPYSEDLWKTVKINNLTFQGVKLCNRCKVPTINQDNGIPGSEPTETLLTFRSDEVLRPSHKNKRQVYFGQNLVCKESLSAKGKGKIIKVGDPVYVLQSFPSSNEAPA >Et_1A_006199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19002585:19005849:1 gene:Et_1A_006199 transcript:Et_1A_006199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSRLLALLTAGQPPPLRLLLQLHAHVLISGLLSSPSPYALGPRLVTAFAFSDSASRFSPRPLLHALALLAFLPSPPNSAAPYNAALRALSLCAERGHLLDRCLPLYRSLLRSGSARPDHLTFPFLLKACSRMRDPACGAAVLGHVRRFGFVADVFVVNAAIHFMAASGSMVHARRLFDESPVRDVVSWNTLIGGYVRRGLPREALEVFWRMVEDGSVRPDEVTMIGAMSGCAQLQDLELGKRLHAYVESNNVRCTVRLMNAMMDMYVKCGSLELAKSVFEMIDKKTVVSWTTMLVGHARLGMMEDARKLFDEMPERDAFPWNALMAGYVQRKKGKEAIALFHEMQEAKVEPNEITMVNLLSACSQLGALEMGMWVHHYIDRHRLSLTVALGTSLVDMYAKCGNIKKAVCVFKEIPEKNALTWTAMICGLANHGHADEAIEHFRRMIELGLQPDEVTFIGVLSACCHAGLVEEGREFFSLMSSKYLLERKMKHYSCMIDLLGRSGHLDEAEQLVNSMPMDPDAVVWGALFFACRMHGNITLGEKAAMKLVELDPSDSGIYVLLASMYAEANMRKKADKVRVMMKHLGVEKVPGCSCIELNGVVHEFIVKDKSHMDTGAIYDCLHEITLQMRHTATTFDISAVGVIPVIEKCRSYQVAPLISLSIIVLALEMNTKLGACLSIPRSELRLKDKGNRGSSGVFTVVYPKHNIIFYGQEMQESQVDPNDIIVNHLSACCSLED >Et_6B_048396.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18396649:18396762:-1 gene:Et_6B_048396 transcript:Et_6B_048396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHARNCSRMQSLRRMQASSSAGAPGRTWTSSPLAA >Et_4B_039680.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26349901:26350755:1 gene:Et_4B_039680 transcript:Et_4B_039680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVERRPPSPSRRVRVDPDILVSHVPVASRDGFLVLKCRASDELELRVCDALTGNVTSISPFPGGYYLLPIPAGAAGRAFELVLVDWHPKIWTFSSMKGTWGAASLFSQFGLPPRAHTAVVGRTAPWLDSDTVPRGTKRILALDVDAAAATTVDVPPGYGSVTIDTLINGHVLLAAVGGRLNLLVAEEQAVLIWTLTTSVPAPAWSRSLVIKMQDIGRRARLRRAVICPVRFEEVGERSGAVVLQIIDGELVPLDLGTKDATMLCKYLVREVENASGTCACTK >Et_4A_033395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22209694:22210274:-1 gene:Et_4A_033395 transcript:Et_4A_033395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEHLRARLRETGQGSHPSRGRVKHVLVRQLRLLVVVLDEQEAVAVITYLGPRTADGIRRQVAALAGDRRRRWAGEELRDAREREELGAQQTAGVDDGEPRLADAPGRGEIPRDEAAEDVREHVIRQLRRQVPRLAIARRLILHSSRSRSAPPCAWNWKHWRQKGEMSHSDVRSTETNM >Et_5A_042480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14434205:14436185:-1 gene:Et_5A_042480 transcript:Et_5A_042480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVFTPSLEGMKHVKSGSGVILTKPFLEVCKHILPVLEKFGSAMSIVKSDIGGNITRLETKYASDPSKFEELHSMVKVEVSAKTAKSSSSCTNGLLWLTRAMDFLVALFHNLVKHPEWQMSQACSDAYAKTLKKWHGWLASSSFSVAIKLAPERKKFMEIISGSGDINADIEKFCATFSPLLAENHKFLASVGMDDLKAS >Et_6B_048472.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:981656:981880:-1 gene:Et_6B_048472 transcript:Et_6B_048472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRRNYSFNAPFALRSIIHQHAACCLVRNHQRFVVVLLVLERVSSKYHTTRCKLYDYWSMKTPKGMNVLVNR >Et_9A_063198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15136116:15139160:-1 gene:Et_9A_063198 transcript:Et_9A_063198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNNLLHFLDTPSAHYRRTCNEFDGDHAEDDRWDASDPANAREMLEYLLNQPANKFCADCGTPDPKWVALPFGAFICIKCSGTHRSLGVHISKVISVNLDEWTDEEVNCLAESGGNSVVNTKYEAFLPENKKPKQDCSTEERNDFIRRKYQFQQFVCDPQFLCPLPLHSKRAADKHRPQPTGSRHGFGHAFRNSWRKKDSSDQKGVKKMMDVGMVEFVGLIKVNIVKGTDLAVRDVMSSDPYVMINLGHQQVFDKDTFSSDDRMGEADVDIRPLISATKEHESSTVSNPTELYRWSASDDSNGVLAKDSVISIADGKVKQEITLKLQNVERGEIEIEIECVPLSQ >Et_1B_014335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6224360:6232604:-1 gene:Et_1B_014335 transcript:Et_1B_014335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGFLLQVLLGLIDRVDDLPAVDVFVTTADPKLEPPVVTVNTVLSLLAVDYPAHKLTCYVSDDGCSPLTCYALREAVAFARQWVPFCRRHRVGVRAPFAYFATGPDRGAADDEFLRDWASIKASFTIRAPRMFGDLVVVFMTILFVLLQSEYEKLVSRIENAEEGSLVSRDEEFAEFLGAERSSHPSIIKVDSKLILWNKSMCMTRNGFPSLVYISREKSSRYHHHFKAGAMNVLTRVSAVLTNAPIMLNVDCDMFVNNPKVILHAMCLLLGFDNDVHSGFVQAPQIFYGALKDDPFGNQTEVMYKKLGYGFAGLQGIYYNGTGCFHRRKVIYGVPPDCTTNVKPRTKGASPCTLQIGWVYGSTTEDILTGQRIHAAGWKSALLNPDPPAFLGSAPTGGPASLTQYKRWATGLLEILMSQNNPSLLSIFKRLQFRQCLAYLVIGVWPLRAPFELCYSLLGPYCLLANRSFLPKASEPGFSIPLALFLTYNIYNFMEYMDCRLSARAWWNNQRMQRIISSSAWLLAFLTVLLKTVGLSETVFEVTRKDTSDGEDSTSKADQGRFTFDSSPVFIPVTALAILNIVAIAVGAWRALVANAAEGVPGGPGVGEFVCCSWLVLCFWPIVAGLVGKESYGIPWSVKVKAGLLVAAFVLFCRRY >Et_6A_045809.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:14150495:14151580:1 gene:Et_6A_045809 transcript:Et_6A_045809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVECQRACNGGHGVGLDLFLRIIIRRWQRRVGVLSKLLGLLEVGVHARRGEEAHAFDVTPGCKTLFGVGGLGGKRVGPALGPVQLRGLHAVEQLLQLRPEHGHHRVCLVQHVLQRVLGLHQLVPGLVQVVPISEGPRLSDGARVLPPHVLFHQLGRRFDAGGRAAACDVQSLAPEFLPDALGIRVAHRHFQEVCLVVTSRGIHEQEVHHDLAHFSAE >Et_2A_014821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16889809:16890747:-1 gene:Et_2A_014821 transcript:Et_2A_014821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCSVVRNPTMNSTSLSICLAPRQVVIGQPWLWIHPRKPSTTTPPRRSPSGAGSVQLAAMCSWATNSFVTSRCRHHWCPSHSGVLPRPLGTSSLLMVTSSFFDMQAYINPGVVNLEGCTYYYVPDGWEAVEDSNLTLMRLHAVYPALSSHDDGVVYITNKIQHDDYEAWVIAVDMRHRALKDVAYFGGIGRPMGYGFTYRQSGISKHLGKWSTTRHGRNAAETSTSGMVQPSNQVEGTSCRSGPPEYASYLDALMGTRKASGV >Et_3B_030134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30802315:30805359:1 gene:Et_3B_030134 transcript:Et_3B_030134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAALRQLVGQHGSIKDDYCGGRTGYNSELLKIMESSQTPPRKRPRRDRNREKGPCSNSTEVMEQEIWREFPEDLFETVIARLPVAAIFRFRAVCRKWNSLLGSDNFSRQYSEVPHGLPWLYTITHENAKNNVAMYDPSLKKWHHPSVPLAPTKIVIPVASVGGLVCLLDLSHRNFYICNPLMQSLKEIPPRSVQAWSRVAVGMVLNGRNSNDGYRVMWLGNDGTYEIYDSTKNMWSCPGTFPPSIKLPLALNFRSQPVAVGSTLYFMCAEPDGVLSYDVSTGIWKQFAIPLPPHLTDHTLAEFQGRVMLVGLLCKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHMKMTCLGNSGLLMLSLKAKRMNRLVTYNLLKKEWQKVPDCMLPCSRKKQWIACGTAFDPCPSALA >Et_2A_015732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17381476:17385654:1 gene:Et_2A_015732 transcript:Et_2A_015732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTSSTNEHTGRGAMWELEKNLDQPMDVEAGRLRNMYREKHRTDEALTTYSRHTYDEKSLAAKIKRWLEGHHIRKNVILVLVLFGTCMAIGDGILTPAISVLSATGGIEVEEPKLRNDVAVIISVVILIGLFSMQHYGTDKVSWLFAPIVFVWFILIGTLGAVNISRYDQSVLKAFNPVYVYRYFKRGKSSWASLGGIMLSITGTEALFADLSYFPVQAIQIAFTVVVFPCLLLQYTGQAAYIAQNKTLVSHSFYYSLPGTAVIMVMLVTTFLMIPIMLLVWRSHWTLVILFTALSLVAEIPFFSSVVRKIDQGGWVSLVFAASFLIIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGVGLVYTELASGVPHIFSHFITNLPAIHSTLVFVCVKYLPVYTVPPDERFLVKRIGPKNFHMFRCVARYGYKDIHKKDDDFEQMLFNNLLLFVRLESMMEEYSDSDEYSTRELNQAGNTDQRSNGINSNANMDLSYTSHDSIVQVQSPNHTGNSQVMSSSQTLQTTGDEIAFLNACRDAGVVHILGNTVIRARRDSGFVKKIAINYLYAFLRKICRENSAIFNVPHESLLNVGQVFYV >Et_7B_055277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:923594:927563:1 gene:Et_7B_055277 transcript:Et_7B_055277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVALEFLPAPLRSFRLKTKQQELLLRVSTLALIYVLAFIVRLFSVLRYESMIHEFDPYFNYRTTLFLTENGYSEFWNWFDFESWYPLGRVVGGTLFPGLMVTAALLYRFLRALSFAVHIREVCVLTAPFFAANTTLVAYAFGREIWDSGAGIVAAALIAICPGYISRSVAGSYDNEGVAIFALLLTFYLFVRAVNTGSLAWALASAFGYFYMVSAWGGYVFIINLVPLYVLVLLVTGRYSMRLYVAYNCMYVLGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLLQVFFFLDWVKYMLNDARLFKSFLRITLTCAITVGTLALVIGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFQILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVAAPAVCLISAIAASATIKNLTTLIRTKSKSPQASSGKTTGSKAAAKGAVDQSLPFQHNAAIALLLGAFYLLSRYAIHCTWVTSEAYSSPSIVLAARGHNGGRVIFDDYREAYYWLRQNTPPDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMQSLDVNYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLCYYRFGELTTEYGKPPGYDRVRGVEIGNKDIKLEYLEEAFTTSNWIVRIYKVKPPKNRS >Et_7B_055215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8329193:8335377:-1 gene:Et_7B_055215 transcript:Et_7B_055215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVEDSRLLGSVPGAEDAVEVDGAVGKEGRARDDGGDEEILRFMDSVDGYLLLMDSLSSALRQGWLDLASARHSMGPSRVSSTLFNHKEQAASTKLQVVDDADLKPSESKPLFTLSKWCLQEESASNNGAPAQAGIKSKLRHRGSATIPEDGDHERDAVTAEPSTCTDTSSHVQRARSKALSVFGGLVSPKLRTAQISFETGFRSGYVE >Et_3B_031718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8876404:8877757:-1 gene:Et_3B_031718 transcript:Et_3B_031718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGFAKRLVHVLRAVYHMLRRGLCRKRLMMDLHLLLGRGKLAGKALRNLVAAAHHHHHPHGAAMVPTAVARAGAGAEPASAASSALSLQCSVDPRDVEFSCTTTPSYEPPPMRALFPFRIRGRGGRRGGAAAGLDVAEVARAFEMMNAQEAVAGTSASSGPETPSVAGATPSPLLALSLGRSPAGVRQLRVAICAR >Et_10B_003511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2234751:2240392:-1 gene:Et_10B_003511 transcript:Et_10B_003511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLQLHLRRRGRGLSPGPTPLPLLAARLLSSAYPAAGAPGNPGNAGIEKVLVANRGEIACRVMRTARRLGVATVAVYSDADRGALHVRAADEAIRLGPPPARESYLNAAGIIEAARRTGAQAIHPGYGFLSESADFAQLCEAEGLKFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGAEQDIELLKAEADKIGYPVLIKPTHGGGGKGMRIVQRPDEFVDAVLSAQREAAASFGVNTLLIEKYITQPRHIEVQVFGDKHGNVIYLYERDCSLQRRHQKIIEEAPAPNVTPEFRSHIGEAAVSAAKAVGYYNAGTVEFIVDTLSGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGERLPISQEQVPLNGHAFEARIYAENVPRGFLPATGTLHHYRPVPSSPTVRVETGVEEGDAVSMHYDPMIAKLVVWGESRSPALVKLKNCLSNFQIAGLPTNVGFLQELASHSAFEKGLVDTHFIERYKDDFVRADKTLSVWYNNPPFRMHHFARRPMDLELGTELDGFSEELLKLFVTCKSDGSYFIETEDGTSGLGVKVDHKGDHDFRVNVGGLQTDVTLAFYSKDNSKHIHIWHGKHHHHYRQTMRAEHVVDDSSQPSHSSEGKSHPKGSVLAPMAGLIVKVVLEDGAQVEAGQPVMVMEAMKMEHVVKAPRAGYIEGLKVTAGQQVFDSSVLFTIKGSLQFAFLFVTIKLVQSSAVIRC >Et_4A_033142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1907431:1910078:1 gene:Et_4A_033142 transcript:Et_4A_033142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPHTSANTPLGAGKRTATRLGHFLLPRPRDLAGPDPSPVPHARTHAPSLHDHEPRGKASLRRTEYFAAGVSFPASRHAGGGSPMDPAAQPPGASGGGEPEAWYGSIQYLINISAVGSAFCVLLFLLVKLRFDHRRIPGPSALAAKLLAVYHATAPQIALHCGADAAQFLLFERASFVVLAAVGAAAVLVALPLNLVAGDATIVDQFAATTISHIPKSSPLLWLHLLLTAAVVAIAHLCISRMEDALRITRFRDGNGNPSDPNSSSVAVFTIMIQGIPKTLAADKAPLQEYFEHKYPGKVYRVIVPFDLCTLQYLIEELGKVQNKISWLEARLGARDLFDDFARDEAAHSEEHWLVKRCKELWAMVAERLGFTDEERLRKLQTKKLVLGSRLSDYKEGRAPGAGIAFVVFKDVYTANKAVRDFRMERKKTPIGRFFPVMELQLERSRWKVERAPPASDIYWNHLGLSNTSLGLRRTAVNTCLILMLLFFSSPLAIVSGMQSAARIINVEAMDHAKSWLVWLEGSSWFWTIIFQFLPNVLIFVSMYIIIPSVLSYFSKFECHLTVSGEQRAALLKMVCFFLVNLILLRALVESSLESWILSMGKCYLDGPDCKQIEHYLSPSFLSRSSLSSLAFLITCTFLGISFDLLAPIPWIKHIMKKFRKNDMVQLVPEENEDYQVMLNGEETNNLTAPLVTDREDSGFLNGLEGHDLSLYPINRSIHMPKQKFDFAQYYAFDLTIFALTMIYSLFAPLVVPVGAAYFGYRYLVDKYNFLFVYRVRGFPAGNDGKLMDRVLCIMQFCVIFFLAAMLLFFAVQGDSLKLQAICTLGMLVFYKLLPSRSDRFQPSLLEGMQTVNSFVDGPTDYEVFSQPDLDWNLYQS >Et_6A_047220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2759202:2760220:1 gene:Et_6A_047220 transcript:Et_6A_047220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGDPFATPGFRFYPTEEELLSFYLRHRLAGTRPDVERFIPVVDIYRYHPRDLQAMAGAANVSDTEQWFFFCPRTEREVHGGRPVRTTPSGYWKATGSPSGVVSSSADRVLGEKRTMLRNEFSVCRVYKSNGTLRSFDRRPLNPVGHDQAVAVHHQQQQLVLPSTTNTTNQRAADNIANTQTTENSHDISSGSRDAVVDGTYDTAIDWESLIPLLRT >Et_7B_054250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18255462:18261788:1 gene:Et_7B_054250 transcript:Et_7B_054250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRVLLVATTVVVLATDASCGFVHRREHPPGLPERHSLTSTALVRFYLDQITILNPLLRAVIEVNPDALRQAARADPGNWNSIEHPVALFACFFCIICVSSILQNPYNLSADPCGSSAGSAIAAAANTAAVTLGTETTASILCPASVNSVVGITPTVGLTSRSGVIPFTPRQDTVGPICRTVADAVHLLDAIFLRVDGLSRKRIGIPNGFFNFRNGTLRQIAYKQHTDTMRKHGATLIENLDIANLSVIQDVTKSGLLIALPAEFKLNLNNYLSNLLYSPVRSLAEIIAFNNAHPVEERLEQYGQQLLLLSENTTGVGSKERDAIRQLEELSANGVEKLMKEHQLDAILTPDSSAASLGIPFIVCFGGLKGYEPRLIEIAYAFEQATKVRRPPMHDMARLLLFLLAAVVALNAGGCRSFEFQEATLDAIHLGFQNGSVTSTALVQFYLGQISRLNPVLHAVIEVNPDALKQAAKADAERRRASASGGDGGGRQMGGGLHGVPVLLKDNIATRDALNTTAGSLALLGSVVRRDAGVVARLRAAGAVVLGKANMDEWANFRSAIGTGGWSARGGQGKNPYVLSSPPCGSSTGPAIAAAANMAAVTLGTETDGSLLCPASLNSVVAIKPTVGLTSRSGVIPISPRQDTIGPICRTVADAVHVLDAIVGYDELDAAATRAASKYIPDGGYVQFLKIDGLKGKRIGVVNGLFDVDDGTARQMVYQQHLNTIRKLGAVVIENLEIESLAVIQNATVSGELAALAAEFKLSLNAYLSDLSYSPVRSLAEIIAFNNAHPDEEMLKQFGQLIFLVSENTTGIGAVEKAAIQQLHDFTANGVEKVMKEHRLDAIAAPDSSAATVFAIDGLPGIAVPAGYDEQGAPFGISFGGLKGYEPRLIEIAYEFEQASKVRKPPMFKL >Et_1A_007269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32886778:32899492:-1 gene:Et_1A_007269 transcript:Et_1A_007269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPHAVVVPYPYSGNINPALQLAQLLHRRGVYVTFVNTEHNHRRVQDTEGAGAVRGSEGFRFEAIPDGLTDADRGKQDYGQSLLKSTNTRCAAPLRELIERLNGTAGVPPVTCVLPTGLMTFALGVARELGIPSMVFWCAGTASLMSQMMMGQLRERGYVPLKDESYLTNGYLETTIIDWIPGVPPISLGDVSSFVRTIDPDDFSFQFCETELENCTKAGALILNTFEDLDADVLAALGDKFPRIYTVGPLGSLLRSLVDAATNSSIGLSLWKQDASCLAWLDTQAHQSVVYVNFGSHTMLSPDQVAEFAWGLAASGRPFLWSIRDDAIRETLPQSFAAETAGRCHVTTWCPQEQVLRHRAVGCFLTHNGWNSTCESIAFGVPMVCWPGFADQYINCKYVCEVWDVGVRLDAEVKREQVAMHVREAMGSEKIRASVARWKKAAAEAAACPGGSSYESLLSMSIAIGLISSAHDMIHHLPRSKEASEVMASSRRPHVVVVPYPGAGNINPALQIARLLHGRGAYVTFVNTEHNHRRVRDTEGRRGDDDWFRFEAIPDGLSEADRGKQDYGRGLAMSLTTRGAAPLRALVERLDREPGAPPVTCVLPTALMSFALGVAREVGVPTTMAFWGGSAASLMAHMRIRELVDRGYVPLKDESLLTNGHLDKTVIDWIPGMPAISLGDISSFIRTTDPDDFGLRFNESEANNCAKAGALVLNTFDALEADVLAALRAEYRHVYTIGPLGSLLLRSQIDAADTGRLSLWKQDASCLAWLDMQAHNSVVYVNFGSHTVLTPDQAAEFAWGLAASGHPFLWSVRDGLVVPGGFALPAGLAAATDQGRCRVTTWCPQEQVLRHAAVGCFLTHNGWNSTCESLATGVPMVCWPGFADQFTNCKYACEVWGVGVRLDAEVRREQVAKRVKEAMASEEMRASAARWKADADAAASPGGSSYQNLLAM >Et_8A_056264.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19050044:19050121:1 gene:Et_8A_056264 transcript:Et_8A_056264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRYMLPSLQGVGLCRPCCTVQNS >Et_10B_002390.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:16800272:16800574:-1 gene:Et_10B_002390 transcript:Et_10B_002390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARCLFPLCSNRTSCVNQEIGDFGLYQFIDNNSGINHTIRKITRHQNRSAHGLAQQAIRATNTGNCEFTCNHLAHRDQCPIAEALQDVSWGSISLVSVNCR >Et_5B_045322.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:18311790:18312890:1 gene:Et_5B_045322 transcript:Et_5B_045322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDDDDSIPPLMATYRHLLEGSSPGLRVDADVDKDGDDDLPAIDLAGLLQGGGDAAAAEAAIVRAALEWGFFTVTNHGVPRALLDAVLLRAARARVFRRPFARKAAAPLPGFAPESYRWGTPTARSLDQLSWSEAYHIPLSGAGQHQTTVGVEDDDEDDRATRLVIEDVATAMSKLAQRLARVLVAGMQQGDNENDEDDEVVTRCTRDTCFLRLNRYPPCPPRTDNGIGVFGLCPHTDSDFLTILHQDGVGGLQLRRDDGRWVAVRPNRGALVVNVGDLLQARSNDRYRSVEHRVVASAENERFSVAFFLCPTDDAAIRPRGRGGGGEAPRYKTFTFGEYRDQVREDVRVTGRKLGLQRFRMSS >Et_6A_045952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13218063:13218338:-1 gene:Et_6A_045952 transcript:Et_6A_045952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKKNDELLIPFADFLLQVKEITERHSREVSRWTTNVLLSLHEVSTRTLICINL >Et_4A_033128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18929781:18935730:1 gene:Et_4A_033128 transcript:Et_4A_033128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSSLVCSTYRRRIIECKAAACSSRLPSRARPPARTRIGAALLPANITSAHGVDSSIISLLTMQRWETLNRIAYKFEKLDKAHGRLALKVLNSVLQQSGLDRIIHIYCLAVTILIQAKMPLQALSVLRHLAMTGFSCSAIFSSLLLTVSRCDCTNRVVFDLLVKAYLKERKVVDAALTVFIMDDHGYKPSAVSCNTILNFLVEKGELEHVWLFLKESMARKYPLDVTTCNILLNSMCIQGKFGEAEEMLQKMKICHLPNSVTYNTILHWYVKKGRYKSALRVLEDMERNIIDKDVYTYNIMIDKLCKIKRSARAFLLLKRMRENNLTPDECTYNTLINGFFGEGKMSLAIYIFNHMLRQSLKPSVSTYTTLIDGCCRNGRTDEALGVLHEMHIAGVKPNELTYSALLNGYCKLSMLGPALDLMEGLKLRGITINKTMYTILIDGLCQLGKVSKAKQILKSMLEDGVDPDVITYSALVNGLCKMNKMHETKEILARMQKTGVLPNEVLYTTLICYFCKAGYVREALKYFVDVYRRGLVANPSIHNTLLSAFYREGMIAEAEDFKRYMSRMNILFDEASFNSMIYSYCRRGNVLDALSVYDNIIGSCSNSSVCAYESLLRGLCQGGHLVQAKEFIINLLNMPFAIEQKTLNALLLGICTYGTMDEALDLCEKMVTSNYLPDIHTYTILLKGFCRKGKILPAVIVLQMMLEKGLVPDTVIYTCLLNGLIDEGLVKAASYLFQEVICKEGLYADCIAYNSLMKGCLKSGNINKLERMISYMHGNEVYPNCASYNILMHGYVKKGLYLRSFYLYKAMLRKGIKPNSVTYRLLILGLSESGVIDVAVKFLEKMVLEGIFPDKLAFEILIEALSEKSKMHNALQLLNCMKWLHISPDSKTYSAVINGLIRKNCLDQSYKVIHEMLQSGLQPRHTHYIALINAKCRIGDIDGAFRLKDEMKTLGIVPAEVADSSVIRGLCRCGNIEEAVIVFSSMMRSGMVPTIATFTTLMHCLCKEFKIDDALHLKRLMEQCSLKADVVTYNVLITGLCNNKSISDALDLYEEMKSKGLWPNITTYATLTGAMHTTQIIQEGEKLLKDIEDRGLIPSDNHSRNLERRMADAIKRLNMIRNCRKGCKNNAEILPVDHESIVMGEMAYGIADEETAPGLDIQCQKSHFTRDCQTGLYRVIIQKQYINNCDKWLLPTSQKWCADDNCNWDGSARLPTQGNNLGSSTCVF >Et_8A_057352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23917832:23920786:-1 gene:Et_8A_057352 transcript:Et_8A_057352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSLGWHQFLQILETYAQLTMVVSRTANAQQAGRQALTRPWRSWKDTLEGFLQSPGANQGSGGIQNCGNLTDSHGEAQESPSGLVHSRQNNGTLVPLNDETEASLEANKAMCNNALFEILVSEKFALLCDSLAATFHINKPDEVIGLANIDARMRNGDYARKPELFDRDIKQIWEKFEQIGREMAGLASSLSVISRASYRKQASGLSEIDVTEHKTEETSLVGVAHKVQRESTPTQLTPCDSGHSTIPKWTGASRLDGVQNCKDCGKKADSEGRIICDGCECTYHVSCLNVDLEDVPVKWFCPACNESALVAIDNNNNDGRTHEDCNVCEWLDIVKPKEDPEAISRTELAAETQESAVASMDDDSEPDLSTTALSNLCKHCGTCEDEDKKFLVCGHPYCIYKFYHIRCLKESQIAKEKQKNRACWYCPSCLCRGCFKDRDDDYTVLCDGCDDAYHIYCMKPPRTSIPKGQWYCASCSMLRATDGLRKYEKSIIQGIKNIRDTKKVQGVAS >Et_6A_047785.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:16076954:16078039:-1 gene:Et_6A_047785 transcript:Et_6A_047785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNRDCAAGLPEDMVRYIADRLANPVDLISFRAICPQWRKAVKDGRFHPWILKSDESRVGGNVVFYCLGSKKFIRIHVPALEGRRLAGFGAGHLIAIDDEERSGVLVNPLLSTATGTTTLPRLPEWCVSGDTYGFATDPKMTGDKDVFVVIYKWLFSPRTYRVVMWRRCHDAGWATIPSERFWTRMPMLRRRLSMGLELLEDDDAGNDGGGVAPWVPRGVDTHVLEHEGRVRFLYRRWEETRWGQFPWPRTSFVLKADPDEAAHDVDWAEAPELRDKIILHTWNNPCYVIPVPAGDFIGLRKNGVYFFSYKHYLEGGMRQGAYCLCRYDWLERVTTVVKPMPSDNWNWAQGMWFLPTLNK >Et_7A_051869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25830990:25834351:-1 gene:Et_7A_051869 transcript:Et_7A_051869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAKSIPPPPTLSTAKKGETPTMDPPSGKKLKAALFERTWPPADEVLILKTLARYKEGLPSPAQLYQQIGGLFQKEGVNRKLLDGKLRSLKRRHSSDINKAAPPADKYERRLFRLSNRVWGSDAALSPLMNKCSDAAQSPPTKKKSSDADQSPPMKKSQEAAPKARALGEMRNLYPCLFHEAQKLLVEQSELERVLPGIDDHEARKLDKKISRIRKQIAKAIAESARTKNMEMPTLCLYPSTKLQPEKLSAEEDDNILSECFDKTKTQERLTQREHEVVKLRQMLMHSQSEAMINCDESMAKHIRCESAASVILPVVAENEIPVKVLQNKVEVPSSLPHRKNMEVTSKYNPPGNNIKNLNATVHVQENCCHERNAEGEKEVFLLPIRRPYTPLAKAIIESSSKYEIVGDMPLGNEFCKVFVTEVLKKEAPLIHEYGNMKTMVHALKHSIAWPHKHVSDNLLELRWCYA >Et_4B_039409.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:14062805:14063647:-1 gene:Et_4B_039409 transcript:Et_4B_039409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAESKVVVALLSLVAAMFLLAHHGSSRLRGPGAALADLLLAAIDRLQGLATRRNMVLLCHAILLLVLRDAGLLLGTTDRRRRAAATSDASAACFSSPPFPERSPARSVVVWRPRNRDVAKEAAVDEITHLKRQRRRTRLAVAAQDSSWTEQEHGAHHESTKEIAVLVEEQRTWQCHHLVAGDQLDRPAAVDRKAISSQSNAGRAAVVADDERNVAEETSAERKLLPQAQDCCGEEDLDQMNRRFEAFIADTKQRMRLEALQQPVRVLSGINRQVLSAC >Et_7A_051277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16442371:16443423:1 gene:Et_7A_051277 transcript:Et_7A_051277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSNTANMAGAKDKAVVSEETCGERIQPLLGKPYFTCIMCKSHVRPPFQVVVPKSLAPFLPPSTVPATLTCCGRTWDMRFTGGRQIQRLEAGWRGFALDNGLRLGDGCVFELIDGAAEGGTVKFRVQVLHGDIPAAIREKAGGYTSTSPIEID >Et_5A_041614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2515720:2526178:-1 gene:Et_5A_041614 transcript:Et_5A_041614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGFGREAGPSSHGPGSAVPAFGAGAATTAAPSPATSPFPPARSATPLGSSTSPFPSVRLTAPLGASTPSFPSVRPSSPFRAAAPRFPSPRPQLTPAAATSRPAITPQSPPIPIPSTRPAVAPGATASVRFPSSRPAIDPGAAAATGRHVARHLQAHPRSAAPSVSRPVDPFISARSRAPSPLSNPRAGSPTDYNNGMGQRRLLNYADPLFENGTLQSSEQMMIQPSEFANRARSPQSNSSSKFRPPSTFQDNHPVQIADPLDHVSKFSGPLQSRPLDHNISKRSRSPTLSYQDVDGAEVHRDAGANARRLVDYTDTFIGGENVEMSKRMRSPSSEFTRTVKSPPSDIRENIRSSTADFGGSNAAQSLRAHADVQKSIASLPKFGNQIQSRIGVARSPPPKPSRLSDSYSNERSIPAVSPPKPSIFSATKRTDPPLDARDDDHAVPLTELEREEEAKAKRLARFHVELSRPVENTNDYVKTLKGSAEKIKQVTSVGKVSMLSNDDTDERMADMDSPELAAIVGLCPDMCPEPERAERERKGDLDRYERLGGDRNQTTELLAVKKYNRTAERDADLIRPLPVLQKTMDYLLNLLDHTYDDSFLGLYNFLWDRMRAIRMDLRMQHFFNQDAISMLEQMIRLHIIAMHELCEYNKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRRKGVFFPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSREIKGSPEILFAREVARMGNFIAFFRLARKATYLQACLMHAHFAKLRRQALASLHSGLQNGQGIPISHAVEWLAMENEDIEGLLEYHGFGLRQYEELYLVKEGPFINSESDLPSGRSQLVHSKKSQKIVDDVSSGPVCAPISKKETSILYSGRLGTGKRELFPPQHALAIPADGKGKFDLPFSQPLSPISGRQVSPPNSGSFSPKSVGKQFGSTYSSPLSPTAGVKGNVSFFPTAVSPLTSKLEIFSKTPKVASPKAKSNTKLVDDLIGDEDQDGNVTGFPQKVEMQAGIPWSQANTKPSDFEEPTASHPHADSISLDYSSMNGEEDEFREHGSCTGVDMDTRLSDDEEYEDHNVSGGTEDDDLPIVMSQKKQISNERLRAILKWSQRAADKRFLREQKNALAVAALSSLSLGPPIHNSTAVPKLTVHELDISHAFKERHARQQRSWSRLNVSELSGPILLEKNPDSRCLCWKLLLLVPSGFLESQTNNFASKWLLRKLMGSGSEDGGLIFSSPGLSIWTEWTSTPSTCCLSIVRASDQQVIGDDITDSTSCIVFVVSENISWEMQKLRFSSLLASIPAQSNLPLLILSCDAYNEGRDSASQYICDRLGLDDLSGGKFSSSSVIFLVENYMEGYGDGFFDDDNMREGLKWLASKLSPQPDVTLVKTHELLLDFLNPQLELLSRRATPEVGPGDCISVFNNSVNQLAEEILAAAHTNPNRWPPVEVDLLERSSNERIFAEMFLPSIGWSSPSRIQPFLAAINSCKLPDFGYDLSWLNQGSLMGKQTQDQKLFLEECLAGYLTESAQLLNKTQVATEVNIMVQKCVGLELRDSYYYLVPKWTAIFRRIYNWRLAKLFTGELSEAYVLSQHLCQALAAESNGATQYRLTANGNTTNESSILEDHSMTPAVSTGLCLDEVIEVSCDLKENDVHPVSPLLPRLPTQVHEERQAPAETAGEVSGLHGIINEKMYIPRRMDLREFMPLEMDKKLAPLLEHCSNLQDRIDETLSIYF >Et_6B_049861.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:15575442:15576299:1 gene:Et_6B_049861 transcript:Et_6B_049861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQQRSAFFLLLALLLTLQLAAAGPGDIAVYWGRNKTEGSLREACDTGAYNTVIISFLVAFGHGKYTLDLSGHPLAGVGDDIKYCKSKGLLVLLSIGGQGGEYSLPSSEAAAELSDYLWNAFIHGRRAGVDRPFGDAAVDGIDFFIDQGATEHYDELARRLYAYNKYYKGGGLTLTATPRCRYPDQRLSAALATGLINRIHVRLYGEDQECFWSARESWETWARAYPRSKVFVGVVASPVADDAAYMSQKDLYYGVLQFAQKLPNYGGIMLWNRYYDKENHYLS >Et_3B_031755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9811351:9825574:-1 gene:Et_3B_031755 transcript:Et_3B_031755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPQYPVGPPVHHLLLLLNVSMEQTRERAKKCGYLHVKWKPPEIGMLKLNTDGAFDGVTFKGGTGAVLRNLNGGLIRAMSRDMKI >Et_3A_025015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26094996:26096485:1 gene:Et_3A_025015 transcript:Et_3A_025015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSFFLDMASSRAKSFRAEQDEKERAQAHEQLQIYHQQQGFGSFLQQHHHRRPQPQQQQQARGGDGVSSGESTPVDALATAFGSGRIVRSAAGRKDRHSKVCTARGLRDRRVRLAAHTAIRFYDVQDRLGYDRPSKAVDWLIRNAKAAIDELPDRPEVPPPPPAAAEADQACSEQAEQQVTSTSYGFGGGAISGVAGSFIQHSVVGGADGVFGSVKSLFPSSSTASTTEGAHDEYRGSPPDLLSRTTSSQPQELCLTLQSNQHQIFSHVSSNHHGMLSSAGVAGWPAEHNQRMPSWHASESSAGDGRGSGNGDSYMFAMQQQRQGLDQSQLFSQGEPLQSSTGGWGSARSWLDPLAAIHQPSAMAGQVGFSHLVGAAGGFMGFLAPATAQRLHGEEDEEESEAMRRD >Et_7A_052056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4152207:4155145:1 gene:Et_7A_052056 transcript:Et_7A_052056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSATRRGISALLLSSRALPCRLRPLAASATHLAPWALLPSRGAKTASPGGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPTDPKPTEEEMVAAYVKTLASVLGSEEEAKKKIYSVCTSTYTGFGALISEELSYKVKGLPGVLWVLPDSYLDVPNKDYGGDLFIDGKVIHRPQFQFTERQQVRSRPRPRHDRRRETMQVERREIMQSGPSTQQKRPPFYRGPAQNPEQSYGTMPPGVGKKQGI >Et_10A_000152.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:12976551:12977198:-1 gene:Et_10A_000152 transcript:Et_10A_000152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TKINPNPIREFCRKWGKKWIRRREPVEAAPRSQGYRRRRRWPPLATSSARSSSGSPTWRRSPTPPASTRAGTASPPTPPSSAASTPSAAPRSSASSSPPEHGGLCLFSSKDQCLQLWVRNSIGEWVLKNEFSLMNERMKKLRRDEWMKRVRILAARAGYVYMEFWSIRKPNSYLLVLNLITKKMDVFRNNSNDPYRGPAFPFFMRLAPLLGPSDD >Et_9A_061547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13547320:13574300:1 gene:Et_9A_061547 transcript:Et_9A_061547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPHFPEIGKKPKDLIAKEHGFNIAAYISSGADVIAAALRKHVEEEARDLSGEAFLRFMEQLYEQISSLLQSNDVAENLLALRAIDALIDMPFGEGASKVSKFASFLRNVFEVKRDPEILVPASTVLGHLAKAGGAMTADEVERQIKTALGWLGGDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPKQAVRERAVEALRACLHVIEKRETRWRVQWYYRMCEAAQVGLGKNASVHSIHGSLLAVGELLRNTGEFMMSRYREVADIVLDYLRHRDQLVRRSITSLLPRIAHFLRDRFVTNYLKICMDHILFVLRTPDERASGFVALGEMAGALGVELVPYLPAITSHLQEAIAPRRGRPSLEAISCVGSFAKAMGPAMEPHIRSGLLDAMFSAGLSDKLVEALESISTSIPSLLPTIQERLLDCISQALPKSSVRSVASVGRASRSNSLQQFVDSSSPVLVQLALRTLANFNFKGHELLEFARESVILYLEDEDSSTRKAASVCCCRLVAHSLSASSTSQFSSNRSNRMGGAKRRRLVEEIVEKLVIAAVADADVGVRSSVFKALYKNPTFDDFLAQAGILTSIFVALNDEEYDVRELAISVAGRLSEKNPAYVLPALRRYLIQLLTYLDQSMDSKCREESARLLGCLIRSCARLILPYIAPVHKALVTRLCEGIGPNANNALAAGVLATVGELAKVGGFAMRQYLPELMPVVVDALLDGSAVSKREVAVATLGQIIQSTGYVIAPYNEYPPLLGLLLKLLNGELEWSTRLEVLKVLGIMGALDPHAHKRNQHNLPGQHREVLRPTIETAQHIVSMEELPTDFWPSFSASEDYYSTVAISSLMRILRDPSLSSYHQMVVGSLIFIFKSMGLGCVPYLPKVLPELFRAVRLCEDGGLKEFITWKLGTLVSIVRQHIRKYLQDILSLISELWTSSFSLPAPIRTVQSPQGSPVLHLLEQLCLALNDEFRMYLLHILPSCIQVLGDAERCNDYYYVPDILHTLEVFGGNLDEHMHLVAPVLVRLFKVELVDIRRRAIVTLTKLIPKVQVGTHVSSLVHHLKLVLDGNNDDLRKDAAEALCCLAHALGEEFTIFIPSIRKILVKHHLRYRKWDEIENRLVRRESLITENLSLQKYTQCPPDVISDPLADFDGAPSEAADETQRQSRSHQVNDVRLRSAGEASQRSTREDWAEWMRHFSIALLKESPSPALRTCARLAQLQPSVGRELFAAGFASCWAQMNESSQEQLVRSLKTAFSSQNIPPEILATLLNLCRAFAKALHYKEMEFEAVCTKKMGANPVTVVESLIHINNQLHQHEAAIGILTYSQQHLEVQLKESWYEKLHRWDEALRAYTIKSSQASSPLQNLDAQLGRMRCLAALARWEDLSALCREQWTGAEPSARLEMAPMAANAAWHMGEWDHMAEYVSRLDDGDENKLRMLGNTTASGDGSSNGAFFRAVLSVRCKKYDEAKIYVERARRCLATELAALVLESYERAYNNMVRVQQLSELEEVIDYCTLPVESPIADGRRELIRNMWNERIKGTKRNVEVWQALLAVRELVLPPNEDRDTWIKFAKLCWKNGRISQARSTLVKLLQFDPESSSEMTLYHAHPQVVLAYLKYQYAIGDELKRREAFSRLQELSVQLATTMSSFSGISSNHGTIPNSGVPLIARVYLTLGSWKRALSPALDDDSIQEILISYKNATLSANDWGKAWHTWALFNTEVMSRYTMRGRPDIAGKYVVAAVTGYFYSIAWASTTKGVDDSLQDILRLLTLWFNHGATSEVQMALQNGFSLVKIEMWLVVLPQIIARIHSNNRVVRELIQSLLVRIGKGHPQALMYPLLVACKSISILRQRAAQEVVDKIRQHSGGLVDQAQLVSKELIRVAILWHEMWHEALEEASRMYFGEHNIEGMLAVLEPLHAMLERGAETIKENAFIQAYGHELLEAHECCLKYRATGEDAELTKAWDLYYHVFRRIDKQLPSLTTLDLHSVSPELLKCRKLELAVPGTYTADSPLVTIEYFVPQLIVITSKQRPRKLTIHGSDGNDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYAVIPLSPNSGLIGWVPNCDTLHALIREYRDARKIFLNQEHRLMLAFAPDYDHLPLIAKVEVFQHALQNTEGNDLAKVLWLKSRTSEVWLERRTNYARSLAVMSMVGYLLGLGDRHPSNLMLDRHSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGTFRTTCENVMQVLRTNRDSVMAMMEAFVHDPLINWRLFNFNEVPQVPNYGNANAHTVVSSEETATNRELMQPHRGVREKELLQAVNQLGDANEVLNERAVAVMARMSHKLTGRDFSSGSSGACSSTQHGSEHMASGDARDVEPGLSVKVQVQKLILQATSHENLCQNYVGYVS >Et_10A_000748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16403491:16408088:1 gene:Et_10A_000748 transcript:Et_10A_000748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARTSRINHWKLLSSSSQYLHLLILFHIILFLFFIAPGEPATTKASDVLDKRRNITDGDTLVSAGGTFTLGFFSPAGVPTKRYLGIWFSFSADAVYWVANRDHPLNGSSGVLAMSDSGSLVLLDGSGTVAWSSGSTSAPSGGAHLLESGNLVVYGQGTSTALWQSFDHPCNTMLPGMKIGKNLWTGAEWYLSSWRSAADPATGSFRYVTDANGSMPENLLLDSNNTKKYRTGPWNGKRFSGVPEMASFADMFTYQLTVSPSEVTYSYTAKAARPSPESCRSWKTFFKAPRDECDGYAKCGAFGLCNNDAGSTSLCSCVRGFSSVSPSEWSMREYSGGCRRNVELDCGNKSQASSDGFEVMRGVKLPYTQDATVDMGINLDECRSRCLANCSCVAYAAADIQRGSGCVIWTKSFVDLRLIDDGQDIYRRLANSEIGQVNLGSKKVNKFLVPVLVAAASLLALSLWVYFRSASNKAKADIVSFIEESLTSSPVTQVIIPSIDYETLYQATDHFSEGMVIGQGSFGTVYKAAVKMLRQSDMNDRELRMMSGLTHVNLVRLLACCNHKTLDSHGREEKVLLLVYEYMSKGSLHKWIFGTVDERAELNWTRRIKIITSIAEGVLYLHVGSGLKIIHRDLKPANILLDDDWTPKIADFGLAKHVTDPNAIHTPIGPYGYFAPECCNGKTTEKSDVYSLGVVILEIISGRENGVMQELLLLAWSSWNNPLELLDEKVPLSQEEDQDEIRNSLQRCIHIGLLCVQKSPDDRPDIAYVVDKLRGTGSLRVPRRPTLRENGETSNTRGTNDHT >Et_3B_029743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27563717:27566634:-1 gene:Et_3B_029743 transcript:Et_3B_029743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAASNAYSWWWASHIRSTQSKWLDNNLQEMETRVKAMIKLIEIDADTFAKKAELYFKSRPELMNHVEETYRSYQALADRCDRISGELHKSNHTIATAFPEQVQLSLQDDNGDGFPKGITGINISRGTSPAPKRTQTHRRISSHLSKDKAQEEIERLQKEILVLQTEKEFFKSSYEASLNKYLDIERRASEMQEEVWSLQETFTTTAAIEDNEARALMAARALISCEDTLVSLHGQQKKSSQEAITESQRVIDAKKKLKAFKSECGHPHNQKELDDHQNAVTSPGCPSTEDNDLILQDRRLELQETFRKVKQQFESCSEASVVHLAGKVDELVDKVISLEISASSQNAQISKMRIEADELHKRLDSLEEGRETLIGDSSKFSERLKQIEEVLQTIQRIEKSVHIDNETMHKQLTEAYSSLTDFVEKLDVPLSEEIVDLESRGIASEEDADKHSALSEPFQGDPGTAGNSIYEESLDSLDISSEAQEEADGTLGWQQLVLNGLEGKDKILLKDYASILRNYEDTRKQLSEIENKNREYHLEAMSEMKELKSANATKDDEIRSLRRMLSSLQTKLNTFQPQCPEKSGESSEEKEISEIEEYMKTCQGEEPPVSSLEKKFRVEIGRVLEESLDFWLRFSTSYHYMQKFQKSFDKVKAELDRLTDAKAEEGSDTGPVSQSVIKQDSAALEKKFRDLSTDLQVWLEKNVLLQGELESRFSLLCSIQEEISKITTLNRTDEAHFTPFQAAKFQGEVSNMKQENNKVTKELQAGLDHVRGLQVEVGRALLKLRENIELNIGRTNRTQHNFRAMSVKAGVPLRTFLFGPKPKKPSLFSCMGPVMPKPVSDMRPGLFR >Et_6A_046034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19455627:19457870:1 gene:Et_6A_046034 transcript:Et_6A_046034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSLNRLPDCSLTSANQSLPHLNLTNLVELSLSGINSGMNDLHNPAESCWFWNLTSLQHLDLSGTDLYGHVPSEIGMLINLLILDISDNRLTGPVPFEVSMINKLTHLKLAGNNLNGVITHELLDGLKSLTTIDISSNSIKIKVDPEWLPPFRLEYAYFASCQLGPQFPTWLQSQADILELDIPNASIFDKLPEWFWTTFSNAKRLDISNNNISGPLSTNLKNMTSLKSLFVNSNQITGPIQSICQLENLVMLNFAENLFEGEFPSCFEPRTIHILILHDNRLSGNFPSVVEICTELYILDLSRNNLSGRLPMWIENFTKLEVLKLGHNLFSGTIPATITRLKLLSHLDLSCNNLSGTLPRHFERGTHLNLSVISKGQERYYVKYQIYSIVSIDLSSNHLTGSVPEEIVSLGAVKNMNLSRNHLSGKIPIKIGAMRSLESMDLSENNFCREVPQSLTNLTYLGYLDLSYNNLTGRIPSGVSLIPSIHRFHLCTMATLVFVDILFIGTAQKTVNQSMVTTKEMNMVLSSCPSPMDFAWDICLVSGWCSVSSYSRNRGGLLISAYLTQFMTKCMC >Et_4A_035224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13043088:13046309:-1 gene:Et_4A_035224 transcript:Et_4A_035224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSLTPQAVFSTDTGGVLASATILRFPPNFVRQLSTKARRNCSNIGVAQIVAAAWSDKSAGRHHSGGGGGRARGVASSHAAAASAAAAASPAAEASAIPNAKVAQPSAVTLAERNLLGSDASLAVHAGERLGRRIATDAITTPVVNTSAYWFNNSQELIDFKEGRHSSFEYGRYGNPTTEALEKKMSALEKAESTVFVASGMYASVAMLSALVPAGGHIVTTTDCYRKTRIYMETELPKRGISMTVIKPADMDALQNALDNNNVSLFFTETPTNPFLRCIDIELVSNMCHSKGALLCIDSTFASPINQKALTLGADLVIHSATKYIAGHNDVIGGCISGRDELVSKVRIYHHVVGGVLNPNAAYLILRGMKTLHLRVQCQNNTALRMAQFLEEHPKIARVYYPGLPSHPEHHIAKSQMTGFGGVVSFEVAGDFDATRKFIDSVKIPYNAPSFGGCESIIDQPAIMSYWDSKEQRDIYGIKDNLIRFSIGVEDFEDLKNDLVQALAKI >Et_1A_004660.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28801352:28801981:-1 gene:Et_1A_004660 transcript:Et_1A_004660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRRRQEERIRAAASALGRRARAETRHPVYRGVRFRAGKWVSEIRELRKPSRIWLGTYPTPEMAAAAYDAAALALRGAGTALNFPDEARSRPAPASASAEDVRAAASAAAAALAAAMDGAAGCRPSDCHELQGRGNNGGAEDRGDYGASAMEDGVVDEDDVFEMPRLMVSMAEGLMMSPPVLGPPAAADGAASLEDEDGVSLLWDHS >Et_7A_051829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25357293:25361315:1 gene:Et_7A_051829 transcript:Et_7A_051829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGGGGDVDGRALAPPHGPACPPEWRLFFGSLVALLCRMDAQAKALSVEHERLLTEIEFLKREKSKRLEIAQYDAQQIRSYAERTIASRDQLAEILGHKDPEAFEGLGDNDMECFTKLISTLADENTKLKKKLKEVESQAKLTENNVDHQHSTKNSKAQIKKLKEAYKQMVSEKDKEISALRAERNFAWNQFNTMDTEYRETCKKKSIEAKHATEEAKQATELAEKIKKVVHEKDDEIRRLRAEVASTRENMTILEGELEQIRSLVKSKDAETDQIETSQKSKKDLNETNRKSKLEGPVLREKSRISQVTPVRREVKSSRKCVSSAKGTQNQSGSKSRMHNTEKRGQSETSQKRKRGSSSLHLRMWSLLGSSAMFRKATSQTCRFTHAFHPLFYNSEADRPNSSTDLKAL >Et_2A_015594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15687480:15691808:1 gene:Et_2A_015594 transcript:Et_2A_015594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGQSVAGSASEGGSPASGAAAAAAAASAFPATSLYVGDLHESVQDAQLFDVFSQVGAVVSVRVCRDINSRKSLGYAYVNYNNPADAARALELLNFTPINGKPIRIMYSNRDPSSRKSGAANIFIKNLDKSIDNKALYDTFCVFGNILSCKIATDSSGESRGYGFVQFERDESAQAAIDKLNGMLINDKKVYVGPFVRKQDRENVSSNVKFSNVYVKNLSETVTDDELKEMFGKYGTITSAVVMRDNDGKSRCFGFVNFESADDAAVAVQELNGKVINEKELYVGRAQKKSEREMELKEKFEKNLQEVADKYQNTNLYLKNLEDTVDDDKLRELFAEYGTITSCKVMRDSNGVSRGSGFVAFKSAEDASRALAEMNGKMVGSKPLYVALAQRKEDRKAKLQAQFSQMRPVAMAPSVGPRMPMFPPGVPGVGQQLFYGQPPPAFINPQAGFGFQQPLMPGMRPGMPNFIMPMVQQGQQPQRPAGRRAGGMQQQPMPMGGQQQMFPRGGRGYRYPTGRGMPDPGMHGVGGVMPSPYEMGGGMPLRDAGVSQPVPIGALATALANAPPEQQRLMLGENLYPLVDQLEHEQAAKVTGMLLEMDQTEVLHLLESPDALKAKVAEAMEVLRSAQHLQQTNASPEQQLANLSLNDGVVSS >Et_1A_008872.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:16919830:16920600:1 gene:Et_1A_008872 transcript:Et_1A_008872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTKLVALGLVVLLSIGLADASRLARLLGSTASAGGGGGGGGGGGGSGGAGYGGGSGGGVGNGYGESGGNKWDGWWNFARGGGAGGGQGGGGGTNGGSGSGSGSGYGSGHGESTSPGPSSGNTASADGKGAGGGQGGGANGGYGTGAGGGAGKGQGESGVAYAPGYSSGGNADASGGGAGAGGGNGGGAAGAPSYGSGGGLGGGSGQAGSDGSWGSGYAQGIGAGTGGGGGGGSKGGSGGGGGSGSGSGSGGIH >Et_3A_024940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25489408:25493991:-1 gene:Et_3A_024940 transcript:Et_3A_024940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHHLVVVFVLPLILLPVAVCGATGNATSAPLACSELSRVCTAFLAFPAAANATLLESMFDAAPGDLTADAAASPGYAFVRKNCSCLPSRTYLANTTYTIPSAAAPPNATAADVAAAAYAGLAVPPPGGAAQRPPRPGAVVALHLLCGCSSGPWNYLLTYVGVDGDTVESLSSRFGASMDAIEAANGMAGPDPITAGKVYYVPLNSVPGQAYAAMSPPPAIAPAPTDNTLSEVPEHHSTKFPYGWVIGSMGVALALIAIALLSLVLWRFFRFNLDDPDNQRKSPDHPHKFQLLKSGSFCYGSGRYLCCQFGNAKPTRADGGEHRINVPKGVGVDVFDREKPIVFTYEEILASTDSFADANLLGHGTYGSVYYGVLRDQEVAIKRMMATKTKEFIVEMKVLCKVHHASLVELIGYAASKDELFLVYEYSQNGSLKNHLHDPESKGYSSLSWIFRVQIALDAARGLEYIHEHTKDHYVHRDIKSSNILLDGSFRAKISDFGLAKLVVKSSDAEASVTKVVGTFGYLAPEYLRDGLATTKSDVYAFGVVLFELVSGKEAITRAEGMGSSSNSERRSLASVMLTALRKCPSSVYMGNLKDCIDRNLRDLYPQDCVYKMAMLAKQCVDEDPVLRPDMKQVVITLSQILLSSIEWEATQAGNSQVFSGLVAGR >Et_1B_013414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8148774:8153729:-1 gene:Et_1B_013414 transcript:Et_1B_013414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCYKQPACSSMLILLTLLLSYGVGTTRCSMVSENSTDMLSLLEFKQAITHDPTGALNSWTSSTPFCRWGGVTCSSTHPGRVIRLDLADRRLTGSIASSLGNLTFLKLLNLSTNSFSGELPHLNRLHKLQFLIVANNSLGGVIPDTLTNCSNLREIHLANNHLSGEIPLEINLLSNLRSLKLSINNLTGTITPTLGNMSRLQVLSLSDNQLTGGIPEELGQLSTLSTLALSGNRLSGGIPDALLNLSSLQSLGLDSNMLDKELPSNIGNAFSQIILITLGANMFGGPIPASLGNASNLNVLDLSINKLTGQIPSSFGKLSQLQWLNLENNSLEADDSQSWEFVDALGNCSLLQTLSVSHNQMHGAIPNSVGKLSGIQQLLMTDNYLSGIVPMSIGNLTSLTTLALDQNSLSGTVDGWVGNLTELQGLSLQKNKFFGAIPSSIGNLTQLLSLSLADNEFNGSIPSNLGNLTKLSEFDLSYNDLHGPIPAGIFSSVVMTKFVLSYNKLEGPIPLEVTNLQQLTILDLSSNSLDGEIPSGLGKCVELEIVRMDRNLFTGNIPMSLGDLSTLSVLDLSHNNLSGSIPEAISRLQLLNCLNLSYNHLEGPIPRNGVFANTTAKSLSNNWGLCGGSSDLHMPPCSSVSEGSKMQYYLVRILIPVFGFLSLILLIYLIVLEKNRPIAYSSLSPFGKQFPKVSYKDLAEATQNFSESNLVGRGSYGSVYRGKLSPSKLEVAVKVLHLEMRGAERSFISECEALRGIQHRNLLPIITTCSTVDNEGNAFKALIYEFMPNGNLDTWLHPNGDGKSATQLHLNKRINIAANIADVLSYLHHDTGRPIIHCDLKPSNILLDDDMNAHLGDFGISSFYRNSAPTSLRDSISSIGLKGTIGYIAPEYAGGGHPSTCGDAYSFGIVLLEMLTGKRPTDPMFADGLNIVNFVEGNCKGQMLLAIDAALQEECYALSQVNMENENVVFRCLTSLLEVALSCARQLPNERISMREAAIRISAIKSIHIGGQEALKQAKNGHNNSSVVVIQ >Et_2B_019188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16404519:16405655:1 gene:Et_2B_019188 transcript:Et_2B_019188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLGGGGGGGHHSRDDPAASSSVPPVVHPSDAASFLYATRATGAGLQLWQQHEPQHHPFYASTNIIRFSSDDSPGGTRGGGGAGVGTGGGVSCQDCGNQAKKDCAHQRCRTCCKSRGYSCPTHVKSTWVPAAKRRERQHQLAAGPSTRDVTKRPRARLSVASPTTTSSGGDQQMVTVAERFPREVSSEAVFRCVRLGPVDQAEAEVAYQTTVSIGGHVFKGILHDVGPHCLASAGGGGDGGSPPGTAAAAGEAGGGGAGGNVIVSSAVVMDPYPTPGPYGAFPGGASFFHGHPRQ >Et_5A_040201.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:22203057:22203392:-1 gene:Et_5A_040201 transcript:Et_5A_040201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPISSLKGKIFWSQALSWTSKRAELSYHQWLTAVLWSGFARIVNNEIIILGNDAELGSDIDPEEAQQALEITKANLTKAEGMKELVEAKLALKRARIQVEAINWIPPSN >Et_5B_045704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7918659:7921977:1 gene:Et_5B_045704 transcript:Et_5B_045704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLHRAPKTPPPILPPPIIRRFSARMPWRQDRQRSGGMSRVHCAIPYTVRSSWDHDHGASPAAHLSGRLAAVLASALNSLVTSSSNCAVVACCTVSGFVMLIHSGSLLKPERKSWSEKRSWYNSE >Et_7B_054353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20266079:20267596:-1 gene:Et_7B_054353 transcript:Et_7B_054353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAWAAVRPLVNSCITGSLVGLTIADRYASVVAVDGESMYPTFKPQPTERALVEKLCLDRYNFSRGDVVVLRSPRDQTLVVKRLIALPGDWIQVPEKQEIRQIPEGHCWVEGDNASLSWDSREYGPGRATHILWPPNRIGRIDRKMPEGRIIPRQ >Et_3A_027183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4076354:4078444:1 gene:Et_3A_027183 transcript:Et_3A_027183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETPQPPPPPAPAEGKEIKSPEVLPSSLSSLQRKKLGAHFMESDERRFSSRRALLLGGGGYDPPPSFAGTTPVNIRGEPITGAAELARTGGWVAALFIFGNEMAERMAYFGLSVNMVIFMFKVMHRPFAASASAVNNFLGISQASSVLGGFLADAYLGRYWTIAIFTTLYLLGLVALTLCAAVPALVPAQDGCDKLAMLLGGCAQAKPWQMAYLEAALYVTALGAAGIRPCVSSFGADQFDERGEEEYKRRLDRFFNLFYLAVTLGAIAAFTAVVYIQMQHGWAAAFGTLAIAMGTSNALFFVGTPLYRHRLPGGSPLTRVAQVLVAAFRKRNAAFDSGDFVGLYEVAGAKSAIRGSAKIEHTDDFRWLDKAALQLEGDVVAGGEAEEPDPWRLCTVTQVEEVKILLRLLPVPACTVMLSVVLTEFLTLSVQQAYTLNTRVAALHLPVTCMPVFPCLAIFLILALYYHTFAPLARRVTGHPHGASQLQRVGLGLFFSILSVAWAGIFERYRRGYAVRHGYLGLFLTPMPDLSAYWLLIQYCLIGVAEVFCLVALLEFLYQEAPDAMRSVGSAYAAVAGGLGCFVASAINSAVDAATGNVAEGRPSWLAQNINVGRFDYLYWLLAVLSTLNLLVFICFAKRYKYRVKVDTQDTVACKPQP >Et_7A_050702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10265946:10269264:1 gene:Et_7A_050702 transcript:Et_7A_050702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSPPPASRYPSPLLFARPFLLSRSAWWTRETVAVVTGANRGIGHALAARLAERGLTVVLTARDGARGEAAAAPLRDRGLAVVVRRLDVSDAASVAEFAAWLRDTVGGLDILVNNAAVSFNEIDTNSVEHAETVIRTNFYGAKMLTEALLPLFRQSPATSRILNISSQLGLLNKLRDPSLRALLLDEERLTEAAIEGMVSRFLAEVKDGTWSEPGRGWPQVWTDYAVSKLALNAYSRVLARRLQARGERVSVNCFCPGFTRTDMTKGWGKRTAEEVADVGARLALLPPDELPTGTFFRWCTPQLYSKL >Et_2A_017747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5821848:5827352:-1 gene:Et_2A_017747 transcript:Et_2A_017747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPLPRHERTPTLPTTASTYLLRPRPRLPVRGSSGVAAAAPLVAAVASPDLTCSHYSSVAASPSPPVSSTSRAPGSGLVVLTVTASAVAVSACLAFFAAIRSMLVCKREAEFLEKYFDSARAKLPQTMASVRLVGREIGDLASDLSDLRYVIIMACILHLCISVNKAANDVIVSISQELTKGVTSSMRIVHAAEAQLHQLTPSTDPANIDL >Et_10B_002654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3437554:3437970:-1 gene:Et_10B_002654 transcript:Et_10B_002654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEKTEARTAGQLVELYVHCEPKVRLSQVGGSNNAHETTEMHEDIVEDMYIGEDTDLEEDRTREDDDDEHDSDYAPDHDSEEEVTIISPTGR >Et_2B_020429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19998407:20001296:1 gene:Et_2B_020429 transcript:Et_2B_020429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSPSPPPARGPAAVVAAAVVILPAIFPGLFSSFGRAFPSLFSEWNAPKPMHPSLLNKALHWEIPDEQKRDLWSPLPYQGWKPCLNTSVSHALPLEPSGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWKDSSSFEDIFDVDHFMNTLKDEVSIVKVLPKEFSWSTREYYGTGIRATRIKTAPVHASANWYLENVNPILQSYGIAAIAPFSHRLAFDDLPKDIQRLRCKVNFQALVFLPHIISLGESLVKRLRSPIKGHSNDLINQVVEESTNHAGKYAVLHLRFDKDMAAHSACDFGGGRAEQLALAKYRQVIWQGRVLNSQMTDEELRNTGRCPLTPEEIGLLLVALGFDSKTRLYLASHKVYGGEARTSSLRRLFPLMEDKRSLASEHELANVEGKASVLAALDYYISMHSDIFISASPGNMHNALLAHRTYENLKTIRPNMALLGRIFVNKSMEWSEFQQAVQAGHKGRYGQIRLRKPKQSIYTYPVPDCMCQG >Et_2A_016304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23057204:23061319:1 gene:Et_2A_016304 transcript:Et_2A_016304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPNVKSETMGLMDRRSALEAEMDAIIARLTAPGGPGITGGLVDDEGFPRSDIDIPNVLAQRRRLTELRNDHKDITTKIEKNLEVLHSAKLSRNEQSTSQRSDTTASQHTGLSQSEPMEEDPVTRLPFALIDEITDGSPAAVDGLQLGDEIVKFGNVEAGDRLQERLMSEALSSEDSQVSLIIIRQGSAMNLTVTPRKWHGRGLLGCDMATCGAPYRGGVMAMTLTDDH >Et_1A_007705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37451224:37462660:1 gene:Et_1A_007705 transcript:Et_1A_007705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQVKAVRAVEALKGIASKLNKTDWDFSVDPCSASGNWNNSNGFLVSNVTCDCSFKNHTECHVINLQLMRLNLSGVLPDEVANLTYLRYLDFSRNFIEGPIPASWANLPVFNVSLQGNRISGKLPKELGRMPVLKSIQLEGNQIEGPIPPELGNIISLERFFISANNITGELPSTFSRLTNMTDFRIDGNNISGKIPSFIKNWQRVNRIDMQGTLMSGPIPPEISLLKNLTELRVTDLNGPGMTFPPLQNALYLTEVVLRNCSIYGEIPLYLGSKQYLKVLDISFNKLTGQIPVNFEGMMALQYLYLTNNMLTGDLPAWMLKNKASNKMNMDISYNNFTGNPPTECQQANVNMVSSFSSSNDNSLQPCLRKNLPCMGKPRYSSLFINCGGKSVMIDGTTYKDDSSQIGTSTFVLSDDRKWAYSSDFVGNENADYIARNASTLTLNHPELYTEARLSPLSLKYYGLCMENGEYMVKLHFAEIVFTEDHTYSSNGKRVFDVFIQGVKVLGDFNIQDEAGGVGRAITKNFTANITNNTLEIHLYWGGKGTTAIPYRGVYGPLISAISVTQSHGNHYGISTGVMITIIALSCLAGLVLLIACYIKVFPKKNQKGNSRQLFYHGRKANTSELQTRAHYFFSLKDIESATKHFDPANKIGEGGFGPVYKGTLADGTTVAVKKLSSKSSQGNREFLNEIGIISALRHQNLVRLFGCCIDGDQLLLIYEFLQNNSLGRALFGRAEHRLKLDWTTRYNICIGTAEGLAYLHEESTLKIVHRDIKPSNILLDEKLQPKISDFGLAKLNDDCGRVSTRIAGTIGYMAPEYATRGCLTRKADVYSYGVVTLEVVSGMSNTNSMSNEEYLHLLDWAERWKQQGRLLEIVDRHLGSDYSQEQALRLLNVAFLCTNTLPTQRPRMSSVVKMILGQIPIEIMPDDDLSEDLQLNIPKSCDSVKNSQIDWSHEPSSDPSILQHNSKDSGYLPSSSSSSLKLSNPGKAAWRRQHFQATDVRQLPKSSSKRCGFMLKFISMYNMTKSNWNQVKATKNGSQSFNKHN >Et_10B_003383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19274271:19278107:-1 gene:Et_10B_003383 transcript:Et_10B_003383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAAASRLLLRRAPSPPHFNATPTAAAYALLLHARPFSPPPPPPRRPAQADAELEVTPAEARRLVRLVGVEALKQRLRDGREEVVGYDELLDACVEAGAARTHAEAEALARAMDDAGVLLLFRDKAYLHPEKVVDLVRRAVPLALESENDPRKEEFKQLQERKEEIDKLAHKQVRRILWCGLGFFICQVGLFFRLTFWEFSWDVMEPVAFFTTASGLLVGYAYFLITSRDPTYQDFMERLFSSRQRKLCAMHKFDMERYLELQKQCSNDSHT >Et_1A_007292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33030740:33035923:-1 gene:Et_1A_007292 transcript:Et_1A_007292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGLVHKSSSPLVGEMGEAGHGWWSVNNLRPPFEQQHHPCLFLPASSTTTGAAPSSSSALHSFSSLLLANHYPLPTTSESPWHDSSSSQGLGQQDSWSQLILGGLSSGEERFKEGQLLFPTTVCSEAGGSGSYIYSTTASHGSSTSDEIQLPWGSVHQHHKAALQQKTASPRSSSITSKTSLGSNMLEFSNNSSSSPRECISTASGSAFKKARTQESSPAQSTVKVRKEKLGDRITALHQIVSPFGKTDTASVLLEAIGYIRFLHGQIEALSSPYVGGSNGGGGGCSNQLQHEASVHGERHSIFPEDPGQLLHDTAMKKRGQPTEQDGSDEEKKDLRSRGLCLVPVSCTLDVGVDVIAGPADYWGAAPAYGMGFGR >Et_9B_065837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14571109:14575651:1 gene:Et_9B_065837 transcript:Et_9B_065837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHKEVFRSLQELFPQVDHRILKAIAIEHRKDVDSAVVAVLDEVMPSMTGSLGALSAQKEAMLDMADCARNLFANSTREVGSSSSAGHVDEVDGSVHSAQHTSSVEVKTGACETINTEPYVGGLTQMSSEHDHVPNFDAIYENLSSKRELANSDNEAGHGAYLSSECLSQSSIEAKDGDNININVPQLYEKISNDIIPVRDCIPQDDSLKLFSYVDINDGDDSFLAELLAVASDNEASFGIVSKEKDASSPVLVPRPDAEGSSVGSCGFNEEKDASSAGTGYNEQTSGDVVENGDTILSSKTDVLPDLNLNHFASTASTRSSHSVSIESLEDSVADARSSKNDLLPSLEMVAKMIEDVELLEEKAKVAKHESSVAGTKILTKVEELKEMLTHAAEANDMHASEVFGEKSILTTEARELQSRLQRLSNERNRYLVVIEEIRQTLDERLVAAQQEITAAEKEKREKEAAAQALLDEQEKMMNSIVEESRRLQKEAEDNLKLKEFLVERGQIVDMLQGEMAVICEDVSLLKRAVDERLSLSKLQRSTMSSLSSSLHSSLHKSGNSSDRTVEAVESTDKHAVDEAESPVAKELDGSERTVGVSDGNGTADKDTSKRQESNEDGWDFVEDAQE >Et_8B_059023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12448648:12469465:1 gene:Et_8B_059023 transcript:Et_8B_059023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGARRGIFDGLPIPADKSYLKEGLSRIDEGWAAARFDSLPHVVHILTSKDREGEIQFLKEQSDLIEDVVDEVVHAYHHGFNKAIQNYSQILRLFSESAESITGLKGEMTEAKKLLGRKNKHLGQLWYRSLTLRHVLSLLDQVEDVAKVPARIENLMSEKQLYAAVQLHVQSMLMLEREGLQAVGALQDVRSDLTKLRGVLFYKILEELHGHLYNNGEYSTVTTSMVDSEEIPTSTATGRLVNSMQPLSRRTRSIKGDNHIGGHATADGFPKTYSVDGGSSLDVPDDDSSFDMRESDGRSRKDSKSISREIPAFLSCATPDEFLESMIKTDTSLNVKYLRTLVQCLSMLGKVAAAGAVICQRVRPTIHDVITSKIKAYNEVGSKTTVDKGGKITSIVSHSDGSIPRYQLHKQKAKNGASLLASQLVVSPISPAMAPTGDSQRAASQLLGSIFECLIDILENHIIVGELLEQKASSEVDNVNTPHIANGDASWNPDSEYSQATGGFNVAFSLSVVQSECQQLLCEILRATPEAATADAAVQTARLANKDPRWIGRPFLRIPHYRCSDCSQGWRRNSSVPQEGYGTASVIPDQGIFLAASVYRPVFEFMNKIGLMLPQKYSQLGNDGLLAFVNNFLKEHFLPAIFAQQHSGHVCMQPQYMVLGWVQLMPNYATELVEYVRTFLERTHERCRASYMEAVLEKQSYILLSRNDVESLMRLEPANSSLQNSSSELDNSVTDAEAVGVEIELSDLLLDMCPIKQENLIHDDQKLILLASLSDSLEYLADSVERLGDSFISPPTTSESKNHIQHGRHSRSSSAIPKGLATLANEYRRLAIDCVRVLRLEMQLETVYHMQEMTKREYVEDQDAEDPDDFIISLTTQIARRDEEVAPYITESKRNYVFGGIASVAANASIKALAQMKSINLLGVQQICRNSIALEQALAAIPSIDSEAVQQRLDRVRTFYELLNLPFDALLGFITEHGYVFSAKEYLSVLKVNVPGREIPMDAERRISQILSH >Et_10B_004139.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:15202643:15203359:1 gene:Et_10B_004139 transcript:Et_10B_004139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPRSLARLAPALAGAGGTILPRTIRGLCTTVPPSKPPPDPLSPSELDAVSALLPRLLSAGHVPAAGRLLSAALLLPGSLDCLPFPSLAAHLASLPTLSPAFALLTALRHHPARPSPLPLAAPLLDQLLSLRRARDAASVLRWLCRPDSPRRPEGATYAAAVAGFCRLEDARSALAALREMSADGIQASQELREAVRDAMLQDARIEEAWALDEAMQRPETGKVVELVDKLLAEWEL >Et_3A_026341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7022618:7040389:-1 gene:Et_3A_026341 transcript:Et_3A_026341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDMAPSSPKAGMPQTMKLLVDTTYQRVLYAEVSKDVVDFLFSLLVLPIGTAVKLLGTESMVGSFGNLYSSVEKLDDTYLQPGAARDGLLHPTVLSAAVGTNGSLFRLPPPSSTPASPTKYFRCSSGSSTNLMKPFGHKSHGKHGNGNSCGNYVTDTEGMTCPSCRNQMDKEVEFLSSPGSKQPVEAAAGGNGDANGFVQGVVTYTVMDDLKVAPMSSISGITLLNTFGITDVGKLQEKTVQLGYAEGLEILRVSLQSKTVLSDVFLGKKKKQNNASVPLSMKLLVDTKAQRVLYAEARKDVVDFLFSLLTLPIGTVVKILSKDSMVGSIGNLYGSVEELDEIYVRSDGAKDALLAPAGGYDGGKLLQLPEAVKHTVTDPYRCGNNSYHCREYVTYGSGATCPNCDSEMTTVMNHVRPSAGKAAAALSRHEGTGFVKGVVTYTVMDDLKVAPMSTISGITLLNSFGVTDIGMLREKTVQLGYAEALEILRVALQSKTVLSDVFLGKKKQSNANVPLIMKLLVDTKAGRVLYAEADKDVVDFLFSLLSSHPPVATAVKLLGTESVAGRPAGSWQPLCQCGHRSVFSPFPFVHGPYDCHVGSLSRPCGDYVTDTCGMTCPSCFSPMDKRMEFLSSQGSKQSMAAAAGGGSRNWFVQGVVTYTGRAHIYHLWHYPLLTLGITDIGVLEKTVQVDYAEGLKILNGSLQSKTVLTDVFLAKKLRKLLIAKEAQVVVLAEAGKDVVDFLFGLLAMPVGAVVKLLARDNPLAGLASIYASVQRMDAGYMQSLGTRDALLNPAPAHPALVESAGGFPSLVQPAAAPPPQTSPASAAGSSSGKQPSLKKKGLALPHFNIGIGSACHCASCLAAQAQGTTGFVKGMVTYTVMDDLSVTPMSNISSIALLNKLGGLEILKASLQSTTVLTDVFLAKKKRVRTSGDKSGASQQHQDKRARIDQATGNDVGNVYASAEKMDAAFMQGADARDALVVNTTGSGPSVRLVPNCPCDSCTKGHGNVPVYAPNPYQYPPGYTYPPPPGHPPCVPPPPIAGISLHAPPPASDAAGAGLPRPPLYRCHACNALGTLQGCAGFVQGVATYTVMDDLAVAPTTSISSLELMKKLGVKERTVTIGRKEVTGVTRRGLFAYIYSVLNLFCLEILKASFRSKAVLTDVFLVTRKRARTEEDKNCVDVSSVALLKKLGFKDLDKVEERTVNICRNEALGILKAALHSKTVLTDALLAKKN >Et_1A_005666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12533916:12536639:-1 gene:Et_1A_005666 transcript:Et_1A_005666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKSRALGHLLSCFFPRQRRRNVAEGTTMEENHVPGNPDDDGGSVVSLPDDIIFFDVLSRLPVKSLCRFRCVSKSWRAVISDDDPAFAAARRSPRRRRVRDTEPAPFLLQRPPRFPVPSIELRVIDTADGSVLRAVKDVKGVKLMRTRLGLVYVDHEATTKQINSRHRGGRGRSPRSSLAGAPVARPLSMASSTSWIAAGPHMHPGPSGWNHIASFDLESEEWKAMINSPPPIRHAKKEENWEITLAELNGALCMVHTVRARDRCYTNIWRLVDSRRSVWVKECTVQMTQSWSFFKALEMFGDGRILMLNAFEKEEQEEERLSDARSCVLQLYDPSKATLTDVMEMTDEFRGPYIMRTGSMGLGSILLTSPRITGHRHRSVRSSSPAALELLRKAPRPLQHSLCGVGVSDSLPVRNVPHVISPASGVTHSVGDDGSDPPGNPGIRPRDGCPTTGACLGQGGWNAGVEGTPGGGEGLAPPDDDGGEGRAPGKPGKTGLAPGRPGYRRTASSVAATAATTDAAAMASISLATTPPPLTMDGAICPRSS >Et_1B_012327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30957115:30958579:-1 gene:Et_1B_012327 transcript:Et_1B_012327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PSTTSSCTASFARQPVRDLILLSRRWRHLWASSPYVTLSDAGGGHSERFGNLLLLFRDRAAALHALCLESKHGKHVAHQRMWLRYALSHDLRVLKLTLRSCYPFELPESLFNCATLQELDISSVGHREVIAPKSVHLPQLKKLHLSFAKFDPSAVEKLNSGCPTLEDMSLSECCSLGLFKISSDTVKALSITDCEYSEIQVSAPNACSLRLNVSGKVQLDGMPFLVRAWVYFSDATGKHLAGVGYNLLAVLCNVPHLELFRFSRLLQCESIMETSAIEGLLFSKLKSLYVGEWALHDFHQPFAYFLKRAPSLATLTLDQRELNEMETYDEFLVGKKPSNTPMLVSVLNRDLETLRIRVSEDIDDDIQEFNKMRKLLKEKTRPKETICTVVFVLPLLCHDSEVFGKASLK >Et_2A_017213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31995204:31999395:1 gene:Et_2A_017213 transcript:Et_2A_017213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAACDDAVEQLSRLVDKEEEPLKKTFENVHQGYPTETLVRFLKAREFNVNKAHRMVVDSLNWRVQNEIDSILEKPIIPMDLYRSIRETQLVGLSGYSKEGIPVFAVGVGLSTYDKASVNYYVQSHIQINEYRDRIILPMVTKKFGRPISTCIKVLDMTGLKLSALNQMKIVTAISTVDDLNYPEKTETYYIVNAPYIFSACWKVVKPLLQERTRKKVHVLRGCGRDELLKIMDQSSLPHFCREGSGSSKHSSRDPENCFSLEHPFHQEVYRYIEEQALNQELIKQESLHVNIPEQDPEDAKIVEVIQAEFHKLGEQNGCANGNAKE >Et_5B_045778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9602941:9605296:1 gene:Et_5B_045778 transcript:Et_5B_045778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPRSHGPMTPPRRRRQPPSSGSRWLVPPTLPPSSCGRTPPDLFPAEIFEQSTRPETELESVVSYRRVTKLEWAAFDRRVIHGFAAPYSSTTAAAKTSNNKEVRLSVRFARPPLSSYVELYTDDYLHGEPLVVAAHEDLLLLYIVVGFNGAHACHCPGNFLVYKADPGWPWLKRLPTMGEHAKHWLGRGQDTGVYRKGEEFFVACLQSLVGIEGQEVAEMFRYSSATNSWRIFRLKCQRDPENGFCPKSWSTDSVFSFGNFMCWADYHQGVVYSDISAAEPELQFVRFPGIESWFDLEHGRGLPEMNRSMMGGFRAKRTLQRVRKEGIVASSISAYTLTPDLKWVQHCNIKLRELWSLAKYKQSPLPFSIPRFPMIDQQKDGVLHFVLRESFDSTTQWIATMNLNNLSFEYKLYQNAVRKPNAGNAVDNRFGAICMLLAPATLVVETIHL >Et_5A_042434.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:10447684:10449150:1 gene:Et_5A_042434 transcript:Et_5A_042434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNVLLLPEPGSGHLMSLIEAGKRLLGCRGSGDDEQSPITVTVLVPRPATAESAAEVDANVRRVEASGVDGIRFHRLPPVDPPTGCAGLQEFKSRYMELQKPHVMAAARELGAAAIVVDFFATTVVDVARELGVPAYVYFTSTAALLALMLRLPALDEEVAVDFEELELNGGGAVDVPGMPPLPAQCIPGLLARKDSPNYAWFVYHGRRFMDFDGIVVNTVAELEPGLLDAISEGRVVPGRVAPPVYPIGPVIDLGVAKEKEEESDEQWQCVRWLDAQPPASVVFLCFGSLGYFDAAKAREVAEGLERSGHRFLWVLRGPPAPGSLHPTEADLDELLPDGFVERTKDRGLVWPRWVPQKAVLAHAAVGGFVTHCGWNSTLESLWHGVPLAPWPRYAEQHLNAFELVSVAGVAVAMEVDRKRDNHVEAAELERAVRSLMDDGSEEGRKVREKAREMKDACRKAVEEGGSSHAALQRLHDAIRRGAAA >Et_3A_023477.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:384695:384997:-1 gene:Et_3A_023477 transcript:Et_3A_023477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSDRSSISGSISFLKNVESLRLQEASYVDTKTKVLDKEEKKISDEEELDKFILNHLCGEIMEEAMDLGNDPSDLIFPNISKTKKNSKVRGIDNPNKQS >Et_3B_031051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12159831:12160683:-1 gene:Et_3B_031051 transcript:Et_3B_031051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWIALVCLEEAGADYEIVPMSRCGGDHHRLERLARKPFGEIPVLEDGDLTLYHSRKYVLRKYKPELLRDGNLEGSITGSITGPYVGRPRDQAAVDENLAKLKNVLEVYEARLASSKYLAGDGVTAADLCHLGLMRYFMATEYAGVVGATPVSVAHDSVRSRVALAPLPWRWSW >Et_3B_028902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20189706:20191396:1 gene:Et_3B_028902 transcript:Et_3B_028902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLARSDSEKRAPRTPKPPPRRTKSIGPAPPTNNSLAGPPRPPGGPPPPPAGAPLRPSGPPPPGAPLRPPGPPPPGAPPPSRPPGGAGTPPPAPLRNPTKGRAPMGGERMRRAPEIVEFYQSLMKRGEARQTGSRGPKASGGSKAARSDLIGEITKNSPHLVAVQADVETQGDFVRTLAAEVREATFASIDDVVAFVTWLDEELSFLVDEQAVLKHFDWPVKRADALRDAAASYQALLQLEKQIASFVDDRTLHRDAALGKMFSLFEKTEKSVFRFLQERDAADTKINLVSRYKEQDIPLGWLSDTGLIVKIKMACVNLAKQYMMRVVSELDALSGNNTEQSRETALFKRMKEQNREVLLHQGVRFAFRVHQFAGGFTSESLAAFDELKRRHTNGTN >Et_4B_037924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24856883:24860007:-1 gene:Et_4B_037924 transcript:Et_4B_037924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMIIHLLDGVFRVVNNKHLAGAAACLFGVAVVGFLWNRWARRGPGGGRRAGPGVHIGGGEGEGEGEEDHEDGELYHLIRLESLGNDNMHAPVTIKVTEGGANARIKYATSSMQGWGHRMEDTRALMPDLDGLTSFFGVYDGHGGAGISEYCSGRFHTELVNDKDYQHNLTSAVHSVFLRIDGLLQESDEMMQLINPHDNCPFIKAPCNVAKQISGSTACVAVIRGNHIIVGNVGDSRCVISRNGQAIELSTEHIPQNQVERLRIQRAGGQVTRDKFLVLAEGRTVGSWLGTHRINGILSLSRSIGDFAFKQNKNLPPDEQMVTCIPDLHIMEITNDIEFCVIGSHGLWDCMTSQDVVDFVNHHLRLGQTNVRVICERLVGRCLHSMDNGTAILVQFKSEVENPNGNNAEQLMHL >Et_2A_014706.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8158475:8159338:-1 gene:Et_2A_014706 transcript:Et_2A_014706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGTASGTSRRRCTPHSPPSSPAPKHVCGGAGASPSTRTASPSSTSVPALAPSSSPTPSPPLAVDVHVPPNKDGAHSPSRSPSSSAAALETTKHDIMFDNKIFETTVTKYWETVESFIKDVVGEHHEHLVVGLDTEWREIWWMSKAKCHKTAIIQLCVGRRCLFYQVYRAGDVVPVGLKSLLE >Et_1B_013657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11094368:11097121:1 gene:Et_1B_013657 transcript:Et_1B_013657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHEYALCTPDSQVHFEDDKARGEGLQTWKQEQNRDAALSSVSVMYHCYLAVAAGESLKLQGFSEALFWPSSDHHALLFLLPPPPPPRVLLRPRFSPPAPAPPPWWRKSRRTGLRQRGHRGSVSDSMTYMRQRGQAASTMDVASGLSDHCSPWPSPSPSDDRQELGDDSSSDDAAVLSPLCPGGGGGGDRFRFSFRSRPLPPLRPRLISTSLS >Et_1A_008201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5109493:5114452:1 gene:Et_1A_008201 transcript:Et_1A_008201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYGVPRELSEVQKKRALYQPELPPCLQGTTVRVEYGDAAIAADPAGAHVISHAFPHTYGQPIAHFLRKTAVVPDAKVISEHPAVRVGIVFCGRQSPGGHNVVWGLYNAIKAHNQNSKLIGFLGGSDGLLAQKTLEITDEVLSSYKNQGGYDMLGRTKDQIRTTEQVNGAMASCQALKLDALVIIGGVTSNTDAAQLAETFAEAKCPTKVIGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNMCTDALSAEKYYYFIRLMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKDKNHGVVLIPEGLVESIPELYALLQEIHGLHGKGVSIENISSQLSPWASALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAQLVETEMNRRLKEGTYKGKKFNAICHFFGYQARGALPSKFDCDYAYVLGHVCYHIMAAGLNGYMATVTNLKSPVNKWRCGAAPISSMMTVKRWSRGPSSAQIGKPAVHMASVDLKGKAYELLRQNSSSFLLEDIYRNPGPLQFEGSGADSKPISLCVEDQDYMGRIKKLQEYLEKVKSIVKPGCSQDILKAALSSMSSVTETLTIMSSSSAGLTQP >Et_1B_013232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6602585:6604576:1 gene:Et_1B_013232 transcript:Et_1B_013232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSSSSPVITDPVAISPPLLGGMSSNLMPFSVMSGGYSGPSMSVSVSRRQIEEVIVNGLLDAMKSSSPRKKLNLAFDQNNSPDDDPAYSAWMSKCPSALNSFKQIVANAQGRKIAVFLDYDGTLSPIVDDPDKAFMSPAMRAAVRNVAKYFPTAIVSGRSRKKVFDFVKLKELYYAGSHGMDIVTTVSNSEHGTEKCKEDSFFQPAHEFLPMIDEVTKSLLEVTNGIEGATVENNKFCVSVHYRNVAEKDWKTVAELVKKVLEAFPRLKVTNGRMVLEVRPVIDWDKGKAVELLLQSLGFNDPENVIPIYIGDDRTDEDAFKVLRQRNCGYGILVSQVPKETDAFYSLRDPSEVMGFLNSLVRWKKHSLR >Et_3B_029181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22777882:22784916:-1 gene:Et_3B_029181 transcript:Et_3B_029181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRGRGAGACCCGGCALLAAALVVSALLIPASGGLKQSHAPAVARKVLQSVTSWHPQHNLDKALHPAQAQGQRLKSLGPAVRPISVASPPVLAPPIKAYPPSPAIRRQIQIPAASPETAVHRANHGKYHGVPIAAPSKESHHHPMPVNKIHEKTHGAPVVAPPKKRHHHSPAKKTYAKGPAASPSKSPTIHRKRHGIPVAPSPKEHSSHPAPHKTKNASARNHGHSGLHHSPAPAPVPLRPPKGKEQGSPAYAPHQPHQYHSPSYSPGPALPPVQAPDSPAFKKHKPLAPAPSQSLLPPPSNSYCMAISCQDPKTNSPPGTCLCVLPIKVELRFAIELYSFFTLVAELAQDIASGLHMNQSQVRVMGANAAPEDPGKTVVLIDLVPMVEKFDNKTALLVFERFWHKQIILNHMHFGNYDVLYVQYPGLPPSPPVASGSMNNGLSNVNNPGLRPFAADVGNPRQRERKSRGITVIIVLSSVFAFILCAGAALAIYFKLRNRNHLTEASLIPAKPADPGSVVVGSRPISASLSFSSSMVTYKGSAKTFSLAEMERATQGFDESRIIGEGGFGRVYEGILDDGERVAVKILKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEVHNRCLVYELIPNGSVESHLHGSDKGSARLDWDARLKIALGAARALAYLHEDSSPRVIHRDFKSSNILLEYDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWASSLLTSRNGLEAIIDPSLGSSIPFDCIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCNEGSEFNESTRFSQDLHTQDAEVMSRTSLDMDIEPSELFTSSARYDAMDASGSFRRYSSSGPLRAGRPGHSKERGLSTGSSSEHVGLQRYRIDSDLSASRLLPMI >Et_7A_052637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1024081:1028812:-1 gene:Et_7A_052637 transcript:Et_7A_052637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAAALDPVAAAREGAEQEDDEEFYESLDRILSSSCSSTSASDDDADHRRRRRSSRSHHHHPHASVSSAYDVWISEPTSVEERRRLLLQRLGLASEPAQPPSPRRSPRSPSPSASPPPSSPLLPRPAAAEAAASEEPRSAGLGKPPLARNPSAGGGEQCRIRNLDDGTEFEVGEVPEEVVREVGTGRQLTLEEFELCIGRSPIVTELMRRTTTTASSSPADHAAPASKPRRKPGGWLRGIRHLAGTVAYGRRSTEERDAEKEKKERDTRRLSSATDDSLDGSGSRNPGRVRVRQYGKACKELTGLFMTQELAAHSGSVWCINFSLDGRYLATAGEDRVIHVWEVSEGERKGELLREGSVTKENGGGCSPFLAVVGNGSPEIGALPLAGGDGDGAYVEKKRRPRKQTNRKSVGSDHLVVPECVFGFRDKPVCSLRGHAADVLDLSWSKSQYLLSSSMDKTVKLWDITTSTCLKTFSHTDYVTCIQFNPVNDNFFISGSLDEKVRIWNVQDRKIEDWNDLHEMVTAACYSPDAQVALVGTHKGSCHIFDTSENKLQYKSQIELRIRKKKSGQKKITGFQFAPGSSSEVLITSADSRIRVVNGDELVHKFKGFRNTSSQISASVAPNGKYVVCASEDSHVYMWRHENSSHPSRSRSAVDVTNSYEHFHCHDVTVAVTWPGAEARGSFGSRSSRHSDSDGATNSGRDVPVQNNEQNSDVADNSRCDESPAGEGVSKSGSKHPVDGASSPWPDEKLPSAKSSPGHCSSDLCIGAMDVQRRSAWGLVIVTAGRGGEIRVFQNFGFPVQV >Et_10B_003300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18607217:18618036:-1 gene:Et_10B_003300 transcript:Et_10B_003300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKGRASSSGLAASLVPHAQGAAPTFGFGGYHGATRVEPAAPSDPEAPVRLTPVCYRSLFLRPIYHLAIRDVDSEVIQHLKRLGRKDPTTKLKALSALSLLFAQKSGEEVAQIVPQWAFEYKKLLLDYNREVRRSTHEAMSSLVTTVKKGIAPHLKSLMGPWWFSQFDPAPEVAQAARRSFEAAFPQSERRLDALMLCVKETCLYLNENLKLTTQALSDKATPMDELEDMHQRVISSSLLAMATLIDILLGVKLQNCDVDSINTENRNLSKVRSTTLSSVETTFCIHKYFLDFLKSESASVRSATYSLLSSYIKHVPHVFDEETLKILSPTLLGAFHEKDPSCHSSMWDTILVFSTRFPEAWSYCNIHKVVLSRLWHFLRNGCYGSKQVSYPLLVQFLDSIPPKASMGQQFVSDFLNNLWAGRNQRQVSAADSLAFCIAFKQSFLWLLKNLSRYSGGDSSDDILTKLIIDIFARIVWRDYLLLADGTPADVQLSHKKSVVAANTRYPTNYLQDLGKCIIEVLDVIADTENYLLDVSCESLLKDCLDIIQQGEKLSKFEDHVEQLVSFFLSLDILVVRKGKAWPLERLARPLIDQSLSAIKSLDTPGLVKLLSVLVEIFGPTPLLLKDYQKNAEKLDMKPYLEIFNDDLLPWCLNGKYSTSNSKIDLLLSLFQDECFFDQWCSVIKYTRAKQKHCVDDKSSNIMDQFELLSLLLQKVREQVAGGKLKNLHRNGCLPEHWRHDLLDSIAISVFCDLPAADSCANFLRAALGGSVREDRICFLSTETVHSILGFILKNLASVFIASTFDWARFAYGLLLPAEHSPFKVPEKQSSPVNFEMVRFAFGVLKGSLFSLGLLEEDSVFPSVLAALFIIEWECSMALSLGEENDLEGHEDDTDAGALEEEIHLKANLAENISAFCRSLSPSFWKNLHSCTLNRVAYILAQSVSLQSFFDLLLSEGECWPLWLMPSVCNGHPSINVQFEPAITDDIELKHQRFVAFVDNLIIKLGFGEVILGIPGNLHRSMPQSTHITSSISPSSRAWVAGEILCTWTWTGGSALKTFLPSLVEFMKEESCHEVSIMSLLLDTLLEGAIMHESGPWALFNDWHLSGNEIEKIQDRFLRALVALLFTSNTNGCTWREPDALVFFEKLRSHLFVDSSVNRKCLKALPFVMSTMVKTSSEKWKLDKDSSYADLMGKSILGWLDATISCLSSSRMEVPEQDIEDWMQVALSCFPLRITGGGRKLVITVEREISNAEKSLLLTLFQKYQIFYNSAALSLSTSETALSSTIELLGVKLMAVMVGYCWTDLQENDWRFLVRMLFKWIESSVLLVEEMTDGINDAMINHKLSEDALDKLKVVVNTSDELRISLAQSALVTLCQLNHLCKTQEAENSQSLQLIRSGEYAESNDKMVESVLRLFLASGVSESIAKSHSEEASFIISSSHHAYLHFWELVASVINNASPQIKRSALESMELWGLSKGPVSGLYSILFSSQPIFHLQYASFSLLLSEPFCQLSLLKECSLGNNSSPDIDQTTELMSDSEKTLCIRDELSALIEFPTSELLKTDLTDRDRVDVFIAWALLLSHLELAQSSSSCREKILKYIQDKHIPLKTAAPSGKKKDIELIPEAEVAAKASKNAIVTSSLLPYVESVWPIGTWQMASLAGSLYGMMIRLLPSFVRTWFTTLKDRSLSYSIESFTRQWCSPPLLQDEFSQVKDSINADDNFSVSVNRSAYEIVATYKKEETGIDLVIRLPNCYPLRHVDVECTRSLGISEVKCRKWLLSLTSFVRNQNGAIAEAIRTWKSNFDKEFEGVEECPICYSILHTSNHSLPKLACKTCKHKFHGACLYKWFSTSNKSTCPLCQTPF >Et_5B_043405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10027071:10028730:1 gene:Et_5B_043405 transcript:Et_5B_043405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYTYVSELWRKKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDRARRLGYKAKQGYVVYRIRVRRGGRKRPVPKGIVYGKPKHQGVTQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEVILVDVAHKAIRNDPRINWLCNPVHKHRELRGLTSAGKKFRGLRGKGSKHHKNRPSRRATWKRNQTLSLRRYR >Et_3A_022983.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:12466790:12467355:1 gene:Et_3A_022983 transcript:Et_3A_022983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQDINIDFYHDKIQTTVTLSAQEVESFIWKVRGDHSHHLIVGLDMEWRVVQEDGELRHRTALLQLCVDKRCLVFQIHLTAVVPKMLKDFLTCPQCKFVGAGVKATSSG >Et_2A_017564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3651238:3653642:-1 gene:Et_2A_017564 transcript:Et_2A_017564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGPFRRCPSPPSRRTLRRRLRLSASTSAPFPFASYAVVVAAATAAAVWIGSRAPTQQMASEDSKDILKNVDWKTVGGSVTTESSQPIVKKRLPKKIRQVPDCYFLPRRSWPSALGFYGAVCAAGVGAGMLLEVWIKKKIKGPIYLSSLYVLPIDSSFPMARREFEAQARVAD >Et_10B_004252.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:2119329:2119790:1 gene:Et_10B_004252 transcript:Et_10B_004252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGRANAESDVYSFGVVLLEIACGRRPMVPNGKELIHLVRWVWELYGRGAILDAADVRLNGEFDAEEMETVLVVGLWCAHPDRSFRPSIRQAVNVLRSEAPLPNLPLRMPVATFVPPPDSFYYTSSVATGGNSSTGTGTTLSSMTERATLLR >Et_7B_053214.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12335007:12335727:1 gene:Et_7B_053214 transcript:Et_7B_053214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVATSLFAALDKDGDGKVSAAELRGGMAAALGVGEEEAAAIVAAADADGDGLLDRDEFLKLAREVEQAPDEDCRSRCLRVAFGMYADATKAAGEGGEQQYITPESLKRMLGQLGAPQAQLGLEECKAMICRFDLNGDGVLSFDEFRVMMHDGLI >Et_1B_013508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9035376:9038694:-1 gene:Et_1B_013508 transcript:Et_1B_013508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAWARGCGVLGARRRREGRARARAEARLELAARAAKGWSSGERRDARRPRGSRAGHGAGRGREHAPPGARRRVRTTARAHRDAGRGGGGGGGGGSGEGAGQAVGRWWWETDGFTLRTNSKLTEPSAGLDQQHHEPADGLCSCTLCLLLLVVLAYPAAAVTLSTSSRWIVDESGHRVKLSCVNWPSHLEPVVTEGLGRQPVGAISARLAALGFNCVRLTYPTAHGLAESVGGVRANNPGFLDLTLMESLKAVVGSLAESGVMVVLDNHVSRPAWCCGDDDGNAFFGDRDFDPDVWVDGLGNMAMIFADMPNVVGMSLRNELRGPRQNPQDWYTYMQRGAEAVHAANPRALVIMGGLGYGYDLSFLVSRQVGVTFLAENKLVFEVHWYSFSDARAWETGNANEVCGRAAREFLSEFGADFRGGDRKDNRFLPCAAAVAADLDLDWALWALQGSYALRQGVPGMDEVYGVLDWSWSKARSETVLPRIQALQRPLRGQPRRVASITAYCLGIACSVAGLRRGAAVHRAVPPDNGALRGARHGIRGDAGAGALQRDGRVGVGAAGVEAGAQGRRGAPLPAGRGQPARLSATGAAACGDALSTWRLVSDSGMHVAVDTVAAPTGPMDGGNGMLCLDVGADGRSVVTNPCACLRGDGACDPEHQWFKLVASTRSVARKPAIIPSS >Et_1A_006309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20346580:20347496:-1 gene:Et_1A_006309 transcript:Et_1A_006309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YAYLLLSPVVLPTVSSDCEPYLAEIGIGLTGFGVFFSFLGIIFFFDKGLIAMGNILFLSGLGLTIWPKSTLQFFAKPKNYKGTISFGTGFFLVLIGWPFFGMILEAYGFIVLFSGFWQTLVVFLQRIPIIGWIFQQPFVTSFLDRYRGKRVPV >Et_3B_030406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3540458:3542808:-1 gene:Et_3B_030406 transcript:Et_3B_030406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKYYCDYCDKQFQDTPAARKRHLQGAQHQRARAAWYDAIRHQDQHGGAASLLLPDGTLAKGVCHHFVRTGTCKYGGSCRYFHPKPDGVSPALAAPGPGPGAMMQQSNIFGSQSNFVGYQAAEINPFSGNILGGHTSWGNLPPSLQPPPEGGYPPLPFVDWG >Et_1A_008506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8202239:8210512:-1 gene:Et_1A_008506 transcript:Et_1A_008506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSMVYYGNTSIGEVEVWPKGEASAGLAVAAWAREIRVDRLSPPSERCPPLAVMHTVAIGARCLVMESKPPATADVAPPPLVAMHAACLRDNKTAVVALGDEELHLVAMTSRRNLTNHACFWGYKLPFGLYNSCLTMLNLRCLGIVFDLDETLIVANTSRSFEDRIDALQRKLSNETDPQRMNGMLSEIKRYQDDKSILKQYIEGDQVYDDGKVYKAQPEVVPPLSDNHQPMTRPIIRLHEKNIILTRINPQIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLQDRMVCVKSGLKKSLLNVFHDGSCHPGMALVIDDRLKVWDEKDQSRVHVVPAFTPYYAPQAEANCSIPVLCVARNVACNVRGGFFKDFDEGLLPRISNVLYEDEINDIPSAPDVGNYLITEEENAVVVNGNKDSLPFDGMADAEVERRMKEASGNVQALHPTGANFVMPVAPAQNFVSSSVAPLAPPLGAMPPPFNQPVVQPGFSDPLQGSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPTPPLPVIPPVQVPVPPAQPHGNWFPAEEGMNPSNLNRGSTGFSLESDTMLYETKQPPPPFFPGGDNTLSSDRFGYQNQRFPSQLPHTEDQRILQNHATPKYRPFSGEELAAHHVPSSQRDPGRRFAQYAGTSAGILEEIALKCGSKVEYRSILCDTAELQFSIEVWIVGEKVGEGIGRTRREALFQASEISLRNLANKYLSSDPNKMTDMRENGFGSNRNPFGYSGNIRDDLLPVSCTSEESRFMKLEENNSRKTGGPVAALKELCTVEGYNLVFQARPSPPDASVGKESYAQVEVGGQIFGKGVGMTWEEAKLQAADEALGTLRSMLGHKRSGSPRSLAQNFNKRFKPDFSRTVQRIPYGTYSRIEGHVP >Et_3A_023691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1129432:1130557:1 gene:Et_3A_023691 transcript:Et_3A_023691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQVASMLAVVALIVGAMFASVPTAVQSMGVCYGPLGSNLPSSSQVVELCKSVGIQGMRIYGPHKPTLDAMRNSGLGLLLDTGNDKVCELAAGPSSAAAFVRDFVAPYYPAVDIRHIAVGNELDGGAARCIVPAMRNVHAALADAGLAGAIRVSTSVNYYAVVRDAFPAPSRGAFVDPYMADVARFLETTGAPLLANVFPYFAYAGNPGAIGLGFATFRPGAATVTDPGNGLKYRNMFDSMVDGVYAALDKAGAPNVSIVVSGSGWPSAGGFGASLENARDYNQRLINHTHGKDGPQGTPRRPGRMETYVFSMFNEDLKMGDPTARHFGLFYPNETPVYPINFRID >Et_8B_059527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18878126:18883421:1 gene:Et_8B_059527 transcript:Et_8B_059527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAAGPTPPPKHMAHRTRSRDGWSSEARLPRMARQSGPHGAELITKSQRKCLRCLVARPYRWTVDMPSKEAHRSHLFAVYERADPNHQISKIPTWKTLVSIQNAFQIITHFLQENNLPYNYAFHVAFKRPKYRTAVPRAINISVRDLAKASSIQLTTMKSAVIVFLLHLIAFLSLAAACPNVPSMSVDDACRTATGTPLMYGLCRDALRDVAFASSGVDLYALVAAKRALASYGDTARAISDRLSGAAGPTLVGDEKDAYDLCAGAYSLGSGTMEQVADALVGCRFGDALGKLYKDGIAQMETCRDKLLMLTSSPLYDVNLGDRNKAILAYFLGRLLGVQMSMNNTTIIFLAAWLSAASIIATGDACTGVRSMSVDDACAAASTGPVAFDLCKRTLLSSPPNYGEVTTYAIRAAAAAARSCGSAMDAGEEMLRDRSVTGDLRKDCASCMDSLRAARRAIEDVGNQLSRCAFTGLRQGYMNALSAIGACNVDGPISDTILLYGSVVGMTLVHAMLVALTTSFIAGGDACNLVPMLSWSDACLKACNTTELYNLCTEKLQRAPEAAEVTVYALLVARLAKMSYDDTVAQAVRLIAGGTLPGDEREAYQRCVDSYGTARIEIAGVITDMTSCDFARTRREYG >Et_5A_040560.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:9636896:9637423:1 gene:Et_5A_040560 transcript:Et_5A_040560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQHPARSRSPASLSYSPPRPRPLRRRAPRPPCWLNGAREPVARPRLDCRSLRVRSGTLRSLTVFVYLTRQHLPDPEKAGELEHLVVEDAPLLERLLAHDINWGPSDTCRPSVETADARLSGVGIPELQLSSTLFRLAAQFWSVKTLALEMVDPQVKPVADFLRCFPAWSHSMSR >Et_1B_011735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25556510:25560079:1 gene:Et_1B_011735 transcript:Et_1B_011735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVDDKVSYFQAVTGITDPDLCTEILAAHNWDLQVAVSSITANPSSPSTSTSTSSREPAASAPLADAEFVAPPPPPPQQYQTQQQQQPGIAWKLVTLPFYVVSGGVGLITGSVRLGAWVAGGVLSRSLSLLGLAAQGGGSERLLALPPSAAEAADFVAEFERQFGTGCGPRFVAEGFTDALQRAQREYKLLFVYLHSPDHPDTPAFCGSCLCAEPVAAFIDENFVAWGGSIRRTEGFKMSNSLNASRFPFCAVVMASTNQRIVLLQQVEGPKTPEEMITILQRVVEECATSLVAARIEAEERLNNQRLREEQDAAYRAALEADQARERERMEEQQRLEREAAEAERKRKEEEEALARAAQEAAEKEAALARRRQEKAMALGAEPEKGPDVTRVLIRFPTGERKERRFHSSSTITSLYDYVDSLDCLKAEKYSLVSNFPRVAYGPEKHSLTLVEAGLHPQASLFIEIEQ >Et_7B_055872.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6475463:6476407:-1 gene:Et_7B_055872 transcript:Et_7B_055872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTCKLCSRRFASPRALAGHMRAHSIAAAKSQQISSASSASTSVVAGGGDVDDADAKKKPGGQGYVLREKPKRRVRLAESDFSDRESETTTGFFFPSSPGAKLGSGGGDAEPVSSVSDGATPEEDVALSLMMLSRDSWPSPPPLPSYRLDYDDDDDEDAARPIAVAAQKRTRYECPACKKVFRSYQALGGHRASNVRGGKGGCLAPPPPLLGSTPPPSPLLQPLLPAWETKAQPPHECPHCFRVFSSGQALGGHKRSHLCSAGAIAASDATGAVPPVAMKSLGFIDLNLPATFDDVELSAVSDPFLASKPGS >Et_2A_018154.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1542041:1542400:1 gene:Et_2A_018154 transcript:Et_2A_018154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKAGLKQMLRRCSSLGRRQQQQQNGYAAVAEEHEREEEGLPSDVPRGHFAVYVGERRRRFVVPIALLDLPEFRHLLRRAEEEFGFAGAGGILVLPCEEVAFRSLTSGAALACGGAR >Et_7B_054555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:23157493:23161828:1 gene:Et_7B_054555 transcript:Et_7B_054555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLLRRRRNPSGFSPSSTAEEVTAGIDGSGLVAIITGASSGIGTETCRVLALRGVHVVMGVRNPSAGARVREEIVKQVLTAKIEVLELDLSSLSSVRRFVDNFNALNLPLNILINNAGIAFAPFTLSEDGIELQFATNHLGHFLLTDLLLEKIKVTAKENGIEGRVVIVASDSYKHPYGEGIRFDKINDRSGYNFIYAYGQSKLANILHSNELSSRLKEQDEKVIVNSLHPGAVVTNIARHWGFVQGILSTVGKFVVKGVEQGAATVCYLALHPQVAGVTGNYFVDCNAVELKSHANDKELAKRLWDFSVSLIH >Et_2B_019294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21957315:21958019:-1 gene:Et_2B_019294 transcript:Et_2B_019294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAAMLEAGVGRFSRGPALAAALLAEMWAPLAVALAALATLPSLLRRLQVIVLRLRSRGKEVIQSHISTYYSSGDEDEEDEDESSSDEAATGSSSSGDEEEEDERTRRIGYFFDGGADGGGGFPWSNAVVKTWQGLPRRFSGCGFSAAAAGAGFPAVRLWGAGTASGGGDPWWNADEGGCGIAAAEASSSSSAADAVVVGWRRDHAGSSRRRRRALHATK >Et_2A_017708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5355063:5356779:1 gene:Et_2A_017708 transcript:Et_2A_017708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNSDYAASILLCSENSASVLDLEGEESDEISGALGPPSRNAVDCPGTLWLELPLQSEDCIEALLEREEEHRPMEGYPQRLMLQPGGSDLAAVRRHAIDWIWMVRELYKFGPLTGVLSVNYLDRFLSVCDLRQAKAWMTQLLAVACLSLAAKMEETIVGEAKYVFEAETIHRMEILVLNTLGWRMQAVTACSFIDYYLHKFNDGDAVSNIIFARSIDLILSICKVAEFLVFRPSEVAASVALLALGKHENSVLGSIATCCKHIRCKHIRKDRVLKCLEVLLEKNFMGNITPRSIASSPFSVPHSPIGVLDAAACESQQSEDSSAGAQSSVNAENSPARKRRKSGI >Et_2B_021275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28099627:28102071:-1 gene:Et_2B_021275 transcript:Et_2B_021275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSAFLLLLNLIYLMRASARDFLSTGSSLSVEHSTDVLHSPDGTFSCGFYNISPNASTFSIWFSKSSERTIVWSANHLHPVYTWGSRVKLDTDGSMVLKDYNGQIVWTNNVSVSDAMHVQAQLLDTGNFIVKGKSGTILWQSFDSPTDTLLPTQSITATTKLVSTNRISFNSTTGGALDSWGHFLGSDNATFTAADWGPGIMRKLTLDYDGNLRLYSLNKADGRWSVTWMSFPQLCKVRGLCGRNGIFIDPSDRSKGCKRTINISCDAKKVRFAKLPNTDFFGNDMNVHRFVSLDYCKNICLNDCKCKGFAYWEGIGDCYPKAVLHGGVTLSNLGSTGTMYIKIHKGAKVPGSFPQSQPFGSKYGPDCRSTNKYFIADFLDMLKSSQSNSKFLYFYGFLSAVFLAEVLFIVLGWFILYTYRELVTATRKFRHELGRGASGIVYKGVLKDNRTVAVKKLEDINQGDEEFRHELSVIGRINHMNLVRVCGFCSDAPHRILVSEFVENGSLDKILFGTEGSEILLDWKQRFHIALGVARGLAYLHHECLEWVIHCDVKPENILLDENLVPKIADFGLAKLLNRGGSNINVSRIQGTRGYLAPEWLSSLPITAKVDVYSFGVVLLELLHGIRISDMENSEDEEVEMVLGRVVRMLREKLQLDDTEEYWIKDFIDPRLNEQYNKLQGKTMMKLAVSCLEEYRGRRPTMEDVAQTLVSVDEVSSANAMGRFA >Et_10A_000823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17864546:17865072:-1 gene:Et_10A_000823 transcript:Et_10A_000823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNDGQVEQQWGQWPQDGPLDAAPAANIDIDLNEPLQEEEEAMELDPNAHIQEMVIQNKQQVPAAEAQVVEEVLPQEVHNHIVAEPTLAEPGEAFIELNDFIADIIHDEQQNSEVISGDAPEVNQLVNILEHAEPDGEEVLAALEVALHEPAPFIAADI >Et_4B_037450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:192974:195451:-1 gene:Et_4B_037450 transcript:Et_4B_037450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDDGLRCDNHSRLGAGPEISQSSRCTNEVIEGRNRRYHQLKCSESNSGELCLDRIPNFHCKSLPTRSRMTNAEQTIVGKRGSMYQSSSEISRIRKIQEGRRKIDSAFDGDAFLSFDIVDSASQPSTSGAYLHSHQNRWSGAKASAENARKVNRASRDFLDLSFRELPDENFKLDRPRLDCTLLKNDGDDGFLEISLEEELTKGPCRNAASRLLDIESGKGTEKNYQRKTNDNNCGGDRERDSASSSKSMPAKISNFDGTRRSENVHHGIENNTKARSSPFKKILDPIMKSKSVRSPSLMEKGDSNCITAPVSRKNSMSRKSLLSDFSRTEQASCHPNGEIQHITSALSPAHLQAVLKLDSRNGVQVFEFCVEGPEESISARSWKTGNELNSIYTFHSGRKRLSAAGRISKDGGWCSTPIVGQVQVSSYLCSEVGKDGTMNNSVVTEFVSYDIAHARRALEEKTQCTETSQPPVCGVVDKSISGESPQTINPLDHQKNARNNSDVSTSCPWSEEDLYPHLEIAATVIQVPFNKTKSKEMKNGSSPCTVKVVTPSGLHGLPSGNEASPSPLLDRWRYGGGCDCGGWDMACPIVVLGNAYDNNWAESITLNAKHPMELFVQGSKEALPALSMKANGKGQFLVDFHGRLSALQAFSVCISLLHCSEALIAISLEKGKHKLYSSSLKMLLEEDVRHLIEAVTAEEKKKPKKRREKAPPSVVLDPPFSPIGR >Et_10A_000493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11349087:11351397:1 gene:Et_10A_000493 transcript:Et_10A_000493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPPPPAASGWACLPCDILLDVFLRVGHRDVLQSAGLVCAAWWRVARNEPSLWRLIDLTLPDDAADLCDSYLPYWLFDEDASTGWMGMARAAVRRSAGQCEAFCGRGDDDLLLYLPDRAQSLKSIRITSHHDVSSNVFVTLVKRLPLLEELELVLNLEYCEGIHRCNEQPISCWAELLLASCETCSHLKWFTVRRAGSNYTTIGPYYRRVSNYLEAFKCPTMHGLVSLQLFGNSFTEDVLLSIVDACPNLQSLDVSEVKLDRWDQRLRVKCSKIKNVRLPFPSFYIEESDEEGDEESNDNYSDDFDFGGVFEADFDDGSVHYYWSSGFDSDE >Et_10A_000695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15732542:15737889:-1 gene:Et_10A_000695 transcript:Et_10A_000695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YKSRPHGLGDSTSRALHCKRIARTRVAFVAAMKQRILIVSNRLPASAKRHGEDQWSLNISAGGLVSALLGVKDVDAKWIGWAGLHVPDEVGQRSLTEALAEKGCIPVFLDEDTMNLYYNGYCNSVIWPLIHYLGLPREDRLATTTNTGLQYDAYKRTNQMFADVVLQHYQEGDVVWVHDFHLMLLPKYLKDHDINMMVGWFLHSPFPSSEVYRTLPTRLELLRSVLCSDLVGFHTYDFARHFVSTCTRMLGLEGTPEGVEDQGRLTRVTALPIGMDSDRFRQALKLPEVKRQISELTQRFAGRKVILGVDRLDIIKGIPQKILAFEKFLEENPNWNDKVVLLQIAVPTRTEVPEYQKLKSQVHEIVGRINGRFGTLTAVPIHYLDQSVDFHALCALYAVSDVLLVTSLRDGMNLVSYEYVSCQESNKGVLILSEFAGAAQSLGAGAILVNPWNITEVAGSIRHALEMASDEREKRHSQNYAYVTTHSAQAWAETFVCELTETVTEAQKRTRKIPPSLPTQTAIQQYLRSKNRLLILGFNSTLTEAVESSGRRGGDQIKEMELKLHPDLKGPLKALCDDEHTTVIVFSGSDRGVLDDNFGDFNLWLAAEHGMFLRPTHGQWMTTMPEHLNMDWAESVKHVFEYFTERTPRSYFEHRETSFVWNYKYADVEFGRLQARDLLQHLWAGPISNAAVDVVQGSRSVEVRPVGVTKGAAIDRILGEIVHSENMAKPIDYVLCIGHFLGKDEDIYAFLDPEYPSEPQVKPEAGSADRRPNGRPSNGRTNSRNSQPGAHDSREGSSVLDLKGENYFSCTVGRKPSNARFLLLSSEEVVSVLKELATAEYDRQQ >Et_9A_063267.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:18073418:18076033:-1 gene:Et_9A_063267 transcript:Et_9A_063267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRASLALRGRSTATLAPNHARKHLAALACSVSSEDGVYPIYGSGVRQPFDEIPGRNTAAGSNRALFDYARRRLVRQAMDHLLDLHSRRGGRVGAAVLSCGLKVCGSVPDRVLGEQLHCLCVRCGHDRGDVSVGTSLVDLYMKCCDAEDARKVFEGMPERNVVTWTSLLNGYVQDGAHSDVMALFFRMRAEGICPNQYTFVSVLSAVASRGAGDLGQRVHAQSVKFGCRLSVFVCNSLMNMYAKCGRVEEAKAVFSGMKKKDMVSWNTLMGGLVLNGRELEALQLFHDSRSSIARLTQSTYSTVIKLCANLKQLGLVWQLHSCILKQDLHSDGNVMTALMDAYSKCGELGKALDIFLLMPGSKNVVSWTAMINGCIQNGDITLASVLFNKMREDGIAPNEFTYSTMLTASVASLPPQIHALVIKTNYQCSPTVGTALLASHSRLYSTKEALSIFNMINEKDVVAWSAMLTCYAQSGDSDGATNLFVNMVIQGLKPNEFTISSAIDACASPSAGIDLGRQFHAISIKYRYQDAICVSSALVSMYARKGSIESAQSVFERQTDRDLVSWNSMISGYAQHGYSKRALDTFRQMEAEGIEMDGVTFLSVIIGCTHAGLVEEGQRYFDTMVRDHKISPSMEHYACLVDLYSRAGKLDETMSLIGSMPFPAGPMVWRTLLGACRVHKNVELGKLAAEKLLSLEPLDSATYVLLSNIYSAAGKWKERDAMRKLMDTRKVKKEAGCSWIQIQNKVHSFIASDKSHPLSDQIYAKLKEMTARLKQEGYCPDTSFVLHDMAEEQKEAMISLHSERLALALGLIATPPGTPLQIVKNLRVCGDCHTVIKMVSKIEGREIIMRDCSRFHHFNSGICSCGDFW >Et_3A_025864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33471524:33475223:1 gene:Et_3A_025864 transcript:Et_3A_025864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRHGIPSLSPLSLYPSGIVYPTPSLRWSIDSLLVAMMGKARHCVAFGPEVVLCQQQLDYESDPPLDDAQTLQKESTLNVAVSQLASDFDRESNLWLEKFSRTRRASVISTGSLKLDLALGIGGLPKGRMVEIFGKEASGKTTLALHVVKEAQKNGGCCAYIDAENAFNPAFAEAIGVDTERLLIAQPDSAENSLSIVNTLVGGSIDVVVVDSVAALIPKCEIEGEIYKNSEDIQSRLMTRALRKIHYTLSRSETLIIFVNQVRTKKSSNQSPAIYKEVACGGNALGFYAAVRLRTSRRQLRYSEDQATGIGISVQIIKNKLVPAILKEAGIDIRFGKGICRESEILEMASSIGVIVKDGCGYWINNEFLADNAAAEKFLHENATVADEICSTIRSQFLGR >Et_1B_009854.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:33377722:33380670:1 gene:Et_1B_009854 transcript:Et_1B_009854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMGSEEWELYPSSYIGAQVIDYRPICEDSDDDWNGDVAVSLDAVLPDDLLEKVLSFLPVVSIIRSGSVCKRWHEIVHAQRHTWSKMVPQKPWYFMFTCSEEAVSGFAYDPSLRKWYEFDFPCIERSNWSTSSSAGLVCLMDSEDRGRIMVCNPITKDWKRLLDAPGGKTADYSALAFSVDRSSHRYTVVVARSNQVPSEYYQWEFAIHLYESITGSWVTPFTGVLLGWRGGDECVICDGVLYYLVYSTGVVVNNNQHRHCLVMYDLSARPNHTSLMSMAIPVPCALTCGRLMNLSERLVLVGGIGKQDRPGIIKGIGIWELRNKEWHEVAKMPHKFFQGFGEFDEVFASCGADDIIYIQSYGSPALLTFEVKQKLWKWSAKSPVTKRFPLQLFTGFSFEPRLDIAS >Et_10A_001305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23126718:23127962:-1 gene:Et_10A_001305 transcript:Et_10A_001305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLAYVLAEDNAYLLYEHVCKGTVFDFLHGVKSDVLDWPSRYSIALGVAQGLTFLHGCTQPVLLLDLSTRTIHLKSAKEPQIGDIELYKIIDPSKSTGSLSTIAGTVGYIPPEYAYTMRLTMAGNVYSFGVILLELLTGKPSISDGMELAKWALSLSGRPDQREQIIDTRVSRTSIAVHSQMLSVLNIALSCVAFSPDARPKMRNVLRMLFNAK >Et_1A_009091.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28908583:28910265:1 gene:Et_1A_009091 transcript:Et_1A_009091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSCPAAVGDATAEELLERARGLVPAALAAARSATGFVGRWKAIAARLERVPPCLSDLSSHPCFSKNSLCRELLQSVAATLAEAAELGERCREPPKAGKLQMQSDLDALAGKLDLNLRDCSLLVKTGVLSDATVPAAAPAEAGAAAAAQQTDVRELLARLQIGHAEAKHRAVDGLLDALHEDEKSVLSALGRGNVAALVQLLTATAPKVREKAATVICLLAESGSCESLLMSEGALPPLIRLAESGSLVGREKAVITLQRLSMSPDIARAIVGHSGVRPLIDVCQTGDSISQSAAAGALKNLSAVPEVRQALAEEGVVRVMINLLDSGVVLGAKEYAAECLQNLTSSNDNLRRAVVAEGGLRSLLAYIDGPLPQESPVAALRNLVTAVSPDSLVSLCVLPRLVHVLRDGSVGAQQAAASAICKVSSSMDMKRLVGEHGCIPLLVRLLEAKSSAAREAAAQAVASLMSYPPNARDIKKDEKSVPNLVQLLDPSPHNTAKKYAISCLLALSASKRCKKLMISHGAIGYLKKLTEKDVAGAKKLLEKLERGKLRNLFSTRK >Et_6B_048679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12534999:12538769:-1 gene:Et_6B_048679 transcript:Et_6B_048679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGATKPDCYRHQVLGLPRGRLDAVSGIRRGAALFLYDFDIKHLHGPYRAVSDGGLDLVPYAFHGRFPAQVKFKIEGEFIPIHESILRTAIKENYLKGKFSPELNSTQVEKLRGLFEPIAVVPESAPPHYVDDRPPAPAYLPPDFHLAQPAAYAHHPTTYVPPSSAHLVPPESYAAPCSYLAPPVAQPITQAYSVTTTGYGYQAGYEAYGPSPSTYHYSQLHPSCSLYAQYSMSERVSAPVYSTGPYYAAYQNDPYQVGNVTSHYQQSTYERAAYGAGDGTVVTNLQLVRHYGSNPSSLTTASEAAATNLPLTRRYGFTPSDATVGTAHTSEVAEYQQAVIYATHAAGSSAHGATSLDYAYAAPATSQVVSPVISLPPQSVYAVSAANQMTLGILVVHGYVGYDVKGSAALMTG >Et_1A_005912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15441419:15446217:-1 gene:Et_1A_005912 transcript:Et_1A_005912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDTLVAMPLAPHHHHHVHHARLDALPQHLAPAPPKTPEPAPEQTVADKEEERDPPAERVVGSPPPADVPRPVTPPVVTAAAAGEEADAYYARKMLQGVVLRPPPHLPQPEAPPGLTRAHSTPAEEEEEEDAREQRPVERSASANSAAVVDVASIGRFFRDRRDVLSSAITRRISSLKESSPADTHGGVQEIHLPNVKVTVRLKDAIAADAAAEDDDDDSFLAADEGYSFSGGHIKGRVSFFSRSGCRDCAAVRAFFRQSGLPYVEINLDVFPEREAELSSRAGSAAARVPQIFLNEKLLGGLVVLNSLRNSGEFDRRVRDLAGRRCPDSAPRVPVYGFDDEAGREDEDAMVGVVRVLRHRLPIQDRFVRVKLVKNCFSGADMVDGIVNHLGCSRAKAVEIGKELARKHFIHHVFRENDFEDGSQNLYRFLEHDPAVPKYYNFRGSTNDGEPKPAAEVGQRMTKIMLAILEAYASEDRRHLDYARIAASEEFRRYANLVQELQRVDMSLLPSEERLPFFLNLHNAMAIHAVIRVGQPGAVDQRPFYSDFQYVVGGHPYSLAVIRNGILRANRRQPYTLAKPFGSKDRRIELAQRRANPLVHFALCDATRSSPIVRFYSTQGVEPELRHAAREFFLHGGVEIDLESRTVHLTRIIKWYSADFGQDRDILRWVLNYLDPTKAGLLTHLLNDGGPITISYMNYDWSLNV >Et_5A_041580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24645756:24650938:-1 gene:Et_5A_041580 transcript:Et_5A_041580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPGGSGHHRGQLTGVHALGLIAMIVERVEKARRNKHECRALAQDAEQIKRLLEQAQLRPNPAVDEVVEKLEATLREACELVASCEASSCFRRFFRCNKVAEQFGRVKQNIPFYIMLFPVLIHIDTTKRFNIVLDRLQPFSETQVGLSLHLHASMKLERISLSLSLSLSLSLSLLICVPSSSRTTVRVQRCEASGIGSNPGCVKISCKMPWLGCSRHQVLMDYAQLFQPVLYFLSSLRTGAGLSSFDFSQLVNATNNFLWENKIGEGGSSKVYRGLLQERLVAIKRCFEESCPERSSDFQNEIRFIPKLQHRNIVKLLGSCTEGKERLLIIEGIAQGLVYLHLHSGLNIIHRDLKPSNILLDSEMNPKIADFGTAKEGHLDKGRRADVVAGTYGYMAPEYSSKGIFSAKSDVFSFGSLLLEILSGKRNGTCYSSGRMMSLSMAPDVQGQGGCTIEADPSIAVPRTKASGGSHLEKDPADRPSMRDVVLMLNGGDSMLCQPLPTPDRPARRYGDGKMMRSLAELMRDDDDRERCDKTMVAAHER >Et_7A_050326.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:26225189:26225584:1 gene:Et_7A_050326 transcript:Et_7A_050326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNNNVVASEVNTAVAKLNDHLTDGSTTTIITLAGENNGATMETAGDVEDLVVVGKPDEQEHEDDEDQEEEDEEESVVSAYTNSNYQAVNNSVLVAGSCAVNDPGVHVIVVEHVDEIRDYDEDMDGQEF >Et_6B_048258.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:250830:251156:1 gene:Et_6B_048258 transcript:Et_6B_048258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAWRQATAPASRGTPGRWRSPAATARRSTRGSSDRTRARAGARRRPRTRRGRWRTGRRRRGHHGQPARTSGTAHRWRRRQGPAQRRWLRAGPRRRRRGAWRRARRRPW >Et_7B_054299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19584186:19585514:1 gene:Et_7B_054299 transcript:Et_7B_054299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVVSSKTVKPAYGHVGLARRGGTNGETVPLTVLDKANFDAYISIIYAFRPPMPPNSALEAGLAQVLAVYPEWAGRLGVDADGNRAIRLNDEGARFVEARADDATLDSVLPLKPTAGVWSLHPSGDGAEELMLIQATRGAAINPAPVHDRRSLFKPRDPPQVQFEHRGAEFKASQRVLAGGGRDDDDEEVVMHKVHFTREKVLKLKSLASPPGGGQRPCSTTRCVVAHLWRCMTVARGLRGSVATTARIAVDGRARMTPPVPNGYTGNVVLWARPAATAQELVMNPLHHAVELIDRELARLDDGYFRSFIDFASSGAVERERLVPTADVEETVLSPNIEVDSWLGIPFCDVDFGGGRPLFFMPSYLMDEGVLILAPSDLGDGSVDAYVTLFAKHMDAFKYCCYSLD >Et_5A_041273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20511762:20516708:1 gene:Et_5A_041273 transcript:Et_5A_041273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQQQQGQERKASSCCSDDMADLELALALPPGAVQRQDSLYRDATRAAGLAAGAHHAGGGRHDSWARTLRLAFQCVGVLYGDIGTSPLYVYPSTFTGGIGHVDDLLGVLSLVIYSFLLFTTVKYVYIALRANDDGDGGTFALYSLISRHAKVSLVPNHQAEDELRNVNGAGDGEDDDVMSAKASLRGSQRRRTVQLASAREQRAQWVKDLLETSKPVRVALFLLTIVASAMVISDACLTPAISVLSAVGGLKEKAPNLTTDQIVWLTVGILVVLFSVQRFGTDKVGYLFAPVILLWLLLIGGVGVYNLIKHDVTVLRAFNPKYIVDYFRRNGRDGWVSLGGVLLCFTGTEALFADLGYFSVRSIQLSFGFGLVPAVLLAYIGQAAFLRRYPDLVANAFYESTPEKMFWPTFVLALAASVIGSQAMISCAFATISHSQALGCFPRVKILHTSRVYQGQLYVPEVNFLLCLAACVVTLAFKTTAVIAEAHGICVVLVMLITTVLMTLVMLLVWRANAACVALFFVVFASAESVYLSSVLYRFAHGGYIPVAMAAALVAVMALWHYVHVARYEHELERTVSHDAVRELLARRDVARVPGVGLFYTELVQGIPPVFPHLVEKIPSVHAVLLFVSVKHLPVPHVDAAERFLFRQVVAAGDGNGSRVFRCVARYGYRDPLEEAKDFAAGLVERLQYYVRDVGLYGVQAAGGGKVSYPSSRCDSSRIMAAMARARSVTGSTGMMSSMRHSASYTETLARARSITGGGAIGIALSACASERERELQQLARARSTGVFAEEMLTPAESFSELARMGSVRTTTATAAIKISMEEVARIEEEQRFIEREMEKGVVYIMGEAEVVARPHSSLLKKVLVNYAYAFLRKNCRQGEKMLAVPKAQLLKVGMSYEI >Et_7A_050782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1146263:1149258:1 gene:Et_7A_050782 transcript:Et_7A_050782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGAWAVAASSAHLSATVRSAMRALADLFPRARRPRSITTTTAASSSAHTLHDYDRLLAVLARDGDGDGALRVLRRMRLSSPACAPTAVSYTSAMSALIKAGRPADAASLFDDMLAHGVDPDRRAFSHLLHIYSSHLHLPAAAHSVLLWMTRQGLRPTPIDYFDLVFSFCCAGRVADALQLLDEMRALNYPLTPHIFAPILKVFCDNADMQGADAVISSMCCSGCHPDVVIYNIYIHGLCKLGDFDAVERIIDESVRNWWVPDAVTYSTYIAGLCRFGYLEEAFRQLEIMIAKGLQLTDGLNILLDHVAQDLDMWAGKEMLEWCQELGFVVDVVTYNTAMNHFSKKGKWLRALKLFTDLLKKPITPDVQTYNILISCLCRAGKFQFAKFLFSCKGFVADTVTCNILIHEFYQAGKQDELGFLFLDINAGKIVPDTVTYNTLIDCLFRSGRRAEANDFVKHIDGGYPSEHVARLTYWLVRSGNTREALRLFDDIQIKGMVLDSRIFANVIKAFCRKGPAECSEMSQLCSILQDHHRFAFRFCKIIIAF >Et_8A_058396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6565857:6566653:1 gene:Et_8A_058396 transcript:Et_8A_058396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKYSKPAGVYVECLRNHAASAGGLVLDGCGEFAPAADTASLLRCAACGCHRSFHRRAVVAEGPPSPPPRLALLPPPPAPHHHLVRDVLPGEVMKQEDRAPAVGADGDDDWGEETDEGSDYDDYDNRPMSPLPAPPPPPPGCFGIASASQMLLYAMSKGAAPMREFAAVVATARKRARTTFSPEQKARMRALSERIGWRLQKRDEAAVEGCCREIGVTRGVFKAWMHNNKHNFVAGGHTARRAAASASPVPAAAAASVQCS >Et_1B_013145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5953375:5958361:1 gene:Et_1B_013145 transcript:Et_1B_013145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGTPPAQPPQHDAAASGEDASKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKIEPNYALKTESSSIYRNSGMNATVSSWAHNSVRPIVGSPMVKGAGTLGPNNFCSSSTEGPPRAWQPGETNDQINQVPSLRLMPDFTQVYSFLGSIFDPSTSGHLQKLKEMNPIDVETALLLMRNLSINLTSPDFEDQRKLLSLYSTSDGLELGSSRSSALAMNAPFIFIELENIPAAQVLHVQIPRDTTPSPPRLASLIREHRRL >Et_2B_022232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14679781:14681630:1 gene:Et_2B_022232 transcript:Et_2B_022232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSTFFLLFWTVFTWTVRIKRDDVGDEPAAGPYAKIDPDYHTLEEVKRMKESQMLNAGGVYAGQVREALQVAGLESSNLIIGVDFTRSNEWTGRHCFNGRSLHHVGDSPNPYEQAIDIIGNTLSAFDEDSLIPCFGFGDTSTHDRDVFSFYRDRRPCNGVSEALQRYREIAPLVRLSGPTSLAPIIETATRIVENSGHQYHILLIIADGQVPTNAHSEETRSENYLEERTLQALIHASNFPLSIVLVGVGDGPWDDLINCHDNRRRFDNFQFVDFTKIMSKETSQAEKEEQFALEALMKIPTQFSAVISKRISDLAERAPPGRPLPPPC >Et_1A_004651.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2756130:2756579:-1 gene:Et_1A_004651 transcript:Et_1A_004651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCAMRRGMGGSELNGCLPVRRTSAGWRRTHASAVASSRGSWTGASTSRRGWYSALQSGGMLSSSSESESGPARRLSGLNRSKPLLDGALLGHGWGVCRCRSTEAAIVAGTQQEMLSWLAIMVVRARGLKFRMASVLGRRGGGGAGFI >Et_1A_007029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30238518:30241805:1 gene:Et_1A_007029 transcript:Et_1A_007029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQHREEDALKRPSSQKPKGNMGQDPGDEVLAAEGVFHFKQEPIKARFCHHDKEIEQAYDDLLNSSKHTLGSLLELQEAMLESNQAAKGADEMPSASKGEDDEWSEVQNLQTRITKFRNTEVDKWQRKIQVTTGAAALKGKLHAFNQDISDQVAGYMRDPSRMINRMYLTKSTVGVFGEGHTMGGDPELIDDFEFYQQLLKEFLESCDRGASESAFYALKKQQLKKRKLVDRRASKSRKIRYHVHEKITNFMAPVPMALPPMAPKLFENLFGTSN >Et_6A_046617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18245806:18253887:-1 gene:Et_6A_046617 transcript:Et_6A_046617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKDEHLDALRALMAAHSPPLHAVVVPSEDAHQSEYVSERDKRREFISGFTGSAGLALITMKEALLWTDGRYFLQATQQLSARWKLMRMGEDPPVELWIAENLPDEAVIGINPWCVSVDAAQRYEQAFSKKRQTLFQLSSDLVDEVWKDRPPVKPLPVIVHPVDFAGQSVSEKIKELREKLLNEKASAIILTALDEVAWLYNIRGSDVDYSPVVHSYAIVTLHGAFFYVDKRKVTAEVETYMAENGIDIKEYDTVQSDASLLASGKLKGSAVGGNPDGENNINGTESSKIWIDSSSCCLAFHSKLSSRQVLTQQSPIALPKAVKNPTELEGLRKAHIRDGAAVVQYLAWLDNQMQENYGASGYFSEAKASHKKENLETKLTEVTVSDKLEGFRATKENFRGLSFPTISSVGPNAAIIHYKPEANTCSELDADKIYLCDSGAQYLDGTTDITRTVHFGKPTEHEKSCYTAVLKGHIALDVAVFPNGTTGHSLDILSRTPLWKDGLDYRHGTGHGIGSYLNVHEGPHLISFRPSARNVPLQASMTVTDEPGYYEDGSFGIRLENVLICKQANAKFNFGDKGYLAFEHITWAPYQAKLIDTKLLTPGEIEWVNTYHADCRKILEPHLNDQENEWLRKATEPITVNS >Et_7A_051634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21811448:21812544:1 gene:Et_7A_051634 transcript:Et_7A_051634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRQLRVLAIDDDKDHVEYMRFILHQFNFHVRVYTSPQSALDFLKDHAEDVDFLLVAVNMEEMSGFQFLDIAAKMHINIQVICKLLWKGYYVMSAESTWDIFTRAVLHGARFIVKKPLVESAIPDMWQYLDLSDRIERIQHLCGGPTEGQSSAESGVTDSSGRHNAAEVESMNNSTSEMEASTGSNTAAQSIVNYPDTGNDGTLESGCEGDEPSKASTAPGN >Et_5B_044612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4007741:4009180:1 gene:Et_5B_044612 transcript:Et_5B_044612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGVRKHPPAAPTGEDPRDARVVRKLLRSVGLKEGEYDPLVVHQFVEVARRYAGDVLVDARAYADHAGRASLEADDVRLAIRAKAASSPGPPRREVMLDLARSRNTIRLPKSSAPPGSIPLPPLQDTMLSQNYLFAPLMKPPPDQVEETEEDDEGQNPNSSTEQEHSGRASEKQKQQQQPSQRTISSRLNLMAAAAAKRRRMNS >Et_1B_011453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22560313:22561675:1 gene:Et_1B_011453 transcript:Et_1B_011453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFQRALTEPMCLEETAAVQQGIERCPFLRNINEPTSFSFSSTNFPVPVRGAKGPIFEDGPNFDTAFRVFHGRDGVVPLSEGSLAKIEKPLPKPNPEFNPLAAKAATISLSAFGGFFSFGDFSNKRNKKNSNKKNPNNLPQNKGQSNNHEALSNEWLENGQCPLAKSYRALSGIVPLVAKMMKPPAGMQLKCPPAVVAARAALSRTAFAKGLRPQPLPTKILVIALLGMAANVPLGIWREHTQKFSVQWFAAVHAAVPFIGMLRKSVLMPKTAMALTIAASILGQTIGSRAERIRLKRVAAARSAAEDHVDTADCIKTPMSLKTGNYNVVQFWDPLGLKVKSTIATGSSPVLVPAVGAFN >Et_2A_016438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24822581:24826138:1 gene:Et_2A_016438 transcript:Et_2A_016438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQADLAMDARGWDEAAYRRGILRERDLSRRTLFRAVFFDHGEDADPDVLLAAASSDGSLASFSLSSCIASAAATPAQPDAVSLVDPVCIVQAHSGPAYDVRFYPDPQQPLLFSCGDDGRIRGWRWHEMQSCLVPLSLQGDHLEPALDLVNPQHEGPWGARSPIPENNAIAINKQEGSIFSAAGDACAYCWDVESGKCKMTFKGHSDYLHSVAVREANRQVVTGSEDGTARIWDCRSGKCTQVIHPVKNKAFESSWVSSVAIDASESWLACGTSSGLSVWSLLSNECIFNLDCHAPVQDLLFDKNQILAVGAEPVLSRITINGTVLSQIKCAPLSVFSVSMHSSGMAAVAGYGGLVDVISEFGSHLCTFGSRGLDKYP >Et_2A_017214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32013709:32017212:1 gene:Et_2A_017214 transcript:Et_2A_017214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EQRRPRGPDAVHSITAVANGEQPSPASAAVLGLSFRTKKQRSEAGARRRRRDRRRRQPRDERSVSCTTFNILAPIYKRLDSENCRESQYRAYWFSRNEKIIDRLLADRSSIICLQEVWLGNDELVDMYEKRLGDANYSLFKLARTNNRGDGLLTAVHKSYFHVLNYRELLFNDFGDRVAQLLHVESAKPFLQSRGNSCVQQQSLIVNTHLLFPHDHSISIVRLKQVYKILQCIEAYQEEHKLGPMPIILCGDWNGSKRGQVYKFLRSQGFVSSYDTAHQYSDSEEDAHKWVSHRNHRGNICGVDFIWLLNPNKCRKPLKTSWNEAVFGIIKYLLLKVAFLSAENAFALLKADSPDDHITYSSFYQALCQLGMVHPDRLNAEEIKDLWSEVDRDGDGVIDYNDFQRCIWSPNCCSQEEDDAEIDISDGSLETLEANDEAFGFTVKEAVLFPPEVEKGMWPENYSLSDHAPLTVVFSPVTMPCSPRTPGTL >Et_7B_053611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10306527:10307423:1 gene:Et_7B_053611 transcript:Et_7B_053611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTATTTTARMDDHDEYAKLVRRMNPPRVVIDNEASTDATVIRVDSVNSHGTLLAVVQVIADLNLVIRKAYFSSDGNWFMDVFNVTDRDGNKVLDEPTISYIQTVIDSFLQ >Et_3A_026697.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:1533642:1535174:-1 gene:Et_3A_026697 transcript:Et_3A_026697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKGASNGREAKKPALLLGRYEVGKLLGQGNFAKVYHARNVRTGQEVAIKVMEKEKIFRSGLTAHIKREIAVLRRVRHPHIVQLYEVMATKLRIYFVMEYVRGGELFARVAQGRLREDDARRYFQQLVSAVAFCHARGVYHRDIKPENLLVDDAGDLKVSDFGLSAVAEQMRHDGLFHTFCGTPAYVAPEVLSRKGYDAAKADLWSCGVVLYVLMAGYLPFQDRNLVGMYRKIHRGEFRCPKWFSKELTLLLRRVLDTNPQRRATAEDIMENEWFKIGFRRFSFRIEDDRSITCFDLDGDVDDASSTCSPPDPDTPRQDSLNKKPRNKLTSCASSPSLLNLEAGPNGLSRRRSSLNAFDIISFSRGFDLSGLFDHVEDSGGREKQQEQPRHHPATAARFVSAAPVEQILAALEGAAAAAGMAVREMDDGSISIEGTREGEHGALAVAAEIYELTPELLVVEVRRKSGGAAEYDDFFRAQLTPGLRDLVSDVGSSPRLASTDELSRSLL >Et_2A_018155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1555520:1560013:1 gene:Et_2A_018155 transcript:Et_2A_018155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNGAHPATADAADGEAASVPRSLAPARKVALITGITGQDGSYLTELLLSKGYEVHGLIRRSSNFNTQRLDHIYHDPHAVPSTPRPPMRLHYADLSDSSSLRRALDSILPDEVYNLAAQSHVAVSFEIPDYTADVTATGALRLLEAVRLSRKPIRYYQAGSSEMFGSTPPPQSEDTPFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGVLFNHESPRRGENFVTRKITRAVGRIKVGLQTKVFLGNLTAARDWGFAGDYVEAMWLMLQQDQPGDYVVATEESHTVEEFLQAAFGYAGLDWKDHVVIDKKYFRPAEVDSLKGDSSKARRELKWKPKVGFQQLVEMMVDHDIELAKKEKVLVDAGYHAKRALTAEIEVDAIVMSVAFEV >Et_1A_007697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37378523:37381773:1 gene:Et_1A_007697 transcript:Et_1A_007697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHHYSTSQMLRCHGLDPALFNLTSLRSLSLAGTDFRGASLPPVGFELLTEMVHLDISGYMNLQGQLPIGMARLTKLGTLDFSYGYDAMLSTLFLEESLETLIANMSSLRKLLLDGLDISTSGDTWSNALANSTPRLRILSLSNCDGGNSLFSTLPNITMLELASCNLRKIPVSLRYLDKIQILDLSRNHIPGVIPSSVSKNWKGNLLYLNLSHNLLTGLENLPSLGPMVYLKALDLSNNRLEGKIPIPHLDITSETVLDYSNNQFSSILPNFGKFIRGTTLLDLSNNKLKGPIPTSVCSAHELQILDLSYNEFSGSIPPCLTQSNLRVLKLRGNQVKGEIPDNIAKGCGLETVDLSSNHIEGKLPGSLSNCRDLGLLDVSSNQIADLFPSWLRVLPQLRVIVLRANRFFGEIMDVRENDQSMNHFSSLQILDLASNNFSGNIPQGWFNELTSMMVNDNEQGLVIGQDTDSTEGFYQDTITVTFKGSNIIFTKILTTFRVVDFSANSFSGTIPESVGMLLSLHGLNMSHNNFSGQIPSQLGNLSELESLDLSWNHLWGEIPPEFIHLTSLAWLNLSYNNLSGRIPQGNQFLSFTNGSFEGNAGLWGSQISKQYHPESNLWQERLEDILLLTFVGSGFGVGFALVIMFQHFYRVDGWTCTRR >Et_3B_031075.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:14004744:14006333:1 gene:Et_3B_031075 transcript:Et_3B_031075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHHRASLLVHHSQQRKPAEADPGDVEAGASGGRMKELRGRLADYACQHRKHGHDALLRMLAGFALVSCLLLLLPGSPFSAAMDELLQLGRRTRHDEEVQVQATLPCADVANGTVCCDRTALRTDVCVMRGDVRTQAASNALFLLVRPNASAAAADERIRPYTRKWESSVMATIDELRLRAVPEGAAAAPPGCDVRHDVPAVVFSTGGYTGNVYHEFNDGIIPLYITARKYDRKVVFVMLEYHDWWITKYGHIVEQLSDYPPIDFANDRRTHCFPEAVVGLRIHDELAIDAARMPGNHSIQDFRRMLDDAYRGRIQTIIQEEKEKEAAEPKPKQPQPLEEQDIKKPRLVIVSRNGSRAIENEAELVRAAAAAGFRVAVLRPRQDTELAKMYRALNASDVMVGVHGAAMTHFLFMRPGSVFIQVVPLGTDWAAETYYGQPARRLGLRYMPYKIKPSESSLYGQYDKDDPVLADPDTVNAKGWQVTKKVYLDGQNVRLDMARFRRRLREAYGHWAAQRQRRLQDSTRRPL >Et_3A_023953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15069981:15070322:-1 gene:Et_3A_023953 transcript:Et_3A_023953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPTIEVRAEGLAVEAEVNAGTQAAPNVLNYMTNAVLDVANTIRVLPGRTTKSTILHKTDAIIRPSRYLLSLAELASISM >Et_4B_039185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8575940:8579247:1 gene:Et_4B_039185 transcript:Et_4B_039185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFPVPPVVFTPSTPTHRRHPPPGAGASPPPAFAPPRPSTSSAANPLPFMSFDVNAAAASSSAPPLYAGPIGVVSGTSFEDEPPLLEELGINTRQIWRKTISILHPLRSADPSLHADADLSGPFLFLLSFGLFQLLAGKFHFGIVLGWVTVASLFLYFVFSMLSGGRRGDLDLYRCVSLVGYCMLPMVIFSAVSLFLPRGGGLMFGVGMGFVLWSTRVCTKLLAELASSGDEHKGLIAYACWLVYMLFSLLLGVLRRSGGVLPPSSDKPACSILS >Et_1A_009113.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:29977509:29977790:1 gene:Et_1A_009113 transcript:Et_1A_009113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVAMVVALLAVAAAMSAGGASAQQCDPGQLAVCAPAILSGAAPAPACCSNLRSQRGCFCQYARNPAYGPYINSPNARRTLTSCGVAVPRC >Et_3A_026936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2714554:2747312:1 gene:Et_3A_026936 transcript:Et_3A_026936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEPFFFEEDQDPPETIDRMAAMEYLGSSRQGVARFQNNAIPFSVQTGGDQITGVPVDMNYLVSRPGTTLAGFEMPSGAFGVTNIGDVGGIPSDAMMAWHPQTGSYGKPPTPLKTTKLKRWVERHDEQKWTAIAQDLPGRAGKQCRERWINHLRPNIKLIWPLPGSHCTSLGAVSPEARRGRANRSACTHPSIAWACERTTRPARRVLHALCSDQPRLVVLEVEVAVLYTIRERRKPRRLGSRQDSYSSKYSYSSTVRSSHRDSENLLFSRTFFLCFHMWDRVRHHFKEIILVIGALLIQQSVNLFILRLRKDVVPTKVQSVLNSHVHNFGRHPLDFRLHLFMLLHRHGHPFHLGHRRARS >Et_10B_003392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19415640:19418412:1 gene:Et_10B_003392 transcript:Et_10B_003392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQGGAVAAEDRRSSYPQARIPLFLLNFYLADPASSYSYTSFKSIDEPSKLGLWQTLARKAKEIIDEDGLAHKFEDLRKESPRNNSGLSSGDQVPQSRWSFENHWRPGDAASRMRPEAISASVNQLGGRIRNAFEEGLTIVDHKTSSIIQETKKIQIRRKPNTSSSDMPNSAVDTLSTPNLSLDQTGTAAQGIQLKSSRDVANAMAAKAKLLLRELKSVKADLAFAKQRCAQLEEENKLLRETKQKGNKTEEDDDLIRVQLETLLAEKSRLAQENSTYARENRFLREIVDFHQFTTHDVVSLDDGYMEDDDPQEDSRLIYAENILTVVEENSAHEDDLSPAPSRPDSRTLIPGEQSSPKSSSAHSVADSTMDETSNPNPSDTA >Et_3B_027587.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:29631015:29631530:1 gene:Et_3B_027587 transcript:Et_3B_027587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLCVLLISAGSLSFCLGSVSDMPCLRTFYQSLIDPNNILKSSWDFDNGYICEFMGVVCWHPDEDRVMSLHLGNLGLQGQFPKGLQHCSSLTWLDLSNNNFSGPVPSDIAGQVLYLTYLNLSNNSFSGEIPVAISAMTPERPQPSAQPAQWSNSRATWCACPVNFIPSF >Et_10A_001929.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:11684533:11685312:1 gene:Et_10A_001929 transcript:Et_10A_001929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRFLIPLLTVVWLFAGGAVLVAGYVCSTRDNFTAGSQYQVNLNTFLSNLQPSTIAGGGIDDSTVGNGTDKVFGLAMCYADRDLTQCQGCLRNITRDMQQVCQFSREVKVCYDACVLHYYDQPFLSVADLDIDHYVWWVDSMVSDMVSMNATRWSLMTGLVPEAASSPLRFANGTKIYTDSQGNTQVMYGLAQCTRDLDAGECVRCLNKFVPELSRSRPNNTYGTVKGYSCYVAYKIGQDLGITILPMAAPPVQPPG >Et_4B_036175.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:6089759:6090782:-1 gene:Et_4B_036175 transcript:Et_4B_036175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSRQIPYDLSRRHLVCNKLVNIHRKPCPEPVSTVAEFIARRPVLARVPSEGIRLVGEKLGRCFTIGTKPGTQLVKSLTTALDEFHESGICLSCFVESNLVVSDESPELLFHPTKDRKTEPPEDLPPGAFATPGFMARSDPIQGSSSSRTYHSTRALLPASKLATLTHTRSSPRASFHQSSLSQAASSTCLSF >Et_8A_057812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7576849:7582099:-1 gene:Et_8A_057812 transcript:Et_8A_057812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMFYLVGSDDSKTMWRVLKIDRREPTSLELVEDPSHYTAAQCDDLLSCINDWWPQACHQVLRRRGALLHAADYREAQGRHHLQPRHLLVQHMHTLQKNISSDKNTSELKYESTFVWNEFLTQDIRQHIENPIWTVPLVHRFFKQEKLSLCGKDVLLTVIARRSRHFAGPRFLKRGLSEKGDVANDVEIEQIVCKDTQDATPAQITSLVQCRGSIPLFWSQETTKLPIKREISLKHDEGHKVTCLHFENLMKRYGNPIIVINLIKIIEKKLHESLLRVEYMKAIENINEGLTSDKCIRFIHMDMKNYCRSVEVLPILFSIGSAALAQTNIFHCQLHLAAKPEDFQWENCIDCLDRTYGAQFAFGRATFNQQLNALGLIGVPKINIDDPLCLTLMDFYEQMGDALIIQYTGSAAQNKLFWVQRGQWSAVSRFQELVRATQRFVSNAFMDNEKQNALDMFLGHFKLEQGKLAAWTSGLAKICTRHETPIDEHNGRYFVYGSYNYISFSMVVLLLNAHVCMFCSNSSTLPERHQPHHMAQEKTYLRGLGCHHLFLSNFLDIGDLLSSV >Et_1B_010161.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2725657:2725728:-1 gene:Et_1B_010161 transcript:Et_1B_010161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTFVSYTTEMMRRDIFYIPEGL >Et_7B_054626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:296571:300780:1 gene:Et_7B_054626 transcript:Et_7B_054626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCCEAIWAKSYETKPKIAKVSVAARPATPFVSTQQHPARRLQLQVRRMSEEGKAVASAAAEEQPKRTPKLNERILSSLSRRSVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRNYSDISELSPHRLQEIKRFFEDYKKNENKEVAVDAFLPATTAREAIQYSMDLYAQYILQSLRQ >Et_5B_044288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22241163:22244457:-1 gene:Et_5B_044288 transcript:Et_5B_044288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTWPFLRLQETPAKGVAAAVGKPQKGGNVQDYKDASLYYGAYPAYAYGAYGGWGDYSTYFSHDGTEAPIVGAYGDMYYGYSPYATWGDSQMYGSQQYQYPSTYNKQKNSTAKQSGNGKTEKLIPSPQGDVSTKTPSTNGVDTVKGKKTSNLPLKTDRSGPGSDGSYGLSKRRSSSYQNQTNWSAYPYYSSQIFSDKQRDVPNNRNSTSDATSKGQPKNRNMKSYPHLMTPTSPMGAPSIYSANGIYGYDGNSYGSGIWYGSPMYNSGLYGGWGAPWDGKYNPRGRSNGYYSHDNGNLDGFNEMKRGPRSGLFKNHQGLGMTEVAKEQEVSATDGSNTAMKDQYNRADFAETYSEAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAAYQEAKEKSNDTSVFLLFSVNASGQFVGLAEMVGRVDFSKTVEHWQQDKWTGCFPVKWHIVKDVPNGLLKHIILENNENKPVTNCRDTHEVKLEQGLQVLKIFKDHVCKTSILDDFGFYDNREKMMKERKAKLQQSLKKVTDTKLPKALDTEKKMLNGERESQKLTEVEDTLSKELSHDKAGQKNDVKENGVVPEDLKSPTEKLAGANGC >Et_6B_049162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1943971:1944818:1 gene:Et_6B_049162 transcript:Et_6B_049162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPESMLHAIRKPANIPKSVSFAVAKINLSYVKVLARIVDQLRLKAPKITYETRASANFHAVIEVHLLSWLSRGYNGPREFRRSSSISARRAIRKAARDVVQRLEKSGLVKFDDFRGQDLRLWKYRVLEIAKVCKEIAGERDDLERDFTFLQKKYAKLLEDNRKMKRKMKRLQKEISCLKTNSKEENVIAENNQLKQLIHDPKIQVSKAAHGSG >Et_9A_061098.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:15850889:15851002:1 gene:Et_9A_061098 transcript:Et_9A_061098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQNSGISVITSPTPLLFGKTSTLLCRPSAKLPKSI >Et_3B_030207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31290670:31291836:-1 gene:Et_3B_030207 transcript:Et_3B_030207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAEIKIGQVDDVQELQRTCLATVPERYIRDGEERPDGAKVCDDAQIPVIDVGELPRDDELNKLRLACEEWGFFQVVNHGISDELLDEMEKVTREFFMLPLEEKEKYPMEPGGIQGYGHAFVFSEEQKLDWSNMLALGVDPAFIRRPELWPTNPARFTETLERYSVEVRGLCRRLLAHIARTLALAPGTFNDMFGEAAVQAVRMNFYPACPRPELVLGLSAHSDGSAVTVLQQDVSCAGLQVARDGAWVPVHPVPHALVVNLGDSLEVLTNGRYKSVEHRAVTSDKQDRLSIVTFYAPAYDVELGPLPEFVTDDAPCRYRRFNHGEYSRHYVTSKLEGKKTLDFAKIKSSTTTDGS >Et_5B_044723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5075724:5081119:-1 gene:Et_5B_044723 transcript:Et_5B_044723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGVPSALLAVVVAELLLSTASARAVDARVASDLGSSARHHRSSPPPSSTASDAIYLDPLTTMVVDHRQRPQSPDLRPLALVKQPSPDLRPLPPLKRQAQQPPPAPASTPPMTGAGDSSDEEEDQDTFYTARKTAASSFTRSTSQRSTLEQTVPQQPAPAPAPTRPPPPPPPQENSLRPPRPPPPPPPPRQRLRRPMPEESPPPPALANLVLTNSSEPSVQDRGGENPDGHSSGARQQKPPSLKPLHWDKLRAISGRTTVWDQVNNSDSFRVDEAAMESLFTNKAGGTGNSGQAARRGGAGKQESRLLDPKRLQNVAIMLKALNVTSGDVIGALVHGNGDMGPEFYEALAKMAPTKEEELKLKDYSGDLSKIDPAERFLKDVLDVPFAFKRVDAMLYRSNFDTELTYLRKSFGTLEAACANLRSSKLFLKLLDAVLKTGNRMNDGTSRGEARAFKLDTLLKLADIKSTDGKTTVLHFVVQELIRSEGLGSSQIMGNLGGASKEQFKKDGLKVLAGLSSELSNVKRAATLEMDTLIGNVSRLETDLEKVKQVLELKDTCPDQGSSDSFFDAMDAFLRRAQGEIESVKVAGENALRHVKDTTEYFHGDATKEEPHHLRIFMVVSDFLATLDRVCRDVGRTPERVMMGSGKSFRFTAGTSLPPRPYEHRRELSSSDEDSSSS >Et_4B_036849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1217715:1222404:-1 gene:Et_4B_036849 transcript:Et_4B_036849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPSNDHAVTPKTATKDAASCASARTPPKVTPDEMRAVARKFADKPIQDTEPGVWAVLTAISKKARLRPQGMNILLSADEHCLGRTVEDRFQISGPSISAKHCKIYRDTVLGELNRHEPVPIYLKDTSSNGTYLNWRKLKKNSSPAKLNHGDIISFTTPPHDDTSYAFVYREVNAVSCIENGASILKRKSGEVGSESKRLKGLGIGSSDGPVSLDDVRRLEKSNADLREKLEAHVVTIETLRTERKMAQVQHEKELKELREATSSSYLDQTQSLQLALEEKQKQLDSLITTNTELQNSVKDLDERLSASKQSRADADEIISSQKAVIGELEVQLSDERNLRIEERDKAAEDLKSALHKMQAEAQEEIKRQAESYLRQQREQKEVISKLQESEKETRLRAETLMSKLEDARENLVTSEKKVREMDAQLQDEQQVSANIRKRSENLESELRKLKKELENEKAAREEAWAKVSALELEIAGTIRDLSIEKQRYQGARERIILRETQLRSFYSTTEEISALFAKQQEQLKAMQRTLEDEENYESTLMSVDLNKVPQAAVDADNTRVRPADYSKNTMQASGASTENTQVSENSSTDEDVDMADQQDDGTGEGCSTQGLEGTSPERSEERFRSDVHGDPVATAPEREVTDTEQVPETESQAGNVGCDDHDSNLQRCDNMGGETMPLEDEVQPQENEDLAPLLQDGGQARGSEEPPPIPKDGIGHCSEETLEDDCSESKRQATHVRTIRTADLLTSEVAGSWAVETAPSVNGENDSPRSLGDTAADDAVGQGKSDGDAADALLTLVNSDGQAAGSQNNVDHAVSKTKDQRRVLSAMIEIVDPDFRKQISRNGIENREQLSDAETEEGSDVSDTDDDSEEAIVEDSVG >Et_2B_021109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26503689:26511110:-1 gene:Et_2B_021109 transcript:Et_2B_021109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRRRLRDGRDEAGGLGLAPPPERPDAPRADKLGDAQLAELAPALAVRRERDAEAVARELPDRRGLRPRRERRVVGSHHLTRRVGRGRHHHGELAQVEQHERTVPARQVPHGAVREGADEVVQAADDRQLPWAWRKVLAMPYWPELGSEERQQQYHGQEEVMVRGELVTKAKSMATLAVYLLLPLLAIVVIPFAYLRRTASPCRRGDDQYRARLLPPSPWALPVLGHLHHFMGALPHLAARHGPLMLLRLGGLRVVVASSADAAREVMKTRDLDFATRAMSRMMRLAFPEGTEGIIFAPYGEAWRQIRRICTVELLSARRVQSFRPAREEEAGRLLHAVASAAAQTDRDAFLALLERSVKLFAEMSLPDLFPSSRLAMLVGRMPGQMNRQRQELEHEENKVTGDAKEDLLDVLLRIQREGALQVPITTDNIKSVVGDMLGTGSETAATTLQWIMAELMRNPRVMQKAQDEVRRVLAGQPKVTEDDLSKLHYMHLVIKEALRLHPPAPLLFPRECRNACQVLGFDVPKGTMVLVNAWAISRDPKYWHMPEEFMPERFEQSKIDFKGTDFEYTPFGAGRRMCPGMAFALVNVELVLACLLYHFDWELPRGMEPMDMDMAEEIGVTVRRLQDLMLLPVVRVPLPVG >Et_3B_029418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24889585:24901716:1 gene:Et_3B_029418 transcript:Et_3B_029418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAFSLAVAQPVAALGPCGRKNLPAQLRRLPSPRLAVAGKARSRGVVAKVARDSAEPSSESIVKYVKSSFDTPEDIFALAGIGFAAVAALWASLFIYNNLLFKPDSCSISSSLGCHLSLRRALPATEATLVSYINPKAHLVYRVLQEHDEKV >Et_2B_022412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20944237:20944893:1 gene:Et_2B_022412 transcript:Et_2B_022412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMARCAGRINTAAGVGRSRSHVKVMAAVADRASSFCSVKSSDYYKVLSLEHSPAVGAEEIKRAYRRLALQCHPDVCPPSRRAESTELFLELRRAYETLSDPAQRLRYDAELRTGGAARRPRDEFARDVWEAQLCVLRARSERRQRASRVGRRHDSR >Et_5B_044273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22104190:22104897:1 gene:Et_5B_044273 transcript:Et_5B_044273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSLLRPDRCCLSWPDAQAPASALYVSFGSLASVSAADLAEAAWGVALGAPAWPRPRGAAGGGPGAPGRRRLLDALRLELDAGGRVRRRAHALPPLLRRSTSTTRHDLAFLERPRTAVGRHVFYGHANISSSPYGAYWRQARKLWQAELLSARPASASASRRFVPCCVTWARRQVGDGRQEAAALRRSTAIRRGGVVPRQSSPRT >Et_1A_005815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14225355:14227267:-1 gene:Et_1A_005815 transcript:Et_1A_005815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIVPILDDTYDAYGTSQELFTVHRKILTANRCKEFFFLSCNIHVEILSYSVIFSHKVANLLIIKLILSIWDPMVIHGLLENMKFIFCKILDTCQSIEEELEPGEKYRIPYLKNFQEDLNTHVMIFLYYMSCCVVIFFFIMRIVDLVRAYNKEVKWREEGYVPATVEEHLKLSARSGACHLLSCTSFVGIGDIAAKEAFDWVHCVPKIVKALCVILRLSNDLQSYEREEMTSHVASTIDSCMREHKVLFEVATDEKIQELIEVTWKDLNLGNCRPKQLLERVFNLTRTRWCSCTSKMMRTQIVTVSETMGAFGSLARLVVTLLG >Et_4A_035175.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:10596667:10597356:1 gene:Et_4A_035175 transcript:Et_4A_035175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLPAGGVPAVRVASRVQLATFFTSASPSPSSRRGDRQIRHGPPPPMMVDVRVVIRRHFPVQGPGGTRIVVEKVAEDIALRRRQSRQLRQPERVDRALAKDVLPLVRHPFDRNAVVAAGKEICSHVAAACADPRIAHGGAHVLVLIDTFACPVVFRRPAPSNKPVPSAVCAPPKSIVDVKAADPCMMNFESTVVPAEKPKLKPVGVIGDKRPKAAAAEETFKGWVPW >Et_1B_012886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3929177:3932720:1 gene:Et_1B_012886 transcript:Et_1B_012886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTLRASPAAASPLQRRLPGRRATSVRATASTGTAGEPDLSVRVNGLKMPNPFVIGSGPPGTNYTVMKRAFDEGWGGVIAKTVSLDAEKVINVTPRYAKLRAESNGSAMGKIIGWQNIELISDRPLETMLNEFKQLKKEYPDRILIGSIMEEYNKAAWHELIERVEESGVDALEINFSCPHGMPERKMGAAVGQDCGLLEEVCGWINEKATVPVWAKMTPNITDITQPARVALKSGCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSARAVHPIALAKVMQIARMMKEEFADGQSLSAIGGVETGNDAAEFILLGADTVQVCTGVMMHGYPLVKKLCSELQDFMREHNFSTIEDFRGASLPYFTTHTDLVQRQQEAIKQRKAIRKGLQSDKDWTGDGFVKETESMVSN >Et_7A_051562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20365032:20369170:-1 gene:Et_7A_051562 transcript:Et_7A_051562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEVVKAEVVLAPTLSFKKVQTADKYPKGQSRGRQWKHLRYLLQSADASSMPPDQPNYLNIQSPPSIYPSKRYCDITGFEAPYVDPRTKLRYADPEVFKQIRMLPDEYVQRYLALRNAAVVLR >Et_6B_049078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17528041:17531774:-1 gene:Et_6B_049078 transcript:Et_6B_049078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAETAQPEEQSCKVNGHGVAAGAVVCGRAGVRCHPALAEGKTSIRGVVGELLASAGKGRSLISLGVGDASSHACFRQGGEFAAEAVADAARSGAFDCYAPSYGFPAARRAVANHLTTGARHRSCESDVFLTAGGTGAITAITTVLGGAPGANILLPRPGFAPYEAACEIAGAEPRFYDLLPRRGWEANLASVRSLADSATAAIVVINPNNPCGAVYSAQHLFQIAETARDLGIPVIADEVYAHMVFGGSKFVPMASFAHIAPVISIGALSKRFMVPGWRLGWMAFCDPNGALKHVRTATEMLLNVTSGPASIVQAAVPKILSDEHSVFHQNVVNLLESAADALYRRVNQIEALQCYSKPHGSMFMMVEIDTSLLYEVADDIDFARQLIKEECVLVLPGSVIGLKNWVRIFFGVPVRLMQEACDRIELFCQRRTVQAKSIKHLSKKF >Et_3A_024018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16103645:16107835:1 gene:Et_3A_024018 transcript:Et_3A_024018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPASREVEKVKNLEAGVDDNVDQNLDAGSWLHCGYHLTTSIVAPPLLSLPFAFASLGWSGGIVCLVVGAAVSFYSYNLISRVLEHHAQLGRRQLRFRDMATDILGPGWGRYYVGPIQFSVCFGAVVACTLLAGQSMKAMHLIANPDGTIELYVFVVIFGVFMMILAQLPSFHSLRHLNLVSLLLCLAFSFCPVAGCIYLGTSDRAPPKDYSFSGDRQDRVFGVFNAIAIIATTYCNGIIPEIQATAAPPVTGKMFKGLCLCYTVVITTFFSVAITGYWAFGNQAQGTILSNFFIVGGNKAAIPKWLLLATHLFTLLQLSAVTVVYLQPTNEVLERLFSNPRKEQYAARNVVPCCPSSAT >Et_1A_006678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26001655:26005836:-1 gene:Et_1A_006678 transcript:Et_1A_006678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEIRGFTASPALRPAPPAAAAPPQPRRAVAARALRTATTEKVADLTAATNGAVPAVMHSEATTAITSQALDANSRRKTKIVCTIGPSTDTREMIWKLAETGMNVARMNMSHGDHQSHQKVVDLVKEYNAQNPDGNVIAIMLDTKGPEVRSGDVPEPIMLKEGQEFNFTIKRGVSTEDTVSVNYDDFINDVEAGDMLLVDGGMMSLIVKSKTADTVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVENGVDFYAVSFVKDARVIHELKDYLKSVNADIHIIPKIESADSIPNLQSIIAASDGAMVARGDLGAELPIEDVPLLQAEIVRTCRSMEKPVIVATNMLESMINHPTPTRAEVSDIAIAVREGADAVMLSGESAHGKYPLKAVKVMHTVALRTESSLYNPDASSGLVAPSQALLNEEFSSSQLSKMFGSHATMMANTLRTPIIVFTQTGSMAVLLSHYRPSSTIFAFTNEERVKQRLALYQGVVPIYMQFSDDAEETFSRAISSLLKAQQVKKGDYVTLVQSGVTSIWRDESTHHIQVRKVQG >Et_1B_010997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16251365:16253666:-1 gene:Et_1B_010997 transcript:Et_1B_010997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAPGIVKEELLEHEDVGGGGGAGRDAPRPMEGLHEVGPPPFLTKTFDLVEDPSTDAVVSWSRAGNSFVVWDPHVFADALLPRLFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKTIKRRKPPSTAPPSRQQAPAPASCLEVGEFGFEEEIDRLKRDKNILITEVVKLRQEQQTTRDNMHAMEERLREAEQKQVQMMGFLARAMRNPEFFQQLVQQQDKRKELEDAISKKRRRPIDNVPFYSPGETSQSEQLDSQFLFDSGMLNGLSEPGMPELENLVVNLQELGKGNADGGKLDQAGGQSELNDDFWEDLLIEDFGDKTGQSELEGRTEAVDEIAQQLGYLSSSSPK >Et_4A_031936.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30933514:30934091:1 gene:Et_4A_031936 transcript:Et_4A_031936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPAPSSTAAGRHRHALLFPLPYQGHITPMFRLAGILHARGFAVTVFHTHFNAPDASRHPEYRFVPVPDGVSGPAPVAVNDVVARILALNRACEAAFRDRLKGILEEYSGEEDVACLIADVHLLSMVEVAKQLGVPTLTLRTGSAACFTAFLAYPMLCEKGYLP >Et_10B_004494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9552615:9554127:1 gene:Et_10B_004494 transcript:Et_10B_004494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPPPSAGKEVAAGGGSNKGKGRWGGRRRNEQRLGSGRGGGALSLAAFANAKSRNTGYNPALIKKQKEFYRNAKLIGKYKKSKKQQDHSNGPPQYQFHEEILSDTRTGSALNEGHDAGNVAKPHNKKKRTGQSLKEEYEKKRAEAEKAKEEREAIIQAKREEREKSEGKRKELREKMFKKTRSGQPVMKYRIEHLLETALGSSSK >Et_3B_028864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19962258:19962667:-1 gene:Et_3B_028864 transcript:Et_3B_028864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEISFSSSSVAEGRRKTQERITLFTSQLFTVFHLPNRLNKTMLNSTYRNVSCHLLDTYSVCSLNVCLCSHLYESSYSYMLSVLSCNVFVSQSVCNIKMYSATN >Et_3A_026303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6596759:6604387:1 gene:Et_3A_026303 transcript:Et_3A_026303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGDALGGGVGVSGGGGATAGEREDEPGRGEAARGWGPPVVGIGREVVDENNAELQQLLNEYGHDVCNALKTALSEINENNSRERYPVPELWRRATVQEGLKYIFKKLKEKRTRLNEQTAAQDLDVECVDSMRISMEIETLRSQLDEDVKELGYCEENEKLRIEMDMKDKEMQCLRNQIEGLQTKYNKLDEELHAKYEKQHKELQAKYERQNKELQGKYEKQNEKLEAKYEKKSEELQAKHEKQTEQLQDKHEGLKKNALEDNVFRLKDIWVKRMGEVDDQICRAVCQRMYGKDEANYGADKLFWYWKDVLQDPSWHPFKIDDADGRLKVELARLLASKQEVERGKQQYCLEILTTSLGA >Et_1B_013444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8423101:8424891:-1 gene:Et_1B_013444 transcript:Et_1B_013444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTAIVAGHGLALRRSLPLPNPPGRPNPLSLSFPARPLHHARRVIVPAIPTSRPCRCRSISSESSTAASGTADEEVNGEDEEEEEEEEEEEDEVDPQAEVRYLDPDADPEAIREWELDFCSRPILDARGKKVWELVVCDATLSLQFTRYFPNNAINSVTLRDALASVADELGVPLPDRVRFFRAQMQTIITRACGELGVKAVPSRRCVSLLLWLEERYETVYSRHPGFQSGTRPLLALDNPFPTSLPENLFGDKWAFVQLPFSAVREEVESLKRRYAFGAGLDLDLLGFELDDSTLVPGVAVESTRAKPLAAWMNGLEICALEVDTGRAILILATGVSTRYVYAGYQKSPAATQEAEAWEAAKKACGGLHFLAVQENLNSNGCVGFWLLLDLPPPPV >Et_6B_049639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7686573:7693879:-1 gene:Et_6B_049639 transcript:Et_6B_049639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSSAAHDKFGYRSINLEVGYMPARIGIALTDCCGGDGRTVRAVIKAFPHQLRSKMAAQPQKMVVPTDAELLQAQADLWRHSLYYLTSMALKCAVELGIPTAIHNLGGDTSLPDLVASLSLPTNKLPFLRRLMRLLVTSGIFASDNTAGVETYRLNPLSWLLVEGVEAEDHTYQKCFVLGTVSRHYVEASLSLADWFKKDLAAPVPSPFEELHGVPLLDEKTALLDEELDAIVKEGVAAHDNLAMGTIIRECNDLFKGLQSLTDCCGGDGTTARAIMKAYPHIKCNVLDLPQVIGTAPADGVVNYVAGDMFEFVPPAQAVLLKLVLHFWNDEDCVKILAQCKKAIPSREEGGKVIIIEIVLSPSMSPIMFEAQLLMDMLMMVNTRGRQRDENDLREIFTKAGFSDYKIVKKIGARSIIEVYP >Et_5A_040406.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:19899181:19899366:1 gene:Et_5A_040406 transcript:Et_5A_040406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFAIGAWEIWKQKNNLIFRGIELSFQPWKSCFIQTFKLHMHRLSDAIRTAIRDWLDSLL >Et_2A_016318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23214819:23217289:-1 gene:Et_2A_016318 transcript:Et_2A_016318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFFRDIVRPATAATTAAPSETKFDEVLVTEFLTNGDWVELEDYLLSFLSLSHQDGEYFHPHPGLFFIVYEGQLLDMIEAQDFEAAHALFTDKVEPLLVHDVYNLYRPLDLEDRIEKVRNCVKKRMLPPQGELVALQQISSPVIYMAVINYIYLSTYSLQGEEKVKSLLEFAVVVKEFKNSKIQQQNADTECFRCLACQWVVPVSQSIYSLRDHIKHIGDHQFCPRVTLYMWRRLNEIEGVDETIDMEKLASNYLRKSKKRKVAQSSSGSYEKEVQAVVDFNISVDSALLSIVKALANTTLSILQLLTTFTGPTASKVREINGQAGTLITELKSV >Et_6B_050064.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:4986920:4987303:1 gene:Et_6B_050064 transcript:Et_6B_050064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDIFLAASNTSRITLEWAMAPLLKHPDKMTTLQTELTASLGSKDFIEEGDLNKLPYLHAVVKETLRMQPPAPLIPRMVVADGVSLGGFAVPVGTYVVVNLWAIGRDPWVWTDPKEFMPERFLGAQ >Et_3B_031239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22486718:22489452:1 gene:Et_3B_031239 transcript:Et_3B_031239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDSEYYDILEVSTDASTAEIKKAYYLKAKLVHPDKNPGNPDAARKFQELGEAYQVLSDPVRKESYDKHGKEGLPSDNMIDPTAVFGMLFGSDYFEDYVGQLALASVASVEIEEESTAPEARTRIQEKIKPYVDGQQDEFGLWANAEAQRLSEAAFGEAMLHTIGYIYVRQAARELGKSRLYMGVPFIAEWVRDKGHHVKSQLQEGMKKIEEGENKEEQLMKSIEEKKDAMLNSLWKINVVDIESTLSRVCQAVLKENNISRDILKLRARGLKKLGTIFQF >Et_9A_063209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15484567:15490406:1 gene:Et_9A_063209 transcript:Et_9A_063209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPPHISLSSSLPSTLAPVHLRLPPLPTPLLPAALPFPRALPLHLTSLRLTRPHLPPLPLASSGSGDLGGDTGDNDLPPNGDGGDHPPNGGGGEDDDGSADGDGDDDASVNRKEALFVLAQLGRKLESLPADLAAAVQAGRVPGEIVRRFADLEASPLFRWLLQFGGFKERLLADDLFLTKVAFECGIGIFTKTAAEYEKRKENFMKELDFVICDVVMAIIADFMLVWLPAPTVSLKPSIAGNAGAIAKFFYNCPDNAFQVGTGVTNALIKARKAVSEDSAGEVENIPIVETSVAYGVYMAVSSNLRYQILAGVIEQRMLEPLLHRHKVALGALCFAVRTGNTWVDYARWVGVQ >Et_4B_036232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12351695:12353356:-1 gene:Et_4B_036232 transcript:Et_4B_036232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METRDEAAATASSSSPAIAASGSAAGFSSSSAAASAFNGFKFVLNLPPGYHFAPTDAELVVNFLRPRLENKPPPLPIFFDERILDYHPEQLIEKYRIYGEDRWFFFTRKERKHAGGKRPNRTTPGNGHWNATGSQRPIYSGGVLVGCVGTLVFYEASRKKKKEDAAAVSAEPAAQEEDNNGKTDWTMYEYESLTSEAEFEAKRNGEGKIDGLVLCTIQKKKHCELSKEGEEEKGKKKRKRKREDQEDTSCDGNDEKKARRVGRKRKDQESSHDGGSTEKKSSTNKKGGSRTKGRSRKEFAQEQEAFVKRFLMATPQQELMQAPLGGETVNTDPNMRMPHCAVTTSTMLPSSSQEMMLVPAAGTQSNSVHQNYMMHRNFIPTLREHFPYEQTYYNSQHTQINTMAPPEVYNSYMGPGYSYHEFSNTNHFPGVDMDYQDLQSFPFPAQPSYGIGGSTSTQGTFLRHWETIPSI >Et_1A_005187.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31248981:31249052:-1 gene:Et_1A_005187 transcript:Et_1A_005187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFSGRQSVCGCTSKLYSSFSC >Et_2A_015280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10259364:10263940:-1 gene:Et_2A_015280 transcript:Et_2A_015280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RREAETAPILLPVPVPPKCSAVDDGAHQARPRGWPESDVDDVSDSEVGDALDWLDAVEGPDGSARHSAAFSTAGGAAAARRPNAHGGVLSRPLQPLSNRTQKLASHVRATPLEEWEGRMNVGMSHSVTTAIRESIRDTSIGKIRNSEKADRATVEQANVYHATKTDGQELAIKVYKTSILVFKDRDRYIQGDYRFRHGYCKHNPRKMVKTWAEKEMRNLKRMRAAKVRCPEPLFLRLHVLVMQFIGKGGWAAPRLKDAVLSEDKLCESYFEIVTTMRTLYQKCKLVHGDLSEYNILYFEVQQKILENGNTIANYDDISPTTVVQTLDYVKQCEADIANMSMMQCPSLGYEPPADKLYNQPLLGFVRTKNTHTDEKQQDDLCGLRTHVLMKNSKMGPEERKAARKENKKKVKEEKREARKTKKMKKMTTTKCKRQQPVGDQSITVC >Et_7A_052038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:392505:394236:1 gene:Et_7A_052038 transcript:Et_7A_052038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVGIVVSNKMQKSVVVAVDRLFHYKMYNRYVKRTSKFMAHDEADECNIGDRVRLDPSRPLSKHKNWVVAEIVRRAKVYVPPSVIPSSEQNIKSGVHENNESLQVICGVVPATNS >Et_4B_037536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20941683:20948229:-1 gene:Et_4B_037536 transcript:Et_4B_037536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFLRVHARLSGMLSQLLTPRIRLALEYLYLAGAVALFVLLVVMHTNFVQQPGCSSEFSGIEFGEAQLVQIKIISGGLWASRGASYIMDLQNLGRSAEKILEVNGDKFNILASKFWSTWVGPGARRSVSDFKAAGDGSVHHPLSAKESFKAAVTYLFRKWYLRVVSFWRNIKQLSENTLQLMVRSNWNDFLHIIKDLQLPSMDHLLSTIVQWFERRSKAFEPTYLYGVEKGYFLLSEGAKLRHGVRTINITISARNPCFGNRWQQLLINSIVGYDTILTNSLVNSPGHGYLYNFQTKELYDLSYGHEPPEGPTRFGDYFVTKCGVLLMSLFVFFTTTMSVSFTLRETQSRMLRFTASTPCTPPTAHFSVDIRTCHRIIGFCPGFSYLAFSATAAFMQHLILYFWNRFEVPALQRFMRSRAHIQQQTGVQITSSTIYTSTLHIARLNVGDPGTLNDGLGGAREADAILVQDESTRNQQDGQQNANSEVTANNPIQYQDQNPQQAGNDPAGSGSLNPFGSLLLWLLGGGASDGIVSFFSMFRDVRDHGQDFTDPPRNENDQVT >Et_4A_035818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6737650:6742379:-1 gene:Et_4A_035818 transcript:Et_4A_035818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSRCHLPHLPATGDALLLLLAACRSPAHLPHLRAAHARLLQLLHPSDPSAAHAGVKLIQAYAACAALPAARAVLDSSQEPCTISFNVLLRALTAASLHRDALLLFASMRPRGPACFPDHYTYPLALKSCAASLDLLLGLQIHSSVAKLRLEANSYVAHSAISMYARCGRPDDAYRVFDEMQRRDVVSWNAMISGFTRAGLHDRAVGLFREFVELRCSSPDAGTMASILPAMGNAKAEDIALVRKVFDAMQFKELISWNAMLAIYANHGNHVKAVELFMRMEKDGVEPDSVTLATVLPPCGELSAFSLGKRIHEVIERKRMRPNLLLENALMDMYAGCGCLKDAREVFDSMSAWDVISWTSMISAYGKHGHGREAVDLFEKMRGQGLKPDSIAFVAVLAACSHAGLLDVGKRYFDCMTSRAGRWEHVTSVRNVMASKGIKKLPGASNVEHGDRVHTFLIGDRSHPQSEMIYQKLDELLGRIRGMGYNPEVDATLHDVEKEDKEGHLSVHSEKLAIAFLLINTSPGMPIRRSMI >Et_4A_035476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2611689:2613673:-1 gene:Et_4A_035476 transcript:Et_4A_035476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPANSTTFSGDVWAELRLADVRDVPHIHRLIHQMAEFELLTDLFAATEELLTSTLFPSPAPPPFTSFTALILDISSSPLAPDSATTIGSRRLNLSASPLADPEAAAFASPRGGGRVTAGFVICFPNYSTFLSKPGLYVEDIFVRAPWRRRGLGRMMLSAVAGKAAEIGMGRVEWCVLDWNQNAINFYEEMGADVLPQWRICRLTGPALDKYKGKQEEAADGKAAEAEMSLIYQAHGRYCRPLQRKHRH >Et_8A_058453.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8146398:8146655:-1 gene:Et_8A_058453 transcript:Et_8A_058453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMGQLGRLVDGIKSKLRAGGGGKRGGRKSAAAAAVGYDKVEKTDSMRVEIRSRQARKLIAKNLDAADTIIASRGRNKRFFLAF >Et_10B_002966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14830848:14836005:1 gene:Et_10B_002966 transcript:Et_10B_002966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRILSLLAKNGLPISIGSPGSPRDGWIVDDGWAFWLRGIEALMVQISWSEGRLQVLGCEGWATDLNKRLAKGPGNVNSKRMNDDGNWIMVTTGILTGNHSTLTVTLLPCQQFMEMRGALRGDEEPISLSQEWLAYLHNFVHQGFLVMDEMRMMAAFLAKRVIPFHH >Et_3A_025941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34180062:34183248:1 gene:Et_3A_025941 transcript:Et_3A_025941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHRHHLFHHHKEDEEVAAAEAVVVEETTYGGDASYTETTTVVAAAAVADNEEEEYRKHKKEEKEHKHKEHMGELGAIAAGAFAMHEKHEAKKDPEHAHRHKLEAKIAEAAVAAAGGYEEKHHKHMEQLAQLGAAAAGAYALHEKHKAKKDPEHARSHRIKEEIAATIAAGSAGFAIHEHHKKKEAKKQARHGHHHH >Et_1B_011546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23514897:23517008:1 gene:Et_1B_011546 transcript:Et_1B_011546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPARSAALLAALVVLLASAVSCRGQLANNYYAGKCNGSVETIIHDAVKARMAWDRRIVAGLLHMQFHDCFVAGCDASLLLDGPNTEKTAPQNSQLFGYDLIDDIKTELEKACPGVVSCADIIIAATRDAVGMAGGPSYQVQLGRRDGTVSQAWMASALPSPSVDIPTAINMFAKKGFNSFDMAVLMGAHTVGVTHCSVIRDRLYNFNGTGLPDPAMDPTYIWILSTFACPKNPTFDNIVFLDDPSSILVVDKSYYQQIMNRRGVLAVDQALGDHSATSWMVNFLATTDFFPSMFSYALNKLAALDVKTGTNGEIRKDCRRTN >Et_2A_014808.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:16389468:16389548:1 gene:Et_2A_014808 transcript:Et_2A_014808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFLPRGAVIRATQVIRPYPPPPPI >Et_5A_041796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2942750:2953034:-1 gene:Et_5A_041796 transcript:Et_5A_041796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSSGAGAGGWGGYDDDDDWGLTAEQLDQIERDAIRQLAERKASCAAASTAPAPALAPASPLPTRSPVPATVPLSPLGGNHPAARASLEARFGKGSSPKISIHLSLHSSGMIAAKFIYNQQIVNAFHKIPKACWNARERVWMFPASSLSTAEEVLHSVPELAVEIHKLDPLVQRALVAASGAKDLQGLYDRIPNEVESKLMPFQREGVRFVLQHGGRALIADEMGLGKTLQAIAVASCFRDAWPVLVITPSALRLQWASMIQNWLNIPTEDILVVLPQTGGSNKAGFRLVYSNSKGDFRLDGLFNVISYDVVPKIQSTLLDLDFKIVIADESHFLKNSVAKRTIASLPVLQKASYVVLLSGTPALSRPIELFTQLQALYPSVYKNVNDYGNRYCRGGFFGLYQGASNHEELHNLMKTTVMIRRLKKDVLSQLPVKRRQQVFLDLSEKEMKPIRALSRELETLKMKIQSADSKEMVDSLKLTQKNLISKMYTDSAVAKIPAVLDYLGTVTEANCKFLVFAHHLPLINAIEQYLLKKKVKCIRIDGQTPTTTRQSLVTDFQNNDDIRAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDLIQAEDRAHRIGQVSSVNIYYLLANETVDDMIWETVQGKLENLGQMLDGQEKTLDVSQIDGRPSPSKQQKTLDGYLKRCSAPTDGQPHRKHPRF >Et_7B_054733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3937428:3940410:-1 gene:Et_7B_054733 transcript:Et_7B_054733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQAKIILQELDSFPVSLLPLVDLFPLYLPCRLHQVFAFNNEQARTTPTAKVPRTHTAMAVHGRGTARSLAAVAWLVVSFAASLASARFIVEKSSVKVVSPRSLRGHHEAAIANYGVPDYGGTLTGVVLYPDAKLATGCKPFGSGKKFRSPSGRPVVLLVDRGGCYFALKTWNAQEAGAAAVLVADTADEPLLTMDTPEEETPDMAFLANITVPSALVTKSFGDALRRAASESGGEEVVVRLDWRESMPHPDERVEYEFWTNSNDECGPRCDEQAAFVTAFRGHAQLLEKGGYALFTPHYITWFCPDEFLQSAQCKAQCINRGRYCAPDPEGDLGAGYTGRDVVLENLRQLCVHRVANARNRSWVWWDYVADYHLRCSMKENKYTRRCAEDVAGSLGLPMDKVDECMGDPDADAENDVLKTEQIVQVGHGTRGDVTILPTLVINNVQYRGKLESTAVLKAICAGFKESTEPHVCLTPDMETDECLTDNGGCWRDEKTNITACKDTYRGRICQCPLVDGIQYQGDGYNECKAVGPGRCAMNNAGCWKETRHGKTFSACSDSSLSGCKCPPGFKGDGFHCQDVDECSEKLACSCPHCSCKNTWGGFDCKCSSGLMYIKSEDTCIAKNMSAVGWIVTALVLSCLAGAGIAGYVFYKYRLRRYMDSEVMAIMAQYMPLESQHNENQPLGREEPEHA >Et_3B_029776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27840381:27845529:-1 gene:Et_3B_029776 transcript:Et_3B_029776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSLSRALARSARTSSRPPRQGSLHEGYAGLCAAPQRSPMPGDDGGGLGFARSYLTSALGSRATATTGVGKVGGWRFLPASWQSRRLFSDGSNKNNEKYYPKEKKEKPTEDGDGSDKSDSKKDSNSKFKWNFQEDVVKKFQELLVPLLLLGLMLSSLPRGSSTQEISFQEFKNKLLEPGLVDHIVVSNKEVAKVYVRNSPSSNQSQVGDIHITTSHLPGIGTPSSYKYYFNIGSVDSFEEKLEEAQEALGRDPHDYVPVTYTSEVNWFRELMGYAPTVFLVGLVYLAAKRLRRGINIGSGVGGGARSIFNIGKAQVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESDVPFLSISGSDFMEMFVGVGPSRVRSLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGGHDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDIKGRDQIFRIYLKKLKLDKEPSFYSQRLAALTPGFAGADIANVCNEAVLIAARSEETQITIQHFEAAIDRVIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNDNLLVTKEQLFDMTCMTLGGRAAEEN >Et_2B_022029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8211708:8215693:-1 gene:Et_2B_022029 transcript:Et_2B_022029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEIDLRMATGNGANSYATNSRLQKKAILETKPVLKKAIEDLYMSLPPRSPMVVADLGCSSGPNTLLFVSEVLSAIRTCACGQEMNNRHAVEVQFFLNDLPGNDFNLVFRSLEQLHNLIVEKGKMVAPPYYVAGLPGSFYTRLFPCQSVHFFHSSFCLMWRSKVLKDLSSGTYLNDGNIYIGKTTPPIVVKLFREQFKKDFELFLMLRCTELVCGGRMVLTFLSRKSEEMLMHGDVGRMWELLAEALQSLVQKGSVEKEKLRSFNLPFYAPSVNEVEALIKDQGMFNIEHIRLFESSWDPHDDSDAEAVLDCDQSGGSIAKSIRAVIEPLIMDHFGGSIIDDLFLEYASIVASHLKKGKAKYPVVVRSVKVKKRFSEYRD >Et_7A_050223.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:13357514:13358119:1 gene:Et_7A_050223 transcript:Et_7A_050223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSRRTLLHTPFSGHPSVPSQSVTGATPEDGGVSGSSFDANVVMILAVLLCALICALGLNSIVRCALRCSSRVVVDPEPNPVTRLAQSGLRTKALRTMPILLYSSGLKLNAVNPMCAICLSDFEAGEHVRVLPTCNHGFHVRCIDRWLLARSTCPTCRQSLFGAQQKASGCSEVSQTVPAPVHSVLVPLRPESLVTPYDF >Et_2A_016565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26081622:26085221:-1 gene:Et_2A_016565 transcript:Et_2A_016565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTVLEAAPNPLWSAIASKLKKLVGTADDAAKLASSAEATLLVSDDAETRTVIRDWLQVFQEAKYELDDALDGYNGCVARRQQQPEEAGRSIRSKILHRFRSSTTTANEVEYKTLKTTVEMLDKRMDEILQQGVLLGLQPIDPKRQSWISKFPWDPTPNNNTVGDIENEKMEMVSMLTDSQSTNHVLLIVGCGGTGKTTLARAVFDDHQTRNAFSTLLWVCASKDLTDVELLSAIASATGFKTRGAVDKKKIEEMLAVILGGKRFLLVLDDVWSHQVDESFLNTCLHVQHGSRILMTTRDKGVAGQMGSAVCTVPVKQLLYPDCWSLLSRIACLDAKQCEVLKEIGITIIQRCSRLPLAIQVIGGILRTKDPTWKEWFMVSNHKGWFAGSAIVPNDGMTEIAGSIHVAYCDLPPYLKQCFHYCLHLPEGFMISKHSITQLWISEGFIEEQDGCSLEDTAAEYYKELVLRNLLQPEIGSLDMTRCTVNGCVRSVLQLFTKDLWIGKSRTASSTSPVERATRAHFRTVILYMDPWGDRALDRTAKNIKYLRVLDLTGTEVRHIPGSLEPLLHLRFLNLSHTEIMDLPESIERLRNLQFLVLRCCYRLHSLPHGISKLQYLRTLDLEGSEPHLMLPRLASLQQLTTLHGFVVNSKEATEKDTRGWPLEDLKFLNSLRSLQIVKMDRIQQHLSAQRAALSKKLHLTQLELCGSTRKVHEVEETEASRLNDVLRSLRPPNCLESLKILRYYGLSFPNWILQLPCLQRLVIADCKYCESLLALGELPHLKLLEVSGCSKVRAVERGRTGPPQAFPKLEQLHLNDMQSFESWKGFEDGDLPSLVELHLQRCPSLRSLPSCLEHSKLLTSMLIVSADSLEEIVSLPALRELVIQDSDRLARISNLPVLESLTISGCSGLQDVSELRFLRHLRLMPRELRKLPGWLLAFVRHSSGSVPETLTIVGREELVRNLIRNDEDWPVISGIGKVYGNLPDESPFFTYTKSTAVLEAFVDRRDLVESLVIGPHQLNSWSLHIMLRNVFGRIARISMAGNKKWYSVAILAAVYQMLIRMPTGYIEPVPARIFFAFFAATSCFLYFFSFSD >Et_3A_026712.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:16279361:16280818:-1 gene:Et_3A_026712 transcript:Et_3A_026712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLHVKFLKTMYKLVVDNFLAVAAMAAAATVFQRTSPEEVLAQARAVRPVHWLLALLAAAGVAALRRMRRPKDVYLVEYGCFRPKPCYRAPFATCKEHAHLMPYLVDEESVSFALRLLERSGLGEETCVPEAYHYMPPDRSLKASRDETELVIFSAVDDVFARSGVAPAEIDVLIVNCSIFTPTPVFADMVVNRYKLRADVQNVNLSGMGCSAGLVSVGLAKNLLQVARPGTNVLIVSTEILSSQYYVGTERAMLLPNCLFRMGAAAMILSNSAERARFKLGRVVRTVTAARDTDYRCVFQEEDEQGNTGIRLSKDLATTAGHALKSNITAFGPLVLPVSEQVLVAVSLLKRKLLSGRAKVRLYRPDFTTAFEHFCIHAGGRGVIDEVQHGLGLSDDDVEASRMTLHRFGNTSSSSVLYELAYIEAKGKMRKGDRVWMISFGAGFDCNSVSWECVKPAADADGPWADCVHRYPVELPEIVKDV >Et_4A_033445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22960949:22966771:1 gene:Et_4A_033445 transcript:Et_4A_033445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPLSPSSSQAVVLLLPLPLLQPAITAAGACLRYRFPKLAPPGLSSEEEVVEEAEVTKQSEEESGGGAAEARGSSRFAADYVSLGIKEPEYEVIEVRSNGRMSTKKISRRQLLKSSGLRLRDTRSVDPSLWLLNSMPSMLVREQAILLNLGSLRAIAMHERVLIFNYNSPGGKAFLETLLPRLNPRNINGGPAMPFQLEVVEAALISRIQRLEQRLMNIEPRVAALLEVLPNRLTADVLEQLRLSKQALVELGSRAGDLKQMLIDLLDDPHEIRRICIMGRNCTLDRFSDHMECSVPLEKHIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAREMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAVGALIAGIFGMNLTSYLEAHAWAFWATTGGIVVGALAGFFLMYSYLKARKIFIKHRKL >Et_7B_056013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9241228:9243958:-1 gene:Et_7B_056013 transcript:Et_7B_056013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLSYLQSLWPFSTLLREEDDLLASARLLRGLSVPDETKQFVLALREPGGSPRDGGGVIYILAAQNLSEQSAADAERLIREVRPGAVVTQVSRAALEDVRIEEDCLAGDSGGGGGVPVPASPFQVIKRCVTEKRSKDQYVKAAACQVLREIFGVGFYGHLLAAKRAAEETDSCFLLLESPYERNCGGNGSSRRDTGTDDNSAQQLQASCSVTHRATDDVQLPTSCLLPRSVTSIVSTYGRDTCLMDDYGGKLIKTLAPTLSFLMSQAISSNATTKCKPSECKPADGYEAPPFAQSVYPLLADLHNIFVDIPSIGRAMSSAQKLLTQVHEGKPISSEMLSDIYVFRIAIEALRMGLNSAGRCHIDNRDKHGSQKLDFSDLSSDEKCHILLVQALRSQLREFGSVVAIVDASCLTGIRRHWNTPVPSEITELASRCFSQYGDEDSSDKIELPSANTTEKRNWISEKPVVAVGAGGTAILGFSSLSKTVHASAFFKLAPYKSPVILKYGLMHLQRHAAIVLSKILPHGFVSAGSKASALQLTASAEKIRAVTHTVISSAQRTSLMAIRTSFYEIMQKRHKQPFRITPWATFGCSIVACAGLVTHGDGIECAAEAAPSVPMIASLGRGLESLRLTSQEVRQTKGQNIKEALRTVAAFSVRKSEIWFV >Et_5B_044467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2697434:2701985:1 gene:Et_5B_044467 transcript:Et_5B_044467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYSAAKTSVWWDIENCHVPRGCDPHRVAQNMSSALAAAGYTGPITISAYGDTGCVPHHVQHALSSTGIALNHVPAGIRDASDKKILVDMLIWAIDNPPPANYLLISGDRDFSNALHKLKMRQYNILLAQPPNVSQALTAAAKSVWLWKSFVAGEPQLAESPYTNSTANGSVDDLDASQNMVSDSSNTTPQVHNSVQFDQQKAGNGKGDKQHKVRQPRKNQTDCVSKPASNEKHSVDGVSDSPKVSTASQPNHSHNSSSSSLPSPELHDGAKVSQKIQPLSKKPAKSAHSHQKSAPHDFYHGKKPGVSTESAPKNGAPDFGAGTGHCHPKYQKPQSYQPPRPQNPVNHHPHGGSGNFQASNAHRSNSCPPPVGHSGIPTAPLQSWPSGPPYHGPPINYPDMNRLNISEYPRGGHDSQGLNTNYRPNHPGTPHIVQPSFSDWHPVTPNMYSSSNMLWGVNPACTQPSSDPQGLVRYILNALEVLKAEKIPPTEQNIADCIRYGDANLPNFDVKMALQLAMQHQTVVMKKLGKMSFYLGKNENLWKCVNIMDDNANFPKETLDAVHRFISSAHGYSTIKNSQSRYQAATLLKKTCLKNLALAEVLQVLNIIINTKKWFVPHSSGWQPLSFNVVDPTTNAERELTRRLDFGL >Et_8B_058712.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1790809:1790907:-1 gene:Et_8B_058712 transcript:Et_8B_058712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIICGRGGTRSRGPYQFKISNNFVYLLLFI >Et_2A_018021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9083027:9083423:-1 gene:Et_2A_018021 transcript:Et_2A_018021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSDLPSGLVAAIADELTELSDLARFRSVCPSWRSATAAHGARLRVPLLLLPTERYDSRVNRRVWLKEVPVAAALGHAFLFATHHGWMLAVARDLKTATLVYPFAGGDSAGLPALPFSLSADGY >Et_10B_003715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5153753:5156696:-1 gene:Et_10B_003715 transcript:Et_10B_003715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGATASTPQGVESRRGIPAAAFVEDVEAYLRQAGLDVNSALAFLQERLQQYKVVEMKLLAQQRELQAKIPDIEKCLDTVATLQAKKALGEALIADFELSEGIYSRAKIEDTDSVCLWLGANVMLEYSCDEANALLKKNLENAKGSLEVLIADLQFLRDQQTITQVTIARVFNWDVHQRRSKQAVKET >Et_8A_058034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1515318:1533154:-1 gene:Et_8A_058034 transcript:Et_8A_058034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALFSRVLNYIYHVLPEPLVYTYGDLCILFDDDDGGVDRLSLLPDNLLGNIISRLPVKEAARTAVLSRRWAPIWRSTPLVLVDTHLLPAGDDVIPDHLDRASSNAVADAVSCILSAHPGPFSYVRITCCYMDEHRAEFVGWLKHVAVKGGVKELFLINRPWPLETKSTIPTTLFSMAELTCLYLGFWNICIDKVDMEFVLSRSPVLEILSFDGLFLPLRLRLISNSLRCLQVHGCKLDNITVVDALRLERMFLHTYESEGLKNRIKITHAPVLRLPCQSDCSCRGRGGHQNEQSSRAAAPSHHQALHCWKGYQPAAVEVGVAMHFLLCSRSSAHAALGPPPTERCSYWMMRVSRSRKV >Et_4B_036125.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26092058:26092317:-1 gene:Et_4B_036125 transcript:Et_4B_036125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HGEILIVNIRVSSFLFLVAENSTHSKEKKNHWNNQITFKHEPTSDGVLFSTQWWSFFSNRPSGPAFRSV >Et_9B_065225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2605790:2607677:1 gene:Et_9B_065225 transcript:Et_9B_065225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGGLRRLLAAAATAGVAEARAAIFGHALNPTGKRAATKLMRKKMVGDQVAQWYPYDIKRDDPLVMAREEKERLSKLEMLKRRGKGPPKKGQGRRAVKRSK >Et_3A_023558.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3553962:3554039:1 gene:Et_3A_023558 transcript:Et_3A_023558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVPAYRQPCFLPTPPTPDGNILF >Et_10A_001317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23298563:23305269:-1 gene:Et_10A_001317 transcript:Et_10A_001317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADASPATGGEEYGGRMTTFVVLSCVVACSGGFLFGYDLGVSVYYVRVQKVPENSCTVFFFWCVCETGGVTSMNSFLKRFFPEVYRRKQNSKVSHYCQFNSELLTLFTSSLYIAGLLATLVASSVTRRFGRRTSMLIGGTIPLYLAEMAPPRYRGAINSGFELCLSFGILVANIVNYFILKIKAGLGWRISLSMAAVPAAFLTISAIFLPETPSFMIQRDGNTNQARVLLQKTTRHPFRNIFKRKYRPQLAIAIMTPFANQVSGINVINFYAPVMFRTIGLKESASLLSAMVTRLCATCANILAMMLVDRTGRRKLLLTGGVLMILSQFTVCAILAAKPSGQHIPLLTSRPFGLRAEAA >Et_8A_056367.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4671075:4671104:-1 gene:Et_8A_056367 transcript:Et_8A_056367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVLMADW >Et_4A_035908.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8354281:8355720:-1 gene:Et_4A_035908 transcript:Et_4A_035908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIINEPTAAAIAYGLDKKASSTGEKNVLIFDLGGGTFDVSILTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFAQEFKRKHKKDIGGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYATITRARFEELNMDLFRKCMEPVEKCLRDAKLDKAQIHDIVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNQKVQDLLLLDVTPLSLGLETAGGVMTTLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELTGIPPAPRGVPQINVTFDIDANGILNVSAEDKTTGKKNKITITNDKGRLSKEEIERMVQEAEKYKAEDEEVKRKVEARNALENYAYNMRNTVRDEKIASKLPADDKKKIEDSVEDAIKWLDGNQLAEAEEFEDKMKELEGICNPIISKMYQGGAGGAAGMDEDMPSGGASNGGGSGAGPKIEEVD >Et_5B_045103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9616739:9617890:1 gene:Et_5B_045103 transcript:Et_5B_045103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRKHEEVARLLLRHEYWNMSNKDCLIGTTQGLVPGSQARAKEMRKVGFQEASGKEEVVDKREI >Et_3B_028802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19255961:19257675:-1 gene:Et_3B_028802 transcript:Et_3B_028802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKQLPREQVQVATKFGVRQDAGGVTVCGRPEYVRACCEASLRRLGVDYIDLYYQHRVDTTIPIEDTIGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPITAVEMEWSLWSRDIEHEIVPLCRELGIGIVPYSPIGRGFFGGRGVTEQVSAGCSLQKHPRFAEENLEKNKLIYLKIECLAKKHQCSPVQLALAWVLHQGDDVVPIPGVTFYNPIIDGVKLTDEDLKEIGSQIREEDVAGGRQYNSYAHTAWKYADTPK >Et_5A_041435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22662281:22666586:1 gene:Et_5A_041435 transcript:Et_5A_041435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLMDDLVGEILLHLPADEPEHLLRTTSSDTGEWSTPVPHQRIEFRQPSTTGNIFSWKYTTQVTRPSGISGLHVLAVSKDEHRVVHAAHLGGLEGRDVHAGDNAIRSEEENAVPQVSYTSKNRWCCDGEGKQVWKLEHICLLPRGVNLINGPEGQSIMVEGGESEETITHFRQEPDLCQPTAVLHEGYVHEHEASVEQSPESVGGWSTWRPKTSSGASIDARALHSPVSDENSHACTRSSLTLAIATRKWPRPQERWSQSVPAAQNTAAFESSSPCDWAGAGSSRFWPVSGFHTRSRPTSSRNRSTRPWRQSRTRHRRASVKGGRILVVGRKMATLSSSSTVLTMRPSKGGVRWKARYRGTKVGWVRMLRHTVHTSMAWTRVSGALGERRRRISQVQSTGSGGRRRGGEFGVSGARRCSPAAARPICRLSTGGADAVSNALKADESGSCSSWPGSPPPEDHLREISCLNYKRSRGQGFERERQTADHTTGGRRRRRRSGHLLRLPVTARQVDGVLRHGPLFLRLRQGRRGRVADAVVEHDARRGVVPLPLLQQLPEELDSPFLDRDRLGHEAFGHLRALLRIEFGEEGAEVARAVHAQHGGELGAGERREDAVGAAVHSEARTPPAALLLGRHGAWLDQRSDEEEGRTGWRGIGEEAAGEGREGSCAARRVFPSCGVLIFEVGGRNRLADLLLSYDG >Et_2A_017951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8258273:8260652:1 gene:Et_2A_017951 transcript:Et_2A_017951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRDHILIPLLFVSLCLRVMSHHAAALSFNYDFSIRANLETPDLKYMNDSSPAGDRIDLTIGTQHNSTGRVYHRQPVRLWNGRKRASFSTSFTFAIGGNYSNERGDGMAFFVGPPRLPSDSGRRLLGLFSSSSSSPPQTVGVEFDTCRNDGWDPADITDHIGIHVNNIISVNYTSLPNLGLWPSMVPCSTMITVSLLSNESSYSVVAPVDSRDAGVPQDAYVGFSAATGLRLCFGLSSAHRLRDSIQRGASGPKHSAGGGEEVDALIMRDYVTEIKTLCRLSHRNVVRLVGWCDGGGNDKLLLVYELVTNWSLGKHLHGAERLLAWPERYEIVRGIGSAIEYLHTGNENTILHRDIKPSNVMLDDVFQAKLGDFGLVRQVWSGQGSLRTAMVGSWGYMDPTCITTGTMPVTLPDQEKGYATNTLVNAVRNSYRRGAVLEMADERLNGDFNRAQMERVLLVGLLCVHEDRRKRPGIRDAVNLLSNPGHHPIPLL >Et_5B_045349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19295456:19297679:1 gene:Et_5B_045349 transcript:Et_5B_045349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPALMDELVEEILIRLPPDDPASLVRAALVCTRWCRLVSDAGFRRRFREFHRTPPMLGFIYYTDRTSTFVRTSSSCPPIADCGKLLVMDARHGRVLLQSTRPEWLGDPLTNVLVVWNPITNEQRQLPLLPMSRSPSGWNASVLCASYGICDHLDCSHGPFLVVLVVTISNYISVYTYSSEAGTWSNPTTAQRPIDEGWVQGRVIDVRTLLPAGARINSFKVVGFADAVDVIFVCVNDEIFTFDLKSGQAKMHWEHQVRDLKQVHHFVA >Et_6A_047750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13711856:13714090:-1 gene:Et_6A_047750 transcript:Et_6A_047750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSEVLKDAISQVISDAREKPRKFIETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAQRIGLDHMDVEGLKKMNKNKKLVKKLAKKYHAFLASESVIKQIPRLLGPGLNKAGKCFDRSSSSKFPTLVTHQESLEAKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVKCLYIKSTMGKPYRVF >Et_2B_022173.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:10335836:10336501:1 gene:Et_2B_022173 transcript:Et_2B_022173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKEEHYTVMADHLLHNPPSYDLKLWAQRHPSIRVLLKLQCVLHGFVSRHASRVAAALGGTRSVLLDLLSKKASVKLMISRKIKRRRKNNTDNRSSNSGPVTMQLSLLPPGAMLSSCLSSETAVLSLPDDDKLLCPCSYYDPSWNTVNPAELPLQLPVTGYLEWPADQQDQMEDDIEEEDAGCNEIDMLAERFIARCHERFMLEKQESCRRFQEMLARSL >Et_10B_002391.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:16976841:16977335:1 gene:Et_10B_002391 transcript:Et_10B_002391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLTLLSARSLCACTWVSRSWLITDPANRHRLAQTLSGIFFKQPRVLAGPRFFFAGLSSPTPPLVAPSFSFLPSSYKDVELLDSCNGLFLFRCSLISRFDLGPRLSPRFYVVCNPTTEEWVALPQPSYPQVLSYSDEAILETEMTLSGLGFDPAVSSHFHVFQLL >Et_3A_024451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20977129:20988812:-1 gene:Et_3A_024451 transcript:Et_3A_024451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVFKSAVVSIPWTFLVYGLLGVLFLWQAGRLLEQLWWKPRRLERALRAQGLRGTSYRFLTGDLKEYARINKEAGSKPLPLRCHDIASHVAPFVHNAIREHGKVYFSWFGPIPRVTITDPDVARDVLSNKFGHFEKPQFRAVSKLFAEGVASHEGEKWVKHRRILNPAFHLEKLKLMLPAFSVCCEELVTRWTQSIGSDGSFELDVGPELQTLTGDVISRTAFGSSYHEGRRIFELQGEQIGRLMSIIQKFGIPGYMMHQINKEINSILRGMIGKRIQAMKEGEGTKDDLLGLLLESNMRHSDENGQSSTGMAIEDVIEECKLFYFAGMETTSDRAREEVLGLFGKNKPGYDGLSRLKTVTMILYEVLRLYPPAISFTRKTYKEMKIGGITYPAGVMVELPLLFIHHDPDIWGGDVNEFKPERFSEGIAKASKDTLAFFPFGWGPRICIGQNFALLEAKMAMSMILQSFEFELSPFYTHAPHPKWFLECSRVRFSQSHGTSWSTASWALCSYGTPAGCSSSCGGGRGGWSRALRGTSYRFITGDLKEYVRITKEAESSTLPLRCHDIAAHVAPFVHNNIREHGRLCFSWFGPIPTVTITDPDLARDVLSNKFRHFEKPQFQALSKLFADGVASHEGEKWAKHRRILNPAFHLDQLKSTTFQLMLPAFSACCEELVIRWTQALGSECSRELDVWPELQTLTGDVISRTAFGSSYLEGRRIFELQTEQIGRLMSIIQKFGIPGYMSLPTKNNRRMHQISKEVNSILRGMIGKRIEAIKEGKSTKDDLLGLLLQSNMRHTDENGQSSKGMTIDDVIEECKLFYFAGMETTSVLLTWTMVILSMHPEWQDRAREEVLGLFGKRKPDYDGLSHLKTVTMILYEVLRLYPPATTFSRKTYKEMLIGGITYPAGVMVELSVLFIHHDPNIWGSDVHEFKPERFAEGIARASNDKLAFFPFGWGPRICIGQNFALLEAKMAICMILQSFEFELAPSYTNAPHTVMMLRPMHALLMLREASPWALAGALVAAVLSWLAVRALEWAWWTPRRLDRALRAQGLKGTRYRLFTGDVRENARINREARKKPLPVGCHDIGPRVLPMFDMVRKEHGKLSFTWFGPTPRVLSNKFGHFGKLNSTRVGKLLANGVANHDGPKWAKHRRILNPAFHHEKIKRMLPVFSACCVEMITRWEKVMSSEGVSEIDVWPEFQNLTGDVISRTAFGSSYQDGMRIFQLQEELAERLIQSFQTIFIPGYWFLPTKNNRRMRQINREMRKTLWDNWEKREGY >Et_2B_019876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14796194:14800452:-1 gene:Et_2B_019876 transcript:Et_2B_019876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKHGWQLPAHTLQIVAITVFFLLVVAFYAFFAPFLGKQVLEYVAIGVYTPVALAVFILYIRCTSINPADPGIMSKFEDGFINLPNNSAGVEGMNLNQKVNNTTGTNSPTSTCRSSLDGQSNRRGSSLGETTINIASQLPKKRSGCWFLGGLLCAIFVKEDCRKSDDSEQQENGEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFLALMAISLIWLAIEFGAGIAVIVLCFVDKNAQGNIQEKLGNGLTRAPFAVIVGIFTLLSLVACIPLGELFFFHIILIRKGITTYDYVVAMRAMSEAAPEDEEGANIIYSPSNSATTGFSVGSSLGLHHKGAWCTPPRIFIDQDEVIPHLDPGMVPSTVDPDAAGYAERANKAKKTVKISARSLAKLDRNEVMKAAAKARASSSVLRPIDARRGHESDVISSDNASVRSSTSIDYSGTKESNSEMRLSPLQNSYPQSLVSQDDYETGTPTASSLSSPVHIHKLAPHSQFNPTAHPPPPVRPAPGVHRPPVPTTQITNPMFQSATSYVRDNRRASVVWDQEAGRYVSVPAQTKTGPGVELPARNPRFLANPSGEPSHHGRNPAPGTTSSTMPTGQPSERLTYTGQSIFFGGPILSTPGINAQRRNEAGARAHPEGSRDPNALQRDIRGERARTGSLPVFAPGTFQKNPPFDK >Et_3B_031400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28313433:28317569:1 gene:Et_3B_031400 transcript:Et_3B_031400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRSATANSSPAATPSPAASSTPRSVKRRLTPSRAGQSPDASRHTSPHRSPHAGAGTVRSAARAGPRCRSARPLLSFRLFPVAMHTNCSISQVFTPKLLSASPKSSRKRLYGDLVAPEKPKWNPRGIIGSLMENCLWHADPAQMRAVKEALHVATVPSCGLVCRDDEQNRVLEFCKTCVEQEKAGSLYVCGCPGTGKTLSINKVKDSLLRWADEILAQVQVRKKGSGKLSPLQQLQSIFSNKESAPRRMMYGHFVPIVLEYDVFEPLALEFCARKVAAASGDMRKALGVCRSAVEVLEAKLKDSDQELGMVTFDHMDVALSKVFKSAVVDSILCLPQHQQGFMKLGQSKEDKLRRVTLQIDSSDITFAFKSLQPSGKQSRPVQIGRCIVGVTVQSTACV >Et_5A_042451.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:11589198:11589632:1 gene:Et_5A_042451 transcript:Et_5A_042451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPARVTPCAWRAQAGEAWGRAEGRSSSAARGGEGSEEGAACDGVVGGCVARGQGKAAHRRGPARGRSRVGRGVLSGVGEAAGHGRSRPRDPSSSSGSTMELGRCRAAGGDAVQIRGRPGTATGGAVRRRGRQWRGGGGERREE >Et_7B_055068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:773231:774974:1 gene:Et_7B_055068 transcript:Et_7B_055068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGMKREIGVDHDALRFGINAGVKADLVPPHPLQSTIQPETKFWADKKRSGAEAIYGSAFNIRKDLDAQILSRFQRPPWCFAIALTGSLDDFGFEDYLNMPQDSDNFRQPDMHHGMEVRLGLSKGPICPSFN >Et_10B_003787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6090534:6094109:1 gene:Et_10B_003787 transcript:Et_10B_003787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAEKSGLERMAASPERSGPAAAPETQPPTAVLPPQPPVLPASFLRGLDLTRYFLLFSSFPPAAVPPEEGGLLGVVRAGHEEGHLHDQVLQEMPPKQLSTRGYGFRLVLSGVVRYGEKADDEKPEDEVKNKQWICPKCRGICNCSLCMNKRGEAPTGMMAHIAKASGCSSVHDLLQKGPDAVAEAQTKRKANAQQDENPADGGDDGEPQPRDEIPDSDAAAANNFVLPRGTPLNNIAGVEVDEEVIGKGQPKKILQDIVGGHELRLVSSHVAEFHINLLCVIQEGMGMKPPSYTRDGDAWIIDVGKHISESTFMSKELPPNCLNQGVSGYKNLSPSSKLRILNFLCDETLSTDKLRTWMNRKIDIAAERMNATKEKVRAAKQKEKELKERLKNSMDKTTLVPNETATVGTEEYKDLTSQIKEAQEVKRAALNGNYVTGYIQKFTH >Et_3B_029683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27135919:27138041:1 gene:Et_3B_029683 transcript:Et_3B_029683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAESKEAAGSSKTQEDSKKDEKKEDKAAAELLRVYYPFPYPYNGPVSRSSASTIERFSASLQQFTKYNSSSAPIAVAIGPYHHGSPALQEMEGVKRAALEEFRRAASQPCEAVHGKVLSLVGPARRCYAGGERELRYIEDGKFADMMFLDGWFLLQFMVSMCPDDPDAPAEADLLMSRAEVHTRIDDIARDVLLLENQVPWLVLEALMELWPAVPVDRFLRLMATAFDVGNEDNNPTDDDGLRAQLAAGQANHEDNDQPPPPHLLGLFYRRQVGTARTQSLRVPRLSSLSSTAVELAEMGVKLTAGGKTTNKFGDMSVSERRRRLGLYGELSLSPLVLNDLTACWLVNMAAYEACLGATQADNFAVSSYVSVVALLMNREEDVHELRAKGIVISAFSNERTLGFFKALAPYLHVGHRYYHVFQRLQEYKQRRWVWIPVHRFFYNNVRTILAVLSVAGVLAGLFKSILSLKQPQRRSSSMSNSLLSTLAGADDALLIPGPSATRTRPAAWVVFLNNVIPIVEMLVAIRLAAKVVVSFLPVA >Et_1A_006247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19621743:19622334:1 gene:Et_1A_006247 transcript:Et_1A_006247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSQHASCATSKVCSMNYLADSFCKQGEVSENTVSTEERQILDELEADIEEDLEHEIIDSMCRLARHLQRLYQHKDRREHADSATDCQFSLPHTAEKMSLSEVNIRIKLDGKCRIDITKVEQDAAAAIQPKPRPNISQFDKNKGSMKTRHGDTVYCKKQRNHPDVPWK >Et_9B_066181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5966507:5968702:1 gene:Et_9B_066181 transcript:Et_9B_066181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPLRHTHHYCCASRSLSPSSASVSCGACRDAADLDARDAPPPPSSAVSFSAHPSPAGLTTTSARQTGHVECSLSHTSTQPRWKTCKHLGSRRAASPSAMAPRHTAHSRSSPPPSLAAAYTNSGSAAMASASSPAARFLPAPPSAPSAAMAAAAASAASRLAERRTRNQRMAQRTRRMARREPKLMPRTTRYEVVVQQASAAASRREE >Et_1A_006942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29266057:29269093:1 gene:Et_1A_006942 transcript:Et_1A_006942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPERARALFVLVATLTVAVAHLASPARAAWVDYPSGVPCGVTIPVEQCDPADAAANSACMDMCHYGGCRRGGRCVSLGFGRGRGCNCNDFPCGAGGVGMGGLGLPVERVVRGGRAGGAVRPGRRGGEQRMPRHVPLRRVPRRTVRVGRAARRRTGLPLPALTSWLGAMLRAAGGINGHAGSELIVSSSVFDLMTSEQKV >Et_3A_024718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23522406:23530787:-1 gene:Et_3A_024718 transcript:Et_3A_024718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TLKSTEKHPKGAHLAHLNVPIYPSRVRSHNSIHDGIQQLVARLRKAAMAAAGGGGEGAELLLLGTWSSPWVIRVKVALGLKGLSYEYVEEVLASKSDLLLRSNPVHKKVPVLIHGGRPVCESLVIVQYVDEVWAGAGGAPILPSDPNDRASARFWATYVNDTFFPSWRALFRSTTDAQRAEAFENVVPVVETLERAFRECSKGKAFFGGDAVGLVDVALGSHLVWIRVVDEVSGTSLLDKARFPGLAAWAERFLAVDAVKEVMPDAGKIGPGNTVRASASFTTMATGGELQLLGAWYSPYVFRAKVALALKGLSYEYLEQDLFNKSDLLLRSNPVHKTVPVLIHGGRPVCESLAILQYVDEVWAGTGAPRLTPADAHDRASARFWVAYVDDKILTNLARRISDRTGNLQMSFFLSWKAISWSTSEEQRTEAFKNAVPVVDTLEQAFWECSKGKAFFGGDAIGLVDVALGSFLMAIEVVDKVTGTKLLDEAKFPGLAAWAERFRAVDVVREATPDAAKLMEEYKASIAKWIASRGKTLLSAMAAGGELQLLGSWYSPYAIRAKLALGMKGLSYEYIEEDLFDKSELLLRHNPVHKKVPVLIHDGKPVCESLVVVQYVDETWAETGQALLPVDAYDRATARFWATYVDDKLFQSWRALFRSTTDEQRAEAFKSTVPQVETIERAFWECSKGKDFFGGDAIGLVDIALGSFLVWYKVVDEVAGTNLLDDAKFPGLAAWAERFLAIDSVKEAMPDYGKLLEHYKGLAESKQAEMAGEGSEELRLLGEWLSPYCIRVTLALALKGVAAYEYVEEDLEHKSELLLRSNPVHGKGPVLIHRGVPYVDEAWAGPAILPSDPYERARARFWAEYIDNKFMPSWEPFFKAKTAEEKTETFKNAIPAVETLEGALNECSKQGRSYAGFAPSSKGKPFFGGDSIGYVDFALGSYLAWIKAVDEVAGTNLLDEARFPRLAAWAERFAAVDAVREASPAVADMVVFYKKMMA >Et_9B_063904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20452994:20454034:-1 gene:Et_9B_063904 transcript:Et_9B_063904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEPGAEADSGGGAAGRSAVAGGKLPSSKYKGVVPQPNGRWGAQIYERHQRVWLGTFTGEDEAARAYDVAAQRFRGRDAVTNFLASRSKAEVVDMLRKHTYLEELAQNRRAFAAVVSASPPSPAAAPEHLFDKTVTPSDQHAEKHFPLQLPCKGVLLNFEDAAGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGDAVGFYRSAGKQLFIDCKVRPRTTGAAAFLNAAASPSTVKAVRLFGVDLVTTPTRPASAAPVQEDMMAMNKRPRDFIAASTPHMFFKKQCIDFALT >Et_4A_032352.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31416869:31417180:1 gene:Et_4A_032352 transcript:Et_4A_032352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVNGISNVLESDSMNLVAALQTEGFDFSPGGMMYKEARALLLSHFSSVSIRYAPRSCNSCAHELARSSLSWDPDQSHVWLDPLPVFVTNLLVRDFAEPVRHE >Et_1B_012760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34911888:34914631:-1 gene:Et_1B_012760 transcript:Et_1B_012760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPARIFSSSVEPLLLSASPAARNGRQGHLGRSIRSFRASSERTTTLLPSDIDLQEGLTNVQTILHQRRKSGREMMAAVDNLKRLCIDHYFQEEIESAMSACMHLVHSDDLFDATLAFRLMREAGHDVSADDILRKFTDGVGEFSLALSKDIRGILSLHDMSHLNIGEEALLCKAKKFSSRHLASAVRYLEPGLARYVRQSLDHPYHLSLMQYKARHHLSYLQSQPNRNIAMEELAISEFQLNKLLHQSEIQEIKRWWANLGLAQELPVVRDQVLKWYMWCMTVIQGSSNSRYRVEITKIVSFVYVVDDIFDLAGTPEELSRFTDAIKILYIDIAQDAICRWDITAADSLPGYMRSCYKGLYTITNEIADMAEKEHGWNPINHLKKAWAMLFDGFVMEAKWLATNQVPTAEDYLRNGVVTSGVPLVLSHILFLLGHDQASSNEALKLIDPVPPVISCPAKILRLHDDMGSAKDEAQEGLDGSYRDFYLMENPRCAADDAEEHVLLLIAKEWEELNKECFRRTSFSSSFTQACLNTARMISVMYGYNEEQKLPVLEDYMKMLLL >Et_4A_034603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4710396:4711375:-1 gene:Et_4A_034603 transcript:Et_4A_034603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEHLAMAAASSECSSGCQSGWTTYLDEDDHSSHSCATARFHGNGKAQQQPYYCHGDYSEEEDLSMISDASSGPRHPQYSAGNDVVVEAAAAAAHAMMSSAAERRGRRADAASARRQSKKAAAAASLLEDTASSPAFFGYSKATSSGEVNGYGYADEPMMEFGNAADFSCAFSATTGFKSPLNGTPLDGYLQMQYSPAPAKPMPTRQVCRDGGEMKKRW >Et_6B_049027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16812166:16812866:1 gene:Et_6B_049027 transcript:Et_6B_049027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEMLPWKREYDAMADMWSLGFVMTELLTGKPFVDGNEDQDQILKGSRCAGAEDIAGLQVLFACWYSDQRPLRQRRDWVRHQYGHANDCHTSLAQALSSHSHNTPCELQTNSAETRTANVPVPSTPMLQQHVEKKDDFEGLAGTIATERDDHEDGALAVASLNGTTALSVADDSIEDGLL >Et_6A_047556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7239482:7241567:-1 gene:Et_6A_047556 transcript:Et_6A_047556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDSYQTQQEDIAGAEATPASPKLKLYSFWRSSCSQRVRIALNLKGLEYEYRAVNLVAKEQSDPEFEKLNPIKYVPALVDGDAVIGDSFAILLYLEDMYPQHPLLPKDPKQRALNIQIASIVGSSIQPLQNYPVTDFIEEKFDSDEVIKWIQHHISRGFTALEKLLKGCSTKYATGNEVQLGDIFLEPQIYGGVKRFGIDMSNYPVLARLHEAYLEHPAFQAALPEKQPDAPSS >Et_9A_062210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20755824:20757433:1 gene:Et_9A_062210 transcript:Et_9A_062210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLCCVQVDQSTVAIREQFGKFDSVLEPGCHCLPWFVGKRVAGHLTLRLQQLDVKCETKTKDNVFVNVVASIQYRALAGKASDAFYKLTNTRSQIQAYVFDVIRASVPKLNLDDTFEQKNEIAKAVEEELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRMAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKASSVFIPHGPGAVRDIATQIRDGLLQGAATQHH >Et_5A_040896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14336518:14338247:1 gene:Et_5A_040896 transcript:Et_5A_040896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIWSSSSEYKAIRVPVISSLYHDAYESNATLCICFYHIYDTPSLGKVHGTITIRGDYVPHSAPCVQAVNDSNMNCICCIITGQDQLEISISKILRLARECHKPVPGGSKCGSKYLAISVSS >Et_7A_053058.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:5936809:5937462:-1 gene:Et_7A_053058 transcript:Et_7A_053058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYSELVLPKQLLHLLLLLGYIRRFLLWAFHAVGLGDLLDLGDDHHQPLLTHDHHHHHHALPQGAPTQLLQHRRPEFRPVPAMLVEEVLPVVRFDELCPAARCGAALDAEDCAVCLSGIGGGDEVRRLANCRHVFHRGCLDRWMDHDQRTCPLCRAPLIPDEMAGAMLWAAAAAVPDASDFDLSYFGAPLTPVPSPTLLRPHELLLTGMGGFQ >Et_7B_053491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22881830:22882491:-1 gene:Et_7B_053491 transcript:Et_7B_053491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPLPPPVIGKSGNLTVFITPPSPAAAQSPEPESPGSEFSTPPTSPRSVDSPDSPTPDEQPAATPPQVRAASPPPLPDDTVSTPPPPVQVPPPQYEKASAGTDGSLATFFWDAVARVQEAHATLDEYISNWFGLDQSKYQWALNDYYEKTGQVFTHPKLQFPLL >Et_10B_004332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4140611:4145779:1 gene:Et_10B_004332 transcript:Et_10B_004332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKILSCSSRFFLVSTSPLSWGRQVPFSGMGTKVEDKACIPGSFDMADSSVNSNGNVLRYYEESKPSLCISDKFTIISANGSVHYDKEMLKRTMLAHEATFQKQVYELHRLYKIQKDLMAQFQREELNGHPRYADTLLSRSYAPQAPLGDVKGVWQTRTTISGHDLKNSSVDFMNETSSQYSVNRASLRPNNVRSIKKMLDLQLPADVYDNGDNDVEILDEKPLKSLPGTNVPVHGGNGLNLNLLASQGNSREKYADKVSGSYFFGSIEEVRHINPFGWRNEGKSEDRTLTRIPSFPNSAAAAAAAEKDVRCSPTLECDINIATVIKHVTDKEMKPQSNADSSIKNLFDLNEALPIMDDPEMQCESESDIAPHEPEDPLRDSLAITAAENLMAICTDGVQLGSLQLDTLHWFAELATLKENMTCKSDNDSDDDFEASTLKLEEIKGYEYHSTPRAQEGDSNDGHRSAASLLVTGPRSSKARGRPPKKKNFQKDILPGLASLPEQEVSEDLCALGRSKPATSVKRGRKGQQQRGMRRAKSVAALVKEAEVSLSPVQPPLVPADLDTDEPRITKWGRTTRRCRRPRCPPVNNASLHGNSYVLVLRQ >Et_7A_050879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1224637:1230467:1 gene:Et_7A_050879 transcript:Et_7A_050879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAWREHLPHENGADSDGDDEREEEEDGDEGDEEEVEEAEEEPRLKYQRLGGSVPAILSTDAAAAIAVAERMVALGTHNGTLHILDFQGNQVKEIAAHTATINDISFDADGEYIGSCSDDGTVVISSLFTDEKLKFEYHRPMKAIALDPDYSRNYRRFATGGLAGQVLVLTKRTWGGGYNKKVLRDGEGPIHAMKWRTDLLAWANDAGVKVHDMKTDKGIAFIERPKGIPRPEFLVPHLVWQDDTVLVIGWGTSVKIAAIRTDLSQGLNGIQRTITAASSEKYVDIVGSFQTGYHISGIAPFGDLLVVLAYIPDEDDKDKKFSTSVPSRQGTAQRPEIHLVSWKNDELTTDALPIHGYEHYKAKDYALAHAPFSGLIDCAVTVMAIYFRPDGNSSNEGTLFWSGSSNAGGQWAAGDEPLYYIVSPKDIVVAKPRDTEDHIAWLLQHGWHEKALAAVEAGQGRTELLDEVGSRYLDHLIIERKYAEAAQLCPKLLRGSPSAWERWVFHFAHLRQLPVLVPYIPTDNPQLSDTAYEVALVALTTNPSFHELLLTTVKNWPSTLYSASPVISAIEPQLNSSSMTDRLKEALAELYVINNQYEKALYLYAELLKPEVFEFIEKYNLHDAIHDKVVNLMILDSKRTVHLLIQHRGIIPPYEVVEQLLHTSKNCDKRYLLHLYLHGLFEVDIHAGKDFHDMQVELYADYEPRMLLPFLRTSQHYRLDRAYEIFAQKELVREQVFVLGRMGNAKEALSTIINKLEDIQEAVEFVMEQHDDELWEELIRQCLQKPEMVGMLLEHTVGNLDPLYIVSLVPDGLEIPRLRDRLVKIVTDYRTETSLRNGCNDILKADCVNLLVKYYHEARRGVYMASMDEEAHGNRADDGSLRASERSTSVRALDIKSRTRCGGRCCLCFDPLPIQDISVIVFYCCHAYHLSCLEGGLDLMKSNSNQDSDNGSDDDDGSPSAESRMRCVLCTTAAA >Et_1A_008429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7635903:7640774:1 gene:Et_1A_008429 transcript:Et_1A_008429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDGEVLFLGGVGEVSIGVDHEGLSLQPLHPELTSSCWSSIQLQPKLENEIKFSDIYAVQLLDVGPVCGPWNTKTVIQGKKNSEMHRFVVHGTTRSRKHPSAWVPCEYLFGHKELKTCKIWVQQIATFINKEGDRPKNLMVFVHPLCGKGRGLKNWETVAPLFNRAKVNTKVIVTERAGHAYDTLSSLSDKELKTFDGVVAVGGDGLFNEILNGLLSSRYKISYPPTPEGFRYFGGNENCKGNTSGGLDYSTRTADSANIMVSGNSNKCDDHEPLLSNSQHTGLDISSSDQDHVISFPNDWFRLGIIPSGSTDAIVLSTTGERDPVTSALLIILGRRMSLDIAQVVRWKSSPTAEVLPTVRYAASFAGYGFYGEVIRESENYRWMGPARYDFSGTMVFLKHRSYDAKVAFIETGNTHSLAASSEDAAGGAQPLQFRQKRPRKAICRTNCFICKEASTSRRNSEDEIPDSSRTICENPKWVWSKGRFLSVGAAVISCRNERAPDGLVADAHLSDGFLHLLLIKDCPLPLYLWHLTQFTKKGSDPLNFKFVEHHKTPAFTFISSHDESVWNLDGELFQACEVSVQACRGLVNLFASGPEV >Et_10B_003100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16558985:16563635:1 gene:Et_10B_003100 transcript:Et_10B_003100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQQNQPLPTLTSEELLQAQAELWCYGCGFLKSMALYCAIKLGIPNAVSRYGGAVSLSELQALLPVAPSKRPCLSRLMRLLAASGILKEDYETNPAQSEVTYRLTAVSRLLVDDGGDVASLSPFMTTCTGSSCYFTASLRLADWLKIEDDVAAVETPFMMAHATDLWGMVGRDAEFGAGFNEAMASDSRFVARIVVRECREMFTGVRSLVDVGGGDGTMAKAIADAFPHVRCSVLELPQLVDGLQADDGKVEFVAGDMTEFIPPADALLLKFIFHDWSDEDCIRILKRCKEAISSQEPKGKLIIIDTVIGSATSKQTLEAQLLMDLSMMVLLAGKERGEEQWSRMFMDAGFTRYKISPILGTRSVIETAAAQGEVTYLLTAVSRLLVDDDGDVGRARAGLSPFVDCRFVPAMYTAWLRLPEWLETEDCDAAAQAAAKTPLMMAHDTDFWGIIGVNAELGAGFNEATQSDSRFVAGIVVRECGEVFAGSLVDVGGGDGKMAKAIAAASPTCDARCWSFPSLSMACRLMTIARGHDEFIPPADALLLKVRVNETINISLEHFILHDWSDENCVRILKRCREAISTREPKGKLIIIDTRAKNNRDLGWNNSSVLWNILFDRWNNCFAGTIRLSLVGDITGVGWETAAEG >Et_7B_054971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6049685:6053622:1 gene:Et_7B_054971 transcript:Et_7B_054971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGSAAEAEARSILERAATSSFPPLHAVHHLLSVGVCVRCILRLFGAYSSACSCSSLTALVLHSFLEEHDDSIKMESCPCLSTDDSYCSVCLGVLLPAYHQENGTEMTNGLTHIDKISSMISQAVKREGYQVDEFSLEISLPPVIAANERAARLYMKQKYGNENWFKDKTLPQQTMSVKEALRLLIVPSLEKQMNAKHGNSSFRIRLTYTHSDASQKLQSLLPNDNGRKRKTESRNGRDTSSEAHKTNSIDDSDKNTISESDSFIYRTLEGIQDEEFFNLVQWPPEKVSKSCHLETSCLRSPIYIGGRYLKLSRNVSQSCWIIDDERMGEASVEEIIGEGVRAICRGDSYKFHAAGREDIDVRMLGSGRPFLVEALNVRLIPSAIEVQQIAEKINNSEKKYVRVRNLKLVGSEIWTMMREGEAEKQKQYAALIWTSRELMETDLQSISLMKDMEIIQKTPIRVLHRRSPLERKRTIHWMEIEKVAESSNYYLLLLCTQAGTYIKEFVHGDLGRTHPSIGSILGCRAEILQLDVTDVKMDFLQ >Et_2B_020311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18901971:18906422:-1 gene:Et_2B_020311 transcript:Et_2B_020311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAQAIPHRRHSSPSSSIIAAYTRYRHRSPRRHHRRCGRFCLPRALSISHPLGADVGVFLRRAIRRGGRRSPAALARQAATVATLVFFASSLCASVHLFCSFFLPRHPPAAAAVAAPLQGVGVIAVAMASVGIGVAASVMPHCRKWICIWLHNIQWNRRTRDGNRFSGRNMTTQKLKRHPTLTWTKKLNLVMGLGMEVLAHSLDALRCCRSAAL >Et_1A_004659.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28724596:28726905:-1 gene:Et_1A_004659 transcript:Et_1A_004659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EHEQRTPCSVRALLGLPCNHKWAPHDVILIVGDPLQVALGAGDVRLAGPAHSDREALLLLELLEAERLRLHDDRHVLGHLNDGGVNGVGLPDVGHCPVHGDGLGQQVDDEGRVVQLGFGDGLDFAAGDGAVRDLRDAVVPEPQPAEVGDVVVGVEVVDEAGVEGERGVGVSGAHGVECGVAVLLVLDGHAGAVHGVRRGHDRRLDRGGGPVRVQALEEGGDAAEVRRGHGRPRLDEEGEVGAVGELRVRLARRPRGQDVHAGPRDVRLQDARAGLARPAGREEGDGRRRVRADDGPLEEDARRRVGRRVDVRRDLGAGVVADVRRREDVRVGEGGVPLGRLVHHDHARPAVRRHGLAGLGAAAEAPALAEHHLAAHLGVAQHAAVAAGVGAVGAGEHERERGPRGVVPRLEQGLAVELLSVPQLDGGAYRAVHGARGDGEHPRRAVADRAGLRPRVARGAADDDAALHGLERGDGDGVVVELRARVGADGEGEHVDAVPDGGVEPRDDVHDGAAAARAHLVHRQVRVRRHPGRRAVRVAPHVGVLHEVARGGAGRVRAVPRVVHGRRRRVHRRAPERARADDLVVAAAPGHGLELAGAVPPLGRRLDPVVAERRVARQDPRVQDPNHHPAPEPGPAPEPFVAEVEPEEARRPGGRQRQEHLRVQPRAPVRGPQRLGLLVRQPRGEPGEHVAVRVDYPRAVVAAAAVGRGVRRGHLRQERAVPLLDVPAAAAVPRLQVDDVVLPLLQVRRAVEREHQQEDEEEGGESPPP >Et_7B_055436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10497964:10498247:1 gene:Et_7B_055436 transcript:Et_7B_055436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSAIASIGTQQLRRVIAAAEPATPAPVPKTMTLFYDGAVATFDVPQDKAMIRWYLPFLYATIQRQEIENSFAFNRPRQY >Et_2B_020099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16840912:16843033:-1 gene:Et_2B_020099 transcript:Et_2B_020099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGATVASHGLTCAPPAAVPLNLRARRASASGGGQRSSRTPVLRSDLLPPTFFCRARSQASSSSNVNFGRGDDADKLLEDLLKQHGEVVYSSGASPAVEADDDAECLSFAVSLAKAANEVKAADIRVLCVKRLVYWTRFFIILTAFSNAQIDAISSKMRDIGEKQFSKVASGDVVVHIFLPQQRAFYNLEEFYGNATPIELPFDTQWQ >Et_3A_027130.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33898475:33899695:-1 gene:Et_3A_027130 transcript:Et_3A_027130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADWSSLPSDMINRVADRLLATNDLDYYMDLRAVCRTWRSATADPKSSPFDPRFRPRRWVMLDELSAITKICGGDDGTRLFLNVATGRFLRKSLPLLRGGRHHLVTTTPGGSVVLADKDPPHAARVLNPFTGALARFAAPVPRDWSLAAAVVGSSADSFTLVLASDSARKVYWADLGSEAFLFQVKARERPSGMLPFEAGKYVGFKDGDGSVVPCADEVRIDQVRSSEMESRMVAADADVDGEVFIFTLQKSTHRIGLFKTKKITEAGGEQNQVQQVTSIGNHALFVGDRCLLVDADKLPSVEPNCIYYQVDNPEGSLNDDIYVYDLNRGRAAERIAASITFNPVFRDEDHPFSLVELLVSYATSLPQYQLMWERIKGLKLDAAALESFADFAIDDDDDLFADY >Et_4A_035488.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:26743768:26744856:1 gene:Et_4A_035488 transcript:Et_4A_035488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRSAERDGVLPVTAKRRRQHLYLVFDDWSQGYSIRKVDLRDTNPSSDSGHDDEPASPPHAVAGDPHLPPTVFRIPAERGLPHYFASAFGTRILAMQPDERAAGLFPVFDVRARGSIWGSQLQPVPANPIYFTVGDRLFALGAGCFSQLYPPPLEHAGGEYCEWLWHQLPNPPFKRKHVVSHALHPDGRTIFVSTERRGAPATFAFDAALDAFVGLSRDPDMLGHLCSCDAAAAATATGSDGGDDDDGQRAVRARKLSKEKFFSEDPAETHVGARLLHIGRSKFCLVQCISTEDGRVGEELEAEENAPHPRRHLLRLMTFSLKYDKNGDVTTGTTRLVQYCKVPKASTEFLLKNPVAFWL >Et_5A_042071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:652358:664763:1 gene:Et_5A_042071 transcript:Et_5A_042071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRELDLSNEVEGDQDGTDDFVFRLAGDPIPVLPTTTHPLPLFNLQSPPARPLAVSDRHAAIFIAHPDGFMAVRTKELVEASKEAREKGKASTRCAQDCCVADVSLPGVSLLALSRDESMLAACTGSEIRFFSCASLLAHKDVEPSSSCSLERSGTVKDFKWLNHGYVVLLNDGLLLHGTLGQGLKDVMENVDAVDCSKEGNHILVARENRLTILSSDFKETCCMPLLFQLWGNESNPEDSAIKVDSISWIHDDSIVIGCVGLNEEGYLVQVIRSEENSFFESSSKPVVYTFMEFFHGIMDDILPSGVGPNLLLGYLHRWDLMVASNKKSIDEHIALLKWPSTPDDEKTVVYLEMLEDKYTPRIDLQENGDDNVILGFGVENVSLFQKITVTVGPEQKEVAPQHILLCLTGEGKLVIYYLARISDPSDLPHTTLSTIEDSCGEKQISPAAVSGKELTPSVTSSVSKSTLSEHGAEPSRAQIDSNQQGSIDVKNSSSVSKNQETVSTSLFTSLDKMPLSTKQVNVTPPFTGGTKPGISFSFSTVNSVGTATTGSKESNAFASFSQPSSSSGFGNSQLGKGGLHSAQSVGSLGGSQNSTKDGGGISFKSSVFTSSGSDSAIGERNEAGLGSHSQRTSYTTDRKIFSSSGGLSSEPSVSIAPAKPSQVSSSGFLTGTSETIQSSRGSPLSQQPVGKSHNSRTPTALDYSRNSKMDTRFDSEQDLSKKFYSINEMTKELDTLLSHIEKDGGFRDACITFQQGPVSMLEDGLQNFLELLQIFKRKVEGQCSQIEDLRNKMFQVSARQAYMKGIVSQSSDAQYWDIWSRQKLSPEFEVKRQNILKANQNLTNQLVELERHFNNLEMNKFGETGRVASSRRAVYSNKSRTSQTQLSSVYNALNSQLAVAEQLSECLSKQISVLNIGSPSTKRGEVTKELFDSIGLSHTTDATKFLGGTPKSVKRFPTVTEHAKGVLGPSKGTEPETARRRRESLDMSLASLEPQKTTVKRIAQQQRLKISSDLPFRSNKKIFDSQMAAISQEKSSGSPTSSIVESYVSRLRSPTEGVKAKPSGPQPNSLFKWVKESPSPSQSSEQKKFELPVQTRSDVQSSKLAPSSPASFSYAHKGARDSVSPSNVASFGTTNTVPKSNTLTFKTTISPKSSANTEPSLFPSMATAKTSQSPLSVRTLSGESGDAFTLTVKNKQDDQTTTALGNPNVSGVSPQNKSDIFRDLSKSSFTPQHSKVAFSHEKTGQLSGVSDAVQNNLKDTPKVSPQPPVFSFAPATQSSTQSAKLSFSSPATSASSTMQASEAKTSDVLTPTVSSNPPPQKSVLNVSSPVPGKTVSSSLPSISAPVKDSSAVLNESGSKPDVVTSEVTSTTVSASVTSIISTTESKSLLPSMADASLPSTPASAPKMVPTTEESVVTSTGKNVGQTNLSIDEDDMEEEAPSASADLNLGALGGFGLGSQSSSSPQKSNPFGSSFGTSDNKSSGAPFTLTTSPGQIFRPASLSIPSAQPAQPSQSTSSSAFSSTFSSGLTGFGQPAQIGSGQQSGFGKPAQIGAGQQAAFGQPAQIQSGFGQPAQIGSGQQSGFGQPAQIGASQQPGFGQPAQFGSQQALGSVLGSFGQSRQLGSAGGFGGFASASTSGGFASSSNAGFASAAVGGGFSAAATSGGGFAAAATGGGFAALASKPGGFAAAASSGGGFGAAASSGGGFAAAASSGGGFGGATQGGGFGSGGFGGFGGNQGAGFSAFGASGPGRPPADLLTQMRKKWRTRNDQSAHVDAKGIQ >Et_3A_024527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21716925:21725590:1 gene:Et_3A_024527 transcript:Et_3A_024527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKLHLPEHCALINDLSSHSACLICWTERYPVIDDPLVACCGGKGRYHTEMGCNKTSKLWGNPGNFASWDGIHMTEKAYSIIADGVLNGPFADPALLGSPVALCGCYKRIFSFGDSIIDTGNFVHLVGDHPSAFKELPFGMTFFHHPAGRVSDGRVIIDFYGESSATSCVTFTHVLIVCNALYSFSTSLQLPLPPPNLQEQNTGEFPTGANFAVLASTALPESYFKPFNFKMPPWNLGGQMTWLKQMLQRIAPNDGDKRRILGESLIVLGEIGGNEYNFWFRALRPREQAYQFIPDVVGCIGSAAQVHHGRHRCPHQRTPHELILIRPRAFFQELIKLGAKTIVIPNNFPSGCVPAYLSTFGGLNEPRDEFGCLRWFNDFASRHNAALRGVVNGLRAQNPGVKLIYADYFGAAMEFVKNPARFGIGNPLVACCGGDNQYHTNYGCNATARIWGNPRSFASWDGLHMTEKAYEVIADGVLNGPFGDSIIDTGNFVHAISNGSSTLKELPYGMTFFHHPTSRISDGRVIIDFYAQAFQLPLPPPNLPEQNTGQFPTGANFAMFAATALPGSYFKPFNHNLPTPWNLGVQMNWFKQMLQRIAPDDGNHIITHIIFLCMRGRILFVCSQSIDYPLHDSFILSGDKRRILGESLIVLGEIGGNEYNFWFATARPREQSYRFILDVIACIGSAAQTIVIPNNFPIGCVPAYLSGHGGNNEPRDEFQCLRWFNDFSARHNAALRGVVEGLRKRNPGAKLIYADYFGAAMEFVKNPARFGIGNPLVACCGGDNQYHTNYGCNATTRLWGNPLNFASWDGMHMTEKAYHAIADGVLNGPFADPPLLKSC >Et_4A_034281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31417782:31420637:1 gene:Et_4A_034281 transcript:Et_4A_034281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECDECCNGCFDEWWKIIAWVVGILLLGGLIAALVVIFAFVKPPKATVDDAVLQRLDLSPGTPAANSTISYNITARLTLRNPNVYYGISYDAFTTALSFNNTKFHESSLPALEHKARKTVTLNVKVGGAGKLIKLTDAGVTEFDKEKKDGKFQVELRLDTVLTYKGRGTKCPLGVVCPLQLQLVDPDVAATAFQVTKCTVLRAKKSGIVRRLWELPRPPVVPARHYDVFIQLCCWQVWKHRNDVVFQREPQSLPRLVHTCKEEAFLWRCRLPPFRCFNPNIYRGISYDPLAVAFSFNGTRFDESATVQALKHAARKTVTVNVKVGGVSKPVKLSAAGLKEFAAQNATGKFDVEMRLDTTMQYKGRSAKCPLVVICPLELQLVDPDVAATAFQVTKCTILWAKKSGC >Et_9A_061634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14698864:14699662:1 gene:Et_9A_061634 transcript:Et_9A_061634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRRTWVAAVLGARLLMVAFLAMSVELKYANHTRLDYSSWDNYYQLQSYTYAVATAVIGMVSNAMQIPVAVYLLCTSKRMPPSVLALDITMYTDIVVTAVLASGVGAGFGATNDALQIIKHGIDWTDRNQNDSRDDLIRFFNKGNVAITLLLVGMVLSVFTTVVSARLRARATNDG >Et_10B_002782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11043779:11044380:1 gene:Et_10B_002782 transcript:Et_10B_002782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVMASSATSVAPFQGLKSTAGLPVSRRSGSASFRKVSNGGRIRCMQVWPVDNYKKFETLSYLPPLTTEDFLKQVEYLLRNNWIPCLEFSSVGFVFRENSQSPGYYDGRYWTMWKLPMFGCTDATQVLKELEEAKAAYPKSFIRIIGFDNVRQVQCISFIAYKPPGSE >Et_4A_032946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1672724:1676593:1 gene:Et_4A_032946 transcript:Et_4A_032946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSYLPVPTPCLVLDPDGITEEGRTILLDSKGECHTRNIEALGSNLRFSLTWQQGWILASDPSNLRTFLSNPVTLDKIELPHFSHQLPRKFECALSHKPANDKCVVVVLHPDETTLWYCHIGGDKWTKYDYNVGTQQMDAKGKVWEKIVISDLAPCKGKFFTFITPEKLGVLDFEMDGDLCLFFVYHYGDSSITTSIALYKLDIGKEKQQWLEIDEIGDDRALLWS >Et_10B_004116.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:13357014:13357493:1 gene:Et_10B_004116 transcript:Et_10B_004116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPSRVVHGHRTQHGEVGNPARIGLPWRRTRAPEAALRPERPEQDTGTILSIVDVEQAASPPLRTEMLSLLTPSSASLRRTVDRLAMSCGVVVDRGHRRASEGVLGVDRVVAVKVLRNVSQRERERARVPVGAQCDWKNLSYEPCQNVGMLLRGKHI >Et_8B_059663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20441305:20445995:1 gene:Et_8B_059663 transcript:Et_8B_059663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQFHGGDEGGDGKPRRRPSSNSLKRLVSYSSSKRHEELEEEDEEGVVVVAATSSSAGRRAGNDASTARLIRKPPAPVVEAVAVLPDEAATLAIGVVDAERAVAAAAGNWKRAPVDVPAVNGAAEQEPRSGGVRSDGEAKPRIRDAPNGVQGEHVSAGWPRWLTEVAAEAVRGWQPRRAESFEKLDKIGQGTYSSVYKARDLENGKIVALKKVRFANMDPESVRFMAREIHILRRLDHPNVVKLEGLVTSRMSSSLYLVFEYMEHDLAGLAATPGIKFTEPQVKCYMQQLLSGLEHCHSRGVLHRDIKGANLLLDNNGILKIADFGLATFFNPNQKQNLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGKPIMPGRTEVEQLHKIFKLCGSPSEEFWANLKLSRATIFKPQHPYRRSVNDVYKDFPPAALALLDRLLAVEPGNRGTAASALESEFFTTKPYACDPSSLPKYPPSKEYDAKLRDEEARRQRAAAKGQESEAGRRKQLPAPDGNSGLQQRRVQVNPKSSSYKFTPKEDGVSGFPIDPPARAVDNGYPHRVPLMHAGRSSSTLGRSSGMDPKAQRFHSSQIVAAEISNQSTASGQRGSASKLSNLGDSARRQYLREHRSSSRYSQLPAADHSDKPDWTHQFQERPSSSHRKDDATANKEPTVANGVKKNRIHYSGPLMPPGVNMDEILREHERQIQQAVRRARLDKGKGKHNVERDQSEALLFTAGNVRADR >Et_4A_035646.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:32301659:32304520:-1 gene:Et_4A_035646 transcript:Et_4A_035646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRKNFRRRTEDDDDTNGDGGRRPPTTASKTQTVTVPKSKSPPRRQAASRLSFADDEDEDDAEEGPFANRRRATASVRPARTASPAAAALHRLTPAKERIKSSPASVAAVSAPKPSNFQSHAGEYTPERLRELQKNARPLPGSLLRAPGRTPTTDPRSQKFAGAPASATPAATTAAATEPVVILKGLVKPMSEASIGPRKSSQKVVGDESEEEEEEDDEEKGPVIPDRATIEAIRAKRQQRQQPRHAAPDYISLDGGGVLSSRDAVGGSSDEEDDELSGRIAMFTDKSSDKSRSTGVFGGINNRAPAASLGAFNDGIREVEDDKDDDEDEEERKWEEEQFRKGLGRRVDDGSSQRSANGAPASAQAQVQPTGYTAGPHYQPSLSGIVPGASVFASGSAEFLSIAQQADVANKALQENIRRLKDTHKVTVGALAKTDTHLKEALSEISSLESGLQNAERKFVYMQELRNYISVMCDFLNDKAFYIEELEEHMQKLHENRALAISERRAADLADESGVIEAAVNAAVSILSKGSSSAYLSAASNAAQAAAAAARESSNLPPELDEFGRDINLQKRMDRKRREEHRSRRKARSESKRLASAGKNNNTELIEGELSTDESDSENTAYVSSRDELLKTANLVFSDAAEEYSSLRIVKDKFEGWKTQYPSAYRDAHVSLSAPAVFTPYVRLELLKWDPLHETTDFLNMDWNKVLFDYGMPENRSEADADPDEDLLPTLVEKVALPILHHRIKNCWDVLSTQSTKNAVNAVTMIITYVPTSSESLHQLLASVKSRLAEAIADISVPAWGSMVTRSVPGAPQYAAYRFGVATRLLRNVCLWKDVLAKSVIEKLALEDLLRGKLLPHMKSIILDVHDSTTRAERIAASLSGVSSSYSQKLQPFVDLVVELGNKLEKRHASGVSKEETHGLARRLKAVFVALDEYDKARAILKAFQLREAL >Et_5B_043878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17014856:17017930:1 gene:Et_5B_043878 transcript:Et_5B_043878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDTSDFTFCKILDTTRIVDMLVLQKMMDNQDLPKPFLWQVQTNDSDQNGRSSSVPGSIVSVSQQEYNVKELVIETSKGAESNIQSQPKPSLKKPAARAKVPFEKGYSQMDWLKLTRTHPDLPGLKGQSNRRLITLEEVKKHKTGDCIWTVLKGRVYNIAPYMKFHPGDKYHAWVNAEFLLEKCLVGILDPSE >Et_3A_025704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3257430:3259720:-1 gene:Et_3A_025704 transcript:Et_3A_025704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGVTVGRYEPGPSLEEVQEEAMFDISPTDSKEFWLIQWPKDKLDVSDFHGKELSLKLHDGNLGTLESSSGKSYDLVSFASQQPDATVFLPSGSETKAVGKISRRVSLVRYPGPEELEKPSLVGLTPGSRKSGGSSRKTMSRFTGASKNRSSQGSALSLGALSAERPPKHKKRKDESSLGHSNVASKASKGSHARGAESNTTSEMPQTLAEKTKKKKVKIVE >Et_10A_000492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11293843:11298374:1 gene:Et_10A_000492 transcript:Et_10A_000492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGANPTPPPSTSPVASAAAAAAQHQQLQRQLFLMQQAQAQAQAQGQSHPQQLSQQAMSRFPSNIDAHLRPLGPHRFQQPQPQPPPQQQPHTQGQSPSPSQPQQAPSPQQQQQAAAQAQAQAQAQAQARGRSPEMEMALQDAMKVCNPDIKTPFQSVEDAVNRLLPYHVVADYEAEEDDRILDSDATGQIPSRLQQWDHNILVKIAEFTTTFEKQVLAYNIMTKKRAIGEFRSEERLMLEQALLQEEKMAMMELRAETESREKAGREAAEAKMRMAMAEHARAEAQAHSEMMGQGPIRASVAASQGDDGPSHGMGQEQGGDEGWENAQRDDEDPSEDFLNDENENGNSDGQEDWRRSGELDLNS >Et_7A_052949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3175296:3177326:-1 gene:Et_7A_052949 transcript:Et_7A_052949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASAQFAAMPVRMGVYERPAPAPIGGMWSSEPFKVDSGGQVTSASTIMEADNKFDARLQDVPQVALEEPTRSTDQETSKPPEKVMRRLAQNREAARKSRLRKKAYIQQLETSRMKLAQLEQELQRARQQGVYANGNLGDSNLGFTGAVVDPGVAAFEIEYSHWVDEQNRHTEELRNALQGQTTDLELSMLVETGLNNYEHLFRIKSIAAHSDVFYIMSGTWKTPAERFFLWIGGFRPSEVLKILRPQLEPLSEAQVVAVCGLQHTSTQAEDALFQGMEKLQQNLAEALTNPFASSDAYMLQMADAVGRLKELVGFVTQADHLRQTTLQQMHKILTKRQAARGLLALGDYFQRLRALSQLWAARPRESAIS >Et_1A_007509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35319380:35321498:-1 gene:Et_1A_007509 transcript:Et_1A_007509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VKTNNMGIENGSTSNAQYTSHKALQDETTPLLPIKAEEEDMIHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGLIPGILMIILVALLTEASIDMLVRCSHQGKITSYGWLMGETFGDWGRIALQASVVINNIGVLIVYMIIIGDVLSGTSTTGVHHSGIFEGWFGPHLWNSRPIVLLATTLLVFAPLVSFKRLDSLRYTSALSVALAVVFVVITAGVAIVRLIEGSVEMPKLFPEIDGINSVWKLFTAVPVLVTAYICHYNVHSIDNELEDRTQIKPIVQTSLGLCSSVYIATSFFAYLLFGEATLADVLANFDSDLRIPFSSVFNDIVRVSYVVHVMLVFPIVFFALRLNLDGLLFPTSRHISHDNRRFTIITISLISVIYLAAIFIPSIWDAFQFTGATAAVLIGFIFPAMIILRDPYDIATKRDKVLSVTMIVLAVVSNAVALYSDALNIFHRKQEI >Et_1B_014204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35289155:35290915:-1 gene:Et_1B_014204 transcript:Et_1B_014204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPRLRSLPVFCTLSPVGSSRANGKGTGSFGKRRNKTHTLCIRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYLRHVPKRFKSNFREGTEATPKKRAAAN >Et_5B_045611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5421181:5423806:1 gene:Et_5B_045611 transcript:Et_5B_045611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGSDEALVPPCRPTTLTGFHFTVTALVGWISNATGYSVSKHVPLWELVWFSLVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCFMEWVLNSKHYTTKVISAVVVVAAGVGICTVTDVEVNAKGFICACVAVFCTSLQQITIGSFQKKYNIGSFELLSKTAPIQAISLIILGPFVDYYLNGRSLLNYNFSGGAIFFILLSCSLAVFCNMSQYLCIGRFSATSFQVLGHMKTVCVLILGWILFDSALTVKNILGMLLAVMGMVVYSWAIESEKKATTAIPRNKSDMLDGEDVPLKARVSGLPPVDLEEGDLKS >Et_4A_032008.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:11858771:11858860:-1 gene:Et_4A_032008 transcript:Et_4A_032008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWSWIISSCLYTQCPQPAQQILQKWTAY >Et_8A_057423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2964513:2969879:1 gene:Et_8A_057423 transcript:Et_8A_057423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESAVRLIGGTGARDWTKGFGAFDSSVGNLSGDDLGFVDSGTGVYGGWRESVPNRSGSAPPSMEGSLSALGHLIGQQSGGFETSLTDLDNVTDNSKLEEQLRSDPAYFEYYGSKVNLNPRLPPPLISRESRRLMNRVGKTKEWRVVSQDNSSKGSLFVPRSTLSTHREEPEDDRSAGLDSSSVEDAQTVSNQSAANFESQDFVLESSASLSENSASDPSNINTGGARAVHSDIKRSLSLDVVKSSDLNTWTPKPTSPLKSTMSNDTSASPLSSSPCSGSKASMPTSHQDKLSIDTKAGNGVPISGAVVTDLGTVESNMKNLKLNLDGHASSYVKPNWQDSGLQQYGSSLPAQCDPVQMSPQGTHLSHVPHVNNFSHTQLKLPGGDMQQFLQQPGMTGPFYTPNSFGSPYYPNLHPANVFPTSFGNGGYALSGSVLPPIMASYAPQGSLATPLDSPMTPSFSGRPSVFSSAGNLSGGTDFMQPYKMYGQLGVSMQPTIPDPNTMPFFQHPSLLQYPGGNQYSTMSPRVTLVGNPADSFDPLKMIPQAPYPSDQRLQLPRTGFPNSPSARTGGAVPNYQGISPYVGAPMNYPTSPVFQTQTLPGVFSPGRRNDSLRFQSPSRNMTASSGIHGQLEKIDETKGCSFLEELKSNRARRVELADITGRIVEYSADQHGSRFIQQKLENCTAEEKASVFAEVLPHASSLMIDVFGNYVIQKFFEYGTREQRRDLATKLVGHVLPLSLQMYGCRVIQKALEVMELDQKIDLVHELDGHVMRCVRDQNGNHVIQKCIECVPTEHIGFVVSAFQGQVASLSMHPYGCRVIQRVLEHCGSDSLGQCIIDEILQSACILAQDQYGNYVTQHVLERGKTHERSQIISKLAGQVVTMSQNKFASNVIEKCFQHGDIAERDLLIRQIVEQTEGNDNLLSMMKDQYANYVVQKILETCTESQRELLLSRVKDHFQALRKYTYGKHIVSRVEQLCGDGKFHHPPGIYTGLLVEYLSHSTVE >Et_8B_058770.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3273560:3273985:-1 gene:Et_8B_058770 transcript:Et_8B_058770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGSTVLSFVEDERAFNSSVDGRFAALDADRDGLLSYAEMAGELMSLRVLEKHFGVDDAGAVAPGDLAALYRGLFARFDRDGSGKVDRHEFRAEMREVLLAVANGLGFLPVQMVVEEGSFLKVAVDRELRQLAKAA >Et_2A_016371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2480413:2483845:1 gene:Et_2A_016371 transcript:Et_2A_016371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNTLIMRALVCAVLLLSSTVSRCGCEPDGRGTVVVTGRKMLVAGSGTMSSPAPLTAALKVPQPGRAAAYSESKSSNTLIMRALVCAVLLLSSTVPRGGCESDRDVLVTGRKMLVAGSSATSSPALLTAALKVPQPGLAAAVYSESKRSSPGGPDPQHH >Et_1B_014273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4815757:4816761:1 gene:Et_1B_014273 transcript:Et_1B_014273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYPPLAKFVSKALRKCNGRERWRKERLDYAMAYPPGMPMETHYMRPVARTVTFASNNNVYVIPPQQTPPKEQPPSPPPQQQQEQEQSTPEPQQQQTTPPQPEQPAPAQEKAEDAPPAAEPKPPKGPKRGKNKKSGRVRFVPDPPPPQEQQQDERAPDSGDAPPDHQGQQHDDGRRGGPAPAPMPAYLFRYTPSPLPRWEATPRRHEYFSGEYRSYYPTPVREGIYRIATDANRLTTIFSEENPNACAIV >Et_5B_044565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3566922:3572365:-1 gene:Et_5B_044565 transcript:Et_5B_044565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYAADKARRAVAASLRAASRSTAPSPVPAPHPRSPAPVAAMAAALARAMSTASVGTPAVSINTINPKVLKCEYAVRGEIVTHAQETDYDFVYLRQNLQQELQKNPESLPFDEILYCNIGNPQSLGQQPVTFFREVLSLCDHPALLDKSETHALYSSDAIERAWQILDKIPGRATGAYSHSQGIKGLRDEIAAGIAARDGFHASGDNIFLTDGASPAVHMMMQLLISSEKDGILCPIPQYPLYSASIALHGGSLVPYFLDEETGWGLEVDELKKQLEEARSKGITVRALVVINPGNPTGQVLAEENQKKIVEFCKNEGLVLLADEVYQENIYVGDKQFYSFKKIARSLGYTDDDLPLVSFQSVSKGYYGECGKRGGYMEVTGFSPEVREQIYKVASVNLCSNISGQILASLVMNPPKAGDESFESFMVERDGILSSLARRAKALEEAFNSLEGITCNKAEGAMYLFPRLHLPQKAIGAALAAGTAPDAYYAKRLLEATGILVVPGSGFGQVPGTWHFRCTILPQEEKIPAIISRFKEFHEKFMDEYRK >Et_9A_062951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7363333:7371963:1 gene:Et_9A_062951 transcript:Et_9A_062951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANANSDVQKAEELKLKANDAFKANKFSQAIEFYSQAIELNSSNAVYWANRAFAHTKLEEYGSAVQDATKAIEIDPRYSKGYYRRGAAYLAMGKFKDALKDFQQVKRICPNDPDATRKLKECEKAVQKIRFEEAISVGDAEKRSVADSIDYHIIEVEPQYAGPRIDGEAITLDFVKAMLDEFKKQKCIHKRYAYQIVLTTMELLRSVPSLVDVNVPNGQHFTVCGDVHGQFFDLLNIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCLYPNAMHLARGNHESKSMNKIYGFEGEVRSKLGEKFVELFAEVFCWLPLAHVINSKVFIVHGGLFSVDGVKLSDIRSIDRFCEPPEEGLMCELLWSDPQPQLGRGPSKRGVALSFGADVTKKFLQDNNLDLIVRSHEVKDEGYEIEHDGKLITIFSAPNYCDQMGNKGAFIRFTAPELKPDVVTFSSVFLLALLKKDEDLVISRSGLSVNRMRL >Et_4A_034048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29480490:29484026:1 gene:Et_4A_034048 transcript:Et_4A_034048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTARAIHLSNPPKPSNLSSHLPLIPSHIRLHRRSRGHRLAASSSDLISFPEPTPIPNGVYVPLAAAHPAATIDVDAATEAELRENGFRSTRRTKLVCTVGPATCGADELEALAVGGMNVARVNMCHGDREWHRGVIRAVRKLNDEKGFAVAVMMDTEGSEIHMGDLGGAPSAKAEDGEVWTFTIRSSDTSLPDRIIHVNYDGFAEDVKVGDELFVDGGMARFEVIEKLGPDVKCRCTDPGLVLARANLTIWRDGSVVRERNAMLPTISSKDWLDIDFGISEGVDFIAVSFVKSAEVINHLKSYITARSGGSDIGVIAKIESIDALKNLEEIIRASDGVMVARGDLGAQIPLEQVPSIQQRIVKMCRQLNKPVIIASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGRYPEKALSVLRSVSLRIERWWREQKRNEALELQNVSSSFSDKISEEICNSAAKMANNLGVDAVFVYTKDGHMASLLSRCRPDCPIFAFTSSTSVRRRLNLQWGLIPFRLSDSDDMESNLNRTFSLLKARGMVQSGDLVIALCDTLQSIQVMNVP >Et_4A_032824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14275590:14276860:-1 gene:Et_4A_032824 transcript:Et_4A_032824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFFLLLIFYFAVFDREAAVSERPSKRTGRVSNSTSFSTYGPDVWADLLECLLHQIIALLSSFHDLLACRSTCRYWRSAFFSFPLAFSSSIPPLLLKPLTRYPSRDRSQAVYSFIYNCEWQLIDPVKQSSSCRRSLPLNPPKGMNYLGCSYGHLIFSNLDHCLLVDAYSGTVVRSPRLKSTDNCRIICGTLVAPLTSPNSCLVLLSESSLFQWEVGTNSWLQHPLDLNEVSHTVFFKGEMFAINYDGLYNIHLAPQLSVHEVTVDWDDRPVDQANGTFRKFTLMDWFVTCGDMLLLVELSEFRSRYLGIFEVFHLDFSVEPAKWLSLTSPAFSCMNPERWGGKSNSIYVANHPDADEPWTVVELGQAVPRTTWNFPYLSEKASQPHLYVAQPENLWVLPGWVHGAGQ >Et_2B_018864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10477517:10478462:-1 gene:Et_2B_018864 transcript:Et_2B_018864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLSMLFPSNSFDTTRTLKCVSPLLPATPAWPLWGCDMSSISSSTGESALSGLSLILSFLPSPKEAPLPSTTSAGTSSNCSASFPVSASDFASSCTSKAANSSSLHASPFGNLLDRFLARPCIPIGAGGLQCLALHKAEHVEQPAISGGRQALPDPEKLDQLHRHPSHILGGRTREAEHEEREALGELRVGVGMEPDAGSSVLGLGVDPDLGDAAPDAVGLGLERWVHGWQPRGDFAESRGKLLWVDGAGG >Et_8B_060612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3253307:3259055:-1 gene:Et_8B_060612 transcript:Et_8B_060612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQELAHYVESLVRQTASRGGSGISADGVVRQLEAQLRVDLAPRAQLIRDILVALLGPAHGTEPPSRKDPFEAAAGASASAAPAQPHFSTSAAASASAPPHVPGVPHFFPPQMQSFLSAAQQYQQHQHQHRPTAPASPFEVPSSYNYAQPPFVQLSEAQRAGRAYLQQLQEEARQYQQQGQQRHMAAAAATAPASTPAESPRAPAVPAGSKKDSAVTGVKRRGGSGGLNKVCGVSPELQAIVGEPTMARTEIVKQLWAYIRKNNLQDPNNKRKIICNDELRLVFETDETDMFKMNKLLSKHILPLEPTSRTRIQILAEDSKRDAKKLKPADGESISPVEVDANQLPITVSDALASFLGTEEREMPHSEAVKRVWDHIKSNNLEDPANPTVILCDSKLKELFGCESLTALESSPEATVKRQRELTNSVDVNLANMIILTSINMTLNWRIPDEQEAPVDVRSGDVAV >Et_6A_046934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22581182:22583575:-1 gene:Et_6A_046934 transcript:Et_6A_046934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGHADAGNSAKMSKLKELLHRSENRICADCSAPDPKWASANIGVFICVKCSGIHRSLGTHISKVLSVTLDKWTDSEVDSMVEVGGNSHANAIYEAFLPEGRKPRPGSSQEEREKFIRSKYELQEFLEPSLRIVSNQSIESEKQAGGASQSAGSKSEIGMVEFIGILNVKVIQGTNLAIRDMSSSDPYVVLTLGHQKAQSSVIKGNLNPVWNEELKLSVPQEYGTLKLQVFDHDMVSKDDLMGEAEIDLQTMINAAAAFGDPGLLDDMQIGRWLKSSDNALVRDSAVVIAGGKVRQGVLLKLQHTETGELELEMEWMPLNM >Et_4A_033400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22278953:22280069:-1 gene:Et_4A_033400 transcript:Et_4A_033400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAHHLLGTQKLAAIHAGWEGGGGGISCEAASASAAYASSAACYGRQQMQGYQYPHQQPSTCAGLMAAAAPAPMMMTVGQQQQQYGQVCAASESGVTFGGAQEAAAPRKRKRADQQPQFLGLEAAGVAAHLQQQLVDADRLVLQHTAKMWAELTEQHRRHARQVAAAVAAAAAKRLRAQDEEISRIGRLNWALEERVRTLFVEAQVWRDLAQSNEAAANALRAELQHALDAQSSRGVVALAGYGAADDAESCCRGENDVAGAGEEAEVGTAARRGACSVCGEGAAEVLLLPCRHLCACAPCADAARACPACGGAKNGTVRVNFS >Et_4A_033920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2855665:2857081:-1 gene:Et_4A_033920 transcript:Et_4A_033920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQARRPSEQHTGGEQGQGAVRYGDVFPVSGGLAEQPIAPQDAATMQSAENLVFGQTIKGGPAATMQSAATTNERIGVVGHDQATDATAVQGVAVSETRVPGGRMVTEFVAGQAVGQYLVPDDAAAGDGGGAGAGGAARGGAAADLTKVTIGEALEASALAAGDVPVERSDAAAIQAAEARATGLNANVPGGLAAQAQSAAEANEMAARDEDKTTLADVLTDAASKLVADKPVDSSDALKVAGAESRNKGDATARPGGVAASMAAAARLNRDEAVWE >Et_1A_007299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33111981:33117762:-1 gene:Et_1A_007299 transcript:Et_1A_007299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMVERATSDMLIGPDWAMNLEICDILNRDPGQAKNVVKSLKKRIAHKNSKVQLLALTLLETMIKNCGDIVHMQVAERDILHEMVKIVKKRPDYHVKEKILTLIDTWQEVFGGASARYPQYYAAYQELLRAGAVFPQSSNGSVPIFTPPQTQPLQNYPPTLRITQQEAPESSVSEAPELSLTEIQNARGIMDVLSEMLNALDPGNREGLRQEVIVDLVDQCRSYKQRVVQLVNSTSNEELLSQGLSLNDDLQQVLAKHDAIAAGIAVRIEKPKSLQTQIENSPTRKPETVKQPVQRSSASTSSSNQSPFEILALPAPPSSSSSKAPTAPATNIDLLSGDDYIKPEPANSLALVPVTEYSTADQNVLPFADMFEHHTASNNNHNLTNSLNSSTSNSSISPASQTYPAPVQAGLHQHPAAYSNGATSNAIVPPDQPPQLNSTGSWNAQPSYGVHLQKQSVYYGTNDQNGGVPPASWEIKPSTRNPFDDSNLDEKALQPGEPVGVRPQSVQVNQHGNGFIPTQPVPRGQIVGMQQPVPGPLQPQPTLNTQYAGAYPLLQLNQGTSLYSQQMVGGGFYGMNQPQLYGLQMSSNIYGQPAGGYYIPNAAYAYASANELSQRMNELSLQNGSSNGTMTNKQSRPEDSLFSDLLSIAKMKQNKPAAGKVGGL >Et_1B_010414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10280032:10284502:-1 gene:Et_1B_010414 transcript:Et_1B_010414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGAAPGGPCDALYRELWHACAGPLVTVPRQGELVYYFPQGHMEQLQASTDQQLDQHLPLFNLPSKILCKVVNVELRAETDSDEVYAQIMLQPEADQNEPTSPDADPPEPERCNVHSFCKTLTASDTSTHGGFSVLRRHAEECLPQLDMSQNPPWQELVAKDLHGNEWHFRHIFREFVVSVNKYLEAKNRKMSVGMRFKMRFEGDESPERRFSGTIIGVASMSTNSTSPWADSDWRSLKVQWDEPSAVLRPDRVSPWELEPLDATNPHPPQPQHHSRNKRARPPASPSIAPDLPPVFGLWKSPAEPTQTFSFSGLQRTQELYPSSPNSIFSSSLNVGFGAKNERSTPTNNHFYWPMRDTRADSLSASINKVPFERKQEPAPAGCRLFGIEISSATSSMATIASVVQDQPDASVDVESDQLSQPSHANVSDAPAATSERSPHETESRQVRSCTKVIMQGMAVGRAVDLTRLDGYDDLHRKLEEMFDIQGELSAGLKKWKVVYTDDEDDMMLVGDDPWHEFCSMVKRIYIYSYEEAKQLTPKAKMPVVGDTTKANPNKPSPETDIPQSDLKNNAPVAEKD >Et_9B_063738.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:9539243:9539616:1 gene:Et_9B_063738 transcript:Et_9B_063738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDCTSSRHSMSVLGSMCMSPDTMPLNRNSPQEHMERGYDDERPGVGVGVVVQEHGRHVGGDHLRRQVQRSLPCRPGVELEQHLDDVGCSAGRCSAHGKRVVRARPRRRGGGGRRVLGADL >Et_7B_055448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10968519:10969481:-1 gene:Et_7B_055448 transcript:Et_7B_055448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDDVPAAARKLEGKVAVITGGASGIGECTARLFVKHGARVVVADIQDELGSRLCAELGPDAASYVHCDVTVESDVAAAVDHAVSRFGALDVMFNNAGIGGDACRSIRESTKEDFERVLAVNLVGPFLGTKHAARAMVAARRAGGGGGGVGCSIIGTSSLASATAGAASHAYTCAKRGLVALTENAAAELGRHGIRVNCVSPAAAATPLATRYVGLEGDAFEQAMEAAGNLKGVRLKVEDIAAAVLFLASDDARYINGHNLIIDGGFSIVNPSLGIFKD >Et_2A_018078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9914409:9918685:-1 gene:Et_2A_018078 transcript:Et_2A_018078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGERRREAVEGELERLRAEREELDGRIRLLESQLEAGFAGEGGTAAGPEAGDGACGGGGACQRRGGNGFAQDGGLSADMIYRYSRHLLLPDFGVEGQRKLSQSSILVVGAGGLGSPVALYLAACGVGCLGIVDGDDVELNNLHRQIIHKEAYVGQPKVKSAADACREINSSINVVEHHHTLKPCNALEIVCKYDIVVDATDNLPTRYMISDCCVLLNKPLVSGAALGLEGQLTVYHHNGSPCYRCLFPSPPPVAACQRCSDSGVLGVVPGVIGCIQALEAIKVAAAVGEPLCGRMLLFDALSSRIKIVKIRGSSPLCSICGENSVFTQEDFKKFDYENFTQSPMSDKAAPGVNLLPENARVTCRDYKKVIDSGEPHLLLDVRPTHHFQITSISQSLNIPLSVLEEKLPLLETSLKETTEASGLGKQASLYVVCRRGNDSQIAVQLLREKGFISAKDIIGGLQSWALDVDPDFPAY >Et_6A_046903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21993274:21994867:-1 gene:Et_6A_046903 transcript:Et_6A_046903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYCYRRSSLYGWAWKLTVYHGDQRITAQLPKIKAFLLTTKVCLTTTASLPPALDRAMAA >Et_7B_054846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4915680:4917109:1 gene:Et_7B_054846 transcript:Et_7B_054846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNADVEAGGPARAAPPPPPTGIKPPPGRYNASAAPPYPYPPPQQQPSPFYYDHAAAQERHHRSWLVPLVVVANVAMFIVVMFYNNCPSGGGDCVGRRYLRRFSFQPLKENPLLGPSAATLQKYGGLDWQKVVHGNQGWRLESATWLHAGLIHLLANMISLIFIGVRLEQQFGFWKVGLVYLVSGLGGSVLSALFIRKGVSVGASGALFGLLGAMLSELITNWTIYTNRFAAMVNLIIIAAINLAIGILPHVDNFAHIGGFATGFLIGFVLLIQPQFGWLEQPYGGKSKSKYKAYQIILLLVALVLLIAGLAVGLIMLFKGENGNDHCSWCHYLTCVPTSSWKCDN >Et_5A_040273.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:9343352:9345550:1 gene:Et_5A_040273 transcript:Et_5A_040273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIKNKKFFLVLDDVQDSLHEIWGSLVSALAGAAKGSVVLLTTQSTVVADSFGTTAHVTLNHLPFLTMCRVFEHHAFGKHKKTSLESIGKQIVQNLHGLPLLAEAIGRLLRQRLDEGHWRKISRSHWWLYAEDDDNQNVALSSVAIICENLSDHLRKCLCYCSIFPSGYLFEKSMLIHMWIASFMQQHDGIDMEEKEKEWFDELFSRSFFQTTIWENKYVIPDIIREPIYCIAEKECHAATRSGKIKRSLEFYRHLAIDISDFDVQLDISKATKLRTIVFFDGHRTKKPYEALAKMLSHPSGLRVLDFSYSEAKLGKTPDFLDKFPHLRLLDLSFTKITSIPDSLCKLHLLQVLGLRGCQFKELPRGMNELMNLRFLYAEAHTVSQIYKLGQLTNLQGLEEFPVGKTEGHKITELKGLNELSGELCIDNLEEVTGTDIEGDTELFRKRHLKKLVLKWGLAGGTSTCTSDGYMKTLAGLRPNASLEELEIQRYMGVGFPAWMADEQYFTKLRHIHLIECKQLRTFPPLGQLLSLVILVLQGLSVVEKIGSEFYGTSYRVFPSLEELTFLDMPNWREWSDIEELQDSRTLPFAHLRKVQIKNCRVLNGMPLCCLQASLEELDLFGCNEIFASQPRSIGGLKCFLCLKIHHCLARIYLSSDLLGSLAVLNLQRCKVYFNGNCGQVIKMRILTSDCPERNLDEFKAVRKEQLVLEVLLSEGMPNNLIVKEVSRGK >Et_3A_025929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34068814:34072262:-1 gene:Et_3A_025929 transcript:Et_3A_025929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFACSGIAVERAGCVTRFLTTASLVTALNENRKGHDNLKIEVRHEDNVVVGFLGEYDFERNVAAVNVKDIPGLCAVSFPRMWNKFVPHSKVVSLGRDTSGKLIVTNGTWNKQTRSTCKISKKCEGGPLVDFGGCFIGINISFSAKGTAFLTTFRVFEQMNYCKYLQDIEFPAQSLDVIVPVNEDVLGNDLYGNLESLGYPERPKSASNGSMVLVNNFEDSFGGTSGAGVWSELSGTVVKSIEENTVALASFNGRKRLFACTGFFIDWDGCTTILTSASLLRNDGDENKIVENLRIEVLLNNGQRAKGTLQHYNLHYNVALVSVKDCPQPAKNEYQWDASCVLAVGRNFTSGRLMDAKGKGTPLMVIHDCRYLRASTCRITKAGIGGPLLAFDGKFVGMNFYEEGEGETPFLSWSVILDVLNYFKTKRSVAEVGHDASHLRGWTIGGDYSSFPNRSQSR >Et_10B_002789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11167094:11169427:1 gene:Et_10B_002789 transcript:Et_10B_002789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RARREKEQEVRDAVVIDDDSYAEPEQTTGLDEIGGSGPRVMGPMENFTKALDSSSLSNGKKLVEPKISTHVTKERLHRFKRYVAIWLYVRGKFVSGFSLQQVTKLRRSARLNQPREIEDDIYSEPEDNPVDEEEFEFESDQDDVVTRDYEEEEGAGTSDDRAALTHI >Et_3A_024849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24828768:24831255:-1 gene:Et_3A_024849 transcript:Et_3A_024849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLNPFDLLGADDNDDPSQLLAAAAAAAQKAEAKKSAAAPAAKGAQPAAAAKFPTKPAPPSQAVRESRGGGAPTRGGFGRGERGRGRGGRGYGQNRDFGGDNANGYQGGYGGGGAGDGAVAAGGEGDRERGPRPPYRGGGRRGGYRNGEFGDDSERPPRRNYERHSGTGRGYEMKREGAGRGNWGTATDEVLAQETEEALKIEEGVPEMTLEEFEKIREEKRKALLALKAEERTVEVDKDLQAMQALSSKKGNDEIFIKLGSDKDKKKENADRDERAKKSVSINEFLKPAEGERYYGGRGRGRGRGDRGGFRGGYGGGYGRPAAIPSIEDQAQFPTLGGK >Et_1B_013315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7264788:7266770:-1 gene:Et_1B_013315 transcript:Et_1B_013315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGTLEVLLVGAKGLENTDYLCNMDPYAILKCRSQEQRSSIAAGKGSNPEWNENFVFTVSDRTTDLLIKLMDSDTGTSDDYVGEATIPLEAVYAERSIPPALYNVVKGEKYCGEIKVGLTFTPEDVRQRGLPEDFGGWKQSH >Et_4B_036678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10296916:10299348:-1 gene:Et_4B_036678 transcript:Et_4B_036678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQAVAKGSVISPCGNLGRRRSAVAARMAPSSVRIGGSSRKTAFLGGRLAVGPRRSRPSSRSLMASPVQMNLAIGKGMRWWEKGLQPNMREIESAQDLVDSLTNAGDKLVIVDFFSPGCGGCRALHPKICQIAEQNPDVLFLQVNYEEHKSMCYSLHVHVLPFFRFYRGAQGKLCSFSCTNATIKKFRDALAKHKPDRCSIGPTSGLEESELLALAENKDLDFTYTKKPELVPSGDVATEVIAPEPPKLPAATKPLARQGSQERSLVAWYDVVQFLPYKRQDGCNLQETGGDSNCSNRTLGAPVIRSVPFATG >Et_7B_055570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14884518:14888643:-1 gene:Et_7B_055570 transcript:Et_7B_055570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQVFQALAALLPSQNRRLRVSMLDPHRTPANLITFYWVHNANLGVRKSLSFRLTVNPNVSVISMTFTPFGSSQSKWYTRFANMISMAANASSYLGTSFSQIQRVKTENPSL >Et_4A_035625.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31348901:31349494:-1 gene:Et_4A_035625 transcript:Et_4A_035625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTRPVVLALAAALLVLSFFLSAVAGFSIDEACATTPHPDLCKSSLLACPESKEATTPRALAEVAIRAASNVGASAGSYARAQLDIVKDNDMWTCLDECAEDIEEAVSHLDDTEGEIDEAKFNDVKLFLDTAEKDSWSCDESCKYARPTPVKAALLAKNKDFETIMAVTNALIKQATGGGTAAAPAPAPMPSSTP >Et_6A_046993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23889989:23891136:1 gene:Et_6A_046993 transcript:Et_6A_046993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARVPRLPYQYIGSHLPAPPALLSRSTSRAAAEKTGREAEGADATELAAMGHSNVWNSHPKNYGPGSRVCRVCANPHGLIRKYGLMCCRQCFRSNAKDIGFIKVLS >Et_1B_012792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35161859:35162453:1 gene:Et_1B_012792 transcript:Et_1B_012792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALELFWDMLRSVVVPNSVTFVSVLSACSHAGLIKEGRQLFGTMREIYNIDPQLEHYGCIIDMLAGGLLEEVEALIADMNMEPDIVIWRSLLSACLVHGNDRFAEIAGKEILRKNQEMMGFMYFFGIASNVHEFLVEDKSHIARREIFETLEYMAKEFKTDLSSSPWEQNGSSIFVDGGIIL >Et_8A_058004.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:11772620:11776186:-1 gene:Et_8A_058004 transcript:Et_8A_058004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAPAKKAIRNPGGSRGGQGQSSTVKFARRTSSGRYVSLSREDIDMEGELGGGGADYTNYTVHIPPTPDNQPMDASSSVAAKAEEQYVSSSLFTGGFNSVTRAHLMDKVIDSEASSHHPQMAGGKQGGSRCGMPACDGRAMRDVDPCECRFKICRDCYLDAQKDGCVCPGCKEHYKIGEYADDDPSEVGSGGGKLHLPAPGSGMMNNHNSKSLLARNQNGEFDHNRWLFESSGTYGYGNAYMPKGGMYDDDLDDEDGNGGGDGGLLPEQKPFKPLTRKIPMPTSVISPYRIFIVIRLFVLIFYLTWRVRNPNMEALWLWGMSIVCELWFAFSWLLDMLPKVNPINRSTDLAVLREKFETPSPSNPHGRSDLPGLDVFVSTADPEKEPVLTTATTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCKKHDIEPRQPDSYFAQKGDPTKGKRRSDFVKDRRKVKREFDEFKVRINSLPDSIRRRSDAFNAREDMKALKHLRESGGGDPASQPKVKKATWMADGTHWPGTWAASAPDHAKGNHAGILQVMLKPPSPDPLYGMHDHDDQQLVDFSDVDIRLPMLVYMSREKRPGYDHNKKAGAMNALVRCSAVMSNGPFILNFDCDHYINNAQAIREGMCFMMDRGGERVAYIQFPQRFEGIDPSDRYANNNTVFFDGNMRALDGLQGPMYVGTGCMFRRFALYGFDPPRTTEYTGLLFNKKKKVGFGGSDDPESVTTPDSRSMLRGAGEADFDAELSSMLVPKRFGNSSALMASIPVAEFQARPLADHPAVQHGRPPGSLTVPRPPLDPPTVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVSGYRMHNRGWRSVYCIPKRDAFLGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASRRLMLLQRIAYLNVGIYPFTSIFLLVYCFIPALSLFSGFFIVQTLSVAFLCYLLTMTISLIALGVLEVKWSGIALEDWWRNEQFWLISGTISPHHHQHLFCFKFCDKLESCSTNPIQFAWLPGTSAHLYAVVQGLLKVMAGIEISFTLTAKAAADENEDIYADLYVVKWSSLLIPPITIGMINIIAIAFAFARTIYSENPRWGKFIGGGFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWSGLISITISLLWVAISPPEASSSGGRAGGFQFP >Et_2B_021878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6306329:6309401:-1 gene:Et_2B_021878 transcript:Et_2B_021878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAKAMTAMVAVVLAAATSAAVVVDAAHDYGDALHKSILFFEGQRSGRLPPDQRLRWRQDSALHDGAAAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDLGRSFGAHEAEARKAVRWATDYLMKATATPGTVYVQVGDAGRDHSCWERPEDMDTPRTVYKVDREHPGSDVAAETAAALAAGSIVFREADPAYSQRLLDRAIEVFDFADKYRGAYSSSLRAAVCPCYCDYSGYQDELLWGAAWLHKASRQRQYRDYIKRNEVALGASEAINEFGWDNKHAGINVLISKEVLMGKDSFFRSFRENADNFICSLLPGISGHPQIQYSPGGLLFKVGNSNMQHVTALSFLLLAYSNYLSHANAHVPCGGGASPVQLRRVAKRQRRRCGLL >Et_2A_017255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32530160:32531192:1 gene:Et_2A_017255 transcript:Et_2A_017255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSSSSFKKYADASPQPSAGDMESAGGDSAMNMLLDKFFEDVEAVKGDMKDLEGMYKRLQSANEESKSAHDARAVKAIRARMDADVEQVLRKAKAVKGKLEALDRSNVTSRKVPGCGPGSSADRTRTSVVAGLGKKLKDLMDEFQGLRSRMAAEYKETVARRYYTVTGEKPEDSTIENLISSGESESFLQKAIQDQGRGQVMDTISEIQERHDAVKDIERSLMDLHQVFLDMAALVEAQGHQLNDIESHVSHASSFVRRGTVELEMAREHQKSSRKWMCIAVLAGAVLIAVLVLPVLVNLRILTLPTR >Et_2B_019004.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22662408:22662674:1 gene:Et_2B_019004 transcript:Et_2B_019004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSRIYYPSCQHQKLSAQAFFPLSGVMFGNLCRASMPKLWMNLMRIVTSLSTLSLTCWRAELTKLHSKYSAFTLRNLKNQTFGSVMQ >Et_8B_060031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5184451:5187184:-1 gene:Et_8B_060031 transcript:Et_8B_060031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPRPRSPPRYPDLCGRRRLQLEVQILNREVGFLEQEIQGLERIQPVSRCCKESKLCTCFSCFCCCLPKPKPKTPSCLSCSCRDTPCCRPTCSCPNEPSCSEDHCSCCDCKPRCGHCQPQCRGSCCSTNCGCPCSCARCGCCSGSSCVGCLGAVKQCLSCQQLSCCKCQSSCCEGERHCCGGSCAGDPAAPSPCPECSCGCVCSCPKCKGGCRCPPCDHNPCCAGGCLC >Et_5B_044504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:332323:337501:1 gene:Et_5B_044504 transcript:Et_5B_044504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTLKKSSSQPPTLDQIGSKPLVIRFSDGDEAKIMDPFDDCFPEATLGLEMLKGKEHVACLEGEWLLMFDAATDECFLMSLSSLSKISLPPLVTTDFVYKCALSSPTPPDCTIMITANQDDTEGGTYLLYCRPGDEEWQEWRDDTDDAIGYNTGIVGSRGTMYFSANNYTFIAIDASLSSSSDYKANIKRRGIPYPSMMRWGRQYYLVQSDGDVFHLQFYTHGLYNSEVIDMDIHRLDTSEYVWNKVESIGDRAIFVGDNCVVLSSASRAGIQPGYIYLLYDGCLEGIILYTIRLDDRTMTCTLLPSNSSDHLYWVTNKKSKLIFDEDAPLGESPWSRLPVEMVEELVSRISFSDYLNVRQVCKGWSSIVKPFQFAERYPIYPMLMSICSSTTGVFKMFDPIVEREYTVKNSSLAPCTDYQMLLFAKHGWVLVMRGQNHMYAANPFNGERVDLPEIPWPGNQFDGISFSSTPNSPDCTVCCIHKKRTQGRTDSLYVMVWRVGDEHWTKEVIGDETQFRTAYNNPVFYHGEFYCLGTRGQLGVFNPDNMTWRVLGKPEPVIDDDPLPGDRYCHLLEFKDDLIAIFRQHNDRPIDLYRLDKSHMAWTKVEKLDSENCEAAKDVFYAKAEEGLIVIPSNDE >Et_1B_013206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6456657:6457560:1 gene:Et_1B_013206 transcript:Et_1B_013206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DGAWASSGDALSAAGRPAVSEAAFIIGSTTFHTSPARSGRRRRLISAHLRPMCASWLACTNGQSSAAGSRTACSSPRSIASSTTSAMFCGGRPVRSLRMMMPSEYTSDRGVSSPLVPLGLVVRYGLVVVVVVDWIRSWQMPKSPSLLTKLASRRMLAGFRSPCTTGCGLFEWRKIRAEHISKMILVRTGHVSGGVLLVHASRSSRLPLGRNSYTSPNASRQAPISVTRMKQLYSYGCVT >Et_8B_059019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12294847:12298185:-1 gene:Et_8B_059019 transcript:Et_8B_059019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLAAVVVLAAAAAHAAEQQTSQRPITLPGCPDKCFNTSIPYPFGVKSGCYFDSSFFVECSERTAPGANLGVPLVYNMTGYYIGDSDNPGVLPMTNASWWTVELIDLDVARGEARVGMPVSSDCSLNETYHALNMFSMTVNDSDGTFLFSATRNVLVGLGQSVQARVSGEMNLSNISSSCSSLFDNPLAMQNGSCSGLGCCQANFPPGLSDLAVGMKRQRNTMWVEFPCTYAMAVEKSWYNFCTEDLVGFDDYNRIPRSVRSCWTGRSEMSRVRRKAIGCPWLAAASIAAVLTRLRALGISASARMVSTAIRTSLMDAKMLIDIDECGLQDLYPYHGVCKNRVGGYDCRCKFGTKGDGKSGCTTMFPLLAVATTLGVIGVAALVVIGVLFKLLLVEKRKTREFFEKNGGPILEKVNNIKLFKKEDLKSIIQKHNVIGKGGFGEVYKGILDGQLVAVKKSINVDKSQEKQFANEVIIQSRVIHKNITKLIGCCLEVDVPMLVYEFVPQGSLHDILHGNNKVSLSLDRRLNIAAGAAEGAGLYALKNIHYDIARLIAIDKTHTKFVMGDMCYMDPVYLQSGLLTKQSDVYSFGVTLLELLTRQKAALGENGRLVKAFLDAYTEEKGAIELFDKEILVGSKTEVLKKLARLIVECLKLDVDERPEMIDVAERLESMKRLHKIESGACGSTNTESTRFA >Et_5A_042792.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4481708:4483357:-1 gene:Et_5A_042792 transcript:Et_5A_042792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCERDDPDPTAATSSSSAPDWLDDPIAFLASDLDLVGFDHYGWLPEAPSTAPEQHDAGSMVVETIPPCQPTLPLPEPGPVVSCAASSPVAVASPEELGKPKKRKSPQAQHTAPPGQSSQRRQVGSEPPDKAKPSQKRSAKGGAAGLDRDARWAEQLLNPCAAAIEAGNLPRAQHLLYVLGELASFSGGGDANHCLAAHGLRALALLLPAAAASVKMPSLERPAPASTFACVEPRLFRAALIRFHEASPWFALPNALANAAIIAQVSAAARSGAAASKVHVVDVGVSHGVQWPTLLDALARLPRGATPPSVRLTVAGPAATPQPAPFSASPPGYDCSPQLLRYARSINLDLAIDHAPCLDALRGGTTAHDEALVVCVQFRLSHATADDQASVLRKVRSLNPELVVLAELDGGGRGGDVGSTMSGEFAARLEVLWSFLESTAAAFKGKDAEERRVMEAEAGTALLTAAARGRVVRGREAWRARMADAGFAEAAFGGEAVESARALLRKYDGGWELATPPSSPPAAIGGAAVGLRWKGQPVSFCSLWRPV >Et_10A_001956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14418382:14420581:1 gene:Et_10A_001956 transcript:Et_10A_001956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTRTQQQSAPPEIQVDGRNSPGQGTVDEEGHVTEETGMQKQSATADTRDTKEKLHAKRKYLMLLGILVASVTYQAGLEPPGGVWQSDSAGHNAGNPVMHDNRRSRYLGFFYSNSTSFVASVVVIFLLLLELLHEEKIWPFRVMNTTIALDLVGLLVAYAIGSSRSWKTTGIVFALVGAVLAYVGIHVPWLPVGNAMQLSCLEQSPRYVSVAEQDDVAQLLAEALEETETGNELPCMKAKV >Et_2B_021657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4052971:4058650:-1 gene:Et_2B_021657 transcript:Et_2B_021657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALMNTSIRAGLMNHHRRTVAAWLPDYSACKSLSSVVTALLLDRDVGGLQVLLRDDGWVDVPVLRRHELLVIVGDEMEIMSNAAFRAPMHRGVTSEDQELVSVVMFYQLEPHGDLAPAEELVDDETRPARYKKLKAKTFVDGFWDAFALGERTLDFLEVKIDTQDAVSGA >Et_8B_059466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18279197:18283311:1 gene:Et_8B_059466 transcript:Et_8B_059466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSDSETTNRGSMWELDHNLDEPMDEEAGQLKDMYREKKFSSILLLRLAFQSLGVVFGDLGTSPLYVFYNIFPHGFDDEEEVIGALSLIIYTITLIPLMKYVFVVLRANDNGQGGTFALYSLLCRHAKVSTIPNQHKTDEELTTYSRQTYEENSLAAKVKRWLEGHAYKKNCLLILVLIGTCTAIGDGILTPAISVLSASGGIRVQNQNMSTDVVVIIAVVILIGLFCMQHYGTDKVGWLFAPIVLIWFILIGSVGALNIHKYNSSVLKAYNPVYIFRYLRQGKSERWISLGGIMLSITDCVHLGSVPLPSTGIHWAGSIHHLPQGSRTAVVIVMLVTTFLMVPVMLLVWKSHWILVVIFIVLSLMVELPYFYACINKVDEGGWVPLVIAITFFVIMYIWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPLIFSHFITNLPAIHSVVVFVCVKYLPVYTVPVEERFMMKRIGPKNYHMFRCIARYGYKDILKKDEDFEKMLLDRLFIYVRLESMMDGYSDSEDFTIMEKKGERSTRALLLTEKAGSNTMCSTGDLSYSSQDSIVPAKSTVTGNSLTRYSSQTFGDELEFLNRCKDAGVVHILGNTVVQARRDSGITKKIAVDYVYAFLRKLCRENSVIFNVPHESLLNVGQIYYI >Et_5B_045542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:480447:483044:1 gene:Et_5B_045542 transcript:Et_5B_045542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLEEPCPLLVPYTDPYSISAYDIEKRKYSVSPYDVKSKLAWGDAASMVSAMKGAITILVNGESVIFRDLSVAILRNVLPSKDRKLQKLFLLYLEVISKTVDAYGRVPPDRTLVCNHLRNSLEHGDELVRRDTLRFLCRLRDSRLAEPVVASVLANIHHPRDFVRRDAFAAVCCLCRLRRADGGKLVPDALDVAERDAAALRNAFFTLHCFAQRRAAAYVLDNAGRVASEWPGPLQMLAVDTARRYGRYESKHVDILMSLLSNPNTSNVVVYECAGTLSWISSEPTAVRAAANAYCKLLSPPSLQSDNEVRLIVQDLLHELCVSHTDTKVDLVKDRIASRPRFNPSPSTHVDVKKTLQQNVKKLVMYLKEELVKATLQDGEQLEETGAYGTVLVQAIHACAKEYPDVVAPVLMDLLQRTGYATMNKEREPSPS >Et_4A_033749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26420839:26424331:1 gene:Et_4A_033749 transcript:Et_4A_033749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGDSGGVVRDVDALDGVRSIVLKPSESLDESRFTRIAGADFNDAGLGLDGLLASFASTGFQGSNLGDAIDVVNQMLDWRLSHEKPREDCDEDELDPKYRESVKCKIFLGFTSNLVSSGIRDIIRFLAQHHMVDVIVTTAGGIEEDLIKCLAPTYRGDFSLPGALLRSKGLNRIGNLLVPNDNYCKFENWIMPIFDQMLLEQSSENVWTPSKVIARLGKEINDESSYLYWAFKNNIPVYCPALTDGSLGDMLFCHAVRNPGLVIDIVQDIQLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDASIAFPLVVAATFAREVHSSKPTN >Et_5B_045770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9130604:9134152:-1 gene:Et_5B_045770 transcript:Et_5B_045770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVAMKVDRKRNNFVAAAELERAIKALMDGGEVARKVRDAATEMKAACRAAIDDGGSVVLPFAAEALQGVNVNDAKQRKRVEQCDNKVEHQVVTDSNNNGHDSVVSAKNNKKTRHNERSFWRTNNRMMNIIGDQ >Et_10A_000434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10130062:10133531:-1 gene:Et_10A_000434 transcript:Et_10A_000434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNPDDPEFRHFLGNIPGAVFASYGEQSAGSSSPEAGNRLGVGMTDNANLFDARSLMSEFENMSLGFADGAAANYGSVGFRDGHCPAGLVIPSADRTSNRSLQLAFAQTDFVPSSLMMNNAEHMKPLFGDQNHPVYTRMDGSDNAYVNSVNLPPASLFEQQQLFNGWSQSYAPHQQEDLKCRGHDIHMERHPIMQEHYSYQQMPHVATSDVCWIDRGQCGAVNSSAKSSSSPHLTTPIVHHLGDDSADIYWNGAMGPNGNNQLNSTRVNNCPCMIYPDCSCETCEYCQIQLSEKLNHPYGLRRSPKGFLQNHIVDKRSQFLSSLESDWALKSAQLKYSSVDEVVEELYLLAKDQNGCRFLQKIFTEGSQEDAQKVFDGVIEHIDELMVDPFGNYLVQKLLDECNDDQKMHLVYEITKRPGRLIKVSCNMHGTRVVQKVIETIKNSDEASMVVSALSSGAITLMMDSNGSHVAHRCLQKLSPEYKAFLLSEAIDYCVELAKDRQGCCIIQKCILHANKEQKNRLLYSITSRALDLAEHQYGNYVIQYILELKITWATDEILDKLEGRYGYLSMQKFSSNVVEKCIKEAREPKRVKIIHELMTDPKLLHILLDQYGNYVIQTALRECEDAAVQDALIDAIRPHSTALRNNMFGKRILSKTCLKNRKY >Et_2B_021170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27070380:27076961:-1 gene:Et_2B_021170 transcript:Et_2B_021170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPLDASPAATTAAASAGGTAVAPALRPRREAFEYGLLPIPKLVFPEGTLTQTLSQLKERLAPAGARVGAVALAEALQIPVEQAALALGTLAAVLPAEDSDLGGDGAGEADLRDLLLFLYIQSYKRLLPRANKDSPAVADVWPSTSAFDGYLSVLSPIQLVRSNSRRFMPSQADEEAHQLSYLQKHTANILTLLSDSVDGEGDDSMVLTMESFEHLGFLLQFPEGTPLSQAASFFANSDPDMPAAPVSAAVVHEWILQHITSSLECTAKENSQQTVYDPDVTMADAVANTRIQSSVPTVTSSLSNPGCYRSTTFVEGLSKTSIVKQASDMKGNSIKVLNCHDSVIYILAPLNYATVYGCSDTTVVLGAIGKVVKVEHCERVQIIAASKRISIANCRECVFYLGVNHQPLIVGDNHKLQVAPFNTYYPQLGEHLTQVGVDPSVNKWDQPFALGVVDPHDSLSHPAGVSDVQAESATCLDPDLFTNFLIPSWFESQGPTKYNPFTLPEVYWASQRKKHASLEDIQKNIRELELDDNRKKELACALHAQFKDWLYASGNIRQLYCLQGYPDT >Et_7B_053882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1378598:1381719:-1 gene:Et_7B_053882 transcript:Et_7B_053882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQEIASCVESVLRSSAGGPGEGSLAAVLQQAEAKLGMDLSHKAQFIRDQMDLFLGPRLQAPPPKAQTPPPQVPVPQAQPQGQTMPQLQAIVPGATVPAVSSPPAVPAMAFYPPPPLAFRYTTGLGGAATGGTVSFQQPDPGAGSTASPTAPVQAAADNKESGSKRKRGGPGGLNKVCAISPELQTIVGETAMSRTQIVKQLWAYIRQNNLQDPDDKRKIICNDELRVVFGTDTTDMFKMNKLLAKHITPLDPKDQIHEVKRMKAPSMAPQPGPPINQPSVVISDALAKFIGTEGTVPQDDALKYLWDYIKANQLEDVINDSILCDSKLQELFGCESIPISGLSEMLSHHFIKQT >Et_10B_002979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14906976:14909674:-1 gene:Et_10B_002979 transcript:Et_10B_002979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPLVTGGSIALKSALNGKYVRYMPEHGEGKKMLKVSGEDAVSPYTRFQAEPSKEHDGYVHLRCCYNSKYWVAQQDGDEWCLVGDADEPEEDLSKPSCTLFRRGSEDDDSNNNEYEFGCIMVRSKEMFMCSTILFQQRRLLEATALLLRNRDRYSESAYRFSVIDLNKQMMLPKHVCFKGDNDMYGRVNGSNYIEFSADDIGDPAVRHTIHTNEDGTIRVKSDFLDRFWRRDPNWIKADSDDTTGDDPDTFFRAVKIGDAFAFQSLGNSHYCKRLSTDGTNNCLNAAVPTITEEALLRLEEAVLSRKIYGVEYHFNDAKIYRQKVITMATAQAVNRTSGQHKATLTLKYSETKERSWDSSVSVRLSVTTTIEAGVPGIVAGKLELQSEFTSSYAWGESDTHLEEHSIDYEIPVPTNTKLKLRVLATQGTCNVPFSYYQEDVLTTGEKIVSKFDDGIYRGVNSYDFTYEVTEEKLAKDISA >Et_6A_046515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16513225:16514131:1 gene:Et_6A_046515 transcript:Et_6A_046515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QRLVVPRPYCAAYSPRCFYTYVDGNSSIAGGSYLAQEEFRLGLLDDPAVELDFVCGDVNGDFAGLASASPAGGWWASGGGPHPSSRSSAWALLLLPGPARRRSFLYLPIPAGTFDPGANGSGGVSLSVTVPVTFLDERAYAPLREAMASGIGLPAVADGGSAALGLDLCYTTNGSLAAAAEKKKIPAMALVFDGGAVMELETRNYFYRDAVTGLECLPVLPSPAGAGSVLGSLVQAGTYMVYDVTGSKSKLMFESLTQNANSPMPLPPPPLGNSSNGSPPWMLSMGIVGTLVWVLVHMLY >Et_5A_041943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4493354:4494657:-1 gene:Et_5A_041943 transcript:Et_5A_041943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLNFIKQDNGISRSHEHEAGNGTIWIRQTSSRSLNGLCHCFNCRILSNNTLMQLLLQIEQLFSVKLQGIQTAILQFSSAIEMIPTLCLLNLHIYPVNLLQQVGQHKLVGSDAPTLDLSQ >Et_5B_043076.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:21359314:21359963:-1 gene:Et_5B_043076 transcript:Et_5B_043076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQVVVTRSRLPWRGHPWGSEPERSGLLEERDERAVDVAGHDLLDAAEEPPADEDDGHGRLPGRKQLRERRLHLAPHRGGRHLVELVDGGPHAEPQEEALDDVAHAAAAGAEHHHRVAARHPRHTLVHRHRRRGGVRRRVHRRRAAAGRVRNLHPQHHPPLS >Et_9A_061608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14443462:14443874:-1 gene:Et_9A_061608 transcript:Et_9A_061608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVMLFHQGGPGGRINYALDSFQTEIIACVQGASVARDLGMGHVIIETDALLVKQVVMSSSFLQSAVGGLVGNLSHFLKHDFIKANVTFKPRSSHELAALGYECRSGENPILDSLPVCIQMMVARDSAEPLG >Et_3B_029013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21325521:21329001:-1 gene:Et_3B_029013 transcript:Et_3B_029013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNSFNDVRAVENNLATAAAVAAAKKQQQASSHVNVIRTCPSCGHRAQYEQAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDARKLHPLVDEFIPTIEGENGICYTHPERLPGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFANGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFYQTQPRQCGGSTTMMAKDAVPLAASAAATDHHQHQHDSNSSMTMKEAAGIVDFYSPAALIGYNQAAPNNRAAASAHLMPNFEVHTAGAAGFGP >Et_2B_022424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21118049:21124440:1 gene:Et_2B_022424 transcript:Et_2B_022424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGRSKSSSSTTPSSTSATSAAAAACSEVRAAYHECFNRWYAEKFAKGQWQKDDCADHWNKYRACLEEHLEDKHLRQIVLDAESSKFCAQLDSDPPLGQGAKKVESTYAISNKCRCIWWLYAELISILALYLYNEVKERSHWQK >Et_1A_009407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4949456:4951112:-1 gene:Et_1A_009407 transcript:Et_1A_009407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVERDLHMSGGDGESSYATNSRLQVCASSTYLRAKKLALIYTPLLTRVLVVPQEKSILKTRPVLHKAVAAAHASLSPSAGTMVVADLGCSSGPNTLLVVSEVLGAIADQREEDELQRGQRRPPVHVQFFLNDLPGNDFNLVFQSLDMFKKLAAKEKGAALPPYYVAGLPGSFYTRLFPDRGVHLFHSSYCLMWRSKVPEELSTGIALNEGNIYIWEATTPSVVKLYRKQFQDDFSLFLRLRHSELGSGGQMVLTFLGRKNKHVLRGEVSYIWGLLAQALQSLVEQGRVEKEKLDSFNLPFYAPSVDEVKEVIKKSDMFDINHIELFESNWDPHDDLDGDLVLDSVQSGVNVAKGIRAVVEPLIMCHFGEHILDDLFEIYAQNVAKHLQKVKTKYPVIVLSLKARRAPKYPVDESCYSVFAQSQLM >Et_7B_054572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2403951:2407036:-1 gene:Et_7B_054572 transcript:Et_7B_054572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRPCPCGPLVGAPRLSITSTSRVRIIGRHSTSLLKTAWAGNRTTAANLQHRYPIRAHNGAADYGSIVPDPEDDKSMTQEAFNKFREELKKRCELDKHMEATALSLHLCMMAKMGVYMASGIMECVGLRVNKQDEISLDTTKQTIATFVSIFVKLVEDTYHKRFHVESMFSLLGAFRGVAAVSHILLQDVLASVKDVEDIKLNYNFVHDKDNGWHEFEQQLNNLEDKFREVSKSTNFLRPTMEGAMTLTLFFVSKMEGRLKMALGKFPGDGPRHFKASDDGEPDA >Et_4B_036366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20189227:20190255:-1 gene:Et_4B_036366 transcript:Et_4B_036366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRSRILRTLQSFPNAAAQSNLLLPPPANAGASPSPKPADPCGRFQDPTPPEDVAIADGAGAAAGVGDGDDKENVSPGVTPRKAKKMKLSSDHDQSAGLGESGYRRPDLTSATLFDPDLLAAFRRAVDAYAQALEAAKRRDDDADDGGEDGASVTADPLEPFERRCPPGGERAVVLYTTSLHSVRRTFEDCARVRRLLEGLRVAFLERDISMHAPYREELRSLMPCAPAFPVPPRLFVDGRYIGGADEVVALHERSQLRPVLRRAPRRGAGEGPCAVCGGAWFMVCGGCSGSHWLHDNNAAAGGGAATATARVACPSCNENGLVPCPLCS >Et_7A_051832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25380603:25383389:1 gene:Et_7A_051832 transcript:Et_7A_051832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AEKTSRKMGATRKQQLLLLLALFFFSAVAPQVVRAVKPNPNPNLMVGERENSLRIIQKDIIETVNKHPNAGWTAAQNPYFANYTIAQFKHILGVKPTPRSVLNDVPAKTYSKSLKLPKEFDARSQWSHCTTIGTILGSLWLLLGIWRSGVSPGSLLHSFQHVNDLLACCGFMCGDGCDGGYPIMAWRYFVQNGVVTDECDPYFDQSGCKHPGCEPAYPTPKCEKKCKVQNQVWQEKKHFSVNAYSVNSDPHDIMAEVYQNGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTSDAGEDYWLLANQWNRGWGDDGYFKIIRGRNECGIEEDVVAGLPSTKNMVRSYGSAYRGTAVV >Et_10B_002722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:162356:169461:-1 gene:Et_10B_002722 transcript:Et_10B_002722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAVRACSSLTRNAAAPTQFIKLLCWRTQAGPIHIARSFAAPLHFGSADPPNLAALQMLDEMPHEYDLENIPLEEVFENLRCSREGLSTEQAQQRLEIFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRNGRWTEEDAAILVPGDVVSIKLGDIIPADARLLDGDPLKIDQSALTGESLPVTKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFERGITQDQVILMAARASRTENQDAIDTAIVGMLADPKEARAGVQEVHFLPFNPTDKRTALTYIDGDGKMYRVSKGAPEQILHLAHNKSDIERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESSGGPWHFVGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVIFFWAAYKTDFFPRIFHVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYADWAFTSIKGIGWGWAGIVWLYNIIFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQAPDAKMFPEKAGHNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIETIQQSYTV >Et_1A_008032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40240065:40245021:1 gene:Et_1A_008032 transcript:Et_1A_008032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHLHLRLSLLSRHPHAPTSLSRRALCTSNLETPASAVESPEEIPEGSAPSPAADPNPPRREEPLHETILYMIRRRQWTTRLENSIRLLSPTLEAPLVHGVISGAADAGRADLALQFFRFAYRRAGFRPEPATFALLIPILSSRRMLNHARCLVLDTMPSFSIAPDEATLASLIAAYGKASIPQEAVKLFRMMPDLGIPRTALSYNAVLKAILCRGREAMARRIYNAMIADGVTPDLSTYNTLIWGFGLCKKMEAAVRVFGDMKGHGVTPDVTTYNTLLNAWVWAGDLESARKLFDEMTGEGIERNSVSYNVMIKGYVAAEKVEDAVSLFMEMGEKGLRLSEKTFAALMPGLCDDEGKVAEARKAVDNMAERRLTPKDKSVFLRLVKTLCKAGDLDGALEVHRKSGQFKHALVDPRQYGVLMEGLCAGGKSEAAIEVLDELMEKGTLQSPKSPVLEASAYNPVIEYLCNNGSTNKAETFFRQLMKKGVDDKAAFNSLIRGHAKEGVPEAAQEILAIMTRRGVPTEPESHALLVDGFLKKNESADAKRALDSMMEQGHLPSAALFKSVMVALFDDGRVQTASRVMKSMIEKGVTENMDIAHKVLEALFVRGHVEEAIGRVNLMVENGCMPDLDKLLVGLCENDRVMEAQKLADFALDRDFDVSFSTYDRVLEALYTEEKTLPAYSMLCKIKNKGGVVDQKGCDALLESLKSEGYSKQADILSRILVENAPSTSKRGKRAAMGRAGPVRVDVRHGDVRRREDEGHDAAGVGDHDDVVVAPDAAREGEQQGERGLECMGEEPGCAIFRRLPEIGAALLDAKLLKHGDEGLLLQVLKLAMPD >Et_8A_057001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2010115:2012848:-1 gene:Et_8A_057001 transcript:Et_8A_057001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAATRAAALCRIAACASSPASAAATAGRRREPEQLAAFALAEPGMVVGGHRGMGMNAVGAPPGARVGAARERENTLLSFGRAAAHAAVAFVEFDVQVTRDGCPIIFHDDFILTQETEAVYERRVTDLFLEEFLSYGPQKDSRKVSKPLLRRTGDGRILNWSAEEDDSLCTLQEVFECVSPRLGFNIELKFDDNIIYHRKDLECALQAILKVVVQYASNRPVFFSTFHPDAARVMRELQSLYPVLFLTEGGTAKHNDSRRNSLDEAIRVCLEYGLHGIVSEVKGVFKNPSAVFRAQESNLALLTYGQLNNVSEAVYVQYLMGINGVIVDMVEEISNAVADFSRQPLSQSSSGSGISSIDGSKHEAFSQQQLGFLLRLMPELIEQPH >Et_6A_047962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26133933:26136459:1 gene:Et_6A_047962 transcript:Et_6A_047962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQELWILLSPALVVVVISHLVVLAAASESPAIPRPDCPSKCGDIEVPFPFGIGEGCAIQTSPSFTLTCNDSVSPPKLIQGSNLEVINITLETGEIRIGGHVSYICFSSNDTVSSISSRATTLRSFTLSKTRNATLFTGCISSCESLDEAAQDGEECAGLGCCQIGVPGNIKQLGVNWGDEKDYVNPAWNYSPCSYAFISEKGSYRFQREDLIVDGNNSFINRVGTSLPRVLDWAIRDNGSCSGVPGKSVTPACASDHSYCVNATQVDGYLCRCSDGFQGNPYVKGGCTDIKECDLRKSDPAIYEKKYPCGKHSKCRDRPGGYDCICKGLFPKGDETLVAIVLLAVLLWFVLTEHKRRQRKGFFYKNGGKLLKGAGIHIYTEDEMKMITKQYSEPIGGGNFGKDSSKIQEGGEFVDEITFQFQMRHPNLVRLVGCCLETDVPMLVFEFIPNGSLADVLHGDAGTRRPLSLLQRLDIAVSSAEALRYMHYSHVGGHHKRIHGDVKSANILLDDDLKPKVSDFGSSKVMSKGSRYVRFVASDMNYVDPVYYKTGRFTEKSDVYSFGVVLLEIITRKPAKYDGGNSLPIDFVKTCKVEGNGRKMYDEEIMTVDDPKSSVYTECLDKIAEVAMRCLKEDDDERPNMEEVLEELMRVKLRASG >Et_2B_020550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21236292:21238436:-1 gene:Et_2B_020550 transcript:Et_2B_020550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIDMLTRVDAICNKYDKYDADKHRSDGAAGDPFSRLYAAIDEEIDAALEKSERAAREKNRAAAVAMNADLRRTKERLQDEVVKLHRIAAKKVKGLSAEEKALRSDLIAALPHRIQSIPDGGAAEQHGGRSAGNARPGIKLNSSAETFDEGYYQTSEESEQFRREYEMRRIKQASFLVTCAVQDEGLDFISEGLDTLKDLAEDMNEELDRQVPLMDEIDSKVDKANSELRKTNHTQPVNLCSPFVTCAGGQDTKHIKRVENTEPYEQNT >Et_8A_056864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18004135:18006043:1 gene:Et_8A_056864 transcript:Et_8A_056864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGEKEAASPGVDFLEWLGPDTSAVVFALLRDPADIARASAVSRSWRTIVLATHFSKIQCLRICPDVANFTRIEQVTSANDSSSNVAVAESSAATAAAWETHERDHMVYTHLAHTLLSPRTSQNCIAGCIGASSTDNFPEESIENTLDPWEVVDLMNLEPSYWSSGGQADPAVPEYLIYRLSSDLCLINEIRVQPFRAFFQAGHPIFSAQYVRFRLGYPKMPLQPEDLVSDENEGKLIADSNYIWTYTSPEFPMLQENVLQSFELPRSVLCIGGVVKVELLGRVQKQQADDLYYICVTHVQILGTPLSSEFGAAASISDLVLKYCPAPVGSSCSKLSCSRSKWRNFEARLWHEVTGPGIGLNQELLSRLLGPSFQFLVDDDDEMKDASPI >Et_1B_013245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6772243:6775528:1 gene:Et_1B_013245 transcript:Et_1B_013245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLGGGDWREPAAAGEEAAAAAPGGGPNHLVVMVHGIVGSTADWKFGAEQFDKLLSDKVVVHCSNRNMHKLTLDGIDVMGERLAQEVIEEINRRPQIKKISFVAHSVGGLVARYAIGRLYRSPRQTSQSDHQSLPGNNRGTIHGLEAVNFITVASPHLGSRGKKQVPFLFGVTAIENFACCIIHLIFGRTGKQLFLADNDDGKPPLLQRMVDDWGDLQFMSALQAFTRRVAYSNVGYDHIVGWRTSSIRRDAELPKWVDSINKIYPHIVYEELSKAETPNQVAVISDMDNCTLEERLIRGLKRVSWEKVDVSFHNSKVRYAAHSVIQVKDPVTHCEGADVIQHMIDRLIL >Et_1B_013680.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11923176:11923979:-1 gene:Et_1B_013680 transcript:Et_1B_013680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLRGKAGKGGGGKIHPAPEPEDPVRAAFRHLPVAVLVLVVALGAEARELLAYFVACPLDGWLAKDAAAAASPSAPARGGGGRRRVGCTPAFRAASHPPTIGCGCVDCYFSFWARWDRSPERDRINEALSAVEDHLAASAASTPPSSSKRREKGKRRKLHAIPPPPRRAKTPEPKVVEAVPEPASPLPLSCPPPPPTPAPTWCAAAKQEVENAVPEPAAKAEEAEECREISAAEAKRGWADVLMGGGLGRTLREMLFHHPAVLSAT >Et_6A_048100.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:6376284:6377735:-1 gene:Et_6A_048100 transcript:Et_6A_048100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLISHGHSQEGEEDDDRFSMLTDDIILSILGRVDLTTAASTCVLSTRWKNLPWLLHELNIDVKDFIPAPHPNPIQAQHVDAAMASVAQVVRSYLATHRKAEDSTISKLQFTLYLVNSHVHIIGDLLNDAIDVGIVKDLDLTILHEKDPNDCKNEDMFQQARSVDAFFSACPSVLHCLTKLSLHNVCFAELDWNHVLSESCKQLRHLYLANCDAGLLTWKIDAPDSNLTVLELDVCFLVKLEVSHLPKLERLLWDGWACSRIPLLLGVVPSLKEVYILSGATICSKEFKLSEALGAAAAIEDLTLSFQGKNLWMQPEGEQLCAAFSKLRKLSLHDIFVEFDLSWTMVLLEAAPSVEIFDADIWEHRCRVDTKERRQVFGRTKPSWKVAEFTNRKEWNLKEVQIAGFSPIEQQITFLKAVMERAPNLRTIILRDHQRCEDCEKRLPKRVLKERGFPKGKDEQDMVVNQLIGDTAYSHVQIIFGN >Et_1A_008381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7112544:7116705:1 gene:Et_1A_008381 transcript:Et_1A_008381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRSPRKLLKTPPLAVALKSFIKHPLRFSLFLRRPHEPCAARLPRMARHAKTDSDVTSLAPSSPPRSPRRPAYYVLSPAASHPDVLLPASGGAAAAEKMSLAGSTPADSPLHYHFHRHSGAGVHHSRESSTGRLLFSDQLRSGAGAAVGGAAWRRLGHGGSGAGSVGDDEDEEESLRGGAASPWRCYALGAFAFFAVFAFFLLVLWGASRAYKPHVVVKSVVFESYHIQGGTDRTGVPTKMMSVNATVRLRFRNRGTFFGLHVTATPFTLFFEDLTVASGEMKEFYEPRKSGRMVTVSVVGKQVPLYGAGVSLHSKPNNGPLGAAVVPVRLAFTLRARAHILGLLVKSKFYRRVHCRLHIHEARLGKPVHGVAADCEYHDGR >Et_3B_027939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27558221:27559417:-1 gene:Et_3B_027939 transcript:Et_3B_027939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAASFSAALAVTVPAQIPRSATAGYLDGNVGAFSSPPSCYSSSLPSSYYNNIQRSISSHSLPMHIQLADPLCGGNGNGFFSPSSPSPHHQLPLPPLSSSPSSSSGDLFEFTASSCPVRRVFSTGDLQGMNGSSSPRQVPSGDNQEGGGPFSQKVGRYSAEERRERIERYRVKRHQRNFNKKITYACRKTLADSRPRVKGRFARNGEAEAEADEREAPDNSYDYCCGDNELAGNGNGNNSSSACYDGHYSNSSGNGVVGSGVGGDNGEWWWRAPGAAAAAGEAQRQRQQVAGFDDDDELWATLGDMLSVNLAS >Et_2B_021714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4790184:4793393:1 gene:Et_2B_021714 transcript:Et_2B_021714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHEMQLRWPVEWREVVAGKRFRGFEVGICLRKSLKWFSKATSQDNVEVEFLWAICARLPYDYGGMRIALYHSSLDTKSVLNGGMATFEVYDLPNFVSNDQISSAEMWVANEHPKYNAVTVGWTVNPSLYSDSKTHFFTGWTVLIIFSFIVLTGVMFDMHTLGSTVLFKQNDDNGPGCYNLRCDGFVPVNNAPITPGDTLDLNNGRLEMTVKIFKSQDDGDWWLHFGNDSNNMKPVGYWPKSLFTSLEDHANVILWGGYTQSFAGTASPPMGNGQWPGKDSAFIRDVKFVDTNGQGYKPAPWPAGLTAFASHKKCYQISPYLDDKFYYGGPGGCTI >Et_3A_024249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18945129:18950581:-1 gene:Et_3A_024249 transcript:Et_3A_024249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSLVASSTTKSTSSTPGHSTTTRSNFSFDCSTSWSNERDTEASIDMVDGSVYKEGKKQLVVQGDHAAASDVSEKLFISCNSTNVLSVKIVSSDVGFSIQVYGTIIARDMLDRKSDHSHIVLNKDEELILTGPKRGLVLIDAICIEVDLMIKGEGKRKKDKQLSKGYLTLTGLARHFRDEMEVESYTIESMLSKVVVMCGSLKMRVK >Et_10A_002145.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:2429705:2430847:1 gene:Et_10A_002145 transcript:Et_10A_002145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASRPPAPPPPPPPPPPSQAAALQWLGPRVSFSLDDAGGGGREAAAGGKPCASADFEFFLGGCAAASMLPADELFSGGKLVPLRIPAPPSATTAAEAEEATAAVAPLPTKQQQQQQPETPRADEAAAKDVAVAAEEPKIPARRWRDLLRLRKQQASSGSSSEPRPLRRLLRRGPKPPEPEPSLNLPLLRESDPAAEKTSSTSPSPSPTPTPTPTPPPPSHQQQQHQSQLPPKIRLSPAQQQAAAAASPPPPPPPPPPPPAAVAADSPRLNAAGKVVFNGLGRSSSSPSSLAGGRRTRAGGAMERSYSAHVRVAPVLNVPVCSLRGSRKSVSVFGIDRLFSPSSSSSSSAAANSAAAAGKKARAAKKEVTAAAAPSQ >Et_3A_027384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9881671:9885820:1 gene:Et_3A_027384 transcript:Et_3A_027384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIHGIPDDLLVLILLRIDSPIYLLRAASTCKGFYRIVAGSGFLHNYRSIHGPPISNACYNGDQEFSRPDFDSSYFCLDFLPDISSSSVCWHKGRHVGWPEDRRAGLVLLQRVHHVPRTYQDCVVCEPLSRRYVIIPPMLPSSVWDDQGYRAFLFNSGGSWCEICIDCWPTHLRLIGFAEGSIYWHAEGKSTLLVVNRRTAKFECFLFPHVRDLDYSVRMDKVTVTAGSDGEARIVVSSDDGDMMVFARQQGSSEWVLEKTIQLQAETHGLPPYHDWFFYYAMPDYVHSAGTVLIRVLPDVSAGQWTIDLDRETVELLDLVPTIRYPLKCDRPNSSMNKLNPEKTDLELEKNTAILEYNNNL >Et_1B_014435.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:8634642:8634980:1 gene:Et_1B_014435 transcript:Et_1B_014435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPRGVATEAHRVRERDSVSSARRAGPDAFPVPKSICVGRRAAPAHDATTAGGCKIRKRVQSAAPAVPDVDFSGPKRIKVTHRATDVAMVVLAAAVKMPVQNAQEAAGDRA >Et_2B_019668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1193106:1195451:-1 gene:Et_2B_019668 transcript:Et_2B_019668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSSSSSAYHSRAGAFASPQARGGGGRGGRGGEGADRIDALGRILSRILRHMAAELGLDMRPDGYVRVRDLLRLNLQTFANVPLRSHTVDEIREAVRRDNKQRFSLLEENGELLIRANQGHSVNLVTSESLLKPILSADEVPVCVHGTYRRNLDSILQHGLKRMARIHVHFSSGLPSDGEVRSGIRQDVNILIHLDVRKALQDGMKLFISDNKVILTEGFDGVVPVKYFEKIESWPRRVPIPFQR >Et_3A_026270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6207007:6209102:-1 gene:Et_3A_026270 transcript:Et_3A_026270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNAYMEVLPPMDHYASRGNWFLGAPRSWTPEENKQFERELAALDMRCPDWEKVARAIPGRTVHEVVNHYKSLEVDVLQIEAGVMPLPPYSGGGGANSFTFQWDDNGGHGAGDFRHGYRFAGGCGKRHPGRTPEQERKKGVPWTEEEHRLFLLGLKKYGKGDWRNISRNFVHTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTNNLNDDQPPSPSQSSLITNQSNTSAPTAAVGQFSLTADTKPHSAVKLPFNSPSRTPGMPAYGMGLHDQGLQCGPLHDQLVANQTFAILSLLPLFGPVLSLVEKGNHRYEADPCDNSIQNPNASSFCGCL >Et_8B_059688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20689605:20695244:-1 gene:Et_8B_059688 transcript:Et_8B_059688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFTGWCSIALRKMRKAKRGGGDLAGNLRKKRKDCNTQWVACVMGTLVKMLVLSYLIGVKVSSQFLYAMIKVRREGKEVYKGVLAEYDLIHNFAVVEARGLLDVNVGIFHRKLKSVPHGEAYVVGRDVSGDLTAKCVELCGDLRVYMDGKDLDSKTSEAWEGATIFSFDGEVVGMNLFLVMERAVFLPWGTILKNLECMWASWEKKTGRARRKNLVYGFGAPVGGKSNSHPEVLNQEHLDIDSMGYPKLTSTMLDAGMILVNTFEEPFGDIRGKGVWRKLGEKAYSRINRSVVALASFSGEKRNFACTGLFIGWNGSTKILTSASLIRNSGDERSIVENLRIEVLLPSNKRINGTLEHYDLHYNVALVSVKNRYDLRPANTLLSQFRGFDVAAVGRCFKSGALMATSGELISSTGTLDCDFLIYSSCKITKVGIGGPLVTLDGDVLGMNFYDKKIGTPYLSWPDIAKILPPFEKSEMGEIRNDALGASLWKMDGDRMNMLKSWPVPMPCWRHPDYVDEDKVDDDDGFESKYCYMRGEKIWLC >Et_8B_060673.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:4563812:4564801:-1 gene:Et_8B_060673 transcript:Et_8B_060673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPAAPPPASADDEIVYESMPCIRIYKNRVERYFGSEFVAASADDAATGVASRDVTISPNVSARLYLPRSAGGDGDAPAKKLPVLVYYHGGGFCLGSAFNPTFHAYFNSFAALANVLVVSVEYRLAPEHPVPAAYADSWEALAWVVSHAAGAGEDPWIAGHADFSRLYLGGESAGSNIAHHIAMRAGAEGLGAEIRGLVMIHPYFLGTNKVPSDDLDPAARESLGSLWRVMCPATTGEDDPLINPLVDGAPALEALACGRVLVCIGEGDVLRDRGRAYYDRLRASGWRGEAEIWQAPGKGHTFHLLEPSCDEAVAQDKVISDFLNR >Et_1A_004978.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2126021:2126344:1 gene:Et_1A_004978 transcript:Et_1A_004978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTVISEVLERNIGSNYESIAVCWLSRKKFVVVNICSAAALWALWKLRNKICFQNIEWKGMKFLLQKIIGLLRKWKLLCPVGYLEELERRITLLVEVAQRPERICAR >Et_7A_053118.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:7034981:7037356:-1 gene:Et_7A_053118 transcript:Et_7A_053118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPNLRRSTLPRLRLRIAAAVLLLLSPAAVTPAASHHDTGEHKNFLVIVRSPYEYDKELHKNVSSWHASLLSSVCDMAKETLEADPTAMTRLIYSYRNVVNGFAARMTPEEVEQMSKMDWFERALPEQTYHLLTTRTPQMLGLTGGRRGGGVWKTSNMGEGVIIGVLDDGIYAGHPSFDGAGMKPPPAKWKGRCDFNKTVCNNKLIGARSYFESAKWKWKGLRDPVLPIAEGQHGTHTSSTAAGAFVPDAGVFGNGLGTAAGMAPRAHLAFYQVCYEEKGCDRDDILAAVDDAIEDGVDILSLSLGHEDAIDFSDDPVALGGYTAIMNGVLICGAAGNTGPSPGTLVNEAPWLLTVGAGSTDRRFLATVKLGSGVEIEGESLNDPKTDMGTEIRPLVRDVDGTCANENVLIAQNVTGKIVVCEAGGIVITEKAKLLKRVGAAGMVAVTPEVFGSTIMPRPQVVPTVQVSYAGGQKLQAYLKSTSGATATFVFKGTTFNTPQSPTVAPFSSRGPNRRSRGILKPDLIGPGVNIIAGVPTIMDMEFPPNTATPKFDIKSGTSMSTPHLAGVAALIRSAHPTWSPAAIKSALMTTAESTDNLRKPITDFDGKPAAYFALGAGHVNPKRAMDPGLVYNMTASDYVPYLCGLNYTDQKVSTIVYPDPPVQCAKMAAMEQDDLNYPSITAILDQPPFAKTANRSVTNVGAASSTYVVQVDVPATVKVEVNPPKLTFKAVDEVLSYAVVITSASGQVPTGPVEGQLKWVSGKYIVRSPILILPGAAKVEPAPAKPQP >Et_9A_063206.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:15529529:15530320:1 gene:Et_9A_063206 transcript:Et_9A_063206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRCLAMVVFDPTAAQQSSAKRPRQQLADAAGAVVPYNAEAQPISAVPWTQPQPHIIGKEPPCLRNHLLLALRLRADLPVHFIDAKAVTSTDLDAHQNRFRIPTDGVLRRLRPLLTLDELASANLLYDPEPRKKSKKNLLPDPGDSVTATANACEQNMKKKRKGRVHGGLPVRLVDLAGGASGVLRLSRWESSHGTIVKGEGYLDFIRRCSFKENDVVEIWAFKQRSFRHFGVTMCDDSILHVFIVKRHDQPETCCCCPFPK >Et_9B_065738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:130934:135264:1 gene:Et_9B_065738 transcript:Et_9B_065738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPCDGEGVCMVCGAAAPPEVDLLRCSTCATPWHSPCLSNPPALSDASTWSCPDCSGDAAPAPAAPGAGGELVAAIRAIEADQTLSDQEKARRRQALLAPPSSADEDAAADDAGDDVLEIVGKNFSCVFCMKLPDRPVTTPCGHNFCLKCFQKWIQNGKRTCGKCRGPIPPKMAAQPRINSALVEVIRMAKVSKNASSSASAATHHYIRNDDRPDKAFTTERAKKTGKANASSGQIFVTIPPDHFGPILAENDPKRGLGVRVGENWEDRLECRQWGAHLPHVAGIAGQSTYGAQSVALSGGYLDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSFDQKFEKLNAALRISCLKGYPVRVVRSHKEKRSSYAPVSGVRYDGVYRIEKCWRKVGIQGTYKVCRYLFVRCDNEPAPWTSRLEKGFVMLTLYDVALSDTRGDCPRDLPKINELKAATDITERKGRPAWDYDVKEGIWKWMVPPPLSRKPIPSGDPETDKQVRKMAKRAHMSVAERLLKEFGCSICRKVINEPLTTPCAHNFCKGCLLGAYESQSSMRDRSRGGRTLRAQKIVKKCPSCPTDICDFLENPQINREMMELIESLQRKAIEEADEKVASDGEECDDDDAEECGDDAEECGGGDSEENDDAVGKEEDEQDNAGDKKTECPVNADGSVKIVVDIKEEREPPKKHKGGDADDKNTKMSGPEVVAVLVKENAAKQTKKGSNGVENKESQQESHKRKGDTEVATNAAKRTKSSAAVEELAVCGTPVKHTRKGGDADGSPAVSSGRRVTRSSANPSEANDSPARRTRSRAQADGL >Et_1A_004711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3303742:3304151:-1 gene:Et_1A_004711 transcript:Et_1A_004711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRVVEVAGAAAFPPQRLVVGVAREAGDCVVGDVGRRVAGPRDGGHLDGRAGVASAAPVVAARRPRPQPRLVLRQVLHAHGH >Et_5A_040364.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:17217763:17217852:-1 gene:Et_5A_040364 transcript:Et_5A_040364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGSSSESSGVHSSIHYSWFAMFGAYCF >Et_9A_062632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2602973:2609951:1 gene:Et_9A_062632 transcript:Et_9A_062632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPPLTFAVRRRDPVLVGPAAPTPRETKRLSDLDGQDLVRVHLPFVFFYRAGKGRAARGADDPAGVIRRALGEALVPYYPLAGRLREEEAGGGVHGLTPPFPCFDQLLFDVDGSSGVLHCPLLLVQVTRLLCGGFVFALRLNHTICDAFGLAQFMSAVAELARGLPSPTVAPAWFRELLEARTPPTKPKLPHREFDAVPMPPPPPPPPGDMVMRTFTFVPADVAAIKKNGLPPNLRDTATSFEAVTAALWRARTAALELPPDAEVHLEIIVNFRRLPELALPAGYYGNAWLPPAATTTAGALLAGGSTTLGDAVRLVQAAKAAVTAEYVRSRLGGQPHVARANTFVVTDHRHAGFHRVDLGWGRPVYGGIANGAVFGVSSLVSVKNGDDEDAMAVFIVLPRPAMDRFASEVETLRTVSRSSPAMTTLTFAVRRREPVLVGPATATPRETKRLFGVDNQEVLRMHVPFVFFYRGGRGVRADDDPVAVIRRALAEALVPYYPLAGRLREVREERKLVVDCTGEGVMFVEADADVRLADLEAADHGLRPPFPCMDQLLFDVEGSGGVVDCPLLHFQVSKGEQIIDQLATFALKREYYIQ >Et_1B_012520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32769350:32773692:-1 gene:Et_1B_012520 transcript:Et_1B_012520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPRISLLAAVATIAVLAAGGGVEAADPPLSPKGLNYEVAALMAVKSRVRDEKGLMAKWDINSVDPCTWSMVTCSPDGFVVSLQMANNELSGTLSPSIGNLSHLQTMSLQNNRISGQIPPEIGKLTNLNALDLSGNQFVGDIPSSLGQLTRLNYLRLDRNNLSGQIPVDVARLPGLTFLDLSFNNFSGPVPKIYAHDYSLAGNKFLCNSSSIHGCTVLTEVTNGTVIRQLQKGKNHHQLALAVSLSITCATILVLLFVYWLSYCRWRLPFASADQDLEFELGHLKHFSFHELQDATDNFNPKNILGQGGFGIVYKGCLRNGTLLAVKRLKDPDVTGEVQFQTEVELIGLAVHRNLLRLYGFCMTSKERLLVYPYMPNGSVADRLREYHHGKPSLDWSKRMMIALGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAIVGDFGLAKLLDRQESHVTTAVRGTIGHIAPEYLSTGQSSEKTDVYGFGILLLELITGPKTLSHGHGQSQKGMILDWVRELKEEKKLDKLADRDLKDCFDVAELECSVDVIIQCTQTNPILRPKMSEVLHALESNVTLAESSIELNREPLPYGGTYSFSIRHEDPHDSSSFIIEPIELSGPR >Et_3A_024598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22476247:22480547:-1 gene:Et_3A_024598 transcript:Et_3A_024598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRPARSRGRRGRIFVRVQGTRFVLNGNPFFANGFNAYWLMSFAADPAQRSKVTSALSQAAGAGLSVARTWAFNDGGSNALQYSPGRYNENMFQGLDFVLSEARKHGIKVILSLVNNYDSLGGRKQYVEWAREQGQNIGSVDEFFTNPVVKGFYKNHVKTVLTRVNTLTGVAYKDDPTIMAWELMNEPRCQSDLSGATIQSWIAEMAAHVKSDGRHLLEAGLEGFYGSSSPSSRAAVNPSGYQVGTDFIANNRVAGIDFATVHSYPDQWLPGLDDASQMWFLARWLDAHIADAQAAARRRSSRDAGYSASQRDALFQTVYAKVYDSARKGGSAAGALFWQLLAEGMDSYGDGYEVVLGRAPSTTGLIATQSRRLKGLARAFARARKVQPVKGKGGS >Et_5A_041797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2967221:2967727:-1 gene:Et_5A_041797 transcript:Et_5A_041797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIETHAAVEEHTPYSGPDTPTHPPSATPHAPAFIIGLSSSHSRTPSVVTYTLLAHQASALFVVGWVASLQASETKGREEKMSDSTATCIDIILAIILPPLGVFFKFACGVEFWICLLLTFFGYLPGIIYAVWVITKQ >Et_5A_041778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2780227:2781685:-1 gene:Et_5A_041778 transcript:Et_5A_041778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDDKSQAAEKIKAAALSAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKAAKLGTVKPKASEASSGGAYTQCQKCFQHGHWTYECKNERVYMSRPSRTQQLKNPKLKKNASVSYQFVNPDLEKEREAERKLAKEKLKKEKSERKKGKSKRKHRSPSDSDSDKSDASVFDSDTESSVTGSEYSSESSSSYSSSDSEDKKRRHKRKQKKRRQRRDSSSSASSESESASDSDSDDKRSRRKSKRRNLIRYKIRFKTTAEIGRLARRGQEPWSCLALTGS >Et_1A_005567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11568956:11572242:-1 gene:Et_1A_005567 transcript:Et_1A_005567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEHLRWRPTVNERGFIEQALQSDLRVDGRRSFDFRRLEISFGREDGSSEVQLGETRVMGYVTAQLVQPYRDRPNEGTLAIFTEFSPMADPAFEPGRPGESAIELGRVIDRGLRESRAVDMESLCVVAGKYVWSVRVDLHILDNGGNLIDAANIAALAALSTFRRPECTVGGEDGQQVTVHEPEVRDPLPLTIHHLPIAVTFAYFGEGNIVVVDPTYKEEAVMGGRMTATVNSNGDVCAIQKAGGEGVMSSVIMQCLRIASVKAADVTSKIKTAVEKYATEKALKKVKRLPVSVAKKINVLDVTMEDKCDNESGGPSVKTLGDIQHKSKDPSIAIEANDAEDAKPMLTEPNAEVKTTSSSGPAEESDGAQEVRSPKSLKDAVKPKHRRKKKKGDRS >Et_9B_065861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15619535:15623386:-1 gene:Et_9B_065861 transcript:Et_9B_065861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHIRNVGHEIAESDLLQLLQPFGVVSKIVMLRAKNQALLQMEDMHASVSALQYYSTVQPSVRGRNVYMQFSSHQELTTDQSSHGRNSDQVFKLLSSISRDKKLWKHLAPCILSELQVHYNNDRSRDFTNPSLPTEQRPRTSQMGRAAMIGAAFGGNLPNGVTGTNERCTLIKIDEDKLFNLFSLYGNIVRIKILRNKPDHALIEMADGLQAELAVTYLKGAILFGKKLEVNYSKYPNITPAPDAHDYLNSSLNRFNSNVVKNYRHCCAPTKMIHISALPQEISEDAILNHVSEHGSVVNTKLFEVNGKRQALVLFETEEEATEALVSKHASSLEGNTIRISFSQMQSI >Et_9B_064805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18794269:18799030:1 gene:Et_9B_064805 transcript:Et_9B_064805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRNLFVSALSVGVGVGLGLASARWAAPTQGDGGGGGPGAGVAELEAELRRLVVDGSESDVNFDEFNYYLSELTKEMLISAAFVHLKSAELSKHIRNLAAASRTILLSGPTERYMQFLAKAMSHYFKARLMILDVTDFSLRIQSKYGGSSKAVVQNQSKGDTTFGRVSNLIGSFMMLPKKDEPRESLHQQTSNAETRARGSDGSSSTPSLRKNASVSSDMSDLASQCSGHSVRRTVSWCFDEKVLIQSLYKVLVSVSENHPIILYIRDVDHFLRRSQRTYSMFQKMLSKLSGPVVILGSRILNSDGDNAEADERIYTLFPYHVEIKPPEEETHLDYWKIQILEDTKIVQNRDNRNHIIEVLSANDLDCDDLSSICEADTMVLSNYIEEIIVSAVSYHLIHTKDPEYKNGKLMLSSKSLSHGLNVFQGGHGGKDTLKLEANDESKEIPDNEFEKRIRPEVIPASEIGVTFDDIGALDDIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANDAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVAPTIIFVDEVDSMLGQRARCGEHEAMRKIKNEFMSHWDGLLSKSGERILVLAATNRPFDLDEAIIRRFERRIMVGLPTLESRELILRTLLSKEKVDESIDFKELATMTEGYSGSDLKNLCITAAYRPVRELLKKEREKELERRNKEAKEKKATGENSETPESKKENPENKEQDSEGKVDSSEAKAEGAKEVTIKLRPLTMEDLRQAKNQVAASFAAEGAVMNELKQWNDLYGEGGSRKKQQLTYFL >Et_5B_043422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10211343:10213225:1 gene:Et_5B_043422 transcript:Et_5B_043422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWISLRVQIVFIAPILKTMNARLSDVVSPSEEGCQKLRSIFEAMLLLNAIFMTNLGWKPFCSSGGNHLFQ >Et_7B_053502.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:305579:305695:1 gene:Et_7B_053502 transcript:Et_7B_053502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSATLWGLWKIRNEMCFHNVMWKSLAYVWRKVASLL >Et_3A_026651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1156300:1156905:-1 gene:Et_3A_026651 transcript:Et_3A_026651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLIQHGARRIVVPGNVPMGCLPFILTLYASPNASDYDRYGCLRSFNSLARYHNSVLWSQVQELRIRHPGVTIIFADYYGPVLAFLQAPALYGFDGRSTLVDCCGGGGKYNYNATAVCGLPGATACADPSKVVNWDGVHLTESSFMKIADGWLSGPFAQPPILAVAY >Et_1A_005732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13138387:13141839:-1 gene:Et_1A_005732 transcript:Et_1A_005732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAAGARGAAAGGGGGGGPAPFLLKTYEMVDDPSTDAVVSWSDASDASFVVWNSPEFAARLLPAYFKHSNFSSFIRQLNTYGFRKIDPERWEFANEFFVKGQKHLLKNIHRRKPIHSHSHQPGALPDNERALFEDEIDRLSREKATLQADLWKFNQQQSGATIQIEDLERRVLDMEQRQVKMLNFLQQASKNPQFVNKLVKMAETSQIFADAYHKRRRLPALDYGTESTETTSFYDDHSSTSKHEMGNLLNQHFSDKLKLGLCPAMAESNLITLSTQSSHEDNGRPHGKLPDCNRVGMECLPLAPQMMELSDTGTSICPSKSACFTTAVIDEGLLPCQLSLTLASCSMDADRSQTSNANGRSIDQDQGIDNPLDAATTATMEKDDGISRCHDDSHQRAPIDTGTSAAPPAVVNDKFWEQFLTERPGCSETEEASSTLRREPSREQMEDNRQPYQDTMNDRTDVQQLKL >Et_8B_059426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17840146:17850797:1 gene:Et_8B_059426 transcript:Et_8B_059426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSSHRRKKPESPAASEARDWAAGLPRDILVDVFLKLGPCRKIMRGAGRVCTAWLRVAVEEPLLWRRIDFTTKKMPPTPRRRAMARAVVDRSAGQAPLLKSLHISTHCKVDSETLSMALKKLTLLEDLQISASFRDGNLFKSVCQASPHLEKLRVTLPEDTDFDSDSDSSEFNDEGLSEISAMCKLRVLELFEFELTTKGLTAILDNCPLLETLHVTGSFWEIDEMSEELQVKCAKVKNLILPDDEDESMASSSSGHRKKPESPAASEARDWAAGLPRDILVDVFLRLGPCCEIMRGAELACTAWRRVAVDEPVLWRRIDIDKERSPTPSRRAMAHAALDRSAGLCEAFSGPVDKESLIYLVGRAPLLKSLHISTNKVDNETLHMALKKLTLIEDLEISTSYSLGNLIESVCQACPFLEKLKVKLPDRCYISNDFEYHNGGLCEISAMCKLRVLELFEF >Et_8B_060490.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1862743:1864563:-1 gene:Et_8B_060490 transcript:Et_8B_060490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSPSSPRPVADAGQERVTAAAKHIVKSLAVSKNAADDMMRFLSTYDPRLIPLSSPEAGEEAFGYGDDEDEDEELIASAEDVIRRCNSSSSSFSGSMTDYLYAVDDAIAAAAHSARAAAAVHAAMPRLEEEARSLLCSSSFRRLSLSSDDLAAAGDASPPPRHDDALSPDAAASVRGVADRMLRAGYGPELAQVYVAVRRDALAEAVAGLLGVEAAVTIEEVLRMEWAALDQRMRRWSHAVRAVVRTFLAGERRLCDEVFESDEELGRECFADVARGCVLQLLGFADAVAMSARATEKLYRTLGMYEALADVRPELEALFADDGAARDFFAGEVASAVAQLGRTVRLTIEEFGHAISGESSRKPVHGGEVHPMTRYVLNYCGLLADFRGTLDAVLGVAAAGGLDDDDATAAAGAAAPTTPSARCMRELLTLLLRKLDEKARLYDDAGLQNIFLMNNLYYVVQKVMDSPPLRELLGDDWLRRHRGQIRQYETGYLRASWIAVLSRLRDDGSSATPAAAHRALKDQAKSFGAAFEEMYRAQTAWKVVDPQLREELRIAVSERLIPAYRSFLGRASRLPARHVKYSLEDLEDYVLDFFEGVPKFVR >Et_3A_027203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4784349:4785332:-1 gene:Et_3A_027203 transcript:Et_3A_027203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVRKAVRKMSAGKAAKIAAAAAKLPSSPPSKMPPTEDAGRAGEETTEHLTRLWLEPDSPCLYCPICFMPFEDEVYMCTNGHAACALCCLRFDRKCASCTEPIGDIRCRPLKNAIGEMHTDCKFRKHGCVEAVRYTERRAHEESCPRAPYGCPIDGCAYLEALDLNLYEHLVVHHAGAVHTISYLQTTTVTVRKREPFRVLVHPSRGRVYLLLNGGDVLGGRSMSLVCLGPRPEGDGNAEIGYKMEVRGVEPGALTLAGTVPCVRSLKGFHAKKFLFVPDAEWGSSGTVSVSVRAG >Et_3B_029335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24064162:24067033:-1 gene:Et_3B_029335 transcript:Et_3B_029335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASRARFLAACCIAVLLLAPLLVAAHSRGLRLRQPGRAAAAAEPFPANATRAEMIERQFLEWVQFMGGLRHSTFQHALARAFPSYSLVVDKNPAFGDFTTIQDAIDSLPLINLIRVVIRVNAGTYTEKVTISPMRAFITLEGAGADKTIVQWGDTADTPSGSSGRPLGTFNSATFAVNAQYFLARNITFKNTSPVPKPGASGKQAVALRVSADNAAFVGCRMLGAQDTLYDHSGRHYYKECYIEGSVDFIFGNALSLYEDCHVHAIARDYGALTAQNRQSMLEDTGFSFLNCRVTGSGALYLGRAWGTFSRVVFAYTYMDNIIIPRGWYNWGDPNRELTVFYGQYKCYGPGANFAGRVAWSRELTDEEAKPFISLSFIDGTEWVRL >Et_1B_012773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3573054:3574759:-1 gene:Et_1B_012773 transcript:Et_1B_012773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLNPLAVTHLLQHTLRSLCTHDDSPWVYAVFWRILPRNYPPPKWDLQGGMYDRTRGNRRNWILAWEDGFCNFAASGCDHEGAAAAAAYMECAAEQELKGLQPELFFKMSHDIYNYGEGLIGKVAADHGHKWVFQEAQEEPEINLISSWSNPADSHPRTWKAQFKSGIKTIALIGVREGVVQLGSMNKVAEDLSYVVMLRRKFGYLESIPGVLLPHPSWPPALVPPAMELYDPYGQAAAAQMQVVPSMSSLEALLSKLPSVGPAAAKEEEEGNAGDGDEISTGGAVAHVVATTATASYYVDVAKSSEGF >Et_3A_025297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28605817:28609143:-1 gene:Et_3A_025297 transcript:Et_3A_025297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSAAARLVLALAGLLLAAAAATSALTDDVLALVVFKTGVSDPSGRLAAWTEDDDRPCAWPGVGCDARTGRVTSLSLPAASLSGRLPRALLRLDALASLSLPRNNISGPVLPNLLAALPRLRSLDLSSNRLAAPVPDELFAQCRSVRAISLAHNKLTGYIPPAVASCASLVSLNLSSNRLAGPIPDGLWSLPSLRSLDLSGNELSGSVPGGFPRGSSLREVDVSRNLLAGEIPADLGEAALLKSLDLGHNLFTGGLPDSLRRLTGLQFLTAGGNALTGELPAWIGEMWALERLDLSGNRFTGAIPYTVANCKNLVEVDLSRNALTGELPWWVFGLPLQRVSVAGNKLSGWVKVPDDAAMALRVLDLSSNAFSGEIPPRITAFAGLQSLNLSSNSISGHLPAGIGGMRLLEVLDVSSNRLDGAVPAEIGGAVALRDLRIGRNSLTGRIPAQIGNCSSLIALDLSHNSLTGSVPRTMGNLASLQVVNLSQNKLNGTLPVELSNLPSLHIFDVSHNMLSGDLPNSRFFKNIPESFIADNSGLCSSRKNDSCSAVMPKPIVLNPNSSSNPSSQATPSAPNNMHHKKIILSISTLIAIAGGAAIAIGVVTISVLNRRARARASAPRSAPVVALSDDYLSQSPENDATSGKLVMFGKGGPEFSAGGHALLNKDCELGRGGFGAVYKTVLRDGQPVAIKKLTVSSLVKSKDDFERQVKMLSKVRHHNVVSLRGFYWTSSLQLLIYDYLPGGNLHKHLHECTEDNSLSWMERFDIILGVARGLTYLHQHGIVHYNLKSSNVLLDSNGEPRVGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEVLTGRRPVEYLEDDVVVLCDLVRSALEEGRPEDCMDPRLCGEFPMDEALPIIKLGLVCTSQVPSNRPDMGEVVNILELVRNPQDSAEDELV >Et_1B_013125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5763616:5764043:1 gene:Et_1B_013125 transcript:Et_1B_013125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSFFDIEPLHTGETSRHSLDACSLCGKRLAGDCDIFMYRGDTPFCSEECRWHQILRDEQPRAKNRKHLKDHLTSEEQQRHRHETPAADVPVAT >Et_3B_031729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8997877:9004324:-1 gene:Et_3B_031729 transcript:Et_3B_031729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSFVRWFAEEANMPACKEELCLGLEWARRPAILETVTARLVQQFRQVWTERRHLLVGSGGRRSSALRQGRTLRMSPRAALGIDVEEAAVRRVGDGEEGVNAAHRGRSRGEHPARRGRMEGAATTEEAAARRAGARGRRRPWRAGMGLSAGVEEEGSPPRSVSGTGWRGPPRRVEDEESAASTGWAKPSRSGIGCFPKRGDARCANARIYAP >Et_5A_042326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8755823:8782945:-1 gene:Et_5A_042326 transcript:Et_5A_042326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASSDEPARHKKAKKHKSDKEEKKRHKKKSQERPVTEDAPRSGAAERKKRKHEEGREEKRDGKKKSKKEVKAAEVEAVDGRDAKMKRAMEDERFAAARTDPRFRPMRKKEAKVELDSRFSSMMTDPRFASSAAPVDKRGRRRKKAAKDNPMLHYYLNQEEEEEDGKEKEKEEKEKVVEDEEEEEVEDDEEQEEEESSSSDDDEEDEYVDDDADSIGSDIAHYLMARHDDTPMIDKETHRLAVVNMDWDHIKVYYYAVVVCDSSATANHLYMTLDGTEFLKTANVFDLQFIPDSMEFKHPARDVATEAPPNYKEPDFETRALQHSRVKLSWDDDEPERKKVLRRKFTDDQLDELDMYLASDDSASDDNDMDNSGDESLPNGASKRKLTKEERLAILLQGDKSDEEQNDDQDMEITFNTELEDLSKRILERKNDEEKTVWEKHQEKRKEKRKARKRGLKESNDYSSEDEQDDDDDFFAEELSDEETKPSKRKNQKVKTKDKAKGKEKSTEEHLEQEATKEELELLVAADQDTTNGAKGYNLKRKKGKKGKKGKEESVEDKLPDIDLSKDERFSEMFTSNLFAVDPTDPQYKRSAVFMRKQAGKEGAHQIWEVHCHLVMQLLRTMIKNLMGASAEKLQILSAVKSLQMNLSSFKHAVMANALVESLELGPGPTTETLSAELLQVLTAGNAARLEELLRPGGQASGHVAINVQATAPIDAAAPPGPGAEFCLLGVTSNGNTALHLVASRGHVQLAALICERAPSLVATRNRGLDTPLHCAARGGHREVAARPLTTMRAGGADEAEALRAERTRLRRCGRGTNREPPPCTKPSGTTTPELASVTTDDGVSPLYLAATIESEEMVRSLLRPLPDGRPSPASSAGRNGRTALHVAATVSEAPLVSNDKPGTLAEMVQDIVAWEPEGPALLTKVDSSGRSPLHFAIDCCKHDIFERLLYANSHAARICDNNGLFPLHLAVMVRSTRMINDLVNRCPDYCEMVDDKGRNLLHFAVISESNTIVQYICQNDRFTALLGSHVQIVSTLLQATNVEININNKDGLTAGDLAYIAVSTGRSRYFLDPAMMVFHGLLWARATSSLDGIDMDQKNDDESAKEEQMGLEEGGMRGTISSGLIATVTFAAALTVPGGFIADDHPHSGTAILARRFAFRAFVVSDTMAFLSSIIATCFLMYGSAKEVPLNHRRWYNLPATGLVPLAVQFTIGAFAFGVHLVLGSANHGLMFFVYLVSSAAVLLCFPGIWAPFFLGYGKAIWRRAGWKGLLNLDETKPFHRQLLAIFCYSPLPVEFGPKQEMLSLVLLQVLTAGDAARLEELLSSEGRTNGSHVAINVQAAAPPPGQGAHSCLLGVTSNGSTALHVVASRGHAELAALICEKAPSLVATRNKSLDTPLHCAARGGHREVAARLLSTMRAGREDETTALRATNRLGDTALHEAVRHARKEVVDLFMAEAPELASVTSDDGVSPLYLAANMESVQMVRLLLRPSPDGTPSPASSAGRKGRTALHAAATIGNVWAENVDVVDLFLNVDSNLAGISNNQGLYPMHYAGFGDNTRMLDGVIKKCPEYYELVDDGGRNLLHYAVRKHNDTVVRYICQNERFAMLLNRTDFKGNTPLHYAVMYGYPRIVSILLQSRGIEVDIHNKDGLTAKNLAYHAFPSERLWYFLEPAVMVYSSLCWAGARPSLDVMDLEKKDESDEEESTENDYTKGTIASDLIASVAFAAAFTVPRGFIADDHPHPGTTILSRRFAFRTFVVSDTMAFLCSIVATGFLIYGGILEIPSSLHSGYTLISAWLVPTAAQFMIATFAFWLHLVLGVANRGLIIFVYAVSSASVLFVSSNTWIPFHVYGFSKAICGHAELAALICEKAPSLVATRNRSLDTPLHCAARGGHREVASCLLSTMRSRGVAEAAALRTTNRLGDTALHEAVRHSLTIDGVSPLYLAATMESVQMVRLLLRPSPDGTPSPASSAGREGRTALHAAAVFSKEMVQDMLAWEPEGPALLTKVDSEGESALHLAVRGQMHDVVELFLNVDSQLAGISDNNGSYPVHYAGFWGNTRMVDALIEKCPEYYELVDDDGWNLLHYAVRKPNDTVVRYICQNERFAMLLNTTDFKGNTPLHYAVMYGYARIVSILLQSTGVEVNNHNKDGLTARNLASRAYPSGPMLYFLLAYFTLDIHFFLSIHIPSYKEDESDEKESAKKDYMKGTIASALVASVTFAAAFTVPRGFIADDHTHPGTAALSRRFAFRAFMVSDTMAFLCSIFMIAAFAFGLHLVLGVANLGLIIFVYVVSSASVLLVSSNTWIPLRVYGYAKAIWRPTGWKGLLDMRQRPSGPLDLLWRLTYNPLVMFSGGSLALPICVTFFIAIAKLLS >Et_5B_044539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3268273:3271401:-1 gene:Et_5B_044539 transcript:Et_5B_044539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQTAFAAEDALTAAVVAAPGRRFTSFPPPSWACGCRKAAAQLMEHGAAAPWLDAVVAAAEHDDWLEKHPSALAEFEALLAAANGKQIVMFLDYDGTLSPIVKDPENAVMTEEMREAVRGVAEHFPTAIVSGRCRDKVFNFVKLAELYYAGSHGMDIKGPTAQCKHTKANAEAVLWQPASDFLPVIEEVYRALTAKVESIPGATVEDNKFCLSVHFRCVQEEKWGALDDQVRSVLKDYPGLRLTKGRKVLEIRPSIKWDKGNALEFLLESLGFADNSDVFPIYIGDDRTDEDAFKVLHNMGQGIGILVTKIPKETSASYSLREPSEVKEFLHKLVKSKSNQRD >Et_4B_039636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24580982:24582305:1 gene:Et_4B_039636 transcript:Et_4B_039636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPGLGVRRRSLLMLCATPFVPVVTSANYIALGPESSLSTCTSVASIHAVALTMDVLQFWLHCTSVRPVT >Et_5B_044703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4902088:4902883:1 gene:Et_5B_044703 transcript:Et_5B_044703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAATGVSLAVADAVWAEIKSSGHATDEHLSILETLFGKNMLRACKIVDERGVRRVTGAPSGRSVFLVMGESRRKEEYLCFPEHLCTCYSFFYDIVGRGEQLCCKHQLAARLAEAVGEHQEMEVTDEELAHMLAKL >Et_9A_062020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18892015:18893125:-1 gene:Et_9A_062020 transcript:Et_9A_062020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALDSYSSVKDVAYSCGYCGYALNLSSSARNTANIGSMYGKQIRKGVVSFFAIDESRFTQTDEVSCMPYFHSSSSWGLFRKRTRLLCRKCGGRIGNAYEDEDSTLYDGSVSEDPGLSTKVSSKSTQKKYVIKINALQPSSDESGGLLSP >Et_1A_009214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33865896:33867241:1 gene:Et_1A_009214 transcript:Et_1A_009214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAASDRLAELKAFDDTKAGVKGLVDAGVTAVPRIFHHPPDPHRHAAAADGISIPVIDLQQLAATRAELVARVKAAAEAAGFFQVVNHGVPEASMSAMLAAVRRFNEAPAEARRPYYTRDAARRVRYSSNYDLFQAPAASWRDTLFLEMAPDAPSPEEIPPPCRDIVFEYTSQVRSLCSVLLGLLSEALGLRREYLERDAGCRDGLGVAAHYYPPCPEPHLTLGAAKHSDPTFLTVLLQDGVGGLQALLGNGGERRWVDVPPGALVVNVGDFLEVMSNGRLKSVEHRVVATPAARVSVACFFRLYGPAASTRVYGPIVEGAETPRYRSFTAAEFLRSRLDGRPPLDRFRL >Et_4A_032976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16185891:16191215:-1 gene:Et_4A_032976 transcript:Et_4A_032976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAASPAAMGSGRELANPPSDGISNLRFSNHSNNLLVSSWDKTVRLYDADANLLKGEFVHPGAVLDCCFHDDSSGFSAGADHTVRRLVFSSAKEDVLGRHDGPVRCVEYSYAAGQVITGSWDKTVKCWDPRGVSGPERTLVGTYTQPERVYSMSLVGNRLVVATAGRHVNIYDLRNMSQPEQKRDSSLKYQTRCVRCYPNGTGYALSSVEGRVSMEFFDLSESAQSKKYGTFATGGCDGFVNVWDGTNKKRLYQYSKYSSSIAALSFSKDGHLLAVASSYTYEEGEKSHEPDAIFIRAVNEVEVKPKPKALTAPQ >Et_5A_041178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1943059:1946985:-1 gene:Et_5A_041178 transcript:Et_5A_041178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGDKVVLFGSFTEDEAKLFQGQPLTSPTKSVNKPVKLPEIQFGSLDLSVLSLPKASEPIIKGPVLPAKSTNGEARVVTKDTACSNKQETVRSSLPNGGPLLANGFHSANVSPNNGKVVENVKKAEALVTSGAPAKIIINSAPHAASEVGKDDIKSTQSSNLESKITENGSSVVDMPSAAAPEVKAVTSLNKNVSPNTTLLPHGLRNTGNICFLNATLQALLSQEDAQEFLSFAMDRMHDELLKLNGDSSNSKEGMIVSSVDDDAWETVGRKNKSAIVRTQSFAPSELSAIFGGQLQSVAGLVTARKSFKIHTLSKIMILHLKRFSYGNHGSTKLYKPLRFPLQLDLNRDLLTSPSSEGRTYELVSTITHHGRDPYRGHYTAHAKHASGQWLRFDDDTVTPVSVNTVLHDQAYVLFYKQV >Et_9A_061162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19564727:19566217:-1 gene:Et_9A_061162 transcript:Et_9A_061162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNAVLGDLVGRSISFLLSKCEKQTTVDEDLQMLHHLLLRSGTIVEEAERRHLANRAMLRQLQALRDETLRGHYVADTVSSQALRRGGDSGHDDDDDDEMREEASLRTFALSRFNSAKTSPRELQQMVRSLETMIGDMKEFVVFLMSYPLLHRQPYSAHLFLNKCMFGRHRERETIMDFLLQPEPAGTLGVLPIIGPAHIGKSTLLEHVCHDERVRTHFSSILVYSGNDLKDSSRASLTDNYDVDEETWTRLYYSSDRSMAEGSKMIVTSRSEMVVFGSDDPRQHPKMASLALEMAQVAQGSFMFAYVVGAVLLRAHLNSQTWSRILEGTREYLQKNVSIIGKYPDDVKAKGHTRFTWNLIKQRPDKYFMLDDIYQRDPAQEELPKITMADLLSGRAEPRGKTEILFWRSQIPPYFTYMCKSVTREMRHM >Et_8A_056119.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:22354903:22356942:-1 gene:Et_8A_056119 transcript:Et_8A_056119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLARAPATAAVAGVPHRLPTPEQPPPRHPNTAHLNALLTSYGRRGRILDAQQLFDRMPRRDVITWTALLTAYADAGDLTSARLIFEDMPRRNTGSWNALLSLYLRAGRPAAAHALFAKMPGKNAVSYGAIISGLAKAGMLREARAVYEEMPQRLRDPVGSNSMMAGYLRAGELGMALKVFDGMAVKDVISWSAMVDGLCKYGTMSEAKRLFEAMPERNVVSWTSMIRGFVKRGMCRDGLLLFLDMRRQGVQVNTTALSVVLDACAEACLVGEGIQIHSLIIATGFEIDVFLGDSIVIMYSRFGWMVDARRVFDYMKQKDIVSWNSLITGYVQNNMVEEGHVLFKLMPEKDAVSWTSMVVGFANRGWMKESVELFEQMPGKDEVAWTAVISSFIANGDYVSAVRWFCRMSREEGKSNAAAFSCLLSALASLGMLSQGMQAHAYAVNMGWVLDSAVHTSLVTMYAKCGRLTEAYLVFSRIHNPSLVATNSMITAFAQHGLAKDALKLFNIMQDKGQKPNHVTFLGILTACARAGFVQQGYSYFESMRAVYGIEPNPDHYTCMVDLLGRAGFLAEALEMINSMPWKDCPDAWAALLSSSNLHSNLAFAKIAAQKLLEMDPHNAAVYTVLSNMLSAARMKDDEEMIKVVQLSNMASKSPAYSLIIQDKTSEDQTEHEHF >Et_7A_051281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16460225:16462718:-1 gene:Et_7A_051281 transcript:Et_7A_051281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRALALRSLLLPEPLHRLPTAAAASAAAPPVGRARRGGQRPHMRCCCSGGGGGGGGGGETGQPPQEAVLEAISKVARSKGRVALTTNMVMGGTVTDDESDEWLVLDQKVNSYPTDRGFTAIGTGGDDFVQSMVVAVESVLQESVPKGRVSQKLSSRGKYVSVKIGPIRVASSEQVQAVYRAMRRDNRMKYFL >Et_1A_006717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26781753:26786726:1 gene:Et_1A_006717 transcript:Et_1A_006717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSRSQHARAKPLPRSHTRSRTLVQQAAAVAPLDPGSDSEYQDFRFHFVPEVFELQMGGLGGAGGEAKAAEKVLAFEFDKVRISLASSDSEEEEEGDAPPRSSFSGASHPPEPVDEMDTVFVAVDGRDNSKPAAAAKPAASWDGSPPPSGTASPHSSIDSSGAAATVTSVAPSCTVTSLSAKTSVSSSSAASDGSGAAWSTGGTGSGGGGKPHKGGDPRWKAILAARARDGPLAMGSFRLLRRLGCGDIGTVYLSELSGAGGAARPCWFAMKVMDKASLESRRKLSRAQTEREILQLLDHPFLPTLYAHFETDRFACLVMEFCPGGDLHALRQRQPGKHFPEHAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVRSSLNSDPRNAQTCAQPACIQPTCFMPKLFGQRSKKNSSTTKKSKSADSKHQQQASAGLPELVVEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGVFLHELMYGKTPFKGQTNRATLFNVVGQQLKFPDCPATSNASRDLIRGLLAKEPQSRLGVKRGATEIKQHPFFEGVNWALIRCSTPPGVPRAVEPVAVAVPPKPAPPPVEKVAMNHGSKRMPGADVESGGKFLDFETAESKALKKQRENRAQPYILHLLITPTVLHDQVLCCADEPHDGGRTPVAHEDAQLSSLALEVGHQIHPLLARPQPDHLGELHAPLDPSPDVRVLAAAAAGVSPVTVLLPTPARALLAVLTPARALLTVLTPAGARLADRAAVAAAAAPVGAAGDGGGGGGMDLGDRVVDLLQLLLHGRLRVGELHLHPLLAPELRDAQHPPLLLDRLQRALHRHSRRAGLLPQRPARCLRGLAAPPLRSELRGQRLAQRLRLAPHPPLELQLRPQLPDPLHAELQLRRHGAVSLIKLHLLLFFATQRPYPDKKSARRSSSGGCDKASKAGRNASPQRHDWAPLAPTLLPSFRADRDPIFYYYGSGSRVGFGGKKAHAAATDDDPAAASSRGHRLCEL >Et_5B_045087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9436971:9438799:-1 gene:Et_5B_045087 transcript:Et_5B_045087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASKEEVIGKINVRVVRGNNLAICDPLTHTSDPYVVLQYGAQKLKTSVQKKNPNPVWNEVLQLSVTNPTKPVHLEVFDEDKFTADDSMGVAYINLTDIYDAAKLDLKHASNGTRIKTIYPVGVNYLGAESHVTWKDGKVVQDLTLKLTNVESGLIVLQLEWVYVPGVKL >Et_6A_045833.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:18172144:18173041:1 gene:Et_6A_045833 transcript:Et_6A_045833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFTASCSYILLLSINAPKTRSDSSPLQDVCPMAPQSERKLYMNGFLCKHPSTIEASDFKTLKLNHPGDLNNMVRSSVNMVTATEFPGLNTLGLSMARTDIAPGGAVLPHSHPRASEMMFVHGGSVVVGFFDTNNRQFQKTLGKGDVFIFPRGLVHYVMNYGFGLATTFSVLNSQNPGVVGITHAMFAPDSDVVEGLMSRMLKFGKIGVSKNSTADVPWTF >Et_5A_042620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22311763:22317765:-1 gene:Et_5A_042620 transcript:Et_5A_042620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTANNPYSTERVQDPSVPRPFKLRTYARPMSAILGALAPYVKKLITDMTEEEVHKMLGVSREIGKLERNTESLKYFLTDAERRRITDQSVQRWVMKLKGAMYDATNILDICQLEAEKRSDSKCGNMEENVVGCLQPLFFCLRNPVFSYKIGSRIKELNQQLDAIHKEAKNFKFDINIGSKPELRKPSDVEQSSQKMTSEFSQSTIVGDKIEKDTKELVHELITNDNLDIKVVSIVGMGGIGKTTLAQKIFKEPTIEGHFKKKIWLSITQHFNGIDLQRTAIKHGGEDHGWEQDKTLLMRILTDILSKGRFLLVMDDMWGAEAWDHVLSVPILNASHKQPGSRVLITTRFEDMAWKMRTFFHQHHVSLLDEDDAWSLLKKQISPNHVTGIDQLKGIGREILKKCNGLPLAIKVMGGLLSTRYPSEHEWQLVLNHPAWSVAGLPPELDNRLYLSYEDLSPQLKQCFLYCSLFPKGKPIIYTSITSMWISEGFIQPHNVSSITSSHDDRLEEAASEYYKDLIKRNLIEPTEEYRQTGYMCTMHDVVRSFAEFMTREESLVVVQDRQANVGGVTSPVLRLCIGQTISEAEWVILQKQKSLRTLIIMPNANMKLGDALGSFSFLRVLYIESVHSGSLVHFLSQLKHLRYLELQHLDISGLPDDIDKMKFLQHIVIAGCNKLSHLPSKILKLPHLRHLNISRSHVDVVPKGFGGLTSLRKLYGFPVHTEMDDNGGWCSLEEIGPLSQLRDLQLLCLENVSASSWAQKAMISSKRHLGILELFSSSTRYIGIMDEIEKQRQQQVVEEVYEELRPPSCIEHLLIDGYFGRRLPNWIMELASSDFKSLKFLYLNHLTCCTQLPHGLCRLPCLEEMDIIDAPCIKSVGPDFQASSSVAMGGGVTAPSAAFPNLTYLNLIDLCEWENWEWAEQGEDVSAEAIAMPALTEMTIDNCKLSCLPPGLANSKRHALRRVYMYNLRNLAYVDTCPSVVELEVSDCPELRRISGLSRLQRITIVRCQNMKVLEGVPELDSLELKDGTMETLPEYLRGINPRYLKLTCSMELCNSLLAGTSSESEKIRHIRACNIYFDK >Et_9B_064485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15637046:15639935:1 gene:Et_9B_064485 transcript:Et_9B_064485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVMPSSSQPQQPAAGSADLSRFRSGSGIGSMNMDDIMRNIYGDAPPPAAGGPAEPSHAAAAPTAAARRTSEEVWKEISAAAGGGGALTAPAPPPPPAATTGAGGASEMTLEDFLARDTGAAIVPVVEANMALGFPDGADVAPVGGGGGRARKRAPMDPMDRAVVQRQKRMIKNRESAARSRDRKQAYVAELESQVSQLEEEQAELLREQEEQNQKRLKELMEKAVPAIRSKPLQDLRRTNSMECRSCSLPNRLNLCDGFRGVQVPELN >Et_2B_022844.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6596966:6597367:-1 gene:Et_2B_022844 transcript:Et_2B_022844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFTSTSRFLLPFTTTATASLRAMSAAATGPNDPQQQQPTKAVRVVVKGRVQGVFFRDWTVETARSLGLAGWVRNRRDGTVEALLSGEPAKVDEMVSSRLPVGPPAAVVTAVEPAPAEPVDAADGFHRKPTA >Et_10A_001163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21713887:21716596:-1 gene:Et_10A_001163 transcript:Et_10A_001163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWNKPPTGVTVAVDASDDTDHARLRELGYKQELKRHLSVLSNFSISFSIISVLTGVTTLYNTGLTFGGPATMTLGWFVAGAFTMAVGLSMAEICSAFPTSGGLYYWSARLSGHRWAPFASWITGWFNIVGQWAGIASIDFSLAQLIQVIILLSTGGNNGGGYLASKYVVIAIHAGILLSQAIMNSISITWLAFIGQFAAAWNMLGVFALMFAIPVAATERASAKFVFSHFNTDNSAGIHSNLYIFVLGLLMSQYTLTGYDSSAHLTEETKKADWNGPIGIVSAIGISLVVGWGYILGITFAVKDVPYLLSPDNDAGGYAIAEVFYLAFKSRYGNGVGGIICLGIVAVAIYFCGMSSVTSNSRMTYAFSRDGAMPFSSVWHKVNKQEVPINAVWLSAFISLCMALPSLGSLVAFQAMASVSTTALCIAYALPTLFRITLARKFFMPGPFNLGRYGVLVGWIAVLWVATITVLFSLPVAYPVTKSTLNYTPVAVGGLFTLVLSSWIMSARHWFKGPVTNLGA >Et_3A_025360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29176361:29183671:-1 gene:Et_3A_025360 transcript:Et_3A_025360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKALPFIAFEHKRYVPASPARISASCWSEPFRFVFRRSSRCSSSARDAYGFAVRPQHLQRYREYANIYKEEEEERSERWQNFLDRQAEDGESSGEDVKVTPSNEIGGAADSNATDGKSKHSDEKTSTQPRPHMIQTWTEIRPSLGHIKEMMSLRVNRKPSSADEEYTEDVHRSDSPEEGKQSEDSDDEFYDVEKVDSSQEGSAADNANAESGPNRGADQEAYYPWKEELECLVRDGLPMAMRGELWQAFIGIGARRVKGYYKSLLAADSENDDSTCADSSTNDCSDGKPKASQPLSSEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWALTGIMDDYFDGYFSEEMIESQVDQLVLEELVRERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGYQAVGEARLQELRNKHRPSVISSMEQRAKGLRVWRDTNGLASKLYNFKRDPEPLVSLSKDQSEVLPNGDVNQETNSSDMDDMYRGLTVSPEIGSLPDPQEQVVWLKGELCQLLDERRSAVLRADELETALMEMVKQDNRRELSAKVEQLEQELSELRQALSDKQEQEQAMLQVLMRVEQEQKVTEDARIFAEQDAAAQKYAAHVLQEKYEQAVASLAQMENRAVMAETMLEATLQYQSSQQKAQIPSPSPSPRTPTRDATPGQANQDSSQEFQPRRISLLAPFSLGWRDKNKGKQNGTDESTNGKLNNNTEQSVGTPKKDDEKHGGSPNEVEQNIETPKRDSEPKLETPKMDGDLPSDEKTTTNLNGKGDQLEEIKLD >Et_1A_007908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39380775:39384019:-1 gene:Et_1A_007908 transcript:Et_1A_007908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTTGGSSTRSYEPMATADTTELHYWVQWRVGLCALWVLVCMAVAAYLIWRHEGPRAERRPGGAAPPGVLYDDEAWRPCLRDIHPAWLLAYRLISFFVLLSLLIVIIISDGGNIFYYYTQWTFILVTIYFGLATALSIYGCSRFADENAATAPVDMELGTSYNARGMVTKPSFDEEDDVREIAGFWGYLLQIIYQTNAGAVMLTDCVFWFIIFPFLTIKDYNLNFLLIGMHSVNAVFLLGEAALNSLRFPWFRIAYFFLWTALYVIFQWIVHAATPIWWPYPFLDLSSNFSPLWYLAVAVMQIPCYVVFRLVIKLKHHLLLKWFPSSFVRG >Et_1B_012583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33439867:33443717:1 gene:Et_1B_012583 transcript:Et_1B_012583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KSPVESQGLRIAGKETGSCSGKIHSCCLPRVHHLDDGFDCLRNMVNVLVGFLGFSSDYVKHSARNILVSMILGIEFIWAAIHASSTCVHKALPSKMGLKSHDIASTSASITSFMAQTSLEGTDGQDMYVKLVDIVPKLAAFLQEQHDGPRSLYQYSKHKILMVMMRLKPYMQDCLHIVCWLKLLRQYFEDLLHEPISQHTVKPSNCLEGSPFWLNMVGLVENEDKSTRHLQRRAIYLFLSCCICLSCNRNDGSLQCSCKADDYFLGHKVQGCIDHCNCFGLSEILDWFQRCYLDKSFDSEPSTDCALSFLQLYIEEDDMLFRILLQLLDSPLISMRTDVMEATELIGAKLFSSIFDPVHLFHLLLLLLDYDHLVLVDYLISKDVGVDCAQYLLRCLRLVSQSWHAFVDGSVYESKIINLNSKRQKTSQDANGAKCSSSKERMNAQKLFISAKACLLSLKRTVEDLQKKGLFPYNPRPLVRRSVKIMALFLCAV >Et_2B_020048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16396515:16398048:1 gene:Et_2B_020048 transcript:Et_2B_020048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRCFCCVAGDSDPEPTAAVAAAPAGRRRSKSGQPRASRNRSMEYPWEMYTLKELLQATNNFNESNKLGEGGFGTVYWGRTAKGVEIAVKRLKAMTAKAEMEFAIEVEILGRVRHKNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPHRGAPSSQQHQPLDWPRRVAIAIGAAEGLAYLHHEAKPHIIHRDIKASNVLLDADFVPKVADFGFAKLIPDGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLVERRKWERLADPRLAGRFDAQQLRAVVETAMLCAQSTAETRPAMAEVVEMLKFGGGGERRAKEIVPVPAASSEETATTDLDDVTGSTEPLDRRNWKLTRLR >Et_3A_024157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17895822:17901172:-1 gene:Et_3A_024157 transcript:Et_3A_024157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRPLLLATTILLLSSTAAEAVVCDSREEMTTRVNPLYYECCAQSRQYKLNDTYHANLTSLVAELLANISAAPDAYFASGRVGSVSGFALCRGDYTGGACARSLNQTIQDAVVSSGFICPLYKDVAIYYDQHMLSFSGDAFLYGDRTNRPAWIASNMNYVNATGDAAKRYGDRLRELMEKVADYAVVNSSISLYATGMSWFGEEGVDVLHGLVQCRPDLERNLCRECLANLTMEIPGRFTDAGGNHRVGGRILGPWCNLRFEKDLFFQETKETIKLHMPKSKIIMYPAFKFAVYELLLSFYIFLLNELEEWTKIVTAEIGSMFSLFTLTEIRNATDNFSEAKRLGEGAFGPVYRGQLRYGVVAIKRLSVHSWQGLAEFRNEIKLIADLQHLNLVKLIGCCIQHNENILVYEYMPNRSLDDVFSDIAKWVSLTWSKRQNVINGIAQGLLYIHNYSQSERCIVHRDLKASNILLDCEMNPKISDFGIARMFNSNVTELRTTRLIGTRGYMAPEYFFGNTFSVKSDVFSFGVLILEIISGRKVATSFCRYKNSNNLMAYAWRLWEDGNCKQLIDNSLSVGEHDQEVEIIRCSQIALLCVQANPEDRPDMKEVVRMLSNKDAQLKNPKQPSYFNEPIMNIVAATNNNYARTEYVTAVYPA >Et_1B_010583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11942373:11943020:-1 gene:Et_1B_010583 transcript:Et_1B_010583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NHIFFSSASSPSPAAANPNCDERGRRGGALKPFRPGGAASAMKTLRGKTGKGGGGKIHQALEQEDPVRAAFRHLSMAILVLVVVLGAGWILPPPPPLPVLPRSVFMALAAPPACTGLSAKHENQEEIFGKTPKGAKSKSRRAAEERRLCTSPLYALAPKPSTPTTFTIPSTSSSPSAANPNRDEWGLA >Et_2A_015745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17436494:17455817:-1 gene:Et_2A_015745 transcript:Et_2A_015745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYKCECTYGYDGNPYIAGGCQDIDECKHPEDHSCFGECINTVGWYSCQCTQGTQGNHSLPHGCVPVQAHKFSTGPIIGTTVGSGLASMFLVLFAIFMIQRFKHIRAIKLKLKYFKQNRGQLLQQLVSQRTDIAERMIIPVDELAKATNDFDKARELGGGGHGIVYKGILSDLHVVAIKKPKIAVQKEIDEFINEVAILSQINHRNIVRLFGCCLETEVLLLVYEFISNGTLYHHLHDEEGREDHYPGAIAKAIAYLHSSISVPIIHRDIKSSNILLDDTLTSKVSDFGASRYIPVDRTGLTTRVQGTIGYLDPMYFYTGCLNERSDFYSFGVILVELLTRKKPFLYLSPNDDGLVMHFTNLLAKDNLSVILDPLVMKQGDQEVQDVATLAASCINLTSDDRPTMRQVELILEGLQESMKSVNGIMVAEEFGNDDIAMTFQLTEESSRAYSMEQELLMSARHPRTTGGCGGGAVRDISTAAPIIGMPGCNTSCGDVRVPYPFGLGPSRCYQSAGFNLTCDTTRQGSPRLLLGGGGNSSLLLQVVDISLKNNTVRVVGAGNLVNGTRVNSDRNVTFAGGLLARGGPYTMSLRNELVLFGCNVMATVVTGGKLSCGCASFCSFGYAFGGPDRYCSGTGCCQASMSTIIDLTQVEVHLSWLPSGSNISYNDALPVAVFVGEEGWLDRQQEWGYNQPGEKGHGLAQHIPFLLRWDITQAPVFVYPDRPNPYACPPEIASLCKSQHSECTPDDEDSHYICRCITGYDGNPYVPGGCQDIDECEHPKEHACYGECINTIGWYSCRCPRGTQGNHSRPNGCVPVQAHKFSTGSIVGITVGSTVATMLLVLVVILMIQRFKHTRAIKLKQKYFKQNRGQLLQQLVSQRTDIAERMIIPVDELAKATNDFDKARELGGGGHGTVDKGILSDLHVVAIKKPKITVQKEIDEFINEVAILSQINHRNIVRLFGCCLETEVPLLVYEFISNGTLYHHLHDEQGLRSLSWGNRLRIATETAKANAYLHSSISVPIIHRDIKSSNILLDVTMTSKVSDFGASRYIPIDRTGLTTRVQGTVGYLDPMYFYTGHLNEKSDVYSFGVILVELLTRKKPFSYLSSNGDGLVMHFTNLLAEDNLTQILDPLIIEEGGQEVQEVATLAASCINLASDERPTMRQVELILEGIQESKKSVHVNMVAEEFKNDGIAVNLPYTEEMQSTEESNRTYRVNCGVTGGSDRAARLPHHLRRRARGFGPSRCYQPGFNLTCDTSRNPPRLLLGGGNSLLLQVVDISLRNNTVRVVGAGNLVSGTRINSDRNVTFVSGLFTGGWPYSLSYRNELVLFGCNVMATLVRESLGASYVTCGCASFCSLGIAFGGRDKYCSGPSCCQASMSPMDVKAPMEVHLRWISSGDGIPWNDQLPVAVFIGEEGWLDRQWKWGYGPEEKQHVLAQHIPFLLRWDITQVPVVYSGSGTIDASGPPPPPCPQNVSSLCKSQHSECTVDDAKSSFICRCITGYDGNPYVPDGCQDIDECEHPKEHACYGECINAIGSYSCRCPPGTQGSPRLLNGCVDVPVQAHKFSTGSIVGVALGSTVASMLFILVASFIIQRFKHIRAIKLKQKYFKQNRGQQLQQLVSQRADIAERMIIPVDELAKAMNDFDKARELGGGGHGIVYKGILSDLHVVAIKKPKITLQKEIDEFINEVAILSQINHRNIVRLFGCCLETEVPVLVYEFIFNGTLYDHLHDEQGLRSLSWGNRLRIATETAKAIAYLHSSISVPIIHRDIKSSNILLDDTLTSKVSDFGASRYIPIDRTGLTTRVQGTVGYLDPMYFCTGRLNEKSDVYSFGVIVVELLTRKKPFLYLSSNGDGLVMHFTKLLPEDNLSIILDPFRRAAKRSKKLPHWQHHA >Et_9B_064147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11805563:11808765:1 gene:Et_9B_064147 transcript:Et_9B_064147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQIQQLSFSSWLLLLLCMEPVSALKFTRGDFPEKFAFGAGTAAYQYEGAAAEDGRSPSIWDTYAHSDVKLMSDMGMEAYRFTISWSRLIPGGRGAVNPKGLQFYNNVINELINVVLYHMDLPQILEDEYGGWVSPRIIDDFTAYADVCFREFGDRVAHWTTMLEPNIMAQGCYDNGGLPPNRCSYPYGTNCTVGNSTTEPYLFVHHSLLAHSSIVKLYREKYQTTQKGIIGVNMYSMWLYPFTDSAEDVDATERAKSFLYGWILHPLVYGDYPETMRKAAGSRLPSFSNNESELYSSIYVSNNADAVEGPLQDFTADMATLFRDVNKMSLTGLLFLYKQLLPGKMVDPQGLEHILGYFQDTYGNLPFYIQENGYGGADGKLNDVERIDYLAKYMASTLKAIRNGADVRGYSVWSFMDLYEIFGGYKSYYGLVAVDFNTTVRRRQLRHSAQWYSDFLKNNATIKVEGDFVIPISHAQL >Et_9B_065795.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:12485553:12485786:1 gene:Et_9B_065795 transcript:Et_9B_065795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEKLLTEERYGSTRRVFVVVEEDRGIPAEFQRRMVAESPGVEVEEIAGADHMVRLSCPQKLVELLVRIANKGTSN >Et_4B_038647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3731449:3739878:1 gene:Et_4B_038647 transcript:Et_4B_038647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRQPRKAEKTVKQEAVPFPPTRGTDRAPLSPPWHGHPPQKPSKFFKISALPHKSKQTHARAFASSAPASAAWCLDALACLLHDTCSLKCLRKLHALLAVAGAIQETYVVTAVVDRYLSLGKPASAASVFAGAYSRHPEVYSLNLAIRCFSDHGFHRELLGLYRELCGFGSDNFTLPPVIKACAAVSCLGLGREVHCMVLRTGHGANVGVQTALLDMYAKAGQIDVSRRVFDGMVQRDLISWNAMISGYSLNRCFREAAKALQEMRLDGLCANASTFVGIIGMCGGAGAPDLGNSMHAFAQKCGVVADASLTSALISMYAASGDLSSSRLVFDLQPVKDLVSYNSMISAYMQHSKREEAFEVFRLMHSAGIGANLVTIVSVLPSCSDFFGGNHGESVHGMTVKLGLAEQVSVVSALVSMYSKLRKLDSAVLLFSQITEKNHLLWNSMISGYLVNNEWNAALDTLREMQELSVDPDATTVINVISGCRRTKDLHMAKSIHAYAVRNKFESNQSVMNALLAMYSDCGEIPTSYSLFQRMEIQTLISWNTIISGFAEVGDSETCLKLFCQMRQEGMQYDLVTLISLISSLSVSEDTKAGESVHCLTVKNGCNSDVSLTNALITMYTKYGILEAGQKLFDSLYSRNTITYNALMSGYQKNNASEKILPLFHHMIKNDEKPNLVTLLNLLPICQCQLQGKSIQSYAIRNFTRFETSLCTSTICMYSRFDNIEYCIRTFNFVSERNIVVWNAILSSCVQCKQADMAFDFFRHMLFLNVKPDAVTMLALISACSQFGNADFAACVTAVILQKGFNASISVLNALIDMHARCGSILFARELFDSSVEKDSVTWGAMINAYCMHGDGDLAVDLFWMMIDSGVEPDDVTFVSVLSACSHSGLVEQGRALFKSLQTEHGIAPRMEHYACIVDLLGRTGHLNEAYDVVRSMPFRPSDSLLESLLGACRFHCNAKIGESVGKLLIESEHGKSRSYVMLSNIYASAGKWRAYEQLRSDMETKGLRKDVGHRRYISSATTGSSDTNPSFQILEEKLHSHINTKLGKLRIRRMEEENIEQADSSQIVSLSSPKSLQSSIEPELIEYIKEDHNNDLQKTPSASSDASMQHEHSTSLILTDNKRNLLEIYVDQSAPIDESVTLSVKVDSSDLSSSEAQDASISMQSSEVIPSASCESSMQQGRSVSPILTVNESNSQEIAVEQKITIDDSITLSPKVENNELPPTNDPDGFPSCTNEAYELKEIQDSIAPESSEVNVRVVSQSLLRSREGVQVDASFTDSDKVARETPRGILKMVKEDKDLLVHRLPKRQMSLADTRQKLPAPVNRSVSGKNLRTDKTTVDTTTHIESVKVAASKFGGSINWKPRRTQPAQGSGHSIRDLDMLKNEISECKRQAEAAETAKMSVLDDLERTNKLIDELKHVLERKQAEEVDAKEDLEFFQFIVREMEGRVVSGDSGLIKEKQKIIQVLAKLKLVKDESRKLQKDYDSLLIEQDVSIKKAQAAFTLSKETERQVEDLTHELKHLNEMLDLDRATCHDAKEHKKEALTMRDMDCSTWKNNLRQAGQEKKLSSIEELKSELDTSSSLLLNLKNELAMCTEANPIEEAQEQEGGHHKSMQEVIPSRNELEEHRKNIAKVTDELCALKATAATLKSELNKEQVALAAMQQKETRASITIQSLKMEIKLSLQELEAVHAKAECRDRMVGLPEALQDAALEAAVAANAQEELRKTWEEIEQTKAALSAMEFKLQAVLREIEAAKESERLSLSAIRALEGTNSVISIEQDSPDQMITVDLDEYTSLLEKAHRAEALVHERTAAAIAQVEAAKASESQTLSRLDETLKALVERKQALLAATEQADRATEGKLAMEQEMRKWREEGRQRRRASEASKSDTKASKAAEIIVERSRDARCTNKEDGCALVHPLSDASGTSSPNELVLHSKPKKARKLSFFPRIIMFLGRRRLKAAR >Et_8B_060335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9824546:9825971:1 gene:Et_8B_060335 transcript:Et_8B_060335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSLLPASAAGALTRPSTPSPRHHLSVPATSARGDISRGCTLVGAGNRRARTVRRNAAESTVTPYVPGSGKYLAPDYLVVRRATPPSLKFWRLLLPVSEPDDRFCFLCLLLQKKVSAKELEELVRAERKVPLIVDFYATWCGPCVQMAQDIEMLAVEYEDNALFVKVDTDDEYEFARDMQVRGLPTLYFFSPDQSKDAIRTEGLIPIDMMRNIIDNEL >Et_9A_061434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11796255:11797147:1 gene:Et_9A_061434 transcript:Et_9A_061434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFCKMFGCDMNVISGLQLGRLCSLLHPSDNTVVGEAHTGDCGLLEADFINLSSGAAWYIASNPIRPSYTVQTHTGARLQVCGRGLVKTGQFNIPNVSYVPGLGENIISICQLTNTGFSVTFGADGFPDGFTVKKRHDGKVVGKGSYGGNQLFHLDSLMIPIGK >Et_3A_024459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2083395:2091517:-1 gene:Et_3A_024459 transcript:Et_3A_024459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSSQAAGTNDAGLEQWRRRFDGADIFTVLTHAILVAATDSPREFRRRRDNIVEQIYSAPAVLPVVAAVAAGEGPSGAARRVSAENAGNNNQHVHGDGDSLAGTDAEVGLDSDLLKLADDMKEATLENEEVLRIKAILLNHHEQSADYLFEALRRLQLMQLTGDVLENWCHGLLEGWFLCLAGTSTTHAPSVAQGASSLCILIFWTVSKERNSRILQRQYKSIQHLIREIQDEARMWALASALATGGGRMEDAGLEQWRRRFDGADIFTVITHVILVAATDSPQEFQRRRDSIVEQIYSAAAVVPVPAAAGEGPSSTARHVSAENVHVHGDSSADALDADLYKLADDMKEASLEKEEVLWIKDVLLNHHEQSANYLLESFRRLELMQLTADVLENTKIEVAVSALAKHRSHEIRELVLDITNGWKVRAEEWIASTKIAMASDSDKSLHRANSSAAEDEWGLAIPPMDVVNNHITSMNKCGGSGSRMKYKDPSRPLGTEASAKERIIVQDSSAAQGSPLKKTKPHKRSRVQQQGLEKREGQPLQRNPQELLNMDDKTAVHKTQVVLPTREEHVPLARNPQNPPQQERRPVRLIIKNKPTINEGEARSLSRDTPEVTPREDVKPRQGPRGTTVTRNSPIAAAATKPPLPDHVIKTGTSTQPLVVPKVELGKRKMPDDKELERTRHKLREAYQEAEDEKRKRTIQFMKPHDAPKPDKSPVRKPRRSHRRA >Et_5A_042487.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1570649:1575599:1 gene:Et_5A_042487 transcript:Et_5A_042487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAAARARRLLASPAAPGLPGIVSGTRSGCASGAEAVLLPRLFDGVLASPSSSHHVRGFSCFASQ >Et_4B_039444.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1679178:1679591:1 gene:Et_4B_039444 transcript:Et_4B_039444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPAYYRCAACGADLNLSEAHLYPADFYFKAGNKGTLSFSWVDESRLRFAPEDRIRPFFETLNYWGIQRKRTRISCDACGRLIGHVYDDGPPLMQGTGQFGMGPSQVIPRRPRYRIKIKAVNVGSSAPAAAAAR >Et_1A_008618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9262739:9277031:1 gene:Et_1A_008618 transcript:Et_1A_008618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHSLDVDGDSVGNHGGDAPTLEKHIDEWAVCKMLADVPAHRCVLPFLTGAPKAVECRLCSKIIYPGEEIKCPVRLCGGMFHLNCVAKDTANFIADRFKCPQHGCMICKQKLFFWRCGRCTVAAHTKCVPWPIIHLKDDQGTAICWRHPSNWLLQNEEAFHRLPLPYVYEDFKIDSDIRQGLNHDLLHEVQIVYEYANKRHGTRSQSRGSKKHKVTTRIPYMKETGSVFPDSRDKQPSVRREAKQGEKYSISRCFDVLDTMDDVSDEVKILASDLSADAGGGLVGDRAGDVAADEAAASASASGSGGGGGGGDPVILEECRLNSRVRISDLAAASEGEGAMAARRASGKKPSSAPSPTPKQRLVPPPARTFEDCVSDWTGKKVAAGVPAHHCELPFLTGAPKAVECRLCSKIIYAGEEIRCSVSRCGERFHLNCVVKDTVNVTADSFKCPQHACMVCKQKMFFWRCGRCTVAAHTKCAPWPVIHLKDDQGSAICWRHPSDWILQNEEVFRRLPLPYASEDFNIDSTIRDFAEAVYKPPPYTPIRRNVYLIKKKRANVRVDTGCTNCRSDSSCKDDCECRGLYMSCSKNCRCSDLCTNKPFRKDKKIKVVKTNRCGWGAVALEPLEKGDFVIEYVGEVIDDATCEQRLWDMKLRGDKNFYMCEISKDFTIDATFKGNISRFLNHSCEPNCKLEKWQVDGETRVGVFASRSINVGEPLTYDYRFVHFGRKVKCRCGALNCQGYLGSQLKNPTQNALAVAAPNGQLHDSLPTQQEGSASVFKPVTHLLPWTNCIDVSFNLRSKRKLSRLCWGCKRKRTSLMAYSTSTSLQTSVSRTT >Et_4A_032727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13037552:13040471:-1 gene:Et_4A_032727 transcript:Et_4A_032727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLAGSLLPLLLLLAATFPADALRFDLLSGHTKCISDDIKVGAMAVGKYHIVAPDDGGSLHSSSSSQQKLPESHRISLRVTSPYGNSLHFSENVQSGNFAFTATEAGDYLACFWAPDHLPPATIAFEFDWRSGVSAKGWGTVAKKGQVDMMELELKKLEDTISSIHEEMFYLRGREEEMQDLNRRTNSRMAWLGFLSLAICLSVAGLQLWHLKNFFERKKLL >Et_4A_033329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21483188:21493508:-1 gene:Et_4A_033329 transcript:Et_4A_033329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVAAHLPLQAPARVGPAPFSLPAAAAAGARFPRRPELCRLAASGGRALAGVRAEAGSGSVGGGARRREPMVPPYNVLITGSTKGIGYALAKKFLEVGDNVIICSRSAQMVESVVGDLKKEYGEQHVWGTVCDVREGKDVKALVEFAREKFKYIDIWINNAGSNAYTYKPLVETSDEALMEVITTNTLGLMICCREAINMMRNQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMNEVNNVMVHNLSPGMVTTDLLMSGATTKQAKFFINILAEPPSVVADYLVPNIRTIPTNQSMKPSYFRFLTGFKAYSRIFSRLAFAKPNAVKQTMEAIGVTSLTMHNIKSHLQKYRHMEKCGLGAGAADNVPGTTSASKADLMSEMMVDTDAVMAEIEVVTQVNRFLMDDVETMEEELMAEVQLIQLNSQIPQTAVDEYMDGLANYSM >Et_10B_003440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19870609:19873805:-1 gene:Et_10B_003440 transcript:Et_10B_003440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQRRPPSRLLHCYAAAAAVLLLLLAPSPAVAQAQRHRHHPAGDGVIISQADYQGLQAIKHELSDPYGFLRSWNDSGLTACSGAWAGIKCVRGAVVAITLPWKGLAGRLSERGIAQLVGLRRLSLHDNAIAGQIPSSLGFLPDLRGVYLFNNRFSGAVPASIGACLALQSFDASANRLTGPLPAAVANSTKLIRLNLSHNALSGEIPRDVVASPSLLFLDLSHNNLSGAIPDAFAGSPSSPSSAVKAAITGSYQLVFLSLAHNDLDGPVPASLTNLKKLQEVDLAGNRLNGTIPPQLGSLADLKTLDLSGNALSGEIPASLDNLTATLQSFNVSYNNLSGAVPFSLAQKFGPNSFAGNIQLCGYSASTPCPASPSPAPSSPPQEGSTGGNGHGKSKKKLILIIVGIVAGALLLLLLCCLLLCFLTRKRSSSTSSAAGTRSRSAKEQAAAGKEASGGRGEKPAAEASESGGGEVGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKPHKDFEAEAAMLGKIRHPNLLALRAYYLGPKGEKLLVFDYMPKGSLSAFLHARAPNTPVDWATRMTIAKGTARGLAYLHDDMSIIHGNLTASNVLLDEHNNPKISDFGLSRLMTAAANSNVLAAAGALGYRAPELSKLKKANAKTDVYSLGVIILELLTGKSPADSTNGMDLPQWVASIVKEEWTIEVFDLELMRDAATGNVGDELMDTLKLALHCVDPSPSVRPDAREVLRQLEQIRPEGGAGPSEEGHVPLSAGGDDE >Et_5B_043664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13849108:13849870:1 gene:Et_5B_043664 transcript:Et_5B_043664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ETLIRNSPISATELDLFRACTNITVGNGSRTSFWHDRWLQGNHGGRTNQWLQPSQEGHGKEDCGGFPPLRRLTNMSGCGRSFNKSRSMTAPMKSIGASHLMVTTPPSRPTKSSFWAHMQIMIGSGCGGPKLRTTANSLDGYCCKTNSGHPIALSRINGGQASPTCPLYIRRRLKLHLIWWRHALTHPRYGTPSSQWLVLMVRRWEDMIGPNGAPDATLEQAMMYIVWNIWKERCCGFMIRRR >Et_7A_052143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5053607:5056821:1 gene:Et_7A_052143 transcript:Et_7A_052143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPSLRLPLRPSRPAAPAPLPRGATAACSLAPSHLAALLVKPLASGAPASHRQPALLLHQRRRHGPPAVAATTASKPVVKDPKRYEEWDSMTAKFAGAANVPFLLLQLPQIVLNARNLLAGNKTALFAVPWLGMLTGLLGNLSLLSYFAKKKETGAVIVQTLGVISTYAVLVQLAMAESMPTPQFVATSVVVAAGLLLNFLNYFGWIPGKLWLLWEDFITVGGLAVLPQVMWSTFVPFIPNSVLPGLISGSLAVAAVTMARMGKLSEEGTKFVGSLSGWTATLLFMWMPVAQMWTNYLNPSNIKGLSAFSMLLAMLGNGLMIPRAVFIRDLMWFTGSFWASVLQGWGNLACMYCFNSISKEFFFATTAGLLLWIGFTLWKDTIAYGNSSPMTSLKELIFGK >Et_1B_009677.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:13685094:13685504:1 gene:Et_1B_009677 transcript:Et_1B_009677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVALVHDRGLHGAPVLDARDADLLEAPLPVGVPPRRQRRKEFVVAVVLAVALCRRAAVLAPPEPVHSHHRRRAARGGGGAGHGARTRLEQQDERGGDGDLISALHILLLKRYPYYALHLTCRGCCRCSASEGFI >Et_2A_015500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1480050:1482594:1 gene:Et_2A_015500 transcript:Et_2A_015500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPAAPANSSCYHPRATSSTPSSLSVGTKVFVGLRAQTKLGSSGSSCPNVSAGFYTAVNRRISLGMSNKRATRARISMMPIGTPRVPYRTPGEGTWQWLDIWNALYRERIIFIGDNIDEEFSNQVLASMLYLDSIDNTKKILLYINGPGGDLTPCMALYDTMLSLKSPIGTHCLGFAFNLAGFILAAGEKGSRTGMPLCRISLQSPAGAARGQADDIENEANELIRIKNYLYGKLAEHTGHPIHEDLSRVKRFDAEGALEYGIIDRIIRPSRIKKEGSTGERKDRRNLGLG >Et_5B_043300.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:23322347:23322592:1 gene:Et_5B_043300 transcript:Et_5B_043300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPVAVAVIVLLLSSSLALPERRRRWRRGDWCRRAIESRQGGKARWRSRRSRRRRPRARPSRRVAPTGAAPAATAILIDS >Et_1B_011480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22810369:22813987:1 gene:Et_1B_011480 transcript:Et_1B_011480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATRTDSSSPAVEQEEEEPNCDLFRCICRWGKTCIPRVAPGRDYVHPSVVNDADDRRRPAWSVLAGCIAATVPFHNLRIHRLRVAASGRVVGRSDDALEHFATVSPDDGDRENTFVAHAAAALAPVGRRQMYGVATHAPGFRGAFDLRGSESRGPGDSDASIAKREAQRPPPPPHRAFAMDLAAGSFVSPSPPPLPFVHGSYDVVSARGELWAPAVVWMKPGHGKRLVVHRLDERHTTSSWVEAAGVDVAHRPHVNSGLGGFHLQGHAVVGDRFILLSLRDSTFFCFDCATGALDPVADDDGKSNVYFIRRGTLYAYRFSPEERKLSAPAVEVEGLWPFDDEGHGRVVRLAGRMMCAVWINVDAPGGDQGGDDKPSGVEVLHSTCRRVDMLRTNEEPQYERYDYYCFLQEYPDDAATVDDQSVPDSSLLRGFSDLQVDDNVDEDEFPDTMPDCCRFFLGPKFVEYKLETKPDFYFICQADEQSLLYRVGSSKGKLDCHDKTLEAQLCLDTVRPGREIAPDETAPSWHFVHQGSRLHVIPSTPTQTHYEVDLTSNLVTKHEGRSADSTCFSVVSRAGQYIVGLGGTLQDVYVLDQQTFQWLRFETSTSSVDLTRKVKISGFVDLIDDILVVSDADSAECFLLDLRKKEWFVVKPPPGTWRRIQGLLCGKCLLAEGFIYTCTGIGFAAFELLKEEDGSYCLSRPVLMEFPDIEFPDKKMVSFDSICKENDPGSLVLCVVEGCISTAPFTSRHRLSTNTVEVKLKQTARGRKIILEAKKTSD >Et_9B_064507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1612906:1623980:1 gene:Et_9B_064507 transcript:Et_9B_064507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYRVCCFLRRFRAASNEPSEAVADVFQAYADGGVLGEEALRRFLREVQGVDAGAAEAEAREVMAFAAEQRLLKKGGLTAEGFHRWLCSDANAALDPCRGVYQDMSLPLSHYFIYTGHNSYLTGNQLSSGCSEAPIVKALHDGVRVIELDLWPNAAKDDVEVLHGKTWTSPVELNKCLDAIKEHAFVSTPYPVILTLEDHLTPALQAKVAKLIKETYGDMLYISESEHMAEFPSPDDLKGKIIISTKPPKEYLQTKSGKEETDDDKAEGGVWGEEISDDKSIARQAITHDHNAEFTHSHCACSAVSEDCLSEQGSEKYIEEAEELVESEKKAQQGADNEYKRLIAIQLTRRKHDMDKDLMVDPDKVSRLSLGERAYEKATISHGADIIKFTQRNLLRIFPRSTRITSSNYNPLMGWRYGVQMVAANMQGHGRKLWLTQGMFRANGGCGYVKKPDILMNSDPDNLFDPRADLPVKTRLKVTVYMGDGWRFDFRKTHFDRCSPPDFYARVGIAGVAADTRMEQTRVIMDNWIPTWDHEFEFPLKVPELALLRVEVHESDNHQKDDFGGQTCLPVWELRPGMRSVRLCDHKGQTLRSVKLLMRFEFFPSSL >Et_1B_013536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9312419:9315661:1 gene:Et_1B_013536 transcript:Et_1B_013536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVVEQIGRGAYGSAYLVHHKTERKRYVMKKIRLSKQNDKFQRTAYQEMSLMASLSNPYIVEYKDGWVDEGTCVCIVTSYCEGGDMAERIKKARGVLFSEERVCRWFTQLLLALDYLHCNRVLHRDLKCSNILLTKDNNIRLGDFGLAKLLMEDLASSVVGTPNYMCPEILADIPYGYKSDIWSLGCCMFEILAHRPAFKATDMAALVNKINRSSISPMPPIYSSSLKQIVKSMLRKNPEHRPTAGELLRHPYLQPYLAESCNCSPIYLPVKPTKSNLGDKQSRKPSSGRKRIIKAAGPNEALETAAEQSGETRDSSTNFSDVSTIGTLDACISQMPTDPDVRHQERKISDASSFQHTEDNLTAITDRQIDDTVRLKAIRTSKVADEALVSTANHKLNEAPIPNEELTIGVVQEQKKDVKTPLYKGAKPGLVDPAMTEESSPISTLKLAHTDSTPAEWDHLNIVQQRADALESLLELCAKLLEQERLEELAGVLRPFGEGAVSSRETAIWLTKSLMTPPR >Et_1A_007337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33462513:33464478:-1 gene:Et_1A_007337 transcript:Et_1A_007337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKRGSKEKLNGPLAKTKRPRLQLNDLPMDILHSIVSRVPIREAVRTSILSKHWKHIWSYRTNLKFSFKSLVYKRGSGIPRSFISENVFIQRVNAVLKQLSGVGVEKMEIQFSPLDNEHADHIDRWVEFAIASKTKQLILDFEVQHPMKEPYSFPFQLFDATSGSHLQSIKLGSVSVKDPTNIKVFLNFKKLELVDVNTTDAELKLMLLNCIVLECFVISRCKTLTSLQTRPFNDFKHLLVSHCPLLHDIQLHFGLVTLEYEGPLLPLSPSSTLRNLSIKSSDISSALSYIFTKLPSTLPRLEMLTLRCQELQSAILPKKAIKFLYLRHLRLELNFVPLEKRNTDVLDLAFLLEAAPVMEKFEVHMWMDYQLQRYHKCHGELRSLPSRPHSHLKFVDITGFYGQKDQLELALHILRNSMALKAMKIDPKPTVAAINGFLSLGDGPSFIDGYKVAKKYLREADQCGVINVIKVCRRDIQNTCPYKLIDPIWLAMAEDE >Et_9A_063163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1289253:1290669:-1 gene:Et_9A_063163 transcript:Et_9A_063163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGCKSAIGCVDARAPVRASYVSLYKWPESDAEFVKSVAMARRFQGGGGGGAPESPASSNRYYYNGSASMRRSGELGYCSPRVVDSYSCRQMYLRSYTFSKKKETVPERTMACLGRVRERAAVFPFLPHRNGGGGGSDAGSVGSASNIVAGGQGWRSREDDVGLRGSRKARRRRRKKTKKKGCAVVRRLQEASCGAVRAIFRRLLACTTTVDVADVAAPQTILRPGTRASDSGMDVVAA >Et_8B_060393.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1305106:1305636:-1 gene:Et_8B_060393 transcript:Et_8B_060393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQIDAVRHRGEAARLRHGAFVASATTTERPRTTITNSPPPQPGYQRRRRSGTWRRGTAPARRPSWGHSPQSGHGAADRRRTGSGRASWCSIADDAALVPAHARIDVAQHAALDVLGHLVALRHHALELEACAARIMIGDLREAAERTDRRPEASALQRSRGGGVLATTGGGRNFE >Et_5A_042059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5846840:5849236:-1 gene:Et_5A_042059 transcript:Et_5A_042059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLMEVDLDTEVELSPVAKAEAAMYSPYSTPSTAVLLQRRVVAWATETGSPATIRVHVGDRSFDLHKDPLVSKCTYFSQALLRSSEVELPASFPGGCEAFEVIALFCYGDAVALDPFNVAAVRCASEFMDVTGLGARCDLYINQVVLQSWDDALIVLQRCQPLLPVAEELLIVSRCVESLAFMACMEILDPEQRRELPGAASGGAVLVGRRWDAELVKELAARDLWIKDLIALPLEFFGRIVRALRRQGMKEKYVSPVVLFYANKWVLSEKTHKFWACADDNDDDAVDGETDANRRAAAILQGVVELLPVEAAAAAASSNAAVPVAFYFALLSRSLALELSEESRARLREQVASNLPFARVDDLPMPEQETKMSVADSREVREVERIVSSHVATQRRGVEAVAELWDRYLVQIAGDPKLRSERLAELIGVVPAGDRKNHDHLYEAINKFLVEHPGLSGEEKATLCGHLDCRKLSHELCIQAVQNDRMPLRLIVQALFVQQLHTHRAFTECSDSFRCMHSGELVPGAGAYTPSPGCPAIPASQSQSQPLSSSSPYVDGSHAAPLRDAKLRARGDDASDYETASFRIEALEQEIMSLKQTLQRHNTLKGSSVRRDGGKEPSFRVAAADAATAAPVAAGIRRRTAVSGSCIGSMRWGSQRRCASRILRVFARLAVFGKSKSRGKQSKCRAETEQPIAGL >Et_10B_002557.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:15699003:15699104:-1 gene:Et_10B_002557 transcript:Et_10B_002557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEANRWSSKGGGQALVDNSRRGSQSTSVLQLE >Et_9A_063101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10259510:10271486:1 gene:Et_9A_063101 transcript:Et_9A_063101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGYVTVDDRAGRALFYWLQEAPAEAPAKVQPAPLVLWLNGGPGCSSVAYGASEELGAFRIRPDGATLFLNEYRWNKAANILFLDSPAGVGFSYTNTTSDLYTSGDNRTAHDSYTFLLKWFERYPHYKYRDFYITGESYAGHYVPELSQLVYQNNKGLEKPFINFKGFMVGNAVTDDYLDQVGTFESWWNHGLISDDTYRLLEATCVHDSLVHPSPPCDAAYDKATAEQGDIDLYSLYTPTCNQTLPAKKNRSLKRHYKMRGSYDPCTERHSTVYYNRPGVQTALHANVTGINYTWTTCSSFSDAMTGSDTINDNWRDAPRSMLPIYKELIAAGLRIWVFRLADGAKSTRD >Et_4A_032350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31380320:31380478:-1 gene:Et_4A_032350 transcript:Et_4A_032350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNRPSVTNCGGPAAPPPRRHTPRRLAVAPTAAARLRKIP >Et_5B_043145.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:11182242:11182262:1 gene:Et_5B_043145 transcript:Et_5B_043145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMG >Et_3A_023322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20638279:20639181:-1 gene:Et_3A_023322 transcript:Et_3A_023322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHLGWRLMYAVGVLPPVLLAAAVLAMPESPQWLAMRGRHGEAHTVLLRTSDTPDKVGLRLAEIKQGGGVWSELIVRPSASVRRVLICVVGLQFFLHAVGTDAVMLYSPLVFRNAGMASNDAALGATVAVGVVNTCFILVATLLADRAGRRPLLLASTAGVAAALVSIALTLCVPAASSPATELACVASVLAFVAAFSVGLGQLVATYNAEILPLRLRAQGSSLGMVVNRVTCALVSMTFISLANWITMAGCFFLYAGAAAAACVFVYVRVPETKGRRLEDMDVLFDK >Et_4B_040029.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:861963:863003:1 gene:Et_4B_040029 transcript:Et_4B_040029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGACWAVLFVYLVVGSGASGSSTQQCHSEDEAALLAVSAGLGSPYRLSSWSTDTWCCDWSDVDCDSTTGRVVGLSVSQDGNLTGGIPGEAISGLAHLRSLVLHHLPGLSGPIPESLAQLGNLSQLTISHTGVSGPVPSFLSQMMQLTFLDLSYNSLTGGIPASLADLPNLLAVNLSRNHLDGPIPPLLFSNLSDSDQLASLWVSHNNLSGGVPAEFAAVRFAHLDLSRNALTGDPSPALLKKTTALQQLEHLDLSRNGFRFSLTGVADLPEKLNFLDLSHNAIRGRIPAAVANLTDLNFLDLSYNKLCGQVPAGGVMARFDATSFQHNKCLCGAPLPACPKMS >Et_4A_035081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9259605:9263131:-1 gene:Et_4A_035081 transcript:Et_4A_035081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEPSAAGASAGGESASQAPAVEPIRLPTPEEIKGQDLWNNCAVRSVVSGVVGGGLGVMMGLFFGALENPIMQQEMTARQQIVYTAKQMGSRSISNAKTFAVMGLIFSAAECVIEKARARHDTTNTAVAGCVTGGALAVKGGPKAACVGCAGFAAFSVAIEKFFDRHT >Et_1B_009786.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26863028:26863609:-1 gene:Et_1B_009786 transcript:Et_1B_009786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLSAVAGELVSRLVSFLLRKYQDPGATNDVFRLQQALLRARMVVEEAEGRQITNQAMLQQLNQLRLEMCRCSYVLDAFTRRAVGSSRRSLAMARAPRNSGDGVVEGLAVVESLEAALRNTREFVVLLGSCPRVASPGSRTAPTCSWRGASLAGRWRRRDSSGSCYNPRLKIWTSSRSSALTRIDRQADAG >Et_9B_064225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12735481:12740461:-1 gene:Et_9B_064225 transcript:Et_9B_064225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEAGGGQQQQQPSAAAAEGGGSGGGGGGGGERCSGCRGVVRPQCVAALLLGAAVLLSALFWLPPFAGRGGPAGPPDPGGALAADIVASFVLQKTVPELNKTKSKLELDIYEEIGIPNTTVAVNFLQPLDASNWTNVIFSIVPYPKNSTISSTWLSLLRASFMSLVVEQSTLYLTESVFGSSSFFENLYIKLTNSQGSTVAAPTIVQASVVLEVGNHQPSPPRMKQLAQTIANSSLGNLGLNNTVFGRVKQISLSSYLSHSLHSGGGTNAPSPAPMPHQDHHRSHHHHHHHHSHDEKKHFAPSPVPVYSPVQQPKYRSPSPSCCPYRYTNKPNNTAPVAPAAEPVASNHHRASPAAIPHAVPPSINPSPSVRHSPNNPKGYHSSPAPSPALAKHHLHALSLAHAHHPAKTPAMVPAPHSSYAPRRHSSEWTIALLMCMLMGLP >Et_3B_029465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25264401:25266222:-1 gene:Et_3B_029465 transcript:Et_3B_029465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNCALQSLRISIVFVLAAAATTIIVPRAKCPCAYFMSRAIISVTGLDPYLITCGGDASEASLSDGADAGKKAGRGGPIVTDINLCGDPDPPEYPVPAFCCPPASASEPVNFTFPDPGEPLRTRRPAHEAGAEEHMAKYKRAVALMKALPRSDPRSFYQQADVHCAYCSGALWQAGHPELPLQIHFSWLFFPFHRAYLYFFERIAAKLLGDPGFALPFWSWDVPEGMRVPAEFADVTSPLYNPRRTPWHSPPRVNDLEYMFVESNLTDEQQIQRNLWVMHKQMISNAPLPSLFLGQPYRAGDAPMPGPGSVEFCPHNTMHVWTGDVRYPNAEDMGIYYASGRDPIFYAHHANIDRLWEAWRQIQRVDLTDPDWLDASFLFYDEEAHLVRVTVRDTLDMGRLRYTYDDGVGLPWLNARPPTTPGVKGERGHLKSVSFPVSLDAAAVTVEVRRPERLRSRQEEEEEVLVVEGVEVDSADSVKFDVYVNAVEYHKVRRGGRELAGTFVTLKQPGREGETMKTSMRVARNDLLEDLGAERDDSVTVTLVPVKGKARIGGLRIVHVVE >Et_10A_001537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4842697:4846806:1 gene:Et_10A_001537 transcript:Et_10A_001537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALIVVSGQAPRLGTPPSPGTSLQRVCWGDSAAGRGRGGGRPRALVAREGSSGDVLRVGVWKAPPSLLTRACGSAADGHGRGGTRALEERARTFFYHDDDALLSPMPFLRPAWGVSAGGRGRSRRGTCTHALFDADLASSAEAARRLGPPVGSLLPRVTGDFGAARLPASPMRAPAPLRPAAAVCRCSISSPLKSMAAVSNSWSISDKALLSIELINSSVNSQDATIVQNIVERSHLCLNPDTYSSKASKVQGFPGSLGGRYKCPAEIPFFPETLLEPLIPTEYPSVIYFGKMLPKLAKHGKDGHNGPSAVCDAKILQVAVKMDPVGKNNPPPPDSPPPSRDRAELSVRSPNPPSAGL >Et_10A_001643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6318915:6321423:-1 gene:Et_10A_001643 transcript:Et_10A_001643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SATMSVSAAAVPAASKNGSHALSLDSHSSQDIRRRTVVVAKKKATPELLAEGGANGISEDKTASKNDLIHTIRGESVLDKSKYPSEARKDVTSAAGAERRKKTATKQEKTKWEAALSVLVKLCLLISAVTWMGQLFWRWHNGELSFTAPDMDSRLSKVEGFKKTTKMLQVQLDILDKKLGNEIDKTKRDITKQFEERGNKLETKMKVLEGKTEELGKSLAELRDMGFVSKNEFEEILIHLKKKKGLDGADYDISLDDIRLYAKEIVEIEIARHAADGLGMVDYALGSGGAKVLSHSEPFKSVRTYLAGRSSTKAMAHKMLEPSFGQPGECFALKGSSGYVNIKLRTEIIPEAVTLEHVDKSVAYDRSSAPKDFKVHGWYEGPQDDLNKDNSMETLGEFSYDLEKSNAQTFQLKRSDGVDSQAINMVQFDLSSNHGNSEHTCIYRFRVHGTEPASLKRALNP >Et_4B_036162.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29789368:29790060:1 gene:Et_4B_036162 transcript:Et_4B_036162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGGATRGGRSPTWDGVVPPQCSPSPRILRLNPALRWEEAREPLHAGIDVGNVVGVGPGMPFAHAVLHAHVAGTTAVGLVPCAQGATSITDWQRGTRLYNRMLTRTRAAMASNKQNQKLAALLWYQGEADTIRREDALAYTSRMETFVRDLRRDLAMPDLLVIQVGLATGQGSFIQLVRDAQRAVKLPNVKYVDAKGLPVASDYTHLTTPAQVLLGKMLAKAYLATLV >Et_4B_038942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6125486:6127152:-1 gene:Et_4B_038942 transcript:Et_4B_038942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLQALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIKN >Et_10B_002510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12654229:12655781:1 gene:Et_10B_002510 transcript:Et_10B_002510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VAAAPATSCRITQPEPNLATCPSLTCDLLPAPWRRRAVCSSSAARASIGRRVVRASLARGHPTFVLMRPEIGLDIDKLQMLLSFKAQGARLLEASLDDHAGLVAAVKQQNKLVEAIKEAGNVKRFIPSEFGTDPSRMGHALAPGRVTFDEKMELRTAIEEANIPHTYISANCFAAYFCPNLCQLGTLLPPKEKVRIYGDGNVKVIFVDEDDVATYTIKYIDDPRTLNMTIYLRPKDNILTQNEVIAKWEMLSGKVLEKNHIPADDFLASMKGSYNNFCFGLTAMFSNDGSPVAKPRFCVLHMVPKWNSHFITPC >Et_2A_015175.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34291967:34292242:1 gene:Et_2A_015175 transcript:Et_2A_015175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVVSVLALALCVLLAAQALHAAPCNPSALSPCAGALVGGVVTRGCCVQLKAQQGCLCQYAHNPAYSGYVNGPIAQNVARSCGFPRMKC >Et_4A_033902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27892081:27897141:1 gene:Et_4A_033902 transcript:Et_4A_033902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQPVAEAAQSLIDADLRDLGVAARSLAIHALWIGGGLAWDISSFLKCLAFLAAVYLLILDRTHWRTNMLTALLVPYIFFTLPNELFYLLRGEIGRWIAIIAVILRLFYPRHFPEWLELPGAVSILVAAAPNLVAYTFRADVLGELVCLIIGCNLLQEHIRASGGIEKAFKKGKRVSNTMGILLLFVYPGFTSYLAMKTDAAGAAASQALIDSDLRDLGVAARKLANHAFVLGGGLGFGTSFLKWLAFLAAVYLLILDRTNWKTNMLTGLLVPYIFFTLPHVLFHLIRGEVGKWIALIVVVLRLFFPRHFPDWLELPGAIILLTVVAPGIFADTFRSDLVGIFICLAIGCYLLQEHIKAQGGFRNAFRKGNGVSNSIGILLLFIYPVWALVLNFL >Et_1A_006091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17624659:17626732:-1 gene:Et_1A_006091 transcript:Et_1A_006091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKRQPPADAAGAPASSSGAAAAAAAAAATAPREAPEEEVAAAAGRNLRLYIVFYSMYGHVETLAQRAAVGAGAVEGVEAVLRRVPETLPQEVLEKMQAPAKDPAVPVIASAAELEEADGVLFGFPTRYGAMAAQMKAFFDSTGSLWEEQKLAGKPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLFVPIGYTFGSGMFKMDDIRGGSPYGAGVFAGDGSRQPSETELALAEHQGKYMASIVKKLAHRA >Et_2A_016206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2239869:2244435:-1 gene:Et_2A_016206 transcript:Et_2A_016206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQAALPVADPVPDNPRPAAPVPITAPSPRARLHQNHHLVDRRDTPRGRAWEPERARSHTEAMDGAAAAKLVTGEAGYVLEDVPHVSDYLPDLPTYPNPLQDNPAYSVVKQYFVDPDDTVSQKIVVHKGGARGNHFRRAGPRQRVYFESDEVHACIVWVRCPGLNTVIREIVCGLYDMYGVTKILGIQGGYKGFYARNTINLTPKCVNDIHKRGGTILGSSRGGHDTTKIVDSIQDRGINQVYVIGGDGTQRGAGVIFEEVKRRGLKVSVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAVSAENGVGLVKLMGRHSGFIAHYATLASRDVDCCLIPESPFYLEGEGGLLKYIEKRLKDNGHMVIVVAEGAGQKLIAETMQSIGKDASGNELLLDVGLWLSQKINEYFKKNKMTINLKYIDPTYMIRAIPSNASDNVYCTLLAHSVVHGAMAGYTGFTIGQVNGRHCYIPFYRITEKQNRVSITDRMWARLLSSTNQPSFLCNKVIEEAKKEHERAAQLLDGSPSHRKLEEKIASSKSSGKK >Et_3A_025552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30695269:30696176:1 gene:Et_3A_025552 transcript:Et_3A_025552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWMNYLRPDLKRGNFTDDDDELIIKLHALLGNKWSLIAGQLPGRTDNEIKNYWNTHIKRKLLARGVDPQTHRPLGGGGGLTTSSTAGFPTTAPAPRLARPASTVPANVTFAWPARSEDGHSSSGGSTDAPRCPDLNLDLDLSVGPPCSPPKTPAATASTPTSQHQRAPVCLCYHLGVRVGEACGCKAASSPAAFQFLRPMDEGQYI >Et_3B_028482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1669864:1673936:1 gene:Et_3B_028482 transcript:Et_3B_028482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTVYLSSSASVPTPPLVLAAPTMASAATATFGLLLLLAILLPAVVSAAVAPHRHRLGASLHLASLNVTEPPTTFFEVDRPIRPPHGSAGPCSTLLLSSSFGATYGRPPVTAAYAPPACLRASGGAGSLALAVLEWSADCRGRQFDRIFGVWLSGAELLRSCTAEPRPNGILWSVSRDVTRYAALLAQPGEVAVYLGNLVDKTYTGVYHVNLTLHLYFHAAPPPPTPQQLQKADLIVPISRSLPMNDGQWFAIQNATDVQSKKLAIPSNTYRAVLEVFVSFHSNDEFWYTNPPNDYIQANNLSNVPGNGAFREVIVGVDADVVGAVWPFTVIYTGGVNPLLWRPITGIGSFNLPTYDIDITPFLGKLLDSKEHAFGFSVTNALDVWYVDANLHLWLDHRSEKTTGGLISYDTSRLDINVNSQFSGLDGDFVTSASRQVSATGWVKSSYGEVTTTFYQKFGYENSNVYSKNGTVQIVNQTIDAKSGVFAKDASAVLLSEEVHKVFPLYVYTGTSDQVGDEYNLVSIVKLGINDKRISGGKLGFLYSSLRNAESAHGSMRVKKNLVVSGLGQTHQVYKYVGTDGCYFRDLSSRNYTILSDHSEDSCSKGPYNRAKFSSTKLNNQSARRKLLVN >Et_1A_008262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5833804:5840639:1 gene:Et_1A_008262 transcript:Et_1A_008262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLQSSSGHNAAAGGKTIEEMYQKKSQLEHILLRPDTYIGSVEKHTQALWVYEGGSMVNRQVSYVPGLYKIFDEILVNAADNKQRDPKMDSLRVDIDADGCCISVYNNGDGIPIEIHQEEGVYVPEMIFGHLLTSSNYDDNVRKTTGGRNGYGAKLTNIFSTEFVIETADGRRQKKYKQIFSENMGKKSEPQITKCKQGENWTKVTFKPDLSKFNMSHLEDDVVALMRKRVVDMAGTLGKTVKVELDGQRVPIKSFQEYVKLYFRDKPESDSQRTYEKVNDRWEVCVTVSEGQFQQVSFVNRIATIRGGTHVDYVANQVANHVMNIVNKKNKHANMKAHNVKSHLWVFVNALIDNPAFDSQTKETLTTRQGSFGSKCELSANFLKSVEKSNVVSNLLSWADYKLSKELKKTDGTKRSRITGLAKLEDANDAGGKYSEECTLILTEGDSAKALAMAGMAEVGRDRYGVFPLRGKLLNVREATHRQIMENAEIQHIKQILGLQHGKQYESTKGLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKVNSFMVEFITPIVKATKGGTVKSFYTMPEYEAWKQSLGGNAHGWKVKYYKGLGTSTNEEGQEYFRKINEHKKDFVWLDEQDGHDIELAFSKKRISDRKEWLSNFQPGTYLDNREKEIKYSDFINKELILFSMADLQRSIPSMVDGLKPGQRKILFCSFKRNFFKEAKVAQFSGYVSEHSAYHHGEQSLASTIVGMAQSFVGSNNINLLVPAGQFGTRNMGGKDAASARYIFTKLAPITRSIFPKDDDILLNYLNEDGQKIEPTWYVPILPMVLVNGSEGIGTGWSTFVPNYNPRDIIANLRRLLNDERPEPMHPWYRGFKGSIERTQTKEAGVTYTITGIIEAVDSTTLKITELPIRRWTEEYKKLIESFLKEGFIQVADYTLNSFTSVYDSSPDYKMQGDANDVYFEIALTEENMNQAKQEGLEKKFKLATTIGTTNMHLFDSDGKIRKYDNPEQILEEFFHLRLEFYEKRKKAIVENLELELKKLSNRVRFIEFVVEGKLEVRNKKKAHLCQELQQMNFDPYPRKKKVAEPAAVGAIEDEEESEESPDDQTEILGSEYDYLLSMSIGTLTMEKRMELIAEMEKCEHDVDEMRKTPPKSLWFRDLDALENQLDLLDEMDAKEEEQRRLTREKNANNKGARKQPPKRQPKKTVAKSEKLESATTDTEAEPAVPKPAAPRKKPAKKTNGGAGPEDEGLNAKPGPQKKKQSKKAIAPASDTDEDDVPALKDRLAAFNIDDSSPDNSAMDIETTGGQQNVAKGRKGPSKRGAAKKASSLSVISSDDENVDDDDDFAIEVSKVETQKKGRGRKTTAAEKPKVTTTRKRAPAQGKVLKQKVLDDMLKPIEDSSTSVPSPEKKVRKMRDSPFHKKSGSILQRGAAAASTSSENTAETSPPSGSSVEPVAALRPRRTARATKKATVVYEDSDGSEEEDVVEVSDDSDFDLDDDSE >Et_1A_008482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8058184:8060460:1 gene:Et_1A_008482 transcript:Et_1A_008482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSSSSSAAFPLDHLAPSPTEQLCYVHCNCCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLCGLLLPPAAPPANQLNFGQSLLSPTSPHGLLDELALQAPSLLMEQQAASANLSSITNRSYSSCASNVPAAAPTMPPVKLPVQHEPELQTKSVPSVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGLKKTFKTQDIGAEDMLLKDGLYAAAAAAAAAAVNMGVTPF >Et_3B_027969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28940738:28941763:1 gene:Et_3B_027969 transcript:Et_3B_027969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNPATWLVLVAALALLVPSCGAAQIKTTDTRWNYHVPLPSGVTGAESLAFDGKDEGPYAGVSDGRVLKWGGSAVGWTTFAYGANYRKIPLCTASVGPSEETESICGRPLGLQFHAKSGDLYIADAYRGLMRVGPDGGEAEVVASAADGAPFRFVNGLDVDQATGFNTEIMMNADATGRLLNRDSAHVVVAHTVPCQAFRYWIRGGKAGQYELLADLPGYPDNVRRDTKGVRLNADGVEVEELTAAKGVTLSDVAERKGQLWLGSVELDYVDLVA >Et_3A_023577.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:6297406:6297513:-1 gene:Et_3A_023577 transcript:Et_3A_023577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQLYRAAENTSSRSLAWLSIEPAQKKQTRSSNG >Et_1B_013779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16116925:16120442:-1 gene:Et_1B_013779 transcript:Et_1B_013779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSTVTTLLVLAEQHRCSEMKEMCLEFLKSPENLKKVMADDGLEHDQTELRWMESQVLLLRIHVDSSSIHRGRWGRFDEQGRNGELGGREEAVGRALDR >Et_2A_018663.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4523936:4524571:1 gene:Et_2A_018663 transcript:Et_2A_018663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSFAASAALFLALAAVDLFRFLHLPSLPSTSTSPPQASTLDRAVESTATASDIELFFPFQVLMLLAATVGLFAAVAFVHLHHAAGGATGAGNKRVSELPTFLLCGAAGTLNFILFVLQPAAVGDVGALVRALGAAAADALAAAATVTFYLSITLVIAHIRAGGEGGGGNGAVVAGCGRVHASVHLLKKLAIGAASALVILMPMALFFK >Et_7A_053127.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:7328338:7329042:-1 gene:Et_7A_053127 transcript:Et_7A_053127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSELPSDAFAFPCRDDGSPTALSPPVVISVLASILERHIARNERALAAAAAAAATAGGDDDGEGSAAAAATRRRVRAFESGTELDMSLHAFLERFARYAEVSPQVYVVAYAYLDRLRRAGDAGVRVVRANAQRLLTAAILVASKFVEDRNYKNSHFAAVGGITAAELSALELDFLFLMQFRLNVCDSVFRSYCRHLEREVSFGSRYRAGKGLVCAGEARAQQARHRQAAAAQ >Et_2A_017474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34734391:34735176:-1 gene:Et_2A_017474 transcript:Et_2A_017474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDYVFKIVVIGDSAVGKTQLLSRFTYDEFCFDSKSTIGIEFQTRTVHIDRKRIKAQIWDTAGQERYRAVTSAYYRGALGVMLGYDITKRHTFEHVARWVDELRAHADKCIVVMLIGNKSDLSGRVVSTDEAAAFAEEQGLFFSDASALSGENVERAFLRLLQEIHANVSKKSLETARKVNGNGREYGSDVLMLKGAKLSVSEEISLMETSAMKRASTCSCY >Et_2A_015663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16712657:16716417:1 gene:Et_2A_015663 transcript:Et_2A_015663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSERTIVWFRRDLRIDDNPALAAAARDGSVLPVFIWCPVEYGQYYPGRCSRWWLKQSLAHLGKSLESLGCPLVVIRAEGSTLAALLECVHLIGATRVVYNRLYDPISLVHDDKIKKELSACGLSVQSFNGDLLYEPWDVYDANGHAFTNFNMYWEKCMKLSVLSPSCAPSRLVPVPGIENVCSGTTDDLGLESSKDEESSNALLGRAWSPGWRNAEKTLEEFVSSGLLEYSKHGMKVGGTTTSLLSPYLHFGELSVRKVYQLVKMQLAKCDNEGKSEAEESVSLFLRSIGFREYSRYLCFNFPFTHERSLLGNLKHYPWLMDEGRFKSWRQGMTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKFLQIPWIWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVQGQKYDPDGEYVRTWIPELARMPTEWIHRPWDAPRSILEVSGVELGFNYPKPIVELHMARECLDDAITTMWQLDTAEKLAELNGEVVEDNMNYTKSSNIPTVVLKKELSPSVSSFSRRIIQADVTNAADMEDTGSTANLQVSRKRFHRYLTQKADRNGACEVSILWGNKYRTLGFM >Et_5A_042612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21977901:21979955:1 gene:Et_5A_042612 transcript:Et_5A_042612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCLPQVHHHDMRPCDSRHGRILLHNNSEYYEYLIIAIWDPITNEKQELPNLPPRRLSPKSWNAAVLCDAIARPCDHLDCHGGPFIVVLVGTEGKEMFSCVYSSKVDACSAWSEPISETETQCDIRLGCERRALLGNTLYFVATENKGILEYDLGTQQISVIQLPLWEDPICPSFIELTTAENGRLGFVRVEKFELRLWSRADVVGRWEISKVINLKRLLPIDCSWSTMPILLGSAEGIGVIFLKVKNEIFTVDLKSSKVTKVYEASCISMVVPYLNFYTAVFSDLLEAWIINKVEYWERLQMMYQERLPRELDNANGQTAVFNVMVKSSSTLDLGAWQFGGISVIGAEKSFDVKDKNKNGLLKTETDLE >Et_1B_010398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10107331:10107882:1 gene:Et_1B_010398 transcript:Et_1B_010398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPHLTLHRHPMCAEIIEEFQRCHLDHPIKKFFGECTDLKIKLDRCFRQEKAVKRKANFEASKKFKEQLDAYKREMAEKTEE >Et_10A_001251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22670700:22675937:1 gene:Et_10A_001251 transcript:Et_10A_001251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVEKYEKLEKVGEGTYGKVYKAQDKVTGQLVALKKTRLEMDEEGIPPTALREISLLNLLSHSLYVVRLLAVEQATKNGKPVLYLVFEFLDTDLKKFLDPYRRGPNAGPLPTQLVKNFLFQLCKGVAHCHGHGVLHRDLKPQNLLVDKEKGILKIADLGLGRAFTVPMKSYTHEIVTLWYRAPEVLLGATHYSTGVDMWSVGCIFAEMVRRQALFPGDSELQQLLHIFRLMGTPTEEQWPGVSALRDWHEFPQWKPQSLARAVPTLEPEGIDLLSKMLQLDPANRISAKAAMEHPYFNSLDKSQDSKDKEKLKARKRDKEEVVSFDIPLMPVSTNGDKAPLERGSLRKQLETVQRKR >Et_3B_029562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2644038:2647327:-1 gene:Et_3B_029562 transcript:Et_3B_029562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLAFAQDSPPLSIISAAKIAGVSLTMDPSLASGAVPTLHFSSGDFIHGINTILRYIARVASVSSFYGQDAIQASHVDQWLDYAPVILSGSEFEAACSFLDGYLASRTFLVGYSMSIADIAVWSNIAGTGQRWESLRRSKKYQNLVRWFNSIALDYASTLDEVVSAYVGKRGIGKSPAPILKEKVHDSKENTSGPEVDLPGAKVGEVCVRFAPEPSGYLHIGHAKAGLLNKYFAERYKGRLIVRFDDTNPSKESNEFVENVLKDIDTLGIKYDVVTYTSDYFPKLMEMAENLIKQGKAYVDDTPKEQMRKERMDGVESKCRNNTVEENLALWREMVNGTERGMQCCVRDMGLRRVEIYEFSRLNMVYTLLSKRKLLWFVQNKKVDDWTDPRFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTINKKIIDPVCARHTAVLKDQRVLLTLTNGPEKPFTRILPRHKKYEGAGKKATTFTNRIWLEYADASAISAGQEVTLMDWGNAIVQEIKTENGLITQLVGELHLEGSVKSTKLKLTWLPDIEDLVSLSLVEFDYLINKKKLEEDEDFIDNLNPCTRRETPALGDPNMRNLKQGEIIQLERKTYYRCDVPFVRPSKPIVLFAIPDGRQQSAAN >Et_1A_004908.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:15612223:15612363:1 gene:Et_1A_004908 transcript:Et_1A_004908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANSTSLDERKLFLIFCVVELCGYFDRPDKICYCCPTEAEKSIVT >Et_2B_022212.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1396486:1397634:-1 gene:Et_2B_022212 transcript:Et_2B_022212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLNVASSRRGGQCLCSPGLLNLLVLLSLLSTNLLVLLAFLSPDARVPSGPASAAANGSSSAISAQVAAIAREIDSSRLPYRPPDGSLPPELLLFLSPHALPLGRDARTGLTHMPASVAHACFRSPSTLALLSAYASYAPHAACPRNATLPHRLVSKGCEPLPRRRCLSRGPRAPLPASNMGLDSRRWVKPRHDHEFLIDDVLRLSSSAAAKIRIGFDVAGGAANFAARMKERGVTIVTSVLDNAGKPMNEFVAARGLFPLLLSPAHRFPFYDGVFDLVHVGTTALDEGGAPALGLAGTEEALEFFMFDVDRVLRVGGLLWIDSYMCRSEERRQMVVKLIGRFGYKKLKWVVGEKAGSGSASTKTTMYLSAVLQKPARG >Et_4A_033745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26316643:26318632:-1 gene:Et_4A_033745 transcript:Et_4A_033745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLAAEPDGAGKEEEKEEERIKSAPGGAALEGLVVGSYCHDVLLRGGRVVGETLGGAAAFVSNVLDAVSPRAEEGIEAPLVVVSKVGHDFAYASAPAPARHPPLLCAAPTTSFHAQFSDAAASAHAPDRELRRVCACDPIYPADLPDRRFAYGLAVGVAGEVLPETLERMIRLCRAVLVDAQALIRAFDGEGAVRHVALEGTPYARLLPRVAFLKASSEEAPYIGVETARRRCCVIVTEGKEGCRLYWDGGEARVAPFPAVQVDPTGAGDSFLAGFAAGLLWGLSATDAALLGNFFGAAAVSHVGVPTFNAKMLQAVKTILEGKVKHCNPCINGTVNFERSNMHDELHTSLQEVAMLMSEKQEIDPANGIGDICST >Et_7A_051302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16885687:16886171:1 gene:Et_7A_051302 transcript:Et_7A_051302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPINVQGFVVTGVSYYLQAWCMEMKGPVFLAAWAPLCFILTIFVSYFFLGETVHLGSILGGILLCGGLFSMLWGKSKETKALFGTDSLCASPPACAIEQPKGLHGNSLCEKGSAESVLVSTWKQNP >Et_9A_062584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24207275:24210880:-1 gene:Et_9A_062584 transcript:Et_9A_062584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIIKRGVLVEDETEDAEISKEDKAHLVVSTKNTLQGLASRHTDVLKSLNPKIRKRVEKLREIQGQHDELEAKFFEERAADKAKYQKLYKPLPTKRYEIVNGVVEVEGITKESADETPAEQKPADEEGKEEKGVPDFLLNAMKNHEILAEEIQERDDEALRYLKDIKWYRISEPKGFKLEFYFETNLFFKSSVLTKTYHMIDKDEPILEKAIGTEIEWYPGKCLTQKILKRKPWKRSKNTKTITEIEECESFFNFFSLPQVPDDDEEIDEDTAEQLLNQMEKYYKIGARKLLVGEDSREQDLWGANISE >Et_8B_060705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5262974:5264135:1 gene:Et_8B_060705 transcript:Et_8B_060705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSSTELQQAHAELWNLTYSYLKPMALRCAIELGIPNAIHRNGGATSLADLLAVLPVPEPRKPHLPRLMRFLVVTGVLALDGAGAYRLTPLSRLLVDDAGVNGCTSLAPFVLSQTTQFHVGAALRLSKWFRAGDAAVEMPFKMANVGMDPWEAMRRDPEMNKVFHDGLGADSQLALDFVVTRCGEVFDGVAALVDVGGGNGSSARAIARAFPHVKCSVLDLAHVIGDIQPSDDGVQYIVGDMMSSIPPTDAVLLKYILHDWSDEDCVKILKVCKKAICSGKPSGGKVIIIDTVVGSPAKDMFEAQVTADVLMLVMTTGKERDEHQWRKIFIDAGFMRYKARPVLGFLSIIELYP >Et_5B_045788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9835722:9839833:-1 gene:Et_5B_045788 transcript:Et_5B_045788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESHFFTPSNDSLVDGYLRARIDVGNICDHAMASLFHDADVCSARPHDLVRDHLAARVPCRDAGGGLQWFFFSPARFDGKSTTRRSRTIDGTNEKESWHSEGSSKPVEGSTGGYVQKFSYHVRTATGTVEKPGWIMAEYTFKNTRPGDVVLCKVYRSPRGPGRSNASSSSSTSSAKSGTKRKAADHGEAPRPSTRPRLDVGDEDDVVMFAEDIERDLLSRGGYPADDTAPELEETMQNVVESPHPEPQVAPAASVEGVDMTLQEMETLLMTDVEDDGTALRVALWASSSAPDPVYVTWRSASLLLLMKDMRVTVHLFSQIVRGRENEYVLTGAAWDLGIWPGEEIRTERATSWYFLKLATESEGSNHPSEAAAAATGLPMETAKGSGGGLSTTTIRPLAAAAASGVAYGEE >Et_3B_028418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1515383:1532147:-1 gene:Et_3B_028418 transcript:Et_3B_028418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARNRLSLSGSATKPVPPPHSQPSPATRPEAAPMSRFWKPGSEKPSTLLVDDEEGGVVFLSSSTSSASSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWADGGRLIGCTQPRLASRVAEEVGVKLGEEVGYTIRFEDQTNPGMTMIKFLTDGVLIREMMEDPLLTKYSVIMVDEAHERSISTDMLLGLLKKIQRRRPELRLIISSATIEARSMSTFFNIRRRNSLLGSSDDMPNPEPAILSVEGKGYTVEIHYVEEPVSDYLQAAVNSVLLIHEKEPPGDILVFLTGQDDIDTAVKLADFALVLWPSTDLIFAPTSKGKRKVVISTNIAETSLTLEASARQRAGRAGRVRPGKCFRLYTEEYYLNEMQAEGIPEMQRSNLVSCVIQLKALGIDNILGFDWPASPSPEAMIRALEVLYSLGILDEDAKLTVPIGFQVAEIPLDPMISKMLLSANDFGCSDEILTIASFLSVQSVWVSVRGVKKEFDEAKLRFAAAEGDHVTFLNIYKGFHHSGKSSQWCYKNFLNYQALKKVIDIRTQLLRLMKGFGIPLKSCEGDMKAVRKAIIAGSFANACHLEEYSQNGMYKTIRTSQEVYIHPSSVLFRVNPKWVVYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQNLGFSSESVSQPSQQPPASHLRAAGPSLKSVAYLPSFRDVDMDVESKSVAIDRRPERWR >Et_7B_054043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14626698:14636705:-1 gene:Et_7B_054043 transcript:Et_7B_054043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLAICHWKKNKNSRSEEINARLGGASPCSGAGPVRIIAARSTDAARRGLPPARLLPPADELVQARAPDAAARASRRHLVTLARSTTGPPYTSTNSSSVMEPDLLQLLHLPPCQDHLIVNSPFFGVAAGGGSVHYTSSESGFVAPAAALAADLVDDCGWMEDLMQFGDELFGGDHNVAAGTDDHQPSQCEGGSPPSMSLDGDGSPPSVEQGAGGEQDDVSAATRKRRDRSKTIVSERKRRVRMKEKLYELRALVPNITKMDKASIIADAVEYVKNLQSHAKKLKEEVATLEAQPRSPVRQQQQQQQQQQQPKSHVAAAAARRKGRGAPPPTDDEESMGPAGARVTHVGATQVGEGRFFVTVECERRDGVAAPLCAAVESLACFRVESSRLGRSGPDRVVSTTILKASDQLGDATTISEGTVKLWMMAALLKEGFQPEATHSLALQHLSPHDHLMVSACFFNACGSAAHFSFSSGGGAGGLIAAPVSLDQCEWVQNGLMQLGGEVFHGGVSITSVGTAADCQPWRCDGGGSSDGPRPAAAGVSFDGDGDGGEQQGVRDQLATELNRGGNVAGARKRRDRAKTTVSERKRRVRMQQKLYELRSLVPNITKRTAKTLSGNARIHLSLSMYGSLEVTLANFILSSCNCGNVHADGQGLHHRRRGGVRQEAPGGDRGAADINPAGCPERLPPTALGARAAHVGATRVGEGCRFLVTVECERRDGAAAHLYAAVESLACFRVESSSLGRSAPDRLVSTFTLKATCEAESATIGEGTVKLLVMAALGEEGFRPEAKAGIS >Et_5A_040748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1224392:1224722:1 gene:Et_5A_040748 transcript:Et_5A_040748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCEGFFEKRLSGLGGSHDDGKILLFSWAGTEIITYDPRTNTCTDKANMRSFDAVGLFTGNLLRFPTR >Et_2A_017083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30844266:30853063:1 gene:Et_2A_017083 transcript:Et_2A_017083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFEPFRAIGYITSGGVPFSVQRLGAETFVTVSVGKAFHVYNCAKLNLVLAGPQLPKKIRALASYKDYTFASYGSDIAVFKRTDQVVTWSRHDEKVNILYLFGEYVLSADIKGNVFIWAFKGAEPNSEPVGSISLGDKFTPTCIMHPDTYLNKVIFGSEEGPFQLWNISTKKKIYEFKGWNSSIRCCVSSPALDVVAVGCSDGTVHVHNIRYDEELMSFNHQIRGAVTALSFRTDGQPLLASGGSSGVISIWNLEKRRLHSVIRDAHDGSIVSLHFFANEPILMSSAADNSIKMWIFDSNDGDARLLRFRSGHSAPPRCIRFYGNGKCVLSAGQDRAFRLFSVVQDQQSRELSQRHVSKRAKKLRVKEEEIKLKPVITFDCAEIRARDWCNVVTCHMDTPQAYVWRLQNFVIGEHILTPSSGTATPIKACVISACGNFTILGTEGGWIEKFNLQSGISRGSYLDTSLQCAHDREVVGLACDATNGSLISAGYHGDIKVWDFKNCKLKSRLDVGKSVTKISYHRANGLLATVADDMVLILFDTVSMKMVRRFEGHTDRITDLCFSEDGKWLISSSMDGTLRIWDISLAKQIDAMRVDVSITSLSMSPNMDVLATTHVDQNGVVNQTLFSASTNVDSYASGKHVRNVRLPTVSSTEISEEEQVHNSGDSKQSNIKPFVVNNQIPNLITLSLLPKSQWQSLINLDIIKVRNKPIEPPKKPEKAPFFLPSVPSLSGEILFEPHASTKETDSSSTMNTNHKKMADLSSHFSQLLQSCGETKNYSTFTDYLKGLSPSSLDMELRMLQIIDDDDDDDDSENMEDKPELQSISLLLDYFIHELSCRNNFEFVQAVLKLFLKIHGETMRRYSVLEGKVKKLLEVQSLVWQKDCSSAADSCFLMMSIPAVGATNLLFRVYTFPTTPMTLTIMAKPNNPSDRILSTCPSRHPSLSSLSATRAGNMNPNASPVVAPVNLKAIQILGIIVEPR >Et_9A_063315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19827822:19831689:-1 gene:Et_9A_063315 transcript:Et_9A_063315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVSTLKINSDGAFISNDLSGATGVVVRKSYGSFHMATARHLTLVALVLVAEAEACRCGVQIVPKGARVHVPAETDSKQLLDSMSFRTVQMYRASAFIPDPNQELLDAKPLRSLAPMFPAPMGVNVNQSSTPPLVCVTPVGQFPTGFGAGNLPAFGSINTFSTTADGVSYAGTSANGPIDATPISAYKTRSNIISFDGDDGPHSGNQTLGSERKPRRGRPPSSSADGSNGVKIKRPKPTNFVAGKELAFLPPSSTDPRDIVEAVHMTFEAVRRRHLQLDEIEETSKRPDLKTGAIMMASNIRANSVKHIGTVPGVEIGDIFYFRMELCIIGLHAPSMGGIDYMNAKFGNDEDSVAICIVSAGGYENEDDDTDVLVYSGQGGNSKNTEERHDQKLERGNLALERSLHRKNEIRVIRGFKDPACATGKVYVYDGLYKIHESWKERTKSGINCFKYKLLREPGQRDGAAVWKLTQGWIANPATRGRVILADLSSGAEMLPVCLVNEVFRTTNRGWGLRSWDPIRAGAFLCEYTGEVIDELKVNLDDSEDDYIFQTVCPGEKTLKWNFGTELIGEDSTYVSADEFEPLPIKISAKNMGNVSRFMNHSCSPNVFWQPVLYDHGDDKHPHIMFFALKHIPPMTELTYDYGVAGAESSGAVDSADIYF >Et_1A_008114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4473048:4477907:-1 gene:Et_1A_008114 transcript:Et_1A_008114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELSILCDAEVGLIVFSSTGRLYEFSSTNMKTVIDRYAKAKEEQLGMNNATSEIMLWQREAASLRQQLHNLQESHKQLMGEELSGLGVRDLQSLENRLEMSLRNVRTRKVDYLLKSEIEELHKKGSLIHQENMELCRRVNIMSQQKLELHKKIQASEQRGIVDANKSPSTSYSFGVAKDADVPANLELSQSPHKEGGQREAGAPELG >Et_2B_021222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27604207:27606450:1 gene:Et_2B_021222 transcript:Et_2B_021222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMVAMTAKSKNSVLAVEKKQGWSIPLPELRFPWDSHEDKSFSLSLQGSSHAHGGLFASVGLKVSTGTPAVATSPGEKDVKIPFADHCVKYVSEAVGYQAVATEAEPVEEEEVDGKTRKKAKKRGMKLKIKIGNPHLRRLVSGAVAGAVSRTCVAPLETIRTHLMVGSNGNSMTEVFQSIMNTEGWTGLFRGNLVNVIRVAPSKAIELFAFDTAKKFLTPKADESPKTFLPPSLVAGALAGVSSTLCMYPLELIKTRLTIEKDVYNNFLHAFVKILREEGPSELYRGLTPSLIGVVPYAATNYYAYDTLKKLYRKTFKQEEISNIATLLIGSTAGAISSTATFPLEVARKQMQVGAVGGRQVYKNVFHALYCIMEKEGIGGLYKGLGPSCIKLMPAAGISFMCYEACKKILVEDDEESD >Et_2B_020138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17172730:17174555:-1 gene:Et_2B_020138 transcript:Et_2B_020138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAVVSKDLNLPATGARTTPPPTMSSPGLLRYRSAPSNLLDQVCAEDFKAAEAGAAAHRPDHAADAALARFLAGHHAEIVDCKPPRPAAAAHHFLDDAASMASQHQQQLMYQSQQQQMAAMEALYRTLSSGGTEPAAAAAAAVGSGNNLLRQSSSPAGFLNHLNMDNGYGNMLRAGMAGGFRNGGDARLKGQLSYPSRQGSVMSQISEMGSEELGGGSSSPEAGSNGARSYSGIPAGYPISGGGWDDASPAPPDQGHLSGVKRPRDAASDPAARATNGHQLAPQLSLPSDGKASADMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTRISERIRKLQELVPNMEKQTNTADMLDLAVDYIKELQKQVKVLNDSRANCTCSASKLQNQFTC >Et_10A_000222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18441591:18442839:-1 gene:Et_10A_000222 transcript:Et_10A_000222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAEWNDERTRIICELFAEQVQAGNRPNTHLNNTGYRIVAAKFQQRTQLLYTKKQLKNKWDKLKSDYICWRKLLVIGAGLEWDSARGTFAADDNWWKKINTELPGVRRFRNGGMQNEDKLKVMFDYILSNGVDPSPAATDSTVYGVDHSPLATDGLPDDSPLATDGLPSAPDSPVHRMDDSPRTTDDLPPAPDSTMHGVNLHRSDNNTEPNGVTHPVLESVFPLNRNKKRLTRVNVPKKAKKTKTEMALLMQSHLDRILELAQKAQVTFEKFSSRADPPSANIQDVMTLVRECGARCGSNEHFIATELFVNKEQREMFLTMETPEERFQWLRRKYISKYLSSPSMGLRL >Et_2A_016354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23746560:23746924:-1 gene:Et_2A_016354 transcript:Et_2A_016354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGALCNLTGDDGARIVRFVSATSSLSRTARLAGGRWIPTTAASSSNGPSTSFAVWDPLADPLQELPPLPRLVRSRFNATVVCAVAGDCDHLDCPFLVVFLGSDYREQKLFAYLYSSIP >Et_4A_031950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32382206:32382613:-1 gene:Et_4A_031950 transcript:Et_4A_031950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCRSRVDVKSCLGPISSATRTHTAVAVAVAVAGGGVGVAEAACLDVADVLHEEVVVALDVVHRLAASHRGGDALPVFA >Et_10B_003578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3347727:3349004:1 gene:Et_10B_003578 transcript:Et_10B_003578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGLIAEVGWTEFDFSFQGEESEIMSQLFGGFPSHGEGQGGHQELPWSDQASSAYCNSTGNGLGLPSDYEGYYLSNSSEALGISSCPASDELGLVQPQGATEFLNMFSNHPLAFYGNGGLNQEDPDDSCMTMLDSVTNKRKHSGEELDGQTRGRKRARKGETKGLKKAKQSGDEDDDMPITSGSPTSCCTSDSDSNASQESADADARPKAKARAARGAATEPQSIYARKRRERINERLKILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDTWMYAPLAYNGMNIGIDLNMQR >Et_8B_060858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9448701:9450284:1 gene:Et_8B_060858 transcript:Et_8B_060858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCGIKVQGRGNQGRIQNLGSLLKIKILVPNTNTIKGIIQDSPVVVTRRYMQRTLVAASSLMILSGFMSFDSNLAVVKMQQVIKAHFIREAGAPGAMVHHQSSGAPANPNEVCRGARCGCWTRAGSFS >Et_6B_048326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12551262:12552449:1 gene:Et_6B_048326 transcript:Et_6B_048326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPPEDQEESAAVAAAPPPVPPDDVSAPARGGAVLGLPAPVLFAGDEEDVVEEDGGARRRYEWEDELYESDDTDSDFEDNDWRFWDAGGGDAAGMVAAGPAEFLGRPTRFASFQNTAAFMRLAAAEAKPPAPGGEIVVHYRYTRFSRPRQGGDGDDGDGVEVRNWATKTYDVRFLVPFPVAAAGDGDTASSLRLAGAAVAAHIYPKRSYVQLQALWASMVAAAPVRVPQRATRVVVTVDAGILRRDDATPGRVARMLAEVEAMARECDALDMTCGTVMHLPAPEEECAICCEAMEKGLAAWPRCSHVFHGRCLEELLVRGPHRCPMCRRKLWTTS >Et_3A_025240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28121733:28127961:-1 gene:Et_3A_025240 transcript:Et_3A_025240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRNAIPRRAHKERAQPEVRKKFGLLEKHKDYVVRAKAYHRKEDTIRKLKEKAAFRNPDEFYFRMVNSRTVDGIHKPKPEANKYTEEELLLLKNKDMGYIFQSIQSEKKKIEKLSSVLHELDNKCPNKHVYFAEDREEAKEIQSRIEENSKLPVLDIIPSRIKKKTASSYRELEERKQRLQKLEKLYADMALQKELKKPGRKRKLREDEMENPTSQPVYKWRAQRKRYMEFKAIISDMPADNCCCKHPAAREGGRTTHLEAGGRVVDAGVDDLAVAGAAAGAEAGGRLDHEHLAPGHRQRARHREADDARADHHGVRRLPGDPGGGQPREATAPPPAGPSQAPQREHARLLSGHRWLGCPA >Et_1A_007772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38087721:38090636:1 gene:Et_1A_007772 transcript:Et_1A_007772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMDGGVGVGGGGETAAAARRWRLADERCDPRALETDYVRRFHQHEPRDHQCSSAVAKHIKAPVHLVWSLVRRFDQPQLFKPFVSRCEMKGNIEIGSVREVNVKSGLPATRSTERLELLDDNEHILSVRFVGGDHRLKNYSSILTVHPEVIDGRPGTLVIESFVVDVPEGNTKDETCYFVEALLKCNLKSLAEVSERLVIKDQTEPLDR >Et_7B_054351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20240943:20254050:1 gene:Et_7B_054351 transcript:Et_7B_054351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRAHAPRGGAWSLQSSAGGGGGGGGGVAGATTEPDWLGFEGLGTSEEKRRADGPSPLAASKPLRIRPTRTDPHYRLARCGGGNKRAREAGRRGQTRGLAAAEAAMATTSADGLPVEPQSLKKLSLKSLKRSLDFFAPAHSLLFSPDAESKRIRTGCKVRAEYGTVKDLPTEQGRGGQGKAAATPSSSSTALALPGIQDTNAHREGTSNAIVPAPLMLPKAPESKIPGKNTTLSIPGSSDRFSTSALMERIPSRWPRPVWHAPWKNYRVISGHLGWVRSIAFDPGNEWFCTGSADRTIKIWDLASGTLKLTLTGHIEQIRGLAISQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDVLLTGGRDSVCRVWDIRTKAHVSALTGHDNTVCSVFARPTDPQVVTGSHDTTIKFWDLVAGRTMCTLTHHKKSVRAMALHPKEKSFASASADNIKKFSLPKGEFLHNMLSQQKTIINAMAVNEDGVMATGGDNGSLWFWDWKSGHNFQQEQTIVQPVPGKTHERVHLPCMHVLVCDHQSRLEPTSRAESPDSGQTGRAPAAFQKNCVMT >Et_5B_045336.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:18816079:18816357:1 gene:Et_5B_045336 transcript:Et_5B_045336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVGTFATAKEAAHAYDAAIFCFYGDRIPKTHRINFPTAPRPNIAEDVRVGLTNADIKAIAEEYAHILAEYVPPPLPMAEVQPLLPTSTVET >Et_9B_063801.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15065478:15065870:1 gene:Et_9B_063801 transcript:Et_9B_063801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGFGFPRGILPVGVTGYRYRPSDGAFEVYLGRDCEFEVDGGYRLTYRRTISGNVEGGSIRNLGGVSVRMFLLNWGIDRVVMEDPAHLMFYVGPLSQAFPADNFDESPQCRGRGGAAVGEGGSDVAAAI >Et_9B_063865.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18213343:18213531:1 gene:Et_9B_063865 transcript:Et_9B_063865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLKVRILSVAAAAAVVASSLVATASAAEGPAPAPTSDASMAAPAFAAASLTALVFGYLF >Et_3B_028968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2145581:2151581:1 gene:Et_3B_028968 transcript:Et_3B_028968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVHLYVAAACAVVLALAAPSIAGDPDMLQDVCVADKASPVKINGFPCKANVTADDFFFDGLRNPGNTNNPNGAVVTAANVDTFNGVNTLGVSLARIDYAPGGLNPPHTHPRATEIIFVIDGVLEVGFITTADKLFSKIITKGDVFVFPRGLVHFQQNRGHGPATVIAGFNSQLQGTQQVAMTLFGATPPVSSDILAKAFRIDNKLVDVIKASSPIRRCGLHRRPRARRAFHRRRPRHAAGPIKLNGFPCKADVTADDFFFPGLKNPGNTNNPNGAVVTAANVDTFPGVNTLGVSMARIDYAPGGQNPPHTHPRATEIIFVLEGTLEVGFITTANKLFSKIITKGDVFVFPRGLVHFQQNRGPGPATVIAGFNSQLQGTQAIAATLFGAAPPVPTDVLAKAFRIDNKDVDEIKAKFAPKFATILYKIKVKFKNMYELQILEIYLVVIGYCGKSSLII >Et_10B_003277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18425290:18434588:1 gene:Et_10B_003277 transcript:Et_10B_003277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQFRMTPCYHYFHQTCIFEWLLVDRRCPGCRTKHGCYICREDLEMGQQFRMTPCCHSFHQTCIFEWLLVNRRCPVCLFALPSDEEQHLLDEEEARAKDGDGEDQFRDGTQLSFTGAGRMLLGGVFIVASLAIILRKQIPGRKNANILSSQKKVLVASQLAMSCGQETVD >Et_6A_047594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7922114:7925126:-1 gene:Et_6A_047594 transcript:Et_6A_047594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSRGNAEAIHVVMFPWLAFGHISPFVQLSRKLVSAGSFRVTFLTAAGNVTRVQAMLASAAAAGAVTVRALHLPTVPGLPAGAASTAEVSADGAELLKLSLDGARPQVAALLADLRPRVDAVLFDFTTPWVCDVAAPLGVTPLYFNVFSAASLAYLHVPARRRSQQQRLPSAEDLKSAPSGFPPDAAALATLPAYQAAELTYGYASFHGMPSVYERFVASLERSDGCVMKTCAEMEGSYISNVSAQFGGKPVLLAGPVVPEPPQGELEEEPWASWLSSFPENAVVFASFGSETFLPAPAATELLLGLEATNRPFLAVLNFPRDLDANAELEARIPPGFKERVKGRGLLHTGWIQQQHILRHRSVGCYVNHAGFSSVVEGLVAGCRLVLLPLKNDQYFNAALFGTRELRVGVEVARREEDGWFGRGDVTEAVAAAMAPDGECDAGKWRDFFMDDAVQARFADKFIAELKEINAPKTNPVGVCNKPNKILVPCHECVATTPHLPLPIKIRQRSSTFTSLVCSDQITTSSGGPAMDPDTEVDVDLSPYLIRYKSGRVRRLMGTSKVNAGTDAATGVTSKDVVIDAGTGRLAARLFVPADVLGTPAKLPVLVYFHGGVFLIHSAFSPSHTSLLNALVSAARVVAVSVEYRLAPEHPLPAAYDDGWAALNWAVAATTAAGPGREPWLADHGDAARLFVAGDSAGGNIAHQLALRAGRRAGGTLPGGARIEGMALLHPYFRGEELVPSEGTDRRRLRRSKRIWAVVCAGKYGFDHPFINPLATPADDWAALGCRRALVTVAELDDMSDRGRRYVQALRNSAWPGEEAALYETHGERHVYFLEKACRKDKMQKEMATIASFIASSSNAARMERQSERSSRRFDAKL >Et_4A_031793.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:15558661:15559074:-1 gene:Et_4A_031793 transcript:Et_4A_031793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLYVLTPKRIIWDCEVKEIILSTNSGQIGVLPNHTPINTAVDMGPLRIRLLNDQWLTAVLWSGFARIVNNEIIILGNDAELGSDIDPEEAQQALEIAEANLTKAEGMKELVEAKLALKRARIRVEAINWIPPSN >Et_2B_021814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5744679:5748658:1 gene:Et_2B_021814 transcript:Et_2B_021814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAGRIAAAKEGSYFLQESKNAVGRLAQKLPVSASPQGPAASVQASPDVLPEILRHSVPIKGTPPPAGASLDASSRWALPPGKAVGASPDALNPLRSFVSLPQATFGPKRWQLPNEQPQYSASTANDRRRDKSPPPMDPEKLKAVIAGYSQVGKAFVAATILIFGGATAVLLYTADKLQLHSVDDVRTKGKDALRPRADMIKEKITPLRSWAEEMSRKWHFERDKEAKEKSIMPYLFNLRAQR >Et_3A_025822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33102499:33105271:-1 gene:Et_3A_025822 transcript:Et_3A_025822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGAPWAERARVVGTQIRNRFRVAPVDRRWLWQRADGRVATEAIRRWSDRVRTLVQRVRCVDQSSTSPGTSPEAAAKSSSALRFYRKKVGKDVDGIEESVIFRSLQALAVPLIGNACYVFMHGLNSIQIHGAEKLHQALQGRAKGKPLLTVSNHVAAMDDPFVIASLLPPSVMLQAQKLRWTLCATDRCFTNPVLSTFFRSVKVLPVSRGDGIYQKGMDMALSKLNSGGWVHIFPEGSRSKDGGKTIAPAKRGVGRLVMDADNLPIVIPFVHTGMQDIMPVGKRIPRAGKKVIVIVGDPINFDDLIIDNCDDTQHISRGILYDKATERIGQRLQELKAEVDRLAAEQQSEPQNHYIQNIKDDVYRLWQQVDWEAFGIGSSMSSEPSSVQEPSKIVKPELNLAVEPSLSPALSASVSYNVTVPH >Et_7B_053893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13046193:13047706:-1 gene:Et_7B_053893 transcript:Et_7B_053893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMWVFGYGSLIWNPGFNYDARVVGFVRDYRRVFYQGSTDHRGTPQYPGRTVTLEHQPGATGWGVAYKISREEDKQVALEYLEVREKQYDEKIYLDLYTDSSPKVPALKNVMVYLATTNKESNRNYLGPAPLEEMAKQIYLAVGPSGPNKEYLFKLEDALNKLGVEDSHVQELMNAVREYSDAQLSSEGSHFPVG >Et_9B_065681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9073374:9077718:-1 gene:Et_9B_065681 transcript:Et_9B_065681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPMHAGCIQQVCYYIGDLVFTLLSHRIDQEQTPEDAEDGPLEMLFIHGGHTSKIYDFSWNPCEDWIIASVAEDTILQIWQMSQNIYHDEDDQQSFLRVGLQALAPQPE >Et_4B_039530.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:20800212:20801882:1 gene:Et_4B_039530 transcript:Et_4B_039530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVIPEPLSEVVVRVEPGRQARGELTLRNAMHTMPVAFRLQPAVRGRFAVRPHTGILAPLAAVTVEVVYLAPAPPEAPTGGGGGGSRGEDAFLLHSVVAPGAAVKEPVTALDSVNPEWFSARKKQVFVDSGIRASFVGASVAARLVQAGAVEALREVLDRGEPEWRAADAADESGRTLLDLAVGLGRADIVQVLLEYGADADKPSRGRTPLESAAAAGECLIAELLLANGATPAGSDALHVAAAAGHDDVLRLLLRKAPASPATASSSSASFSSSSTSVDAAGRDGKTPLRLAAEAGRRDAVRALLAAGARAEARCGADGATALHAAARRGDEAVARLLLSHGAAGTAAVRDASGKTAFEVAAEEGHAGRIMDLLGLGEAVLAAARRGEARAVRRATDGGASVEGRDAHGWTPLMRAAFKGRADTARDLVARGADVDAADAEGYTALHCAAEAGRAEVVDLLLKNGANAKATTAKGRTAAEVAAAAGRSKVVRLLERAGAMGRKDVGEKVSPAVAKGGSMDRRRRARKGSSGTIRFGGGKEGFEAAAVTVGWSR >Et_10B_002455.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:7555614:7556165:1 gene:Et_10B_002455 transcript:Et_10B_002455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASNRLCIERQNWPQRSSQTYALSRNNFSPITQDMEGFLHNVVNMGFVDRLKLAWKIIFPAPVIMESSNANIAKQRLKMILFSDRCEVSDEAKKKIVENVIKALSEFVEIESRDNVQVDISTDAGLGTVYSVTVPVRRVKPEYQESEEQYRGKIVGVDFKDTGESSGNVDVTFDFFVPNENC >Et_1A_008295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6062087:6062874:1 gene:Et_1A_008295 transcript:Et_1A_008295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DYYKVLEVDYDASDDTIKLNYRRLALMWHPDKHKGDNDVTAKFQEINEAYTVLSDPIKRLEYDFSGCYEINRYTLREYLSRFKGMILTCNGLGMDHSSKWVQHLRELEPH >Et_10B_003075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16252044:16252566:1 gene:Et_10B_003075 transcript:Et_10B_003075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIMEEREGNGQIGSSHGHEKNMWKTQKTGNYVPLPDEYGPKYWYLWGQTYGAHSVFMRLFILPTPL >Et_4A_031968.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7785323:7785889:-1 gene:Et_4A_031968 transcript:Et_4A_031968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GAQRPPLRRAAAERRSGRAPGVEAAGEGEGEHAVVADEGPDGVPERGRAVALHEEVAVPGHAVPERRRREEDRGAARGGREGHAGEGGQRADEVPPPRARLRVLAQVEPPELLHAPELLPRRLAAVPPHRACRGCFCLDRSLLLLHRPAVCWRDVCFFTYLLALSGCCGQHLFLSCRYKQMQHRICKQ >Et_8B_060768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6668694:6673443:1 gene:Et_8B_060768 transcript:Et_8B_060768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARAPRRWKLLPFRSPLPLPASKPKHRPPDSVTASPAASRKEKEEEVPAEFLCPVMGTPMADPVILPSGRTYERACVTACAELGLALAPGCGVVDREAAAGGGGAAAAAAAGVAIPNDALRAAVRTWCARSGRSPPVAPSAEEAREAVLRAAPARSASNLSSWSTSRASAASTSSSSSAGSSAEMPAVEVDVVRVKDVVKEEVEAEPVDAEEEAAVKAVEAGDETAVEAAMASLRRATREGGPARRRALCAPRLLAALRRVLLSSRHTASARADAAAALANISSEPENRVAVVRAGAVPALVDALVASPENRVPVVRAGAVPALVDALVASPDASEAREHAAGALFALALHDGNRAAIGVLGAVPPLLAVLAAGGRDHGDHAESPSPRARRDAGTALYHLSLAAVNQSKLARAPGGARRLLAVACDAAEPAELRRLALMVACNVAACAEGRAALMDAGAVAAVSAVLSASGDDAAPDSDLQRWCVAALYAMSRGSARFRGIARAAGADRPLTLIAEQASPGVHKEMAQTVLRTVLGLSDSDDVSDREGNNNGSSSLASSSRPHRRRVASWAAPAAATPPSSHHWRSVPFAKPFDMDVWDGSLQIDPHRCRLARDDAGQHSLPSGDDEQTCPPSSPQPSTLGQEVSLVFNPSIIRVSIIR >Et_5A_040254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6260508:6261485:-1 gene:Et_5A_040254 transcript:Et_5A_040254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTRRSTSLIACFQDQYVPPLAQHRHHHDAKLKALFQCQDAEVEAFKHFEDAVAEQQTPCLHEDGELRIPLEPLPYEDDEEKTLDQYEDEQEKEPGQYQDHEQKKSEQHHDGDDKKPEQHQDGEDKKPDQCLDSEKTLHQYQYEDDNQDEKQITPKEYGYDDYEHKASQQCQKTDEPASEPHVEEGEVQKAQLESCELELKTPEQRQGTKNLHAPPCAVDGVPRFSLQELIQEKQLPVREARLTSKLGGREENVLADYKVSGSGGGATGGTTLAMVIRRPEGGKKSMGMIRRCVKALNQMIKAKHGSKKNSTL >Et_3A_024322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19536838:19539527:1 gene:Et_3A_024322 transcript:Et_3A_024322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLPTKHAHHSSHSADAREAIALAAETSFTVSEVEALYDLFRKLSNSIVKDGLIHKEEFHLALFRNKKQNLFVDRVFDLFDQKRNGVIEFGEFVRSLSVFHPDTPEEQKIAFAFRLYDLRQTGFIERHELKEMVLALLAESDLNITSDAVELIVDRTFDQADLKGDGRIDQEEWNEFAKSNPYVLRNMSLPYLKDITMVFPSFVMHSEINEADMAV >Et_1B_009863.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:34908387:34911256:-1 gene:Et_1B_009863 transcript:Et_1B_009863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGAPPEPASVAAARKLHHLLRSRDLRPALSYLHSLPSPFTLLPNHALNALLRALAAAGRIRAATSLFRSIPAPTSHSFNSLLAALLRRGRTRAASALLAAFLRSPDASPDATTLNTLINGLSVASPRPSASALLKLFRFLLEAYAFAPDTTSYNSLLSALSRAGDLATARKLFDGMRASSNEDVFPNVVTYTTMIKAYCAKRLADEALAVLEMMVADGVTPNRITHNTMVQGFCEAGRMELVKKVFEMDSFKPDTCTFNTLVAAHCREGRIEDAMKVFDQMAELHVRRDSASYSMVIRALCESGEFGRAEELVDELFEKEVLKKRSSCVPLVAAYNPVFVYFCDSGKAKKARMLFGQLLDRRSRIDVPAFKTLILGHCKEGDFEQGYELVLSMLKRDPVPESECYIAVIDGFLQKGRMKSAWEALHRMLNSGLRPSTSTFHSVLLGLLKKDGCAKEAADLIEIMLERKIRQNVDLSTNLIDALFKSHLNERAYKITKCLYDNGYYIKMEKIITTLCEEKKLIDAGEFTLFSLEKHHELGVAVYSLVLDSLCMDSKASEAFRLFYELIENGSTSAVAAPRSLVMLHHALEEAGKMKEADFVAKKMRRDAARIRQRI >Et_1A_006885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28692552:28694965:1 gene:Et_1A_006885 transcript:Et_1A_006885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METENSSSSTSFTALAVASLALLAICSFYLLVGNGRRDGKTRRYAPVVGTVFHQLYHVRRLHDYHTDLFRERKTFQLLVPAGRRQIYTCDPAVVEHILRANFANYGKGPFNYENTKDLFGDGIFAVDGDKWRQQRKIASYDFSTRALRDFSGAVFKRNAAKLAGVVSSNAATKQSMDFQASLTLFQGLVLKATMDSIFTIAFGLDLGTLGGSTEGSRFAAAFDDASEFTLLRYVNPFWKAMRLLNVGAEATLKERIKVVDEFVYKRIRTRAQEPANAEAQDPDSRQDILSRFLQTATDDSGTVDYKYLRDIILNIVIAGKDTTAGALAWFIYMACKHPEIQAKIFQEVTEATNAGETASADEFAGSLTDEALNKMHYLHAALTETLRLYPSVPLENKQCFSDDVLPDGSSVSKGDIVFYVPYAMGRMEYLWGKGADVFRPERWLNENGEFQPESPFKFTAFQAGPRICLGKEFAYRQMKIFAAVLLRFFVFRLGDENASVKYRTMITLHIEEGLHVTATAR >Et_9A_063515.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:5706287:5709178:1 gene:Et_9A_063515 transcript:Et_9A_063515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHCSFVLLVLALSVFLCILVAPVCLATPAAAPATLLQVKSSLTDPEGVLSGWSTEADVCSWHGITCLPGQGIVTGLNLSGYGLSGVIPPAIGGLVSIEVIDLSSNSLTGPIPPELGMLENLKTLLLFSNSLTGTVPPELGLLKNLRVLRIGDNRLHGEIPPQLGDCTELETLGLAFGQLNGSIPAELGSLRRLKQLVLDNNTLTSGIPEELAGCARLQLLSVSDNRLQGNLPSFIGSLSDLQSLNLANNQFSGAIPAEIGDLSSLTYLNLLGNSLTGAVPGELNRLSQLKVLDLSGNNIFGNISISASRLKNLKFLVLSENLLEGAIPEDLCDEESSLENLFLSGNKLGGGIEGLLNCGALQSVDVSNNSFTGVIPPGIDRLSGLVNLALHNNSLTGVLPPQIGNLSNLEILSLYHNGLTGPIPPEIGRLQKLKLLFLYENQMSGAIPDEITNCTSLEEVDFFGNHFHGAIPEKIGNLKNLAVLQLRQNDLSGPIPASLGDCTRLQALALADNRLSGALPDTFGQLAELSVVTLYNNSLEGPLPESLFGLKNLTVINFSHNRFSGSVVPLLGSSSLSVLALTGNSFSGVIPAAVARSTAMVRLQLGGNRFAGAIPAELGNLTRLHMLDLSSNNLTGDIPMELSNCAQLTHLKLDGNSLTGSVPAWLGGLRSLGELDLSWNAFIGGIPPELGNCSGLLKLSLSDNHLSGSIPPEIGRLTSLNVLNLDKNSLTGAIPPALRQCNKLYELRLSKNSLDGPIPPELGQLSELQVILDLSRNKLSGEIPESLGDLVKLERLNLSSNQLHGTIPSSLLQLTSLHLLDLSDNLLTGSVPAALSSFPATSFLGNELCGAPLSPCRGSSSGRLSGTEVAAIVAGIAVVSAVVCVAMLYTMLRVWSNWRTVSVSSSDGEEEAHGRRGGKWGAADGKYWKVGSPVSEEKHSSASESSVLHANSKEAGAVKS >Et_6A_046620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18353834:18354358:1 gene:Et_6A_046620 transcript:Et_6A_046620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAIYSGVGGGSRAPRSNNLKALLLFILLSPSKSLQVDRMSCSCGSSCNCGSSCNCGKMYPDLEEKSTGAQATVVLGVAPEQKAQFEAAAEAGETAHGCGCGSGCKCNPCTC >Et_7B_053594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10085411:10086985:-1 gene:Et_7B_053594 transcript:Et_7B_053594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKHMVILAKGQRFRGQKTTVSMSFERSAFSSRDSVASAGSEPQRSSKGTDAAATPCSALTGEEESKSALVRREEEEREGQCPAPAPLLPPVPASRFTSAALGAATSPAASIACRCRRSGWCSAGASIGSFSPSTPPSPAGIGGRLGSDAPRAEYGGSLGGGRPNAQPPSLISPSCTEWWWCSGLRAPAWCWPAAPAIAAAPPDAAEVGWPLFLAAGTSWLCLPSYVVITDLRSCDARSTCFRTEHPGCTNLGFGLPLTTFCPYLRQR >Et_9A_063414.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:23674369:23674809:-1 gene:Et_9A_063414 transcript:Et_9A_063414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSALGLFATFATLLLAMEELDEFEVLWPDTNGGPHEPAASPSPPAQTPAEASGPPRAVLYFGLNRSRPVDVPRPARSRRWRDGGEEVVEDGEGAAKAMVPPHLLLSGRRRLETETAWTLRTPCKRARDLRRLRVSVLRMTGFIEG >Et_4B_037044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1418093:1420588:1 gene:Et_4B_037044 transcript:Et_4B_037044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQRLFRAAASGGAAAASRRCMASLATEQAAPSAAAEEKLRRRPAAERNVQWVFLGCPGVGKGTYASRLSRLLGVPHIATGDLVRDELASTGTLAKQLAEIVNQGKLVSDEIIFNLLSKRLKKGEDQGESGFILDGFPRTVKQAEILDGVTDIDMVVNLKLREDVLVEKCLGRRICGQCGKNFNLACIDVKGENGLPAIYMAPLLPPNNCMSKLITRADDTEEVVRNRLKIYNDMSQPVEDFYRSQGKLLEFDLPGGIPESWPKLLHILNLEDQEELKLAAA >Et_9A_062331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21953567:21956650:-1 gene:Et_9A_062331 transcript:Et_9A_062331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDEAVATQKTGKTASPPKDQPAPYPYPDWSAMQFKWHAKKFQAYYGPGMLPPTYYAPAIAPGHAPPYMWGPQPYMPPPFGTPYAAMYPHSGAYPHPLVPMMSNPTGVEPAKSTNSKEKSFSKKLKEIDGTAVSTGSGNSKRTTSCSEDYSAEGSSDVNDQKVSKTPRKRTSDDGPEKNAAANMEGVVASNHTLGNTSILPHHCFPAPVIKPSATNVVNSRAMATTISPPGVILPAHSGVPADLSVKDEREVKREKRKQSNRESARRSRLRKQAETEELATQVESLAQENASLRSEISKLANSSEKLRLENTALMVKLNDTATPKPAEAEPSLDKAATSSSPGGPENFLSMIDSTNTAGVSQHTEHGKPKLRQLFDSKPATDVAAVS >Et_8A_058446.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:887617:888000:-1 gene:Et_8A_058446 transcript:Et_8A_058446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRVKPAVSAMIMSAASASQRDGEYTADDLAAADQLVQLSASGGGEEEDACSSSSLSARSVNNAEAVAPMAAAAEDDEDEAGNAGVVDRRARKRYRLVSELYAATKRVKSSAAGGKRKSRERTEK >Et_5B_043039.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:16753174:16755747:1 gene:Et_5B_043039 transcript:Et_5B_043039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SIPTEFSSCFLLSLSSSEPDQTLASLRRRRRIMASPLPTPAPALPDEIVEDILIRIPPDDPKRLLRAALACKGWCRVVSGPDFRRRFRQRHGTPPMLGVLLNYNNTVRFVPTSSFRPLHADRHGCQAIDSRHGRVLLYSSPMNSQYRNFFSVWDPVTDERRQLRALPRFPYPHYRFKATVLCTAGGSCDQLDCPNGPFLVVFMASYCGSMFAYVYSFEADAWSGPTSASYRGWFSEWMRTAHVGNSVYFVLEAPIRILGYDLGTREMTLIHPPPMSNHHIVLTTAVGGGLGCATVVRSKLCTWSGEAGPNGNMRWTPSRAIEFETLIPVGRTMITFDVVGFEEDGSGVVYVGTDCGSFATDLKSGRFREVEGVSGVCNAVPFVSFYTPGTTLLNL >Et_6A_046548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16936793:16941216:-1 gene:Et_6A_046548 transcript:Et_6A_046548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEILRWRWRLRLRPLYWQVSQEDLCKYRADTADSKADAVSLSKEIHSSTMAANTRPLIPCVWGDFFLTYSPPVSQSSEESMRERADRLKGEVRQRFDNGKAMSMANIVELVDALERLSVDKDFKIEIDTALRRVHSEDLEFGSSKELYITSLRFRLLRQHGFWVSADVFDRFRDDTGGFNTGLSSDPRGLLSLYNAAHMAVPGEDVLDNAITFSRSHLEAMKGKLWSPLAEQVSRALSIPLPRFMGPLETTHYIVEYEQEEAHDTVVLELARLECNLMRSLYLKELSAFSLWWRNLYDDIKLTYARDRAVECYFWGLGVLHGKENSRARIMLAKVIGLLTLIDDTWDEDAVSILPEYIRMLYIKILTCFSEFEDILLPDEKYRMSYVRKAFKLQSKCYLLEATWSNEKYMPRFKEQVELSVMTSMLTTILVSLMGAGNVATRETFEWAIGVPDMVLACSEIGRFLNDVGAYKRGKSKKDVASTVECYIHEHGTTGEEAVEAITAMIEHAWRRINKACMEMDRALLPAAKLAVVNQGGPLEIIYYGGNDAYSFSSDLEDLVASLFLDPVPT >Et_4B_038240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27482373:27486237:-1 gene:Et_4B_038240 transcript:Et_4B_038240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGAMRRAPAACRRRAIQVFLAVLLSYALFVLFLESPVFVSTSLPGVGGSVKASRALHLDGAGEGLRAVPVRPLKHPHRDTLAAEAEPRRERRLSGIVSGLDLRHLNSTRSGSLRKVAAEAAAAGALVFSDLQNLVTLLTFAEDSSDEEERNKCPHSIVLNGDEFRERGRAVELPCGLTLGSYITCEKWIRDDDERSEESKSSSWWLNRLIGRTKKVPVNWPYPFVEDRMFVLTLSAGLEGYHVNVDGRHVTSFPYRTGFVLEDATGLSLNGDLDVQSIFAGTLPTTHPSFSPQKLLEMLPDWQAPPLSEEPVEIFIGILSAGNHFAERMAVRKTWMSAAQTSLNVVARFFVALHGRKEVNVELKREAEYFGDIVIVPFMDSYDLVVLKTIAICDYGVNVVSAKYIMKCDDDTFVRLESVMAEVNKVRNGESLYIGNMNYHHKPLRYGKWAVTYEEWPEEDYPIYANGPGYVISSDIAESILSEYVDHKLRLFKMEDVSMGMWVERFNNTRPVKYVHSVKFCQFGCIDEYYTAHYQSPRQMFCLWDKLQAGKAQCCNMR >Et_10A_001670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6595642:6598607:-1 gene:Et_10A_001670 transcript:Et_10A_001670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVAVRADWLLCLCWLQVALTLAVVVAVAVLGLALAEEQPQCARRGRSTPHRPHSVTITEFGAVGDGVTLNTVPFQNAVFYLRSFADKGGAQLYVPKGRWLTGSFNLTSHLTLFLETGAVIVGAQEVSQWPVVEPLPSYGQGIDLPGPRYRSLINGQSLTDVVITGNNGIIDGQGLTWWNWFRSNKLNYSRPHLVEFVDSKEIVISNLTFLNSPAWSIHPVYCSNVMVNNITIQTSLDAPLIDGIVPDSCSNVCIEDSSVTVSHDAISLKSGWDSYGITFGKPTSDIHIRRVNLQSSLGAALSFGSEMSGGISDVHVDHLHIHGSTKGIFFKTGQGRGGYIRDAVISDVEMKDVGVAIAFTGDWSTHPGDHFDPTVLPVISGITLKNMVGKNISVAGVLSGITGDPFTNICLSNINISLADSTNSTSWSCSNISGYSELVFPEPCLDLHNPSNSSSCLSLPSYHAVAES >Et_3A_024075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17075463:17076288:1 gene:Et_3A_024075 transcript:Et_3A_024075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATGAGGGGEIKLLGAWASPFVLRARVALHLKGLEYEYVEVDLTDKSELLLASNPVHKKVPVLLHAGKPVCESLVIVQYLDDAFPGAGQAILPADPHDRAVARFWAAYVDDQLLSSWLAIHATMTTEEEKANAVARTLAAVDALEGALADAEESGGRKGWFGGDGVGLVDVALGGLVPAIRASEPTTGLRVVDPARTPRLAAWVERFCELDAARAAMPAVDRLVEMGKKRLAASTAADT >Et_10A_001408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2807114:2815047:-1 gene:Et_10A_001408 transcript:Et_10A_001408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSFFVTGRKAKDRDKQDDADAQSVSSAAPPAREKRWWSFRRPAAAVACRVEAGASGLGPTSSQCFSEAEVRVVLVQEREQQAVAVAVPEAAIATAATVVPLSPPASETTERSCGRGDAEAAAATKIQSAFRSYLARKALCALRGMVKLQAMVRAQLVRRQANTTLRRMQALVDAQRRARDERLRLLEDDGSGRRLATTATTTPRPPTRSRRSPEHHQQSRMSLVTDDHGTHMEDDDETLAVQEVVERSSEENVKILEVDDGGDADGARRGGSCCYSTPPSRTPAKAELYLKVSPTPSALTDASARTLSGRFDDASLASASEPPCRRKAWRDAPAIPSYMANTESSRAKARRSQSAPRQRLAAASESGASVAATATAPSPSCGERPPPGWNGGGARRRASLDPLDLLGARAAAAAAGRVERCASRALPAGREVVERSTEENVKVVEVDDGVEAHGACRRGSFSYTTPPSRTPAKASELYLKVSPTPSALTDASARTMSGRFDHASLASASEPCRRRTPWRADHAPPAFPSYMANTESSRAKARRSQSAPRQRVVATSESRISAAAALPSPSSGERPLPGWSGGARRRASLDPLELLGSRAVVAAHWSSAAGRVERCASLPGSDCGSDLVVHGTRGR >Et_5B_045531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3734058:3735310:1 gene:Et_5B_045531 transcript:Et_5B_045531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILSDLYSPVRQAVTAGDLWADSGKSKNGKNRKGKSSWEFDEDDSDDFEADFEEFQDGDSEEEVDFVHHDKEFQIKSSKFGSRKRKNQYRGIRRRPWGKWAAEIRDPRKGVRVWLGTFNTPEDAARAYDVEARRIRGKKAKVNFPGTISKTKRRHPGPVARTAEKSNNFSYHVSSAGSSTDLTVVKLELTESLPLPISRAGLDALDLSQVDGLRYLEDAGKDAVGEFDGEAGEEDMVCANAEVKLADDFAYYEAYSNYMQLPYLEGNSYENIDALFDGEAVQDGVNVGGLWSFDDMPMDQTFY >Et_10B_003311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18690269:18691048:-1 gene:Et_10B_003311 transcript:Et_10B_003311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKRFNCWFTPRCAHFFAPPPSSPWRLLRHRPSLVKRAAWASATASPSWSASSSSSPPSRSPPTSASSPRPAPRTLLADADAAPPAAAGVVPGIDGAAIDALYPKFVHVCSGDHGDDGTCAIWCGHCFHAGCAERWLRVSATCPMCRDSPRRSRSPCPSPRARASYGRRGA >Et_5A_040636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10685831:10686633:-1 gene:Et_5A_040636 transcript:Et_5A_040636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRPAVHVMPVLGSDDGFPPTNNVDPVLHVLARLTVHSGKARALIFNTTASLERSALAHARRVRRRPPPVHAMSSSSLWREDGGCMAWLDGQADRSVVYVSLGSLAGHHLA >Et_10A_000985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19902394:19906141:1 gene:Et_10A_000985 transcript:Et_10A_000985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDSQGPGKEKAGGANAHVRLPPPVTAGSGGRPASVLPHKTANVRDHYRIGKKLGQGQFGTTYQCVGKADGGEYACKSIPKRKLLCREDYEDVWREIQIMHHLSENPNVVRIRDAYEDALFVHLVMELCAGGELFDRIVAKGHYTERAAAQLIRTIVGVVEGCHSLGVMHRDLKPENFLFASTAEDAPLKATDFGLSVFYKPGDKFSDVVGSPYYVAPEVLQKCYGPEADVWSAGVILYILLCGVPPFWAESEAGIFRQILRGKLDLESEPWPSISDSAKDLVRKMLTRDPTKRLTAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKNFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITYDELKNGLKRVGSDLMEPEIQALMDAADIDNSGTIDYGEFLAATLHMNKLEREESLLSAFSFFDKDGSGFITIDELSQACQQFGLSDVHLEDMIKDVDQNNDGQIDYSEFAAMMRKGNAGAAGRRTMRNSLHVNLGEILKPADN >Et_6A_046815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2113538:2115404:1 gene:Et_6A_046815 transcript:Et_6A_046815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTWRRSGRCPEGTIPILRKPTHANDEIANHTLPFSSYGRLTDANIQDNGNGKLEIAAAYAVSGPYHGASASLPIWKVRVEPTEFSKNYLLIASPHERHFTPIKGKSPPDIKNQIAVGTANDGGETSHCLNHECGFIQTNNQFALGTRFQDGNSRVGGDLYFITASLYRATGPAVWWLAMNEVVLGYFDPNWFPVPFIESFHHEMGGRVLDSSPGGRHTTTPMGSGMFPSAGLRDAACVALYMAINNNGGDQLDDPINRIVTSPKCYDVQDFGWDRKRPGADVAYGGPGGADCDK >Et_1B_011646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24522178:24526418:-1 gene:Et_1B_011646 transcript:Et_1B_011646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAFVSLSPSPWCPRPTPQRSSFRVRLPPPRSGAGGGGGGGEEPTTTSWVSPDWLTSLSRGLGRGGDESRIPIASAKLDDVQDLLGGALFLPLFKWFREEGPVYRLAAGPRDFVIVSDPAVAKHVLRGYGSRYEKGLVAEVSEFLFGSGFATAEGALWTVRRRAVVPSLHKRFLSVLVEKVFCKCAERLVDKLEAYALSGQSVNMEERFSQMTLDVIGLSLFNYNFDSLTTDSPVIDAVYTALKEAELRSTDLLPYWKIDLLCKIIPRQIKAANAVNIIRNTVEELIMKCKEIVEAENEQIEGEEYVNEADPSILRFLLASRDEVSSAQLRDDLLSMLVAGHETTGSVLTWTIYLLSKDPEALKKAQDEVDRVLQGRLPRYEDVKELKYLMRCINESMRLYPHPPVLIRRAIVDDVLPGNYNIKAGQDVMISVYNIHRSPEVWDRADDFVPERFDLEGPVPNESNTDFRFIPFSGGPRKCVGDQFALLEAIVALAIVLQKMDIQLVPDQKINMTTGATIHTTNGLYMN >Et_3A_023412.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:26101165:26101929:1 gene:Et_3A_023412 transcript:Et_3A_023412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAHVVAGGAAALSGKVSDPGSAWFGAGERSSSAGLGHNVRLIATAVAAFVSVLGLALCLHLYICHVRRRNRRRAAEAAAVLPTTAAPAAKGGLEAAAIAALPTAVYGEEGVGESGGGSTECTICLGAVEEGDTVRVLPACRHVFHVPCVDTWLTASSSCPVCRAVVEPPPPPTGGAAACVQEKPLDVAEVKEEAAGSSAPVRGGIGASLMKMLSRERPLVRRPQVADADDAEELDLERQQPQTQQQEQAVNN >Et_1A_009035.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:26407713:26408618:1 gene:Et_1A_009035 transcript:Et_1A_009035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKLHPYANAVGVCAPCLRDRLLALAAERDQASAAAASSDGDSSSPPALPAARRHRDAAGGFFPRSASPYYAARRRSDACAASSSAHHHQPNLLFFSTPQVGPFAAVRAGAEGDEGCHRKVARRRSFLAAIFSGGGGGRRSSEAAATMKEKKEPPRRSTSWLSAIVRRKRRPQDDAAPPVSLAPTTTAAAAPQRRPDEEEEPPESPGGSSSRSSSWWLPSPSPARQHHRRRHGAGASGDGISGFAVCLSPLVRPGSAAGRRRCQPPDPSSLGGDSSHRRHASASFGRNTSRKLADMSRFR >Et_6B_050075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5371407:5374473:-1 gene:Et_6B_050075 transcript:Et_6B_050075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICLAPEVVARLLDDHEFMAAAKQKLKPMGDKPMCRYTDGQANLLLSSRKSTMVGQEGH >Et_1B_012883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3836828:3842186:-1 gene:Et_1B_012883 transcript:Et_1B_012883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKYYGATRRGWRRLAAAAWVVLAVACSAAMHWHLRRESLDRAEERLESMCEERARMLQEQFGVTVNHVHALAVLVSTFHYEKLPSAIDQDTFAKYTGRTAFERPLLNGVAYAHRLFHHEREMFEKQQGWIMNTMKREVAPPQDEYAPVIFSQDTVSYLARIDMMSGEEDRENIMRARATGKAVLTNPFRLLGSNHLGVVLTFAVYRPDLPADASVDERVEATIGYLGGAFDVETLVENLLNKLAGNQDIVVNVYDVTNASEAMVLYGPPSLDDKMTLLHVSTLDFGDPFRKHEMRCRYRQKPPMPWSAITNPLGTFVIWMLLGYIVCAAWSRYDKVSEDCRKMEELKTQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLGTDLTMIQKDYAQTAQMCGKALITLINDVLDRAKIEAGKLELEAVPFDLRSLMDDVISLFSSKSREKSIELAVFVCDDVPKVVLGDPWRFRQILTNLVGNAVKFTDRGHVFVRVSLAEGSNVETNPVRHGTMNGKDGNVESVANGAFNTLSGFEAADRHELDGEKSYQMDSDRVTLVISIEDTGVGIPLDAQDRVFTPFMQADSSTSRTYGGTGIGLSISKCLAELMGGQISFTSRPFVGSTFTFSASLKRSTKDTTADSNRSLSEALPTAFKGMKAILIDGRPVRSSVTRYHLKRLGIVVQIMDNVNVGLKAFTGQNGTISRDKVSMLFIESDVWRPETDTQLLNRIREQKNGQLRDVPKVVLLVTSEADKDKYGSTFDVVMCKPIRASTIASCIQQLLKVEVPERRENQNRPSFLRSLLVGKNILLVDDNKVNLRVAAAALKKHGANVRCVESGKDAISLLQPPHCFDACFMDVQMPEMDGFEATKRIREMESIANEERKSKLNSSEGSTYIECHLPILAMTADVIQATYEKCIKSGMDGYVSKPFDEEQLYQAVSRLVVGTSDSAV >Et_7B_054095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15247788:15248037:-1 gene:Et_7B_054095 transcript:Et_7B_054095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RCGFAGCLSLGLCPMFKSFCNDRRSQRVLELLRAMAENGRTGCSSDVVSYSMVINGFFKEGEIAKACDLFHEMMQQ >Et_1B_014272.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:4785109:4785540:-1 gene:Et_1B_014272 transcript:Et_1B_014272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNTQQEEIYVRLASRRWRRRGFRLCPRNRFSVRRLRAELLTFLGLVGRYVRLLVRRLSKGGNSSRSSSSCGRSGSRRVLVGAGKDAAANNKASAPRRAAPSPFVRSNSFYSQAIADCLEFIKRNSVPVEDYGTVSARRYS >Et_2A_014508.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:17945409:17945978:1 gene:Et_2A_014508 transcript:Et_2A_014508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLQSPSVEHLAASTTPAPASSGVHTDTFLIIAAVLCFLLCVVGLALVARCWRLCNPSAYAVEPEAAMPAPPPCKGLKKKALQSLPTVSFQVDDEKDEEERPECAICLAEFARGDEVRVLPPCAHAFHAACVDVWLLSSSTCPSCRRALVVVAPPPTATDSSLASTTDADAYAVAVAGERGRCRTSVP >Et_9A_061759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16132381:16136595:1 gene:Et_9A_061759 transcript:Et_9A_061759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHCFENAPALNPAGGGGEVVDDFGGLKAYIAGSAESKVAVILISDAFGFEAPNLRKIADKVSSSGYFVVVPDFLHGDPYDPSNPSNPGMWLQSHDPKKAFGEAKSIIAVLKDKGVSTIGAAGYCWGAKVVVELAKIHEIKAAVLLHPSLLAVDDIKEVKCPISILGAENDKSTPPELVKQFEQVLSANSEIGHFVKIFPGVAHGWAVRYSEEDEAAVGKAEEALQDMIDWFHKYMNSHCFANPPELDPACGGGVVVDDFGGVKAYITGSAECKAAVILVSDAFGFEAPKLREIADKVAASGLFVVVPDFLHGDPYEPNHPDPLRWLQSHNPLEAFEEAKPVVAALREKGVTTVGAAAKVVVELSKAREVQAAVMSHPAFVTVVDIKEVKCPICVLGAEIDQFSPQELVKQFEEVLSANAGVAHFVKIFPGVAHGWAVRYNDDDPAAVKSAEEALMDMMTWFDKYLK >Et_4B_036375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20671458:20672689:1 gene:Et_4B_036375 transcript:Et_4B_036375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRLRVTSMDENEKMLISMAEGDQMVDMYDGTEFKWRNSSYNDDGSQQEVHSFEVSFDKKHKDKALNSYIPFIVSTAKAIKDQERSLQLYMNQFSEWFPVYHHHPSTFDTLAMDQNLKQSIIDDLNRFIKRKDYYKRIGKAWKRGYLLYGPPGTGKSSLVAAIANHLRFDIYDLELTEVDSNSDLRRLLVGMSNRSILVVEDIDCTIEMKQREESGSNSTDEEKGEHKSVCSAQVTLSGLLNFIDGLWSTSGEGRIIIFTTNYKERLEPALLRPGRMDMHIHMGYCTPESFRILANNYHSVEYHATYPKIEDLIKEVMVTPAEVAEVLTRNDNTDAALHDLVDFLESKMKANEIDTEHNDEKHAAI >Et_1B_014193.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:35019630:35020754:1 gene:Et_1B_014193 transcript:Et_1B_014193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLQQATRAAKLPLAVLPKPKSKPNGASAASTPAPAHRPATNPTKPRKPGKAPRDLVPAAAPRRPIQTPADLAAAIRSVADADVDAATSLAIKAAPTIPLPTHSLALLLRRLATQRSVAAARKLLRNLHPSESEERYSPAVPAPSGALLALADAVCRRGDPREISQLLPVLADHGVVADAHLYNSLMKAYVAASDAAGLLAVIRRMKRDGVEPDLITYNTLVYGLARAGMVDKARTFLDTMAAQGLLPDVITYTSLMNGMCVKGDALGALRLLEEMEAKGCDPNERTYNTLLMGLCKNKKLDKAVEVYKSMTASGMKLEAPAYATLVRGLCRSGSVADAYEVFDYAIETKSFTEVTAYSELENSLKWLRKMKS >Et_6A_047106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25367985:25371105:-1 gene:Et_6A_047106 transcript:Et_6A_047106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNILMAGSEPPSLCDSYLLFHGETLLSNGVRAFIYMAALAYCFIGLSAVTARFFKSMESIMKHSREVVTIDPHTNEPVVKQEKVWNYTIADIALLAFGTSFPQISLATIDAFRNLGQMTAGGLGPGTLVGSAAFDMFPIHAVCVIMPRAGSKKKISDLGVWLVELFWSFWAYIWLYVILEVWSPKVITLWEASLTVLQYGLLLLHAYAQDKRWPYVSIPFLRGERPEDWVPEEDALVDYDNCDGISETLPVSAVQNEDTADIFSMHSYHNAEYLKVPEKDVEGSPMPNHVVKSPREDTSWLVVWWQQFIDAVTLESPESRKMDSICLRFIRIFWNLVIAPWKLLFAFVPPYHIAHGWVAFICSLTLISGIAYGVTKLTDQISCVTGVSPFVIAFTALAAGTSWPDLIASKIAAQRQVTADSAIANITCSNSVNIYVGIGVPWLINTAYNFFVYQEPLYIDNAAGLSFSLLVFFATSFGCITILVLRRVIIGAELGGPRLWAWATSVYFMILWIIFVVLSSLRVSGVI >Et_9A_061712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15647379:15649093:1 gene:Et_9A_061712 transcript:Et_9A_061712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGASRRHRAGARRGEGHRRRAGARRGEGRRHQAAARRDERREEGRTRLCVCVEEKKKKDDVTAWGSRIGKGILEDCWSCTSQYFENFIGTQREYWEAETAGVAVKDETGTRDSSQGTDIRRERRAEWEDEDTGRGEGQLARQPVVLASETSFTVNEVEALYELYKKTSFSIVKDGLIHKEEFQLALFRDSKQANLFADRVFDLFDLKRHGVIDFGEFVRSLRVFHPKTPASEKTAFAFKLYDLRGTGYIEKEALREMVLALLDESDLCLSDGTVEAIVDNTFSQADSNGDGKIDPREWEEFVKKNPAALRNMSLPYLQDITMAFPSFVMCSEAND >Et_1A_004502.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:10422374:10423585:1 gene:Et_1A_004502 transcript:Et_1A_004502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGQAAVAFLTKVAKVAAGVGVAASAVSASLYTVDGGERAVLFDRFRGVLPETVGEGTHFRVPFIQSPFLFDIRTRPHTFSSTSGTKDLQMVSLTLRVLSRPDIERLPDIFTSLGLEYDDKVLPSIGNEVLKAVVAQFNADQLLTERPQVSALVADSLRRRAREFNIVLDDVAITHLAYGVEFAQAVEKKQVAQQEAERSKFLVAKAEQERRAAIVRAEGESEAARLISEATTTAGNGLIELRRIEAAKEIAGVLKSSPNVAYIPSGDNGQMLLGLNAAR >Et_7B_055013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6456441:6457558:1 gene:Et_7B_055013 transcript:Et_7B_055013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQMGSLIPHVTRLSPQVHGADRISFSSGNGYRLLITRQARPGRVRALDAVQESSQLQDAAITISKHSRLRDQDAQAVSASDQLDTVRKEDKFFEVEMTVRDDELDEYGVVNNAVYVSYIHRGRDLLLEKLGFSVGCFAATGKAMALSDLNLKYFAPLKSGDRFVVKIKPVKIKGTRMIIHHTVEMLPERKVVLEAKGTVVFLNKDYRPTRVFPELSDKTRAMFTCMEC >Et_4B_038618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3477124:3478759:1 gene:Et_4B_038618 transcript:Et_4B_038618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIAVSQFHLHYKTAARPRGRSSPQPPRRNPRDRSSSTPPPLLLGLSPSRIQASSKMKFVAAYLLAVLAGNPSPSAEDLTAILESVGCEIDNEKMELLLSQLSGKDITELIAAGREKFASVPCGGGGVAVAAAAPGAGGAAPAAEAKKEEKVEEKEESDDDMGFSLFD >Et_4B_037685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22536084:22567669:1 gene:Et_4B_037685 transcript:Et_4B_037685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTNTDCRRRRLHPSRPRARLLLSFPLPLAPHLLLLRRFAGSSVILPQRCWPPRCPCSYHLLTASAARRPSDILARYRSGSLAGHHCFNVMDGVRGITSLAAGDGRHRLFRTLGPTLLISMAYIDLGKWVATVDAGARFGYDLVLMVLLFNCSAILYQYLSICIGMFTGKNLAEICHQEYNQAICAVLGIQAGLSLLISEVTMVAGISVGFNLVFEYDDVVAGMCFAIIAVNLLPYIISRLDKRMAGTFNACIAGFTLLCFVLGVLVSQPKIPARMNVMFPKLSGESAYSLMALLGANIIAHNFYVHSSVVQVAGIAIGFNLVLEYDDVVAGICFASVAVNLLPYIISRLDKRMAGTFNACIAGFTLLCFVLGVLVSQPKIPVRMNVMFPKLSGESAYSLMALLGSNVIAHNFYVHSSVGQVQIRSPVLTLGSMFHDHLFYILFIFSGVFLVNYILVSSAADEVSNSLLMNRQDAVELMHQIFNNPLAPVVLLVILIFSSLVISLTSIIGSDVILDNFFRVKLPHSVHHLLLKGFAMMPTIYCAKIAGSEGMYQLLIVCPVVQAMFLPSSVIPVFRVSSSRPLMGRYKISLYVEALAVLAFLLMLFTNIIFFAEILFGDSSWTDNMRRNTGSPVVLSYTVILLISCASIAFTLFLAATPLKSASIENDNQELSVHPQMQILGTPHHVEETCQQNAPCEEVQTFSVDAITRDSLEDRQKSVAGRTEYSSSTLESDLDSRYATAHTVTTSETHPSASMNREELKAVTVDNADPMPKVLTATKEGRNAENIKMKSTTEKVVQVESVFNTSKGVEVSSDLECKKSDGGRTQSITSDDNISGSLSNISGLGRAARRQLAAILDDFWGLIFDYYGELTHEANKRFGFSVLLGLTTATPALGNDSLSVEASWSPLMRDAVQGSGTLLNSWHSLSRNKDIACPDFAFGLQTGAMGSSTWSQSMHLQNRDIPNSGRNIKPYSSFNTQSYTDNQSYQPAAIHGYNLATHLSGTNAGRGRHSRIPLDPGRFSRSSESSIPKYTDTELYVHNQYVLGSVRAGSLQSQEMNRLRTMAVERSYYDSSYDVRNGSVEPSSYSKKYHSSPDISAVIASSRYAWPNEVNLGAADADMPGLRRLASEKAQFEYSAASPSSQLAPNELSHHNVQRNMLAMQSSPNTGSKSLWDQQPFQQFFGVPSAELSKSEVNIVRRLNSATEADFSCTECEAELLQSLRFCIMKLLKLEGSRWLFMQNGGCDESLIDLVSKAERVLQEETSDDTSANFTRRLPNCGSDCVWRASLVVSFGVWCVHRVLDLALVESRPELWGKYTYVLNRLQGVLDVAFSKPRKPLRACACLEKAGSVTSPIPGTFTTATVILEVIKDVEQAVSCRKGRSGTAAGDVAFHQGKENLTSSIESLAAGDAPHHSFRTLGPTLLISMGYLDLGKWVVALEAGSRFGYDLVLLVLLFNLSAILCQYLSSCIGMVTGKNLAEICHQEYSKMICVVLGLEAGLSLLTSEIAMIAGIAVGFNLVFEYDDLIKVISFASVIINLLPYTLSRLGKRMAGMFNACIAGFTLLLFVLGLLVSHPKTPLYINMNVLFPKLSGESAYSLMALLGANIIVQRNIPACTLGDLFHDHLFSILFAFSGVFLVNYVLLSTGADESEHEIAITFQQAVKLTGQVFTNPAAPVLLLVILLFSGHIISLTFIIGSGIISEHLFGVKLPLLAHHLVPKGFAMVTTIYYAKAAGSEGMYQLLIMCPIIQAMLLPSSVIPVFRVSSSGLLMGKYRVSRCVQTLSFLSFLLTLFTNIIFAAEILFGDSTWTNNLRGNTGSPVVLPYTLVVLCSCGSIASALLLAVTPLKSACNEAERRLSSMHSQNETLDTTHQREATYRGNCVHKEVQMSYIDAVPMSSLKGNQKATSEHTGHQKSTFEHTGRTVGTITI >Et_10B_002769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10864450:10865238:-1 gene:Et_10B_002769 transcript:Et_10B_002769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTPSASSSSSSWVSFSSFDEVPAAKPPGPPASTNGIVKFVCSYGGKILPRRQDGKLRYVDGHTRVLSVQRSIRFHDLHRKLRELCGWDAASVRCQLPTEDMDALVSVTSDGDLAGVLEEYDVAAARQDRPQHQQLRIRVFLHRPAGAGARTTTPHAPFTPHRASRRRPGHLHMHARRAWPPRAVRYIVASPLVPGSPARAEPCSCWQ >Et_10A_002336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9264613:9265935:1 gene:Et_10A_002336 transcript:Et_10A_002336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIAIILGASALKVIGTQLGKEVTILLDVENSMKEIESEFDIMQAFISHLDSRSTDNKIFESWLKHIRKVAFEVEDTIDEYAFLLGKMNGSKSSLKKAFHLSRNVKTWHHVASQLK >Et_1B_013792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16863459:16873597:1 gene:Et_1B_013792 transcript:Et_1B_013792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRFQALAPALSIPRRGGLASPLRMASVATSPLARRLSTAVFSGSPEQTASEPDLESGLYLVATPIGNLEDITLRALRVLKCADVILSEDTRHSGKLLQHYNIKTPLLSFHKFNEREREPSIIKRLHEGEAVALISDAGTPGISDPGVELFWRGTLGEANEAFASRQPKGEITILIEGKLTSDDETPSEEFLEHELRELTAQGHTLSAAVKLVSESTSAKKKDVYALALRFSPKLSGVPEKQR >Et_6A_048046.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4322486:4323676:-1 gene:Et_6A_048046 transcript:Et_6A_048046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGAAASSSTSTSTNSSRSASEQQQHPHHHPFYYAAGMAPFMGSLSIVPTAAAGDQSAAAAQHHAPPAPQAAGSSSGGGGAADSSSSKKQVAPAAAGKRPSKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKTDGETVEWLLQQAEPAIVAATGTGTIPANFSSLAVSLRSGSGAHHHAAASRAAFHHHLQPPQQHDVAAMLGWNHHGHHQQQLMSPPPPHQQQQQQQDPGAGEFMRKRYREDDLFKDTAAVRQPQHPEEEEEEEQKARVLPAAMWAVQAPPTNSSAAAAAGAFWMQPAWPFGGGGGATVQAPLQFMSTRSGGGGGSFPGGGMADTNNSNNIGMIAALNAGVQHQHQQPEEQQPETATMAQRHRTNGGDAGGGHHGAASPQ >Et_7A_051826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25252210:25255814:-1 gene:Et_7A_051826 transcript:Et_7A_051826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLPADSVADVLRVLPPRSLAAARRVCKGWRDIIDAHALLLPYLRLLPYPLRGVFINYIGHERSHLFARPSSSSTFPRIDGLLSFLPIDGEDNWSEVLDHCNGHVLCLINWGLYVCNPATRRWTLLPQLTGGRIGTSCTYIAFDPIVSPHYEVFVIPDVPADEEDQRQPVEGPSSVDKGNKDDPCRFMEWPPSPWILKVFSSQTGLWEHRSFLREGQPAGTLQDMRSDRKPSWTHGFYAVYKNGALYVHCKGAFIARFSLSSDKYQVVDMPVVNRHAYLGTSKNQVYSGVFDRRHIRVWMLSESCGRMEWLLKYEADIWLNAAHVMRQVDGSWMVVEEDSDAETQTEESTEYDSDTEENIEAKSEGTIEWDSDKDGVFTTEARDEHRCDHIFEFLGFHPYKEVVLFFIHLFGVVSYDLNSSKMQYLGWAQPNCYEYSPTNGIYDSFIYTPCMGRPTSICKGWRDIIDAHALLLPHLLPQPVRGVFINYIDHDRPHLFARPSSSSTFPLLANDPRRDLWTVLDHCNGLVLCKIDWGRQFCVCNPATQRWTLLPKHKDARNGSPCAYIAFDPIVSPHYEVFLIPNLPEKPKPAAPKPRKEEQSFMYTPCVIGDLHHGDNTGQRALK >Et_6A_047464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6093155:6097442:-1 gene:Et_6A_047464 transcript:Et_6A_047464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSTTVRDFLLVLLASTAQIVICSSLYGNETDRLSLLEFKKAISLDPQQALMSWNDSTHFCHWKGVLCRVRNPRRVSSLNLRNRGLVGNISPSLGNLTYLKQLFLEKNMFSGQIPPSLGQLRQLDTLVLANNTLQGIIPSFANCSDLKMVVLYHNNLVGQIPMDWPRNLEHLELSFNNLTGTIPTSLTNITMLNVLTCAFNNIEGTIPNELGRLRNLQVLDLSVNKLEGGFPAGISNLPNLIALGLDENQFIGSIPSSLSNLSQLGEFVLQFNQFDGHLPASLGKLQMLNILRISNNLLHGMIPREIFSIPTVTEIDLSSNYLYGELPDEVGNAKQLRSFFLSSNKLSGDIPNTVGNCEGLEYVFLDHNSFTGSIPASLGNISTLIIINVSRNNLTGSIPMSLESLQLLEQLDLSFNDLKGEIPRKGIFNNLSAIRIEGNSELCGGAMELHLVVCPVMPSSSSKQKQSIVKKVVIPLACFVSLSIFIAVMILWRGKQRRISLSSPSFGGTFPTVSYKDLSRATERFSGANLIGKGRYSSVYQGKLFQDTTVVAVKVFNLETKGTQKSFISECNALRNIRHRNLVPILTVCSSIDSKGNDFKALVYEFMPQGDLHVLLYSTHADGDTTALSRITLAQRISIVVDIADALEYLHHNNQGTIVHCDLKPSNILLDDNMIAHVGDFGLAKFIADSTSSSITELISASSIAINGTVGYVPPEYATGGDVSSAGDVYSFGIVVLELFLRKRPTDDSFKDELNISRFVEINFPDRISHILDPELLEEHQQTSVAMKEKSLECLHSVLKIGLCCANPSPNERMDMREVAARLHRIKEAHLRYNFIAIIEENESSLSYSRIASGSAAGHGKKQNGNKDARSGA >Et_2A_017592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:387860:398425:1 gene:Et_2A_017592 transcript:Et_2A_017592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWATVQHLDLRHAGGRRGASARPMQPHAAAFRSSQAIVAVAIGTHVVEFDALTGSKIASIDLGARVVRMAYSPTTSHIVIAILEDATIRSCDFSTEQTLVLHSPEKKSDHVSIDTEVHLALTPLEPIVFFGFHKRMSVTVVGTVEGGRPPTKIKTDLKKPIVNLACHPRLPVLYVAYAEGLIRAYNIQTYAVHYTLQLAVDSTIKLMGAGAFGFHPTLEWIFVGDRGGTLLAWDVSTERPGMIGITQAGSQPITSVSWLPTLRLLVTISKDGLLQVWKTRVIINPNRQPMETHFFEHAAVETMDITKILTLQGGEAVYPLPRIKNLAVHPKFNLAAVIFADMSGTEAAKNKAAYTREGRRQLFALLQGARGSTAAVLKEKLLALGSSGILAEHQLQAQLQEQHLKGQSQLTISDVARKAFLHSHFMEGHAKSGPIPRLPLVTISEPSNLLRDVPVCQPFHLELNFFNQENRVVQYPVRAFYLDGFNLMAHNLSSGADNLYKKLYSTIPSNMECHPKYMSYSPKQHLFLVVFELSGPNGVVHEVVLYWEQTDLQTVNSKGSSIKGRDAAFLGPDDNQYAILEEDRTSLNLFSLKAVATKEALENNAAVLEENTFADNAANSSERQGPLQFTFESEVDRIFSAPLESTLLYVISGKHIGLAKLLQGYRLSTDNGLSITTKTDGKKFIKLKPTEMVLQVHWQTTQRGPVVGILTNQRVLIATADLDVLSSSSTKFDRALIFSSATAISMLGWDNKVRSILSTSFPRSVLLGALNDRLLLVNPTDINPRQKKGVEIRSCLIGLLEPLLIGFATMQQHFEQKLDLSEVLYQITSRFDSLRITPRSLDILARGPPVCGDLAVSLSQAGPQFTQIMRCNYAIKALKFSTALSILKDEFLRSRDYPQCPPTSHLFQRFRELGYACIKYGQFDSAKETFEVIADHESMLDLFICHLNPSALRRLAQKLEESATDSELRRYLERILRVRSTGWTQGVFANFAAESMVPKGPEWAGGNWEIKTPTTIKSVPQWELAGEVMPYMKTSDAGIPSVIADHIGVYLGLMKGRGNVVEVSEKSLVKAIAAASSENAQPTSSASAEKNKVNTGGDSVGDTLARQLGVQIASADEQAKAAEEFKKTLYGVVDGGSSDEDESTSKTKKIHIRIRDKPAAPTVDVNKLKEATKQLAGLGPPLSRTRSLSGTPQEFNQAPMQPAGPAPAVSPAMPNATMDLFGANALVQPQAPSGATGPVIAGMGVTAGPIPEDFFQNTIPSQQLAATLPPPGVILSRIAQPAPGMNQGQPVPNQNMMANVGLPDGGVPPQALPQQSQFPQQPGMPMDAIGLPDGGVPPQSQPLPSQPQGLPSQAQGFQLQPAIPAPSLPIDLSALEGPGAAKQVARPPAPTAVRPGQVPRGAPAAECYKMGLAHLEQNQLTDALSCLDEAFLALAKDQSREADIKAQATICAQYKIAVALLQEIARLQRVQGAGALSAKEEMARLSRHLASLPIQAKHRINCIRTAIKRNMEVQNFAYAKQMLDLLYSKAPPSKQDELKSLIDMCVQRGLTNKSIDPFEDPSQFCAVTLSRLSTIGHDVCDLCGAKFSALSAPGCVICGMGSIKRSDALAGPGPVPSPFG >Et_6A_047321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3964013:3975321:-1 gene:Et_6A_047321 transcript:Et_6A_047321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMENRRSWLWKRKSSDKSPGESDSSGSVASHSERCFDDQDGKPMSSNSSPSHSPEVSSRIIDDETQETETAKSLNEKLVLATSSNGSSPQHGQSPQPEVCQSPQSETCQSPQPEACQSLQPEPCASLQPEACLSVKLEASQSPETDVSPDVGVDNVQDSVKILNEKLSDALLAIIAKEDLVKQHTKVAEEAVAGWEQAEAEVASLKRLLETTSQKNASLEDQVSHLDDALKECVRQLRQAREEQEEKIRDVAAKKSKELESEKSDLQNHIAELSKQLEDAKRELASMHVQHDLRGKIHIVEKENKDLKIELVKLSKELKILARERDLSNQAAETASRLHLESVKKIARVEAECLKLRHLTRRTSLANDSKPINNNTCMESLTDSQSDSGERMLAIDDEMKNSDSWASALMAELDQFKNGNSGTKNLVNNPIEIDLMDDFLEMEKLAALPEVDCVSSSFGAETDSDQGVTRDESSKVENESLQHQVADLRAKVEKIEGEKRELEMALVEARDQLGTSCDALTVANGKLVDLQTQLDLANESKQDALGQAELLDSERKDLTSQLELKHVQVEELQAMVASLEGGVNRKELELQLESISEEAAYLRKTVASLEEKIDAERSLSMQHKVNADMAEAAKESLDAQLRSANKEIGKLRGIMEILESEVRKEKGSREKLAKQIEAMKTESEITLSAASGKDLLEAQLQVVNSEVAKLQGTVDALECDAAKEKAYSSDLQMQLEAVEGIRKVLESELESSHQETMKLREKVLSLETRLKDQTSLLVEYTAKSEDAVSRKKAMEGQLEAANLELAKLRNKVSLLQGKVEQEKLLSEEYEAKCRKLEAQLSRDSREAKLWRLANTNGDLKVKQEKELSSAAGKLAECQKTIANLGRQLKSLADIDEVASEPEKLESKRDDGDGLIPADLADGLYELDLPKSNGSYISPMPSNGSSSPPAEVSVFSGGLTSLSSYLSKTRKITNPSCVNMNYLTRHLTLPRQNCSSVISSSPAHTLGRQPQKPKHSGNTFSFSFFHSIHHGSAPKVYKPAAEVNLGPDSDEHYISPNVKAPRVAGFLVKIFAWVLEMPLIGWMVLSFLKRDNLVNKLVSEAEIPEPPLFTATHSWQDIAEQNVNLTKPGLSPAELVQEAVSCLPADLEAALVDPSSGFRHWTIRDFFRAYSVARRFLAAVKECSGPDLNMAMFISCDPEDIMRQAEESTFRYRQGALLSAMDGVLVAVKDEIDCLPYPTTGGTRWLGEARRCGADAACVARLRACGAVLAGKTNMHELGAGTSGINPHHGSTRNPYDIAKVSGGSSGGSAAAVCAGLCPVALGADGGGSVRMPAALCGVVGFKPTAGRLSKSGLLPLNWTVGMPGILAATVEDTLTAYAAIADQSTRPSPLLQPELNLPLLTLTRSIANIKLAKYAKWFDDSAEDIRSCCGKALQMLRTQYGWETMDVTVPEIEEMRLAHYVTMGSECTASLAKYLDDMDKSEIGWDVRIALTAYKSFSSRDYLNAQRLRCRQMYFHEKIFETADAIVTPMTGVTAYPLQDDALSTGELDYINGAALVRYSIAGNFLGLPAITVPVGYDRAGLPVGLQFIGRPWSEATLLHLAYAMQEACSKDCRKPKVHFDLLNKE >Et_4B_036469.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:25956436:25956828:-1 gene:Et_4B_036469 transcript:Et_4B_036469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPRRHGGRLQVALSRRSALCHALRSSMPTSLHSRDPFSRWSEAVGSRCQSRTSRIISGATFGCRRLLLWSTRTSRRTSSSCSRSRPTCCECSALLALRWRVSTSPSSDGAVSSKLARCRCVTRFEFT >Et_4A_033006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1695152:1698475:1 gene:Et_4A_033006 transcript:Et_4A_033006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGLHLSPVLTPTTSQQREESLPLQPQSPHDTTHTPARAQGEAAQTGESRRHSSARDLEADRTMAPTPEVDARSGYCAATRTFRSRRAPVPLPDAPDLDVVTFLASRRHSGVVALVDAGTGRRITFTELWRSVAGAAAALAAPPLSLRKGQVALILSPNSVRFPVAALAAMSLGAVLTTANPINTAAEIAKQVADARPVLAFTTRDLLPKLPRAAEGLRVVLLEPGARAPTDASDPRIVATIDEISATPPADPARRGRIAQDDQATLLYSSGTTGPSKGVVATHRNLISMVQIIMNRFRLELSDTTETFLCTVPMFHVYGLVAFATGLLGCGATVVVLSKFELPEMLRSINEYGVTYLPLVPPILVAMVAHPKPLPLGKLRKVLSGGAPLSKELIEGFKDKYPQVEILQGYGLTESTAIGASTDSAEESRRYGTAGLLSPNTEAKIVDPDTAEALPVNRTGELWIRGPYVMKGYFKNTEATQSTITPDGWLKTGDLCYIDEDGYLFVVDRLKELIKYKGYQVPPAELEALLLTHPEIADVAVIPFPDREVGQFPMAYVVRKKGSNLSAREVMEFVAKQVAPYKKVRKVAFVTDIPKNASGKILRKDLIKLATSKL >Et_10A_001869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9467837:9469997:1 gene:Et_10A_001869 transcript:Et_10A_001869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGITSPPAPAAFASATSTSSNSSRSLALLRLRPLPTRIVSAPSLRARCAAAAASADGGVEFSDSSAAVADPDAGTYVAGGAATSTRPPYSLISADNVQKAMRGLAITDADHYGRLGITKNASTDEVTAAYERRRDELNSRKLEEEELNKELDLLKESFTILSTEEERRLYDWSLSRIGQPERYVWPFQVDPLELAPDPPKVCISSEQNRGFI >Et_10A_002244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5459702:5463507:-1 gene:Et_10A_002244 transcript:Et_10A_002244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDLVTCTLRSEHAVMFMLGCTYKAVTVFGNTRQATKDAGVIAGLNVLRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKNINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQDAEKYKSEDEEHKKKVESKNSLENYAYNMRNTIKDEKIASKLSAEDKKKIEDAIDGAISWLDSNQLAEADEFEDKMKELESLCNPIIAKMYQGASGDMGGAGMDEDTPAASGGPGPKIEEVD >Et_9A_060950.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:20611308:20611682:1 gene:Et_9A_060950 transcript:Et_9A_060950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERRLKRRLSNRESARRARARKQQQISDLEAEAEALRRRNSGLGEAVRAAARCRAAVEAENAVLRARALELGARLAGLLQCCFLLEPSAAAAADCIGGGGGISSSPSLIAASPADNMYAYTDY >Et_5A_042210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7552308:7555318:1 gene:Et_5A_042210 transcript:Et_5A_042210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAATTGGGAREEGPAEPLLVNGGGGGVHAVANGSGHGRPATEKNESAEDKYWVDIDQPEAMEPSDVESGGGGRPLLFRNKRVKRYILYPYRFLIFARMLAIILFFIWRVQNNVSDVQWLWAISVAGDVWFGFSWLLNQLPRLKPMKSIPNLAALNQQYDLPDGSSTLPGIDIFVNTANPVDEPILYTMNSILSILATDYPVEKHTCYLSDDAGALVHYEALVETARFAGLWVPFCRKHCIEPRAPERYFELQTQPYMDEALEDFANDHKCMQIEYGEFKARIDKLFDTIQQRSDSYNALKREGCTNATWMADGIQWPGAWLDPTENHMKGHYAPIIQVVLERPSHTPQLGQSASNSNLLDLSATDLRLPMLVYLAREKHPSFDHQKKAGAMNVQLRVSALLSNAPFIINFDYDHYINNSKAFRAAMCFMLDPREGENTAFVQFPQRFDGVDPTDRYCNHNRVFFDGTMLALNGLQGPSYLGTGCMFRRVALYGIKPPRRGANTIIVKSIIFGNSKPYLESISIARKQDQPIMARSLDELIVPELLNVMSCAYEVGTSWGRGIGWIYNIATEDVATGFRVHRQGWFSRHCTIEPAAFRGTAPINLTERLLQIMRWSGGSLEMFFSCNNPLLAGGWLHPLQRIAYLNMTIYPVTSIFIIVYGICPLMWLFPEEFYIQRPFTRYVVYLILIILMIHMIGVFEIKWAEMTWKDWWRNEQFFMIGATSAYPTAVLYMLIKLVTGKGIQFRITSKQTITDNDEKFADLYVFQWVPILIPTAMVFAANVGAIGVALGKVIAFNGVWASRQVRHAVLGLLFNIWVMALLCPFALAVLGRRGKKPTILFIVLPIAFVAVAALVYIALHSLLVNFISF >Et_4A_032233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25301077:25302785:-1 gene:Et_4A_032233 transcript:Et_4A_032233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAALFSRLGESFLTEGQRRRGRQRKGRSLPRNGSATKVIIESDCSNVIAALRGRVDSKSDLCFIFTDIKSSSITENWSCVQRQSGEICAAHELAQLAKKTTHTAMWRSQVPRCIEQLIARDCNLITVAVCEQGRPKFGETVEFQYRWGSVFDVIG >Et_7B_055338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9515014:9517344:1 gene:Et_7B_055338 transcript:Et_7B_055338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSFHQSCEDLEQMAEFISEEAVEEAAAIDAQDSEIIDQEDRLSKLPDDILMCILDKLDVLCDAVRTSILSRRWRHVVGLLTKITLSTADFEPPEEHSKSAFDVVAQSNMDMVKAVTSILAHKSQHTIELLSLTFYLRDESIDIVRSVDHTMENREVVTASFAIFPEMRDMECTDNDMVVYGRRFLSFFYNYPRVFGSLKSLHMEGLRLGKSDVANILSTSVKLEYLCLNNCDCGIRSLLQIEHPQLMELEIIFCAFEQVELNRLPKLQRLTCEAWMPSQNQYPLSFGYVPQLWELCLINPGSTLHKTFKLSDFLGNAIIRQLDLDFQCDRIWIQPEAPRQLGLLFQNLQAVILRNIYDECDLNWTMFFLEAAPLLKKISIRAWDHVCYLYEEDEFKEHDELWQQILQKEQPTKWETPDGFKHYNLSALVINGFEAEERFTRYIRQVMKAAVNLERISLYDNSSCPWCHFSPSKRYPRTEEERHTITKQILEWRSSPINVKIGMHKV >Et_7B_054792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4461924:4466351:-1 gene:Et_7B_054792 transcript:Et_7B_054792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPRALFSRFLLAILVLMEPGEVRCYDLNKLEIHDDLLPFTQGLGFDSIANVPTGGLQIFLGNIIPYILCQESQMPKMHNLHHVVDGLDDVVQAWYLDDDSKEDIRLPHRRQHEDHVPLTRLLGNVPLLSHSYHADLGIMALRLDAENYEHDENLTITRDQLPMMNMRGKALRIDILDLTPDQPMLKLFFPEHLHTHDELRFCLEGSGYFDVRDQDDRWVRVSVKKGALIVVPAGIYHRFTLDTNNYFKVMRVFLGGHWKPYYRPHDHIPA >Et_5A_040610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10248106:10252139:1 gene:Et_5A_040610 transcript:Et_5A_040610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTAVESPGCPPLRAITTDILGLVKVVEARAKSAGAAKVVETWGAPDASRAIVAASLADRASDPVLAVARKNGVVELFNPLNGDSLVAVKTVGSAANDSGAEVDPLVALHLFSRLASDSTLGTFIACTEKGKAHTRSIAKENDSSGEDAGPSSTWDVCSGGNVQFCSVDHGESYAMFGGKGIEVNLWDITSCSKIWSAKSPRANSLGIFTRPWFTAGTFLCKDDHRKIVACTNEHQVRLYDTALQRRPAISVDFRESPIKAVAADPNGHDVYVGTGTGDLASFDMRTGKLVGCFIGKCSGSIRSIVRHPELPLIASCGLDSYLRIWDTNTRQLLSVVFLKQHLTNVVIDSHFSVEEPGETKSKQPEPSVEAEVRKEKKKKKSRIIEEDDDQSEGVEAEVRKEKKKKKSRIIEEDDDQSEVVDHSDMEAEMGTPKRRKSGERSKSHKKKSKKQQVA >Et_5A_040363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17012334:17012981:-1 gene:Et_5A_040363 transcript:Et_5A_040363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIRNITTNPEVRVTKENRAAGILENFDEGKRYSRHWFRRFIDGKRPEIMPGLNSFMADPKDD >Et_7A_053196.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:9929051:9929577:1 gene:Et_7A_053196 transcript:Et_7A_053196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSTPAKKKKKPAAPTGNGNNNPAGNGNGAVGKQQNGGAAKANRNGASGGGGNRSQQGGYYGNNGGYAAPTTKEQQQPGAAEDGADHPRPVQEQASVPLPTFGLWLDKSTKKAGASTGGATATNGAAEHP >Et_9B_066280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9708632:9715016:-1 gene:Et_9B_066280 transcript:Et_9B_066280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPLVVSPPPPASSSSQKKQRDDGGGGGHRQRRLDALRLRRVFDMFDRDGDGVITPAELSKALARLGVDTAMPGALDAVVAAYVAPGMAGLRFADFEALHADLAGGDGGDGGDAPGKEEEEMREAFRVFDENGDGYISAAELQAVLTRMGMPEAGSLARVRDMIAAADRDSDGRIDFDEFKAMMAGGTDKFGEGMMSENEEHGSILEKINEKIHEYKHSSSSSSSDSDDDKKPKKSKKKKLFGRKHPLHRVLGGGKAADLVLWRNKQTSGSILAGVTVIWLLFEGIGYHLLTFLCHALIVFLTVCFVWSNAASFINRSPPKFPELILSEVHFLKFAHVMRKEINEAFFTLRNVASGKDLKTYLTLGPVPARRRGLRARRAHAHHDVAHRGHHHAAPEYAEDRDFPRQHGPHGERHVPGAGEVAVQLQRAHREAVGEHGEADGQGLGAAGGDAAGGEVGVGGERASVVHFGAAGVVRERRHGARVADGEVGDERGVERRRWARGDGERGEAEPFELRRRGTRAEGRDDQRGDGDGEEEGRGEGDDGADAAAAAAGGFGRRGVAVVLAERVGCHEGALLRSRK >Et_8B_060378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11682284:11690091:-1 gene:Et_8B_060378 transcript:Et_8B_060378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLFLLLHLLVFLDLSSFALGNHQFAYSGFTGANLTLDGVASIKPNGLLELTNGTVREKGHAFHPIPFQFGSTPNGTVHSFAVTYVFAIYCIQSDICGHGIAFFIAETNNFSDAMSMQYMGLLNTYNNGNPSNHLFAVELDTNKNDEFKDINNNHVGIDVNGFHSVNSSSAGYYDDTNGNFHSLTLASYKMIQVWVEYDGHTTQINVTLAPLNMVKPFKPLISTSYNLSSVVSGKAYVGFSASTGSFIARQYVLGWSFGLNMPAPPIDVTKLPKLPHEGPRPRSKVSEIMLPICTATFVLVVGFIVILVIRRRLRYAELREDWEVEFGPHRFSYKDLFHATEGFKNKNLLGIGGFGRVYRGVLPTSKLEIAVKRISHDTKQGIKEFVAEVVSIGCLQHRNLVKLHGYCRRKGELFLVYEYMPNGSLDKYLCDEERKPTLSWDQRFRIIKGIASALLYLHEKWEKVVLHRDIKPSNVLLDNDMNGYLVICGRKPIEQTTKECNQMMLFDWVVSCWQKGSLEDAVDIRLQGAYNLDEAHLNKGFNPATIANSFSITKSNTGYDLSGGRFKYFAKSKDNALSNRPTRRQNVAVKERGTCSESPMVASADDDTNLSLDDTATLTSNGLLQVTNGTINRKGHAFYPTPLHFRKPPNGIDINGLNSIQSHSVGYYNDMNGSFHSMSLNSGEAMQVWLDYCGETDQINVTMGSLEMEKPARPLISTTYNLSTVIQEFAYVGFSASTDEIDTRHYVLGWSFSMNGPAQKIDIAKLPKLPRNSRKPQSKLLEGKPTLNWEQRFRIIKGIASGLLYLHEKWEKVVIHRDIKASNVLIDSEMNGYLGDFGLARLYDHGTDLQTTHVVGTMGYIAPELVSTGKASPLTDVFAFGTFLLEVVCGQRPVNHRTEDDQAVLVDWVLEHWRKGSMEKLRDWTDQNIIVDYCGSLLTFICV >Et_1A_005678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12677166:12681471:-1 gene:Et_1A_005678 transcript:Et_1A_005678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARVDAEKDAQDELEMEVQKYTRGKAVNVKRLPDKKLKGQLTVREKLYGQSAKAAAKADKWLKPTEVGGLEPEGLEKTYRFQQTDILKEAPILSSAQAFDMILPVLGPYTLEYTSNGRYMLVGGRKGHLALMDMLHMDLIKEYQVRETVRDVAFLHNEQMYAVAQKKYTYMYNRHGTEIHCLKEHGKALKLQFLNKQFLLASINSFGQLHYQDVSTGEMIANYRTGLGRTDVMRVNPYNAVIGLGHAGGKVTMWKPTSVKPLVTMLCHCGPVTSVAFDKGGHLMATAGTDRKIKIWDLRKYAVVKSFAARAQSLDFSQKGLLACSNGSLVEIYKDFGGHEYKLYMKHRITKGYEVGKVSFRPYEDILGVGHSMGLTSIIVPGSGEPNFDTFVDNPHETTKQKREKEVHALLDKLPPETIMLNPNMIATVRPPKKEKKTKKDIEEEMEEAIESAKKTEMKKKTKGRSKPSKRAKKKEEEVFRAKRPFLDQSKDNDGRPDKKQRVGEEAQLPKALQRFAKKT >Et_3A_023875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1388302:1393048:1 gene:Et_3A_023875 transcript:Et_3A_023875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKLFITSQVSTVSFVPNNLIVKRRKQVDIFRTSRAGLAKYIQFIASMPVKHPTSGARLQSPRSRVSEVEGDIRHQSGQIASRDESQSVFYNDLLPSLGARANQFIKLRKFIISPYDSRYRIWETFLLVLVVYSAWICPFELAFLRRLSWELFLVENIVNSFFAIDIILTFFLAYLDQTSYLLVDDPKRIAVRYISSWFIFDVCSTIPYQPFGPIFKKHANGLAYRILNMLRLWRLRRLSALFARLEKDIRLDYYWIRCIKLISVTLFAVHCSGCFNYLIADRYPYPARTWIGAAIPNYKSESLWVRYVTAIYWSITTLTTTGYGDLHAENPREMLFSTCYMLFNLGLTAYLIGNMTNLVVQGSCRTRNFRDTIHAASQFAARNQLPTHIRDEMLSHICLRYKTEGLKQKETLNSLPQGIRSSIAYHLFFPVIEKVYLFRGVSFTCMLQLVTAMEAEYFPPRELVILQNEAPTDIYIIVSGAVEERIAVDGVEQVHGMLSSGDIFGEVGGLCNVPQPFTFCTTKVSQLLRVSTTVLKNIIEENKEDKQIVLNNISQTMGKSLGLLNGHFINYNSCSAFNQVSQENESEERVAAPCRGENCTEHDESYRHGTIHKTEIQDVPDMINNWCDKGKGVNNHTPTKWMYKVDVHQ >Et_1B_011210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18961712:18965359:1 gene:Et_1B_011210 transcript:Et_1B_011210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKVAFLVLLAGHLAAGEPASTTTLTVTPATLTKSTPSITIQWSNLPSPNPLDYVAVYSPLESGDLDYLGFLFLNASASWATGAGSLTLPRLPDLRAPYQFRLFRWPSGERSKNTRVDQDGDLLPDATHRAAVSGNVSYEGAGARPAQLHLAFTDEVDQMRVLFVCGNAGSRSVRYGLAGLQEEEWEEVPTEMRTFEQRQMCDYPANDSVGWRHPGFVFDGVMKGLQPGRKYSYKVGSNSEGWSEIHSFISRDIEANETIAFLFGDLGTYVPYNTFFRTPQESLLTVKWILRDLENLGDRPAFISHIGDISYARGYSWFWDHFFEQIEPIASNTPYHVCIGNHEYDWPLQPWKPSWAAKIYNGKDGGGECGVPYSIKFRMPGNSSFPTGTQAPDTQNLYYSFDAGVVHFVYMSTETDFTHGSDQYRFIKDDLERVNRSRTPFVVFQGHRPMYTSSNEVKDTVHREQMIQHLEPLFVKHNVTLALWGHIHRYERFCPMKNYQCLNTSSSFVYPGAPAHVVIGMAGQDFQPSWEPRRDHPDVPIFPQPERSMYRVGEFGYTKIVATRNKMTLMYIGSHDGQVHDMVEIFSQQVDSGTPDKLVGEVPQNTLFYVVTAGSVMFALLLGFMAGFAIRRRRDSARWTPVNNEES >Et_1A_005935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15805386:15808380:1 gene:Et_1A_005935 transcript:Et_1A_005935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFAKKPTARGTIRFPSPTAQFLIGIRSNPASAAAIPTAIAPSLSPLRTSNSNRQGNRPRAPRAPFQRDGTAPGSNYGLLVSGCGCAETIRSSKRELTNATRGIERDIATLQQEEKKLVAEIKRTAKTGNEAATKILARQLIRLRQQISTLQGSRAQIRGIATHTQAMHANTSVAAGLQSATKAMGALNKQMAPAKQMKIMQEFQKQSAQMDMTNEMMSDSIDNILDDDQAEEETEELANQVLDEIGVDIASQLSSAPKGKIAGKKAQVDESSELEELEKRLSALKNP >Et_7A_051585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20698054:20702400:-1 gene:Et_7A_051585 transcript:Et_7A_051585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCLTDLPDEVFLEILSRLGIRDAARTSAVCRAWRHRWRPLSNLPFASQTVGATDLVSVAQSVLLRHLGPVRKFGLFASGPPLPATGDRRIDDLVGHVAHPCHGLMVLCLCVPRYRVHSSIFSCVKLVRIWIRGCLLPNLTPEFDGLPKHSDLYVHYTSTLTPRLNSGQLYTLGALISGSPSLRKLDLIVEPVVPGLMLKISAPRLRHMVIESWSADWMVEFQSQLPSITSAKLRLPVSRYIQEGGSKLVHILRSISRVEKLELLDASRMLVLSNAELLENFFVTHRATAGHESSSLEACIEIMKFKRASRLAQINYKRPAAHYHDHHLLLQLVFRVHSTGGGASRIATGLFSGSGTRNRDRTSRFGVCCKTLVMLWLSRHPESLSFCRLWNAGKHIRNLLPSLQPSWLTLRCRCLACLKLSRSNRNAASSSSLDWFGPQKSMMPEWILGEPWNANSDAAGTAPR >Et_3B_029149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22450395:22452869:-1 gene:Et_3B_029149 transcript:Et_3B_029149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIINGAVLPRYAASATGLLTSDARISGHLQLLGRVRLRRRACGVQADCEDGVARRFFGPPSQRHGIWDSGSPVCCSFGSSSDGDGAAAANFDASGEEFVNSSVIEAVELRSVSDGFMIKMRDGKHIRCVQNNPRVLRLRDSAPHHAIVLKMEDGSNLLLPIIVMETPSIMLLAALRNIRIPRPTIYNVVKEMTETMGYKVQMVRITEMVHDAYYSRLYLAKIGNEEETISFDLKPSDAINIAFRCKVPIQVNRHIAYNNGLKVVQPKSADSYVGSDDIQITGLDRPDDQPSREAQEFDLVRNMLIAAVEERYKDAAQYRDKLSMLRSKKKNAI >Et_2A_015078.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28909479:28912439:-1 gene:Et_2A_015078 transcript:Et_2A_015078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPSLPNPSAASTSTTDVVAELGRILSTRRWNKGRAFKRLAPSVTAAHIADLFRASNGVSPPDPATALAFFEWVARRPGFRHTTSSHAALLQLLARRRARANYEKLVVSMIKCSDTPEDIRASVDAIEALRRTGGARLALSPKCYNFALKSLSQHGMTEYMGKVYSQLVEDGLLPDTVTYNTMIKAYCKEGCLATAHRYFQLLRESGMETDTFTCNALVLGYCRAGDLRKACWLLLMMPLMGCRRNEYSYTILIQGLCEAQRVWEAVVLLFMMQQDGCSPNLRTYTILINGLCKDGRVRDARVLLDEMPRKGVVPSVWTYNAMVDGYCKAGKTEDALGIKELMEGNGCDPDDWTYNSLIHGLCAGKIDQAEEFLNIAIARGFKPTVVTFTNLINGYCKAERIDDALRVKNMMASSKCRIDLHAYGMLVHSLIKKDRLNEAKETLKEISANGFVPNVVIYTSIIDGYCKRNMVDAALEVFKLMECEGCRPNAWTYNSLMYGLIQDQKLHKAMALLMKMQEDGIPAGVITYTTLIQGQCNKHDFDNAFRLFEMMERSGLTPDEQAYNVLTDALCKSGRTEEAYSFLVRKDVALTKVIYTSLIDGFSKAGNTDTAAALIEKMVSEGCKPDSYTYSVLLHALCKQKRLQEALPILDQMSLKGIKCNTVAYTVLINEMVKEGKHDHAKRMFKEMISSGHKPSAPTYTVFINSYCKEGRLEDAENLIADMEREGVQPDAVTYNIVIDGCGHMGYIDRAFSTLKRMMDASCEPNYWTYCILLKHFLKISLVNVHYVDTSGLWNTIELGTVWQLFEMMVKHGLNPTEVTYSSIITGFCKAMRLEEACELLDHMCGKGISPNAEIYTTLIKCCCDTKFFKKALSFVSDMIECGFQPHLESYQYLIIGLCDEGDFDKAESLFCDLLGMDYNHDEVAWKVLNDGLLKAGHVDICSQLLSTMENRLCRVDSETYAMVTKNMHEASGCVVSELREAT >Et_8B_059544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1959504:1968421:-1 gene:Et_8B_059544 transcript:Et_8B_059544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVVVAPPLDDADTALAGAPWTPRALVHERKPEERTENGSQKEKPQLEGAMNEDEHREEDRKRILALYKEKRDEEDRVRGRRERIRAAKDLMEAKRTLEENQRKRMMESRIADQEEEKRARERIRQRITDDKVKPVEHVVTSERLRDCLRNLKKNHKSWGVTVGDVAKLRKLNASYSLETGKRWPTGLVLPPTRHVTCFESFVSVRSRSSSRRMVQKEVMERRAAVEAFLVIVPEELSDRDAPVHRRVLIRH >Et_3B_029110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22109031:22119138:-1 gene:Et_3B_029110 transcript:Et_3B_029110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSGKLICACLALALAAASGAAVNIGVYWGQNDNEGSLAETCATSLYSFVIISFLSSFGGGQTPVMNLAGHCDPQPGGCVKIGSDITGCQSSGIKVLLSIGGGGGGNYSLSSASDAQACPYPDASLAAALGTGLFDQVWVQFYDNPSCQYAPGDVSNLKRAWEQWTRALPSAYVFLGLPASSDATGSGGYIPPKDLALLVLPVVSGSANYGGIMLWSRYYDGLNGYSTNLQSIIVKNTADPPIGSPVPGSPGNVSIAGSPRKTRIRTYIIAGTSSGIFGVCMVFLALLLWYKRHYGIMSWQRGSTNAPRIESFLQKQGTSHYPKRYTYSEVRKMTKSFAHKLGQGGYGSVYRGNLPDDREVAVKMLKDTEGDGEEFMNEVASISRTSHINVVTLLGFCLQGSKRALLYEYMPNGSLERYTFGSNSAEGENTLTWDKLFDIVVGIARGLEYLHSGRNTRIVHFDIKPQNILLDQDFCPKISDFGLAKLCRQKESKISIAGARGTIGYIAPEVFSRNYGAVSSKSDVYSYGMVVLEMLGARKQIEVISTDSSSKYFPQWLYDNLDQFCGATTTCEISSDTTELVRKMTTVGLWCIQFTPADRPSMSKNVNEGPLAEACATGRYTFVIIGFLSRLLCACLLLAHAASGAGIKIAVYWGQNGNEGTLADACSSANYAFVILSFLSTFGNGNAPALNLAGHCDAGSGNCTGLTSDIQACQSQGVKVLLSLGGGSGGYGLASSDDANSVANYLWDNFLGGNSSSRPLGAAVLDGIDFDIDTGEPAHYDDLANALRAKGQQMLLTAAPQCPFPDASIGPALEAVRFDMVWVQFYNNAPCQYANGDDAHLVSAWRKPGASTSACRPPPTRRGAAVPKRGLKEKVIPAINGVHNYGGIMLWSRYYDRANGYSVKLQSTDVIAGASSLAGVCIILFTFFLWYKKYYGKMPWQGGSKNAPRIESFLQKQGTTHPKRFTYSEVRRMTKSFAHKLGQGGYGAVYRGNLPDG >Et_1A_004750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37663555:37666534:1 gene:Et_1A_004750 transcript:Et_1A_004750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRMFGCLSLASSRKPAAVAASSSPSLTPATAPSTTRTTTWRSPGSTARYAAPLRPPVSASCDSRPNPDVAAARSASVSSVSGRSSSTTGVAVATFTAPTATSSSSHTAAFPSFFLLFFALLFRRRFRPRAAHHAKSTSASAVTAAPTAITDMRTARLCFFFPPLDGVVAGAGAGDGAMSSITSDAALPALRNAERPNFRTASARTTPEKLLLEMFKNTRPSSGGRSSGNLPLIEFPDKSSRRSRVSFRNPSGAALITFRDTSRSPRLPASAATPSTEVKRLPDASSDASRALGYNWPSNRSAPARRLPETFTARSDGARQNAASMTPRRALPERSTVRRLVRLPSAAQSTAPVRLRDGKRSRRTGRHARRRGGGKGKLATRRNAARTMPTSSSWSRVQFDEPAASVAAVAVEEEEQDEAAGGQVVAWHLGLPRCAAPRVPAA >Et_5B_043447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10556803:10563427:1 gene:Et_5B_043447 transcript:Et_5B_043447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPRRRPGKRPAPPGAEVGDSDGADWISLLPDELLHLVLVRLGCAREAARTSILARRWRGLWTRLPGYTFRNMEPEAVETALAQVTRPALDRLDIKADLRSKAALGRVSWLLRAAARLSPDKVSVNLDNHVGGTRAGVLLPCFYHTSSLVLNMGGVPIAPPPAGEFSRLESLELTTRSNIFATLLPSCPRLHVLRILDTRELNEVTVHSSMLEELVVQCFSVFTEIRRIDIDAPELKKVKVDVEMDQEFSLTFFAPKVEELDWSFRSMHGNIGLATLRLCTLDYSLCQGVCSLRLKMDSTVRLVCLFSKVQPNFHICGVLDEIEVPDRTSAQEIARLGVTDFSVLEVVVETNGHAFGPLLLHLLQIQPAIRRLKVVIDEGEVTTQFYLRTLINSSLSYGYACLEIIHWFSYVCAQDEEEELCPQNCPCRQPINWRSKMIPLLDLEEVFISYYLEGGDEEVDFLKLLFQCAPGLKSISSSRVMLSSPSRRPCKRPAPPGEEVGDGDGEDRISSLPDDLLHLVLVRLGCPREAARASVLARRWRGLWIRLPEYTFRNMELEAVETALEQVTRPALDRLDIKADLKSEPALGRVSSLLRAAARLSPETVSVNLDNLFEGDTVIELPCFDRTRSLVLEMDGVPIVPPPAGDFSRLESLELTTGSNIFAALLPSCPRLRVLRINACSELKEYVIPIPDRTFAQEIARLGVTDFSVLEVVVETYGHAFGPLLLHLLQVKPAIQRLQVVVDQCEEKNKESCPQNCPCRQPVNWKSENLSLTNLEEVLVSYYHFKEGDEEVDFLKLLFRCAPRLKRMGVGARDKVYKKICNLYEDYPHVKCEVR >Et_3A_024351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:194825:195735:1 gene:Et_3A_024351 transcript:Et_3A_024351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGSRILVIGGTGMMGHHLVNASLAAGHPTLVLVRPDAAAGDPGRMKLVESFVARGANIVYVHKTGRTLEYYMPEDELLKRIQDSPPPLNFQLAMVHATVAAGVCDQAIHHSAGVEATQLYPDFNFGTIHDYLDSLLLAHPHLIKNPPN >Et_10A_000556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12692715:12697158:-1 gene:Et_10A_000556 transcript:Et_10A_000556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCETCHLKELVGSASPAFPWFFLSPILAILFFDLSVNLILWAAGAGINRGILHTIFFHRTLSLVRPKDVDCDFFEITYVQCGLPELEKEVDEKIDQFVAWVEKHPNRKSQVCLSFFDEKNKHPGWFGNKTERVYWEQWFINLQVMSPKRYSKSSSSKGLTNIGGNALEETSSRRSALESSIHEVLFQIINFANEKKDHIPAIPDRIFNHEIMVPSSSDSVFGWNTDVLRRVLNSGHPYSL >Et_10A_000396.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:8617146:8617235:-1 gene:Et_10A_000396 transcript:Et_10A_000396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLILIRTHLNGDWKRKGCTRQDLCIES >Et_2A_014593.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:26390326:26391341:-1 gene:Et_2A_014593 transcript:Et_2A_014593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYEEVWEFFVFLLSDWFLVSLVCKYATRWCQLRRLQRWRRTFAWSVRGIMFARSLMHRPGTSIAQFSVLRFCGVTMPAQLPAVRLPILLPSVPVPDQVKRSVTEHLVTKSNATTGLSNGRSALAGLQYADLVPFCECDGVAEVILTWHVATSLLELEHPPPAAGQSASTSTSTSRSSVQLVATSLSKYCAYLVAFHPELLPDNQDSAERVFKAMQAELYGLLGFWGYYLSPCRGTRYRKIIAAAGEPADGGQEEEAAAPARSTSTNVVKKGAALAKMLASKAGAEEDVWGVLADLWVELVVYVAPSGDEECVEGHKNALAKGGEFVTV >Et_1A_008760.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:1255551:1256735:-1 gene:Et_1A_008760 transcript:Et_1A_008760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLQGDVAISPSFSSTGSSSGSSNNVGGAGCAGVRIYACFALGSSNSFECYEPGDNTWRRVGALPDVPDGHVLKGFAVVALGESVYLIGGRLCRRERDAAAAGEFRDTDVGVRADVLRYDVRRGEWRRCAPLLVPRFDFACAPCRGKICVAGGQCSLTGARGTAAAEVYDEEKDQWSALPDMSTLRYKCVGVTWQGSFHVVGGFAESTLTAAGGDALLAPVNTMLQSSALERSSAEVFHCARGSWEILPGMWQLDVPPNQIVAVAGRLFSSGDCLNSWKGHVEVYDGELNIWSVMDHSALPDLSLLASLPPSAQRLYLTMAVVDTKLYFLAGYQVPSGDDRFRTVSLVHSFDTRAAPGLARAWSSFQPKTDQEDFEDGNKELFSQCCSVQLSS >Et_10B_003088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16379424:16383421:-1 gene:Et_10B_003088 transcript:Et_10B_003088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPTPPPVATGATPAKSVSTTPSPPSAPPPRTGRRQLLVSGSGSLLALAAAGVTSSSCNARAAGAESGGGGALVGFAGPVVTEAERAASAAVSRRVGEAVGLLERGRALQARGDFPEALASFTAVVREYADLALSDLMCRNWPERRKWDEAWASLRLKNSKMKKKASVVKKSRNLEKQFGLGLFSDSLGCKCWPDAIEPVVLPAAKKQSRKDVVATSGDHEEVPLARITTFGTSLSLKDEAAFCDGQRSNRDRNIGSVLALADFLLVRLNQTSSTEDVRLHFLEAFLRQGDLSKELPCRSFRCLLIVVPPLQHNVATDLPHRRVHLRVQQLLAHFAQSLFLSVALHYATHKSWLAHHRNSVKI >Et_8B_059296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16300671:16308255:1 gene:Et_8B_059296 transcript:Et_8B_059296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLVEAQEEQGREHMFDKVLTPSDVGKLNWLVVPKQHAERFFPVAGAGTLLCFEDRAGAPWRFRYSYWGSSQSYVMTKGWSRFVRAARLAAGDTVSFSRSAGGGAGGRYFIDYRHCQRRRREISFADAAAVPAWPLHHHDRLLQRTMMAGGEGASAAYAAVDVDDTSMSSVPATKLRRRSKRRMSTVTLSHGVERSSRGSTMFIFIYRDTWSPSAVNQLYKHQEHIKERQTKTINENLTHYMAMQNEDKPGAKAQMAFRTRRARMLGHRMFEEDQMKKHEKLKLLSSMVNPRNTLMDLGSHHQPLKQMS >Et_9B_065674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:953554:957137:-1 gene:Et_9B_065674 transcript:Et_9B_065674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRKKLKQKLDTLLPAAGVEAEDLGAEAQALKERLLSAKRPRPKRPPCKKMSPEEEEALRLQAEEEWRKEVELKREERRKEKKEKRRIRRLKEAEAAAAAEETRKVEGEVESEAEDQEDPVEFADPAVGSDHPVVAEDRENADKAEVTKPGVGSNNSAVADDREQSIKKVYVGGIPYYSSEDDIRSFFEACGSITAVDCMTFPESGKFRGIAILTFKTDAAAQRALALDGADMGGFYLKIQPYKSNKADRVVRQKEDFAPKLIEGYNRIYAGNLPWDITEDDIKNFLSDCKISSIRFGKDKETGDFKGYAHVDFSDSTSLAVALKLDQKVIKGRPVRIRCAVPKKDSQTVNDNGKSDPPKNKIRTCYECGTPGHLSSSCPNKKDSEIISDEKKINVDSATASSKKRRTCYECGTPGHLSSACPNKRAADSLSNNREPDDDAKPSPTIVYEEKKVGDESNAAPSKKRRTCYECGTPGHLSSACPNKKAAYSVTNNRELDDNAKTAPTTVPEEKNVGDESNSAPSKKRRKCYECGIPGHLSSACPNKKASEVVRVEEKPEIVSETVPSAVADEMKASDDTKPVPAKKKKRRTCYECGIAGHLSSECPNKAAAEVK >Et_3B_029080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2235160:2238229:1 gene:Et_3B_029080 transcript:Et_3B_029080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRRPQMVFRTGLGSNQMDRRRRMPRLENTDFVLFPGCRINDANAPVAELLEGVDIRILVGKQEYVGKEETIGIQHNFYSSGMELVLNGHVYSINDHATMEDAATD >Et_1A_006399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21926070:21926923:-1 gene:Et_1A_006399 transcript:Et_1A_006399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKRLIQQNMPALRFKTCRLLPGNVRNRELSLIQRRILRRLRNKRRSIKRNLSQRENLNSNIKSQTTRKLSLYYGDLPIREMHRGRERTSYIPFLLNQETRSDVIPVCLRFSDTLPQARQPISHRRACSNNGLVTITHLKVSHGDLISFQENDARTRGEEEIRRSFYIDILVGKIIGKFLPNPGKNRSRIVPLEKNKNMSFHDFKNHEIAQFGNKERFPDPPKKGKEGRATSYLFTKALLTQLLVVSTPGDETRPGNEIFSKLGSGLSGLAGLNGSGFVLN >Et_1B_013369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7817053:7821619:-1 gene:Et_1B_013369 transcript:Et_1B_013369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDEASNDLCLCEKHEIGNTREEDKASHDTELEEGEFRRDEPFGSVSLVHKDVDARAKLSSSFQVAVQRDLVNTPQSMSPERGSHQGGDANSIAEHSNYAPLECQSVNVRKSTFHRKCSEKLLRSSSPSFHISSKERQRLRQLSCFRSYEYHHVLEKVEKVCSERLSKLLLKQNGDRKEFNILQKKQELEFFQENARSYKIRYERVRPTVRYDRIKLPKLLFCTLRETFQKYIQHQLLKFVKRQINDRNKEKRRKERWIFEAKAGYLKKYFDETSLTQSGLEMEKSKWDMHDYFDGEQQLQYLDMQDLTSAIEAIASGGELADSYASKNIDVSEPILENLQTSPVTNDSSKHGLSGDAADEMATIDSMPSQSYAPMEFSEKDGAQAAFKSPLQNQGEKVGGSCSFKEAMDEALEVAKEVAVGSENILSVSTEKRRRASSGDDASEGSCSRSQRKSPHGIISKCRRTALYHKEPQAAMLSSSVIVNQREQSGNSCREEGSSDQTSSAAQVNEQLNINADPSTSTQILTQRHRCDLIGQNGTHPYQPSDGDTRSVGIGLGSPEASNVQPTNQMMTRSMVDQYMPEFRLQADRITSELTQVLRSCIDLAPSFTQATEQQNNDACSSLLTQHETQHQYCDQIHQTVAHQYQPSGENTSSLRTWFDSSGDSNVQQQPSNLTTAGSTSEQYMNESGLQSDPFRIELHRLLTLRDLMTKRHLFEKQKIILEREMAVAELKRKYDEQFHNLEMETLQKKKDIETLRTKVCKQQILAEAFQVVNKGSAGVASDSQREPISGATSRTTEVSNRLSVRRILRIPAPAIVSQPRQPSEQSSTNQFLCQPSMITQEGTTNTSGRFAPTLAPAPGVVTGPGIASHAPAPRFRAFLTSLPASRRGSVALER >Et_9A_061985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18587242:18592440:1 gene:Et_9A_061985 transcript:Et_9A_061985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQAYSRLGSFGGAADTPSPSPPPPPLLPSSPARAVGGGGAGVGWRGAAGRAARAVLAALLRRQAVFLFAPLLYVAAMLLYMGSLPLDVVPRIIARQAPGSVYRSPQLYARLRADMDADNSTDALATVWRHTSKGGIWRPCINNGTKGLPESNGYIYVEANGGLNQQRTSICNAVAIAGFLNATLIIPNFHFHSIWRDPSKFSDIYDEEHFVQRLQNDVRVVDKVPDFIMERFGHNLSNVFNFKIKAWARIQYYKDVVLPKLVEERFIRISPFANRLSFDAPTVVQRLRCLANFEALKFSKPITSLSETLVSRMKEKSVESNGKYISVHLRFEEDMVAFSCCVYDGGDEEKKEMDAAREIGWRGKFTKRGRVIRPGVIRMNGKCPLTPLEVGLMLRGMGFSNKTTIFLASGKIYRAEKYMAPLLEMFPLLQTKETLVSEEELAPFKNFSSRMAAIDYSVCAQSEAFVTTQGGNFPHFLMGHRRYLYGGHSKTIKPDKRRLAVLFDNPRIGWKPLKRHLLNMRVHSDVKGIEMKRPNESIYTFPCPDCMCRLNRTEHSKPRHNR >Et_6A_046123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26648181:26648518:1 gene:Et_6A_046123 transcript:Et_6A_046123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKKGAGVLPLAVLLAVAMIVVCSSCVAADHCEIIGPCKWDVCYNFYVANNYTGNFETFCLPSMTGGPYPSCCCRVPG >Et_7A_051356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17359870:17360210:-1 gene:Et_7A_051356 transcript:Et_7A_051356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASYDDVVHCTGVGIAWSAFKPVLAAKAGKVVDVTANASAVLRSVVHREAAGLVPLLLWPNNADLEFLVRLLEEGKLRKDSRFTLSQASEAWEKSAGGHATGNVLVEIEG >Et_3B_031323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25942936:25945220:-1 gene:Et_3B_031323 transcript:Et_3B_031323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISLRDGFYYIYEFVADHNHILATAEEAHHLRSQRKTTEAQLASIEDAKAVGISNKAAFHQMAKEAESDETYSMAMTDAIKLAEGVEKTLKIRSDPELNSSSPPQALSNYIEILVVTQQNNNFVKPKSIKTKQKTVRGYARPIGGFEKGTRGGKKKKNDPNGSGSAREVDTVTHSQPHYTGLGHQIGNPMDQIQRHIPVYSPFVNGSQTSSFSGSWFGGPPHGQGIPQANASVPSSLVHGLDSDHKVKLHKEMQQRYVFWFLV >Et_2A_018415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27307927:27310855:1 gene:Et_2A_018415 transcript:Et_2A_018415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKQLLLVHLLLFLPSSASPAAHHDRHYRTRRALHEPLFPLESAPALPPPPPAPFFPFMPRATAPPTATPDAGAAATPTPEVGAGIPTTPADAGAGAATDPAAGDSSSQHPTAPANISSLTALPVSHPSPLRSFLSSHHLLTVLLLVAAVAAAVLAAALVYLLACRRRRRRASPKKELAVHTKPASLPPANLALYDGDNQHGRGSTATVSSTSSPELRPMPPLPRQFQQSRMSTPSSSKAVLGGAGTGGKRAPEVAPPPPPPPPPPPPMPPAKGNGGAQAAAGPPAPPPPLPRAGNGSGWLSRRNTERSAATVIRASAGAVHPEESPGRAHSDKDADAASLPKLKPLHWDKVRASSGRPTVWDQLKASSFRVNEEMIETLFVSNSTRRSSKIGAKGANGNLCSQENKVLDTKKSQNIAIMLRALDATKEEVCKALLDGQAEGLGTELLETLLKMAPSREEEIKLKEYREDALSKLGPAESFLKAVLAIPFAFKRVEAMLYITNFDSEVDYLKTSFKTLEAACEELGGSRLFHKILDAVLKTGNRMNTGTNRGNASAFKLDALLKLADVKGTDGKTTLLHFVVEEIIKSEGASILATGQTSDQASMADDLQCKKVGLKIVASLGGELNNVKKAAAMDSDSLASCVSKLSSGVRKISEVLQLNQRLGSEDSCKRFRASIGEFLQKAEAEITAVQAQESLALSLVRETTEFFHGDSAKEEGHPLRIFMVVRDFLTVLDHVCKDVGKTNERAAMDSSRRAANASVRPRFNTAHSTSSEEESSSS >Et_1A_008727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10901424:10905763:1 gene:Et_1A_008727 transcript:Et_1A_008727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPGVAWRSWFPTIGCLLHSSRRRKNSPVAFSPFPPLAIASDSAARSLALSISLSLSLSLLFSLQLLDGPTRTTTSPGDINGPTRCDLGLHGPNSPAHSAVLLCGCARLYLMAMATSSAPLLPNPALPARPNTCFLSTPKTRLARRQATSDHLHAEDGKWRRQPSGPPPSGRGANRRLRGLVQRGQIEEALGLVDSISGGGTCPAVVPCNILIKRLCSGGRITDAERVLAALGPSATIVTYNTMVNGYCRAGRIEDARRLIDAMPFAPDTFTFNPLIRALCVRGRVPEALAVFDDMLHRRCSPSVVTYSILLDTTCKESGYRQGMALLNEMRAKGCEPDIVTYNVLINAMCNEGDVDEACKVLSSLPSHGCKPDAVTYTPLLKSYCTSERWKEAEELLVTMARNKCAPDEVTFNTIVTSLCQKGLVDLAVRVVDQMSEHGCIPDIVTYTSIIDGLCNEKHVDEAVELLNRLRSYGCKPDRVTYTTILKVSFTAPLAAAAGALVLLDVLRLCGGDADALAMEPLLADVTADPKFTICVALSTRATQVSLVLITCILPATVFLVFRVGKRVADN >Et_2A_018597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34436050:34438458:1 gene:Et_2A_018597 transcript:Et_2A_018597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSMAEGQGEVEGPDADPLPDDLLSDREEGGDGGLGCGSDEEEDVDGLASFLESEILSGSSAGDPLDEGEEEDEKSDQAAGDVANNKRKQDSSLDADGGEGGISGSSSEGEQNKRVRRAQAKGKAVAPQIDTGMFSNIPPELFLQIFKFLSSEDLISCALVCRFMNAVASDETLWRRLYCMRWGLASNAKLRECAWKNLYIQRDREDMVEFVRNTPTEFKEYYIQMQAAKRSQAPLPSEVNDDKVMLDKTIADQVSSWKSSRGLTDEAVKGHSCSGNTCSYTQIGDAYICEKTGRVHVCDDACREFVLDQSSGLLLCTISGHCFERWLCPDDEWDTYDNDTDQQQGGVADEAEPFMGSGRFGTKSTRAYMLGYNCTDEKELEHALRFC >Et_9B_063793.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14357294:14357470:1 gene:Et_9B_063793 transcript:Et_9B_063793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVICWIIWTHRNRIIFDHQAVSMVRWRKELKDELTLTLIKVKTSIQTDFEEWINSLHL >Et_1B_010728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13408175:13411424:1 gene:Et_1B_010728 transcript:Et_1B_010728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDGEPRIVDQLSHERMYSWYFTREELEKLSPSRKDGITESKESETRRLYCSFIRDVGIKLKLPQMTIATAIVFCHRFYLHQSLAKNGWQTIATVCVFLASKVEDTPCPLDLVVRVGYETMYRRDPLTAQRIRQKVHNIKLPLHGAHVWWHQFDVAPKPLEVEKKMLLAAAVIQQMMEHVALNKLKSASPSPIKQKDTLSEAKLIASNSPDSVLNQSSLSISSSSPDICEPSGQYLISTHTADCRVSGPDSSSSSVSINRVGASKEHDEEESLDQCVITKHGDGVTLCKNQSSLDAIPTTEVSTECMKQGGLHCNDSSAVNGNNLYQTSRNWHGDGVDPSSEVVSLNAEMDRRNTQRMEPSVANSNNCSDSLNAHNICPDRRLTNAAAGSVDGAPSALPVEIEVDHLSTKLKKVDVARIKDLLMKRKRQRSIQVQAIVSEDLSEEAWIERELESGIVTKKETDHADTSDELGDQAWIERELESGIIVGPSNEQVISLDGLSEDDWIERELESGIIVEPAPASKKQKL >Et_4B_036414.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:22885231:22885353:-1 gene:Et_4B_036414 transcript:Et_4B_036414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTEEVRDHLRKVSAGLEVAALELYASNGWRFNNRLDPL >Et_1B_011469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22594877:22596234:-1 gene:Et_1B_011469 transcript:Et_1B_011469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDFFWLSPTDQGDLSDVVRASLHQLPAVATPDAATVYSHESLGAHDLPEEEELLPLQGANSCCGDDLDRYGVHLQQRLVHGDGMGVTVSSNSSSCDPLLLQPSPSCYPQQEGLVRHVMSGPPPQQLGAAGVVEGECVDAAAPEMDDHGLDMAMDHAHPHAPAIKRRKSQMKKVVCIPAPTAAPPGMGGRASTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPSMLVITYTSDHNHPWPTQRNALAGSTRLVASSSATAKSHHHQYHSTAAAVPPPPHRHGTNNIVGDGGTPISISNDARHQQQLPKQEVLDVMDQYHRPKQAAVADHDLDMFADMDGALNILSASSFGAQKQQQHATAADDDDHLEQDDKQQLLDPFSFSFFDWVGASFGIGEANKDGYT >Et_5B_045474.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:2659614:2661590:-1 gene:Et_5B_045474 transcript:Et_5B_045474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSGTIVFASVGVISFGFDVFSVAVPASPDDEVSASRLDERRHTDGVSVNFNGQFADDAGEAVAFVSERTGAASLFLSRPGAERPEPLPAAEGSLFHDRPTVRGGRVYFVSAHEQQEQPFRSWAAVYAADVEGGGEVTRVTPRGVVDMSPAVSASGELVAVASYGDRPWAFDFRVLETEVAVFRAADPARRVVVAGRGGWPAWHGDRALLFHRVADDGWWSVFRVDLSPETLEPAGPERRVTPPGLHCFTPATAGGRWIAVATRRKGRAQRHVELFDLETERFSPLTELLNPEIHHYNPFFSPSGGRLGYHRFRGSGAPGDSSVPHLQPVRSPVGSLRMLRVNGTFPSFSPDASHLAVNGDFFQTPGAMVLRADGSKRWTLTKQPNLFYTAWSPTEPGVMFTSVGPIFETTKATVRIARLEFVPSRLAGDRDDKEEVGVTLKLLTRPEAGNDAFPSVSPCGRWLVFRSGRTGHKNLYIVDTARGEEEGVRRLTDGEWIDTMPSWSPDGELIAFSSNRHDPANPAVFSIYLVRPDGSGLRRVHVAGPEGSPEADKERINHVCFSPDSRWLLFTANFGGVVAEPVAGPNQFQPYGDLYVCRLDGSGLRRLTCNAYENGTPAWAPDLGLKSLSLGPAAGDKEALGKFDEPLWLTSEPLSL >Et_3A_023594.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:9147081:9147326:1 gene:Et_3A_023594 transcript:Et_3A_023594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRSALAMLCRRSCGTSGPSIAASMGGRGLGIHPVFHPAGPRTPPMVRPAAVRNLEVRRIKSEVPFSICVELSFDFCIC >Et_3A_026576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9795209:9797568:1 gene:Et_3A_026576 transcript:Et_3A_026576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGVPNPSSGYEASTSGTIDRLPEVMNGMSIRDDKEVEAVVVNGNGMEVGHTIVTTVGGRNSQPRQTISYTAERIVGQGSFGVVFQARCLETGERVAIKKVLQDVRYKNRELQTMQVLDHPNVVCLKHYFCSTTDKEELYLNLVLEYVPETVHRVVKHYNKMNQRMPLIYVKLYMYQICRALAYLHNCVGVCHRDIKPQNILVNPHTHQLKLCDFGSAKVLVQGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQVLGTPTRDEIKRMNPNYTEFKFPQIKAHPWHKIFHKRMPSEAVDLVSRLLQYSPNLRCTALEALIHPFFNELRDPNARLPNGRSLPHLFNFKPHELRGLPMEFLVKLIPQHAKKQCAFLGM >Et_3A_026563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9737057:9738287:-1 gene:Et_3A_026563 transcript:Et_3A_026563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSAAFLCALVAVQAAVLLATVSSAQAGELEVGYYSKKCRGVENIVKWHVIRALKANRRTGAALVRLLFHDCFVRGCDGSVFLDKSYENPKPEKEAQANIGLAAFDLLEEIKAAIEDRCPGVVSCSDILIYAARDAASILSNGHVHFEVPAGRLDGFVSKAEEAQAELPDSAHDVEKLIETFAKKNFTVEELVILTGAHSIGQGHCSSFRGRLSEPASQITPAFRDLLNYKCPQGSNPVVDNNVRDEDRNVVARFMPGFVSRVRKIPDFLDNSFYHNTLAKIVTFHSDWTLLTHKEALGHVHEYADNGTLWDEDFADSLLKLSKLPMPAGSKGEIRKKCNIVNHRLY >Et_10A_000486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11149942:11153472:-1 gene:Et_10A_000486 transcript:Et_10A_000486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRPSKAAVIGRSSMRNSSFLLPVLLLAATAATADAEGLTVMPGCQGSCGGVDINYPFGIGSGCFRKGFEISCINRSSVGAMPVLATTNQNIRVMNLLVAPPKVCVMLPVAYQCFNSSGDITKSFYGDVNINPDGVYRISNTLNELYILGCNTMAYTNSGVLHTGCIAFSNDSSLPRNGACDGVGCCHVDIPPGLTDNTMKMGSAIKDGWSHKNRQFCPCDYAFIVENANYTFRASDLTNMPMDTHLPMILEWAIRDNGATSMTCAQAKNKPDYACLSDLSDCVDSTNGPGYVCNCRSGYEGNPYVVNGCTDVNECSKKDLFPCHGICTNTNGSYDCVCKPGYESADPKENECHLKISRSARIALGISVGTFGLIVTLLGTWLMFKHKELKANKRELQEKERELEAIAKKNGSEILKHVKTLMIFTKEEINDITENNAKYLGRGGFGKVHKGTLPDKTDVAVKESIEVTEYTKSEFVKEVEIQSRMMHRNILKLLGCCLRVDLPLLVYEYAEKGSLEDILHGNGTRQPQPLSLNSRLNIAIGSAQGLAYMHTYTENGIQHADVKPSNILLDGELVPKISDFGLSKMFQKGKQYTKNVVGCLNYMDPKSILNSHLTPKSDVYSFGIVLLELICRKPVVYGESRLTSEFKKVYDQDQSGKAMFDKEIAQEENIPILDEIGLLAMKCLNENFEGRPTMESVASALVILKDTWKKNNNATSYH >Et_1B_013987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26435116:26439106:-1 gene:Et_1B_013987 transcript:Et_1B_013987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAVKEVGSKAELDAAVAGARAAAVHFWASWCEASKQMDEVFAHLAVDFPHAVFLRVEAEEQPEISEAYGVSAVPYFVFCKEGKTVDTLEGANPASLGNKVAKVAGPASVAESAVPASLGVAAGPAVLEKVQEMAQRNGSSAAESTHTGSAEDALNKRLEQLVNSHPVILFMKGTPEQPRCGFSRKVVDILKQEGVQFGSFDILTDNDVREGIKKFSNWPTFPQLYCKGELLGGCDIVIAMHESGELKDVFKEHNIPLHGSNDEAEKPESATGKGGAVPEPMRLTDAQRARLESLVNSSPVMIFIKGTPEEPKCGFSGKLVHILKQENVPFSSFDIFSDDEVRQGLKVFSNWPSYPQLYIKGELVGGSDIAMEMHKNGELKKVLSEKGVIPKQTLEDRLKALISSAPVMLFMKGTPDAPRCGFSSKVVNALKKEGISFGSFDILSDEEVRQGLKTYSNWPTFPQLYYKSELIGGCDIILEMEKSGELKSTLSE >Et_6A_046422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14850888:14854110:1 gene:Et_6A_046422 transcript:Et_6A_046422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFRLAGDMTHLLSVVVLLLKIHTIKSCAGISLKTQELYALVFAARYLDLFVHFISLYNTVMKLVFLASSFSIVWYMRRHKIVRRTYDREHDTFRHYFLVLPCLVLALLINEKFTFREVMWAFSIYLEAVAILPQLVLLQRTRNIDNLTGQYIFFLGAYRVLYILNWIYRYFTETHFVHWISWIAGIVQTLLYADFFYYYIMRIDYRGPGVLGNPKLFDCSIPFELLRKPQDMFVPK >Et_2A_018220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18703637:18707819:1 gene:Et_2A_018220 transcript:Et_2A_018220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAGGAAPAQSAAAVVAPAIAGQEPDRAPARRDPPGPAEVADPANAAARKTVWGVLAPSPAAAAAAAANPASGIIGGDASWPALVESKRGWPKSASSDSLSDGSGPSAQEDSIAASVPPHPAVEVKPISPGSNTLPPNAAATASSQQNCATTQPNPIRRSGSNGGNNGGGGRGNGGSSGRRAANNSGGGGSSGSGGDGHRNGGSIGSGCNSSNGDTSSGTSANDDDSIRNSFGNNGNNITRGVGGRSSNNNGDVNYRNNVGGSNHWNNNSRNNNGASNGGGGRGGYRGRRDHERGGNFSPRNYPRVMPYNQHQQQPGVYQQPGTFPRPPPPPAAHFMMQQHFMPYVPPFPYHGDVQSYPIYLPPVEQFQNMHLVRPPMQPSWIPQEQPNLHGDIRTQYLEPLLKMRAFTGLVDTNYILDAIRGSEILEVQTGLVDVC >Et_5B_045332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18587928:18588641:1 gene:Et_5B_045332 transcript:Et_5B_045332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKPSLHGKEDDTGGKVGRENDVLFVFGKASRCTYGWRLGDGSFTNAVSEKAPLTSVVCAILPPSPCLPVEPFQLRRARVVVGFGSGYLLKKYRPLALLLACVGHLIGVFGLKYFSIHTLYNLGTRATPVGSYILNVQLTGRLYNREARRQAWPLGICCGVRCFRGSFLIITCLTLFGAALSLVLVWRTKEFYRNFPNRISAAVDPGKIELT >Et_5B_044779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5740094:5754085:1 gene:Et_5B_044779 transcript:Et_5B_044779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSALMTTAGTLDNTNKTILDDGVTDGHGGTVATPLAAGAGHVRPQLALDPGLVYDAGARDYVDFLCALNYTTEQLRRFAPDMTTTCMQALPGGPAGLNYPSFVVVFHGRAGDVRTLTRTVTKVSEEAETYNVTVGAESCQSDRHAGDPGVQGPVREEALLRRVQKRRRRIRVGVRAHHLGEREASSQEPGCLHKSYTVEFMSLAGGNATAGWGFGHISWENKDHRVRILWPSSGRTDGSELRCRHRFSSPSGFAELASGDFSHFVAGQTKAQHYLNVRYHHHSEHSITYQHCNFLLLKENCMSIMRTILMFIAGAIAIYLITIPTTARPENINDPFIQELGSWAVTEHVKQAKDGIKFKKVVRADQSVLGFGINYDLIIDALTVVERMASMRQRCVLLIRLVTASKRSWAAAASPAINLYPNLSWYRTLLPSQRVACGRSPVALPDSLPLLRRDLRHTKTCILKRTATKVSETVTVVAPKHVKVNVTPMTLDSRSRTRGRATQLSSKTKLEET >Et_2B_020428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2035588:2048994:-1 gene:Et_2B_020428 transcript:Et_2B_020428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AELQTVSWFQFLPNEPESTTTSERSSKAEQKDALNKIVLAAYLRLQSEGFLSTWTNSFVGPWDPSQGEHNPDEKIKLWLFIPGRHSVVTENAQPVVNKLRVISNGLWVAPGNSEEVAAALTQALRNSLERSLRGLSYARFGDVFTKYNPPTRNQNSFRRAQPTVEFVFAATDEAIFVHVIISARYMRNLCSDDIEKVLSHSPRSIGEGLPVVVAPSGMLGRLVGCCPSDLVRQVYSSKSSTPNLPGFTQPTICQLRGQSYYVEVALGFPAASADNVPELENKHIKKESDSVKDPPLVADGQKKLESPDNLPILERTFIYPPEAVLVPMVHQAFLRFSSKRMWLQGWLGSSSWEAWPFWNFSPSSYFQNSSFLGSSRGLGVNSNFLRLRRQKNNKCNSMASSISSVSSTSNGSDRAVATEGDLLADADSMACRQPDMPSNNDNTGSKLSKRPRSEITEVSSHAGKEVENIQGANGQSGRPWGWDDEGVVMDINILLLEFGDFSDFFQEEELDFGEPPGTAESHALVIPASDCGDVTFADSPSTAMDIPEQRLSPVGFTSLDAFDHQSMTPVQDVVSKVQEPQKDIATPTRSQSLVSSSGRFDYLTRAEAMLTFAPEYAAVKIPAAEVPTSLFTNPYMPISKKPGSSSFSSRVYSYDVTQRSQIESIEDKPEKPVKLTSGNLSRDVGTSNLYTLVQGGKKESDKSLNNTDVQSCKAETSPPISGVTSFSSSLVSQKKSDSLFNPGYFLLSMKTALATELECITFQAAMCRIRHTLLSLRSKASNESKTALSSFMQIEASNKSDITPKYDIRKKENVPVRLSNDVDHEMYDRSLMENVGVWRPVGTPKGAKPLEPLSATSFTSASPNLPVQRQPVVDLLSAMALLVQQSTSFVDISLDMDDGDGSFFWLSLDEQKRRGFSCDPSMVHAGCGGLLGTCHSKDCAGVDLVDPLFAEVSESSMISLLQSDIKAALKTAFASMDGPLSVIDWCRGRGNAAESASMGDAYSFQYSTGDIREPPNAISIGGDSMSPPQPGGGNRGTSELEHQKGFHRVKPTIAVLPSPSLLVGYQDDWLKTSVNGLKMWEKGPFEPYASPKPVTYYALCPDIDMLTSAATDFFLQLGTIYELCKLGTHSAQNSGGQMELSPGKYLPSGLVLVECPDQVKVGSSHLSSISSISDYLQALSKNWSVKSYVTSLARILKDIKLTSNISTNQKENSSGPCTVIYVVCPFPEPSAVLQTLVECSVALGYVISSSERERKSFLYSHVSKALHCSASADEASASNVVMLSGFSISKLVLQIVTVETLLRLHKPNNELAVLKDIAFTVYNKARRIPRAVSTGDMFQSPTYMGRSQSTMMHVTSPGPTLWKECLVPRMSGPTLSRETDFDATMRSVTWDNSWQPRAGGLLDPSKMPDLCAQDDRKYAFEPLFILAEPGSVDHNALMESSKSGADASGSGAYSSISGGGSDSGVSPLLEGSESDNAASLHCCYGWTEDWRWLVCIWTDSRGELLDSLIFPFGEISSRQDTKVLQSLFIQILQQGCLIMSSSPEASNMRPRDVIITRIGGFLELEIQEWQKAIYSFGGNEVKKWPLQLRRSIPEGIPSNTNGPTLQQQDMALIQDRSMPSSPSPLYSPHSKSSFMKGGLGQSGNKKQILVEQTGIDSSKGSLHLVRSISLVAVSQDHSLHLACQADLLTRPTSGEGNQGSSGPSSYLEGFTPVKSVGSLPASSSYLLVPSPSMRYLSPATLQLPTCLTSESPPLAHLLHSKGTAIPLAMGYVVSKAVPSVRRDFAQITKEERPSVLSVSIIDHYGGSIATVQDKMSRGAGGSNMSKQGRNFTQETATRDHEMEMHNVLEAVAADLHSLSWMTASPVYMERRSALPFHCDMVLRLRRLLHYADWHLSQPAETGEMA >Et_9A_061890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17483877:17486585:-1 gene:Et_9A_061890 transcript:Et_9A_061890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASWAAPTTSFAPAPAPAPGRLMASPSSVKMLPRRILLRAAASESAMASGETLLGLYEKERSGISRYADEESSDGRYWEVLDADLRYWTRGLRPVQWYPGHIAKTEKELKEQLRLMDVVIEIRDARIPLSTSHPKMDSWLGNRKRIIVLNREDMISTEDRNAWATYFANQGTKAVFSNGQLGMGTMKLGRMAKSVASVVNTKRREKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGTDLELLDSPGILPMRISDQTAAIKLAICDDIGERSYDFADVAAILVQMLIRHPAVGSEAFRRRYKIDLDGDCGKMFVTKLSLHLFNGDTSQAAFRILSDYRKGKFGWVALERPPT >Et_1B_010525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11249358:11249899:-1 gene:Et_1B_010525 transcript:Et_1B_010525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMMEIRLDTWDEKFTIVEGLWQQMERLNTKLYLQNCYIMKENERLRKAAMRLNQENQTLLTELKQRLARSAAAAAATAGGNNNNAPVANRASKQAGHDVSPPVQAGGKAKPVSKP >Et_9A_061377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10763263:10779802:-1 gene:Et_9A_061377 transcript:Et_9A_061377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKPAPAGAARGLKKRPKKARPAKQGAESREEKAKGSELDGSVAAAVPLNDSSSAETLATESRTVETAQHEKNSNESFEDTEQSDEDDSEDVADDISLHFVTSPFYINASFSICSSFDRHVSHIITNEEVSTLMKQNCKFKWEIPAVDIPKSKWVGTGEKVQGDYDDHLHDVKGKLREHWQNTLSDNLSSRMSFFSLCNSYRDIMHCNKKPFYLKGKSVDSSAMDAYLMHALNHVHRTRDVVIKNDAKLRNDANKDILDDSSYLDQGFTRPKVLFLLPLKSFARRLVKRLIQLSPLSQKDNAMGPFKKQFGESDDEMDKPEHSTKPADFDLLFAGDIDDHFLFGIKFTKKSVKLYSNFYASDIIVGSPLALKRKIDGEDGKEKDFDFLSSIEIVVVDHADVILMQNWAHLENVFEHLNHLPSKEHGTNVMRIRPWYLDQHARYYRQTILLSSHLTPEMSALFNGLCSNYEGKVKMVTEHGGVLPKIQLEVRQVYERFDASSIAEADDARFDYFCNKVYPKIQDSDEGGFLLFVSSYFEYIRISNFLKSKEASFCRIGESTSQQDISRSRLWFFEGKKKILLYSERSHFYHRYKIRGTHHLLIYSLPGRKEFYPELVNMLGESKNPRCNVLFSRLDLLKLERIVGTSAARRLISSDKSMFVFC >Et_7B_054295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19382127:19402421:-1 gene:Et_7B_054295 transcript:Et_7B_054295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPWWLTTTACAPPPAAGGSLADLLAFAFLSPCPQRALLGAVDLAFLAACLVLAARRRLRRSGGGGESGAGAPESEALLHKPAGSPPRALSFHRCYAAARGASAVLAAASVVLLVLALLRPSSWRAAESAFLAAHAAAWAVASSSSSSSGRGADVPVALRVFWLATALGAALASASAAVRGAAGSLLLPDDAVAFAGLLVSLPLAYVAVAAVSTGEGGQEAEHDAGAEADATPYAAASFVSRATFSWVNPLITKGLAAADVPPVSAGHRAEASYALFMSNWPANDASRHPVAVALWLSFWPQLVLTAFLGLARMAAMYVGPSLIDRFVSFIQRGGTPQEGLRLVLILLAGKAVQTLASHHYNFQGTLLGMRTRGALQTALYRKSLRLSAASRRAHGAGAIVNYMQVDAGMVSSAMQGLHGLWQMPLQIVVALLLLYAYLGPAVLTTLAVIAGVTVLTAFANRLSLNYQLRFLGVRDARIKAVTEMLAHMRVIKLQGWEGTFGEKIRRLRRDELGWLRKIMLFMCASNVVFSSGPLAMTVLVFGTYLASGGVLDAGKVFTATAFFTMLDAPMRNFPQTIVSSMQAFVSLNRLNKFLTDAEIDSAAVERVDSIDAGTVAVKVEGGVFAWDVPDQLARGSPADQNGTKQNGRGKEPEMETVLKGINVKVRRGELAAVVGTVGSGKSSLLSCIMGEMHKIAGKVSICGSTAFVAQTAWIRNGTIQENILFGKPMQSERYSEVIHACCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDIFSAVDAHTGSTIFVECLMGLLKNKTVFLVTHQVDFLQNVDTIFVMKDGLVIQSGFYNELLASCPDFSDLVAAHHSSMEMTGEEGCHVQNTLSSQPTQSGNENGETTAIAPNNKVGSSKLIQEEEKESGRVSWRVYKLYMTEAWGWWGVLAFLAVSLLSEGSSMASNYWLSYETSGSAIFDTSIFLNVYVLIVAATILFEMISTLVVTFSGLKSAQAFFNKMFDSLLRAPMSFFDTTPSGRILSRASSDQTKIDIVLVFYVGFATSMFISVVTNVAVTCQVAWPSVIAVLPLLLLNIWYRNRYIATSRELTRLQGVTGAPVIDHFTETFSGAPTVRCFRKEDEFYQTNLDRINSNLRMSFHNNAASEWLGFRLELIGTLILSITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYYTILISCMIENDMVAVERVNQYSTLPSEAAWQVADCLPSPNWPSSGDIDIKELKVRYRQNTPLILKGITISIKNGEKIGVVGRTGSGKSTLVQALFRLVEPADGQIIIDGVDICTLGLHDLRSRFGVIPQEPVLFEGTVRSNVDPTGRFSEAEIWQVLELADMGENWSLGQKQLLCFGRVILKRSRILFMDEATASVDSQTDAAIQRIIREEFAECTVISIAHRIATVMDSDRVLVLDAGLVKEFDAPSSLMGRPSLFGAMVQEYASRSSSTQAIDEPIDMPASASLPWWLATTACSPPSSALPGRLAFLVLSPCPQRALVAALDLLFVLAAVALAVRARLSRRRREEGHHHQAREPLLAKPTASETETDHAAAPPPRRRNGFRHALALAASVTQAAAALVLLALALLRLRGERDAAWVAAECAAHAAAHLAAAGVVAAETKEARAARHPVHLRVFWLGTGALAALVSGCAAARFAAGHPVLPDDPLAFAWLALSLPLLYVSRSSSAFAAAEAEEEEEEPTYATASWLSLATFSWINPLIAKGYRQALAADQVPPVAPSDTAEAAHALFSSNWPGTSSKRPVAIALLRSFWPQFLLTAALGLAHLSVMYVGPSLVERFVSFVRRGGEPTDGLRLVAVLLAGKAAETLASHHYEFQGQLLGMRIHAALLAAVYRKSLRLSTGARRAHGAGAIVNYMEVDAGEVSHVAHELHNLWLMPLQIAVALALLYARLGPAVLAAVAAIAVVTVAVALANRRNLDYQFRFLGKRDERMKAVTELLNYVRVVKMQAWEGAFGDRIRRLREEELGWLAKSMYFMCANTIVLWSGPLAMTVLVFGTCVLTGVTLDAGKVFTATAFFGMLDGPMQSFPETIAALTQATVSLGRLDRYLLDAELDDSSVNDTSIDDAVVQTVLKGINVEVRRGELVAVVGTVGAGKSSLLSCIMGEMEKISGTVRVCGSTAYVAQTAWIQNGTIQENILFGQPMDAERYKEVIRSCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQNCDIYLLDDVFSAVDAQTGSSIFKGSLRGTLNGKTIILVTHQVDFLHNVDNIFVMRDGMIAQSGKYDELLEAGSDFAALVAAHDSSMDLVEQSRQVDKTEHSQPAAVTRLPSLRSRSIGKGEKVVVAPEIEAATSKIIQEEERESGEVSWRVYKLYMTQAWGWWGVVGMLGFSLVWQGSEMASDYWLSYETSGNVPFNPSLFLGVYVAIAAFSMVLQIIKTLLETVLGLQTAQIFFKNMFDSILHAPMSFFDTTPSGRILSRASSDQTTIDVVLAFFVGLTISMYISVLSTIIVTCQVAWPSVIAVIPLLLLNIWYRNRYLATSRELTRLEGVTKAPVIDHFSETVLGATTIRCFKREKEFFEENLNRINSSLRMYFHNYAANEWLGFRLELIGTLVLSITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYFAISISCMLENDMVAVERVNQFSTLPSEGAWRIEDHLPPPNWPVQGDIDIKDLKVKYRPNTPLILKGINLSISGGEKIGVVGRTGSGKSTLVQALFRLVEPAEGQMIIDGLDICTLGLHDLRSRFGIIPQEPVLFEGTIRNNIDPIGKYSDAEIWQALERCQLKDVVASKPEKLDAPVADSGENWSVGQRQLLCLGRVILKQTRILFMDEATASVDSQTDVTIQKITRQEFSSCTIISIAHRIPTVMDCLVKEFDAPSRLIEQPSSLFGAMVQEYADRSLNL >Et_4B_036807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11684133:11687378:-1 gene:Et_4B_036807 transcript:Et_4B_036807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRTTLLLLAAVLLAPAPARAGDPYAYYDWEVSYVSAQPLGIKQKVIGINGQFPGPPLNVTTNWNVVVNVRNALDEPLLLTWNGVQQRKSAWQDGVPGTNCAVPPGWNWTYQFQVKDQVGSFFYFPSTPLHRAAGGYGAITINNRDVIPIPFPFPDGDITLFIGDWYNAGHKVLRRALDAGTPLAAPDGVLINGLGPYRYNESVVPPGLVYERINVEPGKTYRFRVHNLTGVAILHYSNSLAPASGPLPDPPNDQYDTAFSINQARSIRWNVTASGARPNPQGSFHYGDITVTDVYLLQSGPPELINGQLRSTLNGISYIAPSTPLLLAQLFNVPGVYKLDFPNHPMNRLPKVDTSIINGTYKGFMEIIFQNNATNLLTYHLDGYAFFVVGMDYGLWTENSQGTYNKWDGVARSTIQVFPGAWTAVLVFLDNAGIWNLRVQNLDSWYLGQEVYINVVNPEDTSNMTDLPDNAIFCGALASLQKEQSHRFQYSEASPVAQLRKMVSLLLFTAWFAIWL >Et_3B_027418.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:14661023:14661472:-1 gene:Et_3B_027418 transcript:Et_3B_027418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKRTRFSDYNLLQDRCVMASCGHLTYVDVHVQRPHQRRAGAPHILFCDDCRGGSVTTWTLNHISSAWVKRHEVKLSTVWKDDSYSSRHLPKEAPEFPVVHPLDDDIVYFSIMEGNEPDCREFGVNLRTRSVTSCSCSHNDVSFLPG >Et_4B_039233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:958462:962885:1 gene:Et_4B_039233 transcript:Et_4B_039233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVEDVVAGAGDNGVDAVAGAGEKEEPAPRLASTFAELGLCSELVEACDAMSWKQPTRIQAETIPHALEGRDLIALAQTGSGKTAAFALPILQALLDNRDVHQSFFACVLSPTRELAIQIAEQFEALGSAIGLRCAVLVGGVDRMQQVLSLGKRPHIVVGTPGRLLDHLKDTKGFSLRKIKYLVLDEADKLLNVEFEKAIDEILNEIPKDRRTFLFSATMTKKVNKLQRACLRNPVKVEAASKYSTVDTLKQVFYFVPADYKDCYLVHVLNERQGSMFMIFVRTCDSTRLLALMLRNLGFKAISISGQMSQDKRLGALNRFKAKDCNIIICTDVASRGLDIQGVNVVINYDIPMNSKDYVHRVGRTARAGQSGFAASLVNQYEAQWFVQIEQLLGKKIDQCKVDKEEVMILREPISDAKRLALTKMKESGGHKKRRKMGDDEEEVEDRVHSRGSKSSKLRRR >Et_4A_032811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14175982:14182522:1 gene:Et_4A_032811 transcript:Et_4A_032811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRRGGLTPTHANYPAARLNNASHATPLRSPVVRPALRPHDMSSVVKLGTWGGDGGVAHDITVAPERLESITVRWGTVVDSIAFSYRDKDKQLHTAGPWGGAGGRYEKTITLDASEYVTEVAGAVGPYGDVAPRVISSLKIVTNRATYGPFGKGQVSTPFNIPVLNNGSVVGFFARAADYLDAYLLISWFVLTDRRHGLIVRVCAQSSVVKLGTWGGDGGVVHDITVAPQRLESITIRNGKVIDFISFTYRDKDKQLHTAGPWGGAGGTDERTITLDASEYVTEVAGAVGPIGDVPHVITSLKIVTNRATYGPFGHGYGTPFSIPVLNNGSIVGFFARAKDYLDGIGFYGSVVKLGTWGGDGGVAHDITVAPQRLESISIRWGKVLDSIAFTYRDKDKQLHTAGPWGGAGGEKDDPDTITLGPSEYITEVAWSVGPFKLKEIENCITSLKIVTNQATYGPFGYGVDSTHYSLPVLNNGSVVGMFGRAGDYLHAIGFYVLPF >Et_2A_016858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28915145:28922249:1 gene:Et_2A_016858 transcript:Et_2A_016858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLTASASVSSPAPPSAHILRLSRPPPFPHLRRRCSLPKPLALNPRTPLHIARRPLLFTPRAHGGHDHGHHHHHHDHDHHHHGHGHHHHHHGVDVHGSGGGAMVMRVAKAIGWAGVADALREHLQLCCVSLGLLLIAAICPHVALLNSFSRLQGILIAVAFPLVGVSAALDALVNIADGRINIHVLMALAAFASIFMGNSLEGGLLLAMFNLAHIAEEYFTSKSMIDVRELKENHPEFALLLETTGDESVPFSNLSYTKVPVHDLKVGSHILVRAGEAVPVDGEVYQGSSTVTIEHLTGETKPLERTVGDAIPGGARNLEGMMIVKVTKSWEDSTLNRIVQLTEEGQLNKPKLQRWLDEFGEHYSRVVVALSLIVAFLGPFLFKWPFFGNSVCRGSIYRGLGLMVAASPCALAVAPLAYATAISSLASKGILLKGGHVLDALASCQSIAFDKTGTLTTGKLKCKAIEPIHGHLGVKDGLSDSSCCTPNCESEALAVAAAMEEGTTHPIGRAVLDHSVGKELPVVSVESFECLPGRGVEATLSGVKDKLNKVKAVSREGGGGLIMVGDGINDAPALAAATVGIVLAQRASATAVAVADILLLQDNIGGVPFCIAKARQTTSLVKQSVALALTCIVFAALPSVLGFLPLWLTVLLHEGGTLLVCLNSIRALNPPTWSLADDIRQLVDGLRNSLSAKLNGSSSNRVADAVPL >Et_4B_037674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22418684:22425483:1 gene:Et_4B_037674 transcript:Et_4B_037674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHYRPAGKKKEGNAAKYITRTKAVSYLQISLATFRKLCILKGVHPRQPKKKVEGNHKTYYHMKDIAFLLHDPLIEKFREIKVHRKKVKKAVAKKNKDLAERLLNRPPTYKLDRLILERYPTFVDALRDLDDCLTMVHLFAALPAVDGERVEVKRIHNCRRLSHEWQAYISRTHSLRKTFISVKGIYYQAEVQGQKITWLTPHALQQVLTDDVDFNVMLTFLEFYETLLGFVNFKLYHSINVNYPPILDPRLEALAAELYALCRYMSGGSQAGPQADKNNEAGPQADESELRLAQLQHQLPTNEPGALMHLVEESTADDADDEETKQCKSLFKNFKFYLSREVPRESLLFIIPAFGGTVSWEGEGAPFNELDQDITHQIVDRPTQSHVFLSREYVQPQWIFDCVNARIVLPTEGYLVGRLPPPHLSPFVDNDAEGYIPEYAETIRRLQAAARNEVLPLPGIGDEDLDNSLAEAIIDRTESNEVAERKRRLDMLEKQYHDELKMECEGITFSTLSNTRADNPPDAMDKDDTQSDHEEDANKQAEKDDADISAAVMSRRKQGLLKAMQINKERREAKIETLKKRKKNADSSASAKRR >Et_3A_026296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6569527:6573019:1 gene:Et_3A_026296 transcript:Et_3A_026296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYIDEDGEPLMDPDARDLSPEPQPEPYGDLEDDLGDWTRDRSPTPVHGGDDGGAGSASRPRKRLLKKGGGGGGGVPGDDGLEDWLEDADAGAEVAAAKKGKSSSSLRDLARGGAGKEKKEKKRRREDDGRDRGMVREKRGSSSGKASGGGGGHHDQDDDGEKEIQELWDTIAGGDSEDDQEGVRTLDDDNFIDDTGVDPADRYGSDNERHSPSRYAQAEEGEEDDEIERLFKGGKKKKKNDRPRADIGLIVEQFIAEFEVAAEEDANLNRQSKPAINKLMKLPLLIDVLSKKNLQQEFLDHGVLTLLKNWLEPLPDGSMPNMNIRSAVLKLLTDVIMFLSKSDEETTANRKLAKELVDKWSRPIFNKSTRFEDMRRYDDERAPYRRPQMKKPSSSSSGMESRDDDVDADFSQRKSGQSGSRQHASRPEASPLDFVIRPQSKIDPEQIRARAKQAVQDQRRLKMNKKLQQLKAPKKKNLQASKLSVEGRGMVKYL >Et_7A_051984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3472084:3477921:1 gene:Et_7A_051984 transcript:Et_7A_051984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFAAESDGIGSISDKADSTNMFPSSTEIIDLSSDSEDDITFAKDDIIDLCSDNEDNSDLRSCRTYDLGNEDPENYVHQPLVSLSTSDQKRVEQPITLEGDDSFSSQEASSSYRPPANKSTTAMSSEMANLFPPVNHGSSVGMPHDSGCGNPKDQCIDDVKQQNVCNDDKRTLPLLLANGAAAKSEHFNAPTDAPPNSAHAIPSGQCFDNVRAPCVRNDNKRTLPLLLTNGAPVKPEDSYVPYPARLFPQSFTSGIPRSFSPQPFASSHSSLGDNRVKEQPTDNRIKEEPTDNRIKEEPTDNRIKEEPTDNRIKEEPTVKYNGFQRCAANGNGMSSSTMSTGPRSHRIFPPPRPFETSVNGTEVGIDVENRLFGFNERAVYEEALKHINQEKKEEDLPQGVMSVSLLKHQRIALAWMVSKENSSHCSGGILADDQKERVQQSRFMTADTCRRKSVLNIDDDKLDESSPFHVASSLELSTNRSMPTADRVVKNAKVELEKKPRLNPSASKSNTRPASGTLVVCPASVLKQWANELSVKVTENSKLSVLVYYGASRTRNPTELAKYDVVVTTYTTVANEVPKDNPDDEQKNSEMYGLCPELCVGSKRKEPPTKTKPDGGPLARVRWFRVVLDEAQTIKNYRTQVARACCGLSAERRWCLSGTPIQIKLKIYTAISNLISRDPARGYKKLQAILRIILLRRTKETLIDGEPIIKLPPKTIELSKIDFTQEERAFYLALEEGSRKKFKAYDAAGTIKDNYANILVLLLRLRQACDHPILLKGQESDLIDNTTIEMAKQLPKDTVTNMLEKMETGPAICSICSDPPEDAVVATCGHVFCYQCIHERLSSDGDVCPSLLCEKKISAGSVFSPAVLKLCTMPKLESDVATSSTPEDESSSICESSYVSSKIKAAIDIINSIISTRAITEGDSVKSEPSEIAPVKAIVFSQWTGMLDLLELSLSSNHIEYRRLDGRMSLNIRDRAVKEFNTIPEVKVMIMSLKAGNLGLNMVAACHVMMLDPWWNPYAEDQAVDRAHRIGQTRPVTVSRLTVKGTVEDRILALQEKKRKMVESAFGEDKSSGNATRLTVEDLRYLFMV >Et_5A_042524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18406973:18412581:1 gene:Et_5A_042524 transcript:Et_5A_042524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKIELDHKDMVHDSAIDYYGKRLATASSDSTVKIVSIGAATAPSQVLATLTGHYGPVWRVAWAHPKFGTLLASCSYDGRVIIWKEDARGHWSQAHVFADLKSSINSIAWAPYEVGLSLACASSDGRISIITMRADGGWDTATIERAHPVGATAVSWAPATALGSLSGSGELVYKLVSGGFDAVAKVWGFVNGSWKLESTLISDMHTDCVRDVAWAPVLGLAKSTVASASQDGKVVIWTKGKDGDKWEGKLMGDFGSPVWRVSWSLTGNILSVAAGENNITLWKEGSDGQWEEDRWEINLPVNGISNNGACAGYHQIPCRLSGEKAISVFPNTSIRRVNGCKRSYPMTSVAEQGDNAERAVAAAAVRRERNHRSGDGQHCPLVLLLHGGGHSVAVAGHGVEH >Et_10B_002528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13158687:13159738:1 gene:Et_10B_002528 transcript:Et_10B_002528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRALGRPGPRRGPAADEAAHLRRHRVAALRPGQPRRRRARRRARRRVPAPPRGPVVRPREPAVHGVPTEPPGQRQDAPGPGRGRPGDQGQAGAREEEVVDNAIVSLAGGHDTTSVLLTFMIRQLAKDPDTLAAVVREHDEVAKRKGEGEALTWEDLASMKFTWRVAQETLRLVPPVFGGFRTAVRDIEFGGYTIPRGWRVFWTAGATHLSRTSHPRRNARSCRSAPAGGSARGWSSPGSRRWSPCTTSSAASGGASAARMTPSPEIPCRPCCTACP >Et_1B_010496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11017575:11022315:1 gene:Et_1B_010496 transcript:Et_1B_010496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILDAGQRPAADMEGTGSALPLAGAAYHPYVSELLSFSIERLHKEPELLRVDAERVRRQMQEVAVENYGAFIAASEALSFVRAQLEGFDSHLEALIEEIPNLTSGCTEFVESAQQILEERKLNQTLLANHSTLLDLLEIPQLMDTCIRNGNYDEALDLEAFVSKISKLHPDLPVIQGLAAEVKKTVQSLISQLLQKLRSNIQLPECLRIIAHLRRIGVFSESELRLQFLRCREAWLSGILDDLDQRNVYEYLKGMVACHRTHLFDVVNQYRAIFNNDKSGSEESYDGGLLFSWAMQQVSNHLTTLQVMLPNITEGGSLSFILDQCMYCAMGLGLVGLDFRGLLPPIFENAVLTLFSKNMNTAVENFQVVLDSHRWVPMPSVGFVANGVEDETSIDVTPPSVLMEHPPLAVFVNGVSAAMNELRPCAPMSLKHVLAQEVVKGLQAVSDSLVRYNAMRMLRGNESSLFLSLCHAFIEVAYPYCAACFGRCYPRGATLITECRSSFDSVSQLLTVPARSNSSGISIERRQSGGIERKQSGGLERKQSIESVGSPITENGLSPDGPGSEVSNDAGTQGSPLQSDAQTSPPANKSGIRMKSRKMDQWPHRLLWRDAAR >Et_8A_056934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19160691:19164021:1 gene:Et_8A_056934 transcript:Et_8A_056934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRRSAAPARRLLTLPRNLSAPASGTAASRSFSRYYPRDDSSWFDPPTTPVNWGVSIVPEKKAFVVERFGKYLKTLSSGIHVLIPAVDRIAYVHSLKEEAIPIPDQNAITKDNVTIQIDSVIYVKIMDPYLASYGVENPMFAVLQLAQTTMRSELGKITLDKTFEERDTLNEKIVRAINEAATDWGLKCIRYEIRDITPPHGIKAAMEMQAEAERRKRAQVLESEGAMLDQANRAKGEAEAILARSEATARGIRMVSEAMTSAGSTEAANLRVAEQYIKAFSNLAKTNNTMLLADVTNPAHFIAQAVKIYKNIDSNTKSGAGHPHLQELDESEEKGPAALPSEASGMPPLIPEADHEKTFSLQSRRNKH >Et_9A_061714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15604054:15606291:-1 gene:Et_9A_061714 transcript:Et_9A_061714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLCGFDVYVNMVLEDVTEYEYTPEGRRITKLDQILLNGNNIAILVPGGSPPDV >Et_3B_031724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:944555:948764:-1 gene:Et_3B_031724 transcript:Et_3B_031724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYAETEGKRVHDPLYHPRRSATTTGFPVGGKFVHVPGPVIVGAGPAGLAMAACLAANGVTTYALLERYECVASLWRHRTYHRLKLHLPKRFCELPMMPFPESFPTYPTREQFLDYLEDYALAYSIRPMFRQNVVSAEYDGEYWIVRTKEVTIDGEEAVLSSTTTEYRSKWLVVATGENAEPVVPDIDGIDTFKGQVMHSSDYRTGEGFEGKKVLVVGCGNSGMEVSLDLSNHNVNTSMVVRDAVHVLPREIIGCSTFGLSAWLLRWLPIQAVDRIILLLARLVLGDTGRLGIPRPSVGPMELKKVSGKTPVLDVGTIDKIKSGDIQVLPGIQSFQEHGVEFIDGRIVDFDVVILATGYKSNVPFWLTDNGFFSEKNGFPRKPNEWKGQNGLYAVGFSRRGLLGVSMDATKIADDIASLSFAIYEAQDA >Et_8B_058565.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:18621627:18622748:1 gene:Et_8B_058565 transcript:Et_8B_058565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DLDVEPARPGGGVDFGGGGEAAEVAGAVVLVVDLVVAVVGVGDVPPGVEPPAGGLVPELRAVGEPARVAHAVAHLLVAEVRRRLEHAPLPVEVLDAPRRLQRPSYAIAAAGEAELVLGRAVVEEDAPVALEVARLDALLVLVDEAEQVRVDGDPRPHRRALPRRHVGQEPLVEPARVVGVRVRVAVRRPPDLADHHRHVAEPVPPERRHQRVVVRVERVLVRDAAVHHGRGRALEEGVVEREVRVGVEADERVHVGHEGRARRRQELHDRQHQTVDVGAEVAVRGFSRGRLVHVRVQRHRHLHLVAQPGLHQRRLDVLHRRERRVQVRPVERRQERLVPHRHVPDPSSDFISVPPGWQNSYQNFFKTIIHISS >Et_5B_043221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18625528:18626532:1 gene:Et_5B_043221 transcript:Et_5B_043221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYEGGFVQRIMEKFPYKAVEIPEKGIRPHIEVKTSDGVVRQLSIDDMVSTVFAKLRETAEAYLGRKIQHAVFTLPEQYRTDFSRDAVRDAATFADLSPMRILDEPIAAAVAYGLHTKLRDDGNLLVLPIGGGTAEASVLTLWDRQDFDRRIMDHFIHLVREKHGKDISNDGAALRKLMAAFEDAKKTLSSQEHARLNIKSLVDGVDLSETLTRAKFEELNHDLFLKVVELIDTVMAQAELEKRMVDEVVLIGGSTMIPKIRKIVEDYFDGKELNTKLKPDEAVTFGAALLSHPTANGYPCMGENNRYQIGGPSDACYV >Et_4B_037910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24693385:24698428:-1 gene:Et_4B_037910 transcript:Et_4B_037910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAVGGEGKKRGASRSWILFDAAGEERVLDADKYAIMHRVDINARDLRILDPLLSYPSTILGRERAIVLNLEVLLRDPSDENVIPVVEELRRRLAPSSATQHDGKENLSGQHDVEGAEEDESPFEFRALEVTLEAICSFLDARTTELETDAYPALDELTSKISSRNLDRVRKLKSGMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGASSPVSGSGGPNWFPASPTLVSKLSRASRASAATIHGNENDVEELEMLLELREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSLYSLVAGIFGMNIPYTWNDGHGYVFKWVVLVSGLFCAFMFISIVAYARHKGLVGS >Et_6A_047869.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2256291:2257484:-1 gene:Et_6A_047869 transcript:Et_6A_047869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASISGSRTCYPGAALARGSRRCATISSSYAPCSPASSKKTSSLRIGRKWAELQGARDWDGLLSPLDGALRGELVRYGEFVRAAYASFDLDGGAHSYGSCRFPTASLLRRAGLPETGYRVTRILHAASGPAWLTSSPSSCRSSYIGYVAVCDDAAEIERLGRRDVVVAFRGTATRGEWVDNLQSTLTRLPPLAGGEEEEEEEEEEEAAMVESGFWRLFTAPGEAHGSLQEQVRGEVERIVREYGGEAPLSVTVTGHSLGAALAVLTAYDITSKQQQHQSGGSNGAAAAPMVTAVSFGGPRVGNAAFRRRLEAGGGKVLRVVNSNDIVTKVPGFPVDDDESESAKRRVPRWLASKMGWAYSDVGRELRLCSKDSASSNVVASHDLGLYLKLVAACTD >Et_4A_034098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:357529:358404:1 gene:Et_4A_034098 transcript:Et_4A_034098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGGLFLSFGRPTQEQQKSFLAAAAGFNYDAALHGASRPKSAGTLTAETSDKSLVERGFFVNRSRVLLGSGAATFGHAKSALLSWRHLALGWANVEPDTPVKAGTRLCICYKELIPWVMLPLQIAYVSDGNGKPTDCVKGSMFAFGSGTLQGHLLAGEERFSVQLDEEDQVWYEVMSFSKPAHILSTVCYPYVQLRQKHFAQQSGQALLRHVAAASQSSTSMSP >Et_7A_052345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7019705:7022110:-1 gene:Et_7A_052345 transcript:Et_7A_052345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAPRDMEMTSQPSATAASTPASIRGTPRLDAHLVDGKVRAGCHPDGVTHALPKNLSAWHKRSRRRAGRVRAVADVVERGHPRVTGRGAVRGVGDGDERAGADELVVAHRAVEVAATLPLRRRRRHAVAAEGGVFREDAGVEHADDDAGAAFRAERPVALLPGIQAKEQRRVRRLQLVHHVRDRAHEPGHRGQLVELPPRQPGGEAAGDVVVGVDEPAVLREERLVPCLSVVSVSEHVLLLWLHVHYVGCELIAGYLGNGRKEKEGNEAEHGELEAFHGCFTDFVCSVPIRIDEHAYSAVCHVDRCYDAGTSPTFFTVRVTSTGRFVEFDAAGNVTEIDGWGPVITVMAEQFTARRAITETSWLLYRLQR >Et_3B_029960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29419534:29423425:1 gene:Et_3B_029960 transcript:Et_3B_029960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVGIKRGGAATQTITLPPPVRDVMRSKIPSQPAEAPAAPERAVAPAAAMEGFLCLEEIDGRRWSYVVDGAAGKGKGRGGSAVSTGASVRAVPMQSPLPPAEEIMAFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTRTLLSSVGVSQSKATPGAIAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSSDLLLEIGAGIELTTAAFPQFFLPMACVANVVKNVAAVTSTSTRTPIYKAYARGENIGDVTAKGESVANIADLLGTGLSIFITKRNPSLVTSFAFLSCGYLLSSYREVRSVVLNTLNRARFTVAVDSFIKTGYVPSLKEGNSQETVFNPPWRHEPVAIGSRFGEAFQEPASFVAIRPLFEDERYMVTYNPTKDKVYALLKDQAKSDDILKAAFHAHVLLHFINASHARKLKQKQKQANSSRSDNYENLYSRNIDFLAHIAESCKIVSSSYGTFKKKAREQGWIMSESLLNPGKARLCQTRPQ >Et_4A_035310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19111119:19119826:1 gene:Et_4A_035310 transcript:Et_4A_035310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGDGAMEIEGLEHGRIAVVHAGREQTRSGGSSGGNSDLKFTIHGCRCTVARKRQLEEKKPIERQNGWKTMAVSSSQMLPDDVLADIFSRRLAPCSLAVCRSVCKAWRDLVDGRRLLRSDLLPLSMAGIFINYNNHHSAELFSRPCSAFNYWMPSSSVRDHCNGLLLLYRSVLNPATGQQVPLPRPPNPGTGMERFYNDLYLVFDPFISSHYEVFSIPRVPDRDEPYDAKNIRRYGTYGTYNLERRLHPILLRSEWPPSPCVLDVFSSVTGRWEKRSFERAGQAAGTIASMQLDPRWTRRLSVYWKGALHVHCENDFVMRISLSSSTYQVIKPPRGLCKHPELHLCKSEKGVYFALLEEKKLQVWILKESRGQMEWVSKHDSGGLLAASLNCVQQADGPWVLLDTNSGQGDNKKEELVDSNSEWNSDDDSGIRIEDSEATKIAYQSNAVMITPAQSGKKPLLRILMAMALPMTSWMSALTKETSAMSQSARRAQSGYSSRQSSARCLPVATPTRAASSCTSSPMAVDQKSSHSSEPPAVAPAWRSPSMLPGSTNAMLMRNPGPVNSHSLRQDNGGAPPPEA >Et_2A_017724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5498519:5505296:1 gene:Et_2A_017724 transcript:Et_2A_017724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATATRPHALLIPFPTSGFLNPSLHLAKLLHSAGFLVTFINTEHNHALLRAHGHGFEDGFRYEAIPDGLAPSESGAQDYGWRILRSLLRELILRLNRGNDGAAASGPPVTCVVVSELMSFALDVAAGLGLPAFMLWTEGAVGLACGHAVRELRRRGHPAPLRRRPRHHRQHGAHPLPPARARGHGDGVQDDGFRYEAIPDGLGPSDRDSPTYGIDLARSVRVHCVEPLRELILRLRSGDDASVPPVTCVVASQLMSFALDVAAGLGVPAFMLWTEGACGLACGHALREVRLRGLVPLKAMERRAHAMLFPFPCSGHINPTLRLAELLHARGVYVTFVNTEHNHARLLRTAGGLRGREGFRFEAVPDGLSEADREGPDKTVRLYLSLRRSCGPPLVALARALGEDRDDGVPPVTCVVLSGLVSFALDAAREIGVPAFVLWGTSACGFVGTLRLRELRQRGYTPLKDESYLTNGYLDTPIDWIAGMPPVRLGDISSFVRTLDPQCFALRVEEEEANSCARAQGLILNTFEELEPDVLDALRDEFPRVYTIGPLAGAAAAASASAAGLSLWEEDAACVAWLDAQPAGSVLYVSFGSLAVLSLDQLSELAWGLAASGRPFLWVVRPGLVAGDRGADALPEDFLAATEGRRFVAEWCAQEQVLRHPAVGGFLTHSGWNSTTESIWSGVPMVCLPGFADQYINSRYACQEWGIGLRLDEDLRREQVAAHVEALMGGGDKARDMRRRAAEWKAAAEAATAPGGSSYDNLDRLVAELRLAAEADDDAETATAVHARRA >Et_10A_000934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19253510:19256113:-1 gene:Et_10A_000934 transcript:Et_10A_000934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTSLFKRSRGREPGTSGRGSIRRAGGGSSLMARNRSSRRGAGRFQPRTRPLATRREDWLSALSDDLLLLILRRIDSPTALRAGALSRRWAHLPRELPALDLRAGDILPPRYHRLVRLYRDLCSKRLILMYEDDLKPIIRRYERRAMRAFAGSVQSFLEGPQRKVDRLKLEFFTTGNASCVNQLLTEAIDTWGVCDLEVVAKPMYMQGDVHTFPSHGLCKEPWASRLRSLTLGRCVRPLLHGYRALTMLVLRDIPASPTSAAAYEAIFTSCLQLNTLHLVSCDCRDMNVLVDAPGSKIRELVVDNCLFGCLLFPRLTSLRSQVLLESASSPCLRQWNLTKETGFTMEPYRRQFAPRLKLGLFFPYTLDITSLIVRFTGPDRWIVPSSSTSSFLPNLRKLLVADVPSSWDVTWTYLLLEMAPSLEVLHIHIAPCGENPGEEISWHPTKLRLNHLKEFVVAGFEGTARQIYLVKFVVGVCTALRHVAMFRNGHARDIGHWNWEMMTEQHPWTYEEKKNTLRQIVDGVSSLTPQVDLPDYRLSDTLLSQSFYMPHRLRINLN >Et_9A_062328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21972447:21975331:1 gene:Et_9A_062328 transcript:Et_9A_062328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSATACFLSPCPAPRRPRHSLRHLACAAKPATASRSPLALPSSSPSPWPLAELVPAGAGRLLSSAAGSLIVALASAALILGDAGAASAFVVATPRKLQADELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKSGHIVTNFHVIRGASDLRVTLADQSVYEAQVVGFDQDKDVAVLRIKAPKDKLRPIPVGVSADLLVGQKVYAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSAGNLIGVNTAIYSPSGASSGVGFSIPVDTVGGIVDQLIKFGKVTRPILGIKFAPDQSVEQLGLSGVLVLDAPPNGPAGKAGLQSTKRDAYGRLILGDIITSVNGTKVTNGSDLYRILDQCKVGETVTVEVLRGDHKEKIPVILEPKPDES >Et_7A_053164.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:8709095:8709340:1 gene:Et_7A_053164 transcript:Et_7A_053164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVVVAVEAAGVGAAAASGGCRSRYLVRSLYWRLRAGLRRLHSAQAGRWRSRGRFSSFHYDALSYALNFDDGSASADFVR >Et_1A_005902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15332396:15333037:1 gene:Et_1A_005902 transcript:Et_1A_005902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EAKPRAPLFACLLLRPLYKHRISTEEFKREFTCKRIPYHHKFQLQLKVAATRTMARITAMAVVVMALLLLPPLGQAEERPSEAHQHGLPFESPLALSPAAYDFFHPSARRERARQAHGSAPALAPRGQQQLRESVVKGASASVARADQEEGGVAPVSTARRGAFRAGKLAGVIAGAAAVALVALGVAYAVARRRVARGGAEAAAAAPKSNA >Et_9B_065896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16965330:16971008:-1 gene:Et_9B_065896 transcript:Et_9B_065896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEEKLLATVQHIVQTLGSSDTMTEDILKVFSNYDGRLSLEKLYAARSAAAAAAAGASGGGGGGGERSMPASPPLPPPPAAAAGAAVSVPGARPPVTSMERTVRTLDRQISQFVAMDRLIWADSADADAFLEAVDDLIGTVQELDAAGTNRALLDRADELLSRCMARLEDEFRALIERPDDAAPVLPGGFGSDGSDDDEEDFGGGDGYCDEPIPIAKPVTDYDVVIDALSPGSIANVHQIARRMVDAGFGRECAEAYAAARRSFVDESVARLGVRPRTAEEVHASTWEELEFDIARWIPAFNMVFRILIPSERRLCDRVFDGLAPFGDLAFIAAVRTQALQLISFGDAISASSRAPERLFRVVDMYEAVRDILPDLDPVFSDPYSAALRAEVSALCNTLGTSIKGIFMELENLIRRDPARVAAPGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDFGVNGGAPVAVDPDRPTSSLAVHVAWIMDVLHKNLDTKSKIYRDPSLACIFLLNNGKYIIQKVNDSELGVLLGDEWIKQMTTRVRRWSMDYQRTTWGKVTTVLQTGGPGVGALPAKALLQKLRMFNTYFEEIYAAQSEWVIADDQLRVDIRAAVEDSVMPAYAGLIAKLKSSPETGRDLYIKYTPEDVEARIQHLFEGAAKSGCRHGALRQGTAADGVTMPDVLGSRHGGVEAALILLLHDEHRVRRVEVGRREEVANDAELGALAPVRQPVGRHHRVPPVPHADDDEIVAGLVEAPQHLGNLLHVADELLLDLHVPVPRRERLRLLDGAVVHPPIRRVVLDSPGWQEPHDGHRHARVARHARRVGQGFPVVAAAEEERRDGRVEHGAARRAAAAAGGRVVKRPRDETGQPHGPGVAVDEHRDGARRHLQHLAVDEAEPHGGAHAAGEHVALVVVQEDQRRALLDGEAAHLVVHGGVGGDGGVRVHGDGALGVPELVPEEGVDDASVGGALGPESRAASRSSLCRMNTGAERPAISTSWRRYRAMGVCGVACDASSSDSVPETWDSSWTHARIRRSASALRCCTVRRLYTA >Et_10B_002639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19768543:19770090:1 gene:Et_10B_002639 transcript:Et_10B_002639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPSTVSWTALITAYMDAGRVREAVGVARNAFARGMRPDSFTAVRVLTACTHTGLVQDGRRYFQNMTHLYHISPRIEHYGCMVDLLSRAGALLGGCKIHRDAQLAQHVLKQLILLEPWNSGNYVMLSNIYSNSGRWEDAAKLRLDMKKKGVDKVLACSWVEFNGKVHEFRVGDKSHPLSDQIYAKLNELGMEMKAMGYKPTTEVVMFDIEDEEKESTLVHHSEKIAIAFSLLTAGPGETIRVTKNLRVCSDCHTAIKLMSRITHRDIIVRDNNRFHCFADGHCSCDDYW >Et_4B_037794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23391539:23393614:-1 gene:Et_4B_037794 transcript:Et_4B_037794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGNLTQEQITAFKEVFNFFDKNGDGYITSEELGSVMISLGQNLSDSELQDMIKVVDADCNGTVEFSEFLNLMAYKLKDHDSEEELREAFKLFDTDQNGYISAAELRQVMANLGEELTDKEVEEMIQDADKDGDGLVSYEEFKRMMLGK >Et_3B_028792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19165095:19172131:-1 gene:Et_3B_028792 transcript:Et_3B_028792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPLYPYTGGGFPGAGAGGDGEPPRRYSDYEVDLIAARYADSATSTRTSARGDPRKSEPLYSSNTMVKRPRLESGLTIYPQRPGGKLCAFYMRSRTCKFGEDCIFDHPQWVPEGGIPNWREVQNVDDSYPERPGEPNCPFFRKTGECKFKSKCKFNHPKGKVNEFMGVADNEQSLIADSTVLLARPSETVCSFYAKTGKCKFGATCKFNHPKDDKVPTLTGKQTIYTAAIDEEVFNGAADGSNPAKTDKPAPPAEAHNAKGLPIRPGEIDCSFYMKTGSCIYGTICRYNHPDRPVVDTALMTSVTQGILPIPAPAAPAAVLNPIATFLPGFDIQAALMPLEPEPVVYPQRPGETVCDFYMKTGYCKYSEKCKFHHPIDRSAPRPNESWNLQQTVTITLAGLPRREGAEVCAFYMRSGTCKFGVQCKFDHPPAEAITKLQAAGGKKSAKKAKAVAKLMAAAEKKAEGLSIGLAEPSQKKAEGLSIVLAEP >Et_9A_062436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22965714:22968426:1 gene:Et_9A_062436 transcript:Et_9A_062436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGEMLTGLRDPGTPASTATSSAASAAAAELARAGGSGAIGVGGGNFPLAVALLAFAFANFINLVAIWLKEKRWDARKFLTSAGVLSSLSATVGSLAVAVGQQEGADSSAFALALVFAAVVMYDASGIRFHTGRQAALLNQIVCDFPPEHPIISTFRPLREPLGHSPLQVFAGALVGCAVAYFHVSAGTRLALYLAVGAET >Et_2B_022522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24488921:24493694:1 gene:Et_2B_022522 transcript:Et_2B_022522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSESDGAGEEEEEEEEAAGVAGTAGDEGSGGGMFTFAIEGMLRASGPCGLVVTDALEPDCPIIYVNRGFEEATGYRAEEVLGRNWLLETRWQLYVYGSRFLQCRGPFAQRRHPLVDATVVSEIRRCIDNGADFRGDLLNFRKDGSPVMNRLHLTPIYGDDETITHYMGIQFFTKANVELGPLPVSITKEPVKSARFAPDNSFRPTSMGPGQSNLCREYSSLFQLTDEVLCQSILSRLSPRDIASVSSVCRRLYHVTRNEDLWRMVCQNAWGSETTRALETVPAARRLGWGRLARELTTLEAVAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNATNPEWRHINVSAAPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFMLDLDAKDPTWREIPGVAPPVPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTYLLDVTMDRPVWREVPASWRPPSRLGHSMSVYGGRKILMFGGLAKSGPLRLRSSDVYTMDLSEEKPCWRCLTGSGMPGAGNPAGAGPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWMLTEIHELSLASSSSPFLTYMEMRFGCWSSGRG >Et_2B_021869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6267577:6268966:-1 gene:Et_2B_021869 transcript:Et_2B_021869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSWLQSPALNSLQELEFDLQCCRHQCQHRELLSLSASNFRFSATLVVATISRCTILDGTVEMLRFPRLKKLGLERVEISDVSVNNLIATCPVIECLLLTDIYFSFKMPIRINSLSLKSIGFSFAFNELIIEDAPSLEWLIEVGDNSTWLYVSVISAPKLEIICGLYYHYYTEYGFGAPITQTSNVPGGNNLWRRKHHDLIKRLDIHLKTVVLKNY >Et_8B_058552.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:16700917:16704314:1 gene:Et_8B_058552 transcript:Et_8B_058552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLVFMSMPLTCSIQKINSSKLLSIFSFMSIMSILYVETRSSHFPRIFIEYMAFQLQKLRNTAITRANSACLPMGDRVGVKYNSVNEGEERKGGHGIPKVSMVPLIFLIFYEVSGGPFGIEDSVKAAGPLLAIVGFLLFAFIWSIPEALITAEMGTMFPENGGYVVWVSSALGPFWGFQQGWAKWLSGVIDNALYPVLFLDYVKSSVPILGGGLPRTLAVLILTVALTYMNYRGLTIVGWVAVFLGVFSLLPFFVMGLIAIPRIEPSRWLEMDLGNVNWGLYLNTLFWNLNYWDSISTLAGEVDNPKRTLPRALSYALVLVLGAYLYPLITCTAAVPVVREYWSDGYFSDIAKILGGFWLHSWIQAAAALSNMGNFVTEMSSDSYQLLGMAERGMLPEFFAKRSRYGTPLIGILFSAFGVILLSWMSFQEIIAAENYLYCFGMILEFIAFIKLRVTHPNASRPYKIPLGTVGAVLMIVPPTILIVVVMTLASYKVMAVSILAMVVGFVLQPCLVYVDKKRWLRFSISTDLPDLSRSLETEDDTVPLVF >Et_7B_053943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13666671:13670468:1 gene:Et_7B_053943 transcript:Et_7B_053943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKWEREMATGKKNHRGEAEDEAAKQSKIDSDGVAELHRRLEEEENSDGKKMEVEEFGEREVVKKRIGECEARKVDSAAEERKRRLEEYEWRRRKEEQMVPPDPEMLTDYYAYEARSFEQSWNDIYANANWHWVYAEIPCMRFTYNRAPDGGRTCGTLQVFSVKVAELSGELQWPINVFGTIALRDSLDQNRNIIFDRNRDHCQTLTEKDPYLKLTGPVRAVVLYGPVVSLNVRGPSKSEDKEISLLAVPFRSDNFSSRSILINECYTSRLTTLEFALGHIVYSVEATVSIQVIYGSWPGGFCGQFAARTASINKEVLLLDSGDEKHLITDEIKLSRRVASVESHGILIVSVESFQDGNVLKDEIIFTPQEMGTHSETLTI >Et_1B_010347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9814944:9816110:1 gene:Et_1B_010347 transcript:Et_1B_010347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTNQLAGAAARAVASRGATALTPTTMPGGAPSDALVSGGGAGGGDGDPRVALLRLAALGDHMAAVRGRIAASLSGEAQPLSAADIHSVSSEISSAARLVVLNAASLLASSVPFSGAPAPAPAPVQELPAAAVSAQERPPTVTKGDGDYDVVELDADELLAEHIHFCEICGKGFRRDANLRMHMRAHGDRFKTLDALSRPGLPKPPAGREVRFSCPFEGCNRNRAHRRFRPLKSAVCTRNHFRRSHCPKLYACERCGGKKRFAVLADLRSHLRHCGEEAQWRCSCGTTFSRKDKLFGHISLFEGHTPALAGPNKEMVAEPTEAFPEPMEEVGVEGNGDREEDEEGGYDPEFFKEWMEELRGGASGSTWPGPAAAGQ >Et_9A_061800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16633209:16635230:1 gene:Et_9A_061800 transcript:Et_9A_061800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWDPELGFDEDGTEAGPTSATLRCLLGARNADVRTAPFPRARGRSLRCCGASDGWIVASDESSNLVLYNPFAPPSAVANFIPLPPISGFECMLTVYSSDGDGRIVGYVHDESDTWGHESMAGSFYQKVILSCAPSTASAAYTAAVIHCDNRSLSFAKAGDTEWRQAWTIDEEETIKFTRSYWDCEYGEYVTENVRMDDEYFDVAHHDGRFYTVTKNGTVESWDLSGPNTELVMREMIGRKLGFAEDESVLSRHLVSTPWGDLLQVRALEVRNLEKYPQGVRVRIGKIIPTGNRMVELRPAKALRGHAVFLGLNHSACVHPDEFPGLTPDCVYFTAPSFVGLMGVMRPVCYIWSGVKIYNLKNNTAQDVFADFRPKSPRHPPPPAVWIFRNRNRPELIFSSLSNLNSVVLMLIELGPDEAQTQCAARPSAQYRK >Et_4A_032310.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29223773:29224375:1 gene:Et_4A_032310 transcript:Et_4A_032310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTCKRAKSEPPLLSAAGAGATEPAWVRAELLPRLGFPADLPLHFVEDKLMQKSDLEPNQNRLLISFDASNRLRAFLSAGELVDSGVVVSGRRRTRPAAARGRGGGGKKKKRAVQFKYPGVPVLVHQRDVELDAKQLKLNTFRSTAAMVVNGAGYRDIVQGNGFSRLDRAEVWAFRRPQDQKLCLVVAKRDGNRLPAIE >Et_2A_016609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26556728:26557344:-1 gene:Et_2A_016609 transcript:Et_2A_016609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNECGRAPEPHDHPEPRAWPGLPRCCLLALPRLSAAAATTSGPAAQPTAAHHRQLGGGALEADGAGGGGVGARRQPGGARGGAPGVVQPPRVRARPRQRPVGDASTTARDRTVFLEKDASVESKHPTLHVAYDTVLADADAPLALCDDPACVLVQGIGRLDGYFCFRQAEEGDVAGCERRDAGDRRAARRSRSGSEPGLDL >Et_5A_042099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6303040:6304347:1 gene:Et_5A_042099 transcript:Et_5A_042099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFDPPPQSILLIHPSLLWIYLCFACTAAGHAESRKHRDDRRQASSYKLFVFGDTFVDNGNTDKQALTWWSRNWYKPYGVSDANHDYSPTGRFSDGKVQSDFLAMILGHDESPAPENMRRGDDDDDSFGMNFAAGFSKAKAINTTASEFSAQIGRFRRLLKHGIIGKDISQSVALVAFSGYDYTFIPQKDTDRHGYTNFIEDVTESIAQGVSDILELGVTKVLVNLQQPVGCAPRSTGPANNYTGCIKNEITDIHNKKMKEKLGSDDSVLLLDLYTVFNQIIHPTSGTGFEHRHVPCCHSNEAGGYCGQVDSWNGEELFQVCDKPDKYFYWDEWHPTQAGWSAIMDKLEDSIKKFLDI >Et_1A_007304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33160921:33163969:-1 gene:Et_1A_007304 transcript:Et_1A_007304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENRDVLVGVDGHTDLFYCDSKGKLLQQFHWDRVWARPTGQWFNESVVRHEFFQRQDFVHVEQPPRRTRVRRATGPVLPNELVLWEILIRLPTKTLLRCRAVCRSWRHLTSDADFLLAHHQRQPSLPLVTFSGWTIAGRDFVDAAVDAFDLRGEPRPLPSATLSSASFDDYNHRRSFTVHASCDGLLVLSLSNNRFYICNPATRQWAALLKQLICGHAAALYKHTSSGEYRILYWKGSNLVAEYYVPTVGSSMEPWYLGASLPATARLSCCATCTGSATNRRQISYLYMNSPTTDNGDAFSNSETTVDLWVLQDHKMEVWSLKVSDRIANGRIEEYCKVFHV >Et_10A_000048.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:19460117:19462632:-1 gene:Et_10A_000048 transcript:Et_10A_000048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIGVFKYLERAIAFREADLDKIRNSPSNSLENQRDSLRIQPSGEGTELVDEQALLLAHELLHITRGAFADYSVHEDPFQNDNNLKEIFTIRDSSGWKNMCKVVEMEVSLMYDIIYTKAALAHTWIGYFVRVASPIATTATAFLFWLSYNKDGQRTADVVITYALLIVTILLDVRWLLRAAASTWTYAFLNSMPECWLHHEVLCTGRWRQLRLAVMALDMREWLLHKGRCGSYRLWSGTIGQYNLFDKCTDGDTNVSLISKAVKKVVADDTWIEYNYSKDLDLHKEHRVNEWLFWRIERAFDFESLKRDTDDRNKAVRPLDEALGFLPELQELILILHIATNIFLWYNHQELMEGRNVETIKAVSNYLVFLAVARPDMLPGLKLRSDFDLTRDALDKIWGRKGGSSPGSTGRQQKLAGILIEMKDSTLYKENLILSDATKFAKMLQKCKNGLVKEYWKKSIVRESRKKFLFMIPEMAEWIHSIEEKEKEGSAHSISMNTLLNSILDSWVRMLIYVSTRCGRDSHAKQLGRGGELTTIVWMLRDHAAIFGNKGRERKARFAAGMMPEHAVLSFVL >Et_4A_032743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13256373:13259124:1 gene:Et_4A_032743 transcript:Et_4A_032743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMDLMRRMPPGSAETALNALLSLLPDHSLDLLSQVDLPLQVCMDKESMKEYILCEYNRDADSYRSPWSNKYEPPLEDGTVPSEAMRNLEIEANEVFSVYRDQYYEGGISSVYIWEDEDDGFIACFLIKKDGQGKRGYMQIGSWDAIHVIQVGPEEEGAAHYCLNSTVMLSLTTDNKQSGTFNLSGSIRRQMSMTLAVADGHLVNMGKMIEEMEGKLRNSLDQVYFGKTREMVCTLRPPPEVLNMRLPDS >Et_1A_008753.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:11871836:11872477:1 gene:Et_1A_008753 transcript:Et_1A_008753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKEKEKHHPHEHRLRRCCGCLASCILALVLVVAFITFVVYLALRPTKPSFFLQDIQLRHPISLGDPSLTASAQVTLASRNPNDRVGIFYRRLDVFVTYRDEPVTVPVSLPPLYQGHRDVSVWSPVLSAESVPVAGYVADALKQDVALGFVALTVKVDGRVKWKVGSWVSGSYHLFVSCPAVLTAFGGVPSFNGTAPSLKFAQPAQCRVEA >Et_8A_056388.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:7264211:7264303:-1 gene:Et_8A_056388 transcript:Et_8A_056388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLATISWEIWKLRNRVCFDKILFDLLLR >Et_1A_005106.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27542001:27542993:-1 gene:Et_1A_005106 transcript:Et_1A_005106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLAATRGAAPAAPRRRFYDIPGGRAFAYDVPAYHRCVASGGGWLVLAAVDPPRRLVLANPVTGARRVLAWPFGEKGSEGIRAVLTSSSADPDCFLAVATDRLIAYCRPGRMGGAGGGGGGWATLRAPGYRHDTVASDMVALGSMVYLVNERRKVWRAELAAAEPKVERRDTAFALPHGGGEEAEDGRWGHYLVEAFGNIHLVVSDERHRRVALFRLSWQPRTWVPTRVSLLGDRVLLLGHGCSAAVPASAAAGRAPGTVLFARQPWDPLHVASSVRDPVSLQWFWSELRLDAGVGDPIVMRKTVPHWPGCFTAGDSFWFFPGIDRGA >Et_2A_016552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2679512:2683520:1 gene:Et_2A_016552 transcript:Et_2A_016552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HRFPQRAKRERKAKTHTHTVAARGTRTRRNGRLPSSPNKCTARPAGEHTDRDPRQPRSTHPSRSIHINPTASRTAAPRFLTRHQNCSKPRKFSPKAQKFQARAAARHLPKSHLYLSQPKPRTQIPTPPHQKSTRLPRHSLRAQIAVSFLQPQPQSAAMARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIPSLAAVSVRRLGSLGFVFYLCIVMKLIKLKKVLHISHTIFAIGTDHSVPEFLHLVELDAEVERASPGDEHRRGGLDHRRRQRCEVGAELVVGADGLQERSEEKSWRDACERAYEVDDESAVGGAAGRLAEVIPNGPGCAGKAAQKPPVSELESPKLNTAGNLHRPALAAGQRQHTRSSSHVILIIVGELALLKWQGRARGCHINSVALEQVCTGEAHRQAAAAPGASYVLCEAVPQ >Et_2A_016487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25166834:25175604:-1 gene:Et_2A_016487 transcript:Et_2A_016487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGVVVACAAAAATVAVASDTGLAPSGAAARNVTRTSDVGSSYHHIWPPMRFGWRIVLGSLIGFFGAAFGSVGGVGGGGIFVPMLALVIGFDPKSSTAMSKCMIMGASVSSVYYNLKRRHPTLDMPLIDYDLALLIQPMLMLGVSIGVIFNVIFPVWLVTALMMILFLVTSTKAFLKGVETWQKETITKRTSSVLKNVYWKEFGLLAFVWVAFLGVQIAMIPVSVGVSVYEAHGLMTGKRVLSSKGSQQSTLKPRQLFVYCLFGILAGLVGGLLGLGTGFIMGPLFLELGIPPQPMHFGWRIVLGSLIGFFGAAFGSVGGVGGGGIFVPMLALIIGFDPKSSTAMSKCMIMGAAVSTVYYNLKLRHPTLDMPLIDYDLALLIQPMLMLGVSIGVIFNVIFPNWLVTALLIILFLVTSTKAFLKGVETWKKETITERTSFLKNVYWREFGLLAFVWMAFLGLQIAKNYTSSCSVLYWVLNSLQIPVSVGVTMYEAHGLMTGKRVLSSKGSQQSTLKPRQLFVYCLFGILAGLVGGLLGLGGGFIMGPLFLELGIHPQVSSATATFAMMFSSSMSVVEYYLLHRFPVPYAAYFAAVAFIAAIVGQHCVRRLIDWLGRASLIIFILASMIFISAISLGGVGISNIVYKMERHQYMGFESLCS >Et_6B_049502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5799137:5801054:1 gene:Et_6B_049502 transcript:Et_6B_049502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLISFSSMASSRQPPPLPPPFPITPKPDPDGPLILAPNLPGVAASQSLAELHHRDLAPSTDTQIHAQIQLHAESHTEALSAQLQLAAAAPPPHLLPPIESPNSKSSSSSSKSLTKRGRPVREVVRATNLGVAEQLHYRSLVRRARLTFEALRGIYQRQKLPVGRTRNRADLRAYSGMLSAGHCLHRDHRIVGRIPGVLVGDAFFYRAELCVVGLHTAPQAGIGYIPGSAVSEGQPIATSVVSSGGYLDDDDQDAGSGGDVLVYTGSGGREQNRLDHYADQKLEGGNLALHNSYLYGVEVRVIRAHTWDAVIPSRKVYVYDGLYRVVSSGWGTGKSGHQVCKFKLQRLQGQDDDQLQLGSRSWNNAKQIKDTVDAGILPPRYISLDLSSGKELSGSVPVSNMADDDRTPLDFEYTVHPDFSSLLGPVMKQEKGCRCTASVVGGLRCKCAKKNVGGPVYNEDGTLVMGRPVVYECGASCGCPITCVNRVTQRGMKHRLEVFRSAEVGWGVRTLDLIPPGAFVGEVVAVDDQSGSACIIDPNEWFAPRWSEWGDASNVDSRIKRPQFHQFPGAGYLLDLSRKRSVACYIRHSDTPNVFLQYVLRGNEDESCPHLMVFAMETIPPMRELKIDYGIP >Et_8A_056972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19609447:19612410:-1 gene:Et_8A_056972 transcript:Et_8A_056972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSELQARARFVQSSAANAGVQFDEDRWLSRVRQSLEKEAADALGAAAKVFDVPRVLRATKPEAYLPQHFALGPYHCHRAELRDMERYKLAAAKRVAKLFAGDQKIDHLVQRLMEAQDKIRAPYHRFLELSDQTLAWMMAIDTCFMLDFLESYHRDEVTDMVSSATNWINATVRDAMMLENQLPLFLFAQALALRHATEQGAAEALHGVLDRFIKEVSPIKTAAELVVAEVAKHAHMLELLYHFLVPAAAVFDDASQEPPPMVPDEVTLDMLDPAQHLPDYDKVKQACMSVSSLDVAPVRFIRQNLIARPMSMASSLPGQIMRKVPILSALAPLVTKLMASQDVEARLKGVNLGSIINSPLAQEIMIPSVAQLAGWGVRFAPAPEGIAGISFDAATATLSLPIITLDANTEVVLRNLVAYEAVAVRGPMVLARYTELMNGIIDTPKDIKILRQSGVVVNHLKSDKEAADMWNAMCRATRLSKVPRLDAVIREVNAHRSRRAAARAEKLLKKYVFRSWRILTLLAAVVLLLMTALQTFCSVYPCQSWFGSVFKLPNPGGS >Et_4B_038394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28722517:28727506:-1 gene:Et_4B_038394 transcript:Et_4B_038394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLAEHAPRAAVQRRVEDYRGRVIAVDASLSIYQFLIVVGRKGTELLTNEAGEGMLNRTIRMLEAGIKPVFVFDGEPPEMKRKELAKRSLTRDAAAKDLNRAIENGDEDSVEKFSKRTVKVTKKHNDDCKRLLRLMGVPVVEAPGEAEAQCAALCENHMAYAVASEDTDSLTFGARRFLRHLTDLGFKKSPVTEFELSKVLEELGLTMDQFIDLCILSGCDYCENIKGIGGQRALKLIRQHGCIEEVLQNLKQTRLKLLTPVASLLGSEPKCMLGAPGQNVKVRSALQVCKGSSSCLSFGSPKPFMLGRQSRCHGTPHAVSFI >Et_4B_036433.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:23818171:23818416:-1 gene:Et_4B_036433 transcript:Et_4B_036433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELIGSRPPRCEGRCAPCGRCEAVQVPVAPRVDRGKAKAVAGRAARLFRAAGDGVDESSTNYKPLNWRCRCADPRALDP >Et_8A_056987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19966278:19973781:1 gene:Et_8A_056987 transcript:Et_8A_056987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTTNANPNKSFEILPNPTDSVSSLSFSPKSNLLVATSWDNQVRCWEIVGGNSQPKASITHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQPQQVAQHDAPVKEIAWIPQMSLLVSGSWDKTLRYWDLRQSNPVHVQQLPERCYALTVNYPLMIVGTADRNLVVFNLQNPQTEFKRIQSPLKYQTRCLAAFPDQQGFLVGSIEGRVGVHHIDDSQQSKNFTFKCHREGNDIFSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAFSRCPLPIPCSAFNSDGSIFAYAVCYDWSRGAENHNPATAKTSIYLHSPQESEVKGKPRLATGRNGSA >Et_5B_043989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18674693:18678727:1 gene:Et_5B_043989 transcript:Et_5B_043989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TTAWATTREPSANTTVPSPHKESFSVPNLLTVAQIDCLCEDGQVVFADSSFVVADAVVYYTGYNNSYPFLDTGGMVTVDHNGIVALAPSFSFFGVPKKVYELQERWVAQVRPVWLEVPNRRENKTYIGGLLGDGRRSCVCVACAVNTTRQQLRTRGVAACRRPTWRIPEWRKRVAPAVEDLEANGSVEGEAAPRRRVRVEDGRYCDEFGEEYYGFPQPPEWKKEFVLAATISRASATTTTTANSSGRACARRAGSHGSSTTKAVLP >Et_1B_013728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14331234:14335028:1 gene:Et_1B_013728 transcript:Et_1B_013728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADAAAAPAPPPPPPPPPPALDARTGGRVLRRAAGHLLHPASLPPLLFAALLLLLFRSVLLAGTLRLASFADRDPALRSLLDRLSPPAPPAPPPPPHHLPRRRSPFTSSSSLSDDDVLVGPLDPASSAPSRRRNASYHHVLSTSYFSPKPYPVPLPHPIPVSASPFFLAVHNETAPKTASPRGSELRLLDLTKRDAAAIINLLALLSSAHVLAILGYIAVHSATLGAVFASVAGRHVQGRRRGFVLAGAAMGARRLTGFAFLRWATRDAVVQMLCLWFFADVHDQAQLFRLFVVAKLMPFSASVNPWLAATIAGPELDGFFVAWAVLDAVISVLFTVVPWVVVMERDPRPPGRNAVKEGCYLVSLMATDATLLKCWETVVCGSMGRLIMLTFGGKVVGGFLHSVAEVYFMVVWLLFYFAARCKEARLGGRHFGLEDVVAALDGFRLNYQLLLGVSNNAIMPEKYLHYVELAGGKHLDRGMPLHGDGARRAPHHPTKLLLPPDTWGDRPAPPDPASD >Et_1A_007754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3796493:3803027:1 gene:Et_1A_007754 transcript:Et_1A_007754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEFKVKEDESYFQVHDFSVEDYLQEASFSMKHLCGTTVSETSISRGELDALSEIEDFTSIWPRMEDAYLKSKECPCEKKQKLEGSSIESSTKMPGRQMEHVYQKNKEGGCKKVLKLESGRVKKGKKMLRRQVGGPDKKALTFEQVALHFNMPIKQAARELNVGLTVLKKRCRELHIPRWPHRKVKSLQTLINNFQELGKETEQFDGHRTRRVVEMLQQTKKLIEERPEERLDQKTRELRQACSKLRFKRKRLKGRKSE >Et_9B_066087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2896033:2896522:1 gene:Et_9B_066087 transcript:Et_9B_066087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFLLKVTRRPPLGCAVSVFWIDGPAATTTATGTEMECELRVACTDLSDGLPSPSEKEKEAITFMVPDYAVADGDKDAVQVTVFFI >Et_6A_046079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22144860:22145246:1 gene:Et_6A_046079 transcript:Et_6A_046079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVSLNVQEGIGLIWKLRKGERRNAGRCGRKRKSVDVSTKRNVRHARPKERGCARELAVLGQQVLMHLERRNIPVALNRHLVVLVCMKFISVRRVRSIIVKVDYFIFM >Et_2B_020213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1852664:1878674:1 gene:Et_2B_020213 transcript:Et_2B_020213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPAAAAARPPPPAAAAAAAARPAPPPAAAAARSAPPPAPAAAQPPPAVAAQPAPPPPAAAQPAPPPAAAAAGAAQRRRIRVDAATALFVIGCAAAVLALFAMTVDPANSRFLGPCAPTDEEAADLRAASQQLLVSAAAQVLGATVARFATAQPFTLFACDPPPTTSPSSSPSSSAATAASTACSRSSVGPSTSSSSRRCSWPSSPPGTGGDCTTAGASTCGTAGASDCTTAGASDCTTTGTSDCTCTTAGAGTCNTTTGTGSGKKDLDTLDPANCAGLFILGLAAAAFGLFTMTLAPADYSSPGPCMATDEEAAVLRAASKRLVLGAATQIIAAAVTLLAPTELFNFALTASLAMGIATADRASDVLWYLAACHNHVDDAHILDYWAFVAASIMFVGLLLGHFARRRRVDAATALSVIGFAAAVLALFAMTVDPANSRILGPCAPTDEEAADLRAASELLLLAAAAQLLGATTARYTAAWPFSLFACARAFPTSYRAYVVLRMVVGCHGHVGHSATLAVHFWAVISILGAAVSVAIVGAVRGCCEGPCKPTDEEAADLRSESMKLLLAAATQVLGATVAVVLPDPPFAVCSAVLGAITGTRLAFFFPALLMCHLEGAANVVSYTVFIVQMLLAAPAALARAAAPAPPARAAAPPPAAPPAPAPAPRPTQGAAAAPHAPAAAPPAPAPAPAQAPAAASMVLTTRLLSRAALATGLFVLGLPAAALGLFAMTLIAADYASLGSCAATDEEAASLRAKSKLLLLEAATQALAAAVALLAPARVFVLYAALVMGLFTTYNATGVFWMLVACHDHVEGALSFPYWLFYGATVLFAAFLVKKLVVVGTDRE >Et_7A_051437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18489164:18493564:1 gene:Et_7A_051437 transcript:Et_7A_051437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAAGRRAGLAQQQRLLAVAVAARFAEARTTTASTDAAAAGAGTCCIEFLECLLGALGVTAGAVTPAAPAQYRWAVRSIRRRRRGASPRGASAEGLRRDGAPGRIAGNGASASAAASLFTMQGKKGVNQDAMVLVENFGSKDGTIFCGVFDGHGPNGHLVAKRVRDLLPVKLIANIARDDYKETSTSSIMNGTTGGGTTQHVGQDTDAAHGNENGEYPEIFTALRASFLRAFYVMDRDLKMHKNIDCAFSGTTAVTVIKQGQNLIIGNLGDSRAVLGTRDENNRLVAVQLTVDLKPNIPSEAERIRQRRGRIFSLPDEPNVARVWLPTFNSPGLAMARSFGDFCLKNYGVISMPDVSYHHITDKDEFVVLATDGVWDVLSNAEVVSIVNNAPSQALAARFLVESARRAWRTLYPTSKTDDCAAVCLFLNTETTNTSSPSSETELLAGDAESSSSKHSLTVKSGAGFPANLVTALIANEEWSILDGISGPVTVPALPKPSSVAKDSIKD >Et_8B_060269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8290891:8294345:-1 gene:Et_8B_060269 transcript:Et_8B_060269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRILSVVGSTMNVFARLGSGNGEWAPEKRVLLPEATVGLPGYDPSSFDLSQVIWTTGPGPECGGCSLSTSRPWRQSQRQMRSGTCCIDAPSSIHDVTGLALELVFLRLRSLADLVRAAAACKPWRRVIADAGFLRVFRARNPRPPAAGDYYNDYRSSGPPSRPVVSIRPTFVPAAGGCIGVSNFRVMCEYYRECVAHAAVFFFTGGGAAAGSWTERAIDVHVVPKLNGRPLLGRAGGSWYFFVNDRTLAVLDGHTGEYSPFVLPDIEDWPPNLCHFRFRVTDGRDGKPRILCIVGSTMKVFARQGCCGSGEWLLEKSVLLQEAAVGLPGYHPSFFEMPPLIWSTGPGFVTLRHGTLQWLFSVDLETMEAKPAADEIRETLYPSELPWPPTLHACT >Et_8A_058358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5873255:5875503:1 gene:Et_8A_058358 transcript:Et_8A_058358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQPWKSLLCCIGGSEAASVDVDGAPTTRRRSRRDRERLLPSSTASRVSLSSISSSGTLTPEDLSVTLSGSNLHAFTYAELRAVTGGFSRANYLGCGGFGPVYKGRVEAGLRPGLDAQSVAVKYLDLDCGTQGHREWLAEVFFLGQLRHDNLVKLVGYCYEDEHRMLVYEFMSNQSLEKHLFKSLDGPMPWMRRMKIAVGAAKGLAFLHNADTPVIYRDFKASNILLDSDFNTKLSDFGLAKDGPQGDATHVTTRVMGTNGYAAPEYITTGHLTAKSDVYSFGVVLLELLSGRRSIDLSRRQREQSLVDWGRPYLKKSDKLYKVMDLAMEGQYSTQGAERAARVAYKCLSQNPKSRPTMQEVVEALEPVLDMHDYLEVGPFVFTLIVEDKNENNESKGKMVDGEKVDLRIEAAVKEKHQTHQDRHRQKYPNSAIHADVALHRDDGFGTHTNTLRRHRRTGSYLKERGA >Et_2A_017539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35227827:35229292:-1 gene:Et_2A_017539 transcript:Et_2A_017539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKTCYGPDRVNELIAGVKPLNCHMSGDPKNLELSMHDLHSTSWSVLCSLLFCVAWYPIYRIPDGKFQAAFLTYHSLGHCIHRSSSADEADRAPVALPVIGLQSYNDKAEWWFQTSKSDSEDVKAAESQAGEASQVLKERLRTLNQAAGMMSRADVLKNGQLSRNRHPDYEFFLSRS >Et_7B_053628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10474701:10475530:1 gene:Et_7B_053628 transcript:Et_7B_053628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDALPNLYPHVQPEVYPPPPNPQGQGYQQYQSYLGEENPSYSSYGWSEHPPPAHSQQGPFQHYQEDPDCITFIRGCFAGLCCCCLLGQCCL >Et_6A_047767.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:1537576:1538016:1 gene:Et_6A_047767 transcript:Et_6A_047767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHMRVTHRDEEGHKVTEQVPIPETRRPDTAKHFERKLEEQGLHRLERHPANAPRGVGIGTPPPKSGRGGKYTWEGPGGLVDDQMDPAPPAIDPNDPNYEPEEEKNDEVAKEAVVGEVEVAKVAEPRDGVARVDVAPPLLQEQQQ >Et_7A_051981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3376675:3386747:1 gene:Et_7A_051981 transcript:Et_7A_051981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEDLHLRLAGSSVQNKSNLPRILLPIIACLLLLAFTPFVWTCKNRGKRQKKKVQKRMMLQYLKSTKEAGSKNPEFPFISFQNIVAATDDFCDSNLLGKGDPARKPMLQWPVRCKIIQGVARGIMYLHHDSRLTIIHRDLKVGNILLDMDMSPKISDFGMAKIFCGDRNEANTNRVVGTYGYMSSEYAMKGVFSVKSDTYSFGVLLLEIVSGLKISSPNLIQDFPNLIAYAWNLWKDGTTENFVDAYVKENCPLDEASRYIHIGLLCIQDSSDCRPQMFEVVSMLENKNTPLPIPMHPVYFASRDAKPLQASDNILFSNNGMSLTALGGRNKLRAVRDRVQNCSCTAYAYANVSSADAKGGTSRCLVWDGDLVDMGKARFSAVGLLLNLLFSTFICQFAMGGLLGMSYLPIFLILFMVCSCRSDDRLTPAKPLLPGEVLISDGGVFALGFFSLKNSTLNSYLGVWYNNIPEPTYVWIANRDNPITTNLPGKLVFTNSSDLVLLDSTGHTLWTTTNNITTIGGGAAAVLLNSGNLVVRFPDGTDIWESFHDPTDTIVPNVSFSLSSTNTAKRLVAWKGPNDPSSSNFSMGGDLELQIVVWNGTKPYWRRAAWGGNLIFGTFQSNTNFVMYQSIVDTGNGYYIKLTVSDGSPIVRLTLDYTGRVSFRRWDSNTSSWTIFNQFPSPSCDQYAFCGPFAYCDGTELVPTCKCLDGYVPDGLNLSRGCRRQEELKCGGADSFLTLPQMKTPDNFLYIRNKSFDQCTAYCSRNCSCTAYAYANLNNVDATMDRSRCLVWMGVLIDTEKFNNGFGENLYLRHPSSSAGKKKGTLLKIVFPTIASLLLLLACIWILCKSKDKHQGKKTQYNHTLQHLDVSNKLGNENLEYPSIALEDIIIATNNFSDYNMLGKGGFGKVYKGMFEGGKEVAVKRLSKGSTQGVEEFRNEVDLIAKLQHRNLVRLLGCCIHEEEKLLIYEYLPNKSLDAFLFGMF >Et_4B_039911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5781683:5782668:1 gene:Et_4B_039911 transcript:Et_4B_039911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEGFAHLLLLTGVIWSHAMIRAEAAGTTVFTLHNNCTHTVWPATLSGNSAAAVGGGGFELSPNATVSFPAPAGWSGRLWARTGCVPSGASSLACATGDCSGAASCTLGGAPPVTLAEFTLGGADGKDFYDVSLVDGYNVGIGVAATGERVNFATCGYAGCVGDVNALCPPELQMSGAKAGQEQGATPAPGTVACRSACEAFGTPEYCCTGEHGGPDSCGPTQYSRLFKAACPAAYSYAYDDPTSTFTCGTGAQYLITFCPAQQ >Et_8A_058372.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:6088347:6088739:-1 gene:Et_8A_058372 transcript:Et_8A_058372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGLSSKLRCMIRRWHSSSRISRDDDNIDAAASSHAGDGGRAASFHGADEVPKGQCPVYVGKSRRRYLVAEDVVRHPLFQTLVDRTGGEPGATVVGCEVVLFEHMLWMLENADPQPESLDELVEYYAC >Et_5B_043073.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20937739:20938740:1 gene:Et_5B_043073 transcript:Et_5B_043073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADSPRLPGGKDLISDLPDDILHHILVRLSSTAAAARTSILSRRWRHIWASMPELYLACDDKVMPNSTPDAIDAALRGCSAPTLRRIEIRVCRENFDLQCLAPWLHFASQRLVGSLYLFLFNLSLSRWNEYSYLGLVLPLCESATEIKISTCWSRLMLRPPEFGSFAALTDLNICDLRMDGRELERLVSLQCPCLRKFSVFGHLVAPCDVSITSESLKHLRYDVSDTTKLDISTPELTKISVHMVKEAHIAAPKLEKLIWRDYPYDPSRHKFFVTGRHLRRLWVNLSSTLLMSKFDIIDELRLSLFIPEVRLHLFKLFLHITFFLLYMLRYV >Et_1A_008644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9431133:9435701:1 gene:Et_1A_008644 transcript:Et_1A_008644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQHRTTATFHRHPNNPSSPFSSPHRPRKWNPRLGDGSPPPRSAMDAIRKQLDQLMGANRNGDVQEVSRKYYDRDVCRLYLAGLCPHDLFQLTKMDMGPCPKLHSLQLRKEYEEAKAKGTDNYDRELEETIERLIVECERKIQRALKRLEEEDAKAAIAISVTEVTQTKEVMELSKQIRQKMKEIDAFDLEGNTEGKIRATEEVDKLKEQRAEDQAKMLLEAFNKDRVSLMNSLQNAAQSTVPAPAPPDAQTQEMINEKLKKAEELGENGMIDEAQKLLDEAEALKKLSARPQTVPDSAKMTTHVQITDQKLRLCDICGAFLSVYDSDRRLADHFGGKLHMGYMLIRERLSELQEEKNKKRKLDRAEYDRRSRERSLEHKRSSSRDRHRGDRGGSRDRDRDYDRRRSHDRYHDRERERESGRSRSYDSRSHRRSRSPRDSSRDYDRYGRHDRRDRY >Et_3A_026369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7383380:7388072:1 gene:Et_3A_026369 transcript:Et_3A_026369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSMSMRSRRDLPPPEKTIEKLENMVDGGNYYEAQQMYKSTSARYIAAQKYSEALDILQSDRIRRMYDAFPRISVPHFLGDDYDDDGQKLSEAISAAKVRAESCSSFLKAAIRWSAEFGTSKNGSPELHVMLAEYIYSESPETDMTKVSSHFVRGNDPKKFASMLVNFMGKCYPGEDDTAIARGVLMYLSQGNLRDANLLMDELKAQLKSADLEFPKTDLIQFIKYLLPTLERDAYPLFRTLRQKYKISTDRDQVFEELLDEIAAKFYGIRRQNPLEGLFGEMFKVCHISFLN >Et_7A_053188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9454417:9458461:-1 gene:Et_7A_053188 transcript:Et_7A_053188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYFQHRRQIAGKNINRRGREISAATLLSLPATASSSLAATASSPAMITAFFPSASSFHRPRLRQQPCPRRAAVVLPPRATGSSSSSWEEREEARWLREEQRWQREEQRWLREESRWRAEREALLAEVAALRLRLRALEGSLPPPHHLEAVDAVASPAPAPQAAVPAPQPRPVLVGDVEVRKEVVVVEKEEEKKAAAAPKADGGGKSKRRTLRAGAEGEDVRAMQEALQKLGFYSGEDDMEYSSFSTGTDRAVRTWQASVGTSEDGVMTSELLEILFSGKTGEEVKTKDGINGAAAPAVTGIAEIRQTVVTENGASAMGVSEHRVFLLGENRWEDPSRLTQKKPTNTPAGATGKTCISCRGEGLLLCTECDGSGEPNIEPQFMEWVGEDTKCAYCDGLGSVVCDVCEGKKISKEEVTSPHLEYMSMRALLAIS >Et_9B_065120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21372767:21375823:-1 gene:Et_9B_065120 transcript:Et_9B_065120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDMTTWSPQGRLFQVEYAMEAVKQGAACVGLRSVNYVVLATVNKAASELSSHQRKVFRVADHVGVAFSGLTADGRVLSRFLRSECINHAFVYEAPLPVSRLALRLADKAQVCTQRSWKRPYGVGLLVGGLDETGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTFLERRYAGFKKYTPEQLIKDALSAIKETLQGEKLTSSNCTVAIVGRRDDGTVEPFQMIDAERIQAIIDSMEAAEEAPAEPSSMQEEDKSSDAAAPMDI >Et_4B_038126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26489971:26491767:-1 gene:Et_4B_038126 transcript:Et_4B_038126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METMARASVASSAQLRPCPRPRRTRSTPSPSLRRPRRWAVACSASAPDADVVDLFDAAKLTVDRFVKSGMVVGLGSGPASALAIQYLGTRLRRGSLTDIVGITSSVLSASEADMAGMQVSSYQEGTKIDFAFTDADVIEEGTLAAVIGRRKIENGESSFTVDKAMLKSADKLAFIVGTDKYVTGIEGSIPVLVKTGNWIDTAEEIDDLFLGDAEVWRRPSFGTAGPLGGDHPLVTKEGHHMLDVIFTTPIPELGKVAEGLDKIAGVVDHGIISSIQSYAVIASKGEVQVLDGKASVIS >Et_2B_021872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6330145:6331975:1 gene:Et_2B_021872 transcript:Et_2B_021872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAQTLVSNVGQLVGEEFRHLRGVGGEVARLRNKLATINALLRMQSEVDEGSVDHFVREWMKQLREVAYDAEDCVHLYLFRVRWRLGDHFFINCKRLLTTLMARHRLAGDIRDLRSLASSINEQHARYGFSLEALRRMAASGPVQQALAQSVRPLRSTDNIDDDHSHHHQFVGNKAQAIFLASKVKALNGESDKQLKVLSIVGFGGLGKTTLAVEVCRQLETDFPHQAKVSVSQTFCSKDLQALLKRLLQQIAQPSNEQADSLAGNIDTMPVDDLELELQKRVENKTASDAIRSKLPGSNCGSRIIVTTRMDNVAKACSDANDDYIHRMKKLDERDSEQLFVSKAFGSGNSCPQDLEAPMRSILKKCGGLPLAIVSIASLLAIYKPPEGKDMWEKVQNSIGSQMETNPTLEGMR >Et_2A_014911.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21483456:21483776:1 gene:Et_2A_014911 transcript:Et_2A_014911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LEAEALLRELDEPVDLVVFDEAVPVRVGVADALPAPPPREPGADGAHRAPQLLAADAPVAVHVEPPQPLLELVHRHVAVQRPRRSRFRSPDRHLSTSLKHEAKCPS >Et_1A_009056.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27504218:27506146:1 gene:Et_1A_009056 transcript:Et_1A_009056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAGSSREGHPGTLHLLQQQQHQGLAAGKFSGAGGLWEPTSVLDHRHSPSPSPPTSASTLSSPLGAATAGVAALAGPNAKNVPPPPPVAGAWPPSEEAAAGGGKEEWQLTPLDMGLGAGEGWDPAGAVLSDGGAAPPGMGPDHTFLRWIIGGEDASAGMGGVMDPPVLELDHVPSPMMSSAAFGSNLAFAPAMEDAKPAAPFGHAPNFLLHHHHHQQHHPQPHAAFFGSHPSFDAAAATKRHHHPMSGAPAPKLPPFPGAVAPFVPALKPKAEAAANDEAAAAVDQLAEAAKLAEAGDAFGAREILARLNYRLPAAPAAGTPLLRSAFYFKEALRIAVSPNGEAPAPAASSPYDVVHKLGAYKAFSEVSPVLQFAHLTCVQAVLDELAGATCIHVLDFDVGMGEQWASLMQELAQRRPAAALKVTALVSPASHHPLELQLIHENLSGFATELGVLFQFAVFNIDTLDPADLVAIASGDAIAVHLPVGSTHVAAMPAVLRLVKRLGAKVVVSVDHGCDRTELPFAAHLFQAFQSCVSLLESVDAVGTDADTAAKIERFLVQPGVEQRVVGRHRAGVDKPLPWRTVFASAGFVPVPASSFAESQAESLLKKVALMGFRVEKRGGALCLYWQRGELVSVSAWRC >Et_4A_034933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:802912:805029:1 gene:Et_4A_034933 transcript:Et_4A_034933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIGILTMEHKEEWLEWLLFKVCVEEQKFETLFRALCSTDDVECSNSGEYNANHAFVLGMLSKCLTNHPKEVTVSDSFALSVFNVHKHAVDTLFSSNWIPAIDVLGYSLQLLRDICAWESPSSETQLPVDSLLQTGLVKCLLKYLGELELPSTIRKSMARGQGDQQPALANGKVCPYIGYRRDLVAIIANCLHGRKQVQDEVRQLDGIMLLLQQCVIDEENPYLREWGLLAVKNLLEGNEENQKEVSELELQEPVITPEIANIGLKVEIDKETGRPKLTR >Et_2B_020801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23762250:23765065:-1 gene:Et_2B_020801 transcript:Et_2B_020801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKIAPSMLSSDFANLASEAERMVRLGADWLHMDIMCRHFVPNLTIGAPVIQSLRKHTKAYLDCHLMVTNPSDYVEPFGKAGASGFTFHIEVARDNWQELIQSIRSKGMRPGVSLRPGTPVEDVFPLVEAENPVELVLVMTVEPGFGGQKFMPEMMDKVRTLRKKYPSLDIEVDGGLGPSTIDVAASAGANCIVAGSSIFGAADPGDVISVLRKSVVESQNKN >Et_4A_033586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24835195:24837099:1 gene:Et_4A_033586 transcript:Et_4A_033586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLDVLLGRTTKQTARLKTLLGLATTRLAVLRGHRQVRCAQARGDAEQLLRLGHRDRALLRAEQVVREQNALDALLMLESYCGLVVERAALVDAAHRECPGELREAAAGLVYAAARCGDLPELQEVRGIFAAKFGRDFVAAASDLRSGCGVNAKIVQNLSTRQPSLESRQMVLQEIAAEKGIAASYDDAGRSNQRNRQQNRAPREQVEIEEDISGDSAQRYKDVEAAAQAAFESAASAAAAAKAAMELSRGKPTSGASDRIGHARNFSSEIEDFPEDQANHEQQEPVRGRPASHPTDVCRAKAVRTGFLHAFQQERLPDGGAAPFSWESHAKEAEASASTIRSEAAGLRYDTWGPAAAWAGTGMAERESRKRGRGAAVVGAEADDGAHHLLLIDDGASAERARGVRPEPHVDALDVEAEAAAGQHARGLPGASSVMQTAQSAAGSPGATSFRGSARMAGSSMPSPPPWQPEAPAKTNLVRAWPSPPMVGAAPR >Et_5A_041606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24859945:24869158:-1 gene:Et_5A_041606 transcript:Et_5A_041606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIPARPCSSGCGACVVLLSTYDAATGAVTHAAASSCLTLVHGLHHRAVTTTEGLGSSRAGLHAVHARLAGFHASQCGFCTPGVCMSLAAALAGAEEGEGRRPDPPDGFSRLTAAEAERAVAGNLCRCTGYRPIADACKSFAADVDLEDLGLNSFWRKGEASVSKLPRYNEGSIGFFPEFLKAEIRASLEIDRCTPAALQRNGSSWHRPGSVGDYYKLVGSELFSESRIKVVVGNTASGVYREAEVYDRYIDLRGIPELNSVTKSAKGVEIGAAVSISQAIEILRGEGDSCKDVVFSKIADHMEKVASGFVRNTASLGGNLIMAQRDQFASDIATILLAAGSTLCIQVSSERLTVTLEEFLEMPPCDHKTLLLSIYIPHWTPTNVLSSDRTTYRASPRPLGNAVAYLNSAFLAQVTSDETSGSLILEELCLAFGAYGTQHAIRARNVEKIFVGKPVTASVLHEACKVLKKTIVPEEGTRHAAYRSSLAVAFLFSFLYPLTKGTLIPVKAVHLNGCVTSGINGNARCGPLTHVDASLKETNNVKFGIPAKKVGAELQASGEAVFVDDIPSPKDCLYGSFVYSTKPLAHVKSIELDPSLKHLQNTTVISVNDIPEGGGNIGASTIFGFEPLFGDPITQCAGEPLGVVIAETQRLANMAAKRAVVSYSTENLDGPVLSIEEAVRRCSYFETPPFLLPQKIGDFAKGMAEADQKIYSAEVKLNSQYFFYMETQTALAIPDEDNCMLVYSSSQCPETAQNVIAKCLGLPCHNVRVITRRVGGGFGGKAVRSLPVAAACALAAFKLHRPVRMYLDRKTDMIITGGRHPMKICYSVGFKSNGKITALHVDLFINAGMTKDVSPVIPHNFIEMLKKYNWGAFSYDAKVCKTNIATRSAMRGPGEVQGSYVAEAIIEHVASALSTDANLVRHRNLHTVESLALFHSECAEDDVGYTLTSICEQLTASENYQHRLEMVQSCNRNNKWKKRGVSFVPTVHKVLSRPTPGKVSILNDGSIAVEVGGIELGQGLWTKVKQMVAFGLGQLWTDRSQDLFERIRVIQADTLSVVQGGWTTGSTTSESSCEAVRQACDILVNRLKSFKEQFEGKQGNVSWDELISKAQMMGVDLSAREYYVPGPSGTYLNYGAATSEVEIDLLTGATTILRSDLIYDCGQSLNPAVDLGQVEGAFVQGIGYFMSEDYVTNPDGLVISDGTWTYKIPTLDTIPKQFNVELLNSGIHKKRVLSSKASGEPPLLLAASVHCATREAIRAARKELHCSGSGSSHSHFDLEVPAIMPVVKELCGLDNVESSSTESGFSDRVLLRGLVLPTLFQYLCRLLFNGLSLATTFQGPVVHYKEERSFLSSDLGWREPGAFLARSGSGNSALVETSGRVSVDGGWEIGGSDISGGRQLPEEREQAGGE >Et_4B_038619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3429100:3442792:-1 gene:Et_4B_038619 transcript:Et_4B_038619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTTKSVALVLALLAAVHSDVSNAGPAPAALPRWVERHDRRLLLTDSPRVDAVVAQDGSGGHTSIGAALAKAPPGNARFTVHVKAGVYAEVVEVYRSNVMLIGDGAGRTVITGNRSNLTNHGTPCTATVSAQGAGFMARDLTIENTAGPGAQQAVAFLSNSNRSVVFCCEIKGYQDTLLAENHLQFYRDCEISGTIDIVFGNAAAVFQNCVILARRPLGSQHNVVTAQGRNGADHLTGFSFQGCNVTTSEDLSGVETYLGRPWRDHSRVVFMQSYLDAIVHPAGWVPWKKDAVNASVIRTIFYGEYNNSGPGAGLRRRVRWPGFHTIKHAAQARKFTVEEFIHGGQWLPGTGVAYNPGLLELIVLALQLTAVHSDVSNAGMPLWVERHERRLLLTESPRVDAVVAQDGSIGAALKEAPPGDARFTYGTRVYAEVVEVHRSNVMLIGDGAGRTVITGNRSNVTKHGMPCTATVCAQGAGFMARDMTIENTAGGPGCKASGGLPLQLEPLRGVPLRDQGDTLLAENHLQFYRDCEISGTIDIVFQNCVILARRLRGTKHNGADSPKEKDVNFDDPSSFPLVLALLAAVHSDVSNAGPAPAALPRWVERHDRRLLLTDSPRVDAVVAQDGSGGHTSIGAALAKAPPGNARFTVHVKAGVYAEVVEVYRSNVMLIGDGAGRTVITGNRSNLTNHGTPCTATVSAQGAGFMARDLTIENTAGPGAQQAVAFLSNSNRSVVFRCEIKGYQDTLLAENHLQFYRDCEISGTIDIVFGNAAAVFQNCVILARRPLGSQHNVVTAQGRNGADHLTGFSFQGCNVTTSEDLSGVETYLGRPWRDHSRVVFMQSYLDAIVHPAGWVPWKKDAVNASVIRTIFYGEYNNSGPGAGLRRRVRWPGFHTIKHAAQARKFTVEEFIHGGQWLPGTGVAYNPGLLELIVLALQLTAVHSDVSNAGMPLWVERHERRLLLTESPRVDAVVAQDGSIGAALKEAPPGDARFTYGTREGRRVRGGGGSAPEQRDAHRRRSWSHCDHRQPQQRHQTRHAMHRDSAQGAGFMARDMTIENTAGGPGCKASGGLPLQLEPLRGVPLRDQGDTLLAENHLQFYRDCEISGTIDIVFQNCVILARRLRGTKHNGADSPKEKDVNFDDPSSFPPLIAHSINPATMAMASTTKSVALVLALLAAVHSDVSNAGPAPAALPRWVERHDRRLLLTDSPRVDAVVAQDGSGGHTSIGAALAKAPPGNARFTVHVKAGVYAEVVEVYRSNVMLIGDGAGRTVITGNRSNLTNHGTPCTATVSAQGAGFMARDLTIENTAGPGAQQAVAFLSNSNRSVVFCCEIKGYQDTLLAENHLQFYRDCEISGTIDIVFGNAAAVFQNCVILARRPLGSQHNVVTAQGRNGADHLTGFSFQGCNVTTSEDLSGVETYLGRPWRDHSRVVFMQSYLDAIVHPAGWVPWKKDAVNASVIRTIFYGEYNNSGPGAGLRRRVRWPGFHTIKHAAQARKFTVEEFIHGGQWLPGTGVAYNPGLLELIVLALQLTAVHSDVSNAGMPLWVERHERRLLLTESPRVDAVVAQDGSIGAALKEAPPGDARFTYGTREGRRVRGGGGSAPEQRDAHRRRSWSHCDHRQPQQRHQTRHAMHRDSAQGAGFMARDMTIENTAGGPGCKASGGLPLQLEPLRGVPLRDQGDTLLAENHLQFYRDCEISGTIDIVFQNCVILARRLRGTKHNGADSPKEKDVNFDDPSSFRD >Et_6B_048801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13905203:13911320:1 gene:Et_6B_048801 transcript:Et_6B_048801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGRLSLLLAGGIAVSSQLCRWFDSRRQAQVSDQTCPKPAGPRPQDSDETCPKPAGPRPQDSDQTFPKPRPRLPPPKHEDCITVLSIDGGGIRGLIPSIVLTRLEKHLQEIDNNDPDARIADYFDLIAGTSTGGLIAAMLVAPDDKTSTDHAADKTSMDQAPDDKMSTDHAPDKPRRSKSSTRSTGLRSSNTSGRFGRSTATKWLFPVRRGGMLLSCADYYIRPLGPDPYDLVTRMWCGPRYDNEGLKRVIKDKLKGITLGQAVTQMMVLVVDVHDRSVKQLGSRTHPDLPMEHACIATTAAPVYFPAYGFQHGKDKNGKDKYYNLVDGGVAANNPTLDALWRVISLVAAKSRRKDKNAQPFDFNKCLVLSIGTGSGMQKCSAEECARRGLIGWLYNYKEGHTPLLDIFSAATASLIDVNTGDLMRMDVSFTPFLYARPTIVTCH >Et_10A_002283.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:7039642:7039950:-1 gene:Et_10A_002283 transcript:Et_10A_002283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQRDWSELPSDLVSDIADRLLRIDVTEYIRVRPVCQPWRNSTADPRSFLDPRFFPRDWLLLAGDRLCHDGEPERFANVRTGASLCIRLPDPDEYTHHGNA >Et_1B_010617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12077176:12083987:-1 gene:Et_1B_010617 transcript:Et_1B_010617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRAGGCLRCVVCALLSVFSSRRIGWKVAVVGDGLAGNSCVPEGFFRLFGWFVGVAVWRRVGWLRGKDSLPVDLPKPIDTVEPVHANVKPFSVHPLPSTNISDVLVESPNDTDSLKEERTQYYPGKEIKRRKRHRRKQYVDQEPCIMRGVYFKNMKWQAAIKVDKKQIHLGTVGTQDEAARLYDRAAFMCGREPNFELSEEEKRELQKYSWEDFLAVTRNTITSKKQRKVGSLRRSKADLFMGQSDGDTEMVAW >Et_1A_008421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7565409:7565940:1 gene:Et_1A_008421 transcript:Et_1A_008421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIQSPAAVSPSMAGTVCSMCGDVGFPDKLFRCARCRVRFQHSLLTHRIVSVLVRRYCTNYYGDAAPAETGVCDWCLSDDVVGGKKRQYASSTSRCGTQQQQQATEGRSDEQQQTFIRSSSGFGKGAGKVTGGVQEGVRRARRYKLLKDVLC >Et_3B_030822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7690659:7693390:-1 gene:Et_3B_030822 transcript:Et_3B_030822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTSRRGPGGGRNIDDENLNFETSPGVEVVSSFDQMGIKDDLLRGIYGYGFEKPSAIQQRAVLPIINGRDVIAQAQSGTGKTSMISLTVCQIVDTAVREVQALILSPTRELASQTERVMLSIGDFLNIQVHSCIGGKSIGEDIRRLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDEADEMLSRGFKDQIYDVYRYLPPELQVDWLTERMRSNNFTVSAMHGDMPQQERDAIMAEFRSGATRVLITTDVWARGLDVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVRKDDIRILRDIEQYYSTQIDEMPMNVADLI >Et_4B_040047.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:8242673:8243317:-1 gene:Et_4B_040047 transcript:Et_4B_040047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGSTAVEEREREMERKRKRAAPGGDSVAKWRTRREHEIYSSKLLDALRLVRAGSSSSTSSSATAAAATPPRSMAVREAADRALAVASRGRTCWSRAILANRRRRLQAARRARLRNPTSPPSRHAPAAAAGSSAQADGTKTPPLARKAKVLGRLVPGCRKLPFPALLAEASDYIAALEMQVRAMTALAEALSAVSSSSSSSSGGGRSSPPA >Et_2B_022460.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22763567:22763914:1 gene:Et_2B_022460 transcript:Et_2B_022460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAMGEATGNILDLQYTTKFMESGGCCKEFRTAVGTCMKAARDGDKEAAKKEACVEKTAALRKCMAANKAFFKHYIRDMDEGMELDERRGYAEEYEKDDDGYVRWRWWQNMRRK >Et_10B_003687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4888793:4899159:-1 gene:Et_10B_003687 transcript:Et_10B_003687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAHGDEEAAASPLLAAPAAGRRSHAADVHLLSAAFLFVFSAYSAAQNLESTVNSEGDLGTVSLGILYTSFTVFAVVASPVVTRLGPKRALVVGTSGYVLFILANLVPTWYTMVPASLYLGFCASIIWVGQGTYLTSASLSHARDNNLLEGTTLGNFNGEFWGIIASTQVIGNSLSLALLRNGKDGGSATGKNMLFVVFLGCMVVGIVLIPREGLLGTAAPLLIGALWGVGDGVLNPQLNAVLGLLFEDAKAELAAAAGEDEEAAPLVSAGARRAAVGGAGARRDVHLLSGAFLFVFLAYHAAQNLQSTEGDLGTVSMGVLYTSFTLFAVAASPVVTWMGPKRALVVGTSGYLLFILANLVPTWYTMVPASLYLGFTASIIWVGQVIGNLISLALLRNGKDQRMLLIIPLIAYSGLQQAFVWAVFTKNIVTPVLGISGVGGAMAIYGASDVVCSLVAGRLTSGLHSATSIVSVGAIVQAIVLFWLLLFYSPMAGVLGAVVPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPSISLQAMLILMITMLFISFASFLFLTLVVEKSSTVKP >Et_2A_018353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24201213:24202247:1 gene:Et_2A_018353 transcript:Et_2A_018353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQECDSSDPGRIGRGDGGITNGVEDAGGNVNNDIVEKEESTGSIPSPLFPDTKAKKRLTSKVWDDFIPTYIDGRLARAECMHCHQVFNNSGTTSLRNHQAKCNPGTRKRPRQQEDASLAYTQKSMAAVFSDPTQKKLPFMLYSQKKCAGTIDALNCGEGA >Et_6A_046325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13053341:13056401:-1 gene:Et_6A_046325 transcript:Et_6A_046325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RHRRRKDRILGCAGVARRRDLGRHWGGGGLRVVVIERGDTTAAAVATAAPSGGGAGCLDCLQDVVRALSMGSCLTAEQRPAALAAAGPGGKAGESRRREEEAPGRIAGSGVGNAACLYTRQGRKGTNQDAMVAWENFNGRANTVFCGVFDGHGPHGHLVARKIRDTLPSKLRDLIYEDYGESPISNSDGSILEETSSPYADAEDKSPMAGQKEEQREFFSSMKDSFRKAFRVTDKELKLQRNIDSICSGTTAVTLIKQGQDLIVGNLGDSRAVLGTRNQNGLLIAHQLTVDLKPDHPRESRRIKRCNGRVFAHQDEPDVARLWLPNCNSPGLAMARAFGDFCLKDFGLISVPEVTYRHITEDDEFIVLATDGVWDVLSNQEVVDVVGSCSNRSFAARSVVDLANQAWKFKYPTSKTDDCAAICLFLDNDANTNGLSGSSVTSKGNRSSPRISARSRKPRHNSKKVIPEDADEGSDSNISGDERSLESFTRLNTLLTLPKFGDISPTKK >Et_4B_038691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:475289:476676:-1 gene:Et_4B_038691 transcript:Et_4B_038691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLLPDDMVANILDRLPPGSLAVSRCVCNKWCAVIDTRRLLRADLLPLRLDAFFFCAEIERVDTYFFASPSTGRRIGGCLTDFLDRPVDDSIVDHCNGLLLLWERVVNPATRQSAHLPPFPEPCVEGFYRKFFLAYDPIVSPQHYEVILIPIVPQEEINDTEFKESSEWPPITLSADKYRMIKSPAEKKEPGTYLGKSEKGVYYAALSQGYTWPRFRVWLLNESCDQTVWVLKTDTNLQAMVDNFHIDDTNRYGTPWIVNYIYGDVSDAYAEDESERDFDGGIDLREPEDKVATRYSDMIFLGFHPYKEIAFFFLSSSKVVSYHLNSSKVQELGSLRQPVDRSFPYTACWMGDLLSDRK >Et_1A_009592.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:8837128:8837622:1 gene:Et_1A_009592 transcript:Et_1A_009592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPRGGGFLGKRKEREYGYYPSFSSSEQVRRFAPPPPPFFAKPDPRGGKPDRPAVVRLGFNAKPATLPPPPGAAKGAAGNKLLAGYLAHEFLRFGTLLGERRLESPPSRKEKEAAAPAASFGSVAPEPGRRYAEVSRLLMAGGTRIPGVVNPSQLGGWLRIKE >Et_6A_047398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5115593:5123972:1 gene:Et_6A_047398 transcript:Et_6A_047398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNSSEPRDSRQPTSPAPSTSSSISRGKSDLAEVDDPESAMSTVARLLEELHASMISPSEKEVATIRLLELAKAKKEARILIGSHAQAMPLLISTLRIGTSTAKVNAAALLSALCKEEDLRVKVLLGGCIPPLLSLLKSETAEAKKAAAEAIYQVSSGGLSDDHIGRKIFVTEGVVPTLWDLLNPRSRQDRVVEGYVTGALRNLCGEKDGYWKATLEAGGVEIITGLLSSKNTASQSNAASLLARFITAFSDSIPKIIDAGAVKALLHLLNRDNVISVRESAADALEALSSKSSIAKKAMVDAGGLPILIGAVVAPSKECMQGDTCHSLQSHAVRALSNICGGTTSLLLYLGELCQASRPPVPLSDTLGALAYSLMVFDGTDGKSFDPVEIENILVVLLKSHDNKLDRILEALASLYGNARLSDKLDHSNSKKVLVGLITMASADVQEHLVRALIALCCDGLGIWDALGKREGVQLLISLLGLSSEQQQEYAVSLLAILSNEIDDSKWAITAAGGIPPLVQLLETGSQKAKEDAAHIMWNMCSDSDDIRACIESAGAIMALIWLLKSGSPLGQEASAKALKKLIRSADSATINQLLALLLSDSLSSKAHVITVLGHVLVMASQRDLVQNGAPANKGLRSLVLVLESSNEETQEIAATVLADIFTMRQDICEMLATDEIVQPCMKLLTSGNQVLATQSARALGALSCSANAMSKNKMSCLTEDDVRPLIEMAKTSSIDVAETAFSALANLLSDAHIAKEALDENIVLALTRVLKEGSLEGKISASRSLRQLLNHYLLSEVLSDYSQCCFIIHALLVCLSGISLDNVTNLEPLDVLALMARTKEDAHFSPSLRTAFLEVPESLEPLVRCVSVGLPTIQDKSIQILASLCQGQPSLLGEYLNRSQGCIASLASRVMESTNTEIRISSAVTLMSAMRNSREHSIDVLESSKLLKDLISALIDMLKEHSSSTSLDIEVWKPYTEKSSSNYEQDVLNVPELGKVTEETVALWLLALICSHHGRSKHTVMELGGVDIVSDRLASHTARRQDSVVVQSSEIMRTIPSLALLLKSDDIIDKYFAAQSLASLVSTGSIGIQLAISNSGAVMGAVALIGQVESDMPNLITMAEEFRLAENPSQIILRNLFELEDVCTGATARKSIPLLVDLLKPMPDRPGAPLIALHLLTQLAEGSEANKVAMAEAGALDALTKYLSLSPQDSTETTITNLLGILYTNLDLVYHESSLSTSNQLVAVLRLGSRSSRLSAVKTLQKLFDSEYIRDTEVARQAIQPLLDMLESGTEIEQQAALGALIKLSVGNISKASAMFDVEGTALESLYKILSFSSSLELKKDAAQLCYILFENSTIRASPIATECLQPLISLMTSGSSSVVEPVVCAMNRLLEEDYNAEIAATNEVIDLLVSFVPGTNYQLSEACIGALIKLGKDRPNCKLDMVKAGIIEHALDMILDVPIAVSSSITELLRILTNNSGIAKSSAAAKMVEPLFLLLRRPDVTMWDQHSALQALVNILEKPQSLAALKLTPSQIIEPLISFLESPSQAIQQLGTEVLSHLLEQEHFQQDITTQNAVVPLVQLAGIGILSLQQTAVKALESISQSWPKAVADAGGIFELSKEDPQPSQALWESAALVLCNVLRYNSDNYVKVSMAVLVRLLNSTVESTVTIALSALLVQEKSSSRCAVAMAEAGAIRALLELLKSHRCEESAARLLEALINNSRVRERKVAKYAIAPLSQYLLDPQSKNQSAKFLVTLALGDIFQHEALARASDSVSACRALVSLLEDQPTDDMTMVAICALQSLVMHSRTNRRAVAEAGGILVVQELLLSPNVDISGQAALLIKYLFSNHTLQEYVSNELMRSLTAALERELLSTSTVNEIILRTIYVIFNNFKKVRFSEAATLCIPHLVCALKDGNEAAQESVLDTLCLLKESWPQMNEEIAKAQSLISAEAIPVLQMLMRTCPPSFHERADSLLHCLPGCLTVTIIRGNNLKQTMGNTNAFCCLQIGNGPPRQTKVVNHSMCPVWNEGFTWLFDVAPKGQKLYIVCKSKNTFGKSTLGRVTIQIDKVVTEGVYSGFFSLSHDGGKDGSRTLEIEIVWSNRPSNDSM >Et_6B_049181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19095925:19104115:-1 gene:Et_6B_049181 transcript:Et_6B_049181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIQRRAPMSTLLAHATVLIFVTTFFLATPASAELVNKTGQLTVFWGRHKEEGSLREACDSGMYTMVIMSFLNVYGHGKYHLDISGHPVAGMGADIKHCQSKGVLVSLAVGGFGGDYSLPTKQSALDLADYLWNAFLGGAKPGVRRPFGDARLDGVDLFIEHAAAGERYDVLARELAKRNIPGRKKALHVTATPHCRCPNRLVKKALDTGVFERIHVRFYGDPNCSIFTGQSWEQWTAAYPGSRIYLGLVASVEQGSDWIFPKDLYYGVMPGVQQSPNYGGVMLWDRYYDERGNYSDYVKRAPMKTLLVLGAIFVFATTTFLASPASADLVNQTGQLTVFWGQHMDEGSLREACDTSVYTMVILSFLDVYCSGQYNLNMSGHPVAGMGDEIKHCQSKGVLSLPTNQSALDLFDYLWNTYLGGNLNDTSRPFGDAWLDGVDLFLEHAAAGEHYDVLAKELAEHNTTSKPLHLTATPHCAFPDGFVKQALDTGVFERIHVRFYGDDPDCSIFMFQNWDKWTAAYPASQIFLGLLASEEQGDDWIFMKDLYYGVMPVVQQSPNYGGVMLWDRYYDNRSDYSFYVKAAIGDDELSVVVSILDTKRCEAENTFVPLAEVLVLLNVDLVAREDLKEAEPALATHGYELGFNVPLRILLEEHLFLTN >Et_1A_004671.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:29811480:29812782:1 gene:Et_1A_004671 transcript:Et_1A_004671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPPQRLRRLPVEMVDDLIPEILLRIPPYKPANLVRCSAVCKPWRRILTGPAFLRRYRAFHGVPPMLGFLINVELPGRRFGARYARVSSFRPRTLDHADLSALGSRHGRVLLHAPDRVMAIFVWDPITDRRWELPRPALSLVYWVAAVLCAAAAPGSGRECDHLDCHGGHFLVAVVGTTVEGRTCACVYSSEAAAWGDATYAKEDLDDALEDMDIRPSALVGNTINFITARSKTIVEFDLGRRQLALIDPPLVSNDYAVVMPAAGGALGFAAVQGTSLHLWSREIGPDGITAWKQLRVIEFNTLRTRAGVALLGSIRKRDELCWHT >Et_9B_063967.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:7052924:7053160:1 gene:Et_9B_063967 transcript:Et_9B_063967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHHLFILNGDDPGLLEPRVGAHHCGANFVACQSEYRYKKIFTLFYFLLYLCSVVLSRLFLFSAFLLKNCSTTCSTS >Et_3B_028062.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:7813789:7814109:1 gene:Et_3B_028062 transcript:Et_3B_028062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWNWLALLNPTRFGPVLLLRLTRLHKPFWTPQARSLSETANWSFFYGIGGLMVNPWPSLLQTWSPRYRRGSATLGWSLMHSADAVGFVTCAGLVRCKSCLISLKY >Et_8A_057885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8790639:8799513:-1 gene:Et_8A_057885 transcript:Et_8A_057885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVGEGGGPWLRSTNGFLGRQVWEFDPDLGTLEERAEVERMRREFTEHRFEWRESADLLMRMQLAKQNSHRCHLPRIKLRQDEQVTEEIILNLLRRALDQISSLQSSDGHWPGEYSGITTVIPTLIIALYVTRSLNVVITPEHRREIFRYIYNHQNKDGGWGTCVRGSSSMFGTCMNYVTLRLLGEEPNEKNVALAKGRDWILSHGGATSIPQQGKIYLSILGVYDWSGSNPFFPELWLVPRFLPFHPGKLFCLCRMFYLPVAYLYGKRFVGPITPTILALRKEIHNTPYDSIDWSKARGACAKEDLLSPRTLLQNIIWTSLNRCVEPVLSSWPANKLRERALNHLMEHIRYEDENSQYICLTDGSKPLNMICCWVEDPNSDAFKRHIARIPDFLWLSEDGMKGQVCDGCHSWETAFIIQAFCATNLVNEYGSTIERAYEFLKHSQVSFSLSSNHQYCYYSSQKLILNNIFCNGWAVSDTTAEALQAVLLLSKLSPDLVGNQIQSERLYDAVDCLLSFVNYDGSFSTYERKRTSSWIEIFNPCENFPNMVVDNPYPECTGSVLRALVLFKELYPDYRVKEIEKCVENAATFIESRQKGNGSWLGTWGICFTYGAFFSIKGLVAAGRTYENSPSLRNACHFLLSKQLITGGWGESHFSNITEVYVNIEGGHAHAVNTAWAMLALIYAGQFERDPTPLHRAAKELVNLQMETGEFPQQEHVGCFNCTCFFNYPNYRNVFPIWAIGEYLRCLRAKKIDGSM >Et_4B_038264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27671629:27686363:-1 gene:Et_4B_038264 transcript:Et_4B_038264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSVLGADLRVPCSGDCRRLGRRHELFKQLYGAAASASRGSSLASSSQSVFNLDNYGARGDGKHDDTQALAKAWTAACASSRPAIVLVPGGKRYLLKLITLSGPCKSSVVLTVKGTIVASPNRADWSDNDRRHWIVFRGVDMLTVNGGGAIDGNGEMWWKHSCKINKALPCKEAPTALSFHYCTSLRVENLKIVNSQQIHMSVEDCNNVQLTGLSITAPGTSPNTDGIHITQSKDVEVTNCKIKTGDDCMSIENGTHNLRVSKVVCGPGHGISIGSLGDDNSRAEVSGIIIDTVQLYGTTNGARIKTYQGGSGYAKDITFQNMIMENVKNPIIIDQNYCDKTKPCKESGSAVEVSNVVFKNIRGTTITKDAIKLNCSKSVPCQGITLQNIDLKMVAGKVSAESTCQNAEWRKYGALLFLAPLLALLFLSPALEAASASNGATADGASSSSSSNGAAAAASRGRSMASSSQSVFNLDNYGARGDGKHDDTQALTKAWTAACASSRPAVVLVPGGKRYLLKLITLAGPCKSSLVITVKGTILAPPNREDWSDKDRSHWIVFSGVNELTINGVVCGPGHGISIGSLGDDNSRAEVSGIIIDTVQLYGTTNGARIKTYQGGSGYAKDITFQNMIMDNVKNPIIIDQNYCDKAKPCKASESAVEVSNVVFKNIRGTTITKDAIKLNCSKSVPCNAISLQDIHLEMVASNGAAESTCQNAEWKKSGTVLPLPCSSSNGDAVAAPRGRSLASSSQSVFNLDNYGARGDGKHDDTQALTKAWTAACASSRPAVVLVPGGKRYLLKLVTLAGPCNSSVVLTVKGTIVASPNRADWSDKDRKSLDRVSWGRQAHYQWRRHHRWQRRDVPCKEAPTALSFHFCTNLRVENLKIVNSQQIHMSVEDCTDVQLIGLSITAPGTSPNTDGIHITRSKNMQVTNCKIKTGDDCMSIENGTHNLRVSKVVCGPGHGISIGSLGDDNSRAEVSGIIIDTVQLYGTTNGARIKTYQGRSGYTKDITFQNMIMDNVKNPIIIDQNYCDKAKPCEASGSAVEVSNVVFKNIRETTITKDAIKLNCSKSVPCSAITLQDIDLKMVPGYGATQSTCENAKWKKSGTSVFSVDRYGARGDGKHDDTQALAKAWNAACASSRPAVVLVPEGKRYLLKLVSLSGPCKSSVVVAVHGTLVASPNRSDWSDKNRRHWIVFRGVDKLTVNGGGTIDGNGETWWKHSCKINKALPCKEAPTALSFHYCTSLRVENLNIVNSQQIHMSIEDCTNVQLARLSITAPGTSPNTDGIHITRSKDVQVTNCKIKTGDDCMSIENGTHNLHVSKVVCGPGHGISIGSLGDDNSRAEVSGITIDSVQVYGTTNGARIKTYQGGNGYAKDITFQNMIMDNVKNPIIIDQNYCDKAKPCEAQGSAVEVSNVVFKNIRGTTITKEAIKMNCSKNVPCHGITLQNIDLKMVGGKGATESTCQNAKWTKSGSVLPQPCTQLKTIQPIFSVSASSVGQTTVSMRRSRISA >Et_10A_000605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13651160:13651517:1 gene:Et_10A_000605 transcript:Et_10A_000605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISGRPCLVKNDDGHRTLWLLRGGSPMGAKVPLFKVHDDLTFCPIKGVWNYYNGVYLTKDVDGKSTTQHNTNGSKYAFCWGYMPILLSPGSIVDELSQY >Et_3B_028273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12776304:12776843:1 gene:Et_3B_028273 transcript:Et_3B_028273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRNATWHVYQNMLDPKIHSVSVCGRPHVFLDGYLHAHTDLDVWVLEEMETTQIDRLSSNWTIKLPLHSVDCVVDHCLRVAEEDGRTVLVWSHDYYNPEGWTVEHRLSMSDAFGRDDFIHYEDDLFGACDYEIIAIDIEREVLFLTDDKTTRLSFIQHQYWKIY >Et_2A_016138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21346439:21351442:-1 gene:Et_2A_016138 transcript:Et_2A_016138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFAAAAPSLRDLPCKRVLVCAAEADFLRPRCRAYYEGLTASGWGGAVEWFESEGQGHVFFLREPRSGEALALMDLGYEDSFRALKWVVSGGEGDPWLSRHGDLGRIFLAGDSAGGNIAHNVAMMAGLEGEGGSNVAARIEGAALLHAAFGGSEPAIEGETPEAVALAEPLWRVVCPEASDGVDDPRMNPLAAAAPSLRNLPCKRVIVCETEGDMLRPRCRAYYEALTASGWGGTAEWFESEGKGHVFFLHEPHSGEALALMDRLHDKPVPCRVGLSPKTNPAPKLWYDSPLLRVYDDGRVEHLYGTDTTTPGFDAATRVTSKDVVIDNNTGVFVRLYIPANEHKMLPILRRRLGCLSDVPQVPKLCRIQGYCSRRVGQLPPCPGASCSHGLRLLLNSSRLGRVSCRSMAFGARRHDTSRIFLAGDSGGANIVHNIAIMAGSRHALPSGALIEGAIIPHPMFGGKEPIDGEVMEGREIMEKHWSLICP >Et_4B_039749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28390687:28392570:1 gene:Et_4B_039749 transcript:Et_4B_039749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGGTADFFYREAQRLGYVARSAFKTSISLPLSPRRLLRRLLGIPVSSEPRVLPPQLIQIQKQHRIITPGAAVLDLGCASGAWLQVACQNLGPLEKGGVVVGVDVKKVKVPSAHCDSRVRTFCADVMTLMKRQARAMSPQERGFSVILSDMCPPVSGITPKDEAISCELGMRALSLAIGKIKVKQESDYSEILEKYQSSTEPDPDDNGVLRRGGSLVIKFLENEDIPGFGKFCKEKFKKVSLLRPKATRSSSREIYMICEGLR >Et_5B_045372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2039627:2041574:-1 gene:Et_5B_045372 transcript:Et_5B_045372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYYQDHAGGGKSGKLAQSRALASRRFLSMLMVAIIFIVTMFVAPVSFLSFVHTDDGGAAAATAARSAGQLTTGPCSAVRNGSICCDRSSERADICFAKGDLRMHSATASFQLVSSGGNSTAAAAEEERIRPYTRKWEAEVMATIDEVRLRRVPPGAGDAARCDVRHDVPAVVFSTGGYTGNVYHEFNDGILPLFVTAGHLRRRVVLVILEYHDWWMTKYGDVVSRLSAFPPVDFSADRRVHCFPEVIAGLRIHGELTVDPARTPEGRSIDDFRWLLDDAYRGRIQFLEEQERRAARKHRRRRGALPLAPPRLSAAQAHQGPQERPPRLTIVSRTGSRVIENEAEVAAMAADVGFEVRVIRPDRTTELCKIYRELNASDAMVGVHGAAMTHFLFMRPGKVFIQVVPLGTDWAAGAYYGEPAAQLGLRYVGYKILPEESSLSREYPAGDPVLVDPAAVSQRGWDVTKKVYLDRQNVRLDLKRFRGELVRAHQYLVAGRRTKLPRARRDKVGARTLQGQEH >Et_9B_064822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18981703:18982993:-1 gene:Et_9B_064822 transcript:Et_9B_064822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQLDDLHLDPECNCGSETEEFDPDWGNNHVVSWEERVVEALHIVRLHQIREHDPVSGIRVRTRFCRFNVAYFDFDKESTASRGPPILSLTSRDRINLVDSINIVSLKILESDVGYPISVFGTVLVRDQVDYKCIYLFKRGEDNPQLITSPEDTLALTDPCRGLAVTDGMFFEINLKVKRDDSGDTDFSKGVIERAVFVSGKCQLMTRLLTSWHSTVQLAYTPVPSAVAASLAINILEGPRDFFTGEVVAWTSGNSKNRIILYDSKIAGTKTEIGGGGSVALTRSLVAVPVKEELVLRICLREGGHEVACFELTLDHLE >Et_10B_003838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6652308:6652621:-1 gene:Et_10B_003838 transcript:Et_10B_003838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRHAAAAGGGRGLALGVTACDVRLLPGASPRSRCPRAHLQRVKAVLVAALGYIHLVVPPPRQTTRRRRHKYSIIVATVQTRCKALFKPKPL >Et_5B_043580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12039556:12048075:1 gene:Et_5B_043580 transcript:Et_5B_043580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNLLNRRKRSLRADELVNKSTLQLLDFDDGSPPELAWRRKLSSHANRLKEFNVTFREAIKMMKLGLRLWSYIREEASYGRKAPIDPFTRESNKPSASQGVPLGGMGSGSISRGFRGEFKHWQITPGSSEMSPVMANQFSIFVTREGGNKKYSSVLAPGQLDGLKKPSDDGISSWDWKLKGDRSTYHALFPRAWTVYDGEPDPELKVSCRQISPFIPHNYQESSLPTSVFVYTLVNTGKERAKVSLLMTWAVNSIGGLSHHSGGHVNEPFVTAGNNPPVTFAIAACENQNVNVTVLPVFGLSGESSVTAREMWGTMVQDGSFDRDNFDAGASMPSSLGDTVCAAVSASTWVEPNGRCTVVFALAWSSPKVRFKKGSTYYRRYTKFYGTSPRSAINLVQDALMKYKHWEEEIDKWQTPILHDERLPEWYKITLFNELYFLVAGGTVWIDSECLVVDGGNKLNSSSENSNLPLHDTTCSSTAPLIGFTPHEIDDKENVGKFLYLEGIEYFMWCTYDVHFYASFALLDLFPKIELSIQRDFARAVLREDKARVRFLADGTWGIRKVIGAVAHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQIYRDFAATGDMSFGKDVWPAVCTAMEYMEQFDHDGDDMIENDGFPDQTYDAWTVQGVSAYCGCLWLAALQAAAALARSLGHDDYAERCMTRFKKAKSVFEARLWNGSYFNYDSGTSYSSRSIQADQLAGQWYTASSGLAPLFDEDRIKCTLQKIFDYNVMRVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYSLAATMLLHGMERQAFTTAEGIYIAGWSEEGYGYWFQTPEAWTVDGHYRSLVYMRPLAIWAMQWALSPPRAILQAPKVNTMDRAHISPGAFQFLQDRVRKITPKNSCFGSSNWDC >Et_2A_017953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8279318:8280094:1 gene:Et_2A_017953 transcript:Et_2A_017953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEIVDLNNVRLWIICIGLGVTVIGNAVTPNPLVTLDVFSVTSIIIVYLSDVTADRSLLTTKAGDGLAFDSLLVHLVLV >Et_3A_025334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2907144:2915209:-1 gene:Et_3A_025334 transcript:Et_3A_025334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPNNVGGGAVAAAEVADPAGGAAGAGAGASPWRKNTPPPDAAEAAVMGAESWPALEEARQKVASEAPAKAGNAVPADSVKGAQVSPPPPPSQGSSRTYKSDGHGNLNKNQQAYNKNGPKRRFPPANGAPSHPSAMQYHQHPGQPIFYPVLPGPMIVPEYPYQPFAVPAPNHDRPVGKSGYENSAPPFVPVDKVGTNEGNRPMPPHPRGDHHGWRPPVGTHGARPHPGLDGHGHFNRNWQNPQMYGTRENNNMPQGAGPRAFVRAIAPPLGFISGPPYPGPMHPMYYYMPAVPMEQMRGPPRYVQNQPAPYPVLSPEAVELRSNILAQVEYYFSDANLERDEFLKSLMDEHGWVPVSKVADFNRLKKMTTDVQLILDALASSSDLSDLISVYYQDDKIRRRSDWSKWKPFSGTTSTANPSSASMDSSMGEKNMEAKGADKHLAEDVHRCSLSKDFSSVTIAENPKGSFESPINSRKHESSFRFDEVKVQKVNSRINEMELEHVDHPHDDHYSHKRGDEDDDFFVDDHDINRLIIVTQDKKLEKDERNRSSGHQAFSTEEASRISDALYHYENMHGRHTDNQRGSQANANATDIDSKPSGGYKGNHINTEINGTEETGQPIPRRRHRNNRKAHSSRKQRFFAGNFVNDPNQYGGVSESPPGNSIGYFYGSTPEGHRYNKFKQHCLNERKKLGAGHSEQMNSLYRFWSYYLRDNFNEDMYKHFKKFALEDAAANYRYGLECLFRFYSYGLEKNFQHSVYEDFEKLTLEFYHNGDFYGLEKYWAFHHYRKPDSDPVNKHPELDKLLREEFRTLEDFRAKEKAREAMAKETNSSISSAAMALSHNNKAEAK >Et_4A_033459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2368775:2371011:-1 gene:Et_4A_033459 transcript:Et_4A_033459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGRNGLEEDDDIAKVAEAFGGVSDDEEVPPHLRALAEAAQSGDAAGLLAALDNHDGSIDVPVEDGDTLLHLACLYGHLPCVQLLLERGASLECKDEEGAIPLHDACAGGFTEIVQYILNFAANKDGCIVRMLGTVDSEGDTPLHHAARGEHLDVVKLLLQAGACPKKENFYGQTPADMADNDTEVKTLLTAKQIEASTHMSDN >Et_2A_016146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21408605:21409470:-1 gene:Et_2A_016146 transcript:Et_2A_016146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLAQEYHTKLPTAGHYCKSLSSLIRETYAHCHVPCVRIPAGAGWSSGEDSDDDSVLDDANETKQVILNEMRNRQMKKRLRYSVDSPSPRLSTAAFAWSYTPLDSKTVLEKLSSPKTCVVVEEDEDREEEEATEKKEKADDDGDDDDYCDADDESEAFYSVKSFFTRSTSRGATVASSVCGVDLPPPLLRSPETWEGFRDCEGWPFGLCRRPAVLPLPPLPSTPADSWKWRKSVSSLAGSPAPAYSYRTASST >Et_1B_014163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33385830:33391620:1 gene:Et_1B_014163 transcript:Et_1B_014163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSYCTLQGNRDRIGWRAGGKIDQCTMLLQAEPTADVHGLRNHMCCLVQANAPLEFVSRSAADCIAVQPSTWTTMGDQFGSASEQDTFFKWYFVFFYASFMIRDTAVFYLQGGVS >Et_6A_047443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:582703:585184:-1 gene:Et_6A_047443 transcript:Et_6A_047443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSVSLYPGDLGSSRVTRTHQRIRKDERSWTTDTYAPYNDGHQWRKYGEKKLSNSNFPRFYYRCTYKNEMKCAATKQVQQKDTSDPPLFSVTYFNQHTCSISSNPIGSTRDVAAQSSSKKAVSISFSSQSSSEQRTFLTSSATPPLPSIQYYRAHQQSDRSAYAHQFHWTGTSYPISNGPVKMEVDKFSESSTSSSSTGAMPRTLLPIGQSRCIEYFHFL >Et_9B_065650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8803448:8808703:1 gene:Et_9B_065650 transcript:Et_9B_065650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPRSHHRDDDFPRCLKRRASPFHSSDSEIDHRRRSRAFAPVANSRRRSRASLSIPNRRRGRDPEGCSMLSGYGSDPSGRFRVPDREEDGSLRPRRARVDKQREDGRRLKRSRAPDDERDHPSGKHYRGSDGPRRRWSLKLDAGPCLDRCHSRQPPCDEIWRRLDDGRNRGDDRDERGRSPGKREPLSLLPYNSGRTGGSYTPSFRKRQIRLKMEDKASPEYQQVSWEALEKRINGLVNKVNVTNIKDIVRELFAQNLVRGRGLFCQSCIKSQVASPGLTDVFTALVAVVNAKFPEIGRLLLVRVVLQLKRAYQGKDMAQLPLLTKFVAHLVNQAVAHELLARELLAVLLEKPTDDSVEWNLSKNVGAILQLLSPQGLHAIFERFKVIRHEVGLGKRVHFLIEGLFVVREANFKGFPTIRPELDLVEQGRQFTHEISLEDKLEPEINLDVFSANPNFIEDEKAYENIMRGILGPEPHEDGGESDKEQMDMRDLINLRRTMYLTIISSVHFEEAGLMKIDVKPGQEASTAMDLCLMILECCGQEKTFLHSYGLLAQRYCMMNRAYQENFIKCFVQQYLTVHRLETYKLSNVAKLFAHLFVTDTLPWHVLGCMRLTSDGMTASSRYFIKILFQNSELVFLMQELSKHLGVGRLNERLKYLNMQGSFEVILPKDHPKNLRISNKFFTAISLGGIEKSMGGNVPLLVMRQHKLASSGFKFGSNAFGS >Et_6A_047003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2395033:2400028:-1 gene:Et_6A_047003 transcript:Et_6A_047003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YSSPAAVLPSFAAFLFLRINLSKSKQKAGGIENSWPLHEIMSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPNHQPPPPSPSSRGAAGGAPELVIPVSSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGTRGCWHTRCGAAVDLALDTLAAARSFGVEQLALLVQKQLESMVKEASVDDVMKVLLASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRAKSPPSAASSAATRTSPFMTTHHYLPINAADRDHKIRRMRRALDAADIELVKLMVMGEGLDLDDSLAVHYAVQHCNRDVVKALLELGAADVNSRAGPTGKTALHLAAEMVSPDMVSVLLDHHADPNARTLDGVTPLDVLRGLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVTTRDDGAAAAGGGEAGGSDAANFPRGDADDSLVSLTMNSTFMYQGQEMAAAIAGDTRKENSGRGSPSNLRPWSRLALGSTAPRLGRGDPGAARPYGLEEGRRVRRGWEEDPAGRGSTAGWEEERRGRGAAQLGGKKGAPLLLNQKEQRSMSSARPAE >Et_1B_011414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2206158:2207930:1 gene:Et_1B_011414 transcript:Et_1B_011414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTLTFGNKQSLFVSQSTDYGQPIVRLRACISYPYNEFGSGGVWAAYGSRTSTVVFPSDMLCDLPIKIVARIKLSANVYRHITFSLIYVEASIYHTAYLSIYLSSFLYVLSDHQLYQKQSHPQITGGGAAGRIPLPLELAEDEPIYVNPKQYRGILRRRQLRAKLEAQNKLVKARKPYLHESRHLHAMKRARGSGGRFLNTKQLQQQARSNKDSANSLGSTHLRLGDGTSAPKTMASQDSSKRAGSSAPELFQFPGQHLSFSDYFGQAGAQTGGVAVLHNGTRHQVPVMR >Et_2A_015756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17570017:17573289:-1 gene:Et_2A_015756 transcript:Et_2A_015756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRLLPLRHRCFSTSAASNATPTLYTSGTNPFSLLSWGRGASGQLGGGKEERRLYPSPVAHLLLPDSDPRLAPTPGRLPAPADASGLEVGISCGLFHSALLVDRGAWVWGKGDGGRLGLGDESSAFVPRPNPNLQDLRLLALGGIHSAALTSSGDVFTWGYGGFGALGHYVYHRELLPKRVNGPWEGKITHIATSGAHTAAVTDSGQLYTWGRDEGDGRLGLGSGGGPGEAGSLSVPSKVNALPVPVAAVACGGFFTMGLTSDGQLWSWGDEGEVLSWGHGGHGQLGHPTLQNHRVPLAIKALSEERIVYIACGGSTSAAISDCQLGVPGLPEVQPLPVKVNFLRDGVEDLGPPRVISVAIGASHAMCLVSTQQTEK >Et_3A_023170.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:34457244:34460161:1 gene:Et_3A_023170 transcript:Et_3A_023170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PFGKQVHGIAVKLGLPVNVYVGTALINLYAKSGCMDAAMQLFHALPQKNPVTWTAVITGNSQTGQAGVALELFQKMGLQGVQPDRFVLASAVSACSALGSLEGGRQIHGYTYRSAAEMDASVTSALIDMYCKCSRPSVARRLFDHMVNHNLVSWTTMIAGYMQNSFDGEAMAMFWHMSRAGWQPDAFACTSVLNSCGSLGAIWQGRQIHAHAIKANLKTDEYVKNALIDMYGKCDNLTEARAAFDALAEADLVSYNAMIEGYARQGDLTEAVYLFSKMRYCSLRPNVLTFISILGVSASHSAIELIKQIHGLIIKTGTSLDLYAGSALIDVYSKCSLVDDAKAVFSMMHNRDVVIWNAMIFGYAQNEQGEEAVKLFNQLRVSGASLNEFTFVALVTVASNQASMFHGQQFHAQIIKVGADINPHVSNALIDMYAKCGFIKEGWLLFESTCGKDVICWNSMISTYAQHGRAAEALRVFQLMTEAGVEPNYVTFVGVLSACAHAGLLDKGLCHFNSMKTKYGIEPGTEHYASVVSLFGRSGKLHAAKEFIERMPIMPAAAVWRSLLSACHLFDNVEIGRYAAEMALLVDPSDSGPYVLLSNIYASKGLWADVQKLRLEMDYAGTVKEPGYSWIEVMKEVHTFIARGKEHPQADLIYSVLDELTSLLNDSGYLADISSELALLGENG >Et_4A_032175.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22324098:22324403:-1 gene:Et_4A_032175 transcript:Et_4A_032175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRTCGTRLTNDENATTVRVTNLPEGTTESDLLELFNVFGIIRRVHVAADETAGSAGRRFGFVQYIQRQEAEHAIRLLDGHVYENLTLRVEWATTTSPNA >Et_3A_025722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32140306:32142467:-1 gene:Et_3A_025722 transcript:Et_3A_025722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASGAAEADGELKADILVRDDAPKLLAALREMKDGLDLVRGKVKENQLPTANGIGYLEAKNHLLLGYCQDIVYYLLRKAKGLSVDGHPVVRSLVEIRLFLEKIRPIDKKAEYQIQKLTNAADGGAAQVKAPNAEAKRKGNHSDEEDLLKYRPNPDMMPAPDVKDNDGIYRPPKFMPAVPDEDKRHKQASRKDRALARMATENPYLKEIIDDAADRPEEWKETVGDESKEFLRYKRQREQQEKQEEELFTRAPVTKRDKKIEKQMLNQMHGLRGLADDGFGMNMLFDGDNEDDGGSSKPYARSGKRKTHHKSKKRKRH >Et_2B_021758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5125482:5127074:-1 gene:Et_2B_021758 transcript:Et_2B_021758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAISQRAIVSAQSHQLDAKTSGFQKRTGKVSVGSRCPSSRKSRLVCASGMPSSAVQPIQLPSNGDGGHPPKKSSESALILIRHGESLWNEKNLFTGCVDVPLTPKGVEEAIEAGKRICNIPIDVIYTSSLIRAQMTAMLAMMQHRRKKVIPIIMHNESEQAHRWSQIYSEETLKQSIPVITAWQLNERMYGELQGLNKQETADRFGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFKEQIIPQLVAGKHVMVAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGRFIRRGSPVGPSEASVYAYTRVRVFPMLVFLSTQFPYLELLGKTIC >Et_8A_058269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3973143:3976798:1 gene:Et_8A_058269 transcript:Et_8A_058269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKTKLDAQPELFIHIIPDKATNTLTIVDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGESLGRGTKMVLYLKDDQLEYLEERRLKDLIKKHSEFISYPISLWYGWTANMERIMKAQALRDSSMSGYMSSKKTMEINPENAIMDELRKRAEADKNDKSVKDLVMLLFETALLTSGFSLDDPNTFGSRIHRMLKLGLSIDEDETAEADTDMPPLEDDAGESKMEEVD >Et_4A_031812.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:17694970:17695533:-1 gene:Et_4A_031812 transcript:Et_4A_031812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQSRALQELMVAVDAGQVVDSASSDKLFAPRRSTHGRGRQQGARAAVIKKPAAAAASPDDSSFEFSAFVSKSSASPASMVFSDGQLRAHQYPAVRSSATSSQAASPLLTSSSSSMGSTKAAGTMGSKKRVSFAMDGGNKAAASKAGGGQEKKSGGLLGCMGCACGSSRKDVVEPAKNVNRKVVAV >Et_1A_006456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22625752:22626930:-1 gene:Et_1A_006456 transcript:Et_1A_006456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVTYLTTVLQESKVTAATNASAWTGAYFFAPLLGAFIADAYWGKYWTNVVFLPIYVAGMIILIVLTSTPHNGNPHRTVVYLGLYIAALGSGIVKPCTFTLGADQFDINDTAERAKKDSFCNWYYFVDNVGGGGGVCFAIPTVLVFFSLARFVTATMFYRFKKTDVNPFTSLFQVMVAAVRKRHMQLPDDSSLLYELTAHRSIQVPCILTRVLIYETVLVPLVRHVTGKDKGFSQRQRLGIGLSLSMLTMVYCALLEMKRLAIVEANGLTNQSVLVPMSIIWQVPAYFLQGAVEVFGVIGVIEYFYDYAPESMKSLCAALVQL >Et_6A_047806.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:17550168:17551595:1 gene:Et_6A_047806 transcript:Et_6A_047806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEPAPAGGASSSSPPAIRVVETVFVQPSPSPSDPAAPPESSLPLTFFDIFWVNSPPVERVFFYRLAPDADVDAVLSNLKSSLSTAVRAFFPLAGRLRLAAGSAHRYELHYRPGDGVTFTVAEYDGGKDLDELATDDAREVAAIAPCIPPLPAGGAVLALQATVLSGRRGLALGVAVHHAACDGAGSTHFLRTWAAAAAQRAPAPAPPVIDRSLVADPRGLYDAFYAAVPTRDESEFVKMAPDQLLATFVLSRDDLQRVKDAVAAEAARRRGAGTPPRCSSFVAALGLVWSCYNRAKEETSSNTTDINGDGDGGRACLLFAVDHRSRTNPPLPDTYLGNCVGPAFALAPRDDGVAGGLFAACAAVAASIQEAVGEFGTPAMDAWMERVREVSAMGPLSVAGSPRFRVYEMDFGFGAPAKVDIVSVARTGAVAVAESRSGEGGGMEVGVSLRPRGMDAFRNCFAEAIAWLHAGAE >Et_8A_056355.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:3386999:3387136:-1 gene:Et_8A_056355 transcript:Et_8A_056355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGPYFLGRPRFFLASGSPMPGSAAAPLPPAIAAADMLVSWTW >Et_2A_017876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7359922:7365626:-1 gene:Et_2A_017876 transcript:Et_2A_017876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGVADVSLAKRARIVELSRRLRHRGPDWSGIHCHQDCYLAHQRLAIIDPASGDQPLYNEDKTVVVTVNGEIYNHEELKAKLTSHQFQTGSDCEVIAHLYEEYGEEFVDMLDGMFSFVLLDTRDKSFIAARDAIGICPLYMGWGLDGSVWFSSEMKALSDDCERFICFPPGHLYSSKTGALRRWYNPPWFSEIVPSTPYDPLAVIKRLMTDVPFGVLLSGGLDSSLVAAVASRHLTETKAARQWGNKLHTFCIGLKGSPDLKAAREVADYLGTLHHEFHFTVQEGIDALEEVIYHVETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKKEFHEETCRKIKALHLYDCLRANKSTSAWGVEARVPFLDKSFINVAMDIDPEWKMIRRDLGRIEKWVLRNAFDDDERPYLPKHILYRQKEQFSDGVGYSWIDGLKDHASEHVSDSMMMNASFVYPENTPTTKEGYYYRMIFEKFFPKPAARSTVPGGPTVACSTAKAVEWDAAWSKNLDPSGRAALGVHDAAYDEPEQAHASSDPVPDSGLRPAIGESIVASVTAV >Et_7B_053966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13814763:13816587:-1 gene:Et_7B_053966 transcript:Et_7B_053966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSIEFLFCRGPGTLALVASWGITLYTLRLLIELHECVPGVRFDRYRDLGVHALGPRLGLWVVVPQQLIVQVGCDMVYMVTGGKCLQKFAESVCPATKCTQLHQSYWICIFGAFQFLLSQLPNLEAVTAVSFAAAAMSLSYSTISWAACLARGPVSGVSYAYQEAGNAADATFRVFGALGQVAFAYAGHGVVLEIQATIPSTPTKPSRAPMWKGTVAAYLVTAACYFPVAFAGYWAFGRDVGDNVLVSLQRPAWLVAAANMMVVVHVIGSYQVYAMPIFESMETILITRFRVPPGALLRLVARSAYVAFTLFVAVTFPFFGDLLGFFGGFGFTPTSYFLPCILWLKIKKPRRFSASWFANWACIVIGVLLMFASTIGGLRSIIQDASTFQFYS >Et_6B_048564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10920031:10924852:1 gene:Et_6B_048564 transcript:Et_6B_048564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGAEEELTAQETALYDRQIRVWGVDAQKRLSKAHVLACGMNGTTIEFCKNIVLAGVGSLSLMDDHLITEDDLNANFLIHPDESIYGSQSRADVCCESLKDFNPMLLVNENCRKRSKHIAFYAIDCKDSCGEIFVDLQKHRYVQKKPGGETEQQELTYPSLQEAISIPWKHLPRKTSKLYFAMRVLENYELSEGRGPGETTFSDMPAVLARRKDMCDKMSFNESQIPTALMERLLAAGKREHPPVCAILGGILGQEVIKSISCKGDPIKNFFYFDAVDGKGVIEDISASYTN >Et_4B_038674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3892748:3894667:1 gene:Et_4B_038674 transcript:Et_4B_038674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSYGSREGLTVRPAASSSSSEISLQIDPINADLDDHILGLRGQVHKLRGVAQEIHSEAKYQNDFVSQLQMTLTKAQAGVKNNMRRMNKRIIQNGSNHLIHVVLFALGCFVLVYLVSKFSRR >Et_1B_012172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29675809:29682072:-1 gene:Et_1B_012172 transcript:Et_1B_012172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAAIAATAGAVPPPTKAKAVAVDTTTPPTLTRRHLFLAAAVSATLPTAAVSAATPRFADIPGSGGVKALDLREGSGDVPVEGEQVAIHYYGRLAAKQGWRFDSTYDHKDETGDPMPFVFTIGSGKVISGIEAAVKSMRVGGLRRVIIPPSQGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLIFDIELISRIFPPRRFSNSRSDSLEWRRVDMNQFRSKGPGSGDSRQQSCVIMRVDRSRMRPRRLGREEEIIFIREILLICTTSKFFAIAKLIPLTDIVFAITTQSKPSFPTRMVIAIDIRNCLLGIHLAIVDLNSCPTSIFNTIKDIHPRPPLIYFTIGGLAMAITSIELLHCTPILIIIHALDDVQLLAPKNHPTMGCRDKVVSRRD >Et_3B_029526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25752039:25755537:-1 gene:Et_3B_029526 transcript:Et_3B_029526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANVGAGAALFSEEELREVSGVRMGEDFVEMTCGCTSHRYGDAVGRLRVYATGELEINCECTPGCHEDKLTPSAFEKHSGRETAGKWRNTVWVMVQGEKVPLSKTALLKYYNMAHKSTNGSHKGRNGRPTHRDEFIRCTSCCKERRFRLRSKEECRVYHDALAKVNWTCADLQTDWVSCGDEEERGSRKVLRGCSRATSCSGCMKCVCFGCETCRFKDCSCQTCVDFYCNSKE >Et_1B_013750.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:1558396:1559766:-1 gene:Et_1B_013750 transcript:Et_1B_013750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGPLHPVSIVEQDHISPSPAPVADQPRALPLTFFDLVFWEFPPVQRLFFYDNADLVDVPDFQASELPRFKKSLAAALHHFYPLAGRLTCELAEGVVPEIAFSDGDSVQLTVAVSSDDFHDLAGDHARDAARLRPLLPSLPKYGGGGSASHDVLAVQVTVFPGAGVCVGTTVHHAVADGSSYVHFMKTWAAIHRSASPECRNVLAPLFDRSVVRDDAGLREAFVSEIVAADGRRLGDWDLSQRPGVVLATFRFTEKQLNKLGRHVGSETSARCSPNALACGAAWAGVVHARGSAASCFGFVTGCKPRASPPIPANYFGNCLGLCRVEAPNIKQGGGGLTAAAAATAVWRVIEELAEEGSAFRDARGWVRLVREYASRRAVTVAGSPKLGVYAVDFGAPWGRPRKVEIASVERTGALALAESGRDGDGGIEVGLALPRAEMEAFRAFHRDLFASLN >Et_4B_039815.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3253031:3253675:-1 gene:Et_4B_039815 transcript:Et_4B_039815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRLVLPLVLTTLLLATANAQNYTAPEPSLLQEAPPPPPSPPPPEASAPPDATSPPPTPTESPPPPSPPPPTASPPPPVASPPPTSPPPPVASPPPPSPPPPVASPPPPSPPPPAPRPPPPPPASTWTPVADVNDPTIVQVGQFAVRIYALSTGQVKMSFLNVVSGETQPYNGGYYYRLVVTVSGGKKAQYDAYVWGIVGTMSWKLLSFTPH >Et_6A_046576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17587615:17589017:-1 gene:Et_6A_046576 transcript:Et_6A_046576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GWKNHKFPPPAPPPAAAAVDTSGSGSVFDCTDGADRDWSSLLEDMLGDARRRRHPLRRRLHVLARRSYAAFRRLRLPSPRQPPCLLYASDAVGPGAAALHCPATGATLRIPFQRPPLPRRPLLGSRDGWLVTADEASNLHLLNPVTGAEAALPPITALHHVESFTDEQGNPMYNVYENTSGHSPITRRDLVDKEPTDLEAHRAHEFMYYRVVLSASPSAGRACVVLLLHMPEGEVSFARLGDERWTWVPPGEDTGLRRSLDLNGSLPVAREVLDRVPKSVNPKKYLVQTPTGDILQVRRLRKHVDSLTPVELPPDYVDDEDIQNPLLELKTLDVQLYKVDLQHKRVEMMKSLPHHALFLGFNGSMCLPVKDFPGLKSNCAYITDDCEEYVNMFKYNRREIGIWSIAEQSMSRLVDVSPVYPCLNWPSPIWIKPSLI >Et_7B_054430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21191480:21194406:-1 gene:Et_7B_054430 transcript:Et_7B_054430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATLLLPSSSASSATNKPVAGDSRRHEQHHQQGSRRKKKPPPSPQPSLPPSTPRTPSGTGSRRAMAPASSSRKVAATVKNPQYQQHHRVPSKKAAAASASSWEQLKGLLSCRNATAAARVHDPAAPSALARLRGGGAGACGASLCAMRDVVDAASSTASSAAATDRDTAPLTRRARAHRAASSSVVGAGPSSLRGLSGCYECRAINVEPMSRRYPRPRELCACSQCGEVFTKAESLEHHQAIRHAVSELGPEDSGRNIVEIIFKSSWQKRDRPICQIERILKVHNAPRTVARFEAYRDAVRARCRAVAARAAADGNELLRFHSAPLACALGHNGDTSLCVAASSSSSSAATAPPPPCGVCTAIRHGFAPWVGAHPLGVRTTASSGRAHDCGSPAASVNGGCRAMLVCRVIAGRVRRDGASAPEKGLEEEGSSFDSVAGEDAASSSVYGNLEELFVANPRAILPCFVVVYRVLE >Et_4A_032800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1484152:1488707:-1 gene:Et_4A_032800 transcript:Et_4A_032800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLPPLRRATLLLLALLVGVLASTARADLVISKAERRVDLTSHIVRVLASLKVENVGPDPVSQVLLAFHTIQAKNLAAIRAFGTQLVVYQDSAHYLSPYPVKSQTLTIRLPGGRVESYTRQPNAKLVDSELKYGPFTDLPPFSYSPVIVHFENNNPFAVAKELIREIEISHWGNVQITEHYNIVHGGARLKGEFSRIDYQSRPYVRGVSSFRHLIASLPPRAHSIYYRDEIGNISTSHLWGDSKKTQLEIEPRFPLFGGWQTTFTIGYGLPLQDFVFTSGGKRFLNITFGAPMEEILIEKLTVKVVLPEGSKDIEVSVPFPVKQSQEVKYSHLDIVGRPVVVLEKPGVIPEHNLYFQVYYRFNNISLLREPMMLITGFFLLFVACIVYMRTDMSISKNSPSYLAKLQWDEVQATVQKIEGMFEQYLAVHDKLEASLRDLSRTGDIQTCKAARKAADAQFKELSKELKPLLTSLQSSPQSYQIWSKVEDLIAKEREMQEKLMTRHSTVVDSFEKKLRGQDVENRIAQQQQKIAALRQEIESLLEYISEI >Et_9B_063724.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:5465773:5466120:-1 gene:Et_9B_063724 transcript:Et_9B_063724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTRKGATGAVIRDDVGNFLGAQALQYNHCMDALTMEALACRDGLLYARSRGVLRLHLETDCQELIKLWEMREVQRSPIMGILNEMKNISGSFLFFKFMYASRACNRVAHELAS >Et_1A_005693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12970325:12971947:1 gene:Et_1A_005693 transcript:Et_1A_005693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IYKMPKFRDGKRVAHADFSSGGAGGGFFIRRVESPGALAVRGISGKYRRRRFISSSNNKENMPPVWAVKATPSKTRSPLPEWYPRTPLRDITTIAKAIQRSRLRIAAARQQSQRSEQSPESVNLTTPAQAEQDVPLTTEASLAVASSSGSTEKEHVASSATILAEDNLKLLSSPAESSSTTPSKTINPAVADIVEKKLSSSIEQIEKMVKENMKQESKAAQPSKRVIQRRNLMSMR >Et_8A_056753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16360080:16360338:1 gene:Et_8A_056753 transcript:Et_8A_056753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTREPNKNISDWWNDTITVMQTRETKKRRWRQSLAHPQQVFEMIKEEMKYKEIAYGRWEGQNAAP >Et_8B_060105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:600137:603219:-1 gene:Et_8B_060105 transcript:Et_8B_060105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRGFESQLTQCRLGRAGSVAVAVSARRRRCAGIIAAPSLRSRVGTELDLLQVSVPQGCYCWDPFVASLLRTAASFAPLEFSFSAQMIRTSVEVPGFHRAQSISLNLQNFPGPYHLDSAGDFPMLERLSVSGCDANFTDLISRCPRLRALQLSFSTNDPEEIRVHSSTLQELVVDGARKGIDIEAPLLETLSLSRCSTVNMFSADFCLRFPCLRSLRLLPPNLCQYQLIDLELHSTTIEEIVLDGVGIMLRIIVDAPALSIFTLCNAHLEGPIYIVALVLKVFTLGVTMDWNSKMLLSAPAVENLSWKCTFSTPPDGIHWMWLLHTLSLWKEKGVYMLYLHVSLEHPGSDPPNFEEMFALPNISTLKINLRTKGHDYGTTAAQILKICSKIRRLHLVIDKCKHDKACGPKCSCRQPETWRDQDISLTALEEVEIEEFGGKYCDVDLLKLLFKCAPLMKRVTVKPCPDMLPTHRACKEICNLFKAHPSVKCRVSDRCGKKVVYDRSVFASRCRHFGRDEQHRRFRFSGEADSNRQEKGDCQHVVRNPSSPRMFTDTETVRALHPQRNPVPLLVRVHEEVDDVVFISTQHGELRGDMWKLGPLVHGIRKDTAGRASSQEGPLLPVV >Et_8A_058193.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23409953:23410315:-1 gene:Et_8A_058193 transcript:Et_8A_058193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSSDKLPPRSGPSNTATLPSALFPAPLRTASGFPHPKSLPNTSSAARSRTPGGEERSPMLVNSGSLAHRASDALIPSASSNVDDDAARCSSRAVQPSPFSSTSPAVASALPNTMAFPT >Et_3B_029732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27446459:27447328:-1 gene:Et_3B_029732 transcript:Et_3B_029732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGRAAAACTALILPSTAAAPTPLPCTVSVNPRARQRCKSTTMAVAAPHASTGGAVLDPPAFDQSQLDTLPPALEGGDPGRLQDRKGSGSGDSYKVLLVDDARHTETLVEKALPQVVPSVTAEAARQLFHESRLKGVAVVIVAVKEHAEFYAHMMVRQGLRSAIEPDSDLAT >Et_2B_022960.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:9528959:9530371:-1 gene:Et_2B_022960 transcript:Et_2B_022960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSADDHPCAVKAQQHFLFVTGPLHGHINPVRRLAARVLAANPGARVTFSTAVSGHRRMFPSLAFPDEEVVDEENGVLHVPYSDGYDDGFDPSAHDAREYRVRTRDAGRASLAAVVARLAEKRGPAVTGVVYTFLVAWALDVARDAGVPAALYWIQPATVFAVYHRCFIGGHGDALAAASGSVELPGLPAMTADALPSIVPAASPEHPMHGAFQAFRDLFADIDEHRPMVLVNTFEALEPEALRAVPELEVVAVGPAVPDNVVSRHSRDDDATAAEEGYMAWLDSKAARSVVYVSFGSFVPMSARQEAEMRRGLEATGRPYLWARTGATMQDDAEKERVGMVVSWCEQVRVLSHPAVGCFVTHCGWNSALESMGCGVPVVALPQWTDQPTVAWLLAERAGVGVRAKPAVACNGVVESAELRRCVEAVMGDGQRAADMRARAERWRELGRQAVASGGSSERNLRAFAAGR >Et_7A_052979.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:398655:399923:1 gene:Et_7A_052979 transcript:Et_7A_052979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVPCYFLCPISLQMMRDPVTLPTGITYDRDGIERWLLTGAGTCPLTKQAVPADCEPTPNHTLRRLIQAWCELHLIPKQPAAGDEIAIRPPTDRARVAALVSRIADAAQEGSHQEQLLAGLRELRDVAAESERNRQLIAAAPGAVDALAAVLVFASNVDKAAVACGCDEALEIMVSLRLSEQRLARVVETNESLVLVDALVSALGRSNVASRERAAQLLADVTAVMSPATNRLASQVFREATQLLRDRPSKAATKAALRVLVGATPWGRNRVKAVDAGAVPALVETLLDSPVERRVCELALAALERLCGCAEGRAELVAHAGGVAAVGARALRVSEAATDKAVRVLRSVSRHAATPAVLQEMAHTGVVAALCLVATEHHQFGGRTRERARETLRLHAKHWTSTSPCLHHHLRAMYPPSSS >Et_2A_017646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4640254:4642161:1 gene:Et_2A_017646 transcript:Et_2A_017646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDGQESVFSWVREVSRRHLTVSNSKRLRAEGVCISLTSLNLLDPKDSHFYVYDSLTLDYAQVTLPIVLPSVENFSVQANAQLKVRRLVPFLMLEHLSLASYLRAALIEKFEIVCSFAHSDSDLEPLKSLPLCPYNYLKTLYITGFTACTGQLEFLLHAVENAPILENLTLDPACRFDRGM >Et_1A_008370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:732190:736828:-1 gene:Et_1A_008370 transcript:Et_1A_008370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVMISYKRKRVTSHRNIADETAHDSSSAASNNLVANSLPSKYEANVENYVEDEDNFVRNLALFPSLLMLFDAISDSCEQHGVCTSAPQNIKELAIGTHKSDEGENKLQRVKKKKSSGELAEEDCSPDNKQCSILTCSPLGSPPGATHLLKNADGESSDIEPKKAMVGSSGLPIQTEHSHEQESLHVVNSSVQHVFPSQIAEVVNQLELNGTPVSKFTGVHGIEKDARVENLSKTPPGTTELPEVIDAKGEGHANGQTSSLQSPIQEINVSLLKPTNKSVPEDHLESQGSTKNVPVIVLDDDSDDKGKELENSEYRDQGVWNKNKSRFSSGKIDLNCAELRQEEPSLRMDDSSIQWLPDQDQIGDAQKHMSQPIERMFFTKEKDVTYGKQQQHEGSSTMPTSYSNFFDLTPRWNTGTGTFKAPMSLPSELKFRIMDKVPEFSLDLSLDSYRDGNVSSRRSNKFSLGGTSSMSHKLTEKLGTYSYKRHSAPWSEEELDFLWIGVRRYGVNNWNAMLRDARLRFSNSRMPDDLAKQWDKEQKKLLGTDFLQSIRTSGVVPVPPPHIAEDYLGRGSCSGCSKSLFLAAQTDLSLGDVYLQNAHGPGRGEHHLSSLGMLNLHGIDRAPRNLSLGGFPGASSLYGRTAGRRRRASKFHKSHYNKVSWFQEPLGRLPQVLPVVNQQPMNNDLPQWLTKDAETGTSRVNPEMWPSIAPPPGLSEADPLSDSLRGASLFANEMKPHVPPDASLKRTMRRSTDWRSLSKRLFRTGDALDLNQGAAAIAGPNGGTASDTGASSEETVSDS >Et_7B_055012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6445236:6456393:1 gene:Et_7B_055012 transcript:Et_7B_055012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPFGRLVPNARPALPPVNAGAGGRGCPPVLVPRPTALRGAPLAGRRACRLLSVSAQSASPQTGLRLEDKFFEVEMKVRDYELDQYGVVNNAVYASYCQHGRHELLESVGISADAVARSGESLALSELHLKYFAPLRSGDRFVVKVRLARIKGVRMIFEHFIEKLPNREQIMEAKATAVCLNKDYRPIRVPPELLSKLQFVSSKDSIALVGRARLGKVTAHVAARLKYCRLRGSAISSPTSCLLDLHAPAIRKEDKYFEVEMMVHDDELDEYGVVNNAIYASYIHSGRDVLLENLGISVDYWASTGKAMALSELNLKYFAPLRTGDRFVVKIRPVQIKGVRMIIHHLIETLPDHKMEVQDDDLDPYGVVSNAIYASYIHRGRDVLLEYLGIGVGYWAATGNAMAVSELNLKYFAPLRSGDRFVVKMWPEKIKGVRLVIRHLIETLPEGKLALDARATIVFLNKDYRPTRVFPEVAAKAWEMFVSCVDMNVQYET >Et_2A_016697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27276601:27282335:-1 gene:Et_2A_016697 transcript:Et_2A_016697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQEVDLVNKEEGCHLDEKEQSRQLSSAIHKQDEAASDLIVFCVEAMFYGATNFWRDPDTTFCSICGDDIENHLELTCPYNYLSPASYAPCKARLALWGNYTTTLRYKCLRHKEEEQSEPPVHDETNSRRLGFMRCFVRVNNLAEQCHPVELAALFNQFGPLRMWHVATHRSGVCKGFGGIVFQNRDHADEAIEALNCHDLTRTKGLRISFTVTSVEFWAIASASVLTITSTGDMSTRLAKENALLALSSTESPPRIITSSCVVSYV >Et_5B_043740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1544843:1547344:-1 gene:Et_5B_043740 transcript:Et_5B_043740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EKALLLLWNSVWNSFVREFSVADFVRSTRKAMPFSSMIQEMKGEIGAISRRGLRPRSHRPGRVRRVAAVEPDEAALRQSCWAQLPPELLHEVLARVEEAEARWPGRRDVVACAGVCRSWRDVVKEIVRTPEASGKLTFPISLKQPGPRGAPLGCFIRRDRTTHTYYLYIGLTDALADHGKFLLVARKYRRPACSEYLISLDVCDTSKGNGTYIGKLRSNFLGTKFTVYDNHPPCAGAMISKDRSAHVISSTQVSARVPAGNYPVSHVSYELNVMGSSSAGQLAPQNENKMVLKNKSPRWHEHLQCWCLNFHGRVTVASVKNFQLVASDVSDPSNQEHDDVILQFGKIGKDLFTMDYRYPISAFQAFVICLSSFDTKIA >Et_3A_024582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22299263:22300130:1 gene:Et_3A_024582 transcript:Et_3A_024582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEATPCTCGLLYGSCGGGCSLLFASDHSYYKQCGGGGDGDAFFGAPYGGSVDCTLSLGTPSTRRAEAGARAPAAPAPIAGGMHWDAAAPGCNSGRQESRAEPAAASNASARRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAAASPATTTAQLAADGGMEYAAYGYAQQPWGCYGPAAVAKAASFGMFGDAAADVVDGPCLPWGLGVMQSPSPAFGAVREMPSLFQYY >Et_1B_009812.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2915274:2917165:1 gene:Et_1B_009812 transcript:Et_1B_009812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRMLLPLAGTTSATSTAATRFPLNLEDDVDDTSPPSELTVDLDAEKTDTFPEKVRASRDCHLPARPRGPLRPPPRLLPSPARRERSDCRLCSPAASISLRTCSGSRSVNRSRSRSSRAAREWPVECDRASCVATAAGRRPDRDRMARCRWVPICCSSMASLIRSSSSVTTSITTATGGAPSSCRTDAISLETLFGCGAGKTAGSSSLPVSLSGSRLQRQTGQVTWLASHSPMHSGWKAWLHLGRSLSRSSSSNSLRHTAHSSAAPQAFPTRSALASAYFMVGNVSTTSAASPRGRRRCRRETKEVWSRRTATRPPPSASDLAAPYPVQWRR >Et_10B_004067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1003638:1005824:1 gene:Et_10B_004067 transcript:Et_10B_004067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIALSAFLGEIAQRSVSFFIDRLSSTEASPALLPSDEALRRKLLRVRIIVEEAEGRQIKNTAMLEQLKLLQVGMYQGYYMLDNFNYRDYQAHNCNYDHGAVSRHSFALSKFNPAKRVQLCRGSRGHHGGENELQQVLGSLEVTITDASEFTMFLMGCPPLYRRLYNTYLVLEKTLFSYHVEMECVINFLVRKDSSSAEGLDVLPVVGPAKVGKSTLIEHACADERVRAAFSQIVFLAEEDLGKRIASVSDGGRVKHRSDRSADRDGEVLFIVEVNGDVNEATWSSLYSAFGRYAPNGGKVVICSRSNKIERFGTARALKMEYLTREAFWYFIKAIAFGSANPDEEPKLASMLMEIAECLNGTFISGNIMASVLRTNLNVTFWRRALSCVKEVSRRYRFVFGARPVSPSLNKKLVKILNDSNDYCLVFNDYQIVSGQDEAPVMTFQEVLSGSVAPQGKFDVVAWRSSIPPHDTRQERNHVLAEGRR >Et_9B_064224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12709510:12712541:-1 gene:Et_9B_064224 transcript:Et_9B_064224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTMCHAFLLLLAVLAASAAAATATAGLGRGVHPVGFAERLIRDLNLVPGTGGPDGPDEEWGEPAAPGELVERRVRLPVAPSGSGAEEVPVEELGHHAGYYRLPHTHAARDGDNGRPVVIWLTGGPGCSSELALFYENGPFKIANNMSLVWNDYGWDMVSNLIYVDQPTGTGFSYSTDLRDLRFGEKGVADDLYDFLQAFFKQHPDLLENDFYITGESYAGHYIPAAAERVHRGNKEGSGLHINLKGFAIGNGLTDPAIQYGAYADYALQMGIIGERAHKTINKLVPACKLGIELCGYAGAIPCIASYAVCNSIFTSILLLAGNVNYYDIRKQCEGSLCYDFSNMDKFLNEKSVRDALGVGNKKFVSCSPLVYEAMIADWMKNLEAGIPALVEDGIKVLIYAGEYDLICNWLGNFRWVNSMVWSGQQNFSKAPMLPFIINGKEAGLLKSYGPLSFLKVHDAGHMVPMDQPEAALDMLRKWLGGALTQKTVDDMMLADM >Et_1A_009122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3027444:3028153:1 gene:Et_1A_009122 transcript:Et_1A_009122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINMYNPDGAAGFGGGPQQPVALGPRISFSSDFAVEPPTPVQNRAMSLRCQQEEDVNFEFSVGSHPMMAADQLFSKGRILPLKDGFAARPPTTLRDELRGDGEDERGSAASKASSRWKEMLGLRKALCVGGLGAAKKSDKGSSVADADMVTDTAASNQVGTTSSSASCMNYDEVADGRRDMGLKKPHSTPHEAFVIDPSWWT >Et_6B_049571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6752232:6753302:1 gene:Et_6B_049571 transcript:Et_6B_049571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AYLQSISKRLEERRLRQRDMDEWMRHHQLPTHLQERVRWFAQVKWLATRGGEEESILQALPADIRRDVQRHLCLDLVPFFSEMDDQLLDAICERLVSFLCPENTYISCEGDPLNEMLFIIRGKLESSTNGGSSNFFNSIILRPGDLAGEELLAWALLPKTNINFPLSTRTLRSLTEVEAFALRAEDLKFVTNKILSLHNEKLKHTFRFYSIQWRTWAACFIQANWRRQQRWKLEKSLRKLEKSLSLRSVQL >Et_9A_063611.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:9875286:9875726:-1 gene:Et_9A_063611 transcript:Et_9A_063611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEERLAAKRAEEKMAAGCDGELFVAKRPRAARQIQVAEAEAAEEGKAKPAGTGKKTKLVRVRQGYIDGILKRHPVKPFVGMPEEVIQKLRPENRDYFRAMSARAVARMKAFRDEEEAILEQYHGKGYAEMEVEVKDDEDVVAEGY >Et_5A_041261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20277749:20289181:1 gene:Et_5A_041261 transcript:Et_5A_041261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAARLSPSLAAAAFLARRPPRACHFSLRRRHPLLRLFASASDSSGDGRAVALSSAELRKRRGLSSSAGAGDAASGGDEKLRSLRRLFSRPDIAIDAYIVPSQDAHQSEFVAECFLRRAYLTGFTGSAGTAVVTKDKAALWTDGRYFLQAEKELSHEWTLMRSGNHGVPTTSEWLNDVLPSGGRVGIDPFLFSFDAAEELKDAISNKNHELVLIRSLNLIDEIWNDSRPKVPEKPIRVHGIKYAGIDVTSKLSFVRSQLSENGCDAVVISMLDEVAWLLNMRGSDVPHSPVFYSYLIVEISTATLFVDNNKLSEDVLEHLQKAGVKIKPYEGILSEVERLAEKGAKLWLDPSSVNAAIVNEFRLSRDRYMKKKGKAARKNGDKDELSDEPRAKGTDSQSGELNVIYRVSPVTLAKAIKNEAEIDGMKNSHLRDAAALAEFWCWLEDEVCKDVCLTEVQVAEKLLEFRQKQDGFLEPSFDTISGYGANGAIIHYNPSPESCSSIGGENLFLLDSGGQYIDGTTDITRTVHFGEPSTRQKECFTRVLQGHIALDQAVFPERTPGFVLDVLARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISYRYGNLTALQKGMIVSNEPGYYEDNSFGIRIENLLLIKELNLANSFGGISYLGFEKLTFVPIQRKLIDPSLLSSLEIDWVNNYHEEVWEKVSPLLSGNARDWLWENTRPLLMFFTKVDMLILSHRTMCTLFGVLTAYNGQQMMGMPDMIASKASCRSISVCGARSALEPTGHLFQLRHREAGLLKAEAEEHHTLLRLPVQSLEAHLPLAANLDVATANQRSEAVDFGC >Et_5B_043555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11823102:11823948:1 gene:Et_5B_043555 transcript:Et_5B_043555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVVDREKQTSRALQLHVLTGGVPTSPCMVFWTVIVGELANRSISFLIDEYSKRATPNMEAQRLHDLQQLLLRVRAIIEEQRDGTSQIEQWFTN >Et_3B_031753.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:9737549:9738055:-1 gene:Et_3B_031753 transcript:Et_3B_031753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTYKGGIKAYWKRRGYHRLDAAAAQRRAPLPTAELGGGGGRAPPQEGGRRRRRGWRVRRGLGRRLLRALSPRRWLVRLRDAYVSAMLRLASSPAAVGFGAGTPYCAAATHGAFARPAQLKEYDEKVLVEIYRSILARGGHLAVAGADGVGPGAPATAAVLRLPTVA >Et_6B_048506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1075881:1080046:1 gene:Et_6B_048506 transcript:Et_6B_048506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRPTGTMLRLLVFAVFLLSCCHGRFVVEKNSLKVTAPDDLKGTYECAIGNFGVPQYGGTMVGFVAYPKANKKACKDFDDFDISYKSKPGAFPTFLLVDRGDCYFTKKAWNAQKAGAAAILVADDKDEPLITMDTPEESGRAEYLENITIPSALITKSFGDRLKKAIDNGDMVNVNLDWREALPHPDERVEYEFWTNSNDECGPKCDSQIEFVKSFKGAAQVLEKKGYTQFTPHYITWYCPEAFTLSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVFKVAKEHKKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKSLGLDHKAIDKCIGNPDADEENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKALCAGFRETTEPAVCLSEDIQTNECLENNGGCWQDKAANITACKDTFRGRVCECPVVKGVKFVGDGYNHCEASGSGRCEINNGGCWKETRHGRTYSACTDDGCKCPDGFKGDGIHKCEDIDECKERTACQCKECKCKNTWGTMSKNAATEVGWSFLWVIFFGLVAAGIAGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGDVPNHSHHIEM >Et_5B_044111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20070274:20085387:1 gene:Et_5B_044111 transcript:Et_5B_044111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSSGGAASEPTTLAPKDTVLVLLPTGELREYPRPATAARVLEDSAGEGWFLCDSDRMELEGPVAAVGGAEPLRVGRIYFVLPAEARRRGLRREEVAALAVKASAAIAKAGAANAASGNGRRRRGAAVAPLVFSPPEEDEEEAAVDACKAAPASGKRRRPAARPRRPNRRFAPDLTAIPEGEMRWTDPVGQGFQEYRVPYNNFFSSMMQVAGDVYVADRYGSIVSTVDEEGSQQGRPSKEMVRMTPAIEAAPSWIENYPCHYLVESAGELFLVSRRSSGENRHFVEGHKADTTRKILEPVTNIGRRAIFISQVRSFFINAFATIEAGCIYFVEPTLDSFRKYGIIATSYRLTDQRQEDIVAWSNLGLRAGPPTLVEVLADYCRFIPIFEHKFVKSSEEDELEGFRWDDGSTQSYVAPSQPPASPPASPQLKTTALVLLPTGELCEYPRPATAERVLEDFDNSGEGWFLCDSDRMGFEGAVAAVGGAEPLRAGRIYFVLPAEARRRGLRREEVAALAVKASAALATKASSASGTGSRRRRGAAVAPLVFAPPEEEEEEDEPVDACKAAPVDGKRRRPAARTRRPSRRLAPDLSAIPEGEFDSKPNRAEQASHHEQTSSSNLKMGQCLSNGGMATNAAPAPPKDTALVLLPTGELREYPRPATAARLLEDSAGEGWFLCDSDRMGFEGAVAAVGGAEELRAGRIYFVLPADALRRGLRREEVAALAVKASAALAKVAASSGCTGGRRRRCGSVAPLVFAAPEEEDEETALPAIKSAPVPAGKRRRPAAGRPRRRFAPDLTAIPESEMSE >Et_3B_030405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3530450:3533481:-1 gene:Et_3B_030405 transcript:Et_3B_030405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKPSSLSKPLLAFFFLLLRKLAGRRHRSRMAAAATTKPSPPPPPLDKLHGKTLVVDVEGWILRPPVSAFPYFMLVAVEAGGFLRGLLLLLLYPVISLLLGDGARARAMATVALVGLEEKEVARVGRAVLPKFFLEAAAAEGVAAVRAAARVVAVSATFPTVMVDGFLREYVGVDAVVGTEVRSVGGVLAGLMGEEDAAEMAAKRIRALFGGGEVEAAGKKDEGAVGLVGAASTGRVHQLFSPYYCKETFAVSEADARGWRPLPRDAYPRPLVFHDGRLAFAPTPPAALAMYTFLPFAVALVAFRTLAFSFLPYRVCFPVGALTGVHYRLVDGGQRQGHAGEGGRLYVCNHRTLLDPIIVAAALGKPVTAVTYSLSPVSELIAPIRTARLTRDREADRRNMAALLARGDLVVCPEGTTCREEFLLRFSALFAELGADVNPVALDTRVDMFYGTSTKPGAKWMDPFYFMMNPRPAYRVEFLPCAGAVDGGRREDSIDVANRVQRELGKALGFELTGFTRKDKYMMLAGNEGVVPAAPNNN >Et_10A_002176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:383972:386141:-1 gene:Et_10A_002176 transcript:Et_10A_002176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHHGVVRILFLPVAVSVVMAAFAARDLDGANPLPAPAGRPGPYYGYGGGAGIPGGFFGGVPGGMGGGYEHGGVEVPTVVCQEKGPCYGKKVACPNKCFWSYSRAGNGYGAGGGGGSCTVDCNNLLVMWVSAASL >Et_10A_000129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9404625:9404912:1 gene:Et_10A_000129 transcript:Et_10A_000129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPCALVSMVHLQAHKHWDPHKAGEEMKCTHVHEDKHLDAT >Et_2A_016576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26205840:26206300:-1 gene:Et_2A_016576 transcript:Et_2A_016576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRR >Et_8B_058911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10905555:10909861:1 gene:Et_8B_058911 transcript:Et_8B_058911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGLIHHGRGLPEADGGGGELGLHGRGGGPSVVLTADPKPRLRWTADLHDRFVDAVAQLGGPEKATPKAILRTMGVKGLTLFHLKSHLQPQNGTILSSNVPTPDMKESQEVKEALRTQMEVQRRLHEQVEVQKHMQIRMEANQKYIDTILDKAFRIVSEQLNGFSISDHGLPGLSSAGIMCNPADLLSPSVFPPLSVSSISLLSPELKRHNI >Et_3B_027757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17686707:17687022:-1 gene:Et_3B_027757 transcript:Et_3B_027757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSHSSGNGHCGAALSSTALLPPFLPMLFRSLHVRRPASRWAPPSSMSKSMPSSTASPRGRDDDAVPPR >Et_2A_016273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22854533:22860938:-1 gene:Et_2A_016273 transcript:Et_2A_016273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCGLFLLRLRGRASVQASERAPMKQAHAGSKPGPPGLEKKKVNPGPHRSQRTIDTSYGPSSVVAARWRHPPAHILPGRLGKTAISRLPLHLDHPPPPLPLVGDRPTNRAPAPMAAATARLRLLAHSGSLSAAASRVAQQSSPGLRGLCTLSTTLGSGAGEDEIERIRREFEDAKRNYLSIPSAIRHMPKMNPQGIYVNKNMKLDDLQVYGFDYDYTLSHYSEHLQCLIYELAKKHLVNELKYPESCLQFEYDRTFPIRGLYYDRLKGCLLKLDFFGSIEPDGCFFGRRKLSMSEIKEFYGTRHIGRDQARQLVGLMDVFCFSEACLLADTVQHFVDAKLEFDASYVYEDVNQSIQHVHRSGLIHRKILSEPQKFLKKNSQVFRFLKMLKEKGKKLFLLTNSPFYFVDGGMRYLLEDQHFDGNSWRELFDVVIAQANKPTFYNSDHPFRVYDTEKDTLAFSAVDKFMPNQVYYHGCLKSFLQITNWRGSEVIYFGDHLLSDLRGPSKAGWRTAAVIRELEDEIEIQNSDNYRFQQAKLSLIHDILGKVNATVVSTKKGQVYRALLDELNAERRQCRAGMRELFNSSFGATFLTDTGRESSFAYHIHQYADIYTSKLENFLSYPPESWLHPPHDIKIMPHNAKRPAGVPVLACLTAKT >Et_2B_019196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16961334:16962035:-1 gene:Et_2B_019196 transcript:Et_2B_019196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQRPDMITPGVDAQGNPIDPERIQEDFEDFYEDIFMELSKHGEIENLHVCDNLADHMIGNVYVQFREEDQAARALQALQDRYYSGRPIIAEFSPVTDFREATCRQFEIGREMRRRLFGHLHRSRRSYSRGSRSRSPSPHRYRSSRSRDRDEEYDYYHHYRSGSNRRSSERHRSYDSDSSRRRRGRSRSRSPVREGSEERRARIEQWNREREAAQA >Et_4A_035101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9425082:9427980:-1 gene:Et_4A_035101 transcript:Et_4A_035101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSEKLRGLRITSLDEEDDEAELTQEPTPAAADYEDDDDEDEEEPEVVLGFLEKPKRPGLLLRHLFPSKAGGIPAWLDPVNLPSGKSSCCGFCGEPLQFVLQVYAPIEDNAAAFHRTLFMFMCPSMSCLRRDQHEQWKHKHGNPCRSVKVFRCQLPRTNAFYSSEPPKRNGSDKPLCAGAPVCHWCGTWKGDKICSSCKKARYCSEKHQAMHWRTGHKNDCLQIISSSDASNSVLLAAEKIPAHTPWPEFEIENECEGNCDSDSCDENNPKSLVMQKHSKPDAVTQSWMDQFEADADNKCWASFQERITRAPEQVLRYCREPKAKPLWALSAGCPSNADIPSCSYCKGPLCYEFQIMPQIIYYFGVRNEPDSLDWATIVVYTCRGSCDQSLSYKEEFAWVQLYPTTTTRQQ >Et_1B_013941.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:24950164:24952671:-1 gene:Et_1B_013941 transcript:Et_1B_013941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGGARGAPASSGASLTEYLDGPDAIHRRATSLAIVRSDAARDGPRIVDGPGRDDRRTQSSRRFSLSSWRGAVSGSTTKSTVSSVAEADGGDNSSKKGSTTSAWRSWKPVRALSHLRMRRAGCLFSVEVSAVRGVPASLEGYRLAVTVRKAETRDGAVQTMPCRVRDGAADFDETVFVRCNLHFAGGAGTGKPLRLEPRRFVVSVVAPEARGVRLGTHAVDVTALVLESAQKSGAEGRRVRWFDRTFPLSGKAAGGELVLRLGFQLMEDAGLSLYTKMAGPTNYVPPAAAAGRARAHNRDSFSISNMSPKLLAEPDGAISPSMRAYKQLVDRLRIDEHVSSSDPAATERSLVPWINKPGDDEVSVDAGDTHSLPEYEVVEKGVESVKEVVHYHPRRDVLRELDSIAEQIEAIEALMNKGGKTRSPRAAEQHLDADEEMVTVEFLRKLEVHEEGMRKLKQAVTPPRSQSPSPRSHHSPPVVPDLGRSLGPVVQTRDGGFLVSMNPFDLPLASRDGPPKLAMQVSRPFVLPGAVAATGFDVLQMMAAASGADQVRDKLASLGAMDNLTGKAPGQVGFEGIAEAVIGGRRSEGGATSSAAQSVQLVRKLAAAASRGRSERVATGIWSVGDDPETLEEVLAFALQKLEATAVEALAIQAEMADEDAPFEVGASAAAAAGNASVFDALVPHDEWSESGGSSDGRVTLVAAVQLRDPSRGYEAVGAPMVAVVQSARMLGAAGHSAGRFKGRSLHVGGVQMRCPATGAGGSATWVAERQKLTAMQWLLAHGPARPGKRTQARARTPQRPDVIWSLSSRVLAGMWLKTVRNPDVRIGAGSG >Et_9B_065876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16044876:16055037:1 gene:Et_9B_065876 transcript:Et_9B_065876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADATTAMTIDFLRARLLSERSVSRAAKERADQLAMRLMRAPTDLTPPTLPAGCSPRPLLQVAELEDQLRTVTAQRRKAERAAAEVLAILDSQGFGRLSDATDDSGSDADAEGPGAAEVGRGGGNARGDAPEDAMSGSELGVQGAAAAQAGGLSWKGRAAGPDWDRRRPQQQQQKGRHLRQQRHGNGHRRGYFYSRADDSSPKYHPGQSCRKIKKKELRSQTTVWEGKDDIAGESAEEGQERSDCTVCTDEPDLVGEVSQDGQNSSSNERVQDGRDQYDMDCGKDGEMERVLEKQAELIGQYEAEENAQREWEKKFSESRDSTVDSVNLCNKLDQIKSAYGEKETAQMMDQKVISEQTGSSKNNLFGINKLSEYLPKDSAIEECKVVKSDHDLKSTATGSSSNGELQVSKDVLATKSYPETVERTSNKLENSAPSPQGICDSSLNAEDNKGQRDGNSDSCSIYNANAHSSEHNINTPSVGSPSSDTPKSEVSEWSSSCFHNHTDNLLDMQMYQSSSNDVGSVLEALQRAKMSLREKLSRPSPPRQNLLALPAPEDRFTEDDFPVNEMQLSVSRSTLLSQEMLALEAPAYYLNRIAPQDNTNIQVGHAGLFRVPTDSFPTNWMASSDGCSSRFSLTGATATQFNTSPCHAVNHIGSTSPFSRYGSGCYPHLLPVPTAGGCSIPESDFRIEGASFLPETRRPQNDLIRGEIPSGDAGMLFQMKSVAVMHIDFEHHTLKDDRLHFA >Et_3A_024784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24117497:24126239:-1 gene:Et_3A_024784 transcript:Et_3A_024784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSKWKGDDSAAFTGEGNQDGMLCDGKSDHGSMAFAREVDHGCEEMELQHAEETQVTKKPRVEDEEEAELLAVLRKFRKAWEEDYSKFFGPFDGITGPDIGPKHYTESGPPRFGIDYDAMEIFSIKVIEIKEGMEWPLRVFGLVAVRDSMDYKRNILFQRSKENYQTITEKDCSLELIGPSRAIALIDPPRIEVDLRVIGSSPWEVKSLCAAVITYNNLTHGNKVESRKRSTIELKFSHLCIPLEATIEISHSGGSSDFHGVFFAHVKYMGDEKIVLLDAKERNVVFGSDGKLSLSRCVVLVKEGAELELGVKAWEGKNDQNAVERRATFPTKYHSKSEGELNFPFCKIALDTLAKSKSLSQRQKMRESKQYTARIHVADIYQAILASMSLRCARYEVREKKVSKKRPRGLKAMQSMDSDSE >Et_5B_045011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8324797:8365809:-1 gene:Et_5B_045011 transcript:Et_5B_045011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCVRALYLRYTCSSAPNQPSNTELLIIRRARAAADLIAVAHTTPNSMAGEIAPASASRGSWLSAASISRSLRSAAEADDPFRRSSRGGGGEDDEENLRWAALEKLPTYDRMRRGIIRKAVGEEGDGGVVVDEVDLAKLDPRAGRELMERVFKAVDDDNERLLHRIRDRLDRVGIELPQIERALPTLLNAAANVIEAARSKQVIVSSIVQGFISRFGSSNKRTIKILKDVSGIIKPSRMTLLLGPPASGKSTLMRALTGKPAKNLMMSGNITYCGHTFSEFYPERTSAYVSQYDLHYGEMTVRETMDFSRRCLGTGARYDMLSELARRERNAGIKPDPEIDALMKATAVEGKETNIMTDLILKVLGLDICADIIVGDEMQRGISGGQKKRVTTGEMLTGPAKALFMDEISTGLDSSSTFQIVKYIRQMVHVLNYTVMISLLQPPPETYNLFDDVILLSEGHVVYHGPRENILEFFESAGFRCPERKGVADFLQEVTSRKDQQQYWCHDQENYHYVSVPEFVQHFKAFHVGQKLQKELHVPYDKSKTHPAALTTKKYGLSSWESLKAVLAREWLLMKRNSFLYIFKSFQLFVLGLITMTVFLRTKMPHKYFSDNVKFNGALASSLIAIMFIGVTEMNITIKKLPVFYKQRDYLFFPAWTYGLATFILKLPFSFLDSFTWTCVTYYGIGFAPAAGRFFSQFLAYFLTHQMSVALFRLLGAILKTMVVANTFGIFSLLIVFLFGGFLLPRQDIKPWWIWAYWVSPMMYSNNAISVNEFLSTRWATPYTDGSIDAQTVGKAILKFKGYFSGQWGYWLSIGAIVGYIILFNVLYLCALTFLSPDGNSSTVVADDDNELERDSTNQTQMSQVTHVYLVSGTNGAASRRTQTGMILPFQPLSLSFNHMNYYVDMPAAMREQGFTENHLQLLTDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGTIEGDIKLSGYPKKQETFARIGGYCEQNDIHSPNITVHESLLYSAWLRLSSEVDESTRRMFVEEVMSLVELDVLRDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYAGQLGVQCHLLVEYFEAIPGVQKITEGYNPATWMLEVSSPLAEVRLNVDFAEIYANSALYRSNQELIKELSVPPSGYQDISFRTKYAQNFLNQFMANVWKQFRSYWKNPPYNAMRYLITALYAVVFGTVFWRKGKNVEDQEDLVNLLGATYAAAFFLGAANLLTCLPVFSIERTVFYREKAAGMYSPLSYAFALTAVEFVYNIAQGILYTVPIYAMIGYQWKADKFFYFLFFISASFVYFTMFGAMLIACTPSQLLANILVSFSINGWNIFAGFLIARPAIPVWWRWFFWTDPLSWTIYGATASQFGDMDDTVKVPGSATVIVVKDFLKQNLGYKHDFLGYVVLAHFGYIILFGFLFAYGTKALNFQKRPNSMAGEIAPASASRRSWLSAASISRSLRSAAEADDPFRRSSRGGGDDEENLRWAALEKLPTYDRMRRGIIRQAAGEDGDGGAAAIDEVDLARLDPRAGRELMERVFKSVEDDNERLLRRIRDRLDRVGIELPQIEVRYEHLNVEADVYVGKRALPTLLNAAANVIEGFISQFGSSNKRTIKILKNVSGIIKPSRMTLLLGPPASGKSTLMRALTGKPAKNLKVAYLFTYFDVLCSDYFHVYLVTHLLLQMSGNITYCGHTFSEFYPERTSAYVSQYDLHYGEMTVRETMDFSRRCLGIGARYDMLSELARRERNAGIKPDPEIDALMKATAIEGKETNIMKDLILKVLGLDICADIIVGDEMQRGISGGQKKRVTTGMPVHSSSEMLTGPAKALFMDEISTGLDSSSTFQVVKYIRQMVHVLNYTVMISLLQPPPETYNLFDDVILLSEGHVVYHGPRENILEFFESAGFRCPERKGVADFLQEVTSRKDQQQYWCHDQENYHYVSVPEFVQHFKAFHVGQKLQKELHVPYDKSKTHPAALTTKKYGLSSFESLKAVLGREWLLMKHNSFIYMVKSLELFVLGLITMTVFLRTKMPHKLFSDNVKFSGALTSSLIDIMFIGFTEMNMTIQRLPRDYLFFPAWTYGLAIIVIKLPFSFLDSFMWTCVTYYVIGFSPAAGRFFSQFLAYFLTHQMAVAMFRLLGAILKTMVVANTFGVFSLLIVFLFGVFLLPRQDIKPWWIWAYWVSPMMYSNNAISVNEFISTRWATPYTDGGIYAQTVGKAILKFKGYFSGQWGYWLSIGAIVGYIILFNVLYLCALTFLSRKFLSSGVVTSFRAPQHLYTASPTAGGNSSTVVADGDDDNELEKDSTNQIQMSQVTHVYLVSGMNGVANRRTQTGMILPFQPLSLSFNHMNYYVDMLAAMKEQGFTESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGTIEGDIKLSGYPKKQETFARISGYCEQTDIHSPNITVHESLLYSAWLRLSSEVDDNTRKMFVEEVMSLVELDVLRDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYAGQLGVQSHLLVEYFEAIPGVPKSTEGYNPATWMLEVSSPLAEARLNVDFAEIYANSALYRSNQELIKELSVPPSGYQDISFPTKYAQNFLNQFMANVWKQFRSYWKNPPYNAMRYLITALYAIVFGTVFWRKGKNVYVPILYYDIFRFHSFICSNCNLFMKQEDQKDLVNLLGATYAAVFFLGAANLLTCLPVFSIERTVFYREKAAGMYSSLSYAFALTVVEFVYNIAQGILYTVPIYAMIGYEWKADKFFYFLFFISASFLYFTMFGAMLIACTPSQVLAMILVSFSLNTWNIFAGFLIARPVSISNPGRLNYSSLWTHGTTSRILSSCFINLSENTLAIPVWSRWFFWTDPLSWTIYGVTASQFGDMGDQVKVPGSNTVIVVKDFLKQNLGYKHDFLGYVLLAHFGYIILFVFLFAYGTKALNFQKRRRSWLSAASISRSLRSVEADDPFGQSSRGGGGGEDDEENLRWAALEKLPTYDRMRRGIIRQAAGEDGDLSKGVVVGEVDLAKLDPRAGRELMERVFKAVEDDNERVLRRFRDRLDLVGIELPQIEVRYENLNVEADVYVGKRALPTLLNAAINVLEGVISRFGSSNKRTIKILKDVSGIIKPSRMTLLLGPPGSGKSTLMRALTGKPDKNLKMSGNITYCGHTFSEFYPERTSAYVSQYDLHYGEMTVRETMDFSRRCLGIGARYDMLSELARRERNAGIKPDPEIDALMKATAVEGKETNIMTDLILKVLGLDICADIIVGDEMKRGISGGQKKRVTTGEMLTGPAKALFMDEISTGLDSSSTFQIVKYIRQTVHVLNYTVMISLLQPPPETYNLFDDVILLSEGHIVYHGPRENILEFFESAGFRCPERKGVADFLQEVTSRKDQQQYWFHDQEHYRYVSVPEFVQHFKTFHVGQKLQKELHVPYDKTKTHPAALTTKKYGLSSSESLKAVLAREWLLMKRNSFLYIFKSFQLFILGLITMTVFFRTKMPHKLFSDNTKFNGALATSLITIMFNGITEMNMTIKKLPVFYKQRDYLFFPAWTYGLATIILKLPFSFLDSFLWTSVTYYVMGFTPAAGRFFSQFLAYFLTHQMAVAMFRVLGAVLKTMVVANTFGMFSLLIVFLFGGFLIPRQDIKPWWIWGYWVSPMMYSNNAISVNEFLSTRWATPYNGSIDAPTVGKAILKFKGYFSGQWGYWLSIGAIVGFIILFNVLYLCALTFLSPGGNKSTVVADDDGMNGVANRRTQTGMILPFQPLALSFNHMNYYVDMPAAMKEQGFSESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGTIEGDIKLSGYPKKQETFARISGYCEQTDIHSPNVTVYESLLYSAWLRLSSEVDENTRKMFVEEVMSLVELDVLRDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYAGPLGAQSHLLVEYFEAIPGVPKITEGYNPATWMLEVSSPLAEARMNVDFAEIYANSALYRSNQELIKELSIPPSGYQDISFPTKYAQNFLNQFMANMWKQFRSYWKNPPYNAMRYLMTALYAIVFGTVFWRKGKNVENQEDLVNLLGATYAAVFFLGAANLLSCLPVFSIERTVFYRENAAGMYSPLSYAFALTVVELVYNIAQGILYTVPIYAMIGYEWKADKFFYFLFFITACFLYFTMFGAMLIACTPSQILANILVSFALTGWNIFAGFLIARPAIPVWWRWFYWADPVSWTIYGVTASQFGNIGDMVKVPGSATGIVVKDFLDRNLGYKHDFVGYVLLAHFGYIILFVFLFAYGTKALNFQKR >Et_1A_004839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11044617:11046033:1 gene:Et_1A_004839 transcript:Et_1A_004839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGILGVNSRASRTPLRVLFARTLVAPAESPPPLVGAAGVRWLHGGGEPEEEDEAVPYADVPRPGRKWERKPYVTPMKVLIRRAKEERRIRQENPCRVLEHPPDNGLLVPHLVEVAHRVYAARERLLDGLTKLVEGEAAVPVKRCRFCAEVHVGRVGHEIRTCEGRDSAARNSVHVWRPGTVRDVVGFPYCYHLFDRVGKPRVVHKEKYDVPRLPAILELCIQAGVDVERYPTKRRTRPVYSIEGRIADFEPDEECTPMSSPPPAEPTAISSTSVDGGNGEAKGEEEVTVPELASRTLQSWLDMRSCAARLMKKYRVHTCGYCPDVQVGPKGHKVRMCKATKHQQRDGQHAWQEATVDDLIRPNYVWHVIAADHDGATTPLANELKRYYGKAPAVVELCVQAGAPVPAAYRSMMRLDVVPPARDEYDLVA >Et_10A_000361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5361940:5362362:-1 gene:Et_10A_000361 transcript:Et_10A_000361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANSQLALLLLLHLGVALLLAASASPVAAGDGGRMVIVRRAMTTTTTAASSSAWLRRLEDEVAPEFPGVGGLLGRPINPFDANQQLCAIKNSNNCAAKGHPYTGDPPRPCLYNHQCPNQAARLN >Et_2A_017810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6441989:6458416:-1 gene:Et_2A_017810 transcript:Et_2A_017810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RAAPSTIYSPTREASASPQYGHRREQSNGKQRRAQLAGGRGGRAVHRDEVHQGGRHGCQAMELVAVIMFLPPLLVLGSRLGAPVVWIRLTVAGIGAQAQRGKDVEPTNKDVLLGGLLVLGFHEQSCASRYQSVATVSRDEPRWLEVEEAAPFTGTKTNKAVATGAKQWSTVMNATLVAVIMFLPPLLILGGRLGAPVVWIRSTVAGIGAQAQKGKDVLLGGLLVPGFDEQSCASRYQSVYYYKNMTRSPSPYLIKRLREQEALQRRCGPGTEPYARASDRLRSNATDDDVVDNVDGCSYLVVISYRGLGNRVLATASAFLYAMLTRRVLLVDPGRGNTLPRLFCEPFPNATWLLPQDFPLANSFRDLDQSAPETYGNVAVNRSGSVSGLRFVYIHLDHDASPANLLAYCDDESSSLLRRARWAVLRADQYIAAGLFFNPAYREELGRLFPRKDSVFYLLSRYLLHPTNDIWGMVTRFYNAYLRNADERLGIQIRVFDSDKPLQRVLDQILDCTSQEHLLPAVAATSDKFAGEALPRIPTAGGRSKAVLVTGLSSWYHDSIREMYWRSAAAGGEVVSLHQPSHEGRQHWFDGDQDMKALAEMYLLSLTDRIVTSGWSTFGYVGSGLGGLTPHIMFRPMDGKLPRPPCTRAMSMEPCSFAVPHFECTTKEISRDKMQSNIPHVRACEDLFWGVKLTDDDTV >Et_10A_000280.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21074841:21075191:-1 gene:Et_10A_000280 transcript:Et_10A_000280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLDDIPQDPNPQEDSPSDLRVVSELRFLASVIAGVYVQQYGVMDQIETVTDIPKVLAVLAAAWTFGENIAHLFFDLIFVPRFPNLALPHQPRRRWRRRRLPEHRINTNGWFMYH >Et_9B_063730.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:7302627:7303166:1 gene:Et_9B_063730 transcript:Et_9B_063730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRRERGGRESHCDWAVGQVHAEDAAEYFTDDPEGIVSAVVVIAGSRGLGSCEEELLTASRLLVLGEVVVGLDGPDRGDEVAPGSASGWRGGGVAGAVGAGPSRGFGEGDRVGETRGVPRRDAGIAQADLEQRRGDRVADHADVVAVAGEVRHGNRGGGGGGGGGGCEGSGILGFRDV >Et_9B_066210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7107195:7111609:1 gene:Et_9B_066210 transcript:Et_9B_066210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKHPSIDASLRLLAPKKVSEDDKLVEYDALLIDRFLDILQSLHGSSILLTHSSSVSLLFSSYVQEFYETAAEYDIKHNESKLDALGTKLTSLDPADAIIVASSFSHMLNLANIAEEVQIANRRRSKLKHGDFSDEGSAATESDIEETLKRLVKEIGKKPEEVFEALKSQTVELVLTAHPTQSLRRSLLQKHTKIRNCLTQLYAKDITEDEKKELDEALQAEIQAAFRTDEVRRAQPTPQDEMRYGMSYFQENIWKGVPKFLRRVDTALKSIGINERLPYDAPLIKFSSWMGGDRDGNPRVTPEVTRDVCLLARMIAANLYISSIEDLMFELSMWRCNNELRARADELLSAPKKASKHYIEFWRAIPSTEPYRVVLGDLRDKLYNTSERWRDLLATGFSEIPEKSTIKSVEEFLEPLEVCYKSLVEVGDKTIADGVLLDFMRQVSTFGLTLAKLDIRQESERHTDAIDAITTHLGIGSYREWPEEKRQEWLLSELQGKRPLLVPDLPVSEEVADVLGCFRVLAELPSDSFGPYIISMATAPSDVLAVELLQRECHIRNPLPVVPLFERLADLQNAPASVERLFSIDWYLNRIGGKQQIMVGYSDSGKDAGRLSAAWQLYQAQAEVAKVAKKYNVKLTFFHGRGGTVGRGGGPTHLAILSQPPDTINGSLRVTIQGEVIEHSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWSKLMDEMAVVATEAYRSVVVKEPRFVEYFRSATPETEYGRMNIGSRPAKRRPGGGITTLRAIPWIFSWTQTRFHLPVWLGVGTAFKSAIDKDIKNFQLLKEMYNEWPFFRVTLDLLEMVFAKGDPGIAALYDKLLVADELKPFGENLRSKYLETQELLLQIAGHKEILESDPYLKQGLRLRDPYITTLNVFQAYTLKQIRDPNFKVAKQPPLNKEPANVVQLNSTSEYAPGLEDTLIITMKGIAAGMQNTG >Et_4A_031903.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28010583:28012343:1 gene:Et_4A_031903 transcript:Et_4A_031903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QEGRNSHLSILRRRHRAGRFDPSAVTPPPPPLQDRSSAMRGAGGVSPSFMVLDSIVDLDELVAPPRPEWESIYCSSKKAYGCGKHGQELVEGLGLYAHHRCSGLPSSLCIRLSDQAFRSIRAELGYTQGRVPAKGVISNASQQLLVLLLTFHSYDELSKRRYCLVFDSTDASLCMVPYILYPFEAHCTATVIPLRGAAAKDHELVLMVNDAREQKAGWCRLCVCSPATRAKSESGDGTAPWKVTGDLRFPLLCPYLSADVMFSSQGKVFWADLSQGVAYSDLRARDGAVKFNFIKLPKAYRIHWDWSTDEPRELPLMSRTMGCVGGSIKFICIDRSHRRRGSELVRVWTLDLDNGWWKESKGILWKELWKKVRFMPAEHRDVVPQYPTLMPDAGALCLLCKVKRPRSGAETDFICSFDMCSKSLLSSQRVVDYDTIGRVPMPYNFFTLCNPPPPPKGKLPSHASEQPAHDKKLPTQKRKLPTIWKETSKRSAVALQVVPPPHHVS >Et_5B_043982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18506837:18508067:-1 gene:Et_5B_043982 transcript:Et_5B_043982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPTLRLVDTASTAQSLLKVRVVRGINLAYRDARGSDPYVVLRLGKKKLKTSVKKRSVNPIWHEELTLSVMNPNEPIKLVFDKDTFSRDDPMGDAEIDVAPLLEVLHMDPEDVRNGAIIQSVRPSTKNCLAEESHVCWRNGRFVQDMILRLKNVESGEIQLQLQWVNIPGAK >Et_3A_023230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12506102:12506367:-1 gene:Et_3A_023230 transcript:Et_3A_023230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSCKPWFHVLQRFGLHHVSLSVDQPPFIVWLRSHKRIIKALHPGFDNLVILITQRLWKERNKRVLDFATLHPVTLA >Et_1B_012253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30119670:30123138:-1 gene:Et_1B_012253 transcript:Et_1B_012253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASVERVTDRATGLEKFVLREARGSSVEVYLYGGQVTFWKSNSGQQLLFVSNKAQFKPPKAIRGGIQICFPQLGNHGVLEQHGFARNRFWSVDENPPPFPVATSNCHVDLILKQSQEDLKIWPHSYEFRLRVALSPRGDLILTSRIRNTSSDGKPFQFTFAYHTYFSVSDISEVRVEGLETLDYFDNLQSKTRCTEQGDAVVFESEVDKVYLSAPQKIVIIDHEKKRTIVLRKDGLPDVVVWNPWDKKAKAMPDFGDEEYKNMLCVGAAAIEKPITLKPGEEWQGRQEICAVPSSYSSGQLDPELIRRMHTI >Et_9A_061501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12785998:12789667:-1 gene:Et_9A_061501 transcript:Et_9A_061501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSHAASTAAAAVAFPQATRSCCSTSSRRLPSSSSASPRPPRSLRLDSRASAPLAPLSPAPDGLLAAAIEHLEREPASAADGAAPLAALSPRELQLVLVYFAQEGRDAYCALEVFDWLRRANRVDGETMELMAAIACGWIERLVGAGGDVADVAALLGEMDCVGLRPGFSLVEKAVALYWDRGERDQAVEFVRDVLRRGGLGAGVGGEVTGDGERGGPVGYLAWKMMMDGDYRDAVKLVIEFKETGLEPEVYSYLIGLTALVKEQKEFSKALRKLNSSVKDGSISKLDAESMDSIEKYQSELLSDGVLLSNWAVQEGSSDVLGLVHERLLSLYTCAGCGLEAEHQLWEMKLLGREPDTQLYDVVLAICASQGEAAAVRRLLAGVESTSAGRRKTTMSWLLRGYVKGGFYLDASETLMQMLDMGIFPDYLDRAAVLTALRRNIQESGSLESYMKLCKRLSETDLIGPCIVYLYVRKFKLWMMHML >Et_7B_054147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16026380:16033052:-1 gene:Et_7B_054147 transcript:Et_7B_054147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASADGLLALADEAERRRDFSTAASCLESALGPPHASGLLPLAEARARLRLASLLLARRTGPGAGAAAPAAKAHLERALLILSPLPSAPPRLKLLAHSLLAGAYAVLGIVPSQKHVLRRGLGLLASAAASGLLPRAPALLWTCNFQAQLASALAVEGDAASALSTLSAGAAAADDLGNPQLQLFFAATSLHVNLLCWEDSSAVEGAVAHATQLWDALPADQKEHWIGLFFYMELLHTFYLLRICDYRSASRRVERLDTAMKSEMQRGQRIKELANELGTVEKTLGQPGLKERERSALAHKQRQLKAQLRSLCGYDSLNDVLDYGDKLLLAPPPMHGEWLPRTAVFVLVDLMVVMVGRPKGIFKECGNRIKLGIQLIHDELSKLGIVDGVTEANLEHSTIWTAGIYLMLLLQFLENKVAVELTRSEFVEAQEALAQMKNWFTRFPTILQGCESTIEMLRGQYAHSVGCFDEAAFHFLKAIELTDSKSMQSMCQVYAAVSYICKGDAESSSQALELVGPAYRTMDSFVGVREKTCIIFVYGLLLMRQQNPQEARNRLASGLRIAHQQLGNIQLVSQYLTILGTLALQLHDAGQAREILKSSLTLAKTLYDVPTQMWILSVFTELYREVEERGNEIENSEYEKKKEDDLQRRLSEAHSHAFHQELVEKSRIQIQPLHNLSNMQPEMAGPTSNANFDIPESVGLYAPQPSSVRRLIEPGSVRRTTRRRQS >Et_2A_016665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27070215:27071117:1 gene:Et_2A_016665 transcript:Et_2A_016665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHQTNCPWGIELLEAAVIFAAAIAIAAVAAVGFFWGHAVDAILCLLLGGLPSVIFFVIGVRLCLTALRRRNSDEDGEEEEEEEEAPQGVAGHGLPRNQPRGSIRLPAIALAQLQKLYPANKAEGAFAGDQECAICLSRVGDGGVATTQLPLCRHAFHTHCIEQWLRVHPTCPICRRQGPPERPRYGTMFKVIDSAIEDAVEIGITVTW >Et_2B_022796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5292105:5297015:-1 gene:Et_2B_022796 transcript:Et_2B_022796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRAFRPSAPRRAAFAALLTLLLLASLSFLLSSGPASSSSSSASQPSARLAAVRRHAADHAAVLAAYAAHARKLKEASAAQSLSFSTLSSDLSALSTRLASHLSLPEDALKPLEKEARERIKFARALAAESKEGFDTQSKIQKLSDTVFAVGEQLARARRGGRMSSRIAAGSTPKSLHCLAMRLLEARLANPTAFSEDPDPSPEFDDPSLYHYAIFSDNVLAVSVVVASAARAAADPSRHVFHVVTAPMYLPAFRVWFARRPPPLGVHVHILPYSDFPFLNATNWPVIRQIEAGKRDVALLDYLRFYLPDMFPALRRVVLLEDDVVVQKDLAALWQVDLDGKVNGAVEMCFGGFRRYRKYLNFTQPIVQDRFNPGACAWAYGVNVFDLQAWRRDGCTELFHQYMEMNEDGELWDPTSVLTAGLMTFYGNTKPLDKSWHVMGLGFNPSISPEAIRSAAVVHFDGYMKPWLDVALNQYKALWTNRECKEAVLFQVEPWMVATAAADDATTSSLLVQAAVDAIRSAGASLRDLRRPGSASDGAAGSAAAGVGDETERRRGAGAA >Et_1A_005911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15425336:15431822:-1 gene:Et_1A_005911 transcript:Et_1A_005911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITCGRFLSSSAATTTSSFFPLRTLTRSLLRRPLSRLLSSAASAAATAVEPDTKGGTGGAASAPKPQWKAAIDFKWIRDNRDAVATNILSRNSAANLDLVLQLYDEYLALQKEVERLRAERNAVANKMKGKLDPSVRQALVEEGKNLKETLIALEEDLVQLTDKLQLEAQSIPNATHPDVPVGGEESSVVRKEVGSQRNFNFTIKDHLRLGKELDLFDFDAASEVSGSKFYYLKNEAVLLEMALVNWAISEVSKKGFTPLITPEIVRSSVVEKCGFQPRAQNTQVYSIDDSDQCLIGTAEIPVGGIHMDSILLESSLPLKYVAYSHCFRTEAGAAGAATRGLYRVHQFSKVEMFIFCRPEESDKWHEELITIEEDLYASLGLHFKTLDMATGDLGAPAYRKFDIEAWMPGLERYGEISSASNCTDYQSRRLGIRYRPSPSEPPPANAKKGKGPNLGPTQYVHTLNATAVAVPRLMICILENFQQEDGSVVIPEPLRPFMGGLEVLSPKPKFLSTAIEQLPRRPAAWTLHRSSRAALLPGARTAAPSTPCSPEPASRLNPAPLRLQLHPRPCPSPVDLTATLRHACSPTNQRR >Et_3B_031147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18065115:18067757:1 gene:Et_3B_031147 transcript:Et_3B_031147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSRLLATFLLLLLPLARPDLSSTTASGELRLRGFPRGLLPANVRGYTLDAVSGDFAVDLDASCRIVLPAGNYLASFDRHLTRRLDEGRISGLSGISVKAFFRWWSITGIRADEDQLVFEVGSVSAKFPARHFNASLECPAEASLHTPSKLGGGGSGLLAVWYFAKWGLRSAARVFWISFGSSASVSCGHLSQAAIIHPVKLD >Et_9B_064048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10752578:10756083:1 gene:Et_9B_064048 transcript:Et_9B_064048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTTTPSPAPAPVAAPAPSSKGAKRGLMSSLMDATALLRSSSFKEDTYVAAALPPSELRALADLKALLATHPDPISIWGVQPDERADVVLLKFLRARDFRVRDAHAMLLRCAAWRAEFGADGVADEDLGFKDLEGVVAYMHGWDREGHPVCYNAYAVFRDKGMYDRAFGDGDRLARFLRWRVQVMERGVRALSLRPGGVNAIIQVTDLKDMPKRELRAASNQILSLFQDNYPEMVARKVFINVPWYFSVLFSMISPFLTERTKSKFVIAREGNVAETLYKFIRPELVPVQYGGLSRAGELENGPPKPASEFTIKGGEKVFLEIDGIEAGATITWDLVVGGWDLEYGAEYVPAAEESYTLCVEKTRTVPATADEPVHNTFTAREAGKMVLSIDNSGSRKRKVAAYRYFVRKPSV >Et_4B_035981.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:173448:173789:1 gene:Et_4B_035981 transcript:Et_4B_035981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLMPRTLALRAVQRQTAASRSARPSSSGQHESVGTLPSDTFTSPSTLVHTPSFSVSLGHFDGGGGHGFGDGGGGVGCEHPPQAATSPTKKALVATSTTIITAMLFDAIVDC >Et_10A_002030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19638530:19648416:-1 gene:Et_10A_002030 transcript:Et_10A_002030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRRVSPSASPIFGSADRTSGELPLPTDAALPSPVPAAGSPVRRVSPTVAPDVLQGALNSPRTPAPAAMKQGNVVLPARFDRDILLAEGLEPDMVYPTIGDRMGSPPRAARSLADDFNASFKNSLVDPKIGAFQYFYNCIAAAPEGLAGIESSHRVLDIAMGSVLINIGNEVPLHQRYLMKVTTTPKMPSSCVIEEIAEEGDKDVKQPTPRKRRARKPRTPIDVRLLRRSKRINKNLEGFRDEESAKAAATEVVPPTSPPRLFVGSATDDASSSAPPPFLSKGNVIAIGTGFLQMGPEDLPDAALEAISSARWGWGWGCNEPKLAEKLLHLPPFFLPIGSQIGFPWFAHSRLCGSDLATCNSPGLTCGWIRIPSLVPFPTFRKLLRRQPLPGDGSPATLIGLALGSDPPGHHFTVTGASRGVAPPLCELSIVGHRLDSAESVRDGTRRQSTSTSPPYDIARLTESTTWSVLGPTDSRHMDKNIVEEVVEDEDRRLELILGLLGDEQRQQWKDPNCDRSN >Et_1B_012004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2868863:2871267:1 gene:Et_1B_012004 transcript:Et_1B_012004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEWEGGCGEATREETPVDFDFVSLLSKPKDYYKILEVDFDASEEAIRSSYIRLALKWHPDKKQGEENATSRFQEINEAYQVLSNPAKRQEYDKKGILYVQDPNVVDYLNRHKGLILTCNGLGIRLQSPSEKEIGLMIAELE >Et_2A_014598.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:26928279:26928545:1 gene:Et_2A_014598 transcript:Et_2A_014598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNPCRVSMSELWMNLMRMVTSSSTLSLTCWRAEMTKLHSKCSGFTLHDLKKQTLGSVMQ >Et_4A_033815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27069052:27073040:-1 gene:Et_4A_033815 transcript:Et_4A_033815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGESETKGRIRAEDRTTSGEIGDGSGGDGGTAAEGHPVPARGAAAVPAPGAFAAGHDAHGTGAAGRHGHGSFAAGHDGHVAGAAGHGGQGAATVGLHAPETGAAGIGIHGAGATRHGGIEGGGGYLPPMGSFTAALAGVVRAPATMAFGSGAAPVPGAWPGLGVDPSPWRGGAPPNLAGYVSAPTAAGSSSSAGAFSDWWPGAGPTPLNLDFSFSNPTYGVYGGGPSPTKYESQDGEDEVPVPPVRVSSTRRGRGGQRGAKGGKRGGGRAGRPPIPTVPGRRTSREASHSYRPYCTCCHCRYRQAHACRVIKGAKRFKEKGLEHEDKLETMFQNLHNTGEDHWSAASGVPRSQGSASCDGDDDEEVEEEDDDDDSDANDLTPTSGTKRGRRSTGSNTSKKTKSTGVLCPTLEVQELFYMHNPF >Et_3A_026571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9830945:9831401:1 gene:Et_3A_026571 transcript:Et_3A_026571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINGNHWGLLVLNFIKQEVQILESLSCRDEELEMPVVESIQRCVEFLIMEGLVNFEDQFNVREWEIVPYVDIPRQHNRFTCAAFVIQYILAWDGEKMAHEFTS >Et_1A_009298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37807636:37809493:1 gene:Et_1A_009298 transcript:Et_1A_009298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPPRAPNAGPGARDHWSASGEFHGFAAARRGAHRRSASDSAAFLETVPMDDVIGGGDEFDRLDDEQLMSMFSDVDAPAVSDGAGDRAGEAEDTSPAGARAAAAAAQATADGATDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQMEVSALSPRVAFLDHQRSLLTVGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQLYHQQQIKATGGADIATAASMQARQELLACEGAAIR >Et_10B_002566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16221204:16221776:1 gene:Et_10B_002566 transcript:Et_10B_002566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYNYIIIFYLSFDKDTKVYIILRPAASVHRVLTDGLRAHLVPRLTRVAETEFDEVQEQLIRGVALTDAMDERCSELLDVHGQLKASRVYKLIKSARRTEPSQSAVFAWLVVHERVQCQANLHAKNNIEEDKCEICGMEVEDTFTCFLAAHSRGLSGTPSVSSCYLASQLVRRGSCDGR >Et_3A_024845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24805924:24816498:1 gene:Et_3A_024845 transcript:Et_3A_024845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTLIVQRILLPAMMRKLINSALSMVIQRYMEYKPEEAEDKLQRLERVLLRIHAVVEEAEGRHITSQAMLRQLELLRQGMYGGHYMLDTFRYSDHGGDNEASDGRVVVLRPRFRAAKRLLMSPVNEYVDNLQNTMLDANSARKLEKEVHSLEALMADMQEFVVFLGGYAPRICRQPYSTYLIFDKVMFGRQMEKETVLNFLLLPNAAGDGNPGVLPIIGTARVGKSTLVEHVCLDERVRDHFKFIVFFSSDDLGAGNMSAPRDSGVIRHQDLTAASQGKSLAVIELTGDMEEETWRKMYSSAASCMGHGSKIIVTSRSENIVALGTTQALRLKPLSQEAFWYFFKTLAFGSARPDDEPKLKSLGMEIAMLLNRQVIIANTVASLLRTNHNARFWRRVLQWLRDYTNKHLYTYGEHPSVLLSKSQPVYLWSMVRNQTVEICNVYQKPSSYHDVPKLRVQEYLTEGGIGHGKFDMVMWRSSIPPYNTCMLSCVAEPTKCSKANKKPMEPLLSAIASEFISRALSMLIQRYGRSKAKEIEQKIQQLQRVLIRIKASVEEAEGRCITNQAMLRQLEMLRQAMYQGHYMLDTYRYRGHRDDDETSGGRLLALPRFTSSKLFLPLLVSSNVKKLEKMLDNLETLMGDMQEFVVFLEGYPRICRQPYNTYLILDRVMFGRQMEMETILNFLLWPEATGDGNPGVLPIVGMTRVGKSTLVEHVCLHERVRRHFSSIVVFTGGDLGAGNMAAPRGSGVIKHQDVTAASYGRSLVVIELDGEMEEETWRRLYSSATRVMEHGSKIIVTSRSEKITCFGTAQALRLKPLPEEAYWYFFKTLAFGSANPDDQPQLVSLCMEIARIQKCSFSGANIVGVVMRANQNAKIWRWLLQCLSDIASKHLLLFGEHPTALAQKGQPVHLCWLSRAQQHVTICKIYEDRSLQHIVPELTLHDIYTGCATDQAKFCTVAWRSSIPPYYTYLASCTTHTDKCSMISKKRPRQMRVALSMVIRRYGRSKAEETEQNLQRLQRVLLRIKASVEEAEGRWITNQAMLW >Et_1B_012575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33326728:33328582:-1 gene:Et_1B_012575 transcript:Et_1B_012575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHETCLLDAKHGSKIIITTRKKAIADFAGGDIYEMKPLSDDDSRKLLNRRIFDCEDGCPPCLREVSRKILKKCGGVPLAIITTASLLANKPVNSVEWEKVNNSIGSGLEKSRDVDKMKKILMLSYEDLPYHLKTCLLYLGLYPEDIEIRKDSLISSWIAEGFIAQETQPVGTTLREAGERYFSELINRSLIQPAMGYGLYGFPHTCQVHDMVLELINQLSAEEGFATMLLSDGQQEDTSASAAQRKQIRRLSLLHFKKSHASREAREQWSKLRSLIVFGYVDSIPYLSSFRVLRVLQLEECLGLHDNCFNDLGKLRHLRFLRLGYCRRIPESIGKLESLEILQIEHHENDMLMLPMSFAKLQKLVQLSVKRASLPVVVDITNLEEIKEIGNLKGLRVLEIAIPGNISVETILMCLHRCTNFKELSIIALERGPLPCSLDSMPLVPCGLQSLMCYRLGCMMTSFPRWINSSTLSCLTTLGIILSKTPQPEHLEKLAELPSLLYLRLSLDHKNRIPHQNLIITNRGFRCLKLLRIMLPLVVCVFQPGAMPELRRLVFDTEGIPSGLENLRSLRHVILYGTEEMAAAVRQALKDHPSRPLSVEQK >Et_2B_019269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20754579:20755328:1 gene:Et_2B_019269 transcript:Et_2B_019269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGSTPAAAAAAGGGCLSAPAVATTPPGTPRASAAAPMPAPPSSGYYAVELYFDPALENQVLKAWNALARRQLSSRLIDTASRPHLPLLHFPAAALPLGGDPLHRLAPSLRALASRLDPLPLALSSLAALPASSPSSSSSAPHDPAALLGLHAQLCELLRKDAGVEVPDAFRPDHWVPRCAVAVDVPRGRMAEAFCVLRELKLLPVSGYGMDIALVEVTQSVRELVSYPLGGSGGGAD >Et_7B_054213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17419488:17421125:-1 gene:Et_7B_054213 transcript:Et_7B_054213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLHLDERLHLEERRHGRVVPVFEDFASNLLLLLHDAAAMDAFRLVVTSPSDNAIRRWIRYAVARRPAALEIQNRHPWLETHQLALPAMDYSRCFGRLRRMRLCGVRIDARFAEKLGTSGVMEDLELERCSVENPEITLQAVKNLTIRDCENDLLVVTAPDLIYLSCQGRNTISLRQTAASRVNAPIHYRCIGSLRKLRLSDVRLDGRFAASLRSSCPVLEDLDLRLGHVEFSKITLPAVTHLTVYFCENDLLVVSAPALTCLSCHDNTVLLHATAASLVKAFTSLPAESVKTDIQRKLLASLFNVTSLYLSDFDTMAMFVDDPAYGFPVFRNLKTLSIERCFSDWSDWTAKFTALGSFVQNAPNLTKLSLCNCEFSEGEENKIYETSTAAAKNQELSPFHCENLEIVEIKYYYGGDLRTPDVLESIRRTLGKPVVLTKMATKLY >Et_4B_037026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13762591:13764212:-1 gene:Et_4B_037026 transcript:Et_4B_037026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKSMERNSSFAMACSLLSRYVKQNGAAAGELSLGIKAETEAQKTPATMNLLPGSGADAENGEARKETMELFPQNAGSEYAREQEKRQLTIFYAGKVLVFNDFPAEKAKDLMQMASKGVSAIQNSGLVPSSTTATITDSTKVSAMPAPPNAVVNAQKSAADIPQAPKASLRRFLEKRKDRIQAKAPYQASPSDAKPVKKEMPESQPWLGLGPQSSSPDLCLRRE >Et_10A_000042.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18687995:18688348:-1 gene:Et_10A_000042 transcript:Et_10A_000042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERYNFPRGILPEGVSGYTLRPDGAFEVFFPRACEFLLSRRWLVRYDTRVSGAAASGSLTALQGISVKVLFLWLPVAEVDRAGDQLSFYIGPVATSFPLGDFADSPHCRGYDGAAAA >Et_4A_033780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26898761:26906403:1 gene:Et_4A_033780 transcript:Et_4A_033780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAKSTSGLRMGHSGSKATPMPGVEDGMAKDLKKDAASLEVNKPSVGGDEDLDGGPALTGKKRKDLMRGSVDENGTVTKRVLRSGSIKLRADAESPCAMAMEVSKSDCLERKHFEALVVADGSGVLMADNCNGEEDKEFINVNASDDSEEPARCAENNVEISGVAAIEFDPASCLGTQGTAAELDDKSAEPDQKISAVTHTGSLTVDESQDNKVGHGGEVIDPSSITNDDVLSKSSCNPTSESEPVELEDAVVCAEGVVLHWGDQNGTCTGDNHSDLTELMNQEGRGVHVNEPKDASTPDIVFTRRRSITRKAGDEKQVKCEEESRVEKRVTRSATVKQREASESSCKTTKNEATIGSKKGDVIAHYTRKLSSTVSPKAHHAKLVERITSAKKQTVKRSVVTENDDRVPTTVNKVSKDEMKINSKSETLTRNVSIVKKITEAAVPPVDQNISSSAITEKNDTEHTDSEGVKSENKTPVQKSLFSVGAKIVASKKRILESGLDKISGKSPVTIPSRKKTRNTPSDTELEQPNKSSGEEHTGKSLGSENRRILRERQHRSQPAKSCRSSNRSNRNACKLSHDESDDDEAGSDSSHRITRRRRRQGADPRVIKKEEDSSDSEEVITVRKTRQNGKDSEHKRRTGSQLKYSSGPSKTGRLGRPARTKSESSYLPQHTENEKVKTHEGSRREEKQKISDQIKEILLNAGWTIDMRPRNGRNYMDSVYIPPVGKGSYWSVTKAYSAFLEGVGSEQKDMSKSQSSSKSSVTSPEIQKLRKKKHVTLKKFKKSKGKLKEKKNKIERKKRGGCALLVRGSNKESGSSTDGFVPYEWKRSIFSWLIDLDILSVNSKLKCMDDSHSKVLLEGTVTRDGINCTCCAKVLAVHEFLDHAGGEVKKSYRNIIVDQLDIDLLQCLVHAWDKQSDSERKAFFSISTEGDDPNDDTCGICGDGGNLICCDGCPSTFHMSCLGLEALPSDNWCCTNCSCKFCHEHSSNDVEDIAAVDSSLLTCSQCEEKYHQACSHEIDSPTSDSDRSGNLFCQPSCRLLSEGLQNLLAVKKDVEPEYSCRIIQRIREDVPETVLALDTRVECNSKIAVALSLMDECFLPIIDQRTGINLIRNVVYGCGSNFVRLDFSGFYIFVLERGDEIIAAASVRIHGTKLAEMPFVGTRNMYRRQGMCRRLLDGIEMILSSLNVEKLIIPAITELVDTWTSKFGFSPLEASHLQEVKSISMLVFPGTGLLQKPLLKKALPEEHPCSQGGQSIFLSNLSYL >Et_10B_002826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11990459:12007749:1 gene:Et_10B_002826 transcript:Et_10B_002826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVANLDFWGINGDRLDGELCMFRSGEWDVKRRLPVHHDEGKATELSCWDETDRVIAVGDRFLYWVNLYRGIIFADVSAKDPVLQYVSLPVTPLLRRRNEYEECPEMNRTVCVTGGDSSMVRFVEVAPRCCCGGPGTTSCARSLYAFTVTTWTLTMNGMTSVKDAVVDCEDLWPLPGYQGVPRVRPSRPMVSLEDPDVICLVVCRYSYMCDVGEEDGAWVIEFNTRLKKLRSVRYHEALYHQEINMVLPVGDRFLYWVNLFRGIIFANVSDKDPVLRDVSLPVKPLLRRRYDSKARPETHRTVCATGMVVKFIDVAPRCCCGGSGTTSCARSRYSFTVTSWTLRMDDMTWEKDAVVDCDEIWSLPGYLELPRVRAGHPVASLEDPHVICVVVHKNEYMCDVDGEDATWVIEVDTRHKKIRSVRYNRAFYHQETDMVVAVGDRFLYWVNLFRSIIFTDVSEKDPVLRYISLPVKPLLMRRSYEYVEGPETDRRVCVTGSDRSTVRLVEVASRMDRMTWEKDGVVDCEELWHLPGYQGVPRVRPSRSVVSLEDPDVICLDVCRYSYMCDVDEEDEAWVIQVNMRRKKVESARYDGGLYHQGFCPSKVSKYFKKSLASSEYEECFETNRRVCVTAGGSTVKFVEVAPRCC >Et_6A_046498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16125368:16128746:-1 gene:Et_6A_046498 transcript:Et_6A_046498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTFSNLQGIVKSAGSTIAWVGEAGGAYNSGHHLVTDAFVFSFWYLDQLGMSSKYDTKSYCRQTLVGGNYGLLNTTTFEPNPDYYSALLWHRLMGTKVLSTTFNGTNMIRAYAHCARNSDGITLLLMNLSGTNTEHIYVTSEGTQTQGSRKEGRWLSHILVLCEAAVLTREEYHLTPKDGKLQSQQVLLNGNVLVTDANGDIPKLEPVQVEGTQPITVAPYSIVFAHIPSFYAPACSALLWHRRMGTKVLFTTFNGTNMIRAYANCAKNLVRARAIQRRDYPAPDQQWHQHTQGSRKEGRRLSHILGLGKAAVLTRE >Et_8A_056301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20773233:20773442:1 gene:Et_8A_056301 transcript:Et_8A_056301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMYDILLRLPAKDLCRLRAVCRPWRLLLSDPAFADDHAACHPEPFIIVG >Et_10A_001701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7069848:7071426:1 gene:Et_10A_001701 transcript:Et_10A_001701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVIKKPRLAAVTAGTEPVVALSSAGVEVVHRPEERDWAGLVPELVCKIADHLLAEEVSEYIRLRAVCNPWRSSTADPSHLVPRFFPRNCIKAAGIIVDVDEL >Et_9A_062373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22217734:22222209:-1 gene:Et_9A_062373 transcript:Et_9A_062373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARSFVAAAALLALALAMSLPASVLCQAPAPAAPKGPPNVTAVLEKGGQYSTFMRLMKATQQDTQLNSQLNNSFNGYTVFAPTDNAFSSLKAGTLNSLTQQEQVSLVQYHIVSQFYSLASFETTSNPVRTQASGSDGPYTLNITANSNGQVNISTGIVVTAVGTALRTDQPLAVYSVDKVLLPNDLFGVKPPSSAPPAPSKKPSAKEHGNSGAAHAPAGAADTPKKGAAAGGARVAAWSLAAAVLAAAGSLFSPNTDLSKALGGPTGQYSKFLQLLHDTRVDDQIKAQLTDSYNGLTIFAPTNAAFDSLKSGTLNSLTPQEQIQMVLYCVLPRFYSLNMLGSINGKVTSQGSGKEGPYKYEIKRVGDNVNISTGVNSMLLGAPLTTEFPLAVYPVPKMPLSYEMFGPKPPTPAPAPAPAPSKSKSKNKKHKKGTGIAEPPVADDSTADDEKSAAAPGAGGVARWVAALGVLGGAVLSGLF >Et_2A_017689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5185334:5188805:1 gene:Et_2A_017689 transcript:Et_2A_017689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGLPLGGRAAAAARWCTCRGAAVAVCLGNLVAALLVKYSKEQMRWVEESIRIRRAAEPVELIEAVKKLRKVFAREAKRRKELPLELKQKVSYEILQKLRDLGEDRNSTEQQEAVESWRIKKLKDIRSAQNSSNLGLSNEESRILKRALEFNWRLLLEDIGLWIPPSVSHTEHDDKPENAPEEEEIIPGPSLPHECNAEVHTDYSGAAVRWGLTHHKESAADCCQACIDQAKRAKPGALKCNIWVYCPSEYGCYSPDKYEHKHQECWLKQADHPKLNFKDRAALLGKTMRRLRQD >Et_7A_050957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12939642:12948469:-1 gene:Et_7A_050957 transcript:Et_7A_050957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARVSVALAWLLLLQLVAASHVVHDDLLETEAATEAVPPSIVDPLLRTGYHFQPLKNWINAPMYYKGWYHLFYQYNPKGAVWGNIVWGHSVSRDLINWVALAPALEPSIDGDKYGCWSGSATMLADGTPAIMYTGVSRPDVQYQVQNVAYPRNASDPLLREWAKPAHNPIIVPENGVNATQFRDPTTAWRDAGDGHWRLLVGSLGRGTRGVAYVYRSRDFRRWTRARRPLHSAATTGMWECPDFYPVTADGRRAGLDTVKYVLKNSLDLRRYDYYTVGTYDRRAERYVPDDPAGDERHLRYDYGNFYASKTFYDPAKRRRILWGWANESDTAADDVAKGWAGIQAIPRTVWLDPSGKQLMQWPIEEVETLRGKWVNLKNRVVKPGQHIEVTGLQTAQADVEVTFEVSSLSAAERLDPALAHDAQRLCAVKGAAVAGGVGPFGLWVLASANREERTAVFFRVFKAANGSNKKPVVLMCTDPTKSSLNPNLYQPTFAGFVDTDISNGKISLRSLIDRSVVESFGAGGRTCILSRVYPSLAIGKNARLFVFNNGKADVKAAATVLLFLVALLHATAAVRASHVVYPELQSMEAEQVDETSRTGYHFQPPKHWINGPMYYKGLYHLFYQYNPKGAVWGNIVWAHSVSTDLIDWTALDPGIYPSKPFDINGCWSGSSTVLPNGVPVIMYTGIDPHKHQVQNVAYPKNLSDPFLREWVKPDYNPIIAPDAGLNASAFRDPTTAWHGPDGHWRLLVGSKVDDKGLAVLYRSRDFKRWVRAHHPFHSGLTGMWECPDFFPVAVAGSGGAGSGRRHHRCGVDTAELRDRRLAEEVKYVLKVSLDLTRYEYYTVGTYDHGTDRYVPDAGFQGNDYGLRYDYGDFYASKTFYDPVKRRRILWGWANESDTVPDDRKKGWAGIQAIPRKLWLSPHGKQLIQWPVEELKALRSKHVNVTDKVVKGGDYFEVTGFKSVQSDVEAAFEIKDLSKAEQFDPAWLWDPQALCKKRGSADTGEVGPFGLWVLADADLTERTAVFFRVFKTNTTSKHVVLMCNDPTSSSFESKVYRPTFAGFVNVDIAKTKKIALRTLIDHSVVESFGAGGRTCILTRVYPKKAIGDAAYLFVFNHGEAEIKVTNLDAWELKTPKMNVPQQQ >Et_6A_046429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14947352:14953113:-1 gene:Et_6A_046429 transcript:Et_6A_046429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDGKLAVFTGLEIVRTDDGGEGGLRMVKHKSKCFKFAGDGLFSCGDEILWLTVLRMIRSFGERVPVRIELVKHLQELGDLLLADLPKLMFRFYKKRASIVGVCACSRTDFIDYIGFLVSCPVVTNLQINVSYAKHMWTDM >Et_4A_033465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23016901:23019791:1 gene:Et_4A_033465 transcript:Et_4A_033465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGPLENAASAVESAAAVVESAVAIAGAGSYALLQCGEDSEYVRKAYGGYFEVFRALLAEDGERWRVFRAVRGELPTDAEAASFDGFVISGSCSDAHADEPWILALVDLIRRLLAAGKRVLGVCFGHQILCRALGGRTGRSSKGWDIGVNCIHPTAAAARLFAPLKLPVHMPVIEFHQDEVWELPPKAEVLARSDKTGVEMFRLGDRAMGVQGHPEYSKDILMSIADRLLRHDLILDAQVEVAKASFDVRQPDRELWKKVCRGFLKGRLPSQQKQQVAVVL >Et_3A_024369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2048470:2053192:-1 gene:Et_3A_024369 transcript:Et_3A_024369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGKAMYAVGFWIRETGQALDRLGCRLQGNYFFHEQISRHRTLMNIFDKAPHVHKEAFVAPSASLIGDVQVGQGASIWYGCVLRGDANSIQIGSGTNIQDNSLVHVAKSNLSGKVFPTIIGDNVTVGHSAVLQGCTVEDEAFVGMGATLLDGVVVEKHGMVAAGALVRQNTRIPCGEVWGGNPAKFLRKLTDEEIAFIAQSAANYSELAKAHAVENAKPLEKVEFEKVLRKKFAHQDEEYDSMIGATQEVAPELAPPSPAQ >Et_2A_017217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31987233:31990181:-1 gene:Et_2A_017217 transcript:Et_2A_017217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNEDKVEMSIMEPIPHGNGDASVEVDMEEEHLWPTKDGPLPIFLKFENVEYRVKLTSKNPLTAAKVALASHIRVDEGSCKHILKGIGGSVDPGEILALMGPSGSGKTTLLKILGGRLSGGIKGQITYNDTPYSPCLKRRIGFVTQDDVLFPQLTVEETLVFAAFLRLPARMSKQQKRDRVDAIITELNLERCRHTKIGGAFVRGVSGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSASKLILILQRLAKTRRTIITTIHQPSSRMFHMFDKLLLISEGHAIYHGKARECMHHFSSLGFAPQIPMNPAEFLLDLATGNLADISAPDALRGASPPDPQQVVRQLQLKYKQHASAASRRTTPAATTAEQLRLDRRGIGWFQQFAVLSRRTFRERAADYLDKMRLAQAVGVALLLGLLWWKSQTGTEAQLRDQVGLIFYICIFWTSSSLFGSVYVFPFEKLYLVKERKADMYRLSAYYASSTLCDAVPHVVYPVLFMAILYFMAGLRRTLPCFCLTLLATLLIVFTSQGTGELLGAAILSVKRAGVMASLVLMLFLLTGGYYVQHIPGFIRWLKYVSFMHYGFNLLLKAQYHGHLTYDCGGRAGCRRLQSSPSFDTVDLDGGMREVWILLAMALAYRLLAYFCLHKRISLMPL >Et_9A_062926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6928036:6929648:-1 gene:Et_9A_062926 transcript:Et_9A_062926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLLASSQPEPPLSDIATSSAHGEDSPYFAGWKAYDEDPYDPVTNPSGVIQMGLAENQVSFDLLETYLREHPEASDCGVGFRENALFQDYHGLKSFRMAMASFMETIRGGKVKFDPDRIVLTAGATAANELLTFILANPGDALLVPTPYYPGFDRDLRWRTGVNIVPVHCRSATGFQLTAGALQAAYDAAVASGTRVRAVLLTNPSNPLGTTVKRAVLEGVVDFVARNNIHLISDEIYSGSVFAEPDLVSVAELVADDDLGLASRVHVVYSLSKDLGLPGFRVGAVYSRNDAVVAAARRMSSFTLVSSQTQRTLAAMLADARFAASYLRANRTRLRERRAHVAAGLRRAGVACLRGGNAGLFVWADMRPLLDEGTVDGELRLWRRVVDEARLNISPGSSCHCAEPGWFRVCFANMSVETLDVALQRLSRFTERWSKSIKK >Et_9A_063269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18207210:18222281:1 gene:Et_9A_063269 transcript:Et_9A_063269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDAMPLYRDASAPVEARVRDLLGRMTLREKAAQMAQIERTVASPRALAELGAGSVLNAGGSTPRERASPADWAAMVDGMQRLALSSRLGVPILYGTDAVHGHNNVYGATIFPHNVGLGASRDAELLRKIGAATALEVRATGIHWTFAPCVAVCRDPRWGRCYESYSEDPEIVRSLTTIVTGLQGQPPADHPHGYPFLGSVRENVLACAKHFVGDGGTDKGVNEGNAICSYEDLERIHMSPYPDCIDQGVATIMASYSKWNREPLHSSRYLLTDVLKGKLGFKVAELMGFLVSDWEGIDRLCEPQQARGSDYRYCIAQSVNAGMDMIMIPHRFEKFLEDLVFLVETGEIPMSRIDDAVERILRVKFISGVFEHPFSDPSQLDIVGCKEHRLLARGAVRKSLVLLKNGNNLTEPFLPLDKNAKRILVAGTHADDIGYQCGGWTIAWHGNSGKITPGTSILEAIQETVGPQTDVVYEKCPNEATIETGEFSYAVVVVGEVPYAEWTGDRTDLSIPFNGSDLIARVASKIPTLVIVISGRPLVIESQVLEKINALVAAWLPGSEGMGITDCLFGDHDFVGTLPVTWHARLYLLPGKKY >Et_9B_065874.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15999919:16002537:-1 gene:Et_9B_065874 transcript:Et_9B_065874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRASLALLRGRSTATLAPSHDRKPLAALACSVPSEDGVDPGVGTGVRQPFDEIPDRNTAAGSNHALFDYARRGLVRQAIDHFLDLHSRRGGRVGPAVLSCGLKVCGSVPDRVLGEQLHCLCVRCGLDRGDVSVGTSLVDLYMKCCDAEDARKVFEGMPERNVVTWTSLLNGYVQDGAHSDVMALFFRMRAEGICPNPYTFVSVLSAVASRGAVDLGQRVHAQSVKFGCRFSVFVCNSLMNMYAKCGLVEEAKAVFSGMKKKDTVSWNTLMGGLVLNGRELEALQLFHDSRSSIAKLTQSTYSTVIKLCANLKQLGLAWQLHSCILKQGLHSDGNVMTALMDAYSKCGELGKALDIFLLMPGSKNVVSWTAMINGCIQNGDITLAAVLFNKMREDGIAPNEFTYSTMLTASVASLPPQIHALVIKTNYQCSPTVGTALLASYSRLCSTEEALSIFNMINEKDVVAWSAMLTCYAQSGDSDGATNVFTNMVMQGLKPNEFTISSAIDACASPSAGIDLGRQFHAISIKYRYQDAICVSSALVSMYARKGSIESAQSVFERQTDRDLVSWNSMISGYAQHGYSKKALDTFRQMEAEGIEMDGVTFLSVIIGCTHAGLVEEGQRYFDTMVRNHKISPSMEHYACMVDLYSRAGKLDETMSLIGNMPFPAGPMVWRTLLGACRVHKNVELGKLAAEKLLSLDPLDSATYVLLSNIYSAAGKWKERDEMRKLMDTRKVKKEAGCSWIQIQNKVHSFIASDKSHPLSDQIYAKLKEMTARLKHEGYCPDTSFVLHDMAEEQKEAMISLHSERLALALGLIATPPGTPLQIVKNLRVCGDCHTVIKMVSKIEEREIIMRDCSRFHHFNSGICSCGDFW >Et_10B_003265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18311535:18314683:-1 gene:Et_10B_003265 transcript:Et_10B_003265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSPVNRLARRAVKGPLEKAGLAGLAAVAAVAAAALLLLICAASLRCSAAFGSALAAAPRKLWAGGVSIADEASSSSSAAAGEECDLFDGKWVWDDGHPLYDSRDCPFLDVGFRCSENGRPDASYTKWRWQPARCDLPSSIYEVNGSPITKHMGFLIFNFRDYNCTVEYYRSPFIVLQGRAPAGSPDIVRYTIRVDAMDWMSERGKWKDADVLIFNTGHWWNNEKTIRGGAFFQAGDEVKMEMTVADAYRRSIQTLSDWLHKEVNTSKTHVIYRTYAPVHFRGGDWKTGGSCHLETLPDVTPLTSLQDWADLLQPVNDFLGDNLRPKLHGVNMLNVTQMTAQRKDGHLSIYLSPSGPVPRYKQDCSHWCLPGVPDTWNELLYALVMRRHMKMDQNVSLAGTEALNTG >Et_5B_045307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17661310:17662607:1 gene:Et_5B_045307 transcript:Et_5B_045307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLAAVLLLCLLAAAAFAEEEGPRGRKLLVLLDDLAVRSSHSAFFGSLQARGFDLDFRLADDPKLSLHRYGQYLYDGLVLFAPSTTREFLPLALRDPICDFGTGFGGSVDQNAVLEFVDAGHDMILAADSSASDLIRGIATECGVDFDEAMNQQPDPEAMVIDHINYAVTDVDGDHTLIAGDDLIQSDVILGSKKIEY >Et_1B_011054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1771980:1775339:-1 gene:Et_1B_011054 transcript:Et_1B_011054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRSQVGMPASSRLYPSATCSLASPRSPSPPRRRRRRRIASLMGQSQSAPTGRNRNRNRSGWPRPGQGLDLGFLHGRKPRPGGEKLDLANWLRCVLSPPLPPPTAEAEAEAEGKAAGNREEQEAGGEEADHLVIMVNGLYGSSADWKFAAEQFVKRLPGKVFVHRSQSNHSKLTYDGVDIMGERLAEEVRQVVQRRTNLRKISFIAHSLGGLVTRYAIGKLYEPSMTEAFSLDTDKIYEQKIPDVGKIAGLQPINFITSATPHLGSRWNKQLPFLFGVPIVEQLAAETAHFIVGRTGKHLFLTDRDDGKPPLLLRMVQDCDDGKFMSALRSFKRRVAYANVTYDHIVGWRTSSIRRQHELPKLKLTAHDQKYPHVINVDKGNSEGCCSRNSEDELMICGLTQVSWERVDVCIHESWLRYNAHNNIQVRIHPVNSDGEDVIYHMIDNFSIDWLTLP >Et_3A_025984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34338967:34341731:-1 gene:Et_3A_025984 transcript:Et_3A_025984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPAASRVDLDGNPVAPLTICMIGAGGFIGSHLCEKLMAETSHVVLAVDVYCDKIRHLVDPAPPHLAGRISFHRLNIKNDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEEPEFYVLKEDESPCIFGPIVKQRWSYACAKQLIERLVFAEGAENGLEFTIVRPFNWIGPRMDFIPGVDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFVYIKDAIEAVLLMIENPARANGHIFNVGNPNNEVTVRELAQMMTEVYANVSGEPPLDEPMIDVSSNQFYGEGYDDSDKRIPDMTIINRQLGWNPKTPLKDLLETTLTYQHKTYKEAVKRQMSQALASS >Et_8A_058008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1244246:1245340:-1 gene:Et_8A_058008 transcript:Et_8A_058008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVLLFIVVALVSYVVVAPATAVRAGGFFPIQNVNDPHIVDLGRWAVALHNAQTSSLLMFNSVVGGEQQVVAVCLTENRRKFSLAERRLLFEHAIGTGSYKERLWFYPRARELGSDAMDRRLEEMGYI >Et_1B_012762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34929783:34933130:-1 gene:Et_1B_012762 transcript:Et_1B_012762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIPPLILFCMLLVPSASNRYLCATGLKMIGSFITSALTLVLGYAYPAYDCYKTVELNRPEVEQLRFWCQYWILLAVLTVLERVGDNFVSWLPMYSEAKLAFIVYLWYPKTRGTAYVYESFFKPYIAKHETEIDRNLLELRTRAGDMAVVYFQRIANYAQTRSYEILQYIASQSQTQGPRPQAQQQQQRPPPPRTRQANPTPPPVPAPTAPPMPPQPAQVPPTPPRPPIPVAPPGAVPPNQPQTPPAPEAVAAANGPQNTEAMQVDPQRASTSSAPPPLAPEETLIEEAIRLTRGRLRRRMAGGSGPPAS >Et_4B_036885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12305224:12309780:1 gene:Et_4B_036885 transcript:Et_4B_036885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSAVTVHVTGFKKFHGVAENPTEKIVSNLKSFMEKRGLPKGLVLGSCTVLEAAGQSALGPLYEFLESSIVDRECQSPNQQLHFGANSGSPRFALENQAVNEATFRCPDELGWKPQRVPIFSSDGNISRTRQTTLPVKEMTKSLQQMGYDVMPSDDAGRFVCNYVYYHSLRFAEKHGIKSLFVHFPLFMAIDEEVQMHFVASLLEVINKRDTVQLAAKKSYLQWNILRKRKKNSVNV >Et_5B_044733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5121711:5124186:-1 gene:Et_5B_044733 transcript:Et_5B_044733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMVSGYSRGAIGPNDLPVLLKLKDWSRHSSFEDRLPRHGGEFMSALPFLKLPDGVNKPDLGPKTYIAYGVDQELGIGDSLPRFIVKCPIRCLTHQLLVLDLASEEHESVQKAVIAVEAEVNLTELNGQSSNQSDAEHTDVSLSKGKAEHASLTINSEDRSEIPNDADGKSEPPGPRCCRRLGLNSANAFGKTNTGSSMEDNDDFVINLEPKDDDDAQFLEGDQPEGRALWDIFRQEDVSKLHDYLLKHAEEFRHYNYEPVKEVAHPTHDRCFYLTNEHKKKLKNTVRIEPWTFVQKLGEGVFIPAGCPHQVRNLKSCIKVALDFVSPENVQECIRLTEEFRLLPKGHRVKKIAFYALNQAVKDVTGYDCIE >Et_5A_041281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20648012:20649150:1 gene:Et_5A_041281 transcript:Et_5A_041281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQACEIPTATATDDDDDDSIPPLIATYKHLLDISSPGLAVDADGDDDLPVIDLAGLLQGGGDAAAAEAAIVRAASEWGFFTVTNHGVPRALLDALMRAARGARVFRRPFARKAAAPLLGFAPESYRWGTPTATSLDQLSWSEAYHIPISGSAGKKQESIDENEDDRATRLRLARVLVAGMRESDDIADADEDDVVTRSTRDTCFLRLNRYPPCPPRTDIGGGVFGLCPHTDSDFLTVLHEDGVGGLQLRLKEDGRWVAVRPHPGALVVNVGDLLQARSNDRYRSVEHRVVASAARERFSVAFFLCPADDAAIRPRGGGGGGGEAEAPARYKTFTFGEYRHQVREDVRVTGRKLGLQRFRLSSS >Et_5B_043763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15651822:15653302:-1 gene:Et_5B_043763 transcript:Et_5B_043763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LPQKEHFPLAIYHKSSDVPNPGALTSTVEVDDIIFEGEPARHKNQAEMNATKVVIQYLNCTIQLDPKSRLNKLSGNRQSAAFLYITLFMKSLWFKSIVTVDQQTFMSPPYYRTSKEAQASAANVPLMLLAQYRFQRSCTRTCYKSVPNPGAFTSTVEFDNTIFEGEPARDKKSRDECYKGCYSASQCNKWLNRKTYLYA >Et_1B_013840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:286817:288175:-1 gene:Et_1B_013840 transcript:Et_1B_013840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSDIHGSVFPYFARWRGVFGKVFVYWLGTEPFLYVADPEFLKSATGGALGKSWGKPGVFRRDRMPMFGRGLVMAEGDVWARHRHIIAPAFSATNLNRMLRLMAETTARMIGEWSQAVEEAGGGAAVVDVERGIVRNAAEIIARASFGGTDALVFEKLQAMQAMLFQSNRLVGEALRQEIRDVLPPDDGDGSSMVITVEALGRLTKMGWVMSEVLRLYPPSPNVQRQALREVAGRIPAGTNMWVDVVAMHRDADLWPGHDVNEFRPERFARDPVQGGCRHRMGYIPFGFGGRICVGRNLTSMEYRVVLAMLLPRFRLSVAPQYRHAPKIMLSLRPSNGIQLQLTPLPTY >Et_7B_054872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5123190:5125411:1 gene:Et_7B_054872 transcript:Et_7B_054872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDPSPPHRPTTRTAMASHSWNYMHARRGIGRETRESTANVAVPRGRHILASPGGRSPFFSVSLLGAADQSQLQIEMAAEPSSSTGQSTADIRAAQPEDARQQATAMSGPLNVRGDRRPPPMQRAFNRQVSLGSGVTVMGGGKNGGGRAGQRALPRSGRSLGVGVLHHSGPLGPGGGGGDGRRGDFSMFRTKSTLSKQNSLIPSRIRESDVELPTHVEDLSAGSGSGRPAEDPLNKSVPAGRYFAALRGPELDEVRDYEDILLPKDEVWPFLLRFPIGCFGVCLGLGSQAILWGALSVSPAMRFLRVTPMINVALWVLAAAVLAATSLTYALKCVFYFEAIRREYFHPVRVNFFFAPSIAAMFLAIGLPPAFAPEGRGVPHPALWCAFVLPLFALELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILAARVGWAEAGKFLWAIGVAHYIVVFVTLYQRLPTNEALPKELHPVYSMFIATPSAASLAWAAIYGSFDAVARTFFFMAIFLYLSLVVRINFFRGFRFSLAWWSYTFPMTTASLATVKYAEAVPCFTSRAMALFLSLMSTTMVSLLLVSTLLHAFVWRSLFPNDLAIAITKDRQNGAGKPNSRGRKASKRVHDIKRWAKQPPLSLVSSITKSHSADKEDEEKTE >Et_7B_054973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6009734:6011975:-1 gene:Et_7B_054973 transcript:Et_7B_054973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISVSHLGCKDVDLLDVALVGKVADSRSLSSALEKLYFWERKLYGEVKAEEKMRLLIAKNSKRLKLLDQRGAEPQKIDATRNLLRKLSTKIRISVRVIARISRKINKLRDEELWPQLNALILGFVKMWQDKLDSYQTQCQAISEIKSLTSVEYGESSRDLGIELELELIKWIINFSSWVNAQRNFVKALNGWLALCLNHEPEEACNGASSYSPGRIGAPLVFVICNKWSQAMDRISEKDVVSAMQALVSSVRHLWEQQHLEQSEQIIAIQEREKWTKILERNTQEISKETDELNKKLALVPSRHSLHMPRTVQIYEAHCVEASNLHINLSLVLQALQSFAASSLQAFQETLRCAEGTRMPRDNARRRKQ >Et_5A_041711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26187636:26188934:1 gene:Et_5A_041711 transcript:Et_5A_041711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRFPAKNAAAAMEGTGRPAAAPSSRVSVFRRLLVRVTPAAEIRDKLDEMSRPPAVGAGEAEVGSVALDRMVLSFMEDAGAAAVERPPRGRCSNCFNGSHHDASDDEDLDFLPSSSSAPAAAPPAAGDALELLKGLVQCASTAERNLLADASRIAERHASKGGFGRNKKADVRRAVAAGLRALGYDAAVCTSRWDKSATHPAGEHEYIDAVVGPPGAGERLVVEVDFRSEFEVARPTKAYRAALQSVPPLFVGAPDRAGRVAAVVADAARQSLRKRGLHFPPWRKPEYMRAKWTAPHARAGDDDKPPPPLPALLPTPVSAASFAGAFQLRFDDKVATKGAGEDGETEEKKITVVVSPSPLPWRPTEPEKAKQQGPLPPVASKGKVVTGLASVL >Et_3B_028970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2177692:2180411:1 gene:Et_3B_028970 transcript:Et_3B_028970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMGAKQLVCVTGAAGFIGSWLVKELLQRGYAVRGTARDPEDVKNAHLHALDGAKGRLSLYRADVLDYHSLRAAFKLCDGVFHVASPVSDHDPEVVSAAVEGTNNVINAAADMGVKRVVFTSSYGAVHMDPNRSPDQTLDESCWSDLEFCKQTKNLYCYAKTVAEKTAMEEASKRGIQLVVVIPSLTIGEMLQPTLNLSIALVVASYMKGKKTYPNAVAAYVDVQDVAHAHLLVYENPAASGRYLCIGDVLHRSEFLQMMRELFPQYPITTKCMDDKPKVKLYKFSTQRLQALGMKFTPMRESLQKTVISLQKYG >Et_1A_008024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40113048:40119969:-1 gene:Et_1A_008024 transcript:Et_1A_008024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFAAAAPAAGVFAGPGTAARPFVLRRNRRVSRFGAGRLRLLRAPPPRVGGDGGDLPSLDKWDMMELDFGRFLGEDPKLTLAKILVKKSDPDASSLDVEKLIATKKDKLDDILREFMDANKRDQAFKTPESGSSSNTTNPTISRPIEGKKSLNISRPVMGKPKQGGPPLTLLRPTGSKLRQDEPSLNQLQPAGNKGKEDNSSLTLSRPVGSRPKVQDKVVQDWPSKESLASATDLGETESILGTSNVDVSLRKPTVYQIEDDDLKSKLKMKPNIDLKMRKDMNEDLANISLLQRPDLAKDTANTDLDHASANSASTSSVEDNSELEPKINGLDEKSVTERVHESSGLDDDSIAGLQPSDHSSIQEIDTSAIPADNKSATSNNFSMQAFLQGKPKREGLSVETLSSQVDTEKMNATDDNKSYVDDGGNVLPSKLEDITESDWIRLERYASTGEKVEVELINCSSKGFVVSLDSLIGFLPYRNLATKWKFLAFETWLRRKGCDPSLYKQNLGLEDGFEVHDRIVESESTSVTEVSGLDQESVPSKPKFEDLLRTYNLEKSKFLSSFIGQRLRVSVVLADRDSKRIFFSMKPKESEELIQKKKSLMARLSVGDIVECTIKRFVYFGIFVEVEGVPALIQQWEVSWDDTLDPAVSYKIGQVVDAKVIQLDYSNNRIFLSLKDVKPNPSVGALEAVIGEDLSLGGALEPAQADFEWPEVDSLIEEMRKIDEVRDVYKGRFFQSPGLAPTFQVYMAPVVGPKYKLLARYGNNVQEVMVETSLDKEKLKESVLVCTNRVN >Et_7A_051831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25375255:25376519:1 gene:Et_7A_051831 transcript:Et_7A_051831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPERRRKKAKKRSGGGKGNCISDLSDAVLKHILGFLPAHEAVRTSLLSRPWRHLWKSATTLRIHHHHPAQEPVKNIREFVDELLRLHGSSPLDECEIILLDFDDADVPSINRWIKRVLKRQVHRNRSGQSSWLPLKERPLVSQHLTRLKLFDLCFNDKFLDFSRCPALEELEIDSCFMASVNGISSQSLKRLSIGPSCILAQTFRNRISAPNLASLHLEVDFGRAPVLDKMPLLQDALVEISYSTFDSGRRRNSDDTCVLLQGLSQAKNLALISDTKMLVFKRDLNWCPTFSNLKTLLLNEYWCKPADDFSALTCILEHSPVLERLTLQLFCKGAESKIKMKEHSDPLQYLNILKQWKSN >Et_4B_037241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1755468:1763126:-1 gene:Et_4B_037241 transcript:Et_4B_037241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIEKDTERATRDGTAARTRPLSIQDIMLRREKKAASEAKKTKADPQENDEGPSDYMEIGRGSKSRKELKDMPVDGSKMEKNRETTREGSKKENPKHAPREDPKKDDMRYTPKASKKDNFKDRPKDGKEDLRDAPKKGSKKERPSIRDNDSDRADESKDANLGDMRARNGDATKSRKGPGKASHDDIVDDNRIKDTSEKFRNEAKRKVRSFDDEKNLDRRVLKRYDSAQLQDSKHPDRNYGRKEYAKSYYGEPKLKRRRSRSRDIYRERHGRSILPPSREERHSHRGHDFGNYAPYYSMEKARKKHTETEKQRTSGNGAYAGGSYRRHESRLGGYSPRKKKTAPQAEQETTTTPSSAIQSPEKKSATWDQPPVRTDQSNFLTTLQPSVGQMSPSFPFNLSAFKKDPATKVETILAANNLSADSVQLTQATRPLRRLHIENLPDSATEDMLIDCLNDLLLSSRLKNTQRSKPCLSCTINKEKRQAFVEFLTPEDATTALSFDGRSLNGSALKIRRPKEYVQMTHGAPTKPAEEIFVAGISEVISSEMLMEIVSAFGPLAAYRFLFNEELGEPCAFLEYMDPSITPEACAGLNGMKLGGRILTAVHVFPTPAKAEKEASPFYGIPDNARPLLEKPTKVLQLKNLFDQEEHMLLSKSELEETLEDVRIECARFGAVKSVNIVEHLSGSDNTGEGNVLQPEDKSVKIEPTELCDPDNSTKAASEYSVPNESIDFPDYSDPVEKKDADSIPEGHAPAADLDGIQPGAALSTLQQMEADPKVPEASTDEDKNMEAAEATAGVDDDAAEKGLADSISSEICSPATRGEEAVKSEREEEQQGADNGGEDHTAKAPAVEMGVGKFVFEPGSILVEFTREEAACMAAHSLHGRRYDNRIVSTRYAPHDLYLQKFPR >Et_7A_052152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5046189:5048940:-1 gene:Et_7A_052152 transcript:Et_7A_052152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRPWLAFAAAAVVLSFVAVAAAGQADVDMVFLKSAVAKGAVCLDGSAPVYHFSPGSGSGANNWLFTWRNADECSARKGNFRGSSKFMRPLSFSGILGGSQKANPDFYNWNRVKIRYCDGSSFTGDVEAVETPTNVHYRGFRVWQAIIDDLLAKGMNKAQNALLSGCSAGGLAAILHCDRFRDLLPATAKVKCFSDAGFFLDGKDISGNNYVRTFYKNVVNLHGSAKNLPVSCTSKQSPELYVVPTLRTPLFILNAAYDAWQIKNVLAPSTADPKKTWNQCKLDIKSCSSSQLAVLQNFRKDFLAALPKPGQSPSLGMFIDSCYAHCQSGSQDTWFADDSPSIQKTQIGKAVGDWFYDIRVPQLIDCPYPCNPTCKNREDD >Et_7A_051057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1472218:1474753:1 gene:Et_7A_051057 transcript:Et_7A_051057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSWVAIARQTWELENNIPAEASDPDAMDAIYRYDEGHEAVNAQADAYEYMVEYSTTNKQAGRLENVVGWYHSHPGYGCSLSGIDVSTQMLNQQFTEPFLAVVIDPLRTVFAGKVEIGAFRTYPKDCKPPDEPVSEYQTIPLNKIEDFGRKQSSLDSHLLDLLWNKYWANTLSSSPLLGNRDYVAGQIFDLGKHFIHEQAEGQLAHGRFGGMLMPSQRKKDTCKSCIVIIRRLRLK >Et_2A_014804.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:16153224:16153277:-1 gene:Et_2A_014804 transcript:Et_2A_014804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSKLHLFILLHGYQT >Et_5B_043340.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5231277:5231675:-1 gene:Et_5B_043340 transcript:Et_5B_043340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAVAGVQVRRLHLRQSRGALGGVLRQPASDTGAPEIVCLRHVIGTEIGEMLETSLDHFALRCRRSSTPVLCRLCCLRNVFVKSVNFSSYTNVLEFSCLPLFHFAWPCCPSSATLLRRPPMLHVPPMLPV >Et_7A_051804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24969472:24973884:-1 gene:Et_7A_051804 transcript:Et_7A_051804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRGIATAHGRDGAIGPRRLDRAGSVGARGLDNARRQVCGGAGATCTARRGREEGKGVATTKSNGLMASFPFRKPKMLAARRLSASASPSSLLRRGLSAQPQPTTPPPEEAGLGAGAWARRAVALSLLGLTGAVGASAVSDLSVFLSCSSQAIEKATQNQQIVDAIGKPIVRGPWYSASIAVNHARHSVSCTFPVSGPQGNGLLKFKAVRLGDESWFSFLQRSDWEILLMDAILDIPTEDGKHRTMRVTIPDNTAPPADCRACKTQPTPAPAPSAPAPAEK >Et_2A_018126.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1241933:1244023:-1 gene:Et_2A_018126 transcript:Et_2A_018126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALAVDAAAPVAHAFAASCFPAPLLGPAPLPAADKQQQEAAWSADLSSALYNVDGWGAPYFFVNDEGDIAVRPHGAATLPGQEIDLAKVVAKAAGPGGLGLPLPLLVRFPDVLRHRVETLNAAFDYAVRSTGYGNRYQGVYPVKCNQDRYVVEDIVEFGEPFRFGLEAGSKPELLLAMSCLAARGNPDALLICNGYKDDEYVSLALMARTMGLNTVIVLEQEEELDIVVEASRRLAVRPVIGMRAKLRTKHAGHFGSTSGEKGKFGLNAAQILSVVTKLKALGMLDCLQLLHFHIGSQIPTTALLSDGVGEAAQIYCELARLGAAMRVIDVGGGLGIDYDGTHSAQTDMSVAYSLEEYAAAVVAAVGRVCDRKGVQHPIICSESGRALVSHHSVLVFEAFSASAPGRIDTATAFLLEDLTDDCRADYRNLMAAAVRGDYDTCALYADQLKRRCAEQFKEGVLGLEHLAAVDGLCEIVARGMGVAEAPRTYHINLSVFTSLPDMWAIGQQFPIIPIQRLQERPAVDGVLSDLTCDSDGKVCHFIGGRDGLPLHELPSHGTSGYYLGMFLGGAYQEALGGLHNLFGGPSVVRVSQSDGPHCFAVTRAAPGPSCADVLRAMQHEPEVMFEVLKQRTDGATAAALARAFGAMPYLAFDGDASAMSGGECSGMSSDSEGSAAGVAEEDDDEWEFMRGLTV >Et_10A_000149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12875453:12875758:-1 gene:Et_10A_000149 transcript:Et_10A_000149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAAGPSRRWRSAGVVLLCSSLLLNILLLAHHFLLPPSSLSWALQAAMEAEPAAATDCSGHGRVYLDGVPGEDGRPACECNRCFVGPDC >Et_7B_055960.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8089901:8090431:1 gene:Et_7B_055960 transcript:Et_7B_055960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGATDSCFAPYGDHFRRARRLVTAHLLSAGKVRSQRAAREEEVRLALGKIGKLAASGASPVNMSELLHAFVNDLVCRFVSGKFSLGQEEGRNRLFRELTDINAALLGGFGVECYFPRLARLELFSKVACAKARSVRKRWDQLLDKLIDDHAARRERREDVVDAAAAEQQADTDFI >Et_4A_035711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4070681:4072689:-1 gene:Et_4A_035711 transcript:Et_4A_035711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSDDGAASSRRPSPPAWILLDNNAFINDMQNATTATSLTSTGQPVRVTLAAAEPPRDSYFCVQCPPPQPREEAQARGRRPRGPVLPPTVICSEGDLALLRVPLSPDDHPTDYFIYRIGRRPCLRLLPATFSVAEFVRYAPLGLVPIDGGDGDNFAVAAISYVSMESTHELHVFRSDRGTWTTTPLVLGSRVYVVPTKVIAIGGGELCWVDLRQCILVCDVLNDDDPKPRLIPLPKLLPSNQHDKRQRHPREYRDVVVGADVSITCVEIEHMWERPDISSADVLYDSELPLGTRPQKYEYQGWRIVTWNRAVSSNCWRKRALIHVDDIVANDPGHTALLRPMAGDSGLGLMMRNLRTQLPSLNIHGGDVVYVMVKASQHDTNAWMLAIDMAKKTLEDVVPISAAESARLFSLFICSSSVLNT >Et_6B_048761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13294287:13300968:-1 gene:Et_6B_048761 transcript:Et_6B_048761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAVIRMPRPGGSSALLTPSASASSPCLRRRARAPARVGRLSVRCRAGGDGEQGDGKEEAEDSPESLFARELRRRGMAPGAAPSAEAAAGEKQAEEGGADAGRKRGVAAAAAGFERGTAAGGSGADGQRERAKLLLSLGGTFFLAFGPLILVTVSLFAGLYLYFGPSFVHDASKTPISPPPYIDPYELLEDERLTRPSPDVRESMRL >Et_1A_008639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9387942:9388979:-1 gene:Et_1A_008639 transcript:Et_1A_008639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRVVLVSAAVAVLGLAAAVLGFVSERAKSKAYLGYDGQRCVYRRTAAFACGLAAALLVLTAMAVATAASGCFGRYGDYLSSGRRPTAVKLSTIAWVLAVAATVLFLYGAYRNSGGTSGLSRFRGGRRFNRTYYYACADVRGGIFATASIASAVATACAVAAYVSLHRQDEPGQYGGPGGGGVAMGQPQWSQPSYPPPAPAQFADQGGVAMGQPTQWAQPYPAAYPPPVGYPAPPPHHGYGDGYAGKPPAGSA >Et_2A_016011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20099066:20100856:1 gene:Et_2A_016011 transcript:Et_2A_016011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIKAVVDRFVKELQEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEITRQEARLKIEKENLEKEKSVLMGTASSQDNQDGALEITVSGEKYRCLRFSKAKK >Et_4A_033052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17778095:17784442:-1 gene:Et_4A_033052 transcript:Et_4A_033052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDARQTFQSKIFKEVIIRGHGETRRGGCGAVRQGGVRAEAAAAGKSAGVVEQSNGVYHTGNLFVLNFGRIAGLEDQGKRQGLSGETVLGVLQLIQLTGANDGGTEMVLSILIPGNCTDFVQTVMLSGLKGTLLG >Et_4A_032638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12051116:12053876:-1 gene:Et_4A_032638 transcript:Et_4A_032638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHVGRFMDSVGSIFRGSDTLPWCDRDIIAGFESEIAEAANEERKNDSLMRLSWALVHSRQPEDVNRGIGMLQGSIRPGANKGEALSVGCWALQDWGLYKKPAAFRKMLGDGMIGMAIITGAFGVVGLVAGGIIAAASSSRKK >Et_7B_055951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:847874:849271:1 gene:Et_7B_055951 transcript:Et_7B_055951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAATKLLLISTFTLFISHASPLRFHYTSNPPNLTATTRTPSSSSASSAHRSRNPSIALLHRDAVTGAKYPSRRHAVLELYEVSYGDGSYTSGVLALETLTLGDTAVQGVAIGCGHRNHGLFVGAAGLLGLGWGPMSLVGQLGGAAGGAFSYCLATRDPGSSNAAAGAGSLVLGRTEAVPEGAVWVPLVRNPQAPSFYYVGLAGIGVGDERLPLEEGLFRLTDDGAGGVVMDTGTAVTRLPREAYAALRDAFAAAVGALPRAPPVSLFDTCYDLSAYTSVRVPTVSFYFNEGATLTLPARNLLVEVDGGIYCLAFAPSSSGMSILGNIQQEGIQITVDSASGFVGFGPNTC >Et_8B_059966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4460252:4462053:-1 gene:Et_8B_059966 transcript:Et_8B_059966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYELLLIGAPSWVRLVLAGLKVHLAHWLLKQPLAGFDETVQVLLCGHVDGRRVALELVILDPKVPLVTVQRLEEHGDVDVDEVGAGMAEAVDQWCVALVVKPAEHAPLAGLHGVVLLLDLGSAATHRIVGSDTSVDEVESPGVAVLVLGLELVAREVRRRGGRADAHVRHKSVLGDIVHGLRRAPSSRKHTTNICNYKVALLELDKEVGMSTATKAYVVSGEDGGLKELDVEGGDNNVLERLADPRLTQELLHDGVERSATAQHKEDSGAVLEVEVVDVLGGEERAAILVGRREHGGDQPTGARPGDDVEVVGDPGVRAVQLLQLLLQEREDGAGDDPAHAAAVDAQHGDDAPVGRRRRLGRGKQRSASGEQPLRRVQGQPGAGPVGRELRNVHGRHGCELNRQSSYNSPTKLITSRASSAAKQ >Et_4A_032778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13712431:13713841:-1 gene:Et_4A_032778 transcript:Et_4A_032778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKAAAQTRFRVFKHENGIAVRVIACFQPLQNCQAEYFRHLLKPVVLLVGCLFMGDKVNPWCHWPNPSWKLNIGSSADNLYPPVAGLDDNNLVPLPTYLNNHSYIYPGIAAPMPFLPASVAERPLPVPSRFVTTLVPGLELSAVYPPHKRPLVLFQKENHTPNTAPLLAKRMLDPVPELQGSNETNVTDVGAEDTEGIHENTDEINVLLDSDSDEGYAKVNEHCRATLSPAENDTLSVESVASADDSAGAARPAKKRRLCSGSDKSVVDTASSARPDHSIEQKLLANDCDAQSCCIGEVESDHKFALREGESAEGDCPDDLERRRERIQETVTALRKIVPGGIAKDATAVLDEAICYLQYLKLKVKTLGAVSL >Et_4A_034292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31431741:31436504:-1 gene:Et_4A_034292 transcript:Et_4A_034292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSKRGGGVKLIPNLASDQEGTRVLNLSVLRRLDPAVTDILITATHVVAYNFDAAAKEWSRKPVEGSLFVVKRNSQPRFQLVVMNRLNTENLVEDLLTDFEYEVQVPYVMYCNASDEIIGIWFYNQQECHEVVHLFSRIQYAFSKASPKASRASKSEFEELEVVSAVPTDEGTLEQSASSSIVPDDAKNEFLVAFSRAAACVGDTVGGTGAAGPDQSYRSAPSPSHQPHDASASQTSALHKLLLSRTSSVHMRPFDADISHNSATSEPSSLVKVNPTLLPPMTSTQTMMANAASSSLSVLPPFHPPLSSAAKCSLASSSLSTTHGISLSAIWHAITSTIPTTKTFTIANPSSILCLLTDKRPSQGCTAEACADRQSQKKASSSLCRGVFLQIFSRHGGVEGSSDGERALVDHDVGRVHVMDLTHLGVADPDAEEGSRHFLRVEAKILRRHELGAQRDVGFPKHAPCHRLCMAVHRFVVDGGRVRPELLRHEVDGRGAAAHGCLPLYHLQIIQGTRNSDVDMTKFFTC >Et_5A_041720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26280350:26290262:-1 gene:Et_5A_041720 transcript:Et_5A_041720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGKRKASRRQTAPPATRPGPAAAAAQPELPRLASPERAGGRLDGGEVMMVRRSCVASCVTCGLCGGILRDATTVSECLHSFCRKCIFQKLEDEDINCCPTCTIDLGCAPLEKLRVDHSLIRIGLMVFPAKRRRVEEISPLDPALVSPPSPSHPVLEGYSSAKKTDAYMMGEPMNVEAETEAGERLVMEAIASTPAEEVGLLIVTVERQRRIEVAAEFTVSSVVEVAAAESAALPPVALQSKTPELELENTTRASETFEVYMGRRKALEAECQTKRVAAFEKIRVLEEKLRTQSERLQTQSEIAEKAETAQRQLLQDYLILESEIDAWKLLFSDYQNLKSDFLAKSEEHATLLYNIDNLEKEKTSQRSARIFERLEAYVGRDQDSRAKNAKLREKRARKASPLVTEGGGSSDTTPVQSVWEGGGDSCGVMNMEVMVHRSSVAPCVSCLLCGGFLREAITIPDCLHSFCRECILEKFRIEDINCCPKCDIDLGSSPLKKLRTDHSLQAIRSTIFPAERHKVEDIAPLYPEELALPRSSPQAHGVDNSAEATNPFLVELEYERAEKAAAFERVRVLEEKLRTESEIAQKAVASHRLLFQEHQALELQSYDKSKEHATLKYNPDMLVEKNIDKGNAAEARISVAEQKCNSRVMDEMMAKVVEERDQLKSELENVMKKLARAEAFARTINDILARHLCGSLKRTAERGMKIKINDPSTEEQEMTRLLPEEMARHGIKIDPSSILEDRILSVLTESPHFVSVGQHGSGHLKGALQ >Et_6B_049083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17605820:17610181:-1 gene:Et_6B_049083 transcript:Et_6B_049083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHVMVPLESLSLDLTTGEIAVGYDKEIAALQEEISALRSKQRHLDQKRREALDKLIDLKGSIRVFCRVRPLIPTSNLKTKSPLTVGQEKIALQSVGIKKEFILDRVFDQESTQEDVFVEVKPIIRSALDGHNVCILAYGQTGTGKTYTMEGTDGKLGIVPRAIQELFSHASADSSSTYSFSISMLEVYLGSLRDLLAPRQTLFRHTECSTASNISILATKSGAVEVEGLTDVSVPDLKKANQWYCRGRRARSTSWTNVNDVSSRLTRITIRKQGVTEEVSKLWLIDLGGSERLLKTGASGLTMDEGKAINLSLSALGDVIAALRRKRSHVPYRNSKLTQLLSDSLGAGSKVLMVVHISPSEQDVSETVCSLSFAERARSIKSNRDVSEDLKMMKQKRLAELDKEICNTEQELKYVNEQIKKDEISLEEKKKKLYSSVCQAASDEKGSPRSTLVTGHIDATESPQATEKPKSRLSNGSVPHFMSSTACSRQRHNAGSHSVSKPRLTKSVTRHPIELSGSQSFSYSSCKNAAKARSVAFSSSVPKLKYLPVKSDQINISSNSIDSTAASAPKRRESFVSRPAQRAPLHQHRRRMSMAKEKGQGI >Et_3B_028713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18310802:18316248:-1 gene:Et_3B_028713 transcript:Et_3B_028713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLNLDLCRNMLDGSLPSAIGNMSSLRSLDLSQNMLVGSVPFGVGNMRKLECLDLSRNMLVGEVPDGIGTLSNLTYLSLGLNNFSSVLSKEHFANLVNLEYLNLSQNSLKIDFDEHWVPPFKLVEAHLRSCDMGPLFPTWLRWQTRIRTLDISNTRINDVLPHWFWVVFSNASSLDLSVNKLSGVLPAKLELPFIGDMDLSGNSLSGQLPANLTAPSLRSLLIHNNQFIGTIPEYVCYGFSEINLSNNQLSGDFSQCLATGSAIGSSLLMVDLKNNNFSGEFPHFLQNATVLSFLDLSHNKFSGIVPTWIAAKMPRLEVLILRSNMFQGHLPKQLTELVGLHYLDVAYNNISGSLPSSLARLRAMRISKGGDAQNYSSDSISTFVKDRELNYTHELTKHIVLIDFSSNAFTGYIPAEILLLKGLRSLNLSSNQLSGAIPSNISALRGLESLDLSYNFFTGEIPSSLSDLNFLSCLNLSYNDLSGRIPSGQQLQTLNDRYMYIGNPRLCGPPLVNNCSTNETNQDANQVNGGEVHDILSLYLSLSTGFIVGLWTVFCTMLFRKPWRVAFFRFFDMLYDKFYVEVAISKAAIVGFMYTRFSVGDWHRKQRKMAVLKILFLSILTTWCLPSLPAQSLTSEPAPQVAPSNRSNRRCIPGERDALLSFRAGFTDPGGKYLSSWQGEDCCQWKGVRCSKRTGLVGNNLSGTLPSTFRNLHSLEELWLFGNNIGGPVSILLNRLPGNSLRRLMLQENNLTGSLSDELGRLKELMFLDLRSNNLDGLITESHLGALANLKEIYLSDNSLAIVFQHNWVPPFKLDIANSINFLNISNTSISGPIPQWFWVTFSETQFVLSRNQISGMLSSTMFMKMEAQSMDFSDNLLVGSLPKLPTNLESLDLSKNNLTGSLPSDFGASSLKFLYLFKNSISGRIPYYFCHLEELRFLDLSENQLYGEFPNCDDWSSNMRMLNLNTNNLSGEFPSFLRKCQKLIFLDLSYNQFSGMLPPWIGDKLLSLAFLSLRSNLFFGHIPRQLAKMKGLQFLDLACNNLSGPIPQSFADFIAMAVAPQDHNTLSEIVDYGYDLNEDDVVSYTDSSLVVTKVEQLEFTSGIIYMVNLDLSCNILTGPIPGEIGKLGALKSLNLSWNHLNETIPDSIGDIRSLESLDLSHNEFGGEIPATLSISIPSGNQLQTLNDQASIYIGNPGLCGPPLSKNCSQIGLPPVSTEGNEVGDDTVFFFLAMGSGYVMGLWTILCLFLFMKKWRTVYFLFSDRLYDWFCVQVALGWASLNPKIGST >Et_8A_058088.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:18908872:18909132:-1 gene:Et_8A_058088 transcript:Et_8A_058088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQTLGIDVREQALDRWPHRRLDTISRMIGLSSHSNARCLAPAVHSPLPPPPPPRRRRAELERRRNSLLVDDCLAVRCDIGVMEL >Et_4A_035591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30306577:30310236:-1 gene:Et_4A_035591 transcript:Et_4A_035591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGEASIGPFRIGPSTLLGRGVALRVLLFSYLWRLRARASAAISRVRSTTLPVVVSWLHLRNTHGLLLVFVLFGLSLRKLSSARSLAALARRRRLLEKVMRHASTYEEWARAAKVLDRMSEQVNEAYFYDDELIRNRLEELRRRREEGSLRDVVFCMRGDLVRNLGNMCNPELHKGRLEVPKLIQDYIDEVSAQLKMVCESDTDDLLLEEKLAFVQETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIIAGSSVGSIICSIVATRTWPEIESFFADSLQTLQFFDRIGDIFAVTRRVTTYGALHDISQMQRLLRDLTSNLTFQEAYDITGRVLGITVCSPRKNEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGNIVPFHAPFSTDPEEGCRASKRRWRDGSLEMDLPMMQIKELFNVNHFIVSQTNPHISPLLRMKELVRTYGGRFAGKLARLAEMEVKYRCNQILEIGLPLGGLAKLFAQDWEGDVTMVMPATVAQYLKIIQNPTYAELQMAANQGRRCTWEKLSAIRANCAIELALDESIAVLNHKRRLKRSIERTEAASRGHANYVRTKTPRRVPSWSCISRENSSGSLSEDIFAAATSSTHQGAVIVGAPNISHHIRQNSYDGSESESETIDLNSWTRSGGPLMRTASADKFIDFIHNLEIDTEFSRAGTIEDDTTGVFSETTFPNDPRLNSSSRITTPERCTEVSEAEPCSTGNNRASEASSPTSIAVSEGDLLQPERTTDGILLNIVKRDAMLSQHNSVIELIENSSAEVYTETSDAISMSDGAEDNNDVAGSSNPSVDHAAAAASHGSSVD >Et_7B_053562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:128457:135518:-1 gene:Et_7B_053562 transcript:Et_7B_053562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAMNGQLRILAARFLASAGISFPLHDDDSNWLDIVTSLSWEAALLIRPDGAVGEEMDPGSYIKVKCIASGTRRQCEVIKGLVFKKSAAHKHMPTRCHNPRLLLLRGLLGDSHDGFSSFSSMEQEKDHLQKSVCKMMDICSPNVIMVEKTVSRDIQELLLKEGVTLVLDMKLSRLQRIARSSGSPILSFSEVLSKRKLKQCDYFHIEKIIEEHNHTTQVGKRTSKTLMFLEGFHKPSGCTILLRGANSEELKKVKQVMYYTVFAAYHLVLETSFFEDQRVFLNNKQTSKEENSFSANSGQSAVHRDPPVLPNDSLPVSLEDNDASPLKLYPETCNGSVEKPTNGEITIISSTDPVALSSLNKGVPEATDEVLRSGRLASSVSGSRKRFIDMFCHQNIYLPFTSAYEAADQDEESLEKSQEASDNASHISPKEGVTVASGENSQKQASYETDQQMTKNDPSVSDKHEQSFPLENEKHHITGYICGDRTSDTDEGDDFLESQSILILMSSQCITKEIICEQSHLFRIKYYGNFDVSLGRYLQDILHSQPPEAHMYSYTHRNGNLTILVKRLLPRYHLPGESEGNIWMWTRCLRCEHETGISKSSRRVLISSEARNLSFGKFLELSFSSHSAARRLSICGHLVNRDCLRFFGLGSKVAMFQYSSVEIYIACKPQPTLEFHNPNTQEWCEQERRNVLARGVLLFSEVRRFLLSMKNQFHGLAINHGAFLPIREFSQLEEMLIKEKSDFMVNFPVPLFSPFCRPIHRWGHEFTQCQEDSVTKAIDCSGTHRSVHGILDVNWLYQDLLLELYVWDRRLHQLLNCNSSEIGIMGNNVERETSESTGDRTAAVAEADGIAERTSSKQSLGNESVEPEKVNESGKMLVDEKPWQEEQHSANVSSSDVSLKQKFRLSQMDDREKWVWNPLCESRLAYRQELQVGVLERFELVNHYSPSHLPPLHKQSVEEVSSPQFIVGPGGTVLCVSEDEISSIISRALAVSEERRQLLDAIIGSEAADIRGRERTKTMEKSCRSISETSASSSSSWSSIGSSDSEASFSSDDLSSYDSSLLSSSLHPEIPVNGKSTLKGKYSVICVHSDQFYTLRKKCCPSELAYITSLSRCKRWDAQGGKTKAFFAKTMDNRFIIKQIKKTEFESFIEFAPEYFKHVCHSLETGSQTCLAKILGIYQVKQLRHGKEVKIDLMVMENILFGHNVSRTYDLKGVVFSRHVSDSNEHDTVYLDQNFVDDMRVSPIYICGRTKHLLQRAIWNDTSFLTSINVMDYSLLVGVDKLKHEFVFGIIDYLRQYTWDKQLETWVKTSLVVPKNVSPTVISPREYKKRFRKFMTKYFLTVPDDWSTENRTVSCNHLNHSNSRLPTVHDEKPQHQSLHALELIVVLPIF >Et_1A_007597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36220908:36223044:-1 gene:Et_1A_007597 transcript:Et_1A_007597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EEANTMDQPSQSEDRKLSAMEHVKKRHHEKGFLYAWICLTFQDQNSVTLPRRRSSPAAASSRTQAGVE >Et_6A_047884.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:22822352:22822621:-1 gene:Et_6A_047884 transcript:Et_6A_047884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTRFASGAGARDSIGNRAILLTYAGARFTEATANVELRRVMPLEPANAGDAEPAPVRTTTPTASTRCCWSRSRSSRLVVGQQNRIAA >Et_1B_011023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16767536:16771944:1 gene:Et_1B_011023 transcript:Et_1B_011023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLAAPSPSHSGATSGGGASHRKRKLPPSSLSDATADEDDDTTAPSSPSTAPSSPSRPSSPSSSHSDDDDDDSMQTFTAARLDGAPGSASGRPPKPDSSSVSAAAAAAAAAGGPKPESGSAAAGDGKEDPKGLFTDNLQTSGAYSAREEGLKREEDSGRLKFLCYSNDGVDEHMIWLVGLKNIFARQLPNMPKEYIVRLVMDRTHKSMMVIRNNIVVGGITYRPYASQRFGEIAFCAITADEQVKGYGTRLMNHLKQHARDADGLTHFLTYADNNAVGYFEKQGFTKEISLDKERWQGYIKDYDGGILMECKIDPKLPYVDLATMIRRQRQAIDEKIRELSNCHIVYPGIDFQKKEGIPRRLMKPEDIPGLREAGWTPDQWGHSKSRAAFSPDYNTYRQQLANLMRGMNEHPDAWPFKEPVDSRDVPDYYDIIKDPIDLRTMSRRVESEQYYVTLEMFVADMKRMFNNARTYNSPDTIYYKCATRLENFFSSKVASQLAQTSTKS >Et_3B_030451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3908953:3910509:1 gene:Et_3B_030451 transcript:Et_3B_030451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIELALAAAPASSSSAGGAMMPNPLPPGFRFHPTDEELILHYLRKRAAATPCPAPVIAEVDIYKFDPWDLPAKAVFGEGEWYFFSPRDRKYPNGVRPNRAAGSGYWKATGTDKPITCSAAERNNSAMIGVKKALVFYRGRPPKGMKTSWIMHEYRLADALNAANTYRPMRFKNASMRLDDWVLCRIYKKTTPQLTYSSSPPLDADEPSMDGGAGFSHGQQHGNSAAYADDLAGGQLPRPPSISDYLVDYAVSELFESVPAPQLGTDAGSSGGAAAQFFIGSNSSGVQQSSHKRRFMEDYSNNNMEMLHASSNKRVLSDQASMAVNSSFSLFGPGHPSLHDRI >Et_2B_022075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8793935:8795030:1 gene:Et_2B_022075 transcript:Et_2B_022075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQHASVEKMKTKVDEPAPKGRNQRLLHKLLCKTFLRNVGLETAEPKRSSKARVHELEAQVEVEKQCSAELREKFEAFDKKVMESKKQQERRSLRRWHKHERKNRRRWKKEERNNKKRCRVEQAI >Et_1A_005587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11779533:11787211:-1 gene:Et_1A_005587 transcript:Et_1A_005587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVVAFATDLNASPLPEVEDEQPNEKPDMVQDAKEGYVESSVETMRRERDERRRKLKREQQDEGSKLHSQQTRNDHVPQCKTIRHGRVKEAPQGWLECPAFGEPIMKIIPSKVPLDETFNESVPPGKRYSFKQVLNKQRKAGRDIGLVIDLTNTTRYYSPSELTKQGIKHIKIPCKGRDAVPDNESVNTFVYEVTAFLDRHFKSPKYILVHCTHGHNRTGFMIIHYLMRTQVSHVTEAIHIFAQRRPPGIYKRDYIEALFSFYHEVPELTCPSTPVWKKSDDFDLNGEAKQDDDDDNGDLAPPHKESEDKAITNDDVLGDATPYDQQEFLRRECYRLLEMPHGRGNSQFPGSHPVSLNSDNLQLLRQRYYYGTWKADGTRYMMLIRRDGCFLIDRNFCFRRVQMRFPHRKPNMIPPSDNMPRPQIKRRYLAYDLMALDSVSKIKSPFSERWEILQKEIIDPRCYERYQFESGAKSNPSYKYEKELFSVRRKAFWVLSSVKSTLEKFIPNLCHDADGLIFQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEMGSDNRQLVFLYERGKKKLLDEAQIAFPEEIDSSSVTGRIVECSWNKEEQCWVCMRVRSDKSTPNDINTYRKVMRSITDNITQEKLLHDIDEITQLPMYADRIARDQKMLQAWMVDFVGRLQ >Et_7A_051902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:26228310:26229527:1 gene:Et_7A_051902 transcript:Et_7A_051902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNLKNLVIAFLVPLPSILFYLSFVRPGADDDAISSWCSAHPLLLANILFFLNVNVLLWLVGLILSNHWLIDLYWTVIPVMLMHYYRGHPASEADTVRSAVVVALTWVWSARLTHNYLRREGWEFGKREDWRFNEMRGQYGKTWWWMSFFAVYLSQQVFLIGICLPMYAIHSSNQPWGIWDVMAAAICIAGIVMAHFADTQLHKFVTRNEKLKKLGEPTVPTLEDGLWQFSRHPNYFGEQLWWWGMYLFAWNLGQGWMFVGPLVNSMCLGYVTVLVERRMLKQEHRAEAYKLYQRRTSVWIPWFRKAIPEPKEKET >Et_7A_051191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15338432:15339942:-1 gene:Et_7A_051191 transcript:Et_7A_051191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAADAADKPHAVLVPFPAQGHVTPMLKLAKILHRRGFHVTFVNSEFNHRRLLRSRGAGALDGLPGFRFAAIPDGLPQSDADATQDVPSLCRSTMETCLPHFRTLLADLNAKADGVPPVTCVVADDCMCFAVDAARDIGVPCALFWTASACGYMGYRHYRTLIGKGIFPFKEEQLTNGFLDTPVDWAPGLSKHTRLKDFPSFIRSTDPDEYMVHYALNVTERIADADAVILNTFDELEQESLDEMRAIIPTSASIHTVGPLALLADEIVPPGGALDALGSNLWKEDASCFAWLDGRAPGSVVFVNYGSITVMTNAELVEFAWGLANSGHDFLWIVRPDLVHGDAAVLPPEFVQAVQGRGLLASWCPQEAVLRHEAVGVFLTHSGWNSTVESLCAGVPMLCWPFFAEQQTNCRYKCTEEWGVAMEVGHDVRREAVEEKIREAMGGEKGKEMRRRAVEWRETAVRATRPGGR >Et_5A_042489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15207377:15209380:-1 gene:Et_5A_042489 transcript:Et_5A_042489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRLARLAVALLLSSCAAFLGADASVHEYAGERFTEYGNGFVLHGGSEGVYASAAAGAFIRYTIASLACRAEDFGSSFEKVVFRRTPESAAADGNRTATVTAVIFEAGDRDTVGGTDAAGWRALCCTADMARLGRCTEGALALLRAPPKGWPKVLAAAFRPGPDGSGLEAAFPDETVAVSRTGMYTLLFVHCDASLAGELVAAAEGSKTIWKNSRAGHLPGRMAPLLPFYGALSLAFASLAAYWFAQYARHWRDVAPLQSLATLVIALGMTEAATWYFDLAELGESGFRPRGAASRVLALLVANGHGVVRPVMRAGCLSGARVAGLGAAFFVAAEALEVAENVGAVRDHSPASPARRLFLVLPVATLNAMFVYWIFSSLSKTLSKLKARRMTAKLEMYRKFTNALIIGVALSLGWITFEPRPYVVQALLIHVSNLTICVYAYSDEEGEECDLEDTRPLIRPRPLSYVDSWAISVSQDDTKVILRTDSGGVYAVKAGGDGDKRV >Et_2B_019195.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:16836211:16836435:-1 gene:Et_2B_019195 transcript:Et_2B_019195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSTSRIRQWIIAKRNLSMNSLWLSVEQKKNLPRFRMACFSVILFYLFFLIALPNLLYYHLNFSIFFNTHNT >Et_2A_015472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13435890:13442002:-1 gene:Et_2A_015472 transcript:Et_2A_015472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSAPSKGQGAREKAKQMKKMEPPEGGGSKKPKGAAAAPAVETAGDDVLGEILLRLPDTASLARAALACKRWRRVASDPALLRRFHSLHEPPLLGFLMMGPPPRPEPAGYKGPDHRFLPASSRNPHIAAAAKAAIYYHFEDFAARNPRSGALIDRWVLRGCDGGGLLLSYGDFHREELAVYDPIARTAEFLPRPDDHDIQFDFMTFVSRVHHALVTSQADASFRVFVAQFMGGRIYGAVFSLRTGEWALLPPLRASDPWNMRAGMRAGRFVYWKSNICLKRYFDPNPIEQTAVLDTTTMEWRLIEVPFPSKESYCVADIAEHGGLCLVASKEQCLQLWVPGNDGWVIKKKVFLLKEFASLKLLRRDEWMKRVRVLEVKDACLWSIRKPNSYLLVLNWQTMKLRVLANDTEEKYRGPAFPFFMTWAPPMLSPAEWMLIPDSPSMHTAAAAARYDPIFSDEWGLRLRYARPHPSLPMLVAMGAILCPSSSALT >Et_6A_046698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19618344:19627910:1 gene:Et_6A_046698 transcript:Et_6A_046698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRDKNGAAAKPSGAAGDKKKPVLGIPEKKPAPSMAPCNQDPSARRKTSKYFASKTEKDSDVEMSDVVTGKSTEKTTPTRKNQRNSKELRDDIITLPSMNKDEDDDNDDFVAPSSKRKTPVKPPPSKKSKVESNVEVPGKTAVIDEAEEEDKMDEDVKTPSKAAGRGRGRGGRGAGAAPAGRGRGGGGRGFMNFGERKDPPHKGEKEVPEGAPDCLSGLTFVISGTLDSLEREEATDLVKRYGGRVTGSISKKTSYLLADEDIGGVKSNKAKDLGVPFLTEDGLFDLIRKSKPAKASVEHQRSNNSEKLQKTQTKSSPAKVNASDSRGKADSKIEKGVGGSTSNSVKELISNATLNYGDNRSKHPKAVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLLLNFRKPTKQQMGKRLMEIAKREGIQAQENAMEELAERVHGDIRMALNHLQYMSLSQSVVKYDDIRQRLNSSAKDEDISPFTAVDKLFGFNGGRLRFDERLDLGMSDPDLVPLIIQENYINYRPNTLGKDESGVKRMNALARAAESIADGDIVNVQIRRYRQWQLSQAACFASSIVPAALMHGNREILEAGERNFNRFGGWLGKYSTTNKNKRLLEDVHSHILSSQQANLDREALRLDYLTHLLRQLTEPLKTMPKEDFDTIVEVSKFKGHPNPMDGIQPAVKSALTKAYKQGSSSRVVRTSDLINIPGMKKPLKKRVAAILEPVEESLPGENGVASDEPDAESSDAENNDDEILPGASKPKLDLQSDSKKGIQVQLDLKGNENGSSAKKAPAGRPRASGSGGKAVGGSGGKRKR >Et_1A_007300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33123627:33126821:-1 gene:Et_1A_007300 transcript:Et_1A_007300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTAKTGRSRDRSAAAAVLPEELILWEILIRLPAKALLRCRAVCRAWRRLTSAADFLLAHHRHQPSLPLVSFRGEASTEYGGFVDAVLDALDLWQNPTERQPVLRFIDYNHRRRYAVHCSCDGLILLSLSNGRFYLCNPATRQWMALPRLNGASVAGMYSHSSSGEYRVLYCKGAKSADDAVYYVLTVGSPAEPRCIGQPVASPSVKKFIGGGLRFVNKCPPVLLRNSLHWFRYGQPENVIVVFDTVGESFRLMRYPTDAAEASPYLLEMDGTMGISWCKYQIECPVTKMSSLVEKPVFRGMIVSENGYALVHCFNYVRSFHMFHCDSKGKVLQKFHWDQVISMETGLWFKENLFRHAFFERETGRRRGRKPRDGDLHLTEANVERAITSAIFLGLENYLILFSSFFLVQSLTTSTKKTCSVVHG >Et_3B_031334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26005469:26006089:1 gene:Et_3B_031334 transcript:Et_3B_031334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQGGGGSSDYDAVARAFVEYYYHTFDTSRAALAALYGATSMLSFEGQRFAGVEEIGRKLAELPFEQCRHSVSTVDCQPSPSFPGSILVFVSGNLQLAGEEHQLRFSQMFQLVPTEQGSFFVQNDIFRLNYG >Et_2A_017622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4201497:4202315:1 gene:Et_2A_017622 transcript:Et_2A_017622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFSAHNLSSNRLPIEVFSTENWIVLKGFSYPAPQHKRLQFFELYQENLHLKENEKTMQVIDFEDIVVSCNSRGEQVSTAGTINIFSTVNGKAIVEVSPCDNLDLAAARQKIRQCSTHEALKNITALFYDDDHHRIYTENSCSFIHVPLS >Et_1A_008031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40250148:40251816:1 gene:Et_1A_008031 transcript:Et_1A_008031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAACGYCGVQRALLYCAQHAARLCLPCDVTVHAGEPAHERAPLCDGCHAAPAAARCRDHLAALCPPCAASARCVAEQHSQRPALTYTGFPEPDDLARILSASGGGTSPPLIPPADTWVPDLVNIELASTSTWDDTTGNNMATSELPPDRFVKRDDADNNFKDEITDQTAVVASTGGLLMADDDELLMQQDWPNLDDGLLDDFDFAAQAGSSSVVNPIGHMEGAFEASSSLGYDHPSLLSSCSETILPSDAVLQSLTSNNAACQFSSVSANTIGTNVIASELPHHVTFDMPPVLPSDEFPGSGLFSAAMPPAPPPPSASMGYQDQEPSEKAGQDMEARMKQREKRQQAKQRYNEKKKNRRFGKQIMYESRKARADTRNRVKGRFAKSSNNSDQI >Et_3A_026005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34552008:34554955:1 gene:Et_3A_026005 transcript:Et_3A_026005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISGEIPGEGSDGEEEVFINEEGIIQEVTFDDEDLPDRDEDDDDEEEVGGDGLVDLEENDDSTYVFRDEVFAVACSPTDASLVASGGKDDKGFLWRIGSADGALELTGHRDTVGTIAFSSDGNLLACGSFDGQINVWTTASQTLQATLEGSGSGFEWLKWHPRGHLIIAGSEDCNVWMWNADHNAILNTFVGHSSTVTCGDFTPDGKLICTGSDDASLRIWDPKTAQSRHVIRGHGYHTDGLTCLSVTLDSQTIVSGSKDNSVHIVNVNSGQVVGSLIGHTNSVECIGTSPSYTWVATGSMDQKLIIWDLARQSSRCTCEHDEGVTSLAWLGSSRYVASGCIDGKVRIWDSLSGDCARTFSGHADIVQSLAVSADGNSIISVSSDGSARVFDISMFM >Et_9B_064251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13326148:13329161:1 gene:Et_9B_064251 transcript:Et_9B_064251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEMDGNNLLHFLDTPSAHYRRTCDEFDGEHAEDDHWDASDPANAREMLEYLLNQPANKLCADCGTPDPKWVALPFGAFICIKCSGTHRSLGVHISKVISVNLDEWTDEEVNCLAESGGNSVVNTKYEAFLPENKKPKQDCSTEERNDFIRRKYQFQQFVCDPQFSCPLPLNSKRAADKHHQQQTGSRHGFGHAFRNSWRKKDSSDHKGVKKMMDVGMVEFVGLIKVNIVKGTDLAVRDVMSSDPYVMINLGHQSMKTKVIKNTLNPIWNERLMLSIPDPVPPLKLQVFDKDTFSSDDRMGEADVDIRPLISATKEHENSTVSNPMELYRWSASDDSNGVLAKDSVISIADGKVKQEITLKLQNVERGEIEIEIECVPLSQ >Et_10A_000464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10726443:10732212:1 gene:Et_10A_000464 transcript:Et_10A_000464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAAAPAGAGDGGGGVGGGGVGAGKDALFVELWKACAGPLSSVPPLGEKVYYFPQGHIEQVEASTNHVAEQQGTPLYNLPWKIPCKLMNIELKAEQDTDEVYAQLTLLPDKKQEEIASTTEGNEEEEVAVPDAPPATNERIHIHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQHPPNQELVAKDLHGVEWRFRHIFRAEFVVSRDRYYESLKQNYSIGMRFKMRFEGEEAAEQRFTGTIVGIGASDPSGWADSKWRSLKVRWDEASSVPRPERVSPWQIEPAVSPPPVNPHSAVRIKRSRPNANASSSDLPTVTNREAVPDSQQTFIPRALHSQGITPLTSRFGDSSDLKTAQDLTMWSSGINQDRNCIATQTKLSLEGWMQTRRPEGYNQMLSGFQPVKDAHNSFYFSCPISGNRSNTWDTTNARYPVQQANRNMLPGTCSLMPDNNTVLGMNQHRRGLDQNLGWCGNIDSSSSPTLIKPRPLVIDNDVQKTKGPSFKLFGIPLDSPAKPELLLSPPSPCQEAIQNIQNKVQNCSTRSCKKVHKQGNALGRSVDLTKFTCYDEFVAELDQMFDFDGELSSPRKNWLVVYTDNDGDMMLVGDDPWNEFCNIVHKIFIYTREEIERMNPGALNSKSEDSLPNSLGRGASKELQGGPSTSSLDSENC >Et_4B_038637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3568540:3572094:-1 gene:Et_4B_038637 transcript:Et_4B_038637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAVGRRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLSVRHNPSIRIHEMKSVQLTGISKISGALALLLKAAIQFAMLVWFLCFKIPRPDVFVVQNPPSVPTLAAVKLASWLRGAKFIVDWHNFGYTLLGMSHGRSHIIVKIYFWFEKYFGKMADGAFCVTKAMQHELAQNWGIRATVLYDQSPDFFHPASLMERHGLFSRLGDSICNAMGNADCISVEKEAEDVNTTVFTNKIDGEIFLKPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVAATLGEEDSMDEGQLWTDIKNGKKFVYPRLLFIITGKGPDRKKYEERIKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKMLFKGFPEECTALKSLKDGALSTGSSSKWSTEWETYALPVVNQVIG >Et_5B_043631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13139343:13144942:-1 gene:Et_5B_043631 transcript:Et_5B_043631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAVVTLNVGGELFQTTAGTLARAGASSPLSTLSPSTPTDPHFLDRDPRHFALLLSFLRRGRLPSPPSAGLLAEARHFGLEGALQASLSPASAFAPLSLRPSALLPLTGRVAPSAVAVCPSPHPASVVAAHGGIVTCFDAALASRRSVLTPLPAVDSLVAVSLDLAVAGAHDFPGVHLCRFSDAPAAPEVLSWPGSPSANVLSMAATAASEAVPLPWLFTSFESARRNSSAVVAFDLISMSPVAEIGRKEVFGADIEAAIPATKLGWLGGHNLLFAAGSHSGPAGVVGDIRLWDVRASSTVPVWEVREKEDCFADVAASDKLSALFKVSAASGEVFTADLRRLGNNGAIELDPWVCIGDGQRAAAAASSRRKEGNGCRIECYCNWVFVARGADVEVWSQVKLAPEAGGKKVMRRNWVGSGPSTEMGGSEEGIKEKNKIVSWGFGGSRMALARAEKQSIDVWDSAPEAICNLSLNAWKSSFKLEMEKVLLRAKPSLKKAVDNWMSNYLEKKSLRGYASCLPIAKIVTREDDKLCYVDTSIDLA >Et_4B_039649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25015054:25016472:-1 gene:Et_4B_039649 transcript:Et_4B_039649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALYAPAAAAAPHATPTPLTSSRKHPIAMCHSPKLGGGNQRARLATSASPQARAPAPSTAAEQAAAGPAPVNVDYLAAEFAGHGVSFEPVGGSCAVKMALSNGSAAHVLLPSGLVTSYKPAMWHGTVTEVLHTNVGEGPRGRAVIRGGVHVDLRCAAGAGGGGWSPSGKWSLRDVRGNPTTSIEIELAAAAPGNAVEARCVVTLLPEALATALSVTNSAASASPVALSCGVSNHLRVSTPDATYALGLQGSDYRSVEPALSEFSIIPPDYRAAPRESPAGAALHRWANKGFDMVLSPGGDRGRGISADEPDGEEDDDYKHMTDEMCRIYSQAPREFTIIDRVRSGRTFRKHLTRNAEDTKSDANSCAKLQGRRNSVCLQRKGFEELYVFSPGSQYQWYGQYAFVVVGPAMLQPVVLRPGQTWQGGQYLRNPNL >Et_3B_031063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13258732:13258988:1 gene:Et_3B_031063 transcript:Et_3B_031063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYTEEDLYSKSELLLSSNPVHGTVPVLIHTGKRTMAQCRNTKRTMSKSKGNHCVEDSVLGSSVLNNNAGSAA >Et_6A_047804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17018793:17019813:-1 gene:Et_6A_047804 transcript:Et_6A_047804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEETSVIPPKYLQTLKISGLVLPSWVEKLSELTKITLHKTFLTGGDIKILGRLTSLRYLRLWQESICEKTLAFCKDEFQNLMFLVIECSDITIVNFGKKSTPELKKIVWNSTGSQTLNGMEKLPSLKEVKLKGNFDLERVKQAVAANTNGPILNPDINSEI >Et_2A_017451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34427887:34433743:-1 gene:Et_2A_017451 transcript:Et_2A_017451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEVTGSGSGIGRKMAPGEVELKEKSGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQMHANHARRQEEVQREFAQEQEFFRQTALFSKKDKEKMEVMKAVSFMYVRPPGYNAESAKAAEIEDEKKRSDVGDIDQGAAAANTSSVPDKGVEKTQTVADKKHRPKDVFGRPLPTEQEFEVLKNAPRMETGAPARVKPFGVEVRNVRCLRCGNYGHQSGDRECPLKDVIMPNEESRLKRDDPLTAIMAQTDSSEPLKWELKQRPGMSPPRGGFDPEDPNQQIVAEDIFDEYGGFLGDCDIPALISNFSASKSKKSSKSKSRHKQVEAVHEESRRHQSSSHLSSDSEDEKSYRKSKGKRKKKHSSDSSYSDAELEGRKGKHKSKYKKKHRSESSSDSEVAVSKGTRRHLKREHRKKKIEESEASESSFSEDKGGTESKRHSRRSRERRQYSDSSSFEGERHSARQKEKHNYADSSSARHNRHSRRLRQKRHASDSGSSDTNRHSRSMEKQHHTDLRSHEIDKYSKRSSGNRDYNESSRYESSRHSRGSREKLHDSDSKYKQTSQSQRLVFSILILPSKETTGPGQSSSSRAEPNRSKMLSSSTSTLRLHQPTRSPRRHPPSPVSSQQLHSPAAASRRWAATLHPRPHRGVIRALDAAQPFDFESRAAGLLEERQRLKIAIVGFGNFGQFLARTFARQGHTLLAHSRTDHSALAATLGASFFTDPHDLCECHPDVVLLATSILSAEAVLRTLPVHRLRRNTLFVDVLSVKEFPKNLLLTSLPPDFDVICTHPMFGPESARNGWDGLPFVFDKVRVGDCPARRARAEAFLNIFEREGCRMVEMSCAEHDAHAAETQFLTHTVGRMLATLELRSTPINTKGYETLLRLVDNTCSDSFDLYNGLFMYNKNSTELLNRLEWAMDSVKKRLFDGLHDVLRKQLFEGSAQAPDTSNVRK >Et_3A_025642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31499887:31504469:1 gene:Et_3A_025642 transcript:Et_3A_025642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAEAAKPMRHPSTPRPLFSAALLVALCLATSNLPSASSQTDSCSTGLSLGSLVPFNTTGLACFQAWPSQDFILRFGKEEASNVWSFVLSAPDSSGYISIGFSPTGRMVGSSAVAGWVTSAGAGSARQYYLGGTSSRSCPPDQGKLALARGAAAPTIVSKGSRLYLAFQLAGQPLTDVVYAVGPSGSLPGSNGLLPQHQDMASGTISLSGSSSSGGSPATGGGGDDDDGGGEGGERKGKKKRSGEDGSDGGDDGDEGKGEKQQHASASSSGTSGGGSLSAKRRHGVLALVSWGALIPIGVALARFLKSHDPLWFYAHVVAQGLGSVLGVAAIVAGFKLDDDEGPVAAHKAVGVVVLVGACLQLLALLARPAKETKARRYWNWYHHNVGRAAVVLGVANIFYGLSLASERQEWSYVYGVVVGLFAVACLPAFNLACQPASEEPSSNATQQAEEHRVIDTHREMKPRSPCSCSSNGALLLLLLCCLCASMARSQTTDSCGSSTSLAAVSRLIPFDTSNLTCFDAWTSQGFIIRVLSVSANRFVAHHVLPVWNTIEQYGKSAQGTWSFVLSAPDAGGYVSVGFSPDGAMVGSSAVAGWTTGGGVGVAKQYKLGGTSASRCPPDQGSLALVPGTTLLVAQSSRLYLAFQFTAAQPTPYLIYAVGPSGAQLSGNYLVQHKSYASAAVNYATGVASSAGGSSAADTKKWHGALAGLGWGVLMPVGIVLARYFKRHDPFWFYAHISVQGVGFVLGVAGAVAGFKLDDDVPGADRHQAIGITVLVFGCLQVLAFLARPGKASKVRRYWNWYHHYVGRAAVACAVANVFVGLSVAHEGAARSVFYGIFLAVWVVASVVLEIRLWTIA >Et_1A_007097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30883549:30884753:-1 gene:Et_1A_007097 transcript:Et_1A_007097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLFLSDGGLGAALARASIDQGPRVCACWKRTVVQDEGEPDESLAQLPIPTQAAVSERRKEISQNSAAAVVLDDTFPTRRRQRSQSPTPMAHFTTLLSAPMADLPQSWADLPDAPLHEIVRRFPCLADRDRMAEVCRGWRSAVPRCPPPTPPAPLPWLLLPSAGGEPTRIYCVSDGCRPFHRISALHGARIFGSFSGGWIFAAVHQTCGHALINLRTSHPVQSTLPVPDLLRATRGD >Et_3B_028943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20707686:20710289:-1 gene:Et_3B_028943 transcript:Et_3B_028943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPSANGVNWISAVKSGFLSGRCSGDAEARRFQATAFLQLPALLHPVRETREKQVQLWKDLILEYCRSQKIHVISLEEDFPLFSNPKIERSLSHEAKEVFLAALVSEGRAEWMDKGHKKCLILWLRIQDWANFIFNFVKDNGLEVMTIEEIRSGIDTRGTELEGIDRGVLMRALRLLEQKGKAAIFKGTSADDEGVKRCQQFRCVPHGAAWAH >Et_7B_055033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6668591:6672013:1 gene:Et_7B_055033 transcript:Et_7B_055033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKERSSVRLLFGLLLLWFNWCSAADGGGAEEGAGAFPVVVSTWPFREAVRAAWEVVSAANGGGSAMDAVVAGCSACEVLRCDGSVGPGGSPDENGETTLDALIMNGATMEIGAVAAMRYVKDGIKAAKLVMEHSEHTLLVGEKATSFAISMGLPGPTNLSSPESIEKWTNWRQNHCQPNFWKNVAPAGSCGPYHPINSGSVESEGYVKYVVQDSQGGVCQESFQNDDLLEPMNSHLKVVDRHNHDTISMTVIDKMGHIVVGTSTNGATFKIPGRVGDGPIPGSSSYADDEVGACGATGDGDVMMRFLPCYQVVESMRRGMEPLDAATDAISRIARKYPDFVGALFAINKKGVHAGACHGWTFQYSVRNSSMHDVEVITVYP >Et_2A_017986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8777730:8778427:1 gene:Et_2A_017986 transcript:Et_2A_017986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DNMNITTCSIKTLKGLYDISGVEVGQHFYWQIGDFQIHSQVLITSWVVITILLGLVIIVVRNPQTIPTDGQNFFKYVLEFIRDLSKTQTGEEYGPWVPFIGTMFLFIFVSNWSGALLPWKIIELPHEELVTPTNDINTIVALALLTSAAYFYAGLSYFEKYIKPTPILLRVNILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPISVIFLGLFTCGIHRC >Et_3B_029750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27656771:27658079:1 gene:Et_3B_029750 transcript:Et_3B_029750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDLVDDEGDQTLDLCSRKVTMVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLMVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Et_9A_062396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22472619:22477630:-1 gene:Et_9A_062396 transcript:Et_9A_062396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAATAALAPGELDAMLRAAADFASYPGNASSSLTTVFLAAQVAVGEGMHGEEAVKQFLEQFPLPKLIGALQSESDVPGVEETVTECLDKVFSSRYGASLLPGYGAFIQAGLVTYSKNIRKLACKAVCNLLNKAEDSSAAVETVVQHNLYPLLVDCLIEGDEQTSAIVLDAIKRLAEIPKGSESIFPSDGQRPVQFDKLAAQSSSMARIRILSLIAKLFTVSSYTATAIRDSNLLSLFEDEIRDRKDMLKTLSALEVLYELVEHPHSNIFLLKTNLLQLIIDVINDSSADSIIRSRAALISGRLLSSADAFTVIDHSCVTNLLLAIDKILKMEESYNSDEIESALETLGLIGTTTQGAHFLFTSSNVVRHVIESSFGRQGRGRQLAALHAFGSICGVDRQEDQMKLDGQAEECLKRLVYTTAANSPKLRPSALLLSILQQDPDIRIAGYRVISGLVVRDWCLREVCSNSEIIKIVTDPTVETTKLGMEARYNCCVAINKALSSSHLLHEASLAELIGKLNDAVRRGPYLSERKRKEAMPVVDTAERF >Et_10A_000394.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:8239130:8239348:-1 gene:Et_10A_000394 transcript:Et_10A_000394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVISVRDKNSFGCSETTKPPRRTAPDHVTINHHTQPLDEDQQVPHEHRIPVDDHYIIPARVVIGLLAVSHY >Et_4B_036708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10858296:10861951:-1 gene:Et_4B_036708 transcript:Et_4B_036708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAAAAVSSSSPLLSPSSSRPVLRRHAPPTSVSFQTRRRSPAVASAAADETSGTPLLEVRGLTASVKETGQQILAGVNLTIGLGEIHAIMGKNGSGKSTLTKVLVGHPHYEVTGGTILFKGEDLLEMEPEERSLAGLFMSFQAPIEIPGVSNFDFLLMAVNARREKSGLPALGPLEFYSVVSPKVDALKMDPKFLDRNVNEGFSGGERKRNEILQLSVIGADLALLDEIDSGLDVDALEDVANAVNGLLTPQNSVLMITHYQRLLDLIKPSYVHIMENGKIIKTGDSSLATSISEGGFKSIALL >Et_4A_032841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14537954:14538922:-1 gene:Et_4A_032841 transcript:Et_4A_032841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GMQLKRHIDATLGSGNLREAVRLPIGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPATCPTMSAGPKYEYRWADGVKIKRPIEVSAPKYVEYLMDWIEAQLDDECIFPQKLGTPFPPNFRDVVKTIFKRLFRVYAHIYHSHFQMIVKLKEEAHLNTCFKHFTMFTLVILYLQKFDTCQNFLVAFIN >Et_3A_023850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13414890:13419751:-1 gene:Et_3A_023850 transcript:Et_3A_023850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKDSSSSSAGGTVGGKKDKPMSVSAMLASMDAPPAKGKSSKAAAPSKAKGKPSKAPASSYLADVDLPPSDDEEDEAELAAAAAAKPKHARATVDLNAVAGPSQKDAKKKDKREAMAAAAAEAARKEALRDDRDAFTVVIGARVPGSVAGGADGDGAVDDNVRDIVLDNFSVSARGKELLKNASLKISHGRRYGLVGPNGMGKSTLLKLLAWRQVPVPRNIDVLLVEQEIVGDDRSALEAVVAADEELTALRAEQAELEASNNPDDNDRLAEVYEKLNLRDSDAARARASKILAGLGFDQAMQARSTKSFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEEYLCSQWKKTLIVVSHDRDFLNTVCNEIIHLHDKSLHVYRGNFDDFESGYEQKRKEMNRKFEVYEKQMKAARKTGSKAAQDKVKGHALSKAAKEAAKSKGKGKNTADDDDDQKQVAVPQKWRDYSVEFHFPEPTELTPPLLQLIEVGFSYRNRPDFKLSGVDVGIDMRDRVAIVGPNGAGKSTLLNLLAGDLTPTEGEVRRSQKLRIGRYSQHFVDLLTMEENAVQYLLRLHPDQEGMSKAEAVRAKLGKFGLPGHNHLTPIVKLSGGQKARVVFTSISMSHPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCEDEQRSEIWVVEDGTVNKYDGTFEDYKDELMEEIKKEITPTIRKARVLLTFDTFTNPV >Et_1A_004834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10803318:10804418:1 gene:Et_1A_004834 transcript:Et_1A_004834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPRARNFQARERRSASCDVSSCCLKKKGTGKETDMFLTTEKKEWKGATCPVCLEHPHDAVLLLCTSHHKGCRAYMCGTDYHHSNCLEHFKEAYAKEKLALDSSANTELASKQPCPMELACPLCRGEVKGWTVVEPARRYLNRKRRTCIHDGCSFIGSYKELCKHVKSKHPSAKPREVDPAVADEWKKFECEREREDAISTIRSMNPGAVIMGDYVIELNGGNNRANNRLHADGDDFDLEERLNFFTSLDRTLNERIDLYDSSDDSLGEGFDFLASLFARGRRIATGDTYNRAHRRQRERPRRHYSANSIDASDIQHDSVSTQRGQRTGTVRAVGRTSRRHRPVVTHIRTTRGD >Et_8A_057460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3266050:3271884:1 gene:Et_8A_057460 transcript:Et_8A_057460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDGGADAWGGGEDGGSLFEGMVLFAPGPVAAEEPAPAPVPDPEPPAARPDADAASSQTPTAPPPFDEDLFSDLTLLTPQEPSPLEQQLPPGEDRAFPAPAAALSSPTPAPSSTAPASSAPGAALSRQPSSASLRKKKRAVRIGYGRSPQPAPPAPLPTTVIPATVAVATISASSSTLTDASPHPAAPHTPDQYPVEQVDVYGDSNELDTVKVLDPVDNSLDVKEEAKKEDDEKLDDGGGVVEAGIQERLAILRSQISGKLEAIQQRAAAVVAKRRQLAARRRKVSEDAGSAASRHKDLERELEEACEAEDFERAERISDSMAALEKEKDRLLMALRDAEVDYDSVDSELQDVLESRVAAEEEAAALLEQFAKDTTDHADSEIKQAQEMSLKEMEGWQTKMELLETRKLEMQVQTQLVLAARSGLEGSIEHLVEDDQKEKDMLNKKGEILAQELARLLELVRLKEAEIAENNARIHEVQERISAVASGFHDSQSDIDLKLNSLQEAETKVLLETEALALKKNEIDEFISLTEQKDSELREIISACSSEAKACQQAVEIRRKLASSILKSREDRIGLLKMEEEILQDIQTLRQQITDARTSLQEISSRRAGTQQEMASFKQKLSFIEKRGPELEAEKKVAAAARNFKEAGRIAAEAKALSSEKEELHAKLEKSATDLEIVEKDIIAITDRIQECEGLIVLKEKESALTSYKRLRLDCAAARAELTAATEMDDIEEVEILRKEAEAAESKAMELKTCYDLQVEDDEFMFEPVVPIAFITNSTGQHLEHLQSARTANMAKGKVCVTGASGFIASWLVKRLLESGYHVLGTVRDPGNHKKVGHLWDLEGAKERLELVRADLLEEGSFDDAVMACEGVFHTASPILTKSDSKEQMLNSAINGTLNVLRSCKKNPLLKKVVLTSSSSTVRIKADADLPPNASLDETSWSSVEFCESLQIWYAVAKILAEKAAWEFAKENKMDLVTVLPTFVIGSNLASELSPTASDVLGLFQGATEKFTMYGRMGYVHIDDVASCHILAYETPGAEGRYICNSAVVNNNDLVALLTARFPSFPIPKSFPNIYGEQTYNFNSSKARALGVEFKGVEEMFDDAVDSLRGHGYLPKSEISPRICI >Et_4B_040097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9590812:9596538:1 gene:Et_4B_040097 transcript:Et_4B_040097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKIITLNLKNEKQQMRTYMTKEVNLGETNREIVDGTQGVLMRRAASNVTESNLTRRGVKEVTKIVPVANNIWHATIIPYSSHRDGTIYKEKLYWEREFSVDVTDRNETRVDPMRYSVSTDSNPDPDFHWPCKMVQIFSLKLAKILVNSGSVQLYGYIAARDDVDSMLNYVFHRTRDDPIIVNQDALIEMTGPKRGIALISNVLFEFDMRIKNGEQEQDDIQLIDGVTEFHEIRMPWTPFTVRINGEYGAIDMSLANVYNGVEATVEVVVTDVQNGFNLSLSSVVSMLEVSKESQLFSGTIGRPCGLRRFVMAVLLDTVLHLKFKVDQKGSNIAEYCCSFEAKLHGSASLEIKHDLASILVKVTWSRLIE >Et_7A_051542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20020897:20025973:1 gene:Et_7A_051542 transcript:Et_7A_051542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLWSWNAGPDGNAGWVKDRVIDLSTLVPISICLYKVIGFAEGANTIAVSTLVGVFFTINLKSGHARICRDVYYDDAICPFISFYTPDYLNPSHRCHVAVTAPDLSRGAVAAPDLNRGADAMQYHAGSQPRRRRHHGPRCRCHHGRYLRGRARDMSEQVERGREASRATVSAIDLAAASHALLCPRPAAS >Et_1A_008656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9509285:9510493:1 gene:Et_1A_008656 transcript:Et_1A_008656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTGCHAGLMVATPNLFLPPSLLRSVGEPFVALVPPLVPTPASLVAPLPRGVATVAHRVRERESLSSARRAGPETFPVPKSICVARRAAPVQKRVQSTAPARRPDVDFSGPKRMKVTHHATNVEMVVLAAAVKTPVKNARDSLNKRLAAELDALRELVKKAESLSRDNKQFVAAEPRMEEACPAKRTKTSSRLVEQVDAPPTSPAEGKIEIVDPDEEEIDICGGVSPVAVIRDTSPLVPVDEARSSEEVEGPAPAALPPHGNATPEPASETVQSTERSEPGSDSYESVNSPAPPSAVLPTKIDSRAQAPKPAPVIATNVQGSQPISVLLARAREAYEIRQQKGNGWEREKVRREVREMEKAVLPHETIHPQDLKELGIAEFGYVLDQLGVFLRPDV >Et_4A_034291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31423994:31425171:-1 gene:Et_4A_034291 transcript:Et_4A_034291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRPIVLIFLLLVLIITSQVEWKQQIGDTDANPTATRRRQQSLEREDAVKEKIILAQEKNIQQLNELIQSLQLQLLHCRGSNSTAHTTSSQSTSGKGEGHGMIDDR >Et_9A_062264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21244912:21246124:-1 gene:Et_9A_062264 transcript:Et_9A_062264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNDWDLQAVVRSCGTAACSSSSWAEPPPAPQHKEVMARTHQQTNVVGVPELLGQPAASLRDLDYLNLDHELPRTPFSITPSSERAQDHEVIISFPAASTSGHQPRKQPGRKPSGRTARPKRSKKSQLKKVVCEVPAADGGVTTDLWAWRKYGQKPIKGSPYPRGYYKCSSLKACMARKLVERSPEKPGVLIITYIAEHCHAVPTMLNSLAGTTRHRPDSPDHHQQPSSHSPSIDDASSGAGASKREDSADASSMTVDGGGSAETPAQDDDNDLWPVDMALDDYSLDDLLGPFDDDGADRFLEDGHGQGGLGRRLSL >Et_4A_033945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28198616:28205599:-1 gene:Et_4A_033945 transcript:Et_4A_033945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSRRPLAAAFHLAPLSPPLLLFFASASTSSSSSPCSPAAAWTANGPRACSAVRMDSSVVEQASTGAIWSTPSAEPRSISIGKEIFCNRSLNMRNITAVGFDMDYTLAQYKPETFEALAYHGTIEKLVKDLNYPEELLTWEFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFRELTKEEKVAAYGSTLIRDSFDEPDYALIDTLFSLGEAYLFAQLVDFMDNNPGKVPAGTDYPLMYRDVRSAVDLCHRDGTLKKMVAKDPGRYINEDLAIVPMLEMIRKSGRSTFLVTNSLWDYTDVVMNYLCGPYTSDVGSGLNHKWLDYFDVIITGSSKPGFFHDDNRAGLFEVEPDSGKLLNADIQASNQHSKSVHKVYQGGNVGHLHRLLSIASSSQILYVGDHIYGDILRSKKVLGWRTMLVIPELEHEVKLLSESKSTRKELRHLRMERDSIEDKIHRLEWSLKFDDLTEDQREKLFSEHDNLLEKREHVRRLHQETQRQHHQKFHKVWGQLMKTGFQNSRFAHQVERFACLYSSQVTNFALYSPNKYYRPSEDYMPHEFDVLGL >Et_1B_012377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31285848:31287877:1 gene:Et_1B_012377 transcript:Et_1B_012377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENLHSRKMVQPKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVQRSTTGEPAPAAGRDVRGNGGSSSSAANLSKILSAKLRKCCKAPSPSLTCLRLDPEKSHIGVWQKRAGARADSNWVMTVELNKEAASTDAAAHSTSAATASPATTVDDEERIALQMIEELLSRSSPASPSHGDNEGLILTNVAAPRKNKVKQEEQDANYSLGPKFQSGLTIQQSAAVFHGWSRGDNAMPE >Et_10B_003494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:20080097:20086537:-1 gene:Et_10B_003494 transcript:Et_10B_003494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIPARGREDFIPAGDDMGIGKGIFISRRRGVRLAPFPSMHRVGSAGNTAGSTRPRKEKRFTYVLNDADDKKHCAGINCLAYINGSASGASDYIFSGSRDGTLKRWELNDGDASFSATFESHVDWVNDAIIVGQSLVSCSSDTTLKVWNCLSDGACTRTLRQHSDYVICLAAAEKNNNIVASAGLGGEVFIWDLDAALTPVAKSVDTKEDEVPNGNSGPALSTLCSVSSNIASSTGQSHAYSPITAKGHKDSVYALAMNDTGNLLVSGGTEKVVRVWDPRTGSKNMKLRGHTDNIRALLLDSTGRYCLSGSSDSMIRLWDLGQQRCIHSYAVHTDSIWALASTPSFAHVYSGGRDQSVYLTDLSTRESVLLCTNEHPILQLSLQDDTIWVATTDSSVYGWPAEGRTPQKVFQKGGSFLAGNLSFSRARASLEGSAPVPVYKEPSLVIPGVPAIIQHEIMNNRRHVLTKDTSGSVKLWEITRGAVIEDFGKVSFEDKKKELFEMVSIPAWFTMDARLGCLSVHLDTPQCFSAEIYAMDLNVAGAQEDLKINLAQETLRGLLVHWSKRKQKSSSHSLSNGDSSVGKDVPLKDSPHPRSDVDDGAENHATHVLPSFEFSTVSPPSIITEGSSGGPWRKRITDLDGTEADLPWWCVDCVMHNRYPKENTKCGFYLHPAEGSPAPNITQGKLSAPRILRIHKVANYVVEKLVLDRPLDGGSDSTFATGLTSGQSLLPALDSSSRLGLKAWQKLKPSVEILDEFGHSADICLEEAGRLDSSL >Et_8A_056682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1555813:1565896:1 gene:Et_8A_056682 transcript:Et_8A_056682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDETAADSTSTRRRFEFDGMVFTLTERWPGSSTVAPCAESFFDEDTGTRHHFVDVQETTEAMLLLIYVHERFNEMEARSSTGATTISAAANEMKVAAPAGEGFRVRGHRHAGALGGRAGAQRGHAAAGVRARGPATHRWYTEGGVVSVLGSKRFFDAGKGTSEHFVDVQGRREATHACCCWCPCARTSAASLPSGGSSEVKYWDPDLHVRAVEVKGGDYAVQPRTLRL >Et_9B_064691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17731147:17732802:1 gene:Et_9B_064691 transcript:Et_9B_064691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAGVRTLSAREEEMLGLLAGFPDDGEGGSSRELSFSDLVDAGARPTSAHRHDTAPAAERDDQGSAVSPGKQEAAAREKRQRRQRSGGGGGSRGSCGGGGDGVLLNFYVPGLLTRSMTAPRPGRGALPHGAPGKAAAAAAVSAGPGKARLVAHRRSSRGLIESSFPRSSTASTFHGFTYISCLPWINQKLSCSRTHSYQHLTRAPLGIGCWPALWGRSRDHRSTAKVCS >Et_3B_029830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28380715:28385449:1 gene:Et_3B_029830 transcript:Et_3B_029830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPQSRRGRPGPWPPAQPPQPQAQPLSWARRTGFQSRVSGESLPSAAASAPNSGQVPLPRPVEPPADLESGPPARPTSTLPPPPAAAAAPAAGNVERQRQQPPPPPPQARTRRRESDGGGRPNGQAAAPSLPQLLEEEEAPERPAHVKYELRDTPGVFPLVIYGFQHYVSMLGSIILIPLVMVPAMGGSADDMAAVVSTVLLVTGVTTLLHMFCGTRLPLVQGPSFVYLAPALAIINSPEFFGLNDNNFKHIMKHLQGAIIIGGAFQVVLGYTGLMSLFLRLINPVVVSPTVAAVGLSFFSYGFAKIGTCIEMGILQLLMVVLFALYLRKIKLFGYRVFLIYAVPLGLGITWAVAFVLTATGVYSYKGCDANIPASNNISSFCRQHVLRMKSCRVDTSQALGSSPWFRFPYPLQWGTPVFSWKMGLVMCVVSVIASVDSVGSYHASSLFVATRPPTSGVVSRGIGVEGVSTVLAGLWGTGVGSATVTENVHTIAVTKMGSRRAVGFGAILLVLFSIVGKVGAFIASIPDVMVAALLCFMWAMLCALGLSNLRYSATGSSRNSIIVGLALFLSLSVPSYFQQYGVRPSANSSVPTYFQPYIVASHGPVHTGSGGVNYVLNTLLSLNMVIAFLVALVLDNTVPGGRQERGFYVWSEAEAARRESAVMKDYELPFKIGHAFRWVKCVGL >Et_3A_023866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13739905:13746440:-1 gene:Et_3A_023866 transcript:Et_3A_023866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRARAPGKIILAGEHAVVHGSAAVAGAIDLYTSTSLRLLPAGEGGAADLVELDLKDSGLTFSWPCSCLREVLGQGGGTAEAPRQCSPDELAAMAKLLEEHEIPEAKIWLSAGLSAFLFLYTSILGCKPGKVVVCSDLPMGAGLGSSAAFCVSMSGALLTAAGAVGVGGGSQDQEWEVFEKDDLELFKKGELTNLKSRNPVKMLITDTRVGRNTKALVAGVSERASRHPDAMTSVFNAVNSISEELSSIVELAAEDEIAITSKEEKLAELMEMNQGLLQCMGVSHASIETVLRTTLKYNLVSKLTGAGGGGCVLTLIPTLSSDLILEKVTAELASHGFCCFKVEVDKVSRLAVDNAPLPGDYHLHDKG >Et_3B_029811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28092722:28094845:-1 gene:Et_3B_029811 transcript:Et_3B_029811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEGQQSAIAAATAAAAVPDLERGGGRERAEESADEEEGSQCFSDADDRSWHSHSRQDSALEDSISTCASVGCGADAGSVDAAERGRKSCVSECSLDDVDLEAGLAEITKASPDKAESNCRICHLGLESSAAESGAGIVLGCSCKDDLSRAHKQCAETWFKIRGNKICEICGSTACNVAGFSDAEFIEQWNDSSSNNGAAQIAANEPRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >Et_3B_027978.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:29433276:29433500:1 gene:Et_3B_027978 transcript:Et_3B_027978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAIGIDDFATPFEEHEEQPEDDIEDDDVVEIQKKWMEKRRELQEDEPLVSAWDNVTTDPVMGKDQPRASYWQ >Et_4A_034982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8489385:8490791:1 gene:Et_4A_034982 transcript:Et_4A_034982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRHSKNNNDLAFFTYEEKRKLGYGTQRERLGKDSIKPFDACCLCLKPLIDPLCCPKGHAFCKECIFECLLAQKKDIKRKQAVHEAQKRQEKEEEEEKLMLQKAKELDAFDQQNHGAVPSYHDRSGSQDKNGFHGANSVKVTSFEEEALRNMKAFWLPSATPEATVKVEAPSTDTVCPEGQEKLRLKSLFPISFTEEKSDQKSKKSVEKTYMCPSCKSTLTNTMSLVAISTCGHVFCKKCSDKFLVADKVCLVCSKPFKARNLVPLEKGGTGFAAHDERLEAKDFKHLGSGSGLGLVKPAPKA >Et_7B_055392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9962229:9964269:1 gene:Et_7B_055392 transcript:Et_7B_055392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAAFLPLRPASTRGGARRGAAAAAATITMRDRSKNRKPTQRGRYLSTEAIQAVQSLKRAALRSSPAAAVALEPKLRRLLKADLVAVFRELAAQGEALLALEVFDEIRKEHWYRPRLLWYVDLVTVLASKGLRSEVEKACSYLKREQLEPDADGFNLLLKALLDAGFAHLTMDCFRLMKLWDSDPDRTTYRTLIRGLESLGKVDLSADIRLEAENEYGSIDFLDEVEVEKTCISKAF >Et_6B_048684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12593664:12598761:1 gene:Et_6B_048684 transcript:Et_6B_048684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KWRERAAHLFHNSKRQYKALNVLQQPRVHACCMDLTMSTTPTTSSTSPAPPDGDHGHHQLSPVSSPPPSSSLPPLIPACDPHDGPACLQLIEDLTAHAGAVQRRVLDRILAMNAGTDYLRGFLGDAAAVAAEGGHRSAGELAAAFKARVPVVEYEDVKPYIERIASGAAPSSIISSKPITELLTSSGTSGGQPKLMPSTEEELDRKTFLYNLLVPVMNKYVDGLDEGRGMYLLFVKPETATPSGLVARPVLTSYYKSRHFRERPDSPYTRYTSPNEAILCPDAAPSMYAQLLCGLARRGEVLRVGAVFASAFLRAVKFLEAHWRALCDDLRAGRVDPARVPDEACRRAAAAVVRARPDGPALADAVAAECASGSWRGIVRRLWPRTKYIDVIVTGSMAQYIPLLEFYGGGLPLVSTMYASSESYFGINLRPLDPPEQVAYTLLPNMCYYEFIKVEKDGEEEVREGEVVDLVDVEVGGYYELVVTTFTGLYRYRVGDILQVSGFHNAAPQFRFVQRRNVVLSVDTDKTSEDDLLRAVTAAKRLLTPLGGAILSDRDRSVGPLEIRMVSPGAFDALMDLCVSHGSSVNQYKTPRCIKHPDAIAVLEARVVGRFFSDTVPHWEPMKVVDAAAAAGDGA >Et_3A_025942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34177361:34177998:1 gene:Et_3A_025942 transcript:Et_3A_025942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYYGGRTMYSNTDECYDVGKHGSRRTYSHADERYDDVDRRRPGGYADDCYDGGYRQTAVYSDEYSRGGYGGGEQQYYKREEKEHKRHERIGEVGALAGGAFALYEGRRAQKDPEHKQKHMIEAGLAGAAALGAGGYAFHEHREQKEAHYGGNEHRVPHGYYGN >Et_2A_016431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24703722:24704291:-1 gene:Et_2A_016431 transcript:Et_2A_016431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLEYLSDLLVGSSSRRRYKKRKQFQTVELKVRMDCDGCEMKVRNALSSMKGVQSVEINRKQYKVTVQGFVEPHKVVKRVQATGKKAEIWPYVPYSHVAHPYAAPAYDKKAPPGYVRRVEAIMPVSSYGGAAAPPQEERLVTMFSDDNPNACSIM >Et_3B_030649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5949418:5951718:-1 gene:Et_3B_030649 transcript:Et_3B_030649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYAAARRAAASSVPLLRAAASGTPRGTALLRPLAAAAARPQPRAMPFSSVPATKPTSDAELLSVIDSEIKYAKDCDDHDRVEQIPENFPFKIIDEKGMNAITLTRTYQNEQIEVQVHMPSLVSGEEPDHDKDGEDGHDDAEGDSNQEDEGEKPPQSSIPLTVTVSKGDGPTLEFTCTAYPDEVIIDSLSVTQRSGEIEEDLIAYEGPDFNDLDENLQKAFHKYLELRGISPMTTNFLHEYMINKDSREYLLWLVKLKEFFKQ >Et_3A_025367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29198033:29198938:-1 gene:Et_3A_025367 transcript:Et_3A_025367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HKTMDGAHGKQESETESVIKVAREPAIIINGVPGLPPDGTSDSQPEVKNNAESQVDPRFGEWLEGRKVRKLFGDTYYLGKVVKYDTESNWYSILYDDGDQEDLEWSEVEEVLLPLDITIPLKTLVMDKLQGTDPDSRPKVGRPRKVYATMDASMTKTSNDVPSQGNDVMNNQILMLGVENDQGQHAQACLQAGNQPRKRGRPRKDGNLSASNPPKKRGRPPKNNSASGNSESAGNTSGSLALVPVQDGESSRKQNSTLKRNALTARAEKLKRENMRVQGTPPVTQLF >Et_5B_045302.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:17287969:17288961:1 gene:Et_5B_045302 transcript:Et_5B_045302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKVNLQWIANDTVRRAVFNKRSQMLKKKTSELVKLCGVKACVVIYDENGEHPEVWPSVAVAREMLTSYKDMPDVPQWKMEKTQEEYLKKCIDTLRKQVTRSEEENKDLEAQILFHEALAGRRPGLVGVTSDELTRLSKLVEDKKKKVLKRMQQLEIVPPEQPQPQQQLQQHLPTQPACVFNDMHRQASVQESQQQNMLLVPSPATNFGYGAFAGNSSGEGPSTGNTGLGYYGAFAGSSTGTTSLGYYGAFAGNSSGTGPSTGNTSLGYYNAIAGNSSPSTGNISIGYNSAFAGNSGGAGLSNANIRCDGIDFARPPFNQGVWPPNLE >Et_5B_044122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20243912:20244929:1 gene:Et_5B_044122 transcript:Et_5B_044122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQFGRTSTKVVHVDDLLQSGRHDSCQLVGEAHGQLEDAQVGSRQVQGFHVPCSYDVELLERLGAEHQATHPSQAAETEPAKARAAGVHEVGERAAVFVQRRGEELQVCERVVPCRGRELEPVVV >Et_1A_009374.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4371034:4371270:1 gene:Et_1A_009374 transcript:Et_1A_009374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQQLRDGDGGRQVARVVHSQVRRIKEEEDDKAKVHETYQHQVAEMRLVLRDVARQQRSRSPLGRASRPAISIGGDS >Et_9B_065919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17528116:17528981:-1 gene:Et_9B_065919 transcript:Et_9B_065919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAVSTSSLCGAGNCRGGPRPRAQAHHLACSALLGGPSPSRRTQTVLAAAGKKPGEAEEQVPAWARPGADEPPPWARPGADEPPPWAREGGGGGAQEPGAGQVPFYAYLLASAITAIAAIGSIFEYTNQRPVFGIINADSVLYAPLLGFFVFTGIPTSLNLQMLEGELVCVLDRVFRVIWWHC >Et_10B_003667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4684487:4689262:1 gene:Et_10B_003667 transcript:Et_10B_003667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEQQPSVALVPEASAKERVAAAVEAAASGGWEFRNAYRRQLLALDNVMKKFQDVIAQLEQALCDFPYSKLDISDEVREQVELVHAQLKRAKERVDVPDDEFYNDLLSLYNKSYDPSAEPAILERLSEKLHLMTITDMTQESLALHEMVASGGGQDPGERIEKMSMLLKKIKDFVQTQNPEMGPPIASKLLDSNGEPRPVTIPDEFRCPISLELMKDPVIVATGQTYERACIEKWLASGHHTCPTTQQRMANTTLTPNYVLRSLISQWCEANGIEPPKRSSQPNKPTSACSSSERANIDALLSKLCSPDPEEQRSAAAELRLLAKRNANNRVCIAEAGAIPLLLSLLSSSDLRTQEHAVTALLNLSIHEDNKASIISSGAVPSIVHVLKNGSMEARENAAATLFSLSVIDEYKVTIGGTGAIPALVVLLSEGSQRGKKDAAAALFNLCIYQGNKGRAIRAGLVPLIMGLVTNPTGALMDESMAILSILSSHPEGKAAIGAAEPIPVLVEMIGSGTPRNRENAAAVMLQLCSGDKQLVHLARAQECGIMVPLRELVLNGTERAKRKAVLLLERMSRFLVQQQEEQEAQMLASALALSQAPEQVQEADIPEQLDSPAPLFPVVE >Et_5B_044175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2102926:2105911:-1 gene:Et_5B_044175 transcript:Et_5B_044175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPRTDDDGAEEEEEGGGRALSYGEAEYWDARYVEEGGAPYDWYQRYDALRPFVRRFASPASRLLMIGCGSALMSEDMVNDGYVEIVNIDISSVVIEMMRKKYFDVPQLQYLRMDVRDMSMFSDESFDCAIDKGTLDSLMCGVDAPLSAARMVLEVDRLLRPGGVFILITYGDPPVRVPHLNQPGCNWKIVLYILPRPTFQGKTRRSVLDPVALTERGGLPDGFVLEDPDSHYVYVCEKMQGLTGTSSPNLNHIEKQKGE >Et_1B_009720.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:20671342:20671686:1 gene:Et_1B_009720 transcript:Et_1B_009720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RAARGHERPCLPRRHPRLPRRRGLKLAGNAARDNKKNRMQIPRHVLVAIPNDEELGRLLVGVTIAHGGVLPNINPVLLPKKATERAGAKSPKKVKVATKSPQEVDSPTCRISAD >Et_4B_037456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:255161:257003:-1 gene:Et_4B_037456 transcript:Et_4B_037456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASVSKVVLGSVAFGVFWVLAVFPSVPFMPIGRTAGALLSAVLMIIFHVISPDDAYASVDLPILGLLFATMVVGGYLKNAGMFKHLGTLLAWKSQGGRDLLCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALASSANIGSSATPIGNPQNLVIAFNSKIPFPKFLLGILPAMLAGMAVNMVMLLCMYWKELEGTSPELVADGKQMEEGRVVSATPSPLKTPKLLTDSSSPSMMTENISTKHPWFMQCTEQRRKLFLKSFAYIVTVGMVIAYMVGLNMSWTAITTAIALVVVDFRDAEPCLDKVSYSLLVFFSGMFITVSGFNKTGLPGAIWNFMAPYSKVNSVGGISVLSIIILLLSNLASNVPTVLLMGGEVAAAAALISPAAVIKSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRAPRNAYDLTFWNHLVFGVPSTLIVTAVGIPLIGKINI >Et_9B_065764.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:10632551:10632991:1 gene:Et_9B_065764 transcript:Et_9B_065764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVNSHIDQAHQAAAAVNVNGKPEVELVVGSSERRHSNNAAAVTPVEEEEDEQEEEGKRDDEPPPATTTGGQRRRRPGGAGRARRLAGRLRPASVARACVRWLKHPAHLALAAWALCAAASGSMLGLLLTGALDGAFPRRAARNR >Et_4A_035357.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21195593:21195901:-1 gene:Et_4A_035357 transcript:Et_4A_035357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRKKSSGGESPSRGYSSGAGDEEKVPKGHVPMVAGGEDGDGERVLVPVRLLSDPSIAELLDMAAQQYGYAQPGVLRLPCDAAHFRRVVDSAMHRCGITSA >Et_2B_021865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6214631:6223186:1 gene:Et_2B_021865 transcript:Et_2B_021865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSSGVGDLDDSAVKHELGHRRLEAAEAAVKSWGSRGASDAGDAASASHDLAGAVKELLCLCSDGGSNGQRAKIALQSALGHLEDEFQQVLISGTYFYPPEHLQASLHDSIILPARSFSFSSFPSLEAHSTSSFPITSIDDSGTCCTGFSRGSVSMEKIHLYLIDPEASAMLKEIAELIILAGHAPNLCHVYSETRHNTLMQCLCLLGIQTEPKLHIPAPATAEGGFNMKLECRKVKLWVQGLKIVVRTILPEELHACAQIFGPDNMIEQDCFAGATTRCTQQLLAVGSVVANVKEQQYDKVPLLLQMHEELTKLEPCLQNLFTGNAKDVISQETSLLLDNLREAALRLLLDFRNLQLNCEPDEKSALDGNILSLTQDVIGFIEVLSEYSYSINLILPNEEGEDDTKRTMSPWERYVLRLLYHLQLKVVEKSESFKDECLRNIFLMNNEMYMLEYSSAFALRKSSTDDQIQEQLVLKVDRYAKDYLRAAWSAALFHLKDKGYNKQRISVVKECMKNFNSAFREISRVQTTWKIPNPQLRLHLRIVILEHVLPAYRTYLGRYGHFLDGNVRNARKYIKYSPEDIENHVLDLFEGEPPPAARSCGGSSGKGPQDAAVGPDAGGGSRELAAAVRELIALSSDGGGVYGHRAKVALQTAMAHLEDEFRQVLISGTIFHPPDNLQESLHDNVVLPARSFSFSSFPNLEAQSISSFSTTPGISMEELYLYLIDPEASLLLKEIAELIILAGHAPNLCHVYSEIRQNSLMQSLYLLGVHIEPNGHSPLAATSEGGYNMQIDGRKVKLWIKGLKIIVGTVLPEERQSCAQIFGCDKLVEQDCFTRATTRVTEELLVFGNTIAKLKKYHDEKVPLLLQMHEELAKVQPNLQVLLSGDAKVAVCQETSMLLDKLREASLCLLLEFLKLHFMDFVKLLAKYSDSINLILTLKEEEAAEGGGRERTISPWEQYARKLLSHLQLKIVEKSESYKDECLRYIFLMNNAMYVLEYSRSSVLRMSLRDEYEQLVLRRTFEPHGLHSTALRYLKFKGQQFTCGKGVHNSLKENCKSFNSAFKEIIRVQTTWKVPNPQLRLNLRIVTLQHVLPAYRAYWKMHGCLVDAGRNSGKYIKYTPDDIVNHVLDLFEG >Et_8B_060155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6489803:6493798:-1 gene:Et_8B_060155 transcript:Et_8B_060155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAATTAPDLSLHISLPSGGDAASPSPSSSSAAPGLVGAGVGGRGAGAEAAAGGGDPWRRLNGSTASTELSLSPPPPRQEQGAAPWRLRPSSAANPAPSSSFLPVTVPRLSLDATASAEAARARPINGVPVYGTPAAGSPFLGDYHNQQRHHHPKVGLYNPYHPSSAWPSSLRSSTTAPASASSADTAAAAFLSPSAYHRMLSGTGRLHLHGVLADTLRGAYGGGLAASSRYMMPRLPASRRGMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHMYRTVKSTDKPATSSGPIDGGGSGDDDLPNAEQAQSGGDINRQPFAGHRSASSDGATSQAGGGDVECSSADDSDGCRARSTSSRDQWLPSRACNGDSHRSACVSSTIEDDTEPCRSASLQQISNQEMGNPSLEFTLGRPNWDSAEHD >Et_9A_062401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22488778:22492199:-1 gene:Et_9A_062401 transcript:Et_9A_062401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRKVLLLHNVAKDRNPWPPAILHADALDPGRRVDHVALRRRLKQCRSGELHRQLRDLRRTGAACRPVVAVLEGLKADPGNAGEALVDRRDHGLVRRAGEHRQRRAGVEDDAAVLLDVPHVGGDGERGAGDADGLHRDGVERHGRVEQQRRLGARARRRAAGGGGGGAEGEVAGDVARGEVVDEAVGEAAPEARGRAGREGDVAVAQAEHAVRGREAPAHVGGGAAQHHAAQRVLGAAGHRDGRRVRAHHAARRRRGLLVPVARGFGNVSPFRPSFVRSSQERKGIINIAQWYLLGLAGAEQLAREKGTSSLHVSDEHGMVSHDLESSGRNTFPGGEAPPGPRHLTQVRSLPVSATRRNCCAGVPTWNRARNCPVPLYAPDDSGVENDDDVATSAAARRGPPPRDASLDVMSAWR >Et_9A_061715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15707483:15717044:1 gene:Et_9A_061715 transcript:Et_9A_061715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGTSPIRNVDPEGTPAQTHISSWPCSAPGPRPIQPNPRQSPSPPRLHRLPLPAPPTSPRSPLHRPHARARALPHHSLPMSAASTITRLLLPPRPAAPCRGVRFPSLLFARRGRRLRRAFDASAVAGGSLSGGGGAAREPPRTLFPGGFKRPEIQVPALVLRVGADEALRSGDEVAAAIARGVGIVVLEAGEESGGRAYEAALALKTVVGNRAYLLIAERVDVASAVGASGVVLADQGIPAIVARSMMMKSNADSIYLPLVARIIRSPNSAISATSSEGADFLIVDTGSDDFLSAMAGGVNQHVKIPVFFTLNGPQDRGNYSDITSKLLQSGASGVMEEVELLVDAASRLSEPFLLVIVGEFNSGKSTFINALLGRQYLQEGVVPTTNEISLLSYSEVDSESFERCERHPDGQFMCYLSAPILKEMNLVDTPGTNVILQRQQRLTEEYVPRADLVLFVLSSDRPLTDSEVGFLQYVQQWKKKVVFVLNKLDLYRNSNELEEATAFVKDNARKLLNTEDVTLFPVSSRSALEVKLANSKTGGGKHYGEAMFSDPRWKSSKFCEIENYLLSFLDGSTENGKERVRLKLETPIGIADRLLTSCQRLVKLEYEKAVEDLTSIRDLVSGANNYAVKLETDSRSWQKQISSLIERSKNRAVTLMESTLQLSNIDLIFTYTVKGEKGTSAKATSLVQNDILVPALDDAMSLLHEYSTWLSSSNTREATFYLESFHKRWDTLIAQEEKVLSDPKELINEGEKLTIKALDGFSASAAAKVFEEEIREVAFATFGGLGIAGLSGSLLTSVLSTTLEDLLALALCSAGGFFVISNFPSRRKLAVKKITKAADALSRKVDEAIEKDISESASKLVQFVEAISKPYQDACQQKVDWLQGVQGELSSVERKLQTLKVEIQNLHR >Et_3B_030022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29972287:29976230:-1 gene:Et_3B_030022 transcript:Et_3B_030022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRRATLRRAFAASSSSSASSNPESYRQGICGSTFHCREFSSKAKKKTKSSGTDSGEENMSKKDLALHQAIDQITSAFGKGAIMWLGRSQGHREVPVISTGSFALDMALGTGGLPKGRVIEVFGPEASGKTTLALHVIAEAQKSGGYCAFVDAEHALDPALAESIGVDTNNLLLSQPDCAEQALSLVDTLIRSGSVDVVVVDSVAALVPKTELDGDMGDAHVALQARLMSQALRKLSHSLSLSQTILLFINQIRSKVATFGFGGPTEVTSGGNALKFYASVRLNIKRIGLVKKGEETIGSQVAVKIVKNKHAPPFKTANFELEFGKGISRSSELMEFGLKHKLIKKAGGAYYGFNDLKFCGKEALKSYLNENESVAKELEMTLRQLMETEATIEEAEDDSPSDLPEEIVSPETSSEEEVAAVIEA >Et_3A_023617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:161555:164843:-1 gene:Et_3A_023617 transcript:Et_3A_023617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QLAAAEVGDRPSSELLGRGPKAGKIREAGGTKRRASDSSPSSARAGRRRKEAPPPREMGVDYYKVLGVDRGAGDDELKKAYRKLAMRWHPDKNPTNKKEAEAKFKQISEAYDVLSDPQKRTIYDQLGEEGLKGQPPPGGAGPGASSFYPGGAHSTSFHFNPRSADDIFAEFFGFSGMGGMRAEPGFQRSTFGNDFFGSRFGGEGSTSSVNMQRPLHKPPPVENQLPVSLSDLYKGVTKKMKISRQIIDASGRVSQLEEILTINVKPGWKKGTKITFPEKGNEAPNMTPADIVFIIEEKPHEVFTREGNDLVLTEKISLVEALTGYTARVATLDGRSLSVPINSVIHPSYEEVVLGEGMPIPKDPTKKGNLRIKFNIKFPSRLTSDQKAGIKRLLGS >Et_4A_035626.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31472707:31473966:1 gene:Et_4A_035626 transcript:Et_4A_035626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTSCATEEAEKAYKAAEKCFILGDIDGAIRWASPLSASHRDLGNALAAYEIHAAAALFASRGENWYAVLGMDTLPASAVTQDEVKKRYRRLCLVLHPDKNRSAAADGAFKLIRHAWEELGVPARPDPVFWPGRSARAAAAGRSSSRAASPDPTSEEWWERFGIPRDWWKEEEQWTASADDSSQASSSRWRSWRSRYASRHGPVYCWHCNSGYVEEEDDTQDDEESGVERCHSCGGRWRRPLQSRALEEETTDDQSPGTDADEPPQPQSPIFTDEEEEEVPPRPPSSPIFMDEDEEEEVPPPEEEVPPPPPPEPIFMEEDVVPPTPPPRKAAPPPPPPSQPMFMDEDEEEEAPPTPTPPREAPPRRRNGRYFPCPGQCRRCGARFSSTVSVGTWHVSCTLCHLPAKLHVRNRETATAY >Et_1B_013198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6288413:6290514:-1 gene:Et_1B_013198 transcript:Et_1B_013198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKADTSSKGDGRLKAAGGAGKRKAAASGKPKRPPSAFFVFMSEFRVEYNAKNPGNKSVANVSKAAGEKWRSMSEEEKAPYQEKAGQKKQDYEKSKASFDKKESTSSKKAKTEDEEGTKSEVDDDEGGSDEFSAGIARDFRMSVR >Et_1B_012353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31115665:31118314:1 gene:Et_1B_012353 transcript:Et_1B_012353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVIGHGDSPRNSSPASKLEKKMVEAMQQRAQLGTSVKSFNSVIMKFPKIDESLRNCRTIFQQFDEDSNGEIDQQELKHCFQKLDIESTDEEIKDLFEACDIYEHMGMKFNEFIVFLCLVYLLNDPAVSEARKRMGLGQLEPTFETLVESFVFLDKNKDGYVSKSEMIQAINETSAGERSSGRIGVKRFDPLLEEDNADFSDADTVQEKQSSTAPSNGARS >Et_3B_029569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26057705:26059627:1 gene:Et_3B_029569 transcript:Et_3B_029569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSSAARRCNDGKRLLRGACACCCSSALCGGRRGKEEASTSAPAPTTSAPDHKKKRWRKRKFWRNKKKKARKDGSNGEARRSSEGDLTDLVNNISAKSDVCKNVCAAEEILRSSNQNMPSRALTFRELGAATNGFSENNLLGEGGFGRVYKGVLEDTKEVIAVKQLDRNGFQGNREFLVEVLMLSLLHHPNLVKLLGYCTDSDQRILVYEYMPKGSLEDHLLDLPPNWKPLPWHTRMQIAVGAAKGIEYLHEVANPPVIYRDLKASNILLDGDFNAKLSDFGLAKLGPIGDQSHVSTRVMGTYGYCAPEYAMTGKLTKMSDIYSFGVVLLELITGRRAIDTAMPSEEEVLVHWAAPMLRDKKRFVRLADPLLGRKFPPKGLYQALAVASMCLQEDASSRPVISDVVAALTFLADPKYYPPEGTEAEKAMQHKVELTTVDHDHY >Et_5B_045567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4642263:4644134:1 gene:Et_5B_045567 transcript:Et_5B_045567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIEWLMGLPRRFLNLIMENRVTRIQSLRCIDLERHKFFNTTKPADLSTMDASQNNAQVATAAAALKRMKRIRLPRPASSFAALSSDLQDTGVHCLPVADRRVMCLDKAGHAFLLEADSCCAVTMPRLHKPKSEPISLYIPSTDMEDILRGGPFIDDLDGGVDGSFLVMDRNPKPEEAGCIASAQFEVVLFKRCSLSHVSNSRHTCKLLPPPPYSHDTNSCPEISSYGFTEGISQICVSMEGAGTYRLETASYTWREEGEWTLPFRGKFEYVPELKLWFGFSENGLFAAADLSTMDAQPQLLGTWKELEPPEEWREIQDPQLVNLGSGRFCIARFFRTRTPIAGILGDELGSRNFAVLTGVEVVVPHVYDGDAGCGNGKFSWAVHRVSKKPYNLQPSKVGTDELGFPGTCHRTLTVFLGRWPCFKEPYNLQPSKVGTDEFGFPGTCHRALTGELI >Et_6A_047896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23099870:23102701:1 gene:Et_6A_047896 transcript:Et_6A_047896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCSSNARSSGDKYNDEGPDAFDLFKECHYSKKKKGYTPSLQLVIVWRFTSRGGDGNKIQRSLWMEHLCYSGRGRKL >Et_8B_058804.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:6884165:6884404:-1 gene:Et_8B_058804 transcript:Et_8B_058804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMLRDTVCSECLHVFLFLLLIICYPVVMVLLHVGGSVGQAVGAVYFDFYLVFGSMMLSCYTILPVVALKLWRMNVGS >Et_1A_004961.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:19465175:19465408:1 gene:Et_1A_004961 transcript:Et_1A_004961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHVTKNPREAYVNYRDLDIGRNVVVNGVSAFESSRAWGERYFGAANFERLAKVKRAVDPTDFFRNEQSVPPFSQS >Et_4A_031969.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7819938:7820486:-1 gene:Et_4A_031969 transcript:Et_4A_031969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALICSALALSGSRNRRRNLPLLRSTLCHLSFFSSCSLLRSPLICRTLSSSISTLTSSFFSPGTSALNTCASGVSFQSMRAPAKAAVSESEETRGLRLPLLLPEPKGKKGSQRSRVKGSILLRRISDIAVSVGLDRWLFWSRVVREVIEEDLAYAVQASLALCSLLCQTLVRRFISRMRRP >Et_10B_004325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3924564:3925144:-1 gene:Et_10B_004325 transcript:Et_10B_004325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGNCLFRSGGAAAPLTNDAHAAAVHLEDADGRLGVSLSKALPKAAVRAFTDNLQRLAPRILPAGAILLRKLLRQKHKTEGPKINFKTGVDHFCLHPGGTAVIEAVKNLAVDESRGKASEEYDLRVTYEYLNNEQLHLNDYAFEGDKDWYPAKRNGVEATNEAAKGQDKSKINEVTVEEWRRSY >Et_3A_027245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5787831:5792101:1 gene:Et_3A_027245 transcript:Et_3A_027245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAIVERKPPLNGDGGCAGGVLFHLLDWHRRLARKRRLFSPRRLLPSSLRSAPRRLPAPPPSPTPPPPAPHAAAGADGAAPGVVARLMGLESWPGGAAGAPRPQKQRKVEASPPDGGDSSAVMLVLPTSCRPPAPAPAPAAASAPRSHHGSDLPARSPRRTRLVHAAAAKLLEPGARASSRASARLALAYACSSPQHRRDGHSGALHQGSGMADDFLSRSGSLSTLERSTRLQPPVLPAEETECDRAAVSRRHGNHSFNTGDAVIGATSTVVLPRMDFGVANMGKSSSDVDAVRKERRIRNEGISTCAKVRSSISSVRAGDERLRKRATPTRPEVSESIDQVGLASSTRQFGRVHEPKTPAARRVTHSSPGPRRDTMGSVNRNTQCRDVINRSGLASTTSRISSHGSGPKRVSRKNVGCAAAASNTDDRNAVVFASRSSPKPVARASSNSNVSKSGRSSRQAPNTASARMPAADPKSIEASASVMATSEKDEFSRLLKAKINELGMSDRIKFTSSDTFSGKLTAPVLQELISALNNDMHTSTSQSSNCFEASAPLCSSGNSGCIDESGYVFCDDRSSDFQKCYQGEQDVDSSAASMNNEPNQPSPMSVLEACFSNDTSSLGSPVEKNDLEKSIDTRKAGAEEKFHGDGMLSCLENFVAHDFKFLESRLHSIGEAISNAELLLGSGSRHRTPSALSLHPFIMEMLETSAGVFGGELRLDLAEEKKYQHTNFLFDCIIESLDSKFGYFGKCGYKAWLKLPLSLSKDQLKQELVEVIGNWKESGAAVSRVADKELDQVTTSWEACQVEVFDISVSIEDDILEALAVELALDLW >Et_4B_038369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28569027:28575024:1 gene:Et_4B_038369 transcript:Et_4B_038369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKDFLGHNGTISKMACILAFVILGIYLFHTTTPQQEASGLGRVTSAYITIASFLLGAWDVGVRTLLSVLVVSLPLWLSTWLYLAWQYFTQPFYVWLGVDSPGSMKLGGGIYTKAADVGADFVGKVEQGIPEDDPRNRAVIADLVGDNVGDCAARADDLFESIAAEIISAMMLGGTKAERCKFEDPSGFILFPLVIHSFDLVVSSVGILSIRGTQRLFNYYMLAVLAFGVSTRWLLYTEQAPSAWLNFALCDLVGFITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIFAGVSLGLESTALPVLVKSVAVISAYCRGPTSGLVDDSGSPTGCLFVTPVATMGLLSTPGYVLAMDMFGPIAANVGGIVEMSQQMLGNTTKATTKGFAIGSAALASFLLFSAYMDEVSAFAQLPFKEVDIAIPEFVGGLLGSMLIFMFSAWACSAVGQTAEEVVNEVRRQFIERPGIMEYKEKPDYGRCVAIVASASLREMIRPGALAIVSPMAVGES >Et_5A_040884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1402768:1412016:-1 gene:Et_5A_040884 transcript:Et_5A_040884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRRRQEDAGGGVGQLRGGAAASRAMDDDGELQEGEAFGEDTAFVDPDVALSYIDEKLQDVLGHFQKDFEGGVSAENLGSKFGGYGSFLPTYQRSPLQARSPPRAANVTSRSPFHQTNEGMSQNPSAVAVPSVSQNNGSVAPLSADSSKKEIRSSTNAERGSSSQDSLYGPSKSSDQNRFKVRIKVGSDNVLARNNAAIYSGLGLDISSPSSIEDSPDGRGSLSPEVSNEPIESPRTILQIMTCFSVPGGFLLSPLPDNILQLTKKVVPSSKKWESNVDVENVQEPYEGHVAKKMKSDGKKKKLTDTKSSKNRNDISAVMKKEIDIETTAGQKIVSEALNIPLLSDFRTNEVKGENQFEEEPSGNTLGRNKDARLKERAVKSDSMTIKADSVKAEATECADNSDFGTSEMDAPKGELKTKTEKTDRTLEERNATNDKSFLLDRKQERKIKPDSKSHASGINYEVDNAINERAAVSRSIGKVPGKETLPYDPNGENKSKSEVKKVQREQKTSASTSSDFLDDDKHIHSSAAAQERKGDMQSKSSNTGKKPKVKSHRDVRENLPEGCGGKEQDDILETGSGLGDLRPKEKSWKNDSDRDFDMPATSRREISSNVKHDRHTASEHKMHIPPPSSVSTVNAAPTLPAPVIIEEQWVCCDICQKWRLLPYEMNPSNLPKKWKCSMQQWLPGKNRCEVSEEETTNALNALYVIPAPANGIHSAGHPHVASAGLATSTIANVNGHVEQSRKRKGALNDGTALIEGSHQTQSSVHPMSNHHAPIKSKSSADDNQYSADRDSISKSFDPSFEKKRSKSKHRDSYSDGGDLVDRSKKHSKVKSKRDMDRDEYKASKKMRKEERHRSSRDRDPGYDFAGGDVPDEAKALPAKSTTLKGLGERNDVSSSKQKIVSRHNPLEKSKKAKDEDVVGPEDENKEYFHQSDAQRSDISSKKRIVKEWEDSQHNSVAHISKGTTVNHSSATKETYKDQNFKEAKLKSLKSEEVFSATDSKSGKLQHSDQTLSYDGGHMDNELVEDNTLFTGKRGLPELENQLSDQALDLAEPSSGDVACFQTAAVTSSSSKVPGSQKKKQNTQVAKISPTDSRSSSPQRKSNIDKVPHNRISGKDGYLNATLSTTPNTVKQLNSEIGVADNVQHASESVLIGSSRRKSDKDNGLVELNQGHASDGIHLERGSDDDLQHESGIAKGSRISRSYNHSHSSDKSNYHTDASPMQPGKHIVEPKTSVLDAKGESTMLDNKKRAPSLQDKNGSTHYPPDNPQQGLPCGKDKSHLKSNKQDLQKPKAQMACSPPRETKQESHSTPLKSNVSKLTPQSRQYNVENGGQHSTSRMATPNAADTSSPARKDGTSAAYALKEARDLKHKANRLKEEGKELESTRLYFEAALKFLHVASLLEPPNFDGSKQGDAAQSMYSDTAKLCNFVGHAYEKCKKMAAAALAYKCVEVAYLKAAYYKYPTASKDRQVLQAVVQTAPGESPSSSASDIDNLNNNGLSKGPSSKDANSPQVAGNHLLIAVRNQPHLTRLLAYTNDVNSAFDATRKSQMAIASAAGSNENGIDGLSSVRTVLDFNFRSVNDLLRLVRISMESISC >Et_5B_043121.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6515391:6517125:1 gene:Et_5B_043121 transcript:Et_5B_043121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSILILISISHGVAVEPVDPEMPKLHAGLHRGRGPAINGHLSQDAPDRRPHLEPMPGEPSTDHHVLPELPAEEVDDEVVVRRHRAHARLPYHRPAGGVDVVGHVLLDPPRHALGDVAAAGGPVPCVRVARRPAVAADLDEPGQLQRRRAVRGHGVVAGEGREARGRREVALAAGLGAPVQHRRVQRLDGEVDRAAGDGPDQLARPGAHRHHALRAAELLPGACSHHDASFGSLGDVDDLGVVPHHGAVLDGERLEHGHGLGRVEHAAAADVAHGGKPRAVAGHPERAAPHAAQLGRGPHLPRAGGAGHGGTQRGAVGARAQGELRRRGEHSVSRLGLGVAPEAAGQPHHRRVTRVRVRVADGARRAVRGAQAVEKVEALQEQGARAAGGRRVRRAAAHDAGAHHDHVEVETGAAAAARGRGSCRCDFVGHGANTLVATY >Et_1A_005062.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:24862990:24863049:1 gene:Et_1A_005062 transcript:Et_1A_005062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLFWRQKHGGMISCLTP >Et_3A_025624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31378338:31379149:1 gene:Et_3A_025624 transcript:Et_3A_025624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIVKILEGVVDVEMAPVPRYLVGLVENSENVKFSSNDPSEYGMRDITGSRRERRWKDQSELNDPVMTKNQRKLGAEQVNKLAKWQM >Et_2A_016628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26827241:26829795:1 gene:Et_2A_016628 transcript:Et_2A_016628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGGGGGSWSIHGRPDVTSRYEVLGRAGSGTYADVYRGRRRSDGATVALKEVHDAVSARREADALAAVSSPHVVALLDHFPGGDCDDDVLVLEWLPLDLAAVVRDARRRADGAGMPAAQLKRWMLQVLEGVAACHRAGVVHRDLKPANLLISEDGVLKVADFGQARILQQTGPTYQDTHPCGESSGVEPWVSQQRAALQGAEEGPPSHGSDIHVDQEPETLTAADYLHEMDQLRAKSSDVDKMSLQDGNASCLATCSTGDIEDDPFRTSYSYDLEAMGEESGAFTSCVGTRWFRAPELLYGSTNYGQEIDLWSLGCILAELLNLEPIFPGISDIDQISRIISVLGNITEENFPGCSNLPDYNKIFFNKVEKPTGLEACFPNRPSSEVSIIRQLLCYDPVKRASAADLLNDSYFTEEPLPAPIEGLQVPASKDDDDSSMEEWGNNRDGGSDSDFDEFGSMDVTKTDKGFSIRFS >Et_7B_053280.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:21046445:21047828:1 gene:Et_7B_053280 transcript:Et_7B_053280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNKAMDMGADEETCMHALQLVSSAVLPMTLRTSIELGLLETLAGAGGKALTPEEVAAKLPPNNNPAAPSMVDRLLRLLASYGVLSCVVEEGDDGSLHRRYAAAPVCRWLTRNEDGVSLAPFLLLANDKLFMHAWSFMTEAVLEGGSPFNRAFNTASWFDYAGTDARFNDVFNKAMEEHSVILTKKLLEVYKGFEGVRTLVDVGGGLGSTIHAITSKYPTIRGINFDLPHVIAEAPAYPDVQVQHVGGDMFQKVPSGADAILMKWMLNCWGDEQCAKVLKNCYDALPPRGKVINVECILPENLDATNSVQGLVAVDVSLLAYSPNGKERYERELVKLAKGAGFTSVKTTYIYANFWAIEYTK >Et_1A_007600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36316616:36321483:1 gene:Et_1A_007600 transcript:Et_1A_007600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDGSGGGDVRRPLAVFMAFGTKGDVFPIAALAAAFARDQQQYTVVFITHSAHRSLSKHLAASTVRYMPVSSPPVLAAEQIENGSGDSLQANDDGESFSLQKKAIQIQQRKECLSSVEEIFGNEPSIDGDFIVINFFALEGWHLAELFQVKCIIAAPYLVPYSAPTSFERQFKQSFPDLYKYFQEAPPNTVCWTDVVHWMWPLFMETWGSWRNDCLNLSPVPFTDPVTDLPLLHMREESPLLLYGFSKQVVERPGYWPSGARVCGFWFLPMAWQFSCDKCLELSRRLISSSESTLCENHSSIEHFLMGSSYSSRPIFVGLSSIGSMGFLRKPKAFLMVLKTLIEMTNYRFILFSSGYEPLDSAVHSIASSVTESSEAVAAALGGDSTLLFNDRLFCFSGSIPYSWLFRRCAAAIHHAGSGSTASALLAGIPQVVCPFLHDQFYWAERLHWLGVAPEPLQRQHLIPEMDSASCIHNAADVLLGAISSALSSEMKDQAARLADRLSLEDGIGEALSVMKEKELIGLLDGYQQHVAPGQ >Et_3A_026129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4601329:4609475:-1 gene:Et_3A_026129 transcript:Et_3A_026129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTTDDIAEGISFQAFEDDCRLLGSLLHDVLLRELGPRFIDILERNRILAQSAVSMRAAGMEDTAVVVERQLEAELAAMSLEDALCLARAFSHYLNLVGIAETHHRVRQSREVEHLSKSCDDIFDKLIQSGVPPEELYDTVCKQEVEIVLTAHATQINRRTLQYKHLRIAHLLEFNERPDLSHEDKEMLIEDLVRAITAIWQTDELRRHKPTPVDEARAGLHIVEQSLWKAVPHYLRRVSNALKKHTGSPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTRDVSLLSRWMAIDLYIRELDSLSFELSVKRCSDKLASLANDILLKASEELKANNWDQTVPQKIRKLHHSSALPAQLPSGADLPSCTEFSDGDSQFRIINLPRNSSSRQMGINLTDRFEDSPLSSPTGRQSVVAASPMGRTPSGGQLRKLFKDSHIGRSSSFRKLLEPSLSDKPGITPYRIVLGNMKEKLMKTRRRLELLLEDLPCDYDTEEYCETADQLLEPLLLCYQSLQSCGSSVLADGRLADLIRRVATFGMVLMKLDVRQESGRHTETLDAVTTYLDLGVYSEWDEEKKLDFLTRELKGKRPPKHREVLDTFRVAAELVSDSLGAYVISMASNASDVLAVELLQKDARLTVSGDLGRPCPGGTTLGHDTNKTMYAELRAMYAEWPFFQSTVDAPMAAHYEAMLVPEGSRRAVGAELRRELARTERCVLAVSGHSKLSAHNRGLRRLIESRLAYLNPMNMLQVEVLRRLRRDDDNRKLRDALLITINGIAAGMRNTG >Et_2B_018980.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20950572:20950971:-1 gene:Et_2B_018980 transcript:Et_2B_018980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCWTMLRFEPKWHEKMNQLAANKASQNKQKASEDPIHDLTGNGIDDILNASPDGDAPKRPMGRKKAKQLQRQGGGDACIIAFDNMWEKKEVADANKEERKDARFNKSLEIEKER >Et_4A_035063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9146442:9149149:-1 gene:Et_4A_035063 transcript:Et_4A_035063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAEHRPAEEEEESAAAAEDEDTGAQVAPIVKLEEVAVTTGEEDEDVLLDMKAKLYRFDKDGNQWKERGTGTVKLLKHKETSKVRLVMRQAKTLKICANHLVVASTKMQEHAGSDKSCVWHALDFADGELKEEMFAIRFGSVENCKKFRETVEEIAEQQGKIEEKESEEASSAAELVEKLTVSESKEETNAEKEEAPAADDKKDAKE >Et_3B_029762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27701406:27704739:-1 gene:Et_3B_029762 transcript:Et_3B_029762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSQACLLLQKQLKDLSKNPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMSFPQNYPNSPPSVRFISEMWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKEWREKREDFRKRVRRVVRKSQEMF >Et_8B_059478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18353384:18356896:1 gene:Et_8B_059478 transcript:Et_8B_059478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLRVLRPLQNLTCSPTPTARTPLRLLSSSTSAAASSDSDSAPPAAPRPDADFDSAEYDLPTPGPAPVRKNPISALKKLRFDPSLRARADEALLGKKVESWLVEPVTEEEEEERSRGVAVALLEAALEPPDEDDDELPGEVREEDQMSLSVGIVGAPNAGKSSLTNTMVGRKVAAVSRKTNTTTHEILGVLTKGKTQICFFDTPGLMLGHHGFPHRDVTVRVESAWSSINLYDLLIVIFDVNRHLKMPDSRVIKLIKRLGTEVNPNQRRILCMNKVDLVEDKKELLKVAKEFESLPGYERYFMVSGLKGKGVKDLVQYLVEQAVRRPWDEEPTVMTEEEIPYVIEHRLMDWKELKDGSLRVEQHFITPKQSQRQILIGKNGSKIGRIGIEANEELRSIFKRDVHLILQVRVAKRRSA >Et_9A_061034.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:11555099:11555251:-1 gene:Et_9A_061034 transcript:Et_9A_061034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKGHKQAHFQIPSPSTVALAQEIIDEAAVWGAAGFIKSWDLVFPHHV >Et_1B_011446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22300929:22306003:1 gene:Et_1B_011446 transcript:Et_1B_011446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLELRSPTPSRSTASNRKEQPMPSAPDVFVENSVLVVHPSNARRHGKEGAVAGHGVVHAHVGRALRVLVRINFASLQQDKAAAGGHGLRFRRSSAPREIKGARWFSANDAAAGVGGWTRENSPSRKPSILEGMTPPMVSQTRRTNSGLAISRRRSLHAAAHRKRMVASSERATISSRSSVGSVPVEDAYAWRRRCKFQKLTFTGPQQVHQGNVSINTL >Et_4B_038380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28588506:28589364:-1 gene:Et_4B_038380 transcript:Et_4B_038380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSELPSHRVRDLVVLDGEDGHVLVQEYLDHAVAAMKASTGLILNTFDALERPELARIRRELDIPVFDIGPLHMFSPAAESSLLPEDRSCLEWLDARPPASVLYVSFGSLACMSPQELVETAWGIAGSGVLFLWVIRPGLVSGDRCAHHQMPEGFEAATRGRGTVVAWAPQEEVLRHRAVGGFWTHNGWNATVESVAEGVPMLSRPCFGDQMRNASWAASSAEAAVRRLMTETDGDEMRARAGELKKAAVECTGKAGSSCLAIDKLVTHIMSL >Et_7A_052420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7832188:7835962:-1 gene:Et_7A_052420 transcript:Et_7A_052420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVRPSIVFCSILTFLSLNCGRSHVSAKVYMVVMEDDPVISHKASRRHIMRGEEAQKYKAVATTKHDIFLDSFLPTGSYKKLYSYTHLLNGFAIHAESEEAIRILRHAKGVRLIQEDVKMAKMTTYTPNFIGASAVWPLLGGAENSGDGVVIGMIDTGIDPKNPSFVTSQAKSAPASFKGICRTGNRFPQGSCNGKVVAAMWFARAAQATGEFNATIHYASPYDPDGHGSHTASTAAGNFHTPVIYKGYNFGYASGMAPGASLAIYKAAYPFGGYMSDVIAAVDQAVEDGVNIISLSMAPDSVPSGPATFLNLLETQLLLATRAGVLVVQAAGNGGPDVNSVVSFSPWILTVAASTTDRKYRKSIRTGDGQTYSCGIISPPTQGETMYPLALADDVSIKDPSADESVTCLDSRVFSKSLVQGKVIICEITPEYVDENDFPGVINTIQKVGAAGVIITGRQFDVDFAPAVPAGIPSAVFLDEADSASLMRYYNSNTVRDEDGKISSFGATVRILDGRRATYTGEAPVVATYSSRGPDVENSELQAADALKPNVMAPGHNIWGAWSPTSHAAPEAQGESYAVLSGTSMATPHVSGVAALIKQRHPAWSPAMIMSAIMTTADVTDRSGRPLMARRDLASGALDPATPFDMGAGAVNAARALDPGLVFDAGFGDYLRFLCAVPGVGEAAVLRSVGAPCPAPANGRAATPRWCTDLNAPSVTVASLVGSRRVDRRVTSVGAQNETYTAFVRAPDGVSVRVTPTQFGIAPGATRTVRIVLSTTAPGNAFRFGEVVLKGDKKHTVRIPLAVYPAATLHP >Et_1A_008675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9800441:9801559:1 gene:Et_1A_008675 transcript:Et_1A_008675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWKLERVRARVYDVEQKLKHHATSPTAPNATTARARTSTMFPIEVTLYAGGPRQLPHLCVHCPHLDSRDSKPKIVATDADLVLLRVAVHPKNTFTIRSRSATATARAGPSRGLIVCDDVLDGERPAVLRDYVEVDTRGGDESGWKPTVYSMLVPVGSSEDWSVDCEVESGDITVDDDARHSRLLSKLSGRDTASRLQELAFPTLSVDDDDVVCMFSTTSRRADKHEGVVVAVDVRKRALRGVTELSLDRRLMPIYCASEVSRCLRKTAGS >Et_9A_062618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24490984:24491430:-1 gene:Et_9A_062618 transcript:Et_9A_062618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRIGHFELFNSDGFMKSCLIYFGQMLNAVFSSRQVVIDFTASWCGPCRIMAPVFEVLAKKNPNVVFLKVDVDEVKAIAEQFRVEAMPTFMFMKDGEVKDRVVGAVKEELVKKLELQMAQ >Et_6B_048245.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:16975103:16976946:-1 gene:Et_6B_048245 transcript:Et_6B_048245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISIGLLLSYVSNYAFAGLPVRLGWRVMYAAGVLPPLLLAAGVLAMPESPRWLAMRGRHADARAVLLRTSDTAAEADLRLEEIKRAVADSTKKASEDDGDAGGGVWNELLLHPSASVRRVLVCVVGIHFFQQASGIDAIVLYTPLVLGNAGMSSNDAILGATVAVGVSKTCFVLVATLLSDRLGRRPLLLASIAGVAMSMTSLGVTLSLNTKSAASVAACVASVLAFMAAFSVGFGPMTGTYTAEIMPLRLRAQGASLGNAVNRLTCGLVSMTFISLASWITMPGCFFLYAGVAATAFVFVYKRFPETKGRSLEDMDVLFAK >Et_9B_064758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18318290:18323355:-1 gene:Et_9B_064758 transcript:Et_9B_064758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQSQQSAAGDASVMCQLVSPEGDHLGAALYLPQNVGPPQLQEIVNHLLHNEDKLPYAFYIGDEELSVQLGTYMHQKNANVEVTLRIVYQPQALFRIRPVNRCSATIAGHTEAVLAVSFSPDGKCLASGSGDTTVRFWDLSTQTPLFTCKGHKNWVLCIAWSPDGKHLVSGSKSGELILWDPKTGKQLGNPLTGHRKWITAVSWEPVHLQAPSRRFVSASKDGDARIWDIATRKCVINLTGHTNSVTCVKWGGDGLIYTGSEDCLIKVWETSQGKLVKTLQGHGHWVNSLALSTEYVLRTGAYDHTGKTYSTAEEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSIKLWNGITGKFIAAFRGHVADVYQISWSADSRLLLSGSKDSTLKVWDIRTHKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRALKLWMN >Et_2B_019893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14936692:14939765:-1 gene:Et_2B_019893 transcript:Et_2B_019893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSEGLVLGLAIGARGAMEDGISTYTVDEALVSMGFGKFQAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWELSAQAESLITSVVFVGMLVGAYAWGIVSDNHGRRQVLPHSFFPPATGFYLQSVLILTNTIFSMVIEVGFNFTALVTGGAGLLSAFAPNYLSLIALRFMVGVGLGGGPVLGSWFLEFIPAPSRGTWMVMFSAFWTIGTIMEASLAWAVMPAFGWRWLLALSSLPSFALLLFYPVTMESPRYLCMKGRTADAVHVLETMARVNRVSLPSGRLVSSHRIEELHEFADSSETSQLMSSRKTNAAELDKAEIGGLNAIWKLLSPNLLRSSLLLWTVFLGHAFLYYGLVLLTSELNHGNRICGSEGAEVIQKTHINDDNLYRNVFITSFGGMNHVLEIHICVNNDAEIPGLLLSAAIVDKIGRKLSMSSMLYISCMCIAPLMFPQTEALTTFFLFGARVCISASFTVLHIYAPEVCSSLYFFYMHVSLAKLLKFIALLCDIYPTAVRATGVGFASSIARFGGILCPLVAVGMVHACHQTAAILIFITVMLASGVAVSYFPLETSGRKLSDHIAA >Et_5B_043825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16539716:16540243:-1 gene:Et_5B_043825 transcript:Et_5B_043825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRTTTFIVIMCLVIVGLNANLVTAAQCSCCKSARAKACCFGCIALGRSDTVCKNTCCFPCILADSVAAKMEELGVLANMEEMGVLGNMEEGQA >Et_2A_015525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14562501:14571144:1 gene:Et_2A_015525 transcript:Et_2A_015525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVARLIRSSALPSRLSASSVSLKRGNAFSNDASPGDPKHVEEPFKVEEAETVKVTLPSADKLLVLGGSGFVGSHVIKEALDKGFVVSSLNRSGKPSIGESWADKVTWNKGNLLEPASLKDAMDGISAVVSCVGGFGSNSVMYKINGTANINAIRAAAEKGIKRFVYVSAADFGLVNYLLQGYYEGKRAAEAELLSKFTYGGVILRPGFIHGTRRVGSVKIPLGLVGSPLQMVLQNAKPLTRLPLVGPLLTPPVSVTSVAKVAVRAATDPVFPPGIVDVYGIMRYSEQK >Et_2A_015531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14541332:14542784:-1 gene:Et_2A_015531 transcript:Et_2A_015531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIATSEKERSPGRFDRNNTEITETAKLTFFRLFTREPSNYIKHIATSEKERSSSRFDRNNTEVNETGPEELQNSPSSAKLTFLRVFVREPSNYIKHIATSEKEKSPGHFHRNNSEITRTGPEQLQNRTSFRAGPSNDIKHITTSEKKRSPVRFDRNNTEITETGPEQLQNCPSSAKLTFLIVFVREPSNYFKHIATSEKERSPGRFDRNKTEITKTEPEQLENCPEPSNYMKHIATSEKERSPGRFDRNNTEITETAKLTFFRLFTREPSNYMKHIATSEKERSPGRFDRNNTEITETGPDQLQNSHV >Et_6A_048147.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7679738:7680025:-1 gene:Et_6A_048147 transcript:Et_6A_048147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVYLDLWWNPALLVTGHSPRFDAFENDFGWGRPVAVQSGAGNKVDGRATVYEGRGGGYRDGDDLPRARGCDEALVHDHECMAAVTSKLLKLTT >Et_5B_043048.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:17844999:17845358:1 gene:Et_5B_043048 transcript:Et_5B_043048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AELFLGKPVGLNFEDIASKWICQKKCAAENVITASVLRSLWLLRNDHVFNKQVWRDIRSVLKISLTLAKTWLPIFKESNLLTMERCSIFLEQQVQAPMTIQLQENTSSAASENSPVTSD >Et_9B_064814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18904701:18905630:1 gene:Et_9B_064814 transcript:Et_9B_064814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGQPKWILSFILPDYPMLNFMLTAAIYLLVSSPTSNAFHSIESPKGYSFRDLSMSRRVFELINVLKGAFIPSRDNNCLCQNFIAGIAISYVTALAIRYALLTETTASQN >Et_3A_025506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30365477:30365947:-1 gene:Et_3A_025506 transcript:Et_3A_025506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFAMLLGSLAMHGKCRPHRLDSRSSNSTIINSASLDERKVSLIFCVAVRCDYFNPDFGGCYCCPNAARREYCYLTMKECRAHCASCRPRCPVKENRHSNTTSNTMPGNSIPTMLPF >Et_7B_053820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12443245:12445039:-1 gene:Et_7B_053820 transcript:Et_7B_053820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALGHDNPEKEGTLLRSTRSPSSAAADFAMGGVAAAVAKTGAAPVERVKLLLQNQGELLRRGHLTRPYKGIANAFTRVVREEGVAALWRGNQANVIRYFPTQAFNFAFKGYFKNFFGYDREKDGKWKWLAGNVASGSAAGATTSLLLYHLDYARTRLATDAIESRVRQRQFRGLLDVYKKTLTTDGIPGLYRGFSVSIVGITLYRGLYFGIYDTVKPLVLVGPLEGNFFASFALGWAITTFSGACGYPFDTVRRRMMLTSGQAFKYRNGFQAVKHIVCTEGFLTLYRGVGANILSGMAGAGVLSGYDQLQRFASRHLVTI >Et_3A_024719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23552884:23557607:-1 gene:Et_3A_024719 transcript:Et_3A_024719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRSPTAERRRGIRRLLLARGEAASSSSPPPPPPAEEGRRKGFASAALRGLGCTSAAASQAHTPGAGSAAAAAVRSSADWHGRRRRRGKERSRKEQGGGGGGGGGGLVSGGIGGDVWCAPGIPFAAEASSVDCVVARHQMVGRGRGGEGDRSHSHRERPCLSRRVTVQEQMTSSFMDSPPPPLHLDGPFFGADFIPSGRLRRMRGYRHSPGGLEEEIMMFQTRVLLGGMSMYDRYQDWRLDVDNMTYEELLELEDRIGYVNTGLHEDEITRCLRKVKHPAFGSFRFATEMERKCSICQEEFEANEEMGRLECGHSYHVYCIKKWLSQKNTCPVCKTAVTKT >Et_2A_015761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17665664:17668952:1 gene:Et_2A_015761 transcript:Et_2A_015761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDNEDYYYCTDDDDDYDCAEDDGEDYDGSDGEYPEEDPPYEEDDRAGDRREKDREKDYVLETEDDVRKKQDEAVAKVSESLDLPPGFAAVVLRHFQWDTEQLGNEWFDGDRQVGIREKLGLPAAGGGDHVPTALNVDGDLTCAICFDVFGPGEMRSAACRAHFYCHGCWRGYIRAAVGDGARCLSLRCPDPHCATAVSRELVDAVAGDEDAIRARYDTFAVRSFVDEGKSKLVRWCPGAGCTRAVRSRVGLNLRELVPCDGCGGAFCFGCGVEEPHAPASCEAARAWTDKHGAEGETANWVLANTKHCPRCRRAIEKNQGCMHMTCTAPCKHEFCWLCLGPWGPHGGGYFSCNRYNAEKAEGKHTEADARRRQAQASLERYLHYYERWTAFGASREKARQDLDGLQLAGGLEEFAAAMGKPSTEMDFLVHAYAQVIECRRVLRWTYAYVYYMDPERDRLKRELCEILQADAEQSLERLHGGAEKERQELVREAQTSGACVDEAKFMSYKSNLANLTSVTKNHFTNLVKGFEDGLPEVDQLVDDDAKARYVTRWCPGPGCAFAVRSLVGSRLREVLWCACGHDAFCFACGEEAHRPASCGTARQE >Et_3B_031135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17569294:17570168:1 gene:Et_3B_031135 transcript:Et_3B_031135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADVSSYLSFDVAQECYYHSPEAAAAAFHSECQGLLGALQEDDVDYSVMSGEAGSNGGREASERSQTDASTVVTPARLLAIRDTMINEVMSSGDDGRMSLPTGRRGRIAFKTRSDVEVLDDGYRWRKYGKKMVKNSPNPRNYYRCSSEGCHVKKRVEWERDDERFVITTYDGVHNHPAVPLAPHHRRPINL >Et_7A_050723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10416578:10417952:1 gene:Et_7A_050723 transcript:Et_7A_050723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARKKEERLVAALKKIEPEDPAEPTHDPEGVILNMHLHWKKHQTLQVIVKTFTPDEVKEIASELARLSGGIVLDIQEGNTIIMYRGKNYAQPPPEIMSPKVSLSRKKALDKSKYKDRLRALRRYIPRLEQELEDLHAQMKLAGERNGQIRVKDVALISDSTNSMPARKESSYSVRNKSVSDLLLPESVEGSERLEDGSNEDDNDSASESLSFSESEDLSDIFETESDEQEEDKEERPLYLDRLDKFPSQNNDDEPDDFEEHLRKIASLSDKTDSSSKELKVSELDEIDKIFLRASSLLKKR >Et_1A_004985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21375921:21376868:-1 gene:Et_1A_004985 transcript:Et_1A_004985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSARPVNSSCSLLLLLVLLSCSQCHLAAHHGKKHARPPLSAVAVVVGSVHSGSEPPVSGPPGTRVAVRCRDGNGRTVFLKEAVTDRGGKFRVHLEKEPTSARLRSVTSCSVQLLQRQSSSAAPCAATATGRFRPVGAPKHRVFSAGRFAVRPEIPLVPEPPNIGGVPIPPNPITPAPPSLVPPIFPTPSPPSILPPLTPQPPPSSIIPPLLPPLLGHPPPPPPPPTLLPPFLPPLIPGVPGVPPASASKSRQPGPP >Et_6A_047976.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:26891556:26892260:1 gene:Et_6A_047976 transcript:Et_6A_047976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKTLLGEAGTSIVLALAAVLLANVAGAAAGDEPHTSTANLTLHNLCPFPVWPPVTANAGLPGIPVDDDGEPVGRRLEGNGEGLATLSFPGGAWSGRVTARTGCHEEDDGGSSRVAWCRTGESPPVTVAQVSVGGPGGLAAYSVSLVDGFNVAVVITPHGFAQGRRCPALGCAVDLCGARAPRGGCGAGASSAAAFKQVCPDTRTNATDVEATPQDCVAPGELKVVFCPAQD >Et_4A_035514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27245807:27255157:1 gene:Et_4A_035514 transcript:Et_4A_035514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPRSPWSRSRKPDIYSTVVVHGDDDEDPRSGGRPALGVEDDEEEDTSSLPPLLQRLPKDFGGASFDDDDDPYSSDPDDASLSATVVVKRGAPASTSAYSRSPYLDLRRSSPRASEEDPYSTFVVHSTARSGGASSSPHESASGTFIRRSGGSSSPRESVSGTFIRRTGGPSSPRESVSGTFIRRTGSPTSPIESFSGTFIRHTSGDSSPRESAPGGGGGFGSSFWSPAAEQLEERRQPSPLMQEQLRRRPSVSSVPESITREDPSTKYELLHELGKGSYGAVYKARDLRTQELVAVKIISLTEGGLAYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGMPPRSTVHPMRVIFMISSEPAPMLEDKEKWSLLFHDFIAKCLTKDPRLRPAASEMLKHKFIEKCNTGASKMLAKIKEAKKIRDTLAAQDELSGPDNSMQDATVRINENYGETVPASSQEETKHETYNGGVFGTMIVHTEDGDEVAESPIFPRTEFIPGLGSINSFTHDPKRAELISNFWAENTADSDGNKEHDLDDRPDIQETKSIPPSTGTVKKHMGFDGTMTRNDNQISSSSPGFANTMSKLNSSPSRKGFSVQDKLWSIYAAGNTVPIPFLKAIDVSPLALVSENVAGNSLAGSSTNDALEAVRELFSGDGQAKKGRKGQNESGAVSHARRVLH >Et_1A_006290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2034782:2039595:-1 gene:Et_1A_006290 transcript:Et_1A_006290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAATSGGSEAEGTQRMAKFLCSFGGNILPRPVDGCLRYVGGETRIVMLPHDISYADLAARMRELYKDADVIKYQQPDEDLDALVSVVNDDDVVNMMEEYDKIIAAGEPFTRLRIFLFSQHLDDDAVAAAVHYNADERETERRYVDALNNLGDVRSPSSPVSVEQLFGIGGNDSGIPDIAGLRHLNVPRPSHSQRYGEVDSTWSPVYVSPGQYGVHDPRDFPISPSSARFQVGAEDFDERVPDDFVRQSPKYRHYDTAHSPHHIDNLIWLPPGAVVQQNAGFPGNLSRPNNFSDGGTVCDHCRSPFHKGQGSVTDPRYIDPRWTRPVQPHFDQSSMTNEYPGHPANSCPDCCRPGENYVGGQDVRLENGVYIKEQNGGHPPVFYNEPHSHDRAWHAHASQSHQRYGDPRLHLPGNNGRVIEPYMVDSSSVNSAFAPSKVHESPHYFHGSTELINDTYHNQQVGGGGSYVQPSGFEESTGQHYNHPSAYGADSFYQMQQNLPPLQSLRRRANSPVHAASPYDSPHLPIPNGSVNTNFVRNPGDVSPRIQGVPAYDRMPNPWTAPNGNIPYRVVGHDVPAVMENAFALGPRSNPTTAQYVQPLVAPESLQHQPGAPLREVHPERVYAEPMLPSYNDGKVAVPPLPLTNQLSRLDNNAMKKHEGSENEKFTRNVNETTLHAVSEPSTLPQHVEAVQEGDLKQGKPTEQESRTIQNETGATALQECGDISQDRLNFLPELIASVKKAALEDAAETRMAQPDASAVVSPVPDDDDNGKKLDEATDGNADANQDSDVHGSCDQQKNSKIEATTAEAEALSKGLQTIKNDDLEEIRELGSGTYGAVFYGKWRGCDVAIKRIKASCFAGRPSERERLIADFWKEALILSSLHHPNVVSFYGVVRDGPDGSLATVTEYMVNGSLKQVLRKKDRTIDRRKRIILAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPICKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSNMVSEKIDVYSFGIVMWELLTGEEPYSDMRAAEIIGGIVNDSLRPQIPSWCDPEWKALMQSCWASDPADRPSFTDISQSLRKMGAAMKLK >Et_4A_031866.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24922299:24924242:1 gene:Et_4A_031866 transcript:Et_4A_031866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LATPTPRLSPPDLIFSPFPSPFALLHPSPATAMAAACGSAPVGEGRACAPSGKRRASAPSLQGSAPLAVLNGKVNMYELTGELGKGWRRIGCGCKKAYGCGDLGQEVVESLRLYMHFGDYPVLTSSLAIRMSDESVRSIEAELLLVATRKNKPPGRDVKAAGTIQASDERLIVIMLVFHTEYFTDLVYYLVFDDIDASLSMIKYLPDDHKAACTLAPAFNRISDVGGDYELVLHARCISNEGVLCMCTPESRANPSTGDMDPWKFKGLRFPEEVEEPFSADLVFTFKGKAFWADFSQGCLMYCDLHTCGSVVNFVSIKLPPECKLDLDAISDDEPVNMIRTMGCVGDSIWLVCIHRCYGKCADDYVTIWSLSLAKRQWKQEVRKSAKMIWGLASFKKAGLPRVILDYPVLTADGALCLVLPDPRLEDPSDEFGPVVQRICCIDVRRWEVLWHGCVHDYDTTSPVILPSNFQRLRVPAKWTTKHLAASGKVVIPYCFI >Et_4B_040069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:896550:896908:-1 gene:Et_4B_040069 transcript:Et_4B_040069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGRSKGGAAGMMRVLLLSVLLLATASRSRAQLQVGFYDTLCPAAEIIVQEEVSKAVSGNPGVAAGIVRLHFHDCFVRLMAALPFTYYYCTEYLSLAPCIEKGAGE >Et_5A_042908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6803250:6804750:1 gene:Et_5A_042908 transcript:Et_5A_042908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSVLASPSYGKAAETYKKAVTVAATAAAYTMVARSMSRELLPDELRAAAFVRDRLLPAAKQQPRTKTIFISAGDDYSLYYNRLYPAARAYLATRIDPHTATRLCLAVPDGDDGQILSMVSPGPWTGGAAATTMKTCSSAGASPLLVLSFDAEHTDLALGKYVPFIMATAEEARRQRRTLQILMNEGSSWRGINLNHPATFDTLAMDPALRQSVIADLDRFLKRRDYYRRIGKAWKRGYLLHGPPGTGKSSLVAAMANYLRFDLYDLDLSEVYHNSTLSGLLKGIASRSILVIEDIDCCDSAASREDDGEGSSPSQTRSSSDKAQKRVDVTLSGLLNFIDGLWSTSGEERVIVFTTNYKDRLDPALLRPGRMDMHVHMGYCGWEAFKTLARNYFLVDDHPLFPEIHELLSGVEVTPAEVSEMLLRSEDADVALQGFVDFLQDKKKGVKV >Et_1A_004585.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:20922641:20923849:1 gene:Et_1A_004585 transcript:Et_1A_004585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGALRAKPEREHLGAVGPGHGPHPEGEGRHEGEHGGDGERHRPPRRVVVVLDDDEAEAEAGERRDDPGQAGEVEPPPSDAVDERDGRQDEHRLGHPHADGGAQDLVPRRDSGGLEDARAVEEHRLDARQVVEEMHAQSGDHDAPHRRRRVHEQLLPHALAAAARRGRGRGGRLDLRQPRLGLAGGAVLAPEHGERLLVAPPHDEPPRRLRHGEHPDGQRGAGQRAEPEHGAPAVDQRQLGEPVVGDVPQEDAEADGDVGQRAQRAAGLRRRDLRRVHRRDHERVPDADAGHAAAGQERREVGRQAHERGADEEDAGRQGDGEAAAEPVGDAARRERAEDGAHVQDAREDLHLRIVDLEVLLDEQHRAAHHAGVCIETLRFQRYNFTCCTCAKKCSSVSNLYQ >Et_8B_058551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16509801:16510214:-1 gene:Et_8B_058551 transcript:Et_8B_058551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLNCHVRANMSVAETEQNYGRIFHKGPCFSASGCQYYQWDDKIDAAIVPSAQPVLMQVQAPPQAPAMIQVPVGAPPQAPAMIQVPAPPQPQGGRVQDAPGNLQVLMVK >Et_6A_045860.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20359676:20360442:1 gene:Et_6A_045860 transcript:Et_6A_045860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSMSPKTNLPVVFLLLAAALVLAAPAPAAAQQQQEYCRDSLAGLMECQGFMHGAAALSPSCCAAYEAAFDADPFCLCYVADGTFARATGTVVDVPRALQIPISCGQAAPPVELCNSKPTSISPRSFLFCTTYMRRHGAKLFFSLFDSWMGVCCSARDRAPSLRAGGLAGRRRRA >Et_1B_010193.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:29085397:29085549:1 gene:Et_1B_010193 transcript:Et_1B_010193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METYRLRFWALLQFEERSDKIQAVSSSMKIIAMVLFASYGWKSNNRLYLS >Et_7B_054706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3714595:3716308:-1 gene:Et_7B_054706 transcript:Et_7B_054706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGSQSQKISCASSRTSCEYSPTDRKRQERFQRTPQNVDLPEIQPKNKKKPFPVPIKKMLKESRQDKRLAQMRIEKPLEPPKNGLLVPELVPVAYEVLDNWKVLIRGVSQLLNAVTVYGCRKCPQVHVGPVGHQIQDCLGSGSQRRNSHHSWARGSINDVLIPIESYHLFDPFGRRVKHETRFEYDRIPAIVELCIQAGVDLPQYPSRRRTAPVRMIGKKVIDRGGFVDEPKPHRSEDSVSLLAELDTFNNRQNQSSSPSNVKELAERTLDAYLNVRRGVEQLMSKYTVKACGYCSEVHVGPWGHNVKLCGAFKHQWRDGKHGWQDAVVDEVIPPNYVWHVPDPRGPPLRSKLRSFYGKAPAVVELCVQAGAEIPDEYRPMMRTDIIKRMNQGCRTASLKEKGWSLKHL >Et_4A_033528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24069941:24073196:-1 gene:Et_4A_033528 transcript:Et_4A_033528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRRTSSSFFGGLRARELSGAGGSSRAAPRLPYLADLSSDPGGRGAGVIAVEHSGDPAIPFAISFGKTAQTSNLLAVADEDGYVGLYDTRRRLPSSSSSLEKSAETRLSDWVAHNNAIFDVCWIKEGSQILTASGDQTVKIWSVGNRKCIGVLSGHTGSVKSLSCHSSNPELIVSGSRDGSFALWDLRCDPKSPNSHGEACLISSAVVREAHSPVQKARTRSRAKAASTSITSVLYLKDEVSIATSGAADNVVKIWDTRNLKVPVSNKNSQAGAQPLEGVKHGISCLSQDSYGAYIAASCMDNRIYLYSVLHVNKGPIKVYTGSKIESFFVKSAISPDGTHILGGSSDGNVYLWQVDQPESAPIVLEGHEGEATSVDWCASEVGKIATSSDDSTVRVWSTKKMDCTNISSPTVIRKRITAPNTEYRSSATHERATTSGDAVACSSAHGESPSGSHSLQPRVLNFGTPESAKKRVFALFQEEALDDLKKSPEADMKSPASVLSPPPSLKRRTIRDYFASSTS >Et_7A_051398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1823025:1826106:1 gene:Et_7A_051398 transcript:Et_7A_051398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSRFMHATIRRISMEMDAALQAADAKDWVYKGEGAANLILSYTGSSPSMLGKVLRVKKILKDQSQPEPNCRVFSSHEQLLWGHIPELVESVKQDCLNQAYAVHVMSQHLGVNHVDGGVCVGVSRDFLELVEKNVLDSRPAWRVNASSIDSTANVALLIADHSLFSGNSKGSSCIAVEIKAKCGFLPSSEYISKENCIKKQITRYKMHQHLKFHQGDISKTSGYNPLDLFSGSKERICMAIKAFFLTPQNNFRIFANGSLVFGGMGGGADSVHPDETDKCLEDLSKVSGLELSDFVELLSEAIFKSGVLPKLLATQKLDDHDIEGTIHLYYNIISQPCLVCENTTDAELLRKYALLHSLSLDKSLKIVRDFLISATAKDCSLMISFRPRDSVTTDSEYDSIFLDSVKQSYDYKAYFIDLDVKPLDKMEHYFKLDQKIVKFYTRNGEVGQSTKGSGTVIKR >Et_2B_022449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22268528:22274322:1 gene:Et_2B_022449 transcript:Et_2B_022449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVLWFVLSPALIVVRLVAADAADTTPSTTPAPRPGPGCPSMCGGVEIPYPFGVGNDCAWPGTDDFTVTCNNSFSPPRPFWANVEVLDISVETSAMRIASPVSYICYNSLNTTEPGGVAEWTLGSADSPFLISPSRNEFTAIGCNTLALLQGRADASYFTGCITYCASLGAAARDGDECTGLGCCQTAISGNLSTVQVDWNNGNDTVNPAWSYSPCSYAFVAQKGCRRDLVRDGNNSFTSRAAEKPIPLVLDWALRKDGSCRPPSNHSGASGKPTASACVSANSYCVDDPLGNGYTCNCSDGYIGYLTGPKGCTIIFVATILLAVLLRFVLTEQKHRQRKSFFDKNGGKLLKGADINIYTEHELKMITEQYSKPIGGGHFGKVFMGITKDNQRVARIAAKPRKGVFVHEISFQFQMRHPNLVRLVGCCLETDVPMLVFEFVPNGSLSDVLHGAGKQRTLSLLQRLDIAIGSAEALAYMHSHAGMYKRIHGDVKSGNILLDDDLSPKVSDFGSSKVMSTSSRYVRLVASDMKYVDPLYYKTGRFTEKSDVYSFGVVLLEIITRKPAKYDGTESLPVDFVKCCKVEGNGRKMYDRDIVNDDDAKSLVYMECLDRIGELAVRCLKEDDEERPTMAEVLEELKQVKRRTEVTNLISCCSATNAVYA >Et_10A_000296.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21707209:21707748:-1 gene:Et_10A_000296 transcript:Et_10A_000296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYVPTLRYYSGGLPVASTLYASSECSAAVERASSVLHEGAAVLDYTSRACTDSIPGHYVIYWELMMLTNKEVIHGDVLERCCLEMEEALNRVADGSIGPLEIRVVRPGTFEELMDYAVSRGTSVGQYKVARCVTLRPSIIELLDSRVASCHSAPNCRTGHQATPKHEEMILTEPSAL >Et_8A_058384.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:6267158:6267595:-1 gene:Et_8A_058384 transcript:Et_8A_058384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYEKIPGDDHRLIHYAGDGFLIGGSAGSAYHFIRALRRGGGLAAGFRAVAANGPRIGGAFAAYHAVFCAFETAISRSRGRDDFWGSVAAGAACVSTIHCRGCVSNVARNAVGGCAIGAIVHGLGWAFSELHGKMMMYRDSSRR >Et_2A_018792.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:7729187:7729942:1 gene:Et_2A_018792 transcript:Et_2A_018792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAVRSHHSTTSPEQVLTQCLHRGASQERKRTKGFSPSSCCVKLPADLVYEILLRLPIKSLGRSLCVCKEWQHLISSREFITEQSSRSKPLLVASFMEAEPKDNDMDCYLSLIDMESGSILKVIKYVKNIHVMCGCLDLVCVSGYRTGIQVLNMVTRKMIVDSTCDAIYHQILPDGACLDAQVIDPLIPHHFGFGRASISGTYKVVCLLDKGILGESHQQSYVFTLGRDNSSPVWRHKACPHAMSWVMAE >Et_6A_047497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6490966:6521160:-1 gene:Et_6A_047497 transcript:Et_6A_047497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAAASSTPPWTDLPSDLLREISGRVNTAANYVRFHAVCRSWLDSVPPPDRRPALLPWLVSPRDATGHRHARCIFSSRKSSAQIRIRDRRWVTSADDGTARYRLQTSSWGESPHRRVDPLTGYATAIPVPRFPEEVERWEDRAVGASCADGTVALCAFSRVDRWNYLGQGFDVALRHPAGDDAAEWTLVQRNVVDLPGEEWLQRRRFSCLAYRRGKIIVSNENQWCIVSTETKTAAADDKQWRRLRPHEAGKAVVSSGGYLVETRGELLWVFVLDKEKYHSYDRGYTVSVNDDLASTLFVSVSALHEPDNGGGVPQWVKKDGRSLADRVLFLGPERSFAVDAASLGMSSGCAYFVDRTMAFCASDRIGRKKYYPEQSRVFKYSFNDAKTEFVQRLPARWNRRDPFMWVSPRPIIATKKIDQKTGIIEFAQEIPSRIYVGNLPQNVDSSRLRQFFSSHGKVADVIIMYNRKTKRSRGFGFVIMMVAKDDEPVDIIAKLHGVPPRPGHGRTSPSISSAASQATSTPPTDYVRFHAVCKPWHHTLPPPTSRPAFQPWLLSPPDAAGNRKARYVLSPSRSSHHLAATTTSICVQDRRWVIRADDGTATCCLVTNSSSNAESSGLVGSPLTGSVTATTVPRFPRHEIDGWEERAVGVSCGDGTVVLYASGTNRARHGFDMALRHPGDAEWICMPGPLPNEPGMVFVCSHLVESQTELLWAFVQVNNDYYDRNACVIDDLQLSALSVSVYSFQDAEGGKPHWAKRDGWSLADRVLFLGRPSSLAIDAARFSMSGRGLMVAPGASHIICDWWCRVFKYSFIDNTTELVEQLPAEWADKGCMWLTPQPATIAPIEKFHRITISPTFNLLACRSRMCQGHEEPPFSCICRKSKKDFSLNIKRQQSLRHNYERIPGFTRTVCHARLVAIKFSNAKSCTRELGVHKPWCLQRKKNRLIKSPSPMAQSIKLTNAAASSPPWPDLPLDLLRDISRRLHAIADYVRFHAVCRSWRDSLPPAQRQPTCLPWLVSQPDATGNCKARCVRDSRWVISADDSAAHFCLYTSCSESSNGSVDPLAGSAISTALPPYPDEMNAWVKRAAGDGTVFLYAFGVVDRSSPSTPFFAALLRPLHAAVWSKAPLERSLVFKYTFHDEKTELVEQLPAQWTDVACLWLTPKPAIASTDSLATASCSLGGRAAWPWKLHDAAWSPRTPPWPDLPPDLLRDISGRLHTPTNYVRYHAVCRSWRSWRGSSRRATPPATGAAATEICVPDRRWVDDGGEPWWVERDGQSLSDCILFLGQLGSFAVVAAWFGMAGCAYFVDNKLWSFYRVTSDGTVFLYTFSVPQSWSAHVFTALLCPGAVAWTLVKHHEQTRCCVGYHDGKIVQCRESSRRQSEWTIVYTQDVGVRRSGYIDGNKPCKKHQSSYLMESRGELFWVFVQVKTDSAYYKDASKHGAGDLGSLTDAFFVSVYVLPDDGGKQRWVERDGQSLSHRILFSGAGSFAVYAERFGMAGCAYFVDRRPLYSGVWNKLTLKRCRVYKYSFHADKACRGAGTTWPAACGSRPNLPLHRQKGEPGASLADRVFFLGWHGSFAVNAARFNRHRREIREKLQQSRFSGFTWAICPGGWIGFCCKSSERSIVSHARVMLDKKTRRSRGFGFVTIAAAVDDELAHAIVKLRRQVEGQVLNWPDGMIVVHVGLITCRVYMGAS >Et_3B_031491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31704356:31709103:-1 gene:Et_3B_031491 transcript:Et_3B_031491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHGDAEGVTASMEGLRVDLEVSSTMETRIAQQENGHVVDAADDDDIWGDASDSPGHESTLDREWSHRRNQFNKLFSLMASLFNILSNLSTLPQMGYRDGITEGQKDSAQEGFNVGFRQSVNVGYQWGLVRGITSALASLPDSLKEKMLPDVHCRGKLQDLHNSVQGISADDALEVFHNSIRQNNNPSEEPHETSGQDGATDSNSFKTLSKDLVVLLHECPDIKVLLMCHKENKIGQANTSLEQRQHIQLVSRPLDDHPKLLNILRGKAIDLGIRTTITLYPFYMCLQYVLLWDTEINQRHGLLLDFTPNIRNSPSTRPDGIFVKRSVAHEARLVDMSPLMVDDEHQGRAGVQPLDGEMASASSHGLRPRSTVPRIEPILSAG >Et_5B_043009.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:11063128:11063478:1 gene:Et_5B_043009 transcript:Et_5B_043009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTWLQRWLSAATAAASGTEDSMLMPEWLPPEVLVKDVMVALDADRSSSAPDDDVRCVSGGHRVATAVAGGGGHAP >Et_3B_031099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15593020:15594350:-1 gene:Et_3B_031099 transcript:Et_3B_031099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQQSRRRRWLGRLLFLPHPAARDDEDPALHLCAPPLCGERLRAALRVAERDLARAMVERDVLAVADLRLLLAFLAARDGTSATPWPGTRLARDDPADSPPSSPPPRRLSGRALGRASDLFLASLSVRSRPPWSPRPRTPVPLKRDGILRSAGDAIDALLGSGSRDPERLLRPGSVAGAAVRGGAPRGPASASVPRRASPSTTWRAVEEKDAATADSLRLLLAVREYALAVSANVRSYTAAPGSELYVLQASQALLSAVVLRAAPLSAERIRVATRAAQRDLVLAMRKKDAGAAADLCLLLAFLAARDGRFQEVRGGGARAPVRPQAALPRADALPLRREAGGGRPTGGRTASRTRASGRSWSSRSAFSERYPVVMREVVGAAGSRVDAALLSALRDKGMSIAEEMELRAIRALVYFEMWSAVKDLETGSNSGSGKTAN >Et_1A_006298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20133822:20144369:-1 gene:Et_1A_006298 transcript:Et_1A_006298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PERGAIMAEPYQMNGILNGTPNLRHPSSPSEVDEFCKALGGDSPIHSVLVANNGMAAVKFMRSIRTWALETFGTEKAILLVAMATPEDLKINAEHIRIADQFIEVPGGTNNNNYANVQLIVEIAERTRVSAVWPGWGHASENPELPDALNEKGIIFLGPPSAAMAALGDKIGSSLIAQAAGVPTLPWSGSHVKVPPESCHSIPEDIYKNACVSTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDKHGNVAALHSRDCSVQRRHQKIIEEGPITVAPPDTVRELEQAARRLAKCVRYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLYNIPEIRRFYGMDHGGGYHAWRKISAVATKFDLDKAQSVKPKGHCVAVRVTSEDPDDGFKPTSGRVESGGAIHEFSDSQFGHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDYTVDLLNASEYRENRIHTGWLDSRIAMRVRAERPPWYLSVVGGALYEASSRSSSVVTDYVGYLSKGQIPPKHISLVNLTVTLNIEGSKYTIETVRGGPRSYKLRMNGSEIEAEIHSLRDGGLLMQLDGNSHVIYAETEAAGTRLLINGRTCLLQKEHDPSKLLADTPCKLLRFLVADGSHVDADTPYAEVEVMKMCMPLLLPASGVIHFVMPEGQAMQANDLIARLDLDDPSSVKRAEPFHGTFPKVGPPTAISGKVHQKFAASVNSAHMILAGYEHNINEVVQDLLNCLDSPELPFLQWQELMSVLATRLPKDLRNELDGKYKEYELNPDFRKSKDFPAKLLRGVIEANLAYCSEKDRVTNERLVEPLMSLVKSYEGGRESHARVVVKSLFEEYLSVEELFNDNIQSDVIERLRLQHAKDLEKVVHIVFSHQGVRNKNKLILRLMEALVYPNPSAYRDQLIRFSALNHTSYSELALKASQLLEHTKLSELRTSIARSLSELEMFTEEGERVSTPRRKMAINERMEDLVCAPLAVEDALVALFDHSDPTLQRRVVETYIRRLYQPYLIKGSIRMQWHRSGLIALWEFSEEHLKQRNGQDAPLKQQVENPMEKRWGVMVVIKSLQFLSTTIDAALKETSQYRTGVGIVSNGNHINSNQSNMLHIALVGINNQMSTLQDSGDEDQAQERVNKLSKILKDNTVTSHLNDAGIKVVSCIIQRDEGRPPMRHSFQWSVDKLYYEEDPMLRHVEPPLSTFLELDKVNLESYNEVKYTPSRDRQWHIYTLIKNKRDQRLNDQRMFLRTIVRQPSATNGFLSGSIDNELGRGQTSSSFTSNSILRSLMAALEEIELHAHSETVRSGHSHMYLCMLREQRLLDLIPFSRMSDDVDQDEATACTLLKHMVLNIYEHVGVRMHRLSVCQWEVKLWLDCDGQASGSWRVVVTNVTGHTCTVDIYREVEDPNTHQLIYHSATHTAGPLHGVALNEPYKPLNAIDLKRYSARKNETTYCYDFPLAFETALKRSWKSSNCGVAEANEHNQRYAEVTELIFADSVGSWGTPLVSVERTPGTNDIGIVAWSMKLSTPEFPCGREIIVVANDVTFKAGSFGPREDAFFDAVTNLACERKLPLIYLAATAGARLGVAEEIKACFNVGWFDDESPERGFHYIYLTEEDYSRLSTSVIAHELKLENGETRWVVDTIVGKEDGLGCENLHGSGAIASAYSKAYKETFTLTFVTGRAVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHQTVSDDLEGVSAILKWLSYVPPYIGGPLPIMKPLDPPDRPVTYFPENACDARAAICGIQDGEGKWLGGMFDRESFVETLEGWAKTVITGRAKLGGIPVGVIAVETQTVMQVIPADPGQLDSAERVVPQAGQVWFPDSATKTAQALQDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYNQPAFVYIPMGGELRGGAWVVVDSKINPEHIEMYAERTAKGNVLEPEGLVEIKFRPKELEDCMLRLDPELIGLNARLKEMKRENASISELETVRRSMTVRMKQLMPIYTQVATRFAELHDTSSRMAAKGVIGKVVDWEESRAFFFRRLRRRVVEDALAKEVREAAGEQLSHKSAVESIKKWYLASKGAEGDSEKWNDDESFFAWKDNPKNYENYLADLKAERVSKWFSHLAESSDVKALPNGLSLLLNKMDPTKREQVLDGLRQLLD >Et_7A_052406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7745014:7748376:1 gene:Et_7A_052406 transcript:Et_7A_052406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSQLETCFPSLYGLSPCAPPLAPLPNQNKLLQMPLVQEHVGNHGVMLSSDHHSGLYPLLLPGIPFCPSSAAAGDKPSGFATLDAVEASNSAAKAAGEIASSTATTCHGSSSWWKGPAMATEKGRAMKVRRKMREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHSNCRVKKRVERLSEDCRMVITTYEGRHTHTPCSDDGDGSDHTGSCAFTSL >Et_4B_037811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23654760:23658327:1 gene:Et_4B_037811 transcript:Et_4B_037811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPLGACRRLYVCRVRTCHAPLGTFQARGPLPCRSRAAPPQRTAGRPNGTNLRYKRTPKSTGVHLAVHERTLFLMYSLRAAASASSSGTGLGFALGRLGGTRGGAGAGGAAAAPGFAFPSSRRGRRSVSATSSAGAPLPGEQGVGMEQPKQEQPQVPPQDASRGEEEENTGDDKHKSTTAHGDVMTHSFGEGYSTRSDEEGFGGVYGGNDPVEHPGTEIHPSHPEYDTSQGSEVKEKEKARHHKDDMHAT >Et_2B_020307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18943078:18948289:1 gene:Et_2B_020307 transcript:Et_2B_020307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFARKEHQFLAELGLAPRNLGSFACGAWGGSGPVVTSTNPTNNQVIAEVVEASVHDYEEGMRACYDAAKTWMAIPAPKRGEIVRQIGDALRAKLDYLGRLVSLEMGKILPEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERPNHMMMEVWNPLGVVGVITAFNFPCAVLGWNACIALAGLMVQQQVNARFGKCLLELSGNNAIIVMDDADIQLAVRSVLFAAVGTAGQRCTTCRRLILHESIYQTFLDQLVEVYKQVRIGDPLETGTLLGPVHTPASKENFLKGIQTIKSQGGKILIGGSAIESEGNFLQPTIVEISSSAPVVREELFGPVLYVMKFQNLKEAIEINNSVPQGLSSSIFTKRPDIIFKWVGPHGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRATW >Et_9A_061180.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:20889323:20889343:-1 gene:Et_9A_061180 transcript:Et_9A_061180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCT >Et_6B_050147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7262770:7263225:-1 gene:Et_6B_050147 transcript:Et_6B_050147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAAVLRVPQRSRRARFTRSSCDAWAPRRGRGRPSINGHQANHRQGSAYEQRPLERIDGPAVILVCVAGLQYSLHALGTDAVMLYSPLALSACMASNDAAIGATDGVVQTSPWRRSCATGPAGARSSSPAPRLSRWP >Et_4B_038653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3689513:3690066:-1 gene:Et_4B_038653 transcript:Et_4B_038653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRAPSLFQIEEGVGVSAPMPASGELVGLRLIIQPSPRQRLPAIIRRSAARAPAASATKYHENGRMFVGLELLKCCFCCHKKLDADMDVFVYKGEQAFCSAECRSRHIAKEERREIEILVRKRRDAFHSRHSAPSKTEGSTRRLRLQIAA >Et_4B_039950.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:6612455:6612925:-1 gene:Et_4B_039950 transcript:Et_4B_039950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPRPTLSSGFFAFRAGAPPSPTASPPPSPRPHAPPPLAASFSPSAAATAVVDRAATGSSSFYDVLGLRPGASAREIKAAYRRLARDVHPDAAAPHSSAEDFIRVHAAYSTLSDPDKRADYDRRLLVSSAAGRSLGRSPTFPGRGRRTWETDQCW >Et_4A_032780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13746772:13749846:-1 gene:Et_4A_032780 transcript:Et_4A_032780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYVLRSLNWRMDVVTSFSYIGYFGCYNKVPAVVLYAVADIQARDFSSALLASEVPVGVALVKNSNSSVSPSIPKNPSCVLNVSLFSFATDDTQTPGSSQANNDSNATINQACSPLSKRTRLDTEKID >Et_7B_053402.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:15575495:15575683:1 gene:Et_7B_053402 transcript:Et_7B_053402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAKMVKAVLLLLFVVQILNGLAVAARPLEGAGLTAGNGIGMVTNLLRAAKSGPSSHTHCC >Et_7A_052364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7229635:7233738:1 gene:Et_7A_052364 transcript:Et_7A_052364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSWWRPLALWLLLAAASLESAAAGEEVAVAAGAAQRRHAYAAMMYMGTPRDYEFYVATRVMMRSLGRLSSDADRVVIASLDVPPRWVQAMKDDGLKVVPVENLKNPYEKQENFNMRFKLTLNKLYAWSLVSYERVVMLDSDNIFLQNTDELFQCGQFCAVFINPCIFHTGLFVLQPSMDVFKNMLHELAVGRDNPDGADQGFLASYFPDLLDQPMFHPPANGTKLDGTYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPSAPWFKPWYWWSWPVLPLGLSWHEQRRENLGYSSELPVVLIQALLYVGVIAVTRLARPSLSKMCYNRRMEKSTMFLLSLLRVVAVWSILAAYTIPFFVVPRTVHPLLGWPLYLLGSFSLSSIVINIFLLHPLSVLTTWFGIIGALFVMACPWYLNGVVRALAVFAYAFCCAPLIWASLVKTMSSLQVLVERDAFRLGEPNQSAEFTKLY >Et_7A_050589.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:3023343:3023708:1 gene:Et_7A_050589 transcript:Et_7A_050589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHDNSTGYPGRTYRFYTGETVYAFGDGLSYTSFAHELASAPPEQVAVPLAEGHALPRRRAGVRVRGGRGRPLRGPGVRRAPPGAERRRGGRRARRAPLLVAAVGAQRAGKAPARVREGEA >Et_4A_035549.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28848398:28848979:1 gene:Et_4A_035549 transcript:Et_4A_035549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPVRLTPPDGNSVGMFSSDRIGGFGYGVGVSVGILLLITTITLASYFCTRAPVDAGGEASPSRRHHRHGRRGGNNDGGDGGAHERDDVELGIDEATLKGYPEVVYGEARKEAKASKKGTTCTCCSICLDNYGDGDVLRMLPECGHLFHRECVDPWLRQHATCPVCRTSPLPSPMPTPLAEVTPLAMARMS >Et_6A_047838.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:19889424:19890323:-1 gene:Et_6A_047838 transcript:Et_6A_047838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRERFYGGRREDDRVLRDFTHHGGHGQHHQQQMDCFSDEVSSRDGEEEEERRDGSGGPVAAAAVESTTGKRRRGRPPGSKNKPKPPPVVTRDVEPAAAMRPHVLEIPGGGDVAAALAAFARRRGLGICVLAGTGAVADVPLRHPSPAAAAAEVVVFRGRYEILSISATFLPPSMSAAAVRAAAGRDLSVSLAGPRGQIIGGSVAGPLVAADTVVVLAAAFADITFHRLPLQDDASAASVSGSGGGDADEHRQPSEPQDANRAHPHGVAPPAPLYARQQHQEAWAPAGSTQQRQRPPYQ >Et_2B_019536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:176631:181233:-1 gene:Et_2B_019536 transcript:Et_2B_019536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASQLLLRHSRKVTILLFLHLLIFPVRVVRIVATTGRSNQKRAFRAPTGGLGSIAATPSVKPGATLLKREICKECMLFWTVHTGALLVTSLLLHPRFQRKAVSQVLIFVESTGKESENQAFSMTGSPEWSFQVSLTETSRNYICKRLSSYRAAVPVTRVNSLLLRGQVVLARPFSSGADLPPHQEIGMPSLSPTMTEGNIAKWLKKEGDKVAPGEVLCEVETDKATVEMESMEEGYLAKIIHGDGAKEIKVGEIIALTVEEEEDIAKFKDYKAPTSSPSDGPAEPKSQSEPAEAKEEKAASKAPEPNPTKAEDSGDRIFSSPVAKKLAEDNNVLLSSIKGTGPDGRILKADIEDYLAKDVKKEAAPAPGLGYVDLPNTQIRKVTANRLLQSKQTIPHYYLTVDTRVDKLIKLRSQLNPLQDISGGKKISINDLVIKAAALALRKVPECNSSWMDDFIRQYHNVNINVAVQTDHGLFVPVIRDADKKGLATIADEVKQLALRARGNSLKPEDYEGGTFTVSNLGGPFGVKQFCAIVNPPQSAILAIGSRLIPGAESEFEVGSFMSATLSCDHRVIDGAIGAEWLKAFKGYIENPTSMLL >Et_9A_063151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12120649:12121872:-1 gene:Et_9A_063151 transcript:Et_9A_063151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLHLIYNIAEQAPLRHLERLADDGGVLGNLVAELPGLLGGIDLVLPRRRQQLEVLHQRAERDADDGQRQDDPRAAPAANAEWNEPEVVAVRFYGLLLLKEPLRPVLLRLDPFLGVVGQEPGVDEDLGLGRDVVAVELAVVEVHVRHQQRDGHAQAERLLHHGLQVREALEVRLRHRHAKAQHGGQLVAELGLDGRVVHQLGDAPLDHPQRCLEGCTLYFSKD >Et_2B_019344.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24727442:24727693:-1 gene:Et_2B_019344 transcript:Et_2B_019344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRVRVSVRALLPLLLRPVARFATCVAVAGGPAAAAATILHRAGALPRNRGLERLVRDDALHDGTGRGHNCIASLVVGVMRCLC >Et_9A_063508.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:5407966:5408598:-1 gene:Et_9A_063508 transcript:Et_9A_063508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEDQLSVTKQGSNRLRSKMLSKEAAAQLAVPSFRVYYSVASAGAVPFLWESQPGTPKNDSPSAAALPPLTPPPSYYASGKGGAGAGGRSSRKRRAGAGAIFAFLRRRGRSTPTTTPCASASSSSSWSTSSSSSSAMSPVFAMARLSPAAGARGGHRRTFSDDAPCFGMEPECCERGMVKGCSVVGAVRNALAAVVGGKPAGRRAAAA >Et_6A_046647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18732885:18738141:1 gene:Et_6A_046647 transcript:Et_6A_046647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRVAEIEVVADGGARRDEEETQRANAGAGAAGPPDPVVDVYSAAAYGDLERLRGFVEEGGAAAALREPDGNGYHALQWAALNNYPHVALYIIEHGGDVNATDNAGQTALHWAAVRGAIAVADVLLGNGARVEAADMNGYRAVHVAAQYGQTSFLHHIISKYSADFDALDNDGRSPLHWAAYKGSADTVRLLLFMDCNQVRQDKNGCTPLHWAAIRGNLEVCTVLVHAGTKEELTLKDSGGFTPVQLATDKGHRHLSYILSNATKLSFEEKYCPGRSKKIGYAPILFGYLVVCLVLFLNSIVFAPNFSRITATAGLWSWAAISLFFAAQVMFYRVSRKNPGYIKANTKRRDPKEPLMEIDINNCSTWTGNWSQLCPTCKIIRPMRSKHCPTCKHCVEQFDHHCPWISNCVGKRNKWDFFVFLCMGVATSFLGAAVGFHRLWTEPVILSSSESWTHFMVTKHPGAVLFMFMDVFLLAGALILTGAQATQIAQNITTNEVANRSRYSYLLGPDGRFRNPYNRGCLRNCTDFLVNGYSNDEEAAWPTLQQTVQQC >Et_2B_022646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2950095:2954996:-1 gene:Et_2B_022646 transcript:Et_2B_022646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRYKHQQKTQQTSTSRLRRRRLREPSLGEQFPQRIRGAHLVLHLPGAGLQVHLGQLQLLRGQAGGVHGLAEHLEREGDGLLAGHAVAVVLLEHGLRGLAVGSDGGGLPAAEVARWVAHVELEAVVLVPSGEEEGDPEGA >Et_5A_041156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18903460:18904631:1 gene:Et_5A_041156 transcript:Et_5A_041156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSNFHHLKTFYMRRVELDRRFMNLLCSSCPVMEDLKLESCKFSDNHFQRIASPTLKKLVMHFCVNNTGHPLLIMAPSLNYLDISYGCYQDGIWLQKLDSLVKALIQIDGDRQTLSVATQLGILGSLFNVTSLKFVGLEAEVMLSAKSDEFPIFHNMRTVCFSWCFLDAYDLNDKLEALERFLQNTPCLEKLILKYCMYYSSPDSEWEIERKSITLQRLGRRVTREDFKCPKLKLIEVIYDYDQDHQLIEILWSLGRSFPEASIKLTKDE >Et_10A_000415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:112898:114114:1 gene:Et_10A_000415 transcript:Et_10A_000415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTHTGGGATAGRQHKFFKVLLPGSYEISLCIPPKFAAGLACLERRGAATLRDPAGRPWNVEIDRDSEHRTCFTGSGWRGFVSGNGVSAGQVLVFEHRGGLNFAVDMFDPSGCLSDVVVSLQPQLGLGDDKEIKATPRDEVTELIEITGDEESGNGAEAPCVGSAAAKRRRKQASSSSCTATTTGSSEKERRLEQRDDGTLRQRIDKPYQLRFLVLDVKKSLCDRLGWTTSRTVELCCDDCDDEGKRRKRWPVSVKVSANGGMLCGGWTEFAQDNGLGLSDACVFLPSDDDVFQLHLLRSTTAPTP >Et_1B_010100.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2394997:2395119:1 gene:Et_1B_010100 transcript:Et_1B_010100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGATAIIGAAAAPVPWPRLFFVLLAWFVGCLTLFMTRA >Et_10B_003185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17447084:17448710:1 gene:Et_10B_003185 transcript:Et_10B_003185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPCKLRPKAARHPNTAPSQLLIPTQRHCAGKRQRLSHKKPHAWQKRDAHAAALLQEGGMDLDLGVQVTCPPTPGEDFAFAATETDAAFLVLAHLPGYTKDDVEVRVGAGGAEVAVVVARKEAFSVEAVAAGRMRVAHRQVVDGFRRVFAVPPGVEVGGITVGFEEDDGLLVVIMPKRRPAPPPSDDGGEEVDVESTDCDCESMSGAEVDVESEPEPGDVEVEVELEVDDDEACSLEMEREDWVDVESEESEPEPRDERDVAVESELAVETPVEVVEERDVPVETELAVETPVPVEPPVVDIECDVVFAFEPPRPEEPLVETPIEVVGPPRSEPEPPPDMPNPPVDIPCVEPAPPAVVLEPEEPKPPPPPEPVPEPEPPAEEPVAQEPPRAEPPPPPEEPPPVEPPTPPPPPTQPTREKSPENTSESSGDSTDDNDGENQEGGGGGRRRRGGRGARRRRGRRRRFPLGMVVAPAVIMLALLVAAARRRRQQQRGAPGAR >Et_10A_000047.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:19110960:19111514:-1 gene:Et_10A_000047 transcript:Et_10A_000047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLVGLKNMHEKGIIHRDLKPSNILVDSNGKHVEGKICDFGLAIYYDQAVATWNRTPRGTYGYMAPDVHKTKSSCTFESDMWSLGAVMYEVIAGSPLIQGRDPADMITCMRSLFGTLSNEASTSLGVADGLQAVPKWATDGALIRRQFSRQCLEVLHGLLKFDPLERLSAADALEMDWFARR >Et_4A_034650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5090379:5092654:-1 gene:Et_4A_034650 transcript:Et_4A_034650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGSNPLAPVLQTVAAFSRRLIIAPDAGADDHRLRPLLSLSLSPPSPPPLPQPEAEKDAKVAPLTKEEVGRATWMLLHTIAAQFPDEPTRQQKRDAKELMHILSRLYPCKECADHFKEVLKENPVQAGSQAEFSQWLCYVHNVVNRSLGKPIFPCQRVNARWGKLDCPERSCDLEGSNDIMPNR >Et_3B_029372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24482571:24487463:1 gene:Et_3B_029372 transcript:Et_3B_029372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESAAAQACAACGDDARAACRACSYALCRACLDEDAAEGRTTCARCGGDYAAFDTAHGDGGAEAEGEVESHQAAAGLRERVTMGSHLSDRQDEVSHARTISSMSGYGSELNDESGKPIWKNRVDSWKEKKKEKKASAKKAAAKAQAPPVEEQIMDEKDLTDAYEPLSRVIPISKNKLTPYRAVIIMRLIVLGLFFHYRITNPVHSAFGLWMTSVICEIWFGFSWILDQFPKWCPINRETYVDRLIARYGDGEDSGLAPVDFFVSTVDPMKEPPLITANTVLSILAVDYPVEKISCYVSDDGSAMLTFESLAETAEFARKWVPFCKKYSIEPRAPEFYFSQKIDYLKDKIHPAFVKERRAMKRDYEEYKVRINALVAKAQKTPDEGWIMQDGTPWPGNNPRDHPGMIQVFLGETGARDFDGNELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCFMMDPTVGRDVCYVQFPQRFDGIDRSDRYANRNVVFFDVNMKGLDGLQGPVYVGTGCCFYRQALYGYGPPSLPALPKSSVCSWCCCCCPKKKVEKSEREMHRDSRREDLESAIFNLREIDNYDEYERSMLISQMSFEKSFGLSSVFIESTLMENGGVPESADPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPIRPAFKGSAPINLSDRLHQVLRWALGSIEIFFSRHCPLWYGYGGGRLKWLQRLSYINTIVYPFTSLPLVAYCCLPAICLLTGKFIIPTLSNAATVWFLGLFMSIILTSVLELRWSGIGIEDWWRNEQFWVIGGVSAHLFAVFQGILKMVAGLDTNFTVTAKSSDDGEFGELYIFKWTTVLIPPTSILVLNMVGVVAGFSAALNSGYESWGPLFGKVFFSMWVIMHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLLWVKIDPFVSGTETANSSTCSTIDC >Et_9B_064659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17458465:17464071:1 gene:Et_9B_064659 transcript:Et_9B_064659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGARLPLGRGTSLHLRFPHPRRFAAAAETSSLKNEDGNGFLHWLRRKAGTQISSVLTVGSSAFGRSLFAREPIREGDCIMEVPYDVQLTQDKLPGKLLMLLDNVVDDTTKVAVVLMMEQHLGHIFWNLNELHMVQTSSIYKEVIEQKDQAKKEFSEMKPILEHFPDLFGEVSIEGFMHASALVSSRAWWTSRGVSLIPFADFLNHDGFSTCILLYDEQKDVSEVIADRNYAVGEQVMIRYGKYSNATLALNYGFTHSRNVYDQARIWIGMPDEDPLREAKSSKGKGMGVPEILRAFFRVFCAASLEEMTAEAARTDGRLARRPLNNTEREIYAHRMLLLHLNDKIQRYSTAIEQLEIVNEAASGCVHPFRKKMAKDLLAGELRVLQSAHSRVATYCESL >Et_2A_018787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7644166:7645692:1 gene:Et_2A_018787 transcript:Et_2A_018787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSNGKQDDTSSPWPDLPPELAGVILCRLLSHTDRLSLMSVCRPWRLAGQQHHPLPPALPWLRLQDRFFQSLPGGELRLLNDSSSPRYLDCFDNWLFIARFNDDASGTRFLINPSTGRTIRVPELRFRSGCTSARKMIVCSPDGLVAASSYDSSEAAFYRPGDVAWSVHPSTSRTLRLGRYADMAFHRGKLYVLTIKEELLVHGVGAAAATGVSRACHVEHVVKGRLDQVATRLYLVASCGRLLMVKITRCIGYSGVDQASCDKFVEEVVSLKVFEADLVMGRWLEVKALDCGQALFVGRGGSSAVTLTGHDDIFQGNRVYFTGDDLALCLPLGKDVPSYGFYDLSSGTFGQSLFGGLR >Et_2B_020666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22535056:22536783:-1 gene:Et_2B_020666 transcript:Et_2B_020666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILLPFPCIVAALLPLLSVVLVAAKNGKSPLPPGPRVRLLWSLLCRCPTVASLSTLLRRLHAAHGTVVTLRTGGGKPAVFITGHDLAHRTLVRMGAAFAHRPAAAPSRLWPWPAGVNGHGINSAAYGGRWALLRRNLGAHLAAADVGGAGALRSSVDGLVRSLELDAAEREGGGGVVASERLRHAVFRFFAALCFAEGVADDDEDATLARLRGLHAEILSLVVELDAFHLVPVSLQLAHYFPRWRKLLDAQKRHHAIVAALIDARRRRREAVVSDIHDGAEAEHQCYVDTLLKLGLGDSEMVSLCWEFMNAAAKTTTTALEWIMARLVLHQDIQQKLWIDIARKSAGGNCSDRPFVEAVVLEALRRHPPAHYLLAHTTDKDVAVDGYVIPKGSVVNYCVAQIGRDAKLWTDPDVFRPERFMEGGEGTGAVMQRRRRQGDCDDGNEDDAVAVKVLQAFTEDLVRRFKWIPVVDGEGEEPAVDMAEKAGLVTEMRTPLRARLVRRGSTNFQEMNSRVCEYRV >Et_1A_006629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25415853:25418313:1 gene:Et_1A_006629 transcript:Et_1A_006629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVLMATTWLAVVAAVAAAALDMSPVPFHAGFTPLFGDDNLVPSPDGRSVRLKLDRRTGSGFVSKKAYNHGFFSASIQLPDDYTAGVVVAFYLSNGDVYPHNHDEVDFELLGNRRGHGWRVQTNIYGNGSTSRGREERYLLPFDPTARPHAYAVSWTPTAVVFYVDGAPVREAVRVPRAMGGDFPAKPMSVYATIWDGSAWATDGGRHRVDYAYAPFAADFSGLVLRGCPAVDDEAGLGGAAADAAECQVAVMTHERAVMTPAKRAAMRRFRRRYLIYTVCHDRVRYVGAVFPECDVDGEDGDRDGFHEWGESKRVVPHRRADKAAAVAGRPRTWPIGSLRAD >Et_4B_037291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17705532:17708042:-1 gene:Et_4B_037291 transcript:Et_4B_037291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTTHFPLIPLLLPLMLCCSASAAAAAAPHTLGTGASLAVEDHASPLLMSRDGTFSCGFRQFGENAFTFSVWFTDAADKTAVWTASPGNLVNGRASKVTFRRDGNLALLDANETTVWETKTKGRDLSLSLLDTGNLVISDLWQSFDSPTDTLVPTQPLTKDTRLVAAAGGRGGSFNLYYDHTNNLLRLLYDGPVMSSNYWPNPDNNAYKNQRITYNSSRLGVLDDAGVFVSSDNLTVEASDLGRPGVKRRLTVDPDGNARIYSLNASTGGWTVTWAAIRQPCSAHGLCGENALCEVDGRGLCFPKFRLFNGFRSASFFGNIYLKVPIDFNDDSAAERSSSVGLEECDPDAPRVVSAGSPEELFSTARNDMKWSYLFVFVGVLGVLDLVFIATGWWFLSSKHSVPRSLEAGYRMMVAAGQFRRFTYRELRDATGNFKEELGRGGSGVVYRGVLDGGNKVVAVKRLFDVAQQGDEEFWAEMTLIGRINHINLARIWGFCSEGKRSSKLLVYEFVENESLDKHLFVGGGTEEAGYSCRPPLPWRERYRIALGTARGLAYLHHECLEWVIHCDVKPENILVTREYEAKIADFGLAKLSTRLRDGGAGGGVQLSKMRGTTGYMAPEWALNLPINAKVDVYSYGVVLMEIVIGRRVSDQVTPEGQPLEMPRIVQALRRVVDSGDVTPLVDARLLGQFNPRQALEMVRISLSCLEERSSSRPTMDDVAKALTAYDDEDEHPAYRS >Et_7A_051913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2695549:2697257:-1 gene:Et_7A_051913 transcript:Et_7A_051913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPDHLFGLRNSFYIGAYQAAITSSQAVAASTLSPDEIVERDAILYRSYLAIGSHQLVIDEIGPSAATPLQAVKLLAVYLSGDAANKESVVSKLRELLGDPAVGSNPILRLMAGTIFMHERDYTEALKHTNSGGNMELLALNVQIYLQMHRADHAEKQLRFMQQLDEDHTLTQLANAWVDLVMGGSKIQEAHLIFQDFSEKYPTTCMILNGKALCSMHMGHFEEAEALLLDSPNKDAKDAETLANLMVCSLNLGKPATRYLNQLKLTHPDHMLVKRMSSAEDIFDRACQAMA >Et_8A_056318.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:22305724:22305825:1 gene:Et_8A_056318 transcript:Et_8A_056318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADRIIFLICRKDYVKATFWSFCPFWFSPQDS >Et_9B_065472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5451995:5452406:-1 gene:Et_9B_065472 transcript:Et_9B_065472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLMLKSLHQVSAGCKTCKPKGAVECPGCKRGLARTRTAASLSDGSASTAKDLASRVVPAEVSLRSREGRDNKIQS >Et_7B_054993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6234731:6253758:1 gene:Et_7B_054993 transcript:Et_7B_054993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPSAPPVVQEEPPPGKQLRNQLAAAVRSINWTYAIFWSISNSHPRVLTWKDGFYHGEVKTRRIANSMELTVDQLVLQRSEQLRELYESLLSATCDHRAERPSISLSPEDLGDMEWYYVVCMTYAFRPGQGLPGRSFARNEHVWLCNAHMADSKTFPRKLLAKVYVLMLLTDQWICIIGSLPACAYTYLTVRVLRLVGFILCSDWPAGSLRRLRLFRQSYASLSWVVYLSWEPLPRSYFQVLEDSDLLNSATTYFKFPRCMENSLANQTGETNNIILRDDLDQNATETITADGHELGEVDCLFNANHDQITAVVDDFYGLWEELLDVHTLHDTNLIMDGSSLVAASEAKDAATSSIPEDSSRVTHFINWTRSESDELVVPVIEEPQKLLKKVVAAGAWMNNSVESTARTTHERGIKNHVMSERRRREKLNEMYAVLKSLVPTTHRMDKASILAETITYLKELEQKVKELESRRESISSPDDKRPTSHENEIIGKRVPATTKRKKLASKPGNGMEMEHHWVFSKDGPINVNVTVIGTELLLEVQCQWKELLMTHVFDTIKSLDLDVLSVEASTTPNLVMGLKIRAQFVSPNPFQPGIISEALRRAEEPQQGKQLTNQLAAAVRSINWSYALFWSVSSTHPGVLTWTDGFYNGEIKTRKISNSAELTADELVMQRSEQLRELYRSLLSGECDHRAARPVAALSPDELGETEWYYVVCMTYAFRPGQGLPGKSFASNEHVWLCNAHLADSKTFPRVLLAKTIVCIPLMSGVLELGTTDSVTEDPDLVSRVTTSFWDRQFRTISVDPSSSCPSANVTSEDDDRIVFEDLDHNAMETMIAGANELGHVEILSNASLEHATKGIDEFYSLCEELDVQPLEDDWIMDGSFEIPCSPAAAVPGAATNDAGNVSMPVDRMTSFMKWTGAADSDEVAVVPVAGEAQKLLKKVVAGGAWEKSDGGVSTTRSATQESGIKNHVISERRRREKLNEMFLILKSLVPTIHKVDKASILAETIAYLKELERRVEELESTSREPITQPSGTERLRGRGHVNGIIGKRVCGAKRKAMDTEHQLHHWVPSKDGSSNVTVTVTDRDVLLEVQCRWEELLIARVFDAMKTLHLDVLSVQASTPDGFMGLKIRAQATSSAAIVPWMISEAIPHPLVQEEQHPDMHLRSQLAAAVRSINWSYAIFWSISSSHPRALTWKDGFYNGEIKTRKIANSMELTAEQLILQRSEQLLELYESLLSGRCDHRTGRPVAALSPEDLGSTEWYYVVCMTYAFRPGQGLPGRCFASNEHVWLCNAHLADSKKDFPRALLAKVPEDPDLLTRAITYFKELKLPRGAENPSTNHVEATNVIVLDDMDEDAMETTIDEGHEIGEVDCLFSGNLEQITKEIDDFYGLLEEVDVQPLQDSDWIMDSLLAGPEANKDDATLNTRGDSSRATSFTPWTRFKSDELAVRVIREPQKFLKRVVAGGAWTNNNGGESTARTPQESGIKNHVMSERRRREKLNEMFLVLKSLVPTIHKMDKASILAETIAYLKELERRVKELEFRREATSRPGETRHGNFPARAKRKKVSELSSGDMEMKHHWVLSKDRPNNVNVTVMGTEVLLEMQCRWKELLMTRVFDKIKSLSLDVLSVEASTKDCLMGLKIRAQVIVRQLMIQETAMACVHN >Et_9B_065576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7243314:7245675:-1 gene:Et_9B_065576 transcript:Et_9B_065576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RWSGYNGCDETERGLAWSGITAWGSPELSWSPRLMRLVDQEQRLIDKSSKGPAKEWAHPIDPVVGPDAGGQGWSEGPSRVHGRAREVAAGEGVGADDEAGEQWADSAD >Et_3B_031446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:295318:298406:-1 gene:Et_3B_031446 transcript:Et_3B_031446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVAKLGTLALRTLSKPIASRLKTQAAVHPKFRDFIIAIAQMNHRITTNIQRRIYGHATDVEIRPLDEQKAVQAATDLIGEAFIFSVAVAALIFEVQRSARSEARKEEARKQELEELKQREDSLAKELEDLKLKLDEIERLARGRGLSGILNLKGVHDTYMVGMTGGGACAVCKHQRRKCEPNCELAAYFPANRMNDFRALHLVFGVANLTKLIKANATDAARRRAAETLTWEARWRERDPAEGCYRELAVLRRDNALLRAENAALRINLAAGGNRGPPPATNLAANANGTMFLTSNYTTHGAAVPLLCPPPANASDVTIRADKGNAR >Et_3B_027489.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21759369:21761153:1 gene:Et_3B_027489 transcript:Et_3B_027489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMSHPMSFPARKDGANVGDGYTKGPQTKSTRDPIDPNKVENFKGTGSISAWSWNNPPIPKEAVIFAFCSTSVFCLVGLMVWCLPDARAAAKVARPNLYIRLVAVEGLDPRESPPASPSFHLVLDAEKVSWRYRACNGGGNSMLRVSYCGMILAWGKVPSFCVDSSQSSDNVATVEAKAAAAVLREEVRDLIWRELQVVGMVEFDVEGEVAGLGYAHGKPFLSKGKAAEVSKGVQQ >Et_2B_020763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23351032:23354459:-1 gene:Et_2B_020763 transcript:Et_2B_020763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALVDAGDMPEASVRNLLDQDTLKWVFVGGKGGVGKTTCSSILSVLLASVRQSVLVISTDPAHNLSDAFQQRFTKFPTLVRGFSNLYAMEIDPKVENDDLSSEGMEGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKVMELKSRFGGLLDQATRLFGLGDDLNEDAMLGRLEGMKDVIEQVNKQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDSHNIIINQVIFDEEAVESKLLKARIKMQQKYIDQFHMLYDDFNITKLPLLPEEVCGVQALQNFSRHFLTPYKPALKRGTVEELEERVSVLRSALQEAEAELDRVRKGKQAA >Et_3A_027325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7659549:7669564:1 gene:Et_3A_027325 transcript:Et_3A_027325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGVKLRVKRAAPEDMASYINIAFRPKCSKLSSSNFSTGITTPGLAAAAAAAASAPIKSLANGASPFLTTANITNGLRNPITPSTPSATILAACRVSSARAPSAPDASAWTKGWSCCGKREPERRTHDASAATDLTRHEARRDEGEAARGARRSGRAMSGGRRSGREAARRAREAAAWAATAGWGEARRAEAASWAAAGRGAGRERRWRKKAATAWRCRAGSGTRVRSMRREKVPTAAAPAEEEAMVMRWPETEVAGEGRGE >Et_2A_016979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:376030:380335:1 gene:Et_2A_016979 transcript:Et_2A_016979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRPRPSGAGRFSFDCKLRRLIQNGGLVVPGSSAEDVAHALRIHHPEYRREKLVPFTAAVSRVLSSIPSPSSSGSDDDDASHRRRRHHDAQGSTSSSTSVSEEASHPSPPPAFDVTKAMLRTQYASQTPKRNPGTNQQLEIEVTTEKARRLITADGGGGGDAKPEAPTSSEGVIRGEKGPRFADLGGMESVIEQLMMEVVVPLCHPQLPQHLGVRPVAGLLLHGPPGCGKTTLAHAIANETGVPFYKISAPEVVSGVSGASEENIRGLFQKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDEFHQNIGGGDADADAESSDKKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGVPDENARKQILRMLTQNLRLEGQFDMFKIARATPGFVGADLKALVDKAGNLAMKRIIDKRRIEYCREHEGNNKHDWWRQPWDAEEVESLHINMDDFEEATKMVQPSLRREGFSSVPDVTWDDVGGLDLLRKEFDRCIVRCIKSPEEYEVFGVSMQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKGPELLNKYVGESESEVRKIFTRARTNAPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGADQRQGVFVIGATNRIDVMDDAVLRPGRFGKKHYVPLPGADERVSILKAHARSKPVSLSIDLDALARREECNNLTGADLASLVNEAAMAALEERLEFLENGTSSMSSSNLIEPSHFERALSKVKPSVSEQQIRHYEALSKRYSSN >Et_1A_008768.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12286711:12288075:1 gene:Et_1A_008768 transcript:Et_1A_008768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKAADTAAVGAVDQDDGHAGAAALDGVQYCSEHPYRPGAAAAAVAGGGICAFCLQEKLGRLVSSSKTSPFFPLGGHPPPSASPSSPPSFRRSDEPPPPLRPPGASRKFIPFHRKKTSSSSSSSSSATLSASMAGGGGLKRSKSVAPRPEEQQFPYSASSLTAESPRKKSFWSFLYLSSSSAYAHQATAGAASTPYANGSAAAARRKSVSVASAAWASRAGPAATGAPQEQQPRPGASTTTLGRTLEAIGEPESPSQVSSSSSFGRKVARSRSVGCGSRSFSGDFLERLSNGFGDCTLRRVESQREPKPNKMRGGGGLGGHLSSGAGDDEDDDDDAYAHHPHGMKCAGFFGGLGPATSSYWLSAAEGGGVGSGTRKSGGGRSHRSWAWALASPMRALRPTSSSTSTKTITVVPPSHAGNGTTSTSSMSISSPTQSSSEATAAAAEAIAVAAMN >Et_10A_000792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17287617:17290261:1 gene:Et_10A_000792 transcript:Et_10A_000792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGSRADGSNEIIAAAPAEAGNGINDQEILASAGDDGVQHMLEQDNMSAASGWIDEPSEENDAVDGIEEGQEVRSAVRRKLSIQLRDISRYIHITLKAACKELDISKTALKNFCRKLHMKRWSYQTYLVVYAQLRASGSYVIVHDSISDFHDVLCTSMTGGGHADDSGEVVAAAPVEAGNGVNDQEILSGAGDDGVQPMLEQDDMSAASGWIDGPSEGNDSVDGLEQERQDIRSAVRSKLSIQRGRLSKMDLRDISRYFHITMKAAPKELDIP >Et_4B_039919.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:5924601:5926358:1 gene:Et_4B_039919 transcript:Et_4B_039919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAGEARAIGRVSVPMAVTGLVMYSRALISMLFLGRLGELALAGGSLALGFANITGYSVLSGLALGMEPICGQAFGARRGKLLALALHRTVLLLLAVALPISLLWVTSTGPILKLLGQDAGVADAAQTFAAYASADLAVLAVLHPLRVYLRSQNLTLPITACSLFSVLLHGPINYLLVDRLGMGVAGVALAVALTDLNLLLALLCFLAISGAHRESWVGPTADCLRGWPALLRLSVPTATAVCLEWWWYELMIVLSGLLPDPRAAVASMGVLIQATSLVYVFPSSLGQGASTRVSHQLGAGRPAGARRAAGAALSIDVAVGVAAAAFMVSVRDHWGRMFTSDDGILRLTAVALPIAGLCELGNCPQTAGCGVLRGSARPASGARINLASFYLVGMPVGVALAFGAGLGFAGLWLGLLAAQAACAVWMARAVAATDWDVEVARAKELTKASTTNSSHASECNNASVSTSDINAVIANITTTATGNNSNAECKNLQGYVPISQQACGNEELEKLEEGLVRNESVNGDASGDSDAVVVRENHGGSNCNNNGGAGTTATEGKEQGERAPLISVEDGEHDGDGRGGGQV >Et_4B_037084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14479105:14486508:-1 gene:Et_4B_037084 transcript:Et_4B_037084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPARPQRTPDEVEDIILRKILLVALTPPANPSPAIAYLELTAAELLSESRPLLALRDAAERLLIDRLSIPDPLPGSPSPFAFLAAAFRRAADEARKISTIRDAALRARLADSIAHLRGLILSYARIVAGNPDTFPSPPNAPHPAAELLVFLLADAADPLDPTPAPGAPPPPGFIDELLGTADYETVEPVMVELYERLRQSVMKVSALGDFQRPLRVLRRLVGIPNCAKALVNHPKWIPKDQIMLIGEGGSWSSPAYLGPSSTSVPSLTVSLQASLTQQCFSEASSRRPADLLSSFTTIKSVMNGLYDGLKDVFLILLKNLDTREKVLEYIAEVINKNAARSGMQVDPLKCASSGMFVNLSAVMLRLCEPFLDNMESKKDKIDVNYLFFNNRQLARYEDDLESNRTMRDQGGGSPQLEQDINRLEKMVEILSQEKFCYEAQILRDGPFLQRALSFYRLMILWSVDLVGGYKMPLPTECPKEFSCIPEHFLDDAMDLLVLTSRIPKALESFALDDFLNFIIMFMSSSSYIKNPYLRAKMVEVLNCWTPQRSGMNYTASLFEGHQLCLDYLVKNLLKLYVDIEFTGSHTQFFDKFNIRHNIAELLEYLWDVPSHRNAWRQIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKEIEAEMANTVEWERRPAQEREERLRVFHQWENIVRFDMKLANEDVGMLAFTSEQIPAPFLIPEMVERVASMLNYFLLQLAGPQRKSLTVKDPEKYEFKPKQLLKQIATIYVHISRGDKEANILWKIGGDPRIIQEFMQLAGKVKAAAAEALDAEAILGDIPDEFLDPIQYTLMKDPVILPSSKVTIDRPVIIRHLLSDSTDPFNRSHLTQDMLIPNTELKAQIEEFVRSQQSRKRTAAESEIGESDGATDMTM >Et_1B_011946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27437091:27438070:-1 gene:Et_1B_011946 transcript:Et_1B_011946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSGQRMPKLTLLKAMNKPHSEMLVVLLSLSSFMFYVGHNYTINEYCDDWIVRKNRTHKSHTHTHTHTHTHTRVVAEIQRCVGSKSLFTSRDLAWLPFLKGTLSSIVLSRRHCVYTHQHPSSFLIKRLVTTIPRGTMLLKNSFPIQMNLFLKGFLVKRSPRRGRHTLGKRACPGDNLDMQMVTLILDAMIQCFHWERKGEEPVGMEEGSGLTMPKLVPLKSMYKPRSEMLNVLLTL >Et_6B_049788.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1273309:1274496:1 gene:Et_6B_049788 transcript:Et_6B_049788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASISGSRTCYPDAALARGSRRCTTIRSSFAPSSPASSSKKTSLRIGRKWAELQGARDWDGLLSPLDGALRGELVRYGEFVRAAYASFDFDGGAHSYGSCRFPTASLLRRAGLPETGYRVTRILHAASGPAWLTSSSCRSSYIGYVAVCDDAAEIERLGRRDVVVAFRGTATRGEWVDNLQSTLTRLSAGEEEEEEEEAAMVESGFWRLFTAPGEAHGSLQEQVRGEVERIVREYGGEEGAPPLSVTVTGHSLGAALAVLTAYDITSTQQQQQQGGGSNGAAAQPMVTAVSFGGPRVGNAAFRRRLEAGGGKVLRVVNANDIVTKVPGFFPVDDDCESESAKRRVPRWLASKMGWAYSDVGRELRLCSKDSASSNVVASHDLGLYLKLVAACTD >Et_3B_031656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:679686:681244:-1 gene:Et_3B_031656 transcript:Et_3B_031656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCLEEPRSSESRNAQVSVLEGIEEEGVPAEKWPPTAVRPPETPTETMEFLARSWSLSAAEISKALKVLSSKPTSDAPAAVATTEQRSPPPLNRDHHEQRGNAAMAAQAGEAVGAMSPPASPRANLDVKMLRASGKGKTVGSWIKEQREKKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFSAPPPEQRAAKDGGATKTAAAVASAAALVASHCVEMAQAIGASHDQILAAIHSAVNAQTSGDVMALTAGAATALRGAAMLRARLHKEIQATALPGDGREPERDISPLVFVSRGGELLKRTRQGILHWKLVTVYINSSFQVMIKMQSAHMAGTFIKTKKCVVLDVCSDVPAWAGRELEDGSHKRRYFGIRTAERVIEFECRNKYDQHKWVQGITEMLSRRDNMNTAL >Et_2A_015129.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31297312:31297893:1 gene:Et_2A_015129 transcript:Et_2A_015129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITLLFVAAITCASFPPSVVAQSSCASYTFSSNQVYASCASLPRLGATLHYNYTAATNTVSVAFRAPLGGDSKAGWVAWGLNPNGTGMVGTQAVVAFQHSNGSLVAYPTLLDSYAPSMAPADQLGFPVSEVAAEQVAGKKEMVVYATVALPGKGSKFNHVWQQGSSVVGDVPAAHPTSGDNILSTGTVDFSK >Et_9B_063985.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:9979328:9979585:-1 gene:Et_9B_063985 transcript:Et_9B_063985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAWHPILRNTLTLALLWVVWKRENRKIFDDITMSLPSSATLLQEHLRLWVCRAPKSISVQLLEDRRTLEVDVTALFVYFSTKF >Et_3B_031450.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:30004586:30005242:1 gene:Et_3B_031450 transcript:Et_3B_031450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACRGKFYFNCTPTELGVLEFCPDPVLSSISIDEAEDEAASGTEDEQREYEPQAPASTESGLVDPAFSSMSIEESRDDESEGELASEDEERERHESRAPAEVFHVESGGDLYMVTLMHVSTFGDAIAECFVYKMDFTARRWRRVDDLGGRTFFLSTFYFGASGFCGETGLQRDCVYIVYSWKKEMLVFDVKEGTQRVHKLDEAPVADKAFWLLPNEI >Et_4A_032064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15100719:15101570:1 gene:Et_4A_032064 transcript:Et_4A_032064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAPIPDHQAPLPFTDEILEDIFLRLPTPTDLVRATAACPSFRVLHPPPLLGFVNSVGFHPTEAPHPSAPLADALAAAADFSYSFVPEERWLQPWRARDVCQGRVLIECVPELTILDTFSLKDLELAVCDPLSRRYVRLPSVPEDLTAPHERLIEFESFLVPTREDEEETSFRVMCTAWNDTVLFAFVFTSITGQWHLAASPSFSSLGTSPPHYYMEFKTFHFIGDHIYWTTPWVDKLLVLDTLAWISQLSRKCHPATKGGTIAPVL >Et_3B_028404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14712203:14714928:-1 gene:Et_3B_028404 transcript:Et_3B_028404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDATFEFERKRNRPERYDRNVTEQTLKAIPVILKTRHERLKKHIKDRHAPGKKLEKKYAAKELAQDTGLLPKDKTMLPTEQQKVKVKVVQQQTEDNMEEQLVHRHQSQARTPEPLPGRKAFFIPSLRNLVHTGQI >Et_4B_038227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27370636:27372920:1 gene:Et_4B_038227 transcript:Et_4B_038227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSSTATPAAPSPPRSSPPPSAAPPPSPDSPSPSTSGDSSPPPAPARSHGAPLSPAKTHSSPQEQPSSRGSADTGKPPSPLAHRGGAITTVEVVFAAAGAAVLLGILIAACVCCSRRTAPRRRRKPHHPMQFYADSSVYKGMVMVNVPLMPWQLTAGNSTYYTSGPQPPQWQSDTGAPATMSTFGPPGGGVWHAPHHDNTTSDVFSSSSSPRPPPSPHEALGLGKGTFTYEELAAATGNFSPANLLGQGGFGYVHRGVLPGGRAVAVKQLKSGSGQGEREFQAEVDIISRVHHRHLVSLAGYCIAGAHRVLVYEFVPNKTLEFHLHGKGQPVMEWATRLRIALGAAKGLAYLHEDCHPRIIHRDIKSANILLDNKFEAMVADFGLAKLTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELLTGGGPSTPAPPTPSWRTASSTGQARPALARALADGDYDDVADPRLQGNYDPVEMARVVASAAASMRHSAKKRPKMSQIVRALEGDMSLEDLNEGVRPGQSMTFGTAGSGAGYNARAPGPYTSDMERIRQVPMAISEYSGTVDELSFSDDMNPAKR >Et_2A_014925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22000226:22001236:-1 gene:Et_2A_014925 transcript:Et_2A_014925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGGHCGKGKPPPALPPPRRAREERRQTSSGSFSASLLDAIYRSLDEGDGADAVVAVADAPRRRSEELAATTVPPQFWWAKEQAADGRRRRETTTGAARARHSGYASSTASSSDSSASRSSSNGSFSCSSASTTDTESTVARRRHGPPPPRVVVAEEPAVADAGETAAKPNNDSKRKSKSRPCFPGARFRPRSPSSQPSSPGAFACALKALFTSARLQRKPKTPSAAAAPQQPPPPQTSGATSAASTAKAAVVPEPPERSVVRRRVEELVRSLDELEEDEEGSDSSSDLFELESLRGAGADELPVYGTTSLAANRAIALRAATC >Et_9A_062302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21751820:21753507:1 gene:Et_9A_062302 transcript:Et_9A_062302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADAMQLPRIDFSGVDPSAPGTGTWSEVRAQVMDALTTIGCFDAHYPALTPELRAALFDEAVKPLFALPVEAKRRNYYGADKPFHGYMGDIPGFDGYESLAVVDALKSENVRAFADLVLPDDSNAAGFCETVHGAAKRMAELEETVRLMVLEELRVDKYHEPLKASSWHLFRVAEYKAPNAAEKAVRYGSHQDTNLLSVVCQHEVEGLEMQTRDGDWVVVKPSPTSLVVMAGNALRAWTNDRLHAPFHRITVSGDVNRYSANLFSAPYFKVQAPDELVDDEHPPRFKPHNNDDFICFCVSEEGAKHEDKLKAYCGL >Et_4B_039429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15026644:15033770:-1 gene:Et_4B_039429 transcript:Et_4B_039429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISITLSQFATPVQHSQLLLRVVREESIKDLLRKKSKNTAPPESSHQTFAAMVAGLESLLDPTALSLGLPTPALKKEDYLAICLAALAGTRGTGLKAAAGLLQLEHGTTTKCCQPAPAAVAEELRFRCTVCGKAFASYQALGGHKSSHRKPPTSEHYAAAAAAQASSDSEATTDDGAAGAHRCTICRKSFATGQALGGHKRCHYWDGSSVSVSVSAASGSASGTGSSAVTIRNFDLNLLPVPENAGFKRWAEEEELPTEAIK >Et_8A_057147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21566566:21568822:-1 gene:Et_8A_057147 transcript:Et_8A_057147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMSACGDGDAAARGATGGAGRWAVGAVCGGLVYYHCAVRRASAVSLAADVLLVLLCSLSILGLLFRHLHISVPVDPLEWQISQEMANSIVASLANTVGAAESVLRVAATGHDKKLFFKVVFTLYFLAALGRVVSGAAVAYAALCIFCLYMFAQSTDLFDQLPSWVPLGRDSLGGAQDSA >Et_1B_013542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9368892:9369675:-1 gene:Et_1B_013542 transcript:Et_1B_013542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLAALVMECTTSGTSTMASTAPSLPPISPTMTSECASFSRISCGDLVVALIKSLNHCSWTAKELLV >Et_1A_008277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5923298:5931002:-1 gene:Et_1A_008277 transcript:Et_1A_008277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDRVSQKPVSCGARLHRSCDASLRERVSMRSPFLKHRVKKFDLSSLDWIDEIPDCPVFSPSIEEFEDPMVYLGKIAPMAAKYGICKIVTPVCASVPAGTVLMKELGGIKFKTRVQPLRLAEWSKDDKLAFFMSARKYTFREFEKMANKEFVRRYSSTACLPPRYMEEEFWHEIAFGKIESVEYACDIDGSAFSSSPNDQLGGSKWNLKRFSRLPNSTLRLLRAAVPGITDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGSAASNFENVVREHVYDHEILSGEGENAAFDILLGKTTIFPPNILLHHRVPVYRAIQKPGEFVVTFPRAYHSGFSHGFNCGEAVNFAMGEWFPLGAIASQRYALLKRIPVLPYEELLCKEMALAAEESSMSDQKDITLSREIHIQSCMKVPFVQLMRFQHYVRWSLVKMGARTHYKAEIDATVLCGICKRDCYVAHIMCNCRVDAICLRHEEEIRLCPCSYDRTVFVRKDIFKLEALSKKLEEIGILDEVGKQMFQNDASSPYPYLFDCIDSSVKYFPYCKIQIDGSSEEHTVSEKYVLGYDLNKLYPTESTVTYSHGPHDYSTQTDECTSSNRRTLFSSCLGSAITSETATISAYPLSNPAQAFLPDKLAAQDTDDSDCEVFRVKRRSGIAMEKRHTEDVITDSTQNQVLKRLKKALSADRQVKRLTEFSSGARDTVHTELHCPNKLKMIHELDVEDEVTFSWKSNGRSYLSPSVEVGRKRLKIRAPSFPSTISELEISYRFQEENDFCYGTPGPGHGRCGSLEDVSA >Et_5A_041615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2527030:2528699:-1 gene:Et_5A_041615 transcript:Et_5A_041615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKTLVNKDLENKVKFVSEGDWDFLCTDEEDEAEAIPEPKRKESMKEREVRREERRKANLKKFGSDYQALLASKFRRDWDFVWSHSYGSFEDTNELSNTRIPPMRYTDKPAPEYRATTLHTLQIFSVKVAVIKGGLQWPLDVFGLVAIRDNVDHNRNIIFNRTRDNCQTLTEEDRNLVLVGPSRAVVVTIPHPVIIEVNLKVKGATESEDEDLSFLASSTLEVALGSIVSSVEATVFARVISGAWPNGFHGQFAVFAACTCDENAESTDYKEIVLLYSRGQQVFVTDDGMVQLSRRVVSVESSGKLKVSVKAWRPDNSSVMNREKVFTPLKRGLSDWTFLIGSCKMEVSVAWSLISSEPVRANSVL >Et_9B_063672.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18069410:18070079:-1 gene:Et_9B_063672 transcript:Et_9B_063672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVGNGYVKRAYFVGPLSLPLQQATTSNGGDSQCIDWLDKNPAESVVYLCFGSLTHVSHAQLNELALGLEASGKPFLWVVRSDSWAPPQEGWKERVGDRGMVVTGWAPQTAILAHPAVGAFVTHCGWNSVLETVVAGVPVLTWPMVFEQFITERFVTQVLGIGERLWPEGAGVRSTRYEENELIPAQAVARAVSTFIEPG >Et_7B_053424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18376432:18377226:1 gene:Et_7B_053424 transcript:Et_7B_053424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKVYGMTLLLMVICCVASGLSFGSEPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGIVAIIVSAAFKASFDAPADYAWRIVLMFGAIPALLTYYWRMKMPETARYTALVAKNAKQAASDMTKVLNVEIMEEPEHAEAVSRRENQFGLFSRQFAKRHGLHLLGTTVCWFTLDIAFYSGNLFQKDIYTAVNWLPKADTMNALEEMFRISRAQTLVALCGTVPG >Et_8A_057614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5119710:5122952:-1 gene:Et_8A_057614 transcript:Et_8A_057614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding STGLLELARLLPSEAAAGPNLLARAERSWAFVSAGRFDIDHPFMNPLAMSGGGVGGARLPSSDGDRGGARHDEGPRPEVRGCAAGERVGKGRDPGRGPRLLHRQLATLRRHLKLWTHQLRPLPFHRWQRRTRTTRLLRP >Et_3B_027936.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27501776:27502015:-1 gene:Et_3B_027936 transcript:Et_3B_027936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCHAPATRSISRAPSGNPLPRRGQVKERIVKNIVVAVGTAAVLVCDKTASGAGKKGGGGKAPIPTVERPETAVKKN >Et_3B_031341.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26199130:26199786:-1 gene:Et_3B_031341 transcript:Et_3B_031341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPPNNDDGADREGFTCSALLMCLYQPGRSKKKPEEDPEARTSSRPQVESMGPAPDQQPNEAEQEPAHAPSRRASFEKFECASLSGNNIVFDFVEEDQAAQAGYCPSPCFDLPVELIRAGERYGAVAASDDAPVTAAFVFGDYQGAALKKMASCLAAGVEEARRPHLVRFLSSAAGSSAPPRPPATPSRAELPKGTAVGEDRVHHQPAGFPEQETVV >Et_8A_057520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3959118:3962254:-1 gene:Et_8A_057520 transcript:Et_8A_057520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENRIARISVTWRGKQLDVDVDPNCTVKELGQLLQDLTSVKPDTLKLIVPQSTNKGSKLIAPYSDSHSGLTLNEAAICEGKPIRMMGVFEDEIEEVSDNGKRPDLRIIGFDEEEQRLRQRSTGRPQTSLKLPQGQYIFCDFRTLHLPGIELNPPPSEALKRMHMLACDPGIIAIMNKHKWRVGIMTEMAPVGYVGVSPKCILGFNKNMGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVHSEHDAHFFALNKQLNEEAASLDWTKSGGHTLNGRKIFYSYEDEFVLEPGTIAVGHRLGGDSSSLAGARALAGTAAYNRLLNAPPATDFESFTHKVILSSKDDGVPDTEVEPNLKVTGQSFIQENVKLEPDPDDNDLMHVDVTIGKSGSLGSRSCTGRHTVGYSEPDPDDSSNVNILNRELMFDGHRHREPDPDDGTSEFGNKMEVECGHQSNIKSVLKSEPDPDDSLDAILNQDLSPDTKYCRETNPDGTVCRAVLESGIEMEVTTGEHSRTPTVLKSELDPDGQPDDSNTNELQRIEEPVAALCSRLRKAIEMLRLQATPAEADSAIQTLFKIIKNVIEHPNDMKYKRLRKSNPHFQRSVANYKAAMEVLELIGFCEDVISDEIGRVETYLVLKRNDPGLLWLA >Et_7A_051383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17757248:17761580:-1 gene:Et_7A_051383 transcript:Et_7A_051383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFYCEQLIDTLVSQQVQPNRRISKPPFLAHPASQHQSAPAVSDSRNRNSRNPPPVPPTSVPARLPRTPPLFPFPPPPPAAVARLAPFAFACAPAFLLLFAPAPASTSPAAASSAKAGTSAGNERTAASRLAAAPGGGTPQTILLANATNVLGSALMGNILNTVKRFSRNDSGAIPFQRCSNLPIEASRLNKCTCVDSDGSVTAKIDSVTKGAIDGCEGFHKKVGNDGFHLTTGITSRVGCFDDVNFITLSTAHIEQSGSAEADKNRGTQNETVDTVAKELGFDECSEKAGLIYSQTSDNMLHNLKDESTEIPTSLMISNDFENKVCSRNPELANELETSASIDRQKKKEFRLQRVSHAVSLSGSSVSDEQDVLSFGCKSSLVVAFEEQRHDRTQHKWLSDDTAPIETVTACATFDVRGLEGTTRTSLKRRAIQEKITRKASNEMLASKENIRTLVPEASLKGRGKRKRTTIKASNQMFVPKENSGISVPSDVTCVEIEKEPMSPLVEQSCGEKVLQSTPRSRMSKTPLSYVHQSPLTRGMAKALSISTPESMKRSRSGEQENLFRSADSSAVGPRKPKHYLWP >Et_9B_065688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9164613:9166514:-1 gene:Et_9B_065688 transcript:Et_9B_065688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIQEVVFDANAIGWAPSGPGTALSLHHEHPDNLPAATGGRYVLAAGRADEDDGLRQAIAPGKLKPRVTYRVAGWISVAAGAEQDGAAVRVTVRVDEGRLVVDGGAVSAVPGKWAEVKGAFRLREAPTASAAVHVHGAPPGVDVKVMDLRIFAADRKARFKMLKDKTDKVRKRDVVLSFGGAEGGAASVRVVQLENSFPIGSCINCEVIKIPAFVDFFTTHFDWAVFENELKWYHTEPQPGQLNYGDADALLAFCDRAGKKPARGHCIFWAVDGDVQQWVKDLVGKDELASAVESRLRGLLGRYAGRFAHYDVNNEMLHGRFYRDRLGEDAPARVMFREAARLDPGAALFVNDYNVECGNDASATPEKYVELIRELRRGGASVGGVGLQGHVTNPAGEVICDALDALAAGTGGLPVWFTELDVREADVALRADDLEVVLREAYAHPAVQGVVLWGFMEGHMWRKDASLVNADGTVNEAGQRFIDLRKEWTSEARGSVDGNGQFRFRGFHGTYVVQVTTAAGTKMLKTFTVDKGDAPLVLDVMDL >Et_5B_044634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4203302:4204910:1 gene:Et_5B_044634 transcript:Et_5B_044634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTQISKKRKFVADGVFYAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPEGGVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGFPVNVYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPAMPLPDLVTIHPPKEEDEIFRPLPAEISVA >Et_1A_008342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6721479:6724937:-1 gene:Et_1A_008342 transcript:Et_1A_008342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKRLMADQLEEWKEYYINYKMMKKKVKQYVQQTQTGGKNRDQVLKEFSRVLDDQIEKIVLFLLQQQGHLASRIENLGEQRAALMEHSDAAQICQLREAYRDVGYDLVKLLRFVDMNATGIRKILKKFDKRFGYKFTDYYVSTRANHPYSQLQQIIKQVGVVAVVGALTRNLASLQDHQGSFSSIYDHPSIILKVFYLGFPHVQDPVIEQINLSVQKLTHSTNFLHFLGHHALIVPEDLQSGSDLVDEKSYHFVSLLLNLLNAFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQIFSSVYFSAWSNRSYFRPLVFSSIMLFFGNLLYALAYDLNSLTVLIVGRLLCGLGSARAVNRRYISDCVPLKIRLQASAGFVSASALGMACGPALAGFLQIKLQIYGLTFNQNTLPGWVMCLAWLGYLFWLWISFQEPAHIAMENSVNTLSSDSDRRLSGNLEDGLGQPLLLDAKEGHDLDGEDNDDNDEDPEDSHKPAASLADAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFKWTTSTVAIFLAVLGLTVLPVNIIVGSYVTNLFQDRQILVASEIMVLIGIVMSFCFTPHYSVPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGYLGQSRLLNATLLPSLVICLASIVATFCTYNSLY >Et_5B_044270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22085658:22086504:-1 gene:Et_5B_044270 transcript:Et_5B_044270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIGSPGTWSGLALRVLQCVTAMASFATTAAAYAFATNSYGVFRYLMYTMFGQFLWSFLLGCVDVVAIKKQHNLRVRRILLPLGLIDWAMAVLTFAASSSSAGVAFFFGRDTNMCELYAAQLCSHYNTSVVLALVTWCFSAASAAAVFWVLASD >Et_8A_056865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18009930:18010991:1 gene:Et_8A_056865 transcript:Et_8A_056865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTTISTCRAETENGKHVFDIFDYSQHRGIGIGELIRSGTFSVGGHDWAIRFYPDGFAESSRNYISVYLELLGKDTKVRASCDIMLVDQATGLSTSVSKTELRMFNSDDLTRFAPQTDLFMQRSQFDASPYLQDDHLTIQCSVTVRKRPQVSTTKSANKMEVPSSNIAEHFGALLDAEEGADVTFNVGGEKFTGHRIVLAARSPVFKAELFGQMREAKLDHISIEDMQPADLKRTRPSALAEAFEKKMSRKI >Et_3A_024658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23028271:23034725:1 gene:Et_3A_024658 transcript:Et_3A_024658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATSPLFSLSSLSASLASPTRVPISLSLRVLAPRARLSVSLPFASPLGGYGSWSAASTSSAGRWRQRGLEVVCEATKTGRRPDSVAKRERQNDKHRIRNHARKAEMRTRMKKVFRALEKLRKKPDAQPEEIIEIEKLIAEAYKAIDKTVKVGAMHRNTGNHRKSRLARRKKAIEILRGCQKFEKSPFTIQCPD >Et_1B_010032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19332986:19333475:-1 gene:Et_1B_010032 transcript:Et_1B_010032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WILPSTGWIKINVDGAFSPGSGKAGVGVVIRDEEGKCLLCSWRVLFDGESPEEVECRAILEGLNLATEWERRKAVVESDCASIISRKENRILVAHELAQLAKRNTHSVVWRFAVPSCVEHLLPKIVIMP >Et_3A_023623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1025514:1033544:1 gene:Et_3A_023623 transcript:Et_3A_023623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASRIPLKRCTAAVPGEGEEEPTATAAAAATMPPAKRARERAVPSRFSDSVLLPAKKRAVVSSPQDDGDGEVYDVEVCVAEPKGASFGPIETAVWTPDPPQPTEVELYRACRNISRSVSTASGSVVTSVSNAATDGGAAGNGGLEERPVVVECKPKTENGEKKDDFYWPEDFVLGDVVWARSGKKCPAWPALVIDPLQHAPEVVLNSCIPGALCVMFFGHSASGHGRDYGWVKQGMIFPFVDYLDRFQGQSLYKIRATRFRAAIEEGFLAERGFFDLQADVGCSLQDSVNDQSVPDGIQEGVASNNEQECQSNAQGVGQSTRCCDSCGNRLPSKLSKKKKQGGEQLLCRHCEKLLQSKQYCGICKKIWHHTDGGNWVCCDECQIWVHVECDLTCSNIEDLENADYFCPDCRSRHKAVPKATKQTNTPNSSECASTSKDKLPERLPVCCNGVEALYVSEKHMILCECKSCKERLMTLNEWEKHTGSRKKNWKMSIKLKSSGEPLITLLDDVPCGNAKSTPGINKEELLKLQANSYSPVYAKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGATVVQDLTTWVCRACEFPQQKRECCLCPIKGGALKPTDVDQLWVHVTCAWFQPKVSFPVEATMEPAMGILSIPVEYYKKTCVICKQMHGACTQCWKCSTYYHATCAARAGYRMELQYSEKNGRQVTKMVSYCSFHSTPDPDNVLVVKTPEGVFSTKFFLQNNEKQTATRLVRKDNHQEKVLPAKISDCLAARCLPYEMLKHKKESRSPIAHRIMGPRHHSQDLIDALNTCMDPKDDQTFSTFKERLCYLQKTENKRVSCGLSGIHGWGLFAAKNIQEGQMVIEYRGEQVRRSVADLREARYHREKKDCYLFKISEDVVIDATEKGNIARLINHSCMPNCYARIMSVGDDKSQIILIAKRDVSAGEELTYDYLFDPDESEDCRVPCLCKASNCRGYMN >Et_7A_050398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12825377:12825673:1 gene:Et_7A_050398 transcript:Et_7A_050398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNAKLYLQNCYIMKENERLRKKAQLLNQENQALLTELKQRLARTAAPNNKANGNSNAAALNNAASPQARGGHEKAAPKSKKAVAN >Et_10B_003335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18982291:18987022:-1 gene:Et_10B_003335 transcript:Et_10B_003335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KRERGGAPAKQGRPGVVAVRIATTPWCLRKELLDLRRAARIPQIHNDRIGLAGPSGALSTSWAGLLASSQAEPSSTSDDPVPIPPNLTHLAAGLSQIPPPSRRCAPPSIRQRCQARGARRSPFAASTNPRARGGRRCRPPFAVSAKKEGHAALHRRPSPWGHAAPLPTGSPTSPPSCLPPDAPALSSSIAAGPPPPPPLAMCMQMRPPFETTRRVIWPVLCSQRQAPASLRSATMVTSASSLPPPNASKKNTVIVISGPTGAGKSRLALEVAKRLGGEIISADSVQIYRGLDIGSAKPSVEEMNMVPHHLIDVMDASDDYSAGMFFRDARRATDDVLERGRVPIVAGGTGLYLRWYIYGKPSVPQSSLDVTSAVWSELASFRENGQWEEAVEMVVKAGDPKARDLSVNNWNRLSRSLEIIRSSGSPPSAFALPYNTFSEQHGTEPMDDASADGTCEARELDYDFLCIFLACPRVELYRSIDLRCEEMLADTGGLLSEASWLLDIGLHPNINSATRAIGYRQAMEYLLQCRQNRGESTLQEFMEFLAKFQATSRNFARRQLTWFRNEKIYQWVDASQPFDSIVQFICDAYHDCGARVVPESLEMKRESCIHTSRDLKTYRTENKVFLGDDDCCHIIEFPWKSIMLELVEYGRSLLATEDYLSYGADHPIRALSIMKVVLDQLAEAMVHEASNMQRRLKNEPRIPLLVSSLEAGRPLSNGQSGHLLHDKLHQHSRAATAKVAATVLTLICLHKASSHINFSLTNSYLCAAASKFWTTVVSWFSSSMSSGNASVYMKWSMALNTLGSMPSIFMLQRLLDLSSFVFEATTALKTGDRAASNHLCAWNVSPSTSKVISVPCFSQRRLLRCLCRSNSGTTTGEVALWTTTFSVELEHPMMEMSHLP >Et_1B_013878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22042295:22049255:-1 gene:Et_1B_013878 transcript:Et_1B_013878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADEAGEDAGVRLVRVDQDLPRDVVRVRARVVVVVLAQRRLRRRTVEEAQGGHHQQRDGDGGRARRGRSRHFFRISPLVGSVVGLMFPILIMDCAKLVKNASWLLANRSTIGAVTPCACGADLSSWSELRKPRLASRLLK >Et_8A_058123.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:20943465:20943809:-1 gene:Et_8A_058123 transcript:Et_8A_058123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKNTKRWVPVNRTECASSSGGRGGGAPAAGRRDAVRRAPLHQRVVPTNANTGTKQWVPVNRTGGASRSGGGSEPDADRLSALPDALLHHIMSCLKAWEQWRSQTQKTGGADP >Et_8A_058302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4498832:4501730:-1 gene:Et_8A_058302 transcript:Et_8A_058302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQQLELSRAERRSARPDKEEDTDSAEEVDDCPIEEVRLTVPITDDPALPALTFRTWFLGLISCALLAFSNQFFGYRQNPLYISSLSVQIVVLPLGKLMAAFLPRKNVRLKGTKWSFSLNPGPFNLKEHVLITIFANCGSNSVYAVSIITIVKAFYHREMHPLAAMLLAQTTQLMGYGWAGLFRKFLVDSPYMWWPANLVQVSLFRSPTIQFVHLFTNMFFICNEVTRALHEKEKRPKGGTTRLQFFLTVLITSFAYYIVPNYLFPTISTISLVCLVWKNSVTAQQIGSGVYGLGIGSFGLDWATVAGFLGTPLSTPAFAIVNIMAGFFLIVYVIVPIAYWTDAYGAKRFPIISSHVFMANGSRYDVNQVLDPSTFQFSQSGYDGAGQINLSIFFAFTYGLSFATLAATLSHVALFHGRSIWEQTKATVRAQTGDVHTRLMKRNYATVPQWWFQVMLVLVLGLSVFTCEGFSRQLQLPYWGVLLAAGLAFFFTLPIGIITATTNQQPGLNVVTELIIGYLYPGRPLANVAFKTYGYISMSQAIMFLGDFKLGHYMKIPPRSMFIVQVIHRTNDSHLYGAQKGNLQIVTPSCLKAQLVGTVVASSVYFGTSWWLLETVPNICDPAKLPEGSPWTCPGDDVFFNASIIWGVVGPLRMFGRLGLYSKMNYFFLAGALAPVPFWALSRAFPGTAPWVRLVNMPVLLGATGMMPPARSVNYLMWGAVGLLFNYVVYRRYKGWWARHNYVLSAGLDAGVAFMGIVSYVVLQSRGVNGVNWWGLEVDDHCALARCPTAPGVSSPGCPVH >Et_7B_054654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3136830:3140541:-1 gene:Et_7B_054654 transcript:Et_7B_054654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRFCFESKQTGGQTWHSGAAYCCDFPAPSVPRCSGTAALLQRSSLIRLLPPILPNPTGLRVGFYQYTCPNAEAIVRDEMTKIISQVPSLAGPLLRMHFHDCFVNGCDGSILLNSTPGSPSEKESVPNLSLRGFGTIDRVKAKLEQACPGVVSCADILALVARDVVVLTKGPHWDVPTGRRDGRRSVDLEALDNLPPPFFDAAQNLNQFFIPKGLDAKDQVVLLGGHTLGTSHCSSFSDRLYNFTGTMMPDPSLDKFYLPRLKSKCSNPGDTTTLVEMDPGSFRTFDASYYRHVARGRSLFTSDQTLMADPFARAYVLREAAVAEAGAYPAEFFADFAASMVKMGNVQVLTGAQGEVRRHCAFVN >Et_8B_059035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12700698:12704697:-1 gene:Et_8B_059035 transcript:Et_8B_059035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LEYVTFSFPTASDLQDDHDISRIHGAEVVKAKHMEFTFIYCGVAGNGEEEKTRKADPPRELAEDPPRTPSWSAAGSDIPANGIGEAPQIRSEMVGKEEAGSIKVEEDEHTHCRSRRHWPSAQNFAASLRSIDVNHRPFTTSSSAVGRILGDGNVPAIRGGGHSHVAGSPSPERAVIARGKGGGHQREVEAVLAGERITGTGGGGSAGVRRTAQNVGGGSCANFGSPARVWHREGSGITGEIEIVVKVWRNIWLGETPLCLVYPVLFDLCSNKEQWYELAHQLNNVNLNMEKDSVTWLWDASGKFTVKSVYEHLTKDDSGLNMKHIWK >Et_10A_000358.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5195114:5195362:1 gene:Et_10A_000358 transcript:Et_10A_000358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNRSHEHNSFIFFLLGSICWALWLTRNDYVFRNIICNSPQAVVYKAVSFMQRWRILHKEDGRTRLDDVMKQIKEELEKLPN >Et_5A_042211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7505424:7520857:-1 gene:Et_5A_042211 transcript:Et_5A_042211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPNKLLVLDAGRTEFSIADLPPGCHRRQIAMVEAGEGRVGMFVLRDHIADGAAASLHYIVRQDGADGSGHWQMEKIVPLDSGFRHYIRGATERYLLLLRFPEDLSSSGVHVSSSTDKIDLECLSLDMASPARRILPHLESTTPPRQPPALPAELLEDILLRASPTTLARAAVACPSFRRLVADESFLGRYRALHPPLLIGFLDGEFRPAEAPHPCAAAGRAFARAADFDFDGYVPRDGWFGWATSDVRDGRVLLRYGPWTKKDGRVSIFSDLAVCDPVSRRYRLLPPIPDALQASVQVHEKSVKCFDAFIVPSGGDEEDTAFRVMSAVQCLEKLAVFIYSSSTGSWNVAASTTWEALSLTVPQQGRVLGYGPIYFAYGCFYKKVSEKNKLLKFHVSTTEFSTVDLPPRHDNMKIVVVEAGQGRLGVFSQINAQMIRYSIRQNEGQEEWKMVSIIPLPKDHVSSIVGALRGYIFLSGEGRRNATAKACFSMEIKTLKVERVGWMMGFHVFPYFGYPPSMSPRNVAAATIPIRPSPTTLARASVADQSFHHRFWLLHPRSCSASLTVNSGPWSLRTTAHSPAPPTSTLNLMVASISRDGWFRWATCDVSDGRVLLRYEPWVKKDDSFSKFPPLVMCDPLFLQYRLLPPIPDAIRASILVHEKGVKCFNAFIVPSGDEEEDTTFRINWAVFIFSSCPGSWRVAASTTCYALRFTVPQQGRVLGYDPSYFAYGCFYKNVFEKNKLLKFNVSTTEFSTLAFPPKHEKLEIVVVEAGQGRLGVFSQTNEQMIRYFIRQNEVQKSREWKMIKTLNIKMVGWMMGFHVFPYSGYLPSMSPRRTPRTLNLPSPAAGALPARWPRPRCPVDLASASATCKSFRQAIADRDFLRRYRAAHPAVFLGFVMEGFRPVAAPHPNVAAARAVAADSTSTTSPAAEAGNTPMDVCEGRVLLERSRGRREDGGVFFPDLTMCDPLSRRYLLLPTIPDALITSVHAQSRGSCFFESFLVPSGDHEGTSFKVIARTHCAKKLVVFIFSLESSNWSIGTSTSWVDLGFAVASVLASIRSCLLLLESVLQGQDAQARYHKDGVFIVDLPPLHIVREVVIVEAGTDRLAMFSHYTNEEAVAYYAV >Et_2A_017303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3365258:3368178:-1 gene:Et_2A_017303 transcript:Et_2A_017303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAVKAGSRPPWLGLGAAVWVQVAGGASSTFALYSHALKVALGADQSRLALLGVACDVGENLGLLPGVLCNRLHPALLLLIGGAACLLGYGTAWLIVSGVAPALPYWLIWFALCLAANGGAWMGTAVLVTNMRNFPLSRGAVAGILKGYSGLSAAVYTELYTGVLRDSPTYLLLFLTLGVPALCLLTMYFVRPCEPSLVENTAEQTHFVFAQMASILLGVYLVGATILDHVVTLNDALNYTLLAIMVVLLFAPLAIPLKMTLLQRNRRKGTLDSSESSPSSDNDHTESLLPSSSASNLADLEDEDSFDIDILLAEGEGAIKQKRRRPKRGEDFRFREAILKADFWLLFAIYFIGVGSGITVLNNLAQIGIAAGAVDTTISLSLFSFCNFFGRLGGGAVSEYLVRSRTLPRSVLITCTQVVMIITYLLFALGRHATLFVSVALLGICYGVQFSVVISTSSELFGLKHFGKIYNFIALANPVGAFLFNSLTGYVYDLEVEKQRATTPDSDIACHGPNCFRLTFCVLSGAACLGTLLSAILTVRIRPVYQMLYAGGSFSQPRSSAH >Et_7A_052164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5181328:5184499:-1 gene:Et_7A_052164 transcript:Et_7A_052164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPLWMMKNVRSVAQNWRTPFTCYLGALLPNRSRGPSLLSPSRASQRANHGICAGRRLRRRNTGIHWFSVCGNFRNTGTKSCLEMPHQVSAVFSMTAGKKHACGDVAYGVMKHRLARHEPITRPVPMAAAAARLLLPRAVRTAASPSASALLRGPLDSFSRRCREVAPPPPPPPPSTVFSRNLSDAAFDAQALDTRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDSSLVASHSSVAEDIVMVNNGCLCCTVRGDLVKMLLKLVKQKGDKFDHIVIETTGLAKPGPVIETFCSDELVSKYVKLDGVVTIVDCKHALKRLNEVKARWVVNEAVEQVAYADRIILNKIDLVDDAELEALTKKIKLINGMAQMKKAKFGDVDMDFVLGIGGYDLDRIEAEVQLQESKETGHCHHGDGHGHHHDHVHDSAVSSVSIVSEGVLDLNEVNDWLERLVEEKGEDLYRLKGVISVNESTGRFVFQGVQSMLEGCPAKPWEPDEKRVNKLVFIGRNLDEAALRKAFKGCLL >Et_10A_001625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6113409:6116268:-1 gene:Et_10A_001625 transcript:Et_10A_001625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSQRAPSSPCDATWSLATGVAIPARHARSQSPVRRSAASPSWRSSSPTTTDDDDDGDVVVVVPARGSETPSTRDRMSGLSRCASPEARARIAAASPATARRVAVRLYESLGLHGLRREADRAFRDAAEEAPAPTPEPEEEGEGGRELTGMACLLKDGLVSYLREFAKITPPVPKQAPYSTRIETAAFSYETFGNKLLECFLQPVRSLSLSKRLAELPILKGIDGYIMPGSITLVLGQPGSGKSTLLKILAGRADPGNNSGLTGTVVYNDKPASEVQKSRLIAYVCGQLN >Et_7A_052357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7170057:7172275:1 gene:Et_7A_052357 transcript:Et_7A_052357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGQENKMRSKKPLGIQLLECFKGSPISFRSCQGLVLVLTFLSYASYHATRKTTSIVKSVLDPKTNLGMLHWPSHMYIEKLKGGENNLTLSSGWAPFNGEDGTALLGEIDLAFLGVYAFGMFFAGHLGDRVDLRILLTIGMIGTGLFTSAFGAGYWFNIHNFYYFLGMQMISGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLIAAAMLKYGWCWSFTVPGIMIALVGLTVFLFLPVSPDVIGIQEDLKDFEKNELDAPLLERRSDVKEKAVGFIEAWRIPGVAPFALCLFFCKLVAYTFLYWLPFYISHTAIGGQYLSDSSAGVLSTLFDVGGVVGGILAGHISDRLDARALTAASFTFCAIPALFFYRLYGSISLTWNIALMFVTGMLVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSIGAAVGPLLTGYISAKSWSAVFTMLMASALVAGLLLSRLVMAEIAAKMESRRPAHASDLPVSSSMDEP >Et_2A_016624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26768968:26773413:1 gene:Et_2A_016624 transcript:Et_2A_016624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISNKKAHVRYKLRISFANHKYVDSNWDHTSMPPCVILSSGGLMGDGDGDEPRPDVDDGWRSSASSHEALGERVVVREHPEAEEAAAEQLAVLRDLGVHGARDADDERDDVDPDDGERRDEERCPLDEVELGERVVVVLGRGFGREREGDLDPGADLEQALQHGGEVRGGAPDDPELLVAPPLLEPDPRPLDLEQAEQHERDGDEEEVAEERGVERGDDELAGEEGERRQEAVHDEEERGERVDPHVEVRQALQELEPARGQQRVVSGEEDLDGARRPAEHLVEPVREVDGRGSPERVAPGDAVDGAPPAVVHAVAGDHVLGDGAVDPAHALAPLRAVLVPARDHGDGLRHGRRVERGAWREERPRWTAVLHRRVVLQGPALVLGHGDRVDEHLGLAEPLRRLVVRVLQVVLVCRRRQRPELVVVVGHGHGPSGAPINGHLGLAVHAEVVLVGARRGEPVHGVPPEQAPRAHVHGALEAVERAHVAVEEDGVVVGVAVGWVDALEPLRELDVADAVAAAVQHVAHGLPDGHAVVDSKLSMKGALDRIADARTIAFMAPAFLLWS >Et_5B_044571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3676424:3679613:1 gene:Et_5B_044571 transcript:Et_5B_044571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSERSASFRGRVRACTRAHAKRMHYVKGGEDGGLEILDVESRDDDVGEGVADLCGAEEALDLGVEELAIEFWSNELERCRLPLRKYLWAVLQVELVDVLGCEEGPLVLVRGSDHRRDKAAGASPGDVVESASRAFGPSSSCIHVLCCGQLVTHSEANKGLSLHACMLEWCGLRRTWSFDSRKARMVPGMRPLMPPPSMVSTVTLFPVAGGGNGGGASS >Et_9A_061507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12882179:12883549:1 gene:Et_9A_061507 transcript:Et_9A_061507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEPPTSRKRVAGTQINKDNPEPDDDGPEPEMGTFKKASEEVMATRKIVKVRRQQQSSAPSNPFAAIRFTPSDSGVQTSAPIPEPKPSDVKADEGSNGSGKDVLSVTNNNAGSAIKTESDVAKASPQPVETSEKAETTKDGSSEDKSVVEETKEGNSVSSEVEYKTKEGDAEEKKGADEAENEDKISKDDTEKKDGGESQTKDGLSDEQKDADKGQSSATPLFSFTNLSSGQNAFTGLAGTGFSSLSFSFGSASKDGSSAGPLFGLKTDGSTFPSFNIGATNNGSSSPALATSAEAPKKLLIPEGPVETGEENEKAVFTADSALYEYLDGGWKERGKGELKLNVPVSGGERARLVMRAKGNYRLVLNASLYDDMSLKDMDKKGVTFACLNSISESQNGLATFALKFKDTATREEFKAAVEAHKTRKAPDVLKTPENSPKAADV >Et_4B_039159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8331918:8333716:-1 gene:Et_4B_039159 transcript:Et_4B_039159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTTQCRASGGGEGGGGMKTVECLRGRLLAERVASKAAKEEADQLAKRLDDLEKKLSDEVKVRNKAERRLRKAIKRLESLKILDVELSDGSIGSLSSNGRSGFQAPELEERDNPGSLSSIDSARSGSPGDGASSAGSCTQMNSSQDDISCSVVSAQSPAGPCMDLGDTNNNCSSGTSAGDHDPDRQQLAEPQCSAKSEQDSRDGDDDRLALVLVDPQLVVESSGDSRMEDNKAQTAEPEEAHEEENKLALVLVDPQPQPAAAPKPQSDVESVLLALRRVKEQLRYTIERQSELVAHREVYGH >Et_10B_002855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12498769:12508218:-1 gene:Et_10B_002855 transcript:Et_10B_002855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAGGEIRRRITAMRIFRPPTAAVNAVFARLGRTASSAWNISGDPCTGTATDNGTIGNPGIRCECTGQNNTVCHVTSLKIYALNVLGQIPEELRNLTHLTSLDLRQNYLTGTLPSFLGELTAMQYMSLGINALSGSVPKELGNLINLVSLGLGSNYLNGSLPSELGNLVKLEELYIDSAGLSGPLPESFSKLTRMKIVWASDNDFTGKIPDYIGSWSNLTELRFQGNSFQGPLPTTLSNLVQLTSLRLGDIVNGSSSLTFISNMTSLNTLVLRNCKISDSLSSVNFSKFANLNLLDLSFNNITGQIPQALLNLNFLNILFVGNNSLSGSLPSSVGPSLKNLDFSYNQLSGNFPSWAKENNLRLNLVANNFVINNSNNSILPSGLECLQPASFAVDCGGSGPISGSDNSIYQFDDASLGPASYYVTPTWGVSNVGYFMDPTKNSYIINSSRQFQNTLDSKLFQTARMSPSSLRYYGVGLENGNYTVTLQFAEFDFEDSQTWKSVGRRVFHIYIQGELKEQNFDIRKAAGGKSYTAVKKQYTVPVSRNFLEIHLFWAGKGTCCIPSPGYYGPAISALSATPNFKPTVPTAEKKSSNKTGVVVGVVVGATILGLVALVGFFMWRKKRRQLSVEQQELYSIIGRPNVFSYGELRTATENFSSSNHIGEGGYGSVYKGKLTDGRVVAVKQLSETSHQGKREFATEIETITRVQHRNLVKLFGCCLEGSKPLLVYEYLENGSLDRALFGKKLNLDWPTRFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDTNLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKIDVFAFGVVVLETLAGRPNFDNALDEDKTYILEWAWQLYEGKHPLDMVDPKLTEFNSDEVLRTIHVALLCTQGSPHQRPSMSRAVSMLTGDVEVSEVVNKPSYINEWQIKGGNTSTFMSSDVNEQSSAVPQTSSSPFLSSVVKKGRVCSRGGASLGPKVAMTTPHLTFGKLRISP >Et_2B_021043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25983729:25984232:-1 gene:Et_2B_021043 transcript:Et_2B_021043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TLVKKRDEVPFERQWRWRPGRPQFMDARGIGRRHPSNLTAASSVTVNSSLAEEAKLKLIFCKEKPFCSQNPCFCCLKIDKCYDTEDECKAKCPACDPYCSHQMAVKE >Et_5A_042149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6875420:6876843:1 gene:Et_5A_042149 transcript:Et_5A_042149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRLSPLAASAARGRGFDAAPLRPSRGNSTARPPCRNVISFSSAGKDGEEAAGRETPEEMRKRLAELDALLEGLTEPKMRPPAPPPPPDVYFERETMTRQGSTDEMPEFSPTYVAFSTLALVILTIFTNVMFELYIKPTVDGLDQPERVQRVPMVNPADRQFE >Et_7A_052122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4939119:4943248:1 gene:Et_7A_052122 transcript:Et_7A_052122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSGARAACVLTALLLGVCFVAPLAVAGGSIGEGLEVTWGDGRGSVSGDGEVLTLSLDRTSGSGFRSKDTYLFARVDVQIKLVPNNSAGTVTTCYFMSEGPWEVHDEVDLEFLGNVTGEPYTLHTNIFANGTGGKEQQFRLWFDPTTDFHTYSIQWTQQHIVVLVDGTPIREFKNHADQGVAFPSSQRMRLYGSLWDAEDWATQGGRVKTDWTQAPFAAQYRNFTAAGAASPSASGSGYGQEMDATAQQGLKWARDNYMVYDYCTDAKRFPQGFPRECYMADTSESVTQDSLAPHHRRPGAMAPARARLLACLWTLALIVVLSSPVTAGERITDNLEILWGQTQVLNGDQTIALSLDRSMGSGFRSKTSYLFARIDIDIKLVPKNSAGTVTTVYLISEKQWKTHDEIDLEFLGNVTGQPYTLHTNIFANGVGGREVQFRLWFDPTEDFHTYSIIWSSDQILYLSTFRTLNSCDVQERFDLNTCMLIDEPIEILVDNMAIRQFKNHWDAGVPFPVYQPMRLYGVLWDADDWATEGGRIKTDWSQAPFVAYFQNYRAQGCAPSGFSWVCGGGQDPFGGDWFDGGGGGAGFGDVKQQQQLREARDKYMIYDYCTDAARFADGFPKECGLP >Et_1B_009687.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:15137401:15138261:1 gene:Et_1B_009687 transcript:Et_1B_009687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSENQSAFVKKRCIHDNFVFVQNVLKQLHTSKTPSSAPWHPLHEPRAEPVERDGDLHPGVREVRVAGSQQHHLVVAREEAVGHRDGRRPHDGVDQPVGAPPQRAVVHPHVPGAEQRDAVTVGARAPPGVRRRRPHVGVPRGHAVVDVHVVDDHVGHVLQRDAGAARPDVHAGAATVDGLEAVDQQLLGEADGHVGREHDPQGTFLDGGVAERARGRVHRVAVRGVRHHVQRAALPAQRVAPEPDAAVRQPLTVLLPVRARATPAVVDRVARHAPAHAAAGLLH >Et_8A_056575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12682871:12690664:-1 gene:Et_8A_056575 transcript:Et_8A_056575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWFPLARAVLGDFSGGTQQRLSVMFDYDDDMEPQIKVVDKYYFEHSEDNPVCFSILPLHFDNNEEVVECDYAKKVYLRGVLDNSLCLVHKRVVAWRVALDCEQPKIFVLSSEGNWITLLSPHKCYQEKIVRSILITVQMLHFIKKHPGDKKRLWDRLWNHLYEVFDKLVSKPTVDDLRKHHAVIKLFVERDTALIKSKDDDGDQDDKNDCDYIYSEHNSDNEYNLTESSNEDDYRNSENNSNGNDSSDDDDNVDDICTDADAICAFCDDGGRLLSCRGQCKRSFHPRLKDGIESKCRTLGYTSAQLKGLRNFLCQNCKYKQHQCFKCGELEPSDELNAKVFKCNKTSCGHFYHPKCVAKLLEPADGDGACELAKRIMSGMSFTCPVHWCFKCTKMEDRTQTALQFAVCRRCPKSYHRECLPRNISFESKDKRIKQRAWELPNTILIYCLDHRIYGATGTVGKNHIKFPRIPEASKPSYLVEKKQKTNGNRKMSVDRCSTKSAELLNRLCTGEIEHNQILSAINPDHPVECSKEDQQFEVSAVGSDASPRNQEAVRQPENRATSERNKEMSSGTSHDIARKCVVPSTLSICDYTVQDIVADNPLVDMDAGWDRISGDKDGIERDKESERYEGEECDASNKDSSHENYKRNGVLINFFVEKNEDGSELKSGKEMGMEQAESAYEHDSILDQEKGIFMCDRDSISEKETSPSEKGKIARNGGNKSASGSDKVTQDHIDNHPPEKQPNVAQLDRVTSTNGTDTQPEFGFGEGQEVNGSYVCKERSSSQSDNNPKTLEINNAGEKLRMRRKPKEKATEGNEADVAMNIEDGREYCSEDGRTVHAQERSSDQCLANTTEPAVLDRKLKISGRNSPQHNDENQSAEINKTREKRGSNGRGRTLHNIRVNSSHSPMGRNAGKRSRNYSPEGQKVDPCHSYRGTKNRYRYEEQRYVNDSSHDYVDRRRCNPQESELSRDEFVGQRQRPHPYKMHKHVMDRRCVPRSPKYVCNRQHSSPSHPRMPEDVNYTTDRNNMHDLEYDEYRPSRHKYDS >Et_10B_002762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10746248:10749545:-1 gene:Et_10B_002762 transcript:Et_10B_002762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCNPLAFANSLGVGGTIMSFEDKAITSRVASPSPKAVMSESDQSRMTTVSSNMEHSNQANAVLGPVAIFWDIENCPVPSDVRPEDVAGNVRMALRQHPVVKGAVTMLSAFGDFNAFPRKLREGCQRTGVKLVDVPNGRKDAADKAILVDMFLFALDNRPPSSIMLISGDVDFAPALHILGQRGYTIVLAIPSSVTVSSALSSAGSFVWDWPSLARGEGIVAPKCARRASDPSCYASYGNVGQFPDIRTEEEAIVYTGTSKNEYGGRPTVNHMYCYNSSQVTKESSKALYTIADGNCGTSSRTPNLSGGSCDGPEAGQGSPDERSWWVRPGDLQGLKGQLIRLFELSGGCVPLVRIPSEYLKLFGRHLYVSEYGAVKLVHLFEKLADSFVVIGKGHRKMICLRNSGDKSLKNYPSTPIILKKEKRGDSAFEDSAIGACQQLGSSSDELSEDERNIIPDVDAAYAFDDHLDSFRKEIQELLVCYSCPVLLGNFETLYEQRYKKTIDYVSFGVTGLEELVLKVKDVVDLHEDQASKRKFLIANYTNG >Et_6B_049045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1779523:1780423:-1 gene:Et_6B_049045 transcript:Et_6B_049045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNHLSQEHPQAWPWGMAMYTNLHYHQHYEREHLFEKPLTPSDVGKLNRLVIPKQHAERYFPLSGDSGEKGLILSFEDEAAKPWRFRYSYWTSSQSYVLTKGWSRYVKEKNLDAGDVVQFERIRGLGFGDRLFIGFRRRGESATAAVSPLPAVRVAAAAQTAGEQQPWSPMCYSTSGSYPNSPANSYAYRQSVDHDHSNTHHAGESSAASVPSRRLRLFGVNLDCGPEPEAETPTAMYGYMHQSPYASFAEPNNWSVLQNQSNYMDASRIFL >Et_6A_046021.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:19051244:19051393:-1 gene:Et_6A_046021 transcript:Et_6A_046021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKWCMNFPSLRRYVSVVVLSFSSNQEFFDVLVGRRASLFKSLLLLKS >Et_8A_057944.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:68449:69111:1 gene:Et_8A_057944 transcript:Et_8A_057944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDQEASVQNIVVAQEGEEMNPDVVANSEEGHKDVLDTICISAPDEDHERGACDGGKSSDEFISIEEDDEDGIMSSEELKHGSESSHQAALDEVSEIVPTAEVWEDHQRGADDNAEADDSESGSSAGMCRELDAIEVEEEELIGDHDDSSCELALHGNTLIQGITVGSGGGISRRQRQANTAPLPPPPAGAASAAEKGARSSRKKREIMSRSSRPRWQ >Et_2B_020774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23520384:23526945:1 gene:Et_2B_020774 transcript:Et_2B_020774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWQGKETEEEEEAAAAARWEGEAEIDYVFKVVVVGDSAVGKTQLLARFTRDEFALDSKSTIGVEFQTRTLTLHRKRVKAQIWDTAGQERYRAVTSAYYRGALGAMVVYDVTRRATFEHVARWVEELRAHADGSTVVALIGNKADMPPERREVAADEAARLAEEQGLFFSEASALTGDNVERAFLTLLEEVFAVVSRRALELDEARRMRGEQGNGDGGEVLSLKGTKVYVGSITETSALKRSSQCACSYAAIRDLPKSIRIDTLTISFNTDICAALNEPLSERKEKEWPDVKSEQEDILDEQARLRFTTELAASIVVMREGKEGAKSQLCSLTPIPVSFLLLLILLLVSLLANLHHCLPEPLDVIQCCLPEQSNVHCARHHMVTPQLELLRLIIRHRKAAQISSIAIRGCILVLPGRQHLPLGLPQRHPHLPVPRIPQVERAHLHDVNAIDGGDGFHLRDAGGGLDLAHDEGLVLAAGEVGVVEAVGGGADDGVRGAADAARRVAAAGDGLGGGGGGLDGGEHEARGAEVERLLGPDGAGLGEAEHGGGARGVESAEAGERLGDAARPVLHVDDDVVVPGEGGELGEGGGEGEEEEAVEGVARGEAGLEGRRGGEEVGRRGGDRG >Et_3A_024992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25980483:25982587:-1 gene:Et_3A_024992 transcript:Et_3A_024992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPRPSRRLVSSSSSPPATLLLRLLLLAGAADAAPPLLGVSPQDEAYFAPKVIACRDGSGYFPKNRLNDGYCDCADGTDEPGTSACPEGKFYCRNVGDVPRVLFSSFVNDKICDCCDGSDEYESGIHCQNTCRNIKGLAQADDGSSELSANHSDVSNVLTSKHTIDIEDLIQKLRGLRMAIIIELGLVICFFIFCCARRRTRTRRRQYILKLLYYSFKNRRSSRASNI >Et_4A_034012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29088855:29092020:-1 gene:Et_4A_034012 transcript:Et_4A_034012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSAAGRSGFLASVNLWRPAQPAPAPAPALPPPSSPSSDQAPEPVTIPASEHSSHHSSRSSDLPPTPASEPQPQTQTQDNPPAKKPAPKVKRVQSAGLLADSVLKRDVNTARLKDLYTIGKKLGQGQFGTTYLCVEKATGREFACKSIAKRKLLTEEDVEDVRREIQIMHHLAGHANVVSIVGAYEDAVAVQLVMELCAGGELFDRIIQRGHYSEKAAAQLARVIVGVVEACHSLGVMHRDLKPENFLFVNQKEDSPLKTIDFGLSIFFKPGETYTDVVGSPYYVAPEVLLKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSTEPWPSISESAKDLVRKMLIRDPKKRLTAHEALCHPWVCVDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKMLDTDNSGHITLEELKTGLQRVGATLMDSEIDALMKAADIDNSGTLDYGEFIAATLHINKVQKEDKLFAAFSYFDKDGSGYITQDELQKACEEFGIGDTRLEDIIGDIDQDNDGRIDYNEFVAMMQKGDNPLGRKGQSADFGLGDALKLR >Et_3A_026267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6098067:6105391:-1 gene:Et_3A_026267 transcript:Et_3A_026267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPEEFFAQGLIEPTPPSPSVFLDLPVTPDGNTEGSLYPDDMVPSSIFHMLTEDDISNGKLLQQSSDDPTLLQAQQPVVQILSSHSFAANNDNSCNTEGAEELTTGDGGDQSSLSSSFSNGVDVVGAFFKGTEDAIKFLPRDNSFRSDDQVKEKIFIESMNHKATKKRYNRGDYLEEDVRSTRKAMMTMMEEQEENGSHEILEEMMLCGYETCTKEMENMRISLNNDGKKNKLKSCSKAAKDVVDLPTLLIHCAQAVAAHNHTIVIEMLKKIKHHASATGDARQRLAQCFAKGLEARLLDTRTQLWKLLMTERPSVKEFLKAYKMFRAVCCFNNITLTFSIMTIMDAILELKITAIGCSHHMFFPAQKIEEQGRWLSKCANKFGLPFKFHSITTDWEKVSIKDLHIEVDEVLIVNDLFNFMSLRDESIFFDKPSPRDTVLNNIRKMRPDVFIQSVVNCSYGSSFLSRFREALFHYTALFDMFDATMPRESESRLVLEQGWLGRHVLNIIACEGADLVDRPEKYRQWHARNQRAGFRQLPLNPGIARVIRDKVKMHKDFMLSEDGQWLLQGWMGRTLFAHSAWVAAEVPVSE >Et_9B_065523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6182119:6183622:1 gene:Et_9B_065523 transcript:Et_9B_065523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLVGGGGARVARLGGEQPASNGAGPGGEAEHVRRLHRHAPADHQCSSTLVKHIKAPVHLVWSLVRSFDQPQKYKPFVARCVVRGGELEIGSLRDVNVKTGLPATTSTERLERLDDDEHLLSVKFVGGDHRLQNYSSIITVHPESIDGRPGTLVIESFVVDVPEGNTKDETCYFVEAVIKCNLTSLAEVSERLAVQSPTSPLD >Et_9A_062578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24125695:24129088:-1 gene:Et_9A_062578 transcript:Et_9A_062578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPPHLLRLLLLAAHLAAAAPSSATGVIRLPTEGTCAAPADPAVYDRPVIGIVSHPGDGAGGRISNGSATSYIAASYVKFVESAGARVLPLIYNEPEERILEKLSLLNGVLFTGGSVKSGPYFETVKRVFQYVLDRNDAGEPFALFAQCLGFELVSMIVSKDNNILERFDAVDQASTLQFPSYSLLEGSVFERFDPELIKKVSTSCLVMQNHKYGISPKRLRENDALSSFFKILTTSPDENGKVYVSTVEAYKYPITCTQWHPEKAIFEWRKPMIPHSEDAVQVTQHFANHFISQARKSPNRPPADKVLDNLIYNYRSTFSGKTSKSFEEVYIFS >Et_2B_019176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15861069:15862667:-1 gene:Et_2B_019176 transcript:Et_2B_019176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLKPKHHLAATGRRLLLRCLCDGAPAPPPQTETDIAPPPLTRAETKLLDALHEALLDHHRDHPAAQVPSSLPLDPIPSLYEAISGLLPSPPPAHLPLHLFRRLLALRRGVPINEAVALFHHLVPSLPSDSLPDLYAAMIDLLAKHHHFPLARHLLDEMRERAVPISSQLILALIRRYVRAGMSSEASELFQRMEEYGAGAPEPPALATLLGALGKKRLATVFTPDVVLYTTVVHAWCRAGRLDEAERVFAEMQQSGIMPNVYTYTSVIDAMYRAGQVPRAQELLCQMIDSGCPPNTATFNAIMRAHVKAGRSEQVLQVHNQMRQLGCEPDIITYNFLIETHCGKGQGNLDAALKVLAKMVAKGCVPDCHTFNPMFRLVLLLGNIDAARKLYEKMRELQCQPNVVTYNLLIRLFTMEKSMDMVLRIKKDMDTEGVEPNANTYAALIEAFCGRGNWKRAHATLREMVKDKSFKPSKQVCDMVLVLLRKSGQLMKHEELVELMADRGFINRPADDALWSAC >Et_4A_033007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1725503:1729296:1 gene:Et_4A_033007 transcript:Et_4A_033007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGSSLRAETHPAKKPPGRVVPPHRPSVSLNQHQTTGTAASAARGGGGGREAPAFAEFSLAELRAATGGFATENIVSECGEKAPNLVYKGRLEASRRAIAVKKFTKMAWPDPKQFAEEARGVGKLRHRRLANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVAYYIADALEYCSTEGRPIYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTSESVIFSFGTILLDLLSGKRIPPSRAQDMIRGNNIQVLMDSHLEGNYSTEEATTLVDLASQCLQYEPRDRPNTKKLVSVLEPLQIKSEVPSYEMLGIPRHEEEEAPPAPQPQHPLSAMGEACSRMDLTAIHQILLTTHYRDDEGTNELSFQEWTQQMRDMLDARKRGDFAFRDKDFKAAIDCYTQFVDVGTMVSPTVYARRSLCHLMCDQPDAALRDAMQAQCVLPDWPTAFYMQAVALSKLNMQSDAMDMLNEASQLEEKRQKILKGP >Et_2B_021694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4490304:4491661:-1 gene:Et_2B_021694 transcript:Et_2B_021694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATKPAGRVATDGDDRRRELQAFDDTKAGVKGLVDTGITAIPTIFHHPPDSLGSISSTTDDAVSIPVIDLSAAAPREEVVARVKDAAETAGFFQVVNHGVAGEVMAGMLAAVRRFNEEPAEAKRPYYTRDLAHKVRYNSNFDLFQSPAANWRDTIFCDQAPEPPRPEELPEVLRQVMVEYGAAVRKLGLWLFELLSESLGLVSDHLREMACAESLSVVSHYYPPCPEPHLTLGTSRHTDPTFLTVLLQDDMGGLQVLLDRGSGGRGWVDVPPLAGALIVNLVSNGRFRSVEHRVVANMSRDTPRISVASFFNTNMKTSTRLYGPIEEVTSSDGGSAPIYRSVTIREFIAQFYKKGLDGRPVLDYFKLEQHTPTPL >Et_1A_008348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6822311:6825744:1 gene:Et_1A_008348 transcript:Et_1A_008348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIVCVAVWASTLAMVMASVLWAYRWSHPKVNGRLPPGSLGFPLLGETMQFFKPNPTCDVSPFVKERLKRYGSIFKTSIVGRSVVVSADPDLNYYVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKSLVLRLYGQENLKAVLLAETDCACRDSLASWASRPSVELKDAISTVISMTTTHILFEKQHPVSRLLMCSPHENFGQMIFDLTAKKLIGYEPSKSSENLRKNFVAFIRGLISFPVDIPGTAYHECMQGRKNAMKVLKKMMRERMAEPRQQSEDFFDILIEELRREKPVMTEAVALDLMFVLLFASFETTALALTLGIKLLAENPRVLEALTEEHEAISRNRKDSNAGLTWDEYRSMTFTSQVILEIVRLANIVPGIFRKALQDIEFKGYTIPAGWGVMVCPPAVHLNPKIYDDPLAFNPWRWQDKVEITGGTKHFMAFGGGLRFCVGTDLSKVLMATFIHCLVTKYSWKTVKGGNIVRTPGLSFPDGYHVQFFPKN >Et_2B_020468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20509200:20513042:1 gene:Et_2B_020468 transcript:Et_2B_020468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYLSMGEAHRRIGQYLDRVADAISSSDGTTLASLLAVSSAPASTPLSDALAAFPDFPRVAADRFPNLSDLLVPLLRTIHSHSLQRYADAYSSFEKAANAFLQEFRNWESPWAMEAMHTVALEIRLLAEKADRELTTSGKNPDKLQAAGSFLMKVFGALAVKGPKRIGALYVTCQLFKIYFRLGTVNLCRSVIRSIETARNFDFEDFPVKDKVTYMYYTGRLEVFNENFLVADQKLTYALVHCNSQSESNMRRILKFLIPVKLSLGVLPKRTLLEKYNLLEYADFVTSLKRGDLRLLRQALDRHEDQFLKSGVYLVLEKLELQVYQRLVKKIYIIQRQKEPAKAHQIKLDVVVKALKWLEIDMDVDEVECIMACLIYKNLIKGYFAHKSKVLVLSKQDPFPKLNGKPV >Et_4A_034237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31037369:31040187:-1 gene:Et_4A_034237 transcript:Et_4A_034237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AERPQSLPPHAGSLPPPRPEAAAALTLAPPLPPSPPPCPPSTSPIQALCVLHKPLHAVERHLLHPFSAHRPRSPPSTALFLLSFQTNGVQFFFFAGGGLCPGNNNNSISAEGAASMSLEFRSECDDIEEAKSPSNTSVAAVAVKNADASVYKIIHGYLKQKNNSIIKVAANVARKAASNKLSRKTSDVFDTLLQKQQSKWGTKTGPLLSGICYCIASCSMILLNKVVLSSYNFNAGISLMLYQNLISVVILLILELFRLISTEKLTWKLIKVWIPVNLIFIGMLVTGMYSLKYMNVAMVTILKNMTNILTAIGEIYMFRKGQNKKVWAALFLMIVSAVCGGITDLSFHLIGYTWQILNCFLTAGYSLTLRRLMDTAKQSTKSGSLNEVSMVLLNNALSIPFALILIVIFNEWEYVYQTEVIRDPMFWVFATASGLLGLAISFSSVWFLHQTGPTTYSLVGSLNKIPISVAGLLLFNVPVSVENLCSIGFGLFAGIFFAKAKMS >Et_2A_018603.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34736928:34737422:-1 gene:Et_2A_018603 transcript:Et_2A_018603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVSLHHHGLPASLPPPSHHRALPLPLNQRRPSTKPPAARLTLATRPTSPARSAPAVLPAAATAAAATAHYSSRAATGYAAALADACVRAGTVRRAARHARAALGLSQEKQAVGQLDARVAALVRMLVAKGKAEMVAEVLAEFAAICDKLLPLPPPRAHGYY >Et_7A_051489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19309209:19315621:-1 gene:Et_7A_051489 transcript:Et_7A_051489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARMAFASCARVLPSSPLPLMLARNRASVGSFMPPPPSSAARSTRSLALFCSSSSSVSPSESAATPPPPQAAAEGGGEKAEPTAEELAGLLDIRVGRVIKAWRHPEADTLYVEEVDVGEEEPRTICSGLVNYIPLEQLQNSNVIVLANLKPRNMRGVKSNGMLMAASDASHENVELLTPPEGSVPGERVWFGSEDGKDSQSDPASPNQVQKKKIWESVQPHLRTTDNCVAVLGEHPMRTSVGTVFCKSLQGARQLGNGNRTRKQWQS >Et_4A_033325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21465537:21470018:-1 gene:Et_4A_033325 transcript:Et_4A_033325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAGSGGGGKGWGGGFRCLTRRKQVDSDRVRVEGQPQLARELKIPELVAIVLEYTIGGSAVARGISPNLALFFGGPDSLPWILARHQLPWFDIVVDPCAAALVFVIGWVGYKVTDGYFPFGVNGMLAGSATVFFAYIGFDTVASTAEEVKNPQRDLPLGIGLALAICCVLYMAVSIVIVGLVPYFAMDPDTPISSAFAEHGMQWAMYVVTSGAVLALCSTLMGSLLPQPRILMAMARDGLLPSFFSDVNKQTQVPVKSTIVTGVWAAALAFAMDVSQLAGMVSVGTLLAFIIVAISILILRYVPPDEVPLPPSMQESFRVNQECDEEKDSDPAGDENCNTSHREDVIVVVESMKDPLIEKRLPRGTMEEMRRRKIAALSIGSVCAGVLILTSSVSATWLTVLPISVGCVVGVVLLLAGLGILSCIDQDDGRHSFGHSGGFMCPFVPLLPVMCILINTYLLINLGGDTWMRVGIWLLMGFFVYIFYGRTHSSLKDVVYVPVAQADKIYRTSSGYVS >Et_3B_030332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32230721:32231973:-1 gene:Et_3B_030332 transcript:Et_3B_030332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRRPHGFQTRARYRGGSPRHQIDRSTWRPPLPRSAAAEYSAFRQVLFILHLLTYCFLTCYRHLVSLALHLPPPSTVRSARGMAEEKKAGGVSERIMPHLLNIYGSRATAADFEIYAPNATFEDPLMRAHGVKQIKSAFYTLPKVFGESKIVEYTVQENPLGPGKTEVLIDNKQHYKVLGKAVDLTSLIRLQVDEDGKVVKHEDWWDKKPLKNRDTVAFPLAGRMAEATRRGAMLLTHALMGFGKDPTSS >Et_6B_049301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2965797:2969797:-1 gene:Et_6B_049301 transcript:Et_6B_049301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEAAAASMRGTEVGAKPARRLGRVLVGRRRRAAVLLLAVAYVAAMLMLVLGGGAGLRGGGVVAVATHRRALAPPGSLYRSHLVFERLLPDMRAFVSRTNPVSIRIRALLGSSRGKVAILGSHFGSGIEFLHSALVSSHTKKTGKRWAPCRSNNLTQAELPPSNGFLIVEANGGLNQQRISICDAVAVARMLNATLVTPAFHVNSVWRDSSKFGDIFDEDHFIESLRKYVRVVKELPEDIFLQFDHNISIIPNMRTKAFSSPSYYLQQVLPKLLELGAVRIAPFSNRLAHSVPSNIQALRCLANYEALRFSGPIRIVAENMVDRMIKRSTLAVGKYVSVHLRFEEDMVAFSCCKYDGGLKENTAMENARERSWRGKFHRPGRVINPEANRRNGRCPLTPLEVGMMLRGMGFDKTTSLYVASGKIYNAEKYMTSLRQLFPLLQTKETLATPEELAQFKGHSSRLAALDYTVCLHSEVFVATQGSNFPHFLVGHRRYLYGGSAKTIKPDKQKLVLLFDNPNIRWDRFKHHMQDMRRHSEMKGFGLRKPQESIYNLPMPDCMCQQAKE >Et_6A_047848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20822392:20823901:1 gene:Et_6A_047848 transcript:Et_6A_047848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYELRGGAWHNVNSVPEDELPGIPKPCCFLPIKDNKILISSMSDIAKDNSVVYLFHVNVKALQLRVWLYRMDSENWSREDTICLRTVFADSGVTALVSQDGIKVDILAVGPRHNAMCVLLQVGTDVLYIDIKSRTVKKVYSLTPEDGVSFRLVTFSMIFPPVFPVIKDDNNQNTIRSSEVAYGFRSRLASCSSTDAD >Et_9B_065979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19109848:19110875:1 gene:Et_9B_065979 transcript:Et_9B_065979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSTTFSLFFPLPPNKAQWPAEADCDDHSSITTSPSSPSSSAGSAVDCTLSLGTPSSRRAAESVEQTMRVAPPANAHPNVSAWDVAADHQSSYYGHQGVKPAGGRGAAAHDAFADRRCANCGTTSTPLWRNGPRGPKSLCNACGIRFKKEERRAAATAAMESGGSAAGCGYSAQRAQYEYGAAAAKASPGAVSYGEGAFPCGGDADANASFLAWRLNVVAPAPAPAFAAMWPERTSLFQYN >Et_5A_041192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19211752:19213978:-1 gene:Et_5A_041192 transcript:Et_5A_041192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGTSRALVLRLVRVCTRAWTGCPATLLARYTYHLSVQLN >Et_6B_048873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14815585:14821095:-1 gene:Et_6B_048873 transcript:Et_6B_048873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMASSPAIHLRHPPRLRLLLLSSNPLSTSSSTSPYPWLSAWSHLRPRRLRPPAPALDLRREPSPSSDSDDEDAVGTSRSSGRSTMSLILRRLQRAGYAPEEPSPSAVASPHPPRGSVEDVFCADDGVLPNACGGFDDDAEAMLGDARFPWERSMPPPEAAPRAARSPTWMAELTLPAVELRRLRHAAMRVKSRIKVGGSGVTREVVEKIREKWRTEEVVRVKVSGTPALNMRLFHEILERKTGGLVIWRSGTSVSLYRGVDNDVAEPVKGINKNSQALMKSSSKGAPSPALLPADKVNSIQDSNGTLVSNAGKEDIVEQAPEIKYEDEIDKLLDELGPRYSDWPGSDPLPVDADLLPATVPGRSRQLQGLANAMVKLWEKSSIAKIALKRGVQLTTSERMAEDIKKLTGGVMLSRNNDFIVFYRGKDFLSSELAEVLLERERLARSIQDEEQARLNAASFVSASSEAYVQLTVAGTLGETLEANSKYGNKLDENHAEKMTRTVEAARHADLVRKLEWKLSLAQKKMEKAERVLGKVEAALHPTEGIKPPETITDEERFMFRKLGLRMKAFLLLGRRGVFDGTIENMHLHWKYRELVKILVKAKSFDFVKKIALSLESESGGILVSVDKVSKGYAIVVFRGKDYKRPSTLRPRNLLSKRKALARSIELQRHQALSRHVAKLNRKVEQHRAELVQMEDVKDQGDEELYNKLDSAYSSDEEDEMTRLISKDLTMLGDGSDSNGNGKCNEANDNRRSHSEQPTELTNT >Et_6B_050124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6902983:6912210:1 gene:Et_6B_050124 transcript:Et_6B_050124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLAAGDIISPALSPQAARKAIKDWGRKASDVTHFVFCTTVSGCMPGADFEAMKLLGLPLSTKRFMLYQAGCHGGGIALRLAKDLAENNYGARVLVVCSEVITMAMRGPAENHMGNLVGQAIFGDAASAAIVGAEPTCFERPLFEMVLAAQDIIPGTEDAVVSKLYEHGIVYSLHRDVALHISSNIERLVKVALEQVGVEKDWNEELFWVVHPGPEAGR >Et_1A_007149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31376441:31383145:-1 gene:Et_1A_007149 transcript:Et_1A_007149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGADTDHRALMQFRSLITDDPHGALASWGGSGNASAAPCGWRGVTCGARGRVTALDIRGLGLSGTIALSSLAGLTYLRRLDLAENRLTGGVPSLLPPSLEQPQPQRATGAGAHGAGTLSLAHNDLTGTIPASLGNLTSLTDLSLTGNNLVGAIPDSLGNLQSLTGLYLSLNMLQGSIPPVVFNLSSLQKLVVQYNNLTGTLPSDAIGKLPSLWLLVVDSNQLHGAIPVSLCNASRLEFIQMTKNSFSGVIPACLGALNNLQALTLDANQLEANVDADWRFMDSLTNCSNMMVLGLSENKLGGVLPASIVNLSTTMELLGLWGNMVSGQIPQEIGNLVNLRDFEMQHNNFTGTIPASLGRLYKLSKLYLHGNRLSGQIPPTIGNLTVLSSLILDNNTLTGLIPSSLGSCPLEMLSLDNNRLTGPIPKQVLLISTLFYVSFPGNMLTGSLALEVGHMINLQTLDVSGNRLTGEIPVSLGDCQILQNCILKGNIFQGKIPESIGKLRGLLHLDLSRNNLSGHIPDFLGTMKGLEQLNLSYNNFNGEVPEKQIFLNASAFSVEGNTDLCGGIAQLNLPPCSNQGSTTTSKKSRKVVMTISLATGILSIALLIAIFVLCHQRRKLRKKEQTIPNINNQHVRVSYINLANATNGFASHNLVGAGSFGSVYKGTMMIDNQEVVVAVKVLNLQQRGASESFIAECETLRCARHRNLVKILTVCSSFESSGLDFKALVFDFLPNGNLDQWLYISEHGSHGGLDLAQRIDIAIDVASALEYLHNYKPAPIVHCDLKPSNILLDNDMVAHVGDFGLARFVHQDQTSLSDISSGWATRRGTIGYAAPGQILTRKLHVFKIDIMERHKHLLNICLFQTEYGLGNGVSIHGDIYNFGVLLLEIFTGKRPTDSSFVDDLNLHSYVQLALQDQRVASVVDKRLLLIQDQKREGRKFSSNSTTELVLSCITSVLKIGILCSKEVPTDRLVIGDALRELHGTAMEHHGYIYIHHHCSVLLVASLLLSSSSWASAATGSSGADTDHHALMQFRSLITDDPYGTLASWGGSNASAPCGWRGVTCGARGRRRGRVTVLDLNGLGLAGSVAPSSLASLTYLRRLDLSQNHLTGGVPSPLPPSLVYLNLSYNTLQGPVPPALGSLHRLQVLILQVNNLTGTIPASLGNLTSLTVLGLTMNNLTGTIPEALGNLQALTGLYLNVNMLHGSIPAAVFNISSLQHLMVQHNSLTRTLPPDAGGRLPNLKLLSVDFNRLHGTIPV >Et_3A_024831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24594992:24598920:-1 gene:Et_3A_024831 transcript:Et_3A_024831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIENQAEVARAVEAPRIPKEARGLLHELAAAWADVADCRALEVVPLKGAMTNEVYQVRWLTGAGEPRKEREVRKVLVRVYGDGVDLFFDREDEVRTFECMSRHGQGPRLLGRFPNGRVEEFIHARTLSAADLRDPEISALVASKLREFHNLDMPGPKSVLIWDRLRNWLKTAKNLCSPEEAKEFRLDSLENEITSLENSFSGDYHGVGFCHNDLQYGNIMIDEETNMLTIIDYEYASFNPVAYDIANHFCEMAADYHSPEPHILDYSKYPDIDEQKRFVKTYLSTSGEEPDAEEVENLLQSIDKYSLASHLVWGLWGIISDHVNDIDFDYKEYARQRFEQYWQKKPIVLTS >Et_5B_044024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19058363:19058919:-1 gene:Et_5B_044024 transcript:Et_5B_044024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTSPIVISDGDEEPVAPVLALSAVAAHHEVLPVKRSGSKEGIPRPEWLPDCFVVGDESHLYNGTMVLNYTCPVGFMFKEKGDVLDYCSSGALQRAIEAEATLNDNTTLQASHA >Et_9B_064245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13195158:13195869:-1 gene:Et_9B_064245 transcript:Et_9B_064245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRGLTALLCCVTMVLALLSEEGQAAYYFVPSPGPTRAPGISSAVPPAQVPNNFPTYGVTPGSLHPQECGGRCAARCSATAYRKPCMFFCQKCCAACLCVPAGTYGNKETCPCYNNWKTKRGGPKCP >Et_8A_057971.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:10532081:10533226:-1 gene:Et_8A_057971 transcript:Et_8A_057971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDAAVAAVHHAVVAGAALALVALAVALFLLWRKRRVSAAAADGVPAEAATTPTAAAAPLPSVPLADVERATDGFHPSRVIGRGPHFAVYAAAAPGLAAKRMHPHLVLGDPGGRRFQAAAAARSLAVPPHPNLAALVGVAEGPGERVLLVERAPAGSVGLDRLLLAAGGGDGVRAPMPWRHRAAVAAGAARGLAHLHAHGVAHGRVRPCNVLVSFAAGGGHAAAVLTDYGLAGFLDGADASSAEDDVYMFGAVLLELLTRRRWDGGRLADWALPGIRAGAAAALAEVLDAAPADKAEARLLARVARVALACVGNVDGRSRPRMPEVAAILSDVEAAYRRRDGEEYDEVDDDGGGGEGRLSGCLLGPSRSVRKADMLLRPPV >Et_1A_004833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10695695:10696867:1 gene:Et_1A_004833 transcript:Et_1A_004833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTNQLAGAAARGVASRGATALTPTTMPGGAPSDALVGGGGAGGGDEDPRVALLRLAALGDHMAAVRSRIAASLSGEARPLSAADIHSVSSEISSAARLVVLNAASLLASSVPFSACAPAPAPAPIQELPAATVSAQERPPTVTKGDGDYDVVELDADELLAEHIHFCEICGKGFRRDANLRMHMRAHGDRFKTLDALSRPGLPKPPAGREVRFSCPFEGCNRNRAHRRFRPLKSAVCTRNHFRRSHCPKLFACERCGGKKRFAVLADLRSHLRHCGEEAQWRCSCGTTFSRKDKLFGHISLFEGHTPALAALNKEMVAEPTETFPEPMEEGGVEGNGDREEDEEGGYDPEFFKEWMEELRGGAGGSTWPGLAADGQ >Et_7A_050429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14886656:14887008:-1 gene:Et_7A_050429 transcript:Et_7A_050429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSRSSSSPPRPSPSPPPPPRRRRRRSPRRSGTSRPPPSPPPRRRRLNFGEKLGIAFASVAVAMQVVLGAFLALRAWQLRRLDRAEVSSSTPLT >Et_5A_040774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12255758:12262591:1 gene:Et_5A_040774 transcript:Et_5A_040774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGPSRRRGKRPAPPGPEGGDGGGEPEWISGVPDDLLHLVLVRLDCAREAARTSILARRWRGLWTRLPEYTFSWDMEPEEVETALAQVTRSALDYLDIDVVLQPEESALDRASSLVRAAARISSEMVSISLYSPVEQKDAIELPCFDRTGCLILKMRRVPLAPPPACEFEFSRLETLQLATGSNIFPGLLPSCPRLCVLVIHAYLELEEVTVHSATLEELAVQSLEMFSSIPRIDIDTPELKKSNLNVNMDIEFSLTFSAPKVEELVWVHGCLPGNVGLAELRLNDLHYSPRQGVRTLRLDIDYTYETLVPDCNFAEEIARHRVTDFSVLDLFIKKIGHTFGPLMVDLLQIKPAIQKLKVVIERGEIEEEEGEEEESCPQNCPCRQPINWKSQTISLPDLEEVVISYDFEGGGDDEEVDFVKLLFRCAPGLKSMHVGVRDKVYKKICSICKENPRVKCEAILQWAQPGMGSSNV >Et_3A_023259.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:14970974:14971042:1 gene:Et_3A_023259 transcript:Et_3A_023259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFFKIRLRSFPSFSAAVLMI >Et_4A_035190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11059902:11061998:-1 gene:Et_4A_035190 transcript:Et_4A_035190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSEITSVTAARAQGPKLFRGKIILPGGPPDVVPSENVEFDFSDVFGPTAVQTPTEVSILTPDSPAPVTESNDEIYADPVVIVKRSHSLVGPSSLVSQSLPLSKLTLRDTDSSLDLSECSSKEKQINQGPLSDEELDDVKKEDEGVGLDDFEILKLVGQGAFGKVYQVRKKGTSEIYAMKVMRKDRILEKNHAEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYQQGLFREELARIYTAEIVSAVAHLHANGIMHRDLKPENILLDADGHAMLTDFGVAKEFDENTRSNSMCGTVEYMAPEIVQGRGHDKAADWWSVGILLFEMLTGKPPFVGGNRDKVQQKIVKDKIKLPTFLSSEVHSLLKGLLHKEAGRRLGSGPGGSDEIKNHKWFKSINWKKLEARQIQPSFRPNVAGKTCIANFDECWTSMPVLDSPVASPVAADSNFVGFSYVRPAPFFQKPSPLG >Et_5A_041489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23556929:23564732:1 gene:Et_5A_041489 transcript:Et_5A_041489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPDRANADLSRRLAVDAPPTPQIFKGTRSSSASSLLRSARNPSFPPLRGLFRFEKQGMDTEIPLSPQWLMKVGENKDPTSQGISSDGAKTPGNGEDPGLSAKKKDVFRAPVLDGETGRRDRWRDDEREPNSTHRWSRWRETDKEHGDTRKAERWSDDSSKFSVEGRRAPQERWGDSNNKEGNYDQRREKWSTRWGSNDKESENWRDRWGDSGKEGDASREKSFSHYGAHGKDGNSYDKDSERDDNMSRSWKSSYPLGRGRGDAPQYPSQAPQKSSTTYGYGRGKLDNEISNLQSSRVKFASGASAVSSGSSRPFQLGLLSDRPGGASGDRSAFRYSRMKLLDIYRTCDLTSFKVPVDSFEEVSGFMPEEALEPLALSAPVGEEAALLKAIEKGDIVNSGVHQASKDGSVGKAGREDQPGGNEDYKGDTLGSLRGVPGNMDLPGRGESLRHGASTYVVPQRSQIAGEHRLGPSSEFGQQAPSFLNQDRAVGMARVDAFANPMQPHPNPESLSLYYKDPQGQIQGPFSGANIISWFEAGYFGIDLLVRVVNAPPDTPFLMLGDVMPHLRAKARPPPGFASSKPSDMLALETPSTGKFAISSDVHTGSAAAGIVDSGSRKDSAVEAQNRFLESLMSNNIHNHSAAMTGGSGTFGNIALGGGDSGNNMNYLLAQKALLERQNSAQNSIPIEASILHSKLQPPMADPSRQSLQSQNVDLLAMLHSKEKPQLHSGNSGLPLWSNYPEARNLNPNMRGVDLNQGTLNARQDLQNSQNVGIGAQQHSFMPQNRPSLAVPPEKLLAEISQDPQLLNVLQQQMQEGMQVGQQSSQSIMNMGDKDGIVFSQISSATLPLPHEIVSSVSKEGLSRLQMPEVFANVEPQSKATMVNPALNNYEQSVNQQEVKSSEVYTGNMKAETSEKIYSGTIGVPGEASKDFPHPPVAQRSDNALSDISKQVQEIKLSSEDAPDEVKVADTQETKKSEKKKKQKKKQAAADAGKGVSKTVSAQQSRQETVVGNSDIGGTKHDLPDDTEELFWGSPVRVENDVPPKNLSEEYSTNNAESESDPHAANQRAWKPTQGPRPKSLLEIQAEEQLRAQRALAMESAKPAVPAASVPSIPWNGMATSSEQHFGGANKSLAGMESAGERNKRSQLHDLLAEEVLARSSIVETENISNASDAFFPPLSTSAQPNAPALDDNDFIEAKDKKSKKKATKTKGSTVKAPSPVGSFDPSPSAIAVPAEKGKSAKQAQQESEILPAPPSGPSFGDFVPWKSDANSVPAPAWSNDSAKTHKPLSLRDIQREEERRLGSVKQQAPLPTPSKLPMNQKNHGNASSWQASGSSPSKTVAPVQMSSNIPSRSKSSAEDDLFWGPSENSKQDKQQSEFPSLSSQSRSSMMKDQSPLNRQKSQGGRVPLSSAPANQSEKGKAEAANKQTEAMDFRDWCESEWFRLTGTNDTNFLEFCIKQSAVEAEMLLQENIGSLDRNHEFIDKFLNYKAFLSAEVIEMAFRAPSTRGPRGDGAARSNPAAAARGGTSAEMELDGGGKKKGKKGKKVSAAVLGFNVVSNRIMMGEIQNVD >Et_5A_042301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8518324:8521312:-1 gene:Et_5A_042301 transcript:Et_5A_042301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAPLIVLVALLPTLAAVANAEEECPWPPAPAICEPLTPGHVCNAWQGTYAPGSVYEANLRLLAATMPAKVNANSCNCSYDVNDAGDSPDRIVASVACCWRPDASTPDCRACVALAFREAQRLCPYHRMADVVVDGGACSLHFHDYDLMEEYQHAPIPARLLEPDDSHWNYKGQLEYAAALRHYERYVTSQQSTVNCQSKWQIVQL >Et_8B_059465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18123740:18143464:-1 gene:Et_8B_059465 transcript:Et_8B_059465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKDAAAQGAPGGGGGGASRLNGLPDRALIRVLWHLKPWKAARTSLLSRRLSVLWASSFATALRLDIRQPCACRGGDPRLGEAFAEFVKHLLLRQSPFVLLDAIRLCWSHPTRDGDANTWVAYAVRHGAKEVELSGEQHVEYPRPDYSSFVSGENPGNNRLKIVKLIHIRLDGVTLTQLCSGCKLLEELELRDCSVEGMEIQSTALKCLHLISCKFADGFRVSSPKLVSLRCIRPFGYVPMIQNMEFLVAATIKEDDKDESDHDDDYFALAGSENSHDNSSAYAAAQDSGDSKHNESDDNDAYYDSEWSDRTVWYSDIADEQKKPYMYLIKGNNRSADGHGDECRSSIDFGGSGMLSSLSNVKTMDLLAHPGEVVLKREFKSCPDFKNLKTLSVVRCIGDQLDRSPNLEKLSLHLDMSFNTCLIPKQLCFSFCTRPSTAEWASIKGEFFGANGIPHDKIRVRLIASTGNVKGGAGHPFDGMPPKVAKNGESSGRRGEGGGVVPAVDRLSDLPDALLHHVMSFMKAWEVVRTCVLSRRWRHLWASAPCIDVRFRRLREPAILRTEAISCPTFSNLKTLALGEWCISMGADFDILITLLQHSPNLEKLILHIEMVTKRRVPVNRPGEPSRGRQEGGIRADVAADRLSALPDALLHHIMSFMKAWEVVRTCVLARRWRDLWASAPCVDVRLGRYSEAPEQFAKFVYRLLLARDILAPVDTLRLRSPGEDDDYDVGDDDVSMWIRSAIKRKARVIQLNGHLHESAKLDYRDFVSCHLKILKLSYTELDDQFVRHICSRCPSLEELDLKRCVVEAREIVSASLKSLSLVKCKFTANLSVDAPNLLSLRCIAPEKWVPLFKNFASLVTASVMLDDSLLSREFEKYQEEDEFPQTSDEDEDNNSVHFKRGGKHFVASDDSDEYMSDGYGCPDDYFDRYSNNIKDDYDYGSDINSDTETYEYSEIANGYDCWQFRNNDGGSNSSKGGNYHGSSSKCVINDYKKLGGQNILQTLSNAQCLDLLGHSGECSMKRKCRIGYGSRFARHRFGGYFDRKEYGSDIESDDDTYQYSKIAHECDGSGYDCAGKGSRKDRNNQAYGRNSGCNDSKILGGQNVLHSLSNATNLELLADAGEVVLTRELETCPSFSNLKTLTLGEWCIDADFDALVFFLQHSPNLERLFLELKLTFNIRKLLERGVKPTGRSFSCKNLQMVKIKCSKDDVRVHKLAHLLKANGFPVEKIFVRRSGSSYLRGKKTMKELARHERQMWGEVPVKQAEGASRGRGGGDPDAHRLSALPDALLHRILSYLKAWEVVRTCVLARRWRHLWASVPCIDLRVGQDNYGETPEDFPGFVHQLACRRDASAKLDTLRLRSSNVDGAYEEDDSKLWVRAAIKRRVRVIHLVGHRDGLATLEHKVLVSSHLKVLKLSYAMLDDKTLRKLSSQCPSLEELDLKDCLIAGHEISSPSLKILTMFKCQISVNLSVDAPNLVLLRCILPITQAPSFKNMASLVTGTIVLDDRSFDDDFEDFSKDEFDETTDDDDYWNDSNKKYKTGCGFGAPLEGWLSHNDGYDYGSDIDSDDNTYEYSEIANDCDEYGFSGDGQDGSRNVCSKNSGCSENLITGGCNILQSLSNGRSLELIADAGEVILNRELKRCPSFSNLKTLSLGECILQNWRLFLELEMCFNKKKPLESGVKPKGRSFSCKHLQMVKIKCSKDDERVHK >Et_10B_003471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:287335:288647:-1 gene:Et_10B_003471 transcript:Et_10B_003471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVVVVDGAGNGKVDASTNSTSTTRPPPKLLRPLLLVAVLATGFLAAVVLLVGAGAGITAPALPNLDALSSRRPVACAREEINWWRRAPGASAWHNLSDEELLWAASWRPSVARYPYRRVPKVAFMFLTRGPLPLAPLWEKFFAGGSRDHFSVYVHSTPGYRPDFPPTSVFYRRQVPSQVTRWGDPSMCDAERRLLANALLDPGNERFVLLSESCVPLFAFPVAYAYLTRSRHSFVGAFDDPGPHGRGRYRAALAPEVRRSQWRKGAQWFELDRALAVDVVADARYYPKFREHCRPPCYVDEHYLPTVLSVEAPGRIANRSVTWVDWSRGGAHPATFGAADVDEAFLRRLVTSAPDGEQRLGKERPCTYNGQPAEVCFLFARKFAPSALQPLLRLAPKMLGYGG >Et_3A_026368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7367931:7373546:1 gene:Et_3A_026368 transcript:Et_3A_026368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYGSDDYRGGGGGGYGGRGCFLGPVVAEAAAGEEAAAEEEVTVVVVVAEEDMVAAVAEGEAGDSVAAGEGEVEVAAAAAGVGEDAKATGCGNVNFARRTECNKCGAPSPSGGGGGGGGGYNRSGGGGGGFNRGGGDYGSGGGSGFNRDGADYNSGGRGGGGGYNRSDRGFDDNRGGRGGGYGARDQENNQRGSEGGYNAGGYGQAPPQGPPSYGGPPGDYGGNNAYGSDSAVPPPNSYGGGPGSYPPSYGAPPPNPYSGGAPGGQGNLPPTYDGGYGGGSVPGGGASGGAPPPYHGSGGHTSSAAPEPAQKVKQCDENCDETCDNARIYISNLPPDVTVEELQELFGGIGMVGRIKQKRGYKDQWPWNIKIYTDDSGKAKGDACLAYEDPSAAHSAGGFYNNYDMRGYKISVVMAEKSAPRAPSYGHGGGRGGGYGGGRRDGGHGPNRNQGVCAASDPLDEGTAWRPHGTEDQTFVCTLAPESDSAPTAVLLLFLTKWHFESMGSSILN >Et_2A_015274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10168125:10182757:-1 gene:Et_2A_015274 transcript:Et_2A_015274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASVEEPLLLPSGVGVEGEEEAAVLGLSVREEVKKQLWLAGPMIAGALLQNVIQMISVMYVGHLGELPLAGASMANSFATVTGLSLLLGMASALDTLCGQAYGARQYHLLGIYKQRAMFILTLVSIPLAVVWYYTEAILLLFGQDADIAAEAGTFARWMIPALFAYGLLQCHVRFLQTQNIVFPVMAGAGAAAASHLLVCWALVYGLGLGSRGAALSNAVSYWVNVVVLAVYVRGSPACRETWTGFSVEAFRDALSFIWLAIPSALMVCLEMWSFELIVLLSGLLPNPKLETSVLSISTRVSNELGAGRPQAARLAVRVVVFLAVSEGLVMGLILVCVRYIWGHAYSDVEEVVRYTARMMLVIAVSNFFDGIQCVLSGVARGCGWQKIGASINLGAYYVVGIPSAYLIAFVLHVGGMGLWFGIICGLMVQVLLLMIITLCTNWDSETGEQRRCAMETASLEDALLPSRKSPSVSDEVKRQLRLAGPLIAGSLMQNLIQMISVMFVGHLGELPLAGASLASSFAAVTGFSLLLGMASALDTLCGQAFGAKQYHLLGIYKQRAMRILTLASVPLAVVWFYTEAILVVFGQDADIAAEAGAFARWMTPALFAYGLLQCHVRFLQTQNVVLPVMACAGAAAACHVPVCWALVHGAGLGAKGAALGNAVSYWVNVAALAVYVRASPSCERTWTGFSGEAFRDALGFLRLAVPSALMVCLEWWSFELLVLLSGLLPNPQLETSVLSITLNTANFLFMIPYGLGAAISTRVSNELGAGRPWVARLAVRVVMFLAVSEGLVMGFVMVFVRYVWGHAYSDEEEVVSYVARMMLLIAVSNFFDGIQCPLAGVARGCGWQKIGAWVNLGAFYAVGVPAAYLIAFVLHVGGMGLWTGIICGVVVQVVLLVIITVCTDWQKEVGMKTDRSGADNTFSIS >Et_4B_039421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14885975:14887575:-1 gene:Et_4B_039421 transcript:Et_4B_039421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKNETPSYGQIPDFRQGLVRDAGWGFLAGATCGSAFHFIRGLRNSPSGARLAVRANAPRFGGTVGSLFALYFTFECAVTAARGGKQDGWNSVAAVAATEGIRSMRRGPRRAVASALSWAAAVALLEGIVIVFDRKLGGGLHAEVLPPPRRYDRANAMPGSFSAVPSGFSGAATSEGIAGVAVMIPERIRTLQNLQKRSQRLWRRFLFLSTITVYYCKTAIARSTC >Et_5B_045120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9765696:9766921:-1 gene:Et_5B_045120 transcript:Et_5B_045120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWILRGCRDECSASDQLKQARDVFMAKEAVLQKKISQEMERAKEFTKSGNKQAAMQCLKRKKYYESQMNQVGSVQLRINTKEKMIADHTGNK >Et_3A_024447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20920884:20927274:1 gene:Et_3A_024447 transcript:Et_3A_024447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVPTAAKSASPSQPSGKSEVADLKQQLRQLAGSRAPDADDQRRDVFKRVISCMTAGIDVSAAFGEMVLCSATSDVVTKKMCYLYVGAHARAHPDLALLTINFLQRDCRDQDPTIRGLALRSLCSLRVPNLVEYLVTPLATGLKDPSAYVRMIAAVGAAKLYHISATACLDADLPASLKALMLSDPDAQVVANCLHALQEIWTLEAANSEAAAREIETLYSKPVVFYLLNRIKEFSEWAQCTILELASKFLPSDNNEIFDIMNLLEDRLQHANGAVVLATIKVFLHLTMSMTDVHQQVYERLKAPLLTLVGAGSPEQSYSVLCHLHLLVMRAPMLFSSDYKSFYCQYSEPSYVKKLKLEMLTAIANESNTYEIVTELCEYAGNVDVPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMDKDYVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSKNIQEPKGKAALIWMLGEYSQDMHDAPYILEGLVENWDEEHSPEVRLHLLTAVMKCFFKRPPETQKALGATLAAGISDTHQDVHDRALFYYRLLQYDPSVAERVVNPPKQAVSVFADTQSSEMKDRIFDEFNSLSVVYQKPSYMFTDKEHRGPFEYSEDLTNLTVGAEDPENVISAQRYQENDNDLLLSTSDKEDSGTRASNGSSTSSYNAPSDLISSQPLVETTPMNAGGPTSSSLSNFSLDDLLGLGVTEAAAPPPPPALTLNSKPVLDPGTFQRKWGQLALSLTQECSLSPQGAASLMNPQSLVRHMQSCSIQCIASGGQPPNYKFFFYAQKDGDTAFFLVECIINTASAKAQLKIKADDGTAAAAFSTLFQSALSKFGLS >Et_4A_035339.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:20812034:20813326:1 gene:Et_4A_035339 transcript:Et_4A_035339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRKRLRALTEAPPPPPPEEEEEDTRAYRTLPSDLLLEIVSQTDAKTLVRSAACSKPLRRDILSPGFIRRVCHETGGAVPASLIGFLHAYDMASRAPRPPAPFTLVHPATPAAASFAGKHVVPRLSRGAGADLLRRYEPLASRRGLVVLARRHVQRRWRTDICVYDAIAGDRVFFRHPPDIRYVSTHMYVLLTAADGVGCPILLLAADFIGFKDSGAINIQTVSSDAADRKWGPLTLATLPPPSGSFLVPCCQAVVLGTLIHWLMYGDKVSMIITYGVGTTLLGSIDLPGLPDGCKMFNLHLTSSPDGRLRLLVSDRLAVSIWQSTDASGWERQAVIDAEAIALSLVPELPQQHRMIKFERSGVGSAAVLLLPTTARNYRGNKDEDRFVLLDLETKDMRRVINKRNHIDNRPYPYEIDLASRLSAMKSF >Et_10A_000817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17764154:17766367:-1 gene:Et_10A_000817 transcript:Et_10A_000817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIANIPLILNLVSYLHGTMHMGIKDASTTSTNFFGAICFFSFLGAFISDSYIKRFYTILIFAPIEIMAINQLKMLGPCHGQGYIILACQAHISSLHPPPCDIINHPNECTPVSGKNLSLLSLGLYLIPVGEGSLRSCAAALGGDQFDSDDPKEMRLRISYFNWFTFCISLGGFVGLVFLVWVQDNKGWGLSFVVAALLVLFGTVVVTGGLPLYRHQKPTGSPLTRILQVFVAAFRKRHLSLPENLVETNEITDASGNKSVELLERTPGFKFLDKAAVDNGDKGPWSRCTVTQVEEAKIILRMVPVFLSSVLGNVPLPLLLALTVQQGGTMDTRLGGTRIPPASLFIVPIVFQLLILVAYDRAAVPWLRRATGYAGGVTHLQRVGVGFAASVAALAVAAAVEGRRRSRSLTTTPAGAPPAMSVFWLTPQFFLLGVMDVTSFVGLLEFFYSEASLGMKSIGSAIVFCVLGVASWLGSSLIEVVNRTTTSSGDGGHGWLDGATLDASRLDLFYCLLAVFALVSLLIYLICAWMYTYRHDPRMKPVLVRDEVVVPESTRQPAV >Et_1B_010871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14947457:14955964:-1 gene:Et_1B_010871 transcript:Et_1B_010871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSTSAPAHASSAFPLAAAAGVRFPRASASTSRTRASAIAERRRTRRRRQPEGGGRGGDRSAAAGAVEKGLRLAFLEQLAERARAADAAGVTDTIYDMVAAGLSPGPRSFHGLVAAHVLAGDAEGAMQSLRRELSSGVRPLHETFVALVRVFAKKGLSTRAMEILAAMEKYKYDIRKAWLILVEELVKNHYLADANTVFLKGAKGGLRGTDEIYDLLIEEDCKAGDHSNALTVAYQMEASGRMATTFHFNCLLSVQATCGIPEVAFATFENMEYGGEDYMKPDTESYNWVIQAFTRATSYDRAADVAELLGMMVEDHKRTQPNARTYALLVECFTKYCMVNESIRHFRALRRIPGGTKVLYNEGNCGDPLSLYLRSLCLDGRPIELLEALEAMANDNQTIAPRAMILNRKYRTLVSSWIEPLQEEADVGFEIDYVARYIEEGGLTGERKRWVPRRGKTPLDPDEFGFAYSNPIETSFKQRCFEELKLYHRRLLITLRNEGPGILGDVSEDDVRRVVERLKKLVVGPKKNVVKPKAASKMVVSELKTELEAQGLPTDGTRQVLYQRVQKARRINRSRGIPLWVPPVEDEEQVDEGLDELISRIKLEDGNTEFWKRKPAKGPNQHLQMIGVQLLKDLEKTPVSSKKKRMPEIDDDEDWFPEDPIEAFKVMRETRMFDVSDMYTTADAWGWTWEREIKNKMPRKWSQEWEVELAIKIMHKVIELGGTPTIGDCAIILRAAMRGPVPSAFIPILQTTHSLGYKFGSPLYDEVVLLCLDLEEIDAAIAVVAEMETNGIKVPDETLDKVLASKRSSNSALPPPAED >Et_9A_061312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:139718:143452:1 gene:Et_9A_061312 transcript:Et_9A_061312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGVDFKWYDGFFLSMLATSVIIVSINWKRYRLCAHPLHIWIVVDYTAVFIFRLLMFLDNGLAAGMGLDLGWQQRYARFCGRIVVLSVLVLLLYPFLWVWTVIGTLWFSTARGCLPEEGQKWGFLIWLLFSYCGLACIACVAVGKWLSRRHALQLRAQQGIPVSEYGVLVDMIRVPDWAFEAVGLEMRGIGQDTAYHPGLYLTAAQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPNLDLSALNNLRPTGELDHPSASDVTMATAATRYVRSQPAGQSYLVRLQGLLLRPVRHESAENVGEPVVPNSSVGPEELPSIVIDDGHQLPDR >Et_4B_036248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1320655:1321248:-1 gene:Et_4B_036248 transcript:Et_4B_036248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARRFLQTNSGLYPTLPAAEPPDPLAVDSDVVVILAALLCALVCVVGLAAVARCARARRNNNNGAASPDAASKGLKKKALRALPKLAYEDAVAAAIAARECAICLSEFAEKEEIRVLPQCGHAFHVACVDTWLAAHSSCPSCRRVLVVDDATPKQQQPEPRRCRNCEAMEEASSSSGDGAASFLP >Et_2B_022198.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:12515209:12516054:-1 gene:Et_2B_022198 transcript:Et_2B_022198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQRSLAGAGSALLLLVLLSPPCGADPDDERCLSALHRSLSDPTGGLNWTRSAFSSPCEGFISRLQGVTCNNGRVYKLALPGLALGGAIPPELGNCTNLQSLDLSSNALSGPIPAALSSLLNLAVLNLSANALSGAIPRELAGCAYLNVIDLHGNRLSGPIPDELGLLVRLSAFDVSYNRLSGPIPVLLANRTGAGGAGTAARFNASSFVGNKDLYGYPLPPMRARGLSVLAIVGIGLGSGLLSLVLSFSAVCLWLRATDRTAATMPGEEGKISQLMPDY >Et_2A_015538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14665051:14669305:1 gene:Et_2A_015538 transcript:Et_2A_015538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGAKKKKPAAAAKSPPAAAEPKATPSPVPAANGAAPHQAAVDAGVLLRRAHELKEEGNRLFQSRDYSAALRQYELALRLAPRGHPDRAVFHSNRAACLLQLRPVDHEAVAQECSLALQAEPRFPRALLRRARALEALGRHELALADALALLALDPDHRDAIDLAHRLRSRVNASFAAASSAHEPTSRPSPAALGASAVVAGLGPSLPARPFPKKTSPPSPTPPLQQQPGPTMVKSNPQPSPKLVPFPNTPPSSAKASAPDGSGKASLTLPLTSSLPATAAAHTVRKAVTKWRPLKLVYDHDIRLGQVPEKCSFRAFREFVAKRFRSSKAVLIKYKDADGDLVTITSTEELRLAESLVDEVGHEVVENGKEGDNKLPMLRLHIVEVSPEQEPSLPSEEETLEEELLVKGEDSALHASAEVTDAEVAQQDVENGAAEQSTEAMKKDCGHAECKEAEMDDWLLQFAELFRNQVGIDADAHLDLHELGMELCSEALEETVTSEEAQALFEMAAAKFQEVAALALFNWGNVHMCAARKRIPLDESAPKEVMAAQLRTAYDWVSERYALAGSKYEEALKIKPDFYEGLLALGQQHFETAKLHWSFALADKVDLAMWDSSETFKLFDSAEQKMRAATEMWEKVEEQRMAELKEPADEKDELLKKKRKHHGADGQPELTPEEAAEQAAVMRQQIHLFWGNMLFERSQVEFKLSVGDWKKNLDASVERFKLAGASESDISTVLKNHFSNALSECEEKKVMASGTEIPHTNEHIEDKSLDCVSYFT >Et_1B_013053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5050637:5053266:-1 gene:Et_1B_013053 transcript:Et_1B_013053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGGGSGALKAASGSAPSPAPNPTAMLSALMSKRAKLQEELRSIERQVYDMETTYLQESNQFGSVLKGFESFLSSSKNTSNLKRSRKFQADERLFSLSSVTSPAVDEHLTGRDDGREYGLGRSKGANTPANGQGKPKKGGGRPGGRDGKRLRPSNDPDLDDEDDY >Et_6A_047642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:986075:988763:1 gene:Et_6A_047642 transcript:Et_6A_047642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKVRRRVPELVAPARPTPSETKALSDIDDQEWLRYYETVIGFYRRRPGEDQDPVEAIRAALAEALVYYYPVAGRLREVAGGKLVVDCTAEGVLFVEADADVRLEEFGEPLLPPYPCVDELLCDAGDTRDVIGRPLILMQMTRLKCGGFVVGLHMCHNITDGFGMIQIMSTIYELASGATVPSILPVWKRELLSTPHSPFPITYSEPLYEPLLNSLDSPCEDIMLSTPLQHMVSKCFIFGPSDVATLRSHIPEHLAASATSFELLTAVMWRCRTIALGYRPSQRVRLMITMNARGRWNRHTPIPRGKGLQLFAEYVGGGIPFVGDIASKLGSDHMRCKNKDGEDSTVVSMLLPKPAMERFKKEIAVWMRKPDEKNQIIQSSL >Et_9A_062081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19547737:19550961:-1 gene:Et_9A_062081 transcript:Et_9A_062081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHGTDACFSPGRAMSPQVRAPGPPDIGSQYLAELLQEHQKLGPFMQVLPICSRLLNQEIMRVSNMRRQHGVGDFERLPVPSPNQMHTSPPMPNFCGNGFSPWSGMHPERVGVPQGAMGWQGPPQSPSSYIVKKILRLEVPTDTYPNFNFIGRLLGPRGNSLKRIEASTGCRVFIRGKGSIKDSSKEEQLKGRPGYEHLSDPLHILDESQDYYKRQQLRELAMLNSPLREDSPHPGGAPPSPFSNGGMKRVKQ >Et_4A_034700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5499972:5504577:-1 gene:Et_4A_034700 transcript:Et_4A_034700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGGSLNPHYRHGAPPPGITGPFPQQSQPPPPPPLQQQAGVTGPFSQQPVPPPPAPYQQPPGMRPPPGAYPHTMPSHQNPAYPFAHHGQMHHMPMGQQQRGFAPMPMSGQPPQAMYQAPQYPMPGSLPPPPPRPPTPPPPPLPPSSPPPMPPAPTTPVVAQSWNAEVEGNESAPDVGHVAKTEKAETQLIVSDDSDMDMDGDEDSPSRKHLTPDNSSLVTAECTGDVNVPKSLSDVSSLGNDLPTGGTGNAKTANVTVKGGSPFQLIQGYTSNDSEDEVADGAPSTLANEKGNVNTSHVTEQNGEARKYHLKDESRSVKQDIDMPGHIVKDDLCGSDKLDGVQRSKRHGRSERKRSRNKSPLGRSPSPLGANKFNPLQSSSPGRHSRSPLAKRVHASVEGPAGTEKLDSSNKGDNTALDGALGQHGCDDNLTAESSQSMAASANESDPHKIQRPCPLSESQSDLNVSSSAGNETLASQSSAGVLFLSVQASKSSMTCDMPQPHPQSLYPSEHMSSSFAQAHPSSSNMIQPPGPPSLATSEFPQTQFQQKIVAPANEFLQNVSHSRPLNLHDHTLQPVPCHQQAASVPLENAPVPHPDRWSNYSGGIGLPYSCHQPPYGQQQPPGSLDSGPNLVYPSFQRFPSNLPGSSDLGPVSDVGLPKSSIKPHYNPFASTFEQTDPSLGIDPTVSPTAVGSFSTKAVEHMNTLSPFGQSFPGSGSHASAEVVRNKQKQFRPEFTSGAPYDPLIDCIEPSSSSINKVDPGKEKHRNADASKLMNIEVDSNDMHGLGVVAESEVEGLGEVAADTEPGVVENASPEFLGAKDWSSDIPGDIDNDQSLDKSKKAKDSRSMKLFKNAIADFVKEVLKPSWRQGNMSKEAFKTIVKKTVDKVSNSVPSSHIPKTPAKIKQYVQSSQRKVTKLVMMRLKLPSIYVHETVFNSK >Et_1A_008825.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14955150:14957609:1 gene:Et_1A_008825 transcript:Et_1A_008825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFTCVVVFLLISLLPLALCSSSASPPVQHTLGTGASLAVEDHERPFLVSPDATFSCGFRQAGENAFSFSVWYTAAADKTAVWSANPGAPVNGRASRLSFRRDGVLALDDANGTTVWESKTKGRGLTVSLLDTGNLVIAGDPSGGGVMWQSFDTPTDTLVPTQPLTKDTRLVAGHFSLFYNSDNVLRLLYDGPSTSSIYWPNPDNDAFQNGRTLYNSSRVAVLDDAGVFLTSDNLRVTASDLGRPGGVRRRLTVDADGNVRIHSLNNASTGGGGWTATWAAMAQPCSAHGLCGENAVCEYRPSLRCSCAPGYEMVDRRDWSKGCRPMFAAPNCSRGALPEQRFRFVRMPHTDFYGYDLGYNKSVTFDYCKRMCLDMCLCSGFSYRIDGQGLCFPKFVLFNGYTSSAFPASMYLKVPFDFNVSAPSVAARTAAGLACDPNGPEIVRLGSPDTVLSSPGNGTRWSYFFAFAAVIGVLDLVFIVTGWWFLSRKHNNIPSSSLEEAGYRMVTGQLFRRFTYRELKDATGNFKEELGRGGSGVVYRGVLDGGKKVVAVKKLITDAVARQGDEEFWAEMTVIGRINHMNLVRTWGFCSEGRHKLLVYEFVDNQSLDHHLFGEDDRPPLPWRERFRLALGTARGLAYLHHECLEWVVHCDVKPENILITRDFDAKIADFGLAKLSARRDGAGVQLSHMRGTAGYMAPEWALNLPINAKVDVYSYGVVLLEMVIGSRVSDQATEDGEPMEVAQVAQALRHVVATGDVAPLVDGRLQGCFNPRQALEMVRISLACLEERSSSRATMDDIARALTAYDDEDEHPAYRS >Et_4A_034373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32021651:32027455:-1 gene:Et_4A_034373 transcript:Et_4A_034373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVAPPSHPLPATDLFGEPIEAHPPWFKPDSFLRPDFDPDAYVAELRSYVPLESLAAELRSHLAALRAELVGLINRDYADFVGLSARLKGVDAAAARMRAPLAELRDKVAGFRAGAAAGLAALRAGLEQRAAATAARELLELLLDTSHVVSKVEKLIKELPTAPSDSSNVEFSSADKTYPSNDSPNVEAGTGVRETQSILLERIASEMNRLKFYISHAQNLPFIVNMEKRVQGATKLLDASLERCFVDGLEHRDAKVIYNCLRAYAAIDNTSSAEELFRTTVVSPLIQKIVPQNYAKAVSGVSSDVLEDDYQQIKQCVEKDCKFILEISSSANSGLHVFDFLANSILKEVLAAIQKGKPGAFSPGKPKEFLRNYKASLGFLDFLEGYCVSKSAVTKFRSEAAYTDFMRQWNIGVYFSLRFQEIAGGLDSALTATISPVGTHENQGKPKTLLLKQSIKLLESLQSCWSDDVLIFSHCDKFLRLSLQLISRYTTWLSSGLSAPKASDRSSNSPSDAEWALSVPVEDFIYDLKHLKGITATYRMTNKLPVRHSPYVSGILHPLKVFLEGERIHYLSEDDKVKLCRGSTDKITASYYEMVSEVVNVARKTESSLQRLRQGAQRRVGASTDASDNVISDTEKICMQLFLDIQEYARNLRAIGIDAREIDSYKALWQCVAPKDRQENIQF >Et_1B_013123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5744761:5745291:1 gene:Et_1B_013123 transcript:Et_1B_013123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQMAQPVVILVFAMAITPALPIGNPSAINATCVALTPQLYNYCVGVLSADPAAANATDALGLAAAAINITAPKAASTFELNTCSDIYGRMEGGLANVLTDIRAGQYDSAVNEISMNATGNPDGCDIMLFEGNSHKDPISGENGDIRNWVFVANDILEAIAINASKS >Et_3B_030892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8366115:8367054:-1 gene:Et_3B_030892 transcript:Et_3B_030892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDRAAVKRGPWSPEEDEALRSYIQRHGIAGNWIALPKKAGLKRCGKSCRLRWLNYLRPDIRHGGFTDEEDAVILALYNQLGSKWSLIASQLKGRTDNDVKNYWNTKLKKRLVATSAAAVPTASTPSPPTLVPSVPTPAPAAAAHSPTSLYPSLAIPTVKTETYTCDDFLAPAAFREALASAAAGSTPASAASSASNWSTDNGAAAGEGFLLDFCAGSDLGAAGQLQLPGGYYYPLDPSLSLV >Et_10A_001525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4684328:4685557:1 gene:Et_10A_001525 transcript:Et_10A_001525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNETEEMGTCATTGIDSLNDDLLELVLLRASSPACLIRAGNMQDVAPCDRRRRLPPPLPRDAPARARLLPDLGGSGKVFVPRRFAFSIDFLPYASDSMKLLDSRGGLLAFAVDENFTGGIVVCDPLTREYESISVHLRQRTTITPAISANFLLDADPDDETGNSSLMSRFRVLSVCLAISIHCNVHRAQLHVFRARDRRWVLLSSTSAGDIVSAVTRLCRNYDDTITGHLAFLGRDGGSPLLGIPLNDNVLAVDEYTGEISLLVLPVAVGANGRAWWMQYDRETLRLISGDARSMRLVRIIGDDLEVLTLVRGNGTCTVDRRVVGLSQLANIEATSSLPWNFFDTAPGPGPGCVALSQDHINMWMFSVKTMVLEPLSMRTSGVRVFPYELPWPPTIKTCLL >Et_5A_041579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24608478:24612092:-1 gene:Et_5A_041579 transcript:Et_5A_041579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSASVQLNDAAVLDAKPLRTLTPMFPAPLGLHTFTPQNSPSFVCVTPFGPYAGGSDSGIPAGVPPMFAAAPAPADPIQRQPYAANMNGAGHANVTANNSMVTPALQTPPSAATQEPGKRKRGRPKRVQDSSVALAPSVHPVSSISSVPSLPLVPSVQEGNNIQTPSSTIQQSGKRKRGRPKRVQDVPALSTPQADSTPILQIAPAPPMHESGTRKRGRPKRLEDSPVTSTPPIHSKDSEATFQTPSAATSAEGGKRKRGRPRRVPDDSVTPSNHSGHSIDDDTADATKRGQPKKIDTNLLQLPSLFSNDPRESVDNVLMMFDALRRRLMQLDEVKPGSKQQHNMKAGSTMINAELRVNKNKRIGEVPGVEVGDMFYFRIEMCLVGLNSQSMAGIDYMIAKFGSEEDPVAICIVSAGVYDNAEDDPDALVYTGQGMSGKDDQKLERGNRALERSLHRGNQIRVIRSVKDMTCPTGKIYIYDGLYKIKESWVEKAKTGFNVFKHKLLREPGQPDGIAVWKKTEKWRENPSSRDHVILLDISYGVESNPVCLVNEVDDEKSPSQFTYTTKLNYRNSMSSITKMQGCKCTSVCLPGDNNCSCTHRNAGDLPYSASGILVSRMPMLYECSDSCTCSHNCRNRVVQRGTQIHFEVFKTGDRGWGLRSWDPIRAGTFICEYAGEIIDKNSMNEEDDYIFETPPSEQKLRWNYAPELLGEPSLSDSNETPRQLPIVISAKRTGNIARFMNHSCSPNVFWQPVLYDHGDEGYPHIAFFAIKHIPPLTELTYDYGQSQGGVQLGTNSGCRKSKNCLCWSRKCREVAHRRYRHGAAEVV >Et_4B_036509.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27541333:27541509:-1 gene:Et_4B_036509 transcript:Et_4B_036509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMKQQSQMMTILSRTASLFWKQLKNFQMRKRQRQQISSSVGLQGKFLSISRTRGFV >Et_4A_035293.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:1860930:1861346:1 gene:Et_4A_035293 transcript:Et_4A_035293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGPACYRCAACGADLNLSEAHLYPADFYFEAGNKGTLSFSWVDESRLRFAPEDRIRPFFETLNYWGIQRKRTRISCDACGRLIGHVYDDGPPLMQGTGQFGMGPSQVIPRRPRYRIKIKAVNVGSSAPAAAAAAR >Et_10B_002807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11643141:11644086:-1 gene:Et_10B_002807 transcript:Et_10B_002807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GAVHEAPFSSMITAGSPSIFQDGKECSACYHVKCCGHASCSDSPVTVVVTDSCPDCMDEPVRFDLSSTAFGTMAAPGKGDQLLNVGCLQIQYTRVSCNWERHRHRFQGGQRVKPELHHGGDLSTRTATST >Et_8B_059403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17637447:17640228:-1 gene:Et_8B_059403 transcript:Et_8B_059403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGSGGGLSVANGGGGLSEGHDDDEEECADLEPFFFDEAEAVADHERQMRREQEAARKQEQRVLAAKMNKAVIDAITDYDPKQGGMYYNRFSLADFSHFNIDEECKPFHLSTPLEPMRYSDKIYNEGDKHWPLYAVDFLSVKIMSLDIDFPIHVYGTVIARDSLDSKCVYLFQRDKEQSQIINSKTDLKIKDHHGQVKELSKGLCSVRGIASQDLDKCKIESELLATRLSTVEVMCAVVKDAVEATLAIDVLQGEFYGKITARTSSIPSSILLHDSKVAGVLTCDGKRVIQLSRRVVAVCLKDKLEVTAVARTGDVDCEGTIALTPALSGRVEGELTVGSTKMVVKVTWSVLD >Et_7B_055197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8200976:8203725:1 gene:Et_7B_055197 transcript:Et_7B_055197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKWGEGGGLQLAAAPRPVRRHLIVLVHPWRGALSVKRVHNSVRLRFRKLIKCGFFPSYTNSSFIRYAMHDLYHELAEYVSAKEFSTMEKSTWHDVDEDARHISLALSDNSNEIVQFCALQNRYHRQSRIPGLRTLLIVQKDEPKDKGNILHINFPSGLMKLFGSLRALDLSNTDIEVLPHSVGELIHLRIPKKRLPESISALFKLHTMNLKCCNCSELPLGIQFLANLRHLKLPSMDNWNVYMPHGIGELTNLQTMHTIKIRGDPVPASCGIPDLINLDTLRGELCISEIERVSVAQMSVEGTIKDKRVICVGQSPASLSSGGTHHLGIFWCQISCVAGESIHLRLSNLELKACQNCKQLPSLVNYVVSNIFQSIHSPA >Et_1B_011209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18888064:18890157:1 gene:Et_1B_011209 transcript:Et_1B_011209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YAYLLLSTVVLPTVTSDCEPYLAEIGIGLTGFGVFFSFLGIIFFFDKGLIAMGNILFVSGLGLTIGLKSTLQFFAKPKNYKGTISFGAGFFLVLIGWPFFGMILEAYGFIVLFSGFWPTLVVFLQRIPIIGWIFQQPFVTSFLDRYRGKRVPKIEIVSSLCIAAADILMSKGILNLTYIKENKTQYREDKGKKENYNQI >Et_10A_000457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10684880:10687595:1 gene:Et_10A_000457 transcript:Et_10A_000457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCSSEIVESTEEEFDSAPVGCSSLLHIKVKGEEKGGKCRDYPVEDDLDQLLKAIDSRTFRRALSPANTGGDALGKSALKKPARSGLSQTAGIGISSKTVNMKQALRRLCISQASEMAAMKRLSMSPGSSSSSEAGTIHRLYASLMVQSNSESPIHDDEKMNLIEISITPGRIDKCSSRGTSEFSEDCDFETADGSAVTSMRSASSNSAEVRKIRIQDVISGDPADIESSLVENGNLGNKVSAATDDCSPRFPILSKPITTSRLVKPVFRCKTASKKKVKEEPSSLGDSSNSTKFCSSKESVSLASTSCSSVSSVTNPTSCAEEEKSNVGPKNSDETSSEWLGVEEKGECSQNSKDSVSEYGCSTSISNESQIGLCTYSNRPHMAKDLRWLTIRQLALQQGSLGLDDFKLLKRLGCGDIGTVYLAELMDSDCLFALKVMDIEYLINRKKMLRAQAEREILEMLDHPFLPTLYAHFTTDNLSCLVMEYCPGGDLHVLRQRQPGRSFPEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVNPVLLRSSSIAANHQPKKLTGPCAENYCINSSCLQPSCAQTSCFTPRLPSVPKPRKPKSSQKRLPQLVVEPVEARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGVFLYELLYGKTPFRGPGNDETLANVVSQNLRFPDNPA >Et_7B_054602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2740256:2742812:1 gene:Et_7B_054602 transcript:Et_7B_054602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASKYRSRSHTMGNNKRVPPYLVLVLLAIGAAALSVGILHKMRERRVLTVLLEEHDQQLISLQVLLEKEKEVNKEMRRKVDELEAKTSILSIERAELKNKLMDSETTTRYLTNTQKELEAALVEKESHINQLKENAVTSTPDQTTSVKELLQQKETELGKTENSSDSVPAPSEEENSNNNTASDSSHQDESIIDGANNENAIPDHTVLDKSENSNDSVPTPAEEQNSNPTASESNNQDESIAAITNNDNAATETEVPEKYANSTDSIPAPADEQNSYNTTALESNQHDNSSIEDQFLRLTTNMEDGQLQENKVDGNEHSDDAPEGSGSDKSELPQLSQKLTDSQEVSKEQLDGTGQTEDPQGEVSSHNRDIKLMEMEDGNAAVKEPEKEMNPEGKSETTEGSLSEFNQNTTQAVDTVAVPTDANTGMSTNNDERKETSKRHRRRRFRSRRKKRTTVAASNSDGSHETEVDAAANTLT >Et_1B_011744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25570962:25572297:-1 gene:Et_1B_011744 transcript:Et_1B_011744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNGNEGMSTIPGFSQIQFEGFCRYINQGLAEELEKFTTIKDPDHEIAFQLFAKGYQLLQPSIKEKDAVYESLTYSSELYVSARLIFGFDVQKQTISIGSIPIMNSLGTFIINGIYRIVINQILLSPAALSVFGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRYQCLGANVGSAQGPTGLGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHASLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFFFVGHLWHAGRARAAAAGFEKGIDRDLEPVLYMTPLN >Et_8A_058278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4126724:4129478:1 gene:Et_8A_058278 transcript:Et_8A_058278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYAVAERRQRNGAEKSADIAVNVSEEEHSPVLPATLLSAMSLARALRMLWVAFTFGQAGGATASAIYFSFMLIVCLYFWTLFVCVCLLKEALFSGHDLGMLGLNVFVACFLLVLGVAMLFGEAVAAVVPWLTAMAMAGLLGYTLAVYHKYEQLQLATYTLLAVRAGKWSTRSRPPNLKSPIAGHR >Et_3B_029993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29759593:29763531:1 gene:Et_3B_029993 transcript:Et_3B_029993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPLIYEILEEPASSSVIGICSLIWFLIQKRGIGYADVGLSYETAVEGGQYWRIITSAFSHISVVHLVFNMSALWSLGVVEQLGQVGLGVEYYLHYTLVLVVLSGLLVLGFYHIMIQRFKMEYFRRVTAVGYSCVVFGWMTILAAKQPSSKLNIFGVLSLPISFAPFESLIFTSIMVPQASFIGHLSGIIIGYSIAWGLIHGMNNYWAITMLGWIALVFVMSMKRTGSMELSFIEIEPVTDPSLPSVGVVASRNGRTLQMDVLPGRRVADIIFLDGVVLPLIRKNEVELLCLSAT >Et_2A_016044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20424784:20436164:-1 gene:Et_2A_016044 transcript:Et_2A_016044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREKERLGVEAAKVEVAFERLTVEADVRVGRRALPTLLNRVVNAAQELATSSHMCATRKRSIRIINDVSGIIRPSRMTLLLGAPGSGKTTFLKALAGKLDSSLKLKGKVMYNGEAMNYSTPQYLRAYVSQYDLHHPEMTVRETIDFSSKMLGTSNQFEMLGEAIRRKKGVINKVDQDLDSFIKATTFGQGSNLTTNYIIKILGLCECADTLVGDELRRGISGGQKKRATIGEMLVGLARCFFMDDISTGLDRSTTFEIMKFLQQMTHFMDLTMVISLLQPPPETLELFDDIILLCEGQIVYHGSRENATDFFETMGFKCPSRKNVADFLQEVTSKMDQKQYWANDENKYRYQSIEKFAESFRTSYLPQLVKDKLLSKPYWKEQEGKSECESQDLQMEYFQGMEVLLLKRNSPMHIFKIIQITVMALVISTLFLRTNMNHHSVLDANKYMGALFVAVVIVNFNGTTELAMTIKRLPTFYKQRELLALPGWALISSAFLISIPISLVETSLWTGLTYYVIGFAPSLIWFIQHYAVLFAMHQMSMGLYRFLAAIGRTQVTANMLGTTALIAIYILGGFVISKDALQPWLRWGYWTSPFTYAQNAIALNEFLDSRWATEFHYDNANTVGEAILKIKGQLTEWHWYWICVSILFGYSVVFNVLSIFALEFMNSPHKHQVNIKDAKMNLEYHCHIFGHGDVSSDKAILPFRSLSLVFDHINYFVDIPKEMVKNGVMEKKLQLLQDVSGAFTPGVLTALMGITGAGKKTLLDVLAGRKTGGYIEGTIKIAGYPKKQETFSRISGYCEQSDIHSPNLTVYESLKFSAWLRLPSNVKPHQRDMFIEEIMSLVELTDLRNAMVGIPGATGLSAEQRKRLTIAVELVASPPIIFMDEPTTGLDARAAAIVMRTVRKTVDTGRTVICTIHQPSIEIFESFDELLLMKRGGQLIYSGSLGPLSSNMIKYFEAIPGVPRIKKGQNPAAWMLDISSHTTDYEIGVDYAEVYRNSSLYRDSRLLIDELEKPEPDTEDLHFPHGYWQNFTTQCAACLWKQNCAYWKNSEHNVARFVNTFVVSIMFGTVFWKIGATIPNSDFVCNRKDEQDVFNVLGIAYGSSLFLGFMNCSFLQPVVAMERVVLYREKAAGMYSTMPYAIAQVLIELPYMFVQVLMFSAIVYPMIGFQLTAAKFMSFVLYMVLTFMYNTLLGMMTVALTPNIEIAMGLSFLIFIFWNVFSGFIIAREMIPVLWRWVYWADPAAWTVYGLMFSQLGDRTELIRVPGLGEQTVREFLEGYLGVQDRCFELVTCLRLVVIALFAFLIFIIQEGKEKASIND >Et_1B_013101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5521698:5525022:1 gene:Et_1B_013101 transcript:Et_1B_013101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFPTTTHLSIIPHMIWLKDFQFFGDKSGAINPDTGVSDKLCKMLQHWCRPGQKLAVGKPEHKTIIEACLGIPCLYGESVMEVMWGIKNLMHSFVPEEKIQLAKEDRLQMSLGLKMILNRYGFDVKPEMVSSSLSFPFVLHAMHPTLLLSVVLFRYFVHSALTTLEFSESLRIAGKLLEDVSRINCEDWDLMKLATAVKIFFFGKTRTIPAYINKRKQCRHCASGTTRSFSRLGLSFTLLHYSSFYKDPLTMDFFIDYCSDLSSQNQLVSQSLLVNQEGRVPATKGCPRSSSTVALLRQSFSRHRRTKLPNSGDAATGTSGAPPKHTATMSAAQSGLSPAATSGNLPTWHSKRTTPSAHTSLAKSQPAPAPAAAPNPSGSSLSGLMYAQVPTAARPAGAGDEAAGAEVGELDLAAAADEKVGRLDVAVHDAEAVQVAQAAEHAPRDARDGALREAVAVPPPERVAEGPVGDVLEDEAQERVARGRREDTVEACDVRVVRRRGGHVEVHLGLAERRVAVAAQRLDREHGARAAVPRLVDRAAGAVAEDGHALQLGEAVDGRAGRARRRGRQAAERVLLVQERRRGRWQHGEPELPALSQPRRPQRHCHCCQGDQSLCRNGLEESSC >Et_4A_032827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14391188:14395728:1 gene:Et_4A_032827 transcript:Et_4A_032827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIAARRLLSRAAAARRALPLASVAALAPRRFSAGASVPPQTPTPTLPPPPLEPAPEPLGSEGAGASSSSSTGTGASGAHRSSPGASAGARRQAGAGYQEEQEKVLRASLLHPRMGWSESAMVAGARDVGVSPAIVGAFPRKEAALVEFFMDDCLQQLIDRIDAGEGEQLKTLILSERLSKLVRMRLEMQAPYISKWPQALSIQSQPANVSTSLKQRAVLVDEIWHAAGDAGSDIDWYVKRTVLGGIYSTSEVYMLTDNSPEFRDTWTFVNRRIKDALDLQKTFQEAAYLAEAMGAGVGGTVQGVLNRVFQNRSP >Et_3B_030622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5527453:5529092:-1 gene:Et_3B_030622 transcript:Et_3B_030622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRWIVRQRRRNEEITEQPPPRCRPGGTVLGGVRGTNPVPPLQWQEISRRPLQCRSLTAGLGAPVEHIPFIAFKEPKFSQTKISDLGAKIMIKKDILRLDIEMDYARITAMMQ >Et_2A_017440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34373736:34377207:-1 gene:Et_2A_017440 transcript:Et_2A_017440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGADESAAEASEASSPLLPRASPRPAVGAEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSASSVAASFANVTGFSVLILTALGQNPEISFEAGLYARWLIPGLFAYGLLQCLTRFLQTQNIVHIMVVCSGLTLLLHILLCWFLVHYFGLGNQGAALATSISYWFNVALLAIYVKVSEAGRRWRAWSREALNLQDVGVYLSLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMAYTIPSGLSSAISIRVSNELGARNPQAARLAIYISAIMCLTEGLCVAIITILVRNIWGYLYSNEEEVVKYVSMMMPILATSDFMDGIQCMLSGAARGCGWQKVCSVINLFAYYAVGLPSAVTFAFVLKIGGMGLWLGIICAMGVQILALVVMMLRTSWDEEAEKARARVERSAGSITLA >Et_10B_004293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3017768:3020452:1 gene:Et_10B_004293 transcript:Et_10B_004293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRRTYSLRNIDASCLFRRPPAPEKEATTGGCRPGHHQSMEEMESSPLPDPAMSFCPPRHSLHGGDGTMEFMLLGGKHNKVVATDQTGRAVLYDPDRHAVYTLRGFAKPKTSAVVALTATGAGGDDDLYVLNALPSLDACFECLERGRRDEDWYPRLLPPPPPPFRCCGDDGEEEEADGTWWSTGGPCIISSAVSGDGAHIWVTDQCSGTYAFDTAMERWSKAGDWALPFAGAAAFVPEHGLWFGLRDDDDGRCTLCAVEGLARSSPPAVRHVWEDLAPPDDWPAPPASRLVYLGAAKFCVARFFSDNPSTCRHYPVTRAVFTGVEVERCADADGGGLRMVKHRSKLYTLLHDMSFWKTMLLMQYRKDPSTIGNDLKDGVRSREGPNVSDADLWNHNAVVPSTLNNVVVHQSFLELRSTESCWTDGCAWGISFQI >Et_8A_057795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7317268:7318848:1 gene:Et_8A_057795 transcript:Et_8A_057795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRAVVSLQSAAAFGGHRLAVPMRRATERRRWLGSFVAPLRCSKMYVPGFGEGSPEKKAAINLQHFFNYLAVRILLAQLESYNREAYFELKEFVNRTSLDDAETFCKKLIRESPRHKGLAMRILEVRSAYVKSDFEWDNLKKLSFEMVDEANTKLMRDYVLEVSHIEDGNYKQQ >Et_1B_012530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32849161:32851666:-1 gene:Et_1B_012530 transcript:Et_1B_012530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EESSRKAKHPYGSTRKPLEKHELHARGHARSRRARQNEMPRHHLAVCLLVVAAATSTPAAATEAAGSPNCYLLHDDDAGIVRAAFLRVGNFPLPPPGRRACRPVRRLRFPSRNLTGAVDWAALGNLTSLLTVDLSGNALRGGIDAAFWHAPSLRAVNVSGNRLGGALRFDGQFYPSARLASLDASGNRFTSVEGVAALAGRLGDLDVSRNAITAAPEGLRKLTRLRRLDLSGNSMTGRFPDDLPPLEGLVFLNISNNNFSGVVHSDVVRKFGRSAFLNAGNAASLVIEDTDTSPSPSPAPEPPSRGGKKNHRRAVLVSVIAVGAAVTALALVLLAWCAARGLKRRRKRRAKKRKKKDGNAAVWEDEEVAVGAAKVATATPVVLLERPLTELTLADLAAATSGFGRESQLAGTGGRSGAAYRAVLPGDLHVVVRVVEGAVAGVREGDDDATMAAGLRELARLRHPNILSLIGYCIAGNQKLLLFEYMEKGDLHRWLHELPAGSIDTDDMSIDMLDPTAEDNRKSPGDWPTRYRIILGIARGLAFLHQGWAGSSGRPIVHGHLVPTNILLGDDMEPRISDFIHPGSDDETPASDVYRFGILVFELVTGQAKWDDASTSWARGVVRNRKGLNIVDARLRDEAAATEKEMLECLQVGYLCTASSPEKRPAMQQVVGLLKDIRPAATVSQSLAAMMI >Et_3B_031688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7979756:7984243:-1 gene:Et_3B_031688 transcript:Et_3B_031688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAKNCIASGSIRDLPPSKRFKFVSPDPGSTPCLPLPAKKRVFPPPPEAAVAVPFCLPAKKRAIVAPPSEDAMPVCLPAKKRAYAPPADAVMPACLPAKKRPYAPPPADSVGRPCVPTKKRVNAPPPRDAAAGSVPFPTSSKKRVHALPPREEAAGAVPVPVPSKKLANAAPPREVAAGSVPVPVPSKQRVHAPPPREDAAVLVPAPVPSKKRDHAPSSRGDAAGLVPVSLPTNKRVMPPLPSPSAESDGARHSAVKDVKPQGSNKHGATAINPRLANAAEGGAGGKEFKKSEKPVHPEETKVPVKPSKPRSPIEGKDPEKKACKILDVRHSKAEVEVSKKAVQATNAKQAERKEESRNAADETKELVPMKPSKTRSLIKLKDLANKACKVLDVRHFKAEAELNKKAVEATHPKQLASKEESRNAADEVARDQEQQVAAEDDDGVLCAVCGSTDGDPSDPIVFCDGCDLMVHASCYGNPLAQSIPDGDWFCSLCSAKKGKAAAAARPSCCLCPATGGAMKRTTEGQWAHIACALLVPEVFFRDPDGRDGVDCSRVPAHRFTKECYICESSSGCALECSQPKCSRGFHVSCGLDGGLCIEYREEKGGAIVAGFCREHTELWEKTGYSYGIGINIAL >Et_1B_011798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26059280:26073504:-1 gene:Et_1B_011798 transcript:Et_1B_011798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLSTTVFPSPLLYPPASSVHLRTRRLLRLRLRFRALAAASSSPSARSLSLLEWGKVCDAVASFAGTAHGRDATKKQLWEVEDVSYEQSRRLLQETEAAVWLLHNAGGAMDFSGLDTVAVESAIHRVSGGAVIKGLEAIAVAVLMLFVESLQINIKAAMKQDEDSHNRLMPLTETVLDAVINKSLVKSIRDIVDDDGSSTELRRYRERVQLLESKLYQLMDRLMRNAESETSLSEVCIVNGRCCIRTDGDKSSNFDGLLLSSGSVAGSMVEPIAAVPLNDELQEARALVAKAELDVLSKLTDKILLELESIQSLLQETIKLDKVTARAKYSIAHDGTFPDLYLPNCPRETVNSAIDGSVNTTSSVHRPRKSWKLYMANAYHPLLLQQHQENLRQTKRDVANAKAEIRRRKIYGQGIADEDQLASHLDAMKLRASQLEKARPVPVDFMIAEETTVLVITGPNTGGKTISLKTVGLASLMAKIGNGITMHILYLSVNCVCGVNVTDVLGLYILASEPVKIPWFNAVYADIGDEQSLTQSLSTFSGHLKNIGAIRAQSTSESLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTLKYSNGSFENACVEFDEENLKPTFKILWGIPGRSNAINIAERLGLPLDIIESSRRLLGTAGAEINALIMDMERFKQQYQQHLQEAQHLLIRRCFMITWNWHRRTLQTTLQLRAKERREWFQSGEDGIPEVGDLVYVPKLRNQATVVKIDPSKDELQVQAGMMKLKLKFKDIKIQKKVSR >Et_5A_041513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23890398:23893828:-1 gene:Et_5A_041513 transcript:Et_5A_041513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLRRALACSSSSQTATRRRYLIAALLSRPLTPLLPDSICSAPLTPAPEPPRRAFHGSARPLGFRATPPSWDGPGSEAGAAAAEEGLEIARLGISPLIVERLAARGITKLFPIQRAVLEPAMQGKDMIGRARTGTGKTLAFGIPIMDRIIGYNEKHGRGRNPLAIVLAPTRELARQVEKEFRESAPLDTLCVYGGVPISQQMRVLNYGVDVVVGTPGRVIDLLRRGVLNLLEIQFVVLDESDQMLAVGFDEDVEVIMENLPQNRQSMLFSATMPAWIRKISSKYLKDPVVVDLVGDSHQKLPEGISLYSIASDNYGKPSILGPLIKEHANGGKCIVFTQTKREADRLAYVMGRTYPCQALHGDISQNQRERTLSGFRDGRFNILVATDVAARGLDIPNVDLVVHYEIPNTSELFVHRSGRTARAGKKGSAILIYTYEQTRALRVIEQDIGCRFTELPKVPVADEAADMFNVMRDTRSRSVGSRRMGGSSFSREDIVVDLVVLDDLPMTLAGLVSVVLIDLGALEKVISEGVEMPTIGGLGPLVSATQATLETPDDQSFPADWGVNKQK >Et_2A_016835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28715817:28719354:-1 gene:Et_2A_016835 transcript:Et_2A_016835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAAAAAAALPRDILLRIASPLREAIAAAPYEPPAGSSASVKSLLAALLPSSSQPQSPAGKEAADLLFFCAAVLAASLETPALHWVPAGLSSAAAAATEKMAAAGGWGGVGEMVMAMMPEVVPPLKAVVKETCVDADNDEISAVKPPKEHAVVAAYQFRWLVSQVNYPKLGEFCWLVIPCALTTLDHWSPEVKEQGMVSFMHIARNVKVTELNLYEDAILDACCHNIAADDELWYRVVEVSVLLLTTTQRSNPRSPWYDRMLSEMLGHLERQPLNRERRLAWLTLIGPVFDAMGLFLLAHFRRLFSLFFQWMHVDDDKTVLLVLEQIHAIIKLTWIRKSPYTSRLVDELVLLYKESATRSSREVMRNHILEMLVLLQQCKGEQFEEAWKKHELDPDLTMLLSCFTQLCIKTEETHRSLKIVEEFTHDAVHGLPMLLYPVTSI >Et_7B_055963.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8112879:8113475:1 gene:Et_7B_055963 transcript:Et_7B_055963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDLETGLATCRRARAAADWLKPKISHPCIIAAMAGLIGTVWVLVYRPMDTYLPTFSVELASFDGLDGLKPAPTVNPAFNLTLHGVSRRRRLIGSLGLCQERGTVSVSYAGAVLAWGRVPRFCVPDQEQKQVRIVALGADVGLSDELRDRMASERMSRTAELDVEIVLDHERLLLCRVKLDEPSPTQSPCKVFTGDR >Et_2A_017075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30703756:30705887:-1 gene:Et_2A_017075 transcript:Et_2A_017075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PVVSAVIIVERRRHFHHELIIASVLASIAIVAIILSTFYAWILWRRSRHLHDGKGTRSSGTARGIVLVPILSKFNSLKASRKGLLAMIEYPSLEAATGKFSESNVLGVGGFGRVYKAVFDGGVTAAVKRLEGGGPDCEKEFENELDLLGRIRHPNIVSLLGFCVHEGNHYIVYELMEKGSLETQLHGIVFRSPMIGLVAYGCSSFLRSSYWTLLMVVFRAVTWSSPELAHPDEDSARHGEYLHEHCSPPVIHRDLKSSNVLLDSDFNAKISDFGLAVTSGSLDKGSMELSGTLGYVAPEYLLDGKLTEKSDVYAFGIVLLELLMGRKPVEKLSQSQCQSIVTWAMPQLTDRSKLPNIIDPVIRDTMDPKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPVELGGTLRVAEPPSPNQNHSPR >Et_1B_013684.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:1228386:1228820:1 gene:Et_1B_013684 transcript:Et_1B_013684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSSWLRISIAGSLTLSLSHRIGGCDIVIAMHESGELKDVFKEHNYIPLHGSKGEEPVKPESSAEKAGAVAEQMKLTDAQRARLESLVNSSPVMIFIKGTPEEPKCGFSGKLVHVLKQENVPFSSFDIFSNDEVRPGLKILTL >Et_4A_033444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22957767:22959972:1 gene:Et_4A_033444 transcript:Et_4A_033444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTLAALKVFGSQLAGSSEAPSYEGSSAAQMLFGTRYQRAWIQGVILSAEYKEGGDGVLLLDDGSCVAELFIAPKEAEGGLIRAGMYVMVIGAYIAAQSKDNYPALKVHKIVDLSSQPDREAMWHMEVAEAYNLFYLASLLGSASSP >Et_3A_024889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25021243:25024832:-1 gene:Et_3A_024889 transcript:Et_3A_024889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWLPRCLVICLSLVVLCVGCVSGMGGQIPRPPPDLNFTIGVEGAVWCKGCRYRGYNKTVDASPLPNAAVLLRCRRDEWQLSVWNTTDADGYFLIETEKQVTPYRSKDCKVYVPRSPARGCAVPVKPARKKGSPIKFRRFVPFSDELQARYTAGNFTFAPEDAAKCFLVCISLVVLSVGCLLPGCSAMGRMPRPQPNLNFTVGVEGVVWCKSCRYRGYVRSRDASPLPNAAALLRCRRGKRALSLWNTTDAHGYFLIQTGKQAAPFTSRDCKVYVPRSPARGCHVAAKPASWKTGSRLRFRRIVMLAGGLQGRFSAGTFMFAPRKRSKCNRLFVPPGQS >Et_9B_065013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20464724:20467428:-1 gene:Et_9B_065013 transcript:Et_9B_065013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTAPAPTCKAVAAAAIAATAAAGSQKPWLFVGLGNPGRMYKGTRHNIGFEMIDAIAEAEGISVSSKQFKAVVGKGLIGDVPVMLAKPQTYMNASGESVGQLVSYFKIPLDQLVVIYDDLDLPFAKLRLLPKGGHGGHNGMRSIIDHLKQSRDFPRLRIGIGRPEEIGVISFVLGSFTKQEREEIDVALHRGLQAVRTMVQEGFNKGAILANTPQPSEMLNR >Et_5B_044867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6634637:6638917:-1 gene:Et_5B_044867 transcript:Et_5B_044867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSEERNAHAGGESGGGKLWNLCRMPFRQAGGAPAPQSSSSGIHHSAGRYGHDAPPVAGDGAGGAQGAQAGSISSVAKALLPARRRLRLDPANKLYFPYEPGKQVKSAIRIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGETIIATVFKFVEHPENNENVLQKCKVKFKILSLKVKGPMEYAPELFDEQKDQAVVEKILRVVFLDIENPSPQLEKLNNQLAEAEAALEARKKPPEENGPKIVGEGLVIDEWKERRERYLAQQQVEVLSQFSEHFSSGYAE >Et_5A_041705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26049276:26051128:-1 gene:Et_5A_041705 transcript:Et_5A_041705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQKKPYGLLLPLGKKILTKKKSQRENDRFPDPDDSAAPAGAPPAAQEEAASPAYGSVVLGGTFDRLHDGHRRLLKYAELIEPVEKRIKAVEDYIKVSIKPELIVQVEPIEDPYGPSVTDDKLDAIIVSKETFNGGLAVNKKREEKGLPLLKIEVVDLLSGGVEGEKLSSSALRKLEAEQAQQNKAETTNCNP >Et_5B_044972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7924196:7927802:1 gene:Et_5B_044972 transcript:Et_5B_044972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNSGMALLQSLCCLRLLLATSPPSPVVSAAPPSGIRLELTHVDSKGNFTKSQLLRRAAHRSRLRAAATLSAAASHGATSPTWDARPKMHWSGSGYVVELAIGTPPVPFAGLADTGSDLIWTQSRPCSYQSTPVYDPSASRSFSLEPCSSAIPQQCHYSFGYADGAYSKGGASSTLYYVSLKGISIGNTRLPIPENVFELRSDGTGGVFFDSGTTFTILHERAFRVVRRHVEETLGLPAASNDTSLSAPCYSLEAAGADELPDMPDMVLHFAGGADMRLRRDAVLYRWGESAMCLGIEGSRDKSTVIGNFQQQNLHVLYDVTEGLLSFVPADLLHRRRRMASLAVFLLVAYAGLASGAAGVRVGLTRILSDPHVTASQFVRDALRRDIHRDTARQLLAASDGGAAATTVSARTRKDLPNGGEYLMTLSIGTPPLSYPAIADTGSDLIWTQCAPCGGGAGGGSQCFAQPAPLYNPASSTTFGVLPCNSSLNMCAAALAGAPPPPGCACMYNQTYGTGWTAGVQSTETFTFESSPADQARVPGVAFGCSNASSDDWNGSAGLVGLGRGALSLVSQLGAGRFSYCLTPFQDTNSTSTLLLGPSAALLNGTGAGVRSTPFVASPASAPMSSYYYLNLTGISLGATALSVPANAFSLNADGTGGLIIDSGTTITSLANAAYQQVRAAVKSLVTLPAADGSDATGLDLCFVLPSPTSAPPAMPSMTLHFDGADMVLPAESYMISGSGVWCLAMRNQTDGAMSTLGNYQQQNMHILYDVQKESLSFAPAKCSAL >Et_7A_051270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16294397:16298496:-1 gene:Et_7A_051270 transcript:Et_7A_051270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEADTAEITGPLLAGEGAAAAAAAGPEPVPSWREQVTARGIAVSAVLGVLFCLITHKLNLTVGIIPSLNVAAGLLGYFLVRTWTAALEKFGIVSRPFTKQENTVIQTCVVACYGLAFSGGFGSYMLAMDQRTYELIGTDYPGNRAVDVKNPSLGWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATAMLINSFHTTTGAELAEFYFDFSPTYIGCGLICPHIVNCSTLLGAIISWGFLWPYISTKAGTWYPANLGNNDFKGLYGYKVFISVSVILGDGLYNLIKIIYATIKEIMNARSKQGRLPLVGVQDDNEGSKLSAAEKHLNETFVKDSIPPWLAGSGYVGLAAISTATVPMIFPQLKWYLVLSAYIVAPLLAFCNSYGTGLTDWNLASTYGKIGLFIFASWVGQNGGVIAGLAACGVMMSIVSTAADLMQDFKTGYLTLSSPRSMFVSQLIGTALGCVIAPLTFWLYWTAFDIGNPDGMFKAPYAVIFREMSILGVEGFSALPQHCLAICSGFFVAALVINLLRDVTPKNVSKFIPIPMAMAIPFYIGAYFSIDMFVGTVILFVWERVNRKECDDFVGAVASGLICGDGIWTVPSAILSILRIDPPICMYFKPSS >Et_6A_046516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16567731:16569007:1 gene:Et_6A_046516 transcript:Et_6A_046516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADYMAALDIYWFNMLEFAYYDCKGFKECRYVRPSDSRAGRYEEALAHDNLLSGAIPALLGAINTLKKYVYNFSATAAALLTGLLALEIPTDCNPE >Et_1A_007968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39861476:39865218:1 gene:Et_1A_007968 transcript:Et_1A_007968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRRPRRAEGGAPDNSAAKPSSSSDQQKVPLSQHPEEEDVKAAFQLAAQTAQHPQPAALEFFASSVLPDVERDVVRLLIAGKHGLSSLDIDSLSCVLLPHPLPHELSSSRPPPQPSPKIRQVIADKSRGMTKWYDGLLRLADAALCKFAHQTGVQYELHTIYGESVLEDDDYDQYFHINFMAQPREEPGSSSCVGLGRRLFFFAEAPRPRDLEFHEEDVSLCCLVEPSPQDIDNCIACLTDKVKINHPAVYPPVLCYGGQCYEIDKINHEWDFRPVLGIDYLFFDAVRDNYLVEYLHNQFSRIDAYCSNLTDGPPASGRLLVEPRILPPTSGNVSCPGNAATLPSAATCTGYLIRCPCIGAAASSAAYLVQLSLATRFTVLTHSGDSGRSISGRRATSLPRCSSHSDALYRRTLPSERWCGWYAPTAISVSREPASERWLMLAEPTTT >Et_6B_048561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10874750:10879804:1 gene:Et_6B_048561 transcript:Et_6B_048561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPKQLAKWRDALLVPEIVAWIYPCFHHRQPLEVAHEVTLAPHSPALVRGQTVGANHVLAKLQVAIVREGLPGVAGHELCQERLEAVDPPESHAAVSATRPRHPVQDVEQHAQTVRVRRGVFGRPGPDLAAVRLQDHDEPGGGRARGEPLLVDAGPPGLADGAAHVIRLALELVALKVVGPVQHGLGGRLLVAEADERHSAQPEPLQPKKLAKWRDALLVPEVVGWIHPGFYRRQPLEVAHEVPLAPHPPTLVRRHTVCANYVLAKVQVAVVCERLPGVAGDELREERVEATDPPKRTAAVGAARPRHPVLDVEQHALAVRVRREVVGRPGPDFPPCGSRIMMSPAVVALGASHCW >Et_8B_060341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9871689:9874527:-1 gene:Et_8B_060341 transcript:Et_8B_060341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRHLHPSAFLPLLLGRGSLRSTTASLATLPASLPPLRHFIERLLGGTATLSSVDAPAAPRDGGESLTLHFLRQSCGLAEPQAAAVAARVHLRSTKNAHAVLELLRSFGFKPASIARLVTAVPSVLSSTTIGAKLDFYRREVGLSDAEICRLILSSPQRPLEASLEGRLRPNHRILRDLLGTDKNVLAAVIQSTRLITDNLQHVFLPKLKTLRDHGVTEEVLVKLVTTHPKALTHQSSRFDEGLAAMKDLGVSPSSGIFPYAFGLFARMHQLKWDCRMKNFLSLGWTEEQVRKAFARHPYCMSASEDKVRQLMQFYAEKLGWTPEDVYLNPVLLSFSYEKRVLPRCTVLKLLASMGVINQDIKVSHLTMAEKRFAEKYITKYQEVIPQVLEAYGARTATVVNLAGGFLFPITNKRPAIGLTKL >Et_2A_016937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29766653:29769640:1 gene:Et_2A_016937 transcript:Et_2A_016937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSMSLVAKAFSALPSSGVAQRPVSVTASLEHKTSDARRKFLKLALGNLGVGLPTLLGAKKALADEQGVSSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAISPELGNRVQRVRVQLPGLSQELLQKLREKNIDFAAHNNQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRAQGGLGGPNGPGFPLGFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVSVDVPDVRGRTEILKVHGSNKKFDSDVSLDVIAMRTPGFSGADLANLLNEAAILAGRRGRTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLVPRGQARGLTWFIPMDDPTLISRQQLFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSEIGTWSLMEGGAQSGDVIMRMMARNSMSEKLAEDIDSAVKRLSDEAYDIALSHIRNNREAIDKIVEVLLEKETINGDEFRAILSEFVEIPVENRVPPATPAALPA >Et_9B_064259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13364211:13367679:-1 gene:Et_9B_064259 transcript:Et_9B_064259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKMNRFFESVGNFFTGGDNIPWCDRDIIAGCERELADAATEEQRNDSLMRLSWALVHSRNQDDVNRGISMIEASLDNSSSPLQTREKLYLLAVGKYRSGDYSRSRQLVERCLEVQPDWRQALSLKKAIEDKIAKDGLIGIGIATTAVGLLVGGIAAAVAKKSLYTGEHDSTVSVLFNQTCNLQSTNDHSALKSKDSSVVAHLGPTRYPDVSPVTSSVTVLRYIALYSSFTFFMSTSARETIALVSDSSSVPSPTMELRITWNKNGIRSEAGRFML >Et_4A_035966.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9631562:9632596:1 gene:Et_4A_035966 transcript:Et_4A_035966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQWHSWRRSRQGQGSRRNRSAAGRGRAGSCPPSAAAASGLAVNRGDGGAHAGGPQLSWRKEVEDVFTPLPQLPMEPLGAMQSIAWDPMVQEASFAVKEKEGGTTVCRVGFGPARGHAGPGDVLTARNPSLGPAASETDAAHLLDCTEEDEQAQEEGDQGNQAMGKGTGAQSTREVDIETLQMDIGDKTGPVTGAQQAREEAHTEKNKARETEILSFTKSITRDVPSPVLPTPRLLSKGKQTGRGKSNNQDDTLRRSGRIAKKQKKNMTIEQQATELLMRKCGTLHLQQEANEEEKEKFDEQFISPLPTPTVRSFSNLFGLEENTLGPLLAVAGGEDLEDGA >Et_1B_013613.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:33038:33358:-1 gene:Et_1B_013613 transcript:Et_1B_013613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLACAPSMQATWKAASSARHMAHSDLLPPWTVGSASMAAFFNPLAGGGAAVDDDELTVMELLCRATRARPTTLMRAQRREARITTMSESTVMAASPVAAAPSSP >Et_3A_027193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4532675:4533080:-1 gene:Et_3A_027193 transcript:Et_3A_027193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSSFSSDRGSHFGRVDMLPAFRSIGALSLNDALRFIQMVKEAFKERQPDKYDFFVNMFLDFRNQRKSMDELTSAARELFRDEPALVQAFNIFMPEGYKIQVDGDDDDQ >Et_3B_030137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30844862:30850325:-1 gene:Et_3B_030137 transcript:Et_3B_030137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQGFDINKLEQEVKTRWLSPKEVLQILQNYEWFTISQKPAHKPPSGSWFLYNRRVLRLFRNDGYTWQKKKNGKSTNEAHERLKVDNAEVLSCYYSRGDQNPTFRRRIYWMLDPISLSLRNDPSTSNQNGSAGRTEVHSSPGRTSGLTAPCPNSCSPGSVEEVSSRTMTINNDTSPSDRLQEKAALRKLKMQLSLEDKEDYDVDTKEVLPNNEAILVHGIQIGEPEDCTNLDDIFNVLEFSEDHTKEAGTHPFPSAIDVLKSSDTWLEEDQIEAILHSASMTIYENVTQVIIVGDFLCSTSESCAMMFGDVKVPVETVQQGVVRCHTPCLDHGKVRMYMVDVNGKPCSEAREFEFLERPTKSMIDGNAKPCNEARVESDHKPTRSSDELLLLLNYVQMLFNGHGCEQLPKASLLLPNLDCSFQVNLIKETDEQLDHESTINNVMEVLLTDKFEQWLLSKVEQSRDGDHLLPKQYHCVIHMIAALGYEWALKPLLSSGVPVNYRDSNGWTALHWAARFGREGTVAALLAAGAAAGALSHPTSEDPAAKTPASIASAFGFSGLSAFLSEAQLISHLDSLESKEKGNCNDGASGGGILCSVDRIWDKCTYVHGGTDDQLALKDSLGAIRNAVQAAGRIQAAFRVFSFRKKQEMAHQNRNSCSLSINETVAVSHSIFEKAALSIQKNFRCWKRRNEFVRMRKNVIKIQARVRAHQERKKYRELLRSVGVLEKLMLRWYKKGVGLRGFSSGAMPIDEEVEEDVVKLFRKQRVETAINEAVTRVSSIIDSPAARQQYRRMLEIYQQAKVNVDS >Et_1B_012223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3020767:3021175:1 gene:Et_1B_012223 transcript:Et_1B_012223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPRRALLAAVFLSFLLGAATSIRTTAFSPSQNLVEDKSRLGSRPPSCHNRCSACNPCTPVQVTTVPGASNSAPRITDDTVAGFSRYSNYKPLGWKCRCAGHLYDP >Et_6B_048659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12248871:12257086:-1 gene:Et_6B_048659 transcript:Et_6B_048659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAKIFVFLFLCCLCMGGNASAEQCKVSDLNVTQTAVPAHAVGGYQVYAVAVENRCVCTQTNVKVKCPRFNSSVPIDPEGLLSPDADGELCTLIGGRPLYTGVEHIVTFYYAWSTQFSFEPVCHPRDLMLHALDLCLSFLFNKFLSILVVAGNASDQPCKLSDLEVAQAVMPGPVVGGYREYAVAVTNKCVCSQMNLKLACPGFNPSVRVNPAGVLSMDGDGKLCTLTLNGSAVGIGPETAIMFSYSSRSQISFKPMSSTIACSDAPAPAPESL >Et_3A_025270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28436346:28437484:1 gene:Et_3A_025270 transcript:Et_3A_025270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSVEFCIISARGLGRRSSLLKPQWFSVAWIDPNSKYCTKVDGSGNSDPSWGMKFSVPVDEHDLSSLQRMALTVEVYRREPIFLREHLQGAAVVQMKEYLDRFAKGEEHAGVIDETASFHLRRKKSDKAHGLVDISIRICKEEDTRAQFPGSHEGLKQPNQVGITLAIEDGPVYNYPPLPSSHFRGHSQDDDHYGDTMPTNPITHTDPSPTGRNNNGYEPPVLPHTLPPRTSNPNFFAPSYPARGQVPQSYINLPPRRIAGQSSAPNLRLGLGAGALAAGTMIFGENLLPGPSFGTGLDGSSLTVSSDAPF >Et_4A_033541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24256092:24258171:1 gene:Et_4A_033541 transcript:Et_4A_033541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLLLLPSAPACCSCAGGPAIGPRKLVATPSSPSSAACTLRLRPSGRRWGRAGVARAGGGGRERDGGKSGAAEFFGEDGVVEDMDGYLNYLSLEYDSVWDTKPAWCQPWTILLTGTIAVAVSWVLIQSVVITSGVSFIICAWWYIFLYSYPKAYTEMIAERRRKVASGAEDTYGMEKIQ >Et_5A_040604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10192233:10192588:1 gene:Et_5A_040604 transcript:Et_5A_040604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGCWLADRSGTIRAGPGEARGGLLLQGRDVMCRRHHSGAARGVMWFREAFVKFFLGCFQT >Et_4B_037857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24060288:24079832:-1 gene:Et_4B_037857 transcript:Et_4B_037857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAYAKSVSEVLEAFGVDGTKGLSDSQVEQHARLYGKNGTPFWKLVLKQFDDLLVKILIAAAVISFLLARMNGETGLSAFLEPSVIFMILAANATVGVITETNAEKALEELRAYQADIATVLRNGCFSILPATELVPGDIVEVGVGCKVPADMRMVEMLSHQLRVDQAILTGESCSVAKEIESTSAMNAVYQDKTNILFSEATPLKKKLDEFGTFLAKVIAGICILVWVVNIGHFRDPSHGGFIRGGIHYFKVAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKVCVVRSVHKRPMTDEYSISGTTFAPDGFIYDADGLQLEFPPQSPCLLHLAMCSALCNESTLQYNPDKKCYEKIGESTEVALRVLVEKVGLPGFDSMPSALNLLTKHERASYCNHYWENQFRKISVLEFSRDRKMMSVLCSRKQQEIMFSKGAPESVMARCTHILCNDDGSSVPLTMDIRNELEARFQSFAGKDTLRCLALALKRMPAGQQSICYEDEANLTFIGLVGMLDPPREEVRDAIHSCMSAGIRVIVVTGDNKSTAESLCRQIGAFEHLDNFAGYSYTASEFEGLPPLERTNALQRMVLFSRVEPSHKKMLVEALQTHNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGLPDTLVPVQLLWVNLVTDGLPATAIGFNKPDGNIMAVKPRKVNEAVVSGWLFFRYLVIGAYVGLATIAGFAWWFVYSENGPRLPYSELVNFDSCSTRQTSYPCSIFEDRHPSTVSMTVLVVVEMFNALNNLSENQSLLVIYPWSNLWLVGSIILTMLLHIAVLYIEPLSALFSVSPLSWAEWKIVLYLSFPVEDSLSDYGDVRYFPKHHEIIN >Et_6A_047506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6728142:6730989:1 gene:Et_6A_047506 transcript:Et_6A_047506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRKKSKKPPATSSGIDNQPDAVLEHILGLLPVHEAVRTCVLARRWRHLWKYNTRLHITRSHPCDIEQTAVKNIREFVDHVLLIRGSSPVDVCEIDLLDFDDEDMPSMNQWIRHVITCKVRDLSVRFFREHDEPWLKLDEQPLISKHLTKLKLFDLWFDDNFVDLSRCPALEELEINGCYVASVDGISSVSLKHLSIGCSCILGQSFCNRINVPNLISLQLHLNFDRAPVLEKMPSLVDATVNISWSEIDSCYKSNSGNCDNEHCESCYEIEGYNDSCFIFRRDMKKCPVISNLKILLLNEYWCVPTDLLTRILEHSPVLEKLTLELFCKGTKSKIEMKGRPDPKKQSSTIFERLKLVEVKCDLVDEKVMNVLQFMMKLNIGKPRHQLCFLYFRDQVSDTKHKAANKEISSPLIMTQAIVDAGPLHFSVPVSWARQ >Et_6B_048812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1454765:1455139:1 gene:Et_6B_048812 transcript:Et_6B_048812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFSPGPQRLHNRQSKSPPVVINVRADKEELPFVTNKTAAECEAACWGAAFNGASGYCYLLDDSKVGYFKAWKRPEPDTAGHVTVHGRFNKSLKALSKNKIKKQIAQGMNRWDRRCA >Et_1A_004676.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30145175:30145450:1 gene:Et_1A_004676 transcript:Et_1A_004676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQKTRLIFLCIGLLVLADMASFSFGRRVAKRDHVALNGGSGSPPMRGYYFSEKASSSTSGLLNDGYNKHMHADVVSKRLVPQRPNPLHN >Et_5A_042692.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:2667861:2668295:1 gene:Et_5A_042692 transcript:Et_5A_042692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAIETKRAGANVVRGDAAACTKAAVELLGEIGLPKGMFPLDDMKEFGYNRATGFMWLVRGAKKKEHTFKKIKQTVSYAGEVTAFVEKGKLKKIAGVKTKELMLWLSVVEVYVDESAPGKVTFKTGTGLSDSFDATAFELGM >Et_1A_008359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6938361:6942258:-1 gene:Et_1A_008359 transcript:Et_1A_008359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQTDAAPGGGDDLATMREQCRTLEEAIKARREAQLDLIASLQHLVPDLIPSLDNSLRLVAAFNGRPFVPTPNPNAADHAHHKPHHRRGVLHDTARSTRRKTSPGSSPTSAAAGGAGAGGGIDAVRTMVAVCLLELVPFAEIDAAALARRLQAETSSASEAERAALADLATELGGSVHAAVALALRRIADDGGGVQIEEAFIGGKQMTMVWAIDRSKLLKELPESASVPQIQPPPTPQAAPSETETNSAMTPRPPPPPPQQPDMWGHPMPPMFPRPRGMAMPRIPPGLMSLQRPFMTPGAVIPMGGVPGHSPTQLKHRSEEEELKDLELLLNKKTYREKQNTKTGEELLDLIHRPTAKETAVAAKFKTKGGSQLKEYCTNLTKEDCRRQTGSFVACDKVHFRRIIAPHTDTNLGDCSFLDTCRHTKLGESQWINCDIRSFRMDILGQFGVIMADPPWDIHMELPYGTMGDEEMRTLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPLVNRNIDTDVIVAEVRETSRKPDEMYAMLERISPRTRKLELFARMHNTQAGWLSLGNQLNGVRLVDEGLRARYKAAYPDIEVQPPSPPRTSAPMDVDQSSSQKPAAPDAGERPS >Et_2A_015418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12329354:12335896:1 gene:Et_2A_015418 transcript:Et_2A_015418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFTEGRKPRSLAARKSALGIGAEDEVGDCRPRLAPLGKRLLSRLRTELGHLLHQDVVAHVQRRRGVRGHVGVLLQQLLRHVHPRELVLEVGEVLALGNPEVVVRSAWYTQFGGVAVPMASTVATPSARCTFLISSVVILGAISQRNRRSYLQELKKQQQPSWWLQQAQKEKAIPVHLSSSVVVAVLAKHIEEGVARLRVVAWQLGRLQVGRSSSPGVGCRFLVGQQQIQGGHHPSRPGPRPLAALPEEARQPNPRRRRASMTHGDARSTFMRCRGREDGGDLGVRKKRIQLTIIG >Et_2A_018718.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5855345:5855752:-1 gene:Et_2A_018718 transcript:Et_2A_018718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHRFRVRTLSLILRSQPSSSASHDPPVVSLNRLLCSAATTASSASSRRSFAVDHYLVSRCGLTPAQANKAAKRISHLRSRSNPDAVLAFLGGTLGVPAPDIAAGIIMNPAILCANVERTLAPCIPDLSGLGLP >Et_4A_035570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29668386:29672558:1 gene:Et_4A_035570 transcript:Et_4A_035570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLHPRFPTHPHCAATHNYDATSSGAHLLTQPGSTWKRSSFAFVCRAAKVKEAGVSAGAPLPPPQSLAKEAHKYFDHAIVTVRAGDGGHGAVLAMPPAPSADAAKRRGRFDRDKRKSKKPVSLKRNYDGSVALPTGGHGGDVVVYADEAEETLLRFHEKARYCAKRGGNVGAGGGTLSSRMHDGFAGETVRIPVPVGTVVKRKKGAVLADLAHPGDEVLVARGGQGGKDENCKGMISLIDVPEHSRRKAMALSPNIMRDVDDKVLTHGQPGEEVSLELILRVVADVGLVGLPNAGKSTLLSAITLARPDIADYPFTTLMPNLGRLGGDPALGALQFSSEATLADLPGYNPQYLERPYVVVLNKIDLPKAHDRLASLAFEISSIGCEQGHDRNASKENLNGNISEHQVLSETTSEGRKGTWGLSETSSCCCGKRIKAYWDRRDVEGDRAALRKCFDHKLPEP >Et_7A_052380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7431904:7434168:1 gene:Et_7A_052380 transcript:Et_7A_052380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHVIYTRREQGGRGKSLVRLAGGAATVAATAASGGRSLSRTGGRALRATSPPPHASIASPAGWQSRSLRRDGDEDWEEVVAAGPGGAAPDSREEVTDEYKVVFGAPPTEDEVRAAVASIKQVFEKPSAVDSDVSELQTLALPIAGHPSSGIFVNHFSLDADASDIGLDEWIEPAMLVLNSTALLTREHRNVLEAFHLLQEDSSVQKMVMALSTDKTVWDAVMKNEVVQEFTRSFQDAKESDLKGSSPAPGVMKWVLENTQAKIKEFLEQILQVVNMLFQAEDKNYDLYDDAVRMSFMLSVFVFIVTRTLHFRQGLATATGLPQ >Et_4B_037004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13430368:13431962:-1 gene:Et_4B_037004 transcript:Et_4B_037004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRALAVLWVAAAYPVVFRARPVDGLAMNWGTRAHHLLPGDITVRLLRDNGFDKVKLFEADPLALRALGHSGIQVMVGLPNELLANVAGSVNAAEQWVLQNVSTYVSKYGVDITAVAVGNEPFLKSYKGQFEAATLPAVQNIQAALVKAGLGRQVRVTVPLNADVYESGDGKPSSGDFRPDIQGLMVNLVRFLLNNNGILSINIYPFLSMDADPNFPKDYAFFPSPGAPPSPASVQDGNVLYTNVFDANYDTLIAALEKHGLGAIPVVVGEIGWPTDGDQNANVASAQKFNQGLFDRIVAGKGTPRRPRMPDVYVFALLDEDAKSVDPGNFERHWGVFNYDGSPKYKLRLVGGRPIVPAKGVRYLSKQWCVLRPDANPTDPSVGGAVEYACQYADCTSLSPGSSCSSLDVRGNISYAFNQYFQAANQMKSACTFNNLSVITTTDPSQGTCRFPIQIDTGKHELTGKSAAGRATAAWTAVMAMGFLALVMAA >Et_4A_031865.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24830413:24831392:-1 gene:Et_4A_031865 transcript:Et_4A_031865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELISTGMDPLSRGLNDTLNCCSSGSVISGISPSKRLFSRSRVLRRVRLPSAAGMWPDRRFPPSARRARPRSASTARGNSPDSRFPSRKTFRSAAEARSEGSPPESALRRRLSVRSAVRLPSVPAGTCPESRAPGRRSAVTRPLSQETPTQEHGPGPVWTEALHGRWRPTASRNASSARASSARWSAAPVSAARERRSRASARRRQRPSGCDRIVVAGGSSLADRVAGARRARARGVAAYTAE >Et_1B_012850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35554604:35555957:-1 gene:Et_1B_012850 transcript:Et_1B_012850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGGNKQERPSSQFLSSLMAPPHDHERRCDYHQTSCWIHASLPCAQQCACSSEPPAVAVVTVDVAAAMDLMASAGHRYLDVRTEEELSKGHLANSLNVPYMEKNPLFVEQVASLFSKEEHVVVGCQSGKRSELACVDLLSAGFKNVKNMGGGYVAWLQNGFSVHNKPDERNQSQLS >Et_3A_026077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4045275:4049712:1 gene:Et_3A_026077 transcript:Et_3A_026077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDDDQRLLHSLGVTSANIEDIEKKILSQASHCLCPSAHQQIWINSKHSMISDGAQTDLKRDDEPETSADGNERSNVTPEADAQAKLHQKLCAVQLEIDAVASTIKGAKRATGKQIDSSDSGDAKDNKKKRPEHTTQDDPQGGALQQALASERLKSLKKAKVQIQKEILQSDPSASVSDKQKDKMLAMLVEEEPRRNKKPLKPPVGHKKMSTPRLKTKTYNDDDDFDAVLDGASAGFMETEREELIRKGLLTPFHKLKGFEKRVELPGPSHTQNDPAEQAEEAMEASRIARVAQSMQQIAQSRPTTKLLDAESLPRLDAPTAPFQRLGRPLKRPLSPSSEDHERKRRRNKTKRPLPGKKWTKANSVKESLLDDEDVGDTGTDVGDTGTDVSEDEDQEAEDSDGSGPVILEGGLKIPGLLYEQLFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTVQVLSFLGSLHNSGMYKPSIVICPVTLLQQWRREAKRWYPKFKVEILHDSANGSSKKSKAYIDSDSEASWDSDQEQVTCAKPAKKWDDLISRVLNSGSGLLLTTYEQLRILGEKLLDIEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFETEFSVPITVGGYANATPLQVSTAYRCAVVLRDLIMPYPRRMKADVNAQLPKKTEHVLFCSLTQEQRATYRAFLASSEVEQIFDGNRNSLYGIDVLRKICNHPDLLEREHAAQNPDYGNPERSGKMKVVKQVLRVWKDQGHRVLIFAQTQQMLDILENFLSICDYQYRRMDGLTPPKQRMALIDEFNNTDEIFVFILTTKVGGLGTNLTGANRLIIYDPDWNPSTDMQARERAWRIGQTKDVTVYRLITRGTIEEKVYHRQIYKHFLTNKVLKNPQQRRFFKARDMKDLFTLQDDDVSGSTETSNIFSQLSEDMNIGVPNEGQQDHVSVASALPATSEAEPSGGNGSVVQNSDQADEESNMLKSLFDAQGIHSAINHDAIMNANDDQKLRLEAEASQVAQRAAEALRQSRMLRSRDSFSVPTWTGRSGAAGGPSSVRRKFGSTINSQLISSSQSSETSSSRGQSLQVGAQNGKALSSAELLARIRGTREGAASDALEHQLNLGATSNQITSPSGNGRTSNSSNRSMIVQPEVLIRQLCTFIQQNGGSASSASITEHFKSRIQSKDMLLFKNLLKEIATLQRGANGAMWVLKPDYE >Et_5A_041676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25792261:25801376:1 gene:Et_5A_041676 transcript:Et_5A_041676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVPPSEWVPHVEAYVDVSQPAAQHSASVDALAALVNKDKLTPFDLVSKMEMYLTTTDHIVRSRGILLLGEVLCQMSCKWLDVNTIATLSDFFISRLADWHAIRGGLVGCLALLQRKQSVGRTVIADVKRLVESFLENVQVQSLAAADRKLCFEILSCILDQYPEAVKTMDGDVLLYGICEAVDEEKDPECLKLSFHVVDVVMKLFPDPSGLAEKSASDVFEILSKYFPVYFTHGEGDELDATRDELSRTLMHAFCSTPYFEPFVTPLLLDKLSSSLPLAKLESLKYLDNCIRCYGVDRMVRHASAIWFKLKEVIFSLSPEQLLLTSGSPKDAEKNKNQLVSEALNCLKTAVVYISSSDKDLFINLILLDKDIVNNIPSMSSAEKSILTSSEDLIHLHALGSVISILAESSTYFCTRVLQEHFTHLVDILGASAGCESQQLNTCSGSSFTSINFGALYLSVQMLSSCREVALASNEDYSSVKSEKESWWLILEKKMVQLIHLLGSFVTIASQSAESILRQDYVSCAVKGLLILATFPEHCSPLPENAYEDVLSMLLSVITHKYENANLWRLSLETLTSIGSSIIELHASQKELIYNRTVVDKIVSLVESCDTSMPLNLRLEASYEVGTAGLKYMIRVARSLEANERTDCAEHVAHLLECYSNRVLPWIFTSGGANELPLSFAMGLWDEIKDFATLDRISSQGLLDSLMTGMKLLVGVCTGEQQLLILQKAYGIVSSMLSLPLKATTHRNLDVDELVPSHSVHGTALVGMLSSVIIGLRPQTHTPDMMVMIDIFTAFLLKGQMPAAYALASIFNKYLHNPEFSHVKQLDKILEDILERGDEKVKDVSMFLLKCLCSDETSASVLSHQGESYGNDSSYATLAASAADAFHVMMSDSEVCLNKKFHARIKPLYKQRFFSILMPILLSKIKESTGMKTKLALYRAFGHIISNAPVQAAISEAHQILLVMVDSLAKLSLDIHDKDLVYSLLHVLSGMLMDEKGKEHILDNIHITISVLTQLISYPHMMVVRETALQCLVAISTFPHSRIYPMRLQVLQTAVKALDDSKRAVRHEAVRCRQAWCVNFAGHKTSS >Et_9A_062096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19744230:19748044:1 gene:Et_9A_062096 transcript:Et_9A_062096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPWSGARLTARVWLLLLFVVVLLIGRSSADGGGGRGSSVYPAAIVYPHHSRQISWKPRVFLYQHFLTDDEANHLISLARAELKRSAVADNMSGKSTLSEVRTSSGTFLRKAQDPIVAGIEDKIAAWTFLPKENGENIQVLRYQPGEKYEPHYDYFTDKVNTIHGGHRAATVLMYLTDVAEGGETVFPQAEEFDDPKDATLSECAQKGVAVKPRKGDALLFFNLSPDGATDSLSLHAGCPVIKGEKWSATKWIRVASFDKVYHSGGNCTDENESCPKWAALGECKKNPDYMVGTAALPGYCRRSCNDSRKPEVSAFLMVFQLVG >Et_3B_029995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29773480:29778114:1 gene:Et_3B_029995 transcript:Et_3B_029995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTMILGVAQPEEAPSREARDDSGPSQMQVDGPVVLNQSAEIESDDLMSVDDSPSQQPASQPVEATQQSPATLTDTVVEVQKQLKRKRASSGPAIATADKDALLAGCRQELEGLLQYYKEVSGRKIQFEGGNLSGNALVGFLLEESSLGLTKLVEEIYEKLKGTEGVSEASVRSSVLLIGQRLMYGKSSPDADVLEDESDLALWCWEIRDLRLLPVKIRAVLNTRRSARKKIRERITAIYSTLSVLENPGVEAQVNDLRKASLKLNKSLNLEGIRSTVERVTQKKNTERGVKDARSTAMESMQETGESDQNVSRPEDTSVSQLQMGNLPANEKEIRKAQKQIEKEMKRQEKEEAQMRKLQKKQQEEALREQKRRDKEEAEAKKQQKKQEEEAQKEQKRREKEEAELKKQQKKQQEEAEKEQKRREKEAAQLKKQLAIQKQASLMERFFKSKDSAKLKKSGEKESVDDPCTDNKEAIPATTSQIDSFLSQQESWVVEDLWRLHVSCWKKLSSYNRSSRWGIRHKPKMEAFKELKLQKSSDDMVDEILSTRNEDNCQNSSQENEHDKLESDIVMLPVSEIQCHATSNAKPVQTRLIRRKLLQFDKSNRPAYYGTWRKKSAVVNPRCPLKMDPDLDYEVDSDDEWEEEEPGESLSDCEKDSDEIMEEDSKVTDEEDEDSFVVPDGYLSDNEGIQIESLLDDKDEEASCSPTGHCTETEEFRSLLRQQKVLNTLTEQALRKSQPLVISNLNNEKAELMTAEDLKGLAKIEQLCLQVLSMRICPGGAVIDVPCIDSSPPRTEGINQSNVKNGSPAAASAIPETDLPEIVQVIRSCRDGINKVVELLQQKFPNVSKSQLKSKVREIADFIDNHWKVKKEVLDKLGLDSSPVKSKKTKGIATYFSKRCLPPEEAVNALASSPELRLKSKTIQNGNTGNEAPLINLFPSPLTR >Et_10A_001177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21934898:21944654:1 gene:Et_10A_001177 transcript:Et_10A_001177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGATRFLFVLLVAVAASSLLPLTTTASATPPGASDDGGVKLRVDRRQVLVDNGVVQVTMSRPQGHITGVRYNGERNLLHYTGGSNSGGYWDVVWNYPGSGQPRGMINSLDGTEFSVVSSSDEQVELSFKSTYNPSRANSVRLNIDKRLVMLKGGSGFYCYSIYEHASNWPALNISETRIAFKLNTGRFNYMAVSDDIQRYMPSDADRNPPRAQPLAYKEAVLLVDPKEAQFKGQVDDKYAYTLDNKDNIVHGWISSNHPNPMGFWIITPSNEFKSGGPTKRELTSHCGPTSLAVFFGTHYMGKDMVLNIKDGEYWKKVMGPVFIYLNKGPNKGDVRALWDDAKAQAHAEVSKWPYSFPMSKDFAKATERGSVTGRLMVRDRYMANYDMPAVNAYVGLAAPGEPGSWATDSKGYQFWTRATSSGSFTIGNVRPGVYNLYAWVPGFLGDYMHTSVTVTPGCAINFGDLVFQPPRSGLTLWDIGVPDRSAAEFFVPDADPKYASKLFLNKDKYRQYGLWERYPQNDIVFTVGESNPSKDWFFAHVTRKVGNNLAPTTRHIRFNLDHVVPDGVYTLRIALAAAHMSRLQVRVNGGARRGGVFTSPEFGDGNAIARHGIHGLQWDLEFPIRGYMLNQGENSISITQTRAFSIFFGVLVDNGVVQVTMSRPQGHITGVRYNGERNLLQYTGDENTGGYWDVVWNYPGSGHPAGMIDMLDSTEFKVVSSSEEQVELSFRSTYNPSRPNSVRLNIDKRLVMLKGSSGFYCYAIFEHASNWPALNITEARIAFKLNTGKFNYMAVSDDIQRYMPSAADRDAPHGLPLAYKEAVLLVNPMEPQFKGEVDDKYEYSLDNKDNVVHGWISSTHSNPMGFWIITPSNEFKNGGPLKRELTSHVGPTSLVMFLGTHYIGNEIVLNLGDSEYWKKVLGPVFIYLNKSPNRGDLRCLWDDAKVQAQVEISKWPYSFPKSQDFAKAGERGSVSGRLMVTYRFMNNSDMTAGNAYIGLAEPGQPGSWAIESKPPRLGPTLWEIGVADRSAAEFFIPDADPKYTNRLFLNKDKYRQYGLWERYADLYPEDDLVFTVGESDPSKDWFFAHVTRKVGNNTMPTKRQIRFNLDHVVPDGTYMLRISLAAAHMSILKHLRRGHVRLHPVRRARRIGARPDAAHILLEQSAVVVISKPKMNASSSFCLQEGTYTLRIALAAAHMSRCERCRVERRRVHLAGVRRRQRDRAARRVHNAIVQWDLEFPIRGRYLLTQGANSISITQSRAFSIFFGVMYDYIRLEGQDAYVRTA >Et_7A_052920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25880952:25882717:1 gene:Et_7A_052920 transcript:Et_7A_052920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLKKFFPEVLRGVRSAKRDAYCKYDNQHGVVADGKLAIMLIGGVLFLVGSVINGAAVHVSMLIIGRILLGFGVGFTTQSLSLGRLRMNLISLPATQAAQQRVPPLHRDHGGPYRPSSTSRASSSSSSSWAPSSSTSWARSPSSSTATAVLALLCVYTFSLGMSWGPLKWVVWGEVNPLDVISSVSVTYVLSFAQSQVFISLLCLCHLKYGIFLFYAAWLVAMTVFVAGFLPETKGVPLEVLEEVRTMHLSLSSRRRLIRRSTNPGVFIHASGVVPERTEEGVRLMVNSRRGRVGDGVIDGPSTGRSA >Et_3B_028162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11127324:11133708:1 gene:Et_3B_028162 transcript:Et_3B_028162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRPSLPNPNQTTKQRRAPQRREIQIHPPASSSPPPRFPLPPPTLQIPSISAAVPGGGPKEGRAVAAAGARARARQEEGDEMFLWDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDDSLANVPFLILGNKIDIPYAASEEELRYHLGLSNFTTGKGKVNLGDSNVRPLEVFMCSVVRKMGYGDGFKWVSQYIKEKSGILLVRVSKIEEYSAAQHSNG >Et_5A_042929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7037289:7044663:-1 gene:Et_5A_042929 transcript:Et_5A_042929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRALDPLKGTGCRGRMRNERCIPGIATMYQLYWDFVKDWGLLQFNSKNTWLSIDLILKKKYIYFLSMLLKWYHEVTGASTGLPNQLWLRLQGETVPNSPNQKCFGPKNQGSCFAK >Et_1B_012015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28010455:28015954:1 gene:Et_1B_012015 transcript:Et_1B_012015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRKRTDPASPSPPPASAMASVFGSDDLLHLILLRLNSPTCLVRAAAVSKRWLRQASDRALLRCFGARHPPRPLGFYLRTSSGPGMQFVPMPRLPEDLAASVRLASLILGNRVVASVQDCRNGRLLFRVPSPYPCNVYRPLHPARGIVAFPNPPSTADRPDNASFFHSRHFLPHDDSGDGMSCIAVTLMHDHLRAWVYLQTTADGETWGETITSETIELPQRLLANIMFLGRKFNLLINGKIYMRAMSSYILGLDLTSTSLFYIKLPEGVKFCYDGDLALSRAEDSRFYLVHVSKARVYVWHHNTDTSNWKIIDTICMRQAFSPRHLENDPWRTLDNVIYVAALGDNAQFLFLLIDREIFYMHISSRTLKKVCDIPMPHGILLALYPLMTAWPPTFPRKQPATAAPAAAVASVFGNADLFHEIVLRLGAPSDLVRAALVSKRWLRFVSDAVFLRRYRALRPPRLLGFFALPSARQSLRFVPMLMQQQHPVPPHLLDDFKLGGDDDAAAALSVSDCRNGRHGAPRRAQRHHPAPGGGASTTTTPAPLQHLQAAPSDAAYGRRGRCFRHVLLPEHSGSDRASCTAVTLMLDHLDYRRARVEVSELRAGAWGAERASNTVELPQKCARRRQQLLLAAGEVYILCLSKHILCLSLRSMDLSCVELPDGVCYWYDTNVMMSRLDNGSGGFYLFHVKEFELHVWKYCSTGTPGGWKLADTICLRQALGHLLADSSWKSGPHCFFLSAVGDNAEFVFLRIRREIFFIHIASRAVEKVYDQGQPQLKIHPLMTVWPVFPSREDGHYQDD >Et_7A_051020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13766037:13766573:1 gene:Et_7A_051020 transcript:Et_7A_051020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILMPVFHHEHYSLYAVNYEQHRIDVMDSIKYEDRGSTYEQHHDPIGHKLMLRMQEALDEVSNGACKTFVNLRRVRFPCPTMVKPNDCIFLTMRFIEYYTADDGRLENVVNLITFAYIEDHCPYALTHLVFLLSQFKSLELRADYLHYLLFHEENGVVLPDEFKEYIVPGVPY >Et_1A_008310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6238510:6239036:1 gene:Et_1A_008310 transcript:Et_1A_008310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSLEAMRRRIPCLTVIQGIFNLKLIWGIIGLLYLASKASFGDQQKQEQENVHYFFYEKEAGALPMHPEGENKT >Et_9A_063129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11131835:11141157:1 gene:Et_9A_063129 transcript:Et_9A_063129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVPSPRAPPFKDAMVTPAAAAAAKDPTRPRPPPASKDAMGMPPAPRTAKDPMGTPPSPAATGPVRRRPPAPPPPPAPAPLDLWRPLQWPCAQGDIGASGSGGHGAHGMPASRARSQAATATDPKAPVAMSTMSSSRSSSESDGDDASHACRGCAHPRCDRGSHAPFARAEMKWWLLDSNRICRLLRGLSLTMQARPQLKRR >Et_9A_063420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23807798:23810619:1 gene:Et_9A_063420 transcript:Et_9A_063420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKTFVLYPSLGVGHLIPMVELAKRLLRHGLGVVIAVVDPPDADAVSAAAVARLAADNPAVAFRLLPAPASPDAAAHPVKRSLDTLRLANPALRDFLVALPAVDALLLDMFCVDALDVAAELSVPAYFFFASAAGDLAVFFNLPYLYPSLPASFREMGNALVRCPGMPPIRALDMPLTMQDRDSDPTKVRLHQFRRIPEGAGVLVNSFDWLEPRALRALADGVCVPGRPTPPVYCIGPMVDDGKKKKKKKQSGERHESLVWLDAQPKQSVVFLCFGSKGAFSAAQLQEIARGLESSGHRFLWAVRSPPEEQEKFPEPDLERLLPAGFLERTKDRGMVVKDWVPQAEVVQHEAVGAFVTHCGWNSALEAIMAGLPMICWPLYAEQGLNKVFMVEEMKIGVEMAGYEEFVKAEEVGKKVRLVMETEEGKMLRERLAVARDKALEAVREGGSSEVAFADFFISLRNSSNAIWDGPPSASASDAFSFANAILSLSFSPSPDSITILTFFSTSSAFTSSSSYLSITTPASIPSTTNTLFSRCSAYSGQHSMGTPAEAASSTEFQPQCVTNAPVARNPSGSSASRSGSGRATKNLAASSGGLRTTHRKRCPELSSPRAISVSCAAETAPRLPKQRNTTLRSGCASSHDRHG >Et_4B_037382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19182550:19183431:-1 gene:Et_4B_037382 transcript:Et_4B_037382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGTSQAIPLNCSNACSKTISVSHRRICLNLSVFAPFFDEGGAEDDDDEAELVAMLLPEPDDHAANEINNHNQSTFKAKIGCKINSHQAKTNENNKTHLGNPYSIRIAVTSHLRTSLYGPTGIDPWFQEGGVPNQQ >Et_5B_044157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20924727:20927331:1 gene:Et_5B_044157 transcript:Et_5B_044157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTNRNRPQQRPARSWSFSEIMDFSDPKRKPRYLSKIIMAALLTAMCVVMLTQPPCHRRPPSVFSIHEPGVTHVLVTGGAGYIGSHAALRLLKDSFRVTIVDNLSRGNIGAIKVLQNLFPEPGRLQFIQADLGDPKAVNRIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITANTLVVLEAMSTHNVKTLIYSSTCATYGEPEKMPITEETPQLPINPYGKAKKMAEDIILDFSKSKKSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGVIPGLKVKGTDYETPDGTCVRDYIDVTDLVDAHVKALNKAEKGRVGIYNVGTGKGRSVKEFVEACKKATGVDIKVDYFPRRPGDYAEVYSDPARINRELNWTAQHTDLHESLRVAWTWQKAHRSGYEPPAAMIM >Et_7B_055714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2529325:2534844:-1 gene:Et_7B_055714 transcript:Et_7B_055714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSAEARRDMVFVGAGPRAKRSFSMPYVDRQRLRSRAVSMLGTLGLANGNARHPHYGSYKYTSLSLEEMMKSDHDVHAAVAEAAAVPGEEDDAAAAKRRAKPPRTPTLTPPNEPEVINAWELMAGLEDEAAGPTPWHAVPPRRQSLSLDASPHRPPWMQADMDVPVVALDFDPEILSGFREALQEDASPSPQPATAVVVSSAEEKPVQHRERSKQDAATPTSTRDNMPELSGIVRARINAFQEKIERRRSGKGGPKAQLLRPPPGGERRAVVYFTSLRGVRKTFVDCCAVRSILRGYGVRLDERDVSMHAVFRAELAALLGPGIISLPRVFVDGRYLGGAEDVQGLHEAGELARALEGCDAAPVRKLGFMEACAACGDVRFVPCETCYGSCKVFVEEEDDVVGEFRRCPDCNENGLVRCPLINTQTPWMFYVHLTLSTMSSQISCGLGSPSKSVGHQHSSGREIAAGDDAVHDLNCCSACGRCNCLGGLGYMNERYPVFDVLLNMPSWPVPEIKRLLRLSPMSYANWLINNGSSLQDVSFVKETTQKKKKEKAIPIFHRQEHGKDTET >Et_8A_058205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2449282:2451481:-1 gene:Et_8A_058205 transcript:Et_8A_058205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDAGARARAPKRRKSSAAASKEALVDESAEVDYADGLDDDDADKEPDHASRPLWACPNGRIFLETFSPLYKQACDFLIAIAEPACRPESLHEYNLTPHSLYAAVSVGLETSTIIGVLSKLSKTKLPSEITDFIRASTANYGKTLLEDEVISKARMSPEGSLGEASFSVSKTAGEIVRGHEGLLDGMELGDATEDKETHSFEIDPRQVENVKQRCLPNALNFPMLEEYDFRNDTVNPDLDMELKPEARTRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWASQFKLWSTIKDDHISRFTSDNKEEFKGMSSVVVTTYNMVAFGGKRSEGSERIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKSGFIANVQCAEVWCPMTQEFFAEYLKKENSKKKQVLYAMNPNKFRACEFLIKFHEEQRGDKIIVFADNLFALTEYATKLHKPVIYGATSHAERTRILDQFKNSSKVNTIVLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLVDQGYSFKVITSLPPPHVETKLSFDTLDEQLELLRKALNAGNDMIGVEHLEMDADGKALLKPRRSAGSKRHHHHLFKNRYV >Et_4B_039655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25499585:25501987:-1 gene:Et_4B_039655 transcript:Et_4B_039655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSSTRQRRRSRRLSAAARKLRRKVSAAIADAPIIRGAGDAANCFARHEVVHVEAPVSNVTLHLTQLQWQHSQMDAGNVICEEAWYDSLSILETDSDDDLDNDFASVSGDPLPDVTGSTNAPQASPCKDAACLLDTVHRLRSIANAEACEGDPPEKSGDSNSEECCNSSLKEMQNTTSCSPRLFPPSIPSNKVQPMPIAGVSTHHQKKKSAVVKLSFRRRSYEGDEMTEIIIDKSLGFSVLTKLAIPGGCANYLYRPRAGFTVPCSTGEKLSEGCWSVLEPSMFRVRGEGFFKDKRKSPAPDCSPYVPLGADMFACTRKIHHIAQHLALPSLKTHETFPSLLIVNIQLPTYPTTMFGENDGEGISLVLYFKLSDSFDKEISPQLQDSIKRLMSEELEKVKGFPVDSTVSYTERLKILAGLANPDDLQLSTAERKLVQTYNQKPVLSRPQHKFYKGPNYFEIDIDVHRFSFISRKGLETFRERLKHGVLDLGLTIQAQKVEELPEHVLCCMRLNKLDFADNGQIPTLITSADE >Et_3A_024512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21581827:21583164:1 gene:Et_3A_024512 transcript:Et_3A_024512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NSDQLNNSSATVRLTLLHREHPCSPTSSIPRDHSSPSDLSKYHTHARRLTGHLSSCPADEVNISGPIIANGVPWDYFSYITRIQLGTPARTHSVLVDTGSSLPWVTCKPCSSRRRRLLCCHAVLTYATATHT >Et_4B_036714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10961408:10965545:1 gene:Et_4B_036714 transcript:Et_4B_036714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLALLLLAHLAILAAAAVEAKGGGAGLDDDVLGLIVFKADVVDPDVRLSTWTEDDARACAWAGVTCDPRTGRVSGLSLAGFGLSGKLGRGLLRLEALQSLDLARNNLSGDVPAELARLPALQTLDLSANAFAGAVPGALFARCRSLRDVSLAGNAFTGDVPRGVGACATLASLNLSSNRLAGALPSDIWSLNALRTLDLSGNAVTGDLPVGISRMFNLRELNLRGNRLTGSLPDDIGDCPLLRSVDLGANSLSGNLPESLRRLSTCTYLDLSSNEFTGSVPTWVGEMAGLEVLDLSGNKFSGEIPGSIGGLMSLRELRLSGNGFTGVLPESIGGCKSLMHVDVSWNSLTGGLPGWVFASGVQWVSVSENTLSGEVSVPVNASSELRGVDLSSNAFSGGIPSEISKLQNLQLLNMSWNSISGSIPPSILEMKSLEALDLTGNHLNGSIPAAIGGESLKELRLGKNSLTGRIPPQIGNCSSLASLDLSHNSLTGVIPETIATLTNLQIVDLSRNNLTGGLPKQLSNLPHLLHFNISHNQLSGDLPPGSFFDTIPLSSVADNPGLCGAKLNSSCPGVLPKPIVLNPDSSSDPLSQTVPVPDGGPRHKKTILSISALVAIGAAVLIAVGVVTITVLNLRVRAPGPGSHSAAALELSDGYLSQSPTTDVNAGKLVMFGGGNPEFSASTHALLNKDCELGRGGFGTVYKTNLRDGQPVAIKKLTVSSLVKSQIEFEREVKMLGKLRHRNLVALKGYYWTPSLQLLIYEFVSGGNLHKQLHESSTTNCLSWKERFDIILGIARSLAHLHRHDIIHYNLKSSNILLDGSGEAKVGDYGLAKLLPMLDRYVLSSKVQSALGYMAPEFACRTVKITEKCDVYGFGVLILEILTGRTPVEYMEDDVVVLCDVVRAALDEGKVDECVDERLCGKFPLEEAVPIMKLGLVCTSQVPSNRPDMCEVVNILELIRCPQDSPETELGSWWCVLSAAFLTVKSKSP >Et_6A_047026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24189021:24192060:1 gene:Et_6A_047026 transcript:Et_6A_047026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAEEGKSSMAKPAAEGVKKKTKVVRVKQAYIDKLLKRYPVKPFVSVPDELIEDTSPEAREFRTLMSEAADLMKRIRGKDEAILEQYHAMGYAEEEIEVRDDDEGDEEEGHDGRRRCSIETPAAATITTMDEAGAAEEGKSMAKPSGAGKKKTKVVRVKQAYIDRLLTQFPLKPFVGMPEELIETMSPEKREPFRTFMAEVVACVKASQGKDEAILKQYHAMGYAEEEIEVRDDGEEDEHDGRQCSIERAAEEGKTSMAQPEGCVTKKTKLLRVDQSCIDALLEVYPKKPFVGVPEEHIQTLPAEERERFRTFMAQAVACVKKGRDEDEAILEQYRTKGYAEMEVEVREDDDGMEEEEGHYGRRCSLESKL >Et_10A_001058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20750853:20755481:-1 gene:Et_10A_001058 transcript:Et_10A_001058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDKGGAPVAMDQASVVVGGGSFSSLRAYGRALAQTPRRVARRACAATAPGEELSRVRARSGADMARALRWWDLVGLGLGGMVGAGVFVTTGRATRLYAGPGVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDSPSKWRIAVPGLPEGFNQVDLVAVGVILLVTVCICYSTKESSLVNMVLTAVHVAFILFIIVMGFVHGDARNLTRPADPEHNPGGFFPHGAVGVFNGAAMVYLSYIGYDAVSTMAEEVERPARDIPIGVSGSVVVVTALYCLMAASMSMLLPYDAIDPDAPFSGAFKGRDGWGWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLAKVHPRTATPVNASAFLGVFTAALALFTELDILLNLVCIGTLFVFYMVANAVIYRRYATSTGDEQHPRAAWPTLLFLAAFSLVALAFTLVWKLAPPHGGARTGLLAACGALAVAVVAAFQLLVPQARAPELWGVPAMPWVPAASVFLNVFLLGSLDRPSYVRFGVFSAAAVLVYALYSVHASYDAEEGGDGGGGAKVQDEACKV >Et_3A_026582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9933992:9937234:-1 gene:Et_3A_026582 transcript:Et_3A_026582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPFSKSFIAFYRFARRRLGNPSGHNHHRRNTSGIQTCPKIIHRCPLVSQDDDHLQNQALILDIEGGLLRSPSTFPYFMLVAIEAGSFLRGFILVCLYPLLCCLTQEVQSKVMVMVCFLGLTEEKVMRVAKGTLPKHFLEDVGREGLEVVKRVKRAIGFSRMIPRVIVEPFLKEYIGLEMVVGREVKMVRGRYAGLLEESEGRLEFAELEGTKMTWFGSSSDYYSHDHHPIFSCCKEVYLVTSEQKRKWSPLQRDQYPRPLIFHDGRLAFRPTPEATLAMFMWLPFAILLTMLRTLLFVNLPYSISVPIGSATGVTTRVINSPGRLYVCNHRTLLDPVYISATLNKQVSAVTYSVSRFSELLSPIRTVRLTRNREEDRRRMEKSLQQGDLVVCPEGTTCREPYLLRFSPLFVELVNEIYPVALVNWSRMFYGTSTGKSKYLDHFYYFMNPHPAYVVVFMDKMPTSIVIDGRKCESYEVANLVQGEIGRVLGFEPTMLTRKDKYLMLAGNEGVVDAKQ >Et_3B_027734.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16684437:16684774:1 gene:Et_3B_027734 transcript:Et_3B_027734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCAGEALVWVIRRNGSWWPGRILGTDELPDSCGQPRPAGTPIKLLGSSDGTMSVRPPPSSRDYFWNFPFVLAKHCNRGYARSTQQSS >Et_7A_052185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5430662:5431939:1 gene:Et_7A_052185 transcript:Et_7A_052185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRCCCHDAGVKKGPWTEEEDRTLVEHIQRHGGHVSSWRNLPKAAGLNRCGKSCRLRWTNYLRPDIKRGNFTDEEERLIIALHADLGNKWSTIATHLEGRTDNEIKNYWNTHIRKKLTRMGVDPVTHQRLPPDDLLLASSSASSPEALLRAAASLRDLDTTLRQAQVLQLLLQLVGPGSNTGPMSAAAAALMGSGYLPAAGNALLNASGVIAPNLQNQMMNVFSQAMNYNQPPAAGRYPAANVAEQGMLAHHQYVKSSSAPSPCTSNDVEPADQYCKATAALEPRPAYPPQEVAAEVDLPPAVQGFTDLLEPTEMPSLYSMEEEDAFWKEMLDISFRL >Et_5B_045630.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5694212:5694532:-1 gene:Et_5B_045630 transcript:Et_5B_045630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTLKVQDTQRRIVACTMRRTDKMQGLMDHYYDVLSPAVAARGEGALRVRREEGERRAHARGPQHGERGQGRLFPGPHGWLIDRVPDRRGAPDRLMTCYWRRPYG >Et_8B_060044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5341575:5342410:-1 gene:Et_8B_060044 transcript:Et_8B_060044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGGSLYAVLGVASDCSDAELRTAYRKLAMKWHPDKCAAAGSSAGVEAAKARFQKIQGAYAVLSDPNKRILYDVGAYDSDGDDDRVAALAWH >Et_1B_010809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14307714:14312813:1 gene:Et_1B_010809 transcript:Et_1B_010809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCITAASRSKSGGNLRSNVTLSSPEEQTTFKWTIDGFSLLLDKGEGCTYSSVFEIMGLKWYLKLNPRYKKTGKEKEYVSLRLELSRSSVKADVIVEASFKLFIYDRSYGKHIEHQVNHTFQTASTSSGMSCMISLSKLKSPFSGFLIIKVVTSKANTTMETLFVQKMDIFNEAKTCTWDIEDFFAMKNPGYSPEFDVGGYKWFISMYPSRDGNHLSLFLRMKKPNDLPKDSAKLVELKLSIKDQENGKHLIREGRFQASNNAPAWGWAEFISLEDFKDSSNGYLVKVKCCVEAVIAVAGSSKTEKSADEREKASIQLVLLQRTSLKPDVNIEAKFKFLIYDQMYGNHHGYCKRPLYLMRDSSQHTEEMFFRLQLCLWPGAHQLTTKKANDSSVTVRRVHSAPVKQLLGTSKTYSEEAALQYLRMYPSGSNNSGEFLSVYLDMCQPETSHESSRVLVELSPSIKDQETITIN >Et_6B_049305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:301212:303933:-1 gene:Et_6B_049305 transcript:Et_6B_049305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWNKPPAGVTVAVDASDDTDHARLRELGYKQELKRHLSARLSGHRWAPFASWITGWFNIVGQWAGIASIDFSLAQLIQVIILLSTGGNNGGGYLASKYVFAAAWNMLGVFALMFAVPVAATERASAKLVFSHFNTDNSAGIHSNLYIFVLGLLMSQYTLTGYDSSAHLTEETKKADWNGPIGIISAIGISLVVGWGYILGITFAVKDIPYLLSPDNDAGGYAIAEVFYLAFKSRYGNGVGGIICLGIVAVAIYFCGMGSVTSNSRMTYAFSRDGAMPFSSVWHKVNKQEVPINAVWLSAFISLCMALPPRCSPGDGVSLHNCPLHRLRITDPLPDNAGTQVLRARPIQPWSVVVVGWIAVLWVATITVLFSLPVAYPVTKNTLNYTPVAVGGLFTLVLSSWIISARHWFKLGSIG >Et_4A_032045.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:13587828:13588061:-1 gene:Et_4A_032045 transcript:Et_4A_032045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHATCLVTITLHHAYSVNCILQTEVTAATIDFEKAIAFVWTTPEAKAAKDWKRQLGEKLANHLTTCGFQSYLQGE >Et_4A_032435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10049782:10051555:1 gene:Et_4A_032435 transcript:Et_4A_032435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASPDSGDLILVEPARPGSPVAVITINRPAALNALTRPMMISLAAAFRRLAADDGVAAVVLAGRGRSFCSGVDLTAAEEVFKGDVKDPAANPVAQMELCRKPIIGAVAGFAVTAGFEIALACDILVAGRSAKFLDTHAKFGIFPSWGLSQKLSRVIGPNRAREVSLTCTPITAEMAEKWGLVNHVVDDNQVLNKAVEVGEAIARNNRNLVVLYKSVINDGLQLDLEHARALEQERAHNYYNGMTKEQFANMQKFIQGRSAKAPSKL >Et_5B_045086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9414631:9417587:-1 gene:Et_5B_045086 transcript:Et_5B_045086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPRFRLQPASLNPPALTANEDGVAEDYPPWVLLESQAYVADCKNATTAFSKTWDGHDIQVTICPRRPPHVSYMCVYSRDAEMPLEPQILAMEEDLVVLCVTVSCQKDVMKNIDYYVYRATGGVAYGKPSLTLLKRPPSPYNSFYAEHTGVMLCGTGHQPTLGGQTGIYLRPHVQTVQRHYIIAALKAAPWEMQDEFPQGNFILSLYNSKTEDWTVNTISLNKEQRQQYGADFEHATSKVINIGGDAGTMGFVDLWRGVLLCDLFSDNGEVIPSPLRYIKLPSTRRNSLFRGDARLARDIAVINEQLKFVELQVHWKESRVCKGDLFEDGWMAVTWSRPSSCLSGHWSRECKVDSKDMNFQDSPYVKLLPKVLSDEDVLLPPFKRIDICQPTLGLNVDDSCIVYFMIKVNRRDKDAWVVAVDMKTNTLQGVAPFLAARNTFITFAYMHSRISTHLLKTAPGPHGHLKRPRVLPQATGKKLSGMG >Et_6B_048280.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:712408:712854:1 gene:Et_6B_048280 transcript:Et_6B_048280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPGAGEASSLATRNPASVSATPSTAELLITGAMAPQTAAHAASSAKQSANSSPDSGSAQVSVAPSSLSKASPGRIGYANTMRCGWPSGPAYRTRDCPCGRRKVTAPMLRHWAPPPPPPERGARSRRRLPSTGLGAVDGSGIGEQE >Et_10A_002281.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:696654:697457:-1 gene:Et_10A_002281 transcript:Et_10A_002281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCVGAVLTACRELVDRRGRGVADAAETKQRYGDCTAVDLASTPYDNVAGPPYAPPPATATDSGATFLAAPPRKRARGEEEDPFVAGAPAHADNKRRVIAVHPAVLQHLQRMSAEVQQWRLHAAHAAERIRAKDEEIDQARWQNWLLQEQLAAAHAEARAWRDAAVAGEAAAAALRADLDHALRALAEAEASADAAEDAASCCSGGGNDDQNCSGGACRGCGERGAAVVVLPCRHLSACAPCAAAATACPACGGPKVGTVAVNQV >Et_7B_054115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15664536:15671798:-1 gene:Et_7B_054115 transcript:Et_7B_054115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFSRGHARHPTTPPPPPEKSAGGETPPRRRTPKENVDPAAYSTPAQHHDHASPFRSPSSAARPLSARNARLPPRPPSGNPLKRKLDVSSAAAAAGPAPDAASAPDSGVQVVVRIRPPCRADEEDVGDDGRGPEACVRKTAANTVAIQGQDFTFDAVADAVSTQEDIFNLVGLPLVENCLSGFNSSIFAYGQTGSGKTYTMWGPLSALSEDSTSSERGLTPRVFEQLFSRIKEEKLKHADKELTYKCVCSFLEIYNEQITDLLDPSQKNLQIREDVRTARVYVESLTKESVSTMKDVSQLLVKGLANRRTGATSANADSSRSHCVFTCIIKSESKNPEDGSSITRSSRINLVDLAGSERQKLTHAAGDRLKEAGNINRSLSQLGNLINILAEISQSGKQRHHVPYRDSKLTFLLQESLGGNAKLAMICAVSPSQSCKNETSSTLRFAQRAKAIKTNAVVNEEKVEDVNALRAQIRQLKDELRRMKSNGGSEGNNGWNARQSLRLLKMSLSRPATFQAINEDSDEEMEIDENDIEKPCARNSMTISPIGDKESEGLQSPMDICAGTSHAEALEVDKSFIPTKRSCSEATKLIAGTDGGECRSNVNLAASIQRGLQVIQSHQNNSAWRRASVGLNARIMDFQTCKVDVAIQTDPEEPEARDSPLALIPSCLLEAPANESRDPNACRDLQIVPADGAVPADEHKQQHFLKAVEKVLAGAIRREMARDEQCAKQAAEIQQLNRLVQQYKHERECNAVIAQTREAKIARLESLMDGTLPTEEFMNEEYISLMNEHKILQKQYENHPELLRAEIELKRVQEELDMFRNCVDEKEVLQEEIQDLKNQLHYMLSSSASIRRLLPPLRLSHRTNCSPGTKDNDGDTNVGDAPDWTEAESKWITLTEELRVELEANKSLAGRLQAELDSEKKCHLEVNEALQTAMQGHARILEQYADLQEKHMGLLALHRRMRDGVEDLKMRAAKAGVKGAELRFINSISAEISALRTENEGLQGQLRDTAEAVQAAGELLIRLKDAEEAETLAKRRALLAEQETEKAYQEIDNLKKNYDKEILALNQRLAELSEHRDAILPAEPTDLEPPRYDTAGSPSDQQWREEFNPLQQGGSFEVSKSTDLNSWFSGYDKCNI >Et_1B_012767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3558184:3565725:1 gene:Et_1B_012767 transcript:Et_1B_012767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEAMGSQQKDSVKVAVNIRPLITEELRDGGTDCVTVTPGEPQVQIGPHVFTFDHVYGSTGPSSSFIFEQCVRPLIDSLFNGYNATVLAYGQTGSGKTYTMGTDYSGEGNCGGIIPQVMETIFRKLVATKDGTEFLIRVSFIEIFKEEVFDLLDANQAAQRFESGPVAKSSAPARVPIQIRETATGGITLAGVTEAEVKSKEEMASFLARGSSSRATASTNMNRQSSRSHAIFTICLEQKRTSGTASDKSASSDYDILSSKFHLVDLAGSERAKRTGADGLRLKEGIHINKGLLALGNVISALGDEKKRKEGAFVPYRDSKLTRLLQDSLGGNSKTVMIACISPADSNAEETINTLKYANRARNIQNKAVVNRDPVTAEMQKLRSQVEQLQSELMFSRSGSAALEELQKKVSLLELKNSELHHELKQRELCCEKLAEQARDSQLEMDKLKLKLESVRDGKSWNDTENDGNSQDVDLMKSYILKIQQLEVELMRQKFSTACSNGAHDQLATENHIFENGLGSGCEVGTPDASEIDEEEKEREHSSMQDKLDQELQELDKQLQQKEAEMKQFAKSDSSVMKQHYEKKLHELEQEKRYLQKEIENLRHALNNVSSSTDENAQKLKETYLQKLNALESQVSELKKKQETQQQQLRQKQKSDDAAKRLQEEIHRIKSQKVQLQQKIKQESEQFRSWKAAREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEATAASKRLKELLEAKKSTRETYGASGSGLQTLMRAIEDELEVTARAYELRSHYERQMQERQAISKEIAKLKEESKHKISDNPQAMSPSARSSRISALENMLASSSSAMVSMASQLSEAEERERVFNGKGRWYHVRSLPEAKHVMNYLFQLASSARCQLQDKVVMCNEKELVITELKEKVVVLSSRNRQLEIQVKDLNNHNMQLFTALNNTKKSINASKNGTGVSDDGQTHALHKFFCSKNNINWSDDMDISDSEHSEELEDLNEESDSDWVQSTRKEDMNEESDSDWEQSAKKIRRRRRTISSHSNSNLGRQIFKENAEPEKFPDAKSTSSKDDSCRGCSCSKFSSCKTNKCECRGSGAQCGEDCGCKSSKCSNRESSDNTEIVNQGVMLLENAFSEKEVHDVKSRKPLSDIGNTPAKQNAEVKTRPRKNWRRSTVQLVPSDPVPPSASANTEAVPRDRGDIPLRLPRAMSSAASESNPPPLTDRNAAKPEESVSSINKESTGAAAIRPPSQLRKNSATEKENQLR >Et_1B_014201.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:35238436:35239986:1 gene:Et_1B_014201 transcript:Et_1B_014201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGAPGSSVHGMTGREPAFAMSTEAAAKFDLPVDSEHKATTFRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLSKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLIMLAAPTVFCMSLIDDAAGYIVVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVYDVIRKCGATPFTAWRVAYFVPGLMHVVMGVLVLTLGQDLPDGNLRSLQKKGDANKDKFSRVLWYAVTNYRTWIFVLLYGYCMGVELTTDNVIAEYYYDHFDLDLRVAGIIAACFGMANVVARPLGGILSDVGARYWGMRARLWNIWILQTAGGAFCLWLGRASTLPASITAMVLFSFCAQAACGAIFGVTPFVSRRSLGIISGMTGAGGNFGAGLTQLLFFTSSSYSTGRGLEYMGIMIMACTLPVVLVHFPQWGSMLLPPSATADEEGYYASEWSEEEKSKGLHNASLKFAENSRSERGRRNAILATAATPQHV >Et_4A_033427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22751380:22757029:1 gene:Et_4A_033427 transcript:Et_4A_033427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDRAKVAEIYFDGTGLLNLAVFLGQIEVCRYFVEELRFDMDSPDMDGSTALACAVLFAEVAVARYLLDYGANPNKEDDTGSVPLHNAVKNGNEEFIRLLLSRGARVDIAIAHGTPLHIAASYGMTGAVKILLEHHADPNSFAKVPGTPLVTALHSTEHGVSESDALECVKLLVKAGADVNSGNPSSPLAVATRNGLTNCINYSLEACADPNIPNNQDDTHNKLKDRKAELKKHGEKAVKRKDYTVALNLNSEALELDRFDATLYSNRSLCNLKTGKAQKALLDAEFCVRIRPNWVKGYYRKGAALMSLKEYNKAYEAFMDALELDPGNEELEKAMWYVYVICYVYVTVYQVSSLEKSS >Et_1B_011219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1910627:1918339:1 gene:Et_1B_011219 transcript:Et_1B_011219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNFNIPNMPGSLAQRNAAMSGLPSSGVQQPGGSMPGRFTSNNLPVAMSQIPHAHSGVSGRGMNVGAGPAFSSSMNIGGTIQGLSSNLGSGGSRNSVPGMSVSPALGNLGPRITGSVGNIIGGSNIGRNISSGGLSVPSIGSRMNLSGNAGGGNLNVQGSNRMMNGLLQQASPQLMNMLGNSYPTSGGSLSQNQLQAGNNSLSSLGMLHDASDTAPFDINDFPQLTGRPSSAGGPQGQYGSLRKQGVGVSSIVQQNQEFSIQNEDFPALPGFKGNSSDYAMELHHKEQLHENVPAMQAQQYPPMARSVGFNLGSSYPSNRQQHQQGSSSNTGLRPLGQTSSFGSYDQLLQQYQQPQTQNPFRLQQMASATHPYRDQSPKPVQGALTPPDPYGLMGLLGIIKMNDAELGSLALGIDLTTLGLNLNSPDNLYKTFGSPWSNEPAKGDPDFHIPACYLTEPPPLLQPGLFQRFQSSTLFYIFYSMPKDEAQLFAANELYNRGWFYHKELRLWFTRLPNVESLVKTPLYERGSYACFDPNSWETVRKDNFVLHYELVEKRPVLPSAAQNARKGCDSFPSLESKPSLWKSSEIDRQI >Et_6B_048316.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:11668141:11668332:-1 gene:Et_6B_048316 transcript:Et_6B_048316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFENKAPGLQAWKKGFKDDCKLQAGVDLRIVTEQPSSLRLTLSYSLYIDFLTFLFEVFLLFF >Et_9A_063240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1732748:1741633:-1 gene:Et_9A_063240 transcript:Et_9A_063240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPDRRDYLYREGRRHDSGGGGEPLPPPPAPTPPRWRDSPYHPPPPPPLRDHARPSPRRAPSSVSSGTPAPRKSSDLKRQLPPPPRPTSSAPLISPNRSAISEGYYRQGGGAYDRSYPDEMPLGYTPSRSDRYWMDDEGGGGYKGFSRYGGGGGRRDGRDMRGSYRRSPFRSYGSDFSRNHQEQPPPPPPRRSPLRSVAVPICYDSPGNRVDRGDRDNLPRVTPWRRRESRSEVAGAAGSGHGSAGHSTRPAASEKEASAHPPAVTSPHGTDEEAPRKKARLGWGQGLAKYEKLKVQGPTDPAEVVADGSSADAGHKAVSPAPALRASPVARPSPTPAPPPCASPVAVPSPPPPAPPRCASPVAAPSPPPAPPRCTSPVAVPSPAPVPPPCASPVAAVPSTAPAPLRCSSPVAVPSPAPLYCSSAAAPEDKSCQLTANTVMNSSQDAPGADDQACIDEFPIKLDQLGDDPSDSLANLLAKFLQNDSSSGDSKGQTSTSKLLLLKESISKEIEKTELEIDSLEGELKSVNTEAGTAVEDSPTGVTYTENISPSSGTSKVPGSAKICDKSLVKEPSEISPCLKIPVVQANAKDAEMMEIETAPVHNAKTASEESAACPEVAKGQACAAADVGDTKPLGAKPQIVAGNEKLEASSCHIDTSSVKLDVRGDLPGIQCLYPIPEYNLLGSLTSANNDIAKVANESVFKSLPADTPRLDLLASSHVSSQRNDASTIKVRLSLHKNRLRFKEQALTFKFRALRYLWKEDVRLLSARKQRSKSNKRIDQSSRTSLTGSQRQRASNRSRLAMPAGNLSTFPTPEISDVANKLFSEFQIKRCRNYLKMPALILDEKEKECLRFVSRNGLVEDPVAVEKERVMINPWSQEEKEIFMEMLAKFGKDFSKISSFLTHKTTADCVEFYYKHHKSDSFREVKKLLDLRQQHPTSNYLGAKSGKKWNREANAASLDMLGKISIARVDQALTPEIDKSVDEVDTISDQDCEVDTVDWNDDEKSVFIEAMNNYGKDFARISSCVKSKSYEQCKVFFSKARKSLGLDLIHQGVADISMPTSDTNGGRSDRSDTDEACAAEMDSAICSTQSFAKTEVDVCPTEGAIQGPNFCAISKKTEGDGSKFDCDVLDVKTEEGESKADEICNLADHEQFSEEAHRSACGPIDINCPETAEKLDGTDDTVDQMTMHNSSAISSGAEQAMSVHFDAGIDGCSHQSLDNILVKVENSVPSASVAADTGLKENVHFSKVTGATSTSPTSISSYQHSMPGGAPSKPKPQVTPLTPKDLMPVQFSSVLPDPTSIRFEGIASITTPSFEDNGNRVCNGLGAKVMNKYPAFKDESGNRHDTLFRNIDGYTNHLTTESSFFSERSASGTVSTSQPDRFTVTKFQNGRSSSLGLPNSTDGIQWTRKHNEVLDGSLRPCSHNTSSEGNEQVKRPGDVKLFGQILSHQSSLQSSGSSCNGSKSKPSSPKVDISPMRLLNNPRDRVACSSRPAVTGHLGPEDRSMRSYGHLDGSTAQPEHLLVMAKCQTSLAGVPFYSAKNGTLGVFSDYQQPLMQAHQSDPKRLERYSDPQKRNGMDFMSGFQQPGNVTRFGGTGILVSGVSDPVAALKAQYGPGSKIISSDVDPWKDIGSSG >Et_1B_013454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8584008:8587579:-1 gene:Et_1B_013454 transcript:Et_1B_013454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTFEDGKKLCALLTRQETLVNKKRRWLASMIPKPDGRTRRVKRPKFLSVPYLPELYIRSEEASCDKVRATIVKGLSSECNGYTHHLVEDSVRLFDIQGKKNVAFDPESLDIMHCTISKLSHEALHSVACIVSHNKSSFDMTRPLMEKMIKSHLPRCLTSLDNKDVKSQLFNIFRNPCSYRSGSLSLVTPVSSELLSAINHALDGLDGMPTQVLLAMNRKLREKSCTLKFGQLARFSKRGHVVEMVRKRCNKILTGLKEGNYLPKKLAKAMSVVNLYRKQKSRSVDISQAEFFPFTKETISMQNSILNATWSLQKLKHDKLKLVRPILDEDSMVQRMHFKVALRNYLTECLLECDEAGLPDEALRVVTFINRISPSQQVVFTEERREAEVDAVLNLSSHLKALANCCTEECSCAEEMISLGNDSCSEGNDFILSETNYLKLSSKHQQMSEPCCSNNISDTAVTRESFGGSDVGYMHNVSRPEDPNSRSENVLRKPCERTEDSGGSGMELHTETSIDANHLKKSRCSEITRICDETSIVAHKLIGHVLDKWLLTGNGVDELTGCHLGEGLVSQGQGTLNFIELFCSITFSYSPLLDDNGSPNSAENLGRDILIHAVERVLPNLPKRFAPSSPYSFAQSYSNTSCLCSCIDRVKRIMS >Et_3A_026022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3581360:3585793:-1 gene:Et_3A_026022 transcript:Et_3A_026022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERAEVRRNRYKVAVDAEEGRRRREDNMVEIRKSRREESLLKKRREGLQAQLESLPAMVSGVYSDDNSFQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVQFLTREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVQLLASASDDVREQALWALGNVAGDSPKCRDLVLASGALMPLLAQLNENAKLSMLRNATWTLSNFCRGKPQPSFDQTKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDLQTQCIIDHQALPCLLNLLTQNHKKSIKKEACWTISNITAGNKDQIQAVINAGIIGPLLHLLQTAEFDIKKEAAWGISNATSGGTPDQIKYLVSEGCIKPLCDLLVCPDSRIVTVCLEGLENILKVGEQDKTSGATGEINIFAQMIDEAEGLEKIENLQSHDNNEIYEKAVKLLEVYWLDEEDDAMATAGDAPPGIFDFGQGGNPPAGGDLPKGGDSLGDGLGVGLS >Et_3B_030391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3387578:3392457:-1 gene:Et_3B_030391 transcript:Et_3B_030391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVWLHTVLSLLSNSRVIHKYTAVVPWFVLPRITLNFCTQTTNIVLSAVLSDLTNRFISFITDKFKSYAATRDNEIQRLQRLLLRLSTIVMEAEARRVTNPAMLLQIRQLREAMYRGFYMMDTARTPASRPNQWVTFLLILMHCPPIIRQPYGAYMFMERCMFGRHAEKEHIINFLLHPCSFLDVLPVTGPYFVGKRTLVEHACRDETVQRNFSRILHFSSNDLNDLVVTDKHKKLCLSDGRSLIVVEIVEDVDVMAWGKLHTLLSLEVHSHSKVILISRMDQVSSLGTVEAVRLARLHDEEYCYFFRVLAFGSANPYDHKPDLASIANEIATWINGSFMTAHTITSMLRANMTVQYWRRVLRSIRESLKQLREAMYRGYYVLDTFGAELCLRSKGGVEVISPCKLQSHMDNLEATLDGMKEFLLVLMHCPPVVRQPYDSYLFMENSMYGRRMEKECIISFLLRPCSYLDVLPVVGPCYVGKRTLVEHVCREERVKRNFSNILHFRSDDLQNLVNDGAMDDCMKKLCASSANFLIVVELVQDNDEVAWDKVHNSLRHSAGKAILISVKDRVSRLGTMQAIRLTRLHQEEYWYFFRVLAFGSADPFDHHPDLASIGKEIAAELDGYLMLTIVVTRVLRANMNVQFWRRALRYIRRSNQMRILVFGEDPRDISKRRFCPYFYSFRDVGSLIFCYNRYEVEEGSMMQGDMANTVMAEDVLHGKVMNHGEKFDIVTQSPMPPYYYYVTNCIVEKQVDTGNKSLKRKRNKTSSFM >Et_9A_061151.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:1949612:1949632:-1 gene:Et_9A_061151 transcript:Et_9A_061151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVAV >Et_10A_001262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22768148:22770406:1 gene:Et_10A_001262 transcript:Et_10A_001262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAMRRQQDLVMQLRALVLPQLHDDDRRAELAVQLFEDVIGCNISVISTLEGCLIRSGAGGGPAIELVDDKSLVRKNNSTNTGQRSEDQGKRNSIGQKRRRTDKRSRSIVTHVPHYDGHEWRKYGQKNINGWQHPRSYYRCTYRSERRCLATKTVQQQEQNDSTSSATATEETARYSVVYYGDHTCKDHGINTVQPSYQLVNMDVQSAEIVQTTTNIQEFEADFDLPALLEGFDSSLINCDGWDLEDLQPRCNNNLS >Et_1B_013986.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26425201:26425470:-1 gene:Et_1B_013986 transcript:Et_1B_013986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVVALVVVAAMSAGGAWADACHPETLSPCLPSLVSGSKPTAECCTNLHAQQGCLCEYAKDPKYSKYISGPNARNTLTSCAMNVPSC >Et_10B_002632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19533431:19534522:-1 gene:Et_10B_002632 transcript:Et_10B_002632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGKFRLLEEARRVFDEMPMPERDAVSWNTLIGGFVKLRLYSQALDIFREMLRNGVEPTELTIVSVLGACAEMGDLELGRGIHNYILSKGIAADGYVGNALVDMYAKCGNLQLARQVFDSMSIRDVTCWNVMIVGLSVHGYSCDALELFDSMNVEPDHVTFIGVLTACSRGGLVDEGRAYFNSMIEDYKILPSVKHYGCMVDMLCRYGKVHEAYQMIKDMSVKANSVLWKMVMAACRVHGHFDLANKAYRELRQFFPMDTGDVITVSNVYAEAERWDDVEHMRAKVIHCSVLKHAAHSQVHVR >Et_2A_018217.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18682752:18683168:-1 gene:Et_2A_018217 transcript:Et_2A_018217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAPPARGWEIETRVGAGFFTEAELDVADQLVQLSGSCGEASSPTSSTSSLRSVNTHTAAAALEDEEEVALAAGPSEELDRRARKRYRLLSDLYAATKPSTGGASATTSGAKKRKRHGEPDGKAAMPRYGDQSCY >Et_4B_036674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10252405:10254414:-1 gene:Et_4B_036674 transcript:Et_4B_036674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAAAAAAAAASSPTANTSPAASAAVVGVPSGAVHHHHHPQLAMGGSACQYEQQASSSSSSGSTGGSSLGLFAFGAGAPSATGGGGYFQTSCGASSMALVPGLMGDVVDSGGGDDLFAISRQMGFAESTIAASSSLAPSTPAQQQPQLQQYYSYQQLPAATITVFINGVPMEVPRGPIDLRTMFGQDVMLVHSTGALLPVNDYGILTQSLQMGESYFL >Et_2B_020195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17873813:17874707:-1 gene:Et_2B_020195 transcript:Et_2B_020195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVTLHCKQMFFLLALIAREGTEIVEKVMRDTSFNRSSNPLSCEDSWVLKGFNVLRLWNKEQNKKFLCGSPVMESSHITGDDGEECNSNESGWTMYLASPGSSDDDKANDRGASKVEDGSAYSSGTSKEDYANYGDGDYDSLASDASTGPAQVKVLNGKEKKNHGTYEGSRDKKSKDEKEETHTKLSTSGNKKDGRTKKGEEKTTRRGYKKKRSSSQKSL >Et_2B_020215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1836611:1841053:-1 gene:Et_2B_020215 transcript:Et_2B_020215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLGAMPGYGIQSMLKEGHRHLSGLDEAVLKNIDACRELSAITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAARAQQEEIGDGANLTISFAGELLEKAEELIRMGLHPSEIIIGYNKAINMAIQVLEDLIEKGSENMDVRNMEEVVLRMRSAVASKQFGQEDILCPLVADACIQVCPKNPANFNVDNVRVAKLVGGGLHNSTVVRGMVLKNDAVGSIKRVEKAKIAVFAGGVDTSATETKGTVLIHSAEQLENYSKTEEAKVEELIKAVADSGAKVIVSGAAVGDMALHFCERYKLMVLKISSKFELRRFCRTTGAIAILKLSRPNADELGYADSVSVEEIGGTRVTVVKNEAGGNSVATVVLRGSTDSILDDLERAVDDGVNTYKSMCRDSRIIPGAAATEIELARRLKEFSLKETGLDQYAIAKFAESFEMVPRTLAENAGLSAMEIISSLYAEHASGNTKVGIDLEEGACKDVSILKIWDLYMTKFFALKYAADAVCTVLRVDQIIMAKPAGGPRPQAGPGMDED >Et_1B_014126.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31513778:31514806:-1 gene:Et_1B_014126 transcript:Et_1B_014126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGNPPPATKYFWGDAPEPNEYYASQGVRHAESYFQSPHGRLFTHSFHPLSAASSDGDVKGVVFMTHGYGSDTSWLFQSIAISYARWGYAVFCADLLGHGRSDGVHGYLGDMEAAAAASLAFFLSVRTSEPYARLPAFLFGESMGGAATLLMYLRSPSRDAAWTGLIFSAPLFVIPDDMYPSRVRLFLYGLLFGLADTWAVLPEKKMVGKSIRDPDRLRVIASNPRRYRGAPRVGTMRELARVTALLQASFGEVTAPFLAVHGTDDGVTAPEGSRMLHERAASEDKELILYEGMYHSLIQGESDENRDRVLADMRAWIDERVRRYGPAAANGDAKDAPAA >Et_3B_028994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21178177:21179293:-1 gene:Et_3B_028994 transcript:Et_3B_028994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSARKRVLLLCASFVILSLLAPSNGAPNSMENINPSHNKTAQPLELTPKVSFQLKLHALFHWSSFGFLMPVGIILVRMSSKSQSGRWVRVLFYCHVILQIAAILLATGGAVLSLMNFENSFGNRHQRVGLALYGFMWLQPIIGFFRPERGAKARSLWYFFHWLLGIAVCATGIANVYIGLHTYHERTTKSVRLWTGLLTLEVCFLAFFYLMIDRWSYMMKQGQLPVEQLRPIDNRRTYPTTLRKELSIVQE >Et_10A_002016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18905939:18909764:1 gene:Et_10A_002016 transcript:Et_10A_002016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAASPPVLAARLPSATTLFSPSTSPGTPPSPTARQRRLVTARAMPWPHVLTVAGSDSGAGAGTQADIKACAALGAYCSSVITAVTAQNTVGVQARFAPDLSGVHAVPEEFVEEQLKSVLSDMSVDVVKTGMLPSAGIIRVLCESLRKFPVKALVVDPVMVSTSGDTLSGPSTLAIYRDELFSMADIVTPNVKEASKLLGDVSLQTVSDMRAAAESIYKFGPKNVLVKGGDMPDSSDAIDVFFDGKEFIELHGQRIKTRNTHGTGCTLASCIAAELAKGATMLHAVQAAKKYLESALYHSKDLVVGNGPQGPFDHLFRLKSPLYNTGSLRMFNPDDLFLYAVTDSGMNKKWGRSIKDAVKAAIEGGATIVQLREKDTETREFLEAAKACVEICRSSGVPLLINDRIDVALACNADGVHVGQTDMPAWEVRELLGPGKIIGVSCKTPAQAEQAWKDGADYIGCGGIFPTTTKANNPTLGFEGLKAVCSVSKLPVVGIGGVNATNAGSVMELGLPNLKGVAVVSALFDRECVATETRNLRSILTNACSRS >Et_10A_001708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7085526:7087560:-1 gene:Et_10A_001708 transcript:Et_10A_001708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVTKKPCRAAATARTQPVVALSVAGDEPVHRSTTADPSLLELRFFPRNWLVIARHLRDDCVPERFVNVRTGVSLRIFLPDPDQYTHHGNVEGLLLLHHTFSDTICLLNPLNMAMYYLPAMHAVNDVVRPNGEYPDDMFVTDSIKAAGIIVDVEELGQAQSVRTVVLSLTMGDGTAIVCAKPRDNVWRAVDTSCTDDIDGDLPVIQGGLSVRGRFYVPTRAGDVVAVELQPQPHLMYVAKMIGNQIRSGFDESSWLVRRRRLWDAPGAFLPNGKFGCTKFSVDLWNGKLSLKERSGVTVFLPSVTVRSSAFPSVMQNAIYLKSHMKRLLRGDYL >Et_9B_065546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6508144:6508898:-1 gene:Et_9B_065546 transcript:Et_9B_065546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNLWERMSPPIREGFGVLDRYLSSKVLESGGKVDGGASTDTLGIVALLELAVDTVYREQKAGLDRAKHHLLSGAAGLAPSCSLLRLAASSNANGIHGHYFVVQ >Et_2A_014895.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20742546:20742617:1 gene:Et_2A_014895 transcript:Et_2A_014895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGARRGRGLRLGHLASYPPLQ >Et_8A_058061.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1712392:1713042:-1 gene:Et_8A_058061 transcript:Et_8A_058061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIGKAAEFLRKAAAALRGTAGVLRARLLFLASLRRRAAVVAGISRHLRSLAPSHRGQEKAAASRAMALSSRPVDHADVDNDVERAGTRDDDVVVGMAELASLFQEVETDGGDGGGHQDWTVALHSLFDDEVETRGCGGDEHRRVGGDLDDDGVEGLEDADETSVLELIRSVREGEGQEFRIDDEIDRAAGMFITRVRRRMSKQTDHELVVSGFH >Et_1B_012179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29777926:29779437:1 gene:Et_1B_012179 transcript:Et_1B_012179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSIDESGSKSPPSEDDDGGNTIGTVHTSQPPLSPIRFTVNQQPRQKWVFEWVEPEFYNMLLSQWQTSHAWNNQSWSEFRTHLLTTQGINVMSIDEYNAMNNPQSDPKPDLEVNAARSNKAERTERGRARLMLKNLKLDEEEMKAHAGSKAERPKKKLLPKPVPRQFSIAGDGKKRKKMLRVGKEFVDCIAGIPHPPPFQSYPSSDFQFMEIQESYVAKERAIREEHERIIKQYEDHGYAEIEVTDDEEEV >Et_7A_050301.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:22646482:22647690:-1 gene:Et_7A_050301 transcript:Et_7A_050301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFTDAYDLFSISLVTKLLGRIYYFDPNSKSPGSLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVICCLASGLSFGHEPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGIVAIVISAAFKATFDAPAYKDDPAGSTVPQADYAWRIVLMFGAIPALLTYYWRMKMPETARYTALVAKNAKKANSDMARVLNVELADEEEQKADQEPERDEEYGLFSGQFARRHGLHLLGTTVCWFMLDVAYYSQNLFQKDIYAAVNWLPKADTMNALEEMFKISRAQTLVALCGTIPGYWFTVFFIDIVGRFAIQLAGFFFMTAFMLGLAIPYHHWTTPGHHAGFIVMYAFTFFFANFGP >Et_4A_034016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29114162:29114529:-1 gene:Et_4A_034016 transcript:Et_4A_034016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGTMKSNKIPFPLILLIFIVIASCHAEEDMVMRVGADKGRCSTPGFSKCGGRCFKPGCAECCKNHGLHNGQCLFLLCFCCT >Et_8A_057943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9931347:9933102:-1 gene:Et_8A_057943 transcript:Et_8A_057943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLIFLLCLFTLPLAVLYLNKQLIAGSRLRLPPGPRPLPVVGNLLDIGRDLPHRAFARLARRHGPLMSVRLGTRLVIVASSACAAREILQKHSASVSAGNWTDAWRGGGYGANSMFSFQPRHKWRALRRLGTETLFSPRRLDDLLQLRREAVQGFLRDVSEHAAAGTPVSVGRAAFAATVTMLWRAMFSAELDGALSREIQDGVHEAVVLVSAPNVSDFFPAVAGADLQGLRRRMARLVARMFQILDRIIEQRVHSRDKAARTQRDLVDVMLDMARKQPGEGDLTMNRDVMRTFCVIRFVTILITELIRILWPIQDMLAGASDTTSNTIEWALAELLKNTQTMRKLQDELKLVLGSKTMVEDSDINQLPYLQAVIKETLRLHTVLPLIGCRSETTIEVQGYTIPKGSYMAVNVWAIHHDADVWSDPDMFIPERFLDRNFVFVGRDFNFIPFGSGRQICLGMPLANRMLPIMLGSLIHQFDWTLPEGVTKDRINMTEKFGLVLSMANPIHAIAKKK >Et_2A_016695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27254634:27258765:-1 gene:Et_2A_016695 transcript:Et_2A_016695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLVKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEEQHDLILSDKIQLGQFIHVDRLEAATPVPILRGVRPVPGRHPCVGNPEDLVVTNSSNFNGSKKTQQTNGLKDSTSLSLEKESSKLEKINASRKPIGAENKKPVLTKSNSSLSKQALNGIGDKKGAVKSKAKPASTRSVPSSPTSVYTLPGSFDRFTNDLKQRNKAKGAEKASSSRISLLERAASVLKVTAAGRKSSAGNSINSSVLSIGSGPKALRRSWEGNVDIKGKASSESKTTKADRKPENKTAMTPRRKPPVDEKVSHKDDNMIQKAARKSTASAPSEDADKVIKKHPPTVKRTSGVSSNSNVTNLVKIPPNSKKLTDASSSWTSLPPSLAKLGKELLKYRESAQMAAVEAMQEASAAESLLRCLSSYAEVSSTAEEQNPQPAVEQFLKLHSALSRATVIADTLTKLASVASPARSAASETGTAVSSTDEEAAAIAAERRRRATSWVSAALATDLTAFGLYNLKPAPATVTSPLAVVVVDESAKPPAAASTMTKSSPSPKSRMSPAKGKPRTGPAAAGAAAAPTTTTPAPPEWEKGGGAEERGELARRLGEESRGWFLGFVERFLDADVSAAAPWDRERAAMMLPQLKRVNDWLGEIGKRSDTPLPLSQDADGEATTTAPVAANGGCGVPEETIERLRKKIYEYLLTNVDSAAAMLGGGGTAAPANGKK >Et_3A_025446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:293853:296448:1 gene:Et_3A_025446 transcript:Et_3A_025446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISSVECVSLPDAAPADVDDAALGLGTLLAAAASRAYPKTSSSGGVHELLECPVCTNSMFPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPDIFPYYSKIKHEAQCSFRPYNCPYAGSECAAAGDIPYLVSHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEAKNYSYSLEVGANGRKMLWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRITGRIWKEQQTPDGACIPNLCS >Et_4B_039154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8287242:8303457:1 gene:Et_4B_039154 transcript:Et_4B_039154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTAADTVVATPAGEQSLVFVLQPLFLHGVSAAAHLILALAVAGRWLFRRILQSAGRDKDGEAESDARSELCGFRCYGVAICTTWALAASQVILAAYSWYVDRGAGSADLVDAAARAVAWPLLAAYLQFGFARRRHERFPAPLRLWWALFMLLSAVNVCAHVATSLDGLPVPGQSWALDAVSVVAAMALLCAGFFGRRDRRGLASEEPLLNGTQETADESTANAADASLLTGAGFLSELCFSWMGPLLAVGNKKTLGLDDVPGIDPADSVDGLLPPFKANLEALMGNGDGSGRNVITAFKLAKALLRTVWWHVAVTAFYALVYNVATYVGPYLIDSLVQYLNGDERYASKGQLLVTVFIVAKVFECLSQRHWFFRLQQAGIRARAALVAIVYQKSLALSSQSRQSRTSGEMINIISVDADRVGIFAWYMHDLWLVPLQVGMAMFILYSTLGLASLAALGATVVIMLANVPPGQMQEKFQEKLMDCKDVRMKATSEILHNMRILKLQGWEMKFLSKIIELRKTETNWLKKYLYTSAIVTFVFWGTPTFVAVVTFGACVLMGIPLESGKVLSALATFRVLQEPIYVLPDTISMMIQTKVSLDRIASFMCLEELPSDAVQRLPSGCSNVAIGVKNGCFSWDASPEVLTLKDLNFQAQQGMRVAVCGTVGSGKSSLVSCILGEIPKISGEVVICGTTAYVSQSAWIQSGKIQENILFGKEMDSEKYDRVLESCSLKKDLEILPFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLKDLASKTVVYVTHQIEFLPSADLILVMKDGKIAQAGKYDEILSSGEELLELVGAHKDALTSLDTMDAVSGDNEASCSNGTAKLSRSMSSAEKKDKDNEYEGNAKSGQLVQEEERERGRVGFWVYWKYLILAYKGVLVPLVLLAQILFQVLQIVSNYWMAWAAPGSKDVEAPVSMLTLLYIALNCNGSIQDCNFVIQQDAYVHIQSSNASTDQTEVDTNIAGQMGSVAFSIIQLVGIIVVMSQVAWQVFVVFIPVFAACFWYQRYYIDTARELQRLVGVCKAPIIQHFAESISGSTTIRSFSKENQFVSTNSYLTDAYSRPKFYNAGAREWLCFRLDALSSLTFAFSLIFLINLPTGLIDPGIAGLAITYGLNLNMLQAWVVWSMCTLENKIISVERILQYMSIPAEPPLVTSGDILPHNWPSNGQIQLHNLHVKYAPQLPFVLKGLTVTFPGGMKTGIVGRTGSGKSTLIQALFRIVDPTIGQILIDGIDISTIGLHDLRSRLSIIPQEPTMFEGTVRSNLDPLGEYTDNQIWEALDCCQLGDEVRKKELKLDSPVIENGENWSVGQRQLVCLGRVILKRSKILVLDEATASVDTATDNLIQKTLRQQFTETTVITIAHRITSVLDSDMVLLLDNGVAVEHNTPTKLLENKTSLFSKLVPMLSTAAASVSATPAGEQSLVFILQPLFLHGVSAAAHLILALAVSGSWLFRRLLPSAGRAKDGEPRGDARGGVGGFRCYGVAVCTTWALAASQVLLAAYSWYADGGVGWSSLVDAAARAVAWPLLAAYLQFGFGRRRHERFPAPLRLWWASFLLLSAVIACVHVATSLDGLPVPGQSWALDAVSVVAAIVLLCAGFLGRRELYGHPSEVPLLNGTQETANENAGSATATDTCLLTGAGFLSELCFSWMGPLLAVGRKKTIGLDDVPGLEPGDSVDNLLPTFKANLEALTGDGSGATAFKLVKALLRAVWWHAAVTALYALVYNVATYVGPYLIDSLVRYLNGDERYAGGSKGQLLVLAFIVAKAFECVALRHWYFRIQQAGMRARSALVAVVYEKGLALSSRSRRDRTSGEMINIVSVDADRIGIFSWYLHELWLIPLQVGMAMFILYSTLGLASLAALGATVGIMLANVPPGNLQEKFQAKLMDCKDARMKATSEILRNMRILKLQGWEMKFLSRIIELRKTETDWLKKYLYTLATVTFFSWGTPTFVAVVTFGACVLMGIPLESGKVLSALATFRIMQEPIYSLPDTINLMIKVKVSLQRIASFLCLEELPTDAVQRLPSGCSDVAISVKNGCFCWDASPELLTLKDLNFQARQGMRVAVCGTVGSGKSSLVSCILGEIPKLSGEVQICGTTAYVSQSAWIQSGTIQENILFGKEMDSEKYDRVLESCSMKKDLEILPFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLKDLASKTVVYVTHQIEFLPSADLILVMKDGRIAQAGKYDEILSLGEEFMELVGAHKDALTSLDTINASSAEKKDKGNEDEGNAKSGQLVQEEERERGKVGVWVYWKYLILAYKGALVPFVLLAQIIFQVLQIASNYWMAWAAPVSKDVDAPVNMSTLLYVYVALALGSSLCILIRSLFLATAAYKTATLLFNKMHMSIFRAPMSFFDSTPSFNRPKRSGYQHC >Et_3B_029819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28169155:28171936:-1 gene:Et_3B_029819 transcript:Et_3B_029819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSGQLLPLTRPPLPPPAPRLLSHRHRVHPHARTPLSPPWRAHRPLPSAPLQLSRAPARPSIAPAPPRASAAGGGEAQVAATAVEFLTSERVKVAAMLGLALALCNADRVVMSVAIVPLSQAYGWTPSFAGVVQSSFLWGYLMSPIIGGALVDYYGGKRVMAYGVALWSLATFLSPWAAGRSIWLFLFTRVLLGIAEGVALPSMNNMVLRWFPRTERSSAVGIAMAGFQLGNTIGLLLSPIIMSRTGIFGPFVIFGLFGFLWVLVWIPAISGTPGEHAQISAYELEYITKGQKLVKPQSGSEKPKKVPPFSKLLSKWPTWALISANAMHSWGYFVILSWMPVYFKTIYHVNLREAAWFSALPWVMMAVLGYVAGVVSDSLIRNGTSITLTRKIMQSIGFLGPGIALLGLNAAKSPIVASAWLTIAVGLKSFGHSGFLVNLQEIAPQYAGVLHGMSNTAGTFAAILGTVGAGFFVDRMGSFRGFLILTSLLYFSSALFWDIFATGERVDFDSTG >Et_8A_057257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22935382:22937782:1 gene:Et_8A_057257 transcript:Et_8A_057257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRGRRHGLFGFGDPFAGFGGFGRPGSLMPSFFGGPNPFDDPFFTNPFGTMMQPSLFGPNLNGGMTNSSGFIQQAPEPSRPKGPIIKELSSDDEDVASGGKEEDKNEGNLRKHPRTSKGPYVEDPDDEAEDNKRSKHGHIGREFRRASTSHPQPQTFMFQSSTVTYGGPNGACYTASTTRTGGDGITLEEHKEADTTSGKATHQISRGIGNKGHSLTRKLNSDGHVNTMQTLHNLNEDELAGFEGAWQRNTGGNFPGWDPRINMLGSGTAPSRINMLGSGSARRDIRDANPMSALPAPDQSRGSNSLRNPRNGSSKGRSRRA >Et_7A_051373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17618995:17621208:1 gene:Et_7A_051373 transcript:Et_7A_051373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHFFPAGDFPSGLGAAPFFNSGPYAPAPPQLDVAACAAAMAPFGMVLPPWAAELHLPHADSSAAGHFDSALSSLVSSPAHASGAGDDAAAIGDLIGRLGSICNNSTVASASNSCYSTPLSSPPRGGGASSPAATAAAMAFRGYHPGVQAPPPLETASSKSLGAAAAETAETTSTKAAAAGEAATPAKGAARKRKGKASSSATTAAAANGSPKRPKVAGDDKCGEDAAAGDATTEEKKKPEPEKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQSLVPGCNKVRNITGKALMLDEIINYVQSLQRQVEFLSMKLATMNPELEEVDAPQYLPSDKDVSPLSVRLKLCSSRSFQYQSNPC >Et_9B_065180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21853115:21859130:-1 gene:Et_9B_065180 transcript:Et_9B_065180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSLTETEAGISCFASSLPGFRGVLKHRYSDFIVNEVARDGALVRLSSFDLPTECVDVTEAEKAAPSADADHTQALESFRVLCGDADCDALKGILERMSAGGDSDLSPVILSPDADKAHRSEVHNFFKKNFKFLVTDTVEHSDGVKKCIRVRLGSGAGGGRGGGGTGRKRKNMGGSGWRDDSPFDSRGSSNWSNDVGKFLRFHLYKENKDTQEALGVIGKMLGLQSRSFGFAGTKDKRAVTTQQVTVFKVQANKLAALNNRLFGIKVGNFCYVKEGLVLGQLMGNRFTITLRGVVAESEDIIKTAVDGLGKNGFINYYGLQRFGSGSVPTHLIGAALLRGEWKSARDDINEVRKHYKEHGDIDKALRNFPRHLVAERAILQCLKKCPGNYLQALKGIPRTLRLMYVHSYQSYLWNHAASMRVEMYGISKVVEGDLVYDKECPPGEATSLDDTSEADDGHTNSSEVDLCSVSQPEETIQSVKTVNSEDLLKGTYTFDDVVLPLPGSQALFPGNEVAEIYHDLARKDGISLTENAHGVKELLYYTDDSSSLAETDLDILSRTKPKEANELVSSGVSGHQSEDKLEKPSDTSVPANGSSFTENKPISNLDTVPRKLAIKLAFTLPASSYATMAIRELLKTSTSVAYQKTLNC >Et_2B_019587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10476470:10476986:1 gene:Et_2B_019587 transcript:Et_2B_019587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NLEHPVDLQQDFRLYFMARDQNRVSFTVKSAYIMAKCEKVRMKTSAVGKCETANQDQTAKVGKSCGYCGDFHLWVEKRTYIILFIGCHCFAEIWKELKKICGFKVNQNWSGSARNWLFDILFSIFGKLEMQFVMGKLKYIRGVWWKW >Et_3B_031038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11180567:11183840:-1 gene:Et_3B_031038 transcript:Et_3B_031038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRIMEGRLEEKDAKGYGNDLLGLMLEARALEQEGHQMLSTTQDRRSWTSARPSSRGRTLTSHLLTWTMFLLSRYPEWQEKLREEVIRECGDAVPSPDMVSKLKQVNIVLLESLRLASTVPVEFIRRAAGSDISSSVAYACQREQCYPYRAIALLHRDKDVWGHDADQFNPARFEHGVSKATERPAEPRACIGQNFAMLEARIGLAMILQRFTFALSQYVHAPKEAITLMPRT >Et_3B_031637.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6658951:6659661:1 gene:Et_3B_031637 transcript:Et_3B_031637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLERVRAALRKRRREISAERWRELARTVPGTLLRVATSESAFTDVESTAERLRDYTIADLLKDCTAAGEGNRRSRPPPIQIAESSCRELERLAVLHDAAGHVSAHFAKQFGLTTERGNDGDGDEAEARWQAWGEHRAEASRHAAKALRRLRSAMANLDAAARVTCVVSGSTVRSPLGWGLEAHRLLRHTGREAAEALEAVGRMRHAVVLEFFDAWMLLSRLEARGGAQAGEQPA >Et_10A_002050.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20455109:20456422:-1 gene:Et_10A_002050 transcript:Et_10A_002050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGGAMHHHLMCPISLQPMQDPVTAPTGISYDRRAIERWLAAGHATCPVTGQPLALADLTPNHTLRRLIQSWGPSDRSTPSSTPEREQQPIDAAAADVVGKLLSWSSRDSPPPVDVLRAAADIASERDETRRYMVDAGVLPHALRLLVSCAKKRSCSGGSDADHGRSLVDVPAVEACLALVRALDVAGDELRPLVADGHDLVDALTDVLVALDPGSSGSGAGYAARASAVQLLESVMEVAAAPVLARLRPELFRSVTAVARDGVSPSATRSALRALLLACPACRNRALIVDAGAAHEAIELDLAAYSSSGAGGGSRRVTELTMALLAELCACADGRAAVAAHPAGVAVVARRLLRVSAAADECAVRVLAAVGGRAASPEVLREMARVGAVGKLCCVLQADCDAAVKEAARAVLRLHSGVWTGSPCVSAYLLSRYL >Et_3B_029113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22168509:22171642:-1 gene:Et_3B_029113 transcript:Et_3B_029113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPHPPPPPPPPPAPPPLTAYRLPLPPTHPPPTSLPLAPPSAPTRALALLFPASSAHLFPSLPNPAVASSLPAPTAVPAPLAAAACFALLLPSSHLLFLSAHPSPASPAVHLRAYALGSSPALARFAPAPLSFKRHVSASGLPLTGLPFGLGVRLAGGVNVVALLSLAARQIWLLGPRLAADARTVELHKCAVVELEPARPVYSMEVAMGRLLLGEAGGVNVFPLRGLMKGGKEKEGKKEGNVAAGKKSSHKKNGIMNGMAVPIRRGGFVGGGERDAASTCKLTTLRVKQSSGSYCSFSLTVRNDDHTMQGGMELRKPVQAVSIHPFSKNKCLVLDSSGVLHVISLPNTEMGSGAGNKQYSENIHIYHLDYPMKVQLSAVFPSGSTRTQIFWVSDGGHSVHIMSALDVDSADSNNGDGAGDRELTTMKLSAMEAIFTSEKVQDIVPISKDSVLILGQALNGILTKDR >Et_7B_055822.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5408901:5410733:1 gene:Et_7B_055822 transcript:Et_7B_055822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCYSDWSALPPLHPSRRTPPQSHSSLSTIKRQLASFVLHCSRSCASPLLEPKNLPNEFQAVPESVSPLRDAVPKLPDAAPKLGISNQFIRGLCSDRQTEQLAFECYRRALLQPEFRPEKKTANALTVQLLRAKQWDSLELLVEDFRTYGVLPEKRTCARLVASCIKARKFGLADAVLGVLEVKKGAPAVMAFGSAMLAYNKLHMYQRTVLVYGQARVARLALNADAYRAVMEACGALGEPDMATSLFKLYRSQKWYPSDSCVEAYAIVSYALAKAGRALDALQYLREMEADGLSPNASVYSSAIGALADARETAAAKEVYREAWENKMLGDPDMFLKMVIMHVEAGAVEETIEVAKDMRQIGLRVTDCIVSTIINGFVKRKGLKPAIRAYDKLIFVGCEPGQVTYASVVNVYCRLGRSDRAESVFSEMIDRGFDKCVVAYGNMISMYGKIRRASEAMRLLALMKQKGCEPNVLVYNSLLDMHGRLGNAKQAEKIWNEMKRRKVQPDRISYTAIIYANNRAGEPDRCMELYQEFRETGGKVDKTMAGLMVGVFSKCSRFNELIELLKDMNGTKLDRRLYMTVLRSLRDAGLEVHVKWLQSNFTFMEEKT >Et_1B_010098.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2419316:2419513:-1 gene:Et_1B_010098 transcript:Et_1B_010098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEALGGTGLHLRLLRCGLQHHPTRWGLLRAEHNYGACLLRLQQLFPSERVRCDLRFRRRDIPH >Et_8B_059526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18883434:18902385:1 gene:Et_8B_059526 transcript:Et_8B_059526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCGDGLKAGTPLGPLNAADHMTIVLVTLVFFATLFATGDACDKGPTRSREDACLKLVPYPELCQDALKNAPDTAELTAYALIVTRLAPLKYDATMATFEQMMTNGTIVPSDVKAAINLCKVKYGEARDLMVSAADHLSRCDLTRTKQECRDAQAALEPCDSKLWVIRGAFWQLYNIASDSLKIPSMIWNDACLNSCNFSQAWTSLCEDKLKNAPPTAEVTVFALAAARKAKLMYESTMGTLDQMLGAGNAPADLRKALDKCKVKYGEAHGLVASIANQLFFCDFSRARQEYLDAQVAVQLCHDALSSFQRLPLYSMVSADYDMTMVAYELGALIVGNFSQAWTTLCENTLSSASSPATAEVTVFALAAVAKAKLMYESTIGTVMDPMLGAGNAPADLKAAVDNCKVKYREAHGLMESIANQLFFCDFSQARQEYLDAQVAVQSCRDGLSAFQSLPLYATVLADYDMTMVTYELGALIVGKAAMTFVRALFVSLAALFLAGDACNNVPTMTWTEACHKACDKQPWYNVCPETLKSAPDTAEVTVYALVAARIAKLKYEDTMAAIDQTLADGTIPAGEKAEVENCKVKYGVARGLMVSVVDQLFACDFSRAKQEYIDAQVAVQSCQNGMSSFKGLPLYAMVSADYDLTMVASELGELIVGKKSASITMVLVMLFSLSTLFIVEGLCDWVPIMTWKDACLKSCTTPALYNLCQQTLQIAPNTGQVTFYAFVAAKLAKTSYQTTTAAAKKLLADASVPADDRAAYKYCVDQYAVAGERMDAVVDDMYNYNCKFVGTIREYVDSDSAVLKCTDALSTLKDSPLVKMNAADHDATAVAQGLGSLVVGTSMKREAMNMAIAMLAVFLTALFIAGDACNLVPKLKYSDACLKACDTAAQYNLCTEKLQRGPEAAEVTVYAILLAKLAKMSYDDTVALAVRMISGGRLPGDERAAYQHCIDSYATARTEIVGVATDLTNCDFARTRREYYYAVDAMNSCVTGLKPTTPLAAKSAADRDLTVVAYDLGALIVGNTPSLYNLCGVTLLHATDGRYGGRHRRHCEFRNTVQEYRDTLSALHSCREALMDFPGSPLEANVTADLDVTAVAYGLGKLVLGR >Et_3B_030006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29855666:29858947:1 gene:Et_3B_030006 transcript:Et_3B_030006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAAFYDSESGRGKWIPGKASHRRARAIMLLMYHIIEFRTQKLLLRTAEKTNRGELLRFFASAMEKKYACPPPSKGNLITVLSIDGGGVKGIIPATFVAFLESKLQELDGSEAHIADYFDVIAGTSTGGLIAAMLAAPLFKNMKEPFYDAEDIRPFYLRHCPRIFPHRSGFFGWFFKILDIIKMTTGPKYDGTYLHKIINNFLGNTRLTETLTNVVIPTFDVKRLKPTIFSTFEARSNTLMDARLADVCIGTSAAPTLLPAHYFKTVDHNTGASRSFNIIDGGIVANNPTLVALSEITKQIGLKNKEFPETKPLDYYRYLVISLGTGLPEQDIKFDACHVANWGIFGWLGGEKTVPLLNMFLHASSDMTDAYAANLFKAIGCSDQLLRVQDHGIPIEAMSPDLSTEENLKRLVEIGEKLLHKPLSKKERMSNYIEPEPKDGGTITYADLLTRFAKILSDERKLRLRILELDAGHSSTHEA >Et_1B_013673.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11873753:11875705:-1 gene:Et_1B_013673 transcript:Et_1B_013673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPATAAAAAIAAGSTAAAPKARCVAPPRQVAASAGGARRAHPAASVRCSAVSPAAPTQAPPATPLRPWGPTEPRKGADILVEALERCGVSDVFAYPGGASMEIHQALTRSPTITNHLFRHEQGEAFAASGYARSSGRVGVCVATSGPGATNLVSALADALLDSVPMVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVDDIPRVVQEAFFLASSGRPGPVLVDIPKDIQQQMAVPTWDTPMSLPGYIARLPKPPATELLEQVLRLVGESRRPVLYVGGGCAASGEELRRFVELTGVPVTTTLMGLGNFPSDDPLSLRMLGMHGTVYANYAVDKADLLLAFGVRFDDRVTGKIEAFASRSKIVHIDIDPAEIGKNKQPHVSICADVKLALQGMNALLEGSTPKKSFDFGTWRSELDQQKKEFPLGYKTFDEEIQPQYAIQVLDELTKGEAIIATGVGQHQMWAAQYYSYKRPRQWLSSAGLGAMGFGLPAAAGAAVANPGVTVFDIDGDGSFLMNIQELAMIRIENLPVKALVLNNQHLGMVVQWEDRFYKANRAHTYLGNPENESEIYPDFVTIAKGFNIPAVRVTKKSDVPAAIKKMLETPGPYLLDVIVPHQEHVLPMIPSGGAFKDTIVEGDGRTVY >Et_5B_043585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12112564:12119647:1 gene:Et_5B_043585 transcript:Et_5B_043585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHFRWTAPPLDPVGTVASGSSDHFHNRNECLPASKIDFQIRKRRRGFMLQAVKKQIPKRKGRASQSMLLRTLYADLCGPRLHLGMGVGKTSYQKKLMIMPSEDWSTLYGGETR >Et_1A_007662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3752044:3756079:-1 gene:Et_1A_007662 transcript:Et_1A_007662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKLRRKGDASAAPPPKAAAPGSATPGKFKRRLVKADPEKLKAAAVESAAIGEASASASAPKSVEASAPAIATIPNGKATKSNRGDSSKNEKMKGREEEKAKGEDSKTNRKEMMKEREEPRRNWKEKSSRTAGKEKAEEEEDEKSSTGFIFMCNARTKPECYRNGVFGLPKGKMDVVEKIRPGAKLFLYDFDLKLMYGVYKATTRGGLDLVRQAFDGKFPAQVKFSVDIDCLPIPESSFKHAIKENYSSKGRFTQELSSKQVHRLLALFKPIGLSQQPPQHIEEIHRPRIVEDRRVYEEKRPSYHAEERGVKVHASLLEDHYKITPSLRPPLPNEPRHSLVLDPHQTPIGLELRHAPVALGSHHVPLTRELQQVPHMYYHQVAPNSSYHQTYLDTLRERTAAGASVSDPLLSRDYGSLSGDLAARTERLDELYRSYKLSTRTMDIRQDPSSVTTSYGSPRSIYSESVQRPVSTRVSGPSVPVSTRYSFAGPPSY >Et_3B_030866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8081399:8085299:-1 gene:Et_3B_030866 transcript:Et_3B_030866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSGEGEGQDHDRRLLASSLTARYSDWVLEALDELPGSFLLTDPALAGHPIVYASRGLAALTGYARREVLGRNARVFQGAATDRAAVSGVREAVRAQRAHQVAILNYRRDGSPHWVLLHLAPVFHAHDGRVLHFLAVQVPIAPAARRGTQRRAAAAASAVLATGCREEARVEDDFPCASHAGKVFVDMDKRGLEAEEPRVASDCEKEKAMNTANSILSALNRYSKLTGLVVCGKRCDSFGIPALSSSLRLSLGRIKQSFVLTDTHLPDTPIIYASEAFLSLTGYSREEVLGCNCRFLNGPGTSLEVLEEINQHICSEKACTVDLLSYRKDGSSFRDLLHVSPIRDASGKVAFHIWVHLVVGTKHDFNGLTPEVWQLGAVGAVRVAIRGLSASGTLAVAV >Et_5B_043626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13084482:13086885:-1 gene:Et_5B_043626 transcript:Et_5B_043626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPKSGGGKGRGRGRGRGPRIPAAVLRKQQAVAPSVDQVTGAKIPKSFVFSRGKIPSTLRHLQQDLRKVMLPYTALNLKEKKRNNLKDFLNVSGPLGVTHFMILSNPKSLPHLRMAKTPQGPTYTFQIEAYALAADIANSQKRPRCPPGVFKNSPLVVLSGFTGHGEPFKSLIQYFQYMVPAIDPNTVKLATCQRVLLLKYDKEKGVIDFRHYSIKLQPVGVSRRIRKLMQNNQVPDLRDLKDVSDYVTKAGYGSESEVDDEAATVSLVSDVDKLNRASRKSAVRLQEIGPRMTMRLVKVESGLCSGDVLYPEPVKGKQTDEETEEEADESADGSEDELEDGSEDEVEDEE >Et_2B_022011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:790577:791546:-1 gene:Et_2B_022011 transcript:Et_2B_022011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRRFVYLATDNAKSGTCLLHRIDMKRLFLPKRQRDRLADPPPLEDRQLPGAPMRFGPPVGDIGMHFFLLGGSRDEKAVVTDRAGNGLVYDTALRSLRPLNGMTRPKFAPVAVTVGKSLYIMDTVFTHSVGRDGCFDGVIYNDQGFARRRRTRARFATTSTATPPTSSPTRWSPAARSGSPRTRPTRWARTPTTWRPNRGPRPATGRALPFHDLGLWFGISNPYGEDTVMCAADLAATTPHVVWEDPAPPGWISVSSHLVHLGRSMFCHARSGVGCLDFVVFTGLVVERRGEGGGELVVVKHRSVRYNVSYSCMKWVL >Et_8B_060142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6301326:6303752:-1 gene:Et_8B_060142 transcript:Et_8B_060142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWGLGGEFSSLGGERFLCSAGALPRPIARSASVHEHLGRHRAPRQYYDEPRGFRDDPPPPLVRARSISPRRLEEELSSRRGEMRRIREDNQRLADEIVTLRQAKPRLKEDLHVASQAIPKLRAEKALELRELTQRNLKLEAELRTLEPLRQDALHLRSEAVFEARVICKGSGSIKRARDQKSESHKIPDMIAERDALRQELIQTRATLEYEKKAKPELTAQVQAMEKDLVAMAQEAEKLRADIAKRRTPSFSSQGPYGSSLSTPGMGLQGIYDGGYPSVGSRYGTGPWGSHDPHGYPR >Et_1A_008293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6034836:6037053:1 gene:Et_1A_008293 transcript:Et_1A_008293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDRFPAWEAALLAGVAAVFAAGLVGVYVSMPPSDYSFLKLPRNLQELQVLTDHLEDYSSDYTLQVLVGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLGGLALVVFAATAGASSCYFLSKLVGKPLVFSLWPDKLSFFQKQVAKRKEKLLNYMLFLRVTPTLPNTFINFASPIVDVPYHIFFLATAIGLIPAAYVTVRAGIALSDLRSLNDLYDPQSIALLFLIGIVSVTPTLMGKNEPQAKASDMAASAN >Et_3B_028618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17366482:17369814:-1 gene:Et_3B_028618 transcript:Et_3B_028618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVIDLKGLTGDESERSRTMAQLHEACKDWGFFWVENHGVDATMMEEVKRFVHGHYEEHLESKFYASDLAKNLQHAGGESYADQVDWEAAYSIQHLPRSNISDFPEISPETRELLDTYIAQTVSLAELLAECMSLNLGLDGGHIRDTFAPPSVGTKFAMYPACPRPELVWGLRAHTDAGGIIIMLQDEAVGGLDFLKNDTAPAAWVPVQPNPGSRIFVDIGDQIEVISGGAYKSAVHRVAVGTEGRRLSMATFYNPGADAVVAPARDAPAFRGPTGGGTTSSNISAPSSGTRPPGSRRSRQCSVNLISMLKDREDGSLFARGNPNFLPSLYYIFQCVLVSVVLCVASCAVIFPKSKHDTYHFYGYAPLNKLSLMLVVILQSSPACPLSSRQARAAPPRVLSSSERLLGSRTSGAAPVSSAAGWSGRSEWYRCASLPYDAPASLLDRGSVAGSPCGAAAGTRSLRPPARGEAVVTLLGGVRSHDDSGVVSYERSTSSTSWVDESHRIAFSRYSSLAGAVLLSRSPWP >Et_2B_021909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6830087:6834806:1 gene:Et_2B_021909 transcript:Et_2B_021909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDTTEAMILRADAHPSKYRGYTPEKHFLKYNDCVHWCMPGAIDAWSNMLLYMKNYSNRCFIPVISLLLLVAIIAVSNTYYAFPNQNIPWLRSSSSKRSGQACDIFRGEWVPDPDAPYYTNETCSVIHEHYDCMRFGKPDLGFVNRRWRPPTAATCPASIRRASSP >Et_8A_056543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1215180:1217967:-1 gene:Et_8A_056543 transcript:Et_8A_056543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATKRKRTDEGTECLITNQNASAGKEAICSYTSGEAGFRNLSKCGESSSIELDTRVASVLSQVVVSLASFVGDARLFACTGIFINCHPARILTSASLVRKSDDENNIDDNLWIQVSLRNKRRVRGTLKHYNLRYNVAVIDIMGFCSPCAIELEKQISVAPNTEVIALGCRFNERKLMATKGVLNDKQSKLHCKELKISTCKITKAGIGGPLIDGDGNFVGMNFYDDEETPYLPRKIIQKVLKSFDAKGYVLSAYYFVMCKGFLAFYLILPFLIYG >Et_3A_024248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18957841:18959379:-1 gene:Et_3A_024248 transcript:Et_3A_024248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KKEQEEALEKERSSQKAIAYQSVLDKITEYDPKLGCEYITRFYMLDLSVFDLDEESPLGPMRHIKTQTEKSTDVGFPLYVYGTVIARDSLNWKCLYLFNRGRDTCQLINSKVCRTDPQYQLRLQLQHSHLPKAEISVTTRRSVDFDWPKTRVH >Et_10B_002627.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19087046:19087498:1 gene:Et_10B_002627 transcript:Et_10B_002627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PSGPAESAPAKQARSRRPHHRRRHQSGPAGGDGRQADGVRRLHQGLQRRRQAQRHAMRPRLPSRLHRPLAPPQRRLPALPHTASSSSSPAAPGGRGLGPNCSGVRRRRIPGSLPPISWFWESYNKEESCLVPVSVTLMLNTALQVSQIIM >Et_5A_040701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11316845:11318487:1 gene:Et_5A_040701 transcript:Et_5A_040701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGSAASPMSFSSSCSAASDAPVMPRALASSHRWRGLWAELRELSFTSVRLDALGTALSRLRPKLHRLNITVWLPVEFRGRQIYWWSDISVPLEFPSFRSTASIHLIIPDPGFTVKILTPAGELASLEQLTLKFCSWPADLGFLLSRCPRLRKLTLDLHITNDNTVAIESKLEDLRFSMQSRTAAADVVIVAPQLKHFIGEFNIDIEFTVSRSAPKLEDFHLRYNFDHPRVGFGDNWALHSLTMESEWSNRHPAVRAHVLTLRIHPHQTPLTSQQSITEEVARLPVKSFSVLRLQLTGGHVYGGFVLQLLQMRTSVRKLKLVLWAKVPSERMSLRCSPKCYNCKCSENCDCDQDASWRNEQISLLHLEDVEIQGFSAADHEVDFIELLFRSAPMLKRMNIQMSDEVSRNKGGQLRLYSIFESNASVKCSARDLPYYEEVSD >Et_2A_015301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10716951:10721846:-1 gene:Et_2A_015301 transcript:Et_2A_015301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLDAFASKLVDILAGMVKDEVEMLLGVPGEITKLEKTLGDLSSILGDAERRRIRDSTTERWVSELKDVMYDADDILDLCQMMEDEQDLSASTSAPRTTPRCWKMPSMLFCFRNPVAAHEIGKKIQALNQRLLDLERRGSRFGFITQAINSSAPSTNQGANSLLDSDRKTGSGIIRSDVVGEKIEQDTKKIVDVLIKKADDHEGLNDNNVVVAAAITGTGGIGKTTLARMVFNDKKVVQSFQKRIWLSVNKEVKPIDVLQNIITDLDSSYNVSGGDRTRLEKALKEVVQTKKFLLLMDDVWSESETVWSDILRVPLSDGAPGSRVLVTTRNVQVARKMKAKVIHPVNTLGGEDAWILLKKQVILDESDERDIDRLKDIGMMIVGKCDGLPLAIKAVGGLLLNKSSTRDAWEDVCNHYTWSIARINEDINRAIYLSYEELPSHLKQCFLYCSLFPKDQMITIDNATQLWMAEGYLQDKTSPRQPEYLGSDYYKELISRNLLNPDEKYYDEQACNMHDVVRSFAQHITKGGVLVSEEQNVNDTLSTLKLRHLSISNKEVDWSSLQKHVALRSLISCGSNRVPIEDFLTNFSCLSKNVQLKDLLSNLSCLRILYLNKVDLYEVPDSICQQRHLRFLRVSYTNILRIPEDIGSLKFLQAIDLHHCQFIYKLPDSILKLQKLRFLNILGTLITSVPRGFRKLEDLVVMKGFPTCSDDSIDGWCTLEELGPLSKLKYLLITFLEKASSGSLAARSMLSSKHHLTMLVLSFRLLLKENYEVETNIREEEHERIEEVLANLCPPTCIEILDIKGYFARGLPQWMRNMPAFESLRRLVLEEYLCCPQLPNGLGQLHFLDFLQIKRAPSVHCIGHELLAPCPEGEGDDMGEAPAGQLTGRRQPHRISCGAGVAFPKLKNLRFEDMPGWGEWDWEDQVRGMPVLDCLVIVNCKLQCLPPGLANRARQLTLLHLRKRTFLLLFELRLFDNPKLERISNFPHLQKIVIGTCPAVKTLEDLPTLLSMEWKDLDAEVLPENLRGLNLKKLRLDCSLSLLELISLQDETSEWGKIKYVQELEAYGWKWEENWVNRYVYCTKKPYSFETDLGKRAGFLWRQPSRDCAVAAAAAELAAITTSCHFRRLALHR >Et_9A_061319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:152456:153209:-1 gene:Et_9A_061319 transcript:Et_9A_061319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTLLRSSFSMPAAAAARRSSAASLGFATSQLAGLSLGLSVSAAGPLLPKHQQQLQPIVARRICPFTDKKTNRANKVSFSNHKTKKQQFVNLQYKKLWWEAGKRFVKLRLSTKALKTIEKHGLDAVARKAGIDLNKK >Et_5B_044675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4549328:4550699:1 gene:Et_5B_044675 transcript:Et_5B_044675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAAAFLVAASGAAARPLVGAGGEEDRAPLQTSRPFNIAHRGSNGELPEETAAAYARAIDEGADFIEADVEATKDGHLVCFHDTTLDDVTDVADHPEFAGRRRTLEVQWANVTGYFITDFTLAELKTLRAKQRWEFRDKSHDGVSPIITFDEFIDIALNAKRVVGIYPEMKNPVFMNKHVKWADGKKYEDKFMATLKKYGYGGKYMSPAWKAKPVFIQSFAPTSLVRAAELTDSPLVFLVDDVTVRTEDTNQSYDEITSGEHLDYMKKYVVGIGPWKDTVVPPTKDNQLATPTDLVAMAHARGLQVHPYTYRNENKFLHFNFRQDPYAEYEYWLNDVGVDGLFTDFPASLHRFQEWTAKKRD >Et_5B_043642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13383574:13386923:-1 gene:Et_5B_043642 transcript:Et_5B_043642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGGGSRRSRPNVLMTGTPGTGKTTTCSLLADSAGLRHVNIGDLVREKNLHDGWDEELQCHVINEDLVCDELEDMMEEGGILVDYHGCDFFPERWFDLVVVLQTDNSILHDRLTSRGYMGAKLTNNIECEIFQVLLEEARESYKEEIVMPLRSDNVEDISRNVGTLTEWINNWRPSL >Et_6B_048235.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:14475855:14476190:-1 gene:Et_6B_048235 transcript:Et_6B_048235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFDVVNYSTLVVTTGRPIRLPAAALARRRIDSSTPVATTSWTDDGVGGVVVPRRRWLIGPGSSPPTCRARCGRCSPCRPARVAIQPGVGPQWEYYPEVWRCKCGNKLFMP >Et_1A_006205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19136470:19139409:1 gene:Et_1A_006205 transcript:Et_1A_006205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTSWTAAIFGGLGCFTSAHGDLSGGSRSNPTGPPAPAPAPLPLPSPEDLSLSLAGLSDVTVFTVAELRTATRDFSMTNFVGEGGFGPVYKGRVDERVRPGLRQPQAVAVKLLDLEGTQGHKEWLAEVVFLGQLRHPHLVKLIGYCYEDEHRLLVYEFMARGSLEKHLFKKYSASLPWSVRLKIAIGAAKGLAFLHEAAKPVIYRDFKTSNILLDSDYTAKLSDFGLAKDGPGENETHVSTRVMGTQGYAAPEYIMTGHLTTKSDVYSFGVVLLELLTGRKAVDKNRPPREQNLVDWARPCLRDSRRLSRVMDKRLNGQCSTRAAQKAAAIAYQCLSASPKSRPQMSAVVEALESLLVLDDSVVEPFVYTVPPENK >Et_5A_042118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6438155:6438639:-1 gene:Et_5A_042118 transcript:Et_5A_042118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLQGQVVIKAELITLKVLDQHDRRVFHTMRTTDKLQALMDKYYAKAPDVSYGTGTFLFDGSIRVVGWKTPADIELNDEDEIDFFEYSDGGGRGDDVDA >Et_9A_063171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13632007:13632708:-1 gene:Et_9A_063171 transcript:Et_9A_063171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRAATVAAPVFPFPAATDHFSDYGFDPQLVHFLSQVESHRIHVDFAPQFSYPETKRPSTRRRDHHHNQQPPPLESSRFRLEKPISKKHHHHKQQAQQQRRRWWSSAASAALLLFKRPSSSNPNPTAGAASATAPAASQLYFADDDDDEGCGNDATAACACWAPAMRSGHLAAAELGAASVPYVTLREANLGRGAAGAGGASPAMPIYLVT >Et_3B_030899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8562497:8566565:1 gene:Et_3B_030899 transcript:Et_3B_030899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGSGGASAPVCNFVRKPPKNIRKRPAAPAGSDDEDDGGVAIAALRSKKGPSAPGKLVFSSTDGSAEPRKFQYESSRTIQASTDSRATATLETETEFDRDARAIRERQLKQAEESLKKNPSAAAASGSGEVYKGIHGYTDYKAGFRREHTVSSEKAGGSHGPLRASAHIRLTARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQLEKEWEEAEKARKRRIAMRGGDGSDDEAAEDDSDDDEEALPFACFICREPFVDPVVTKCKHYFCEHCALKHHSKNKKCFVCNKPTLGIFNAAQEIRKKMAEDKKKQQD >Et_4B_039696.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26945645:26946371:1 gene:Et_4B_039696 transcript:Et_4B_039696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATLRVQDIWSSPSYRDSCLPRTMPTFPVISLHEANVVHFVVNDDEPGYYVKAWAVTVDMEKKSLERHELYWNPVKGSGIDITNVFLDHPLVAARLSRNLSLGVSEENSIASGATKHI >Et_2A_017060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30607462:30611525:1 gene:Et_2A_017060 transcript:Et_2A_017060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPNSRLLLLPVLLVATALWGPPPSAEATCRAWLVQSIPTDMPHLRRVPGVLSTGDVLQWLSGNATKNLDILAQYWQFLAQPKNPKSGEYGFSESDMKRFGADEGLRVYKAIVQHSGFAPDFDQESANLAAGRPNVKNVTLLFDDWWGSGIVHAKVWISDKKDVYIGSANNDWKSLTQVKELGIYFTDCPQVAKIVEVYFQNLWTLSTLNSTTYTKVAWDKQWQVSRKVPCWSHFLQPRERCRSPIPPSVDVPYVDGYPTLANPEMIDVSFETPGCNKSSQEHNLSYLSFAPPEVSFGKFQTDEQGWVDTIKSVEIGGTVRISTMDWLGQSQYATSTVFWPSLSSAISEIVFSKNATVRLLVAYWTHFIPSTEKYLKSLLYSNVLCTSSKYNNCGGKVEVKYYMVPGYNETGPALSHGTPTGNRYPDFSRVNHGKYVVSDMRANIGTSNLIWDYFYTTAGVSFGTYNPSIVSQLQDVFDADWFSPYTVPVEPLEAS >Et_8B_060000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4946261:4948536:-1 gene:Et_8B_060000 transcript:Et_8B_060000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGSHSLLSPASPISTAFVPRHRSDAVGAGACRPSKVRTQVRCCSKEEGAKECADKGKVEKHTPSRRKCIACLCAVTLISASGPTICTPNGLAADMSKPGIQKAVCRNCNGSGAVICDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPEAKELLDKMYNGKILPNS >Et_6A_047846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20529374:20532154:1 gene:Et_6A_047846 transcript:Et_6A_047846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFEDLDVPSHKTKNIVQYISQMDDTKKVLLVDGGDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMSRAAINRIVERMHTPINR >Et_2B_022812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5764328:5767808:-1 gene:Et_2B_022812 transcript:Et_2B_022812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPERDLHMIPGDGETSYAKNSRSQKKAMLMALPVLEKAATQVYSTVLPKTMVVADLGCSLGENTLLFVSKVINAISAQRHKLGRHDPLELQFFLNDLPGNDFNQLFQSLEQLKKLTTRNHDGETPPYYISGLPGSFYTRIFPSQTVHLFHSSCCMHWLSQFYRDFSLFLKLRYEELVSGGQMNRYILLLLRDLWRRKSSTHSMYRYISRQFDEVKAIVKQSELFDLDHAQLWQTNWDPFDDSEGEAVNDSVQSGVNVAKYTRAAFEPLIASHFGEAILDALFTEYARRIGKHLEREKAKHVAIIMSLKKRA >Et_9A_061413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11237158:11243430:1 gene:Et_9A_061413 transcript:Et_9A_061413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ICIDCGKVLDDTNYAEELQFVLGPDGRVGFPTLLCNIYFCHFYYQFIMTTSFGALQARMEGKTIESIESGYTISRERTEEKGKCEIEQLVQNLHVSGGGTIVDMAHRYYKLALDHGFTKGRRTTHVAAACLYIACRQSDKPYLLIDFSEYLQISVYALGAVFLQLCQVLLLSDHPVFQKLIDPTLFIKRFTECLGVERNKAKAVSNTAIQITGRKPSGICGAALYIAALSHGLDYTKADVVAVVHVCEATLTKRLIEFENTDSGGLTIEELEKADDFSKVPVAKHSPNSGEILCKHKDKGAEYFAHGLCEECYDEFTRLSGGLEGGADPPAFQRAERKRFDTSKVEEASAIKEAALGESFCHKPDSDIENTIRTPRKVEGYLHNEEEAQYKKAIWEEINKEYLEEQAQKEALAAELAARGVVVEDVNRKKRRRNNDAKGSTPEETPAEAAHNELKRKGLASKINVEALDKLF >Et_2B_020323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1891693:1896403:-1 gene:Et_2B_020323 transcript:Et_2B_020323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHRLPPAAPAGDPYYVYAPPPLHPDPKRQGVLTLFVAGLPDDVKPREIHNLFSHRPDFDHCLLEYTGRGNQAVAFVSFFTHQAALSAMAALNGTVFDPETGDRLHIELAKSTSRRPRGGSDVYRVIDKRVNRTEGNDDHVNVGDEGDREVWEEDDVGSDDNGDGGSDEPSGTENEISSNKNELPADHRSARTSHYTLLEMMSILNIDFTGEPEKSSGDIPPCSTLFIANLGHACTEEELKEVLSRQPGFHVLKMRRHGGMPVAFADFTDIESSTAALNNLQGTVLSSSDSEASRTDFEGRAKLPEVRKIKDEEKLAERSCWIARVGMWSPTMGDERYPSKRPSVPLLFSGSPAPESSAGIGAM >Et_1B_013921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23952388:23958055:-1 gene:Et_1B_013921 transcript:Et_1B_013921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTTTTTSKSFATRALHFHRAVQRNIRGDGGASPTAALPSTLTARRIGKNALVVNVDAMLLKPSPSAAFAPYFLVAVEAGGYARGLALLALYPVMLLLPRDARVRAMAAVAFCGLPRDAAARVGRAVLPKHFFRDAADMGALESVGALPRDVKVAAVSRAFPTVMVEAFLKEYVGFDAVVGAELSGGRRYFSGAMEEYGESSDTEASLLAHALLTQQTGKNRSSGTFPKPMVFHDGRLAFTPTPSAALVMYAYFPFAVALAVVRIAISVLLPWRASRVIYALAGAKVRVVGAAPSAAADGGGRLYACNHRTPLDPVIVAIALGRPVAAVTYSLGRLLEVLSPIRLRRLTRDREEDRRRMGSMLARGDIVVCPEGTTCREPYLLRFSPLFAEIAAEVTPVAVDARTSMFYATSTSPIAKSFDLVYFLMNPRPEYRVQFLEPVNTKRGKSSIEVANEVQRVIANALGFQETALTRKEKYLLLNGNEGFVKGTRVHCTTKDVEIGDVTRILKRRLDTSAMGTGAGFRSYEQREGDTEDAFTKSLK >Et_6B_049556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6576084:6578248:1 gene:Et_6B_049556 transcript:Et_6B_049556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILLLFPLIECKSKRPRTSRRTTVTRRGNSKNALPSFYHNLPQKSSRNASSRRNKDNQDKLDTDIFESYLEDLWKRIDEDKKSSYAYFDSLWFNMYYRGHNKPNVLKWIKAKRIFSRQYVFVPMVCSGHWRLLVLCHFDETDCSETKKGPRMIVLDSLNTRDPALQSVIRKFIFDIYKTEEREESKQFINKIRLEFPKVPQQNGDECGIYVLYFIQCFLQNENLAEVLGNKRLEEDFSQLFEDGWFNPEELENFRKDIHSFQEYELKQHGLRCLSRHY >Et_1A_005841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14566245:14570050:-1 gene:Et_1A_005841 transcript:Et_1A_005841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMFAALVYGPDFAAMDENMRELTCDTLPKPTVATAAPLSNAVAARPPSDGVDRISHLPVEILRNIASRLPAKDAARTTALAKRWRRVWPLAPLVLVDAHLLSASRLGPPSRTFGWGSLDAIWRAIEDGAQHQIAVCNALSAHPGPFACIYLVGTNMKEFKGMAAAWLDLLAAKRVKELVFVHPATNIEDDVHLPASIFRCTALTKLYIGTWWFPDAADLPRIAGFPYLQELGLCNLVMKEQDLAVVLDRCPVLEKLLITRSRWPVCLRIQSRSLRCVQVTLGLVPEITVDNASRLERLLLWEAWGGGGLTNMSSKIKFGHAPKLRFLGFLVPGMHQLEIGNTAIKVNTKASPYTTVPSVRMLGVQVKLGTRIEAGMLPSFLRCFPNVETLYVQSENDDNKFWGPHSSGIGKINLKFWKEAGPIECIQRHIKKLVLRELQGKRSELDFLKFIAEHAQVLEEMVIMMTHGFLPSDNVGAKLRIFMASAKWANGCCKMMVLKSSFGGEGTAWCYPRGFDFSVEDPFDVSKCFEGKCVSLVKVELSR >Et_7A_050674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1008633:1009893:-1 gene:Et_7A_050674 transcript:Et_7A_050674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAALLPSALSVPKKGILGAAVVKDTAFLSVSQKKVASLSVRAQVATAPVATPGSSTSSVDKKKTLRQGVVVITGASSGLGLAAAKALAETGKWHVVMACRDFLKAAKAAKSAGMADGSYTILHLDLASLDSVRQFVDNFRRSGMPLDALVCNAAIYRPTARTPTFTADGYEMSVGVNHLGHFLLSRLLLDDLKKSDYPSRRLVILGSITGNTNTLAGNVPPKAGLGDLRGLAGGLSGKNSNGSAMIDGAEEFDGAKAYKDSKICNMLTMQELHRRFHEDTGITFASLYPGCIATTGLFREHIPLFRMLFPPFQKFVTKGFVSEAESGKRLAQVVSDPSLTKSGVYWSWNRASASFENQLSQEASDPEKARKLWEISEKLVGLA >Et_4B_040106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9960887:9962015:-1 gene:Et_4B_040106 transcript:Et_4B_040106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPGPRWKKGKDGKDFAALAAANPMSSIVAELQDSLRQSEAVAILSNQGGDAVLGVTPEQAGLLNRASFGLVVEIAGEARQWFQLGPEEVFFLCQCLKCIAVESADKKQMDEGELWNHLCSTSEPFPEMYKAYQHLRLKNWVVRSGLQYGADFVAYRHHPALVHSEFAVIVVPEGDMFGTRCGRMKVWSDVLCSLRASGSVAKTLLLLTISSRTCELTSPDCLEQLIVHERTVTRWIPQQCREGGRKPCREEANQCREDPKPCRGEANMVEQLHTRESEGSNYWGVILGFTVLSGLLVLKKALDRHFLDSSD >Et_9A_062117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19911524:19913097:1 gene:Et_9A_062117 transcript:Et_9A_062117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQLAKKWQRMAALGRKRVSWGVPEEEDQCCTSATGKGHCVVYTADGTRFELPLAYLSTPVFTELLRMSQEEFGFMSDGRITLPCDAAVMEYAMCLLRRSASAEVEKAFLSTMAISCHYASYAAPSVGASQQVVASLWMDGGMQKRGID >Et_10A_000138.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:10491600:10491761:-1 gene:Et_10A_000138 transcript:Et_10A_000138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAVETPKWGCLPVRDALLTACREEARLWRCRLPCDDAKISSAWCHMFHPM >Et_4A_033870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27490963:27495971:-1 gene:Et_4A_033870 transcript:Et_4A_033870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDEGRVVVDLRSAAESTAGAAAPGSGDEEAHATPLHEIESLCMRCGENGITRLLLTLIPHFREVVLMAFECPHCNERNNEIQFAGQLQPKGCCYRLEVPAGQTEILNRQVVKSESATIKIPELDFEIPPEAQRGTLSTVEGIIMRAVNELQALQDERKKVDPQKAEAIDQFLLKLRSLGSGEAAFTFILDDPAGNSFIENPHAPSSDTLLSVKFYERTREQQEALGFLAEPQTGQPGEAVLPAAAVESNSAVLRGEPHGSVGAAAGRRAIAQGNPDEVAAALCRYTAPEEVDTLPSTCGACGTECVTRFFATKIPYFREVIVMATTCDMCGYRNSELKPGGEIPAKGKKITLRVQNAKDLTRDVIKSDSAAVKVPELELELASGTLGGMVTTVEGLITKICEALARIHGFQFGDSTLEWKKKKWEDFQERLSKLLSLKEPWTLILDDGLAASFIAPATDSLEDDRQLTIEEYQRSWEQNEELGLNDMDTSSADNAYNTTST >Et_3A_025629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31371709:31375056:-1 gene:Et_3A_025629 transcript:Et_3A_025629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRGRQAQLLLLLGFLFLLNGAPSRAQDTVAAGRPLSGGHSLVSKRGKFRLGFFQPDNTTQRWYLGIWYNQISVQTNVWVANRETPITDPESSQLSIASDGNMVILDHRKSPIWSTNVTGSISANSTAGVILDNGNLVLTDASNASAVLWQSFDHFGNTWLPGGKLGRNKLTGEVTRLTAWKGYGDPAPSPFSLELDPRGSSQYLLNWDGGAAQYWSSGNWTGRSFAAVPEMTASDASPVSMYSFGFVDGADESYFVYDVKDDEAVVTRFLVDVTGQIKFLTWVAAAAEWVLFWTEPKAQCDVYSLCGAFGVCSEDALASCGCIRGFRERRPDEWRQGDRTGGCVRNADLNCGSAPGAENSKRDGFYTMPDVRLPSDAQSTAAASVHDCELACLGNCSCTAYSYNGSCWLWHGDLVNLQDTTSAGTGDGSISIRLAASEFSGSGTSKKPIIGLAVAGFVAAVTVVVLVTLSVLRGRRIKALRRVEGSLMAFTYRDLQFVTKNFSEKLGGGAFGSVFKGSLPADATPVAVKKLEGVRQGEKQFRAEVSTIGTIQHVNLIRLLGFCSERRNKRLLVYEHMPNGSLDRHLFGATTSGQAVLGWATRYQIALGVARGLDYLHEKCRDCIIHCDIKPENILLDGAFAPKVADFGLAKLMGRDFSRVLTTMRGTVGYLAPEWIAGTAVTTKADVFSYGMMLFELISGRRNVGERPDGSVDFFPSTAVSLLLDGDVRSAVDGRIAGNADVAEVEKACKVACWCVQDAESARPSMGMVVQVFEGLVDVNVPPIPRSLKVLADPSKYVHFFSALPST >Et_5B_045237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13145848:13147328:-1 gene:Et_5B_045237 transcript:Et_5B_045237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGSEFTLYDFTELAAATGNFSMPNRLGRGGFGPGELRDGTVIAVKRLSAQSLQGLVEFKNEIQLIAKLQHTNLVRLVGCCVEQEEKMLVYEYGPGLLYLHQHFRVRIIHRNLKATNVLLDKDLNPKISDFGMARIFGPNITKANSRRHLGIFSVRSDVFSFGVLLLEIISGKKNNGHQQYGDFINLIGYAWQLWSEAREIELIDQC >Et_8B_059302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16342093:16351899:-1 gene:Et_8B_059302 transcript:Et_8B_059302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAMLSLLLRLFAVRAGTAADADAASSFTACLAAAGVRNVTKRESPSYDAALLVSIQNLRFAGAGAPKPAAVVVPASRDELRAAVLCARAAGLAVRLRSGGHSYEGLSYTVEDAAAAFAVVDLAALAGVRVDAASRTAWAESGATLGQVYRAVAAAAAAGPALAFSAGSCPTVGSGGHIAGGGFGLLSRKHGLAGDNVVDAVMVDAGGRVLDRAAMGEDVFWAIRGGGGGTWGAVYAWKLRLSPVPARVTAFVVNRPGTAASVARLVSTWQHVAPWLPDEFYLSAFVGAGLPELINRTGISVTFKGLYLGPSHEAMQILAARFPEIGLSDLNPREMSWIESVVFFSGLPEGSSVSDLGDRVLHKKNYFKAKSDYVRRPMPLDQLIKAVGILSKEPKAYVILDPYGGAMDRIGSADLPFPHRKGNIHGIQYLIEWTVEDDSHREEHIDWLRRFYDFMGAYVPNSPRTAYINYMDLDLGTNNWSEHLIANNGSPNPEVEAARVWGERYFLGNYDRLVRAKTLIDPENVFRNAQSIPPLRSPSITGIPPLALCCLVALEATRCCNAQTTPGNSTISSCLVSNGVKNFSLPGSPSYTPLLTSSIRNRRFELPGVGKPAAVVLPASKHELQRAILCARASSLAIRVRSGGHSYEGLSYTTEHHVPFAVIDPGRGVPRRGPPGQPGPGVPGRVVLQGRRGRPRRGLLSRKFGLAADNVLDVILIDPSGPALTRASMDDDVFWAVRGGGGGGSFGVVYAWKLRLVPVPDNVTVFTLGRTGPVEAIAKLIHKWQYVGSSLPDEFYLSMYIPTGSSSNGNLSVSFTGQVLGPKRLALSVLSQRFPELGLTESELSEMSWLDSVTPFPHRAGCLYSIQYTVSWTASEIDVADGDYVGWLRSFYAFMAPYVSKNPRAAYVNYLDLDLGTNGWTNATGGTSYNSVSHAASWGQRYFSHNFDRLVRAKSKVDHGNVFNNAQSIPPLHYEREH >Et_3B_031633.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6622114:6623169:1 gene:Et_3B_031633 transcript:Et_3B_031633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFKKPATGLAPLLLLLLLASGAMAARPTRQLTADDSDDDGAAAPVVAPVAAPAAADAIPAAAGGAAAAPAYGGAAAGAGASGGAAAGGAAGAAGALGGAAAAPIGASTIPEAAAGGEHPMVFFMHDILGGTNPSARIVAGIVDNAAVTGQLPFARPNGAVLPLNGGVNVNSGAAGAVDNNNIPFLTGLGGATNAIKSSSNNNNGNGNNGNIPVFAGGSLPEGTTLQKLLFGTMTVVDDELTEAPALGSAAVGRAQGFYIASSEEGVSQTVAVTAMFREGGFADTISFFGVHRTADSESHLAVVGGTGKFVGAKGFAKVAVVRPAGVVATGAVLETDGVETVLQFTVFLA >Et_10B_002404.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17994519:17997975:1 gene:Et_10B_002404 transcript:Et_10B_002404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNIPSCKSQAPVAAAAVAVISSLRFTSSLPELIPSAPPLPEEDQLAALLASDPSPPEPLRLVLATGDVHAVLRGLPGLARQLFRWAETTPRGFPRSASAFAAVLVPLAQAKHIHAAYPVSLRALHLGLLLPLVSLLLSSPLYPSHQSLLSLLLRLSTKFSAQCQTHDSAPTTCSTLCLSAFREMARHGVSPDVKDCNRVLRVLCDAARWDDVHAVYAEMLQLRIEPSIVTYNTLMDSYCKEGRIEEALALLKEMETQRPGCSPNDITYNVLISGLARNGALEKAAELVDRVRLSKKASSFTYNPLITGLFAKGFVKKVEDLQLEMESEGIMPTVVTYNAIIHGLLKHGQVKAAQMKFVEMRAMGLLPDLITYSSLIKGYCKADKLKEALWLLSDLRCAGLAPTVLKYNILIDGYCRLGLLEEARRFKEEMVKHGCLPDVCTYTILMNGSCKVRNLAMSREFFDEMLSKGLQPDCFAYNTRICAELTLGDASKALQLREVMTSKGISSDIVTYNILIDGLLKTGNVKDVRKLWMNMLSDGLVANCVTYTCLIHAQCERGDLNEARKTFDEMISRRLQPSAVTYTVLIHTYCRRGNLYSAYGWFQKMLEERIEPNVVTYNVLIHALCSMGRTRLAYHHFHEMLERGLAPNKYTYTLLIDGNCKEGNWEDAIRLYFEMHRNGIHPDYCTHNALFKGFDESDRRHAIEYLENFVLGE >Et_6B_049939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18875278:18876045:-1 gene:Et_6B_049939 transcript:Et_6B_049939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFQKKKNRKDKKTSRHGGRSNPPWYQELSVKAQQLESKTHVGTEYLAQPTRTVLFPDDTWKMQLEGQGNPRSNYGSYIGTRPPVPTAHLLSQPIFRPQVNALVNGVPGWTWDLDEGILMSDMENLLGLGAPTVNRGSGELNQNIFSSRAVDLYGRQVVGDMTYQAANPEIQYNTALEHQQLSPAWNLIAEQNGMHEGGSGTGMYRPRRVYSQERILRKRSNIVEKY >Et_2B_020576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21525455:21527241:1 gene:Et_2B_020576 transcript:Et_2B_020576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGSHSLVSTFCCSCLCYLLLLLSSTHAPRATSLSFSFNFSAVDNPYCTETSDLTCGGDAHFYAPEKAIDLTRNDISAPNSHSVGRAWYARPVPLWDAATGEVASFATTFSFKIKPSDPTRPALSADGMAFFLGARHPAGVPRGSQGKNLGIFNGSTNRGARGDDRVVAVEFDTYRNDEWEADGNHVGVDVNSIVSAASVSPDWSLKSRETVVAEVIYDNVTRVLAVAVWMGGTAAYRVNHTVDLRRSLPSEVAVGFSAATGGIVELHSGVQGRRNHLGARGCKGLMIVYELVSEGSLDKHIYCSARFLTWPERYKIIIGLGSALRYLHGEWEQREVHGDIKPSNIMLDASRDAKLGDFGLARLAEHGARPATTKVVLGTAGYIDPELVNTRRPSAESDVYSFGVVLLELVTGRRPVEEPDDSDELFVLVRWVWRLHSRNAVAEAVDPRLTSGEVDDEPEDQRRQMERALVVGLWCAHPDRGDRPSVAQAMHVLQSGDAKLPALRPHMYGAEPFLLDMGSGDDGCSDMASGPSTGSRSTTTGGTARSESVKW >Et_2A_016467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24997037:25002065:1 gene:Et_2A_016467 transcript:Et_2A_016467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRTGGNRDANGGLAEATASRLRFDSDEEAAEGEESHMEVEEIPDAEGDEAAEEVIGNDKTSADYYFDSYSHFDVVRTKSYQNVITQNSFLFKDKIVLDVGAGTGILSLFCAKAGAKHVYAIECSQMADMAKEIVKTNGYADVITVIKGKVEEIELPVPKVDVIISEWMGYFLLFENMLNTVLYARDKWLADDGVVLPDKASLHLTAIEDAEYKEDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDANQIVTNCQLLKTMDISKMTPGDASFTVPFKLVAERNDYIHALVAYFNVSFSKCHKMMGFSTGPRSKATHWKQTVLYLEDVLTVCEGETLSGSMTVTPNKKNPRDIDIKLKYSLDGHRCKVSRTQYYKMRERQPEELNLIPGRAFPALPPPCCSAAPPSGIDWILWGSAAIRSLRNAFSSAESPFTCMCSCIHISVSNSANAVSK >Et_1B_012708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34624426:34626436:1 gene:Et_1B_012708 transcript:Et_1B_012708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFSSNRFWVNQLAVTVDNAYLQRRATPIKYGGSVGVHGPSGGAGEGREDIVHVGAGGEREVAVLSQTGEHAAGDGAPVTLPQLRPLLLPLSLPLPAPPRWSGPRGRGGGGGEATGAPVRRRRRREGRLIFWQRRVRVLHAHGSLPPAGAGADLRRRARCSAAAGAGAALQCGGEVDFRCSLTATGGNPFATCLHDRDH >Et_4A_034192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30651574:30659054:-1 gene:Et_4A_034192 transcript:Et_4A_034192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMEDVGVAKQQTKPSTIADDGHGVDLISGLVDDVLLRILGLVADAREVVRTGALSRRWRGLWTRVPALTFCFSQSSFIKSASNAQRYIAFVSDVLALRAQSSDAIERLKITLELNRREGDQLLVPSPIGAAKTWLRYAAQYGVNVRDKDDDASPGSVMALDGLPCSVILETLSLDLGDARVLLPATVVFTSLVDLKLKNMELAGDSGHLFSVVLSPRKLRMYDVKFPGLQELLIEVGTLLELSMKRMFQLRSLELRTPKLRVLRIKNCYDMEALTVSAPMLEELTCLNNLSLTDIDGGFSSVHNLRLGLYYSEYDSFETTQDYSQDDASNVTSIYLLRRCGSVRCLDMSLTGDLTVRMFRVSSHCFHMLTHLCIILCNHPDHQKSHDISLLHLQELEFKELAETDCGLQFLRFLLSRTTQLQKVIVNFSKTGSINDLLPMFPNVDEAKQQPKRRAPHEPSAGAQDFISGLCDDVLLRILGLVAERDARYVVCTYGRRLSAVARPLDARPGAPLRLVAVVAVRLERRAIRRLGRRCVTRSPAGASVEHLHISFTLGRGASDLERVTRQSVAAAEAWICHAMQHGVKTLNFDMVLRSPLGGNNELEQEDAV >Et_10B_004431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:727547:728279:-1 gene:Et_10B_004431 transcript:Et_10B_004431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFDYSDETVIGRVPSTGEYKLLRVRSYHSGTPSQTCQTITVGEGRKDGKFGWRNRPCPPVPVIQSSLALVAGVAYFLVNAARVEPDSIALFDLGKEEWRPTLLQGPLTSLLRASTKTNIMYNVSRSQFLLSVLSDCLVTVHCNYENSSADIWFLVDMDKTVWSKRYSMRCEPFGMYPRHYRPLAVLDDGRVALLVEGARIVRAYDPRTSAWTDLVLMVEHES >Et_7B_055190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8154993:8156264:1 gene:Et_7B_055190 transcript:Et_7B_055190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKEWLVLAAASLLWLLPWAADAVDRSDFPASFLFGTATSSYQIEGACLEGNKSLSNWDVFTHVPGTIKDGSTGDIADDHYHRYEDDVELMHSLGTNAYRFSISWARILPSELLLNVEA >Et_2B_022163.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:64134:65522:1 gene:Et_2B_022163 transcript:Et_2B_022163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVRVVSRRTVKPPPRPRERIPLTSWDVSMLSSEYIQKGLLFSSGEGKHNNLQVVDHLADALAEALATYYPVAGRFATEQHRDCDGQVVHGCSVSIDCDGQGVDVIHAVADGVAIADVIPPDTDVPRVVQSFFPLDGAVNHDGHELPLFAVQVTELGDGGVFVGFGYNHALSDGTAFWDFVNFWAQIARGDGNKAKPPPPPLFQRWSPDGGAPAPVVLPCADASELIERPTPPELRERMLHFSAESLAALKERARQELLAAEAGVTRFQALSSLLWRSITRARRMAPDAHTVCRVAINNRARLRPPLPHEYFGNTIYAISTAPVRASDLLDRGHGWAAAAVGRAVAAHTDADIRARVKAWTAKPVVYTQRFFDPNGVMMGSSPRFDMYACDFGWGKPLAARSGKANKFDGKTSLYPGREGGGSIDAEVVLSPEHMALLEQDDEFWAAVTPDDPPPPPKKH >Et_5B_043134.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:9831839:9832486:-1 gene:Et_5B_043134 transcript:Et_5B_043134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSACSILPTHTTQQPPSKSPADESRRRRRRRCVCLLATLSVLLLLGVTLLVLFLTVLRVRDPTTRLVSARLVGLAPVLTPPLQFNVTMLLTVAAHNPNPASFAFDSGSAQLRYRGALVGDAAVEPGRLPSRGDANVTMVMTVLSDSFAAEMAQLVADLEAGAVPLDAAAAIPGKVAVFGVFKLRAVAYSDCHVVFGVPEMQVRSQVCRDHTKL >Et_3B_031174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19959880:19961385:-1 gene:Et_3B_031174 transcript:Et_3B_031174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLNRVNSPATCRAAATAALLALACCLCFASDDDATAGEMQGSRQRVVTVDQSGKGDHRRIQEAIDAAPANDSAGAVVIRIRPGVYRQVEEKIVVNKPHVTLVGTSADSTIITWNESWVSVQSPTVSVLAADFIAKRLTFQNTFGTTAPAVAVRVAGDSAAFYGCKFVSFQYTLLDDTGRHYYRGCYVEGGTDFIFGNGKALFDKCHLHSTSLVGGAFTAHKRSSESENTGYSFVGCKLTGLGAGTSVLGRPWGAFSRVIFALSYISSTVRPEGWDDWGDTAKQRTAFYGQYQCYGEGSKTDGRVAWSHSLSQAEAAPFITKAWVGGLEWLR >Et_7A_051568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20415827:20419198:-1 gene:Et_7A_051568 transcript:Et_7A_051568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPAPFVYIDPSVAPDMRRRCTDPHALERGTNAIVYYLYTSLPDLPVSAAATLSALAPAPGEVGVDRISHLPDALLGKILSRLPIKDAARTAVLSRRWRPVWRSAPLVVDDTNLRQPALAGRVLTRADSLALTAAVSRVLVAHQGSFRAVYLVRSYMDAHQESLKLWLRMLVLKGVEELVLVNRPWPLDVPLPVTLLNITTLTRLFIGVWKFPDTSGLPRGAGFPNLRELTLCCVAMKNRDMEFVLSRSPALERLGLQGIQEELCLRLSGRSLQCVQICFCVVESITVVNAPSLDRLILWGSLDGSCIRLKIDHAPKLRLLGYLELGMHKLESGNSTINAKKRTSPSTMSPSVKILSFSVRFGVRSEVKMMPTFLSCFPNVETLHIKSEKSNEATGKVNLKFWQAAGPIESIQSSIKVMSFHDFRGERSEIAFLKFFLQNARVLQTVAIVTDSGCSLSIDELQSKVRSLDPDNWASQDCSLMVHIGSDPEGGALWDFRKGLDISVGDPFVNRGNAPLVEVAGFERTTWHGTLMDDVYGEGTSVS >Et_7B_055691.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:2285863:2286951:-1 gene:Et_7B_055691 transcript:Et_7B_055691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGISIHSPTGSRCRSSPALIEDLFDEILLRLPPDEPAIFVRFALVCKSWSHRLKDPSFLRRYRAFHGKPPMAGFFFNLRGPGPEVAQFVQASSFRPSIPHGDCRYALDAHHGRVLFRTMLSGEVERTGLAVWDSRTGDQWVLRLPDLQSANWNAAVMCSSRCCNHVDCNNFPFQVVFVGTEWDGVTYASVYSSETGAWERQSSLVRPDATIHLKPAVLVNTALCFPCDRNNRIIEFDTLNKKLTVIETPVDEQMDSLLVTVKDCCLGLAVLKSFRIHLWSREATDAKGWIQNNFIDLKALLPSRAFSMTPRLIGFADGICLVILWTADGVYTIDLWSGQTKKIYRNKIIDQAIPLMIFYAP >Et_5A_041967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4700357:4702205:-1 gene:Et_5A_041967 transcript:Et_5A_041967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAGKKVVPDLAKQMTEKKVAFYKTVLEQDYGHLFYTESMMPHFPGGPDAARVMNNTTRESVRDFKEHAAARIKEYEEKGYLEGYPPAAYLANVSRYLDAYSCMSDHAATGGATRRTWIHLRRDSTPSDQPPSRLDTKILCGKRLEDTQLVSLERRGEPWPQVSADKPGPVAAWMRGDHHVG >Et_4B_038717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4243050:4245235:1 gene:Et_4B_038717 transcript:Et_4B_038717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKREPESDGEELRAEGSSPGDGGASQPPLGAAPVVCLIRSAGDFAGGAFVGSIVGYGQGLFTKKGFKGSFSSAGSSAKSLVVCLLRRLRGKDDIINAGVAGCATGLALSFPGAPQALLQSCATFAAFSCIMEGLNKQQAAMAHTLGGTALTAAHEKGGVLPPFTLPPLLLDPSDALASCCQALVKPKH >Et_1A_007980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39904183:39907817:-1 gene:Et_1A_007980 transcript:Et_1A_007980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLPRVAVCLVGGARRFELTGPSIARHLLPHVGGGEDHAVDVFLHSPLDGDAYKFSLLARAAGKTTTLAAVRVFRPEPVEETPEREQVLTAANSPNGIQGLLQYFRLVEGCLELIRERESRGNFTYAAILRTRVDGFWTAPPDLLADDEKDYYVVPEGSRFGGLNDRFGFGGRRASDAALSRLAMLPRLAAAGYHALNSEAAFRAQLAVAGVPARERRLPFCVLSDRTYVFPPEAGYGVPVASLASPGPLSGAKCRPCRAACAGADCVGPHVEALESGWGWAEWRNGTMDLCDATGPWEEGWEDLFDEVAGDDAAAVRRRVARMGAHECVAEMKAFKARVERWDAPSPAEICWVGLTARAKAAAASRLASSSTLSSSSDSDASNSSSSDDQP >Et_1A_008459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7875835:7880870:-1 gene:Et_1A_008459 transcript:Et_1A_008459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVETLAPLPIAYPPRTFLRPLPRRSVAAGGCAPSIRISAVPPRGLGFALVPRRVRRCPPAASANVERDGDGANGETSSAGDGDHEASSGKGADGTSTSSTSAAATPPQQPSSKRGDNKWRRRLSKGGGVGRWLWEPIVQGREMGFLLLQLGFAVFALRMLRPEIALPGSEPRPQTTYVSVPYSDFLASIDKDQVKKVEVDGVHIMFRLRPEVEARVIEQPPVQRGTDVVVDSAGVPRRIVFTTTRPVDIKTPYEKMVENSVEFGSPDKRSGGMLNSALVALIYVVLIAVVLQRLPISFSQNSAGQLRNRKNSNSGGAKVSESTDIVTFADVAGVDEAKEELEEIVEFLRNPDRYMRLGARPPRGVLLIDAVAKSRDGRYRIVSNDEREQTLNQLLTEMDGFDTNSAVIVLGATNRADVLDPALRRPGRFDRVVMVEAPDRFGRESILKVHVSRKELPLGKDVNLADIAAMTTGFTGADLANLVNEAALLAGRSNKELVEKIDFIHAVERSIAGIEKKHAKLKGNEKAVVARHEVGHALVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTTEDRYLLFVDELRGRLVTLLGGRAAEEIVLGGRVSTGALDDIRRATDMAYKAVAEYGLNQRIGPISVTTLSNGGLDDSGGSPFGRDQGHLVDLVQREVKALLQSALEVALSVVRANPAVLEGLGAYLEENEKVEGEELQEWLKSVVAPKELTSFITGQQEHAVLQLETNS >Et_3A_024490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21205182:21211535:-1 gene:Et_3A_024490 transcript:Et_3A_024490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAAVPPRRHRERRHRRKASDAAAAALASSFGDVFGGPPRFGGSAPADYADVFGGVAASCSIPYLDLPEPAATAAPGAGDGEYGEIFGRFDFGEFAAPYEDALAGPEEMMEEIGSTSGSSRAKFRGVKSDIFPIIYRSSIRREPGQLYAEPSALNIHYPDSGCDKCFGEEQFYPVSSPPNGEQKFSMSYNKATRGRPDDLVEMTTCIVEPSISYVVDSCNFSNDSEMDHIPVIDNVDTLANGIKGKASPPNATSSSMKNADNASVVDQLQHIPTSVGTLANGIKGKASPPNVTSSSMKNADSASVVDQLQHIPTCLPTTTATTPTGLPVSENIYEDENYDKRSSTHSVSSEEAPSPDYPFLRASNISLPAAPIKVQPPPMPPSKLLNKRESKENGESGVNPNSAAAAAAMKEAMEFAEARLKAAKELMERKGDSFKLRKRPGHHRSTKSTEIKGSKIPEEVRLFERKPSMNRLAKEENQNMDLPTEIKGSKIPEEVRLFERKPSMNRLAKEENQNMDLPTEIKESKIPEEVRLFERKPSMNRLAKEENQNKDLSLPDSNRDGSAVKSLHCDPDKNVAVSVGKPQEPQNTSKLEESGKWTSDAEFYELISHDQICKPNAALSEGNNGAKSSCTKLDQTEEEKAGGFAGELKRARKLWETSGLRRETVNLVKDGTSSVEVEHEAPTPPEVLVCEERATYQERADSHFKQCSEMDLEVHDDGGRVEISCMSNTPAKVHANPEMSEQEAGGFSGEPKRVRKLWDTTGLKRETVNLVKDGTSSVGVEHEPPRPSEVPFCKERVTYHEPSNFHFEQCPEVENSIEGHDDNDGIIEISRTNNTPAEVQSDPEISSSILEDSVSGAWHDSKNHSGASAEETIPVGQLKQDDNTDEIMCNSVMSQILRKHSDILNFDEIKDAQVNISNAEESESHETCEKENLLDFVYEASVHNESERENKLIPGTHIHEERKKFGISENEDTDEDFQDGDVYQDAGSPEKETNITLESANASQNGNEERQVLNTSVEHGKFMEANARTCDSLDKDPYQLQELQGSLGPRDLENRIHIVEDIVSDGEEKEAKESVLESADMTLVEEVLNHDINEGKISMETSIHESPEVYAEVNARNDRDDNTFHSVREVRDDDSDYTAKMNTWSNSLQASFSEACTRVHHLFQNAGYSSAEKAHKSTPVKDLLEEDCRDAYREIPTEKCRPLEEGQTTAEKMEERDREDSISNVGLKDQRLFHLDSDTSNFAEDAAADIAHKSRDGGLDSQRTKEKDNVKDTEGETEVEVHVRLDEEKEKEWKIEKEKEDKERQRRELEEEKEREMERAKDRLAVQRATREAHERAFAEARAKAEKIALEKITSARQRASAEARAKEERATAEAASEKASREARLKAERAAVERATAEARERAIEKAKAAADAKDRMERFRSSFKDSSKTTNQVADVIIYTDFVVAHFKLSTPDFILGEAYN >Et_9B_065799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1337146:1349617:-1 gene:Et_9B_065799 transcript:Et_9B_065799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQVAHSTPPIVPTGPLGVAARGVAAVGEPGPNVPDPPKKTVRRSQRSSAMDDEHTLVKTERLAAKRNLETAWQGNTCRQGGVLLREISGHDHACHSGHDHARDVREQDGTQPQQQQLQRLHPQLGTPRPYAPYRGLAQDCRHSSRPFPVEGTHATVVKEGMAPDKKGSHPHHVEGTHTIAVVGGSMLVDKLPAKTVPGKD >Et_2B_022931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8606266:8607891:-1 gene:Et_2B_022931 transcript:Et_2B_022931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGTVEHIKYYPNDTFVLLGNNPKPIPQGPRSTDQQVMAGAPKIMTQMPTGSFWPMLVMFSSYVTNKKIYGQKLVNNAVRLYLMTVLRGRFIAKPRPTANMTQAGWSSTSARRR >Et_3B_030575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5018590:5019467:1 gene:Et_3B_030575 transcript:Et_3B_030575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPRRRSVVRPVKPQQRRWPAHSPSPNKTTELSGNRCFSDDEEELLIKLHALLGNRCDPSCICLLRTLKLFSPRIFVQLKVVYDRNHELTILCVWSLIAGRLPGRTDEEVKNHWDSQMRRKLKRVGVNPDSHRANHLPSPDDHQQGRRLPWKQCEQCPEKHPDHRRASTSMVEDCVSDAGSRFRAQQEEIHRGLNLELTLCTPLYFGKEQMPNN >Et_3A_023943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1528501:1530679:-1 gene:Et_3A_023943 transcript:Et_3A_023943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGEVSAKLLRFLYFAGAGVICTKAINTYRDYEHNKEASDAVAAAEAALSAATAAEPAPASDDICHKTTAKMMRLPIFVLLSVRTGVPCPTIATTKEKLAKCSTKSPKGKKKRRFEDGL >Et_2B_021982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7734641:7747157:1 gene:Et_2B_021982 transcript:Et_2B_021982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GEVRQSVTDLGIDVSPDPWGAAAQQARPNPDSIHYQTVGLHDMNLSLEKELMLSKLLLSCFGNNLEMNRFDGGGGGCPAAAPTAARVLLRLPCAGHGATEQAAQERREQERHQRGFEQTKQDAHCTTIQMITSSQSRFRKDNWAVENAAMHKYLEDHWAYGDPVENLIILLCLPEMKMFLDTTGLIAKHTDNIVDEIWRYIEKEMLVPASILLLAAQKQLRGRSVNMSSGKVFKSGFDDVISRTVSALDALYREELAMIKEGKNGRALKLLKHKTKVLLTVNAIVANVNVAGEDLEKYIQTNSEVPHEEIVGHVSSILNTNGIVPYGKGIATGNLN >Et_6B_049771.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1125040:1125498:1 gene:Et_6B_049771 transcript:Et_6B_049771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAALSCVCPGNGTTMALQSGQVISLAEPGGNARLVEHVLASGQKVALLAVLVRRLAYHARVLPVSCITTRQLPVRYVRYPPKQLLDGQRRRRLAASASQAVDRLGDPHEYEHGHHQKHGAEGEHEDSPLEPIEEIVHVHGKSSFLRSLKF >Et_3A_026457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8302837:8306309:1 gene:Et_3A_026457 transcript:Et_3A_026457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRRRRCGPSSTAAPPRSGSGSTGPPRSSPASPNRTSVFDDPTMEIQELTAVIKKDITALNSAVVELQALCNSQNESGNLSKDTTNHSTTVVDNLKNRLMSATKEFKEVLTMRTENLKVHENRRQMFSSSAAKESNPFIRQRPLVARDASESSVPPAPWASDSASTPLFQRKKTNGDHGASSSSQPFMQQQQQLAVQPDSYMQSRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDETMANVDGAQGQLLKYLNSISSNRWLMMKIFFVLMVFLMIFIFFVA >Et_1B_013545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9388202:9391167:1 gene:Et_1B_013545 transcript:Et_1B_013545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNNETVTIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEDTPRVKTKKPTAERLHGTDDSYMTK >Et_2A_014703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7428493:7428929:1 gene:Et_2A_014703 transcript:Et_2A_014703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAILHDATTNDGNGHDEPMHAILHDATTIHHGRLTLIDDGNNPMDSCMQSCIMQPMMMGMMSPQCQCSDMCQMMMQQQAMRMPMMMLYMCNMMPTYCQQLFPGCAF >Et_4B_038744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4594925:4598080:1 gene:Et_4B_038744 transcript:Et_4B_038744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRSRHPKALAFRCNPASHRSLTLVLWSLAALVILVNFGLLITHKEGESISTHEIRRSIMRELEVVEEEKFKVAPLRSRRNPRAVRRKGDKKPPSIVDEFLDESSAVHDMFFPELNTAVDPNNDGNDSMHFYYPGNVWLDTDGKPIQAHGGGVLYDKRTNNYFWYGENKDGKTYKAHSKGADRVDIVGVSCYSSSDLWSWKNEGVVLRGEEKNVTHDLYKSNVLERPKVIYNDRTGKYVMWMHIDDANYTKASIGVAVSDSPTGPFTYLYSKRPHDCESRDMTIFKDDDGKAYLIYSSEDNSELHIGQLTDDYLDVTNVMRRLLIAQHREAPALFKHEGTYYMVTSGCTGWAPNMALAHAATSILGPWETLGNPCVGGNDIFRSTTFFSQSTFVLPLPGLPGSFIFMADRWNPSDLSDSRYVWLPLTVGGVPDEAADYSFMFPLWSRVTIYWHKQWRLPEGWRDS >Et_4B_038024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25550969:25555945:-1 gene:Et_4B_038024 transcript:Et_4B_038024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDEGRVVVDLRSAAESTAAAAAASGSGDEEAHATPLHEIESLCMRCGENGITRLLLTLIPHFREVVLMAFECPHCGERNNEVQFAGQLQPKGCCYRLEVPAGQSEILNRQVVKSESATIKIPELDFEIPPEAQRGSLSTVEGIIMRAVDELQALQDERKKVDPQKAEAIDQFLLKLRSLGSGEAAFTFILDDPAGNSFIENPHAPSSDPLLSVKFYERTREQQEALGFLAEPQTGQPGEAVLPAAAVESNSAVLQGEPHGSVGAAAGRRAIAQGNPDEVAAALCRYTAPEEVDTLPSTCGACGTECVTRFFATKIPYFREVIVMATTCDMCGYRNSELKPGGEIPAKGKKITLRVQNAKDLTRDVIKSDSAGVKVPELELELASGTLGGMVTTVEGLITKICEALERIHGFQFGDSTLEWKKKKWEDFQERLAKLLSLKEPWTLILDDGLAASFIAPATDSLEDDSQLTIEEYQRSWEQNEELGLNDMDTSSADNAYNTTSA >Et_3B_028039.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:4195085:4195120:-1 gene:Et_3B_028039 transcript:Et_3B_028039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASKKPRSIS >Et_3A_023537.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33318702:33319166:1 gene:Et_3A_023537 transcript:Et_3A_023537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRARGRLLLLLHLGLAVLALHHTACAAAALRASAISAAPEYPVPRLPRQHSHSHSALPPAPAPALSESLSPDIMPVLPSPAEDGAAAPPSDAEPTIPSSPSPPNPDALEPNSAFAPLGYAPAVAAQSHAPAPLRAASVAAWALPVGLLVMW >Et_1A_008288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:660765:662880:-1 gene:Et_1A_008288 transcript:Et_1A_008288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVISCAHPQSPKKKRSGGGGGGGGGGGGQQPKPAEEGEDKAVGDHDDDSSCEKTAAENKDKDKDKEKEKGLKDKDKDKGKKAPPPFVTAVLKIDMHCDGCAKRIRGSGVAMEVEKGTMTVVGRFDAKKLRDRVAKKTKKKVDLVTNKDGGGGGDQHKGKDGEGGKKQDGFQVHEYGKPDYMYDYFWIDRVYGCAAKTPEKWHDRGKEDKKKDDHQKEEKAKDGNKGCGKGKDDKGGDGGKGKDDKGGGGEKGKDDKSCGGGEKGKGGKDNKKPVVPLVGTVVLKIGAMGLHCDGCMNRVRAKLFKIKGVEQVAMDLAKNQVTVTGTMDIKALPGKLQKKLRRPVDVVPAKDKDKDKEGKDKDKEGKQEGGGCKDGKEKDKDASTKALTAELELWKTAFYDQRSLLNTELMLSDENPNACSVM >Et_10B_004427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6930311:6933584:1 gene:Et_10B_004427 transcript:Et_10B_004427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMMMTSNSFKASSSTAAASTHHQQEQEFKSTAPQVVEARNVKLARKQAAASAGAECKPRPQLDEALRCPRCDSSKTKFCYYNNYSMKQPRYFCKACRRYWTQGGSLRNVPIGGGCHKNKRGSPSFLPPSSSSSSSSSINEQLQQHLVPASSTAGFPSVLPTFWEDFMEDNTSPLLDVTSSDLLPLTSDMQDLEGFNYESYLFDDMSPTQAQAADTNRSSKAPMPHHLRI >Et_1A_006538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23988885:23995948:-1 gene:Et_1A_006538 transcript:Et_1A_006538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFVILLAGCKHMYRGPYDTYLYIDNFMFGRTIEKQQIINILLQDNPLGLDRGRLQGNPINNNVKGVAPVMQKKKDNPLGGQTILPIIGGHLVGKKTLVAHACHDKRVISHFSSILHLSEDDIRRKGQKLSTNARTLDSMTTAGQSSIHLQDAWVEEAKLPS >Et_7B_055522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1351187:1352203:-1 gene:Et_7B_055522 transcript:Et_7B_055522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAQDAAAAAPDLFDDFDFDLAADEFCDAYAAFVANADKSGAPAGGWLAGSGIDVDDCGGGSSRGSSSSPESAVTDGPDAGEEEGALSAYVCELERFLLGNSDDDDDVDIVDEVAASPGGAGAEEEEPLSVDDYFFGDDLLAGHGSEDAAAGGDADVEESLAAREDDEPASGKRASTRSRAQP >Et_3B_029309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23962692:23965404:1 gene:Et_3B_029309 transcript:Et_3B_029309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLVMAFAVLLFMMLVGHCRGGKIGVCYGRNADDLPAPDKVAQLIKQQSIKYVRIYDTNIDVIKAFANTGVELMVGLPNSDLLAFSQYQSNVDTWLKNSIVPYYPATMITYITVGAEVTESPVNVSALVVPAMRNVHTALKKVGLHKKITISSTHSLGILSRSFPPSAGAFNSSYAYFLKPMLEFLVENQAPFMVDLYPYYAYQNSPSNVSLNYALFSPLSQPVIDPNTGLVYTNMFDAQVDSIFFALMALNFKTLKIMITESGWPNKGAAKETGATPDNAQTYNTNLIRHVVNDSGTPAKPGEEIDVYIFSLFNENRKPGIESERNWGLFSPDQSPIYSLDWTGRGNVDVMTGSNITSSNGTWCVASTNATETELQNGLNWACGPGNVDCSAIQPSQPCYQPDTLASHASYAFNSYYQQNGANVVACDFGGAGVRTTKDPSYDTCVYLAAGKMSTTNSTSLPARSGSSPQPLAKCFGPLLSMLAVAIAAVIL >Et_10A_001322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23353371:23354869:-1 gene:Et_10A_001322 transcript:Et_10A_001322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDQGLAPDSVTCGVVIDGFCRGGYLSEALVLFNRVEVRGINNIEVLSRLWFVAICIRVVLTVPSCCFVGLLNKDILDGNVKGASYVFTMMLEKNVVPDKISYSNLISDYCQIGDMDNAHVCFRNMVEQGLEVDVIVYTTLMNGYCRASRLQEAHTLFVQMTNAGIKPDVIAYTVLLDGELKETLLQGWQGIDKERSCSLLIAKHKKLLSYMEDKKIEPDVPCYTVLIDGQCEAKYLEEAQGLFDEMLKKGLTPDVYTYTALTNGYCSEGEIAEAKYLLQKMRDMGMKPDALTFSVLQYGKLRFQKLIDRATLDPGAWEGWLPASLFMALDAWGNTQ >Et_10B_002818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11938447:11940538:-1 gene:Et_10B_002818 transcript:Et_10B_002818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLAAFHSAGHLPRPRLQQVPAHERSSLLRRLLQPPAPPRRRSSRFAAEFATGGADTYTGGGGGRGGRARGGGGVDVAAVAAALRDARTADDVEALVKGFLGGGGGHLPLQVYTSVIRGLGKEKRFDAAFAVVEHLKQRDGGGGGVNQFVYNCLLGAVKNCGEFGRTEGVLADMEAQGVAPNIVTLNTLMSIYVQQGKIDDVFRVYADIEDRGLVPTAATYSTVMSAYKKAGDAFDAINFFVKLRERYDKGDLVGKNEDWEQEFVKYDKLTVQVCHTSMRQSLVGGQNPVSEVLRVLLAMDEAGVRPDRRDYERLVWACTGEEHYAIAKELYQRIRERNGGISLSVCNHLIWLMGKAKKWWAALEIYEDLLDKGPKPNNLSYELIMSHFNILLNAAKKRGIWRWGVRLLNKMQEKGLKPGSKEWNAVLLSCSRASEASAAVDIFKKMIDHGLKPDIVSYGALLSALEKGKMYDEALRVWEHMCKVGIKPNLYAYTILVSIYIGKGNHSMVDAVFQEMLSENIKPTVVTFNAIISACVRNNLGGTAFEWFHRMKMQSIQPNEITYQMLIKALVQDGKPRLAYEMYMRTCNEGFELPAKSYDILMDACKAYGSLIDLTSLGPRPLTKVQPIRIENSHSSFSGINNLPSSTHYFGDTGMYSFYRCKMAR >Et_3B_029114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22173909:22186655:-1 gene:Et_3B_029114 transcript:Et_3B_029114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGADGARWPQLRGEAAESLPPPPPADRGELASPRFDSSRALRLLRDIGTNVTEDLVVLMPNLLSFLKHDDPAVIKQSISSGTNLFAAVLEEMALQINKFGKLDAWLKETWAWMSQFKDAVRGIVHEPGPVATKLVALKFVEAWILCFTPQAHCDQIHPEGKRWRFDASRLSQYHPILDPVVLEADANQALVLLLDILQSAYAHRGSFLVGTINSLAAVVKNRPIFYDRVLPALLDFDPGLETAKGAHSASLRYSLRTAFLGFLRCPHQAMIESKDILVRRLRALSPGDGIELSIRQAEKMSRNIERASRINKDEPPPWEMPYGDINRKKPARPNDGLAISDGIAKRARFDMSATSNLPVQGSSEYADVQIDHDANMAHSSDPAILNNDMSPVEKMIEMIGALLAEGERGAESLGILVSTVETDVMADIVIETMKHLPEVPFPTVTHNDVQQPNFKSSSSLAMKNLPANSNLSLFSQSEPIVDAVSIPPSDAFVMPSVHDAKRDPRRDPRRLDPRRTVSPAATNSIQVKVETDSIHQTNNLPTTPCPISGKVENYVDPSGDLPQSEDEQYTSSQLNQTVVEDKSEFPDVAVRPEPTSEVEAPPDLVAHSSDVDVEMVHQLPSEVTSIDEADNADSEVDPFMPISAASTPEDTNHDLPVIPSHVELSDKEKISLNKLAIRRIIDDYKKNSLDARFSLIAHLIAQSAVDDNIMDLIEKYIVFHYHDQKGHELAMHVLYQLRSVDLADPPGSPTHTSKHYEMFFISLARSLIDSLPASDQSFSKLLCDAPYLPESSFKLLESLCMSQGNNEQINDGDGDRVTQGLGAVLSLILGRPSLRHVCLDIALKCAVHSQDDVRGKAIRLVAKKLYGRTYATEKIEQFAIESLVGVANEQGVDTDKFKTDGQETSVSVSHIPGAGCSESGSSKASLVSPKQSAVSISEAKRHTSLFFALCTKRPSLLRHLFDIYGRSPKVVKQCVHRHIPSLVRNLGSSCLEMLDIIHNSPEGSDHLVTLIVQTLTEESNLSADIVAAVKHLYKTKLKDASILIPLLSSFPKEEVLSIFPRLVDLPVVKFQDALARILQGTAHTGPALTPAEVLIAIHDIIPEKDNVALKKVTEACTTCFEQRTVFTQQVLERSLNQLVDKEPIPLLFMRTVIQALDAFPTLVDFVMGILSRLINKQIWTMPKLWFGFLKLVSQTQPRSFDVLLQLPPAQLEIALKKYSNLRAPLSSYVNQRNMQSILPSPILQVLGLFNEPQQAPMSFVLAALQTADATSSLPGATLL >Et_4B_039433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15311372:15313478:1 gene:Et_4B_039433 transcript:Et_4B_039433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPCSRHLPSVLILTILALVSTAANAQLSENYYDDSCPAALLTIRTAVSSAVLFDRRMGASLLRLHFHDCFVQASPLLLIIISLLNDHISIYVHAHHQGCDASVLLDDAANFTGEKGAGPNAGSLRGFEVIDNIKTLLELMCPQTVSCADILAVAARDSVYQLGGPSWTVLLGRRDATTASASLANSDLPGPTSNLNNLLSKFSNKGLSTTDMVALSGAHTVGRAQCKNFRARIYNDTDIDASFAASLRAGCPLQAGSKDGGLEPLDAPSPDSFDNSYFAGLVSHRGLLHSDQALFAGGGGGATDGLVSSYASNGDRFASDFAAAMMKMGNISPLTGTNGEVRVNCRRVN >Et_1B_013074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5211547:5213055:-1 gene:Et_1B_013074 transcript:Et_1B_013074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLLVPPVGLLAALAFLARPRGARVPLRGRHVLITGGSSGIGLAMATAAAREGARVSILARNAARLEEARAAIRASTGMDVGVLAADVRDEGAVARALQEAGPVDVLICNQGVFVPQELEKQDMEEVKWMVDINLMGTFHLVKAALPSMKLRTRETGLPASIAIMSSQAGQVGVYGYTAYSASKFALRGMGEALQHEVIADNIRVSLIFPPDTETPGFEEEHKRRPELTNIIAGSSGGMKADDVAKKALAGIKSGRFIVPCNFEGAMLAVATAGLSPQSSPLMAFAEVIGAGIMRFAALCFQWNWFSLIENYYAKNKKTT >Et_10B_004279.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:2691849:2692373:1 gene:Et_10B_004279 transcript:Et_10B_004279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQVPAVPWLGASSGAAMATSNRAHNSGAHPPSPARRWIPAPVISPWPPSASPTPPSPVQAGSLPPPPIPADPVPAAPTSPRSEGYVETDSDEDRHHGYRTPVPKGDALRVIRHGEDCFICPVCPNKKPRKSMADMEKHVLSLARPYDNKFSSRHHRVARNHGWMAPLPQQQQ >Et_4B_037009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13474894:13480048:-1 gene:Et_4B_037009 transcript:Et_4B_037009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSERKVHDLMDAARRRAQRRAAYLARRRGDPLQALQVSGTRCRVHRDDAVYQATEDQQGLIPWNGKQDILIDRFDGRALLDFIRDSSSRPFRVQQKSEEEEELEEFVNFERYRDLIKHRRRGFSDEAGLQHVVQELEAKAVLPYSFEKPQSSQPPASKGAYSQVGYSYKGDGNEESEDLNGDDEEEEDEDDEDDKDFSSDDSRDEQMESIAKEFGVKRYNWLVYMDKKAKEEEKRQKEIIKGDPSVKKLSRRERRKASQVEREREREAARSVGRVSYRDPYREQRRSPSYEAYSRGRRSRSRSRSRSPSYSRRHRGTHTESSYRSKPKPPKVEYITEFGGSDDTSAPKVAGISPPSSPIRIDIPIRSSGSQILEALHSDPASSLSVEQERGAKTLKPLASTSALTKLSKGASGGSGKTPQTEKKETPQERLKRIMSKQLNKQIRKDTAAEIAKKREQERQRQEKLAEVGHYRRRSSSRSLSRSPPRRRRYSRSRSRSRSPRRYNSRSRSSSRSPSRSPR >Et_2B_019310.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22961128:22961229:-1 gene:Et_2B_019310 transcript:Et_2B_019310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAAVVEKARETVATLLLARLPAGPSPRGPGH >Et_7B_055252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8731034:8733899:-1 gene:Et_7B_055252 transcript:Et_7B_055252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDLKDRLLLPTSRAAAANGAHRRRGGTPAAGGGGSVCVAIDVNGLKRRGGGRRSWVRVDAATGAAEAVEVAKPALMRRLDLPARDLRLLDPLFVYPSAILGREHAVVCNLERVRCIITADEALVLRDPDAAGGAAADEAVRRYVDELQRRLVDRADDLPFEFIALEVALEAACSFLDAQAVELEAEAYPLLDELTTKISTLNLERARRLKSKLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMEATLLDDDLQGVGNNGFGSSLSAPVSPVTSPSLSRRLEKELSFARSRQDSIKSFKSSASSQYNIDELEMLLEAYFVVIDYTLSKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVSGVFGMNFEVPLFKVPHAFEWTLAIAGICGTIIFCCFLWYFKKRRFFPL >Et_3A_026990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28960956:28964236:-1 gene:Et_3A_026990 transcript:Et_3A_026990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVRRRLLSPLRASALTAAFSTANITPATDPTVSYLISSCGLSPHSAARAAPSVCLASPGAAAQADAVLALLRRYGFSDPDISSTVRQIPVLLTADPAKTLQPKLDFLASVGIEASLLPRLILHHPKIFFRSVHDHLEPLFASLREVLGSDARVIAALRKTPFAIRCQPKSSLFRVIPLLRDVHGLSAADVSKLVAVQPSIVLLGPDSINERVEAARKIGVGLSDPMFVHVLGILCGMRLTTLESKVELYRRLGFHKDAVTQMIRRYPSSVAISEKKIAEIVGFLTNEVGLTRDDIVTYPTLLVRSLETLSRRCAVLAVLRKAGKQQTQRRLPMLLVWTNERFGKVYVRPHIEEVPDVLRAMNGFLTDEVGLTRDDIIAYPSLLLQSLETLSWRCAILAVLKKAGKQQAQDRLPMPLVWTEELFLKVYVQPHAEEAPDVLRAMNGEIPMPLVGGETKAAEQEEDERMIPIAIAINSRLINFVDNRWVAAVSNTGQALKKMEQLFYFQRRGIDHEKAFASCVRAPFSYEFSRDGDAPMNLKL >Et_1B_013363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7764370:7768063:-1 gene:Et_1B_013363 transcript:Et_1B_013363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRREGGGRQRGLQGRRRRRAAQTDITDNLTGVRDALRRKERGRRRATRGGREGGGGRRGEEEREEAGGTGEMGWGGKEGFHNDIEVQQFKTSPLENLSSRKHGKAHDPRRCRLGFRGGCLEKPCRNPPSLRDRVLSRAFSEELDSLMHAGARVFFDPRGHLINLWNKIFLTACLLSLFVDPLFLYLTGTRQNMCIEFKYSLALTLSMIRSLLDMFYAAHILFRFRTAFIAPSSRVFGRGELVIQPHKIARRYLSRTFWFDLLTALPLPQFVIWIVIPKMKESATANRKNILRFSIIFQYLPRLFQIFPLSRQIVMATGVMTETAWAGAAYNLILYMLASHVLGALWYLFSVQRQESCWRQACSLEGPLCQTLYFDCNTVSSNRTIWYAMSNITSLCTSSNGFYPFGIYGEALDAKLTSSSFTQKYFYCFWWGLKNLSCLGQNLSTSLFIGEITFAIVIGVLGLVLFGLLIGNMQSYLQATMVRLEEWRSKRTDMERWMHHRQIPQPLRQCVRRYHQYKWVATRGVDEEALLSDLPMDIRRDIKRHLCLDLVRRVPLFDEMDERMLEAICERLRPALYTRGTRLVRELDPVDSMLFIIRGHLDSYTTQGGRSGFFNSCRIGAGEFCGEELLTWALDPRPGAASLPQSTRTVRAVSEVEAFALVADDLRFVATQFRRLHSARIRHRFRFYSHQWRTWAACFIQAAWRRRKRRRASMELRMQEGGEGRPGGGVRCRRHSCDGKALIKKPMEPDFTVEEED >Et_2A_016600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26485418:26490103:1 gene:Et_2A_016600 transcript:Et_2A_016600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRVLGSRCAGGQILGKAGSATSCGKASCFASFSSCTKHEQNLQLAASGSSRLVNRSPVLKHRQNLAATSSSANVCTTFDDTKGVSSEAVEEKVGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQRPLAKLISTFRAPKSKEGYASIGGGSPLRKITDEQANALKAALEKKNLHANIYVGMRYWYPFTEEAIDQIKKDRITKLVVLPLYPQYSISTSGSSIRVLQDIVKEDSYFSGLPISIIESWYQRDGYVKSMADLIEKELSIFSNPEEVMIFFSAHGVPLTYVEDAGDPYRDQMEECIALIMGELKSRGILNSHTLAYQSRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIENWGRVPALGCTSSFISDLADAVVEALPSASALVTRKPNDTDSDMDLVNYLTKMFFGSVLAFILLLSPRLISAFRNTVL >Et_6B_049548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6330488:6331512:-1 gene:Et_6B_049548 transcript:Et_6B_049548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGGMAALGWAVRDATVVLSPFGFSTRVQGDDDVTIKVLYGGICHTDLHVIKNDWRNTMYPARDRRHCYGRWRRCQKVQGRRHGGRGLLRRLVSCCVNGPENYCAGLVATCNGVDRRHGGAVTRGGFAAAVVVDERYVVRVPAGLPPDWAAPLLCAGVPVYTPMVRHGKRFGVVGLGGLGHVAVKFGKAFGMEVTVVSSSHGKRAEALELLGADEFVVTSDAEQMKVCAVLGVTKSRRHSSQNLDICI >Et_10A_000839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18069606:18072169:1 gene:Et_10A_000839 transcript:Et_10A_000839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNNLSGIFQDSLYNMSALEYLGIGVNNITGKIPFSIGYTLRSIKTLIMQGNQFHGQIPASLANTTNFQWIDLRHNVFSGIIPSFGTLHNLIRLDLGRNQLEAADWSFLSSLTNSTQLTELYLDNNNLQGDLPRSIANLSERLEKLLLASNKISGQIPHEIGQLRNLKLVYMEQNILTGNLPYSMGNLRNLFVLSLSQLSELYLQDNNFNGQIPGSLGYCKNIEMLNLSRNRFNGGIPNQLFTLSSLSEGLDLSHNKLSGQIPLEIGNLINLIMLNISNNKLSGKIPPTLGECVLLESLHMESNHLNGSIPESFAALRGVIDMDISQNNLFIPEFFESFRSMKLLNLSFNNLDGPIPTGGIFQNRGVVLIQGNKKLCSRIPLLQLPLCSEDTSTKRHALHILMIDGCIVLSLIIFSCSTIILLNKKKMRVKQVPPTLCKNLKKISYADLVKATKGFSSVNLVGSGKYGSVYRGKLESEEHAIAIKVFKLDVFGAQKSFLTECEALRNTRHRDLVKVVTACSSYDPSGNEFKALVLEYMPNGSLESWLHPNLNKCSLNSPLSLGSRILIATDIASSLDYLRNHCMPPMVHCDLKPSNVLLDDEMHAHVGDFGLAKFLHSNSFSSVNSSTNLLGPRGSIGYIAPEYGFGSKISREGDVYSYGIIILELLTGKRPTDGMFSDGWSLHKYVKKALSQNIYEILDSNIISNFEDEEVENNLGIQNPAEEGTMSSIMQLVKLGLSCSVEASKDRPTMKDVYAEVIAIKEEYSALQGF >Et_3A_023879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1434667:1437987:1 gene:Et_3A_023879 transcript:Et_3A_023879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGESPMENEASTRSPAAAGDATTGHGHGIVGVAPGQKITPEERMAALRFSKAAHEDALRYADMTEEEEMEEYRRAGKLHKYDPDKEWQKRAARLAKAYLPPRLLAHPRIQELLTYLDDDDEDDQAPGSSAAVGDVATGHGGPSVGVAPRRTMTPGEISAARRFGQALLEEWLRYVDMTEEEEAEEYRLAGKLHRYDPEKEWQKRTARVARAYPPPEILEIPNLDEGLKYLEEDEADEALTAASAA >Et_2B_021858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6123896:6125186:-1 gene:Et_2B_021858 transcript:Et_2B_021858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSTPSFTNLLLSSNSSLDGENTRSSQQQPSFPPSYPMSFPPQQFSNNFPYPPNWNPYVAQGGYQQLAQGGYQQLHSSGYPFHGNFGGFHPGMDMSGGLSSPVGSAAIRGALGGSGGSRADECSLISSPVVPAENTNTTDVIEEFSDIEGKKAGRKVWSKDDNKRLIQAWLSNSVDQIDGNSKKGVYYWKDVAAEYNMSAPKGHKRTATQLKNHWNTHSTIVAKFHGAWTTMKNTYASGQSDKQLMDKAQAEYMAIMQTDKPFKFDYWWEAVKDEPKWLNRDVFSDMNKRNKVSASGEYTSSSNTDTDEGSVADRPRPPGQKQAKAQKKGKGKGKSTLTDENIGQFNVLQERKSEAIETMAAAAREHTQAIASKAAAEKERVKLEKMKHLHEVMKTDTSTYSQIQMSIHEKMMLSLSDEIYQED >Et_1B_011753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25621729:25627161:-1 gene:Et_1B_011753 transcript:Et_1B_011753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAETRSAGAADLANGTPEAEEAAAPEVLVEEEDEEPPRSATAKQEEAKAAMGIEGSRPFTMRELLGELKEDGDAAAAGGTGGGSARSAFGEGSGVGSNGAEGSPYSQDSTQQLSSHHDVAMDLINSVTGVDEEGRSRQRILSFAAKRYVSAIERNPDDPDAYYNWALVLQESADNVDPNSSTSKDALLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAILNYEKAVQLNWNSPQALNNWGLGLQELSAIVPARDKQTIIKTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTMRSGKPDVSPNELYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKVGYLTAPPANNAIAPHKDWVRSQFVLNHEGLQQADASDQPPSQSPGHLDRGRKPVKINVADIVSVSACADLTLPSGAGLCIETIHGPTFLVADSWEALDGWLDAIRLVYTIFARGKSDVLAGIITG >Et_10A_000943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19430228:19433202:1 gene:Et_10A_000943 transcript:Et_10A_000943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRPLSFARTAPSPPEPEPASERRTVVVALRRDAAGRELLTWALVKAAAAGDRVLALHVATTASAAEDGGEAATAGEEESEEEGRAAGAAAAADTLASVLGAYGAFCERNQIDLELRIREGASSVKRALVAEAASAGAAHLVLGVANSSSTRPSRSAATAVARYCAKRVPPTCAVTAVSNGVVVYRRDARDAAAQHQHKHQLSHSSSSSSSMVDTPRRLYRKLLDATTTTATTAGDNKAAAQDDLAIGRSLLRRNMSVSMTALVSPRLRLLGPSTPARCQTQKKQEEELPEAAAGWPLLRKNFKAAVSDASSEQVSVVQWAMKLPTRWSAAIAPVVSSEPQVSDDREVVPSGMPAPVIEEEEEETDVPEELVGLREKYSSKYTMFSYNELANITDNFSPDRVVGKGGAGRVYRGLTEDGEELAVKVLKPSADALAEFASEVGILSAVDHDNAMSLIGLCLDAGRLMLVYDFMRRGSLEEVLHGETIRRRRGGGNKEGFGWPERFRVAVGVARALAYLHGDGGGDRRRPVIIHRDVKSSNVLVADDFQPKVLCDFGLAIWAEDAASQVTGDDVAGTFGYLAPEYFMHGKVSDKVDVYAFGVVLLELVSGRKPVSAGGPKGHESLVMWATSVVHGGKLMDLADPSLPPADGGEVERMALAAALCIRREPQRRPSMTNVLKLLAGDGDTVKWAKSEVGVSGDDHDDCGAAASPDKNDIQSYINLALLDDDAGSVGSVDFLGANMSLEEYMKGRWSRSSSFDD >Et_4B_039182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8542329:8545764:1 gene:Et_4B_039182 transcript:Et_4B_039182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQHGGVSPGGGGKGRDMFLVYQNPSVTRALASRSARPSVPVLLVLAVLPVASSSSLLALSSREQQLAKVAGRVGVSAVAAIFLFRLVEAALGLVALFTLPAFFRALMLYNGKKALAKEDKVVLSERQRGLLGLKKAGSEAGGMAEQTKRPPKAKPSTPSEPIVPIRKSSFSYTPPRPLGPSRSASTHLSPVGERLNTALQKSPSTPLQKSVSSSSTPWSRKSSGSAKGIQTEAMLEQFLSSLDENIDKITDSGTKTATPPATITGFGVATPVSVATSTTPSGATRSTPLRPVRMSPGSHQKYSTPPKKGEGELPPPMSLEQAVEAFENLGVYPEIEQWRANLRQWFSSVVMNPLVQKINTSHIQVKQTTATVGASVTVSQVGSDPPSTTAPVSLSPLGGTKDWQPTVTVDEDGMLNQLRSALLRSRDAPVAQTFGSPQQPQPNPQLPAIQACIDAITEHQRLNTLMKGELIKGLLPQSSVRADYTVQRIQELAEGTCLRNYDYMGHGNGFGKSEKKWSSELPTDSHLLLYLFAAFLEHPKWMLHVDPTSYSGAQSSKNPLFLGVLPPKERFPEKYVALISGVPAIIHPGALILAVGKQSPPIFALYWDKKLQFSLQGRTALWDAILFLCHQINAGYGGVVRGIHIGSSALNLLSVIDSDMEI >Et_4B_039517.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2074118:2074969:1 gene:Et_4B_039517 transcript:Et_4B_039517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFPPPAVSLTVLLIATLHVAAIHAQIPSSSTTGAAVAATNPQAGSGSGSGSGGVGAGAGGPDAPLELYMHDILGGSNPTARPITGLLGNIYNGQVPFARPIGFNAPRNGVAIPNANGQVPTVNGNNGIPLDTGLSGAGFLQPSGKSGGAAAAAPAQVQLGPDGLSLGFGTITVIDDVLTSGPDLGAQPLGRAQGVYVASSADGSAQMMAFTAMMEGGEYGDTINFFGVYKVGTPLCRLSITGGTGKFKGACGFAEVRPLIASGQHVTDGAETLLRITVHLA >Et_3A_026096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4252291:4252884:-1 gene:Et_3A_026096 transcript:Et_3A_026096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRCTRFLGQIVQVGRTDPCFKGMYGKARQAEFSCVGHLEDRCSGRGAGDLFASRFLYGLAVKGFRWRSDARSVIRAFGGEVRPENWLWMYKQMHAKGRLLRDLKN >Et_8B_058842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:88843:89767:1 gene:Et_8B_058842 transcript:Et_8B_058842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GVGGGVDGEDGGEVERVHVLGGAVHELADDDAVGPLGGVEVVPEGVEAAVGADAGGARAEAHAVVGPLAAARHLGGDEAVRRRVGDEVHVHAVRVHGHEHRRSSELGVEAPDHFHQPRVVERRRALQLRVALAGAASPQRQLGRGAAERAGAGDEDAARAQRVQLAQDLGRSCFWMRMMLANWRPVSLRMPTPADAKLAPTSSLWPSSCGLRYGSTGSDPSRSLMMSGTFSPLENRPVARCVG >Et_6B_050059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:565068:568713:1 gene:Et_6B_050059 transcript:Et_6B_050059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYNSEFSMENSKGEADNREQPPELKPLRSLAPMFPAPFGYDVETEPTKPMLVFVPPFRPSSSSGQPQQSPAPFVTRTPLPKSPVPLKATPISVGFPAPQHEDESHDEDYEPFPAQKKSKAMKPSKKTSMVGGSNEDNSKCRSIRRSLNKELVSCPSSSDDPSESAEAIMMMFDSLRRRILQLDEKEDANKRADLKAGTLMMQNGLRINNLKVIGPVPGVEIGDIFFYRIEMCIIGLHAPVMAGIDYISAKHFGKDETLAISIVSSGGYENDDDDTDVLVYTGQGGNSRHKEKHDQKLERGNLALMNSLKKKNLIRVVRGAQDPFCNSGKIYIYDGLYRIEDSWMDKAKNGFSVFKYKLRREPGQRDGLSVWKMTVWKMTEKWKQKPLTREKVIQRDLSSKIENLPVCLVNDADNEEGPSYFNYVTGVKVSQKGVYLNFEVFWTGDRGWGLRSWGPIRAGTFICEYAGEVIDETNLNMDDKEYEYAFHTSWLGDKVLRWNLGAELLEEASGNVTTESLKKLPIVISAKDSGNVARFLNHSCSPNLLWQPVQYDHGDESYPHIMFFALKHIPPMTELTYDYGTRGTPPGFKGEFTNACKLKPCLCGSTNCRGFCVDVRTGDL >Et_1A_009136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30447559:30448924:-1 gene:Et_1A_009136 transcript:Et_1A_009136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEIEHTYLPIRGLNLHVAQVGKGELGMVVFLHGFPEIWYSWRHQMLAVAAAGYRAIAPDCRGYGLSDQPPEHEEASWEDLVADVLAILDALSIPKVGQRSPEKRIRKLAMAAALLLTDSPRRAFLVGKDFGAMPAYDFALAHPDRTLGVVCLGIPFSHVPFSFDTMPEGFYVLRWGEPGRAEADFGRYDVRRVVRTVYVLFSGTEIPTASEGQEIMDLADLSTPLPEWFTEKDLDAYAALYEKSGFRYPLQMPYRSTSSSSTARIKPAAI >Et_3A_023720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11203349:11209357:-1 gene:Et_3A_023720 transcript:Et_3A_023720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREAQRQAEAEAVQEPSVPPKENEEDTDMKLSSRVVSLLFGGDLSPAQTFEKWVSLVRKRSGAFRPSGFPRRNSRIEVMPSGSFSLFSSGDLSDLVRTESTGKEENPLIFYQPPEISLWERLGNAAPLDIESSDFSWSMLSSLHHTEHSSSSEHSEDETSKALEVTVNSGGVVFFALFNSSGLSGLPKEAAAVIKFASSKMATQAERLGYEFARLLRVKTPQARIVYNSCEEWQQIRHAAEHARAVAISNNDEVGEMTCSELLEALELSRCLLLMSYIHGSPLLENSKAFNSVDAACITASSLGRVLMLDLILRNEDRLPCRQLGWRGNPANLMISDKSCSSNVDGLEDSKSTMGSSNQQITKIFPREKRSHSENGRFEPQELNVMSSKVESLRSDRENEECTIGNIVAIDTGVPRRPPAGRRVKDHERYPKVVELIVNSSDYCSNILYEISSGKLGQPGPDDFASTDPSCSLSDEENAAVIHEFRGSFRAALRDLEGFHLFLLQLYQKLDGVLRVFWSVISKSSEESENNDVAMLDFPSPRGSYSTPCPPSKQTNSELHCDSEMLKSATKPSSAGSRGSLDSVSPMSRDSGSNKYLKGSAEALRSLRMTMKLRDLYKNPKVDPETLKEIEQWNESLKTDVIKFCQENNFHSGFFDGTESNMAADAYELKIRLEHIIERVSLISDAANTERPSLVVNNLFIGGALAARSKYTLQHLGITHVLCLCSNEIGQSDSQFPDLFEYKNFSISDDDDANISDLFEEASDFIEQVDRVGGKVLIHCFEGKSRSATVVLAYLMLRKGFTLAKAWNLLKKVHRRAQPNDGFAKALLALDKKLHGKVSMDWQHKRPEMKVCPICSKNVGLSTSSLKLHLQKAHKRLSAGSVDSAMTMEIQKSIESLRISRGGSLSPSQKLTKAFADELSL >Et_8A_058041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15424992:15429352:-1 gene:Et_8A_058041 transcript:Et_8A_058041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFLQLFLLASVFTGSQANFTHGNIPKWLDCPSPAPSPAPSQPPSNINNTSNSKFQDNVVQLLFSLPSSAAANAGFASLSKGDGGDRVFVRGLCRGDVPKDDCESCLQKAALDINGSCIGNRRAAIWYEWCFLCYADTNASTPYEEGFRQPLNNINQVSNKAAFEKTYYELMAPVSARAVNGTPESPSVAPMFATGETVYEHDAPFAPNGVMYGLAQCMRDRTAAECRQCLNESLPVIPKDLYGYQGGVVLGYNCYLRMEIYTFYDVGLDGQQAQPPLAPSPSSFMPSTGDTKVPVGTVIIVGVILVAVLFYKRRDGQKLTPPEDCSNKEDIGCGDLEQLNLPFLIAATDNFSEENKLGEGGFGEVFKGRIQSGELIAVKRLSKHSSQGFEELKNELVLAAKLKHKNLAPLIGVCLQQEKLLVYEYMPNNPVKRQQLDWGKRFTIICGIARGLRYLHEESRLKVIHRDLKPSNVLLDADMNPKISDFGLARAFVGDKSRDVTKRPAGTLGYMSPEYAYCGHVSTKSDMFSFGVIVLEMVTGRKSNSTFECLDSTSLLSYVWRKWKTGSAADVVDASLTGQYPENEVVNCLEVGLLCVQENPADRPDASAVVLLLGSSNSTADDVRPEPSRPAFFFGTSGRGSLDAAGGSGSSSAALIQDGKPPSASSSDNVMTISDFQPR >Et_1B_013428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8313376:8319182:1 gene:Et_1B_013428 transcript:Et_1B_013428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDGAADMDQEVQQLENRLREVGERLKTPPDTTEELLKLLAEVEECLLKVDQSPPGSTSDAVRPATEALVKKELLFHKDPNVKLAVGSCISEITRITAPDAPYDDDAMKDVFSIIVESFRHLDKIDSPYFERRASILDTVAKVRSCVVMLDLECDDLILDMFNHFVGTVSSEHPEAVRSCMETIMKLVIEESEDVHEKLASCLLENVRKEKKESPSFLLAEKVIGMCREKLKPVFLVLLKGKSLSEYSQIVTSVCEEDADDKEDNNADLGKDTVDDGKLSERTISDELPQEPSKMEEDVSRPEQDGTSMNGTPGTAVSSGATPADNGGESIAAKPKNSSALESDQNKSDPSDKSEATADADTKKEGPASSGEAANGAADDTSRPAAVTPAKRRGRPPGPKSLEKKAAGKNKRSGDMKKIEEAKDSAGKLTKQSAEDDSKSVEKAGEGESSKKHQKISPEQENNETLSEEDPSKDLSLKEMVSTKSSTKGSGRTKGQSAENSKKREQETETEETPRSRKTKGLDGSLVGSRIKVWWPDDKMFYKGVVESFDAASKRHKVSYDDGDVEVLLLRNEKWEFISEFCASGYLSRPRGRKRKGSSAQPLMEEKIQTPKSDGGDLPKKRGRPKGFRPGSGTPGSSSATPISKGKTASKDVKETPKTGTSIKKEVEKPSKDKATRSTDEKVKDELPKDGDKSASKAKEVGSKGKDSKDEIKSTEGKGRPGRKPKSASTPVESDADKEKQEKESKTAEIEQEASAIASTGKKRRRKA >Et_8A_057199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22236335:22265119:-1 gene:Et_8A_057199 transcript:Et_8A_057199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAVSVTMGVMKPVLAKLGVLMGDEYKKLKGLRKKVSFLERELRAMNALLENMDASDELDQLAKDWRKEIIEMSYDIEDYIDDFMGSVGEAVDQVGILQKACHYLRTFKDRRRLGNQFEELKKRVIEASERRMRYKLDECISNTTRVAVDPRLSALYKESESLVGINSQKEALVKWVTDEGQHLKVMSIVGFGGLGKTTLANEVYREVGAPFNFKAFVYVSSKPDMMRLLNRILSQLGQKCENMSSHVCEMDLIINRVRESLQDKRYFIIVDDLWDIQAWNAITCAFPQNNQHSRVIITTRIEDVAATCSSNHGYVHKMKPLSDQDSRKLFCNRIFGSEDNCPPHFQEVSCEILKKCGGLPLAIITVASILACQPTHLKDQWKYIQNSLASKYATNSTLEDMMHILELSYKNLPNNLKACFLYLGTYPEDYMIRKADLVRQWVAEGFVSNSLPRDVWDIAESYYNELVNRSMIQLVYDDYTTILGSQCRVHDMMLELIMRKCTEDNFMSVVNDPQMMTEGEHKVIRRLAVDSNGIKHGTIAMATTRNLSKVRSLIKFGGTNWIPPLLEFKSIRVLVLDISNWEETIIDLTDIGRLSQLRYLRITNYGLRDKLKVLLGQMRNLRHLETLALRYFLDSDVFPEDIVDLPCLCHLQVDAIPGLPNGIGKVKSLRSLHGFDIANSSLENIKGLGQLSNLTHLSSSCLRIGHPIPLEVTAAWISSLEKLCNLKFLDDLEHSYIVTSVASWVSAPFPDLELLRLRWILSSVPRWIGDLHNLRELALIVQEAGEEDVAVIGRLPSLVRLSLRIKDDIPSGRMVIRGSTGLQLLKDFEFEYTGKSRMTIFEVGAMPSLITLVVRGAYICDRSIQVRLHNLRDLRTIGVPKSQRRMVQTRWAWDAFQKAANALPVSVTMGVMRPVLDKLGVLMGDEYKKLKGLREEVSFLKHELSDMAALLEKMEKAEELDPQAKHWRKEILELSYDIEDHIDDFMDNIGEVGDQIGILQKASHYLRTFKDRCRLANDFKKMKSQVMEASERRKRYMLDQCISNTALVVVDPRLSALYKESASLVGIECQREDLIKCLLDEEPRLKVMSIVGFGGLGKTTLANEAYREVGAKFNCKAFVSISSKPDMMRLLTSILSQLEQQDKRTSPHVCEMDIINTIRKYLQDKRYFIVVDDLWDIPAWNTISCAFSQNNQHSRVIITTRNVEVARACSTNHGYVHIMKPLNEQDSRKLFFNRIFGSEEKCPTHFQEVSCAILRKCGGLPLAIITIASILACQPIALKEQWDDIQNSLAIKSQAKSTLEDMIYILELSYKNLPNNLKPCFLYLGTYPEDYKISKVELVRRWVAEGFVCNSTLGRDVWDVAESYFNELANRNMIQPAYEDGNYIRVSHCRVHDMVLDLILRKCREDNFLNLVTDPWMMGEGHDKVSRRLTVNLNGVKDCSIAMITTRQLSQVRSLNIFGGSNWIPPLIEFKSVRVLFLDISGGKGTLDLSVISRLSQLRFLKIINQRWSFCKPILGQIRSLRHLETLELPYLSSNCRVPNIVDFPSLCHLVVKGHSGLPEGIGKVKWLRTLEGFCLPSSSLENIKGLGQLNNLTYLFINSDDSSCHAPSRGWMAAFISSLEKLNNLKCFIVEFDVFAVSVDCFSSWVSAPFCDLERLDVSVWMFSRVPRWMGDLHNLRELSLLVSQIGREDVRVIGGLPSLVQLYLLIPGVPTGRIMIGGSMGFRLLKWFNFDCDGTSCLIFEAGAMPSLVKLRLNLYEERWDKGTPVGLQHLSSLKEIEMAGITVSVSMGVMKPILAKLGALMSDECKKVKGLRKKVSFLERELMAMNTLLEKMDNADELDLQVKNWRKEIIEMSYDIEDLIDDFMASVGEAGDKVGILQKACRYLGTFKDRRRLANQLQDIKTLVIEASERRMRYKLDECLSNTTSVVVDPRLSALYKESENLVGIDTKKEDLVKWIMDEEQRLKVMSIVGTGGLGKTTLANEVYRKVGAQFNCKAFVSVSSKLDMMRLLNSILSQLELQDKNISSHVGEMDLINNIRKHLQGKRYFIVIDDLWAIPEWNNVISCVFPQNNQHSRVIVTTRNEHVATTCSGNHGFVHKMRPLSQQDTSATKSTLEDMMHILELSYKNLPRNLKACFLYLGTYPEDYNIDKTELVRGWVAEGFVSNSQGRDIWDVADSYFNELANRSMIQPVYEDDYNIWVSHCRVHDMMLELIMRKCSEDNFMSVVNDPQMMAEVQDKFIRRLTVDLNGIKAGTMAMPTTRNLSKIRSLFIFGGLNWIPPLLEFKSVRVLFLDISGICEGTMDLTDINRLSQLRYLKIKSLWHCECKVLLGQIRSLQNLETLELSDLPSDCLFPSDIGYLPCLSHLVVQGLLPGLPAGIGKVKSLRSLYYFDMMESSLENIKGLGQLNNLANLFIYCGRQHPSASRQEVMAALSSSLEKLCNLKCLVVQTASECLFASNWVSAPFPNLERLDVEEWIFSRVPSWIRDLHNLRKLSLWVQQIGEEDVGVIGRLHSLVQLSLHIQDDIQNGRMVIGGSTGLKFLKFFDICYIGKSRLTTFEVGAMPSLIKLRLSINARGWGVNVDKGIHVGFQHLPSLKEIFRHVKPRDKSISVRDAFQEAAKTLPGSPALRMEIAVTVSMGVMKPVLAKLAAFMGDEYKRLKGLQEEVSFLKRELSDIAVLLEKMDTADELDHQAKHWRKDIIEMSYDIEDCIDDFLDSIGEDGNKVGILHKASHYLRTFKDRRRLANEFKKMKSQVIEASERRKRYMIDQCISNTTSVVVDPRLSALYKESASLVGIDSQKEEIVEWVLDDEQQLKVMSIVGFGGLGKTTLANEVYREIRAKLNCNIFVSVSQKPDVMRLLISISSQLKQHDLKASSQVGEMDIINNIREHLQDKRYFVVIDDLWDTPEWNTISCAFPQNNHHSRVIITTRNEDVARACSNNHGYVHKMKPLSEQDSRKLFCNRIFGSEDNCPCQFKEVSCAILKKCGGLPLAIVTLASILACQPIRLKEQWEYIEDSLATTSATISTLDDMMHILELSYKHLPGYLKACFLYFGTYPEDYTINKAELVRRWVAEGFVSNSLDRDVWDVAECYFNELANRNMIQLAYADDNNTRVSHCRVHDMMLELILRKCKEDNFMSLVNDPRMMAEGNDKKIRRLIVELNYCVTGVPMVVTTTRHLSKVRSLNIFGGSNWIPLLLDFKSVRVLFLDISGCNGTVDLTVINRMSRLRYLKIINDGRWCTSTLLLGKIQSLRHLETLELPSLASDSRITSDIVDLPRLSHLLVKGYPELPEEIGKVNSLRTLDGFCLPMSSLENIQGLGQLSNLTYLSVNSKIYWGRTPLQGWMAVFSSSLEKLSNLKCLIVDSNDCDMCTDALSSWVSAPFRHLERLDLPVWMISRVPRWIGDLHNLQELSLWVEEIGEEDVGVIGRLPSLVQLYLRISGILSGGIVIAGSTGFRFLKSFHFDCDGTSCLTFKAGAMPSLVKLRLILDGKRWDKGTPVGLQHLSSLKEIHVRMTAVSKLARDAFQKVADAHPCGPAFTFVHVIYLR >Et_3B_028982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21010066:21017392:-1 gene:Et_3B_028982 transcript:Et_3B_028982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGGARGAAGPVPASARKLVQGLKEIVNRPDAEIYAALRECDMNPDEAVSRLLSQGPISRCARALSPIFRFLRLVVDTFQEVKSKRDKKKEVKEAPEPRSRGTSNNRANRGGAERTGRSSSAQSGSGGTDYTASRSSILGPAMPGTNSSQKQTVPSLPANKDVAPNGSVGAPQSSSGFQNGWCGVPGQMSMADIVKMGRPQIRSSSKPIATTDTSYAGQSQNSKQTASTALSTTFDQGFPALPDPVPQTVNSSHASAANHRAHENDWFPQEEPAPGNQSSGIETSGDPLLAAHATDSQENSHAEENSPSEVKSSLLSERHLEVLEENNQFNDDLQGSSAYQPEVHSYVDGEVDVSNVDAESASTKFQHLSIQNEDTKSAEEIPAVILPDHLQVANVDCAHLSFGSFGSGAFSGLLSSNVPQSNLEEAPIPDESPSVNQVDVRNQDYYDQSALSSSANEDVETRNRANMENIDVPSVSEPDVLRQGALDVPGLQYDLPSVSSHSYANTIQPSTIDDSQGNTQAQHLSPFSSLLQGNSLPNNLLGSNLTPLRDFDFSQLIQTQSATKYNPSVAANNLPAISMQETLKHGGFPNTQSTQNLPSTSMPSGLPLPQQLPVHPYPQPTVPLGPFASLVGYPYLHQNYYLPFQQAYSSNGPFHQSAAPAVPGAGMKYSMPQYKSSPPAASLPQPSSLSGYGGFGTASNIPGNFSLNQGASVSTTMGFDDPLGTQFKDPNHYAALQQSDNSAMWLHGAAGSRSVSAVPPGNFYGFQGQSQQGGFRQAQQPSQYGSVGYPSFYQSQAGLPQEHPQNPTEGGLNNPQTAPSQPSHQLWQHGY >Et_4A_032207.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24374752:24374949:-1 gene:Et_4A_032207 transcript:Et_4A_032207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKREGVRGEGIYGSSGCTTHKERRQAMGSGWREAARSSVLGVVHLGSVWLFFVATSHCCVRS >Et_4A_035543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28364668:28367699:1 gene:Et_4A_035543 transcript:Et_4A_035543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVWTICRIFKRNITYKRQQQQPQQVWRQPPADRNFQLPAESSSNTGSFESDGGDEYMNRLPGSAPAIPQHQHVSNQVNMLHGVGSYFRDSVHSQKFQGQWFNSLPMTVYEQKPQVNPSAMTIAFHQNNQSLATNEFYKDGYLDEIGRFMEINDPTPTAFYDYISVDIRDAGFNRQCAATIPIIGLSSSELLADLSPVRASSLNDFLGFGGFNHSDKLGNSSSESLFFSTFFLLSRLVTSVVKDFSIEAVFVLSLILLKDDTESSELWKMEHSSDIEISMEIILLFLAQLGSSAG >Et_5A_040811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12939677:12943694:-1 gene:Et_5A_040811 transcript:Et_5A_040811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGTATGGLVHLRPPQLPPPSRSASPRLFGTQQPLPRRRLQRAPLPAAASVAAEGAAYTEPEEALLEALLGVQGRGRGEVESAVQALESLGGVPDPTSSGLIEGSWQLIFTTRPGTASPIQRTFVGVDSFRIFQEVYLRTDDTRVINVVRFSESVGDLKVEAEATIENGKRILFRFDRAAFTFMFLPFKVPYPVPFRLLGDEAKGWLDTTYLSPSGNIRISKGNKGTTFVLQKSADPRQTLLSAISAGTGVKMTEGQNWSSIASAGLKGFQIIKKDGQLQNSVRSVPGVSLNASGNILKKNSSAFSVSMKGGAVKVGVVQFPLDTQGEFVMEILYVDNKIRISRLNQHMLVKLVNWADT >Et_1A_006597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2576670:2578723:-1 gene:Et_1A_006597 transcript:Et_1A_006597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDRRSPLLGAGAGGRSPSLRRRDSARSLRSTFLSRLPDKVRAGLDPERPADLDLARAKGLSPGEREYYEKQLATLRTFEEVETLCMPDELDSDLEAFEDKEQKQSESAMKISNYANIVLLVYATIRTGSMAIAASTLDSLLDFLAGGILWFTHLSMKSVNIYKYPIGKLRVQPVGIIVFAAIMATLGFQVLIQAVEQLVENKPGEKMTSEQLIWLYSIMLSATSVKLALWLYCRSSGNSIVRAYAKDHYFDVVTNIVGLVAAVLGDKYLWWIDPLGAVVLAVYTIVNWSKTVLENAVTLVGRCAPPEMLQMLTYLAMKHDTQVRRVDTVRAYSFGALYFVEVDIELAEDMRLREAHTIGESLQEKIEKLPEVERAFVHVDFESTHKPEHNVPSRLPSTEP >Et_7A_050685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10065015:10066473:-1 gene:Et_7A_050685 transcript:Et_7A_050685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVVAVAYDFVVKVFFAAAPAKKGDGKAQAMKVAKAVKSGQVKKKTKKIRTSVTFHRPKTLKKPRDPKYPRVSTPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >Et_6A_048002.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:3199511:3200152:-1 gene:Et_6A_048002 transcript:Et_6A_048002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRMNGVYTLSLRRVDLHSRQNNLFCPSAAAAHDPETLFSIDRRNMSSAAKKLKRIRLAAAAGTTMSFQPARYDLSLKTHCVGLSESRMAFMAHGSLGGAFVYDADERCVTGLPSLRGGRRWPPVLLSAAGGDGAREGDRIYIMEDYLEPAARGREEEDRFQIQALVRRPWPCFGPARWDYVELPPPPDDFGNVSVDASDVICVSKQIAGIL >Et_9B_064483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15603472:15606819:1 gene:Et_9B_064483 transcript:Et_9B_064483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEKEYAPVPLGQAAAEAAAAAVDPEDPVKSPPRPSAPASSTRKACFAVLQSWVSRKFMTGCVVLFPIAVTFFLTWWFIQFVDGFFSPLYAKLGFDIFGLGFLTSLVFIFLIGIFVSSWVGSTVFWVGEWFIKKMPLVRHIYSASKQVSTAVSPDQNTTAFKEVAIISHPRVGEYAFGFITSTMVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSAEIIRPNLSIREGIEIIVSGGMTMPQVITSLEPTPHKSQMRLDRVMTDCGDEVAYTPDMFGFILEAGTHSTMKQ >Et_1A_005414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10168978:10172186:1 gene:Et_1A_005414 transcript:Et_1A_005414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVVEQIGRGAYGSAYLVHHKAERKRYVMKKIRLSKQNDKFQRTAYQEMSLMASLSNPYIVEYKDGWVDEGTCVCIVTSYCEGGDMAERIKKARGVLFSEERVCRWFTQLLLALDYLHCNRVLHRDLKCSNILLTKDNNIRLGDFGLAKLLMEDLASSVVGTPNYMCPEILTDIPYGYKSDIWSLGCCMFEILAHRPAFKATDMAALVNKINRSSISPMPPIYSSSLKQIVKSMLRKNPEHRPTAGELLRHSYLQPYLAESCNCSPIYLPVKPTKSNLGDKQSRKPSSGRKRIIKATGPNEALEIAAEQSGETRDSSTNFSDVSTIGTLDACISQIAADQERKISDASSFQHTEDNLTTISDRQIDDTVHLKAIRTSKVADEAPVSSANHKLNEAPIPNEELTIGVVQEQKKDVKTPSCKVAKPGLVDPAMTEESSPISTLKLAHTDSTPAEWDHLNIVQQRADALESLLELCAKLLEQERLEELAGVLRPFGEGAVSSRETAIWLTKSLMTPPR >Et_5A_041881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3799398:3802030:-1 gene:Et_5A_041881 transcript:Et_5A_041881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KMLVLAKLGTPSPPGCSKMILNYLGAACAIDRRKLLLVPAISVGIGSFQYMFGKAAAKAEFADMPALRGKDYGKSKMRYPDYTETESGLQYKDLRVGDGPSPKTGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGGDKDFFKFTVGSGQVIPAFEEAIQGMAPGGVRRIVVPPELGYPDNDYNKLGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIYPNQ >Et_2A_018335.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23756675:23757316:-1 gene:Et_2A_018335 transcript:Et_2A_018335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMAALPDDLVEGILLRIPPDEPALLVRAAVACKRWCRLVSDPGFRRRRSALLLGTVRNSNRGDVVRFILVCYIRPTRTEFRSWWALDSRHGRVLLIQSSPRTSRFDVGRALAVWDPVADKLRGLRAQRPAAAANPVVKLERDGGVRMRRHRIIRLRPPRLPVPRRLLLGSDHREGKYLAYLYSSIANAWSEPTTYAPAPSRIDRDSREMSW >Et_2A_016650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26968660:26969972:-1 gene:Et_2A_016650 transcript:Et_2A_016650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLQGWCRGGSSSSNNWDLHAVVRFACGGPGQAQGAPSPSDESFAWPLPMPQPGWHDDPAVDELFQALLAAPEPEAAPQPSSPTTQLQPAPSDEAPAKPRRGGGGPTRSKRKSKKSQVSKEVTRVPAGGPSADLWAWRKYGQKPIKGSPYPRGYYRCSTDKECRARKQVERCRADPAMLIVTYTGEHSHPVPLHRNSLAGTTRTKPSQHQQQSVSSAEETSQAQQQQAQSESATGLSSAPSPGDDSANNKPQSSPASGCASGLSPGTPLRSPSVSVGVESYEDDEEDDDTVAIRLLLEDTDMGRAETDDALLFLQPDEPIPGMGNDAAMLYPNDKPNEPGPGPVSSGGAEDTMLFPNPGDEPQPTTVSASITTNGGDSTPPAVMNFAEDKFSISGLSAWEAASGWGL >Et_3A_023182.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:6497502:6497828:-1 gene:Et_3A_023182 transcript:Et_3A_023182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEPTYPAALGTRICGTWSEKAAAERDEEFTASCTASCVFSTADAKDPAGGMSRLRLPAAAGLLGLALGFSVERRTPTVRAGHTPGPRLGRQEKGRRKNSRRRLTTY >Et_2B_022170.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:10025669:10025950:-1 gene:Et_2B_022170 transcript:Et_2B_022170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWYGQFPLLLACFRRPPAGLAAGARHSELGGLLRSRARGTTCGASVDSLLLRREVSSSSSLSPFMNSRKAASHCLRPSHATERRREEAAGTA >Et_1B_012866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3649012:3654077:-1 gene:Et_1B_012866 transcript:Et_1B_012866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRPSGPAPARFVAVALLLAALTGGAAAGAIRSDGYGRARRLYMKNRVLEMFYHAYDNYMAYAFPHDELRPLTKSFTDSLSELGNLNLEHLPQDYNGSALTLVESLSSLVVLGNLTEFERGVLWLSENLTFDVDARINLFECNIRLLGGLISAHLLAKEYISQLKDGLYRDQLLHLAVNLGNRFLPAFETPTGLPYAWINLKHGVMENETTETSTSGCGSLILEMGALSRLSGDSRYEDAALRALRKLWSMRSSLNLVGTTLDVLTGKWIEYSTGIGAGVDSFYEYLMKAYILFGCDEYWDMFHSAYLAVQKYFRHGPWYHEADMRTGAATHWQLTSLQAFWPGLQTLLGDVAAANLSHREFYNVWQRFGILPERYLLDYGMLHPTEKYYPLRPEFAESTFYLYQATKDPWYLEVGEAIVGSLNYYTKVDGGFASVRDVSTMKLEDHQHSFFLSETCKYLFLLYDDSFLKNQNYIFTTEGHPLPIRSTWHEKFPATHVPKSWTSVQDDNQPIRASALSSQVCPETIFRKSVGSQWESACHVPDVYPSHRCSTDDDCGIESVTCRKRTCSMAGYCGLWLVVY >Et_4A_035276.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:16847443:16847862:-1 gene:Et_4A_035276 transcript:Et_4A_035276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQLTAKHLALAVPNQTMMSPRALLLAAILLASVAAAPTAAARAGPPLLGGWSPIKDVSDVHIQELGGWAVAEHVRLANDGLRYDKVVRGEEQVVSGMNYKLVLDATDADGRSATYEASVYEQEWTNTRELVSFEPEN >Et_2A_018428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27983171:27988381:1 gene:Et_2A_018428 transcript:Et_2A_018428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSGQSMTKSLERYQKCSYGGPDTAVQNKENELVQSSRNEYLKLKGRVENLQRTQRQVELNLLGEDLGTLGVKELEQLEKQLDSSLRHIRSTRTQHMLDQLTDLQRREQMLCEANKCLRRKEKLEETNQVQGQVVWEHGANLLGYERQSPQQAPSHVGNGFFHPLEAAAEPTLQIGFAHEQMNNFMPTWLP >Et_2A_015909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1971484:1976767:-1 gene:Et_2A_015909 transcript:Et_2A_015909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRLLLLLHAFAALATQAAARGGHDPSVTDDGNHGSFSRNLLQDKPRITEEMVRGYMSNHELENAVHAFGSRCPNISRIYSIGKSVNNFPLFIGNVHGDEPVGREVLIHLANWLCDNYLKDPLATLIVENIQLHILPTMNPDGFALRWRGNANNIDLNRDFPDQGALVANYPWDGTRDKSKQYYGCPDDKTFRYMASVYSQSHHNMSLSKEFEGGITNGAFWYPIYGGMQDWNYIHGGCFELTLEISDNKWPKAAELPVIWEHNRMSMLNLVASLVKSGLHGRIFAADTGQPIPGSVMIKGVDSKVNASMTFGDYHRLIAPGEKYEVIASMEGFRPKATRTVLLEGEAVNLDFILDPNGPDGQMKLLRNDCGCHCEDDKLFHMQGPNLGLYLLVSFVLLILFLLFKRRSASRLLTYRYSPRRPVAV >Et_5A_041541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24029734:24034987:1 gene:Et_5A_041541 transcript:Et_5A_041541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQCFAFCAMFPKDYEIDVDLLTQLWMVHDLIPAEDDDHPETTGEKNFKELTWRSFFQEVKQTSPNIYRERLRFRKITTCKIHDLMQDMALSVMGKECVTIVDITSMKKLLPNPTLHFFSSYHGIPLNEFLKKQGPRLQTLFCEDNTVPYRYISKYTSLRAVHLPVWFARKLYLRGQIQHLRYLNLSRNKELKYLPEEISIMYNLQMLDISHCENLHQLPKDMKYMASLRNIYTNGCKSLTCMPPGLGQITSLSGCSTIAELEKLNLGGELELSCLENATEAHAKAASLENKEKLSHLSLGWNSEAPEEPEQDCHKKCEGFPSFSHFKALQVLYLKKLDKLQRLCSDVESMIFPALKELRLRDLESLNRWVALEGKEELTFPVLEKLDIKNCPKLTSLPEAPNLKDLVVDEHKALLSLEVLKSKNIYSLSKLELSTRDTEATPPQIDENHESFVSELKLYGGFPFFFSSSPPQPSFEAWKWFGKLTILTLVRCDALIYWPEDVFQSLVSLKNLNIGYCDKLKGRTQVKGGEPIETVDQPDRALYSPPIAQTISIRWCPRLESIWGDEEHPETNTDIQLEYSRDLASTSVPEQSPSPTNRRPCLEDLCVYDCDNLATLPTLLPPSLKLLIIQGCRMLCSVSGHLDALESLYIYECGKLESLDSLGGLPSLERLTLDGCRCLASLPGVLGSYSALWKLEVKYCPAIDLKPLYKRHQQRLDNLEEKDISHAHSSNLPQVVVNGSLFAAAAAAALHPYLTFLGVTRLLRNLQSNIAQPRFTSATNSGSTALKRKTSHAHSCNPYKGVPKSSKLEIEGRGFHTNLYAVRGTYSVGKEGKSKIQWLRSMVLCDKDRTPKKAQEMGHLERRILEVNRKRIKVVKPGSKTSFPTTEVRGTYAPPFHLSSSRVELYRNDQHRFKIVVDGDNEVDLMVQTRHMRDVIILVIRGLAQKFNSTSLNTLLKIEA >Et_2A_015558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1563038:1568989:1 gene:Et_2A_015558 transcript:Et_2A_015558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGFTRSQRITSDKLAWPFLKPVDVVDLNLPDYYEIISKPMDFSTIEKKMEGEDVFNKYKSVREIYSDVQLIFTNAMKYNDEQNEIHLMAKALLEKFEEKWLHFLPKVESEVKFSEPYMHLFVYVDMSGLAFDKSELDNIVFHQIFTIFSCRKKDKRRSHRMLPPQTLLEEHLMTLANGVPAAAEVSPPPEAESEVDAFRRQVDELASKADVLERRVSEVVDFYDGKKPGSGGRKTGGGSRGGHSRGMPDLMRQFGAILREITSDKLAWPFLKPVDVVALQLDDYHTIISEPMDFSTIEKKMEGKDGTKYNSVREICSDVQLIFTNAMKYNDEQNEIHLMAKTLLEKFEEKWLHFLPKVESEEKRQKEESKDAAPTNTSREASFAKIAKDTDEELNAINKQLEELRKMVVQRCRKMTTDEKRKLGAGLCHLSPDDLTKALEIVAQENPSFQTKAEEVDLDMDAQSETTLWRLKFFVREALERQANVASGKMDENAKRKREICNALAKTASKRIKRQP >Et_7B_053382.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:14555734:14556060:-1 gene:Et_7B_053382 transcript:Et_7B_053382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QRRGARNAAAGIRDESDDLSEVLISHVFWRLRVASCAPSSHTCRSWRPAVEDPGFVRRHLEPSSRARRPLSVLVVRGRQLPHRRLVDEKAMGQSSSLVSAITRECSAK >Et_3B_031157.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:19031774:19032802:-1 gene:Et_3B_031157 transcript:Et_3B_031157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDRSSRFASSESRRSRASPYFSSDGRSSGSRRSEESSAANISSHGRSKVSRRSEESSAAAAAVAAKEAVEWEEVRCPVCMDHPHNAVLLICSSHDKGCRPFLCDTSSRHSNCLDQYRKASKDSSKDSGAAAPECSECQQQGNLSCPLCRGPVSHWIKDYDARRHMNCKVRSCTMESCEFKGAYSELREHARAEHPAARPMEVDPVRQRDWRRMEQQRDIGDLLSMLRSGFSTSLDDAGIGASEEGEEITERTLHSHGHGHSITMIFIMRSTNSIQQYLSSRSRLFVVSRSVDESHTARASGDADATGRDSEEGDDPVPSTEASQRDAEEEAEEADGDPAQ >Et_1B_011632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24426746:24429986:1 gene:Et_1B_011632 transcript:Et_1B_011632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPLASRLPFPFPSASRPPPPRTLAPPAPRRLPVRLAAAAARRFRPPTADDEPPEAAEDSSHGLTRYEQLARNVERARRRQQDSQPEITPDHPLSTSPSSADGGGSYDPDDEFFDEIDRAIAEKREEFTRRGLIKPSPAPPSEPQPEIEVLPDELSPEEVIDLDEIRKLQGLSVVSVADEEAVGGEEDEGDDGLPFDEDGEDFDVAEELGLEGARMRQPAFRMTLAELLDESKLVPVAVTGDQDVALAGVQRDASLVAAGDLFVCVGEDGLAGLTEADKRGAVAVVADQDVNIEGTLACRALVIVDDIVAALRVLPACLYRRPSMEMAVIGVTGTDGVTTTTHLVKAMYEAMGVRTGMVGVLGAYAFASNKLDARPDASGDPIAVQKLMATMLHNGAEAVVLETATDRMPPSGVDSEIDYDIAVLTNVRHTEGEDGMTYEEYMSSLAALFSRMVDPERHRKVVNIDDPSAPFFAAQGGHDVPVVTYSFENKKADVHTLKYQLSLFETEVLVQTPHGILEISSGLLGRDNIYNILATVAVGIAVGAPLEDIVRGIEEVDAIPGRCELIDEEQAFGVIVDHARTPEALSRLLDGVRELGPRRIITVVGCCGEKERGKRPVMTKIAAEKSDVVMLTSDNPANEDPLDILDDMLAGVGWTMEEYLKYGSNDYYPPLPNGHRLFLHDIRRVAVRAAVAMGEQGDVVVITGKGNDTYQIEGDKSEFFDDREECREALQYVDQLHRAGIDTSEFPWRLPESH >Et_2A_015229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9616375:9617952:1 gene:Et_2A_015229 transcript:Et_2A_015229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYYYCLLLVLLALLLYPAKCYITPKQSRHRKLRLPPGPWQLPLIGSLHHLLGALPHRSLRRLSGHNGPLMFLKLGEIPLRKICVLELLSASRVQSFRPVREEEVARLVQAIFSMSGSLVNLSELVAAYVTDTTVHAIMGSRLKDRDAFLRYVDQAIRLAGSFTLADLFPSSRLAGALSWTAQKAEVYREDLLKFLDCVISEHRERRSHEEARQEDLIDVLLRIQGQGSSDHLTTGTIKALIFDLFSAGSETAATTLQWAMTELMRNPSKMSRAQEEVREAFKGRTAVLEEGLNELTYLDWVIKETLRLHTPGPLLIPRECRETCKVLGYDVPQGALILVNAWAISRFESDTRDFKGNDFEFTPFGGGRRICPGMLFGLANIKLALANILFYFDWSLPDDSRPSEVDITEAVGITARRKRDLLLRATLRH >Et_4B_036665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10123577:10127203:-1 gene:Et_4B_036665 transcript:Et_4B_036665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGARRVLEAWRLGVVRYGDALALQERLVAGRKAGRVGDLVLSLQHPPTYTLGKQREKAERNLLAPEHELRALGAELHRTERGGDVTFHGPRQAVLYPVLSLRPLGIGARRYVEGLESAMIETAALHGVSARPGDPGETGVWVGNRKIGAIGVRISSGFTWHGLAFNIDPDLGYFEHIVPCGIAGKGVTSLRREVADGVELPPDEVVHDQLVRCLARTFGFPDVEVRDASECADMIRSAAATPSIVAMGREPLVGPWPKPRLPPTSEKAAEMRLEKGRNRTKFLGSKNQLPSIHRPPIYKKWKNLMAPNLPASTTSSGTAPAAPAKASWHSVLQDAGFCSTTPAPHGYVSDRCSTGAGCGLLASGGVPRRAAAVALEEGEPPALAAWDGSCGAEESKQMETAFPDEVCSWNSEGESGRKALLHVCSL >Et_4A_031801.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:16567335:16567837:1 gene:Et_4A_031801 transcript:Et_4A_031801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPARAEVLSLFRSFLRTARQFSDYNIREYTRRRAADAFCDNRALSDAPAAAAAFKEGRSSWRWRSARRWCTRSTPPSPRASWR >Et_7A_051870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25840964:25842989:-1 gene:Et_7A_051870 transcript:Et_7A_051870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSCIACGANTATCSCQQQFHASADHDHGTFSIFPVLHDQLGMQPPGSLHEFQFFSQSEDHENVNWLFDDDPPHTIVDDDENHHLQRPSTYHPFGPQYHPGNGLTLEVSLDRGKLMLAWALLLPAASLRSQRQAPQSTFTDAATSRRSDPILIDGRLQRLVDPMVEREAKVMRYKEKKKRRCYEKQIRYVSRKAYAEMRPRVKGRFAKVPEAATPRQLTLATSCYDPSRLDPGRWFHS >Et_4A_034295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31489166:31491475:1 gene:Et_4A_034295 transcript:Et_4A_034295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYERFVVPEGTKKDPNVLFAGYKLPHPLQYKIIVRIHTTSQSSPTQAYSQAINDLDKELEYLKQAFEDEKNRYEERMKQGF >Et_10B_003538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2827452:2838767:-1 gene:Et_10B_003538 transcript:Et_10B_003538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAADRRLLAAAANLPLRALFFLVRAVGPASPLLQASAFGWSQLRRRERGRRSGAGGFPAMARPPILSVALPSDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGFDVDPINSVQFSNHTGYPTFRGQVLNGTQLWDLIEGLGENDLLHYTHLLTGYIGSVSFLETVLQVVEKLRSVNPNLVYVCDPVLGDEGKLYVPQELISVYQQKVVPVASMLTPNQFEVELLTGLRINSEQDGLTACNTLHSAGPQKVVITSALIEGKLLLIGSHKKTKEQPPEQFKIEIPKIPAYFTGTGDLTTALLLGWSNKYPDNLETAAELAALLKRTVEDYKRAGFDPSTSSLEIRLIQSQDNIRSPELTCKAVKYS >Et_1A_007157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31411815:31417186:-1 gene:Et_1A_007157 transcript:Et_1A_007157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFWERRLWLSKESRTQRFAKWECVKDKLRQASKKARSDTSETRAKESGTIPIPASPTSFLEAVPRKQSQAFGRRRSFPELSVGGLRWAERGGGGGGSVQETRGEGAFVGGEEKMILPVAKLGTLLLRTMSKPIATRLKTEASRHPKFRQLIINLAQANHRISTRIQRRIYGHSDNVVIRPLNEEKAVQAAADLIGELFVFSVAGAAVIYEVQRSSRSEARKEEARKQEIEALRQKEDQLAQELLTLKQKLGELEHLANSRGLTGVFRSKSVPDQTKAP >Et_5A_042310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8641750:8647575:1 gene:Et_5A_042310 transcript:Et_5A_042310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLSVVPRVLCDTVAHGCASHSPASRSGAARRTARRASWGSGALFIVSEFGVGRFSHCLRSDANVGAKPTREAERRSPRRRSRVQGRDTLRSTTSWSRRHHRAGGLTVLSGTTFTYLSEAGVALAGAMLTLAAVVIGNHSAATRHDARRLASLSAIGSRTSSFQTLVENGSGAYLVNLSIGSPPLAFPAILDTGSDLTRTQCAPCPACFAQPTPLYDPSNSSTFSKLPCASPECRSLPGAFRACDASDGCAYDYHYTVGYTAGYLDADTVALDGASLPGVAFGCSAANGGPMDKCRASWGSGVARCPSFRSSASAPDLLRLRGERDGRHGGAVHAARSESRGRRTTTSTSPASRSAILTSLSRATRSGSRRPDPGLRHDVRVPHRSCVRDGEAGVPVADGRRPDEGERCIVQLRPLLRRRRQRCRRPLRRRAVLSDACSALRWRRRAEYVVPRKSYFDGVEEQGRAACLLLLPTKGVSVVGNVMQMDLHVLYDLDGGVLSFAPMDCASA >Et_3B_028526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16448110:16449978:-1 gene:Et_3B_028526 transcript:Et_3B_028526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVGSFLNRGVGVAGMSSTLEEVQMQESLVFSETIKDLKTLRSQLYSAAEYFEVAYMQEDSKQAVMSNLKEYAVKALVNTVDHLGSISFKVSSLVDRSFDDVSDANLRLCCIQERTQISQACMDREGFSQQALVITAPKYHKRYTLPAGYESMPSAVPNFREMNKATNRAAQMHQIFSASCDQSLVHLHSVHVQHHQRQLSVHVLCHHLILHYPPIERSDSPIPTTRLTRSGSINSKKPSLLKTSSVRVQTTSQPKKLAPLMSQADRSIDTKDGEQTPKKSRKFLKSLLSRRKSRKDEPLPSYFDDY >Et_4B_039004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6983169:6986497:1 gene:Et_4B_039004 transcript:Et_4B_039004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGAIARAARGPTTHHAATHCCHAVLLSVRVPRSLCGGGGKRCVTAAATGDRARSVAADACDERGLAAHARLEEGRRRAPRPDADDAASPGGSKRGSVAGAVALIVGTSIGSGILAVPQRTAPAGIVPSAACMVTCWAFLVAEALLLVEINVYLRRRKNKDNGGGGDLEVISVKSMAEETLGAWGGNVATVAYLFLSYTSMVAYASKSGEVLSRLIDVPEAVSGGAFTAALALLIAAGGTGVTDRVNQLVTFVMIGLLLTIEVSAVAFSGGLSLPTNSNWEQVPAALPVIIFTLVFHDIAPVICAYLGGDLARIRLSILVGSLMPLLSLLVWDDIALNLATDVHGFDILEILKTEWSYNLVETFSLLAVGTSLIGTLLGASQFFIEQMTILASPAAHGHEKVNKGIAIFPKEDGSKHLSVDAILEKNRLSHIATALVVVPTMVIAATVPNSFSIATDIAGGYCMTILYGVLPPMMAWHIVSKRPDPSSEVEYEEPSKDDKGKMELTNAKPVLVGMGVFSVLMVFEQIFQDMVTLNTSLVS >Et_5B_044222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21648990:21652669:-1 gene:Et_5B_044222 transcript:Et_5B_044222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSSSVQLNDAAVLDAKPLRTLTPMFPAPLGLHTFTPQNSPSFVCVTPFGPYAGGSDSGIPAGVPPMFTAAAAPADPIQRQPYAANMNGAGHANVAANNSMVTPALQTPPSAATQEPVKRKRGRPKRVQDSSVALAPSAHPVSSIYSVPSLPLVPSVQEGNNIQTPSSSTVQQSGKRKRGRPKRVQDVPALSTPQADSTPILQIAPAPPMHESGTRKRGRPKRLEDSPDTSTPPVHSKDSKPTFQTPSAATSAEGGKRKRGRPRRVPDDSVTPSSHSGHSIDDDTTDATKRGQLTKIDTNLLQLPSLFSNDPRESVDNVLMMFDALRRRLMQLDEVKQGSKQQHNMKAGSTMMSAELRVNKNKRIGEVPGVEVGDMFYFRIEMCLVGLNSQSMAGIDYMIAKFGSEEDPVAISIVSAGVYDNAEDDPDALVYTGQGMSGKDDQKLERGNRALERSLHRGNQIRVIRSVKDMTCPTGKIYIYDGLYKIKESWVEKAKTGFNVFKHKLLREPGQPDGIAVWKKTEKWRENPSSRDHVILLDISYGVESNPVCLVNEVDDEKSPSQFTYTTKLNYRNSMSSMTKMQGCKCASVCLPGDNNCSCTHRNAGDLPYSASGILVSRMPMLYECSDSCTCSHNCRNRVVQRGTQIHFEVFKTGDRGWGLRSWDPIRAGTFICEYAGEIIDKNSMNEEDDYIFETPPSEQKLRWNYAPELLGEPSLSDSNETPRQLPIVISAKRTGNIARFMNHSCSPNVFWQPVLYDHGDEGYPHIAFFAIKHIPPLTELTYDYGQSQGGVQLGTNSQSCRKSKNCLCWSRKCRAEEAHRRCRHGAAEVV >Et_6A_045840.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:18826188:18826579:1 gene:Et_6A_045840 transcript:Et_6A_045840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFDMLSETFTKMAGPSTGTDTLMKLFHMDGLLVGADFVKEEHIDLWFLESYGRDAGWNLRHRVTMPGGAYNMVPNMSLLSMAAVADQEGNVMLGNKCGLIVYNVRR >Et_4B_039274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9433844:9434687:1 gene:Et_4B_039274 transcript:Et_4B_039274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSATAQLGTRRRAPVTEEERRRNRMASNRLSARKSRMKRQQHAEELAATADRLGRENEALRSVVHGALRRCRLLERENRVLAAHARELHATLMLRNSQLRTLGEFAGVPLDVPGVPDHLAQLYGGGDTQTPPLPAPPAPASQLPLEIQMLFFQPDAMDVAAVDMWKS >Et_10B_003215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17880310:17881415:1 gene:Et_10B_003215 transcript:Et_10B_003215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNWMAMGYIGRTRHGVAHFQNNNAPISVRAGGDQLTGVPVDMRSLIARLGMTPMGFEMLHGALVVTGSGVVGSVPGEVAMVQQSQSQPESYSKPRHQSVYPDGLGSYAVSDGSTICILTSKYNPFKEDEDKMLIEAHRYQARHLPSRLENAIKNHWHDSMQHEGEVLAQQQEERVAAELPILHPPSWKSTYATCTWMMLRMRLWHCLPRHHLISCTMVS >Et_3A_026217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5600965:5606287:1 gene:Et_3A_026217 transcript:Et_3A_026217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFSRLPVLSSRALSYLLWCVLLVTLAASNGVLAKAPKAGFGYKLVSLVQQPNGGGLVGYLQVKQRTSTYGPDIPRLRLFVKHETRDRVRVQITDAEKQRWEVPYNLLPREPAPPVTGGKVAGGAPFTAGEYAGEELVFTYGRDPFWFAVHRRSTRQPLFNTSAGALVFKDQYLEVTTALPKDAALYGLGENTQPGGIRLRPNDPYTLYTTDISAINLNTDLYGSHPVYMDLRSLGGRGVAHAVLLLNSNGMDVFYRGTSLTYKVIGGLLDFYFFAGPTPLAVVDQYTSMIGRPAPMPYWAFGFHQCRWGYQNLSVVEGVVEGYRNAQIPLDVIWNDDDHMDAAKDFTLDPVNYPRPKLLAFLEKIHAQGMKYIVLIDPGIAVNNSYGVYQRGMARDIFIKLDGQPYLAQVWPGPVYFPDFLNPNGVSWWIDEVRRFHDLVPVDGLWIDMNEASNFCTGKCTIPKTHECPIPNSKTPWICCLDCKNLTNTRWDEPPYKINASGQTARLGFNTIATSATHYNGILEYNAHSLYGFSQAIATHRALQGLQGKRPFILTRSTFVGSGAYAAHWTGDNKGTWENLRWSISTMLNFGLFGMPMVGADICGFYPAATPLEELCNRWIQLGAFYPFSRDHANFASKRQELYVWESVAKSARNALGMRYRLLPYLYTLNYQAHLSGAPVARPVFFSFPDFTPGYGLSTQFLLGEGVMVSPVLEEGATSVSAVFPPGSWYNLFDTTKVVVSGGGAGSTVKLDAPLNEINVHVYQNTILPMQRGGVISKDARATPFTLVVAFPFGATEADAEGAVYVDDDERPEMVLAEGQATYVRFYASVRGKAVTVRSEVQMGSYSMQKGMVIEKLSVLGLEGTGRNLAVQVDGADATAVAMSSPYFAAGENVKLHRHDGVEGSKKSVMVEVGGLALPLGKSFTMTWNMHIEV >Et_3B_030559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:494192:496991:1 gene:Et_3B_030559 transcript:Et_3B_030559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQVALKVTEFKCKVMEKELKKLSHKVNHHEGNIKFLKSELNAIEEMCIDLGIKLGNYHSSAAASANNNTSQEAEERTIQSILHLDKTAAGIICQLKVHHHEAASKMPLMKNILGIVATLGKVNDDNLSRLLSEYLGMDNMLALVCKTSDGVKGLEKYDEDGSIDKNRGVHGLGRTIGKFLDGRFTVFCLENSRPLLGIVNTGDPQRKLILEKPRLPDGESPPGFLDFAVNMIHLDQEHLSCLTASGNGLRETLFYSLFSHLQVYKTRADIERALPFISDGAISLDGCIWRPNGSFCLGDSKNLEVKFAVSLGVSSLPIHISDMEEQLQLKNWEKERLLEDMKREEDLLRQVKELYSKQKEELMDYLTKPTVAQTAHDSPIIRSPATPGSNPFGAKPSRMR >Et_2B_021076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26206728:26225789:-1 gene:Et_2B_021076 transcript:Et_2B_021076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNKFRAKVHVLAVDDDRVSLMLIEKQLQYFKYKVTTVTHAETALEMLRVRRDAEDQFDLVITDVHMPGMDGFKLLELICLEMDIPVIMLSANDKLETMMKGIKHGALNYLVKPVPLEQLKNLWIHVVRKNTGDPNNSISNYDDDHHQSQSEDSEDGNVANQTISKSSRKKKKEDSTKRQRVQWCGQLHSIFVEVVHRLGIDKTVPKKIVEEMNVEGITRDHVAMCGVKGTSSTPIENMVDTSPPYPFNFTSAGNSNTNTCFHSGQSGSSFANISNSMTFHTSKGFPSETSSNSHANIQNGSPPSAASMEATYYPYRSYASICMSDPDPLERNKRRINQLSRLAASSGPNSDFQNQMAGLTRTTTPMAGFTEQVAPFNIGNNTNSAVMPNYNSAPGGSSSVISDLPSIQTYRDAMRSQMLNGEDGSGNRLDHQVAADQLNYNNESLIGTSSGQNRLSDDLDGFFTDCLNQIRKTIMTYYKSDV >Et_2B_022568.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26009089:26011035:-1 gene:Et_2B_022568 transcript:Et_2B_022568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAACRRAVSYTLLGPPAESLRAAAAAARAAAAVPSTGDAFLDLMDANFNKPIKPPPAKTRTENGSPTFVSSGDPCLDFFFHVVPDTPAASVTSLLANAWAAEPVIALRLACNLRGVRGTGKSDREGFYAAALWMHGCHPNTLTLNARPVSKFGYLKDFPEILHRLIHGGVSTRTPGKKSRLAASGGFVSRGMSVRRRVATNHKVRTRRRDPATREARIAAANERDRKISAEAAVQRRKRRAEAASRAVDRYGRDPTYRVLHDRTADLFADLLSEDMQKLAEGKVNELSLAAKWCPSLESCYDRSTLLCEAIARRLFPKGSAPELPEDLEDEHYAYRVRVNLQKALVSLRCALELPEIFMSAGAWGDVVYKRVASVAMKNYKDLFTWHDSERFKKYLEDVESGEAKIAAGALLPHEIVDSIDEDNKVADLQWQRMVTDLQKLGKLNNCIPVCDVSSSMHGLPMDVCIALGLLVSELSEEPWKHRVITFSQSPQLHQVSGETLQEKTRFIRHMDWGYNTNFQAVFDKVLGVAVANNLPPERMVKRVFVFSDMEFDQASSNPWETDYEAITRKYTEAGYGDVVPEIVFWNLRDSNSVPVTAGQKGVALVSGFSKNMVKIFLDGDGIVSPRAIMDKAISGKDYQELAVFD >Et_4B_039289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9617114:9617692:-1 gene:Et_4B_039289 transcript:Et_4B_039289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWTVEIASPVATPRLFRAAVLDWHTLAPKLAPEIVASAHPVEGEGGVGGPCRTFNLMKEKLEFLDVEKCECKSTLLEGGGIGVAIETATSHIKVDSTYKLLPGVGVKNEIAKARESVTAIFKTAEAYLVANPDAYN >Et_2B_021666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4210785:4226156:1 gene:Et_2B_021666 transcript:Et_2B_021666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADAGGALSRVGRALSRAGGALAPVGETLAVVSGAVGVIAAATAISVEVLSTPPAMLWAAAAEIYTLSTSADPVVLQLGEILGLNRLDVSHYINSCNMELNSSSFVKLEKPIGKRMGLENCHSKCVRVEEKKVQFENLPEDVKCTIFSKLQLKELVRTSALSSRWKNMWTVCPKLRFDSSILCGNIDGTDQYVQKSIDNVNSVLQQHHGKLVEALEVQIEFNSMLVDHLNVWVNFAASPHTKNLAFELAPKKFGGRDDHYIFPFELLDIDATSRLQHLQLSFVSLKLPTHFIGFHNLKKLTCTYCIKDLQDMLSNCPSLEWLSLVRCYLNDELKLYHPLHRLQYLKVAYCDITKIDINPVNLKTFVHEGLQPVNLVLYDITFEYALSVLPSALPNVQNLTIEARLLLKSPWLVETSYKFWQLKFLKMLMFHTDDDMHNILSLASFLKAAPLIESLEIHVSDRGQLELLVHIVENAPALEFLTIDRTTRRGHRVNEHSGDMPVDLVVGATNIVVGACDVVVVVSDFVEYARAGWARLRGKASSGGCGAKSNPYLLEGYVVHYTFKIKSERGRKDYEIRGEYVPRKQQTQKFIENANEVLALQQGKVVEILEIKIEFKDRVLLDHLGNWFCSSIADKESSSRFAHRTGRYVFPFELLDGATMSRLEQIQLSFFTGFPKLKKLDLHLVKGTPKYLQNMLSGCSNLEWLSMVRCSLKEELIVDCPMSQLFYLHVSHCEMTKMELQAPKLRTFIYKGVLQLPVYLGQVQELKVADICLTCFITLEYALTPLPEVLKSVQSLTLDAPLRLTLPGLMENPYQFCQLKNLKLLLLHSSEDVDNILSLAYFLRAAPLLKDLEIHFNFSHYIKEKRWHDRSLLKCQYKHLTRIRITGFKGIRGQAEFLAHTVENAPALEVLTIETTNKIGPPTNRADHFGGRIAKSCLEGKLSPKTAMVPVLSDRKRIRENGRYDVQFEDLPNDTLSIILSKLSLKEAVRTSVLSSRWTNMWLICPRLRFVGSEKCGKYVSRKKLQAQKFVENVNAVLALQQGKVAETLEIKYEFENSVSCDHLGNWVSFVAASRTTNLALDLAPKNCTSRVGLYVFPIELLDGSATSRLEQIQLSFVYLGPPSEFSGFPNLKKLDLHLVRGLTNYLQNMLSDCSNLEWLSMVRCSLKDGLTLDRPMSHLLYLHVSHCGVKKIELDVPKLRTFIYKGERLPYFLDLGRYSTITLEDALTNLPEELESVQILTLDASIRLTSPDQELMENAYQFCELKHLKLLLPDLSEDTDNCLFLAYFLKSAPLIEELEIHFNISHYRKTDTRHDTSLPNCQYKHLRCMRITGFEGIGGQAEFLAHTVENAPALEVLTIETASQISPLPERAGHSGARIAESCLEGKLSPKTTLHPNTGSGVTGVRQSPSARRRGFVVRTRYDLAEWSTALLLNASCQGSSEHLPTWSLTPSR >Et_7A_052350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7093848:7095861:1 gene:Et_7A_052350 transcript:Et_7A_052350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEEGSSGAGVDKKPYPVGFRFKPTDEELVEYYLLPKLQGKPSVSNDAIIEADVYECDPEILINQKYKDRGENRWYFLSPRTRKYPGGTRPSRRTADDRGRWKPSTGKSAPKKGKSERELEEEEDEEDGKEEKLCSNGAVKYCENTLAYHVGPAKDEKKTKWLMHELTVPEYEIKLGTSRPSANTMLLDRYAMCTIYKSPLTKWVKQEEEETRAALEGAAAPSSNAAGPAPESGQVTSAPPALSSKRTGKRTAVDQQPIGHATAPKRGRRPALPRGPPAPAHDNGGGRRSMRGAVPVPLGVGAAGYYSVPGHHAQMPWPPATGNRLQGPVQLPQAMHRQVTAAPPFGTQAPPLGQQQPGPTTLHQPPPQAAHNSPGRTMTMMPRAPSGFDENMMCCPQNGMNLAAGQTVPPPSAPQPPPQQRPKQVVKMDMNDPYTQRVVMQLLVEMRAQEEARRLSASHAAQQQSPTASNLPPPPQQQQQPSFDAGGADRPARANATFPHHHVPAPRHSCYPRGGGPLQQQRGSLNPETSVPGGAAAPAESTPASGFDNVSIKYSVRGDAKEGSGKGGLDNNGDQVFADLATMMN >Et_7B_055334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9449095:9454310:-1 gene:Et_7B_055334 transcript:Et_7B_055334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINPLERVHLKRGGGERCVALDLNILPHPGAGSSPSSRRNSFNSLSRDLELPSEQGCLSIIVLGASGDLAKKKTFPALYHLFDQGFLQSGEVHIFGYARSNLSDDGLRERIRGYLKGAPEDVSEFLQLIKYVSGSYDSGEGFEKLDKEISKYEASNQSGSYRRLFYLALPPSVYPSVCRMIRTYCMNPSSHPGWTRVIVEKPFGKDLDSAEELSSQLGELFEEHQLYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIDNIQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVNPIKSEEVVLGQYDGYKDDPTVPDDSNTPTFASVVLRVHNERWEGVPFILKAGKALNSRKAEVRVQFKDVPGDIFKCKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQNVKIPEAYERLILDTIRGDQQHFVRRDELKAAWQIFTPLLHDIDDGKLKAVPYQPGSRGPKEADELSAKVGYVQTHGYIWIPPTLA >Et_1B_012500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32554107:32561835:1 gene:Et_1B_012500 transcript:Et_1B_012500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYKPKNILVTGAAGFIGSHVTIRIVKKYSHYNIIVLDKLDYCSNLKNLLPVCSLPNFKFVKGDITSADLVNFLLVTENIDTIMHFAAQTHVDNSFGNSFEFTKNNILGTHVLLEACKIKRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYRRSYGLPIITTRGNNVYGPNQFPEKLVPKLILLAMRGKPLPIYGNGSNVRSFLYCEDVAEAYEVILHHGEVGHVYNIGTKKERSVLDVAKDVCRHFNLEPEKFVQFVVDRPFNDKRYFLDDAKLKKLGWFERTSWEEGLKKTIEWYQANPDFWGDVSGTLVPHPRGGMDMATPYKPKNILITGAAGFIASHVAIRIVQKYPDYKIVVLDKLDYCSNLKNLLPVSSSPNFKFVKGDIASADLVNFLLVTENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKPLPIHGDGSNVRSYLYCEDVAEAFEVILHRGEVGHVYNIGTKRERTVIDVAKDVCKLFNLEADKVIQFVENRPFNDQRYFLDDEKLKSLGWAERTPWEEGLRKTMEWYVANSDYWGDVSGALLPHPRTLMMPGYEGSEEIKGILSQFNNSQTNTAAAPTSEGSPEMHALKFLIYGRTGWIGGLLGKICEKQGIPYEYGKGRLENRSSLILDIHTVKPTHVFNAAGVTGRPNVDWCESHKPDTIRANVVGTLTLADVCREHGLLMLNYATGCIFEYDAQHPEGSGVGFKEEDTPNFTGSFYSKTKAMVEELLKEYENVCTLRVRMPITSDLTNPRNFITKISRYNKVVNIPNSMTVLDELLPISVEMAKRNLRGIWNFTNPGVVSHNEILEMYKEYIDPSFKWTNFTLEEQAKVIVAPRSNNEMDATKLKNEFPELLSIKDSLIKYVFEPNRKVPAS >Et_9A_062906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6479927:6492156:1 gene:Et_9A_062906 transcript:Et_9A_062906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTLWPLTFPLPATGLLHLLVLLAVASGAPSPGALDREEAGGDDPYSILMFHDYTPPPPPALPPAPPAATATCAGDLGGVGDFDTRCVVPVSVRLGSGGVNIIGNGSLVLRPGVAVTCERPGCVVSANLSGNIFLEKGARLVAGWVSLAAANITLDDDAVINTTALAGDPPDNTSGVPTGTYGDGGGHGGRGASCYVKKGQAQEDSWGGDFYAWAELKAPNSYGSKGGSTSAEKDYGGGGGGVVLLFAKEIVMNGTVLADGGDGSTKGGGGSGGSIYLKSATMRGGGKISACGGNGLAGGGGGRVSIDVFSRHDNAQSFVHGGKSLGCLDNAGAAGTLYEEVPKSITVSNNNLSTQTDTIFLDPPYEPLWTNVFITDHAKVSLPLRWSRIQALGQISLSSGATLTFGLTHYPYSEFELLAEELLMSDSTIKVFGALRMSVKMLLMLNSRMTLDGGQESGITTSLLEGSNLIALKGASLIHSNANLGIHGQGVMNLSGPGDTIEAQRLILSLFYQILVGPGAVLRGPLINGSHDDMAPKLNCEDESCPMEIFHPPEDCNLNSSLSFTLQICRVEDIDVSGLVQGTVINFNRARSVNVLTSGTISATGLGCRGGIGRGKMLSSGLSGGGGHGGKGGDGICSSSHATGGRAYGNADLPCELGSGSGNDSASSTAGGGIIVMGSLEQSLPNLSVSGSIQANGGTFSAAVSHAANGGPGGGSGGTILLFVETLLLTKEATLSSAGGVGSNGSGGGGGGRIHFHWSDIPTGDDFIPFATVKGSIIASDGTVTGKDCPKGLYGTFCKECPLGTYKNITGSSKLFCLPCPPNELPHRAVYVRIRGGVAETPCPYKCVSDRYSMPHCFTALEELIYTFGGPWLFGLLLSGLLILLALVLSIARMKFVGTDELPGPAPTQHSSQIDHSFPFLESLNEVLETNRAEESHCHVHRMYFMGPNTFSEPWHLPHTPPEHISEIVAATPDLMLGYLDFFLGGDEKRPDLPPRLHQRFPIFLIFGGDGSYMAPFSLHSDTVLTSLLSQAVPPSIWHRLVAGLNAQLRLVRRGSLKTTFLPVLKWLETHANPVLNTYRVRIDLAWFQVTALGYCQFGLVIHSSGGEVATETQDGSEIRTDQHSLNQNTNVDSQLDNDALTHKRIVGTILDVDNLRMLKDSRNLLYPLSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMANVLLVLFILPLGILAPFPAGINALFSHGPRRSASLARVYALWNITSLVNVVVAFICGLLHYKSSLRKRPSMQPWNLGGDETSWWLFPTGLVLCKCIQARLVDWHVSILEIQDRAVYSNDPKIFWQ >Et_4A_034266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31307189:31311964:1 gene:Et_4A_034266 transcript:Et_4A_034266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGDVQSPRKRKREHAEGKAKKPHGGAVTKGKKRPVAQGSHDFAGEAVAKKQPVTPREKRLAAKELSESRKMRRKQNYSLEKELTKLWEKMRCHSVSKEDRSKIVSEALRKMDGKYLDIAGSHVTARVLQTCVKWCSQSERDAIFDALQPHMLTLSRKKYAVFLVKKLIKLATKKQFALIISSLHGKIAKLLRHTIGAAVVDYAFQLATQPQRRQLLLELYSTELQLFKGLTEQKSHSLLDTISKLGLQKSSVLQHMTIVIQSILEKGIVEYSIIHTAILEYFTIADKTSASDVIRQLIPLLTEGSTVIDVDESSVATELPKKTKAKKKRLSEPLIVRIMHTREALKIAISCLRHGSAKDRKKVIKSLKGHIMKLALNDFGCLFLACILSIVDDTKLVSKVVIQELAKNLNQLIFDKNGRRPLLQLLHPLGSRYLPPADLACLSYSVPCIMSREATDNVTEVTSESKVDAAADKEHLEGTQIALDSKKDPSQRRHELLIKSDLAESLVQSCIENVGELLRSNFGKDVLYEVAVGGKDNVLDGISNRIHMLHDAIAADAAQPKTEDIEHAFENFHSSRVIRKIIIDCPAFAVTLWKKALKGKCKIWAHGHSSKVVAAFLESPSSKVRDIAKSELQPLIDSGVLKVPDHKPVEKA >Et_3B_031692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:832092:836555:-1 gene:Et_3B_031692 transcript:Et_3B_031692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPAAPAPTSPPGPPPSNATAPPPSPPAAAPPPSSSSSSPPPPSTTPPATPSAPPPRAPGSPATPSTPPPGTPSPPSTTPSPPSSSTTPSPPSPSSTPGALSPPPSSGSGLKSGVVAGIAVGGLVALLLACLVCFCLFKKKKKRRHHHPPPPPPPPHLMHYYGHPPPPPPPPPHKGDQYGGAYQNWQHNAPPPPPPDHMVKMPSHPPPPPPPLNVHSSGSASNNSGSEGRPPPSPGTAFGFSRSSTFTYEQLAAATNGFSDANLLGQGGFGYVHKGVLPNGTEIAVKQLRDGSGQGEREFQAEVEIISRVHHRHLVSLVGYCISGDNRLLVYEFVPNNTLEFHLHGRGRPTMDWPTRLRIALGSAKGLAYLHEDCYPKIIHRDIKASNILLDLKFEAKVADFGLAKLTSDTNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGRRPARPLMTKAFEDGNHDALVDPRLGNEYNYNELERMIACAAACVRHSARRRPRMSQVVRALEGDVSLDDLNEGVRPGHSRFMGSYSSSDYDTGQYNEDLKKFKKMALGSSGLQSSQQTPTSEYGQNPSVSSSDGHQTQETEMGSVKKDGDSHSS >Et_5A_042922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6948976:6955299:-1 gene:Et_5A_042922 transcript:Et_5A_042922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPRIFWLAFALFFVAIIATKIARRQPAVPTTKLPPPPVAPGVPLLGVLPALLVKGPLELIRDYHRMLGSVFTVRLFNLKVTFLVGPEVSSHFYQGLDSEISQDEVSQFTIPTFGPGVAFDVDYATRREQFRFFGDAMKPVKLRTYVGLMVREVESHFARWGQSGTADLKQELELLVTLMASRCLFGPAVRERMFGEVAALLRELNDGMRLVTILFPHLPIPAHHRRDAARARLGEIFSEIVRSRKNSSSGADDDMLQCLIDARYKDGRGTTETEVAGMLVSALFAGQHTSSRTGTFTGARLLTHARHLRAAVAEQDRLVAERPVVDYDALQEMDVLHRCVKETLRLHPPALVLLRHARRGFAVRAREDGTVYEVPAGHAVASPLVIHNRLPEVYEEPDKFDPDRFGPRRAEDRAGGAFVYTAFGGGRHACVGEAFAYMQIKVIWSHLLRNFEMELVSPFPTTDWNVVMPGPKGKTLQPTLVPNAKRPLRAVPNHEHPHGALLMLQEPVHELREPQMWINSREISPPSSLPLLLLDSYAHVLLILISQEPTPLKILGCRLLNLGNDHPLHHSVAAGERGEPGAVRVLEQRVVAWIE >Et_7B_054594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2636210:2636549:-1 gene:Et_7B_054594 transcript:Et_7B_054594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFATQLKDLFFVLVERVTGYDYQAAEGAQEPAKLASADVPQTEEVMAVKHTEIRARRATNLDPFVPDGSRAQVN >Et_2B_018997.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22424962:22425579:1 gene:Et_2B_018997 transcript:Et_2B_018997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ISVGNTCAEETTKKEKNLDLVDVLVLELDVVAVLDLPLRPVDVDALVEPERVGQLAVGLEQPGLVVHVLEDDVGLVVLVVAQADEDDVPGGDPDLLVHLAPDVAEAAGAVNADGLAPPVAEHAGHLRVLLPVLLEHQLALVVVGLVLTTLAVLASLPLVLRHLGRRWLARRRRNPRLRRDRDWGASCGAAEAVCLGRSWAAPADL >Et_6A_047215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26925155:26928546:-1 gene:Et_6A_047215 transcript:Et_6A_047215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVILDALASSVTKLITDKAEEEVAMLLGVPGELEKLGRNLGNVKAFLADAERRRIKEELVQGWVRMLKGVMYDATDVLELGQLEAEERRESKLGRSMEEKMPGCFQPFLFCLRNPVFAHKIGSRIKELNQRLEEIHKEAAQFNFLTANLGPYQEQRPEAAEYSLGHRMTSEFIQSSIVGEKIEMDTRLLVHELTIPTDENHDIMKVVSIVGMGGMGKTTLAQKILKDVTIKEHFKTKIWLSITQQFNEVELLRSAIKLAGGNHGGEENNNFLTQTLTNALSASKFLLVLDDMWSIRAWESVLSVPVTNASDKQQGSKVLITTRFEDLAPRMHHSFYQHHVSPLDDDDAWSLLKNRFPQLPNQVSTVDHLKDVGMKIIRKCGGLPLAIKVLGGLLLTEPRTVHAWEAILNHHAWSLSGLPDELDHRLYLSYEHLSPQLKQCFILLSLS >Et_6B_048418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19414646:19415471:1 gene:Et_6B_048418 transcript:Et_6B_048418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPELPQDILRRIFATLEIPDLVRAGAVCFSWNAAYSGICSTGSWRMTQTPCLLYTSESAGVRAAGLYGLAEKKSYTLTLPDPPICSRHIIGSSYGWIITADERSELHLVNPITGEQIALPSVTTIEQVKPIFDDTRAVCNYEYSWYTGKRVISDTQSIFVPSELREYLFYKLSFARAGDDKWTWSHKRYFWAMKDIYSERIYIVQGPCGELLQIW >Et_5A_041355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21767461:21771327:-1 gene:Et_5A_041355 transcript:Et_5A_041355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRGRCIFTHQDDEESARAASTVEVVAVAAGRCCAEQSCAPYWRITGSLRVWLGTGLHTTSSGQVKARREASSHKRMRPWSVSMARHWEAQAEGGSGHEVGFQLLVTVASAAAVEDSEKRGVAVELAEPAAEVRAADDAAPVLADEGGVKKPRGVVRWEAQDDLFDERKEVVGTKVVSSLSNLSEAVLKVAEETEHGGPPVVLAEPAAEAGVADEAAPALAGEGGAEEGRGFGRLEAQEDLFHELLHQRRPPAAWWRHAAL >Et_9A_063586.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:8444337:8444864:-1 gene:Et_9A_063586 transcript:Et_9A_063586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDAAAAAKKAAAEAGGGALGMPAAEVERVFRRYDANGDGKISAEELAAVLRALGAPPGPGEVRRMMDEMDADRDGFVDQAEFVAFLCGGGGEEQGDASAAASEAELREAFRMYDADRNGLISARELHRVLRQLGDKCSVADCSRMIRSVDADGDGSVNFEEFKKMMGAGAKR >Et_3B_030964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9477474:9479134:1 gene:Et_3B_030964 transcript:Et_3B_030964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRLWYWVKMFQAIGVAVLASLAMLQGGCDAAGTVVRSIFVNQHGGADFKSIQAAVDSVAFGNDQWIRIHVAAGTYNEKVTIPQNKSFILLEGEGGYQTSIEWADHAVGESGTADSPTFAVFATDFMARDITFKVRLACRRHSCATYKPQIARVDCLRRGVTPAQNTYNTGGGGQTSPAVAALVAGDRASFYRCGFASVQDTLCDLDGRHYYESCRIEGATDFIFGNGRTIFRGCQITTAATPFSPGFITAQGRSSADDPSGFVFWDCAVGGVTPAYLGRAWRAYARVVFYRTDMSNVVVSQGWDAWSYKGSEGTLMMAEAECTGQGANRTGRVPWSKTLTGNELAKFIDLSYVSADGWLAAQPR >Et_4B_039397.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13388441:13389205:1 gene:Et_4B_039397 transcript:Et_4B_039397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAPYRVALFTGELPDLSMTVFDSANNSWESPVALTRKSSSSPDAPAAHDGDAGTVYFLSKSGDVVSTNMQRSASKQYSAAAVPNSSPSGNATTTSSAATVYFLSPSGTVVACDTARGCFAELPRLLPVYLEYSVDVVACGGAAYAVVLSDLLDTASLRVWEFHDGEWRQVAAMPPAMSHGFRGKKADVNCVGHGDRLVVCVTSADGEASGCFMCDVTTNRWEELPEHFNVDGSEATEFLAAFSFEPRLEITV >Et_7A_050535.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:23212933:23213139:-1 gene:Et_7A_050535 transcript:Et_7A_050535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLERIKQENFSASCVEAIACVEPYQGGNEAEGNGIWRTSYGSARRSSPRVMTCWNRSHRVFSILSM >Et_3A_023005.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:15727276:15727533:1 gene:Et_3A_023005 transcript:Et_3A_023005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVQKHRESKEENKKQKKGDKEEREGNHPWKPWDREKDLSAGRENVNLDPENMSKGLSSRFASGVVQRNFFSTVWKCCQCRSMV >Et_3A_025172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27535329:27542049:-1 gene:Et_3A_025172 transcript:Et_3A_025172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LCLSHTLQSSRIKAVTGISLLTPLKESQKKVREQRPEMTRTAQLVPLLVATIVSVSFSTAAAFNSTSSHPSHHPNLLAYVSRWRERYMAVADQASPSGGGAAHGVGLNTVAAWVLSFFAAAVSSAGGVGGGSLFLPILNIVAGLSLKRATTYSSFMVTGGAASNVLYNLVRTGGVGRLIDYDIALLFQPCLLLGVSIGVVCNLMFPEWLITVLFSLFLVFCTYKTCRAGVKIWRAEGGGGNKEPLISRDGSLVESSVGDGAGIKPCGVAYWLITLSQLPFAVAFTWYIIYAKSKKQIVHDQEDAKEILMDTGVETLPSLTFPLAAFVTGALSGLFGIGGGLLLNPVLLQIGIPPQCQWVDMAVLVVVWLCFFLVHLVVGGKGAKGVADIKPCGVAYWLVTVAQVPIAVAFTACIVYQKRKSSHTKNGVLIVDEAVSGKKSRLHALPAYVFPVAALLTGVMSGLFGIGGGLLLNPVFFHIGTASATTMFMILFSSSMSTVQFMILGVSGIVNAVVYATACCVASVAGLVVIERAIRRSGRVSLIVFAVATIMALSAAVIACSGAARVWAQYTSGQDMGEVVHSTEHQTDNPTNV >Et_8B_059472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18283934:18285613:-1 gene:Et_8B_059472 transcript:Et_8B_059472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGYSVTLVDTVYGIGILVGDSDVNGNAIESGTRKVQNGKLFLCVMSYNSCTLKRIFDMEQPSPIKVNEGATNGDDPAAYATMTYQPVALASVPPAEGAAFPPTSQGASTFQFNLETDSEQHQTAFQQAQQFYQQQQQYQQEQLQPLWAGQMAEIEQITDFKQHSLPLARIKKIMKADEDVKMIAGEAPVVFAKACEMFILELTLRAWLQTEGTKRRTLQRSDVVAAITKTDMFDFLVDIIPPEELKGDNTVGTPPPTTVPSMVPSYIPGMTVSYETYANQQHVSFMWPLPEHQQQTSDGGRDD >Et_9B_064916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19854071:19856016:1 gene:Et_9B_064916 transcript:Et_9B_064916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RTKTRPTRRAHVRAEHPDRAHSLVHLPEASTPVSTLLFPAPLSRRFSLLLFSPLLTPNLTTTLRTQRRARVSRENREQAQAQAVLANEAQRSKSTMGLDYYKILGVDKGASDDDLKKAYRKLAMKWHPDKNPNNKKEAENKFKQISEAYEVLSDPQKRAVYDQYGEEGLKGQVPPPGAGGAGPGGATFFSTGGDGPNVFRFNPRNAEDIFAEFFGSSSPFGGMGGMGGGGMGGMGGGPGMRTGGTRFSSSIFGDDIFGSAFGGGPDGHGMHTAGRAVKAPAIERKLPCSLEELYKGTTKKMKISREIADASGKTIPVEEILTIDVKPGWKKGTKITFPEKGNETPNTIPADLVFIIDEKPHPVFTRDGNDLVVTQKIPLAEALTGYTAHLTTLDGRSLTVPISSVIHPGYEEVVRGEGMPIPKDPSRKGNLRIKFDIKFPSRLTADQKSGVKRLLGQ >Et_10A_001426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:376664:378237:-1 gene:Et_10A_001426 transcript:Et_10A_001426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVVVVDGAGNGKVDASANSPTTTRPPPKLLRRFLLVSVLATGFLAAVVLLVGAGAGITSPALPNLDALSLRRPVASCARDKEEDEALRRWRRGPGASAWHNMSDEELLWAASWRPSVARYPYRRVPKVAFMFLTRGPLPLAPLWESFFAGAGSRDHFSVYVHSTPGYRPDFPRTSVFYRRQVPSQETRWGDPSMCDAERRLLANALLDPGNERFVLLSESCVPLFAFPVAYTYLTRSRHSFVGAFDDPGPHGRGRYRAALAPEVRRHQWRKGAQWFELDRALAVDVVADARYYPKFREHCRPPCYVDEHYLPTVLSVEAPDRIANRSVTWVDWSRGGAHPATFGAADVDEAFLRRLVAPEGEQRLGKERPCTYNGQPAELCFLFARKFAPSALEPLLKLAPKMLGYGG >Et_1A_007713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37505555:37509963:1 gene:Et_1A_007713 transcript:Et_1A_007713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSPLLLLSALLILAAAAVQPGAAAFPASPTDSISPFFFLFEPHCCDSKLSWRGWIAGSIVKQLSSVVKWPRGASPHAPKYQPAHSPYSDGHVGVALQFESGYFVETLVEGDKLGVTPHTIRVSPVEGGELLAVDAAHSNIVRITPPLSEYSRGRLVAGSFQGHSGHIDGKPSDARFKRPTGVAVDDMGNVYVADTANLAIRKIGESGVTTIAGGKSNIPGYRDGPSEDAKFSTDFDVVYVKKMCSLLVIDRGNAALRKIALPQEDCTYPDSTLLSSDIILVIAAVVAGYLFSILQHGFGSSSSEKIEAPEDEQRESSTIGKTPLVVESLKEEPGAGWPSFGTLVADLLKLAFEGVGNLLVNTVPQTFRGGKKKTDLTPGKDRLVMPEDRAETAVAQKLSSTPMRPDTLHASNAVNETAPKAQKSVKSSKFRDSTLSSKHRSSKRQEYAEFYGTSESAQVSAKVPKDRLRHRHREKSGEVAYGTGHPEAKPTELKPTDYSDPKYDPYIRSKYGPDSGYRY >Et_5A_040306.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:12303760:12303906:-1 gene:Et_5A_040306 transcript:Et_5A_040306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TLLDFVVIKSYHDIGLLIRSELVFLLLWWQKEDEKRHKKEENYAQFQL >Et_7B_055992.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8908130:8909872:-1 gene:Et_7B_055992 transcript:Et_7B_055992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSLHQLVPLLLLLAACCGGMVAPASGAGGRWTFLKNVGVSGMHMQLLHNDRVILFDRTNAGRSNLSFPAGTPCRVNPLDKTLPKGDCTAHAVEYSVRDNTFRPLVRIFTDTWCSSGYVDADGTLVQTGGWEDGYRKVRRMPACAGTDAAASCDWSERPGDPDVLAARRWYATNQRLPDGSAIVVGGIGQPSYEFLPKASSTFGNGAFNLSFLGTVNTLYPFVHLNIDGHLFIFASNRAVLFDYKKGQVNRTFPALGSGEWRTNPEAGSSVLLPLKPNPIEAEVLVCGGAPAGANDAATRNPNNRTFLPALRTCGRIKITDPNPSWEIELMPSPRVMGDMITLPNGEVAILNGAKDGVGGWDAAKTQADGPVIYRPDRPFGNRFEQQSPAGATPRPRMYHSSALLLRDGRVLVGGSNPHQFYEFGNVQYPTEVTVEAFSPDYLDAANNVRRPKIAFPSPAVGDAVRVAYGASLTVLFGVQEPLDPSVLSATLVSPSFTTHTFAQGQRLLFLDAQVSRPGGFQVNGNTTSPLPPGIHQASVKMPAKSVLAPPGYYMMFVVNGRIPSEGVWVHISGLDAA >Et_8A_058317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4843291:4844564:1 gene:Et_8A_058317 transcript:Et_8A_058317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSESSTTVRGRGKNKRKWFSAEDDELMKALYDVSLDPKWKAEVMLNYVDAKSLYGVAFPYYDTLSAVYAKDIATGEGAEGFTDAVSNMELELATEHRNDQEEEEERTSRETPRRSFDSTSSSSKRQKKEGKGKESVSSDPLLDMFNEVSGDLKFVTKNVGEMAEAMERGRHPREGNA >Et_3B_031615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6043240:6043971:1 gene:Et_3B_031615 transcript:Et_3B_031615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGGEAARTPPAAVVAAGGGRGKGGSVTSRRVSAAAVEGGGEGGGDAAGAGREAEVAGASTTTAARRRLEEDEGGAGLGRCRAGRGPTYRSDPVGRPADRTPRLKEVADAAGAASRSRRRHRKPVQQLTLGGVIALYAASMSIALAACEPPAGLQGNAYYYYYLVLSATFFAGVAEFIGVVWMLSFNEYPRGRRRVAAAGCKLVCASVVTLAVTAVNLVRRPNIVVELS >Et_1A_008239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5521162:5523999:-1 gene:Et_1A_008239 transcript:Et_1A_008239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATSGGHLSLSSRVPSRGLRPQLALPAHAARPIAAASCSVARRGFAAAAVSSPVAVSSGKDVKQVPKDFLHINDFDKETIMKILNRAIEVKAMLKSGDRSFQPFKGKSMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPDDIQMGKREETRDVARVLSGYNDIIMARVFGHQDILDLAKYAPVPVINGLTDYNHPCQIMADALTMIEHIGRIENTKVVYVGDGNNIVHSWLLLAAVLPFHFVCACPKGFEPDSKTVEIARSAGVSKIEITNDVREAVKGADVVYTDVWASMGQKEEAEYRKQKFQGFMVDEALMEIAGPQAYLMHCLPAERGVEVTDGVIEAPNSIVFPQAENRMHAQNAIMLHVLGA >Et_3A_024768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24006968:24009759:1 gene:Et_3A_024768 transcript:Et_3A_024768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASPALRLRPRFARPFPIERVHGSRMQHLGTLKGEVLRSIGNHDGRFHTNRIISMASMDKQDPVVSETPVLEDTSSSTQNAPISDSSSDSTDMGGGKPGFISFRSGSHKNKAVESVPHPGKEASRLVWFVGPTILVSFLVLPSLYLRKVLSAVFEDSLLTDFLILFFTEALFYGGVAIFVLLIDKVWRPLQQVAPKSYIWSKSRFFRISSVTTMVLSLIIPLLTMGMVWPWTGPAASATLAPYLVGLVVQFAFEQYARHRKSPSWPVIPIIFKVYRLHQLNRAAQLVTALTFSVRGTETTNQTLAIMNSLGALLTVLQILGVICVWSLSSFLMRFLPSSDIPDP >Et_7B_053536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8097061:8097666:1 gene:Et_7B_053536 transcript:Et_7B_053536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERLLSDEQLPPSTDGAIVLASVAMILIVTVASCLVDRRPAEYSVTIHGFAGVEDRVPRAFNLTVGIDNLGGVADVCVGGEAVVLYGGVPLAVGRVPDLCVTRQRAADVAVFAASGGVGVPEALAELMAGEKRADGAVRVEVRVVMARHGMMLSCTAPLARGLAARPCPCRVAGLVDESDGIRPLDKASM >Et_1A_005862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14810224:14811786:-1 gene:Et_1A_005862 transcript:Et_1A_005862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSSSQHINSVHNHTHKRCEVMSTELKEGIKHSSKGDQEEQYEMDEFWRRRQEDIEKIVDFDKHVLPMACMEKVIHTEMDDMRMSSDTPPVFTKACEQFIQELSFRARKCAKSHDRSIILESDITQAVASSESYSFLNDVLPKHGEPSMTSMVEPSTSNITPQLLQERISMTASADVVTVQQQNKEEADDENHGIEKMGN >Et_6A_047228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:27040588:27045827:1 gene:Et_6A_047228 transcript:Et_6A_047228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFLLTCVPLGTACLENLADINICEVDTSFTPEFALGPSQTLAVRTQDLDLKPVQPAETARKVTVPVEERSLAVRIRELFLRLAALPVHGAGRDPRWHPWWRMWRHGMASCGNSSPRTWRRSGWRSRELSSLGFSFSAASSMGAAVAGASSPPGCMKPRVQLLCSFEHGGGSGRGEQSSGCMKWQARPQAGPASGSYSRAPEYDGSFKCIYLSPPPLQAIPWNQTHKSMQDGQGTSREEMEMAAPLIEVPGTGLDGILVSFTKSRLAEVLVSKLHTLDVLLLLHQHVTVLQELLDRHLGLLEGRGPLPGPPADVLDTDLGHPLADLVVVHPGTEGAEEVDGLTGESVDELNDGFVGDVVGAEDALADTDPVLAGGSPVELLHTAVTDERRVEGGEVVAGDDDGHTGVLLLVVHAGELHVGGVVGDVHQGGVHHLVVDGVLGGATHATGTGVEIVDEESAHLALPDHVRSLTVTLPDELGWLSGVAALQLSGAHHDGAVLHASSNPSIQSTQRHVVRDAHLGEDQLTLEGFSLTLASPETQDEWHLIYIIYGAHEVLGEVDNELVHEGRGDVQAVQGVKLNACCLRSHPLAEVLVGAEHGLVGAVTHGRLEEGVDGALPSDDVLLVVGDVEGEAPPPVLVSLLALV >Et_5A_042802.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4670270:4671313:-1 gene:Et_5A_042802 transcript:Et_5A_042802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQDPTPPPPGKPPLPWRARLLVGAASALHAASLRSDGTVNRFLLSLFDRTVPATASPVDGVASSDHAVSDHLRVRLFVPKAGEKGELPVLVYFHGGGFVFHSPSSSRFDALCRRLACAVPALVASVDYRLAPEHRHPAAYDDGEAALRWVLAGAGGALPSSRPRPPPAVFVAGDSAGGNVAHHVAARVAPEQGAVAGLVAVQPFFGGEAPTASERRLRGAPFGSPGRLAWLWRAFLPRGATRDHEAANVPAAIRRRDTGAWRAFPPTMVCVGGWDAHQDRQRAYADALRDAGAPEVRVAEYPDAVHAFYLFEELAESKRLLADVAEFVNQRTEQLKKSRGSVAQH >Et_6A_047802.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:1770337:1772700:-1 gene:Et_6A_047802 transcript:Et_6A_047802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPALPRSSCVCSSVINPTTSKRKDGRGKITKKDTSPAAAQLSLLRAHARAGRMRSAREVFDALPAPGRSLVAWTALMSGYATHGPASEAIELLLCMLGLLMRPDAFVFSVALRACASVGSIRLGRQLHGAVSKLGYVGTDLFVANGLVTMYASCRSLGCAEKVFAGIAAPDLVSWTSILSAYTENGCHTEALMLFLEMVYDGVPCDAFTLSVALRAASSLGRVGLGHQLHGCMIKMGLVGNEFLENCLIGFYGRTGELRLMQKVFDEMDGKDLVSWNTIIQCYAGNLVDDEAFSHFRAMMFEYSECDEFTLGSILHVVTRRGAFDYGMEIHGHLIRAGLDSDKHVMSALVDMYVNRATLQKRHRMFPLRMLRYYMSVQGKLDQFIVASSLKSCASDLDLASGRMLHACILKFDKNPDSFVTSSLIDMYAKCGSLEESQLLFSRTKDPGTAAWSAIISSNCQNGQYERAMHLFRRMQLEHVQPNEFTYTAVLTACTALGDVASSMEIHSSSIRNGYEANTSVVKSLISFYLRQGMYHQALILCMSLSNHDISWATLVQEFAQDDDHVGIVNLLRVIQRSGVELDYKTACLILSSCEKLALLDEGLQAHAYFTKRGLASTACVNNHLIDMYSNCGNLGHAFDAFNYMSDKNASSWTSIIVANVENGCPETAIRLFRQMLRKEKPPTSAAFLFVLKACSKMGLVSEAFKFFVSMTEVYKIQPSEGHYSYVIEALGRAGMFKEAEHFIDSVVPSESGASAWSLLCSAAEQNGNAETVKLAANKLAKC >Et_10A_000647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14393047:14395606:1 gene:Et_10A_000647 transcript:Et_10A_000647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KWKTIKKAGLYILRLVMVVDLLSLIVAYGSGTGQYKVTGIFFSVFLVFGIVNFLLGHFVKVNKENEITKKEKEDKQEKQRREVLMTLATFVVSVAYLAGLNAPGGFWDHEESGHRPGEEVLKGRHDTRLILFVVFNTSAFIGSLVIIVQLLDKKLSTNNMVLCLQLYASVALSLCGLVGAYISGSSRENDTAGYMIALLVGLSTCIIIIQILIVKYYGDSVESDEEKEKHIWKARSLVELLATLAAIITYQAGLDPPGGLWQEDGDGHMAGDPILLTRSPRRYKAFFYCNSVAFVASLLAIILLRKRVLLKYHALESAMILDLFGLIGAYAAGSFRDVNTSIYAIAMAGAVLVYVVIHVVFFTLDHEDSIGNGEATSGKDIIGDRLEKRRQLLLLLAIMAATLTYQAGLTPPSGFRLQDDQSGHRAGDPVLLYNYPRRYKAFFYCNSVSFMLSIALIILLVNRNLYIPAIRSNALSVCTAVGMFSLVGAYAAGSTQHLKTSIYIFVLAAVVLLLVVLLVVVFSVLHLRRRKPSRQIQGGAVVSQNTNGVLQSQENDMAVKLNIYDDTMDEMEVTPLPAFSSSPNSGNAEVERGGRVESKKQALSAQERKDTDDTKSLYDKQKYVMMLGILVASVTYQAGLKPPGGMWQDDGVGHAAGNPIMHDNMWFRYQVFFYVNTTSFVASIIVTILMLLESLEKKEWWQLKVLNTTIALDLFGLLVAYAVRSTRSWKTSGKVLALVVPVLTYVAAHVTLSRFIHSDKNKAGCVQNINTDKNKVDGVQPENGGVPSILGNQ >Et_9B_064060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10847007:10847450:-1 gene:Et_9B_064060 transcript:Et_9B_064060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FKLEKMFTSRRKIQKDKGVEPTEFEDTVAQVDVAGNRKALIIHVPYRLQKAYKKIHVRLVRELEKKFSGK >Et_5A_042181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7114372:7133101:1 gene:Et_5A_042181 transcript:Et_5A_042181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAVAADTESAVVVRPAKIARRATEEGWTMADSWDEEEIIRFSDLLWEKKIGELKLMKKRRETDIIMKVSGMDDDDEKFFAFRSRWMAEWSGQWGSLDNVTRILAMPFTYDNPPRCAYPCETLQIYSVRVEDLLGGLEWPLDVFGMVAVRDSIDHKLNLVFYRTRENCQTLTEKDPYLALTGPTRAVVLHPKTDLFVEIELKVRGETESEDRYLSYVAASLECNKDMTPNISHYMRYTSKLSLLMFKLGRVESSVEATIFVQSIEGSWPDGVHGQITAQGAACEKVVLLEFGADKVPVSGGGDVELSRHVVSVEYGQQLDVSCNAWEDDRIIAKQHAVFVAAAVGRNNATLQLGTCKLEVIVAWSLVTPDPDDTMTWSKILSMPFTYHGPPQCAYPCDTLQIYSVRVEDLLGGLEWPLDVFDMVAVRDSIDRKLNLVFYRTRENCQTLTDKDPYLALTGPTRAVVLDPKTDLIVEVELKVRGQTESEDRYLSFVAAPVECNKDLSPNISHYMSYMSKLSLLMFKLGRIESSVEATVFVRSIEGSWPDGVNGQITAQGAECEKVVLLEFGSDKVPVSGGGDVELARQVVSVEYGNQLDVSCNAWKDDRIIAKRHAVFKAAAVGRNNATLELGTCKLDVVVAWSLVSPERDGTMMWSKILSMPFTYHPAPKCAYTCFTLQIYSAKIEGLTGGLELPLDVFGMIAVRDSVDRKRNIVFYRSRDNCQILTEKNPYLVLTGPTRAVCLDSKADVIVEVDLKVRGKVESEDRYLSFLADPLSHCDQCMRDNYYTSKCSTLMFTLGRIESSVEATIFVRSIEGSWPDGAHGQITARSCAECEKVILLEFGADNRPIVSGGGDVELSRHVVSVDFNDGLVVSCNAWEDDRILAEQCAVFKVAAAGRSFATLQLGTCKLEIIVAWSLVSPEPDGTLS >Et_3A_024635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22829178:22833333:-1 gene:Et_3A_024635 transcript:Et_3A_024635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAVASTRAAAVRPPRAAATSGEAAPAAPAEEPAGRRPVKVILPKKKPQKWSTGMAPGEYGGGPATIKPRKYWWGKEDLDPVGNTDDFIWNKDFLPHMERFISNGAGGANAAPDITRLTPEDEAEAGFLSINRSMSLDSVDVDLSKELLAPTRPILQTQVEAARRGRAIGAEALNGAPTPRWRLVPTRREQAKWDRAAKAATGGTDVLLRESKSRVQQGDPKEMAAKSREDYLKLKERLQLLTFGIGGVGIVSAYFSYTPEIAASFGAGLIGSLIYLRMLGTSVDSLAGGTGETVKSAAAQPRLLIPVVLVMAYNRWNGILVPDYGFMHLELIPMLVGFFTYKIATFAQAIQDSIPVAGNQSDLALSPKEMNWSLRMPSESPYRPTHPAPLKLQIYSNLNGHRFHMIH >Et_7A_051395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1791517:1792996:1 gene:Et_7A_051395 transcript:Et_7A_051395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSAYPPPPPYYRLYKDYEQDPSSAPEPPPPIDGPYQLFGATYTTDVVLPSLEDQGVRQLYPKGPNTDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFKNLHHLLNSLRPHQARATLIHMLESQIQRRKQAIEDIKQRREEAQKLLAESLLVLDGSQTS >Et_4A_034998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8727009:8729400:1 gene:Et_4A_034998 transcript:Et_4A_034998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLAAAVLRRGVAASRDLSSSRLSSFAPAYPRLFSADASGEASAAAADSQDDSFLKASDEGLAFGRFYNVISGGNNLGKDMLKTDIIHCLDKCELSLEDVKIDYNRGYYPTGAFRSKAAYTTAVRQASQARLYRLDTISREQWDLKKSFDGKALLLQGVPRNALPDDIERFLCGTNFEPSPFESFIRPGVPEPIRVVLVKCPTKTDAMNTFITKNRTFCLNSPISVRVLQ >Et_2A_017845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:709527:710513:-1 gene:Et_2A_017845 transcript:Et_2A_017845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPIVRNAQKGSMSGKYIIAGLFGSFAIAYASDVLVAQKKVFGGTTPRTVSDKEWWEATDKKFQAWPRTAGPPVVMNPISRQNFIVKDLKP >Et_5A_042952.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:7668722:7669375:1 gene:Et_5A_042952 transcript:Et_5A_042952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAVLLIVLLLAHVSATRVDAAALGPTLSPGQSLGANDTLVSANGTFVLAFFSPRGGDPGRRYLGVMYARAAEQTVPWVANRDAPVSAATSAYSAAVTADGELQVLEGNRVAWRTNTSISASSSPPGNVTLTLLDSGNLVLESGGGRAQQVTLWQSFEHPSDAFLPGMRIALYWRKGGGGPVKRTLFTSWRSAGDFTLGLDPLGSLQLYIWESRS >Et_3B_031399.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28246901:28248379:1 gene:Et_3B_031399 transcript:Et_3B_031399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLSAMAMVDAAPAASAIMPKLQAASCLDAPSSGITVVSRQHVRPDAPSAIGDLTLSVSDLPMLSCHYIQKGLFFPAPDLPMSSLISLLESSLSRALAVVPPLAGRLVTLPDDRVVIRCNDAGVEFHHAVAPGLSLDDFLVPDADVPTKLTKDLFPMDRTVSYDGHRRPLTSFQVTVLGDGAVFIGIVANHAVVDGTSFWHFFNTWAAICRGASPKLLDFSRNFFGESTAVLRFPGGAGPSVTFDVDAPLRERVFHFSAEAIRELKAIANRCQSGGQQDAEVYGKMAHDPKNPERCSEISSFQSLCAQIWLSVTRARKRLTPDATTTFRMAVNCRHRLRPAISPVYFGNAIQSAATTATVSELAHNDLRWAASKLNASLAAYGDGAIRRAAAAWQAAPRCFPLGNPDGSVITMGSSNRFPMYEGNDFGWGRPLAVRSGRANKFDGKMSAFPGCAGDGSVDIEVCLPPETMAALLRDGEFMQYVSCPSHLL >Et_3A_024677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23176721:23187264:-1 gene:Et_3A_024677 transcript:Et_3A_024677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SEACGSAMSTAQGAALKSAPLAADRRARRGRTVAAPNRSAWQAYGGVSLESGGFLGGVQRTEDRVAPRAPRAMARDAEVIRPLSKLPESNIGLYDPSFERDSCGVGFVAELSGDYKRETVNDAIEMLERMAHRGACGCEKNTGDGAGILVALPHDFFREVSKDAGFELPPPGEYSVGMFFMPTDEKRREKGKAEFKKVAESLGHTILGWRLVPTDNSDLGESALETEPAIEQVFLTKSSRSNAEIEQQMYILRRLSIRSIRAALNIQSGAERDFYMCSLSSRTIVYKGQLMPSQLKGYYYADLGHANFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNKNWMTAREGLLESETLGLSKEELAIVLPIVDATSSDSGAFDNVLELLVRGGRSLPEAVMMMIPEAWQNDENMEPEKRALYEFFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVIMGSEVGVVDVPPEDVLRKGRLNPGMMLLVDFENHTVVDDEALKAQYSKAHPYGEWLKRQKIDLKDIVESVPEKDRVAPTLTQKNENKEHVGVDGILTPLKAFGYTVEALEMLLLPMAKDGVEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLLETNEKQCHRLTLKGPLISVDEMEAVKKMDYRGWRSKVLDITYPKKSGRKGLEKTLDRICAEAREAVHEGYNILVLSDRGFSTDRVAASSLLAVGAVHQRLVANHERTRIGLLVESAEPLLAKMGISTLASYKGAQIFEALGLSSEVIDKCFEGTPSRIEGATFEMLARDALRLHELAFPSRTPPPGSADSKALPNPGDYHWRKNGEVHLNDPLAMGKLQEAARVNSRAAYKEYSRRIQELNKTCNLRGMLKFKDISGKISLDEVEPASEIVKRFCTGAMSYGSISLEAHTALAIAMNKLGGKSNTGEGGEQPSRMEPLPDGSMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPIARVSVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAVLQTDGQLKTGRDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHTNTCPVGIATQDPVLRAKFAGEPEHVINFFFMLAEELREIMANLGFRTIKEMVGHSEMLEVDPEVVKSNEKLENIDLSLILKPAAEIRPGVAQYCVEKQDHSLDMALDNKLIALSRTAIEKQIRVFIESPIQNINRSVGTMLSHEVTKRYHMNGLPAGTIHVKFTGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRNSSFNPEDNIVIGNVALYGATKGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVYDVDGNFSSHCNHELVDLYHVEEEDDIITLKMMIEQHRRNTESVLAKNILSDFDNLLPKFVKVFPRDYKRVLESMKAEKAAAKHVKDPKMTNGISVTTKESSGAGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKGFEEGWMTDKIEIVQRRVNLMAEEGVTFVVNANVGNDPLYSIERLRSENDAVILACGATKPRDLSIPGRELSGVHFAMEFLHANTKSLLDSNLEDGKYISAKGKKVVVIGGGDTGTDCIGTSIRHGCSRIVNLELLSKPPSKRAADNPWPQWPRVFRVDYGHQEAATKFGNDPRTYEVLTKRFVGDENGKLKALEVVRVKWEKVDGRFQFKEIEGSEEIIEADLVLLAMGFLGPEATIAEKLGLEKDNRSNFKAQFGNFATSVDGVFAAGDCRRGQSLVVWAITEGRQAAAAVDNYLSRNDHNAAEDITPSGLVQPVAA >Et_3B_031495.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:31924426:31925943:-1 gene:Et_3B_031495 transcript:Et_3B_031495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKLQDLKGLKNDAMDDVNNKKGNLGFVHFFFLLSVILCVLLYAPRVFVVVPYRADFFAAAVDPSSSNNRSAPVGGNAGDDDGMVVHLDNQVGSPCSAMGNHSICCDRSDFNTDVCFMSGDVRTDAASLSLLLFPPPNVTATAEEEERVRPYTRKWERLIMGTVQEVRLRKVASNNNNKRPEEHHRCDVVHDAPLLVMTAGGYTGNFFHAFNDGLLPAWLTVQHLRRRRVVLGVLAYNPWWAGTFGEIISGLSGYPVVDLLNDKRTHCFPGAIVGTRFHGILSVDPARLRDNKTVADFHHFLAGVYEPAKDNINKKQQQRPRLGIVSRKGTRVIENQAAVARLASSVGFDVAVLETANGTPLSAVYAAVSACDALVGVHGADLTKLLFLRPGRASLAQIAPIGISPIARDCFGEPAKRMGLLYEQYEVAGRESSLSRKYPLHDVVLTDPEAAVKRNGGWKFVANVYLRGQNVTLDLHRFRHTLLRMHAHALLQSRQPQPERPR >Et_9A_062494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23549168:23550597:1 gene:Et_9A_062494 transcript:Et_9A_062494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNKIKKMKRLGSFELPEFFNYPPYFTLQPVGATREVQVRVWKQLILDYCRCQRIYIVSLDQDFPLFSNPVIERYLSREAKELFLSALVTEERAEWMDRSRSKCLILWRRIQDWATCILNFVKENGLEGTMPVEDIRSGFDTRGTELAGIDSSVLMRALRLLEQNGKAAVLKVNDCEGVKFCV >Et_1B_011232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19042259:19045347:-1 gene:Et_1B_011232 transcript:Et_1B_011232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPANFSFLLLLAVVLTALTMATSTAHVVTANPPAVMAMPPSSSSADEAFLPLTMATSTSLVVTANPPVVTAMAPSSSSADEAFLRDRCATTKNATACYIALIPFAGSFNGSQIKISAAAITVAFGRVRAFLTELHRLQAAGGTGAGSLGDQALSGCTETMEGGSHGEEEALSYLHWLESPGSTKDKADALFWARHYLDGPSSCTESCIDDFVDAGVASSNVGKKVVALATTTDQYLYIALYLVVGIKL >Et_5B_043572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1208112:1209005:-1 gene:Et_5B_043572 transcript:Et_5B_043572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEANTSPAAPAAAEAGSGERPKTVVMVAVDDSEHSYYALEWTVRHVAAGVAGGAELVIVHAKPSPASIVSFGGPGAGEALRYVDADLRKIAEAVVDRARRVCIANSVHALIEVIEGEARCVLCNAAEKHHADLLVVGSHGYGAIKRALLGSVSDYCAHHAHCSVMIVKEPKSKH >Et_5A_042543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19473659:19474819:1 gene:Et_5A_042543 transcript:Et_5A_042543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKIEWREYEVLIKRDCILCNVYSKTIMTILSSHMTDDEHGNVYGGRIDDDSSMEHGTTEKHICAGDQPPCIVTRLATGEDSVAMMNNNEVNVRTMAVSTNSDQDVLRKNCKK >Et_2B_020030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16265279:16268222:1 gene:Et_2B_020030 transcript:Et_2B_020030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPSSLASSQLSRLGARELRGAAAFAPAAPAPQQLRLGCSRRRAQRVVAMAGSGKFFVGGNWKCNGTKDFVSKLVSELNAATLEPDVDVVVAPPFIYIDQVKNSLTDRIEVSAQNVWVGKGGAYTGEISAEQLVDIGCKWVILGHSERRNIIGETDEFIAKKAAYALSQNVKVIACIGELLEEREAGKTFEVCFKQMKAYADSITNWADVVIAYEPVWAIGTGKVASPEQAQEVHAAVRDWLKTNVSPEVASSVRIIYGGSVNAGNCADLAKKEDIDGFLVGGASLKGPDFTTIINSVTSKKVAA >Et_9A_063194.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:14986783:14988861:-1 gene:Et_9A_063194 transcript:Et_9A_063194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLENKLTNFIQGSQKAKWRPVSNHNINNFTEDDIKRITSNYGTPIGKGGFGHVYKGALDDGTPVAVKMLKNSICQSPKEGFARETIVHCQINHKNVVRLLGYCIEDNALMIVTEYVSRGNLGDLLHGSDDYISLDARLGMAIECADALGYMHSSMYQPIIHGDIKPDNILLDSELHVKLSDFGLSRLLSMDRTHQYTTNIAGSRGYMDPEYIETGILDPKSDVYSFGIVLLELVTRTKASESGFSTGLKRNFTYALKKGKQEARQMFDTQIANERNMTILDEIGTLAAECFSKDINERPEMKDVQGILQVLRKALHREQAQEKIGQGITHDTLQNVNKYGASVPSSSSTSTVTYKFSMLNIFNRRARRREFNNRNEGRGICIYTKRDIEKITGKYSKYSTVIAEGSLGRVYLGHTEDGTRVQVKALVTKDQGENFINAVAFLWQIRHYNITRFLGCCLETEIPLMVYELPARGSLYGILHGSEKCCLPLDLRLDIAIGSAEALAYLHSVTPMILHFNFKSANILLDDNLVPKVAGINLQGLSQHCAEGLPCVVTGDWECLDPIYFKTGRFTAKSDVYAFGVVLLELITRKRPRYGDNNDQSLPINYMKVWMQGNSGEAMFDEEIAVEGNNFVLEEVGKMALECLTLKEDADERPNMVEVVERLQKLKRHWKHGEGSCRTSDGAEEIAIGF >Et_2B_019007.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:23209735:23210051:-1 gene:Et_2B_019007 transcript:Et_2B_019007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLSPYSFSPPSPASSFFSHAGGHHPVIEFASCEVPEQWLLGDVVAAAAAAKNEAGYVDDGGEELWGPVGCTLSPNSDLSEQPPPPLPQMQQQDQE >Et_2B_020043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16385820:16387323:1 gene:Et_2B_020043 transcript:Et_2B_020043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSASTMSLLPISQLKQQHHAAGALVVFRPRARDARRRRYVVTTARLFGPAIFEASKLKVMFLGEDEAAKHPAGKPLLPRTYTLTHSDVTASLTLAVSHTINRAQLQGWYNRLQRDEVVAEWKKVRGRMSLHVHCHISGGHVLLDLIAGLRYYIFRKELPVVLKAFVHGDGDLFRRHPELVEATVWVYFHSNLPRFNRVECWGPLCDAAAPAEDAAASDERQQNDDQMPAGEWPRKCPEQCECCFPPHSLIPWPNEHDMAAADAPAAGQMQQQ >Et_6B_048731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13079136:13080422:1 gene:Et_6B_048731 transcript:Et_6B_048731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSDFSETIGSNHKEVSVVVKMGSYFSLPDDAPKTYCRRKTLPPIVVDASSYGLMQLVNHIAEHFIDEQLLKWIELNLDKGVVHITAEINDFEGPLQCSSTKRSLHSKVRERLLETPSTSSLDPTQLTQATPIKERATPTKERTTFTKQKVTSSKSVKKSKTKSHNGSVGVDEEGMYSDSDSLVAMSDSEVKQCMEAVIQHAIVNNHAFRRTTTDPNKFRAVCKKVDKGYKWKFYATTSKKKYTGCKFCIYLHVKISGPKHTCGSVNQSREAMATDSWVAARAVEFLKDNPDMGSSGL >Et_4B_036817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11711302:11714376:-1 gene:Et_4B_036817 transcript:Et_4B_036817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGPALSPRLASHRPLVPAPLGWDAHGRPALVRSRPPRAASSLGPLACGAAVGPGLWRLAAAAESQAAAVEEQPARLAEAGAVGGAEASSKLVFVVGGTGGVGQLVVASLLSRNLKSRLLLRDPEKAVSLFGKQDESIMQVYKGDTRNPNDLDPRMFEGVTHVICCTGTTAFPSKRWDGDNTPERVDWDGIRNLVSVLPRTIKRLVFVSSIGVTKYNELPWSVMNLFGVLKYKKMAEDFVQSSGIHFTIIRPGRLTDGPYTSYDLNTLLKATAGERRAVLIGKGDKLVGEVSRLVVAEACIQALDIEFTEGQIYEINSVKGEGPGTDPEKWKDLFRSVQSN >Et_4B_040025.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7890192:7891616:1 gene:Et_4B_040025 transcript:Et_4B_040025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIINEPTAAAIAYGLDKKSSSDGEKNVLIFDLGGGTFDVSILTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFTQEFKRKHKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDSKMDKSSVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGNEKVQDLLLLDVSPLSLGLETAGGVMTTLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDLDANGILNVSAEDKSTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNSLENYAYNMRNTIKDDKIASKLPADDKKKIEDAVEGAISWLDSNQLAEVEEFEDKMKELEGICNPIIAKMYQGGAGPDMASGMDQDAPAAGGAGPKIEEVD >Et_2A_017420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34186354:34188557:1 gene:Et_2A_017420 transcript:Et_2A_017420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSAQDLGLWKQIDDAEYYLVSGSFEQAVSTALSISDQIRTAALENVCDHGELLEMLESAGMVLVQALKELRRTSEMFVQLKVMFGSVASVPVKVFLTGATMQMAAGSVSDLRPIFEEYLSKWRYTNDGVHVLNREQDRSSNGLVVTSVMSNELYIEIAELYTVTFLSIVSQEIETAISWAEKAEVKEQDRQNLLQKLHALQAVAKKKSDNVKGVKQIAERNVPTSLNGSTPSVHEDAPKIVPAYNGLKTGSVKSTEPSSQRVTNQFDPLFWWFHSVRLKFGKIHIVLPSGKLMLLLSLLFSTMFVLRRKTAGLKRTVFQHASSLRRAFFDALQLAFSVQMNPLAAVQQVPQAPRGNW >Et_3B_030671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6093453:6129338:-1 gene:Et_3B_030671 transcript:Et_3B_030671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDSLRISEEIGNLTSQLGYYEENQNSRAEMAPKVNEMRCLRNQNEDYQPKYKGLRKNVELARVLASKQEVERGKQQYCPEILTTSLGAESSSWTQGLEVECEASRRISMEIENLRSQMDEDVKDLGYCEESPKLRAEMDLKDKDLQCLRKQNEELQANYKKQNVELQSNYEKQNEESQAKFKKLKEKLQAKHEKQKEGLQANYEKQNEEVQARNVGLHKIGLDDNVLRFVDIKMMGAIADVRILRAACQRRYGNDEAEVRAAMLTSSLNWELANPSWHPFKIVDANGRLKEVVDDDDAKLKYLRAEYGDDVCNIVTTALIEMNEFNPSGRYPVPGVWNFREGRKATVMEGLRCLLSMLREMRRKLKQMCRLLSPPPPSPLSGDSAVAMVLAGEGDETGSPGRTSGRSGVGPAGAGQNLGVDAGSKKRKAAVLSRDSNKLPGNGVSSWTKGLKVKCEASRRISMAVENLRSHLDECVTELGYCADNEKLKAEMDLKDKEMQCLRKQNEELQAKYEKQNEELQAKYEKQNEELQAKYEMMNQELQAKYKKQNEDLQSKWKKQKEELQAKYEKQNEEVQAKNMGLHKNVSFSLHYSLLYHDPNTKLVDQSSSGISGGGKADDETLKKLEIEVAKLDAEIEIKMNKLRELLEDEKLIEELGSATVWKSIQANNELQEIRRELIVGLEDNVHGLRSVGIKRMGEIEGDQILRAACQQRYGNDGVDYGTAMLVGKWQDELKNPSWHPFKIVDSDGRLKEVVDDDDARLKYLRAEFGDDVCNVVTTALIEMNEYNPSGRFPVPEFWNFREGRKATMKEVLKYLLRADQNLGVEARSRKRKAAVLSRDSNNLAHNGDLEVDCEDTWRLSLEIENLRSELEEDVKELGYCEENEKLRVEMNLKDKEMKCLKKQNKELQAKYEKQNEMLQSKYEKQNEELKAKYEKLNEELQAKNTMQNEDLQSKCEKQKQELQAKYEKQKEELQAKYEKKNEELQDKHKGLHKSGLQEMLPRPVGIGVKRMGEVDELIFRAACQRKYGDDEAKVQAAMLASLWDQELRNPSWHPFKIIDADGRPKEVVDDDDAKLKQLRAEHGNNLCNAVTTALIERNEYSSSGCSPVFELWNFREWRKATVKEALQYMLRQLRVKRPGAGHNLEAEAGSKKRKAEVMSRDSNNLVEKGEGLECEDHRRISLEIENLRSQLDEDVEELGYCEENDKLRVEMDLMNTEMQCLRKKNEELQAKYEELLDKYEKQNEELQIKYEKQKEYLQEDLNMPSDDSLRISKEIENLTSQLDKKKKELRYSQEVKKLKVELSLRAKDVECFMKQNEELKSKNEGFRNNVRIQVIPAGISDVTYTKDLEFELILKTKEIESLQKQNEELKTENENLKKTNAFIMTPATLLRSQNLLVLLKKRMGRVLASSLVTSCVFVLLSWRRTMVISVFYLFWRSF >Et_9B_066015.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:20509220:20510527:-1 gene:Et_9B_066015 transcript:Et_9B_066015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVSATRRRRRPPKKLPSKARPPPEPHPFLVHLKSLPSPVAAAAALLSAPRHLHDHPFASCVLYRLARARLFPLVLPLLSALRALRVPLQPTAFAALIDHLGAAARPDAAALVFRAVPAFCSHSNATFHALLHSLVCNNRVDAAREMLPEAPKLGVRTNAVSYNIILKGVCHRDGFSGARAVLDEMLGRGVRPTAVTFNTLVGAACREGQLAAAERLRDEMARRGVAPNAVTYALLMRGLCDARRYDDAKKLMFDMEYRGCQPEAANYGVLMSACAARGDADGVRTLLSDMRKRKLQPDDAGYNVLIKCLCHGGKVGEAHKALVEMQLKGTAPSAATYRVLLDGCCDARDFELALRVFNAMLASGHCPLACTFRRLVKGLGEDGKAEEACFVLEQMAKRGMRLDAEGWQSVAACVCSRSSASETKLIDNLTLSS >Et_2B_021445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29771541:29773553:-1 gene:Et_2B_021445 transcript:Et_2B_021445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTWKFSCFWVDAFPGDAIIFMRVLNLLEISRVIMAFALHFCLLASLNVTIFYLDIMTPFAESTMLGKMMHGHISNSQWIYDSPANSDLEPKLTNYLLQLGIDKILRIQTCAYKDGKVIIDTVTVTKGVTAGWYIGLWTKGDVVEDPLLVCDWEETLNKIATFTPETEPGSAHKLLTIPDA >Et_4A_035144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9979991:9987698:-1 gene:Et_4A_035144 transcript:Et_4A_035144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLPAKLLPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKTPIAKFLEQRTHKELRASHVNFVMIITEAYSKLLFICKEQMAYFAISLMTVLTELLESKQENIHILGCQTLARFINSQVDNTYARNIESLVHKVCALSRQKGEEHSLLRAASLQCLSAMIWFMKEHSYIFADFDEIVQSVLENYRTEESIGDANERHASQHNWVDEIVRCEGRPGFAVSNDVNVSRTTIRLQPARDSSALTREERESPEVWSHICVQKLAELAKESTTMRRILDPMLSYFDMKQQWAPQRGLACLVLSDMAYLEKSSGNEQLILTAVIRHLDHKNVLHDPETKSNIIQTAKLLARQLRSRGVAAELVVAGDLCKHLRKTLEALESGSIEELSLNESLKNFLEDCLIEVVRGINDVRPLYDMMAITLEKLPSIPTVARATLGSLLILSHIVSLSSVSSNTPMVFPEALLQQILKSMIHPDIDTRVGAHHMLSAIVVRGPSHPRSESEYLYETKKQSRTTSVFASASALLEKLRKEKDNIGSDKPRNMMPDELKEKNMHEEDNKHVWARKNSAYFSKLVFSFIDRCAALSSTVEETKISMLTEDQTNQLLSAFWIQANQTDNSPFNYEAIGHSYSLTVLSSRLKQNSTNNNIVQFFQLPLSLRSVALTPGGIPPASCRRSIFTLATSMLAYAAKVCHITELSDLLWCFTSSNIDPYLRIGEDLQLYVRLQSDLGSYGSESDQEVARSILSDCRAKVGITDQRVLDVIVSALVSFTKMDKDILTKELTEMFTPEEMPLFGSNSALDWANFHAQAFPDESLSFDEECSRTSSVDCGLHESPITNTASSISKITQPQSVPHVLGVGQLLESALHVAGQVAGASVSTSPLPYGTMTSQCEALGLGTRKKLSSWLVNGHEATPDNPMPSLPSAHNSIIPRVLSCGFESIHRTSSESCSTVKLPPASPFDNFLKAAYGTQLQM >Et_1A_008763.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12152090:12152767:1 gene:Et_1A_008763 transcript:Et_1A_008763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRHLLLLLLAVLLPAAATADPDAVQDYCVPDAGRGRPVELALLPSYPCRSPANLTAGDFAFAGVRAAGNFSADTGFAGVSVTPVQFPALHTLGMSFARADLSAAGGVNPPHYHPRATETALVLAGRVYAGFVDSGGRIFAKVLEKGEVMVFPRGMVHFQMNVGDEPATVYGSFNSENPGIVRIPATVFGSGIDAGVLERAFGLTPEEVRRLEKRFGPPKTKKE >Et_3A_025383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29315147:29318408:-1 gene:Et_3A_025383 transcript:Et_3A_025383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQWLTEYPHQGADKRPRKRPRLAWDVAPTLFQPPKAIPMLYCGQELINGNFAPAFLPPPPIFYSGPPRNLSPPWRPDDKDGHYVFAVGENLTPRYRILSKMGEGTFGQVLECWDLENQESVAIKIVRSLQKYREAAMIEIDVLQRLGKHDFTGSRCVQIRNWFDYRNHICIVFERLGPSLYDFLRKNSYRSFPIDLVREFARQILESVAFMHDLRLIHTDLKPENILLVSSESIRVPDYKVSVRPPKDGSFFKNLPKSSAIKLIDFGSTTFEHQDHNYVVSTRHYRAPEVILGLGWNYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPKHMIVRADRRAEKYFKRGLRLDWPEGAASRESMKAVWKLPRLQIFFKGFFDMILMSALKLVKLFDTLSLPDASEDVVSEII >Et_6B_048486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:38818:41973:-1 gene:Et_6B_048486 transcript:Et_6B_048486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIVSLCVFWLWITVNDVRIRVHEVAIRGCSRTRRAAVEAAVGPDIARAATVRDLVRSAAAARDRLRRLGAFDAVSITLDAAPPGIPGSGGSVVVLVDVSEARGRAAGEFGVFGNTQTRSCSLEGSLKLKNLFGYCETWDASGALQLDQTTELSAGVEIPRIGALPTPLITRISFLSEDWLKSSLKEHLMSISVGLLSTTNHNLAYNLTWRTLTDLAHMSSDSIQEQLGQRLMSSIKYTYKVDQRDSSIRPTRGFAFLSSSQVGGLTPGSKYPRFLRQEFDFRVALPLGVMNAALNTGVAAGVIHQLAKEPTESISPLPERFYLGGNRSLVCRLGGPSSLSGFKSRGLGETDLGTSGSDNSISGASTSDKNGLGGDIAVTAFADLSFDIPLKPLRDLGIHGHAFVSAGNLARLTECDLRKFSLANFLQTFRSSVGFGVIVPTKLFRIEMNYCHILKQFDHDKGKTGMQFNFSAP >Et_4A_033843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27313417:27315235:1 gene:Et_4A_033843 transcript:Et_4A_033843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTHVLVLPMPCQGHVTPLMELSHRLVDHGGFEVTFVNTEVDHALVVAALEASGGGAAALGGGIQLASIPDGLADDEDRKDLNKLIDAYSRHMPGHLDRLVAGMEAAGRPRVRWLVGDIPELIEDGIIDDKGLPRRHETFRLAPGMPPLHTSQLSWNNSGAPEGQHIIFDLVTRNNGLNGLAEMVVCNSFHEAEAGAFKLFPSVLPIGPLFADAAFAKPVGHFLPEDARCVKWLDAQAEGSVVYVAFGSMAIFDPRQFEELATGLELTGRPFLWVVRPDFTPGLSKAWLAEFQHRVAGRGMIVSWCSQQQVLAHRAVACFVSHCGWNSTMEGVRNGVPFLCWPYFCDQYLSRSYITNVWRTGLAVSPDADGIVTKEELSGKVERVIGDGEIRERARLFKDAARRCVSEGGSSHDNFTKLVNLLSE >Et_9A_062004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18822160:18829171:1 gene:Et_9A_062004 transcript:Et_9A_062004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSHGSMAHGHGGFPDPPVCQSTDALNLSGKSTLSPPLGRSPTATAQSKPPREKLIRRFHPPLAATSLGGGIAEGSEASSFMYAAKMNGPKSGGGAMDEEEEKAKAIWQRYFPGEELLSASDPNVLLVANLIESFSNAAKHLLSQKTIALKELKSAYIKKIVTVRGTVLKVSTVKPLVVKLKFRCMKCDSEITRQFCDGKFSPPMSCGILGCKSRTFSPDRSTAEIQELASADNHEEGRVPRTVECELTEDLVDCCIPGEIVTVTGIVKVLNNYMDVGGGKPKSRNQVQNLKSHAISDEQIQAGGTIDFHTFTEKIYDFIVNYKQEHGADVFRQILQSFCPSIYGHELVKAGITLALFGGVQKNSMDQNKVPVRGDIHVIIVGDPGLGKSQLLQAAAAISPRGIYVCGNTTTKAGLTVAVVKDSMTNDYAFEAGAMVLADRGLCCIDEFDKMSAEHQALLEAMEQQSVSVAKAGLVASLSARTSVLAAANPVGGHYDRAKTVNENLKMNAAVLSRFDLIFILLDKPDELVDKRVSDHIIALHTNDGDHLKSNKRMRTVDRESIASRLRLHPEKDKDFAPLNGHFLRKYISYAREHVFPRMSKAAANILRDFYLRLRDRSSSADGTPITARQLESLVRLAEARARVDLREEVTADDAKDVVEIMKESLYDKYVDERGLVDFGRSGGMSQQKAAKKFLSALNKESELQRKDCFSRTEMYNLADKISLLVPDLDAIVESLNNAGYLLNKGSGMYQLVTASYSQCQPTRSR >Et_1A_007087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30756938:30763046:-1 gene:Et_1A_007087 transcript:Et_1A_007087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVDLRSLPPGYRFMPKEKELVEFYLLPRARGLPDPFPGADITDDDTAASTHPWKLFRRHNRGEDDEPYFFVHSGDTKAGARQDRLVDGGGKSQRRVPGVMEIGGEKIKWTKHILGLHRGDGASLGWVMHEYTITEPHSAAVKICHISFTGHGHKRKRVPEGYDDRERQPVPQRARAAAAIPLDSWTRKTLNQETEQPARTTMAADLRSLPPGYRFVPKEKELVEFYLLPRARGLPDPFPGVDITDDDTAASTQPWKLFKRHNRKEDDEPYFFVRSGDTKAGARQDRLVDGGFKWKSHRRVPGVMEIGGEKIKWTKHILSLQRGDGGSSLGWVMHEYTITDPHSASVKICHISFTGHGQKRKRIPDGYDDCESEPESQRARIDAAPLDSWTTTTSNQETEHVFGAMEQDQLQEENCLVESEPQRLHVAAAPSSSPVMTRNFDPETAYVPASTMEHLDGEECKDGSASGGSGTTASMPDQDSAVSARQDPFDDDFISEMVDEMTDAEGSMELNEQVPMREQEQQEDVVMPLPIVQESVTAEDLYGYPQFCRMPDMLAGSLADVAWVDFCF >Et_3B_027769.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18542370:18543149:1 gene:Et_3B_027769 transcript:Et_3B_027769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESQGGEAEEIDGGLGHDGGELREADNAVAVGVGLAHHVGELGVADGVAHPRHGSRELGGGDEPVPVAVECAERLGELRLVDGDGGAARPEEQRRQGGRQLVELDGAVAVGVHGGDERVDLVARGGAEAKRPEQGRDLQLGEAAVAVEVEAEEELPELAQLLVAEPRAPAGRRGRGEVPAGAGAREGHGRRPLRRRGGGGHGRARSGRRVRACARAAFADAVFGAGALHRRALMMGWRRGRGGKISSPFRLRSVGVPF >Et_8B_058730.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:19191942:19192046:-1 gene:Et_8B_058730 transcript:Et_8B_058730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADRIIFLICRKDYVKATFWSFCPFWFSPQDSS >Et_4A_032360.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31841392:31842738:-1 gene:Et_4A_032360 transcript:Et_4A_032360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RVHVVARSCSRTRSTSSSLQCCACAVPSSHRPAPLPAHTLRTRSPLASSAADHLDALALSQVDHFSSSKSSSPHSIQISSLFDRSLISLSRSWGVSSDRASMSSSENITVTDRGSGKDKDHKDDGDKKEGGGGFIDKVKDFIHDIGEKIEETIGFGKPTADVSGIHIPHISIHRADLVVDVLIKNPNPVPIPLVDIDYLIDSDGRKLVSGLIPDAGTIHAHGEETVKIPVSLVFDDIKSTYKDIQPGSIIPYLVRVVLLVDVPIIGRIKIPLQKDGEIPVPYKPDVDVEKIKFHRFSFEETTATLYLKLENKNDFDLGLNMLQYEMWLGDDSIASAELTETAKIEKQGITRMQVPFSFRPKDFGGAVWDMIRGRGTGYTIKGKIDVDTPFGNMKLPISKEGGTTRLKKDDDNDDDEVLSILIMLRLLVHLIHHHCLLLRGSAYLVLFD >Et_3B_028036.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:3958681:3958839:1 gene:Et_3B_028036 transcript:Et_3B_028036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIFCARSLCNYFDPGYSACYCCPSVGRREHCHRTLDECRANCDACKPKCA >Et_3A_024930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25465805:25466743:1 gene:Et_3A_024930 transcript:Et_3A_024930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPTSVAWGTRPVARSASKEAKLKGELKMERVVGTDAVVAIPVPPPRRAWIYIYRARPRPELRERNRSSTTTTAAEILELDRPSLFFLLVQRPVAKMSWQTYVDDHLMCEIEGHHLTFAAIIGHDGTVWAQSTAFPSFKPEEMANIMKDFDEPGFLAPTGLFLGPTKYMVIQGEPGAVIRGKKGSGGVTVKKTGQALVIGIYDEPMTPGQCNMVVERLGDYLVEQGL >Et_10B_003727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5282445:5288521:-1 gene:Et_10B_003727 transcript:Et_10B_003727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAAAAALRSAATRLSRSASSIVTREVTGHHNLTIDGYRATRKLPITWSASSQTFEAAGYTWRVTYHPNNNSWKESIDLYLEPVGAAGGGRRQLKADDPVEFRFSLLDGAGNPVPEHTQSSKGACFFSGESRTKGLPGFIKWKDLEESGCLKDDRFTVRCDITVVKNWTESGAGAGDESDDSKAAAAAAPAAAARVVVPPSDLHDHLVNLLWKKEGKDVTIDVGGGKTYDAHGWLLAARSPVFEAELLAAAKEKVPGGGVRRHVELQGVDPRVCKAMLHYMYTDTLPPEMWEKLDAVAMAQGLLAAAHRYKLERLSLVCEEMLCERVDMDTVAGSLAVAKEHGCETLEAMCVEFISRPGNLKAVMETEGYMSLFLKLHEDLGGGGGSNDEGNDNDDPVEFTFTVLDPAGNPVPKYRRSKEEFFFTGGVSASSRRFPNFARWKDLEASDGCLKDDKFTVQCDIAVRREWTEDADAGASLAAPAAVVVSLSDLREHLRNLIWTSKGTDVTVYVSGGGQLTAYDAHGWLLAARSLLFEQQLLRSRSDGSDHFGFRAELLATTKENLLGGGVHRHVEVQGVEPKVCKAMLHYMCTDTFPPHMEKWQDAVEMARGLLAAARRYKLDRLRLMCEEMLCERVDMDTVAESWALAKQHGCQTLEAVCVEFMSRPGNLRAVMETVREDKGQLPRASDRAAHEEIGLSDHVLPSANSYAYCRVRYHPNGGNRKEGDGDGDESLYLEHDQDRTTDTATAVAEFKFALLDRAEVHPLLAGGLKATSIASGSSSSGELDL >Et_6B_049029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16841092:16843911:1 gene:Et_6B_049029 transcript:Et_6B_049029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNALASDLGCFLNYIIGAFKPPCDIFVTFSDERSRKQVPIKKDNGKTAMVPAFQSLETIAGEVSIAPVPGKRLEHTGVKIELLGQIELYFDRGNFYDFTSLVRELDVPGELYERKTFPFEFSTVEMPYETYNGTNVRLRYILKVTIGRNYVGNIVESRDFCVRNFSPVPTINNSIKMEVGIEDCLHIEFEYSKSKIKIKNMELEIRRRESTGSGANTYVDTETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRLLETPQSS >Et_3A_027136.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3476643:3478166:-1 gene:Et_3A_027136 transcript:Et_3A_027136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDRSASFQAMNALLSAVVSDLAGRLVSFLVRKYHEASATDDTIVRLQRALLRARVIVDEADGRQIANRAMLQQLGQLRRELCRAAYALDAFMWRDAADPRRRRSSHATVTASRRSRSPSSRPPPIAGSGCDAVPLPSAVGSLEAALSDMREFVVLLGSCPRVTRQPYSAYLFVESCMFGRQMEMEEIIGFLLSQPSHHDLDVLPIVGPRGVGKRTLVEHVCLDERVRGRFAKIHRLTSDELRDLSLADVDVTVRSLIVIYIADDDADAAEESWRRFHSAAVRHLRGGAHAHSSGSKVIVISRTEPPSPSQLPTTRTAPPLRLRAPRREELWYFFRALAFGAADPDDRPELARVAMAICDTIRDFALFAAAHTIAASLRADVSARAWRRVLGVYARVTPLRLGGELCYVCRPVKGAPGAPCLFYNRRKVTGVAPSELPEVTLTGLITGSAVPPVGVTRFDVVTSQSRIPPYGTYVATCDMERARQMEKRARKRRRDQHDNHQPTRV >Et_3B_030120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30664891:30666501:-1 gene:Et_3B_030120 transcript:Et_3B_030120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCRRSSINRPPTPSADEDGEKEPSLREIIDIKLVESGEKEKLMELLRERLVECGWRDDMKALCRAYARKKGRNNVTVDDLIRVITPKGRASVPDSVKAELLQRIRSFLMSSALR >Et_2A_017572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3691766:3695254:-1 gene:Et_2A_017572 transcript:Et_2A_017572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQSDGSDSRYVMSELPSDIVIHVDEARFYLHKFPLLSKSSLLQRLIIEASQNGTDEVVIHDIPGGVKTFEICAKFCYGMVVTLNAYNVIAARCAAEYLGMTEDVEKSNLIFKIEVFLSSGIFRSWKDSIIALQTTDALLPCSEELKLVGRCIDSVATKATVNPSNVMWSYTYNRKSASSNEIVEARKSSLAVPKDWWVEDLCELDVDLYRRVMVAIKSRGRIPSDAVIEALKAYAARWLPDCCETLVDDVYSSSYKHLLETIIWLLPSDKGCSGSSCRFFLKLLKVTVLIGAGDLLKEELLDRIVSQLHRASVHDLLIPSKPPAQTIYDVELVQTLISRYMRHAGVTADGIFLNNLDQEMFETNVDDESLLALCKLVDRYLAEVASDPNLSVSSFVGLATSMPESARPTHDGLYTAIDIFLKLHPGLPKMEKRKISSLMDVKKLSKEACIHAAQNDRLPLRVVVQVLFFEQLRAAGAQANGAAANGGAARCLARLAEAEDDDDGWKDRALPEPPTPGGALRKQLGSLKLTDQGGADDDGGRRLVARSSAAIQSSRLSLSSRSRRIFDKLWVGGGKPPGEVLVGKGSDTSGSSQSPRSSAKPLESKSSSSSSRNRRYSVS >Et_5A_042382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9382479:9386636:-1 gene:Et_5A_042382 transcript:Et_5A_042382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRRQEPLPPAPAAMAEEGRRALDAYRKALATAASAAAYAVMARSMARELLPKELQSAARWAASAALARLGRRERERRTLVVRSAGGAGGMEENLFFDAARAYLGSRLDPRAMRRLGLTLARTRDVDDGGSVGWSRRLFIEPGDSTVDIFDGVAFTWTCVGTPRGGGGKKKTATSGGGEAGSGGDLEFLLELSFDAEHADVALERYVPFIMGAAEDAEQRERQLKICMNEGRGWYRFNHHHPATFETLAMDPAQKRDILADLDLFAQRRDHYRRIGKAWKRGYLLHGPPGTGKSSLVAAMANHLRYHLYDLDLSRVRSNTDLQLLLLTMSNKCILVIEDIDCCCNALSREAKTKKNKSKLVSAQTEQESSSPCTTPAKPKPTDQKVNRLSVQQTNHTDTEVFSIKHANLSTMAFHSHILTLMYFGRAQGLEAPGFQVTLSGLLNLIDGLWSTSGEERIIIFTTNYKDRLDPALLRPGRMDMHIYMGYCGWEAFKTLAKNYFLVDDHSLFPEIQELLAEVEVTPAEVSEMLLRSNNAESSRGVAMDLSRVAASPTYGKAVDTYRKAVSMAATATAYAVLARGMARELLPDELRDAARWAASFLLSRGRGPRAAPDRRTVVVRRCDEEGRDNGFYADARAYLAARIDPRALRRVCLSRRGRGGGWDGSDGDDGGEEEEAGCDGKQVLSMVAGDSTTDVFEGVEFTWRPWLRTATCSSAYSLELSFDAEHVEMGLGRYVPFVSATVAESRRRERALEIFLSGGGSSWRGITYQHPATFDTLAMDPALKRSVVADLDRFLKRKDYYRRIGKAWKRGHLLYGPPGTGKSRLVAAMANYLRFNIYDLDLSEVRYNSNLQWLLTSMANKSILVIEDIDCCFSARSRDEPEKSDADVLHPSDSAEQGITLSGLLNFIDGLWSTCGEERIIIFTTNYKDRLDPALLRPGRMDMHVYMGYCGWEAFKTLAKNYFLIDDHPLFPAIQELLSAVEVTPAEVSEMLLRSEDADVALQGFVEFLQGKKQGTPEHA >Et_4B_037226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16892361:16897717:-1 gene:Et_4B_037226 transcript:Et_4B_037226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVQVTIQYTGIIHLNEHQVMFARRFQTTILSLLISKDHSEVSDAINGFRELKASIGVVYLLLPSVSGKIDWCQIKFSSSPAYVKLLTRIHSHACKDAHLLQTKDGLFCTCMLQYCIVYAPHDEMFHHITGFLDFDANGTLHLEDGSTISSSSRKYLKMRFIHFRSYFVCSIHGLNLTCENQRKLAHSELPPVKNCFHKFYKNEKESSNTNGVELRPELCRVVMAPVSANTLCIFPFVPSVMYRIQCVLLSVKLKIQLGPTMQQFNIKALKIIEALTTKACQEGFSLESLETLGDSFLKYVTSQYLFSKHKDLREDMLTDRRISLISNTSLCQLACSRNLVFRTKGWIIPGPGYHKHGNINFSFQCTNNMYTLKKISITSDIIADTVEALIGAYLSLGGEHGELAAFHFIKSLGMDIELHIGMNDERKLITKSENIEESINARCSDLQAMLGYVFNDRLLLVEALSHGSYKTVGTTACNQRLEFLGDSILDYIITVHFFKLYYPDCTPGLLTDLRRASVNNHCYAHASVKNGLHKYILYSDKQMVKTINDLENSGRLFSGPSHGMEPGSGLPKYLADLIESIAGAIYLDSKCNKEEVWRAMKRLLEPLATAETMECDPLTALKELCEQRSYLAPSYSATRKDGVTTAVAKVQVAGTQHSATGTGTGCNKDVMRFAAKALLRN >Et_5B_044761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5559752:5561380:1 gene:Et_5B_044761 transcript:Et_5B_044761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EQGNDNQAEWGDWLQNGQPDAAPATQMEQGQIEQDDPILAEQVQAEEGVHDFNLNDVPEELNIEFMEQDNIQQVGQLDLNAPIEEEIVAEQPQPVAQVDGNPMQQAVENVNAMDVQPGEAFIELNDFVNEVINEENVNDNADDGGQLSFQESDSIMGANISMSSASSVNQPVGQAHIQHEVVLALEAANNDNVAAFLPMDLQVEDLIGDPGQQQQPEYGNNANFDLNMQVGMMQYRDTYGADPVFETLYATFGRVKKRKLNADCYKLWVKYFSPSGSLQPKISIPTEWVSFVLNLLLSPDNFLSTKSLLVSKAWDIIRKHAENDDALAFMLPEICPVDKEVGCNVLIPGITGGTLLEWGSRQTRTRLRRNQKKRKGISLRFLPRSSKRNLPMKIKTTRDKTDCWSPTVTVARRWHDKVVLFWWGQ >Et_5B_044829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6320361:6325917:1 gene:Et_5B_044829 transcript:Et_5B_044829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRTHSHPARRRSGLGPQLCAAVAALLLLFSLAVLHSRLSSSSSSSSFPTSRSRSSTPASDTNSSALLADEEDQDLVAALDPLLTVTTTTTAATEATVANPDDDRIDELDVLDEDAAGADAADDVSASSTAAVTSLVWDHAAGAARLPFRLPTAVDALPVGTPRLDAPRRIAAAVFGSDDELVDLDLRVEISSIRGVEDALLLKPASSGAETRLRAGWARWLEGKADYLRRDRMLRSNLESLNPRNHPLLQDPDSPGLTSLTRGDRMVQRMILAEIEKPTSEKFARRKLQAYENKQDMGVTVKEKQGKGRRWGYFPGIDPHLGFSEFMDRFLEHGKCSMKVFMVWNSPQWSYGVRHQRGLESLLKQHPDACVVMLSETLELEFFRDFVKDGYKAAVAVPNLDELLRNTATHIFASVWYEWRETKYYPLHYSELIRLATLYKYGGIYLDSDVIVLKPLTSLRNSIGVVNQVYGKSSFSGAVLAFEKHRVISNLSSNADENIGQLDMKLEPSVTFYPINSTDIMRYFSEPDNMVERAHQDAIFSRVVNDSTTFHLWNSVTAALVPEPNSLVERVLNLHCLHCLDLSKGLLVLGRVQLPPLVTDHHLPVRPRHDHVPIRGRERRHELHLKVPQQVAPYHLDLHVRERLADARVPPAAERRVRERAAGPVLRPPRAEPVGSNLSGSSYTSGSRLWITSGLATAWPAGTSYSRRTAKPRRAWRSSTSAGGWSRSVSLTQRCRTSISWSAS >Et_9A_061182.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:20841635:20841793:-1 gene:Et_9A_061182 transcript:Et_9A_061182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEWGALMDLLNRVSMNEERDQIRWRLRNLECTLLNQCTEISLLERSYLLE >Et_4A_034364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32005655:32008789:1 gene:Et_4A_034364 transcript:Et_4A_034364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ANSLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSIRISLKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMHKHKLIDFIVQFMERSMNKQSQDTPSVIFLRWVAL >Et_3B_030792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7384836:7387755:-1 gene:Et_3B_030792 transcript:Et_3B_030792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGWIAAALALAAYVAAKLMEVLWWRPRRVEEHFAAQGIRGPRYRFFVGCVREMVALMVAASAKPMPRPYRSHNVLPRVLAFYHHWRKIYGSTFLIWFGPTPRLAVADPDLIREILLSRADHFDRYESHPMVRQLEGEGLVSLRGDKWAHRRKVLTPAFHMENLKLLLPFVGKTVVDMADKWRDMAAAATPGEVEIDVSEWFQVVTEDAITRTAFGQSYEDGKAVFKLQTQLMAFASEAFRKVFIPGYRFLPTRKNTSSWKLDKEIRKNLVTLIGRRQEAADTEKLHGCAKDLLGLMIKASSDGGRVSPITVNDIVEECKTFFFAGKQTTSNLLTWTTVLLAMHPEWQESARQEVLDICGARGIPSREQLAKLKTLGMILNETLRLYPPAVATVRRAKTDVELGGCLIPRDTELLIPIMAVHHDARLWGPDATQFNPARFADGVARAAKHPTAFIPFGLGARMCIGQNLALLEAKLTVAIILQRFEFRLSPNYLHAPTVLMLLHPQYGAPVIFRPRASEPSDQAAASVIR >Et_10A_000231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18910286:18911194:1 gene:Et_10A_000231 transcript:Et_10A_000231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTDSFVAAAAKAQQGGAPSSAGDDPELRAFLAEADAAKNEMAALRDELSQLRSAHEASKNFVAISGGGRAAATHAALVRLLGSARRLRARLASMDRRAPAPAAHAAAGLRGRVRDLTADVQALRRQVSAERRDDAARRYLAVAGDAPTEEQLDRLLAAAADTGAGEDSDAALLSAAGEEQEAAAKEVAEVERGLLELQQLFLDMAVLVDAQGARVDDIERHVGVAAGDVAAAEAELTEARRLQGGVAALVLVAVAVAVVAALVLARRGGQAGNLLLQLLLAADQPAR >Et_6B_048573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1084258:1092860:-1 gene:Et_6B_048573 transcript:Et_6B_048573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHICSVVSMTPYIPYILLRVAYESLSTYSPMAPSKKSLLVALAVVVALLLATEASEAAITCGQVASTLSPCIPYATGRGTLTPACCSGVRRLNSAASTSADRQATCRCLKNLAGTISKINMGTVAGVPGKCGVSVPFPLSLSTDCNKYVGSSLAPCIAYATGRGTLTSGCCSGVRSLNSMARTTADRQAACRCLKSLAGSVGRINMGTVAGIPGKCGVSVPFPISMSTDCNKYVTFFRAYLLFTRAYKFFFFFFFFFFFLGCTRAYKLHLLNTYVSWFFGTCRIN >Et_1B_009963.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:14564944:14565180:1 gene:Et_1B_009963 transcript:Et_1B_009963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRKRKDRDAAGPPEPSLAAAAAGGGNRLLAGYLAHEFLSSGTGRRPAWRRAGARRRGTRPWPCWCSAAGPAFRGW >Et_4B_038423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28955174:28959904:1 gene:Et_4B_038423 transcript:Et_4B_038423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARELLDAARAPEFAEWQRCVRRSIHQHPELAFQEHRTSALVRAELDAIGVAYVWPVAQTGVVATIAGSEGPVFALRADMDALPIQEMVEWEFKSKEDGKMHACGHDAHVAMLLGAAKLLQARKSDLKGTVKLVFQPAEEGHAGGYHVLKEGVLDDVQAIFGMHVGTSLPVGVVGSRPGPFLAGAARFSATITGKGGHAAGPQNAVDPIVAASSIVLSLQHLVARETDPLQGAVVSVTFIKGGEAFNVIPESVTLGGTLRSMTTEGLSYLMNRIREEMVEWEFKSKEDGKMHACGHDAHVAMLLGAAKLLQSRRSELKGTVKLVFQPAEEGHAGGYHVLKEGVLDDAQAIFGIHVDTALPVGVVGSRPGPFLAGSARFSATITGKGGHAAGPQNAVDPIVAASSVVLSLQQLVARETDPLQGAVVSVTFIKGGEAFNVIPESVTLGGTFRSMTTEGLSYLMKRIREVIEGQAAVGRCTAVVDFMEEKLRPYPATVNDEAMYTHAKAVAESMLGEANVKLCPQFMAAEDFGFYAQKMPAAFFSVGVSNAEMGGKVHHVHSPHVVIDEGALPIGAAFHAAVAIEYLKKHAPA >Et_3B_031301.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25071126:25071716:-1 gene:Et_3B_031301 transcript:Et_3B_031301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAATRDVSAFSTRVLSYLASRDGGRAKLAVSPLSLHAALAVLGNGARGATRDQITPFHRACSPTAVTAPATRCGSPTASGYARAVVELYRTEARSVPFETDVRNKPAPTYFRLSAAATNSHPSLTVVCFPESARGGDAPDQPVDRGRDGRADQRRLAVRRRRQRDPGIVAPAPAALISLPLGMVLRSVSNTAE >Et_3B_029088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2208433:2213238:-1 gene:Et_3B_029088 transcript:Et_3B_029088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGKAMYAVGFWIRETGQALDRLGCRLQGNYFFHEQISRHRTLMNIFDKAPHVHKEAFVAPSASLIGDVQVGQGASIWYGCVLRGDANSIQIGSGTNIQDNSLVHVAKSNLSGKVFPTIIGDNVTVGHSAVLQGCTVEDEAFVGMGATLLDGVVVEKHGMVAAGALVRQNTRIPCGEVWGGNPAKFLRKLTDEEIAFIAQSAANYSELAKAHAVENAKPLEKVEFEKVLRKKFAHQDEEYDSMIGATQEVAPELAPPSPAQ >Et_3B_028764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18986901:18989600:-1 gene:Et_3B_028764 transcript:Et_3B_028764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPEPWRVLEFYSGIGGMRYSLMGSGVRAEVVEAFDINDIANDVYELNFGHRPCQGNIQTLTAGDLDKYKAHAWLLSPPCQPYTRQGLQKHSADARAFSFIKILSLMRNMTYPPQMLFVENVVGFEVSDTHDQLLEVLSSLNFNTQEFILSPLQFGIPYSRPRYFCLAKREPMCFQNPAVNNILLRTPLALSVTVNSTSLSSHNQDEEQLELDCKPIKEFLVKEEDGGVSREKVLQDYRVPLNLIERWGNAMDIVHSESKRCCCFTKSYYRYVKGTGSLLATSENLKQVPEQKLEVSSLKELGLRFFTPREVANLHSFPSSFHFPDRISLRQQYAMLGNSLSVAVVGPLLRYLFAEA >Et_7B_055432.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:10425284:10425757:1 gene:Et_7B_055432 transcript:Et_7B_055432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFRTDSETFRLVSRPPCGASPAALLELDDGALCAAAVGDNDVTLLDVWALKQQDYDEIEETSWTLRHRVVLPRPRLRVVSMSAAIAVGDGVVLVGHPGLSRFVRLCDVKGNKRPQYRELQFQSTPALQVFSESLVMHDFFNAPRCPGLAPLKFAD >Et_10A_001341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23571860:23573918:-1 gene:Et_10A_001341 transcript:Et_10A_001341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEEDPDPLSLFASQRSFRDDDLRLLEAALSAGPDVPALLHTRSAARRLLRARAAEAFLKAPGGVEDHGHGRSLSVADFFARAFALVGDVESCLAMRYEALVLRDAKYSNNPQLQVSREEWSTFAKDSLDNGFYTIASKAFANALAHIPRGHPGLLESTNSVVEKGKINDITGLQNLAKSLSSQHSVHTQSAEYMKRRASDVHTKYDLQPGKPKLPASSMFRLGIKTRNIKKLLHSRERNLGDI >Et_7A_053193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9754977:9758190:-1 gene:Et_7A_053193 transcript:Et_7A_053193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAPTASAAPRAFFVFGDSLVDNGNNNYLMTTARADAPPYGIDFPTHMPTGRFSNGLNIPDIISEHLGSQPALPYLSPELRGENLLIGANFASAGVGILNDTGIQFVNIIRIGQQLQNFQEYQQKLAAFVGDDAATRLVNQALVLITLGGNDFVNNYYFAIQDYVPYLISEYKKILSRLYELGARRVVVTGTGMIGCVPAELAMHSLDGSCATDLTEAADLFNPQLVRMLGELNGEIGSDVFIAANTNKASFDFMFNPQDYVIHLYHGSKVGKKYEDGYGQQYTWYRSDLT >Et_5A_042407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9677216:9679299:-1 gene:Et_5A_042407 transcript:Et_5A_042407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RLSYPKNYYSTNYASDFQKDYKEVSHFPSGHARQEQKASSSAKILNRQQKRDPGLKGIHSQHFNSGFNGKDFRCYRCLSPGHYAYNCVNQIRCKECFLYGHIARACRRKSKVSYRRKPVAIFSKDQDMPINLESSRPPDASRICDSSPPNTETTQFALGALAGASTSAMSNYAVDPLSFLPAGFHLEAWPPLDALERPHRRLRACAGSVQRVHESVGIAILNPEVVPEDFRQIHDASTKAGLVVKVVVHDDAEIPDDILVTVGDTPSSVSFTAIVVRLRVEGTDLLAGEEPVPDYGFVHPAPPPPPRWMGVHQTGPGGRGADDVGSNNGRGHGSAHRGHDAAARSWGLNVDVLIIGMVVIPTAGDGAAPASPLAALSPTATTELLASGGGVASTTPMAALSPGPDMMAEEAGSEDFFHDMNTEVNAGHRELTNPMLPAFQDFFNCIAAAPLNLAGINLKENKILELAMGSVLVETGEENLHQRFVMMPVVPAPAPAPAKAPRRRARKTKVPIDVSTLRRSKRLNKDLDGFRDKASADAAASSSTAAEVDPAPHLSAANAHAIGTGFLKMRPADVSLEALVASPENSDEE >Et_7A_051974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3372496:3372912:-1 gene:Et_7A_051974 transcript:Et_7A_051974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPSGNRRTRFPVSSSVAAAPAPWALLCVAQSVRPSESDSTRSDVLLIARIGEEIVLAAGLTRLATHTMVRPESEAERLLLEMVGEKKPRAKTPFLEMSISPWERGLSCVSWSWDLQELIRSRKMKMV >Et_7B_054756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4037562:4044920:-1 gene:Et_7B_054756 transcript:Et_7B_054756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEATTNSAGHGDYEVKVMPAATVAPALPVQEHRLPLSNLDLILPPIDVGVFFCYADPGSVGHPAATLKAALAKTLVAYYPLAGEVVANAAGEPELLCSGRGVDIAEAYADGTELRELRLGLPDESVEKLVPKKKTGVMCVQVTKFRCGGVVVGCTFDHRVCDAYSFNMFLVAWAAAARGSPAPPAPSFHRSFLAPRNPAPPRIAGTLADRLFVPVSRAPAAPAATAAAANRIYRVSAGDVAALQAAAGPGRTKLEAFTALLWKLHARAALSSNRRQRSCCMGVVVDGRGRLSRDGAMASYFGNVLTIPYGVAGAAELRGMALADAAGDVHRWVAEAATGEHFRELVDWVEAQRPEPTVARAYLGRGGDGEDATACVVSSGLRLPFGDVDFGWGRPAFASYHFPWPGGAGYVMPMPSARGDGDWVVYVHAAPEVVEVMEEEPTVFRALESSYINNMVNETVTKEHGGNEVTIMSVTTTMVVPALPLQEHRLPLSNLDLLLPPLEVSVFFCYLDPAPTAAALKEALAKTLAAYYPLAGEVVTNGDGEPELLCSGRGVDFTEASAGGAVLRELRLGPVDEGVEKLVPAKKAGVMSVQVTNFKCGGAVVGCTFDHRVCDAYSYNMFLVAWAATARGSSASPAASFRRSLVAPRHPATPRSPSTAALIDRLFSPRSAAPPPPPTAAVAVNRIYRVSAADIAALKAAAGPGRTKLEAFTAHLWQLCSRAASPRQSACCMGVVVDGRARMFPVDGAMRAYFGNVLTIPYGVFGSAELRRMALADVAGDVHRWVAEAATREHFRGLVDWVEALRPKPAAARAYLGGTGGNEAMACIVSSRMYGLPRGQGRLRDGPAGVRVVPFPVARRRLVRDADAERARGRRLGGVRARGAGDGEGDGGGAHRASSSGEQLRVWVMHTH >Et_5B_045282.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:16454931:16455305:1 gene:Et_5B_045282 transcript:Et_5B_045282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKELPPLRVRDLFFSVNNDDEKVRKVLARIIETVKGSSGVVINTFEALETKELERIRGEIDLPLVLAAGPLHKLSSTSTGSTLLDQDYTCIKWLDSQPLRSVLHVSFGSLASLNSSEFLEVA >Et_5B_045074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9149420:9160197:-1 gene:Et_5B_045074 transcript:Et_5B_045074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGATATPALVLLPEWGSGHLMSMLESCKRVLLHGGGRAFSITLLVMRPPTAEATSEVEEHVRREAASGGLDIRVHRLPPVDPPADAAGVEEFIARYIALHAPHVRDAVAGMARPVAALVLDIFAAPMVDVARGLGVPSYVFMSSTGAMLALMLHLPVLHEKITGEFEEVGGDGVHVPGLPPLPPAAIPCPVVDRKSPNYTWFVRLGERFMDATGIIANTADELEPGPLAAVIDGRCVPAARPTPPVYPIGPVLSLGSSSSKKDSSEPSHECVAWLDAQPPASVVFLCFGSMGWFDPPQVAEITAALERCGHRFLWVLRGPPTSQSGAGAPDGSEHPTDANLDELLPDGFLERTKGTGLVWPTWAPQKDILAHPAVGGFVTHGGWNSVLESLWHGVPMAPWPLYAEQHLNAFELVADMGVAVPLRVDRKRDNFVEAAELERALRSLMGGGGDDDEDGRKAREKAAEMKAVCRKAVEMGGSSYAALQRLSAALHYGAALPKNGGAISLTVLVMRPPTKQHAADLAGHISREEASGLDIRFVHLPAVAPPTDFVGVEEFISRFVQLHVPHVRAAIAGLTRPVAALVLDFFCTTILDVSRELAVPGYVYFTSNAATLGLFLRLPALHEEVTVEFEEMEGAVDLPGLPPVPPSCLPTPVMDKTNPNYTWFVYHGRRFAEADGIIVNTAAELEQSVLAAITDGRCTPGARAPVIYPIGPVISFNPRPEQPHECVRWLDTQPCASVVFLCFGSGGSFTAPQAHEIARGLERSGHRFLWVLRGPPAPGERVPSDANFDELLPEGFLERTKDRGLVWPTRAPQTEILAHGAVGGFITHCGWNSVLESLWFGVPMAPWPLYAEQHLNAFSLVDYMGVAVTMKVDRKRKNFVEAAELERAVKTLMGDGEEGRKVREKAVQMKVACRNAVEDGGSSHAALQSLSEELHKVVDVHKKRWPGGS >Et_9B_064193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12479171:12480107:-1 gene:Et_9B_064193 transcript:Et_9B_064193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHWNLYRTWGVISVRDANKFHHFKDGKFVLLGIVGDICCAFHTDFDARQASISLNYSGFPIVHLRKVFLRSQSQSMGLVFHAFLTRFGKCRRGRCRRVRLWFLSPHS >Et_3A_024085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17114299:17117012:-1 gene:Et_3A_024085 transcript:Et_3A_024085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWLAQGLRGLQQQYQEHSQAALVSALLVVPLVVLLLVRRITTAGARAREQMLAKLPSPPGLPVIGHLHLVGSLPHISVRDLAAKHGRDGLMLLRLGSVPTVVVSSAAAAEAVLKTHDHVFASRSRSTVTEILFYGSSDVAFCPHGEHWRQVKKISTTHLLSNKKVRSYRGAREQEVRLVMAQIREAALAGTTFDLSTMFYSFLNNIICHAVSGRFFKEGGRNKIFQELVEQNALLLGGFNIEDYFPSLVSLNIVKRLVCAKVHKVHKMWDDLLNKLIEEHESKPALQANTEESDFIDVLLSIQQEYQLTKDHIKAQLVVMFQGGIDTSSGVLDYAMVKLMQNPHLMTKLQNEVRMVVPPGKEMVTEDDLDGMAYLKAVVKETLRLHGPAPFLLPHFSMADCVIDGYTIPSGIRIIINSMAISRDPNYWESAEEFIPERFMEGGSATALDYKGNDFVFLPFGSGRRKCPGINFAIPTIELMLANLMYHFNWELTPESAKNGIDMTESFGSTVHRTKSLFLAP >Et_9A_062908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6538600:6542210:1 gene:Et_9A_062908 transcript:Et_9A_062908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLPTQFTCSLPPCHFIRGQMHCKTNILGKKTVKPLVRMLNLNVSSASRNPQDAVEGSNDEDSDGEISMKKKSAPRRGRRKATTETPDDGTEENQVISDKASAEETKKVKRRGRKKATTTASLEEEKDKAKEPKKRGRRRVKSVDESSDDEGEDQNKDLMPSNERDDETEKQVLANVLESKIESALCEDIGEVDSLTPLVCCFGPAKYSFIPSGRPANRLIDHEIHDRMKDMFWFPDKFVRAPGGPSSNVALALAAIGGRVEFMGKVGDDEYGQSLLYHLNMNGVQTRAVSIDPSTPTAVSIMKVTDHGSLKTDCVQPCAEDCFLQSDINPSVLKEAKMFYYNSSALLEPNTQSSLLKAIDISKKFGGQMFFDLNLPLPLWSSSKETKSFIKDAWEAADIIEVTKQELEFLCGIKPSEKFDTSDNDKSKFRHYSPDIITKLWHDNLKVLFVTNGTSKIHYYTENHNGWVRGTEDAPITPFTSDMSQSGDAIVAALMRMLAANPHLVTDKVYLHKATKYAIKCGVIDQWVLARERGFLPKQREDTTTEQYEVRSITEREYRTLPGAMQSENSSTGELLYVE >Et_9B_065338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3958731:3967653:-1 gene:Et_9B_065338 transcript:Et_9B_065338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMAARNTQALGTKKPRKPYMISRPRERWTAEEHELFVHALFIFGRDWKTIEQFVGTKTATQIRSHAQKYFLKAHKLGLAAALPPPHPHRAAVPAANWADHDGSFMARASSSSEEASSLHQEDTVQPPLSPDDLRFAEVYRFVGDVFGSGGPWPVQAQLQRLHGVDTVVAETILLTEKKVVIMSSRNTMGAPAFGTKRPRKPYTISRPREKWTADEHDRFVHALLIFGRDWKRIEQLVGTKTATQIRSHAQKYFLKAHKLGLAAALPPPHPRRAAVLAAAAGAPVQSAANWAASCTVAGPPLLHQ >Et_2A_015089.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29295141:29295383:-1 gene:Et_2A_015089 transcript:Et_2A_015089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNASGRVRLEDMQPAVFKALLCFIYTDVLPVVDEDDATERHRHLLVAADRYAVVSLSCSTRPPLHVRRSSCAVHVGVR >Et_2A_018651.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4177007:4177660:-1 gene:Et_2A_018651 transcript:Et_2A_018651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILEHPFEAVAFRLYSLPAEASATTGAAAWTCLAAVLAAAAAAGLWRLRSSTPAAATDALKPLELERSLKKEVPRETTTTSAWTPDSAASTTATSPKERYTAYYRDSGRVGCCGVDDDEGDNEHEEEEEDVEEEYDGDLCQYQTSETTTNPFGWEVVRSLPLSPMAADMGQYRIPTALRRSSSVVRLWDEVADAGVTAVASPRRRSRVVGAAVSAF >Et_2B_020203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17950206:17958122:-1 gene:Et_2B_020203 transcript:Et_2B_020203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTSRLFILLVEGYTAALASATTFIVGDDQGWTMGVDYIDWVKGKTFAVGDKLVFSYSSEEHTVTEVRKDDYFACAGGAALSNDRSGSTNVTLTAPGTRYFICNIPGHCTIGMKIAVTVGAARADVPAARRPGCATRCGDIDVPYPFGLDPQCAIHDLFVLNCTTVGRTTKLFLDTLEVIKISVADGKIWVKNWISRQCYNETSNKLDEPFIAWMNLTGSPFMFSAEDNKYIIGCLSTCNQGRNTPKNGSCSGAGCCQADLPRGVTSYHGFFNSNYNNSQNRGTPCNYVALMEAKSFYFNTTYLTTPASFDISKARARVVMDWAITKNTCEEAKIDKSTAYACRSSNSNCVFKEVGYGCRCSSGYTGNPYIIDGCTDIDECLDNVTYPCAGICKNTLGGFDCSCARGKHMMNGACIPDSKTIWVMPVVGGSVGFVVLMIAITSAYLIRERRKLHRIKEKYFRQHGGLLLFEEIKSQQGVAFKIFSEDELQQATNRFDEQNIIGHGGHGKVYKGVLKSDVDVAVKRCMIIDEQQKKEFGKEMLILFQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFHLIHGTHGRYISLATRLRIAYESAAALAYLHSCASPPIIHGDVKSTNILLDVDYIAKVSDFGASILAPNDESQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTRKKAFNLEGLQHEKSLSMEFLSGFKHNNLEILDDQIKCDENMELLMEIAHVARQCLEMIGINRPSMKEVAEKLDSLRKGIHHPWAYENPDELDNLLGESSIINSTVVSTCNFNIENKALMDLESGRFAALASATSYTVGGSSGWNTGVDYTTWASDKSFAVGDKLVFNYSPKAHTVTEVSKSSYDSCTATTKVSDDDSGTTVIILATPGMHYYICSLSVMVSATPSSTTPSAAAVGALVPAMGSVVAAAAGAAIKLALL >Et_1B_013862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21078412:21079111:-1 gene:Et_1B_013862 transcript:Et_1B_013862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISIAHASSGEATSVIVGQAKCADCTRKNMMVEAAFKGLQVVIKCKNNNGEYERKAMGKLDVSGAFAVPLAADLHKSGCFAQLHNVAGSPCPGQEPSSIVPMDDGISIVVAGKVQFPSADCASATIGHDHDHVKHLSEHFHKNHGHDSFFDHFHKDHDHHHFFDHFHKAPATPEYHPPTPTYGSPTPIYHPPSQH >Et_9B_064199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12560880:12567495:-1 gene:Et_9B_064199 transcript:Et_9B_064199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVSVSAFMLFFFLASFHSAAAQNGSIITAPGGVKFHVGVILDTETLVGKTALTSISLAVEDFYATHPSYRTRLALHARNASSDDVQAASEALDLLENYNVQTIIGPQKSSQAVFVSELGSRSHVPVVSFTETSPSLSHISLPYFVRATLNDSAQVNSIASLMKFYGWREVVPIYEDTDYGRGIVPYLVDALQGIDARVSYRSVISQTATNDQIISELYKLMTMQTRVFVVHMNLNLASLLFTKAKEVGMMSKGYAWIITDGLSNLIGSMSPSVLEAMDGALGVQFYLPESAELDKFTMRWNRRFETDHPNDPPSKLNLFALWGYDVIWAVARAAENIGADNNVSALNPNGSTSLERLKTSANGPKLLKAISQYKFRGLSGNFDLSDREMQASTFRIINVVGQQWKDIGLWTARNEILLQLNPVLWPGKSTEIPRGWEIPVRGKKLQVGVHSSGYQEFMRVTKDPTTGAIKATGLSIDVFEEAVKRLPYALPYEYLIYDTARDTSRSYDDFVYQVYLKNYDIAIGDITIRYNRSFYVDFTLPYTESGVAMVVPVKTSENKNTWIFAKPLSKGLWGGSIALFVGTGFVVWVLEFLGGNKKVGDSHHERLVIMLFFSLFQQKDKVKGLLSRIVLLVWLFFLLVITSSYTASLASMLTVQQLQPTVTDVHELIENKEYVGYHRGSYVGGLLEEIGFDSSKIRAYDSPEDFHDALSSGSKNGGISALVHEVPYIKLFLTKHCKEYIMVGPIYKTAGFGYAFPKGSPLLGDISKAILNITGGDTIIQIDKKWTVDENICQNTGATNDSGSLTFESFRGLFILTGAVSACSLYIGLAIYLIKRRRGLNNQDGGNQQGGEGPGGQDQEENGQDQEEVMQHDGGEGGRGPEIDDQHGEAFRDIENGDEIREMQPNNRAAQPHWVMGSSSPETADNRSSLKHRGWGSSNHHRAKTMIY >Et_10A_000424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:174853:180411:-1 gene:Et_10A_000424 transcript:Et_10A_000424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEGNLDAVLKEAVDLENIPLEEVFENLRCSHEGLSTEQAQQRLEIFGPNKLEEKEVGFLHYTPRAESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRNGRWTEEDAAILVPGDVVSIKLGDIIPADARLLDGDPLKIDQSALTGESLPVTKGPGDGVYSGSTVKQGEIEAVVIATGIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFERGITQDQVILMAARASRTENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDGDGKMYRVSKGAPEQILHLAHNKSDIEQRVHAVIDKFAERGLRSLAVAYQEVPDGRKESSGGPWHFVGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTRVVLGGYLAMMTVIFFWAAYKTDFFPRIFHVESLEKTTQDDFQKLASAVYLQVSTISQALISVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYADWAFTSIKGIGWGWAGIVWLYNIIFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQAPDAKMFPEKVGHNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIETIQQSYTV >Et_5B_044815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6102600:6107116:1 gene:Et_5B_044815 transcript:Et_5B_044815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAARRASAAAVLAVVMFAGAAAAAVYEVGDKAGWTIMGNPDYTAWAKSKKFHVGDTVGTASQISSPSHPLAHLAMFTYMKQFHNVMAVSKASYKGCDASKPIATWSTGKDSVVLNTTGHHYFLCGFPGHCAAGQKVDINVLASSAAPSESPAMAPAPAGSGSEPSAAGGSGRAAAAPSPHANAGPRTAGGSVAATIAASALSLVAAAAVLA >Et_6A_048199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9810032:9812018:-1 gene:Et_6A_048199 transcript:Et_6A_048199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLCEVRISIVGTNAILISWITDDRSAPTVVEYGTSPGKYNASETGYSTAYQFLSYKSGSIHNVTIGPLEPSTTYYYRCGKNGNELRLRTPPATLPIEFVIIGDVGQTGRTASTLSQISSTGSHDLLLLPGDLSYADRHQPLWDSWGRQVQPLASARPWMVTAGNHERERFVAYDARWRMPHDESGSRSNHFYSFNAAGGAVHVVMLGSYSEFGEGSEQHEWLRRDLAAVDRRKTPWLVVLMHVPWYNTNLVHQGEGESMRTAMERLLFEARVDVVFASHTHAYERFTRIYDNEANDQGPMYITIGDAGNSRTYEYVHQRPELAHLSVFREASFGHGRLRVVDETRAVWSWHRNDDEYATLRDEVWLESLVSGRPTLAANDYTALQRTMTPAREY >Et_7A_052607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9783432:9795423:1 gene:Et_7A_052607 transcript:Et_7A_052607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLATSLVAATPRWLPLANSFIRRRRPRPSPLPMLLFDQRSYSKPRKVSRGISMMSRKASQQGEYCNEGMLSHILWWKENMERCRKPSSVQLTQRLVYSNILGLDPALRNGSLKDGTLNMEMLQFKSKFPREVLLCRVGDFYEAVGFDACILVEHAGLNPFGGLRSDSIPKAGCPVVNLRQTLDDLTRCGYSVCIVEEIQGPTQARARKSRFISGHAHPGSPYVFGLAEVEYDVEFPDPMPVVGISRSAKGYCLISVLETMKTYSAEDGLTEEAVVTKLRICRYHHLYLHSSLRNNSSGTSRWGEFGEGGLLWGECNGKSYEWFDGSPIEELLCKVRDIYGIDEKTIFRNVTVSLEGRPQPLYLGTATQIGVIPTEGIPSLIKMVLPSNCVGLPSTYIRDLLLNPPSFDVASAVQEACRLMGSVTCSIPEFTCMSAAKLVKLLESKEVNHIEFCRIKNVLDEIMLMSRNSELSAILNKLLVPASVVTGLKVEADMLVNECSVISQRISEVISLGAESDQAITSFEYIPKEFFNDMESSWKGRVKRIHAEQEFGNVDIAAEALSTAITEDFLPIISRIKSVISSNGSPKGEICYAKEHEAVWFKGKRFIPNVWANTAGEQQIKQLKPAIDSKGRKVGEEWFTTVKVENALTRYHEACDNAKGKVLELLRGLSSELQAKINILVFCSTLLIIAKALFGHVSEARRRGWMLPTISPLSKDCNANESSSEMDLLGLFPYWLDINQGNAILNDVHMHSLFVLTGPNGGGKSSLLRSVCAAALLGICGLMVPAGSAVIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRSLVSRATDRSLVLIDEICRGTETAKGTCIAGSIIERLDHVGCLGIISTHLHGIFDLPLTLSNTDFKAMGTEVIDGCIQPTWRLKDGICRESLAFQTARREGMPDLIVRRAEELYLAMSTNKKQTVSMVHSEPSKASTSANGFVDKPDSLSNRLELPHGTFELLQKEVESTVLMICEKKLLDLYNKRNIPEIVKVACVSVGAREQPPPSTVGRSSIYVIIRSDNKLYIGQTDDLVGRLRAHRLKEGMQDARILYMVVPGKSIACQLETLLINQLPLKGFKLMNKADGKHRNFGISLSSGEPIAAHFTDQLKL >Et_9B_064937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:205229:206743:1 gene:Et_9B_064937 transcript:Et_9B_064937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLFWYAVDDFMVCVACRDVFKKAFKDLAPRPRSRSISHEIKGIEDLSKELCDLRASTHSTAPPTLPTVPPVTARVINLHKNQGRELVGIEEARDHLLRMLQQMARRLRVLISCLSRCHANLFCILVHDQLNAKFSFDCCAFVSVGRKPNITSTLREILGELGQTSRAVVQDPASIPTGFELKPEGCCSLLEVGERYFDELLNRSMIQVAFNAYITDVIVGCRVHDIVLDLIRDLSMKENFVTMLGKKQLVESSASVTRSMKELGLMHGSERKVRRLSIQSDIPLDTISMPEVVRSLQTTDGWIEVSQLSSFRTCRVLSVSGGIGDLKHMDKLPFLRYLQIGGGFDGLPKELGNLRSLQTMMVSNIVLCEHYRNWEPGVPGGAEPRDHWRYRSRYDLGVGLGKLTRLRVVQISVTGSWKDVCCYRKLMQRLNKLQEIRELTLFTSSYYEQTNRG >Et_10B_003701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4989587:4993092:-1 gene:Et_10B_003701 transcript:Et_10B_003701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLREHLKRLAGIDIQILSAQVTQSTDFTELANQEPWLSSMKLVVKPDMLFGKRGKSGLVALNLDLAQVRQFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGCTISFSECGGIEIEENWDKVKTIFLPTEKQMTPDACAPLIATLPLEVRTKIGDFIRGVFSVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVLSPSESFIHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPKEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFSGIIRALREKESKLKAARMNIYVRRGGPNYQTGLAKMRTLGAELGVPIEVYGPEATMTGICKQAIDCIMAA >Et_9A_063301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19401290:19402533:-1 gene:Et_9A_063301 transcript:Et_9A_063301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGAVFLLLSASFALLAPHAAAGGYGGWQSAHATFYGGGDASGTMGESVSPALLCSFRHRGHWASGACGYGNLYSNGVPCVKKGGIRFTVNGHSYFNLVLVTNVAGAGDVRSVSIKGSRTGWQPMSRNWGQNWQSNALLDGQSLSFQVTASDGRTVTSNNVAPAGWQFGQTFEGRQF >Et_5B_043787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16150125:16152695:1 gene:Et_5B_043787 transcript:Et_5B_043787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSGGESRWSQLASSLPVTNVQALAASAEKLTAAALERYIRQDIHDDKVLTEQSGQVPVIDLDRLLNPSSVEEETARLRFACEDWGFFQLVNHGIAEEVITNIRSDIQKFFQLPLELKNAYAQRPGDIQGYGQSFVVSESQTLDWADMFGILAQPAQARDFTYWPAQPQTFRKSVEDYSSELLKIACYVVTFIAKTLNVDVKVMQDKYVSQFLRMNYYPPCVSMPEKVLGFSPHSDASFVTLLLEINSVAGLQIKRHNAWIPVKPDPKALLVNVGDFLEIISNGKYKSIEHRVTINSSQERLTVSAFHIPLIDGILSPIKSTTEEKVLYKTMAVEEYAKIYLSNKLDGKRALDHAKLF >Et_2B_019473.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5829013:5829087:1 gene:Et_2B_019473 transcript:Et_2B_019473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARKLLPSLRIFKSFVLTSLPSQ >Et_8B_058680.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:15601079:15601648:1 gene:Et_8B_058680 transcript:Et_8B_058680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSTNFTNLLNQASTNQFVDNRSQSSPPQQFLANLSQNPFSQYLTPPFLHNFYPFGPTGNYQGVHPYGHSPPSFQGVNPYGAQVQENLGNSPNHVFRAATSRSPSMQQHGISIGAVGNSSSHGSETSIPCPATQAQQSHQPINLEESSGSSEEENGRRKPRKNWTEEENLMLARSWLTTTRLIQSRA >Et_2B_021178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27142982:27144748:-1 gene:Et_2B_021178 transcript:Et_2B_021178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTMRYMGSHIDAGGTIFVAFIIHNYAFISLSEMGTIVLVAVALFLPLLLGTDASLSHPTSKEVAPKFQPPINKTNSFRRLMDGVLPQDDSGRPLTYFATHGDTTWPDGYYGFIGTMDVYGFPFTKEQGSAAAVWIHDEGDGALSSLRAIMIGWDNDGFKTTGCMNTRCAGFQPQKGAAIAPGDVIDHLIKRNLNLKIIKDGPSGDWLVHCGLDREPELIGRFPRSLFTGGFENRATSIAFGGVVTGPVTNPPAMGSGYLPTDAKSAASISNIQLVDKDGRAWPVTEDLPKLETNRDVYVATSIVSGKFYYGGHELPKA >Et_5B_043163.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:13921335:13921451:1 gene:Et_5B_043163 transcript:Et_5B_043163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSHHDSIVAPSQRTGSSSLENAYGEGSNDPIAPNASK >Et_4B_036608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25239:27763:1 gene:Et_4B_036608 transcript:Et_4B_036608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGARSCGYATTYTHCSIGFSPRLRPCRSWIRAAADQGGAGDPRRTSAASLAADGPRVVEVAAAPVGGGSFGARDAELAMWDKLGAVVRLSYGIGIYAAMALAGRFICQMAGIDCTGGFHPSLTALVQGLGYAAPPIMALLFILDDEVVKYSPHARAIRDVEDEELRSFFYGMSPWQFIIIVTASSIGEELFYRAAVQGALADIFLRGTELMKDARGIASLTGMVPPFVPFAQTFAAAITAALTGSLYYIATAPKDPTYVVTPAMRSRTGRDNLKKLFAAWYERRQMRKIYSPLLEGILAFYLGFEWIQTDNILAPMVTHGIYSAVVLGHGLWKIHDHRRRLRQRIQQPTEQSLVYPELNAL >Et_6A_047943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2571990:2572887:1 gene:Et_6A_047943 transcript:Et_6A_047943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRKTSDALSRLDRGAVYLSYSNVFGGALHIILLGSYTGFAGESAQSKWLNRILAETYHGQTAFVVALVHAPWYNSNEAHHGGLGTVACGRATRHGVALYRTRVRVAARVPGSCTIGHGGNRARGARGEARSSLQGPAISAFRKQSLELRRRRLQRAPSPWNPGDLSYADYIQPRWDSFGRLVEPLASARPATTRSYADIAAGSTQHDWLRRDLAAVDRGGRTAFVVALVHAPWYISNEAHRGEGDAMRAAMEELLRGARVNVMFAGHVHAYERFNRPQGHNPKRRRTNRIC >Et_2A_015188.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34817854:34818141:1 gene:Et_2A_015188 transcript:Et_2A_015188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGTARTHYEVLGVGVGASRGEIKAAYRRLAREVHPDAAGGCGGDEDFIRLHAAYATLADPDERARYDRSTMAGIRRAPSGFRTRTWETDQCW >Et_8A_057292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23217946:23221713:-1 gene:Et_8A_057292 transcript:Et_8A_057292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAADGDASSKRQAELLKQEGNAFFKKDRISAAIDAYTGAITLCPKVAVYWTNRALCYKKRNEWAKVEDDCRTAIKLDNQSVKAHYMLGLALVNKQKLAEGIKALEKSLELGRGAHPASYMVEEIWQELSKAKYIEWESLSRERASQLDKLKIACKEALRNYTRRGNPEADEPEEQLNEVEEVFRKASTTDTPTDVPDHLCCKITLDIFRDPVITSSGVTYERAVLLDHLQTVGKFDPVTREALEPHQLVPNLAIKEAVHAFLSEHGWAYKIR >Et_5B_043330.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:4037408:4039255:1 gene:Et_5B_043330 transcript:Et_5B_043330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRLNLDIPQNNTFLLPRDVLAATDHLIGNSLSLNQGIQEEQVVPARYRQEFLTIAWEQIHVRSIFPFQYFSIGGSLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERQTALDSRVSIIAECEGKIISSDSHKILLSSSGKTISIALVAHQRSNKNTCMHQKPRVPEGKSFKKGQILAEGAATVGGELALGKNVLVAYMPWEGYNFEDAVLISERLVYEDIYTSFHIRKYEIQTDTTTQGSAEKITKEIPHLEENLLRNLDRNGVVRLGSWVETGDILVGKLTPQIASESSYIAEAGLLRAIFGLEVSTSKETSLKLPIGGRGRVIDVKWIQRDPLDIMVRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGTPVDMVFNPLGVPSRMNVGQIFESSLGLAGDLLKKHYRIAPFDERYEQEASRKLVFSELYEASKQTKNPWVFEPEYPGKSRIFDGRTGDPFEQPVLIGKSYILKLIHQVDEKIHGRSTGPYSLVTQQPVRGRAKQGGQRVGEMEVWALEGFGVAHILQEILTYKSDHLIARQEILNATIWGKRVPNHEDPPESFRVLVRELRSLALELNHFLVSEKNFQINREDV >Et_5A_040831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13145104:13146594:1 gene:Et_5A_040831 transcript:Et_5A_040831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTACRIAAPLGVAPPPRGRAGVPAGALSFAQCGAKVSRGVVAVRATSSSETSVETPEIVKVVQDAWDKVEDKYAVATLGVAGIVALWTAVGALKAIDKLPILPGVLEIVGIGYTGWFTYRNLVFQPDREALFSKIKATYKEITGSSS >Et_1B_012191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29832334:29834111:1 gene:Et_1B_012191 transcript:Et_1B_012191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNLQGHSSGCICLNYSVTSIHYPSPDSGTRREKGGLKNCAKKCEAVHYDGGQCDTFHDCLCVKCVYQGPPAQQSCQTLFDGADAGVDAWCISVPAPNTYVCRVKNGLQVCSAQCEEVGYQGGLCDTFNDCLCAKCTEQGPPPHAL >Et_7A_050888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11990951:11997157:1 gene:Et_7A_050888 transcript:Et_7A_050888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFALINLIFIISISTRFLFKKIRWCGQGLNTTTTESNEHSHQHQKKIDIKQGVSYQACKPCCLLILAIHILRVCLMLFAERINDCKYPLCVLSECVQMLSWLILSQAVFSFQKTKYTKVPLIIRSWWIFMFLQSVTGVVFDLKSLLSGHKHIGFEEWINLFTLGFCAYLFAISAQGKTGIAFTYDRITEPLLIPSVSQQTQVRRPCLYGRAGILDLITFSWMNPVIAIGYKKTLEKNDVPDVDEQDSAEFLTDSFKKIMDDVERKHGLSTSSIYTAMFLFLRRKVIINALLEVLNASASYVGPSLINDLVMFLAGGKEYGHRRGYILVVILIIAKLVETIAQSQWFFGGQQLDMRLRAALISHIYQKGLQLSFRSRQKHTSGEIINYMGVDIPRITNVVWYTNYIWMLPIQLSLAVCVLYQNLGPRTWAGLAAILAVMLCNIPLTRMQKKLQTKLMAAKDDRMKATTEILRSMKILKLQAWDMQYLQKLEALRSEEYNWLWRSVRLTALTTLVFWGAPAFISSITFGSCILMGVPLTTGTVFSALATFRMLQDPIFTLPDLLSVFAQGKVSADRVVRYLQEEELKCDAVTQVPGSDSCYDDVEIDQGIFCWELEANSKERDESSYLRNGRLRKIQSTIMHTWGDTKARGDCQVGAKHMFLKLPGSCRGISGTTLFGNPYDKEKYERIIQASALNKDLELFANGDLTEIGERGINMSGGQKQRIQIARSMYEDADIYLFDDPFSAVDAQTGSHIFKNSIMGILRDKTVLYVTHQVEFLPAADLILVMQDGKIVQKGKFDDLLQLNIGFEVLVGAHSQALESVMNAESTSRILSDNQKSEDSEDEFDTESTMNDPLQGIANEQPASDVIQDINKKGRLTQDEELEKGGIGKKWAYLKAVHGGALVPVMIAAQSFFQIVHVASNYWMAWASPPSSAATPPVGLGVLFSVYVALCMGSALCIFARSMLTSLIGLLTSEKFFKNMTHCIMRAPMSFFDSTPTGRILNRRYQIPTARELSRLSHIQRAPILHHFAESLSGASSIRAYGQKTRFEKANLELVDNHSRPWFHSISSMEWLGFRLNMLSNLVFAGSLVLLVSAPEGLLLSPSLAGLAVTYALNLNFQLTAIIWNISSTENKMIAVERILQYSKIPNEAPLVVDDCRPPSIWPKDGSINIRCLEVRYAEHLPSILRNISCTIPGRKKVGIVGRTGSGKSTFVQALFRIVEPREGTIEIDNVDICKIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPLNEYPDQQLWEVLDKCQLGDLVRQNPKGMDSTVVENGENWSVGQRQLFCLGRVLLKRSNVLVLDEATASVDSSTDAIIQDTIRSEFSDCTVLTVAHRIHTVVDSDLILVFSEGRIVGYDTPSKLLKNKNSEFSKLVREYSKRSRRFSGSGNNRTP >Et_4B_037521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20866991:20870715:1 gene:Et_4B_037521 transcript:Et_4B_037521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKVLNTDVGLLVLCVQHRLWGTLGARYSDYFVYMITHATRPALLHRLLMNRPGWGQKFSLHVCRSTGGEPPERWISRVMTVEEPLRDALFPVPDSARSQEYHEPELRDMPLPKPTSWISFRNSDPYFFRDITVNKLSNTINYVNKLDNTTKYVNMVIRPRELPGDWKAATMQMAHPALSAGDDDIVYMLSIGPSMKEGMKLMVAVDANAGTLQAVAKTEAISWIPEHLKATETNK >Et_10A_001897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10114846:10116630:1 gene:Et_10A_001897 transcript:Et_10A_001897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRHECNKLGSGRAVWLLLPLVLYVVLKTGFIVLKSDLLLQDAHCFHSARRQQQLPLGVSKSEAAKDTAPPPPPAAISKLTCNFSDFHSNVCEMEGDVRIHGKFGMVYLVSSPSSTTTYRPVPEKKKSSVIRIRPYTRKWEEGTMSRIREVRIRELDAGVGGDLLPPPRCAVRHGGVPAVVFSVGGCGTNFFHAMSDVLVPLYVTAREYGGHVQLVVADHDARWFARYRRIVAALSLYPVVDMDGDDVVRCFPAARVGMWSHRMLGIDPARSPNGRLTMVGFRDFLRSVFSLPRQWSTPVSRRRRRSSAGGKRRQQQIRRPRPRLVMLLRRNWRSLTNEADVIAALQALGFDVVAARPEDTRDLAGFAKVVNSCDVLVGVHGAGLTNMVFLPHNATIVQIIPWGELKWASRYDYGDPVPDMGLRYAEYEVTAEETTLKERYPRDHPVFADPLSIHRKGEVFKYFLGGQNVTLDVQRFINETMRPVYESVTTA >Et_6A_046394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14220948:14222815:1 gene:Et_6A_046394 transcript:Et_6A_046394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKKADAPPAGANARSESPHRPVTRSMSEQIDPNHRTPKRKNNNKDEEECATPISKRRRLLKKRVSTHAKKKLELENVPKEHSARSHKRKRTMHLEKTSNKKEKSAGKRNRKKKCADRKIRKMKSAEKKRGRKKSSSRKALPFVEESAAEEDESTAEEDESAAEEEESAAEEEDNAAEEESGADKIVAVVQSPKKTKKDIWKRGSAKRTIELNENFTKKRIRDVGFGGLLDIKLAYVPEKLSKWLLSIFNTETCELEVPFRGTVLVSDEAVNRIFELPMGTDP >Et_7B_053332.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8950211:8950591:1 gene:Et_7B_053332 transcript:Et_7B_053332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTAENMVFMSTVPAPAPRPLLVTATSAATSAALPTSVGSACAWLARASWNPSSLSGPPTIAPVSTFGSAFLLSSQRRPPVHVYLTLFLGVDAPRWCTCRGYLSPTPTT >Et_4A_035746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:583837:584737:1 gene:Et_4A_035746 transcript:Et_4A_035746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSINDLVIKHDPDVILFQEVTLHMYNIFQRSGWWREYPYGFISHPSGQNARQFYCMLRSKLSLSNQMIPRRDDSSSSVLIDGLVAQACHPMLGATRSYAGFPRHGINLFTCCLPGPTRTNIRGAERRLEAVRMVNLGGRSDVDVILGGDMNWDEDLDVPFPFTPENGWVGAWTTLRTPAEPGWTVDTKANPMLQLLHGSKPVQKRPDRFLCKLNNFTLDSIEMIWSRLL >Et_4B_036028.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:14493552:14494217:1 gene:Et_4B_036028 transcript:Et_4B_036028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVFRHMNWNPRMIAIFSCTCKWFDEIAKRVLWKEFCHARAPKMMQDLHSSGSHIVDGNWKALGKLLLYCSGCTRGGLFGETHVPGHFVYRTRFSRTLGKCLLPPQCRTDVLYVSDSCEHLDLGAEGDVGFFRGIIKSFAVSNTKRVLIEKQVKFHPQEVCPYCKAKLWNLTQSKMIPRSASVRLDAYDDSVEYYICLNGHILGLCALMPISDSEGTKEE >Et_6A_045861.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20344897:20345451:-1 gene:Et_6A_045861 transcript:Et_6A_045861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRFKTCRLLPGNVRNRELSLIQRRILRRLRNKRRSIKRNLSQRENLNSNIKSQTTRKLSLYYGDLPIREMHRGKERTSYIPFLLNQETRSDVIPVRLRFSDTLPQARQPISHRRVCLNNGLVTITHLKVSHGDLISFQENDARTRGEEEIRRSFYIDISVGKIIGKFLPVRIWRRTKTEWFR >Et_5A_041441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22943333:22954752:-1 gene:Et_5A_041441 transcript:Et_5A_041441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGDGGGGAMVSPSLVDHSEGAAVAVEMVTAAHGTEHCGGVDEPIDVRLRHALPATGDGVPDDEIPTIVIRVEEDAAVVAVEGAAKVGIGGEWCRRGGGEAGFGVSFDDAAPLEVAEQRRRPVECAVAAEEGGVGDDSEPGLADEGGADEVLGLVRWEAEEDLGRNLRRRRHGALGRGRWNERRRRGFVLGSGVGNGEQASETHRTAFFYLVGIGGESYRSGGNEAGLGVSFADLEPMGVAEQRRRPVEGTVAAEEDGVGDDAEPVLADEGGADEVLGLVWREAEKDLGGDVVD >Et_1A_008535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8522938:8526339:1 gene:Et_1A_008535 transcript:Et_1A_008535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ESLKVVSKNIYTSALLKLDDVLVRVNRECRFSSGMQMSTDPNHYGMFPQSFCNQHVVSFQTSSIASGSGVMPGCLDTSSGMNDNLAMLNTTPSTIVSTGSPNMISDSSQSIKYSAPMAVDWSFLELQILNDGLNKYANEPGIMKYIKIAAMLPDKTVRDVAMRCQWMAARKEATRRRKPEEHYLGKRIKDRKDKMAEPSSWIANPPIQTDVRASSFMPCNMSQNNGLLSGASQIDRAMLNILEENARLLNEIEVNILTSQAQKNIDLFHRTRRNIAGLQQSMSRMPGIMSKMPPLPVSVDEKLFCYLLPGAPMVIFFFFNHINVPNNLWLH >Et_3A_025968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34276891:34279281:-1 gene:Et_3A_025968 transcript:Et_3A_025968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRKTLKEKIGAIPVVLCFYQVLFVWSRQSLFNTLEEPFGDMFGQGIWRGLRKKVSSNIQHNVVALASFHGETLFFACTGFAIKWNQSTTILTSATLVRSSGYENKVEENLRIEVYTNESRTQGTLQHYSLHYNVALVSVKDYCPRPAKIQLQYYMCSEVAAIGRCFKSGKLMAANGWLVDWTGTLDCKLLVRASCKISKVGIGGPLVNFDGEVIGMNFYDKRIGTPFLLWNDINKILSHFKDTVKGPVVEASNGSDPTGVPYWKMVGDRSDRPYRWPVRVPGRRRCDDLGDDEDVFDLSSGSVGRYTYRNGKRLLYRSGGRIVRRRKKLRQRRRIGPLTTITREEDS >Et_4B_038178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2742552:2745174:1 gene:Et_4B_038178 transcript:Et_4B_038178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEFQDGKEEVIQAWYMDDSEEDQRLPHHREPKEFIPLDKLSELGILSWRLNADDWENDEKLKKIREARGYSYMDICDVCPEKLPNYEDKLKNFFEEHLHTDEEIRYCLEGSGYFDVRDQNDQWVRVAVKKGGMIVLPAGMYHRFTLDSNNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYVERIINRGGSQAVEAR >Et_7A_052303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6788604:6805493:1 gene:Et_7A_052303 transcript:Et_7A_052303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGRRRVLAAAAIALVQVSESTLSGSRRTGAWALGPAAAAVAAAAADGSGFSSGGICFLVLPISAQQSNVTSRVVPAEGQCSMYGICGQRSDGKVLNCVNATKAVKPDTLFSTRIQSLCPTITGDVCCTVDQFDTLHQQVQQAIPFLVGCPACLRNFLNLFCEMSCSPNQSLFINVTSVKQVNNTSTVNGIDYYVTTNYGEELYNSCKDVKFGTLNTRAMDFLGGGAKTYKEWFAFIGRQANPNEPGSPYSITFRSDFSGSSGVKPLNSTIYSCGDPSLGCSCGDCPSSSVCAGSLSPQLSTETSCSIKMGYLKVKCLDFSLAVVYLALLCAFLLWGLLYRTRGRTGFSQTKPTSDDKSQTNKNGMSPHNSMQVPEATSSTVEAAHPSIVQTYMSTYFRKHGIFVARHPLLVLCVSVLVPVLLCIGFIHFKVETRPEKLWVSSGSRAADEKNYFDSHLAPFYRIEQLVLATSASDGSKAPTIVNDNNMKLLFQIQKKIDDLRANYSGSTVSLSDICLKPLGTDCATQSVLQYFQLDPKTYDDTGIDHAKFCFQHYTSEETCLSTFQSPIDPSTILGGFPGSNFTEASAFVITYPVNNKVETTGQENGKAVAWERAFIKLVKEEIQPLVLAQNLTMSFSSESSIQDELNRESTADAITIVISYIVMFAYISFTLGDRPSRWLSLFVSSKVLLGLSGVVLVMLSVLGSMGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPDESVLEQRISDALVEVGPSITLASLAEVLAFAVSAINPMPATRVFAMFAALAVLMDFLLQVTAFVALIIFDLRRAQDGRIDCVPCVRISSSRAAGDGGNRQRLHLLARYMKALSTRLQPGLEQQIVLPRNSYLQDYFDDLEKYMKVGPPLYFVVKDFNYSSASEHTNQICSINQCNSNSLLNEIARQSLSPESSYIAKPAASWLDDFLIWMSPEAFGCCRKFVNGSYCPPDDQCFLHSDLHNGRPSTTQFKDKLPWFLDALPSSDCSKGGKGAYSTSLDLSGYESGIIQASAFRTYHTPLNKQSDYVNSMRAARDFSSKMSEDLQMQIFPYSVFYIFFEQYLGVWKTAIMNICVCLGAVFVVCFVVTSSLWASSIILIVLAMIVLDLMGVMAILGIQLNAISVVNLVMSIGIAVEFCVHITHAFMIGIGDREARARHALSTMGASVFSGITLTKLVGVIVLRFAKSEVFVVYYFQMYLALVLIGFLHGLVFLPVVLSLCGPPPKTHETY >Et_4B_037723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22807034:22812530:-1 gene:Et_4B_037723 transcript:Et_4B_037723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWAEQLERELASRGLAVASVPGKGRGMVAVQSFFPGEVIISQEPYASTPNKISVGSGCDHCFATGHLRKCSVCRVAWYCGSACQKEEWKLHQLECQAMAALTEDRKKMLTPTIRLMVRLVLKRKLQNEKMANLVNLILPSLELDLKEIAHTFSKFACNAHTICDPELRPLGTGLYPVISIINHSCVPNAVLIFDGRTAYVRALQPISKLDEISISYIETAAVTKKRHSDLKQYFFTCTCPRCLKGSEEDALLEGYRCKNQTCDGFLLLHSGKKAYTCQKCSSSRDEEEVKKMTNEILLLSDKASSFLSSGSSVYRITEQLERKLYHAFSITLLHIRETLLKIYMDLQDWQTALTFCRLTIPVYERVYAPFHPMIGLQFYTCGKLEWFLECTEDALKSFTRAADILRITHGTKSQFMKELFAKLEEARAEVSFRFSSRDGQQEPSDCHGGK >Et_8B_059415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17733910:17735623:-1 gene:Et_8B_059415 transcript:Et_8B_059415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSEAVLEWNKQDKKRMLHAVYRVGDLDRTIKCYTECFGMKLLRKRDVPAEKYTNAFLGFGPEDKNFALELTYNYGVDKYEIGEGFGHFAIATEDVYKMAETVKSSCCCKITREPGPVKGGSTVIAFAQDPDGYMFELIQRGPTPEPLCQVMLRVGDLERSIKFYEKALGMRLLRKKDVPEYKYTIAMLGYDDEDKTTVIELTYNYGVTEYSKGNAYAQVAIGTNDVYKSAEAVDLATKELGGKILRQPGPLPGINTKIASFVDPDGWKVVLVDHADFLKELQ >Et_4A_034033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29336452:29339933:1 gene:Et_4A_034033 transcript:Et_4A_034033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREESNKKSKLSWSKSLVRKWLNIKSKAQDFHADRDVSRGRDGHGGEWRTSGSEREAGTAKKSRTDRLSKVTTDRIRGRKNDFEAARVTEVQDYRIFASTWNVGGKSPPKGLNLDDWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNVPAKKWVSLVRRTLNKNPGVSSYSGYRTPSPVPDPVVELDADFEGSFRRQDDFSFLHRRSFQNLSRSLRVEGNCMSSQPRLDRRFSVCDPATLGVRPSDFDGNYPFMGSPDNQYIEEDASTGAHYSPFPYAYGATAPVEENYEQSNKSRYCLVASKQMVGIFLTIWVRGEIRNDVRNLKVSCVGRGLMGYLGNKGSISISMSLHHTTFCFICCHLTSGEKEGDELRRNSDVMEILRKTRFPRVRGAGDVKSPETILEHDRIIWLGDLNYRIALSYCSAKALVEMHNWKQLLERDQLRIERRCGRIFQGWKEGRIYFPPTYKYSFNSDRYSGEGVRPKEKRRTPAWCDRILWYGSGLIQLSYVRGESRFSDHRPVYSIFMAEVEITRQRRRNTGLFNSKIEVEELLPYSWSGGEMKFY >Et_6A_046202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5587:5860:1 gene:Et_6A_046202 transcript:Et_6A_046202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDSESVADQCKQNLLYGDSLDIVCRANKDSEIHDIVARNVRSVKQEIDHLMEWRSKQPDYPVGNSYGSSG >Et_3A_026336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:732644:736849:-1 gene:Et_3A_026336 transcript:Et_3A_026336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAESPPSTPPPPPPPAAERNMTFAERAVAAAGAAVMSAVLVNPLDVAKTRLQAQAAGVVYNPIWSDFRCYPSCNTGGVNGLGPSCSPECFQYRGTIDVFSKVTRQEGIFRLWRGTGASLALAVPTVKSFELVGIYLPSYDLMRNWIEEYSDHNCPQLRPYAPLISGSIARSLACITCSPIELARTRMQAFKESNVGGKPPGMWKTLLGVLSSRQTIARGYHLLWTGLGAQLARDVPFSAICWTVLEPVSYSAVIRRHLTGLVGEKSNAAVILGANFSAGFIAGKDPERVLSMNTRRILLEVWRKEGINGLFRGAGPRMARTGPSVGIVVSSYEVVKHIIHRKHAEL >Et_2B_020793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23738840:23742201:1 gene:Et_2B_020793 transcript:Et_2B_020793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPRRGRRPHSPPPRCLGVYDITVDEGGLFHLRPNCGGPFRSFVEATAAIRRKHFPGSSGVVHVRTYGETVVFRGLPDPPRPKEYFTIKDGLFHIHPSGLGGPFIRLDDALAALDKALKPPLIDWEEGMEGMKYEFHKLDYQCLIYDGPKIYHHYNFTMNIKLRSKRHWEYKSFFAELKPTSNGKQYFCCPLLDTEEGHCLGCRNSCIKLRHPANGGYEEGDEGAGFPFDLNTGGNRKKKGKTVGGI >Et_1B_012111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29007275:29033654:-1 gene:Et_1B_012111 transcript:Et_1B_012111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTARKRRRIPALPDDRFLWEILIRLPATALLRCRAVCRSWRRLTSAADFLLAHHRRQPSLPLVTFNGDELQSRTLESFDLRQSPAKRRPLLRSHDYNRRGGFEVQASCDGLLLLSFSDGRFSIGNPVTRQWTEMRNLTGVNVVGMYLHSSSGEYRVLYWKSIGKLPHLGGSVVYYVLTVGSSAEPRCIGLPLASPPSIEPLGMVGQTYVGNRPSVLLRSCLHWGRWTLKNQLIVFDTVAESFRYMRSPITSHHWARSSLLEMDGVLGISHLDEMESVVDLWVLDDYELEIWLLKYRIELPQVQLGNPHPPADFLLAHHRRQPSLPLMAFNADAFDRLQSPTLDAFDLRRSPAERRPVLRFHDYNRRGDFVVIASCDGLLLLFSHYDGRFYICNPVMRQWTELPTSLVGVNNVNNVVGMYLHSSSGDGWMDGRPYQDTSVVYYVLTVGSTAKPRCIGLKDVVNWPSVVVRSCLHWGRQWSTKNQLIVFDTVHESFRSMPSPITSYHCERSCLLEMGGVLGISHLDATESVVDLWLLGDYELKAQLRSIANTRSFFNALVVSDNGDVLVYFETSLHLFHCDCKGKLLQAFPLDHAVSRPVWYWFKESLVRHIAGAMAKTARERRTIPVLPDDLVLREILIRLPVTALLRFRAVCRSWCSLTSAVDFLLDHHRRQPSLLLISFIGVDTPSISGDPPPSSGLSSGSSTTTTAAVTSCCTHPVAKETGAAERPRRDPRPPGRSLPVGDPHPPAGDDAPPLPRASTADFLLAHHRRQPSLPLVAFDGDQLQYAFDGDELQSRTLDAFDLRQSPAERRPVLRIHDYDDFVVQASCDGLLLLSLSDGRFSICNPVTRQRTELPTSLTGIEVVGMYLHSSSGEYRVLYWKSMGRPPHLGGSAVYYVLTVGSSAEPRCIGLPVAMVVLTTYVRNRPPVLLRSCLHYWGRWALENQLIVFDTVAESFRCMRSPKTSYHWPRSHLVEMDGVLGISHLDGKKSVVDLWVLDDHELEIWSLKYRIELPVAQLRCIAKTNRWCLDGLVVSDNGDVLVYFDSDLYLFHCDCYGDLIQEFPLDRAISWPLGFWFKESLVRHTGIERYDVVCLRQPPGEPPAATSPADSGGSMGQTARERRAHFRPAGAPILPEELVVWEILIRLPAKTLLRCGAVCRSWRRLTAAAEFLLAHHRRQRSPPLINFYSSRHNFVAVDAIDLWGASAAAERHPVLHFNVYSHGLDFTGCASCDGLLVVSLYGDHFICNPATRQWTALPKLIRSNAAALYYHSSSGEYRILYWKGSLSEADYYVLTLWSEPDTKLAASLSCIGLALRRYVASKHPPVLLQSCLHWVIDVWPKSGLLVFDTMLESFNCMRPPTRDNWCHADVRKMDDMLAVSCMRQDCTMVDLWVLQDYELVVWSLKYQIELPVAELRSIGKSKWFTALVVSENRDVLVVVDGHDDLFYCDSKGKLLQQFHWDRVCARPPGQWFKESLVRHEFFQRQDCSCGTATFLSRALLWLEPHKQGHMFDTLSPPFSHMSSWCGRFSSAYRRRCSSAAARHLTSNNDFLLAHHQQQPSLPLITFHGETSNSGHDFVDAAVDTFDLRGDPALGKRYPVLRFDDYNHRRNFMIHASCDGLLVLSLSNNRFYICNPTTRQWAALPNQLICDQAAALYKHSSSGEYRILYWKVTDSLGKWAHVFEMDGMLGISCIRKTHTTVDIWVLEDYRMEFWSSKYQIELPVAELRSFAKDCMFQCLTVSEDGDLLVYNGIPNHILFYCDNKGKLLRKFQWDRVHSNPTRQWFKESLVRHAFFQRQGRGRSLPDELVVWEILVHVPVKELLRCRAVCRSWRRLTSSADFLLAHHRRQPTLPLVSFSDPTPNWVHASSPSRGDALDALDLWRSPVERRRVLCFNNCKDRPHYWVYASCDGLLVLSASRDWFYYICNPATDQWIPLPDLTRCNVLGLYPHGSSGEYRILYDNRIQEDDNTFCYVLTVGSSMALRVIEKCQATGHGCVTNYRSVLLHGCLHWVHNRDGGTGVLVFDTVAESFTWISAPTDDKCYRRMNGALGISQIHDPCGGTMMKVWVLQDYETEVWLMKYQIQFPVLEMRSIAKYSFVDGMVLSENGDVLVYSRGNSHMFHATALASCCRNSNGIVWIQGLLGSGSKKALSSIRSSRGHMFVPCSFEGL >Et_3B_028608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17231056:17234616:-1 gene:Et_3B_028608 transcript:Et_3B_028608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTDAVPLSSNDRPSRVPPPRLGRAHSSSKSSPLHAPTPLSCRLPPPPDLRGTDGRETRGDGPAALLLLLLAAASSAGAADDGEARALLALGAALDPTGRLLPSWAPGRDPCVAFEGVACDAHGAVANVSLQGKGLAGALSPAVGELRALTGLYLHYNALRGGIPPELARLGNLTDLYLDVNGFSGPIPPEIGAMASLQVVQLCYNQLTGSIPTQLGNLSRLTVLALQSNRLNGAIPASLGDLPLLARLDLSFNRLFGSIPVRLARLPRLVALDVRNNSLTGSVPADLATKLQAGFQYGNNSDLCGAGLPALRPCTPADLIDPDRPQPFSAGIAPQLTPGSPEARGARCSGAAHCAPSTRAVAAAVAVALLAATAAGLFALSWRRWRRQRTIAGAGDAAAKGASSTSARKSSALASLEYSNAWDPLADGGRGLGLSQEASLLRLSAEEVESATRYFSELNLLLPGRKTKFGGGVVAATYGGALRDGTPVAVKRLGKTCCRQEEAEFLRGLKLLAELRHDNVVSLRGFCCSRARGECYLVYDLVPNGSLSRFLDVDADAVTGRVLEWPTRISIIKGIAKGIEYLHSTRPNKPPLVHQNISADKVLLDHAYKPLISGCGLHKLLVDDLVFSTLKASAAMGYLAPEYTTVESDVYAFGVIVLQVLTGKRKPPPAADGVEELVDGNLRGSYSAAEAAKLAEIAAACTGEDPDRRPTMAELLQQLDTI >Et_6B_049236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2158889:2162170:1 gene:Et_6B_049236 transcript:Et_6B_049236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDLLENIERRAAKLLLKDAGWSGQWQKPGREMAISLLESTSRLFASKRFVPEKKVVCEEQQLQALDRTLGDLEDGFEFLCRRLIQAGCLSEEGEQVASCIQEVPEEERKAVCEEQQLRALEGSVGGLGEGVEFLFRIRRLIQSRVPLLNVLRSY >Et_9A_062260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21193191:21197931:1 gene:Et_9A_062260 transcript:Et_9A_062260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRNLFVSALSVGVGLGLASARWAAPTQGDGGGGGPGAGVAELEAELRRLVVDGSESDVNFDEFNYYLSELTKEMLISAAFVHLKGAELSKHIRNLAAASRTILLSGPTERYLQFLARAMSHYFKARLLILDVTDFSLRIQSKYGGSSKPVVQNQSKADTTFGRVSDLIGSFMMFPKKDEPRESLHQQTSNAETRARVSDGSSSTPSLRKNSSVSSDMSDLASQCSGHSVRRTVSWCFDEKVLIQSLYKVLLSVSENHPIILYIRDVDHFLRRSQRTCSMFQKMLSKLSGPVVILGSRILNSDGDNGEADERIYTLFPYHVEIKPPEEETHLDYWKIQILEDTKIVQIRDNRNHIIEVLSANDLDCDDLSSICEADTMVLSNYIEEIIVSAVSYHLSHTKDPEYKNGKLMLSSKSLSHGLNVFQGGHGGKDTLKLEANEESKGGVKGPTGSKKTAVPVKEGDGPSPPPKPEIPDNEFEKRIRPEVIPASEIGVTFDDIGALDDIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANDAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVSPTIIFVDEVDSMLGQRARCGEHEAMRKIKNEFMSHWDGLLSKSGERILVLAATNRPFDLDEAIIRRFERRIMVGLPTLESRELILRTLLSKEKVDESIDFKELATMTEGYSGSDLKNLCITAAYRPVRELLKKEREKELVRKNKEAKEKEATGENSETPESKENSENKEQDSEGKVDSSDAKAEGAKEVTIKLRPLTMEDLRQAKNQVAASFAAEGAVMNELKQWNDLYGEGGSRKKQQLTYFL >Et_7A_052990.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:4022759:4023820:1 gene:Et_7A_052990 transcript:Et_7A_052990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTSSPDPFTFYPHLAPPADVDGDEFEFRVPAAVAALSAADELFCGGKLVPLLPPPQPLSPPCSPPPCLEEVEPASEPASPRAPRCAGRRWRDLLLLVTKKAKPGDGGGGDDKRCADGCLKRRETQHFRPLLSRDSSSSSSTSSVDSGKNARRPPPPSRSPLRTRSAPVASLLHLMSRRPAGGDKTGAADAALSPPPKRPDPCAHQHHPLLTRASSSSSASSSDSYGRNPRAPSRPRYPSARPRPAAASESPRVCASGRVLFRGLERCSSTPASAGIGVGPRRPRPRGMERSYSANVRVDPVINVFGGFGHLFMPSSPAKERKADRERDGAGRRNRPEKLAMVLRDPQD >Et_3A_024729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23696027:23699814:1 gene:Et_3A_024729 transcript:Et_3A_024729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKRSPSVAALPPSGRRARARLCLRLAAPLSFLLLLATLLRTQPILPAAPSAPPFDGPAKVAFLFIVRAGVPLDFLWDAFFRNGDEGKFSVYVHSAPGFQLDRTTTGSPYFHGRQLARSVKVAWGEATMVEAERMLFAAALQDPANQRFVLLSDSCVPLYNFSYIYTYLMSSPKSFVDSFTDKTEKRYNPNMSPAIRKNKWRKGSQMVVTKALLGRKPNAKKAAREEHDCIPDEHYVQTLFSIKDLEDELERRTLTYTSWNQSSNPKDKVTWHPVKFEYDTSSPEHISAIKSIDHVNYEMESRTEWCQCNGTSVPCFLFARKFSYSAAMHLLEQGAVGPLKSLTTAG >Et_6A_046634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18428283:18434537:-1 gene:Et_6A_046634 transcript:Et_6A_046634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVRELLLSTTADADPSTPLSAPDLRLLIDRLRLRSDRLHASALSFTTSHREALAAALLRSASAADSSASLESSLAAALAPLSSSPDLSDLKALADRLIAARRELAERQEHLAAASSVASLAARLREARAAVNPLDTAAAAAELKPLLIDPERSDSGQDDPIVFGLLRSDWEQLVDELQSRLSKNVEECVQFAPEGRKVVARASPRDHSSGTHGVDLRVALQALEMIDALDYGMAKVADLMMKHVLVPAISNMSVAVNVQMLEEGGPGHSVSVLSVVPSEEKKDDKDGSYLYSRIIGIIKFVCKFICVENSKWMQSFAKLTWPRISDLVIAHFLSKAVPDEASKLIEFQDVVRSTTEFENKLRSMMFISPDRKDGRLTQFVDDVEVHFAVRKRNEIMVKARNILVQYDHDNPLESSDQEDSVVDLLFQPVKCFISKSALQLMKLVHGALKDACLSSERVAKEFCYAARDVLLLYKAIIPVQLEKQLDSISQVAAIVHNDCHHLSQEILGLAFQYRADFPSAVQKNVVFVDLAPIFIQMADGVLRRQIHIATDTISEAIDGADGFQNTHQPQHYESAKFSIERVVYILEKIRLMWESILPSSTYRKSMCHVLGSVFSRITRDMLLIDDMAAEETLQLQGLIHLALENLSSLFLSLVEIDDDSEKFLDHDTWIQLDKSVPSLKKFRKLAELLDMSLKSITAAWESGELVSCGFTSSEVQNFIKAIFADSPLRKECLGWIVRTPA >Et_2A_016867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28970970:28976655:-1 gene:Et_2A_016867 transcript:Et_2A_016867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYYDPPHLHSSTSYPPPPPPPGTSTYGTYRHSYPPPAAAPAAYSAYYDRAEQALPPRDELRTLFIAGLPGDAKAREVYNLFRDFPGYVSSHLRSGKSSQAYAFAVFADQPSALAALSATNGMIFDLEKNCTLHVDLAKSNSRSKRLRSDDASPYPSEKKVRNPRGFSDSGAGSYIHMPGLGNSSHSLIGYPSAQSYSNLESGTSFTKDPSTFAPQNNPPCPTLFVANLGPTCAEQELIDVFSSCAGFVKLKMQNKLGSPVAFVDFKDVNSSTEALNRLQGVILYSSPGEGIRLEYPTFGHLPKQ >Et_5B_045017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8436759:8438716:1 gene:Et_5B_045017 transcript:Et_5B_045017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNDVGGAAGAGVRGAGGSGGSGGGSGVRMPSWRERENNRNRERRLRAIAAKIFTGLRTYGNYRLPGKHCDKNEVLKALCKEAGWTVEPDGTTYRKVPYMTMHKPSENAKFTFLSLFCWFVSTKRTDQLEMYLDVLITGSACPSYPKGPSPNSASSHITFGGGGSSSNNYFFPATSGSLSGGGGPSFPGYGTNSFSFSAPVTLPGSSLFPPPTSHLKMLRWESTQPCQRPCSDAAVGHRRFQQPLLCLPAPHYQDPATWLSGLNLSSAGDKSRADPAAWLSGLHISSAGGKSPTESAT >Et_9A_061175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20471003:20473213:1 gene:Et_9A_061175 transcript:Et_9A_061175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFFESFSTVQGDLLRLEVVVLLSALILAALVLYGSAHRRSSDKLLRGAMWMAYSMSYVVVSYAVGIIQDGPFHGETFVLWATALLLIQASAYSAPVHSRRDVGQRKKLLLQHVLQTGLVLWLIANATGSNPSYRAAIWAFWALNVLKTAAKIVEMIQSSRPDVSVKVVAEYMAVEEEDHGKDQQPPPNPVTMQGYRYIFHGEETMLPVIHHDVGGRPAGWEEMLALGKSVVTIEQVYRWIDRQPGSSEVEKDKARDFCLAFALFKLLKRRFYGYVPAEAGSRKARDLVLTGLIHAGAATGPDAAFRVVESELAFLYDFFYTRNIVLQSIAPPETRYWEEKVGQYELLKRFRHRPFNLLSWMTLYLVEPRRQGQKRGRRKPLPPEVRRAVLLTLKESNCKLSNGVAALTRHRLMPRLAWACTLPMFTDQILVWHIVTTCCDWASGRPERRRGADDADVNRLVARKLSNYCAYLVAFVPEMLPDPSYNTEQIFDTAVQQARDHLDGCRSRAAILARLEQIADFERPYLQEEEGGAYERAGGATVIERAAVLWRQLGAGRWQVLAEFWAEFVLFLAPSDNVDIHAEMLGAGGEFMTQLWTLLSHAGVLERPPGQGSRGGRWDNLLDLLPRESEQRRATAN >Et_2B_019354.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25187010:25187336:1 gene:Et_2B_019354 transcript:Et_2B_019354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDYLRELPEDEAAADIVPGRQRQLQLLFVDAGTVLMLWGWSALTVAVSDAGGKNRADAAYGLLGLVLWLVGVSLVALVPVTPRFPQAARFGAAAANVVMDGVLRPRN >Et_9A_061761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16165952:16166797:1 gene:Et_9A_061761 transcript:Et_9A_061761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGGGGARSMASGLLFLNLIMYVIVAIIAGWAINYSIDESYNSLKGASPPVRLFPIYFPIGNLATGFFVIFALLAGVIGVSTSITGLRDVTEGYPASMMSAAASAIVTWTLTLLAMGLACKEISVSWRPASLRTLEAFTIILTGTQLLCAGSLHAGAHAAILETPIGGRV >Et_2B_022076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8789494:8791116:-1 gene:Et_2B_022076 transcript:Et_2B_022076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKQTVCMNPGEGETSYARNSKFQSAEQTRMKPLIDGAIGELCSATSSLPSSIVIADLGCSCVDAIHHQHLQLQRPPPELSLHLNDLPSNDFNNVVKHLTAFQDRFNGDNCKDVFSPLIRTSIVPGSFYGRLFTARSVPEDLVKNGIPMYNGEENLWQKMRPAVLDAYARQFRKDLMSFLARAQEIVPGGRMVLSLPATYSPNLADGSTQPWEFVARVLDDMASRGVIDKEKIKTFYIPVYTPYEKEVKEIIDEQGSFSICKLQFEWCEQSSDHPKMIANAFRAGFEPIIMEHFGSSGEILDEFVRTAEQHINVANLQDKPTVFLAVSLSKK >Et_10B_002419.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19181418:19181735:-1 gene:Et_10B_002419 transcript:Et_10B_002419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKVLADASPKAMSLFLQQVLRQVPLRPSGYYGNKETCPCYNNWKSPYLDRARCIFLPGPWHLRSVVQSVLQLFWFQVCSSCTGQPIVMDLCIPINLYKKITFS >Et_1A_007025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30108741:30109489:-1 gene:Et_1A_007025 transcript:Et_1A_007025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLLLALLAVACCGAVASDPSLLQDFCVADKMSKVNVNGFTCKDAEAVTVEDFVFSGLHIAGNTTNKQGSAVTAANVAQIPGLNTMGISMVRIDYAPKGLNPPHIHPRATEVLTVLEGSLHVGFITSIPDSRLISKVLKKGDVFVFPKALVHFQFNHGTENAVAIAALSSQNPGVITVANAVFGSNPLISDDIVSKAFQVDKKTVDWIQA >Et_8B_059743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2275571:2279845:1 gene:Et_8B_059743 transcript:Et_8B_059743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLQQPGSSSHATRSSVDAAGNGGHGNGGNTTRVLLHADVLIGSVEKHTRTLWVHEEENGCMAQREVTYVPGLLKVLDEVLLHAADNHAAMDVDAAGGSVSVYCTGAGGVPIAVHHDMPVYVPETIFGHLRDVNDHEIADGPSRRKYKQVFSDNMGKTSYPEVSGYNKHVSWTKVTFKPDLARFNMTRLDEDDAVTLMRKRTFDMTGVLGAAVQVVLNGKMMLVPKGWPEYVLRYIIPRSRDISEERPWICEKANDHWEVGVSLTVDGEEGLQQVSFVNNVATLSGGTHGDHVANKIAAHVVAFVKDKFEQYCGTVEEDDVKRHLMVFVNLRMENPMFDSPARETLLTPQEQFGSECELSDLFYKKVRGSRLLARLLSRRPSRLPDAHCIVGNKRRRQTKQRYPC >Et_2A_015253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:156154:156614:-1 gene:Et_2A_015253 transcript:Et_2A_015253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIAFLKFIFFDKGRGGATSDDGPIRVGDVVLFRKPVPDDDDGPPDVVHRVIEVRERRDGGVDILTKGDDNDLDDAAFLYGGTPYLRQDQVIGKAVGYLPGAGWPAIALDEARVDRRAVAGALGLVALVQIVREAMKQ >Et_1A_007265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32869390:32870588:-1 gene:Et_1A_007265 transcript:Et_1A_007265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQTNALQQGAIALAFATALLGLAAAGNFYDECDATWEPQNCWAYDDGNRLSLALVSNSSGSMIRSKRQFVYGTVSTMIQLVPGDSAGTVTTYYASSLGENQDEIDFEFLGNETGQPYTIHTNVYVNGVGNKEMQFKPWFDPTADYHNYTISWTPCMIVWYIDGTPIRAFRNYEASHGVAFPTSQPMFVYSSIWAAEDWATQGGRVKTDWSRAPFVASYHGIDLDVCECYGGDCVAGCAAKFGDWGRYCRLSDAELRQMQGLQSTYRIYDYCVDPKQWANGQRPVECGLPQYR >Et_4A_034843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7073657:7076347:1 gene:Et_4A_034843 transcript:Et_4A_034843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMRSACLLRLGFSHVSSLLFQVPTCPSPSLGLGAGRAGLVRLRCFAAGDDGGKKVSARLALTQQVLRDAEERAASAGSDPAPKITLDHVTVNFARSGGPGGQNVNKVNTKVDMRFNVKEAHWLGERIKERLLQTEKNRINKDGELVISSTKTRTQKGNIEDALQKIQAIIDAASYVPPPPTEDQKKKIEKIAAAAERKRLQSKKVISQKKEFRRNRTSWD >Et_1B_014333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6262576:6265867:1 gene:Et_1B_014333 transcript:Et_1B_014333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMRCSCQQMLPYEKTIILPFECGPNVLILTSVMSNWFPLKFESMGSQDIIDLKKGYLKHAACDGTRFELVVHYMTFYVATCQGLIGGSIQPFLVFTHLQ >Et_3B_028667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17889687:17912607:1 gene:Et_3B_028667 transcript:Et_3B_028667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCPFEPAGRDASSRTCPLFATQNTYAPTGEPGVGTHPPLDSLRNLRYIYTFETRDPRTGDVIARVAEGDKADIDLAVQAAREAFDHGPWPRMSGYERGRILHRFADLVEQHTEELAALDTLNAGKLFQAGKLQDVPGAAQLLRYFAGAADKVHGATLKMSQRTQGHTLREPVGVVGHVVPWNYPTTMFFFKVAPALAAGCAVVIKPAAQTPLSALLCASLAKQAGVPDGALNVVPGFGSTAGAGIAGHMDVDMVSFTGSSEVGRTVMEAAAKSNLKAVSLELGGKSPILVFDDADVDMAVNLVNMATYSNKGEICVAGTRIYVQEGIYDAFLKKAVEVAKKSVVGDPFNPNVQQGPQVDKNQYDKILKYIDLGKREGATLVTGGKPCGDMGYYIEPTIFTDVKDDMAIAQEEIFGPVMTLMKFKTVEEAIQKANNTRYGLAAGIVTKNIDTANTVSRSIRAGAIWINCYLALEPDAPLGGYKMSGFGKDMGMDSLDKYLKTKTVRRTHERISEESTGTLNDGIGKIFNTTNQDYAMAAANGSSGKHEGFEVPKVEVKFTKLFINGQFVDAVSGKTFETRDPRTGDVIARIAEGDKADIDLAVKAAREAFDNGPWPRMTGYERGRILHRFADLIDQHIEELAILDTVDAGKLFLVGKLRDIPGAAHLLRYYAGAADKIHGATLKMSQRVQAYTLKEPVGVVGHIVPWNYPTTMFFFKVSPALAAGCTVVVKPAEQTPLSALFYAHLAKEAGVPDGVLNVVPGFGSTAGAAVASHMDIDKISFTGSTEVGRLVMEAAAKSNLKSVSLELGGKSPVIVFDDADVDMAVNLVNFATYTNKGEICVAGTRIYVQEGIYDAFVKKAAELAKKSVVGDPFNPRVDKDQYEKILKYIDIGKSEGATLVTGGKPCGDKGYYIEPTIFTDVKDDMSIAQDEIFGPVMSLIKFKTVEEAIQKANNTRYGLAAGIVTKNIDIANTVSRSIRAGVVWINCYFAFDPDAPFGGYKMSGFGKDMGMDGLEKYLQTKTDLPHSLSHVYIRRPESPGPFSLREKRQRQKLPQLLQTHVETQASMATTNNCSNGNGKAASVVVPEIKFTKLFINGEFVDAVSGKTFETRDPRTGDVLAHVAEGDKSDVDLAVKAARDAFEHGKWPRMSGYERGRIMNKFADLVEQHTEELAALDGADAGKLLLLGKIIDIPAATQMLRYYAGAADKIHGEVLRVSGKYQGYTLKEPIGVVGVIIPWNFPTMMFFLKVSPALAAGCTIVVKPAEQTPLSALYYAHLAKLAGIPDGVINVVPGFGETAGAAISSHMDVDSLAFTGSTEIGRAIMESAARSNLKTVSLELGGKSPLIIFDDADVDMAVNLSRLAIFFNKGEICVAGSRVYVQEGIYDEFVKKAVEAAQNWKVGDPFDATSNMGPQVDKVQFERVLKYIEHGKSEGANLLTGGKPASDKGTVEEAIEKANCTRYGLAAGIVTKSLDIANRVSRSVRAGTVWVNCYFAFDPDAPFGGYKMSGFGRDQGMAAMDKYLQVKSVITALPDSPWNIQRRKLKDHSINLLFAMHSARRLDAGCGLTMMTDSDQ >Et_3A_025160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27474192:27477323:1 gene:Et_3A_025160 transcript:Et_3A_025160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPTAKPTRPASGFAPGAHREALEFIEHVTANAGRVQRRVLAEILSQNAPAEYLRRYGVPGSPDAVDAFRRHVPLVTYESLQPDILRIANGDTSPILSGKPISEFLTSSGTSGGERKLMPTIADELDRRSLLYSLLMPVMSQSVPGLDKGKAMYLLFVKAESRTPGGLAARPVLTSYYRSRQFLDRPHDPYTEYTSPNEAILCVDSYQSMYAQLLCGLVHRADVLRVGAVFASGFLRAIHFLEKHWPRLCRDIRTGTLDPEITDRAVRDAVAGRVLRADPALADEIEAECARPSWEGIIRRLWPRTKYIDVIVTGAMSQYIPTLEFYGGGLPLTCTMYASSECYFGLNLKPMCKPSDVAYTLIPTMCYFEFLPLHCSNGSAEPSHRDLVDLVDVKLGHEYELVVTTYSGLCRYRVGDVLRVTGFKNEAPMFKFIRRQNVALSIDSDKTDETELHKAVSGAVQHLAPFGASLVEYTSYADAATIPGHYVLFWELREGSTPVPASVFEDCCLSVEEALNSVYRQCRACDKSIGPLEIRVVAEGTFDKLMDYAISRGASINQYKAPRCVRPGAVVELLDARVQGKYFSPKCPKWSPGNKQWNNAKEFYGGGLALTCTMYASSECCFGLNLNPMCNLRDMAYKFIPTHHYFVFLPLHCNNDNAKPSHWDFVDLIDVKLGHEYEL >Et_4A_034463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3438923:3440264:-1 gene:Et_4A_034463 transcript:Et_4A_034463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RVHLAASTISADRTWHTRLHRTTQRLIDEAMVGRKPMRRRRSERAPPPPSESSFGATARPTSPRHSTSTAAVAADLDDLLLTAPPPSASEPRSFPYQVKQQCWEKAERVPGRDPERWRRDALGNIVFRKLVGCPGCLCHDYDHIVPYSKGGKSTLENCQVLQATVNRSKGNKTEVSKSELIQKSAYCRDATWILLKSLPMGMSGEGQIQGAAKSNEGDIYLNN >Et_1A_006506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23417711:23420112:1 gene:Et_1A_006506 transcript:Et_1A_006506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPRSAAVLLLVFLVLWREGEAATFTFVNRCPNAVWPGILSNAGSPRLEPTGFELQPGGARALPAPAGWSGRMWARTGCSKDGATGRLVCATGDCGSGAAECAGAGAAPPATLAEFTLDGTGGLDFYDVSLVDGYNLPVLVEPASRASAAGGGGGPASCAAAGCAADLNAMCPAELRAGGGAACRSACDAFARPEYCCSGAFASPAACRPTAYSQVFKSACPRSYSYAFDDPTSTFTCGGGPDYTITFCPGATPSQKSTTMPGATPMPTTALQGATPTTPTGTMLPGTTFTDATPDSAMPMGGGGLGIEGGGNQGVLLGSSRGGGVSWLANMATGDVAAAAPPVAVASARLVASVASAVLCLHLQSTSAETRDRIRNGCAWAFEINLTRPVPAYALVPLFKRSSWLEAWNTGRSRRGGRAWAEIHGKFHDRSGGRVEEEEDGVGGPARSLLLRKVTRGMGRDVLLPCSSDPVHAREPDSLDVRGVVISPNKFFIHRRIERGQSSRPATGTH >Et_2B_022948.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:909682:910356:-1 gene:Et_2B_022948 transcript:Et_2B_022948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLRFFPKHGGGDDDEYCAGAALEVTVLSAESLRLPPSYSPLPRRLRPYVTVSSDRYSTAVATSSSSGAGGEHSWGDTVVVPVGAEFLEGRADVHVAVLSEPTCRLVGGATPLGWCGIPAADVLDGLRAPRALRRLSYSLCCPWRGGGAGGVAVVHLAVRVLGLGDHDVARRRHGAAPPAAAAAPAQEQGWCRVAMGIPVSGASAAAAVVGTPWPWGATSSR >Et_4B_038223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27316608:27324137:1 gene:Et_4B_038223 transcript:Et_4B_038223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAARMLPDGGADDEERWLAEGIAGVQQNAFYMHRALDSNNLKDALKYSAQMLSELRTSRLSPHKYYELYMRAFDEMRKLEMFFREETRRGSCSVVDLYELVQHAGNVLPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQHPLRGLFLRSYLSQISRDKLPDIGSEYEGDADSISDAVEFVLQNFIEMNKLWVRMQHQGPVREKEKRGKERNELRDLVGKNLHVLSQIEGVDLDMYKETVLPRILEQVVNCKDDLAQFYLMDCIIQVFPDEYHLQTLETLLSAFPQLQPNVDIKTVLSALMDRLSNYAASSPEVLPEFLQVEAFAKFSNAIGKVIEAQPDMPVVGAVTLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSGKAKLEDSRATKQIVALLSAPLEKYSNIVTALELSNYPRVMDYLDNATTKVMAVVIIQSIMKNTTCISTSDKIEALFDLIKGLIKDMDGAQDDELDEEDFKEEQNSVARLIHMLHNDDHEEMLKILCTVQKHILQGGPKRLTFTVPSLVFSALKLVRRLQGQDGDVTGEDVPATPKKIFQILHQTIEALSCVPSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFILYEEEIADSKAQITAIHLIIGTLQRMNIFGVENRDTLTHKTTGYSAKLLKKPDQCRAVYACSHLFWTDDQDGIMDGERVLLCLKRALRIANAAQQMANATRGSSGSVTLFIEILNKYLYFFEKGIPQITNTVIQDLIELIRTEKQGDNTVADPSTEAFFASTLRYIEFQKQKGGSIGEKYEQIKTT >Et_1B_014341.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6370300:6370566:1 gene:Et_1B_014341 transcript:Et_1B_014341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPQFLLSILIILRSSHLTSSIRRASDNASQLGAYPVAVRRPDGLLGVDEPEALEQWHTRLLEQVCNTSDPATSDRFPTAESRLIYS >Et_3A_027186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4192453:4195257:-1 gene:Et_3A_027186 transcript:Et_3A_027186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAELEIPGECQYAGEPAVVRPSQPTPRHTLYLSNLDDQRFLRFSIKYLYVFDAAAAVAAGDLRAALARVLVDYYPLAGRLRPSDDDDGKLVVDCNAEGALFAEASLPGLTAHEFLRGRARPHKSWRKLLYRVDAQSFVAVPPLVVQVTRLGCGGMVLCTAINHCLCDGIGSAQFLHAWARAARGHDAGDELPPPVHDRRALRPRCPPRVAFTHPEYSSAAAAANGNGNGNGSDSLLARLLGQPLAPVSLTFTAAHLRRLKRRCAPSLKCTSFEALAAHVWRAWVRALDPPAALRVKLLFSVNVRRRVKPELPARGYYGNAFVLGCAESTAAQLAAEADSTASEAARYGVRLVQEAKECVDDEYVRSMVDLLEERRGARPDLAASLVISAWTRLGLEDLDFGGGGPSHMGPLTSEIYCVFLPVVGGDPDGITVLVSVPQAAADKFQHCCLGFLDDADIEAKLS >Et_2B_019098.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:8632641:8632894:1 gene:Et_2B_019098 transcript:Et_2B_019098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVEAKKQSLFQKVQWKGMKSLYQMIIGLLQQWKLLCSVGYLEELEQRINLLVAVAQRPERIRARSQ >Et_4B_038477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29315984:29319568:1 gene:Et_4B_038477 transcript:Et_4B_038477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQFLGRLPKKPSKSGDKDPPSGPRGADRASNLSSQPPIISSSGLSYGSGMHVGNANSRPNVNGNPPSAAFAVLPSFKDVPNAEKHSLFLKKLNLCCAQFDFTDPTKNIKEKEIKRQTLVELVDYIAPASGKFSEASMQEITNMVSANLFRTLTTPPRESKVDGFDLDEEEPVMDPAWSHLQIVYELFLRFIQSPETDAKLAKRYIDHSFVLKLLDLFDSEDPREREYLKMILHRVYGKFMVHRPYIRKAINNIFYQFIYETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCISMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEILEATQPEEFQKCMVPLFRQIARCLNSSHFQCLRRYEEEKAKEKETKLNQEATWKRLEEIASAKATSGAAVLVSRPLPRQSSIV >Et_2B_021103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26509685:26512349:1 gene:Et_2B_021103 transcript:Et_2B_021103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASDLVDAKKRAFRMLEMFEKSTTKVGPIQEHKIVKQMLGSLLHQNGVLKRAFLIQHNRLKDYEEMMQERSQFKQIIEKYQEQIKALEATHRGSAEIMDEGHQFAPHHYLFLAVILLLPLLAAKLRPIRHGKNLPPGPWQLPVIGSLHHLVGALPHRAMRDLARRHGPLMLLHLGELPVVVASSADAAREVMRTHDAAFATRPQTATIRELTRDGLGVAFAPHGERWRELRKLCVTELISARRVRSLRRGREAEAASLVASVAKSSSPSEHPVNVSALLATYVTDVIVRRRGGRPDPGPRRRLEEGVRVAAGFSLADVFPSSWLARAFSGAAEAHSRAMTLLMDGVIEEHKERRRAAGGGNEEEDLLDVLLRIQKDGGLQFPLDMGTLRAVIIDLFSAGSETTATTLQWAMAELIRHPSALRRAQDEVRRVFAGQNRVQEDALPELRYLQLVIKETLRLHAAEETRGVLGYDVPKGAMVLVNAWAIGRDAATWGPDAEEFRPERFEGGSADRAAVDFRGTDFEFVPFWRGEKDLPRSHARPGRHGARSRQSLLFHFDWDLPGGASPDELDMAEAPGVTARRKSDLRLHATVRVPINS >Et_9B_063990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:88262:93867:1 gene:Et_9B_063990 transcript:Et_9B_063990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRRAAAIIVSINWKRYRLCAHPLHIWIVVDYTAVFIFRLLMFLDNGLAAGMGLDLGWQQRCARFCGRIVVLSVLVLLLYPFLWVWTVIGTLWFSTARGCLPEEGQKWGFLIWLLFSYCGLACIACVAVGKWLSRRHALQLRAQQGIPVSEYGVLVDMIRVPDWAFEAVGLEMRGIGQDTAYHPGLYLTAAQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPNLDLSALNSLRPTGELDHPSANDVTMATSATRYVRSQPAGQSYLVRLQGLLLRPVRHESAENVGEPMAPNSSVGPEELPSIVIDDGHQLPDR >Et_1B_011693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25032081:25032869:-1 gene:Et_1B_011693 transcript:Et_1B_011693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMVSDVLLSFFCCCVYPPGGHRGVGTQHHYGSGHPRGGGLAGRNVAGRSKSVSLQTVELKVRMCCEGCERVVRQAIQNLQGVDSVEVDVPMEKVSVTGYVDRGKVLREVRRSGKKAEFWPSGGTPLRFTSPRSYFRDTAGAYRDSYNYRRHGYSDGDRQGRMREPARGAHPVGNMFNDDDVTAACRIM >Et_9B_064041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10475588:10478814:1 gene:Et_9B_064041 transcript:Et_9B_064041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLDVAAAGVIVFPEPSGTDHRRNIIAADGRSPSHGASARWSRWRTSRRCSVSCRSGRSSAIVLSVAIGVQSNFTILQALVMDRAVGRFTVPGGSMFVASLMAVVISLGGPPRPCSAPIPAPPHRAHSSTPLQRIGAGHLITVVSMAASAVIERRRMATIHAHGEEGNPGWISPMSAMWLILPFILSGAGEALHFPAPGQVTLYYQEFPPSLRNTATGMVALVIALGLYLSTALIGIVLAHHGVAAGQHERFHAGEPLLAARGACCRQFRVLPDMRQVPELRQVAFEPVDLYICSPAPPLGASRAAPSRRRQPIHLLFLSTLPSPEPALAQPALHGNDGGGGEISSVMAISGSFERGGGGSSTLGGFARRTGQMTHSSWRAEVKVARSRLGCRSWRRWPCSSIPDGMSSRI >Et_10B_002801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11421702:11422385:-1 gene:Et_10B_002801 transcript:Et_10B_002801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVMASSATSVAPFQGLKSTAGLPMSRRSGSAGFGKVSNGGRIRCMQVWPVDNYKKFETLSYLPPLTTEDFLKQVEYLLRNNWIPCLEFSSVGFVFRENSQSPGYYDGRYWTMWKLPMFGCTDATQVLKELEEAKAAYPNSFIRIIGFDNVRQVQCISFIAYKPPGSE >Et_7A_052635.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:141451:144525:-1 gene:Et_7A_052635 transcript:Et_7A_052635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVEVSDASELAPKDGAGSCNPFVEVEFDEQRQRTAVKPGDRSPYWKETLVFDVRGDPARLASLPVEVSVLHDKRLQDPNAARAHTFLGRVRINGDSVAASAGEAVLQRYPLEKRGLFSRVSGDIALRLYLIQQDGGREEADSFPAAAANPPQQQQEPESVARSAFAAAGGQQAGDPNNNPQAAGEAKGKHKHEQQPPPPRIFRSVAGGGGEQARRTVHAVGAPPAPPGQTVVMPRPPGPSGGPPPAGGSGFGLVETKPPLPAKMGPRAAAAAAAKIASTYDMVEPMQYLYVSVIKARDLPTMDVTGALDPYVEVRLGNFKGVTKHLEKNPNPVWRQTFAFSREHLQSNLLEIVVKDKDVLKDDFVGRVAFDTSDIPSRVPPDSPLAPQWYRLAERSGEKLRHGEIMLAVWFGTQADEAFTEAWHSDAHSLPLEGLSSTRSKVYYSPKLIYLKVVAIAAQDLVSSDKGRPPTIAKIQLGSQTRRTRPGQPQGSANPAWNEEFMFVAGEPFEEPLVVTVEERVAAGRDEPVGRVIIPVAAPYVPRNDLAKSIESKWFNLSRGMTTDEAAAGAAANKHKAFSSKIHLRLSLETAYHVLDEPTHYSSDLNPAAKKLRKGSIGILELGVLSARNLGGPAGRNPYCVAKYGNKWVRTRTLLGAAAPQWNEQYTWEVFDPCTVVTVAVFDNQTVLSKGPEAKDQRIGKVRVRLSTLETDRVYTHFYPLMALTPGGLKKTGELHLAVRFTCTAWANMLAMYGRPLLPKKHYSDPISVLQLDYLRFQAMQMVAQRLSRAEPPLRREVVEYMLDVDSHMFSLRRSKANFNRVTSLFSGAVAVAKWMDGICKWKNPLTTILVHVLFLILVCYPELILPTVFLYMFMIGLWNYRRRPRKPPHMDTMLSYAELAHPDELDEEFDTFPTSKPSDVVRMRYDRLRSVAGRVQTVVGDLATQGERAQALLSWRDPRATAIFVMLSLLVAVVLYVTPFQVVAVVLGLYLLRHPRFRSKQPSVPFNFYKRLPAKSDMLL >Et_4B_037463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2011229:2013055:-1 gene:Et_4B_037463 transcript:Et_4B_037463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARGFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVNGRIIRKRIHVRVEHVQPSRCTEEFRLRKAKNDQLKADAKARGEVISTKRQPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >Et_10B_002468.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:9305927:9306250:-1 gene:Et_10B_002468 transcript:Et_10B_002468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATDIFSGRLGWGASSCRTLTACLIDGLRVRSGCAHHSTMTITHSISSPSNSPFSRPSAASRILPWLYNSHTHCTRCMVAYSSESCTTKIGRGPHVISRMTTPKL >Et_5A_041032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17020223:17024066:1 gene:Et_5A_041032 transcript:Et_5A_041032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPELWNPTSSFYYMIVRQLLSRFVFSLGIDSYLSMKIIAFWLWAEGNGHVDFLARIDSFSDNHLWNIASVGYNFIQALDLESSHSSSGRSTRGRYFQEEAIAGVSFYLNNICYKVFEDLQLQEIAKMKETIYCTSQGPQGNIKGKEVPMSTKDLLSKIRASYIHRNSEEGTSSRSITPKNRILQDVKYNTDGGQSTIDLAGLMDTLNIRDEPYDGVEMQQHSSVSSDQRTLFVTFSNGYPFTQDELYDFFMRYFGDVEEIIVEVPVDESKPPLYAHVTFFSQATLFRVLDGNWKVKYMWNGKHLWARQYVPNKKKKDVPRLLTLSIEEPEGVFQVTASYRTFITFT >Et_5B_043241.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:19785531:19785704:-1 gene:Et_5B_043241 transcript:Et_5B_043241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARLTPLPTTPRSVTVLPVLPVVAAVDRVDESKPLLDVAICEEAKDAALGITSTSF >Et_1B_011483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22848969:22849814:1 gene:Et_1B_011483 transcript:Et_1B_011483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAPTLTRSLSLSPRPRNAKFPSPQLCPFSSSAAAASPRAALPLRRARSDLAAFTRSAVLLRHSPVIPPILEAPFEEQEGRNTKAAFLDGAGAGRNGPGGRGGGGNSNGGGGNSGSGKCDMGEYYRRVLRVDPENPLLLRNYGKYLHEVERDLAGAEGCYARALLACPGDADLLSLYGRVIWEARQEKERAADYFERAVQAAPDDCYVLGSYASFLWDAEEDEDEEAAPADSRKQETPSTAVPSPAC >Et_8A_056123.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23103206:23104365:1 gene:Et_8A_056123 transcript:Et_8A_056123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLYMCMYAEGACGYGDLFQQGYGLETAALSVALFNDGWSCGGCYEVRCTGSPYCAPGGAPVTITATNLCPADYSKPNENWCNPPLRHFDLSKPMFLRLVTDFHVGIIPVQYRRAPCAGKRGGVRFQMTGNPWFVTVVVFNVAGAGEVRAVAVKGSADGAWMDMSRNWGQVWNADHRHVGQGLSFRVVAGDGRALELDDVVPPGWAFGQSFEATGQF >Et_5A_041507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23831498:23834441:1 gene:Et_5A_041507 transcript:Et_5A_041507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALQVAGGAGCVLPLPARGRRQRRAFRPPRAVASDAATTAKEEEEGKVTLGGSGVAVTKLGIGAWSWGDTTYWNEFQWDDRKLKAAKGAFDASIDCGITFFDTAEVYGAGVSGAINSESLLGRFIKERQQKEPVDVAIATKFAALPWRLGRGSVISALKASLDRLGVSSVELYQLHWPGIWGNEGYLDGLGDAYEQGLVKAVGVSNYSEKRLRDAYERLKKRGVPLASNQVNYSLIYRNPEENGVKAACDELGITLIAYSPIAQGGSELGNVLPIPGAKNAEQAKEFAGALGWSLTEQEVEELRSMAKEVKPVIGFPVEKL >Et_3A_027263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6131654:6134063:-1 gene:Et_3A_027263 transcript:Et_3A_027263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFITVLDLFFRNVDTEQLDDTALDCSRRFTLLFVHLPVPDEQLLFRPVRGVGEDDGVAGAEALLPDESAPSLGEHVALAAVPVRVPEPPHFPLAVLEVEEHTLAGGRRRRRAIQREVLLCVVLGHDEAVQLADVARRDGLLEQPLPRPRRRRRRAAAQALAELVGVGVLAEHVDDLRLGVRGEEGELRRRRALAGEVGGGVAAAVPERRHVVGARGGFLVGEGAEPHPRVAVICEPHRPFGCGTTPLNLEVDEEDWRGGAASSALDSWEEFAMASKTSTGLDSIVVQVDGAAADCNDRPYIGVE >Et_3A_026386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7548298:7551422:1 gene:Et_3A_026386 transcript:Et_3A_026386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPTPQQAQPPRPPSPPPPPQPPAPSRRYGVHFSASSFIQAPLSALLEYSGILRPDPGGGAHQSGAGAGEVSIRIVGSGDAGASSERAEEVIVEEEEDGHATRAQPAEPAPAASGGEATRESSSSYQRYDIQQVARWVEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKRERKIPVLVGITILFVVHVFGFYWCYKNGDLIRPLVMLPPKEIPPFWHAIFIILVNDTMVRQTAMVVKCILLMYYKNSRGRSYRRQGQMLTIVEYFLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLTALRALSLKDFHYGSYATSEQVTAAGDMCAICQEKMHVPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQLF >Et_2A_016386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24076809:24080747:-1 gene:Et_2A_016386 transcript:Et_2A_016386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAASPPRGRLPRLLVLVLLALSAALPRGEALGLKVPFSPRDVLPVLPRQVAWPLMNTLHSAVDLLPSYVAAVTPGAPSSAAWSGACFAQNEAAIELTPGDRNGTDVGGAVLRLKTASAHSWTCMDLYVFATPYRITWDYYFSAREHTLEIKSWEEEAELEYVKQHGISVFLMPSGMLGTLLSLIDVLPLFSNTAWGQHSNLAFLEKHMGASFEKRSQPWVANIRKEDIQSGDFLALSKIRGRWGGFETLEKWVTGAFAGHTSVCLKDEKGDLWVAESGSENEKGEEVIAIVPWDEWWAAALKDESNPQIALLPLHPDVRAKFNESAAWDFARSMAGKPYGYHNMIFSWIDTIGDNYPPPLDANLVMAVMSMWTRVQPLYAANMWNEALNKRLGTEGLDLKEIIVETERRGMSFDQLLTIPEQDEWVYSDGKSTTCVAFILAMYKEAGVFAPFSESIQVTEFTIRDAYMLKIFEDNQTRLPSWCNTESDKLPFCQILGEYRMELPEYNTIEPYAKMNENCPSLPPKYMRPTRC >Et_5A_041651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25438995:25442293:-1 gene:Et_5A_041651 transcript:Et_5A_041651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAEGAGEAVTAATVSAGFAELERQQQLLATCTRLYQQLQDHFGSLERGLAARSDALRARRRAFDARTHRALDALHRREASIDGSVSRALDHLGSLSAAAGKAAAASPSDAETAAGIADSLRALCARMDSAAFLGFVVARRKEADALRAELPPALKLCVDPAKFVMDAVADVFPVDRREVKNPADLAWACVLILEAALPALADPDPEIGAARPLVPRAARERARGMAREWKEAAERKGGVEGAKPPDAHAFLQHVATFSIAEREDRPLYRRIVVSFSWRRQMPRLALTLGLEEEMADIIEELIAKRQQLDAVNFAYEAGLQEKFPPVPLLKSYLEDSKKASSTSLDDSSTSSGQSGSNVNKKEQSALRAVIKCVEDRKLEAEFPLEDLRKQLEELEKAKTEKKKAASSASSGGSSGPANKRIRASNGGPMPPAKAGRLANNACVSSFPAPTTFAHSPSHTSYATSSPSHASYTTASPSHASYATASPSHTSYATASPTHASYATTSPSHTSYAVSPYPYDRTAGHGMYQSPQAIREPYVYPVKEVANVGIAMPYPSPPMSYPAPYGGYGNRMGAYNNGMAPAFHQAYYR >Et_1A_006997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:326850:330316:1 gene:Et_1A_006997 transcript:Et_1A_006997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRESTVASGPNFSSFYAQHRGTGGPGVPGHSPGIHGPPPGGYRQQLDAVSAGYAFHNPHVGPPHIGQGYHHVDASPPVPQQGTGGGATAGGGMDIGMGVAMGADAKGDQGSGVGQDEQVKKKRGRPRKYKPDGPVTLGLSPSSSSTPHSSSPGMGTMVTTPGSGGSGGSGSGAPSEKRARGRPPGSGKMQQLASLGKWFLGSVGTGFTPHVIIISPGEDVAARIMAFSQQGPRAVCIISATGAVSTATLQQDSDSGGVVTYEGRFEILCLSGSYLVLDDGGTRTRSGGLCIALCGPDHRVIGGSVGGVLTAAGTVQVIVGSFMYGGSKKNKAKAEPDMEHEEPHAGDEEAPAMPMPELNMPPHPMSGWPEGIMNQMDSRSSNIDINSIRE >Et_3B_027710.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:13806429:13806755:-1 gene:Et_3B_027710 transcript:Et_3B_027710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IETLACRDGLLLAVRRSVTRVHLETDCQELVRLWDLKEAQRSSVMGLLKEIYDLSCNLSAFKFSFASRGCTRVAHELSRQAMVASQVGEWHDAPSCMLGLLASDCNPE >Et_4B_036029.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:14578732:14579724:-1 gene:Et_4B_036029 transcript:Et_4B_036029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVVVLGISSARHSCKVCGKGFPCGRSLGGHMRSHSLAEVAMADDADSEDDDDDEESGNGAVAESGYGLRENPKKTRRLSGLDDNGEDDECHGDRGETEILSSSEPTSDDYVAAAGEHDGAARDDEDEEHVPLIPAEAAAVLMPAPRRRRRSMRVPAPAPAPAPPPPRDAFDGEPEDVALCLIMLSRDTPAAGSCRWAAAPATTERRKRNGSYYHYHHDAGDSDDASAFFEIAK >Et_6A_046778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20423525:20425654:-1 gene:Et_6A_046778 transcript:Et_6A_046778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMATKVNVSAPKLAEIALIWWPGETYDPLRHQFANVGRRLRQLDIAENLQRFTELEVLISIANGIGGYERFLNETSKLPKCETLRIILLWNDHGLVPSMMHLLRHCSSTKKLSVELSNSCDLSLRFSCPSSCPCRLEDSHEIDDIALNSLEVVEISSWASSPEELECVEQISRCKAAVLKKLVSKDRKSPATLTKTLCER >Et_5B_043079.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:21645763:21646365:1 gene:Et_5B_043079 transcript:Et_5B_043079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQSEAPAPAVVVAMKGHPGSGKSTVARAIAAALRCPLLDKDDVRDCTLPLEGVVAAGMLNELSYAVLWRVVERQVQLGLSVVVDSPLSRRSHLEALTRLPATLVLIVECRAVDEKEWRRRLEERGASVAEGGVGDGWHKPKTWGELEKLVEGYQGCTDYDIGDVPRIVVDTTDPTVDAEAIALKVVGFVRSHLGCS >Et_10A_000626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1399656:1402817:-1 gene:Et_10A_000626 transcript:Et_10A_000626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPADLLLEIFARTDAATLIRCSATCTSLRGDILLPAFVNRVCHDGVVPPRLLGFPRLDLGLPYMPPPSFSLAHPATPVAASLSATHLEPFVSRSAGADADAGCLAGYRNLTSRDGLVLLSRKRWVFTTHEVTMCVYDAMTGKKTFFPGPPDAGTGRHGYDFYSFYDKFVLLTAADGVGCPFLVFSAKNLHARDRLTQLCTLLRTFSPLSDSAGTWSPPALASHSPLADKVPIDNASVVHGGSVHWIMYGVHSNDNYIFSYNILTAASGTIELPAEVPAECRVYQKLHLTSSSASGRWLVLLAVDKFKICVDGTWARHAVIDTKKIVSSAATSWGSGARGGPVILLSRPMYSWSKYEEVEKGFIVLDLETKEMRVLAAAPPAAEATRGCPLLPEDLILEIVARSDAATLIRCSASCRSLRRDIRRPAFIRRVCHDGPGAAVPPRLLGFLRLTSMPSPSFSLAHPATPAAASLAETHLAPYLSRSAGADVAGRLANYRALTSRDGLVLLSRSCWVGKRHEVTMCVYDAMTGNGTFFPGPLDTGIWQGNHFYRSSC >Et_7A_052299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6772009:6774618:1 gene:Et_7A_052299 transcript:Et_7A_052299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASFSTLTALAVFASTVEHGAVRSVHGYKVVGRKAGTGWVKWEQWVERGFVLAADDCREVGVPEDAPRILMQPGLHDRPAFREGHVVGEWLCIVAFDSVAGVEPSSLPPPMLSPYGNPQLECLPNLYKDLDTVFQFGTVEDLPQLIPSGSEEQQQTIHSGEVREHITDVADNASESESDENPQSGTELLPSVQKRQRTKSGHVRSITLAEIAQCFHLPLTEASKTLKIGVSILKKKCREYGIPRWPHRKIKSLDSLITEAERLHMGGQIGQDVRQEEKRALLTRVLTKRKRLLEIEKETIQQKPTLDLKTRTKKFREEVFKRRNRIKNANRD >Et_2B_020277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18571065:18577224:-1 gene:Et_2B_020277 transcript:Et_2B_020277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YNGKGTLEEGETAEARFIKIQAAYELLIDDERRKSYDGEHHVNPMKVDPEEERKLFAKEKKASMDLYSTTLKRHTLVLRKRDIMRKKAEEDKNSEISMLLADEGLELDTDEDDNITFLK >Et_2B_020410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:257420:259202:1 gene:Et_2B_020410 transcript:Et_2B_020410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAALLLSSSPRILHLRPLVLPRPHLRLQRGSEGTSFSSAARLRQVRPLGAVAIPPGDHWGNWAFLLSAAAFGTWSEQRTPWGAAISGALVSIMAGLAATATGLVSPGAPAHDAVMEYLLPAAVPLLLLGADLRRVVRATGDLFKAFLIGSVATIIGTTVAFLLVPMRSLGQDSWKIAAALMGSYIGGAVNFVAVSEALQLSPSVIAAGVAADNLISALYFMALFSLASKIPAEPKIVQDGPKDGESDGGGRLSVLNGGAAIALSFVICKAGSVIAHRLGFHGGTLPCVTALVVFLATAFPGHLGRLAPAGETMAVILMQVFFAVVGVNGNVVDAVTKAPSVFAFALVQVTVHLAVVLGVGKLVGLERKGLLIASNANVGGPTTAAAMATAKGWSSLVVPGILVGIFGISIATFLGIGFGMFVLRRMCG >Et_5B_045204.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:11757100:11757315:-1 gene:Et_5B_045204 transcript:Et_5B_045204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREAMESSEIRGSAQALAKQVRHGITDGGSSATDFERLATFIRDLSMSSERPELSHVHQPPAQQVQEGHR >Et_6B_049033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16911836:16912301:1 gene:Et_6B_049033 transcript:Et_6B_049033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVARSHEAVAVGLRASLDQLLQSPCAVIAEGECEAEDAQSCCFKAPAVADVNNNDGAASGTRAAASSSCKACGGDEACVLLVPCRHLCLCRASPPWTRAPSTRTPRTPRSTSCSPYCTKLRTPVNLLEDFGKELQWSRVAFWNRDSTGDDVK >Et_5B_045027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8532342:8537540:-1 gene:Et_5B_045027 transcript:Et_5B_045027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGGGGRFPILQANRDPESNWEVDVAKSLEEYLLKICSGEVTGEDGAYSVNFAEAALLLQGSVQVYSRKVEYLYSLVLHALEFLSQKKQDQQENGSAQANENDHRTIPNEDDDIFLGLDDVPVEARTSLDNNIDRDDLQRKVVRPPANLLVFEGDCLDSEASELDSYLLATCNFYGDFLLLDPCDAPAVFDFLQGKKSGKENSVTHRGSSAPSKIRKSAFTSPNGRSGGTGRKLNPEKGDGDPDPTEGNNLDQSPGMNENETHENIGDFSLGGNHWSYDDMPTSDGAGEILDDGDDSDDEDPWRPLDPHEPGNLKIRPYRRVKGFARQVIGTPKKKTLASLFPMAKMDVVINPEQAKSFEVPQSHQEEINVSQSPPPYEKVLGIITYVSMSIKNVTMFIYPVLDLHTQLMRSLEFGEQENCHVFGDLKDDSVPNNGVNDYDDNDEPDMPYDMDPMDIDDIINPVKDNEPPLDETQGTQGSLDEHESLDDLCRSHLNALLASIAEVEQQSEMDARVSTWKERIEDALEEQDKNPPFDIGSYGEQILDTLSSRTDNKGIASFGEIVSGKPKYEVARTFSALLQLVNGRSVDLDKGQVKSELMCYTADNPFHVKLIGPNRRPEVEAHFARKRVESPLQNCGKGSESPPSQQKSPKKQSHRNGKVPVKTAIRLTPDGKRRRRSAHLAQPLNLESSA >Et_5A_041852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3531114:3534027:1 gene:Et_5A_041852 transcript:Et_5A_041852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFFSKFAPLVPRLRRLSTAAATVGGEDPKLSRIADELLALSPAELDDYAALLRLKLRLSLTSSAAAGASPGGAGDAASGSAGAEEAAAVKTAFDVKIEKYEAAAKIKIIKEVRAVTDLGLKEAKELVEKAPVVVRAGLPKEEAEALAAKLKAAGAAVALEVLHRLHLSAEMRGSHKLKKEFAGCRRTRNRSAIPDR >Et_2A_015977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19888087:19891504:1 gene:Et_2A_015977 transcript:Et_2A_015977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTPPRRWPPGFRFSPTDEELVLYFLKRRIASGRPTPYIADVDVYKSHPSHLPGRSALQTGDRQWFFFTRLDRRYPNGSRASRTTGDGYWKATGRDRSICSGGSAGRPVGNKKTLVYHHGRAPRGERTDWVMYEYTILADAFPQAAGACEAYALYKLFQKSGAGPKNGEQYGAPFREEDWLDDDEEEQGLSAGAVAHPVATVQQQADRELPTVDLEELLAEFGSDQDQGEEQFDFATPASSQGQLHHGHGQGSGAGDKADIGDTSTGSGAVPVPENACADLPLGDIEEFLMRISDDQQNAELLSDFSSSVPELQRRCDDHQVWLDADRGDEFCATNPITGSGSVVTAGYTGAELPVGDLEGLLLQIANDREMVEPVSDLSTPFPHHNFNQVDIKGFQSPGDPVGTLSCIVQKSQDFDPQIRPNSQIPESNLTNMPVSVEANSAEETSMWRASGSMSYNCQDADEFLEINDFFDLDDVGHNMNSTATEHLISATNGMFGNLEYSDALSLLPGHFDTDEVVAENQFVDFGNSVIQSQGYQYTTEVRTQSQVARNVQNHMKYNHVVLSSHTSGTLNLHTGNEPLNQSSNASQSWFNAALSALLDSVPSSPALAAEIENTVINRTFQRISSFRSQQAANEESTVIYRTIQRISSFKSQQDAREEPSTPVIKATISGRLMFVSVLVLLAGVMWTGPAVNFFKGLWKSSSTYGDEADILIWIRGWIT >Et_4B_036034.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1560845:1561750:-1 gene:Et_4B_036034 transcript:Et_4B_036034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLAGHVVPSSHSEHLAVPLVEAEPIPGAVGGLALAALNPAVDDVAGHAVAGEPPLAAVVGGGRPVVGHHGVARRLRRLRRHPEPDGRLEGHHGVV >Et_3B_028206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11772681:11776672:-1 gene:Et_3B_028206 transcript:Et_3B_028206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIETATDLNSSRKSQDDTATLIPHSGNMEDANRRATKTTRFKDDDEVVEITLDVQRDSVAIEGVRAVDDGGGFDGLSLESSPSTRSGKLASKLRQVTNGLKLKNSSNRAPQSQLGKNMRKRVLNRTKSGATVALKGLQFVTAKVCNDGWPAVEKRFNHLQVDGVLLRTRFGKCIGMEGSDEFALQVFDSLARKRGIVKEVLTKDELKDFWEQLSDQGFDNRLRTFFDMVDKNADGRITAEEVKEIITLSASANKLSKLKERADEYTALIMEELDPNNLGYIELEDLEALLLQSPSQAASRSTTTHSSKLSKALSMRLASNKDTSPFYHYWQEFLYFVEENWKRIWVMTLWLSICIGLFVWKFIQYRNRAVFRIMGYCVTTAKGAAETLKFNMALVLFPVCRNTITWIRSKTKIGAIVPFNDNINFHKVIAAGVAVGVVLHAGAHLTCDFPKLLNANDAAYEPMKPFFGEQKPPNYWWFVKGTEGWTGIIMVVLMTIAFVLAQPWFRRNRLKDSNPLKKMTGFNAFWFTHHLFVIVYTLLVVHGICLYLSRKWYKKTTWMYLAVPVLLYVSERIIRLFRSHDAVRIQKVAVYPGNVLALYMSKPPGFRYRSGQYIFINCRAVSPYEWHPFSITSAPGDDYLSVHIRTRGDWTSRLRTVFSEACRPPTDGESGLLRADLSKGITENNARFPKLLIDGPYGAPAQDYREYDVLLLIGLGIGATPLISIVKDVLNHIQQGGSVAGTEPEGSSKAKKRPFMTKRAYFYWVTREEGSFEWFRGVMNEVAEKDTAGVIELHNHCSSVYEEGDARSALIVMLQELQHAKKGVDILSGTKVKTHFARPNWRSVFKHVAVNHENQRVGVFYCGEPVLVPQLRQWSADFTHNTTTKFEFHKENF >Et_8A_057066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20786756:20787570:1 gene:Et_8A_057066 transcript:Et_8A_057066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRLALARAARQCEAFEGHVNYEFLPYLVERAPSLKTLDIKEFRCYDEGTDELIAAFKKLPMLEDLQIYFKCEIEDVNMFPSVCQACPNLKKLVLMFAGPCELECNEDEFSKEPIDGEIPVMHEFRTLELYECDLTARGLNIILDSCPLLESLYITGYFNKHDIDEELRIKCAGVKKLTLPTRSKPNHECYYDYVRYSDSEEDYEE >Et_3B_028769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1932319:1936378:1 gene:Et_3B_028769 transcript:Et_3B_028769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAGAQLALLAVFLTASSCAARDFIVGGRDGWTTNPGEPHNHWAERNRFQVNDTLVFRYDKNADAVLRVSQSHYDACNTTEPWTRLADGDSRFTFDVSGPFFFISGDSGRCLAGERLIVVVLAVRNNTPSAPLPLPPPPTPPKSSAASAPPPPPPPKQASAPSATPPVSSPTARASPPPPPAPSASAGNASSPSLAPFTAPAPGTKNGTSPRSTSSSAVALRAGVLACLLIGAAAAIDYFVGGGRYGWTKTPAQPLCFQINDALVFRYDKDVADAVLRVSNKNHYDACNTTHPLLRLDGGESRFVFRVSDFYYFISADARRCRDDGERLAVFVPRRHNDTPSPLPLPAPPYPSSAGNASSPSQAPLMTAPLPGTKNRTVITDLLLLLVLLTASSCAGLDFVVVRGGDGHWTTNPAEPRDHFQINDTLVFKYDKDVDAVLWVNKSHHDACNATEPFLRLDGGDSSVVLESSGHFFFISADSVRCQAGERLSVFVHDNTPSAPPPKSAPHPAAAPPKPASTTPSSPPPVPSPAAQSVLPPSSPPYPSSAGNASSPSPAPLTTAPVPGTQKGTGPPPASSSAVALRAGVLACLVIGAAAAIL >Et_10B_002602.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17951354:17951485:-1 gene:Et_10B_002602 transcript:Et_10B_002602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIVGSWPVTRSCRVSCSMIVKRCSVVAYLRRTGSYLSWFFQ >Et_8A_057166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21923248:21934296:1 gene:Et_8A_057166 transcript:Et_8A_057166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSRSAAFKGPRGVPALSSSPGSVPPAISRTARATVSSYDILASLATRIAYTVTSAASSPRCSFSVQRLYSSVVLHALRHASLMLSMGTRLQASPPAMNKVVRGVAAFGNARRERPQQGAGAAASELRRHRPVGLIDSGQGKRRTTAVLAFRTPCVVPEPSVQVRHGSSQFPSQKRAIERRVARAIPCISRRNRALRYRTGRSSRRRLLALHGTRMQISAMTFILAMLIISLAAFSAAGDACDNVPRMTWDDACLKTCSTPSLYNLCGETLQHAPDAAQEVTVFALTAAQAAKASYEATAAAAEPLARHGWLPFPGSKPAFVLCVCQSAVARARMAAVIGDMSKTSAKGTLTRWPPWCRAGKRCRRSGLAAGSHERGGLFCERSGAWLGRAHVYHDLRPCNVDLPRRLDNGRRRMPQCPNDDMCRCVPQALKSSSTPSLDNLCGETLEHSPDAAEVTVYTAAKAAKPSYEATTAAPEQLLHNGSSPSPEEPSRRSPERRGVGPHGGCDRRHVEMRDYRDSRSAVESCREALMDFPGSPLDAMVAADLDVTAVAHGLGTCDTTELYNLCGLTLLHAPDAAQEVTAFALTAAEAAKASYEATVAAANQLLMQGRRRHSRETRRSGSLARAHMAAVASGVSSCSFDDIIKGYRDALTDVVSCRQALSAFKGSPLEAMNAADYLATEVAHGLGALVFSK >Et_1A_004773.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:39705274:39705558:1 gene:Et_1A_004773 transcript:Et_1A_004773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFGSGNEDVPVDWDGVIKLSRRVASVELEGELKVSVVAFRCENDEIIVVAKDDEHFRPKRAGKSFGKLDVGFCKMEATVFWSLISLLPGDYS >Et_5B_045063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9050183:9053841:1 gene:Et_5B_045063 transcript:Et_5B_045063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEIYHKVKDKVKDAFSSSGPETGKGKTKLSGLRVRHGYHLVKGKSNHPMEDYLVAEYRQVSEHDLGLFAIFDGHLGHTVPDFLRSHLFDNILNEPDFLSDPQSAIRKAYLLTDEKILEKTAELGRGGSTAVTAILIGSEKSVKVVVANVGDSRAVISRNGVAKQLSVDHEPNMERQAFGDRSLKKHLSSEPHVAEEPIDENTDFIILASDGLWKVMSNQEAADAIKGIKDPQAAAKHLTEQAVIRKSKDDISCIVVKFMC >Et_2B_021261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2858304:2864677:-1 gene:Et_2B_021261 transcript:Et_2B_021261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRGAKGGRRELPPARLARGAGILTGCELLAPNAGADERCWILPPGCWPRLLPLQAIVLPLTHKDRFQKGLEKYSWVVLVHPPKGVLLPPGTGKSLMAPAAQTNAIFLNLVVPQLIQIFIGGGAKLVRDKCHWYQAPTMLELLNQQNGFSSDERIKILYTYERVMFNLMLVNPDKLKPGDLVGVNKDSFLALAIRATEVDEKPTEDYNDMGDLEKQIQELVEAIALLMTHQDRFQKLGIRPPKGVLLCGPSGTWKTLMARACTAQTKATFLKLADPQLVPLAHENAPCVLFINEIDAIGTKLFDSVCYQVIGRETSKWEQAKLSAIYV >Et_9A_061814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16793547:16797348:1 gene:Et_9A_061814 transcript:Et_9A_061814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARPLALLASASAALGAGQRARSLLAACSASGLPRAAGLALRGRRSLAAAAGGAVMGKAGVVDADAGMDAVQRRLMFEDECILVDEQDNVIGHDSKYNCHLMEKIESGNALHRAFSVFLFNSKYELLLQQRSSTKVTFPLVWTNTCCSHPLYRESELIEEKCLGVRNAAQRKLFDELGITAEDLPVDQFIPLGRLLYKAPSDGKWGEHELDYLLFMVRDVKMNPNPEEVADVKYMNRDQVKELLRKADAGEDGVKLSPWFRLVVDNFLMEWWDHVEQGALQEAADMKTIHKL >Et_1B_011724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25379485:25380034:-1 gene:Et_1B_011724 transcript:Et_1B_011724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGKPLRLKDLLELDCDSCSAAGFRCYPRRLGESPAMPLSFGRSPSLRRPSKLSHLSRSLSRRLRGGFWRRRSEEEVDAAVHGGACASASEPDTSPSESSDSSRRTSRSHSVTDSDFSSSASSATVNMHAAGGEHEFGPCPQLHLLRLVTPFACVRALDHRGL >Et_3A_025202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27893951:27899039:1 gene:Et_3A_025202 transcript:Et_3A_025202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSAHLLSQSLYSSHRASPAAPRSLRFQVRPSAHASTGARPGSSARGRRGLVLRASGSFEQRQVEVTYDPQAKFNQLADQIDKNAGLTRLNLFSPCKINVFLRITGKRPDGFHDLASLFHVISLGDTIKFSLSPSKSKDRLSTNVAGVPVDESNLIIKALNLYREKTGTDNYFWIHLDKKVPTGAGLGGGSSNAATALWAANQFSGCIASEKDLQEWSGEIGSDIPFFFSRGAAYCTGRGEIVQDIPNPLPENLPMVLIKPPEACSTAEVYKRFRLEQASRADPLTLLKDITQNGISQDVCVNDLEPPAFEVLPSLKRLKKRIIAASQGGYNSVFMSGSGSTIVGIGSPDPPAFVYDDDDYKDVFVSEACFLTREENEWYKEPISSKATFNKDGLPASVATD >Et_10A_001323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23358082:23372496:-1 gene:Et_10A_001323 transcript:Et_10A_001323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVFPGPEARAPPDLTDHLLEEVLVRIGARADLVRAFAACKTFRRLITERLPEARGYYRKNTLSPMPSFDPSLGNEEALFRVMCWATSCSMAVLFVYCSVSGSWSHGASISFSALGLDVEPDGYPVMCGWHSYAYGCLYWDAIITYMMIKLNISSMESTVVSLPPDYEDDHTTGPLKYFIWQNENGNANERLVETTIPLPAEYDSYHFDVAAQGYIFLVGEQEDLLAGSAFFSIEIETLKVERGSLPPAMAPVLPCPEDRALTALSDHLLEDILLRIGAPVDLARAAAACKTFRRLIADSAFLRRYRSLHPPMLLGFVGTLPTVSFFPADAPHPNAPAARAADFAFYHCLPHRVQPGWRRCDAHDGRVLLMCSDFDRGFVSPELAVCDPLTWGYTLLPPIPDDLLVSVQNQLPDRSRDSEFFNALFDPWVGKEEAQFRVMCWRHCAVLAAVFVYSSVSGSWTHGTTAWAAIGLDVPVVKIPLMSWWHGYAYGCVYWDAGISNKMIKLDVNSMEFTTVSLPSGYENRNTIFAEAGEGRIGMFSFIHYSENPWSVCYSIRQNESQNAKERALPGEYNYYHFKGSAQGYIFLAYGETPPLESAYFSLEIKTLKIERIVHLNLSILISFHTTGFHHLCHQEGYEAHQPQTLSLSPVADFSPAMAPDLPDPNAMVPPGLTDHLLEEVFVRIDTHADLIRASAACTTFRRLITDPHSSTATTPSTHRCSSASLVVPSPQTSATSPPGGNEKALFGEETQFRVVCWAISFSMTVVFVYSSVSGSWSHGTSIVFDALGLDVPQECYPIMGGLHSYAYGCLYWDVDMGLLLDHVTRSTMFVEAGEGRIGIRKSAIPVLLHLAECERECAASEHSVETTIPLSPDYEFYDFDRAAEGYIFLIGRRGGLTPGSAFFSVEIKTLKVGRVCGANIGPDPEYRHFPSTPNPRSLSPAMAPVLPGPEARAPPDLTDHLLEEVLVWIRAHADLIRASAACKTFRGLITDPAFLRHYRSLHPPLLLGFVGCAEPRNVRPLHPRRVRDPLPPRRSRLRRRR >Et_4B_039496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18992082:18993631:1 gene:Et_4B_039496 transcript:Et_4B_039496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSRSRHVVLFPFPGHGHLAGFLALARLLRQSLADATVTLVSTPRNVATMRSSESTSMISFHALPFVPSDHGLSAGCESTDSLPKQRILDLFEALEPAFDDYISGLVRESEAEYVCVIADVFVVWTVGIARRRGCAHAVFVTCGAFGTAILHALFVQELSYRYTGARNRPLEAIASMATTSRTRHFVLFPFPGHGHLASFLALPRLLRQNLPDAMVTLVSTPRNVDAAMRSSIAAAETIYHAQPFVPSDRPRPACLLRVHRLPP >Et_9A_062979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7823774:7833918:-1 gene:Et_9A_062979 transcript:Et_9A_062979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGASEPLKAAVESTDTAGTEETRNLLPMHRNGDDKEETMVKPGQADGHRNCHHDLMALLPIQFLSRVARYRSYPQIDNLPHALMYRYGRSPTSQPSLGLLIFSDCCNKGSMELPQEGCRDDFCLTQHGNTKASLDVYGFTFKPDQASQASIWIANRGDGNPSSLSGVQAGWHLSHNALPPRPICSMNNYLRAIYRVVFGLFISPTSYKDSSTHFCSEWTSAETKKACLNMVCPGFQKTSTSIAPGDIIKPVSVINGKKQHITIRLFKDKSTGDWHVYYGFNGPPKPVGYFPESLLPGLKDKPVEISFGGLVYHRKPQPSPPMGNGLFPATTLAASFSGIKLIDEDGNNHDVTTDLPYRVSLTRCYFISTMSNGLFFYGGPRCDD >Et_5B_044705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4926822:4929320:1 gene:Et_5B_044705 transcript:Et_5B_044705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPTLSNIGGSESPMPLLEQLAEVFGKLKSHTEASLQLQNSMQWEDIKDHFLNLDKSYRSKFDELVEKQKALEEKKVEACRLIAEKEANVSAMERASLNQLQELRDAAVSSLAEVRHKYKVELAEMLDANGSKGKKVSTSINDNNASRASEENTPASGLGELSEASPVETKPRPSMKQLCEQMDTKGLLKFLSENGKKLASLRDELSVALRCASDPARFVLDSLEGFFPPDQTNSPGNRHNPLQIHRRSCILLMEAIAPALGTKEPGGNHPWSSEIKEQAKAVAEEWKSKLAEIDLDASNGYSLEAQAFLQLLTTFNLDSALDEDELCKIVVAISRRKQTAVSCRALGLNERIPGIIEELVKRHRQIDAIHFIQAFGLSETFPPAPLLKTYVEELKDSLENNLDANSSSSKDDPKSRELLALRAVIKCIEEYKLQKECSLGPLQKRVSELKPKGEKRPSFDAGRTYAKKPRGSGISFHRRPAGPVGSSARRPPFPVGNWQHAPAPLPSRAPAPMPPLPDRYGVPDRYHFTPPATAYDAGAFPPYGEPFSAPKPFQYTPGSVAASYSSGQYKAAYGGPGAQPAASGYAGFAGATGPSASSNYAGYLGSGYRPNQHH >Et_6A_048040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4245671:4250907:1 gene:Et_6A_048040 transcript:Et_6A_048040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEKPCTLLVHFDKGSPSMANEIKADLESGDGPTKADAMRRAISLLLNGETLPHLFITVVRYVQSCDDHAVQKLLLLYLETVDKRDPATGKVLPEMILICQNLRNNLQHPNEYIRGVTLRFICRLSEPDLLEPLVPSILANLEHRHHFVRRHALSAISAIYRLPQGDNLIPDAPEVVERALATEQDAAARRNAFLMLCACAQERAVEYLLTNAERVSEWPDLLQMAAVDLIRKVCRSKGRVDKGRYIKIIIALLSAPSTAVVYECAGALVSLSSAPTAVRAAANTYCQLLSSQSDNNVKLIILDRLHELRASHREVMVDVVMDVLRALSSPNVDVRRKVLDLVLDLLTPRNVEEVVLYLKKEVVKTQAAEAEKGGEYRQMLVQAIHACTVKYPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRVSMIQRLIDTFYQIRASRVCVCALWILGEYSLSLSEVESAISTIKQCLGDLPFYTASEEGESADSSKPAQPVVNSVTVSSRRPVVLADGTYATQSAATETAVSAPAAALGSLASTQNLRSLILSGDFFLASVVACTLTKLVLRLEEVQPSKVEANKACTGALLIMVSILQLGQSSYLPHPIDNDSYDRIVLCVRLLCNTGDDVRKVWLQSCRQSFANMLAEKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRRGMSQLELEDAVQDDLKAATGQFTKDADDTNKLNRILQLTGFSDPVYAEAFVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVTFRNMWAEFEWENKVAVNTVIQDEKEFLNHIIKSTNMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNISIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGSS >Et_4B_039521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20162665:20174494:1 gene:Et_4B_039521 transcript:Et_4B_039521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPKLALLAMISLFVLLLVPSAAGARVGPSVTKPIDARQTQHMELPYLVIGPESVAFDPHGGGPYVSVNDGRILKYQGEGAGWKTFSYSPSYVKNNCSVKPNSELPIDFSQIPIVAEEPLCGRPLGLRFHRESGNLYIADAYMGLMRVGPDGGEATVLVTEADGVPLSFTNGVDIDQVTGDVYFTSSSTTYTRAQHEMVTKTGDSTGRILKFDPHTNKATVLQSNVTYPNGIAISADRTHLVIASTGPCKLLKHWIQGPNAGKSELFADLPGYLDNVRPDLKGGYWVALHREKGELPYGKDSHLLAIRIGAKGEKLQDMRGPKDVRPTEVIEREGGKLFLGSVELSYIKLHASMVTRINGWCTIPAAGEGGRRGAAYGPERARDICHATLRRHYGLAVAYLIDSALLDTREKSTHERRHRARSLSRSLRVSPLLIAALPRATAASMSPDAAFALEIAGVVTIAVLIVAVVAAASSSACASAGRAAVHDVELALGAATLMTYAQAAAAAATMKKNGKASVPSPEPEGKEEAQCCSICLSDYAEGDEMVRVVPACGHFFHAGCDVDRWLRARRTCPLCRGGLLPLPRPECPSMPPRAGGVKRIDTRGRAYVKTKNYFRRSLVEALEHSC >Et_4B_037030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13838199:13840242:1 gene:Et_4B_037030 transcript:Et_4B_037030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIYHDLQWPATSPGFHGFSIGPRVEQQATAALFALNLNESRPILWDWNFDGDSNRGLLAMCNAGNSSALLDVKLWDDETDMLKIQEAVRSVNVEGLPGVHFHCGPSLEPANEYIQSCDIVVFNKIYYYMSHPWLIFGHDVIELNIFNIGIPQRLATDIALDALLPQFYNPESEVASGPAPT >Et_2B_019839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14465283:14472008:1 gene:Et_2B_019839 transcript:Et_2B_019839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPGAARRTTYSLLSQFPDEAPPNVLQRQSSGSSYGAGSSLSGSSDYPFHLQPPASISAAAAAALPAAAAVTGGSACKSWAQQAEETYQLQLALALRLCADAASAADPGFLDPGDSGSGNSRAFPLAQPTPSAEAVSHRLWVNGSLSYRTTIPDGFYLIHGMDPFVWSLCTDAHEESRIPSMESLKSVRPDDSSIQAILIDRRTDFELGMLESYAASLLSSCADAKDVVNQLAKLVSSRMGGTTSNEENLLPRWNECSEAIKSSTGSVVLHLGKLPIGLCKHRSLLFKMLADKVNIPCRVVKGCKYCKSDDASSCLVRFGLEREYLVDLIGDPGQLADPDSFVNGPYSLSVSSPLHPPKFRSLEITSNFSSVAKQYFSDCHSLNLLFSDSSTGATNSSVAASDQHYSKKPVAGDEILNSWVPGKGQPAMKPDIIVPEAPREVLPLITSSNLKLDKKQELALIEGTQQLRNTVSDLSLAADDLIIPWSELVLKEKIGAGSFGTVHRADWHGSDVAVKILMEQDFHPERFREFMREVAIMKSLRHPNIVLFMGAVTEPPNLSIVTEYLSRGSLYKLLHRSGAKEVLDERRRLNMAFDVAKGMNYLHRRSPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSLAGTPEWMAPEVLRDEPSNEKSDIYSFGVILWELMTLQQPWCNLNPAQVVAAVGFKGRRLDIPKDLNPLVAALIESCWINEPWRRPSFANIMETLRPLIKVPVPQLIRSDS >Et_1A_007641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36749540:36754640:-1 gene:Et_1A_007641 transcript:Et_1A_007641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSIDVERISFGGKRFCKVHSVGCKEWPSFSCEMVNFSPQRLLPASSAYEHHIQTNHGPVSVAVYGDLDKPALVTYPDVALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAASISSNVPVPSVDDLADQVADVLDFFGLGTVMCFGVTAGAYILTLFSTKYRERVLGLILVSPLCKAPTWTEWLYSKVMSNLLYYYGMCGLVKDSLLQRYFSKEVRGCSELPESDIVQACRSLLDQRQSMNVWRFVQTMNERYDLTEQLKQLQCRTLIFVGENSQFHTEAVHMTSKLDRRYCALVEVQACGSLVTEEQPHAMLIPMEYFFMGYGLYRPSQLDCSPRSTLNPFCISPELLSPESMGVKLKPIKTRVRLEVLAAVRLCSIATMPPDGIALYEVMIWLANGRSHETMAH >Et_9B_063788.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14198308:14198598:1 gene:Et_9B_063788 transcript:Et_9B_063788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKLEAGRQYLLELGTSRSKANNLMNTSDTAIALEESKVTVVFCMEMDCENRHAFFCCLNEKPVPLCHDSMDTCLSVPRMHSEVPVVVAPPVDDF >Et_4B_036235.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12449353:12449532:1 gene:Et_4B_036235 transcript:Et_4B_036235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPGAGRRGAGSSRPTGAAARPRRGRGRPRRGSSPRSGAGTTTTATTSRPSSPRTTSS >Et_1A_005806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14121857:14126182:-1 gene:Et_1A_005806 transcript:Et_1A_005806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPTSHPLTAPYRLKPFSQVLPFAIPLPAQIPPPFLIFSCSAAPPHPLLLLDLVFPKSNATIKTLAMPPPCSSIFALVFILAVHLPPAAAAKLRPASTSPSYLRISWANNLTLLGSASLLPGAAAVALTTPSRDGIGAGRALFSEPVRLFLPSSTAPASFSTRFTFRITPAPTYGDGLAFLLTSSRTFLGASNGFLGLFPSSSASDEGEADLHGVSTVAVEFDTHRDIALRDPDGNHVALDAGSIFSVASASPGVDLRAGVPITAWVEYRAPRRRLSVWLSYSASRRPDKPALSADVDLSGLLRSYMYAGFSASNGNGAALHVVERWTFRTYGFTNSSQSQAPPPSEPPVPPSKPLALTGGNYYPHRRHFLLFKVLGGVIGGVILLVLVVGASVVCLYKPIRHANQEPTVPCEDQESEDKPHGTLTMDMVRTATKDFSSGNVIGVGGSGATVYEGVLPCGSRVAVKRFETNWPCTKAFLNELTATMSCHQHPNLVKFSGWCCSKDDFVLVYEFMPNGNLDRALHTMGGATLPWEARFKSVLGVASALEYLHHDCDPQIVHRDVKSSNGRFVAEEMRRVLLVGLSCVHPDCRKRPGMRRVFRMLEGSAPLTLVPDKKPPVMLQTQGNQTSSMNTVDTRPYVQFELDKLVASAAQISEQSGVLCYSSLPGLKIFHASAVELALGLRGIGESGTSVDDDDTADSVDVGLAARVFSSGSDSCLLTAK >Et_2A_014958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23354760:23355918:-1 gene:Et_2A_014958 transcript:Et_2A_014958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKKGPWTPEEDLMLVSYVQEHGPGNWRAVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKMQVAGGVGGEKRPAAPKGQWERRLQTDIHTARQALRDALSLEPSTPAKEAPPLLPATPAGSATYASSAENIARLLEGWLRPVAGGKGPEASGSTSTTGTTTQHQQQRPQCSGEGGAASASASQASTPECSTETSKMAGAGAPPAFSMLESWLLDDGMGHGEAGLIDVVPLGDPSEFF >Et_5B_043657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13645310:13645787:1 gene:Et_5B_043657 transcript:Et_5B_043657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSQSAMRGAEEGMHDNVDDRKGKQPIVNCSWAHSKDPVLFRNALEDIVWVVLSEIIYGILNSWSDESSLTVHRLNWRKQLP >Et_7B_053252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15370191:15370460:1 gene:Et_7B_053252 transcript:Et_7B_053252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SIAARAPVNLLAAPVGVRPPFCPALARASWLERVQRRRTDTTGEDAAMQRRRIPRPGHRAAASERGLH >Et_9B_063978.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:8541354:8541635:-1 gene:Et_9B_063978 transcript:Et_9B_063978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHPDYSKQLNFSEEDPSVTNFRVKLKHEMTLVVLHLAKRRYFTGIKEWIEIIISFWFFILHFVTWNFLFLFNINITSRAVALLFPSRKKCI >Et_4A_035385.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22513835:22514302:-1 gene:Et_4A_035385 transcript:Et_4A_035385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLVGLGPNDIVNHHPVQGPAAAASPQEIEGAYTYAAAADVDERRLRRRISNRESARRSRARKQRRLHELRDRVARLEGGRRELAARVEAARGRLAMARLANTGMRAEAAALTRRLAAAARRALVLRHLYAAATAGGCGVGLDIEQTIASLIA >Et_9A_062538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23949177:23952409:1 gene:Et_9A_062538 transcript:Et_9A_062538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSARILLCFFLCVAMASCLLPRHATGQAATVDEGQVLLEIKRAWGDPPALASWTAGTAASRCGGWAHVSCDAASRVATLALPNVTLPAGAVVPDAVGRLTALTALDLSNTSVGGGFPAFLYNCTGLTRVDLSSNQLGGELPADIHRLGTSLTYLALDHNNFTGEIPAALSRLTNLTYLALNENFFTGTIPKELGALTSLETLKLEANPFSLGALPESFKNLTKLKTVWLAQCNLTGEFPSYFTGMPDMEWLDLSTNGFTGSIPPGIWNLTKLQYLYLYDNNLAGDVVISGKAIGATGLIEIDIATNQLSGTIPEGLGSLMSLRVLNLYENNFSGEIPASIAQLRSLLVLKLFTNNLTGSLPAELGKHSPVLRDIEVDDNNLSGPIPEGVCANGKLWIISASNNSLNGSIPASLATCPALISLQLQNNNLSGEVPAELWTETKLITVLLQNNGQLTGTLPEKLFWNLTRLLVDNNRFSGPLPAVSARLQKFHAANNAFSGDIPAGLAAGMPLLQELDLSANRLRRKRRQAEEEWKLIPFQPLEFGEAAVLRGLADENLIGKGGSGRVYRVAYASRTRGGTGGTVAVKRIWTGGKLDGKLEREFESEVNILGHIRHTNIVKLMCCLSRAETKLLVYEYMDFGSLDKWLHGHKWIPAAMARAPLDWPTRVRVAVGAARGLCYMHHECSPPIVHRDLKSSNILLDSELNAKVADFGLARMLAQAGKPDTVSAVAGTFGYMAPECGYTRKVNEKVDVYSFGVVLLELTTGREASDGGEHGSLADWAWRYLQSGKSIADAADKCIRDAGYGDEVEAVFKLGIICTGAQPSTRPTMKDVLQILLRCEQGYQKTLDDKVAEYDAAPLIQVRGGSRRKQFSDAKEIIDDGKADFISSV >Et_4B_039733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2872210:2875617:-1 gene:Et_4B_039733 transcript:Et_4B_039733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRRLCRLPAATKRSAATMAVGIGGVGARPSTAALAAAATAAAAAGRASECQSLLLRMLRRRGASRSEIVSSLLASSSSSSSTPQPQVFDLLIRTYTQSRKPREAFEAFRLLLDHRVPIPASASTALLAALSRAGWPHLAAEAYDLVLSSNSEVNTYTLNIMVHNYCKTLEFDKVDSVISDMEKRYVFPDVVTHNVMVDARFRAGDVDAAMALVDSMVSKGLKPGIVTYNSVLKGLCRNGRLDKAQEVFREMDRCGVATDVRSFNILIGGFCRVGQIEEAVKFYNEMRQRGITPDMAKQNCCTQRKRLES >Et_5B_044788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5820530:5823921:-1 gene:Et_5B_044788 transcript:Et_5B_044788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVKDKALEMSSTVPKSSNIFWHDCPVGKSDRQKLLKQKGCVVWITGLSGSGKSTLACTLGRELHTRGKLAYVLDGDNLRHGLNKDLGFKAEDRVENIRRVGEVAKLFADAGLVCIASLISPYRRDRESCRALLSDNSFIEVFLNMSLELCEARDPKGLYKLARAGKIKGFTGIDDPYEPPLNCEIEIKEVDGVCPSPCDMAGQVVTYLEEKGFLHE >Et_9B_066217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7488026:7489762:-1 gene:Et_9B_066217 transcript:Et_9B_066217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCLSTLLATASILSFFALVPGVASLDTHPLGSSLPVEAFQTNILQSADGTFSCGFYSIYTNAFTFSIWYSKAAEKTIVSSANRDRPVHANRSAITLHKDGNLVLTDYDGTIVWQVDGNFTNVQHAQLLNTGNLIIKDSSGSTVWQSFDSPTDTFLPTQHITATAKLVPTTQSQSPGNYIFRFSDLSILSLIYHVPEVSDIYWPDPDPSPYQDGRNQYNNTRLGVLDNNGMLASSDFADGQPLVASDSGENIKRRLTLDPDGTFASVTAPVKDFNIRKVLDHATQKLIFSVEELTQQLTRELWPSELWAAEEGYKIMTSNFRRYSYQELVKATRNFKIELGRGGSGTVYQGILEDDRQVAVKKLENIKQGKEEFQAELSVIGGLTI >Et_4A_035860.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7468921:7470441:-1 gene:Et_4A_035860 transcript:Et_4A_035860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRWQEEGGGFIQEDVAMRGSQKKSFPLSCPHRRMCFISSSLLRRRFAVIRSLCSPIVAPGTTSSSSSSPLPGCGAAAALSADAAASAAIAVVVDPAQRLTGGGLGAASLIASQEVAAAAPGSAPTNLLMTSAERCTRAPPPRACGCVLTFTSTTVPLSTTSTSSSSSSSSSSSFSSSGSSTWWPRLLSSATGGGAAVSANERSRRPPLRCARNRVGDGTGTAWTTRRPSLRCRLICTAPPTVRRASDGSGGGNALGGGGAAAGARAASEATLAPLPSSSSSSERSVAPSASEKPSEPVSEPRLSVQISRLFCVSRLDERRTSGCTYGAAFALAGGFCCAWIMFHTASRSGRSPSPPWSVSFSITAAFSAAGAAEATLSPPPCSTAPWHGWSCSVVSNTVSAMSSSFRFLASDTELGSYCHLARLVAGSVTGYPEKRLYLLLQLARARVCLSFVACYVLRPRGTGGTEQRAEHRKHAVTRGSRAGSRTKPGAEHAAAQYKRSRAV >Et_6B_048521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10141906:10149652:1 gene:Et_6B_048521 transcript:Et_6B_048521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLATPAPEMTAEQEMVHIRDITVAAEAEAKEGDTFFLITTRYGGGPTLPRKAINSGFSQTDLAIEVYPLRLQLLLMPKGERAVIRISKKILVEITADANGSLDGGCMSLVKGNDFFEQESDSMLGFSNENLSTRNYASKNYSSGLTQSLYLRSSNGDLDNVHGTSAMTSKGQPVGLTGLLNLGNTCYMNSAIQCLVHTPEFAAYFCQDYHREINRQNPLGNVGELAVAFGDLLRKLWTPSRSPVSPRPFKIKLSRFASQFSGCNQHDSQELLAFLLDGLHEDLNRVKHRPYINSFDTDGRSDEEVADEYWANHIARNNSIIVDVCQGQYKSTLVCPVCAKVSVTFDPFMYLSLPLQFASNRSMTVVVFSCDGSAPPTPFTVSVPKQGRCRDLLQALSNACSLRNGEKLLIAEIRNHKIYQFLDDPVLQLSTISDDNHLAVYRLSKLEKRANYIQFVHRREDLDHGNNSSLASWKPYGVPLLAQIPRNETVSGFVIHEYIGKMLVPMLRNQDSQHSAVQSSVSTRTQSYHSDSSKFQLYLLDDSNSVIEKSVDPIRVPQSSVAAVFFINWSQADLKKIDTHHLENLPEVFKFAPPAKRTRGEPLSLYACLDAFLREEPLVPEEMCKEQRQASKKLDLWRLPEVLVIHLKRFSFSRSTKQKLETFVNFPIHDLDLTNYIANKKSSERQIYELYAVSNHYGSMASGHYTAHIKLLQQNRWYNFDDSQVVAIDEEEVRSAAAYVLFYRRVRGQGGADSNGTQLYVKRHRSGHR >Et_6B_049797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12394776:12397719:-1 gene:Et_6B_049797 transcript:Et_6B_049797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNLLWMQEDGGQEEGQEHQPQQHAPPPPAMGPGLMPPTHGQEDQNLLALASAAGSVGFRAPGMLEDDWFFDPAPAPAAAGAGAPGSLFLAPPGQVGGSQMFSLFNMGGAAPFDVVHGFDLGLSGGGGDLASIAGAGSASNASSLSLSAGFLGSFGGFGTAPAQMPEFAGLGGGFDVFGNGAGSSSAPPPAPASLTAPFAARGKPAVLRPLEIFPPVGAKPTLFQKRALRRNAGEEEDDKKRKAAAAAAMAAGASSGGGGGDTMLDDGDDDGLSIDASGMNYDSEDGKGDEDNGKKDVKDNSTVTAGGAGDGKGKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQKINDLQNELESSPSTASLPPTPTSFHPLTPTLPTLPSRVKEELCPSALPSPTAQQPSVEVRMREGRAVNIHMFCARRPGLLLSAMRAIENLGLDVQQAVISCFNGFSLDVFKAELCNEGQGLLPEEIKAVLLQSVGFRGTI >Et_7A_051801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24900052:24903606:-1 gene:Et_7A_051801 transcript:Et_7A_051801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHLLLPVLLLHLLFAAVSSASSASNSEVAFLTSWLNTTASRPPDWSPAAASPCNWSHVSCDGVAGGGAVTSVSFQSVHLGVTVPSGLCAALPGLVSFVVSDANLTGTVPDDLWRCRRLAVLDLSGNALTGPVPASLGNASALETLALNSNQLSGPIPVELGKSLRNLLLFDNHLSGELPPSLGDLRLLESLRAGGNRDLSGPIPESLSQLSNLVVLGLADTKISGPLPASLGQLQSLETLSVYTASLSGPIPAELGNCSSLTYIYLYENALSGPLPPSLGFLPQLQKLLLWQNALTGPIPETFGNLTSLTSLDLSINSISGAIPASLGRLPALQDLMLSDNNITGTIPAALANATALVQLQLDTNEISGLIPPELGTRLSNLEVLFAWQNQLEGAIPATLASLASLQALDLSHNHLTGPIPAGLFALRNLTKLLLLSNDLSGAIPPEVGKASSLVRLRLGGNRLAGTIPAAVAGMRSVTFLDLASNRLAGVVPAELGNCSQLQMLDLSNNSLTGTLPETLADVHGLQEIDVSRNRLTGPVPDALGRLDTLSRLVLAGNSLSGPIPPALGQCRSLELLDLSDNEFTGGIPDELCGLDALNIALNLSRNGLTGPVPPKISALSKLSVLDLSYNALTGDLAPLAVGLDNLVTLNVSNNNFSGYLPDTKLFRQMSASSLAGNAGLCTKGGDVCFVSVDANGRPVSSTGEGDASRVHRLKLAIALLVTATVAMVLGMVGILRARRMGIGGAKGGGGGSDSESGGGGGSSDLAWPWQFTPFQKLSFSVDQVVRSLVDANIIGKGCSGVVYRVSIDTGEVIAVKKLWPHAAPCGKDHDVTSGRGVRDSFSTEVRTLGCIRHKNIVRFLGCCWNRTTRLLMYDYMPNGSLGAVLHERRSGGGAAQLEWDVRYRIVLGAAQGLAYLHHDCVPPIVHRDIKANNILIGLDFEAYIADFGLAKLVEDGDFGRSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGLHVVDWVRRCKGAADVLDPALQGRNSAEVEEMLQVMGVALLCVSPTPDDRPTMKDVAAMLKEIRLEREDFANVDVLLKGGAASPPHALPAAMPTKATSSTSSTPPYRQGLPGNNSHSNSGSSSSFSAIYASSKAKTTFG >Et_4A_032319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29762472:29763659:1 gene:Et_4A_032319 transcript:Et_4A_032319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHALIVTSGIVPDVFVSTELIRVYGECGDLSVSRRVFDEMPRRDVVSWNTVIAGYCVAGRCREALGLFRQMVSHSSCPVRPNGPTMSTVLTACVGAGCMETGIWVHAYIDRNRMNDDGSLDRSLIDMYAKCGSIDKALQVFEKAPGKRDLYSWTTVICGLAMHGRAADALRMFEMMQDNGIQPDDVTLVGVLNACAHGGLVDEGLCYFHSMEKKYAIAPKIQHYGCMIDLLGRVGRLKEAYNMIRTMPMKPNAVIWGALLSACKVHSNVELGEVAAAEVGRLEPGDPWARVMLSSMYAKAQDWSSLARERRKMNSLQMKKTPGCSSVELDGEVHEFVAGGFQHPRLPEICTILENVEAQTYAG >Et_2B_021943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7179542:7188537:1 gene:Et_2B_021943 transcript:Et_2B_021943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RVVVSRDDIISALGRLGFSDNVATLSLFLRRYCESQGLCHPQQAPPAVAAAPVEIQQQPELDLTLGFALPGPRDVRELGLHEDVYKLWHGAAGRPMPPAGTEAGKEITKIPMSILARLMHHVMPLNTKINMDTKEGMSQCVSEFSAVLIREARQVAREDSRVVVSGDDIISALGRLGFSDYVALLSLFLRRYCVSQGIVPRAWKAELPQQQPEPDLTLGLALPGPCDVTELGMQEDVYKLWPGAAGSMPPAGGDQ >Et_7B_053684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11078504:11083855:1 gene:Et_7B_053684 transcript:Et_7B_053684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMMMVMAWCSIWIGAGDVGNVYFGPNHPMKPHRLCMTHHLVLSYDLHKKMEIYRPHKAYPTELAQFHSADYVEFLHRITPDTQHLYANNLGEDCPVFDNLFEFCQIYAGGTLDAARRLNHKMVMTVSFHKYGDLFFPGTGDIKDIGEREGKYYAINIPLKDGIDDTSFTRLFKTVIAKVVETYLPGAIVLQCGADSLARDRLGCFNLSIEGHAECVKFVKKFNIPLLVTGGGGYTKENVARCWAVETGVLLDTELPNEIPDNEYIEYFAPDYTLKVPNLNMDNLNSKTYLSSIKVQVMESLRSIQHAPGVQMHEVPPDFYIPEIDEDELDPDERVDQHTQDKQIHRDDEYYEGDNDNDHDDGVR >Et_7B_055582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15426838:15431168:1 gene:Et_7B_055582 transcript:Et_7B_055582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTKLVTAPHRARLHALLCLACMLRRAEPQFLASSSAALARELPLWPPSCGSPALPDHDPSPATARQRDTQPAALFPRGGDTARAARNMFDGTPRRAAVSWNATIAGHARRGSVGDALGVAGRMHRAGAELTEATFASVLGACARGRLFREGAQAHCQAVKSGHEGSAVVGASLLDFYSSCFDLRASRTVFETLHPRMALLWSPMVVALVRFGLLREALDFLELTPAPRDVFAWTAVISGYAKGAYECCGKALELFVRLVADDGDMPNEYTYDSVLRACVRLKALDFGRSVHGCLIRSGYETEQLITSALIDLYCSSDALDDALLVYNGMEMPSLITSNTLIAGLISMGRTKDARMVFSGMPEHDSGSYNLMIKAFATEGRLEDCQRIFEKMPRRNMVSLNSMMSVFLQNGRLEEGLKLFEQIKDEKDTITWNSMISGYIQNDQPSEALKLFVEMCRLSIACSPSTFSALLHACASIGTLEQGKMVHATLCKSSFETNGHVGTALADMYFKCGCVRDARWAFAFMTSPNIASWTSLINGLAQNGHWLEALVQFGRMLRHHVSPNEITFLGLLMASARAGLVDKGMKIFHSMKNYGLVPTVEHYTCAIDLLGRTGRIREAEKFISEMPVPPDGVVWGALLTACWYSMDLEMGEKVAQKLFCMGTKHRSAYIAMSNIYAKLGKWEDVVKCLEASTAFQTFPALGALLRKHPPTSPVPTPSPTPSELSRSTRR >Et_1B_011961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27547963:27548527:-1 gene:Et_1B_011961 transcript:Et_1B_011961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTLHAFCGPSAADHKLYLVVHGTGLGNFHHLITDRMYIGADGQTTTSEQMYFEIFVMQRTHTTHACKSNNTTNYDSLNSCFSLQHRTHDWVQSFIANCEKALRNDSSGHLKDYPSTFCTAECTDTSTPPVNNNAHMELEIDMLIKILNTPATNGN >Et_6A_048013.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:3465999:3467258:1 gene:Et_6A_048013 transcript:Et_6A_048013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATEYQGTPTHSHSSPFSFGRSLFSLRRDAPASSPDSMPPPPASAEDADLDAFQRSLAARLADLRDGGGELEFLSAAWIRRLLEAFVACQEEFRAAAAAAHARRRGVSHAEKLVAEFGERAVKALDVCNAARDGLDQARRWERLAGIAASALLAPGEVHEGQLRRARKALSDLSVLLVDDAAAAAGPGGGGVAAFLASHRNRSFGRARASPSRAASLASTSSSSSSSHFRSLSWSVSRTWSAARQLQAISAGLAAPRAHEAGLPAPAYAMGCLLHLAAWALVAAVPCPDRAAALQAHHLPAAAPPRAAFPWAPPLLALQERLAEEAKRKDRRNSCGLLGEIHALEKCAQRLAEAIDAAPVPLAGDREAEVREAAAELAAACAAMRDGLEPLERQVREVFHRIVRSRMDGLDSPMINGD >Et_8B_058929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11066043:11075091:-1 gene:Et_8B_058929 transcript:Et_8B_058929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEAIGGGSRLRALRFALVVVVAVAVASAEFVDAGAAAAITRRDFPEGFVFGAGSSAFQVEGAWDEDGKKPSIWDTYAHAGHAPNHATADVAADQYHKYKEDVKLMHETGLEAYRFSIAWTRIIPGGRGAVNPKGLEYYNNLIDELLSYGIQPHATIYHFDFPQALQDEYNGLLSPRFVDDFTAYADVCFRSFGDRVKHWTTLNEPNIEPLGGYDIGSLPPQRCSSPFGENCAGGNSTTEPYIVAHHLLLAHASAVSLYRDKYQAVQGGQIGLTLLAFRFEPWTQKPKDVAAAERVTDFFIGWFMHPLVYGDYPLVMRRNAGSKLPTFTAEESMRVRGSFDFMGINHYGAIYVEADLSKLKQALRDYTGDIAAKFVTSGHKPDPSGGCVYDDEFRSHYLQEDVKLMHQMGLDAYRFSIAWTRIIPDGRGAVNPKGLEYYNNLIDELLSYGIQPHATIYHFDLPQALQDEYNGLLSPRFIDDFTAYAEVCFLNFGDRVKHWTTLNEPNIEPLGAYDEGFLPPRRCSSPFGYPCTGGNSTTEPYIVGHHLLLAHAAAVSLYREKYQAVQGGQIGLTLLAYWMESFTQKPKDVAAAGRTADFSIGWFMHPLVYGDYPAVMRRNAGSKLPRLTDEQSARVQGSFDFVGINQYGALYVESDLSQLKQPLRDFYSDTAAKFITRAGHEPDPSGKFMYDDKFRSHYLQVYIEATLHSIRNGSNVRGYFVWSFLDVFEVLFAYRFLFGLYGVDFGSEGRTRYARQSARWYAGFLHGGNLCPAMPSPSSIRAYSE >Et_3A_025445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:286986:290491:1 gene:Et_3A_025445 transcript:Et_3A_025445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPPGSRSPARHEENAFQFVSNLSICIPDRPFSSFSVPWCLNPQRLGMEAQVALKKVMEFKYNVMEKELKKLSHKVNHHEGNIKFLKSELNAIEETCIDLGIKLGNYHSSAAASANNDTSQEAEERTIQSILHLDKTAAGIICQLKVHHHEAASKMPLMKNILGIVATLGKVNDDNLSRLLSEYLGMDNMLALVCKTSDGVKGLEKYDQDGSIDKNRGVHGLGRTIGKFLDGRFTVFCLENSRPFLGNVNTDDPQRKLILEKPRLPNGESPPGFLDFAVNMIHLDREHLSCLTASGNGLRETLFYSLFSHLQVYKTRADIECALPFINDGAISLDGGIWRPNGSFCLGDSKNLEVKFAVSLGVSSLPIHISDMEEQLQLKNWEKERLLEDMKREENLLTQVKELYSKQKEELMDYLTKPTVAQTAHDSPTIRSPATPGSNPFGPKPSRMR >Et_5A_042167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:761216:764864:1 gene:Et_5A_042167 transcript:Et_5A_042167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRTLVEGLDLVDCCRPASPIAGKIFLRMAGSSGVGQFVAEGSFSSVVWLDLPALVVALAPLPEHDLGAVAHAAVPLVAVEGVEVEVDIVHHPDAAEAVADVAALRPQHGLVQVVRVVRHQPNLEAYRVGLAKGVLDSLPCCLVHQSKGRVAFLVLDGGAPSHVLDPVLQLPQDSYNHKFHLSMSKHLGGKKGSQAEEEYVPGSRPWGWPGQGQPCRSGWTWRSLGWRGSTPSRWAAAAAGDRSRCPRCSPETSRTAPAARTPAWRWRRHSRRSSHARSRPWSGEQRLICASTCSGSCSLTRHCMATGLGIYRALADAAFHWCLALTSRYHCCRRL >Et_5B_043264.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20888423:20888683:-1 gene:Et_5B_043264 transcript:Et_5B_043264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTYGTAAEASEVGVCYGRVAKDLPDPASVAQLLQRNGITMVRMFDADPVVLASLANTGIEVMVALPNEGDNLTSAAASAAFALD >Et_9B_063992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:145711:147907:1 gene:Et_9B_063992 transcript:Et_9B_063992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKNKDPPPSIQDATDRISKRGDTVDEKIKKLDAELARYKDQIKKTRPGPAQEAVKARAMRVLKQRRMYEGQRDMLYNQTYNLDQVSFAAEGLKDAQQTMTAMKAANKELKGMMKTVKLEDIDSMQDEMMDLMDVSNEIQETLGRSYNVPDDIDEEELMGELDALEADMDFESESVPSYLQPDKEPEQDSELNLPAAPTGHAAQPNRQQQVDELGLPTVPQASIRS >Et_3B_027876.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24587019:24587411:-1 gene:Et_3B_027876 transcript:Et_3B_027876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEDAKASLLAANARFYNAFKNGDIAAMYSIWAKGDHVYVVHPAAARISGYDVVMQSWEMVCNADYEFPLNIDLKNIEAHVRGNLGYVTCLEIVKTKGRTWGKQIATNIFEKVNGTWLMCAHHASHVEE >Et_3A_025339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29005951:29008906:1 gene:Et_3A_025339 transcript:Et_3A_025339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQARPGPVTHNLPCLTAGGDQSIRPASQQTTSISAGPSSLGLPSFVLHLARIQSLYLRQSSRNPAPLARQHHSSAASSSSSSAFAALLLRPCFVGWEGTREAGPPPSAKATRYPPHPPPVAFAASSATDSVPWASKVLGEDRMMTGRSGGGRDAEGEWEVRPGGMLVQRRDGGDAGPAIRVRVSHGAALRDVAVPAQATFGELKRIVAQATGVEPERQRLFFRGREKSDSEFLHAAGVKGGAKLLLLEKPVPASVEQKAEPVIMDESMMKACEAVGRVRAEVDKLSAKVCDLEKNVLAGRKLEDKEFVVLTELLMMQLLKLDGIEAEGEARAQRKAEVRRIQSLVETLDKLKARNANPFSDHNKAVSVTTQWETFENGMGSLSAPPPRVSSTQVDTDWEQFD >Et_2A_017758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:588731:591451:1 gene:Et_2A_017758 transcript:Et_2A_017758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATSDARVLIQSLSTAYAATPTNLKIIDLYVVFAVATALVQVAYMGLVGTFPFNSFLSGVLSCIGTAVLAVCLRIQVNKDNKEFKDLPPERAFADFVLCNLVLHLCKLWTEELKTNTSTKSIMLSKTKPEEGQ >Et_8A_058430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7654840:7662102:1 gene:Et_8A_058430 transcript:Et_8A_058430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGIEAARWVVSKALGPASGGVLEAWAASSELGHNIRELRMELLYAHGVLNNARGRGRAQEIQNPALTELLQELRGLGYMADDVMDELEYFRIQDELDGTYHAADEHGGGCLRNQALNARHTARAIAKKLVGFSKSSGSADSHEEEARRVSCGALSACLKPSSPAADDDDDQEEEDATQSRAVLCGAFRPCGSRASSTNASPSNQEQDGCMTRLSSCVRNTISTPGKHLSNYPPAPPQREHVIPKLKFDKVDMSRRMKEIVEQLKPVCAKVSTILNLELLDSNRNTAQAIAASLNAKTNGHTSLLTNSNRSRPVTTSEPVEPKLYGREVMKSNIIRDITEGEYCDKELTVLPIVGPGGIGKTTLAQYIYKELQNHFDVKLWLCGSLYIENLGKVESREEAAEAKLMHKKHLHKLILDWDTNPFSKDPAREEEVIEGLKPNSNLSEIYIMGHGGLGVVEQEAMTRLGALAAGRLVPWSLDGQFPIEVQDNTREEEEEEEEEEEEEEEEEEEEIIIIAQAEDGLLLLPPQLQALQIGNCPELSLRPDHSAGGLQGLHSLRSLIILACPMFLSLYLASSASSCSPFPTSLQELYISGLSLTVEGKTASLSNLASLTELYIGECEGCEGFWRHLPRGCLTRFSVLRTSSFFLVDPPWLPSSQLQQDHDGLPPPFWLPQTLDTDDVTGFLAAPICSLLSSSLTELGLYGYDLGIESFTKDQGEALQLLTSSRSSGMRGLPRLKKLFILDCHAIRTLPVDGFPNSLHALWVLRCPAIVLPPKGALPNSLQTLEIRFCEAIRSLPKDGLPNSLHELVIDGCPAIRTLPKGGLPSSLRRLNVQHCGNEELKRHCRKLIGTIPIVEFLRDIHSDIASNALGNCLRF >Et_2A_017288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32877888:32879667:1 gene:Et_2A_017288 transcript:Et_2A_017288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKAAANCSVREDSLLTSPTLKAFLLMVMLVYLVKILLISPRRRKSACIAPLPPGPVPWPVVGNLPEMLLTEKPAFRWIHSLMRETAGAEDIACVKLGGVHVIAIACPKIAREVLTRHDATFASRPRTFAFRTFSGGYRSAVLSPHGDQWRKMRRVLAAGVASPSRHRWLHDKRAGEADHLTRYVYNLATASAKSGGGGAVDVRHVARHYCGNVVRRLVFSRRYFGEPRADGGPGPMEVDHVDAVFASLGLLYGFCVSDFLPWLLGLDLDGQERSVREANETVNSLGAWLQEIAFAAVDNPSNAVEWALAELVSNNPEALAKAVAELDRVVGRDRLVQESDIPRLNYAKACIREAFRLHPVAPFNVALADATVAGYRVPEGSHVLLSRFGLGRNPAVWDEPLRFDPDRHIIADDPKTEEVTLAENDLRFISFSTGRRGCIAAPLGTAMSVMLFGRLLHGFTWSKPAGVEAVDLSESRHAMFMDKPLVLHAEPRLPAHLYPSISN >Et_3B_030770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7227248:7229009:1 gene:Et_3B_030770 transcript:Et_3B_030770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRNVGVAVDFSSCSKAALRWASINLARNGDKLILIHVNNSHQNEQGAVHLWEQSGSPLIPLTEFSDPHVTKTYGVSPDKETIEILTQVANQRGIEVFAKIIYGDPAKKLQEAVDLVPLNCLVVGSRGLSTLKKGSDGKREHLYGGPCRLPRHSCEGEHLAARTI >Et_3A_026711.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:16110367:16111431:1 gene:Et_3A_026711 transcript:Et_3A_026711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLRRAALAAAAAAGGLSSAAWWWTTSSSSFPLFRVGSPSSCPSTPDPAAATTGDDHPALVRHAALPAAASGDLSPAVPWTTSSPAPLFRFGAPSSPPSPRDPAAATTGDGHLALVRAHPRLRDLNAMLTQDDFLVDATQALLAAALRHAPLCPEALRQGRDLFAAQIQSADSDGGGGGGDEEQAAAARMNTAFLDARDGRHEDALEALARLDAERPGLLAPRLHIFAAAFCYMLGRPEDGRRWLRDAGVPDLSRVEHKRVFLEAVMIASQGRTPRVAAASEELVLVTLHAMVEYAMWSVFKEGDLSERIQVLALMAFLRGAVARRRKLLIREEAAPPRLDGSQDATPPQAS >Et_2A_017992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8787402:8817208:1 gene:Et_2A_017992 transcript:Et_2A_017992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYAFTVFTENESFFVHVITPASGRRSGNTVQIHRRACRTAGEHMPSTLDHYTTVLRRDHHRVQSIHRRLSASNSTTTVPARLGLPFHTMEYVVTIGIGTPRQNLTLLFDTGSDLTWVQCKPCNTGSCYPQEEPLFDPSSSTTYAGVGCSSAQCQIGGGQETGCRGASCRYSVTYGDSSEDSGSLALDTFHVAPNAPQANGVVFGCSDTASGFSDMTVAGLLGLGRGDSSVVSQTKQSYKGGVFSYCLPAHGSSAGYLTFGAPDQSSPANMSFTPLINPSDPRLSSFYMVDLAGISVNGAGLPIPAGTFSSGTIVDSGTVITRIPAAAYHPLRDEFRRQMAGKGYAMLPEGSVEGLDTCYDLTGHDFVMVPPVALEFAGGGGARIDVDPSGILLVVDASGTPLACLAFLPMEVDAFSAIIGNMQQRAYNHILAGISVNGEGLTIPAGTFSSGTIVDSGTVVTRIPTAAYGPLRDEFRRQMAGKGYAMLPEESVEGFDTCYDLTGHDVVMFAGGGARIDVDPSGILLVVDASGMPLACLAFMPMEVDAFSAIIGNMQQRAYNVLFDVPDGRLGFEPNGCTVPSLLFCLVLLLSPYLGLSYHTSYTHGGRHFVLRSNSDPKQPSLPCSAIHAGRQSGSDGLPLLHRSNPCSLLADAENQKAPSVEDVLRRDAARLSVLIGDDPVPQSAVASAPAPAPSSREVDLPTRADPLRSLPGAYEYHVVAGFGTPVQNFTVGFDTFTHGATLLQCKPCAAFPEPCTNSFDPSKSSSLAQVPCGSPDCPLRGCSSGSSCSASFSFNGTVVGNATVVTDTLTLSPSATFENLRFACLEGGIRSRDSSSGILDLSRNSHSLASRVPHSFFTVAFTYCLPSSSSSSAGFLRLGTIRPGLLSPHNVSYTPIRNRVVDGDLYLLGLGGLGLGGPDLGGVPPSAFVGDSVLDLHTTFTYLKPEAYTALRDGFRRWMSGYRAAPPRAGLDTCYDFTGLKIIVAPVITLKFGGGASVDLSIDQMMYFQDPDNAFSVGCLAFLPMPPDTRLVSVIGTLAQKSVEVVYDVHGGQVGFLPRGRPPPRRCPPKRPHRRQYREPVHGLRTRAVVIRSGCPPSRGDPLRSLPGAFEYHVVAGFGTPVQNFTVGFDTATHGATLLQCRPCATFPEPCTNTVVRAVQVVVPGPSALRLAGLPAPCFVPSCSLGLRFNSTFLGNATFVTDTLTFSRSSTFPDFRFVCLEAGLRTSDSSSGILDLSRNSHTLATRVPHSILTVAFTYYLPSSPASTSGIWATTDVSYTPIRSNATADGDLYFVGLAGLGLGGPDLGVPPSAFAGDSLLDLHTTSTYLKPEVYTALRDGFRKWMSGYRAAPPRGELDTCYDFTGLKIIVTPVITLKFDGGASLDLDIRQMMYFDDPDNDFSVGCLAFAPASDDSRAAFVIGNLAQKTTEVVCDVHAGQRSLVVLFVLFLLCGNSLVLAKRRYLSVNMGDLVNSKAHVECPPNKKSVTTSGDKVTIPAACGLPPNCRLPPGCGSDGNSLGRDVLNHDIRRLSTLLERASITQGSAVPSAGAGPAPAISFPPPAPPSVPGIPTAPPVAPPAEAPSVTIPDSSGTSLNTLEFVVTVGFGTPARPYSVIFDTGSDLSWIQCKPCSGHCYRQRDPLFDPSNSSTYAAVSCGDPMCAASHGPCKGASCFYSVRYGDSSSSSGVLSRDTLTLTSSRTLPNFTFGCGQDNLGAFGEVDGLIGLGRGELSLASQAAASSLGATFSYCLPSSDKSHGFLTIGSTPVSGKVQYTAMVKKQEYPSFYFVELVSIDIGGFVLPVPPTVFTSTGTLLDSGTILTYLPEQAYTSLRDRFRFVMKGYKPAPAYDVLETCYDFTGQSAIIIPAVSFKFSDGAVFHLDFFGVLVFPEKPIVGCLAFVPKPSMMPFTIFGNIQQRSAEVIYDVAAEKIGCSGVALVTALQQERNFEVVPTASFQSSQASCSRAPRATSHGRSSRAFMPLAHRHGPCSPVSEDEVSLAETLRRDLARTQYITRRASRSKQQLLQNNDAVSIPTQLGSAFDSAQTLAAGINGNGCNSNSECSFQITYGSRANLTGVYSTDALTLAPGAVVESFHFGCGGNQHGPCDKYDGILGLGRLPESLAWQAPSSGGVFSHCLPPTGNAATGFLALGAPANTSGFAFTPLLTMDEQPWFYQMMLTGISVGGELLDIPTAVFSEGVITDSGTVVTALQETAYAAVRAAFRHAMAGYPLAPLVGHLDTCCNFTGFDNVTVPAVSLTFRGGATVDLDAASGVMLDGCLAFWGTGGDNYTGVIGNVNQRTIEVLYDMPGGSRCSHSIVRWFAEFAGSLVLWRHRQRKATLNSNLTAQ >Et_9B_065517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:650960:651526:-1 gene:Et_9B_065517 transcript:Et_9B_065517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIHLFPFFPWSRAKPDHSSKKEKLKETSMDAAAAATTVAAGDEEEDQARLTSIAEGLQNRDARPSDARGSCYSALAYRPVRFASSV >Et_5B_044087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19845546:19849057:1 gene:Et_5B_044087 transcript:Et_5B_044087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPQRHRLGSPPVPARLHDDLIAAEILARVPPDDPALLLRAAATCRALRDLLADRAFLRRHRDLHGGAAPMLGFAPTPRGSSLPPPSARARPITRIWSRALDARHGRVLLYRSDDEALVVWNPITDQQRELPFPDLEFIYWNAAVFCAAAAARGSCDHLGCCNGGPFLVAFVGSTCDGIAYASTYSSEAAAWSDTITGVEPNVFPENGKPATLVGNKLYFAPRRSKDRILEFDLDRRQLSSIKPPRGTGRPTSCVLMPADGGGLGFAGIYGIGPHLVVYTRKAGSDGTLAWEPRIGIDLNGRFHSSQMPPAVVGYAYAEGLGAILLRTDAGVFAIDFRTRQIRKVSRRVLNTRSVIPYSYRVACTAQKVKSPEPDSSRTMVAAAELVDDLVAEILLRLPPDEPAGLVRASLVCKPWRRLLTDRAFLRRYREFHRAPPMLGFFHNSRFGPNFVPTASEPPWPGLRGFDWSGCRVVGCRYGRVLLDGPEERNLLVWNPVTGDRKLLHTPGIHVWCRAHSAAVLCAAEDGCDHLDCHDHTFAVVLVSSDLQVTEACVYSSESGSWSAPASVHHWAGTIHVDWCSAGVPAGDNGIFFATHERNKIVKYSLGQHCLSVIDVPSAGTWTVCSCLWKMVRLASQPLRIPAFAYG >Et_8B_060039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5294329:5301709:1 gene:Et_8B_060039 transcript:Et_8B_060039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEAPLGALNVAEYAPAGARTVDCYRRIRKIGEGTYGEVFEAVDIITGERAALKKIKLDDGKEGFPRQILREIKLLKKLDHENIIRLKEIVVSPDDHIYRGDIYMVFEYMDHDLKKVLHHSIPSQVKIYMRQLLKGLNYCHINNVLHRDIKGANLLLSGGKLLKLADFGLARPFTRDGRLTNHVITLWYRPPELLLGATNYAEAVDIWSVGCIFAEFLLKKPLFPGRTEQDQLSKIFELCGSPNEEIWPGVSNLPLYKTMTIHPVTPTKRPLRDMLQNFDRHAVELIERMLILNPAQKCAELVRYALLLVLLTVDTLKLLALSSDLLVSIARS >Et_7B_055341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9567632:9570101:1 gene:Et_7B_055341 transcript:Et_7B_055341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAFADAQAQPTAWALAAAGLLVCARAATRLALWLYAAFVRPARPLRRRYGEWAVVAGATDGIGRALAHRLAAADLGLVLVGRSPDKLAAVAAEVKSARPGTRVRTFALDFAADSEGGLAAKVDALADSLRGLDVGVLVNSAGGCYPFARYFHEVDEALARDLVRLNVEALTRVTRAVIPGMLERGRGAVVNIGSGASAILPSYPLYTVYAATKAYVEQFSRTLYVEYRSKGIDVQCQVPMYVATKMASIRNPGFFSPSPEAYARAAVRYIGYEPVCTPYWTHAVLWFLISLLPEPVADKIFLDMALDIRAKGRAKEARKKAQ >Et_10A_000185.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:16009166:16009294:-1 gene:Et_10A_000185 transcript:Et_10A_000185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPWETAVCIARNVCVLLDVWISTCVLTADEAARLLRSVTD >Et_4B_039951.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:6630655:6631656:-1 gene:Et_4B_039951 transcript:Et_4B_039951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVSCIVVCLSVAFLLPSHATASVPAGTLERVTKQQILAGIPPHWDENPVLFLTSPSGKYAAYFTRTQTAPGAGGLGADFCYVEVLDTSAPGETGLSVWESECMAVSTVNTCALVFSWNGLEVFDGSTSVWHTHDARSDSHGFLQALQLVDQGDMRILDKGGELAWKASDEPRAAQRCGMPGSPGLASALPPFAEPIGHGSSDLPFGVGGGNGVGGVAQPGLPLAAPSPLEADQYGAGGVAPLPDLPLAPSPMDADQYGAGSVAPFPQEAGPFGGAVAGQGQAVENVGQTFGFGNQPLVDNSPYDSGALKHGCSFGGFAVALGLSVAVAMGL >Et_5B_045052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:885519:891493:1 gene:Et_5B_045052 transcript:Et_5B_045052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRCMNPACGAPAPGPGGGGDWRKGWPLRSGGFALLCDKCGLAYEQLVFCDIFHQKESGWRDCSFCGKRLHCGCIASKNSYDLLDGGGVQCVTCMKNSAAHSVSGQGTPKLFPSQNSLQFFGKSDELLLGRKFDQSPSIMVDTRNDDVTIINKNSHPFMLRNIEIGQSSNFLRQKEIENGSRQIKWEQPTLSIGDIGKMPFLTRTQSALESPQCVRRDDSKDPTTDSTTSESLSEACLSMSLSIANNGSRMEATSIVERPILSPTTAMAEGRELTTSLSPFQHAQRARNFLTRPPRVGEGAAFDPTRDVFSHLRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGRPLTIQDARGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRIEPGGKLVMGFRKATNTVSLPDSQISAIANGSLLSETLFSSANENLSVVSGYPGFIQSIKGAADLHSSSLYDHHVNSADGDVSWLKTDKFGSRPDEGSLRFLQKRSRNIGSKSRRFLMDAEDALELKLTWEEAQELLRPAPTAKPTVVTIEDYEFEEYDEPPVFAKRSIFTVRTTGEQDQWIQCDDCSKWRRLPLNVIVASKWTCTDNSWDPKSSSCSAPEELTPKELQSVLQQYEEMRRRKGSSYGLKLNVAEMDASSLDALATAAVYGEVGNQGTAVATTTKHPRHRPGCTCIVCIQPPSGKGPKHNPSCTCNVCMTVKRRFKTLMMRKKQRQSEREEAEASKKIQWMNRDEPEGSGLSRSPQTLDTTRDSDVTMFDKVPETNKGHIDLNFHPTVRDDQEQHGSQPRPVSMMGLLEVATRPLENYMKQNGLTSLAGEQGGSPSTVTATPAPVESEERTSNENRVTSVEREREPDAMAIDEAGENQQEKAADDAAAAATATAT >Et_1B_012161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29580072:29582034:1 gene:Et_1B_012161 transcript:Et_1B_012161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASSSDPGDEAPHKRVHHHVSHREDDERDDVPGRLPSPAGAGSVRAQEAEQVQHDCGVGHHEPPSVLLQPLRQQQEDDDDGRHEGGGVAVEEEEVPQPPVGAVHRVLGLPLRRRWSAGRGRSPAGRRRRRAGRAATRPRSQSPGVLSPDTYVTLTANVASSINQHLARPLAEHLAVAGGGGAAASPIWRRREQGLDDEATTETTRQRAVDGGDLVAAGAGGVGAEEAEQVEHHDHAQGQERAAPLHGRVAALVEDEEEEDDHHQRRRERGGVAVEEDQVPRAGSACVSRMMGSPARWPPASVSCHTPPGGLNAAECQRRCHGGHQQQVLPQGVLPLLVGLVPRHCWGAAIRRPRYRERACSAAHGGPRQRRRRLLPWRRRQLRPRVAAARRCSASHIAAKFRQPGTIVPTAKTIPVIAGTTATAREADGSGVRGGGGGGGRGGDGDAGDGSAS >Et_5B_044421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2438547:2440038:1 gene:Et_5B_044421 transcript:Et_5B_044421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIRDYIDRNRSIIFYRTRDQCQTLTREDPYLVLTGPTRAVMMRVSDSAIIEVELTVKGATKSEDKYFSFLVAQVTFGNREESALLNYSFTIKLSTLEFKIGHIVSSVEATIFVTVIDGSWPSGVRGVFAAFATGPCREPANSVDHEKIVLFDSGGTKLFVTDDGKIQLSRHVVSVQLRGKLVVSVEAVSPGEEVVRKQVDFKPSKDGKSDEILTWTSVKCRSLLPGRSFRIFLPLGILLQRE >Et_7B_054580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2516870:2524918:1 gene:Et_7B_054580 transcript:Et_7B_054580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRDPKDGVGGAGGGVVDPEGDIEAPLLSSSSSFYRDAVYGDDDGEGDEEQRRRRFLLSRRSHSNTTSQVALVGADVCPIESLDYELIENDVFKQDWRARNPGHILRYVALKWALCFLVGALAAAAGFAANIGVENVAGAKFVVTSNLMLDGKHGSAFAVFLASNFLLTLVAAVLTVFVAPAAAGSGIPEVKAYLNGVDAPNIFSLKTLIVKVLESLSLSAMPLILTSYRVWQQYVARTRKKRRQQQQ >Et_8B_058868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10236038:10246299:1 gene:Et_8B_058868 transcript:Et_8B_058868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTPASAAPPPDAVDTGRYAYTPKLRWQPEVEEYFAAAYGRDRFARISEALAHPSCYSCIRVNTLKSSPDAVMRKLMDLVRENGLSTGIDCLETGEQNSGGNTHGGNSMVHKCPYAGLENVIFVRGSGPHVLQYGGNPDQSVKEVIVSRKCAESVLRGAQVYVPGVLACSAHVEKGDKVAVSVAIEQPIEDGGWAVDAHYEERKGLYIGQGITAMSRAGIFRVPHGIAVEMTDRVYQLPSFNDVLEGEIFLQNLPSIVAARVLDPQPGERILDMCAAPGGKTTAIAILMKDKGEVVALDRSHNKVMDILKLAAEMDLNCIKAYKLDALKSVRKISEETNLGMEHKPNEAVETVAEDSDPCHATVGATATNAGEDSSTTTIVQSEPDSKRYVSKAELRKNLRRMKNGPGRNNCSGGRVEKSKGFLPNSFDRVLLDAPCSALGLRPRLFAGEETLESLKNHAKYQRRMFDQAVKLVRPGGVILYSTCTINPGENEALVRYALDTYKFLTLASQHPKVGGPGIVGSWKLSNKTYTEEWLTEQEAELVQRFDPSSSVDTIGFFIAKFEVGQKED >Et_10B_002774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1106561:1108694:-1 gene:Et_10B_002774 transcript:Et_10B_002774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGELESSISNLVGDLSAVLDHADSSSRALADVVSQPPIHLDSATSTFLRKLDLMTEAAGADLARLESMAFGAVSFAELLGHCGEALKVYDRHADAIEARLVSFGYVPPGMALLRAEAKPELDAEEDEGGILGNSCVRGSSSVLKSATDFSGSPRKLYKKPESTDDGQKMINEAELVPPQKETNGQVNALEGLITVSKEEYEQLPPYMKTLASWEEMQEAISKLNSYFGGDKTQGSVALNQDDVGTIGLGRKGRSYLLILLRLNRLTMETVDGSILYTLRKDDSA >Et_1A_004565.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:18228564:18228887:-1 gene:Et_1A_004565 transcript:Et_1A_004565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSERCVARKWKTPITSSSAAPLRGRYGTPSESSPNRILQRTRRDAGRRPRRRNTEIPSLSSACGNYGSTRMRSCFGKPFPAFPSCSTTAGAKQLFVDVA >Et_4B_037557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21008990:21012082:-1 gene:Et_4B_037557 transcript:Et_4B_037557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILVRCGRPRCRAAGSSWTTARRGPSTARLRRCAGYGQPPNTLAEFALNQFSNLDFFDISLIDGFNVPMNFLPAGDGCAKGGPRCGADVTAQCPAELRVKGGCVFKQDRYCCTGSAANNCGPTNYSQQTKMASSAYRRLAVALLLAACADAASIVITNKCGYTIHPAAIPGGGTELNTGDSFFLDVPAGTRNGRIWGRTGCGFIINGTLGQCQTGDCGGTLVCTKVGFQPITLAEYSLGTKGGLDYLDISLVHGFNAPMSFLPAAGSKCSSRGGPSCPVQEITFNCPSEQRQKAGCSNPCDGKASCGPNNGTEYFKKACPQTITYPKDTRGTVYTCPAGTNYEITFCP >Et_10A_000738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16149120:16149481:1 gene:Et_10A_000738 transcript:Et_10A_000738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEKERFPGRFEAKQHRNKRNGPRTAAPEQPLYAWEPSNYIKHIATSEKERSLGRFDRNNTEISKTAPEQLQNCLFGSPIAKLTVSTVFAWEPSNYMKHITTSEK >Et_6A_046940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22820874:22821935:-1 gene:Et_6A_046940 transcript:Et_6A_046940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPLLSVGSPELCRILDAVTWQGCLFSYVSDYGFTAPRPGVSCSPPTATLWGQATRRRPRSGDRVRAREPQRQVLAVWNREREQWSRRRFHRVDLLAEVDGVTAGRTARCRAWRRICGGASPRRAVSTWTAAHFCATRKNASGTSYTGIGRQRPRRSSCPTRWRADGGPLLPVVRSSTSRALAPMADAAIEDSAQPWSMCTASWGSIVFRDFDFGSGAPFFHMRGYVAEDGLVFLVPSLSGDGSVYAYVNLFRRDMDVFKGYSYSLLAAADSRLKLQWVVSHSRSGFLSLVAIRYA >Et_2B_020223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17989009:18012725:-1 gene:Et_2B_020223 transcript:Et_2B_020223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESESAVPLLPELPVPKTKKGEKIPGRLAKDVWEESKKLWVVVGPAVFMQLVLYTMNIVSQAFVGHHGDRDLAAFSLANTVVDGLNFGILLGMASALETLCGQAYGAKQYHMLGIYMQRSWIILLATAMLLSPMYIFSSQLLTALGQSAPLSREAGLVSMYMLPSIFMYAISLPVLRFLQCQLKNWVTAVATAVVFLAHVAITWLLVQYFGLGVLGAATAFNFSWVLFSALQLAYALGGGCPETWTGFSALAFVDLKEFVMLSASSGVMVCLENWYYRILIFLAAYMKNAEIAVDALSICMSFAGWEMMIHLGFLAGTGVRVANELGAANGQGARFATYVSTTTSFLISLVASLLALVFHDKLAMIFSSSEPVIHAVDGISFLLALTILLNGIQPVLSGVAIGSGWQGVVAYVNIGSYYLIGIPFGALLGWGFHYGGIWAGMIGGTTVQTLILAWIILRCDWNEEIRGMECQSAVPLISDELPEKRGGGRILGLAKEVWEESKKLWVVTGPAAFTRLAFYGMNVVSQAFAGHIGDRELAAVAIAITVISSLNLGFFVPMTSLVFAILHVGMASAMETLCGQAYGAKQYSMIGIYLQRSWIILLVFAVLLSPTYIFSRELLAALGQPAELSREVGLVSMYLLPLQFCFAIFFPLLRYLECQRKNWVTGVTTAVAFPMHVALTWLLVIYFRLGVFGAAMALNLSWALSLVVLLWYSIGGECPETWKGFSTLAFVDLKEFAKLSVASSVMICLENWYYRILIFMTEYVKNAELAVDALSIWVRVANELGAGNGAGAKYATIVSTTTSFTISLCISSLTLIFHDSLALIFSTSGAVINAVDNISVLLALTILLNGIQPVLSGVAVGSGWQALVAYVNIGSYYLIGIPFGVLLGWGFHYGVIGIWVGMICGTTMQTLILAYIVLRCDWNEESQNTVPLISVSPAKVGGGKIPRLAKDVWEESKKLWEVVGPAVFMRLVLYAMNIISQAFAGHLGDRELAAFSIAGTIVSGLNLGFLVRKLVFLFICSVERESCISNFAAGHGECTGNALRSSLWCKTVPHARSLHATLMDHPLGLCRAPCSNIRLQRALLVALGHPAELSRETSLVSMYMLPLHFNYAILLPLNKFLQCQLKNWVTVVATVAGFPVHVTATWLLVNYFRLGLFGAAMALNLSWAIITALQLAYAVGGGCPETWKGFSPLAFVDLKDFVKLSAASGVMLCLESWYDRILIFLAGYMKNAELAVDAVSICMSLNGWEMMIHLGFLAGTGVRVANELGAANGKGARFATIVSATTSFLISIFISLLALIFHDKLAIIFTSSKAVIDAVDDISVLLALTILLNGIQPVLSGTIFMRDTCFYDTEVRMFDRQKALVAYVNIGSYYLIGVPFGILLAWGFHYGVLGIWVGMIGGTTMQTLILACIILQYDWDNEALKACNRVRRWSSSK >Et_5B_043439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10390014:10395061:1 gene:Et_5B_043439 transcript:Et_5B_043439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLSAYLFESFQFPDIASYHSCYGEWLVFLHNGTCSLKNPFSKVTLMLPNLCCHCPIDEPVEIINGRCNSEEKMLQESLDMSADMSIYNIRPRNTIALCRPGVDSWLVSGLGSKGILLDMVFSDGKLYVIDENKDLLAIDIGEDSDSGKLRISQIKRLIEGSSVAISMVLNEVCVVTHFLVKYQGALLLVRRAMFGLLLDGDGESMALELARIEFKVFEANFNASQWVGVASVGDDHALLLGRNYCQPICKSHYKIRRGGGGMLKGKCIMFLDDGSYRWFQKGMIGSLVTYVLSDGKTYVLDHPGLFEGRKAPSWLFRQLDSVTHSSFFFQKHIPSGKMAQAENPRSWSDLPTELAGLVLCCLLAYSDRVCFGAVCRHWSFSAKEHCLPPPFPYLAFPDGTFNCLPHGESFQFRDSMSYQNSCDKWLVSTHDGTCSLKSPFSKTTMTLPNLSCLCPIDEPVEIINGHVNPEEEMPQESLNLDAEMSIDKVIVCSELLVAAIVEIGPLNTIALCQPGAASWFVSGLGRKRYFIDMVFYKGRLYIIDKFRDLLAIDVGENNGSVKLSISRIECLIEGPPLTFCMIPGSLSIFHHYLVESNGALLLVCRSTIGIPSDNGMEGASYKPTGIDFELFEADLSSLQWMGVTSVGDDQALFVCKTCSQSVCVTQYKLKGDMLKGNRILFLDDGTCKWFWKGMNGFHAIYDLNDGKTYGPSGSFEGKKGQAMWLFPQ >Et_6A_047653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9172642:9173037:1 gene:Et_6A_047653 transcript:Et_6A_047653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAAQLKDMFFVLVERVTGYGRAEHHNAAAGPQEPAKLASVEASQTEQVVLVKHTEIRARGTEKNLPDDVPNVSRGSVPQVHTRNL >Et_3B_028740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18665560:18673650:1 gene:Et_3B_028740 transcript:Et_3B_028740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQLQDHYRPLAGGGDDEEVVVAAAIRDGAEGDDVKLRLLGYKQQLKRDLSVVSNFAVTFSIVSVLTGVTTLFGMGLQFGGPVTMVWGWPIAGAFTLVVGLAMAEICSAYPTSGGLYFWSARLCSQLGSLRRLAHRLSPSDDGAGTAAAVDVGGASDDTGLARLRELGYKQELKRDLSVLSNFAFSFSIISVLTGVTTLYNTGLTFGGPATITFGWFVAGAFTMTVGLSMAEICSSFPTSGGLYYWSARLSGKRWAPFASWLTGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGNNGGGYVASKYVVIAFHAAILLSHAVINSLSITWLSFLGQFAAAWNMLGVFVLMIAVPTVATERASAKFVFTHFNTENNAGIHSNFYIFVLGLLMSQYTLTGYDASAHMTEETKNADRNGPIGIISAIGISILVGWGYILGITFAVKDVPYLLSPDNDAGGYAIAEVFYLAFKSRYGNGVGGIICLGIVAVAIYFCGMSSVTSNSRMAYAFSRDGAMPFSSVWHKVNKQEVPINAVWLSAFIAFCMALTSLGSLVAFQAMVSIATIGLYISYALPILFRVTLARKHFVPGPFNLGRYGVLVGAVAVLWVATITVLFSLPVTYPVTKDTLNYTPVAVGGLFFLVLSYWLLSARHWFKGPVTNLDG >Et_5A_040311.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:12950148:12950486:1 gene:Et_5A_040311 transcript:Et_5A_040311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVTGGELSLFETRGGDHPDQELLAIVRVAGVPVHALREKRLDAGVGPAAAQRAARPHPGRRPCCPTKEGFEVLSGPSSSATLTSVSLTAAAALKHPWFAEEDALEMQCN >Et_8A_058009.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1279817:1280038:-1 gene:Et_8A_058009 transcript:Et_8A_058009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRENARLFVGGLSASTGDADLRSHFRRYGEVTGICLPKDRITGRPRCFAFVQFSRPRDAARALADQKRPLH >Et_9A_062927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6958654:6963176:-1 gene:Et_9A_062927 transcript:Et_9A_062927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IDKLVLRHPLVDLAAAVSTVGYFLSVAEAIQNLTILSKEVTALLSELRECEICLIIFRTGLDWHGQVQLQQAVQQVCLTSQHISIDNISQCHNMASALLVVFAAAVALGAGLGAAFDPNPLQDFCVADPTSKVHVNGQPCKDPSTVTATDFFFSGVDKVGGGTTSRRYGFSALSVQIPGLNTLGASHARVDVAPGGVFPPHYHPRASETAVVLEGAVYFGFVTSYPENKVFAKVLRKGDVFAVPQGLVHFLHNNGTEPAALYASLSSQNPGLVLLGDALFGSGLPDELLAKTFLTDTQTAARIGAKFRA >Et_2A_014597.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:26787293:26788542:1 gene:Et_2A_014597 transcript:Et_2A_014597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATAARFMLLLPTSRGTNTRMLAWVHRSRLNLEVAAGDGRVVAAHVDVPVDESGEPAADERADPVDPDVGEVAAGDGGAERAGRVHGPAGERARDEDVGADDEADGDGRDGAERPLLRVGGGGVHRVHQPEGDDDLHDHALERAHAGQPVGRGRHARAVLDQHGADDGAQELGDPVEEAGEDGDLPAQGQAEGHRRVHVTAGDVGADGHRDEEGEPVAHRHRDQARRVQRRAAGQLGCND >Et_2B_021171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27087497:27114009:1 gene:Et_2B_021171 transcript:Et_2B_021171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRPPFRTEEYSLKETAPRLGGVAAGDKLTTTYDLVEQMQYLYVRVVKAKDLPNKDITGSCDPYVEVKMGNYKGTTRHFEKKNNPEWNQVFAFSKERIQSSIVEIVVKDKDLVKDDFIGRVVFDLNEVPKRVPPDSPLAPQWYRLEDRHGHKGKGELMLAVWMGTQADEAFPEAWHSDAASVPGDGLASIRSKVYLTPKLWYLRVNIIEAQDLIPHDRTRFPEVYVKAILGNQVLRTRVSASRTLNPMWNEDLMFVAAEPFEEHLVLSVEDRVAPGKDEVLGRTIISLHHVPRRLDHKLLNSQWFNLEKHVMVDGEQKKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKPLWKPSIGILELGILTAQGLVPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVYDPCTVITIGVFDNCHLNGGEKANGARDTRIGKVRIRLSTLETDRVYTHAYPLIVLTPAGVKKMGEVQLAVRFTCSSLLNMMHLYSQPLLPKMHYVHPLTVIQVDTLRRQATSIVSTRLSRAEPPLRKEIVEYMLDVDSHMWSMRKSKANFFRIMGVLSPLIAVAKWFDQICHWRNPLTTILIHILFVILVLYPELILPTIFLYLFLIGVWYYRWRPRQPPHMDTRLSHAETAHPDELDEEFDTFPTSRPPDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVTFCFIAAIVLYVTPFRVVVFLAGLYTLRHPRFRHKMPSLLGPPRLLPAKDQPAGVGKPPKGPMMQRPPFRPEEYSLKETAPRLGGVASGDKLTNTYDLVEQMQYLYVRVVKAKDLPSKDITGSCDPYVEVKMGNYKGTTRHFEKKNNPEWNQVFAFAKGRIQSSIVEIVVKDKDLVKDDFIGRVVFDLNEVPKRVPPDSPLAAQWYRLEDRHGHKGKGELMVAVWMGTQADEAFPDAWHSDAASVPGDGLASIRSKVYLTPKLWYLRVNVIEAQDLIPHDRTRFPEVYVKAMLGNQVLRTRLSGSRTLNPMWNEDLVFVAAEPFEEHLVLSVEDRVAPGKDEVLGRTIISLHHVPRRLDHKLLMGQWFNLEKHVMVDGEQKKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKPLWKPSIGMLELGILTAQGLLPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVYDPCTVITIGVFDNCHLNGGDKANGARDTRIGKVRIRLSTLETDRVYTHAYPLIVLTPAGVKKMGEVQLAVRFTCSSLINMMHMYSQPLLPKMHYVHPLTVIQVDNLRRQATNIVSSRLSRAEPPLRKEIVEYMLDVDSHMWSMRRSKANFFRIMGVLSPLIAVAKWFDQICHWRNPITTVLIHILFVILVLYPELILPTIFLYLFLIGVWYYRWRPRQPPHMNTRLSHAETAHPDELDEEFDTFPTSRSPDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQALLSWRDPRATALFVTFCFIAAIVLYVTPFRVVVFLAGLYTLRHPRFRHRLPSVPLNFFRRLPARTDSMLRRDPEGYDMPSDLDQAVLLYFDGHQAKPSIQEQPQTLNIFPSQPMHVEPSPKGSMTSAAVAQVAGSSKPVKAAGGQFSAGKSSKPALKREGGGAAASSSDQEGPRTPDPKTLRRLAQNREAARKSRLRKKAYIQQLETGRIRLAQLEQEVQMARTQVIARLRIAAEEHRPDGELRAYADEAASHYGALMAHKARLAAADPLHLLSGLWKGAAERCLLWIGGFRPSEIIKPLTEQQTAAACDVQQSARRAEDALDGELGALLQSLSEVVSSDPQPPAMYGQQLYHPADVAGYMGHMHMAVAMDKVAALGTYLRQADELRMQTLGALRQMLTARQAARCFVAVDDYFCRLRALSTLWTTGRQAQLARGPAGSRTRTRCWASNGPRAPPSRLALPAAAGSPPSSTYDLVEQMFFLYVRVVKAKDLPPNPITGAAMDPYVEVRLGNYKGTTRHFDRRPNPEWDQVFAFSKSRVQSNALEVFLKDREMLGRDDYVGKVVFDLAEVPTRVPPDSPLAPQWYRLEDHRRGDGSRVRGELMLAVWIGTQADEAFPEAWHSDAATVRGEGVASVRSKAYVSPKLWYLRVNVIEAQDVQPQQGGRGRAPEVFVKAQVGHQILKTSVVPAPTLNPRWNEDLVFVVAEPFEEQLVLTVEDRVSPRKDDLLGRVALPLTLFEKRLDHRPFVQSRWFDLEKFGLLRFASRVHVRACLEGAYHVMDESTMYISDTRPTARQLWKPPVGVLEVGILGASGLQPMKNREGRGATDAYCVAKYGQKWVRTRTMIGTFNPTWNEQYTWEVFDPCTVVTIGVFDNCHLGNGNNGNQARDARIGKIRIRLSTLETDRVYTHAYPLIVLHPSGVKKTGELRLAVRFACLSLVNMVHLYTQPLLPKMHYLHPFTVTQLDALRYQAMGIVAARLGRAEPPLRREVVEYMLDVESHMWSMRRSKANFFRAVSLFSGAAAAARWFNDVCHWKNVATTALVHVLLLILIWYPELILPTVFLYMFMIGLWNYRRRPRHPPHMDTKLSWAEAAHPDELDEEFDTFPTSRQQDVGERLQSLLSWRDPRATCLFVFFCLIAAIVLYVTPFRVVALVAGLYLLRHPRFRSRLPSVPSNFFRRLPSRADSML >Et_2B_019541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1038349:1039033:1 gene:Et_2B_019541 transcript:Et_2B_019541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLFFLLSRSSSPISLLLTESMDSVTLHTNLGDIKCEVFCDQVPRTAENFLALCASGYYDGTVFHRNIKGFMIQGGDPTGTGKGGTSIWGTKFADEFRESLKHNARGIMSMANSGPNTNGSQFFITYAKQPHLNGHYTIFAKVIHGFEVLDLMEKTQTGPGDRPLAEIRLNRVTIHANPLAG >Et_5A_040978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1613314:1619025:1 gene:Et_5A_040978 transcript:Et_5A_040978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAAARARRLLASPAASGVPGIVSGTSSACASGAEVVLLPRLDGVLASPSSPHHARGVSCFAFQSAGKMLSPTMIFQWRREKSACYHMATAHFSTEASDVDSPTEAVEEQYQKMLKSVEAKTMPPNAWLWSMISSCSNKEDIKLLFQILQKLRVFRLSNLRINANFNDHLCMKVTEACASVGTLDYGLKALWKHNVYGITPTVGSAHYLLQHAKEHSDTKLMESIMQVLRRNFLPLQPGTADIVFSICYNADRWDLLSKYAERFVKAGVKLHRTAFDIWMEFAAKVGDSQAIWNINSLRGKSVKHYTLATGFACAKGFLLDHKPESAAAIIKLLNKHLPEQKKPFIKDELQKLIAEWPTEVIKRQKKDDRKALGEALVEDIPTMINCLTNLGLDLPVDLDKLTPQLQAA >Et_5B_043593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12248991:12250294:-1 gene:Et_5B_043593 transcript:Et_5B_043593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPCATVAAFLLALLATTAAAAAREKRAGVYIVMVKPPADGVNSEWYQMHILATALGSEERARKALLYSYTTAISGFAAKLTPAQLAALRSKLLLLINFSPDEITAAVGYDICEFLLPIFQHPDVLQALPEVKYSLQRDNKNNININN >Et_2B_019450.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:30359036:30359212:-1 gene:Et_2B_019450 transcript:Et_2B_019450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding APAAASFSNIQLIDGDGQASPLNRELPRYVTNPSLYQVTPILNGAFFYGGPSSPSIPS >Et_4A_034814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6863187:6867134:1 gene:Et_4A_034814 transcript:Et_4A_034814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVRTTGGLVADEKAAPETVGVGRYVEMEQDGDSNTVKSRLSGFLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLMGSWTAYLISILYVEYRTRKEREKADFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLAIASLIHGQVDGVKHSGPTKMVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKAIYLMATLYVLTLTLPSAASVYWAFGDALLTHSNALALLPRTAWRDAAVVLMLVHQFITFGFACTPLYFVWEKLIGLHDCRSLCKRAAARLPVVVPIWFLAIVFPFFGPINSAVGSLLVSFTVYIIPALAHMITFRSANARENAVEPPPRFVGRWTGTFIINAFVVAWVLVVGFGFGGWASMTNFIHQIDTFGLFTKCYQCPPPPPASLPFPGGISNITMPFNGTAGPAPAPSPAHFLHHHRHHSHSL >Et_7A_051205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15511648:15516123:-1 gene:Et_7A_051205 transcript:Et_7A_051205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKSRHFPSPNTVHAHALGDAPRRHQWAPAAATAFLLLFLALAAVGADQEQARREAVTSPHGAVAADDGRCSAIGRDALRDGGTAVDAAVATALCLGVVSPASSGIGGGAFMLVRLADGTAVVYDSRETAPLAASKDMYGGNETLKARGALSIAVPGELAGLYEAWKRHGKLPWRRLVAPAAKLALAFKVSPYLRMQMEATRDGILGNKGIRAVYAPNGDILKAGEFCRNVQLAKMLMAVAEHGPGAFYGGWVGAQLVKDVREVGGIVTLEDLKRYRVKVRRPLSESFMGMQVVTMPPPSAGGAGMMLILNILSQYGLPAGFAGSLGIHRLIESLKHYFAVKMNLGDPDFVNISGVVSDMMSPKFAAELKKTIYDNMTFDPKHYGGKWNILEDHGTSHISIVDSDRNAVSMTTTVNAYFGSLILSPSTGILLNNEMDDFSMPANTSAGSPPPAPANFVSPLKRPLSSMTPTIVVKDGKLKAAVGASGGSFIPAGTIEVFLNHFVKSMDPLSSVMAPRVYHQLIPNVVQYENWTTVLDDLFLLDARTRADLQKRGHVLRPLSGGTISQFVVHNLEHGGHGHGELTALVLAYPTAKAPPKQVASARLLSQPRFSVTTDGGQGSVRYDAEPERSMAMTDERSTTTSVQQYIIGQ >Et_2A_018703.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5406743:5407327:1 gene:Et_2A_018703 transcript:Et_2A_018703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGKSKEADPARCRRHPRHRHSAGVCPFCLRDRLSRLSAAAARADAASANANAAFSASSSSSTGSSPCASWEESVAPPAAPPRRRERLGMLLQLEGREAAVLGEAARVEAVAAAVLGAAGGVEAAAAAPEVEDTESADKKAKRGNFWARLQQQLHHGGWHRKDGCSVAHSRTAAAEKSAAAVAARPRRAPVV >Et_4B_039336.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:10234252:10235214:1 gene:Et_4B_039336 transcript:Et_4B_039336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQESGATPMYYCHMCSLMIRPVVSIEDVKCPHCDSGFVEEMVAGQHRSSDAGNRGRAAAEVANAGNAATEREVSLWAPVLMDYLAASSGTHQGLDGGGAGAGDLAAFARRQYRNIALMQLLSALQEAEAAAADAGRERVVLVSPADARAMLRGTGAGAGSGSGGLTLGDLFLGPGMDLLLEYLAETDPSRQGTPPARKEAVAALPTVRVRDAATCPVCLDELAAGAEAREMPCKHAFHDQCIVPWLEMHSSCPVCRYQLPTEESSTGGGGAGGEPSGANARGGARGSDAGSSSGRRHWFSWPFSGLFSQRSNGSSSSSS >Et_8A_056225.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:16532666:16532881:-1 gene:Et_8A_056225 transcript:Et_8A_056225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQKIVRTSAQGRVSTIAGGSASLRVEASVPSSQARASVSLNVTSGTASAQVNVQEHTKKKNRLPQLLNQ >Et_1B_010782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1408267:1410564:-1 gene:Et_1B_010782 transcript:Et_1B_010782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding APPTSVPLHAARVFASPPPPLRRLRRNDGARLALALVSMDFSLSVEVSNVSLTWLLPSHKTFMIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGKATHCFVVRLADLPILLFWFCFAFVHIEAAWFTVTTLFWRSLRQSIR >Et_9B_064697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17770052:17770434:-1 gene:Et_9B_064697 transcript:Et_9B_064697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSPKHLLGGVHDAPAGRENDLEAIELARFAVAEHNNKTNGMLEFERLVKARQQVVAGTMHHFTVEVKEGGAKKLYEAKVWEKVWENFKQLHSFEPVAAA >Et_2A_016718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27571231:27574419:1 gene:Et_2A_016718 transcript:Et_2A_016718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKDVGILAMDIYFPPTCVQQVMLPFPTLPSLGPIVALAPALSLIRGSGAPSIGGMGIAGVPGSLHGSRRKQGREENFELTLGKIREALEAHDGASKGKYTIGLGQDCMAFCSEVEDVISMSLTVVTSLLKKYKIDPKLIGRLEVGSETVIDKSKSIKTWLMQIFEESGNTDIEGVDSSNACYGGTAALLNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRASHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYRQFSNKYEKLTGKQFSISDAAYFVFHSPYNKLVQKSFARLYYNDFLRSCSSVDDDAKAKLQPFSNLTGDESYQSRDLEKASQQVAKNLYDIKVQPSTLLPKQIGNMYTASLYAALASVLFNKHDSLDGQRIVMFSYGSGLTSTMFSLRLNNGEGPFSLLNIASVLDVTEKLQSRHEVLPEKFVETLKLMEHRYGAKDFETSKETSLLPHGTFYLTKVDSMYRRFYEQKPADETVSSKAKCCNGLANGH >Et_4A_034537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4173809:4182310:1 gene:Et_4A_034537 transcript:Et_4A_034537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDDELRWRFFDGSLTNILDARSLHGSPEIKKKAQFHSSLVQKLALEKEMEGHVGCVNAIAWNSNGSLLISGSDDTRINIWSYNNRELLHDIDTGHSANIFCTKFVPETCDEVVASGAGDAEVRVFNMSCLSGRRPREISMEPAAVYQCHSRRVKKLAVEIGNPNVVWSASEDGTLRQHDFRECSSCPRAGSANQECRNVLLDLRCGAKKSLADVPKQLLALKSCDISSVRPHQILVGGSDAFARLYDRRMLPPLSSCQTKRKPPPCVKMFCPLHLADNKKTHVHLTHVAFSPNGKEVLLSYSGEHVYLFDVDPDDTSSVRYTEDGVRGRLFVPPFHKLPKEHAEQKRLSVNATSRNSFRVDMWKKLMQVATKYLETGTNLMRGIEACSEVLESVGLDIDDDMKHSCLCTRAGLYLQRKWKNDVYMAIRDCNRARNIDPTSFQAHLYMAEALLQLGRLKEASDYAEAANSVLPPNSQLRKQVENTMQRISSAETQKNKTGQDGNAKSDTRHGKLRSLSDILFRADVSGSSQEGREDSDYDDEMELDYETSVSGDESRENDQNVFQGSLSFRFHQRDDQTNEHTGENGFTESTHDDSSAFQSEIAIDMKQRYVAHCNVGTDIKQASFLGEQGEFIASGSDDGRWFIWEKRTGRLIKMLAGDGAVVNCIQSHPIDCAVATSGIDNTIKLWTPDANATTMVAGPELDVLSAIENNQRKPFEFVERFRMHEFAEGFECAQS >Et_7A_053081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6172406:6174280:-1 gene:Et_7A_053081 transcript:Et_7A_053081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVLRPLAAPEVKTPAPFAAAKQRNCMIQFAMATYSSNFSLALLASAAIASLGITCSGLQFSYPSFDKTNKADFSFSTGSGIKDGFLQITPITGDITDRSGRVCYIRKTLNLWDSRQKHLTSFRTDFVLNILPLQQNTTGEGMAFILTNNPSVPLNSSGQWLGIANEQTDGSPMNRIVAVEFDTRKSYEEDLDSNHIGLDVNSIKSVAQDPLSNLKQSHSLIFVGAYARFADI >Et_5A_042314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8650994:8662974:-1 gene:Et_5A_042314 transcript:Et_5A_042314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGVKGRRARAAASIIISISTDRLWVFSFDLSHDNVSLYTSKLRTGQQALRLPPGPRQLPLIGSLHHFLFSRSSNLPHRVMQELSGKYGPLTLIRLGELPTLVVSGAEAVRELVKNNDLAFCSRPLHPTHEIISFGGQDILLSPYNARWRELRKICVLQLLHQRRVLHFRPIRYDEVARLVRSISSDCSSGRAIDIGEKILRTINDIIMRTSVGSRCEHQNELLLLFDDGSRLCSGFSLVDLYPSSQLIRWVSPSAWHIARCQRKVHSIIGSIIRDRTTMPTSEREDDLLEVLLRLQKDGGLEYPLTNEVISSVIFNVFIAGSETSATTLEWAISELMRHPRILLRAQSEVREAFEGQEMITDENMAKLSYIHLVIKETLRMHPPVPVFQRACRETCQVLGYDVPNGIKVMVNAWATGRDKAHWDRADEFIPERFENSSVDFNGTDFQFVPFGAGRRICPGITLARAMMELILANLLYHFDWELPNGAKSGELDMAEAFGISVRRKSKLLLHAKRHINSLNKPSCSSSRTSTPPNHDTEQQALHPGSRQLPLIGSLHHFLFSRSSNLPHRIMQDLSGNYLRKTHDLAFCSRPLPPTHEIITFSGQDILFSQYSERWRELRKICVLELLNQRRPIREDEVALLVRSISNKCTGNQHLQLVIKETLRLHPPVPVVPRESRETCQVLGYDVPKGIAVLINVWATGRDKNYWDNADEFMPERFENSSVDFKGTDFQYIPFGAGRRLCPGITLGWAMMELILANLLYHFDWELPNGVKSEELAMTEAFAVT >Et_4A_034062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29588615:29604117:1 gene:Et_4A_034062 transcript:Et_4A_034062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVTECAELAASSAAAGAEGDGGGAGTVVRVKRSALAACLTCPLCGHLLRDAVTITECLHTFCRRCISEEFINKAICCCPTCSIDLGCVPLEKLRIDHSLQYVRSKVFPSKRQKIEAAEVTSPFTSPIKRKEKSLSSLTIHAPQVSLQKCLTKRRTKASCLNNLSSHSTFRGRNVTKKVGGWRPLSSHFRAAKNKRTLRSNSEDVNKTEHKSDSADDGTSASQAKTKKKFTRRGNLEKRAGTKKLLMLKGKQKKIKAKLPNKERRLRALWFYLVAAFDQKGQPPLPQVPSKFLRIKDVDLPTSFIQKYLVHKLSLSSEAEVELLCGGKPVNPGMTLHDLADSWLDKGPKGRVRWSVGSPATGFVATLFYGRPEQPPPETENNDG >Et_3A_026408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7836036:7838072:1 gene:Et_3A_026408 transcript:Et_3A_026408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKHIFVVLLVLIIRWAEGHPQIYNVLDFHAFGDGKTDDSEAFFRTWQAACNCISQPVMVVPGGRTFLLTQIRFEGPCKSPITVQLNGKIVAPNKIWTSEEADLMTFFGVDNLTLDGNGVIDGQGAIWWDRYNHKLLGFASCNNLVVRSINLMDSADKHMTLFQCSQVQVYNVSITAPGDSPNTDGITMASSNNISISSCSIQTGDDCVSILSHTTNVNVTNITCGPGHGISVGSLGGSEIAQVEQITVSNCNFVGTMTGVRIKSWQGGKGYARGLLFENLNMTAVQYPIIIDQFYCPQGNCPKKNGGVAISDARFINIQGTSTEQQAIRLLCSRSVNCRDIYLSNIDLCWMNHSTPANATILNAHGTTAGMVVPQIQF >Et_4A_033649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25361930:25365201:-1 gene:Et_4A_033649 transcript:Et_4A_033649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEREQGDTLEQGLLAPEEPNQIVTYTGDGSVDFSGNPVVKERTGRWKACPFILGNECCERLAYYGIATNLGMAILTLSASVPMLMPPPCEGSFCPPATDQFDDTDPAERIQKGSFFNWFYFSINIGALIASSFLVWVQDNVGWGLGFGIPTVFMGLAIISFFSGTSLYRFQKPGGSPITRVCQVIVASLRKWNVHVPVDSSLLYELPDGVSAIEGSRQLKHTDELRCLDKAATVTDVDVKSDDFNNPWRICTVTQVEELKILVRMFPIWATTIVFSAVYAQMSTMFVEQGMVLDPSLGSFKIPPASLSTFDTLSVLICVIIYDSVLVPIARKFTGKEKGFTELQRMGIGLVISIITMAVAAILEIKRLAVARESHLVDQNVPVPLSIFWQIPQYFLVGLSEVFTFIGALEFFYDQSPDAMRSLCSALQLLTTAFGNYLSTFILTMVAYFTTRGGNPGWIPDNLNEGHLDYFFWLLAGLSFINLIVYVICAMKYKSKKAS >Et_5A_041893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:399549:404257:1 gene:Et_5A_041893 transcript:Et_5A_041893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVLAAECAAGSLLGSAPEDGDAGCEYRLPPKEIQEIIDVPPNPSHYISPRRDRIMFLKRRAMPPLSELAKPDKILAGIRIDPSSNKRSRMSFYTGISIHIFMDDGSLGPEKVVNGYNDNAKINFVGWSPDGQHVAFTVCYEDEVDSGSNLALWVADVESGNARPLFESADIILNALFELYVWVNESTVLVCTIPSSRGNPPMKPLVPFSPRIRSNEQKNTIQMRATKEMLKDMYEEELFDYYATSQLVMVSLDGTVKPFALPAVYTSLDPSPDEKYVMLTSVHRPYSSTVSYKRFPKKVELWTADGIFVREICNLPLAEDIPVAANSVRKGKRLIRWRPDMPSTLYWVEAQDGGDANVEASPRDIVYTELAKPSNGEKPQVLIKLDFRKTYWCCGLFALVYEYWYKTRQTRTWVVSPDSKNLTPRILFERSSEDAYTNPGSPMMCRTPAGTLVIAKVTRNCEGIYILMNGRGATPKGSTPFLDLFNVNTGDKERIWESDKEEHYESIVALMSYHPECEVQLDQLKRENTQYYLKIWPHKKQVQITNYPHPYPQLALLQKEIIRYQREDGVKLTATLYLPPGYNPSKNGPLPSLIWSYPGEFKSKDAAGQVRRSPNKFARVGNNFPLLWLARGFAILADPTIPIIGEGNQEANDRYVEQLVASVEAAVNEIVRRGVAHPDKIAVGGHSYGAFMTANLLAHVPHLFRCGIARSGAYNRMLTPFGFQKETRTLWEATDTYIKMSPFMSVNKINKPILLIHGEDDSKSSQFYDALKSHGVPCRLVILPFERHQYVARESIMHIVWETDRWLQKYCVNNSGNIK >Et_10A_002269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6411147:6414173:1 gene:Et_10A_002269 transcript:Et_10A_002269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRLLELNRLRRFPKPGDFSAQIVQRSLLSAKSGHHAAEATAAADPARAASLLAERDWFARLNGEFAAPLRRLGPRFVVRAMQAAAAEPLLCVRLFVWASRFGQHFARDRAVRRALGDALWRRGPVVLSAALVAEVRGCGCEVSEELLCALVESWGRLGLARYAHEVFVQMPRLGLRPTTPVYNAVIAASVRAGAVDAAYLRFQQMPADGCRPDCFTYNALVHGVCRRGIVDEALRLVKQMESAGIRPNIFTYTMLVDGFCNAGRAEDAIALFGKMKEKDVAPSEATYRTLVHGVFKCLGRNRAYKLLSGWLENDPALHSTACHTLMYCLSKNNMAKEAVELVNKLGSNGFVLDNASFSLMIAGAVKCLELSDLCELVDDFIKKGGNMGFDIYIMVIKSLLSAKDFSKANKYLHQMVQDGLLSSVTSYNMVIDCLVKAGAMERGTEIVKEMKDKGNL >Et_8B_059014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12219583:12224786:1 gene:Et_8B_059014 transcript:Et_8B_059014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFTPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKESDVVDWFIPVVKRLAAGEWFTARVSACGLFHIAYPSAPEPLKAELRTTYGQLCQDDMPMVRRAAASNLGKFAATVEQNHLKTEIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCAAHILPVIVNFSQDLSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQILEKINNPHYLYRMTTLQAISLLAPVMGADITCQQLLPVVITSSKDRVPNIKFNVAKVLQSLIPILDQSVVEKTVKPCLVELSEDPDVDVRYYANQALQACDQMMISS >Et_9B_065012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20462487:20464082:-1 gene:Et_9B_065012 transcript:Et_9B_065012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAHASSLSFLLSHPTSRSATPSPHLQLRPAARRVRCATDATAPAPAAQPAAAAATKHRRPADENIREEAARHRAPKQGLSAWYAPFPPAPNGDPDERYSLDEIVYRSSSGGLLDVRHDMEALARFPGSYWRDLFDSREFVLPEIDPEHIVSLFEGNSNLFWAERLGREHLGGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPIAGVGCASTGDTSAALSAYCAAAGIPAIVFLPANRISLEQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFEMCRVLELVDRVPRLVCAQAANANPLYRYYKSGWTEFQPQVAEPTFASAIQIGDPVSVDRAVVALKATNGIVEEATEEELMNAMSLADRTGMFACPHTGVALAALFKLRDQRIIGANDRTVVVSTAHGLKFSQSKIDYHDSKIEDMACKYANPPVSVKADFGAVMDVLKKRLKGKL >Et_3A_026445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8073805:8081212:1 gene:Et_3A_026445 transcript:Et_3A_026445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRSHLHKVRIPEPTNRIHKDECGVSFDTPRSEGGVEGGFSDQEPEYDETFEIVILPEFISLPFPSVDLPEKVRLAVDKVILAESADRKQQLAAWVADKNISAYAMDLQQLDNGVIVPPTGWKCSKCDKTENLWLNLTDGMILCGRKLWDGSGGNNHAIEHYQETKYPLAVKLGTITADLEAADVFSYPEDDSVEDPLLAQHLSHFGIDFSSLQKTEMTTAERELDCNTNYDWNRIQESGKDAELLFGPGYTGLVNLGNSCYMASIMQVMFSTHPFISRYFEKQSLKAAFATAPAEPTLDLNMQMTKLGHGLLSGKYSTPAKEGQEGIRPRMFKTVIAANHSEFSSMRQQDALDFFLHLIDRVEQANPGNHGLNPCTGFKFIVEERVQCPSGKVSYNKRSDYMLSLGIPLHEAINKEELEAFNEKKTAMDLDGKEVCTEEIVRPRVPLEACLASFSGPEEIPDFYSTALNSKTTATKTAGFKTFPDYLVLHMRKFVMEAGWVPKKLDVYIDVPDTIDISHMRSKGVQPGEEMLPEGASDNNNADPALPVANEDIVSQLASMGFNYLHCQKAAINTSNTGVEEAMNWLLSHMDDPDIDDPISEDSRGAEQSVDESSVQTLVSFGFQEDVAIKALKASGGNIEKATDWIFSNPEASSSASADSSTSNVKADDEDILDGSGKYKLMAFVSHMGTSTHCGHYVAHVLKDGKWAIFNDSKVAASVDLPKDMGYLYFFQRISG >Et_7B_054171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16438760:16443753:-1 gene:Et_7B_054171 transcript:Et_7B_054171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FGWACSLDAAATASSWPWPRRSGNVYIYRVQALRSTIRHKSTYTVNGTSIMDLMTGTLGRLPGKLLQLLKDEYKLQKRVRPQVEFLSRELESMYVALCKVAEVPSDQLDPQVRLWSRDVREASYDMEDIIDTFLVRVDDGSQLASDADKVKRLLNKMGKIFSLSKLKARHDIAGAMEGIKKQLEEMTLRRDRYKVDDVVPKPATTSIDPLLSALYPKTSQLVGMTEPTDQVIKMLSLGVSDDQENEMKIVSIVGFGGLGKTTLAKVVHDKLKSDFDDKGTAFVSVGRTPDLKKLFRDILIDLDKEHTLKCNLMLLDEKQLIKEIREVLKNKRYFIVIDDIWEVSLWEKIQYSLVENNLGCKIIITTRNLEIAEKIGSSIYNMKPLSEEISGNLFYGRIFGSREMCPDEFSVVSVKILKKCGGVPLAICTISSLLANKKENVADWDELCDSIGSGLAKDPSMNGMRKIMSLSYYDLPSHLKTCLLYLSIFPEDFYIRVDRLILRWMAEDFIKQVKTGDNLFEIGQSYFNELVNRSLIQFSEVRFSIDGSTCHVHDVVLDLICSLAKEENFITLLHDIEQNTSLQRKVRRLSLQKTSGATSCNTSHVRSFTIFSPAIDSMPVLSSFHALRVLDLEGCNLKGHNLSYVGSLGQLRYLGLRDTDFDGELPKDLGKLQLLQTLNLWGTHVKELPASIMRLKRLVILQLGYETRLPSGIRNLTALEELTGCMFVMSTNRQLCGLTNLRVLELRPTAHRWLIGGGDYGTQ >Et_10B_003688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4932527:4936218:-1 gene:Et_10B_003688 transcript:Et_10B_003688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTTAADAAHKLAGGEVLRREIERRRLLDQHREAARATTSAVGRAGAAVAVAAVIKAHVEVHDPRRDRRLAASVRAVAGTVHLQRRRCAVQAHGVACDAVRVVVRLAIPHVDERRHVAPDDDELVVGAGYAELRRVVGEGGPVRHAPRDDRAADAHLPAELVDVQHLEPVDHGGRPPEIAAAVGGAVAGELEGAVAVPRVEVAAGADAERVQDVHAAALPLARRRGRQLLPRRHQRGDEQQWKQLHRQLHLLALATSPNKHAARLIISFLTLSISSTTSRMDGLLSPDISRHRFASAATISSTSPLTSSLIFGSTSTSSRPSLAAVRKHAARLRSSSGAASASALRRVSSSRSTTPKLYTSLRSVSRHVCMYSGSRYPQVPCISVTRCASSVGANADAPKSDTLAV >Et_2B_022235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14873396:14878370:-1 gene:Et_2B_022235 transcript:Et_2B_022235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRYLSWARSDSSTSGLEPSTRDSSSRALATHNGFSSSSAIAHSTVAEVVSVPALNKYREQREERLSLEAPSVLGAASSSTSTKFFDLCGSPAALDLVVDHGVQAPEQALDAAPQPLRIEPRDLRVEVRQVRQPDADVQLREHGLELLLLIAAAVVVAEAGEVGGEEGGGEQVQRGGEREAVRREELRRADLAERAPPRAGRGEPDHGVRVVRERVGAVEQEPRAPRRRRRGAAGNRGRRPPEDATTVVTVPSRTVITGPWRPARRARERCGWAPRERRLPTSGSGVGRGSPVGYEAQEVVGADAAGADSLALQLGMAEARIGLPNCDTPCGNVHIPYPFGITPGCYLPGFDLTCDKSHNPVRLLLGGNSTFQVVDISLNDSTVRVIHINTFNDTISTEPDPLFVDDDNEVGVHFPDIDRPYMLPARNEFILTGCNFEATLYGEYNKITGTDNIISRLEIKVGLGIRQWQEKGGYKTCHCNEGYYGKPYVINGCQGLTIGLLAASGPAFLILVLSVFLVLRKINQDRVKGLKQKFFKQNRGQLLQQLVSQRADIAEKMIIPLQELEKATNNFDQSRKLGGGGHGTVYKGILSDLHVVAMKKSKVTIQREINEFINEVAILSQFNHRNVVKLLGCCLETEVPLLVYEFISNGTLHSHLHKEADTEGSLPWKDRLRIASETAKAIAYLHSSVLIPIIHRDIKSANILLDDALTAKVSDFGASRYIPKDHNEATTTAVQGTPGYIDPMYCYTRQMTEMSDVYSFGVVLVELLTRKTPNLYISQEGNGLVVQFNTLLNEGNLA >Et_6A_046398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14375478:14382324:-1 gene:Et_6A_046398 transcript:Et_6A_046398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENKTGVNVSCRKRKLLSLGIVSEVRGPPNTRPRVDTCEKPSQQAASQQSGSGSDTSEKAEALKNSPDFTKKTPSDPCPAVKAVELPPSSGDIDVPEESISHLFAVYNFLRTFSVKLFLSPFGLHDFVAAINCTEQNNLLDAVHVSLLRALRRHLETKSAEGSRLASNCLKYLDWTLLDALTWPAFLLEYLCVMGCIKNLGGQSLGRKLLAVEYYKLPIAMKLRGMQILCDHLTDSGGYSEMEHETDSSLFSKTGSRSVSTTATKSSGYQSINAVVANTSENVKSDDCRICGMGGTLVSCDGCTWAYHSRCIGLYKAFLPQRQWLCPECVVDKLGQTLSRTEYGARGTQRFGVDVCGRLFLGSCNYLLQVLAGRPVWLCRGLRYPSLHLWPSVHCLVLASQARPSKCVTPELLGGSDFDVLEFGRRQVGWPHRPFGPMEKIGTSSNAETYARYYNHYDVVKVLQILALSDTYTEICKRIVGYWKHLLDFFQSERSKTGKQTVSAQNSMHNGPINGASAPNVVPSASHHNQSFVSDVSNIAPVQPAQSLFRPVLSTSVSGLNGISSGDITNTISKTVASVSPSYQSKQHFELISERSGTMSGSKQEKIASFKPQAYMNLYTHGNIAASAAASIAILKKEEGKVSSSQSVANPRKKMAADNALEWKAFLSAATHFVWPSAEKKLTMEVPRDKCGWCLACRSSAIGNKKACVLNMASANNAIGSPRGLSTMHLIKKSDSHFTSIVCYLVSMEECLHGLLIGSLQHKTERQRWHKQLQLASNYRAVVPLLLELEKNIRGVAFSASWSKLIDDWPVESQSPGVSTGRLGRKRLLPSESGMDTDDDINWAWWSGGNILNRILQRGFLLCSPIRKAARQGGKKRIGSISYHEDSNLPRCTRQIAWRARIELSNTSSQLALQIRYLDAHIRWKELVPTDQIPSGRKSPADADFAFRNAVVCDRKIVDNKIRYTLKFPNQKHLPLCVRKNILEAEGTEEEKSKLWFSENNVPLYMVQQFERRAGISSLSTPAMLDVNSLTNFCTRRVKAFVGDVFLYLFRKGDVYPCTSCKKDVPFSSCQGNCHKECTSSSIDSNKGSNAASSLICKLCLQKRSLMLARYSTNASFLQPQHMIPGQQPAAASKIILKVGPSHSAAPAMEIKTHPVAKVQFQPCVKVDGQPIMNVKGQSTAKVYAQLAANVKTLPITNVKTPKTSSVPIQQMTEGSKSKKRRRMHKNVQSITYFGLVWKKHKAANDGHDFRANDLILKSKDGIGSSVKPVCCLCNKTYSPDLLYVRCQKCRNWFHGDALQLEEEKTVELVAYCCCRCRKRGIPHCPHSDDQMKPETSKQTVAISSQ >Et_9B_063847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17346721:17348029:1 gene:Et_9B_063847 transcript:Et_9B_063847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASRRRASASGSTASRPWSPRRTAPAAWFCRSSSRATWSSRSRGAGAQSRRDRGRLVRHHRGGRGPRARRAGLPLLHLRGRAARGVRWRGGRAGHGAGARGVHPGVPGPQEQPQRHVVRVPRPAVHGLRRHHREHGGRARAGRPRRHFRAPRVCGVPLPREPVLFRRRQGVRGRGGAGAQRPPFPVGAARPARRTRRSLTTSTSCSQGGARPPGRRRLRDALRVELHAREPVARRAAGAVAALRGAAPQRVLPRLRRGRRRGHGGGQEAGQLRRGRRAGARGAVPHGRRRGREEGEGEGAGDEGRVPEGRGGGRVVARVAAEAACCHPPPGRRSVALVYVSSSSERHTV >Et_6B_050120.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6814389:6815663:1 gene:Et_6B_050120 transcript:Et_6B_050120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRAKLKPLVRANAALRVLAPGGRRGIHFAPGPTVSDDDDERGRAPATPRWFRAAYARLLRHAGSIRAATVGPFVTCPHEAARAAHFDALAGEFVAAASRRDAGPEELRATSLSSLTRVCDVLGVSAQRRKAVRLTICPQVTQHHVWRGALEEVLRDLQADIASLDSPSPATQMAEQIAAACTRFLSNTGDAAAASSTSPSWMRPAPYKKPASAPPPPGGKKWQEVLDMFADLGKSLDDPRLAGHAEKVGAMKEGLYQVRDVVIERDIGFKEARRQDCLVQWKLSKSLGHSSRCLYTLLLFYLYGTVRDIDVHVGRRVSSGKGGRNVAVHVAAFLTQGDELAVKAGVKQLSRALGVFRFVWDAAHSDSNSVNDNGKDVVVKKKNGDDIKGVLELQGHLWGLGVEEKVVTYRGDVFHVHQIQMP >Et_4B_038460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29139757:29141935:-1 gene:Et_4B_038460 transcript:Et_4B_038460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IYLFATAPAPAQPSPARPKARHRIRIKTEQKGQAPQPGFPCLRISLRRSLPFSVRVGSSRRVPKPSLAMAESPENAAPAPAPEPEPAPAPALSSPSPKSGIPPRYDLDAKWDACLDLSIRRVAYSSLAGAFTGLLLFRSPTTRWASVALGAGVGIGAAYTECSYLFNGAPPKLSPKVSTVPSAHSEVIALIFPLY >Et_4A_033618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25046594:25047069:1 gene:Et_4A_033618 transcript:Et_4A_033618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKKMLPLVDGLIASMCLCGTPCKLVKSLVLGDDYGKRLWMCNNSQYDLPLKRLHFSDERPKSPPSLCEFIEYIDTEQTPEDIVHVYSIAERARRHWFDMEAEEKREEERRKMKQKEEERRRQYEAE >Et_2B_019963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15653280:15655244:-1 gene:Et_2B_019963 transcript:Et_2B_019963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding THQKLLFARGPCSSKARLFYIDRSLLRRPHTTSRSTSSITKSELATRVAEEKERAAAESGGKEAMEGAVTTNGGGKEQGSRFRRVCVFCGSSSGKRSSYRDAAVELGKELVARKVDLVYGGGSLGLMGEVSEAVHKGGGHVIGVIPTTLMGKELTGETVGEVRAVAGMHQRKAEMARNSDAFIALPGGYGTLDELLEVIAWAQLGIHTKPVGLLNVDGYYNLLLAFIDKAVDDGFIKPSQRNIFVSAPDARGLVQKLEEYEAVQDEDPATPKLRWEIEQVGYNSTLQAEIAR >Et_9A_061202.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21790788:21791243:1 gene:Et_9A_061202 transcript:Et_9A_061202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPQPRHSVQTYWARRNYRRLGSPSRRLKVARLGGGRKEPVRSAPWKKPAVAALRLRAAIALATRPARLLARLRDAYVDAMLALAGGAGRPCAALARSRSCAPGEAGMLARRVPRARTRGSSSDFERRMMAHIYSAVVTPELPCAGKA >Et_10A_000730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16103389:16103730:1 gene:Et_10A_000730 transcript:Et_10A_000730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRKIHTKVLSLIFLYVPTPIAPTLLFLSTETGSPYPII >Et_1B_013403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8053169:8055269:-1 gene:Et_1B_013403 transcript:Et_1B_013403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLIVSSIGRSMRRKRLSSLDILSSKRAPRDYYKGKNCKPTGFHTRKGGYVIVDEKLPRFVVPDLTDFKLKPYVSQCARDLTASTTSSTTAESTENKS >Et_4B_038585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3019570:3021677:-1 gene:Et_4B_038585 transcript:Et_4B_038585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKAGAQRRQPPLWRRCRSLRQVKQVHALMVLQGFLFDASALRELLFASAVAVRGGIAHARLMFDRIPQPDRFMSNTLIRGAAHSDAPRDAVSLYARMARNGGVKPDKLTFPFVVRACTAMGLATTGAQVHAHAVKAGCESDAFVRNALIGMHASCGDLGVAAALFDHTARGDAVAWSAMISGCARRGDLGAARQLFDESPVRDLVSWNVMITAYAKRGEMALARGLFDRAPDRDVVSWNAMISGYVRCGSHKHAMELFEQMQCVGEKPDIVTMLSLLSACADSGDLDVGQRLHSYLLERFSRTGLTTVIGNALVDMYAKCGNMKSALEVFWSMRDKDVSTWNSIIGGLALHGHVMESIDVFEKMLMGSIRPDEITFVAVLVACSHGGLVDKGREYFNLMQQIYRIEPNIKHYGCMVDILTRAGLLKEAFEFISKMKIEPNSVIWRTLLGACRIHGEIELAEYANRELLKARSDASGDYVLLSNIYASVGEWLGSEKMRKLMDDSGVNKEAGHAVVDGQSKEPLQSYDSSNQVMDLKDLSQSLIFQLLGCRVKQINMNKTKLLKRTSDIQRPQAHF >Et_8B_059723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:21009380:21015386:1 gene:Et_8B_059723 transcript:Et_8B_059723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGGPLGAIIGRYPSAVTAGMALGGGPLGAIIGRYPSAVTAGEEDPGRGIIRHDRKCRDIPFLALFAAFWVAMIVNSSFGFNQGNPLRLTYGLDYKGNICGSRHGEPDLRELDVRYWMNPDQVYQSGLKDNKINLPDAKAICLMECPLPAEDGLNFVCDYPEGDIRLSVDDWIDRDYDYFEYLTPEMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARPSNVSLKHWKQMGGVSIDENMLIDKTIHNAINSKSTVLKRYVADIGKSWPVLIVCGGIVPLFLSVIWLLMIRYFVAGMAWITVIVFNALEINHLHVAAFFMTAIMIIAFLTSIAIARRILRATSVLKVAAKVTGEVQALIIFPIVPYFILAIFYIFWFSAMLHLFSAGQILQNDCNTNCCSYDLKLDKVNCDRCCGYSIHYTPHITIAILFHFFGCYWATQFFIASSSTVIAGSVASYYWARGEISHDIPFHTVVSSLKRLLCYSLGSVALGSLVVSIIEWLRFILESLRRRLKLSDSSHESCFGKAMSSSSHCCLGCIDWTIKSVNRNAYIMIAITGKGFCKASVLATDLIMNNILRIGKVNVIGDIILFLGKLCISLFCALFAFLMLDEHKYRSAHNKISSPLVPVLLSWALGYIVAKLFFAVVEMSIDTIILSFCQDAEGHQGNAQYAPPLLMETLDE >Et_7B_055082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7079108:7081172:-1 gene:Et_7B_055082 transcript:Et_7B_055082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVRNPLGVGDPVGMRELVLFVLFCYLFSRSAGELLDLCSLVLVLLVLPLAFICVSLTGIVPSDAYDPIDPNSNITINWDLQSINNQSNSYTVMVSIHNYQLYRHIEQPGWRLSWNWPGKEVIWGTWGAEATEQGDCRRAGPLRQPPHCCEPRPVLVDLPPGSPYTRQVANCCRGGTLSSLTQDNRTALAAFQMAVGLVAFDADGSRGPQKPWGFDLGVPGYTCSNATEVPPTRSRVDKTRHVQVLLTWRVICSFSQYREAAAPPCCVSLSTFYNSTIVPCPKCSCACPDSPGGDPSSTVVAAAPVVRCSGHMCPIRVHWHVKTSYRDYWRVKVTINNYNQVKNYSDWNLVMRHPNLRSLTQLFSFNYHPLIEYGDYNDTGMFWGVKYYNEMLLQDGNVQTEMILKKDPGDFTFSDGWAFPRKVYFDGHECVMPPPDQYPTLPNGASAARWSRIAASCLLLIFVFVLV >Et_7B_054030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14387341:14389938:1 gene:Et_7B_054030 transcript:Et_7B_054030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPFLLRPHALLCPSLPLASALNSRTQATSIPRRRGTRLSTSAGAAKTTLRTKESAASSSDGEVDAFDWLDQWYPFAPVCNLDPRAPHGKMVLGLNVVAWHDRGAGEWRVFDDACPHRLAPLSEGRVDDKGRLQCAYHGWCYDGAGACKFIPQAPALSGPRKACVASYPCVVQNKILWFYPRTEAEYKDVLQRKRPPYIPEIDDSSYFTAFGMRDLNYGHDSLLENIIDPAHLPYAHKGQLPKSSVWDQEGGEPIKMKIEEESVTGFLCAIQGGSTRFTAPCTYRGTCGCAVRRVHPNRFQAYLCIPVAPGRSRIIYGFPCNSGSWVDKIMPRWFIHLNQNSVLDSDHYLLHLEERKYAEKGLDNCRDACYLPTLSDRMVVAFRNWFRKHCKNRIGWATSQPIELPPVLPKDRLLERYWSHVVQCTSCSTALKAMKALEVALQVASIAVVGFLAVAKGTLVMSTVQRTLVVSAAVLCLAASRWLADFIEKNFYFQDYVHADK >Et_2A_017058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30563558:30568021:-1 gene:Et_2A_017058 transcript:Et_2A_017058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRQELCRNFQRGRFASPPFLLCSCWLIPNKWVRESPTKQQEAAPQPPAAHTTAQTLSHADNKLLRILRTRLRSGSLLCSPQKELRARAYEEGRQGHPLQSIRERNLQNAKLMEFTSFLNNPRVSQTASFPNVASVPEVKSNSSFGVSQNNGPPGFNSFSQIGAATNFGPPRMTTGIPTNNLFGQSSQTSNPVFPAATFGVSETKFGVSGPHDGQTFQLYSMRISSRVDEENENQDDSIWLKENWSIGEIPLSEPPKRHISH >Et_3B_031479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31112439:31113898:-1 gene:Et_3B_031479 transcript:Et_3B_031479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGKEAARERREQRRREVTHLRTVPYEPHQRWWDHLERGPARAVAVVTGANRGIGFEAARQLALHGLHVVVASRDVERGQAAAERIRTEAPDEAGVSVEWRQLDVADAASVQAFAAWAAQTHGGIHVNNAGVNFNKGADNSVEFAEKVIETNYYGTKRMIDAMIPLMKPSPFGGRVVNVSSRLGRVNGRRNRIGDVSLRDRLLNDDCLSEQLIDEMIVKFLKEVEQGTWSSNQWPQMYTDYSVSKLAVNAYTRLIARRLSYRSEGQKIYINCFCPGWVKTAMTGWEGNISAEEGADTGVWLALLPQEQATNGKFFAERREISF >Et_3B_029529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25782277:25793098:1 gene:Et_3B_029529 transcript:Et_3B_029529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLALAGLRWAASPIIKKLVAEASTAEKSTHRYRLQAWLQRLKEAHYDTEDLLDDHEYNILERKVKSGKDPLLEEDVSSITSTIRKPFRAAKSKASNLLGKNRKLIKKMKELKAILTEAKDLRELLGLPAGNAAGSHAEPATIVPPTTSLPTSKVFGRDKDRDRIIDILINKTQASEENSASYSCLAIVGAGGMGKSTLAQYVYNDKRIEEHFDLRMWVCISRKLDVRRHTREIIESAAKEECPHVGNLDTLHDKLKDILQ >Et_5A_041126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18584287:18590469:1 gene:Et_5A_041126 transcript:Et_5A_041126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAPPRASLTRTSLVQRPTPSRRAVTVCPRRAVSAAAAASCILADAPQGTKVEPVDASAQSAAARRDVSPDTVASIILGGGAGTRLFPLTRTRAKPAVPVGGCYRLIDIPMSNCINSKINKIYVLTQFNSQSLNRHIARTYNFGEGVRFCDGSVEVLAATQTAGESGKKWFQGTADAVRQFLWLFEDARLKRIENILILSGDHLYRMDYMDFVQKHVDSGADISVACVPMDESRASDFGLMKTDRSGRITDFFEKPKGENLKSVQVDMEIFGLSAEVADTYKYMASMGIYVFKTDVLLRLLRGHYPTANDFGSEVIPLAAKDYNVQAYLFNGYWEDIGTIKSFFEANLALTDQSPNFYFYDPVKPIFTSPRFLPPTKVENCKVLNSIVSHGCFLTECNVEHSVIGIRSRLEPGVQLKDTMMMGADYYQTEAERFAELSDGKVPVGVGENTQIRNCIIDKNARIGKNVVIVNSENVQEADRPSQGFYIRSGITVVLKNAIPIPHDMLLLEYTIKDYNGVISKINHKMVTAVKSYGAGPYHQYGQAVQ >Et_2B_021010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25652412:25655644:1 gene:Et_2B_021010 transcript:Et_2B_021010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFHFLSPSSPLRPRFLLLSNPPANLSFLAMSAAAPSSSSRPVRGAAVQVPSIGTDEAGAAAEEAFQRHTSPNLRRSGAGVAVVWFRNDLRILDNDALLRAWASSEAVLPVYCVDPRVFAGSTNYFGFPKTGALRAQFLIECLGDLKQNLRKKGLDLLVKHGKPEEILPAIAKSVGAHSVYAHKETCSEELLVERLVSKGLERVVITQGGASDQKKPPSPKLQLIWGTTMYHIDDLPFTVNNLPDVYTQFRKAVESKSSVRNCSKLPPSLGPPPSSGLDEIGGWGTIPRLESLGLSSEKGMHFIGGENAALGRVHDYFWKKDQLKVYKETRNGMLGPEYSTKFSPWLASGSLSPRYICEEVKRYEKQRVANDSTYWVLFELIWRDYFRFLSKKYGKSIFHIGGPRKVVSKWSQDQALFESWRDGRTGYPLIDANMRELSATGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPASNYGNWTYGAGVGNDPREDRCFSIPKQAKTYDPEGEYVAYWLPELRSIAKERRNFPGASYIRQIIPLKFDGGHQKKDQQFNRQRRPNHMYRR >Et_3A_027269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6528263:6529105:1 gene:Et_3A_027269 transcript:Et_3A_027269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLQDDFDGGAAPKLHQPRDFEGKMKGALLGLTVVSMAITLAVSEPPNSLRRDVYFVALSGAFLAGVTQVTASVWAADDAASGGRHADGRKLVYASLVIAGSLTLASLLWKDEQRVQILLQ >Et_8A_057855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8375397:8392835:1 gene:Et_8A_057855 transcript:Et_8A_057855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSSEAIIACVYSSKTDTWGNIVSLLWPQNVHIVSANCTSALVGNSIFWLLTGRRSFDILEFDLCRHSLACNKIPSNVSSLGSCIHYDLLIAPADGGGLSLIVLSDFRAQVWKRNSDCNNGAGWVLRNTTDLNNLLSLRSEFYTIPPAIIGLVEDDNAMLLWTDVGIFGIQHPSSIHKFLFNRPPAPETALEGEDLLGECLMRLPPQPSSVPRASLVCKRWRRLVSDPDFLRRFRAHHGRPPLIGYFSFDSVASKIKFTSALDPPDRIPSERFSLRFDHQSIGVLDCRHGRVLCVDWKRCCLLVWDPVSGDRHRVAIPPEFGKNITAAVICATGDNGPAHGAIQSSPFHLVMVDSSQTGVNALVYSSETGTWGNLISEVWSNLIPPSDIPHYFCFKQRPGVLIGNSIYWLLMDGVWTSASRWAVILEIDLGRQSLAVITLPPGVYDDMCRFLITHADGGGLGFVILSCFSAKLWKWNANTDGVAEWLLVKTIELSNLLSLSSSVITQLPDIMAFSEDNNAMILSTFDGVFMIYLDSLRFKKLPEIKDFPIYPFTSFYPAASMYSMSISQVKPVYIEDIWELYNSFSNHCQIWNIWDWPCFFATAHQAQGKAI >Et_6A_047173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26340894:26345841:-1 gene:Et_6A_047173 transcript:Et_6A_047173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPPPPRQLEVRRFAAARAGELRSLHAAVSDRLDVGGRRFQQPRSARRRTTGHLPSKRRRRSGEGGTGDEDGGHTSARKQSRRVRRRRELAGNPAEGFSVAGDGARRLRTHLWHAKRFTMERRWGFVLPVHAQGRGRGSRSVLKRLKNGTVIHDASYLVPIQLDGPEDSLLSVLRMVLHPPPPGKAPDLKHMQDQVMRGVCYENAMLLGVGSHCPKILGPVTYMWRPFAGGYDNLELKEEYLSSSHSFDEKDQCSLHRHLWIWIHPSALDEGLEAIRSACDKQMQGSNAVVKCCSLEGKIGRLDVMGCKAIQSLQRILHPIINSSTINMVPCTNNVSTSTDAPLDSSKMSPLSKASLIDHAGILHPGAILSMIVHDPREVSAQGTSPKVVALNKENKFLEEDVVPNTDEAPSEVGNVWSSMWMHRGRHDLFLSDCNELWDSSHNINPPVAEEVLSAEKHHERMKLFCLDSGNDQGQTTQEKDSFGRSCPVVLLKHAKEETLALGWSIVLPLNWVKPFWLFLVSHGAHVIGLRERRWIATKLKIPCFPYDYPDSKAYASFMAEEAVVLNKAFECLPAAKRPPRVPVPPLWHCIMASFGKGDGILRSLAVDGLVRATVVLSKDSPVNSESGGAEPWQSNVLASLQLRVPRTIQMLRQYVNEFDTKYLGSSSLLETDTDKPNLASNGIVKTTCSLNELCLTRVLIQAFKEGSFEEGAVVCAPFLSDLSGWKTRSDDEEEECVEKWELQLPQSHVSSYFSGLDHSTSNPQLPEDDTKQEAFRWPIGFVTTGFVHGSSGQDAVAVAFCEAKLLALMRRQQWTHENLQSREICVLVRNARSAVYRRALATIVLEQQEADLKFM >Et_1B_012723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34739611:34742437:1 gene:Et_1B_012723 transcript:Et_1B_012723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CDPSLPCLVPAAVRSPRRAVVPPSFTSRSGVLRVCRRALELLVAGGGRKHEARIPMALSLKLRAILFPFQMEPRREELLHELGEMWDEIGEAEDERRGMLQALEEDCLNVYRTKVEQVRQHRAQLKREIANSVAEVAAICAAIGEPPATVQTACSSLKGTGNLKEELGLIAPELAEMRRRRDERRRQFLEVTERLNRIRQEMSPGGHQPHVAVDASDLTLSKLEELRAQLQNLQTEKESRTRKVAELRDLLRSSSLVLGMDPREFVYVRGKEEQAGDMSDGAMAMLASEIERLREIKRDRMQKLQDLVGSMLELWHLMDTPSEEQRRFQSVACNIAASEDEITQPGTLSIDFIHHVEAEVVRLENLKESRMKDLVLKKYDELKEIRRRARLPEEDGGDTVLMFDAIDSDAERSLILERLEAQISEARDLEFSRKDVLERMDKWQAALEEESWLEEYNRNENRYNVGKGTHLVLKRAEKARAVVSKMPAMVESLTAKVIAWEKERGTRFEYDGDGLLDMLEDYSNTRKEKEHERKRQRDQRRLQGPGERDASPMARPPPKNIKNVTRTLSMGGSAGGGRKASGVSSRPSTPSFLKSPMSARRGGSDEGQLLSDSFE >Et_5B_044003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18856333:18859866:-1 gene:Et_5B_044003 transcript:Et_5B_044003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNSGERAVVVDARDHMMGRLSSIVSKALLKGQAVDVVRCEEIAVSGGLVRQKSKFARFLRKRMNTKPSHGPLHHRSPSRIFWRAVRGMIPHKTARGEAALARLRAFDGVPPPYDRTKRMVIPEALKVLRLQPGHKFCRLGDLAKEVGWNHQDTIKELEEKRKEKAKITYDRKKQLTKMRALAEKAAEEKLGSQLDILAPINTGSWVMLKKVTAHYPNIPSKSLGNTKT >Et_2A_018141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13467456:13468349:-1 gene:Et_2A_018141 transcript:Et_2A_018141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFYISLIVLALVAQYPETKADTNKHSLLLEMVPNTAGTKRFYPEIRFFGLPSWKWNGKINLCSTG >Et_5B_043967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18418240:18418953:1 gene:Et_5B_043967 transcript:Et_5B_043967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKIYIVYYSTWGHVATLAEEIKKGADSVDGVEATIWRVPETLPEEVLGKMHAAPKREEHPVITAAQLAEADGVLFGFPTRFGMMAAQMKAFLDSTGGLWQSQALAGKPAGFFFATGTQGGGQETTALTAVTQLTHHGMVFVPVGYTFGAGMFGMDEVKGGSPYGAGTFAGADGSRTPSETELAMAAHQGKYFAGVAKKLKA >Et_8B_060691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:545711:546809:1 gene:Et_8B_060691 transcript:Et_8B_060691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTIGWRRISWSRELVVGGFTQEQPRQQAMVLEQLQQWLLHRAVQLWVKRKEQGREAIYRVFFRDFVPSALAFLMNGEPDIVRNFLLKTLLLQGWERRIDRFKLGEGAMPASFKVLKDPKRGVDKLVTDFGESAIGRVAPVDSGFWWITILCAYTKSPGDMSLTETDMCQKGIRLIMNQCLAEGFDTFPTLLCAGGCCMIDLATAKRRRRGVRRSGKGRASIYRTERTRSQSR >Et_2A_017530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35185708:35188372:-1 gene:Et_2A_017530 transcript:Et_2A_017530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMLVRTPSPGLSDANRDISANHHGGLQCSNLLKKRASARRWMLCSLKYACLGIEPGEAGRRSAVYSSLAVNPAGEAVISSEQKVYDVVLKQAALLKRQLRTQTPPLLDVRPQDLEIPRNGLKEAYSRCGEICEEYAKTFYLGTLLMTEERRRAIWAIYVWCRRTDELVDGPNANYITPTALDRWEKRLEDLFAGRPYDMLDAALSDTISRFPIDIQPFRDMIEGMRSDLRKARYKNFDELYMYCYYVAGTVGLMSVPVMGIAPESRATTESVYNAALALGIANQLTNILRDVGEDARRGRIYLPQDELAQEGLSDEDIFNGVVTNRWRNFMKKQIKRARMFFEEAERGVTELSQASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVGKGKKLLALPVAYGKSLLMPCSLRNSQT >Et_3B_029488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25436209:25440260:1 gene:Et_3B_029488 transcript:Et_3B_029488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRLMRGLSLRRLLAQQRSPADFYGKSQAWSSTVSFSDVDEKNDTDVEGGGDFTGPRKNWGREFRSVHWAIVCGKVGQAPVQKILRSGHTVTVFTVGTGGMWDQRIEGADLPMPAQWHRIAVHNDQLGAFAVQKLVKNASVYVEGDIETRVYNDSVNDQVKNIPEICVQRDGKINLIQSGETNVSQSLDELIIQFLQLHDSVHIYDKSEPTTSTTAFRARSLGHGVFYYRLSIRVLLALLCGD >Et_4B_036747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11167980:11170242:-1 gene:Et_4B_036747 transcript:Et_4B_036747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NPPPRRRRRRFSPLAAMGIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLVRRTKSNFNAVILKRLFMSNTNRPPISLRRLVKFMEGKENQIAVIVGTVTDDKRVYEVPAMKVTALRFTETARARIVNAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFK >Et_2A_016268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22799435:22806505:1 gene:Et_2A_016268 transcript:Et_2A_016268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGPAVPVFEMGRPACPENIEWGLIVWKPQASLSEREIFASACRSSSFGSVKPTEPSQARSGRRHSAFAAATMEGYKPCAAMVVTQGIYAALALWSKAVFTRGMSPMVFVVYRQAVATIALVPIVMVANRRKMKEMLCPGVVGFSLVFVASLIGYASHNHKKFGVRNKILLLLMLIARYISSSNTNSLFRVDRATVNQCMYYQGVNLGSSSMATAMTNLIPAITFVVAASVGLEKVDVRRPRSLAKIFGTVVCVGGAMAMAFFKGPKLLSDMNALLHSSAGSRWVMGALFLVGSSSCWSLWLILQVPICKSYVDPLTLSSWMCLLSALQSAALVPFLVPDPNAWKIHSLFELSSCVFAGAIGSGVTFYLQSWCISVKGPLYSAMFNPLCTVITTVVAAVVLGEKLHVGSLMGAVGVIAGLYVVLWGKSGDGKVVSAPEQIQDLEKTIAQPDSKLDLQAAMEAYMPSAAMLATQCIYSTVALWAKAVFTGGMSPMIFVVYRQAVATIVLVPIVAVVHRKKMKQIMCPGMAGFSLVFLASLVGVEQSDVCTDSYHSEKRLLFAMFHRATLNLSMYYQGVHLASSSLATAMSNLIPATTFVMAASVGRRVIMELDQIYHLSPEIIPMPPCNSCNSLERVDVRQPRSLAKIFGTIVCVGGAMIMAFLRGAKLLSDMNTIIHSSTGSTWVMGALFLFGSSSCWALWLILQVPICKWYMDPLTLSAWMCLLSTLQTAALVPFLLPDTSAWKIPSLFELSCCIFAARFLCHCNVGSWSLLRIIPCDADVQGAVGSGVTFYLQSWCISVRGPLYSATFSPLATVITTAFSAVVLREDLRVGRWVDFSEHLFLGTIIVGHLRLLGAIAVVTGLYIVLWGKAGDIKNGRAPDQLNDPEATASPLLSDPQLIEDENVDTEPLLADSNTAEKST >Et_1A_005978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16333778:16335416:1 gene:Et_1A_005978 transcript:Et_1A_005978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Et_3B_031639.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6618397:6620898:-1 gene:Et_3B_031639 transcript:Et_3B_031639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLPPLLFGLLSLLLLGAGAPACFAATDTLSAGRVLAGGDRLVSSNSKFTLGFFQAPDGTAGANNAAPPDRWYLGIWFTAVPNTTTVWVANGANPIADATGSPELRVSVDLVVVDQATGSQTWSARSGSANGTATAVLLNTGNLVLLDASSNSPRTLWQSFDHPTDTLLPSAKLGRDKRTGLNRRLVSRKSVATPSPGPYCYGVDPDAPQLVMRLCGDSSAYWSSGPWNGKFFSNIPELSGNVPSFRLAFVDDSQEEYLQYNVTDEATVTRNFLDVTGQNKHQVWLGASQGWLTLFASPKSQCDVYASCGPFSVCGYSSQPVVCGCMKGFSVKSQVDWDQGYQRGGCVRDAPLDCTSGTPAGNRTSAAAASSTDGFFSISNIGLPDGAQHIQNVTSSEECSTACLSNCSCSAYSYGSQGGCLVWQDGLLDTKSNASSNNPLSGQTLHLRLAASEFHQGSGGGSNNKRGVIIGVVTGACALLGLLVLAAVLIIIRRNKKTRNTKVGGGLLAFTYRELRSATRNFSEKLGQGGFGSVFKGQLRDGTAIAVKRLDGSFQGEKQFRAEVSSIGVIQHVNLVRLVGFCCDGDARFLVYEHMPNRSLDIHLFNNNKSNQHGGAFFLPWAARYRIAVGVARGLAYLHESCRECIIHCDVKPQNILLDASFLPKIADFGMAKFVGRDFSRVLTTMRGTKGYLAPEWISGTAVTPKVDVYSYGMVLLELVSGRRNSDEEYTTTSDGGEQHVVYFPMRAARELRLKGGVRTLLDEKVCGDANFEEVERACKVACWCIQDDEDDRPTMGEVVQILEGVMDRDMPPLPRLLENIFGRPESTASA >Et_10B_003516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2360625:2362145:-1 gene:Et_10B_003516 transcript:Et_10B_003516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSFFVTGRKGRKAKQADADSQSVSSSAAAFPTPSVREKRRWSFRRPAATAAVASRVDSAASGGLAPSSSQCFSEAEVRVVVAQENERHAVAAVPEPATTAAATDVPLWPPATETTERSGGGEDDAEAAAAIKIQSAFRSYLILVLHCIVLRLHRSISWISRAIRRSEVVGDAQARKALCALRGMVKLQAMVRGQLVRRQANTTLRRMQALVDAQRRARDERLRLLEDDDGRRHATSTATTPRPPPTSRRSPQHHRWSRMSVEMVERSSESGEAPGARRGGRCCYTTPPSRTPAKADLYLKVSPTPSALTDASARTLSGRFDDASLASASEPPCRRRTPWRADHAPPSFPSYMANTESSRAKARRSQSAPRQRLVAASESGASVAAASPSPSCGERQLPGWSVSVSGGGGARRRASLDPLDLLGARAAAAAAAHWSSAAGRVERCASRARARANASLPGSECGSSAWRD >Et_1B_013116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5677447:5689501:1 gene:Et_1B_013116 transcript:Et_1B_013116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGPRSKLDHETRARRQKALEAPREPPRPKLHWDYVLEEMLWLSKEFDSERKWKLSMAKKIAQRANKSIADHATKGERKQKEEEHRMRKVALNISKDVVYKHQLEFEEKKKKALDKQLDFLIGQTERYSTMLAENLVDMPYQQKQENITLETNQSPHNMEADDDYQSSLDEESDDDERTIDEDEALITEAERNEELAALEAEANLPLDDILKMYAKLTKDTSNQANGCDHEPSHSSSDEDDSSEEGDDGHSYAEFVKKNHGKSNGNISSRDEQEDKDYVASDEGKDDETTLSEEEELAKKEDPDHLDEVKLLQKESEIPLEELLARYQKDGYTDHGTTESEDSPYFVEKVNTDTPMDGQSANILKVKTDTYEHHQSTDMLENARNVSAKALESETASEHCVKEDSVEENKLTDVKMVNGDKGDDITAAAADATDVKMVNGDKSDDVIADAAAAARSAQPTGNTFLTTKVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNYFHVCITTYRLVIQDSKMFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPSKHEHVIYCRLSRRQRNLYEDFIASSDTQATLASGNYFGMISIIMQLRKVCNHPDLFEGRPIVSSFDMAGINLQLSHSVCMLLDKSPFSKVDLSELNLVFTQNEFSMTSWEVDEVFAACPPSIISGSLEISCSKQDRNGSNSTNIFEDIQKALQEERIKESRERAASIAWWNRVRCQKRPVYGTNMREVLSVKHPVSDLLEKRNNPLCHLDYSSSLANLVLPAVERFQKMLDIVESFTFAIPAARAPTPVCWCSKGKSPVLIEPAYREKCMNEFSPILSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDVLEEFINLYGYTYLRLDGSTPPEERQTLMQRFNTNPKFFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVNIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHASIQAENQQKDCSMSTGSSNDLGLALSNADVEAAIRQAEDEADYMALKKLEQEEAVDNQEFSEEVAVRPEDDEFVNEEDLKPDEHINEEHKYNSSDADKEKTVPVPINQLHEDKALTLAGGDEDIDMLADVKQMAAAAAAAGHASSSFENQLRPIDRYAMRFMELWDPVNVEEEEWELDRIEKLKEDLEAEIDEDQEPLSYESWDVDFATTAYRQHVEALTQKQLLEEQERQAREAAMELEEENDNMSQRKKSKKNKKKTGMFKSLKKVRLSSESEVILEETSVDTMSIDDNASSPDLVRDESPCHYSNKRKKISATEEENSNNRRLKKPKKTSKSNCFSEALSPREHESDQKSAIRTKNDGKVSIPCMPVKRVIVIKPERLKKKGIWSRDCAPDPWTPEEDAVLCATVHEYGPLWELASDVLHSLPGGSFYRGRYRHPVHCCERYRELFCKYAMSSTDNSNSEKVPSGPGKAILRVSEEQAQMLVNVTSELPNNELLLQKHFMAVLSSVWRSKCRRDPRSTSTYSSAFHMSSIKKTGASENLFMTNFRPSFNLVSKALVDAQVQGTRMLIPPTRNQEHRRNYLELELDFLADRHGCDNDFPSIVNVSILEPEPSAQSLEPVDQSLLSGLSCRQAENRLRLASEACFEGEGSHWASSAFHIHDAARQKSGPKSIGKHKAALDSGRPPKSKMQKITESHQEGPIGINNFLRTPGQLLSSTAEFHVSESLSEFGISDSEFAHSEDLSEEADRQEFLPYHDEPGFLLGIEELEPLSDFTDIG >Et_4B_037937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2522606:2527017:1 gene:Et_4B_037937 transcript:Et_4B_037937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPEPSSSPVLLNIGGKKYATTVETLTQREPDSMLAAMFSGRHTLPHHPTTGMVFVDRDGKHFRHVLNWLRDGAIPVLSESEYQQLLREAEYYQMLGLVDYINERLGWKKVENLEAELTRKDVIKSIQSQRVRFRGVNLSGLDLSKLDLSEVDFSYACIKNTDFTCANLYKAKFAVEASSSSFRNANLRECEFIGANLQEAILDGANLRSANLQDACLTQCSVIETDLHSAHLQTANLAGANLKGANLEAANLKGAKLAGTNLQGANLQRAYLREVDLRDTQLTGAKLGGANLLGAIRSNFVRLDIDYNVTSYSGVSI >Et_2A_014744.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:11643332:11643478:1 gene:Et_2A_014744 transcript:Et_2A_014744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVIKVGADSMMRAAMTVLRRQGRCVMPQAIQPPPANPTQDGDDQEA >Et_4B_039204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8791719:8795277:1 gene:Et_4B_039204 transcript:Et_4B_039204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGGGSASAPPPPAESTGAGAEAKADGERGSKPVMVILVGPPGSGKSTFAEAVLAGSAAGRPWVRVCQDTIGNNKAGTKMQCLKAAADALEEGKSVFIDRCNLEREQRTDFVKLGSTLHVDVHAVSLELPAKVCISRAVSRTGHEGNLQGGKAALVVNRMLQKKETPLLTEGFARIMFCNDDSDIKKAVDLYNSLGPSDSLPSGVFGQKSKGPVQVGIMKFLKKADSSSVEKSSDPKLALRERKPEHQDALPKQENVEAGNCSIEVKEELNEEENRKQSEGSGSYDIGSHTLAFPSISTADFQFDLDRASDIIVESAADFLQKYDNLRLVLVDLSQKSRILTLVKDKATKKRVDSSRFFTFVGDITQLLSKGGLQCNVIANAANWRLKPGGGGVNAAIFNAGGEALQHATKECADTLRPGSSVVVSVPSTSPLHQQEGVTHVIHVLGPNMNPMRPDYLKNDYTKGCKILREAYNSLFENFASIVQSYTGKQNNDSVADKSAASRTAPSDSKMKREGSHESERMKKHKLFQPILTSKKQHECPGSNAPNRSGTSLGSSDAPNQATEADNKNSGADASRSWGSWAQALYELAMHPDKYKNSDSILEISDEFVVLKDLYPKAKKHILVISRMNGLDSLADVKKEHLPLLRKMHSAGVKWAQKFLKEDASLVFRLGYHSVPSMRQLHLHIISQDFNSASLKNKKHWNSFTTSFFRDSVDVIEEIEQHGSATTSSDDKVLAMELRCHKCRSAHPNIPKLKSHIANCKSPFPSNLLQKNRLLSSSTEHMDCT >Et_7B_054054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14735967:14740322:-1 gene:Et_7B_054054 transcript:Et_7B_054054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWDSISAANPIGDLGDLDAYLEWLRTKVSVTEEMNRKASDEIAVLAEATVNDTIQLDVGIEELESSLWKLDSKDLKHFEVNPVVELPESTDSCVIESIVDKGYKYEVLKLEQQIEESEMDLELLQDMERDDAIWQLESLLSSSGAKILDFKDNCLRVSLEAPILTSDLIYEHKLDCAIDSFVSDHELLIEVGEGIMEPQKVQIFPNDVSVDLLIELLKSSREVISSPTLGWLIQQIQHRIIVNALRRSLVKDANNSRHSFEYFEKEETIVAHLVRGIDLSIKTPADWPLSSYGLRLISVRNSGTHPTNVTSSLLEKTKELANGLELQIRRHLARFIDAVEEILVRELRSEIHAGRISS >Et_1B_011441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22287612:22288715:1 gene:Et_1B_011441 transcript:Et_1B_011441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKHEDVGLALGLSLGSGHQAPKEHPSPSSQPCALVPSLSLSLPADDGGLTTPVLPLFTAVKRELPVEEDDDVMDRALYSVVSSAADDEEGCNSRKKLRLTKEQSALLENRFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCELLKRCCETLTEENRRLHRELQQLRSLNQAHPAAFFMPATALSLCPSCERLASAPGATAADRPKAAGPGRAAHLFRTFTKSAAC >Et_7B_055542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13816637:13819658:-1 gene:Et_7B_055542 transcript:Et_7B_055542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLANTASLLQEHLRLWVIRALRAVSTQAMEDWCTLQSKERSSLIMASPPSSSVLPKVRELRLVVDDATETTSRRAKWWYVTFHNVTAMVGAGVLSLPYAMAHLGW >Et_9A_062743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:433274:435946:-1 gene:Et_9A_062743 transcript:Et_9A_062743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVMVTIIGSVLGFLIGISFPSVSITKAILNHAWTSARNAKGGSTGQSSNTTLKIYVPTNPKGAERLAPGIVVSESDFHLHRLWGDPSEDLPFKTKYLVTFTVGYAQKENINKAVKKFSDDFAIMLFHYDGRVSEWEEFEWSKRAIHISVRRQTKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFNAEEYIKLVKKYRLDISQPGLEPDRGLTWQMTKRRGDREVHKDTEERPGWCSNPHLPPCAAFVEIMAPVFSREAWRCVWHMIQPAHERIGVVDSQWIVHQVVPSLGNQGQPENGKAPWVGVRARCRKEWGIFQTRMAEAEKAYYKMMGITPPNSTLV >Et_4B_037745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23070048:23077694:1 gene:Et_4B_037745 transcript:Et_4B_037745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPAQTAALDRDRSPPPPPPPPAQSSAAAGISSPLAVVCSFWKDFDLEKERIGLDEQGLKIAENQETSQKNRRKLAENTRDFKKASPDEKLSLFNSLLKSYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASMADQDQKLSELETENRKMKLELEEYRAESAHLKNQQATIRRLEERNRQLEQQMEEKVREMVEMKQRSMAEDSQKTLEALKEREQALQDQLRQATESVKNMQKLHESAQSQLFELRTQSEEDRAAKEAEVNLLMDEVERAQARLVSLEREKGDLRSQLQTTNEDAHQNSSLNAKEKIISELNAELRNIEGTLSSEREMHVNELKKLTALLSEKESTLMELKKELQERPTRKLVDDLKKKVQILQAVGYNSIEAEDWELATNGEEMSKLEALLLEKNRKMEHELTQLKVKISEKSNLLEEAEKRITELTSKVEEQQKLILKLEDDILKGYSSTDRRTSLLNDWDLQDIGSSEASEGSDPRQASSDQDQSSMLKVICNQRDRFRARLRETEEELRRLKEKYEMLTVELEKTKADNVQLYGKIRYVQDYSQDKIVSRGPKKYAEDIESGSSDVEAKYKKMYEDDINPFAAFSKKERDQRYKELGFRDKITLSSGRFLLGNKYARTFIFFYSIGLHLLVFTLLYRMSALSYLNTTPGHDEIILDAGNQTLSHMF >Et_10A_001909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10908076:10915147:1 gene:Et_10A_001909 transcript:Et_10A_001909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDDDPYLIRCAADSACRCSALFGCKEKDRLSLQHPWAFAFGLLGNVISFMTFLAPIPTFYRIYKTRSTEGFQSVPYVVALFSAMLWIFYALIKTGEGFLITINAAGCVIESIYIIMYLIYAPKKGKLFTAKIMALLNGGVFGVILLLTLLLFKGDKRVVMLGWICVGFSKRVIQTRSVEYMPFSLSLSLTLSAVVWFLYGLLIKDKYVALPNILGFTFGIVQMGLYMFYMNKTPVVAEGKNAGKLPAATDEHIIVNIPKLSPALPERRSEVHRVTEMATPRMTCSTEAAARAENRDAVDLFVTRNSGIQVA >Et_9A_063164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1308465:1310959:1 gene:Et_9A_063164 transcript:Et_9A_063164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYGGNTRLYVGKLAPRTRSRDLEYIFSKYGRIREVEMKRDYAFIEFSDARDADEAQYQLDGRDVDGSRIIVEFAKGVPRGSGGSREYMGRGPPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPRSLRRERSYSRSPSPRRGRGRSRSYSRSRSRSYSRSRSRSLSGSPRGGRRDQRRSRSLSYSRSPRRSASPPAKERSRTPDGSRSPRSPSPRDRVSPPPKGNDGSDHGDSPRGRQDSRSRSPSDGGRSPAANGRNPSPVDNRSPSPVDNRSPSPRDNRSPSPMDNGNGDDEDRGASPRGSRER >Et_5B_043960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18322086:18335200:1 gene:Et_5B_043960 transcript:Et_5B_043960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVGRSCRMASLVMAAVALLVSAAASGAMAAGLDLGYYSQTCPHVEQIVKDEMVKILTESPSLAGPLLRLHFHDCFVRGCDASVLLDTTDPANPAEKDATPNLTLRGFGSVQRVKDALERACPGTVSCADALALMARDAVVAARGPSWPVALGRRDGRVSVANETKQLPPPTANFTRLVSMFAAKGLGVKDLVVLSGGHTLGTAHCNLFSDRLYNFTGANNAGDVDPALDDAYLAKLRSRCRSLADNTTLNEMDPGSFLSFDASYYRLVAKRRGLFHSDAELLANPVTRAYVQRQATGRFVDEFFRDFAESMVKMSSIDVLTGAQGEIRKKCYLMAAAATTTSSRMTSSLAAPATVAVLVLVILCGSAAGAAGQLDVAYYSKTCPAVEQIVRAETTRIVRAKPDLAAAFLRLHYHDCFVQGCDASVLLDSTADNTAEKDAIPNGSLRGFDSVAAVKSKLESACPGVVSCADILALMARDAVALARGPSWAVALGRRDGLASSAANCGELPPLQGDVGLMVEAFAAKGLDVKDLAMAAAARAASVAVLVVMIAMVMPAAEAHLQMGAYNKTCPQAEEVVLKEMTAILDKSPDLAGPMLRLFSVDCFVGGCEGSILLDSTPNNTAEKDSPLNKGVRGYEVVDRVKAKLEEACPGVVSCADTLALAARDSIRLTKGPFIPLPTGREDGNRSVAADVALNTPPPGANITDLIAQFAKFNLTAKDLAVLSGAHTIGKARCLTVSPRLYNFGGQNGSSDPTLDANYTTTLRGQCKPGDAATLVDLDPDTPAVFDTDYYKLVAGKRGLLSTDAALLLDPATGAYVARQANATSPDEFFADFAAAFVNMSKIGALTHHKGEIRKVCSKVNPPAPPSSNLAAASRTHLAATAVLLVHLALPMASFLSSLLGVVLLAAFAASSARAQLQYDFYNATCPGVEALVRTELNALFTADVTLPAALLRLHFHDCFVRGCDASIMLKSHNGTCEQDADPNSTVRGYEAIEAVKAKVEAACPLLVSCADIMAMAARDAVFFSQGPDYKVETGRRDGNVSILEEALQFLPPADGNVSVLTKVFALQNLTMKDMVVLSGAHTLGIAHCSSFSTRLYNHTGAGDQDPALDPEYAKGLASVCAPGDATFDAGYYQTIVNHQALLTSDQALLDDSLTGAYVERMTNATYLETFFADFAVAMINMGRAGVRTGTDGEIRATCGIYVD >Et_10A_000254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20264040:20266070:-1 gene:Et_10A_000254 transcript:Et_10A_000254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRNDWAVQILVLFSFSLQVFLLMLAWIRRHKVAALLRFLLWLAYQLADSTALFTLGHMAIKARSPNEQQLMALWAPFLLVHLGGQDTITAYSFEDNRLWLRHLQTLIVQILGVAYILYKYIPGSETLIRAAAVLVFVVGGLKYGERIFTLRSASMDSIWRSLDKSDASGVNQTGGDRGWSESTCDDEDILMVAHSLLDVCKGLFTGARQARPAHIKDIMQLCRDGRKLDMLMEMELSLMYDIMYTKAAVICTWHGCCIRVISPVATAVAFLLFWISSNKDGHRHKKNDRAEPAGSKMAKYMGLERWWYYLRHSHSCRISSSTKELVLREILEMGSRRNISSQPGLLALQQHKLDALLDWSIQDSDLEGSIIAWHVATEIITATEDTGQGSIDDEWDRSQVSVTRGYDRRSLSEDVILKKACREVSRYMMFLFMVRPYMLPGPIRRSRYADALNGWNTVVSKIFGEVVPPKRMHHWVIRSFRKMLHQGPLSQRQGDFLDVFPDNLGHRSDYGPALEGFSVAEALIYQSNRLQVIFAVWVEMLCYVANHCSRESHASQLSSGGELGTIVWLMAGHANLANISAEYWDD >Et_1A_007715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37544879:37549550:1 gene:Et_1A_007715 transcript:Et_1A_007715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAMWRCGSDAGWATVPAERFWPRMPLEMEEDGVGNGVGMRWLPGLENAHLLEHDGMVRFLFREEDNRAFPWPRVTFMLQDMVGQDWALVDWAAAPEFHDKVIFQSSQSTCYVLPARDDLGGLSKNCIYFFSRQHLEEGRQEYCLCKWDFLEHVFTVVKQMPGVWDWAEARWFLPTLKHYRPHLEVERRAYCVYARRLGLGGGLMVPAHSQNWAALPEDMVWCISARLDDPVDFISFRSPWREAITRNGHARFQPWILKSDEVGGDGNVIFHCMTTDKPLVMHHVLPEWCDGGLTYGFATEMTGDEDVFVVIYNWWPNTLEERMDIATWRCGSDAGWATIPAERFWPRMPLLRSRLAKHGPMGLELEEEDGVGDNGGMANAHLMEHENQVRFLFRQEDNWLPFPWPRVSFALQEMVGEDWALVDWADVPELHDKVILQSWHSTCYVLLARDDGFRGLSKNCIYFFSWQHLEEGRQEYCLCRWDLLEHVATVVKQMPGVWDWAEARWFLPTL >Et_4B_037586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21398187:21404241:-1 gene:Et_4B_037586 transcript:Et_4B_037586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGKTKGGVPALGWWLMTVATVCLGLTWSGFFSPASLGSATYGEAQMTGVHGRTFGVWTLLSCTLTFTCALNLDNKPLYALTFMSFIYAYGHFIIENVVYHTSTAANLITYAVVAGVPALGWWLMAVGVVRMGHTWSGFFSPAAIGSATYGGAHVTALHGRTFAVWTLLSGTLCFLCAFNLGNRPLYAATFLSIAYAYLRPPDYRVPGVRQHHRGESRHVHRRGWDIDCVDAAAVELPWPSCCQQAALIMFLLVVS >Et_5B_043289.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22213849:22214097:1 gene:Et_5B_043289 transcript:Et_5B_043289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVLKIDLQLMKTRLCMFQESIKSKMEMWSTFVEEQIHPSMKIQLQRSTSSTRSEDALVACEGYSFGMCPSSRLCICTSLS >Et_4B_036127.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26197434:26198168:-1 gene:Et_4B_036127 transcript:Et_4B_036127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSQGKVFWADLSQGVAYCDLRARDGAVKFNFIKLPKAYRIHWDWSTDEPRELPLMSRTMGCVGGSIKFICIDRSHRHRGSELVRVWTLDLDNGWRKESKGILWKELWKKVRFMPAEHRDVVPQFPTLMPDGALCLLCKVKRPRSGAETDFICSFDMCSKSLLSSQRVVDYDTIGRVPMPYNFFTLCNPPPPPKGKLPSHASEQPAHKKKLPTQKRKLPTIWKETSKRSAVALQVVPPPHRVV >Et_4B_036766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11306086:11306928:1 gene:Et_4B_036766 transcript:Et_4B_036766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSLKLWNAMVRVHSAAFSSSPQPFQGLGLAGATLSDDDPTTTTTTTTASDKREVFTIWMKSLVLHGSGCTVYDSAGSIVYRVDNYGARRAADVCLMDLAGNVVLQILKKKLGFGRRWDGYRCEQEQAAARRPWFKVVRAWAWRGPSRCTFSELSGAVRYRMDDGRIVDGATGLAVAEVKRKTTPAGVALGADVLTLVVEPGVDRSIIMGLVLVHGLINRAM >Et_10A_002265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6241751:6244316:1 gene:Et_10A_002265 transcript:Et_10A_002265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMRPHAVVVPYPCSGNINPALQLAKLLHLQGAYVTFVNTEHNHRRMQQAAGKEEGGGEDGTFRFETIPDGLSDAERGANDYGLSLCVATSRRCAAPLRELLVRLNATPGVPPVSYVVPAFLMSFALDVAAELGIPSMVLWGCSAGALLGHMRLRDLREKGYIPASELEGVIDWIPSLPPMRLGDFSGFLRRTDDPDSFGLRFNESEANHCTKAGALLLNTFEGLEPDALAALRAEYPRVFTVGPLGALLAADNGAGELASLWKQDDAQCAAWLDAQAPGSVVYVSFGSHYAPTRHVVVHGLLPRPTSTAAVGLPLQYVCSAGVLCLGLLEPKPLQHHRQRPDRPPVSPPQAAVWPTSERTTSRSKGTFVEKTRWLDPARLSVNGLVVFLSYYLSLEYADRVLRMKRWILAKRMAGDFEGDQLRIMRKLDNVLNTDSNEKEVVFVLLANELLREPRPSRTATDRRRPNA >Et_2A_016454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24899705:24901224:-1 gene:Et_2A_016454 transcript:Et_2A_016454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALGLAQVDQSTVAIKETFGKFNEVLEPGCHFLPWCIGQRIAGYLSLRVRQLDVRCETKTKDNVFVTVVASVQYRALADKASDAFYKLSNTREQIQSYVFDVIRATVPKLELDVAFEQKNDIAKAVEEELEKAMSTYGYEIVQTLIVDIEPDDRVKRAMNEINAGKMRLAANEKAEAEKIVQIKKAEGEAESKYLAGVGIARQRQAIVDGLRDSVLAFSENVPGTTAKDIMDMVLVTQYFDTMKEIGASSKSSAVFIPHGPGAVKDVAAQIRDGLLQSNMH >Et_4A_034816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6789518:6792462:-1 gene:Et_4A_034816 transcript:Et_4A_034816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICIDNSEWMRNGDYMPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIAFIGSPVKYDKKVLETIGKKLKKNNVALDIVDFGESDDEKPEKLEALIAAVNSSDSSHIVHVPPGENALSDVLLSTPIFTGEEGGSGFAASAAAAAATGATGFDFGVDPNVDPELALALRLSMEEERARQEAIAKKAAEDSSGTENKDHASSSHSDAVMADAEPPSNASADDKKEQPKEDDDLLQQALAMSMEGGASGSAADATMAEASAVDPDLALALQMSVQDANMAGESDMSKVFEDRSFVTSILNSLPGVDPNDPSVKDLLASLHGQGEVCLL >Et_9A_062094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19732778:19735745:1 gene:Et_9A_062094 transcript:Et_9A_062094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMQGQRTETMVAVAVALVAVAAGAAYILLRSKKPRGCLDPENFKEFKLVEKRQLSHNVAKFKFALPTPTSALGLPIGQHISCRGKDAAGEEVIKPYTPTTLDSDLGRFELVIKMYPQGRMSHHFREMKVGDYMSVKGPKGRFKYQPGQVRAFGMLAGGSGITPMFQVARAILENPNDSTKVHLIYANVTHEDILLKEELDSLAESYPGRFKIYYVLNQPPEVWDGGVGFVSKEMIQTHCPAPAADIQILRCGPPPMNKAMAAHLDDLGYTKEMQFQF >Et_7B_055927.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7575634:7575885:1 gene:Et_7B_055927 transcript:Et_7B_055927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVVAVEATGAGVGAAAASGGCRSRYMVRSLYWRLRAGLRRLHLARAGRWCSRGRFSSFHYDALSYALNFDDGSASADLVR >Et_4B_036209.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:10819654:10819833:1 gene:Et_4B_036209 transcript:Et_4B_036209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFNSWSCVVSTKRTILSPFTKEYRVLATVSSILKFLEGAFQIGRPQQIRIINFVPSL >Et_10A_000887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18741385:18743745:-1 gene:Et_10A_000887 transcript:Et_10A_000887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRKGASSPWPAGDATPCKRSSAAAAMEERREWDWASLHPDLMELIASRVLAGDVVDYISLRAVCARWRASIPSPAARDAAATLRDERLRPRNWVALCDGDGVRPADACEIAFLHTATGRCVRVRLPDDLVPGHRIVGLTDDTAAVRVLHPFTRVAVDFPPIAAVFRNMVKDDPQSRAWMKAAVCESEVSPDSIAVVAWFPNVPGVVVAEPSFPCWYVLRQDVELASAAWPGTALPSPSKAVCTGSLATRGRSCRSTLDVSNLASPMSQTRLAFPKPTPSSSGSPRSAWCLFFDTSITMEVTTSKEDMGLAVSLYSVGSGTSEQISTLSIINDLRKRIRPSVRPFTLADHLFTFCSHRHCYVLRPLLKMTTTCSVSDSYYSYVQMSRGLMFHEYHAIPTAWKKLWKKLKQQDLEVQ >Et_3B_028271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12538296:12541716:-1 gene:Et_3B_028271 transcript:Et_3B_028271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGFAMVVVAILLVLSCYGVDVASAQAAGLKKGFYKKSCPQAEAIAQKVVWGRVAANPELAAKFLRMFFHDCFVMGCDASVLLDSPTNTAEKNAAPNLSLAGFEVIDEVKAALEEACPGVVSCADIVALAARDSVSFQYKKNLWEVETGRRDGTVSSDQEALRNIPAPSSTFDILLTNFSSKGLGLEDLVVLSGGHTIGVGHCNLFSSRLFNFTGKDNPSDVDPSINPPYAKFLQGQCRRNLQNLNDNTTVVPMDPGSSLSFDNHYFVNLKAHQGMFTSDATLLTNDRAANIVDKLQDPGVFFDSFKNSIKRMGQIGVRTGTNGQIRKKCNVVNS >Et_9B_064006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1004950:1005820:1 gene:Et_9B_064006 transcript:Et_9B_064006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKISLKLLVETKSKKVLFAEAGKEFVDFVFSLLTLPIGAVAKLVSAGTMHGSVGRLYQSVDNISASYLLPGADKSELLQPGVMHPDARELLLLPQAGDGAAGADEAPPQLPKFKLFTCAAQCVTVTMESGAACPQCKQAMPTEMTFVLPSVDSSAAAAGGPGLARGAGAAAEEEEGGGYVKGLVTYMVTDGLEVTPMSAISSITLINKFSVGNKDVELAEKYVAVGMEEGLGLLRAALRSDTVLSDVFLARKK >Et_10B_004313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3633086:3635157:1 gene:Et_10B_004313 transcript:Et_10B_004313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQGFFSALREEVARGLSPARARRRSASNAAEVAAALRGGGEALAPLMEGPDPDGGFGGGGGGEYGARGARREGWGRWVRGQLQLSRAPADAQAASAAGAARRNDLRLLLGVMGAPLAPVHVCAAEPLPHLSIKDTPIETSSAQYILQQYLAASGGQKLLSSVRNAYAMGKVRMVATEFETGGRVVRNRMAAQRAEPGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPLTAASMFAGARCIGERKVNGEDCFILKLCADPETLRARSEGLAEIIRHVLFGYFSQKTGLLVHIEDSHLTRIQSTTGGDAVYWETTINSFIEDYRPVDGIMIAHSGRSAVTLFRFGETAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPTDIKSGSVSETVELPHGEKSKAGLLPCHRAKVAALEKADDNVAWSGALQLGCK >Et_6A_046463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15529092:15529829:-1 gene:Et_6A_046463 transcript:Et_6A_046463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPMRCRNHAGAKQSRGGSAGLPLSVARRAVNAFDGLPDDLVISVPRRPRRGGADVSICNLAWCRRFRELAAHRAVLSRASASAVAVRACRWSKPAHGFLRQPPCLLLPRHGNRSNCDLCSASIPWLPLFLTTLFYLGPALPPCMASSVSYHSVSIHPRRGALLLPRLARAAASGHAAALYALAVVRFNGSGGDKSDKDPRAGVALCAHAAWLGHLPALRES >Et_7B_055985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8829593:8830637:1 gene:Et_7B_055985 transcript:Et_7B_055985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYPFDPNPFSAREMVLDPGGPNKKPRAEVSLGGDVIRKYEDHVIAVDEDNEINPEDRDMFLLQIRHYITNVLHTQVRSCRIYPPGIGLIQLDDSLQRERLISGNLHVIDGFRIRFVRHDRARNFFPDWQAAAQMANQNKDNTHQLQQKNQGPIQNQVEEEVSSDSESRVTSDNSVNQQPQQGKGLGMVPVQAQPACSCPYLQISLKKKTHDKLTILSSWKAQPQAPNDHEAGSSSSGYVPSSAIHLQQQAPSFPELDWMYMQDRYNWQEAPIGQWDK >Et_9B_063866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18266145:18267323:-1 gene:Et_9B_063866 transcript:Et_9B_063866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVALVAVLVSATVCASAAQPATAEAAPESPPGVGIKVSFRPSVAIVVAIFTMIFSLTFLLLMYAKFCHTSSSPAPLPATLGHEAAADGVPKPVIDSLPFFRFATLRGARQGMECAVCLARFDDADLLRLLPRCRHAFHLDCVDQWLESSASCPLCRARVDADDATLGLKYAASARIVFGGGDDDASTLHGSGRDLLDIFVERVPSSRFPAGGSPRKEEEKEEQQLDRHRHRIIVSDVVFKSRWSELNSADLIALDTEMLRSMSSGRFYFPSPNNKLSSAAEEEEDAAVTAIREDKLLLGSKQQQQDTSSSKASAARLSSGVRSVSEIVSLPRLRAAARERMADEDNRRWLPIARRTARWFAGRANHKQGEEEEEGGVHVV >Et_3B_028720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18482200:18484747:1 gene:Et_3B_028720 transcript:Et_3B_028720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEAGMDSPPAALRRSKAMHRFLVVLNIAMLGLGTTAGQLVARLYYSKGGSRKWLSAWLQAGGWPLALVPLAASYAGRRAREGPAAPVVLTPPRVLLATAGLGLVLGADDFLYSYGLEFLPVSTSAILTSTQLVFTVFFAFVIVRQRVTAATVNAVVLLTAGTVVLGLHVSSDRPAGVTEGKYWLGFALTLGAALLYGPCLPLVELAYKHAAGRGRAVTYALVMETQLAMGLAATAFCTVGMIVNNDFQAIPREARHFELGETRYYTVLVSSAVLWQFCFLGIIGVVFCVHTLLAGILMAVFIPVTEVAAVIFLNEKFSTEKGIALVLALWGLASYSYGEWSEAKAKKEETAAVAHAP >Et_3B_028872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:212880:225440:-1 gene:Et_3B_028872 transcript:Et_3B_028872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEKKKMRRKVLAKWLKERVLEIRPHIYLNRAAMLHQGSSGTLERACFKELFDIDLKNLRVISEYLQKVDPKSSDGAKRGHSVEFLVFLQVKKEIDYTTEAFNAEKFSDNFKSSYYVKLPEITGSVPHLRFLLHEKLQNRSTSNYGCTIKIEGFFYGCRLSRYAVESYLEQILPHGFFNANPGISLLVMSIISPNIREGSLGAFYGVYEKDPDNAYGLVNKLSIEVQVSDGLGISAGASSNSSNGCPCSNRRYDSQQLDLLAIAADQPFQFPATFAFVVRAFPGLNKWYSTWFTQKQYYYTVTVRHAFSTPCSSRWYWEGLDPRFDITEIVKPMQTRDGKGTATHFTICFANMTVKKLAEIIKRLLHFYKSKVISSFVSEHWNQKVFQTVAAVQKKIGYNWSIYLSQNYWSVLTRHSLTIGDISNCCVLPLWLLRAATSCWSNKGEEIGSIGEIDNRHSLSSEFLVTRDQTARSSI >Et_1B_014025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27792625:27793052:1 gene:Et_1B_014025 transcript:Et_1B_014025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHGLDRRSAVAFTVELMPLGCPCSVVHSWRRVAQAPSHIPITIPNGLGVLFAVAQLVLYGIYYKSTQEIIEARKRKADHLAMTNVV >Et_4A_033421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22658158:22661011:1 gene:Et_4A_033421 transcript:Et_4A_033421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFSEIALFNLTTTKMSANVGESTSAGGSGDAAGGSFECNICFELPQEPIVTLCGHLFCWPCLYRWLHVHAHSPECPVCKALVEEDKLVPLYGRGKDRVDPRSKNMPEADIPHRPTGQRPPPAPQPDANNNNFANANANPWFMGAGVPLANARWGNYAFSAAFGGLFPMLSFQILDGWAKAALAFQCTNGPMLQLISVSCHLIDVNP >Et_4B_036421.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:23248101:23248367:-1 gene:Et_4B_036421 transcript:Et_4B_036421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLFDLLQWGVLMLAFCAMIMVLVIFISMGIAEANYRLRRHRRRSSSSKTPSVLSIEQEQLPESMPDIPGAAGSGGGAGQPTTGTRA >Et_2A_016926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29509324:29512715:-1 gene:Et_2A_016926 transcript:Et_2A_016926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMNSLSMVEARLPPGFRFHPRDDELVLDYLAKKLHGGGGSMVSIYGCPTMVDVDLNKCEPWDLPDIACIGGKEWYFYSLRDRKYATGQRTNRATESGYWKATGKDRPISRKGLLVGMRKTLVFYQGRAPKGKKTEWVMHEFRMEGQGDPTKLHFKEDWVLCRVFYKSRATIAKPPTESSSYNIDTTTTSLPPLIDNYNISFDQPSSVQNLEGYEQVPCFSNNPSQPSSSMNAPLSSAMAADQEQHMGKSVKDVLMSQFSRFEGNVKRETPQSNFSQDGFEYLAESGFTQMWNSFN >Et_5B_043244.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:19892467:19892850:-1 gene:Et_5B_043244 transcript:Et_5B_043244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWWWGRSGARIRSRSRRGRSRAAEGFGGSCRSGGGSPDRRGCGASACRRGRHGRGARSLPEGGGEGSRRWRRRPAPAAGAAAAPWATARRGFAWGLAGRVERDGEDVRSRIRTRPASGLPQTRAV >Et_3B_029847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28397073:28400309:-1 gene:Et_3B_029847 transcript:Et_3B_029847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITCIIEFVVMHRWEVPSPLMIQKIYSPSKVEGSDPRFLKLQPVFRCAKVTCGNRQSHYLFIMVNSIPLTVDWTPSPPHDMILAGCHDGTVYFLVVENYFLNPVNSYMKSALNFKFVILKAVFFFQMLQVALWKFSVNFSSQAYVWLWNWCTLHFMWQPLSPELHISAKCTDSKPFMCVTADSVPIRALSWAPYISDENMNTFVTAGEDGLKFWDLRDPYRPLWELTTAPRAVLSLHWLKDARGIVISLEDGTLKFLSLPRIANDVPVTGKPFVGTKTQGVSTYQLSEYLIWSVHASEITGYAAYCGADGTAVHFQLTSRFWEKEPGRNRAPYFLCGSLSEEGENIIIGSTSYESPLSNVPLGAKKGPKSCQDIVQVQDVEKEKLQNITESGDFLPLAASCVTPELGDGQEDAHSEEQGTGAIVLAGPTEQDNDGIWNSKAGESPKDFEVFPPKSVALHRVRWNINKGSERWLCYGGAAGII >Et_8B_059072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13135301:13138226:-1 gene:Et_8B_059072 transcript:Et_8B_059072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMAVRVAAGTLRLCAGVSQAVPAGRGVAGLVNWRPVAPATSANLRLSSPAVRVPRAASPAAVEDGSNTDTDPIPKVIIDQDSDPDATIVEITLGDRLGDLLDTMSALKGLGLNVVKASVCLDASGKHNKFAITKLSTGRKIDDPELLEAVRLTIINNMLQYHPESSSKLAMGATFGPEAPTEQVDLDIATHIDIYDDGSERSLLVVETADRPGLLIDLVKIISDINVNVQSGEFDTEGLLAKAKFHVSYRGRALSKALQEVIVNSLRYSLRRPTTDEDSY >Et_7B_054138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1624648:1626307:1 gene:Et_7B_054138 transcript:Et_7B_054138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAIRANWNFVQEKGFLDILNELKVEDRFTTQNGWTAEGWNSIHRKFNQMFPFARYTKAHLQEKNKDLKSTYKAIRDARKDSGAGLDPASGMVTGGPNVWDKIEKYHKKVVKFRKKGFLHYNSCESLYEDKYQPLLVISKNHIGTIKLNCRYYIHFLFLFVGIGSIATGDLSFTSTDPVHQSFENFKEGHLEAARVGQEASLGAVAPRMSSDAARVGQEASVGVVPASSTSVGAVAANYASVGAVAASSVGVGALAASSTGVPGAQEAGESSSTGVGAQEVSEDSGKKRKPGRVAAVLDDYLEHKKAQSGKTVEALMEKKMREEEYSIEKCLDTTDGMEELTDEDKAIASEAHTSIGHAQQEPDAAASSARGVEVGPHAKTTSAWCPPSSTFPCVSLRPPRLLSREFAANFVLPAYH >Et_7A_050389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12104169:12105097:-1 gene:Et_7A_050389 transcript:Et_7A_050389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRNVVSATAAIGALTRRGRHSDAFALFSQVLADGIAPNEFTFGTILRSATALRDLRAGAQLHACAAKLGLCSNVFVGSALVDHYAKNGMPEDAVRVLRCMPERNVISWNAMIGGSSQAGLSEEAVTLFLAMCREGVGPNQNTFPCVFTSVANAGALGLGRSVHASAIKFLGKLDVFVGNSLVSFYARCGSLEDSVLAFKKMKQKNVVTWNALICGYAQNGRGQEALDAFNAMRATGLKPDNVTLLGCCLVAIMLVLLTRAMHCSRLRRWSNRAS >Et_10A_000582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13057836:13061231:-1 gene:Et_10A_000582 transcript:Et_10A_000582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEKQIEHSHIPIRGLNLHVAQAGKGKRGTVVFLHGFPEIWYSWRHQMVAVAAAGYRAVAPDWRGYGLSDQPPEPEAASYDDLVEDLLAILDALSIPKAFLVAKDFGAIPVYDFALRHPSRTCGVMCLGVPFTHGGSSFTTLPEGFYILRWREPGRAEADFGRYDVKRVVRTIYVLFSRSEIPIANEDQEIMDLADLSTPLPEWFTQDDLAVYASLYEKSGFRYPLEMPYRSLHKRQPIEDPKFQVPVFVVMGEKDYVFKFPGVESVLKDGIMEKFALDLKITFVPEGSHFIQEQFPDKVNKLLLSFLRDHPIATLSTGGGGRCSLLKVITFRAFQKFRNSAVSTEEVVVVLWELRGGVDLLQNLHYGRSTALAEQHQRAEAEAASSKLYLC >Et_1B_009824.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30147940:30148722:1 gene:Et_1B_009824 transcript:Et_1B_009824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDTGLAHAINTRAAVTLLAPNDTAFEAFARGAPPGLPRSFVSDLLALHVVLDYLDAPKLAAMQRGGGRRGDGSVLTTLLQSTRAVPRGAGFLRVGAAGAGGRDVVTFSSAAPGGGQRNATFERQVVAQPYNVSVLQVSGFVVPPGIKFVQPFPPPRARHMSTPPPSHAPGPAPAPGPQPPVSGSGPLVPAPVRPLPTPNLIDSPPPLPEGETGVIPIPSVHGGMAAKLPSAAGQAAANWRSGLAVALGITTCLLVCLQ >Et_1A_004751.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:37772700:37776668:-1 gene:Et_1A_004751 transcript:Et_1A_004751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSMQSVAIALADYNTGPGNGDVEGNNSEGDNNGAIVNDNGDFDLLWSLRKYLVLLGILAVSVTYTSGLTPPGGFWSKKQDGHRAGDPALLVEFAERHMIFFYFNSTAFAASLVLLILLLSKRLTMEKVWLRSMQFIMIVDLFSLMGAYAAGSCRALKSSIYILILVLAVSFYICIHVLASKWFPMLREYEKKEMEEAHKFILMLVTFSATVTYQAGLSPPGGFWAENDYFSGSSPPYKNRPATSVLRSHYLHRYNIFISCNSTSFVASLVTIILLLSPTLSKHGIKSKAVAVCVLVDLCCLIGAYAAGCCRDAATSFYVMGIIIIVLISIAFLVEIFAYGPVEEKLQKMKSYTKRFIMGRLGSSKHGGSTSLTNSEHEGLPAPTQEGASERGMLRLRSSKHCGSTNSRILEHEGFAAPEQDSASGSEHHNACNQQISSTSQGESSGECPHVNEEKATNAATASQATQQQIEKHLKKTRTCLLLLAILAASLTYQSGLNPPGGFWSTSTNNHLAGDRILEDINHPRFLAFFYLNAGAFVASLVIIVVLLNKIMIEKVAKRRELQICMIVDLLSLTWSFIMGSCRETNKSIFVSVLVCLVLFILICHVVTHARLSEKQGTGSQNTCEKDIDQRRSLILTLAILAATVTYQAGMNPPGGVWSDDGVSGIPGYPILQDTHPVRYDVFYYSNSVSFVSAVVITILLLNKESFEHGIKFYALHICLVMGLLSLLIAYGAGSCRNWNESLFLIIVAVLVLISLVIQLLLFDMHQTLRLGRPQTRPQQTVTPESPKSSSGPGEKNERKRHKYLMLIAILAASVTYQAGLNPPGGFWSDDEGHVAGNPLLHDTYHRRYMVFFSFNAFSFMASIAVIMLLLSRSFRDKGVKLEVLLLIMILDLLGLLTAFAAGSCRKVVTSIYVFVLVAFVVIHLVIVIGLSKRVAKCLRKGKSIGHLLPVRNAATE >Et_5B_045279.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:16299425:16299640:1 gene:Et_5B_045279 transcript:Et_5B_045279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPPSAAMFTNISASLRGVAFWLSGSAPSLPEQTLGNWVLVHSSWTVSATVRAAGAGVARRKKRARGTRA >Et_4B_039559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2270012:2273361:-1 gene:Et_4B_039559 transcript:Et_4B_039559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEQAGPEPEEKEEVEPPRCGRHPSQLLTGICSSCLMERLSSVRDEPEIVEVGGPEASGAGAAGEGADTGKLRKTLMLLFQLDDSTTGSGAPRPSEGEDSEVAETGGVDGGSRRKSGSWLRSILPKRGMRWRRSGGSSVKAPSPPPPPPREEPVDPTVPSSRCAGGRGADVHVERRLSFRRSCDWRVGREPSKSASMEPPRHSWDGSMVGRAFACSFACLEDSPARRARQSNAEETAGGARVGAAESTNGGRHSVDAGSESRRLRGRGGGDPGLEMAVPGVGRRRSNRWSRVWDRSITSPLKEFVRKGEHALERSLSESRREVRRGKNAEAPDISGETHPGRSNGHVSGRASQSTRSLQSASNGDVQNFRADWLKNKECKIGRSRSVHYTSPGNLDNGMLRFYLTPMRSTRTTNRGRRRNSRLSVEVSSYLQNRTLRSLPNSLVVSIFPDSRSTWEK >Et_6A_047406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5261259:5265100:1 gene:Et_6A_047406 transcript:Et_6A_047406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVRELRASFAAGRTRPVEWRAGQLQALVRMIEEKESDISGALQADLAKPNMESYLHEISLAKESCKFALKGMKSWTKPEKVPSAITTFPSTATIVPEPLGVVLIISAWNYPFLLSIDPVIGAIAAGNAVVLKPSEIAPATSSLFAKLLPEYVDNSCIKVVEGGVDETTDLLEQKWDKIFYTGNGTIGRIVMAAAAKHLTPVALELGGKCPVIVDSDVDLHVAVKRIAVGKWGCNNGQACIAPDYIITTKSFAPELVDSLKRVIKRFYGEDPLQSADMSRIVNSKHFNRLTSLVEDKKVADKIVYGGQTDEKQLKIAPTLLLDVPLDTPLMTGEIFGPYLPIITVEKIEDTIDFINSKTKPLAAYLFTRNKKLQDNFVTNVPAGGMLVNDIALHLTNPHLPFGGVGESGMGSYHGKFSFDCFTHKKAVLIRGFGGEATARYPPYTQEKQKILRGLINGSFFALILALLGFPREKR >Et_2A_016885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29067317:29068647:-1 gene:Et_2A_016885 transcript:Et_2A_016885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDDYDFHESRGGVRGLVESGITTVPRLFLVPDEEAATGQQTGYMAIPSVDLSLSRPEAVALVSAAARSCGFFYVTNHGIPVGTIDAAFSAARAFHELSLPERAAFYSIAPTRAVAYSNHPHPPNHVPPLPWRDTLSVRFGPDLICCLPAACRDALQEYQRSLVGFGKAMAGLLSEALGVGAERLEQAQARVMACHYHPPCPDPARVVGGYRHTDTSMFTVLAQDGVGGLQVLRHGDPNHGGDGEWLNVVSNEEFKSVEHRVVIKSTKDARMSIAALFTPAKRESGESYAVQALPELVTAERPTSYKSFAIHEMNT >Et_8B_060405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13785870:13789420:1 gene:Et_8B_060405 transcript:Et_8B_060405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADLSTIQQTLTPEAAAALARAVDEAARRRHGQTTPLHVAAALLAAPAGLLRQACARAAAAAGAGAGPGAGEAGGGGGAHPLQCRALELCFSVALDRLPAAAVGPGHGAAPPVSNALVAALKRAQAQQRRGCPEAAQQPLLAVKVELEQLVLSILDDPSVSRVMREASFSSAAVKATIEQSLSSPSPASSAAAGVPTPAAAAPAGFSPSPSPSPLPRAGAANAYLNPRLAAAAAASGGGGGGDDARKVLDAMLKPARRNPVLVGDAGPDAVLKEAIRRIPAARSPALAGAKVLPFEAELAKLAGDKAAMAARIMELSAMVERLLVEHSGVVLDLGDLKWLVEGPAAAASEGGKAVVAEMARLLRRFGSGKVWAVATAACATYLRCKVYHPAMEAEWDLQAVPIARGAGAPLRPGGTGIHGNSLGITSPMVRPMPVTPTALRWPPGAGSGQPLLAKPAMCQLCKGSYEHELAKLAADRTNKPAARSEIARPGLPHWMQPSSDQPQNKEQELKWKETTQELEKKWRETCARTHGSRVGVPALSMPSAVFGPRPPTEPKLALPKAAPTLKMNTGLEKQEGTPTSELHKSPPGSPVKTDLVLGRLDPGTDGTMDKEQKETYEGLTAMQKAKIAGISDIESFKRLLKGLTEKVSWQSDAASAIAAVVIQSRTGSGKRRNVGTRGDMWLMFVGSDQGGKTKMVNALSELMVNSQPVIVNFGGDSQLSKAVNGMNTGFWGKTALDRVTEAVRQNPFSVIVLEGIDQVDTVVRGKIKRAMETGRLPDSRGREVSLGNVIFVLTTNWLPEEFKGPKCETLLKDEDRMLEVASSNWQLELSVRDKQVKHRADWLCDDLRPAKVAKELSGGHGLSLDLNLSLGALDDTEGSRNSSDLSVEQEQEKGQLAVKCSTPAPDCDLLKLVDDAIVFRPVDFGPFRKTVTDCISAKFDSVIGSCSSFRIDEDAIDRMAGTIWLTDEKLEDWAEKVLMPSIERLWRNMRHHNGRSVVRLAAVAEKALPRWGGGREGLPATVTIAIDGL >Et_9A_063026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8485603:8494020:-1 gene:Et_9A_063026 transcript:Et_9A_063026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPPPPDPISPSAEGSPEVGGGADGGGGGGAEDGEARGFSAGLEPLWSILFGDPPLEPMWSPPREFGGVGEEFAGPEAEVDDAGGPWDGAPWRSTGVVPDERAATPPVPTPTSAAGFPELETAVTETEAVLEVRPLQSIPDPSSPAPLEERVLEPIAGTEPVPSASSADSLGERMLVCTLNSVPSPPPSPSPNNLDLGAEEAPEDWCSPEKIAAGAMVVIALDAKGGPEDNAGCSSLPDGGSGVGTSPRRSLRLAKLKVKEPATISERKVGFSRASKHRLANTGLATTKCTSSIKETASTAIIPFDPPNGVERHEPRKITATQRSSINGSKGVCLGGDVVLALPVVNERSKNGKIGRSPGKTRPAPKVTGSKIPLELEETSSQPPNAKRVRIASGKCLSNLKRAEHSTSSMCEFSVVTMTSDPENKPKTILDNYSTDSRMDIDGSCFFTGEPVPVEEAKHRWPQRYESDHRPLNKDKRSNIQTFSKAGKAILDVKCHYLQASICGSILCIGDCAFIKGPEGKPHYIGRLLEFFETTAGEYYFNVQWFFRAEDTVMYDQAQSHDQSRLFYSELKDDNLLDCIVSKVTVVQVSPSVIGKSKLIPSFDYYYDMKYSLDYSTFSTMVMGKSSQNTIVLMCVHPDARDMKWPAIPMNQSISTCICNMLSRCCNLSDLGSQNAGDTIGTLQSHYKNKKQKSPAAETRELSLLDLYCGCGGMSTGLCLGAHGGGVNLVARWAVDGDEVACESFRLNHPKTQVRNETTEDFLELLKEWEKLCKTHAKLRMKVKAHSDVSTESSNETSVSPGEFEVSKLVDVCFGDPNSAGKRGLYFKVRWKGYGPDDDTWEPIEGLKNCKEAIGDFVIHGQRQKILPLPDGVDVICGGPPCQGISGYNRNRECEEPFKCERNKQIIVFMDVIQFLKPKYIYMENVLDILKFADATLARYALSRLVAMHYQAKLGIMAAGCYGLPQFRMRKLPPFPLPTHEAIVKNGCPLAFEHNLVGWPEGVLMQLEKPIVLEDILSDLPEVTNGESRDEMLYVKGPQTEFQRYIRSFVSVLGSTAHAIKDSELKLYDHRPTTLDNDNYIRVSKIPKKKVEVNPAISSILFQGANFRDLPGVIVGPDNVARLDPTKERELLPSGRPLVLDCSLSYENGKSLRPYGRLWWDEVVGTVLTCPNARMQALIHPAQDRLLTIRESARLQGFPDSFRFHGTVKDRYRQIGNAVAIPVGRVLGYALSMAYLNKTGDNPLMVLPPKFAFSHDVQGLSCSNGRQNDQT >Et_8B_060187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:770983:773035:1 gene:Et_8B_060187 transcript:Et_8B_060187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGHGGGGDEVREALLGAGGENGNNGKPPQAAVAVAEDLEEIRSVSSFLRHAAEENRKLWYLAGPAIFTSLAQYSLGAVTQVFAGHLTTLELDAVSTENNVIAGLAFGITIGMGSALETLCGQAYGAGQVAMMGVYMQRSWIILNAMAVLMLPLYLFASPILRFFHQDADIAALAGRVSLYMIPQLFAYAFNFPIQKFLQAQSKVMAMAVVSASGLVFHVALTWLLVGPLRMGLVGLAVALNASWWFVVLGQLAYILVGYCPGAWNGFSWLAFSDLYGFARLSLGSAIMICLEFWFYMFLIVIVGNLENAKVAVAAVSICTNLFGWQIMVFFGFNAAISVRVSNELGAGRPRAARFAILVVLMSSVAIGLAFFVAVLLLRDVYGAPFTDSPDVVRAVASLGVVFAFSLLLNSVQPVLSGVAVGAGWQWLVAYINLACYYLIGIPVGYIIAFPLHRGVQGMWGGMLTGVGLQTVILVWITLRTNWNKEASEAHSRIQKWAGSAVAKVSEDI >Et_1A_008384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7155715:7162937:1 gene:Et_1A_008384 transcript:Et_1A_008384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWWGKSSSKEAKKTTKENLIDTIQRLISSNEHKGSRKSRGSRRRDKDTAADKGCWSTAQSRSTSPSKEVSRCQSFAADRPYAQPLPLPGSRGRVTRNDAENATRVTSTSNSSVVQKERSSAIIRKSTKEVAKPNNSLRSNQIPSTTPRGTVADSHQSNLQSSRQITLESAPNSLMSSPTRSPRVVCPDQIPTSAFWAVKPHTDVTFLGSGQCSSPGSGQTSGHNSVGGDMLAQLFWQPSRGSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRAGGVAPESPMNRHPEGKKKQTHRLPLPPLSISNNSTYLQNNSTPASPISRSPGRPENPPSPGSRWKKGKLIGRGTFGHVYVGFNSDKGEMCAMKEVTLFSDDPKSKESARQLGQEISLLSRLQHPNIVRYYGSETVDDKLYIYLEYVSGGSIHKLLQEYGQFGEQAIRSYTKQILLGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHINGQQCPFSFKGSPYWMAPEVIKNSSGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAMFKIGNSKELPPIPDHLSEEGKDFIRQCLQRDPSSRPTAVDLLQHPFVRNASVLEKLVPSNPLEQLAAISNSKVVGHARNMSSLGLEGQSIYQRRAAKFSLTHSPRTTSGASTPLTGGNGAIPLNHTRQPAYRNEAFSITSRGIDDHLPNRPADPARGRFVRVQQVSAGVPERVVSEADILSSQFGKMGHVNVWDLHDRQLPSEHSSQHRSGDRVKLKPSLDLTSALHFGRNHDADSWLQRKVALMTLPLVQQRYVPFTL >Et_6A_047973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26801050:26819983:-1 gene:Et_6A_047973 transcript:Et_6A_047973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISPVAREFSYNELRMATGNFSESNKIGAGSFGEVFRGVLCEQQVAVKKLTHLSEITRKGYITEVMILGQVNHRNLVKLVGWGDGGSNDKLHLVYELIMITNRDLDNHLHGSERLLTWPERYKIVLGISRGIEYLHTGCKNNILHRDIKPNNVMLDNDFEAKLCDFGLLKQLDPGQGYLRGTRMIGTLDYMDPLIITTNEASTASDMYSFGVLLLEMERVLSVGLLCVRRNRKDRPDIRDAVDLLSNLSRLIPQRMDTNGDLDQSEDDPPIS >Et_2B_021726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4929580:4933255:1 gene:Et_2B_021726 transcript:Et_2B_021726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRRKVRPAGAGAAARRAALRWWLLSLAAAGATLSATAALLAVALHVSAAASSAFAGAPYRLSQQPREAEELRWEQEFAPPQLASPQSRKLDGAAEDAAEKRLWLPAPSRGFVPCVAPSPEYRRPVASRGYLLVHTNGGLNQMRAGISDMVAVARILNATLIIPELDKKSFWHDKSNFSDVFDEEHFINSLIHDVKVEKKLPKELVKAPKSVRYFKSWSGVDYYQDEISPLWDHRQVIRAAKSDSRLANNYLPPDIQKLRCRAFFQALRFSPPIEALGRLLVERMRSFGPYIALHLRYEKDMLAFSGCTYGLSQTESEELAMIRENTTYWKVKDIDPLEQRSHGYCPLTPKEAGMLLSALGYPSSTPVYIAAGEIYGGESHMVDLQSRFPILMNKEKLASAEELQPFRQYAAQMAALDYIVSVESDVFIPSYSGNMARAVAGHRRFLGHRKTISPDRKALVRLFDKVSSGALKEGEMLSQKIIDIHRKRQGSPRKRKGPVSGTKGKDRFRSEEAFYENPLPDCLCQQGSPASDDSLVSI >Et_9A_061684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15252192:15253010:-1 gene:Et_9A_061684 transcript:Et_9A_061684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDGRIVGLRGRKRGKKELANNWGLDVRGARTWQVIEQHIKVWDLVYGWPLVEGTKDSFIWKWSGDGNFSRKKVDKPARKGFDAMIVLTAWKLWCERNDRVFNRRTTLPSGLVGTIKAEARMWIKAGNKALSRFVDDDHG >Et_3A_027302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7213594:7214235:1 gene:Et_3A_027302 transcript:Et_3A_027302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKETLPLGDGLIAPMCLCGTPCKLVKSLVLGDDYSKRLWMCNNYQYELPLQRLYFSDERPKTPENIAHVYRVAECARRHWFDMEAEGRREEERRKMRQKEEERRREYEAEGKQREEAEQRRKQEEDRLAYEAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_10A_001946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13490107:13492922:1 gene:Et_10A_001946 transcript:Et_10A_001946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMNSRDLVRVTKLDNEKYRNIVRHTRNTFASLHACLEEGVVDFAPAIKAEVLKCLNEFSSHSDTLAASLMLKNGLCLNREHHIAGNIPGVFVGDAFRFQAELFVLGIHGDLHSGIHYSKILNSTEPGLTLATSIVFSDGYEDNADYDDGNTLIYVGQGGQSPANKKQTKDQVLKDGNLALKNSMDYSIDVRVIRGLKLIYSDSNIFIYDGLYKVERCLYGPGQSGFNVYKFKLQRLPNQGAIGSMMYRAATQVREGIINLQFTHPCSDLSSGQEKLHVGFYNDVDDCVYPSTFRYLRKPLYPPETLSSSSQGGCKCIGNCSAAAGNMCSCVWMNGGELPYNNFGVLLHGKSLVIECGPHCQCPPTCWNRVSQKGLTKRLQVFRSEGMGDWMVRSLDFIPAGTFICELTGKAATLSPSEQEQYVKRMVTNSLFVDDPILVFPGKLPPRWMEWGNNIPIVLPSYTPPVSTVQPQLSRFVMDVTFSRNVGFYLGNSTAPNVFAQFVLFDHQDLELPHIMIFALQDILPLTELMLDYGTKEEEAKIKMRSSKDQRPYGLGINISPIGAITNEIYTMKKLHAE >Et_2B_021090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26421295:26423860:1 gene:Et_2B_021090 transcript:Et_2B_021090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMESVEGSVTVSAQQDAAAMKGGGGKKRRRQRKGEGGEKKKYTLVSYHELPDYMKENEFILNYYRSEWPILNAVLSLFSWHNETINIWTHLLGFILFFGLTLVHLAQYFPQVADLIGHLSWPISKVAENVSTNIGDVLSGAAMFMQTNPSLASYGMAVTSQTTRWPFFVFLAGAMFCLLSSSACHLLSCHSHRLNLFLIRLDYTGIAVMIVVSFFPPIYYIFQCEPHWQVVYLSAITAAGVATVYALMSPRLSAARYRAHRALLFVGMGLSGVVPAVHAAAVNWHEPRRNVTLAYEGAMAASYLTGTAFYLTRVPERWRPGMFDLAGHSHQIFHALVIAGALAHYGAAIVFLKARDELGCPA >Et_1B_011128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17883983:17892452:1 gene:Et_1B_011128 transcript:Et_1B_011128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AHQPGGPTRSSRRTGTYGTPPAPAHPRPRPTDQCPSSSPLPSSRLKTKSNAESTTSPTRTPPPHSSLPRVSAAHEPAREGGLEARAMAGGGEKVDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIDHKSVKAQIWDTAGQERQAPFLLQRLMLVISTTAAVTSAYYRGALGALLVYDITKRQSFDHIPRWLEELRGHADKNIVIMLVGNKSDLEEERAVSTEDAKEFAEKENLFFLETSALQATNVENAFQTVLTEIFKIHSKKNMAADPKANGAAPSLAGKKVLVPGPAQEIPKSKCCSSM >Et_1B_012270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30314435:30314783:1 gene:Et_1B_012270 transcript:Et_1B_012270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKKSTKLLFPLILLMVILIASQEGMVMRVTGANPCDKLGDARCFGRCFRPGKCNECCKNLRYNHGKCRILNCFCCNAE >Et_3A_023360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2313395:2313796:1 gene:Et_3A_023360 transcript:Et_3A_023360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVSVPAILMLLAVLSFLFLVHAAGNRRLLLPREAADGDVHVFGGQALPTTAEETAVGGEPQLADEEEMAAARRMDLQTQDYPGSGANSRHDPRNPH >Et_6B_050192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9905419:9908089:1 gene:Et_6B_050192 transcript:Et_6B_050192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVTRLCSTKSIVTVNGQYPGPMLVAREGDSVEVRVANRSPYNVSIHWHGVRQLRSAWADGPAARSVPGGATCTGPPLPGTLWWHAHISWLRAIVVLPELGVPYPFPAPYGEEVLVLGECWRNDTEAVIAEALRTGAGTNLISDAFTINGFPGSLYNCSGSSLRLTYLLWLINAALDEELFFSVANHTLTVVEADTVYVKPFAADTLVLAPGQTSNVLLAANRPAAARYYYMEARSYTNTVVQGTFDNTTVAGVLEYDADDADLLSSNTVVFAPDLPPVNDTSFVANFTAKLRSLASPSAAVPRRVVDRRFFFTVGLGTRPCAVNGTCQGPNGSRLTVSINNVSFMLPTTTLLLQAHYAGRSDSVYSTDFPVVDLPPPSFNRTINNRTINNNTNVMDGTRLVVLPFGATVELVMQDTGVFGVESHPMHLHGFNVFVVGQGSEASTRPTTRQSVWFMHCHLEVHMTWGLKMAWLLLDGSQPDQKLTPPPSDLPQC >Et_3B_027853.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:22846182:22846304:-1 gene:Et_3B_027853 transcript:Et_3B_027853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCNREITPYIRNYRSFLLRSNNSIELSMTLRVTTSSIP >Et_1A_007372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33896283:33903563:-1 gene:Et_1A_007372 transcript:Et_1A_007372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALGFFDAPDGYGVINEPNFRPGGSYNGRRSVDEGFPRDSYGRGGFCQNVHDREMYPPPPPVGSMWSQPRRNHDEGYATARDHRRHDADYRNDGKHHEFDSYRGVDRLRENYHAADNYHESGSHCDFGVDRHKRIGSRDRPEFHGEFEDRYRSSSHQSREDSYERDHEYGRYSYDSDFERSRRDNSWRRRDSCESEHDRKGLSRERDDNSYMRHSRSRSRGRDDRSRSRSRSRSPRAKSRSRNQRDDFYEDNRSDRRREYDWDERKRGDSVIPSATVVVKGLSLKTNDDDLYQILAQWGPLRSVRVIKERNSGMSRGFAFIDFPTVEAARRMMEGTGENGLEIDGRNVYFEYSSKPTSGMSGSAPGQENFTRSTFGSRTGTPCDWICPICGCMNFARRTSCFQCNEPRTEDAVLADAPGSGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEEATKALEATNGITLEKNGQVLRVAYAKSTHGPVSSASQSNSLAAAAIEAASFSQQYDAIGWAPKEYTTDEKQNSNLESQKDGPAAQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDSNSGVWYSFDQQTQQYVPCTDQSNAKAVGNVANETTKTSEGNSSKKVVISAPAATIKQSEKTSLPDAVQAAANAALAAEKREKEKAKEIKLASKISLLANKKKMNNVLAMWKQRNQEGQGSRVDRDDKEHSNSDDKFNISHTGPGFSSKSKPKSDFGGGRDLNLAANYNSLGQGTAATQMVDSEVKPIPVSNSLGTTVMGVIRGSSRGVIKSDTTFHSLPDTGTTESRSPITSTSALMTNAEASTASTPFKTDVSALVSNTSTGVSGSGKRRFSEAPVQPQYRDRAAERRNLYGSSLGNDSGGLDSTGDYPYRKGSSEIGSMPFPPGVGERSSGEIGNTENYEIITADRAIDETNVGNRILRNMGWQEGLGLGKDGSGIKEPVQAKSVDVRAGLGSQQRKADPSLEAQAGDSYKTIIQKKAIARFREMS >Et_4A_034901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7772967:7784392:1 gene:Et_4A_034901 transcript:Et_4A_034901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGAIIWRLDPAIHHPSSAPPAPKTPHETPPSPEISHSHGRAPLTPSPAAMASGQDSSGTTLMDLITSDPSAAPAAGGSSQQSSSGGSVGGSLLGKPTAAPADRKSKKGTLMQIQNDTISAAKALNPPVSYAQLARSIHELAATCDQKSSQRQLVNSVFPKLAVYNSVDPSVAPSLLMLHQQCEDKNVLRYVYYYLARILSDNGSQGLSAAGGIPTPNWDALADIDAVGGVTRADVVPRIVDQLSAESSSDDVEFHARRLAALKALTSSSSSSSEMRDKLSELVADTKQKRKKGIFTKQGGDKESIIRGNLQYASMSALRRLPLDLGNPAFLHRAVQGVEFSDPVAVRHALSIISEIAAKDPYPVAMALGALQDILHLHDVLARVYLAKLCHSISRARVLDQRPDIKSQYSSLLYQLLLDPSDRVCFEAIHCVLGKVDNTESTEDRAGGWIRLTREILKLPEAPSVASKGVLSKSNEKSSKARRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAYALGAYDEGATLQAYSDNAESLDSDLENPMAEGTRKANPLSNGHGGMDTIAGLLASLMEVVRTTVACECVYVRAMVIKALIWMQNPHESFEELKSIIACELSDPAWPSSLLNDVLLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGLTSVDMVSASDPKSAMALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLASALTRLQRCAFSGSWEIRIAAVQALTTIAIRSGEPYRLQIYEFLHALALGGMQSNFSELQLSNGENQGASGTGLGSLISPMLKVLDEMYRAQDDLARDIRQHDNSKQEWSDEELKKLYETHERLLDFVSLFCFVPRSKYLPLGPTSAKLIEIYRNRHNISASSGLSDPAVATGISDLMYESKEVHKETATTQSGIDPDLAMAWAAGLEDDDWANNAPAVDKVKDFLAGAGTDAPDVDDEEYMNSRPSVGYDDMWAKTILETYDAEEDDGRYSGGSSPESTGSVETSISSHFGGMNYPSLFSSKPPSYGASQQTMREEPPSYSTSVLQKRESFENPLAGRGGRSFGSHEDEDKSSGNPQSGKALYDFTAGGDDELSLTTGEEVEIEYEVDGWYYVKKKRPGRDGKMAGLVPVLYVSS >Et_6B_049673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8431969:8435340:-1 gene:Et_6B_049673 transcript:Et_6B_049673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTAFFFLFAYCRGTRIKTRKRNIAAPLDPASFSDAVVQIYLDNGGDLELVAKSIESSDLNFSRYGDTFFEVVFVGGRTQPGTIKPEEEGERHPYSVLDCAAQREAILPYVLFIQKTLRRRPFLIKNLENVMRKFLQSLEFIDENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGIVLSFITEFFKEYLKENSLDDLIGLLKKGKMEDNLLDFFPSAKRSHEALAEHFTKEGLTSLVAYNEKIMFEVKLKEIKSTLTTMINEETEISEVIETVKQQVKDAKFPDLEVIRMLWDVLMEAVQWSGKNQQQNSNSALRQVKAWAGLLNAFCTNGRLELELIYKVQTQCYEDAKLMKLFPEIIRTLYDQDVLAEDTILLWFRKGSNQKGRQSFVKALEPFVKWLEEAEEEE >Et_10A_001703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7077611:7078407:1 gene:Et_10A_001703 transcript:Et_10A_001703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KLRNWINTQIEVAAEPKNAARENVRAAKKKEKELKERLKNTMDKTALVPNEAATLDTEEYKDLLSQIKEAQEVKRAALNDMATIDKKGDVLWTKPLMVEKGLAHWKLQDYCDNTSILLQEYGDAELTGNKDKWFMFAEDEEKVIEEHIATR >Et_4B_039086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7606117:7607573:-1 gene:Et_4B_039086 transcript:Et_4B_039086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEVAAGSDRKAECGGEAGSRAARRRRMEIRRLRVVADEEPAKRRRVDGGEEDDEGVVRWPAPKYGVTSVCGRRRDMEDTVSTRPGFLEGHHFFGVFDGHGCSHVATSCGERMHEIVAEEAAAAAAGSSGSDEAARWTDVMEKSYARMDAEAVGSRGNAGPAPTCRCEMQLPKCDHVGSTAVVAVVGPRHLVVANCGDSRAVLCSGGVAIPLSSDHKPDRPDELERIHAAGGRVIFWDGARVFGMLAMSRAIGDSYLKPYVISDPEVRVVERKDGEDEFLILASDGLWDVVSKEVACNVVRACLRSRAAPRGGEQSSSPTSNLSPRQSSGSSGEDEAGPPSVDGAASDDSDDGDGGEDKACADAAILLTKLALARQSADNVSVVVVNLRRRPRS >Et_8A_058350.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:5574055:5575554:-1 gene:Et_8A_058350 transcript:Et_8A_058350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELWLLWATLTVSVLYYLTNLTRRSATGRQPPGPRPLPVIGNVIDLRGNLHHTLARLARVHGPVMRLKLGLTTAVVVSSRDAAREAFTKHDRRLAARAVPDAARAMGFSERSISFLPSSDPRWKTLRGIVATHIFSPRSLAAARGVRERKVRDLVGYFRGRAGEVVDVGQIVYGGVLNLMSSAFFSVDVVDVGATSARGLREAVEEILEAAVKPNVSDLFPFLRPLDLQGWRRWVAVRFEKVFRILDDIADRRLAEAASSKGVHGDFLDVLLELTSAGKMARDNVTSIMFDVFAAGSDTVSITVEWAMAELLRNPSVMSRVRAEINGVLGGKVEAIEETDAASMPYLQAVVKEAMRLHPVAPILLPHQAVEDGVEVGGHTVPKGSTVIFNSWAIMRDPVAWERPDEFVPERFLDGAAAEMDFRGKEFEFIPFGSGRRMCPGLPMAERVLPFILASLLHAFEWKLPDGMSPDQLDVSEKFTTANVMAVPLKAVPVVIA >Et_4A_034508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:450450:456511:1 gene:Et_4A_034508 transcript:Et_4A_034508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAPPPSNAGLRILLSKDRSAPASSSTVFSSHAERDRIIGVFRNALSSNESPETFALQTVQEAIKPQKETVLVLEENQSLENALRTLLQELVSSAVQSGKKIMQYGNSLNSGESNCLITRLLDIVLYLCERGHVEGGMVFQLLEDLTEMSTIKDCKDIFGYIESKQDVLGKQELFGRGKLVMLRTCNQLLRRLSKANDVVFCGRIIMFLAHFFPLSERSALNIKGVFNTSNVTKYEKDATDGISVDFNFYKTLWSLQEHFSNPALTSTNLAKWQKFSSNLAVVLSTFEAQPLSDDDGKLNNLEQEEDAAFNIKYLTSSKLMGLELKDPSFRRHILVQCLIFFDYLKAPGKNDKEGPTGSMVWWKRDGCPAYEKQPYENKSGQAGVRKRKPRWRLGNKELSQLWKWAEQNPNALTNAESVRMPSISEYWKPLAEDMDPSAGIEEEYHHKSNRVYCWKGLRFSARQDLEIFSRFSDYGIEGVVPPELLPPEVNAKFSSKPAEKAKRPKREDSKGASAQAKEQQVAATPETDGGGSGADPEEGAVPMDSDTIAGEDGQKRSPEEVSGPETGQIEAEPDAEDNMKTATSKDARASEKEKREG >Et_4B_039938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6327612:6330525:-1 gene:Et_4B_039938 transcript:Et_4B_039938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGDGTKEIKNKSVVYTNNIFSADMNGKEMCQDPCDEVSEISSQAASNTEASNSSGRVSLDLSLTVAAAAAAGTATTESSTTDTSNGDGAEPATPAAAAAAREPARVFTCNYCQRKFFSSQALGGHQNAHRRERTLARRALRIDAAAPYGYYAAADVASLPLYGSGLYPIGIQAHASAAHPEQQRHVVAAEPRPARGLLAPMPFLIGEEELSFGWPGSFRPAAVAAAPAGSVALGSVDSGVVHPGEEPDLTLRL >Et_1B_014414.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7989190:7990665:-1 gene:Et_1B_014414 transcript:Et_1B_014414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCAASLAPLLGPAAANATDYLCNRFADTTSAVDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAYGTPSNGFIGKHFFGLRNLPSLDFDYDFFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWVWSADGWASASRSSGPLLFGSGAIDFAGSSVVHMVGGIAGLWGALVEGPRIGRFDHAGRSVALRGHSASLVVLGTFLLWFGWFGFNPGSFLTILKSYGPAGSSVHGQWSAVGRTAVTTTLAGSTAALATLFGKRMQTGHWNVLDVCNGLLGGFAAITAGCAVVDPWAAVICGFVSAWVLIGLNALAARLRFDDPLEAAQLHGGCGAWGVLFTGLFARREYVEQIYGGGVPGRPYGLFMGGGGRLLAANVVLILVVAAFVSFTMAPLFVALNKLGLLRVSPEEEMAGMDQTRHGGFAYAYHDEEMSGRPKPVQNTQIAAASSGEFE >Et_7B_054799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4518627:4533750:1 gene:Et_7B_054799 transcript:Et_7B_054799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMAGAALLRHLGPRLFAAEPAVSGIAARGIMPAAARILPARMASTAAGAEQHGHGSTGGAEDQKTEGSTGGQSKKAIVSYWGIDPPKLVKEDGTEWRWTCFRPWDTYTSDTSIDVKKHHEPTALPDKAAYWIVKSLRVPMDLFFQRRHASHALLLETVAAVPGMVGGMLLHLRSLRRFEHSGGWVRALLEEAENERMHLMTFLEVTQPRWWERALVLAAQGVFFNAYFVAYLVSPKFAHRVVGYLEEEAVYSYTEYLKDLEAGVIENTPAPAIAIDYWRLPADARLKDVVTVVRADEAHHRDVNHFASDIHYQGMKLKDTPAPLGMAGAALLRHLGPRLFAAEPAMSGLAARGIMPATARLLPARMSSTAAESAKETAGAAEQNGGDAGKPKEAAADGQNTKGIVSYWGIEPRKLVKEDGTEWRWFCFRPWDTYRSDTSIDMKKHHEPKALPDKLAYWLVKSLVVPKQLFFQRRHASHALLLETVAAVPGMVGGMLLHLRSLRRFEHSGGWVRALLEEAENERMHLMTFLEVAQPKWWERALVLAAQGVYFNAYFVAYLVSPKFAHRFVGYLEEEAVHSYTEYLKDLEAGLIENTPAPAIAIDYWRLPADARLKDVVTVVRADEAHHRDVNHFASLPDGRIHPPPPCGAASLRRYGDLSRAGRGKAPARDRRRRLPGDHGAADVHVLRRRAKKEAAKVHGQQKEAAKGEGEKKEVVINSYWGIEQSNKLLREDGTEWKWTCFRPWETYTADTSIDLTKHHKPKTMLDKIAYWTVKSLRFPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEQSGGWIRALLEEAENERMHLMTFMEVAQPRWYERALVLAVQGVFFNAYFLGYIISPKFAHRVVGYLEEEAIHSYTEYLKDLESGKIANVPAPAIAIDYWRLPANATLKDVVTVVRADEAHHRDVNHFASDIHYQGMQLKESPAPIGYH >Et_8A_057778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7019953:7024591:-1 gene:Et_8A_057778 transcript:Et_8A_057778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VADVKKLIESAQGPNVYPADQQMLIHQGTVLKNETTLEENKVLENNFIVIMLSKKGSSSAASATAKEPTNQTSADRVISASPATQPPAGPVSIAHVSEPASTATASPAPPTASTEANPYGQAASNLVAGGNLESTVQSILEMGGGAWDEDTVKRALRAAFNNPERAVEYLYSGVPEQEEAPAAAVAVPPASGQPVDPVQAPQSGQPAVPSSGPNANPLNLFPQGLPNAAPNAGGGNLDVLRNNPQFRGLLSVVQANPQILQPLLQELGKQNPQILQLIQDNQDEFLRLINEPGEGDEGDLLDQLGAAGIPQTVAVTAEESEAIERLQQMGFSRDLVLEVFFACNKDEQLAANYLLDHGNEFDDEAPQ >Et_4B_036190.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9359517:9360711:1 gene:Et_4B_036190 transcript:Et_4B_036190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRLGFHPLLDSSRLRNSGRCSSNPSTLAAASSSSSSSAAVTDAAVTCCTAMPPASAFLDDDSFRLSPPGIDRDRASDRAAVSARRASSADTFPRVAGSSTYTPPAPSTWSAGSGATLLLAPPLTPLRCCSLRTRASTTKWRGWSIMSSATDTGSLSARTLPSAITTFPPPAACAAAAPDTRLPIAFVELKQLARAHAVDQPSRGGRNGAPRRAGDGLERVLKRLAGARRISRD >Et_7A_051176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15122358:15129503:1 gene:Et_7A_051176 transcript:Et_7A_051176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASFGGDAVVSGCGRRPRFLCLHGFRTSAEIMRRQVLGKWPADVTARLDLVFADAPFPAEGRSDVEGMFDPPYYECATEYKSFDQYQAAVAYIEDLMIKDGPFDGFMGFSQGLALTRVPKIRYLIIIGGAKFQSPPVSEQAYTNKITCPSLHFIGGKDPYKSHGEKLLESFVNPFIIRHSKGHTIPRLDDKCLQVMLRFLENIEDTSEHSTKKKRWRIRLQHSYCSDLYPRVTAYRRCNCAMGSLGGGDVAEVREGQGRRPRFLCLHGFRTSAEIMRKQVLGKWPADVTARLDLVFADAPFPAEGKSDVEGIFDPPYYEWFQFDKNFTEYRNFDKCLAYIEELMIKDGPFDGLMGFSQGAILSAALPGLQEQGVALTRVPKIKYLIIIGGAKFRSPTVAEKAYANNIACTSLHFIGDNDFLKVHGETLIESCVDPFIIRHPKGHTVPRLDEKSLEVMLSFLDKMEKETSEHLSTDVDEKEVCL >Et_4B_037758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23150378:23150904:1 gene:Et_4B_037758 transcript:Et_4B_037758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGAKSDYESLRDARISENLARMEMLGLLHCAGELRDIASASGRAAAGSARPRKTPRPRVVSMTPLRRSSRLSAATPTGSVSTSASTRRRSARLNGDSVPVQYMALPSKGCRIYCCFLPVDLVVSYVMGGCSNKFLVLSP >Et_3A_023380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24362753:24364150:-1 gene:Et_3A_023380 transcript:Et_3A_023380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASQGVLLSSSLLGGGANGSAASWPELLGSAHWDGLLDPLDLTLRRLILLCGDLCQVTYDSFNSDAHSKYCGSCRYSKSTLFSRTMFPAAADVRPAAWSKESNWIGYVAVSTDAAAAATGQRVIYVAWRGTIRTLEWVDVLKPELVDPDDVLPEGDHARGRARVMKGWYLIYTSSDERSPFSKYSARDQLLAAVRELVARYAGEKLSVVCTGHSLGASLATLCAFDIAVNGVSRVDGGADIPVTAVVFGSPQIGNPEFKKRFDELPNLRALHVRNKPDLIPLYPSGLLGYSNVGDLLAVDSKKSPFVKDDTTNVGDYHNLQGILHTVAGWNGKDKEFKLQVHRSVALVNKSSAFLKDDNLVPESWWVERNKGMVIGETGLWEIDPPAEENLPVPPVVTGKVIDDDVATDTATKEANKIPPAVEDKSKNGPGNKLFSCFGVD >Et_2B_022408.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20639508:20641721:-1 gene:Et_2B_022408 transcript:Et_2B_022408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWKKKLGHTLSRFLTSKPPFPQPRPRPTEPPPPPPPVPFPPTPPPMPQGGHRLPAPGPGGPVFPPATSTVLPDPARFFAPGLLNAPLPTSSFFQNFVLKNGDQPEYLHPYSLRSSGGALTICYPTRNVTPSYIIQTIVADLTISASSDAAGGDHRVVAFDDLSVTLDVSPSLRAHLVRGCPYVTLTTAHQGAGAADISVASVHAFIEVAPCGDAGTKWRLRMNSGQTFLLYASSPIRLAQTHTSRLSAPGFSGVIRVAYLPDAFMEPVLDRYSGCFPTAGEAALNRPFCVEYHWRKAGPGELLMLAHPLHLRLLSHDCGGVRVLDDFRYRSIDGDLVGVVGDSWVLRTDPVSATWHSTHGVTENGVDEVVAALRKDVAGLASTPITTTSSYSYGKAIARAARFALIAEEVGCPDVIPAVQSYLKTAVTPWLDGSFQGNGFLYDAKWGGLVTRQGLTDTGADFGFGIFNDHHYHLGYFLYAIAVLAKIDPGWGRKYMPQAYSMVADFMTLSRRPGASFPRLRMFDLWKLHSWAGGLTELADGRNQESTSEAVNAYYSAALLGLSYGDTHLATLGATLTALEALAAQTWWHVREGEGIYEEQFSRNNRVVGVLWANKRDSGLWFAPPEWKECRLGIQLLPLLPISEALFPDAAFVKDLVNWTLPALARDGVWDSWKGFVYALEGVYDKETALAKTRALTGHDDGNSLSNLLWWLHSRPAVVGDAGSGRCCWYRQYCH >Et_2A_016712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27429054:27430706:-1 gene:Et_2A_016712 transcript:Et_2A_016712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFFNDPRSSLVDISGRLGFLPTQARTEELTNMASTGVKDFYRQKKKGGVTKASPSSKKKTQQYTGGASVGASNIAQTSALISHGSWDLKDDFGDQEEQLRQFDMDMKFGPSIGVTRLQRWERASAMGLQPPPQIRDLLTSSTKNRTNGGLSSECLWEGKV >Et_1A_006817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27880600:27882013:-1 gene:Et_1A_006817 transcript:Et_1A_006817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLGFLKAVALVLAPVLLAAALYSPEGFSPAPMPPEYTYGPVVSSPRHEARALASSERVGEGRLPGPEDLAYDAAAGWLYTGCADGWVRRVSVPGGDVEDWARTGGRPLGVVLAGDGGLVVADPDIGLLKVGTDKAVELLTDAAEGVRLAMADGVDVAADGTIYFTDATYKYNFSNHMADILEARPHGRLLSYDPATGRTAVLARDLYFANGVAVAPDQGSLIYCETLMRRCSRYNIAGDKKGTVEKFIDNLPGFPDNIRYDGEGQYWIALTAGRTLQWDILTKSPFLRKLLYLVEKVVAVPHGLKNAGGMSVTLDGEPVSLYTDPRLALTTGWLKIGKHLYYGSLTEPYLSRIDLTKSSAESQQ >Et_9B_065487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5791348:5796701:1 gene:Et_9B_065487 transcript:Et_9B_065487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANANSDVQKAEELKLQANDAFKANKFSQAIELYSQAIELNSSNAVYWANRAFAHTRLEEYGSAVQDATKAIEIDPRYSKGYYRRGAAYLAMGKFKDALKDFQQVKRICPNDPDATRKLKECEKAVQKIRFEEAISVGDAEKRSVADSIDYQIIEVEPQYAGPRIDGEAITLDFVKAMLDEFKKQKCIHKRYAYQIVLTTLELLRSVPSLVDVNVPNGQHFTVCGDVHGQFFDLLNIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCLYPNAMHLARGNHESKSMNKIYGFEGEVRSKLGEKFVELFAEVFCWLPLAHVINSKVFIVHGGLFSVDGVKLSDIRSIDRFCEPPEEGLMCELLWSDPQPQLGRGPSKRGVALSFGADVTKKFLQDNKLDLIVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFTAPELKPDIVTFSAVDEDLVISRSGLSVNRMRL >Et_8B_059245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15798472:15800903:1 gene:Et_8B_059245 transcript:Et_8B_059245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLVYKTSDGVKGLEKYDKDGSVDKSCGVHGLGRTVGKFLDGRFTVFCLENSRSFSGIVGIDDPPGFIDFAVNMIHLDREHFSCLTASGNGLRETLFYSLVSRLQVYKTTADIQCALPLINDGAISLDGYMEAQWLILPWKKLNSITFVNALCSKNVEVKFAVISDISDMDEQIRLKNWEKERLLEDMKREEDLLRQGTIYTKSRRKSSWTISFNQQWHRRHMIHLRFVHRQLQPGTNPFGAKPSRRR >Et_3A_023533.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33150604:33150942:-1 gene:Et_3A_023533 transcript:Et_3A_023533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFRNGVVRLVENPTSGAAAAASGKRKALLHTPTGEVVTSYHSLERKLTALGWERYYGGGAGGDGMIQFHKRSSVDLISLPKDVAHFNSVHMYDIVIKNRDAFRVIDA >Et_8A_057038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20385225:20387102:-1 gene:Et_8A_057038 transcript:Et_8A_057038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVLRPGSFITLTKVTLDPTLLILVSLGLSRLAAMKKSSAFTAMGSLQTNPFTRTVHTKMQIKELYYNLAVARLEADSRKAFPCLSRSGRAAESGAPSRAMARRYAACRGASACLTPSLIAAESDLFLLLCVSLRRSEHSDGDYKAAGGGEDARRHLYMDILEHNIRATAADGHHEPSVLSGGGLLTTLPRLRTLHRGGLRAGA >Et_1A_004647.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:25777535:25777957:-1 gene:Et_1A_004647 transcript:Et_1A_004647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSKKLAQLSKKWQGVGGIGRKKFITGDKNCSIVAGKGNCIVYSSDGKRIEIPLAYLRTTVFEELLKMSREEFGFTSGKRITLPCDTAVMDYVMCLLRREASEDIEKALLSSIVMPCHQTSKIVQPHSGHNHQFAVCST >Et_9B_065430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:576958:577417:1 gene:Et_9B_065430 transcript:Et_9B_065430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVVNSPGASVARSSPRLTSPPSPAAIAMPSMRRRSAKGAAGRLAAVGDVAAEGNTFLIAGAVAVALVGTAFPILFSRKDTCPECDGAGFIRKAGATLRANAARKDQAQIVCPNCNGLGKLGQIDK >Et_10B_004472.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:8553101:8554411:-1 gene:Et_10B_004472 transcript:Et_10B_004472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAFSLQRPVKVLAAACLLLLLIIAAAHGGPHAEATSLRPTAVHRKLALRSELTTKVNAPASVADDATTFQEAPNMRRKYASARRLFSEKAPAPESGVNLNVDSYGAAGDGKSDDTKAFQQAWTKACSSSQPAVLTIPSGKKYLVKETVLSGPCKSPVTFKLDGTLVAPKDKSAWSKQSLPRWIRFSNVEKLTVTSTGTMDGNGNVCWKGSCRTNKKNPCTVAPTALSFTSCNHLKVENIKLLNSPQIHLWVEDCRDVTLSHLTITAAGNSPETDGIHISRSEDVRILKATIKTGDDCISIETGTKHLYANNIECGPGHGISIGSLGDHNSEAQVSDITIDGAHLSGTLFGARIKSWQGGRGYAKDIKFMNMVMDNVKNPIIIDQNYCITSDPTKPKVCEQQESAVEFSNILFKNIKGTTATKDAIKLHCSKAFP >Et_2A_017739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5744816:5751178:1 gene:Et_2A_017739 transcript:Et_2A_017739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYALKTKTKLDFQYFIYNKKRLEPDRTLLFYGVQRDTTVHVCSGLLGGVVTLEEYVEANRSRFTVVVTLPNGKESLKMPVSFFMDELFHTAFSKGLSWNGDFKLADFKLVEGHIRKHKKPKGYHGFGAMEEDLREFISHVKKIFCADVESLISKYPPYLEHLITFLNSLVIRYVLPKETQLYLDTAVCFMTSYARERLIIELYRRYEGLDENDTNEWNEAIKKSHCSDTWYTDMLRIPFFNEIISQAINEGRTYPKTKIGAFTFLRDIVMHGADYRKVGDVKVTSTIQLVNIDDNEADPKQNTATFLFLGASSRTQKPRITWLLLKHCIGIFQGNMHGGTPGHSTKAKRNYKPLR >Et_7A_050930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12530737:12533722:-1 gene:Et_7A_050930 transcript:Et_7A_050930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGRALLLLLVSALLVQIRASDPLLYEPFDEDFEGKWIVSGKSDYQGVWKHAKSDGHEDYGLLVSEKARKYAIIKELEKPVTLKDGTVVLQFEVRLQNGLECGGAYLKYIRPQEAGWDAKEFDNDTPYTIMFGPDKCGSTNKVHFILKHKNPKTGKYVEHHLKSPPSVPYDKLSHVYTAILKPNNEVTILVDGEKKKEGNFLSADDFEPALIPSKTIPDPDDKKPEDWDERAKIPDPDAVKPDDWDEDAPMEIVDEEATKPEGWLDDEPEEIDDPEAAKPEDWDDEEDGEWEAPKIDNPKCEEAPGCGEWKKPMKANPAYKGKWHAPLIDNPNYKGIWKPQEIPNPEYFELDKPDFDPIAAIGIEIWTMQDGILFDNILIADDEKVASTILEKTWKPKYQVEKEKEKAEEAAAAGADGLSEFQKKIFDVLYKVADVPFLAPYKSKIIDVIEKGEKQPNLTIGILVSIAVVIVTVIFKILFGGKKPATPVKPAAEAKKPKATETDAGSSGDKDENEDEKEGEAAAPRRRSRRVD >Et_3B_030540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4783450:4786049:-1 gene:Et_3B_030540 transcript:Et_3B_030540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAARSGQRPSLTTKAASTKKRAAAAAGVRCAASRRDDAEFGCGGGRLVDEGMVELRRRIHELRAAEHSWEPPAEWAAWEKEWHGSDDAGDCDLVATLRALLFSGSARPGVGVAIVAALALAVPASAFVLLSHLLDALRALISNLPH >Et_4B_037583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21457547:21462750:1 gene:Et_4B_037583 transcript:Et_4B_037583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSTAAALVAVTLVSVLSPALSVLLNGTAGGHISEDFHANSCPQFENIVSMEVYRALLADIKIAAGLIRIFFHDCFPQGCDASILLQGPNSEQRMGPNLTLQPKALLLIEQIRFKVHAACGPTVSCADILAMATREAVYFSRGGPRYSVPLGFFDSLAPASQQDVGSLPDPATGDVSVLLNAFASRGFWDPAELVAHSIGSAHCDSFRDRARRQEDQFSRGLLMACARNPAHVQILDVRTPDLLDNQYYWDLLAGQGVFTSDMALVRDWRTAPIVQHFTNNQPAFQNLFVRSMIKLSFFRPFGNFVAMARSGTAAALVAILLVSVLSPALSVPLNATAGASLSDYFTAASCPNLEQAIFLAMFQVLRSDVSIAAGLLRIYFHDCFPQGCDASVLLEGRQSEQRFGANRTLHPKALELIEQLRETVHFACGPTVSCTDILALATKAAVKCLGGPNYDVALGMFDSVAPASEQEVGGLPGPTSEVPELLSAFASRGFGDPTELVALSGAHTIGIAHWDSFRDRAKRREDVFATLLLVECARNPRVLQPLDVQTWNVFDNKYFVDLINRQGVFTSDTALVKDGRTAPIVQQFARDQNAFFAAFARAMTKLSHFRPHGNRGEIRRHRFRTNGRRMEDAKEGLAASA >Et_1A_007839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38743549:38745322:-1 gene:Et_1A_007839 transcript:Et_1A_007839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGNRHLCCLTLLLLLGLASGQVLFQGFNWESSTQSGGWYNLLMSKVDDIAAAGVTHVWLPPPSHSVSPQGYMPGRLYDLDASKYGTASELKSLIAAFHSKGVQAVADIVINHRCADYKDSRGIYCVFEGGTSDGRLDWGPHMICRDDVQYSDGTGNLDTGAAYAAAPDVDHLNDRVQRELKEWLLWLKSDDVGFDAWRLDFARGYSAEVAKVYVDATAPSLAVAEIWNGMQNGDDGKPAYDQDAHRQALVDWVDKMGGAASPAMVFDFTTKGILNAAVEGELWRLVDAQGKAPGVIGWWPAKAVTFVDNHDTGSTQNLWPFPSDKVMQGYAYILTHPGNPCIFYDHFFDSGFKDEIAALVAVRKRNGIKPASTLTILEHDADAYVAEIDGKVIVKIGSRFDVGHLIPAGFQVAAHGNDYAVWEKGTTRMIPA >Et_2B_020559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21326596:21327828:-1 gene:Et_2B_020559 transcript:Et_2B_020559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHLLDDVLADVLRRLPPRSLAASRRACRAWRDAGGLLPPAERLLPLTPGGVFCNLYETQSSQFFAHPSVQCTVSGSLDYTVRDHCGGLLLLADGSVANPATRQCAVLPDQLPQPSRVDGDDRGGASWYRSYLVHDPAESPHYEVLSVPDSNDYWNHRVDASSPYHLLVFSSRTWRWEERTFLHEEGQTAVVDAGKAVWLLEKYGVYWQDALYVYWHTHAITRISLSTKKYRLIRLPADPKQNVDYYLGKSKKGVYCASIHNSCHLQIWLLSVSCDQMEWVLKHDTYLKPTLPHVDYYDQVFFDEKFSGPWTLQEEECSDEDEDDDSSVDDDAENQVEEWDSDTDDFVEIDETVPGN >Et_1B_011739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25490787:25494070:-1 gene:Et_1B_011739 transcript:Et_1B_011739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAWAAREGGGAGANKKAGDGAALLGSSVASWLYRRALVFEPPAPRVCGTLGGPAVTAPRVALRDGRHLAYCESGVPREEARVKIIFSHGFTGSRLDGLRASEVRYVRKLLWASTPASLSCVGKRSKGDAGQDEHSSLGMGPLVGQLGDWLCRAVLQPPPPRVCGARGGPPVTARRVRLSDGRHLAYEESGVPRESARFRIVFSHGFTGSRLDSLRASPELGVYMVGFDRAGYGESDPNPNRSVRSAALDMEELADALGLGDKFYVVGFSLGCHAVWGALRHIPHRIAGAAMLAPVVNYWWPGFPAELAAAEYGKQQYGDQWALRVSHHAPGILHWWMEQSWLPTSTVVDNTTHLPNKRDAEIRRTLTADGTLQKQSNANVAISDVQKRELATQQGIHES >Et_2B_019413.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28442975:28443112:-1 gene:Et_2B_019413 transcript:Et_2B_019413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDRGEDEGHVFGVDLGTGKVTACSGRYKGFNTTGSCTFEPGKL >Et_9A_063511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5543981:5548147:-1 gene:Et_9A_063511 transcript:Et_9A_063511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYPMFPWVEQMEKQVKEMLEFIEDEEGEFSAEKAEVFLQKRPLLITHVKNFHRMYHALAERYDSVTGELRKNIPSSLQSHGSLGMSESDSEEQSSQSPDPDMKEKMLQQKPQPDCSDVSAGSGVSSDVSKKGTEGSSSSSESDSELEQNKEQNGSIFYALSQKVIELEDELHEAKGKLEALEEKNMHYEERLHGSDIESNNFQNDLEETSSALESLTEVNNEKEALQAVLMEHKTEIEVLKGAMASTSKQFEVELAHRDLEIHKFKHELGALSEKYLHDKPTLEAEVRKLQVVIKNLEGDLAKISQEKSQLESRIEELEQAAQDLEYSASEVVKLQEVIKNTQAELEKVAEENKVLKERTIEFEQLLRDFEVSGKEIAKLPETVKNLEAQLESALEEKSTLQDRIKEFEQVMSDSSEKYSKEQTSLRADLLKLSEANASLEGKLSSVETELMRVYVEKEEESLHSEKQISALNQDLANLRSKLELLSSEKATVDDKLSNLLIDITARDEKLKQMDDHLNQVQLEHAKITAECDAARKSMSELHARVSELEEEVEKQKVVISESAEGKREAIRQLCFSLEHYRSGYHELRQLLHGDRRSLVTATE >Et_9A_061369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10609938:10616460:-1 gene:Et_9A_061369 transcript:Et_9A_061369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIERCRIWWPRQSPQQLEQDSGPVTLVLFGWLFTGAGSLDAVVSAAIPQDQILRSFATLDSLQSSVFSSNERMPLSLQESSTYIILGDCIVHHTGGSEEDCFCKLDQMQYDAQFVQKENFGASRNNVSESFGPEEQHPRGDDRKCVCGFSALDGFLDIYKKSAIKEDTWINFFSNPGKRFMRDRNQVPMLRHLYLHGQQIKTNHCHVILYDVPTIGKNHYSLHVDAPLKLKASSKKPNWINDLQKKPPVLDLGPIVLAINCSSAARLPVSQEYPSNSSRTHFFFEPVFDALVQAAWNCMGIFLASASTFLYIMILLFQKCLSHQSMYLMLQKVFRHSWNNIHLRSCQILYWPIVLENTSLSSTVNVEYAHKTATQKHTLWSNIVVDLLMGFVFGAALLLNTENICAWTIALVHSMTDGILRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWFFVGGYLRHVIQGIAFSGIILGFTVPVSFLIDVIHLGTLHVTILHWLISLIYSRQIQTVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFIPVLLLIPTTSVFYMFFSIMTTTVICLCVILEIVVSVIHSTPYAELVLWVMRRQRFPAGLVLLLMPSSYEHIYDGDDLPAHSVRCYKERKTEHLIRGQPESLISELHSNYATLVEVIRPNFEKIFNRTGISFCKQLAYGILSGERVPSTLHLQLPPPSPWMNMSIIEYWVCCRSGVLSCAPRTQRVLQMSYGAHNLPFEIIRTLPWN >Et_2A_016928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29598791:29600667:-1 gene:Et_2A_016928 transcript:Et_2A_016928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAVSGNGAEAVAPTAPPAKEVSAKVEAKEAAVAVSKNASFREESNFLSDLKESERKALADLRDKVEAAIVEGKLFDDGKVEEKKKAESAVEKKEEKKEAAAEEKKEEVESKEAEAEEKKEEVESKEEAQAEEKKEEEAKEEAKTEEAVEGEKAVAAAEEEKPAAVVVDKDISLWGVPLLPSKGDEATDVVLLKFLRARDFKAGAAFEMLRKTLRWRRDWPGFAGEEKEDIPEELEGACYIDGADREGHPVCYNALGVFADDAVYKKALGTEEGKASFLRWRVRAMERHVADLDFKPGGVSSLLQVTDLRNSPGPAKKDLRVAVKQVLDLFQDNYPELVARNILINVPFWYYAFSTLFYPFLTQRTKSKFVIARPSKVTETLLKYIPIEAIPVKYGGLKRDNDSEFSAVDIEITELIVKANSTETIEIEASEADTTLTWDLTVLGWEVNYKEEFVPADEGSYTIIVRKGKKMGSSEEAIRNSFRANEPGKVVLTVENASNRKKKVLFRHKAKSAYAKKC >Et_8A_057345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23897784:23904050:1 gene:Et_8A_057345 transcript:Et_8A_057345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSDSPCNSKGVCSQLTTRPAAGGGKGRGRRRSSCKGACDSCLPSLPQAMCPDMDHHQQHSTTGEAASEVSDGDYNHRSPHDAEHSGQNEAGVSTKYSNNLSTYDSFSSYTSLLKHGGISRRPSASSFDDRSTKSGDDSDGAETNGRSSSSTEVSDSVWIPPEAADKEDETESFARSIAYEDDDDDYSDGIKWGQSSFPAAADEHQANTNIARLEREKAMLEAMNGQLKILVSRFLASAGVPSSKGEGGDDWLDIVTALSWEAALLIKPDASVGKEMDPGSYIKVKCIASGNRRQSEVIKGLVFKKNTAHKHMPTTCHNPRLLLLQGVLGHSDVGLSSFNSMDQEKDLLERAISKVMEICSPNVIMVEKTVSRNIQELLLKEGVSLILDMKLHRLQRIARCTGSPIISFSDVLDRPKLKQCDYFHIEKFIEEHNSTSEGGKMPSKTLMFLEGFPRPLGCTILLRGANSEELKKIKQVMHFTVFAAYHLILETSFFEDQRVFLNDKDVSKENSITPMEGPSIIAHDVAAAGDAIPGVPSHDESPALRLYHATSNGYTDVAKSLRSSGSVDAPNSITSSSTNELGDGAGIQYDSRPPQNGERLTPPVKELRKLFADMLCHQNIYLPVTSLQEANDNQKEGKVESGQEKVNNSVHVGPKVEGPAVSSENGECTNDLQKQEITQELMPAGSSTCDKNEESPVIVENGEHNTNIIIKDKYVDGDQAEDALDSHSILILMSSQCITKQAICEQSHLSRIKYYGNFDVSLGRYLQDILQNQNLSCSSCEEPPEAHVYSYTHRNGNLTVLVKRLASQYHLPGESEGKIWMWTRCMRCDQEHGISKSTPRVLISAEARNLSFGKFLELSFSSHSAARRLGSKVAMFRYSSVEIYSTCKPQPTLQFSNPVRQDWFEGQWRHVHARGMVLFSEVESLLHNLKKERPDAITLASSYGLSLPVKDFSELEQILMKEKTNFEGSLSKPIDQNGKPSSSIHELLNINWSYQDLLLELYIWDRRLQQLFSCKSFGLESVANSKNPTGAVVEISDENFDNDKKTDKTATLLLDESQEHSELSCNGGSKDEESSIDPSQINIDSTAQTPNVCFELSNDTELQGNVVVADPIPMEQEPWITPRYPYWDEKERWIWNSISESQLAYRNDIQVGYLEKFELINHYSPSYLSPLFQHHEEVNSPQFAVGPGSNILCVLEDEISSIIARALAISDERRHLMDSIVQNGMENSRGDHAKIMEKNYSFVSESSFSSSPWSSIGSLDSEASLSSLASFSSDDLSGYDSSSLLSSIHPETTVNGKVTLKGKYSVTSIYANQFYALRKKCCPSELAYIASLSRCKKWDAQGGKSKAFFAKTMDDRFIIKQIKKTEFESFIKFAPEYFKHVYHSLDTGSQTCLAKILGIYQVKHIRHGKEVKIDLMVMENLLFGHNISRIYDLKGSIFSRHVADSTDCDTVYLDQNYVEDMRVSPIYIGGRTKHLLQRAIWNDTSFLTAVNVMDYSLLVGVDKQNHELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNVSPTVISPREYKKRFRKFMAKYFLTVPDNWTPDNPSKASKAVGHSDHKLAAVHNGESLLQHPIEAEACA >Et_6B_048300.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:10808061:10808282:1 gene:Et_6B_048300 transcript:Et_6B_048300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRPIVGQSGKARNRACRDRISIKRPCQIICRACVFMKYWAVLAAGAASMLQALLSYQPQKWRKMNQKKRT >Et_8A_056558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12228305:12235475:-1 gene:Et_8A_056558 transcript:Et_8A_056558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEAIVGGPRLRALRFALVVAVAVAVGSVEFVEAEAAAAITRRDFPEGFVFGAGSSAFQVEGAWNVDGKKPSIWDTYVHDGHAPNHDTADEDVKLMNETGLEAYRFSIAWTRIIPDGRGAVNPKGLEYYNNLIDELVSYGIQPHATIYHFDLPQALQDEYNGLLSPRFIDDFTAYAEVCFRSFGDRVKHWTTLNEPNIEPLGAYDLGSFPPQRCSSPFGENCTGGNSTTEPYIVAHNLLLAHASAVALYRDKYQAVQGGQIGLTLLAFRFEPSTQKPKNVAAAERVTDFFIGWFMHPLVYGDYPRVMRKNAGSKLPTFTAEESMRVRGSFDFMGFNHYGAIYVEADLSRLKQALRDYTGDIAAKFVTSGHKPDPSGGFVYDDEFRSHYLQEDVKLMHDMGLDAYRFSIAWTRIIPDGRGAVNPKGLEYYNNLIDELLSYGIQPHATIYHFDLPQALQDEYNGLLSPRFIDDFTAYAEVCFLNFGDRVKHWNTLNEPNIEPLGAYDDGYLPPRRCSFPFGYACTGGNSTTEPYIVGHHLLLAHASAVSLYREKYQAVQGGQIGLTLLAYWMEPFTQKPKDVAAAGRTADFSIGWFMHPLVYGDYPAVMRRNAGSKLPRLTDEQSARVQGSFDFVGINQYGAVYVEADLSQLKQPLRDFYGDTAAKMKMLDYLKLKYRNPPVVIYENGAGHQPDPSGKFMYDDEFRSHYLQVYIEATLHSIRNGSNVRGYFVWSFLDVFEVLFAYRFRFGLYGVDFGAEARTRYARRSARWYASFLHGGDLRPAMPSPSSIRAYSE >Et_7A_053154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8395480:8409947:1 gene:Et_7A_053154 transcript:Et_7A_053154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTLVGPPAGSSATLVMLLAPSSGDKSPEFLVMSVFTKPGSAQLTRSPGFLLARILAYEFMAAVATLYAENATGHPCDSTAPTSKSLRNLASTSSSLSSMRFLTSFSSCFSSSLLNSCTGIFELFMFDNICTRDTTAMVLVRPTVEVQKAS >Et_4B_036328.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1836841:1836939:-1 gene:Et_4B_036328 transcript:Et_4B_036328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQLSNPILVLLQWELWHGMREARYVLLQVK >Et_3B_027811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20314435:20316280:-1 gene:Et_3B_027811 transcript:Et_3B_027811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAAPTKASATATSGLHLHLLLLLLLLSRRPPSSRWTGRYVRRTAARGPAPRYSSPAPSAPPWACPPSRPPTRRPPACAAGPSRSPCSSGPPTAAATSWTASSASGSPAPSSSAAAPPSRGPTASAGPRRATSPSTRRSSRSPGRSRCTFGTSWTRGIYHANLTLHLYFFHAAPPPTPQLRKADLIVPISRSLPLNEGLWFTIQNATDVQSKKLAIPSNAYRAVLEVFVSYHANDEFWYSNPPNDYGNGAFREVIVRVDADVVGAVWPFTVIYTGGVNQLLWRPITGIGSFNLPSYDIDITPFLGKLLDGKEHAFGFSVTNALHVWYVDANLHLWLDHKSEKTTGSLISYVTSRLDLNVNSQFSGLDGNFVTSASRQVSATGWVKSSYGVVTTTFYQRFRYENSNVYSKNGTVQIVNQTIDAKSGVFAKDASAMLLSEEVHKVFPLYVYTVTSDKGGDGYTLVTLVKLGINDKRISGGKLGFLYSSLRNSQSAQGKIRVKKNLVVSGFGQTHQVYKYVGTDGCYFRDVSSKNYTILSDHSDDSCSKRPYSGAKFFPTKLNNESATRKLLVN >Et_1A_007947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39740536:39745040:1 gene:Et_1A_007947 transcript:Et_1A_007947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALTSLSPSPPLPSPSPATPRRRPPLPPLHIHTYSPRAGICHAAPVAPATTGADDYHSTIRSLNSRGRHVPRKSLGQNYMLNSKVNEEMVAAAGVEEGDVVLEIGPGTGSLTAALLESGATVFAVEKDKHMAALVKDRFGSTERLKIIEEDITKFNIRSHFLPFMKEKYHGTEKHAKVLANLPFNVSTDVVKLLLPMGDVFSVVVLMLQHETAERLADASIQTPEYRPINVFVNFYSEPEYKFRVKSENFFPRPKVDGAVISFKLKDVGDYLPVGSHKSFFSMVNSAFNGKRKMLRKSLQHLCSAAEIEAALHNIGLPVTGLLVGVVMDSGMRAASIPNPEVDCLKRQNVAFEILLTGSALACLDDMMSIFKLQSRSHDSLVIGSAFPSPGAM >Et_1B_012431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3221835:3225793:1 gene:Et_1B_012431 transcript:Et_1B_012431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSRFPVVVPAALVLLLACSPRVAVAGDPPFSCGAGAPPNVAFCDRRLPVERRADDLVSRLTVEEKISQLGDQSPAIQRLGVPAYKWWSEALHGVANAGRGIHLDGPLRATTSFPQVILTAASFNPHLWYRIGQVIGVEARAVYNNGQAEGLTFWAPNINIFRDPRWGRGQETPGEDPTMTGKYAAVFVRGVQGYRMAGPVNSTDLEASACCKHFTAYDLENWKGVTRFAFDAKVSAQDLEDTYNPPFKSCVEDGHSSGIMCSYNRVNGVPTCADYNLLSKTARKDWGFYGYITSDCDAVQIIHDVQGYAKTAEDAVADVLKAGTTCELTTRVIHRMDVNCGSYVQQHAADALQQGKITEQDINRALHNLFTIRMRLGLFNGNPKNNRYGNIGADQVCTQDHQNLALEAALDGIVLLKNDANALPLSKPKVASLAVIGFNADNATTLLGNYFGPPCISVTPLQALQGYVKDTRFVAGCDSAACAAATIPEAVGAAGSADYVVLFLGLDQNQEREEVDRLNLTLPGMQQSLVESVANAAKKPVILVLLCGGPVDVSFAKTNPKIGAIVWAGYPGQAGGIAIAKVLFGEHNPGGRLPVTWYPNDYTQIPMTDMRMRADPATGYPGRTYRFYRGPTVYNFGYGLSYSKYSHRFVTKGTKPPSMTNIQGLKAMATAAGAGTVSYDVEEMGEETCERLKFPALVRVQNHGPMDGRHSVLLFLRWPNATDGSGRPASQLIAFESLHLKAMQTAHVEFEVSPCKHLSRATADGKKVIDQGSHFMMVGEDEFEISFMA >Et_8A_057966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10216574:10218524:1 gene:Et_8A_057966 transcript:Et_8A_057966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSLEASVEARLSTVRQEAHQWRSGGQQFTIFRVPADVRESNRTSYEPRVVSIGPYYHGATSLRAMEDQKWRYLDDLLCRNASVSSSLLIQEMRSLEPRARSCYSERPDLDSDDFVRMLLLDACFILEFYFKWYGKEPDALCDVGWGLTFIHTDLLLLENQIPFFVVERLYGVVAGPQGDRETLVNLFLEYIGDEKPIERPSATFEIHHLLHLYYESFVPKRRPQTSAAAGRKKKKKKKLIPRATEMSAAGVTFRQRALAQDMYDVAFDGRTGVMQIPAVLIDEMKRSQLLNLLAFEQSQGAEEANVVTSYVFLMSLLIRTVRDVELLSRRGILENLLANDEEAARFFSHLGDCGTMNFKHQVFAGLYDDVRGYCDSWWHRNRAAFKRDYFSSPWSAISFGVAGFVVVLTAIQSYFTRESREATEPKRSANASDKKKIKIR >Et_4A_032933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15842288:15844369:1 gene:Et_4A_032933 transcript:Et_4A_032933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMTDMPSATALRAPAPAAASAAAGSDKDAEKLRFIEEMTSNVDAVQERVLAEILGRNAETEYLARCGLAGATDRAAFRARVPVVTYEDLQPDIQRIANGDRSPILSAHPISEFLTSSGTSAGERKLMPTIKEELDRRQLLYSLLMPVMNLYVPGLDKGKALYFLFVKSETTTPGGLTARPVLTSYYKSEHFKNRPYDPYHDYTSPTEAILCADAFQSMYAQMVCGLCQRHEVLRVGAVFASGLLRAIRFLQLHWEHLADDIESGALTPRVSDPSVRDAVAGVLPPRGDPELARFVRAECGKGDWAGIVTRMWPNTKYLDVIVTGAMQQYIPTLEYYSGGLPMACTMYASSECYFGLNLRPMCAPSEVSYTIMPNMGYFEFLPVDEASGVASGKGEEAVDLARVEQGREYELVITTYAGLYRYRVGDILRVTGFHNAAPQFRFVRRKNVLLSIESDKTDEAELQRAVDRASALLRPRGAAVVEYTSHACTTSIPGHYVIYWELLTCSSSKEEEDKQLEEEDSSRLLDRCCLEMEEALNTVYRQSRVADGSIGPLEIRVVRSGTFEELMDYAISRGASINQYKVPRCVSFPPIVELLDSRVVSRHFSPSPPHWAPDARSA >Et_3B_028124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10579756:10580190:-1 gene:Et_3B_028124 transcript:Et_3B_028124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQQGIGFIWKLRKGERRNAGR >Et_9A_061036.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:11919503:11919763:-1 gene:Et_9A_061036 transcript:Et_9A_061036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPDSSTVRRPWELPKPLSIPAKQYFAFSSSVLGKSGSIRTGSYSDMSRNHLLGCCGHAEKKPRFGGIDDLAAMYISPNPDASSLV >Et_7B_055991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8900608:8901096:-1 gene:Et_7B_055991 transcript:Et_7B_055991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFYACKLETDISHEFDVLMESKGGKKSSSSRSMMYEAPLGYSIEDVRPAGGVKKFQSAAYSNCAKKPS >Et_4B_037554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21027952:21031075:1 gene:Et_4B_037554 transcript:Et_4B_037554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENPTKKKLSWSKTLVRKWFNIKTKAKDFHSDYAVEEVGVQWRTSFSDREACKSKKSRTERLPRKNADRVGNGFDGAYITNTQDYRFQEIVPLNAGNVLLTEDNGPAKKWVSLVRKTLNNLDQGSGVYNYQTPSPAPDPIVELNVDFERSLRRQRNSSFFHRRSFQSFNRSSRIDMMDPHSLVDRRFSVCERISFGSRPSDVDTSMRCGGSSDDENIDEESTSGTFFSPMPCGYGAPLCNDSRFCLVASKQMVGIFLMVWVRSDIREHVKNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCTHLTSGQKDGDQLRRNADVVEILRKTRFPHVHGSGDKKSPETILDHDRVIWLGDLNYRIALSYRSVKALVEMHNWKQLLEKDQLRIEQRYGRVFAGWKEGRIYFPPTYKYSFNSDRYAGDDMHPNEKRRTPAWCDRILWYGRGLNQLCYVRGESRFSDHRPVYSIFTAEVQIPSQTQFIGLTRSNSLMGVDELPYPTYPRSYMDINFY >Et_3A_026415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7813604:7821614:-1 gene:Et_3A_026415 transcript:Et_3A_026415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRGGGGGSASRVGDLDVDLRDRWDWGSIPRLLSSACLFFCSGGCFGCCDKTVKKLGELSKTLITHDQLTVGEPYWSTTTIDVSQSDLKGFPPIETAKWSFEPHGGGGGSSYRLPLFESGSRRALWEQTREEWTEIRRLRPKIKEVREPVLSWNAAFQTVLITNKPFPRPVPLHGVKNHCLQSMETLLLPLVWFPS >Et_3A_024341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19874068:19876595:1 gene:Et_3A_024341 transcript:Et_3A_024341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPDAARNVVGIIGNVISFGLFLSPVTVFWRICKQKDVEEFKPDPYLATLLNCMLWVFYGLPIVHPNSILVVTINGIGLVIEAAYLIIFFLFSDNKKRLRMLAVLGIEAVFMVIVVCSVLLAAHTHEKRSMIVGILCVIFGSAMYASPLTIMKKVITTKSVEYMPFFLSLVSFLNGVCWTAYALIRFDLYVTIPNGLGTFFGLVQLILYACYYKSTPKKEKNVELPTVVGNTGSGNVTVTVER >Et_2A_015891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18787282:18792471:-1 gene:Et_2A_015891 transcript:Et_2A_015891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVRAAAVLAAAAALALLLPGWAAAEWSLTKKGTVVTYDERSLLIDGKRDLFFSGAIHYPRSPPEMWPKLMKLAKEGGLNTIETYVFWNAHEPEPGKFNFEGRLDLIKFIKLIQEHDMYAVVRIGPFIQAEWNHGGLPYWLREIDRIIFRANNDPFKKEMEKFVRFIVQKLKDAELFASQGGPIILSQIENEYGNIKKDHVVEGDKYLEWAAQMALSTQTGVPWVMCKQSSAPGEVIPTCNGRHCGDTWTLRDTKKPRLWTENWTAQFRAYGDQVAMRSAEDIAYSVLRFFAKGGSLVNYYMYHGGTNFGRTGASYVLTGYYDEAPIDEYGMYKEPKFGHLRDLHNVIRSYQKAFLWGKQSFEKLGHGYEAHNFELPEEKLCLCFLSNNNTGEDGTVIFRGEKHYIPSRSVSILAGCKNVVYNTKRVFVQHSERSFHTAEVTSKNNLWEMYRETVLKYRDTKIRTQEPLEQYNQTKDASDYLWYTTSFRLESDDLPFRGDIRPVLQVKSTAHSMIGFANDAFVGSGRGSKQVKGFMFEKPIDLKVGVNHLTMLSSTMGMKDSGGELAEVKGGIQECRIQGLNTGTLDLQVNGWGHKAALEGEDKEIYTEKGVGKVQWKPAQGDRGATWYKRYFDEPDGNDPVVVDMSSMGKGMIFVNGEAVGRYWTSYRTLAGNPSQAQYHIPRPFLKSKDNLLVVFEEELGKPEGILIQTVTRDDICVLLSEHNPAQIKTWLTEGDQIKLIAEDHSTKGTLTCPPKKIIQEVIFASFGNPEGPCGNFTVGTCHTPNAKQVVEKECLGKPACVLPVVHTLYGADINCPTTTATLAVQVRCNKPEKKSDSK >Et_10B_002955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14679891:14684475:1 gene:Et_10B_002955 transcript:Et_10B_002955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPPPPPSRPPVELAGAARDAELGLASALSREEVLRRRRRRLLQLYSIYRAQYWALADELPAKHGEYWWEHGSSPVLPDQPPPALPPAPPLPALGNGAGAGALVNHGGVGAPVPASAAGARARCAASNCEAKAMPLSLYCFEHILMDTKQQLYQPCAFVTERSRAQNGEATCGKPALRGITPLRCADHNPKSPKLIIEALKNAGIDLPLTCKSVPKLSLLISEAVREIQMKRRLSLNRVKITPSRAGYI >Et_2A_016202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2280733:2284455:1 gene:Et_2A_016202 transcript:Et_2A_016202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLSPSLRRALLSSASTAGGRAIPKPNHSPPHALLPQWRCFASASASSSPTPPLPPPPPPPKGAPRSGGGTTLSSLNPAEVAKFAAIAETWWDFNGPFKPLHLMNPTRLSFIRSSLCRHFRRDPYSSKPLDGLKVIDVGCGGGILSEPLARMGATVTAIDAVDKNIKIASVHAASDPMTASIEYCCTTAEELVKQKRQFDAVISLEVIEHVANPVEFCESLSALTVPNGATVVSTINRSMRAYATAIVAAEYILRWLPKGTHEWSKLVTPEELVLMLQKASVSVQEMAGNNGSALSNDQSTLNDDTEARLA >Et_3A_025503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30309152:30312010:-1 gene:Et_3A_025503 transcript:Et_3A_025503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVRAASSLRSRLLSSSSSWCPRRLLSSSVGSDAHQTETLAFDEIQLSPEKPPTATAFVLHGLLGSGRNWRSFSRTLASELHNRAPSDEWRMVLVDLRNHGRSAGIKGLSPPHNMSTAAKDLADLVKARGWAWPDVVVGHSMGGKVALDFAESCSRGAYGESAVLPKQLWVLDSVPGEVKTDNSDGEVERVLQTLASLPSPLPSRKWVVDHMLSLGFSKSLSEWIGSNLKKDNEHVTWAFDLQAAIDMFNSYRERDYWPLLENPPKGLEIAIVQAERSDRWDPNDVQRLKALSRRESKPDAGKMSLHVLPNSGHWVHVDNPKGLLDIMAPNFLSTVQN >Et_4B_039920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5893512:5896071:-1 gene:Et_4B_039920 transcript:Et_4B_039920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSSAAIKQHAAIAAGVGAWTGVDASLLMRRWREFTRSGTPVRFLCFEENGWADVADEAAAEELRAAFRDRRVFAEVAYGGKAFLFDFLRMARIDEATAEQAALGWIDDRGACFFPAPLDAGGAARKRRRRDDDSDDAESSSSSGVDERSAESRGTGGGSGGVKPTKKKARGGWRDSAAALEESDKHYQVVTKLFLSYGMAARGAEITAVRRVAQGARAAEFQTQGQLLADARGAAAAAAKFAWYGAPAEDVAAAVEQGIARTNALLLGARAHGDGVHLAPPQCPYASAMLAKADKTGEAHIVLCRVLMGRPEAVPAGSSQSQPSSDDYDSAVDNMVNPRWYVVWNKDMNTRILPEYVVSFKCANLHARGSSEATSKPKKPSQVARDMFPTLLAEIEKFVPSDKCERLQGTYDLFKKGQVKKEQFIRFLRSYIGDNVLTTVAKKLRGC >Et_3A_026622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10822206:10823214:-1 gene:Et_3A_026622 transcript:Et_3A_026622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLNPYMRQPPPDYWERPYLAFDPAVSPHYEVVLVPLVLGSFQRHLEEQDDTAPDQPEPETETEWPPALYETRAFSSATGRWEARSFPREGDDRAGTITTAYMRRDRDFGFHDMHNAVYRRGALYVHGQMNLFYKFSLANGTYRVIRPPAGLVPSSPDAVTRQQVYLGRWKGWVSCAAVDDFFRLRVWTLDESAPPAAAGHSNEWVLKHQNDLRRIVLPSPAVHDDDDEFLTFLGFHPFQDIVFFNRSLQRGLAYDLGTTTVQDLGDMFPKDYGSGNMNYAEIRASFPYTPFWMQNSLPTAHADDVP >Et_9B_066197.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:6768973:6769509:-1 gene:Et_9B_066197 transcript:Et_9B_066197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDAAAAAKKAAAAEAGGGALGMPAAEVERVFRRYDANGDGKISAEELAAVLRALGAPPGPGEVRRMMDEMDADRDGFVDQAEFVAFLCGGGAGEGGEQGDAAAASEAELREAFRMYDADRNGLISARELHRVLRQLGDKCSVADCSRMIRSVDADGDGSVNFEEFKKMMGAGAKR >Et_10B_003402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19454992:19458044:-1 gene:Et_10B_003402 transcript:Et_10B_003402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAREHFVLVHGEGHGAWCWFKLRWLLEGSGYRVTCIDLAGGGVDPTDPKTIQSFDHYNKPLIDLISALPEGEKVILVGHGTGGLCVIHAMHEFIDRIKQAVFVAATMLPFGLQTDEDKKDGLPILPEEEVTLTFGAGPDDPPTTVALRPEFQRDRLSQQSPEEESVLASMLMRPWPETAFGTARFEGDDERLNRVKRVFIKTERDHMLDPQQQDSMIKKWPPSEVLVIDTDHCPFFSAPEQLFNLIDTAEMQSGKSCQTRQPPPMTPSFHELKKMPYTVSMKVSRNSLSNFPSLSDTPNSPIKIGIPSKLAASSICCCIQVMFLYKVRQPGKRILSSSQRQIPLLEVAAFEAADQENSTGLNIRAYQVSE >Et_4B_039365.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1252790:1253995:1 gene:Et_4B_039365 transcript:Et_4B_039365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQRVVAAAALLACLLLPMASVVRGRNITTMLAGYKEYKLYNKYLSETRVCDEINDRQSTSMTILVLSDDAMSTLVSDAGDSLPAIKNALRLLAVLDYYDRKKVKKYGGQSADTLYQATGDAVSATGNVKVTDVEDGKYGFSSATADAQVSTVTKEVKTMPFKFAILEISAPVEFDGLFDTPSTSNLTRLLERAGCKQFAALITSTGVLKAYEAAMDTGLTLFAPNDAAFMAKGAPDVEKMSSANLTTLLKYHALPAYYPKTSLKTVKGALPTLAAKNSISVSAKGDDVGLDAGGSKSRVADTVIDKVPFSLLAVDGLLVPAELAVAPAPAPAPDAAAPSPSESSSSSRRPADDVPSDAADHAGHKAKKTTSSAVASRSIGGAFAAAAAAVCSVVLASVL >Et_8A_057704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6260358:6263970:1 gene:Et_8A_057704 transcript:Et_8A_057704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLILWRWLFLFLTSISLAWSLSSDGLALLALSKNLKIPRSINLDWNASDSTPCKWNGVLCNNRSRVISLNLSSSGVSGSLGPQIGLLKYLYTLDLSSNNISGSIPPALGNCSILDYLNLSENVLSGEIPASLGNLKKMTYISLSFNSLSGTIPEELFKNQFLVEVYLHYNQLSGPIPFMIGEMTSLGFLWLHGGNMLSGVLPGSIGNCTNLEELYLLDNQLSGALPETLGQIKSLKIFDATNNRFTGKIPFSFEDCNLEIFILSYNQISDEIPSWLGNCRSLQELALVNNNFSGRIPSSLGLFSNLTHLILSENSLSGPIPPQIGNCQLLERLELDANQLEGTVPKQLANLKNLRNLFLFDNQLKGEFPKNIWGIQSLESVLIYGNGFTGELPSVLAELKFLQNLTLFDNFFTGVIPADLGVNSRLEQIDFTNNSFVGEIPPNICSGKALRIFVMGFNNLNGSIPSSVADCPSLERVILRNNNLNGPIPQFGNCENLSYIDLSHNSLSGYIPASFGRCRNITEINWSENKLFGGLPTEIGKLVDLRRLNLSHNSLDGFLPLQISNCSKMYALDLSFNSFNGSALTTLSNLKFLSYLRLQENRFSGGLSDSISQLDMLIELQIGGNILGGRIPSSIGRLLKLSVALNLSSNGLVGDIPPQLSNLVELQSLDLSHNNLTGGLDTLGSLQFLHALNVSYNQFTGPVPDKLLKFVDSIPSSFNGNPGLCIICSSDSCKGANVLKPCGGSRKRGVHGRVKIALIVLGSLFVGTVVVLIVSCILLKSQDPKKKSVESVSTMFEGSSSKLNEVIEATENFDDKYIIGTGAHGTVYKAALRTGEMYAIKKLAISAHKGSYKSLVRELKTLGKIRHRNLVKLKEFWLRGNYGFILYDFMEKGSLHDVLHVIQPAPALDWCVRYDIALGIAHGLAYLHDDCRPAIVHRDIKPSNILLDKDMVAHISDFGIAKLMDQSSSAPQTTGIVGTVGYMAPELAFSTRSSIQSDVYSYGVVVLELLTRKMAVDPSFPNNMDIVSWVSFMLHGTDQIEAVCDSDLMEEVFGTVEMEEVRKVLSVALRCATKEASQRPSMVDVVKELTDLRPARGAELLSKSKQDRHGSQSSSY >Et_4B_036986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13091118:13098046:1 gene:Et_4B_036986 transcript:Et_4B_036986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGNGRREAALGALAVLPDEVLCAVVDLLPPTDIGRLACASSVMYILCNEEPLWMSKCLSIGGPLEYKGSWKKTTLCRLGLCSNNDIWNKPRQFDGFNSLFLYRRWYRCFTTLSSFSFDDGHVDRKDDLSLDQFRSQYDGKGPVLIANLAETWPAKTKWTLQQLTKDFGEVPFRISQRSPQKITMKLKDYVSYMELQHDEDPLYIFDDKFGESAPALLKDYSVPYLFQEDFFDVLDYDQRPAFRWLIIGPERSGASWHVDPGLTSAWNTLLCGRKRWALYPPGRVPAGVTVHVSDEDGDVDIETPTSLQWWLDIYPHLAEHEKPLECTQLPGETIFVPSGWWHCVLNLEPTVAVTQNFVNQSNFEFVCLDMAPGYCHKGVCRAGLLAVPGKSVKDMEHHPPSTVNRWNHPDLSRKEKRLKGSESLRTSNGENHCSAFEFSGVQESLENQAFSYDIGFLSQFLEKERDHYSSVWSPTNSIGQREAREWLRRLWVLKPDLRELIWKGACLAISVDKWYSCLEEICACHSLPLPKEDEKLPVGTGSNPVFIVSGNVIKIYAEGGLGYSVHGLGTELEFYDLLQRIGSPLINHIPEIIASGFLEYEDDIYRTVPWDGKGIPDVLVKHYPSAVSHGKNCFPLGLWSKQRFGVNNTSDISKRPIWPYMVTRKCKGDIFARIRDTLSKDDIIPIASSLGVQMRNIHLLPLPHMEPIPECDDNNVQEMAGESGHVATVPPEWKDLVSTLNGRRKNVKKHLANWGSTIPQNLVEKAEEYLPPDMDFLIKFVKDDGQSVYPSPSWIHSDIMDDNILIGGTKLGSSSGSRKANEGDLEQMDAIHIIDFSDLSIGDPLCDIIPLHLDVFRGDNDLLREFLRSYQLPFLRGESNADMYNSVQNSKFSRASYRAMCFCILHEDNVLAAIFSLWKELKAAMCWEDVEHVVWEELNRYQQQQSCTI >Et_3A_027011.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29409381:29409880:-1 gene:Et_3A_027011 transcript:Et_3A_027011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLASLIGLVDAMESRRRPSARASRSGKLRALLLSLCLRSHMENGRSAPSLGQFLEMERRASGANGHVHGL >Et_6A_047397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5089921:5094831:1 gene:Et_6A_047397 transcript:Et_6A_047397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPSSSLLTCFASFPGDPTLAHLAAVLRRRRQPAMPRKASSTSDSRLKWRKRKRNLNASPSKPSTSAAAADHSDESDSAAANDDDETAVPGDGTHDDDAPAGSEDPALGLREAEVLSSAEVVSAFPAAKRRVVNRPHPSVLALLDAERSAYSGDVSASAVALALENISHGQLQVLSGVLPDHPSLTTDPDQPSLYVCTPQPLMEGHGVPKQFEGRLHVVPKHSDWFTPGTVHRLERQVVPHFFTGKSPGHTPEKYVLLRNKVIAKYLENPGKRLAFAECQGLVGSTGELYDLSRIVRFLDTWGIINYLAAGSVHRGLRMATSLLREEPTGELQLLTAPLKSIDGLILFDRPKCSLQMEHISSLASPSSNSEVVDLDAAFADLDGKIRERLSESSCSYCLQPLASLHYQSQKEADVALCSDCFHDARYITGHSSLDFQRVDGEKDGSDSDKWTDDETLLLLEGIEKYNDNWDGIAGHVGTKSKAQCIYHFIRLPVEDGLLENVEVPNALGPFRAQRNGYPHSDSNGRTSGNLPQSIQHGNQLPFISSSNPVMSLVAFLASSIGPRVAASCAHAALSVLTRDDDSRVGLEGMHADGRLNGVNPNFLNQNGASSSTSPENVRHAAMCGLSAAAMKSKLFADQEEREVQRLAATIINHQLKRLELKLKQFAEVETLLLKECEQVERVRQKISADRARMRSILLGSAGSSLPGSTMPTNPVSMNPRPVAVPGSMPQASMPAAYTNNMQGHQQMTFLHQRQQMLSFGPRLPLSAIQTQPSAQATNIMFNSGMPNSVTPNHHQLLRSSSGNNSSVG >Et_7B_055803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:541272:543337:-1 gene:Et_7B_055803 transcript:Et_7B_055803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVAKPPQPQPRARVHDVRSALVGAVVGTAPYHGVVERDVGIPQEPGEEQVRVGHVLQLGGAANKERRQAREAVEGGGGEQQAMDLIKLLAGAVFEEVLEAEWELEAHRQPPRCCGAGVERQMQLRSPPSGMEADSAGGGVRCGSALASLAPEMHGRSSRLQQYCIAQSSAPWSSSSYPAGASSPASAVTTSTRKSKRSVFRTASATSSLCTVLRLPSSVAAQARRVSSAMKSSHALEKTTGASPEIILAPPSAVSPAERFIIFLMRASGSACGPVRADTPPQNMPEYGRACLISLASWIARPAGSEEEERDGDYICVEFDRARR >Et_7A_051070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14088445:14090912:1 gene:Et_7A_051070 transcript:Et_7A_051070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAAEEVVMDSGEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGSSLQYAGPASLVWGWVVVSFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPVWGPLASWCCAWLEAIGLIAGIGTQAYAGSQVLQSIILLCTGTNKGGGYLAPRWLFLVMYIGLTLIWAVLNTFALEVIAVLDMISMWWQVIGGTVIVVMLPLVAKTTQPASYVFTHFQKAPDVTGIGSSAYAVVLSFLVSQYSLYGYDAAAHLTEETKGADRNGPIAILSSIGIISVFGWAYILALTFSIQDFSYLYDTANETAGTFVPAQILYDAFHGRYGNSTGAIVLLFVIWGSFFFGGLSITTSAARVVYALSRDRGVPFSSVWRRIHPRHKVPANAVWLCAAVCALLGLPILRINVVFTAITSVATIGWVGGYAVPIFARMVMREEDFRPGPFYLRRASRPVCLVAFLWICYTCSVFLLPTSYPIKTDTFNYAPVALGVCLGLIMLWWVVDARKWFKGPVRNIDEQNGNGKV >Et_1B_013378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7932121:7937160:-1 gene:Et_1B_013378 transcript:Et_1B_013378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGRKIGSGSFGELYLGVNIQSSEEVAIKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQMITRVEYMHTRGFLHRDIKPDNFLMGLGRKASQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFEDKPDYTYLKKLFRDLFIREGYQLDYVFDWTVSRQVADNNRLRLSRRTGGLAGPSADRAQRTAARQDAPDRLSSLIDPLAKRNGSGSGHCGEHTKHRTLLDSLLAPKTSVDSDRRRPSSSRNGSTSRKALLSSSRQGSGDPSDPNRSSSHLVPTSSGSSRPSTNQRLHQSGGLEGRTTSFPKYGRNVYDDPTMRTFERLTISAERRK >Et_2B_019247.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19895076:19895300:-1 gene:Et_2B_019247 transcript:Et_2B_019247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARRARSPSARARRSACTLPTSRRTTRRGTPLCRRPSPPATSASSSARPRRTNARVPPTCREKQRGRERMTSGTH >Et_1B_010320.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7560626:7560742:1 gene:Et_1B_010320 transcript:Et_1B_010320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGASISACKLRAWSTNADLTRPLEMVEGTKPLGLPK >Et_2A_018662.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4415801:4416190:1 gene:Et_2A_018662 transcript:Et_2A_018662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLMERNMMAYLPAAARFALTVAVGCSVILKPILEFLEGRTSPSSPRSLLLDAAVAVVLVTLPVTYIMGVTLVYIHVTPAAAAPPPGASRRFADLACTVACVLLVALAVPLAAFLFLAGSSPSGLTPT >Et_5A_041794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2917864:2921849:-1 gene:Et_5A_041794 transcript:Et_5A_041794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYEMGRTLGEGHFGKVKLARHADTGRAFAVKILDRQRILAMRIDEQIKREIATLKLLKHPNVVRLHEVSASKTKIYMVLEYVNGGELFDKIALKGKLSEKEGRKLFQQLMDAVSYCHEKGVYHRDLKPENVLVDAKGNIKVSDFGLSALPQNQRKDGLLHTTCGSPNYIAPEVLLNKGYDGSMSDIWSCGAILYVMLTGSLPFDDQNVVCLYQKILKGNAHIPKWLSLGAQDILRKILDPNPITRIDVDGIRAHDWFKQGYTPVVPFHDDDDNTDEGRVDMTEHNDTQDNIAINQMNAFQLIGMSSCLDLSGFFEKEDVSERKIRFASNYSPAYLFEKIECIVTKMGFQAQKGNGKLKVIQHCKGPTTARGYESLLISAEVFEINESLYVVELKRSSGDCSIYRELCATLSADLGICKSQQVLKQDSIRHDIGRYNSSF >Et_9B_065707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9351526:9353612:-1 gene:Et_9B_065707 transcript:Et_9B_065707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETNLVTMTSVASYAGEDEDGACLHAQTLVYAFNITMTVKAAIQLGLLDALSAANGCALTADELAAQIQAADNAEVAVSVDRILRYLASFNVVKCSTETGPNGRVLRRYAAGPACRWLTRNNGEGHHIAAAVADGVVQTPFQRAHGSPIFDYMGTSPRLSSLFDQAMAQQSMLVVNKLLDHPKVFDGVGVLIDVGGGTGATLAMITNRYKHIRGINFDLSHVISEAPSFQGVEHVAGNWFDSVPSGDAFIMKWILHMQDDDDCIKILKNCHRALPDNGKVIVVQSILPATPEATPAARDSFTMDMIMLVNFKGGRERTEQEYAELARGAGFAGAFRSTYIFCNIYALEFTK >Et_6B_048598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11248619:11253372:1 gene:Et_6B_048598 transcript:Et_6B_048598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSATVAGMLSVKRGAPVLVPPAIPTPTGERSYLSNLDQNLTVIVQTIPFIILRCLFYHDCQLPRGQHLVRIKYHQNFLSSSSKLASQKMQTSSMNLIKGPLFAKLVLVPLSLDATICCWLRHDCSVNSYLSVLSLLMNQEKDVRELCIKRIFQGSLSDRRTLDFFNDLAPNLMEGQAYWRLIAGLAEYRQFVYNNTKTMVVLSIVGVLMGIFKTVLSLKQKTN >Et_5B_043303.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:23342931:23343107:-1 gene:Et_5B_043303 transcript:Et_5B_043303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRIAARFHSHCPQTARLYYHPPAGGDHRKGEAAAAKMMMQQQQGFGAEEIILYAVV >Et_3A_024270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19065253:19067431:1 gene:Et_3A_024270 transcript:Et_3A_024270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPEENANVPSCDAYFEAIQSKKKLPLSLQESLTAAFAQIPVSSFPDVPAGRVIEIPGDTSILDAVRILSEHNIRAAPVLNPEPGAPADWQGRYLGVRSIVESYRWSPFVPVTLDSSMLTVLLLLSKYRLRNVPVIEPDKPVIRNFITQAGVVKGLQQCEGRDWFDYISALPLSDLGLPFMSIDEVITVNSDDLILEAFKCMKDNKIGGVPVVEGPNRKLVGSVSIRDIRFLLLRPDLFSNFRQLTIIEFMKTLGSTLPDSGEENCLVKPPPTCGPDASLGSVIDSIASRITHRIYVVDGDLEVIGVVTLRDVISCFIHEPPGYCDSYLASAMEKIDGKSSVSVEKS >Et_4A_035946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9142654:9144419:1 gene:Et_4A_035946 transcript:Et_4A_035946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLRGKCIGKGAFGTVHLAVDRTTGRAFAVKSVDAKHAPAAALACLESEIKILKRLSSPHVVAYLGDGASGTTRDLHMELVPGGTAAEAAAARRGGLGERAARGVLRSVAAALRYLHDDAGVVHGDVKGRNVLLTGSGDGDAKLADFGAARMVSDVDAARGPRGTPAWMAPEVARGGAATPESDVWSLGCTALELLTGKRPWAAELGGASEVSELLFLIGFGGKRPALPASLSDSCRDFLDKCLRRDAGQRWSCDQLLRHPFLLSSDARDDAAGETSPSPSPRAVLDWAAMSSSDSDSEAALDDDAEPETEHEVMARAKGRVAELASNWPRASWEKLDWGTSTTWAADTWAPLPISEAPRAAPSTSNSNTGNDSAGGPASSASAAAGRDQVVAGGSGTGDGFLRVHDRPSCHCHCCHYNYGSAVDWRPLAVPQPQLQPAEQGEWIGN >Et_9B_065253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2788308:2791072:-1 gene:Et_9B_065253 transcript:Et_9B_065253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRRRTKEKKAPLEMVNNGNGIDALPDGVLQHILGYLPPRDAVGTSVLARRWRHLWASTTSLRIIDEGVEGMNRLREFVDHLLLLRGDARIQTCVFWFGNIAAGFSDSDVLRVNIWFQHAIRCQARELQLVDRSHRSFFRLHDLPIVSRHLMELLLCGIELKGNSLNFSSCQALQQLDINNCNLSKAMRISSESLKRLTIIDCSFAKSFRTHIYAPSLVSLKLDDNWGNTPVLESMPLLVDASVRIAHENVDSCGYCVSSGDCNVCHGYIRGNSSCVLLEGLSKAKKLALIAESKTFIFKMDLQLQLCPIFSNLKTLLLSDYFCVAFNLRALSCILKHSPVLEKLTLQLFSKGAKHDMEMRGIYGSEQRSNAISEHLKVVEVKCEVVDRRVLRVLKLMCSFNICKLSNKTMHSFNISEVRFHLQKHERKQLCGGRKIWDDT >Et_9A_061329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1041399:1043232:-1 gene:Et_9A_061329 transcript:Et_9A_061329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEANMSMEIKDMSGWLVVYGMRTSLKVAAIMMVGSIYKRNWHDLYRIDMSDRTETVSELKKLSTVYPCHPDQENCVRHEPSELIQVFSLSLPKTPVNSVSIQLYGYMAARDDMDGKLNYVFNRSRDDPLILQQGLEFVQSLCKLLEICISTYGLLEMTGPKRGIVMISDVLFEFDMKVRIGEKEEDDIQLIDGVILRAILMATHTVTHRISGNCGGAVDISLALVESGVEAIIEVIISEVQSAFDLSFSSFLSEWEFKESHLFNGTIGEMCVERFVVAVLMDSMMPLKFKAGQKGPDSDVVHDCPFNAKVHGCTNRQIKLEQACISVNVNWSPPFF >Et_5A_040523.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4596010:4596051:1 gene:Et_5A_040523 transcript:Et_5A_040523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPKVFPATSN >Et_6B_049795.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:12236737:12238128:1 gene:Et_6B_049795 transcript:Et_6B_049795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATEPAPAAEASSSSSLPPAIRVLDTVFVQPSEPAPPESSLPLTFFDIFWINSPPVERVFFYRLAPDADADAILSNLKSSLPTAVRAFFPLAGRLRLAPGSAHRYELHYRPGDGVAFTVAESAADADELAGDDAREVAAIAPFLPPLPAGGALLALQATLLSGRRGLALGVTVHHAACDGAGSTHFLRTWAAFCTGAPSPPPPPVIDRSLLLADPRGLYDVFCTAVPTSDEAQFVKMAPDQLLATFVLSKDDLQRVKDAVAAEDATPPRCSSFVAALGLVWSCYHRAKKESGITGDNDDAGGRACLLFAVDHRSRTNPPLPDTYLGNCVGPALAVAPLDAGGLFAACAAVAASIKEAAAEFGTPRMDAWMERVREAAAMVPLSVAGSPRFRVYEMDFGFGAPAKVDIVSVARTGAVAVAESRSGDGGGLEVGVSLRPRGMDAFRKCFADAMAWLHSRSGAE >Et_7B_053788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12195896:12197678:1 gene:Et_7B_053788 transcript:Et_7B_053788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLLAVGLVLSTLAAAGIWSPAPPADQQHPGDHVVREGRSVVIVEYEREADGTVKETRVLSSRPLEEGEDGARVLEETRGAVTGAAEKIAGAAEDAKEKLADAKEGATGGVLGAVKRCKDRLCGAGRSAEEGAKDAASRVEHGAEYAARRAKETVSDAKDSAENKAFDAARRGKETLESARGQASEAASQGKETLESAKDKASQGKDTVKGKVSEAAGKAKEKASDLEHGAVEAARNAKDKASEAAKHVKHGAKDTVRGARNTVSGIAERAEEYAHDAAESAADRAAEAEEAVKAKAGEVRKNLTDIARRARDVASDAAAYLLGGPLEAARTATAVMHLLGFATAFGTCVWVTFLSSYVLAAALPRQQLGMLQSKMYPVYFRAVAYGVGLALAAHLLGAERSSLASRAQSVNLLAALGLVLANMLLLEPKATKVMFERMKVEKEEGRGQDMADIVDPPAVTVSTVATYAAAAARAEAAAARTPADGAAAPATPATTGKATATTTTGDAEMSKSRVLRLNKQLKTLNNYSSLCNVLSLMALSWHLVHLARRLLTGPAC >Et_4A_034240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31065158:31066250:-1 gene:Et_4A_034240 transcript:Et_4A_034240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMLYMNFLVAMGLAMTKRKVDAISSAISSGRERSQNWHEDQTKYMLEWHIDYLKKQHTRFKFRKPHDMLCADALNKKFAMGVTVGQVDRHYRYRKENWKYIATALSKSGNLFDNTRCLVIISESEKSTLCDRARRLLNKPIEFFNEMQELFTGSSADGSFAADQNTCMGGSDGLDSNDSRDLIDVNCYTQPEGPLGEDSDTLPTPTRHGNVDNNSSSTSRGNSKRPKRKKTPPTEKPQNKSRLAESTEEITATMKSLRETLATTAPPQMPQLIDPHATLWQKLETIPMTSDQRVLVGEHLSSKENKGKRSWLCSASAKTLHAWVFKFLCKKEGINL >Et_2B_019882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14912602:14914511:1 gene:Et_2B_019882 transcript:Et_2B_019882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHGGGHGGGDFRQKVWSMTGGPYCRPVHWRRNTAIAMFGVFLVCIPIAMKSAELEQRPHHPVRPIPSQLWCKNFGKKEY >Et_9A_062040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19142001:19145542:1 gene:Et_9A_062040 transcript:Et_9A_062040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSRVCSAASRRPIGGGGPVARVGVRCGGAASQDTVPVLPVRARTSCDCRTPAAARLSAVQATIDCRILNCLPRLESHTNEPLLRRKMPYAYFYCSYVLIVLNRNAIATGEFRMDLLDSLLADRKWDAVDEYLTRVVAWHIFESKPAVENVPFGTIDQEHPELALMPRRKYVHNLIAEGKWVEANRYYADKVSVLETCGLERVRKEVSDVKEFIHSAGKNGRGDDIDAGLAIKDYIYLYYPSFRPPMMHKRQGGTNFSPLWEFAEKLESGFRCLACHTVFIGRRPNMIAPHLRGDSKQTKPCVAVTAALLKRLLQHACRKSTDREEQPPPDGGSGASGCAAAAPPNLGFVR >Et_1A_009572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8319383:8320046:-1 gene:Et_1A_009572 transcript:Et_1A_009572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGTSAVLSRAARMRQKLQSALEASALDIEDVSYQHAGHAAVKDNANETHFNIKVISPKFEGQSLVKRHRMVYDLLTDELNSDVYPFPAAGER >Et_7A_051824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25203222:25203993:-1 gene:Et_7A_051824 transcript:Et_7A_051824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPSSIPRDAAELQARFEAYSRLHAAAATAAFGEKLPIPEIVMIGGQSDGKSSLLEALLGFRFNVRKVKMDTRRPLVVRMVHDPTAVEPRCRFQEEDSEEYGSPMVAATAISDLIKQRTESHLGRINAAVSSKPIVMRTEFAHCPNLIIIDTPGLVLKVQYREAKRDEPEGTPEEIKFIVKKLASPSHRLLLFLQQSSVP >Et_7A_052862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20694749:20695857:-1 gene:Et_7A_052862 transcript:Et_7A_052862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACYSSLFSSVLRNLLCLRHLELKGHLRSDHTVASVAALLQNAPGLEALSLFSLLPDPPKKRMYYFSEDSDSEHSYSDNNEDGDSDNEDSDSDREGSDSDNEVTNKNVDWSGQVQVPQGLLTLPVWCLKHRLKRISLIRYSGQAFEKTLAKFLLSKAEALDELSVSIARGLSTDRAAQIGRELASWRFHRTRGL >Et_8A_057155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21720588:21725135:1 gene:Et_8A_057155 transcript:Et_8A_057155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAEVRYGIVGVGMMGREHLHNFAHLAAEVEREQSVLVRVTCLADPHPESLRLGLQLVAELGLPAPQTFSGHCELLDSGLCDAVIVSSPNMTHHEILMDIIGHSKPHHILVEKPLCTTVKHCKEVVEAAKQRPDILVQVGLEYRYMPPVAKLIDIVKSGTLGQVRMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLMRLFAAANPVRVLASGAIDVNHKDEVYDGKVPDIIDNAYVIVEFDNASRGMLDLCMFAEGSKNEQEISVVGDIGKGEAFVPESVVRFGKRTGGRDGVVTIMADDERIKYQGLHHGSSYLEHLNFLSAIRAQGVSGPSVNLNDGLLSVAIGVAGQLSIEQGLYFQQLTRIAIGMKHEAYPHLISIGMGDIRK >Et_9B_065536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6318374:6320480:1 gene:Et_9B_065536 transcript:Et_9B_065536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEANGGKNGAVPPLLAEVEVSNLPGFDVVPSPRPHDVVPSPRPPLSPKPPVPAPLDRVARRSEVVLPPLESPFQAPGYRSVQPHSISLPASPSGFGPAAVAVPGILDGNGGDPHDLRRHAMVANAAREQQGSAPLEKGIGVRFEQPQGKVAFRSQPNPGGLPPARSGSSTRAMSRDKRYDSFKTFSGKLERHLAGAPEVADDEVEGSGDAISGHRAASMPKVDRFFAALEGPELDKLKSSEDLVLPSDKTWPFLLRFPVSAFGICLGVSSQAILWKNIATSAPTEFLHVTTRVNLVLWCVSVALMCAITAIYALKLVFFFEAVRREYYHPIRANFFFAPWIACLFLAIGVPPSVATELPHWLWYALMAPVLVLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLREGPAFFFAVGLAHYAVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWAKITGEFGLGSRVAYFIAMFLYASLAVRINFFRGFRFSLAWWAYTFPMTGAAIASIRYATVVDNAFTKALCVALSVVSTLTVTALFGTTMVQALVLRNLFPNDISIAITERKMKPIMELHESSCGGDDGSSGRSNDIETGAAPQQKIDA >Et_4B_038048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25790875:25793582:1 gene:Et_4B_038048 transcript:Et_4B_038048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VHLSEVAYASTTTPSSAPTATIVSALPPAKRSLRGRNPSLETYPSPSPMASPLPLISLLALLLCCSLSPSTSASFSLLTASSNVSAAASSASASAAADPELEEPEPEPTFLEEVVDAVSEKYDWDPDADVRVWPLDLDTVRVGATQRYEFRARAGGTAVVARFPDEEVEWRRPAAPAVEEVDGPDGIDVVPDDGAFEFEHGVRDVELVGPVELRFAGGEDGELVELQFVPSGNITYTRLKKILVADGIALKVIGAQKVSLTHPHSIGLLPNGSLLTSSNDLSRIWLLSDTTCAPLIQVGVVGSVVVVVHENSISGGRAKSSFRSHNTMELLSDKCQGNISNRLISACLFCSISPKLTKLEKILKTWFSKRNQENSTMHFIEAKVTSIPLVKFRLELERDITEDDIILENVSEWKTKPIVQRVTLDIIAKVENDERLKAISVKKVKNPFPIVDATSWSSLTSNVSFTKFLSLVLPPEPLLLDVKW >Et_7B_055157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7814817:7823057:-1 gene:Et_7B_055157 transcript:Et_7B_055157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPNTRNKNKRPRADESESSTAAVFKKIHSTGDVSQSDIRQLYMVWKPICHGCHGNSKDSPNCFCGLIPAANGVRKTGLWQKTQDIVRALGPDPSEDLRDSAERPAGLTNLGATCYANSILQCLYMNTSFRSGIFSLEPDILKKHPVLDQLARLFAQLHSSKMAFIDSAPFIKSLELDNGVQQDSHEFLTLFLSLLEQSLSHSKVPGARTIVQNLFRGSVSHVTRCSSCGKDSAASSKMEDFYELELNIKGLNNLEESLSDYFSEEALDGENQYFCESCQKRVDATRCIKLRSLPPVVNFQLKRYVFLPKTTTKKKVSSAFSFPGRLDLGKRLSSPSSSFTYDLAAILIHKGTTANSGHYVAHIKDESNGQWWEFDDETDENATESKNVTEINNGSFPHHFLEEINELNASYIKSCEEYQSKKDSQLACITERRQEVKSILIEAPANPEDDSYFWISTDWLRQWADNITPPSPIDNCPIQCEHGKVPASKVTSLKRLSAVAWQKLFSKYGGGPTLSSDDFCIECLKVGAKNIVSADVYRDRKASFKSLAEAALAGSSSDGPSLSQWLRKKNADMPSDTDNGPTSALRCCHGYLLPEHAPGAKRVSVPESLWLFLYETIRTRKADDIVTFPSDCQPCEICSQELSDVASVEGNLRAEKLKQRQNHEKIISGKSFALFPGQKYYLIPSSWLSEWRAYVTATGKNISSLPEPQNLEATHSKLLQRPLDLVCKRGSITQKTSNTDGLTVIAESDWELFTEEWSATPGKGIPAEIAFRKSPQDKLQGSSEVTPHVNGDLDQSRDDADDLQDREPYVKTDPEVCEECIGERESCALVEKLNYQNEEIQVYFVRGKEAPKSIREASKAFPVPDRRTSKRSRRTSSGNSISLKVSGSTTVYQLKLMIWESLGIVKENQKLHKASVEIEDDFATLADKSIFPGDVLWVRDSEIYENRDIADEISEQKADVQQAEEGFRGTLLTSNVAAQLCQDIAFSE >Et_3A_024859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24946185:24950820:1 gene:Et_3A_024859 transcript:Et_3A_024859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRKPAHGKTRVKALEGVGYREGDRKPVSAPRTTSGTRRPIVDGVVPLPLQPPSARGACEHAKNGHKPVMHAHDVERRSAAQKKPSSFSTGAPCRGAGQEVRQATIHHALSVLQIIASNFGSLAAFTELSAVAGTNYSAYDIPKFLAAARYSADEVALGFRTTLVPTTCVNGVGRWTDRLVYWDGDGKNSLVAVRALGTVIGDDPEQGYFNSVLIPDVTYDGMVSDDLALSRVVSEILEANRARENSGDGEPFPFGAPAEVASGRPHHDPGGKSEGGREGDRPRKHSAVPALLMEEATTPRFFKVLVGDFARRIVSRILSSSSSSSSSQLGSGVHCGKIAIFGPAEPLEIPQAFLCHIPEESRRLSENSMAAAAQLKNAEGKAWPVALEENNARVFLTTGWPKFVEDNCLREGEFLVVKSEGNLHFMVLMFGVNAVERSVWSSRGATGNLDGKPPCDIFPFRRGNSGDQSTEMAASITHGHSKTVILQSTQRDAHISSHDELGACLSCKWPMEDDKAKAIAKVMRTFDMDRLTVELFCATLGLYKWNVDAAAEEFDICKGKQQISPELSLKKKLVVQFSFVKEQLRHFFPQDDNSCAPMHERWKNRLDMTNLSNQSLPCDLTPAKRKLVDKHESCDLSHQQKRGVGKLQRGSPHTETPRRSPRLAHLKNISDRTNNSLKERSEILEPSLTQENQVKDRANKSCLLYEKHDCVLKGVHEEVRGSLSQDFRKSEPMHNQIENGEKQTEKSALLTSETFISTGSTETWSLPTNSKLTSDSRITELSVTWKSSQQGNPLENILLDIERVNFMNTIAHIQKIIRDELPDLQTADIIENIVRIGIPKWDLCLRDSNAQKVITADASICFLKLEEHRKTLCAIEDDIKDLQQHMMTKENEVQKLRRQVSEHETMYQNLIMEKVKVKMALKSCHKTLDEVKRRLSSNEPSLIDVDALVKVEMDNAFKEIELSKGIIISINIMKE >Et_4B_037682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22454264:22455483:-1 gene:Et_4B_037682 transcript:Et_4B_037682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDGDIRSFFRKTAAKKDSSSSPSIPSTKKRQIAAISGLTDDLLVDILSRVPIKTLCCCKCVSPSWRDLISNPSHRKKLPQTLAGFFYFDEFEACQFVSLVAPNKGQSRSPSLVDFSFLPGDGQVLDCCNGLVLLKCWVGSGSTRRGTYVVCNPATKKWAAVPEPTETGKKCFGIRLCFDPAVSSHFHVVRLLDDEELDAEEEGRWQSWDEPEDLFSGFDIYSSDTGHWVFLPAKVGLHVAVPNAIVAVDIKMQTERTLYLPQVEGSGFIGHSQGRLFYANKDDRNAYRILVYALEDYGSGRLTLKHSINASDIYASARQHLQSALQLEIAAIHPHCNLIYLVDCSYGTLISYNMDNRSIGDIRPITGICLSFAPYVPFYLEISALEKGN >Et_7A_052333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:699272:702951:-1 gene:Et_7A_052333 transcript:Et_7A_052333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAKGRVVPLLAVAAALAAVLLYRAPFSKSLGGEGCSLLPHDHFWIASDRVVTLGRVGPAAVEIKGGLVNAIAVGDYRNFVLRRPLLDYGDAVIMPGLIDVHAHLDEPGRAEWEGFSTGTKAAAAGGITTLVDMPLNSHPSTVSEETLKLKLEAARDKLYVDVGFWGGLVPENAFNPSALEKLLDAGVLGLKSFMCPSGINDFPMTNSTHIEEGLVTLAKYKRPLLIHAERIPDVEDDDGLDDLDPRSYSTYLKSRPPIWEEAAIRDLERAMKDTEAGGRSEGAHLHIVHLADAKTSLELLKDARNSGASVTIETCPHYLAFSAEEVPDGDTRFKCAPPIRDATNRENLWNALLDGHIDMLSSDHSPSTPDLKLMEEGNFLKAWGGIASLQFVLPVTWTFGQKYGITLNQLASWWSEKPAKLAGQKDKGAILPGYHADIVVWKPEAQFQLDDTHSVYHKHRNISAYLGQPLSGKVLSTFVRGNLVFAEDKHAKAACGVPILAD >Et_4A_032198.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:23049845:23049961:1 gene:Et_4A_032198 transcript:Et_4A_032198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELGHFLACQMVLQRDICRVKNCRRKMFLCIAMIYCS >Et_3A_027095.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32620031:32620525:1 gene:Et_3A_027095 transcript:Et_3A_027095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVDLAWFRNPLEHISMAADITTSSDFYFGNPDDLGNFPNTGFIYFKSTARNARAMAYWHDARRRWPENHDQFVFNEIKRELVSVVGVRIKFIDTAAVSGFCQLGRDLNRIATVHMTCCIGLENKLFDLKRVILDWKRYMARPLWERQMGKIGWTFEGGRCIH >Et_1A_005516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10995250:10999317:1 gene:Et_1A_005516 transcript:Et_1A_005516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQVTSGRSNAAQSESAKVQLRSSMEAKAIPLLTSYTMGKFHLSHRVVHAPLTRSRCYNNLPQEHVALYYSQRASEGGLMIAESTGVSVTAQGYPNTPGIWTREQVEAWKPVVEAVHRKGGVFFCQIWHVGRASTYDYQPNGQPPISCTDKQITTEVLEDGTVEEFSAPRRLREDEIPQVVDDFRLAARNCIEAGFDGVEIHCAFGYLIEQFMKDSVNDRTDKYGGSMENRCRFALEVIQAATDEIGSDRVGVRLSPYSNYLDCWDSDPDALGLYMIQAMNKLGVLYCSMVEPEVVKVNDKVQISYKLLHFRKAFNGTFVVAGGYNREEGNKAVSEGYTDLVAYGKWFLANPDLPKRFELNAPLNKYDRSTFYTPDPVVGYTDYPFLNLSSI >Et_1A_009141.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30702791:30702957:-1 gene:Et_1A_009141 transcript:Et_1A_009141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KDELAVLRRYRVDEETGEVHRLLRRCPAQDCGAYMARHEDRFNCGRCGVTYAAEN >Et_1B_014382.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7274406:7275161:1 gene:Et_1B_014382 transcript:Et_1B_014382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMDQTRTSGVTELPVAACGEPAAKRNISGGEPAAETGGGCFDCNICLDSAAEPVVTLCGHLYCWPCIYEWLCPDADAAADARSSATRQCCPVCKAAVSPDALVPLYGRGSSSSRPKKPPPRGLASIPRRPAVRQSARDSDHHYRNVETNQPTQVLRHGQADAARFDFLFPPPFGDRGVMRSTTGGMLGGMAMAVLPWVLRGQERPPSMYFPSPYRLTPSQRRRQAELERSLHQIWFFLFVFVVLCLLLF >Et_2B_021314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28494147:28510218:-1 gene:Et_2B_021314 transcript:Et_2B_021314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKGDPAVAVLGIGEASDPIGFVNMPARLLQLCFTVDYLVYWFDELIIARMHGRLVVVLDLPAEGWEPYYRVARTLIDCVNAALLVAYVSALVAAFVRQRPAGQNSGSQRQRWELVVVTVCCVAAAAGYGVTGFLDATDIAGTAPYFVRGLVWVALAASLHVQPTRLAMAVAVLWWALFSLLITAYNVELLFGGHRLDVAEVVAWPVNFLLLLCALGSLLQWRHGQQDTTADDNGLSEPLIDKDKTVHTSELYRSGLFSQLAFSWLNPLLRLGRSKALDLTDIPLITGEDSAQHASQKFAKAWRHHVDDKARHQSRSSNSLALVLFKCFLGEIALTGFYALMRTLSIAVAPLLLFSFVWYSNQEERDLRTGLLLVGCLLLMKLVESLSQRHWFFDSRRTGMRIRSALMAVIFQKQLNLSSQGRKNHSTGEIVNYIAVDAYRLGDSISWLHMGWSCPLQLAFAVATLFWALQLGALPGLVPLIIFGFLNVPFAKILQGYQAKFMVAQDERLRSTSEILNSMKIIKLQSWEEKFRHIIESLRNAEFKWLRETQMKKAYGAVMYWMSPTVVSAVMYTATAIIGIVPLNASTLFTVLATLRVMAEPVRFLPEILTMMIQYKVSLDRIEKFLLEDEIKEEDVRRVEVFGSAAYVSQNSWIQSGTVRDNILFGKPFNKKRYDKAIKACALDKDIENFDHGDMTEIGQRGLNMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAAVLFYDCVMTALAEKTVVLVTHQVEFLTETDRILASSDLSILDFDIPYSMAFVTTGSIEVVTTVLVMGTVTWQVLVVAIPVAITMVYVQRYYVSSARELVRINGTTKAPVMNYASESILGVVTIRAFSETERFIRNNMQLIDIDATLFFHTVAAQEWVLIRVEALQSLTILTSALFLILVPPGIRYRPNAPLVLKGITCTFSAGNKIGVVGRTGSGKSTLISSLFRLVDPAGGRILIDKLDICSIGLKDLRTKLSIIPQEPTLFRGTVRNNLDPLGLHSDQEIWEALEKCQLKTAISSTPALLDTVVSDDGDNWSVGQRQLFCLGRVLLRRNRILVLDEATASIDSATDAILQKVIRQQFSSCTVITIAHRVPTVTDSDRVMVLSYDEIKEEDVRRVPSDDSDSGIQVQDGNFSWSATGANLSLRNVNISISGGEKVAVCGPVGSGKSSLLYALLGEIPRVSGLVEVFGSVAYVSQNSWIQSGTVRDNILFGKPFNKDLYDKAIKSCALDKDIENFSHGDLTEIGQRGLNMSGGQKQRIQLARAVYNNADVYLLDDPFSAVDAHTAAVLFHTALAEKTVVLVTHQVEFLSETDRILVIEGGQVSQQGKYSELLESGTAFEKLVSAHQSSITELDTNSGRQNKDQGIEASDDNIMPSALHTTRQASASDIKASAKGPSATFQLTEEEERGIGDLRWKPYKDYIDVSKGIFPLSGMCISQVLFACFQMMSTYWLAIAVQTGNISTALLVGAYSGISIFSCCFAYFRSLFAALLGLKTSKEFFRGLMDSVFKAPMSFFDSTPVGRILTRASSDLSILDFDIPYSMAYMVTGSIQLVRINGTTKAPVINYASESILGVVTIRAFSQSERFVRNKMQLIDTDATLFFHTVAGQEWVIMRVEALQFLTILTSALFLILVPPGVISPGFAGLCLSYALTLNSAQVFLTRFYSYLENYIISVERIKQYMHLPPEPPAIIPEHRPPISWPHEGRIDLEDLKIRYRPNSPLVLKGITCTFAAGNKIGVVGRTGSGKSTLISSLFRLVDPSGGRILIDKLDICSIGLKDLRTKLSIIPQEPTLFRGTVRTNLDPLGLHSDQEIWEALEKCQLKTAISSNPALLDTVVSDDGDNWSVGRRQLFCLGRVLLRRNKILVLDEATASIDSATDAILQKVIRQQFSSCTVITIAHRVPTVTNSDWVMVLSYGKLLEYETPAKLLEDKQSAFSKLVAEYGAWLWLVRPQLRCEGVVATYPRVWKALPAPAATLRILDRGLVTADPTTGLVALARA >Et_3A_026979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28731928:28737278:1 gene:Et_3A_026979 transcript:Et_3A_026979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTKGNAVASSASPSQVKSTMDDPEKNASPKNDVEEHQGKKILKRSSSFAQVSIAAATGISTAAFTVGKDVKLHRHVLVAGGCFLVVAYLSGLLLLYLKLCLSECRKLHRGHIRVLQVLCLTSGTSLVATNSLLLVLINEGNGMLALNLLPVQVMIGMLALRAAPTEDSLRDEAFEAAIKSGRKVALFASATAFAVQTTLVFGYLNNASFQALGHRLDISVSFLASALAVFLVVATCMPLGYGTEAARGRVLSILRCLKDAVIAVLGLTAVTLGQEFLGGDTVLALFPEIAVAAMYYAVTLLSDDEAAAASQGQGDGAAEHKTEVLPTAVVATFGFAMLGAAYAAMLGTPEYDVYTKALVFTLLAAIVSSLARVAGPLCASRRDKNAAAWVVHLSNVIPVVEMLVAVPLAAKIITPEDNESLVMAPRSVSAADENPVHVRGQPPKKTKASCPNTGIHMAITMDPMTPARPSWNLTVISHDKTRWRPMLSTARYIWSVKDQTQANMTGMYQSEMVPATAEILGSWPRAIRSGSVKM >Et_1A_005548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11371789:11375522:1 gene:Et_1A_005548 transcript:Et_1A_005548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSVGIPSLGADVSAALSPDVEYRLREIMQEAIKCMRHAKRTVLTTEDVESAVSLRNVEPVYGFASGDPLRFKRAVGHKDLFYLDDREVDFKEIIEAPLPKAPLDTAVVAHWLAIEGIQPAIPENPPVDAIVAPENKRPEHGKDDGLPVDIKLPVKHVLSKELQMYFDKIAELTLRRSDTPLFKEALVSLAKDSGLHPLVPYFSYFIADEVTRSLSDLPVLFALMRVVQSLLRNPHIHIEPYLHQLMPSMITCIVAKRLGHRLLDNHWELRDFSANLVASVCRRFGHVYHNLQTRLTKTLIHAFLDPAKSLTQHYGAVQGISALGPSAIRLLLLPNLVTYMQLLEPELQLEKQKNEMKRKEAWRVYGALLCAAGKCLYDRLKLFPGLLSPSTRPLLRSDKRVATSNPNKRKPNTDLSASQPPLKKMATDASTNCMASASMAGNMQGAMDGFSTQLANPGMMQASSTAQMVENSTSVAIRRDHGNDLAQRVSTVLRQAWKEDQDAGHLLGSLYEVFGEAIFSFVQPPEISIFV >Et_7B_055155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7802298:7805665:-1 gene:Et_7B_055155 transcript:Et_7B_055155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAVLAVAVKDRGYEDPLGTPRRSPAASAGRGRDVAEEGGAGAAFGELKASADRQMARMMARLEGARSALAALMQKVSGEASSTLIAGLKEAGDDGDDGVKGLPGFYGAARLLMELQEIVVDAGAVRDSVASSFDAMVASVSAMQAAKDEQRWLMDAEKEMYGIVVQGVLREVNVESKRTFSPVERFQLPALPLHSTDGAKNSSEECESLKDETRQLQSTRRNTAEKSDNSRHCNQSEEERGISHQEAERLMEEKVDSEIRCELQDVLYSATFRDLARELAVQADVHKLTEERDEVDIRSKLQDEIHSTVFKGMVKELAVESADHLIRASIKDEVQSVSVANTLNAWKSRTEMVQNESLVKEEMDRVIFGGLTKDLVNDHHLSVLKSEGENRPRDDTGRFTTIDNVEQVQMTPDQHGVLRKQEISSDAYSCVRQNFKESDMQGETSRDENGVSGNVDMQTREMARRLSIAPQETNEEMFILLSNFQEMFRNFEADICGKIGIDMTRLRDLDKQLANVSEQVSSLRKSELTYQRAFTRRCCDLQMAEAESISSYYLNGEKMDILVRPETQVDLLGDEVELLVRLLRKTYRALNHYSPVLQHYHGIKEMLCLLGKELAIFHKRLQRPPPTENRADSFRIPSFHSSAIVVSHAPHSSIAMDLDLPPEQAPPLADLAATIHRAAAAAAALSAPSPSSHAAAAVAALRDAHAAIGCFLSHLDTVSASSGDDEPMADGGEEPLEQRAGEQMVGEVEKGLRNCGLQGSKRRKRPVPPSWPLGRRSSGVCETAEAAAAPVLDVEGRRRAAMDLLLQFHA >Et_1B_011703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25213953:25216570:1 gene:Et_1B_011703 transcript:Et_1B_011703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPRKQPQPHPPPPPAARPVAKAPPPAQRRHKPPTPPPRHQHVPRQQDEASTTPVLGVAVPRAWLSQRTPVLVAAGAAAAVLLLLCLVVCLCRRCCRRRRPPRLAPCPHRRSLRNRVHAHHQAMSAAVPLPGSSSRSNKDDVEAASGALWRPPTFEPPIEAIKAEQKAPLILQAARGSAGTTSGETAASGGGAGPEAARRGWGRRFSLREVEEATCGLAAANVVGEGGYGVVFRGVLRDNTAVAIKNLHNNRGQAEKDFRMEVATISRVRHKNLVSLLGYCSEGACRMLVYQYMDNSNLDKWLHHDDSEISPLTWDIRMRILLGTAKGLAYLHEGLEPKIVHRDIKSSNILLDRHWNAKVSDFGLAKLLCSERSYVTTRVMGTFGYVAPEYAKTGMLNERSDVYSFGVLVMEIVTGRTPVDYTRPTDEVNLVEWLKRMVAERRVEEVLDPWLPERPSSKALKRVLLAALRCVDPNGSQRPTMAHVVHMLEDDQILRDELKLARDLSPQASDSYDR >Et_8A_057319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23643725:23648296:1 gene:Et_8A_057319 transcript:Et_8A_057319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEKRARAAESDKSSRKAPRLEPQDSVCGDAAAAETDKTPSPELKDSGCPGTPLPARSGSMEVAVGSDTSSAPCRHFLATEEETELLLAKIESLKYGPDPACECCVLESANVGQKSHPMVCTQCDQCLCSSVLSSEDAPWGHARRHARENEHWVALWFSKPETGYCFFCDDQVHLHKSGGGSDRTSSDNDESVSSEVKPEAAAGGGHGYASGSNADERGYVIRGIPNLGNTCYMNVVVQCLLVLDKLRTVMLGPNAPSGSIGRALAELFVETRASNDTRVALNPQNLLNNIRALHSEYNSSTHHDSHELLGFLRGWLNEEQLNRAPNRQDGASGAAITTVDSIFEGELSDTRTTKCCQSKTVDHRSFYDLQLPLASKKHPNKSIASPQRTHRSQRKSPAKQLFPAVEECNSEKVQTIADGGDSDITHTELDYVAMEKTPEPLEVDYSEAVQIHQTVHCPLLTHKDVVSCSERSQGIIEVPLKFLDLLSDSLFSDKVEEMLEPMADSNSPEDRVPPPFDSPVRIKNVIVASGNDLEQKGKENTSTEQITREVHREAKERTCMIQVTKEDKGKDLSCIDKKAEDSSFHASIMDCLELFFKEEVIEARCEKCSKGPQQPSTIGSKDGGQMVASTDGNTSVDSNKIEKEYMSKYKDQEVTISKLPPVLTVQLVRFEMVKDNIVDQDKIKGCMSFEENLDVRQSMDQSSEDNNSSYRSLKMIGHVKFEENLDVGPYVDSRSEDKNNSSYRLVGVIEHIGDSLKSGHYVAYVRGSRNGSDQQLRSGSSSWFRVSDKIIRQVSIEDVLKCEAFILFYERIER >Et_9B_065159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21700408:21702640:1 gene:Et_9B_065159 transcript:Et_9B_065159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVESAPDSPTQAPPSSASSLPKEHSQVELELRLLQGLEFYPPSKLKGIHGSFVLYGLMEYLSKSLDRNFSCGEVLQLLERFFNLDLLKPEDDEKDSFGQSEDFSLPESFRSKEE >Et_7B_053467.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:21325159:21325761:-1 gene:Et_7B_053467 transcript:Et_7B_053467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVRRRNPDVAVKALEGIVSANTFFTVAVFIGITGTITPSSSITRNCVAGDDIARNFFLFEIVSFGFYLLSSLVAQGMKLAVTLLAADDFYSDGDQNKPMPPSDDCEEMPAWRAAAPRERRRAVLRYAQPMMLLAAGCSIMGTFFLLLSMVDAIQLKFGIVSCGIPLAVGATFALAALVVAGLLFYGFTVAYALTHYLP >Et_7A_051456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18953026:18956515:1 gene:Et_7A_051456 transcript:Et_7A_051456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLFTAWLVVGLAVSCHGELHRAAALPLSTSSRWIVDESGRRVKLACVNWPSHMEPMVAEGLSKRALADIAGDVRTMGFNCVRLTWPTFLVTNASYASLTVAESFRRLNLTASLAGVEANNPGIVTLKLVDAFKAVVRGLGDADVMVILDNHVSNPGWCCDNDDGNGFFGDAFFDPDVWVDGLSKMAAMFAGEHNVVGMSLRNELRGPRQNTDDWYRYMQRGAEAVHAANPNVLVILSGLKFDNDLAFIRWRPVKLRFAQKVAFEVHWYSFSDPQQWDSGNTDEACARVAASMTQRTLYLLDKGWPVVLSEFGVDNRGGNLADNRYWGCVAAAIAGLDLDWALWALQGSYYRRDGVEGYDETYGILDREWREPRNQTALQRIHALQRPFRGPGLEETAPYTALFHPATGMCVLRRSPAQTLELGKCNETEAWAYTDEHMLVLRDSSLLSVCLRAVGDGQPASLGIGRAACAGELARWLRVSDSKLHLAVKVPSSSFLRHDKLCLDVGADGRSVVTNKCRCLSGGDGAGCDPESQWFELVTSTRSPVVTKSVLAQLLASTLRDWKTWLFTLL >Et_2A_016033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20341752:20344651:-1 gene:Et_2A_016033 transcript:Et_2A_016033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTVDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGGKNCRFTIAPHLLALARKYNEDKMVCRRCFARLPLRATNCRKKKCGHNNQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGSRGGYPKGIEPSLRELAQKYNEHKQVCRKCYARLPLRSNNCRKKKCGHSNQLRSKKRFMSKLGGN >Et_6A_047382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4797452:4808526:-1 gene:Et_6A_047382 transcript:Et_6A_047382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPPPQPLPSAFDDYYDDDGIDWEAAVLEIDKVCALRSASASAPDPAPVQHQPPPRRPESSATAPVRKPWAPAGSGTRQSTLDRFVNPSTSGQREREKPLPAPVSAPVAAQAGGSGHRGARASEGCSWLAGEKVLEDRFMESFSLRREKEKAASAPAPRVTPARGRGRRPGGRAGKGGPRRVVEEVSYEPCAVALDHEAVQTWIYPTNVEVREYQKCMVEKALFTNTLIALPTGLGKTFIAAVVMYNYFRWFPEGKIIFTAPSRPLVTQQIEACHNTVGIPQEWTIDMKGNTSPSSRAQYWKSKRVFFVTPQVLANDIRSGICMVKQIVCLVIDEAHRASGNFAYCTALVAAHVPLRILALTATPGSKHPKIQSVLNNLCISDLVYCDEEDSEVSRYINTRKVQLVTVPFGSDATEIDNMLLDIMRPRLNRLRDAGVIDHRDYANWTPFGILQCKEKFLVAPPSNIRDEERGEILKYFIALGSICHIRKLLLSHGIKQAYQFLEEKLKKGSLSLMRKNELFCQLKDKMKRMSSKGSTLKIQELKKVVDVHFKNTDSKESRVIIFSHFRGSVKEIYLSLQAIDDRLIRPVEFIGQNAAGKRMKGQTQKMQQAILQKFRSGEYNVLVATSIGEEGLDIIEVDLVICFDANVSPLRMIQRMGRTGRKHEGQGEEAKGYKKKQGDTRTMRNLLRNSERFEYHASPRMVPHIFKPEIKCIKLIIEKYTPCLKKIKAAVNGGPPILRKMSEEDGQLIARYFSAHREEIWRPSLVAFPRFQLSPSVVHNVPHSFRTTDMLIDTMQQLQDVSFFRTKGESPFQEPADIAAMTDQSHEGLGLNGISGNKEAMSQEYDCLEASSREIVWDRNVPSSPVKTYPIHSFFSGDYVTVDRGGNVSITFVPVLCQISACHKDTKNASSCLSIQKMALAYKSAAEFIKPTVEFDCPAYLTDSGKHMLKNSVSNLAPRSCECTGQGDNVDDYVLTTPSKTLTSPRDKWDTPCSARLPRSAISGLEDKELSPRLTHYMEEGIVPDSPVLGVSHLQELGGAVDVGPVSKIDSSEPFGEGIQANADGCQKGPLWQEKNDGWLSGNTELAVSSRHDVLEQTRAQAEEPACPSSVRICTPTTHTSTNLLCDSFSNDRHFKSGVDTSGSVQQAPKYRRLCKYGDKIKRVSSISLDGCHDGFGGITSKNVPNPMEHGIGYKGKAKRRLDTYIDEEVEVSEDADISEDEDDEQSEDKYEDSFIDDQATPTDEFTQSEQGKENNGDMMGFYRQSLLTQTPVVLPSRYLDASDSSSRARNPQSETAIDNCESSSKTDFRKRKLSFEQSASIPVINLEPETAQHPLSHLTTGVSDDDFLDDDFFEGLNLDAIEAQATEQWRQKTARSKPVEPKKASEISFAPPSFDLGF >Et_2B_021256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2875755:2877342:1 gene:Et_2B_021256 transcript:Et_2B_021256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDWTSVHHPYQHMDALSLLLGLGLALVSLLCYCLISYYYRLESNAIVPTEWPVLGHLVTVIANIHRFHDWVTSALAAVGHNFEFRGGLSGVRYLATCDPSNVRHIFTSNFANYHKGDHFAEIFDVLGGGIVNADGDSWRRQRTKLQMVLTGSRFRAFAARCSREKVEKSLLPFLAEAADAGRQCDLQDVFLRLTSDMACVISFAVDPGCLANDLPVVPFARAMDDASETCFFRHIIPAPCWKLMRRLEVGPERKMAVARKTIDSLVAEAIAQRRHADKLNGDSADLLSSLLCDENTSYDGSDEFLRDMTVNFLLAARDTTDAALSWFLYLLSRNPRVEQRLLHELSLLIAELGNLVYLHAALCECLRLYPSVPFERKAPVVDDVLPSGHEAKRYWCSINYSMGRMEAVWGTDCMEFRPERWITDEGKLRYETSFKFIAFNAGPRTCLGKELAFVQMKTAAANVLWNFSVEVVPEHVVEPNLSIILHMKNGLTVRVRRRNAVKASS >Et_6B_049080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17685580:17688878:1 gene:Et_6B_049080 transcript:Et_6B_049080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRRVAEDATAVLLLLLILAGLRGSASTAAADVGGVLRMKHSSGGGYSYNRTLAHILVEYASAVYTSDLTSLFTWTCPRCKGHTKGFKVIEIIVDVENCLQVHHGFYTAYYNTTLRHEILKSIQWARKTYGKLPINVVGHSMGGALATFCALDLSVKFGSQEVQLMTFGQPRIGNPAFASYFSQQVPRTIRVTHQNDIVPHLPPYFPYLGQWTYHHFPREVWLHVTIDGNVVTRNETVCDDSGEDMNGSRSVYGTSVADHLEYYGVTLHADSRGTCQFVIGATNSAYSHIQEVDGAIILSRYPQEPHALEYM >Et_8A_056383.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:6342214:6342300:1 gene:Et_8A_056383 transcript:Et_8A_056383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPPSAASSNEQGTKTQQAGTATKSSA >Et_9A_062611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24428247:24432971:-1 gene:Et_9A_062611 transcript:Et_9A_062611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGSLERMGRELMCPICLSLFRSAVSITCNHIFCNCCLIESMKSSSTCPVCKVPFRRREIRPAPHMDNLVCVFKSMEAAAGTSVMSTLEAPAVKVTEDGSDGGNSGSKPKRLQKKKVASKKETKKTKATAASASHPATKPSISTNKRIHVTPFPEYETPIRPKQIMKPEQPKNKENGDVEEDTDKALTSDQPGSPSLSPFFWLRDEEEGSTAETFSEPLSLDTPLRHNAPTFSDIKDSDDENPCNMTPNSKDKVTEIFDSEIFEWSQRPCSPELRSTPVKKQGKFKNKLDQITEKDNVEDMELGGSFDKLDNANNAVHAVNAGENEQKREKARAMKRKNSKLTNRGRLCAKGSEADEQCTDTPIGAVAKSCQTNNNTEERINKVSMNSYRDLCTSDEIMETFTPPKHGLEVEAPEKELSEKSLKKGKSNQRKKRGRKLEVSGKSTINAAESKSEQRSKRIRRMPEGDIAQKIRVISEIENEIEMPHIKGCIRPIYSNGISKTSKGSDTGNTPNILLGRCHSNEAVHAVHSAKNVSVTNDCANRMEQAGHSVSRGVHNAVMKKLENKVCKVFCAFCQSSDITEDSGEMVHYHNGKQVPAEFNGGANVIHSHKNCLEWAPDVYFEDDSVFNLTTELARSKRIRCACCGIKGAALGCFEMSCRKSFHVTCAKLIPECRWDNENFVMLCPLHHSSKLPIETAECKESKRSLTPKRPPQVRPSQDYGNKWTWPSGSPQKWVLCCSGLSAAEKTIVSEFAKIAGVPVSTSWSPSVTHVIASTGACKRTLKFLMAILNGKWIVSTDWVKTCMQCMEPVDEQKFEVTIDVHGTNEGPRLGRQRLINKQPKLFHGMQFYFHGDYTKSYRGYLQDLVVAAGGTVLQRKPVSRDQQKLLDDSSMILIVYSDENQDSAKSKSKISIHTACRQTDAQALACASGGKVVSSAWIIDSIAASNVQPL >Et_3B_027564.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27876377:27877108:1 gene:Et_3B_027564 transcript:Et_3B_027564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRSLATSHLTDASPTRPRSRSYSTQTFIWWWCPITYAVRGTMSAFPNVRHHTASPPRRSPGTLYSSLSPDTTMSAPLNSSVTAGSLAAGGGRPAGTRKHVSNASWKEKLGSLSAGRTGRVCFKNSAFRAE >Et_4B_036200.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:10134331:10134633:-1 gene:Et_4B_036200 transcript:Et_4B_036200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDSSPVMTNSERRAYRYGQAAARLSGMRKSWSNDSLSSYGGGRATCVCAPTTHPGSFRCKHHRHNHASNLAPHTEAPTADDAGEKHHETAPRALQEN >Et_1A_005206.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:32914485:32914673:-1 gene:Et_1A_005206 transcript:Et_1A_005206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWSSSMYGTYQSSKTSVMGGGADEAIGEPVRLVALQRLFCSSYSVSVISSAAATVFLQSL >Et_7A_052909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2538992:2540459:-1 gene:Et_7A_052909 transcript:Et_7A_052909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLSKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYDMPRSVALLLLDPSSCISSISCSSLARSFNLIHGCHFRSAYCRYVYLGLFDTEEEAARAYDRAAIKCNGKDAVTNFDPSIYVEDLEPPASGSFAADPCFFPFCSPDRGCIMIHAWRDRRVSIFTVRACLSQFAAAAGGDEHNLDLSLGSSAGSKRGSLDGGGGDEEMSSDQRVPMAFDLDWQTAAARSTKAKFDQNSKQPQMPPASSHHLPFSPRHHNHQFLSSGGGGDPGTAGGLSLTIGGGGGGGHWPPHLQQQQQIRLPQHGWGTATTSWPPPPPYPPSPTTAAATATAAAAASSRFPPYVTTPPAPSWVQKNGFHSLTRPT >Et_4A_032276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27883011:27883347:1 gene:Et_4A_032276 transcript:Et_4A_032276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQFGLQKSTRVNNYHWTPDEVNQLVEGISALGVGRWTELKSTYFPTSIRTAQHLKDKWRNLLIGCGLQIGKKRKVNFITRIHGC >Et_3A_023053.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:21570805:21571974:1 gene:Et_3A_023053 transcript:Et_3A_023053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANALGYGEPSQAPPLPTRAPSPSPWLVSQAPPLIPRISVAVAEEAPPSWGDLPDSILIRVSAFLPFRADRVHMACVNRQWQAAVMGLRRPPPPVPPQLPWLIFPSTEAPTFYSALGRRYNLPTLDVRRARFCGQILLALNSRDAHALYRLNPGTRIPLPPEFMVSWGAVFPLVVRAATLCAPQFRNQNMAAALVLVAGRCTTAFWVVGRERWLSSGSLLDVTPQDVLFHGGGFFFFITSDEGVAMLWPLEFPDGDVMVSRLDYEDYSEDVGFIGGLGAMRRYLVDSRGRLLMVVRYINHGTGTKMFWAFGFRVTATLTNVKPPRVTWEYVGDELGGPMLFLGPVCIRSFEVAQYDGFQDQESMIFITFVPSGQNSNAGSSVSQYFST >Et_9B_064238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13182731:13185697:1 gene:Et_9B_064238 transcript:Et_9B_064238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSKRRGVGGGVGGEKKDLFHVVHKVPAGDSPYVRAKHLQLVEKQPDLAIVWFWKAINSGDRVDSALKDMAVVMKQQDRSEEAIEAIRSFRHLCSKQAQESLDNLLIDLYKKCGKVDEQIELLKQKLKMIYLGEAFNGKATKKARSHGKKFQVSIQQETSRILGNLGWAYMQQNNYEAAELVYRKAQTIEPDANRACNLAMCLIKQGRHEEARQVLEDVLQRRIYGSDDEKVVARAEQLLCELNPLMHVSSPFDIGLTVSEELMERLDLAMNEWTPFRSRRLPVFEEIATFRDQMACHYIVSKDVKILMIANLTPENIPSDSFTSGKKCQLDPQRDKQGVPEL >Et_8B_059177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14898179:14899992:-1 gene:Et_8B_059177 transcript:Et_8B_059177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DVPTSETTSIIDAIRAALRSSGEGEISTPAYDMALVALLKNLGGSHGPQFPSSIGWITQNQLPDGSWGDDTFFGDRIISTLACVLALTSWNIHTDNCNRGLLFIQENMWRITDKDDDWKPVGFEIAFSSLLDMAKDMGLEIPYDEPALTGIYAQRDRMLTQISREVPHDMPTNTLNKEDTVHLDWEKLLQLRCSAGSTLGPPSANNQTGVKTCFDYFDGIFQKFSET >Et_8A_057273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2318200:2320840:-1 gene:Et_8A_057273 transcript:Et_8A_057273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRSSFSIRNGSKSFAEESATTSLSDDLIAEIIVKLPVKSVARSKCVAKNWCATISDDFLRRRLPLQMSVVYFPDDPSRGKGPRFACASAAGGDDGDGLLEDCDLSFFPFRDGAVVCDACNGLLLFRSAGTARFYVADPVKRRWAALPPPSRDTMLSVLAFDPTFSSHYRVINFTGWRDRGAALEVFSSEAWAWAAPRDADFGVAADFLLGSTHVHGGAVYLLSEAAAGDAAARVVRVDVAGDGEDDEPACTVLDLPEPMGGGGDGRVSHSGGLLHYVTSDGELLKVWGLEGGQWRLKHAVKVDDVVEGGCGGGEVRFLALHPEKDAVYMWSPWKLVEYDMARKEITGAWQFGGKDQEGEKNRIIKTWLVPSSMYLSDCFADGKVVFGFLEMKGGFRK >Et_9A_061689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15362698:15367984:1 gene:Et_9A_061689 transcript:Et_9A_061689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQRPHQKPTAADSLPVSSPTSSSAAPSRPLPLLTLPYLFCLLAILLFAALLLPWGPGARAPTSPWRAYTLQEAAAFAAAAGNGTVLLAAVSGPYLPFLSNWLISVRRAGRADQVLVIAEDYETLERINAAWPGHAVLVPPAPDAQSAHKFGSQGFFNFTSRRPRHLLQILELGYSVMYNDVDMVWLADPFPYLVGDRDVYFMDDMTPVKPLDHSHELPPPGKKGRTYICSCMIFLRPTEGAKLLLRKWIEEMKEQPWSKQRKSNDQPAFNWALNKTAGQVDVYLLPQSAFPTGGLYFKNKTWVKETKGKHVIIHNNYITGFEKKIKRFRDHGLWLVDEHSDESPLERLIGVEEKLG >Et_4A_035119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9723356:9732429:1 gene:Et_4A_035119 transcript:Et_4A_035119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGGGDDIDDGRLFEGVRFVLVGFDDDAESQYRSDMVQRGGVDAGRLSNGCTHVVVWGLVYDDPECVMARAQGKKVVTELWVDDSLDRGVLADADRVMYWPVKDLNGIPGAGSLQICLTGYQKKYREDITKMVSLMGAQFSKPLLGNVVTHLVCYKFEGEKYEAARKVRIKLVNHRWLEDCLKAWKILPIDDYCKSSWELELMEARAKDSEDEAEDTGQRSFDSRSRVRRNSNMKNRAETSVDADVNSLMQSPIPNGSREVVMERHLNAHGHIKETEDADSKTHDVTAQANPDFGMLATSAKAICSAPIETPSVLSVKRKNAAVRNNGSPNSIQLSKRKIVGAKTLDNSSGAPGTPNWSTNKLDSSKVDKGQHRETDGQSGSLVPTIIQSNIDNKLINEEADPNSGPGSNFSNISNPRNSKKASQKSLAPERHSVHHTTSHQKAEESVLRADSNISSLEMGQQNIVERANIKGMKCNEKTRNMDTLDAAYAQPEKTLISPTTLIKSQKEYLESETIPLSSPFVSRLSDASEIANVSSMGANTAEDVPVDLVKQQSSLSTSQQLRARKHSLKHAGPVDGIKLSEHSSCGKNVKSRSKSRTPQKSMSKYKCTTSPSASVQDGKKSSGFSVDNMNGKDAEASASAVNQDLLHLMCETRNAHAKDQAHEISDHGSRNSQVVSCSGFADNTATAFDGNSNEVSATAKFKLEKMSSDANVKESAKRFRNASSNVQDEASHSKKVATPTESNADSRRLGSANMEIDEPVVNTGNKPVSESRPTEIIPHNHDDAASKKGCSTASAATLKAVSPKKLPISGVTNTIARRTRNALNRMDDAQIASNLEEISQENTEMNTKVSDFANAENTRVRHTAAKKSQNSATNMSSEALIDKAETKAGGSLFDDLFPEDNVKGCPKMISSSASASDCGMLPPKNVSNQRVKNAVAKRKMNDVEHKVDSKCGKLGSAIVSVAKAVSSKRIKEMSCNNNKINADQDIKEVNKVGMKDVSGLFCQESATVDKPEGLLNSKLRSSKRNIALNSDHEKENRQDHSNLDSKANAKSGSLCSKSDAQSMQRGTDVPGRCQSVKGNDSRTLIMSEPVTFILRGNRQQRRDYRSILRRLKGRVCRDSHHWSYEATHFIAPEPLGRTEKFFAAAAAGRWILKSDYLTSCLEAGKFLDEEPFEWFGTGLSDGEKISLEAPRKWRILRQQMRHGAFYGMKIIVYGQLILPTLDTVKRAVRAGDGTILATSPPYTRFLDSGVDFAVVSASIPSADAWVQEFIRHGIPCVSADYLVEYVCKPGHPLDSHVLFETNDLADQSLKKLMKNQQEVATHEAEQSEDDEAKQSEDDDQDDLSCSVCGRNDRGDVMLICGDEDGKVGCGIGMHIDCCDPPLDAVPDDDWLCPKCQVPKTKPKPTRSTGRKLRPAKEMF >Et_1B_010982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16065290:16066272:-1 gene:Et_1B_010982 transcript:Et_1B_010982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDQQQQPRRQPDSDGNGRGGDGGGGAVRYGDVFDVVGELAGQPVAPQDAAMMQAAEEAVLGRTPQGGAAAAMQSAAARNARLGVVGEEETTDAAAERGVTVSEARVPGGRVVTEFVADQLVGQYFDEGDDTTGGRVVDGTKITIGEALEATAFSAGDQAVEPSDAEAIAAAEARATGADEAPPDGLAAQARAAAEANARAERDEDKTRLRDVLADATTKLGADKDVEREDAARVVAAEVRGDPDAAARPGGVAASVAAAARLNRG >Et_6A_047830.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:18993201:18994059:-1 gene:Et_6A_047830 transcript:Et_6A_047830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSPSPSGRRLSELLEEKQEPFLLDIHLLEKGCPSSRLLLDGGYDTALCWPSGPGNDAAAVLRRLTSKNKHNKAKNSNKKQNQQPGGFLKLLLSKILHGKSAALQFSDSFKMASDEVKDSESYSDDDEKQHSPVSVLEPHPFETSPIHGKLSPSRNAAAAMDVFRELLDAASYSPALLTQLLAKSDDEEEDDYYYGSYCSSPRNKRYNGAAYWEAHKAELARVSELVAAEVPSAAAAVVLPEREGVGAGVAAAVLEALLQELVMDLGSCCC >Et_6A_046352.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:13589041:13589543:-1 gene:Et_6A_046352 transcript:Et_6A_046352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHILLDPY >Et_6A_047194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26658791:26668246:1 gene:Et_6A_047194 transcript:Et_6A_047194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDKKGAGVLSLAVLTAMIMIVVFSSCTAAVCLAKNYTGDFETFCVPSMVGDHCEIIGPCKWDVCYNFCVANNYTGNFETFCLPSMTGGPYPSCCCRVPG >Et_10B_002542.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:14969005:14969166:1 gene:Et_10B_002542 transcript:Et_10B_002542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARMKQVVAHNMRTTPLESIILYLLLIKISHLLQQDWNNMKVIKRFWLFIYF >Et_5B_044311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22513138:22516691:1 gene:Et_5B_044311 transcript:Et_5B_044311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSFKKVAGSGSPVHKMEQYEVLEQIGKGSFGSALLVRHKMELIAKVRNPYIVEYKESWVEKGCYVCIIIGYCEGGDMSEAIKKANSNHFTEERLCVWLVQLLMALDYLHVNHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLASSVVGTPTYMCPELLADIPYGSKSDIWSLGCCIYEMTALKPAFKAFDMQALINKINKSVVAPLPTIYSGAFRGLVKSMLRRSPDHRPSAAELLKHPHLQPYVFELQSKLTPRNLLSAKLPTRQGTNKTAFSDGEDSCKPKYSKSHSFKIERVVRLDQVTARHDTPSSNRADKDYSGLVYQPVNELSTQVTKKVVNEVIHDKYSKVMRSPSPTPRRASSTPRRRLEPSKTFHATTTAQKERPSSRSSADRIVQATRRTSLPLPMIKTPEKKQGTDILTRLKSPDVSVNAPRIDRIAEFPLPSFEDPLHSITKIMWPPIIDQSITKDKCTFQVLRSDSENYTDTPDIDLLVDSPPRSSSDWRQTRFNTRSYQQRAEALEGLLEFSAQLLQQERFEELGILLKPFGPGKASPRETAIWLSRSFKETGLQSDA >Et_7B_053751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11918599:11922573:-1 gene:Et_7B_053751 transcript:Et_7B_053751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSAVQSALADKSYSAVAPLCDDLLLQAAAQGVATDDWPYAVHLLAHLYLNDLNSARFLWKSLPQAVKDARPELAAVWRIGQCLWNRDYAGVYTAAQGFEWGPELAEFVAAFLESYRKRTFQLLSSAYSTITVADVAHFMGMTEEDATNYAVGNDWSLDAATRMLTVRKTKAQTSQKLDASKLQRLTECVFHLEH >Et_1A_005112.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27745167:27745643:-1 gene:Et_1A_005112 transcript:Et_1A_005112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVGCSAGGAAATWTPPFCTIVAADTTDFSYLSCPRCEFALPERAASCFACGQAPVRVYRLLLSVATHDRVIPVVLFDRAARDLTGCPAEELKRLFAAHPGAARAAAEALRGEMFRMALRAPRKGAAAERIRAVSVVPLRDGFRPVVDTLRTMYTRG >Et_8A_056200.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:14788155:14788361:-1 gene:Et_8A_056200 transcript:Et_8A_056200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VAVVGVEGRLRPVRLVAATARRPVVGVPQRAVQLHVLPRRERLAARRVALVVADWHAVDVESSNNINI >Et_3A_024867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24987922:24988581:-1 gene:Et_3A_024867 transcript:Et_3A_024867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TTVLPVLTVFRTVLITIAAALESRPDVGSSMKMIEGFATSSTAIVNLFLCSVESPFTPGRPTTASLRPLSSTSSITSSTNIYTDEDADKTSMRVVFPDPLTPIRAVRTPGLKAPDIPESN >Et_8A_057047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20488342:20490018:1 gene:Et_8A_057047 transcript:Et_8A_057047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKLEHPLEKRQDEASRIREKYPDRIPVIVEKAEKSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLF >Et_2B_021173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27121132:27124725:1 gene:Et_2B_021173 transcript:Et_2B_021173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPSSPPPPPQPQELPAAGAEIQALTPSSSAGPSSSTTPNGGSGGGSFTALLGLPTSQAMELLLPRVAPPASAPAPAPAPTFPSDPHLVDRAARFSTFASPSPVSPSSPSLTPPPPPPPAAAAANAKRKAEPADRSSKGKAAKKGKTAAGADESKPAGGGGDGEDEKPAYVHVRARRGQATDSHSLAERARREKINARMELLKELVPGCSKVSGTALVLDEIINHVQSLQRQVEYLSMRLAAVNPRVDFGGLDSFLTTECGRLAGLNCKNGIDLEQVTWPEMGVHGARHLMQLQQQFWHGDLAHPHQVASQWEKRGDGHPTVFSNSSSSLFGYDLTSSGKTCMQIYNLYLTDFICLSFTSSISGFLGPRSLSFALSGVFSKYTDNVKRVL >Et_8B_060540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20353343:20362172:1 gene:Et_8B_060540 transcript:Et_8B_060540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMEEEVPEAAAPAPAPADGGGDDAEMAAAPPAGSDSDSSDSDDDDEGGGADDLRIQALEQALQEQPLDYETNVQYIQCLRKLGNIEKLRAARENMNMYFPLTPKMWQEWAKDEISLSTSAESFADIEKLFERGVQDYLSVNLWRDYLEYVEEHDQSVAQCSPAGLSKMRELFERAITAGGLHITEGSKLWAAYREYEMAILITISDGNEEEKAKQVQRIRTLFHRQLSVPLADMEAIHDEYKRWEAEQGNANDPDSDFDGVPSNVVSAYKKANALYNERKQYEDRLSNVVASEADKLQEFLAYLKFEESKGDPAHVQILYERAVSELPVSSDLWVEYTGYLDRALKVPSILRSVYLRATRNCTWVGELWVRYLLSLERIHASEDELRQVFERAVQCSFATVKEYLDIYLTRVDSLRRRMSDGLDFQLIRQTFMDAAEFLVPQLGAEELLLLNSYWAKLERNLGNDVAAARRIWEDTIKKSGSVLEVWQHYISMEIEMGHIHEARSLYKRCYSKRFDDSGSEVTPRFQELSLFRAQQEVKMEPYSVPKDTSNANDSSHKRKTNKMTNKTEPPSKRRKESAPKSTGSDDQGSKARTEIVGAEVGEVSGEKAKESMDVKVDSDTQTGNPRQTESRPYFYDDKCTAYMSNIDLTAKEEQIRQFFADVGGVTGIRLLRDKFTKRSRGLAYVDFSDNEHLEAAIKKNKHKLLGKKVSIARSDPSRSKKSREGGGPFSRDGQVKLPQSGDNGTNTRGSSAANKEMPKGDTKIQGKNTFAAPRALAKPLGWTQRDEKSDSGAEELKSNEEFRNLLLKK >Et_2A_015285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10404700:10414329:1 gene:Et_2A_015285 transcript:Et_2A_015285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADSDEEDFVVYGTPIEREEDTSARKRRAIAEAGQLRALPAWKQEVRDEEGRRRFHGAFTGGFSAGFYNTVGSKEGWTPQTFTSSRKNRAELKKQSIYSFLDEDDIKDMGGNALETSQQYDTFGFTAAEYARKQASKEQKERPSAIPGPIPDELVVPTTNSIGVKLLMKMGWRHGRSIKDSHADSLYESRRNARKAFLALSDIKNDKDQDQIDSDKPSLEQSVVGSFEEMRASGSTPVHVLHPKQDLHGLGYDPFKHAPEFRDRKRLQKSREGDHKRNDVSGRGNLLISNSGHYAPGFGIGALEELDVEDEDIYASGFAYEQTEVDIEPSKTSDGNYKLDDRKRGIFLSFKIASNSEYKLERFPPPEIPAAFDGRHKFPTPAQPAEKVSDLAPPEVPPPEDSTLRLLIEGCAAMVARCGKHIEDFYKEKSKTNPQFHFLSGGDGCSYYIRKLWEHQLRYTGQPRPVDVKALPSSDKLTAENRGKILGERPLDISTKPQNSLSAKEAVQLQSNLIDTFVKPITLDALPESEKPFRNDPAKQARFEQFLKDKYQGGLRTANVAPISTMSEADRARERLDFEAAAEAIEKGKEKVIKPSSVLPLPGLNDQRFISATQLESSIVPQDEKPIYPRREQFEWRPAPILCKRFDIVDPFMGKPMPVQRPRSKIENLIFMTESTMGTKDKEESSSRSSNDASSVAGITEAEAQGTTNDPDVKLSSVQRPVDLYKAIFSDDSDDDTDEIVNHQPVDPVKTSEGANMALNRLAAEDFLESLGKEFGLEVPPERPNVLIRSETLSVAGASVSSQNEKVAITSMEVKENQNSRGVVEVGNANEDAPLANTGKVDLKYEKRERITEENSSRPLHQQNQNHSPDSDSSSERHRSRKRRSHHRTHSRTPESDSSTERHSSRRRKSHSRHRRARSRTPDADSPSDTKHDERKRKEKRRHRAYTPDADSSGHEYKERNKSSSRRSSDKDRSRKHSRHHKHRRKDHAEYS >Et_6A_045999.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:17150468:17150725:-1 gene:Et_6A_045999 transcript:Et_6A_045999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding REQRDDWWAGYELVVEIPREPAQIHAWLGYLIQIELMHSDSISISNLTLVNSPAWNIHPVNNSNIVVQGILLLIAADQELVDPYF >Et_9A_062730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3940143:3943277:1 gene:Et_9A_062730 transcript:Et_9A_062730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVEQRNGEATAAVPALHDLVTFKKKGAHGERGLVVYKPPEDDEKLAMFCVDGAVVYEDAGDLTVVDRSYIRPGDIVVSALDPGGQIGVVTKATTSLDLVQLGNGINGGEPVVVATGVSPAELRRVRELSVGDYVVSGRLLGRVVEVALAVDVRFGDGAVCRVADPEDKLAPVTGGGYVVRRDTNTSFYPGQRVAAAAAVPTAFSSSRWLNGSRWHRSHVEGTVAKVEMVGALVSWIASPELGSNRYLVKASAPPAWQKNPGDLTLFASTGDCFWGFFRNAESYRKNMKRRGQARKRGDQLERPLCVSDARTIANVVWHDGTRQRGVPSASLVSSEVLNNIEFFPGERVIDWAPFNIADGAKGSATVADHEKDLTVCGGGAVAKAAGLTARYGVVRSLDIRDQTVRVSWFRAGKRGGELECDETVSAYDLQYHHDIFYRNIVVRLRPLETASGNDEGGGRTRTPIEGGTKGATIAAHDLSWVGHVIDLCNDGRVQVKWGDKTTSKVFPHEISVVEEQTVNEMKEELSVTAVNVTARTPMLSGVMERLIRLVAEVWAKGKNFLGGDSGTTPSSEPLAMENDAQQSNADGSETMENSAANIATRGNDDLFGFPQFDVVQMSPPDHFFLIDANQGTDGKNKWTKRVQKEWKILTNDLPDTIYVRTFEDRTDLLRAAMLGTAGTPYQDCLFFFDVQLPPTYPAVPPLVHYHSFGLNVNPNLDESGTVCLSLLDTFGGEGVELWSPETSTILQVVVSIQGLVLTAQPFYNESAFKKHHGSEKTARNEIIYAEDACLATMRTMLQLLRRPPVGFEELVRRHFCRRGRFILRACEAYLRKGCPVGTLDAEARVTEVGSGQTCSAGFRLALTRFMPRLVEAFTAIGADRCDQFDTSGLMCTPNVKQ >Et_8A_058298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4534206:4534932:-1 gene:Et_8A_058298 transcript:Et_8A_058298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPATDKAEKAPAGKKPKAEKRLPAGKSAGKEGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAFDLHET >Et_6B_049380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4145987:4158222:1 gene:Et_6B_049380 transcript:Et_6B_049380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLTSLQMLFIYQCSSLAKLPEWLGELRSLQHLNVSRCPMMTSLPQSMEQLTSLVRLKIDGWGNLKQLPEVIQHLTSLQELELVLCSALTVLPEWIGCLSELRHLSIIDCSALQSLPHSIQCLTALQGLFTDNCPDLAQRYKKGVGEDWHLIRTMWLASGRPKRPRLSMST >Et_5B_043807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16356907:16358709:-1 gene:Et_5B_043807 transcript:Et_5B_043807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGHCSRIPNKSHEVVAATVVPVAGDDITRRSFRLVVLFSPNYESRGPSILSGNSTIYWLCYDGVIIQFDLEKRSLAMVKQPSATTQKFLKHQLVTAGDGRFGFAFLTGFKIKLWEREEDLSSVAKWVLRKTIQLDKVLPLDLKEHVKVLGLTGFAEESN >Et_8B_058672.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:15477288:15477785:-1 gene:Et_8B_058672 transcript:Et_8B_058672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVMNSLLSFPNCPYSCALKTGARAASTILCAENTSRRTRKVTSAPSPVSNNFPKSSVRSDGGTRTSITAACATCGCFTIVTSQWMTRQSSCRYADASRSLFFMNFLMPQVWMISALGTVLNFDMSLSRRIEVGDPVVQSTSQKLKFALTDAFWLRSWRKTET >Et_8B_058990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1195378:1197999:-1 gene:Et_8B_058990 transcript:Et_8B_058990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQSSFRLGALEKLKSFRGMEKQKSFRMMSLERRSRDSPGKRGDTPLHLAARSGSVPHAQKILAELDRALVGEMAARQNQDGETPLYVAAEKGHAEVVRVIFMVSDMQTAGIKANNSFDAFHIAAKQGHLEVLKEMLQAFPALAMTTNSVNATALDTAATQGHVDIVNLLLETDASLARIAKNNGKTVLHSAARMGHVEVVRSLLNKDPGIGLRTDKKGQTALHMASKGQNAEIVVELLKPDSSVIHIEDNKGNRPLHVATRKGNIIIVQTLLSAEGIDVNAVNKSGETAFAIAEKMNNEELVNILKEAGGETAKEKVHPPNSAKHLKQTVSDIRHDVQSQIKQTRQTKMQVHKIKKRLEKLHIGGLNNAINSNTVVAVLIATVAFAAIFTVPGNFVEKLDEAPPGMSLGQAYVASNPAFIVFLVFDALALFISLAVVVVQTSLIVVEQKAKKRMVFVMNKLMWLACLFISVAFISLTYVVVGHHDWWLAWCTMAIGTVIMLTTLGSMCYCIIAHRLEQKNSRKIRKAGSQPRSWSRSVDSDEELLNSEYKKMYAL >Et_7B_053821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12451097:12453651:-1 gene:Et_7B_053821 transcript:Et_7B_053821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKLAEEAKRIATLLGLLSVTTSEQKASAASSSEEKLDWLRSQLIGNDVEFDTPFGRRVLTYADQTASGRALRYIEDYLVKEVLPFYGNTHTEDSHVGSKMTRLVHKAARYVKRCMGAGPGDALLFCGAGHQAPTGGHRRDGALRGRLAGQLRAQERWVVFVGPYEHHSNLLSWRQSLAEVVEIGVDEDGLVDMAALRRALSSPEYKDRPMLGSFSACSNVTGIMTATREIARVLHQHGAYACFDFAASGPYVKIDMKSGQIDGYDGVFLSPHKFVGGPGTPGILLMNKRMYRLDSQPPSTCGGGTVAYVNGFNEEDTIYYDDIEEREDAGTPPIVQKIRASLAFWVKEYIGYDRMSLHEHVYSEMAMKRLVSNPNVWVLGNTNVERLPIFSFLIYPPVKDSLFKVVDQTNSDRWLEDVKLKRLPLHGRFVTRLFNDLFGIQTRGGCACAGPYGHTLLRIENELSLQLRSTILEGYVGLKPGLSFPYYLSKDEFRFILSAIEFIASYGHRFLPLYQFDWITGNWTFRKQTIKYHIMKQELALATDFDVLIKKDQSKDEDKFEKKPGDNNQKFESYLESAKKIALSLPDVSQHTVSIPKG >Et_3B_029084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2275643:2276320:1 gene:Et_3B_029084 transcript:Et_3B_029084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSHSAPLYLLYDVSTLTKHGLAPPPPVHVDDDFDDGFEFATASKIGGDAALRACASDVSSAAFADELFRAGALLPLRLPPRLQRPARSAGASAATSPTSSSGCRAKHRGFDPFAAALEKVRKDAAPRCSRSFSPPMLRSVAVSAHNDKDGSSRPPQGREAPSVQGRNGERGGGAETAAAA >Et_3B_028750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18875878:18878234:1 gene:Et_3B_028750 transcript:Et_3B_028750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWGSALTHISPYTFAAIGIAVSIGVSVLGAAWGIFITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPASQMYAAESLRAGYAIFASGLIVGFANLVCGVCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPAKA >Et_3A_026045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3816761:3819648:1 gene:Et_3A_026045 transcript:Et_3A_026045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMGTPKSQVCLFLFLVILGSLSQSAFSQILLQAFNWESWSKGGSGWYDFLSSQVDDIAGAGITHVWLPPPSHSVDAQGYLPGRLYDLNASKYGDEAQLRALVAAFHRRGVKCVADIVLNHRTAERKDARGVYSVFEGGTPDGRLDWGPHMICRNDSYGDGTGGADTGLDYAPAPDLDHLNGRVVSELADWLNWLKSDVGFDGWRLDFANGYSAAVAASYINATKPDLAAAEIWTSLAYEADGKPSADQDAHRRNLAAWVDAVGGGPAAAFDYTTKGVLQAALNYSELWRMQDAQGRAPGLVGLRPAQTVTFVDNHDTGSKTQHSWPFPPEKVLQGYAYILSHPGIPCIFYDHFFDPTMKDDIATMIKIRTRNKIGPTSSLRILLAQNDAYVAEIDGKVVTKLGARYDVSKTVPPGFVVTSSGNDFAIWENSSNVQANTEPSSVGRSRRWVVPVVATAAPLAALLACSAALVLLLRRRKQSRPRDDDSDDGQYSGGEAAEFEKGVVGPRRYSYRALAAATGNFAEANKLGRGGFGPVYRGRLPDGRDVAVKMLAQEWSAQGRKQFEAEVRIISQLRHRNLVQLVGWCDDSRRGLLLVYELVPEGSLDKHLYGKHRRVLTWPERRRIALGLGAALAYLHEECEQRVVHGDVKPGNILLDASLGAKLGDFGLARLLDRAAPPRTTRVVAGTMGYMDPDLAVTRRPSTASDVYSFGVALLEVACGRPVGAEDHAEQPLQLGPDGGGGEEAVALAEWVWELYDRGAVLEAADGRLDGDFDAWEMERVLVVGLWCSHPTPAERPSIVHAMNVLQSRDARLPDLPANVHRGAAASAGFSAYVHCLSSVGSSSSVAEPC >Et_9B_064817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18953139:18954725:1 gene:Et_9B_064817 transcript:Et_9B_064817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCLGGAGRKETALDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAIESEREQDDPLKLSGKTRQLGLIVCRGTAVMLVSPTDGTDEIANPFLADGA >Et_3A_026968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28332477:28333678:-1 gene:Et_3A_026968 transcript:Et_3A_026968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAAAEGSGRRDAEAELNLPPGFRFHPTDEELVVHYLCRKVARQQLPVPIIAEVDLYKFDPWDLPEKALFGRREWYFFTPRDRKYPNGSRPNRAAGRGYWKATGADKPIAPKGTARPAGIKKALVFYSGKAPRGVKTDWIMHEYRLADADRAPTKKGSQKLDEWVLCRLYNKKNNWEKVKAEELVQEQQEAAPHHRQNGEVMDAPADTMSDSFQTHDSDIDNAAGMHNGFGDVAQGQAAMRNAMVTVKEDNDWFTDLSLDELQASYMNMGQMMNPNLCQAVNPAAGQGHAGYLQSINAPQMRMWQTILPPF >Et_6A_047018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24151480:24152982:1 gene:Et_6A_047018 transcript:Et_6A_047018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAGKLFIGGISWDTNEDRLREYFDKYGEVVEAVIMRDRATGRARGFGFIVFADPAVAERVIMEKHMIDGRMVEAKKAVPRDDQSTLSKSGGSVHGSPGPSRTKKIFVGGLASTVTEADFRKYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALFKTFHELNGKMVEVKRAVPKELSPGPSMRSPVGGFNYVMGRTNNFLNGYTQGYNPSPVGGYGMRMDTRFGVLSGGRSSYPSFGAGYGIGMNFDPGMNPGIGGSSSFNNSLQYGRQLNPYYSGNSGRYNSSVGYGGVNDNTGSVFNSLARNLWGNSGLNYSSNSASSNSFVSSGNGGLGGIGNNNVNWGTPPVPAQGASSGSGYGSGNFGYGSSETNFGLGSNAYGRNAGSGVDNFNQSSNGYARNFGDSSGGGGSIYGDTTWRSGSELDGTSPFGYGLGNSASDVTAKSSA >Et_5B_043286.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22176001:22176219:1 gene:Et_5B_043286 transcript:Et_5B_043286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRRDRLPCGHCSSGLQRGFLRSELEGKLISYNMDSKKVRTITTFEKFDTNMRVTPYVPYFSESPVLTNNH >Et_1B_011047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1722711:1725725:1 gene:Et_1B_011047 transcript:Et_1B_011047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFTAAPAVATLPSAAPPLTPDAAAVLSRAAADASRRRHAHTTPLHAAAALLSGPAPLLRDACVAGLASPHPLRCRALDLCFAVALDRLPTSTELQQQHDGGFHAAAAPPPLSNALAAALKRAYAHHRRIGSGGVEADDHRVGVPHLVLAILDDPSVARVMREASFSSTAVKAAMLRSLSDPAAPDAGVYVNARVLHRQASSHREEEVAKVVEVLKRGKKRNPVLVGDTVDVDAVVQEVVTLIQRQRLGNARVISFPTKESGGDLVDMDRAELVAKIKELGDVLQSEFMASTCAGVVVNLGNLQWLVEERCSPPHHQQGEPEKRRDVVLDTARAAVAEMARVLGQFSEGVWVIGTATCATYLKCQVYHPTLESEWDLQAVPITPRPPPPLGLSPSAGANRGILSSSVEVLSSAMTSAMQRAAPSLCNACADGYERERAEMASSSELALRPADQSMSQWLQIGTPTSARPLERAQEKAREAEELRRRWLKRCAHVRPPPQLVTCSEWNGASVLGSSMQALPVRPPSANGTVDTDLALGLASPRPTITIDEKLLLIRLTEAVRWQPEAAAAVASAIAKAKSGECNKRRGTARSDAWVLFAGPDAAGKRSMAEALSVSVFGTGAVTVRLGGVREEASDDGGGGVGDSSVASCRGRTALDRVADAVRLANPFFRVVVLDGVDHADAVVHGAIVRAIECGRLADSHGRDVALGGNVFVVMSQWSPSSSTDHRLTNLERHGAVKRRPEQPLDGDRRTKARKDSLPLDLNLSMSDDHTGDELEDSGGEGSRNSSSDLTVEHEQDCRHQPDIPAKHSSAPSNNVSELIRAVDGTVVFKPAGFESLKRSVSDVISAASGGWSSAHVDGGLLLDRLAAGARTAGPPVTPLETWTGEVMCPSMRQFKRSFSTNDVDGATVEDSSGRPRKDGEMFPMSVTVTVDGN >Et_2A_014891.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20550766:20551143:-1 gene:Et_2A_014891 transcript:Et_2A_014891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPGRKRGREEDDDSRDFPFEEAAARRPPGVFQLPWHKHCRGGGPLGVPPNAGWELRDVFFRSLVDGGAAAIGVPGDRLVSPPPTRRALLEGVDAWLADEVNVDPIWRSALKGGPGPASSAAS >Et_2B_019432.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29287967:29288896:-1 gene:Et_2B_019432 transcript:Et_2B_019432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQCLEILVELAVPETQPEHHEIPDIWVYAGAGQARDDAGRSSRVALHGKLPHDGVDALGSAVVDAEKGISIEIAVVVEVEFAGLVSGRGVRRCRGRHRVPELAEEAAHGRRRRTALGGHGTPRCRWRRRGWQIAEEERGVAEDGERREEVGAAGVVGEGRGKTEKPGRRGLGALGDGEDGGVHGGEQRRRLVVGLVEPLPRRARALERQRRRRRGGGVGRLRERGGRRGVRRPRRRRARRRRLDHLQVQPEAGRDAGRRHESIQPKKISTFFQDRSEIATRWVNQIGKPQSKMANGKGRGESGEMPS >Et_2A_015021.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:26400005:26400157:-1 gene:Et_2A_015021 transcript:Et_2A_015021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVALRPGGRGRDPRHKRGDHRHLRHPPPHAHLLLRRRLLRRRPQLPHR >Et_10B_004209.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19073074:19073529:-1 gene:Et_10B_004209 transcript:Et_10B_004209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHELQASIRAGDYDRFVVHVGGRRLVVARPRYRRRAPDNDDDGIRGDHGQSNKRARVVPATDAAIHRGLREVTATKQTECAVCLKDFDAGDDKLSAMPCAHAFHHDCIVPWLRRNAVCPLCRSPFRPRTQENQEDPTASDMPAQEDTAA >Et_7A_050264.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16630003:16630407:-1 gene:Et_7A_050264 transcript:Et_7A_050264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPPSSPTFAQALPSRTIPLVILSFLVTAVLYLVAFPNNLKVQEVFASSSSRNDATSRQQPVDVRVFLGVITRPDLYERRAHLRLAYSLQPRPVRAVVDVRFVFCNLDREEDRVLVAMEIVAHGDVVVLNCTEN >Et_9A_061967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18470434:18475863:1 gene:Et_9A_061967 transcript:Et_9A_061967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQKPMAAMEQPKPRSLAARWSWSLLLLSLAAASILQARAQPDRNGFISIDCGHAGSGYVDDATKLLYVPDAAFTDGAGENHNIPPHSITPQLQRILQDVRSFPNGTRNCYTLRSLAAGNKYLLRGTFMYGNYDGLDKPPIFDLYIGVNMWTTVDSTGSRQVNWWEVIVVVPDDFLQVCLVNTGRGTPFISGLELRALKSELYPQVNATHGLNLGVRSNFGPTDPTDIIRYPDDPYDRIWYPKINTTKWNVSSTEKSVQNNNPFEVPSKVMQTSVTPRIASDNIQLQWVLNPEPNDPLPQCVHVLHFSELEPLHGEAVRQFDINSDLGNTQGFTPVYLSSIAIFNNGPYRSYTQYKATISATANSTLPPIINAYEIFAIIPTTNIGTEAQDVSAITAIKMKYGVTKNWMGDPCVADTFRWIGLTCNSAISGPPRITGVNMSFSNLNGDISSAFTNLRAVEYMDLSYNNLTGSIPDDLSELPLLKTLYVSNPNLCADGDSCTMPKVNSKLPIYIVVPVALVLVLISVVVLSFLLRRTNQGCMNNCVKPRNATSMSHVPIGDMHSSLRLENRCFTYKELQRITNNFQHEIGRGGFGYVYEGFLEDGTQVAVKLRSESSNQGVKEFLTEVAQILMRIHHKNLVSMIGYCKDGEYMALVYEYMSEGSLQEHITGKHLTWRQRLRIALESSQGLEYLHKSCNPPLIHRDVKTTNILLNAKLEAKVADFGLSRAFNRDICTHVSTNTLVGTCGYVDPEYQATMQLTTKSDVFSFGVVLLELVTGKPAILRDPEPIGLIKWVQLRLSRGEIESVMDERMNGNHDINSVWKVADVALKCTVQASTERPTMTDVVAQLQECLELEEGCVGSDTTRSFYTGSQGDLNSSYYNANAYTNGASRSSAAFEMDEMMATGPATR >Et_1B_012734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34802191:34805366:1 gene:Et_1B_012734 transcript:Et_1B_012734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVLSAVARVLEQTTVSGAVWEMALLAGPLWAAAIIGLLLGWAWRPRWAVGLVATADGRHNAPAQSPPFASVEFWKAQLPARLRAPLSYAGGGATATAVQEKQADAAMQGSSEKANDELAVGKDDLVNLWKLVEGRDGGPAWIKMMEKELPTFTYQAWRRDAQNGPPQYQSSTIFENATPEEVRDFFGDDEFRMSNKWDDMLIYHKTLERCQTTGTMKVHWVRKFPFFCSDREYIIARRIWNLGGAYYCVTKGIQCSSIPRRSKPRRVDLYYSSWCIRAVDSRRGNGAMTACEILLFHHEDMGIPYEIAKLGIRQGMWGCVKRIEPGLRAYQKARAIGEPLSESAMMAQINTKVGDNFVRSLESNSNESDIMEAEEKPARNHMARFLVLGGAVALACTLDQGLLTKALIFGVARKFVGQRKTL >Et_2B_022648.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29062968:29063495:-1 gene:Et_2B_022648 transcript:Et_2B_022648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYAMQSIGNSSSKGISRSEIAGVSFAVGAVLLFLVFMCCLCRGHRNGRDNAAAAERDAAAAGRTPPPAPAAQYWDDDEARSRTRRSPTAGLPSFTYNLSVKHNRTTSGGDDAAATCSVCLAAFQVGETVRLLPVCLHLYHVECIDPWLNAHSTCPICRSGIDPTMDGSLLPPV >Et_2A_016393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24166661:24170384:-1 gene:Et_2A_016393 transcript:Et_2A_016393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHHLRLAPRALLRFAPLQALASSHLSTRQHHHLLLFSPPARQWSLLSPAVRPRALVTAAAGADDASATSGDCFFSEESASWKSLGISDRLSSALRDAGLERPSLVQAACIPHVLTTNDVIVAAETGSGKTHGYLIPLIEKLCSKSSNTGDGSWYDTDPRAHEMVLVLCPNVMLCEQVVRMANSLFDEYGQPLKTAAAVCGPKGWPTVHPDILVATPVALLNYLFDYDPEKRRRERFLRNVKFIVFDEADMLLCGSFENQVIRLIHMLRFDEKLLSRAKDSGKEVSHTSDDEYHEDLDSESTGFSGADDENENSHVQDGPVKMENGHNRAYKDWRRVRKTYSRTKQYVFVAATLPQSGKKTAGGVLKRMFPDAVWVSGTYLHRHNPRLERRWIEVTADTQVAALLDAVKYGLKCEVQDYNAAPKRTMVFTNTVDAANSVSDILHRVNIPCILYHRETPLEERAKNLQYFRERGGVLVCTDAASRGLDIPNISHVIQAEFAASAVDFLHRVGRTARAGQSGMVTSLYTEANRDLVRAVRQAEELAQPVERAFSRKRSFRNKLKKQALQKREALLP >Et_1B_011534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23388265:23388816:1 gene:Et_1B_011534 transcript:Et_1B_011534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTKEPVAEIAFHGTSSRSSPILHEKLNAGAAFAMGAGHVDPTKATNPSLVYDIDQAHYVAYICGLGWATRTDDQVEIKHTQEGCLYVEARGASPEAGARAELPIHSGQIEQDGGEPDADQCRCRTEQVHRGVEVNVPKGDAVMVSPLVLQFRGQKDKESFTVSMSWDAVKMKHAQGSLC >Et_6B_048451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6254315:6254689:1 gene:Et_6B_048451 transcript:Et_6B_048451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGSDAFRKGKYPVALSRH >Et_2A_018501.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:30280998:30281816:1 gene:Et_2A_018501 transcript:Et_2A_018501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLSLLVLLALLIFPAMDSGAAAADAGSASFNVTEILDGFPEFKLFNLLLSKTRVASEINNRSSVTLLATDNAAVDWLLRHSARVPHAALAELVSVHVVLDYIDAAKLAALPRGRPTQLTTLFQASGAARNRTGFLNVTATPRGGAVFASAAPGSLAGAVFKRAVTAKPYNISVLQISNVIVPRGIIARPGLPSPPAPRPKMRHMAMAPSPAPMPPRLAPTVPSLEEDENTEAPDEAPAPAPSHGHAVQATSWWSAAAAVVGMARVLGYL >Et_3B_029307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23937123:23940242:1 gene:Et_3B_029307 transcript:Et_3B_029307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIREFGDSDAGTVGEQEEEEVEARGSGEVARLKAKRALVGAGARVLFYPTLLYNVLRNRFEADFRWWDRVDQFILLGAVPFPSDVPCLKELGVHGVVTLNEPYETLVPSSLYQAHGIDHLVIPTRDYLFAPSLEDICRAIDFIHRNASHCGTTYVHCKAGRGRSTTIVLCYLIKYRGMKPEEALDHIRSIRPRVLLAPSQWHAVNAFSTLTTGLLPTQIANRITNTEIYDYHTMEFDCEDSGLPLCQVMVPRPISSTVCIDAVVITEADLEGYDAYIDTRKGEVLLEAVTRKPFMRKLSCLFGSLKLNSNCEPAPSRFTEVRAC >Et_10A_001787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8144267:8149927:-1 gene:Et_10A_001787 transcript:Et_10A_001787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSRGGGKGDGHHPSILHGGATVSADAGVVDGGDDGIMPRQVWVPGPVIVGAGPSGLATAACLKARGVPSLVLEKDDCTAASWRRRTYERLRLHLPRGFCELPLAPFPAGTPAYPTRDQFVAYLDAYARAFAVQPRLGARVRSAAYDAAIGFWRVAVVDDAGDVEFLSRWLVVATGENAEQVFPDGVEGMDVYRGVTMHTSSYKRGDEFKGKKVLVVGCGNSGMEVSLDLCNNGAMPSMVVRDKLHVLPREILGISTFGLSIFLLRWLPIERVDSLLLFFSRFILGDTEKYGLHRPKIGPLQIKSKTGKTPVLDIGALRKIKDGKINVVPAINRFTENGAEFIDGHREDFNAVVFATGYKSNVPSWLKDEELFSQVDGFPRKPFPHSWRGKNGLYAAGFTRRGLMGTSYDALRIAGDIADQWTEALASPTSAHRTSKHNASPYA >Et_2B_022213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1412591:1418398:-1 gene:Et_2B_022213 transcript:Et_2B_022213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASSAAGEAPTTGEHRMGTTIVGVCYNGGVILGADSRTSTGTAADTQVISDYVRYFLHQHTIQLGQPATVKVAANLIRLLAYQNKNMLQAGMIVGGWDKYEGGQIFSVPLGGTILKQPFAIGGSGSSYLYGLLDHEWREGMSQEEAEKFVVKVVSLAMARDGASGGVVRTVTINADGVKKNFYPGNELPLWHEEMEPHNSLLDILAAGNPDPMMKSDAMLRDEILATYGGGHRGLVAINCGPGHWEGQIQIQGYEDTTEQLKFLEN >Et_2B_019391.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26870015:26870128:-1 gene:Et_2B_019391 transcript:Et_2B_019391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAMYIVSVWCLWSCSLARGLPYSGLQRNMSSYFSL >Et_1B_010200.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:29317512:29317760:1 gene:Et_1B_010200 transcript:Et_1B_010200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTTKCRMQSSPPASSMSSQTSRSNAGSLGSAPAWYRTVAAVAVAGRVARQAASLATARRNSGKRPCTCTQRKIKFLVATN >Et_3B_027574.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28475052:28476305:-1 gene:Et_3B_027574 transcript:Et_3B_027574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPVEVIGNILSHLGAARDVMVASAVCRKWRDACKRHLRLLSFNSDHFPRDMTTRQLEIVITQTIFQTMGLQCLSIHIDNTHEFSAAPVIAWLMYTRETLRSLSYNVRTLPNVNILEKCGRQKLEVLDLDHNTITGVEPSYQRFTCLKSLSLRHVSISALDLSLLVAACPKIELLALDVLEIVSSDPQSTMELTSHTLKSLFAKSVGVDKIILDADNLEVLHLNALNLDLFELIGKGTLKHLKIDDVSVTHLDIGDSTDNLEVVDVSNFTIVWPKFYSMISRASNLRMLRFWGVVFDDEDEIVDSETIAVSFPLLRHLSLSYELRDGLLHYSLQGSSPLENVSVLELGWTVISEHFGPWVFGMIERCPNLKKLVIHGVLSEAKTREERQMLANFTSFIVCLMRKYVHVDVQFEYE >Et_8A_056478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10570704:10577066:-1 gene:Et_8A_056478 transcript:Et_8A_056478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRYLNPSAFLPLLLGRGSARSTSATYGTLHASLPPLRHFIERLLGGAGKLSSVDAPAAPRDGGDSLTLHFLRQSCGLAEPQAAAVAARVDLRSTKNAHAVLALLRSFGFKPTSIARLVTTMPSVLSSTTIGAKLDFYRRELGLSDAEICRLVITSPHRALNSSLEGRLRPNHRLLRDLLGTNKNVLAAVIQSMHLITSNLQHVFLPKLKTLRDHGVTEEVLVKLVTTHPKALTYKSSRFDEGLAAMKDLGVSPSSGIFPYAFGVFAKIYQSKWDRRIKNFLSLGWTEEQVRKAFAGHPYLMSASEDKVRQLMRFYAEKLGWTPEYVCSRPVLLSFSYEKRVLPRCMVLSLLASMGAIKQGIKVSHLTMTEKRFAGRYITTYQEVFPQILEAYGARTATVKSTQPWRQPKILHWSASRPSVSSSLRGGGKRSSGMRSGGSMTDLKKRSMLRRGHLNLSAFLPLLLGRVSPGSTAASLVALPASLPPLRHFIERLLGGAATLSSVDAPAAPRDGGDSITLHFLRKSCGLAEPQAASVAARLHLRSTKNAHAVLALLRSFGFKPASIACLVTAMPSVLSSTTIGAKLDFYRREVGLSDAEICRLVLSSPHRPLGAGLEGRLRRNDNLQHVFLPKLKTLRDHGVTEEVLVKLVTTHPKALTHESSRFDEGLAAMKDLGVSPSSGIFPYAFGVFARMHQLKWDCRMKNFLSLGWTEEQVRKAFARHPYCMSASEDKVRQLMRFYAEKLGWTPEDVYLNPILLSFSYEKRVLPRCTVLNLLASTGVINQGIKVSHLTMTEKRFAEKYITTYQEVIPQVLEAYGARTATVVK >Et_1A_005722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13080102:13084942:-1 gene:Et_1A_005722 transcript:Et_1A_005722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CQKICSLGTSEVFRSGPEVKVSVLSVSRGLASIFRFWICVKLKLQAIKDMVASLDNVRGLTLAMSSSAFIGSSFVIKKVGLKKAGDNGVRAASGGFSYLYEPLWWLGMITMILGEIANFAAYAFAPAVLVTPLGALSIIFSAVLAHFVLKERLHMFGVVGCILCVVGSIGIVLHAPKEKDIDSMREIWHLATQPGFIVYSCVAVACVLVLIFRVVERCGHRRMLVYIAICSLMGSLTVISVKAVAIALKLSFSGSNQFIYIQTWFFIVVVIICCLVQLNYLNKALDSFNTAVVSPVYYVMFTILTIVANMIMYKDWVSQTATQIATQLCGFVTIVAGTFLLHKTRDMGNPPPPDQICLEEARECAPQSTNNSS >Et_7A_053144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8177061:8180303:-1 gene:Et_7A_053144 transcript:Et_7A_053144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFAAGRFEEAAQHFSDAVALAPDNHVLYSNRSAAYASLHRYAEALADAERTVALKPDWPKGYSRLGAAHLGLGDHAKAVEAYEKGLALEPANEALKSGLAQARQAPPRRPPSGTDAIGKVFQGPELWSRIASDPTTRGYLDQPDFMQMLREVQRNPSSLNTYLSDPRMMQNNGASEPAAQTTSPPPKQQPEAKAREPEPEPEPEPMEIPEEEKERKERKAAAQKEKEAGNAAYKKKDFETAIQHYTKAIELDDEDISYITNRAAVYLEMGKYDECVKDCDKAVERGRELHADFKMIARALTRKGTVLAKLAKSSKDYDVAIETFQKALTEHRNPDTLKKLNDAERAKKELEQQEYYDPKIADEEREKGNECFKQQKYPEAVRHYTEALRRNPKDPKVYSNRAACYTKLGAMPEGLKDAEKCIELDPTFSKGYTRKGAIQFFMKEYDKALETYQAGLKHDPKNPELLDGVRRCVEQINKASRGELSQEELKERQARAMQDPEIQNILKDPIMQQVLTDLQENPKAAQAHLKNPGVMAKIQKLVSAGIVQMR >Et_6B_049438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4921971:4923233:-1 gene:Et_6B_049438 transcript:Et_6B_049438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPNKKVVLKRYVTGYPVVEDMEVVAGSAELRVPAGVTGVLVKNLYLSCDPWMRGRMSKPAEGAAVSVPAFALVNYGVCKVVESTHPEFNAGDLVWGMSGWEEYTLLTQPEPLLFKITHPELPLSHYSGVLGMTGLTAYSGFVKVGRPKKGDAVFVSAASGAVGQIVGQLAKISGCYVVGSAGSDEKSEASLAAALKQRFPDGIDIYYDNVGGATLDAALLQMRHGGRVVVCGMISQYNLEEPDGVRNLRVIIPMAVRVEGVNVMDYFHMYARFEEEMAGYIREGKVKVVEDVVEGIDRAPEALVGLFSGKNVGKQLVAIASD >Et_5B_043987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18655342:18656570:1 gene:Et_5B_043987 transcript:Et_5B_043987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPNFIASYATTFLTDGVGIFSELAQLLERLFVDFDAVSNKLVQLKGCSSGVGARQVRLACVQLLEFVSQQKNRDEWMSTVAKGWTAFNENFKLGNQDNGRAS >Et_2A_015345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11401189:11402031:-1 gene:Et_2A_015345 transcript:Et_2A_015345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNQHQAKASGIVHLHDDNIACTLLPDNFVLALSVPCRIGLAARWLHFPPSPVRLCRADVAFIGLNPPWLSLPREDDVAAVDHKASHVAVRDIVVRRRPQLFALAGEKVKNEENVVGVALLQEAPATVEVHNHEVGALEPFQHVHGLVHVVEGDGEVWTGAGAGIPRHSEVMEVAGEVKQAKERACAGVTAAKVAEERRRFTDSEPRLAHRCGYGEARLRRKAGQDLADSAFIQIVK >Et_3A_025833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33236057:33240565:1 gene:Et_3A_025833 transcript:Et_3A_025833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELRWEWNRLHKKRKENAYQSFGVVYGDLSTSPLYVYKSTFSGKLRRYQDEETVFGVFSLIFWTFTLIPLLKYVLIVLSADDCGEGGPFALYSLLCRHAKLSLLPNQQAADEELSSYYRNGFAARNGSSPWLRRFMEKHKKVRTVLLLIVLCGASMVIGDGVLTPAISVLSSMSGLQVRATGLRDGSVVLLSCIVLVGLFALQHRGTQKVAFMFAPIVIIWLFSIGGIGLYNIIHWNPKIYQALSPYYIIKFFRMTGKDGWIALGGILLAMTGSEAMFADLGHFTSESVRVAFVTIIYPCLILQYMGQAAFLSKNIFHMRTSFYDSIPEPVFWPVFVVATLAAVVGSQAVISATFSIVKQCHALGCFPRVKVVHTSRWIYGQIYIPEINWILMVLCVAVTVAFRDTTLIGNAYGIACMTVMFVTTFLMAMIIIFVWQRNIIFALVFLAFFGSIEAVYLSSSLMKVPQGGWVPLVLAFIFMSVMYIWHYGTRRKYQFDLQNKVSMRSILSLGPSLGIVRVPGIGLIYTELVTGVPSIFSHFVTNLPAFHEVLVFLCVKSVPVPYVSPDERYLVGRIGPKEYRMYRCIVRYGYKDVQKDDDNFENMLVMNIAQFIMMEAEDASGSASYDIANEGRMAVITTTDDSGTPLAVRDFDGLADSMTTRSSKSESLRSLQSSYEQEAPNVTRRRRVRFELPEDDDMGQQVKDELMALVEAKHAGVAYIMGHSYIKARRSSSFLKKFAIDVGYSFLRKNCRGPSVTLHIPHISLIEVGMIYYV >Et_1A_009019.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:25672653:25673045:1 gene:Et_1A_009019 transcript:Et_1A_009019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRPLLVLHDEEEGHLVYDLLLLLDDEETVAACFPRPVARFPLPSWSCSLAISGGRIMCVDYHWENACFHDAVMRVGGYDFWEKQPSPTPRAVSSSGFPWGAFPSTRHATWAATRTRRPCSPWPETAAP >Et_10A_001520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4497210:4497972:-1 gene:Et_10A_001520 transcript:Et_10A_001520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELDQHMYWSTEQYINQSNLLHQSLRFKSILLCSDACSDGGCVVNLKLPGHAAEFGASSQETGPQAMAAAAPPSWNLATVLAGAAAWWPPDLWMTELGFTLSECMNAACASSGSPMIILCAWKERLAALRSRLCAFWCPPSACELKNFLMQKLQENTLCGGEEEDEGLALGSDPEQLSGDPLPAAASVRFSHVAPSSCCSLVSCCCSGLSLFTDDASSATACSISFCFTCTQVFLGTPALIGGLQPALLLL >Et_8B_060172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6782000:6782864:-1 gene:Et_8B_060172 transcript:Et_8B_060172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGARKEMELIDLFELLRRLLLMQLAADIPKISFIDSHLYSYEDSCETLYKISWDSQYMFAYDFTVSFAVPKGHTDSSEDEDLSRPAKRYRGIRSGYEIAEDGAAGTSTMP >Et_1B_010680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1355683:1359342:1 gene:Et_1B_010680 transcript:Et_1B_010680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSSRVDAPSRRRARLSLAGCFGAGSSAAAAADGDGGRPAAAASSSRAHEVQSQQAERATNVMGFRSSVAAKDIHISRETDPRAHSSSSTISHHLRFNHLNCHENKEDGLGIENAQTSGLESSSRKDVLLRENFSNEAISIDRTSREGISSIGSELEIVSNTVSSNEIGGSISQSDFHPLMTSERIIADLGEIAHEGTSPTTVMSNESSDLPQSSLTSMSPNTSTASSSTGESTPDSVPTREEITIFSGPHGQSGGSILHDDMMSIFSNDGIGRYRDSSSSETRSHRRLLWDAFSRRSSRGHPESDTDDLGFYSTWLDLGDDLFGELEESRHSNRRRHGSIRVSQYSRSRIREHRRAVFDSGNEQSTAACPLGIHQTGRCTCDSFLIAEESSARASISRIVMLTEALFEVLDEIHRQPASLSISMVSVQAPESVVNSLPCKSYKKIETPQCSDDMEQCHICLTEYEDGEQIRTLPCKHEFHLLCVDKWLKEVHRVCPLCRGDVCEVAS >Et_5A_040821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1364174:1366756:-1 gene:Et_5A_040821 transcript:Et_5A_040821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLDEKETTMVFEKLFKFTGPNLKHLLERPAVEGPDPEPGRYCLRLHKNRVFYASEALVRRATAVARPRLAGVGTPIGKFTHHGAFHLTVHALDLLAAHARRRVWLKPDTERSFLFGNSVPKSSLARITENTKSGDGVVVMSMSDVPLGFGIAARSAQDCRKADTNAVVVLHQADAGEYLRKEEELILQINGVKRKKETAHPGNRTPVSTVGGYYDTTTPDAPPFTFVVNFNKYNHQLINAFDLSLLLLSSEHNVITEKNIDP >Et_3B_029508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25572087:25575506:-1 gene:Et_3B_029508 transcript:Et_3B_029508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSSERPAAPSMPTDPDFLSCEWRCNGKGYVAYRNFLLRRIDGGSAHSTPSNSGRWAPSPGPATLSEADSWSSLRDWRNNSGVLSRTISISSKQSDPERHVRFAEPAYSFVGMHCIFDNCKASVTILKFGRASSDLLAYGAADGSLTVCQVSDPPSVLQKLIGHSKDITDFDFSSNNQYIASCSLDKTVRVWEISKGTCIRVVYGVSSQLCICFHPVNNNLLLVGNANREINALNFSTGRVISKLTFDDAVTALDIDHTGQLIFVGDAQGFIYTVSVNSHTGSLSRTHKNKSSKTKSPITTIQYRTFSLVARCPVLLACAQDGNLSFFSIATDAKGYLTLICSLKLASRVQSIRASFCPLLSLEKGEFIVTGSEDANVYFYDLARPKNSCVNKLQGHGSPVIGVAWNHGENLLASSDSDGTVIVWKRANTS >Et_3A_026898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25418304:25421412:1 gene:Et_3A_026898 transcript:Et_3A_026898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMKVLDQTVREIKREVNLKVLKVPEIEQKVLDATSDEPWGPHGSDLADIARATRRYGECQMIMNVLWQRLGDTGANWRHVYKALAVIEYLLGNGTERAVDDIIDNSSQIAKLTSFEYVEPNGKDFGLNVRKKAETVLAIIDDREKLQQVREKAAATRDKYFGLSSTGITYKSGAPSFASGSFSSGRHYGSTGSSWEADSFRDSYKSKEWGNSTKESVSGYSSSKQTSTENSSGSTNYRSTKRQGHGRRNLNSSTSHTKPLPNLSATNGDPSSQNVNIEDDDDFNPRGSSSSGTANASSVHLDLFGPSLMDDLVNTTPTSTEMPNVGTSAVPEFDLFAHTAFQSANAPLETANGSHPEGNTDLFVGKEFSVSYNPNKSSEQNFSLPTHTSGSAFDPSPPSFGMQFPSDTKFSVHDIPSESSEVKCPTPDSATVFDPFAAIPLKSFDGTDSFGAFYSNTGSVPFDPLGGVKSSDHSRSEELNFGAFTSHSESTTASDMKPMNKSPTKVEPASMSAPKSDVKKGTFQVKSGVWGRFSEPWVD >Et_3B_029792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2852213:2855066:1 gene:Et_3B_029792 transcript:Et_3B_029792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLAAAATGPASSSPLTLDPIPLASRPHAAAATTAAARKRPVLLLDHRAHPASPTPLVSSAAAAAAAPQARRKRAHSSSSRPRWQTALSIAAKNAALLAVLLYLGDLAWRWSHPPPPPPPGGREGYEARVAEVEASLARSFRMMQLQLEALDRKIDGEVGAARGELVALLEEKRAALEGHLARLGARADELGDALDGLKQVEFLRKDDFDKFWEEVKDTLGSESGGEVDLNQVRALAREIAMKEIEKHAADGIGRVDYAVGSGGGRVVRHSDVYEPKRGGFLYSFWSGGKADPQKMLQPSFGEPGQCFPMQGSSGFVEIKLKSAIIPEAITLEHVSKDVAYDRSTAPKDCRVSGWYEETPGETRSSDAAKMAVLTEFIYDLEKNNVQTFDVTAPDVGLINMVRLDFTSNHGNSLLTCVYRLRVHGHEPVSPRSLGSQA >Et_9A_063601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9190402:9193950:-1 gene:Et_9A_063601 transcript:Et_9A_063601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSAAFNLDSSITNPPEIAIPGVLRPEQLHLGDQLPRPLAIETDPILALPSNPAHHSRPPCPITVRLNSSTGPELKPTLPWRMLHGRPDKPPMQVLCLLFPTIPLVLIKIPHNVAKGIDHLHHRRREPGVAATHLPFPQHLDLVPDLVNPDRGLGPDGNRGPRGHPIAVDEVAAGPDEARREGDYLAGDGERDGDGVRSRHDDAGPPAAAEEGLDDVVEEEARRGFPRVRRRRGRPRADAGGGELLDEGAAVVRREVGVGDRVTSRGAAAAGGKEVQDGGLEPGAVEGVVVDLDLDLGSGRQGCRPGGGWGGRGMRWCPGSPRSPGPAAAADGHRRAASGHW >Et_7B_055381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9843177:9845455:1 gene:Et_7B_055381 transcript:Et_7B_055381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGGNYGYKKTDGICDGVCGEPASKAVLTMSRLKCALQGFDFRALLALLIGVPFLILMIYAHGQKVTYFLRPIWESPPKAFKTIPHYYHKNVTMENLCKLHGWKVRDTPRRVFDAVLFSNELDILEIRWSELSPYVSEFVLLESNSTFTGLKKPLHFKENRHRFGFTESRLTYGTIGGRFVKGENPFVEESYQRVALDQLIKIANIEDDDLLIMSDVDEIPSGHTIDLLSWRASIHRYRAGKTRYAHFRQTDELLADSGWHCSFCFRYISDFAFKMQAYSHVDRIRFKYFLNPERIQDVICRGADLFDMLPEEYTFQEIIAKLGPIPSTFSAVHLPSYLLKNVDRFRYLLPGNCRRESG >Et_4A_035320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:231042:232263:-1 gene:Et_4A_035320 transcript:Et_4A_035320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLTAAVLGGHGAAARSAASALRHRAGMGLPVGNHIVPDRPASDLVDSVPQLPTNDELVWDNGTPFPEPCIDRLAPHIGKYEALAWLCGGLGFFATLGLAAALNDKASKVPYYSFLDVFTTPKSACLVAAMRYEKTDLKLPSAMAYLAELRRRLELL >Et_4A_033888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27743024:27744591:1 gene:Et_4A_033888 transcript:Et_4A_033888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVGEIGMGLDLGLDLRLFAARSAGRMAAAAKGGSAALDACIRSLEEERRKIEVFRRELPLCVRLLADVIEELKEEAAKRGEDAESKADDGDKRKWMSTAQLWVDSDAKSEESEKEQQSDITSPEPKLLGGVPMPLRAVAAVPPSLPPFFRTEDKVAVSVGVPGLPVLSPAVKRPLSPVPAGDEHRQNAMTRFATTMPPSGPALSLHAQTQQQQQQARKARRCWSPELHRKFVAALHQLGGPQVATPKQIREVMQVDGLTNDEVKSHLQKYRLHNRRSPGATPVSQQIVLMGGLWVPKEQSSSQSGSPQGPLEFSGSGSGVGTSAATGGGDSSSSSSDEDEKSEGYSRK >Et_4A_034574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4452917:4453549:1 gene:Et_4A_034574 transcript:Et_4A_034574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNHSADSSPLGRSASNNASRLGSSSSSRSSSSSSQRAGDFDADGAALRYLIRRIKEYGVDQETLHDVMRNLVAFSTHWLGSYVVEACILKCPTPLDCELVAATFAALRDHELAAMVQDEYGSCVLQAFLQSAAKDDFLGQEQPRELAQRIERLPEAVLEQTHAKRTVKAIRR >Et_1A_008605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9159863:9165826:1 gene:Et_1A_008605 transcript:Et_1A_008605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSRKRSQLVDEGDGSQTSTRLSKTSSLKWLLLALPRSSSDVSRKGQAKGPGRCPSLMELCVARVCKDIDKYSSFAMLPRDLSQQIFSELVGSNCLTEALLESFRDCALQDICLGEYPGVNDAWMEVVASQEQSLLSVDISCSEVTDSGIALLRDCSNMQSLQCNYCDQISEDGLDILSGLSNLTSVSFKRSNAVTSEGMRAFANLINLAKLDLEGCLKIHGGLIHLKDLTKLESLNLRYCNNIVDSDIKYISGLTDLKDLQLSCCKITDLGVSYLTGLSKLTQLNLEGCPVTAACLEAISGLASLIVLNLNRCGIYDEGCENFKGLKKLKVLNLGFNFITDACLVHLEDLKNLESLNLDSCKIGDGGLSHLKGLVLLQSLVLSDTEVGNGGLRHLSGLCNLQSINISFTLVTDDGMKKIAALSSLKSVNLDNRQITDIGLAALTDFKNLQSLEVCGGSITDAGVKNIKDLKALMFLNLSQNVNLTDKTLELISGLTALVSLNVSNSRVSNAGLKHLKDLQNLRSLSLDSTRVTANEMKKLQATALPNLITMRPE >Et_2A_014529.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:238234:238533:-1 gene:Et_2A_014529 transcript:Et_2A_014529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQTQTAASRSARPEMSGQHGSFFGGCPTTAWMRPSSTSAHTPSFTAFTGHFPDGLGHGCPSHASAAGAINVDSTNTNNTLLIISFLLELAIVVLLSY >Et_7B_054910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5447204:5447804:-1 gene:Et_7B_054910 transcript:Et_7B_054910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVATRSVRDTHHNRHFLRSASQVPDNSSNYGYGASLSSNDYYSYSIPEVNQQPRQKWVYEWVEPEFYNMLVSQWQTSHAWNNQSWSEFRAHLLATQGINVMSINEYNAMNNPQSDVIWP >Et_5B_044916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7289863:7294138:1 gene:Et_5B_044916 transcript:Et_5B_044916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIIPLPHNYDYYFQGAFDGYILLFGIQKVGNTVHAECFSLGIRTLKIERVSGLHYPFIDVYPYIWYLPFIAEPSIPCPLPPYAAGAAGNGIADLPNHFQP >Et_3A_026809.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:21594290:21594550:1 gene:Et_3A_026809 transcript:Et_3A_026809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLNFRPNSSPPRPHRHHDLLVLLDACASPAQLAELHGRLVRADVATDPAVAGRLVALLAPHDMRYTRAGCSTEWLSRARPRGTA >Et_1A_004598.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22023768:22024082:-1 gene:Et_1A_004598 transcript:Et_1A_004598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRVIGAAGNQRYARIGDVIVAVIKDAVPQMPLERSEVIRAVIVRTCKEFKCEDGIIIRYDDNAAVIIDQKGNPKGTRVFGAIAEELRELNFTKIVSLAPEVL >Et_10A_002012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18402786:18405607:-1 gene:Et_10A_002012 transcript:Et_10A_002012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVEDEEKTDGRLERIGEGSIEESSDPMKMAAAASLLLLLLASIMAASGIDYTELDLESEESLRALYERPVFAANARSIHEFNSKGEMPYTLGLNQFGDMTDDEVSGGDDEGGCYIKNNGNKQQQPDEQDGGIAGIRSNMSSSTSSSLDELPTDVDWRMRRNGGRPGCVTDPAAQDRRGARCGSCWAWAATAALEGLHSILTDKLVPLSTQQLVDCSREDDGNGGCRGGAAAAGSRRPRTTRTRRGRRTRASCPAIPPPPCRCPYQMDRTFIRRDNNDGSVAYLRRRSSSVCCTPGKVMCC >Et_7A_051455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18788733:18789922:-1 gene:Et_7A_051455 transcript:Et_7A_051455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDIQRITDCVWYTNYIWMLPIQLSLAVYVLHQNLRVGAWVGLAATLAIMACNIPLTRMQKRLQAKIMVSKDNRMMATTEILRSIKILKLQAWDMQYLQKLEALRKDEYNWLWRSERLTAITTFIFWGSPAFISSITFGSCILMGIPLTAGTVLSALATFRMLQEPIFALPDLLSVFAQGKVSADRVVKYLQEEELKYDAVTELLNANSTDYDLEIDHGIFSWELETTSATLKDLKVKRGMKVAICGMVGSGKSSLLSCILGEMPKLDGAVRVSGRKAYVPQTAWILSGNIRDNILFGNSYDKEKYEKTIQACALTKDPEFFANGDLIEIGERGINMSGGQKQRIQIAKSVYEHVVKVHTLYKCIYLSNNMYQEAIFRINSKSNLIATILVYQ >Et_4B_039558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2259128:2260369:-1 gene:Et_4B_039558 transcript:Et_4B_039558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACFGARTHEHDERHQVHDPKPLMTPSSSFDFREEYTSAFRTESYNDFWARVLDVTLAHGAGLVPRPGGGTASKRLPSYRLFAEHLLEPDQHAVRAALAAARKGPAAGAVSPDVGSLLAAYYNETASASFLCSHLLKDIEQIRVRYRPLKNTLRKLARDVGVSNLADVSAALGQPFTSLAVSQGKLRQVQLSSADLLKSLDASRKKARLRIRNLGRLRQGLSVSFVAVAAVAAVVGACVGVHVLAAFAAFPMMSPAWMGFFSGRRVRRALVQLEAAAKGTYILNRDMDTISRLVARVRDEGEHMLALLQLCVEHRAAAGEKGRLVQEVLKQLCKNQENFRQQLDELEEHLFLCFMTINKARSMVMKFITAEGQRSG >Et_7A_053161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8603238:8607492:1 gene:Et_7A_053161 transcript:Et_7A_053161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCSTGWPRSNAITALFSRRHSPVAWSTACAPMAFRRPEKGLRTTTSASSSARRRRLERFCPSGTLPGLTPPRCTAPSHDSAGVPFRRYAKSGAAAATRKPRSTNGRSLSLPPAESMVSEATRRQAPGCHLKRLSRTWSSRRPNCASGESHVMKKRSVHGAAKSSAARAANSRVCGVCFSRSLRRLLFLFADAFFLLLTAAAAAGNGTGNGNAFSDGGGGDADAWKACMVISMEISRLEVVLELVPFGLDVDFGRRGQMRRLKVVCERRKTSASSRRRTGSEREKRSKGNGFRVVVVSDEGGVAIEFLACCTLAAAAICTYLALAMCTGRQEAPFACAVDLNAADRLHELESTTQSSVKSNKRDTDTNRLTNPFSQAAGRQHKHHFTTPNYLLKRVQVTRDGVLVAGVLRHHRAEGAKPQLARI >Et_3B_031506.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:3314021:3314431:1 gene:Et_3B_031506 transcript:Et_3B_031506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLRATVLLVVLAAVVSELAAPASTMKAGGELGHLGAAIVMRRGGRSRCRGTVAECAAYEFGVDAEGEGDVAGMATGGSKRRVLQGGSGYIGYDALRRDSVPCSQRGASYYNCQPGAEANPYTRGCSAITQCRS >Et_1A_005010.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22008321:22008371:-1 gene:Et_1A_005010 transcript:Et_1A_005010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGWLRKLDLIQQTR >Et_8A_057544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4169449:4173210:-1 gene:Et_8A_057544 transcript:Et_8A_057544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMNEVPICAVSHAEDIEISLCDGNSEDERRRRKIGSLRRKAIHALKKRGRRRVDYRFPPAAISIEDVRDAEEERAVAAFRERLNAHGLVPEKHDDYHMMLRFLKARKFDAEKAMQMWAEMLKWRKEFGADTILEDFEFEELEEVLCYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITTVDRYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVQGVGFKNFSRTARDLVHRMQKIDSDYYPETLHQMFVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGSNYQSRLLEVIDSSELPEFLGGSCTCSDKGGCLGSNKGPWNDPYILKLIHSLESGCVRDIKPVSEGEERSSSSLQLEQLKGMFSDTSNAESGSDVDDFGPSFVQKVVDYGCLTPVHEEVKGTDSATHYSCEDQNPLDMAPEFYRGVRRTTEMVQKPVADFRQSLTNGRPHGLGNNAHNLNGTIAQRGWQNLIKLVVTALIKLFSFIRLFISRAERRLVSVQHSTPPVPAAQKTQPRTISDEELRACLHRLDDLESLCNNLATKPPQIPEDKELLLLNSFERIKSVEADLEKTKRVLHATVVKQKALVEALESVQEPSRVRLTHEAASAVFDLANFSLV >Et_6A_046524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16531366:16535528:-1 gene:Et_6A_046524 transcript:Et_6A_046524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLSKIRKLSVREDNCDPKSSTVSDISISSELDYEMRRNMHAESYTLHLSAEESGKGEEECGYYMWRQKFPVKPENRVDKRAEIDEWVTDFPFIIQADFLLASSREAILFDNPWNKGILECVPSAFMNALVTLVKSNAPTMTLASMFNFLPVNPSLIPLLEPIRSGIKEKVMSEYIVPCESYTSQNIFCKPTEAARLKPAFRTIIAKARKSQVDLNNLSTHGTHILSAHFDNSTYNSVLTFLEIKSVSPEWYAKCIEGSNLIKEVQEDLYLEIIYFVATNWTDCFSGTNMRSIPLLKYVDSSGVLSFWSVSRASQQNDRLCISYEQKYISWLNSWNQEFQCAARFFFPPSTQTALDSFSQKITVKNWLQNHALVEVFSVYNYGSTVVGSLGNDRRSAISFAHFLYHSSKKRYIESYYLGELCRAMPVVDSYGAVVKKRTSIIVPAKGSKWVGLMGTNPWRNDGYIELSADYKSAGRFAGNYTPEDQLLEFLKENLQASDVPFIHPPDAIFPTVSTRFNHNAFLLLEWIRNLKSKGVPLPNKFLACVKEESWLQTSVGNKPPKESFLSNANWGSLLQSGTSFVDIPMIDQQFYQNKLHTYKEELKAIGVRFEFQEASTYIGTRLMSMAASTGLTREFLREKVLSPSVLINSVKGGHWMKSILGNRPPGDCIIEDSDWAVASRISNQPFLDVKFYGEEILAYKSELELLGVIVGFKDNYKLVIDNFKFSSATVTSEATVLILKCIRNLGSCDDFIMKLNGLKWLKTNMGFRAPNETFLVDPEWECLLKVFDGTPVIDYGFYGREISSFKEELKKTGLIAGFDEASKAIAHRFKQLVLSSSLTKASSLALLGCYRQLKTHSPFPVELFNCMRSEKWLHKSLGFRSPSEAILFDDTWDPLSPIANLPFIDHGDSCHGLGKEIYGYKDELKALGVTVEAKYGARFVIAGLNIPSDTSVMSKATVLSLLQCIKDYLGCSTVFPNEFEDKICKNWLKTSMGYKCPDECILFDANQSSICMEDAPFIDDAFYGTEITSFSDTLARIGVIVNVSCGHDLVARHLRGHTDMTSICRIYMYLMECKWEPEFRNSNWIWIPNCGEWVGSESCVLHDRNNLFASQLHVLEKYYDVKLVDFFFPVLGVRHGPGTEDYCELWKTWESSVSELPISDCSAFWEFVATNWSKTTEKLLSGCAKVPVCTDGKIILASKEDVFIPDDLLLTEFFSNLPQQSFFIWYPSSSRPSMSRAKLNNIYGSCGVKTISNAVMKNESFTSENGFRNFDASKVLKVGLLQIILAFLANPALDILAAERHKMVSCLQNVTVHETDEPITVGYSVKLSSGRVVDVKASRKLRWERENSKLYMQRSDGESQLQREDRICNLLCG >Et_1B_009984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16763290:16764225:-1 gene:Et_1B_009984 transcript:Et_1B_009984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNKLIAAALLLPALLLAVHAPTMAAANSVLTPAAVAAAKQSNPNVRVAVSLGGATVNDRPVFFNITSVDSWVQNAVASLTFQVDPETFAECVGRLVTELKGAGVIRFASIAPYDNADVQRHYQALWAKYGAVIDYVNFQFYAYGASTTVDQYVQFFDNQIANYPGGNILASFTTAPTTTSVSINTSLTACQTLQSQGKLYGIFIWAADHSRSQGFKYDTQAQALLANAGSY >Et_8A_058437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7776589:7780084:1 gene:Et_8A_058437 transcript:Et_8A_058437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLPDSTIMAPLADEALSPRSAELREHEEYLKQHTFDTTEDLFEFLCNSQRAARAPDQIASEQSSLEKIESQPVLEPDQTRPVLACEEARQVLTHEEARPVVAQGQCYEGSKEKIAQAGKKWMIEEAMIAFRKYNERKDGLKECDFKFDELCNQCFSEENYDHIFHHFNFTVKMKTVGSTDWTSVLYFAEVKEIFGQKVYFCCPLEPEENGHCYACKNQGIDDLKHPMIGAFNRGSAESISPFMYDSYDDDDDDEVEEYDEAWRMRMRAAGVMRERLEFGLVEKEIRDNYQQATGFRICKVIVRLELGLAINMTHQ >Et_5B_045539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:392054:395907:1 gene:Et_5B_045539 transcript:Et_5B_045539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSLIDLGLQMMSNGLFMASCSIEICPSLTSISSSRDSIFFFSATTSFCMMLTFLLNCLASCHDSTELIVCKLRLRPSIPPLMLCSKLATLAEASALFASRKISMINRQQGV >Et_2A_016114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21136508:21137206:1 gene:Et_2A_016114 transcript:Et_2A_016114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSTNTALCFLPPLLLVVFLYVHFETQIGLLSPICRCASQGAAVDDHIDRLRASVTFLPLKDTGQGAETWFISALNATSEPEGEARNLVFPSTASSGRLLCLAAPSRRDGTKNAYALAWRDALPHGAALLPGLVYVRVRDIWHGSRRSSRSFASWHERSGCGTRPARWALFHHITARTEMSGWLATLSDRGHHGRRGGHRDVRTAGPAPFASRRPWCPGRTWPA >Et_10A_000179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15065718:15066785:-1 gene:Et_10A_000179 transcript:Et_10A_000179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPSNGASSFTLQITLLVLEEFRRRVRSGTLMFFVWSAYMMADATVIYVLGHMSVISRSPEHQLVAFWAPFLLLHLGGQDNITAYAIEDNQLWLRHLQTLAVQVAAAAYVLYESSIITGGQTSLRWATILMSLVGAVKYGERVLALWCASRSATRRNYGTYAEQLNVPKDAKAFKDALDTERLMRHAQELLHIPKSLLKGSASDAAMPGPSFKEERLYKVVEMQLSLMHDIFYTKTQIIHSNLYGLCIRTILTVTTATSLVLFHRLVRDDDGKDMEGYNRVDMAVTYVLLVGAVVLEALSLLRAAFSCWTFYYRDDWSFNTCNVSLSLIVLLSRLLVRAVAW >Et_5A_042916.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6895469:6896704:1 gene:Et_5A_042916 transcript:Et_5A_042916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLGGRSDRGHSTVQQLVTDPRSPLGVRGVNAVLSFSPSLSGSNPTRFLPAGLIAERLLADDVADYTSFRAACRPWRLCCPTDPRAHGVLDRRFHPRHWIMLRETCRAPTPYWRRFMNVSTGCCRDVRIPLLRGHDAFPTTEGLLDLLDRTTFVVRLLNPFTRHATELPSATTLLTLRDLDLRIPVTKLLRVTGAGLADESTIAVHFRSIETIAVAKPGDAHWTVVDRGTWIRPAMSFAGRFYCATSNAVMVVDTGSTDHQPRLALAAELARPLSRMMMDTVHLVDIEGELMLVERRTRFVYGLSRTYTVYRVGLDERKVVPVRGLGGRAVFIGLKLAVAVSPSVFPSISADAIYLGFDELLTGWLDNSPIHLMDGTSEPRDFDDDDAVSYGPLGVDDHLSWFVAGYQED >Et_3A_023578.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:6366966:6367031:-1 gene:Et_3A_023578 transcript:Et_3A_023578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMGGDLIIDSVLYSLICFY >Et_9B_065787.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:11959796:11960959:-1 gene:Et_9B_065787 transcript:Et_9B_065787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGEGMSVPPWSHHLPVSGVDVGAGGGGGDEMTPYLFAALQQYLPSNDAGGLGGGCVGEDDDDDAEAAAMAAAVEAYGCDEFRMYEFKVRRCTRARSHDWTECPFAHPGEKARRRDPRKYHYSGTACPDFRKGGCKRGDACEFAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPDQLRVLPPHHQHQQSSPRAAAAAESYDGSPLRRQAFESSYLSKAIMSSSPTSTLVSPPKSPPSDSPPLSPDGAAAYRRGSWPGVGSPVNDVLASFRQLRLSKANSSPSGGWSGYPASAVAYGSPKAGGLYSLPTTPRAPTASMSTTTGFMPSLESLDGGFEEPVQRVESGWALRAKVFERLSKEGTVSGDAASSGPDVGWVSDLIN >Et_8B_060869.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:9809475:9810011:-1 gene:Et_8B_060869 transcript:Et_8B_060869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDLPSHHMFPHPVYGSIEFVGGAFALGAATGAAFHFARGLVRGAGVHAARANAPRVAGRFGAFCAVFTALDLAEYLARGCEYSASLYGFAAATVATFGIEGMRRGGGGGGAARGAMLGAMGFLLALEIHRASLLVDGANCHRRNQMNGGPLDPAILRPKPDGAHFNLVEVVSFQRK >Et_5B_045784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9828438:9832480:1 gene:Et_5B_045784 transcript:Et_5B_045784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFLRRRDLPVQCRLLTTDLDEPLLRTVVPATAHHATARALLLNTSASLEPSALAHLKQHRMRDVFAVGPLHAMWPAPAAATSLWRLQQDDGDGTAAWLDGQADRSVVYVSLGSLAVFASRDQLAEFASGLVAAGHAFLWVIRSDMAVQDAALLRKAVGEGRARVVPWAAQRDVLRHRAVGCFLTHSGWNSTVEALVEGVPMVCWPFFVDQQVNSRFVEAVWRTGLDMKDVCDRRVVERMVREAMESAEIRRSAQALAQQLRQDAADGGSSATEFQRLVAFIRELSKSSERPSERHPFHSRQNLKTRHDQAAAGVGPTDISELGVVAAHLAADLHLRDAEHDMAVGVGHRAELEHPEHGDLPRDRGGGVERHGAGLQVRDQLRHLRREAVGQHRHHHRHVGVAAAREAAWLHRGVADEGAAVPELRAPGVEGEGRRVRVVRGHGQEHRDVELQRRRQDRSEADEARGDEARGRVAHAEHREEQDEEGDAEEEEDAQGGEEADAAAAPATAALVGGGLAGRLLRGVGGENAAGG >Et_5B_045600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5233432:5241559:-1 gene:Et_5B_045600 transcript:Et_5B_045600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCGTGSFKDVDKEEKDAGAGGGKPAAAVAKAKPKPKKATKGKDNPYASRGLDKFSTVLSELETRRERILSRVGPDVDADHLMVRFVQSEAKGWVPIVVKLPSEEEQQAAADAKKKQSKPAKSTGTSRSNTPPPTEPASPKEGAAKHVAPAVKAALPAKKKMASGGERWSWSWGRMMRPCHYWPLALVLLLLSLVVFGRVFAICCTSIWWYLLPILSGDEVLLGASRVPAAKTRVSNKLVAAVAPPASHGKKRSPGASPELPLSTD >Et_4B_036963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1296322:1296886:-1 gene:Et_4B_036963 transcript:Et_4B_036963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATPQAQGAVSVQHVAKASSDELLRKFADPDARLSVTPPRRSLALRRKRSSRVASGLSARDFNATAGTELAAPKRRRSIGGSTDWRAGLLLPTTTASARKGQVRRGGATRLDDAAGIGLLLAALERTWRKTVAGASKMFVEKHRTNHVLLISDMV >Et_3B_029602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26421565:26433401:1 gene:Et_3B_029602 transcript:Et_3B_029602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVFSPLLPAVRLPAPGSRSPAAAASSTHDAVAVTAAPRLAAAASHRRELVLGTALGALLLHAPLPAAAREVEVGQFLPPAPSLPGFVFFRATPKDTPALRAGNVQPYEFVLPPSWKQTRVANILSGNYCQPKCAEPWVEVKFEDDKQGKIQVVASPLIRLTNKPNATIEDIGSPEKLIASLGPFVTGNTFDSDELVDTKVEKIDGQTYYSYVLETPLALTGSHNLAKATAKGNTVVLFVASANDKQWSSSKKVLKTMVDSFQNSLFSLHVAARMATTAAARHVVAVPYPGRGHINPMLVVCRLLAAADSALTVTVVVTEEWHALLASAGVPPTLPERVRLATIPNVIPSERGRGADHAGFIEAVCAKMGEPVERLLDQLERKPVAIVADTYLTWGVAAGARRGIPVCSLWTMPATFFLALYLMDRWPPADDSEGEEGQSGKSLDQYIPYPALSSLKCSDIKTFRTWQLPMKRSAEAFSNVRKAQCVLFTSFYELETSAIDSLSQVVPYPAYAVGPSIPHVPLDGDTEKIHHEEHIKWLNAQPKNSVLYVSFGSFVSLSFSQLDEFAMGLHDSGVRFFWVARDNATTTSLQQISGDKGLVVPWCDQLKVLCHPSVGGFLSHCGWNSTLEAVFAGVPLLAFPIGWDQLVIGHLVADEWKIGINLREQKNENGIVNRPTVSAAVTKLMDLGDGDSQEMRRRAEKLCQASGSAIQEGGSSWRSLRNFVEDIVKGRLNVADSQYQSVCTKREMATTAAALHVVAVPFPGRGHINPMLVVCRLLAAADSALTVTVVVTEEWHALLSSAGVPPTLPERVCLATIPNVIPSERGRAADYSGFIEAVHAKMGEPVGRLLDQLERKPEAIVVDTYLTWGVAAGERRGIPVCSLWTQPATFFLALYHMDRWPPADEPEGEEGQSCKSLDQYTPYPALSSVKCSDIKIFRALKLPMKRASEVFSNVRKAQCVLFTSFYELEACATNAVSQVVPYPIYTVGPSIPHMPLEDDLDRIHHDEYNNWLDAQPKNSVLYVSFGSYVSLSSSQLDEIAMGLHDSGVRFFWVARDNATTTSLQQISGDKGLVVPWCDQLKVLCHPSVGGFLSHCGWNSTLEAVFAGAPLLAFPIAWDQLVIGQLVADEWKIGINLREQKNENGIVNRAAVSAAVTKLMDLGDGDSQEMRRRAEKLCQASRSAIQEGGSSWRSLSNFVEDIIKGRLNVAESKATHTMSGTARSRRDESHTRRDAAEMAPAPTAAAATVTEEPRVRGYHIVAVPFPGRGHVNAMMNLSRLLAARGAEVTFVVTEEWLGLLLASSSSPPAGVRLRAIPNVIPSEHGRAADHSGFLDAVAAEMEAPFERLLDRLEGPPPAAIVADTFVPWVVGVGNRRGVPVWSLFPMPAAFFSAYYHYDRLPAWLTEEHAPATDKSDQKLGHYVSAQASSSIRLSDLKPSIHNKRTVKHILAAISSIRNAQCLLFTTMYELEASVIDSLSFLTVSASQLDEIALGLALSEVRFLWIIREQSPWVQKLIGESDKGLILPWCKQLKVLCHPSVGGFLTHCGMNSTLEAVFAGVPMLALPLIFDQPIDGRLIAEEWKIGLNLKNWTSKDGLIGRDNIARAVKRLMVSDEAETKAIRRCALEWKEASRRAVNKGGSSYCNLSSLMEIARTS >Et_4A_034505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:410516:411928:1 gene:Et_4A_034505 transcript:Et_4A_034505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASMLRLRDFESMLPPGFRFHPTDEELVFHYLRGKQIAAAQSSQLMMSTYSSPAVAMVEVDLHVREPWELPEEAKLSDKLEWYFFSFRDRKYATGSRTNRATKHGYWKATGKDKVILEHGGMMMKVGMRKTLVFYFGRAPNGRKSDWVMHEFRLVTSVDAPPMEDWVLCRVFNKGKGEGDHGGSSDKIFGPGGGFLSSPLQPPEDNLNGQLFPITVSSSSPITNGMPDPAMLQQAAPPDAGFDISQLNRHDL >Et_2A_017624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4246898:4253841:1 gene:Et_2A_017624 transcript:Et_2A_017624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHGSGGVTPSPGEGEKKAINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMNKELDTIPSYPSLPSKLICKLLSLTLHADSETDEVYAQMTLQPVNKYDRDAMLASELGLKQNKQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFTMQPPAQELIAKDLHDISWKFRHIYRGQPKRHLLTTGWSVFVSTKRLLAGDSVLFIRDEKSQLLLGIRRASRPQPALSSSVLSSDSMHIGILAAAAHAAANSSPFTIFYNPRASPSEFVIPLAKYNKALYTQVSLGMRFRMLFETEDSGVRRYMGTITCIGDLDPVRWKNSHWRNLQVGWDESTASERRTRVSIWDIEPVATPFYICPPPFFRPKLPKQPGMPDDENEVESAFKRAMPWLADDFALKDVQNALFPGLSLVQWMAMQQNPQMLTGAAPAMQSQYLTSNALGMQDGTGSANEDPTKRLHIQAQNIGLPNSQAGSKVDHPAGTSLAQHQQQPLHVLQQQQVPPLQQNSAILQQQQAQLLQQNAIHLQQQQEQLQRQHSQPQHQLKAAACLQSMDQHKLKEQQSSSGQVVSQAQLLSQILQPSSSQLQQLGLPKSPTQRPGLPGLTTVGSLQQSQLTHTPQVQQTADFQQALLQGQQPQLQQLSQSDLQLQLLQKIQQQNLLSQLNPQHQSQLIQQLSQKSQEILQQRVLQHQFGAADAMGQQLKHMQQTPLNHIAGSLTAQQLVRSHSALESGEPSSSTAPSGSRISPINSLSRAHQGSRNLPEMPSTPQMDHLLQEIQSKPDNRPKTDMQGSKETTHVPNRHPASDQLDASSATSFCLDESPREGFSFPPVCLDSSVQVDPRDNFLISENVDTLMPDALLSRGMSSGKGICNLPSAQRDHRDVENELSSAAFSSQSFGVPDISFKPGSSSDVAVTDAGMPSQSLWNSQSQRMRTFTKVQKRGSVGRSIDITRYRGYDDLRHDLACMFGIQGQLEDPYRTDWKLVYVDHENDILLVGDDPWEEFVSCVKSIKILSSVEVQQMSLDGDLGCIPPQTQACSASDDANAWRS >Et_4A_032459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10380277:10382919:-1 gene:Et_4A_032459 transcript:Et_4A_032459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IRREICTMKLVRHPHVVRLYEVMGSKARIFIVLEYVTGGELFEIIATNGRLKEDEARRYFQQLINAVDYCHSRGVYHRDLKLENLLLDAGGNLKVSDFGLSALTEQVKADGLLHTTCGTPNYVAPEVIEDGGYDGATADVWSCGVILFVLLAGYLPFEDDNIIALYKKISEAQFSCPSWISAGAKSLITRILDPNPTTRITIAQILEDPWFKKGYKPPVFDEKYQTSLDDVNAAFGDSEDQHVKEETEDQPTSMNAFELISLNQALNLDNLFEAKKEYQRETRFTSQCPPKEIITKIEEAAKPLGFDVQRRKYKMRMENPKAGRKGNLNVATEVFQIAPSLHVVELKKAKGDTLEFQMFYRSLSTQLKDVVWKCDGEVDGNSAAA >Et_9B_064205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12645011:12647461:1 gene:Et_9B_064205 transcript:Et_9B_064205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLAPPDIAAHGVAHGTDEALVIMSTMQLHRLIAHEITIQLPAAATTSQYQMTKAEALLHVLRDLKPKLMVLTEQEADHNCAVLSDHVQNAFDYYAELFRDMEASVGDMYGSVDNRVTAERVLLTDKVMDIVAYEGVLRRERHEKFGSWEMRMTVAGFEPAHVSVNTFKQTKDMAHQLSGDGSIRRYGARKVDKGCLFLYSHRIPLFSLHRLIADKVTIHLPAAATAHLTTKADVLLRVLHDLKPRLMVPTEQEADHKCAVLSDRMHNTFDYYAELFRDMEASVGDMYGSMDYRVTAEHVLVTNKVMDIVAYDVVLRRERRKKFGSRELRMAVAGFEPAQVSVHTFKTLKGMAHQLSGDGSVRRYRALKVDKGCIFLNLIKMCKHAAML >Et_1B_013946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25122085:25124233:-1 gene:Et_1B_013946 transcript:Et_1B_013946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAFVVVALFVSLACVATSVNARFRALQWTPAHATFYGDETAAETMGGACGYGNLYATGYGTDTAALSTTLFQDGVPCVRKGGIRFALQGNSYWLLAYVTNVAGAGDVGEMWVKSGSSTGWIHMSHNWGASYQAFAQLGGHALSFKLTSYTTKQTIIATNVTPADWCLGLTYEALASNPKIVQRDQ >Et_6A_048063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4942166:4949154:-1 gene:Et_6A_048063 transcript:Et_6A_048063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFRFLTTSFLVLLFGICVYAARPRFLKEVKPKEEHEFKAWLEKSAFFFYWIGQLYYVLPGLSFAMTEDWAVVFFDCFTPVSLWFDVVNSSAILLVNLFLVNKLDERSGLTSSKNAGIVGLFASLYHSLTLKTQMGQVTQEEARKQAEDKWEDDERPIYWVKVSAAAFVFLSAGFEIVDNIWLKGYFDEGFIKTVIVRPPRHGRRRDLDAAACHGIFSGEWVPDPGAPPSYMLETCPVIHGHYDCMRYGRPDLGFLQWRWRAAGCELPPFDAARGRSMAYGFTVAQFWPPFLVRVAEETDLDWPAGTDASWAAGMEFLPPKQEARGGEEAADTASSVESDEPAEAAPVDAAPFDEARDAVAAVKGGAVVVTVESKDPYGDFRASMAEMVAAHGLRDWEALEELLAWYLKLNAKGEEYRGGAHGA >Et_4B_037502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20529313:20546127:-1 gene:Et_4B_037502 transcript:Et_4B_037502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAARHAWMQRSQAVLQQDTHGCNVLHHAIRSGYRDLALELIEAAPALSHARNRFNESPMFIAVVRGYTDVVHRLLETDHSSDSGINGYNALHAAVKYDNEDIAEKIMWRRPWLATEEDNKKDTPLHLAAHFNRFSILRLMLNRDRSLGHTISSDGVIHWRRKGEPLLVTAATRGHVEFAAELLKHCPDAPYCDATGKTCLFEAIDKNRMKFIDFVVKEPKLGKLINMRNAHGDSALHLAVKKCNPMMVAALLGHPNIDATTTNKDGCSAVRKLNEFDNYAKTINWNEISNLILDKHPRAKADIYNLHEQAKNKVIDASRKKVKSLTETYTSNTSLVAILIATITFTAAFTLPGGYSNVLAPRVYWLAAAVCILSVLLPIVTMFFGEWLTLKLRLRKELDSGLFDIMDKQLLEAAIAGDAQKMRLKAEENPALLLGTTAQGNTCLHISSMHGHEPFCTEVMDLAVNHSLPLLSATNNDGETPLVTAVINGHDSLASVLLLKYYYRDGQLNQAVLQQDTHGCNVLHHAIRSGYRDLALELIEAAPALSHARNRFNESPMFIAVLRGYADVVDKLLDIPESADCGVHGHNALHAAVKYGNEDIARMIMERRDRLAREEDWKHDTPLHLTAHFNRVRILGVMLEHDCSLGYTISADGVPNSTRNGEPLLVTAATRGHADFAEELLRHCPDAPYCDATGKTCLHEAINNNRVNFVKFVVREPRLSKLINMQNAHGDSALHLAVKTCNPKMVTALLDHPNIDATTINNDGCAAVWKLNEFDNYAKTINWNKISKLILDDDPRSKIDIYNLHEEIRNRVIDASRKDIKSLTETYTSNTSLVAILIATITFAAAFTLPGGYSNDAGSEGLPIMARKLAFQAFIIADTLGMCSSLAVAFICVIVRWMDFEFLLHYRRITIKLMWFAYMATTVAFATGLYTVMAPRVLWLAAVVCILSVLLPIVTMLFGEWPTLKLRFQIRKSFDSSFLDMAHGRNGVGGFKESTDPHHFFRGMIHHIFHK >Et_10A_000658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14757961:14767763:-1 gene:Et_10A_000658 transcript:Et_10A_000658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAGRPALRLLCNKRSLLSPPSPSPLRWLVGAPRFLLPFTVAAALRSLHGDPDLPHEIRSLLPRGFDIIGALLVGGGEGSSDADASSRALELARALRQRLFGEAAGHGMVGGCMDAATGEIRFVACESGDSKAVEGSEVVWEDEPGRLLWEKGCLLHCELPLKLPLYVPVDEMSRPITSPEESPRFIILHGDNLSSVSHLPHNESTKRCSPNFVSCSEFFSAKRCNLSLTRENADAIQITVMSNQSVSSSKAGTTPVIEYYPAPAPASLSVINLKLDILCYSSVDFPVAAAVSELVIPGLADQMRIMKKVIASEISQQALLSPYHFIPPGLLIPVTTIYDSRYGEIEEKQSALRRDLHIRLGLPLDRPLLRISNTLTFGGMRKREKNTSRSGSSLLRDVHREIPSSGVSGGIMSLIDGSYEYYHYLHDGIDDNGWGCAYRSLQTIVSWYRLQQYTSIDVPSHREIQQTLVEIGDKDPSFIGSREWIGAIELSFVLDKLLGVSCKIINVRSGDELPEKCRELAMHFETQGTPVMIGGGVLAYTLLGVDYKEASGDCAFLILDPHYTGADDLKKIVNSGWCGWKKSVDSKGRSFFLKDKFYNLLLPQRPNMV >Et_5B_044193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21205555:21208493:-1 gene:Et_5B_044193 transcript:Et_5B_044193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALGAQVAGAAAPVGSDGFLRGSSLKGSSNLNFQNRPWIGKTLAWESKTQQSRHLNKVLCMSVQQASKSKVAVKPVELESAQEPPLNLYKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGSPNTVRLYSIASTRYGDSFDGRTASLCVRRAVYYDPETGKEDPSKKGICSNFLCDSKPGDKVQITGPSGKIMLLPEDDPKATHIMIATGTGVAPYRGYLRRMFMEDVPTFKFGGLAWLFLGVANSDSLLYDEEFTNYLQQYPDNFRYDKALSREQKNRNGGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKRVAEQRGESWDQKLSQLKKNKQWHVEVY >Et_5B_044513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3023277:3025692:1 gene:Et_5B_044513 transcript:Et_5B_044513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSAIAARLGLSGSRPVVRKAAELRRLCDINFDSSVLGIGEVCKAIICLEIAASKFQVIFDRAEAVRMSGMSEKAYIRSFNALQNGLGVKTTLDVRELGIQFGCVRLIPFVQKGLSLYKERFLAALPPSRRANTDFGRPVFTAAAFYLCAKRHKLKVDKLKLIDLCGTSSTEFTTVSTSMADLCFDVFGIAKEKKDSKSIKGNRELLDVLPSKRKHEDDTDSSDESSDDDHEVDLPTYKRHKKMEKEAYNDWKSSVLSSNKQTNTDPAKPRRQAQLNFKKKSAGINVEVPSAAN >Et_2A_018684.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5056182:5057729:1 gene:Et_2A_018684 transcript:Et_2A_018684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDDVEVGSGAKAHFVFVPLMFQGHLIPATDTALLLASHGAVASIVVTPSHTRRILPTVDFARKSGLPVRLVELPLDCAAEGLVDKVPPHLFPNYFRAQARLVAPLEDYLRAPHHAPYPTCVVSDFCHPWTTELAASLGVPRLSFFSICAFTLLCQHNVERFNAFDGVADDNELVVVPGLEKRLEVSRAQAPGFFRGMPGFDKFADDVEQALAEADGVVTNSFLEMEPEYVAGYAEARRMKVWTVGPVSLFHQQRSTATLASRGNTAAIGADECLRWLDGKEPNSVVYVSFGSIAHAEPKQVVELALGLEASEHPFIWVVKNADQHGDELREFLRELEARVAGRGLLIRGWAPQVLILSHAAVGGFVTHCGWNSTMEAITAGLPVVTWPHFTDQFLNAKLAVEVLGIGVSAGVTEPVMYQMAQREIVVKRDVVEAAVRSVMDGGDEGEERRRRARALAAKAKAAVEEGGSSHANLLDLIKSFDVDAPREHHDLSETESTIRFCHTTVALRITTE >Et_6A_046715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19831137:19835660:1 gene:Et_6A_046715 transcript:Et_6A_046715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHYNEFVSYLELFFEEREDFGRDDPSDATAVDAQDGDQLDLVRGILTSTIRRTFRTTQPRIRGFPNRELIKELKMEECLTESMRGESRTGRWGQTRQASLPLGSRLKDSGLEEDEDAEAGSMRSSTRRFRC >Et_8B_059059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1325007:1328927:-1 gene:Et_8B_059059 transcript:Et_8B_059059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLARGLRSRLRPPLWAAAFSSAPAASAAAAEAERAVRDGPRNDWSRPEIQAVYDSPLLDLLFHGAQVHRSVHKFREVQQCTLLSIKTGGCSEDCSYCPQSSRYNTGLKAQKLMNKDAVLEAAKKAKEAGSTRFCMGAAWRETIGRKTNFNQILEYVKEIRGMGMEVCCTLGMIEKQQAEELKKAGLTAYNHNLDTSREYYPNIITTRSYDDRLQTLQHVREAGISICSGGIIGLGEAEEDRVGLLHTLATLPTHPESVPINALVAVKGTPLEDQKPVEIWEMIRMIATARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFAGEKLLTTANNDFDADQAMFKILGLIPKAPSFGDEEVAASASSERCEEAASM >Et_2B_020484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20663481:20669380:-1 gene:Et_2B_020484 transcript:Et_2B_020484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMEAFEAYFRRADLNQDGRISGQEAVAFFQGANLPQQVLAQVWMHADQNKTGFLGKPEFFNALRLVTVAQSGRQLTPDIVQSALYGPAAARIPAPKIATGPAPPQMGATGAPRPQGSAAMTPAPGQVGAGQMNLAAAPRPQGSGMMPTSTQVSAPQVNPGAAPRPQGINSMMPAASQGGSLQATQFAGPRGMQMQPPSMGFNQQQQPSSSAGFMRPPQVGAPATSLQAQSPGVNQSPMGGGSMGGSVGWQAGNFGSVGGATQAAPGGPAPSQTVRSGFGHGLPSTMGMAPGQQAQAMSSSPLPPQNNSVVSPQDSKALVLSGNGPASSTASSTDIFSALTQPKTGVSSPALPTSSVPSSSSFLSTPAGSQNLSNLGQLGSLQGSSQPQQIQPTKPSVPAPATPVVSAGVSKSASQWPKVTQSDIQKYMKVFGDVDRDRDGKITGAEARTLFLSWRLPREVLKQVWDLSDQDNDGMLSLREFCLALYLMERHRAGTPLPPVLPDSLKYDQTLLQATGLPSAAYNGPSWQQNPGLPQRGPGGPGLPAGGVRPPLPPHLHSQTDAASRPGQPRSHMPGMDNHVTTQGNKDDRTGANPVLQEVTDAPKKEVEKQVLDSREKLEYYRSKMQDLVLYKSRCDNRLNEITERASSDKREVESLAKKYEEKYKQVAELASKLAVEEHAFRDVQERKVELHDALIKMVQCGSVDGLLQVRADRIQHQLEEMEKALSERCKHFGLHFKPAASVELPSGWEPGPQEGSIEWEEDWDKFEDEGFGIVKDNGTIHENPVPAENIKVPSLWDDGDDMSPVASSNSHSKDERRYSGGDQVAESEIGYDFGDESVRSPGSAGRSASGSPFKPSRFGMHDTSPNKRDSYSDHGGSESVFGDKFGDETSWNFDDQDTESVWGSSALNTDVDHRVPHNSFFGSEVGSPSGASVFGMKRNSFFDDSVPSTPAYTSGFSPKFSESRDDSSSYNFGRFDSFRSQDSGFPQESRFSRFDSISSSKGENMTGFDTGNSPRNFGRFDSFDDADPFGSSGPFKASGSRSPPKF >Et_10A_000895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18898302:18898818:1 gene:Et_10A_000895 transcript:Et_10A_000895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKGLPAAASSSSPATTAPCWTTRSPCRACALDRPVTAVTYGLSRVLGGRLADPDRATGMMTAGGDAVVARTTCREPSLLRFSPLFAGLIRRRRGDPPSCWTRSAKWLDAVCFRMNMRPEYANHLIPQSTYLLGFHRYMHSYNVKNLLRVAKSTSCIRDQPFVRKD >Et_2B_020365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19339221:19343575:-1 gene:Et_2B_020365 transcript:Et_2B_020365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTCAESFSVARTNCLAGSSTQAESKQPLGIEEWLAAHHSHKPKMVLLSNSEKPRQRESLSRELLWAKSFKNEI >Et_2B_021585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3315798:3319203:-1 gene:Et_2B_021585 transcript:Et_2B_021585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLPPVRWRQQRWRQQPGIVCPLGQRRLQQRRRALPRPASVIPLRAPRPRRRRRHQVPAAHAPRPPRVRLSDHHAEALTHWLAGFDVAWVVGATRESLPRREVARRVRAWAQALSAMERVFRLRKPELPAEKVPALGELAVASAGAMLNLVRAVSALESSPSKLLAALDVYVPALLLGPFPLRLGIRRGRPRLRTWSALHARPQGAHRDELLLPVADAAGGEVHPCVGFWMGYFRCVLRNRISLYFVLGNEDGDGEGGRSLVAELVSCLEAALEEKAAALAFPAGLRQLFMLNNTCVVMLHAAGSDLKLFLPPEWVRVREERMEGYIKEYMAASWAPVVSRLDGGGRTGSSVIVSRRRHRTRAAQRYWKVPSPVLRGILRKTVSENVVPVYRRFLEDHPEVQVAAGLAAEELEHKLSDLFEGQGKSVASSHKQRVVTKVHYPLVELQALARRPHWFVDFKHRRVACHVKQHKQELAVAGNQVDSARPMEHVTLADPVHCSVNKEDWSDLGRRISSGVDITDDNISPDVNVVCFSIKECDGVDLGCASSIQHGPGGISRAPQERGLPSYTFKLRSHSKPVRRCERIIGEEDPSEGIQARKGYLGVGERLPQHKPRWGLVVVHQGEPALGRAAAVRESGWWSAGVHPLCVTTRKSEGVLADWYQSAPTRAAGNEPWAVDGRGNGESSPVGANLVDVAVIGVGGQTAGDLVEEIGREGGPAAGGHHDFTRVEQFFFFSLLLF >Et_7B_054667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3302722:3306159:1 gene:Et_7B_054667 transcript:Et_7B_054667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNRSAAAAAAPAAPSLRTPRRLRRRPVKAAATVAAPGGGRRSGPATPLLKWDVGGGGGEGKGGGAEEATAAARETKAREVSVRRLAAGVWRLRPPEAVPGGAGSGERRVRVGVEHIPRHLQVQLLKPNTLSYHQNLKNEVSSPISVLERKSGELHKVQLHSSSAMLPVNAMEKATKWEPEGIKGMESHDAYLIASQLNLLDEQQDTTYVANLQMELQQARDRVTKLESERHAAKKKLDHLFKKLAEEKAAWRNREHEKVRAILEDMKADLDHEKKNRRRLEMINLKLVNELKEAKMSAKQLLQEYETERKARELTEEVCNELAREVEEDKAEIEALKQDSLKLREEVDEERKMLQMAEVWREERVQMKLVDAKLTLDAKYSQLSKLQQDVEAFIASCSCAKGDIMVVEQAENIIQAIKSVRAQDAEFRYEPPAASEDIFSIFEELRPVEEPVIKEIEPCYKNNSAICESEIQEASPMTDIFLEKKANVYSNKSTQDDSNTEDGSSWETISHEDMQGSSVSPDGSEPSVNKICDGSISWESRNEFEYRENEKLKDDVTNAHLANMNQPKKKESAISKLWKSSRPRNSEVCKKDIVEMVNGRSSNVRLSVGTYSTVESGIQEIGLSPPSVGQWSSPDSMNIQFNRGFKGCIEYPRTTQKHSLKAKLMEARMESQKVQLRQVLKQKI >Et_3A_025132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27112044:27114278:-1 gene:Et_3A_025132 transcript:Et_3A_025132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVSDNDVRNIVLSYLMHSCFKETAETFLSSTGLNLPVDYSMGVDKRKAILNFVLEGNALKAIELTEELAPNLLESDMDLHFDLLSLHFIELVRSRKCTEALEFGQKKLTSFGKVPKYVEKLEDFMALLAYEEPEKSPMFHLLSPEYRQNVADGLNRAVLAHANLPAYSSLERVVQQATVVRQYLQQEVGKDSYPTFSLKAFLSK >Et_1B_010985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16088223:16095355:1 gene:Et_1B_010985 transcript:Et_1B_010985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPFVSKRSHRSSEPAGPSNPSPQQQPPPSPARSAAASASSSPPAAAAEEDFISQEEEYQMQLAMALSASASASSGGGAGDPDVEQIRKAKLMSLGRGDLGCPAGDQGGEDPAEALSRRYREYNFLDYNEKVIDGFYDIFGLSTELSRQKKIPSLAELQMSIGDLGFEVIVIDHKFDNGLKEMKEVTQCCLLGCTDISVSVRRIAEVVVGNMGGPVLDANEMFTRWLAKSIEQRASRQTSLLPIGLIGIGLSRHRALLFKILADCVGIPCKLVKGSHYTGVEDDAINVIKMDNDREYLVDIMAAPGTLIPADVFNSKGTSFNTNQTLGQNQAPDSVSNMDNEPVALQIQRKHRQLHMPGNSNWVSDNHLAYEKTTSLPAQNAWADTLPVMTGSSASAPCALASQVQSDQPSTAGAFATLKDNSKLLTDSQNEESRRLFSDIGSGKNPAALKGLGNRNSEFQRRRENVAPVPPRPQHPLVIKNWSAFNDISNNKQYNFPRRNVVDNVASSSQVSWSAAKHYNYNSVERNNRAYAAPIRNYDNGTGGTSHVAIASTSGECLDRSTIGAASNFEIVGTSSANTACTYGVGKVTENSPFGSSRVDIMLDDVSECEILWEDLQIGERIGLGSYGEVYHADWNGTEVAVKKFLDQEFYGGALDEGSLHRIIHRPNCEIDEKRRIKMALDVARGMNCLHTSVPTIVHRDLKSPNLLVDDNWTVKVCDFGLSRLKHGTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLRKPWQGMNPMQVVGAVGFQDRRLDIPKEIDPLVAKIIRDCWQKDPNLRPSFGQLTNYLKTLQRLVVPSHQEMLSPLHPKKYG >Et_9B_064302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13807884:13813231:-1 gene:Et_9B_064302 transcript:Et_9B_064302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDRLPPHAAVAGGGGGGGSGQGPKSPRGAPGADRRHPRPFAKNLDFATWASEHSAKLLLLLFAVASAAAVFLLRGAAPDAAALLCLDRSASSHSGPARLPYPDVAWSKVPPLAIAAAAPFATFRAERWIVVAVSSPPTAALAALARVKGWQLLALGDSHTPAGWELKGAIFLSLELQAQLGYRSVDFLPYGSHVRKTAGYLFAIQHGAKVIFDADDRAEVPGNDLGRHFDVDLGSGVANHPVLLQYSHADPNRTVVNPYVHFGQRSVWPRGMPLDKVGEVAHEAFYTEVFSGRQFIQQGLSDGLPDVDAVFYFTRKPPTSAFDLRFDAEAPKVALPQGMMAPVNSFNTLFQSPAFWGLMMPVSVSSMAADVIRGYWAQRILWEIGGYVAFYPPTIYRKDHVQAYPFAEEKDLHVNVGRLIKFLNEWRSNKRTLFEKILDLSYAMAEEGFWTEQDVRLTAAWLQDLLAVGYRQPRLMSLEIDRQRATIGEGDMKEFVPKKLPSVHLGVDEIGTVNYEIGNLIKWRKNFGNVVMIMHVSGPVDRTALEWRLLYGRIFKTVIILAEQSNAELAVERCALSHAYKYLPKVFARYSGADGFLFLQDHMILNYWNLLQADKEKLWITNKIAHSWVTVPLETNKEEWFVKQGAMVKKVIGSSPVHFQTSYKESMSKDKIAFCGSELFYVPRRFVEDFGDLVGLVGDLDLHHKIAVPMFFLAMDSPQNFDSDALAGTVFKTNLAANETFSTIYTAQAPAVFPVKVMNEMDFIKVIRLMSIGDPLLMELV >Et_10A_000812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17682347:17687012:-1 gene:Et_10A_000812 transcript:Et_10A_000812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSFPQSCAATWSGTRSGIFYLSNNATKQATKLPARPAGPSPRSWRRATAPKVLIIGKEDRLHVFSAATGRIQFVPHQRYDGQYVFLVGDDGVGDGAFGRWRRRLRSSSTLPCGQGEVGVMERQPPHSSVPNILFPEDGRWGRSVKVPIPHVHGGWSRLHPLVTNDALYWLYWSDKLYYIVKLHVDSAHVTTMELPVSFHQKYGRAAAAWKQLLLAKTPSQGSRRLCVFAADSDKISSGFVLFDVPSEGCFLLDVRSKKIVRWPWGRGQWDGSDAYCPYEMDVSSW >Et_2A_017106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3174700:3176732:1 gene:Et_2A_017106 transcript:Et_2A_017106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPSSVKTMPPGVFLHRPAVTNASFVRGTRRGANAGRGRNLGFFVSSSNGAAVPSLTSESEKKGPVIMEIPLDQIRRPLMRTRANDPAKVQELMDSIRVIGLQIDVLEVDGVYYGFSGCHRYEAHQRLGLPTIRCKVRRGTKETLRHHMR >Et_1B_009958.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:14292498:14293025:-1 gene:Et_1B_009958 transcript:Et_1B_009958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVAVVVTVFFVVLSPARITFSVAHAGSTHQPAGDGGGGVLLTLTLAAGNPSRRAAVRYESMFVDVSNNTGPPWVNWIRATVTTGLPLRQPRASVATVDAAVPLVRSPWTEAFTGNMTSGLTVIVTAVARFKVGVAQTRLYDIKVTCGPVGFFFPTGGRQSGTATAGSPPVDCA >Et_7A_051784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24701180:24701748:1 gene:Et_7A_051784 transcript:Et_7A_051784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELGALRIANPVTGEQAELPDITTLYPILLYTEALWRRRASATPPCSSLCVPTTREQRPELKAGCVYFTDDDLWETWLNKEDAADMGVYSLRDGTVQAIGTTHQRRWPPAAWFPPSLARLDCSAS >Et_8A_057919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9363860:9365381:-1 gene:Et_8A_057919 transcript:Et_8A_057919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKAFRRCISFSQIKPRAAYENEKKSATCFAHTEFSAGIARGLFSLFVPNATNSSDYLE >Et_10B_004476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8744599:8745496:-1 gene:Et_10B_004476 transcript:Et_10B_004476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAKNATPVQPQLPPGFRFHPTDDELVVHYLRHRAVGLPLPSPIPDVRVLQHDPADLLPPGWAEPERYFFTCKEAKYEKGRRANRATDGGYWKATGKEKQVGAVLAGRVVLVGMKRSLVYYYGRPPTGTKSDWVMHEYRLAGVAAQPDLGWVLCRLFRKTKGAAASGGAGPAAAAVPEEEDEAVIDVEEEEEDDEETEDELEMEEEEEEDKAAASAAAPAGFIDFFARAEGAAQQQQQQGQQGRAASPVVSSSCVTDQ >Et_6A_047093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25075347:25077475:-1 gene:Et_6A_047093 transcript:Et_6A_047093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHSNGRLAAAVLLLAACLSSCAVQAVTSAEASYIAHRQMLAMKQAEANAGGDLLPSEKADFEFDDRVFGGGAGGGAATFPNPRLRRAYIALQAWRRAFYSDPRGFTSNWAGPDVCAYAGIVCVPALDDPSLTVVAGVDLNGADIAGYLPPELGLLTDLAFFHINTNRFCGIIPKSMSRLSILHEFDVSNNRFVGPFPFVALDMAALKYLDIRFNDFEGDLPPALFDKDLDAVFVNHNRFVGPIPENMGNSTASVVVFADNALVGCIPKSIGRMAGTLDEITFRNNKLDGCLPLELGMLKNTTVVDVSGNALVGALPEQISNLQKLEQLDVSRNVFTGIVHEAICELPALVNFSFAFNYFNSEAVPCMPSDKAEVSLDDAGNCLGTLRPAQKTSLQCAPVLARPVDCSKHACAGYPTPSKPPTLPGKPPMSPRLSPKDPEVPAPAPEPLEPGPLGPKVPAPAPVPGPVPVKSPPAGYAAPPPPTSDWLPTAPERPKAPPPQVQPPVVVSSPPPVRLAPTTREISTSTGASGSITAATCEVFSTTCARGLTATASEGSLATTSPGGLTTTAREGYLATTGTGGVTTPTREVSPATGACGRTTTSSEVSTTTSSGELSTACFSDPSTTSCKITTPTGPSSLTTTSREITPTPSSRELFTTTGEISTTSRSNKVTPTSREVATTTNSKDLSTP >Et_2B_019421.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28799998:28800156:1 gene:Et_2B_019421 transcript:Et_2B_019421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRICRCQFRYHKLNSSNCATHINTRLFEPSMQQANHQVSQISRVKKNIAL >Et_1B_011189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18552957:18558697:-1 gene:Et_1B_011189 transcript:Et_1B_011189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLIAPAVTARSPELKHLAAVITGCSAACLRVARPRAPHTVDRFRLPQKLVAAMLRPGRRFLPARPPRRRRPKPPAEPAPPPAPTYTRDVVRRATAILRDHPWSAARPLLLSLPGLAWDSHTVARVLKTHPPLQKAFLFFRLAAAASPSFRHDRFTYTSMLHLLGEAGRVPAMLRLLAEMLRAGVAPDAATFTTVMHWLARAGDVDGAMRVWDEMKARSRPTVVSYTACVKILFDAGRAAEARTVFEEMVAEGLRPTCKTYTVLFEHLADAGKYKATLEIIDEMQEAGIEPDKALCNILVQKCSRAGETEVMTCVLQYMKKHFIVLRRPIFLEALEALKASGNSDELLREVNPHLSHEGIECDPMFSDQGYITDRSTMLFLLAAKNWSAIEHMISIMGLNNVKMETHILSDVIEGSCAGSRLSCGLAVMHYSLKVGNQLDKSSYSSLLGHYIRSGSFDLVIEIIEGLIKSGYNIGTYLSAILILRLGYSQHSAYAKHIFRLLAANKNIVTYTALMNACFQSGKSTHGNENCWNIHLLSIVISAHPKKAYVTICFVAFKDRFRKMMLQELGSMTLIEQMHEAKLKNLDKNSAILTPRGELADIL >Et_4A_035275.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:16561303:16561950:1 gene:Et_4A_035275 transcript:Et_4A_035275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCECGTGSFKHVDDEDPADIGCAGGISPPKAGRKKHGGGGGKANPFAERGLDRFSVVLSELESRRAKILRRVGSDTGRLLMIRFVQQPGGGWAPVVVKLPDEPFVKGAKKRPPARQDASNSGTPPEKPASPRTAPAKVVAPARMVSFSRGTLRRPSRYWPAVIVLTLVSLAVFGRVFAICLTSVWWYVLPTLGSGGCSDDGAGRRRSVQKRKNV >Et_5A_042022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5356723:5359172:1 gene:Et_5A_042022 transcript:Et_5A_042022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKRLALPAPPPQQISPPVTASSAPASPYSGLHPLLIPSPNPHLLLKPKSLTLSLSSSSLASMASSSPSAPVPDAWELVTPRAPAAVQVDGGDDCVIFPPRLHEGLGLEGEPEEEDAAAASGGAAKEVEDEGEEEEEEDDDQWLREWGWRWERCRLAARRAWAAGVGAVQEGVLVHGACGCPAVKPAVWSAAAAAVVVGALLYARRRDRRERDLLVLLSKEKDKN >Et_4B_038608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3272446:3277185:-1 gene:Et_4B_038608 transcript:Et_4B_038608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSMRLQPAGDDAKASRRPASILFDQRVHISSSINQETTLVTAESRDGHVINLSLRIHEPPALTFFCLRCHKVPDPDSEFDVDADFQDWAEVVGAEGRFVLLRAGFSSYGGDEYFMYKAAGDGEPAALDPVPLPNDHRIPTLGEFGIVPRGRGGHYLVAALCLGMDPMNYLLHVYSSENQRWRSIRLLNPCPELAKVITSKVVTLGDGEVGWVDFQHGMLVCSNLLDESPGARYVPLPDALPENRGRLQKLHPGPSTRRARDLACINGVIKLMEHRVVVVVVVVVVVTTEEPTSGSELLRDSELIMSRKRKRAGDGKPKQLVRRDGWKLTTWSRTVPCDRWRKGCVVDVDDISVDESTTLSLLLPGLSGTTVHQTAAFRQVYLLAFPTLSSCGDDVVYLKSTEKCSGSKGCVVAVDLAKKTYFVYTAAAGDAEAPSLERVPPPGDANDSLRSVKEFGVVPRGHGGHYLLAALCDANDAPLDYTLRVYWSERKTWSSTPNPCPEVKRIVPDKVITIGEGILGFVDLSCGMLVCNLTQDPPDADFIPDKDLLYDSDLITSLKRKDMDEKPNPPRSRYGWRAVTWTRTIPSNCWRKGCAVDVADISVDESTQSSLLSGLSLKNPELTFWDQYSAFPTFGVDGDDVIYLRSMTKPNDLYGLRWAVPVDLGNKTVKALGGGASSLDNRFPSVEAFRPCTLSHYLNMTPGNGSPTLTMTTPKSCFLYMWITFVLFGAAFMFFFWCLGLCVILIVVSAYGRSI >Et_7A_052470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8355408:8357844:-1 gene:Et_7A_052470 transcript:Et_7A_052470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKACQKPKVQYRKGLWSPEEDQKLRDYIVRYGHGCWSALPAKAGLQRNGKSCRLRWINYLRPGLKHGMFSREEEDTVMSLHAKLGNKWSHIARHLPGRTDNEVKNYWNSYLKKRVEGKCAADAPPPADSDASGGSGSPNPSEASSQERLNRAASSDSSSEPVDSSSADDSSCLTVTEPAAAVRPHAPVLPKVMFADWLDTDYGTSLMAPALDAGVFDVMSGSSTAAQGLSHQGSVDSLHGLGDGGICWGFDAAPDQADVQIAGFCDLLSMSEFLGIN >Et_4A_034948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8077073:8081566:1 gene:Et_4A_034948 transcript:Et_4A_034948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDQAGGAASSGGGGGFFSYMRSLGAAVRNGPARADRCGSELLRLVIWRCSAQSQLLGLIPPLGSGELLNLQDRDSYWKMMHKYIGADVTSLVTLPVIIFEPMTMLQKMAELMEYCDLLNKADECEDPYMRMVYASTWAVSVYFAYQRTWKPFNPILGETYEMVNHQGITFLSEQVSHHPPMSAAHCENEHFTYDITSKLKTKFLGNSVEIYPVGRTRVTLKKSGVVFDLVPPPTKVNNLIFGRTWVDSPGEMVMTNLTTGDKVVLYFQPCGWFGAGRYEIDGYVYSAAEEPKIMMTGKWNQSMSYQPCDQEGEPLPGSELKEIWRIAPTPQNDKYQYTYFAHKINSFDTAPKKLLASDSRLRPDRYALEKGDMSKSGAEKSRLEEQQRAEKRTREAKGDQFTPKWFNLTNEVAPTPWGDLEVYEYNGKYAEHRAAIDSSNVEDETDVTSIEFNPWQYGSSSSQ >Et_8B_060223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7670557:7674851:-1 gene:Et_8B_060223 transcript:Et_8B_060223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLSHARVQVVTNGRVPANVHRVRTPSDRERFSVQFESRHQILFYIHLIRRKIEGGGGRKKKEMKVEPFLDFYYGSAIDHWIWIVVNNLRFELLGRLVDNLERSSGPI >Et_4A_034449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32613267:32617624:-1 gene:Et_4A_034449 transcript:Et_4A_034449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIKVYADRRSQPSRAVIIFCRANQIDFEEIEVDLFKAQHRTAEFRTTLIMHTALAPFLGITPSPEAAKQAENLLVQSLGRIESEWLKGDSKFLLGSPQPSIADLSLVCEIMQLEILGNDVRDRFLGAHEKILIWIDNVKKATSPHFEEAHELLFNVKAVMQSKAAAAAHQPSSKLKIASKL >Et_9A_061749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16000112:16010569:1 gene:Et_9A_061749 transcript:Et_9A_061749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSPKPKSSKKAKKKATALGEDVKDMDALKSDVASFASSLGLVAGAGNPSGFDDSDFRKSGPMKPPKPSKQPDQTSEVPQNTANSQNPKPSKKSHPLELHTPNVSTNPGAATNYPLMKAAALSGQWYTDAEELEVKVLGSGKQMPPAVGLQEMQKLVERKRELAEKLMVQYAREYDTVRRGKGDLKLLEMSAKSGTSADKVSAFTCLVEDNPIANIKALDSLVGMVSSKVGKRYAFTGFDALKELFLMRLLPDRKLKSLIQRPLDRLPETKDGYSLLLFWHWEDCLKQRYEKFVMSLEDAVKDMLPNLKDKAMKTVFILLKSKSEQERRLLTALVNKLGDPERKAASSAAYLLTCLLSAHPNMKVNFLSQILLTNKGDGPKLAKRLVDVYIALFKVLMSSSSVTKGDTNSKHGKKSGENGKTKGRKNKVNGSNPQGNNEVDPSAGSDLEMDSRILSALLTGVNRALPYVASSEVDDIVEVQTPILFRLVHSENFNVGVQALMLLYQISTKNQIASDRFYRALYAKLLSPAAVTSSKPELFLGLLVKAMKNDVMLKRVAAFSKRLLQVALQRPPQYACGCLFILSEVLKANNADRASWWELTLLASHVHPSVSTMARTLLSGNNIVYSGDPLTDLSLAAFLDKFMEKKPKGNRIAEGKWHGGSQIAPARKIDQNSHLIGEELLELAENEDLLRDDSDVELGDISDDSASEDGVMEDSDDDVDAILEGAVDSDDNISDEEMVDVANGGRGGSGAEKKWKRKHGAKSGPSPFASVEDYEHLLSQDSDRPAMKRKHKVAGAVGGEKKAKSRSQKKRSKTSG >Et_1B_011256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19455779:19462129:-1 gene:Et_1B_011256 transcript:Et_1B_011256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVLIGRDIKEEVGRGGSGLLVEWLDGMQTNMLKTRSPPRWDISYSPTSRRSRSPTSPRRHRRRRSRSSTSSLVNNSCSPIPGTEQNSLIGKQREEDEKKRHQKEAEFKLLEEELARKIEEAIRKNVEERLNSDEVKHEIKCRIEEGIRKLFDEVDAQLLKEKEAALHEARQKANHLRKTSI >Et_7A_053075.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:5998211:5998714:-1 gene:Et_7A_053075 transcript:Et_7A_053075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPLVCYCDAVPRPVAALFKFLHAVALLFVLILCFLGLYEFPYTPEDTAPLINGSQQRAPRNAPRPDAVKQCLPPVEYLELMNTGAGVDPEEEASSGVVVEPTCRVCLERLEATDEVRRLGNCAHAFHTACIDRWIDMGEVTCPLCRSHLLPRRRAGLLGRARIGG >Et_4B_037587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21415106:21418805:-1 gene:Et_4B_037587 transcript:Et_4B_037587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYRSLRGQFRYQASASVSRSLDLKKLTKDLLSQLEPREYRHCQLDAYLIVIDDIWSIRAWELVKLVLPENSHRSRVITTTRITNVAKSCCNDSEELMFEIKPLNDSDSRRLLMRRIFRSEDDCPPQLEEASIAILKKCGGLPLAIITIASLLSTKPKIREQWERVKNGMGSAREEISTQMKNILLFSYYDLPYYLKTCLLYLSIFPEDHRIVRENLIWKWIAEGLVYGERGQNLEQTGEACFNELINRSMIQPVDIQYDGRAEACRIHDVLLDILVSLSAEENFVTIFDGQEAEAKFLVRRIRRLSLHHNYRGTEVQQVSLKSMAHVRSVHDFGSSKDLCGKLDFPSMRVLDLRGCSLCMQLRYIDLSRTGITEVPKEIGHLQYLETLDMRWSSMEGKLQPAIGQLTRLKHLFISHRSILPDEIMNLRALQVLRVPTIHSVKLVEWLGKLKELRELYMGRIKPDKENDLTSYKESFLSFACNLGKNLRVLLLTGYPGGVDKGFVNPLMDSCCESVSGSLRPLSSLIHLCHLQTWVPSMESRYLDALRELPDLLYLFLITDYASREENCIVSNKGFKSLKEFRFYVAREGRIGLAFEPGAMPDVQTFVIELTAAGTKSNHGVDAGFGLEHLSALKRVRVRTFCSGATTAEVESIEADIRNAVSSHSNHPNIELVFSRQAEDKMLKDTSQEQDSSAGQDGDGKP >Et_6B_049357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3833889:3839596:1 gene:Et_6B_049357 transcript:Et_6B_049357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAGGEEEASLFETSHVLGALLASSPLLARAWDRCVAATAAASGFVHGDDGGGGTVYVGFSGMQAALSVAGAGAAVAGGGADAFAPVGLGGDAARQMFAPLVAADPDAAAGEPVAVQALAFQSFLKLSGSPDFQMLLSQIRGKAVVFTGHSLGGNIAALAALHYLCISSSSSTYAPAPPVLCITFGSPLLGNEALSSAILRERWGGNFCHVVSQHDIVPRLLFCPMDAIPAHLIVGMQLQQWPARTSQAGVVTAVTARMADTDQDVLRLMIQAHVGAVAMEQKLDAPAALDGSSYRPFGTYVLCSQDGAACVDNPTAAVQMLYATFTSWSSSDIESLEAAHSSYADLMLKIPQHLLQKRYLCMDDVPATSNYDAGVSMALEATGIDAKATEASTVRQWLKMSKRAGRRPSLNCAHLATKLGRITPCRAQIEWYKVLFDGELGYYDAFKQRRSPKKFSKANMCRIKLGQFWDGVLTMLDSGKLPHDFHRRAKWVNAARFYQLLVEPLDIADYHRNNLHRTRGSYVLHGRERRYELFDRWWKEKGCTTTGINIAYTAAPSSSRRRIRRSKNAGLTQDPCFWARVEDAREQMESARSERDPAELAMKLEELQQFECYADDLVASKEVSVDVFAPQSSYTLWVEEWKQLKLRDEPSSPWLVLLDATTPMYVLARNLNASKQQ >Et_5A_042608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2280292:2283689:1 gene:Et_5A_042608 transcript:Et_5A_042608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARTALLLLLLVAALHCLALVSGAAAKPRTRQGDYLNRLRGSWQTVVASLAVAPERRPSKPAVAAKAAPAGSKEADRVVKLPGQPDGVDFAQYAGYVTVDAAAGRALFYYLAEASSAGGNNASSSSKAPLLLWLNGGPGCSSLGYGAMEELGPFRVMSDGKTLYLNPYAWNRAPANVLFLESPAGVGFSYSNTTADYSRSGDNKTADDALAFLLAWVERFPEYKGREFYIAGESYAGHYVPQLAHAILRHAASAGKAGSPINFKGIMIGNAVINDWTDAKGMYDYFWTHALISDEAADGITKHCDFKSDGSNNLCDDAMSLADDSLEDIDIYNIYAPNCQSAGLTSPPVKPSIESFDPCTDYYVDAYLNDPAVQKALHANVTRLDHPWSACSDVLRRWVDTATTVLPIIQELLKNNIRVWVYSGDTDGRVPVTSSRYSINQLQLPVEVKWRPWFSSTQGAGEVGGYVVQYKGNLSLVTVRGAGHEVPSYQPQRALVLVQSFLAGKTLPDCKQCEQV >Et_6A_047413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5325933:5327868:-1 gene:Et_6A_047413 transcript:Et_6A_047413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYSHRRYSSGGQKQIAGISAGPLSDALETEHYTLVLGTFCLPINIPGTNYNKCLQARKKLVAMVADRRSSVCNDDILDALLSGNERTREKLSDERIIDLRITFIYSGYETMSTTLMMAVKYLSDNPKALEQIRKEQLDIWKGKAHEDALDWNHYKSMTFTRAVSQEIDPKSSLNTTVIYGTLRLATVLMLFQKTREINYDPFLYPESQTHGFQPMEMAGKKYRKQEKNLESHPYFMLFGGGGRMCPGKEVGTVEISTFLHYFLMRYRSLSLIKPAKQFADAISSSILSLADWTKKEPIQYQSSEAWRLPTGYISEFKITDPAIFC >Et_1A_008156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4863191:4869034:1 gene:Et_1A_008156 transcript:Et_1A_008156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FIAAFVLLSFVVARQTSPARASVHGCSAPCSVAWLCTVIWLSASRHESKLRQQLRAMCRLGVSCASAFEGVVTDGGKRGLSLTRGSMSNYHEDHIEEVEDDYDMDDPADDMIDENQERGVRDSDSEDDDYGPSNDKIPDTSSADARKGKDIQGIPWERLAITREKYRQTRLEQYKNYENIPNSGEEAMKDCKPTEKGGMYYEFRQNTRSVKSTILHFQLRNLVWATSKHDVYLMSHFSVLHWSALSGVDTELMNVQGHVAPREKHPGSLLEGFSQTQVSTLAVKDNLLVAGGFQGELICKIFNTSSGAVHFIASNNDSGVRDYDMERFQLCKHFQFEWPVNHTSLSPDRKVVVIVGDDPDGLLIDANSGKTLHSMKGHRDYSFASAWSPDGRTFATGNQDKTCRIWDVRNLSKAVHVLRGNLGAIRSIRFTSDGQFMSMAEPADFVHIYDVKSDYNRRQELDFFGEISGTSFSPDTDTLFVGVWDRTYGSLLQFGRLYNYLYLDTLC >Et_2A_014833.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:17686253:17686750:1 gene:Et_2A_014833 transcript:Et_2A_014833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEPSSDAPGVNLDLRLDLSPRRRPAAAAASAAAPGGADHRGEAFACNYCHRKFYSSQALGGHQNAHKLERTLAKRSRDIVAAAAAPPASSSRPTPPFHAVPDAAGVGEFWPMTSSSFRAAAAPEELPGIVDVDGWTGTAVADGGCGRLGHAWNGEEIDLSLKL >Et_4A_033871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27500141:27503462:-1 gene:Et_4A_033871 transcript:Et_4A_033871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGSRKRSRTRRGQGERRRGAGLAAAGRACPRWAARGARTGGTGGRRAEQRRRATQPQETPAAVSVNCKLAFKNGQLIPICGGQGSQNPPYYAPDQWPYQLESSMDPLEHPHEQVDHFIGAQIYREDAEVVAPPTTQKGREKNVSRRGGGFTKEEDGVICSAFLDVSKKPITGADKRRGGYYKRLHDYYNTFKPEGSNRSQLAVQNRWGAIQRSVHKFCGFKSAVDRLNESGKNERDRRYKKESHRRFLIQHCSSHSPSDATTRNTGGGECQLQVCSLQSFFFLVGFDAVPFLAGGFHASLGADQRQGGYYKRLHDYYNTFKPEGSNRSQLAVQYRWGTIQRSVLKFCGFKSAVDRLNERGIENSMLPRPEGRESAKRKRVADASSSSTAVDVLQRINENREKCQQKEDEQMVQILARKDEKLSLQREVLELKKQQLEENLILRKQEAENAAKQAEAQLLLAEAQIMSVDIDKVAPHLKNYYIGMQLQITERRGFASSEPKDA >Et_2A_015544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14681759:14689687:-1 gene:Et_2A_015544 transcript:Et_2A_015544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ESTDSVPGIAVCYDIYHEKWPLFHLCSGTGTRQLIVWMTDSMAAKTSGAVQVHKDDTMKRIPIARPSLGREGKPIRLLSNHFAVKLRGVDSVFYQYSVSIKSEDDDKVVDGKGIGRKVIDKLLQTYGPELDGKDFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSPGHESPSQADKKRVKQSHLPKKFVVAISYAAKIPLKAVALALRGSESEHAQDALRVLDIVLRQQQAKRDDNRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVIDFLLKNQKVSDIRDIDWPRAKKMLRNLRVRAKHNNMEFKIIGLSDLPCSKQTFPMKVRNGSSELQTVDITVQEYFKSKQVELTMPYLPCLDVGKPKRPNYLPIELSHMVSLQRYTKALSSQQRATLVEKSRQKPQERMRVVTDAVKSNRYDDDPILSSCGIEIEKQLTCVDGRVLSAPTLVVGNSEDCIPSRGRWNYNNKTLLDPVKIERWAIVNFSARCDMSRISRDLINCGRSKGIFIDRPFTLVDEDHQARRCSPVERVERMFEKVKANLPGPPEFLLCVLPERKNCDIYGPWKKKNLHEMGIVTQCIAPSPKMNDQYFTNVLLKINAKLGGMNSKLSLEHRQMIPVVTQTPTMILGMDVSHGSPGRADIPSIAAVVGSRHWPLISRYRASVRTQSPKVEMIDSLFKPLDDMNDDGIIRELLLDFYKTSQQRKPSQIIIFRDGVSESQFNQVLNVELNQIMKAYQHMGQGNLPKVTVIVAQKNHHTKLFQADSPDNVPPGTVVDTGIVHPRQYDFYMCAHAGPIGTSRPTHYHVLLDEIGFAADDLQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFAETSSGTYL >Et_7A_051615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21376494:21376804:1 gene:Et_7A_051615 transcript:Et_7A_051615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEHPRLAPKLTFLRFFAQEPSGYIKHIATSEKERSPGRFDRNNTEITKTGLEQPRLVRLQQNSHFLEFSHGNSPVTSKTSQQVKRSDLRVVLTETTQK >Et_2B_019583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10344717:10351451:-1 gene:Et_2B_019583 transcript:Et_2B_019583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANALFFTLFFLLSTPALAIRPARSLTTAVARSAALENGLGQTPQMGWNSWNHFRCKINEEIFRQTADAMVDSGLAKLGYEYINIDDCWADYDRDPQGNLAANASTFPSGIRALADYVHRKGLKLGIYGDAGFRTCSTFMPGSLGHEEQDAKTFAYWGVDYLKYDNCNNQGISPQPRYNTMSKALLNSGRNIFFSLCEWGQNEPATWASGVGNSWRTTGDIKDTWSSMTSKADANDKWASYAGPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALVKAPLLIGCDIRSMSDKTKEILGNKNVIAVNQDELGVQGRKVQQDGDQEVWAGPLSGGRVALVLWNRGSSEANITASWSSIGFNSSTVGGVISSLQGELKETVQDHACKISIHQMKMVVNKPSSTAVCDSNADPEGDPSGWKVDGSPVSDWRCLAVHRKDGIANIPEDQCHLLLIKVTLTSTFQH >Et_7B_053631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10478230:10480647:-1 gene:Et_7B_053631 transcript:Et_7B_053631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIFSFLPSHSDRNTVSLVCKVWYEIERLSRRAVFVGNCYAVRPEHVVRRFPNVRALTVKGKPHFADFNLVPPDWGGYAGPWIEAAARSCVGLEELRMKRMVVSDESLELLARSFPRFRALVLISCEGFSTEGLADIASHCKHLRELDLQENDVEDRGPRWLSSFPDSCTSLVSLNFACIKGDVDSASLERLVAKSPNLRSLRLNRAVSVDTLSKILLRTPNLEDLGTGNLTDEFQTESYLRLTNALEKCKMLRSLSGFWDASPACVPFIYPLCHQLTGLNLSYTPTLEYSDLTKMISRCVKLQRLWVLDCISDKGLQVVASSCKDLQELRVFPSDFYVAGYSAVTEEGLVAISSGCPKLSSLLYFCHQMTNEALITIAKNCPNFIRFRLCILEPRKPDATTNQPLDEGFGAIVRECKGLRRLSISGLLTDKVFMYIGKYAKQLEMLSIAFAGDSDEGMMHIMNGCKNLRKLEIRDCPFGDGALLGNVAKYETMRSLWMSSCNATLKGCEILASKMPMLNVEIMNELDGSCEMEENSVDPSKVEKLYVYRTTAGARDDAPNFVKIL >Et_4A_032756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13360643:13364103:-1 gene:Et_4A_032756 transcript:Et_4A_032756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYPVLNNRPIDQWRVTDLKDELRKRRLPVKGLKEELVRRLFDSIQTEQAATEATEDVGVTAADDQQPDINVTQETTVTITEVHQETVVHVTQQVEVPGTEVGQESTISAAGGPPSVDIEASLSETAAGKGEMPESNAGENLAFQQVQAHTENTVDPFFEKTPDTCTNETIIVNDEISTVKSDLTPSEVTSDVTEASRIQKQDLAPAPVDAFTSDADPMDTDVTAALIGNDGEKLTPNNGLGDNSSIYDEERKDSKLLNEDPEPTVSPDLGSQIKCESISSDDISINKKNNIEDNLNANNFDLELESMEEDVMESKQVESNMKSDDLMETTELSSKDVKEVSLPDSAVEASSVDTERRWTGDGGKVPERQTLSETVSDAPKDVFQPALKRSFGRSDSTASGDSPKERIVPPSQKPATTSLRIDRFVRPFTLKAVQELLGKTGSVQNFWMDHIKTHCYVTFSSVDEAVATRNAVYNLQWPPNNGNHLVAEFVDPQEVKLKLEPPPLAAAPVSPATTPRVPTVQQAQANPTVPRQVASPREQVPPPPPLAKPPTSDPASARERLPPTPKKPEPPVVTLDDLFRKTQSSPRIYYLPLSEEQVAAKLAEQDKGKI >Et_4A_035697.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3967750:3968331:1 gene:Et_4A_035697 transcript:Et_4A_035697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRTLATGVAGDWAWEVQKKQRSMAAAGFKPPGTTTTRGTAATAATAGDGREPAFALVAPGASRLDVVAWRDGQVAVARLVAMVAISVPGLAAAGRRRSISHRGATSTPSNLRWLPTRAVILAGVGLHRPRQAPIWKRWRWSGGSNSKKKKLRLRRWDQSQRTAAAAHKKDTLPQIVPPRYILFCVMVMIM >Et_2B_019985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15852891:15854116:-1 gene:Et_2B_019985 transcript:Et_2B_019985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTRTGSPLSPPTPSISSAAQDVARGVGSLAARAAESLARGLVTCVFATVGTLLGAITGGLIGIATETGVVRGTGIGGITGALVSMEVVDSSLAIWRSDEPAIWSVVYVLDVIWSLLTGRLVREKVDPAVLSAVESQMSAVESPAGQGGDGADIFETGGSSGTGMPKAAIDALPVVRFAESCNVDAGGERVACSVCLQEFEAGESARSLPVCRHTFHLPCIDGWLLRHASCPMCRRAV >Et_6A_045798.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:11765996:11766337:-1 gene:Et_6A_045798 transcript:Et_6A_045798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFECPFNTWCWRFLNINGNINLPPGEILLLARQKFGSRIFRKIIMVECWCLLCHRNSIIFHQGTLSLRWKQCFKDELELVVLKAKPSVKQLIEDKLPQILGISLLHPLNYCW >Et_3A_025931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34079039:34080405:-1 gene:Et_3A_025931 transcript:Et_3A_025931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTVVGRAVLQAYRQAIVNANKTGAAQEAINGIKRASKAMTEQEARQILGLSEKSTWEEIVQKYDTMFERNAKNGSFYLQSKVHRAKECLEATYQKPDVPN >Et_2B_020104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16948993:16953608:1 gene:Et_2B_020104 transcript:Et_2B_020104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLAVAWRAEQATTPRRTELVRAMAGRTVERATGSGETVCVTGAGGCISSWLVKLLLSRGYTVHGTVRDLSDWKTAHLKQLENASEKLKLFKADLLDYDGMAAAIAGCQGVFHVATPQQMLGPAVDGTTNVLKAASAANVRRVVVVSSIVAVEINPKDWPKDKIKDESCWSDKEFCRNNENWYFVAKITSEEFALEYGRRTGLDVVTINPAVVLGPLLQPTLNASCQFLVYFLKGGPDQLRNKLWHIVDVRDTADALLLVYELPEASGRHICAPHFISARDFLDLLKSMYTEYPFVNNISDMDNDAPMTSDKLKRLGWSCGSLEETIADTIEFCKEDGFLDDMEGELFRFPPLYNKI >Et_4B_037979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25264200:25269525:1 gene:Et_4B_037979 transcript:Et_4B_037979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTNMSLSMLILAVLVVASVCNAPVALGARELAGEDAAMAVRHEKWMAEHGRTYKDEAEKARRLEIFRANAKLIDSFNAAGKRSHRLATNRFADLTDEEFRAARTGYKVPAEAVAGARSDRFRYENFSLADAPQSMDWRMMGAVTGVKDQGECGCCWAFSAVAAVEGLNKIRTGRLMSLSEQELVDCDVYGNDQGCDGGLMDDAFSFIARRGGLASESAYPYRGDDGSCRSSSASAAAASIRGHEDVPRNNEAALMAAVAHQPVSVAINGGDYTFRFYGGGVLDGDCDTDLDHAITAVGYGTMGDGTKYWLMKNSWGTSWGEGGYVRIRRGVRGEGVCGLAKLPSYPLILAVLVVGSVCNAPRALGARELGAEDAVTDAAMAMWHEKWMAEHGRTYKDEAEKERPVQVREL >Et_4A_035940.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:912056:912754:-1 gene:Et_4A_035940 transcript:Et_4A_035940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLPLPLVHNERLWARPWRWAKTAFFIVAMLASLLLVCAPPLLVVLLDLLLPPALLSNFLRAQALAAQSPTSLPSASSLILDQARAFRFASSLVDLPVVSAARSLLILCAYTAFGGGAAYMWVAVACSVASLGYVLAKAVAVFAVAGLQLHGKGQLVAVEAMFLMSLALAAAHIAMAYRATCRERRRLLVYRIDVEAVSPYSSPFLPCTNSHFAQMCAPKINRASQFVRV >Et_8B_059593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19778635:19779324:1 gene:Et_8B_059593 transcript:Et_8B_059593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGGLGTTTATYASSSSGMMRGNSSGRRPWRWWRAKCAGIAASVGPRLRRTIKGLNGRRRATSSFAPVYVDELYNHHHVVQPITSKKPLIKLDAVVAQEDPTTKPSTSGAAPATVGVTGRASGKATARVAAATAGADGARAAGGKQQARAAAGVGAMKNILMRSPGRGGGVLGVVKGMGEVDLRAELFIRKFKEDMRLQSQRSAEEFQAMLARGL >Et_3A_024272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19085588:19087173:1 gene:Et_3A_024272 transcript:Et_3A_024272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAGGSSLPSASCPDAQKRRVCYYYDRGIADVDYGPEHCMVPRRVDMAHALVSSYGLLADMKRLRTRPATEKDISAVHDAEYVGLLRDLTPERFSAEGSSSMRSKAESFHVGKVFKYRDGHRSIDNPAMAGLWDYCQRYAGGSLAAARALASGEVDIAINWSGGMHHACRERASGFCYVNDISLAIHELLAHFRRVLYVDIDVHHGDGVETEFLESCRVMTVSFHQRTIGFFPENTGFVKDVGKGDGLHRALNVPMKEGMDDEGYHRMFKPVMTKVMEVFQPEAVVLQCGADSLSGDRLGQLNLSIAGHAQCVAFMRSFNVPLLLLGGGGYTINHVAACWCYETAVAVGKEIDDDIPEHWYDHYYKTQGYKLHYPVGKARRNDNTDKSMTDTINDVFENLSSLEKAMPSVQFKDPSGGSIDAKALFYDSPPEEEDHPMVRLQRRCQEVDRRRFYVELGKRQMALHTDPHSYRPEVVNKYRL >Et_9A_062990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7963862:7964302:1 gene:Et_9A_062990 transcript:Et_9A_062990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYAVLSLRLKAVPVRCVYYRPIRVNFFFGLWIACLFLAIGVPPSVATKLPRWLWYVFMAPMLVLELKIYGQWRWGG >Et_3A_026678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13524803:13533165:1 gene:Et_3A_026678 transcript:Et_3A_026678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPHLLPALPLFLLLLLPIPLLSQPAPAASPPPPQQCALNLTALRPFLAPPLPSDDASRCALATQSVAFLLSLHLAATGSFVLPSNASSCLAPLRAALPFPLPAASCGGLSDGLDSLLAAPGCGNVSTLADFDALVPPAARADMNTSCDRDLSAVPDCTACTTALSKAAAAYLLPGSPNAGSNNTNNNVTGCVQYPFIYAGAKASPRGPADPATANCLYLLKANPPAAAHSGTASWVYGVAFGCLGAVLLVAAALAACFLVRRRRDRAAAAALAAAAADSRSKRSQAMESISASTTLVKFTYDEIKAATGGFSRDSIIGRGGFGNVYSGVLSDGSEIAVKRFKNCSAAGDAAFAHEVEVVASVRHVNLVALRGYCIATTQMEGHQRMIICDLMHNGSLHDHLFGAGECTITWPVRQRIAVGMARGLAYLHRGAQPAIIHRDIKASNILLDDEFEAKVADFGLAKFAPEGMTHVSTRVAGTLGYVAPEYALYGQLTEKSDVYSFGVVLLELLSGKRAFISLGEGQSFVLADWAWSLVRRGKTVDVIQEGILEPGPTELMEKYVLVGALCTHPQLHARPSMEQALKILEADSAPSPLIIPERPLPVVANLGEIERLRPLFAIKILSMEPPSITSLLNGDPTAVLHAHLSIVGLLEPGPIFNETALHIPKQLWIRELRRGENIVAQGL >Et_1A_008000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4036477:4038047:-1 gene:Et_1A_008000 transcript:Et_1A_008000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DIWCQIHSLVPMRDAAKVACVSRGFAHSWRYYPNLVFSEETLGINVNTSGKNEKMRDFTSKVDCIMKKHSGIGVKTFRFQVGVVYSAKDCCHLYHLDSWLQSAVKPGIEELNLTLSSVNAMYHFPCSLLSGGTGDLLRYLVLVSCYFLPQNFLTRLRLHKVDILEDELECLLSNSFSLEQLKLGNCNNIVRLKIPCLQRLSSLEVSACSRLKREKLRTYPISSNLHVRLSLGGTPPVKKLFITYDDAAFYARTELPSRMPNLEALSICSRTEAVNTPMENSKFIHLKFLHIILGGRDYDFLSLVSFFDASPSLETFRLTVSHCLSCNDIVIPTHEERISIFLDPLDLRTVPEHLHDKLKLVEIINFCSAKTLIELICHILQSTRSLERLTLDTTQGFARCSVNKSGKCLLMRKDALVEANRALLAVQMYIKPNVPPTVELNALEPCSRCHADVRLEVPVTMPFCS >Et_3B_029533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25867097:25877110:1 gene:Et_3B_029533 transcript:Et_3B_029533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCSVLTSENGTFILTCETFFSGYMSPEYAMDGIISIKSDVFSFGVLVLEIVSGRRNRGSYEPELDVNLLGYAWMLWEEGRSVELLDEAIGGSFHHSKLLRCIQVALLCVEVQPRNRPLMSAVVTMLTSENAVLPEPNEPGVNIGMGSSDTGSSHTRTATINTMTVTTLDARRGELPAGLAPQFGGSIESMGARTLVLFHLLATAAVSFNPVTSTDTILRNTSITGNQTLVSAGGIYALGFFSPSGANGRTYLGIWYASIPGPTTIVWVANRQNPVVKSPGVLQLSSGDRLVILDSNNDTVWSSPAPTRNVSAHATARLLDSGNFVLSSDGSGSDHSVAWQSFDYPTDTLLPGMKLGVDIKAGISRNITSWRSPSDPSPGEYTFKLVLGCGLPEFFLVQGGTRRIYTSGPWNGEILTGVPYLKAKDFNFIVVDSADETYYSYFIRDPSLLSRFVVVDTTSGQLQRFSLENGAWKPFWFYPTDQCDYYAKCGPYGSCNTDQSPPCSCLPGFVPRSPEQWRAGNWSGGCVRSTNLSCDSGDGFWVVNRMKLPEATNATVYRGLNLDQCRQTCLGNCSCLAYAAANMSGGVGVGCVIWAVDLLDMRQYKIFVQDVYIRLAQSEIDALNTAGLTRILIAGNRRWPQKSVLIIMIVSGVLLAAVGCCCFHRMRRKGQKDMAPLPSSPVDHELPFRVRNRSLFIPVRDQQLDEAYEDISNAKNDIDLPLFDLEVILVATENFAEHKKIGSGGFGPVYLGNLEDGQQVAVKRLSWRSKQGVKEFMNEVKLIAKLQHRNLVRLLGCCIEEDERILVYEYMHNQSLDTFIFGGIYVAPCIIYADERKRNYLRWQQRYDIILGIARGLQYLHEDSRFRIIHRDLKASNVLLDRNMEPKISDFGIARMFGSDQTSEYTGKIIGTYGYMSPEYAMDGIISTKSDVFSFGVLVLEIVAGRRNRASYGPELDLNLLGYAWMLWKEGRSVELLDEEISDSFHRSKALRCMQVALLCVEAQPRNRPLMSSVVMMLASENVELSEPNEPGVNIGRMNTSSDTEFSQTRSAGATANYVTSMRARALSLLVLVVVGATFFSPSASTDSIGQAASISGNQTLVSAGGVFELGFFVPPGSADGRTYLGIWYANIPGPTVVWVANRQSRLVSSPGVLRLTADGRLAILDGQNATVWASAAPTRSLTAGATARLQDNGNFVLSSDGSGSEQSVAWQSFDYPTDTLLPGMKLGVDIKASIVRNITSWRSPSDPSPGAYTFKLVLGAMPEFLLVRGATTVYTSGPFNGAVLTGVPNLESQDFRFKVVASPDETYYSYSIVGVSSQLLSRFVVDATAGQVQRFVWLNGSWSSFWYYPTDPCDQYAKCGAFGYCDTGNSKLCSCLPGFQPRSPQQWNLRDGTGGCVRTTNLSCGGGDGFWVMNRMKLPQATNATLHAGLNLDQCRQVCLSNCSCRAYAAANVSGGFNRGCVIWTVDLLDMRQYSTVVQDVYIRLAQSEIDALNAAANRRHTSKRVLIAVVATVCSLLLLLAVGCCCMWRRRRRRRRSETASSAPGSGDDVLPFRVRKDQRFDEEWQSAEKDVDLPLIDLAVILAGTDSFAAHAKIGEGGFGPVYRGKLEDGQEVAVKRLSQRSMQGVVEFKNEVKLIAKLQHRNLVRLLGCCIAEDERILVYEYMHNQSLDTFIFDEGKRKMLRWQKGFEIILGIARGLQYLHEDSRFRIIHRDLKASNVLLDRNMVPKISDFGIARMFGGDQTTAYTAKVIGTYGYMSPEYAMDGVFSMKSDVYSFGVLVLEIITGKRNRGFYEEELDLNLLRYAWMLWKEGRAVDLLDDTMDGSFSYSEVLRCIQVALLCVEVQPRNRPLMSSVVMMLASENATVPEPNEPGVNIGKNTSDTESSLGFTANNVTITAIDA >Et_8B_058900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10767484:10772677:-1 gene:Et_8B_058900 transcript:Et_8B_058900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPNAISSIGSPQSNLAAHGQMDLGGGGMVPHNGVNNNPNMAARQRLRWTNELHDQFVEAVTQLGGPDRATPKGVLRIMSVPGLTIYHVKSHLQKYRLAKYIPDPSSDDNKAEKKDPGDLLATLEGSSGMQISEALKLQMEVQKRLHEQLEVQKQLQLRIEAQGKYLQKIIEEQQRIAGAGTSRATSSEQLPDSEKTNPSTPVPTSESPLQTAPFSKDNGSQTEPTKCVSHDHSLLHGEPLTPDSSCRPGSPPMLSPKHERPVKRQKGCSTSDGTEFTDGDFDLPHHIFESSTTGSEFEQCSMPYSGH >Et_1A_009403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4841315:4844781:-1 gene:Et_1A_009403 transcript:Et_1A_009403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSQWISASLLVLLLSLHPGVHAFYLPGTFMHTYTPEEAISAKVNSLTSIETEVPFSYYSLPYCKPQEGVKKSAENLGEILMGDQIDNSPYRFRVNVNESVYLCTTDPLTKEQAELLKKRARDLYQVNMILDNLPVMRFTEQNGMTIQWTGFPVGYNPTGSNEDYIINHLKFRVLVHQYQAQGDVVVTSEDGVAMVESDRKSGFQIVGFEVVPCSVRRDPEAMSKLKMYDTVGSVNCPLELEKSQAIRENERITYTYEVEYVKSNIRWPSRWDAYLKMDGAKVHWFSIMNSMMVVFFLAGIVFVIFLRTVRRDLTRYEEMDKEAQAQMNEELSGWKLVVGDVFREPCCSKLLCVMVADGIQILGMAVVTIVFAALGFLSPASRGMLLTGMIILYLFLGIIAGYVGVRLWRTIKGTSEGWKSVAWLTSCFFPGIVFIILTVLNSILWGKKSTGALPISLFFTLLALWFCISVPLTLIGGLLGTRAASIDYPVRTNQIPREIPERKFPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLFIVLSLLVIVCGEVSLVLTYMHLCVEDWKWWWKAFFASGSVAFYVFLYSINYLVFDLRSLSGPVSATLYLGYSLIMALAIMLSTGAIGFLLSFYFCSAFVPHRAISNRGIAILSHPTCSARIASAPAPPAPGPRSQACPPRESTRARRQRQELDHGDDRAPPRLCTCRPSPPPSSPIAAAASESAHATPPAPALLRRARLRTAPRAELLPPRAVAILADGAGRVPASLLPPRPAEALQQAAFPPPAAAAASSG >Et_9B_063812.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15752109:15752453:-1 gene:Et_9B_063812 transcript:Et_9B_063812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GAGDEPERYRRARLELIQLYYDWPRGCNLNVVWDQLSAAGEPLYSVEGVNSSSYLFDAASCVATWHSVSILQPAWVGGTSTPSTTSTATSGATAPSSCAISRTSPLADPSTGTW >Et_4B_036569.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3825024:3825092:-1 gene:Et_4B_036569 transcript:Et_4B_036569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHRARKGIVNPLKLWIQTRA >Et_1A_006398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21891410:21895323:-1 gene:Et_1A_006398 transcript:Et_1A_006398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLHETIRSIAIAAKNGELPDLKLMALFGFGSAILRGLGCTVNDLLDRDIDKKVERTKNRPLASGALTLSQGLYFLVFQPQAYLGFTINWGALLGWAAIKESLDVEVVLPLYAAGICWTLVYDTIYAHQDKEDDVKVGVRSTALWFGNMTKYWISAFAAASIGSLALSGYNADLAWPYYPLLTPAAAHLAWQISTVDLSKRSDCNKMFVSNKWFGALIFGGLVFGKLMS >Et_1B_013976.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26013169:26014443:1 gene:Et_1B_013976 transcript:Et_1B_013976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPFGPTSRCRSVHQISAHDLNVARRERSTSRATPPPPPPTPARLLHARGKTTAAEHVAARHLDHTFERLAAAHLPLVAASPLIDALRASPEPLALPGLARRLPLRLHRRGPLHFLRLFPRVFHLRPPLPLSLSLTPAAAALLAVAVSPADAARTLHRLLAMSASRSLPLRAVFRVWRELALPDDFEESVVAGHPELFRLAPNPAEPNTHILHLVADPATEEFAPAVEKTRPDRYAFKLQFPPGFRLTKEYRKKMKEWQELPYVGPYEVASQRAGASKRVSKLARRKMEKRAVGIAHEFLSLTVEKMVEVEKFSQFRKWFGIEVNVRDVFLDHPGIFYLSAKGKRHTVFLREAYDRGRLLEPNDVSDARNKLVELMLLRRRGLGNANSNANMAMNDTADASESGDGFSEQEDCLLEVSETREL >Et_7B_055594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16106045:16108529:-1 gene:Et_7B_055594 transcript:Et_7B_055594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMAALLALAVTWCVLLSSTAVAAGKTGQITVYWGQSGNEGSLRTACESNLYSTVIISFLTNFGGGNYKLDLTGHSWSAVGPDVKFCQSKKILVLLSIGGGFGKYSLSSKADAKAVANHLWDLYLGGTSKSRPFGNAVLDGIDFDIEHGSNKYYDDLARYLKAYSNKGKKKVWITAAPQCPFPDRMLGEALRTGLFDRVHVQFYNNPVCSYRAGNEAAFTNAWNKWTSSFPRSSVYLGLPAAPLAGRGYVMPATLTSKVLPIVQRSKNYGGIMLWSRYWDKKTDYSRAVKSALANWFLPSD >Et_3B_031285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24186051:24188544:-1 gene:Et_3B_031285 transcript:Et_3B_031285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNERTRQGSQSGGDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTCPNMVVDMCKGVQYLNEIKDSVVAEENMRGIFFEVCDVVLHSDAIHRGGGQIIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFTSTLRLQPLARRFLSVSLIIGKCYLPILWSLLINGITVD >Et_1A_007139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31206193:31207104:1 gene:Et_1A_007139 transcript:Et_1A_007139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATRYNAHGVATGTRDYVTIFLVLVSKKSNVRASYHLSLVNQTTGLRESVCSEATAKVFDSSNILSQCALIERKRLESKSAGYIVDDRLTIECSVTVIKEPKVSDITKDLEIEVPPSDLSEQFGKLLLEEEMADVTFSVGGENFPAHKIAQLFGQMKEKRARCITIEDMQPDVFKALLQFIYIDSLPDLDDLTEDEYREIVRHLLVAADRYAMNRLKVQCASILAEYLDAESVATILALADLHNCDRLKEVCIEFMASGEMKAVVATQGYANLKRTCPSIVVEVLEKASRYHKT >Et_1B_011286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:251771:257231:1 gene:Et_1B_011286 transcript:Et_1B_011286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASMGVVREVLGTHVIEEVDEPIIDYIANVLADEDFDFGFPDGHGIFDALGELLIDAGCVADQEHCLEVCSKLCEKLGKHGLVKPKQAVRSLVTPLRMNEGMDSDSLRAGMPPVYVNHSNDGGPAVRDIHMENFNVTVGGRDLIQEATITLAFGRHYGLVGRNGTGKTSFLRAMAMHAIDGIPKNCQILHVEQEVVGDDTTALQCVLNADVERVQLLQEEARLVQQQKDLEIEAEFGEESSKAKGDLDKDAISKRLEEIYKRLELIDADAAEARAASILAGLSFTPEMQRKRTKEFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLLKWPKTFIVVSHAREFLNTVVTDILHLHGRKLHAYKGDYDTFERTREEHLKNQQKAFETNEKARSHMQDFIDKFRYNAKRASLVQSRIKALERMEHVDAVVSDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPLLFKNLNFGIDLDSRIAMVGANGIGKSTILKLISGDLQPTSGTVFRSPKVRMAVFNQHHVDGLDLTVNPLLYMMRCYPGVPEQKLRAHLGSFGVSGSLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLLVFQGGVLMVSHDEHLITGSVDELWVVSQGKVTPFSGTFKEYKKMLTK >Et_1A_009367.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4184907:4186220:1 gene:Et_1A_009367 transcript:Et_1A_009367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPARAASAADEDEDAGPAVPRHFVCPISLEMMRDPVTGPTGITYDRGSVEAWLERGRATCPVTGRPLRAEELVPNHATRRVIQEWCVAHRALGVERVPTPRVPVSAADAAELLAAVAAAARRGDAATCRQTAAKARALGKESERNRRCLAAAGAVRALAFAFAQLVDRPALTALTASAGALEEILAALVVFFPLDEDSRCCIASPASLDAVLSILTRGEAAARVSAVVVLREIASSCDARCLNAMSKAAGIYDALINLLQKTVSPQATKAALVTAYYLVANTNTAASRFVALGMVRLLVELLVDADKGTTEKALAVLDSLLLTEAGRGEARGHALAVPVLVKRMQHVSDMATEFAVSALWRLCKNDDHVAGGEEERRCEAEALQVGAFQKLLLLLQVGCMGVTKERASDLLRILNGSRGAVECIESIDFKGLKRPF >Et_8B_058743.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20037036:20037239:-1 gene:Et_8B_058743 transcript:Et_8B_058743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYVGERRKRFVVPTACLNHPTFVTLLKRVEDEFGFDHRCGGLTIPCASEGDFADIVGGMDMHHHH >Et_5B_043192.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:16725156:16725239:1 gene:Et_5B_043192 transcript:Et_5B_043192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENSCIDRCVSKYWQVSLFLSLSIVQ >Et_7A_050224.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:13479085:13481341:1 gene:Et_7A_050224 transcript:Et_7A_050224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHCRNLGVLVLYYCAFSFVSPVFLQCLRTLRFLGLDHCTNNNTSDGEAPMSWAHLRSMKVLDLRYTMWDETLSKEKMDLMSNLTELNIEGFRGWQYTNQLQQRLPQLERLRVIKPMYPLDTSSADIKNSFVDNTKLEILDLSGNTDMKNLPTSLSNASNLQVLTLDGCGELENIIPNTVPPSLRLFSFDGYGSVSRWTSTGELPPESYRPMHPPTDNKRHLKICKISLRGCMRLQNLFLRGLHNLEELDLSGSAIKLLDFGTMVMDVPRLKRLFLLGCEHLRAVRWGSDDSRRQLKLELELICIDTLPWKTLGRTWSALAQYKPDRLGVYATLADARLARSLWLLIDDYRGNVNFSIHITSMNVYCGMEATGKEMTGANDQRHIIPAGQHKDVIITDEIYGARMQQQAFPQHLFPQLNRHVEIDGGCRSMESELEEEYGSANLASLLPEYTESLHVHDTSTSVSLPGGDWRPLMWCRVERCRNMEAVFGPAADFVFLETIWASDLLMARCILSKSFVHDYPPFHSLQQLKHLHLRSCPSLQFAIPVWVRSLPSLETLQIMRCGDLQHAFVQDGRSQEDIATISLQFPKLTTILLYDLPNLRQICEFKMMAPALESIRVRGCLGLHRLPALEGREPGVKKPAIEIEKDVWDALQWDGLAAGHCPELYEAPMHSRYYRRSHLLRGTVLRYACQHTCPVQVC >Et_9B_064938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:239777:241597:1 gene:Et_9B_064938 transcript:Et_9B_064938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRAEEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLHVEGKIIKAQIWDTAGQERYRAITSAYYRGALGAVLVYDVSKPTTFENISRWLKELRDHAESNIRIMLVGNKTDLRHLRAVTTEDGQNFAEAEGLSYIETSALEATNVEEAFQLILGDIYRAISKKPVASDEPGVGAAGGVKEGKTINVAAGDATAEKKQCCSA >Et_2A_018135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1308426:1310185:-1 gene:Et_2A_018135 transcript:Et_2A_018135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRLLLAVAVLAMATTRSTASAPALGINYGQVADNLPPPQAAAVLLRALNATKVKLYDADARVLSAFAGSGADFTVGVPDRLVPKLAADPSAASAWVRANILPHIPATSITAVTVGNEVLTGSDAAMLRSLLPAMEALHAALAACNLTSRVSVTTAHSLGVLASSFPPSSAAFRRDVLPYMAPLLGFLAKTNSPFLVNAYPYFAYKADPDGVDLGYVLFEPTNDSAGAVVSDPATGLRYDNMLHAQVDAVRAAICRANYGKALEIRVSETGWPSQGDEDEAGATPENAARYNGNLMRMVAQGKGTPAAPDEPLQVYVFALFNEDQKPGPASERHYGLFKPDGTPVYDVGVKAPTIVRGKGSGSVGNGTSGGATGLVVAQGPGGAGQGTGYYTVPAAANKVNMRWRCIETLLMVAIFAIVSVVA >Et_4B_037359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1954036:1956426:1 gene:Et_4B_037359 transcript:Et_4B_037359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAAVVVEVSSDDEDGWRTPGGKRKSTDGGWRTPGAGKQPPDGGWRTPGAGNDNKSPDSGLEWAEKLLGEDYDEIGDGLDDSAAMQELLKSLMDETNIVVEEKKSSVDEPEEKNSLPDADDDDDDCVILDGDPEQAIVVANVEEPRRDAAEDELQIVAEKGELACRDFPHPRHLCASLAFNTSSHASHCSMCHCYVCDSPAPCAFWGKGTGINDHCHATDKDAKWKRLRQSSKRQSQQMPKGGGIQNLLQSTSTTSADVMIPSTGRFPVSRTVSQNQQVHPSIMVSQNTGQPRAPSSMRRTTTPSNRLKRARPAPPVYTPSNGNYLQPPVSNNSPMQSALSRGFQTAQIPPENTFWSCPSLRPPTAPTAPPSLSVPKGSQGYQRQPIPYPQAPPNTVVGTGVPLSRCTSMSIQGKWHSQVPAADAMRMMDAITYLAHELGVPDYNIEPPVGQQSASTPQTLRPNQLLAQAMASQGAEVNQNYITGRDPLISRILRHNSSNHTSGSIALWSGAVQTKQPLCQLNSESSLDQNETAPSTVVRSLPWN >Et_4B_036709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10869435:10873727:-1 gene:Et_4B_036709 transcript:Et_4B_036709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKKRNKKKKGNQGKNTGDVTSNAGEAAPQHHNYESAPTDRHKGSDADDAMSSVGEGAPQHQSHVLTPLIDGNETNARDTASSVGEVIVCYQNNEPTKSQENHKVNNAVPADQRSIGMSESSVELDIHKLNDAKLDKLHETIKHLEDEKSLWLQKASILESELEKLHNQVDCHIQNEVLFEEKLNSLQNGYDLLVKKEEVLDNKVRRIEDINGTLTHQEASFKERLSGLEETNKALQLQVKVLEETSNNTAEENKRLVKSLNELDSRVQALEAKASVGEVMITEKVPEHKVIDRKDLADPLLHQQARCFDEVMGMGNEMIDRGLDSSVTISSDNNYSQINNSPSNAYASNYLEETSLQHTEKASNTSTAQGLIDVNENQFDERRTSEEIVPVPLDDIQFHEDDAQQSAGEETAEVPFSDAPIIGAPFRLISFVARYVSGADLVGEK >Et_3B_029086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2285936:2288313:1 gene:Et_3B_029086 transcript:Et_3B_029086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVPTCAVVEWQQGGGYKSQRPGQGRCICFALPQLLLVFLLRLIDLLRPRFIGSSCYGGGADKVPGVSWSRTKVRERALLGLPDFAAESMTSMAWYPLPQSGGTMAAGDEFFDNQSASWSLWSFSASDDQDATGVCSDKHENGDARCSPDPPEDQPEAPSPLVEPQFTQPTEDIFLSQFSDEEMRRMDAPFEALDMFPDSMHRLLSYENMLSGVLTGSDDEEAKLDHNGVDTMDTCGFPLFSHELQDDSRNEEPSNPEMITDPSSGDDKAVLSMTKRSRPIADTETTTGFEALVLEELEDVVFQLTKRTRICYRDAFYRLAESSKANCSTANGATKVESRTSRQSFQQPNDNASRFSSPGCPERETNPIDRTVMVLTMNPPGQQLHGSCCAAESGAEARSTTSWTTRA >Et_1A_008403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7392462:7395961:1 gene:Et_1A_008403 transcript:Et_1A_008403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPKRLAPPLLSLLRTAFVVLPLLLQASTAVARNDHGVHKNYLIIVRTPYEYDRNVYKTVSSWHASLLSSVCDKAEEELTTDPAAMDRLIYSYRNVVNGFTARVTEEELAEMGTKDWFVTAMPERTYHLMTTHTPQMLGLTAGNAGFRGGGGLWNRSNMGEGMIIGVLDDGISPGHPSFDATGMPPPPKKWKGRCDLGSSVCNNKLIGARSFRESSKWKLDDPVLPVEEGSHGTHTSSTAAGAFVPGANVMGNGIGTASGMAPRAHIALYQVCFQDIGCDRDDILAALDDAVEDGVDVLSLSLGDDHAVKQLFFISVSVKATLEKEFAVLAYLMFHANLFWLHSYNCSQIARQLAAGDLQNN >Et_1A_005598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11891391:11894128:-1 gene:Et_1A_005598 transcript:Et_1A_005598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFAAAAAVSSAPTAAVRPVAASPAPHSVSLPRAAARPLRFAASARSARASRLVARAGGIDDLPLVGNKAPDFEAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRYEEFEKLNTEVLGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLVSDVTKSISKSFGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKGSKEYFAAI >Et_10A_000368.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5902856:5903077:1 gene:Et_10A_000368 transcript:Et_10A_000368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWSPLAEGWVKCNTHAPYRDAKHEVAIGAVLHDHAGLCAGGMGRWWQNCSDALTAEVIAARDGLLLTVSRG >Et_1B_012582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33424552:33437213:1 gene:Et_1B_012582 transcript:Et_1B_012582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSHFPLLLQFRRREPAMAHATRQSRPNPQAQGVFVPPPLPQDAVYEILLRLSAKDICRLRVVCRQWRSLFSDPQFIAAHATRHPRPPLVVVGYNSRYREDGVLCDILDLSGKVVRRVRAAGEMWVACVNADFLCTSKDISSRIRLLNMATGDVFALPEGLSEEHSGQRGIMDYISVSALGHVASTGEYKVLRVLDSASFDNPHQLHARWRGKKAPPTPVAMVRFKSVAVNGIVYFLGQDSPLEDIASFDLESEEWRSGLPGPHYQQLGTNEISMAVVNGSLVLIHRYLSCYMDLWFLVDFERGLWEKKHSITALLHDYTSTIRPLSVLNDGRIVLVHAGSKSGSLKIYNPKTNTLADVADLGLSFAPAMEHATRKPRPDPQAQVFFVQLPVPQDAGYEILLRLSAKDICRLRVVCRLWRSLFSDPQFIAAHAARHPKPPLVVAGYNAGRRDAVLCDIVDLSGKVVRGVRAAGEEWVTSVNVDFVCTSKGYSSCIRLFNMTAGDVFALPQGLSEEHAGELDVLDYISVSALGHVASTGDYKVLRVLDNDFFENPHQLCEVLTLDGSSHARWRGKKAPPTPVSMSRFKSVVVDSIVYFLGQDDPLVEDIASFDLEREEWRSGLPGPHYRQLITNEISMAVLNGSLVLVHRYLSCYVDLWFLVDFERGLWEKQHSIRALLCDYTSTIRPLLVLNDGRIVLVHAGNKSGSLKIYNPRTNTTYSQSTLCDIIDLSAGRVLKQVHARAPRLHLCFELEDLPIAQPLLRSPGCATYLRNWQKNTQQKNNRTPPVSVLMLLSGRPSRQGSATRPGSDAAVPLPSDAVYEVLLRVPGRDLCRFRVVCRPWRRLLSDPHFIAAHAARNPEPLIVAGYNSYFHPQNTLCDLIHISGRLVKRVHATRGEHERVISADHGFICTSRGVIRSVRLRSLVNGGLVCALPDGLAREHKAYQSRIHDASAAFGMVASTGEYKVLRVIDSSSSPGTPKQLYEVFTLDGGSSSHARWRATKAPPEPVSLRGSWRSAVINGIVYFFSGVFIAGQDNAPDRVASFDLETEEWRPSLREPPSAAV >Et_4B_039580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22647210:22651456:-1 gene:Et_4B_039580 transcript:Et_4B_039580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEKTAEDIRRELQELQRQHREITERLRDPRGIRRGAGPGPGPGGPRPPRGFARPAADSGDQPPQKKRLLSAVVKVGGADDKEEGAKDTETEGHAEDSGAAEGGDRRGASNGGFRRDGGQRMPRRVDYNSLPEPAPRELPKSEDQTLVMRNRRMLGQLLVGTLEKFQQENKKLSNSEAFLRRSETQRKAEQKVREESERLRQQEREQIAEKRKRDMMLRARVAAKAEEKRLELLYIQWTEHHKKLSNFLRTKAEPAIYYMPAKPLIDDPTIVEQNKEKTFEEWKSMRRAELTQFQKQVEEQYLSNVERQLERIQNARNARRGNVPANMQEMDKDLDTHRAEHGPKTRRIPEEGGNDDDEDVEDMAAEDELLDEVLGVNDGINEGPSKPAEEAVTDGGELAPEEAQ >Et_10B_003438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19802658:19806041:-1 gene:Et_10B_003438 transcript:Et_10B_003438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASHRQPKRCRFSPAPTPPPLDSLADELLFLVLDRVAAADPRALKSFALASRACHAAESRHRRVLRPLRAELLPAALARYPSATSLDLSLCARVTDAALAAVSAASPSSLRAVDLSRSSGFGAAGLAALAKACPDLVDLDLSNGVDLGDVAAAEVGRMRRLQRLSLSRCKPLTDMGLGCVAVGCPDLRELSLKWCLGLTDLGLKLLAHKCKKLRTLDLSYVMISRNSFPAIMKLPNLEVLTLVGCTGIDDDALGSIEKDCNKTLQVLDISQCQNATDAGVSSIVKSIPNLSELNLSYCCPLTLSKCSGVTDGELSFVVSRLKNLLKLDITCCRNITDVSLASITNSCTSLTSLRMESCAHVSSEGLRLIGKHCCHLEELDLTDNDLDDEGLKAIARCSKLSSLKVGICLKISDEGLTHIGKACPELRDIDLYRCGGISDDGVTQLAQGCPKLESINLSYCTDITDRSLMALSKCAKLNTLEIRGCPRVSSCGISEVAMGCRLLSKLDIKKCFEINDVGMLYISQFSHSLRQINLSYCSVTDIGLLSLSSICGLQNMTIIHLAGITPNGLIAALMVCGGLTKVKLNAAFKSMMPPHMLRNVEARGCVFQWINKPYKVELEPCDVWKQQSRDVLVR >Et_4B_039569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22282517:22284616:-1 gene:Et_4B_039569 transcript:Et_4B_039569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMWPWPGQGTTRTCAMVVSFLGTSSSTHERHELVHAPIGAAAGRDRRASLLPPLNRALAYGGRVLVHDRAHASLHLAAQEHPGGRVATRAHAGGPGALDVERVHGLVRPQAQRHHGHAEAERLQRRVPSAVRDEAAHGRVREHLQLRAPAHDQRGARRRRIVQEAARELRLFCFPDHPQEGLAGGEEAVGELLRLGGAEGREAAEGDVHHSVLGLGVQPFDAGIVRV >Et_2A_016745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27866469:27869628:1 gene:Et_2A_016745 transcript:Et_2A_016745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHCKQLLDPEDVDVVPAADRERGITPEEFRLVKIHMSFHIWRLAQQVKVRQRVIATSVTYFRRVYTRKSMTEYDPRLVAPTCLYLASKVEESTVQARLLVFYIKKMCASDEKYRFEIKDILEMEMKLLEALDYYLVVYHPYRPLLQLLQDAGITDLTQFAWGLVNDTYKMDLILIYPPYMIALACIYIASVLKDKDTTSWFEELRVDMNIVKNISMEILDFYDTYKFDPQRGGLPEDKISPVMNKLPAKA >Et_3A_024494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21274954:21277698:-1 gene:Et_3A_024494 transcript:Et_3A_024494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLRLHLCPRLRAFAASSRPLLSAHPGALPLRRAGPAMPLAARARRGFSSSLAAAPPAEDEAFATAADIRFEPPLQVVKYPDPILRARNKRINTFDDSLRALTDEMFDVMYKTDGIGLSAPQVGVNVQLMVFNPAGVKGEGEEIILVNPVVYKSSKRLIVFEEGCLSFPGIYANVVRPDNVKIEAQDVTGAKIKVKLSGLPARVFQHEFDHLQGILFFDRMTMDVLESIREDLENLEKKYEERTGLTRPETVEDFKGTKDVLSFSR >Et_10B_002483.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:10841588:10841662:1 gene:Et_10B_002483 transcript:Et_10B_002483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLILSRAPTSEHPAENLQVFRS >Et_9A_061430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11781715:11785421:-1 gene:Et_9A_061430 transcript:Et_9A_061430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRAGLILVCLLFVLVGDVAAVAETEIGDVRLVQEAPHRKVENADIQDGGKPVRVSVSTVAWSTLAMAVATGLGAVPFFFMELEAQWAGLCNGLAAGVMLAASFDLVQEGQVYGSGSWVVFGILSGGMFIWLCKKFLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAVSMLLSSRGVSPQKAMIWSIITSLPQPIVAVPAFLCADAFQKVLPFCTGFAAGCMVWIVIAEVLPDAFKEATPSQVASAGTLAVAFMETLSTVLQGFTDGQNSEDASGFLVSLVFGLGPLFGGVILVTFSLAFSMPHPLLTGVASGIAFRLAAWRPVQLLMSSKMGLFTTLFLLIGGSLVYHVATSSILRMVNRKRSSVNVIASSSGLSLSVLTLQSLLACGAVFLHAYAEGLVLGVAARKAYGLGRYMVLPASLHGLPRGAAVASCVYGATDSWRGALAAAALTGFAGPSAAISAILAKIDYDGLDYWMVIACGALIPSFGRIFRRSLRLDMRKSIVGLLIGIGFASLCLMSTRFICLHTPYCNSAPEAVT >Et_3A_023080.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:25265362:25267674:-1 gene:Et_3A_023080 transcript:Et_3A_023080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYDSVCLDATGIRNHHAVRTCMAAPASAAACPDDMILRQEVADRHRAGLHLEHLEREVLGVPPGRVGVAHRDGLHGVSVLAEHGHRVGPRRPRHVAREHGVRVRLRVARDEAAAGRRGLHGRHGVLLPRRAFPGDDGRDGPDVAGAEAGRGEVDHGLERRGAGLPLAAGGRRLAVAQRLRHPRRLDGVRAHREAGEDLGHLLGGERDGVGLRSREELGAGARGVGELEGHLVAGVEVLLLEEERHAGVVVELRLRRGVRVLERADGHVHGLLLARVDMDADTALANANRGAARLTVARLDIDANAALSNADRGAAGLAVARLDVHAHATSADADGGAAGLAVARIHVDTHAAFADADGGATRLAVARIHVHTHAAFADADGGATRLAVARIHVHTHAAFADADGRATGLSAARLDIDANAAALPDTDRGATGLAVARLDIDTNTALPNADRGAAGLAAARVHVDADSALAYVNRRAPGLTMPCVDMDTARSDGHGRAAGCAHAKKKCYACFWSTWLYWHVYRMPEAVVIPGVVRSWERELGMGVLGRADFQYCSGARAAWLPTDATCVQITSLQCIRIS >Et_3A_023641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10221713:10222213:1 gene:Et_3A_023641 transcript:Et_3A_023641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVSQCVAVGASGARPLVVGPDGGRSWPEDRTGVAELMIDAPGHVVARAADVARERRVRAMAADELLRAGEAYLLVPAARAGARLGDREVEAIGRLVSGKKKKTSRKGKTGGSKRVFPAVNADEEVAQVHGLGTRQWRPVLDTIYEA >Et_7A_052327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:729644:731410:1 gene:Et_7A_052327 transcript:Et_7A_052327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDKIDAYKERRLEAGLFYIRPARNNKGPFKVHSPLFGTPLKQALKRRRRQMKRGDILGSYNNGQSHHQALLLLLNI >Et_7B_054150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16138451:16151138:1 gene:Et_7B_054150 transcript:Et_7B_054150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDGKRRCWGPWQPNLVAGGGLHWLDVNMRPRPHTFVVPAAMSSGDHGAASRKPLRPPPSRSRRARGPRLTGGLASLCLRRIPDELANDIGARGALAVGPSAEVWPVEVGRDGEDAFLGRGWSEYAAACGVGVGWHLVLRHYSDRGVLTAKAFDSSGSPRELGIPIPPLPVEATMSSKGAPRRPQFIGLLPPDFMEKMPIPPDFVQRYLPKENLDIAVVLGPLDKKLWCIKLEMNQSDILFAEFLKFNEITKDNVLLLRYEANMVFTVKVFEPNGFQREYKQKDIRMQETVPEIEKQQEAQSASFQKGKSKSNRTSTVGQNKPNGSMASLTEASSQKKCTYEIGPPAWITKCINANALKKQLALPTSFCDAIGLRESCMITLKTSVNSAEDWKVHGVSCKKGSYLLVRGWLRFCWENNLKEGDACMFNVVETSLWHVVITRRKENINPSCNLTTESVVCLCYHVSTLTYIPKQQETPSASRGKCKNSKRRKISVNSMNKSPKMGCNYNIGPPAWVEKQMNNNTIKNRLCLPAAFCHAIGIRETCTVTLKTSLSNTMSWEVCIQPYKKSSHHVGPGWNRFCHENSLKVGDVCTIKIVETTLWHVRIPDELADNALVVGPFVEVGRDGDGAFLGRGWSEYAAACGVGGGWLLVLRHRGRGVLTVMAVGRRQRLHQFHMQVKVDSAKEILEIFLSSFEANMSSNDALRRPQFIGLLPPCSQPCFLTLLSIIVMLIPPEFVKRYMPNENLDNAVAVVLGPLGKVWRIKLKRNQSDVLFTDRWEQFLKFNGITED >Et_2B_020299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18843976:18851997:1 gene:Et_2B_020299 transcript:Et_2B_020299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVKWLMHWHPNPGATLNSQILLEACACAETLGGVKDGRWKTSIIFYRPTTRDGASTGGAGQQQQQHPDVPRELLGVALHERPGLYFSIVRAQRLVLQADAAFPQVMEKLQSYKARVALNFEGFQYQLGDFCLRIGKCVPNNSEALRGIMMEVEYYPLSSIEKSRAIMEDFFDIWQETVAKKSLPGHFIHVESNFSDYGLSDQYSFQHTAVQYAICLQQLMAALSSDTNLPLIPTKINYRQTEAEAAANSRPPYLQIGFSAVAREREPAARPDLADAEEQADAAEGEEQHVPELPPLPDPQAEADIHSAAGGARALEESEPEVLLVALMVVEAAGAAPGRLRGIRWRAPEAVAPRRARGADLELDLAGAALERAGPAGHRAGGHARAVQALVVVQVVRPAAATAARCPGGAAAAVHGLVPHAGCLCSKSKRPNSHWRSLALFFFSQFPRARDACGAAATIAA >Et_8A_057433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:298667:302580:1 gene:Et_8A_057433 transcript:Et_8A_057433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WKATRSSRWPVPSVVSPRGSGARENAERIQRHRLRRPQARCIADVRAEAGSTTFLAGTLSLKEENEVHLIRLSPAEGELVCDGLFYHPNEIWDLKSCPFDHRVFSTVYTSGEGYGASVWKIPELYGQSNSPQLEQLFTLEGHTGKIRRVLWWPVGKHDKLISIDDRNIFLWNIDTSNKSAKVVSQGSADMLPNLRGGAWDPHNHNSIAAISDSSLHLWDLRSMQKSSAIEHAHIRDVDYNPKKQNIIATAEDEFGIHLWDLRMLKHPLKDLAGHSHWTWALRHNPEHDELILRQEEPLLNSYTDYEDSIYGIAWSSHDPSLFASLSYDGRVVLESVKPYLQRK >Et_8A_056426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:153874:157606:1 gene:Et_8A_056426 transcript:Et_8A_056426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKAAQKKAAAAAKRGAKVPATKSSSSAAAADKRAATDGIAALNLSDRTCTGVLASHPLSRDIHLELNYGRRYGLLGLNGCGKSTLLKAIGCRELPIPEHMDIYHLTHEIEASDMSALQAVVSCDEERVKLEKEAEILAAQDDGGGDALDRVYERLEAIDASTAEKRAAEILFGLGFNKQMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVISHSQDFLNGVCTNIIHMQNKKLKFYTGNYDQYVQTRSELEENQMKQYRWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKILVFRFTNVGKLPPPVLQFVEVTFGYTSDNILYKKLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLVPLDGMVRRHNHLRIAQFHQHLAEKLDMDMSALEYMMKEYPGNEEERMRAAVGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAYRQPQLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAHEIWVCENQAVTRWEGDIMDFKEHLRSKADLTD >Et_4A_035712.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:4086992:4088233:-1 gene:Et_4A_035712 transcript:Et_4A_035712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSNAAASSSAGRAGGPPAWILLDTEALSDDDTQNTTTAMALTRSGEPIRVTLISAKPPRVSYFSVQCSVLKQYVDNAVSKWGRRPPGPSVDYAEGDLALLRVLDDYFIYRAGRRPSLRLLPGTCRDAEPHYYPGLVSIGDGEHFVVATLRTMAAKYELNIYRSDRGTWTSTPLELVTHVHLVSITKVVALGGGELGWVDLRQGILACDVVGESPKPRFIPLPKLLPWNQSGDRIRISYDRFRDVVVRADGSIWCAEMEESLKRVEVPDVSTEDVLYDSDLLHSTGQDDYHTPPEQFKYLGWRIITWNRTASSNCWRKGVLVHVDDIVADDALLRQITGADDARLTVMRHLAADVPTLGLGGGDVVYFMCKAEQYDTKTWVAAIDMGKKTLEEVAPIFGHESMYFSKKMYPL >Et_3A_025713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32028389:32031109:-1 gene:Et_3A_025713 transcript:Et_3A_025713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRSPPPAAAAEFEISRQSRIFAALSKKVIDLDELKMLSAQGVPDGAGVRSTVWKLLLGYLPNDRSLWEGELAKKRSQYAAFKDEFLSNPAETARRVDSQASRTENAEHIHNGLLQRSEVTQEEHPLSLGKASAWNQYFEYSEIMEQIDRDVKRTHPDMHFFCGDSSFAKSNQESLKNVLLIFAKLNAGIRYVQGMNEIVAPLFFVFRSDPDDKNANFAEADSFFCFVELLSGFRDNFCQKLDNSSVGIKGTLSKLSQLLAKYDGELQQHLEVTTEVNPQFYAFRWITLLLTQEFNFADTIHIWDTLLSDPDGPQETLLRICCAMLILVRKRLLAGDFTSNLKLLQNYPPTNISHLLYVANKLH >Et_4B_038081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26064202:26068702:-1 gene:Et_4B_038081 transcript:Et_4B_038081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDAKLKWSIIAAQLPGRTDNDIKNYWNTRLKKKLFGKQSRKDQRQQQQFMRQATASDGVKQEASGDANGSSAMAAAAYNWHQQAMALPVQPMSGTVMEGHRPGDEVDESIRKLLYKLGGSPFAALQQCVPPTMYEGSPSFVQPSCPVDSAPLHEGGVQCSSSLPALELDQNFHFNQVKLDGLDCFFGMGDQSMKWSEVNTLLACPNNTMASSSQGMQQYGLVDEPSNLGTKSSIEGNIKIGWLLKLLWAENS >Et_6B_048817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13994373:13997036:1 gene:Et_6B_048817 transcript:Et_6B_048817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGAIPSSFGMLSSLSKVNLGTNNLSGMIPASLWNISSLRWVCVQQNVLSGTIPPRAFDNVPNLQLLYMDGNRFHGPIPISISNASDMTFLQLGKNFFSGVVPPEVGRLRNLTFLYLPQTLFEAREPKDWEFVTALTNCSRLQFLSLARSKFRGVLPDSLSNLSSSLSTIVLEENSISGRIPKDIGNLINMQTLLLDMNFFTGSLPSSLYKLKKLVLLDVHQNKITGPVPLAIGNLTGLNYLGLSANHFIGTIPNTIGNLTKLFELRLSYNYLTGSIPSAIFNIPTLSTILDLSHNNLEGSLPKEIGNLKNLVEFHAESNKLSGQIPPTIGECQLLQFLFLQNNTLIGIIPLPLSQLKPLEALDLSSNNLLGEIPTTLGNLTMLHYLNLSFNNLFGEVPNFGVFANTTAISIKGNGKLCGGIPDLQLPKCYIQLPKRKHKFLVMPIVISLVTILVILPLTCKLLIWHKERKAKTPQTQSMQGHPLISYSQLVRATDGFSDTNLLGSGSFGSVYKGELNGQEGESIHLVSVKVLKLQAPKALKSFTAECQALRNMRHRNLVKIVTICSGADNRGNDFKAIVYDLMPNGSLEGWLHLDINDKAEQRDLNLLERVTILLDVAYALDYLHCHGPEPVVHCDLKSSNVLLDANMVAHVGDFGLAKVLLKESSLLQQSASSMGLRGTIGYAAPEYGAGNMVSTHGDVYSYGILVLETVTGKRPTDNTFRQGLSLREYVDLALQNRVMDAVDTRLSLDLENELPTKVDSSYKKKIDSIVSLLELGTSCTQELPSSRMPTGVIIKELLAIKDSLMGEHRT >Et_2B_020036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16274552:16277777:-1 gene:Et_2B_020036 transcript:Et_2B_020036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTKRPLGAVMAWVRRQPPKVKAFLAVVTGMAALVFIRFIVHDHDNLFVAAEAAHALGIGVLIYKLTKERTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTILDTATLVATLFVIYMIRFKLRSTYMVDKDNFALYYVVVPCAALALLIHPSTSHNIVNRICWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSLVGGQLVLRLPSGVV >Et_2B_020327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1959194:1960855:-1 gene:Et_2B_020327 transcript:Et_2B_020327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDLARRVAAFLAVPLPPPPQKEQLSSVAAAVLDAGGRLGRAVGDVFRRLRIDDTFYSLALTRRPLNSVKNGYRISAAGKDGVATGGDPLAAAASGRFARSQGSMNLSATYDSRKNDVESSVVARGGLWRAEASHSSGGGSAPTSAGDGASLFLVQLGPVLFVRDTTLLFPVHLSKRHLIWYGFERKNGVHSVCPAYWSAQRRCFFMSMICLNPFACSFMDMQFPNGQLRYVAGDGFTARAFRPLCGGILQGNGKFPGEKRLSFSFKNRSGGSVVPTVQWPDKSLSLGLVQALSWRRSGLMLQPATQIRQFTRTREICSPIHGHCLTENVVRSDGQHMPYDGGRIAGLCMELIHSVNENAGVVCGYSHTASPSAYASISVGRSKLNGGATRSGLVLKVEAPLHNFGRPWFSVQMNSGIEF >Et_6B_048329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12692405:12693304:1 gene:Et_6B_048329 transcript:Et_6B_048329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLLACHLALALALLTASLAHFLLAAASHLSPSSLHHPLLRALRHPILRLLPPLLALPLPFLPIAAAASDVLPLLALPPLLLLLPLPFLPPHGLALLLRPLLLSLPLLLLARAATLLAASFPSSDPLAAPRTPAHFAAEAALACAGAVGGLWAAQAGLSLYVDACVPAGCHRLIDATAAPATRCDVEEARLRAVAVMDLALSVHCVVVAAVAAGVCFAVARCCGVDGGAVTSSGAMAEMEHLPVKNVGGKSVAQE >Et_5A_042344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:943972:947090:1 gene:Et_5A_042344 transcript:Et_5A_042344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDNLCRHCVLNSLSAFHYSISFSINIFMLSCPTLQLAPFDPTKKKKKKKVVIQDPSDEVDKLAEKTETLAVSEPAELNFSGMKKKKKKQVDLDSTMADLGDGEDAQDDRALEEEQGEGIVLGGGPTYPWEGTDRDYKYEELLDRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVAQVGRRKA >Et_5B_045553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4304821:4305741:-1 gene:Et_5B_045553 transcript:Et_5B_045553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKEENDVVVAAERWADLSNRLTENKVAFYKTILEPDYGNVAIKEENMEYYPGGPDRCRALNAFMREQVRGFKEHAAARIKEYEENGYLEGFPETLIDPDRWCQKKSGEREAGV >Et_6A_046967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23378070:23379270:-1 gene:Et_6A_046967 transcript:Et_6A_046967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFFRRLAGVPWGTFAGEAFSRTFLVVKAFCVIHVINHHVCSLSILQGPSMLPTMNLAGDVVAVDKVSVRRGKVGPGDVVLMISPEDPRKLVAKRVHGMEGDTVSYFVDPGNSSASKTVVLI >Et_6A_047496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6554938:6556755:1 gene:Et_6A_047496 transcript:Et_6A_047496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAAPPVAASSPPWMDLPPDLLGYISGRLHNPTIYVRFHAVCRSWRDSLPPPERRPAFLPWLVAPRDAAGNRKARCVFSSFKLSPHRAAAATEICVPDRRWVTSTEDGTATCWLQANSNSESSGLIDDLLTGSGAVIPLPRFEEEIESWEKSALGVASGDGTIIVYAYGRHRLRHRPSASWRQRGVDAGANESMYAPDGEWRRCCVAYHHGRIIVSNLHRWGIVPTEKATSDDQDWKCMPEEPGKVFVSSHLVESGEELLWVFVQATQDFYYRHVRGHGVGDIEDLARALSVSVFALDDTDGGKPQWVKRDGWSLSNRILFLGRPSSFSMDAARFGMSGRGCAYFFDRREVYGGIWSKSPVFRCRVFKYNFVDGTCEFVEQLPEEWADKRCTWLTPRRTIASTEEIRERLQTHKKEADPQPQFGAHYFRIYVGNLPRKVDSYQLRQLFSKHGNIHDVRVMRDRRTGRSRGFGFITMATATDEETADAIAKLDGQMFCDQWVKE >Et_3B_028579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1756283:1761582:-1 gene:Et_3B_028579 transcript:Et_3B_028579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETQAPAPAASTERKPRRLRGHKKGAVTCCIASSARPGVVASSGEDGCLCWFDLRTKDVELTIEATDKPISSICFKPENEDFVYVSAENEILSFDVRMGSQSKPLQTYNYNRDEINQIAISSKGFLAAADDSGDVKIINTIQKCLYKRLREAHTRSPELQNGSSSSSAGQCFNPAFVHSIAVSEESILGGLHKVCAVARGDGVVDVVDLEHELAPAKSKGPSRAAGSTSSKGINLGDGSSNQSHVKRIHLDYAMGGHTAAVSCVAFSAFGEKGKFLVSGGNDASVKLWDWSKGFSSETNSNSESVLDINVKKKVNWLCTTPTDSDNLIVCDTSKVIKKPQTTKLSLLEDKRSSSTLVEQEEGRNGNNAPAALPNFSQTIATDLLPRIIYSSQSNCTSKCSDRKLTEIVALNLVKFAGLLPLCSPRQSSPRLCCATIIRSEANGVASPIAVRKYSKEELIAFFRDIQTSIAETSPKTSRRTRNQSSKPFEELVKRKQSYGEGDGGTSDVSEEQRKKTSLDDMTVSELRELAKARRMRGYSKLKKGELIDRLKGVS >Et_3B_028363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14020550:14022697:1 gene:Et_3B_028363 transcript:Et_3B_028363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDTGLSARAAGASSSPSSTSAAGYEDDESKTRKAHPALVAAAYARLRSSHRATVSLLLLLTVAVAAYLAGRARPGVDCAPPRLDARFLALPDAAAASDFGSLGVPWCRSKTGRTVEWTWKDLLNGLEKFVPIYETRPIKNNMYGMGFDHSFGLWFMARWLKPDLMIESGAFKGHSTWVLRQAMPNTRIISLSPRHPEKYLKKGPAYVDENCTYLAGKNFVDFGSVDWGRVLRNHGISDPSKVLVFFDDHQSELKRLKQALKAGFRHLIFEDNYDTGTGDHYSLRQICDQSYIRGGGHSCFWDSDEARLRLKRKKFWEKAVEIHDLCGNDDAWWGVKGYMRDNFNHSNKAISYNEHFENGRFVESVMDLYWELPPVAGPSLTHQTRYDPARTADPIIEDGRYGLFRRIGLARLDASVFNGYTQMAYVLISGSMLSTDDA >Et_3B_027719.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:15362655:15362843:1 gene:Et_3B_027719 transcript:Et_3B_027719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAETTVLHAATGEIKPSEPSMNSAAATSDNKLYVAPRWTLFIPPRLPPNKMRVRRATTAP >Et_5B_044768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5654721:5656784:1 gene:Et_5B_044768 transcript:Et_5B_044768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENLRKNRDRPEALQAGKRERLMGRSQASYAGEEEDEKMDPASNDEDLQARKYRENWINIWGSLGQFEDTNSHPLPSDAVPRTTVQVFSAEISGLSGGLQFPLSVYGVIAARDCADRKRNPLKDDCQTITEEDPYLLLTGPTRAILLLDPVFIEVMLKVKGAVECEDKTLNFQVIECIEIITCCSRMIYGSYTSKLSTLKLTLGSMDSSVEATLSIRVLNGSVPSAFSVIASTRSREETDCGVLLLGSRDTILPLSDDGRIKLSRYVVSVPVKGDLVISLATSEPSLKN >Et_1B_014007.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27133298:27133639:-1 gene:Et_1B_014007 transcript:Et_1B_014007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGAVEALALVPEDVVRSVTSAGRELLDMGATRLVIPGNFPLGCAGLRTWPRRTTKIRPRPKPTAARRPEPAASCRCMQEGIRELRAATIPYADYFYAYVQMLRGAGETGFG >Et_9B_064615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1757164:1759087:-1 gene:Et_9B_064615 transcript:Et_9B_064615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGRRVVRLLAAVGLAALLCSCVTISPCDGQQPDYRAALESSLLYFEGQRSGKLPPDQRVTWRGDSALADGSDHGVDLTGGYYDSGDNVKFGLPLAFTVTMLAWGAVEHDGALAAAGELDHALAAVRWGADYLARAHAGDEVLFVQVGDGDSDHSCWQRPEDMDTPRTAYAVDAARPGSDVAAETAAALAAGAVAFRQRDATYGAALLQHAEKLFSFATNHRGLYQNSVPWVRAFYGSSGDEDELLWSAAWLYVATGGDAYKAYIAGHCGAQQSFSWDNKFLILEGKLPNEGTAAESKSNLEQFLCNVVQRGGGNIKLTPGGMLWWQSWDNLQFVTAATFVLVAHADHLAAAGATLQCGGGGAALPPADLLAFARQQVDYILGVNPSKTSYMVGQGAAFPTKVHHRGASLPCIKSDPAKITCKGGFDYLHKDTPNPNVIAGAIGRIKNDQYNDNRENYQQAEPSTVTVAPIVGVLAGLLQN >Et_2B_022267.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:16321619:16322092:1 gene:Et_2B_022267 transcript:Et_2B_022267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSTGATGKVKKGAAGRKAGGPRKKSVSRSVKAGLQFPVSRIGRYLKKNRYAQRIGSGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAIRNDEELGRLLAGVTIAHGGVLPNINPVLLPKKAATAEKAAKEPKSPKKAAKSPKKA >Et_4A_031769.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:12660298:12662098:-1 gene:Et_4A_031769 transcript:Et_4A_031769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLSSEVKWWEEWQLRILVLGSLSLQFALFIGSMARHARALKSCMWLAYLGSDALAIYALSTLFNRHKFPAAFSTAIQLEVLWAPVLLGHLGGINTFTAYSVEDNELWGRHLVTLVSQVTVAIYVFWRSWSSGDRRLLQAAILLFIVGILKFIQKIWALKAASFSSLMASSSSVANRQRRAKGIIHMLFTSSVIMSDHSVKNAVSLREEEEHDLSLEDYIKEARKLALTVKVDPNFKITEPLHPMDKSFLDMSAPYSRRLKNMSSFLKLNSKGIDAGLRNQLVNSFVLIYTNAKLLFTIPGFLLVFLFPFMSLAAAVLFDQSHKDGYKEDDVRVTYILLWGTTVLDFFPFLTCPCLLGTFIWSIKAAQHNILSFSARKSNPTKLMRVSVVTCIKDYINKHWYIQHESGDVCLAVIESVVKHLRDGWKQFIADAACYKRFNNFRGQWAMSRHHVHDQRLLWSLQVPFDQSVLIWHIATELCLHHPKRSSSPTSRRCSEVISNYMIYLLLLRPEMLMPGTRQGLFTTTSDDIEIMLKYANEPPSSDKRSVTQRILCAAKSPQPYADRIGTWILKACKLAEDLMEVLANEEE >Et_2B_019044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26994643:26996727:-1 gene:Et_2B_019044 transcript:Et_2B_019044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDNGNLQFVGANGTAVVWESFQHPTDTLLPGQLMRPGTHLQSRATDMDVSLPGRFSFVVQNDGNIVLYMTDLPGEGPANAYWSTGTCCVDDGKTTLFFDAESAGHLYYVLTDGSKRNLTTPQLLHSTTRFYQHARLDPDGIFRVYVIPKNTTGNVKWDVVDMFPRDGCKTLTNNLHGMCGPNSYCMYRTDNKRLDCECPSGYVLFDAQFRHKGCIPAFLQDSCDGKSHASEFKLVELLNTNWASSIYFKRHQSITEEDCRDLCLNNCLCAAALFDGNSCSEASMLTTGWQTNDTAMRTLVKVRTKRPPLRILPYVELYRATNGFSKLLGQGGFGKVYHGIVKSLQPADVAVKELKSGDEYTETEFENEVQSIGRIHHKNLVRMVGYCKEGAHRMLVFEFMPRGSLDDFLFRSSERPPWSWRAEAAVSIARGLEYLHYGCTTQIIHCDIKPDNILLDDKYAPKITDFGIARLLGDNKVKQTITHVRGTVGYLAPEWFISSEGKVDNKVDVFSFGVMLLEMICCKRVPDLQDRMRCDDAGGRVGSGNNDDNDLGVPVTLRAWVEDMLRGGNTERIVQGDGDALQDLPRVERFARIAIWCVQVDPSTRPTMRSVVWMMEGTAAVAPLPDPPRARDDFSAILSATSDSCDHSSVE >Et_1A_009264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3601945:3602470:1 gene:Et_1A_009264 transcript:Et_1A_009264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAACDKDAAARDELVAGHADSEECTCVIARGANPRTTHIMGGETMEVRARVACLAWAERWCSLSSPSPTGRQVRRRRRKRASRPAAAAAARCGCRRTGTSSSTSDNECREGYIEEEIEDAQDVVTMDHGSSTTFFLGEYW >Et_7B_055913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7291661:7292856:-1 gene:Et_7B_055913 transcript:Et_7B_055913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCMQVAWLDGKQAIAEQNNFIFSPLCLRAGLALLAAGTHGPTLRQLLDFLGSEHTSHLDAASARLHASIRAWPQVSFAAGVFVDRTIHLTPEFESTAVTAHAAVARPVDFTNRPAAAAAELNGFIERATAGRIRNVVSPDGTGSGAAPSGMHFKATWARRFDPEDTARGVFHRGGGSSVRVPFLSDPGMQYAESFDGPGLGFKVLQCFYKMTGRDGRLDPRAPCFCMLVFLPHDARDGGLARLLRLAVTEPDFVMRCVPRRQQVVRPCKVPKFRFRFDFDARAALRGLGLAAPFDPRDADLSGMVADAPPEGLYVSAVGMACAVEVDEEGTTAVAAMYSHTRTSPTYSPDPTPPPPPMSFVADHPFMFAVVEYEKGDVLFLGHVMDPSKET >Et_1B_010020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18639053:18639285:-1 gene:Et_1B_010020 transcript:Et_1B_010020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAHQSFNNRIFSQVLIVACWTIWCHKNSIMEKVEFKKEFSLVALTAKPSLKLLLDAWLCNIPV >Et_3B_030659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6014925:6016926:1 gene:Et_3B_030659 transcript:Et_3B_030659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKRELSSTLKNLKFMQRATASQKVQEKTEVEVETAAEVVTATSGGFGSSAQVARKCIVIMEGNPHPGAVKGRMSFQNFNPEIDKLNGDTRDDDQTESASPSSCDHDGAKSGRREASRFGDLDTSESISLNELKRKQPELEMETPPSHKLPKTTGRDVNGGSSLRSNGRRSHKSNKREKYDFNHLRR >Et_10B_003320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18845284:18876032:1 gene:Et_10B_003320 transcript:Et_10B_003320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSPAPPPPPSAPAKVYYDGCPGCAMERKKESRKGVPYKELVFVGVTTLASCASYMIGRGFASIFWGMVADRIGRKPVIAFSIFSVIILNTLFGLSVKYWMAITTRLLLGALNGMLAPIKAYSIEVCRAEQQALGLSIVSTAWGLGVIIGPAIGGYLAQPVKQYPHLFHEKSAFGRFPYLLPCLCISLFATLVFIICAWLPETLHKHKGLEGVVETAEGANTQESRELPKESLFKNWPLMSSILTYCVFSLHDTAYSEIFSLWTVSDRKFGGLSFSSKDVGQVLTVAGVSLLVYQLFVYRWVDKILGPIYSARVAAALSIPIIAAYPFMTHLSGLKLGVAMYCAAMIKSVLAITITTGTSLLQNNAVPQEQRGAANGIATTAMSMFKAVAPAAAGVIFSWAQKRQHAAFFPGDHMVFLLLNFTEVIGLMLTFKPFLAVPQHFANHVSLPFHVRDFHVAKTEEDIGFYAGFLAASYMIGRGFAAIFWGIVTDRIGRKPVIAFSIFSVAIFNTLFGLSTTYWMAIAIRFVLGALNGLLAPIKAYCVEVCQSEHQALGLSIVNTAWGLGVIVGPTLGGYLAQPADKYRHMFSMKSIFGRFPYLLPCLSVSSFAAIVLIGCTWLPILSLWAVSDRKYGGLSFSTEDIGEVLGMAGASLLVYQLIIYRWVHKMLGTINSSRIASALSILVLAPYPFMTYLHGVKLSFVLYSAVMMKSALAITVTTGICLLLNNSVGQEQRGTANGVSTTAMSFFRATALIGAGALLSWAQKRQDATFLPGDQVVFAVLILLQLLGLISTFKPFLQMEMGSDEAPLLLPVPAVEGCPGCVLERRKAHGDRRIPYREFLLVGVTTLASALPISCFYPFLYFMLHHTWSAEALLQSFGVLSQIVLGGSLVIFNTLFGLSTTYWMAIGTRIVMGSLNGLLGPMKAYCVEVCHTEHQALGLSVVNTSWGLGLIVGPALGGYLAQPTEKYPQIFSKDCVFSRFPYFLPCLVISLFAAIVLIGCRWLPETIHKHKFSVNDTKIVKALSPVDEGNWDSPCKKNLLQNRSWMSTMIPYCLFGLHETAYRASLLVSQLIIYPWVHKILGTVNSSRIASAAYIPVLATYPFMTYLYGVKLSVTLYSVAMLKSALSITAITGICLLQNNAVCQEQRGTANGISTTAMSFFKAIAPIGAGALFPYLLPCLSVSSLSAVVPVSCTWLPEISIVNAKSSKECSWDSPRKKSLLQNRPWMSTVLPYCIFGLHDTAYSEILSLWAVSDRKYGGLSFSTEDIGELLIYHWVHKVLGTINSSRIASAISILVLATYPFMTYLTGIKLSLALCSAAMMKSVLAITAITGISLLQNNAVCQEQRGTANGISTTAMSFFKANAPIGAGAIFSWAQKRQDVAFLPGDQLVFVMLNLGELLGLISTFKPFLELARMGEEAPPSPPATMLYIDGCPGCAMERRKQMNKSIPYKELFFVAITSIATALPISALFPFLYFMIEDLQVAKKKEDIGLYAGFLGASYFVGRFFASFFWGVVADRIGRKPIILFSVFSVVIFNTLFGLSVKYWMAITTRLILGALNGMLAPIKAYSIEVCLPEHQALGLSIVSTGWGVGLVLGPSIGGYFARPALQYPNIFSEKSVFGRFPYLLPCLTISVFSIMVLVSCIWLPETLHKHKKIDNEIEMSSDPRTLPTEDAHPNKSLYKNRPLISSIIAYSIFTLHDTAYSEIFSLWAVSDKKYGGLSFSSKEVGQILAISGAGLLVYQLFFYRSVHKILGSVNSCRISSVLSIPLLAAFPFMTHLSRFRLGLAIYPATIAKGVLGPQSQRGAANGISTTAMSFFKAIGPAGAGILFSWGQKRQDAAFFPGDQIIFFILNVVQFIGLALMFKPFLAIPEYYGLKQC >Et_2A_018498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30003412:30004738:1 gene:Et_2A_018498 transcript:Et_2A_018498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVATALLVLALLATTMAVAYGPEAPALLLFRAPLQGPNGPPEPLYQWGRTYEPCSGGNSLWYGVRCNEKGQVRVLQLERLGLQGPAPNLLTMLAPLAGLRSLSLANNNLTGAFPDVAALPRLRMLYLSQNRLAGRIPDDALATMRGLQKLYLSGNAFTGATPSSVTLSPGLLELQLSNNGFQGALPDFSGQMGLKLVDFSNNHLSGPIPAGLRRFDASAFRGHRMQNSSSTALCGLYFSKAQEFSLRILSSSSGSKSFSIPNIVLISSGDLSLILSAIVWEARPSSFFTLR >Et_9A_061574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13964755:13972062:-1 gene:Et_9A_061574 transcript:Et_9A_061574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATGAMNTLIPKLVELVVGEYMLQMGVKEEIRELEKELTSMDAALHKVSEVPTDQLDRQVKIWASDVRELSYDIEDAVDTFMLRIKRHEHLVGIEGPMAELTEKLLDEGSSSGQHPKIISIVGFGGLGKTTLANALLRDLKSKFDCHVFVSVSLNPDIKMVLKNILSQLDEKMYINDAWDLMQLINMVIKFLEDKRCLCVIDDVWNEAAWDTIKLAFQDAKHGTKIIITTRNKVVAEHAYSDVYELKPLSNDDSRKLFNRRIFGTKDGCPPGLREVSEKILKKCAGVPLAIITTASLLASKSINLVEWEKVNDSIGSGIEKSRDVDKMKDILMLSYNDLPFHLKTCLLYLGLYPEDKEIERDTLVSSWIAEGFITEKPRSAGTTLREIGNCYFGELINRSLIQPAMYYGRGLDASVRACQVHDMVLELLNQLSVENGFATRLLLNGKQVDPHAFDTEKKTMRRISLHDFNKSYAAREVSEQWSKLRSLIIFGKVDSIPHLSSFHVLRVLQLDNCTDLDETYFDDLGKLRHLRFLRLVDCSRVPESIGKLESLEILELEITARNFMHKLILPMSFVKLQKLVRLVVRGDVILPDGLPLGVMKSLQELIGICIHSAEVIKEIGDLKELRVLRTRLRGGIVPESIFTCLHKCTNFKELNITKMEGPSWSLDSEPRVPSGLQRFVYHGTPMTGFPRWINSSMLPFLTTLAIKLSEALQPQHLEKLAELPSLRFLLLWLWRYPGLQQDLIISSAGFRFLRHLHILVSSVVYVFQPGAMPELQRLCLYFAVVGQELVIPSGLENLQSLRHVIIDDPEAETVLREALTENPNRPIVQYMP >Et_6B_048440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4794951:4795559:1 gene:Et_6B_048440 transcript:Et_6B_048440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGGNSVQDRTDAYFAPTSVDSWVANAVSSVHFAADEATFVECIGQLLTRLKARAPRLTTSIAPFERGDVQRYYQALWRSKYSAAIDYVNFQFYGYGANTDVKTYVMFYDNQTANYPGAKILASLETNQDPGLLTPDQGIDAAKELQRENKLPGFFIWCADNSKKSSYKFKYETLAQDIVANH >Et_10A_000333.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:23422134:23422478:1 gene:Et_10A_000333 transcript:Et_10A_000333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSFLLATVVVFAAASAAAAETSICPWRTVAHPEDPSVQNLGRWAVEQQHTALSFDKVESANNINRIYELIIDASNRAGAGNGKYQAVVTVINRTEPQKVFSFGAVSPPLAN >Et_8B_060681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4817038:4821407:-1 gene:Et_8B_060681 transcript:Et_8B_060681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVEPRQFGRLEPGSAQVRVAGSNGAKAYPPPPSHIPRRSDSPVRGCGFPPLVSPPGRRPDDSNYSDEEDDEQEDWRELYGSQLQLEVEPAVHDQRDEGTADAWIERNPSLIRLTGKHPLNCEPPLARLMHHGFITPAPLHYVRNHGAVPRGDWSTWAVEVTGLVKRPARITMDELARDFPAVELPVTLACAGNRRKEQNMVQQTVGFNWGPAGVSTSVWRGARLRDVLRRCGIMPRKGGALNVCFEGAEDLPGGGGSKYGTSVTREWALDPSRDIMLAYMQNGEPLLPDHGFPVRVIIPGCIGGRMVKWLKRIIVTPAESDNYYHYKDNRVLPSHVDAELANAQAWWYKPEYIINELNINSIITTPGHDEILPINAITTQRGYTMKGYAYSGGGKKVTRVEVTLDGGETWLVCALDHPEKPNKYGKYWCWCFWSVEVEVLDLLGAKEIAVRAWDQSLNTQPEKLIWNLMGMMNNCWFKVKVNVCRPHKGEIGLVFEHPTQPGNQAGGWMARQKHLETAEAAAPGLKRSTSTPFLNTTDGSKQFTMSEVRKHASQESAWIVVHGHVYDCTKFLKDHPGGADSILINAGTDCTEEFDAIHSDKAKALLDTYRIGELITTGTGYSSDNSVHAIREAVRAPAPVALSNPRDKIRCRLVDKKTLSRDHIFVCATIDGKLCMRAYTPTSMVDEIGHFDLLVKVYFKNEHPKFPNGGLMTQHLESLPLGSYIDVKGPLGHVEYTGRGNFVINGKQRHARRLAMIAGGSGITPMYQVIQAVLRDQPEDQTEMHLVYANRTEDDILLRDELDRWAADYPDRLKVWYVVDQVKRPEDGWKYSVGFVTEAILRDNVPEGGDDTLALACGPPPMIQFAIKPNLEKLKYDIENSFIAF >Et_1A_005689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12860329:12862935:-1 gene:Et_1A_005689 transcript:Et_1A_005689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCRELALQLLPASAPSRATAASRARGEPPQDAVLKAISQIASSKGRVAQTTNVIMGGTVTDDATDEWLVLDKKVNSYPTVRGFTAIGTGGDDFVQSMVVAVESVIQERIPEGQISQKISSKGKYISVKIGPIRVVSSEQVQAVYNAMKKDERMKFFL >Et_9A_060971.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22371355:22371654:-1 gene:Et_9A_060971 transcript:Et_9A_060971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFLSGALLVTIALAVSDARRLAGQAARVADAAAASLRLARAIAVCTVLWAAVRVVLVVRSNISALALRVRGGAVDRGLLYSVALTVWCQAERFPVVA >Et_4A_034729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5912855:5918420:1 gene:Et_4A_034729 transcript:Et_4A_034729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEARGADFPVGMKVLVVDDDPTCLLVLKKMLDECKYDVTTCPQATRALSMLRENRRGFDVIISDVHMPDMDGFRLLEQVGLEMDLPVISSLDDTDRNRLTNNDNEYASSANDVGDGSWKSQKKKRDKEEDEGDENGDPSSTSKKPRVVWSVELHQQFVNAVNHLGIDKAVPKKILELMNVPGLTRENVASHLQKFRLYLKRIAQHHAGMAHPFVPSASNAKVAPLGGLELQALAASGQIPPQALAALQDELLGRPTSSLALPGRDQSSLRLAAIKGNKPHGEREIAFGQPIYKCQNNAYGAFPQSSPTMGGMPSFAGWPSNKHGMTDSGNTLGSLNNSQNSTMLLQELQQQPDSLLSGTLHSIDVKPSGIVMPSQSLNTFPASDGLSPHQNPLVISPQSSSFLGAVPPMKHEPLMTTSQQSSSLLGGIDLVNQASTSQPLINTHGGNLAGLMNRNSNVMSSQGMTNFQSGNNPYVANQNAVGVSSKPPGVLKTESTDSLRNSYGYIGGNTSMDSGLLSQSKNPQYGLLQSTDDVSGGWSLQNIDSYGSPLGPGQSGSNVALGKLPDQGRGRNHGFVGKGTCIPSRFAVDEIESPTNNLSHSIGSSGDLGNPDIFGFSGQISSAWFNLSATELASQSPSRSSDASCRASICARCLSAG >Et_2A_017008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30081654:30085267:1 gene:Et_2A_017008 transcript:Et_2A_017008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALSRTAGLARRLGAQAEPQLGGILGRRHSHTRRRVAEDTEAEAVGPSKGADGAAAADGSAMARRMEEAIDGAMARMAEPDWAPFRPGTSYFAPPRPAGAARGILALLGNGGGMDLAPLRRGLSVDEVRAVASASRGYPCSTYFIDGHFPDEGESSKLDADQVQATDPKDPHTAVFLFCCVPGIRQDASQYTWSKQITMMSRLQRLML >Et_8A_056873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18384173:18387282:1 gene:Et_8A_056873 transcript:Et_8A_056873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPSPDAAPGASSSAGAAPAAAAAAEALAAGFATLSLEERFAILRGIGEECIQEDELMRLLQNKPVPICYDGFEPSGRMHIAQGIVKTINVNKMIKAGCKVKIWIADWFAQLNNKMGGDLKKIQTVGRYMIEIWKAAGMNLDGVEFLWSSEEINKRANEYWPLVMDIARKNNVKRITRCCTIMGRSDQEELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNMLAREYCDDIKRKNKPIILSHHMLPGFKEGQEKMSKSDPTSAIFMEDDEAQVNVKIKQAFCPPKIIEGNPCLEYIKYIVFPWFGKFEVARKESNGGNKTFANMDELIADYESGALHPADVKPALAKSINEILQPVRDHFNNNNEAKVLVNTVKVHSPPPPPPKL >Et_8B_059880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3751482:3753686:1 gene:Et_8B_059880 transcript:Et_8B_059880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLPIRRIENNTNRQVTFSKRRNGLIKKAYELSVLCDIDVALLMFSPSGRLSHFSGRHGVEDVVLRYMNLPEHDRGDMLQRLKREGDTAVQLANPGALNEKIEEIQQEIYSCQQQLHISEERLRFFEPDPAMFGSTSEIDGSEKILMDVLARVVERKNYLLSNHMAPFEATAPGIKAEDAAEMFVHPERVEGMGTFAGDAALWAADEGSSPGHQMFGSSDPMIYLRHTFAAAACAAFTLVSSRLFCFRDQDVYDATSQVAGLHGEPSCAGAGAGAGEAEADAWREEYTCSQLLSTLIPTTPFPLMQVSSDRGPILFPSSGAPPVVPAIELTLLLLLLQHCLGLGPDDQFLPMQESGMAAAAQEQAEASASCSYVPSDDAGTPVMAYDSTVAPANVPAADHA >Et_9B_065806.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:13220705:13222723:-1 gene:Et_9B_065806 transcript:Et_9B_065806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPENKLRAFIQSNEKSKWRPISNHNIINFTEDEIKRITNYYSTPIGKGGFGQVYLGAFGDGTLVAVKKLKKSICQNLKEGFAKEITVHCQINHKNVVRLLGYCTDDNALMIVTEYVSKGNLGDLLHGSDHPISLDARLGIAIECADALGYMHSSMYQSIIHGDIKPDNILLDSKLHVKLSDFGLSRLLSADRTHQYTMNVAGSRGYMDPEYIETGILDPKSDVYSFGVVLLELITRAKVSECGFSTGLKRKFTDALKKGKQEVRKMFDTKIANERNMVIIDEIGTLVAECFSKDIKERPEMKDVQGSLQVLRKALHRSQAQGKIGQGVTQDTSQNFNKHGASIPSSSSTSTVTYKLSMLDVFNTDALRCKRNFDRNAGPILERTCTLNIFTKRGIEEITRNYSAVIGEGFHSLVYLGLTDDGTQVVVKASGIVDKDRTDDFVNTVQSISRISHKNIVRLLGCCLETEFPLFVYEFASRGSLYNILHGTEKSCLPLDSRLDIAIGSARALAYLHSVAPTKIVHGDVKSANILLDDNLVPKVSYIFLLGLSQLAAYPSVTWDITKGDVYSFGVVLLELITRKRARYGDNNDRHLSIEYTDVWNKGNSGKAMFDKEIAFEGNIFILEGMGKLAIECLKKHPDERPEMAEVVDRLQELKRDRREERGGELPRRR >Et_7A_052876.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:22656524:22657306:1 gene:Et_7A_052876 transcript:Et_7A_052876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRPALPEFTHTCSVHKDNYGNFIRWVQQDVIKYCADRRPGIHQPVLPKEEDVPKLWFHIVLRTATSCITLAIRIDNLYLVGFTTSPQGSTTRTWWEFDNSDGIHFISGSKWLGFGGAYGDLVGHQKGLDAVTLGRAEMASAVDFLAENYGGEQRQQQGTDSYAMPKSKLAKPVIMVCEGVRFHTVYGRVDKEFEQTAANMSSVEGKQVREWEKISRRVHEWAAHPTAKFPELETIGIKDKNDAARIVAIVKDEKPRR >Et_1A_008553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8783232:8786307:-1 gene:Et_1A_008553 transcript:Et_1A_008553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALREIESTLPPGFRFYPSDEELVCHYLHKKVSNERIAQGTLVEVDLHAREPWELPDVAKLTASEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRSTATRAVVGMRKTLVFYQGRAPNGVKSCWVMHEFRLDTPHSPPREDWVLCRVFQKTKGDGDGQDGASSASPTFAGSSHAMPEQEHTTSAGCYGYLGNVQEQDMAQMLTYYGGTGDNAGGALPGLEFGARGVAGDEYGLGYFDMAGFDDMASHGLGGMGFPQGWN >Et_2A_018198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17881963:17884389:1 gene:Et_2A_018198 transcript:Et_2A_018198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTSRAANYSPACARHGSAKTRRVSRRGGQPRCSVRADTIGCLEAEPWGLQLVAPAPGDVAALPVPSEKRVHEVVLKQAALAAARPRTARAAETDTVAGGMEAAFHRCGEVCKEYAKTFYLATQLMTPERRRAIWAIYVWCRRTDELVDGPNASHISALALDRWESRLEDIFAGRPYDMLDAALADTVANFPVDIQPFRDMIEGMRMDLKKSRYRTFDELYLYCYYVAGTVGLMSVPVMGISPESKANTETVYKGALALGLANQLTNILRDVGEDARRGRIYLPMDELEMAGLSEDDIFRGRVTDQWRSFMRGQITRARSFFRQAEEGATELNQESRWPVWASLLLYRQILDEIEANDYNNFTKRAYVPKAKKLMALPKAYLRSLMVPSSQTQSQRQYSSLL >Et_8B_059003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12055342:12058863:-1 gene:Et_8B_059003 transcript:Et_8B_059003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTVALVAFLSAALPWRATDAAVGVNWGTVSAHRMPPPVVVELMRANGVAKVKLFDADAAVLRALAGSGVEVMVGIRNEALAGIAASPAAADAWVAQNVSRYYVGGGGGVDIRRYIAVGNEPFLTSYQGQFQSYVLPAVSNIHQSLVKANLASYIKLVVPCNADAYQSASVPSQGIFRPDLVPIMTQLGAFLSSTGAPFVVNIYPFLSLYQNSDFPQDYAFFDGSSYPVVDGPNVYYNAFDGNFDTLVSALSKIGHGQLPIAIGEIGWPTEGAPSANLAAARAFNQGLISHVLSNKGTPLRPGVPPVDVYLFSLLDEGQKSILPGNFERHWGIFSFDGQAKYPLNLGLGSPILRNAKDVPYLPPRWCVANPGQNLNNVGNHLQLACTMADCTTLYYGGLCNAIGDKGNISYAFNSYYQLQRQDAQSCDFDGLGMITYLDPSVGECRFLVGIDDSRVSAKSSYSGSPSR >Et_1B_011620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24195895:24199082:-1 gene:Et_1B_011620 transcript:Et_1B_011620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPRVAGWRRRLAAFAAVWCLLAVASAAAGGEERRSLQVRQALGPARHRHAYAAMMYMGTPRDYEFYVAVRVMMRSLARVGADADRVLIASADVPREWVRAMREEDGMRVVIVENLKNPYENNLGGINRRFKLTLNKLYAWTLIDYERVVMIDSDNIFLQNTDELFQCGQFCAVFINPCYFHTGLFVLQPSMDVFKSMLHDLEIGRDNSDGADQGFLVGCYPDLLDKPMFHPPENGTKLNGTYRLPLGYQMDASYYYLKLHWHVPCGPNSVITFPSAPWFKPWYWWSWPVLPLGLSWHKQRWDDLGYAAEMPVLLMEVLIYTVIIAITRLARPGMTKLCYNRRTEKQSGLVQWLIKLTAIVAMVAAYTIPFFVIPRTVHPLMGWSIYLYGALALSILVINVFLLPPLAVLTTWIAVVGMLFVMAFPWYHGGVVRVLAIFGYAFCSAPFLWASIVKMMDSLQTMLERDPFFPRIGEPSQETEFSKLY >Et_1A_005275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37423182:37424138:1 gene:Et_1A_005275 transcript:Et_1A_005275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGGVVVDAAPGVAPFVAKTYRMVDDPATDAVIGWGADNNSFVVADPFVFSQTLLPAHFKHSNFSSFVRQLNTYGFRKVDPDRWEFAHVAFLRGQTHLLRQIVRRSSGNGKRKDDDAAGDIDADGAASVAMEVVRLRREQRAIEDRVAAMWRRVQETERRPKQMLAFLVKVAGDPQVLRRLLASGGGANGLDAAGNFASPGPADGGAEVVKRPRLLLEADAAAGEDAIDIDGFYATENDVGFGAAEAGYMPQPYAFPVDSTSGY >Et_2B_019670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1217457:1220682:-1 gene:Et_2B_019670 transcript:Et_2B_019670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLARRLAAHLLPRRSRALIHTSPPAAEPSVLTSRLSSRAVVRFAGPEAARFLNSLLTNDLLAAFAAAGSAAPQRYGPTPNAPARGPAGPAYAALLTPQGRFLYDLFLYRPAPRSQMLDCTGSAPQTGERGDEEEGEPTEVLADVDAAEVDELLACFKRYQLRSKVEIDNVSEDFACWQRFGHDVEHTEPSTQEPEAQSIGWGQGTDHAAESAAQGNGHGWQWLKDPRLDCLGYRGIFPADTIPPLVESDKEADERNYQLWRIENGVAEGSTEIPKGEAIPLEYNFAGLNAISFEKGCYIGQELIARTHHRGVIRKRLMPMKFVDENGQELEQAVAPGSEVVDEASGKKIGTVNTALGSRGMGLLRLEEALKQNSSLSISGKSDVRVKAIKPDWWPAEWTQMVEQQSAAA >Et_5B_043089.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22912769:22913359:1 gene:Et_5B_043089 transcript:Et_5B_043089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQPSQNQSSPGSSQSSPPIQIPTSFPQPQYPQYLPPNFQNFNPFGAAPNYPPHGHSPPTFSGVQQQGNWQHSTPIRFQGFGSQDSWMHSPQPMFGGAGNTSSHGLGSASPYTANQQEPVSVQDSSNSSEEEGRKRRNWSEDDNKNLASAWVRHSVDPIKGVDQKGEYYWKAVAADFNSDKPQSKRRTSLQCKTH >Et_2B_022243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1507649:1512788:-1 gene:Et_2B_022243 transcript:Et_2B_022243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGATSVHEDKIPMGERRLKAKRGVLLAGAAEPSLHFEPPRCLPNKLQGRVTLVRTGNIRISISHTKCASLLDMHLHQYIFGGRIKYKEICSAIPHLCRLEIIKRKPGDWNCKYCQHLNFSRRDFCQKCRNPRSDLQFGDGHGTGGVLTSLDIRPGDWYCTCGYHNFASRSSCFNCGTIVRDVPAGQGATGAEGGRDSATVRAGWKAGDWICTRPGCNVHNFASRIECYRCNAPREAVGPGK >Et_1B_012857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35609230:35610282:-1 gene:Et_1B_012857 transcript:Et_1B_012857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EKQICRSQSVPTSVRRFNAKAGLRRVGPAGGGFRVVSDDPEAAAGKEAEDIIAAEEAVCRICMVALSESEGEAVLKLECCCKGELALAHRSCAIKWFSIKGNGACDVCGQEVLNLPVTLHGHHPAAAQAQEATQQQQQPDPITTSRYRVWHGTPILVIISMLAYFCFLEQLLVGDHGTAALAISLPFACVLGLFSSLTTTKMVSRRYVWIYSAVQFLFIVLFTNLFYRYVRMQAVIAIILSTFAGFSMAICANSILLQILRWRARQAASPTMAEIQQEPCDQREPHMVDLEITPPLP >Et_6A_046830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21016097:21022625:-1 gene:Et_6A_046830 transcript:Et_6A_046830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASGGREALPLTLALRLLLIATACGLVFALLNLPDFRARAPGTGAGSGGQAAERRHRRDGQSDEIAAEEDERISESSPDTKEKIWMMQDQLIMAKAYLHFASPHGSAHLVRELKLRMKEIGRAISHSSGGSHVPGSALQKMKAMQTTLSKAQRTYPRCSQMTSKLRAMTQNSEDLVRAHQSESSFLEQVAVRTLPKGHHCLAMRLTTEYFSLDPTDREFPKRNRQQMDGYYHYALLSDSVLASAVVVNSTVTASKDPRMIVFHIVTDALNFPAMMMWFLTNPPAPAAIQIESLENLKWLPSDFNSRFKQKGIQDVRYTSALNHLRFYLPDVFPSLTKVLLLDHDVVIQKDLSGLWDIDMKGKVIGAVETCTSDGGYHRLDSLVDFSNASIFNKFDPKACVFSFGMNIFDLNEWRKQDLAATYNKWFQLGKRQRLWKAGSLPLGQLVFYNQTVPLNHQWHVQGLGHNTNIGREEIESAVVIHYSGKLKPWLEVSISKYRDYWSRHLNYDNPYLQQLYTTHHQDSSAADVLVVDVEVALLEALPVGVNLLEHRLVVQVQPAVAALVHNPRDDGSSSRTVPYLDALAARSLALGPCRPAEGGPAVLLAPPQRLVGIPRGAVGADAVQVPAVLLRVVQVVRRPHIGRLLRRGHGGDGAEEQRHRKHPRSCDPGHGLIA >Et_7B_054996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6266908:6268892:1 gene:Et_7B_054996 transcript:Et_7B_054996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEDRSSGAGVDKKPYQVGFRFKPTDEELVEYYLLPKLQGKPSVRNDAIIEADVYECDPEILINQKYKDRGENRWYFLSPRTRKYPGGTRPSRRTADDRGRWKPSTGKSAPKKGKSEREQEEEEDEEYGKEEKLCSNGAVKYCENTLAYHVGPAKDEKKTKWLMHELTVPEYEIKLDPSRPSANTMLLDRYAMCTIYKSPLTKWIKQEEEETRAALEAAAAPSSMAAGPAPESGQVTSAPSALSSKRAGKRPAVDQQPIGHATSPKRARRPALPRGPPAPAHDNGGGGRRMRGAVPLGVGAAGYYSVPGHHVQMPWPPATGNRLQGPVQLPQAMHRQQVAAAPYSAQAPPLGQQPGPSLRQPPPHAAHNSRGRTMTMMPRAPSVFDENMMMCPQNGTNLAAGQTVPPPSAVPQPPPQQLPKVVERDMNDPYTQRVVMQLLAEMRAQEEARRLSASHAAQQQSPTASNLPPPPQQQQPSFDAGSGDHPARANATFPHHHVPVPRHNCYPRGGGQLQQQRSSVNPEMSVPGSAAAPASGFDNVSIKYSVCADANEGSSKGSLDNNGDQVFADLATMMN >Et_3B_030316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32172871:32174757:-1 gene:Et_3B_030316 transcript:Et_3B_030316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITSLLVIRPPRVHRPASSSRRWCLPTRRTSATSATSSGPPRASSSSSSAAPSPSAPRPDDAKYQVHCYNQNGLCAIAFTDDHYPVRSAFSLLNKVLEEYQKKFGESWRTAKADVTEPWQYLNDALTKYQDPTEADKLLKIQKDLDETKIILHKTIDSVLARGERLDSLVAKSSDLSAASQMFYKQAKKTNSCCTIL >Et_1A_006783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27530117:27532888:-1 gene:Et_1A_006783 transcript:Et_1A_006783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGLVVLSMGSHSRLGPKLIDDDTDNASRETHPRRFSQLKRSDGKSGEPCLNRVPNFHCNSLPSRYRDGNPEHSIMHKRGSMYQSSSEVSRLRNLQDGRRKINSPHNGDTFLSFEIVNSSSQPSTSGAGFLSQQSHSCKTRPYVGKSHTNHQASRELPNFSLREIPEDGLVLERPRRDCNLLKGIEMDSFLEISLEEDTTEGACTNVAPRLLERGCSKVARSNCQSSVGSHPDERDICNLPKSFSTKVGVFDATSTCPSECVRGVDGNKKARSSPFKKILDPIMKSKSQRIPSLMEMGDAKSSATPFGGITRVLRKSLLSEISRTEQSLAPDCQTSEEAQNLTVTSSPTHLHAVIKLDPSNGAFGFEFCTKGPEESIYANTWKVGDELNWIYTFHSIGKRASTVGRSSKDNRRGCLPPIVGQMHVSSYLYSNVAEDGTLNNSAISEFVLYDIAHARRSSAVERVQCADAIQPSFSNVFSNSVSGGNLQRNNLMQRQNTVRNNSDVSTSCLWSREDLHPHLEVAAIVIQVPFHKTKSKELKAGSSPGTIKAVTAGGAHGLPRDDEATPSPLLDRLKSGGACDCGGWDMSCPIVVLDNKYDSYWANSVMNESKHPMQLFVQSNKEVLPALSMKADGNGHFSVDFHARLSALQAFSICISLLHCSEASSDIGIEKFKNKLYSSSLKMLLKEEVRHLIDSVTSNEKKKPKRRKEKTPPPIVVDPPFSPMGRV >Et_9B_063708.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21046438:21046731:1 gene:Et_9B_063708 transcript:Et_9B_063708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIQLETDVAVLGRALNSDEMDQSKEGGLFRRIREFMRSSFSYFSVSVCPRLCNKVADALAARGVSALPVGEQVLWCQAPCFVTELVTGDMPAARG >Et_2B_020407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:190376:203859:1 gene:Et_2B_020407 transcript:Et_2B_020407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMESLAQLEVLCEKLYNSRDSAERAHAESTLKCFSENSEYISQCQYILDNASTPYALMLASSSLLKQVSDRSLSLQLRLDIRNYVINYLAARGPKLQNFVIVSLIQLVCRITKFGWFDDDRFRETVKEATDFLSLASQDHYFIGFKILNSLVMEMNQANTAMPMTLHRKTASSFKDQFLLQIFQISLTSLNQLKSEAPDDFRHVPLDLALKCLSFDFVGSPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDIRVSKEALECLVRLASVRRSIFTDDPARSQFLSHLMSGTKEILLTGQGLAEHDNYHEFCRLLGRFKVNYQLSELASVEFYGEWIGLVAEFTTRSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGETPSLLDETVPKITEGFITSRINSIQAVLADNSLENPLDSVEVLQDQLEFLPYLCRFKYQSSSLYIINIMEPLLQAYTERSRLPAPGDADELSVIEGQIAWMVHIIAAILKIRQTVGCSQESQELIDAELSARVLQLISIADTGLHAQRYQELSKQRLDRAILIFVQNFRRSYVGDQAMHSSKLYARLSELLGLNDHLVLLNVIVGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESVKFIIANHSQENFPFLTEYSCSRSRTTFYYILGSLVFMEESPVKFRTFMEPLQRVSLTLEATADAAFQTDVAKRAFIGWMRDLRGIAMATNSRRTYGLLFDWLYPSRMQLLLRAISLWTAEPEVTTPLLKFMCEFVLNKAQRLTFDSSSPNGILLFREVSKIIVAYGSRILLLPNGTDIYGSKYKGIWISLTVLSRALCGNYVNFGVFELYGDRALADALDISLKMTLSVPLSDILAFRKLSKAYFGYMEVLFNNHISFVLNLDTNTFVHIVSSLESGLKGLDTAISTQCASAIDSLAAFYFNNVVAGDGPPSPASLNLTRHIGEFPSLFPQILKTLFEIILFEDAGNQWSLSRPILSLIMTSEQMFSDLRAHILASQPVDQQQRLSQCFDKLMADVNRNLEPKNRDRFTQNLTAFRHDFRLKCQTALCQFTVSG >Et_5A_040906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14506087:14514975:1 gene:Et_5A_040906 transcript:Et_5A_040906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNLLNRRKRSLRADELVNKSTLQLLDFDDGSPPELAWRRKLSSHANRLKEFNVTFREAIKMMKLGLRLWSYIREEASYGRKAPIDPFTRESNKPSASQGVPLGGMGSGSISRGFRGEFKHWQITPGSSEMSPVMANQFSIFVTREGGNKKYSSVLAPGQLDGLKKLSDDGISSWDWKLKGDRSTYHALFPRAWTVYDGEPDPELKVSCRQISPFIPHNYQESSLPTSVFVYTLVNTGKERAKVSLLMTWAVNSIGGLSHHSGGHVNEPFVTAGNNPPVTFAIAACENQNVNVTVLPVFGLSGESSVTAREMWGTMVQDGSFDRDNFDAGASMPSSLGDTVCAAVSASTWIEPNGRCTIVFALAWSSPKVKFKKGSTYYRRYTKFYGTSPRSAINLVQDALMKYKHWEEEIDKWQTPILHDERLPEWYKITLFNELYFLVAGGTVWIDSECSVVDGGNKLNSSSEDSNLPFHDTTCSSTASLIGFTPHEIDDKENVGKFLYLEGIEYFMWCTYDVHFYASFALLDLFPKIELSIQRDFARAVLREDKARVRFLADGTWGIRKVIGAVAHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQIYRDFAATGDMSFGKDVWPAVCTAMEYMEQFDHDGDDMIENDGFPDQTYDAWTVQGVSAYCGCLWLAALQAAAALARSLGHDDYAERCMTRFKKAKSVFEARLWNGSYFNYDSGTSYSSRSIQADQLAGQWYTASSGLAPLFDEDRIKCTLQKIFDYNVMRVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYSLAATMLLHGMERQAFTTAEGIYIAGWSEEGYGYWFQTPEAWTVDGHYRSLVYMRPLSIWAMQWALSPPRAILQAPKVNTMDRAQISPGAFQFLQDRIRKITPKNSCFGSSNWDC >Et_4A_034080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29787955:29791753:1 gene:Et_4A_034080 transcript:Et_4A_034080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGAEAAAAGKVTCAAWVQRRNDEGPGPRILVAFGRGATASSQPLLDLLAFDARAIALDSESEPLARVAMGEEGADAPRAITVHPGGRELIVYQEFGFRLISTDASALESVGPQKCLAFSTDGVKFAIGGEDGRLRVFHWPTLNVILDEPKAHKSFFDMDISLDSEYLVSTSTDGSARIWNIVEGAPLVNLTRSSYEKIEHCRFSRDGTKPFLFCTLVKGEGVLTMVLDISNWKRIGYKRLSAKPVSTLSISLDGKYLALGNRDGDFCVVDVKKMEISHRSQKVHLGSPISSIEFCPTERVVISTSHKWGAEITKLDVPADWKVWQLWLVLAILFVASAVLFYMFFKYAKLNL >Et_10B_003697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5035271:5038198:1 gene:Et_10B_003697 transcript:Et_10B_003697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHVAPRRRRQRPRALAARPVAVAGAAVRFFAIDHDGRRRRQRRRRRRRGGGAGPGIRDAGHARPDAGPVAVRVARGAGQDRRRSPGTARRLAVRLYESLGRHGLRREADRAFRDAAGEAPTPPPEPEKEGDGGPELTGMACLLKDGLVSYLREFAKITPPVPKQPVRSLSLSKRLAELPILKGIDGYIMPGCITLVLGQPGSGKSTLLKILAGRADPGNNSGLTGTVVYNDKPASEVQKSRLIA >Et_3A_023978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15473839:15474687:1 gene:Et_3A_023978 transcript:Et_3A_023978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAGFLLAAFAVLLALPAPSVANDPDMLQDICVADYKSLKGPLRLNGFPCKRPENVTANDFSSNVLARPGNTGNAVGSAVTAANVEKLPGLNTLGVSMSRIDFAPWGMILVVEGSLDVGFVTTANKLVARTIRKGEAFVFPRGLVHYQRNNAHSPALVISAFNSQLPGTQSVAETLFGASPAVPSDVLARAFQIDGGLVKGIKSKFPHK >Et_7B_054415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21051164:21052848:-1 gene:Et_7B_054415 transcript:Et_7B_054415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSLQTHWAIRSSIERLPDDVVADVLRLLPPWSLAVARCVCKWWRDTIDAHALVLPHLLPHSVRGVFFNYVDHNRPHLFARPSSSSTNGCITCAYIAFDPVVSPHYEVFLIPNVPDKPEPEQTDEQDDEQKPAETPSSVDMDIKEPDEDPCRLMEWPPSPWIFKVFSSRTGLWEDRSFVREGQPAGAVQDMRLDPREPARSDGPRQRYAVYLNGGLYVHCRGSFMARFSLSNDKYQVIKMPMEKEDGKPYLGRSKNGVYGWMFNESCGHTEWLLICEAYLGLYAHHVASLTYNNGRQLDGSWMVQEYYYDTETESSDSTECASDNDEETTEWDSDNDDIFTIEASGEQRCWKKIGIIGFHPYKEAVFLLVGSSGVACYHFNSSKIQYLGNSRPECYHHNPSNGIYETFIYTPSMIGDLRHRIGN >Et_2B_022601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27643616:27647009:-1 gene:Et_2B_022601 transcript:Et_2B_022601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGSPKIVPAPPNSPEPAAPASSESGDRDGNGDGPVEPLSSKVPIPPHELNLYRAAVFLRALLLAIFFRHRVVEPVLVAPWLWLTAVVCELWLAAAWLVATLPKLSPTNRITHLDRLASCYGDKKLAGVDVIVTAADAGREPPLATANTVLSVLASDYPAGRLACYFSDDGADMLVFDALFEAARFARRWVPFCRRHAVEPRAPELYFARGVDYLRDRAAPSFVKERRAMKREYEEFKVRINYLTAKARKVPEDGWIMSDGTPWPGNNTRDHPAMIQILLGHPGDQDADGKEMPRLFYVSREKRPGFQHNEKAGALNALLRVSALLTNGAFVLNLNYDHCVTNSGWLREAMCLLMDPVAGNKTCFVQFPLRIGVEDDGDGRSTSRGAVFFDVRHQISRSCHAPQFSCSELTDERSCGALLQIDMKCLDGIQGPVYVGSGCCFNRKALYGFDPAFAEHDEDETSARWSWWCVKERALRRTRSTVPLLDSEDSDEETKPGTFQEASNSCLFLSLGLLFWLWVVDVGIAGRRRRLRSYRTALERHFGLSSAFIASALARQGRGGGSELTSATAGSLLGEAIHVLSCAYEEQTRWGKEFGWMYVPGGGGGDVATGFKMHGRGWVSAYCAPARAAFRSFARSSPSHELAAASRRAVAAMGILLSRHCPVWAKGGRLPLLQRLGYVSVVAYPLTSLPLAVYCALPAVCLLTGKSIFPDNVSSYDSVLLILLLSSVIASVALELRWSRVPLRAWWRDQKLWVVTGTSACLAAVFQGALRARAGIDVRFYTDTTSGSSYDDDGGDESSSDARRSVRWSNLLVPPASLLLGNLAGTVVAVSYGVDHGYQSWGPVVAKLALAVWVVAHLQGFLRCLLARRDRASTLAVMWSVLFVSVLSLLWVNLDSYSALPARSTSQQPIL >Et_6A_047085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2558152:2561506:-1 gene:Et_6A_047085 transcript:Et_6A_047085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLCERVPGLVLHASLGGDTDGDEVPDPTRRHALAGVAKVAFALINQRCLGYMDQAALGRSYTGTFCGDALVGAMAHSWRLLVQGLTSLMFLCVHADEYVRPPPSPLVLVAHDKPASHPQQVHISVVGTNNMRISWVTDDRKAPSVVEYGKSPGKYTASATGEHTTYQYFFYKSGAIHHVTIGPLEPSTTYYYRCGNAGDEFSLRTPPATLPIEFVVIGDLGQTGWTASTLSHIGGADYDMLLLPGDLSYADTQQPLWDSFGRLVQPLASARPWMVTEGNHEIETLPIVEFAPFVAYNARWRMPYDAVEGSPSGSNLYYSFDAAGGAAHVVMLGSYAPFEEGSEQRRWLERDLARVDRSRTPWLLVLLHAPWYNTNEAHQGEGDRMRRAMEKTLYDARVDVVFAGHVHAYERFARIYDNEADSRGPMYITIGDGGNREGLALRFIKDHKSSHLSLFREASFGHGRLRIVNETSAVWTWHRNDDDHATVRDEVWLESLAAVKPSLATAAGGHADEL >Et_2B_022660.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29691187:29692326:1 gene:Et_2B_022660 transcript:Et_2B_022660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSARLRLPPSSCRHLPVPTNFATRPVASAGTRQWRRLPASPHRLRAADAARQLDYVESSSLAAEKEDEEDRPPRLRIAIVGFGNYGQFLARTFVAQGHTVLAHSRSDHSAAAASIGATFFPDPHDLCECHPDVVILATSILSAEDVVLSLPVHRLRRDTLFADVLSVKEFPKKLLLENLPGDFDIICTHPMFGPESARDGWAGLPFVFDRVRVGDSPARRARAQAFLDIFAREGCRMVEMPCAEHDENAAETQFLTHTVGRMLALLDLKPTPISTKGYETLLRLVDNTCSDSFDLYNGLFMYNKNSTELLNRLEWAMDSVKRKLFDGLHDVLRKQLFDLQGSPDPTTELMVDDGSIVDDDQDDTDNNDAAVDSDESG >Et_4A_033171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19499098:19501630:-1 gene:Et_4A_033171 transcript:Et_4A_033171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRDNLQFEEPLLENSVAGSSEKIIIDIKEVRHHTGSWRACTYILVTQCFEELAYYGIQFNLVTFLKTVLHENNVTAARNYTNWQGTCYIAPLVGAVIADSYLGRYVTTLAFFTVYLIGMATMSISASFSACAGPDCLQEGLPKSVVFFIGLYMMAIGAGGIKPCVSSFGADQFDDSIPAERLKKDSFFNWFFFAIYIGSFVSGTVVVWVQDHCGWVIGLWIPTLFIALAVAVFLLGSSSYRVQKPQGKPIVRVFQVIVAATRKWNVDLPHDDFLLYESPEKKASMADTGDILQHTPVLRFLDKAAMISSPEELSAGGPDPWRLCTVTQVEELKVIIGMLPIWATGIVFFAVLAQFSSTFLEQGRTMDTQVGTFAIPPASLASFDAVSVVLWVPVYDRVLVPAARRLTANERGLSELQRFGAGLLLSVLVMATAALVETRRLADATAAGEPTTMSILWQVPQYLLRRVRVRRPVGVLLQRGAAMRSLCSALALLTVALGSYLSSLVVTVVACLTATGGEPGWIPDDLNQGHLDRFFWLLAALSALNLAVFVCCARRYTATAARKCCLGF >Et_9A_062934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:763428:770625:1 gene:Et_9A_062934 transcript:Et_9A_062934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTLLHNAMDDKSTKAERRRQSQVVNTQHTQVSCSMECASVNLKMYITCSLTVVWPNGNWVCGVCPPRLKSTSTWSCGHSIQEVELSDQPDDIAWKLSANCTYSVSSAHSTEFTGAYADHNWLRLWESKAENKCKFFEQALDCRSQYQKWRPGKCNLLVVSFHGGYGNTHDGHSCYSTGTEGLSDGGHLSMLGPAGMPAARQTEQGVIYIAWNIWKERCRRVFNNKGMTAAQLVLIIKQDIQAWQTAHTNWEYSAALWWCWKVRNHLCFQNGSWRGMNSNTIWNRTLPMLREWALLCPGKFMEVWRLWKTAPGRWSRRKMSSSALPS >Et_4A_031864.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24726735:24730271:-1 gene:Et_4A_031864 transcript:Et_4A_031864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILFRSRNRKVSRSACLGGAAAQTLATPHTATAAAAMKRSADAMEAPKPVFLTKAERERLALERRQAAVSDQRRSAVDLLQSLPRPPPPPSSSNPPPREASNSSSHRDSDRDRDRERRRDDDSRRDRDRDRERDRDRDREDSSRRDRDRDRDRGRDRDRERGERDRDRDRERGERDKDREKERLEKMAEREREKELDAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNALYQSPHEARLLFGRGFLAGIDRREQKKAAAAHEKETRLELRRKAGEDYRPEDDAADKKKAAAADMYDAFDMRVDRHWSEKALEEMTERDWRIFREDFNISYKGSRIPRPMRKWAESKLGSELLRAIEKAGYEKPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLSYITRLPPISEENEAEGPYAVVMAPTRELAQQIEEETVKFATYLGIKVVSIVGGQSIEEQGFKIRQGCEIVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDEKRIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQNVIMVKESEKMSRLQKILTDLGDKTAIVFCNTKKTADMRAKDLDRAGFRVTTLHGGKSQDQREISLEGFRNRRFNVLVATDVAGRGIDIPDVAHVINYELPSSIDTYTHRIGRTGRAGKKGVATSFLTLENTDIFFDLKQMLIQSNSPVPPELARHEASKFKPGSIPDRPPRRNDTVFANH >Et_7B_053659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10898509:10901566:1 gene:Et_7B_053659 transcript:Et_7B_053659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPLLVRPPARANPSLPSRRWAPAVSVAVCSASPSAAATPFPRLRTTKCRFAASDIREDYASTPIDIVADIVVLGGSGFVGSAICKAAVSKGIEVVSLSRSGRPSYSDPWVDQVTWVAGDVFYARWDDVLLGATAIVSTIGGFGNEEQMKRINGEANVVAVNAAKEYGVPKFILISVHDYNLPSFLLTSGYFTGKRKAESEVLSKYPASGVVLRPGFIYGKRKVDGFEIPLDIVGQPLEKLLSSVENFTKPLSSLPASDLILAPPVSVDDVAYAVINAVIDDSFFGVFTIEQIKEAAAKSDIIEIEMRYDVEIATVMNITSASSQVIQVKLAVRINRVEDWFRTPSALDYVIWVAVLYKCKTQHPPPNPVRIHIRVSDLQEG >Et_6B_048415.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:19220163:19220351:1 gene:Et_6B_048415 transcript:Et_6B_048415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWKLGKQQKRREAASAAGGEVGKRGLRRSVSGRLATAAREQKARLYIMRRCVSMLVRWKDC >Et_1A_008934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19861983:19868170:1 gene:Et_1A_008934 transcript:Et_1A_008934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQGEPTPPRPSLPSGAGAAAATSWPELLAPFDLSRLRATLASRPLTPRRLARLLALPLSPATSLLLVDWYAATHPGLSLSSLPLRPILAAADPDPALSFLDSIPPSRLPPLRESFLLPLLRSLPPGRALHLLDQMPARFSVTPSFRSYNVVLSVLARSDCHADTLALYRRMLTDRVPPTTFTFGIVARAFCRLGRADEALALLRGMARHGCVPDAVLYQTVIHALCNQGGVAEAVTLLDEMFLMGCTADVNTFDDVVRGLCGLGRVREAARLVDRMMMKGCAPSMITYGYLLQGLCRLRQVDEARAMLGRVPEVNVVLFNMVIGGCLANGKLDEATELYERMGTKGCQPDVRTYSILINGLCKFGRLGSAVRVLRDMEDKGCAPSVVTYTTLLHSFCRNGMWDEMRAMLDEMSAKGLNMNSQGYNGVIYALCKDGKMDQAMRLTQEMKNQGDQMEEAEHLFENLLEEGIIANGVTYNTLIHAHLRKGRLQEGLRLANEMEMTEKGIKPNNFSYNILISELCKTRRVNHWKRMVKDVTYIKRQFQVKELGFGHRPGTKIWIKFISIWMKKMTAQAEPWECIANYAVREGQGPRLRMENLPKYRILFFEQVVKD >Et_2B_021945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7130906:7133510:-1 gene:Et_2B_021945 transcript:Et_2B_021945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TGDDLEALDDVDYGAKGSYAQLMAAAATPSPEGRSMSLCLFSSESSPSLDEALPPRPVELHLDLATVADDRRITVSPLPDLHQADPLTPTRPISAAGHLWAPYFTERYPSRLVMRRLDTESGRWVRAGAAIHPPQQETSDCALHGYAVVRDTVILLSLQPPHLFLAFDCSTCAWNVVATDENELYVPIDERGVYVEEDDTIYALCGSTVYAYKLCHDDQEQNQYWMAPPIFVEQVSPFQGKGSGILTHLGARVMCLVCVPFHQCELRCSCDTLHVLITSFRVKSRNGSSRELFVPKGVRILHSTCRRVDIVPSESSSVDDWTFRFLQEYEELSNESASHSARQESEQAMKSSMLLEGIEVLTTSNVEESSKLLTCCRNFLNDMPFKKTIMFDFERSAVQTEIALYIICQAPSRSTVYEVRILNGRLTCHDRILKPHCVMDTFVCDEEHDLMERPLAWHFVCDSRFIYVVPYKKGELHVCSLDVINHDILHTRRPADNNFPISLVLRVGLRIIAITDTLQVFLFLSDTHEWERIHAFESAVSEMKVNLSGYVVVSEESFMVSEAETSCCYLFDLNCDTWSIVKPYAEVKISSPDHLCFFGTGFFRGRSVFSEGFIYSCANGGLAAYEIIDLESSYCLGSRLNLRIPWPQIWEGNNMCLDYIGKDIKSGTIMFCVLQGNKGYACPGALSKDPVSITTIQVKTEKMPNGKWKPLVTGHVDAGTFFVEQDEGHQIWTNS >Et_2B_021499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30096362:30098743:1 gene:Et_2B_021499 transcript:Et_2B_021499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGAFLMPRSSSNVSLSSLGRSGSGRGRGGTRGRRMMRRVCRGVITFIFAIAGLFLGAVTGGLIGLATESGLFRGTGIGAITGALVSIEVVDSSIRVWQSRRSGIWSILYVLNVIYSLLTGRLVREKVDPAVQRVVRSQMNAVDSSPFRESPDLFEVEGTNGMPRASIDKLPEISITEEYKRDAVGDLSGCSVCLQDFQVGEKVRSLPDCWHVFHVPCIDGWLIKHGSCPLCRRKL >Et_4A_031998.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:11203462:11203710:1 gene:Et_4A_031998 transcript:Et_4A_031998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTRAAAMMCLFVVVVATAVAGGAASETGGAAKLGCFCDCMKNRCMTLGAAKFDCASACTQACTQIGKPGQPRDDDFCGF >Et_10A_000474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10939007:10939453:-1 gene:Et_10A_000474 transcript:Et_10A_000474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDLVLARDQSHSRTLDVPLLSNGGRLGRLEALDRAQQGLQNLSPPSGRSGLARKSSKHAFVGFPALVILVAWRLWKERNKRVFEFQALQPVALAQETIEEASLGGGGFLQTKEASSAEAVRRSS >Et_2B_019309.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22923742:22924230:-1 gene:Et_2B_019309 transcript:Et_2B_019309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAARALRRLPLHLAPSLARPFCAVSPAAGSATPAPAAGSAKVADRIVRLLAIDPDGGRREVVGLSGQTLLRALANAGLIEPASHRLEEIDACSAECEVHIAQEWLEKLPPPSYEEQYVLTRASRNRELNKHSRLGCQVVLAPELQGMVVAVPEPKPWDIP >Et_1B_012449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31999784:32003435:-1 gene:Et_1B_012449 transcript:Et_1B_012449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTRSPGSSWPALSLPILLPTLLVLLAFSSAAGASASAAAMHNNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNPRNSYPAQVFNNENHQLNLYGDNVEVDYRGYEVTVENFLRVLTGRHDSAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKLRFKELLIMVDTCQAATLFSQLQSPGVLAIGSSMKGENSYSHHLDSDIGVSVVDRFTFYTLAFFEKLNMYSNASLSSLFNSYNPSMLLSTAYYRMDLYERPLNEVPVTNFFGSVMKTIHTDSAYTGFLAALNDEISLPIEDNSHDHVVLKSEASARSNMEEAQLRSHGWTEVLLEQLEGKDSDTVVMFGLGAMGILLAISTWLSV >Et_1B_014107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3145486:3147347:-1 gene:Et_1B_014107 transcript:Et_1B_014107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFSLITDHNLPLQSRGMFNGGTDAGMFASDGYAGVASYASESHTVRIKDEHKNKGKDLFFCDLPELGGFDDFETNMRNFDPTSEFGNFDDTIWSSICSPDAQLVPGSCFDSINISSVRDQSTIETVVQSSVSVPDQVIWCNGVPHENNTTHSTSIQQQTWSDGRNHTPSSYEAYANTSGEIDQFSKHLSDVLFGPSDDAIASAKQTGSCEGLEASIICSNRELHVPTASSTMSHDESLATSSTCSGPDLFAARIPCSMRKLNDPLHATPDMLLEGMAENPLEMYFPPLTTFEQPEVLMSNTSTQTHQFPEEFAGSTSVTNHASMQFCSNQMSSAKLHEHPRSTVILEAVPVRDFGFEKLQNGMNQMDVATKGRIRDALYRLANSVEQRHYVARTSSVAGSSGSKRFRSGRWTETQTNPMDQSVAQLLLQKPPYRNPVSPFCVT >Et_3A_025631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31405665:31407047:1 gene:Et_3A_025631 transcript:Et_3A_025631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKILVWLLLSTSSSLCFSSELDVKCLKTLQQSVNDPMGNLKYSWKFSSKVNLHICQFTGVECWSDEENRVSSLHLSDLGLQGQFPQGLEHRTSMVSLDLSNNSFSGPIPFDIARQMPYLASLDLSYNRFSGEIPVGISNMVYLNVLNLQHNQLSGQIPRGFSALTRLTSYNVADNHLSGPIPSALQKFPASNFTGNQELCSAPLGDCPSERKWRLKPVRLPRINDESSIGAAVGFVVGFVVAMYFPRFFVCSRRLRPYLLHNRVLALRLSNLGLEGQFPRGLLNCTSLVVLDLSSNKFSGPIPFDVVQLMPFLTSLDLSYNSFSGEIPVNISNMTYLNTLMLQHNQLS >Et_9B_065462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5310452:5313302:-1 gene:Et_9B_065462 transcript:Et_9B_065462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TRRRGILPFYRSTPPTLRIVQSLSLSPPPPPPRRLSSSFPGHRIRLSMSTDRLLHLLRAPAASSSPAARRGYPNPQCLRLPQPASGMRRASVACSSSGGDGGMTYKGAGVDIDAGTELVRRIAKMAPGIGGFGGLFPWGDDYLVAGTDGVGTKLKLAFESGIHDTIGIDLVAMSVNDIVTSGAKPLFFLDYFATSKLDVDLAEKVIKGIVDGCQQSDCALLGGETAEMPGFYADGEYDLSGFAVGAVKKDKVIDGKNIVEGDVLIGLPSSGVHSNGFSLARRVLAKSGLSLSDQLPRNDGLETTVGEALMAPTVIYVKQVLEIISKGGVKGLAHITGGGFTDNIPRVFPSGLGAKIFTGSWEVPPVFQWLQHVGNIEDAEMRRTFNMGIGMVLVVSKEAADSVLKESQGSNRAYCIGEVIKGEGVHYI >Et_2B_021799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5633389:5634894:1 gene:Et_2B_021799 transcript:Et_2B_021799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVVQHPGGRVERLYWATSAAEVMRATPGHYVALVTHRDADGAHKKQQPQAAEKPRVTRVKLLKPRDTLLLGQAYRLISVAEVTKALQAKKEDKARRAQQHQLPQANKLKAGSGDDDSQLDNGLDQDKDNNRSNPSTHSGARHRQWRPSLHSIAEVSS >Et_4B_036666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10129812:10133778:-1 gene:Et_4B_036666 transcript:Et_4B_036666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GPGTETQSILSGGTERGPTPPPPAFRHPEGSLPSPVAGVATALLTPPFPLASLPILDCSLSGVPSGLGLISFPEAPANDARNENQVDEGGKSGASLSAGSRSFRSRRQHDASRNEESSHPQLRRCLSFTSSAIDERIMSYSRDIPCSMSNDSDAPGHIGEVLDLYIDGEQEVTRLNEKHQQKPPIRPTAPYVGRGRPPRPHSTAPSSPKSCKEIMEDSNTDDVWHSQFAQERAKGTSKVASVCYEGGNGPGLTEASSENLSHFGERKSQSMTTAEDIYENLQDVRPPCFYNTSLDPASSTTSRYFAADACHHDEFHGFHDNLEQDSDEKLLQRAKEVDACLMVPPAEISELNAVRDKRLNSTEMTQLIQGLTEDRKQLASELSSQIKARLAERFAAKEQYRRSKLELDTRTRRLEKERTDIQSTLERELDRRSNDWSVKLDKFQSEEQRLRERVRELAEQNVSFQREITMLQSNKVSASNRITVLELQNEQLNSELQKVKNDHDNLQKSSTELHDNIVKAAEERDQIRKFLKEKEEDNKALHKVIAGLYSVSNEQGKTITGLRQGFSAELDKRLAGSSDNRLQMELIRLAGVEQKLRTEIESCTVEVASLRQENTTLLNRIQKSENRSSFSSIRLDQELHTRVDTLQTQGLSLLDDISQLCAKLLEFIKSKSGEDSSSVDAFAAIEFNLKYQSMKGRIENVKQSLRTMKSLLSEKQDEEIGQGAGDSVLRQENLARDEFDMRLREEAMISRVLKERLLSRELDIEQLQSDLAASIRIQDCMQNEVQRVQDELRCITHKSKHLEIQVLKKDEMISQIEQEYRESAKELTSLRRALKTASDERDVLWQESKQLRNTVSALQNDVASLKQRIKSLNEDIQVKESEILLREGEISILRDSIDRPFDYICSPRSMKQFNME >Et_2A_016465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2534888:2538569:-1 gene:Et_2A_016465 transcript:Et_2A_016465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLYGDLPPPSSSAGDDDKASTASVWSSATKMAPPTLRKPAFAPPPSVLRNQHLRPPKAASASTPSAPASVPVIEAPAPVPAATFQPAFVAVQSTVLEEYDPARPNDYEDYRKEKLRRAKEAELSKELERRRREEQEREREREQREKESREREERDYQSRASSLNISGEEAWKRRAAMSGGGAAAQRTPSSPPNGDGFAIGSSTSAGLGVGAGGQMTAAQRMMAKMGWKEGQGLGKQEQGITAPLVAKKTDRRGGVIIDESNSKPEKKPKSVNFDGQPTRVLLLRNMVGPGEVDDELEDEVASECAKYGTVSRVLIFEITQPNFPADEAVRIFIQFERAEEATKAMIDLQGRFFGGRVVQATFFDEEKFGRNELAPMPGEVPGFEDSMPVLPTFVVDLSFTNISDESLFALSGPVKIAGLNGQHLACPRFEYS >Et_9B_064363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14375881:14379302:-1 gene:Et_9B_064363 transcript:Et_9B_064363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPAPSPPRSAAPQKKRGSTKDSVGLYAVQCCTCYKWRTVPTKEEFETVRENLTEDPWSCSKRPDCSCDEPADIEYDNSRVWVIDKPNIPKPPQDTERLVIMRRDFTKMDAYYVMPNGKRARCENDVEKFLEAYPEYKDRISVSNFNFATPKIVEETVSDKAAWKAAKAKKQGKADTPGGKKYINVGQSRAFQPKSPVNDVDGALVAFSEVVVPTELLPA >Et_3A_027019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29699419:29701573:1 gene:Et_3A_027019 transcript:Et_3A_027019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAAGSCGGFEFHEATVDAIQLGFRNGSLTSTSLIRFYLDQIGRLNPLLHAVIEDNIATRDRLNTTAGSLALLGSVVRRDAGVVSRLRRAGAVILGKANPTEWSNFRPISTPGWSARGGQTLNPYVLSYTPCGSSAGPGVAAAANMAAVTLGSETDGSILCPSSFNSVVGIKPTVGLTSRSGVIPITPRQDSIGPMCRTVSDAVHLLDAIVGYDALDAEATGAASRYIPHGGYRQFLKKDGLKGKRIGVLDGIFDGSDDDQRMRVYEKHLRTMRQHGAIVIDHLDMELNLTDLSIQETTAMNAEFKLSLNAYLSDLLYSPERLKDFGQPDLIAAEKTNGIGKVEREAIRRLNEMSTNGLEKLMKEQQLDAIVAPDSAVSSILAIGGYPGIVVPAGYDEQGIPFAICFGRLKGYEPRLIEMAYAFEQGTRVRRQPSFKH >Et_4A_034117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30029340:30030588:1 gene:Et_4A_034117 transcript:Et_4A_034117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QVSTAQVTELADPAAARRPIATTRPTTPATPQPRVPRFSHAANRPPVSSATLAAGRREIPRYGPPVLLFPPRVSGPSLPPAAATTVPLNSRRLSGARLVPRRWRRAARLSSVSAVAAETPRTEEAPSPSPSGEERFDWLDQWYPLAPVCDLDPRAPHGKTVLGLSVVAWYDRGAGVWRVFDDACPHRLAPLSEGRIDDKGRLQCVYHGWCFDGAGACKFIPQAPALGPQVHKNSKACVASYPCVVQNNILWFYPRAEPEYKDVLQRKRPPYIPEIDDPEFTTVYGIRDLFYGYDVLVENLMDPAHVPYAHKGLMRGIRKKEDPGRYVSDLHALISSS >Et_5A_042388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9425956:9446310:-1 gene:Et_5A_042388 transcript:Et_5A_042388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSSPLLQSTVTREPPATGAHAGSRELEVILGEEATPWARRAGRGVAAELPLLLRVALPAVAVYMINYVIFVATPIFCGQIGNLELAAASLVTTGVQAFAYLGMGSAVETLCGQAYGAHKYSMLGVLLAATGIPLAVAYAFSELVALGEPELIVYGLIPQIFTYVRGKLPDPEAQSIVRPSALISAAAPRGPELAGHPPAWRGPPGRVARAQPQLVDRRGGAVRVRREEPAVKGNVDQVHGAGVHRPGCAASSSSRWCPLSRCTASRSGTSRCPVRVGNELGAGNPRAAAFSVVVVTSLSLAMAVACAGVVLCLRDHLSYFFTGARRDRGDRLLPPPRRHDCPQRRPARPLRNAGALGEALAAGLNINPFTWAASPAATELEAVVTDWLGKALHLPETLLFSGGGGGTLLGTSCEAMLRARSMGQTGADHAVDGRLEALLSGAGDAGSLPWPRRMAAAAALELRLLTPLAAPAVVVYMLIIVMSSATQIFCGQLGNVQLAAASLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAEKYEMLGVYLQRSTVLLMATGIPLAVVYAFSEPILLLLGQSPEIAGAAAEFAYGLIPQIFAYAANFPIQKFLQAQSIVAPSAYILAASFALLHLPLSWAAVYALGLGLFGASLTLSVTWWVLVVAQFAYIVWSPRCRATWTGFTWAAFADLPAFAGLSVASAVMLALEVWYFQVLILLAGMLPDPEVALDSLTVCTSIQSWVFMISVGFNAAASVRVGNELGAGNPRSAEFSAWMVTALSAFVSAIAGLVTFLLRDKLSYIFTGGEVVSHAVADLSPLLVGTIVLCGIQPVLSGVAVGCGWQAMVAYINIGCYYFIGVPFGVLLGFKFDFGIKGLWGGMIGGTLIQTLILIWITFRTDWNKEVEEARKRLDKWDDTRQPLLANKE >Et_5B_044055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19503923:19516870:-1 gene:Et_5B_044055 transcript:Et_5B_044055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLAYLSSMIVDGKELVVFLTNYPRIYRQPYSMHLLLNNCMFGRQMEAERVINFLLQTQPHGAENLEVLPIVGPGKVGKSTLVAHVCKDERVLGHFSEVIVLHDHDFADHELVTLIEGCAMKLQNQLSNSNKDRRVLFVVELIGDLDEDAWNMLYSASTQCVPSCSKIIVTSRSDKIKNLGTTQAITLNYLSHEAYWYFFKTLTFGSINPEMHPRLAYLAMDIARMLNGSFICIVATAYLLRDNFEIYFWSKLLAFLRRLVQKHVLRFGEHPFDLVDQNRIAHHRRMAMPSEYVVIYRQYECSPEVEVPKIMMEDLLYGSIKCHGKFEVLAWRSRLPPYYSYVFLSAFLAEITTRSINFFINKISKPTAQETENRLRNVLIRAQVIVDEAMGRRITNQAMLQQLDMIRDAMHRGYYMLDVFKCQSLYEGDTKDQVMGHSLSKLNSLKGFSSSSSNTQIKKQLEKAHDDLSSMIIDVKELVVLMTSYPRLYRQPYSMHILLENCMFGRKTEIELVINFLLRTQPHGAEELEVLPIVGPIKVGKSTLAAHVCKDERVRDHFSEVWFLRDQDFTAAMKHQHCVLNSRKDLRLLIIVDLVGDINEEAWDKLHSAAKRYLRNGSKIIVISQFDKIIKFGTTHALSLKHLPHDAYWYFFKTLTFGSTDPEMHPRLAYLAMEISKIMYGNLARANVTAYFLRENFNIQFWHKVLVFLRGFMQKHASRFGENAFDRVDQNRPSYFGRMATSSRDFVIYHEYHQRFSEEEVPKIRIQDVLYGSVQPRGIFEALLWRSRMPPYHSYVATCEISKLKNTGAKRKRSMKTGATHGDSPFCIPG >Et_3B_027715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15023754:15024797:1 gene:Et_3B_027715 transcript:Et_3B_027715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEGKSRDKHNGKKSKFTFRELLGRWDGAWAHPPSYYGPYHPQRTAQKKQTFERPYVKDHFQRNNRSGAQKKKKVARQVYCVKRDGRKDKSSDLSSSYEKLNNVVKISATDGKEMKQSMANIPSAKSEQKKLKVLNVKEELPLSKTEVKPIRSIGSPGWQWKKLRSLSAEGLKKKNMASWVPRGSTQVQRKDDVQVKDAKVAKKKKQDKKQIIRQRFAPDHQNYWSFDCPYSLPVPSAPMSWNPTRGMIGYFSWSYFQPCVLNGSLCHGGLFPNYHIF >Et_2A_018036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9299959:9303638:1 gene:Et_2A_018036 transcript:Et_2A_018036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAQESYKVRFPPKKSLRDEFVEAAKEMFFADDPLRQYKEQPRSKKIWLGLQHVFPILDWGRHYTLEKFKGDLIAGLTIASLSIPQDIGYSKLANLPPEIGLYSSFVPPLIYPFMGSSRDIAMGPVAVVSLLLGTLLKNEVDPKNNPLEYRRLAFHRDILCRNHSSRVFQLFLLDKQITHSMSGLAAGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGIKTFTANTDIFSVMESISRSAHHGWNWQTILISASFLGFLLVTKYIGRKNKKLFWVSAIAPLISVIVSTIFVYITRADKHGVAVVKNIKKGINPPSANLIYFTGPFALKGFKIGVVSGLIGLTEAIAIGRIFAGLKDYQLDGNKEMVALGTMNVVGGFGRSAVNSMAGCNTAASNMILSIVVLFTLEFITPLFKYTPNAILSSIVIAAVIGLIDCKSFYSIWKVDKMDFLAYLGAFFGVVFASVEYGLLIAVGISLAKIVLKATRPRTALLGNLPRTTIYTNMEQYPEVTTVPRVVIVRVDSAIYFTNSSHVKYRILRWLKEEEERQQEQKFPQIEFLIVELSPVVDTDTSGIHALEELLRILEKRQIQLILANPGMSVIHKLQSAKFTELMVRTIFSSQSKMR >Et_5A_042551.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:2050367:2050612:-1 gene:Et_5A_042551 transcript:Et_5A_042551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLSRWLCLSPVRRRRRHVVCHANGFRIGRPAPVLAIEDRLEAGQTYLVVPVDRLPRQGRDAAVTAASLAALSYARSST >Et_4B_039824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3458243:3460533:-1 gene:Et_4B_039824 transcript:Et_4B_039824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNNGWLGFSLSSSAARGYGDGGGGGSGSGGDGEGSCSSPAAASPLVAMPLQSDGSLPYGSPDWRRAEAKGPKLEDFMSVTCSNKSGSIHDGGSHADQAKYHEVHDLQPLQGSYYYHHGHGGGGIGLEINVNAPPCSDHRVLAPQDHHHHQQHQFLPHHGQQYFLGTPATNPSPAGAMPVMPMYNAAAGVGSSMSISGIKSWLREAMYVPERSSALSLAVADAPGEPLPAPMPVARRPAQTFGQRTSQFRGVTRHRWTGRYEAHLWDNTCRKEGQTRKGRQGGYDKEEKAARAYDLAALKYWGPSTHINFPLSTYEKELEEMKHMSRQEFIAHLRR >Et_4B_038900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5830020:5833621:1 gene:Et_4B_038900 transcript:Et_4B_038900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSNWLGFSLSPHMAMEAPSSSEPAPLPHSPAPASATMSSSPNSTTTCNFLFSSPAQMATPSPGYYYVGGAYGDVTTTAGVYYSHPHLPVMPVKSDGSLCNMEGMMASSSPKLEDFLGGGNGGGHDAATYYSHQEGQEEDDASKSYQYHQIVPYNFQPLTEAEMLQGAAAPMEEAMAAAAKNFLVTNYGACYSNGEMQPPLSLSMMSPGSQSSGCVGASPQQQHQIVAVAAAASAAAAQGRSNGGGEQCVGKKRGTGKGGHKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKRDGQARKGRQGGYDTEDKAARAYDLAALKYWGPATHINFPVEQYREELEEMEGMTRLEYVAHLRRRSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTYSTQEEAAEAYDIAAIKFRGLNAVTNFDITRYDVEKIMESNVLLPGEEARRVKAIEPVHGESARHNGGRELNQSGEASTEWRMVLHGASQEAVPCVEAADHQKSVMGDPHSSLHGIADLDFSNSSSLVTSLGNSREGSPERLGPAMLYAKHPDAAVSLATMNPWMQMPAPHLVRPGNVSHLPVFAAWADA >Et_1A_006241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19535510:19540804:-1 gene:Et_1A_006241 transcript:Et_1A_006241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSISAASRCVRCVTSAAAAAADDTASDVMPLLGGMGRRVAAGKRRAGGGGEGRGRRPGLGFWRGEGGEGERGGVGDGGGGRGREEEWHSKGEHGGVAGSGCGGQHPRGSADWPLGRNKVMKNRENYRYRNCNRPRAPNWARETPESSFEKMPVLPIPLRSLLSPAPAAATRRATPPHLLFGRRSPLAGALLFLSLGAFAGCALSHRRVPFFRVRSLSSVRMESASTTVPSIVVYVTVPANKEAGKKLAGSIISEKLAACVNIVPGIESVYWWEGKVQSDAEELLIIKTRESLLDALTEHVKANHEYDVPEVIALPIKGGNQKYLEWLKNSTREN >Et_6B_049243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2204929:2212259:1 gene:Et_6B_049243 transcript:Et_6B_049243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQSSMRITGTIKDATVIIHKTVFDNEDASCPKSCPLHANATINVDPSPGQQDHEFFDTIAIDSSNFHEQYAFDADIFTTYDERFLSQERDNLATFQEELMEENSLSDLLLSGAEAVEVRDSSLASAVLSKLDQLLPDICENSANSTLDHLAYHFAEGLHYQMSGACSPCYPQEPLQSGVMSAHQMIQELSPFVKFAHFTSNQAILDATMDDMEVHVIDFNVAEGVQWSSLMSDLSRHGDKSFHLTAVITDDAHYNHNTCHTTARCLSEFAESLNLPFQFNSVHMHHAGDLDDFSRNRGGSVIVSCDTTNLSFKSLRKLQMLLVECVKKLQPKLVVIVEDELVRIRKGASPSQASFVEFFFEALQHFTMVFESLASCFSGGKNRACLRLVQKDMVGPKIQDFVGQYGAETLEAAAPKVLEGFIPCELSACNIAQARMLVGLFSRSFGVAHEKGRLQLWLSMGTLISAIAGDLVSRFISFVAQNCFNHTRGDDDDSRRLERLLLRMHTVVEEAEGRCITNRGMLLQLKMLTEGMYVGDYMLDKLKIQVGKKTLVQHACKDERVYNCFSHILLLKEGDLRNRELASNLKADSGKCLFIIKFSWGVDEATWADFKSYLQKGADARSKIILIGRTQEVAKPSLSQEEYWYYFKALAFGSMDPDEHPRLASLGMQVATELKGSFLGANILGEMLRANPNTQFWRNILSSITELVQKHLSSFGVHPEDLLERNSPVDFPKVAFVGDQDQGCMVYDLRKLALDKLSNCGHRKKF >Et_2A_015995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2035383:2036808:-1 gene:Et_2A_015995 transcript:Et_2A_015995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDTAAAAATSGSIAPAISLTEKALKHLNRMRAERNEDLCLRIGVRQGGCSGMSYTMEFEDRANASPEDSVIEYDGFAIVCDPKSLLFMFGMELDYSDALIGGGFAFQNPNATKTCGCGKSFATGKETESAATACNN >Et_1B_012452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32033737:32039796:-1 gene:Et_1B_012452 transcript:Et_1B_012452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMKHLLRKLHLAGAGGGAGPDQHRPRQRRSGHPPVVAAAGAPETPHPAPAPVAAVAVEVAVPVAAAGELRGLGAEAATTRLEEDYQVRLALAISASDHAGLVDADSVQIRAAERISLGAAAGGDRSHVESLAARYWNHSVVNYDETLSDGFYDLCGAQLHPGFQARFPSLDYLKAVPAGGDVSFLAILVNRERDPALRRLEDRALAIAAQSRAHHSGAASAELVQKIAGLVVNAMGGLVEDADGMNSQWSMKSRQLSLQLNSVVLPLGSIRVGLSRHRSLLFKVLADRVSLPCKLVKGIYYTGTDEGAVNLVKVDFDSTEYIVDLMGAPGTLIPSDISGSQFHDSNDTQVNSDAIEESVAELCLALEQINRNDIEGSSSELEDLSQTDNLVKQNVISTNGQFNMSEHMKSNDVSKYIVPEVVDPQFAQNLHDLLLEGGALLPTDLLSSQDSPDIHGNKEIGINPSEGKKNAGWLLVSQTSPDSRNDFVAKDFSEPESTRADLDFHDHVSSAISNDGQGFAQDSLVNMSGSTNGNIDKLSWSSTKTISSVMDVVAEYEIPWEDLDIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDLSGVSLEQFKCEVRIMSRLRHPNVVLFLGYVTQPPNLSILTEYLPRGSLYRLLHRPNSQIDEIRRLKMALDVAKGMNYLHASHPTIVHRDLKSPNLLVDKNWVVKVSDFGMSRLKHHTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATMRVPWSGLNPMQVVGAVGFQNRRLEIPREVDPQVASIISSCWENDPSKRPSFSQLLSPLKQLQRLVVA >Et_7B_053997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14054229:14065638:1 gene:Et_7B_053997 transcript:Et_7B_053997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTMVGCPAKMGFRMPAEWEPHEQCWMGWPERPDNWREHAGPVQSTFARTAIAISKFEPVTICASAKQYPYVHKLMQHHTNIRVVEMSMNDSWFRDIGPTFITRKPGVGSGIKEQRIAGIDWEFNAWGVEIERIPRFPHRMVLEGGSIHVDGEGTCITTEECLLNPNRNPNMTKIEIENELKDFLGVTKIIWIPRGLYGDEDTNGHIDNLCCFIKPGVVLLSWTDDVNDPQYERSVEALSVLSKSVDAKGRKVEVVKIHIPGPLYITSEEANGVASTGHAVPREPGTRLAASYVNFYIANGGIVAPAFGDKWDKEASRVLEKAFPEHERSCWEEETYTASHSSSPCTPLRMVKVMEGSPAKMGFRMPAEWEPHEQCWMGWPERPDNWREHAGPAQETFARTAIAISKFEPVTICASTEQYPNVHKLMEHHTNIRVVEMSMNDSWFRDMGPTFVTRKAEPGIAKQTIAGIDWEFNAWGGIYDDWSLDRDIAKKIVQIERIPRFPHKMVLEGGSIHVDGEGTCITTEECLLNPNRNPDMTKLEIEKELKDYLGVTKVIWIPRGLYGDEDTNGHVDNLCCFIKPGVVLLSWTDDEKDPQYERSVEALSALTESVDAKGRQIEVVKIHVPGPLYMTEKEAAGVFSTVSIIAATHLSSVNLQCWFQRFLTVNMNMFQGHAVPREPGTRLAASYVNFYIANSGIIAPAFGDEKWDKEACQVLQKAFPEHEVVMVEGAREIVLGGGNVHCITQQQPIVEMERIPRFPHKMVLEGGSVHVDGEGDDDTNGHVDNLCCFVRPGVVLLSWTDDESDPQYERSAEALSTLSQSVDAKGRQIQVVKIRIPGPLYRTEDEAEDVVSTENAAPREAGARLAASYVNLYVANGGVVAPAFGDARDAEARAVLQEAFPDREGEACLSDEAYVQVVMVDGGREIVLGGGNIHCVTQQQPLRPA >Et_4A_032635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12014916:12016890:-1 gene:Et_4A_032635 transcript:Et_4A_032635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSPSLHLPWYASSSSPLLFLPIPIAASDTSSLEIPLNAVDGTFLQFGGSRNSQPNNSRTGFLGNTQGIRPRVVPASRIGLVRIECKESRIGKKPIEVPTNVTLTLEEQFVKAKGPLGELSLNYPGEVKVVKEESGSLRIYKTVETKRANQMHGLFRTLTDNIIVGVSKGFDKKLQLVGVGYRAAVEGNDLVMNLGFSHPVRMPVPEGLQVKVEENTRIIVSGYDKSAIGQFAATIKKWRPPEPYKGKGIRYADEVVRRKEGKAGKKK >Et_8B_060458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1693631:1707138:1 gene:Et_8B_060458 transcript:Et_8B_060458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVQKKKIATVAIYTAWNLWKERNRRRFQRKAADAEFVTGLVRQDIELLDLATGDEDFEMPWREAPTSCRFLPCLIQQSLFDDNQYLHLHSLFLGKLPHTRTILTLTALAGKTLVCSDASSGRVFALDSDIRQFVPVLINPLTGDATSLPALPKITRSRSCSLANQNQILPLSCFWRRRHEAELPRRYAMLGKLEEYGKMRAR >Et_9B_064552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16443235:16445236:1 gene:Et_9B_064552 transcript:Et_9B_064552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSAAPSGGSLVVVVAAVTLLLAAMGAEAETRRYQFDVQMTSVTRLCSTKSIVTVNGQYPGPTLFAREGDHVEVNVVNHSPYNMSIHWHGVRQLFSAWADGPSYITQCPIQPGRSYVYRFQIVGQRGTLWWHAHISWLRATVHGPIVILPPAGVPYPFPKPDGEVPLMLGEWWRNDTEAVIAEALRTGGGPNISDAYTINGLPGPLYNCSSAAQETFKLKVKPGKTYMLRLINAALNDELFFSVANHTLTVVDVDAVYVKPFTVDTLVIAPGQTSNVLLTAKPTFPGARYYMEARPYTNTQGTFDNTTVAGVLEYEGPRPVGSASTKNLPVFAPDLPQINDTSFVANYTAKLRSLASYQYPAAVPQQVDRRFFFTVGLGTHPCAVNGTCQGPNGSRFAASINNVSFVLPTKALLQSHFTGKSKGVYASNFPAYPLMPFNYTGTPPSNTNVMNGTKVVVLPFGTNVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPAKDPAKFNLVDPVERNTVGVPAGGWVAIRFRADNPGVWFMHCHLEVHMSWGLKMAWLVQDGSQPNQKLPPPPSDLPQC >Et_9A_063489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4273944:4274566:1 gene:Et_9A_063489 transcript:Et_9A_063489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRIQCTYAVTFPTTNLAPLPLSAFDGRFVSLEVPLNYVYLFPSPTIAFPELAKTLKHSLAQALQSFHPFASELTHLSSSHTIAIALPENGARFTFIEAKMDISLNGLLDAEELDKETLRLLVPDFLRNAGSGGVVVGMALHHAVADGHGLFHFLNTWTAATTGRSGSSNLNPLLYMTGTEVVKEAKADPLKY >Et_7A_051909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:26285383:26288773:-1 gene:Et_7A_051909 transcript:Et_7A_051909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSPAVLACRPSRRARDAVSELEMPSQSKIRPSSSSPAEAGPVVEPSKIRSSSSSPPEAAPKSRQRKLVVVLAMPWPHVLTLAGSDSDAGAGIQADIKACAALGAYCSSVITAITAQNTVGIQARLAPDLSGVHAVPEEFIEQQLNSVLSDLSVDVDLRPLRKQGGSEPPQSSCQKFEDVDANGDERGIPSRELKNGTLAVNGDSQSCAIPKIKMMMTIDPEQGIDDDGGKLTEDQELQTTLSYLIELEPKLWVPVSLLEGRICCKIKNNLVSIREQAQWQSSMCSSGRKPASPHAAH >Et_4B_039430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15105384:15116485:-1 gene:Et_4B_039430 transcript:Et_4B_039430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGADVEAFTAALNREVEASTSSSASVASSSQPTDHGTGLLSEENNSSLSQGHGQWQDSVKSEIANQESQQQEHAHSDLGKDHSSKSEVISQGSDNEHLHSNTPKECDSLKVKQEPGNSAPQGIVAQQQSVQQMKSQQPPGTNQTNSATTMKNEISKQHFLKAVRSIVGDKLLKQAASQYQMEQGAQAQRNPKTNPSNCSVSNQVSVQQNVGEQKARPVAQPIPVKQASDSPRAPQFRPSSSSQISDGKGMHMLQSRPPNIHSVQVQPMQHHVQHPQVPSPVFGTNNFHARPFPRPIGGPLASLRPQMVDSSQRAQLVQGAVTAIAGSAPRSTVPGNVPTNQSARQHLANKEQRTNSFTPAAHTNMESVNQHSESIPNSFPSMHAKQVNQVLGPSKGGVVLENQSPTLSASKSLAATSSSQAHQSHATQTEPKVQIQSPVQTSAPAASKTPQRKASSGQKKPLEALGSSPPPSSKKQKTSGGFHDQSIDQLNDVTAVSGVNLREEEEQLFSAPKDESRVSEAARRVVQLEEEKLIIRKVRKYNLKVIGTDVERCLSMCVEERLRGFISNIIRLSKQRVDVEKSRHRFYPLSSDVRSHIMRVNREAREQWDKKQAEDAERIRKQNDGDGNANDDSEKDKNETRATSKHAKTYKEVDDKMRTTAANVAARVAAGGDDMLSKWQMLAERNKHKSEGGDGSSGSLPDNLLPHKPSSKSGKDSREQQETERRGYSTILGPGGVRRSQITKVARNVTVKDVIAALEREPQMSKSSLLFQLYGKSSAESAANELVGIQIQADQILQVAQFLRDLAIEIVPDQQKNKNSRRGIQVFEVHKATELRADGAGDVGVA >Et_3A_025636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31440505:31443998:1 gene:Et_3A_025636 transcript:Et_3A_025636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGKRLPSLLLALLAAAVLPHAVSRDQREVVELSGAPEGVVWVVQLSDLHFSVHHPERAYDFRRYVGPALAMVNPALVLITGDLTDGKSKDLLTMKQNEVEWIEYENTIKDIIESSQLPGKTFYDLRGNHDSFGHLGGDYDFYQKYSINGKLRRQGRVQSITLEVSASIVHVCKKKYNGGRKHLFVGFDTTMEIGLRGPTNLFGHPTDEQLVELDQALSQWDTDFDMSPVTKIAFGHFPLSFSALTESGKSIKDIFLKHSLAAYLCGHLHATFGKNLKRYYQQTVQEPSLSDHYYQFNMHQGYAVHSNKENCSEEAAHIEEFWEWEMGDWRKSRSMRILAIDDGYVSYTDINFRLGSKSIVILPTFPLDSRFMQRASDSRDFNCHAMGASTFDTVRTLVFSKHEVVSVSVKIYDSRPGTLEVVFDSEMKRVDSNETRGHMYLVPWNWRAFEDPSPNRYWLQIEVMDITGDASVSQLRPFSVNGLASRASWTWKEFFVMGIQWASIYRPALWSVLSLTFSLLLVPQVAATVFKDQITYKNLFSNGSRWTMLKYVVGGFIWLFVELARVTLVWFLLLVYVIYLVVLPWLFGHPITEDNNLTYMTYKGWILKKSNSGSEILHAGTPDVMIIVIPHLCFVLVPTIVILSAMAAERTAYREHYLSRSGKKKDDHYQKSRRQIEHEKFWNSRWIRKVLFLLCLVVLWKHWKLCRALVKAYAMNPLLHAPILFFFIPAVMVFAFYKTSSI >Et_3A_023644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10279630:10285724:1 gene:Et_3A_023644 transcript:Et_3A_023644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFGGHLLQRRGGATFPRGRGDDDGGSWERAPPTLAQVMSRRAPEPWAIRRDAVRAAEAAAREVAVRVHPTREAERRRQDVIAYLQRLLGYTFGFEVFAFGSVPLKTYLPDGDADVTVLANTWLNSSLINDVRHVLELEMTSLDAELEVKGLTFIDADVKLLKCVIQNIVVDISFNQIGGVSTFCFLELIDREVGKDHLFKRSIMLIKAWCYHESRILGAHHGLLSTYALETLVLYIFNLFHKSLHGPLEALYRFLEYFSKFDWDKYGINLNGPVLLSSLPDLTLEPTVAQDEKLLGQEFLETSLGRVVVASGSNCRDTNFRVKFINIIDPLKGNNNLGRSVNKASFYRIWSAFSFGAQKLGQILTLPSDFIPDEIYGFFANTLQRHGNGERPDLGDDSSFESFLGNENAPNELADKELTAVHMHKTSDTYVPGDIQDLPWNKIWFTEIASDFSTNSSCSPCFSSRSSFYQQNVNKSDWTTFNAGKKSLPPFSESDMLDISGDLDLYLGCLRKVNYHLEYLYVELKEAIQKAWLSGEINEDFFKLLSVRTETKTRPQSLTIVLSTGTETRKLSPVNSTEDVAQQSHVEDQADVVRQQNVSLLTNGLSFASSPLSNSDKYLVSWLSMSPTSHGTGTYIPRVNYEMYRERMERFAPERGFMPERERRQRPRLADRQLDQRCCGVRSEHTAFQSTTSQVPVTQNGPLQDLYPSKSDPKGGFLPPREETATGPGTKQTTTNSPKVLISLDTLSSPAVDNSSKDSVGKERQIKPQSSGIDLPYLGQGNPPASSTCQLSSHEKASYSQLNAQAGKNIEFGSMGPFSLGLLSAQFEEAFPPLPKKPVQVHASVVQSPQPVVTESRNRYGQENLMFELKCAGLKRLIYCKMKLSSLLSKLNAAETSKTSR >Et_3B_031165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19596348:19597889:-1 gene:Et_3B_031165 transcript:Et_3B_031165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNAFLVLVFFCYVLSAADAAAYNVVRFGAEPDGRTDSAGAFAAAWSAACRSPGPATVYVPNGDFLLSHAAFSGPCSSRMTLEIDGKLVAPSSGYSSRGNAGGGGGGEWIVFDHVDGLTVSGGTVDGRGEPLWACKADGLGGCPGGATCVLVTTCRRMQSLTVLNSRDMVISGLRSVDSELYHVVINGCEGVTVRDVEIVAPGSSPNTDGIHVQGSSGVTVTRASIQTGDDCVSVGPGTANLRVEHVTCGPGHGISIGSLGKDSEEKGVENVTVTGTSLVGTENGLRIKTWARAAVQGAYVRGVVFEHALMRDVRNPIIIDQNYCPNHGGGAGCPAHQSSAVKISDVRYTDIRGSSASQVAVKFDCSASNPCSGIGLQDIRLTLDGGRPAEASCQNAAGKASGYVAPPSCL >Et_5B_045240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13579057:13581107:1 gene:Et_5B_045240 transcript:Et_5B_045240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDVIHDPGRGAPLAKVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGRRATLSIGNVLPLRGIPEGAVVCNVEHHVGDRGVFARCSGDYAIVISHNPDNGTSRIKLPSGAKKIVPSSCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKAT >Et_9B_065614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7992677:8003598:1 gene:Et_9B_065614 transcript:Et_9B_065614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFTVFLMIALAIVVVAPAVTGLPARRSRFLANSMPMYACSKKSAAACLAPGSPGATCCGGQCVDTISSSDHCGGCNKVCNHGRTCCGGRCVDLSSDKDNCGRCSNQCNKKCSYVLMPIMAVVVAATTASATIPAELGARRSRFLIANSAVYNPPLPSYDCSKKSATVCLAPGSPGSTCCGGKCVDTVASNDHCGGCNKVCKNGHVCCGGRCVDLLKDKYNCGKCFNQCNKKCSYGFCDYAHNVSMMAKLTNVLVLLVFATACSMVATSGDTLPGLQARRSRFLLGNSAFYNSPLPSYDCSKKTAAICLAPGSPGPSCCGGQCVDTIGSNEHCGGCNKVCKHGRMCCGRQARKSRFLLANTKVYNPPLPSSFDCSKKSAAVCLAPGSPGPTCCGGQCVDTVARADHCGGCNKVCKHGDVCCGGRCVDLLKDKDNCGKCFNQCNKKCSYGFCDYAHRSHCNVLSYQCRFHWSPNQEKPFPACKQ >Et_4B_039885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5076045:5079786:1 gene:Et_4B_039885 transcript:Et_4B_039885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSSRSSDSPASRVARWRSTGIVGLRDARLKEVPNEVLQVGNSMRILDLTNNKIVEIPQEVGRLVNLQRLILAGNLIENIPANIGYLRNLKILTLDRNKITSLPEELGSLSNLQQLSISQNSLLCLPKSVGNLRTMSLLNVSDNKLKALPESIGGCKSLEELQANGNSIEDVPSSICNLVCLKSLSLNGNKIRQLPQNLLKGCKALQNISLHDNPIMMDQFQQMDGFQEFEARRRKKFDKQIDSNVMMSSTALDEGIDLH >Et_3A_024306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19289754:19291422:-1 gene:Et_3A_024306 transcript:Et_3A_024306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPAVVETRSSRSAACTESVAAAARGTGPPAIPRRMAAAAGTLGTLEAAARGTCPPVTPRSTAAAAASSSVLETAVAETAAGEKEEEVMEMGVEEMAEEVKAAEEKGKGEGEMVAEVMAGEAMARAEEEMEAVVTVVEEMEAEEAATAARTSCT >Et_3A_023349.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:22095141:22095302:1 gene:Et_3A_023349 transcript:Et_3A_023349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KGAPFSPTTNSAKLDFSSRAIHSATKTNPSPWFLVGEWMIRQLMKLYSHFRVD >Et_9B_063791.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14288589:14288894:1 gene:Et_9B_063791 transcript:Et_9B_063791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRVGATEACFAVKGEAIEASPSLTIGAERPSLSSFISSNIFCSFSRCLSAAVNGRLLDALAELFAGGACCDDVSVAGFCFFDFPDLLSVLDDCDFESVF >Et_6A_047536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7013022:7015495:1 gene:Et_6A_047536 transcript:Et_6A_047536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSGSAASSRVRRQEKGMQRVVVAWSCAIATVLALATVTPVAAGMLADNAAAAAASPAPAPGSLNVTDICLSTPYPSACRTALSSPAGSRLGLGRAGAADPFAASMRFAMARATSARAVARNFTGSSSSSSSRLGGGVAAPPSGMDDCAELLDISVAQLGDALASRDDDAVTTWLSAAMTNQDTCADSLASAAGAVTSSVAREAVRARVSALAQFIGTALALHANKVKASASTASPAALPAWLSEHDAKLLRSPGPAAASGVAMDAVVALDGTGTHRSINEAIAAVTSSAKGVGGGGGGRKVIYVKAGRYEESVSIGNKQKNVMLMGDGKGKTVIVNHKSVGDGYTTYASATVGTYRVDYVTWAPAMGSGFIAKGLTIVNSAGPGKHQAVALRVGGDLSVVYQCAVQAYQDTLYVHSNRQFYADTDIAGTVDFIFGNAAAVIQNCDIQPRRPSPGQEDTVTAQGRTDPNQNTGISIHRCRIAAASDIGGTPVYLGRPWQKYSRTVVMKTSLDRSIAPAGWLEWSGQFALSTLYYGEYGNTGAGAGTSKRVTWSGVHSSLSTSDATRFTVANFILGNSWLGGTGVSYVSGL >Et_5B_043468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10932585:10936603:-1 gene:Et_5B_043468 transcript:Et_5B_043468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKPKQLLLQSKTKKGPTRISYSTIITWNLIVVLVLLSLYATYKHWHHRSAQDIAMDLHRGEHAGRSEDPKTTSRPGYAKYVKMLLRMLWIDLSTCGDFDFDGAAQEWILKAKASGKNDLSPKHEAFMIGTAKNPNNKGFDVFITTVPIPDLNEKLIVFGRVMKGEDIVQ >Et_2A_015034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26937987:26938523:-1 gene:Et_2A_015034 transcript:Et_2A_015034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKELVPIDDEHQRRRCFRRRIPTLFAIAKELSQDFGAHIAVVAFSPTDEPHAFGADTADSVLRAYLPDASPPPAAPSPGAGGEGAARVDGIRRELEETKGLVAAEWASAGKRNWWEVDVEALGEEELPVFVKALEMLRDEVQNRVDGMASARPPLPWKEQQQQ >Et_4A_034519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3985992:3991195:1 gene:Et_4A_034519 transcript:Et_4A_034519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRGKGGGGMADAAWLMLLLACCGCIWSPGQIFVAADTDPNDLTVLNTLFTSLNSPGQLQGWQASGGDPCGQKWQGVTCSGSGVTAIKLSNLGLSGNLAFNMNNLGSLVELDMSQNSLGGGSQIQYNLPTMKLERLNLAGNQFGGNIPYSISSMSNLKYLNLNHNQLQGNMPDMFSSLYSLTTLDLSSNSLTGDLPQSFTGLSSLKQLYLQNNQFTGYINVLANLPLDDLNVANNRFTGWIPSELKKINSLQTDGNSWSTGPAPPPPPYTAPPPPNRRPGQNNDGSSGSGGKSGIGGGGVAGIIISLLVVGAVVAFFVVKRRKRKAAVEEHFEQQQPFTSFPSNEVKDAPYMKPIEDATTIDIESLASPASVSLKPPPKIESHKSFDDDDFSNKHVTKKSNTTPIKATVYSVADLQMATDSFSFENLVGEGTFGRVYRAQFSDGKVLAIKKLDSTVMPFQSSDDFAELVSDISKLHHPNLNELVGYCMEHGQHLLVYDFHRNGSLHDLLHLSDEYSKPLSWNSRVKIALGSARALEYLHEICSPSVVHKNFKSSNILLDSEFNPHLSDAGLASYIPDAEFQAAEQSAGYTAPEVDMTGQYTLKSDVYSFGVVMLELLTGRMPFDSSRPRSEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVLALCVQPEPEFRPPMSEVVQALVRLVQRANMTKRMLDGDTSRADDQDQDFI >Et_2B_020018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16147558:16148671:1 gene:Et_2B_020018 transcript:Et_2B_020018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KTSPIQSSAYKIQRPLHPPLARIATTTPATTTTSTAISAATHREGKKAARGKRGGGAAARNIKGPRKKASIDRSAHHHHHRRRTTMGRSPCCEKAHTNKGAWTKEEDDRLVAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLSRGIDPVTHRPINEHASNITISFEAASRDDKAANLPGEWGQGKPLKCPDLNLELCISPPCHQEEPMKPVIKREAGLCFSCSLGLPKSTDCKCSNFLGLRTAMLDFRSLEMK >Et_1B_013191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6212483:6216158:-1 gene:Et_1B_013191 transcript:Et_1B_013191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQTDAAPGGGDDLATMREQCRTLEEAITARREAQLDLIASLQHLVPDLIPSLDNSLRLVAAFNGRPFVPTPNPNAADHAHHKPHHRRGALHDTARSTRRKTSPGSSPTPAAAGGAGAGGGIDAVRTMVAVCLLELVPFAEIDAAALARRLQAETSSASEAERAALADLATELGGSVHAAVALALRRIADDGGGVQIEEAFIGGKQMTMVWAIDRSKLLKELPESASVPQIQPPPTPQAAPSETETNSAMTPRPPPSPQQPDMWGHPMPPMFPRPRGMAMPRIPPGLMSLQRPFMTPGAVIPMGGVPGPSPTQLKHRTEEEELKDLELLLNKKTYREKQNTKTGEELLDLIHRPTAKETAVAAKFKTKGGSQLKEYCTNLTKEDCRRQTGSFVACEKVHFRRIIAPHTDTNLGDCSFLDTCRHTKTCKYVHYELDQTPDIPPMMAGAVAPPKQIKPQRAEYCSEIELGESQWINCDIRSFRMDILGQFGVIMADPPWDIHMELPYGTMGDEEMRTLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPLVNRNIDTDVIVAEVRETSRKPDEMYAMLERISPRTRKLELFARMHNTQAGWLSLGNQLNGVRLVDEGLRARYKAAYPDIEVQPPSPPRTSAPMDVDQSNSQKPAAPDAGERPS >Et_3A_026001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34500409:34501958:1 gene:Et_3A_026001 transcript:Et_3A_026001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVAKELGISPPAAVAPRRRSSARVAPLWGGGSPPVSDLWLRAGPAPEGFRSHSHDNDMDLAMLVSDFLENGASAGGDSRGSSDSESGLSDLAHLADSITMYKQGGDDKENELLAMVHSLLFSIHESELHAFKRGQCSGSCIRHLLVKLLRYSGYDAAVCTSKWQGFDKIPGGDHEYIDVIINSDTTGPERLIVDIDFRSHFEIARAVDSYGTLLNSLPVVYVGTLPRLKQFLHVMVDAAKWSLKQNSMPLPPWRSLPYLQAKWQSKYERKDLDTDEEDFHYVASDHALCIGHLKRLKSSLQSELETGRLLMMPIKTDKKRMPKFERRRRRSLLSC >Et_4B_039666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2604033:2606277:1 gene:Et_4B_039666 transcript:Et_4B_039666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWFKGNPYFNRVSSNATKSESPKVQSQSERNEKEDSQLPSNPKEVEALRRDTARNPLIAFTFEELRRITKNFRQDSLLGGGGFGRVYKGYITKDIREGLEIDEPLRVAVKVHDGDNSYQGHREWLAEVIFLGQLSHPNLVKLVGYCCEDDHRVLVYEFMPLGSVESHLFSRVMVPLPWSTRMKIALGAAKGLAFLHEAEKPVIYRDFKTSNILLDEEYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTAMSDVYSYGVVLLELLTGRKSLDKSRPVREQTLADWAFPLLIHKKKVLGIVDPRLAEDYPVKAVQKTAMLAYHCLNRNPKARPLMRDIVATLEPLQQMEETPSDGVAGGT >Et_3B_029224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23183414:23187298:1 gene:Et_3B_029224 transcript:Et_3B_029224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTAAAGDGDAAWAAAEHKVNLSARRPFSEAVRTGLAETFFPDDPFRGFGSLPPAARAWGALKYFVPALEWAPRYNLGKFKYDLLAGVTIASLAIPQGISYAKLANLPPVIGLYSSFVPPLLYAVFGSSNNLAVGTVAAASLLLASIIEDDVAPEDDPQLYLQLFYTAAFFTGIFQTALGVFRLGLIVDFLSRSTITGFMGGTATIIILQQLKGMLGMKHFTPKTDLISVMCSIFRNRHEWKWQSAILGICFLLFLLSSKQLRKKKPDLFWVTAIAPFMVVVIGGIFTFLVKGDEHGIPIVGDLKKGINPLSISQLTFESKHINTVVKAGLLSGILALAEGIAVGRSLALIKNEQIDGNKEMIAFGIMNIAGSFTSCYLTTGPFSKSAVNFHAGCKTPMSNVVMSVCIMLVLLFLAPLFKYTPLVALSAIIIVAMIGLIKVKEFCHLYKVDKFDFFICMVAFVGVVFFTMVIGLSASVGLSVVRALLHVARPKTCKLGSIGGSEIFRDVRHYPHARNIPNVLVLQLGSPIYFINAGYLRERILRWVEEEENACKLDGHDLQYVVLDLGGVTSIDNTGIGMLEEVHKSLDRKGIRIALTNPRLEVTEKLVLFGFIKDVIGEEWVFLTVHDAITACRYALQRSRSKEDGEV >Et_8A_057223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22519291:22519731:1 gene:Et_8A_057223 transcript:Et_8A_057223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCASSLCALGWNDGSEVDVRWRTNHWVVTESWFASGVGGFRVHFQFVFGFALVGPAVHQGRRFSG >Et_2B_021829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5902518:5907820:-1 gene:Et_2B_021829 transcript:Et_2B_021829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEFISLGSPCEADVKDDQVGELQDEAPGANVQPEGGASALGSSPKASDGVIDLEEGQVEDMDLADDDVVVAKHQQLDALVQSETTVASVHGFSVELDKGKGAENALIHASTTISVDESPARGVKRARVESTEPSVRIIYSDLTRESKRKLMELMQHWSEWQARTQQNLRDAAEEVLESGEETYYPALQIGSEKSCPVSFWVDIQARESAVVEEDSVPLYDREFTLGSTPLGLIRMILAVSIDCPKPRDNVAISNARKQHNLKRNQSNVNRVQNRYYQKTPGKFDDLRAGVLGPETRECLGIGENDPPPWLHRMRELGYPPGYLDVVDDEDKPSGITIFGDGEAKLEYEEGELPEHGEPSPPRKRMTVEFPGINAPIPENGDRWLWGSTPPQSSGRHHSSESREYRDRGPSSVDHYSSRYHSYDYGPQSPSLGRSHSDRRRSPSRYENSPADDGAWTPHSYPSRQYSSHYSSSSETSSRHSRDRHDRHYHHR >Et_5A_041925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4331239:4338100:-1 gene:Et_5A_041925 transcript:Et_5A_041925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRQFLNLIVANHEPGVKSLCCMHLEHLFGSPTMPPQTSQTPSADTGNLKNEAAADLTMERIQLRPPRFSFRATSAPGDQRKIDCFPLVDSSMICVDHLGRAFCFDAQKRLAGVVPRLHKPKCMPISLFVPKPDVDKDFSHLDGGSSLLIMERIPKPEVGYSTQYSDQFEAYVFGRTTAASWIKSWQRQLLPPPPYVRDPKFCHSHPEISSYVVLEGGSQVCISVKGVGTYLLDTVSYTWSEVGKWTLPFQGKVEYVPELKLWFGLSAEAGHLAAADLSTLSALDSQPQLVGVWKELVPPEEWKESKDSQLINLGSGMFCIARFFQSRTCNSDYGDELFDQNFAVFTGVKLDTPVLDSNCNSDSSGDTNVNGTNRAAKIRMTPYKSRCHTSNGTAIDAGVLQIKGIASQQILILIFSVTNFASLLLFCRSVSMSRMVESTVGISRRILNLIVDTRIAGIRSLCCIDLNRQKFFHPLQATSTTGGGSESVAAQISTTQTPATYAGNPWNKQAEAAASMMEKFRLRNPTFIFKAEGAEWNIDCLPLVDRKIVCTDQTGAFLLDYDSRQVAVVPNLQKPKLMPISLFLPSAGADADQGCGRIYVMESVPKPEAGCSTLPSDQFEAFFYRRPDEFWQCEFFSPPPFVRDPKYRHSHNKIESYAVVSGGSHICISTEEAGTYCLDTANHTWSKVSEWKLPFYGKVEYVPELKLWFGLSAESIENRNGKLEVTTFKPRCHMSKGVDIEVKFPTCICGRWAYPTFHSEHFFLEIEAMPDGDIDEEFQQPVERYCRLDRGQRYHPRGDDEDDRDYRRPRTRDVMSRRSPWTDDGGKKGIQVQIETVSGGVGTEVNLPDGDMLMLAVPLLHHPELVIRQRRGER >Et_4B_039135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8101446:8102844:1 gene:Et_4B_039135 transcript:Et_4B_039135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRHSKNNNDLAFFTYEEKRKLGYGTQRERLGKDSIKPFDACCLCLKPLIDPLCCPKGHAFCKECIFECLLAQKKDIKRKQAVHEAQKKQEKEEEEEKLMLQKAKELDAFDQQNHGAVPSYHDRSGSQDKNGFHGANSVKVTSFEEEALRNMKAFWLPSATPEATVKVEAPSTDTVCPEGQEKLRLKSLFPISFTEEKSDQKSKKSVEKSYMCPSCKSTLTNTMSLVAISTCGHVFCKKCSDKFLVADKVCLVCNKPFKERNLVPLEKGGTGFAAHDERLEAKDFKHLGSGSGLGLVKPAPKA >Et_3A_024421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20621766:20623311:-1 gene:Et_3A_024421 transcript:Et_3A_024421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGSATGSAAAPLLSSPDAPRRSTFAFFCSTLASMTTILMGYNLALMSGAELFMRQDLGLTDEQVEVLSGSMNLFMLASILSAGWAADLAGRRATLVLANAFLMVGALAMSLGASYSALMAARFVTSIGVGFALVVAPVYAAEIAPASARGLLTSLMDIFINGGILLSYVSSYALAGLPPRLGWRVMFALGVAPPVVLAAGVLAMPESPRWLAMRGRDDEALAVLARTSDTPAEAGDRLEEIRKAVAAQIGGAGVWRELLLAAGPSSSPVVRRILVNVLALFTLQQASGIDAIVLYSPLVFKKAGISSDDRGALAATVAMGVVKTLAILVATFLSDRVGRRPLLLASTAGIAGSLTVLGATLCVDPTTPASAAVCVVSVLTFVTAFSIGLGPLAPAYGAEILPLRLRAQGTSLGIAANRLTCGILSMTFISLANSISMAGCFFLYASTAVAAWVFVYVRLPETKGRTLEGMEVLFVK >Et_1B_011102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17654652:17658578:1 gene:Et_1B_011102 transcript:Et_1B_011102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAGDPRARRLPQSPEERREEDESEEEEEVESGEEDEGDGDGSEVASLADLCDPDTGSDDDPTFDPDADGDLEVEAVLRSRMSRMSISASARKGRYGGGGPSLFFPFSDWGAKLIRKGSAVPKMEKEDIDLLAMVDKLIKDGQLEKLKVYECKSYLRMHKLRLTGKKEVLLNRIREHIEVKNAGEVKYPVSSFVLNCKGDACKDDVVMFEQNIYRRKKGAPREVKRLCGQRTNTGRIIKESYGTAKQQHTFTIEILWSKGYKPWPPLHPLLIKGRNLYKDKTMRQPWTDEEERNRVLQEKHARGFVARKSRASRIQEKEIERKMKFNSLPLSIRTDEMKCPSLQNGERGNTMQQHIPLKVTPTQHNEVLPQKVGARTLKQECNEGPSIQPGGLQKQMHPQATHTQQTFKDSHQQPKYQNQAEVLQQEGTMGTYRKECTDGQAPSQQNRGSGNARHHQISSKLSTSHQTSKYPQQPPNHQYHNDVRSYRGEVDYQNNRYRAAEYSEPVYQPRGNSIQHANAHQHGSNCHQNAPADHSVHQPLRSRNQNFSSRDQYYGQGYYHQYNSGYRRMTREQYHPQGPQQNQHQNEYNHGHTTQYQYHTQQNQHQNYGDHRRTNHKQHYHEQNQPQQHPIQPSQRKPCNFYYKNGWCRYEENCWYSHDF >Et_8B_059924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4044391:4052463:-1 gene:Et_8B_059924 transcript:Et_8B_059924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATPLVALSKAVASSRSGSKPFPAHLLLRRCGGGGSAGNGDGGGGGGDGWKRPRASQGTAVAEEAAGREADVIVLDVGGMSCGGCAASVKRILENEPQVQSATVNLATEMAVVWAVPEERDAEDWKLHLDSSKVSSQRVLERKMTEKLENLKQSGRELAVSWALCAVCLLGHTSHIIGVNVPFMHLFHSTGFHLSLSIFTFIGPGRRLILDGIKSLVKGSPNMNTLVGLGALSSFAVSSIAAFIPKLGWKTFFEEPIMLIAFVLLGKNLEQRAKLKASSDMTGLLNILPSKARLMVDNDSEKASLIEVPCDSLAVGDYVVVLPGDRIPADGVVKSGRSTVDESSLTGEPMPVTKIAGAEVSAGSINLNGKLTVEVRRPGGETVMSDILRLVEEAQAREAPVQRLADKVAGNFTYGVMALSAATYVFWNIFGSQLVPAAIQHGGAMSLALQLSCSVLVIACPCALGLATPTAVLVGTSLGATRGLLLRGGDVLEKLSDVDAVVFDKTGTLTIGRPVVTKIIASQSEGNSNTKDCRIDEWTEVDILSFAAGVESNTNHPLGKAITEAARAANCPTMKANDGSFTEEPGSGAVATIGEKQVSVGTLNWIRRHGVIHNPFPEEEYFGQSVAYVAVLAEVKPHEKKKFISQLQKQHKLVAMVGDGINDAAALASADVGVAMGGGVGAASDVSSVVLMGNRLSQVVDALNLSKETMRTVKQNLWWAFLYNIVGLPIAAGALLPVTGTILTPSIAGALMGFSSVGVMANSLLLRVRLNSRQKLTSYSETSDEAEKNYSSKWST >Et_9A_062876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6070912:6073359:-1 gene:Et_9A_062876 transcript:Et_9A_062876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLGKISRKSPKSGGGGGSPPLKSPPSRGGNGAEGELSPSPPPPVSPAPAGSGAETREDVFLRKLNICCVVFDFAAERGKDSPELERKRQVLVSLVDCVSAAEEPLTEAMISGCVRMFAINLFRVFPPTVRSVASDEDEPFFDPSWYHLQVVYELLLRFVMSPVVDVKVARKHMDNSFISRLLDLFDSDDPRERECLKTVLHRVYGKFMGNRPFIRKAVSNIFYRFVFEMDHHNGIAELLEVFGSVISGFARPLKEEHKLFLWKALIPLHKPKTVGLYLPQLTYCIIQFIEKEPKLTGTVIRGLLKYWPVTNSQKEMMFLGELEEVLELTEMADFQKCAVPLFRRIAYCLNSSHFQVAERALFLWNNEHLFDLISQNRQVILPIIYPALERNARWHWNQSVLNVTMNVRKMFLDMDERLLLACQSNFQEEEEKRAASEERRRLVWERLEKNAAFCPVTGDISFAVPPTSAPLVAPTMT >Et_3B_029413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24807923:24810650:-1 gene:Et_3B_029413 transcript:Et_3B_029413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWRRASSGSAAAVAFSEPARPLAGGNARVSPEISAEAEKAADEGRWSALLPELLNDIMRRVHAGAERWPRRRDVVSCACVCRRWREAAVALVRPPLEGGGITFPSSLKQPGPRDAPIQCFIKRNKKNSAFYLYLNLTQALTDQGKFLLSAHRIRCGLRMEYVISIHSDDLSHGNHVGKLKSDLMRTKFTIYDRQPGHEGAKAPKSRSSPWIVSKQTSPHVSACDAEIGEVSYEYNLLKSRGPRKIQCSIQYPAHEAAIDPKEAMQPCSPSSVVLINKIPRWHEHLQCWCLNFHGRVMVASVKNFQLIPPMRSGVSWGVHDDETDDTVILQFGKIEEDVFTMDYRQPLSAFQAFAVCLTSFGSKLAWE >Et_1A_008360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6958083:6960668:-1 gene:Et_1A_008360 transcript:Et_1A_008360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGGSGRNGAATRRYNRSKVPRLRWTSELHHSFVRAVDCLGGPDKATPKLILQLMDVRGLTIAHVKSHLQMYRSSGHEHGAVKKEMQPRLVVHPKHSFTVDEGSPKEFLCPPMKRAKVGAEAAATHEGMLQGNSDMGDPGPRHCSDDCMQAMSMGRRVNQGLGWQRGAAASTLQALGFWVRGSEPFKVQQISRPIANNLSPMVRQQSPNEISFENRRFLFSSAIRDVPAERSSPSQSRDPKAVEAASSTSERSSVLSQPPSSTSFSGCSGPSGSCFAGQRVNLDLSLSIC >Et_9A_062763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4400079:4406864:1 gene:Et_9A_062763 transcript:Et_9A_062763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGRGGAARVAAAFLVLLHLAAAVAGKSYYDVLQVPKGASEDQIKRSYRKLALKYHPDKNPDNEEATKRFAEINNAYEVLTDQEKRKIYDRYGEEGLKQYQGGRGGSGGMNFQDIFSNFFGGGGGMEEEEEQIIKGDEVIVELEASLEDLYMGGSLKVWREKNVIKPAAGKRKCNCRNEVYHRQIGPGMYQQMTQEVCDQCPNVKYVREGDFLTVDIEKGMQEGQEVLFFEEGEPKIDGEPGDLKFRIRTAPHERFRREGNDLHTTVTISLVQALVGFQKTIKHLDNHLVEIGTKGITKPKEIRKYKGEGMPLYQSNKKGDLYVTFEVLFPKTLTDDQKAKLKDKPSHNI >Et_10B_002461.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:8612888:8613208:-1 gene:Et_10B_002461 transcript:Et_10B_002461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPINNRSPCFLIKLRSRSKFMFEVICYVGCWPGKCFRYISHVFNFCPDSIATAFNLGSHSGHLVPIEGIILVRGTNILQRHLESSFLSQGNTLPTVPNKAEIVQT >Et_2B_022061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8579265:8582378:-1 gene:Et_2B_022061 transcript:Et_2B_022061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQATTRVNVCSPSHAGPHTSTLRIKSLTQPPPCRPPTRAGPFEPSLLILFGPVRPAAGEETLAPQPPRPPPLPPPPTLMSLCSKLFALLRKSRALATTTTTAAAAAATVAGAATANGMEEAAAGPLRTRVCIIGSGPAAHTAAVYAARAELKPVLFEGWLANDIAAGGQLTTTTDVENFPGFPEGILGAELMDRCRAQSVRFGTKILSETVTSVDFSARPFRVASDDTVVHADSVVVATGAVARRLHFAGSDAFWNRGISACAVCDGAAPVFRNKPIAVVGGGDSAMEEANFLTKHGSQVYIIHRRNAFRASKIMQARALSNPKIQVVWDSEVVEAYGGAEGGPLAGVKVKNVVTGELSDLKVAGLFFAIGHEPATKFLAGQLELDSDGYVVTKPGTTHTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEQYLQGVGAQEGKAD >Et_3B_031352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26513091:26515168:1 gene:Et_3B_031352 transcript:Et_3B_031352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCPGSGDAEPSVAAAGDASSGLRAGAASLKAGATPTSAPTPNKPPAPIGPVLGRPMEDVRSIYTVGKELGRGQFGVTSLCTHKATGERFACKTIAKRKLSTKEDVEDVRREVQIIKDEHAPLKATDFGLSVFFKQGEVFKDIVGSAYYIAPEVLKRSYGPEADIWSVGVILYILLCGVPPFWAGESSVDFSSDPWPRISAGAKDLVRKMLTSDPKKRISAYDVLNHPWIKEDGEAPDTPLDNAVMNRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKGMDADNSGTITVDELRRGLAKQGTKLSEAEVEQLMEAADADGNGTIDYEEFITATMHMNRMDREEHLYTAFQYFDKDGSGCISKEELEQALREKGLLDGRDIKDIIAEVDADNDGRIDYSEFVAMMKKGNPDPNPKKRRDVVL >Et_4A_035152.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:1086073:1086519:1 gene:Et_4A_035152 transcript:Et_4A_035152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPATTDHHRAAPSLPSEETKRPIKKARIETHVDPAAEPSRVKRRIKIKRYPEYEDLTPTTNTDHRAPPSPSSEEKRHIKRVARFVARDSDGDLTEKLLLRLLTITRNRRRWGFLAPDHPFHPYYLKYKLYESCRLLRPEPAAAAGR >Et_9A_062937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:704348:712165:-1 gene:Et_9A_062937 transcript:Et_9A_062937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPEDLSILYHLQTLNLSYCLHLARLPKGMKYMTALRHLYTHGCSKLKMMPPELGRLTSLQTLTHFVAGTTDSSCSNLGELRLLDLGGYLEVRGLENVKESEAKAANLGDKERLTELMLRWTTDRNMAAQHHDKVLEGLKPNHGIKVLKIDSYGGSTFPTWINTLQHMVELVLSNCNKLEKLPPLWRLPALRVLCMSGMETLYCLCEGDPPFTFEKLKELRLNEMPNLTMWWELNEVQGQDPVFPEVEKLSIVGCKELTALPRASVITESSGGASTVWLSAFPALKELELDGLPTFRWKAAEGTVEEQITFPLLEKLIIESCPELINLPEAPKLSVLEAKGISQQILLHAARYIPSLSTMELSVIDGETTLPVDHSLIKLMDDKEKWNKKSSLRLIELCKCNFLLTQSSAPALWSCLVQLEELRIFNCNALVHWPENVFQLLVSLRELVIDACDKLTGCTQASEQSTPEQSVLPPCLERLRIWECASLVEVSTLPASLRELDIQNCDKLESVVIRKQQNIRLGSGDGVLRQEKSTPIPAGSCSESAATPNVPEIPTRSPPVTELWIDDCSNLQLLSLDCTQLFIVDCNKLKSVDYYLPSLEHLTLWDCKNLESIPSGPQAYSSLRYLKIISCPGRQLVNSRYLEIGVETAKRWKPEHVAFD >Et_3B_029432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2487243:2487956:1 gene:Et_3B_029432 transcript:Et_3B_029432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRLQRGCRRKSGRGPAALLVQFQTNREERKKFENFAVNTLRWPVEAWNQLQIGSLATAVSCPFGPWNRQYGLLASL >Et_1A_008628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9302082:9306762:1 gene:Et_1A_008628 transcript:Et_1A_008628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LKLEFRKSPRLVNPFHLKLHFFAALPLLPSSPPAHLNPRAALSLSRPKSRIPVALMARVYVGNLDPRVTAREIEDEFRVFGVLRSVWVARKPPGFAFLDFDDRRDAQDAIRELDGKNGWRERQPKGSVPKEAELQQVTAAASCSQLQQVTAAASCSQLQQVAASSSCSKSPPPARSQSRSPPPPPARSYSRSPAQQPQREESPYANDA >Et_4B_038457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29123971:29126325:-1 gene:Et_4B_038457 transcript:Et_4B_038457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTHHTLRRAAARPRGWCCSFAGVPQSPEHLALPSLAGGGGGVQKLPPKSPLAPAFHSSPSSKLAGFIDPRRILSPGRVSPIDHEGSPAVSVAAAAEEEAAAPREQTAQAPFVAVREEEEEGRVLDLRLCLRGRDGRCVVMELDSTVLCESSAFFADMMPDASGGAAGGKRIDVDGVDNLEAFKEAVELMFEPDHMRWLARAGVSRAIGVLEVSSSVMFKRGIESCLKYIEAVPWSENDEEKLKNVFSRCNFDEAISKDVLARLQPPCRSVSIDLTVHLVESVTSGGNNGARKEMQSLVSGLLSKSSVYQKDLSGLNKGSLYEICRSCLRSLVEIIMEDSEPLKHENESMIVPSSKPMIERVHKQTENLNWLFDIFLNNDMAEEFVELWAKQEELIRMHEQASPMFRYELSRISASVFIALGKGRIQCPSDLRSQLFHGWFRPMLLDFGWLQRCPKGLDVRILEENLGQALLTLPLHQQQSLFEEWFRNFASRGTECPNLSRAFQVWWRRSFVRSSVEVRR >Et_4B_039139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8131281:8137065:1 gene:Et_4B_039139 transcript:Et_4B_039139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGRGRSRRREEGGGSSHRSASHSAAPTVGHQEVASLRKQATFPGILPDHLFEAGNRQSKSRKASGVLMKMLIDEELSKDVSGRHISPGAVGRLMGLDSLPTSGSHNQCRYTQSHALRASPGSSHDRYGLYDDIPHRRSADDIKDVFEIMEASKTKMHRSPISRSGNRSSRPDKIDDADIDFVRQKFMDAKRLSTNESLHMSEELNETLDALVSNQDLLLEFLQKFDPVVRRDLRNHGSPSSATNCITILKPSRKNQFTGTDNIYLQGKGTESYINMQKEAKHSLRKQYPNVSSQCPKEDSGSLRQKLSRSSHQENTDKRSGATRIVVLKPNLEKAPDSGGAFALDHKVPHFDFARHKESQDIGRWSAYTEEYMCQESLEDSETLGRLGMGSREVAREITKQMRAARGGSRKHVVKSEVRTSISEKRPQFLSSVTKLKTSEAFHRSSEVCDSWASSSFHSSPTYSTETSVSKEAKKHLSNRWKKAHQHQHQITENDGFSTLGDMLALSDQDTSEVATHKMACRKCPKGEVETDRMQGSSIYPLGISSKDGWRDVVASNLTRSKSLPPSFSHGVQKSNSRKRTGTGRHNEFSMLKDVLKVGAHCSEYAYHNRQRQPFSRGSTFHGHESDLMSPDHEERMVIEREIHVNYEEPAVGIAMRDISEQSQHPAHIHHELDAVGFRDADSTVLDKDKEPLPPAGLNQQILEQSAIASDGPNLVPNLDDMVTEDERREYHQADDYLEMHKPNIQSESCVGIDHQQVDDNQTVRIPPNESESPTSSQNDDQQSPISVLESSIDAEDIYSGDFEKISADLQGKMQLRFLKRETTYNGGDSELFILSDDEPARQSPPEMKRSYAFRDEEERDFSYVLDMLTDLGIHAANQDELLNNCYLLECPAGPDLYDKLENKYRSLILWPQPERKLLFDTTNAVLEDMITSLMVSGSKGLLRRWYPGWDHEEFAEMVWQRVVQLRQEMELNQERLSLDVEWIGSEDGVYLVGSDIGCALQEDLLDEIIADFLVLPRSAKLRG >Et_2B_022724.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3778759:3780768:-1 gene:Et_2B_022724 transcript:Et_2B_022724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKKITVVPPGKDFIDIILSRTQRQTPTVVHKGYAISRIRQFYMRKVRYTQQNFYEKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIVAKISKDYLRLLKYGDSLYRCKCLKVAALGRMCTVIKRITPSLAYLEQIRQHMARLPSIDPNTRTVLICGYPNVGKSSFMNKVTRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLEGLSEDDMKLVMEMKAEAMKTIAQAGDPNEEGVLLTMSTLTDDGVMAVKNAACERLLEQRVDIKMKSKKMVDCLNRFHVAVPKPRDNKERPVCIPPAVLEARANAAAKEKKKLERDLEQENGGAGVYSASLKKHYMLANDEWKEDILPEILDGHNVADFLDPDILERCEELEREEGLRLEEEATQEAFQIDGHELTEEQKEILSQIRKKKALLIQEHRMKKRTAESRPIVPRKFDKDRKFTTDRMGRQLASMGYDASAAVDRARSKSRGRKRERSLSRAAADGDDMEIDGQQSNKKLRTRSRSRSKSRAPEEVTPGEGFKDSAQKKKAIKKAQASTKNRNKEARRGEADRVIPTLKPKHLFSGKRSIGKTSRR >Et_2A_014899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20909360:20910871:1 gene:Et_2A_014899 transcript:Et_2A_014899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLAKDVIKAIRNGVDPVAVNSGMGGAYYFKNIWGERVAIVKPTDEEPFAPNNPKGSVRVGETGFREVAAYLLDHSHFANVPPTMLVKVTHTVAVSKIASLQQFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVKKLGPGADNFGEQTELIPIDHGLCLPECLEDPYFEWIHWPQASIPFSEEELEYIANLDPVKDVEMLRMELPMIREACLRVLVLSTIFLKEAAAYGLCLSEIGDMMSRQFTGKEEEPSELELICMEARKWVEEREIFLPDEAGVENDDDDFTQFPLDSEDESDEFEAPVFSKFGTMKTSSRNPLSKLYECDEEDENEDDTTKEDSDTLSSASPDQTPSISKLPSSLKGFVILGKAKSYNSGIPKKVAGKTNYSGKASEHQSGSRSANELLPPSASFVKLSDMGPHEWSAFLEKFQELLPGAFRTRKQAAGTGPRPLQRLGTSCQF >Et_1A_007419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34305934:34310550:1 gene:Et_1A_007419 transcript:Et_1A_007419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EMNLSQSWQRSSKDMTTMPPLRQRGAAKKPMWIIVLLSLDWLPAVAQRERTDEEIISSVVIRDLLSMPMPVSKNPKIAFMFLTPGSLPFEKLWEKFLQGHDGRYSIYIHASREKPVHSSSLFVGREIHSEKVVWGRISMVDAEKRLLANALEDVDNQFFVLLSDSFLDPGPHGSGRYAPEMFPEIEHRDFRKGAQWFAITRRHALLILADNLYYNKFKLYCKPAEGRNCIADEHYLPTLFNMVDPGGIANWSVTHVDWSEGKWHPRSYRAADVTYELLKNITSVNEYLHVTSDDKKVVTRTPCMWNGTKRPCYLFARKFYPEAQNNLLKIFSSYTSA >Et_1A_005604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1189028:1193835:1 gene:Et_1A_005604 transcript:Et_1A_005604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFDQTVRDLKRGVNKKVLKVPGIEQKILDATSNEPWGPHGSLLADIAQATHNYHEYQMIMNIVWKRINDTGKNWRHVYKGLIVLDYLVAHGTERVIDDIREHAYQISTLADFQYIDSSGRDQGSNVRRKSQSLVSLVNDKERIQEVRQKALATRDKYRSAFATSGTHRSPGRYGSGYDDRYEGSYGSRSDNPNGYGREREYGYRDDDRYGGPGDTPNREGREGDRYSRDSNDRYREDEYKGSHSSHEYADGTGRRSYGRERDSYGDDEAYSSRGRGSNADVSTQDERPIERKLSNQQIASPPPNYEDVTRGGQDNLHDDRNGASVPSDMPKVLSPPASTTTGPAEQVNGVHDNAVQDAPVPQAAHAEPNGFDEFDPRGSVPDPSPPANSSPVVNSLEMDLFGSDPMSALALVSVPQPTTTTNIEPPANSGFETNGFMGMPPTSSGFGEFDASNPFGDPTPFKAVQEESPAVSHTSAAPAGSFQATGPGADANPNPFQPASAASFGFGDTLGDLTFASEQQDTFANKTSLVSEVLPANPSAVPQQSVQSFASSQPPQPAVAGASPVTNADPTAFAPQPPQGMAPNPHAIPQAAPSFAYSQAPQLAASNPSPVPQAVNSSFAHSQVPQHAAPHLPSGQSNHFMQPASGAGMDNLPGFPAQNGAPSYIPPQPQFTAPANQQLAQSSSLSQTAQPALISRGASQPLGMLNSVPSGANFPLHSSSSAPPETIISALQVSKTEPVKKFEPKSTLWADTLTRGLVNLDISGPKANPHADIGVDFDSINRKEKRQEKKTNQPPVVSTITMGKAMGAGSGIGRAGAGAMAPSSNPMGAGRGMGMGGGAGAGYGGGMGMNRPMGMGMGMGMNQQPMGMGMGMNQQPGMNQQPMGMNMGMGMNQQPMGMNMGMNQGMPMRPPMGMGPGGMPGAGYNQMGAAFGGQQQYGGYR >Et_1A_008244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5666623:5674403:1 gene:Et_1A_008244 transcript:Et_1A_008244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRREADAAGPSWAPSKSTAFRAFAAATGERAEASPSASGNGVAARSSNLRAVRKRPFVARLTTDIVQTFEKCNPEFKYSESLNPKRFLTNPAVPVHNNGHDNANSDLILYVNLELVNRKSDRRYVVQEMLGQGTFGQVAKCWDAETNNFVAVKVIKNQPAFYQQAIMEVSLLSLLNEKFDPDDQHHIVRMLDFFLWQNHLCITFEMLGHNLYELLKRNSLRGLKMKFVRTFSRQILDALVVMKDAGVIHCDLKPENILITPAVTTAAGVKVIDFGSACMEGKTIYSYIQSRYYRSPEVLLGYPYTTAIDMWSFGCIVAELYIGLPLFPGASECDVLWRMIEILGVQPPDDMLREAKHTGRFFKYIGSNYPGTESRNGSGSAYRLLTQEEIEARESKKPKMGKWYFPRRSLEKLILTYPWQSSNTEKADCLALVDFLRGLLEFDPNKRWSPLQASHHPFITSEPFTGPYEPVQETPRIPVARAAMVDHNPGGGHLLGAGLSPQVGSSNRGLRLNNAYQPNVPFSYGSSCGSLGSHGGSFLGSSPDFRRRPHLSYGGGIRLSPGGPGPMSLGASPSQFTPPTSQMQITTSATGKYGTSPARSGHGSLGKAAAVGQYNRRRNLGYPPMPVPPHEHASQQIQGHQADVMNAARFDAYGRGNSGYMHNALPDSGHFSWRPQRGVSSVLSSDPSSHGSFPPTRYNGVPPLHPSNISADTLPSTSSVPDPADWDPNYRSDHRFHASSIGENTHPTGLIPVDGYNHGNYSHQSFPIRHGQPYQQHNMTSSSIRPIRTQHNGPPVWNNYSSMESPTTNVGDGMPWGKRSSVLVPVVARRD >Et_7A_051835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25315572:25327564:-1 gene:Et_7A_051835 transcript:Et_7A_051835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPATDPPDFSPAVTAASQPTPEELVARAVAPVKPAFLRPPPVREAPKEDGKAAGITAEKKSKRQLKRERQQEKKSTSHLCIQVGKSGNVDSCKYGASCRFSHDINAYLAQKPADLEGTCPFASSGMLCPYGLTCRYLSMHNNQSPENCPEGNREINSFNKDTQKLLWKNKYDFPKATAQIKLLGLKDIKKNKVNSANDDKKADIDSPDKSCELNGNDKSESPCNTSVDVEPESNLCKETDNSEGELLAANSIQLVEPRPLKKSKVEVDETENHEAGIHDNEAEPEDHKLSNGLEVPSNNQSSCRIDLITTPHLHEKKIIDFREKLYLAPLTTVGNLPFRRLCKTLGADITCGEMAMCSNLLQGQASEWALLRRHSSEDLFGVQICGPYPDTIARTVELIDNECSVDFIDINMGCPIDIVVNKGAGSSLLTKPMRIKSIVQAASTVTEKPLTVKVRTAFFEGRNRADSIVSDIYDWGASALTIHGRSRQQRYSKLADWDYIYQCAQKAPDPLHVIGNGDVFSFTDWNKHLSDCPKISTCMIARGALIKPWIFTEIKEQKHWDITSGERLNILKDFVRFGLEHWGSDSKGVETTRYFLLEWLSYTCRYIPVGLLDVIPQRLNWRPPSYCGRDDLETMMASDSAADWIRISEMLLGKVPEGFKFAPKHKSNAYDRAENDFSQLSLPLIILSNDTVEDLSAQITQLSGFRLQEA >Et_9A_062437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22900631:22903049:-1 gene:Et_9A_062437 transcript:Et_9A_062437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGGGRQPAAETRRVALRALLLAGAEASSSSALPPVAEEAVRPATSSKGLLPQAFEPEAAARALRPAADWRGLGCTSAAAAAQVHAPVAEVDAEWRGRRRRRARERRKARGGGGGGVASGAGMGGGDVWCTPGIPFAAEASSVDCVVAPHQSAVGTRRRAQAERPHRERPGAPAARRVTMREHMSSSPMHSPPHHGMPFMDADSVPTATGRNRHLGGRRHSRGRVEEEIMMFRTRLLLGRMGMYDQYQDWRLDVDNMTYEELLDLEDRMGYVSTGLCEDEIIQSLRMVKYTAFNSKHFSTEMDRRCSICQEEFEVNEETGKLSCGHNYHVHCIKQWLSRKNSCPVCKTTISKT >Et_6A_047579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7672070:7674708:1 gene:Et_6A_047579 transcript:Et_6A_047579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAASSGAGEVALAAATKCKRVVAPPMPWRPRLVVLAAGYLVDAAIRFDGVIPRSLYHSSVIDVSAAAPRDVDVLAADPLRARLFYPCPAASSSAPPPVPVIVFFHGKSCSIRQTAGPRFVWSHDPVRAYAMPPVLTDTPRQAYKTSKTLVVSALSKTPTDVPTTRIRIRVAAMGEAAVPSGAAEAVPAAVAAAAAAAEAPKLRVAPPMPWRTRLAVLAAGYLTDATARADGTVNRRLLNVLDRGVPASAAPRNGVASRDVVIDPALPLRARLFVPCPSGAGDTAAANSPVPVVVFFHGGGFAYLSAASPSYDAACRRIARYTGAAVLSVDYRRSPEHKFPAAYDDGFAALRFLDDPKNLPDVPLLDPTRCFVAGDSAGGNIAHHVARRYAQSAASFTNVRLAGLLAIQPFFGGEERTPAELRLDGAPIVNVARTDWMWRAFLPPGAGRGHEAADARASSPAAAAGIDSPHFPPATVVVGGYDPLQDWQRRYAETLRAKGKEVRVLEYPDAIHAFYLFPEFADAKDLMLRIKDIVAGSGSAA >Et_2B_020996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25568466:25570517:-1 gene:Et_2B_020996 transcript:Et_2B_020996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSNSKKPSTATSNDRPMCVQGDSGGLVLTTDPKPRLRWTAELHDRFVDAVAQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQQKEFGDHSTMEMQRNVASSSGVMGRSMNDRSVNVNEALRIQMEVQRRLHGELEVQKHLQMRVEAQGKYMQSILEKAYQALGSSDCATWPTGYKSLGSQAVLDIGNSMGFSSLHQDMHFYGGSSSHMDQLLQQMERPMDHNFLTLGESGTGSVSKKGPTNHCSSTSNSSMMWAGEEQAKSGTGHQLQMGSMTSTMEGGIDVMDPIANVYDEAKPIMSGDSMGSKGFEGSSSKLEMMSPPSQQAPVGMRG >Et_7B_054887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5251099:5251783:1 gene:Et_7B_054887 transcript:Et_7B_054887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVVGFALLLLVPNSQADAKLPAGGEEQLREVLIDYPEPKRNVRGIPVLRQQRCNREPARWIHLNLAAGANGARTTIRLRHDNIYLVAFTNLTGQWYVFENRKHLMPTATPLKLKDDYGSLVKGHKNLGNHDLGKQEALQAVTYC >Et_9A_063391.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22749234:22750292:1 gene:Et_9A_063391 transcript:Et_9A_063391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPSLYKQLGLAAASPLSGRHLLFLVLGAGFLAFTIFVVHPNEFRIQSFFSGGGCARPIPDDDPASRAPVKAKSVPHEANATTDDVDVRILIGIQTLPGKYARRHLLRSVYSLQVDEHPSQLAGAVDVRFVFCNVTSPDDAVLVALEIIRFGDIIVLDCAENMDNGKTYTFFSTVARAFSNGSYDYVMKADDDTYLRLPALVASLRGASREDAYYGLQMPCDAENFYPFPPFMSGMGYALSWDLVAWVAESELSRRDRVGPEDMWTGRWLNLAGKAKHRYDMGPRMYNYKGSSPDSCFRHGFVPDTVAVHMLKDDARWAETLAYFNATAALPSSAGLYHLPRPAATARP >Et_4A_033204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:202444:205704:-1 gene:Et_4A_033204 transcript:Et_4A_033204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELHPLCCGGECPPSPPAPAPPSVAGVLYKWTNIGKGWRPRWFAVRGAIIAYSKIRRRSSPIDDVVHLKISSFRESKSDDRRFYIITPAKTLQLRTHSADDRMAWIQALISARAESSQTGTLSCHPNGASISTSRLLVLNADDTTNISKPTPRLMESEYSCSRHGKCSERSNSESSDDIEQEGLDEILDQADYLFYDTRESFSDSSASPELKSSNSCKYICLCNGNLVEPRADMRNSECIPPYLKRRTELPKPVEKENGISLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYEFGRKGNSLMRILNVAAFAVSGYASSDGRSCKPFNPLLGETYEADYPENGIRFFSEKVSHHPMVMACHSQGKGWKFWGDSNIKSKFWGQTIQLDPVGVLTLEFDDGETFRWSKVTTTINNLIIGRVHCHHHGTMNISGNKEYSCKLTFKQQSFLERKPRQVDGLVKDVNGATVANLMGRWDESMYCTTTSDASGVNCSASSRNADVTLLWEKNEPSANPTRYNLSSFAITLNELTPELKEKLPPTDSRLRPDQRYLENGEYGKANAEKLRLETRQRMARKMQENGWKARWFERDSEDGTFRYSGGYWEAREQGKWDDCCDDIFGHGDSSDS >Et_3B_029758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27687703:27694593:1 gene:Et_3B_029758 transcript:Et_3B_029758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTMVSQLVKHDRIETTVAKAKEVRRKADQMVQLGKEGTLDAARRAAEFVRGDDVVHKLFTELAYRYKDRAGGYTRLLCTRIRVGDAAPMAYIELSTQTSDSDR >Et_2B_019376.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2588895:2588963:-1 gene:Et_2B_019376 transcript:Et_2B_019376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFSDMKTNPTIQLLLAAVKT >Et_3A_027205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4883363:4886856:1 gene:Et_3A_027205 transcript:Et_3A_027205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKLSTPATSLLAGGRSRRSAPARRATVIRAAAGSYSDELVSTAKSVASPGRGILAIDESNATCGKRLSSIGLDNTEVNRQAYRQLLLTTAGLGEYISGAILFEETLYQSTTDGKKFVDCLKDQNIMPGIKVDKGLVPLPGSNNESWCQGLDGLASRCAEYYKQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAIAQDNGLVPIVEPEILLDGDHGIERTLEVAEKVWSEVFFYLAQNNVLFEGILLKPSMVTPGAEHKEKASPEAIAKHTLTMLRRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNSVLKTWQGRPENVEAAQKALLSRAKANSLAQLGRYTGEGESDEAKKGMFQKGYTY >Et_5A_040375.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:18085303:18085539:-1 gene:Et_5A_040375 transcript:Et_5A_040375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMAMFKFDRPSQGPAAAVRTLSPTHSSPRSTPTTWRLPTSQAATAGPNSRRPMISARSLPKHQQKIKETFFFLCNN >Et_1A_005489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10806426:10809376:-1 gene:Et_1A_005489 transcript:Et_1A_005489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHRPVTARDSWAVSPVNKSRATSPPYNLAALLERQPPAARAIRPPRRAHLSLSPRLVAPPHPTSHSRTLSTPSASQESERAPEAMADASSPASPLLPSRKAQYARCASHPRDELRSFRACLRWLCVDHSTSARSAASWAAFLLLAVAAPAALRLATPSSSSTPDRPFDGLVQILRMVGFAREFGRFADVATVLLHHRRIREQLRKISHRYRKFIVCSLVLVSASQFAALLATTRPHATVNLATAGELALTSLSLVAGLLMCLHSAAKITHKTQAITSVAAAWHADATVHAFDNDQENPDPDPPATAGYLAPANAYRLAAGDESGSDDDYDSRSEGSIDDPKYVPFQANNICFQKRQALVTYLENNRAGITVYGFVVDRAWLHALFMIEFSLVMWLLGKTVGIS >Et_5A_042083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6000729:6003039:-1 gene:Et_5A_042083 transcript:Et_5A_042083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAQAASMREEDLSKNGRRPGPEERALQIQKPLDYLEEKMKGFDGYDQLSEATKERSRAYKRAFVEEEYDRLGFLDDLVEVEDDEEQLAREYRENWIWGGLYSFEETTRIPAMRFTDSHPLPSDANTRTTVQVFSAKIAGISGGLQFPIDVFSVIAARDSTDRRRNIIFSRTRDNCQTITEQDPYLVLTGPSGAILFLGHVFIEVMLNVKGAVESEDKILNFQASELIYSDTWRSRMIDGTYTRKHSTLELTLGSIVSSVEATIFVRVVDGSLPGAFFVTAHTNNSCPDHINNGRRVEETDCKTILLFDSRDVTMPVSSDGRIKLSRSVVSVRKTGELIISLKSFEPSKENLLCNKKVVLAAREAGRSSGMLQTELCTVEINVAWSLISYLG >Et_9B_065244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2731715:2737963:-1 gene:Et_9B_065244 transcript:Et_9B_065244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGSRAAPAAASPPWHALPDEVWEHAFSFLPAASDRGAAAGACRSWLRAERRSRRRLSVANCYAASPQDAVERFPAVRAAEVKGKPHFADFGLVPPAWGAAAAPWVAAAADGWPLLEELSFKRMVVTDECLEMIAASFRNFQVLRLVSCEGFSTAGLAAIAAGCRNLRELDLQENEIEDCSIHWLSLFPESFTSLVTLNFSCLEGDVNINVLERLVTRCGNLKTLKLNNSIPLDKLASLLRKAPQIVELGTGKFSADYHPDLLAKLEAAFAGCKSLRRLSGAWDSVPDYLPAFYCVCEGLTSLNLSYATIRGPELIKFISRCKNLQLLWVMDLIEDHGLAAVAQSCNKLQELRVFPSDPFDPAGQVSLTERGLVDVSASCPMLESVLYFCRRMTNEALITIAKNRPNFTCFRLCIIEPHTPDYITHQPLDSGFSAIVESCKGLTRLSVSGLLTDRVFKSIGAHADRLEMLSIAFAGNSDLGLHYILSGCKSLKKLEIRDCPFGDKPLLANAAKLETMRSLWMSSCSLTLGACRQLARKMPRLSVEVMNDSERACPLDSLTDESPVDKLYVYRTIAGKEGKSVILRFVVTGCVLYSVFM >Et_7B_054613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2866704:2868668:1 gene:Et_7B_054613 transcript:Et_7B_054613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DTGSLVLLDGTGQVAWSSNSTSSSPVEAQLLNSGDLVVRNQGSTDTLWHSFNVPQNVFLSGMKVGKDFFSGAEWYLTSWRSPDDPSPGAYTRRLDTNGLPDKIVWQGDVKTFRSGPWNGVQFGGIPEVQSYKNGLFDYQMVISSREITYGYQIRPGATYTYVVLTDTGLVRRLAWDASSRTWQEYYRGPRDVCDNYGKCGAFGVCNISAAETLYCSCLTGYSPASPSAWPKVTSGGCRRNVKRPDHCGQTRDGFLPVRSVKLPDTHNATVDKSITVEECRVRCLSDCSCLAYAAAEVRVGGNFSGCVMWSGDLIDLRYVDSGQDMYLRLTESELPPASPPPSPPSPPSATKSFPTAAVAGASVGSILGVTLVALLILFVIKRRRRSARSSSRRPPATVLSVEHPVAPPTVPFVELSSLKEATGDFFESNIIGRGGFGIVYEGHLPDGRKVAVKRLNQSSPDDERGDDFMREVEVMSKLRHPNLVQLLSYCKDGNERILVYKYMKNKSLNLYIFGMCGQFA >Et_4B_036254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13136364:13137647:1 gene:Et_4B_036254 transcript:Et_4B_036254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPPTTTTWPPGHRRAFLRPLLFLLVLAAARPASSQLFTPPPGYTFSRAFSPPAADAGGGGGGGSFSLTTSLLFVALIIALFLLGFFSAYLRRCADATAGGARRGGGGARGAQGVGGGRRRPAGLEAAAMEALPVLTYATARSLKAGRGALECAVCLAEFGDGGEKLRLLPGCCHVFHAACIDVWLAAHVTCPVCRADLSDPAVADAGHVLAADLAAQQDVDTSSSESTPGAASSSEHQLAETAEERVDRYTLRLPERLKREIEAARRHRRAVSAVSAAAASGRWTAEAALRTMSAARVSRRWSGLFRALSGPRRSEPDGHHHHRRVAPLHADDDAHDGEVEVVVVQSDAGTHMEKYYSHSLTFAGFVIDGDVASGDWNPEVFQVSTAVPGSSKR >Et_1B_012429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31979889:31983876:-1 gene:Et_1B_012429 transcript:Et_1B_012429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPFLLVAGGGERGRRVLLAAFLLAAFLLASAANAAVSYDRRSLVINGRRRILISGSIHYPRSTPEMWPGLIQKAKDGGLDVIQTYVFWNGHEPAQGQYHFADRYDLVRFVKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKEAMQKFVEKIVTMMKSEGLFEWQGGPIIMAQVENEFGPMESVVGSGAKPYANWAAKMAVATNTGVPWVMCLQDDAPDPVINTCNGFYCDYFSPNKNYKPTMWTEAWTGWFTSFGGAVPHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEFGLLRQPKWGHLRDLHKAIKQAEPAVISGDLTIQKIGNYEKAYVFKSKSGACAAFLSNYHMKEGSVKVRFNGRHYDLPAWSISILPDCKTAVFNTATVKQPTLVPKMNPVLRFAWQSYSEDTNSLDDSAFTKDGLVEQLSMTWDKSDYLWYTTYVNIGANEQFLKSGQGLQLTIYSAGHSMQVFVNGRSYGSVYGGYDNPKLTFNEHVKMWQGSNKISILSSAVGLPNQGNHFETWNVGVLGPVTLSGLNEGKKDLSHQKWTYQVGLKGESLGLHTVTGSSAVEWAGPGGKQPLTWHKALFNAPAGSDPVALDMGSMGKGQIWVNGHHAGRYWSYRANSGSCARCRYAGTYHDKQCRSGCGDLSQRWYHVPRSWLKPSGNLLVVLEEYGGDLAAVALATRTT >Et_10B_002570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16332652:16333898:1 gene:Et_10B_002570 transcript:Et_10B_002570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTILVSSRAAVLGTALVLVLLLEVAVVVRGQLQVGFYSDSCPDAEDVVTAAVQDAASNDPTILPALLRLQFHDCFVKGCDASVLIRRSSNDAEENNSKNQGLRGQEVVEAAKAQLEEQCLGVVSCADILALAARDAVAMTGGPAFDILTGRRDGLSSNLRDADVLPDAGDPIDVLRSRFAAAGLTDRDLVLLTAAHTDRLYNFPLGPGGRQRGADPSIPAAFLAELKSRCAPGDLNTRLPLDRGSEARFDDSILRNIRSGQAVIASDAALANSNATRALVDAYLGAAARRFRRDFADAMVRMGSIGAITGDDGEVRDVCSAVNTN >Et_10A_000290.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21414280:21414480:-1 gene:Et_10A_000290 transcript:Et_10A_000290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVGETAEQGCALCGELFREDDLLRMMPCKHSFHQICAFRRLCVNGTCPCCHFAMPAAEEEKKRP >Et_6A_048064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4902275:4903824:1 gene:Et_6A_048064 transcript:Et_6A_048064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACRFGFDPPLPPAFKFDPTDADLVAHYLLPRALGLQSEYEHAVIDDDPASCPPWKLMRRHGHAGSHHAFFFAPPRDPTTKERVNRVVPPPANGGGVGGTWRGQKGQEEELFLLRGGGGNGEIVVRYKKYNLTYYRDGEEKKSSGWVMNEYQIVDPPLLPGDVLARVKITDRANKVRRKQQQHKTATATGDAHKSVTSYHGEPGPSGSSYYHHVHGQQPAGNAFSVTINDGAGTSGSAQAVVAVPQEYCDGADMGVHDVNYDTEHKLLLHKITYSL >Et_8B_059640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20076519:20079556:-1 gene:Et_8B_059640 transcript:Et_8B_059640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKPGDASAAPAAAMDATAEAAAPPEVTMSFQSPAPAPAAAAAAAEKGSSSGVLVSPPTGTATVGPAGGGAVAPVVMKVAKKRGRPRKYGPDGSLIRPLNATPISASAPMASAMAVGQYTPASAVGAAMKRGRGRPIDFAAAAAKPYHHYPQQQQFGFHFDAIGDLVACSAGANFTPHIITVAPGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTENSGTRSRSGGMSVSLASPDGRVAGGGVAGLLVAASPVQIVVGSFLPSYQLEQKNKKPRVEVTPTPQTPPAVPLSSTETHSSEHGQHSSAAPRTTNIVSSAYSADQSWASPAQPMPESSRTPSGDLKVTASGS >Et_1A_006328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20794719:20804748:1 gene:Et_1A_006328 transcript:Et_1A_006328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALITATRPRRHGVERQRPHGLHADSTMRFRGGMAAARPDGDAALGASPAATAPSGAARDDGNGIEPRLTRPCTHLQPGASHGMRRGCGAPPRQRPTRQRPPDARNGGARLACMGDPNGPLYNGGIIKNSEFNDGLKDWLVPWGVEANVSSSQSGNKFAASRSNGTKPSRSVFQKFQLQSSNHLRPLRYDAHTHEIFTWLQVPNKEVVVKATVKTLDGAVLVTPGCWTMLKGGMTSDSSGPAELSFEVDDVVDIMLDSVSLQPFTLEEWSAQRNLSTEKVRKSTVKVVTRGADGKPLANATVNIVLSKPGFPFGNAMTKEILDIPAYEQWSASRFTVATFENEMKWYSTEWTQNQENYAGPDAMLRLAERHGITVRGHNVVWDDAKCQMEWVKPMGPAQLTAAVERRLRSVVTRYAGKVIAWDVVNENLHYDFFERRLGPDASPRIYQQVGRLDKSAVLFMNEFNVLEQPGNTESLPSKYAAKMGQIRAFAGNAGLRLAVGLESHFATPNIPYMRAALDTLGQLGVPIWLTEVDVAPGPMQAAYLEKVLREGYAHPSVQGIVMWGAWHAKGCYVMCLTDDNFRNLPVGDVVDKLIAEWRTHAKAATTDADGVVEVNLVHGVYDFTVTHPSLKAPAVQAVTVDASSLPPGKTIDIKV >Et_6A_047079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2554675:2559617:1 gene:Et_6A_047079 transcript:Et_6A_047079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANALYRSNQQACGGRAAANATARAVAAHTRSARAYLLRTDREPYLHWLYETNACDGHLTTDEIYRSHVSRGARQRVYRLSPPAVSDEAEHRGGGSDLGDELHTPPPPPNKEPPSGWMVGANLKGRIVDLMLNLGMALEPDLVADGGVVVVVAVPRPDGAGLVDDPQPAVPEARLPEQGQVRRLVVLDEPSYMNREIIGIKKLLFFDTTRTPHMNQPFEMAAVTYAPESKAFPVSSVANGVDVTGEDDVDARVVERLLHGAAHPVALALVRLVGVVPRRVQEHEQPRRPTPVDARQVALQPPPLLRPFLERRVGAQHHDVRRAARRVEGVVQVGAGRRALNGVVRHPPPRVVGDERRELDDGERLDLVVALRHHPRPRARQRLHQAPERVPQRLLRVSVRQVAREKEHVVVGPADVRQRRRRPTRLTQVTCTKCQSMQHTFILLKYWNGVLVMKRAMCIYLLTDDDELDGQSGRRGPEAELVAGVAAPVVVRRARLKRADRDVVDGAGLVEEVLVRGVLARRGGRVLPRRFAVLHHGRRLPVVGDP >Et_3A_026953.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27803648:27803848:-1 gene:Et_3A_026953 transcript:Et_3A_026953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDNLRVFLVLLLAQVCLLMAMAASAVQGSRAGPVTPETTPECCLYNPNCCQHGFGAGAVADAKP >Et_1B_012160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29572871:29573624:1 gene:Et_1B_012160 transcript:Et_1B_012160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSISRVTLLQKRHSLPLARPQKRVIFAFDLDDETVTTINLPGNGYIPNDYYQMYRLMEVSGRPCIETSDWKDKCRCHILWLLTVDHQWEKMCVIKKQNNCERCFINGVWECGGALVVHLNGLFSDPNMLYLLRSSSTMKIFRTDLPLNFKPELSEYAFCWGYKPTLVPPGSIMDEVDQGEKRTQRRTADIIRAAKDLNKQEKREGRKATARVVCLMELLAGVLSGLPENMQEVIEDLRSL >Et_2B_021474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3003925:3008135:1 gene:Et_2B_021474 transcript:Et_2B_021474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSALATEVFIPVAGIIGIAFAVVQWVLVSKVKLSPAAAASGGNKNGGYGDYLIEEEEGLNDHNVVVKCAEIQNAISEGATSFLFTEYQYVGIFMSIFAVVIFLFLGSVESFSTKSQPCTYSKDKTCKPALFTALFSTVSFLLGAITSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLASSGLVVLYITINVFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDFTGMCYPLLVSSVGIIVCLITTLFATDIFEVKAVNEIEPALKKQLVISTALMTVGIAIISWLALPAKFTIYNFGTQKEVSNWGLFFCVAIGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIALSIYVSFSIAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVTVVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTLFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASDHARTLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKLF >Et_7B_053377.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:14351926:14352135:1 gene:Et_7B_053377 transcript:Et_7B_053377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVIVATALFVLLTPGLLCTLPGRGRVAEFGSMHTSALAILVHAILYFALITIFLIAIGIHIYAG >Et_1A_005527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11163792:11164737:1 gene:Et_1A_005527 transcript:Et_1A_005527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPAQYMLSASGCIFLRSKPQASLVRGSLASIGGVSSSRQFLLSCNASSSPSPSSPPPAPPQDSNCNEEECAPEKEVGSVSAEWLAEERTQVVGTFPPKKKFTGLVEKDTAGQTNIYSVEPTVYVAESAISSGTAGSSSEGAENTAAIAGGLVLITVAAASSILIQVSKNQPQVPAANYSGPPLSYYIAKFQPEPVAAPPVEAPAPDAPVEVQDSPAAEASAAPAETEPLSS >Et_3B_028950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20815717:20822681:-1 gene:Et_3B_028950 transcript:Et_3B_028950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVAAAGTAAAARPLGGGSGSDMLRPAAARLQPLAARVALSGAVRGRRDSQVASVISRAPRPDAEVLPVTPDDELSVKEEANFQHLKAIQQLATAANGVWSKPNVRRKTKIVCTIGPSTNTREMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNASHGDNVIAIMLDTKGPEVRSGDLPQPIFLESGQEFTFTIKRGVGTDTCVSVNYDDFVNDVEVGDMLLVDGGMMSFLVKSKTEDSVKCEVIDGGELKSRRHLNVRGKSATLPSITDKDWDDIKFGVENQVDFYAVSFVKDAQVVHELKDYLRSCKADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQQEIIRMCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVALRTEATIPGGETPADLGQVFKNHMSEMFAYHATMMSNTLGTSIVVFTRTGFMAILLSHYRPSGTIFAFTDEERVRQRLALYQGVCPVQMEFSDDAEKTFGNALSYLLKHGMVREGEEVALVQSGKQPIWRSQSTHNIQDAACIE >Et_7A_052755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15452256:15454551:-1 gene:Et_7A_052755 transcript:Et_7A_052755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARRHLTASLARALTQGPSRCISSTPSLLQTLDPSAPSPPAAGAPPSAGRLAELRRKLQEETPLLGDFAYSVEVGTRKRPLPKPKWMKETIPGGAKYAAIKAKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPSNVAHAIASWGLEYIVITSVDRDDLPDQGSGHFAETVQRLKALKPEMLIEALVPDFRGDPSCVEKVATSGLHVFAHNIETVEELQRSVRDHRANFKQSIDVLKMAKEYAPPGTLTKTSIMLGCGETPDQVISTMEKVRAAGVDVITFGQYMRPSKRHMPVSEYVTPEAFEKYRVLGEEMGFRYVASGPMVRSSYKAGEFYIKAMIEADRAKPSAADSSS >Et_4A_033858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27483399:27485063:1 gene:Et_4A_033858 transcript:Et_4A_033858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAYVAILTVAFLFLLPYLLRRATGRGKGNGTQTQKKLPPSPPAVPVLGHLHLVRASFHSVLTGLAARHGPVFTMRLGSRRAVVVSSPECARACLTEHDVAFANRPQFASQKPVFFDGAALSTSNYGPYFRNLRRVAASQLLSAHRVGLMSGVISDEVRAMARRINRAANSAAGAARVQLKQRLFELSLSVLMETVAHTKTSRTQASADTDTSPEAREWMQIVDEILPYISSANVWDYLPVFWWLDVFGARKKLAATVSKRNAFLQRLIDAERRRLADGGDSDKSMIAVLLTLQKAEPEVYTDKTIMALCDNLFGAGTETTSTTTEWAMTLLLNHPEALRKAQAEIDAVVGTSRLVTADDVPRLTYLHRVINETMRLYPPVPLLLPHESSADCKVGGYDVPAGTMLLVNVYAIHRDPEVWEDPTEFRPERFEDGKADGRLLMPFGMGRRKCPGETLALRTIGLVLGTLIQCFDWERIDGVEIDVTEGGGLTIPKAVPLEAICKPRAALGDVLLEL >Et_7B_053523.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5890269:5890370:-1 gene:Et_7B_053523 transcript:Et_7B_053523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRYVHKILRHSTTFSCIVSIVEKHGIGSFTD >Et_8A_057454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3209534:3210434:1 gene:Et_8A_057454 transcript:Et_8A_057454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNNSYMDVVLIPLAVFFPAAYHLWLWRSVRRCPLRSTVGINAATRRLWVMAMMKTLDHFVHGIPKRQPVHIKLACRRLTSTHTRIYDMQDNEKKAVLVVQSIRNVLMGSTLVGTTSILFCTGVAAVLSSTYAVKKPLSDAVFGAHGEYMMALKYVVLLATFLLAFLCHSLAICTLNQATFLVNALSPPDAAAPLRLPAVTRGYVGDVLERGFLLNLVGNRLFFAGAPLLLWIFGPVLPCLCSMAMIPMLYSIDMVDQRAEKGCGNGEANAKVEMGSESDQSDQV >Et_8A_056537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11785789:11790606:-1 gene:Et_8A_056537 transcript:Et_8A_056537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPLASKAFPSPSNVTSEKQVSKHDKGVADDRMLPKNTILYPNANEALIPWRHHAPTSPSTSGDSLGLLRAQPPRHGRRGRGVSVSSTAAARRRPFVFSPRAVSDSKSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGNNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYEKFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPKGEQLKAMMVDTTILGLDDERAKEMPYIASMGIYVFSKDVMLKLLRDQFPGANDFGSEVIPGATSIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPIPDFSFYDRSAPIYTQPRHLPPSKVLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETEADKKLLAEKGGIAIGIGKNCHIRRAIIDKNARIGDNVKIINVDNVQEAARETDGYFIKSGIVTVIKDALLPSGTVI >Et_8A_056768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16516658:16520167:1 gene:Et_8A_056768 transcript:Et_8A_056768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLHLFRVKREPDVPQLVKDLQEVKELLAAACYDTGKRRRAAPPPRPRPWRRWLGCATRSSPAPRQGAREADDDDAAAVCRLGEALRKADDLPPQQKSHNSQCELAVFPSWVQADLPFCSFILSFKPLNAMLHGLVLLAPNGHGTRFAQVRALCYLYLLFAILHPNKLKLKMDQDYHCCCLAHGIRFEFHTKAPLITPSCGVRVNREPWKQPLPKEDDTIVVENTHLGQVTMLRQMSPAGSSSPSQWEDGKRKFGAADTFKIPDEIDIAHPQLRSTVWRIGCKRGKKSSVSRQDLQLYRPDNFLRPYQFSVESLKRPNNFVRAQTQFCSRLWRRQTKSNAGPTVSLSLLSILVGLIVIAVAFTIKNGRLNPK >Et_7B_053244.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:14384153:14384407:-1 gene:Et_7B_053244 transcript:Et_7B_053244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKKRLPEVERGGVRIVGGTRGKGKKTKMTVIAKLLANKPARPDAIVQLWARFGGRLEVLTAKRWETITSCLPRFRNRVRERL >Et_7A_052111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4726040:4732420:-1 gene:Et_7A_052111 transcript:Et_7A_052111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRITAPPPPSSPPESPPPIRHSPTPATPLSRHRRPSPSPSLALSPSSASTSATSSRPKPRPSPKRAYTPAQWVPLTSHPAFSLRGIEGSSGGGGAAWDAAASRLYAWDPSACGAHRICVRIRDAEAENEGQEVAVEAAVPSELLLPETDLGYMVTNISLNSDGSSLLLVGSHNISILFVHERVSEDGDTIMCRTAPIASQILPSNSDGIKVLQASWHPFSNNHFTVLTSDAVLRLFDLSSDLEKPEQEFYLQPIVPGKCQNASAICPVAFSYGSDHLWDRFSVFILFSDGSIFVLCPVVPFGSDYSKKHIQEIYEDVNAFGLKSSNPNVVTNSHLAIAWLEATFPDLLHQSTDTSLLMSRAHPYAPVDDSVTLQGPLCRVCEENSEPEGKSSPCEGKAVGFMYSSAGKDSVLVTAWGSGQLQIDALADEIQPQWNIGVPTRLNVESHGQIKSVAMICDSNSQDPLALRSHRPSSTGSNVKSNLEAVWMGHSPPLLRLAIVDLALPKTPNGSSLSLFLDPLVPERFYCAHGGGLDMVTLHFLPFSYPEMASTPPSVHPVLTTGNSETSSPFLSGFVTIADAYGHVQLVGITCSGECFVVEMKGWKEPTPLQLDMDSMSIKNVEPSPTGMISKELITGPDPPILPSSSSLRSLTPDSIEGKSTLHHYIKVFHEYYVEYGHKVFIELKEHPDYVKTEIEDKQKRLEALKQSFRSIEAKDQDINKRIDRAFKVYELLEKRIDSFKMLPAANKKPLSHAEEEFKAQIDRFADVELDALRSSIAALSARMKRFAQQSTESAAVSGMPTWQTPKSGRSHVSESQMSLLKSSLERLSLLNEENNLKLRLIDHELKNKEQ >Et_4A_034741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:670670:674031:1 gene:Et_4A_034741 transcript:Et_4A_034741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRFLSAREEEVEEQDEFFDSREVMSPASVSSPASSGRHDDGWLFGETLLEVWVKDPCSVHERRQRFVKSLGLLDPSPYDARPDEEPCSRPDATEEILPASPGAELLSAVPSFACRGGEPTASGNGDGGAGTEEELECVFKNLDDGTVFVVDEMGKDGSFRSLRERRSNRTVSAAEFEQTFGSSPFIRELMRRVEDSDEPSTPEKAATRRRRRRRLGWLRRLGIGACVVDAEEDDEMKSTSSSSCRSYSGKVDRVKVRPYKKRSKELSAVYKSQVIKAHDGAIVAMKFSSDGQYLATGGEDGVVRVWRVMEGERPDEHDFAEDDPSCVFFTVNENSELAPINSCDGGKGKHKKSSKGAADPACVVIPHRTFALSEVPVHEFHGHDDVILDLSWSKNRELLSASVDKTARLWKVGCNSCLKVFTHNNYVTCVQFNPTNDNYFISGCIDGMVRIWDISRCQVVDWADSKEIVTAVCYQPDGKGAVVGTITGNCRYYDASENHLELESQVPLYGRKKSPLKRIIGFQYCPSDPKKLMVTSADSQIRILDGVHVVSNFKGLRSSSQVAASFTPDGDHIISASDDSSIYMWDYANQIAPVTSRVKTVWSYERFFCNDVSVAIPWNASPAKSSISLACNIPSSRQEVADDLCNLQDPASYCQAEDSLDGDNLYQLPSGNFTLSKAFFAESAPRGSATWPEEQLPSNSATASSTLRKSQYKFLKASCQSAATHAWGQVIVAAGWDGHIRSFQNYGLPVQV >Et_4B_037704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22737334:22738311:1 gene:Et_4B_037704 transcript:Et_4B_037704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRTPQHQPTISAEIDSATSSTVHGGRRRHVLLFPLPYQGHTNPMFRLAGVLHSRGLAVTVFHTSFNSPDPARHPHYRFEPVSDGTSGPGPDGVKDVVAHIVALGGACQAAFRDRLAAVLEEYSRDAVACLVADAHLLPMVEVATLLGLPALALRTGSAACFAGFLAYPMFCEKGYVPVKNDAQLDMPLSELPPYRVWDLMSFREDGHAQMRELLARAVTAVNASTGLILNTFDAIERRELAGLRRDLAVPVFDIGPLHKFSPAGAGIRRQLTAAWPEYVDSSQLRGRQ >Et_7B_055370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9688585:9690774:-1 gene:Et_7B_055370 transcript:Et_7B_055370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGGRPEATMASRSRRRQGPAAREEHVAAAPGGDGGAEATPRRPRGWRAIAFFIGVYAAASMATNAFATPLTVYLMERYNMKPNAATNVNNIFSGALNFSPVVGAFVADAFWGRFRTMLFGIVFGIIAMAVITLSSTVHQLKPPACSPTDRLAGTCVGPSALHRAVLYVGMALLVVSAGGTNPTSLPFGADQFDGSSERHKGGLSRYYAGYYAIAMLATFLALTVVACVQEKVSWGLGFAIPTALMLAAFAVFLAGAALGLYVYVPPEGSIFSSVARVAVAACRKRRLRPPHPGDVRLQEEALYNPPATGNIDGQRRVVFKLPLTPELSFLNKAAIVTDAADEIRPDDGTPARPWQLCSVQQVEEVKCLVKIVPVWVAGVMWFTLLTEMINYTLLQAQTMDLHIGRRFTIPQISIVAVFYLSVALFVPVYDLLVAGAARRLVAKARGRGGGNGNGGITLLQRQGAGLVVGALAFVVAAAVERRRRRSALLGDGASPLSAFLLAPQLAVMGASGALNMVGQVEFYNTQFPDQMLTLANAAFYCAQGISSYLATLVVNIVNARTRNRGGGGWVGDDFNAGRLDYFYYAMAVLAAANFVYFLVCSHLYRYKGQQAGDALVAGPDEPARDRASTSGSEAALFKM >Et_3A_024187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18192829:18206813:-1 gene:Et_3A_024187 transcript:Et_3A_024187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSRIFEYFVVCGLGPEIRALDGAKGFHGPDEMYMPAFLDQYPPSNHGLYPPPPPQLPTCVLPAGVRIYSSGLDADDVLTYPRSYPIVLTEGDGSKIYVSCIAFRDPICEDIIEAYQIPANSFADKCICLVSHAPSFQVLRDSLEEIFVLCFSPAGCSKSLWDIISHMVSNVPLPTPGKNRVLFAIENCLLSAEAPPKEWLPHADISFQPLVQCLDVDKLILLFTAVLLERRILLRSNKYTLLTLVSEAICHLIYPIRWQHVYIPIIFSSGVDYIDAPTPYMMGLHSGVDTSTVTMDGVVVVDLEYNRITTTEEIPPIPETEHSFLRGEILKLLQPNVMGIDYMKINLGSMGDNSLRIGTKSWGQEHDFQLRLVFLRFFAQILSGYRNFIDNALPTGFNSQAFLKKRSRATNQSVESMSMIMQFLETQGFLDYLERCNNAEDNGNNLLDKLQDATGRGQNPLTIFPSQAADPEIITIADPEAEGSEAGDRHCYKRFPANVRTEDQEEKRKSILALASGASKQVPSSPSVRINGGPKAESLSPRERAAERERMVLDIKVKLQGLWLRLLRLGATEDPLSSFEYGTILALIESDAEGIGGSGFVECIREHIHSGWQCRLTDEQFIAVKELLKTAITRASSRGDMATIRDALEVSAEMYRKDPNNVQDYVQRHLLSLSVWEELRFWDGYFEYLMENCSNKSANYVTLVTAQLIVMATHMAGLGLHDIDSWNMIEKIAERNNLGYKQLIKLRALLTHLQQLRIGYWGIATGKSQPLQSYGMASPRALDVTDESQQPAEASGLGRSWVHSMFSRDRSLRSSSFNRANDVNTVATTGKTDIAAAQKKTQTNMRTLRGHTGAITALHCVTRKEVWDLVGDREDAGFFISGSTDCTVKIWDPSLRGSELRATLKGHTRTIRAISSDRGKIVSGADDQSVIVWDKQTSKLLEELKGHDAPVSSVRMLSGERVLTASHDGTVKMWDVRTDTCVATVGRCQSAVLCMEYDDSTGILAAAGRDVVAHVWDIRSSKQMFKLQGHTKWIRSMRMTGETIITGSDDWTARVWSLNRGTCDAVLACHAGPILCVEYSPSDKGIITGSSDGLIRFWENEGGIKCVKNLTLHSASVLSISAGDHWLGIGAADNSMSLFHRPQERFGGFSNTGSKVAGWQLYRTPQKTAAVVRCIASDLDRKRICSGGRNGLLRLWDATTSL >Et_1B_010954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15875258:15877317:-1 gene:Et_1B_010954 transcript:Et_1B_010954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSVIQKQKKRVKHTTSKYLKPGALAQIRYSRSSSRDIGKKRILLNVKDELEIPTPGNGSVERTASVLSPARLNFEPFASIKGQILPKTPKTPVADEFEGDSRLESLPLDLLIKIVCCLHHDQLKSVFHVSKRIRTAVQLARQHHFNYTTPDRSRQELLLNKTPLPKDHWPFISKIDGNDVRVSTPRTPKAPKHASRLARLSQLDIKQITTVLFPESSLPLKRRRSVPPGLPRPVSKAAASTRVLRSIYFQSLGVNFVWRLVAAILDYQGQKSTIGSDDVSPEYKSEQR >Et_1A_008598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9087386:9089000:1 gene:Et_1A_008598 transcript:Et_1A_008598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRLLLGVGLPVAALVAVVFLIYRRRRLPRNRAPELPETAPAATGEPTASPGLAKLNMRYSAASGRTGIRFQQLHHHTHHHVARHRGPGGSGAQLGPFQWSDHPRLVTEAAENGWAQFVFAVAPPRARSASSSPLWGTCPLCDAGTSRDMLEAAWEVPAGSSERMQAVRLNPTIASASAAASSKKWLNPGSLLASPLRSGGSDAADAAGGGNNNHPAAALCVARMSLPLPGPPLAGAPFPQDAYFEVTIIYLNTRRPEWSASRASRRGKDRESDRVKLISFAAPEAAAASPVQENRDDQQEKQQRHLVMSMGLAAASAAPSRPSLAGTYASSIGFHSNGAVYLDGMKLVYESDKSSWAGVDKVVGCGFEPAKRKVFFTVDGALVHAVSCNAEAFASPLYPVLASSFDVMAVVNLGQGKFRYAPANARRTANPSFVRAASGGDGRGASGGSLGLDFDDSGDLFSMGRVDSGWMESSRMGKSRKESAGAGGDLEAESDLFEISLRD >Et_4A_032696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12673911:12675616:-1 gene:Et_4A_032696 transcript:Et_4A_032696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALLRRALQLRRVLPSPTLPLPAASAPRLLSSSTPTTTASQQSAATPIDLSSDESRGRLVNRLVYRSKQRGFLELDLVLGSWVEQHVHAMDEANIRALLQVLDLENPDLWKWLSGQEQPPEGLNSNPVFSAIKSKITENLTKHSSPETRSTPGQPWVRGWDDIKNGKGGPKYGNQ >Et_2A_015738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17334810:17344878:-1 gene:Et_2A_015738 transcript:Et_2A_015738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLYPIERAMKRHGKQFRKLDIGGLGSTDMLELFKSCAFSGSEIDVQQDLKLISEQIVKKFIGSPLVATAVGQHLWNVKDRSKWHSILNKKFQYFAGPAVMDVLQLTYLNLPSEVQACFRYCSMFPPNYKFKMEDLIDMWIGSGLVLPRHQDGLSMEATASDYFNILERKSVFSLASPTEDHYIMYELVYELACSVSSKEVVRQEATDYNSDGPLPPTVRHIYIEGLSCECIEYLSQSKCPHLRTLVVKAGKDGAAFSDPRVEASLEKIIEGSKGLRVLILHGTNCSVLKIPMSKLQHIHYLSMSNISEADLCKAFKLHHMEVIKIPVVSCQSEKILNGIANLHQLRKLNLPEGTLSRICRIGCLTTLQELCGFAIREKTGHGHHIEELKPLVRLQKLSIHEIQNISDYVEASVTELNCKSQMRLLSLKWSSAVHHDEEVLKNLAPVKDLKDLAICGYTNVKHPKWMNARCLPNLVLLRLEGFAGWIHLPTLKMFQTLKHLYLGHLINSAAVPSTLRRDISAIGIGSEEDWMPPCLTTLVVERCPALLKLPSLPLTLRLLAICEAGVNHLPTPCVNQINDASAQLSELRIESCYQLDSHSCLQHLGDYKALIRLSIIHCTTLDFLPARSVFQGLSELEVVHIDDCEHLFSLGGLGSLRRLRELTIKKCPCLVSSSSCPRPMAEPSMLIETLEIDDHNLLSVIPLCDLNSTKHLLISDGSKMTALPLNWLERNISALQSIEVLNATVLESLPIGMEKCMLLRTICLTNVLVLQLPATMPPNLWALTIKGCSKNFKSIYGRGGPKMIGYCLHQIHDLNLVHSPETRKLIKSLEETLCQIHTLFKTCQKTKITYHFSGGKLAGQFREVQGKIGIYLIAFPSIIQVYMSCQFARVAGHAHVAAVQEQRLLSESPQRMEEGPPPPPMISVAAFYFVLNKAFNYLECYGMGQGMEISKDRLFKRMEEIQAATDYIDYLEIKAKSTAYCTWIWPLRDAIEEVEAAVDDIAYDERERATRAQKVRHKVRYPRSNVGKGKNIRAAKSVSWICMTRLRNAVLDLDEVARDAYLYFYHETRYISEKNHVPINNLPIGRVIEKKKIVCWLTQPESVRRDNGLSVFAVHGKGGIGKTTLVRLVCREEEVMESFDSMIWLHFPKNFDAGIMTQRIVESVADWRFSYDTLEDLQNILINELSTKKFLLILDGAQDGDRIDEWKRLMAPLRYELVGGKRELLTLSGLSYDESLALFRRHGLTLDPEIYAAFSPEIFEEKISLVIRTTMERFEGCPLLIKALAGYLGDNLLPKHVDEIIMPEDIGKVYIDTLVRKSFLDKIVEGNSCAEYQEYYVLPTLMHELAQHFSLGECARIDSGSFEYVGENVRHLYIAQHDPLTPDSLKMISHLKYLRTLIVEGEFKDQNTENVFGEILKGLNFLRLLSLPRTSSSSIPNEVFNLTQLRYISLFKCGRADLCKIFKLYNLRVLKLHYLLAEAMDFEGITNLEHLRYLDIPEHCHPNVLKVGYLSQLQELDNFVIRKTEGCGVSTLENLLHVRRLGLQEMENIDCQDAMNLNLKHKTEMKSLSLAWSTHSVDALDDQILNNLEPPTGIEELRVMGYSGHIPVWMVKGSLLQLVYLEIKDCMRWESIPSLTPLQFLKHLRLEHLSNLRRIGDEFEVHMESDAFIGTFFPPSLEILTVELCPKLKRLPYLPLSLSQLVLDNVGLEALPKLELVMDSQPNQSSSSLVQSNLVFLHVENCSDLGSLNEGLLQQQEHLGSLQKVVFKNCENLEHLPLDGFSALAQLKHLGIISCPMLRMRRDTDDNLFPLSLKYFDIRFCSDVEVSMLKSMQRIVALRRLSLFDCGNLKKLPSEGVFKALGMLDEVAIVRCKNLLSLGGLGAAESLRFLTIVCCEKLVVSDAASKESCAGCFFELDRLKISHQNLLLVEPLMKLQSTKELNICNDDRMTHLSKNWLMNNRASLRSIVIGVADSLLSLPSWLAELEKLQFLHVARCFIIDSIPEMPSSLRKLTIWGCHPLLLDRCQKDIGADWPKIAKIDADLQASTGNSFYPVLT >Et_7A_051342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17168421:17169155:1 gene:Et_7A_051342 transcript:Et_7A_051342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCRVGSRGAARCWFGVSWALPRSRARGRTWSTGVIVTRVSTAYSVSVCNPTTGEVLRLPLPPDDDVGPFRCPRWATCTAVFSEPPASSTRCSPTGVHWRAPPPFVTAVLVPSGTKLAEVHGRPCVTCASATTCMPGQLEVWKLRDYDLRDGRVLLVAGLPRRPDGPRGEADERWGIVCPCGDHAEKKRKMLFVTSEQHAQVYDPDDNTLRAVAGIAGRQS >Et_3A_023342.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:21624405:21624566:-1 gene:Et_3A_023342 transcript:Et_3A_023342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSSDLVQDSGIASFGAVYAAPCEGWSGARVRRAETDSDDDDDDFDCAPAA >Et_1A_007560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35890705:35894722:-1 gene:Et_1A_007560 transcript:Et_1A_007560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDAAAARRRAAVADYRKKLLNCRELESRVGTVRESLKNAKKDFTKTEDDLKSLQSVGQIIGEVLRPLDNERFIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFGYAREHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQGRMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVVHEDFMKAVRKLNDAKKLESSAHYSADFGKE >Et_9B_064740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18190216:18192557:-1 gene:Et_9B_064740 transcript:Et_9B_064740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHPTALLPAPLCSSSHPLLDPGARGLPPRFLNGACSMARPPVRCEFLEKGGALTWRTVACRRRTVVAGAGRGPFFGGGGRRMNNGTSRIVGNLAFAALLTYLAVTGQLRWVLDAIVSLWLLTILLPVLAVAAFFFFAGQDILRGDCPNCGKSFQILKSALKDGPQLCPYCTQPFSVQGNKFVRESARFSSGRGDTATTARVFNEFFTRGTKGKAPSGTIVDVEAEVTDVE >Et_2B_018933.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17519498:17520531:1 gene:Et_2B_018933 transcript:Et_2B_018933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSWYSFLFSESKYLTVLDLSGLLIEDIPDSIGELFNLRLLCLDDTNVKKLPKSITKLHSLQTLSLERTQTIKFPQGFSKLKKLRHLLIWKLLGATYRSFHNWEPMEPFEGLWNLKELQKLCEVRATKVFVAKLGNLSQLKSLNITHVRSSHCAQLCYSLSKMHQLTKLQIRASNEDEVLLLEDLTLQNPLEKLELIGRLTEGTLESPFFSTHGHQLLQLELSWCQLIESPVAWLSELSNLTEFVLQRRTLASSWTFMESGSQS >Et_9A_062805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5089123:5093343:-1 gene:Et_9A_062805 transcript:Et_9A_062805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNNGHGQKTEDEDMLSILPDDILLSILGRISIITAARTSVLSTRWKHLPWLLPELTIDAKEFLPAPHPNPIEVQHMDAAMTYLTKATRSLLATPRSKQSLIKILVKKTLNNKKIRDNTTISRLQLKFYLLNNYSDVIGPLVSEAVDTRTVKDLDLSIMDEKEPNDCTDEDMLHQASSVSSFFSAYPSVLHCLTKLSLYNICFADWDMHHLLFDCCKQLRHLYISNCDFLGEISAWKIDAPDSKLSVLELFFCLVERLNILCLPNLERLVWDTWVCRNSPLSLGVVPSLQELCLICAASVEHKGFNLSEVLSENTAIRSLTLSFQGQRIWINPEGKQLCSAFNKLRRLSLHDIFIEFDMLWMMALLEAAPSVEIFDIEIWEHPCVMDDEKRRLTFGERTNPSWKMAEFTSSKEWLLKEVHIIGFSPMEQLLEFIKAVMDRAPKLHYLVLKDHQPCDYCEKMGALPRSERLPAERVFPEGKEEQDMVVKQLIRDKFHPNAHIIFGG >Et_2B_019848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14540002:14555109:-1 gene:Et_2B_019848 transcript:Et_2B_019848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPPAGAAVVAAVTAAVVVAAMCAPAAASPAGGPHMADLSVLLPPRMTKSVEYRLIGGDGCFSWSWDHHDIISVQPEYNDTSRCSTSARLSSIAPYNGRRETSVYATDIISGITIHCKVFVDKISRIRIFHHAVKIDLDEVATLRVHAFDDEENVFSSLVGLQFLWQLMPRMLDNSSHHLVHIPLKETHLSDCSGFCGDMNVRFELEDKNLGSDLFVVKGIEIGQEVVSAQLFEPQFEHVIDTITLTVAEAMSLEPPSPVLVTVGVSVKFKLKVFRQKVAQVVNLPSQYHRWHARNLSVAEVDHSLGILHALNLGFTEVVVEDTRVSGHEQVSSVHVVVPRTLFLYLIPIMDDSTHLHGTTYIPSSKVWYVFPGQKYKVLAKAFAEGFDAREISITEENELKIESSTVEFWNLSRIADDSIDIYDVLTSRLLTPFAQGKGNLFASLTYRREASEPPKVLSLLQEINVCSKVKAFWDEGLENSNVIHLPWVPGVYQEIELKAIGGCGKMQDDYKLFSSDERVASVSDSRIVRAKKPGQAVIRVVSVFDFLNFDEIIVEVSAPSLLSILPVFPVEVPVGTRLHAAVALQTSNGHSFSQCDHFNAFIRWSLLSENESFQIPNNAEPSSIEDLKHITGSWGQIGNPCAWISLNASSAGRATVVATFSFDSDSDFEAFTGPILLKATSKIAAYYPLVVIQGGNGNQFGGYWFDLSGMHGRFPNMDSNAPKELYLVPGSTMDVFLVGGPERWDQAIDFIETVDVIGDSKNQIIGSAVQKLSGGLYQVSCRSKVSYKLLFTRGNMIGKDHPVPAIAKSEFAVICDFPSAITMIANENENRLDILEAASKVDRDPDRLQISPIVISNGRSIRLAAVGIHGNGNCFANSSSLRLKWQVTGCEGLAYFDEAKAVGMLDESAWERFLVLKNSTGLCIVRATVTGFSAKLAGQTRAEEYAFLQTAHDSLTDAIQLQIVSSLRVNPEFVLLVFHPEAEVRVANVDWIQIIAEDHISLMEGSTKDFQILAGTQDGQVFGDSQYKYMGIELHLGDEILELISPRELMDGPKFSIKAAKAGMTSLYVSTKQHSGQRVLSQVVKVEVYKPLQIHPEYIYLTPGASFVLSVKGGPKVGVSIEYSSLNMEIAEVKNATGKLSAKAVGNSTVRAAVLTNGGTFICEAFGRVEVDIPVAMTLNTQSNSLCVGCSMPIYPSLPKGDLFSFYESCQSYSWKIADEKVVTFQSAKSWKYKVNQGLYLEGKDYPWFSNGSTSAFVNHVIGRSAGKTKISILVTCDFVLPGTSGSVVSYNVSKTVLVVPDPPLALGIPITLLLPPFYTTSSLLPGSANSLGEPDSHELENSIGYSLLRGSGSVAQVRVAASGSSFQIAYLSVNDRIELDVKYADELGYIFSEAHGVAPVKIETNYPDVVSILTPKDGNVTYGSHERFVIQARSHGTALIRLHINHNPKKVDFILVSVGAQLYPRDVVLHSGQHLNFTIVGDSTDIRGSGHWLSSNENVVRVNRVTGEAHAHGDGVAEVIFKGSSLKLQTTVTVLKVNQIVVDAPSETLTNAAVPPDGYKFAVRFSDSTGHGAGSSVNVPFDCQVEPSFVGFVEPWCDHAAKNSYCLFHPYSPAQLLRAKLNSKEGFLHILVRANLKEDPKVTGSVHALFVKGFYIKEPAKVLNLTPSSNHTVITIGGNTDVELFWSAKDLLSISRVDTNENKGLPSQIVYRVVALKNQPFSDKATIVLPATGQTEEVVISYDTGEKTEPSSSSVTTLAIILTCIVVPVVTVWLFMKLLEKPTRQTPSRRTAPTTPKPAGRPAAMADPTSPANGELSPRTPQPFMEYVRRTIDDTPYYKRDARRRFNPQNTY >Et_3A_026247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:634130:639913:-1 gene:Et_3A_026247 transcript:Et_3A_026247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASLSSFLSKQQVVKRSESNEWLIEEPALRFMFEIVTLPHESKYNTWFTAGSLGLASTLNPVATPTAPYAERLNPAATEEGEQRQPPAFLLLKNIRQPIATHLLWIGIEFDIQSTAEMKSSAQGNNEVQSQQRNQMSSDAPAGDSGSLSVASNDNKKVSREDIELVQNLIERCLQLYMNKGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKRQIILFNHLLQHQYNLMKYPAPPNAPMAPMQNGIHPLPVTNFPMGMIVDNGAPEAPTAGATCSAMSSEMAVSPSSAASSNHAPFTPSEIPGMTMDASALDSAFGSDVGNDGSLQLGPDGSSRDSIRSLGHLWNFSLSDLTADLTSLGDLEALENYNGTPFLPSDSDILLDSPDHDDIVEYFADAINGSQSDEEKS >Et_4B_036412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22774657:22775025:1 gene:Et_4B_036412 transcript:Et_4B_036412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQQRGTPLLLEWGSWKETADSVVVGGGGEGVNWLQIVRRTGRRSWCSRLRSCVRLWIGACRRRVMRIRESASCKNGDQTGR >Et_4A_035678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3635922:3637721:1 gene:Et_4A_035678 transcript:Et_4A_035678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSGRKRVWKKGPTRGKGGPQNAACEYRGVRQRTWGKWVAEIREPNKRTRIWLGSFATAEEAALAYDEAARRLYGPDAFLNLPHLRASAAAAAHQRLRWLPAAAARGGAGAAAVPAYGLLNLNAQHNVHVIHQRLQELKNSSPSSNKTPRAPPPQQQLAPLHLPPASSSPCSTVTTTGGGAPASAAALLPPPPMSCFQALEQAVAMTADDDDAAPCEEEAAGDGAGKPQLDLREFLQQIGVLKAGVGDEESGAITKEYSEDDAAGAFGNGEFDWDALAADLNDIAGGHGGAGGVNGSAFQMDDLHEVDQFGCCFPIPSKLGTCFKAIEVKRARDT >Et_7A_050976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13105539:13106334:1 gene:Et_7A_050976 transcript:Et_7A_050976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVLLHSVAAPAARNRRHWSLQLNMQHQRVFLLSRALRLPRRRLPVVSAVQETKKKGEVKTAEEITEKYGLEFGLWKIFSSKEEEVEGQGGEGKKSRTDQAKELLAKYGGAYLATSITLSLISFALCYALISAGVDVRDLLGKVGIVTGETGEKVGTFALAYAAHKAASPIRFPPTVALTPVVASWISKIRKGGD >Et_8B_059166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14755973:14758406:1 gene:Et_8B_059166 transcript:Et_8B_059166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALLGPPIALKAKVAADPAPVPAADPKPAYSSMSDSDDDSSDSDHEYSPVPARTQQKEAPRTGRALAYVTSGDPCVDFFFQVVPGVTSATDVAALLDVAWSRDPKAALRLVCHLRGVRGLGKADREGFYAAALWMHNRHPRTLAGNLATFARFGCLKDLLEILYRVLHGPREDKGDDRRRTNNQQPAGARGTKRTRADRAAVAEAKRDEEARQAQVMLARYGSDADFRLLYDRVAETFAELLKSDLEQLRAGEVAKVGLAAKWCPSLRSSFDRATLLCEAIARRIFPRESSQEYLNISDKYYAYRVRDRLRREVLVPLRKALELPEAYMSAGNDMDFDGWAGAQWETEYLAIRSRFTAEGYVVPEVVFWNVGTSKASMPVLASQAGAALVSGYSKNLVRLFLEADGELTPAALVADAISGPEYNALETDQAKVLQCARP >Et_1A_009627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9869302:9870559:1 gene:Et_1A_009627 transcript:Et_1A_009627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQQAGAVALAALAAFLVVACLPSAVSAKKPHVLLSTLHKALIVTATTDGGKGAVLHAGEGTVTVDWKPNATEQAPAGAANVKVELCYAPASRKDRGWRKANDDLSKDKSCQFKVTDQAYGSSGTGSFVYRVAKDIPSASYFVRAYVLDGSGNYVAYGQTDAANGDFTVAGITGITTPIKVAAGVFSAFSVVSLAFFFVIENRKKNK >Et_5B_045514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3444454:3449352:-1 gene:Et_5B_045514 transcript:Et_5B_045514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNAGGADGAEKEEEGIISEVRGMAGHLGALTSKVDNKIRCLRDALAEYRNIRNVIDGITEEKERLYEEKERFKQEEEMLKQEKERLQRDLLANREALEAMKEDLLASKNTMIAASDAITSQTEKISQQDEELEVLRRKLQESEAKNNQADQQCGRATAPIQPRRVQTRSMAKRKRPSEGALDFGAYDNEYIGQVEDQSLFHLVRENQNGFLEIDTGGRKLGIREMGELDEKAFKAACLAKLPPEEVGTGYYKLYTSWQKQLSDLSWNPFKTVMIDGNCQVYQSGKLCEVSQVASDPVNRLCGLHYQEMVDVDDDKLQELKRAWGEGPHNAVVRALMEMQEYDRLSDRSIAYELWNYKEGRKATTREGIEYMCSQVKQLSVTKRRKTRRTAGIA >Et_3A_024899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25180548:25186471:1 gene:Et_3A_024899 transcript:Et_3A_024899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGSVSNQAATPRELSAHSLSRRRRRRRGARMKAIGSGGEWWWNLSSLRRKHDSRRRGRRNTDPRGRRRGPPREPLSSSSSSESIGQSPGWPIEFPIRPAVTAACLTLTGDTIAQVRSRIVDRRNRAAESDSKGLIEDILMNHDWLRSLRIASYGFLLYGPGSHAWYQFLDKCMPKQTLANLSAKVILNQIALGPCVIAVIFAWNNLWLGKLSELPSKYQNDALPTLLYAKKKQWIDEIIVFHYLDTELHKVTKTLIINMQDNVRKVKVGTDEDKHNTTRRPVHNF >Et_9B_064853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19189906:19194425:1 gene:Et_9B_064853 transcript:Et_9B_064853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKPPTGTAAADAYRLRAKPAPHRHPTPDAGPGAHGPHVRRHVIPFFLTCTTNNPRSPSRVSRSSASCEFPNSGGPLRPAPPPLPFSRLTLASRLHHDSISTHEPDAHARADPPTPTTASLAAMQPLVTPQRVGDAGAAEAEAFVPRGGPQVLRRRAGTATPDPGTPSSARSASGRLSTFREDVGHAAAETYLVTGLAFILLRYLGVGYRWISQLVALIVYAILLMPGFIKVGYYYFFSRHVCRSVVYGDEPRNRLDLYMPRDRSKPSPVVAFITGGAWIIGYKAWGALLGRRLAERGIIVACIDYRNFPQGTISDMVTDASEGISFVCNNAASYGGDPNQIYLMGQSAGAHIAACALMEQANKESRGEDISWSLAQIKAYFGLSGGYNIQKLVNHFHERGLYRSIFLSIMEGEESLPRFSPEIVTKKLSAEAITLLPQIVLLHGTEDYSIPSSASETFAGVLKQVGGKVKLLLYEGKTHTDVFVQDPLRGGKDQLVDDVVSVIHADDALAREKDASTPVPKRLYRNFVKRSMLSATAMPERSVPPEGGLLSRSLVSETVTAHVR >Et_9A_062973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7671825:7680571:-1 gene:Et_9A_062973 transcript:Et_9A_062973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWTSTARIGRNAAVVLAVGVPQSTEMKPLISLRLEEGSKCGCGKARPTVGRWLPSTRQPPHAAHAAEQASKNSKARTADHEVASAGPSLSRLVPVWILRTPPLNPLPPAPPLARLSGGRWSVDPSIRTRIGFLSFLLLASARDRLWLTHQDVLVLDGQKMSSNSNLTESLHEKTIVFGLKLWVVIGVAVGASLLGILLILLICLTIQSCIKKSRRTVKDLPMTQIPSACKDIKEVRTVDQFTANDFIVHDGLLLAIQNEPEPVQSVDREAIELAQEDKLRKREENNLSGSFRITDDTDVIQTVSINEQSPTQITVDNAPLPGLPEFSYLGWGHWFTLRDLELATNRFSKDSVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLESWLHGELSQYSSLTWLARMKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPPNEVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNAEKRPRMDQVVRMLDSNEPIPQEERRHRQNRTSENSETDCFKRNSETEPLRGKNNSGRSDAPEREVRPPRPKSRTFSSK >Et_4B_036893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12401251:12408259:1 gene:Et_4B_036893 transcript:Et_4B_036893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTGHDLNSRPHTDQTFSCCIIAYFACASKNGNHKATASTGPDSQIPRHFSLAFLSPPSVVRSSCSQVAAAATAMAPARLLLSAALLALAASWLLARAAARLVWRPRAVTARFRAQGVRGPPYRFLRGTLDEMRRMKAEGDAVAMDVRDHDILPRIMPHFLRWKEQYGTPFLYWFGPQPRFFVSNYDLARQILSNKSGHFLKNDAHPTILAMLGKGLVLVEGTDWVRHRRVVNPAFAMDKLKMMTTTMVSCAECLIKEWQDQASNSKSGETEVEFSKQFQELTADVISHTAFGSSYKEGKEVFHAQKQLLAIAMATLLNVPLPGFNYLPTKRNRSKWILEKKLKTTLMAIIQSRLASKGGGYGDDLLEHGEKRDELILTMDEIIDECKTFFFAGHETTSHLLTWTMFLLSVYPEWQERLREEVLRECGKENPSADMLSRLKEHGNDLLGLMLEACIQTEQGGKQQQLSLSMDEIIHECKTFFFAGYETTSLLLTWAVFLLSVYPEWQDRLRKEVLRECGKENPSGDNLSKLKEARKLILMTMVLLETLRLYGPAIFTQRKTTTDMALGEIKIPKGFGIIIPFAILHRDKKIWGDDADEFNPSRFQNGVTKAAKVPHALLAFSIGPRSCIGQNFAMLEAKSVMAVILQKFSFTLSPEYKHAPVDLLTLQPKYGLPVVLRLLDE >Et_1B_014151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32825324:32829016:-1 gene:Et_1B_014151 transcript:Et_1B_014151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMPCWRCRCGAPWSSDRRTPGEILIDAQEQYCDSSGSIYIGAFLYTYTYIARALSSTPERQQSTLAVDHPAVGPDLASRSRERADVPHLYHRRHEVRVEQGRVGAVLLHQRVLGAAIEELLVRVQQPLSDEQVTVVGVVEGLLRRHVERRQVAVAGARRRLAVAPAQRGEVGVDVGVVVDVVAEV >Et_3B_028888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20021065:20022898:-1 gene:Et_3B_028888 transcript:Et_3B_028888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVEAQKPLLHYLIRRTGLRQHTVDVDGAGTVISFWMPKDKVPKEKATVRDITPEAAEAKKQQKAKKAEKKERPAVVLVHGFAAEGIVTWQFQVGVLAKHYDVYVPDLLYFGGSTSPSTDRSPGFQAECLATALRKLGVERCTVVGFSYGGMVSFKMAEAHPDMVRSLVVSGSVLAMTDSISETSLERIGVKSSAELLLPETVKGLKALLSIATHRKLWFPDRIHSDYLKVMFNNRKERAELLEGLVVSNKDATVPVLPQKILLLWGENDNIFNIELAKTMKEQLGEKTMLQSISKAGHLVHLERPCVYNRRLKEFLASVNAEAPKE >Et_8B_060822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8374411:8375590:-1 gene:Et_8B_060822 transcript:Et_8B_060822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALPKTDDDHITLDNHLILELVFLRVRSATCLVRAASTCKLWRRVIADGGFLKLYCSLHTPPVPGYYFNSISPRQPGLACEVFTPTFVSSPSSIDLTIDTSYFSVDFLPDGSKYWKIWDSRSSLLLVNNLSRDHPCVFPRMLAVCEPLTRGYMRIPAPPNFDECSSHAVASASHAAVFTVPGGVGSWSERAFDKIIPELHPKRCFGRAWGSWYFVVADHRTVVTFDGHTGEYSPYPLPSHEDWPCDVWNSSVDITDGRDGKPRIFSTEGNILKVFARLESCGGCDWVLVKRVSLQEATRGLPGYKSSFFRYPLVLCCSGPGFVTLTPIGRESWLFSVDLETLEVRPASAQDMQGVRFSYELPWPPVLHVALDPTDS >Et_5A_041140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18634374:18645111:-1 gene:Et_5A_041140 transcript:Et_5A_041140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHKMPHVAPSDGADHFTTLPLELPARIASLLPFREVVQLSSLSWPWHDIHIHHYTSVIELNLDEFLALEQYNFDTEHSLPGIVDDNAILGLRIALFRRAQDPSASKVDTLILHYDVGDPGMARHAHRIISLADARKVRITMPYDLGSQNHPSRPRHVTWMLDLLPAARRLHIAGKHDVFHLAPAIAGPGVATLQILCLHRVGIREWPPCLPSLKSLTLKYTAIEVPFKPGEWCPMLEDLCISSSNIEHTCVDIRLPLLKSLDMDDVDVSNRSEFFDSYGDITIDAPNMETMAVDCTLGATADYVSFTLLAPRLRFLYWRNQYVQQRVCIKVGRPGSVIAGTIVFEGNAEIGYPEIKYHRILMMRMLEQLLPQLSPESVANAARPYMNLEKYTVKGYASGEIIPEERLTCNLEALMKASPAAGDRITGLLLDLRARIASFLHYRKVVQLSALSRPWRHIHHHTPVVEIDLSKFPPDDDSILAAARRTGPRPRLAYAADDLRMTRHADRIVALADAREIHIDADQASDDDHAWTLHLPPAARSLILYAPCQFVSIAGPGAAALRELTLHSGVLRQWPHLPSLLSLDLDSWCPLLEELSLFCCKIEQARVDICLQRLRSLVMESVHVSPQGQHDGPPLGHITVDAPELEELIIWAAGERMKDFKSFTLRAPKLEILIWHNQFAERVHIDVGRPGIDIWCEIEFTSVYFGKIKKYWEQMMQMLQGLLPNVPPESVADILRSGAEPGF >Et_2B_019718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1350043:1357147:1 gene:Et_2B_019718 transcript:Et_2B_019718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSSRRMAGGRTRGGRSRGMPDLMRQFGEIMRETTSDKLTWPFLKPVDVVDLNLPDYYEIISKPMDFSTIEKKMEGKDVFTKYESIREIYSDVRLIFTNAMKYNDEQNEIHLMAKALLEKFEEKWLLFLPKVESEMTPVNGVPAAAEVSPPHEVESEVDAFRRQVDELVSKADVLERRVSEVVDFYDGKKPGSGGRKAGGGSRGGHSRGMPDLMRQFGAIMREITSDKLAWPFLRPVDVVALQLDDYYKIITTPMDFSTIEKKMEGKDGTKYSSVREICSDVRLIFTNAMKYNDEQNEIHLMAKALLEKFEEKWLHFLPKVESEEKRQKEESKDAAPTNTSREASIAKLAKDTDEELNAINKQLEELRKMVVQRCRKMTTDEKRKLGAGLCHLSPDDLTKALEIVAQENPSFQTKAEEVDLDMDAQSETTLWRLKFFVREALERQANVASGKMDENAKRKREICNALAKTASKRIKRQP >Et_4A_033055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17869643:17869993:1 gene:Et_4A_033055 transcript:Et_4A_033055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDIDNPHIQELGAWAVTEHGKFVNCILEFKRVVRGEEMLGSGVKYHLILDATEINGEDRHYEAILIEKSQTNSRTLLSFNRLI >Et_7B_053623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10415344:10418506:1 gene:Et_7B_053623 transcript:Et_7B_053623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSHWILSGRLKVVSRMAICLNSKLISLRSCQMQSALPHIESRLRYFRTKYGALEQMLNKSGFNWDANRMMLQCEKQQYDTHCKNHVDAKGLYGVAFPYYDTLSAVYAKDIATGEGAEGFTDAVSNMKLELATEHRNDQEKEEERTSRETPRRSFDSTSSNSKRHKKEGKGKESVSSDPLLDMFNEVSGELKFVTKNVGKIAEEMEREAAIQEKAMHEDPQQKLREKAVNELRRLEFTGGELIQAASVFVKTPDQIGMLFALPEALRREYIMKRRGERRGWVAQDRTAWDLSVGL >Et_3B_029705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27250765:27252193:-1 gene:Et_3B_029705 transcript:Et_3B_029705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPVLLLVLLLSSTATSSSKRIQPKFPAIFYFGDSILDTGNNNHIPTLAVANHVPYGRDFPGKKPTGRFSNGRLIPDLLNERLQLKEFSPPFLDKKLSNNDIMTGVNFASAGSGFDDQTSQLSNTLPMSKQVDLFKDYLHRLRDTVGDEASRIIANSLIFINSGTNDFLHYYRSSKRRKMDINDYQDVILQTAQAYVKELFDLGGRQFCLAGLPPFGCTPIQITLSKDPERQCVDEQNWDAQVYNSKLRKLFQTLQGSLHGSKIVYVDAYKALMEIINDPTKYGFTETTRGCCGTGLREAALLCNALTPICKNVSSYVFYDAVHPTERVYMLVNDYIVKDVIPQF >Et_7A_052588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9604693:9605958:1 gene:Et_7A_052588 transcript:Et_7A_052588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTREKTPSVSQSFIRERLFERMLLRSSSTPFLHSFRSSSSSSSSLQIRRAFSDSHLPSLHPPSTPKDGKATGGLHTELSFSIYNTFNKGGAPLAAQQPPHDQLEFDREPPDQSTVQQPEQPELPLFLARGLGIDRIASGLFTAGTVKPKTTGARMDGVEEQVVVDEKVAALDAQYKKMVDEQPGNALFLRNYAQFLHEVKGDPKRAEEYYSRAMLADPSDGEIMSQYAKLVWEAHHDRERSLDYFQKSVQAAPQNSHVLAAYASFLWEQDDDEDIVVGEQGTGQVRELASAAV >Et_2A_014615.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28756006:28756383:-1 gene:Et_2A_014615 transcript:Et_2A_014615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QLAGHVRVVAGLLNKLQTRPRLRRHPETRRPLEQLDDALRRAYLLVRSCGEEQTARSYLYQLLTGAQTAAKLRAAEEEIDRYIRLIPVISLVATVRVEVQRKRRFSFPCLLEQLQATLHTLLLFI >Et_3A_024028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16318549:16331612:-1 gene:Et_3A_024028 transcript:Et_3A_024028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNMQPSGPPQPPRPPMMGSGAPPQNLGPPMPMQFRPVAPPQQPPQFMQSAQQFRPVGQPMPGTNMGMPGQMPHFPQPGQHLPHSGQGPPSSQPVPMAYQQARPMSSAPLQPQQQTAYPGGHMPTMGAPMPPPSYTYQPTSVPPPVMQPWGTAPAQNVPHVTALVQPGHQPVSAPPTMPSVNSSEPSSSDWQEHTATDGKKYYYNKKTRQSSWEKPSELMTPLERADASTEWKEFTTSEGRKYYYNKVTKQSKWTIPDELKLARELAEKASNKQSDRETGTTSSAPVGSASVEPSVPVNQSSSAVGTIASSSVDASTNSVPPGSGPSHNVDNASGSFNVTMSNGGPSTPAVPVTSSIEVPLAATDVGISRNNNENSSVTSAADTEDGSSAEDLEEAKKTMPVAGKINVTPVEEKASEEEPVVYASKLDAKNAFKSLLESVNVESDWTWDQAMRIIINDKRYGALKTLGERKQAFNEYLNQRKKIEAEEKRFKQRKARDGFLTMLEECKELTSSTRWSKAILMFEEDERFKAVERPREREDLFENYVVELHKKEKAKAAEEHKRHVAEYRAFLESCDFIKATTQWRKVQERLEDDERCSRLEKIDRLDIFQEYIRHLEKEEEEQKRIQKEQTRRQERKNRDEFRKMLEEHVADGTITARTRWRDYCTQIRDSHAYLAVASNTSGSTPKELFEDVMEELDKQYQDDKSQIKEAVKSGKIPMTTLWSLEEFQTAVSEDDAFKGISAINVKIIYDDQIERLREKEQKEAKKRQRLGENFSDLLYSIKEISASSTWDDSKQLFEDSQEFRALESETYAKELFEECVVHLKERLKEKERLREEEKAKREKEREEKERKKEKEKERKEKDRKEKERDREKEREKDKVKDRSRRDEMETDGADVDTHSSKDKRREKDKEKKHKRRHHDADDVSSERDDRDDSKKSRRHSSDRKKSRKHTHASDSDSENRHKRHKKDRDSSRRNGANELEDGELGEDGEI >Et_2B_021989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7873573:7877907:1 gene:Et_2B_021989 transcript:Et_2B_021989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAILLLLSLLVAGAEGRIQAKLAPALAITPPRTITPNVYWQAVLPKTPMPQAIYDMLIQSTGLISLARDEDPRMATMTIGSQDEEDPRKTTVTHDTQDEDSWKTTVTYGFQGVEDPRKTTVSYRLQGENDPRKVTIKYGSQGVKDPRKVTMTYGSQGEEDTRKVTMKYGSQSEDDPRKTTTTYDSQDEDPRKESLTYEFQGVEDPRKTSVSYRSHGEDDPRKVTIKYGSQGMEDPRKVTMTYGSQGEEDPRKVAMKYRFQGENGPRKTTMTYGFQGEEDLSKTTMSYRNQVEEDPRKVTMKYGSQGDEDPRKVTMTYGSRGEEDPRKVTMKYEYQVEDDPMKTTMTYMFQDEEDPRKTTLSYRTQVEEDPRKVTMKYGSQGEDDPRKVTMTYGSQRKEDSRKVTMMYRSQGEDDPRKVTMKYGSQGVEDPRKVTMTYGSQGEEDPRKVTMKYGSQGEDVPIKTIATYGFQGEEESSMSHRTQVEEILKEVTMNYGSKSVEDPRKVTIAYGSQSEEDPKRVTMKYGLQSENDSRKYGPQGVEDPRKVTIKYGSQVEDDSRKVTMKYGSRGDENPRKTTITYKSKVNRHDHIHIHGHTRGSTRLADVFFFHDALRPGSVMTPIIPPTNSVPSLLPRRIADSFPFSTERFADIVDAFKPASRAMADEMRWTLESCEQPRPLPGEKAGCATSLESLADLPAALLGTRDVRAFSAGADMPVDPPGTAARRGAYNVTAVRKLSAWSPADVIATCHDLTYPYAVFFCHTTSPAAAYAVTLQAAVDGEAAGGAAPPPAMEVLAVCHLDTSLWSPRHPFLVAHDLQPGDTAVCHFLSKLSIVWVPAGKLQGDAYEAQ >Et_1B_012685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34460015:34467449:1 gene:Et_1B_012685 transcript:Et_1B_012685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRLLDTTKQAVTSGAGAAVPKPATPATANAPKPANPKRATPAAAAPPKLSTLKGRYSSRRTEVTPATAVSQASLLRLKQAAASKKTTLPSFLPHAHAHVQDEEDPPHALTKALMSVLDGPDDVEESRPSEAPVEDSEDSEGAGNVNKILDIEWFVPPRSKDPMMHWRREVAREKKKQYIFKNTESRRFTKLMRVCAEKLGAESTLEFFGKLGRDTGIKEFNALIRACLEKARACKDIDSAVEHIYRAYNLFETMKDRGLRIEQDSYGPFLLYLVEVGMSEEFEMFSAFFKDANPKSFSRIAYYEMLHCIRLQDERKIQELCHSVEDYNEEAHYDIAESADGNISSLILEYAANIPNITVEDIVVAFHNWHEKFEVVPSIAAYDKIISICCNSLQTSLALDAADSMCKSISNVPIESFHPIIQTCDQRCELDMVHPIYDLIRRHKLKLKSETFRSMINLFIKMKDFEGAYNILTDAEESGETSTISLYNAIMLGYYKEKNHDGAQMVMAQMQIAGVKPDSETFSYLIMNCESEETISKYHDQLRQDGILMTKHIYMSLINAYSRLGNFDMAKQIVLDKEIPRKHRKDIKSALVAALASNGQLLEALTMYDQMKESGSSLEPKAAIALIEHIRTEGQLDRMHQLLEELNDSSIWFEGSSRAILYFVQHNYLEAAVDLLKQLKEKDEMSTHMVIDQVFGQIWDLEPTNLDLGMKLLHAIKELGLNISRTSLDFLLSACVKAKDSHQAWKIWTEYESAGFPHNVLTYLRMYQALFSSGSLKAAKKLRVIIPKEDVHVRYILDSCHMFGSQDCKPSATVISSSKKCARSKQRATNEANKGHIEADMESSSR >Et_6B_049195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19245959:19247875:-1 gene:Et_6B_049195 transcript:Et_6B_049195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNHTSLLPMDDDVAPTPATTSSLGVDLYCHEAPLVSTPPPAASSAGDDDGQQVQDEEGLHDLLEEYMARQRCYAPNRDYRDQLLLSASIPDGVSTARSRGVHYIVYAFGRLGLTATTAFNAVNYLDRFLSINSHLRWETWMVELVSVACLSIASKLDEVNVPSLHHLQMEEVMSHSFRPATIRDMELTLLKALQWRLASVTPFTFLELLLPPSGTPALAASRCTRLLIRSLSESSFLRFDPSVIASSALRCAALKMQDHRQADATCHIHRLISPECPLLVNQTNIYYLQRISIENNKFLFTLFSYKKHDQNTDECFNMMKALYASLDWSRHQMFSDKQGSPVSVVPIESDGTVNRSTVSRRLFGRSIPQVGTEDEDTTIPPDTQGMK >Et_2B_020814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23912495:23917113:-1 gene:Et_2B_020814 transcript:Et_2B_020814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVAPSRGGGGAGAAGEQPRVYQVWRGSNGLERGAKTKGIGNSSYDAEAVVLDFSEEFLLQGRFIFGPDVRSLFLTMFLILAPVVVFCIFVARHLINDFPDHWGISVMVVVIVFTIYDLTLLLLTSGRDPGIVPRNTHPPEPEAIDMNNDGNGQTPQQLRLPRTKDVIVNGVIVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFCWVYIIKIRDAEHSSVWKAMVKTPASIILIIYCFICVWFVGGLSVFHFYLMSTNQTTYENFRYRYDRRANPYNRGIVNNFMEIFCTAVPPSKNNFRARVPVEQGLQQARAPARGFMSPNMGKPVGDLELGRKPVSWDEPRSAADIRDLDVGLGGLLDEKEGRITHASPDLSREALPELVEGRAGMHSRRSSWGHRSGTSESMDSVAVQMGTGESHWGSISSVHGTTSGAH >Et_7A_052561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9382673:9386825:1 gene:Et_7A_052561 transcript:Et_7A_052561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPAVASTQLPVSWAYQIRVAAAQGQFHDAVALFLKMRASAAPRSSVPASLPAAIKCCVALELRALGASLHALAIRSGAFADRFTANALLNLYCKLPGLVRKVFDEMPGRDVVSWNTLVLGWAEDGRHQEAVALVRRMWRDGFKPDPFTLSSVLPIFAETADVKRGMEVHGFALRNGFEDDVYVGSSLIDISLIDMYCKCGNVSIARCVFDGMELPPDLVSWSAMIMGYALHGPASEALVLFERMELGNAKPNHVTFLAVLTACSHAGLVDKGRRYFNSMSDKYGIVPTLEHCAAYADILGRAGKLDEAYKFISKMQIKPTASVWSTLLRACRVHKNTVLAEEVAKKIMELEPSSVGSHVVLSNIYSTSGRWNEAAHLRKSMRNKGMRKDPACSWIEVKNQLHVFVAHDRNHPWYERIVSALNVFSEQMARHGYVPITQDVFQDVEEEQKRHVLCGHSEKLAIVFGIISTPPGTTIRVMKNLRVCVDCHTVTKFISKIADREIVVRDANRFHHFKDGSCSCGDFCNIRNLKWRLELGLAV >Et_4A_032260.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:26803606:26804421:-1 gene:Et_4A_032260 transcript:Et_4A_032260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NNKLVRIVAPKLEEIGSLYYHRSIPTKLDIHDLTSVRRLTDLYLDMHGKYHPDTDVGFWLLESCPGVEHVSLWLEHNIRRYSTMGELVDLTSEGKPPFARVRSMSICTIDFPKRHFLASISSLFVRCPHLTSLSVQVDSSIARYSSIARSTASCLCDKFMTDKWEIHGKIELESLEEVEIKGFRATEEEMQLVRLLFESSNSIKRMALVNAPPAAEQRDGGKDIETVHNQLLMTVPCSDLGLWCPSQKGYTWTCDATRSSLKADSLMNEDS >Et_7B_055002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6324164:6350430:1 gene:Et_7B_055002 transcript:Et_7B_055002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEARDASDSSPPPPTPREQGSEELFAPVPLGDEAGSAGEPPSDPEMAPSTPPTPATPYEPSPTPRRRPRPPGVPADAPQEVVRAVEAAIAGGADLLVEVVAQEQGELAHPVVDVLLGTMGGADGADEAGDATGTGAPPSIMFSSRAAVVAAELLPHLPGDEEPSPRTRMAAGLYAALRACTRNRALCSSSGLLAVLLESAEKLFVKQDQGSGWDGAPLLQCIEILGGHSLSVKDLHSWLDLVRKALGTSWATPLMLALEKAMGSKEARGPSATFEFDGESSGLLGPGDSRWPFLNGYGFATWIYIESFSDTLSTATAAAAIAAAAAATSGKSSAMSAAAAASALAGEGTTHMPRLFSFLSSDNQGVEAYFHGQFLVVESVGGRGKKASLHFTYAFKPQCWYFVGLEHTNKHGLLGKGESELRLYVDGSLYESRPFEFPRISKPLAFCCIGTNPPPTIAGLQRRRRQCPLFAEMGPVYIFKEPIGPERMSRLASRGGDILPSFGNGAGLPWKATNDHVKNIAEESFTLNNEIGGSLHLLYHPSLLTGQFCPDASPSGSSGTHRRPAEVLGLVHVSFRVRPSEALWALAYGGPMALLPLTISNVQMDSLEPSPGDLSLSLASVSLSAPVFRIISLAIQHPGNNEELCQTFAPELLSCVLNHLLQALSKLETGEKAPTDEELVAAIVSLCQSQTNNHELKVQLFSSLLLDLKLWSSCNYGLQKKLLSSLADMVFTESACMRDAKAMQMLLDGCRRCYWAVREPDSIDNFALTGTKRSPGEVNALVDELLVIIELLLGAASSTAISDDFRCLIGFIVDCPQPNQVARVLHLIYRLIVQPNVSRANMFAQSFISNGGVEALLVLLQREAKAGNKNILDDFSATSSENDFLRDASSDRKASSGDDKCQVDETKSVEHHETVIDKEVAEHEATDTNDSSGEMLDPNEKTVPSSEDGLLKNLGGITFSITSDNVRNNVYNVDKGDGIVVGIIHILGALVASGHLKFHSGAASSKIPGGNQTNLNEEGNTVSEDRVSLLLFALQKAFQAAPSRLMTANIYMALISAAINVSSVDENLNLYDSGHRFEHIQLLLVLLRSLPFASRAFQARAIQDLLFLACSHPDNRTTITSIAEWPEWILEMGAKKNADGVSSSEIEDLIHNFLIIMLEHSMRQKDGWKDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRILGDLLDFSARELQVQTEVIAAAAAGVAAEGLSPEEAKVQAENAAHLSVALAENAIVILMLVEDHLRSQGQHFCTSVSGASGVSSASMASLAASRSNSLGKTGIESAFASRRSSLSSDAGGLPVLTSMADANGQIPAAVMERLTAATAAEPYESVRHALVSYGSCIVDLGESWKYRSRLWYGVGIPAKSDVFGGGGSGWESWKSILEKDPNGNWIELQLVKKSVAVLQALLLDDSGLGGGLGIGGGSGPGMGVMTALYQLLDSDQPFLCMLRMVLVSLREDDNGEGDAFMKDINIKDVVSEGMGHQAGSMVTFDGNSYSSPRKPRSALLWSVLGPVLNMPISESKRQRVLVASSILYSEVWHAIGRDRKPLRKQYIELIVPPFVAILRRWRPLLAGIHELTSSDGQNPLIADDRALAADALPIEAALLMVSPGWAAAFASPPVAMALAMMAAGASGTETVTPPRNTLSRRDTSLPERKAIARLQTFSSFQKPSDTTANKPGSTPKDKAAAKAAALAAARDLERTAKIGSRRGLSAVAMATSGQRRSSGDVERAKRWNTAEAMSAAWKECLQSADSKSVPGRDFSALSYKYVAALVSCLALARNLQRVEMERQTLVDVLSRHRASTGLRAWRHLLHCLTEMGRLYGPFRQPLCTPDRVFWKLDFTESSSRMRRFMKRNYKGSDHLGAAADYEDRKLLSAAAQSNECNSEGADSSLTNTLPSSASAIVAEAISMDEKNEEKELLDADTTRSSIDDDQLQHCSAADQQSVKGSVGSRSSGICADRNLVRTTVLAPSYVPSEGDERIIVELPSLMVRPLKVVRGTFQVTSKRINFIVDARSSDSNIDDDASTSSQCDQQDKDRSWLISSLHQIYSRRYLLRRSALELFMVDRSNFFFDFGDIDARKNAYRAIVHAKPPNLNDIFLATQISNFDYLMELNTLAGRSYNDITQYPVFPWIIADYKSKVLNLDDPSVYRDLSKPVGALNPERLKKFQERYSTFEDPIIPKFHYGSHYSSAGTVLYYLFRVEPFTTLSIQLQGGKFDHADRMFYDLSGTWDSVLEDMSDVKELVPEMFYLPEAFTNINCIDFGTTQLGGKLDSVELPPWAENPVDFIHKHRKALESEHTSAHLHEWIDLIFGYKQRGKEAVMANNVFFYITYEGTVDIDKITDPVERRATQDQITYFGQTPSQLLTAPHMKRKPLAEVLQLQTIFRNPNELKSYVLPNPDRCNVPASAMLLSNDSIVVVDSDVPAAHVALYHWQPNTPDGQGTPFLFRHGRSAANSTSGALMRIFKGSASSAEDYEFPRAIAFAASAIRSSAVVAVTCDKEIITGGHADGSLKLVFPDGAKTIETASGHLAPVTCLALSPDSNYLVTGSRDTTVILWRIHRTGPVHKKNAPEPPPATPTTPRSPLSSSSVSSLSETRRHRIEGPMHVLRGHLGEVTCCAVSPDLGIVASSSNASGVLLHSLRTGRLIRRLDVAEAHAVSLSSQGIILVWNESKKTLSTFTVNGLPIATSVLLPFCGQISCIEISTDGHFALIGTSLLNNYKSDDSTEIGAHELDGPGGKGDVSKDSGQTEAEQSVHVPSICFVDLHKLQVFHTLKLEKGQDITAIALNQENTNLLVSTADKQLIVFTDPALSLKVVDQMLRLGWEGDGLLQS >Et_8B_060096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:594288:595233:1 gene:Et_8B_060096 transcript:Et_8B_060096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NRYVVLTEQEIRQRLSQEAAAAAEVFSVPVDWALALLRHYRWDPPRLHEDWFSDQDRVRDAVGPGGVDPAREGEEEDEEEEEETVLMTCGICMEGDKSAAEMASAGCAHRYCHACWRGYVAAAADDGRGLVTRPARAPCSAAWWSASSSPPRTTLMGGGTPGPGARLRGGARAVDEAVPRARLRLRHRDLLPRRRGRGEPHWPASCAAAAGWAGEAGAASAEWALVHTKPCPGCRRPVEHDGGCRGLRCAAPCGVRFCWRCLGRIDDTGWLAHYSCADRHNPAATPEELARAKEALDAFLRYQDLWAANRRAW >Et_2A_014614.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28432130:28433471:1 gene:Et_2A_014614 transcript:Et_2A_014614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARSTARIMSDDAGSAASSARPRHVAILVTPGMGHLIPLAELAKHLWSRHGVTSTLLTFASTASSTQRAFLASLPPGVGSRALPPVDLSDLPRGAAIETLMTEECARSVPALAEILSNLKASTRRLVAFVTDLFGAGSLDAARAAGVERRYLFMPMNLHAVSLMLHLRDLAASVPGEFRDLADPVRLPGCVPIPGHDIVAPLQNRADPSYDRMLSLADRCRRDAHAILVNSFDAVEPEVAKLLRHPEPGRPPVHTIGPLILTDDHHNGAASPRRAACLEWLDRQPARSVIFVSFGSGGSLPSVQMRELALGLELSGQRFLWVVRSPSDEGALNDNYYDAESKKDPFAYLPPGFIDRTKDVGLVVPSWAPQIEVLAHKATGGFLTHCGWNSALESLVHGVPMVSWPLFAEQRQNAVVLADD >Et_9B_065160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21715382:21719326:1 gene:Et_9B_065160 transcript:Et_9B_065160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIAATLSGADDSEPEPASAQREESSTRGPNPDPDAEEPEQPNTPSRGVKDDISELTETLTRRLWGVASFLAPPPETSTPRAAAAAEGGDEQQKEEDGDGEEAARSPRVAGIRSDLAEIGGRVRSGISMLQNNLAVAEISKIASSLLPFGEGDAEEGEPVVGVTEEVVEFVRHISTRPETWLDFPLFISERYADDFELSEAQYMHALAVEHLVASLPDLKIQICSTDMSEACFWKIYFVLLHSKLSKQDAELLSTAQILEAREELLRSLQANNKQGSKVPSGVTENVNVSSAPVEEKVIEPSSIQDKAGTSEVSSFEEPSSDITPDIEAEKFPISVTDMEIIDKSVIEEELAVKNESKDVPVESKTQFVADEDEVDEWPDDDPAEEVGAANNSNRTSSLGREEDVSFSDLEDDDDDDNKRDGQQGK >Et_3B_031385.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27806062:27808488:1 gene:Et_3B_031385 transcript:Et_3B_031385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGMHELCTLLPGSKHDGHLPIYPQIGAAAVNGFTSEELEALFMPPDGIAPAAGGGGGYLNVAPTSVAPPASTNRASPPRDASATTTAAAGQPDDSEAFSDIVLGYINRILMAEDIDEKFEHYPEHGALLAAEKPFLEILADQPVSSGGSAVDSPDGSSITNSCNSLGSCTCGAAASDGFGAVRMPTLDFQSAPFLQPPQLYRDPSPDSSVVETGDAWPSDPTELYQLQTNPVPQTLLSQSSSFASSNGSGITVSDSFESLLSSPGFMPDVGFTEFAVQSQQAMQFCRGFEEASRFLPDESKLVIDLEKPTSVPSLPRSVEEEKKIVEVKTEKADVEAGTHRGRKHFYGDDLDAEEGRCTKHSAPAIDTDHLVREMMDKVLLCNGEMCSKGVKELREALQNEKHPHGGHGRGSGHGKGRGKKQPKKEVVDLETLLIHCAQSVATDDRRSATELLKQIRHHASPNGDGDQRLAHCFANGLEARLAGTGSQIYKSYTMTRFACTDLLKAYQLYLAACPFKKISHFFANQTIVNAVEKAKKVHIVDFGIYYGFQWPCLIQRLSTRPGGPPRLRITAIDTPQPGFRPTARIEETGRYLKDYAHTFNVPFEFQAIASRFEAVQIEDLHIEKDELLIVNCMFKFKTLMDESVVAESPRNMVLNTIRKMNPHVFIHGIINGSYNAPFFVSRFREALFHYSAIFDMLETNIPRDNEQRLLIESALFGREAINVISCEGLERLERPETYKQWQVRNQRAGFKQLPVNQDIMKRAREKVRNYHKDFIIDEDNRWLLQGWKGRILLALSTWKPDHKSS >Et_1A_007368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33951081:33952409:1 gene:Et_1A_007368 transcript:Et_1A_007368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILLDLKHLKLISLPTRPVICKGLLIVIALILLRAIASPFLAISSSEKEGFYESTAPDLLPGVRRDKFIEVPQIIWGLNNQKIAFARACLTARFLNRSLLMPSLSASLFYKEVDLLQPISFDKVFDFNKFNTRCHGFGSGRRWTVERDLDQLQQFIWGKADESEVIEIVGKHPFLWPDHWPVKDYAKIFDCLVLAPEIETEVGKVVSKIREAGRKARHEAGISRSKQRKDSSANLPVPYIAVHMRIEKDWMIHCKKWEQRSNSNEICSSKEEIIHKVSQITDLRRPVVVYLAVADSLLEDDSITSGWRVGMVAYEKKKLGVTDIYDRQPYLIKSAIDFEVCSRADVFFGNSFSTFSNLVVLSRTERLYNLGKASSCGENVGLSSYAYNVIGDDGGPQRWMTDMSDTSLQRLSYGTNNVSCH >Et_9A_061283.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:6232616:6232801:-1 gene:Et_9A_061283 transcript:Et_9A_061283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCCWNIWKHGNDFVFRSMEPSLPRLLLQCKEDVVLWRCRPPPDEASVVDAWCISLSLQM >Et_1B_012208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29952157:29957570:-1 gene:Et_1B_012208 transcript:Et_1B_012208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGSSAGGSGDGSAPRRNSRKPKYSKFTQQELPACKPILTPKWVITVFVLVGVIFVPIGVASLRASRQVVEIVDRYDEACVPDDVTDKLSYIQNSTIPKTCTRTLKVTKDMKQPIFVYYQLDNFYQNHRRYVKSRNDGQLRDRTKENDTTNCDPETTVDGKPIVPCGLIAWSLFNDTYTFVRNSKNLTVDKKDISWKSDRDHKFGSDVFPKNFQKGALIGGKALDPLIPLSKQEDLIVWMRTAALPTFRKLYGRIHIDLKENDTITVRLENNYNTYSFGGKKKLVLSTSTWLGGKNDFLGFAYLTVGGLCFFLAFAFTLLYLIKPRLQPYRDIHGTKILPVSLGVGRWDDHRLPQLDDPRLFVIRCIEKQYGQIIPEWFTFTVEHEEDAASFGSDMVPTSLGTKQIPVPFAVDEAISICVG >Et_2B_019210.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17481021:17481230:1 gene:Et_2B_019210 transcript:Et_2B_019210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCPSKACCICTLIILVLVAVGVVFGFGIYTRGFHKLTHDIHLGDHHHAAAGGSYRAYGHLLAPPPPY >Et_2B_022364.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19262897:19263208:-1 gene:Et_2B_022364 transcript:Et_2B_022364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Et_2A_015922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19128761:19131883:1 gene:Et_2A_015922 transcript:Et_2A_015922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAAATAASTSLRPPSLYPPRVAVALSTLFVNHAHLVVFWGIEFVISSRSASSNRPRVVEIGLDSSVLFGRPGALIWAESVPSRPAEAVRVLLSVSTLVCAAGKAPEESLKKTIEVDKLIDMLREANPRELYQIVVENILAFDPGFWKDYEELAGNVMNIVDRLVHKTNQKIDQSTDVLKAILSPALREGEDVMWPPRDPDTLKLMEKEISHREQEGQLDEGFLSEVNAQLRQAKQDGDKPGLQAMLQKVLQIYASKSLQKRSYAYKGGQVVVPEKLLESIIQAPENDWNKVLLDGLTVGKGDVSPDELYAVINKRIERVLIRTEGGSYQQRILTEYLKEIQARAEEVVKVLQGPTI >Et_2B_020400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19906195:19910482:1 gene:Et_2B_020400 transcript:Et_2B_020400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDGAAASAAAANGSRGLVRAVVGCSSSPLFFWLLTVALVAAIHAASSIISSRNEEKERAKRKARRGGFAAGEEREFAVAERNDDRILEMMRSFSFVQATEEDFLDGMSAFDRVAADEPDAPSLASSSFSFKFQHQTHEIPQEAAVVSGELPPREEEEYEREEVKGPSVPLEPSSFGQEHEDEAEETELVVQELVEEQRREVVEAAPSMVVAATHNYQFLSERDFRGFVREPEAVTVRVQESFLPLPPPPATAAAVQPEEGRVVEVAPRGGGGFLKENDFRPADEPDAREMSIASSGARTPSRRRKAPASSSPAHVCESVASSGKRTPPPPSRSRKPARSPSSVASRGSAAAGGRMSFASEFSGFGDSDSESSGSDGYSVKDLVVDSDSDWFLSEKDFPGAARGGAGTRKSYEAKVLKAIEALEAAKLERLSYEDSVTTASPNSIQQGSPDSIHDGSVDKYPEDMWSRSPSPDVEYKEDDKVAAQAEEVEERNMEEEDSVEASDDEPSAGKELALATAYDAVSVDEENLGHSEKETINVNDYSGEAVSDVQRSPEIASQGGFAVSDVQRSPEAVSQRGIAISDVQGSPEAVPQTECAVSSNQVDGPDARRSPEPSEQELVIDHSPEHISDDKRETASESDQSYEIVFDDTRRPEPLDAGFDGMNDHSHELISDVWKEIVSRNDQPCAEDSNDKGEHETAVRANDYSNELISGAKRVTFSTENDRSYALVSDDKDIPEMPEREFSANDYSCEMAPDAKDNLEYRKEEEQASANDQSDDFARQAYVSVTGKAKMHEDDGENPEIKWKDLTEEEEDELESLWEHQDLIEQLKLELKKARSIGLPTIMEESETPKAPMEDLKPWRMDAKFLREDPMDELNKFYKSYRERMRKFDILCYQKMYAIEFLQLRKPQPSNSLKSLSPTVASILSHNFRSSRRRSPEDPSERFLKELRYDLETVYVGQMCLSWEFLRWQYEEARDLPESDPYHSHQYNQVAGEFQQFQVVVQRFIEDESFKGPRLPNYINNRCVLRNLLQVPVIKEDSLKDRMEDQRKGNYVITSEELEEIMEEAMRVMWEFIKADKVETTSVLKGLSNTHVELQDPSDHDLMVHIHAALQKKEKRLKDLLKTGNCLVKKFKKPKEDRSNQNLFFSQVDMRLVARVLRMPRITSDQLQWCKAKLDKIILVDRVKIHREPSFLLFPC >Et_5A_042161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6982285:6984073:1 gene:Et_5A_042161 transcript:Et_5A_042161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMESTDSSSGSAPPQQKPPGPAPHLPPGFRFHPTDEELVVHYLKKKAASLPLPVTIIAEVDLYKFDPWELPEKASFGEHEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPILASGGSRDKVGVKKALVFYRGKPPKGLKTNWIMHEYRLTDAASSANTSRPPPPGAGAGGGGGSSKAAASLRLDDWVLCRIYKKVNKLGSAGVDQQQRSIECEGSVEDAVVAAAYPSSHHHHHAAAAAGMAAIAGGNGNYTSLLQDNNFLDGLLTAEDGGGLSAVASAGSLSQLAAVARASHPAVTKQLLAPASTPFNWLDASAIAILPPAKRFHGFGRDNNSNNADGSTSLSSPAERNQLAAAAAGGAGSGTAAAAAIPTFLNPLGVPGATSYHHHHGILGGASSLPPETAAAASCAFQYPYQFSGVNWNP >Et_3A_027314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7383580:7390772:-1 gene:Et_3A_027314 transcript:Et_3A_027314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQKTGTKKKTGSKRKRRVRVPELLEEIVIDILVRLPVKDLLKSTSVCKAWRAIISDPAFIRAHLRYSASKWEQDPSFVISPHTLNYFIDLESIPIPWNFSNHIQFYQWKQDTFLATFMHAKNFGEEFSRVRDFVCCDGLVLAPTDTRLYLFNPATRDSITLPDSERNNLQQCGEFFFEECGESLCYCAGLGLDPRTGKYKVVQAFYRSMDDRDTDTYRHMGMEVFTIDGDNNGSGAWREIMDDPPYPAEGSQTSLSVKGFLFWHIDRAHSKQQPPWGLLRLSLADETFGVTGMPDQLDPSLAESLFLDVAREELCVTARTNDTSFTIWTLRIQDGGGQRQIMLRKGHWLYSYELSSSKLILEGTMDDMMYQGSSILAIKALSTNWRLNPSSNKFAMPSKSSGTKMPLARCPA >Et_2B_022100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9027594:9040342:-1 gene:Et_2B_022100 transcript:Et_2B_022100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DAVRTGALASRWRGLWRTVPLVFTDAGLLPECRKKPTWRPGLEDTLGITNAVSAVLKTHPGPFRCVQITCCYMDMNRGKIKKSMRLVANKGVQELAFINRPWPLNLPLPATLFSCTSLTRLHIGAWKFPDTAALPDAAAFPHLQELFLTLITMKDRDLAFLLDRSPVLEVLTIIASQTEVHLCLVTHSLRCLQLSLSSLGDIVVADAPRLERLLLWMTQHRRVGGNHFSRIKIGNAPNLSMLGYCNLGQHELQIGDTIIEAGIKVSPGTIIASVRTLALEVHFEVRNEVSAVPSFLKCFPNIETLHIKSMKVNRPTGNVKLKFWQEACPVECVQHVKTLVIHEFKGNKNEYAFIKFIVERAPVLEKMVFVLCPESFRCSQTTRDTRIRPFSTIGKKRGSKNFKEIRFMFPSTPTPWSFRMGVDLSCTDPFDLDSALKTVAGASAPPASMDLSKFRLVDVKFVPDFIDQNEEAGTALKYAYESLPSLPVTPDAPLAAAAAAAAWSRAPNDGVDRISSLPDQILRNIVSRLAAKDAVRTAALASRWRGLWRSAPLVFTDAGLLPNCRENPTWRPDFKKTLGIYNAVSSILKTHLGPFRCLQITCCYLDMDKKQIKKWMQLIADKGVQELAFINRPWPLDLPLPATLFSCTSGYLQRSGKWGPFHPHDHSFRRFGLRFCVSSLESVSLTRLRIGVWKFPDTTAIPDAAAFPHLKELFLSLITMKDQDLAFLLDRSPVLDSLTIIASKTDVCISLVSRSLRCLQLGLSSLGNISVDDAPRLERLLLWMTRRPHVGSNKFSRIKIGNAPNLSMLGYWHPGQHELQIGDTIIEAGTKLNPSTIITSVRTLALEVHFEVRNEIKTVPSFLMCFPNVETLHIKSMKVDKPTGKVKLNFWQEACPVECVQHVKKLVFHEFKGNKNEHAFIKFTGERARVLENLVIVPCRESFYMCSESSLQARMRPFLTVKWASKKMKQIHYKFIYTPTPWSFRMGVDACADPFYLDCVDLPIDN >Et_10A_002193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:407839:410132:-1 gene:Et_10A_002193 transcript:Et_10A_002193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEEAVKTPATVVVAWELPGKTAADEDRDVMPKSPRKPAKPPARRLSVPPPPGRPSMHGARSFSRGRAVRPEDDPFLAAYLACTRSGSGGAGAGGGGRRNGGGGAPRPEPKGRRRFTWAGLGLSCKGSGAAVEQSMEREDLLLDCHLAGHEYRVKPKFCYGGVI >Et_8B_059753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2370223:2372111:-1 gene:Et_8B_059753 transcript:Et_8B_059753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PAFLLRRGCCRRRVTGWRLSDDVLCEIFRRIRQSDILCGAGLACTAWRRAAADGPSLWPCIDVHKNYKGMLWAIQGYWRGRMAMARAARRRTFRRTRQADLLCGAGLTCTVWRRAATDEPSLWRCIDVYEGLWAIQDEARYWRGRIAMARAAVDRSRGQCESFRGPADRHFLAHLADSYIHDPSAACIKCSQIGPADAFGAVAEESPHHILLPDEMADDMMSTPEITTLDGPLGTGGPLLLSVMHALLHRCPRLELLDAGDCFLDTETTPRPLWIECTRKTKGFKLRPLEADM >Et_4A_033072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18035262:18044518:-1 gene:Et_4A_033072 transcript:Et_4A_033072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAADAQEEDSWCEDDEPSTSGIIHLNEHQVMFARRFQTTILSLLISKDHSEVTDAIKGFRELQASVGVAYLLLPSVSGKIDWRKIKFSSSPAYDEATDKNVRHSHACKDVRLLQTKDGLFCTCMLQNCVVYTPHDGMFHHVIGFLDLDANSTLHLEDGSTISSRKHFKTSLDSYNSYFVCSIHGLNLTCETQRKLAPSELPPVKNCHHKFYKYEKESSDTNGVELQPELCRVVMAPVSANTLCIFTFLPSIMYRVQCVLVSVKLKIQLGPTMQQFNIKALKIMEALTTKACQEGFSLESLETLGDSFLKYVTSQYLFSKHKDLREDMLTDRRISLVSNAALCQLACSRKLVGYIRSEEFKTKGWIIPGLGYHKHENNNFSFQCTDNMYSLKKISITSDIIADTVEALIGAYLSLGGEHGELAAFHFIKSLGMDIELHIGMNDERKPITKSENIEESINARCSDLEAMLGYVFNDRLLLVEALSHGSYKTVGTTACNQRLEFLGDSILDYIITLHFFKLYYPDCTPGLLTDLRRASVNNHCYAHASVKNGLHKYILYSDKHMVKTINDLENSGRLFLGPSHGIEPGSGLPKYLADLIESIAGAIYLDSKCNKEEVWRAMKRLLEPLATAETLECDPLTALKELCEQRSYLALSYSTTRMDGLATAVAKVQVAGTEHSATGTGTGCNKDVMRFAAKALLQELKALTLS >Et_4A_035618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31095745:31102703:1 gene:Et_4A_035618 transcript:Et_4A_035618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTVPSLALSNPTSGAASAGALPRASAFRCWELRRRGWGVVAAVASPNSVLSEHAFKRLQLGSDDEDEEEYGSEGEEGGETGAVFEGGEEELAISRLGLPDELVATLEKRGITHLFPIQRAVLIPALEGRDLIARAKTGTGKTLAFGIPMIKQLIEDDGQSMRRGRTPRVLVLAPTRELAKQVEKEIKESAPKLSTVCVYGGVSYNLQQNALSRGVDVVVGTPGRIIDLINGGSLQLGEVRYLVLDEADQMLAVGFEEDVETILQQLPAERQSMLFSATMPSWVKKLSRRYLNNPLTIDLVGDQDEKLAEGIKLYAIPLTGTSKRTILSDLITVYAKGGKTIVFTKTKRDADEVSLALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLVIHYELPNDPETFVHRSGRTGRAGKEGTAILMHTSSQKRTVRSLERDVGCKFEFISPPSMEEVLESSAEHVIATLRGVHPESIQYFLRPAERLTEELGPHALASALAHLSGFSQPPSSRSLITHEQGSVTLQLSRDPGHGRGFFSPRSVTGFLSDVYPAAADEVGKIYLTADENLPALQDDGPATDSYGRFSNSDRGSRNRQSRGSPRGRGGWNSDGEDRFRRGGRSFKSDNDSWSGDDDWSSGGRKSNRSSSFGDDDWSSGGRKSNRSSSFGGRSSSYSSRGSSSFGGRSSSFGSRDSVQYDC >Et_5A_041792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2890288:2892937:-1 gene:Et_5A_041792 transcript:Et_5A_041792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVPMSPELEQVDGEIQDIFRALQNGFQKIDKIKDSNRQSKQLEDLTGKMRECKRLIKEFDRILKEEEKKNSPDVNKQLNDKKQFMIKELNSYVTLRKTYQSSLGNKRIELFDTGNDQVAEDTVQMASGEMSNQELIHAGRKQMDQTDQAIERSKMVVAQTVEVGAQTAATLTQQTEQMKRIGNELDSVHFSLKKASQMVKEIGRQVATDKCIMAFLFLIVCGVIAIIVVKIVNPHNKNIPDIPGLAPPAMNRRLLSIEAFGGP >Et_10B_003019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15456400:15459799:1 gene:Et_10B_003019 transcript:Et_10B_003019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLDVDSMEFTTVSLPSGYENRNTIFAEAGEGRIGMFSFIHYSESPWSLCYSIRQNESQNAKECALESKIQLPGDYDYYHFKGSAQGYIFLVGQREDLPLESAYFALEIKTLKIERVSLLCISTSRDHSILLVSIICVTKKDMKRTKSHLKLGDLRDRAADVVLRDGGGDEFVGHARYHWIVTQGKKVA >Et_3A_026464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8378343:8383692:-1 gene:Et_3A_026464 transcript:Et_3A_026464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMARKDVEEGPADRVPHLPWMRHAVDIDAFSGCPVSQLPRLDPRLVEAAWLETIGPGAFERDICINSPTGSGKTLAYALPIVQTLSTRKISCLRALVVLPTRDLALQVKEVFDAIAPAVGLSVGSAVGQSSIADEISNLIRKPKQELYPTIDEEYVQMEPQTKVDILIATPGRLMDHINMTKGFSLEHLQYLICKSNLKPLSLIVLLQELRGNKCLVFTSSVESSHRLSTLLGFFEDLPFKFSECSRLQRESTRRKTLEAFKEGNIDVLIGTDRMARGIHIDGLRYVINYDMPPYVKTYIHRAGRTARAGESGSCFTFLRKHEVKTFDKMLKKADNSSCSLHSLPEESIETLLPVYSTALKKLEESLESEGAKKSNSGDTMHSTSNKRKRTANQNSNTLSLF >Et_3A_024689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23298170:23301725:1 gene:Et_3A_024689 transcript:Et_3A_024689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRALSSVLRSASRLRAASPSPCPRAPLPHRPSPTGFLLNRAAGYASSAAAQAAPAKQPPSTGKTTGGGKITDEFTGAGAIGQVCQVIGAVVDVRFDEGLPPILTALEVLDNNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDERGDITTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVKSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAS >Et_6B_049295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2943203:2949785:1 gene:Et_6B_049295 transcript:Et_6B_049295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASGGGGGAAGGLKTYFKTPEGRHKLQYEKTHSPAVVHYSHSGKTVSQMTVAYLKEKPAGQGSTPSTPSAGSGMRSAAARLLGTGNGSRALSFGSNGASRAVSGSSRMGGGIGASTSASGSQGVANYDGKGTYIIFNTADTLFISDLNSHDKDPIKSIHFSSSNPICHAFDPEAKDGHDLLVGVFSGDEREGIFVVSHADGNLYVYDKSKDGNTDWTFPTVKDQSQLLISHAKSSKGNPIARWHICQGAINAISFSPDGAYLATVGRDGYLRVFDFAKEQLIFGGKTYYGALLCCSWRLAQFPLIRIGLLRIPRKQEKVSCIALVLLVSESILTICREGLVKIWARPSNSENNQHTDSSEQVVGNATSKDKVITSSNKAGATSSSFKQPSSA >Et_1A_004550.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:15751553:15754908:1 gene:Et_1A_004550 transcript:Et_1A_004550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLSPPPPPRAVFSPRCTGLQASSYAQHHLLDGARQRHATPGRSRAGAPRERERDLAVSYAREIGACVRARRWGAACEAFTAMRASDAAPDRFLLPQVLRACAGIGAHRLGAAAHALAAKGGAALAGDPVVGNAIVAMYAALGDVTAARVAFASLPDRDVVAWTALIGAHVDAGELDEALDLFEEMQESGVLPDVISWNTLVSGFARNGDLDTALHLFDEMRNRGVEPGVNSWNCIISGCVQNAQYDEAMGIFQEMCESERPDSVTVASILPACAGLQALCLGKQLHSYVLRSGIKLNVYIGASLISMYSECGEFDYARVVFPTIEEEKNVTVWNELIQSYIKEGRMDRAWETFGLMQEDGLEPDIVTYNSFIAAYAKAGQKDQAYELFSAIVEVGLKPNVVSMNALICGLHQHGLYADALEAFRYMQCSSNISRPIQPSGTTITVVLSLLTDLKLDRLGKEVHCYALKNGLTSNIFVSSKLVDLYGKTGDMTSAVKVFEGIRSKNIITWNSLLAAYKHNRKPEVALKLFGEMLQSNMHPNLVTVQVALLSCGMTMALRYGRELHSYIMKSWPGGYPATLASAIIDMYGKCGNIEDARSVFECTVQKDIAVWNALMSCYLLHRLPRDVIDLFSNIQQTGTKPDSVTFILLLSACKQECLLEEAQRYFYSMEDVFGIKPTLKHYTCMVEIMGATGLLEESLTLIQKMPLEPDACLWSIVLKASKLHSNLEIGEKAAKALFELEPNNTSNYMVLSNIYANTGLWNSTEAVRDAMTEQGLHVKKQCSWLYHGTTVHCFEAGDLSHPAIDNILSTWKDLTIRMEQCGYPPRDTEPYCNVEADPLSCHHTERMAVSYGLISTSDREPIRISKNFRMCMECHSSIKFISRDKNREIIVSDGCTYHRFKDGACNCGDMW >Et_4B_036482.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26485405:26485494:-1 gene:Et_4B_036482 transcript:Et_4B_036482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAIRNPQRLAEIQRGRLNGLKVLDDDI >Et_8B_060297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8821759:8824157:1 gene:Et_8B_060297 transcript:Et_8B_060297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTAAPSTSLAAFPSRARRRRRALPPSVAVVAAAASGVGPGAGPAEGGAERFATSTSITDYLRYRRPGTGGAGGGGVAGGELQTAVVRFEKRFPWSLLNPFLHVDLVSTVHIADKEYFDRLQQALEGYDCVLYEMVTSRDNLNNLKGPMAAKKMKASRRGFSILGFIQKQMARILSLDYQLDCLDYGNDKWLHADLDYETFKKLQSERGESILTFAVDVTLKSTKALIQPTSMPDGLDFWRSKLLWASRVLPMPLIGLFVITGLCLPVDNQEGYPELEALSRLDFGAAMKIFLAKQLTSDFTAVASPVEEKSVIIGERNRVATEKIKEAINRGYKRIAVLYGGGHMPDLGRRLREELNMVPADVQWVTAWSIRSRELDSKSIPLLKKLAEVSGWPLNRYETLALLIFSSVLAVDLWFWELLVGTAVDWASLAGSWIDHLNGPFN >Et_1A_006031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16977910:16981981:-1 gene:Et_1A_006031 transcript:Et_1A_006031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSESSRSRSKRDVEDSVDGRGSWKEDEGQDDVDDGKTRSGKSRKHGNRDGVEDPDDGRRQSSADRNEPRRKTVSSSGRPYSGDEDDYDVTRDSQISKVPRRSPEERSERRSSTGYKDRDVISSRRREDENEWDSSRRSRGRYESSKGASLYGNRYDSSDSIEIRQSDSSNMVSSIQYQGQKAGRPSRAIRGRPSGRDPQRMGVPMPMMPPPPYGPLGLSPGPMQPMGPNMSHSPSPLGPGIFMPPFPGPFVWAGARGVDVSMLSVPPNLHIPPPVAGPMFAPNVGAVPNHNIQLDQTTGSGAMNSPVGFNPMATPSRELLHDKSPGGWTPQRNSGPAGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTSVVEDYPKLRELIQRKDEIVSKSASPPMYYKCDLKEHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWTPEEIMNLKIEAIADTPSFIFLWVGDGIGLEQGRQCLKKWGFRRCEDICWVKTNKKNATPGLRHDSNTLFQRSKEHCLMGIKGTVRRSTDGHIIHANIDTDIIIAEETTDGSSKKPEDMYRIIEHFALGRRRLELFGEDHNIRPGWLTLGKDLSTSNFNKEVYIKNFADRDGKVWQGGGGRNPPPDAPHLVVTTPEIESLRPKSPQKNQQAPMGSSSSTNRRSASNTPQNLVTVVGSETMMLAPWASTPVGGFGMPEGGAGPDSNPFDSYGFHASF >Et_1B_010410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10218933:10224552:-1 gene:Et_1B_010410 transcript:Et_1B_010410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSSQASAKGVVEAGPSHFPKRTAPRNSARVNLPRTYAIISYPIGNCTGLSLGQCYHKKSSACKCDLGWSSLQSRDVKHHFRGYSSASEAVLASQSDLRKLLVGNEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAKFISVARAPKSKEGYASIGGGSPLRQITDAQAEALRKALCEKDVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVIPSWYQREGYIKAMATLIENELTKFPEPEKAMIFFSAHGVPLAYVEEAGDPYKAEMEECIDLIMEELEKRGITNPCTLAYQSRVGPVEWLKPYTDETIIELGQRGVKSLLAVPISFVSEHIETLEEIDVEYKELALESGIKHWGRVPALGCEPTFISDLADAVIESLPYVGAMAVSNLEARQPLVPLGSVEELLAAYDSKRDELPPPVIVWEWGWTKSAETWNGRAAMLAVLVLLVLEVTTGKGLLHQWGIIQ >Et_5A_040314.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:13012109:13012207:1 gene:Et_5A_040314 transcript:Et_5A_040314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYMVNRCSFSQKDNFDYYASYLQGNILDEH >Et_2A_017359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33584721:33585476:-1 gene:Et_2A_017359 transcript:Et_2A_017359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEPDLRWRPGCRPQVSHLDHRCREVRVELRRVGAVLPHQRVLRPAVEELLVRVQQPLPRHQVLVVGVVEAVGGLHVERREVGVAAGARARPLPQPREGGVDVALGVDAAAEELALRPADGVRAGQRRHVARGQALVAEHPDERGEAGPRTREVRVRRVLARRARVLAAQRHGPCRTSKLHTHTQ >Et_2A_017795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6323015:6323815:1 gene:Et_2A_017795 transcript:Et_2A_017795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKRLHFSEGRPKSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAELTEILFF >Et_8A_056990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19935619:19938559:-1 gene:Et_8A_056990 transcript:Et_8A_056990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKMDGSKYRSKGYVLANASKKLPYVLLLLLAFAAAALSIVVLHKVRERRAFAELLQERDRQLVSLRIQIHKEKAFNKEMKRKLDELKATTSSLRTQKTDQKTKLRGLENTVTNLKNTKKELETALTEKDSRINLMEETARNLKEAKKELEAALKEKESRIKQMEEKATNIKNTQKDLEATLREKDSRIKQLEEKATNVNNTQRELEAVLREKDSRISQMEAKGTGSNPDQMAALMEILQRKEAELEEIKTKFQGYKVTEKAGVNGKSTPAQMNNASATPDIVVAKKSTNSSSATPVKSEEKVSANITAVESSHPTNRSLEEKKSANTTVLGSSLPNDRSLGDKRSANTTVLGSSPPKDISLEEKRSANIAVLGSSLPKDRSLEEKRRANTTVAERIHPKGKSLEEKGSASTTVVENSPPKDKSLEEQQLKLTTTKEDDGIQENITDFDEYLDDIYGESHSKKSVSPRKNKKFQANNQVHSQGDELDGFGQLWNSLDQDSDRVRYNKLLEKENANAAAQIKKKNNTGRNLEKISRNSLSDANHSTSKKAVEAMAGAADVKPNINMPVINDETKQQNKKQKKKRSKSKKKKTADTVGINAGGEVAQQRPPGATSISK >Et_8A_056208.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:15541126:15541314:-1 gene:Et_8A_056208 transcript:Et_8A_056208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAPYNLSNSRDPTLWLFPSIHHLEAVAYLVRYQSPCPSFFENQKDPFRVYISSFGIWAAS >Et_4B_038901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5837522:5846167:1 gene:Et_4B_038901 transcript:Et_4B_038901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLRSMEAPPRGGAVTHRVEVPATDTLANVRALLAAKLSGPQPVPAESVRFSLNRSEELVSPDPAATLPDLGLASGDLIYFTLSPLCVPTPPAQAPAPNPSRGSASIAKAADVGKSTQESAGGSSSQTHAMDANPSVPMASDPADVVMVEAIDPTKTWSSFVLRDLKREMEDVGGAGGTVVGRLVAALHAALLDIGFCTANPMECHLSLPQDWPSGASAPLIIKYTIPEFVAMVPVAEEGKVAMLNFSLMGNFITVYGYVPGAQSEMPRLCLELPRLEPLLYLDSNQLCQMRERRILELWKVLKNGMCLPLMISLCQLNNLRLPPCLMALPADLKTKILECVPGEDLAKVECTCKEMRILAADGNLWKKFTSKAKFAEAWTAKKRRQKRPSPSFWNYGWGNPPFVPHRFPVIGGDSDRLPFVGNHGYPGRIFGNQRRNISPNCNLNDSRRSFFGCFNFIHEIVHISQIELIFKQRKTNAAIQNLLTVRLNHLGYLGDTTSTMEPKRYSRNANKPLQISGQREQESNSLTIHLYLWQDSLLQLFALTVLFGVQPPTGFTRIHPHYYCSTIILAAETMYSSPCTDPGRDEEQCFEIGANLLTSLDHAMDFEEPIVFPMHNVGLQDEIVSASDTQPSRNMSTGKCLKGGKRKGSGEDSTVYSQVETAAGSQQEVSMERADEKAGTGDTNKEDYVHVRAKRGQATNSHSLAERFRREKINERMKLLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLSAISPELNCNINLQDILCSQDAISAFPGYGPQMSNVHLSLYRASQQGFSPGIIPNPANVHVMRTAQLSAFPQRGVWDEDLRNMAPEPFASDAGAGSIESSPKLYGEALIARSGGDGVGWLEVEEKIGAVGC >Et_6B_048363.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:16013017:16013091:1 gene:Et_6B_048363 transcript:Et_6B_048363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTDRNMPCYFGRLKSSSLSRGR >Et_4A_032193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22880451:22881836:1 gene:Et_4A_032193 transcript:Et_4A_032193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKITSSKIVKPAYAGGVAPSAGETVPLSVFDTVTYDVHVAVIYAFRPPNPPNAALERGLAAALAAYREWAGQLVVAGDDGPDGRPASALLAAVDAPLARSMPFKPSPELLRLHPRVDGEEEPQPLVQVQLTRFACGSLVVGFTAHHRVADGQATSNFLVAWGLASRGLPVSPLPVCDRATRFAPRDPPLVEFPHRGTEYRLRVAKKKVHFTKEFVSRLKSRASSGSDLTTAPQQRGRSGYSTFESLVGHLWRAITAARGLGAGDVTKLRVSVNGRSRMRPPDLIHRAVSRVDDAYFRSFVDFASSGAVEAEALAPTADEAQAVLCPDLEVDSWLGIDFYDLDFGGGGPFHFMPSYLPMEGTLFLLPSFLGDGGVEAYVSLFEGHLEEFKRICYNIA >Et_5A_042585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21052540:21061001:1 gene:Et_5A_042585 transcript:Et_5A_042585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPVNLLNSHISNMYTNGLLDEHFMAVWRLQDVESPGFVTGLVTRFLTDGDRMFGKLAQLLERPFVDFDVVSDKLVKLKGLWVLGRWVSTLARGRTAFDEMRSLFQTMIQDVELPDFIAGLVTTCLTDGDRIFGDLPQLLWVSTLAKGRTTFDENLKLANEDDGKASKTRLCGADQETGDMDTRWKKQVEDLEEGKNPMEVMRWRHKLNFKLANKDNGRAS >Et_1A_006646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25622225:25626251:-1 gene:Et_1A_006646 transcript:Et_1A_006646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAASVEGWPRRRERRYRGYSFKAEIEMDRGSSAIPRDSSAIPRGAEYGFAAAVREPLVRLQRPKFDFEIWDWGYFAWPHDRLDANLEMRDSDPEATLEADRKASESFLNQSTLQLESYAMDQDTQKQHAAALPCKRLDASLEMRNSDPDATREADRKASESFLNSSTLQLERYPMDQYTQEQNAIALPHNQLSVNQRMWDCNMEGTLKADRRVNENLLSRSTVQLKRREMDQDQHTQERQKAEPVGRRSTRRRHGCTKAIGILDHGRAMQGSWAC >Et_7A_052215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5772955:5775263:1 gene:Et_7A_052215 transcript:Et_7A_052215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRLFSRAMAAVVVTSFFALLCSAAQGARGTNYTFVKDAARAPDVSYYDYIVIGGGTSGCPLAATLSERFRVLLLERGGSPYDDERIGDMARFADTLSDTSAGSPAQRFVSEDGVINSRPRVLGGGSCINAGFYTRASDDYVRAVGWDLGKATAAYRWVEDVVAFQPEVGPWQAALQRGLLESGIAPDNGFTYDHIQGTKVGGSIFDADGRRHTAAELLRYARADGLDVLLHARVAKILFNVRGNRRPVAHGVVFHDSAGRMHKAYLNAGRGNEIILSAGAMGSPQLLMLSGVGPADHLRSFGITLVHDQPAVGQGMYDNPMNAIYVPSPTPVEVSLIQVVGITELGTYIEGASGANWGVRHTDSTGDGDDHRPRNFGMFSPQVLAMIILRMQIELQFSERACVPEQTGQLATVPPKQRTPEAIARAAEAMSQLNDTAFRGGFILEKIIGPLSTGHLRLRNRNPDDNPAVTFNYFSHPEDLRRCVAGLSVIERVIRSRAFENFTYPDFSVEMLLNMTASYPINLLPRHDNDSKSLEQFCKDTVMTIWHYHGGCQVGRVVDAEYRVLGVDALRVIDGSTFNASPGTNPQATVMMLGRYMGVKIQSERLKAAGLGRKKL >Et_4B_039354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11106296:11109503:1 gene:Et_4B_039354 transcript:Et_4B_039354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVHDFTVKDASGKDVSLSAYKGKVLLIVNVASHCGLTNSNYTELGQLYEMYKDQGFEILAFPCNQFGGQEPGTNEEIVEFVCTRFKAKYAIFDKVDVNGDDAAPIYKFLKSSKNGPFGENIKWNFAKFLVDKQGRVVERYGPTTYPLGIQKDIKKLLGTPNGSSAGHWEMVIF >Et_9A_062868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6006769:6007836:1 gene:Et_9A_062868 transcript:Et_9A_062868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTGSKCPAPAALSSLPQRRRARRLLLRPRCCEADSVGVQLHRRSPPPTQQLFPERAAAAGRYHPDPPIPRPRRIILVRHGESEGNVDEAAYTRVPDPRIGLTAKGWRDAEDCGRRLRHLISTCPANSASSSDWKVYFYVSPYRRTLETLRGIGHAFDSDPRRIAGVREEPRLREQDFGNFQDREQMRVEKETRLRYGRFFYRFPNGESAADVYDRITGFRETLRADIDIGRFQPPGEEHSPDMNVVIVSHGLTLRVFLMRWYKWTTGEGGRYSLLVHHSADELREFGFTDEMIQDQMWQKTAQPGDLNYTFMTNGQAFFDPHTGVRSGVNSCVI >Et_3B_028995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21185852:21187772:-1 gene:Et_3B_028995 transcript:Et_3B_028995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPYCVHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKDSNAVHASEALRRAKFKFPGRQKIIESRKWGFTKFSRADYLRYKSEGRIVPDGVNAKLLGNHGKLDKRAPGKAFLEAAA >Et_4A_035918.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8539146:8540063:1 gene:Et_4A_035918 transcript:Et_4A_035918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAASVSAIRAALCVLLALALANCAFAGRILDEEPAAPADAPLPADPLPAPTEPPTDPAVAPAAAAGAAGVGDQPLTFFMHDILGGSQPSGRIVTGVVASAAANGQLPFARPNTNIFPIQGAVPLPQGAANLINSNNVPYVAGLSGSSSSGDLVQNNGNTVNGGNKNIPFVNPGDLPSGVTLQNLLFGTTTVVDDELTEGHEIGAAVVGRAQGFYVASSQDGTSKTLVLTAMFEGPDHAHGGDTLSFFGVHRMAAPESHVAIIGGTGKFENAKGFAAIQTLHPGDQHTTDGVETLLQFNIHLI >Et_10A_002241.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5360152:5360418:-1 gene:Et_10A_002241 transcript:Et_10A_002241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVRAATRSSPDSRVTPTWRRRLEDEVAPEFPAAAVLLGNGINYGPGLDANKPAGCSKDKGCAAKQPGQPYTRGCVYQDRCSQQGQD >Et_4B_037632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2196802:2198899:1 gene:Et_4B_037632 transcript:Et_4B_037632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSREVIHEEEIAPLIGAGQVAPEAVDPLAAAPMERVARNPDEGYEEKFRRIMLVSPTPSPWWWRLPFLGPGPKSIPVGQPLDLDQIEMLSKSREPGHFKRLAMGRQLAMDCLEEYNALHPYEPAPGKVTKNLHFDDGVCWTHGNFVARRKRSGCFSFLPAPRKLFFFEVKNGEGSIDVTCTPLGIHGLLLSSLIHLLSSLLQHTCHIRNEPVTEAYSVLGFPLWWSKAVVANLIPFARHALVAFIFHILAFRRLLHVDMTMYMEYVKCATNAPLCCIQMFLSLAVLVRANESEYGTAER >Et_9B_064601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16848120:16849281:-1 gene:Et_9B_064601 transcript:Et_9B_064601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSWSRYKKYLQEYYRLNVPRTALSHQMQANAAVEGGLNGGDANDLTALAELCINMEGQLMSLLNCHPQGFRLDEAIRSNKITNCVRRLINMKCCEFPASAIALKHILKMEMFFTAIINSSANCKPSFKCIMKEADLMCELVMSGICSTSPMNVSSASFSGIVPWELRSEYAMSISEQFTRASTSGELIHDESADKSGLDTELVKELLLKKTI >Et_1B_009894.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9447211:9451118:1 gene:Et_1B_009894 transcript:Et_1B_009894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPSSPAALLAHLAAVLSSPDWRFHPSLPHLPGQLAPSLPPTLPVPLPLRLAAAVARAAAPSRHLLELSLPIMLRLHALSPPPLRPLFDRPFRSLFAHFSRFALTPLMLRLFAHMHRNAPPAPTAPTYNALIRALCRRADLRRALQYLSLMVRSGWRPDAFTFNSLIVGYCRTQKLDLARDLFDKMPLRGFPRDVVSHAALIEGLCEAGRIDEAMEMFREMERPDMHTYVALVKGLCDAGKGDEGLCMLQRMKEHGWRPSTRAYAAVVDFWCREQKAEAAEEMLEEMFDKGLAPCVVTCTSVVNAYCRKGRMKDAVRVFESMKLKGCKPNVWTYNVLVQGFCNEGKVYKAMALLDQMRRCGVDPDVVTYNLLIRGQCRDGHIESGFRLLRLMEGNGLIADQCTYNTLIDALCKDGRIDQACSLFDGMKDSGIRPNTVTFNTLIHGLCKAGKVDVAWTLLEKMVPAGCTPDTYTYSPLIESLCNKGSQEGLSFIDEMLEKDVKPSTVNYTIVIDKLFKEKNYGLATSIWSQMLSLGCNPDVVTYTTSLRSYCNEGRLDQAENVVMEMNKTGVTIDTMAYNTLMDGYSSIGQTDNAVSTLKRMESVPCIPNHYTYLILLRHLLRKRLAEHVPLKEPGVWKTIELSDIFELFELMKKNGIPSSARAYLAILERFSEDRKLDEVTSLISRLKEDNIPLNEDIYTTLVHYFCKLKMYPDAWALLCSMIGSGFLPHLMSYQHLLSGLTAEGHVDMAKEIFRDSRWKDYNTDEIVWKVIIDGLIKKGHSDVCRDMLAILEQMNCRPSHQTYAMLTKELSTRE >Et_8B_059042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12862247:12868456:1 gene:Et_8B_059042 transcript:Et_8B_059042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSPRWRCLQVVVVAWLMIVAAAGTTPVRSMYDEVKLTWGQERAFFFMDDGADGGETLALCLDETNGSGFASNDAYLYGRFDIDMKLVDGNSAGTVTTFYLMPDGVPWENHDEVDMEFLGNVTGQPYTLHTNVFVNGEGGRVQQFKLWFDPSADYHTYSIEWNPKHIIFYIDGVPIRDYKSDAARGKPFPSWQHMQLHGTLWNADDWATRGGRDKTDWTQAPFFAYYRNLRVTQCSPSPGVAWCGAEPPESPRFEPGADAAVLQQARQYVIYDYCRDPNVQKGFTETGFRGMLVVALLVMAMAARPAATNLLYDQVELTWGQDHSFFFMNGDEDTLALCLDEANGSGFASKESYLFGRFDIDIKLVANNSAGTVTTLYLMPDDVQWEYHDEVDLEFLGNVTGEPYTLHTNVFANGKGGREQQFRLWFDPTEDFHTYSIVWNPKHVTILVDGVPIRDYKNDQPGKTPFPTWQHMRLHGTIWNADQWATQGGRIKTDWTQAPFYAYYRNLRVTPCSPSPGVAWCGAEPPESTRFEEGMDAEALRRTREKHLLYDYCRDLKRHKDKELPKECTQD >Et_3A_023377.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24111674:24111865:1 gene:Et_3A_023377 transcript:Et_3A_023377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVFRAVVITAVAVSSLAGVTMAADEPAPSPTSGAAAVSSSLVAAVLCPAVALLFGSLRH >Et_5B_043871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1694155:1695165:-1 gene:Et_5B_043871 transcript:Et_5B_043871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QRRHEEVRRVRGGHHGGRRHQGDQGQRPRSLRQALEGVRSVSSREGLLLIHSINKQARRDELRVCDPATRRSQILPPEPCFPHPHHVLLVGDGERGGGGGDLGRPFQVLRPRLRTSEHCRYSRLLQIRAFSSEHGVWGYFAAIPTPDWLATASSYMYRNPLVTGGVVHWLCLTSSGSYMLMLHVKEKRVTSTTLPTRFPRDKEGRISYLLATDSPWGNPIVLVADEEKISMWVQSKHTKGWEAQPQVVIMIEEILRFNNAICWRGGPRRFVSNWCGSLRGVSNKIVRCFSDPQFNHAHIYCPHEKQLSSWVPTFTTKL >Et_5A_041177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1911298:1915123:-1 gene:Et_5A_041177 transcript:Et_5A_041177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYALFHAYGIDEIGQSVDAVRSSVLDLQRFGKAVKLVGFSPFSSAVDALNQCNAISEGIMTDELRNFLELNLPKVKEGKKAKYSVGVMEPKVGSHISEATGIPCQSNEFVQELLRGVRLHFDQFIDQLKKSDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWYSWHFPELVKIVNDNYLYAKLAKSLVNKSDLAEKDIPVLADLIGDEDKAKEIVEAAKASMGQDLSPVDLINVQQFAQRVMNLSEYRKKLYEYLVTKMNDIAPNLTSLIGEVVGARLISHAGSLSNLAKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASARNKGRMARYLANKCSIASRIDCYSELNTSIFGQKLREQVEERLDFYDNGVAPRKNLDVMKAAIEGKCGLRGCLLLSKMSLKQRKKKKKKKKKHKLEEPQDEEMVPEAADADVKQDETPKKKKKKNHEASEDVEHKIATEGKKKKKKNREASEDVEPKTAIEGKKKKKKKSKTEDDEV >Et_1A_008828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1546976:1550028:-1 gene:Et_1A_008828 transcript:Et_1A_008828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASSSSSSSSHHLHHRSRLRSRAVPLLVAVVFAVLVITELLRTSNRRDFSASAGRLGSASSSAGANHTVAQRKILLDPAFTPRLPPQSPLSLSLSQRNALPPRNTGRFPSLPDGHLKIVLYVHNRPRYLRLVVDSLSRVEGIGEALLIVSHDGYFPEMDKIVQGIDFCQVKQIFAPYSPHLFPDSFPGVTPGDCQSKDNAAKKHCQGDPDQYGNHRSPRIVSLKHHWWWMMNTVWDGMEETKDFDGHILFIEEDHYIFPNAYRSAQLLVDLKPKKCPQCYAINLAPSDVKSKGEGWASLVAEKMGNIGYAFNRTVWRKIHAKAKQFCSFDEYNWDITMWATVYPSFGAPVYSLRGPRRSAAHFGKCGLHQGQGSSNVCVDNGMAAVELEDADKVPNIKADWPVHIIRRQEGYQAGFKGWGGWGDRRDHDLCFREDRLVLVSEYDGIDSAWAVWAQHFVGIALSSLLISRWAKYSEGKMQPAISTEDMASIFDSLSVMIPAPK >Et_6A_046491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1659792:1660048:-1 gene:Et_6A_046491 transcript:Et_6A_046491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEMHKINVFRGIISNWIFLGIIGCDISILVVIWLVSIGLGFISLIELIIGWNFCQSKWLCATSQRT >Et_1A_009464.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6065785:6066387:-1 gene:Et_1A_009464 transcript:Et_1A_009464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEKAPVPVAVAGGDEWQCRKHPGPRSGGGVCPYCLRDRLLRLCPNCAHVRPCACASTCASPSSSSSASGDAVGRVHSLIEREHRVARSRSVAAGSSAAFAAPVALAAASALPGGERRKARVWGWPAPFWKSTAREGVAADEEEGLGLPRSSSVSATAVESKTAAAALAARAARWGWHFPSPLKAFRHRRSSASVAGRA >Et_9A_061092.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:15419015:15419029:1 gene:Et_9A_061092 transcript:Et_9A_061092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNL >Et_1A_006492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23181198:23183557:1 gene:Et_1A_006492 transcript:Et_1A_006492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVGPITRTVSDAVHVLEAIVGYDPRDAEATRMASEYIPGDGYRQFLNIDGLRGKRLGILRKDFFHFPSGSVQEKVFNEHFDIMRYSPTISYYYHLSLKCWFPIIDDLFLTPESYGNTDRKMGAILMDDLEIPSMNVINDANQSGERALMLAEFKLSLNSYLSELFASPVRSLSDIIEFNNKHPVEERMAEFGQDYLLQSEATNGIGPTEERVIAKLNKLCKTGLEKIMQDNQLDAIVAPGASAHSLLAIGGYPAITVPAGYAANGIPFAICFGGLKGSEPALIEVAYSFEQSTRVRKPPSLQHCHLNVTTGPFFRYILEL >Et_6B_049041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1703996:1705006:1 gene:Et_6B_049041 transcript:Et_6B_049041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGDPFATPGFRFYPTEEELPSFYLRHRLAGTRPDVARFIPVVDIYRYHPRDLQAMAGAANVSDTEQWFFFCPRTEREVHGGRPVRTTPSGYWKATGSPSGVVSSSADRVLGEKRTMVFYEGRAPTGTRTSWKMNEYKAAVVADPGPVAAAAVNSAPIRLRNEFSVCRVYKSNGTLRSFDRRPLNPVGHDQAVAVHHQQQQLVLPSTTNTTNQRAADNIANRQTTENSHDISSSGSRDAVVDGTYDTAIDWESLIPLLRT >Et_4A_031861.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24378961:24379245:-1 gene:Et_4A_031861 transcript:Et_4A_031861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQQHHIKAITPTWLLVKKVAPAPQPRDGAKKPAPAAAYSPLFLSPSVWQKAQDEKKSKDAGGLPAS >Et_6A_046301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12509829:12511183:1 gene:Et_6A_046301 transcript:Et_6A_046301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSNCPHVVASMMLHGRVAIVTGGAGGIGSAVSMHLASLGARVVVGYIGDPTRFRTRSERTKTSSITFS >Et_1B_012801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35128203:35131329:-1 gene:Et_1B_012801 transcript:Et_1B_012801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIEKPFPTQGGGFSPKRLRAMLLGVEKRRKGQDDEDYDAVPKDAVRSEADARGASTMCEEYKDVDVVSTISESSSSLESGNGQRSRDTHSMGSRTRVPEEDSCDSESVASNFEFHKERGAAARSAAAPVVPPFSKPAPSKWDNAQKWIASPTANRPCRATGGALPRKVEKPISGGGRLPATKVVLEATEEIDTKRMDPSQEKREIGWQKAVSWAPPDPCPEAEPCSKSALVEESTITDSAVAFSRHDSSTTLQSATACIPPPSTVRSVSMRDMGTEMTPIASQEPSRTGTPVRATSPNCSRPTTPRRTLGPNAIGSVISHGECSNAELSDQDLQTKTRREIMLLGTQLGKTNIAAWASKKEEEKDASLSLKTVPMDQSTQNLTEIRAAAWEEAEKAKYLARLKREEIKIQAWEDHQKAKIEAEMRKIEVEVERMRARAQDKLMTQLASARHNADEKRAAAESKRNRAAARTAEQAEYIRRTGRVPSSFGCWNWCS >Et_3B_030494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4184294:4192461:-1 gene:Et_3B_030494 transcript:Et_3B_030494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARDDALMGSQLKRPNLARSDPAAQPQHMPLPGSGSAAPPPQAGAAPPAQPQQTSASAAAAAAANQKLTTNDALVYLKAVKDKFQDKREKYEEFLEVMRDFKSERIDTNGVIIRVKTLFNGYPELILGFNAFLPKGYAIKLQEEKKPVDFVEAINFVNKIKNRFQHDEHVYKAFLDILNMYRKDNKSIQDVYHEVAMLFSDHKDLLEEFQHFLPDTSVAPQAAAPSRGGLVKREDKSSIMPPASRAHHNDKRAYQSHTDRDFSVDRPDVDHDRQRRRLDKEKERKGERDINYEREDKDGEHDSRELDVGQRKRKPFPRKMEDTAGAETHQGGPNETHGMHSVSASSYDDKDALKNVYTQEFHFCEKVKEKLEHDAYQEFLKCLHIYSQEIITRSELKNLVNDILQQYPDLMDGFNEFLEHCENIDGFLAGVINKRQTGRIVKTEDKEKDREREREDRDRDREKERDKERERLDRGFVKDSSGHKSSILPKEKYNLSKPISELDLSNCQRCTPSYRLLPKHYPMPPASNRTDLGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSIKPESPIRIDEHLTSLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHPLLTEIKEINEKKRKEDDVLLAIAAGNRRPIVPNMSFEYVDTEIHEDLHKIIKYSCGEVCNSSDQLDKVMRIWTTFVEPILGVQPRTHGAEDPDLVKPKSRAKSGLASVGESNTGVAAKQSHGDESVPHEQGPSSRVRLVNGISTDAQNGFHDVDRTTRRGEEPSNTTLNGRVHGAVSVADESPVPNPQNVSTERSAENAAGVRTEQHKATSELAPGANASRISHDGVETTGEVRAGNETLPSVEGGETGRMGSSLNGGCTNEGNKGRLNSEGSTPHNAPKVEREEGELSPNGDFEEDNFVPFEDGASKSKEGSSSRPFQGRSGEVAPSCAEAAGENDADADDEGEESAQRSTEDSENASEAGEDASGSESGDGEECSREDHDEEEEDMDHDDHDAKAESEGEAEGTTDTHDVEGVSLPLSERFLHSVKPLAKHVPTALHDRDEKFSRIFYGNDSFYVLFRLHQILYERLLSAKINSLTAEKKWRTSKDSNPPDLYEKFMTALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKVVKQLQAIATDEMDNKLLQLYLYEKSRSPGRFFDLVYHENARVLLHDESIYCFECCSNPTRLSIQLMEYGHEKPEVTAVSIEPNFSSYLFNEYLSSMTDKKLSGVFLVRNKRKHSNNDEPSDSMKAMDGIKVVNGLECKISCKTSKVSYVLDTEDFLFRLRKRRKLSQGGNVPDKSRILKIYTAKAQRFNRFLSKS >Et_2A_016433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24712992:24721501:-1 gene:Et_2A_016433 transcript:Et_2A_016433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQELSWLGSEGVWFVVLNAVVVAIAILSRARPPLASPRLGGVTRRASSAVLHSLRSFNIFSYPSACLSSFVQPDEDGAGNVSQQETGEPVTSPIIESPSRALVLSFPPPAEEEEDVGEEGDPNAMSMEEAYALVLAASRRPESEREEDARQSEVDAKAEEFIRAFKEDLRQQRLNSIFNYTQMLKQRAQGTIRRRRPTMAAEWWCFLVLNAIVAAIAVLSSRSGRPPLPSPRSGVAITRRASLVMLQRLRSFPIFSFPSACFHTMPFLEPDAAAAIAQETEEPVVASPIKSPTRVLVLAPPAPAPAADEEGGDEEDDDPNTMSMDEAYALVVAGRQRPESEREEEARRSDVDAKAEEVQGRPEAAAAELHLQLHADAQAARARPRPPWWWFLLVNAIIAAVAVLSKVRPPLESASPRGGAGGFTRRASSAVLHRLRSSIFSFPSSGFHTAPLPHPEAVDATVSRETEEPAAAASPTKPTAPTPRAVVLTPPTPAPPAKEEDDDPNAMSMEEAYALVQAARRRPESERAEEATRSDVDAKAEEFIQGFKENLRQQRLNSIFNYTQMLKKRAFGGGGGRQPDARPDQL >Et_1B_011766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25824556:25829464:1 gene:Et_1B_011766 transcript:Et_1B_011766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATACRCARLQRVGKMEKQQTGAAWSSWITGITKGLEAALNAQQAGLAGHLPADNEDHIERLLQHRLPAERELNVLGGPLMFSAIILMSPIFRAQSQPPAWVSKALQSGEEYYRARLYNYCNILAFAYALSTFLVLCLYHLKVPVPAERVLTAVRVTMSLTVMFMAMSFSVGISADWRGAGATFLAIATVSVYSRFRLQAVLLSRFSMVWAEMMANKICVLYTPVLSSGSTLRRPGHEDGAERLLHDGGELALLGEVNLVAGHLLPLELPDQVGHEVLEVKHGDAQPCADAPPGAKRHHLDLPTARDVHAIALAAGQEPLRHELPRPFPHPLVPAHLCHREVHRGALGDQVPADLYVLRRLVRQQEVSGRVPTQPLEHDGLEVRHLVRVLLADLLLLVAARGPDLAVELLLDCRVLDQLGHNPLERSGRRVSPGGQELGAEVGHLVVGERAVAFVGEPDVEEGVHVRVLERRVPLWRRAAAGAGGLAELLLALTAGVDQRHEELLLPPPSVEPRLEAAAEEALGHRRPEREHARVVGHEHEPIPLRGGDGAHGRLVEPLAEAHEHEEAEHGVSERLHHAGPGWRLLLGGGLPELLHEHAAHPRARRREEPDPGRVRRLGHEIAAQEPPQGPVAGPRDGVAVLAEELPRERRAVGQRGAALHQRRVRQAAVGDEDGQAGAHAEGHHGAVPRDEAAEQGLDVGGGVRQPEQVAENRHRQRTRREAGAVLALTKGATPPREEEGDEREEEENRNEQELRVHGSVFLLRPALVGRSSSVSQREVAFDVTADEYCCCLASAKWPHGFSLLQKKKLI >Et_4B_037916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24826949:24828865:1 gene:Et_4B_037916 transcript:Et_4B_037916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLARPASAASETCELNRSPWDLINEPSLTDPQEEDDLLDKYFIHAPCRASWFTVNGTSASMKEKLNMSVDPKKTSKKVVMNKKDQKKAPINIVVNKEDQKKAPKKVAVNKKEDQMNVHPEKNAQVKEEEDNERKPPSIKCRKYSGNSWQCDQPVSNHNSVCDYHLYHKRSSSRSRCYTNRESSLQKVLQKRSGMNECVPPKEEEEEPAENYSASSLKKKMVHATPDDTNNLALRLMMASPPAATSLGSLALMKRASMTATAKALAAT >Et_9A_061019.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:1021929:1022108:1 gene:Et_9A_061019 transcript:Et_9A_061019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMLLLPTMASARQSPPPPRPSTEAFSVLRKGPFGPDHITSDPPPPPQGLTPITPVLR >Et_1B_014209.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:35514856:35515254:1 gene:Et_1B_014209 transcript:Et_1B_014209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSLSCNRRGDDGPTQPQTATCCTALQRGFAPDGLTPPRYAPVRTPASAAAAASLLLDDDDSDHPATAEPNENDDTTTSTQKKKKKKKVVRKCKSTVDDQLSVQPRQLRRSGAVRRDWSFEDLRSNKAA >Et_2A_017660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4736242:4739627:-1 gene:Et_2A_017660 transcript:Et_2A_017660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAGDVGSPHSSDDLVVFIPPVDPQPRPTRQPPQQPTPYPAAEAHKPFQNPERHPASSPSRPPLSRGLLTRRSSISRPKSRFAEPPTPPRSSAATAAASPAHPAAAPNSAFASQTQTPRCAAASTPRTPADPEDDEDLFRRAGAPASASAARCRRRARVGLELAVLVLFLALLVVSLVGRPLKGRSVWGLEIWKWCVMVITVFSGHLVSHWLVTFLVFLVERNFLLRTKVLYFVFGLKKSFQVVLWLALVLIAWSQLFDREVGRPPKTARILNYVSRFLASLLIGSVIWLAKTCLMKLIASTFHRKTFFDRIQESVFHQYVLQTLSGPPLMELAEHVGRESSGLARVSLGRTKEEKCMPEIIDVVKLRRMSQEKVSAWTMKGLITAIRSSRLSTISNTIESFNDVDGLEQKDKEINSEWEAKAAAFAIFKNVARPGHKYIEELDLLRFFNKEEVDLVLPMFEGASETGKIKKSALRNWVVKAYLERKSLAHSLNDTKTAVMQLHNLISVIVIIIIIIVTLLLMGIATTKILVVISSQLLVVVFIFGNACKTVFEALIFVFIMHPFDVGDRCVIDGIQMVVEEMNILTTVLLKNDNEKIYYPNSVLSTKPISNFYRSPDMYETIDFAIDVSTSVESIGALKSRIKGYLENKPTYWHPIHTVNLKDILDVNKINMALCVQHTMSFQNIREKNIRRSELVMELKKMFEEMSIQYHLLPQKVELSYVGSSPIPMANAQTR >Et_9B_066114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4058711:4059830:1 gene:Et_9B_066114 transcript:Et_9B_066114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPPPEAPTAGSDQDIALSLPPEILAEILARLPAKSVGRFRCVSRAWCAMLSSDHFVDLHARRANRRGHPRLLLTPVGSSYDGDVYSWRPGGTVETLMPDCFGDGTAVPLTKPCRGLVLIRSTDYGGYFVCNPSIGAVLSLPDSKVPMKMIWRPTMSEAEPLPFFLDVSYGLGYCELRKECKVVRFFCHTEGENSVVTSASCERPTAEQAPLCSVEEKNPAVFLNGHLHFPCSDGGITTFNVSDETFGSLPPPPGFENVRTMLTEMDGCLCMCYLELDNTDCYHVSVLKDYKEARWEKLCCINQSAWPESQCMLLESLWVAPLGIYYSDNGEKIMFGTGACEVFAVDAGGCGPEVLLTPDE >Et_1A_005999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16517979:16520565:1 gene:Et_1A_005999 transcript:Et_1A_005999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGQPYLALLDEVGLDSTCPESMAPGSKAERKAALDAGAWMFNVVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLMTAVMKWLGYIQPSYLPLPELVKFVVFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCVLEILFDRVRYSRDTKLSIVLVLVGVAVCTVTDVSVNSQGLLAAAVAVWSTALQQHYVHHLQRKYSLGSFNLLGHTAPGQAVSLCILGPFVDFWLTNKRVDTFNYTSIVTFFIVLSCIIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFLFFGKEGLNFHVALGMVLAVIGMIWYGNASSKPGGKERPVYSVPSEKTQKHGILSSQSELDQKAIAEREYLGKTPVFTFAPNRN >Et_2B_020241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18268015:18269503:1 gene:Et_2B_020241 transcript:Et_2B_020241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCHDRYVMNNVRASAVTGEEDTAGVAVLQQPWIGTRRSPNESCPGVVVGRGNRVLRGKAVVDRHGENSSLGDDRIEVPAVHRRGGRVDDQATAVEVHEDGQLLVLGGGEVIRDVEACRDPGGAVDDDVLGGDSGGDVKARRGGVRPVEALYTAVVVDAEERRTVRLFVARRELREHSNYQMDKWTGGICSLSCVWYINLIVANNCTKGTVELMGSLIQSSNQKSSSNSLVSVTAVIVRSHSRASATHSVFSEERDEPVHERHGRAATGLVEEEDMLLALGLEPLHRAVPPARRESLVVRPASRSHDVRLRGADEHPLARQVPKARRRGGEGVHPRIVHPVRRLGAHGAPQILHQRRHLRRLPADGLPAPKRGVKQNCALDDTARDIRARAFQCGHHRDVMDDVPAGAVAGQEHAAEVAVL >Et_8A_056427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:158739:161259:1 gene:Et_8A_056427 transcript:Et_8A_056427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPPRRLQGPRTKLDQQQAALALTLSPAYEGSLQSIVVLSGSRFLKPAQRLLDDICAALLPPEAAVVKGPSSVDIHLAASAGHHKHLRPEFRERKANLLHMQQEMVVSSFESVPGLSSATPYASSVLKDVSKRFRRLRTIISKKIQYVSRLLEEELTSLPEGSSSGGKALAVWKPRKGRHPERAVSVLRRWFFDNFLHPYPSDEDKKMLATRTGLTQNQVSNWFGNARGRLWKPMVDEMHMLETLSSSSCSTPSLDGQVHQQMLEHHGAPPSMGVDEVQPGMMVPLGEMGGFGRMSLTLGLQHHRQQQFGGHLVG >Et_3A_026820.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:22169847:22171103:1 gene:Et_3A_026820 transcript:Et_3A_026820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHQEEDRPWADAPPEVLTLIIGVLPSVADRFRFGAVCRRWHGAERQLPKPKQLPWLALPIPSYAEATHCTFVSLPDESAYELPFPAGGGRARYCGSAPGGWLVVAHRERGANLLCNAFTGATVPLPHQATVRYDGHPVHGAPDPEWNRSEAYIRKAVLSAPPDAPGSGCVVAAIVNKHTLAVCRPGQGGSWQRVERRTAEEAEIDYEDVVFYRGKLCALDARELVHVFDVADGEDGRLTVTRSREGRVGQGLTRPRPEREVERDDLQREVPLSFLSRYLVESGGRLLLVLRYARADRTYSVIRRTYMFRVLELVPGGPEQRHMAWAELDGGLGGQVLFVGGSGSVSLAAADYFRGEADADCIYFTDDNFHVATAAKRELPCRDIGRYSMRDRSVTFLDVQAPHNSRSPPTWLYVSE >Et_9A_061311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:117311:122224:1 gene:Et_9A_061311 transcript:Et_9A_061311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGDANPNPNRRRRRSRSRSRRRSMRLSRLYSFACGRRPSVADDDDPASRIGGPGFSRVVNAGAQHLEEEQSSNSISTTKYNLVTFLPKSLFEQFRRVANIYFLVSGCLAYTNLAPYTSSSAVAPLVFVIVATMVKEAVEDWRRNQQDTEVNNRRTKVFQDGAFCDAKWKDLRVGDIVKVQKDDFFPADLVLLSSSYEDAICYVETMNLDGETNLKLKQSLEVTSNLQGDESFTTFRAVIRCEDPNAHLYSFVGNIEIEGQQYPLSSQQLLLRDSKLRNTEFVYGAVIFTGHDTKVMQNAMKVPSKRSNIERKMDRIIYLLLSSLVLISVIGSIFFGIATRDDLQDGRIKRWYLRPDDTTIYFDPNKSAVSAILHFLTAMMLYGYFIPISLYISIEIVKLLQALFINNDIHMYHEETDTPAHARTSNLNEELGQVDTILTDKTGTLTCNSMEFIKCSVAGTPYGRGITEVERAMAKRKGAPLIADVDNVNERFQPEGKIAVKGFNFTDERVMNGNWVNQPHSNVIQMFFRLLAVCHTCIPEVDEQSGKISYEAESPDEAAFVVAARELGFTFYQRTQTGISLCELDPLSGKQVDRSYRILHVLEFNSARKRMSVVVKDEEGKTFLFSKGADSVMFERLSSSESAYRQVTQDHINEYADAGLRTLVLAYRELEDDEYMNFDKKFTTAKNSVSTDRDEKIEEAADLLERDLILLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMKQITITLETPDIIALEKGSDKAAIVKASKDNVVQQINEGKKLINASSGETFALIIDGKSLTYALEDDAKGTFLDLAIGFTLAIGDGANDVGMIQEADIGVGISGAEGMQAVMASDVSIAQFRFLERLLLVHGHWCYSRISSMICYFFYKNITFGVTLFLYEAYTSFSGQAFYNDWAMALYNVLFTSLPVIAMGVFDQDVSARFCLKYPMLYQEGPQNLLFRWSRILGWMLYGVASAVIIFFLSTASLQHQAFRKSGEVMDIATLGATAYTCVVWAVNAQMAITVSYFTLIQHICIWGGIALWYVFLVAYGAITPTFSTTYFMVFADSLAGAASYWVVTLLVSAAALIPYFTYAVIKTWFFPDYHNKIQWLRHRNRGQPDSNADPEFGQALRQFSVRSTGVGVSARRDAAALVRLNSKVYHADSPQLS >Et_4B_038231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27353782:27359223:-1 gene:Et_4B_038231 transcript:Et_4B_038231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALLLGEDGRGYELARRLEACGAWRAWLGDDAAHAALAQHLASPSTWDAFLSPAPAASSPPRPMLLLQLRVRALLFDKASAALLLSRGAAPAGPHSVNANYLQLHGDDIYFSLEDEKDDNNQHQMNSRTAFSPSRDGSMLSQRHNRYEELPDTWYKQYSEKVRSWRSKLHSGDKEIPKRTPEGMSDYLKVCTVHKRKRAVFMDGHGPSISAPMLENGPSSKNAGEFGQSTDETFIPEIIFPADCVPESAISITSEISRTSKIEVHGVLDNLPAPVSRNTAMLERFGMMPEYYKTGNKYRGKDGSKLEGKSLSQEQALLMIRKLVVRSLANSGFLSATAASIDVLSEIVIKHICKLGRNLKLLTDSYRKQFSSIELLKMFLQNVGYSNIGPLMAITKVGNRVANYPGHQDAQVLQSQNQNSLLHAAQQAVSSTNDDTHTEFDTTTAAAASAATTLAARPDDKPPSSYGMRMPPVKVEAFHELVSGDSSLKQDNDPNKLTSPK >Et_5B_045374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2009920:2012932:1 gene:Et_5B_045374 transcript:Et_5B_045374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPSGGEPGARPHSELPRVELELELPPRAAAAAPFDLAAAVCSHGLFMMAPNRWDPAARALVRPLRLASDRSASVLARIAAHPARPGSALLVSIQGAAALSPLDQDAILEQVRRMLRLSEEDERAVGEFQAMHTAAREAGFGRIFRSPTLFEDMVKWSRSLSMAKALCELQLELKRPSSTEAFQSRTPPIKERKRKRSKKQSVCVRLETRFVEDKLEDPRLPVDTSNDIAYENVCSTPSVPSATGSTCDSLDPLEFCLSDAPLLEDCTGDFPTPEELANLDECFLTKRCNLGYRAKRILMLARSIVEGKVCLQKLEEICKMSVPAAEEVSVIQCTYDRLNEELSTISGFGPFTRANVLMCMGFFHTIPADTETMRHLKQIHKRASTIRSVHEELDKLYGKFELWGFYDKQFGKISDMDPFDYSYT >Et_4B_038153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26869678:26870141:1 gene:Et_4B_038153 transcript:Et_4B_038153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRATLLATLAVLAVAALLPATASAKSYRVGDDFGWDNGVDYDAWASGKKFKVGDTLEFLYSEGFHNVVVVDAQSYAACTVPSGAPTLTSGDDRVALNQAGTWLFICGVEGHCVSGMKLAVNVH >Et_9A_061932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18015583:18017632:1 gene:Et_9A_061932 transcript:Et_9A_061932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSAACAMESAAGMGVQFFEWLKPRSSSPPASPSSFSSSTSSSTLSTPSIDRSVIGDGLVATSLCLPLLGRFEERSTSSDQGRNNPIKEELSNNISTTTGTGGEAGLDLNIGLPAIDDYTSESEEATMDEEDEENDSEEEEKPARQHEVCKAEAGEQVRIEMMEGSDDCLRIGGEENMIKGIFSSRGRRYWIPTPAQILVGPVQFICHVCSKTFNRYNNMQMHMWGHGREYRKGPESLKGTQAATLALLKLPCYCCAPGCRNSVAHPRARPLKDFRTLQTHYKRKHGAKQFGCRRCGKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGGGHLPVVAPADQQQQAPSAAAPAPQPQPAKQRIIRFDQAVPAWSVTHA >Et_1A_005512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1150041:1152559:1 gene:Et_1A_005512 transcript:Et_1A_005512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTCLRTQAIALVVLLSSLAPESAQVPRPAPGVARPGCRDRCGNISIPYPFGIGAGCYRDDGIDGFELRCDDTRSPPGLSISGYDHQLTGLSLAAGEATAYHNASRQCFNSTGGLVDRTDGTDEYMSLETSPYLFSPVKNSLVALGCPNLGYFVDGAGYYVSGCMSVCRPSQNAIPGVCTGVGCCQSEIPASLNYFEPHQLNFPMGQGDGIFSANSTACHYVLLVETEWIGLNYSDQVYVNRTGDFAVPVVLDWAVRNVGNCNAAKRNMTDYACQSVNSRCVNSTNGAGYRCNCTDGYEGNPYLDGGCRDINECEHPDQYPCFGDCTNKPGGYDCQCRQGASGDAFTQNGCRDKDKFTLALKVVTGVSIGVFLSVFMCFWLYLGLQKRKLIRTKQRFFEQNGGVLLQQQMRSYSSAGAGAGGFKIYSTEELEKATNNFASDRVLGRGGHGIVYKGILEDKTVVAIKKSKMMEEAQTKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSHGTLYHYIHGNDLNADITFDTRLRIAAESAEALAYMHSSASPPILHGDVKTANILLDDKFTAKVSDFGTSKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVLLELLTRKKALYFEGPEEDRSLVSCFMTAMKGGRHEELLDDQVRNETRVEVLEEIAHLVMQCLSISGEERPTMKEVAERLEMLRRYNQHPWSQANANAEERQNLLGMEQQNLPYKFRQQDVLDLEEGSTYTFSM >Et_3A_024014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15986251:15990329:-1 gene:Et_3A_024014 transcript:Et_3A_024014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGGATAASAARALRSRLLPDPIHNPATSLAPVASARASSSVPSAAAANTVAEAAAAAAAVSQQAGSVSDALRHYGRCYFELSKARLSALVVATSGAGYVLGSGNIVDIAGLCFTCTGTMMVAASANTLNQVFEIKNDAKMKRTMRRPLPSGRISPAHAAMWATSVGAAGTALLAWKANGLAAGLAASNLVLYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGWAAASTELSLNAMILPAALYYWQIPHFMALAYLCRSDYLAGGYRMLSFADPTGKRTAWVSLRNCLYMLPLGYFAYNWGLTSDWFGLEASLLTLGLTIGALSFVLDPSPKTARRMFYGSLLYLPAFMAGLLLHRLPNEQKEHNLPQNSGLAGVLYGANLQDEERATRKREDRKPSNVQSRPPVAYASVAPFPFLPVPIYVPPQAQDL >Et_3A_027327.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7737043:7740561:1 gene:Et_3A_027327 transcript:Et_3A_027327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGVSSIRRSRCRRPCACAAPSPSLLLLLRCALLMACMGGAFAVDAQGAALLAWKRTLRGGDAALGDWKGSDASPCRWTGVSCDSAGRVTELSLQYVDLHGGVPGGSGDQLQLLSAAVGATLSRLVLTGTNLSGPIPPRLGDDFPALTYLDLSNNALTGPIPASLCRPGSKLESLLLNSNRLEGAVPDAIGNLTSLRELIIYDNQLEGAIPASIGQMASLEVLRAGGNKNLQGAVPPEIGNCSKLTMLGLAETSVSGPLPATLGQLKSLETLAIYTALLSGPIPPELGGCASLANIYLYENALSGSIPPQLGKLASLKSLLLWQNNLVGVIPPELGACAGVTVLDLSMNGLTGHIPASLGNLTSLQELQLSGNKLSGPIPPELSRCANLTDLELDNNQLSGAIPPEIGRLASLRMLYLWANQLSGSIPPEIGGCASLESLDLSQNALTGPVPRALFRDLPRLSKLLLIDNALSGEIPPEIGNCTSLVRFRASGNHLAGAVPSAIGRLAGLSFLDLGANRLSGAVPPELAACRNLTFVDLHGNAIAGALPPGLLRDMPALQYLDLSGNAIAGAIPSDVGRLASLTKLVLGGNRLSGPIPPEIGACSRLQLLDLGGNALSGAIPPSIGRIPALEIALNLSCNALSGEIPREFAGLVRLGTLDVSHNQLSGDLQPLSALQNLVALNISHNGFAGRAPETAFFAKLPASDVEGNPALCLPQRGCHGGGDAAGAARARRAARVATAVLLSALVALLAAAAFVLLGRRRGSSVFGGARRGPEDGDKDAEMLPPWDVTLYQKLEISVGDVARSLTPANVVGQGRSGSVYRATVPSTGAVIAVKRFRSCDEASAEAFACEVGVLPRVRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGGLLHGNGGAVVEWEVRLSIAVGVAEGLAYLHHDCAPAILHRDVKADNILLGDRYEACLADFGLARVADDGANASPPPFAGSYGYIAPGNNTIPVRCMHGIDTHIQEGKLTDSDEMDFLSSAKIAEYGCMTKITTKSDVYSFGVVLLESITGRRPVEAAFGEGRNVVQWVREHLHQKRDPAEVVDPRLQGRPDTQVQEMLQALGIALLCASARPEDRPTMKDVAALLRGLRSDDGGADSRKVSGGGRWPDSSKWSAPVSPTKSTNQLGTLSQSSSSAAYYSS >Et_5A_041858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3519936:3523403:-1 gene:Et_5A_041858 transcript:Et_5A_041858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRASSCALCEGSNLPSCCSTCVNGRLIEYHTKLRMMRSLRDSLQSRIAARLESQSKADEQRTWRVRKAQDIKELKDRLIALKKKTAIEKTKVEQASSDLKAQKASLNLAFVTLKKRRGDVTTTHINAMKLAQMNLMAATSERLKMQSKAVKQLCRLFPMRRVIKEGEKKGDYSGPYDSICGARLPRGLDPHSVPSEELSASLGYMLQLINIAVRILSAPALHVSGFGASCSHIWQRNSYWSTRQSQSKIYPLFIPRRNVCTGGEENSMTGSGSGNFGVDSVDSVKKPSLDSKRSNSFNFSATSSHSTERHQDLQRGISLLKTSVIAITTYYYNSLGLDVPSNLSTFEAFTKLLHMLSSSKALRAALESNIASRSAEQAQHLNRSIWKASSAISSESSIMDSMHTIIMPSSLDNLLLNSNGSFLYTGQPAKHGGVPDSIFDGWDLVEREVLPPPPSQVEDVAQWERAMSAGSKRK >Et_7A_050530.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:22771136:22771375:1 gene:Et_7A_050530 transcript:Et_7A_050530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAVLIFLCVLLMIAAPSAAFPRKLAGNGGQQTSEMQSEQAVNVDGRPSSGYGDHVCPRNLYPSCGKRLELPASNNLD >Et_2A_016551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2646855:2649466:1 gene:Et_2A_016551 transcript:Et_2A_016551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAATATLRWVLQLHRDVPRAAKFYAEGLDFSVNVCSLRWAELQSGPLKLALMHTNDSNLASQRAYSSMLSFTVPDINSTVSKLIALGAELDGPIKYEIHGKIARSEV >Et_4B_038258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27657304:27658779:1 gene:Et_4B_038258 transcript:Et_4B_038258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGERGGERGGFGRGFGRGGRGDRGGRRGGRRGPRQEEEKWVPVTKLGRLVKENKFRTIEEIYLHSLPVKEHQIVETLVPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDNNGHVGLGVKCAKEVATAIRGAIILAKLSIVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLAKTYGFLTPEFWRDTKFTKSPFQEFTDLLAKPTKGLILDASTETVEA >Et_4B_039587.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2357748:2359370:1 gene:Et_4B_039587 transcript:Et_4B_039587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQEQLQVLSALDSAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYTDPTKPDPGSLPPNVAAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGMTLLLMVICSIASGLSFSHTPNSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVTLAISSAFRAAFPAPAYQADAAASTVMQADYVWRIILMLGAVPAMLTYYWRMKMPETARYTALVAKNAAQAAADMSKVLQVEIEAEEKKLDEITRNKDYGLFSSRFLKRHGLHLLGTATAWFLVDVAYYSQNLFQKDIFTSIHWIPKARTMSAMEEVFRVSRAQTLIALFGTVPGYWFTVFLIDVVGRFAIQLLGFAMMTVFMLGLAVPYHHWTTPGNHVGFAVMYGFTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQSQDKAHVDAGYRPGIGVRNALFVLAACNMLGFLCTFLVPESKGKSLEEMSGEADNEEASGDGTNNSVVQPSGVEMV >Et_1B_010968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1621212:1623104:-1 gene:Et_1B_010968 transcript:Et_1B_010968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTMTTSPASTTVPLLLLRLSSAAAPRCLRNPAAAGASHGVVRWTGGGRRNRRCRAAVVEEAGAQEDGVLLPKEGEEAAAAAAGRYDWREEWYPLYLAKEVPDDAALPLTVFDRQLVLYRDADGVLRCHEDRCPHRLAKLSEGQIVDGKLECLYHGWQFDGEGKCIKIPQLPEGAKIPRSACARNYEVRDSQGVVWVWMSDANPPDERKLPWFEPYARPGFTDLSTVHELPYDHSILLENLMDPAHVPISHDRTDWTAKREDAQPLFFEVTERTPRGFAGFWGRARTPHLRNLLRFEAPCVLTNTLEFVDKEGKPQCFSAHFLCRPAGQGKSMLIVRFGNTTRSPLLKVFPPWYLHQNACKVFEQDMGFLSSQNEVLLREKVPTRELYLNLRSSDTWVAEYRKWMDRAGHGMPYYFGHSTLAPPPVPAVVEQAPAGAVAGISASFPAKGGVGTTHAPNPTNRYFRHVVHCKGCRETVKKYTSFKNVFAALAAAAVAAAILATTRQWKAILVAASAVLAAASYACDAVVSLITTNFIRTHRRL >Et_4B_037264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17442147:17444847:1 gene:Et_4B_037264 transcript:Et_4B_037264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVRTLVLQHLRLRAAHGGGGRAAVAQCGFARGMSAPASQDGGCGGDSDSESAVRARVVDLVKKFDKIDADKVTETADFQKDLSLDSLDQVELVMSFEQEFSIEIPDNKADKLSCCADVAQYIISESQSNSSSSSSS >Et_4A_033398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22258611:22270046:-1 gene:Et_4A_033398 transcript:Et_4A_033398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITVTSMRATTVSVCHLLLTTLFSGRGGHAFSLMNYCSNGSSYGMNTTYHTNVVALLSSLSANASSSAVGFATATIGAAPDQAYGLALCRGDINGSSCASCLALAPDVAFGNYCRGVRDTSIYYDRCLLRYSDKDFLASPGDAGAHVLFGRNDEDNVTAEPDRFVGLAADLVGALTGWAAQNSTARFAAGVVTSGEGFPTTQSDLVHTIYGLVQCTPDLATATCRACLGRLRDEMPAVFKGTAGGQFNGVWCNLRFEIFGFYDSSPVVNLAAAASPAPPPPSPAGQNGNMTRSSSNAATVIAIVLGVLVAILASVIMIYFWRKAQSKEYAEEDGDAGSLLFDLTTLRRATGNFAEENKLGHGGFGAVYKGFLPDGQQIAVKKLDKASGQGLKELRNELLLVAKLRHNNLAKLLGVCLKGEEKLLVYEYLPNRSLDTFLFAPEKSQLLDWDTRYRIIYGTARGLLYLHEDSQIKIIHRDLKASNILLDADMNPKISDFGLARLFSGDKTTTITSQVVGTLGYMAPEYAVLGHLTVKLDVYSFGVLVLEIVAGRRNTDACFESAGDDSGTLLSYAWDHWSKGTPLEVMDPSMDCQAPESEDKEFRKRSKSDCHRPRGPTCYPCLRGAEEDGDAGSLLFDLATLRRATGNFAEENKLGHGGFGAVYKGFLPDGRQIAVKKLDKASGQGLKELRNELLLVAKLRHNNLAKLLGVCLKGGEKLLSEVLKCIHLGLLCVQENPADRPTMLDILVMLHGHVSSFPPPSKPAFTFAYGELSSSRSYGVSHLGGAAVPSVNGMSESEFQPR >Et_1B_011856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26577216:26579108:-1 gene:Et_1B_011856 transcript:Et_1B_011856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDETTTTTAKKTGGVLAREPRRAAPSSSSHASKRRRAEAMADRFFPNDFPDFVAEVPDGEGGVVAETWAKAGRKVTDYTLYTGALGTAMLMFKSFQVTSNRGDLVLAADIVRECNEASRGLPFLTFICGRAGVCALGAVIAKHCGDQRMLTQYLSYFDEITVTEKVPNEMLYGRAGYLWACLFLNKHVSEKTIPIDHINSVAKDIIKEGRKLSSKGSSPLMYEWHGKKYWGAAHGLAGIMHVLMQTELRPDEQDDVKNTLRYMIKNRFPSGNYPSSEGNESDRLVHWCHGAPGVALTLAKAYEVFRDDHFKRSAVEAAEVVWNRGLLKRVGICHGVSGNAYVFLSLYKLTGNVEYLYRAKAFACFLLEKADQLIAEGTMHGGDRPFSLFEGKAGMAYLLLDMVNPSESRFPAYEL >Et_1B_011280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19937117:19938323:-1 gene:Et_1B_011280 transcript:Et_1B_011280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCRLYGMEIHVLLAATCQQLLLLVMFVMGCSETTARLRGPRSGEPRDDDGEGARLRIWRASGRRRRACASPDPASLGATTARLRGPGSFASWGFTEDCYGGNCFSETGDEDGGACSSDCSLLRRPWTRAAEAGTTRSPRSGAGGAAAAAGSSGDNPRSSCVWRCERRARLLPGNAPLARTRGHQISCKASLSTTRCYARLVIRRGTILRSISIRLWGHPVLLQLQGTRRRSCLMPQEDGVHLPYVENNLLAQIPSFH >Et_2B_019606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1096094:1097861:1 gene:Et_2B_019606 transcript:Et_2B_019606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSGRDDDAPDLICQLDCVHGMVEALSSARWKRHQDAVMELSEHGIVITVEESGCLQAKVFLKRELFVEYEYAGEGRVRFGLSLGLLVDCLNTFSSPGHASTVEMRYPGPDMQLLLKSVGSPDACMYAEIRTRIPDTVSWDFQFEHAGNTPVTFTVKSAILKESIDDLEWPGSSIQIQMQPDPPSVILKCEGHGDLQIEYPYYANTDLLIAFRCDREVSYSYKYKFLRATTSNIPSSVMKENRGTKVQIGRGGMLKIQHLISVARPGMPYYRNIAGGEDQTSRIVYVEFFVKPEEDDNPINDG >Et_10A_001995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17494643:17494907:-1 gene:Et_10A_001995 transcript:Et_10A_001995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISFWAQSEGDSSMWTREPQIEIEHKDTWVGAEGFISQERIRLEWFSDRSSFVLFNAPGHYGPRKLSHGLRVTVIGIA >Et_1A_008081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4240911:4246529:1 gene:Et_1A_008081 transcript:Et_1A_008081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAEKAVRCLGLGFDMACDMRLKFCKDAGGCLVERDGGEMAPLAVPGVGTIAGVPVDVKCGKGDRVRFKSGALEFNKMSELFNQRGSMEGKIPSGLFNASFDLDSGSWAQDASATKCLAMDGYFISLFDLSLDRRPLALADHVLRDVPAAWDPSAIARFIEKYGTHVVVGLSMGGQDVVYVKQDRSSPLPPAEIKAHLDRLGDQLFTGACAMPPLHCKSKDKFKIPEAFNVFDAQVAQQRLQAGITTLVSSKDGVTVIYSKRGGNTTASSHSEWLLTVPAMPDVINVKVVPITSLIKGVAGAGYLSHAINLYLRYKPPVADLKYFLDFQHHKMWAPVHGELPLGPCSNRQGSSPALHFSPLGSKLYVSSSEVIVPKLPVTGMRLHLEGKKSNRLGIHLQHLSTTPSFIAAARADKPPVWRGTEAASDDRFYEPVQWRMFARVCTAPVKYDPRWCGGDRRAAFVVTGAQLHVAAHDAAHVLHLRLLYGELPGFAVVQSRWARGAARLSGKSSFLSVSLPGSSGGGGGAQKDGRQQPGSPELVNVDSGVFAGGPPVPVGAQKMLRFVDTSQVTMGPQDSPGYWLVTGARLDVDKGRISLHVKFSLLATAS >Et_9B_065061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20893715:20902521:-1 gene:Et_9B_065061 transcript:Et_9B_065061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLLSILPATSISPRSHRTKHHKVPAASHLLCSRRPAAHSLLHASPSDNGSGWSGSEDIHLLEKPSPSPPVEEDSEGEAEPEPAPVLSTEEALAPFLRFFQVKSSDSGEDTSTAYAERRVEKGSSEEEPVAARRDGTPSCGRGVRYYDPKPGDFVAGVVVRSDGRTIDVDVGAGGDPALMLAKEAVPVSGDEFGYLACDVASERAGAKVGGGNGDEEPTGGRNGKEKGAPVVAVGTIVFAEVLGRTLGGRPLLSARRLFRRVAWHRVRQIKQLNVPIKVKIFEWNAGGLLSRIEGLRAFLPKPEMMTRPRNFTDLKNKIGQEMHVCITRIDEGTNELIISEKEAWAVMHLSEGTLLQGTVRKLFPYGAQIRIGDTNRGGLLHISNITHGELRSVSDVLKVGERVKALVIKSSTPDRIALSIKDLESEPGLFISNKEKVFSEAEEMARRFRDQIAETPRSGKAEDSLNTVVPFDDEAHSYANWKWLTFSKSDEVQNRKYSAE >Et_7B_055170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:876063:878959:1 gene:Et_7B_055170 transcript:Et_7B_055170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEASSSSGEEEAGAWTREQEKAFENAVATMAEDDEEGDARWEKIAELVEGKTADEVRRHYELLVEDVDGIEAGRVPLPTYAGDAGAEEGGGGGGGGGGGGGKKGSGGGGGHGEKGSAKSAEQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRERRRSSIHDITSVNNGDASAAQGPITGTNGQAANPGKSSKQSPQPANTPPGVDAYGTTIGQPVGGPLVSAVGTPVTLPVPAPPHMAYGVHAPVPGAVVPGAPVNMAPMPYPMPPPSSHG >Et_8A_056656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14576629:14581364:1 gene:Et_8A_056656 transcript:Et_8A_056656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKDEFQKAGDWVADSLNFDKDYEASVFETTIRVVGGLLSAYDLSADKIFLKKARDIADRLLPAWDTSSGIPYNRINLAQGRASNPRWNGGRSILADSGTEQLEFIALSQRTGDPKYQQKAENVIRQFQKIFPSDGLLPININPHSGTAESYSTITFGAMGDSFYEYLLKVWIQGNKSESVKHYRKMWETSMEGLLSLTKKTSPSNFYYVCEKNGNSLSDKMDELACFVPGMLALGASGYSPEKAEQIMDLAKEVIFAFLVLCVLS >Et_6B_049440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:490490:494339:1 gene:Et_6B_049440 transcript:Et_6B_049440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPVYRRVLKAVQKHVGGGASKQHFRDFVTAEFRAPVGSEADARARLRFAGDYAYLLTSVHHHKDLLFSYNIAVDRSDEMKKILNKSAASVGLQLPDMEQCEDLGGRYLRQVSQNFIFTVKPFTMTSLVRRNLIRGVLPLLQSIDGVLEPSNSSASGGALVFLCIIPGSLVDVMNRKMRVSDIEAEIIVLGFMMIAWDDNRDDTTGLKRYAITCYVIMWILTIIVVRITITTAA >Et_4B_037982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25194010:25198461:-1 gene:Et_4B_037982 transcript:Et_4B_037982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PAIYFDSPLPFRGELLESSWWKIGIRWRCLTCKKEVNSETERSRTDAQTVRKKVRKPEEALSNWCVFHHRYCRQVVETWNVEFHSATCEKRVSLLYLANDIMQNSRKEGNRYITEFMRVIPAALNEVFTHGDDFGRNVVKRLIGIWEDRKIFDTQSQSLRDDFFRRLKYLRSKLKNPGGELLEKVITSYKHMLNAPIDEDTVMRKCQAAVSIFDNLNKAYENNSYLGNSNGSGFMDELQQQHSALRNSIDQLKTSESLKINLISHLKEALNEQEFRMEQVRSQLQAAQSRYKKADELCQELGVEVQSHQTSNQVLKKPSGSGIHGTIASDSVNADGSLQKESAVLYSNEEDGVKHNVTATNVPTKISASDGICSDVSSSRANGGNHVQQIEEHSLGNKRQKLEDNTYISQPQSQPPPPPPPFPHPDTFQPPPPEYPPSPEPSPPPPPTSMEPRLSPLPTVTTMPPQLVSPLPHAAGTFVPFPAAHPGPMSMTAMPYGTFPSYTPLVNFPMGNMPPGFPSAPNPPPAFQGFGGAFYGSPYSTAPPPVDKKQE >Et_5A_040292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11318925:11319181:-1 gene:Et_5A_040292 transcript:Et_5A_040292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVFAQQLPSVEFKHVRREQNGVRHELAQLAKRLCHSAVQRDRVPAYVEHLVAHDCNPILSD >Et_1A_009087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28765811:28769352:-1 gene:Et_1A_009087 transcript:Et_1A_009087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHCLVESDYDIASVESVDEAGFMEEGYPYIGRLIYLPVQEGQALAQAQGPAAAAKLDGMYECILCACCSTSCPSYWWNPNRSPSAVSRAMTMYIVSRNQFRHELDWLHFEIQATDPDDMGAIKLCGSSSSISPPWNGSNRQRQKLPPKPTTTTVSPCAPNATMHPSPPSPALNRDAGRYRPSSFACRPNTWTAPPSPAAARNRASPENVDAALARLTSRSSLPSAASNTLTSAARPAPAVPRQGEACDGRRGVVRVDEHRPPRVPRREVQALHAHLPLARARAGQHPAAVAVERRQRAQALRVRRRLVPVPQRQVSEGVDEDGGARDGDHVVTGHADAAEEAGAGWLYIRLVAPRRCSGAGGCPIPTRCSPWGYRRHR >Et_6B_048422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2190962:2191336:1 gene:Et_6B_048422 transcript:Et_6B_048422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSPPSLCEFIEYIDTEQTPEDIAHVYSIAKRARRHWFDMEAEERREEERKKMKQKEEERRRQYEAERKAREAERERMRERARRAREAGPDAFRKGKYPRCTQ >Et_4B_038200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27076877:27078555:-1 gene:Et_4B_038200 transcript:Et_4B_038200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMKLSTAAALLLCMCLSLTPASWWRHVKKGAPGPGPGVGEESFRHWARSQHARAVPRAGALRPCTSCSREATAWPPGGGAARVAFLQPSHYDADVRQRGRGRRRAASSAGASWSRSASGRGTSWSSPPAPSCTRPTRTAPSGSASSCMPLSPVSTPGRFEEFFPVGGERPESFFSVFSDDVIQAALNTRREEWEQVFEKQRKGEITTASEEQIDSGAEQVLLPRQRRTRRWEIKPSSLTGQKPRHSNNHGKHCDISGEECPHLRVLDMEVGLANITRGSMMAPSYSTRAAPPRSPSWCRAAATSRWRARSSQQRRERERGRRREEWGREEEDEGRHEEEREQKSRGYTHQGGLGGRGPRGAPDVRWSPAKARTSRCSASACTPASTRRCSWPAGTARCGRWTSTLAFGAAAREADRVLGAQEESVFFRGPQSHGRRSSA >Et_9B_063623.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:11912978:11913295:-1 gene:Et_9B_063623 transcript:Et_9B_063623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLTNFCQEGKGCIQFVWQLCAGLHGKPEIKDCLEEKMINDPQDKLYHTFLKFWAEMLHGLSKEDLVRGMEELLLFLAMASNQGGALDALNQQRHQTKIEQLCA >Et_3A_027275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6651733:6652604:-1 gene:Et_3A_027275 transcript:Et_3A_027275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMWQGGRREEQHVERLELAQIKVEAALEASRGWRIRGASLLRWRRKLKRAAAECGGAPPPPRRRRGSRMSPSPAAWRAPPRLSPTPSSSASVRRFEWYADGAGEFLRFVELGGGSPEAGAPLLPARLVVVGGGRHAGPDGGRGDRRRGAGPPAACTGTSVGGTRRAPRRAGFRSPSWNGFRRQTKRKGSRHRQACCLRSEAI >Et_5A_040499.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:26412540:26412665:-1 gene:Et_5A_040499 transcript:Et_5A_040499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFKPYKQVALAQEIVDEGNLWVITGYSKLRKLFSPCLGRA >Et_3A_026281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6440723:6444601:1 gene:Et_3A_026281 transcript:Et_3A_026281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWREEEEEGKNNCDFRAGQDEREKQRERYIKYSEKALAFAMTFFVYLGSASSSTGSTAFRVVAFVALIIAISTDLFAYKFSLKQGHALVYLSSSCLMLMTLCIFISFKGIYGYAIMFLPLITGAILLEHKLRAPRGRQQISTDEELDTVSNSATVVLCSGSIVTIIITFVKSFKHVAAGTCVGFFFLLTVLLAIYLVVLTTVRIHKALLFRAKQLDIWLRTLFLATVLVTCISLFTSKQSSWQVGRAVLSSVDVRGGEVSGQVEQTGSAGGAGRKPLLALRDATWKMAVAAAACRKIGAATLSSVAMAVQARVRRVLLARDTTCRCILMLHQPEEVLTAVLVDHVLVQEVCDHGRDAAQLQSGPSYAIKSSSISPDLWVTDKESMMTSSG >Et_2B_022728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3908681:3909278:-1 gene:Et_2B_022728 transcript:Et_2B_022728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPASSAADTFIAVVAAASPSEQSHRPSAPSSYAVWEKERPSASFFLRWPYEAAFPAWLKEEEGKALAAMEPVEKARCECCGFTEECTPAYIAAVRAEHMGRWVCGLCAEAVGDEVVRERITTAEALDRHVAFARAASSLGAEDDDLVAAVARLLRRCLVDSPPASPAAAPPPAHHARKVAAGPGCPDGADA >Et_6B_049527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6010661:6015309:-1 gene:Et_6B_049527 transcript:Et_6B_049527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRESQQQRFATLPRELPATFLKKITNDFSPDRVLGCSVFGKIYKGILPDEGGIIAVKKLAENSQMPVKTFDTEVTNLMALQHKNIVELVGYCHEGKKDVVNHNGRYVIVDIMENFLCYKYLPNGSLDKYLYVEESNKLDWDKRFKIIKGICEGLHFLHKKPDGPLIHMNIVPTSIWLDDEWVPKIADFGLSRLFGQEQTRMNTINVMGQNGYMAPEYLYRGEISTMSDIYSLGMLIIEITTGEKNYSNPEDRSARKFVDKVHENWTEEYHITSKYTSLKTKGLQEVKLCIDIGLSCVEVDRSKRPSIEYIVDKLSGKCA >Et_4A_032361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31793800:31794339:1 gene:Et_4A_032361 transcript:Et_4A_032361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMGAAAGDPGARGGGRLRRPRRLELVPGESLVRGAHGSVAALRGAAPQRVPGDGGGHGRRGASRRRCHDNEAPRPQRRRRRRLLPRCRCRARAPRPGPRRSMAGAAPHGVPERTPAAPALRLPGLPQPELRGKGGWGARDEGRVTAAGGGHGIVAAEIAG >Et_6A_047437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5935321:5945167:1 gene:Et_6A_047437 transcript:Et_6A_047437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALSSPSTSLLSAPAPPTKLFSAASKLTTAGALNPRTKNPRCLSCAAASPTAPAPAAKAKSGSWRDLFSLNSWVVRDYRRLVESVGALEPALRRLSDEQVGRCTQQHIVFSIRLSLKGKTAEFRSRLARGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLTVGLIQAGMKSDERRASYRCDITYTNNSELGFDYLRDNLSRNKEQLVMRWPRPFHFAIVDEVDSVLIDEGRNPLLISGKDNRDAARYPVAAKVAELLMEGVHYTVELKGNNIDLTEDGVSHAEIILGTHDLWDENDPWARFVMNALKAKVFYRRDVQYIVRNGKAIIINELTGRVEPKRRWSDGIHQAVEAKEGLKIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKMPVIEVPTNLPNIRVDLPIQAFATARGKWQYVRAEVEAMFRLGRPVLVGTTSVESSEYLSDLLKAHNIPHNVLNARPKYAAREAEIIAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIVEDNILPFLTHEPLDVDMEGESTSHKGLSKIKLGPSSLGLLAKTAIMAKYVHKSESNAWSFRKAKSTIADSIEMGQTIGMEKLQERLAVESEMYPLCDAIGLAYLNVLRDCEIHCSAEGAEVKTLGGLHVVGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEIFQKFNLDTEWAVRLISRITNDEDIAIESNVVVQQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSDSCSEQIFQYMQAVADEVVLVNIDPQKPPKTWTLTKLLDDFVSLGGKLLSESFKDIQAENLQSALELHACGSVKADSFALPNMPVPPSSFRGIRKKASSVMRWLAICVDDTSKKGRYTNTVNLARKYFGDFLIATYLNAVQESRYDDTSISGIEREVLLKTLDTLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFFSMLSATRRLTVEALLHYWSSPMESEEIFNTDDQ >Et_3B_031120.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16827654:16828028:-1 gene:Et_3B_031120 transcript:Et_3B_031120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCGESDHGVHGSAALEVQGFDISWLPEPEPKLRRMLQEVSLSKEIKEPRRRQPPPAMPWRGMQLVPERQGGRLMLKEVATKNPFALHASREDGRLRLMRVPPLDDLGDDFERDESGGNGDKF >Et_5A_041602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24834226:24838986:-1 gene:Et_5A_041602 transcript:Et_5A_041602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAAPTPKAVLHQRFGPKLRYTVEEVREVVDGGAGGCPGLAPQTRSVYRCTLDLPGLSVATPSTFVRKKDAEQAAAQIALDKLGIQPTTNTPSTPEEAWDELIARISGFFADDKFLSSSHPLIGHLSVMLRRTGDYIGRIPMSAIAACDAKVNTLCKTIDPNADSDPLLVLSLIYNAAKLSPGVSVSDSNFWIQSQKPYSSDAVDSALDRWSGMPGPIEVEAILVPQVLEDEPKTIRINLEHNEHYMGYIASKLSASDSSHVLVSRTIGKASSEIRLYFAAPNIQFVSDFSMNALACHGDGEISCRVNKRASYISGQKIYGDAILANIGYTRRDSEIHTEDVNLSTYYRILLGKLPDGNYKIHRDSILAAELPSVYSRSSWKGLLPRDLLCSFCRLHRLSEPHFAINNRTIDKENPDVFKCDVKICSRKQETLLEYSAANTWSKESDAIQNSALKVLIWFNHYFKELNTKTDKLSHSECTGDFKLNSNTFLEEFAMCLSVYARTGGNDSRTYSAVSMAKQQLEKSATLTRIEGPDSGIFPSHGSLTCISYTATLVMKDAEKTYMLETNNEFEFEIGAGAVNNLLESCVTQLSVNQTACFLAELPPMDLILAAASESSHELSRISRESCFLEFSVKVLQVDFGCGSGSLLDSLLEHPTTLEKVVGVDISRKGLTRAAKVIEHMEEDQASLCGNVILSSFCPKVLIVSTPNYEYNPILQRSAMPNKEDEPEENTGPCKFRNHDHKFEWTRAQFQSWATDLAVKHNYSVEFNGVGGSGEEPGYASQIAVFRKLTWDQEAVFLNEDQDQPYEVLWEWPNTSVAQDSSFVKMHKNSSRSRLFATEA >Et_5B_043007.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:184067:184582:1 gene:Et_5B_043007 transcript:Et_5B_043007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SYCGFGGLEFAADTLDPHSSSSLLVSSLCATTSMKQRQSCVFLDDVVGAVVVDGVAAVVDEEDDALLLATEHRHLFFFERVWDTAASRRQKVGDGLGGEGGVRQVAADADVDGLALPERRRSARARPPGCSPRPGHGLLREKSGSPMVWCRIVVGPAHDADHGEVRRPRWR >Et_4B_036591.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7238060:7238326:-1 gene:Et_4B_036591 transcript:Et_4B_036591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTSISNTRSKFSVVKSIAADERKLDLEFCTRNRCGHTICFCCQMTFSCYNTREDCQTSCPGCKPECPTLRPPPPHQTMVERSIIT >Et_2A_015417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12196294:12210274:-1 gene:Et_2A_015417 transcript:Et_2A_015417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGGMPGSCRVGAVLLFTGWIALAALSRLLRPVPNGCVMTYMYPTYIPIAAAPRNVSSDRYGLFLYHEGWKQIDFDKHIRGLNGVPVLFIPGNGGSYKQVRSLAAESLRAYQNGPLEPIFYQEASSTLSKDELNEFSVPTRYRRMLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYKESHLERTKGVAQSSDKVPSSVILVGHSMGGFVARAALVHPNLRKSAVETILTLSSPHQYPPIALQPSLGQFFTHVNEEWRKGYKMGGQHAATPKLSNVIVVSISGGIHDYQVRSRLTLLDGIVPSTHGFMVESSSMKNVWLSMDHQSILWCNQLVVQVSHTLLSIIDPVDGKPFSSTQKRVIVFAKMLQSAVPQSLSWMSYVPGFQKSNLLASDNPDARELQQKDSPSCPPSVQWASDGLEKDLYIQSNSVTVLAMDGRRRWLDIKKLGSNGRGHFVFVTNLAPCSGVRIHLWPEKHHSSVQNNVPSSKKIVEVTSKMVQIPAGPAPKQVEPGSQTEQPPPSAFLLLSPEQMNGFRFITISVAPRPEMFLAEDHPLALNLSFSVSLGLLPVILSLKTAGCGIKNTGDQMEAERNNLCKLRCFPPVALAWDSVSGLHIVPNINTETLVVDSSPAFWDSPEGTDRTTVLVLADPHCSYKAAIRASPSAAASRFFLLYSSQILGFMVAIMFFGLMRQSSAWEHDSSVPSILSAIESNLRLPNPFMFLCFMPILLFLGFLLFTTKRNPQFWTFLFVSTICYVIANGFTILLILSSKLILYAAAILHVFIKRRWQSWEDTVQSAFLRQLLTFSLSFQSLKIVQMVKNNENIIVAAATIPLVCLVHPAIGLGLLLISHTLHVHSTLCSFLAASFRSITQKNDIYKSKTGDNPAMLSQSKPDGVHQLLPTDDSPKAAKSFTDSQLELFDCRHGIMILHLLTALMFAPSLVAWLQRIGMGQNFPWFVDSCICVGVIMHGLLGSQPNVSCISFKLPGRRGGEVGLSFLYLLGGYYSFVSSMALAPYRALYALAIIGLICFASRIIERRKLVRGDISSRKSRKHSHRH >Et_1B_014408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:805435:808717:1 gene:Et_1B_014408 transcript:Et_1B_014408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGPLSRWPWQDLGNYKLELTGINLQMLFLKYALLAPWAVRSTYRFLTSGGEERDLLSFFVLPVLLFRLLYSQVWISVSRHQTARSKHRIVNKSLDFDQVDRERNWDDQIVLTALLFYVVNAAMPVAQGLPWWNSKGLVMSVLLHAGPVEFLYYWFHRALHHHYLYSRYHSHHHASIVTEPITSVIHPFAEELVYFTLFAIPLLTMVGTGTGSVVVANGYLIYIDFMNYLGHCNFELVPKCLFDVFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPLYDYLYGTLDKSSDDLYERTLHGREEAPDVVHLTHLTTPASIFHIRLGFASLASAPLAASLRLFSGAAYPLATLASRIGKTFRAEANRMDKLNIETWVVPRFTSQYLSKDGAYSVSRLIEKAVSDAEASGARVLTLGLLNQAYEMNKNGELYVIRKPSLKIKIVDGTSLAVAAVLHMIPDGTKDVLLPGSASKMSFVLTLALCERQIQVQMVDEYLHDCLKQELRPEMHKHLVLSHSYSSKVWLVGDGLTDQEQRRAKGGVHFVPYSQFPPNAIREDCVYHSTPALLVPDSFENLHACENWLPRRVMSAWRAAGIVHALEKWDKHECGATVTGVDKAWSAALAHGFRPFDHCTVAK >Et_8B_059558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19211081:19214467:1 gene:Et_8B_059558 transcript:Et_8B_059558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNGGKPSTTAGWKDGAWVLSSKEINFPYPVALTLLHMVFSSVVCFAITKVFKIIKIEEGMTTDIYISSVIPIGAMFAMTLWLGNSAYLYISVAFAQMLKAIMPVAVFLLGAAFGLEEMSYKMLAIMSVISVGVIVASVGEITISWVGVVYQMGGVIAEALRLIFIEIFLKKKGVKLNLISMMYYVSPCSALCLFIPWLFLEKPKMDDSISWNFPPFTLFLNCLCTFVLNMSVFLVISRTSALTARVTGVVRDWSVVLLSAAIFADTKLTFINIVGYAIAIAGVVAYNNHKLKVKPQVNQQQGEENKINPGSPRDVEISMNSTKEAS >Et_9B_064433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15094161:15096710:1 gene:Et_9B_064433 transcript:Et_9B_064433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKKTLSKSRCQKGKLCDNSIDKDDSEIDPSYKLFLEKLQMETKKTLSRSPSQKVKLCDSLIDKDDSEIDLSFFEQEIDPSYKLFLDNLTIDRDTYILDLPNGDHGKPVYVRYEEEDTTYSNVKDKNDTDFHNSSLSRSRGPPNGKQLRETSGKVTGGKVGHSSSPWTSSMKKKMKTAPVDESYELFLSLVKFKDGHMVIEPEPGVTIVYEQEEELPARCELRAGSSTNGPEPLISPSENMEEYAMRGSEDSDLEDQYGQDVICTDEHGHVLHAEHSDLNAQTCKDAQGEQVALVCASSSTFDEKLKAILNRPYDQNEYEELWRKATDRKPVSKQRHLRSASKPYVTEAVGLSYLDHYPDLASQIDSADRDERLSLLRKFFFWLENLCHKGAYMPWISKPIAGDPISADEEHEPTPRGEQ >Et_9A_062305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21737732:21743411:-1 gene:Et_9A_062305 transcript:Et_9A_062305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHEVRGTELLFVESEAEATGPQGTEFPFVENTLGLDMRSGICFEQFRLGHKMVLCAEPPPPVKLPESKDAELTDDDNNDSSKIEKRTRMHHIERHRSCVVTLSDIELNDLPSKSPGGAGTQCSPREETPTDTNASHRHAITDVAWEALKRSMVYFRGQPIGTVAAIDKSQGAALNYDQVFMRDFIPSALAFLMKGEHLIVKNFLVETARLQSRETMVDLFKLGQGMMPSSFKVHHRNPTQKTESFLADFSETATGRVAPVDSGLWWIILLRAYTKWIGDNSLAESPDCQRAMRLILRLCLSEGCDTSPALLCADGCSMIDRRMGIYGYPIEIQALFFMALRCSLSLLKQDSDDDFVNHITKRIQALRYHLHNYYWLDFQRLNDIYRYKTEKYSQTALNKFNVIPESIPDWIFDFMPSRGGYFIGNVSPARMDFRWFCLGNFIAILSSLATGEQAEAILDHVEERWEELIGEMPLTICYPAMENQEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLVAVSVKLGRRHLARRAVELMERRLVKDDFPEYYDGKAGRYIGKQARKFQTWSVSGYLVAKMLLDDPSHLCIIALEDDGYSRSCDPTQSPSPPPPPHHSPPAPAIHLLSLPPCLPRPNHHPQPSLLSLPCISAAPPVVPPPDLNTRRVPIFVPTFVAMALGTPTHISSLPQDIVVQILLLLPSLATLVRAVCVCRAWRRAVASSGFRLRFRDLHPAPPLLGLFFDTLPCNDPCVPTFAPVHPRDRDLAAAVRGGDFFLTSLHERPDAYTIFDCRCGHVLLAGKSVDSTRVFFVLNPLMRQGGLVSCILDDDLLDGHYDPDFEDFLVVHTPRLVCLEEEPSLFQLVLLVLGEDSENDYKPRVCALVFSDAGEWLVLPWVNVPCGLEDDDYVEILDENKMQAIGFLYWVLDDRRCLITLDIATMEFSFAELPVCLSRSWSYDAGETKDGNTCIVYSDRSNIGVLMLRRDDDDVERWVVDRVVPMAPELKRIMGDHLSENDPGQIFVFAVRDGYAYLSTTPMFNDPRTPCWFMSLCLETMRLEKLFKRTYDSKAYPYIMPWPPCFIQLDSSVGCACCYCMIRQ >Et_6B_048737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13066721:13075430:-1 gene:Et_6B_048737 transcript:Et_6B_048737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGDELELGSAAMVMLGRERECESNGKTEKGFGDVEGDGFAAARTMSWCLVAGNAKGEERAGENDKWISTGARLFFLERSQQNQSPTGSTRRSAPTHAPPPAMADDVRELLLSTTADADPSSPLSAPDLSLLIDRLRLRSDRLHASALSFTTSHREALAAALLGAASAAESSASLESSLAAALAPLSSSPDLSDLKALADRLIAARRELAERQDHLAAASAVASLAARLREARAAVNPLDTAAAAAELKPLLIDPERSGSGQDDPIVFGLLRSDWEQLVDELQSALSKNVEECVQFAPEGRKVVVRASPRDRSSGTHGVDLRVALQALEMIDALDYGMAKVADLMMKHVLVPAISNISVAVNVQVLEEGGPEHSVSVLSVVPSEEQKDDKGGSYLYSRIVGIIKFVCKFICVENSKWMQSFAKLTWPRISDLVIAHFLSKAVPNEASKLIEFQDVVRSTTEFENKLRSMMFISPDRKDGRLTQFVDDVEVHFAVRKRNEIMVKARNILVQYDHDNPLESNEQEDSVVDLLFQPEKCFISKSALQLMKLVHGALKDACLSSERVAKEFCYAARDVLLLYKAIIPVQLEKQLDSISQVAAIVHNDCYHLSQEILGLAFQYRADFPSAVQKNVVFVDLAPIFIQLADGILRRQIHIATDTISEAIDGADGFQNTHQPQHYESAKFSIEQVVFILEKIRIIWESILPRSTYRKSMSHVLGSVFSRITRDMLLIDDMAAEETLQLQGLIHLALENLSSLFLSLVENDDDSEKFLDHDTWIQLDKSVPSLKKFRKLAELLDMSLKSITASWESGELVSCGFTSSEVQNFIKAIFADSPLRKECLGWIVRTPA >Et_2A_015258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1020263:1026850:1 gene:Et_2A_015258 transcript:Et_2A_015258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSAVRDIILGRSTSGGSPSRIYGPSDLKDTFLTEELDEDGIKPVLAKIQKLYGKVEASAHRNGFCFGLLDPVSNIVVNSGIKHRRHAADMAMRSLSGLVAFLTNLFPYLPDAEAVRYLDAAGADAIAACILIVRRRGMTEFNPCSETAVAAFETALRCAAIAAEHPDPQQLVLVWKRLSSRDLDRETTMAVVLDMVNNRAGTSNDDSGAMSMEKSWDLARRRLGDIRVKELPPARAAMKRMLLGTIHGFYLQALAMLPTKELCTRLHRSMLMGGYCYGPLDPVSNIIVNTLWYEQNFPMTGEQVTLHMISTQGLWRAAARSLYGIVSFLCTRYPDLTPDLALQRLLVAGADLRAADPNLQVPEGRGDNNVLPDLDCSSCCCQVVSVTTDAEELQGRVTGSSRAPSANAEEAYAAAATAAFHPDPSAQKEFLGSPSAMPAFQAASEVLQHGRVLSTEDISSLSQLLLGCPSTSETKNQPARINKRAYSFMSNCAGRFWSQHDRVCDNVQAALDAFNEDQELKYNIHVICGVNELVSGPELSTDAEVRGYNPWTPYKYHHSHINFLANYAGSKPTLFFAECSNHGTDKAWCIPVEPLRPLAEHIRCIYCEHEGNMIVHPVMKGFNGRNREFEKDTFLTKEDLEDEIQPVFAKITELYATAEVAAYGDGFCFGLLDPISNIVANSVVSAGREEKGDEVQAAEEMAIRSLGGLLAFLTYLFPYLPGRPRGTSTLLGRMPWSHATSSFGDPCSETAVAGFEAALRCAAAAVQHPDPQQLVRGWKRVSRNLYSEQSSNKERDLTMAVVLDMVNNDGAGTCTDDSGGGLAMEKMWDLARRRFDNTTLVIDKALPPVRGAMKRMLLATIHGFYLQALAVLPTDELRTRLHGSMLMGGYCYGPLDPVSNIIVNTLWYEQNFFPMTSQQVTLHMIRTQGL >Et_5A_041100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18301013:18301645:-1 gene:Et_5A_041100 transcript:Et_5A_041100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMSGCCDDCGYWWDEWKYCLACIAIVIGVVLFAVLLAAYGFVRHVDVAVEDASLTRFDLVTSPHTKPLEAAYKFDDQQFDRVQLADKGDKHPPGKTRVYHLDRSSNNSFVALGNAGEVEYNKEKPTGRFQVEVAVTGEVRYTARYTKCKVEASCKLDLQLAPPGTQAVVFQKVKCKLAPAEKNC >Et_10B_004207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18791349:18793608:-1 gene:Et_10B_004207 transcript:Et_10B_004207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCAKGADQATAADTDPAAEPKTEGPSNGGEPSANNAAGPRPSTGAKPVGPVLGRAMEDVKASYSVGKELGRGQFGVTHLCTHKATGEKLACKTIAKRKLATREDVEDVRREVQIMHHLSGQPNVVALRGAYEDKHNVHLVMELCAGGELFDRIIARGQYTERAAASLLRTIVQIVHACHAMGVMHRDIKPENFLLLSKAEDAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRKYGPEADIWSVGVMLYIFLAGVPPFWADGFRQVMKLIDGLLLTENENGIFTAILRGQLDLASDPWPKISSGAKDLVKKMLNINPKERLTAFQVLNHPWIKEDGDAPDTPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEITGLKEMFKNIDKDNSGTITLEELKNGLAKHGTKLSDSEIQQLMDAADADGNGLIDYDEFVTATVHMNKLDREEHLYTAFQYFDKDNSGYITKEELEQALKEQGLYDAEEIKEVIADADSDNDGRIDYSEFVAMMRKGTAGAETTNPKKRRDLVL >Et_10A_001480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3986645:3986920:1 gene:Et_10A_001480 transcript:Et_10A_001480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLMSFRCTRSEPIKKRYRAECKAPGCPWRIVANKLVGQPTVEITMIPHEHECMGSGLL >Et_8B_058625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11167472:11168395:-1 gene:Et_8B_058625 transcript:Et_8B_058625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVNLIWVSLAGNHLTGNVPPGFGNLKKLAILQLNNNSLSGVVPAELGSCSNLIWLDLNRNYFTGTIPQQLAAQAGLITGCIYCGIYSGTTISTFSHNGSMLYLDLSFNVLTGVIPSSLGSMMHLQILNLGNNELVGLIPETFAALKSLGVLVLSHNHLTGAIPQGLGSLTFLADLDVSYNHLTGQIPTSGQLMTFPPSSYENNSGLCGIPLPPCGRFDNGDGERERQDIPDAPVPVVSPTGLGIGLAFGFIVGFGSRIFLPL >Et_3A_023772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12052033:12056473:-1 gene:Et_3A_023772 transcript:Et_3A_023772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLLPSRSLLVPPQQPNPRPPASLRVCLSSHTNEPSPDPKRRRHPVPSHPAFSPAARRRAKKIPIPDTCEPASGVRVTERGLAYRLDGAPFEFQYSYTEAPRARPVALREAPYLPFGPEATPRPWTGRKPLPKSRKEMPEFDSFVLPPPGKKGVKPVQSPGPFLAGMEPRYEAASREEVLGEPLTKEEVAELVQANLKTKRQLNMGKVIHRQGGVIFLFRGRNYNYRTRPVFPLMLWKPVAPVYPRLVKKVPDGLTPEDITEMRKSGRQIPPICRLGKNGVYVNLVKQVREAFEVCDLVRVDCSDLNKSDCRKIGAKLKDLVPCILLSFEFEHILMWRGNDWEPSMPPVKENSFDMINAQEHLSVQELNENVGHSETVLAQSELASNERSLSNCNLGKGGGQSNDSMKPNLASDMALNSVTEVPGLFDSIGVSGTKPSVHTQLGYTTSSPGSDLVDPSLELALHRQSIKSDKSENRGLVASSRHSPDALEPSTSATSISDELEDIKKDSKGIPGRDALNSGPKVPSCMEGVLLLLKQAIDNGRALVLNENEFADYDLVYQKSVALAKSAPRGPVFEHTQRRSNTRRNRPDKHVRIKQNLVESKVPDHVVKKDNGNGGLAIQTNDHEQEFLSYVVPQGTLRVDELAKLLA >Et_4B_038831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5117061:5119271:1 gene:Et_4B_038831 transcript:Et_4B_038831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSAATPTRPPHNHPAAASPSPSLSSLRLWRPAAQRNLRNQWSRLFKAKDQWLAAAAEGLSHATALVNAHLTIRSMPGKDLGALKDMPGIRDKASGKLLLREEQYRSMLLSAYQEMVHALSHLVNASCSMRCFSNVHANSAITQYSECQDDLNDSGDGGGVPVFKWLSILEFESLAQELVEMFVSELKLKRLLVLELLRLKDGIEHQASLNWADELYDGELNEFQSIGLQSGETCTLPENWHEDVLETQRRGDAPSHEVLEVYLTSWLANVNINESRIYEIFELAVGEGIQIKLS >Et_4A_034739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:629232:632180:1 gene:Et_4A_034739 transcript:Et_4A_034739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYAVFGALAAVVAGLELGKGGKDRVATTSAFNAFKNNYVLVYSLMMSGDWLQGPYVYYLYQQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCLTYILSCMTKHSSQYKILMLGRVLGGIATSLLFSAFESWLVAEHNKRGFDPQWLSITFSKAIFLGNGLIAIVSGLFANLLAENLGFGPVAPFDAAACFLAIGMAIIMSSWSENYGDPSESKDLMAQFKVAAKAIASDEKIALLGALQSLFEGSMYTFVFLWTPALSPNEEDIPHGFIFATFMLSSMLGSSIASRLLARKLKVEGYMQIVFSISAVTLVMPVITNFLVPPSSAKGGSISFGGCLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCSIFLFMAAILQRRLMVVSDLHKSSTKAQEMVGEDEPLNP >Et_4A_033607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2489108:2491323:-1 gene:Et_4A_033607 transcript:Et_4A_033607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVARRVTLVAAVLLCAAAGVALGAKRVSITDDLRDVVDDEEDDEWRHWGAATGPRDDSPPPDLSRMDPAAVQAELLRAHTGPSFGFVKLRLGVRRSQEDVMGIATRWSNVLRTGSVETKFVAVDFGTLMFTMERGRDVLELKEFILSQEEAYEFKIGDQIFRRSGDPPLDQVIDKLQKEKRDRRK >Et_10A_001243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22496171:22497708:-1 gene:Et_10A_001243 transcript:Et_10A_001243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELKVMLPLLLSKLITPTRILAIFEKQSTHCIPYTVLCIPFQSLGLQQRDCNSSPSHLLRPALARYPSTTSLELTLCSLIIDAALAAVSHDAARLRICVRRVAAARACLIGGHRSMHPQLACVLQLLLARRGGRPARMAADGGRPARRRALFGATMAELRARMQAAQALLSAVGLRAAPFSAERIRATMRMKGAGAAADLRLLLALLGPIFLLGRRLTARQSARVLEIHLNQRSRGAAERVPERGGGRGRGRRGARAGCAHGARGLAWSRGAWRRRAQRAAVAGTEARQGVLGVAELARGCAARCVGCDSVWGGTDVGLAAWDGAKPDLVERGAVASVRPRAGRDTWGWRAGERRRLHFRILVAVGRAEAAARGEWRALASCLASFAYAVGDMKKYRPFFTVQTWQKDLYLSKVPTHHLLELVLQ >Et_7A_052679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1247413:1248979:-1 gene:Et_7A_052679 transcript:Et_7A_052679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPIPVLPSILDLIASTMLGARVHLKGWQQTAVAFGSAFGALLDPRRADLIAALGETTGKPAFERVLQRMKNSAEGRVSHAWDMPQNTFGAAYAQFMGSRNFSPDDRPPVRFMDTDELAYVATRAREVHDFWHVLFGLPTNLIGESALKVIEFEQMLLPMCMLSVVGGSARFSEKQRSLFFQHYFPWATKAGLRCTDLMSVYYEKHFHEDLEEVRRNWGILPCPDPKKSNL >Et_4A_033339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21669014:21674975:-1 gene:Et_4A_033339 transcript:Et_4A_033339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVETTSGGAGAADVKRRRREAAGGRSGSGVTVRRRRPTIRWRVVPADAAARQTPLRRLLAACRLAFGPPGTVPAPADVSLVKGILDKICLEDVHLSAEHKFPEADHTDGSGRQRHPIITRTTMYSCRNFSIEVFVLPQGAVIPLHNHPGMTVFSKLLRGSIHVTSYDWAGPPVVVNSTTDDRPSRLAKLVLDADLNAPCDALVLHPDSGGNMHRFAAATPCVLIDARLAWLKETGKPKNLKMYEEPSVACNAAYNGGRAGVLAWGNEPLPSPRETTRASSPRHTRVFSAKNTGYRRQRTTRCRFILRELHCTTTSLLTNIADTTCATMAATRLAKLVLDADLSAPCDALVLYPEAGGNMHRFAAATPSVFIEVLATPYSQKRDCTYNQDFPCSNNDPSKPKTSPICTLRTAPELQGSVPDPGHRKNIPFFYLYYIHVDDTGDVDAADEKKARLAWLKEMGKPKNLKMYEVPYGGPPIF >Et_3A_024734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23734960:23738736:1 gene:Et_3A_024734 transcript:Et_3A_024734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIVNVLLVTVLSHGSRILAHWVGPWSTVRRVEEGMAFAVTPQAPVAPPLLPPSAAGGWRRRPWATRQRFVAVACTRDGDPAPPTFGRLREQLLQLHAEADLTQSKANSARARLVRLTEAAENLKRRAANSVRMGKENEAVDLLVQKKKLTKALENIKERIEVLDKLSVKISEAISSKQNMLIQCALRPETSNGEKSSDKIRVIFSSDGTEGGNSTPKSVESFEMRSEARANMAGHPEQRDLQMADSFRFLNELDPASNKDHSTYDLFLEHIDLQLKSLEYETEQFMSSQLVEEVDNEEINDKWHGLSDILKLVKETRERSVRNKKAFATAKVLTNRHTVAVLKIESGLSVI >Et_5A_042241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7955517:7962730:-1 gene:Et_5A_042241 transcript:Et_5A_042241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRQRARSSEEVKEEDFVASEEERGGDGQPAATGFKSKNLEAERRRRGRLNNNILALRAVVPNITKMSKEHTLSDAIDYIKKLQNQVQELQSQLDDSPGEAWDKQGSASCSESFAATENMQYQGQVELVPLGPHKYHLKIFCKKAGVFTKVLEALCSYNAQVTSLSTITFYGYAESVFSIEVNGEQDVVMTELRRLLASIVEVPSGFGGGAGTAAAMDRAELTNEQVLKRDIPWEHYMSTKLISGTCLQHLRRYDHKSESDRARLLDEDGPAYIRVFLNILRSISKEETVEYVLALIDEMLTTNPKRAALFYDKSLSGEDIYEPFLSNWFVQEKSCKILTHIISARPKLQNGMLPNGEASNSKSKLTSTQDVLRGLIDWICSQLRSPTHPNCSVPTAIHGLSNLLKEPFVRTSFVQADGVKLLIPLITPASTQQSTQLLYETCLCIWLLSFYDAAVDYLSTTRVMPRLVEVVKSSTKEKVVRVVVMSLRNLLAKGAFAAQMIDLGLPQIVQNLKAQAWTDEDLLDALNQLEAGLKDSLKKLSSFDKYKQQVLLGHLDWSPMHKDPMFWRENINNFEENDFQILRVLMTVIDTSTDTTALAVACYDLSQFLQYHPSGRIVVADLKAKDRVMKLMNHDNAEVRKNALLCVQRLFLGAKYASFLQA >Et_4A_034311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31598379:31606618:-1 gene:Et_4A_034311 transcript:Et_4A_034311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPMRASAVSAMLARRSIGGVKTTPAVLDVAIVGGGMVGLAVACALSTMPLTKHLRVAIIDSNPALKSRNYLPKNSIPDSRVWDYTGLGYTRYNARDVGKEHLGCVVENKVLCNSLLLRLQEELDDIEKVIYPTRLVSLTFPSTSRQTGMKPTLGEAASVSDASEELHRRNLVKLDLSDGRSLYSKLVIGADGSKSNVRQIAGIKTTGWSYPQSAIICTVEHAGENDCAWQRFLPSGPIALLPIGDNFSNIVWTMSPEESSRHKSMSPEEFVKSVNHALDFGYGPHPHTGFLDNYMEKFFSGIGNTAASTKECFEVPPKATDVISERMAFPLSLMHAHDYVSKRLALVGDAAHTVHPLAGQGVNLGFGDAAALAKVIAEGVSVGSDVGDLSLLQRYEKDRKAANVAMTAVLDGFQKMYSVDFGPLNVVRAAAFHGAQYISPLKRNIISYAMACTVSTDENCRVNVLQQEINKTSFRCLESNDTSPRGRTKLSSVANDSTGKTAGGERVPVRERKRRGGDEFLDLGVRRAAGGRRLRVQACKCPICRRLINLLVPANIYEQQQDDPQLHRILGEIQHYNRIFGGAPRTLTQRLQDMPFFIRRLFRELLDPQRTLPLVFRARMMLMVALSAIYVLSPVDFLPESVLGIVGFLDDLLILLIVFMHLAAVYRSLLVYRHGGHSNTALRHGHAIFALNLIIDGPNLDASQSQSRPTWA >Et_7A_052689.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:12729586:12730863:1 gene:Et_7A_052689 transcript:Et_7A_052689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLIKAPIGQNPRLACHVPARSGGVVRCSLQGAVVGSRAEWQSSCAVLSSKVAALGSHSVNGQVAPAPAQEKNAGGAVLDLVPVSSINGGAAGKNLPQPLRISDLSPAPMHGSQLRVAYQGVPGAYSEKAAGKAYPGCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKECLTRVISHPQALAQCEHTLTRMGLNVVREAFDDTAGAAEYVAANGLRDTAAIASSRAAELYGMEVLADGIQDDCGNVTRFVMLAREPIVPRTDRPFKTSIVFAHDKEGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYVDFQASLAEPRAQNALAEVQEFTSFLRVLGSYPMDMTPMTAGSSDSLSSSPPPSSS >Et_1A_005384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:126348:138064:-1 gene:Et_1A_005384 transcript:Et_1A_005384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSSHHLSAAAAAAAAGVSYPPPLPPTPPSAAASPPAKQIVDSLLARFLPLARRRIETAQAQDGQYLRPSDPSYEQVLDSLAMVARHTPLPLLEALLRWRESESPKGAHDASTYQKKLAVECIFCSACIRFAEYCPQEGITEKLWIGLESFVFDWLINADRVVSQVDYPSLVDLRSLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRVDTPVARSETLSIINGMRYLKLGIKTEGGLNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGKNHWPPLGVESALSLWYDAVARIRVQLMYWMDKQSKHVAVGFPLVTLLLCLGDANTFNSNFSQHMEILYKYLKDKNHRSMALDCLHRLVKFYLNVYADYQPRNHVWDCLDSVTSQLLTVLKKGLLTQDAQHDKLVEFCGTLAQSNLDFAMNHMILELLKPDSLSEAKVVGLRALLEIVVSPSNQQIGLDVFQVFGIGHYIPKVKSAIESILRSCNKAYSLALLTSSKATIDNVTKDKSQGSLFRSVLKCIPYLIEEVGRNDKMTEIIPQHGISIDPGVREEAVQVLNRIVRYLPNRRFAVLKGMANFILKLPDEFPLLIQTSLGRLVELMRLWRLCLSEEVLGKDMQNVRRSSIGSDALQRSPFHRSRDVSEFRASEMDAVGLVFLSSADVQIRLTALELLRCIRALQNDLRDYSSNEWGDNKLKLEPEPIFIIDIIEENGEDIVQSCYWDPGRPYDLRREMDPIPLDVTLQSILESVDKSRWARYLSEIVKYAAELCPSSVVRRLEQITPVELGGKAQQSQDAETKLDQWLIYAMFACSCPPDNREGFALKAAREVFHMIFPSLRHGSEAYALAATSALGHSHLEVCEIMFGELASFLEDVSSETEAKPKWKQNPRSRREDLRTHVANIHRMIAEKVWPGMLSRKPVLRLHFLKFIEETYRQINMSLADSFQDLQPLRYALASVLRYLAPEFVDAKSERFDNRIRKRLFDLLISWSDDSGSAWGQESSSEYRREIERYKASQHTRSRESLDKLAFDRDMAEQLEAINWASMNAIASLLYGPCFDDNARKMTGRVILWINSLFMEPSPRAPFGHSPVDPRTPSYSKHTDGVRFGGRDKQKTSHFRVLLAKTALKNILQTNLDLFPACIDQCYSSDTPIADGYFTVLAEVYMRQEIPKCEIQRLVSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDTDGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFVRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDSEEPIRPGKVDVSANVVLEFSQGPTASQVATVVDSQPHMSPLLVRGSLDGAVRNVSGNLSWRTSAVTGRSVSGPLSPLAPEVSIPNPTTGRSGQLLPALMNMSGPLMGVRSSAGNLRSRHVSRDSGDYYFDTPNSTDDMLHQGGSGVHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVESSERENKHHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSASLLSALAQSMVSAIFFQGDLRETWGAEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLLRCIHRCLGNPVPAVLGFTMEILLTLQVMVENMEPEKVILYPQLFWGCVALMHTDFVHIYCQVLELFCRVIDRLTFRDRTTENVLLSSMPRDEFDVNGYPSDLHRLESRTTSERLLSITETGKVPAFEGVQPLVLKGLMSTVSHGSAIEVLSRITIPTCDSIFGNPETRLLMHITGLLPWLGLQLTKEVPSLGSASPLQEQNQKSYYVASNISVWCRVKSLDDLAEVFRAYSYGEIISLEDLFARASPPICAEWFPKYSSLAFGHLLRLLERGPLDYQRVVLLMLKSLLQQTPVDPSQIPQVYNVVSQLVESTLCSEALNVLEALLRSCSGVTSGQSDEVGIGENGHGIGEKVIQSMLLPQSSFKARSGPLQYAAGSGFGSLMAQSGGSAADSGLVARDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANIG >Et_10A_000346.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:3732453:3732620:1 gene:Et_10A_000346 transcript:Et_10A_000346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWSIWVTRNNWIFSGVDPTVKSCRRVFLKEMSIVIHRTGHRHKQQLEDRIQNLS >Et_2B_021263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27985154:27991534:1 gene:Et_2B_021263 transcript:Et_2B_021263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTGGGGNSLPTTGADGSKRRVCYFYDAEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLDQMQVLRPHPARDRDLCRFHADDYVAFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKYHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGHELTDKMPPNEYYEYFGPDYTLHVAPSNMENKNTRYQLDDIRSKLLDNLSKLRHAPSVQFQERPPEAELPERDEDKENPDERRGADSDVEMNDVKPSEDSGRRCSIQSVRMKKESSETEATDKDVTKVAVEHSRGTVPVIDGVGSSKQPPLNDTSSMAIDEPVSLKVDPESSNKSLDQPTTHQKPVIDELTKYRFDQLSSMSDAVTQMILSHGSCGRRGVSHLDERPREETCSSPAMQRWEQGWPEVVRLSTATLRRACRPPGRADPAGGGKVEDEAGAPFCGSDVFLMADLGAGNHFASRINQA >Et_10B_002717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:86568:92893:-1 gene:Et_10B_002717 transcript:Et_10B_002717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHPHTIGSFWSRATSHGRIECCGDSSEQHDKCRPCPGHSIKSSGISVSSLLSHQSAAAAAMGVEAEQQQSGPVVAPAPQPRKGLASWALDLLESLVVRLGHDKTKPLFWLSGNFAPVRDETPPAPGLPVRGHLPECLNGEFVRVGPNPKMIHAMRIKDGKATYVSRYVKTARLKQEEYFGGAKDFLDYLWSKCNNLGKSSKSWTLPMDLGQLILHLYTIMVNSWLFQKQISLVLEDGDLQTLGLLDYDKRLKHSFTAHPKVDPFTDEMFTFGYSHEPPYCTYRVITKDGAMLDPVPITIPESVMMHDFAITENYSIFMDLPLLFRPKEMVKNGEFIYKFDSTKKARFGILPRYAKDDKHIRWFELPNCFIFHNANAWEEGDEVILVTCRLENPDLDKVNGYQSDKLENFGNELYEMRFNMKTGAASQKQLSVSAVDFPRINESYTGRKSEVNVIDAKTMSADPVAVVELPNRVPYGFHAFFVNEEQLAQQAEEQ >Et_3A_023314.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:20167773:20168114:-1 gene:Et_3A_023314 transcript:Et_3A_023314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHSLTPQGKPTHTHAHAQPYNYTQCRRRLRPSRDHQRPSGSSARRGASHSLCVTTHGEAPARLLCEHLNEQYHCSHCSLLQCPRMPRLEPGLAQCNPVPLAIRPGERRRKS >Et_3B_028095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10122563:10128276:1 gene:Et_3B_028095 transcript:Et_3B_028095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KTMSRRNSRTIYVGNLPGDIREREVEDLFYKYGRILDIDLKIPPRPPGYAFVEFEDPRDADDAIYGRDGYNFDGYRLRVELAHGGRGQSYSYDRPSSYSSGRRGGVSRRSDYRVIVTGLPSSASWQDLKDHMRRAGDVCFSDVYREAGETIGIVDYTNYDDMKYAIRKLDDSQFRNAFSRAYIRRHY >Et_1B_013993.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26779992:26781287:-1 gene:Et_1B_013993 transcript:Et_1B_013993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPAASPSRRSAFPDWVLLDTVASVGRRCENATTARTVTSSGHPIEVSFEVVDPPGLSRCVVYCPDQLTPRDTPRVTGADGAFLLIRVCFPAGHTPTDVFLYKAGGPAGTPSLYLLPRPYPVDLHFNPVAVLSCRGGPGGVFTSDDHCLVVIPERRFEAGGRMLSYDLKIFSTKTESWSRKVARVASYLNGHYGGLARLEPTKVLNVGGGSLAWVDLWHGILFCNVLSEDPEMRLIPLPALMPANKVNFQVVFDGCDPPLRSIRDVTCTNSGSIKFIEMELVLDDDSSSNTATTRRYPWTATVWKTTVSSGGDYWEWCRTIDSSDLSPADSCVPDLFPEIWDDEEKRLTLDSRVISHSPILDMYRDHFVYMTSKLVGCWDPNGWVLAIDTRNNKLERAVPFSAERMDFEDTKLQCAFSKHLSMGDPTAQL >Et_1A_004771.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:39510052:39514499:-1 gene:Et_1A_004771 transcript:Et_1A_004771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLISPLPRRSRRLLLSRPLLAILSNTFSASTSAPPPRPPPLPLLSPLLPPRREDSSSVAAASSAIAASFRDWFLKAPVAAPHAALDAIYEALAAEETTALEALPLSEQLVLSVLRHRPRRLPDGDALLLLRLRFFDWSGRRPRYRHTRVVYHAVFRLLSRARRNAVVVDWLRLFSDTIAVAGQPRFHDTLVIGYAVAGDPQRGLNVLGRMRFRGLYLDGASSRILLNSLVDASLHDLADSFARNLGNSPVATCILIKSLCRRARLNDAVALLDTLPYAEASRGPAAGSIVTEFCRRGRFGEAAQIVEKFASCDVYGAWIHGLIQAGKLDTTLEFLADKKEAEGYIPDGKRYDKLVYRLLGKNRLGEVYDLLVEMMEEGIAPGRSTMNAVLCFFCKAGLVEVAMHLYRSRMELGINPNKDVYNNLIRALCRGGDTEEAGLVLEQAMTRGYFPGRQTFAMFTSVLCQEGKLDKVRELLDRALKQEVWPMDSVLAKYLVALCKSGNVEEAVTVPQIASSRNHVGLYRYESTYKSLIRALILIKRVDVLPRLILEMQDMGHIPTRSLYQSVVCALCELNWYPEVLELLENQLERSELQPRVCYNYFISGAGHAKRADMARGVYDRMELSGIEPSVESNTLLLISYLRSKRIGDALTFFNLIRRKKPPGTKLYNVFISGLCEARKAEQAMVFWREARDNGIIPSISCYEHLVLLMCSVRDYDSVVKVIDDFRETGRPVSSFLCNVLLLHTLMGSNLLKALLRSRDASKPVEVKSKDIQGREAGRLLITDLITSFASGIRNMNDLEHLGEEMEKYFPVDVYTYNMLLRGLSMAGRMDSACNLYEKMCRKGYQPNRWTFDIMVHGFCKSGDKNEAERWMDAMYRNGFYPTWYTMRLYNNASLCAHDQKIISFV >Et_7A_052101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4626175:4627116:-1 gene:Et_7A_052101 transcript:Et_7A_052101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding APPIEKKRGKERANGGGGAPTCPPPLLFSTAVARGQPPLPLAPPRLPPHLLIPRPGLPFPPQAHPAQPPPLFRARGRTLGARQRQRPASSVACLAQLAPRFVPSSMAPKNAPVPSATAASDAMMETRFRGVRKRPWGRYAAEIRDPARKARVWLGTFDTAEAAARAYDAAALHFRGPKAKTNFPVGSTVESSSRDSPAAPAPAPSLDLSLSMPAAMVAAQPFLFLDPRLAVTVAVPAPAPIRPVAVVAAANKAAACRDVDEQSDTGSSSSVVDASPAVGVGFDLNMPPPSEVA >Et_6B_049098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17831112:17834095:1 gene:Et_6B_049098 transcript:Et_6B_049098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKKLENSSGRQITYSKRRSGILKKAKELSILCDIDLILLMFSPTGKPTICIGERSTIEEVIAKYAQLTPQERAKSMLFLTNLSMQFCYQDIFGTNLTGHLFCSQKALKKTFKKLDHDENFAKQHLIGLQCAAAQFHNDMQLPLGLTGDPNASSWFHNGGADVQQPMMLPDDPSLLHQRDIGCSTSTSLQSYPGYFSMSKQSTDTGGGSEHGQPSSLQQQPPDFGQAECLKSELYLGAQFPYTPFDHTSLLNERIIMTESLTISSLQQQL >Et_5B_044591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3912236:3916714:-1 gene:Et_5B_044591 transcript:Et_5B_044591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQQKEAAALMQQAAALQSIYAMPAPLPAHHPLLAAAPPQQIEPIVTGNLPPGFDTSTCRSVYVGNVHVQVTDALLREVFQSVGLVEGCKLIRKEKSSYGFVDYYERRSAALAIFTLNGRQIFGQPIRVNWAYASGQREDTTDHFHIFVGDLSPEVTDSTLFAFFSGFASCSDARVMWDQKTGRSRGYGFVSFRDQQDAQNAINDLNGRWLGSRQIRCNWATKGATSGEDKQTSDSKSVPDSTNSLTEDGKEKVNEDAPENNPHYRTIYVGNLAHEVTQNVLHHLFYSLGAGAIEEVRVQYGKGFGFVRYSNHAEAALAIQMGNGRIIGGKPIKCSWGNKPTPPGAPSAPLPPPAASSLTDSDMIAYGRAMALSRMASAEALLHAHAQQLRQAPHLGIAAVASQAMYDGTFPNVDPSQQQLMYY >Et_7A_051856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25678659:25679111:1 gene:Et_7A_051856 transcript:Et_7A_051856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQHLLLVVLVACILHAAATTNSAVDADAASATVYDVLAQNNLPRDLIPKGVQSYVLHAGGALEVTLPGECNFFVTIAGKQFKFRYGSSIGGAAFAWLGFNQVQRVGDTLMITLENTKQSFPVSAFTQSPSCT >Et_9B_064057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10789401:10792404:-1 gene:Et_9B_064057 transcript:Et_9B_064057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDQKQAMPAQMQQQQVQTLQPGRKKRPRRSRDGPTSVKAVIERWAQLNQQLEHDPEAVKRPRKAPAKGSKKGCMKGKGGPENTVCGFRGVRQRTWGKWVAEIREPNRVNRLWLGTFPTAEDAARAYDEAARAMYGAVARTNFPSEHAATSTQAAPAAAEGALQSVSCESTTTSNHSDTVSSHKPEVSDTSSSLNTRSEVLEIVPCEPKTESATLEQSDDGHLDVEAGASSGPGTSSVVDEEVFEPLEPIANLPPGIDDGFDIEEMHGNSCDSFNLKFKNPLFCNEDGTKND >Et_1A_004591.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21870630:21871403:1 gene:Et_1A_004591 transcript:Et_1A_004591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEACYLSRPPGSQGLDIAAAVVCAAGHDDHTDCHWTPFQIVFLDACMRVEDEEDVARILSAYVYSSEANAWGDATVITFPFTFDSSKGSVLVGNSVYWMLELDELFSSCILEFSFSRQILELIELPNGDVCDAYLSDIHVMPAEDGGIGFAGVNQSSLHFWSRRIDSEGAAEWVLIRIVDLNRLSGLPAADMLLFSSVVAFSEDGDELFIQSEAGIFMINIRSLRLNKVLGATGLPIFPYTSFYTRGTSLQNWVS >Et_4A_033958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28370440:28371948:-1 gene:Et_4A_033958 transcript:Et_4A_033958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPSSAWGPSPALVTALVALLGLGLAAYIVGPPLYWHAAEALAAAGACPACDCDCDARPLLDLPEDCAKQFKGVRSRATGEETEKSFTELLIEELRQREEEATQAQQQADVKLLEAKKLASSYQKEADKCSSGMDTCEEAREKSAEALLQQKKLTALWEQRARELGWKPGNKSQRR >Et_10B_002611.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18123808:18124227:-1 gene:Et_10B_002611 transcript:Et_10B_002611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPNVLPTFMSTSGFEVPHLSLPFVPPLSLSNLAPAPVVAPVAYPTTPLSFMDILRGGLLDNQGNNFYGPTTTGHSNGMEMLMPPSFSFDIMQQHRVIGDHHEGAPIRGTIEGGKWLMTQHEVNNGDGGATRSEGGKQ >Et_4B_037530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20979955:20982589:1 gene:Et_4B_037530 transcript:Et_4B_037530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICGEHGIDASGRYTGASGPQQLERINVYYNEAGGGRYVPRAVLMDLEPGTMDSLRSGPIGGIFRPDNFVYGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSCNEQFTAMFRRKAFLHWYTSEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEYDDDEAAVDEENA >Et_8A_058231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:379866:381637:-1 gene:Et_8A_058231 transcript:Et_8A_058231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLLDRHVKIVDEVSEVIAERGGSPSWVTHESILQGIPAGLRWQEREAAIQRATEEALQRFRAEIEAPAEAVLRERKASRPSAVAKWPANYGADGDYYREALDGVEPVFPELAAPPPGVASMALRVSWPRASALHGFPPGAFVSSAHRGVLALYVGPYRPGHDARGFYLVHDANSVAVVPRIPTRGGVTMFSHRSMGAGVNVLRPGGEEEEFLLAELLLRRDEETGRTSNKATLFRWRSSAAASGWVQTEVVLPLPSEPAADEHTSSEELTYNFYVDTMFAVGSSGLCWADLLQGALLCDDALADNPSFRFVPLPDGCAIKLDPWKDRGLPDRYRSMSCDDLTTITFVSMDGLGQGRHVSNGDIATWTLKLNHPSATWTKGAATFRVRDLWSDPFYKEDLLLGPLTPTCPLLSMTQDDVVYVAVDQNRLQFVEGFGMEPLIERHILCLDLQQRRVVSAFKSPPGARVTPHLSVMPINFTMYRSKKRDDELNSKKQEKCVILTPSSRVPSTPPRRSIRKCGTGFAAH >Et_2A_015546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14715497:14717723:-1 gene:Et_2A_015546 transcript:Et_2A_015546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATTSTAALPWQDLPPDVVREISCRLHTTVDLVRFHAVCKPWRSTFDDDGGSRVLLPSLLGPAVDAGGGAADQLCRCVFSGSSYRAPGICVHDRRVACADGTAAWLVCGQQQDWLVNPLTAARLPGVSLGDSRRANGRWLDHRVHTHRVVSGDGTILLYGFEHGFTGLALKPGHKEWRRVQVHGVRRDRCCALVADNGAVYAMDQDYCYVVSPSLHHITRVSDRSTKKRLPDEPGKVRRCSYLFEFLGEVLLVSVLHDTRSRDLSVSFYSMNLEAAESHDDDEEEEEDAPEAWVRRDDAVSLLCDHVLFLGFPSSFAVEASRFGGELSGGSAYFVVLQSMDRQCVVYRHDFRLGKTTAVETLPPEWVDDRCMWFLPQPDIAPIAARETAALQPRAPAASSGTHWRIYVGDLSPIVDNSRLREMFSEYGKVASAKVAYDKRGRSRGFGFVNMATQDGYDKALAVVNGAPCWDQKQTIAVNTDVAYHGATLLLIEILKFGKVCIELVAPSDRNSGKKNCPQPQNTCRRRHYLSFLQLQVLIIMHCAIKFTVFLFFVCWLFNMHVWRHSSGYLQQSSAKDKLRIYAGDLPPNVDNFWLREMFSEYGKVASARIAYEKKE >Et_5A_042770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4040252:4043687:1 gene:Et_5A_042770 transcript:Et_5A_042770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMKPGTSRMSKPVGIREDKRMESTHATEESNSKDSSATAFPFPTGSSETFVDLPTLPATKEVPDDNDHKEPRGHEEKSAEAVIQNSNPHVVSADSLDSSLHVLEMQLSDSTEHRLDSNAHSDTGPEILSNSGPNEAFINSRNGMGNHPSSAAATAVNNMPKKLKRTEHSETKPESPYTGLIDTAAPFESVKEAVTKFGGIVDWKAHKAQMMERRKLIQLELENLQTEIPQCKEELEAAEIAKSQVVDELERTKRLIEELKHHLEKAQTEEAQARQDSELAQLRAQEIVDGIADDTSAVARQMEVAKERHAKAVSELKSVKEELRSLHEQYVTLIDERDTAIKRAEEVISAGKEIEKRVEGLTLELIAAKGSLELAHAAHHEAEERRIAAALVKDQDCLGWEKELHQTKEELQQLNSKVLSKNDMKMNIDANLRKLLSLNSELAAYMENKLSEEAEGVSKEHGSEDAKQMSNSIKEALDLKQKELQEVKGNIEKAKTEANLLRFAAATLRSELDNEKASLDTLQQREAMAYIAISSLEAELNRTKQEIESVRSKEADAQEKMVELPKVLQEATQEAEDAKMAAHLAQEELTNAKEEVEQTKAAATTAEIRLCAVMKEIEASKASERLALAAVQALQESKEARDVKDSPRRVTLPLSEYYELSKKAHDAEELANEKVAEALAQVASAKESEARNLERLNEASEEMDEKKEALEIALERAERANEGKLAAEQDLRKWRADHEQRRKAYEAAKRAVNPLNGPSRIFVEPKSPYHNEQESKSQMSGSSYENLAPNRKLQRKKSLFPLVGSVLSRKTRAQT >Et_2A_017602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4031004:4032891:1 gene:Et_2A_017602 transcript:Et_2A_017602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRGAVRSLLERLRPSPRSWNPGAGRRFAPAGALSLKGSPGWQDGDGGFRRRLDGDALGIKAQVLTTPRQLLRDPEVLPLEEVGGKSLNGACRRGKPLGFPEHPGAAKMVVAVDVDEVLGSFLAALNRFIADRYSWNHSVSEYHVYEFFRIWNCSRERANFLVHEFFTTHYFQDGIHPIPGARDALQNLSSFCSLSVVTSRQDAIKSHTLEWIEKYYPGLFDQIHFGNHFALEGQSRPKSEICRSFGAQVLIDDNPRYALECAEEGMRVLLFDYDNSYPWCKTGVDESHPLVTKVHNWDEVEEKLLSWVVPES >Et_4B_037544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2156486:2158644:1 gene:Et_4B_037544 transcript:Et_4B_037544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSGPERQYGCVHYRRKCKIRAPCCGEVFDCRHCHNEAKDSLEVNVHDRHELPRHEIKQVICSLCNKEQDVQQNCSNCGACLGKYFCAKCNFFDDDDSHRCVDRAMHHNCPVCFEYLFDSTKAISVLHCGHTIHLECLYEMRAHQQFSCPICLRSACDMSDAWQKLDQEVAASPMPAIYQKKMIWILCNDCGMTSNVQFHILAQKCSGCSSYNTRQTRGDLAACSRI >Et_3A_023695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1106835:1107313:-1 gene:Et_3A_023695 transcript:Et_3A_023695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTFPAFSFGQGGMPGAKTLSGKDKPIALLKKQAEANKPYGAICAATAQVLEPHGLLKGKKATTYTSMVSMLADPSECENRVLVDGNLITSRSAGTSMEYSLAIVEKLLGRDAAREVAEGLLFV >Et_9A_062255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21163716:21169617:1 gene:Et_9A_062255 transcript:Et_9A_062255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRASRHRAQSHDTAPQPNKQQPTRPAAAPKHNRHPPPAPAPKQRHPQPLPPQQHQHDAPALAAAAAGVGRVLGRPMEDVRAAYTFGRELGRGQFGVTYLATHKATGRRYACKSIAARKLARADDADDVRREVHIMHHLTGHRNIVELRGAYEDRHSVNLVMELCEGGELFDRIIARGHYSERAAAALCREIVSVVHSCHSMGVMHRDLKPENFLFLSKAEDSPLKATDFGLSVFFKPGEQFRDLVGSAYYVAPEVLKRRYGAEADIWSAGNEDGIFDAVLRGHIDFSSDPWPSISNSAKDLVKKMLRQDPKERLTAAEILRHPWIREDGEAPDKPIDITVIGRMKQFRAMNKLKKVALKVVAENLSEEEIVGLKEMFKSLDTDNSGTITLEELRTGLPKLGTKITESELRQLMEAADVDGNGTIDYVEFISATMHMNRLEKEDHIYKAFEYFDKDHSGHITVDELEEALKKYDMGDEATIKEIIAEVDTDHDGRINYEEFVAMMKNNSPEIVPNRRRIRTQESRAIYWVLIEVAILGSGVQLSSRGLKPQKLAARTGDSTKVIDRIDNGSNSIIFQVVQDPDAN >Et_2A_017862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7159472:7161652:1 gene:Et_2A_017862 transcript:Et_2A_017862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRSVACCAAALLAAALLLLSKPGYTEAYDSLDPNGNITIKWDVMAWTPDGYVAVVTMFNYQQFRHIGEPGWQLGWTWGKDEVIWSMVGAQTTEQGDCSKFKGKTPHSCTKKPTVVDLLPDTPYNMQISNCCKAGVLSTINQDPANAAAAFQISVGDAGTSRKTVKLPKMFTLKNPGPGYTCGRAMVRRPTVFYTPDRRRSTRAEMTWEVVCTYSQFLAQKTPSCCVSLSSFYNNTTVDCPTCSCGCQNANRTNCKKDNSPTTRSDKWTGLPLVQCTSHMCPVRINWHVEQNNKDNWRVKISITNFNFNMNYAQWNLVVQHPNLDNITQLVGLNYKPMNPYGGSINDTAMFWGEKLHNDLLMDAGKHGNVQAEILLRKDSQTFIFDKGWAFPRRVYFNGDNCVLPSPDAYPQLPNAIQ >Et_8A_056333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23384177:23384896:-1 gene:Et_8A_056333 transcript:Et_8A_056333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCIWSKGGQPEDKLSYDFNFARLRHLHLRSCPSLQFALPVWSSSFDDLETLHVIHCGSLIHVFELDGEYESSMVLFPKLTTIHLHDVPALHQICEVKMYVPALETIRTRGCWNLHRLPALEGQRACLRKPTVKMEKDVWDALEWDGVVAGHHPSLYQRPMHSRHYKRQRLLRGAVLR >Et_3B_028575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1699628:1702726:-1 gene:Et_3B_028575 transcript:Et_3B_028575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKQRQVPAAWMTVKPFVNGGVSGMLATCVIQPIDMIKVKIQLGEGSAATVTKKMLANEGVGAFYKVGLSAGLLRQATYTTARLGSFRVLTNKAVEANEGKPLPLVQKAFIGLTAGAIGASVGSPADLALIRMQADSGLPAAQRRNYKNAFHALYRIVSDEGVLALWKGAGPTVVRAMSLNMGMLASYDQSVELFRDKFGTGEITTVLGASAVSGFFAAACSLPFDYVKTQIQKMQPDANGKYPYTGSLDCAMKTLKSGGPFKFYSGFPVYCVRIAPHVMMTWIFLNQIQKFEKSIGL >Et_7B_053903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13102756:13104713:-1 gene:Et_7B_053903 transcript:Et_7B_053903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNKNRSHSSPLRGTPTRHAAARPMVLVVVASVAAVALTAAVLFGGRWTPSGGDYTWVSAGVRVVLNAGSSYMHVPDSGQDAVRPLATVPDPSDRLLGGLLSPDFDEGACLSRYRAASYRRASTHALSSHLVAALRRYESLHRRCGPGAPAYARAVDRLRSPATNASTAPSSESECSYVVWTPNAGLGNQILSITSAFLYALLTERVLLLNPSGGGDLDDVFCEPFPGSTWIMPPEDYAKRLTAVAGGGGASERLGVLLGRGGSSPWLFAHLRHDYKADDRRFFCDDVQGELRRVPWLEVMADNYFAPGLFLVPRLEPELARMFPRRDAVFHHLGRYLFHPSNTVWGMVTRYHRASGFAEADDRVGVQVRVFKWAPISTDDLYGQILSCVHRENILPGPGVPAKAKANATGSTSPPAKRKAVVFASLYSDYSDKLRGLYKEHGAAAGGEAVSVFQPTHLGAQHFGDRQQNQKAFAEMVLLSFSDTTITTAASTFGYVSQGLAGRRPWVLMRPVRGKAPDTACRLAPTMEPCFHSPPNFDCPTKGRGDTGKTVRYIRHCEDFPQGVQLVE >Et_10A_001254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22706463:22709340:1 gene:Et_10A_001254 transcript:Et_10A_001254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQGGVVAAEDRRSSYPQDPASSYSYTSFKSIDEPNKLGLWQTLARKAKEIIDEDGLAHKFEDLRKESPRNNSGSSSGDQVPQSRWSFENHWKPGDAASRIRPEAISASVNQFSGRIRNAFEEGLTIVDHKTSSIIQETKKIQIRRKPNSSSSVMPNSAVDTLSTPNLSLDQTETAAQGTQLKASRDVANAMAAKAKFLLRELKSVKADLAFAKQRCAQLEEENKLLRETKQKGNKTEEDDDLIRVQLETLLAEKSRLAQENSTYARENRFLREIVEFHQFTTHDVVSLDDGDMEDDDPREDSSLIYSENLLTMVEGNSAHEDELSSAPSRSDSPTISPGEQSSPKSSSAHSVVDSTMDGGSNPNPSDTA >Et_5A_041890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3925283:3926927:-1 gene:Et_5A_041890 transcript:Et_5A_041890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNAPGAHPDAASAAAPPSEHAAYPRLSPEDIAPPPPPVVQPAGTNPYVLSAPSPDPPAKSTRENLREMFGMVGKKFNEAARKTEGIAGDVWQHLKTGPSFTDAAMGRIAQISKVISEGGYDKIFKQTFECLPDEKLKKAYVCYLSTSHGPIMGVLYVSTVKIAFGSDSPVTYVTEDHKTASSFYKVVLPLAHLRSVTPTASQQNPSERYIQVVSVDNHEFWFMGFVNYDSAVKNLQEAVRGA >Et_2A_017987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8781485:8783543:1 gene:Et_2A_017987 transcript:Et_2A_017987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRLAPRSPTIPSAPRPPLPFQHATATATRSPRPPRAPSSACARAPDIVSYAALLDGYTSVADHRAARKLLDEMTGMKPSLAIADLGCSSGPNSLANVDAAVDAVFRYSAQHEQVPPEVCVLLNDLPDNDFNNVARRLVEFQQRVETVSRVMTCIVPGSFYKRLFTSNSLHLITASNSLHYLSEAPEDLKRNKIPLYYSNESLTQARRPMIVQAYGRQFRKDFSNFLNLRAQELVPGGQMVVSVLANRASQCIELWDILGLPLNDMASRLTQNSDLQGVISREMLDLFYATSHAPCEKELREIIEEDGTFKINKMQVHELVTGMDKASITPKMVTYALRAMFEPIMVQHFGPSEGIMDEFVRILEPQMRPGSPQYETVIGDRVFLCVSLTKRI >Et_8B_058690.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:16691238:16691525:-1 gene:Et_8B_058690 transcript:Et_8B_058690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWIRNMTTTSATVTSSTSLRLPATAVASSSSPAPSTRRTLLPVTVSCTSVTSSYHPVRGPLQMTQPRAITMRRGTTGDGPWLAAHAGDGPGLPQ >Et_4A_033933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28096619:28098606:-1 gene:Et_4A_033933 transcript:Et_4A_033933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARSLLAGLLLAGLIGVAVVHGAGGDDDHFVYNGFTGAALALDGTAAITASGLLELTNGTAQLKGHAVHPTPLRFHRSPAGGPVRSFSASFVFGIIPPYSDLSGHGIVFFVGKDNFSAALPSQYLGFLNSQNNGNASNHVFGVELDTIQSTEFKDPNDNHVGIDVNSLTSVAPSKPLVSATHNLSDVLVDPAYVGFSSSTGTVRSLHYMLGWSFAMDGPAPAIDTGNLPKLPKFGPKPRSKVLEIVLPIATAAFVLGVVVVIVLLVRRRLKYAEIREDWEVEFGPHRFSYKDLFRATEGFKCKMLLGIGGFGRVYRGVLPTSKLEVAVKRVSHESRQGIKEFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYGHEDKPALDWAQRAAVKASNVLLDSEMNGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPELARTGKASPLTDVFAFGAFILEVVCGRRPVEQSMSDNRLVLVDWVLEHWQKESLLEVVDPRIQGKYDAEEVILALKLGLLCSHPLPGMRPSMRQVMQYLEGDMPFPELTPSHLSFSMLALMQNEGFDSFILSASHPSSSAISMGTITGLSGGR >Et_4B_039740.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28258561:28259103:1 gene:Et_4B_039740 transcript:Et_4B_039740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKRQREEEVSLALSLSTTTADNSPASSSSSGAAAPPPSAKRVRRRGPVVATSGEGDFVCKTCSRAFTSFQALGGHRTSHLRGRHGLDLGVVGAKALKQHKADSAAAVKDRGDDKPPAAKHECHICGLGFEMGQALGGHMRRHREEMAGADAADQWVWRPQELPVAAAAADPPVLLELFA >Et_4A_035352.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21005653:21007473:1 gene:Et_4A_035352 transcript:Et_4A_035352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLASPSSVSIHRQLPGRGSRAGAPNSVRFSPRAALSSAPTDAPAAFQLPAPFKTAAPLSTPKPAIGAPPTPAPRKSSEKQQRQQLNLFQRAAAVALDAFEEGFVAGVLERPHGLPKTADPAVQIAGNFAPVGERPPVRELPVTGRIPPFINGVYARNGANPCFDPVAGHHLFDGDGMVHALRIRNGAAETYACRFTETARLRQERAIGRPVFPKAIGELHGHSGIARLALFYARAACGLVDPSHGTGVANAGLVYFNGHLLAMSEDDLPYHVRVTGDGDLETVGRYDFDGQLGCAMIAHPKLDPASGELHALSYDVIKKPYLRYFYFRPDGTKSDDVEIPLDQPTMIHDFAITENFVVVPDHQVVFKLQEMLRGGSPVVLDKEKTSRFGVLPKHAADASEMVWVDVPDCFCFHLWNAWEEPETDEVVVIGSCMTPADSIFNESDERLESVLTEIRLNTRTGRSTRRAILPPSRQVNLEVGMVNRNLLGRKTRYAYLAVAEPWPKVSGFAKVDLATGELTKFEYGEGRFGGEPCFVPMDPAAATPRGEDDGYVLTFVHDERAGTSELLVVNAADMRLEATVQLPSRVPFGFHGTFITANEIEAQA >Et_8B_059169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14741817:14752857:-1 gene:Et_8B_059169 transcript:Et_8B_059169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSSTLENYTLGKTIHVGSLGKVKAATNNLTDEEVAIKIIKRDQAKSMGMEEKVNKEIEMLRLLSNCSNIVKLHDVIETSETLYIVMEPCKNGELFDHVILKGRLSEGEARRIFQQIISGVEYCHRNMVVHCDLTVGNFLLDSDYNVKLANFEFSNIMQDGQFPNANGCSTIYAAPEVISGARYIGGKVEIWSCGVVLYALLCGTLPFASENTTKLHQDIKDRAYTVPAHLTTLARDLIRRMLVADPKIRITISEVGRHAWLQGGGGGDGVKVVPGGGGGGGAEDLNEHDAGAGGGGGASGRTGNEVEANGGGGGAAGVTGDEVEASGGCVGGAGGLLEEHLDGGGGGGDGGDPDSLTGDEAGAGAGGGGSA >Et_7A_052354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7139797:7142189:1 gene:Et_7A_052354 transcript:Et_7A_052354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQRQRLARKRFREANPDLCTPKPTPPADGTKKKSKKSKKSLFKKAKKSSSGRSKHPLRVPGMRPGERCFICKSTDHVAKVCPEKALWEKNKICLLCRERGHSLKNCPDKSEGNLKKFCYNCGESGHSLSKCPKPIENGGTKFASCFVCKQQGHLSKDCPENKHGIYPKGGCCKVCGEVTHLARFCPNRGRQDFVPSIGDDMNPEEHYQGRHTILHGGDDLEDDFIEEEEEPKPSKSKKAKQTGTENDEKNASSKAKAKQAPKVVKFFG >Et_4B_039085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7597685:7598780:-1 gene:Et_4B_039085 transcript:Et_4B_039085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IEALKEVGVTEVVLAINYQPEVMLNFLKDFESKLGIKITCSQETEPMGTAGPLALARDKLLDGSGEPFFVLNSDVISEYPFAELIQFHKAHGGEATIMVTKVDEPSKYGVVVMEEATGKVERFVEKPKVFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRIAADAGLFAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKAPAKLASGAHVLGNVLVHETAVIGEGCLIGPDVAIGPGCVVEPGVRLSRCTVMRGARVKQHACVSSSIIGWHSTVGKWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >Et_1B_010156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26875228:26875854:1 gene:Et_1B_010156 transcript:Et_1B_010156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATAGAVTFEATNMASGSPGGQRFDQAVGLDYAKQVLSDASAFIWNTLNQPNPADHKPVDTVTLLVEDIGGIAFTSADVVHLSAQTEVTGVLYHETTHVWQWDGQGQANRGLIEGVADFVRLKAGYAPWRWVQPGQGDRWDHGYDVTARFLEYCDYLMPGFVALLNAKMKDGYSDDLFAQILGKDVQQLWQDYKAKY >Et_8B_058892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10396380:10396969:-1 gene:Et_8B_058892 transcript:Et_8B_058892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPQQRRGAGAGEEQQAAHQGPIKYGDAFAVKGELAGQAIAPQDAAAMRSAEQSVPGVQVPQEKGGGFSAGGFMQSAAEYNQAVGAVRPGEASEAAAKQGINLTQDPVPGGRIVTEFVAGQVVGQYAVAEPTAQQDANKAAAGEGGAAAHGDAAARAGGAGATAARRT >Et_4A_033877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27600645:27601830:1 gene:Et_4A_033877 transcript:Et_4A_033877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSRTVLLVAVVAAVASFASLGAADLKTGYYASSCPNVETIVRGVVQQKMQSTIRTIGSTIRLFFHDCFVEGCDGSVLIQSTPGNQAEKDASDNLSLSFEGFETIRSAKAAVEAACPDTVSCADVLALAARDAIAYSGGPFYPVELGRLDGLSSKASSVPGQLPQPTDSIDQLVAIFKAHGLSNISDLVALSAAHSVGLAHCAKFAYRLYNFQPGQPADPSLNPKYAAFLRSKCPNGGPDWMVLMDQATPAAFDNQYYRNLQDGGGLLGSDQLLYADNRTRALVDALANSTTAFYQAFVDAVTRLGRVGVKSGKLGNIRKQCDVFN >Et_10A_001602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5850034:5852752:1 gene:Et_10A_001602 transcript:Et_10A_001602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHSGAGNKNAFKGLIAAEYSGVKVELVKNFEMGVSNKTPEFLKMNPIGKVPVLETPDGPVFESNAIARYVARLKDDNPLLGSSPIEQAHVDQWIDFAATEVDTGVAGYLYPRLGYRPYVQVTEETAISALKRSLGALNTHLASNTFLVGHSVTLADIVMTCNLYQGIARILTKSFTSEFPHVERYFWTMVNQPNFKKVMGDVKQADSVPPVPKKTTPAKEPKAKDVEKEAPKEAPKPKVAEAPEEEEAPKPKPKNPLDLLPPSKMVLDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSEPPFKVKGLWLFRGQEIPKFVMDEVYDMELYEWTKVDISNEAQKERVSAMIEDQEPFEGEALLDAKCFK >Et_2A_015577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15283397:15283949:1 gene:Et_2A_015577 transcript:Et_2A_015577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPWPDLVRRIASRLLCVVDRVSAELVCTPWKAALEEQQRPWMLVPCRRVCHVNPDGVRRAHFFCVLCDRAHNLRVPGHLHGVRYYGCYEGSWFFIANGQNCGYAPVRPLGALLGVCTTEVEVEDVAYYAGSFHFLTRLENMIVCTPVMVEEVPDDLSMLRH >Et_4A_034902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7688736:7691790:-1 gene:Et_4A_034902 transcript:Et_4A_034902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREWGSKPGSGGAATAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPAQPQPNKRKLAPRKSVKIGRPGYTVTKQYDPDTKQHSFLFEIDYPEIEENSKPRHRFMSSYEQKVESWDKRYQYLLFAAEPYETIAFKVPSIEIDKSTNKFFSYWDPDKKEYILQLYFKPRPPEASKPPPAPGTLPNGTGAPGAPPRPPGQIPPPPPQVPPPPPQAPPPAPVGMPPRMPPPPPPQVANGPPRLMIPPPPNFTPGAPPPRPPMQGFAGQQ >Et_3B_031271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23524866:23526365:-1 gene:Et_3B_031271 transcript:Et_3B_031271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGEIKRIENPTQRQSTFYKRRDGLFKKARELSVLCDVDLLLLLFSASGKLYHYLSPTVPSVKELVERYEAATHTKVWTDIQQVKFVNIYSGDCYTHVHLTISISVSRVLAPHGDCCSRQERRAELAQVAHMCELLETELRFMTVDDGEQYTVPSLEMLEHNLEAAMHKVRCEKDRKIGGEINYLENVIRGRQEERYGLCDQLFSFLLLVRSGEDGDLVQDGT >Et_10B_003181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17285543:17292182:-1 gene:Et_10B_003181 transcript:Et_10B_003181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAASRFAALAASLSTRERFARHLAAAASSAWTGPSRLPNPGRERRSTSWWCPSRTFHATRSVNARDYYDVLGVSKDAGASDIKKAYYALAKKFHPDTNKDDADADKKFQEVNRAYEVLKDEDKREIYDQLGPEAYERQASGGGSDGFPGGQGFPDGNPFGDIFTDIFGNALRGGQDVKVSVEISFMEAVQGCRKTITYEADTLCGTCNGSGVPPGTVPKTCKSCRGAGVIYMQRGLFTLESTCPHCNGSGKIVKSFCKTCKGEQVVKGKKSVKLDIMAGADDNDTMKVFGKGGADPERNKPGDLHITIKVREDPIFRREGNHVHVDAVLSIAQAVLGGTVSVPTLTGNVSVKVRQGTQPGEKVVLRGKGIKMRNSSSFGNQYVHFNIRVPTEVTQRQRELIEEFDKEEGADRERVAAASG >Et_4B_038392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28707740:28709447:-1 gene:Et_4B_038392 transcript:Et_4B_038392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPALAGAHSFAASVSGSLRIPLPSIPAPSPAPARRAALSVVAKVKVSTPQADRIARHTRLRKKVNGTTERPRLSVFRSNKHLYAQVIDDTKQCTLASASTMHKSLSKEFDYSAGPTIEIAQKIGEVIAKSCLEKGITKVVFDRGGFLYHGRIKALADAAREHGLEF >Et_3B_031645.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6713545:6714348:-1 gene:Et_3B_031645 transcript:Et_3B_031645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMQKQLVPLLLVVISVAITASAQAPTAAPTTPAPTTPTPAAPAPAAGTTNITGVLAKAGQFNTFIRLLRSTGVAQQIDNQLNSSGNGLTIFAPTDNAFTSLPSGTLNSLSDQQKNALVQYHVLSTLIPMSQFDTVSNPLRTQAGNNSPGQYPLNITAEGQQVNISTGVVNATVDNSLYSGDNLVVYQVNKVLLPAALFGAPAPAPAPLAPAKKKGKTPASVADAPAGAEDASPDATTSVAAARVTGCGGVAGAAVLALAGVWWGP >Et_1B_010682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1287830:1291867:-1 gene:Et_1B_010682 transcript:Et_1B_010682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALCSASPAISTAAAALVVPARRASSLFRLRLGAAARSYSAAAQRAAAAPGALSWRARRRFAASAASTTEEGSDVDTMIPPDNRIPATIITGFLGSGKTTLLNHILTAQHGKRIAVIENEFGEVDIDGSLVAAQTAGAEDIMMLNNGCLCCTVRGDLVRMIGELVSRKKGKFDHIVIETTGLANPAPIIQTFYAEDVVFNDVKLDGVVTLVDAKHAKLHLDEVKPKGIVNEAVQQIAYADRIIVNKTDLVNETEVSSLVERIRGINRMANLKRAEYGKVDLDYVLGIGGFDLERIESAVSEESHEDHAEHEHDHHHHDHDHDHGHGVSSVSIVCEGEMDLEKADMFLGNLLLEHSEDIYRMKGLLSVSGMPQRFVFQGVHDIFQGSPERMWEPNEPRINKIVFIGRNLNREELEKGFKDCLLKK >Et_1B_009684.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:14666162:14666671:-1 gene:Et_1B_009684 transcript:Et_1B_009684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGQLANRAPGISPVRLFDEMPNSRIFRIPANDAGKLPLSWFMDSSMNSRLPSLPSSGGRVDTSPALFTSSRCSFCSRPRIGGSRPPRGQPPSSRILSCVIDPRNSGTPPMNLLSPRRSSWRPVSLANDAGIRPWKAFRDRLRNLRLGSLAGNASGTGPSNAFCDRSR >Et_4B_039661.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:25864041:25865264:1 gene:Et_4B_039661 transcript:Et_4B_039661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILCQRFYVKLRQASLHPPSITPDVDDAFEIPWVLLEEKAYVADSNNDTTASTFSNCGKPIQVTFFAARPPRVSYLCVFCRCSSAEEDQEMIPIEPLVIATDDNLVLLRIVVSPEKDIINGSDLYIYRPGPRWPSLTRLKRPPGDCVFRPSEVGLMSRPASNQQDTYTVAALCDDLLNAGRGRFLLYIYSSELEAWSTTTVAVEDQQFRQYAQGAFFHHVSTKAVAIGGEAGTMGFVDLQQGILLCDLFRVRDSPRLRYVPLPETCQEDEGDARIYQDIAVDKGHFKYIQQQLIRKPCPGGINGQCMKDGWMSAVWSIPVPAAGSVLEGSWDMTCRIESFDMAAVGNSPCSKLLPDLQDGEGINGLHICQPTLGLHGDGRAACFMVKTNLGDDESWVILSNARVTH >Et_6A_046030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19206763:19208916:-1 gene:Et_6A_046030 transcript:Et_6A_046030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLTSLEILDLGHNNITGHLPSFIGQFTSLRRLSISLNHLTGGVPYEIGLLSNLTYLDLSNNKLNGMLAKEHIASLNSLQDLDLSFNSFDGVLTEKHFASLYSLQAIDLSYNSLKINISLEWKPTFRLQFAHFATCQMGPLFPSWLKLLDGIVSIDMSSAGIIDRLPDWFSYFFECPSYEYLQQSAIWSSNNSLTEPLPSTFGVPSLQALSLFSNHITDPIPNSICMCTPLGALDLSSNFFEGELPSCLWKTDITTLQLSNNSLSGEFPHVLRSCTKLQFLDLSRNKFYGRLPRWIGELGGLNFLRLSHNRFSGKFPITLTRLGCLQYLDLAGNGVSGSLPRHMSYLTAMRQQYWVSCESKCIGIDLSLNNLSGELPEDIGALQLLESLDLSNNKLSGVIPASLSDLSFLEYLDLSYNNLTGKVQTGSQLETLYTSKPTMYDGNTGLCGAPLKNNCSNISRHGHSGRTEDGRGHDAFWLGLGLGFTVGLWVVFCTLLFSNVWRFAYFGFFNRLEDKVYVFVVVTWARLMRKKITSN >Et_1B_010767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13939214:13947990:1 gene:Et_1B_010767 transcript:Et_1B_010767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPVIADAADPPAEAPPPAVVTPAAEPEGAEGDAASVVVSEAASNPSAVVAAAVTEGALPGDAATSGPAPAPDPTSTSSSSAPHPSVSPATHPAPGPPRPQFAGSPVYLAPPAPSPAFSYNVLPRAPPPQQVGRGVAPHQPASSPALMAPLMPASALQPPAPGQYFGNMPSFSYNVVSHVNARLPTGQQFQLDTGTNHGGQVSRFGPTGSLQTPVPGQLARPNEAFPGATAPNSSSTTASSSGNTSTHIHMPANQPAAPRPEVFGAVGQSVPGQPSAAFSNPSLLGRPIVPSASHLPQTTQSVSTPSAIPQNTQPPFYPSYPSIPGIVPPQPLWGHPHPQQPTGVQQAPFQSYPAGPVGFVRPVFGASVVTTSMPSGVTSVGDQKQQSSSANRGSEQPIHTSAEPPSTGHGGQVNDQLEDKSSFGAQDSDAWSAHKTETGTVYYYNALTGESTYHRPPGYKGEPDKVASQPLPVSWDKLAGTDWSIVTTSDGKKYYYDNKQKVSSWQLPPEVAEIIKLAESGSLKESSTSDAGTVENKGVMCIDTSTPTIQSGGRDSLPLRQTVAPASPSALDLIKKKLQDAGASSAPSPLATPSAASELNGSKPADAAPKGQQASNNGEKSKDNNGDGNMSDSSSDSDEEEHGPSKEDCIRQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSHSTRRAIFDHYVRTRAEEERKEKRAAQKAAIEAYKQLLEEASEDINSKTDYQEFKRKWGADPRFEALDRKEREALFSEKVKAVQEKVLSTRKAIIADFKSMLRESKDITSISRWTKVKENFRSDPRYKAVTHEERENIFNEYIAELKCAEQEAEKAAKAKVDEQAKLRERERETRKRKEREEQEMERVKLKIRRKEAVSSYQALLVEMIKDPKASWTESKPKLEKDPQGRALNPDLGKGDAEKLFRDHVKDLYERCVRDFRALLSEVITQEVAARGTEEGKTAVNSWSEAKSLLRSDPRYNKLATKDRESIWRRYAEDLTRKLKVSDTKEDKSDTSARQRRSSDPPRRR >Et_9A_061239.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:23623090:23624043:1 gene:Et_9A_061239 transcript:Et_9A_061239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHPHAHEPDGGAGGAENHSNHHSPPALPAEVVPAYPPPESEDDETWVWTQIKAEARRDADAEPALASFLYATVLSHPSLPRSLAFHLANKLCSSTLLSTLLYDLFLATLTAHPSLRAAVVADLIAVRSRDPACVGFSHCLLNYKGFLAIQAHRVAHVLWAQQRRPLALALQSRVADVFAVDIHPAAVIGKGILLDHATGVVIGETAVVGDNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVKIGAGAKIGAGSLVLIDIPARSTAVGNPARLIGGKKADGEKDEDMPGESMDHTSFIRQWSDYTI >Et_4A_033095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18441160:18451073:1 gene:Et_4A_033095 transcript:Et_4A_033095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSTSSAAALLLGGGRLPRLRYQSLRPPTNSRIRRAASPPLPRGCCACARPRPLAPVSASALPLPATAAAAPPPPRVEDDGWGAVAAAAAALKRVAVSVACVAVAAAWCHRALASGAAAGAGAGAPGAVEAAKGIGGAALREGWPRVLQILQLLREQGIILAALLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFKMLRNDVTRFLTTILIGTTVVNIGATAIVTEAATAMFGEAGVSAATGVMTVAILLLTEITPKSVAVHNATEVARFVVRPVAWLSLILYPVGRIVTFLSMGMLKLMGLKGRSEPYVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTHVREVMTPLVDVVAIDATATLIDFKNLWEVHQYSRVPVFEERIDNIVGIAYAMDMLEYVEEVEKLKEITVKEIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDAVEEIVGEIFDENDSKEEIQRKTGNIVMRGDGTFDVDANTSIENLSEELGIKIPEGHHYETVSGFVCESFGYIPEEGGKMLVILEKDYREENEEEGSDRHDDREKTQAYELEIVEANERKVSKVLFKPISNECVDVDSKGVNRLISKKIIKRKKKDSGDSTDSDDEDECSDITENGCPAELLSYSDDKRAELEDASNSSTIRSTASGSPQNWKLLHSVVADDGTAGNKAAAVSRSGLHGYSSSGWKNHLTGVSLEVAAGRGTSIWRSLSSRCSLIFSSSLNLCCSSAMEEK >Et_5B_045618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5592121:5592359:-1 gene:Et_5B_045618 transcript:Et_5B_045618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFAVQLIGDEAKRMSTAPGITRTTRSLGFMGVDPANGAWNETHFGDASSSASSTPEQAGSRQGL >Et_5A_041632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25251221:25253349:-1 gene:Et_5A_041632 transcript:Et_5A_041632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSCGRRRRRRRRRRRRKEIEIPVVDLAAFLRGGGALPAGVAEACERHGFFQVVNHGVDPALLAEAYRCHDAFYARPLAEKQRAQRRPGESYGYASSFTGRFDCKLPWKETLSFHHSAAPGGERAVVDYFVAILGEEYRHTGEVYQEYSDVMTRLALDVTEVLAAALGLSDRGALRGFFSGSDSVMRLNQYPPCRQPHLTLGTGPHCDPTTTWADAFVVNIGDTFAALTDGRHASCLHRAVVNSDVARRSLTFFLNPQLDRVVRPPPELLAADPARPRAFPDFTWREFLEFTQKRYRSNENTLDAFVAWILAGRGKGPEEK >Et_8B_060544.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20557419:20558264:1 gene:Et_8B_060544 transcript:Et_8B_060544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRRSKSVKFVSARATKPLEVDVADEEDPRMSSSADNTVYCCIARGRKVIYCYNSNDGDPQAESTAALCLEHAPSHHRHYVHTSGSRSYAYLMADGHTFFAIIDPCVGNAGALQFLERVRDVFRNANAHRNGFHDSLVPAVQRLVASLEKMPHAAFVLEENADRGGSHESSTTSSKVPLLGKSGSRKEKKKSKDKTGSPSDGENEQHGTRGVRIDMPADEVGGMSLERSGSQARLRRQQPSRSLWMRHVKIIIIVDAVICLVLFAAWLAVCKGFQCVSGS >Et_7A_052120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4843639:4846134:-1 gene:Et_7A_052120 transcript:Et_7A_052120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEGEVSPLHSLDYSCTARLRHRRLLAYLRAYGCWEVYQTAKSAMPVVFHTRDLMKNIREGQWRDARYYIARFGPFVDAGKGASLLALFLRDLMTLDNFANGQAMPATCFLYWMKSIHKKPVLDKYPFFAALVDDVLSNRNDHAWSFLDWQLIRNKAAKLAEEMAYKVPELRERMRYPRCRSDLYHIVPVGSRRRVKKNFGRKQSTDIAQYYLQVNKRLPSSTQEANRSIPELRGEPMLALFGVSLVRVKGPLRTRIDPSDLVGKFDIGTIKGQNDLMNALSHKLAIQSELDASKRRRYVAPPESNSTMKRATIQESRPDTAHPGNNPKRPRTTGTFGEGNWASISQSINSTSKT >Et_7A_051995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3511479:3515421:1 gene:Et_7A_051995 transcript:Et_7A_051995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KPQNPCSGGGGGQRLTSSPREAPVEGDGMGGSSEYYDELIQSKKQDGIRVTNSGKHGKGVCANRDFDEEELVLKDQMLVGAQHSINKIDCVVCSYCFRFIGSVEFQIGRRLYLQSIGTGNDGSFERHCHGSDAGSSSGCSSATNGNAHTVPQEVIMSLMAGDMKLPFTDQFALPSVVACPGGCEGELYCSQSCADSDWHSYHSLLCTGSKTEPLQRSALQKFVEHANGTNDIFLVAAKAISYTMLRYKNIKRQHASQNKTDESSFSFLMEAWKPLSMGFKKRWWECVALPEDVNSSEEDSFRQHIRDMAFTSLQLLKDAIFDPDDLVVASPVEDYFIYIDDLPDSEKEEAEKVTGPFLNALGEDYSVPCDGTAFFPLQSCMNHSCCPNAKAFKRDEDKDGHAVIIALEPISKDDEITISYIDEDVPYEERQAQLADYGFTCTCAKCQEERPN >Et_7B_055769.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:445546:446310:-1 gene:Et_7B_055769 transcript:Et_7B_055769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKIGLTSLFFNSSETSMSSSTSFSTAASWQWPSCTQARTRSFRCESPEIVSMRHQSGKEEDFKTSMNPAYLLDPADQHSSCRSLISDDSSAQSICTAPEEAAVVKDAVVIEEDEDEAIVRSLRSTNGRLFFEPESTSSMVVNKVNSATAAAFDGATALAIESADPYGDFRRSMEEMVMSHGINDWGWLEEMLGWYLTANGKKTHGLIVGAFVDLLVALTSAPSPPTTASSSAARLRPSPLVSRNKANATKRT >Et_1A_005749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13392807:13396710:1 gene:Et_1A_005749 transcript:Et_1A_005749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTPVATAEEAAAMRRRLRRLVAAVAAGSADAEAFDEAAGALAALRDAELGPRKDNRAGAGGGRKASPDATVPEQFLCPISSEIMRDPVVLASGQTYDRRFIQEWLNAGNRTCPQTQQVLSNTILIPNHLVRSVISQWCTENEVTLPPVENQEDDLLTNNEGKTFCKLFDRIAASSNLSDQREAIKDLRLLTKCNSSLRAAIGDKPESISQMISVVSNPELEHNEEAVEDMVTTILNLSINESNKKIIGDDLLAIPFLIRALQSGTMEARSNAAAAIFSLSALNSNKEKIGELGAMRPLVDLLEHGSMIAKKDAASAIFNLCMLHENKSRATKSGVIDVTLKAISDDSLVDESLAILALLSSDHETVEEIGETGGVASMLRIIKEDQCKRNKENAAAVLFSICMYDRRKLREVAEDEKLNGSLASLAQNGTSRARRKASGILDKLKRVMHHTHYSC >Et_6A_047407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5282528:5285484:1 gene:Et_6A_047407 transcript:Et_6A_047407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDRNQRHRSSFGSTATIVVFVAICLLGLWMMSLPQTVPAAMSASSSEKVVKADVKEEDSSIDATNTVKQDSANVVVAETTTAAAAEAKGGGDKAASFDDENGTTEGGELVKPGAGEAVDVAAAAKEKPGAGAAAATDAKDAGGGGQEQTATDTTDAGGGEEKKRDEKNKKGKKGKNKKKKKSDADDAVSSFTWKLCNSTAGADYIPCLDNESAIKKLKTTKHYEHRERHCPSTPPTCLVPSPPSYREPIRWPHSRDKIWYHNVPHTRLAAYKGHQNWVKVSGEHLTFPGGGTQFKHGALHYIDLIQEALPEISWGKRSRVALDVGCGVASFGGCLFDRDTLTMSLAPKDEHEAQVQFALERGIPAISAVMGTRRLPFPANVFDVVHCARCRVPWHIEGGMLLLELNRLLRPGGFFVWSATPVYQKLPEDVEIWEEMVKLTKAMCWEAVAKTKDTVDQVGLIIFRKPVNNRCYDKRSQKEPALCEPSDDPNAAWNITLRACMHRVPDDPTVRGSRWPAPWPERARKVPYWLSSGQEGVYGKPAPEDFAADTEHWRKVVRSSYLTGMGVDWKTVRNVMDMRAVYGGFAAALREMNVWVMNVVAIDSPDTLPVIYERGLFGIYHDWCESFSTYPRSYDLLHADELFSKLKPRCKVLPVIVEVDRILRPNGKFIVRDDEETVDEVQRVVRSLQWEVRMTVSKNNQALLCARKTTWRPTEVEAR >Et_1A_007545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35747595:35748783:-1 gene:Et_1A_007545 transcript:Et_1A_007545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKILDMDCSTESNFLSYAVTKLPYIVPNMVNTPMVAATFIHLKFLEVCLDGDLSPGYDYLSLVSFFNSSPVLETFSLSVHQSEMNSESVLDDDKHMRQMPQRKHHSLKNVKIFGFCSAKSMVELTCHILENATSLGCITLDTIFDEDDEDDIGRCSVNSVRKAGECCELSNEIILEGNKGLMVIERYIVGKVPSAVKLEVRGPCSRCHTLDL >Et_4B_037877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24290112:24294147:-1 gene:Et_4B_037877 transcript:Et_4B_037877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLAASPFLPSLPTANTNKQVSLRVPARRLPVVAAAAPSGAAAAAAARERRRILERYGLNPEDFVDEVEGDSREGRRRDRRKRRSGRGEEDAEVSVAPKKAAEPRETHKMLQVLGGKVRRRKLLSPKDRNVRPMMEVVRGAAFDILQSAGGSPASLRPGRWLDLYSGTGSVGIEAMSRGCSEVHFVEMDPWVISEVLKPNLECTGFLDVSHIHMHRVENFLAIAEKSKDKYPSFDYISVTPPYLEVNYSTLLDQLARSPLVGKDCFILVEYPLKTDMPESCGNLIKIADRRFGRTNLLIYGPTWSQKKRRS >Et_8B_059191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1586057:1586925:-1 gene:Et_8B_059191 transcript:Et_8B_059191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRMIELESQEAVETMRAATVAHVRASAALRLLAFAASLAAALVVVTNREDRWGVTVSFKLFDVWVAFVVINFVCAAYALLTAIPVKRLISKRWLHHSDLFMVNLQTAATAGAGAVGSVAMWGNKPSGWFAVCRLYRLYCDKGAVSLALAFVAFAALGVSASLSRYPRAPPPASR >Et_5B_043568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1224678:1228812:1 gene:Et_5B_043568 transcript:Et_5B_043568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QNLQTDAATATGRVRPSDGYCDHRQPPRPGTTRRSHVPSVWPTINASLSPSLLRLPPILSAHSITSSPPPPAAPEPEMSDDGAAGEPLTDRQKKEIAVWFLSNAPAGEIHYVYEAAAAEAFPEYNKAHLMSLELPDRSGDTCTKLRPATDEELPSAYIEEFRSLLDVELSKYVGEAYPKGVCAVYCTSGKDVEGPGSDFGFAVVISAAKRSPQNFCWRSVWTVDINYELQYVDIRGKIQVGAHYFEEGNVQLDTNIDRKDSTVLQSPEDSAVSITNIIRHHESEYLSSLEESYMNLSDATFKDLRRKLPVTRTLFPWHNTLAFSLTRDLAKELALGK >Et_1A_005781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13971450:13974332:-1 gene:Et_1A_005781 transcript:Et_1A_005781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAGDSFACFWDCFDTQGLQSLYIDDGNSAVSGGQHHDGYSRAPDAAGCNYYSSSAAAATGTPTAASRQGNNNSNTVMERRRRRRLNDTLYALRSVVPNITKMDKTSIVKDAIEYILQLQQLERRLLAEISLLESAASTHPLLVSTPPSMDDDCAVSPTKKVKMALSPSSAASHCSASPPVDALEVRVSGAGDKVLVVSIVCGHRRDAVAKVCRALEGLRLRIFAANVTAAAGTVTHTALVQREELHQSEMKELVETAIAQLDDVVGSPHSTMSY >Et_2A_017351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33549102:33553152:1 gene:Et_2A_017351 transcript:Et_2A_017351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSTSYSLSPGGKKKRPRTPSDNDEQPPDRNQICTSLEDNLILSDTMIALQLMRTQFPKLDKVVTQPFILQSQLYSSVKNRTQVDRDLESMKKDKVLRVFKLSSGQDDHAIMFMDDYLKQVASAVKRSGGRDQDGGEVFEWFERDVIQTKLDVSINQQELFSLLSRGGDVTDKHITLLMNAGLLTRQLIDPNIYWFAIPSIGPILKGLSQGRKEILSLLNRKKYKEMLLSSLEKTKLRFSPLDTRFLLRDLIGSGHIKTVQTPTGLLARISRD >Et_2B_019220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18169060:18169842:-1 gene:Et_2B_019220 transcript:Et_2B_019220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFQSDEAEAGLDGECSAPAPKTTTRSGPSRNHSEAERKRRQRINAHLATLRTLLPAASRGAWWRMQMDKAALLGEVVRHVRELRGEADAAVAGASLAVLPGEGDEVGVDEEGPPHCCWPGGGDRAGATRRVRAWVCCADRPGLMSELARAARSVSARPVRAEIATVGGRTRSVLELSVGGQHQAADGESAPSSSRSALQAALRAVLLSREELLAAECYKRQRFSSAHLAKV >Et_3A_024588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22289149:22291625:-1 gene:Et_3A_024588 transcript:Et_3A_024588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAGGRRLLVPGLRRLGLGAGEAGPAAAVTAVRAYHERVVDHYNNPRNVGSFDKDDPNVGTGLVGAPACGDVMKLQIRVDEGSGKIVDACFKTFGCGSAIASSSVATEWVKGKQMEEILTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKGKTGQQGEDSSAGKAAEA >Et_2B_022598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27346908:27348855:-1 gene:Et_2B_022598 transcript:Et_2B_022598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRFVVGSLVYPLPVMVYKDELIKTAKYLATPGKGILASDESTGTIGKRLSSINLENVEPNRQALRELLFTTPGVSACLSGVILFEETLYQKTSGGTPFVDVLVAAGIVPGIKVDKGTVEIAGTNGETVTQGHDSLGARCAKYYAAGARFAKWRAVLKIGPAGEPSELAVKQNAEGLARYAAVCQENGLVPIVEPEILTDGAHDIKACAAATERVISAVYKSLNDHKVLLEGTLLKPNMVGPEVVAEYTVAALRRTVPPAVPGVVFLSGGQSEEEATLNLNAMNKLEVLRPWSLSFSFGRALQQSTLKKWLGKKENVAAAQEAFLARCKANYEAGLGKYAGGAGDAAASESLYVKGYKY >Et_3A_023189.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7884267:7885151:1 gene:Et_3A_023189 transcript:Et_3A_023189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QGKQNPHLAERVVLVLEGGDPLLELGIAELEVLGLGLQLREVRLLPLPGLLGRHAVPQQPLQPVLLLVPRGAATLLPRRRRLPLRPRHLQRQLLAAARRGRRAAPYLRHPPYLLVALRPCNFTCMRQLELQNFSRGARNRGSWRHLPPSLRLLGAELEERSLLVGSELVALVFACCSCSCAAIPLLFLSFPPPTTDQEISFLPPTTTTPQTPLPRYRKRKIKSLGTREGTTARGRKKSRCRSDEREREREREREREVGAGGRARREKEEESVCGTRRNGREARNGGRWRWGVFG >Et_8B_058645.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:12710137:12710367:1 gene:Et_8B_058645 transcript:Et_8B_058645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAMAAACLGLAEETVTGEHKARGACPRCGGAVVATDVESVRRVLGCLPLCIKNKRKFSCSRCRRSLVALYADAA >Et_2B_022292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17104421:17106644:1 gene:Et_2B_022292 transcript:Et_2B_022292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQILSALLLAALVGNVADAAFNRYSFPKGFVFGTGSAAYQYEGAYKEGGKGVSIWDTFSHIPGKIKNNDTGDVADDFYHRYKEDVQLLKDMNMDAFRFSIAWTRILPKGSLSGGVNKEGVAFYNNLINEIIAKGMKPFVTIFHWDTPQALESKYGGFLSEKIIKDYVDFAEVCFREFGDRVKFWTTFNEPWTYAVNGYATGGIAPGRCSPYVSKSCLGGDSAREPYIVTHNIILAHAEAVRLYRAKYKPTQHGQIGITVVSNWYVPNSDTAADRGAVQRSIDFMLGWYLDPIVHGEYPGTMRGFLGVRLPRFTAEQVALVKGSYDFLGVNYYTAYFAVSVPPPNGLEQSYNGDIRANSSGYRNGVPIGEPEFVPIFFVYPEGLRELLLYTNRRYNNPVIYVTENGIAEENNASIPLKQALNDRHRISFHSKHLQFIRHAIRNGVDVRGYFTWTFMDCFEWGDGYLDRFGLIFIDRLHGLKRYRKQSSYWIEKFLKR >Et_9B_065638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8445922:8452014:-1 gene:Et_9B_065638 transcript:Et_9B_065638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANIGDPRLAPSVERAFEVQPLPGFWRQVTPRAMLVSAMLGVLFCFIALHIYMTAGLVPSFNMPVTVLSFFFLKWAVKLINSCGMATLPFTRQENIFVITVVNTCIYMALTGGFANCIIAMSTSVAQTLVDHPSKTDVVDNLDIGKHMLFLLLNGLAAVLVSVPFKQIMLIDYKLLFPSGTVQAELINSFHTPEGTYVAKLQVATLVKTSIGSFSWSIFQWFYSAGSNCGFEAFPTFGLKLFVRNFYFDFSAIYLGLGMICPYMVNFALLFGGIISWGLLFPYIESKRGEWYQEKSPTNLTGSNGYKVFIGITVILTNGIFNFLVVIATSLIDFYKKNKQDSRMIHEISKHPSLTYDDRKRTQQFLSNQIPHLLPVVGYVLCAMISTVIIPSIFDQIKFYHVAAIYIVAPVFSFCNTYGNGLTDWSLAPTYAKFTIFVIAAWIGQPGAVVGSLVACGIMMAIVQISSQVMVDLKTAYMTLTSPQVMLTGQIFGVILASVINPCIFYAFKETVKDGIQIGATQSEYPCPAAGLYRAIGIIGMGGVKELPKHCVAFCTVALFITVAVDSLTLVSQKKGWTIQKYVPSMAAMAVPFFYGPSFTITMFLGSVLLYVWNKNNSQSAELLSSVFAAGLIGGGGLFALPKAVLSMNKVQPPMCMKFLPTGQELVEVHSFLSNLSSAKS >Et_2A_016726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27780223:27786677:1 gene:Et_2A_016726 transcript:Et_2A_016726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWRGKKEAQEEEEEAAAAARWEGEAEIDYVFKVVVVGDSAVGKTQLLSRFTRDEFALDSKSTIGVEFQTRTLTLHRKRVKAQIWDTAGQERYRAVTSAYYRGALGAMVVYDVTRRATFEHVSRWVEELRAHADGSTVVALIGNKADMPAERREVAADEAARLAEEQGLFFSEASALSGDNVERAFLTLLEEVFAVVSRRALELDEARRMRGDQGNGGGGGEVLSLKGTKVDVGSITETSALKRSSQVSIGRQEWPDVKSEQEDILDDPARLLFTTELAVSIVVMREGKEGAKCLETLSAQSRNKSPEKNPQSVPHSVSAQLCSLTPIPVIFLLRLLILLLVSLLANLHHCLPEPLDVIQRCLPEQSNVHCTRHHMVTPQLELLRLVIRHRKAAQISSIAIRACRLLLPGRQHLPLGLPQRRPHLPVPRIPQVERAHLHDVDAVDGGDGFHLRDAGGGLDLAHDEGLVLAAGEVGVVEAVGGGADDGVRGAADAARRVAAVGDGFGGGGGRLDGGEH >Et_3B_028378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14451971:14456822:1 gene:Et_3B_028378 transcript:Et_3B_028378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTAIMEQQQKPSKRARLLKPAKVRASPPAAEEAATATKQNQEVSDEEVDRFFALLADIREMRELWRRNGCGAPETTKKTTRGESAQQLWRPAFAMEDFNFEMKGGFYDITLWPNGSLINLEEPTITVEWRDHSVHHCVQGQEKRIFNLIFGERKRTAKDRLIRSEKKKGHPAGRSHDPHGAP >Et_2B_022042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8368486:8372602:-1 gene:Et_2B_022042 transcript:Et_2B_022042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLEFLLLLFLPFFTAASAAKLNKISKSQFPKGFLFGTASSAYQYEGAVREGGRGPSIWDTFTHNHPEKIANGSNGDIAIDSYHRYQEDVKIMKDTGFNAYRFSISWTRILPNGKLSGGINTEGIKYYNNLINKLISEGVEPFVTLFHWDSPQALEQQYGGFLSHLIVEDFRDYANICFREFGDRVKNWITFNEPWSFSTGGYSSGMLAPGRCSSWENSGCTMGDSGREPYIVAHNQILAHAAAVQVYKDRYQHDQKGKIGITIVSNWIIPYSNSKKDQDAAKRGLEFMFMDPLTKGDYPVSMRTLVGNRLPRFTKEQSKAVNGSFDFIGLNYYTARYAQNTKHNSNGNISYNTDSLTNQTVERNGEAIGPKAGSPWLYIYPKGIEELLLYTKKTYNNPTIYITENGVDEVNNEHLSLQEALNDNTRIEFYQQHLFHIQRAIKEGVDVRGYFAWSLFDNFEWMDGYTVRFGINYIDYKDGLKRYPKRSSQWFHNFLHE >Et_5A_041906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4033258:4035455:1 gene:Et_5A_041906 transcript:Et_5A_041906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSAKGHTRRERPRTRPHGLTQQKRQEIKEAFDLFDTDNSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGAIDYEEFEHMMTAKIGERDSKEELTKAFRIIDQDKNGKISDVDIQRIAKELGENLTLQEIQEMVHEADRNGDGEIDFDEFTRMMRRTSYGY >Et_4A_035682.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3676132:3676452:-1 gene:Et_4A_035682 transcript:Et_4A_035682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLLRPTTFVTDLGGGIIILPVVDAVEISGLRDRVAKRARDGAVALREETDARGWSSAVLERSLALRRRRGPASCPCRGCGWVVGAADPGARGGMEERRRRMRG >Et_3A_024300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19234699:19244211:-1 gene:Et_3A_024300 transcript:Et_3A_024300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYSIRGVDVDFPFDAYDCQITYMDRVLESLQQGKNALLESPTGTGKTLCLLCASLAWRRSFGEFLRGGRGGGGGSGRGSGVSQLPPYGSQPSSSQQPEESPSQHRSATQQQSPYPVIIYASRTHSQLRQVIKELKATSYRPKMAVLGSREQMCIHEEVRTLRGRAQNNACHYLCKKRQCRHQNLVADYMRNNTDLGSEPFDIEDLVSIARSKGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLNSIPWDNAVLIFDEAHNLESICADAASFDLHPNNLSSCVAEAHECIKLCAAKRSLESAADKQFDPENYAILKALLLSLEKKIVELVIESKELGYTKAGSYIYEFLSELNITSETSKKLIETIDSASLLLEEGGNSAETGPGVKTKATVSRLESIRDILEIIFRGGGQSHAKYYRFHVNESQQTSGDALKVLGKSSRTFSWWCFNPGLAMEEFLKLGVRSIILTSGTLSPLDSLAMELNLEFPVRLENPHVISPDQIWVGVVPVGPSGHALNSSYRTRETIQYKQELGSTIVNFARIVPDGLLVFFPSYSMMDKCVEFWKNRNHANSSDENTIWQRICKHKQPVIEPRQSSNFPSAIEDYAAKLHDSSTSGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFATPTDPKVRLKREYLDKQAAPSNKNAKRLTGEEWYVQQAARAVNQAVGRVIRHRHDYGAIIYCDERFAWSNYQSQMSYWLRPHIKSYSKYGEVVQGLTRFFRDKASSDPVKLKQTDCNGKHLVDNLVELLYLFLASIIFARNECPQTTLVTTRNNFMKLAQITPANRSTHSISTSQLFSSKEELSRDNLVDLTADTSVRGHMKEHTFKALGLKKAKITDKSKDALCVDGSTKLAQNVESRSVARYLGERSTPQSKKSTAEQTCEKNDTVPGKSEGQESYSGPAFLKLAREKLSDAEYREFVEFMKALKSKTMHIKESLEAIAKLFSSPGRRPLLEGFRVFVPKNHLLLYEQLVIEE >Et_5A_041642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25373673:25379948:-1 gene:Et_5A_041642 transcript:Et_5A_041642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQEDDGHDHKAKEAAVTPYATASWASRATWAWMNPLVSRGRRAALQPSDVPALAPPHRPERVHERFAARWPSSSSPATGGENSKNPVRRALLRCFWPQLLLNAALALARAAAMYVGPLLIRSFVEFCSSSAAKAPPLWHGARLVLFLLAAKAFEAFCGGQYAFRCAALGTQVRGALAAALYRKGLRLSSAARRRAHGASVVNLVAVDAQQLADMAPTVNYLWLTPLQLAVALALLHAYVGGAATLSALAVVALVLASAQRKSLYQTRMMSAGDARVRALSEMLGGMRAVKLHAWERHFRARVAAARRAEFGWVARFTYSVGCNIVAVWSAPLLISALLFAACELAGAARRLDAGAAFTATAFVQTLQEPMRFFPRAMIQASQAEELDVGAVARENAAAAGDSGTAVRVEDGVFTWDDDDDDQQKKKAALRGTDFEARAGELVAFVGAVGSGKSSLLGCVLGEMRRVSGTVMVRGRTACVAQTAWIQSSTVMDNILFGLPMDAERYAEVVRACCLEKDLEVMEFGDMTEIGERGVTLSGGQRQRVQLARAVYQDCDVYLLDDVFSAVDAHTGADIFRECVRGALKNKTVVLVTHQTDFLHNADAIYVMKDGMIVQSGKYDELLQQGSEFAALVAAHDTSMAVVESARAPGTEKKTNTLPRTEAASSSSTAAEASDAAGKRRLVKDEERASGRVSLAVYKHYMTASAWGWWAPAAVLAVSAAWQAAVAASDYWLAYATSSSSVRPPMFVAVYSAIAAASVALMAARSLLVAAVGLQTADRFFQQILDSVVHAPMSFFDTTPSGRILCRASSDQKNVDLVLPLNFWLCMATYITVISVLIGTCLVAWPTVVAVFPLMALNFWYLSYYLPTSRELTRLESITNAPVIHHFKETIHGVMTIRCFGKEDSFFRENLDCELHLEDELPQQRRQRVAQLPARVDGKLCSLPDRLAHGHVASKYVNPKFVGLSLSYGLSLNSALFLAISTSCFLENKMVSVERIKQFSNIPSEAEWSIKGCLPVANWPTEGAIDVIDLKVRYRPNSPLVLKGITVSIHAGEKIGVVGRTGSGKSTLIQALFRIVEPSEGKIIIDGVDIGTLGLHDLRSKLGIIPQEPVLFEGTIRTNIDPLQQYSDNDIWLALDRCQLKDAVTTKPEKLDAPVSDNGENWSVGQRQLLCLGRVMLKHSRILFMDEATASVDSQTDAVIQKIIREDFAECTIVSIAHRIPTIMDCDRVLVMDEGHAKEFEQPVKLLESPSLFGALVQEYTNRSDFSKSVRRVKAIVNHGSSMCEESFAVATHYAHGNTIEEAA >Et_1A_008739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11004210:11005539:-1 gene:Et_1A_008739 transcript:Et_1A_008739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTPVHAPSIPESFLLPADRLRPATTDVSLPVIDMSRGRDEVRRAILDSGKEYGFIQVINHGISDQVLHDMYAVCHEFFDMPAEDKAEFYSEDKSRRNRLFCGSGFETLGEKYWVDVLELLYPLPSGDTKDWPHKPQRLREVVGNYTALARGVSMEILRLLCEGLGLRTDYFVGDISSARVVIDINYYPPSPNPSRTLGLPPHCDRDLMTVLLPGAVPGLEIAYKGGWIKVQPVPNSLIINFGCQLEVVTNGILKSVEHRAATNFAEPRLSVASFIVPADHCVVGPAEEFVNEDNPPRYRTQTVGEFKRMHNVVNLGSSINQVIDIKNNQKGAI >Et_6B_048457.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6716020:6716253:1 gene:Et_6B_048457 transcript:Et_6B_048457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IVLGLCCCFGVEKKAASAEKQLGAEDKQKHQQGVGDQEAGERKTANNQASASEATTTKNEALILTHHFPFHSRPGLL >Et_8A_057754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6843746:6845658:-1 gene:Et_8A_057754 transcript:Et_8A_057754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKIGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKAINGALIGTADDKSAKAAASLSHMAQWESARLEAEARLARESKMRTSVSSPTPFHLQPNNIPPSASSLCLDVLHALQGQRVDVDIESPTSTLTFTGSKSGMMATPRMNMPEISESNSAMWQQRSDELDVEESNWQFLRKQQGLVLEGKESEENLIGCEEPWFTGMAGVGAGFTGILLEGSTVYDTLECWGESNNVQTEHNSQASNEEDKNYWNGILGTVNSELPLQTSPLL >Et_1A_005564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11514716:11515724:-1 gene:Et_1A_005564 transcript:Et_1A_005564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPSRPSSPATPSSAVATPTRRRRRLLPSPNKSNTNSPSFAPASPFSFFPPTSPSPFHRFLPSPLRASSVPFSWEHRPGIPKTPARAHRSSSKSTNKALPLPPSLLSRPCAGGDPYVVPAEYAAAAMHPPPPGRLGKVRRSRRPRLGDALAEWLSVLSLYRSCKRAAACFASKAKTSSDPWQILWVLLVMDIRIGLTVVVQSLPINFELVVESLWVADTLIKRPNSVSLKI >Et_2A_017856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6997083:6999862:-1 gene:Et_2A_017856 transcript:Et_2A_017856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLDVPLDDLIKSRNGRGRGRGRGRGQGGGRGRGEGQRLARGSWRGRGASTFRGRGLGVPSRGPLGVNTRSSSFAIAKARTKLSLHDLFEDSMVAAGLSGIESGTKLYISNLHYGVTREDIQVFICILEYLTAPFMFNIFEADLCPQPCLRSFFLKWHCAVHYDSNRRPTGSAEVIFTRRTEALQALKRYNNVRLDGKEMKIEVIGTDLGLSAASAPRVSVVPGARGRGQREVVVPGTSGFGRGGSSSGSAEVLLKEEKGMSVDVAVGVALLRDVGVGVALVRDVGVGVGVAMCERHQWGNQLISWTRSLTTTIL >Et_4A_033210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:264606:274686:-1 gene:Et_4A_033210 transcript:Et_4A_033210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNSDLVCFLIPLSFRRQQQLAPYKLKCDKEPLNNKLGPPDFYPQTPNCPEETLTREYVQAGYKETVEGIEEAREIVLSQIPYFCKPDVVVKCKEALKKRLRAINESRAQKRKAGQVYGVPLSGSLLIKSGVYPEQRPCNEDTRRKWAEALAQPNKRLRSLSEHVPHGYRRKSLFEVLIRYNVPLPRATWFVKVTYLNQPQARPTSNSISTGASDNQRSSQWTKDVVEYLQQILEEFCLKEGSAVPPSFREQPSPGLTAGANQIKLKAEASPASGDAEEPLVHFKWRYMVRLVQWHLAEELLVPSVLIEWLFNQLQERDSVDVLELLLPVVIGLVDTITLSQTYVRMFVELLLRRLSDASVDSSKRPSVSSVIAELLRYMVLAVPDTFVSLDCFPLPSFVAPDVYGRGALLKISGGGGIPSSKRRDAYRYLSCGYAISSIQKRASDLAAVANPNLQARGAAKVVQALDKALVSGNLTVAYSSLFNDLSDALMEERWIKEVSPCLQSSLMWIGTVELSLICSIFFLCEWATCDYRDCRTSPYQNVKFTGKRDLSQIYLAVSILKNKMDEMNNLSRSKSSSRVAMNNTVKGSSLNDPCLAATTMDDSSGLRSNAKTVEEKDRKDIFESPGPLHDIIVCWLDQHEASSAAGSTRVDALLVELIRNGIFYPQAYVRQLIISGITDKNETVLDVERKRRHHRTLKQLPGSSLFDILEETRNAEEQQLYEMMSTYSSERRLVLSELSNAPSFDASFRKQSDLQVASGGDKHGRVPEQVEDVKALVSGLLRFTYPHPVESESCQIKTEFQGSSFSSLTQLDTGEAKNGCEDCMRSKGQKLDDSGSPFQGLPLIQSDEEDIWWVRKGTKLHESFNVEPAQKSVKQTSRGRAKVVRKTQSLAQLAAARIEGSQGASTSHVCESKLSCPHHKPSVDGDNVKDVDHTRMANLNELGKSLKRLRLLERRSISLWLVKSIKQLVEVNEVTASKATNSIGTLSLQSDDKTVSKWRLSDEDLLSVLYVLDTCCDLVSGVRFLVWLLAKVRSGLGSSGQSGRNAMHIKNREHQVCQVSEALVFSSLLRYENILLATDILPEVLSASMNRNAVSATTRHPGSGAFAYVRYFLKKYRDVASVARWEKNFRTTCDQRLLAELDNGRSIDGDLVSSSGVSTGEEIDETLRQKLNGRTSRLLQNMKEIVQRQADEVQRNLKEKKILAAPKSSPSFEKEDSYQVAHDIVSGLIECIRQNGGANPDGDPSVVASAVSAVVVNAGHVIAKHMDFTGGNYQGVPSMNNSLNFVRHTLHVHINSLCLLKEALGDRFSRVFEIALAIEASSAVMSAFGSPKMHRNQFHPSPESHDAYGNHTSELNNSGKGFVGRNAKVAAAVSALIVGAIVHGAVSLERMIAALKIKDGLDILQLLKGLKISTNGVSRSTGTFRMENSTEALVHWFRILLGNCRTVYDGLIADILGESYVLALSRLQQMLPLSVIFPPAYSIFAMVLWRRYIFSREDVQLYQSLSNAINDMTRHQPFRDIFFRNTHQLYDLLASDVGDSEFAAMLETHSAEKKSHIMSFIPLRARLFLDALVDYNTPTITQGDGSSASDLSDSKDNELKLSERLVQLLDTLQPAKFHWQWVEMRLLLDEQALMEKAAAGKTALESLRSLSPNAESFALSDSEKGFTEVILSRLLARPDAAPLYSEVVHHLGKLQESLVMDVKWILQGQDAILGRRSTRQQLVHIAQRKGLSTKAQVWKPWGWSSLLNDVVGNKTSKRKLEGTSIEEGEVVDDAVDAKRPSKTTSHGVDRSFEGIRSINKYLTEIALAELVLPCIDRSSADIRGILSGDLIKQMGAISEHIKAIARNGAKQGGSVPPGNEVSSNKSSGRKGIRGGSPNIGRRAPVGNDSNPPSASALRGALWLRLQFIIRLLPVIMAERSMRHTLASAILGLLATRMIYEDADSPLPPTNATALRREADSLLEPPLDVLLCRPGDSLFERLLCVLHALLGNCKPSWLKSRSSIMSNMRTQRDFSAFDSEGAEGLQSALDHMELPETIRRRIQAAMPILPPSRHPSIPCQPPQLSLAALAPLQSCTSTCGPQQKSSSLSWVPTNISNRSKAVLPSQDPEMEVDPWTLLEDGTSCPSTSSGSSGSSGITGDHANLKACSWLKGAVRVRRTELTYIGSLDDDS >Et_3B_030267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31936319:31943141:1 gene:Et_3B_030267 transcript:Et_3B_030267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTRGNAFERFAAAFGSMGPRNLSLWFGDITFLLKRWGLTKGGTKAKSNVDPPTSFAPGSDQEGSASRLTGRHASVPLQAGPSLSFPTCQRPSASTLRPINSGQGGRRWWRSSHRLRRLLSPTHRSTTHLLAVRIRDHAVHHHHPSSTRRASEEQDKLGELFCDGEEPSGLDRATMSLDELVEKELPVSEAIQFPQSNSKFRTLSPGPIPIPAAPSIRSLIESVSNEKASVDVMASHQSENGSISTASSTVSLLESEKAAYEFLPQTPIKSTDAHLVEFSEAMRTVAKALRRVAEGKAAAQAEATEWKRKYELEAAQKQQSKIKGCSCCSSNNLEKLASQLTLETPVSDQSVCCGKHGICSHEVLQDEVPGPNPRSSHKMVGRKASFKLSWGCNGDKNGQHKHDFVSFEKGDITTAERSNKQILLKWESQPQTVLFITKPNSNSVRVLCAEMVRWLKEHKNINVFVEPRVSKELLAEDSYYNFVQTWDSDEETKLLHTKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFALGSLGFMTPFPSEQYRECLDNVLNGPFSITLRNRLQCHVIRDSAKDELETEEPILVLNEVTIDRGISSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPFNSRGQAWASFDGKDRKMLSPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKTQSFDGPRE >Et_9A_061225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22882778:22884427:1 gene:Et_9A_061225 transcript:Et_9A_061225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYKVVRLFQGKYLDKQRIKCEVYTLGGEHGDCWRPAFGGVSFRFCRAAELAISHAIWDKLLPVFANGFLHWLSSPLFIVKRPRAAILAFSVTDETFRWLWSPPFVAPGVRFEDVDGHLCMVRERVVSDCSMLEIWKLNDYSSGDLVEPQIIKVIGSFGNYGGSMKKVVIATSNRKVVVYDPVCKTLQTVLEIREAHSSYQTDHSALRVSLFKENLVTVHQTNEEIALSDPLAKAAREILLRCPGDYTVQFKLVCKQWLRLIENESFIHYYYAHNNMDRKPKIMLVGKGIGGLGFSFAPLHKLLQHAPNHDTWLDTNTEMEDYLYNPCTGYRRVYLTRGPFTHVTQNITGYDCSPKDHSFTVGNKNVGLGFNLLMQEHVIVKILYHWKDFKSRQYFVTCTISTCNIGSVKNIAEPPLPVNDMPPTYLAGMLYWMSDPRLGQSYERAIVSLDIATNMFGVIHCPSSIATWNGSNSCEAFVAELEYYVLFVQIQLQKN >Et_1A_007814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38583419:38587806:1 gene:Et_1A_007814 transcript:Et_1A_007814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARVVVFPVKGRAWCFLRPRASAAAAASAGGDGALPPPPTLKDLWRGVASAERTTPEKAEAVVDFVADKMNRAWIGFGSAPEGSMKNRIHSFGLKLLSRVRPSEVLLKSVTKDVRALEIVHPASINPRLVRRRLRHIAVRGESVHRKFLYGSVCLLPITSVFMVLPLPNIPFFWVLFRAYSHWRALQGSERLRLLVSECSDQWTVLEKKEDISSGKNGDDANFSPWNLRPSKKLDVFLKMRNLDEGLDCATISSICKEYDLDKIDVLKYRDLP >Et_7A_052399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7626296:7626817:-1 gene:Et_7A_052399 transcript:Et_7A_052399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRWLPLEANPDVMNQFMWGLGVPEDVGFCDVYGLDEEMLAMVPQPVLAVLLLYPQDRNKEAQASTASSVETKVRLNCMVNQWLLVGESALSYPDHLVSLKPGGITGWFIC >Et_8A_058458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8188941:8190850:-1 gene:Et_8A_058458 transcript:Et_8A_058458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLLVEFTVESRGDPSKNGSSGSHVRQSVSFSLVTLAPPTLRRYTVSSACCRHREMLLLSALAAPTGDTTVFHSNSRGAENSSTAFTNADKPSSGVKVMLKKSPEPPAGTKNSLTTPALGGVKDDSKVYVPRTETANCADEMLTVGWAAGNGKKWRRRTRNWLAEEAGGRKLVGERGVGGAGGSSRETSGLKFAFVTAGTLGEIKNGVSVVKLRAGESCGKETLEAAPQARNTAKAGVKEATAVDRRNGRMRRVTRTAIEAVVEAWLGCARDMSYNTSVVVGGGEDLHVESSVWTRPLSRKKASWLPVVVTFHGGGFCIGAYALPTFHAAIDDAAAVLLWLRGEAASADPWLSALADLDKVFVAGGVLAHHLNVRFFSGGEAPLSTVRLRGFVPLMPFFTGAEEPTRSELACPADAFLNRDMSARFLRLCLPAVVNPFGPTLVVVAGDDILRDRNVEYVRRMAEMGKPVELVEFPGQGHAFFSLRPWAGTEPVDELVRPVRVFKRFMEWTRCWLLR >Et_8B_060838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8847385:8847965:-1 gene:Et_8B_060838 transcript:Et_8B_060838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFGLGGTIGLDLGGIGGGDLPPRFRFHPMDEDLLGYYLFCKATPSTRSTSTSASHGSSRLRDSYAQRVGTGAPVYLAAILDYLAAELAGNAARDNKENRMIPRHVLLTIPNDEELGRLLVGVTIAHGSVLPNINPVLLPKKATERAGAKSPKKVKVATKSPQEVDSPTCRISAD >Et_2A_016071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20757362:20759575:-1 gene:Et_2A_016071 transcript:Et_2A_016071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSVSQNMAGQTAKPKEAGKDDRHEPEAEEASPASVLDKDSSDTSSDPSVQDASSVLDGDSGELKVVENLDGNGNKEKKTSQKSSTSDGFASAKVSDGTSSLRKTSGSATMSTRADFTESGKSSMCRASTGSDISDESSCSSMSSATTKPHKGNDSRWEAIHVVKSRDNVLGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKTSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSLTVIKSANPGLDALQRNNAAYCVQPACIEPSCIQPSCVAPTTCFGPRFFSRSKSKTKSKSKKEKSKPEVPNQENLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDVPKPVELDCRPKQVPSTNGKVAPVANHKGPDNYLEFEFF >Et_4A_032040.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:13204975:13204992:1 gene:Et_4A_032040 transcript:Et_4A_032040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCP >Et_4A_033283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2136058:2138372:-1 gene:Et_4A_033283 transcript:Et_4A_033283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNKAPDLTVGRESGAEASPSRQASEQAVQYTPNHRRREKQSPCGSGRRGVRGEAADAERSRGLGGEMAIRRPRRFLPLVAAAVFLLHAPLLVSCADIFALIYKGCANQTFPGGALPPTVAALSSALSAQASSTKFYKTSSSSAGGSSTAVFGRFQCRGDLSGSDCAACVSRAISAWSSVCSASVAARVQLAGCLALYEVAGFPQVSGVQMLFKTCGTGGGGGGDFEMRRDTAFSQLEGGVATSSGGFVATSYQAVYAMAQCEGDLSTGDCSQCVTQAVQHVEVECGGASSGQVYLDKCYISYSYYPHGVPHGGGLGGQQTAKTVAIVLGGAVGLGFLVICLLFARSLVKKKEGELVKKHRRTESSIAFLCYQYHSNCICYCLFHHLPAVPCYQTRVHFILCLQITDGRVWSIWTKALGHGVHFDRGVDVCFFKVVSGIQRGLFLALLPLAM >Et_9A_061407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11117302:11122629:1 gene:Et_9A_061407 transcript:Et_9A_061407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVAASPAMSAATTPSASSPTLPCLLQPYSRRTRRASTTTTVAFSARRFRGINPSSNPTRSRGRVDPAPARDPDDGFGALEVELWRLRRRVELRLHRLAADADEAYHDLRSSVRVVGGDRVVLTFRRSSLRFAAGALLGSLAFAVAARVLLGLALRAWWRGWGRGWWGGARGGGAVVRRRDRSLGGKEVVVAVSSPVAAAPTSRVPEPAREVRRRDSHGKVPEWWPEVGMGVIEPGPEMEKWQRLANRLVRAIIDNRITGRDYRYDDALQLRQLCKISGVKVSFDTENGRDSFYRAAANFVLDDCSRAAQDIGAARINGENPRDFLAGLAYNIELNKFRAATLVCASVASRTRTCFLQCWALEIQGKRPEALDELVKICRIHYIFPPEDNSAEMEMVAAGLKKNLQVAERVHLLSLYRSVCTAGNFKTAAEALGLDKTQQGKHKSIRTKDETQRGNRTIEEKQQ >Et_10A_001436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3134221:3142900:-1 gene:Et_10A_001436 transcript:Et_10A_001436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVLSLAKTLVDGTLTKAQSAIEEEAKLLKGAQRDLEFIAGEFQMMQSFLIQDVSQRYERYKTLGDSGANPAMETKQLAAKSWHAASDNQDLDYLTNLITSKYGTGDLRFISVWVPGGDLGAASIIREAYDNQESWRKFKRRAWVKLARPFIQEEFHESLLRQFAGKEEAEVILGTGVLNGEQSLVETTNTPEKEDYLVVVEDVSSKKVWDTIRKYFPTKGNTNRIVVVITQDYLVASVCTGLRNIRRFWADFSLCVFSKQDTLTGRASEMAELIRYVSKAIYYEEDLQVISVWGMAGAGKSALVRAILENTMHVWVDVTHPFELRAFCQSVYLQLKHAHSLQNDEDPVKACQKILNDHPSLVVIDNLRSKEDWDAIEEALAFRASNSVIIVITNDESIASHTAGREELLFNVKALEAGAAFDLFRSEVRLYGDLGKYYSSFTVCRDTNWTEDEIAKKKWFALVLSMCGGLPKVIVAVAHYFHGYPPSEWTACSLTDNFMLNLETRPVFACLHDVFRWMHHYISSLPENIKQHVAYLLIFPRHCSIRRRRLLMLWVAEGYSKDSEHNTSDEDAEQVFLKLMQLTMIQPSQNSAIEDMRINWYSASPFIYEYMISRPREDNITTAIELFVLSGVCRRTSQRRGRHLVIQESWYRDRIVFENIDFLKLRSLTLFGAWRPFFISESMKVLRVLDLEDASGVTNKDLQNILERLTRLKYLSLRGCREISYLPSSVGELRQLETLDIRHTSVVTLPASVAKLRNLQYLRAGTNTTPEDPSAASTVAFSLPNFRRCGQLAGDEVQSGVGKLTALHTLGNINVGAAGGKYILKELMDLTQLRKLGVSGLCKKNIKELCSAISGLYLLQSLSVWLEKSNQDWLYDRSHSLQVGGLQSLKLYRLAGKLPEWINLYNLRKLNLEIDMLSEDEVTVLGELPELRILRLRVNPPRHSTLHFGMKWCYGRLKVLEVASRSDLFLEIAPDAMFCLELLKAGCCSARSKLQFDGLHNIRELKEVWIIGSHDNTLCPNNSTMEQYLENTFAGRPKPVLKREEINPWAQISTVGNSQTHASAGGNRLYCSFHFLPRTPSSS >Et_10A_000100.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:23240625:23241365:-1 gene:Et_10A_000100 transcript:Et_10A_000100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSPPNGTPQQTAAEIGVSLRVLRRRAPCNFLVFGLGLDSPMWAALNAGGRTVFLEEDALWIASVKSKHPTLESYHVAYDTVLTEADALLELRSHAACTAQPDLATAAEASCRLALRGLPPAFGEAEWDVIVVDAEVPGEDERHLHRRHGGPRAEGTPTCSCTTWTGPWRTPSPRRSYARGTSRSRSAGSGTSSSPASGRRAACRSAREGAHLNLMHRTSSSVCGMHRLTTDLLLLLHLLILMS >Et_5B_043040.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:16717618:16718004:-1 gene:Et_5B_043040 transcript:Et_5B_043040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGFGTVAPAVASPAARSPMSQRAARPAHVPTATKSVAAAAKAEEQKGLFDLIFGPMFKEEQLLETDPILNKVEGKAPAAPAARRPRRRTPAATAGSASAGSSPRKSERLFVNSSWRCCVACHMVI >Et_4A_032597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11743289:11751252:-1 gene:Et_4A_032597 transcript:Et_4A_032597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFTTAPLRLSPQNPRSSAAPESTTPPPKKHGRNPSQTPAAMAGVGGSGGGGGDGDRSGWAELLHSSTKLLEQAAPTPHFPTLQRNLDHLEALSTKLKAKTIRAEAPSQSLSATRLLAREGINAEQLTRDLKSFELKTTFEDVFPSEATTVEEYLQQLHEVAIVSSIQEAQKDNLRSFNNYMMQVLEDDWQKEKRDFLQSLSRLSTLPKRNTNLARPALMPPSTSSPHASSGLPSTEVMPIPNKTIIENKSSVYAAVVRDLNDARGRSQSFRPATAFRAAYESLSVDAIGTKSVTMQKVWHLIQALVGEELPQRNASRKMSLVIGARCHLEWGHEKFILETINSHPALAALGGSVGNLQKIRAFLRVRLRDQGVLDFDATDVRRQPPVDTTWQQIYFCLRTGYYDEARQVAQSSRAAYNFAPLLAEWISTNGAVSPDTALAAAEECDKMLRMGDRPGRPGYDRKKLLLYAIICGSRRQIEKLLKDLPTLFNTIEDFLWFKLSALREYTTASSSNVLNEGMVPYTLDDLQSYLNKFEPSYYTKNGKDPLIYPYVLLLSIQLLPAILYLSKEVGEDGYHVDAVHISIALADHGVLPVGVGSEQRMGVMDACAEAASIIRQYGSIYLRNGNIGLALEYYAQAAAVMGGGEASWMGEGSNDQQRQRNLMLKQLLTEILLRDGGIQLLLGPSGMGEEGELKKYMMDWRSRQQFLLESAHRCQEAGLYDKSVEIHKRVGAFAMALQTINKCLSDAVCAMARSMLDGESRAAALIQSGNETLETARYSSEASVQDKDLISEQQTVLRQLEAILHIYRLARLGQTVDALREIIKLPFLHLDPKAPNVTVDIFRNLSPHVQVCVPDLLKVALNCIGNVRDTDGTLRAVKSKIANLIASNMSRNWPQDLYQKVAQCI >Et_4A_032904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15426682:15436871:-1 gene:Et_4A_032904 transcript:Et_4A_032904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVPAAETLEVQKERFDLGVFVGDLALDEEVTSDDESLEGLQRELDDCKNDKEVANILANGIKLREYTKGVENNIRQVELDSIQDYIKESENLVSLHDQIRDCDNILSHMETLLTGFQTEIGSISSEIKVLQEKSMDMGLKLKNRKAAESKLSKFVEDIIVPPRMIDIIVDREVNDEYMKTLETLSKKIKFIEADPMVKSSKALKDVQPEVERLRQKAVSKMFEFVIQKFYALRKPKTNIQILQQSILLKYKYTITFLKEHAKEIYTEVRTAYIDTMNKVLSAHFRAYIQALEKLQLDIATSTDLLGVETRSTGFLFSIGKEPLKSRSSVFALGDRINILKEIDQPALIPHIAEAKSQKYPYEVLFRSLQKLLMDTATSEYLFSDDFFGEESIFHDIFAGPIQVVDEHFSAVLLNCYDAIGIMLMIRIIHQHQLIMFKRRIPCLDSYLDKVNMSLWPRFKMVFDLHLNSLRNANIKTLWEDDVHPHYVTRRYAEFTASLLHLNVEHGDGQLDLNLERLRMAIEDLLVKLAKMFTKPKLQTIFLINNYDLTIAILKEAGTEGGKAQLHFEEVLKSNIAIYVEELLLEHFSDLIKFVKTRPAEDTASSSEKASVGDVEPLVKDFANRWKAAIELMHKDVITSFSNFLCGMEILKAALTQLLLYYTRLTECVKRINGGSALNKDLVSISSILYEIKKYSRTF >Et_5A_042148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6841550:6845941:1 gene:Et_5A_042148 transcript:Et_5A_042148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEYEYDKREFEFDRRLPPSNWIVVRIDGCHFHRFSKTHAFEKPNDENALKLMDTCAKSMLKKFPDIVFAYGVSDEYSFVFREETEFYNRRESKILSLCVSYFTSVYVMKWKQNFPDKELKEPPYFDARAVCYPNLKTIRDYLAWRQVDCHINNQYNTCFWMLVKSGKSEQEAQLSLKGTSSKDKNELLAQQFQMNYDDEPAMFRKGSSVYREKVETVVKANIKRTQPILTVAHVDIIGSEFWQNHQHILREGKCRHEFMKQFDMNHMLPPCNWVVVRINACQFNQFTTIHSFDKPNDVVALSLMNESASLMMEQYPDRLWLCFVFHEKTELYRRQESLILSSCSSYFTSLYMKKWKKFFPHKELMPPPRFEAEALCCPKLKILCEYLSWRQAECHTGNLYNTCFWMLGTLSKDKNELLFQQFQMNYNNEPAMFRKGSCVFREKVHGSDNGVTKERWDVKVDHVDIGAGFWRKHPWILGE >Et_2A_016777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28012789:28014848:-1 gene:Et_2A_016777 transcript:Et_2A_016777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASSLTAAPIAVFLALILLMFGGTEAKFMSNNITVVGSVYCDACSNNTFSKHSFFLKGARVLIQCNFKVNSTASEQLSLEAERTTDQNGVYKLDVPPVDGFACREGHELRSACRATLVRSSSAACNVPGQRGSSTQHIALRSRATNACFLNLNALNFRPAKREGALCHGGDSAGSGDAFGSSLFFWPFLPLFWPPFRLPFPAPGDGGAAGAGGTVSFPWPFPVPEWLVPFLRPPFLPFPLYEPAPSAPPPFFRFPPAQEAASRP >Et_9B_065973.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:1962355:1963362:1 gene:Et_9B_065973 transcript:Et_9B_065973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTTWLALACAALFVVAAAADHHASTLEVGFYKHSCPQAEEIVRNAVRRGLAREPGVGAGLIRMHFHDCFVRGCDASILINSTPGNKAEKDSPANNPSMRGFDVIDDAKAVLEQHCPRTVSCADVVAFAARDGALLAGGIDYQVPSGRRDGRVSVESEVLDNNVPAPTDDVRELVASFRRKGLTADEMVTLSGAHTIGRSHCSSFTQRLYNFTGRVGTTDPAIDPAYAAELKRRCPWPSSDDQMDPTVVPLDPVTPASFDNQYFKNVLAHKVVLTSDQTLLDNPWTAGVVKFHAAVEEAWEAKFAKAMVKMGKIDVLTGNEGEIREKCFVVNHH >Et_3B_029203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2289545:2292073:-1 gene:Et_3B_029203 transcript:Et_3B_029203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVAAFVVSGLILLSKPCARDMRLFLASLCQEIALSLLGFLAGLRLLGGVEATETMPLMPSFKRKRAADKAENADEAVAGEPSPLLDLPELTIDCILERLPPAELRSMAAVCRSMRERCRGDHLWERHMSEKWGRVLGSAARDEWRMHLASASEAGSSAGRASGGGGKRRRWLAALSCVCPVVSWIRPRADGGSGGKSSGPVLDDSIMSWYLSMESGKFWFPAQVYNREHGHVGFMMSCYDAELSYDFHTDTFRARYPPHGRRTVVMEDGVQWDRVRAPPVETLAHDLHASDCLHELRPGDHIEIQWRRNKEFPYGWWYGVVGHLESCDGNEHFCRCHLSDTVVLEFNQYTPGSRWRQALVNRKDHREEGNEGDGFYGGIRKLRGKDDISKWKQLWPTDILE >Et_6A_047268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3079592:3094706:-1 gene:Et_6A_047268 transcript:Et_6A_047268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEASMSNPLERMLTDETAEPTNLRLPLLETITDKFSHDMKIGTGAFADVYKGRLQNGTVAVKKLITFDVDDAKFQQEVDNMLRVKHKNIVRFLGYCSDTQGVVQKVNGRNVIAEERHRFLCFEFLPEGSLDNHIIDAAHRLEWTKCYKIIKGICEGLHYLHQKNIVHLDLKPANILLDHDMVPKIADFGLSRCFDEKKTRAMTLNLLGTVGYMAPESYVGVIALKSDIYSLGVIIIEILTGQKEYFVEEKGQKGYRQIEKVLESWNGQFDTSLGDTRLEHIRECAELAIQCTDFDPDKRPDTQHIIRRLAEMECVYDFLNTDSAATNIMKQSMYMVVYKGTLKDGSLMVFKRLCEKFTKGDNEFEAEAALLGKIRHPNLLAPRACSLLPNGDKLIVFDYMPKGSLSAFLHARGHNTPVYWATRMTIAMGMARGLVYLHDNKRTIHRNLTASNILLDEQGNPKIADIDLTRLMTADGNSNMLAAMGKEGYRAPELSKVEEANAKTDVYSLGVIILELLTGKSPAYRINGLDLPQWVASIINLELRRDPDGGTFVDDLTDTLKLALRCVHPSPNKRPKAREVLQQLEQISPASDGGGRKSEKGHVPLAAGGGDRSSTYGKVYKVWLSDGSLVAVKVMREEISKDQMAFGAEAALLGKIRHPNLLVLRAYYLGPKGENLLFLDYMPNGSLSTFLHYARAPNTPVDWATRMAIAKGMAQGLAYLHDDMSIIHGYLTASNILLDEQCNPKIADFGLSRLITADANSNVLSDAGGLGYRAPELEKLEDVNAKTDVYSMGVIILELLTGKSSADSTDGMDLPQWVASIVEEWNSKVFDPELMREAAAGSVGDELTDTLKLALRCVDPSPSVRPEAWEVLWQLEQIIPVSDGGFRKSEEGHVPLPAEGDDRSVREFSVSFSFVEVTLVLSKPSILQFSVARTARGLAYLHDDMRIIHSNLNARNVLLSGQCDPVIAGVGLFRLMTAAPNCSALSAAYLLGYRAPELSKVEEANEDTDVYSLGVIILELLAGKSRGKSTNGMDLPQWVASMVKKERTRDVFDRELMQDKLMRDGAEEDLTDTLELALSCVHPSPNKRPKARQVLQQLEEIRRMELVRTVKGQTQGSSSRRKSSRRPASKQRILMKHMGWL >Et_2A_017647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4631181:4640221:1 gene:Et_2A_017647 transcript:Et_2A_017647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDFRCRTRTKRPCHGDGKLVFKKSRPKLQFLSVPVDIQRLILSKLPLKEVVRTNILSTKWQFVCTFYPKLRFDGITMCSSRSIPGSEQYIKEFIRNVDAVLQQQNGEFVEDFELKFEFNSELITHLDKWNIAFDLIPAKFRGRYDRYLLPHELLASQSARCLQNLQLGFVSIKLPAKFSGFPNLRKLDLHFIDITAKELENMLSSCSNLEWLSVVRCHVNDELKVDIPLPRLLYLCVTYCRITRIKFNAVKLKTFVCAGRLYPIDLTQSLELKDAHFNVFDSLTLDYALVTLPTVLPSVENLTLQATATLKTPALLENSCKLSHLNSALPPLHIEIGSLSGAFHDVHIIISRICALRDLQRAQANLNFCCTLWKMPPCWRF >Et_1B_013967.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25827704:25829302:-1 gene:Et_1B_013967 transcript:Et_1B_013967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQLLLVAVLFLLPLVALLLARRRGAFGKGKNGARLPPGPLAVPVLGNLLWLTHSSSDIEPLLRRLVARYGPVVSLRMGSRLSIFVADGRLAHAALVESGAALADRPALTRELLGENGNTISRASYGPLWRLLRRNLVSETPHPSRVRLFSPARAWVRRVLVEKLRESSSEEEAPPRPRVMESFRYAMFCLLVLMCFGERLDEPAVRAIAAAQRDWLVFVANNTSVFAFWPAVTKRLFRGRLQTGLDARRRQKELFVPLIDARRERKKQLGQAAGAGGGAAPKRDTTFEHSYVDTLLDIRLPDEGDRALTDDEMANLCSEFLTAGTDTTSTALQWVMAELVKNPAVQEKLYGEIRATCGDEQEEVGEEDTHKMPYLKAVVLEGLRRHPPAHFLLTHKAAEDVEVGGYLIPKGAAVNFTVAEMGWDERVWERPREFVPERFLPGGEGDGVDVTGSREIKMMPFGAGRRICAGLGIAMLHLEYFVANLVREFQWKEVPGDEVDLAEKREFTTVMKKPLRAILVPRTPQSTATT >Et_2A_017924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:798597:802198:-1 gene:Et_2A_017924 transcript:Et_2A_017924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTPLYRKYRDALRHVRAPSGAPSPSGGAGGSGGPVIEMSSLLRSDRTYAPLSTDDPSGSSRSAVTVGLPPAWVDVSEEISANMQRASTKMTELAKAHAKALMPSFGDGRDDQRTIEVLTHEITDLLKRSEKKLQKLSMKDASEDSNVRKNVQRSLATDLQNLSMEFRKKQSSYLKQLRQQKEGQDGVDLEMNINGTKSSFDDDEFEDVGFSEVQMSKIKQSEAFTREREREIEQVVESVNELAQIMKDLSVLVIDQGTIIDRIDYNIQNVAASVEEGYKQLQKAERTQKKGGMVMCATVLVILIFIMIMLLILKNIIF >Et_6B_048347.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:14725587:14725781:1 gene:Et_6B_048347 transcript:Et_6B_048347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLQKLELRASSPPALTSWELTKTTNPRAGWGCRDGASSEREPDHASGLWPLNNHVLDSVVR >Et_8A_057449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3102525:3103151:-1 gene:Et_8A_057449 transcript:Et_8A_057449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SFSCFGPIHSSPLPEISLRPRPETTCPSTIFSSPTNARRRGRSRIPPPPPAAMSSMMSGFSQFVNPRRNPLARLHMHTISSRLRKYGLRCDDLCDPYFDQHIKEALGRLPTEAVDASIQRLKRAMDLSMKHQYLPENLQVRPLPSPPPPHALSWISPMMRIWIVGAFA >Et_7A_053066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:661298:664544:1 gene:Et_7A_053066 transcript:Et_7A_053066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDWQELAHTAAIGLLFAFLAAKLISTVIAFKEDNLRITRSPPSSPTAASRSSARPDTPGPEVPPPPSRDTHGDDSSDGSDSDWEGVESTELDEEFSAASAFVAASAASGTSVPEEAQLRLYGLYKIATEGPCTAPQPSALKLKARAKWNAWNKLGAMPTEEAMQEYITIVEELFPNWAAGSSTKKKGEEDSITSASGSKGPMGPVFSSLMYEEDEGNDSELGDIHVSAREGAIDDIVKQLAAGVEVNTRDSEGRTPLHWAVDRGHLNAVEILANAKADLNAQDNEGQTAVHYAVLCEREDIAELLVKHHADLHIKDEDGSTARDLCSSNWSLDRENVLQNLAVLAELRTK >Et_1A_009606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9318046:9319924:1 gene:Et_1A_009606 transcript:Et_1A_009606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSMDPQVTVHGDWVSAVVPLMKLLCLTVIGLVLANPRVQIVPRATFKLLSKLVFALFLPCLIFVHLGPSVTIDNVLHWWFIPVNVLISTAIGCFLGYIVALICRPPPEFFRFTVIMTGFGNTGNLPIAIIGSVCHTEDHPFGPGCDRKGIAYVSFAQWVAVILVYTLVYHMMEPPMQFYEIVGEGNEIQEEPEQISSSNFSRPLLHEAEWPGMVDKVTEHSKTPFIARVFMSISGSSQNTFPDIDFTEEGTSGGGPSSPKSLRCLAEPKVVRRMRVVAEKTPIQHVLQPPTLASLLAIIIGMVPIFKDFVFGADAPLSFFTDSLEILAAAVVPSVMLILGGMLAEGPKDNALGIRTIVGIIVARLLVLPCVGIGVVMLADRLNLLVPQDHMYRFVLSLQYSTPSAILLGAIASLRGYGVKEASALLFWQHICAVFSLSLYLILLVSHTAETVPTRQIQTESSESKPSWGGLHRPRVCMQLKYT >Et_2B_019920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15207425:15210488:1 gene:Et_2B_019920 transcript:Et_2B_019920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGHRANAVATFAVTILAAMCFAASFSDNFNSAAPTASVKILNINWFQKEANGNDEVFVFVAAEYETEQNALNQVSLWDGIIPAKEHAKFLIHTTNKYRFIDQGSNLKGKDFNLTMHWHIMPKTGKMFADKIVMTGYRLPEQYR >Et_3A_026099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4289542:4293608:1 gene:Et_3A_026099 transcript:Et_3A_026099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADSGAAGGSAAATAAKWRSDASRAFQYYLDRSTPHSTGRWLGTLAVAAIYALRVYLVQGFYIVTYGLGIYLLNLLIGFLSPMVDPELDPSAAADGPALPTRGSDEFKPFIRRLPEFKFWYAITKAFVVAFVMTFFSVFDVPVFWPILLCYWIVLFVLTMKRQIVHMVKYKYVPFNIGKQKYGGKKSGASSSSSKD >Et_5A_041462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23124249:23126792:-1 gene:Et_5A_041462 transcript:Et_5A_041462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRDGERQASPWRTPEEDGDSSSFPSAILLFALVGATATTAAVGQLRRTVNWFYTQLSRSEPFVYWEDVPRRPNRCGEAWEQYYKRMRGRNEDQRERVERIRRMQDEFKRERSKCRDYRTGESHNPNFYQHSQRDDWYWDAQAFYANRRTKFRSMPRETMSYAMSHHYSILGLDRSRSEPFSDAEIKNAFRRKAMEYHPDQNKNNKEVAEAKFKEVMDSYEAIKSERQNGSC >Et_3A_027026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:310969:316968:-1 gene:Et_3A_027026 transcript:Et_3A_027026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNKLSAANDMMDFNNQRNTRSNGALERNQSGMSANPSAQSKPAVHSPIPSDKKSRHKKSDPDDKTFPNSTTSRVTNPVPPMVSETGAPTMKASSRNVRPARQDIIDTSRSNSLDSCTTSNIKRHTGGDCRWEAIQLASCRDSPLSLIHFRLLKRLGYGDIGSVYLVELRGTNTFFAMKVMDKESLITRNKLIRAETEREILGLLDHPFLPTLYTHFETDKFYCLVMEYCCGGNLHSLRQKQPNKHFSEQAARFYASEVLLALEYLHMLGIVYRDLKPENVLVRDGGHIMLSDFDLSLRCSVSPMLVKSSSVHAGANGVEKGLVQAQGASQGCIQPSAFFPRMLSKRNRKSKSDFNLNGSLLEFNAEPTDARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGMTPFKGNSNRATLCNVVEQPLRFPDSPPVSNVARDLIRGLLVKDPLKRIATKRGATEIKQHPFFEGVNWALVRGAPPPSVPDPVDFSQFGTKEKKGPGSTAATTPSSLPTGIAAAKTTTIARDFVKSAKHLSQAYLVPFLTDDPTIVPDNTRPWMETNTCSMVEFDLAHFSHPLPHQKTVTAFESSMAYDGRNRGQNRLLGYPQIRTINKSSKCRTSHGDKKYG >Et_8B_059951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4293267:4293817:1 gene:Et_8B_059951 transcript:Et_8B_059951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TAVSGVEEALNFLEVENDVNLILADYFTEGLRGYDLLTKVKESSKLKHIPVVITCTEDDPDLIKKCMEGGAKGFFLTPLKFENVPTLLSFI >Et_5A_041765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26858580:26865965:-1 gene:Et_5A_041765 transcript:Et_5A_041765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGGGRGPGPGGRGGYGGGRGIGGGRGGGGGLHQQPYGRGGGGRGGVYQQRGAGAGEVHARPAATASPSARPPPPRTAAASSSSAPAPPPAAASALARDMAKLAVADPAPRPGGAPAPAARSEADAAAYQKPQLPPVSSKGIAYPARPEVGKMGKKVVVRANHFLVQVAAADIVHYDVSINPEPKAKSTNRVLISELVKAHAATSLGRKTPAYDGRKSLYTAGELPFTSTDFVVKLGKERREIEYKITIRRVGCANLYHMQEFLQGRQRDSPQDTIQALDVVRRESPSLNYVTVSRSFFSKAFGHSDIGEGLECWRGYYQSLRPTQLGLSLNIDISSTAFYKSIPVIEFVQNCVRGINSRQPIADRDRLKLKKALRGVRVETTHGKRSVYKITGITSVPLAQLNRYKYHLQYTAWPCLQSGNDSKPTYLPMEVCQIIEGQKCPKKLSGQQVTQILRATCERPQDRENSILQMVSHNNYSADRLAQVFGIHVVNKMAEVEARVLPPPMITMNLEGRRLVLQVLQWNMFNKKMVNGGNVQRWTCLNFSRWQTDEARRLCGDLAQKCNSMGMVFNRTPVTEICSASPNQIEAALRDVHMRAPDLNLLIVILPEITGHYGKIKRLCETELDIVSQCINTKSKINNQYFENLALKINVKVGGRNTVLEKAVQKNLPFVSDTPTIIFGADVTHPAAGEDSSASVAAVVASMNREVTTYKALAGNYIRSLLDRYRSRERHASKWWNDTGATGVVLSKDWPKTWKDNILQACASIQEGYLPKVTFVVVQKRHHTRLFPEDHGRRDLIDKSGNILPGTVVDTSICHPSEFDFYLCSHAGIKGTSRPAHYHVLFDENNFSADALQMLTNSLCYTYARCTRSVSVVPPVYYAHLAAFRARHYDDREGTDVASSVVSAGDAGGPPAFRRLPQVQDNVKNVMFFC >Et_2A_015004.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:25765261:25765524:1 gene:Et_2A_015004 transcript:Et_2A_015004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEMPSGVRHQGNDCAATGAPHQTGHPKLPHGAHFLGGVAQSSFFGAQCIFTVFGLGHLIFVFEHLLSIYNERQITCHYAKKRITI >Et_4A_035195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11539275:11540049:1 gene:Et_4A_035195 transcript:Et_4A_035195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGKMVDLYVPRKCSATNRIITAKDHASVQINIGHLDENGLYNGQFTTFALSGFVRAQGDADGSLDRLWQKRKAEIKQ >Et_3A_024713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23582458:23584691:1 gene:Et_3A_024713 transcript:Et_3A_024713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWGLMSKCTMRVLHPVCSNRVVVAAPQHDPAVVANAFDGGDHLPLEVTGRDVPAARHRLVGGRQPEVESPGLHVASPLAPRFHQVGVVAVRVLAPQVVVELHPHDVEPHRLQRRPDRRVRVGALRRRGEQHLPLGPERAGQVVVVRRLTGLDVEVDAVQHGVAERAKRRAGAAQEVAGHAIGVFAGAEAVVSAAAAEGEEHLDALRLARLDDVGGNFGGAADGAGAAVSREVEYRVLEVAEGAEERDDDIGVVTGVAGVSQAALARVLAPVHGDVDDGAGTGGCGGVRQEQAGAKKLAAAGHWRELR >Et_9A_062619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24493162:24496801:-1 gene:Et_9A_062619 transcript:Et_9A_062619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLRKSFKTINGKSPIVVGPWGGTGGYPWDDGVYSTIRQIVISHGAAIDSIRIEYDLKGSSVWSETHGGTDGGSDTDKVKLDFPDEALVSVSGYYGSVCGTPVIIRSLAFQSNRSKYGPFGTEEGTPFSLPVSSGKIIGFHGRSGSYLNSIGFYLKQVHFLNPLNRPASPKTLPVPSGYGRNGYSSGAGYDNMFLAVQDRGDSYSVYASHQPKQQYTSPSPDYTDGALQNKMVSFPSYYGDRGAAAGPHMYGPWGGSGGTIFDDGVYTGVWQINLTRAVGISSMKVLYDRNGQAVWGNKHGFSGGVPSDKIVFDFPSEVLTHITGFYGSAIIMGPTVIRSLTFHTNKRTYGPYGDECGTYFSTNFTNGRIVGFHGREGWYIDGIGVHVQEGKVAPQRYSSRPKATTSPSLHYNMLAQAQSDSDNEVAYGMVKEPVPMGPGPWGGEGGRPWDDGVYTGVKQIYIMRGAFIGSIQIEYDRGGHSIWSARHGNSGHITHRVKLDYPQEALTCVYGYYNTNRGEGPRVLRSLTFITNRGKYGPFGDEAGAFFSSATTEGKVVGFHGRSGQHLDALGVHMQHWLGDKRPAPKYVLSKYLF >Et_7B_054790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4420822:4424307:-1 gene:Et_7B_054790 transcript:Et_7B_054790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDPLHIAVRPSSPPSDSAQSPTSRGLQILALSMAARRQAWQFAAALVFFHASEYVLAAAFHGRRNVTATSLLVSKQYILAMSFAMLEHLTEILLFPEVKEYWFISSIGLLMVVIGEIIRKLAVVTAGRSFTHVIRIYHDDQHQLITHGIYRFMRHPGYSGFLIWAVGTQVMLCNPVSTIAFSLVLWRFFSKRIPYEEFFLRQFFGSEYEEYAQKVHSGLPFIRKEFAALTG >Et_3A_023722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11296015:11297528:1 gene:Et_3A_023722 transcript:Et_3A_023722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVDAKQTVRMNPGEGEMSYARNSKFQSAEQSRMKPLIEGAIKELCCTNTPLANGIVIADLGCSCGPSAITLVSTAVDAIHHQYGQLQQPLPELSLHLNDLPSNDFNTVVKHLVAFQHRLNGENRQDVLSPLLSASIVPGSFYGRLFTTASVHLFLSSNSLHWLSQAPEDLVKNGIPIYHSDQQVWQKMRPVVLDAYAQQFRKDFMLFLRSRAKEMIPGGRMVLSFSATCSIGQTNKLTQTWELVALILDDMASRGVLDKEKLKTFYIPLYAPYETEIKEIVEEQGSFSIINLQMHDSVGVDKALINPKMVAYGLSAAFAPIIRDHFGSSKDIMDAFVRTAEQRFTVANLQDELANNPRVFIAVTLARNA >Et_1A_007744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37834111:37835265:1 gene:Et_1A_007744 transcript:Et_1A_007744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGKTMSHLQILALFFLCLCITAASDDPAFYSKFPPFITSGPHEYTRFADVTRHCQSVLSSAGELWVPGPDQARFPTDSLSFMNGDWSQDAGQAPLLPFQGTYADDAAAGPEAVSLASFAVTHMDTAPPRRQRPSTGLLTLAISRNCCCSSMEPHARPEFVLRPGVAKLHIQFEGVYTEKKPLSVPATDEDGERVLCMLGKAVLPVRGSNSSDPWDWAKSNDGKAQPPVMAVDDILLVLRFPRTRTLATRAVLGEMTSTARRWYYGRGGLECEKGSGKHGAPGGWAARRTGLGATTLSAEGELDASTGRLCMVACLGVEKAEACRHRVTLHPHDVLRHAPHHRRGADNIHGRRPLSGNVPAGCEPQAKLATV >Et_8A_056978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19704365:19708662:-1 gene:Et_8A_056978 transcript:Et_8A_056978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEPNSPGPTATPPSPPPEERHGDAPNAPAASGDSAVPSDAGREIAALDKQLAVGGGGAGGEERKPAAGASGGGGGKLVAEAMRKYAAPRSSRFHGVTRLKWSGKYEAHLWDNTSQVEGRKRKGKHGSYVTEEQAAKAHDLAALKYWGTGPNTKLNFNVTDYEKEIEIMKTMSQDEFVAYIRRQSSCFSRGTSSYRGVTRRKDGKWQARIGKIGESRDTKDIYLGTFETEEEAAEAYDIAAIELRGVHAVTNFDISNYCEDGLTKLEGPSEVAKLEDRTGYYKDPYLPQAKKHAS >Et_2B_022454.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22493799:22495370:1 gene:Et_2B_022454 transcript:Et_2B_022454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQLNVLATLDQAKTQWYHFMTIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTDPGLKDPGTLPPNVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSVASGLSIGPSPRAVIGTLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILFGTIVALAVSAAFRNAYPAPPYYVDAKASLVPEADYLWRIVLMFGTVPAALTYYWRMKMPETARYTALIARNTKQAAADMSKVLNKEIDAEVDLAAAGLVDSWGLFSRQFARRHGVHLLATCSTWFLLDVAFYSQNLFQKDIFSKVGWIPPARTMNAVEEVFRISRAQALIALCGTIPGYWFTVALIDVVGRFWIQVVGFLMMTVFMVALGAPYEHWTRPANHTGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQDPKKPDHGYAPGIGIRNALFVLAGTNFLGMLMSFFVPESKGISLEELSKENVADDETARNV >Et_4B_038933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6072316:6074721:-1 gene:Et_4B_038933 transcript:Et_4B_038933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGLVGDSGRLFGFTVTVERQFDLVIVAIASSVQPATVHAVGATAQILRLRIAAAVATLTVATLTVSIAVATHPVAIAIATHPVAISVSTHAVAVAAAGHAAGERRVASGAAAGQRRAGGAVEGAPDSAAGQRSASGSSRSGRGKSGGGSSDDGSAPVGAIVAGVVIGVLAFGLLMAMVACVCCARRKKKRPPHMHMPYYTDEHGNVFFANSMPKWQQNSSAMDGGHGGGGGWHAPYSAASGEFSGSHGPPPSPGMPALGFSKSAFSYDELASATGGFAAANLLGQGGFGYVYRGVLPGSGTEVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVTLVGYCIAGASQRMLVYEFVPNDTLEHHLHGKGVPVMDWTRRLAIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDENFEAKVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGKRPVDPTNYMEDSLVDWARPLLARALSEDGNFDELIDPRLEDKVDRLELERMAACAAAAVRHSAKRRPKMKQIVRALEGDASLDDLNEGVKPGQSMMFSSSSEYDSAGGNYASNISKFRKVAFDSSEYSNEYSATSEYGLNPSQSSAESGEPPRRQRH >Et_3A_025838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33208612:33214024:-1 gene:Et_3A_025838 transcript:Et_3A_025838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHHGQPDGDGRRAGAAEEIKEQDRLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDVCWAFGALGFDDYVDPMRRYLNKYREVEGDRAAAAASSRGGADHPGGSSSGAGAGPSGGGHFMFGAMDRSDNNNSSRHLGQSGSSQLQTLVRASSSASTDHADGGGVNSAQDNLLPIANVGRIMKDVLPPQAKISKRAKETIQECATEFVGFVTGEASERCRRERRKTINGDDICHAMRSLGLDHYSDAMRRYLQRYRESEELAAALNSSSGREIQIDVRDELSIFRGNEQRRDRN >Et_4B_036904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12538454:12540942:1 gene:Et_4B_036904 transcript:Et_4B_036904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GDDAVTDLPSSSAGEHSERNPKDDEYARLVTPTQQATSDIGTSILPEQPRSRSFIWWMKVLLGCFLLILVGYVFVKWGIPFAFEKVLVPMMQWEASAFGRPVLAIVLIASLALFPVILVPSGPSMWLAGMIFGYGWGFLIIMVGTTIGMVVPYWIGSLFRERLHAWLTRWPQQIALIKLAGEGNWFQQFRVVALFRVSPFPYTIFNYAVTVTEIKFNPYLCGSIAGMVPEAFIYIYSGRLIRTLANMKYGNYKMTPVEITYNIISFIIAIVLTIAFTVYAKRELNDIKMSEGVSKEVCRPVGSGALKNHHHQGRSHSHSIELDVV >Et_3A_024892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25094246:25094980:1 gene:Et_3A_024892 transcript:Et_3A_024892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTGASRRRRAPRPFLPLVVLVLLVSSLPPRASALRVPLRQITNLVSLSHSLLSRVAATRAARGDAAAAARARRIASLLSYRGAWGLGWDYLRHYAFSSAAGCGLPCAASAARLLAAAAEASRLRSATDVAHWMRRHYGDVRDAAAQILNGLVVAFSEQGPVREVVMDVKWEVEEGELLKDCLEVGAKDLQGLLVIAKDLFSGASRASSQHSEL >Et_8B_060326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9589886:9594684:-1 gene:Et_8B_060326 transcript:Et_8B_060326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMDIVGKSKEDVSLPKSTMFKIIKEMLPPDVRVARDAQDLLVECCVEFINLLSSESNEVCSREEKKTIAPEHVLKALSDLGFREYIDEVYAAYEQHKLDTLDSPKAGKFTGVEMTEEEAVAEQQRMFAEARARMNNGAPKPKEPEQEQQQQPQQPPQTQLQMHAPPHHPMQPQLHPPPQQSVQSQLQLHQPPQPSLQPQPQVHAQPQQPQQLQQHSLPEQPSQSQPQQSSQVQHPLPVQPSQPQTQLLPHAELPSQVQAQQHTQAQPQQLSQVQPQQLPQPQVQLQNQPQAQPQPQVATSTLLGGSEGRRPWSVARLSRMSTSPFSHRNWMLSSSMISPHRRTNSAGISLPSANVAELSSLPCIPTTVLNHIRLPFLPGNTRVSFRGPRIVRTPPSGSHSTSMPSARARASASALSGVAKASSHPRPRMDLVSFLLSAVNVYRRPRESSSSTISGNSASARSVTRKLGTNGVSPPEGGGVSQNRITFPGNSLI >Et_8B_060156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6498149:6499190:-1 gene:Et_8B_060156 transcript:Et_8B_060156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDSDRVVKRLPVEKDRQGLVLTRLDLVCIADHNGTNCSVVDPATGVVTRLPETPPEEEDWSDDSSLTTDDWQWSMFSWVCAWTCSMGECKVLRLSEESNWDNGREQYHTISSVITVDENAGCARWRRTGSPAFILDRHSNVVVGNSVYFFWCTDDYPDEDQQESIRTDGIDTESSLWVPEYRVDTREWVIADLRVAKPMLLLDDGRIVIFCRHKGVLALYDPKGATAYSELESGRLDEVGLCTGSVISLQSVAQI >Et_7A_051556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20282248:20282979:-1 gene:Et_7A_051556 transcript:Et_7A_051556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKETLPRDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYELPLQRLHFSDGRPKSPPSLCEFIEYIDTEQTPENIAHVYRVAERARRHWFDMEVEERREEERRKMRQKEEERRREYEAEQRRRNEDVNKRKTASLTRHARPKGRGCATELAVLGQQVLMHLERENIPVALNRHLVVLVCMKFISVRHFRSIIVKVDYFIFM >Et_10B_002931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14021083:14029406:-1 gene:Et_10B_002931 transcript:Et_10B_002931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAALLLRPTAFVSDLEIARRRDRIAKRARDGAVALREEADARGWSSAVQERSLALRRRRGPASCPRRGCGWVVGAADPAAAAGADKHGCPRDEGSTPGLGALVGGAAAEETATDSYDIRRRRDRAAKRARDGTVALREEADARGWSSAVLERSLALRRRRGPASCPRRGCGWVGGAADPMERRRDRAAKRARDGTVALREEADARGWSSAVLERSLALRRRRGPAACPRRGCGWVVGAADPAAAAEADELVCHRKWR >Et_4B_036255.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13210164:13210277:-1 gene:Et_4B_036255 transcript:Et_4B_036255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDQDLGFFANFLGVFIFVLVTAYHFVMADPKFEGN >Et_8A_056833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17593003:17595607:-1 gene:Et_8A_056833 transcript:Et_8A_056833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPAAVDGDEAVFLELSRELKDEGVRLFNRRDYEGAAFKYGKAAQLLPSAQRVEAAQLRASIALCYMRMSPGEYHRAIHECNLALEVAPRYSRALLRRASCFEALGRPDLAWCDVETVLRWEPGNRAALEISKKVRADLEAQGIAVSLDGENVPPEGGKCKKSHKNLVSVAEGQGENHVAVDDKRGNYVVSPEEEAAGTKRDSEEKHLGKMELNGGHGIEEKQVDDQGQINGIGIQHTIGNETKGLEMQENNVEKKEEKDTDNKRGRFSSGKKRRLKEGKQKSHSYAKSENHVEDCSDKHTEDNCIVVNGENVKDVKLVFGEDIRCAQIPVNCSLSQLRQIVQNKFPSLKALLIKYKDKEQDLVTITTLEELRWAHNQANLEGPMRLYVVEVDPMQELGVDGVKRRPSSASLEKNCYALTGNGMIWHDDEHHVDEWMVQFAQLFKSHVGFSSDAYLDLHEIGIRFYYEAMEDTVGIEDAQEIFQVAELKFQEMAALAFYSWGNVHMSRARKRPCLPDHALLEFVLEQVKISYEWACTEYAKAGAMFQEAVKTKDEAFQGASNIQSHINILWATILYERSVVEFNLGLPSWEESLTVAMEKFKIGGASLADMNVIVKNHCANETTREGLSFKVEEIVQAWNEMYDAKNWQNGAMTSRLQPIFQRRAPKLHHILEHLHYTRDE >Et_1A_006369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21423518:21424771:1 gene:Et_1A_006369 transcript:Et_1A_006369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAAEKKEEEKPAEPQEIVLKVDMHCEGCAKKVEKALLRFEDNHSKGSRTVVVKSWTADPAKVCERVQKKTKRRVELISPLPSPPEEKREEAPPPPPEETKDEPPKPITVILKVRMHCELCAQLLQERITKIEGVESAVADLPNGQMIVKGVMDPAVLADSIQRKTRRRAAIAEEEKKPEDENKSEEGEKKPEEKEPDDEFKRYEFGPPVQNFVEYVYPYAPPQTPFLEEFGDEDPNACAIV >Et_1A_008142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4722326:4723073:1 gene:Et_1A_008142 transcript:Et_1A_008142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLVPRPDSSPVLCFVATPFPSHPRGKFCPKHHKQGQNFLRPVLKDDTGRTIPKFGEWDVNNPASADGFTVIFGKARDEKKAPPTKGHISNRSADSKDSRTNKMSSYNSRTSASKKWFCCVSPSPTQS >Et_9A_062567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24044749:24048085:-1 gene:Et_9A_062567 transcript:Et_9A_062567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TARTPGTARGFGTRASTSSGIYFDGYAKVARMAFHDGRAVGANRQVESDASKAARERGKVCHREFSEVPKLQDGLGAPLTDNANAGVHPDTLGTVGKFRYTDGLGGLVHSAHPVVTASEVWTLVPDLLRPGYLVVRMAAESNERQEGRRGVGALRFVVVPEMPLRYSARNLLRAEPTPLYKFEWRPEGGSYMQVVCKASGRTVASVEVPPFVTFHYINAYEEAGVDCCEHNADTSILDRLRLDNLRSFAGDDVLPDARVGRFRIPLDGSPSGDLEAALDPEENGRGMDMCSINPAYRGKKYRYAYTPAARAAPATSPTRSPRWIWWRRRRRPGTRMARCRPSPCVVISLVSAKDGSGYAVLLDGTTFQELARAKFPYGLPYGLHGAGFQEHEMHNRGSNGPQAPQHDLSTRLFERQLKKPHQSRPAVLCLLRPPHDLNTFYYSSMPSSSSTSMIFIFFTACAASPLATPFGTWMRMSDFCPVSAFTSPPLRSTL >Et_1B_012859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3589238:3591181:1 gene:Et_1B_012859 transcript:Et_1B_012859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENIMKKWIPELQHYAPGVPIVLVGTKLDLREDKHYLMDHPGLIPVTTAQGEELRRQIGAMYYIECSSKTQQNVKAVFDAAIKVVIQPPTKVREKKKKSRQGCSTL >Et_4A_033410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22472424:22478941:1 gene:Et_4A_033410 transcript:Et_4A_033410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTYHIGGGHPSRGLCGHALPHHLTAQKKRQAQQEDLLRSRSSKRWSARARREEASGGCRSSSSSAGGEGVGAVGECWWWMAVEYHCCEAGFFEHIAIIIVLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTEKDRKHAAKIMPVVKNQHLLLCTLLICNAGAMEALPIFLDSLVTAWGAILISVTLILLFGEILPQSICSHYGLAIGAAVAPLVRVLVWICFPIAYPISKLLDYVLGHGQTALFRRAELKTLVTLHGNEAGKGGELTHDETTIIAGALELTEKKAKDAMTPICQTFAIDINAKLDRELMQEVLEKGHSRVPVYYEKKTNIIGLILVKNLLSVNADDEVPIKSVTVRKIPRVFEDMPLYDILNEFQKGHSHMAVVIRKNNPTYPAEPPASASNGGETFEVSIAIDDKNNEKVVKNLPPPLRRWKSYPNTQSQNSNRGSRSKKWSKDQSDVLQIHKEPLPTLSEDEEAVGIITMEDVIEELLQEEIYDETDVHEEQTEQTEQ >Et_1B_010877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1578727:1582007:1 gene:Et_1B_010877 transcript:Et_1B_010877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATRVSNPPAAAAAAAAKNGKGAFNPAGVLGPLGLVLGGASFDAFSGDFLGFGAGEFLSRIFRLDPGRYGGFCGVVSSNGGHSDPSCSASVYQSFRPVTRSMTRVSPAIVASPDLKGGGSAGTSSRKSISDASFSIQSAALRPSVTRARTPHKVTTSAWKPLTQPVAMSEGQKCASFTTMNPTAKRSRVASSRAAKDSTSHSASRANANVLNGKKNRDEETMRPVDRSDVAVMPSPTKKLQICKLPSDACSKRVSSIRILGTKIPAPLPTGKSQIETGNNSAIVSAEVVSANANDISLSADAAVLLPAQPLQPETAKNSSIISESVSKEASQVQPLSSKVVLPRQKLRTDFQKMPSYVPMAMNQASGSAGATAPMALPKFQKIQTDFQKMPSNISMATNQASGLTAATAPMALPKFQKIQTVFQKMPSNVPMATNQASGLTGATAPMALPKFQKIQTDFQKMPSNVPMAANQASGSTGATAPMALPKLQIGNVKDTSSIISNPAYARALLIKQQERLLQQYTLGSTQQQQQQELYIKGPALPWIDETPPIEPLGTRCQLCKLDVAFRPRKDAGRDASAPPVVAVLACHHAFHSSCIESVYGLLEPSDCIACVEAGVIN >Et_9B_065062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20943083:20944976:-1 gene:Et_9B_065062 transcript:Et_9B_065062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CLLLTKTHDPTFVWKICGFSALLERGATFALSDYFLCCGYKWCLYVTPMHKQSCDTIPYVALSLCVIRSNLNPEDAINTEFELSVFNHSTRMYSAREDSYSFHVKKTLSRTKCLIPLEELLKSCEFLVDDSCAFAVRILKVEVISSEKKPAVFPEKSITAIQNLFLKKKELINVTYSWTLKEITWTLKATLGLKHSARSPVFTVGGQKWRIKVHPLGDISSTRSLSLYLQMVDPKELPHESGMMIELTLSILDQKHAQNYSRPGRFVFGRNVCWGWPDFIPLETLMDPSKGYLVGSKCVVKADLTIIGSSIDGKISDYLWKE >Et_7B_054006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14149025:14151490:1 gene:Et_7B_054006 transcript:Et_7B_054006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALSRVALMAAADGNLRLLKKAAKQVDLRVITGADGRNALHVASAKGRVDICRFLIEDKEGPGLDVNSRSATNGHTPVLFAASEGHLPVLRYLLTRGGDPAMPGDGGLTPLHAAAVNGQCDAVRLLLSKGAPVDPLANDFTPLHFALAGHHHQALGVLLDHGANAGADVNFTTPYGQNALNSMVDFCLPDIAELKEARADPNYPDKVLYLRTLDDNLANIVKFLLEAGADANIPNEHGKIPVMFAAAWGPRKLVEILFHGQDQFHLCQSGTLMP >Et_2B_020124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17056929:17058912:1 gene:Et_2B_020124 transcript:Et_2B_020124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEYEDLEAKLLAAGKPPAKADTTGNSYALVCALLASLASIIFGYNRGVMSGAQKYVQEDLGVSDGQLEVLIGLTSVYSLIGSLAAGWACDRAGRRRTVALAAALFLAGSAVTAAANGYAVLMAGQLLSGVACGFGLVVAPVYIAEIAPAASRGFLSSIPEIAGNSGILLSYIADFALAGLPKTLSWRLMIGIGAVPPLFLAASALLVMPETPRWLVLHGHPDEARRVLARTAGGGDDDADRRLQEIVASVQESASKKQSGGGGGGSTSVWGEILLRPTPAVRRVMLTITGLQFFQQACGIAAMVLYVPRVFGHAGVVSSDRAVLGATVLLGAVKTASIVVPLFLADRLGRRPMLLASAAGMAASLLVLGLSVHRAPSATRLAAATCVAAAAAYMAAFSLGFGPVIWMYGSEILPLRLRAQGTGIGTALNRVTSAVVGMTFISMYEALGMAGTFYIFAAFSAAAYVFVYACLPETKGKSLEEMEALFDGRARRSSLQAPPS >Et_6B_048475.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:9134629:9134871:-1 gene:Et_6B_048475 transcript:Et_6B_048475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFIIAFLRVWKSKDGKIFNDQRPTIDLWVLKYKEQVLHHLCRIPEDIKQNYVQWLSPLSLFFLSNSIRNFLFQPFVHI >Et_4B_037980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25269548:25270993:1 gene:Et_4B_037980 transcript:Et_4B_037980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRKMGAVTSVKDQEDCGCCWAFSAVAAVEGLNKIRTCRLVSLSEQELVDCDVNGNDEGCDGGLMDDAFTFIARRGGLASETTYPYRGADGSCRSSAAAKRAASIGGHEDVPRNDEAALAAAVAHQPVSVAVNGGDYAFQFYDGGVIDGHCGTDLDHAVTAVGYGTASDGPKLVGQVQHGYVRIRRGVRGEGVCGLAKMPSYPKYLIATIS >Et_9A_061623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14605840:14613953:1 gene:Et_9A_061623 transcript:Et_9A_061623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAADTHDHRVAVERVLLGEEVMDIVACEGKLRRERHEKIGRWALRMKAAGFEPTQLSANSFNEIAGMTHQLSGDGSVRKYRARRVDMGSLLLYSCMMPIFSVSAWRAAAMSSGMSNNKNKVVVKGEPASPPPPTIGAVSLPFERVVLVRQVHHQEEGSSSSAPNQQAADESTAPVESVPAANILRYLLMQCVAALEMGNVLAFNTALQHVSTVASAAGDPSQRVAFEIAQALARRAMGSLQGLAWALQLQVPAPPPPTPATINSTRQTFAMVCPLVHVAARAANLAIVEATQAERRVHVFDLGGANLRQWIELINLFAARSGGPPSLRLSVVNEEEEFLSRAAGVLTQEAVRLHVPFVFLPVRSHI >Et_2A_017241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32310342:32313486:-1 gene:Et_2A_017241 transcript:Et_2A_017241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAMDVSQPTALPAAGDETAAAAAAAKGSGRGEGLRRYYQQHLHDLELAIRQKSEDLSRLEAGRNELNARVKMHREELQLLQEPGSHVGEVVKAMGMSQVLVKVHPEGKYIVKIDKNIDITKITPSTRVALKSGSYMLHHILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMQSGSGSGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRMDILDQALLRPGRIDRKIEFPNPNEDSRCDILKIHSRKMNLTRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVNQEDFEMAVGKVMKKDTEKNMSLRKLWK >Et_8A_056938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19130601:19135508:-1 gene:Et_8A_056938 transcript:Et_8A_056938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAMLPLLLRLFAVQAGGGDADDASSFTACLAAAGVRNVTTRDSPAYDAALRVSIQNLRFASAGAPKPAAVVVPASRDELRAAVRCVRAAGLTVRLRSGGHSYEGLSYTVEDGAAAEFAVVDLAALAGVRVDAASRTAWVESGATLGQVYRAVAGAAAGPALAFSAGSCPTVGSGGHIAGGGFGLLSRKHGLAGDNVVDAVLVDAGGRVLDRAAMGEDVFWAIRGGGGGTWGAVYAWKLRLSAVPDRVTAFVVNRPGTAASVARLVSTWQHVAPWLPDEFYLSAFVGAGLPELTNRTGISVTFKGLYLGPSHEAMQILAARFPEIGWSDLHPREMSWIESVVFFSGLPEGSSVSDLGDRVLHNKNYFKAKSDYVRRPMPLDQLIEAVRVLSTEPKAYVILDPYGGAMDRIGSADLPFPHRKGNIHGIQYLIEWTAEDDSHREEYIDWLRRFYDFMGAYVPNSPRTAYINYMDLDLGTNNWSEHLIADNGSPNPEVEAARVWGERYFLGNYDRLVRAKTLIDPENVFRNAQSIPPLRSPSITRSPRGIAPTVASNGIAALALCCLVALESTRCCNAQITTSNNTISSCLVSNGVKNFSLPGSPSYTPLLDSSIRNRRFELPGVGKPAAVVLPASKQELQRVILCARATSLAIRVRSGGHSYEGLSYTTEHHVPFLVIDLSSLNRVRVDAGSRTAWAESGATLGELYRAVGRQAGRALAFPAGSCSTVGTGGHVAGGGFGLLSRKFGLAADNVLDAVLIGPTGRALTRETMDGDVFWAVRGGGGGSWGVVYAWKLRLVPVPGNVTVFTLGRTGPVEAIARLIHKWQFVGPSLPDEFYLSMYIPTGSSSSNGNLSVSFTGQVLGPKDLALSVLNQGFPELGLAESELSEMSWLESTAKFANLNSIDELTDRQPGVGQYSKSKSDYVQAPISIHDTTMIVRYLSNGPQGSIILDPYGGAMARIGSSETPFPHRAGYLYSIQYSVSWTAAETDEYVGWLRSFYAFMAPYVSKNPRAAYVNYLDLDLGTNGWTNATGGTLDNSVSRAAASWGQSYFSHNFDRLVRAKAKVDPGNVFNNAQSIPPLHYEREH >Et_1A_004658.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28400838:28402097:1 gene:Et_1A_004658 transcript:Et_1A_004658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPSASASRSESFSRGGGWLRSKARVAPSFERRDVDAGHLGESFDSSTASFIDIDPAELFSMRWTSDDTGFDSGMPALLLDSSGDLLPCDPSGSAYADVSSASSPAVFHSAQSTPASVVGGSRRVGAKRRAAPLLATRRLMLRYLRFLVPLCRKARSLAPRSGRSAMAATTPARRSTSSASSVAERWCHGNADTAVRDAILYCKKSFTDRAEC >Et_4A_035833.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:768615:769187:1 gene:Et_4A_035833 transcript:Et_4A_035833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDPDPGTPLAGRRARADTRHPVYRGIRYRGGKWVSEIREPRKSNRIWLGTYPTPEMAAAAYDVAALALRGADAALNFPATAGSRPAPASCAPDDIRAAAAAAAAMTMIGPGAECDPSSSESQQAAGAAGSESSSLEHRAGEKRIVDEDDVFQVPRLLAGMAEGLMMSPPRLSPTTDDDEHDGMSLWDH >Et_2B_020141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17260766:17265908:1 gene:Et_2B_020141 transcript:Et_2B_020141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAFACHRRPSKRRRDAPDHALPSSKLPLMAHPDPGAASSSASVAALGGDGASSSSAADAAFSSPPASARRVHFFVRATDSRTIAMHAAQDDTIGAVLDHLASCGYGRDLRLLYAGRQLTPETTLAALRLPSDSTLHLAARLRSTLHPHAWQLASHIAATASAAESEAATAATSACSLDELVKEFILCAHRANLGRLRSDRGAESELRPTADLASEYLDIFLQASAAVALVRLYLSKSSFFRSYAERAIRCFLATDPSSLPPDVLQVTAPVLLEFCRLLSVAAGKKDVLYRACRYSLASVLCSQPLLPPSMDSPTMLIDQILPFACETTELVLDGLASVSMMVSPLDLDEFSNFFKVLCSQARLWIGNDGLMPKNLYHRESEHGDTWIWRLQTMSMDILKSVDECLKRLEMDLSLSSESIGVMESQTIWAARSHILTVLTQLNSISAIYEEVAHNLLLVLLAHKAPLNALVRCSKRNEHLYWLAKHKNLLCFEARRNLIFMMLPEGKDDFGELHEMLIDRSHLLDESFEYITQARNSELRGGLFMEFKNEEATGPGVLREWFCLVSQALFSPKQVLFSPCPEDKRRFYLNETSAVDPLHLKYFVFAGRIIGLALMHKVQVGVMLDRTLFLHLAGRSITLEDIAVADPVKYASCKKILEMDASEVDGLYLTFSRGDHQLGSQKIIDLCPGGQDISVNVQNREQYIDLLIKNTFVDSISDQLDNFTKGFSDILVNPSRRKEFFEFLDLEDLDRLLGGSNNTINVQDWRSHTQYNGYKDKDRQITWFWKVVERMPIEQQRQLLFFWTSVKYLPADGFSGLSSKLYIYKASDSTDRLPSSQTCFYRLCLPPYASFTAMETQLQKITQEHVSCSFGTW >Et_1A_006659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25858985:25863184:1 gene:Et_1A_006659 transcript:Et_1A_006659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDSDQVLVEENGSTRTLIFNRPRQLNAFSSTMIMGLLRYFTAYERDDGVKLLIVKGKGRAFCAGGDVAAVVRSVNNDSWKYGADFFRNEFFLNYIIATYSKPQVSLLSGIVMGGGAGVSIHGRFRVATDNTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVGLAGARLDGAEMLACGLATHFVHSNRLSMLEESLKKLDTSDPFSVCGIIDQFAQQPSLKENSSLNRLETINRCFSKRTVEEIISALEEEASNSADEWFAATIQSLKKASPTSLKISLKSIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILIDKDRNPKWMPPRLEQVHDEAVENYFSKVDDPHWEDLNLPARHSHGRLLVPKL >Et_9A_061651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14909980:14914014:-1 gene:Et_9A_061651 transcript:Et_9A_061651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFEDARSVRLKSHLGTYLCADDAEAVSHGYRRNSRGTVWGVEPAADEYVRLQGYRGLYLGAADRAAAFDATATSCGVAQGPPFSPNDSAFLWTPRRDGERRGCLTLAGPLGRLLRAGFGEAPRVTMDFEVKPEEESSWEVEVVSAEQAARALRRVHSCDARMEGTATKDAAAIAFVRFYSAKESRNKFDQEPPSVEEPLHMPARRTIFHNTARQDGGVDDFDEGTWRYFTFDQKSLAALRRRLQEETKHKDFIICRRSGGAVPTLYPVVLDLPPGNNEMEFVLVLLPSSGKVYAAVYFSWTQLFQLHVKLPALVGLACFLKIQKRRQMNFSDHTDVVWHHHKKDGELYTNREDIYTFCLFATMFCCNNCKKMTPQQVHVLQMDNLVERQPSTTFHQNE >Et_1B_013279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:752577:753081:-1 gene:Et_1B_013279 transcript:Et_1B_013279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPCTLFRSSSDHLGSEPVLPYLSPELDGDKMLIGRRQDPQRHWHRTPTDVHQWTNNIIHISKQLLYFAQYQKPLSSLIGEQQTARLVKGALVLIALGSNELVKQLLLLGANNLKTLDFSPRKKKTTLDFSP >Et_9B_066056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21725558:21728651:-1 gene:Et_9B_066056 transcript:Et_9B_066056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWWGRDALASGGGTPVVVKMENPNWSISEVSPAEVAAPGSPAGGGKAGRGKNARQISWVLLLKAHRAAGRLTGAASAALAVAAAARRRVAAGRTDSDAAPGENTALRARFYGCIRVFLVLSMLLLAVEVAAYYQGWHLEVPSLLAVDGLFAAAYAGWMRVRLDYLAPPLQFLTNACVVLFLIQSIDRLVLCLGCFWIRLKGIKPVPMPAAKEDVEAGAEDFPMVLVQIPMCNEKEVYQQSIGAVCSLDWPRSNFLVQVLDDSDDAATSALIKEEVEKWHREGVRIIYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQPDFLKRTIPHFKGKDDVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGAFINFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFLFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGFWKKCNLIFLFFLLRKLILPFYSFTLFCVILPMTMFVPEAELPAWVVCYIPATMSILNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVALVEKHSDSKQQRVGSAPNLDALTKESNPKKDSKKKKHNRIYRKELALSFLLLTAAARSLLSAQGIHFYFLLFQGVSFLVVGLDLIGEQVE >Et_5A_042889.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6283596:6283910:-1 gene:Et_5A_042889 transcript:Et_5A_042889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSVMTISFGVALALLAVAGEAAIFTVVNQWPYTVWAASVPVGGGRQLNRGESWTISVPAGTTAARIWARTGCRFDGSGRGSGRTGDCGGVLRCTGYGRAPNT >Et_7B_055681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21234095:21238344:-1 gene:Et_7B_055681 transcript:Et_7B_055681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAQGAVDLLLGGLSSVLLDEAKLLRGVRRDVEFIKDEMESMNNFLLDVASIDHPSHQVQGWSRHVKELAFDCRICIVHYIQCFANTPGTPGGLLGALHRAQRLLCTLLVRHRIATRIRELKERAHELAERRLRYDVALLRAAPAACVPMTSAMEKVAEEDDARWRIVEKATSFFNDDVREVIGWVTKELPHGHPQCHLRAITIMRRQYQEDEYPLARKVYDHPSMSSCFNFKAWIRGVEKYTKRHTTLQCILEQLIIPHSNYADMNEETLVEKLRDHLKGKRFLIVAANDPDGLVLTEIQSALSAADGVSYTSGSAIIVTTWLPPHDELSVHYKVKNYLHIGTFFHEKAVALVGDRCDSDMKVIIRRILMKRGMNSFSMEMFLRALYINPNITREELEHLLGRLTYGSILATHMIQYCYNDLPSHYKNCLLYLSILFERMNLQSSFPEDLKVKRTSIVRRWVAENLITRRDGLDATDEAERCFDALVVRGLVRPVDIGAAGKVKSCTLHHRVLSFITRMAREEGLVDTDLPPELAYRLSLHSEIWLQQLELFRMENKTKKKKNVNSAQECYQEIGYTEAFLELLPTCPLVFVEVLDLDGFKGLKKHHLRDISNKIFRLKYLSIRNTDVTELPRDIDKLRYLQTLDIRQTKIRKITTKVIALPKLMHLLAGRIDHQGSGAGRSFSTVHMPHGIGSMTDLQILCHVEVSKNAHEFMEVGRLQKLRKLGVVLSGKEVRLSYFLWVIERLSECLRSLSVQLELTNNEIPDLNIEKTAFSSYPRFLESLNISGNITGLPSWIKELPQLTKITLRGTSLLENAIRILGELTSLRCLRLCNRAYIETRLILKHGEFHNLKYLLVESSDITTIHFVNEAAPKLKKIIQIANNENPKG >Et_4A_035694.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3809653:3810144:-1 gene:Et_4A_035694 transcript:Et_4A_035694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTARRRTAATSSWGLLRQDAALLHPAILQVPVAAVEQQAAGRLQTTFASYRGAVVVSTAHLMIAPEQLPASSSVVANRVRSLRRFLAKRKERRATASPDPEPVPDDDDDDDEAEDDKPDQPAPKRAKTAETRDEEAAASWLSLGSLAAYHAHGAGNASPHL >Et_8B_060394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1351913:1352571:-1 gene:Et_8B_060394 transcript:Et_8B_060394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRRRYTGELERFFRFAVLRRRLRCLVADRLGVPAYAFAPTCASSLAALTQVPALLAAGRRSTTGLKEPGDAPLPLAGLPAPVPASHLIRALLERPDDAACRAMAHVWTSWTRASRGVLVNTFESLERGAAEALGDVAGRAPPPVYCVGPLVGDTAGEEKAGTRRHEWLDAQPDRSVVFLCALREQGSRFTSGMAWTRRRSGHVAA >Et_2A_015775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17730198:17733416:-1 gene:Et_2A_015775 transcript:Et_2A_015775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPAMLRCAAAAAALAVLLLVAVAPAAGFYLPGVAPNDFAKGDPLQVKVNKLTSIKTQLPYTYYSLPFCKPATIVDSAENLGEVLRGDRIENSPYVFQMREPKMCQIVCKATIGEKEAKELKEKIEDEYRVNMILDNLPLVVPVTRQDKNTIAYQGGYHVGAKGQYSGSKEEKYFIHNHLSFLVKYHKDDDSELSRIVGFEVTPFSVKHQVDDKWNDVNTRLTTCDPHANKFVTSSKSPQEVEAGKEIIFTYDVRFEDSEIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETQEEAQEETGWKLVHGDVFRRPTKSDLLCVYVGTGVQFFGMMLVTMIFAVLGFLSPSNRGGLMTAMILVWVLMGLFAGYASSRLYKMFKGSEWKKITLQTAFLFPGIAFVIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKKPAMEPPVKTNKIPRQIPEQAWYMNPIFTILIGGILPFGAVFIELFFILTSIWLQQFYYIFGFLFLVFVILIVTCAEITIVLCYFQLCSEDYQWWWRSYLTSGSSAVYLFLYAAFYFFTKLQITKLVSGIMYFGYMLLASYAFFVLTGTIGFCACFWFTRLIYSSVKID >Et_1A_005165.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30236680:30237126:1 gene:Et_1A_005165 transcript:Et_1A_005165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFDDQLQCAGEHRAAVGRKVAAEVGGPRCRHALAAATGSFAEENNKLGRGGFGPVYRGRLPDGAKQFEAEVRIISQLRHRDLVQLVGWCDSRRNGLPLVYELVPEGMQPRQAPLRPRPELAGSCWAWARRWRTCTRSASSAWCTAT >Et_3B_027532.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25696173:25697279:1 gene:Et_3B_027532 transcript:Et_3B_027532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEYDELADEFVVESGPRRFRYGELAAATKHFAEDRKLGQGGFGAVYRGFLKELGLEVAIKRVSKGSTQGRKEYAAEVRIISQLRHRHLVRLVGWCHEHRGDFLLVYELMPNGSVDHHLYGKGVLLPWPMRYDIVLGLASAVLYLHEECTQCIVHRDIKPSNVMLDATFSAKLGDFGLAKLVEHGSQPYTTVLAGTLGYLAPECVMTGKASRESDVYSFGVLALEIACGRRPAELNEEPSKARLVPWVWELYGKNALLEAADWRLKGEFDEKQMERLMVVGLWCAHPDYAHRPSIRQALNVLKFEAPLPVLPPQMPVPTYFPSPELVAPISVGGRSSTDDPGVSDYGSSGPHKAGEGSSVTDRLLEP >Et_7A_051310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16938929:16943125:1 gene:Et_7A_051310 transcript:Et_7A_051310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFLQAAAKVTEEAPTPLRVVQMEGLAVLKIIKHCEEFAPAIVTGQLLGLDVGSVLEVTNCFPFPTREDDDEADADGANYQLEMMKCLREVNVDNNTIGWYQSCLLGSFQTVELIETFVNYQENIRRCVCIVYDPSRSSQGVLALKALKLTDSFMDLYRTGGLTGEKLREKKLTWVDIFEEIPIKVSNSALVSTFMQELEPESPVSQCDFDRLKLSTAPFMERNLEFLIGCMDDLASEQNKFQYHYRNVSRQQSQQQAWLQKRRQENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLEGYLVTNQISSYCNHINGYMLWNIFMPICTL >Et_1A_007164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31523420:31527176:1 gene:Et_1A_007164 transcript:Et_1A_007164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTQQNGHPAIAVENGGGKAQHGGVENGLHGRPPVAPAAKVTWRFARGGGRERAMAGEGDKMSIRAVRFKIMASVEERGPRPVLPLAHGDPSAFPAFRTAAEAEDAVAAALRTGQANCYAAGVGLPAARRALAKHLSHDLPYKLTADDIFLTAGGTQAIEVVISVLAQPGTNILLPKPGYPNYEARAAFNSLEVRHFDLVPERGWEIDVDSLESIADQNTTAMVLINPNNPCGSVYSHQHLAKVAEVARKLGILIISDEVYGNLVFGSSPFIPMGVFGHIAPVLTIGSLSKRWIVPGWRLGWVAACDPNKILQASKITKSITNFLNVSTDPATFIQAALPNILENTKEDFFNRIHDLLKETSEICYTEIKDIKCITCPHKPEGSMFVMVKLNLYLLEGIHDDVDFCCQLAKEESVILCPGSVLGMESWVRITFAIDSSSLLDGLKRIKSFCERHKKKNLLNGF >Et_9B_065776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11270417:11270916:1 gene:Et_9B_065776 transcript:Et_9B_065776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRTTALMVIMCLVILGLNVNLVTAAECSCCVSARAKACCFACIAAVAAKMEEMGVLAKMAEGQA >Et_7B_055567.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:14770034:14771039:1 gene:Et_7B_055567 transcript:Et_7B_055567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADALRAPPTKHVVSRPSKRKRSTSPAAAPAAGGHHHRRSGGGIGCAGRHPSYRGVRRRDWGVWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAARAIKGRAAHLNFPHLAHTLPSPASTSPADVRAAAAMAAAGHCCESPQPAADSDETPPSSAATADGDGEDLEDALALFDCLPDLLLDLRDGLYWPSELWNAAAVSPVAEECDNMFGVHCLWADQLWTEDAAKPADNCSLHL >Et_1A_005386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:998338:1005004:1 gene:Et_1A_005386 transcript:Et_1A_005386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLYSESCDAHGRPRRFSLGWGLVAETADAERDNRFAMMNKKFQEEERKWKKVMEKEKEREKEKKKKERLKKQRRRALAGKDKVLGGVSAAAEPAGVLKVDEPVPVTKSQWKLARKPTRKFAVQRRALKDRIRDYEPKQPLSSDTGAVTGDEECAGLEPFFFDEAEAIADHERWARREKQNEKLMAAIDRIRAYDPKLGRIVYNRIHLLPKLATRTPAEAIADHERRARIEKQNEKLMAAIDRIRAYDPKRGRTVYNRIYFVNLLAFDHDEESALGPMRDTEASIDMVGNIACKEGRKQFAQGDEVSSALSVKESSSDVREKLFVPCNSANVLSVKIVSSDVGFPIEVYGTVIARDSLDLKCVYLFRRDRDHSHTIVTKDEELILIGPKQGLALIDAIHFEIDLKIKGEGKQKKDKQLSKGYVTLDGVSRHFGEELEVESYPLDSMLSKVVVMCAVEKNAVEATIAIEVIQGKFYGEITACTTNILDSIVLHDSKLTSEAMAGGVLPMLRCVVAVGLREKLMVTITQPGVCKADSQRTIKFTPRVNNGDEAEITCATLGPMRDADASVDMVDGIVCKEGKKEFVQGDESANALSVTSATSSDVSDKPFVPCNSANVLSVKIVSSDVGFPIEVYGTVIARDSLDLKCVYLFRRDRDHSHIILTKDEELILIGPKRGLALIDAIHFEIDLKIKGEGKRKKDKQLSKEYLTLDGVSRHFGEELEVESYPLDSMLSKVVVMCAVEKNAVEATIAIEVIQGKFYGEITACTTNILDSIVLHDSKLTSEAMADGGLPMLRCVVAIGLREKLIVTITRPCVCKAERQRTIKFTPRVNNGDEAEITCGSLKMCVKVTWSIIERMHLD >Et_7A_051527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:255853:260224:-1 gene:Et_7A_051527 transcript:Et_7A_051527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLCRRSSPISFLLVLLSLSFPLFFSPFPAATAVGGCPLDFSWANFTLASAACSDPTQRAACCRYINAFVAISIARYANATGRLGVPPAFADMCLRSVSDTFKLQGITTDADVFCGLGPKIRVSYQCAGRDTVLEMMQSPNFNDVIGSCRGPLSLDITCKTCLNYGIVYLHRLIGSDDNVGLSVCRNAVFVTLATQEGILSYEDIVKCFFGVQGITTFPGPSSVTSTPASSPNVTVDSPAPRITSVPQKHQQHYRITVVPGIGIGVILLAVLLQLVLVVLIRRKSRELKNADFATQNPENTFHQSQSWRCPEGQSPMFQRYSYKETLKATNNFSTVIGKGGFGTVYKAQFSDGSMAAVKRMDKVSRQAEEEFCREMELLARLHHRHLVTLKGFCIERKERFLVYEYMANGSLKDHLHSSGRKELSWQTRLQIAIDVANALEYLHFFCNPPLCHRDIKSSNILLDEHFVAKVADFGLAHASRTGTISFEAVNTDIRGTPGYMDPEYVVTQELTEKSDIYSYGVLLLELVTGRRAIQDNKNLVEWAQMYLSSGVISLEMVDPRIRDLVDIDQLHLVVGIVQWCTQREGKQRPSIRQVLRMLSERLDPGNGSFGEGMEDAEGGFYPRSSKSGVHRNEMIPHSGDMRSLHSSSSTTRSYCSRSMLLESGQAQSPPETL >Et_4A_034144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30228062:30229806:-1 gene:Et_4A_034144 transcript:Et_4A_034144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCFCNHALITSPYFRSKKIFGLSISLILINLASILERADENLLPAVYKEVSAAFNAGPTDLGYLTFLMNFLKSIASPLAGVLALHYDRPAVLAIGTVFWAVSTGAVGVSHYFGQVAFWRAINGFGLAIVIPALQSFIADSYKDGTRGAGFGLLALIGSVGGIGGSVLATIVAGSDYYGLPGWRLAFIAVAFVSLFIGFLVYFYVIDPRKLSPSHFGSDEDQERSVLVSNGVLPPHSIWKDSWTAARSVMKVRTFQIIVLQGIVGSLPWAAVVFFTMWFELIGFDNSSSAALNSFFAIGCAIGSFLGGIIADRLAFMGIPFSWILLTVIPQSVEYWSAYAVTLFLMGITISWCATCANNPMFAEVVPPRHRTMIYAFDRAFEGSFGSLAAPAVGMVTEKIYGYNAKTVDLAHGSAKGAYALSRGLLTMMIVPFALCFMFYTPLYSLFKRDRDNARLASIKEQELI >Et_7B_055874.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6488258:6488950:-1 gene:Et_7B_055874 transcript:Et_7B_055874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSELPSDAFAFPRRDDGSPTALSPPVVISVLASILERHIARNERALAAAAAAAAATAGGDGEGSAAAAARRRVRAFESVTELDMSLHAFLERFARYAEVSPQVYVVAYAYLDRLRRAGDAGVRVVRANAQRLLTAAILVASKFVEDRNYKNSHFAAVGGISAAELSALELDFLFLMQFRLNVCVTVFQSYCRHLEREVSFGSRYRLVCGAGEARAQQTQHRQAAAAAQ >Et_5B_044475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2749293:2755981:-1 gene:Et_5B_044475 transcript:Et_5B_044475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVKLIRPILCSCFTINEVLKESFKIYCAVNDGIINLVDVFFDMTKLDAIKALNTYRRTGNLNNRHNAFYELCRGLELGRNFQFPVLREPPLSFLGTMEEYIREAPRTDHVPNKTIEYRHLDLVRYQEEEKSPSPTPKPFEEPVVEEMLPEPEEEPQYAGGGGSDTPAIGFGEIAGSSGWELALVTAQTTTTTSSQLTESSKLAGCFDKLLLDSLYEHAGRRQQAATEAYGNGTMDLFAMSNGVAPPTGVHMWMMAQQQQAMLGMPQ >Et_6A_045831.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:17486912:17487466:1 gene:Et_6A_045831 transcript:Et_6A_045831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGRPSLRVQRFVSPSGRSTSAPTPMEELNPGHASWTLACEQMHRFSTTTVYSWPSTFPGRAACVTVRSPSLQYSAFAAHTKGEKYKRNPQTHQNIDRKIDANKDIEKNLGVFVRRKSGVVLVEGLLGLKSAPLLNTKKKKKTAKIFMLGSVVSSLKNFLLHGVRVSANLCTFRQQRPNIYQL >Et_1B_010661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12879340:12881889:1 gene:Et_1B_010661 transcript:Et_1B_010661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMESFLAGLRVLAVDDDRVCLKILETQLRHCKYNVTAVTHAEAALEMLRARKDGDQFDLVISDVHMPDMDGFKLLELVGLEMDIPVIMLSANEEMETMMKGIKHGACDYLVKPVYLDRLKNIWLHVVKKSMNDPRDHISGGNDDASQKRQSGDAEDENNGADDTKKHSRKNKKDGDDPEEDSENTSTQKRQRIQWSADLHRNFVEAVHQIGIDRVVPKKILEVMGADGITREHVASHLQKYLLALKKLSLGTDKQSNPCPAGTESWRTDWNYINMNGLASSSSALRMHRLLHSRSVQPISSQRSLGIPVRDMGPAGNGGNLLNAAVHDVSNFTSGNSYGNISNGGLPSGSSPSGSYIENIRNRMMLDADRSFPFAISGNSFANMSNVTQPLVPGLVSNSLKGDVLSNGNSLNINQLSGIAASSGQTAIGNEIQNALKGKSTRVVDFSEQMAPFNLGNNTTVPINNSALASASTIRSALPDIQIGNLGMLTQMLNGGGANVSVLDEKDGTGGVYQHAVGDLMGTSEAQNQTSGDLDDFFIDGLN >Et_10A_001100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21070533:21073075:-1 gene:Et_10A_001100 transcript:Et_10A_001100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAPAPPPSPAAAGRRDLGRAGTTCIRCSSVRELESSPSSRPGASLPPLREAKRVVLVRHGQSTWNAEGRIQGSSDISVLTPKGESQAETSRLMLLSDSFDACFTSPLARSRRTAEIIWEGRGDDLIPDSDLREIDLYSFQGLLKHEGKERYGVLYQQWQKNAANFSIDGHYPVRELWDRAQNCWERILAHEGKSVLVVAHNAVNQALVATSLGLGVEYFRILLQSNCGASVLDFTPQTGGGPPNVCLNRLNQTPNSPVAAGSSAGRKTSKRIILACQGATQKSPEFGLGGMGYAPLNMLGTIQSQKTAELLLDLKVNGILCSPQVAAFDTATVICESFGDIFQSGWMGSMEYRKLELLWAQSKDAWQALLNELPDDSTSDRVLVAVGHPAILLALICRCLDLPKEYMSSFHLDDGSISVIDFPDGPKGRGVVRCTNYTAHLGRWSIPITRPTENDEEF >Et_8B_060259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:886406:888915:-1 gene:Et_8B_060259 transcript:Et_8B_060259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRRPMGVVLAWVRRQPPKVKAFLAVVAGMAALVFIRFIVHDHDNLFVAAEAAHALGIGVLIYKLTKEKTCAGLSLKTQDLTALFLAVRLYCSFVMEYDIHTILDTATLAATLFVIYMIRFKLRSTYMLDKDNFKLLYVIAPCAVVALLIHPSTSHNIMNRICWAFCVYLEAVSVLPQLHLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >Et_1A_007240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32425667:32431455:-1 gene:Et_1A_007240 transcript:Et_1A_007240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPHLITALPPPPPLAAVCGMDILSKFQLESCELTTASAPLNCREKLVVGMAVSSNVVSRRPIPHALAFPQHPQSCFFTVLMDECCMQSGGVDSLLVNFTKVGANGTEAITIRDPPVITVRKSEVYIVYALTYINDVNAKPEEKYVDTHKCEPDAGADVVKYCESLFAVHVRTIVLQHLVQTKGNAIRLTAYDLRVIGYEVNLRLHFDQLNFTQEVVVSPNNSTVVSGDNFLRVNIVGDFSEYKLIKEYVDHYLITPRKGNTSGQWGRVFKMVVDVYTKDGLDCNKIGVGYEAFQNQNNFCSLRLGDCLKNQISDFIEDDKNRTKYHKQPEYVVEGRFQRINQHSVKFPSVSSLYLFSFANNAGGYSFSYEVTDAPKTTLLVELNADDIEYVYQWSQGEIIAIYVPTFEALSQDGVANVTTKNTGKLEASYSLTDQYFSMKPGEATTRSFTLHTTTDQAENYTCKAYLKASNYSEIDSAECQFSTTSTVLKNGTQQDPTATTTRAITTTLTATAVGPAITTTRVLHRHDEEQADEVAAGGFRNKLHEMLHSVQHGNVHKHRRRGKEMAALHLEGPIYKGRMHHKRHAGHVQEQENHRQRAD >Et_9A_062883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6233587:6238552:1 gene:Et_9A_062883 transcript:Et_9A_062883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSAPPSNAGPASQGFDEMSNKKPKLLPGETSGVGGGDASTAPADRLSSLPDALLHHIMSFLRAWEVVQTCVLSRRWRHLWASAPCIDIRVWRLGRHQPPPCWFSRFVYQFLLEREESAPVDTLRLLSGPVYDKNEYKDEYDNDEEDDYSSGDVDIWIRAAIKRRARFIQLAAHPKDEAFSDLERVNIISCHLKHLKLSGSSLGDRTLRQLSSQCPSLEVLDLKNCLLPGFGPKISSTSLKSLAIVECRIMADLTIAAPNLVSLHCVTPHHGAPLFENLGSITMAIIALDDSFLYVGYEYEFKDIDKNEIYGGSDSDSDCSIRDDHGYGNETRDADSDCDASTCEYSEIANDYEDKQCGDYHGHGRKQNFGSYRSYRGYSSSNRIDRSKILGGHNILRSLANATSLELLADAGETVTDQGVYFHRLKMILNRELKACPIFINLKTLSLGEWCMAAEFNPLIFFLQHTPNLERLFLELKLDCDRGKATKDSAIPERRSFVCAHLKMVKIKCCKHDARVHMLAHLFKANGVPIENIYVRRTHRTCKFLFIIHDSYSGLMAHPLSSFVCICIVSHIVSIWCMIKTHELTQLLTFAQACQPSL >Et_3B_030290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32029724:32033823:1 gene:Et_3B_030290 transcript:Et_3B_030290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRRGRSASRSTSGSSRSESRSISSGSVSSRSRSRSRSRSFSSSSPSRSRSPPAAKRSSPGERRGQSPSLSPKKGSPSRKGRSPSPPPKKASPRKESPPPESVVLHIEHLSRNVNEAHLKEIFANYGEVVNVELAMDRLVNLPRGYGYIEFKNRADAEKALLYMNGGQIDGNVVKLKFNPLQPRQRALSPVKAPPPPPKRGVPQNDKGASIVEKDTQQRPRESSPRRKPASPPRKRSPNRRVESPRRPTDPSPRRRPDSPPVRRRADPSPVRRGDTPPRRRPLSPVRRRSPSPPPRRHRSPMRIADIIFAPVLHLGGVAAAHLHADAHLALLDGAHHLQGGRGAHHQGGHHPRVAIAVPLLAVPFTPAPDQFLLGVEGNGVGSRTSIEAWKFRFIIFSLTYPTTKKGLLEEEASLVTAEAAARLPLDAGRAPGIVFLA >Et_7A_050801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11172298:11175106:1 gene:Et_7A_050801 transcript:Et_7A_050801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFSSYEAAEIEAQTAEGDDQEDRLSNLPDDILMCILDRLDVLHDVVRTSVLSRRWRHVVSIDIVWSVDHTMANRDVVTASFKIFPEKTDLKCTDDDVSLHMGSLRLGNNTDIANFLGTSKKLEYLCLTNCDCGTRSVLRIEHPQLTELDIVFCAFEKVELNWLPNLQRLTCESRMPSQDQYPLSFGYVPRLWDLSLNNTGSTLHKTFELSEFPGNTMIVQLDLNFRSERIWIQPEAPRLIRPLLQNLRIVTLRGIHGECDLDWTMFFLEAAPLFGTILVNCDGYEEDGFKEYDQLWQQILQNEEHGSLKWETPEDFKHYNLRALVIRCCQAEERFTKYIRRVIKAAVNLERISLLDSGSCGFSLPRTRGILISPSPSCFVKVTILCAGEDPIHHRDMVLQPQSDFADRLGHDRY >Et_1A_005300.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:38962605:38963252:-1 gene:Et_1A_005300 transcript:Et_1A_005300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSALCLRWCLRSVNPRRATSTAASSSGSGSVAVSNSQTWRPTWPFFERSHGSPLPSPIRSRHMSGIRRAMRQRRRDSDPLSRGRDGEGTGLPGWTAGAWSPGGRGGAWVLIWKYYAGGSCRFWGAPRPSTLARGVVVCACCWPAAGWTWLVGPRDRRPFPGRAHGAVRFVPCLVSPSTHTQHFSRRASARKVDRGMLSFFPPENKKADCDSFL >Et_10A_000420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:121886:124704:-1 gene:Et_10A_000420 transcript:Et_10A_000420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLAQSVHAAVDRRWLLPLAIGSALSLLLLVGLTTFPLPFPSASTHSPSLFVEHKLAPSPPSSSASLPRFAFLISGSAGDAAALRRVLLALYHPRNRYILHLDAEAPDSDRKDLAAWLAEHPVIASAGKVRVVQRANLITYRGPTMVANTLHAAAAFLWGDAGAGGSDWDWFINLSASDYPLVTQDDLIHVFSKLPRDLNFIDHTSDIGWKEFQRAKPVIIDPGLYMKKKADVFWIPQRRSVPTAFKLFTGSAWMALSRPFIEYSVWGWDNLPRTVLMYYANFISSPEGYFHTVVCNAEEFKNTTVNHDLHYIAWDNPPKQHPHYLTIEDLDRMVASNAPFARKFHADEPVLDKIDEAILSRGLDMPTPGGWCAGTQENGSDPCSVIGNTSLLQPGRGAVRLQRLITTLLSEEKFHPRQCK >Et_10B_002981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1560171:1560714:1 gene:Et_10B_002981 transcript:Et_10B_002981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASDVPLLDVSSPRAIVRRSKPWSRSSSIGRSSGGNSGSIEYTSLRDVLDEEGSDGRELGRDLESIHDLDASAIGMRNTLLKHAASAYLQSAVVVVAAAGGGRDGCCGLARLWRRVVRGEGGGRRGRGGGAGRGRVLLRAAGSWQLGCVDDPAEACAAFVARSARRVAEFVAGVWAS >Et_1A_009518.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:7123480:7123869:1 gene:Et_1A_009518 transcript:Et_1A_009518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAAAPVPRRDGRKLARCPRLQLDTKTVSAIQQSTGQSIVDAEAAAGEGGGMRVKIVLSKQQLKQVAAAVAGGGSFALPPALEQLVSVLKRQHAKKQAAAAVAEVATGRRPGRWSPALQSIPEECFS >Et_5A_042993.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:8912693:8913649:1 gene:Et_5A_042993 transcript:Et_5A_042993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEREKSMTENKLAPAWWESFHFRCCEVLKDRKGFIFGAIFGYAPPDGAWRHPSAPRYVVAFRGTMLRQSTRFADMHHNLKIALNEQHESGRYRDARHAVAELLDGIACARVPAGIVWLAGHSLGASIALDVGRSMMDERRWCLPTFLFNPPHVSVAPVINMLGMAEEARRNLYFTSVMVKATLAMTVRRPHERSMTELFERLAPWVPNLYVHQRDLICQGFADYFEQRQKMLEQPGPFRSVAEVAMKLSYRDMLTSSSHDANAENGDEPRVQPHLLPSAMLWKNSSCCDRPHKLKHWWLPDSVLNLRLRQYIHLGP >Et_6B_049053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17100989:17101780:1 gene:Et_6B_049053 transcript:Et_6B_049053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWPILATIAPNLVAAVSMRICNSRLVADALRVLAIASQVALATTPDQFRSRKRVPKELRPGFDTLTVLVAWRLWGERNKRVHEFQALMPVALAQKIIDDAHLWASARFYKLQKLLVPRL >Et_10A_000397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8662418:8662967:-1 gene:Et_10A_000397 transcript:Et_10A_000397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIWEAKIPLKIKIFLWQLHRDRIPSADQLKKKKWKGEKGAIAWTLWLTRNELVFKDRVIRTPINVSYRSLSMMQRWVPLSKGDDRSKMEVLIEKLKERLKTINQVEGRPADQEGVG >Et_5A_040536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6319156:6319529:-1 gene:Et_5A_040536 transcript:Et_5A_040536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSPPSLCEFIEYIDTEQTPENIAHVYRVAERARRHWFDMEAEERREEERRKMRQKEEEPRREYEAERKQSEAERERMRDRARRARAAGPDAFRKGQYPRCTQ >Et_10B_003634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4065325:4071627:-1 gene:Et_10B_003634 transcript:Et_10B_003634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSMACLLVAASTTIAVLTLSSGVAEAAYPTVTKACKEVADADKRISYAFCVAELSSPFLLMATSALWSGVDNARNATDGDVQVLMKNTKLDARKRTAVEMCWESYDYIGDQFDYAMGSFDGILRPLAAKEHVGRAVSEALRCDDKLAGAGLRPSPLARRRKYTLQRRSEISSSNLVPFRTSCLQRHHVRNSIKMLAVVLLKTKFGRRQGEDGDNDLNDLEVAR >Et_4B_036378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20793164:20793487:1 gene:Et_4B_036378 transcript:Et_4B_036378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIIHKIEETLHIGGGSDHKKEDEHHKKAEEHKKDEHKKDGEHKEGVMEKIKDKITGEHGDKSGDHKEKKDKKKKKEKKHSEGHHDGHSSSSSDSD >Et_1B_012290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30487074:30490743:-1 gene:Et_1B_012290 transcript:Et_1B_012290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKPAWLEALNTQKFFVACSFHEHAKKNEKNICCLDCCTSICPHCVAAHRVHRLLQVRRYVYHDVVRLEDLEKLIDCSSVQSYTINSSKVVFLKKRPQNRQFKGSGNICTSCDRSLQEPYFHCSLDCKVEYILRQKKDLSAYLRPCKTLQLGPDFFIPHDADDDTTHSTLVDVDEPMGSSDSENLSSPCTNFVRKKRSGPYICARSANRISDDDMATNMSRRKGDPQTFNSSIKSRTNVEKTFATLREPKTPEKLLGMKDSN >Et_1A_008570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8950472:8951752:1 gene:Et_1A_008570 transcript:Et_1A_008570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQYYINEKGDKVYTTKVKESPLGVPTQSAHPARFSPDDKYSRQRYLLKKRFGLLPTQKPAPKY >Et_6B_048408.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18933134:18933292:-1 gene:Et_6B_048408 transcript:Et_6B_048408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVCQSVVPCDAVTCFGYCQKNSFKNFRPICYPIGQGNPYYPTCCCSPRVA >Et_7A_051573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20530036:20533043:1 gene:Et_7A_051573 transcript:Et_7A_051573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCQLLVGCRMEMEEEAFFDTRPELSPPPASPALPWSASGFGSVRERRERFMRSMGLECSVSPQPVCMVGDAENEEEEIVPELGRLWSQSDENDCSMSSWSTESYEDGTSDDNSISGSSKDGGSKVGRSFSSLSFIQRLMSRSGKLSGVPKAIEKRRNGWLRRLGLRVGLVDHEGDEASTSSSDSGRFGVGRYERVKVHSHRKRSKELSALYQGQVIKAHDGAILIMKFSTDGQFLASGGEDGVVRVWTVMQSEGCRIPMDDPSCVYLKEHHKCGLTPVSLDNVKKRKIKGMNQSGELACVVIPTTVFQISEEPLHEFHGHCGDVLDLSWSNNKQLLSASTDKTVRMWEIGFANCITVFPHSNFVTCVQFNPANENRFISGSIDGKIRVWDIRKCSVIDWADVRDIITAVSYRPDGKRAVVGTITGNCRFYDSSDRLLRFETQMALNGKKKSSLKRITALEFCPSNPSKLMVISADSKVKILDGTNVTQNYTATFTRDGQHIISASEDSNVYVWNHEDQNEYTWKQAKSIISSERFHSNNAAIAIPWNGKAPRSTVSLASQLLAPQGDTFWCMSRPSKCNSCRRGEDSSINNFASKHAAAPVIFNLNQDLSTDSTCKSAATWPEEILPSCSVGVIFDESQRKFLRNCLQGTSNLWGQVIVTAGWDGRIRSFQNYGLPINQ >Et_1A_007573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3685019:3685406:1 gene:Et_1A_007573 transcript:Et_1A_007573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSRGNISDDEINELISKLQALLPASSRRRGSGQASTTKLLKETCSYIKSLHREVDDLSDRLSDLMSTMDHNSPGAEIIRSILRS >Et_8A_057445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3090977:3093369:1 gene:Et_8A_057445 transcript:Et_8A_057445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTSAALKFTVRRQPAVLVAPAAPTPRELKLLSDIDDQDGLRFQVPAIFFYRRNASTDGRDPARVIRDAVARALVHYYPLAGRLRELEGRKLAVDCTGEGLLFLEADADVCLEQFGDALHPPFPCFDELLFDVTRLTCGGFVLAVRLNHTMADGHGLFQFLIAVSELARGAAAPTVLPVWARELLEARNPPRPSFTHREYDEDAIVPGPIDNDDAELAQRSFFFGPREITAIRVQLPPHLRNCATTFELIGASLWKYRTMALSPDADEETRIILATSADRGGKAGVGLLRLPDGYYGNTIVGPVAVSTTGELCKSPLGYAVELVRKAKAQAKDAEYARSVVDLMALRGRPPLAPGRHGFILSDLTKLGRFTDLDYGWGRPVYAPAAKEVGVLSFLGPLTIAKGVDGVVAPMCLPGPAMDRFVEEMGYRRLFKTVALNQQAAYVRAIQRSPEQTPQNGDGRVPVPSRGPCNETHPKESYCSYLDVHGARGCSWWFAREYQRRVVATGTAHCQLRELSSEDSGLRTAAPAHLSP >Et_4B_036268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14088134:14088817:1 gene:Et_4B_036268 transcript:Et_4B_036268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDNDSGGPSNAGGELSSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVDPLKHYLHKFREIEGERAAASSGAGAAQSEMSRNANAGGAYAGYGGHGGAGAGMMMMMGQPMYGGSTQQQPPPPQQQQQQHQQHHMAMGGRGGYGHQGGAGAGGSSSSSGFGRQDRA >Et_7A_052117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4848588:4856807:1 gene:Et_7A_052117 transcript:Et_7A_052117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVRFGLLVAMFQAMSRDRTSAKKRGRLRVFLDRAYGPSVRDEFFSALRLVLPGLDRERGSYGLKEAALAAVLVDALGIAKDSPDAVKLINWRRGGGFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDRLAATENRSEKASILSSLIKKTNALEMKWLLMIILKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKFVCEKLNDRSKRHKRQDIEVGKAVRPQLAMRISNASAAWKKFHGKQVVAECKFDGDRIQIHKNGEEIHFFSRNFLDHSEYAPGMSKVIKENILVDRCILDGEMLVWDTVLNRFAEFGSNQEIAKAAREGLETDRQQCIDVAFDILYAGDTSVIHQSLTERQEILQKVVKPSKGHLEVLVPTGGLNLRRPSDEPCWSIVANNLEDVEKFFKETVDNREEGIVLKDLDSKWEPGDRSGKWLKLKPDYIHAGADLDVLIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELNALVSKLKPYFRKNEYPKKVPIFYEVTNNSKERPDVWIESPDKSVIISITSDIRTIKSEVFAAPYCLRFPRIQRVRYDKPWHECLDVQAFVDIVHSSNGTMTKVDDNSLKTDNAKHTRTNKKGEAKKKSVSIIPSHLMKTDISGLKGETRIFANMIFYFVNIPSSYDLEYFHKLVVENGGSFSMNLNDSVTHCIAAEKKGIKYQAAIRQRRIIHYSWILDCCKEKCLLHLQSKYILFLADFARHRFPEEIDPYADYYFWDIDIADIKQIFSNIDKIAVNSDMVNQYKKKYYGDERFCFFQGCSIYFHHAPLMNADYNVMTNLALKRVQQDLTMHGGQVCSSIAPATHVVVVSVLQAYNFDILYKSFPPAEQRYLHDKRLHIVSDKWLEDSVVKQMKLPETAYNLKPDTLEELQIERSEGKAEPLDHKHEEPEEVVTSRAKHVPRKRGRQASSSRTARAARRPVRRTRTRKGNQLAKIDDVESEESGPGEFQDDQKLVTDQISKMDEDNSEKDRRPPRAAPRPVRRARARRGNQPAKIDDEFEESGPDERGQEDQKLDEDYTSKMEEDNSGPPAGAQFFTLGGQEPKSAEEKPDSAFQRTSAAEAMMSSVPGAKMEQMIDPLQAMLLDLVPSMRQNRAEDTIRAPPAIAEKDIPGAGSSTSNSEIPIPVPPQAGTSSVPAPDANTAPPAKKKKVSYKDVAGELLKDW >Et_7A_050817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11276247:11277650:1 gene:Et_7A_050817 transcript:Et_7A_050817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PWIINWKGIVEKVRDQSQLLYLRLADLVSGAFINCSNCQYCIDCSKVPIVWPKVPVGIKFNCFYIWKRNLTRHSVVHNKVFIPTIEDPDGICYTHPKNLPGIKTDGSSSYFFYRISNAYGRGHRKRRRIDVDYTASNDKKFRWHKTGTSKEVYDENGVKKGWKKILVLYIGSVKGRGSKTNWVMHQYHLGVDEAEKDGQLVSFTNWGRSKLTSLKWISLLWNMMHLSKLILEPRRSILPSLISRITAHVIPNFQSTTLTFEVTVIKRHCFLSTFNLGFMSYSRLLLKIK >Et_6A_046303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12717412:12719819:1 gene:Et_6A_046303 transcript:Et_6A_046303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRPQPAGGTSPPHCHLPRLTDRIGCHGRDMTQDSRGGIVLRLVGVPQVAAVDAMSEEESRANRNFMYEKGLIDILTEHKVDSRFRSQNSWTPDRKFSEQFSLARYTKQQLQELKGSYQAIRDA >Et_6A_046243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1097939:1098532:-1 gene:Et_6A_046243 transcript:Et_6A_046243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSSTSHKRGQIAEKSNNDETHCHIETGICIRSQPSANMPMQPLCFLQYCGSCNRALGSDEDIYIYKGDSAFCSIECREKVMRTDHAWRDN >Et_1A_007926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39497775:39502335:1 gene:Et_1A_007926 transcript:Et_1A_007926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCFSPASSAAAAASPRAAGNRGICRRPSSALTVVVSSGFPRLVFSPRCKKIDSLRAINGAPPCIPVSDGSLLTPVTLPVFRDANSKSDTRLRIFSGTANPSLSQEIASYLGLELGKINIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKSQGRESIAAKLVANMITEAGANRVLVCDLHSSQAMGYFDIPVDHVYGQPVILDYLASKTICSNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVMNLIGDVRGKVAVMMDDMIDTAGTIAKGAELLHQEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPLKEEKTFPQLTILSVANLLGETIWRVHDDCSRRNKMLVDPPHHPDRFHLLVASFLSQIWLATVSKGQATLQAKTRATSVPASPTHFLKQPSSRALSAVASHFAWQALTSPAGSTVAHLR >Et_1B_010026.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:18973319:18973801:-1 gene:Et_1B_010026 transcript:Et_1B_010026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGHKNNSGDLMSSGKLVAEAAVSVFQQKSADNVDKKEVAGAAAQLLDAASTYGNLDDKPAGQYIEKAEVYLKEFSSGPAAGAEKEEIKAEAPPAGDAPKSEEPPKEPAPAPAPAAEEAKSDGFGIDDVVKGAESLVEQGGGEQSAGAGLFKMAQGFMK >Et_5A_040764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12013977:12015489:1 gene:Et_5A_040764 transcript:Et_5A_040764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PSPSYIINIHTYINNVDGDGVDRIRGLPDDVLLKVLARLDSVDCVREAARTGVLSRRWSGLWTCLPELTFKDMDRLDITGDLRSEAAVGRVSSLLRAAARLAPETFSGHLWTLVEGAAAIEVPCFDRTISLALKFIGVPMAPPPTGEFSRLESLELVTSCNIFPALLPICPRLHLLRIRRGRELEEMTVHSTTLEELVVKCYYLRTGIRRIDIDAPEVKEAKLEVAVGREFDVSFSAPKLEKLVWEFQNLDSEIGFPMMLGLYTLRYSLKDGDRTLRLDVKCKKVRFFSLFSEFYPYENCSPVPEKSFAEEIAPLPVADFSILEVIVDTNEHTFGPLLLHLLQIRPTIQRLDAKIRKKRLNLLITEGEDCPCRQPLDWKSETLSLPYLEEVFISYDFKEGNDNEEVDFLKLLFRCARGLKRVDVGVNGGVYDEICSICGENPHVKCH >Et_2A_017271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32686602:32691419:1 gene:Et_2A_017271 transcript:Et_2A_017271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLAAVVEVLENLAFLANASNLVTYLMRFMHYTPSQSATTVTNFMGTAFLLALLGGFLSDAFFTTYAIYLVSAFVEFMGLVILTIQARTPSLMPPQCAKAGPGCSPVSGAKKAMLFAGLYLTALGVGGIKGSLPSHGAEQFDEHDPRGRKGRSTFFNYFVFCLSCGALVAVTFAVWVEDNKGWQWGFGISTIAILLSIPVFVAGSALYRNKVPTGSPLTTIAKVVLAAALAAGRGGRGRAQSASNGAVIDRAPSPTGSTEMKDYSCKPGDIDAAMAPPAEPSRELAFLNRAVQPPGIGGRLACTVQEVEDVKIVLMVLPIFFSTIMLNCCLAQLSTFSVEQAATMDTRVGGLRVPPASLPVFPVTFIILLAPVYDHVLVPFARRVTGTEMGVSHLQRIGTGLVLSVVAMAVAAVVEVKRKNVAADAGMLDSAKPLPITFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPPRMRSLATSLSWASLALGYYLSSVLVSIVNSATGRGGHQPWLHGASLNHYRLERFYWLMCVLSAVNYLFFLVLAIRYKYRNAGVIKE >Et_5B_044863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6673619:6677389:1 gene:Et_5B_044863 transcript:Et_5B_044863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLGVGERLLAVGTNRRAAKFSQPSHGRGYYTAVRDNGLSSRRKIPAVFSRIFSHYKVIVRKYRPEDHKCRATMSRGYRTHSLAAPNSSATQRAQLAWKRLSHTYTYRGPRFPFLSRAASSVGLSFRRFHIIPGVMALVVGKMAIERPVLADSQYMPTMDGIITKAQDTRHFLSSMVWSIWEGITLLIRAVHLAFLFFPATALAPFADNFSVASRKRWLSLVRRTLEKAGPAFIKWGQWAATRPDLFPSDLCVELSKLHSAAPEHSFAYSKAAIEKAFGRELSEIFDTFEENPVASGSIAQIHRATLKNNRTGKHVAVKVRHPGVGESIKKDFVLINLVAKASNVIPGLSWLRLDESVRQFAVFMMSQVDLSREAAHLSRFIYNFRRWRHVSFPKPLYPLVHPAILVETFENGDSVSQFMDEIKGNSRMGRDLAHIGTYAFLKMLLEDNFIHADMHPGNILVRVDESKPSRRRFFRPKPHIVFLDVGMTAELTRGDRDNLQQFFKAVATRDGRTAANCTLKLSKNQSCSDPVAFAEELDKTFTFWGTPEGDVFHPVECMHQLLDTVRRHKVNIDGNICTVMVTILVLEGWQRKLDPGFDIMHTLKTLLLDKDIKQPVDFFS >Et_4A_032853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14687265:14691364:1 gene:Et_4A_032853 transcript:Et_4A_032853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHRLTGPASTDADDADDWDNDGFVIPSLSVEESDLGDWEASRVSDPQPPPKQPAKDTENIYLGPHGAPPSRAKKPEDTSATTAYRDKNKVREADQKAFGTGRNNKGGNTGDFHRYNAASHLAEGTENWYLGPHVTIWRK >Et_7A_050294.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:20712410:20713305:-1 gene:Et_7A_050294 transcript:Et_7A_050294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASICGSASALLFLAFLCFAATLPPSANARDFPREDGTSAISDSKFSLSSLFGNTGDGHGSGTGHGFGYTISHGGSNTNIGFGGGVGGGAGTADAGGSSAGGGLGVGVDVNINKGGVDVGIGVGGAGAASAHNGSISVGAEGGEGIGIHIGSGGVTVTHGGSFSVGSGNGGAASGSGVGRSGSAVGSGQGSGNATGATGSGEGNGYGAGQGGYGGGGGRGTGSGSHP >Et_1A_005860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14864272:14873474:1 gene:Et_1A_005860 transcript:Et_1A_005860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFGDDGLLPLFSQPDQYSPVHSSVASRRPSASAPPTRQILDLNSDWSHLADYQALLQSGGAGGSGGAGGSGCAGGNGGARTGADGGSGGLPPLPSRAGNGGGQRTLGLRAPRGGGSVSMPAGAWDPRLLPVVVRFVAPAVARRNHQLEGAEVRVIVKMTVQLQMPTSKSFLQLKRVKLTDGVAELKKLQHGPPPFLDMLEEIFEGVAVDGSSSYTPGQMSMDVEEDGHDDDVLEIHEDSPNSNGSHKRTSSTSTTATSPSKKSKSPMLRIMNKWFTSSSATAEHQTNLFEQMASIKVATKQSALETYLERQRAQEDRQRAEEEKDRAERKQVQQLALEAGISETSAEYYAVSFICQKKEVREFFIDMQTPEGRDIAVMLFEDDSDDDDDLLAMMFAMQQHQKRVQDGLLLFVWKENLIDQWRKENLYL >Et_3B_029025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21424940:21428309:-1 gene:Et_3B_029025 transcript:Et_3B_029025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRDLYLVKLGMEVPVMDLSSDSEDEATARSPDHKRPTRQAVPGPDHGGGSSGTGGHGTDSLAEQGSAATSLPQFRDAVKKGKEKVGEGKSAWEAGPPKLCGGSLDARDCMETDPWSAQVDKAGGGGNNDADCWGDWGDQLRSDKRDGFQGRNGQQGLLHNGSDDHWRGILGARPADPVNTPWPSWDTGTRRDDVDMFAQGSQATHEVSGCLDILMEDSSSWLSRVEGLHFPLPDEYQLKARQIELDEKFARELDEKLNQELPGSQNSQAVDTAIAWTLQEQDAERARIAAREGQSSSSQRDRSMAHLYSYGRHSPAQSFSSWANHTPFTMPRRRGLPRDSNTPEVQQQNMFISQLAGGVFSDDMDLETRMAVLDSIHETFESFVDPYSQDSDDEYENLVALDDNNCHRGVPEDQINNLPLSVVEVENPDELCPICLDCPSAGDSLRHLPCLHKFHKECIDRWLGMKITCPVCKSKII >Et_3A_024608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22552922:22554778:-1 gene:Et_3A_024608 transcript:Et_3A_024608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAATAPSSSDAPLTQPQTQSSEQQRERRMESLGWLTESAVMPKKHKAIEGVSAGSILDLKAQLYRTQEEARKPGAPDAAAAASGEFRRSKKRSAPTDPLGAKNSGVDARAHKDKLELKAVKDGSASYAALEKKAELYEKLSRGELPDEEDKEKYCVDFFQKGFDRVYEPQLPESSGAPDAAEPENYHEDSMPNAKPMGLGRTGTTIDRDEHKRFVREVHEEVSEARQKASTVRSRRQEQDAARREKLRQAYLKKRLEKLIAEKQASSTNDDAPAT >Et_9A_060935.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19340102:19340842:1 gene:Et_9A_060935 transcript:Et_9A_060935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSQQKKKKQLLLFSRAVKGDKSRRPAQRPTRTRTSHVQRPAQSAPNEKKLVKAAGDGFPRAPNSNHLPRRRVLLLPEAAVIPAPHHCSPATSRPPALTAMPRSAPLPRRAPPHLLPRDALASPRPNPSIRRGTRRPLRGFRVGRWRRRPVVPGAVFPPGPGLRRRRGHVLVRLPRRRVRGGSCGEARVLRGWRRLGARLRAGDAARPHRLALRARRCCALWIPLICAPRTSSIPTVVFPSGPPHL >Et_2A_016425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24640091:24642582:-1 gene:Et_2A_016425 transcript:Et_2A_016425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASVNEELAEIDGQIGDILRALQNGFQKLDKIKDANRRSRQLEELTDKMRDCKRLIKDFDRVTKDEAGRTDPDTAKMLNDRKQSMIKELNSFVALKKQYASENKRVDLFDGPSVEDGFGEENVLLTSNMTNQQLMDQGNQLMDETDQAIARSKQTVQETINTEQMSRVINELDSIHFSIKKASQLVKEIGRQVATDRCIMAMLFLIVAGVIAVIIVKIVNPHNKDIPDIPGLAPPVNRRLLSIIE >Et_9A_061577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1463995:1464975:-1 gene:Et_9A_061577 transcript:Et_9A_061577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQPQQQQREQPRVHEQLPVGPTRWCPTPEQLMILEEMYRGGLRTPNASQIQQITAHLSSYGRIEGKNVFYWFQNHKARDRQKLRRRLCMSHHLLSSCAAAHYYYGGHGGHGGGGFHLAAPPPTTLPVAPGFFDQAVAGHLLAPTSPTPAAAAYGYYYPATAFAAAAAPASRCAGSGATTPPAAAAASVDMGPSRFEVAPPPAFCRPLKTLDLFPGGLKEEQRDVA >Et_4A_033417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22459272:22464891:-1 gene:Et_4A_033417 transcript:Et_4A_033417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGGLLTSAGINIGLCVLFFSLYSILRKQPQNVKVYFGRRIAEEHNRLREAFMLERFVPSTSWIVKSLRCTEDELLATAGLDAVVFNRILVFSIRIFSLAAILCVFGVLPLNYFGQDMHHVKIPSASLETFTIGNVQERSRWLWVHCVVLYIISVVACLLLYLEYKHIAKLRICHVSRATSNTNHFTVLVRGVPKSSKESFSRTVESFFTKYHASSYLSHQVVYKVGKVQKIVTGAKKAYRKFKHLKGSTVDQRCRAITLRCCFCGATSNSFRLLPSEIEQEGEKPQESEKSDVNESSLHSPDEECGAAFVFFKTRYAALIVAEILQTCNPMRWVTTLAPERDDIYWSNLWLPYKQLWIRRIATLLGSIVFMFLFLVPVTFIQGLTQLEMLQQRLPFLRGILKKKFMTQIITGYLPSVILQIFLYSVPPIMMLFSTLEGPISHSERKRSACCKVLYFTIWNVFFVNVLSGSAISQVISLSSPKNIPMQLAKAVPSQATFFTTYVLTSGWASLSSELMQLFGLIWNFIRRYILRTKGDSDFVFSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLLYFFLGYIVYRNQFLNVYCTKYDTGGLYWPIAHNTTIFSIILTQIICLGVFGLKESPVAAGFTVPLIIFTLLFNLYCRKRLLPLFKTFPAQTLIDMDTKDEQAGRMEDLHHRLHSAYFQFHDTDDDIPLEGVHTVGIDDDGCGTSGESNCKESPDQPESDLSHPTLEGLPVSQLRNAARSLSFIVKLQKRGLSV >Et_2A_015182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34474037:34474840:-1 gene:Et_2A_015182 transcript:Et_2A_015182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALEQIAKLNTAHLTDDSALPSSLESRFRLKSLPAAAPAPVKTLARSVTAPLASPRTPPQQPPAPTPAAQVEQQPGQDAARNEENSSPQQVRPPVGAPVPAVHNDDDEEDLERLFGSSGGRGRSTLRERNRGRDDDDGDSTSPPPPRQACCFGFSPKKPLQRTPSKSKKVHGSAGGGTGRDVLGVDADEWGDENRRMVTELKEQQRKLKKALEEQVKVSRETAKMARWVKQASARMTHTAAIDDLLSDCEDDDEFK >Et_10A_001384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2545499:2559389:1 gene:Et_10A_001384 transcript:Et_10A_001384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TVDLPDYLTADAPPLLPANGSAPYVGKNNRSEEVYGLAQCTRDLMAAQIGTFEVNLPPARPQTGVEKEGILASRETAKTRNGVAGQPASAMATRLVLVVVVASLCRMAVGQAPAAQDDNLLHPRWPSCSAADNYTAGSQFKKNLDQLLAAMPAKAPCRHKRRRHPLHRSRGGCRLPHQTAFCLLLRRRRRRRVRLQTTTAGREMEEGDDFFDGEATEMEDEFEKGTGPKRFGYGELAAATDNFSDERKLGEGGFGSVYRGLLKEMNLAVAIKRVSKESKQGRKEYAAEVRIISRLRHRNLVQLIGWCHDGGELLLVYELMPNGSLDAHLHGAGAPRLPWPRRREIVLGLGSALLYLHEECEQCMVHRDTKPSNVMLDAAFNAKLGDFGLARLVDHGRGSHTTVLAGTMGYMDPECMINGRANAESDVYSFGVVLLEIACGRRPMVPNGKELIHLVQWVWESYGRGAILDAADTRLTGEFDAKEMETVMVVGLWCVHPDRSFRPSIRQAVNVLRSEAPLPSLPPRMPVATFMPPPDAFYYTSSVATGGTSSISTGTTQSSTTETTTLLRSKSNGVAGRPTAMGSRLLLLVVVVTSLCRTAVGFLQPTCSRTGNYTAGSQFKKNLDQLLARMPAAAGDNGWFYNGTAGAAGGADTVYGLIMCYADHNAKQCAGCLAHAPAVTTICPGSRNVTMAYDACLIRYSDKPFFSMADRAEPFNRQWPAVAGINESALDAARSPLMNELAAMAADSPLLLANRSAPYGGSPDAMRGLAQCTRDLTPGQCSWCLTTRARRQTTTAERETEDAGEFFDGADMEDDFEKDIGPKRFRYSELAVATDNFSDERKLGEGGFGAVYRGFLKEMNNLAVAIKRVSKGSKQGRKEYATEVRIISRLRHRNLVQLIGWCHGGGDLLLVYELMPNGSLDAHLHGAPPLLPWPLRHQIVLDVASAILYLHQEWDQCVVHRDIKPSNVMLDVAFNAKLGDFGLARLVDHGRGSHTTDLAGTTGYMDPECTATGSFSTTSDVYSFGVLLLEVATGRRPVVVLQDGTAVHLAQRVSELYDRGMVLHAADPRLNGAFDAREMECVLVVGLWCAHNDRSLRPSIRQAVSVLRFEAPLPSLPKRTPSSSLLNSVHLSWDR >Et_3A_024509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21438478:21440201:-1 gene:Et_3A_024509 transcript:Et_3A_024509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLARSDSEKRAPRTPKPPPRRTKSIGPARPTNNPLAAPPRPPGPPPPGAPPGPPGPPPPGAPPPSRAPGGAGTPAPAPLRNPSKGRAPMGGDRMRRAPEIVEFYQSLVKRGEARQTGSLGAKASGGGSKAARSNLIGEITKNSPHLVAVQADVETQGDFVRTLAAEVREATFANIDDVVAFVAWLDEELSFLVDEQAVLKHFDWPEKRADALLDASARYLGLLQLEKQVASFVDDRTLHRDAALGKMYSLFEKTEKSVYRFLQERDAADAKINLVSRYKEQDIPLGWMSDTGLIVKIKLACVNLAKQYMNRVVSEFDTLSDNGRDQAGKPPFSSEQNREVLLHQGVRFAFRVHQFAGGFTSESLAAFDELKRWHTDGRN >Et_3B_028421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14998438:14999128:-1 gene:Et_3B_028421 transcript:Et_3B_028421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENPPALSPPARGKRSPETTTTTVEASAQRRATPETERARRRTMNCLYAELAALIPGLPARATKTRILEAAIERVGALRATAAELEAHRAVADGRRRAAAAARTTTTDGAGAGVLASSVEASCFSVRLPAARRPRSVTRVLEAFHRNGAAVLAATVTTGTAGEAAVTVTTSAVAPAVVERIKADISSSLS >Et_1A_007581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35981445:36001229:-1 gene:Et_1A_007581 transcript:Et_1A_007581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRELLRIIEAALLGPGPPSPAQRVELFHAVRDAAPAFLSLLSYPGPKASDRTQVESKEVRLPDMPPITLDDTDVQTALKLSDELNLNEIECVRLLVDANRQWDLYGREPLEIYRLAAGLWYMERRDLITSLFILLRSVGLDQGLDADLISEIQEQMEVLFSDGLRQRIITLIKELNREEPTGIGRPGCERYVLDFRGALVERRAIVSRERLSLSHCLALSGIIKLMSPKEVKDVFLILKDCAAEAIENASVELQITYGVLFSLVVTFVSDILSTSGEKASLSSESSFRHEFHELVMRTGNNMTVEGFVGVVRLAWTVHLMLTQDRGSSRDMSDIWSCLEIICRHNAFEFFRERVLRTAAYQNDDEDIVYMYTGYTHKLMMCFLSHPTSRDKIKEMKENAKAALSPYGPPRDHREDPGSNGEQIGQPTNQPFVSLLELVREIYQKEPELVHGNEELWTFVMYAGEDHTNTQTLVAFLGLLSTLASTEVGAAKVYELLQGKVYRWVGWSTLFDCLSIYDEKFKKSIQSSASMLPDFPEGDAQALVAYLAVLQKVVENGNPTERRKWFPDIEPLFKLLSYENVPPYLKGALRNAVAAFINVSPLLKDAIWSYLEQYDLPVVTTPVGHHSATQVYDMRFELNEVEARRESYPSTISFLRLVNALIAEERNISDKGRRFMGIFKFVYEDVFGPFPQRAYADPQEKWELALACLEHFRMVLNMYDLKDDDIYASINTSAPSSIAGQLPVLELLKDFMSGKVAFRNIMNIILVGVDSLINERTTQTYGILLEKTIHLSLEIFILVMERDLALADVFRPLYQPLDVVLAQNHRQIIALLEFVRYDYLPQIQQCSIKIMGILSSRIVGLVQLLLKADVAKAIIEDYAACLEFRFDDSQFIDNAKDDVGVLILQLLVDNICRPAPNITHLLLRFDVNGTIERTILKPKSHYSCLKIILDNLEKVAKPDINALLHEFSFQLLYELCLDPLTCGPVMDLLSTKKYQFFSKHVGTIGVMPLPRRNSNQSLRISMLHERAWLLKILALALHQSDTSSSVYREACMAILYNTFGQCVENLRSTNLFHSPDTSAGISGGTTDRNKVLDLLEVIQFRCPDTSMKYPQLLSNLSVESKIEEILRNSATSEFGGVYYYSERGDRLIDLDAFHEKLIQMSQELSSQPSELEKGGLKELVHHLLKWAWRYNKNLEEQAAQLHMLTGWSQIVEVAVSRRMSLLEDRSELLFQLLDASLSATTSPDCSVKMAYVLTNVALTCMAKLRDERFICPAGADSDAVTCVDIISAKQLSNAACSSFLFKLIMATLRSESSEALRRRQYALLLSYFQYCRSILDSDIPPSVLRFLLLEEQEGDEDDLTLQKVLKEHNDLAHANFSIISKEAQAIVDLLTKDAIHGSETGRAISFYVLDALISIDHEKYFLNQIQSRGILRSCLSDVTNYLSKDMSLSSESSQRFCTIEAQFSLLLRISHHYGKHGSQILLSMGALQNLSSFNLVGLHKKGNSRAFTSIVKERAGEIDKKRSLIAPVLRIVTSFSSLVDSADFLEIKNKIVREIMDFAKQHQSVFNSILRENVSGANLFTLERLNMVVSILSKVWAYEENEECSYVQDLFSIMHSLFSLDFGSLNFMQSANMIENQKSELIVFGVCFSLISYLYFLATKKNMRFQVSYSHSSDQQQPTLQMVSDLLNSITLALERVAEEKYLLLNKARDLNELSRKEVDEIIKVCMKQDCISPIDNIRKRAYAKDISSFSDELLPVLERLEHLKEDKVGRSLKLFHRSVTTLKEMTIRSMTQ >Et_5A_042104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6360380:6366188:1 gene:Et_5A_042104 transcript:Et_5A_042104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLETFSQLARRASKYREDWINIWGSLGTYSCHAFHRYSSSAMSCRASHYCTSLFSRDLGVKWGLTVSSQCVRFIAARDCADRKRNVIFSRSRDDCQTITEEDPYLLLTGPTRAILLLDPVFIEVMLKVKGAVECEDKTLNFQVIECIEIITGCSRMIYGSYTSKLSTLKLTLGSMDSSVEATLSIRVLNGSVPSAFSVIASTRSREETDCGVLLLGSRDTILPLSDDGRIKLSRYVVSVPVKGDLVISLATSEPSLKTEESTKVSFRAMETGRSSRMLQLILCFDRYKWSLAQVKNLERRFQGPHRPTNATEAVCILFDSDRLLFHVALGSSVLLTTRADDTSFPVNVWPKPVSMSWAEPHMAVPVSPSFTIVVPPGNPYLASAASRYARLLLTERYHPIVRPAVNVTAGSAGAPLEKLAVTVSDPAAPLQHGVDESYALAVPAGGGSGAATLTAATAWGAMRGMETFSQLAWRGSGNLLVVAAGVRVEDRPLYPHRGLMLDTGRNYIPVADLLRTIDAMGANKMNVFHWHITDSQSFPIELPSAPELAEKGAYGEDMRYTVEDVTRIVEFAMSRGVRVVPEIDSPGHTASWAGAYPEAVSCAGKFWLPDGDWNNRLAAEPGAGQLNPLSPKTYELIANVVNDLTSLFPDNFYHAGADEVTPGCWLTDPSIKAYLDGGRGTLSQLLERYVRAVHPLVASRNRTAVFWEDVLLDAAVNVSAAAIPPATTILQTWNNGPNNTKLIVAAGYRAIVSSASFYYLDCGHGDFLGNNSVYDDPNSDFNSSGGSWCGPYKTWQRVYDYDIAYGLTADEARLVLGGEVALWTEQVDAAVLDGRVWPRASAMAEALWSGNRDAAGRKRYAEATDRLFDWRHRMVGRGVRAEPIQPLWCRTRPGMCNLVQ >Et_4A_034775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6399465:6407461:-1 gene:Et_4A_034775 transcript:Et_4A_034775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPAPRHVAYSSRVPDRTAPNSLFLLRPAEPHLATRQSAPKHRMPRSLVGGDLAVPDMAQPYMKKDDDEEDVEYSPFFGIEKGAVLQEARAFNDPQLDARRCSQVITKLLYLLNQGEAFTKVEATGVFFAVTKLFQSNDAGLRRLVYLMIKELSPSSDEVFIVTSSLMKDMNSKTDMYRANAIRVLCRIIDGSMLTQVERYLKQAIVDKNPVVASAALVSGIHLVQANPEIVKRWSNEVQEAVQSRSALVQFHGLALLHQIRQNDRLAISKLVSSLTRGSVRSPLAQCLLIRYTSQVIRESSMNTQNGDRPFFDFLESCLRHKGAETDMVVLEAARKITEMDVTSRELASAISVLQSFLSSTKPVIRFAAVRTLNKYRSMLNFLSSSLREEGGFEYKKAIVDSIITLISEIPDAKELGLLHLCEFIEDCEFTYLSSQILHFLGNEGPRTSDPSMYIRYIYNRVILENATVRASAVSTLAKFGAIVDALKVRDRATLYLQTLGGEIAVGDNEKDVKDFLFGSFDVPLANLEASLRTYEPSEKPFDISLVSREVKAHPIHEKAPGKKPHAAAAAGGAPPASVSAVDSYQKMLSSIPEFSGFGKLFKSSEPVELTEAETEYAVNVVKHIYDSYVVLQYNCTNTIQEQLLEDVTVCVDATDAEEFSGICSKPLRSLPCNETGQIFVAFEKPEHVPAIGKFSNVLRFTVKEVDTSTGEAEEDGVEDEYQIEDFEITAADYMLRVAVSNFRNAWENMDPESERVDEYGLGVRESLAEAAIAVINILGMQPCEGTEVVPKNARSHTCLLSGVFIGDVKVLVRLSFGLSGPNEVAMKLAVRSDDPEVSDKIHEIVASG >Et_3B_031545.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:467494:468336:-1 gene:Et_3B_031545 transcript:Et_3B_031545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPALQDANAKPTPPSPPNKKKKKKKQKKKQQPEEEEKPPAAHHQQTPSLEAMADNETENPSKPPPPELAGRRVVKDNPFLMRDRRENKSIDASTPKSWRRRDPFEGCPERRPPGASGGGVVLYTTTLRGVRRTFEDCERARELVEACAEAAGIGAVDERDVSLHADYLRELRDLMAAGEEGAPPPRLFVMGRYVGGAEECVRLSESGKLREMMRWAKARGEACAAKDGRGCMGCGGARFVPCWECGGSCKVVVLAAGDQLVQRCAKCNENGLMMCPICH >Et_3A_026425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7901446:7905447:-1 gene:Et_3A_026425 transcript:Et_3A_026425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLFRRLAGAHRGRVPLAAAACGGAALFYASSSPTVAHMEEKAEEAADKVALNPDKWLEFKLQEKAVVSHNSQLFRFSFDPSTKLGLDVASCLITRAPIGEEVEGRRKYVIRPYTPISDPDSKGYFDLLIKVYPDGKMSQHFASLKPGDVLEVKGPIEKLRYSPNMKRQIGMIAGGTGITPMLQVVRAILKNPDDNTQVSLIYANVSPDDILLRKELDRLASSYPNFKVFYTVDKASNDWRGGVGFVSKDMILKGLPGPGEDSLVLVCGPPGMMNHISGDKAKDRSQGELSGLLKELGYTAEMVYKF >Et_7A_051843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25448393:25451133:-1 gene:Et_7A_051843 transcript:Et_7A_051843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTALPPAHPAHRRLRRSATAGLDSASDPGALPRCDAQEKQRGKVVQGADGGLDLKEEEDELEEFRLPMSHRPTENLDTEGLEQASVHTHLSASNVGFKLLQKMGWKTGTGLGKNQQGILEPIRADIRDAKLGVGKQEEDDFFTSEENVQRKKLNIELEETEEHIKKREVIAEREHKIRSEVEEIRKVFFCNLCNKQYKLAHEFESHLSSYDHNHRKRFKEMREMQSSSGNRDDRQKREQHREKKELAKIAQLFRADAHRKEQQQKQKEDKSDTPLETVAPKTVAAPIKQDQRQTLKFGFTRMAPSKAPVGSASKKPKVATKVSSVFGNESDEDE >Et_10A_001778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8090516:8093806:1 gene:Et_10A_001778 transcript:Et_10A_001778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSGANFHPQQPSPQGMPPPRHGPRPSGLQTSLSLASSEQVGSPDMQEPGSNSDPGHDSATESASSRDTWPAEPNQSNGGGAAGAASRADKEKEVANGASKLQVVRGPSRLSAMLLREVARERVDLVAEKMKIFPEEHLDEIKNELKSILEGSGGPQHVEEFLYLQKHVQERVDLTPTMLSMAHQVQLEILVAIKTGIQAFLHPSVSIPQSRLVEIFLFKRCRNIACQSALPAEDCRCSICANRHGFCNLCMCVICNKFDFEVNTCRWIGCDFCSHWTHTDCAIKNGQIGMGQSVKSSTGRAEMLFKCRACQRTSELLGWVKDVFQQCAPGWDRDALLRELEFVCKIFRISEDSKGRVLYRKCAELIERLRNAPADSINPRMILQALQELEMDSPKNSENEDSGRLITPQEACNRIAEVVQEAVRKMELVAEEKMRLYKKARLAVESCDRELEEKVREAQELKAERLRKKQQVEELESIVRLKLAEVEMFQLKASEARQEAERLQSIALAKSERAEQDYASMYLKRRLEEAEAEKQFLFEKIKLQENQRPPQASSSGAGDPAQTMMLSKIQDLLKNVRSMQPKSEGH >Et_6B_050121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6844253:6851890:1 gene:Et_6B_050121 transcript:Et_6B_050121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEVAPLVTEKVARMTAPASMQTEMDIGDNEPASPDYGAILSPKTKAELAELEEQVLGKPSGPALGDIPEALMGEPRRSKCRAASADNVSADRAGKLKAARNLDGEFAKGNAILSPYVSFNMDACMRREANEKT >Et_2A_017714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5391191:5392505:1 gene:Et_2A_017714 transcript:Et_2A_017714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLEAVVFPQGHRGIPCRTTCCVLCGYGELEGVKRGGVVEQEREELVLATPQYSTQRVCWAWPADVEGAATTWAGPAGPVQHALRRPRRRRRPAMKEARNFMGDAESQRLNHIAVERSRRRQMNEYLAELRALMPRSYARRGDQASIVGGAINFVKELEHRLQSLHAEKRTEPFARSFFTFPQYSVVSAAAEVVDVVDSSTTRPGVADVDAAVSDGHATVKVLAPRRPGQQLLLRLLLGLQRHGLAAQHLNVTTTAGQMVFYTIILRVRSVPEHHHKLTFWLQAIARAIFTTRTSMGDGCQLSSAGDVAAVVHDIVAEAKTSEERATWDLPIDFGYQIKETFSSTAVLG >Et_7A_052917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25420861:25421847:-1 gene:Et_7A_052917 transcript:Et_7A_052917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLQAKSFRRKPSHQSRKAARWPTRVVDGFRRMLVGLFSFPPRPPKVTFSSADGEHHRGGGGGGDVPKRSSWSSSNLHPLNAHYDEAISDCVEFFNKSARVDLRLRKGIFKRLRPTMQK >Et_1A_007126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31060700:31061384:-1 gene:Et_1A_007126 transcript:Et_1A_007126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCASMLQRKAKKGHPEPRRGTPKALFVIRNERGPSKPQALVVQTQNSGGSRFQKPGKAQADSGKHEKCRYDCTDVLGRRFCLPKPRFPCGSTRSVPVEPVSTEGKGESVNQGTTTQRSVMEGCRTPMTPRTPVWQRRILMGTRCELPRFSGLILYDEHGRPLQSSTQNRADHLKSRAPKPESKGKMKTARNTTTLRDLL >Et_4B_036107.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24585930:24586193:1 gene:Et_4B_036107 transcript:Et_4B_036107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGASTPFLWAFEEREKLLEFYERVPGARMHASFLIFRVMKWTAATTQIKHSPSLSFLVFKDGFSYAVSAWLREITKFPLHVGRPP >Et_7A_050769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10937536:10937863:1 gene:Et_7A_050769 transcript:Et_7A_050769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGFMKLLALVLLLVFGSPLHQQVGVGAIRLHDRRQHAKQWEEERNQLRSFMTMDYNPAHRRIPKHN >Et_2B_019841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14387163:14390109:-1 gene:Et_2B_019841 transcript:Et_2B_019841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAATSQQQQAPLAPSAPAREDAVAQVDQFLREALEKPRERLSVLRMEQEILRFIRDPRQTEFEFQGLPTSYLRLAAHRLAQHYFLQSIAIPDNSLPDGTGSRIILRKTSSECRLPAVRLADIPANLPQDQSLPVTKVAIKQRPQKNLHSMNISGAHSSRDNLKSVEERKEEYNKARARIFNNSSSSSSADGRSVDEVALPNTLHRSTSLELNSSNRLGQGAEITLERSLTTTSASSRSNRNKIEKEPTGNRNRQNNRVAIFRDRESERKDPDYDRSYDRYMQRFDPGFGFNGGAYTIQPLYAPAVTYNTEFPQLGSALRSPVAVEQQPRPIGQHIPGTWSAAQAPNAIGYGPPDGGMSPYSPGQTGPPVRSPVFMHASQQYAMPSRPGVPFVHPQESMRPFAQTHHQQQSEASLRLARPR >Et_3A_023031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19513592:19514540:-1 gene:Et_3A_023031 transcript:Et_3A_023031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWGTGQEASWRLDLLAPTVRCLLHGLLGEQHLVDVGKNTAVGDGDVGQNMAVGDGDASQENVTQDDAGLLGVLRGVPVELH >Et_9A_062829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5550454:5552781:-1 gene:Et_9A_062829 transcript:Et_9A_062829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQAGDVQARRDDEEKLDFKGGNVHIITSKDSWDQKLAEANRDGKTVVANFSASWCGPCRVIAPIYAEMSKTYPQLMFLTIDVDDLMDFSSSWDIRATPTFFFLKDGSQIDKLVGANKPELEKKVHAIANRS >Et_4A_031915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29484808:29489914:1 gene:Et_4A_031915 transcript:Et_4A_031915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSQVQKLLAGVANFAQQCAEPFASRLTTEMLSDSTAILLAPASFAITKPSRTARASIVRASSKSSYHRD >Et_9B_064936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19982569:19984366:-1 gene:Et_9B_064936 transcript:Et_9B_064936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IKKVTWIHTIRPAATRKHGKSKQRSVSLNLYPYSYAGHLPGDHEHQVVPRRRQLRLLWRVDGDGMAPPLVDHPGGRQDQQPLVHGVAVRGEGRRVHGDRDVGSGDALGGRGEPERERLPVPHAVRGHGPRVDLRAVGRELLAVVGRAEALDAHLGGEVDAAEVVCGVGVAAGDEHGGVGQHGAGGVVHARDGGRGQLPEPRAPRLGRRVEHRVEDGLAREAPAGAALGGPVEEEQVAGGEDEHVAHDAAHGHVLGLPPRVGPGQVDAAAVAERRLEREVLAQLERRLERAAADDHLRLGVASGCGVAEVEREERGHGGRRVVARPARELGELAHDFVVPVVEDDGAVVGEDEEVAVGEEVDERVQVVLHVVGGLAEERHVYGPVVGLPRKRDELVAERAAAAQHDEPAVGEHVLRRVPPALGEAARVLQPVAGVAGLHSNSTACAQQSMVPSSLARGSTRQLPMDRRSRDRPVVVVSNMTALPCRITTMCIADTPEFWLTMVHLPTGPSPASTRSFTLSLAALLGGSEGGTLVSPVAVAGAAPCSSCSVCTGAAGS >Et_2A_018454.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28566223:28566564:-1 gene:Et_2A_018454 transcript:Et_2A_018454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMASRMMAAVTLAFLVCALLSWTPEAARMGKKKGEFAIAAGVNANDGGISGVNAQQRREFIGRRPRLASFTRRDDVAPPSVPDGSSKREVPGGPDPIHHPGDGVPPASSADP >Et_3A_023805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12530062:12542126:-1 gene:Et_3A_023805 transcript:Et_3A_023805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYILTISPSSVPSSLPPFSTPPSDLPTHLLAERHAIGMFGAVPPSPRPPGLQSQGPSHPSPSPYPYAATVAFSPFLPIAPRPSPIVLPCFRVSRPRSRVAMSAADSVAIGSSVIGASSDAEAGSLAPDSVGVGENDLLIVGPGVLGRIVADMWKQEHPGCRVFGQTATTDHHSELTEIGIIPSLKGSIAGPKFPYVIFCAPPYRSDDYPGDLRVAASNWNGEGSFLFTSSTALYDCSDNGLCNEDSPCVPIGQSPRTDVLLKAENVVLEAGGCVLRLDAASLAIAIMKKRLRGRVFVGCDNQPLSRQEIMDLVNRSEKFDTKFKGFTGTDGPLGKRMENSKTRAEIGWQPKYPSFTEFLGLSNFVSRSSLYPSISSSCSWKPAPTARPARLLPLVRRAPVVAASLDISHDKGFGMSGPNNEHPGSKVFGQTASTDHHSELENIGIIPSLKGTTFSQKVPYVIFCAPPSRSDDYPGDARVAASNWNGEGSFLFTSSTALYDCSDNGLCNEDCPSVPIGRSPRTDVLLNVENIVLDAGDRGAHTFWLRKGTLDSRPDHIVNQIHYEDAASLAIAIMKKRPRGQIFLGCDNEPLSRQEIMDSVNKSGKFDTKFEGFTGTDGPLGKRMENLKTRAEIGWEPKYPSFREFLGVSS >Et_10B_002434.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:273587:274892:-1 gene:Et_10B_002434 transcript:Et_10B_002434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDPEQGAVEQGVEDPGADAAAPAGDAAEVHPDDADVAGEVAPEQRELASERDGGASCGAGGGEPRAEPEPEPEGDGVGQRGREDGEHLERLRELEPEERHGDGGGVREDPRRGAAAALQDGEDAPRGVEVAGEVVGVGPEEDAARGARPRREAEQPPERGRAAAPAPRPPRVPYLRRRRQQRPGEDGRRYGRHQQRVRRRHGAQRERPAAAEEGAEEGVEGEAERDVGGEEAEEEGPGGEPQVGRAPPEPHDSRVLGEPVGGGAKHVAGHHGSLERGGGGGGGGRKGGCGCTVWRMERDLGKTVMDIYIALPSPLEIGWFLLSSLLLHLSV >Et_1B_014106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30929870:30939092:1 gene:Et_1B_014106 transcript:Et_1B_014106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQASSGRRGHRVRHVRLGSLLRLRVRLFSVAGLLARCLEELNCCPRRWSPARAHKVIGDTSRCPRPAAAERESSFHAQAIADCLEFIKRSSYPPDVPRGIRCKAGSLTEASVDGSCPAQNKESSCVPHTVLSRPARKPGEDSTSGTVRNEASVGAPSPRRYLNLDSKPTNIEHSSWKILIADNALFFNKDKQRYCLMAPRMVRHCRQPSSAIQVTTSYPAATSDPQGYLALRTSLRVCTGLEHLAETLPPDGNGWTPRRGDEDTEGSELHYVVRESAARKDGGEEPGGGGGRVVAGAAEVAEEETVVEELRDAVQCGVGDLRGGGLESEEQRVERRVGGGRRQAEQRRGLRRGGVRLRVGRGGEEVERRLGRGHECRMLPPRGVLDDPVQRRAKHAARRRRAAERSRGRRHGTDFGRGRLSDGRRRRCDGHVIGGGEI >Et_1A_009559.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:8145353:8147371:1 gene:Et_1A_009559 transcript:Et_1A_009559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHEPTMCPLPLLLLLTLHRLHLAASALDQFTFDGFAGEKLTLDGTAVVTADGLLMLTNGETQIKGHAFYPSPLKFQASASGGASVRSFSAAYVFGIVSEYADLSSPGLAFVVSKSNNFSTALQSQYMGLANAGNNGNATNHFLAVELDTIVNAEFGDMSNNHAGVNVNGLVSLVADNAGYYYEDGAFRNMSLLNRTAAQVWVDYDARASVVSVTMAPLEVPKPRKPLLSAAVNLSAIIGGDEAYVGFSSSTGVVSSRHYVLAWSFRMDGPAPPLNISKLPALPATPFPKAPSKTLKIVLPIASSALVLALAVAVLVIRRRWHRYAELKEDWEATFGPHRFSYKDLYRATDGFRDDHLLGIGGFGRVYRGGLPASGAEVAVKKVSHESRQGMKEFVAEVVTIGQLRHRNLVQLLGYCRREGELMLVYDYMPNGSLDRFLHDHQSRPALSWTQRLRIIRGVASGVQYLHEDWERVVLHRDVKASNVLLDADMNGRLGDFGLARLYDHGTDPHTTHVVGTMGYLAPELGHTGRASKASDVFAFGAFMLEVACGRRPVMRDAHGECRLLVDTVIEHWRRGAVTDAVDPRLRGDFPVEEASLVLKLSLMCSHPLPGARPGIRQIVQFLDGTMPLPELSEAHLGFNMLALMRNQVLNSHSGSTTVAGNISDVPTVR >Et_1B_013990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26639722:26642357:-1 gene:Et_1B_013990 transcript:Et_1B_013990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTTVLAAILVIDLVAFGLAIGAEQSRPSARLETDARQEWTYCKYRPDAATGLGGGALALLLVGQAVAAFASRCFCCGAALRPGGPRACALILFLCSWYAPPIPIHSFLSPFPARLATLTSHKTLTRGPREPVTSGSVGLSSLTFIIAEACLLAGLVQSAYHTGYRKVIFVNPPDCETVRRGTFGAGAAFAFITCLLTSGYYYFFSKSRVSIHRREATIGMTPL >Et_2B_020887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24594647:24598572:1 gene:Et_2B_020887 transcript:Et_2B_020887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMERGRHAPRVLLLLTLQLLIARATTSSASTFLELEEDVVLGTVGDAAAKASSKGANASTRRGGVVGAGRYLVGWKDEIAAMAARPELAAWLRGVRRRIHERPELAYEEVETSRLVREELDAMGVGFRHPLARTGVVATLGTGRPPVVALRADMDALPIQEALEWEHKSRNPGKMHACGHDAHVAMLLGAARILKSREHHLKTQQTANSIVQGTVKLLFQPAEESGCGAKRMIEDGALEGVEAIFAVHVSHQHPTSVIGSRTGPLLAGCGFFKAVIRAETQEQQHGASRPRRRLPGDPVLAAASTIISLQSIVSREADPLDSQARAYSIVSVCRRLANDSIQELTCADDVVSVAVVNGSESGVHAGGAELVLGGTFRAFSNASFYHLRRRIEEVIAAQSRVHGCAASVDFFENQSFYPPTVNDARMYAHVKRTAAELLGAGGYRDVAPMMGAEDFSFYSQAVPAGFYYIGVRNETLGSVHTGHSPYFMIDEDVLPTGAAFHAAVAERFLADHASLMADASAPSSDMIEHEL >Et_10B_003771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5783935:5785393:-1 gene:Et_10B_003771 transcript:Et_10B_003771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGEIISRFEKKGFVLKGLKLFQCPKDLAQEHYKDLKDKPFFPKLNDYITSGPVVCMAWEGDGVVASARKLIGTTNPLQAEPGTIRGDLAVQTGRNVVHGSDSPDNGKREIGKFNNSSVSLC >Et_1B_010830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14581976:14586442:1 gene:Et_1B_010830 transcript:Et_1B_010830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKRRGRFRNSIRRMAMECLCSGEQLNGADETIRSSDSSITKDFSASGYSSWNGEIEKYLDNGNIEEAELSLQEGVCLNNEEARALLGRLEYQRGHIEAALRVFDGIDISALVPKMKISIARKADRRRTRSQWDSPPMPLHAVSLLMEAIYLKASALHDLGKFKEAAQECRMILDIVEAAVPEGLPAGFAKGCKLNEIICKAVELLPELWKLGGFSVEAIASYRRSLLNNWSLDGETIAKIQKNFAVFLLYSGCEAHPPNLHSQLDGSFVPRNNMEEAILLLMILLRKFNLKRVEWDPSVMHHLTFALSMSGQLNPLAKQFEELLPAVLDKREWLYNVALCYLAEEDDLSALNLLKRILKSGEDSDNLKELLLASKVSSEMNAYTEGASYARRAIASMQGGCKQMEGVAELLLGVTLSNQARSAVSDTDRSSCQSEALEVLGNAAKKMHVKDSRLMYSLSLENAEQRKLDVAAFYAKKLVKLEAGSELRSWLLLARILSAQKQFADAETIVDAALDQTGKWSQGALLRMKAKIQTAQGQLGNSVESYTQLLAIIQLRAKSFSTGIHLEKGNQYDKSLEAEIWYDLFLLYLSMGQWRDAEVCVSKIRATSPYSALAWHATGKIYEAKGLTKEALGAFFRALDLDPKNVPSLISTAIVLRKLGDRPLPSVRCFLTDALQLDRTNHVAWFNLGLLYKEEGGRSAAEAAECFQAAALLEETAPIEPFR >Et_8A_058022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14341839:14346290:1 gene:Et_8A_058022 transcript:Et_8A_058022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLDAAAADVVVIKVPRSFNMFEDDPKPSNEEKIITQETSVDILGGDKSIEIAQETSNVGGQQRTPHLAPDQFASKGSKSDAPMPKMVRPFFKSVRPVSIEKLKTREFSEILACTTKEGKGRAKHKGKKPKFTFKELLAKYVKERDVKNASRPNNVKQTWSPSYCEYGVWNWREKEVPTTVPYPYLGLPMPVSYGPPPIGCYPYSLWRWDGSWAHPPSYYGPYHLRCTAQRKQMFEQPYVKDHFQRTNWSGAQEKKMLVKQVYRVKRDGRKDKSSDMSSSDEKLNNMDKILATSGMKKKPSKANISSDKSGQNKTKVHNVKECCYPKQM >Et_8B_058698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16899828:16900865:-1 gene:Et_8B_058698 transcript:Et_8B_058698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGRSDDVHAYTRLPSEEAPVKVTAIVPTAAAEEDLHGKGAAVEEVEERDAPATPEVISAGAVDGAASWTAAPSPAPVHAVAPDLDAAILPGLRQIEVVLPPRETTVVEEACDVVDMEKKKRDKAADDAAVVEDDDNSVTTPKQQQPATALDVTVTVTPRSRAARSGKPAVVLYFTSLRGVRRTFEECRAVRAILRCHRVRLDERDVSMHAAFRSELRDLLGGAGFEDPALPRVFAGGVDLGGADDVRALHEAGELARALAGCDEAARLGAGACVACGDARFAPCETCHGSCKVFVDDQGCRFAGFFRRCPDCNENGLIRCPVCCY >Et_9A_062007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18853411:18854206:1 gene:Et_9A_062007 transcript:Et_9A_062007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSQWRTSSPASSRSTEAVLAVSGFQPILLPFPLYLTAGSCLRHSRTSRNSSSPTATPRPSDAAFLTAILHPPYSGIQLLPVPRCRHTSASLSQPTAFGTSMVAISQDSLHSMLAGCPALNSWELCYKASPQRLLMPPSALHFSSTLRIAQFGYCQFPNVAARQAHFPKLEQLRLVSVSISEDSLHAMISGCPALTCLILDYCSGFLQFRISSLKLKRVEMDFVHRDEDEVRLEKLIVESAPCLEILYHRGVYKYNMHTQ >Et_4B_038469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29274685:29281145:1 gene:Et_4B_038469 transcript:Et_4B_038469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDPDPSSPPPPATHRDWFFPPAPPFLSSSRPRNPRTPFPSTPRPYKPYSRPPYSLADRRPPPTPRSRSRSPHPTPEQQQQPPAPPPSASRRSDPRYAGVRRGDVLTAASEKAAPPTAAPAHGRKPGDSAITQRWSGMLSAAVILLCLASLLRRNFTLHDQVYRLQEQLAAATAKLQSCTAGSSSDTRDINFMYLDDSNTMQGRSLKNLSLLISLSILYVPLVILKYIDLVSKIRSSHESEEVPINKRFAYRVDIFLSLHPYAKPLVLLVATLLLIALGGLALYGVTDDSLSDCLWLSWTFVADSGNHANAVGFGPKLVSVSISIGGMLVFAMMLGLITDSISEKFDSLRKGRSEVIEQSHTLILGWSDKLGSLLNQIAIANESLGGGTIVVMAERDKEEMEADIAKMEFDLKGTAVICRSGSPLILADLKKVSVSKARAIVVLAEEGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPKLDGMRFEDVLISFPDAIPCGIKVASFGGKIILNPDDCYVLQEGDEIIVIAEDDDTYAPAPLPKVKEAFYIDIVHPEIKPQKILLCGWRRDIDDMIVVRRGYLPKDFVVPKSPERILFCGWRRDIEDMIMVLDAFLAPGSELWMFNDVPEIDRERKLIDGGLDFSRVENITLVHREGNSVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPYREATVSHVPRGSFSEGSWIGEMQQASDNSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEQGNEMQIHPSDLYLREDEELNFFEVILRARQRKEIVIGYRLEGAERAVINPIDKVSRRRWSPKDVFVVIAEKE >Et_1A_009437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5380087:5380835:1 gene:Et_1A_009437 transcript:Et_1A_009437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVAGLVVVLPCCKLPRRELDLEAVVDVVDHGAGARHGLHRWVLDVEALLQVAADVVGAVVVLVVDGLLLGEAAAAQPPDDAGGDGEEADDCEHGEDRAEGALGRGGGRLRVHDGAGWVGRSLNASDREKGGCFGIPLEQFNASLE >Et_3B_028868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:259306:260640:1 gene:Et_3B_028868 transcript:Et_3B_028868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAQPSSSLLLFSLGLVLLYFTSGSTIRLAEGQKTWCVAKPSASNEILALNLNYACSQVSCAVIQKGGPCYYPDNLVSRAAVAMNLYYAANGRHAWNCYFNNSALVVQSDPIRIVRHAAAAAAEK >Et_1A_004662.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:29131978:29132448:1 gene:Et_1A_004662 transcript:Et_1A_004662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKALGFPGGVMPLRGLEECGLVRETGFVWMRQKAPYEHYFRGTGTRVRYDAEVTAYVEEGRMKRMTGVRSKQVMLWVPIVEMSLDGAAKDKIYFKSNVGIGRSFPAAAFADEDDKEEGGKKAEEAAAAGASADEKEEGGKAAVAATTGASAVSNE >Et_4B_037806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23545814:23547702:-1 gene:Et_4B_037806 transcript:Et_4B_037806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRWGSWFSGGGGGDARVGGRAPEEPEPEVFSFAEPLPQWPPGTGFATGRMRIGGGELELAAATKFQKICTLSTPARRGGSVTFYRPVGVPEGFSVLGHYCQPNCRPLHGHLLVARATEKDGAPPQPQPPLCAPRDYSLVWAFQASGGGNVGYGLSDAFFWLPVPPEGYKALGCLVTTEPHKPSLDEVACVRADLTGECEPHGSLLHLPLARQQPPQSPASPRCAPAFAVRGVRPVHQDRGMRGKGIGAGTFCCAADGSSPGEQGLACLSNVDLDLSAMPTLEQAHALIRHYGPTLFFHPKEVYLPSSVAWYFKNGARLYRRAGADGGGDPAGEEIDGEGSNLPAGGCNDGEYWIDIPRGERGLAVCRGDIDSAELYAHLKPAMGGSCTDVAMWVFCPFNGPARLKLLPLNIPLGKTGQHVGDWEHFTLRVSNLTGELMAVYYSQHSGGNWVDASALEYVAGNRPVVYSSRNGHASYAYPGVYLQGSAALGVGIRNDAARSNLFVDSSAKYRIVAAEYLGDGVVAEPPWLQYMREWGPKVVYRSRKQMERMSGGLPERLRCSTDNMINKMPNELSWEEGPTGPKEKNMWEGDERW >Et_4A_033442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22915109:22932385:1 gene:Et_4A_033442 transcript:Et_4A_033442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDRLPQDALVAILSRLAPRSLAASRCVCKEWRATVDARCNLRKHLLPLSLGGIFVDLSYAPAPPAFFARPSMERKIAGKLESYVATGYHADFMYIQNICNGLLLLCGGHVVNPATRQWASLPPSPPTPDGLGNEMYIVFDPTVSPYYEVLSLPYVPGNFGFKGDLLEGTSWPPSPCIIQVFSSRTWRWEQRSFILEGEAAGTIADLKSSSEPCTHRHAVFWRQTLYIWFLHEFDHKTEWILKNSMNLEALSEHYSQNYDDRTTGHWILQDGNYDDENKEPIVETNFDWNSDDDNALNIKDWDPEGFCGYHIFGFHPYKEIIFFSKYQDLGMLRVNCSTDSIKTAFMYTPYALVAILSRLAPRSLAVSRCVCKEWRATVDARCKLRKDLLPLSLGGIFVTAWQEPAPPLFFARPSMARKIAGKLEWYVKLEFPDDYAYIESSCNGLLLLDQGRVVNPATRQWACLPPYPPPPDGFEGLWYDDDKCLIFDPTVSPHYEVLLLPYVPYDFEFEGNLLEGTAWPPSPCVVHVFSSRTGRWEQRSFILEGEPAGIIADRKSCWEPDALRHAVYWHETLYVHCQGDFLMRLNLTDYTYQVIKLPASTTENKYLQLYLGKSKKGVYLAHIKVYTCQLEIWFLSESDHKTEWVLKSSVSVKALVENYSKNHDDQTTKHWILQFRNYHDDQTTKHWILQHRNYDEGSEGPVEEANLDWNSDDDNALSLEDWDPQRFCGYQIFGFHPYKEIIFLHLPRRIVSYHFDISKFHDLGKLHMPYCSGDGIDTAFIYTPCWIGELSENARDDPWTGCLKTCSRASCPASRRAASPRPAASDGGGAPRSTRAACSARATSRSGWAASSSTCEAPRRPSSSLGLLPRRRCGPRSPRTSNATWSRRCRTGPPSVAGSCNGLLLVDKRVVNPATRQCARLPAYPTASPDGLHGSGDDCQECLVFDPAVSLHYEVLLVPYVYIDQGNLAEDGSDQWPPSPCVVHAFSSSTTRWEQRLFVRDADQVTAGSVVAGSQYGYYYGRAATYCHGALYLHCQGDSVMRLTLSNDTYRVFKLPAAGVDTSTGDLHHLGKSAKGVYFAIVHDSYKLKVWFLDESGGTVQWVLKHDANLKPVVAHFYRPASSSDDPTGRFWTVQDQDSDGDDDTERSGTVDENLGWDSDDDNDSGENLNFGYYIATIFGFHPFREAVFLRLGNDRIIAYHLNNSTCKVQDMGECQVEYLGKTISDAFVYTPCWIRELFDFDG >Et_1B_012927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4142795:4143913:-1 gene:Et_1B_012927 transcript:Et_1B_012927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASSTALLGGARLAGAGAATPSVLLPRRNLSPLRLQAALTVAREMPDAPRLSLLRVKASSDETSTSAASGDELINDLKAKWDAVENKTTVLTYAGGAIVAVWFSSVIVGAVNSVPLCVPSVSLLTTNLKSDVRVSVGHLA >Et_5A_041345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21493902:21495693:1 gene:Et_5A_041345 transcript:Et_5A_041345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHIPRRRRARHEAGALCFELLQAEPRRRAIEYLRRALLSADTEDSASSGGSRDGMGADKPHGSSSARFSRDAMGTPPTRPPPSPPVKKKRSLFNGLLSSPFRRPSLKQQQKQSPSKPPFAAVPDCAGNMKGKAASTSCIGDTKKTLIGAWITILRMRKSVAVAKLHLQSLRNNCKLIAILKGGQMVHLEEWPSLEKPYANSLSGTAQALNATVLHLPVSDGAMVGNITKIPKFVLLVTFLSCSLRHCILQADIQDLKNAVGSAIDVIQRIGNSTSIQLSKLARANVLVSQLSTVAIQELILMARCRELQSTLASMH >Et_8B_060069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5703141:5706272:1 gene:Et_8B_060069 transcript:Et_8B_060069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDVVETTLVAPSEDTPRRELWLSNLDLAVPKTHTPLVYYYPAPATTDGAAEGSFFTPERLKSALAKALVPFYPLAGRLGVGEGGRLQIACNGGGALFVVARADFTGEEIFTDYEPSPEIRRMFVPFTPSGDPPCVMSMFQVTFLKCGGVVLGTGIHHVTMDGMGAFHFIQTWTGLSRGLDVAEACGPPPFHDRTLLRARSPPTPTMDHPVYSPALLNGRPRPFVTRVYSVSPKLLADLKSRCAPGVSTYCAVTAHLWRCVCAARALAPGFDTRLRVPANVRHRLRPPLPRSYFGNAIVRDLVTARVEEVLARPLGFAAQAIKEAVDRVDDAYVRSVVDYLEVESEKGSQAARGQMMPESDVWVVSWLGMPMYDADFGWGTPRFVAPAQMFGSGTAYVTQRASKDDGIAVLFALEPEYLQCFEKVFYGE >Et_2B_021311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28565977:28569122:1 gene:Et_2B_021311 transcript:Et_2B_021311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEAIGTSQHAPLLGERNSGRSPDASLLRRLYAGHALARWGARMWEFSVGLYMIRIWPGSLLFTAIYGVVESSSVTVFGPLVGTLVDKLTYLQILGLWLLVQSLSFVVAGVSVTALLLYDDLKDTSFPVFLALVIVTNVSGALAALSTLAGTILIEREWVVVISSGHPPAVLTGINSVIRRIDLSCKLMAPVFSGLVISFVSAQASAEALALWNIASVGVEYWLFVSVYNGVPALADSNRVRAADAAAAVLPASEIATPPSERAMDWRARLTDGLSIIPCWDSWVVYVRQDVALPGVALAFLYFTVLSFGTLMTATLDWMGIPAYVISLARGFSAVVGIGATLLYPVVHSWVSTLRTGLWSIWMQWCCLLVCVGSIWAGTGVASAWMLMAGVAASRLGLWMFDLAVMQLMQDGVPESERCVVGGVQNSLQSAFDLLTYIMGVIISDPRDFSQLIVLSFFLVTCAAAIYTLHVYWVRKHLFHIDKILNKINW >Et_4B_038625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3494414:3495517:1 gene:Et_4B_038625 transcript:Et_4B_038625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHNAEPPQPHTSTPPLLLAVRHLPFPGIHRPRALPAPDLAPLARRLEELASAAAAHPLLKPLFDVHSHLSAFAQSRRRAVAARRAASPLSGEHCFAAVLGDSVAAVVVSNGINNFLNLYNTVLVVRLVLTWFPNAPPAIVGPLSTVCDPYLNIFRGIIPPLGGTLDLSPILAFLVLNAFTSTAAALPAELPNSSSPPQSGIASSSCLAPHDLTANQKKWMRRMRSGKSQEGDAR >Et_1A_004578.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:19895417:19895773:1 gene:Et_1A_004578 transcript:Et_1A_004578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPSWNNFLRSLLRFVVVRSGHNEGLGRICRLVFLFPRWQKEEEERQKKKFVGLLFSSLLPGVCPCTSSVCLCSLLYEASYRFGIEILDYTRFLDDQVVFLCVCNLMMYSTMV >Et_8A_056277.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19413150:19413404:-1 gene:Et_8A_056277 transcript:Et_8A_056277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVLRALCWALWLTRNDYIFRNRICNTPQAVAHRAVALMQRWKSLSSLEMKTRIEKIIEAFKNYFETFAGNSSRPVIGSLVTL >Et_8A_057186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22075022:22076171:-1 gene:Et_8A_057186 transcript:Et_8A_057186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEVVGRVGVTWRDLVDEKSHEDKAMDEYASISRHFKLPPKGVTPTMSETSRTSLFFLVRPIHP >Et_3A_027276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6664918:6669747:-1 gene:Et_3A_027276 transcript:Et_3A_027276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDMDDYMDPYEEAEAEAAAEAAGLGGPADEDSSDDPDEDDSEAESDYEEKSYGLLRSGNHRVRNPDGTFRCPFCPGKKKQGYKIKDLLQHADGIGVSSKHRRHGRERASHRAFARFVRTDPSFAQDLVGITGIAGAIAPEAPVNSNGSVSANGKAKAEVDAAGSNCAAPAPVVPPPPPQEVEKYAWPWVCILAADLGFNPEDFAGKVAMFSFDEVVPLFSDEMEGSETFAIVRFANDWSGFNDALTLENHFSVNRLGKNEWCARNDGGDAEKGEGGEVEVKVYGWIAREGDYNAANVVGRFLRKHTNLKTINDVSKIEYEKSGKTVAALASQIEAKNRYLQDLETKKNATEFSISRLEEDNRKLHEAYNEEMRNLQRRARENALRIFQDNENLRLELDNKRRELNSRAKQLEKLSAENASDKKRLNDERQKAKDDNSELELASIEQQRADEDVRKLLDDQKREKEDALARMLQLEKQLHEKQQLELEVTRLNGTLQVMKHLEGDDDGDIHEKMEKLSFKLEHEKKRLEELSGDLVRKERESNDELQAARKELILALEDELDGRTAIGIKRMGDLDEKPFANACKRKYGRNDYEDKAAELASTWQEELKNPSWHPFKMISQADGENKEVINDDDAKLKFLWIEYGDDVCNAVKTALMEMNEYNPSGRYVVKELWNFRKGRKATVKEVLKFLFGQMETATKRRRG >Et_3A_025632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31395783:31404471:1 gene:Et_3A_025632 transcript:Et_3A_025632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKPLLRLLLHLHAALLFLPADPAAGAMYNVLRYGARPDGATDSSGPFLRAWADACRSRRPATVFVPPGRFLVGSATFSGPCRGGAVTFANAGTVVAPARHEGSSSSSSSSSGRWITFENVEGLRVTGGTLDGRGRALWACKKRGRGHCPTGASSLTISNSRDVVVDGVRSVDSEMFHVVVIQSRGVTLRGVTVQAPADSPNTDGIHVHMSSHVAVYDARVATGDDCVSIGPGNSNIWIERVACGPGHGISPAEPTHPPPRLLPPRTASAMEQPAVARNRKVVLRGYIDRAPREDDMELVDGGAVPLRVPEGAGPAVLVKNLYLSCDPYMRGRMRDFHGSYIPPFKPGAAIEGLGLGRVVDSTHPGFTAGDIVSGMTGWEDYSLISKPEQLRKIQQSHIPLSYHLGLLGMPGFTAYVGFYEICSPKKGEFVYVSAASGAVGQIVGQLAKLHGCYVVGSAGTNQKVELLKEKFGFDEAFNYKEEPDLTAAIKRYFPEGIDIYFENVGGPMLDAVLLNMRMHGRIAVCGMVSQHGITDPVGIHNLFCLVSKRIQMKGFIQSDHLHLFPQFVDDITRHYRDGKIVYIEDMTVGLENGPAAFVGLFSGKNVGKQVSGARRDDPSPSSAMDHGQQPAVARNRKVVLRGYIDRVPREDDLELVDGGAVELRVPEGAGPAVLVKNLYLSCDPYMRGRMRDFHESYIPAFKPGSAIEGFGVARVVDSTHPEFSVGDIVSGMTGWEHYSLITKPQQLTKVQQSDIPLSYHLGLLGMPGFTAYVGFYEFCSPKKGEFVYVSAASGAVGQIVGQLAKLHGCYVVGSAGTNQKVDLLKEKFGFDAAFNYKEEPDLTAALKRYFPEGIDIYFENVGGPMLDAVLLNMRNHGRIAVCGMVSQHGLTDPVGIHSLYCLVIKRIQMKGFIQSDHLHLFPQFMEDITRHYRDGKIVYVEDMTLGLENGPAAFVGLFSGKNVGKQVRNDRASGNLANVI >Et_9A_061533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13330406:13340885:1 gene:Et_9A_061533 transcript:Et_9A_061533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRSGRCLDPPLPLGLPRRPEASGGDGIAEEERISCLPEDLLLRILSRLGCAREAARTSVLAKWWRGLWTRLPDLTFFNVQLRSLEGLLAQVTRPALHLLRMDTKDELVMVEDVSSLLRTAARFAPKSLSFKLWSFRVGENRVVKLPCFDQTTSIKLELLFTLAPPPTGKFTALQSLFLAPFVIDLSSLLPMWPSLRSLRLEGSLAFDVVAIHSSSLEELTVSSMNDEGIFHIDLMAPLLREAEFCILTRERVRMSISAPMVEKVSWRCVYRTHVGFNYMRLYRLGYNLSHGVPKLCLEIWNSFSIFELELESNGHAIGQFILDLLQFRPTSCPADCPCDPHPNWRNHSICLANLEVVTLDGFHGHDDEVDFLKVLLRCATDLKHMTVRVSSGGYKKACSVCEHYPQTELEKVKIRGFHGEDDEVDFLKVLFRCVTVTVLKSMNVYVAAGGYKKACGICEQYPHAMQLRSGRHLDLPAPGRPHRLEASGSDGVGDQEDRISGLPDDLLLDILARLGSAREAARTSVLGCRWRGLWTRLPELTFGNDVPLLSLEGLLAQVTRPALNLLSIDACSDVQVCPVYFSSILRAAERLAPKHLIRFTTPLNCPAWTGPPPLTFICLKSSSRHHRPANTWNIELGSLLPMCPSLRDLSLLCWHAEEVIVHSTTLETLNVESNDTGNEIHNIDIITRGKLLYQ >Et_9B_065445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5050379:5052607:-1 gene:Et_9B_065445 transcript:Et_9B_065445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKFLASLPLLSASSSGKTCAAARARPSSSVAPHRRSRTRLSVTTGGEQQTITAQEPVQEPEYGVVSIHHVGILCENLERSMAFYKDLLGLKVNPARPTDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHTCIAIKDVLKLREIFDKAGISYTLSKSGRPAIFARDPDGNALEFTQV >Et_2A_014983.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24560503:24561435:1 gene:Et_2A_014983 transcript:Et_2A_014983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAEDAAGAALREGRRGRRGAAGRQGRRALPVAEGPPCRARERSGGRGRPGPPRGSGGRAQALRQACGGDDGEGVPGVPVEPGVTRASVPAFLLRGPVLVRAHGAGRAAEDQVGAGLDAGVPAVVPGGHLRVVLDEHRRREHRGVPQAGRRGHVAAQHDHAAAAHVRGQGPGGRPHRLLPAVQVHRAVAQDQEEEGVRGRRGAGARAVAGGEEEAGRAVRVHPVRLLQHGVPVILVELRGVPGPRPAAARIPLGLRHPRRLRQGEDPVAVRRVGQDVQVQDDQELHRDVPQEPRPRRGDLRHEGPAPAPQGL >Et_3B_031452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30126058:30128704:1 gene:Et_3B_031452 transcript:Et_3B_031452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGGRPLSLRGSLKALEADIHHANTLNQGILPFLPRAHAIHRAYGGACVQMRLSYSSMAPIILNLIQWMDCSCSLSYTLPSYLGLLEVLVYKVYVDEDASISTIERRASLKEFYAVIYPFLQQLEGNLMDKDCKEKGWCKGSGGGGGRKLLADDDREDECGICLETCTKMVLPNCNHAMCINCYRDWYTRSQSCPFCRGSLKRVQSRDLWVLTGDDDVVDPVTLEKENVRHFLSFIDSLPLIVPDNMLLVYYDYLV >Et_9A_061966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18459479:18461839:1 gene:Et_9A_061966 transcript:Et_9A_061966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMAGRPGSWGGLVLRVGQAVCAGACVGVMGSSLGFASYTAFCYLLASMALQSLWSLGLAFLDGYALKNNKDLTSPILVSLFLVGDWVTALLSFAASCSAGGVVILFKRDVLFCRRYPQLPCGRYEIATAFAFVAWALSATSAIIMFWLLASF >Et_4B_037334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18573032:18580733:-1 gene:Et_4B_037334 transcript:Et_4B_037334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPGLDPELGEPPAKQRAVDPIAPTQHPWNLPEKFELPQCSCRPDDNVVVIARDANLPIDAEARRTVARVSQAVVGVASIDVDGDPLWKASGFIVEFDRASMIGTIFSSATVARHDSFYPDIEKIEVYLFDGVSYVATIAACDYHWNLLVLSVSFDRVVNTIKLVEISENMNPRDSHLERFALGPHSTREMLYPGDTVIGLGRQSEEPFGLQANRGIYSIDRCLLFPDTAIGGPAINRNGRAIGMLFQSVFCTPFLPSNIILRWWEHFKKTGKYCRPTIRVLGANLHNASTSPWVKVPRSLQDGSDGFLVELLFESLVENIGKKVDLTVISNEDSNPRSVYLTVEETAEENFYLIA >Et_10B_002867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12844832:12855664:1 gene:Et_10B_002867 transcript:Et_10B_002867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALVVDHVLAESPPTMPQQSASVHGVGRGVSTSGFMAAPIAVGDGMGCCVAKRQQELDRCRRVSLPEMPQFAHRRLMENIEGVVWPEHINQRPDSHFKRRLIQVLVREGVGEEYRELFDMATHRYPVQKLCETHNSTTPYKTNKMGISYLDHYPEREQSVINFYGVMLWSFFYRREDGVRYRGSSAA >Et_2B_019085.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:4641945:4642262:-1 gene:Et_2B_019085 transcript:Et_2B_019085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GKESSSGV >Et_3B_028249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12241245:12251106:-1 gene:Et_3B_028249 transcript:Et_3B_028249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTVFGSAVFANAARVLVCLEEVGAEYEVVEVDYMVNEHKGPEHLARNPFGQIPAFQDGDVMLFESRAISKYVLRKYGKASNIDLLREGNAEEAAMVDVWTEVEAHHYFPAIAPIVYECVVFPARLGMQPNQKVVDASLEKLSKVFDIYEERLSKTKYLAGDFFSFADLTHFPYTYYFMKTTHASLLDKYPHVKAWWEDLMSRPSTKKHGAGIMAPVKVFGPAKSTNVARVVLCLEEVGAEYEVVDVDFPKLEHKSPAHLARNLSCCVADVPIDCWQPFGQIPAFQDGDLVLFGTQAGIPSDFLREGNLKEAAMVDVWAEVEAHQYNPAISPIVFDILPAMRGIPANQKVVDESLEKLKKVLDVYEARLSQSKYLAGDFVSFADLSHFAFTYYFMKTPYAALFDSYPRVKAWWETLAARPAAKKLSASLVFGHPMSTNVARVLVALEEVGAEYELVTVDFLAGENSIPDHVARNPFGKIPALQDGDLVLFESRAIAKYILRKYKSNEADLLREDDIKQAAVVDVWTEVEAQQYYPALSPVVFKCIIFPIMQGSTTNQKVVDESLEKLKKVLEVYEAHLSKHKYLAGDFFSFADLNHFPFTFYFMATPYASVFNAYPRVKAWWESLMSRPSMKKICSNMPTKF >Et_9A_063235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16735460:16738401:-1 gene:Et_9A_063235 transcript:Et_9A_063235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPALLDPSAFDLRHYPAHLFDPDPPIAGDGLQLGEFSGDGDCDGFDFGLPADFSVDDFLLRSPLRDDDDDNSGEASAAGSGPALSSSASPTTSAANSAVAHGECEVKHEDSDEGRSGGDAPSWSLKRKQPSPGLSSDGAKCRRSGDGELSPSASASASASRAAAEGSEERDAVGEEEDPRRAARLMRNRESAQLSRQRKKKYVEELEEKVKSMHSVINDLNSRISFVVAENATLRQQLGSGSGNGPPPGVVYPPAPLPGMPFPWVPGYAMRPHSSQVPLVPIPRLKPQPAAAAAAKVSKKPETKKGAEGKSKTKTKKVASVSLLGLLFVALVFGAFVPGFNHNFGMSGRSDNIMFRNVGHSDARVFSVTNHVKGSRAGLNSSDMISTDPGTMKGDTGGAEQKHQPAHNSSEILPALLYVPRNGKHVKINGNLIIHSVLASEKAVAHKASNGNGNQSVKDRKETSVAIARYLSPPGKDTSSQETSPADAPLPQWFREGMEGPILNSGMCSEVFQFDISAASASPGGIIPASPIVNSSANATEKIPTPAPAGGKLKNRRIMYNEAIPLTGKTVNGTEPRSFNRTSESSKLPDTDRKPASSVVVSVLADPREAGNGDGDPRVSPKPLSRIFVVVLLDGVRYVTYSCTLPFKGAGPHLVN >Et_8A_057071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20867797:20873596:1 gene:Et_8A_057071 transcript:Et_8A_057071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETGKRPRQQRDNDREERRDQHKRPFSNPQESSNSDELVVYRILCPDTVIGSVIGKNGNVINSIRQRTNARVKVVDPYPGSDKRVILVYCYVKHRDLDADEGGDSQPVCAAQDALLRVHQAIIDAQDMLQMKHIDSDKKNTKEANILVPASQAASIIGKSGVVIKRLRSNSRAFIKVSPKDPSDIAHTCAMSFDNFVQITGGAEGVRKALFGISTIIYKYPSKENIPLETSVTELGSNIIVASDLPVYPASNFYSAPDSAIPSGHPGSLSILGSTPHVPELTLPADPHGRLPIYQSVLPIIPAYSTPKCSGELVFRVLCPGDKIGLVIGRGGATIKGIRQESGARIDVDDAKNDREDSIITITSTEATDDVKSAAVEAVLLLQEKINSNDEDRMNFRLLVPTKVIGCLIGKGGSIVIDMRKKTQADIRISKGNKPRRASSSDELVEVSGEADKLRDALVQIVLRLREDVLKDSVDTQSSDRDDKLTVATTDPLYASSLSLPAILPYSQQVAPMSYDRRGETERGLDIFPRRSSYGYSSLQVADEGYGGRNEGYGGRNEGYGGLPSYTSKAYEGRLPHLEMAIPPSGLPKVMGKHGSNLDNIRKISGAHIEIIESKSSRHDHIARISGTSEQRQSAENLIKAFIIHWLI >Et_4A_033310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21327378:21333091:1 gene:Et_4A_033310 transcript:Et_4A_033310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSKKSKSKRVTLKQKHKVLRKVKEHHRKKRKEAKKEGRAGGRKKVEKDPGIPNEWPFKEQELKALETRRAQALQELEAKKEARKERARKRKLGLLEDEDIANLASAASAQGTQFAEKDAAKENAPLALAKSHDHSERSFYKELVKVIEASDVILEVLDARDPLGTRCIDMEKMVRKADPSKRIVLLLNKIEQRTKLGWKSSKLDKTSDIPQSSDCLGAENLIKLLKNYSRSHELKLAITVGIVGLPNVGKSSLINSLKRSRVVNVGSTPGVTRSMQEVHLDKKVKLLDCPGVVMLKSSDSGVSVALRNCKRVEKMDDLISPVKEILSLCPHEKLLSLYKLPSFSSVDDFLQKVATLRGKLKKGGIVDVEAAARIVLHDWNEGKIPYYTLPPKRDAVEESDAVIISESGKEFNIEEIYKAESSYIGGLKSIEDFHHIEIPPNAPPGIDEEMIEDGKKPSEPVEENREESMSDVNDHEGSRATSGSTQNDKLFTAEGILDPRKRKAEKKRRKANKFSVLNDMDEDYDFKVDYQMKDAPADDEDENRVGDAHEDNDPMTDYSVAIVIDAARFWGYNILFLKAPPELRTYRGELVGMAARHAALLMRLGRFAGLPRHSWRTPTPSCAVLVARHHQDPVIFSVVRHSQELVFFFDERVSAVVCQCSCGYEPASVPLASPLTLVASARPHFPCGRVDVRGSARCERWQDLLR >Et_4A_035736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4619891:4622166:1 gene:Et_4A_035736 transcript:Et_4A_035736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARWLVLLLAVSAAAAVAATGEHGRRWSKSGGVGGQVVEKERRRVVAASDAGSVTAVDVADAEGTGYRLHFITMDPGALFLPVQLHADMVFYVHSGRGKITYIEEGSSEQSSLEVERGDVYNFEQGTVLHIRSYPNATRQRLRIYAIFSSEGINADDPRHPRVQAYSSVSNLLKGFELEVLRLGFGNQLIQSIQFPIAKVKREVAEAIKSSPSPPSIIPYNPQEEKDDDEKSNWGEDIVDALLGVRDPEDFLNKKKKKDKHKHKKEKKSKSKAFNFYSGKPDVENCYGWSRTMTNKDLDTLEGSDIGIPPGRKSRRGHHHHHHGHRWGEPGGRGDEEGDEPGGRGDEGHGAPCRNSVFRVKEGDVFVVPRFHPMAQMSFNNDSFVFVGFSTHMGQNHPQFLAGKSSVLQAIGKEVLALSLGQKNASAVEQLLSAQKDSTILSCISCAEELEKKAAEEERRRREEEEGGGKGPGPSPGEREEEERRQPEEEQRRREEEEQRTREEEERQRRKEEERARREEEERRRQEEEERAREEEEERRREEEEGGGGKGGRGDEPEPEREEEEERGDEPYRLSKKLKKRYRAGKRGIFRSG >Et_3A_026243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:587530:591657:-1 gene:Et_3A_026243 transcript:Et_3A_026243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPAAPAPTSPPGPPPSNATAPPPSPPAAAPPPSSSSPPPPSTTPPATPSAPPPRAPGVPASPSTPPPGTPSPPSPPSTTPSPPSSSTAPSPPSPSSTPGALSPPQAPPSSSGSGLKSGVVAGIAVGGLVALLLACLVCFCLFKKKKKKRRHHHPPPPPPPPHLMHYYGHQPPPPPPPPHKGDQYQNWQHNAPPPPPPDHIVKMPSHPPPPPPPLNVHSSGSASNNSGSEGRPPPSPATAFGFSRTSTFTYEQLAAATNGFSDANLLGQGGFGYVHKGVLPNGTEVAVKQLRDGSGQGEREFQAEVEIISRVHHRHLVSLVGYCISGDNRLLVYEFVPNNTLEFHLHGRGRPTMDWPTRLRIALGSAKGLAYLHEDCYPKIIHRDIKASNILLDLKFEAKVADFGLAKLTSDTNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGRRPVSSRQMEDSLVDWARPLMTKAFEDGNHDALVDPRLGNEYNYNEMERMIACAAACVRHSARRRPRMSQVVRALEGDVSLDDLNEGVRPGHSRFMGSYSSSDYDTGQYNEDLKKFKKMALGSSGLQSSQQTPTSEYGQNPSVSSSDGHQTQETEMGSVKKDGDSHSS >Et_3B_031477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31044483:31046734:-1 gene:Et_3B_031477 transcript:Et_3B_031477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSAPPPKTDEIAGGGSGGGDWGYLASDSMSGNSSFPAFQFPRDILSTPTPASMLLSMDSASFFDLHAAGFPSSSSAAAPALPAFHDLAASMPFDDPAQFLAGPPQQPVVVEPIPKAGFFLQPAPPLSPVSMGWEEDDELDQNVDASSMAITASLENDAGAAPAGGGGAGGNGRGKKRGMPAKNLMAERRRRKKLNDRLYLLRSVVPKISKMDRASILGDAIEYLKELLQRVNDLQNELESAPNASLVGPTSASFHPSTPTLQTFPGCIKEELVPTSFPSPNRQQTTVDVRMREGNAVNIHMFCARKPGILISTLTALENLGLDIEQAVISCFGGFAMDIFRAERCVDGPGPVPEDIKAVLAHSAGLQNALL >Et_7A_050258.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16165466:16166098:-1 gene:Et_7A_050258 transcript:Et_7A_050258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVCLYKCTRAQVGGESGGDHRRREQHRGAHGAAVRGARRPRGGGRRPGRGRGAPARRARRGRRQLRALRRRRGGRRRGGGGPRGGDVRRAGRDVRRRAWPAWRCTRTRAPSTRWWASRGAWPRSWAGTASASTASPPRASPRRWPWGTSAWTRRRSTGPWRPRTCSGTSAAASGGRMTSPPPCSSSPATTRGTSAGTTCLSTAASPSP >Et_1A_006109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17984394:17986610:-1 gene:Et_1A_006109 transcript:Et_1A_006109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRTTQEAAAAPPPEEEEERPSTGLELKSLGEYTVGTIPTLFYVPGFISQDEQSQLLHHVEWCTRRGSCHRPITDRICQWTGLFPSAINHVLINEYHANQGIMPHQDGPAYYPVVAIISLASPVVIDFTPHQRLKGHEHTDPQYSELQAPAQSERNGSHELEGAPESDPTTSLLLMPCSLLIFKDHAYTDYLHGIQDSDLHNLDKVANMPQCPELMHLNSDCKQGIVDEKNGTFRRTATRFSLTCRLVLKVHKKLFKF >Et_10A_000023.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:15562091:15562930:-1 gene:Et_10A_000023 transcript:Et_10A_000023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIVNKARRQVSFSKRKAVLLNMARELALRCGAHVAVILFSGAGKAFTFGSPSVDDVLRRLTAPLPGEDEPELARVEGAAAEPRKEEEAGGLAGAPRALEPWHQSDQTQMPGNGGGRLTDVNGFQNNATGMGTNAMDNANGYLYQNPHLAGDHYYQYFTDDMAVQQAPRSNVNAGDDAWPNLCGIDDWSCDAVVPAEYPYRSMDLAAGSTSYKPAGDNNFTGVPALGQGCCNFIGENRYDYDTQCLLNGDLLWPDTISNCFRLEQLNYLSDVAQGFVF >Et_3B_029029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21494418:21496839:1 gene:Et_3B_029029 transcript:Et_3B_029029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLEPHDYIGLSAAAAAAPPTPTSSSSSSSSPAPRLTLRLGLPGSESPDRDRDEDVAAALSLGPLSATPKAAAAATSKRAFPDSPSSAAAARAGEGKAPPAAPPAAKAQVVGWPPVRNYRKNTLAATASKKAAQEGASGGGPMYVKVSMDGAPYLRKVDLKMYSSYEDLSMALEKMFSCFITGQGGLRKSSNKERLTNGSKADALQDKEYVLTYEDKDADWMLVGDLPWDSEIARADRSEQIGLTKGLRLSKASKLA >Et_2A_014569.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23634981:23638098:-1 gene:Et_2A_014569 transcript:Et_2A_014569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAEGFQHRTFSIKLWPPSDSTRLMLVERMAKNLSTESIFSKKYGMLDKEEAHDNAKRIEKECFSSADEHFKKEPDGDGSSAVQFYAKETSKMMLEVLKRGPRATAEPEAPVVGTPHQPAETVFDISGGKRAFIEAEEAKELLSPLTKPGNSYKRICFSNRSFGIGAANVAGPILESMKNQLTEVDISDFVAGRPEDEALDVMRIFSKALEGSVLRYLNISDNALGEKGVRAFTGLLKSQENLEELYVMNDGISEEAAKALSELIPSTEKLKVLHFHNNMTGDEGAISITQMVKRSPNIESFRCSATRIGSDGGVALAEALGTCTRLKKLDLRDNLFGVDAGIALSKTLPKLRDLVELYLSDLNLENKGAVAIVNALKQSAPQLEVLEMAGNEINAKAATALADCLTAMQSLKKLTLAENELKDDGAVIIAKSLEDGHRDLKELDVSTNMLQRVGGRCFAQAITNKPGFVKLNINGNFISDEGIDEVKKILKDGKNSLDVLGPLDENEPEGDPDEEEEEEDAEDDNGELDSKLQDLKVEQDD >Et_9B_065036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20722359:20725580:-1 gene:Et_9B_065036 transcript:Et_9B_065036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEARNHYHTRGSTRGGGTAAERDLLLQWGNRKRLRCVKVHRRDMEVAATAAAERAAIGQRRAAAAAAAAAAQHHPTGHHRVLRNSEEFAAMKSPTQQQQINGISTVASPERDRTGRCNNNHNGHPPTFTDDKKGSSSGSEGSIWPKFAITLTNREKEEDFLVFKGSKLPQRPKKRAKVIQRTVNFVCPGTWLCDLTLERYEVREKKVSKKRPRGLKAMHDMDSDSE >Et_6A_046305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12762939:12768026:-1 gene:Et_6A_046305 transcript:Et_6A_046305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSISSMALVVMCGQPCCGKSTAAACLTAALHSSSPNVTVRTIDECSLHLGRNDSYKDMVVEKNLRGVLRSEVDRSVSRDSIIIIDSLNNIKGYRYELWCLARASGIRYCVLFCDTEVDHCREWNSKRQEKREPSYDSNIFEDLVTRFEKPDRRNRWDSPLFELFPSRDEIVESSVIAEAVSYLTKKVDSKTRDVRVLQPTIATQTVRTTESNSLYEMDKATQEVVNAIVEAQSGVGLPELRGLRRTFIKLAGQYSLSGPPPPTDADSAKRMFVDYLNRE >Et_5B_044734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5211910:5214329:1 gene:Et_5B_044734 transcript:Et_5B_044734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTATTAVRLKREDCPRTKHDSLFSPWKVRAPLLFVPLLLLAICSCRHHEPAQCTTQFTVLVGPSDWEDHAAGKEGVQRYHTRNLPDNFPGLYELGVAAASDEGLRARRRDSRSVVVVYLGQADNVRARLQQYGRSGSHLDTGNSAGKDEMNTLATGTGLFREVFARGYSVVFRCALMHNKQEAEKTEAQLLRVFDYAWNKLQNGACRREEVLLKLERISHRSSLLSRVRHMKQTIFGEKAGIKIKGGGSVDIPSGIMRSMLPRVRTFVRFRPHLVNSEHGEGGTIDIPWNRTYQDKTCGNRQAHGTRSEGYKVKRIDVAKRRTVPIQYSNSVCGVVLEDGSSCLEEPVQGRKRCNLHKGRRIKGKPYCSTSSYPCQVEVSNTESVPQLSENLNNSDQAQESELMSKNLATALKEESRQSSCFQAKEVKTGEAPTEHGTHETAGVAGIYEQKSSHAESQSQEEQPSGRMWFELLKAQTKSVSTHPLRGPRCQTRVADGVTAISRATKNDGTFGMVPIAGTTRCEKLSEVNVTSFSRSSGWPCTCGARTSDGSSCMNQPVEGRKRCALHKGQRAPCPLIPLIEQT >Et_1A_005375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:149975:157123:1 gene:Et_1A_005375 transcript:Et_1A_005375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADQPLGFFSGVWSRLRAAASGWHRRDDPADEIEEEEATARSRLARRRLGRKLAFVSFNLEVLVFVYAFWRTRRRNFSWRQPTQALSMLVIPALATLIYAAFIRFTRTPDLKDKKLIERLQEKKQASDCVLRELDQDEQESGEKYDLTDDPCNFSTTAASAETSKFGASQQPIINLRDDGGGDISWDHSKDFQRTCTDAGLPIEKAYMTSSNAVLQPIGWSTEHLADDPEELDYTQRNVGHHCYLGTEVAENSMVYSSAETGTCLPYCSIVDINSNGMNHAECSPPTSSLKWNGGLAEENPLQKALTRPNSDLSAFAELLDEGLQENKSSRFHVSEEDGVPSISKKEHFVDSYAEQHLETSSGLALCSQDTDKEDVAGGVCFVKVSPELTFLTSTELVVECSKDVSNKESCELNTQDENDVAVNSEEEALLGPHVVSTSEHWCESPGFFMCSQDSNKMEIPAVVNVFAVSTKPNYPEPVESLTHALDDEGSMGDETSNVHLLVQMSQESLVDPLVVDSFVDSFATSKLLSHSEAFKMTEVPGEDLSESLDEGASNHQNDGVIFSEYGGNTDNIIGDSMSVQLISESNILEAHQGGQEALSDPIHDSSSHSAGIFLSSSEVFDDEACSSNSNSIFLCDDSMEDKAPLSVQGGPSESKDEMNFAFLDTPILLNEVTSAESWTDNAECSQYIRDNAITKSLHDGNQVPLKTSEISNFGLEESLVSSEEGIKYEIFSLYSRSSSCVSEVNMIETLSVVAFPEPKNDNHFKFDERNPTMAVNMFHSDSCTKSAEFIADINKVEALSGGNYSRSSPSMLDENLIEIPGAVQEVSFELNHEYPSSFEETSLYGNPSYNPMPLSHASMKVSVRSYGEGLPDDEIAVDELSNKLLNENEVQTEINYSKTEYCSEASNLDSTEATESVTEGLFNREHQGRPELVLSQVGMPFFIDDCKETEEHRGKSNADASHHEISILETPQELPVSAVTEKGLPEDSNMCESNCTNKEPKDFGKKKDSGINGNDDDTEDLDEDHKGSPTPTNVALDLRCYNNNNNNNNNNNIAFRAKRDGVGNNMFQKRIWFSAPGVWWVPYVALRPSKGHLPRGHEMMPPGSNSAM >Et_6B_048856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14656183:14658937:1 gene:Et_6B_048856 transcript:Et_6B_048856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALFLLSKISPTHVGDPIEEERMYDAVDCLLSFLVLNPSETFVKIVADYPSVECTSSVLQALITFKELYPGYRNVEIENCIESTSRFIANEQQKDGSWYLKLYIVWLLGCMFHIWTFFAIKGLVATGRTYENSSSIRKACSFLLSKQLSTGGWGETYLSSETEVYVEATSPHAVHTALAMLALILARP >Et_4B_039891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5325063:5331858:1 gene:Et_4B_039891 transcript:Et_4B_039891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRPTLLRRCFHDPKLTSFLSAVSSLAASRPSSPPAGAVPPAPTPDAYNALMSAYSSAGSSDEVLSLFRSLPFPPTTPLYTTLISSLAASGCHLAARAAFASLLRSGLPLTASPFTALIKSHGASSIDFGYKVLDAMLALGCSPDAAAYNCLISVLCDYQRVEEAMGLLDAMPDLKIYPTVCSYTPILHGYCEQGKVLEAERLVDCMMEVGCLPDVVSYSVLIEGLCRVGEFGKVERILGESEAKGWMPNAVTYNIYMSALCRIGFLDEAFSQVAIMQSRGLSPTLETVNILFDCLCRYSRFPEAVYLLQYSEELGWDADVFCYNTLMSRLCEAGDFAMVLKLLVDLLKKGIGPDMFSFTIAIRSLRNAGKLRVAKCLMENEGIEYDVLAFNTLIHGFRMVGDLRGVLGLQAYRICCLFRHSLSNSTSIVPSPRISQRHGHKRVRAPAGVHFSVEKPFPEPLACGAAGNISGSPLSEVGAVNSKQLPITLHRHLPDKFSKFFEPPSSAARVERASIVLPPRRDSVHSPHGVQEQQRLISLPGVATSREQR >Et_10A_001315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23295859:23296956:1 gene:Et_10A_001315 transcript:Et_10A_001315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGAALFVLAVALLLAAWAAASGLDFTEPDLGALYERWCAHYSVRRDPGEKARRFAANARSIHAFNSKGDAPYTLGLNRFVDMADDEVGGDAPGGCYIKPQPAEDYTNSSSLGNISGLAYAHGGELPTECGATAGGRGAAGPGAGAAGPSRPRPRSRGLHSILTDNLVPLSAQQLIDCRPGNGGCPVMPEPRAGVRLPEFVNVKVMYKPRSCNSVADRLVKVGGELEPGGAIFWPDGHPASVNGLVADDIQSASS >Et_4A_032676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12452241:12461576:-1 gene:Et_4A_032676 transcript:Et_4A_032676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARELPEEILADILRRLPPRGLATSRRVCRAWRDAVDAHRLLRAGLLPLAVRGIFMNYCALYSPEFFSRPTTGPAIWGDLEFIPGFSEVKDHCNGLLLIEATGGHYYVANPATRRWARLPDRPISRMGEAFPAPACLVYDPIASPHYEVFFVPILPYDVKNRLGPEMLQSEWPPSSYVLQVFSSLSGRWEERLFAREGCAAGLIRDMQKYTRWGHSAAYWRGALYFHQANASFISVFTPNAKYKLIPMPTDVEFTNYGGFQLGRSEKGVYCAFSHDWHGLWIFLLNESCGQAAWVLKHRVDFKTFARKLHAREGEDYNEELPEGPWILQNINDYKYPCGNDKEPIVEEKYEWNSDDDNVLDTEHMTEGNYHGYVGLLGFHPYKEVVFLDASLRRGIAYHWNTSKFQDLGNTFPKDYAEIAGHEMAIARELPEDVLADVLRRLPPRGLATSRRVCRAWRKAVDERRLLRADLLPLAVRGIFMNYCAMYSPEFFSRPSTGPAIWGDLEFIPDIWEVKDHCNGLLLGEGYYVANPATRQWARLPRRPISRMGEAFHEPACLVYDPIASPHYEVFSIPILPNEEEAKLRAEMLQSEWPPSLYALQVFSSLAGRWEERLYAREGRAAGRIRDIHKCIRWGHSAAYWRGALYFHQANASFISVFMSNAKYQLIPLPRDVKFTNSGGFRLGRSEKGVYCAFSHDWHGLWIFYLDESRGQAVWLLKHQVDLKTFARKLLAREDYGEELPEGPWILQNINDYKYPCGNDKEPIVEEKYEWNSDDDNVLDTKHMVAGNYYGYVGLLGFHPYKEVVFLDASLRRGIAYHWNTSKFQDLGNTFPKDYDEIAGHGRELPDDVLVDVLRHLPPRSLAASRRVCRAWRDAVDAHGLLRADLLPRAVRGFFLDYRALGFEFFSRPTTGPAISGDLGDFVPRSWTTATASCSRKGSYVANPATRRSARLPPRPDRTARASSSRAGDWRMAFEDDEAACLVFDPAVSPHYEVVVVPISEDPQTRLQHLEILQSEWPPASYALQVFSSRTGRWEERLFAREGRAAGAMMVDTESHYWWNHDAVYWPGAHVRCQKHHLIRISMSDTTYKLVPMPTTDVDVGPYGYGFHLGRSEKAHSIFHLDESYGQTDWVLKHSVDLKVFARKLHGRGEYYGQQIAAGPWVLQNIHHHKYTYGDSKKAIVEAKFEWDSDDDNVLDTEDMPEGHFEGYIQILGFHPFKEVIFLTASLRRGVAYHWNSSKFQDLGNMWPIDYDAGHVAEIETSILYTPCWMELPETDWDDAPIEQD >Et_2B_019466.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5308354:5308500:-1 gene:Et_2B_019466 transcript:Et_2B_019466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSALSCNFFVLPSACNITMYSTLYEYKSSFDSKKKSCLVVQVLAVGC >Et_10B_002658.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:3938289:3938477:-1 gene:Et_10B_002658 transcript:Et_10B_002658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRDGLCLARQLGAMKLSLETDCLELVKLWKTLDEQRSEIYLVLQEIRMLSRSFDEFTLYK >Et_9B_064176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12226167:12231288:1 gene:Et_9B_064176 transcript:Et_9B_064176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLPGNKITGGIPEQFGNLSRLTRLDLEGNLLAGGIPTTIGRLSMLQYLYVCWNPCSFRLQMFYDLTLRFSGNNLNCGANFHYSCASNLSSQGDNRRTTFGQLRKFAFQELEIATDNFNARHFLGQGGFGKVYKGTLPDGTMIAIKRLNDCGNPGGEATFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMRNLSVAYRLREFKPGEPILDWCARKRVARGTAYGLEYLHEHCDPKIIHRDVKADNVLLDEDFEPVIGDFGLARLVDIRATSVTTKVCGTRGHIAPEYWTTGKASERTDIYGYGMMVLELVTGKRVFDLPVGEEGLVLDHFKRLQREGNLGTIVDCNLNSNYDGQEVEMMIQIALLCTEQYPKDRPSMSEVVRLLDGEGLAERWEECQQAVRRREENLWMPQPYDCGQESRYVQEAIELSSGR >Et_5B_044375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23394719:23397242:-1 gene:Et_5B_044375 transcript:Et_5B_044375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPNRGHILLAYLCLMPLTAAALTFHYDFSNPGDLNSPDLLCINDCSRSGDRIDLTLLAVNSASRLAYGQPVRMWDSSTGKVASFETSFSFAIGGDYNNTRGDGLTFFVGTFPPRLPPYSTSRGLGFVSDANIQGSPPIEAGVPENAAVGFSAATGGWYTQHQILSWSFSSTDITATGSLRSSTENFAEGRRLGAGAFGEVYRGDLPDLRVAVKSLTGQLEQTWRDYFIGWYHGSRNDHGRLLVYELMENRSVDMHLHELEGRPLLPWPKRYKIVLGVGRAIEYLHTGCHGLVILHRDIKPSNVMLDDTFEAKLGDFRLVRRLCPGQGTAMFGSSVYMDPCCDTTRTVSTESDMYSFGVLLLEMATGRRPSLLPGGGFSNVLVQDVRQSYINHNVLQMADQRLHGDFDQSQMERVLVVGLLCTQPNRQDRPEIREAVNLLSDLSHTAPQLATTAADGSSSERNAETLQAGGGG >Et_7B_053592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10044610:10049428:-1 gene:Et_7B_053592 transcript:Et_7B_053592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAFRDSESIHDDEAAVAFGVGGREVGGGAMAITAAPAGGRSGERWRGRGRVRRKKIDLGRETPRPHLAAGERRRRARRRETRLRRRRVDAEDFNILREQLEESNELDAEEEEEEDTEGSHSGGWKNRRSSDEIGGQEFRRMRAEMRAPVPAWCLIQECPTANDFGYERHGQRSTSVAEEKPGPKNSSGGELRSKKRTYAQFHLELGQSDFLLHTCSVCGMMYARGNDDHEKVHKAYHKSYVEGVPFKGWRNEAVIAKSEGGDRVQEVIQVMEQELGFCEGQLLHKLCRVYLYISCQRIVGCLVTEPIKTAHKVIPCSSESSSDFPANNIKSEKIDHTLEFGKISFNREILRRHDHDIKSKEECPDSGVIICEEEAVPAICGFRAIWVVPSRRRKRIGSKLMDVASNITSKTIIKILGIKLEKIKANNIKPYARSHEDGTK >Et_5A_040839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13189319:13190664:1 gene:Et_5A_040839 transcript:Et_5A_040839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSKSIVALFLAFTLAATTVQPSDAIRVLQATSTNADAVAKPTGEQQLTIGFPFPPIFPFPGAPGFPFPSFPPLFPLPGAPGLPLFPPLPPFPGFPPEVDRADQEAVGKIQLVTPAPSPAEDPLQLTWPMPAIPSTNRPRSLPRSLPTIARPPSCRPTLLSLMPCAGFLTDANVSPTPPIKRCLLRRRRPVLH >Et_10A_001681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6803844:6806776:1 gene:Et_10A_001681 transcript:Et_10A_001681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIDTFDDSDWHEVIFINGYAVFMGYLMMGVRGLGVLVITWTTVVLLGGFVSVLGKKDFWCLTGITLIQTVGVFNFLLEEILRDFVRSWFGLLAVAATPVIKPLMKGKHVSIAPGRGMVAALVLSLVLSAIQTLVLVIILCPVVVLYMFGMYISAGVGLWRLIEHDFSNAEGGTNLKPALEVLYSLAVAQGLLFCYKTLHGLGAKFGLVDYTANILDIEDKTLISDYLEDTVAGCEKDPSFATGRNIVTYGMDLMVEAKSNDGFIAGITVVGTAVDRLDRAKLVKHLLTWSTSFTHAIQRLLLTLGPISQYSGEIREHAARIVALVADSIFLEQHPGWIQFISSLLDIFDEYSFQRDSRRGFIILQKLADCEDNCRVIINTEGLIMKITAPLVSNQLHEDHHEEWCGIAVESQNLIYQLVAIPGEMGTKLRSEVSRSQKEIISILECLECEVWRKRRTVEILHLSVDTSSVMASGSSGRVFTWILLIVFLSIDDCFDGTWLPHRKKMRSNITRLAGEKLLDLISSNQDLSAMLTSQSDEGSARSMLQSVRVVLGDLTRALLDAGNISNRVHAAKILERLCYKYRKDHEYLKDLNNPMASVMPEVLKEILCYMSRRETVTEASHVKLQEIIVLASGDIPQGNAQETTSCSQQQQGEHPEEKKLWKALVDLCGTIWRQWYREDREDTELTRQLDDIAEKVCSEQGKPVKNFMDLVSEADKLL >Et_3A_023932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14928422:14936818:1 gene:Et_3A_023932 transcript:Et_3A_023932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGGAAEERWASLCNCVVNFLLEERYHLTALELLQELQEDGRHAHALRLRSFFSDPALFPPDLLARASSAPPGADPQSLLEEKIAAQEKLALAEYDLRLAKEDLSQLKLELQKQKESSPEDPNALGPLKDNERKDLNCAVKEYLLLAGYRLAAMTFIEEVPDQDLDVWPNSSACVPDALRRYYYQYLSSTTEAAEEKISILRENETLLKDNDRLKAENDSLMKSREASNNQVSVLRKSLEAAHKDIKEKEKTVQDLKQSVDVQRKELNDCRAEITALKMYIEGAQSSKQLFVGNSDGLKRSHSIASSIGFSASLNKDDEDSKGSEPITNKLSAASVTEDAQKDLQDVESIAEGPPVSEEPVSCTTDENGGYGTPEENKSILNGNLQGASMTSKSQGSSDGISVYLSDDRVPIEKLESPSKQKSDKMALETIKIVSDALPKIVPYVLINHREELLPLIICAIEKHPDSDVRDSLTHTLFNLIKRPDEQQRHIIMDACVELAKSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAVYVRPEIRDSLILSIVQQLVEDVATVVREAATHNLALLLPLFPNLDKYYKVEELMFQLVCDPSGAVVEVALRELVPAVVRWGGKLDQISRVLLAHILASAQRCPPISGVEGTIDSHLRVLGEQERWNIDVLLRMLTELLPFIHQKAIEACPFASVDPTSSAPEDFFSVSCLKLYATGDTEWSAFEWMHTDCLPDLIKLACLLPAKEDNLRTIITKYLLEVSRLYGKDYLEHIMLPVFLVAAGDIDSGDFTYFPLSIQSKVRGLRPKTSTAEKLAILCVFPLLLSGILGSPSSRQQLEEYLRKVLIQNTKDGSFSMHHTTEIINAVRFLCLFMEHHGVIFNILWEMVVSSDTNLKINAADLLKALVPYIDVKVASTHILPALITLGSDQNLTVKYASIDALGAVAQHFKNDMVVDKIRIQMDAFLEDGSHEATVSVIRALAVAIFKLTSITPTGDDIERQRERANVFCEALRALDATDLPATSVRDLLLPSIQNLLKDLDALDPAHKEALEIIARERSGGTLESLSKVMGAHLGIASSVSSFFGESSLLGKKEGGEQHDSAAPNAARPNPQAQTENTRFGRIMRGGFGDMLRGKAKGADEPSLK >Et_2A_018517.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31294992:31295672:-1 gene:Et_2A_018517 transcript:Et_2A_018517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGYPYKLCDASRHPPALSPDAGADDDAEIPWVLLERKAYVVADCTNATTAYSNSRCGNQIQFTFNAARPPRVSHLCVYCRGHHTDVDEDEMIPIAPEVIATDGDLVLLRIVVSPEEKDITGDADLYVYRPAGTGGPSLTHLRRPPGDEYLSPYEVGLLCFNAKRDDDEPEDDQFYMVASLCEDRLSMPGGRGRFILYVPLELHAQFLDYDGRVCGRTTDPAISS >Et_2B_019942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15435957:15438056:-1 gene:Et_2B_019942 transcript:Et_2B_019942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKGFSLLFLSVTCTAVLVVGALIQRCPVRQCGAEVGGDDAGVEQVDDGRALGHAPRHLVLAHGRAVGDGVVRERLERARPDHPDLQRRDGAVRHAFLPVHRAQGLLHLQAAHLQHVAVNHGRALLVARRQHQLPVDDVVARDALGASPAGVLQHARRPAAGVPAERRGGAVHRALQLGLVGLVGVHGKQHVASAHEPELRRGVVEARHAEDVADAVPAQPGVGGHHDLVLAPGLHAGELDEPARAVFPVAGAGDAHGEELEVGHVGHDGVGHLRRVAKGVEVDAEVALRRRVQRGGHRQAAAVVVEGGDVLRHRPRDDGVEALGVGPDAPDDAGPVAPAALGADEPGEVRVRRPQDAGGDHRLAHRRVGHARAAERAGLDVRGELLPVGLQEADGPEERGGEHGADAQHLVAPAQAAQHLGVRALERVLAEDGRHRARDRAGVAAPEVAALQQARHEGPRGQAARRLALRLREDEVEDAPVLEPWHIPFTHICDGSISHTTRQGLPLLTYTSLLLLLSRRRPASSSTAGSSLRSPPDVPELVKNSVTGCAAPVRTGERSPPATRRMYGFRSSYVAMGTLARKVARSVAPPRPHFFRRYSASALRPRISASTRSCTASTSLVTSSMNRSFSASVVVGAGAVPGLGVAAPTIDTACILIYSKTQALTTSVGYRWDGKNGYL >Et_10B_003975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8848345:8850120:1 gene:Et_10B_003975 transcript:Et_10B_003975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLQHPWAFAFGLLGNVISFMTFLAPIPTFYRIYKTKSTEGFQSVPYVVALFSAMLWIFYALIKTGEGFLITINAAGCVIESIYIIMYLVYAPKKGKLFTAKIMALLNGGVFGVILLLTLLLFKGDKRVVMLGWICVGFSVSVFVAPLSIIKRVIQTRSVEYMPFSLSLSLTLSAVVWFLYGLLIKDKYVALPNILGFTFGIVQMGLYMFYMNKTPVVAEGKNAGKLPAATDEHIIVNIPKLSPALPERRSEVHRVTEMATPRMSCSAEAAARAAAAENRDAVDLFVTRNSGIQVA >Et_5A_041096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18234324:18243260:-1 gene:Et_5A_041096 transcript:Et_5A_041096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRVRTPHITVPSCVVCLPWKDTDGANVVSRCVREEHVGALLGEMARVRVMCPARTPHITVPSCVVCLPWKDTDGANMVSRYVREEHVGALLGELARTLLDELAVDYPAERCSHGDPLLMVQVTEFACGGFVIGLTLNHVVSDAVGLAQFLQAVGELARGLPAPTVVPVRYDESLPGIPPVAATGQTFTARHDAPDFVFLDITISYSLINQIKAHFSKTYSNCKPCTVFEAVAAVLWRCRTRATMSAGDPEALTTLFFTANARKLVGAKPGYYGNCVTNLSVTAW >Et_9A_062895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6387154:6389156:-1 gene:Et_9A_062895 transcript:Et_9A_062895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSALLSSFDRNRHYCAPQDEIQENMMPSTSHRTDLVVVRLGQESPPESLVTLTLHSALVANKITYTMCVDVVEMGARVGCMITHDPWAWNTQAETKLSLEGPSGLV >Et_2A_015901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18929498:18931721:-1 gene:Et_2A_015901 transcript:Et_2A_015901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQMNGGGGVGSDYGSSEAGSYEYDEEGEEDYEEELEHHLRVHHHEHPVRDGDAQAEDADGAEGSDYEEEFEDDDEEEPEVDPAEFEDDESYARALQDAEEREVAARLMALAGLSDWRAVDVEHEEEHVNDPQELVALGEVVGTESRGLSADTLASLPSVTYKTKDVQDGNTEQCVICRVEFEEGESLVALPCKHSYHPECINQWLQINK >Et_6A_048067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:528755:532850:-1 gene:Et_6A_048067 transcript:Et_6A_048067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPRRCGGRRPERPAVVGDNSNGYVETDPTGRYGRFDELLGKGAMKSVYRGFDEERGVEVAWNQASLSDVLRTPDAVERMYSEVQLLSTLRHDAVIGFHASWVDVRRRSFNFVTELFSSGTLRSYRLRYPRVGLRAVRSWARQILQGLAYLHAHNVIHRDLKCDNILVHGHTGQVKIGDLGLAAVLLRETTAHSVIGTPEFMAPEMYDEEYDQLVDVYSFGMCMLEMLTLEFPYAECSNPAQIYKKVTAGKLPDAFYRLKDDEARRFIGRCLVAASKRPSAAELLLDPFLLQDDHHATLPSPRPLPIDVPFISSNVVSDTTTETSSLSLSDDHQEPPARKDNMTITGKLNAEEDTIFLKVQIADAEAGPVRNIYFPFDLASDTATDVAREMVKELDITDRDASEIAAMIEQEIDRLLPGREQHEYTYANDDDDENEEQIPPPFYYLSSSPTSSQGSSLCGVGPWSSSGGFSSGQHGGGWSKDHYCYYSLSDDDGMSSMHSGKYSALHYDISGHEEEAQEAQAPAEEQQVGGAGPSSSSSSKAGQAKNTTRFGPGESSRISPRRGADTAAGLPSNNNKCSMSPHAGRRDGEDERRGRNRMSRNRSMVDMRSQLLHRTLVEELNRRLFFNTVAAVENIGFRAPPGYGSSSSSSTTSRGRGRADDNRRRSSRDDKDRYYML >Et_7A_052541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9027975:9031217:-1 gene:Et_7A_052541 transcript:Et_7A_052541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRRVTGLLLRPVPLSLDDAEAVFAVAVKDRDYEDPLGTPRRSPATSAGRGRGVAEEGGAAAFGELKESVDHQMARMMARLEGARAALAALMQKVSGEASSTLIAGLREAGDEGDDGVKGLPGFYGAARLLMELQEIVVDAGAVRDSVASSFDKMETSVFALTAAMDDQRWLMDAEKEICSTVVQGFLREINAESKSTSSPVEGCQLAASQQHATDGTVNSSEECDSLKDETRQLQSTRRNPAERSDSCRHCNQSEEERGISHQEAERLMEEKVDLEIRCELQDVLYSATFRDLARELAVQADVHKLTEERDEVNIRSKLQDEIQSTALITMFQNDVHKLTEEKEEVGIRSKLQQEIYSNVLEALGKKLAVESVDHLIRTFVKDYVHAVSIAESLNSWKSRNEKVHNEGLIKEEIDCVIFGGLAKDLINDHNLSVIKSKDECLDPAQHGVLFKQEISSNGYNRVRQNLKESDLQAETSRDKNGVSGNAEEALEDQRQTTEMARSLNIFPQGTNQEMFIPFTNFQEMFRNFEADICGKIGIAMARLGDLDKQLANVSEQVSSLRKSELTYQRAFTRRCCDLQMAEAEVDLLGDGVELLVRLLRKTYRALDQYSPVLQHYHGIKEMLCLLGKELAMFYSAQLVVKVHLTILHFGYFWKFPLAVTAAATHREPRGFFPRLVVPLCRHRCFSALHSSIAMDLDLPPEQTPPLADLAAAIHRAAAAAAALSAPSPSSHAAAAVAALRDAHAAIGCFLSHLDTVSASSGDDETMTDGGEEPLEQRAGEQMVGEVEKGLRNCGLQGSKRRKRPVPPSWPLGRRSSGLCETAEAAVAPVLDVEGRRRAAMDLLLQFHA >Et_5A_042548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2011004:2012843:1 gene:Et_5A_042548 transcript:Et_5A_042548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDCGVAARGAGSCAKKPFQFTRSLTYSHHHQGHRPAAAAAAKQWRRPRAGLVVVLYTTSLRGVRRTFTDCSAVRAILRGFRVAIDERDVSMDAAFRRELQALLAARGRAFALPQLFIGGRLVGGADEVRQLNETGQLRRLLDGAAGQDPAFVCDACGGVRFVPCAGCGGSRKVYDEEEDRVLRCVECNENGLLSLIRKEKEKDGTKTAADSIHGSVTI >Et_1A_007981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39911877:39915939:-1 gene:Et_1A_007981 transcript:Et_1A_007981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLPFHLHLRALTLALTVVAAAGKIPDSRTPISRDLYHSSSMLTVIFLVGSDSLLTEIKDLVARHSEKLSMDTIRASNKGYSAELFVVTFNHVKESVDNGSKVHVLLSFGQHGRELITSEVALRLLYVLTEKRKIAGVDLSSFEKMLENLVIKMVPMENFNGRKRVEAGELCDRRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGFAPFSEPEAQIMRELARSFKPHIWVNVHSGMEALFMPYDHKNTTPNGASAHLMRSVLENLNRRHFQDSCLVGSGGGAVGYVNLMKFSYLSFCNFCAFGYIWIRSKDFNKASNTHAAVFLPCTRYLAHGTTTDYMHDIGKVPMPFTFEIYGDETASNNDCFKMFNPVDKTTFDRVTNKWCMAFLILFEEGLRNLRDAQLVSQGPLDNWVPIGGDIVERNVARKISRERRKLEGLDLGMQELRTYFRLFMLSTFLLMFMFCTRISSNRQRDSGNIFGT >Et_3A_023706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11142800:11146324:1 gene:Et_3A_023706 transcript:Et_3A_023706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYAILLLSVPLLLSLPSSRVLAAADFPLADRPGCQTKCGNVEIPFPFGISDQCAIHHGFYINCTEVKGILKPFIGDFEVTMISAPDAKATMKMDISWRCYGGLSTSGMSSKTFAENFSNSPFSFSHEDNKIFVMGCNTLGYMGSNTPGNMTSFSHVIGCSSNCSGLEPRNGLCSGAGCCQADVPENQVYFEAFFNEYYNTSTGCSYIFVVEEKAFNYSTEYIKATTFWDEYKGQVPVVMDWMITPYSSKNTITPYTCQDAQSNLSSYACVSDNSTCVNTTNGLGYRCKCQDGYQGNPYIKGGCTDIDECRQTPTDPCAAKGGICQNTIGNFTCSCPEGKQKDMDGFCTANRKSSSWVVPVVVGTCVGAVVLVITITCTYLIQERRKLQHIKERYFRQHGGRLLFEEINSQQGVAFKIFSEAELQEATDRFNEKQVLGHGGHGTVYKGLLKRNVEVAVKRCTSIDEQHKKEFGKEMLILSQINHRNIVKLLGCCLEVEVPMLVYEFIPNGTLFNLIHGKHDRHLSLASRIQIAHQSAEALAYLHSWASPPVLHGDVKSSNILIDWDNTVKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYLQTCQLTDKSDVYSFGVVLLELLTRKKPFNLDGPEYEKSLAMRFVSMMKQGKIEEILDDQIKDENMEILEEIAELSNQCLEMCGINRPSMMEVSEKLDSLRKVMQHPWAQQNTEDMESLLGESSTTATSEAFNTGHLSIEMKATKDLESGR >Et_10B_003368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19163454:19164584:1 gene:Et_10B_003368 transcript:Et_10B_003368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIRRGNFTPHEEGIIVHLQSLLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKHQAIGAIFAPPLSSDLSTTVVPAITGAGHLDHHHNMMTNPLSKSNYASSACSNSPADVTQLIAGRSPFAAPGSLDADSSSSSSYASSMDNISKLLNGFMKSSSPQEDIKPSATEVNPLLSFENMSGNALPDFADVLPPQPVLMEQPRHQESKQQQQQAQPPLSSIEKWLLDEAAEQVVDLMDLSDGCCSVPMLF >Et_10A_001236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22444704:22450054:1 gene:Et_10A_001236 transcript:Et_10A_001236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHNQQHSSPLLPPKRRCSGLAAAVPALVVCSILLPLVFLLGLHRPAYGSEGSAAVVISTDVSKKKTHGSNGILGQKSSKLKSKNLAVKSKAKLKVSLSLTDLKNDSFGNYGPYTPKRYQLKDLSLGSKDASVNGKENHDQETVHEENPKSCELQYGSYCLWSVEHREVIKDDVVKRLKDQLFMARAHYPSIAKLKNQERFTRELKQNIQEHERMLSDTIADADLPLFFAKKQEKMEHTIERAKSCEVGCSSVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTMPKTHHCLHMRLTVEYFKSTSVHMDQLNKQKLESPTFHHYAIFSRNVLAASTTINSTVMNSKDSGSIVFHLFTVAQNFYAMKHWFNRNSYLEATVHVTNIEDHHKVSKDVDSLEMQQLLSAEEFRVTIRNHSEHSQRKMKTEYISVFGHSHFLLPNLLPSLNRVVVLDDDLIVQKDLSSLWNLNMGGKVIAAVQLCGVKLGQLRAYIAEHSFNINSCVWISGLNVVELEKWRDLRITSSYDQLLQKLQKDSLTSQQLRSLPASLLAFEDLIYPLEDSWIQSGLGHDYGISRVKIEKAATLHYNGVMKPWLDLGIQDYKSYWRNYMTTRERFMSECNIH >Et_6A_046117.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:26083730:26083966:1 gene:Et_6A_046117 transcript:Et_6A_046117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRSLNGGSGRARGFPKSYVQSLTSSLFWSLGGFGESEIKGSMSFKPLCRPRWHRRSLTMLTVGVCEVLQASEALSP >Et_1B_012012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2857105:2865412:-1 gene:Et_1B_012012 transcript:Et_1B_012012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDRDALKWVREGQGAALHSHDRMDAIRAVRGAAGRGGLGMPPPEKFRSGHIPRAAVPLSRGSLRSDDGSAASGSDMDESSDTDEVEVCSGRYSVDSSPRRDDTTRRTAVPLYRYATVPGQQHYYSTDDGYSDLSSSRDTALPRPKTQQTRRPQARVVGYVEEEYSDSAGSSEFSSQVEGRSNGVTSKGGYASEYSHTGPARREVNNVVPKARAPAAENYRSNAPLNSTTHQPECYSAHVSARVDGKSTPKTDGLSDVPSAPPIHDYDQVHSTATQPNTNLSDGLAAKKDQHHETNDGANLHDKNKSTVNAGHTSRPSSSIPLRIPTFHASLQGPWYSVLAYDACVRLCLHAWARGCMEAPIFLENECTLLRDTFCLQNVLLQSEEELMAKCTSELVSEGAASKPKKTIGKMKVQVRKVRMSVDMPSGCSFSSLPVVKLDTVRHRLSNVQSTLSSGWESVRRVQVLPQLPANSSFSKHSLAYMQASAQYIKQVSGLLKVGVTTLRSTSSNEAPQETYSCQLRLKSSPEDDLIPLQPGSGETHVFFPDSLGDDLIIDVSDSKGNPCGRVVAQVVTMTEDPADKLRWWSIYREPEHELVGRIQLYIHYTTAADENNMKYGSVAETVAYDIVLEVAMKAQHIQQRNLVLHGPWKWLLTEFALYYGVSDAYTKLRYLSYIMDVATPTADWLNLVHELLLPILISNHGTATLSHQENRILGEVEEQIEQTLAMVFENYKSLDESMPSGLIEDFRPPTGLAAAALEPAIKLYTLLHDILSPEAQLRLCGYFQAAARKRSRRYMLETDEYVAGNSEGIRMDLVTVTTAYQKMKSLCNNLRNEIFTDIEIHNQHILPSFVDLPNLSAAIYSVELSNRLRAFLVACPPAGPASPVSDLVIATADFQKDLASWNICPIKSGVDAKELFHLYIVLWIEDKRRMLLENCRLDKVKWSGVRTQHMTTPFVDEMYDLLKNTLTEYEVIICRWPEYIFVLENAIADVEKAVIESLEKQYADVLAPLKDCIAPKKFGLKVVQKLTKRNSTVPYSVPEDLGILLNTMKRLLDVLRPRIENHLKSWSSCIPNGGNTAAIGERLSEVSVTLRAKFRNYMQAVVEKLSENTRMQNTTKLKKIIQDSKDLAIESDIRSRMQALKDQLLEAINHMHKVSEVHVFVAICRGFWDRMGQDVLSFLENRKENKAWYKGARVAVSVLDDTFATQLQQLLGNTIPQKDLEPPRSIMEVRSILCKDAPRPKNSSFYY >Et_1A_005848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14646454:14655138:-1 gene:Et_1A_005848 transcript:Et_1A_005848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRAQVNKPHKTRFASKASRHAHKIDKVRGGKSETSHRAAVKGARAARVQRSKAIRDQKRAALLKEKRSSTGSSSAPRVIVLVGLSSSANVASLADDLLTFAAGGDGKPTSSTVASPTYKLRTTVLQAPYGDLTSCMELAKELKKAATSFLSAELPEDCKYYMADTKDDLHKFMWLFKEQHLSSPHWRIQRPYVMSEQAWIKPDDNTGLCTLVVSGYLRAHNLSVHVSGAGDFQLGQIDVLKDPCPVSERKSSDVMETEDNGDQVVNTFIPDSSNQEPLLVENIPDPTAGEQTWPTEEEMKEAAINNKQRKLVKRKLPPGTSEYQAAWIVDTDDEDGDSDNDSQAGSEMVIDEQDHSDQGSDGSDVDAVSHFTAKFDEETVGGTEMADDENLTREQIEAEIKKIKEANADDEEFPDEVETPLDVPAKRRFAKYRGLRSFRTSSWDPKESLPSEYARIFAFDNFTRTQKHVLAKISELDRGTEGCALVGSYVRLHVKNIPTDVASKLCHPSRRIPVAVSGLLQHESKMSVLHFSIKKHDSYEAPIKSKEPLIFNVGFRQFTARPLFSSDNINCNKHKMERFLHHGRFSVASVYAPICFPPLPLIVLKNRDGEQPAIAAVGSLKCVDPDRIILKKIVLTGYPQRVSKLKAMVRYMFHNPDDVRWFKPVELWTKHGRRGRIKETVGTHGAMKCIFNSSIQQHDTVCMSLYKRAYPKWPDQLRSSLI >Et_8B_059795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2853890:2855318:-1 gene:Et_8B_059795 transcript:Et_8B_059795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTSTTSLFPAGLSSSSSSSRLKPRSQRKLLATTVCQCQPARVEGVSRREAVLSILLSGAAAAPVLAPANAFAEAEATGTVESQDGFSTYEDEANKFSITIPQGWLVGAGEASGIKSVTAFYPEQAPDSNVSVVITGIGPDFTSLKSFGDVDAFAENLVNGLDRSWQRPPGLAAKLIDSKASNGLYYVEYTLQNPGEQRRHIVSAIGMAFNGWYNRLYTVTGQYIDDEDSDKYRPQIEKAVKSFRLT >Et_5A_040720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11527319:11542033:-1 gene:Et_5A_040720 transcript:Et_5A_040720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGDSSDPPLAPPPEEVPSPVESSPSSSSAAVPLGLSAPPAAREVAAAMEAVERDAAAIADSYGSLFASLRAALSNVTSTSAENVECLSDVVGRLQESGEALALDDLLTSLEASSKGNKYINSFLRLNEEMRGLESLAVQLYPFVLGSLLKKFMGAAGTLWRNRGCLIQKKPRQSAITWNGVTYNITAVIKQNNKNIAVALGSLQLSSDCNLSP >Et_8A_057170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21955432:21956624:-1 gene:Et_8A_057170 transcript:Et_8A_057170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LILIDKDEPGKQVRSAVRIKNISKSHVAFKFQTTAPKSCFMRPPGGILAPGESIIATVFKFVEHPENNEKPLDQKCKVKFKIVSLKVKGPMEYVPELFDEQKDQVAVEQILRVVFLDAERPSPQMDKLKRQLAEAEAALEARKKPPEDSGPRIVGEGLVIDEWKERRERYLARQQIEMVDSV >Et_5A_041361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21798709:21799265:1 gene:Et_5A_041361 transcript:Et_5A_041361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGETPSQGFSETTDLVDAAAAARVRTTLAPGCDHLDCGRGGGPFKLQVVLVATTTSSTKTTTCVYSSEHDAWSDPVNVQLGRNHGDCVLGGPAARVRNALYFMCKMTQIHIRDRTLEYVWGQKHINTVLEYDTGKQQLSWVSLPPACEDQHIALVTLGDVRRVLQR >Et_2B_021963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7423187:7424590:1 gene:Et_2B_021963 transcript:Et_2B_021963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPTVQMATSPSPVQNAPVPLPKQPTKNVDPETKMDAGKATVAADKVLSTSANLAQLLPTGSVLAYQSLSASFTNQGECYNSNWWLSLSLVSFLTASCVFFAFTDSIVYKGRLYYGVATPRGLNIFNLSKKEEREVFEDLKPELEKRGLKRLDWVHAFFTAVVFLTVAASDVGLQKCFFPTAGPNTKELLKNLPLGMAVLSSFVFMIFPTTRRGIGSQTGQASEDSAVVSPSKKSDEESQTSTTSWSDDHSRYPAWLPPPPAGGSGGGQRWSRTTGIHRVLPFVSSVFPLPIPFLLHLDHHLQQRFDSMVLRESSSSIWLHATTPLSSLAAVTLRRCGVGVFSSRPPPAPWRRPFGDVAGSGRVLGVRLHQACTRSHKIREDDAHVWYRQHHFTVVLIP >Et_9B_065960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18702338:18704941:-1 gene:Et_9B_065960 transcript:Et_9B_065960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGGTPFLLSPVTGRAATPSSSVFDVEAAAGAHRRPGKPLAADAGAAFVLESKGLHSCPSLQRMREDDDVWCGAGTWWHAGFHLTTAIVGPTVLTLPYALSGMGWVPGLAALTAIAAVTFYAYFLVSRVLDHCEANGRRHIRFRELAADVLGSGWIFYLVVTVQTAINAGVTTGSVLIAGNCLQIMYASLVPDGPLKLYHFIIIVAVVLAFLSQLPSFHSLRHINLGSLILSFAYTILMRQTNIRATLYPTGVSSNAPVKDYSLSSSKSEKTFNAFLSISILAAVFGNGILPEIQATLAPPAAGKMMKALVLCYAVVFFTFYLPAITGYWAFGNQVQSNVLQSLMPDSGPSLAPTWLLGLVVVLVLLQLLAIALVYSQVAYEIMEKNSADAAHGRFSKRNLVPRVALRTAYVAACAFVAAMLPFFGDIVGVVGAVGFIPLDFVLPVVMYNMALAPPRRSLVYLSNVAIMVVFTGVGVIGAVASVRKLVLDAGKFKLFSDTVVD >Et_4A_031872.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25525415:25526008:-1 gene:Et_4A_031872 transcript:Et_4A_031872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILDHSDDEEQQSRHASGSKNRLGGSRFGKRRLKRRSGSDQHLLLMDCVGGSDTGDGASEETVPLPDYERLSQSARHPDDQDDPNNPPPPPLAVGAVQQQPAPEKKGTSPPQPPPMPSPPAQQQKPAAWRLIEYVRSRNRAGSGGAGAGCGTASDGDSKSSDDGEKEGEDGKKDKKKKRSSWLPDPDRRWPVQGFY >Et_4A_032983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16353097:16355793:-1 gene:Et_4A_032983 transcript:Et_4A_032983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTELEKRHTSLATYILVRASVLAARCGMKSKRFGKICRPLAWSQGDIFLMCLSSAQIASAYILNKDSFPSSYKAFLSKQIGKDPVVLQGLKELVNNNALTSLPIIEKYYKTILHGNQSCTGHYFSFSLQAYGRALPVYVPVYLIPALAIHNTDLVRRTYSILGKNLLGIARSSLFLSVYCASEWGWTCLLFRMFERCNIPLLILGTFPTGLALLIEKKSRRIEISLYCLARAIESLSICIAEAGLYPPQLRTKRADIVVFSISTSIVMHCYAQERDVFHSKYLDVLDWVFGVPVPYMNEYKICAPSK >Et_1B_012112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29041595:29043333:-1 gene:Et_1B_012112 transcript:Et_1B_012112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DIIMNMLSEMTYFQSKYTNFLLKAAERLNAFQESGARNQNYMAMYSSIFGGITTDPSAMVIPIDDHMVHRGHGVFDTAAIMDGHLYELEQHLDRFLRSALMAKIPLPFDRSTIRSILIQTVRASKCTQGSLRYWLSAGPGDFLLSSSGCTNSAFYAVVIESQCLQVPAGCKVVTSSIPIKSPQFAVMKSVNYLPNALTKMEGEENGSFTGIWLDDEGFIAEGSNMNVGFVTKSKELLMPHFDKILSGCTAKRVLTLAEQLVADGRLSGIISRNVSVQEGKTADEMMLIGSGILVKPVVQWDDQIIGSGKEGPIAQALYDLIVEDMKSGPPSVRIRVPY >Et_1B_010487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1155851:1172034:1 gene:Et_1B_010487 transcript:Et_1B_010487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGAVDTFDGEIDLISGLPDDVLVDILSRLATAGDVRTVTRTSILSRRWRFLPWWRQITSVALDVGDFFFDSDDEWRRVRRRRAGIASAAFWDQHRATAEGAAPLLDTSLDIHIFDHICTAGRRKKHRVNTKLKWQPSPDFVTHRNLKKLSFHRAFHVNKDLRLAKRVIKLAVNLETLTMGVKYLGCQDCTAEELKWSTVSLMTRSTARSIGSASSPTTSWSTYWEGRLVTAGDVRTVTRTSILSRRWSGSASAAFWEQHHATAGFTDALARFLTAPPSERVIERLALKFILTRRDLVRRIGELVGHAVDAGAVKSVEFEIVTEMTCATTADVPYMMSYSKRFTDFLRDCPHGVLGRSLKKLTLQFLWFEFLSLTSSGLLRPVPGDPGAFLPAILTIAAQDAGARHPLRHARRSSSCRRPRSSTSSTDGWSLTSTSTLPIWFVGTPPLKSLSLGHRLKKEDAHVKWKLSELLANSGRIETLSCTFGCEEIWLQPEHPKELRDVLGGLKELHLTKISPGYNLSWTFSAALGGILDHICTAERRKKHAVNTNLTWQASPDFVMHHNLKKLSFHRTFHVNKDLPFAKRVMELAVNLETLTMGVKSLECEDCKAAELKFPDLARSRLKFAGNTDYVDRVVKKLKDGIATCSFRGRRRYILYPWEASSIPSSDGAWQPPRCRRFGEHIPTTPRPTMVHDPLDMADAGELDRISGLPNDVLLDILERLAIAGDVKTVAKTSILSRRWRSLPMVVTDHQHVGDFFVRVDDDSSDWIPVRPRNREFWDQHESTARFTDALARFLAAPPSKRVLEKLSVKFILTRRDLVRRIGELVGASVDAGAVKNVELEIVTEMSRVDHDVEERTKLGYGDRFKHLLKDCSGAVSPNSPWKTCDTAEVNDLIIRGCDALEFLSLSFCGLTSPAVDDDDEAPPHHWLWPVLSIDAPQSRLRILICDFCHIGGVELVQAPALAAVRYRAICLDDYPRPITFGCAPSSFEGLFLSHYQGATYSFKFKLSDLLANSGGQLEWLVLGFEGSKPERPKQLRAALGRLTHVELRGIPPECNLSWATKRVASARRKVLDSVVLLTVWEIWRQRNDRVFRNRTKQPAQVAEVIAEELELWCRAGFVDSALPDDVLLYILWKLVVVAGDVYTVARTSTLSRRWRSLPASLPWPHIVNNFSHDPGNNFSHHLEDQQQQLAFTTALQSFLAVPSSKRVTETLTLKLTLTSGYRDGGYVQRIGDAADSGKVRSVELEIVGQIDSFSLDETPAMLGHGKRFLRLTQDFPGAFRCVTKLTLENLWFRDTAVVSDLLRDCRSLDSLSLTFCGFLPEGVQDVDDDEAPGPPVLTIESSRLTALQCIMCYVDRVELVHAPALGFFRYHWPPDFSPPVSFGGAPSLKGVSLCHHRGFDDDDDELKLSDLLMKTAQLEWIFLGFENGRSWVQPERPQQLRAALCGLKHLHVTNLSSRCKLAWAFLLEAAPFLEDLDIHICDHICEAHSHKNVDESADLEWKPSPDFKHHHLKRLFICRAFHVEKSLSFARLVMGLAGNLQVVSLEVMTLRNARTPNASFQNWQDRDRNTQKATSMLMH >Et_3B_027903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25915186:25917266:1 gene:Et_3B_027903 transcript:Et_3B_027903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPTAKPTGPASGFAPGAHREALEFIEHVTANAGRVQRRVLAEILSQNAPAEYLRRYGVPGSPDAVDAFRRHVPLVTYEGLQPDILRIANGDTSPILSGKPISEFLTSSGTSGGERKLMPTIADELDRRSLLYSLLMPVMSQSVPGLDKGKAMYLLFVKAESRTPGGLARGDVLRVGAVFASGFLRAIRFLEKHWPRLCRDIRTGELDPEITDRAVRDAVVGRVLRAADPALADEIEAECGRPSWEGIIRRLWPRTKYIDVIVTGAMSQYIPTLEFYGGGLPLTCTMYASSECYFGLNLNPMCKPGDVAYTLIPTMCYFEFLPLHCSNGSAEPSHRDLVDLVDVKLGHEYELVVSTYSGLCRYRVGDVLRVTGFKNEAPMFRFIRRQNVALSIDSDKTDETELHAAVSGAVQHLAPFGASLVEYTSYADAATIPGHYVLFWELREGSTPVPASVFEDCCLSVEEALNSVYRQCRACDRSIGPLEIRVVAEGTFDKLMDYAISRGASINQYKAPRCVRPGAVVELLDARVRGNYFSPKCPKWSPGNKQWNNAKELIGNGDA >Et_6B_049821.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1461472:1462419:1 gene:Et_6B_049821 transcript:Et_6B_049821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSLLLPSAVRDLASCVSDGAVRVACTTPSATRISSSASRTSNSPSTLSVAVSYRATPRSPSSPPLLLRLVWTHSPAGGPPALSFAASASSPAVLLRRRKGTRSLPGADHPPLALFWDLTAAAYGADAASPEPVSGFYFVAVAADAAEVVLAVGDLAAEFVKAKFEGQIPKARFFPVARTDRVVAAPNAMHTVRVRFAEGGAEHEVSVGCCSTSGGSSGRQGDEELWVAVDGKRAVQARRLRWNFRGNQTVFVDGAPVDAMWDLHGWWFRDPPGRAVVMLRARSALESRLWLEEEAAAPGFALVVQAFKTPP >Et_7A_052039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:416192:417766:1 gene:Et_7A_052039 transcript:Et_7A_052039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPRRRCLRPLPVSFPSSAVGKGTGSFGKRRNKTHTLCIRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYMRHVPKRFKSNFREGTEATPKKRAAAN >Et_4B_039023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6991388:6993280:1 gene:Et_4B_039023 transcript:Et_4B_039023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAATSFLPSALSARKEGAVKESAFLGVRLADGGVKLETSSLGMRNKRVSTSVAIRAQTAAVSSPSVTSASPSGKKTLRKGVAVITGASSGLGLATAKALAETGKWHVIMACRDFLKASRAAKAAGMDKDSYTIVHLDLASLDSVRQFVKNVRQLEMPVDVVVCNAAVYQPTAKEPSYTADGFEMSVGVNHLGHFLLARELLEDLKSSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGVGSSVMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRYHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSEPSLTKSGVYWSWNKNSASFENQLSEEASDAEKAKKLWEISEKLVGLAA >Et_9A_061374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10714023:10716787:-1 gene:Et_9A_061374 transcript:Et_9A_061374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHKKTSWPELLNVPAEAAKRKILADRPDVQVVVVPEGSFVTTEFNPKRVRVFVNGDNDVEQITGFEWRKKMSGYQKTSWPELVGTPAEAAKQKILYDRPDVDVILLPAGSFVTTEYNPKRVRLFINPNGDVAEIPKIG >Et_2B_018922.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17005870:17006265:-1 gene:Et_2B_018922 transcript:Et_2B_018922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHEVPSSSPPPPPQKSKLSGRWSALPSQSTTTISSSVAAGEAAHVKGTTLMPAVRASPRAPTVLPDAGKCAKWRGLCQCVMPGRMRSRTSRSAAANAPGSGRNGASSGSWRRRNPGVTLGCTGYSSMRP >Et_9A_061869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17338627:17339441:1 gene:Et_9A_061869 transcript:Et_9A_061869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSANTRQNRFWEGADHIIESVLESSCPGIVSCVDILALAAEFSVELLGSRMRTEVAEQLNHGAGWRSPLERAPRPARRHNDEHREHLPNFFDPLNTLQEMFRNVTLTTLTSSPSKATTFFIWPAHNNKILLHFSSAYIGKVQCQFTRQNCTSGQAEGAPEDLDQVTPNIFHNKYYGNLLQGRAQLSYVRPGYVVRPRRSRDHRSNCSSVGCQPKGFLQELRGFHD >Et_7B_053759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1277374:1279103:1 gene:Et_7B_053759 transcript:Et_7B_053759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPFAGGVFLVNIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >Et_5B_045157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10196056:10196360:-1 gene:Et_5B_045157 transcript:Et_5B_045157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLENERTTEAPEIQRTDDGITIARDHNEQQPGVRRRAVALGVADVNYNPEADEASPLLSDSGRRRAIWDDWFSRIFEKDESGQMVPRK >Et_8A_057714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6376248:6378294:-1 gene:Et_8A_057714 transcript:Et_8A_057714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVGIVVSNKMQKSVVVAVDRLFHHKMYNRYVKRTSKFMAHDEADECNIGDRVRLDPSRPLSRHKHWVVAEVLRRAKMYVPPSATSSNEQDTKTQQAAAAAKSSA >Et_3A_025943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34185422:34186324:1 gene:Et_3A_025943 transcript:Et_3A_025943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRHGGFNVLCLLLCATQVLCNESGVCPSLISSAKSMAQWHPIPARTDGIGSRKAASSLHGNPARHRALPPLSAPPPTAGADLPPSRAPEQPHFGFPLQPTFGSAAPPVGGEGYPFIGSNPTVPLPTGMTDTASVLPLPDTGAGTDTKAVGYAASVQVQITMIGLAVIFSTLSLSC >Et_4B_039198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8690329:8694031:1 gene:Et_4B_039198 transcript:Et_4B_039198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRKSVFASAPFAMKQAALGAGVAARRNGAPLSLAAVVFALFVFATFLYNEDIKSITDFQFGAVRAKAPDLHLLQEAQAAAHAAVDTLARRGEEVIVRVMDASASSGNATTSAATVVDVAKTNSNGANAAANNAAAVAVDVGQEKDRDVTLPTVAAGAGADEARRREDEEAAEKAAVAALRAVVSVPETCDLYRGSWVYDEVNAPVYKEPECEFLTEQVTCMRNGRRDDSYQKWRWQPADCDLPRPDAIDGCRFDARLLLERLRNKRLMFVGDSLNRNQWESMVCLVQSVIPKGEKTLTKFVNGGSSNVFYAHAYNATVEFYWAPFLVESNSDNPKVHSVPDRVIQWHSIAKHAQNWIGVDYLIFNTYIWWLSNLDMKVLKGSFDQNSTEYVAVERPVAYKEVLKTWAKWVDRNIDPNRTTVFFMGMSPNHITPEAWGNQGGIKCAMETLPITNRSTSLDVGTDWRLYAGAQEVLPTLRRVPVHFVDITALSELRKDAHTSVHTLRQGKLLTPEQQADPKTYADCIHWCLPGLPDTWNQFLYARIASSPWPAPDAKQQQ >Et_1B_010898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15211268:15213564:1 gene:Et_1B_010898 transcript:Et_1B_010898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPKSTCIALSLLLLAAAATASSPHFLNSSLPDPAAVVADFHSKVASSRRRMQESGGGVGGCMTGNPIDDCWRCAGTDWQQDRQRLADCGIGFGRNALGGKGGPLYVVTDSSDRDPVNPSPGTLRHAAIQEGPLWIVFASDMTIRLTQELLVNSFKTIDGRGANVHIGAGGACITLQYVSNVIIHNVHVHDCVPTGNANVRSSPTHSGWRTRSDGDGISLFGARDVWVDHCALWRCADGLIDAIMGSTAITVSNSYFARHNEVMLLGASDAYLPDSGMQVTIAFNRFGPGLVQRMPRCRRGYFHIVNNDYTSWEMYAIGGSASPTINSQGNRYIAPANPDAKEVTKRVDTAEGQWSGWNWRTEGDMMVNGAYFVPSGEGLEDIYEKATSIDPKSAALVDTLTQNAGVLGEPRYGNQWPPSFPRLSFPAGNISDGWAHVNGRR >Et_2B_020205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17967469:17968893:-1 gene:Et_2B_020205 transcript:Et_2B_020205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEALVLSHQGSRRFGCGAMAGGAWNGLFGGVEGMAALGGAGSWDAAASSSAMPLHGFQDLHSIPMAGAPPVAPGESGAGVGHEALAAPAVALPPGRRKRRRTRAVKNKEEAESQRMTHIAVERNRRKQMNEYLAALRSIMPPSYAQRGDQASIVGGAINFVKELEQLLQSLEAHKRSRQRPADGEGDTAAFANFFTFPQYSMSAAAALAAPAPADATDHDGVAEPEAPGSKPSAVAEVEATMVESHANLRVLSRRRPRQLLRLVMGMQGHRLTVLHLNLTSAGHMVLYSFSLKVEDDCQLTCVDEIATAAHQILEKIEEEQGCSLD >Et_1A_005886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15106677:15118560:1 gene:Et_1A_005886 transcript:Et_1A_005886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTATKRVKDTAVLPDELLPTAKSLRRFECLSRSWRQVITSSSAFHELHFQRAGSRDHRLFVRPGGPCQPFYAWQPNDDGTVLEEIMGPCFYLPQGEIFPVTKSCHGFVILNCLETVCEVFTLGESAYWRPAAMTPPLIRIRRDEGQGAVLCNGNLHFIGHDGVITTFNITDETFGTLMPPKGLEHNDNDEDYSLTELGNCLCLYSSWQLNPKPSRPYTIWLLRDYEAAGCWEKLICVDWGSMPEAERALLGSRWIAPLGMYRGDTGNMKKIMFGTSKCQVFIADPSNGIPEILFSLDGAQNTIDAGFPTMGIFEESLKSVVRTTDDEIITLLSSSPSSQAWWEVLSRLPACMVGRLSQVSREWRAMIKDELFINEHSLLRANLNKRPQVMFTNGKPESFKLVENFINTSYVPPMVDDGAILVCSKPCHGLNAGSYAGYDFVCNPTTDYYKALRLGDDRRELRGIALQNDIEMRSGRYWEEDDVFTGRLGLGYDEEASKHALVRLTYMERNLETRAYKLDCEFRYVEDMFWEGLDPPPRPVANTPPAHANGKLYWMVDTELGERSTRQEIVMLNISTRKFEVLHGPPCTNNSNCTLSILELQEVVCVAYSCHSTNTIEIWAMEEDTGSWSMDCRVELGRFSPDYSTHVTTPLVVDPMDGKILLSTGRALGYYNPKTTELETIYRLGNHVSPDKKFVPTLFQESLVNPCDR >Et_2A_017839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:711556:714863:1 gene:Et_2A_017839 transcript:Et_2A_017839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPPQLAGVGDRGSSSHHPNPPAPPKILLAKPPLPHASSSGAEDDGGGAGARARQAPQLGSLSLVSDSWEAHTDKFLPYLTENNDFMVIGIIGPPGIGKSTIMNELYGYDGSSPGMLPPFATQTEEIKLMAKHCTTGIDIRISNERVILLDTQPVYSPSVLIDMMRPDGSSTVPVLNGDPLSAELAHELMGIQLGVFLASVCNIVLVVSEGINDLSMWELMLTVDLLKPNIPDPSTLTSSASQDKENKNDERSGSEDYIADLCFVHARLREQEFSPSKLMLLRKILEKHFKSSSFSIGSSSGTDQGSDTSVSSSTKVEDVTSSQQDIFLLPLRAHDNSMKFEYGTYSSMLRMLRDQILSRPGRSFSKNLSERDWLRSSAKIWDMVKKSPIISEYCKALQSSGLFRK >Et_2A_015604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15948527:15951247:1 gene:Et_2A_015604 transcript:Et_2A_015604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPLPWTSRLLPRLAAARAISARAHPPLPPPSPSPSAAAPTYSPQAFHAHLASVASHLPCLLAALSRARAARLPLLPATRALAASALLRHGRLPDALAHFSLLPDSSGAALPAPLCNSLLAALAASGSLAHARKVLDRMLAVAVGLDTVGFGVFVKAVGRREGLAEVIGLVEVVGDRGDRVNRSVVAAMVVDGLCRERRIEDAWRALEEMRLRSWKPDFVAYRIVSEGFRVAGRAEEEGRVLKQKRKLGVAPRKEDYREHMLALVSNRHIAEAKEIAEALVLGDFPIDDDVLNVLIGSVSEIDPDAAAMFCKFMLGKGRFPSTEMLVQLSENLCKNMKGDVMWEIFTLLLEKGYCNNSRDYHLVVSFLGKAGKVREAYDVLKEMKRKRLEPDTSSYNSLMEALCRNDLLRPAKKLWDEMFTVGCSPNLQTYNILITKFAEIGESEEVQQLFDHMIQKGVAPDCGTYTSVITMLCEKNKYEEAMEMFEKSLMQDAAMASSILTVFILLLCKQGIFKRALSVMYCVPSNVKNLDSHVILLKSLTDAGKVEMAIEHIKSIRSNRSCSLQNIMNEFMASLSTSANLQHVTKLMQYLHSQGLVDEADPWMKLVENGFKNGQIP >Et_1A_006004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16567744:16571960:1 gene:Et_1A_006004 transcript:Et_1A_006004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQETIWPSGLRVLVIDDNSSYLPVMEELLLKCGYKVTTYKNVREAMCFICGNLHTVDLIISDAFFPAEDGLLILQEVTSKFDIPTVIMSSTGDTGTVMRYITNGASDFLIKPIRIEELKNIWQHVFRKKIGEEQRNNAQHVVNPLFYPPNEAPSPCQERTIGFAEAAMALESEVREGNGTVTDVRDLRKARLSWTVQLHRQFITAVNFLGAEKAVPKKILEVMNVKHLTREQVASHLQKYRLHLRKSAQTLRKDDAASSSSHPHESNNVKTQFNHSQNSMYPDQDGCMEITDYSLPKEDLSSGSDCMLGEQNNYSPEGFHDFRWDSDKQNNIAFVLT >Et_10A_001156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21634676:21636873:-1 gene:Et_10A_001156 transcript:Et_10A_001156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEALRERMLQLGFRFNPTPQEAVTYTLPRLIVGEPLHPAVRPYIHDTDIYACEPGVLASQFQPTPRTGERFFFTSCKRQPQKAGKSSRAVRAAGQGSWHSQGNSTDVKDGAGVKIGEVKKLRYKKGGKFTDWLMDEFSCCSEDSVVGDRQRVLCKMYVSPRAAPDSVARQEAFAPPVPEETVVALKRPAPSVVEQPCPKRPRCAVVATPQMVTPSVQVSAVAQAVSSPVAARDPFCTESPAAAQDNDDDFDLVNFLDDTLETEQAEEDEAQDDTDWFAFPLANQMMKKGADHAIYFRAIQIKQYGEKMKLFLRRHSLQEGNDGTTGSKLKKGAPEEATEKVICWTGSRGRVSAREELKS >Et_3A_025378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29333444:29335310:1 gene:Et_3A_025378 transcript:Et_3A_025378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GSCPSVKNILVLDSEGKRVAVKYYRDEWPSLSSKLAFEKAVFTKTLKTGPKKEAEVVMFDGHIVVYKFIQGLSFFVTGGEEENELILESVLEGFSEAVACVLPSKKLNKRIALQNLDLIFLCLDEVIDEGIVLETDAKVIAEKVLGHAVEGDEQAWRIFEIWLN >Et_9A_062566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24038357:24040949:-1 gene:Et_9A_062566 transcript:Et_9A_062566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARALGALLHRASSLSSSSAALRSASLLHGNGFAGLFRRHAARRRISTFHPLCMGRRSCKIAGRKDAQNLKKMKRNSKIGKEIVAAVKKGGPSPSSNPALQAILDKARELDIPKEILERNIKRASEKDQDTYTEKVYEVYGFGGVGMVVEVLTDKITRSAQFVRNTVKDCGAKLADPGSVTFRFRQARVVNIKVTDADKDQLLSVALDAGADDVIEPNLDDVDDETEDVSERFFKIVTTSENYQVVVSKLEEEGIKFETDNGYELLPLNPIEVDEEAMELNKELVSKLLELDDVDAVYTDQR >Et_9B_065719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9708662:9712507:1 gene:Et_9B_065719 transcript:Et_9B_065719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADTLCKHHCDAAAAESPRRRRCRVCAVVSLAAPLLLAVAIAALVVTALRPRATAAKLEGLRLASLSVSSGPPPSLNATLVADLAVRNPSPVAAFAHDAGRAEVYYRGALAADADLPAGRVAAGGAEALTVRLAVLADRLTMRALQLYGDLASAGNVPLTVRTVLPGKVTVLGVLRRRVVVTTVCDVVVSVRPPGSETSSTCRYWTKLGIYILKERPVDEGRSIGPNEADCEEDNERMTKESEQVVADALKQQPDYGYASQDAARCLLVPPQHKIRS >Et_2B_019410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28320278:28320652:-1 gene:Et_2B_019410 transcript:Et_2B_019410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAKRKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_3B_027397.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11447845:11450611:-1 gene:Et_3B_027397 transcript:Et_3B_027397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKLPGDAPPSAGPASSASAVSEADLAQLSNAIAAGEDLGPFVRRAFACGRPEPLLAALRGAARDREAEIEELCRAHFHDFIRAVDDLRSLLVDADALKGSLSASHSALLSSAAPLLASLESFLAARALAGNLSSALASSRRCVRLLALAARANAHLQAGNHGLYLALRAVDAIDRDLASGPEPLPLPTLRRMLLSVVPAVRAHAEREISREFSDWMVSIRAASRHLGQVAIGRSAAARQRQEELRSKHRPLEECITLDDDGVGDLDDFAAAAATADAADGAAAASFDLTPLYRAMHIHQTLALGERFKKYYLENRKLQLTSDFDVIAATPFLESHQVFFSQIAGFFIVEDRVFRTGGGLTSRPDVDALWDAAVGKMVSVMEDNFSRMQTANHLLLITDYAALLAATMRRYGYPVGMLLDVLAKHRDKYHDLLLADCRRQVAEALAADKFDQMLMRKEYEYSMNVLAFGIQSSDITPAFPYVAPFSCTVPDICRIVRSFIEDSVSFMAHGGGGDTYAAVKKYLGRILSEVVDTSIQKLVDSGSGLSVSQAMQVAANMSVMERACEFFTRHSAQLCGVPLRAVERGRRDFPLRRSRDAAEALLLRLLRAKVDEFMRQSDGVNWMADDPPPGGNEYANEVVIYLETLTSTAQQILPLPVLRRVLVAVLSHISERIVDLFLNDSVKRFNASAVTGIDTDLKMFETLAESMSSLFVDSDQESVKNEMKAALVEARQLVNLLMSNSPENFLNPVIREKSYNKLDYKKVAAISEKFRDSSESYFSTFGTRGARQNPKKKSLDTLIKRLREAS >Et_3B_030613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5386987:5395216:-1 gene:Et_3B_030613 transcript:Et_3B_030613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLANQRSHARFSVAAPIRRPRTSLTCRPWRRPAPPDSHTRSGTQLARLDGCCESFTVTQKQQHPDQRKDGMPWWLLRVRMTFWRSVPVIHRDRSLHFTTVPQTNRQQAGFLPTNPSPTQLSAITCSLPPLIEYTMACTQCTRTDTLLSVGQEVMFDVNLIENSTLEDGLAGWSPVGDCTKLSVHEEEPAKVPTETIRDVAEDYRPSGRYILASGRADEKDGLCQALTGAKLRPRVTYRVAGWISVGGDGACAAEEGAGAGHAVRISLRVDDEFFVDGGAVCAEAGKWTEIKGAFRLKKSPCGETTVFVHGAPAGVDVKVMDLQVYATDRKARFRKLRKKTDKVRKRDVVLNFGSAGGASGISGASVRVMQMDSSFPFGACINPSVIQNPAFVDFFTKHFDWAVFENELKWYHTEAQQGQLNYADADALLDFCDRYGKPVRGHCIFWAVDNTVQQWVKSLDNDQLKSAVQSRLQSLLTRYAGRFPHYDVNNEMLHGSFYKDRLGDDINAFMFRETARLDPGATLFVNDYNVEGGSDPNATPEKYMEQIADLQQKDAAVGGIGLQGHVTNPVGEVLCDALDKLATTDLPVWLTELDVSEADVDLRADDLEVVLREAYAHPAVEGVVFWGFMQGAMWRQNACLVNADGTVNDAGERLWTSHARGHIDSNGHFKFRGYHGTYVVQLSTGTGKVHKTFSVEKGDTPLETHLVTSLFENRESEDGLTGWAPSGSCKLAVHEEEPAPDSVPLLQAAKAVAADDEEEEDADEAAERARIKPSGKYVLASNRTDEKDGLSRTIARAPKPKVTYRVSGWVGVGAGAAEGSSHPVHVKVEVDDGRPVGDGVDVVEPGKWGEIKGSFRVDDDEPPRVAKVYVHGPAAGVDLKVMDLKVSAVDKIPRLRHLRKQADKVRKRDVILKLNRKTSDLDNLVGAEIRVVQVQNSFPIGSCITKAGLKNPAYVDFFTKHFDWAVLENELKWYHTEPAQGKVSYADADELINFCDKRNKPVRGHCIFWAVEDSVQQWVRAQRGDQLRAAVQARLRNLVTRYKGRFPHYEVNNEMLHGSFFKDRLGDDIDAWMFRETARIDPAPALFVNDYNVESGNDPNATPEKYLALVTDLQKRGAPVGGIGLQGHVTRPVGDVVAAALDKLAVAGLPIWITELDVAAADENVRADDLELMLREAYAHPAVEGVMLWGFMQGVMWRTNAHLVDANGRYTAAGDRFADLRQEWLSHAMGKVDGNGQFKFRGFHGKYQVTLKTASGKTKKQTFDVKKGDAPLQLSMDF >Et_1A_005550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11301642:11314601:-1 gene:Et_1A_005550 transcript:Et_1A_005550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPGAGAGGPEDRLGAVPQLSGDGGVAVAATPEPGGGFLADGEEVCSAPEARLFTSEVEEKGGDFAVGLVDPVETVSAFLQGRMCEDGEAKGSSSEERPVGMKEEQHGSLPFVPQGSTQPGSLRTCCVANGGLSDMALQGPSGKAGCSKDVGCVYGTLDKATGGSPSKREDMLGNSDGDWGGMAEVKTCMKDLQFASEEANDCNKVMLDLAKSFSEQWPHGVDGMGAIADANNESQHNDLMQNEAEICRPLHANSVPSVSGRIGVSLDGRAVQFCPISGNSVTSHVADGGLQNNKVFCEPAGCMYDTVDMATKGNPCEQKSLAGEGVLTGVVDVKTSLEDSAMSCKEPHDDNKGLPYGIAFSGLKESANPDLEKYGLLPKVVADDSLTMHEDSVPSVSGTESSIAVSFNDMVGHIVELSKHISQNVAFGSQGGGALPLESRCSKRDVNTSEEDLGTVWREAAHDAGELSDLGDHHIEKLPFSVDGLTLINGANHELERFLPNIDPVGSCPDEASVQSFNGTSIDDCLDGKAGLMGYISERSSDVKKLACNSLGGDMLLCESVLESEAYGDDNQECSMGVSHKLNLPACKSVMEELKPSMKGVQLISQKYGTSELPPERVSSTCFQCDDEPSFSGREPSPLCPGHQDSGVGISDSLDHSEKGLNACNSADDKAGLVDFVSNDNDRESPSQQLSTMLVFRRRNPKRAASSRILHSEKPDEIDKSSSGSRRPKKVGIASSLHQSTITTFPNKMTRERSGLNRPHKSSAWGSLEKLMDGFSQSYGPLTSNSHPTYLENGRSNKRPDQKNLPNIRKCRSSRSSKSRCSTLPEIVHLTDASLESRKGVISKLNYHTSEFTDGINTQQLKSDLVSSTREISAAYVHGECAKLSTSVPPLKNDNGTVVLPVGFSPDSVLEVASVTCESNASASHDVILHENSPDAGALNGGGHHSIVLPTSCLGRDQTPSLMHLEQRDETAGRSENMKREQTHPSQTMIDNAVDKGKEETVRKSKTGRKNVIVRKPGSKKKDGTTGKNSCEASKLRAFSNDAILPDPSELLVHSEYSEHDSYFELQTSSTEDFGTHEHSDMQSRSVTDNGKRSAFHTMKSPKNKGKDDNARKKGKLRDQHTKRKGKKKNGADGTSLDCELFNLHSDARATSQTNEQSDRSPAAELAFKDSCTISADVPGNVVCKMDNASVPPAATPRAAWVCCDDCQKWRCIPAELADVIGETNCRWTCKDNGDKAFADCSIPQEKTNAEINAELDLSDVSADEADADGSNSKACRAPSWTHVRLNLFLHRNRRTQSIDESMVCNCKPPQDGRMGCGDGCLNRMLNIECVKRTCPCGEQCSNQQFQRRNYAKLRWFHSGKKGYGLQLQEDVSEGRFLIEYVGEVLDIASYESRQQYYASKGQKHFYFMALNGGEVIDACTKGNLGRFINHSCSPNCRTEKWMVNGEVCIGIFSMRNIKKGEELTFDYNYVRVSGAAPQKCFCGTAKCRGYIGGDISIVDTIKQDDAEAVHFEHIVHKDTEVLMGANESGSHGRHPDIAEPEFSIHGEDLHDCLAANAELEPLKQTEGTLSDTSEPENSLETWSPQEDEDVIRTPVHVSRTIESSLQHFPVHCSRKTMYATEILRAPNVVNGLAPSSDSGGNLVPGFNANKRNNLKHHRNVKPSSRIDNEHILGVEGSLNILLDRDGGISKRKDATNGYLKLLFLTAAGGDSSGGTSKSVRDLSLILDALLKTKSRAVLLDIINKNGLQMLHNILKHSKGNFHRIPILRKLLKVLEFLALKEILTSEHINGGPRCAGVESFRDSMLSLTRHNDIQVHQIARNFRDRWIPRNIARSEPAEYPRAQDSRGNYMVWNSTGRKRKRRWDYQPDEHYKMVGLKFQKVYCDHDDEVPPGFESQQERRPVQVDCTVAPGLCMGRYQPSLTISYGIPVALVQHIGTPDAEGQCHQKWKVAPGVPFNPFPPLPPYPRGSPCPSSSSQISQHDGISTVKHNSSGHYGRTMDGDGRVHRAWRNGPRTKWPYNNHGRRFP >Et_4B_040022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7939486:7945487:-1 gene:Et_4B_040022 transcript:Et_4B_040022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSMNVPRRLLSLVHSLSPSYTGKLTTSWYALTGKLPMEINAALISERPNRRCSFCRCKIPKFPIASRSSRKQTPPFSAYSRRADAVRTTLPPDAGSATAAELLPIEEFPEHVLHLGDAGGATHEHDIVHAALVHLGILEALLNSFHALAEQIFVELLKSGACDGGVEGNALMERIDLNGGLGR >Et_4A_035832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:759831:764389:1 gene:Et_4A_035832 transcript:Et_4A_035832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVARPHIAPRPVRAASMAAPPLARLATVGVGGRGLAAGVRCQAQAGDMDGHYIRRCVELARKAEGHTSPNPMVGCVIVRDGRVVGEGFHPKAGQPHAEKGTMISAGPRGFMAAVGLGRRRWGNSWQPAMVFALRDAGDLAENATAYVSLEPCNHYGRTPPCTEALINAKVKEVVVGMTDPNPIVASKGIEKLRGAGIDVRVGVEEALCRKLNEAYIHRMLTGKAFATLRATLSMNGIVTNQIGKGADQSGGYYSQLVKEYDGVIISSHLARMSTLPISHEAGANQPLYIIIAQGEGSLLHMPFLSEENASKAIVFADSPVTVEPAGVEVAVLREMDLGSILQLLAQRGLCSVLVDFREAGEGFVSLLNDFQEEKLVQKVVVELLPVWLVSEGLSNLGFGGSQSFLLKNVERREIIGVWRRTCSSWLKGPPLSRIQLYKTTSIPFSCSIAHNSRQTLTAVTTLVTAMASESESGNGDAAPHPHHPHGTDPLYHIAVDYTPENCRHVPHAGEIHVTYDDRGGARWRSLRRFLPGSAVAGAIRAPSGDTSGLNYNLYLSSLECSPDQDEIDFEFLGHDKRAVQTNYHVDGAGGREQIHELPFDSSDDFHHYAIAWDAEVIEWRVDGELVRREERREGEPWPTKPMFLYASLWDASYIDEGRWTGTYHGRDAPYVCSYRDIRVPMALSVEEDEEEECKDANGAGDVPEEGEEHRDADTGDAPANDADADTAAAAVEEEDAEASKEQ >Et_1A_006903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28947254:28953488:1 gene:Et_1A_006903 transcript:Et_1A_006903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGDELKLLGMWASPFVLRTRLALSFKGLSYEYVEEEIFGNKSELLLMSNPVHKKVPVLIHNGKAICESQIIVQYIDEVFAGTGPSLLPADPYDRVVARFWPPTLTTSSFMTMIKGKTSDEKAEGRKQSFAVAETLEGALKECSKGKPFFGGDNVGYLDIALGGFAAWVHTRDILSGSKQFDANKTPLLAAWLERFCALDATKAVMPDVEKLVELSKMRQARDDAAAAAVSQANLEAPASRSRGPEASGHVGEPLRLASETRAQPQGHPLRVRRGGPQEQESELLLKSNPVHSKVPVLIHNGKAVCESSVILQYIDEAFAGTGPSLLPSDAYERALARFWAAYIDDKQLSRCFVDRHLHIIPDCLSLCSSWPLGPRVQEARRRRRERKGRSSRSSQSRPWRECSKGKPFFGGDSPGYVDVVLGGGLGWVRATEELQGTKIFDPATTPLLAAWADSFGALEAVEKVMPDVSRLVEFAKKLQAQFAAAAAGEGN >Et_5A_040620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10452714:10460500:1 gene:Et_5A_040620 transcript:Et_5A_040620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAAAPSLSHHTSPHGHLTRQRGARAPHRARGRVLHDISTTAPRFLIASQQKSTAVRRSRARSHHPPPASSGSSSSSDDDSSIMSSAPLLGAGGVNKGDEAGGERPSTTTWLGRVVDTKEARMQLGFAVPMVLTNMSYYAIPLVSVMFSGHLGEVHLAGATLGNSWATVTGYAFVTGLSGALETLCGQAYGARLYRMLGLYLQSSLIMMTSVSVVISVLWCFTEPILLLLRQDPEVSRAAATFVAAQVPGLFAYSFLQCLLRYLQTQSVVVPLVVCSVAPFALHVALTHLLVNVLGLGLAGAAAAISATFWVSCLMLLAYIVRSQAFAETWKGFSVDAFKYVLPIVKLATPSAIMVCLEYWAFELLVLIAGLLPNSTVSTSLIAMCTSTEAIAYMITFGFSAAVSTRVSNEIGAGNVDRAQNAVSVTMKLSVFLAVSFVLLLAFGHGLWAGLFSSSAVILSEFAAITPLMTISIVLDSAQGVLSGVARGCGWQHLAAVTNLVAFYFVGMPLAIFFAFKLKFDAKGLWAGLICGLTCQACTLLVITIRTKWSKLAEAMQEEKANYVA >Et_4A_034296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31503900:31506300:1 gene:Et_4A_034296 transcript:Et_4A_034296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLQVLNSCWSHPWQLILGLVPFLFLLARRRKGRLHLPPGPPRLPVLGNLHQMGALPHRSLRDLARRHGPVMLLRLGSVPTVVVSSAAAAREVMKAHDADCCSRPDMPGARRLSYGHKDVAFTPYSEYWREMRKLFVVELLSMRRVQASCYAREAEVDKLIGRLSSASEKPVYLEDHIFGLMDGVIGTVALGNIYGTEQFTHKKHFHDVLNEAVRAKASFAAEDYFPNSVGRLVDALTGVASRRERVFRDLDAFFDVVIDQHLDPARATPQNGPDLIDVMVGLMKQQYQQGSLSFTRDHIKGLLSNVFTASIDTSSVTMVWAMAELMRKPSLLNKVQQEIRAAVGNKARVQAEDMPKLKYLKMVVKETLRLHPAAPLLLPRETLRDVQICGYDVPAKTRLFVNAWAIGRDPASWDDPHEFDPDRFDGKDVDFNGTHFELVPFGAGRRMCPGMAMGVATTEFTLANLLYCFDWELPEGVRSEDVSMEEAGGLAVHKKVPLVLVPTRHKVATLNP >Et_3B_031646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6735754:6738885:-1 gene:Et_3B_031646 transcript:Et_3B_031646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAMGSVQSASDKAAVPDASAASSASGAGASRDATLGRHLARRLAEVGARDVFTVPGDFNLTLLDELEAEADSTGVRLVGCCNELNAAYAADGYARARGGGVGACAVTFTVGGLSAINAVAGAFSENLPVVCIVGGPNSNDYGSNRILHHTIGLPDFTQELRCFQTVTCYQAVVNNLEDAHEQIDNAISTALKESKPVYISISCNLASIPHPTFSRHPVPFFLSPRLSNQKSLEAAVEAAAAFLNKAVKPVLVAGPKMRVTAQEVSTMIRWGQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVEAFHNGEGKCYTAKVRTEEELKEAIGAALGPKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >Et_2A_015473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13491305:13492600:-1 gene:Et_2A_015473 transcript:Et_2A_015473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RNLHQGKRGRRKWNTCFGFNLLVKISERQDTGDIKEGPSTTLNDYNHKASVFQEINNFKGVHCVLYVHGAETRRIMQTWMESIAMKVWSVPQAKFIASTLEKVQRLSMSPTRASSPTVSFDVTDHCFSSKDMVPMSLRNNTGQKRRSLGGHPSGLLVVTDVSNRVEDIWQEIVIFLRIKHQIPCKLVILDDLKTAYSDLRKFKELGCDMFLRKPMHESRLYAMLRTLRDLQASNAQSSSQVGEVAVPRAETKDDKPLAGMHVLLAKDTLVLQTIQRKMLTQLRAHVKVAVDGSETVKLYKQSLEQGSVSEEGTVVQLPPCYLHMPIREEEEKLWNSHSDHRIECSYHRGLQKVIDAGMDLYLTKTVERMKMF >Et_4A_032172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22238217:22240036:1 gene:Et_4A_032172 transcript:Et_4A_032172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPILLCLVCGTSAGAQPYLGVNYGEVADNLPSPDATVGLLKSTSISKVRLYGVDGGVLRALAGTGISAVVGVANGDIPGLAADPAAASRWLAANVLPFVPATSVSVVAVGNEVLESGDAALAAALLPAMQNLRAAALASGSAAAAGVKFSTVNTMAVLAQSDPPSTGAFHPDVAPQLQQILSFLSRTGAPFMINPYPWFAYQSDPRPDTLAFCLFQPNAGRVDAGSKIKYTNMFDAQLDAVKSALVRSGYGDVDLVVAETGWPTKGDPSEPGATVENARAYVANLVAHLRSGAGTPLMPGKAVDTYLFALYDEDLKPGPASERAFGLFHTDLSMAYDAGLTSTSNSGGGGGGAESGGWCVASAGATDAQLQADMDYACAQVGVDCGAIQPGGACFEPNTVRAHAAYAMNQLYQASGRHPWNCDFRQSATLTSDNPSTCALCSARLLCVSPMNLSSVASPSLLSFPCIIVDSSRRFISPSPRSRHGLILSGSVRAILRRSGRDMTCARERESAFAHPLFIC >Et_7A_052296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6671410:6676910:-1 gene:Et_7A_052296 transcript:Et_7A_052296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAFFGIRDGDQQDQIKPLISPQQQQLAAALPGVIALSPKTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPLQAQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPTSLSSLTSHLYGHGGPSAGNMALSLSQVGSHLTSTLGHDGHHHHPSPELLRLGGGSIAARLDHLLSPSGGSSAFRSPPSSAASFFLNAGAQDFGGDHDGGNGGPHHSFLQAKPFHGLMHLPDLQGDGAGGPAGFNLGFFANNGNSSGSSHDHASRGGGLMNNDQFSGGGGGGPDQASSAIFGGSYVGGGGGGDHHVPHQAGLYNNDQAAAAAGMLPQMSATALLQKAAQMGATSSSNSGAAASMFRGFAGASSPHVRPAASHMEQQQQSEANLNDLMNSLAGAGGMFGVGGNGGAGMFDPRQLCGDMDGREVKFGQGGGDMTRDFLGVGGGGIVQHGISTPRGGGGGGGGDHQSSSDMSSLEAEMNKSASSFNGGRMP >Et_2A_016429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24697292:24701127:1 gene:Et_2A_016429 transcript:Et_2A_016429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRPGLLCCLFLSLSVATVARAATRRYNWEISYQFVHSDCVRKLAVTINGHTPGPTIRATQGDTVEVTVKNLLLTENTAIHWHGIRQIGTPWADGTEGVTQCPILPGDTFTYAFVVDRPGTYMYHAHYGMQRSGGLNGMIVVQAAKGGKDAEPFAYDGEHDVLLNDWWHKSTYEQAAGLAAVPMGWVGEPQALLINGRGRFVNCSAMAPGACDAKHPECATPVFAVVPGKTYRFRIASVTSLSALNFEIEGHQMTVVEADGHYVKPFVVKNLNIYSGETYSVLIKADQDPNRNYWLASNVVSRQPGTATGTAVLSYYGGRSSPRRPPPTTPPAGPAWNDTAYRVKQSVATVAHPAHAVPPPPRADRTILLLNTQNTLKGQMKWALNNVSFTLPHTPYLVAMKRGLLGAFDSRPPPETYAYRSYDVYAVQKNPNTTTSDGLYRLQFGSVVDVVLQNSNMLRANNSETHPWHLHGHDFWVLGYGTGRFDPATDPKNFNLKDPAFKNTVAVHPFGWTALRFKADNPGVWAFHCHIEAHFFMGMGIVFEEGVERVGQLPPEIMGCGATKH >Et_4B_038794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4925059:4928204:-1 gene:Et_4B_038794 transcript:Et_4B_038794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLSVPELLQSNTRPVPFTTGMWAGQGSRVDANAIMNMAMSSRWLVGFVLLTVCSSAGFLCFQRWTADKHSFQRWKEVHGRNYSTIGEHEKRFTIYKTNLRRIEKFNKNADAEGQQYRLGEDRLLTELKKSTPPCSDVAADHRFIPHQVKDASSEVHDILPSIVDWREAGAVTPVKDQGSCGCCWAFAAIAALEGAYESRTGKLLSLSAQHLVDCDALDDGCEGGDSASAYQWIMDNGGIASEEDYPYSNKTFFSSTADVCGSRKLPYPVGITGFKFVDPNNEEALMAAVARQPVAVPINAEAPEFRDYKCGIYDGPCGVLLTHEITLVGYGEDCEGNRYWVGKNSWGRNWGVDGYIYLKKDVLSKPGGVCGLASMPTRNRMVFDGARMDYPAIMALAKDHAVLWTCRAPRGLDTAPLVDWCNQLLGVT >Et_10A_000343.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:3448000:3448110:-1 gene:Et_10A_000343 transcript:Et_10A_000343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSSVVKLCCSSVCSLLAEKKQSSSDAACDAKMTR >Et_9A_061330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1037335:1040002:-1 gene:Et_9A_061330 transcript:Et_9A_061330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQSENVSKVNDFRRFDYQPWQMEHSIGVRSKKKQVKLDRTTKGMDAAEVGKETQDVFQNVHDNNLTATNVIYPASILEGSSHRDGAIYKESWEPCYNMDMADRNETASDLKKLTRAFNCHPDQENCVTHVPSGMMQIFSLRLAKAPINSGPLQIYGYMGARDDMDGLLNYVFNRSRDDPVIVQQVRI >Et_7A_050648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:58525:62263:-1 gene:Et_7A_050648 transcript:Et_7A_050648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSSASSSQPEFDYLFKLLLIGDSGVGKSSLLLRFTADSFEDLSPTIGVDFKVKMVNIAGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERAVTKKEGIEFAREYGCLFLECSAKTKVNVEQCFEELVLKILDTPSLLADASSGAKKNIFKQKAPEADTAASSCYNGNVMSSSAMEEGNGEVHGRVGAMRHGWRLEGSRVTPAKTADSEALGSVRQLHI >Et_4B_039088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7627141:7629936:-1 gene:Et_4B_039088 transcript:Et_4B_039088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARFWSTMPAVRPPPFTPPPPFTPPPPGYVPLPEPSSVATLYAMAGDFLGRAKTALVTSRPATASDVVVVSSVPSSARRSVAEFTAPASKASPAAPQKNDPWNLSSRAVHWIIVAGAVVAVVLVLCVVAILVRRRRRRRRRRRRPAEVELPQQPPVVMYHKDGPTRPVLQQAPSEHYFAQQSRPSPPQTSGTFSDAGSVDIVTELPSGGSHTYEQLAAATDGFAPGNVIGQGGFGCVYRGRLDGAEVAIKKLKTESRQGDREFRAEVEIISHVHHRNLVTLVGYCIYSNERLLVYEFVPNKTLDSHLHGHSGPPLGWHQRWKIAMGSARGLAYLHDDCYPKIIHRDVKASNILLDHNFEPKVADFGLAKYQPGDHTHVSTRVMGTFGYIAPDFLSSGKLTDKADVFAFGVVLLELITGRLPVQSSQSYMDDTLVGWARPLMLQAAADGDLENLIDPRLGSDYDSATMMRMVECAAAAVRQSAHQRPSMVQILKYLQGEMREDDQSSIFKITAADDSYSSGMDSGESTAPRPRRTPRSQGKTSNDYSNEQGPGGKSN >Et_6A_047584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7730790:7735433:1 gene:Et_6A_047584 transcript:Et_6A_047584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVENEWINGYLEAILDAGVKHRGATGAPLPLPRLTALGDDGDPAQAAAAASAYSPTRYFVEEVVSRFDDRDLHKTWTKVVAMRNSQERNNRLENLCWRIWNVARKKKQVEWDFLRQQEQRRREQEQGSREAAEDLAEFSEGEKDRPDGAAAQPAAAADGEQQQQSSRFARINSEVRIVSDEEDEESKDRNLYIVLISIHGLVRGENMELGRDSDTGGQVKYVVELARALAATAGVRRVDLLTRQISSPDVDWTYGEPVEMISRPSDDDDDGNSGGAYIVRLPCGPRDQYIHKESLWPHIPEFVDRALAHVTHVARALGEQLAGDDPPQPVWPYVVHGHYADAAEVAAHVASALNVPMVMTGHSLGRNKLEQLLKLGRAAPGEIQATYRIARRVEAEETGLDAAEMVVTSTKQEVEEQWGLYDGFDPLVERKLRVRRRRGVSGLGRYMPRMVVIPPGMDFSYVDTQDLAEGDGADLSALISPDKAKKPLPPIWSEVLRFFTNPHKPMILALSRPDPKKNVTTLLKAYGESRQLRELANLTLILGNRDDIEEMSGGASTVLTAVLKLIDRYDLYGQVAYPKHHKQNDVPHIYRLAAKTKGVFINPALVEPFGLTLIEAAAYGLPVVATQNGGPVDIIKALHNGLLVDPHDAAAITDALLSLVADKARWAECRRNGLRNIHRFSWPHHCRLYLSHVAANCDHPAPHQLLRAPAPASAAAAGASGGRDDSLSDSLRDLSLGISVDASHDLGAVAGAAGDSAAAAIMDALRRRRATAAADRPAAGHARAAAAGFAPGRRQSLLVVAVDCYAGDGKPDVERMKKAIGVALSAGEGSGGRVGLVLSTGMTVAEAVSALAACGADPAGFDALVCSSGAELCYPWKDHQEKEKLAADEEYAGHVAFRWPGEHVRSAVPRLGKADGAKEADLAVDDAACSAHCYAYAAAGPAKVKKIDAIRQSLRMRGFRCNLVYTRACTRLNVIPLAASRPRALRYLSIQWGIDLSKVAVLVGDAGDTDRERVLPGLHKTLVLPGLVAHGSEELLRGEDGFTTEDVVAMDSPNILTLAEGQTVADILKAL >Et_2A_015342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11408794:11410553:1 gene:Et_2A_015342 transcript:Et_2A_015342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRSRIEGLVQCLVISVQLLCCATAGIRPPAIYVFGDSTLDVGNNDYLQGPDVPRANRRYYGVDFPGKVPTGRFSNGYNIADYIAMNMGFTRSPPPYLSLAQRTGLLVRSSLSTGVSYASAGAGILDSTHAGNNIPLSKQVKYFGATKSHMVAKRGSGAVNHLISNSVFLISVGSNDLFVFAESSQNKLDAVDESDDIAALYSSLISNYSATINELYALGARKFAIINVGMLGCVPAARLFDTNGTCLDSLNKLASGFDDALQSMIAGLTKKLPGLTYSLADFYGLTEATFSDPGAVGYTDISDACCGAGALSAEEDCLPTSTLCANRDQHAFWDRVHPSQHAANLTAMNFYHMRPGRYTMPTDFKGLAEGS >Et_4B_037419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19657338:19662325:-1 gene:Et_4B_037419 transcript:Et_4B_037419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATRGVEREIGSLQMEEKKLVAEIKKTAKTGNEAATKILARQLVRLRQQILNLQGTRAQIRGAMYAGTSISAGMKGASKAMAAMNKQMEPAKQIKVMREFQKQSTQLDMTLEMMSDAIDETLDKDEAEEETEELTNQVLDEIGVDVASQLSSAPKGRVGASNRKVENSQARNAAAPARNATPPESSAEVDDLERRLASLRRI >Et_1A_008648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9486268:9489402:1 gene:Et_1A_008648 transcript:Et_1A_008648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAAAAVDLLRSQVPFQTDGEFVLPPRGGVGLVLVDLCNGFCTVGAGNLAPVAPNKQTEKMVEEAARLSKLFCEKNWPILAFLDTHYPDKPEPPYPPHCIIGTGEENIVPELEWLEQKPNVSIKRKNCIDGYISCIEKDGSNVFADWVGKYQIKTVLVLGICTDICVLDFVSSTLAARNLGRVPPLQDVVIYSEGCATYDLPVEVAMNMKGALAHPQDLMHHMGLYMAKGRGAKGFTSSVNLGFNALGREAPKILREN >Et_3A_024724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23620285:23623559:-1 gene:Et_3A_024724 transcript:Et_3A_024724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAATTSSLSLLFAHPNSRHSSASHLRLPRRARCAASDAAATTATKHRRPAEENIREEAARLRGPAQGFSAWYAPFPPTPDGDPNERYSLDEVVYRSSSGGLLDVRHDMEALARFPGSYWRDLFDSREFVLPEIDSDHIVSLFEGNSNLFWAERLGREHLGGMSDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRQPLSRPIAGVGCASTGDTSAALSAYCAAANIPAIVFLPADRISLQQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFNWQVPDWVIVPGGNLGNIYAFYKGFEMCRVLGLVDRVPRLVCAQAANANPLYRYYKSGWTEFQPLVAETTFASAIQIGDPVSVDRAVIALKATDGIVEEATEEELMDAMALADRTGMFACPHTGVALAALFKLRDQRIIGPNDRTVVVSTAHGLKFTQSKIDYHDKTIKDMLCQYANPPISVKADFGSVMDVLQKNLNDEVEAARFKVRRLFLVLTQWHGSTARSARYVVPEVFSGEKDYTFKLHGFMERFAPDLKIEYIQEVVALRPGAAIGAGERAHPKPRNTRSQAQLHEMFARHSSGPW >Et_7A_050600.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:5049024:5049212:1 gene:Et_7A_050600 transcript:Et_7A_050600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding REREQAPAARELSWRNIETLSLCSACGNYGSTGMRSCFEKPSPAFPGCSTTAGKKQLFGDAA >Et_1A_008336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6679168:6679601:-1 gene:Et_1A_008336 transcript:Et_1A_008336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLVVYVVRRPSLNSLGNSGAFHSKIKQALVNETGISDFAEMIFGRMDTKDLVSWNSMINAYGIHGDDAHSALRISNHHSGLISEGYNCFESMRTDHGIDTCVVDLRYVWEVSSGICLSTPTHPFEF >Et_9B_064040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10367111:10369103:-1 gene:Et_9B_064040 transcript:Et_9B_064040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSQASTHLLPSAAAASFLPRHASQQLCFAGAGAVAGGRRGSRLAVVRAASAAAPAYTSDSLILYFKAEGTMEERAIPKITESLEAMEGVKDLEVLIEEGIASVVLTKETTVQATGVASNLVEAIQGAGFKLQTLSLSFDDFDETSAAIAATGAGSDDQPSE >Et_4A_034994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8632060:8637299:-1 gene:Et_4A_034994 transcript:Et_4A_034994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLAPSDSGPPCAKRPRVAAEQLAPGSSSLGPEADVRALVSMAGGLYPLGRAEALRGLAVVLEKADASGGATRGVLECCYGCASELMRDDDEDVRLAVVRLVGLCAGKFAARVDVDANGDCGQIDVIFLQLSSMARDMCMKVRIEALGALGKVQRVSESVLLQSLSKKVIKTDILSGSIIKGTKLPPKLKLPCAAGIFAHGIEDEFYQVRTAACKSMGALAKLSTQYAQKALDMLMDMMNDDTEAVRLQTLQALFLMATYRCLNVQEQHMHMFLGLLVDANTAIREAARKILGLVNLPKLQVFRSAVDGLITSLEKYPEEHDIYGVLFSVGKNHGSFSANIAKHLAKEISMASDGELILDKPRIKALLTVSISAPFSDDKHKKLDIPSVIFSHAISLVGKISCALGEMVNQDLLLSHLCHKGGMPFLENRLVLSESGESEGCSFETMGEDSGHMEKNVKATECVDVLVMQSTKLILETVEEAWTTRSCNISETRNILRTCKEELKILSENSSGSTSAFLTFLCEYIDAIQLIVEILQLIQLDNSYAFGQTSLHTLVEKLDISLRRMECCYAGLNRDLEVHVLEVTLLATLFRISKNGIRSKLVLDKLHWIINRLEGLCADDSCELSDFSREIKKALDADTIGDILICNICTLLELFHVKEAADYRVLKEIRAVLQVCDNENPLLYVCGLPVGVSFNISLWNISNHHRLWLRMIVGDSIQHTFLELSCFGGNEELRTCSMVVPLYSTPMTCSFVLRVCLVMECPYGGISIHKRGHGGPSDCVVQLSNELDVYFVGTGQR >Et_2A_017679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5008751:5010476:1 gene:Et_2A_017679 transcript:Et_2A_017679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGKVLNDVKPYLAMVLMQVGFSGMYIVAVASLKRGMSHFVLVVYRNLVASVLMAPFALLFDRGVRPKMTMRIFIKVVGLAILEYVLTTMHATQKLRNASNCCLNSAEESASALIRFRPVLDQNLYYMGAKLTSAGFASALVNILPAVTFLMAVLMRMEKLRIRSVHSQAKIVGTVCTVAGAVLMILYHGPVVQFPWSKGHHHVAAAAASQSSASWLSGTVMVVVSCVCWSGFFILQSNTLQSYPAELSLSALICVVGTVLSGAVALVAERRDMSAWAIGFDTRLFTVVYSGIVCSGVAYYVQGLVTRARGPVFVTAFQPLCMIITAVMGSTILKEEITLGSVIGAAIIVVGLYSLIWGKSKDHNDKPGTAVVAELPLSVAANANGNGKHHVLGGHVAADVETPAVKCVH >Et_2B_020385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19628349:19642490:1 gene:Et_2B_020385 transcript:Et_2B_020385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHVAVRSRPLSAEDARNTPWRISGNSIALTTQPSTRFEFDRIFGEECRTSEVYEARTKHIVDSVVRGFNVYGLFQHIQENVDREFLLRMSYMEIYNEEINDLLVPEHRKLQIHESPEVIESREKVDDNEPGDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGVEGQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQIHADETKSSLQFASRALRVTNCACVNEIMTDAALLKRQKKEIEELRAKLRASQSEHWEEEILNLRNTLLQSELEKERIALELEEEKKAKEQRDRRLIEQAKKIENLSSLVLNSDRDDRNTSFTKNKRRVTWCPGPFTRQSGVESLEAVQEGPTSSIVKHGRNMGMPPRFEELLQGNHENNSEPCVNACSSGDLSKDDEDASLLDSHAFVLVTSRRRSNKMKKSDQEQLNGSVDEVTVPHDPNDGNSAVLSQESTVPSVANGLSSREAEAILVIKQLQDQIKLLELEKSSIQSNLDEVLELATQQKASFSEKYEELQQNALAAQEQLKVANEKLSTLSATEKSKQEVEHDFLSSVLMEAREINVEMDQSRCSVDRALFLVDELGQNLSFMAQGIHEVKQSAHEDMTRLSSVTKDYEKLSTCLMDKICKLESEKKLLNEQSQDQQSEIDRLKFSLESCEKTIDERTLLHEMEKDSILSEFLALQKEVETLSSSALMKEKESIRKELEKTKTKLRETENKLKTSIQEKIKLQSEKAEALKEIKKLESQRTFLERDLKKRDSHTVDKRHEMNSELAGFFNQAVQMEEDYQQLEMHAADMKAEISSLQEALSISIAEKDEALYKQLTDALLDMESKISMWTAREKEYVEANLRLSNCNDELTKVRQELVNCKKQCKTLEDRLILSMENDMNEKAELHKQLLTVMEERNNLLSETKEMRLIINELEVLKENCNRKASLDYSFTIINIDYLIETKFIVAVLVMQLGHAKTTIDELSSRISDMEVKMKNDAMSNNKEKTKLRMRILKLEPELDAHRGLLKEAVNENRIMDAKYQEASTKLKKDLSYYCHEVLRLREQLNQS >Et_4B_039306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9885297:9886152:1 gene:Et_4B_039306 transcript:Et_4B_039306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLTAAASSPPPQFRHRITNLSPIPPSRRFQFGPAKIRTAYSRAFLTAASASTPPAPGGGLYSAATYELTAENVDRVLDDVRPYLIADGGDVAVVSVEDGVISLKLEGACGSCPSSTTTMKMGIERVLKEKFGDAVEDIRQVFDEEQQPAETTPEAVNQHLDILRPAIANYGGSVEVFVVDGEDCLVRYDGPESIGSGIKAAIKEKFPDITNVVFMQ >Et_8A_057709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6382949:6387859:1 gene:Et_8A_057709 transcript:Et_8A_057709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFVPVFLFLLLSSAPFLAFSSEPLNPEVEALIAIRQGLVDPHGVLSNWDQDSVDPCSWAMITCSAQNLVIGLGAPSQGLSGTLSGRIANLTHLEQVLLQNNDITGRLPPELGALPRLQTLDLSNNRFSGRVPDTLGRLAALRRLNNNSLSGAFPESLAKIPQLAFLIVGNPMICGRSNGSAGECAAALAPVTVPFPLDSTPGSKRGGGRDLEDGGGVVVARLGNLRQFGLRELQSATDGFSPKHILGKGGFGNVYRGKLPDGTTVAVKRLKDPASASGEAQFRTEVEMISLAVHRHLLRLVGFCAAAGERILVYPYMPNGSVAARLRGKPALDWSTRKRIAVGAARGLLYLHEQCDPKIIHRDVKAANVLLDEHHEAVVGDFGLAKLLDHGDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQRALEVGKGSGVMQSQKGVMLDWVRKVHQEKMLDLLVDQDLGPHYDRIEVAEMVQVALLCTQFQPSHRPKMSEVVRMLEGDGLAEKWEATNRPAAAASVHDALGYDHRNDSNGSVFFNDFYHENDSSLSSDEARSIDMVEEMELSGPR >Et_5A_040600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10131970:10132951:-1 gene:Et_5A_040600 transcript:Et_5A_040600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGKFITIGDVGVGKSCLLLQFTDRRFQPEHDLTIGIEFGTRIVDIDDKPTKLQIWDTAGQESFRSVTIMYYRGAAAAILVYDITMRETFDHVARWLKDAEQFAPAKLTVMLVGNKCDLSDRRAVSYEEGERFAKEHGLIFLESSAKTAQNVDEAFTIAARTVSKKIEDGVIDSSAKVSFLTSSFSCLIKSWFTVFHFPKQKEFWFIQPGA >Et_2B_019791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1434467:1438968:-1 gene:Et_2B_019791 transcript:Et_2B_019791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASAGASGGGAAAARSSPAAVQATNDDAAASKLSCVNKGYMKDDYVRFFVRRATRRAPIINRGYYARWSVLRKLLHQFLNAGKNSNNEKRKQILSLGAGFDTTFFQLHDEGIAPYLYVELDFKEVTSKKAAIINHYSEMKEKLGSEASISIEKGEVTSAHYKIFSADIRDIPKLDSVIRMAEMDPNLPTFIIAECVLIYLDPSATATIVSWASEKFSTATFFMYEQIHPDDAFGEQMIRNLESRGCPLLGINATPTLSHKEKLFLNNGWQRAVAWDMLRIYNDFIDSEERRRIERLELFDEFEEWHMMQEHYCVAYGINDAEGIFDNFGFDNE >Et_4B_039064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7446526:7453145:-1 gene:Et_4B_039064 transcript:Et_4B_039064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDMDMDMPDPEELEWMESHGFPPEEEEEAYFDDPEAGFFPLPGDSPQPQQAAPPPPKPAGAAEVLEGGLKRPPPSPQLEQERSKRINLEREDSGEEDWLRYSPPPAPEVIVEKTISRFASEIQGDCVPVTAPNGERAYAKLAVDGLVGGGVRGTRQRGFSNPSPSHKGLLSESFHSLTRRAEQEALAKALQESTDSLDREVCSVTPLVTEQLWVEKYAPNSFTELLSDEHTNREVLLWIKQWDSCVFGSHIRATSDDVLSALRRHSSTTQKNSSNKSLFSKGKGGTVVSQDDMPLNGSNGNSEGSVSSFSKRSSMDNTPEQKVLLLCGPPGLGKTTLAHVAAKHCGYHVMEINASDDRSASSIESKILDVVQMNSIMSDSKPKCLIIDEIDGALGDGKGAVDVILKMINAEKNNNADKSTNAEETQVRKASRKGHRSAKLLRPVICICNDLYAPALRQLRQVAKLKYICKKEGFKTSAIALSALAEYTECDIRSCLNTLQFLNKKRAALNISAFDSQVIGRKDMSKSILDVWKQILQKRKLKRSEKTDNHLTKDKDIDSLFSLISNRGDYEVTMDGIHENFLRLSYHDPMLKKTVKCMDVLGVSDCLTQYVWRTQNMSLLAYQPLIAINISRIVAQVEKPNIEWPKALQRSRAMLLEKKDMLKTWQNQMSPFVSRHLSVASFVQDTASHFLHILSPLSLRPVALNLLSEREKEELVQLVDTMVSYSVTYRNTKFQPQERANLPIVSHDVPSLSFDPPINDVINFKGYQSEHIGLSMAMKQVLVHEVEKQKIIKGSAGKLLSQTNGVDVSSEALSATRKKAPDDSIAPALDSSKDSFKRSSSTLQAQSKSPANFSGKDPTPAKKHSGRATNFFERFRRERPVDAKTHDDAGQQGATTQRDSRPLIFKYNEVCFLHINCDFSLWLSTFETISSYSHVFVL >Et_5A_040642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10736581:10737808:-1 gene:Et_5A_040642 transcript:Et_5A_040642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPAAVSLVLSLSLLVLLLGAPASANGYGGGGGNGQEGGYTPPAYDKPVVGLDAGYYSKSCPDMEAIVQKAVKKAVDKDYTLAPALIRLFFHDFSVRGIDASVLVDAPGSERRADASKTLRGFELVEAIKTETEAKCGKRVVSCADILTAAARDASTAVGVPYWSLRYGRKDGWGSREDEADRYVPMGDEPVTKLIEFFESKGLNILDLVALSGAHTIGRATCGNVKPGLCRRKRSGLLLDARYADFVTRKCAAGGDGEYLELDGETPTAFDNGYFKNLLSGKGLLATDQRLLQDSRTKHFVESFANQRSGIFAHQFAQSMRRLGEAQVLTGDEGAVRSKCSILE >Et_3B_031270.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23468191:23468739:1 gene:Et_3B_031270 transcript:Et_3B_031270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMADGAGLLDEGAPAWRGAVRAVAAGPSPDAAWALLGDFCSLHRWVPSLSTCRLAEGAEGQPGCVRYCAGAVNKAAGAAAAADASEAGWSRERLVAVDHAARWYSYEVVASNKGFGRYAATVRVEPDPAGCAVAWAFEADPVQGWTRDGFVAFLEKLARAVARRLEEEVVAAKKEEAVVAV >Et_4A_032600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11781075:11783984:-1 gene:Et_4A_032600 transcript:Et_4A_032600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSLIQDMRDEFGSISRHGLRSRSHRAAGNAAQEAAETDPLDAMEASCWAHLPPELLREVLVRLEATEVWWPARRDVVSCAGVCRSWRGIMKEAVREPEKSGQLTFPISLKQPGPRVGFMKCFIRRNRTTQTYCLYIGLTEALADDGKFLLAARRCRKPTCTDYLISLDRGDMSKGSSTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSARMIGLNQVSPRVPAGNYPVSHISYELNVLGSSLDSFPSIPFFRSKSARIDSSASQSTTEKEERLVLRNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASGENSPPNQENDRVVLQFGKIGKDLFTMDYSYPVSAFQAFAICLSSFDTKIACE >Et_2A_014890.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20524244:20524543:-1 gene:Et_2A_014890 transcript:Et_2A_014890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTLCAGDFRANPAHWTLRPLLYDFATNNSGIQFEVTKIPRKENCAAHNVAREAVTLPAPQHCQFTCNHLAHPDQCPIIEALKLVSRGTITRLAVTCR >Et_2B_019023.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25040484:25041752:-1 gene:Et_2B_019023 transcript:Et_2B_019023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSGSTTGLDPPGSVRLHQQSREQHHAEGKTRDGRTIRVSFWPAKPPRVSCFTVHCPDLPNEAFGDYPKIVTSEADLVLLRVPICRRGHHRYRQNSDYFVYKVGKMGPSLDLIPRDPEPRPSFTDSNVVLLRCRSRNMYFIAKLGNWAFRGDGKQYKMYLYNSKKRSWSTKLMYASKDFNFCYPTKVITIGGPLGSVGWVDLWRGILICDLLQEGSQLRYIPLPSLTVPKEPEGPPTYIRDIIVVQGKIKYFDMHTYIKVDPESFCCVYDGWVAVTSERDPNKAYKNWNGHCRMEISEVTVTDLTCVQMLPNQQGEDDTVTILKKLDAGCPSLSLQHDGVVYITNKLEFHDPEAWVIAVDMKRKTLHDVAYYHVSGRRLSYGSAFFESGITKHLALWYATRYFFFIRVLSFLMYAFMYQHHI >Et_5B_045764.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:985508:986845:-1 gene:Et_5B_045764 transcript:Et_5B_045764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATGPVASAAGAGAGEEAAGGALGTVLLGRYELGGLLGRGASAKVYLARDLRTGRSVAIKSFPNPRASSRSAGDNDGGRRPPTVAIEREAAILRRLRHRHVVRLHEILATRKKVHFVLDLAAGGELFSLVDASGRMTEPMARHYFRQLVSAVRYCHARGVFHRDIKPENLLLDDAGGLKVADFGLGALASNSGDLRHTLCGTPAYVAPEILSKKGYDPAKVDVWSCGVVLFVLAAGYLPFNDASLVNMYRKIYAGKFRCPAWFSPALRDLLRRILNPNPAARIDTDGILAHPWFLDGCDDLAGLMGGHEEEDDAWFKPKEAEEEDMGRDMTAFDILTFSSGSDLSAMFGAGPGKERVFVGEPAAAVLGRVEDAGRKGGYRVRREGKKGGAVYIEEESGIVAKVAVFRIADAVSVVEVVKGDGADAALFWNDRLLPAVKPQPLS >Et_7A_050602.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:5722780:5723091:-1 gene:Et_7A_050602 transcript:Et_7A_050602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEVDAGSGRAAARSGKLSAASGPRSARAATSYATAAISAVGNVPSQMRRAFRGSRISDTHLPLRLRMPRKKGCFPEDGPPPACADMSALIRAATLHTSLN >Et_5A_040561.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:9772309:9772608:1 gene:Et_5A_040561 transcript:Et_5A_040561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVADDGVRRSNKARSSAPVAIPAGSGSSRRRRGDEQDDEDGDEMMPPHEWLARKMERMGGLSAPASPPEVCGGRSKGREMRKVRDAVLPKTAFSEH >Et_8B_058707.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:17501335:17501442:-1 gene:Et_8B_058707 transcript:Et_8B_058707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDNNLEVIDRTEDRKNYFDVFKVVILYCWAIWKD >Et_5A_041770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2756720:2761857:1 gene:Et_5A_041770 transcript:Et_5A_041770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPTQIPEPEAEEDPHPGTPKQPKFPFYLPSPLPPSSYKGSPANSSVASTPARGGFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPEGGEPQLGLDKSFGFSKHFFAKYELGEEVGRGHFGYTCSARAKKGEHKGQDVAVKVIPKAKMTTAIAIEDVRREVRILSSLSGHSNLVQFYDAFEDEDNVYIVMELCKGGELLDRILARGGKYSEEDAKVVMVQILSVVSFCHLQGVVHRDLKPENFLFSSKDETSALKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPTLTAEAKDFVKRLLNKDYRKRMTAAQALTKTLTTNQLFYVREQFELLGPNKNGYISLQNLKSALVKNSTDAMKDSRVVDFVNTVCTLQYRKLDFEEFAASAISVYQMEALDTWEQHARRAYDLFDKEGNRPIVIEELASELGLGPSVPLHVVLQDWIRHADGKLSFLGFIKLLHGVSSRSIPKA >Et_3B_030768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7197334:7200830:1 gene:Et_3B_030768 transcript:Et_3B_030768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGVSSSRRSRWRRPCACAAPSPSLLLLLRCALLMACMGGAFAVDAQGAALLAWKRTLRGGEAALGDWKGSDASPCRWTGVSCDASGRVTELSLQYVDLHGGVPGGGAGDQQLSAAVGATLSRLVLTGTNLSGPIPPRLGDDLPALTYLDLSNNALTGPIPASLCRPGSKLESLLLNSNHLEGAVPDAIGNLTSLRELIIYDNQLEGGIPASIGQMASLEVLRAGGNKNLQGAVPPEIGNCSKLTMLGLAETSVSGPLPATLGRLKNLDTLAIYTALLSGPIPPELGGCASLANIYLYENALSGSIPPQLGKLANLKSLLLWQNNLVGVIPPELGACSGVTVLDLSMNGLTGHIPASLGNLTSLEELQLSGNKLSGPIPPELSRCANLTDLELDNNQLSGAIPPEIGKLTSLKMLYLWANQLSGSIPPEIGGCASLESLDLSQNALTGPVPRALFRDLPRLSKLLLIDNALSGEIPPEIGNCTSLVRFRASGNHLAGAVPSTIGRLASLSFLDLSANRLSGAVPPELAACRNLTFVDLHGNALSGAIPPGLFRDMPSLQYLDLSGNAIAGAIPSDVGRLGSLTKLVLAGNRLSGPIPPEIGACSRLQLLDLGGNALSGAIPASIGRIPGLEIALNLSCNALSGAIPKEFAGLVRLGTLDVSHNQLSGDLQPLSALQNLVALNISYNSFAGRAPETAFFAKLPASDVEGNPALCLPQRGCSGGDAAAGARARRAARVATAVLLSALVALLAAAAFVLLGRRGRGGSSLFGGARGAEGGDDKQDAEMLPPWDVTLYQKLEISVGDVARSLTPANVVGQGWSGSVYRASVPSTGAVIAVKRFRSCDEASAEAFACEVGVLPRVRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGGLLHGGGAVVVEWEVRLSIAVGVAEGLAYLHHDCAPAILHRDVKADNILLGERYEACLADFGLARVADDGANASPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLESITGRRPVEAAFGEGRSVVQWVREHLHQKRDPAEVVDPRLQGRPDTQVQEMLQALGIALLCASARPEDRPTMKDVAALLRGLRSDDAGADSRKVSCGGGHHRPDSSKWSAPVSPTKPTSQLGSLSQSSSSAAYYST >Et_5A_041650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25399879:25401078:-1 gene:Et_5A_041650 transcript:Et_5A_041650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVADCLLAYELKLFGGGAKATGEYDDGKNHGEKSTLVRPAQVTPRRRLCLSSLNLVAPCMHFTSVRFYRRRSSVAPPAAAGDEEADGSSLCFFFDGERMRRALAAALVPFYPLAGRLGRGGGGDGRLEIDCNGDGAIFVEADAPDTTVDDYGDFAPTAELRGEYTDDDDLSASSPLLVVQVTRFKCGGVCLGVSMDHYTSDGVSTSHFINSWALHQVDMYGLDKIKSSCVLSSQQPPVISLSRLQLTPM >Et_6A_047987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2807759:2808893:1 gene:Et_6A_047987 transcript:Et_6A_047987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDSDGQRQCRRGAAVDVHELDAAMALADMAGVGPDQPQQPASVQRALAPPHQQAAAEREDEELASTRLSLELGKVGIHQSSPCSSSSSGAGHTHPAQQHHPPQLAPAAAATSGYGPRPRHMLTEAEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSSQNENMKKEKDMVMQEYLSLKEANKQLKEQADPSLSLSPLL >Et_7B_056017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9324273:9326473:-1 gene:Et_7B_056017 transcript:Et_7B_056017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPLSPPPPPRQLSLDGLKAVSVLGRGAKGVVFHVVPAAEKADEEGGAMALKAVSREAARQKKAASAGGDGDGHRRIWFERDVLLSLRHPLLPSLRGVLATDAVVGFAIDRCGGGDLNSLRRRQTEKMFSDSVIRFYAAELVLALEYLHSLGIVYRDLKPENVLIQDNGHVMLVDFDLSTRLPAPPQEPDAAEATPKPAPPASAPSPGRGKPRKPAALCFPFRTGSGAKAAAPADSLSPPSTSRTASSSSSSSSSTATTASSAASAGARTPAKSNSFVGTEDYVAPEIIAGRGHDFAVDWWGLGVVLYEMLYGRTPFRGQNRKETFYRVLTKQPELVGEQTPLRDLIARLLEKDPEKRIAARGVKAHPFFRGVDWDRILHVARPPFIPTLPQDEDADEALDVEKVVREVFASKDAEATATAAEGQKASPEADGGRGDVDGVRKRDPSKEDGDFSVIGMAYWMRLGGRTAQWPVEYVHSDASITAAFRLKISRVQDAGNRRAPVP >Et_1B_013343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7570734:7575538:1 gene:Et_1B_013343 transcript:Et_1B_013343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMEMARSGSCSPRRRFLATLTESEASPAVVESARLRRAWVRRPRRRSREAAAIFVGGDGTGEGMAIAGPIWAVYRKALTLEPKTYPPPLWNGDRLHRLTVPRGHRRSRRAAASPPSASPCRIRFRSLQSHIVVRSDPAGIKAMPEPLNLRNKYPTPTPAAGMDALVSAALEEVCARLATGITVSNLWMDLRGALEAAGLPLGPAVKRALWARLLALRVISLLEGDGDMVPVAAGDPAEKDVEEAERRGVRLLASAAARDNFLGMYEHRTAGATQNDLGKRFDIKGNRFHYIVKCLESQRLLVRQSTIIKVKNHTVDGEDASLSEQNISTSSLYLSRYAKKMNLHQRIEITNKKQLGSNEDGLQDGNSGVNSKNNVSIHDYIPEMKAICDKLEKASGKALCVKDIKIDLDYKTPYGHRAWRNVVPCLRLKKKFDSNEFQPKSTASNYKGVKKGQTTDQVVELPLNNCIYDMISAQGMEGITLLEIGKRLGHNNSKWLHKRLLSMLPKFNLTQESEVPDKTLQYRVWTSKNFSDYKAGNALQNFEVLSEGRANCSDLRSLLPHNGLDSASPQGNSFVNRKLLLEEELHDEPVGHHLQKNCDASVGFAQSSKEGTLVYICVTIILFC >Et_6A_048073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5045401:5050766:-1 gene:Et_6A_048073 transcript:Et_6A_048073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWRVVRWSKARIRRQLFARRSRTGWGANPARSRAGCGNPCERSRTRIRRALSPRHDSLSTVRRRAGSSTANGSAPRRGGSSTGGGASGEEAAGLDPRAPSVDRLLHYSATRARCREMQPGWRVLDGEASASRSAEVPTCKLELATTNPRTPPMVLAKHLSLISEWLRWYTRRLRMDEDGDVADEFLDEIIRESSMNNAASPVGRRESDRFSVFYGYLLTYGGRQQTANGGGGGVPSMGESVTLERYGTEESRRRGHRPRIAGGRPIELALLRSGEWRSVVKWPTIRQRDGEGDNLVFSSPAGCWSPDRDPNTVDRRDAAAD >Et_1B_013035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:521999:525274:1 gene:Et_1B_013035 transcript:Et_1B_013035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALLDDVIRRLLEVKNLKPGKNAQLSESEIKQLCAAAKEIFLQQPNLLELEAPIKICGDVHGQYSDLLRLFDYGGYPPQANYLFLGDYVDRGKQSLETICLLLAYKVKYPENFFLLRGNHECASVNRIYGFYDECKRRFSVKLWKTFTDCFNCLPVAALIDEKILCMHGGLSPELNKLDQILNLNRPTDVPDTGLLCDLLWSDPSNEAQGWAMNDRGVSYTFGPDKVAEFLEKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPARKMLAGGTNTKSGFKSLRGW >Et_1B_009948.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:13454114:13454308:-1 gene:Et_1B_009948 transcript:Et_1B_009948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQASKIGLELTIQTPAFGYNQKQLECSNEPLITRNIGTSLPPSHGFSMSQTCYQAGNQQQKG >Et_3A_026040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3785816:3786819:1 gene:Et_3A_026040 transcript:Et_3A_026040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLQLRLRAVTAGAARHGNLTGRPSSRCFCSMSSRGRRTALVVTAAATRESKTRTAADVVREFYDGVNRRDLAAVAPLIAEECVYEDLVFPRPFVGREQIMGFFGEFMGTISPDLQFVIDDISGEDSAAVGVTWHLEWRGRQFPFSRGCSFYRLLDSDQQEQLQIVYVAFLHLTSCRCTYQYGRDCVEPAAKPGDFALIVIDSCGTIYYIQIGAARVQVIIRGVTWIFERFPSLARML >Et_1A_004624.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23652597:23653490:-1 gene:Et_1A_004624 transcript:Et_1A_004624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPLHGSPAADLPEELLLLVFLRLPSDPQNLLAASLVCKRWRRVVRNPAFLRRFPALHRPPPVLGFFQNLWCGDPDRPDLLHHRGLGFVPTAPLVLRRTTPDPDMTRWALDCRHGRVLLHGAGDQELHVWDPLAGEDRSLSMPPGSRGSSDYAAAALICAAAGHDDDHSDCRSTPFQIVFLDTRVRGEQDEDGDAASFLSASVYSSATDTWSDATDEFPFPWPAAVDFS >Et_3A_025386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29415422:29416865:1 gene:Et_3A_025386 transcript:Et_3A_025386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPFFHELRRQASSYLTGKIRSARLALTDVTPTQLLTEEATNGDASPPNVKTMGLIARQAFEIDEYLRIADIMHRRFAGFDRRQWREGYKALLLLEHLLTHGPRSVALEFQKDTYAIQNMTAFQHIDEKGFNWGLTVKGKAERVLKLLERGPFLEEERERARKIAREIKGFGSFNLSSASRAVAQLSGGDASRGVAAADSLYGRSNSKYEERWRRDDGDDDDKENLIARPEPRAAREVEAEEPPHHHHPFHAFGQQRPEAMLLLSQ >Et_7A_050741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10660170:10663852:-1 gene:Et_7A_050741 transcript:Et_7A_050741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKWERKSYSIRNQEGWLERHVKSKCTSVRVHQKLHEELLMVLKRSYCIRQTRTDKWPGVPALPEEGSQHLRWIRTNNQSTTSSYITVPNSHSSSTMSRDPLVVGHVVGDILDPFIKSASLKVLYGNKELTNGCELKPSQVANEPRIEIAGRDVRNLYTLVMVDPDSPSPSNPTKREYLHWLVTDIPESTNASHGTEVVGYESPKPTAGIHRYVFVLFRQSVQQTVYAPGWRPNFNTRDFSALYNLGPPVAAVFFNCQRENGCGGRRYIK >Et_4A_034835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:753796:754804:1 gene:Et_4A_034835 transcript:Et_4A_034835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYVRAVLGGREGCLAYIRNLVKDCLDHYNSTHMGYEYEPAPGDVTIYSGFHNGQCWTHGNFVARRKRYGCFKFLPTPRTLFFFELVVRENFSWIVTCTPLDEPVTEAYTFLGFPLGWGTRRNGESDRICEMCNRHCVKDTCACGHDKLEILCDICHPRSIVLHPFPAPGEFGFGFRRYRKLSPQYLWDEKIDYSILE >Et_2A_015735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17322015:17323535:-1 gene:Et_2A_015735 transcript:Et_2A_015735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCVIFPTLSLAFDIGLCLERAFYQEFLFISHGHLDHIGGLPMYVSARGFLKLSPPTIFIPACLRDLVVQLFEVHRAISRSELKHNLVPLEVGEEYQLKRASSRSGLSGLTTSYPGYVIYTVKQKLKQEFIGLSGSEIKRLRLSGVEITNTVSTPEIAFTGDATPNFILDPDNADVLAAKILIVESTFLDDSTSVEHARKYGHTHLCELADHSDTLGNKAILLTHFSARYSSEDIDAATNRLPPSFRSRVDALKEGFQNKHESRNQQ >Et_10A_000197.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:16919003:16919470:1 gene:Et_10A_000197 transcript:Et_10A_000197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEKGCFTAKLYLAPLNLNSTVSCWLVNMAAFEMYTETHWEDDCAINSYLSVLSLLMNQKEDVRQLRAKRIMHGNSSDQATLEFFKGLGSNLSEGQSYVQFISDLEEYKQKRRLWIAIYKFVYINAKTIAAVLSIVSVLAGIFKTLYSLKQNQK >Et_2B_021848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:667809:671681:-1 gene:Et_2B_021848 transcript:Et_2B_021848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTPLYRKYRDALRHVRAPSGAPSPSGGAGGSGGPVIEMSSLLRSERNYAPLSTDDPSGSSRSAVTVGLPPAWVDVSEEISANMQRARAKMTELAKAHAKALMPSFGDGRDDQRAIEVLTHEITDLLKRSEKKLQKLSMKDASEDSNVRKNVQRSLATDLQNLSMEFRKKQSSYLKQLRQQKEGQDGVDLEMNINGTKSSCEDDEFEDVGFSEVQMSKIKQSEAFTREREREIEQVVESVNELAQIMKDLSVLVIDQGTIIDRIDYNIQNVAASVEEGYKQLQKAERTQKKGGMVMCATVLVILIFIMIILLILKNIIF >Et_4A_034541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4094223:4103006:-1 gene:Et_4A_034541 transcript:Et_4A_034541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKTTSTMLKLVYPTPHPLAGEKVRLTVFDRAAFDAFIPTVLAYPAPAPSNEELKEGLLRAVALYPHLAGRLVVDDTGRRFLHVNNEGVLVVEAAVSGDLSDVLAGGTVTNVDELYPPLPEENVGAALLQIKLNRFKCGGLVIGIICHHHVADGHSMSTFFSTWASAVRVGKDFTVAAQPTPFLDRAATAVPRGTPMPVFDHRSIEFNDGLSSSKSYAVVPMNKIKNLTVHFTAEFVAELKARVGVRASSRTSGRRSRRNGAFTQVRVAVNCRGRASPPVPMDFFGNMVLWAFPKLQVKDLLNSTYGRVVGAIRHAVARVDGEYIQSFVDFGAVADASGEDLAATAAASGTMLCPDMEVDSWLGFQFHQMDLGTGPPCAFLPPDLPIEALMVFVPSSSAKGGVDLFVAVAEDHSASFRSLVATMAQRMASHEVLGQVERFAEPVHDHHLHLGHRRRGSPRERHDVDAGGEGLAAGAHGAAGCRKVREVARALPVRHAGQDEVANVLQRRDECVGLRAQRSVLGELAEQEAGVTLGGTGLTEAKSSTMLQPFYPTLHPLAGDKVPLTVFDRAVSDGFVPIVLAYTAPAPSNEALKESLLRAIAPYPHLAGRLAVDHHGRRLIHVNNAGVLVVEATVSADLDDVLAAGMAADELYPPMPEGGVGAAVLQVKLNRYRCGGLVVGIIFHHQVADGHSMSTFFTMWSRAMGAGKGDFTVPAPPPFLDRAAIALPRGTPTPSFEHRSIVFEGGNNDDDQASKSYAVVPLDRIKNLVVHFTAEFVAELKARVGFRCTTFQCLLAHVWKKITAARGLAPDDFTQVRIAVNCRGRASPPVPMDFFGNMVLWAFPKLQVEDLLSSSYGRVVDAIRDAVARVDGEYIQSFVDFGAAADTRGEELVAAGAASGTLMCPDLEVDSWLGFRFHEMDLGTGPPCAFLTPDLPIEGLMVFVPSCKVSGGVDLFIAVAEEHVDVFQQICHSVDS >Et_7B_054498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2253959:2258785:-1 gene:Et_7B_054498 transcript:Et_7B_054498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNVPVVNLDDDDDAVPVTPPSSRNRKRSLGSGSRSPDPFSSSPPLRKRLQLAATATTTATAIDLDTPPPPRPRPSSAPRPPVHVVVDDDDTDPSLVPNDDVAETPDSVLARVGFSETPELGGPRPRYMSPGTVVPETPGFTSPRSGPPPAAHRLSSAGPAHKSSGGSSVISLDSDDDESDEALYKELAESPSDTEDQNDGTTSYGQPIPADLPHEDSTLHEESTPPDTDVGTGKKRSRGVKKQQNEEEKLNRQEAKRLKEGEEAKQVEERKKKQQEKKARKDELAEAKKKEKEIRKLESGKDALKRITAVIDTNVIESGSVGGILLNSLVDKGLKKKVTDNPIKRSILWKMEVPNDTAHPQELSSLNDGCDMVVHKLIELPYIAIVLQAEEFCDLVNKELFFKDVQDVRAKYPTYTICYVTNRLMSHINKIDQTHFKNKTKWTPPPVEKILCKLATHYDNVHSRQPQLTWLSVNANGAVVPDNFIDKALAKKDTWLKSLIAIPGVQAKCALAIWKKYPCMRSLLNVYMDPSKPCL >Et_10A_001043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20544433:20544975:1 gene:Et_10A_001043 transcript:Et_10A_001043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMDCNVLCLQNVIWTGDTAKDSLPAYTMEAARAIWAAEYNGPVHQLDQGQGHKTWKDFSQHLNDGEVTTPNYGKNMLK >Et_4B_038819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5042300:5044981:1 gene:Et_4B_038819 transcript:Et_4B_038819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQVEYATKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMMLEGSNRRIHSVHRHSGLAVAGLAADGRQIVSRAKSEAASYEKVYGEPISVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGVSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKAFELELSWICDESNRQHQKVPNDLLEQAKAAAQAALEEMDAD >Et_7B_055388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9897660:9900876:1 gene:Et_7B_055388 transcript:Et_7B_055388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VEYNGTLKRFNARVTGSRFDHNLAALQSKIASAFKFNSDAEFILTYTDEDGDVVMLDDENDLRDAAINQKLSPLRINVQLKNINTEAQRTKHQASNSRSPRSAALEDQLAQVKSAIDEALKFVPEKVPAVLAKLSHDLRSRAASSAPSLAELLDRFATLMTPKVNMQTSSGPVYGSSCSCSGRLQESRNTKHENELMTGSASKPLDMQNSESSKAPGLKSVLHEDTKAQVKQAPVYPSVANSLIFTSSGGKKSDRKGSTVSESKGTCNSQSKGKSSTSSALPPIPAAARYTPAPFVVENKGSTVSESKGRCDPQSKGKSSTSSAVPPIPAAAYYTPAPSVVENKGNTVSESKGRCDSQSKGKSSTSSAVPPIPAAAYYTLAPSVVENKARYWPTYGSNGLVSGGLQSRRLPSTVLPPYIPHWGSSGNNNSDWFHPPPTNIFDPPELDMPSPLGCVPSKDGTYPLGSSCKYDVPSSVSDYPEDRFSFGHSYNYGSIPQRALHKWIQCDGCGVTPIVGPRYKSNVKVDYDLCGACFSHMGNDFEYTRLDKPAAVNNQKLLGRGELKLEGSFIKDVTVPDGTPMAPSTPFTKIWRMRNSGCTVWPFGTQVIWVGGDHFAVQSSVKLGISANGVGIAGGQEIDVGVDFLAPAKPGTYISYWSLASPSGKEFGNYAAPALLLSLLALIPPFADNFHANGVQVEPIQTNGNKNSAATNLNLPAEASSTASKPFIINLESEPEPDSTTAPNFYPLGSTSNEMFARFYEPFLPKEPEPASSTFQTTHVPVKQVQIPATYHDASSAGPALTSMAASMPGPETIPLPKYLSFAAPVSALSVPVPASVPTAALPDGINHVEEKLLGELEELGFEQVDLNKEILRQNNYDLEQSVRDLCGIGDWDPIVEELSELGFDDAEMNSEAVAEAGGGSIKRLVKKLVARVKDQ >Et_2B_021307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28428729:28435602:-1 gene:Et_2B_021307 transcript:Et_2B_021307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQASDDAQAAAQPQQQLEWRFAQVFGERAAGEDVQEVDIISAIEFDRSGDHLATGDKGGRVVLFERTDARDVQDKKVKRVSVMNLDTSQSVGSSTANASTSSSQAPLPNGGCSEKLTCLSTDFSFPPGGYPSLRLPVVTSLETNPVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEINSQSFNIVDVKPSNMEDLTEVITCAEFHPTHCNMLAYSSSKGTIRLIDLRQSALCDNHSKIFEEHEAPGTRSFFTEIIASISDVKFSRDGRYILSRDYMTLKLWDLNMDSGPVSTFQVHEHLRPRLCDLYENDSIFDKFECCLSGDGLRVATGSYGNVFRVFGCTPGSTEATTLEASRNPMRRQITNPTRPTRTLTSFTRGVRRGGENSGVDANGNSFDFSTKLLHLAWHPTENSIACAAANSLYMYYA >Et_7A_053176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9144320:9145992:1 gene:Et_7A_053176 transcript:Et_7A_053176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADENQAGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >Et_3B_027751.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:17417990:17418448:1 gene:Et_3B_027751 transcript:Et_3B_027751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWGKSATILFDGWRTSTWTGYLLSLLALLLAAAFYQYLEAFRIRVKLAVGSGTKPVSIPPPASSDPARAPLLAPAFAAAGRWPVRVAVAALFGINSGLGYLLMLAVMSFNGGVFIAVIIGLAAGYLAFRSDDGEDLVVVDNPCACA >Et_3A_024057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16933455:16938159:1 gene:Et_3A_024057 transcript:Et_3A_024057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGGGSSPPSISSPDVRPSSPLPATNSSPQSARSGGGRRRRGSASPYPSSPSLGGFETPPHPGRRTPSNAGAARQRQNWTGRFPPTPSTPMSTDDIPPSSEAGDEDTAETDGGGGGVDATPVFVWGTNISVQDVNAAILRFLRHFRDPRDAGRVDPVMDEGKYMRAIHRIIELESGESLDVDAHDVYDHDPDLYSKMLRYPLEVLAIFDIVLMDLVARIEPLFEKHISTRIYNLKSSICLRNLNPSDIEKMVSIKGMIIRSSSIIPELREAVFRCLVCGFFSEPIMVDRGRVTEPHVCGKEQCKATNSMTLVHNRCRFSDKQIIKLQETPDEIPEGGTPHTVSVLMHDKLVDAGKPGDRVEITGIYRAMSIRIGPTQRTVKSIFKTYIDCLHIKKTDKSRLHVEDSMETDKSNANKSSEEDFLTDKVEKLKELSKLPDIYDRLTRSLAPNIWELDDVKRGLLCQLFGGNALRLPSGASFRGDINILLVGDPGTSKSQLLQYMHKLSPRGIYTSGRGSSAVGLTAYVTKDPETGETVLESGALVLSDKGVCCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPTESRYNPRLSVIDNIHLTPTLLSRFDLIYLILDKADEQTDRRLAKHIVSLHFENPDLEQIEVLDLQTLVSYISYARKYVHPQLSDEAAEELTRGYVEMRKRGNSPGSRKKVITATARQIESLIRLSEALARMRFSEVVKVRDVTEAFRLLEVAMQQSATDHATGTIDMDLIMTGVSASERQRRENLVAATRNLVMEKMQLGGPSMRMIELLEELRKQSSLEIHLHDLRGALGTLMTEGAVVIHGDNVKRV >Et_2A_017848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:744122:745867:-1 gene:Et_2A_017848 transcript:Et_2A_017848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEEFEEYAEKAKILPDTTTNENKLILYGLYKQATVGDVNTGRPGIFNLKDKAKWDAWKAVEGKSKEEAMTDYITKVKQLLEEAAASTSN >Et_3A_026901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25544231:25546015:1 gene:Et_3A_026901 transcript:Et_3A_026901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFMRWLKQLLTGRKEGRRGLEGSHVASASDWNDGPEKEKKRWSFVKQRKSGVGDGGRPSDQAADAAAVAETPPQVKSFRCYEEEDVGGREETAAVLIQKTFRGYLARKALRALRSLVKIQALVRGYLVRKQTAMTLRKLQTLVRLQADSIAVKKASYRKSVEQEVRILEQEIRLKMPATPVHRRRLSDSTDSNYERSPRIVEMDTCHLRSRSSRMAGRYTPDHAPSSCSPLPGKLQPARLSFRRSGGHDRERDNTRGSKTAHGTPRGFVAAQPYDSPAKSAVEYGVSSTPQRTPHRDSALLSSPRYMAGTASSAARTRCHSAPRQRQQQQQQAAEAARTSLTTTRAAGSRRSCPHGHGGFCPHCFDASRRTGGGYELSVDDEAARDYYLDSLW >Et_7B_054977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6085986:6089405:-1 gene:Et_7B_054977 transcript:Et_7B_054977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWCAALVAGGRFPPPALPRRLRRRCRGTFVRAEVSPGGESERKKVAVAGAGWAGLAAAHHLIKQGYDVTLLGAESGPTEEVGLRGFWYPYRNIFALVDELGISPFTGWNKAAYHSPQGVAVEFPIFHNQPRLPAPFGVFAYPGFPDLPLVDRLTSIPVIAAVIDFDNTDTAWRKYDAMTARELFKMYGCSQRLYKEVFEPAIQAALFAPGEQCSAAATLGMLYCYMLSHQENSDFLLCRGDVEDKIFSPWLKSLQLKGLKFVANKVPTSLIIDKDSECISAIVCREDVYEADTFVSAMGLSPLQSIITNSPFLRSDREFANLLRLSTSDVISVRLWFDKKITIPNVANVCSGFDDSSGWTFFDLTSVYDDYYEEPITVVEAEFYNASHLLPLGDEHIISEASSRLMKCIKDFDGATVIQKSIRRSPRSVINYLPGSYKYTLRGSTSFPNLFLAGDWIVNRHGSFSKEKAYVTGLEAANRVVDYLGSGDFAKIIAVEGDEPHIETLRSLNRRANELKSQIPFSEFFLQ >Et_9A_063149.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:1194793:1195056:1 gene:Et_9A_063149 transcript:Et_9A_063149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAPAAVGTGCPTKRSSQTAAADVHRKKAKANCWERSADELVEAIREMRRQSSIQNQALIAVVDMAWTRTRDLLRQLPKLPCPRR >Et_2B_019261.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20662553:20662717:1 gene:Et_2B_019261 transcript:Et_2B_019261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSQGKLLEFDLPGGILESWPKLLHVLNLEHQELKLAAANLFHNLVLKFFFDNGL >Et_3A_026196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5334890:5340567:1 gene:Et_3A_026196 transcript:Et_3A_026196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYICSVPKFPHVKEDTGVELKINIIMEKDGDASKRKGEAQQEEDENRAIKRLKGTMGADAFSCHPCTQPLRPPVFQCSMGHFFWCSSCCDDEQQPSCEICAGSSSLQPSHGMDRAVESFLVDCRYADLGCKERTTYYEKESHEMTCPCARCSCPFQGCDFAGRSAELLDHLTSHHKCPCTEFRYWGQFDLRVEPGVHVLLDKDDGQVLLVNTQSVDQLQGYAISIVYVPPCTKKTGIGCSMTFSGRNHYGTWTMEHLPALSPSEWPPTDYICVVPKLSSDGSEDTGVVLNTNIVCAYLEEEEDQDQDDSSYLDSDEDSDDSWW >Et_2B_021620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3657623:3660319:-1 gene:Et_2B_021620 transcript:Et_2B_021620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGCLKRLQKEYHALCKEPPPQIVARPLPNDILEWHYVLEGSKGTPFEGGYYYGKLKFPPDYPFKPPSISMTTPSGRFAPHKRICLSMSDFHPESWNPMWSVASILTGLLSFMMDDALTTGSIKTSDAEKRHLAKASLAYNCESKNCPHFRKLFPEYVEKYNQQQQMENAAAEPEPQENPAVAPLPAVQQAPAVDNRAKPVAEARREKNQKKPVPFWMVLVMFSVFGAVMALPLMQL >Et_9B_065590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7528522:7532874:-1 gene:Et_9B_065590 transcript:Et_9B_065590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSGCVGRGIFSKKALGVEESTLAQSSRPTAMSGWATGRRSSASATAENAGATGAKEAARAGQRVALGDLTNLVGGGGRFGAADSAPDAKLRSTKSLADTKKGASLHNVNTERGSVRKATSGQFDRAVSHHEITLQEDNVFLSFVPSIVPEGGNSPGLSEDSVSMEDAMSTCTSIGSADFDCFDDGDSSTAASLHCWASDKLHISDSKDVAAAFDWKKHSPMVIKTENIFDIDDNEEDAQLFGMLASDIYKNLRKAEKTRRRPSTNFMETTQIDMSTSMRALLIDWLVEVTEEYRLVPETLYLTVNYIDRYLSIKVISRHRLQLLGIACLLIAAKYEEICPPQVEELCYLADDSYSKDEVLQMEASVLNYLRFEMTAPTAKCFLRRFVRAAQICDEGSTLDLEFISNYICELSLLEYSLLRYVPSLVAASSVFLAKYILLPTKNPWNPILSYYTQYTRSELRGCVRVLHRLFCVGPGSNLPAVREKYSQHKYKFVAKKYCPPSIPDEFFQDATRKGLT >Et_9B_065758.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:10308908:10310629:-1 gene:Et_9B_065758 transcript:Et_9B_065758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCIIPSTTSNGGGDGSSATATTKLGRLNAAVERSWFGRRFRLAARGTTFTTELRAGTTTFLTMAYILAVNASILSDSGATCTLDDCDAPSPSCKFPPVDPGYAACVARARRDLIVATAASSVIGSFIMGAFANLPIALAPGMGTNAYFAYTVVGFHGSGALPYRTALAAVFLEGLLFLLLSVVGLRSRLARLIPKPVRISTSAGIGLFLAFIGLQSNQGVGLVGFSSSTLVTLGACPASQRASVAPVVTFPNGTVALMPGGTVSGGILCLSGRMTSPTFWLAVVGFLIIAFCLIRNVRGAMIYGILFVTFVSWPRGTAVTAFPATPAGDDAFAYFKKVVDVHRIQSTAGALDFRGASTGRFWEALFTFLYVDILDTTGGLYSMARFAGFVDDATGEFEGQYFAFMSDASAIVFGALLGTSPVTAFIESSTGIREGGRTGLTALTAAAYFLAALFVTPLLASIPSWAVGPPLVLVGVMMMRAVAEVDWADMRQAVPAFLTLAIMPLTYSIAYGLIAGIASYMLLHSWDWASEAGARLCGRRKVRGGGGEAARAERSNGAAEAEQGKEMESAA >Et_4B_039484.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:18672665:18673099:1 gene:Et_4B_039484 transcript:Et_4B_039484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHPVGGYAYYAIQPSDHDLITHVLRPLVAGQQHLDVGGFVHLADVYSVPPERLAERYAPLPGTNEIWYFLCPARCRHKAAGAPGDGCWMSSATTGGEEVRGADGRRVGHARALSYGARTTRVTRRGWCMVELALDEPQSGGG >Et_3B_029482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25315609:25316638:-1 gene:Et_3B_029482 transcript:Et_3B_029482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLSEDETRSSSERNPWADCIGIAFAIAFLPGLVLFIYCILHFNYETPDFWVKVSAVKGLDRSADAVTAPTFNVTVRVDNEGNNFWEFCGKGGSVDVSYAGVPLARGEFPEFCVPPGVIGSVPVVATSEGLGLPDDLYEGMESQRQRHEPVRMREVTGTGAGSSILLWCTAVLLPGQPAGTLRTTREPSRSIHLTGYDGIDPGRAARVVSPSFSVTLRLNNTCVDSVDVATRDCAEGRWEKDVELVARGRGVGLSPRLRDRMTSDWRRSGELELDVDVKTYGEGHHADTDIPRNIILDKVTIVDENKKPFLYVSEI >Et_5B_044246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2195839:2197012:1 gene:Et_5B_044246 transcript:Et_5B_044246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRVRWEKNLSEEEVERFADIKFIDDGWLSKDSNQPYVTAIAAICAVLILSSMWLPAMAQQDCRDKCADGCKLVSEGSCNVVRSLLQFLYQVCLDRIYDTCMHSCVGQCTAVRCNQ >Et_6B_049128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18433721:18435816:-1 gene:Et_6B_049128 transcript:Et_6B_049128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFGWCTWDAFYLTVDPAGVWRGVSELAGAGLPPRFLIVDDGWQSVNRDGDPSPREGLVLGGDQMTSRLYRFDECARFRAYREGALIRRPPEQFYDAGLPKAVIRKAAEIENAGKAKKKAAARWNAGATDTDELSEFDARIARLRKELDQLLAKRESMLAQLCGDDNGAGAGETTGLKAFLKDMRRRFPSLDDVYVWQALCGGWGGVRPGATPLDVPRVVPARPSPGLAGTMEDLAVDRLLEGGIGLVRPDQASELYESMHSYLAGAGVNGVKVDVVHALEYVCEDHGGRVALAKAYYDGLSKSISKNFNGTGVIASMQQCNDFFFLGTRQVAVARAGDDFWFDDPNGDPMGVYWLQGAHMVNCAYNSLWMGQFIWPDWDMFQSDHACGAFHAASRAICGGPVYVSDALGGHDFDLLRSLVFPDGTVPKCLHYALPTRDCLFTNPLFDQKTVLKMWNLNKFGGVIGAFNCQGAGWDPAEHRVRGYPHCYKPVSGTVRPMDVEWGQREDTSAMANAAEYAVYRCQSEELLLMTPQSEPIQFTLQPSTFELFTFVPVMTINRGVRFAPIGLVNMLNCGGTIVDVEYRGGAEVGVKVKGAGRLLGYSDVRPKWTLVDGREAAFEWENGGKVMVDVTWKQEKNGVSEVVFCY >Et_7A_052662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11220137:11221793:1 gene:Et_7A_052662 transcript:Et_7A_052662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAKLSVSGAALAAILSCCAKADGDCDGLLFGRAAHQPIPPPSLFDDDSAPVSSAPSLTISIAGHASLGRPSFVSDPLGRFQLHTSAFPTDTAVGFFSSRRRTAARPSMREAAIARSLSKTLALTHPVVFLLVLPSSSPNLSVHSFAYRAFLLVDSRLVPSIPQVVNLGPGFRDQYHAFAAESPMPWMPPQAPAGGYSIGEEKAMDQMVEGFGMRRVDGVVTAATAQAAEMEEMHAAMMRRLEGLARQVEESNELMLQQFESLKVEANW >Et_1B_013969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2614566:2619150:1 gene:Et_1B_013969 transcript:Et_1B_013969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIIDTSKTSGNNEEMIYEPGCGMSKEEFEWRRNQYKMVDQRMPHVLDELKIQSQRMRSHFLDDPDALAKWNRYESDVRAAFASNLKRSMWSPLRLPNSVLYKHLMKRKIHSKSFVGTLLEGLDKHKRIVGAGAISVAAGIAVGFAKEQRISSAIARNVTPTSNVQFREATGFWLASTVDRRNHFSFASPTKEPGFERQMARAANAALGPPSPLLHARAQAKHDNHHSRAARTLAAADPGLVVARESPSWAPRTRAPPRRVSSPAGPLVPHQQRGGTTASASPTT >Et_1A_006340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2147403:2150507:1 gene:Et_1A_006340 transcript:Et_1A_006340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILANLTKHPGSRRLTEGALWPEKKKAKRGGAGGRRYPAGFEDDEDFEADFEEFEVNSGESDLELGTEDDDDVVEIKPFAAKRNLSGDGLSTMATAGFDGPAARSAKRKRKNQYRGIRQRPWGKWAAEIRDPHKGVRVWLGTFNSPEEAARAYDAEARRIRGKKAKVNFPDTLTGVQKRRAGLTVAKAPKSSFEQKPTVKAALNNPINTNGSFYPSADYTSNKQVVQLDNMSFLPAMNPAAPIEDPIMNLHSDQGSNSFSCSDLGWENETKTPDITSITPVSTIAEGDETEFVNNYSDGSLVPPVMENNTVDLTDELTDLEPYMRFLLDGGASESIDSLLNLDGSQDVVSDMDLWSFGDMPIFANELPALSPQLLGRRICINSSN >Et_4A_031828.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:19301120:19301422:-1 gene:Et_4A_031828 transcript:Et_4A_031828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTGLKLNLIAFHTSQAAASSGLHLQAARIISLPSRARSRPSLRACTQASKATRLAAAPGAFPKDVLKFLHQIVIRNRLQRFRSEQAYAKHEYPLKPPV >Et_7B_055818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5312459:5316137:-1 gene:Et_7B_055818 transcript:Et_7B_055818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIAKPLLSDLVAQTGQVPSSHVRPVGDRPDLANVDNESGAGIPLIDLKKLNGPDRRQVVEAIGKACESDGFFMVTNHGIPTEVVEGMLRVAREFFHMPESERMKCYSDDPKKAIRLSTSFNVRTEKVSNWRDFLRLHCYPLESFIDQWPSNPPSFRQVVGTYSKEARALALRLLEAISESLGLERSNMVTAMGRHAQHMAVNYYPPCPQPELTYGLPGHKDPNAITLLLQDGVSGLQVQRNGRWVAVNPVPNALVINIGDQLQVEALSNDRYKSVLHRVIVNSESERISVPTFYCPSPDAAISPAGALVDDDHPLAYRPFTYQEYYDEFWNMGLHTASSLDRFRPGPDVVFMNFGSDPERRCSTLRYTSASPLATRLGAGLITALLHGHRKDATDMRSCAAFTTARCHTLFVWPGVNGDPLVSVLEDLEAGNFGVLEEDGDGVGVRVLRQAVGEVRLRALRVVVHGHVLLLLAQHLLDVVFLEAEAQGDHAEKPEPEQTDLAAVCADYFPIQKCDGHQHHEERLNSHN >Et_8A_057068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20769402:20771341:-1 gene:Et_8A_057068 transcript:Et_8A_057068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPRGRKRRRSHRRRKPAAARDWADGLPTDVLLAILHRLDHVDVLIAFASFRVIIYITDEGLTEAVTKLPHLEELEISLCCDITYDWLHDVGNVISKLKNLRINAHFVNCKEVDKDDEALAIASNMHHLRSLQLFGNRLTNTGLAAILYGCPKLEHLDIRHCFNVEMNKTLLSKCAGIKRLKLPDDPTDDYELEIQTPVLTYESSDSSAWFSDWYSDRSIRIFPYWKQLHRYRVLRNTEATT >Et_1B_011528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23224192:23230673:1 gene:Et_1B_011528 transcript:Et_1B_011528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EKTIVRKRLVSGDKSGTCDVCYAPCSSCLHRSLAHADSNIDCGSSQTCSTRSEIKNNSLTLGEKGVRNREDMDDEFSAASSNASYSETGGNKAATRSSIAEDSSEVDMPAKRRRISNQDMKLSRAEYNDDSNSCVTGMPAEGKLLLEKKKLSTSASSRDLSVNDCKDSSIASHSRLRKQHTDEPTEKNGSNVMYPSSSMTKKLMRTQSSVSASKGLSPKRQAHELGEPQENLSRQRCEKALLSNNILDQPLKSNPGGNNGMLAGCNAGTRNEKKAGFARKDLENNTSCSKGKIQEHAAAKTIDYAKRNGADKQNWDQDSSMDISSDGELNIQDDVMTDCGNSEGLIDVNVCDICGDVGREYLLATCTRCLEGAEHTYCMRVKLDKVPDGEWLCEECQLKDDKNKARCKDGVRTVNISEGKKQYSENQRNPKALRVVLPNLDAPLINCSTPTAEQCVAKNKKLCSESADTQPRQVKVTTAAAERLDVKNKNLLSMDNRKKLQVVTSDLEARPHTSGIPTPGSSNKKTQSSEFMLNRKKLRVSTDMESPLSIEGLRSPPTSCKRQAENTSSPNPRLFKANSLKKHDVFSCETSFKKSNKGSLSSLDNGPVRIAQAVKSSHTLSRSYSMGSMLNTKTPVPSPRGTLSKQLSFNSSNNVPRVKQLAEGAASKLRPVKHSPRDLRDHGPIKKIMKSGSFNSESSLCKDAGSSKQKQSFRMSQDEKPVTLKPMKEKNMMERRASFSFKKPNIPSSPRPDSFMKPGERKLDQDISRPGPSILKSSKKPGNVEMESSDLSKSDKREITVRQKPAGFTSGKDVYSENIPDPPITSQCVKKDISNDVEGDSFVSVKDDDAMQTEPPEVLPTTSDAMTLESDLQDFVPRVSVLEDSAFKVECCEQKPLEVSCKIVEAAQASDETLPKSPDGLQTIHNRYPTEDKLDKPNLKQEVLLDESTPENLSRDFVIPEQSYIWQGSFEVSRHGNSLEVFDGFQAYLSTCASFKVREVGKQLPHKIQLAEVPRHASWPQRFKEISPTEDNIALFFFAKDAESYERAYGKLLEDMLLGDLALTANICGTELLIFPSDKLPQRTQRWNGLLFFWGIFYARNASCLPELPVTGTNNCSLGPVTAPVIHHEVGPPKVLQSLGIDLNECPNDDSADPAVSLGSEMEKSGASVDQNVLFDSEHEVRKLNACEMHQEEAATLRQIVLGHPTTAPCRTHLSTFPTRQGCDTTRDYPSSARGITGIPGTGNIEEEGSCQPESLLCVAQKAGTSGSLSNEIVVKQQAFENSREVSPWHFNGSNISDDPNKLIPKSGIDLSDFDSSYQRQKTSHGEHSACSFEDGQLPSKCLSKIHPLPAGQHNALSDAPYTYRGSSDPSSFKKPIPEHIILSSDDEESPEPSPSLNNKASLKAKEGTSPLLSLSLSTAATKHNLAGSNIGEDEPLSLSLGLPSIVEGNRVLEMKQFLPEKPGINT >Et_6B_049341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3406940:3413570:-1 gene:Et_6B_049341 transcript:Et_6B_049341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSIGKAVLDGVVGYAKSAAAEEVALQLGVEHDVGFIADELEMMQSFLMTAEEERDQNNKVLNTWVKQVRNVAYNVEDSLVDFEVHAEREKPALLGCIPRNPCDHRRIAKEVKELKAKVEDVSTRNLRYHLIKDEARGSNLGRGNTVGANAFFGVDEAWRNAMEQKKPKVDLGNLITSGEMDLRVIAVWGTSGAHGKTSEIRKAYDNPKTKVKFGCRAWVSLMHPFNPTEFLQCLVRQFYVNCSQEQENSKTQEGTTVGGNILLRMEKMEQSDLVHTYGRQVSNNSYLIVIDGLSSIDEWDCIRTYFPDKKKGSRIVVTTQQAEIASLCPEQPYQVSKLEQISSNQVLYLFHKKVIRGSAMPISKSASVTTADPSSSAVSVSEIQEEEIQQPNGDGGGQNVSTTSTAERKFYRQSSLKMLLLDDRQDKQRVISLIGLQNDGHIVNVISVWGMGGIGKTTLVRSVYRSLELSGWRCAWATVLRPFNRDTLVRNLALQLQEDHTGARKKKNIAAMGPDELATELSILIGRYICLTVLDDLSSIEEWYSVKHILTKSRRIIATTREKFVAKHCSKDDKNIYRLKGLDDDVALDLFNSKVFKDASRFDLHHGMLDLAKLILNKCDGLPLAISAIGGCLANKPKNALEWRKVNESLSAELEINPELKMINTVLMRSYDGLPYHLKACFLYLSIFPEDHIIRRKHVVKRWIAEGLTREMQHMTAEQVGNKYFDEILDRSMALPLQGAYGEIDSCQLHDLIREICVAKAREDNLVFTLEEGFSRGGTHEAIRHLVISSNWNRDKDVIQRMLDLSHLRSLTVFGEWRSFFISNKVPPSSRSGTHTRTLRDHHLDKIMNLLHLRYLSLRGCVNILKLPDSVANLRQLQTLDVRNTYVWTLPVAVTILQKLQYLRASGIVQGRERDIFGKWLTRRVLRYSLESETTPTISFPHPSCIERGHLFWRPRLLEDPRRRPHVGEGVNRHDICNMCCYLINHKEDAVSVNDRVVRGVVVPTGISKLKALRILREGYTSHELGELTQLRKLGVIGVTEENDKSFGQAIAGYSRLQSLSVQSSYSNEIHSMDSCFGETVWPPKSLESLKMCGRLIKLTEWIHRLQNLSKIQLWNTFAVSAIGMLPNLSVLRLMCDSYKGGSAPRPTLVFPEPLGAGA >Et_3A_026295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6558273:6560646:1 gene:Et_3A_026295 transcript:Et_3A_026295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGASRHVKKAVSMLFLQFPTSFRHPEQPRLDQRHGELCEACPARTVRCEWCRRLARFPALLGLLGLAAASIAISLVVSERQPGLDKHVYFLVLLGILLAGVTQVGASAWLAGDARGRRAAWSKKIVYSSTAPLVVAVALSVASLLSW >Et_1A_008826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1517409:1519954:1 gene:Et_1A_008826 transcript:Et_1A_008826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKIVRSLWIPDRWRISQSHCLQRSSRGLLGQVINSLSPVSKRLYKVEADERGIICVGCGLNPAAEALSSLCSRFPNLWKVEINYSGWSSDQGNQLDNQGLHVLSSRCPSLTDLALSFCSYIDDSGLGYLANCKKLMALRLNMAPAISSRGLLSVAIGCKNLSTFHLTDCMNVRSMETVFAQQEYKRAGSRWGDTQSEEFELGSPGNTGVEWLEYIGRAGSLAELVVKDCKGISQFDLLKFGPGWMKLQKFEFEINGNYWLSGARDRSYNARYPYKYDICCANMKDLRLAKIITEPEIGLRFLLGKCKALGKLCLDYVIGLDEREMIELFQNCGNLRSISLRLMPRHGVDFRFRTALTDDSLKALSLYCPMLEVVEFTFTLCAPDWPTEIGVTQEGIIKLIQSCPIRAVMLNGANIFYDEGMKGISSAQFLERLELVDCKRITDAGISFITHAPSLTSLTLRKCKKMSNKGMAELARSMKLESLTVIGCRGISKEAVQGAASSVHYSAELESHDKPSLFVKH >Et_2B_018976.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20529197:20529727:1 gene:Et_2B_018976 transcript:Et_2B_018976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGTELFPDLGAHCDLPDCNQLDFLPFDCDGCGKTFCTEHRTYLDHGCAKAADQGRTVVICEACGVAVERMPGQDEKDVLDAHARSRTCDPAKKNKPRCPARRCKETLIFSNTSQCKGCGVKVCLKHRFPADHDCAATARAAATRKAGPCSGQMRCAGGGVRLRLRPARAHPYLK >Et_9A_063063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9416561:9418064:1 gene:Et_9A_063063 transcript:Et_9A_063063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCWDNIAMAPTKDDLDALAKNRLFAGIERHALDCREDWCCPKCKRVYPPKKNFLVDLPEFECVHCDVKGDVSISP >Et_4B_036897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12484245:12488258:1 gene:Et_4B_036897 transcript:Et_4B_036897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGGGGGGGGGGRKPRNFATFRLFPRAGAADPNDRVFVRVDNNDYSVPGFADEEAFDSDLTADLHSSASGPLPENVRREILELGLPDDGYNYLSHLRELRPSAGAASSFVPSSTARPEPLPLDVKAYDASRVRVAPSAGELDEGKTMCKVAAKTAPLRKVQRAVDPDVARLLDESDASHAGSEDEGLEEDFVIMANQAEGDEEVEEEEEELGNGVFSDIEEEEEFEFEDDPKPRVRRLLDEQFDLLALEEYGDSDDEDRGGRDGDYELPSEAIDELKLFHNQNVCVDDEYRTPADFVRGKLESTTADEVDESADVIRKCAEYAERYLNEPAEEVEVVLVSESSDESEVWDCETIVSTYSNLDNHPGKIETPGNPRKRLPKVFPGETATTNDIIKLHGKEKLPIDYLPQRKRNTEKEKKVKSAEALSAEKFKKEAQKETKEEKKARKAAVKEEKREARKAKKELKVLYKSETQKAQKVAAVTGPSSIRLMRPRSLSCTTLLDFHRAPMNTARDARYS >Et_8B_060116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6068788:6074376:1 gene:Et_8B_060116 transcript:Et_8B_060116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATVLLSPAARLVASRPPRSHASTPQPSTASTRGLLLRGAGSPVVKRVPGGADGEWLLWHQSGARVALSTSPDGLRWSAPLSPDPLLPSADWWAFDTAAVRPSDVLLISGSGASSSRRFPSSAVYWLYYTGSTDERFGLPFPAADVPALPGLAISQDGRHWARIEGDHHSGALFGVGDEEEEPRGWEASCVAAPKVVMHADGDLRMYYHSFDEMSQRHAIGVARSRDGIRWSKVGKVLEGGRAGSFDERGVRHGHVVRDRAAARYVMVYEGVDADGRVSIGMAVSEDGLKGWRRCSEIPVLRPSEEHEAWDGAGVGSPCLVQMDGAYDWRLYYMGVGMDGEASIGMAYSEGQALQKFEKCDAVLMDAMKVLDKMFGWSHGFAERSFRSKINKSEGDSFRAAVRIGVAALS >Et_7B_053987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1399500:1401311:-1 gene:Et_7B_053987 transcript:Et_7B_053987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQAASESPTHRASSPATANPPYPSAARIADSACFPQYTASLKCLEAHQDKSKCQQQFDDYKECKKKEVCCSTPDFISVYSLWVVMHGGWLQWLHTPSYFLLAREARLERNKT >Et_3B_030722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6791819:6792338:1 gene:Et_3B_030722 transcript:Et_3B_030722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSIPLNSSTQIQYTMIFRASTNNLSGGIYGIPNALHVLNLKRNKLRGELPHNINESCTLQILQLGDNGIKGQLPRSLVACKNFEVLDIGNNQISDHFPCWMSTLASLQILVLKSNRFLGKMENYTTKDMKSYEFLSLYVFLI >Et_1B_012274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30370468:30372809:1 gene:Et_1B_012274 transcript:Et_1B_012274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVCVTGAGGFIGSWIVKLLLARGFAVRGTSRRADDPKNAHLWDLDGAAERLTMLRVDLLDRASLRAALDGCDGVIHTASPMHDNPEEIIEPIIAGTRNVVEAAADAGVRRVVLSSTIGTMYMNPRRDRDAPLDESTWSDLEYCKSTKVVNERINKDRIFYPQNSRLFLPNKKEILVCGNSRLTPDGIHSQNWYCYAKTIAEQSAWAAARARGLDLAVVIPVVVLGELLQPSMNTSTLHILKYLTGEAKAYVNESHAYVHVRDAAEAHVRVLLEPGAGGRRYVCAERTLHRGELCRILAQLFPEYPIPTRCKDEVNLPKKGYKFTNQPLKDLGIKFTPVHEYLYEAVKSLQDKGFLKKTSDTKVSERRSSPPHSSQPAMLISKL >Et_6B_049384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4091045:4093254:-1 gene:Et_6B_049384 transcript:Et_6B_049384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATDSCLLAQINIPSEFVREYLPQSGKKMTLWDPQGKPWEVQYVYNNQRSIAAFSGGWGEFAVGNNLEKFDVCVFELLNEDNIKAHIYRIVLQITPLLCNKSIQPLASNCISIGRQEGEKFIHGLNG >Et_8B_059820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3018040:3024421:1 gene:Et_8B_059820 transcript:Et_8B_059820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAGAAPWSRLEGQVVLVTGASSGIGRDFCLDLARAGCRVVAAARRTDRLRSLCDEINASASEEASRAVAVELDVSTGGSTLEAAVQNAWDAFGRVDALVNNAGIRGGVHSPLDWPEDEWDNLIKTNLTGLWLVAKHVCRRMRDAKMKGSVINISSIAGLNRGHLPGSIGYSSSKAAVHYCTKLMALELGAYGIRVNTIAPGLFKSEITAPLLQKRWLNTVASKIVPLKEHGTTDPALTSLVRFLVHEASSYVTGNIFIVDSGVTIPGVPIFSSLTTRTYLTRLIKVSVQRMRLRMPRKSSSPGFVRVKDENVYSGDVPMSPYTTPSDW >Et_4B_039663.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:25909517:25911103:-1 gene:Et_4B_039663 transcript:Et_4B_039663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAAATYLSFPSAQQQQPSSFRARPRRHRQTTTRAAATVTDRQEPSPAVVSPTRRLPLRKVPGDYGPPLVGALRDRAEYFYGPGGRDGFFTSRVRAHRSTVVRLNMPPGPFLARDPRVVALLDAASFPVLFDASLVDKTDLFTGTFMPSTDLTGGYRVLSYVDPAEPAHAPLKQLLFYLLSHRRGHVIPTFREVYGDLFGRMENELARAGKADFGQFNDAAALSFLCKALLGRDPADSALQGDGPKLILKWVVFQLSPLLNLGLPKLVEDSLLHSFRLPPALVRKDYDRLAAFFRDASRAVIDEGERLGIAREEALHNIVFAMCFNSFGGIKILFPTLVKWLGRAGARTHGRIATEVRDAVRRHGGGGEEVTMRALAEMPLVKSAVYEALRIEPPVPMQYGRAKRDMVVESHDYGYEVREGEMLFGYQPMATRDPRVFARAEEYVPDRFLGDDGERLLRHVVWSNGPETAAPTLQDKQCAGKDFVVLIARLLVAEIFLRYDSFDVQVGNSTLGSSVTITSLKKATF >Et_6B_050151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7254171:7256102:1 gene:Et_6B_050151 transcript:Et_6B_050151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRSSVLLLLFVLTLAATATLLSLSSTPCSYSTARAILAATGLDPYLISCTTTTMEEEEEEEAPLSNAGNGKNRTTMRGGPIVTDQLLCGKPEIPEVAFPPFQCCPPMSSSEPIPFTFPDPSEPVRTRRPVHEAGGPERMAQYARAVALMKALPKSDPRSFYQQANIHCAYCAGAYRQVGRPELRVQVHYSWLFFPFHRAYLYFFERIAAKLLGDPGFALPVWAWDVPQGMRMPAEFVTNESSPLYDPVRDPGHLPPKLVDLDFWEVEKNLTHEQQLKHNMWVMYKQMISGAPLPSLFYGQPYRAGDTQKPGAGTAELAPHNTMHAWIGNGWYPNGEDMGAYYAAGRDPIFYAFHANVDRLWEAWRRVGRLRAAEFNDLDWLDSSFLFYDEEARLVRVKVRDVLGTDKLRYRFSNVGMPWAKARPPKTPGVNNGKRGSLESVKFPVLLDAAVSVEVMRPPVAQRSQQLDNKVDDAQEEVLVIEGIEVQDANFVKFDVYVNAVEYRKVQPGGREMAGSFVSLRHPGKDGVKTSMRVALSELLDDLGVKEEKSVTVTLVPVKGKVTIGGLRIVYMSE >Et_2B_020250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18384415:18387289:-1 gene:Et_2B_020250 transcript:Et_2B_020250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFSPLAGALVFLVAAADALSGYQINCGAKSEKQVDGSVTWVSDAPFINAGNVSELRTPGMMPMLASLRYFPDTAASKYCYVVPAQKHARYLVRTTYYYGGFDGGKAPPVFDQIIEGTRWSQVDTAADYARGLATYYEAVVAAAGREVSVCLARNAATKSGSSPFISALEVVQLEDSVYRATNFTAYALSTIARHSFGSKNGSTISYPDDRFNRYWEPYNGGDGAGQVVESETTASTEALWNKPPQAVFRRGLTAGRDKSLGLQWPPAPLPNATYYLALYFQDDRAASALSWRVFDVAVNGQPFFVGLNVSTAGSMVYGAEWPLSGPTTITLTPAPGSPVGPVINAAEIMMVVPLGGRTHPRDVIGMEALAKGFASPPSDWRGDPCLPKGNSWTGVTCSQDSLARVIALNLTNFRVGGSISENIANLTAISSIWFAGNNLTGPIPDMSPLHHLVSLHLEDNALTGPLPESLGSLPRLQELTITYKEPFQTASGTEMTLNSIQPVYPRYLSRLLISHPPPTFLALIATSPTFPSLLATALASSPAMTAVSRTQI >Et_10A_001152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21627927:21632448:1 gene:Et_10A_001152 transcript:Et_10A_001152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVTGALSTLLPKLAVLLTDEYKLQRSLRGEIMFLEAELETMQAALERISDAPVTDNQVKVWARDVRELSYDIEDSIDIFMVRFRTCPSAMPSGFRGFIDRGMDLLTTAKVRHRIATDIKGFRALVKEVAGRHGRYKIDATAGVSGQCSTNLDSRLQGIYEESTRLVGLVRPREELTEMLLMRKHQLTVVTIVGVGGLGKTTLANVMYQQLRAQFDCHAFVSVSLKPDLRRILSSILRQVTNQGCTNIETWDILELINNIRQFLEDKRYFIIIDDIWNESAWAQIRCALIDNNCGSKIITTTRILAVATSCCSVVDGTIYKLKHICHEDSKKLLNRRIFGSDDGCHPELKEISEKILKKCDGVPLAIITIASLLANKPRNYNLWCSVHNSIRSGLEKSSSVDNMRNVLSVSYYDLPSHLKACLLYLCIYPEDHNISKDLLVRRWIAEGLIQGDHMDRMHQLGVHYFNELMNRSMIQPAQIDDNGKVGACCVHDMVLDLITSMSTEENFVTNTYSQPPARRPRKMRRLCLQSSEENTTPQDKMSLSHVRSLIVFPPATSLIPPLSCFHILRVLDFEGCRDLKKHQIDGIGYLVHLRYLGLRNTNITNVPKDIGKLHCLRTLELRHTSIRELPTTIVRLKQLKHLYIDRAVKLPDGIGKLHSLQVLSFVSVGISPNFAKELGHLTELRVLDLFLLGDTWDNGYEKPLINSLSNLRKIHDLYIDVKALSTEFMTDLGWFPQHLRRFTSITCSRLPRWMGSSLLYLSYLNIRLNRLGHEDVQNLGALPFVHYLGLCVVEIISTEERLSIDPDYAKFQSLAEFRFHNDAMGLIFAQEAMPRLEIIEVIFRASETKSTYGDFDLGLKNLSSLKYANMRIDCSGSSISEVTEADAATWKAIAMNPNRPKLDVIRYFEDKMIEHDGEIQVHEETIEEQEQRDTLPDKVGPWGGDGGQARDVKVAPHQLRSVKICCDSTIHALAFSYEDRHGKQHTTPLWGGVGGNVQTIHLGPSEILTQVSGTIGWYGPTPDVVTSLRLVTNVRTYGPFGRPKGNAFATPSRRNNIIVGFYARSGLYIHAI >Et_4A_034892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7588628:7589260:-1 gene:Et_4A_034892 transcript:Et_4A_034892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIAISGTGKEPQPQHQVRLLHNVLTVEPRIHRCSCTQSSSTTWLSCRSCSNGLRPQVTSNINIPKAKTSVAGDAFPVRSNSGERYPMVPTTCVVFGLVPWSYSFARPKSPKHPFISLSRRTLLAFMSRCTMTCSQSSCRYNKPDAMPLMMLNLISRLRTDLFLSYKCLSRLPFDMYS >Et_1B_014169.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:33873325:33874191:1 gene:Et_1B_014169 transcript:Et_1B_014169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGEREDLAAQSAPHCCRRQFLNVSTGQCIRVDVPELQDHGVIRSNAAADGLLFLHCKATGAVRLLNPFTRQAATGLRGFRAPYVVSSSWYCNAGLADDRTAFLHSSGEMAFAKPGGDRWVLLLDETAAFIPSVFLAGRLYGISNRAIVTVDMNRSGDGVVVVAKLDMAFNGIMDRTVHLVDNGGKLMLVHRTIKTRGHGAGEKKMYKVYRVDLEAGAVTTRGGGGLSLGGRAVFIGRRRALSVSPQALPSIEANAIYPGICFGERGGKQQIGVYRIRDGSTRILRL >Et_9A_062913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6529758:6533033:-1 gene:Et_9A_062913 transcript:Et_9A_062913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEMEAAGGGVEDAYGEDRATEEQLVTPWAFSVASGYTLLRDPRHNKGLAFSEAERDAHYLRGLLPPALASQELQEKKLMHNLRQYEVPLHRYIAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIYRRPQGLYISLKDKGKIQEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNESLLNDEFYIGLRQRRATGEEYHELLEEFMTAVKKNYGEKVLIQFEDFANHNAFDLLAKYSKSHLVFNDDIQGTASVVLAGLLAALKVVGGTLADHTYLFLGAGEAGTGIADLIALEMSKHNELPIDECRKKIWLVDSKGLIVESRKESLQHFKKPWAHDHEPLKTLLEAVESIKPTVLIGTSGVGKTFTKEVVEAMATNNEKPVIFSLSNPTSHSECTAEEAYTWTQGRAVFASGSPFDPVEYEGKVYVPGQSNNAYIFPGFGLGVVISGAIRVHDDMLLAASEALAEQVSEEHFDKGLIFPPFTNIRAISARIAAKVAAKAYELGLASRLPRPDDLVKYAESCMYTPAYRSYR >Et_4A_035325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2005175:2007310:-1 gene:Et_4A_035325 transcript:Et_4A_035325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRILRSASFNGSSKNPPPPSPSAGSRAPAPAVSKDDAAAGERKALLRHPSGGMARKGRKGTSNRRVQWKDRHGKKLTEVLEFQPRISELPVCLAAN >Et_3B_031630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6397272:6407478:-1 gene:Et_3B_031630 transcript:Et_3B_031630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRRRVAGDPSATPTTSDSGMVKLLADILHHTAPSTWPSALAAPLLRSRLAPGHVSSLLVLPASLRRPDLSRRFLLLLPPHLVSPVSLSLLALSFISSSPTSSASSPHAASLILSLASSTPSASSSFSALSQANSLATFPPGCATSAATLLASSYLRLRRARDAAAVLRLSLSSGITMKQYTAAQILFSLVKIRQFALARDLFDEMLESGARVDEYIYTAGIRAYCEMRNLDGARALMARMEREGIQVSAVPYNVLMYGLCKNQHVDEALMVKDKMVARGVTADEVTYRTLVYGLCRVERLEMALEMTDAMLRLRFLPSEASCSTMLDGLRKKGLVEEAFRLACQLGELGMVPNLFACNALIDKLCKDGRFGEAERLFRGMSKRGMEPNEVTYSILIHSLCKRGMMDEALCMFEKMREKEVRITVYPYNSLINGYCQRDDFDRARCFLSLCRKGDLSSAMELHKEMARNGVSANIYTFTALIDGLCKDSLGMELNEAERLRLPTSEGRGPDSALYAKSKNLERGGNLNISGGIEPFRRLLLRSRAIRFSSIPKLAGIVPSRLAYSASSSLNFERFPRDEGIGPDK >Et_2A_016321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23314966:23318720:1 gene:Et_2A_016321 transcript:Et_2A_016321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGKKAHDMDPKEKKRDKDVDAGDRHNQRSKYNDKESDDNGAEGDMEKDKDGFGSVQRKRIARPRGGSQASQREPQFRSKMRDGKSEVSAIVYKAGECMQELLKSWKEFEATQDAGSSESRQNGPTLEIRIPAEFVTSTNRQVKGAQLWGTYIYTNDSALVAVLMHTGYCSPTSSPPPSAIQELRATVQVLPPQDSFTSTLRNNVHSRAWGAGIGCSFRIERCCIVKKGGGTIDLEPRLSHTSAVEPTLAPVAVERSMTTRAAASNALRQQRFVWEVTMSIQYNLCNEPWYKLCFSGEKPRSIGSNSNASEADPEKHQNSGHRSQNGDRSSMDYELRDVFRWSRCKKAMPESAMSSIGIPLPAEQLEVLQDNLEWEDVPWSQTGVRCLGCWEGVPPCPSAFPLSKLTAADFSTNEGTILFPGQGRRI >Et_6B_049525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5980177:5994932:1 gene:Et_6B_049525 transcript:Et_6B_049525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVAATVDLACFAACMDTCDVLPSRNRKSSRGYVPWNDEMEKVLLDTLAEYFNKAKRCQNGWKPHVYTAAAKNAREKCNVNITKGNIDSRSKTFDKHYHIISGLLSTSGLGWDWDKNKLQVDSDSVSDDYIEEQGCKGVQAQDCEVWDLLSLVYNKDQANGEAARTAAEISKEMAREIGTSKDPAGSTSTTTSSLNRQRSDDSKQRSKRIGTKSSIEEPSQYPSRSAHVSSRWQFTCATAERDAAM >Et_4A_034923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7919557:7920042:1 gene:Et_4A_034923 transcript:Et_4A_034923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELASAFSVRSYLSLLSRNGVNHNLFRWPVAPPFCKPPAPTRAAACRPLADYSCTYRFRPRRVQRRVGEAPGVHRPLVGWRRQCGATSGSMRNPPATCARLATLPRAANERVRGADSASCGRGHQPQNGASATGFWGD >Et_6B_048624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11820599:11831409:1 gene:Et_6B_048624 transcript:Et_6B_048624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAASPPASAEEEPSCGAVDLESRPPAAVAMIEKDKDEKGRGYVDSLLRVSLHLLRNDFVPEVRRQGLNLMLHLLCFRWDELCVEEWSRSTLSDYADLMDGLYDTTDTLPSKSDVAALITDASLSGGICSLNGLLSSIIFLSKRGPMEAELAAFILKSVSDSRIDCGVDPDGAQFELTLSGLDEVLPNILLTLSSLLEKYGGTVLAKQPNQLIIPSKNHVLTATSCLFAANAYAKWVPVVHLDNCGLIERCKNFLYSRDLRVLALQFFKVICQRRRPLCATEDYDNSMNLVFWILMSISQDSLIELKSHKGFPGGKKLCFAGRICECLVALASLNMQFIMRDGIRATHFFQQFKLTAIQFSMALIVFTFLESQMLEYYQHSKFALHFRSLQFWLMVLKELSKETFVSSDPGVVASAVNPAPVINSSEKGSSGSMVFVSDDILTGIMDVSLKRIPKKSAAVTPELLELWNDELHGKSGFVQYRSLLLDLIRFIAYKRPTIAIRRAVLRINCIIGDANAVSKHPKDLAAIESAQLGLETVVNAIFDDSVKIILDTEFPLLGICKIFEGLLMQLLSLKWTDPRLVVTLGRYLDALRPFLTHFPASVAMVVSRLLELLPSISVDCHDPSEAQKARLQICSYVIRICQAVDGTYLPSDMKDFFSTHITEEDLLAFVAINRTSYSVEQKHGGEGNHDVGARLCPWALSGETSGLSVALHSFHDLSTRQPSFVSRSAPSSLESNVIARTLNPKNFGRHLVLGSVPNSLLRLGAKSHSRNEGTSLNLPAYQMDTENCCSGSLASASSICLALIKQRQQFPANAELLTHVSPSMQVGEGILGTVSCNPEKNQLLLMEHNILSETFIAMGSFPRIQDRNHLLTDLLHILNKIWTQPDWNYICYAYRLASLFADKQFTRIIHSLVTSFEKELSGNRVIESAGAEGCSLAPALDLCTLPKLMLSLILQVLDCIQTFWKEPIAYDVSGVFAEDIRSLLENGKQPKIAEADVLKNTGTWLLEIRETGYKVIGLCTYFDRGFYGLSGRSSIINVLTENLRSMEFNHLGKLIVLVIIPLVKNCPLECWNEWMVRLLEPIFSYCEDICYYAWFTFLHEGRAVPAYFGYLKGPEEIVNQFEKEILLKFTRSVCELLQVLSSERLNSGLSLLPCRPKNSMKADFQDLMSISSSSLIGYENIELFLLLHNCFGRLSMYLFGCLADYEAASKALAFCYSLIRISIACNLEWLDQFILNEMLPTVILLLGGIQCPISELSSSLNSTTKEDAKNVVIDLCRQIYKLYLDNQANELTDRENLSCRFQYWLTKELDDLRTRASCSVPEHFPKEAVWKWELNEEFEKYLPAYMSMLAEVDTMNDCLKDHKEHHKSTHH >Et_3A_023506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31568757:31569206:1 gene:Et_3A_023506 transcript:Et_3A_023506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WETKGKYLYFVIFCFYHRYLLTNTFITLQSPPSLCEFIEYIDTEQTPENIVHVYHVAERARRHWFDMKTEERREEERRKMRQKEEQRRREYEAERKASEAERERMRERARRARAAGPDAFRKRKYLRCTQ >Et_1A_005162.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30128864:30128983:1 gene:Et_1A_005162 transcript:Et_1A_005162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RLPPATNGGRITGRCAPGPLRLRRPHWQTIIFFFSSVLV >Et_4B_038370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28494334:28498845:-1 gene:Et_4B_038370 transcript:Et_4B_038370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NLNLDTTSDGGLALATYSSCGQDASSGLTEVVSKISECVVSLASYKGDHFHFAYSGIVVDVDSFRTSVLTSANVIRSLDHSNMMADFSIYVLLPNGEMVLGWTRDIDYDLNIAIVNFRTVPGLREARLDHHMQSGYNSNVVALGRDFLSGDLTYSTGAVTNRSSLRYGGSRIATCKFVESGIGGALFDSEGNFVGSNVFFKSSEGTYFIPKEKILKFLEPFGIPRSSEKSQSTNHTSSVPSASDSESESESDSGRQSGRDLEKFTKALIDDLNTRGYPLPKTLDEGMHLRYSFEEEFARDTWSKLPHKVAYNTSLSVVSLASFKGSKERYFACTGVLIGRHKCTTSILTSASLVTNADDENMVDNKLEIQVYLPNKKCVKGKLKHCDLQYNIAVVTIKGHHCRRTAKIYAKESAEPHNGVVAIGRTFETGKLMATSGILTTESTELDCNELAFSTCKITKAGIGGPLIDLNGNFIGMNFYGAKRTPYVPRHIILEQLRRFDGKGAAAAIDNPRPNRWHVRKPKWYYPSAEPRDNWPSRKLL >Et_7B_054761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4108307:4120437:1 gene:Et_7B_054761 transcript:Et_7B_054761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARRLTELSHHLHRCSAATLDRAVCLLCSLSKAYAHHQVTERVTRSRRALRHAAAARLGSLLLHVVYFVALSCVGYGLLAALRVRGARPRGIDVFFTAVSAATVSSMSTVEMEVFSNGQLLVLTALMFMGGEVVVSLAGLASKWFKLRKETANRARRVESHAEIELEAPTTSFADNDETSSVTAAVDVTTGDGISTVDAKRLRHHAARALFWIVLAIVAVFHVLGALAVAFYVRVASPGARRTLRRKSLDPWTFAVFTTVSTFSSCGFMPNNENMAAFARDAPLQLLLVPQALAGNTMFPPLLAACVRAAAAAIPRREELVELASSKGREAVGAGRYYYHLLPARRCWMLAATVAGLVAVQAAMVCAMEWGGALRGMSAGEKLVNALFLAVNSRHTGESTVDLSTLAPAILVLFVLMMYLPPYTTWLPFEENSTTTDYPSESQGIRLPKSTVLSQLSYLTIFVIAICITERKKLKEDPLNFNVLSIIVEVVSAYGNVGFSMGYSCSRQINLDQLCTDRSTGFAGRWSDSGKLILIFVMFFGRLKKFSMKGGKAWKLITMQHFQSYIAVKPMLLSSEVVRITKEKIHHLHVFLSMRLGSISKCANYFFKRSYMVFQSNHLLVQLILHSLKPQHTTGPRNLDLIFTAASTMTVSSMATVEMEDFSDQQLWVLIILMLLGGDVFTSIPGLLFKKAKANTDDINQRRLPSTCRDIEFSDAVNRSYRNNMDGIHSEATISHNQVQESKGMNQKFCNILAYVVAGYFVVGIVCSSLVTIIYVWLDSDAKYLLKSKNINIWTFSIFTAVSSFANCGFTPVNDNMSMFRKNSSLLLLVIPQILAGNTLFTPLLRLSIWALGKIRRREEYAYILQHPEETGYRHLQQQKTAVNLALTAVGIIFLQVMFLCYFGWHSKPLEGLNWLQKLVCLLFQSVNTRHAGEAVIDISTLSPPILVLFALIMYFPSDCLRDEHTTNNKEENSSSRSLWNSFIISKPICLTIFIILACITEMKSMSLDPLNFNIFSIVFEVISAYGNVGYALGYSCERLLKPDATCKAVSYGFVGRWSDEATNLSLENLEVTRIFEEKFRHLLGFLSMKLSSLSKCVTRYIKHTFWILVFQSNPFIVQLIYFIATSVAGFLALKNLQPHGKPVTRDLDLMFTSVSTVTVSSMSTVQMEDLSDQQLWILILLMLLGGEVFISMLGLHFSNAKANKMEHSGRGLSSISRDIESNISANRDQVSTECDQSEAVISHNQVQQSKSTRRSSCIILAHIVAGYFSATVVCSSVVIIIYFWINSDARQVLESKGIKICTFAIFTAVSSFANCGFTPLNSNMQAFRNNSGLLLLVIPQILAGNTLFSPLLRLSVWVLGKVSGKQEYIHILRHPEGTSYKHLYRQRKSVHIVLIVTGLILLQVLFICSFEWGSDAFEGMSWFQKLVGSLFQSVNTRQAGEAVFDISTFSSPILLIFAIVMYLPSDTSFLPINANKNTLANKNPSSRAIWKKFTITSPACLALFTLLACITERKSIAFGNVGYSLGYSCDKLLKPDASCKAASYGFVGWWTDEGKLIIILVMFLGRLKKFILKEEKHDSAPTTRHQIQRVEAARLPVPTP >Et_6A_048127.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7090152:7092014:1 gene:Et_6A_048127 transcript:Et_6A_048127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAATARPASRTISSASKPRKPSRSPSPATAPKPAKNSVGSARRRSPLSDLNSGDAASAARPGCFRFLLSSAASGTRSRSASTPRTPSRPDPKPRPAEARRRDRVPDQESRTRAERCAAQEPQRRRGTDTIGAQIRRKEPAAKKHWPAREQPFEALTPEKKADSGSAPAFSGATPPVHASISPEVAACGSATPACFAAGHHVVPGVGDRRKCRPRGILALAGEGLVSEDLDDGGGGGEPSQASIHWLSSPSGQGAGTCSTKCGTEEEVSVNWLVSPRDNGAAVDFLDDEIIVPRCSLEDAFWHFSPDCTGLLGSPLLSGLPDFGTPASELSGTTPSSRFLPVEKTPSSGDSISPFSLIVKRASASSRVSSLCAQQVLSSSYRHGSAADPTPISDEESWRQSVSNSTRSGLTRTSSRLMKVMDPVVECLEMMSLSPQPGDYDYDGNGALPAPLPELSFQFAGAPTPLESIDLTTFKRSPRDIELKGKEVIFQKPAMAETRISWREGLVSRMFDMGDLDCCKWLSDDEEAPVLSHNVEPLLDTKFLPSSGYSPQKEGGDHPIGGGFGSVEFSCIGDELNNDCKACPKPVAVAESIRTEGFELVSSDDSDWTLFYKNHLFET >Et_3A_027382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9761590:9764912:1 gene:Et_3A_027382 transcript:Et_3A_027382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNFAKWRSNLHLLSITTTASSRSVEAVVDDVALLPDLALAAGGHGQLAELEQRVAKVLVPEGAVVGILVDVAESLLVGEQRPVGVEGDDLGEGVVVEAVVQEVGDLAHPADEPGHEPGHNVVVLVADVVVDDGVGALGALVVEQVAVGRGDLRRRLGEAAAEGGAVALPDGVRAGEDDKLFHGEILLGEGLDQLLHVVGGVGKLRLGLLGLGHEAVEAARGDVEMDVAVAQDAGRVAGRVDEDVGAGHYAGAPVLDRGLDLLKEVEGGQPDVHRRLLLRVRVLVGLVQEHRGVAPLHM >Et_10A_001363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23778658:23779359:1 gene:Et_10A_001363 transcript:Et_10A_001363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGKAQNGELPSDASVISRAVKRIFDVLDTQSAEHSMKVSFLELYNEKLIDLLAPEEPKFYDDKSKKPMALMEDGKGGVFVRGFEEEVVSFAAEIYRILEKGSAKEKNSRNSTQQAKQSFTLHLLNNHPY >Et_1A_008087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4223466:4228470:-1 gene:Et_1A_008087 transcript:Et_1A_008087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSNALILPCKKKNKAQGKDKDGKKGKAKEDPKMSKTKLKKLQRLEEEKKKKLLQAESIEILRKHKIPDDAYSLLHASGTIGQAETLKEKRRRAVQFSKAGLDVPEELSLFKKSGSQKVSENSDVPEQVCPASFAEPAKCEDHGIGYKNSMKKDSVKNMEHQPVMDAAVSMQEQKTEDPSDVAHMLANHKIQTSTPSCSGAELDTQDKETEQGKAAVLRCINPPIVVPVSRPHEVEEARRDLPIIMMEQEIMEAIFENSIVILCGETGCGKTTQVPQFLYEAGFGTSDRADRKGIIGITQPRRVAVLATARRVSYELGLKLGREVGFQVRHDKMIGSKSSIKFMTDGILLREVQSDFLLKRYSVIILDEAHERSLNTDILIGMLSRIIKMRKTLYADQQEKIRSGLIIEPKQILSPLKVVLMSATLQLKDFISNRRLFDVIPPAVKVPVRQFPVTVHFSKRTRDDYLGQAYKKVLSIHKKLPPGGILVFVTGQREVDYLCQKLRRASKEQTSKKPEKIDADSNVLAFLKDAGNSSVLKASFGALSGTSGALGSVQKSSDATSEEKCSPSVSSSSQRAERKAASQGRLRVLPLYAMLPASQQLQVFKDIPEGERLIVVATNVAETSLTIPGIKYVVDTGKEKVKNYDPVTGMSSYEIRWISKASASQRAGRAGRTGPGHCYRLYSGAAYGKDDLFPEFAEPEIKKTPVEGIVLMLKFMAIDKVANFPFPTPPDKESLIEAECCLRTLEALDSQGGLTPMGKAMAQYPMSPRHSRLLLTVIKILKRQPGLARSNFVLGYAAAAASALSFTNPFLKPSDECDPNDESKENNSDPEERKRHKKIKAMVKEARGKISNPSSDALTIAHALQLFELSDNPVEFCRINSLHLKTMEEMSKLRKQLLRLIFHQSKFCEEFAWNLGDSEDVEQAWRSESSKRPLQMNEEELLGQGICAGWADRVARKNHTYYRSSEEDRKVRAVRYQSCALNDTIYLNRSSSVAHTAPELVVYSELLDTKRLYMHGVTAVKPGWLLKYASSLCTFSAPLEDPKPYYDPLHDQVYCYVSPIFSRHNWQLPLHSLPVEDKNSRLKVFAYALLKGDVLPCLRVVKDMLALSPSVVLGPSSQTRVGDLLYKMQKCPKKCPKLIDSRAALRDAWNADPNFLYPEIKVWFQAKFHDQFGVIWEKMHQEVVLEGRELFPKKLKKVKS >Et_2A_018508.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3094603:3095328:1 gene:Et_2A_018508 transcript:Et_2A_018508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLFLLGGLCALFSLTSSSRAGTKKCDDVKCCDEGESRTAKNGGDREEKQAKKPRPDPEADLGIVFSTFDHDGDGFITAVELEESLRRLGIAVSADEAAAMVSRVDANSDGLIDIHEFRELYESIPKKRKQHQPPAAEADKVAAGDGGSAAVAEEEEGEEEEERDLREAFDVFDGNKDGLISAEELGTVLGSLGLRRAGSGRPAVADCRDMIRLVDSDGDGMVNFEEFKQMMTVVKA >Et_2A_015644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16339145:16341088:-1 gene:Et_2A_015644 transcript:Et_2A_015644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNDAHSRKNRGKLLVELTYDPFRDDNSNPGRTSDGEGNTDDNVKRDASSCAGVLLVSVQSAQNVQGKSHSNPGEEKETKVIRKTRDPRWNEDFEFMMEEPPIGEKNSH >Et_2B_021606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3532187:3535403:1 gene:Et_2B_021606 transcript:Et_2B_021606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDHRVTARELEDEFRVFGVLRSVWVARKPPGFAFIDFDDKRDAEDAIRDLDGKNGWRVELSRSASSGRGGRDRSGASESKCYECGETGHFARECRLRIGSGGLGSGRRRSRSRSRSRSPRYRRSPSYGRRSYSPVGRSPRRRSVSPARGRSYSRSPQYSRGRDESPYANGHA >Et_4B_036343.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1927415:1927522:-1 gene:Et_4B_036343 transcript:Et_4B_036343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSNSFHSNKEFSIIIHKSKSANCSLIAPASVAIS >Et_2A_017850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:775143:776616:-1 gene:Et_2A_017850 transcript:Et_2A_017850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTAGPLRPVVQCQTLKYNMKSRAGRGFTLEELKAAGIPQKLAPTIGISVDHRRKNRSLEGLQSNVQRLKTYKAKLVIFPRRARKVKAGDSTAEELATATQVQGEYMPITRGEKRSIEVVKVTDEMKGFKAYGKLRLERTNKKHLGMRQKRAAEAEKEEKK >Et_1A_007540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35733776:35735806:1 gene:Et_1A_007540 transcript:Et_1A_007540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KISIFADMGGVIGHGDSPRNSSPASKLEKKMVEAMQQRAQLGTSVKSFNSVIMKFPKIDESLRNCRTIFQQFDEDSNGEIDQQELKHCFQKLDIESTDEEIKDLFEACDIYEHMGMKFNEFIVFLCLVYLLNDPAVSEARKRMGLGQLEPTFETLVESFVFLDKNKDGYVSKSEMIQAINETSAGERSSGRIGVKRFEEMDWDKNGTVTFKEFLFAFTRWVGIDDNEDEDEDDVE >Et_5A_041509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23860445:23862916:1 gene:Et_5A_041509 transcript:Et_5A_041509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQLRSEPMRTIRGDEELERGAGTANWRPRAAGAPEIPAGPRGCELYFILNVLKCGETERQDARDSPTAAFCPSAYKPNITAREELQYHKLVIDAIEVHRILHRLKLRQPVGAANTNAAAANLIHLHRLPRHPSQRARPQTLSVTPRSAAGCSKPTGKQGAPSPPRGQHATTAQLRSEPVRVRSEVPAEAGYRGERLRPYDGGSGAARVEEDGELEVMDLGTSDAGKEGKEEALTNRGFGRRGPLLEALVVKLQETHASISVAEWKMSKETRRLFTDAILFTRLVEYMEGEEVAHFQSKK >Et_2A_016830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28721778:28726600:1 gene:Et_2A_016830 transcript:Et_2A_016830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSESDGAGEEEEEEEEAEGVAGTAGDEGTGGGMFTFAIEGMLRASGPCGLVVTDALEPDCPIIYVNGGFEDATGYRAEEVLGRNWLLFSPFNLRREDSCHAELSEIVPAIIFRRFLQCRGPFAQRRHPLVDATVVSEIRRCIDNGTDFRGDLLNFRKDGSPVMNRLHLTPIYGDDETITHYMGIQFFTKANVELGPLPVSITKEPVKSARFAPDNSFRPTSMGPGQSNLCREYSSLFQLTDEVLCQSILSRLSPRDIASVSSVCRRLYHATRNEDLWRMVCQNAWGSETTRALETVPAARRLGWGRLARELTTLEAVAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNATNPEWRHIDVSAAPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFMLDLDAKHPTWREIPGVAPPVPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTYLLDVTMDRPVWREVPASWRPPSRLGHSMSVYGGRKILMFGGLAKSGPLRLRSSDVYTMDLSEEKPCWRCLTGSGMPGAGNPAGAGPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWMLTEIHELSLASSSMLEQRLRKMHPIF >Et_1B_009974.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:15712536:15713234:-1 gene:Et_1B_009974 transcript:Et_1B_009974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVGKPNVYTAIDSSQFILGSTNFDSIVHNRTRTAHTRNADVFGRPAQRPPAPPHRRLRSSTNSSKLRRDEPPGATAAWSVALSFFTCSLSPAPSSPPIMSPSIRARTSSACTAPRSPTDAPARHSRATSLRLVSWSVSSGKHSMGTPAHTLSRMEFHPQCVRNPPTAAWDSTSTWQHHGTTSPRPDANPSGSGSGSSELTTSGRSTHRNRAPLASTPRAISWSSWRVTCA >Et_1B_014389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7442516:7443354:-1 gene:Et_1B_014389 transcript:Et_1B_014389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGTSAVLSRAARMRQKLQSALEASALDIEDVSYQHAGHAAVKDNANETHFNIKNHV >Et_7B_053973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13941522:13944706:1 gene:Et_7B_053973 transcript:Et_7B_053973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPGVEELDRELTQLAIGNSIIDVGRSVMSGNRRRIDVGKSVMSGYRSRIDVGQRTGPLGGLYERFREKELIKKMREEDLERRTTEKREEEALEKRKEGMQRPEAAMKRYPKGKGKAVYVSEYWSVVEKVKLEGEKKKEAEKCDSMAQQARDREVMENREDALEMGATEKVEEAMEKRKEGMEKRDVARKRYLKGRGKAAYMSDAEKLKLKLDNYLEWKKKKEAEKCDSMAEQVTDPYAFEARSFRQRWEEIYGQMGSVDFGDTNLDILTSLKYSTNAASRFKLDPAPGGARKRDSLQVFSVKVSELTGGLQWPLDVYGLVAARDVLDRKRNIIFERERDNCQTLTMENPYLVLTGPMRAVVLCDHVVFEVSLYVRGTAHSDDKELSLLAVGCGSVSCPSASFVIMRSYTSRLSTLEFKLGHIVSSVEGTVSVRVVSGPPHGFYGEFVAFTDNIKHEIVLHKSGDKEMLLAGDVVNLSRSVVSVGSLGKLKISVMACDGDVNSTGTVDIKPLEEGTSSKVIDIPGLCNLEVNVDWSLFSYYLK >Et_8B_060669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4433167:4435201:-1 gene:Et_8B_060669 transcript:Et_8B_060669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAVVARRREAERWMSEAEKLLIARDLEGCKQFVSQALSTDPRVPGAEDLFAAADVLLAARRRRLPSGSPDPYAVLGLDSSVPASRDPDVVHSHYRRVSLLLNRSHPDRPCSLAFADAGRLVADAWAFLSDPLRKASLDSDLDAAAAAAAAKAAAATAAAAPAPPASSPEKQQQHQPQPQPQGPQPQPPQPQPQPQSPRPQPKSPPSVSKPRQAASSTPPSKRGRPARAAEPTATPPERQQEAEAPQAPTFWTACPSCCHLHQYDSSFEAQTLLCPSCRRPFLAAAMATMPPIVPGTDMYYCSWGFFPMGFPGGPAFAGPFNSPAQQAPAALGFYPMGPYLPLPGQGGVVEGNTAVGAGKAAVPVTSTVTAAAQVVATPAKSSHVKVGAKKRGRPKGSKNKKVGSSHSADKCAVCKCIENQSGLVSLQ >Et_8A_058223.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:2876535:2876753:-1 gene:Et_8A_058223 transcript:Et_8A_058223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDWTALPHDVLCEIFRRTRQADLLCGAGLACTVWRRAASDEPSLWRCIELYEYYRDGPGYWTIRWMAMARR >Et_5A_041766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26916396:26916967:-1 gene:Et_5A_041766 transcript:Et_5A_041766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKACASIQEGYLPNVTFVIVQKRHRTRLLPENHGRRNLIDRSGTILPGTVVYTSICHPSEFDFYLYSHAGIKVPIRQLGAAHTQVFNIFQAVHDVSKYRRTKYPKKLSGQQVAQILRATCERPQDTIG >Et_7A_052383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7434198:7436817:-1 gene:Et_7A_052383 transcript:Et_7A_052383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTIAASHGVVHHHPRRRRVPPPPPRHCGSEQHRHGRGACVVVRATTSTATSGTKTAATDTLSAAFWDYNLLFRSQRSECPDPVALRVVEGAVPPDFPAGTYYLAGPGLFSDDHGSTVHPLDGHGYLRAFRFDAGRAVVHYSARYVETAAKREEHRAEETSTWRFTHRGPFSVLQGGRQVGNVKVMKNVANTSVLRWGGRLLCLWEGGEPYELDPRTLQTIGPFDLLGLGGGDPPDDGELAARRRHGPRPCLLEAGIDVAASLLRPILSGVYRMPAKRLLAHYKIDPRTNRLLMVSCNAEDMLLPRSNFTFYEFDADFGLVQKREFVLPDHLMIHDWAFTDSHYVLLGNRIKLDVPGSLLALTGTHPMIAALAVDPGSKQSTPVYLLPRSAEAQASVRDWSVPVEAPSQMWSLHIGNAFEERNARGGLSVHVRVSGCSYQWFNFHKMFGYNKRNNKLDPSFMNIPKGRELMPRLVQVSINLDKIGMCRGCSVRRVTDQWSRPADFPVINPNFANRRNRFIYTGAASGSRRFLPYFPFDSVVKVDATDGSARLWSTEGRKFVGEPIFVPTGGDREDDGYILLVEYAVADHTCNLLVLDARKIGERNALVAKLEVPRHLTFPMGFHGFWADA >Et_7A_051577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20603387:20613233:1 gene:Et_7A_051577 transcript:Et_7A_051577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVWLSHALRQSDDNKELHLDLRLHAYRPCERGDGRSRDRYSCDSEREWEGWLFAFPSSLFSSAVLRSLRLSHCWLNLPDAVVLPSLETLHLTTVEDSEETIDELIAGCPRLADFTLEACSDVKRLSVVHKRLRLFALRCCHAVVRVSLDASQLRFLEYRGAVPTGSLFVFRGSSRITSFTIDFCGSSISSEKELTGFRKLLENFVSAKDLHLNSSQLGCGIGSKFLMTAFPAFHSLQKLELTGCLHSHSFTRVLQQTPNLEVLSLFLVPDPEYSPVTIPDALPPVICLQHRLKEINLVQYQGSEEQRTLAQVLLGSGLVLQTLCVVFPDELLEFPTLMNEIDRWVVSKSPKITFLFIRSYIDGPWKTISHRRNTMDFSKNSQTLPSGEQDLLSGLPDGLVGHVLSFLPAKEAARAAVLSRHWRYIFASVHTLSFVQEEGSSRYEASDNDFDYQQNNHKTRNAEFVGLINAAFSCRRQCSGGGRDPGLHAFRVKFDTFHHSLAGDVDRWLSVAVRHGGAAEVHVDARRQEQRTCVREHPKSYYEERSYRGRLGELKDESDGDDGDDFRNPETRDRAYRVPRELFSSSATSVRTLCLGSFLLDLPPDASLNLPSVETLALIWIPDSGRDIQRLVSACPRLTDLTLESCRRLRAVTVLHTRLRKLSLRCCHGARLIVDATELRALEYRGPVPDDSIFNFVGSPPTIVSWEVEFCGRTNPYGEHRFEPTEVELKNLPCFLGWLSANARWLRFGSFACMGHGIEKCNVSLPLFLCLRQLELKGTLTINSAAEAVMGILEGTPNLEILTLLILPDVEERPSWGDAVSYDPKAVIHVPDALPIIPCLRGRLREINVVHYQGRVPQRMQLLQNASALEEMYVVFPKGKYVAQTFLMREIHSWVMNRPLLKSYPASAQDLLSGLPDGLVGHILSFLPAKEAARAAVLSRRWLYTFASVHTLSFVQEKGSSCSTSSDYDYYYCKNKHATRNAEFVGLVNAAFSCRRRCSGGIDPGLRALRVRFDTFHHSLAGDVDRWLSVAVRSGGAAVGVHVDARRQEQRTCARQLYKRHYERRHGGQNVELKDESDDDDGDDFRNPITRDRAYRVPRELFSSSATSVRTLCLGSCLLDLPPDASLNLPSVETLPLIWIPDSGKDIQRLVSACPRLTDLTLDSCRRLRAVTVLDTRLRKLSLRCCHGTHLTVDGTELRALEYKGPVPDDSVLTFVGSPPTIVSCDVEFCGRTNPYAKPSFEPTDEELKNLPWFLGRLSANARWLRWDPSLKSNFSLPVFLCLRQLEVKGTLTSNSAADAVTRILEGTPSLEILTLLILPDVDERPRWRDAVSYDPKAVLHVPDVLPIIPCLRDRLREINVVHYQGRVSQRSLLKQLLQNASALQEMYVVFPKGKYVAQTFLMGEIQSWVVNRPK >Et_2A_018694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5234336:5241559:1 gene:Et_2A_018694 transcript:Et_2A_018694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKFRSGFHLGSGASYPFSSGRPSIQRRRPSPARAIPPRRRSAPAKTSAVIGDLQDPYGAAEGFSPPAAAGGAGEAADEDMRGGETRAPRRRMRGRARWRRWAGAEVGERAGEKMEASRVAICGVRTLFYNWRFWWGFCGFKIGLVRIRIRKHPGPHCISAAAAGDITAAMLRLRKCVLSHLFSPPPPSTTSISPIRSLRCLPSAAANPSPAVENYLVASCGLSQEQALQASKRIAHLKPPSKPGAVLAFFAGLGLSPADIAAVVANDPIWLHADVDKILAPRVAELDDLGLSRAEIVRLALISQTHFRSSSLRRNLEFWTPVFGSVDKLLQVLQMNPGLFDMDLEKVAKPNLALLRRRGIIVSDLPCEFVSRILPRGTKHLQAAFERADEFGIEQSSEFFPSTLSAFASLSPEKFTKNVQLLEELGWSRDDIALAARKTPSIMGLTEERIRQNLEFLVGDVGLDIPYVAQRPVLMLYSVERRLLPRHCLMNFLKAKGLLDAELGFYFFANMAKERLMKTFVTPFEESVPGLAAAFASSCAGNHQWEQLCQITEEKRKG >Et_5B_043783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15997405:16005714:-1 gene:Et_5B_043783 transcript:Et_5B_043783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLLLIRRSFAASSILSSDPAAMASILRPPPAAGPSPAVPSPDAIRFGRRRFWTAAGGGKESTFPAKGSMELLCVPGVGPRNLRKLVDGGLRDLARLKQVYKDKPVGGSAEKMVHFLQSSVGIIHKSHAESITSFVEDSVVVELKEEREMPSMPSTTEKRITFCVEGNISVGKSTFLQKIANDTVELRDLVEIVPEPVSKWQDVGPDHFNILGAFYAEPQRYAYTFQNYVFVTRLMQENESSGGTKPLRLVERSIFSDRMVFVRAVHEANWLNEMELSIYDSWFDPVLSSLPGLIPDGFIYLRATPDTCHKRMMLRRRTEEGSVTLQYLRELHEKHECWLLPFQHETRRLLSASQLPCSIDPPLHPDIKDRVFYLEGTHIHPSIQKVPALVLDCEPNIDFYRDYEAKRKYALQVAAFFEFVKKMKEASTEQNMDMEAKNQHILHPQWEPILQRRHELVNLQWRHGAVAAPMLDPEKCAWPKQQSQAFDFECLRLRLMPGPSSFGQHVKKLNP >Et_4A_032753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13422858:13427690:1 gene:Et_4A_032753 transcript:Et_4A_032753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDLRDDLELVAAAEGDDYFDFDFDDAPSAAGRSGEGGASGLQLPTCHLQFLRIVLQNQTEQMDDTSASDYKEGKDMQGIPWERLNYNRDQYREMRLKQYKNYESLARSHSGLDKECKQVERKDTFYDFQLNTRAVKSTIVHFQLRNLLWAASKHDVYMVQNYSVMHWSSLLQRGKEVLNVAGPLVPSEEVRGTRPLSRVQISTMAMKDNLMVAGGFQGELICKYVDKPGVVFCTNLTGNNNSIMNAVDIYESPNGATRVMAANNDCVVRTFDAERYNLLAQFTFPWSVNNTSVSPDGKLLAVLGDSSDCLIADSQSGKEITTLRGHLDYSFSSAWHPGGHVIATGNQDATCRLWDVRNLSESFAVLGGRIGAVRGLRFSADGRFLAAAEAADFVHVYDAGAGYAAEQEVDLFGEIAGAAFSPDGEALFVSVADRTYGGLLEFRRRRAYGYLDACFF >Et_1A_006503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23295951:23296298:-1 gene:Et_1A_006503 transcript:Et_1A_006503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEKIYYSLFGRLLCTRFTVTNAEEIIVNIVMAVEEITEILCCKAVCRTVCLTTEYLAKRGWQHNTTCPLCKSDQENVNHLLTDCPFAQHVVQYVWTLWFCRHVDSNPN >Et_7B_053428.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:18905519:18905614:-1 gene:Et_7B_053428 transcript:Et_7B_053428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMVGILEMIMGILITLTKVFFYFSSFLSQ >Et_2A_017818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6644760:6647994:1 gene:Et_2A_017818 transcript:Et_2A_017818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLHKSTGSCLKRKLDDSLTEHSKSHHVEMEYVSSQVESGPFSDPLAHRCCIKPNLADDCVNYLRSSVPSRVVFYKGGSWCNFPEQILPSLVDAFKDQKSSVVVVMDNQPLLVDFLSMTLVNLKTRKQCSVAWLDGAGKWCVPSLFFDEESSESTKLDLNAVEGSTRRITGDKVVKFPPETLNQVVHKNISPVPQNSCTADILRNMLVSVERGSERFMFVQNLFLSGMGSFAMPNSIVHIHRYSPKHITAQCRLHGFEKQIRLTSERCGAANLRYGWLGSKKKDIVRILMDGFVSTKNTTGNVDLDPGILLSPENRAFTSVGLCDVDENGVQYMLLCRAILGNVGSMTPGSHEFPSSDVHGSVADNCSNPGSYLIRTSHLSTHLCLEYLISFRLAPNVQEYLGQKGLWFHPPPKQGLVNLSNIQPIICQATEGPTSPWLPFKVLFETVQGNLSPVARELLFLHYEELRENKITRKEMVKKMVLIIGEKLLVDSLTKLDKNSPSLWYKSPAKVAIDIINTTVNSICVNTSRIDQYATPTPDCVASCDPSMPITVRTVHTSVPRGVPKKIPFLRIHTCDSVGPRLGTNGHAPLTENSASIGMKGLCLVTPGIASKVPESLPTGLVPECISAPSSKGSDASISSLSPQIQSPSILHRSCVRSMAPHLRIPSKTSQAHTQGAMLQSFEKSVILQAQYTGADTMIPVNSTPPTPSGALKGNATASTSSEEPKHHQTPELGMRAKGINGAAPSVARKSPERTANLTAEEHKYSTLSMLPDSSFRLHEFAGYITMSIH >Et_2B_022314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17765586:17767587:-1 gene:Et_2B_022314 transcript:Et_2B_022314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRISGQTHHDLNTQPQSSVDAILRELLPHSDQKRDKATFLLEVIEYIRFLQEKVNKYEASFPEWNQENAKILPWSKGQIPGDALPDPAQFTRNGSSPGFNFTGKLDDNHNTVTSAAASGAQDQTETDHIMWHLSANPSGQINLGKRAAKRPAAGVSSKEPTETQPSSNHETGHQLTMLGSGAEAPSHATKRHKPGNS >Et_8B_059172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14834169:14835478:1 gene:Et_8B_059172 transcript:Et_8B_059172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATTAFLFAAAIALLLVGTACAESDAPTAAEAPPDVVGDLTLSNKLKIIAGVSILVSGAVGCSLPVLGKRVPALRPQSDLFFLVKAFAAGVILATGLIHILPDAFEKLSSESLAASPWRKFPFAGLGARIGAMGTLIIDSHDTDEAAEKATDDVDVVDVESQVVHVHGGGSGGHQLGAHTHATHGHAHGGGGDDDATGQTLRHRVICQVLELGIVVPSIIIGISLGASQDASNIKPLTPYVSTSYLRESASAAASLRLRSIVTMVVFFCLTTPFGVLVGFGISSRYNENSPAALIVEGLLNSVGAGILIYMSLVDLLAEDFKNKKVQSKGKLHLGVNLAMLVGAGLMSVLAIWAY >Et_7A_052792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16452091:16453033:-1 gene:Et_7A_052792 transcript:Et_7A_052792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGISMAVAPRSDPDHRSEHEPAAAMLGGVMGSLRVIELQLVAFIMVFSASGLVPIIDLAFPVATTLYLLVISRLAFPPLHRKLEAARSPAASQEIFRGSKYACSVSLIALFILESTNRLFQAYVVVGTTVGLFLPLAHVLGGFARGDDAAVRSATPHLFLLSCQILTENIVGSLGAFSPPVRALVPLLYTVRRVFVIVDWVYDVWANRVLTRAATTQERAWVWFGRYLAVANLLYFSANLFVFLIPKFLPRAFEKYFRMRDEAYAKTAEDRPARERLAREVGDDVAAAAKPVEAKKAD >Et_4B_039128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8063083:8063656:-1 gene:Et_4B_039128 transcript:Et_4B_039128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTATGAGSKLKKGAAGRKAGGPRKKAVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAIRNDEELGRLLSGVTIAHGGVLPNINPVLLPKKTAEKASSGGSKEAKSPKKAAKSPKKA >Et_3A_025292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28652172:28653429:1 gene:Et_3A_025292 transcript:Et_3A_025292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASANALLVVLFSAFLAHRAAGDYGAWQSAHATFYGGGDASGTMGGACGYGNLYSNGYGTNTAALSTALFNDGAACGSVPCVKKGGIRFTVNGHSYFNLVLVTNVAGAGDVRSVSIKGSSTGWQPMSRNWGQNWQSNSLLDGQSLSFQVTSSDGRTVTSNNVAPAGWQFGQTFEGGQF >Et_1A_006579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24875987:24884167:1 gene:Et_1A_006579 transcript:Et_1A_006579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTPASIVTVRHVAGWAVVPVGQLPPEFVEDVQAILLPDGTVLYPIHRRGPHQGAGPAGAKVLGAWPRRCSRSGCAEEYVGPWRFCSLRCRHRRPHHAGIRTIQRRAAGGSLPLHGLLGEATAARRRRAASWARGRRGAAPPAQTGPHLAEEEVAALEQVSISSMALVVMCGQPCSGKSTAAACLTAALHSFSPNVTVRTIDECSLHLGRNDSYKDMVVEKNLRGVLRSEVDWSVSRDSIIIIDSLNNIKGYRYELWCLARASGIRYCVLFCDTEMDHCREWNSKRQEKGEPSYDSNIFEDLVTRFEKPDRRNRWDSPLFEYEIVESSPVIAEAVSYLTKKVESKTRDVRVLQPTIATQTVRTTESNSLYEMDKATQEVVNAIVEAQSGVGLPELRGLRRTFIKLAGQYSLSGPPPPTDADSAKRMFVDYLNREVGA >Et_7B_056004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9045709:9046800:-1 gene:Et_7B_056004 transcript:Et_7B_056004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTRVMGRYSIPNWEISGFVEYIGSGHKQDDMILPVPEGNWAVRLGNDATIRQRLSVIRRAYYSITFTAARSCAQAEKLNVSVEPDFGVLPVQTVYTSSGWDSYCWAFKAKHSSVWLSIHNPGHEENPACGPLVDFIAIKMLHQPPHTPGNMLKNGNFEEGPYIFPDVPWGVLVPPMSEDLYSPLPGWTIMSDTKVVKYVDAAHHAVPCGAYAVELVAGRECAMLQEVKTVPGRSYKLSFAVGDGANGCDGPLVVDAYAAHGTLKVPYESRGTGGHKRAELEFVAVENVTRVVFHSSNHHMKPDATLCGPVIDDVSLVPVHAHKHP >Et_2A_015109.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:30225853:30225975:1 gene:Et_2A_015109 transcript:Et_2A_015109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNMLSSEKEVAADVPPKRRKRPFWRLPPWFIRSPHTAAQ >Et_6B_049907.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:17360930:17363047:1 gene:Et_6B_049907 transcript:Et_6B_049907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKHLWEAWGEWEIRVLVLSSLTLQVFLLLAGGLRKRIAEWWLRVPLWLAYLLADFIAVYALGNLSQNQRLCDKSRDGELHLLVFWAPFLILHLGGQDTITAFAVEDNELWLRHLLSLVSQVVLAGYVYWKSRPGAVRLVVPASVMFAAGVTKYGERTLALRAASMGSLRSSMLTPPDPGPNYAKFVEECQSRSDAGLVARIVIVPERPPDDEGVVEVKKQAYGDLVCSAHRLFLTFRRLFVDLILSFQDRIDSLAFFRRLEMEQAFKVVEIELVLMYECLHSKASVIHGRIGRGLRAFTLAAPVVSLVIFTRAAGGVDLRGYDPIDVVISYVLLGGAIFLEFYAILLMVISPWTYADLRASSDRLRPAAEAVFWMIKFFQPETRPRWSNQMSQYNLISYCIHDAPRWYKGLMERLEWRWNFRVKTVWDSWRYTRKIPVSTRLKRLVFEQIKSKAESSTMDPKISYRKLGEHRGQWALQRKGLYQQLGWSVDCEFDESVLLWHIATDLCFYAANHGEEAASKQSEEDNSDLSLLLLPAMMSREISNYMLFLLVMRPFMMTASIGQIRFGDTCAEAKNFFRRADEIEDEKGCADRLRAVDTSIAEPRDVKGDRSKSVLFQACKLARQLLELDGVTEEQRWRLVASVWVEMLCYAAGKCGGTAHARQLSQGGELLTVVWLLMAHFGVGDQYRVESGHARAKLVVDT >Et_8B_060682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4836570:4839875:-1 gene:Et_8B_060682 transcript:Et_8B_060682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRPAAAAASGSASDASDAEADAGRHRRRRHSPSPARSRSRSKTPPREPRSNAAARSPTPASAGADYASDSDADGRVQSPRRRRERSPSPRSDSDADAAAAAAAAAAGRVPSPRRNRERSPRLYSDSDSDTNAEAAAAAAAGRGGHVSSPRRSRSRSPRLHSDSDPDNSGASEDGGEGNVSPMPRARRSTRIETSNIKPVSSRLMDEPRRAAAAAASSQRRSKRSHRSPALPSAEYHKRPPRVWSPEDEVTILRALIAFRGKKGRLPASIKDTAKLESQIRGQLTAKASTTQLSDKIRRLKHKYKLLAIRAKKGREPDLPTDHEREVYELSKKVWGSISIDGFNEVGASQTHENAGGEESNEEQEIEESDDGMDSGWDDRDRTTNKKLKPLRIENGNRSAIAGNGNALATGGKSRTNQGDGAGKDDAVKGKQMYPYLWEAVEELSKDHPSGQIFRKAFGVLEKSKARAIEEKLRKFRMSEIRQQLHRMDLMKETVTMVLDALEESVFCQLGEFLMIIRDIDMRLGHFPLSLAQIDTGFELKC >Et_5B_045219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12211856:12217047:-1 gene:Et_5B_045219 transcript:Et_5B_045219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDGIVIVAVVLLLLLLLPTVLLGLTTLRRRTTLRSSPPTLPGPAIAFPLIGHLHLFKKPLHRTLARLAERHGDVFGLRFGSRRVVVVSSAAAARECLGERDVVFANRPRLPSGKILSYDWSTMGTANYGPYWRNARRIAVTEIFAAHRVKHFSDVHERETGAVAKRLYHHAGGGRVKVELRSWLFELLMNTVMGMICARTYYGKDGKETAEVSKEALWFREMIEETMALSGASMVWDFLPELARWLDVGGIGQRLWRLRESRTRFLKRLIDNKRKEMLDNGSSTRRTMIGVLLDLQNKDPETCPDQLIHTLCISTLQAGTTAPADTVEWAISLLLNNPHVMMKARHEIDAYIGKPIRLLKSTDLPNLPYLSCIIMETLRLYPPTPLLVPHESSTDCTVGGYHIPKGTMLLVNTFAFHRDPELWDKPENFIPERFEDVDLEGRMLIPFGSGRRRCPAENLGMQLVGLVLGTMIQCFNWERLGGELVDMAEGSGLTMPKKVPLEALCEPLRGQHLGWTDEGDDGQTGCLEMALEEFLVLDDFWSLDG >Et_1B_014017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27573813:27580782:-1 gene:Et_1B_014017 transcript:Et_1B_014017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGMNLGAEELGEIFRSIILTPNEPEPADSTLRFTPHAMLLLHCSPRLLLRLSPSQRLAAAPFTLSARRIGRSTAIRAEPEPPPTSAAEAPDNVAGTSGGDGDGEGPVELRAPTLFSIDDNPTPLQTATSVLLTGAISVFLFRSLRRRARRAKELVSPPPIPSSLYTSLIRISNRKTTDAFLGMVNCLLQRVRSSGVQKKPNNLSDEALEALRLMSASPVEADKPPSPVQVLLGGIAAGVIAVILYKFTTTIEASLNRQTISDSFSVRQITITIRTIITGVCYLATSVFGINAVGLTLYSLQLTFASIMDDDPKGATGNSSEQSNTMASSDSSRSNSESASSDLQRMSDKSKNSTE >Et_3A_023311.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:19896252:19896398:-1 gene:Et_3A_023311 transcript:Et_3A_023311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGVYAAQNYNIPNVKEVFNTYVLLAKYIEKTYRKPKKNDDNLCRLA >Et_2B_021547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30497452:30498410:1 gene:Et_2B_021547 transcript:Et_2B_021547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDYIIRNGNRAAEEQVEQPALPGPQDAAPDEDAKENVSPAEAEEEAEDDGELPAMKFRNYLPHDEQLRGGKLAPVSLPKFEDPISAESAEPKQLENPFGNIAPKNPNWDLKRDVQKRIDKLEKRTQKALAWSNRGRKKHWRKHKTDLLVQISGGGGIANLSVPVEFSL >Et_1A_005262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36241829:36242950:-1 gene:Et_1A_005262 transcript:Et_1A_005262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLTGSRLLRVDHGVREDRELMDAARKALAMAIALGEATAHVALGMYGAVGVLNGGLVVLQLVSASAVVVFLEDLLEKGYGLRGASAISLLSATNTCGKVVWHALSPVNMMNLLATGVVMLAAVFLEGFRVLLPLQPRDGRRGATATFPIKLLYTSTMPVVLHSALVSFLQMVSQLVYYSRYGGGVVARLLGSWKETSYAAVPVGGLAYYVTPPSGLAHVAADPLHALFYSALLLTSCALLSQAWVERLAVPGARDGAVYSQLKRYIPTAAALGGLCVGALTILADVTGAIGSGTGILLAATVVYNLVDNFKTESRTA >Et_5B_044684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4683700:4686859:-1 gene:Et_5B_044684 transcript:Et_5B_044684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATSLLLRRQRNLQAPHLLLLRAAISSSRALLQQPALSPDSTAPDPVGTAPLPPNPSTGSPFYGENWRNPAAANPPSSLLPAVVAGGQFAAHSHMALYSATLDAAGLKETFAKSMAEQKWEEMKQLFEVWVRSLDPATGKPNRPDVDLFNHYLRANLMSGALPHEMLDLADHMREFDIEPNTASHNLVLKSMVGAQEADGAEKLLERMLQTGTLPDDESYNLVVGLLIRQNRVDSALKYVDLMLKSGYTISFAVFTDYVRACLRSGRLDTLASVIEKCKATDKNKVLCPQWAMCLDISEAAFEANNSKLAHFSLEFLARWIARGETAKPPIQLSVNEGLVISALSAAGRTYSTDLLNAAWSLLRKSLRQKRAPTPEAYLAKIYAHSSIGQLQRAFGTLREFENAYGNSEDIDLELFSPFTSLHPLVVACCKSGFTTLDSVYVQLENLSRADPPYKSVAALNCVILGCANIWDLDRAYETFEAIKQKFELTPDIHSYNSLLYAFGKKKKTDEACNVFQHILSVGVKPNATTYSLLVDTHIVNRDPKAALAVIDQMVDAGFTPSKDTLKKVRRRCSRESDFDSDEKVQSLAKQFNYRMGGENRRELLYNLQYSPEF >Et_4A_031783.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:14251408:14252553:-1 gene:Et_4A_031783 transcript:Et_4A_031783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHKLAPITSSSSKNNNKQEQPHLSGAYIRSLVKQLSSSSTARSKEHSTMGSKPLSQPEDQPQAQIAPPQQQPHKKQVRRRLHTSRPYQERLLNMAEARREIVTALKIHRASMRQAKEQQQQQQRMQLQLQQQQEVHAVQEASQAATRASAPMSYASYSDYLYNSSYAHFSAPSSYSSPPTYHTPVTPMANSEQDFGHLLPLPAQPLGLNLSFQGFSSTDTKNNTCAFEPPLLEPSPTSSYSVYSSPSVTMASNDLSAVTMENTSLAVDASLHRVLDDEEMAAIYSIGEQHDIEWSDTMNLVTSAWWSKLLESIEGKDDGTVVGQEAGGAANTMEDPLLEMPDWFSDNLGHQPTKESSSYVLGMQFSEYYHPNEDFTLPR >Et_4B_037008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13450666:13455788:-1 gene:Et_4B_037008 transcript:Et_4B_037008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEEESPAIRKLGRLFRLTEVHLWDDSYAAGANEGQRNWRSAESGLAKQFEKFQLCRDLMRIKHAIKHSNILMETFVEDLELVNLMGSLGLPVSFSTSKVKKKNVNKGKKKGIQAPFEEANTQMNDDVRICVNTEDRESDVPSMDVLEGSNSCHSSETALGYSELCNDDTEKVLSEGTLCVEKQKDSGCSTICSDEKATGCEDENKHEHGKLLDNTGNPVKPESHSQGNQASDSASQCSVEMLDHGESRRSCVGIYQEENLPMREDQIYVEPLAVPHEDSETGRQASPSSAEPFSVDGHALSSDINFYYDYGEWRVVWDPFYSRYYFYNIQTQESTWFPPEGLEDFASYCSTDTTKELAELGSQSKSVSTEENCQIDDDRHLGGQGQDHRNKLHDLSNIPDEEPINQSVITTIDEAQHAGKKQNGSMTQVLEMVQEVASTKKKRRVRRSQSYHSYQDMEAYISNDIIKYWTHRYSLFSLFDSGIKMDEEGWFSVTPEVIAKHHASRVGVGVTIDCFTGVGGNAIQFATKCNHVIAVDIDPQKIDCAQHNAFVYGVKDHIDFVVGDVIRIAPHLKGDTAFMSPPWGGPDYAKVDIYDMKSMLKPCDGYSLFKLGTMIASRIVMFLPRNVDLNQLADISLSVDPPWAVEVEKNFLNGRLKAITAYFEKQDGLGAHDHW >Et_10B_002496.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:11615367:11615489:1 gene:Et_10B_002496 transcript:Et_10B_002496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELLRVFREERHLWGLAGARGLREWVRGRRKQLVRYLAS >Et_1B_011769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25886062:25889674:1 gene:Et_1B_011769 transcript:Et_1B_011769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRKQASKFREQVARQQQAVLKQFGGGYGADGVFADEAEAQQHSKLEKLYISTRAAKHFQRDIVRGVEGYIVTGSKQVEIGNKLCEDGKKYGTENTCTSGSTLSKAALSFAKARSLMEKERGNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQMKLRETSGNGDMISRLEAAESKLQELKSNMGVLGKEALSAMTAVEAQQQRLTLQRLIALVESERNYHQRVLQILDQLEREMVSERQRIEGAPAPAVESSMPAPPAYEEVNGIFMRNPTVAELVETVEYFLAEAIQSYRAESETELNLSAGDYVVVRKVSKNGWAEGECRGKAGWFPSDYIEKRERVLASKVAQVF >Et_2A_017291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32838424:32842674:-1 gene:Et_2A_017291 transcript:Et_2A_017291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRPRIRAVPLRPLLLVLLIPLIYSVSRLHPWTPEKGVCLPPPAAPKRPNHLVLGPAAGQGRPNRLQCRGLKALNKIGRSSYGNHSGDQISFVTVFTTYSFGPPGDSKVPSDPVTVGNHTYGKIERSMAILNTFISFIQVSMPKSNVIILTDPNSKFSINQGSATLLPIEGNYSRGNLMLQRIKSYIAFLEQKLAESETIEGFNHFVLTDSDIAVVDDLGHIFRKYPHCHLALTFRNNKGQPLNSGFVAIRGTRDGISKAVAFFREVLETYKLKYIKASRMLGDQLALAWVVKSHLPSAFGKFSKHDPFTGEVNGASVLFLPCAVYNWTPPEGAGQFHGMPLNVKVVHFKGSRKRLMLEAWNFFNSTSENACSLEAFRVKQGLTLKGLLVLNHGADAFLLGLIGDVNLGPLIELHVVDLIHDKLGDLLATEDPETLHLEGGLGANHEHLAKGILAAQIHPLQEPTNLVHGYEGDCVLIIVLVVTEPERVTLWVIHVPET >Et_2B_020641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22199257:22204802:-1 gene:Et_2B_020641 transcript:Et_2B_020641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESREERKGSGDAVAVAAGGVWTPGMNLKNLVSREYYGHKKKVHSVAWNCLGTKLASGSIDHTARVWTIDPHGHSKDKNIELKGHTDSVDQLCWDPKHPDTVATAAADKSIRLWDARSGKCQVVELSGENINITYKHDGTQIAVGNKEDELTILDVRMLKVYNNKHKFNYEINEIAWNKTGDLFFITTGLGHVEVVKDLNFQKTCKLNAHTAGCYCIAMDPLDRYFAVGSADSLVSLWNVKELLCIKTFSKLEWPVRTVSFNHTGEFIAYASEDPFIDIANVQTGRSIHQIPCKAAMNSVEWNPKYNLLAYAGDDKNKYQADEGVFRIFGFETHN >Et_5A_042150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6815903:6824331:-1 gene:Et_5A_042150 transcript:Et_5A_042150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMISFCFLHYDWYTSLVATHSPRAAANSSSRILYTYDTVMHGFAVRLTGDEARRMSNAAGVTGVHEGRQFRTHTTRTPGFLGLDPGFGAWKDTDLGDGVVFGFVDLGVWPESPSFNDSGLGPVRSSWKGKCVGADDFDASLCNNKLVGAKAFRADTEGVPLSPRDQAGHGTHVASTAAGSEVPVPGQGSARGVAPRARIAIYKTYLEGTTADTVAAMDAAVKDGVDILSMSLGEDPRAFDNDSVAIAAFGAVRAGVFLVLSGGNYGPIDSTVTNVAPWMTTVGAATVDRRFPANLILGNGAVLTGQSLYSKGPVMLPLVLSPCLNESDLIPKTIMGKIVVCMVPDADHDPGLVLVDDNSWSLDSVYAVAFTELPGITLSSTEGDKLRAYMASVPHPVASFSVASETIIVGENRAPTVATMSSRGPNPVVREILKPDLVAPGMNILAAWTNGAYQVDSGTSMACPHVAGVAVLIKKKHSNWTPAMVRPRRHSTTPDARSSTAPSQTTTLPPRLQRLSPPVQGTSAHSWPWTRAWCTTPACGTYVDFLCALNYTTEKLRLFAPDMTTCTTTTLPGELNYPSFVVVFHGRGDNVRTLTRTVTKVSEEAETYNVTVVAPRHVKVTVTPATLEFKEQYEKKTYTVEFRSDAGGSEWEFGHIIWENEKHQVRSPVAFMWEN >Et_9B_065018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20531678:20537079:1 gene:Et_9B_065018 transcript:Et_9B_065018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEQHFCPDCRRATEVVLDHATGDTICTECALVLEAHYIDEGSEWRNFADDGGGEDRDPSRVGGPNDPFLPENHLSTRIVVPGPVKHKSGEGGAAAVLPRMRIVGDPDPDQSLVEAFRAIADMADRLGLVATIRDRAKEVYKKVDEAKQCPRGKRRDVFYAACLYVACRNEGKPRTYKELATATTAGAAAKKDIGKMTTIIKKVLGEEDGQVLDIGVVRAADYLRRFCSHLGMGNQEMRAAQEATRRLEDGLDVRRNPESIAAAISYMVVQRAGANKTVKDVSMATGTRLAPNCCATRHASPKPPPRVPSPALRSVDRSMEYSAPSPIGMQRAEETTRMRGAVPIPGNMAGGSAVLPHWMRGALQVGTMMPEESISPPQSTGYPAAPAETHGAEETAPPRMRGALGEGSAALPPWLRGAVPLPGKMSEESDLPAARAAAPPAEKKATMSEAFEAIAAMAGSLGIPAVARERAKDVYRKMEERKGNGHHYYSKGGGRSADAMYAACLYVACRSEGAPRTFKELAVATRDGAASRKDIGKLITLIRKRLGDEAGGQAMDIGVVRAVDYMQRFGASLGMEEWEVGAAKEAARRLQERLDVRRNPDSTAAAIIYMAANRHNENSKSFREVSIASGVAEGTIKQAYRELCPHAELLFG >Et_4A_034549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4241455:4242776:1 gene:Et_4A_034549 transcript:Et_4A_034549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFAQAGGGHFGYGRVDSPYALPWCDQLPGFGFGEAFAGDWDHHHHHELLASAAPDVDEWDAVDSARDQLSSEASTEGNKACRSEPAAAGRRKRRRTKVVKNKEEIETQRMTHIAVERNRRRQMNEYLAVLRSLMPPSYAHRGDQASIVGGAINYVRELEQLLQSLEVQKSIKSRASCPCTDAATSPFAGFFSFPQYSTSTHSPASSSSNTSTVVINGDATGSEPGHPAAAVADIEVTMGEGHASLKVLAPRLPKQLLKLVAGLHQLRVPPLHLNMTSVDAMVLYTFSLKVEDDSKMGSVEDIAAAVHEILSSIQLQEETAVM >Et_3A_025374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29286143:29289167:1 gene:Et_3A_025374 transcript:Et_3A_025374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQLHKSSPTHAPSSAHAPAPKAAKPARPGPRSWLGYILREQRLLFVLLGALIASTFFLLRPYLSLSPSSQYPDRRPLFSFTAHSRVPAGFRPPPRRVVVTGGAGFVGSHLVDRLLEQGDSVIVVDNFFTGRKENVAHHLRNPRFELLRHDVVEPILLEVDRIYHLACPASPYKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKESYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGGGVAVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKQPMTVYGDGKQTRSFQYVSDLVAGLMTLMESDHIGPFNLGNPGEFTMLELAQVVKETIDPMATIEFKPNTADDPHMRKPDITKAKQLLHWEPKVPLREGLPLMVTDFRQRILDE >Et_5B_045604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5354100:5358600:1 gene:Et_5B_045604 transcript:Et_5B_045604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLQKSTNPILREPPPPSLGSGLNSCFGLIPCMRAGPQQTTSRRVADRKIARFEKNITKRGAVPETIKKGDDFPIGYILLGFLVFVLSFRSSRQPQMLAYFEENGLKMLGRRNSTDGRPPDSGKQPARRALNKYAFATALLSSATPLFLGYDLAVVYSTAVMAEADLKLLACTVALSSLLGAIAAVAAHRLIGDRRTVLLSTAALCAGALARGLAAGFAAFTAGVFVNGVGMGLLLTVVPAYAAELSPSSVRSVLGSHPDGLVYLGCIIGSLCYSTGLSKLPPHVAWWMAVASGTAIPALLSYAVLLMPDLPRWLVARDEVSEARRVLARTSATLEEAELRFLEIKDELGSTHDGSPDEPVTVSAIRSGWTKEIATTWRELLARPTEPLRRALITALVAKVFQQASGIGSLVQYVQRAFRDAGVSSRAQVPRALASFGFVVVVSLSLSLALVELGWHLVRAALAAGGGCCRGARRAAPSSASSSRRGSVGGMTRRQEQLRWARGLSATTLLSLVALAWIALGPARWEDASSSPSPRGCPRWLRATAAKVNEAVSSAILACFARVYEFSAVYGNLVACPAAAALVWVCFCACLFGVRRRCE >Et_4B_037000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13309286:13311904:1 gene:Et_4B_037000 transcript:Et_4B_037000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQEHVYDAVRRSVGSIIREEKTMSSQKYSWPEVLGWPATAAAMQINKDRPDVFTEVRPISSGVPPEHNPERVCIFFEDGDSRGLVAWIPIIG >Et_2B_021517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30271156:30273354:1 gene:Et_2B_021517 transcript:Et_2B_021517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATAVVPATDAEASGRQVSDEALVEELLATVSSARSFQEFRRSQRKECFNLLRWLQLLLPLVQEIREAAPPLTDDAYRRLALLGRAFQAARRLLRCCHDGSKIFLALESEAVLGRFRAVYEKMNLALDGMPYSDIGISDEVKEQVELVNTQLKRCKKRTDTQDMELSMDFMVILQNKQDGNADRAILERLAKKLELQSLADLRAETMAIKRLINERNGQQAESTKQIIELVNKFKGIAGIDEKNILGEVSIPKYLEKCPSLMIPNDFLCPISLEIMTDPVIIASGRTYERRTIQKWLDAGQRTCPKTQQPLAHLSLAPNFALKNLIIQWCEKNKVEIQMAEPEPVVEQEDLKADIPSLVKDLSSIHLDVQRKAAKKIRTLSKENPENRALIIDNGGLPALIGLLSYPDKKIQENTVTSLLNLSIDETNKVLIAKGGAIPLIIEVLRNGSVEGQENSAATLFSLSMVDENKAAIGTMAGIAPLVDLLKNGTIRGKKDAATAIFNLILNHSNKFRAIEAGIVVVLLKIIDDSKLGMVDEALSIFLLLASHPSCRSEIGTIPFAETLVRIIKEGTPKNKECALSVLLELGSSNNSLMVHALGFGLHEHLVDISKTGTSRAQRKANSLIQLSRKCS >Et_3B_030888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8288544:8288841:-1 gene:Et_3B_030888 transcript:Et_3B_030888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEVVVCPPDHPSSPSTNKNKTSRFCDHSSLCQSARLAQSKVLKELSFVSSDGMLDKDKIQEYADCLKQLLLSDLLMSLMSLKDRDFWDVVAGIPLP >Et_5B_045151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10074581:10076749:-1 gene:Et_5B_045151 transcript:Et_5B_045151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVRRAGAAQRQTRLRARRATSWGGAATVEIAGTARDLKTGGEIRGVSSTKVMQFLFFGLLASEENPPPELLPLLPAVHDALYSRLHRIPSDHDLFAVSIRNAVGSFTPTLTATPSTSTASFSLRNASVQCGHVTMGSPAATASSMEFHPQCVTNPPTAAWDRASTCGAHPLTMRPRPATRASSSRRDARAVSSYWPASLRTQTNGWPEASSPRPSSTACLAPGCSRLPKLT >Et_6B_048393.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18308741:18308986:1 gene:Et_6B_048393 transcript:Et_6B_048393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLVCEESDRNEVKRCLKIGLVCTQEKRGLRPTMPDVLEMLDGNKEIKTPEQPSYLNVQKGQPIDFWTSVLGPLCVRKKN >Et_2A_017352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33555445:33558721:1 gene:Et_2A_017352 transcript:Et_2A_017352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAVVGGQRRWRPALVPAARAMASWFGHVEPAAKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGRPVVLECVREAERRVAGNANMEYLPMGGSVKMIEESLNLSYGEDSEFIRDKRIAAVQALSGTGACRLFADFQKRFLPDSQIYIPTPTWANHHNIWRDARVPQRTFNYYHPESRGLDFAGLMDDVKNAPDGSFFLLHACAHNPTGVDPSEEQWREISFQFKAKKHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCDDEMQAVAVKSQLQQIARPMYSNPPLHGALIVSTILSDPELKNLWLKEVKGMADRIIGMRKALKENLERLGSPLSWEHITNQIGMFCYSGMTPEQVDRLTSEFHIYMTRNGRISMAGVTTGNVAYLANAIHEVTKPN >Et_7B_055618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1735218:1736965:-1 gene:Et_7B_055618 transcript:Et_7B_055618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANTNTVFIVFIVILVIVIVFLLGVCWKFLRPEIMRKLLRPRSPGSEVPEYFSGNMSGNLRTITYFDYATLKRATRDFHQKNQLGRGGFGPVYLGKLDDGRKVAVKQLSVGKSGQGESEFFVEVNMITSIQHRNLVRLVGCCSEGTQRLLVYEFMRNKSLDKILFGGEGSPFLNWKTRHQIIIGVARGLQYLHEESNLRIVHRDIKASNILLDDKFQPKISDFGLARFFPEDQTYLSTAFAGTLGYTAPEYAIRGELTVKADTYSFGVLVLEIISSRKNTDLSLPNEMQYLPEHAWRLYEQSKILELVDPKVQADGFDEKEVQQVCQIALLCVQPHPDLRPAMSEVVLMLTMKNDQSIPAPTKPAFLDRKSLKDKNVTSDTAAEMRSGSYWLYTPSPMVDKPCDMSFGI >Et_1A_009179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3227589:3229527:1 gene:Et_1A_009179 transcript:Et_1A_009179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGKAKSSKAEGARCRRHPRHRQGAGVCASCLRDRLSHLSLSASLPSVVRGCGEEPDEVASSCSEASTAYSSEESSGCSSATGCASPGFHFHDEARRAGRVSLLMRHERVVGDADAVAAFLQARREQKRTAAATSFWAKLLQATRGGGAKQSNSRQDDGCSMAHSKTTLQERVAAAKWIHVSNVVIIIIIVKIIHRHIWRTPF >Et_8A_057477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3427223:3429280:1 gene:Et_8A_057477 transcript:Et_8A_057477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVDAAAFGSVDGVVGEIMRLHRSLPARPSLEEVEAAEALARAADREERARLDAVARLLRPPAVPDELFGIALEMHRALAGFDCREQKRDATRLLELDALHGLFDDLIQRASQCVPSSSTRAAPRIPSAAATTSAAAAASSSSASSSAAAVAADSGSDLYSSVNTNGFGAQRTAARTTGRVSMDDSYVKKSKAPVWDGGAVAASSHVPRGAAAANSVVVQMDSGHGDGDEKLSHIKLASMIEVAAKKGTRDLNLQGKLMNQIEWLPDSIGKLTGLVTLDISENRILALPEEIGRLSSLTKLDLHANRIAQLPESMGDLCNLIYLDLRGNQIASLPSSIGRLVNLEELDVSANYLASLPDSIGSLTRLKKLIVETNNLDELPYTIGHCVSLVELRAGYNHLKALPEAVGKLESLEILSVRYNSVRGLPTTMASLTKLKEVDASFNELESIPENFCFVTSLVKLNVGNNFADMRSLPRSIGNLEMLEELDISNNQIRVLPDSFGNLQRLRVLRAEENPLQVPPRDIALKGAQAAVQYMSDYVAKKATKSQPTTKTKKTWAQFCFFSRPNKRKHDRIDTAT >Et_6A_046677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19250009:19250415:1 gene:Et_6A_046677 transcript:Et_6A_046677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TRVPHVSLQPCVTHPPPPSWTCPYNNVSGTIPAERQLHTLSPSMSDGNNGLSGPPIQRNCSGNNVPENGTQKTRTWFAEPMLFYFGLGSGFTFMKTWRLSYFRLFDRVYDKVYVFDVVKWTKKD >Et_10A_001118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21278491:21282493:1 gene:Et_10A_001118 transcript:Et_10A_001118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLATLTTSILLLLSLLSAVSSSSLPLENGIRVISAEKRVDLTGSIVKVFLTVKVENAADAASDASQVLVAFTPTEVEHLAIVKAMKVEGKRKKKAYTPLSVQALDVTTTPNGAHLYSVQLSTPLKPGEATTLEVLYVLTHSQEPFPAEISQSESQMVHYRDSAVLLSPYNVLEQVTYIKMPSNRIESFTRVDPTSRAGTELKYGSYKNQMPYTYLPILLHYENNRPFAIVEELVRKVEISHWGNVQITEHYKLKHGGARHKGGFSRLEYQSRPSISGTSSFKSILAKLPPRVHSVYYRDEIGNISSSHLRSESHKTELEIEPRYPLFGGWHCTFTIGYGMPLQDFLFESDDGRRYIDLTFGSPLLDTVVDDLTIKVVLPEGSKNPQPVVPFLVEQHLETSYSYLDVVGRTTVVLKKKNIVGEHNVPFQVYYEFNPIFMLAEPLMLISAVFLFLVSCIAYLHMDFSIGKSS >Et_5B_044573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3667448:3672519:-1 gene:Et_5B_044573 transcript:Et_5B_044573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGTRRGGNAAGRLLRLHCGTSTGGLITAMLAAPHKDNNKRPQFAAQDLNKFYLENGPKIFPQRMGIASAWIELNGSKYDGKVLHSMIQSFFGATRLSDPLTNVVILTFDVKILQPTIFSTFDIIRVTKRIGKKDHMTDHQKAQTQPLKNALLSDVCIATSSRPDDATGKTRDFNSSTSLTTASRRTIHRHKSHDWPVNLLSKVRSADHNGDDQPEISRKMILSEEFFPGGATDYDKFIVISIGTGRAKTGTEMYTAEEAARWGILSWLVSKDGYTPIIDMFSYSSAALVDYNVSILFQALRNEKNYLRIQDDALDATAATVDVATKENMAKLIQEYSRAGRRCSGRPAVSRVDMETGNLEKVPDEVTNAEVLTRFTEEPRKRVTVLTIDGGGIRGLIPGTILAFLESKLQEIDGPNARLADYFDYIAGTSTGGLITAMIAAPDKDKRPLFAAKDINKFYLENGPQIFPQSKVMEVIVVDCRPDFVNTLLEVKGPKFDGKFLHSKIQSLLGDTKMRDTLTNVVIPTFDVKNLQPTIFSTFDVMHR >Et_10A_002288.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:7162228:7162602:-1 gene:Et_10A_002288 transcript:Et_10A_002288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLALALLLLLAVASASVSASALSGGAGDHLDLAFLSSGAGGRKECRGTVAECLTEEEEEQLGSAAAEGHRRSLAGRNYISYGALRRDNVPCSRRGASYYNCRPGAQANPYRRGCSRITRCRG >Et_1A_005803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14172791:14173964:1 gene:Et_1A_005803 transcript:Et_1A_005803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VIPMTGMIQHYDLLEKVVGEGIKMNSNALLLRRSYSTFRPVLGDGECFYRSFILSYLGGMRNTASPLLLKKWPDNMRIGWTSEFSNSRKGSTHLFDTWHF >Et_2B_021818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5798485:5800070:1 gene:Et_2B_021818 transcript:Et_2B_021818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIKPILEMALRETYKNILPRTMVVADLGCSSGPNTLHFVSEVIDTIDRHCNKLNQRNDHKSKARTHMSEALPPYYICGLPGSYYTRLFPCQTVHLFYSMFCLHWRSQAPEGIEGIRKTPQDGDIYITKTSSPLVVKLFQEQFRKDFSLFLKLRHEELVFGGQMVLAFIGKKDEDVLSGDSNHHLYGLLAQSLQSLVEKGLAQKEKLESFYVPVYSPSVREVEEVVKQNPYDDDLESNVLHDSVQSGENVAKCFRAVMESLVASHFGDTIIDMLFAEYASRVAKHLTEDKIKHAIIVVSLKKIF >Et_10B_004355.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4740279:4740761:1 gene:Et_10B_004355 transcript:Et_10B_004355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSKLSAALLLALLAAAAMPVALADGGCGRKVTIQNLSGRDLLLHLESLANSPLFFPASGYLLRHGTHAEFPVCIWTGRLHAPDAPTAEFHVGPDGGAWYMAPVNQATPVRVSVTPHGHLSGHCPAVGCRNAGVCFKDQVPGGNCHHVDELKFIYYNPQ >Et_6A_047044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24524996:24529239:-1 gene:Et_6A_047044 transcript:Et_6A_047044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLSHCRPHHQVRLHHRAGPGRPWPASASFAPLLRRRSARAHAMSAEAPLGVAPAEPGAGTAGQAAVLSEMVEDAAVWCAVHGLVVGDRDNPRSGTVPGVGLVHAPVSLLPARFPTSFWKQALELAPLFNELVDRVSLDGEFLQESLSRQVLDSVDDFTARLLEIHEKMMAINKKEDIRLGLHRSDYMLDSETNSLLQIELNTISTSFPGLGSLVSELHRNLLIQYGKVLGLESERIPRNWAASQFADALARAWSEYKNDRKTLAQVEAEGKINTDGTLVVDGQIVSVVYFRAGYAPNDYPSEAEWKARLLMEESSAIKCPSISYHLVGTKKIQQELAKPDILERFLDNKEDIAKLRKSFAGLWSLDNEEIVKSAIEKPDLFVLKPQREGGGNNIYGHDLRDTLVKLQKEQGESLAAYILMQRIFPKASLTPLVRGGVCFEDLTISELGIYGGYLRNKDKVIVNNPSGYLMRTKVSSSNEGGVATGFAVLDSVYLTDEVTIHSCDIFHQLYLLRINMG >Et_8B_059411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17753968:17755613:1 gene:Et_8B_059411 transcript:Et_8B_059411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVLAGRNEVHHAHPHHRPWAGGVRGAPPIPKPSSNPRRHRPGPNPNPIPPPAVPWEAPAPPPEPSTSRFVRIHLSGMTPFEARQAQARLASELGRVRALLTRIDSWQQDGRRRGAPEPEPEPEAGPRQRHASPTPPALRAAMLKRCGQILTRLRKQKISGWFNRPVDVVGMKLHDYYAIIRNPMDLGTVKENLTGGRYPSHEEFAADVLPRMGGGRRPKPKAREPNKREMDEEEKQKLRMEIENLPDEKMGNVLQIVQKRSTDPALMGDEIELDFDEMDVETLWELDRFVVNWRKAVNKTKRTGVVNGDSVDVTIVPDDEDMVQPDVKPPVVVKIGDSETDMVERATEADEYVDIGDEMPTVNYQSVEIEKDTQVASSSSESGSGSSSSSGSDSRSSADSDSDADDARSLD >Et_9A_060981.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:23957202:23957650:1 gene:Et_9A_060981 transcript:Et_9A_060981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMLRSSAVGVARLRSTSSITAAARLLRSAAADPSSSASLRLRRRASTTATPTAAHTTTVVPTAAAFPIAAAYSLLTAATLTRPIREQHELRVLDPRPTPMNPFFLRPVLRLRRRKTGSH >Et_8A_056058.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:14671187:14672424:1 gene:Et_8A_056058 transcript:Et_8A_056058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDPPLAAAEGAGTRTVRVAPRACFRRFLEQWHRPPDSACAVAAAAALGAAAEQGCLRFGRGGLPEETARLWPLDTLPSPPAVTDDRNEVDVADTAGCAFAALVAGFVKSSVRLFRGATAGAGAGRGEAGGDSGCTTAGARRKRAWPVRSRRLMTRRKRETAWSAICPSGWCCCSACGAGEREDARSSRLRSPAAAASWNARCSPAADGAVKARPSRSTAMAGWHRRGPSRGGGGETARVHAVVVLPSSWLVLRVGLRGLLKRGRWEWVGGWGW >Et_2A_017970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8488728:8497935:1 gene:Et_2A_017970 transcript:Et_2A_017970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKERRLAAMAASGRRVKLDLFLDPSPGEASLKEGIGGENRDQQTVVPTSSSSGKKENPLALLGQYSDDEEEDEEAADQSAGETKGSPGDANAKITHEHGDTACDKGNAHTAQLAAVCEEQDTPQVGDIKNCRNVVEENTVAPELAQVTESTIATEDIHDTSGMQIVGDLGGNWKAVMHEQSNQCYYWNTVTGETSWEMPNGLTSEIASDGVASSSVPTTHMDYSLEAQAHAVPQNTLEVYPSDMSAVNGTSTYATFGMDCTIYQDAYAYTAAATSHADIDPLQLAKYGEDLLQRLSQLERFHGSGEGLELIRREIGIRISDCNTLSSYGSSLLPLWLHAEVHLKQLDSSISKLETSDMETRCSKTEEKETNETDMTAPSNGEDLKLEASAVVIAGDNVKIEEPAPTSSAQNSHDKDAEAVSSKVEIDSDEDMDVEMEVDEDDVEEQVNSSCATNNEHPMSEEVHSPSLSPGPAPPENNDVPPPPPEEEWIPPPPPDSEPAPQPPPEEPVTSYVHPDTISQSYIGEANVGYTLAGMEYYAAVGTDGTNATYYVQSSEPYAPQVQQHSYYAPVSASCISVPVDGTSIVPESYYTYPSVPTAAGGIAAEHSGYYASSVSAISSSAVDIKSSASLVSASSNSDPTFKGSDKVMSKDPGVPPLSQAATSAAETTSMLGSSTHASTSTTNQTKVIRSKKRAVAVTSSLRSNKKVSSLVDKWKAAKEELRDEEEEEPEDALEALERKRQKEIEARPCETEKSRSRKRVKRRVSLRFFVKAEQQKGQPDLAELSKGLPSGWQAYLDEYTKQVYYGNSLTSETTWVRAKESIILHLKKLKRHSTFKFDEASNTRNRAQHT >Et_2A_015005.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:25889556:25889678:-1 gene:Et_2A_015005 transcript:Et_2A_015005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAPGWPSGRIAASVVTSSTSILAVAAEEALFSLAALASS >Et_6A_047834.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:19769947:19770150:-1 gene:Et_6A_047834 transcript:Et_6A_047834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASNGMPRSDAVIEGVGSFRAKQGMATAASSGQGGYETGKMTTMDSRPTAPGNSPGIGHIGDIIN >Et_4B_039741.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28271788:28272204:1 gene:Et_4B_039741 transcript:Et_4B_039741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHPRDGGDAVTLSLSLALGGEAAAERARKQPRRADGEFVCKTCSRAFASFQALGGHRTSHLRGRHGLQLQAAAAPSKAAAANNDNKPAAATTAHECHVCGQGFEMGQALGGHMRRHRDVIAGVAAQAPPVLLQLFV >Et_9B_063933.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:355807:355830:-1 gene:Et_9B_063933 transcript:Et_9B_063933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVEKQ >Et_1B_010894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15167341:15169554:1 gene:Et_1B_010894 transcript:Et_1B_010894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAAARMLPKAVTFVTGNAKKLEEVRAILGGSVPFQSLKLDLPELQGEPEDISKEKARMAASKVNGPVLVEDTCLCFNALKGLPGLNNLLKAYEDKSAYAMCIFSLALGPGEEPITFVGKTPGIIVPARGPSDFGWDPVFQPDGFEQTYAEMPKSVKNQISHRGRALALVKEHFASANYTIQNDGSA >Et_3B_030999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9793564:9794464:-1 gene:Et_3B_030999 transcript:Et_3B_030999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWMEWCYNAEQLFEERERHTSGSRHTHTLQPVDIAGLPDDTVDRDGILQSDFFDTSREFLNLCHGNHYQYDTIRRAKHSSMMVLYHLHNPTPKPVTTCSFCRRCDSNFGQGWKCQDCPGFDVCMACYINGATDHLHKLLNHPSIVDHDIQTMEARRLLVVHELLQSKPFTSNICIN >Et_3A_026616.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:10675417:10676601:1 gene:Et_3A_026616 transcript:Et_3A_026616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALESFLFTSESVNEGHPDKLCDQVSDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKATVDYEKIVRDTCRNIGFVSDDVGLDADRCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGAKLTEVRKNGTCAWLRPDGKTQVTVEYVNEAGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVAAGLARRCLVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILKIVKENFDFRPGMITINLDLKRGGNRFIKTAAYGHFGRDEADFTWEVVKPLKFDKASA >Et_1B_011600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2460362:2463504:1 gene:Et_1B_011600 transcript:Et_1B_011600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVERHLQTPHPWPSNAPSKSFDLFSPDRRRPGPDSDSDDEDNIPPDWRALYRPRLEVEPPVQDPRDEATSDAWVRRHPALVRLTGKHPFNSEPPIPRLMAHGFITPAPLHYVRNHGPVPKADWSTWTVEVTGLVKRPAKLTMEQLVTEFEAVELPVTLVCAGNRRKEQNMVRQSVGFNWGPGAISTSVWRGVRLRDVLRRCGVMAASAGAANVCFEGAEDLPGGGGSKYGTSLRRAVAMDPARDVILAYMQNGEPLAPDHGFPVRVIVPGFIGGRMVKWLKRIIVADKESESYYHYRDNRVLPSHVDAELANAEAWWYKPEYMINELNINSVITTPGHDEVLPINSLTTQRPYTLKGYAYSGGGRKVTRVEVTLDGGETWQVCTLDHPERPTKYGKYWCWCFWSVEVEVLDLLGSKEIAVRAWDEAMNTQPEKLIWNLMGMMNNCWFRVKINACRPHKGEIGLVFEHPTQPGNQPGGWMARQKHLETSSESAQGTLKKSTSTPLINTATTQYTMSEVRRHTSPESAWIIVHGHYVDSLPLGATVDIKGPIGHIEYAGRGAFSVNGERRTARRLAMIAGGTGITPVYQVIQAVLRDQPDDDTEMHLVYANRTEDDMLLREEIDRWAAAHPARLKVWYVVSKVARPGQGWEYGVGRVDERVLREHLPPGDGETLALVCGPPAMIECTVRPGLEKMGYDLDKSCLIF >Et_9A_062421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22755745:22758384:1 gene:Et_9A_062421 transcript:Et_9A_062421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GAGSRNDKKEEIQFLLTKNVPLESPQHKNYLWMARWTRASSSAEPQNDSNCNRLEDLTNGTSTNDNGVLPSEFTKSTVAEKIMVGVNHGSASVQHSRRFSSSTRGLVHDICKGLGPKSNEHGDESFVRCMKQKDVHLLGRAVVSETYSVHKLSGLPLDFQNLGRSDNLSSDWSHFPMFEINQKIDNILNPKRRSSLGPASHVMTLSSQEYMMHSHRISDENMDIHKPAGGIVSHLEGPVGLGSDPSGHKLKGHLSDTMSCSCSKDNNLSDCQINEQHTSHYVANLQHELPCASSGKMFHFAGNNKESRKSAVYKQQDAAGDLFCAPVLDTEFHKEPTDCSYNSKQGGENFHVTFKSHGKTVSSLPCEQQHLKTQRKESTQKSTGSCKREGPCLYEMLTTVSQSKSACPKNSTSSGNGFDVCMYGTNIGSRLFGTQNQSSARTETLYSDTLIVSKSSAGIAFSQAQKDNAYPNEEKAERLTTPPARRDSDSKENESHIANEHHDVSSKATIASKQSCMPATGITNLDLMLFQMSRMRNPISVGIVQPPLGAEPSDRWLKRLHLDVLDPEIPSSKRPKVGGSPPCEETNRVALRCNRRDNEEVIDRIKEDKVSGEGIKLQEDQRTYVPAKSMNYWIGRWCEGGTPVFHGHPDQRRQATKPGQASEELGGQFPSIAAMAMMGRAMNKLRPCEHQKKGPFVVWKTE >Et_4A_034122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30018001:30020543:-1 gene:Et_4A_034122 transcript:Et_4A_034122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAVAGGAGLTRWQAAALSAVAGWVWAASFYDLTRRTRKLVQPWVTRRVLAETPAILRFQRVQHKLFDDFFSVLSCVVSVPFYTGFLPLLFWSGHSKLARQMTLLMAFCDYLGNTVKDLVSAPRPSSPPVRRVTATEDEKENAMEYGLPSSHALNTVCLMGYLLHYVLTYGPHGSIMIVAGLSLGFLLVMLVGIARIYLGMHSLTDVIAGICFGVIILASWLVVHDHVDAFVVSGQNVSSFWAGLSLLMCFAYPKPEFPTPSFEYHTAFNGVAFGIVFGIQQTYFHFHTPDVPLIFSPQLPLLAFVGRVLVGIPTILVVKFCSKALSKWLLPVMCNTLGIPIVSSCYVPALKVDNSKNKADAKQAGYLQKVFSLFPQKAYDVDTGIRFVQYASLAWSVVDLVPAIFTHLNL >Et_1B_010933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15602341:15604738:-1 gene:Et_1B_010933 transcript:Et_1B_010933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKCGGHNRSLRDTKKSCRSLTSTSPHAAPAASVVSISSPEQDSIALMEDDSMEIQLLLTGPEDESRLDECLKGVSDHRSHPLIFSDLMMGYDICPLTRDDVTAVEQSVTDCEQEQDDIQPNMLGQIQSFICFINRLRSRGGIHRSRKNNDHWTRDQMTELVKGVTNLGVGRWSAVKGATRLRTSIRTAVHVKDKWRNLVKAFRAIVISKRKVQLQEATELIVQEFKYHILEMEASNNHAKKKKRISSIRNRSRRIN >Et_6B_050003.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:337308:337931:-1 gene:Et_6B_050003 transcript:Et_6B_050003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKRTRDGYGGRSRATSDKPEPTTGDLELEAPAVPDGGSGDLELEDLDQSQAGGPGIVGGDLVETPPDGDLLDEETEDHNQIISGSDSVEGQDRSVFLVACHWDWSEYSKPYPVYKVDLAHSRARRKRLHRAGRLATAAAGKTFTSVRNRAWIVGVGGDPSHTVIFDTQAQKGHPWAESEFFQVVSCPDSRGGQGLCNVYDSLLD >Et_5A_040827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13077050:13084138:-1 gene:Et_5A_040827 transcript:Et_5A_040827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSLQAPSAATCGRPAPLLLATTTSSSQRRVVAEAAAESVPRHVAVVMDGHARWARARGVPWEEGHQIGLRTLHRVVRLSRAWGVRALTAFACSHENLSRPKACTFKSTAGARSHFNSFPHASLRTYQAEVDFLMRNLEAYIRDSVDEFSREGVRLHVIGDSPTRPASLLTAAKEAHEATRHNSDLVFMLAIGYSGRRDIAQACRGLADDARRGELSPDDIDDARIARRLATSIAAGEELSCPDLVVRTSGELRLSNFLLWQSAYSELYFTDAMWPDFDEGEYLKALASYQSRDRRFGKRKSPCHRVPPPCSGAGEHTHGHGGGGAPPDGIAASARGGGDGRERAVVGRAGSAHEATSRNSDLVFMLAIGYSGRRDVAQACRGLADDARSGQLRPEDIDDAMLAGRLATSVAGEELSCPDLLIRTSGEQRLSNNFLWQSAYSELYFTDTMRPDFDECKYLKALASYQSRNRRFGKTKSS >Et_5A_041798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:325833:328079:1 gene:Et_5A_041798 transcript:Et_5A_041798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEDRGDGPKDGNSSGSDDHDAHEDPAAAAWDEDDGDEIDSSTCAVQLLAIGANFRISAINAYDWYNCRSIYRALQGKASSVPGTAHASKICGVEMNRPRALLAPIRRRSRVSGVLGAAARGHVSDTSDGDRRDDAANKVVKHARCNKGYHDMVNRRQQATSKQHLYLILDDTRYGFGVHKIDVDDDGDHDEPGDNKAEAPPVIRIEYPTVGDDPSFGVAAQQHHRHVQREHAVRICRSRRRRHHGLRCQDGRAGRPSASTPRIHCARLERRCSTLKSDSFRGAVHCLKLLKRDQEEISKEEEEEEDQWGCWMQNWDFCDRPARWVWSHHQHLLPFEADDLVAHALHPGGRAFVVSVDSGDLLERQGTFSYDTDHGDWELPFVGQGHYHAALGAWIGLHVDVRFDGRRLDEPDGYLCSCHVATALGAHWKKVFLYDPDRHLVATALGAHWKKVFLYDPDRHLVATLVSMGGSASSRSSRATSGWSRDTNTSATETPLSSRT >Et_7B_055880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6736837:6738285:1 gene:Et_7B_055880 transcript:Et_7B_055880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHVAPFQDEPPFAEWWLSRVKGYPSLSARALTLGILVAWRLWKKSSKWVSEFRALQPVALAQEIVDETNLWASLGCLSIHYDSINNFVLVKRAQLEAACALD >Et_1B_013030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4946014:4955617:-1 gene:Et_1B_013030 transcript:Et_1B_013030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAMQVDCQLHSDVEKTSSISYDSKHTLSHDGRERIGPDVPPTNEAVICNPVEVNNASQMCIDEVSLENSPENSQGIELRKANNIDDSSSNNVKLQLSVTTGINGLQSEDGDFNKHNKEDTHHPQEEIDPPPGSSPRSCKFNGGALPSQGDKIAEECVKVDDNIDAASKKVGMDSIACHAVQKDLQCTLEDLSEAACSIDLVHNKSSMPDESEMSVSPINGTDQLVHNNSCNGDANIKGSELSMGNGGEADHVVALWVKWRGKWQTGIRCCRADCPLSTLKAKPTHDRKSYIVVFFPRTRTYSWVDMLLVLPIEECPLPLVNGTHRKWRKLVKDLTIPRRFIMQKLAISMLNFSDELHIEAMIENARKATTWKEFALEASGSRDYTDLGKMLVKLQNMILRDYVSNQWLQNSFDLWKQKCTNTNNAESIEILYEELRQSVLWDKIDELWNASVQPELVPEWKTWKQEVMKQYFSSHAAGNAGNYDKNTCYDDPALDQQVSRKRPKLEVRRGENQNSHMGDTNCRALSEDPNWVNVPMHETAGVLESINQNNTITFPSNSGGQEMTESSSANRTLRNARLDLDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHCVDHSSREDKALAFETPLCSGTTNIGRKCKHRAQHGSTFCKKHRSQTNLDTMHPENIFSSSEVFHGREESPKLVEEISNSHAIYSIGSERDMNSQVAAQGKFTPTVAADISDEKARAMGDLCTTSTSMINTDSDTSLCIGIRSHDDTAECHDYAKRHTLYCEKHLPKFLKRARNGKSRLISKDVFISLLKGCTSRNDKISLHEACEFLYWFLRNNLSHKRSGLVSDHMPQILAEVSKKPDIGEFLLKLISSEREKLANLWGFGTNRSKQISPENQEGTMVLTQDGGLNLSSGLKCKICTHEFSDDQALALHWTGVHKKETRWLFRGYSCAVCMDSFTNRKVLERHVQERHGAQYLQYSTLIRCVSCNSNFLNIDLLWQHIVSDHAQEFRILDVPQRPRGRSVQRTGGRSGMPLYDSHHQVSHVNPGTDDHIPPGLGKYQLNRGRHYYSAFKKSLRPTSTLKKRSSSGIEKNFKYPSSSLPMVTSQIVESETASLGKLLDYQCSDVAQTLFSKIQKTKPHPSSLDILSVARSVCCKQSLLATLEVKYGCLPENIFVKAAKLCSDSGIQIDWHQEEFLCPKGCKFSSYSNALLPVVTTTVDLSEPLTVTDPPNDHEIWGMEEYHYVLDSAHFRWKLKKERVVLCEDVSFGREKVPIVCAIDVDAKDSLLVKPEELLDNNSVPWQGFQYITERLMDSTLVDSENAVAGCACYAQCSPEKCEHVSFFDRAYENLVDIHGSPMRGRFAYDESSKIILQEGHPIYECNSSCTCDTSCQNKVLQKGLLLKLELFKTENKGWGIRAAEPVPQGTFVCEYVGEVVKGDDGMRNAEREAEGVGCSYLLDITSQIDRERVHATGTVVYMIDATRYGNVSRFINHSCSPNLSTRLVLVESKDCQLAHIGLFANQDIATGEELAYDYRQKLVAGSGCPCFCGSKNCRGRVY >Et_2A_018323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2297053:2299541:1 gene:Et_2A_018323 transcript:Et_2A_018323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTVVKTDVAARSGKSLANEHKLGYVGGGFVAGEEGRGRAGGAAGQGRRRRDRSGGGVPSSFTYGGANLTLVDMEKVDVRSRGRGPHVEYGMDGVGEEGAVLVQPDADGRGRLVTVQSLRAALPLALQIER >Et_3B_030474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4009029:4054617:1 gene:Et_3B_030474 transcript:Et_3B_030474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAATSRDVEEVIQKLHSDRARTRDVSALLRTNNALRLPQEGVKLLGTWLQGDRAASFCRLLGRNTVRLKPGHLTGGQSRISLRSFASSPCAFRMSFLIIAAATWPLLILALLNCVKEEISAKKRGTNKSAAARMLRVAVQCAEDVRLSGHSLLLISVARQLFSHIWEVIKDGPGFQLEFSIILRQLLAIKEYRYQMKSRTYSSFVILYMKRIASGFDAKSSNQVSSKDESFRCALTLHVLLENPPGDYPDIMREEILSEFCAIFSHIRQAFWVQLWVTIPCPKYSLRPTTNGIEAEEGKLTRKLMECINTFLLKDGPNLGYKSVEIHNAVQDFVFRSWLRTHDQTLKSLFITYAKVQLKLARAIPEVLQKLVDIIIKELDQNVNTGAGFMYDLEFIDLINGLGVRHLEMRKLGGMDGSVCYCFLLGTHLDIHTDAPTASTPLSCKHFLAYKFTPKIPNREKRLKTEHIMDLIIDGLLKGSLFWTGSICFLIHKYGHRVENSLMISWFKASCQALRSVMSNVNAIRFQDSLLWLIRALKEFSIVLMLNAKEEAQFHLTKGEMSIVEGGWQDVWNSLIQALPLFSTTTLLADSALSLLGGMIVREQIHTSFLSEDTWSLQIFKQFPSPSALYFIACYFSRIGFQGDLSNSIFVRKNLLRSALELVNSKEFSLLNAQNVVTIPEVIFSLCAGFSSPAINSADASQLVGDYNISSKMSLEEENLVLKYDLHYSLDALSDLSPKSATKVISGKYHRVHLPGTIKRPLLLEVMEFTKGFVARNEQLDKVDLSNLIFVCSFLCNLIHCALLSRTIEEKSPDLQEVLGYVTDVLKYIVSLVMKKHSELSHGLTNLSSAVDAMGSSLTSFQSFLASPLFSLPRVDSRVSSVLIKSITDSLDDLLVAISQLFSRLSSVMNNFDGESDFKVLPISCVDSEELNAIADSKSSAADMDLDVMDSGEADSVTASAGGSMGGLLRPLEWKLELVSIISTFFSVLSLQTWEVLYSLVEKESDVKVRQAILLNLCRHIPASSKTVSSVVNLITDMRGRGASSLLDSAECLKHVHALLRTLRAIRDGEQNTDRKSQGCKVAFSEDQDILLDLVHKATETSFADWGFRIKLIDCICFFIYLFPDVAQDLIGSLFDMLHDTDYRVRFYLSREIVVLFQTWEGHNEFSNVGVKMVKYSTSSPVKAREVLAVGQQHILVIETTLITLAHLAVHSEDTEVECAFMISAAAALEPSQRELAYALFDSMSRRFGYASRSKYLDQMMGPILFRWVACEMSLVSLVEMQEMFDYRSAEPKDFIEHCCSWLLSCLFLRGDAADLDWTSKILSQPLPVIIKRYFVPIFGLSIAAKCGAGPEKDSAETVLCESLLHLGEISESERDDLIKKHMVSIVAFLLSVSSSAHDPQIPYFSKEVIALSVKMVVDGFVDTMDDDLADAVVIDKINIFRADRVFKFLLAIHQQVADASHPRHMGHRLCAIEVLMDVLGHRVVLESTCFYIISIVGNYIHQKPLQGQCCNILSKLLAAFNGNCLSETVTVLGRQLQVVVPKLVNCCLINAKERSDTADSSRVLSLLRELTVDSDPLLYDYIRDLEPFPGLECLKDIQDFHASLSASYSSRDQFLKFVSRAPYLPPELFLSSLRMYHKKLLLGEIICRGDLSVADADVVSCWRSDPDVVSAVWILVDLCSSSCVGNEASSVLADFIARVGISDVHQVVLDLPTQKQPLQLHSGSASKEDKLCSDYGISDDVLVELLKLLKTYLSDDSVEIIDVTSQTLRGILSTAKGLNALQCLDSLDRSLLMIHSRGVNIQIVEQTTLGMEKYSSVSLEDSDIWKTDGRTYEQWLCTLVSSLIGHCNDIILRSLVFLKAKAAELLLASALVNIAGNFNSDAVICSIISTKVEKIVFSDSNHLMKSIHLFLDALNIIRSFYIAEKTRTIPSNNLKDGRSARSKSRSPTASPSLSWKTVYWLSVDYLVAARAASRCSCDFATLMYVELWCEEQFNRLALGPPDFSHEESLRPHIDLLVDAFTQINEPDSIYGITLANEITSQIIRYEHEGDWSSALEYYDLLVRSTTQEHRVNLSGTVLTGVTGPSLVSDKAEEKMLNWKMHKGLMRSLQKTGCSHVLDAYCEGLTRQKGCLQQDAEFVDIQYEAAWRAGNWDFSFFIPYSSRSSARTRSYCLFNENLHSCLRALQNGDSEEFDLKLCQTKKDLVLALSNASKESTKYIHSAILKLQMLDHVTMVWGLRWNAFSNQKSKSCLGTQELSNVPTVPTCMQLGLLDKEWNFIVFQTEHNLDLFEPFLAFRRSLLKALGCEEPLVKHLFKSASALRKGFRFSLAAAALYELKEHCTYNDERTLQNTYFLSRLEEAKLLRAQGRHDMAISLGKYLLQKHSDKKDISDVYRLVGKWLAETRSSNSRTIIEEYLRPSVNLAEFDKSTDKRYMSRQCRTLFHLAHYTYSLFKSYEERLSSSEWQAALRLRKYKTRELETLMKRLKSSSKGVKTDYSIKIQELQKQLEIDREEAEKIQVFRLVSLWFSLFSREQVVKSMVKTTKEVTIGISYLNCITNYVQTFKFLPLVYQIASRLGSSKDAQGSTNFQMALTSLLKKMAIDHPYHTTFQLLALANGDRVKDKQRSRSSFVVDMEKKLAAENLLKELSSYHGALICQMKQMVEIYIKLAELETKKEDTNKKIPLPREIRSIRQLELVPVVTATVPVDPTCQYEEGSFPHFSGLADSITIMNGINAPKVIECFGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNTFLQNHRDTSERRLRIRTYKVVPFTPSAGVVEWVNGTVPLGEYLLGSTRSGGAHGRYGTGDWTFLQCREYMTSVGYIVGLGDRHTMNILIDQDTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGITGVEGVFRRCCEKTLSVMRANKEALLTIIEVFIHDPLYKWALSPLKALQRQKELDDTDSCLDDSQEAYEGNKDAARAILRIKQKLDGYEDGEMRSVQGQVQQLIQDAVDVDRLCQMFPGWGPWC >Et_4A_034949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7996521:8001034:-1 gene:Et_4A_034949 transcript:Et_4A_034949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRLSWAGLLKWSLSYVDGAGPSRTISEEERRWLAEAVERHMMVDVVSRMREIALLMSTPPAVLEAQGITHDDIEDLLSELQVHVESIDMANDLHSVGGLVPVIKYLRNSNARIRAKAADVVTTVVQNNPTSQQLVMEASGFEPLISNFRTDPDLTARIKALGALSSLIRNNRPGVTAFRLANGYAGLRDALISESARFQRKALSLTHYLLSESHSDCSVFAQLGFPHLMMRLASSDDSGVREAALGGLLELARDTTLANRSLLADHDRLRRLLRARIESIRMMTPEDLDAAREERQLVDSLWLACYHEPSILRNEGLLVLPGEESFEQPPDVAGRFFEPMRQATARRAPPNERSDSGDGSVGAIKLLHPPPLRQLLDLENIILPACACQRSGEEQATGCISWLDGQQAGRSQLCELDVPIAPAGSKQRPPDEAVWLQGHRFDESICLVKPPGSAKQMHHASVVLHPWLDAMLFQHGSVHALPELDHATITASCQNGCEGKVVWGCSLRKHPVEYTDGFLAVAGIRQPEDHRVPCHRSSLGHAFKQLARFKYEAALGVHVDQSAAHCEVLAYSCHDSVGMDFLAALHVGNIGESRQDAGNRGRARQDAGAGRHHASEQGARPVVLEVQHRPGNKRCPGDHVWPEPILSHGADQLPRHLVVAGQRKTGDDGVPGDQVGAQALAAHLDGQRQRALREAVARVARDQRVPGDEVVPARHFVEHPAGADDEAALGVHVEERGSDDSVGSELRAGEDERVDEAAGSGVSQACAGTEGRRNGGGVGVHRAAVAGLLEAETGEEEVEDAAVVALPGSARQLLHP >Et_10B_004054.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:85589:86026:1 gene:Et_10B_004054 transcript:Et_10B_004054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQRHRRRWLVAATFLAIAGVLFVFSGLSLATSLFGLAAAMPLFLLFSPVLVPAGLLASLLTAGAAVSGVLAFGALSLLYTRARPPDYVEEGKRRVGELATVAGEKTPHAALAVVNTGQSATDHKKPDRYEHYVAGRMVRNAC >Et_5A_040458.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24187394:24187477:1 gene:Et_5A_040458 transcript:Et_5A_040458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHCGAWPVQSICNDWLYLWLVSSRS >Et_8A_057702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6211602:6215937:1 gene:Et_8A_057702 transcript:Et_8A_057702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSASNPFPFPTRRPPDDTLFYAVYPLPLPTDLPPPALLASLRSLHLSLLDHLAPFLSSHLFHRDPFTLSLPADPTSPCALCDSPPVPHLHGALRFGDSLPDEWLAVSLLFALTRAFPDIAARAWDSDGDFLLIEAAFALPRWLDPDTAPNRVFIFRGELHFLPPSLFPDTPSLDAALAAVYDDSVDTRAPDAVQAVIQRRIAGLPEKASENLHTARVIVPAPVAKVLKEEPCLIARAVEGFYDRDIDTMKHAARMEKFLKGPGREGVEMVRTSVRMTRAMYAQLMQQNFQAPRGYPMPKREEGQEKWKEAELGMKIACGFEMMYQERRRQGEEGKGSTWEVYKKSLEATGCFEGLLPGSKEYQRVMEDAMQYYKSSTLFSRSREILSAPVRRIDEILAMPYSADEFKGIDLPPSSDDSWLYGGEDELNAELRERQKEMEEYEATKKHRKQKQSVSGNSKSQTDEFKLGEITQSMQEFVRKMSSFEGAEVPENRRDMESVDLDVNQFIKAMESVLGGGSKEQTDNDGEFDRKSSSSDMDFDDSDEENDFAEELGDKEMSDAFMESYSDALNKELSSTTIEKSFARAPRPVSNTNEGPSDAAGTDGEMTPVDVDLNLVESILNSYSSQQGLPGPASNLLGLMGVKVPPDAKK >Et_1B_013580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9725964:9732246:1 gene:Et_1B_013580 transcript:Et_1B_013580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVGRWWAVVVAVALLVGPGQVVANTEGDALYSLRQSLRDANNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAQLSGVLVPQLGQLKNLQYLELYSNNISGTIPPELGNLTNLVSLDLYMNNFSGNIPDSLGNLLKLRFLDLSNNNLSGAVPSTGSFSLFTPISFANNPLLCGPGTSKPCPGAPPFSPPPPYNPPSPPTQSTGASTGAIAGGVAAGAALVFAVPAIAFALWRRRKPEEHFFDVPAEEDPEVHLGQLKKFSLRELQVATDNFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERQPSEPPLDWDTRRRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKVEMLVDPDLQNNYQETEVENLIQVALLCTQGSPLDRPKMSEVVRMLEGDGLAERWDEWQKVEVVRQEAELAPLRNDWIVDSTYNLRAVELSGPRLPEQIVKCAGLPFPSLLRILKHCKRQSEAL >Et_1A_008405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7403735:7404586:1 gene:Et_1A_008405 transcript:Et_1A_008405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATFMLLIRASEFLEISWMRCFLLHQRLFILCSSDGEAFIIEAPVALESKLIRDMLHESEISTIILPNVSAVTLSGLLEYCKRHVYARTAGSTEREVQKMDEKFLKRYCHLVFDMMRAAAYLEIEPLLDLLSIFAGRMLNKLPQSIEERVLGNRYSAEREQQMLNKIARAI >Et_7A_052613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9815815:9818527:-1 gene:Et_7A_052613 transcript:Et_7A_052613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAHALVPVLFAMLLIIITVSTCTATDTVAAGRSLVGGSKLVSSNGKFALGFFQLSGRPRRSTNGDTTPRWYLGIWFNTVSKFTPAWVANRESPLADGESSELMISDDGNLAIVDRASRSVLWSSMANVTTNNTVAVLLNTGNLVLSDASNATTVFWQSFDHMTDTFLPGAKLGRNKVTGVTHRVVSNKNVLDLAPGIYSGGASPDFTKEYEILLVWNSSVAYWSYGHWNGDFFANMLEFLRSDLFTVDFVSTDQEEYFTYQLKNDSTITRYVVDVNGQSKNMMWSSVSEDWVTFSAQPGSQCEVYAVCGPFTICRVDMMPFCYCMKGFTIKSPEDWELGDLTGGCDRNIPLNCGNNQSGSTDKFYAMTDVRYPDNAKYIQLRSADECAQACLSDCSCYAYSYNYDRCILWNSELLNVAQNYNASAGKGVLYIRLAAKELETSKYKRGMLIGSVTAAIIITLFFIAIGIMFIRFKIGPPSTIKPSIIGGGIIAFRYKDLKHATKGFSEKLGCGGFGAVFKGVLPDSTVIAVKRLDGVRQGEKEFRAEVRSVGMIQHMNLVRLIGFCCEGSKRLLVYEYMENRSLDAHLFRSDGISLSWTTRYKIALGVARGLAYLHEHCQECIIHCDIKPQNILLDASYTKLGASKP >Et_8B_058977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11881442:11886811:-1 gene:Et_8B_058977 transcript:Et_8B_058977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIEKMAVPAGDRLGIFLPTCLNQSGTDDDLPPSYQSRALKGSGRMSGNGRDMIGADPYARVQPQRDMETQIHKLEQEAYSSVLRAFKAQSDAITWEKEGLITELRKELRVSDKEHRELLNRVNNDDIIRSIREWRESTGGHQMNLVNNAQHSHDPMPSPTTSARKRQKTSQPIPSASIPAPSAVHSQPLTAPMQPSSSGAKKAGPPGTKGKKTKPGQKLPSVATVKTIPSSAGLGGRGPGTNRNPSAVPPAEGVPLNPLIGRKVMTRWPDDNSFYEAVITDYNAAEDRYSLVYDIDTAHETWEWVDLKEMGPEDIKWEDGDPGLYLQGHGGAVRGSKKPNNRGPVSGAGRGRGPQKNSSRKEFPPSQNGVGKKSSDYIEILHTDFLIKEVERVFSAGHPDPLEMERAKKVLKEHEQSLIDAIARLAEASDGESDDRARSLDYNRGYRNQHGGRYADDMAVDGFMTGDADAM >Et_4B_036437.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:23991024:23991737:1 gene:Et_4B_036437 transcript:Et_4B_036437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTKQPPAASRRTRRAEQPVEFAFVETQKIVSNPIFYCGNNGASQSKQPPPSEQLRCVYGPGAVGALVHDPATAAGLGGIGNGKPLPLPLPPCRAHRAGPAAAQFVVGGPRVRVLRRAHHQDPFLSAYVACSKSSGAQVKRQQKKKTTRKAGKGEFAVAGCGGVWNGWAAGARYAGAAMSCKNVCAVADNAFVHARDAPAAATAKKEEEGPTLDLSWGPAVLSARAMERRREQRY >Et_3A_025666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31785116:31789288:-1 gene:Et_3A_025666 transcript:Et_3A_025666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRRATLRRTFAAAASSASSNPESYRQGICGSTFHCREFSSKAKKKTKSSGTDSGEENMSKKDLALHQAIDQITSAFGKGSIMWLGRSQGHREVPVISTGSFALDVALGTGGLPKGRVIEVFGPEASGKTTLALHVIAEAQKSGGYCAFVDAEHALDPALAEKIGVDTNNLLLSQPDCAEQALSLVDTLIRSGSVDVVVVDSVAALVPKTELDGDMGDAHVALQARLMSQALRKLSHSLSLSQTILLFINQIRSKVATFGFGGPTEVTSGGNALKFYASVRLNIKRIGLVKKGEETIGSQVSVKIVKNKHAPPFKTANFELEFGKGICRSSELIEFGLKHKLVKKSGSFYTFNDMNFHGKEALKSYLTENESVAKELEMTLRKLMETEVPKEEAENDSPNDLPEEIVSPETSSEEELAAVNEA >Et_7B_055318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9351394:9355164:1 gene:Et_7B_055318 transcript:Et_7B_055318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TVPPKERIQDTSQDLYTVGFVPGANVYTYTVYNFLMLDIFTYSTDTLPPKERIQDTSQDLYTVGFVPGANVYFSYDLAEGSSVNAYGVKSGPYLREEIRSLDGLSKPATQPVDSRINSSNHEPQTKSSKRVSTEFTGKDVGETWCQIVWNFCVANDLALHHVETKNVEGFAHSIHPVNIPKCVHSILELDIIQSNIYNFGVIKVDDREQRCNPWQTSFEKALACPEISDG >Et_7B_055623.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:17702542:17703984:1 gene:Et_7B_055623 transcript:Et_7B_055623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSTPRPHFLLLAFPLQGYIAPALRLAKRLLAAAPDALVTFSTNATAHSRMFSGGEEANTDGGDGRLEFLPFSDEEVAAKPGRGAAAVDAAACSAYMASFHAAGARGAGALMDALRARGRPVTRVVYTLMLPWAARVARARGVPSALYWPQPAAVFAAYHHYFLGGYAGVVDAHRHDPSFVVRMPGLPPQRLGDLPSFITESTDPSHVFHGVFATLRDHFDVLGREEEEATTVLVNTCRELEAGALAAVGAAHNKNSLVPVGPVLASDDEAGLFEVDGSKYVEWLNTKPARSVVYVAIGSFVLMAWQQVAELVRGLEDTGRPYLLVVRKDNKQATDADDHGVLDLLKNGIVVEWCDQVRVLAHAAVGCFVTHCGWNSVMESVASGVPVVGMPHFSDQRTNARLVERQWRVGIRAVVDGSGLVRAAELGRCIQEVMGDGEDAAKVRRNAREWKRVVREATAKGGSSDSSLMEFVQAAGTC >Et_5A_040184.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20294687:20295190:-1 gene:Et_5A_040184 transcript:Et_5A_040184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISFPPALVLAILPRDVAGKVPFSNLTDVLSVFNITTTSATAAMMNGTLKRCQAPAPAGEQKACTTSMEATVQSAVRMLVPAGSRPAWAVAWKIPAGSGLPLQAYVIEAVRPLDDDRHVGCHVLPFPYAVYQCPSTGRGSHPRRTWSRCAASASTAQGFPWRQYAI >Et_8B_058550.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:16535049:16536870:1 gene:Et_8B_058550 transcript:Et_8B_058550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGSCCTCPCPRACLLACLLIVLTCPIDVIRGSSSRERRPPHAAAGCASPAAVPVHRHWRHPHRQVEEREAGGGLVAGERRAGGVSTGGGTLGHHLVAFLERQPLVCDVAERRGHDDHRPGGDERAGDAAADDLPLPAGQEGGEAGGPRRRRRREEGACEREDLEPAVERGHRRRRGPRLRRLRLPERDVGDGSRRREHADAALPAASVGGERLEDVAAGGDLEDVGPERVRALPGDDHRRLGLVLGPRRPAAGAARDDVAATDAGAAGRARGPSAAAPRGQRVLAVVGAGAGAAVIVAEVEWLVMVLLVEVGAGSQIQACHLSRVGEELIIS >Et_5A_041585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24723535:24725794:1 gene:Et_5A_041585 transcript:Et_5A_041585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKVFIQLMVYASVFSLFRMPQAMEEQECYRDKEIFKHKCHNSIARDNIAFLHPSDSCCKTARKVDMPCVCRTITPEKEHKINVHYIFSVSQDCNNPVPPGEKCESWTVPPPPPPPSPPHHMQQMDTKVFIQLLVYA >Et_1A_006132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18274965:18276934:-1 gene:Et_1A_006132 transcript:Et_1A_006132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEKAVSAYGEYTYAELEREPYWPSEKLRISITGAGGFIGSHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTNGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKAQTSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLGFEDRKLPIHHIPGPEGDGLRFTYFWIKEQIEKEKTQGIDIAAYGSSKVVSTQAPVQLGSLRAADGNEGL >Et_3B_028859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19920468:19920948:-1 gene:Et_3B_028859 transcript:Et_3B_028859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLSTSFLPTPAAARTTARTLRSVVPSQGMRCSMRKKGLHPQIYEDAKVYCNGELVLVTGGTKPEYTVDVWSGNHPYYVGDTSALVVMDSQIEKFRKKYGHIKEYWPEDQWREMHPDGDPEFAPED >Et_9A_062941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7043424:7048844:-1 gene:Et_9A_062941 transcript:Et_9A_062941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLTSAPAARGGLPAPTTAATRLAGTVYNREQDWRLRIDPMDDTRAPSSTFPVSAPATRAISGGARPPRPSVDPRPFSLHRTASSCNPQPLPTALPPGGELTRRQEASSQLLNGDPDSSCFPSSWLQATTSVAASDARGTASASSASSGDFSHSQSAFFDWTPTIDNAAEDPRASSSQPFMNLLTQDKDADLQLLMQEDVTPSKHQPKRGNNYSLEEDIQLCKSWINISNDPIIGTDQPGKTYWKRIPQDFHRNKDFESDRSANSIEHRCQSILKECMKFHGYYEDIEDRHSSGVTYQEHMLEAQALYASKHKGKRCPFIDCWLVVRHTEKFAALPGLEKSKRSSNSTNLNLNIPAGSEGDDTEHVQGSSSKKPRPPGRKQSKEKLKRGEGHDDEYKDMMKSLIDLKAKEMKRREEVDKRKIELEERRLQWKQEEKIMFYDVSKLDEPTRAYVMARRVEMARMAALRVSLSESGSESGGSVLSVQLWENKDHNDYHNDKDHKGDGKTELNLCSSSYELDQGGSAMRGGFSIIIAKFNSFGCRRTRRTRPGALVRCRPPLAEPRRRGGQAEPRRRGGQAALRQQRTAACWS >Et_9B_064625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17158338:17162214:1 gene:Et_9B_064625 transcript:Et_9B_064625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAARLLLPVLVLLAAAAEATAAVSEDVLALVVLKSGLSDPTGRLAPWSEDADRACAWPGVSCDERTGRVSALDLPAASLSGRLPRSALLRLDALRSLALPGNDLSGPLPDALPPRLRALDLSGNALSGGVPASLASCDSLVSLNLSGNRLTGPVPDGIWSLPSLRSVDLSGNQLSGNVPGGFPRSSSLRAVDLSGNLLEGDIPADLGEAGLLKSLDLGHNLFTGGLPESLRGLSALSFLGAGGNALSGELPAWIGELTALERLDLSGNRFVGAILDGVSGCKNLVEVDLSRNAFTGELPWWLFGLSLQRVSVAGNALSGWVKVPDDAAGVLQALDLSGNQFTGAIPPEIATFARLQYLNLSSNSMSGQLPASIARMVLLEVLDVSANRLDGSVPPEIGAATALRKLLMGRNSLTGGIPTQIGTCRSLIALDLSHNKLTGQIPLTMGSLTSIQMVYLSENMLNGTLPVELSNLASLRVFNVSHNLLSGSLPTSKYFDNIPDSLISDNAGLCSSKNGSCYGVMPKPIVFNPNSSNPLSEDVPSAPSNQHHKKMLLSISALIAIVGGAIIVIGVVTITVLNLRARAKASRSALPIALSDDYHSQSAESPENEPKSGKLVMFGRGSSDFSADGHALLNRDCELGRGGFGTVYKAVLRDGQPVAIKKLTVSSLVKSEDDFRQQVKLLGKMRHHNIVTLRGFYWTSSLQLLIYEFVPGGNLYQQLHECTEESSLSWAERFDIIIGVARALVHLHRYGIIHYNLKSSNVLLDTNGDPRVGDYGLVNLLPMLDRYVLSSKIQSAMGYMAPEFTCRTIKVTEKCDVYSFGVLVLEILTGRRPVQYLEDDVIVLSDLVRGALEEGRLEDCMDPRLSGEFSMEEATLIIKLGLVCTPQVPSQRPDMAEVVAMIEMVRSPQGTSEDELLPPVLDRAQPCPSAGFPTISTACLPVGRQRRAQPPGRNSTMPNPFQFPFYRRGSTAAALALSSWASAFAISTIRALDWASGR >Et_9A_062057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19332486:19333551:1 gene:Et_9A_062057 transcript:Et_9A_062057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIIFSSCCTWWFAVQVARLLYRGRWKQLVHSRRRQEEMYLPLIKACQERRRRRTGEDTTTATSYVDTLLDLEVPSTEGDQRRRRKLSDGEMVGLVSEYLGASTGTVLALLEWTLANLVLRPDVQSRLRGEVEEAAGGAYLRAVVMESLRRHPPVASAPRHMSSDVVVGGTPVAAGTLVNFSLEDIGTDGTIWTSPEQFIPDRFMPGGEGAGVRLTIGNNTKTTKVKMMPFGAGRRICPGMGYATLNFEYFLANLVRAFEWHPIKGEEVDLTPEHGFFITMRNPLRARVVPRKTTLATT >Et_1B_010905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15206884:15207877:-1 gene:Et_1B_010905 transcript:Et_1B_010905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTEAIVFSDDILREILLRLPARSVCRLRAVCKPWRALASEPAFLRVHARRAAASSPVALTCTVTERRANNSIATDLQLHLSVSHDPAAAAAGEDINDRWLRSSGRELLVILGRDGILCVELVDSGFGLPLSRPHEYALLLNPVSREAGTAPSSRPLARDSPIERCPAGAVVSGGRGYIAGAYAHPVMGAFHLSYQDTCFQLLRVDGSPASAWPEIPASTDVGTATMQSVVDRSLCASTATVLGILRWQPTLGEQKELLVYDTAKEEFGCLRLPEPAGTRSKDVVRSISTLAGKLCLLASLQHDRYG >Et_4A_034148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30263032:30265537:-1 gene:Et_4A_034148 transcript:Et_4A_034148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VDDSSADSVEDSQLFPSVPALNQAASYLAQTASYLTQCLPVHGYVGLSEEGQELVALPPASVCGTSSAQTSSIELAGTSSSLAPEGCSGITQENSGQMVPSSVFQNGASLFQGLVERARKTVRGSADDIGWLQRDQNLPTTEDGTSLFMEILDSSSVIKNAREIKEYIEEIYWGARKRVLLLGHSKGGVDAAAALSLYWPELKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYEKRKEFLQQHPLPQEVPIVSFHTEASITPSVLTALSHVAHFELPIAVDGNSTRVPVVIPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLKEEPGDQADTSQVCEALLTLLVEVGQKRRHEMAMKDDSYGDVVSPDSNVRDE >Et_1A_008986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23403985:23408549:-1 gene:Et_1A_008986 transcript:Et_1A_008986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILAAAARQPSKPQHLLIALNHLFCTTTTATTSYSFDPTAQFLPSDHHRGLSLPASLRRDALLALVRLLKTSPQCHLSLHLLCTCSGDPAPSAPLAARFAAASRLAESAPALRPLAAILLAALLPYASPGLLSWSSSAMGSARARYGVLRLALHAFLAAGMPAEALEVLARVRRSGNTPSLSAVAILLRLLFRSGEVQAAWKVFEEMVAKGPRPSQAIFNAMILGFCHRGMVRVGSGLLGVMGKFGVVPDACSFNILIKGHCVFGWSDDAFKLISNIDNKQFNDN >Et_3A_026301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6604390:6609367:1 gene:Et_3A_026301 transcript:Et_3A_026301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRKPSLTFAIFLCPMDLKQSSSWTQGLEVECGASRRISMEIENLRSQIDEDVKDLGHCEENAKLRAEMDLKDKELLCLRKQNEELQANHEKQNEVLQSNYEKQNDESQAKFEKLNKELQAKHEKQKEGLQANYEKQNEEVQARNLGLHKNGLEDNVLRFVDIKMMGAIEDVRILRVACQRRYGNDEADVRAAMLTSSLNWELTNPSWHPFKIVDANGRLKEVVDDDDAKLKYLRAEYGNDVCNIVTTALIEMNEFNPSGRYPVPGVSAGAGQNLGVEVGSKKRKAAVLSRDSNSLSGNEGMRA >Et_10A_000527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12090023:12093963:1 gene:Et_10A_000527 transcript:Et_10A_000527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGGGRKGAATAKVVEGGAGTLLAGLPCRGNFTESNIASSMGGLKVYICLHDTAPPEGQVVKTDTNNILIRALQLNKHKGEAKDVGNKTPGESSKGKRNASRSLDSRNPSKRPNTEESPSNFSELTLQSFTVEKLRSLLKEKGLSPKGKKASTNLF >Et_7B_054320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:223605:229411:-1 gene:Et_7B_054320 transcript:Et_7B_054320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMTRSDSPVSRRIVLSFLDFLNSVELAPGADPEALEVARDCLESIFGINSSSTEEAIQPGILLELFSSLEAEARQQPRAGLVSQSVSNKPSPSASTSNIEEDSNKCTTSNVCSLSLSHVTFKLLSSRNFLGLWPDHSGDELLAKFYAALDEINFFKTSPAGAEDPGQLSKATQYFTEALQAMQKLGRKKTSLVELAENFKTTGNEFMRSSQHLKAVELYTCAIALSRKNAIYYCNRAAAYTLLNMNNEAIEDCLKSIEIDPTYSKAYSRLGSAYFALGNYHDALFKGYLRASELDPSNENVQQNIEVTKRKLSERGAPLQGQNTRAHEGQQGHTRFAGQTNGIPFHAVAPEFFDSFINRGQQPAGHSININLNDIFGHSNTNMNGQGTGQPGNSINEGNRPHQASNGHEGAHSEQGMPRDDGIHINVAGPDQAAEALRAVTQMLAPQMNSHEGPPR >Et_6B_049130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18444799:18445669:-1 gene:Et_6B_049130 transcript:Et_6B_049130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLELAVNNGFDRHIASTCLARLFEVYGRAPLPAVLRLSASPRSIGSWWGNGVGRFGRSPVMVDMGSSGIRSRVRIRSSCLEKFLFKGKENDYASLDLERMHEIFLPSECCGDDFIDALADATQPTEDWDGLKVIKSEASDNLTGTVINSLTDEKGLVAAKLSLIMKGEDSFASQKIQLIRPLQ >Et_9A_061653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14921310:14923794:-1 gene:Et_9A_061653 transcript:Et_9A_061653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAARRALLANLRVPVARPAAAAAVAAVSVPGARRLLSTTEEGKGSFLDKGEVADRVVSVVKNFQKVEPAKVTPTAHFQKDLGLDSLDTVEVVMAFEEEFGFEIPDNEAEKIDSIKTAVDFIASHPQAK >Et_2B_020959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25172960:25177068:-1 gene:Et_2B_020959 transcript:Et_2B_020959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAVAASKLSPSVREKGKRKGAAAAAEQLLTDQVLSLRTRLHDALALGLARSDGHGAKKWQSTDAGIQSHALKAMAAFVSCLTNEMLKLPPIKESISDIIVALDGILKTGNVSVLIQAGDVSSKLVSRIGTSVRQYPIVEFVSSLSCQLSAVQLPITMSCASAMSCILNSVAMTSASAQTEIWDALEKTNAVASTVSALQSYTHNSHPLRYLTEMISLLRIILWIWPASRYHVWSNCNLMGKLAQYCSSNETTVAAKTVKLYTALGLCGNGAMILVRNEELMAKICDFMGRSHPSITRIEALKLCQVLLRSPKVCNILMTTHCQPIVQGITDAMNHDDQSVVTEGCRTALLVLRYSGNHHKCFWSNAIDEVLCKIIAGRYLSSHQAHQNLCHEVPLNKSVMNMHPYVWDILGYLAVHCHNEYLSVRKQQNCFLQALISCAGSLATDFTHKSSPIKLSIDEQEPILRAVLMMHLSPSQYIFSEASSKFIEVILPLGDGYLNNLFSSLESNVTRNFTKSFDSVKIMTKLMNLGCLCREYYAEGLIRVLQHALSQNLSPGPKSYIAHILSLFGLCGFPSKLGAKMRSALCDNELVDLELLLANGESLNAHASILSARCPKLLPSARFLTEDEKLADEQARRSLYHVRISDRVDSHALKKILEYVYSNFVTVGDEIVKPVRTLAKYCCLKSLQEMLQKEQPRWNSDCPRYDLTAALEPAEHSFSFAEVIKVPLGWEALDKLVRWFYSGEIPRVSLDCRWKNMSAEEQLSHLTPYAELSSLAEFWILDGVKEESLEVVTSCLNSSTKASVEFISFAANLGQWELVEIAISSVAHLYPKLRDSGQLEQLDEDLDNMLRAEYVRYSQHGGGSN >Et_9B_063894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19755422:19756270:1 gene:Et_9B_063894 transcript:Et_9B_063894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAPGSSQSQPSESYRGVRRRPWGKFAAEIRDTRRKGARVWLGTFGTAEEAALAYDTAALRMRGPRAHLNFPLDVVQRELASLAAGGSGRGELATRVLRRKRRRSGAAADVDAAMRSHSFAAAATTTTTCSDETMVSFAWGGGQGTRMQERSSSDAAVIELEDIGGEYWDYLFAPLM >Et_3B_030640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5856763:5864265:-1 gene:Et_3B_030640 transcript:Et_3B_030640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTTLRTVLLIFSLLLPGQRSRARAGGLASSSSGGRGGAVSRIVARSFEYVMSVNVGTPPTHMLAVADTGSDLVWVSCRNTKSSSSGTSCVELDPSRSKLYAVLGCSSDACKAPPATPAALITITAYVYSDGSETVGLLSTETFSFGTSDDDAVLFRVNHVRFGCSTFSFGSLYRADGVVGLGDSALSLVAQLGAKTNLIWGDQVPLLPGPDPRGQRVFGASAVVSEPGAATTPLLRAQAPMFYIVALESVAVAGQTVAAPGRTSPVVLDSGTTLTYLDPALQQPLVAELQRRITLPPAQSLDQLLQLSYGLGRQDWGNLHIAYDLDARNVKFAPTDCTRSTPTRAVGFSVDLIHRDSPRSPFHDPALSPHERTLASSSIGGRRVADISWPGRSST >Et_2A_018209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18245622:18250970:1 gene:Et_2A_018209 transcript:Et_2A_018209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRWQGSDSDGEDDSFLYRYPLPSSTASSASASGFSGGGKPRGGGGSGGLAPSKSTVYVSNLDYALTNSDLHLLFSRFGRVARVTVVKDRDSRRSRGVAFVLFVQREDAAAAAAEMHGKVLNGRTLSASIAADNGRAKEFIRRREYRDKSRCYECGEEGHLSYECPRNQLGPRERPAPSKKSRRGAGGGRGGGDGGGRGVEASWHSDDEDAAAAFEDDRWASVVDTRGEEEKAAGKEVGKAKAVRKEKKKGYFSDEMTTRRVWHTLRMVPDKESSTAFCSSSASQSVGRKNSSTKSAVPVRVHRNRIATAPFKLKILAW >Et_1A_008279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5966171:5966601:-1 gene:Et_1A_008279 transcript:Et_1A_008279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQHGVLSPVPKQQQDDGSGSEAFQQMAVPEEASASSSKADKARMLREERSLHLIPLLTFLCFLLLFLCSHDPSAADMSSFGGGGTSGNRKLRML >Et_1A_005257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35855171:35856703:1 gene:Et_1A_005257 transcript:Et_1A_005257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVVSGIVGNVVGRAISLLAGQLQDRQGGVEGKLRQLRHLVVKLESVVEAAEARRITSRPLLAWLSELVDGAYQGLYCIDAFRGGGVELDGVLANLQSVSGDLAEFIMLLQSCPPAAAPYRPLATNIYADCQMFGRHLERRRVLDFLLHDDDGGDGGEGKTTLVQHVCDDPAVRRRFSLIVLLDFHCMTLTVAGDGETARLLRSLFAVAAGDGEPPLRLLERKLRGERFLAVFDNVDPRKKQVIVDAIMPALRRGGRPGSRVIVTGADKHVAADLGTAEPVVLRPLPPAEYWFFFKAQAFGSAGDAEADPRLAAAGQAIAKRLRGSFFGAKVVGAMLRSRPDARVWRRVLASSYAEPSWLGNGGYVEAVACSLLPPHVTVRGVAVSGSPVRGLVGLQDAALMTAPPPRDGGGGGGHRPELPVLLCKLVFPSYCLYYTAYCTIDGESKQ >Et_6A_048152.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7955979:7957346:1 gene:Et_6A_048152 transcript:Et_6A_048152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRGNGETGEAIHVVMFPWLAFGHISPFVQLARKLVSAGDGSSFRVTFLTAAGNVTRVEEMLASAASAVPVRALHLPSVPGPPAGAASTAEVSADGAELLKLSLDGARPQVAALLAELRPAVDAVLFDFATPWVCDVAAPLGATPLYFNVFSAAATAAYLGIAARRRIDGTSTLPPADQTYTNAGSPSAYERFAASLERSAGCVMKTCAEMEGPYISYVSAQFGAGKPVLLAGPVVPEPPRGELDDEPLASWLASFPDNAVVFASFGSETFLPVPAATELLLGLEATNRPFLAVLNFPKGVDDADEAELDARIPPGFRERVKGRGVVRTGWVQQQHILRHRSVGCFVNHVGFSSVVEGLVAGCHLVLLPLKNDQYFNAALFGNRELRVGVEVARREEDGWFGRGDVAEAVDAAMAPDGEGDARKWRDFFMDDAVQARFADKFIAELKEIVRAA >Et_3A_026627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10825303:10826465:1 gene:Et_3A_026627 transcript:Et_3A_026627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGNAASSSNVEEMLGRLRLTAEESDALAIDDVDLEGLATSDLAVIGKVLSHNVLQIGTIMAALRPGWGNPKGLEAKSVGSNLFIAEFGSKQDMERALDERDEEGLLPYVKELRAGDENKQRMAYEDRISMSVGGNHNSGGLRGSLDENPGGSGTSSRRNMNGPSTEKEEFKGVQEDETSSPMKRLARKGKKKIEDTPTLDVRKELFSCPNRNQRVKRKQARNPRLRPSNKEQGTLAQMQQDALALVVANQAQ >Et_3A_026297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6574754:6581197:1 gene:Et_3A_026297 transcript:Et_3A_026297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGETAVVPIDVDGGGGGQGRPKSPRHRSHGPGHHGRNGPQHQHRSRPPPQPPQEFRPFRRWFPFLVPLFVVANVVLFVLTMYINDCPAHAQATGAAIGGSVGESATAEGCWLAPELGRFAFQSFKENPLVGPSSATLLRMGALETSKVTKDHEGWRLITCIWLHAGVIHILANMLSLLLIGIRLEKEFGFMRIGTLYVLSGVGGSLMSALFMVSNISVGASGALFGLLGSMLSELITNWTIYENKFAALLTLVMIIVINLAVGILPHVDNFAHLGGFTSGFFLGFVLLMRPQFGYINQKNSPLGFPMGATKQKFKTYQIILWVIATVILISGFTVGLVLLLKGFNASEHCSWCHYLSCVPTSKWSCKAPNNYCMSSQLGNQLNLTCQSTGKTATYVLTDPNNAEAIKNLCIDQSITFELAVEPAALLLQALHQPNHLVTLVFQELGPSLVVANHGSEPGGDARLVVLHVLHAPRPRAGLSQEPRDGTVAGKVFLPGRPTEHAAGGRTLAVLLPLYPSGAGKLKAERHGVPEARPALAEVDYQEMEVVIGRRLLLERRGCDAGEALVSVGGEGARGAGAGVGGGRGENASPRPDEGLAGVVCFGVCH >Et_8B_058861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10066199:10069733:-1 gene:Et_8B_058861 transcript:Et_8B_058861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSLLRRLAALAGGRVRANHRLLSAAASNSSPAAVSSEQAPQSLPAAEAEAVRMTEGCVRRIKELHAKEPSAEGKMLRLSVEAGGCSGFQYSFALDDKENSDDRIFERDGVKLVVDDISYDFVKGATVGYEEELIRSAFVVSLNFGPSFLTLDVFHPSRLVSLIDDEFVSTNPSAVGGCSCKSSFMIWILFTSNSAYNNSSPYISDQEIVWMVLHNLKISSSVL >Et_4B_039565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22182687:22185631:1 gene:Et_4B_039565 transcript:Et_4B_039565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAPSVSRAGAIDRRWLLSVAAGGACALLLILATAPFQGPSSPLLFLSRSSSSASTSPSSTPPRPLPPLYVESALSRPAPPPASPPRFAYLISGSAGDAGMLRRCLLALYHPRNSYILHLDAQAPDADRAELAAFVAAHPVLSAAGNVRVIEKANLVTYRGPTMVTTTLHAAAAFLWGDGGRGGQRPEWDWFINLSASDYPLVTQDDLMHVFAKLPRDLNFIDHTSNLSWKAFARAMPVIIDPALYMKTKGDLLWVPERRELPTAFKLFTGSAWMVLSRAFVEYLIMGWDNLPRTVLMYYANFISSPEGYFHTVACNADEFRNTTVNSDLHFIFWDNPPLQHPHYLTLADWDQMLGSDAPFARKFPRDDPVLDRIDADVLARGPGMLAPGGWCAGGGGERRDNNGSDPCAAVGNATLLRPGPGAERLQRLVTSLLSEENFRPRQCVLEEEQIGGSH >Et_2B_018990.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:21613323:21614787:-1 gene:Et_2B_018990 transcript:Et_2B_018990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRRVHLLLTITILLLLCRLTRATLTPSPAPSPSSNDTVPSPPPSPPAPPKLPRGLPRLIPAWSLPVNPFTAKAAFIRYWNRKVRSTRPHPAFFFAKLSPLSAPDAAAFSTLASAGKLASRIRDFCAAASLLCPSTPSASWSPSSSTVEGAAASAGGGAAPFKDYENGNFSSYGNSGGGGADQFSLYSRGKSNPVDSFRRYGKGSLGRNDSFTSYEAENNIGTSSFSSYTTGATGGAGEFAAYAGQTNTVAATFATYDAAGNGRSREFAAYAQDANSGVETFTSYGKAANGGAGESFKAYGNHSNTVASGFINYGEKANGFNDTFASYGLDGNAPENTFRSYASGSNAGADEFRAYRDNANVGDDSFSSYAANANGAAADFDSYGKSVNPGSVAFKGYGQGVNPNHKIGFAHYAGDNTSFKAYSNEGVEFKEYQNMSKMEVSKTAVDVSGSNHHRLPKWSPEPGKFFRERD >Et_9B_064018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10039538:10046445:1 gene:Et_9B_064018 transcript:Et_9B_064018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQRNEAVRGEVSKIHFVVQGFAVAAIILLLTNGSQAHVDPAHTTGGLTPLLVSKFHRQNTATSKVVDDEQWVMVKTKGNQFIVGDRLFYFNGFNSYALMVLAADSSTRGHVTQVFQQAAVVGLTVCRTWGFRDGVSMALQKSPSVYDENVFKALDFVISEARNYKIRVILPLVDNWSDGYGGKAQYVKWARDAGINVTSNKDGDDFFSDQTIKGYYKNHIKNMLTRVNTYTNVMYKDDPTIFAWELINEPQCRSDPTGNTVQAWIEEMALHVKSIDPDHLLEVGTEGYYGPSSPTRLQDNANIYSGQFGADFIRNHRVHGIDFASVHMYPELWLPNGTSLEVQLQFVQSWMQAHIADGEGVLGMPVPHSAFNSTSRDQHLQVVYGEPLGSAQCSGAGAGNLLFEVIPEGLLNTNDCYGVVLTRDAATAEIMSAARRTPRSCRSSTTGLESL >Et_6A_046080.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:22182680:22182853:1 gene:Et_6A_046080 transcript:Et_6A_046080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTNREMVQIFLTFCVLVPGSLQTRPCRLIFTPLSSQRLLQPGPSEAFVYSLFAVL >Et_9A_061323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:998104:1000854:1 gene:Et_9A_061323 transcript:Et_9A_061323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRPSASSHVALRDVALPSSRFPTHVALDLRDSPHASSAASAAARSFEQEILCSMEDGGEGVFDAATDSEEPISNVLLNETSVTPFKPLEEGGSKREVQAIALLSRSFTPVLSSQEASHYDWRNGKQYVKSPKPAVMHAPNNEVSEQGDIMRQRARKQTPKSGSRRRSSKEECKLAVDRERVKVASTSNDDTIPICSSTGSSMGRKARKHLSERWQTACQFGSENSNPRDIKTLGEILELSNRDATRKASSYNRSSDPKSNHDNSRQVPASPLGISSKDGWKKGISCEDHSRGGISRNFPRSKSLPASSTSSTKLSGRRQSVSTCRFPILKDILNTPTDEYEHARVKKRSPIRNAKQKNGRAIVHAGKENMLPEKEIHATSVKERHSVCISDLPRATNTYTEYPDDVIRSRDQQASEFAVQHEQQNFKGHMGRSDRELITPVPETEDKPVYHQDIIALKEGRNPSIEIEVVENDIEATESTHIASREGCECSSPTASSQQISGEYMSYSGIFNSVNVGIQGLREQLKMLKMKDQDVQQYEHLNLTYSVIEDQVPLFKDEEDRDFSYVQDMLDSVCDFPAYPEDWQVNSDVFLWLESKYNKLLLWSKSDMKLLFDLVNSVFADMTAPSSSLHSKILVRCWSEMNREPFATHVWQMVQKQRDYEQVAWDCIQPLPLDHESELIKMEVLKTIHDDIIEEFNCRIYLKGEMMTFSVVALLWILMYTK >Et_4A_034358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3183527:3200880:-1 gene:Et_4A_034358 transcript:Et_4A_034358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKRTPAPNPTPPPPPPPDRPAAAAAAAGGGGDDAAVRAEVDKALACLQRGSHARALRLMKDAVARHGKGSPLLLRAHGTVHARAASVLDDPAARARHHRAALQAARRAVDLAPDSVELAHFHAMLLYDAAPDARGYDEVIAECERGLSIEAPSDPAPHSLRLPAPDVEQVQSELRSLIQKANLASISTWVKTLGGGDDKLRLIPVRRMDEPMESRLVPTAPSPRRNNEIKKASKTPEERRQEIEVRLAAMRLLQQQQQQNNAAAPADSSSSQSQGDEAPSSSSQSSAGGHRADRRKGGSRKATVSSASDRMEQVRAFWGSISMERKLAFLRTSISELKSHYTSGMHKEKDAASAASEVLDEVLGFACKSGQWEFWTCFRCRRRFLDAESHQLHVLREHVGVLSPRLQRVVPQEIDADWTALLIDWNWKPLDAIAALKMLEEEQADHLVADRDKDSMSSDNWSSKDKSDTSESSTSPHNEECDSFGVVMREGDRKWPLSDDDERARILEKIQSFFQILVKNKNLSASSLSRVIQFAIDELRGMPSGPLLLNHSLDESPLCICFLEASSLRKVHKFLQGLMQSSGLNRQLEKDEGLGGENSFPKYHDKFEKVTLNTESYELIIDCQSFGGKFDSENVDTDALLSWLYAGSSIGDQLLAWNRMRDEKSNHSIDLLRLLEREFHNLRNLCDRKHEHLSNEEGLRAVENLLFEEQRRREHLGPYAFQRYEELLRKRQDELLERNSEEMFNGSRSELDAVSAILKEVHASHFGYDEPFSSMAPRFCDFDGAEEDEWGLHDFTHPSDSMVQMVVSKMKEQIGQELSKIDARIMRNVAVMRQLEHKIGPAAALDYRTILLPLMKSFLQGHLEELVDKDAQERSDAAREAFLAELALDAKKNASKAVDMKQSHDKSKDKKKVKDSRRSKELKDSSWSDGRQDGADEETAEKFEIHAECDDFDSKLSTNVDYFNEQEEELRHRVQLEAEERKLEETLKYQRWIEEEAKQKHLAEQFRSTYASSVIGKAARSKNVNLNRAQDNHEHVPNNSSPACLEGIQFDTSTSNNMGISKLTLNMNGVWKNAHHIKTLGNPGIQKPMKSTGEPQKKYSQGVPGAIYDDDDRASGQHFGMPAPRWSTSGKVAPFANHSYLDGKQNQLYAMASDDPQFFHEGYSAGIEKVDSNAMTSPAVCLEDDFDRRFEEDLNEAVRQSLGYDVYAADTKSISNGKEVYGAGLKNAAGEYNCFLNVIIQSLWHIRRFRDAFLKTSSLHKHVEDPCAVCALYEIFIDLSKAEKGQGEAVAPSSLRIALSKSYPNSKFFQEGQMNDASEVLGVIFECLHKSYASRAVSHGKSDENNSIGSWDCENSACIAHNLFGLDIYERMNCHSCKLESRRLKYTSFFHNINASSLRTAKMMCPDYSFDELLKVVVMNDQLACDQDVGGCGKPNHIHHILSSFPHVFTVVLGWQNSKESVNDICATLAGISTEIDISIFYRGLDHGSKHTLVSVVCYYGQHYHCFAFKDGRWVMYDDQTVKVIGSWDDVLVMCEKGHLQPQLPLSRPHAHASAPLACNSTLVVLGLRSRTAAASSRARPLQARRNKSYRSDDDAAAEPKIITLGRPGKSRRRRNQKQQQQKVDDDSDDDEDEDDDEEEDERDATIPEAVTNRMMRRVGVSVGLPLALGVGFFPLFYYLKAVRKVDVPTWIPFGVSFVFFGAALLGVSYGIVSASWDPAREGSLLGWNEARRNWPVIGSWGDVLVMCEEGHLQPQVLFFEAA >Et_5B_044274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22090268:22090787:-1 gene:Et_5B_044274 transcript:Et_5B_044274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDYLPVLHGWGARRRRAPSVMRGRTRRLFLARAHATDQGHALASHDIPAGTRVFVNVWAIGRDPAACGRRRQSSGRSGSIQFDRRQRCRRDAPRLFTRAEVFSRVGASRRRGACRPEELSMEHKFGGLTMHAAHAWSRSTPFVCWAVMDD >Et_2B_018873.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:11438926:11439490:1 gene:Et_2B_018873 transcript:Et_2B_018873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRCLPLALALSLSTCYLSAPSSATSDGFLQCLSEKIPSETIFTPGSSNFTDVLASTIRNPRFLTNTTVKPICVVTATDASHVQAAVLCGRVNGVRLRARSGGHDYEGLSYRSERPEEVFGVVDLAKLRAIAVRRSRRGRGGGGRQRPSASSTTPSRRALLRRRLGGEELDQ >Et_1B_012507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32665739:32666813:1 gene:Et_1B_012507 transcript:Et_1B_012507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGILKPEKAIPDAILKQAKGLAMVTVAKVGMMVTYKIGTGLVVARRADGSWSPPSAISTCGIGYGAQAGGEVADFIIVLRNTDAIRTFSGKAHLSVGAGVSASAGHLGRVAEADFRAGDGGYAACYTYSCSKGAFVGCAFNGSIVSTRDTENARFYGGPVKGSDILLGSMARPPAASPLYKALSELFDKIGK >Et_6B_048270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4333375:4334079:-1 gene:Et_6B_048270 transcript:Et_6B_048270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAAAVATPIEHIVLIKARPEAAASGAATAMVSALQALATEVPGLAHIHVGPVLRLGSPAAAELGPTHVLHSRYAAKSDLAAYAAHPAHVAAVQAHVLPVALDTTAVDWVNAAPSASRVAAGSVVRLTLAKARDGVDPAQVVETLMGPAKVSFGVSFGENFASPARAKGYQFGMVVVFDSVEELDAVEGNEKVLEARAGVRSQLDHVCVLDFVVGPAADST >Et_9B_065192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2207933:2209859:1 gene:Et_9B_065192 transcript:Et_9B_065192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKPPFAQPPPPPLKEGAKGLRSPIVHWYISHYTLCISHPFSHQFLKKSSTSGEGVSCAQLKAIYIPQTHQLCEFGAPSEMGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLAEADQSGAAPTISTERTSESSDVNPSSTGALGNLSHLLSETAQSSMLLPVYDKGRAETPRPKVPPKELFLEQLAAGHDSPSTCRSSGPTLYFPFQQPLGYSSESGSGDGTNMNSLWFNQSDFSCSTISTVMPPVSPSALSTSMGLNLPPDNPRQGATGIGSTSVDSFYWDGTNPSSSSSTGSRGSNSMGFEPQSTSSLLENSVFPWTDIGQEKDTRGHMVEELKWPDLLHGTFAETTTAMQNQSQSLYDDVIKAESQFNMERICASWFQNQQPQQQLQVAQDMYDKDLHRMQLSFENI >Et_7B_054636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3069936:3071123:1 gene:Et_7B_054636 transcript:Et_7B_054636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQQQRGVGNSKVVKVQNEEAWELFTNQASNEGRAVVAHFGASWCVTSLSMNYKFEELAQTHPEALFLYVDVDDVQSVSSKYGVKAMPTFVLIKNKEVVNKIIGANPDELKKLVESSTDPVPYETQIVVE >Et_5A_040211.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:22664666:22666179:-1 gene:Et_5A_040211 transcript:Et_5A_040211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCTVWRNILTHPTFVPRYRAFHRTPPLLGLIVNTVEDEESVAIFLPTTRIRPPLTEARRCRVLDCRHGRVLLFREDVGRLLVWNPLTGQNRLLPAPAQSQGLEDSNAAVFCAAGTDCDHLSCGRGHFLVAMASVKDDRVHAWLFSSETGEWSARASMDAPDEVFGLHVDQPPTLSGDSVFFQCEYSKVLMYCTFDASLMFVDIPFMEDSCGLAEIRLLPEVGDGLFRLASLYHDTLTLWSIDEVDAAWKKANVLKLPDLLPFPIAAPAILGGVADLGNCIFFFGSDGIVTSMDITSFETTEVCRMDDPVFIFGYRQH >Et_2A_018359.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24332564:24332806:-1 gene:Et_2A_018359 transcript:Et_2A_018359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGDHQVGNRPEQLDPRPEPDDVVGGAEEQAVGRHRGAAGHEPPQLPHLAPQRHLRGTPASSSVARKRRPAPPPLLHHG >Et_3B_030010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29825882:29829556:-1 gene:Et_3B_030010 transcript:Et_3B_030010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRQFLLLLLLPILLASCCVDGANALDAPATARRQLHQPFFPDQPAGPAQQASAPPPAPAPPFFPAMAVPPPPAMPTGPDQPTYPALVLPNTGSSGGTPPAGSGSHGSKKASKLVPAIVLPLLTVAVLGLSIAFFFSHRRSNAGRGGGGGCVGGADPKFLHPERTSLFSRDEFGGSGGAAPATATSAEFLYVGTLASRAEEKSSDTTSSGEEEDSRSSGGSPELRPLPPLLGRQSGPQVSRSPGGASPSSGDEEFYSPRGSSRTSSSHRTLAAAVQAAVEARDRSRGASPGSTLSTPSYPSSPGATLSPAPASPPAFSSPGESGRRSLKSRSESARSAVVPPAPPAPPPPPPFAPTLPPPPPPRRKPPSPSPPCSPLNEKSAIRSTTDAISRNPFAQPPTPPTSTQAPPPPSGPPPPPPPPPPPVGYWESRVRKPDTSKETRSPALSPPPQAANFRSVPPTDAFPSRLPETADQGDKSEETTPRPKLKPLHWDKVRASSDRVMVWDQLKSSSFQVNEEMIETLFICNPANAAAKEATKRPVLPTPKAENKVLDPKKAQNIAILLRALNVTKEEVCDALWDGNTDNFGADLLETLLKMAPTKEEEIKLREFKEETSPIKLGPAEKFLKAVLDVPFAFKRVDAMLYIANFDSEVNYLKKSFETLETACDELRSSRLFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGTDGKTTLLHFVVVAGLANELSNVKKAAAMDSDVLSSYVSKLAGGIENITEVLRLNEDLKSRDDAWQFHDRMQKFLKKADDEIIRVQCQESVALSLVKEITEYFHGDSAKEEAHPFRIFMVVRDFLSVLDQVCKEVGKINERTIASSVRHFPVPVNPMMPQLFPRIHALRAGFSDDESSATSMSSP >Et_3A_027370.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:9168067:9169011:1 gene:Et_3A_027370 transcript:Et_3A_027370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAAFLSTLASSAATASLSTTAPSGGNKAVRFFPAQITSRRRGWRAVPRAAVSGTEKAQPAASSEDSKPAGKKKGGAAADERVVQVRSIEEFDGALKAAKNRLVVVEFAASHSASSSRIYPTMVQLSRTCGDVDFLLVMGDESEATRALCAREGVAQVPHFTFYKRSRKVHEEEGIGPDQLAGDVLYYGDSHQAVVQLGSRQEVEALVDAHRGEAGKLVVLDVGLKHCGPCVKVYPTVVKLSRTMADTTVFARMNGDENDGCMEFLRDMDVVEVPTFLFIRDGKIVGRYIGSGKGELVGEILRYNGVRVTY >Et_2A_017323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33186554:33190977:1 gene:Et_2A_017323 transcript:Et_2A_017323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRSYSSGLGQLFLSSKAEEIMEDEEAIGTSQHAPLLGERNSVRSPDASLLRRLYAGHALARWGARMWEFSVGLYMIRIWPGSLLFTAIYGVVESSSVAVFGPMVGSLVDKLTVVVISSGHPPAVLPGINSVVRRIDLSCKLLAPVFSGFVISFVSAQASAVALALWNVASVGLEYWLFVSVYNGVPALAESNRVRAADAAAALPSSEIATPPAESRAMDWRVRLADGLSIIPCWESWVVYVRQDVALPGVALAFLYFTVLSFGTLMTATLDWMGIPAYVISLARGFSAIVGIGATLLYPVVHSWISTLRTGLWSIWMQWCCLLVCVGSIWVGSGLASAWMLMAGVAASRLGLWMFDLAVMQLMQDGVPESERCVVGGVQNSLQSAFDLLTYIMGIIISDPRDFSQLIVLSFFLVTCAAAMYTLHVYRVRKHLFHMDKILHKINW >Et_1A_008154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4810328:4813420:1 gene:Et_1A_008154 transcript:Et_1A_008154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTANRYQHIKSTKPILGKARKLKDLMMKSDNRICADCGAPDPKWASANIGVFLCLKCGDVHRALGPDISKVLSVTLDDWSDSDIDSMVEVGGNSYANSIYEAFLPKDHPKPKPDSAMEYRTKFIRAKYETQDFLKPSLRISSKSSFKSTTSVKSVGSSFSITSRKDAIKAQTTVKKSDLNPVWNEVLKLSVPRNYGPLKLQVYDHDMFSADDIMGEAEIDLQPMITAAMAFGDTSRLGDMQIGRWFMTKDNALMKDSTVNVVAGKVKQEVHLKLQNVESGEIELELEWVSL >Et_2B_019214.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17585825:17586214:1 gene:Et_2B_019214 transcript:Et_2B_019214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGSRYPQVPCTSVTSCAFSVGASTEAPKSETFAARSPSMRMLDDLTSPWRIGGDAHECRYASASADWHAIASRVAKERMPWLLLLRTGRRCRTGTGCRRCRTSSGILPPAALRQLAPRRPGARAEGA >Et_9A_062467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23250295:23253372:-1 gene:Et_9A_062467 transcript:Et_9A_062467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEARNHYHTRGSTRGGGTAAERDLLLQWGNRKRLRCVKVHRRDMEVAATAAAERAAIGQRRAAAAAAAAAAQHHPTGHHRVLRNSEEFAAMKSPTQQQQNNGISTVASPERDRTGRCNNNHNGPPPTFTDDKKGSSSGSEGSIWPKFAITLTNREKEEDFLVFKGSKLPQRPKKRAKVIQRTVNFVCPGTWLCDLTLERYEVREKKVSKKRPRGLKAMHDMDSDSE >Et_3B_030402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3565064:3566610:1 gene:Et_3B_030402 transcript:Et_3B_030402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNRRFKTTVDAALAASAAYAAFGAAVAYPSAAPPATGLGYGALLFLLPFSVYALVFLLAPRTLCQTRKSMVVCAAATPVALLAAVLAVLAVAATSPGAGGSDVVALAAGAVAALAWCLTNGGYTPIGWRRCDQLHGRAASLGRPMIILGDPKAAKRDAARFLFAVSAACAVAGGAAVGVLSSSACGGGGLAAAARNPMAAWYLLLAPVALVLCRLVAAVCKAAASWDDGAFVAVAAGAGAMWAVDAAATVCLGRRIVWEIERRPIYSRSSSSEIAAALLMVYLRYGLYLHVFHIIGCGDQLRGFN >Et_7B_053302.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:23190276:23190688:1 gene:Et_7B_053302 transcript:Et_7B_053302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHFLELLRPRHSLSTVPSFHVTFFFARRFSRSTTASDGAGAAVGGGAEDEEGEGSTVKVTDPVDDDEDGGGARVAMAIWDFLPRLVLNYFPFFSLAF >Et_9B_064452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15287513:15289594:-1 gene:Et_9B_064452 transcript:Et_9B_064452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKPPEQSSTSYHEILRVGRDASPQGVRAAYRSLVRQWHPDKHPPATRSLAEAKFKAITEAYEALLDQQENRAVLAAREGGRNKPAEKDRGGGGDNAAAARPAAQNEKAAAAAAAAPRTPPRPEAAKNVYSAGSSSAVRGGGRRALAEFSSYVVRKAPPLERRLECTLEELCGGCKKEVRYTRDVVTKNGLIAKEEVKKTIRVKPGWRKGTKVTLACMGDERPGCLAGDAVFVVSEKRHKRFKRLGDDLVLRAQVPLVSALTGWSLSFRLLGGERMHCSFRDEVICPGYVKVVKGGGMPVAGGEDGARGDLRVKLDVVFPENLTDQQRKGLAEILRGCD >Et_10B_003116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16842254:16850505:1 gene:Et_10B_003116 transcript:Et_10B_003116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPISPESEDEEARELLYEAYNELQALAAELGGAAVPAPAVVVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPVALHLRFNPRCDEPQCRLVAGADGDDDAGVAGRPMPLTDIQAYIEAENLRLENDPCQFSEKEIIIKVEYKHCPNLTIIDTPGLILPAPGRKNRVLQTQASAVESLVRAKIQHKETIILCLEDCSDWSNATTRRVVMQVDPDLARTVLVSTKLDTKIPQFARSSDVEVFLHPPSCVLDVSLLGDSPFFTSVPSGRVGSCHEAVFRSNEEFKKAISSRESEDITSIKDKLGRSLKREEKDRIGVSTLRLFLEELLRKRYIESVPLIIPLLENEHRNATRKLREISQEISDLDVVKLKEKAQLFHDSFLTKLSLLLKGMVVAPPDKFGETLLNERVNGGTFTGSENFQLPNKMMPNAGMRLYGGAQYHRAMAEFRLVVGSIKCPPITREEIVNASGVEDIHDGTNYSRTACVIAVAKARDTFEPFLHQLGFRLLYILKRLIPISVYLLEKDGESFSSHEVLVKRVQTAFKRFAESTEQSCRERCMEDLESTTRYVTWSLHNKVQLFTHQKLRLCSISMLTGFLYWMQNRAGLRHFLDSFVAPEQLSVNTQDDRKQDRPKGDLKSNHSSDSNSSGTVPETRLVDLLDSSLWNRRLVPSSERLVYALVHQIFHGIKEHFLVTTELKVRSLQHILYMQIMFLYPLRYGLMLYLIVKLQFNCFLLMPIVDKLPALLRQDLESAFEDELDNIFDVTQLRQSLGQKKRELEIELKRIKRLKEKFGEINKKLNSLQVRQ >Et_5A_040492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25504056:25504799:-1 gene:Et_5A_040492 transcript:Et_5A_040492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKKAPRAAAAAAEPASPMGPGDPQPPSPPASKVAKHGNGGSPPSLLLSTRSLPRLFSTDCPISPISMADQSKNQPCFGSSIESPAAGLAGVLVAGEADGGYLKNGRVLLGMRLRVQLPPPPMIEFGVKNRDAQLALLSPVQRSPLSSAARAARRSEVEELAEDYTCVIARGPNPKMTHIFEDRVMESRAGAGAGDGDACYLLSTCCECKEDGLLLPRYR >Et_2A_015249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:140859:152919:1 gene:Et_2A_015249 transcript:Et_2A_015249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSASRSQRLLLLHSPSTLAPPPPRFPSRHRRRPRPLSAADGDTPAAVSAAEERGSSTSSILTFQQAIQRLQEYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSIRPDDSRYGDNPNRLQRHTQFQVILKPDPGNSQDLFLHSLSALGINVHEHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLQPVSVEITYGLERILMSLQGVDHFKNIQYTEGITYGELFLENEKEMSAYYLEHADVNYIQKHFDDFEEEARSLLSLGLPIPAYDQVLKASHAFNILDSRGFIGVTERARYFDRMRSLARQCSQLWVETREKLGHPLGTCEEANLIYPYDSGKSSSKEVLDQPRAFVLEIGTEELPPRDVVEATEQLEKSLRNILEKRRLSHGEVHSYGTPRRLAVVVENLSMKQMEVEVELRGPPVAKAFDQEGTPTKAAEGFCRKNNVSVDSLYRRTDGKTEYIFARVKESARFADEVLTEDIPTIISGISFPKSMRWNSNIVFSRPIRWILALHGDSVVPFSFAGISSGNQSCGLRNSPLANFKVETAESFLRTVENAGILIDIQERKEKVLHDSSVLAEGVGGDFIAPDSLLQEVINLVEAPVPILGRYDSSFLELPKDVLITVMQKHQKYFAVTSKSTGNLLPYFIAVANGAIREEVVRKGNEAVLRARYEDAKFFYNMDTQKKFSDFRGQLSSILFHEKLGTMLDKMTRVENTVSELTHVLRINERMIPIIKDAAALAMSDLATSVVTEFTSLAGIMARHYALRDGIPEQVAEALFEITLPRFSGDVFPRTDAGIVLAVADRLDSLVGLFGAGCQPSSTNDPFGLRRVSYGLVQILVENKKNFDLRKALNLMAKVQPIKIEDDVIDEVDEGIDCEIVRSVLMERANCPYLAAQTAIEMEAFSRTENFPKIVEAYSRPTRIIRGKEIDFTLEVDPSFFEKDEEKVLWNAYLEVDDKIHAGVDIRTFADASLLLIRPLEDFFNNVFVMAEDEKIRNNRLALLRKIESLPKGIADLSLLPGF >Et_10A_000171.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:14476765:14477115:1 gene:Et_10A_000171 transcript:Et_10A_000171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRRQVQRGQPGHARHIHGTWHFSTSPLSSSWRPSSSLSSCCRSHCTYMVNGRSDFSTKHTWLFGLCHRLKFAVTESLVSSRIIQAEQSLTKGPSHENHRMSLSSCHAEPMLTR >Et_4A_033225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20055723:20061750:-1 gene:Et_4A_033225 transcript:Et_4A_033225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGPTTTTDSPPPPAPAPDSPPAVAEAEAPVRDLLARSLTCPLCNRLLRDATTISECLHTFCRKCIYEKFNDEEAECCPVCNRNLGCTPVEKLRPDHSLQDVRSKLFPFKRKKIKAEEFPSPNSPPTKRKERSISSLVVNTPKVQPTALTGRRTRAVARKAAAALRGLGPVIEDPVKKEIDGCDSEPQISAVPANPGKAPQTRRQISSNAEASNHSSNKNVEDDSEELADKGELWQPLNCLVEAANRTKSFRSSSQNSVKGEHNGSPSSTYANKTKAREHVQKSKTEDDKPPIMLKKRAGPVRRRRQLQSPAEAKPDAAATQNEKKVSSIWFSLVAFDQQGDPPLPQIPSHYLRIKDGNVPASSIQKYLMQKLSLPSESEVEIKCCEQPVNPTQPLCKLVELWLKRRAAQTTQATIGSSAKEFVMVLTYGRPKAPALFAHVALTLGNEFSLLSSLWQIKNKIK >Et_3A_027357.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:8763718:8764521:1 gene:Et_3A_027357 transcript:Et_3A_027357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNHMFAALTRITSSIVSSANNGFGRVLEFTGSFNDRTSLLLPDDAPGSVAREQGPATTPPPPSQSQEASPAPVTTSTRVAFDVEAATAETLIGDSEQGRGPTAQDAEAKRVAKSVHTVSLFAASASLMMFFNLPGAAAAAAEQHGGAGLYIADIAFICLAFFTSLVLSMYSIVARSSGGADDAAAAVARVQKRAMVMAVAFVLVSFTLRVCMMLPAAALESSAWLVFVLLAGAAAVYLALAWRFGGAAARGAETASGAEAADRV >Et_1B_012163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29587829:29589258:1 gene:Et_1B_012163 transcript:Et_1B_012163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAEGPGRAAAQERSGGPGQRGRGGPRRRRGAAGALAGRGAGRGSVGTAQEEDGSTRQAAAQEEEGSALRPCFGRPGGRDLGAEEAGQQQWRDGELKTYCGRKTLPPLAIDRHNFGILQLVEYIGEKCIWGSKQYVSMYRIAPDPMVCEIKTDEQLVEWSDMNLEKGAVHIKAQIDDFSGPLQFSPTKRRCNPKVRKRLLEAPSTPPLNADPPIEPSQSTQVMANSTNECVTNKGKTPKKVVADDDELKVLSDSDYDSNLAALSDSESDSDTEFDPNGEIIDEDDDDDVPLISYDVHDPCIDVGVIFPDTEQCKAAVTHHCILNDHAYEIVKKDSERFTAKCKAAENGCKWRFHASTSKRKYIGCKVKTCGPKHTCGSFNKCGETMASNK >Et_4A_034399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32192412:32195429:-1 gene:Et_4A_034399 transcript:Et_4A_034399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLWHLGDELRGQPKLVEDRQWSLMTSKLAEITKSKGERMNDFDYGRMNTSRMDHLNLGLMNLDLKMNDLKMNEAAMKNHSFRNVPYNMNPMYPKGSNTNVNAFKMNVGLNKYSNTPNGKEANGKHNNGSNSNANNNNNSAADKRFKTLPTSEMLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPARYRDSVRAITPGLPLFLYNYTTHQLHGVFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRVRKLCKPLEEDSFRPVLHHYDGPKFRLELSISEQREFALLLNKN >Et_8A_058480.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:922059:922796:1 gene:Et_8A_058480 transcript:Et_8A_058480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDERLVAYIKAHGEGCWRSLPRAAGLLRCGKSCRLRWINYLRPDLKRGNFTPDEDGLIVKLHALLGNKWSLIAARLPGRTDNEIKNYWNTHLRRKLVNMGIDPVTHRPINDTKTAGGDGNVVAFQPPSPNMVKASAAPRCPDLNLDLCISPPSPFLSVEEEEDVKPVVKLQGSGHGGHAGRLCFGCSPLGLNNKGIASDCSNCSSNNHFLGLRIGMLEFRGLEMK >Et_3A_024442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20847429:20847685:-1 gene:Et_3A_024442 transcript:Et_3A_024442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRISDVTYSNIRGSSATQVALNFDCSAKQSLHWDIHAEHQAHLRRRQGGTADLQERARDGLWRCHVSLNNSGFIKNFTSS >Et_7A_051644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2211383:2213914:1 gene:Et_7A_051644 transcript:Et_7A_051644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSSPALPRDAEVTSWAEAVSSAALRHYRSLPKKGKPQGRESTVLAAFLLSSAQEPLRPSVLSMATGTKCLGAARLSARGDLVHDAHAEVIARRALLRLVYSEVGCDNPPDWLVASGDGARWKLRDGHCLHLYITQLPCGVMPVPPSSSELPREQLGSVNGCSDIGFIQRKPGRGDTTLSMSCFDKITRWSVTGIQGALLSHILEPLYLSTITIGQFRNGFSVENNIQNILSARLASLSHKIPTSFKFHEPEVYEAPVPPKEFQQIAGNVPPLTCGYSICWNKFGLHEVVLGTTGRKQGTSSKAACLPSTESLLCKRRLLEAFASLEHPSIAKFKHKELSYREIKDAAHEYQHTLEFLREAPFFSCWRAKPAYLDSFTLS >Et_3B_029652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26858427:26860391:1 gene:Et_3B_029652 transcript:Et_3B_029652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GLIMASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYEATARSWTQKYAMG >Et_1B_010808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14299073:14302469:1 gene:Et_1B_010808 transcript:Et_1B_010808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTASDVPWVRCLPCPNPPCPFYDPARSTTYAAFPCNSSACARLGSHANGCVGNQCQYRTSSPDGLTSSGTYGSDVLTIGATNTIRRFKFGCSHAEQGSMGGQQNAAGIMALGGGRESLVSQTASTYGNAFSYCIPPTTSYPGFFSLGAPVAESSRFVLTPMLPRDGTSTFHRVLLRDITVAGTRLGVFAAGAVLDSRTAVTRLPLTVYDALRAEFRSRMTAYRAAPPKGGLDTCFDFTGVAVVRLPRVELVFDQDAVVKLDASGVLFNDCLAFAANADDVAAGVLGNVQQQTIEVLYDLGEGAVLYDLHLYINQTKFCPQNIDQYISNLTSSYTDQSNEPAMVSASVIMFVLAGLFFNLNLFSGRDPGPRVRLFLTSALSLFLPVMSYLFSEAKNAARMHSAASATTTSSTTSGGDLSLMAGLILAWMLLVELLRKKVDEIRMRGYSGTVQRAGRVVWLGNLVFFNIKTAGRKAVFAILWILCATRVVQRIAFTELGKRSYAHGKNARVISSYMAQMLAEQAEHHPVMGARGDVEQAVHQVDGNEMLRRCKYIVMGEERLVEEATADGYKLTTTTDDSVVVSVGRVWELAESDKLFTSVHQSKGLKRLCLSFALLKLLRRRFEHAPEATEEEARDCRDLILKGLCDGGESAEALLQVMNDEVNFLCEYYYHSVVPVALASPFFLVVNHFLVLAVVALLCLMAVILCGNGDTGYAFRSIGDDNYVLQFGFGKLAVCIFSKAIRSPSAFFSIVDLAITALLFVIFLYEEIWEFFVFLLSNWFMVSLLANYTSKPQWRESSSFRLAFHCLIRMRSTLSHPSLSFRQFSALNLRWPLRLPFVPALSLGLQKELVPKDVKKSIIDYLVEHARATDTANYTPLTNGRNALRRNGFDNLSWACDGSSVAEVILTWHIATSILEAEHEPQSNTEADLSRVAITLSKYCAYLVAFHPELLPDNPEKAEAVVDSMKAELRGMLGRRDYYLSTLSKRVQKIMAMEAKKDQTTEGATCGDQTGVVRNGARLGRMLMAEHSTEAVWAWKVLADVWTELMVFVSPSSDEERVKAHGDVLVQGGEFITVLWALTTHTGVSRLANNKPTTAWGGHTKTLEDLFGESMRAPPPLPAAS >Et_1A_005189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31236520:31237317:1 gene:Et_1A_005189 transcript:Et_1A_005189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGHGYYGGGNSPPQGYGYGYGGGGAYGYDAGAYGGAGGGYYSTGGYPSSAPAPYVDSLAAQRAHEFPAPLNGLEFQPSETCPKNYVIFDQTCTKSRVMFHPSLANKLGGSSGGHGHEYDHYDYYGGGDPYGAAYGGDVGGKGAYRDNKDHDDDSCSVRQKEDTEEIDALLSSEEDDVDDDGSSPDSTCSSSYGSGKPRPKKERMKKMMRTLKGIIPGGSQMDTPAVLDEAVRYLKSLKVEAKKLGVRGGSDSS >Et_1B_014299.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5426740:5428641:1 gene:Et_1B_014299 transcript:Et_1B_014299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSPALHADGVSMVGGLVLSQAQSHQVATPRTAAPAPALLEKKQREQAKPCSTAAAAVFTQPARSLDEVRKAHARHVKLGLDRSPRHARPLLAACALSGWPGRMELAASIFASLDEPEAIDYNTLMRGHVRGCDSAAALRLYVDMLEAGVGPDNYTFPFALKACAQLAALQQGRQLQGHVVKLGLRTDEHVQNSLISFYGKCGEPAMARQVFDQMDAEERTAASWSALLSSYTRAGLWSECLESFGAMVRDGWRPDESSMVSALSACAHLGAHDVGRAVHGALLRNAAALNTIMRTSLVDMYAKCGRIEKAAAVFDAAAGGERNAWTYSAMVSGLALHGDARKALQVFDAMVREGHSPDAAVYVGVLNACSRAGLLDDGLRCFDRMRLEHKVAPNAQHYGCMVDLMARAGRLDDARALIGSMPTTGPTDTAWRSLLNACRIHGDLELAERALRELRRLGAANAGDYVIVADMHARAKDWDAAAALRTEAVDRGLGPSAGFSAVEVRGEVHRFVSRDMSHPRAHDIYEMLHQMEWQLRFDGYRPDTSEVALDVDEEEKRRVVAAHSQKLAMAFGLLSTPEGTPVRIVTNLRMSKECHAYSALISEIFGREIVVRDRNRFHLFRRGACTCGNNW >Et_1A_004641.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:25226821:25229912:1 gene:Et_1A_004641 transcript:Et_1A_004641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWVNSTGPGAGNGNGRGAGGRGFSNRRYTGDAVHGGSSSGGGSGRWRGERSRGRPSPHTHYRYRPVDATQRHSPPSTGPALSDQAASSTSTRHAQITHPSSAADKSSASGTVAPAGSNKEPDDKANRNAANFECNVCFDMAAEPVVTKCGHLFCWECLYQWLHVHSEHRECPVCKGQVADDAIIPIYGRGGSAASVDNAPPRPTGARVESSRQQNQQHHHLHTPHQMVYADDDEEDDPFDFPSMMNFGFGATSVRDAVRSFMSPSFDDVEMEDQFDDYTYEYNAGEHFVDEAYDYNLLGFPVFAPAAGAEVANPSSSHSHPQFEYVDIDSIIPSHNREFGYSGAAPHNQNRGRHCRCHRARASADQSSTDATVTGGSGAFYCDNSASSNVSAGASSRPNGGWAERRGRSNRNSNSAGGRGVQDGRRHRTGYS >Et_1A_008925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19422143:19423226:1 gene:Et_1A_008925 transcript:Et_1A_008925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPAPCGMHHHHRCRRPISPPAARPATGRACLLAYASLRASPSPTVGREAAVARMPRLAHRDVMLSLARQAEARLGARLLPSQVPADVADFSDDDGRALGSVDVRRGAPGSSVHRCCAATSHRAQIDFMLEAWFHRALPGGGGRAIDITSLIVFLNGATDAPHFLMELIQGGPSSLVILLDLFPRRDLPLHPSYVDAYYAATGVDAHRRDIVDRVPQVRPYVSPSLLVRSLWSPTAVVVDVQCGEGGAAELEEIVRGQIASSAAAVLDVWIERCAGSVVEMEAAERESLVARDKMIATTSVELNLSANLPKMFSADVSGRVVAEISKPFVGS >Et_3A_025074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26716455:26719021:1 gene:Et_3A_025074 transcript:Et_3A_025074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGTRWRLLIALPLALFLAAGSAAAAAAKPPVPKAISDLRDAIVKGLGFQAEGLKVSGFDVRDALVGQAVAYEFDIEVGRKVLPVRLLEDVSRWDFVDLPIFRSQADADDTALAEIRRRGRGSVVEPTLPPFQLAGPMELWIQDGDDVRLALPHDVDAGTLKKVVLSDGAVVTVKGARAVSLRLPLELPLPLNRTTYKGRLSSLLSIAQALRGAARSNQKPLLSLRIEGPTSLSSTPSMSPNDKLKLKRLAPGQVELSSRAIPAVTEDEDEPHNTGLWPLLSLNGSDASLQGFEELLSSVLGKKAGEKGTFKLLKARASAQTYVKMAFAVEKRLADGDVDWSNFPEWKTKPKKLRAHYEVLARVEGGQAIPERIAQVQPFRADEATSPSVLTGNVTMSKLEIVHPPPVYFIL >Et_10A_000908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1949666:1958088:-1 gene:Et_10A_000908 transcript:Et_10A_000908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESTVLILLLCIFFPAVAAPDGAPSRPSVSLPGCPEKCGDVLIPYPFGIGTSCAAANLSSYFNLICNDTLHPPRPTLGDVTRNVEITNISLEKSEMRVLTPVSYICFTSNTTYSKFTLDYYLMEISPFRPSPSRNRLTVIGCNTLGAIGGYKGVKDQYVAGCYSYCESINSTSDGAPCAGMGCCEAAIPNDLTAVRVMFEMNQSRVWDFNPCFYAMVAEVGWYSFRQQDLRGRLGFINSKAPRGVPVIIDWAIRNGSCPEVGHKPPSDYACISANSYCTAPSNGPGYLCQCSNGYEGNPYIPNGCQDIDECMLHKQDSKYQELYPCRDGVCQNTPGYYRCICKEGTRPDGTNFGCLRDLHNSSKDNSTILFTSKHFRIRFRCSLASVFCEGLSVSAIVVIALSCLFAMQVQRRRYKKEKDEYFRQNGGLKLYDEMRSRKVQTIRILTEKEIKRATDNYNEDQVIGCGGHGMVYRGTLDDQKQKEVAIKKSKVINDNCREEFVNEIIILSQINHRNIVRLLGCCLDVDVPMLVYEFVSNGTLYEFLHGADYRPSIPLDLRLKIATQSAEALAYLHSSTSSTVLHGDVKSANILLDDEHNAKVADFGASSLKSMDENEFIMLVQGTLGYLDPESFISHHLTDKSDVYSFGVVLLELMTRKRAMYVDELNEKKSLSHSFLLMFRQNKHQSMLDPNIQEEAVLVLLEKLAKLTVHCLSPCGEDRPTMKEVAERLQILTRLHIRATNGSGNHYYAHTHEGSSSGKAEAAAGDTAVASGHHTSTTMKESIVHQVLIIFLVIAIPATSSGLAISLPGCPDKCGDVSIPYPFGIGASCAATSLNSYFTVTCNNTFQPPRPMIGYPSASTEVLDISLEQGEVRVYGPVSYNCFISNTTMSDNYTEGYNLEGTPFIPSTTRNRFTVIGCNTLGLIGGYMHSNPDLYLAGCYSYCLGINSTFDGAPCTGKGCCETTISPNLTDFTALFVINQSSVWTFNPCFYAMLVEVGWYSFRKQDLVGRLGFINERANRGVPVIGDWAIRNGSCPKEGEKVSRDYACISSNSYCLTASNGPGYLCNCSQGYEGNPYLHNGCQGSLLILFPENTCCKLQFDIDECKLRKQDPKYEELYPCKDGACHNTPGSYTCKCRIGTRSDGTNSGCRPVLSRAEQVVVGLSVSAVAVLSLTFFIVMKLQRRKHRKEKDEYFKQNGGLKLYEEMRSREVDTIRILTEKEIKKA >Et_7B_055588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1679052:1683219:1 gene:Et_7B_055588 transcript:Et_7B_055588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKRTRPSPPTPRPNPNPNRRATASGADTASPSKRMLAFHFIRALARIHRSTPVPRRTRTIRRAAYSSMARAANPRRVWSRALLQQMRARRSRSRRAVLLRRRVAAAPPPGARSASGEESTPTVVLARGGAAAAPPPRQAGDEPARADALRRLVPGGDEMEYSNLLEETADYVRCLRAQVGNTWKIEGAGRAQGVEYPNRELWEIGT >Et_3A_024289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19135019:19135751:-1 gene:Et_3A_024289 transcript:Et_3A_024289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADMWLSLPPDLLLEIFRRMDGTNVIRSAAACKPWLRAIIGNAASSCLRPRPDSSRFLPDLLLGFFHRYWDAWRYHVRLQREPGAPYGSELAVTAAAAAAPRGRPAGVVRRAAVVARRAPPPRRRRKQGGLYTVPVQPHDPKNRSLKSAACLCDDTVVISHGAAHWLAVSTDTWRLACTVSMDVRTGRAWATDLPAQCTTCGYSSFVLMLKKKKAPSSWPPRRRPAARTAAACR >Et_9B_065506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:603444:605301:1 gene:Et_9B_065506 transcript:Et_9B_065506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPAPVAPGNGRLGRARPPRVGLRGGMVVAASAAGEGPSCLYVGPIETASQEKLEALYQQARDSYYSGQPLIVDDMFDKVELKLRLYGSKSVVKYPRCSLIRQSTYADAEEDQSMFMALSSIWMLLLLFGSSAFLIPSLYALTLAFGDTFGARYLLYGANSLDTITRVNDLTLVGLGYIIGYPIASASVGALRGLVTNNLVALKGSCPNCGEQVFAFVKTDKSIQAPHRAECHVCECPLEYRTKVKKLLSGPRRIWVYGRVYLVKAS >Et_1A_009562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8179763:8182124:-1 gene:Et_1A_009562 transcript:Et_1A_009562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKAPDLMPPTSAQPMNLDDLEVGANPFSANLERQRPSNGTINLEEDEHTTSSCSGQKVTGNGKKRKQSQVAAVLQEFVDFIVKQTKDFMDELNVNTKPNEDYSIKNCLVVLESIDELSEMEKAKAAKSSNSIAFSIRW >Et_7B_053328.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8585001:8585373:-1 gene:Et_7B_053328 transcript:Et_7B_053328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVGLQILALALVVTIFTGHKAWAERDCHHEKVLVMYKCKVTLAVGTDYVDPSNKCRQAVESSDIVCVCKIIEVTS >Et_3A_024314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19400905:19406802:1 gene:Et_3A_024314 transcript:Et_3A_024314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVKEVLDWFCREPAAASLSAVAAAALAAPADGVDRISALPDDLLRKIISLLPIRDAARTDALASSWRGLWRSAPIVLHAADLLASSSDEDVRAAAASIGRVLADHHGPFRGVYITSFPLAPLESELVKWSRLLATKGVQDLAVHDKSGCMNWGPGVPMDILRSTSLRRLFIGCRAFPFSVHGQLDSDIAFPHLQELLTFAAFICGENLQQVLARSPKLETLALVFSIKMVPQSIHLCSQSLQCMLLWSSTAEELTVVDAPCLKRLILWETGNTLGWGDQQTLTVKIDYAPELRVLGYLDPRHHQLQIGNIVIKAETKASPSSTVPSIKILALKVDFNVLMEVNMLCSFLGCFPNVDTLHIQLLKPLSRFWEEVGPIECVKSHVKKIVMNEFQGQQSELDFLKFVVKCSQKLQILQLVLTREKNISAEELNEMNCQLVAALGTCTWAAEECKVELLLGHEAENPPRPPPVATIHGILLHKSTQGADQHLMDSIVDLMSHFLNSCVPSRPVDTDALLSADFSSSGVGGTEDRVSALPDEILCGIISLLPAKDAARTAVLSSRWRPLWRSAPLALVDSHLLPVAAGDAGCVCPEAQSNVIAAAVSCVLEAHPGPLPLRRAVLGLNGRAPLRGVEELILVNRPSPSDLLLPSAIFSLASVRRLYLGVWAFPDTTSLPRGAAFPYLLELGLGYVDMKDKDLDFILARSPVLDSLVLYSTQMQQQPAVRAAVHGIAVVDAPCLERLFLWETIRYDGGDRGGTTVKFGHAPNLRLVGYLVPGVDVLKIGNTVIKVGTKASSKTIVPSVRILALNLCFDERNETSMLPSFLRCFPNVEILHIEGDAGIKLADYLSSVRKASEYFSVSFSDSCSSRGGDSWICDVASDFSVSEQCIIELQWQIKIGA >Et_6A_046648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18741966:18746560:-1 gene:Et_6A_046648 transcript:Et_6A_046648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVTGAMSTLLPKLGNLLKEEYKLPKIVRGEIMFLKAEMESMEAALLEVSEAPIDQPPNKHVKLWATDARDMSYDLEDSIDRFMLSINTGNPSKPHSFKGFIDRSLHLLTKAKIRHKIGSNIKDIKSRIKNVTERRDRYKMDHVVAKPVGTKIDTFRLSALYKKETDLIGIEVKSNNLVKRLLEGDEAAKKQLKIISIVGFGGLGKTTLANVVYEKIKRQFDCGAFVSVSLNPILANIFKKMLHQLDGDKYRNINESTWSEAQLINELRKFLQHKRYLIVIDDIWNYAVWETIQHSLIGNECGSRIITTTRIHDVAKQVGGAYQLEPLSLDDSRKLFYLRVLGMEDKSLPNQLAQVSENILKKCGGVPLAIITIASMLASKMANKNTHKYWSMVHESMGSGLEGCPDVMNMRRVLSLSYYDLPPHLRTCLVYLSLYPEDYVIDIEDLIWKWVGEGFVCQESGKTFYEVGENYVNELIDKNLIQPVLRQCYFDDLKDNNKARFCHVHDMVLDLITYLSREDGFLTIFGGHQSTCLPNKIRRLSLQVIKEEDTTKDAATLMSDMRSLTGFDKSLYVFPTLSSFPMLRALDLRDSKQLDNHHLKDICNLFHLRYLQLCRTFITEIPKEIGNLQLLQVLEIDSDEIVELPPTILQLQQLVCLHIPYEIKLPKGLGNLKSLQELPGGVFIRYPSMFYDLSGLIKLRHIIIRFDEWDSSYLKPLFHCLSNLVCLESLVLICFHGTIDLECDNLSPGLEQLQTLDMSSCGFTQVPEWMSSLCSLSLLTIELSELGEWDLQVLGSIPSLSDLSLWVGGFREKRHKNKLVLDSFYPFLCLTKLVMSWSTHIIDVVFAPGAMQKLQTLMFKFGVREELNHFGGFDFGLENLSSLEDVAIEMWCYKANAEEVKAAEDAIRNALDMNPNKPQLEFNKVALGC >Et_9B_065037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20733311:20744518:-1 gene:Et_9B_065037 transcript:Et_9B_065037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMAASINHFMDAEDNSFDSGGRDVRSVSTLRLEFFATQSSGCINRLVAKALDAWGVDDLEVLGKSTFCCLEDVHNFPSHGLCNDPHKSPLRTLKLAGCVIPALGGFNALTTLVMQDLPGSTPASAYEDVFTLCSQLQSVHLKSCLCKGAMVVDAPRSGIKQLVIEHCLTTDISLQALPMLECLAVVDTAVSYKLSSFPHIFQLNVTQYDGIKQRLMSYFKLDWDLELYLGGDPGVISLVICFAGYDGWFRRWSPTLLLPKLRRVLIADVAPCLETLHVHITTPWEEEPCDDISWQPLEFSHNQLKELVIVGFEGTKRQICFVKFVMKVAAALQYVSLYRKGRVQSRGHWDWDIVAEQYQWDNEEKANILDQITANVSCSETLIKLKKMASSMISYWSILSTPLEEKRDLLFVVHYAEAVSQTEITEDHALSVNFIGAVNISDLCAALDKVESLISEARSQASQRKDIITGMELLESSLPEIQWLVTSGDSTEEQRGTRARILADVFPKMLQELEDKIQNNEDAEAFEFDGDGHTTSALRPIHRSRLSSAIARKILSQRHWLNASGSRPPDGHTTAALCPIPCSPCSNAMARKILFQRHPLSASDGDDRLSGLTNDLLLLILRHLDTRTALATAALSKRWAGLPRGLDTLDFRVSDILPPRYHRCILMHKEASGYTYGFLVNFKVLCACIRRYERHAMRTMAASINNFLDADDSHELSHGSGVSIR >Et_3B_030513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4541586:4544665:1 gene:Et_3B_030513 transcript:Et_3B_030513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPKFLLVGLLLSLLASASYSTTSWDDEDFFKTCSSHRCSKHGPEIRFPFRLSSHPPSCGAPGLLLSCSGHDTILDHPVLGACKVTEIYYRFGIINAIPLADSSSQCPLQKLVSLNQSTDVYKPMALEDSVLLGCQTDFIAANQEEVVGPSSCLSLRNNASQFWYLVNPETDMSTLPMGCVVVASDIPIPYSCNKNGRRYYTRFFGRSLFKEKAYKTINFGETSLNWRINNITSVCQRCEQDGQHCEFSSKRGQAFCQRHGSHVVLIAATTSAATLVVLVTTTLYLSLKKRYSEAIHLKVEMFLKTYGTSKPIRYTFSEVKKIARRFKEKVGQGGFGSVYKGQLQNGVPVAVKMLENSTGDGEEFINEVATIGQIHHANIVRLLGFCSEGTRHALIYEFMPNESLERYIFLQDSNISQGLLVPDKMLDIATGIARGMEYLHQGCNQRILHFDIKPHNILLDYSFNPKISDFGLAKLCAKDQSVVTLTAARGTMGYIAPELYSRNFGEVSYKSDVYSFGMLVQEMVTGKRNSDQSIDSQNEVYLPEWIYERVATGQDIILNRQITEEEKGKVKQLAIVALWCIQWNPKNRPSMSKVVNMLSGRLQNLQIPPKPFVASESHSMV >Et_2A_015568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15003607:15007726:-1 gene:Et_2A_015568 transcript:Et_2A_015568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRRPRPSIHIQLLVVPPFSAAPHLPGHRPRRERRRVGSRRRLPQHLGALPGVTAYESKEQEEAALLVVIVSLLPTIVYTGPPCTRFRSVYLPDRFVPCYVHGESVWLLGVVSGSRLPCVGAQLFSMVAATLDGGFVVAVAEPGCHGAGAYGSAWRLMMDKRRRAVLFILLTGGLAAILCPVHTLTQTCILTNMVSGLLLESLYSILIAAA >Et_9A_061710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15629414:15638549:1 gene:Et_9A_061710 transcript:Et_9A_061710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDGIHADVFQAILLRIPLSPRRRLRLVCRHWRDVLAFFNQPRLSRAFVVDGIPGSRSAELDLRGIDTASAEAHMIGTCNGLLCLSHGYPRRITVINPVIGEAITVDLLTTSLSLERESYSFGYQPETGQYKILHVPCHDYSEFTMKFNAVHVFTLGDGTSWRKVRAPAGSSCHLRFGFVTIDGVTYWAKTNAERIMAFDLKDERVGLLECPPVPMMASREHYSLHQRPHLTDVRGRLGLVVGCGHDAFQSMTEVWVLEGGREESWTWVKRFTVLANGVYPQQEIALPHVVHGDHVLTTCRPSGWRTGQLLSANLPREERKIRPCLMLRVGAPSPETVVAGVTNGSKVRTFAFVKTREPVVVYGNGVTARTVRTLPEPRAQAKVLADFSRSRAFVVDGPQGGGSTELDVPGSYMIGPCNGLLCLWMSDDDYRRREIAVINPVTRETITVDLPAASWTRHRTREATYSFGYDPARGQYKVLHVPCHDQFVSSMKFPVVHVFTLRGGDGPSASSSSWREVLAPAGSSCDVRFGFVTIDGVTYWASAGAERIMAFDLKDDRVAFVECPPMPAAARTEPDPWRQHHHLTDVRGRLGVAVSCRRDEFQQSPTEVWVLEGGREEGWTWAKRLTVTDQEIALPHVVHGEHVLTTWGDPRAQRNGPPLILSANPTREEGKSRPCIKLLVGSPRPETVVAGAVHGGRVRTFAYVETRELVLVYGATATTRSDIRGFQYLMEDIEMLPQATSLGLVVISRGYAFGHSLFHLLKMCKGVRNLCLELYMPNNLEAQYVCPSGCACDEPTNWKTEELALNCLEEVAIVGMRGTDHEVAVMKRLFKCATVPIDITFNCSAAMGDLLDALPADAFVLILRPPLADILPRAPPPQRAPVWRAPLTVLAFFPGKLRCPWRGTATSPSRAFVFGKRDLSGDDDQEDNVVCREVDLGAGAGAASMVGTCNGLICLRREGGDVVVLDPLRRKQLRVEPPPPPATGGTGSSSSSASHDSTYTFAYHGATGRYKILHVPCDGRAGARRRQEAVHVFTLGDGSSAWRQVPVPAGTSCCAHFGLLTVKGVTYWVTRDAERIVSFDHGDERVALVETTLLPALLWTGSYTCHLADVSGRLGVAVCRPRAWTESADTELWVLEGEAEEERTWVKRYTVMVHGKKAPCQQIALPRVVHGGHILTTGRPLKEGPLRQPLCFYANRPRHETKLRCGVVRVSARSPGRELREFDSESLQTFAYFETWEHLPAAATTYEPTIKPVLTGSDDDHHGSSNDDGHL >Et_9A_063102.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:10217962:10220037:-1 gene:Et_9A_063102 transcript:Et_9A_063102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFNPVNEWTIRAVVLLSLGAHVVLTLFAGIRRRESSGWRTVVLWLAYQVSNWAAAYALSNLSLGRTRDQQLGAFWVPFLLMHLGGPDNITAYSLDDNKLSLRQAVNTVLQVLGTSFVVYRQVYISGKGTMLWASVVMVAIGVAKYFEKAWALRAGDFGNIRSSGQRQQISLRIQQHRRSKLNSEKALLVAHDLLHVSKGAFADYSVDEDPLKRDTNVRILFSHGWENMCRVVEMELSLMYDILYTKAAVAHTWLGYLIRVASPAATATVTLLFWLSNKDDQQREDVLITYVLLVSTFLLDVTWLIRATASTWTYAFLNARPASRLHHALLCSGRWYRLRLVVMSLDPRRLLLIREPIGRYRLWSGTIGQYNLLHECTHERKNLFRWLVKKVATEETLMEYQYSRSLELDISKNVKKLLFDQIREALNLAYPASKPKKGADEKKKKEEEQKKPDDAAFKRQRLILIWHVATDIFLSCSDRELFKDDETVDAITALSNYMLFLVAVRPDMLPGLKLRSLYHVTRQALDNIWKKHESSRSSRRRDHSSSKTRDHELASILQDKEKQPADSDGRPGYRSVLYSSSIILSDGAKIADVLQARLSIADWDRIVKTVGIKKDSEKRILLLIPELGKGPVLKMGTLLKLIFNSWIRMLVFVSTRCSRDSHAKQLGRGCELTTIVWILAEHAGIFHI >Et_4B_036542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29018436:29019296:1 gene:Et_4B_036542 transcript:Et_4B_036542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDRKQAEKACQRAEELFRAGDISGAHRQASKAQRLCPSLPGVANALAAYEIVSAATAAAPNWRAVLGIRPGAAATPDAVKKQFRRLSLLVHPDKNHCAAAEDAFKLLRQAFDDALLAAASSGDDDTAGPCAGAAARDDEATARPAAAAGHDDEPPRPPRAATPDEVRIVVYCPSCKNEFAGRVGPFEEKKGMKCALCPAWLRSPWQKKPPGKKEPPAEQGFPYLARCPRCEAQFTSKVCVGRWRLTCKACSKPTMFDVPGAGMAAATG >Et_1A_008773.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12407144:12408109:-1 gene:Et_1A_008773 transcript:Et_1A_008773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRRRPEPAAIDITWVSCRGVKSSLPFHTPCLYASIFVVSSSAKNAHGGRRRHRVKTPTDRAGGENPEWDAPLRLYLPDASSPPEAAASDKNVKKKDRGDGDDVLLVKFELKAEVAVLGDVHTASAAVPVPGLVADGRTRRVSYQLVGPDGRQPNGVISFSYAFHERNGDDDDGRCSSDGEPATPPCATPTAAALPPATIAAAPRLYPAIEWTLTEQPPVYPPMTTATPYTVADTHCYPPPPPAAPVNPASVYPPASAPETTTFCGVYPTAVEPTASALYPTVDLAPVSCYPPLAPPPPYGAGCGYAAAPGWGDRCLYS >Et_9B_065722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9757979:9764303:1 gene:Et_9B_065722 transcript:Et_9B_065722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKPHPSGAQVPPPSFERPRGPPSPGPASPPAQDSLAAQAMRASAAHRDASSLASAYSSSSAAAAARRSHHEPSVSAPSPDSSGYEYTSMKSLNEAKYGFWGALARKAKSFLDEDGSPGQYESPTRQDPPRDGASVGVQHARSPQPPGETWKSETPPSQKRSEAITSSLNYIGGTIKNAIEEGRTIVETKTADIIQETRKLNIRRKGASSNIQGEAANKPAQRHFPQNPLDHETQLKASRDVANAMAAKAKLLLRELKTVKADLAFAKERCAQLEEENKMLRESYDKGDNPEDDDLIRLQLETLLAEKARLAHENSVYARENRFLREIVEYHQLTMQDVIYVDEGIEEVTEVYPTQVLPRTGSSLSRATTPATPKSGSSYLASTSIVVPEACPIVPASPKSLSRASSLSNKTSPPPPIIAEDINHIAKQIC >Et_4B_038325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28088819:28089473:-1 gene:Et_4B_038325 transcript:Et_4B_038325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSASPRLLAPSAASAPTNRARQSLSPRGGGKEERPWGGGAGAPYLRVRDAVDGAGGSEVEDARAAPRGFEERGAVKEVAPEHAEAVLAGARRQRVEVVRLRLVICTPRRATENHELASEASDKSCPVTTLWRACGKQKQSDTARSCLRGANKLLRGDAAAGGVVLLLTGVEDAGVDAVAAVEEVADEARADEAARAGHQHRLALRFPACCHLPVLST >Et_4B_038174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26967923:26968254:-1 gene:Et_4B_038174 transcript:Et_4B_038174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDCMVDLDDLVGPPPLEWVLLKQESVGAKLVGGVALYVHHRDSGHASSPCIRLSDEAFRSIRAHTRQSNSEGLHQQCNPATPRAYRDVPQLQQPKQTPLLPRL >Et_1B_010165.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27228394:27228480:-1 gene:Et_1B_010165 transcript:Et_1B_010165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQWSDLVGAPEGAHTFKVPNPMYYIA >Et_4B_038181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2768953:2770665:1 gene:Et_4B_038181 transcript:Et_4B_038181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKTAEKGKDSKKAPVSRSSRAGLQFPVGRIHRQLKSRASAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTAKDSH >Et_6A_047821.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:18446290:18447699:1 gene:Et_6A_047821 transcript:Et_6A_047821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGKGAAGPPRQLLHGHAAAGTLLAAGRARDALVFAAGAAAAVALVVLLAPATAPSSLWPGVGGGDPFPATPESTTRTFYDDPKLSYAVPVGGGVEGARRVTNWDAKRAAWLRSRGLSPTSPSVASRVVMVSGSKPEPCRDSGGDHLLLRFLKNKLDYCRLHPNVELLYNRNLLHPSMPSYWAKIPAVRAAMLAHPDAEWVWWVDADAVFTDMDFALPLDRYADGGHNLVVYGWEKEVYERRSWLGLNAGVFLIRNCQWSLDLMDAWAAMGPASPEYMRWGKTMKKEMAGKPTEEADDQSALVYLLSADPERWGQKTLVACDYYFQGYWVGIVDQLDGVAARYDAVEARDQAGGLRRRHAEREHLRYAAARNAAVRAPGGVPGPDGGGEHGWRRPFVTHFTGCSPCDARWRNPAFSAESCDQGMRRALDFADDQVLRAYGFRHAALGNDSVRPLPFDYPAAATERKS >Et_3A_024674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23155278:23160256:-1 gene:Et_3A_024674 transcript:Et_3A_024674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAEMKPPAGGSKGGSADPSLPRFKCQECHRALVVAEVADAFPAHAASGTLVFSRMHASSVQGSIMGASRMDNSFVVISKQRRSLRPGIPPHSAGAAAQHTELGQSTRAIEGSYIMLPPPAASIYNSSSYEGGGPYSGNNFGFYSSVTVLKRAFEIATSQTQVEQPLCLECIRVLSDKMDKEIEDVTADINFYEAYLQRLEHESYNILSETDFQEGKQKIEEEEEKLKAAVEEAEKEYVEVTSKTKGLETESKEFEQLKERYWQEFNSFQFQLTSHQEERDAVCAKIEVSQVHLDLLKRANILNDAFHISHDGEIGTINNFHLGRLPDVKVKWDEINAAWGQAALLLHTMAQYFTPKYRIRIHPMGSYPRVTDRNNNTYELFGSASSFMTSQFNNAMITFLTCLQEFAEFAMSLDKENNVSPDKSLKLPYKIDGDKVRGHTVVLSWNTEENWTRALKYMLCDLKWVLHWFVNNASFALPSASLHTESPKNEG >Et_2A_015287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10527136:10545412:1 gene:Et_2A_015287 transcript:Et_2A_015287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAKKAIKAGLPSCLRDGESLFRGEAEAEDKERANAEVQQLPDHALRENKLRAENLIKAGLTNRLPDGSKKMCASLDAICREFRRHKRHSENLPGVEAGAEEDEEKKADAEVRQFPDHVLRDKIQRAENAIKAGLTSRLRDGGKKLRASLDASRRELDEKGCERVVRSRCAESSGVDISSLKITSRRPDKSKILVKTEGKFCQEKNSCKSSSQTTNSSQEEYLDNSANMEKISSDDSPKDNGHDRMCTPSPTPSRKRKGDASADFSMRLRSRKGEVVLLDGDTPHPESTEETSNKCSAGKLYYPSRDHPNSIEISSDDIRCLEPESLLSSPIMNFYIMYLQGPTSSTVRPRDEYHIFNTYFFSKLDALTLKEDKTTYFLKLRRWWKGVDIFQKAYIFLPVHAETHWSLVIICMPGKDDQTGPMILHLDSLKFHSSRRIFSVVTRFLKEEWSYLNESASSTEFPLRETVWKNLPRKIEKRKIEVPQQENDYDCGLFVLYYIQRFIQEAPERLHKKEHSMLNKRWFKPEEPSQLRLEIRHLILSCGKTEPEDATKRLCLEDEPKDGTTKTSIGEANSKNDATEPSCGNAELKNGTTDPLCREAESKRCETEPRSELLSEAVDVAATAVHISV >Et_4B_039561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21989962:21991858:-1 gene:Et_4B_039561 transcript:Et_4B_039561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLPQDALAGILSRLAPRGIALSRCVCKEWRATVDARCNLRKDLLPLSLGGIFIGLYHYCEPAAPAFFARPSMARKIAGKLESYVKVEYPDDFPYILSSCNGLLLLDQRRVVNPATRQWACLPPYPPPPYGLEYLWYDKDMYIVFDPIVSPHYEVLLLPYVPPEFGCKKRDLLDGTSWPPSPCMWEQRSFILEGAPARTIADVKLSAEPLAPRHAVYWHETLYVHCKGDFLMRLKLSNDKNQVITLPTIGTGDEYHQLYLGKSKNGVYLAGVSIYHRRRQIWFLNESDNRTEWVLKNSMDLKVLSKHHSQNYDDRVTRHWILQDGNYDEENKRPMVGVEANFDWSSDDDNALDIEDWDPEGFCGDFIQELLDHGLELGSVRARVPQERDVDGIDRGTRCHPFGSPLGRS >Et_4A_033156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19300919:19302429:1 gene:Et_4A_033156 transcript:Et_4A_033156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDHIHSGTVVGKLEGEREMTLGFVDLLHDDYIEKDRSRRLGIHARCYTGGFRGYSCLAYACSDRNLWRRFRITIWWRNFRTSLGNAPGAAANRVALEACVQARNEGRDLAREACEVWKAIKFNFKPVDTIDIILSYDNGIKYAVFTEKSLRYTFNVELGFTKTEIKHWVELFFGVKVVAVNSHRLPGKGRRMGPILGHTMHYRRLVFPTIAMASLFLYVPKNKIV >Et_10A_000931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19212523:19223006:-1 gene:Et_10A_000931 transcript:Et_10A_000931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AFIREDLEKIMVRHKLVVDVVSANSLSDSLELLNPCVQLCFAGQSFTTSIKRKVRCPLWNERVLFDVLDKERLPSLTLEAYVYNIIDESQVLLGKARLSGANFSDSPDEVVKDYQLKGGTFKRSKGVLLLRVFLKNQAPVLQLLPAPFLGESIQTLMEAELKEIRPSFEDGMIVGRLPYLFVRVVKARHLPGVDVNEKPDPYVEITAGNLKGFTKCIQEEQNPEWNSTFAFSKRQLDSVQVTRIYVVVYDGVTDDFVGLVSFDMIDIPKHHPKDKPLVAGWHCLIDESGRTLEGELMLAVWKGSQADEAFRDSWISDCVDVTITHIGPKLYNLPILWCLRDDLQILVEAYLGPGQGTVVGRIAIPLETVQRRVDGHYPELEPAAGFDGGGNELINVSSCRIHLSACLDGEYNTQYSMGDYKGDLRPAAQEKSNPSVVGLLEVGILGAQGLPPRQRRTGRSTLHPYCVAKYGQKWVRTRTVINSCHPSFQEQYSWDVYDTATVLTVGVFDNPQVESSLYKGVSIGKVRIPLSDLQSGQVYSHAYPLLVLRPSGVKQMGKLLLSVRFTSRSLLNMVRMYTSPKLPKMHYEHPLSATAKYHLSSHEAQFLAYKLSRMESPLCKEVVEYMCDVQSLVWSMRKTKVNFYRIMSVLSIFITFWKWFCTVCSWDNPSVTLLAHAIFLLALAFHQLILLLVLLYTFLSTVWNYRRRPDYPSHIDIKISLTDTVHPDELVEEYDPFPTTRSSGLVLRMRYDRLRCIAGRIQDAMGDAASCGERITVLTTWRDPIATAIFGFFTLAAAIMLYFTPWKILVAILGLHTMRHPKLRGKTPSSVENFFWRLPQKTNRLVVAASDIPPLQYARETNAIGKA >Et_2B_022416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2128666:2140601:1 gene:Et_2B_022416 transcript:Et_2B_022416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRTSKMLAQPKKQPKLDTAFDCPFCNNTGSVECSIDLKHRLAEAVCAVCKEAYSTSAHALTEPVDVYSEWIDACEQANEGVRRDYYPDEDDEDILMV >Et_4A_033077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18134141:18135563:1 gene:Et_4A_033077 transcript:Et_4A_033077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASSVGAGFGSQLRPSLGFRDRLPRSARPSPSPRSWLRPPRPVTRLLCGGRVRTRGVISTATSHDQLGELEAEAIGSSALEPGRSSPLEVREEMARCFDLVHRLGRGAVYLGSSRVPPTHPHYLHTAELAREATRLLDCTTWTGAGPGLMDAATQGALEAEKPVGGFKISKEAGEWTTSNFHPYLPPETYLTCRFFSVRKHGLVDAAVRSSPTDRTAVVALPGGIGTLDELFEIMALIQLERIGSTLPVPFLLLNYDSYYSRLLDFLNDCQEWGTVAPGEVASLWKVCNGNHEALEYLAEFYNVPAVERNYRLSAQKNQQRASYIMT >Et_8B_059307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16474613:16479113:-1 gene:Et_8B_059307 transcript:Et_8B_059307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVAGGRAFNLHQLRPRPPWRAPSPITAAALLSRSAPANRPHHRRIPEGFLPSPEPGVSAVHLCRRVLSATSSVSLDDMLQGELDSAKGELQKQEAVGAFQKIPMVMPATDILMSAQRKSRNVPPTKGIANIAKRERNKGAKQLDALMKELSLPLRTYTENFPKRKHLHPYERSLIELTFGEGYYEQVIGRVDALRKRITSVGKQHASVCAKSTTKREAEERLTEGRKKLEEAFQQGKHAIDDLVNVAKALRSMPVVDLHIPTLCLVGSPNVGKSSLVRVLSSGKPEVCSYPFTTRGVLMGHIVSNHERFQVTDTPGLLTRHDDDRNNIERLTLAVLSYLPIAVLYVHDLSEDCGTSVADQYTTYKHIKERFGDRLWLDVVSKCDLLGKTAQTNFYCDDTDNELAQYKSFGPEGAIQVSVTGEIGIIELKERVHQLLSSQMARIKADQGGHETQETGTYGS >Et_5B_043194.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:16809564:16809704:1 gene:Et_5B_043194 transcript:Et_5B_043194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGMGCGVYVAQNYNVPNVKKLFNTYVFLAKHIEETYRKPKKNDDD >Et_1A_006348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21015908:21020413:1 gene:Et_1A_006348 transcript:Et_1A_006348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKVAFLVLLAGHLTAGEPASTTTLTVTPATLTKSTPSITIQWSNLPSPNPLDYVAVYSPPDSGDLDYLGFLFLNASASWATGAGSVTLPRLPDLRAPYQFRLFRWPPGERSKNTRVDQDGDLLPDANRRAAVSGNVSYEGAGARPAQLHLSFTDEVDQMRVMFVCGDAGSRSVRYGLAGEQEEEWEEVPTEVRTYEQWQMCDYPANDSVGWRHPGFVFDGVMKGLQPGRKYSYKVGSNSRGWSEAVSFISRDIEANETIAFLFGDLGTYIPYNTYFRTPEESLLTVKWILRDLEALGDRPAFISHIGDISYARGYSWLWDNFFEQIEPIASNTPYHVCIGNHEYDWPLQPWKPSWAANIYNGKDGGGECGVPYSIKFRMPGNSSFPTGTQAPDTQNLYYSFDAGVVHFVYMSTETDFTHGSDQYRFLKDDLERVNRSRTPFIVFQGHRPMYTSSNEVKDTIHREQMIQHLEPLFVKHNVTLALWGHIHRYERFCPMKNYQCLNTSSRFVYPGAPTHVVIGMAGQDYQPSWAPRRDHPDVPIFPQPERSMYRVGEFGYTKLVATRNKMTLMYIGNHDGQVHDMVEIFSQVNYSTPDKLVGVVPQNTLFYVEIAGTVMLALLLGFMAGFAIRMKRDSARWTPVNSEES >Et_4A_033125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18907388:18912023:1 gene:Et_4A_033125 transcript:Et_4A_033125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFYYVSNTQGKTSLTGHISIIVLVLRFGGSKANPATPLQTQLDVTHKKQLQTFRPYNIAHRGSNEGADFIETDVLASKDGHLICFHDVILHLTTDVANHTEFASRKRTLEVQGLNVTGWFVVDFTLKELKSLRVKQRFSFRDPQYNGKYQIITFEEFILIALYANRVKRPNGKKCEDKFVETLLKYGYKGEYMSDDWLRRPLFIQSFAPTSLIYISNMTNSPKVLLIGDPAFPTEDTNQSYYEITSNAYLAFISEYVIGIGPSKDTIVPPKNDYLGPPTDLVVRAHALDHESHMFLMALSADSIIPIMTYCRCIPKLSEMRIYSCTSTSIKTLMMNMSIGFMRSESMGCSPTLPVVCINTKNGQHHTQRGRRKQELLHEIANMLEDYGAGRPYMVPADEMELTGRKQVLLKPSPTMVCLIDASSSNRTSKKAKASAYLSSTPLLLSIIFALASSPGASLGGSASGNAARMMSGEEIIDDEKRQEGMVRKDRGSAAPTIGRQHPPLRGQMGREKHAAGMEEKQHAGR >Et_2A_015124.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31093083:31093373:-1 gene:Et_2A_015124 transcript:Et_2A_015124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALACALLLVRSTDAADAPAKPAVSSGAAKPKCVPGASNDKACRVGVGHDPENQEEEGASFSRRAMAPAGAPDAESDDDYSDPDIPNNDRLEVVGH >Et_2A_018276.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:2124792:2126204:1 gene:Et_2A_018276 transcript:Et_2A_018276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALERMPAKRFDVTVSSRELVRASDPPPGFPSVLAVSNLDLILGSFHIYFVTVYPAPAAGFPAVVAAVRAALPACLSRLFPFAGRVVTHASATGVPEIACNNAGAELVVADAAVKLADVDFADADRSLGRVVVPFQQGLALSLQLLQFACGGFAMSWGADHLLVDGHGLTSLPNAWAELLRTGGVSWEPHHERVSLFRPRSPPRYSPSLDAEFTRYAPAGLPNSLLVATLVRRMYVVSANELDRLRAAASTPSRRATRLEALSAHVWKVLAAAVDGSDTHCRLAWLVDGRPRLDPARYDGDALRRYLGNVVTYASREAAVEAVSSSSLADVAAMAGAAIAEVFRSERFEELVDWMEAHKGVFRDGGKWTETVGVGTGSPALVVSALLPFRVEGDFGFRKPRLVMPWVRPGRLGSASMIVARSPAEDGSWVVSTRLWPRLADAIEADREAVFRPATAARLGFGAPHASRL >Et_9B_065917.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17512999:17514858:-1 gene:Et_9B_065917 transcript:Et_9B_065917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAMPEASCSIKKTLKYFIRGSWRCASEHRALFSLALLLYLLYKSSPGFFAFLLTSSPVIICTTILLGALLSYGIKDLPEMNQGEKAPSDNSAAKFGSSSKNVHVESFEGPSVPAVNENIIREASFGRRNSNKHFDLDESVPLLKGGCQRDRRVDAGDRLEKMLDSIPSTETLQQQVSMEVYMKADAEKESKDTFSSKYKEDEYANLVGDVHQNEADGKGTTVSLSQSGEPEDISEHKAADGEQGKRRWGRAFSVRQRFPKLADTKVEAVNSAVDNQLDYSLYSQFTRAVSHDCSSGFDPDNAELDSTDVSMANTAPVPDATETEALLDADFSCPVPTNNDNSDNHSNVCSQDSETDSDSNDVSDNSKAKEDGEEKKAPGNEPAFLWTADDEKNAMDLGYSEIERNRRLEILMAKRKSRKHMSFELDGSDVSSFRPQALAISARRLNPFADDAEVPGSAPSILHSRKNPFAFLAEQSDNSGVLARDNINPQEFLPVSQQDTKFKRLETFNLGRPQRHVPRFKPCFVLEEFKFDEASTSNFQRQFSDRSVSKLSVVSECDTVSTVGDQEHNELIRNYIRGVRESPSLLRQDSDNVYAGSECSDGIIYGDNEALNAVIC >Et_2B_021390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29283556:29284371:-1 gene:Et_2B_021390 transcript:Et_2B_021390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLSLSTNVPVDAVVAADILKDCSRALAKIIGKPESYVMVSINGSVPMSFAASEEPTAYGEVVSIGGIGPGVNGKLSAALAEILETKLSVSRSRFYIKFDDVKVYTIMCLSSAISVFVHSFQTSELSNWQQ >Et_2A_015716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17140373:17144292:-1 gene:Et_2A_015716 transcript:Et_2A_015716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKHFKYVILGGGVAAGYAAREFAKQGVKPGELAIISKEAVAPYERPALSKGYLFPQNAARLPGFHVCVGSGGERQLPEWYAEKGIELILSTEIVKADLAAKTLTSAAGATFTYQILLIATGATVIKLTDFGTQGADSNNILYLREIDDADKLVAAIQAKKGGKAVVIGGGYIGLELSAALRLNDYDVTMVFPEPWCMPRLFTAEIAAFYEAYYANKGVNIVKGTIAVGFDADANGDVKAVKLKDGRVLEADIVVVGVGARPLTTLFKGQVAEEKGGIKTDAFFETSVPGVYAVGDVATFPMKMYNELRRVEHVDHSRKSAEQAVKAIISKESGESVPEYEYLPFFYSRSFDLGWQFYGDNVGEAILFGDSDPTSAKPKFGSYWIKDGKVLGAFLEGGSPDENKAIAKVAKAQPPVANVEELKKEGLQFASKI >Et_10A_001424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:338638:343566:-1 gene:Et_10A_001424 transcript:Et_10A_001424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRDTTLPDAFKLFLGKDPDKWPQEARVLVAAYDGDVRRLKEMAKSMDKEGKGIQETLANFNFVGTNALHAACDSGSLTVLRYLVEDLNMDVNKPDTIREFTPAVHAACNGNLPALRFLLDHGADMHHIHKENITILHAAVEKGQSEIVKFLLSRGAPVDVESSFFTPVQLAAHRGYTTILKMLLEHNADPNKHYTQFTPLGMALSSSSVPCVKLLVQAGADVNVSRSFHPLAKAAEKGLTEAIKCLVEAGANANVPDTLGRLPIELAAEYGTWEDVEILFPVTSAIPTIPDWSVHGVISHVYMEVMQREDDDIVKKRKSDLKTQAGDAFRKDDYLNASLLYTQALKVDHFDGTLFSNRSLCWLRLGEGEKALDDAVKCVKLRPKWGKAYYRKGAALMLLKDYEDAYNTLSRGLDLDPESEEMEKLFW >Et_9B_063994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:155769:158724:1 gene:Et_9B_063994 transcript:Et_9B_063994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFICIPYGKGRHVAVITKKKVVTLVEAAAKKKKKKKKKKMQDWAPVFISFVLFILLSPGLLFQMPAKSRLVAFGNFQTSVASILVHTIIFFALDAVFLVAIGVQIQFGTSSQLINLSSIGKTQIEEEEMSDWGPVVIAVVLFVLLSPGLLVQLPGRHRFVEFGNLHTSAVAILVHAIIFFALITLFVIVIGVHITTDS >Et_2B_021873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6332027:6332582:1 gene:Et_2B_021873 transcript:Et_2B_021873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSIFPEDYMIRKDRLLKRWIAEGLVAEKRGLTKMEVAEGYFSELMSRSMIDRATNQEREEGCRVHDMMLEILVSKSLEANFVTLVGGQYEGLSYDTTIRRLSIHDGVGAHKGRNMVAARHDTGNDINGMIVQHVCSLSVFDSEAHRLLGRLGEFTLLRVLDLEDCKGLVGKHMSCIC >Et_1A_006569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24628524:24630461:1 gene:Et_1A_006569 transcript:Et_1A_006569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPESSPSSRNSTQSATSSSADSSPPSPPSSTPGSQASSNSQPKSSTGSQSQPGDESSSSSSPASRSSPSPPQPRKGGGGSGNSGSSNSKDGKKSSSSPPSPSHTEAVIAGVVIGVVAFAALLAVVACLCCCRRKKKRPTPMNMPFYTDEKGNVYYPNAGLPPKWQQYGSNGSIAPLPGWHHGGEHAGAPPGSAAAPLSSEMGGGGAYSSGPYGPALPPPSPNVSLGFSKSSFSYEELASATSGFSAANMLGQGGFGYVYKGVLAGSGKEVAVKQLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGNQRMLVYEFVDNNTLEHHLYGKGVPVMDWPTRMKIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDNNFEAMVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASSGKLTDRSDVFSFGVMLLELITGRRPIDTANLMEDSLVDWARPLLSAALAGETGFAELVDERLAGEYSPVEVERMAACAAASIRHSARRRPKMSQIVRALEGDASLDDLHQDGVKPGQSVLFSGGGSDNISRLRQLAFDSGDYDDYTTDYSTDSSSVAGRPPRRP >Et_3A_025543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30621754:30643423:1 gene:Et_3A_025543 transcript:Et_3A_025543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHAVTDVLLLPRPDGAVAGTVDFRGRPASRLGTGRWPAAMFVLGVEIAERFAYHGVSANLISYLTGPLGESTAGAAAAINAWSGVATMLPLLMACVADAWLGRYRTIVFASLLFVVHCHRSFCILVVTNHLSLFLWQQSMGMLTVSALPPFHHDGCRYNSKSLACSPSPLQVAVFYISLYLVALAEAGHKPCAQAFAADQFDQHDSKESVARSSFFNWWYFGMCSGTALTTIVSSYIQDNIGWGLGFGIPCLVMVFALLAFLLGTRRYRYYSTESSPFARLARAFVALIRGSKSNQCDRNSDVTQCPNLQSIHFLDTDSDHATNDAGRHQDEVKGLLRLFPIWATCIIYAVIFSQSSTFFTKQAATLDRRIGSTLHVPPAALQTFISVTIMTFIPVYDRAFVPLARRFTRLSSGITMLQRIGTGLVLALVAMVVAALVEMKRLGVARDAGLVDQPKAALPMSLWWMVPQYVLFGLSDVFAMIGLQEFFYDQVPDALRSLGLAFFLSIFGVGHFFSSFIISAIDGATKKSGASWFSNNLNRAHLDYFYWLLAALCAVELVAFVVVSRVYVYKKRAPRDNGAVIELVLSPVLMEPAMADAVVVGAANYRGQPASRAATGGWKSSVFVMAMEIAERFAYKGVAANLITYLTGPLGQPMARAAASIDAWKGVSQMLPLPIACVADAWFGRFRAIILASVIFIVSMGSLSLSSAFPVAGSGHVALFYVALYLVALGEGAHKPCAQAFAADQFDEKDPRERVARSSFFNWWYLGMCAGTAVTTMVSSYVQDNVGWGLGFGIPCIVIAVSLVVFLLGSRSYRYYTTREASPFSRAANAFLALIKSWRSNRRTNPVSSKGDGNAAAVEEVKTVLRLLPIWASCIIYAIIFSQTSTFFTKQAATLDRRIGARFKVPPAALQTFISVSIVVFIPVYDRFFVPLARRYTGRPTGITMLQRIGAGLAMSLVAVALSALVEMKRLGVARDAGLVNSPKATLPMSLWWMVPQYVLIGVADVFAMIGLQEFFYDQVPDAARSLGLALFLSIFGVGHLLSSLLISVIDKATAKSGTSCYASSVLVSGIDWATKRNGESWFADNLNRAHLDYFYWLLAGLAALEIKGIIKATSFYFISSERHQTFFSSLLLPQPATALDHLGRPVSRRTSGRWPAAIFIIGVEISERFAFSGIAGNLITYLTGPLGQSTASAAAAINAWSGAALMLPLLGAAVADSWLGRYRTVICASVLYILGLAMLTLSSVIVPQQPPVGDNVDSSLSWTMGIHLTFFYMSLYMVAVAQGGHKPCVQAFGADQFDENDPEECASRSSFFNWWYFGTYGGNTITVSVLNYIQDNISWQFGFGIPCIAMSLSLAVFCLGTRKYRFYPPISGGLFGNIGKSLRAWIQRLGASCFPKSSNDSHCAASSSTGDEGGIEKTYFPAAAAAAVLKLLPIGATCLVYAVVFAQWMTLFTKQASTLDRWLGSFQVPAATLQSLISVSIVVSVPIYDRILVPLARKYSKNSSGITTLQRIGIGMVISVILMIVAALVEMRRLRVARDYGLVDKPEVTIPMSFWWLVPQFVLSGLADMFTMVGLQEFFYDQVPDGLRSLGLALYLSIFGIGSFISSFLVFAIDKVTSKSGDSWFSTNLNRGHLDYFYWLLAVLNCFGLASYLYFSRLYTHKKKGILVQFHATKISNLDVAIRSEQQIFWLKDQN >Et_4B_037340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18679008:18696197:1 gene:Et_4B_037340 transcript:Et_4B_037340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKALKPPPQLLSVGWTLALTLFALRLTTALLHPPQTADPATNAVFSPLSIHIALSLLSAGAGGDTRDQLAAVLGGGDGLGGVEGLHAIAEQMMQVALTDGSAAGGPQIAFANSVFVDSSLKFKLAFQEIAAGKSVDFQKKAAEAAAQVNSWVENVTSSLIKEILPPGLVDQTTRLALVNALYFKGTWTEKFPAYKTKDHPFHLLDGRTVQAPFMSSSKEQYVASYDNLKVLRFPYQQGGDERRFSLYILLPEARDGLWSLAQKLSSEPEFVEQHIPMRHVPVRKFKVPKFKISFGFEASNLVKGLGLQLPFSAEADLAEMVDSPITRNLRVSSIFHKSFVEVNEEGMEAAAASAVKVMWLSYTSPVDFVADHPFMFLIREDSTASSATRRPAGPTMAAAATADTRLPVAHQTRFAFRLAAALSSPSSASSAATNVAFSPLSLHVVLSLLAAGAGGATRDQLAATLGGGAAAAEGLHALAEQMVQLVLADGSGAGGPRLACGNGVFVDSSLKLKPAFEEVAAGKYKAETWSVDFQRKAAQVAGQVNSWVEKITSGLIKEVLPPGSVDQKTRLVLGNALYFKGSWTEKFDASKTKDGEFHLLDGSSVQTPFMSSREDQYVRSYNNFKVLKLPYQQGGDKRQFSMYILLPEKRDGLWALAEKLSSESEFLENHIPTRKVEVGQFKVPKFKISFGFEASDLLKGFGLQLPFSPDADLSELADLQMGQNLCVSSIFHKSFVEVNEEGTEAAAASAVAVMLCSYTPPVDFVADHPFMFLIREDTTGVVLFVGHVANPLLGP >Et_1A_004971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20747672:20748813:-1 gene:Et_1A_004971 transcript:Et_1A_004971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding STSWFFSVSGQEAHELVHLHGPSQSGVHVSVARTDLPTVSASVLGAESWLRAHVLARYPSERITSIVVGHGVACDHGQELLVSLRLSHAAKNLHHSLVRWGLVGDIKVVTALTACATKDRGGVLQRRLYGRHHLPPAATFPPPQPPPGVPLSFAPNSPPEVVPSVPPPTAPASPPPTVYASPPATIPSNPPTSTPAGPPEVAGGMAPSAAAMSPPPWSGLGGNGGGLWCVAKPTVPEDKLQEAMDYACGQDGVDCQEIAAGGSCYYPDNIASHASYAFNSYWQKMRRIGGSCDFGGTAVLIHSDPSMASLTSVVHIHYFNFLNNVTSSEFTVWIQFVFGGVSCGSFICG >Et_2B_022526.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24612528:24613091:-1 gene:Et_2B_022526 transcript:Et_2B_022526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAKPPQPQPSPSPEIRYRGVRRRPSGRYAAEIRDPAKKTPIWLGTFDSAEAAARAYDAAARSLRGPTARTNFPSAAPSAPRPRAPAAAAAATSSHSSTVESWSGGAPRAAAALARSAAPTEEEEDCRSYCGSSSSVLCEDTCGDDAAASRSPLPFDLNLPPPLDAADVAAEADQIGVRYDTLLRL >Et_9B_065744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1028039:1028854:-1 gene:Et_9B_065744 transcript:Et_9B_065744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKISLKLLVDTKSNKVLFAEAGKEFVDFVFSLLTLPIGAVNMQGSIGRLRQSVDHIGASYFLPGTNKSGLLRPKVLRPDSRELVLFQGCDGKSPQRKQRLYTCASGDCSTATVETDATCPHRWQPMSTEVACFSLLMSSPAGAGRQGGVKELVTFMVTDGLEVVPMAATSSITLINKFSVGNKEVQLAEKNVSLDVDKGQALLKAALHSDTVLTDVFLARNKK >Et_8B_060314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9229790:9231340:1 gene:Et_8B_060314 transcript:Et_8B_060314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVCVHLFSSSYSSCVTSATNFLWNQGSCEKRVKLLKLPRLFYYYLLIDNFYGKQSHICIKNRLQQFAQRTYQKLPIYNVESEGEYHLLKFKCTVEVGGQLFSSTQSFSRRKEAEQDAARVAYEALVTTDVATVGEALELIDQGVVFCKSILNEFAVKKKTIGPSYTIAPQEKPMTLFVASVVFDGRTYTGEAAISKKIAEQKAARVAVKSILATGDTCMKGIVKSKENLITAIKSSRNSKDTVAFTRPAAYTAYGGPDHVAPESQHQASLLITVQGQNIVPAIEPSSNPSAKAVTHSRKRKGRAGVDSDVNGAMIAKEH >Et_9A_061505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12858153:12859963:1 gene:Et_9A_061505 transcript:Et_9A_061505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGSAVYHVVEAMAPLYTAALLGYASVRWLGAFSDEQCAGINHFVAIYALPVLIFHTVSTNDPYHMDGRLVAGDTLQKAAVLAGLAAWALIWPRLIRRGGVKEESDSSASAVKWVVTSFSVATLPNTIIIGVPLLRGMYGDASEGLMKQVVVPQFCVWYNVVVFLYEFMAAQRDDGGGGGARIWPESNHGRGYDVSSDDGTRRRQDVELNDIQITDPATAAPSRASTDDGGVAMADVPRPSAPPAQESPSMMRVLVTAGKKLLKIPNTYASFLGLIWSLVAFKVGIEMPKIVNDCLFIIYTTAVGLSMFTTGTFIARQSRFVPCGYTVASISMALKFLVGPVIMLLTSLAIGLHGTLLRVGVVQAALPLAVTSFVFAEEYKVHADIMSTGVILGIFISLPVTIVYYIVLGL >Et_10A_001377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2468437:2471789:-1 gene:Et_10A_001377 transcript:Et_10A_001377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRIRKYDSGCEKRKKKQRKENLDKSMQGAMDKFVVREPQGLLDNQIIDAHDGSAEATNVGDNQATNDSTNVEAANHGLKLQDSVMGQ >Et_3B_029691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27169421:27172649:-1 gene:Et_3B_029691 transcript:Et_3B_029691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIATAMKRSRNSSLLLAMAALLQHAAAFSSASFPLGSQATAQLPPTSYQPGFASRDVVLSAQGEWQPGFAAAVSVEAGAGVYTCALVVLLGDVKVWASDHLEKFVPAALCRLELKSDGQLWLTDGASAVGWVSGTAGQGVQALQLNRSTGNLILIDGQNRTQWQSFDHPTDTLLRGEQRTLPLYLIVPTTAKVNSSAAFYSLELDGDKIAAYVTLGQSKYSYWELAPIANRTMASARLDGSGLRMLDRQGAAVAQITPPVKKPPLSFLRLEQDGNLGMYYYAARHRKFRASYRALGFCELPLSCGVGEVICSAAGGCDDDLSLYADSRPARGGNNNDSTSVVDICGGGDACMVHLRGVTTVLRTTEPPPVKTDVTLRQCVEQCARDVSCSAALYAMEDDASVAAADDHGVCSHYTVTAGAREVTGASRRFSYWVKSHAGGGGGGGDADKDDDDTFHGPVWTIVVVCGAIDVGCALVFAVLVVLYIRRRRRLAAAGDEPPPAGEIEGVEHVQNNEAVQD >Et_1B_010093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23678439:23679278:-1 gene:Et_1B_010093 transcript:Et_1B_010093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGALGGGSGRRLPPPCWTHEETLALIEAYRDRWEALRKGNLRAADWDDVANAVTARAATRSRSSASGTAPSARAPQGAPRGPSGPSTRSSTTSPATACPTPAPTPSSRSRPRGPRRRSLLLPQYPPPRPPRKRRNEDDAESEAMSEVAAALRAVGEGFMRMEERRLELSLQLEKERMESEMKRTQTMLDAQQLFLEAFLGKQQQQLLPHKRARLSPAMEED >Et_8A_056838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17705317:17707945:-1 gene:Et_8A_056838 transcript:Et_8A_056838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLFTPSHPTWGTDRFLSRSRLEWYLQDDRLDVECDVTVIKELRVEKTAKAAEVKVTASDMLDSLGDLLESEELADITFKVKGKVFRAHKLVLALRFCCFTSSTQILCPAMDDLYEDENIETVMHLLVAADRYDVERKKKSSKGSSRQINANVNGTGKFSERTSSCSRYIRSSVFKAELYGPMRENGMTAPITIEDMEPAIFKSLLHFIYTDSLPAVEELYEDENIETVMHLLVAADRYDVERMKVMCESILAKRLHCGHHFSSLADQHHCSKLKDACIEFISSSNGMKDVIASKGYPHLKRACPSVIAEIWEKATKSRMI >Et_1A_007748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37869147:37878688:-1 gene:Et_1A_007748 transcript:Et_1A_007748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCCRLSLVALGSPVFFSSTGILGSSRSPGRRRTSRSRSSPPSLLSSELFRLFVWLLCSDCFAAATLFHQCFCSSHSVRSYFSTAAAAACRTAYAYAYRAFCRDCCVPWSPLARCLLRGIGCARRGDLNSGGGGQRGDNIRLPEHSVHRKAPYLLPREGPVGVVPAYEQPPLPDGASSPDCAVCLGDVEEGEMMRRLPVCLHLFHQHCIDQWLNRHRTCPVCRGASSDCAVCLGGVEKGEMVRRLPACLHVFHKHCIDQWLDGHSTCPVCRSDVFAPVPGQSKPHRAVDMAVIFFGVATWVIGFGYMWLAAWGDLFPWSALRERCLAPLLRAARSCLRGFRLLLRRCLLLLPIPNRGAVAASTLPLQQEHGMDMLPREPPVRDSPTRVVAVDDIPFYEHRDAARPDECTVCLDELDKGETVKWLPQCLHSFHQQCIDRWLRDHSTCPICRDCLFRLIVLVLFNTACIGGTGCLVYSLVRCVRKPHRTSDVVAFSFCLAVVVVLSAFGYPIICGAGGLFPWKALGRLLRCQLPARAGGDDGACALPQVVVQRQGDRVETLPREPPARGRSPVLEALGDFLWGEMLPACLHKFRKECVIDPWLHDHSTCLVCRSSGRCVCKWCDRPCDVLVLGLIVLNLVCFGVAGIFINSLVRHAGRKPRNGGEMAVVSIFFFWWLCIVAGVYPFFYRRLFPRSAPRNRARQWSSEADATSALPWSAEQSRQGHSMVVLPREPPVREGAWTIDTIPSYEQQDSAGQESISECAICLGEVEKGEMVKRLPVCLHMFHQHCIDRWLRDHSTCPICRCNAFAPAPTEMTTWCDRGMSIPPSFWESVTKIQKKVDLSQQEQSL >Et_5B_043890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17156612:17158399:-1 gene:Et_5B_043890 transcript:Et_5B_043890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKQEGPKLFSSRILSRDRSNVANASFRVYYSLGAGTVPFVWESKPGTPKSAATPASATNTMPPISPPPSYQSKSHQSKIRKEDRKAAPWPAGGWISWLSLNIRRRPPPSVTDHRQQWLASDDRGAGDQQRRPRRSTSLEFMLCPSYQ >Et_10A_000670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15019249:15019717:-1 gene:Et_10A_000670 transcript:Et_10A_000670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METIMLLELAMQQTGRFMRRAECEKKPPVAGAGNGGIARRTRLLDEPPSMAFVNGRKIGCIRDASTSIVWWESFYFYIESRRWLFRRERLPHTATELWFLTDRKEETWVKKYSIQIDLCPQHREFCAHPLFVLEDSEIVLRLQPEGCMI >Et_8B_060457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1682452:1685447:1 gene:Et_8B_060457 transcript:Et_8B_060457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDDARDASPPWADLPADALSEVSGHLRESGDFVRFHALCRPWREVPPPRTPSIFLPCLIAQRPLNKDRHLHLHSPFSVAKLRHARTTTLFGKTIECSDASSGRVLAVDSGSTAELVLINPLTGDATSLPALPPIMYPMHDWTGRRTTATVSNGIVMLNTNVASFAVVSQRPGGETDDWEEVDVTACPANMNLNYARYLDRRALTLSCCVLRGGTSAVAKLPGAPPTARRYVVEFHGELLCLDVVQLGNTQSEFGVCRRRRTANASTISVTVRALEVNPDGRPRWVEREHGHGLEHVCFFLNGENGSGFTIDAREFTGGEVIGGCSYFVGERMEEGRIKRGCAVYRYRFQNATATVVDVLPDGFVDPHGGDGISICLFLGWESSFVVDSRQFSGSEADVDGGHPGWVEAKKVHAVYRYSFRDGMATVVDELPEFFDGMSMWYTPRPRISPLGSRRAQ >Et_7B_054901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5426822:5431463:1 gene:Et_7B_054901 transcript:Et_7B_054901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFDRRMPTRGVEQAIVAMKKGAHLLKCGKRGKPKFCAFRLSSDEKMLIWYSKGREKHLSLNSVSTVVLGQKTINFMRQRWQEKEAQSLSLIFNDGESSLDLICSNIDQAEYWYLGLRALISVPCSPCSSVGSRSSRQISSCTNTPRTYIQRKSRLQAVYGTPRHIQVHPSHGIPKKTRGKKFGDDADGSEALFYSRQRTMSDIDIYLEKFRCEISSPAAHSLKDTIVANKDKAHQITPIPKLKTFDRPRSACRLDSLKDVFFWGDILDSVPDQKDPSKALPELVDSTNMIDVQSIACGENHAAIITKQGEVYSWGNEGSGRIGSQVNISVSRPRIVESLASLHVKAVAYGSKRTCAVTVSGELFECGEGAHSLGLLNDCYGRNQWFPHKLFSPMDGISVSKIACGPWHAAIIASSGQLFTYGDGTFGVLGHGDTQPVARPKEVESLKGLRVKCVACGPWHTAAIVEVASSFKGDNPSKLFTWGDADWGKLGHADKKMKHVTCGMALTVALTNIGVVFTMGSSMHGQLGNPQTDGKNICTVEGLLKTEIVRQVSSGSSHVAALTAKGKVFTWGKGTSGQLGLGDYDNRSSPTLVEALEGRHVESIACGYNFTSAICLHKAISRNDLSVCRGCKMPFGFTRKKHNCYNCGSMFCSSCSSNKIAKAALAPDKNRRYRVCDVCFSHLQKSVDSGKVKSELKTGKGDMLRAEIIRAYTPRLSRIFKDATSSEKKALAQGSNKRNEDTATQIPVKSQRWGHVECPAQFSSTQGSFRFEPVSKNHMSGISMSQRIHDPVPLKSTSSLQQPSDSQREELNMTETILIDEVNQLRSQVALLAEQCQQKSRQVQLYKQKLDETWLIVRDEAAKCKAAKDIIKVLTNQCNALSEKLLLSQQSKTYKIAPDSSPGQKMTQDLQHYPYPNQKLDTGKLSQLSNTQNRHSSDQTDKEYTAASNLPVPDESRSHQNSPRTFDSDGCYITEAGTPVSSITTSTVEQIERGVYVTFDVLPCGRKEIRRVRFSRKHFGEKEARHWWEENRSRVMQSAALGNCGMIS >Et_9B_065203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2357151:2364063:-1 gene:Et_9B_065203 transcript:Et_9B_065203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDLSLLAVASASPVVFPSSKELHGVLPFQGKRPQDAAAAVQLCAPPHQQHHHHLEGLPQMVVPGQPLPAAYQAFAVPDKAALVDVQESHPDSVQLSLGIAEQCARQEKIVKLLMSGSDVKELDESLLADIMGQQTLAINLGSQPYIPDDKLSIYEFGLDEPHQYLPEKQLVIPDPLLEFAQSHGSVLTIDPNGRILFTGNGDEMRDLLSVFLEFNMSKREATGCKAAYLVPYFDRKRLARANAQVLSSRLANTVADTSKSTDVKSKPSLKKKQKGKNIKERDLHQRNYIYASEAFLSILLDRDKSSSTILSLKKAGPEITELLTQCSIGIAGTGLAILLSVLCKMATGMRTPYASARLLSTSVGFGLFWLSWAVNGLRDTIASIFRSPSDMNIEEDEVAVRIQKSTNEILFRAVTLLAIAALKHGSGHCHIFTPEIASYEGFSMANK >Et_8A_056551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12183428:12185208:1 gene:Et_8A_056551 transcript:Et_8A_056551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNRVLTVLSAVTFGFFIGVSFPVQIAPKVYSWSFGYANSTFASSDILVRFCEPIRNSTSSAELIPSPIPHSNATSEIIVLEKPKGAERLPPNIVARVSDLHLRRLWGNPREDTPPRKYLLALAIGYKEKTNVNATIHKFSDNFDMVLFHYDGRTSEWDGEFEWSKEAAHVSARGQTKWWFAKRFLHPSIVAPYEYIFLWDEDLGVETFDAEEYLKIIKKHGLEISQPGLDTTRGPRPFFEITTRRNDGSEIHKSTLRSSDAKCWRRPCSAFVEIMAPVFSREAWACVWHMIQNDLVHGHALDWNFWRCVDEPDEHIGVVDAQYVVHHAVVTLGNKGNATTEVSRSSVLARQRAEFDAFRTRMRNAERAQQAAARLAPPLAAQKS >Et_6A_046300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12330214:12336375:1 gene:Et_6A_046300 transcript:Et_6A_046300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIQEQNNIDMQALLDAQLDLWHNTFAFIKSMAFKAAMELRIADTIDHHGGAATLPQIATKATLHPSKIPCLRRLMHVLTVTGVFSVIKNPTGDQIYQLTPASRLLIGSSSLAATLSLMLKNIFVSPFLGFGSWLEHELPDLPLFDMKHGQTIWEVVGHGARMSTLFNDGMVADTRFLMDIAIWECGDVFQGIDSLVDVAGGLGAAAQAISKAFPQIEVSVLELAHVVNNAPAGTKLKYVAGDMFESIPPANAVFLKWVLHDWGDSECVKILKNCKTAIPPRDAGGKRRVLYDLFITVANGLERDEQEWRNIIFGAGFSDYKITPVLGVRSIIEGDSTMELNNSKTQTLLDGQLDL >Et_4A_035936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8872314:8873414:1 gene:Et_4A_035936 transcript:Et_4A_035936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAVAAGGDDMVGPRKGGAGDGGATPPPPGQQQPPEQGLRCPRCDSLNTKFCYYNNYSLSQPRHFCKTCRRYWTKGGALRNVPVGGGCRKNKRSRSSAAAAASSSSRLSLNLPAVEGIGAADHQAAAARMGFLAGGGAPVVSSSPIAADYHHHQGAVAHGGGGGGGHFLQMAGPTPPAMEISVPPAATSWFMDSSYVLPSPPAPAAANMVIPANGNDDDGGRSSADDDNATSNNGNNNSNNCGSAITAWGDMSAFAMLP >Et_10B_004359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4768372:4771848:-1 gene:Et_10B_004359 transcript:Et_10B_004359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSSLLNCHVLCLRVSMSLDVTQVLLSAQSADGAIRKHAEESIKQFQEQNLPGFLLSLSSELANEEKPEESRRLAGLILKNALDAKEQHRKNEFFQRWLALDAGAKAQIKGLLLQTLSSQVASARSTASQVIAKVAGIEIPQKQWPELIASLLSNIHQVQPNVKQATLETLGYLCEEVSPEAVDQDQVNKILTAVVQGMNASEGNSDVRLAATRALYNALGFAQVNFSNDMERDYIMRVVCEATQSPEVKIRQAAFECLVAISSTYYDKLSTYMQDIFSITAKAVRGDEESVALQAIEFWSSICDEEIDILDEYSSEFTADSDVPCYYFIKQALPALVPMLLETLLKQEEDQDLDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFVEENITKSDWRQREAATYAFGSILEGPSADKLAPLVNVALNFMLTALMKDPSNHVKDTTAWTLGRIFEFLHGSALETPPIITAENCQQILTVLLHSMKDVPNVAEKACGALYFLAQGYVDAGSASPLSPFFQDIVQNLLMVTHREDAGESRLRTAAYETLNEVVRCSTEETAPIVMQLVPVIMMELHNTLEAGKLSTDEKEKRSELQGLLCGCLQVIIQKLGGMESTKYSFLQYADQMMDLFLRVFACRNATVHEEAMLAIGALAYAAGPNFAKYMAQFYQYLEMGLQNFEEYQVCAITVGVVGDLCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLIYAMPMLQSAADLSAHATATDDEMLDYTNQLRNGILEAYSGILQGFKSSPKTQLLMPYAPHILQFLDALYNGKDMDETVMKTAIGVLGDLADTLGVHAGPLINQSSSSKQFLEECLTSDDPLVKESADWARIAISRAVSG >Et_8A_058203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2431287:2433131:1 gene:Et_8A_058203 transcript:Et_8A_058203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQKNAHVPKFGNWDNDGNVPYTIYFENARKGKGAGGKMINPNDPAENPEAFSVAAPSPNRSDAAGRSPARPPQPQPQRHERRPSDAPPLSPNPYAGSPYNRHAGGEPPRRGSGGGGRTGGGAGAGYNSYSVEQSPAHPYSYSSKADYSGEGGGYGLVANSVERSRAKSGSRGNETPTRGSAVPKFGDWDSNPASADGYTHIFNKVREEKQSQAGKPGAVGKDAARGNGAKQHDDGYVSSGRS >Et_9B_064227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12795108:12804522:-1 gene:Et_9B_064227 transcript:Et_9B_064227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAAAATDNRVLLEVNWSGDDARQVGVGRDEPGGVVAATVLPKPGAVAGIYYVQSPSRESHEGGYKSSSMQATPVYNSPNESPSHPSYGRHSRSSSVSRFSGNLRKGGECKPLNDKGWPECSVIEEEGPYEDLTGDSGLSRRCQIILGFLTFVLLFTTFCLIIWGVARQYEPEVIVKFVKHFNLARDSIAALRGSAISKVEQWRPQSLMDIVNCIRHQLINMETDSKIQLIDYLINYKLTSAALDQTRWRRSSGRRAGRPRTHAAPASATETDVNCDWCRARGDRMGVAASAAIACKLIVRGDPKLPRPRT >Et_6A_047765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14899181:14900309:1 gene:Et_6A_047765 transcript:Et_6A_047765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLRNKAVLTTALILLTVLTMMDRMSYSVEARDMSDSTGGYGEEAMKVRHEKWMAEHGRTYKDEAEKSRRFKVFKANIDFIHRSNAAGDKKYTLGVNKFADITSDEFTSMYTGFKSVPSGAKKLLGFKYANVSLSDDNQEVDWRKKGAVTGIKNQGQCGCCWAFSAVAAIEGLHQITTGQLVSLSEQQLLDCSTNGNNGCNGGLMDNAFQYIIDNGGITTEDAYTYTEQQGMCQSVQPAVTITSYQDVPSEDENALASAVANQPVSVGIDGSSSAFQFYHGGIMTADGCGENLDHAVTAIGYGTAKDGTQYWLLKNQWGETWGEGGYMRLQRGTGACGIGKQSSYPLA >Et_7B_055729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2888319:2891442:1 gene:Et_7B_055729 transcript:Et_7B_055729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSRLLHVSLLVLFSLFLLARTSPAAKAASSDILSRDRNVTDGDTLVSAGGSFTLGFFSPSASTKRYLGIWFSVSADVVYWVANRDHPLDDTSGVLAITDAGSLLLLDGSGQVVWSSNTTGATAPATARLLESGNLVVVGDPSSGAMLWQSFDHPSDTLLPGMKIGRNLWTGSGWSLSSWRSAGDPSPGRYRYTTDARGAVPENVLWDGDAERYRTGPWNGVRFSGVPEMTAYADMFAYEVTHIAGEGYAAKAGAPFSRLLVTDAGVVQRLVWDAGTRAWRTFFQGPRDVCDAYGKCGAFGVCDAAAASTSFCGCAGGFGPASPAEWRMREASGGCRRNATLDCAGAGAGNGTTTTTDGFLLLRGVKLPDTRDASVVDEAVSLEECAARCLGNCSCVAYAPADIRGGGAGTGCIIWTSGLVDLRYVDGGQDLYLRSAKSELVAIRKRQRHRISAAHSIQPASAPTVPRVGLSSIKDATGNFSQSNVIGRGGFSVVYEGHLPDGKKVAVKRLIHPFLADKTGEAFMREVEVMSKLRHGNLLQLLSYCQERNERLLVYEYMQNNSLNLYIFECHFKSSHLSFESAGEDPRLRALLNWERRLEVVRGVAKGIAYLHEGLCEEVIHRDLKPSNILLDDSWRPKIADFGTAKLFIDDQTDPTLVQSAGYTAPEYAMQGYLTLKCDVYSFGVVILEILSGQRNRAIPTLLSDAWEFWNQDKIKDLLDSPVAQPAPELLSKLQRCLQIGLLCVQQSPDHRPAMSAVVTMLNSNSSEIYSPKRPVVNNRTAEASLYCEVDPLTEEASRTVDLT >Et_1B_011844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26484110:26485923:-1 gene:Et_1B_011844 transcript:Et_1B_011844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLLANEVSDLCIGKPAVRSLPLSAAAGDLAAALRRVARSGSAACVAVTGPARAVVARLGLADVLCFLCDAPEALARPADALAKPVSALLPKDGAGEVRRVDPRSSVLEALDAILSGAQVLAVPLRPGGGRKKQLGGAGDFCWLTQEDLVRYFLNSIGRFHHVAARSVSSLGLVRADFLSVRPHEAALSAVSLIRRAVASETAVAVVTEDGHLVGEISPALLAACDETAAAAVATLSVADLMAYIDYFGSPPEHVLRAIKAGLKDKGLDAMLELMEDETLSSFSLSSASSSSSDEETGRTKLRRPSSGSFGRRSAEEPVVCSPASSLVAVMVQALAHRVNYLWVLEDDDDCRLAGIVTFADVLRVFREQLQ >Et_10B_003393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19438497:19442031:1 gene:Et_10B_003393 transcript:Et_10B_003393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGSDNAAFPFSTASSPRFCNPISSRRIFSDVAEDVTVSVDGQSFLLHKFPLVSRCGRVRKMVIDSKDPDLSKLELVNVPGGAFAFELAVKFCYGSNFEITAANVAHLRCIAEYLEMTEDYQVENLIVRTETYLDEIVFKNLDKSLEVLCACDGLDPMVEELGLLDRCVDAIALNACKEQLVSGLAHLECDGGSGKLRMHCQDWWVEDLAALRIDYYRRVIAAMRRTGVRPESIGTSIVHYAQTSLKCIERRQVWDSGPLVGDNQRVIVETLIDLLATENITTVTLSFLFGMLRMAIEVDAGLKYRIEVEKRIGLQLEMASLDDLLIPAVQTSDSMFDIDTVHRILVNFLQRIDEDDSGDLSPCGYDSDGLKSPSHSSILKVGRLMDGYLAEIAPDPYLKLQKFMALIELLPDYARIVDDGLYRAIDIYLKAHPSLTDSECKKLCKLIDCQKLSQDASSHAAQNDRLPVQMVVRVLYFEQLRLKQSSFSGGVGCDGSMSQRMMLHCSGSGVPSSCVSPRGDNYASLRRENRELKLEISRVRVRLTELEREQGIMKQQGRSRPGGGEHGRAFLASLSRGIGRITMLRPAAAAAERRRKKSSTQGSEGKSRRRLQKASFAYD >Et_7B_054897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5301727:5304359:-1 gene:Et_7B_054897 transcript:Et_7B_054897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVATRPCSSSDHSYELPLRRNLLLLLDLLDVLRFIGGVLLDRLGVLPWDGELLLDGAERFLEAPPTTIGTRPVTAPRYCRRRRPAAQPPEDEAAVCAICLAGLEAGGCQQLVAELCSCSHAFHAACIDAWVSSGDQAAATCPLCRAPVSPAPFPRAGATYARAPRCCGARLPSFRPAVVMTFPLVCYCDAVPRPVAALFRLLHAVALLFVLILCFLGLYEFPYTPEDTAPLINGSQQRAPRNAPRPDAVKQRLPPVEYLELVMNTGGAVDESEASGAVVEPTCRVCLERLEATDEVRRLGNCAHAFHTACIDRWIDMGEVTCPLCRSHLLPRRRAGLLLGRARIG >Et_9B_064627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17137395:17138643:-1 gene:Et_9B_064627 transcript:Et_9B_064627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGAIFLLLLSASFALLAPHAAAGGYGGWQSAHATFYGGGDASGTMGGACGYGNLYSNGVPCVKKGGIRFTVNGHSYFNLVLVTNVAGAGDVRSVSIKSSRTGWQPMSRNWGQNWQSNALLDGQSLSFQVTASDGRTVTSNNVAPAGWQFGQTFEGRQF >Et_6A_048080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5418861:5422017:1 gene:Et_6A_048080 transcript:Et_6A_048080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPQLMDELVDEVLLRLPPDDPASLIRAALVCRRWCRLFSDAGFRRRFSIFHRTPPMLGIYCCSAAVSTFVRTSSCPSIADRHNFDVIDVRHGRVLQTSCDFRGPWKKVLVVWDPITDERTELPLLPASWNLSVSAGWNASVLCANNGSCDHLDCNHGPFLVVVVITVLEHIYVYTYSSEAGTWSKPVTAQDLGGGPINWHWRPNSALVGNSLYVKFQYSNSFLKFDLATQGMSVIHPPTARHYEHTIVLVATEGDELGFPNVENDKLYLWFENVGPDGHVGWAQSRFIDFKTVLPDKAYSKFDVVGFAHGVAILFLRVNDEIFTFDLKSCKVRYVCNDNGRMNDMFPYVSFYTPEILGCNESDICHAFGIYETSTTYR >Et_8A_057567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4609859:4612840:1 gene:Et_8A_057567 transcript:Et_8A_057567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVASKLAFFPPNPPSYGVVDEEEDESPPEDDRGNNATAMTTRRVAITGVPWRPGVEARRVPTRRGTEIIVVYVRCPEASLTVLFSHGNAADIGKMYGMFVQLSAALRVNLMGYDYSGYGQSSGKPSEGNTFADIEAAYKCLVEVYWTREEDIVLYGQSVGSGPTLDLAARLHRIRAVILHSAILSGLRVMYSVKKTYWFDIYKGTNDDIVDCSHGKRLWELSQQKYEPLWIEGGDHSNLEYFPVFVRHLKKFLSAIKKLPAENGAAADTQKSPAASKTPPDNVALSEAPQIISQRLGTSKKGNEHLDKHRRSTGRREKARTSTDKREKSRRSVDWIDRIKEEDEQLEKPRKSFDRIGEKIKSMGLCNANCFKEPAPSTEP >Et_4A_033523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24085778:24088643:1 gene:Et_4A_033523 transcript:Et_4A_033523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHMYGIIIGILMACCCWHMALHWHCGDIIPIIIADKVEPPIVKICCIIQDCMHESMGIVPIIDESEHPMVNGCCIMQDCMHGLIMPIPIIGCCIMQDCMHGLIMSIPIIDGKDCGQVVALAAIAESARRATTTKILVVCEAMFFCQCIANNKMGGANAGHTIYNSEGKKFSLHLVPSGILNENTQCVIGNGAVVHLPGFFKEIDGLESNGISCNGRILVSDRAHLLFDLHQIVDGLREVELGNSLIGTTKRGIGPCYSNKVIRNGLRVSDLRHMDTFGAKLNTLLRDAALRFKGFEYDNKILKEEVEKYERFAERLEPFIADTVHFMNESILQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRSLGDVIGVVKAYTTRVGSGPFPTELLGKTGDLLRASGMEFETTTGRPRRCGWLDIVALKYSCQINGFSSLNLTKLDVLTGLKEVKLGTSYCTDDGKTVESFPADLDLLEQIKVKYEVLPGWDDDISSARGYDDLPETARRYVERIEELVGIPVHYIGVGPGRDALIYK >Et_8A_056989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19916806:19925420:-1 gene:Et_8A_056989 transcript:Et_8A_056989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAGGQLFSVDPLERHAARGHGVVTSMAAGSDVIVLGTSRGWLVRHDFSFEDAHADLDLGAGRSGDHAVHRVFLDPGGKHCIATVVHPGGAETFYHHARWPRPKLLPRLRGLLVNAVAWNRQSITEASTKEVILGTETGQIFEMAVDEADKREKYVKPLFELTEQKEGIKGLQMETAVLGNATRYYVMAVTPTRLYSFTGIGSLETVFASYSDRAIHFMELPGEIPNSSSSGDENFVENKGFFDYSKLGDSGIKPRSFALSEFHFLLLIEDKIKVVNRISQRIVEELLVDNIPETSRGIIGLCSDASTGLFYAYDESSIFQVSTSDEGCDMWQVYLDMNDYAAALSHCRNPFQRDQVYRVQADAAFTAKEYYIAASFYAKMNYVLSFEEISLKFISIGEQDALRTFLLRRLDNLTRDDKMQITMISTWATELYLDKINRLLLEDSTGATTNAVAEPNNSEYRSIVNEFRAFLSDSKDVLDEATTMRLLESYGRVDELVFFAALKEQYEIVVHHYIQQGEARKALEVLQRRNVPVDLVYKFAPDLIMLDAYETVESWMMARNKLNPGKLIPAMMRYVSEPHARNETHEVIKYLEFCVKDLDNEDPGVHNLLLSLYAKKEDESQLLQFLDTKFGKGQTNGPEFFYDPKYALRLCLQEKRMRACVRIYSMMSMHEEAVDLELAKAEADKVEDDEELRKKLWLKVAKHVIEQEKGVKRENIKKAIEFLSETNNLLKIEDILPFFPDFVLIDDFKEEICKSLKDYNSQIEQLKQEMDDATRGADNIRSDIGALAQRYTVIDREQDCGVCRRKILTVGGLHQVGRSYTSAGHMAPFYVFPCGHAFHANCLIAHVTRCSSQAQAERILDLQKQLSLIDRKAAKDNGSSVNGESIMCMAPVDKLRSQLDDAVASECPFCGDMMIKEISQPFILPEESEEKASWEIKPQPVAQKILPMTMSI >Et_9A_060990.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:24176598:24176872:1 gene:Et_9A_060990 transcript:Et_9A_060990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLPAPAAAAAMEEFHEADVLWPDNHCHFHGDRCPGHQKQLKPQGQGHAACGCEVRRPAWSSSAPVAIPSDFYWSNDSGDE >Et_8B_059607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19975815:19978247:1 gene:Et_8B_059607 transcript:Et_8B_059607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSLPPPPKPYPTRVRRESTPQSGRPIVLRHVEVEIKAEVETPPQSPAEGGPASPPPPLWEEAEVKKEEDGGAGQQQQQAGPFALPLHHEASTLFAAVPAPSLSDILGASSSAKDESPSPSASPDGGGAGFPGGGGAEEEDLARRALRGRERWVYCCSSPSTTATTSSAGSPCSSAASTGASARSLLLKLDYEEILAAWADRGPLYIGGGLAAPKTEPEMELDNVLVELEETPQQPPPAAAACAWSSPESVGSGRAESIRRYREKRRNRLFAKRIRYEVRRVNAVRRPRFKGRFVKEHEEDEI >Et_4A_033819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27104522:27105417:1 gene:Et_4A_033819 transcript:Et_4A_033819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLPATSAAAAPTERRLRSRSKARPKTTTKNRKVMAASMNPMMWHKVAAVSGVAALGLGTYGAHMFRPENPRYKEIWQTASLYHLVHTAALVGAPITKNPNIFGGLLTAGIVLFSGTCYTTAYLEDRKFSSAAPIGGFAFIAAWASLLF >Et_4A_035759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5130306:5133875:-1 gene:Et_4A_035759 transcript:Et_4A_035759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVAQGREGGKMEALVEQGRDGGRIEVPHHQRAAAPAALSGTGDPYQGEFPETIEEFLQHGSMMKCISFNRTGTLLAAGCSNGSCIIWDFETRGIAREFRDIDCTAPITCVSWSKYGHRLLASATDKSLTLWDVSTGEKIARITLQQTPLNARLHPGSRNPSICLACPLSSAPLLVDLNSGSTSVLPVSVSENGNPPASNPRNKFSDGSPPYTPTAATFDKHGDLIYVGNSKGEILIVDSKGIQVHAVILTPAGTGNIVKDIIFSRDGQYILTNSSDRVIRVYKNLLPIKGSGEEIRNISNKNNDYESHYDKLKANGANCLVLSCEVSDAVTKIQWKTPCFSEENEEYVEKEDEFDLNGKEEKAEEMLIDENAEIDIDTCEKNVVFSDLEDSGDEIVHLPAIPSPDAPDEQPDKCLGSSSKLEDSNHSGSPSSMDAVQNGQAIPPASSPMEVDNSTAEDPGEGSNSKRKRRLSAKGLEMQQSDKVKKPQTKNKANGNATKSNAKEMEIVNGNSSAVDDEATEDDEVNIDN >Et_3A_026205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5457534:5458270:1 gene:Et_3A_026205 transcript:Et_3A_026205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPRAKKESVGARPWKCCNHPVIGPSTSKIPVWYCLDKLEHCECEHCSKVDNGHGYYCLDGYKGHDPGSSCTHDEHQDSNAVHELLVTLSHQLFLFVCELYIPLWSVITSDHHMCMCLYDRTVVSGPKKESDGERPWKCCNRPVIGPSTSKIPVWYCLDKFEQCECEHCSKVHSGHGYHCLDGYKGSNPGPSCTHDG >Et_4A_035888.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7979804:7980283:-1 gene:Et_4A_035888 transcript:Et_4A_035888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVSGGGGAASGSKMRPPSRLQKQAPASLQLEQGSAGAGAAPAAAWGDGRAPIPLLSPLVVSPTATPTPVWEADQQAAAAARREAADAEARSGGGGEQLLRGAARHGERQQQPQHDAPAAGGAGWRHPALPAPVAEPASLVPMFKSQCAVEVRSAQQ >Et_7A_051201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15521462:15522128:1 gene:Et_7A_051201 transcript:Et_7A_051201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLCYCARALVLAAVLVMSSYVADGVRTTPSASGSADAGRLDVDVAEPSIANGGASSNGYNYKPRQATTSWHESEPAEDEEMLLARQRAASGSRLPDCAHACGACSPCRRVMVSFRCAESASESCPIAYRCMCRGRFFHVPSL >Et_3A_025492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30275139:30283233:1 gene:Et_3A_025492 transcript:Et_3A_025492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCTNAHQRAGSTPCRDLKSMGKNGGKAVLLSAAPQCPFPDEWDGGAINTGLFDFVWVQFYNNPPCQFDAGRGAFMDAWKRWESVPAGNIFLGLPASKDAAGTGFVPADELTSSVLPLIKDSPMYGGVMLWSKYYDDRTGYSSAIKSDVLAAIWPRSNPETMATRALTHSPLIAALTAALLITTCHAGGIAIYWGQNSGEASLSQTCASGNYKFVILAFVYKFGQGRTSQLNLSSHCAAASGGCTVLSKDIRSCQRSGVKVLLSIGGGVGNYGLSSQADARQVAAYLWNNYLGGTSSSRPLGDAVLDGIDFDIELGSAKFWDSLARDLKNMGSNGGKAVLLSAAPQCPFPDQWDGNAINTGLFDFVWVQFYNNPPCQFSAGRSAFLAAWKKWESVPAGQIFLGLPASKDAAGTGFVPAGQLTSQVLPLIKGSPKYGGVMLWSKFYDDSTGYSSDIKSHTPRIKMARSSSFPAMLLVSVVALAGLAAGALAGDIAIYWGQNGNEGTLTQTCATGNYKFVNVAFLPTFGKGQKPVLNLAGHCDPSSNGCTGVGAEIKSCQRMGIKVFLSIGGGVGSYGLSSKADAKQVAAYLWDNYLGGKSSTSRPLGDAVFDGIDFDIESGGGLYWDDLARFLKSYSRYGKPVYLAAAPQCPFPDASLGTALNTGLFDFVWVQFYNNPPGFVATSDLVAQVLPVVKNSTKYGGIMLWSRYYDGLTGYSDAVKSQV >Et_3B_031282.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:2481243:2482247:-1 gene:Et_3B_031282 transcript:Et_3B_031282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAPGFPVESVSTEMFGICHQTSVPSSTQLVKYAASTHSDPTEKEAQAFEVDSNNMEVIIHNPIHVFEEAAREVEIDADLMRIKIHKYPASMRDLDKRYREPNIVAIGPHHHDKDHLKHAEKMKHAAGYHCITESGCSVQEIYDAVVSVADDARGLYDTDVVAGVHDNDFLPMLFYDACFLVQYMLYVACFYQQDYTEMDSSLLSYFDSNDEAIYHDIMLLDNQLPWVVVEAVMRFRPVNMEHFVACLRDCLQEISVKDDDFELDDSFEPPHLLGLLRFYIVGRSKKKLEKELSLYLTVSPSELAEVGISLKANEKIDLTGMCIKKKGPFFAD >Et_4B_038578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2989765:2992781:1 gene:Et_4B_038578 transcript:Et_4B_038578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKQGDAMAEAQIAQPLGRWPILSYGVGHMLNDITSACWFTYLLLFLQQIGLAPRDAAIVMLSGQVADGLMTVLAGEMIDRFGRFKLWHIGGSVLVGVSFSSVFGGCLLCTILGTDSYLVRTVGYSFFAAVFNIGWAATQVSHMSMVNCLTLNPTSRVALASCRNASNMVANLGLYAIALGVFGAVKGKACSDIVLQYRWIAYLSIFIGCCFLVIFHAGTKEPILKSESNCKKKARISWSYWFKKTMYYQVALLFMLARLITNVSQALIAFYVTRDLRMNEYSKAIIPATIFCCSFIVSIVLQEIKWNSRRLKSLLTIGATLWVISGVAVFFLPSEMSNLMYPLSMVIGAANALVMVTTVGLQSALVGEDLNGCAFVYGSLSFLDKMSCGIALFVLESYEDTMSCGETRGLNTVSRYGTGLIPSCFAVLSLVVTSTLRLQDDAPRARAAAALEAPLLV >Et_1B_013602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9893083:9896732:1 gene:Et_1B_013602 transcript:Et_1B_013602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNILAAWPVVAPGIAGAVFGVGWWFWVDAVVCSAAAVPFLHYLPGFFASFAALMFNCVNQEDIGDSYYSPYDDSEWRVKLWLFISYVVSFVSLAGAVGFLVQDALTDKGPSAWTGTAGVLQCVFVLVRTELIRLR >Et_10B_002711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:42101:55396:1 gene:Et_10B_002711 transcript:Et_10B_002711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPEPDDAVLFVGVSLVLGIASRHLLRGTRVPYTIALLVLGVALGSLAHFQWRYTKSRCMAQMVLLAGPGVVISTFLLGTAVKLTFPYNWSWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGRTFDVGSIVKFLSEVALGAVALGLAFGIVSVLWLGFIFNDTIIEIALTLAVSYIAFFTAQDSLEVSGVLTVMTLGMFYAAFAKTAFKGDSQQSLHHFWEMVAYIANTLIFILSGVVIADGVLQNNVHFERHGTSWGFLLLLYVFVQVSRVLVVGVLYPLLRHFGYGLDLKEATILVWSGLRGAVALSLSLSVKRTSDAVQDYLKPEVGTMFVFFTGGIVFLTLIVNGSTTQFLLHVLGMDKMSATKVRILKYTRHEMLNKALEAFGELRDDEELGPADWVTVKKYITCLHDLDNEPEHPHDASDKDSSMHTMNLRDIRVRLLNGVQAAYWGMLEEGRITQATANILMRSVDEAMDLVSRQPLCDWKGLQSNVQFPNYYRFLQISRLPRKLITYFTVERLESGCYICAAFLRAHRIARRQLHDFLGDSEVARIVIDESNAEGEEAKKFLEDVRVTFPQVLRVLKTRQVTYSVLTHLSEYIQNLQKTGLLEEKEMIHLDDALQTDLKKLKRNPPMVKMPRVGDLLNTHPLVGALPAASRDPLLSNTKETVRGHGTILYKEGSRPTGIWLVSIGVVKWTSRRLSSRHSLDPILSHGTTLGLYEVLIGKPYICDMITDSVVHCFFVEAERIEQLRQSDPSIEVFLWQESALVIARLLLPQIFEKMAMHEIRVLIAERSTMNIYIKGEDIELEHNYIGILLEGFLKTKSQNLLTPPAVLLPSNTDLTLFGLESSAMNHVDYCYTAPSYQVEARARIIFFEIGRVSEIEADLQRTASLLSQTHEPPRTLSKEHSGLLSWPESFRKARGPHNVSLAEIRSQPGSLSARALQLSMYGSMMDDMHSGQGQRWQRQRRMQATNQKRHSSSYPRVPSRPSNTRLLLSVQSEGSNMNRMPASKEAGEAATPAPPPPAPATSAARRRPKAMEDDNSSDESGGEEVIVRVDSPSMLSFRQSSGAVHHSPPPRD >Et_9B_064526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16182719:16189920:1 gene:Et_9B_064526 transcript:Et_9B_064526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIIRRRKFLLDHVNTPILSSSSFSTLQHGRFGFEAEPRTVQRFREQSSGDSRCEKEQCTVNLNKKDLQGLGNGLLRRPAYVIPPSHCGVGRNEFGLPLGARYLLQPVRRASTATARQPKMDTDDEQSEDQKQNKKKKEASPEECDQAVEGLSTAKAKAKAKQVQESLKAGQSVVQKFWARILGIGPALRAVASMSRADWAVKLKHWKDEFVSTLQHYWLGIKLLWADVRISSRLLVKLAGGKSLSRRERQQLTRTTADIFRLVPVAVFIIVPFMEFLLPVFLKVFPNMLPSTFQDKMKEEEALKRKLKARMEYAKFLQDTAKEMAKEVRTSRSGEIKQTAEDLDEFLNKVRRGERVSNEEILSFAKLFNDELTLDNMSSIKNDDKMIQAEGVESLSEEELRQACRERGHLGLLSIEEMRQQLQNWLDLSLNHAMPSSLLILSRTFTVSGKLKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRKKLEFLEMQEELIKEEEKKKEKEEKAKQEEAKHKEPEAAEEDVALKEMTEATAREEEELRKAKQHDKEKLCNISRALAVLASASSVSKERQEFLSLVNKEIELYNSMIEKEGTEDEEKAKRAYIAAREESIHDAEDDSEGNVSSALIEKVDAMLHELEKEIDDVDALIGNRWQLLDRDLDGKVTPEEVAAAAAYLKDTIGKEGVQELISNLSKDKEGKILVEDIVKLSAQTDESNEEEEETRQ >Et_1A_005079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25612964:25614068:1 gene:Et_1A_005079 transcript:Et_1A_005079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RDHRPIEVSFAQIADPPGLSRCVVHCPDLIGSPSSMAPPAIVVGADGAFLLICVSFPVLDGEMHCSDVFVYSRFAGTTPSLLLVPEPYPVGLLESGHVGVLSCGGEGEHCLVVVPERRVGADGRLWYDLQVFSTETNSWSAKAVPFEPSKAFSVGGASLAWIDLQFGILMCNDLDTTDPEMRLIGLPPLMPTNTKKNGVGSDGCSPPLDLIRNVIDSDGWFRFVELEFLESLDSSSSQSGWKATICKRKIGSDNWECFGTVNSDEIFDKENKQALNMVSYPTLDMHSDDVLFMISKQKASDPDGCVVALNTESKQLEKMARVCAERIYFKRTYQQCAFSKHLNKA >Et_10B_004050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9833086:9843947:-1 gene:Et_10B_004050 transcript:Et_10B_004050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HISKSISFNSKISKVKQTRTSLSCIKKQVGPISTLTTSTSFKKPNFHDQAPTVMSPTKLPAEDRRVMNQLDSQNVKSHLSKSISFSTKIPKVKQLGSEVIVRPKLLKTSLSGITKQVGPMSTLTTPTSFKNPNFDDQAPTVMTPTNLPAEERRVMNTPDRQNVKSNRGSYIGYPSVSESMVAPVSSIAESTGRSLDSPTISSNLGDKTLVVSTQNFSPAYELMASAAPELDYIWQGSFELWSNGRLPTLCDGLQAHVSRSASREVLEVAKRFPSKIQLHKLPRQSVRPPGFQDNYPTCGSSLSTRHICLIVQNSKINVLTLCSYENHYRKLVENMMKDDMALSGNTETADLTIYSSSTLSKHFQRWNMFFFLWGILRDQKTESRTPSESRNPKQQKGIT >Et_4B_036521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27912707:27913642:-1 gene:Et_4B_036521 transcript:Et_4B_036521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTPSSPLASRATPPLSPTAAGTPSRLAVAPASPSTPQCAIPASPHTPGRRAAAAPSTPPPATPRTPRPEITLRQPPSQKHAPAAARKPSSRALRAIRALFRSLPIIAPAACRPGSALPRRYTRPHDGHGGDGARVTGTFYGHRRARITLAVQERPGSLPSLVLELGVPTGKLMQEISTGGHIRIALECEKKSKKSPTAEAMWTAYVNGRRVGYAVRREATEGDLAVMQLLSTVSVGAGVLPDGEVTYMRAGFDRVIGSKDSESFYMVSPEGDAGGGTELSIFFVRV >Et_4A_032534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11082938:11091446:1 gene:Et_4A_032534 transcript:Et_4A_032534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGDPLLFPPSGSADSPSKQQAPARCSVGSLGCLCHTDSFSSSLYEDCDTASVNLVDEGETAPRHLQEDLDVSRAAERFQSADSHFFHRLSVECSQKDRQRKVSWGGVMEMQHSPSSVEIGMVSSSQEKRNRSQRVRNKSTQFEDPFSSEHDPRLIYINDPDRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVFQYGDFRSKKWKSICAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETTSMVCEGSYSGLIKCEQPNRNIYEFTATMELNSQRIPLGQSNIVLRGCQLKNTEWIIGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLIICSVVATGMGVWLFKNSKNLDALPYYRRKYFTFGRENRKDFKFYGIALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSGSRFQCRSLNINEDLGQIRYIFSDKTESLRQNGRKPKSVINVDSALLALLNQPLVGEERLAAHDFFLTLAACNTVIPVSTGSLDSINEVDEIGAIDYQGESPDEQALVTAASAYGYTLVERTTGHIVIDVQGERIRLDVLGLHEFDSVRKRMSVVVRFPDNNVKVLVKGADTSMLSILKQGIGDGLYDSLHDKIGEATKNHLSGYSNEGLRTLVIGSKNLTDAEFTEWQERYEEASTSMHERSAKLRQAAGLVECNLTLLGATGIEDKLQDGVAEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQNMHSIIINGSSEFECRRLLADAKAKFGIKSPDGRRDSRAMEDLYNGDVSKPRSSNGHIPESAIQNLQLTGVVAGDKSEHSEKDTNFDDAELALIIDGSSLVYILEKDLESELYDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMLFWYILYTAYSATLALTDWSSVFYSLIYTSVPTVVVGILDKDLSHNTLLYYPRLYEAGLRNEGYNLTLFWITMLDTLWQSLVLFYVPFFTYNVSTMDIWSMGSLWTIAVVIIVNIHLAMDIRRWVLITHLAVWGSIAATFLCMVLIDSIPIFPNYGTIYNMAASRTYWLSVILIIVLGLLPRFLCKVIYETFWPSDIQIAREAELLKKLPQQLGSRPDNDISTWLTVC >Et_10A_001430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3071399:3079494:1 gene:Et_10A_001430 transcript:Et_10A_001430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSVLLLLVLVAGCAARVRAAAATPAARFWEEALPGSPMPEAVADLVQEGIDHSPLVEHYPVRENLDINIRWWPPATARERSWPDGPTSTGLPGYFFQTQLRVGTTMTVFFPPAAVTPILPLEVAEKVPFSNLADVLSAFDISPSSAAAATVAKSLSECQAQPDAGDAYVVEAVATLDGDSHVGCHVVSYPYAVYLCHMTGTPTKAYRMTLRGLRGGSTVDMTAICHLDTSNWSPSHPSMKILHTQPGAAPVCHFMAPANLLFGKKTSNA >Et_1A_005094.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:26684567:26685190:1 gene:Et_1A_005094 transcript:Et_1A_005094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAIRRAASSAVDRACAGARGFRRALARFAPRPSAFAPAADAEAAAVRAVRNARTFRFHYAALQWALLLAFLAPRHRASVLFLMAASKGLLVYGGLLRAFPNSALLRRLLDRRLVALVFVALVLADIAAAGAIPSLLAALAAGVPIIILHASFRVRDDLDGPAPEAAENGKEEETSAVVEKKEDGDVEAGPTRRSMAAAPRSPK >Et_6B_049434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4875107:4880046:-1 gene:Et_6B_049434 transcript:Et_6B_049434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAGGGPRRFALLLAAAAGAGALADRVLDSPSSSTAVHASVSPPLRQALAGAAAGLLRPASSLFSPWALLPLNQGFPILNSFASASVSPANLSNQGSAGSTDDSKCGRGCLGRETFAKAASAVGPAVVNISATQDFHGWMAEKSIGSGTIINPDGTILTCAHVVVDFQSTKPVLRGKVSVTLQDGREFEGVVVNADRYSDIAVVKIKPDTPLPAAKLGSSSVLRPGDWVVALGCPLSLQNTVTAGIVSCVDRKSSDLGLGGIRREYLQTDCAINQGNSGGPLVNLDGEIVGVNVMKVMAADGLSFAVPIDSVVKIVESFKKNGRVVRPWLGLKMLDLNPMVIAQLKRKSSAFPDVRKGVLVPMVTPGSPAEHAGFRPGDVVIEFDGKPVESIKEIIDILEDKVGVPFKVLVKRANNVTLTLTVVPEEADANQ >Et_7B_053222.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12597349:12600054:1 gene:Et_7B_053222 transcript:Et_7B_053222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARHSSITRASYAAAYASRNAHRALHAAPGPDRSHHTATGALPGARVAGEEHLGRTLGSSLRSSALELAAAVKSAAALPDGGALGRCLHGLAVKAGRAGSAAVAKAVMDAYGRSGALADARLVFDEMARPDAVCWNILITACSRAGRFEDAFGLFRSMLVFGGDESMPTAVTVAVIVPVCAKLRHLWAGRSVHAYVVKTGLESDTLCGNALVSMYAKCGGRRALDDAHQAFSSIRSKDVVSWNSVIAGYIENGLVKEALALFGQMVSQEFLPNYSTVANILPMCSLLEYGNYYGKEIHGFVVRHGLAMEISVCNALMIHYSKVSEMRVAEFIFSSMHKKDLVTWNTIISGYVMNGYHCRAIDLFQGLLATGVAPDSVSFISLLTACAQVGDVKTGMRVHGYIFRRPVLVQETSLMNALITFYSQCDRFDDAFRAFTDIQNKDLISWNAILSACAISGQHIKMFFTLLSEMCRQGNQWDPVTILNVIRVSTLCGIKMVREVHGYSLRVGYTGEASVVNAILDAYAKCRNPQYASIFFKNLAGRNIVTENTMISCYLRSNFVEDAEVIFNQMDEKDLTSWNLMIQLYSQNDMDGQAFNLFYHLQSEGLKPDIVSITRILEACIHLCSVQLVRQCHAYMLRMSLEDIHLEGALLDAYSKCGNITNAYKLFLVILNKDLVTFTAMIGCYAMHGMAEEAVHLFSKMLELDIKPDHVVLTTLLSACSHSGLVDAGIKIFKSIREIHGVEPTAEHYTCMIDLLARGGRIEDAYSFALDMPSHAVNANAWASLLSACKVHGEIEIGQLAADNLFSMEEGDIGNYVIMSNIYAADEKWDGVEHVRKLMKSRDMKKPAGCSWIEVEKTRHLFIASDVKHPDRSPIYNILGTLYQQIKDSQAQSQLCDDAT >Et_6A_048031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4035167:4037058:-1 gene:Et_6A_048031 transcript:Et_6A_048031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLLKSSFLPKKAEWGATRQAAAPKPVTVSMIVRASAYADELVKTAKTIASPGRGILAMDESNATCGKRLDSIGLENTEANRQAYRTLLVTAPGLGQYISGAILFEETLYQSTVDGKKIVDILVEQGIVPGIKVDKGLVPLAGSNNESWCQGLDGLASREAAYYQAGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIERTFEVAQKVWAETFYYMAENNVMFEGILLKPSMVTPGAESKDKATPEQVAEYTLKLLHRRIPPAVPGIMFLSGGQSEVEATQNLNAMNQGTNPWHVSFSYARALQNTCLKTWGGRPENFKAAQDALLLRAKANSLAQLGKYTSDGEAEAAKEDMFVKNYSY >Et_1A_008961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21382645:21388310:-1 gene:Et_1A_008961 transcript:Et_1A_008961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPAASAPPAADELAADLAAATLSKKQQKKDARKAEKAEKAVQRQQQQQQAADAEDPFASNYGDVPVEEIQSKDISGRVWSQVGDLDEAAAGRSVLIRGFAQAIRPVSKKMAFVVLRQSMSTVQCVLVANTDAGVSTQMVKFATALSKESVVDIEGLVSLPKEPLKSTTQQVEIQVRKIYCINRAIPILPISLEDAARSEAEFEKAEQAGEKLVRVGQDTRLNYRSIDLRTPANQAIFRIQCQVENKFREFLLSKDFVGIHSPKLIGGSSEGGAAVFKLMYNGQPACLAQSPQLYKQMAICGGYERVFEVGPVFRAENSNTHRHLCEFVGLDAEMEIKEHYFEEAGTEIDPMGDLNTESEKKLGRLVREKYGTDFFILYRYPLAVRPFYTMPCYDNPAYSNSFDVFIRVLFCALNNIRKTSLFPRDPQRLVP >Et_5B_044512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3017990:3019178:1 gene:Et_5B_044512 transcript:Et_5B_044512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKEGVKKGPWTPEEDLVLVSYIQEHGPGNWRAVPTRTAIASYLPQRTDNDIKNYWNTHLKRKLQSGGDAAAKPPAHRPPSSSKGQWERRLQTDINMARRALREALTPLDDLKPPPQQQLQPDAADAATDSPASSSSGASQSSPSAASGPYVLTADNISRMLDGWAATGKSRRRGGSGSGAESASGSSDASEVSYGGAPAPAARGALFEYETKPAVPAPQTQQLSAIESWLFEDDGNFHHVQSGSLLDVAMDYPF >Et_2B_022016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7989580:7996983:1 gene:Et_2B_022016 transcript:Et_2B_022016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSHHLLLSVLLLFSFSLVARGSNNEHNFAVVTTSSFASEPACSSMSQVTSSDPNRASLPLVHRHGPCAPSRSSTVKPSFAERLRRDRARRNHVISMASGRTTKLFDAGVRIPTALGDAVDSLEYVVTLGVGTPAVQQTVLMDTGSDLSWVQCKPCNSTECYPQKDPLFDPSASSTYASVPCGSDACKQLGESYGAGCTTNNSTTGASVCQYAIQYGGGEHTVGLYSTETLTLKPGVTVKNFSFGCGLHQHGEFDKFDGLLGLGGAPESLVSQTAGQYGGAFSYCLPSGNGTAGFLALGAPGNDTAGFVFAPTHQLPGASTFYMVTLAGISVAGKQLDISPAVFSKGMILDSGTVVTGLPATAYAALRAAFRSAMSAYPLLPPNADGLDTCYNFTGFSNVTVPKVALTFSGGATIDLDLPSGVLVEDCLAFLGGSSDNGIGIIGNVNQRRFEVLYDKGRGHVGRMASSHLLFSVIILCSFCLIAFGSNQHNFVVVPTNSFASESACSSMSQVMSDPNRASVPLVHRHGPCAPSRANAIKPSLAERLRRDRLRNQAYADVNISTALGNAVDSLEYVVTLGIGTPPVQQTVAIDTGSDLSWVQCKPCNATECYTQKDPLFDPSASSTYASVPDACKRLDDSDAGGCTNGTSPSLCQYAIQYGGGGRTVGLYSTETLTLKPGVTVKNFSFGCGLHQHGDFDKFDGILGLGGAPESLVSQTAEKYGRAFSYCLPAGKGAAGFLALGAPSNDTAAFVFAPMHQFPGVSTFYMVTLAGISVAGKQFDIPPVVFSKGMIIDSGTVITALPAEAYSVLRSAFRSAMSAYPFLPPKDDLDTCYNFTGHINVTVPKVALTFSGGATIDLDVPSGVLVEDCLAFVGESSGQFGIIGNVNQRTFEVLYDKGRGNVGLYLIALGSTEHNFVVVPTSSLPSESGCSSMSQVTSDPNRAFVPLMHRHGPCAPSGANTAIKPSLAEMLHRDRARKNHIISKALGSTTTLYDAGVNIPTVLGSAVDSLAYVVTIGLGTPAVQQTVLIDTGSDLSWVQCAPCSATECYPQKDPLFDPSKSSTYKTVSCQSDECKNLTRDGYHGDCTNGTTCSFSIPYGDGSSTTGAYGRETLTLAPGVTVSDFRFGCGHGQQNSTDKFDGLLGLGGAPESLVSQTAEKYGGAFSYCLPSGNGTAGFLALGAPSNDTAGFVFAPMHQFHNLATFYTVTLAGISVGGKQLDIPPALFANGMIVDSGSLVSLLPATAYSVLRMAFRSAMSAYPLLPPNDLDLDTCYNFTGHNNVTVPKVSLTFSGGGTIDLDVPSGVLVEDCLAFAGDIADNSPLFGVIGNVNQRTFEVLYDSARGNVGFRPGAC >Et_7B_055864.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6214174:6214539:1 gene:Et_7B_055864 transcript:Et_7B_055864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPGSPGRLPVMMEECGEDADAVDVDVYRRTRGAMASCWGRFGVAALWRRLRQLSLARRRRRHGRSILGAGGLNYDPLSYAQNFDDGGPDIDFTARFAPPTRAAAASPGQASAEPEVAAA >Et_8A_057553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4298671:4303125:-1 gene:Et_8A_057553 transcript:Et_8A_057553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGAGVAVQDGSLVALGRKVLTDVRRNVLVTPAAGGSLTNGAFLGVRSAPAGSRSVFPVGKLRDQRFMCTFRFKMWWMTQRMGSSGRDIPFETQFLIVEGADGSQFTSDGTEQPVVYTVFLPILEGSFRAVLQGNADDELEICLESGDPAVESFEGTHLVFVGAGSDPFEVITNSVKAVERHLQTFSHREKKKMPDMLNWFGWCTWDAFYTNVTAEGVKEGLQSFEKGGVTPKFVIIDDGWQSVSMDPFGIASIADNAAKLTHIKENHKFQKNGREGHREDDPAKGLAHIVNEIKGKHELKYVYVWHAITGYWGGVRPGGDGMEHYESKMQYPVSSPGVQKNEPCDALNSITTNGLGLVNPEKVFSFYNELHSYLASAGIDGVKVDVQNILETLGAGHGGRVLLARKYHQALEASIARNFPDNGLISCMSHNTDNLYSSKRSAVVRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSNHPMAEYHAAARAVGGCAIYVSDKPGNHDFKLLKKLVLPDGSILRAKLPGRPTRDCLFSDPARDGKSILKIWNLNEHSGVIGAFNCQGAGWCRVGKKNLIHDEQPGTVTGVIRAQDVDYLAKVADHSWNGDVVVYSHIGGEAVYLPKNASLPVTLRSREYEVFTVVPVKHLSNGAAAFAPIGLIGMFNTGGAVREVRYGEDADVELKLRGSGTVGAYSSTRPKSIAVDSEAVEFTYNESCGLVTFELGLPERELYLWTVSVNY >Et_3A_024205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18393228:18394696:1 gene:Et_3A_024205 transcript:Et_3A_024205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVTTVPQEQILGVDFSDLYKKSELYQRNKALIQEFSQPAPGSSDLHFPSKYSQSSFTQCMACQWKQTCHTGGTLLTIPLGSFSLPSLLSSSAPSSGILAAKCEWFSWKSLPGFRFCCWLPHVVIELPYALVQATLYGVIWTAVKFFWCLVFGYFTLLYFTFYGMMVVGLTPNYHIAPFVSSAFYANWNLFSGFIIPRPCYICPVSWTLYRLVVSQFGDNATAVDDAHS >Et_3A_027169.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3762514:3763188:-1 gene:Et_3A_027169 transcript:Et_3A_027169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMVAAGRRAATTCRALLRALHARALKLRKHRYFRVRGSGGEGASAPTKSSAVLAAAFLCATAAAALLFPRAVAFFLPLVASTSAFCATAYLSAAASTDPERGAAKEVVLVRGEGAPAEAGLLEVYADANATAYGGRHGGAVQVGCFLRGSAKRGVDEDGEEVVFAGRLLVPAPDDAAGAGSELEEELAAMQVDRLAEGVWNSYFGRWSAWNHYAVDGCDDDW >Et_10B_002915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13902968:13905286:-1 gene:Et_10B_002915 transcript:Et_10B_002915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQFPSASLLPRERASKLKWQDISSYFHIPMTAACKELRIGATALQGIKAIDKQITKLRSSGNISAATPKLVMRVSKESDVPILFRLDENH >Et_10A_000446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10493899:10497646:1 gene:Et_10A_000446 transcript:Et_10A_000446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVGPRVYSCCKCRNHVCLHDDIVSKAFQGRNGRAFLFSHAMNVVLGPKEDRHLMTGLHTVADIYCHDCREVLGWKYERAYEETQKYKEGKFIFEKSKIVKENCDPQRNVIINHFFLLTLLLRLLCHLDTAIAAAPVGGGRRRHLEFCIDVAPVKIPRPPHQHQRHQHAAERHPSDDEEGVFEAHDPLADLVPDDGDAAVLPGSLGVVHAAGDDNVEHVGACGAGGGAEVEERGVVLETEHLGDDGEKQRPLRAEAEANDDGGGVERLRHAEGDEEVADAGDQEHGGERQRPREAVPGEEVFGDVPCAGAAGVVPDADERDEGVDALGRVAQRLADLRHVVDGRQRAADAEDRDDEEHDHLHADQRLHDGVVLPQRRRLEHVGEPAAATAATT >Et_5A_041303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20971014:20980960:1 gene:Et_5A_041303 transcript:Et_5A_041303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDRAELARLCSGRNWSKSIRLLDSILARSPSSIHDLCNRAFCYSQLELHKHVVKDCDRALELEPALLQAYVLKGKALSALGKKEDALVAWKQGYEFAVHDTMDLKQLLELEELISSVKISEAAESGDHVTDASPCDTKVVISEDRIVDPVSTITTTADTKTVVCEEGIGNSKASANGDTKLASANDKVDNNKGSTSPVKVKDTTGTQAPKKAPKPDKKNKAKATKETNGRAEGGTERRSSCESETIALDQTLFATKISKSSKSMCLDFRLSRGIAQVNEGRYDQAISIFDQILRETPTYPEALIGRGTAYAFQRELDSAISDFTKAIEDLTKALEFEPNSPDILHERGIVNYKFKDYNSALEDLSTCVKRDKKNSSAHTYLGLALSALGEYKRAEDEHLLGIKYDESFLDCWAHLAQLYLDLADPEKLLNCLEKVIQIDSRFAKAYHLCGILYHGMGRHRSAIKELSVALTYESSSIECLYLRASCHHAVGDYKAAIKDYDGVLDLELDSMDKFVLQCLAFYQKEMALYIASKANLEFSQFNIDDDVDPIFKEYWCKRLHPKNVAEKVYRQPPLRVSLRSGRLSKQDFKFTKQQTTLLLAADSIGKRIQYNCRGFLPNQRQYRMAGLAAIEIAQRVSKAWRALRNPKNIAKLVRRRDKLNMSQNRGGYCSTSTLSGSPTSGPNEDRISSGISLSWQDVYNIAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPLLLGQAKVVRYYPYYQRVLESAKNIMLDLKYANNVEDRAIFLTDIEKLKKIEVASSCSDLFNIVGETYWVATRCDSMVFQGRRLEGTRITTQNMGKTGFDFAIRTPCTPSRWEEYDEEMTAAWEAICEAFCSDTNPTRDPGTLDAVKDAILRMTYYWYNFMPLSRGSAVVGYVVLLGLFLAANMDVTASIPAGVQVDWEAMLSPDPDTFVNVVKPWLYPSIKISRSLKDYTDVSCAFSTTGSVVAALTTVDP >Et_2A_015479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13542736:13561315:-1 gene:Et_2A_015479 transcript:Et_2A_015479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLAQHFAVVHLYCKSRLLTLVQKLCQSPALEMVEDININLRLSFTQRILKLICGLAMEFPSDDSDTVMLSSVARCADSLPALFRLKFKFSNHDRVFSVDGVGTVLLQILEEFLQLMQIIICNSDIYCTVQVCIVSSMLEIFSPNTWRYEKSGACLVPPLAYSPHVIQYVLKLLEDTKRRTSRLDRDKPDTDVQDYSCKSEIDDGLSCQVRSQEVPLLKKYTCEEYLQLIFPSGEQWLDGLVHLIVFLHEEGVKSQTTSEKPQLSCTKQALLSELESVASHEEEALFGNLFAEVRPTGVVDSVEQPTSLGSNPSGSQAGPIQLAADLICFMKIFIFSPEWCSSLYMDACKKFSVHHLDQFLSVLKCQACSPDENGNMPSCLSENKLLHINAACFELLQTFLVSADCPAALREGLVEKVFNAENGRYTYNNYTLALVANAVTYAAHSGFSLGRKILIQYVGYLLEITNDMPSSALNASDFCAILPCAFHLEIVLVAFHLTTESEKADLIKIVLSSLEKIKDPPPGVTAAVLTRWALLLSRVLLVLRHMLLYPLTHPSWLFTKLRSRLRDVHLKEDQSHSMNDCFPSFAIVIVERMLDDSVKKNDVTSNPLPQLIDVIPAHTELYFDKAAVETLGLNLADLGATISKILSPWNGRSAVVADDLIVERYLFLICWSILSDICYYDNDSRLLKDALLNPDFADVNVFVTFALSISNGVPSHMGVDLPASIFGLLKKLHFDIPGSSELESWDFPRKGAWLSFILSLINASLWRQLQTGGKTEVDSNRKKEVDGEHLFALGNSLLMYIMENGGLFLDVLSSLLEAYLHTFKKAYLSFVASGKPALDYCYPSLLLKHSAFDKSKHQLFSEKFGSYMELLESICDLSSRIDGVATRLGEGQKNCFLLKCLLHGFPSDYTSSNSALLSCMLVINEIMQIFDVYIKVTRPGEGYQVDVGIISKILHMVMAVKSDRIFRPVHEQCDSIFMSLISNRDDLATYSELFVLKQLEGFLADINSNESIDSGVKEFLVSAVVDLVEDLRSKSDVFKFFLGDAEGAPEGASMFGSERADMSIFIDVLDKCKSEQVFLKILHFFTDILSNGFCPGLKQKLQSKFTGMEVPYLSSWLESVILGPSTRELGVDFFMRLMSSSSETLTNELQHHLFDSMLLLLDRAFLSSDLQTAKAYFDFIVQLSSEESQFKHLFEKTLTLMESMVGDENMLPTLKFLFSFVEAVFGDAGLNRSVHKRISAKMSGNSFGSGSLIPKQLKNSENLVIRSGQESNPTVDCDASSGEEDEDDGTSDGELGSIDRDEEEDGNSDRALASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCARVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTPMPSVPPPAASSFHPILPFHEDVEQVVDSASDFEDDMSTDADNSMKISVPKGFSDTLPVFLKDLDIEARMLEICKKLLPTILSQRELNLLKDRKVCLGGNMLVSHSSDIFQLKKAFKSGSLDLKIKADYPNSRELKSHLANGSLAKSLLSISTRGKLAVGEGDKIAIFDVGQIIGQPTAAPITADKTNVKPLSRNIVRFEIVHLVFNPMMDHYLAVAGYEDCQVLTLNSRGEVTDRLAIELALQGAYIRRVEWVPGSQVQLMVVTSRFVKIYDLSQDNISPMHYFTVADDVIVDASLVPSSMGKLVLLVLSEGGLLYRLNVILEGDVGAKILTETVSVKDVVSMHKGSSLYFSPTYRLLFVSHQDGTTFMGRLDADCSSVTELSYICEEDHDGKSKPAGLHRWRELVPGTGILTCLSKSKSNTPLAVSLGPHVLVAQNMRHSTGSNSSVVGVAAYKPLSKDKTHCLLLYDDGSLHIYLHTSSGGDSSPSLTAEHTKKLGSSILSSRAYAGTKPEFPLDFFEKTTCITGDVKFNSDTTKSSDSENIKQRLTSDDGYLESLTSAGFKVTISNPNSDIVMVGCRIHVGNTSASNIPSEVTIFHRVIKLDEGMRSWYDIPFTTAESLLADEEFTITVGRTFDGSSVPRIDSIEVYGRAKDEFGWKEKMDAVLDMEVLGGHSSAGRSGKRPQIVQAAPIQEQVLADAHRILSRIYLLCRPSCFTDTDAGMELSNLKCRALLETIFQSDREPLLLSAACRVLQAVFPKKDIYYHVKDTMRLLGVIKSLPAITSRIGVGGAASSWVIKEFIAQIHTVSKVALHRKSNLASFLETRDLDRPDTQTINSLVVPCVEFIYSYAECLALHSKEKSGVSVAPAVALLKKLLFAPYEAVQTSSSLAISSRFLQVPFPKQTMIANDDTPENQAKASGSAMNSATGNAQVMIEEDPATSSVQYCCDGCSTVPILRQRWHCNICPDFDLCETCYEILDADRLPAPHSKDHPMSAIPIELDTFGGEGNEIHFSIDELTNSGVVHAPADRSVQTSPSSVHILDASESGDFPESITDQTTVSISASKRAVNSLLLSHLIEELRGWMGTTAGTRAIPLMQLFYRLSSAVGGPFMDSLKPDNLDLEKFVKWLMDEININRPFPAKTRCSFGEVSILVFMFFTLMFRNWHQPGGDTSHSKSSGSADLTDKGAVQVPVPSAIPLPSSSGDQDKHEFASQLVRACSALRQQSFLNYLMDILQQLVHVFKSSSISGEAAASGSGCGSLLTIRRELPAGNFSPFFSDSYAKSHPTDLFVDYYKLLLENTFRLVYSMVRPEKEKSAEKDRSYKVPNLKDLKLDGYQDVLCSYISNPHTTFVRRYARRLFLHLCGSKTHYYSVRDSWQYSHEVRKLHRIVDKSGGFRNPVPYERSVKLIKCLSTLCDVAAARPKNWQKFCLKHMDLLPFLMDNFYHFSEECIIQTLKLLNLAFYSGKDANHNAQKSESMDLGSSTRTSSQSSDSKKKRKGDDGSEEKSCMDMEQVVEMFNDKDGVMLKRFVDIFLLEWNSAGVRHEAKCVLFGVWYHAKNLLRETMMTILLQKVVCLPMYGQNIVEYTDLMTCLLGKVHDSSAKQNDSELVNKCLKPEVISCIFDTLHSQNELLANHPNSRIYNTLSCLVEFDGYYLESEPCVTCSCPDVPYSRMKLESLKSETKFTDNRIIIKCTGSFTIQSVTMNVYDARKSKSVKVLNLYYNNRPVTDLSELKNNWSLWKRAKSCHLTFNQTELKVDFPIPITACNFMIELDSFYENLQASSLESLQCPRCSRSVTDKHGICGNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFHFMAKPSFSFDNMENDDDMRKGLAAIESESENAHRRYQQLVGFKKPLIKLVSSIGEQEIDSQQKDAVQQMMVSLPGPTCKVNRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMAYLHQKNSTDSNALPAFSIPRSPSSCYGCSTTFVTQCLELLQVLSKHANCRKQLVKAGILSELFENNIHQGPRTARTLARAVLSSFSEGDADAVQELNNLIQKKVMYCLDHHRSMDIAQSTREELLLLSETCALVDEFWEARLRVAFQLLFASIKVGAKHPAISEHIILPCLRIISQACTPPKSDGGDKEAGLGKPSLVLQSKNDDTTGNSATNLPSAKIQSEISGKSLDGSRRGQDIPLLSYSEWEGGASYLDFVRRQYKVSQAVKGSVQKTRHDSQKSDYLVLKYGLRWKRRACRKSCKSDFSKFALGSWVSDLILSSCSQSIRSEICTLISLLCPSNSPRQFQLLNLLMSLLPRTLSAGESAAEYFELLGTMIDSEASRLFLTVRGCLATLCSLITKEVSNVESQERSLSIDISQGFILHKLVELLNKFLEIPNIRARFMNDRLLSEILEAFLVIRGLVVQKTKLINDCNRLLKDLLDSLLIESTENKRQFIRACVSGLQKHVKEKKRRTSLFILEQLCNLICPVKPEPVYHLILNKAHTQEEFIRGSMTKNPYSSVEIGPLMRDVKNKICHQLDLIGLLEDDYGMELLVAGNIISLDLSVSQVYEQVWRKHHGQTQHSLSSASTLTVIPSGRDCPPMTVTYRLQGLDGEATEPMIKELEEEREESQDPEIEFAIAGAVREYGGLEIILSMIQSLRDDELRSNQEELASVLNLLKYCCKIRENRCALLRLGALGLLLETARRAFLVDATEPAEGILLIVESLTMEANESDISIAQSVFTTSNEETGAGEEARKIVLMFLERLCHHLGAKKSNKQQRNEEMVARILPYLTYGEPAAMEALIQHFDPYLRDWTEFDRLQKQHEENPKDDSISQKASVQRSAVDNFVRVSESLKTSSCGERLKEIILEKGITKAAVKHVKESFACAGQTGFRTSAEWTSSLKLPSIPLILSMLKGLAKGHLPTQKCIDDEGILPLLHAMEGVPGENEIGARAENLLDTLANKESNGDGFLGEKIQELRHATRDEMRRRALEKREMLLQGLGMRQEFASDGGRRIVVSQPIIEGLDDVEEEEDGLACMVCREGYTLRPTDMLGVYAFSKRVNLGATSSGSGRGDCVYTTVSHFNIIHYQCHQEAKRADAALKNPKKEWDGATLRNNETLCNCIFPLRGPSVPLGQYTRCVDQYWDQLNSLGRADGSRLRLLTYDIVLGAWQDHPIRLPALSVKPIRCSVS >Et_9B_064185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12305731:12314374:-1 gene:Et_9B_064185 transcript:Et_9B_064185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSALALASPPSSPAALALEVQPPPHTVEQISADFAPRHAADVRPLTREELLASGEKSHMRKEINGDTVTGKKRTADVLLEEDSSVETAEDQNEIIEEGQDVNTEKLRGRNLTLRCEPKTICEVVSLLNVPQKEKVKELGFGSLLDFNMDSLWSWDLIVWLMDHLDPNTMVLDFGRNQKLEVTEHTMYYLDNLNCKHMMSRVEIPRAKFFAKEVTAKLGNADMLTDKDGFPTFGNLNLRTLSGTCYDERTGSSRNVAVPVMSDIADVCASPHNQIGGSASHCKNLSSREKKKAKWSQHLNNHVILIEDDPPEERRLEDDAAVIGDDGSGVTPQETNPVLESTADAHEKPPTVPDASEEDGMAERDSPVPGKSATTQAVQLASTFCNEQMKEFMTGTSEPEVLESRLSKLVSLLARASSSLIKTHDLLRHCTIVRAQVASETRNSELEQQVKELTEKLCNTEEELEAARAKMRGADAATLESQSAVAAINSLSLRLSAAFVRLGDTRPFPPPVAANNNASLSEAVKLQEDRPCWKPCQDSVAFGAAALLCRDNKGAKALRDAVAADTRRFLCLQGPDSGSLVSQVVDAVEQQHASAPQIQGGAATGLKARLQTGRKEIPLPKEYEMGRPHL >Et_4A_032858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14727686:14728569:-1 gene:Et_4A_032858 transcript:Et_4A_032858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTECKVLAALLCLVAAMFLVAHALPFHHHGSSRLRGPGAALADLLLAVLDRLRGVDVLGLATRRNMVLLCHAILLVVLRDAGLLGTYVRRRHSSSVATTNDTLVAADFCFSSPPRSVVVWRSRNRVMPAPSTRSAISSDPCAAPSSTEPDQGAQHEFTKEILLVEEQRTYIDAHHHLFVAAGELDQPAAVDSKMTPSPLDTGRAGVVADSDRNKAEETASERGLPQECGEQDLDEMNRRIEAFIADTKEKMRLEALQPVHGSGGIRRVLSAYRNNVQT >Et_8A_056948.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19373575:19373983:-1 gene:Et_8A_056948 transcript:Et_8A_056948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSFYAKHPITGSESHSRSYLSRKKRTGSRC >Et_2B_019794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14002492:14003083:1 gene:Et_2B_019794 transcript:Et_2B_019794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LQLRFWSKVLRITCECPMSLINSVGNERTVASRLRAPIMLFSLVKRRYWGTPALENTSPNVASYFGLYYTGGVGRRIGSFATRCGRTISVHYAHRSRKPWTTFFCTACIVGRLGSESPEGWVVATSLLANSSLRSNQGNPAGLGFFGNHDCLEAMKRTQQESLRVGSPTAGRSTKIGKLLFPRRVG >Et_4B_037787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23376322:23377129:1 gene:Et_4B_037787 transcript:Et_4B_037787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILIRPCACTSVMREKNKRMEWSIWCPLDPVKFSVPSPSSSLFIVLVAHFTTTARNEGSSSVPATIIEEWVVGDDEDETVEYTQTICAGLGRKLEITYLVIPDANKIEFEVRLELKDLGSRSRTVYGKIKAIAKVYRNKSVHLFSCERGRSLSLPSGSTSILPLSLPMIAVPGSRPLKLHIEVDLTIITTCDSHEEDKNLKFSLEFTRGTRSLESEVDDDQVEVNITSCSAYF >Et_2B_019185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16248892:16250361:-1 gene:Et_2B_019185 transcript:Et_2B_019185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLLLPLHWLELLHKAAPNPPLHRFKNPTPAIASLTHISIAMASLAILLLLARISSSIAVSSNQITRTSEQQVIATVAPVIIPDVDGQGAQPFLTSPSGSYAAYLRRAVDSAAGLASDTCYVQVQRAGGGGGGDSVWESDCTPVGGADACDLAFSPVGLELFAGGHSLWDTGLDADPGTLSIDDSGDLSIVGKDGVTVWRASGEPWTGQQCGSPLPTSASPAVEALTPPSKLVTPPAAATLAAAGTGSTDFSFGDELAPPPADTLPAPDLPVPPPPVDTVPEQPLAPPADASPDLSLPPPPAYTFPDSPDQPLLAPPPADISPDLPLAPPPADVSPLYSSPPPALPPFGIPLAPPTGAGATTPPHGEPGSQGGGPFSAPPPVGLPHQHGSPHHDLPVGASPPLPVPDTLAPSGHGAGGAAGLPFGQGQAQQGQGVFGQQSQLLDGAGHPLEDSAGGRSSTVGMCAVLAALVTLCFGF >Et_2A_015003.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:25740758:25741473:1 gene:Et_2A_015003 transcript:Et_2A_015003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPKVGVFDGAGGSKTTTRFSVTYYSEVIDVLSDAKKKIIKDCGFGNLLEFDHCFIPHPFARWIADHVKTNTCDIVVNNQSIPLSPESVHDVIGTLLEVNR >Et_3A_023125.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29432876:29435601:1 gene:Et_3A_023125 transcript:Et_3A_023125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQLAYPLRSPRRSRRASLRRPRATSQTRVKRHKKAEPFTRVPMSATTAAAVRRLCAAGEVRSALAVLARGAKAGDATLDVAACTALVHGCCKSGDVTEARRVFDLMPRLGVTPNEVTYTALIHGYFVHGHREMAFALFEEMRSGGVEPNLYTYNCLIGEWCRTGELERARLLFDEIPVKGVVRNVVSYNTLIAGLCRHGKLKGAVQLLEMMRREGIRPTMVTFNLLVDGYGKAGKMSNALHFFNQMKAVGLPPSAVTYNALISGFCRVRDMARAIRAFSDMKERGLAPTKVTYTILIDAFAKENEMDRALELFSEMEKTGLEVDAHTYGVLLHALCTEGKMMDARKLFQSMDSKGVKPNNVIYDMMIYGYGREGSSYKALRLIMEMRKGGLVPNVASYGLTVRLLCNEGKCQEAEALIDDMESVGLQTSESVCSVLLDAKARLDGSANASFT >Et_3A_026440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7997279:8002879:1 gene:Et_3A_026440 transcript:Et_3A_026440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFACHAVGDDQRVSERAHFVLVPMMAQGHTIPMTDMARLLAEHGAQVSFITTPVNACRMAGFISDVEAMGLKIKFVKLQFPATEFGLPEGCENADMIQSRALLTNFMEACATLREPLAAYLREQHPPPSCIISDLMHWWTGDIARELGIPRLTFNGFCAFSSLARYIIVRDNLLEHVQDDNELLTFPGFPTPLELTKAQCPGSISDCRMEQIRKNINEEEMRCDWIMNSFQELESLYIMSFEQITGKKVWTVGPMCLCNQDSNTMAARGNKASMDEANCLRWLDSMKPGSVIFVSFGSLACTAPQQLVELGLGLEASEKPFIWVIKAGDKFPEVEAWLAEGFEERVKNRGMIIRGWAPQVMILWHHAVGGFMTHCGWNSTIEGICAGVPMITWPHFAEQFLNERLVVDVLKTGVEVGVKGVTQWGHEQKDITVTRDAVKMAVSKLMDEGEAADEMRMRATDFGLKTRRALEKGARLPIFAATRMTFIDHAVSSYQNASKSTHFVLVPLMAQGHIIPMTDMARLLAEHGAQVSFITTPVNASRMAGFINEIWTTGLSIQFVKLHFPATEFGLPDGCENFDMIQSKDLLKNFVEACAALREPLAAHLRVQIPPPSCIISDVTHWWTGDIARELGIPRLTFTGFCGFSSLVRYITLRDNILEKVQDENELINFPGFPTPLELTKARCPGNFSIHGLEQIRKNIFEEDIRCSGVVMNSFQELEALYIESFVQITGNKVWTVGPMCLCNEDSKAVSERGNNTSMDEAQCLQWLNSMKPGSVIFVSFGSLAYTAPEQLVELGLGLEASKKPFIWVIKAGDKFSEVEEWLAEGFEERVKGRGIIIQGWAPQVMILWHQAIGGFMTHCGWNSTIEGICAGVPMITWPHFAEQFVNERLVVDVLKTGVEVGVRGVTLWGHEQKEIKVTRDAVETAVSKLMDEGEAADEMRMRARDFGLKARKALEKG >Et_5B_045668.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6572150:6573775:-1 gene:Et_5B_045668 transcript:Et_5B_045668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDTEAATITPLLTNHKARLKQPAAKKAPSIDDAIETYLGDTGAMQLFKAVLLAFAWAFDAQQVFISVFTDAEPRWHCTDGGAACSPAASSPCAFPAGSWAWDRPADTSMVSEWGLKCGSGAALVSLPASSFFAGCLAGGFVLATLADSLLGRKKMLLASLASMSAAGALTSLAPNIWAYAALRFVSGFGRSIVGTCTLVLSTELVGKRWRDTVSVAGFFFFTVGFLSLPALAYALREASWRSLYFWTSAPGLCYAVLLYFLVQESPRWLLVRGRKQDAIETLRQIAALNGGAGVTSSFSLLHACAADTHDDDGGGDTGAGGVFSTIRTMWERPWALRRLSAIMTASFGIGMVYYGMPLNLGNLGSDDNLYLSVTYNALAELPSSILVWLFIGKINRRISLVTVTVAAGALSLACVAIPEGSPARMAAEILSFSATCTAFNVSLIYSIELFPTSVRNSAVGIVRQALVLGGVVAPVLVALGRERSFWSFGVFGLAIGCLGLFVVCLPETRGRAMSDTMDEEEDNVSAESCAAANCNSDLV >Et_2B_020405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19970638:19973220:-1 gene:Et_2B_020405 transcript:Et_2B_020405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSSPVSLVLLQPLPCRPTSLSSHRRKLPSPRTGCVAAKGAEQRLLLPPVTNRRRRDEGFACFSYNSQNKFPPPFDKSSEEWPILRRWDVPWEWQTVVLTMIGCGVSFVLTGLVEQSVLQYAGFKAVEATIDEKAEILFLGQLSVTLVVLGVIFGITNTFRPFPDDLFRYDIKEPFKLRNGWLLWAGVGLFGAIASIAAAGAAMTYLNGDTPQRETDSLILLLPLIGSSTTSTAFLVGITGVLAPLLEETVFRGFLMVSLTKWFPTPVCVLVSAAVFALAHLTPGQFPQLFILGVALGFSYAQTRNLLTPITIHAFWNSGVILLLTFLQLQGYDIKELLGAS >Et_2B_019837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14377991:14382012:-1 gene:Et_2B_019837 transcript:Et_2B_019837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPSPPPPRLRDGVLSAAGRFPGAPGWRAPWGSLRSSTGGGRVARAYCLFPGGGNRKKQDEARKALESALGQKKTNFEKWNLEMGRRRQRGRPGGPAAGGGGWSGGGGWFRWFASGGFWDAAKQTVLTVLGIIAAFFLIANFNAIIAAIVNSLLVVLRQIRRLLSFIAQCVLQSASAPRSGPESSNLDSGNMAGVAVKSRVGMSAKERVSETGENLNLNESAARRGRERHARGREVVDEDAGALGEGSHGILVDDDLRPFSAGDLGELVLDQAAHDGLGQLLHFRLRHRGRRRRSLVLGLGGLRLGLALLGGFPRHRPPFLRWTAAGCGGGDEGNRGSTDREP >Et_1A_004655.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27494501:27495529:1 gene:Et_1A_004655 transcript:Et_1A_004655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSARKTSTTAAVLALNLLLVLIATPLAESCISCHKPPPAPAPCAPTPSVGKCPVNALKLAACASVLGGLVSLELGGQQGSSSASGTKQPCCELLGGLADLDAAVCLCTALRANVLGVVQLSLPVELSVLVNYCGKKVPAGFQCS >Et_3A_026421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7938175:7946210:1 gene:Et_3A_026421 transcript:Et_3A_026421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGLFLRDSVSEKGSGGQQNGATAQKEFGNILWGRMSNEGQLNMALGRRERHLMEATPSGGEKSLRSTWPVQWLRCAAANVFAFFHGRGKKELCTLMVADSYTYQYHAYHFPVRPVMQEQSHWPVSGARSQEQAACLSRVLRVTHCSPTSTGVRPIRQHCRWPSHPISISRSQRNVPVLCRPAQSDREDEQSQGRSPPPPPLQRAPSSPARSDAAAPLWREEARLIGRYSSGPRSGRGR >Et_7B_053224.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12855072:12857096:-1 gene:Et_7B_053224 transcript:Et_7B_053224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDDVSAEGSPSPASSPAASALPVADPVTVAAAPPGGTFALALPIHRTAASLYATAGGGGGGGGGREDAWSEGATSALIDAWGERFVALGRGSLRHPQWQEVADAVSSRDGYSKAPKSDVQCKNRIDTLKKKYKIERAKPVSSWQFFDRLDVLLAPTYGQKPAGGGSGGAGHNSNGRSPVPAALRVGFPQRSRTPLMPAAVKRREPSPEPSASSDSSDGFPPPAFPVANGKRRRTDEDRGSDGDHAQGLRELAQAIRRLGEAYKRVETAKLEQAAEMERHRMDFARELESQRVQFFLNTQMELTQSKNHSSPAAATVPSNATTVGGGSRRMSHGTDAGGSSNHHGRYRISHSDRHRHHHAPRPHYRYHDNLPANAAASLGEQSDEDENDDEEEESQ >Et_9A_063073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9654455:9660565:-1 gene:Et_9A_063073 transcript:Et_9A_063073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSITVMTLNLHEGEQPSESPNSWEKRRDICVSVITSYSPTILCTQQGLRWQLDYLQQCLPGYEQFGISRKGSQDTTDEYCTIFYEKEKIVNTNLDEISPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRSREHGVVGDMRDAWPNARVRKNVSLIHTYHGFKGEKQGVLEFLKLIFRALCLCWDRQTQDLHIDWILFRGRPLVPALCEVINDNIDGVYPSSHFPIFAEFLLPRSVHLVETTS >Et_9A_061489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12605461:12605828:-1 gene:Et_9A_061489 transcript:Et_9A_061489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHAYRVAQ >Et_1B_013010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4723633:4729175:-1 gene:Et_1B_013010 transcript:Et_1B_013010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYPEELRTPPPSLVSVVGSVIQGKNTKLVVVLVQSQAGDELSEDVTVALRKRAEIDSKHLVVLIENDETEWNRSLNKLKNVFTELCSAFYKEEGRRIKARIEKRNFASVELSIRYCFKVAIYAEFRRDWPEALKFYEEGVRVLREMIATSTRLPPTQRLVEIKAVVEQFHFKISTLLLHAGKVVEAITWFRKHTRSYERVVGTPEVAFLHWEWFSRQFLVFGELLETTSAAVPDTLSPRFGTADNALTEWEFQPAYYYQLAATYLREKRYAIECSSATVNLTTGVNGIPESVMPSVYVGQYVRLFEQGDTVSVLPLSDTEYTSYALSEAERFQDSYEIIALFRKAYESFQSLGATRMASSCSGGMAIEYYAAGDFSNAKQLFDSVAGLYRQEGWATLLWENLGYLRECSRRLNSPNDFISYSLEMAALPLFSGSAEENRENNSKVGPAGSPTISRRENIQQEVINVLEGKHSEGTDDGFYNAMEECTHLDIDQISPLRMALTASVAFHCQSVKPGSPLLVSVSLLSHLPSPVVIDQLEVQFNQSVCNFVIHSTQEDSPLNSNLHDQVVQDATSLTLFANKWMRLTHEVKSEQSGKLECLSVKATINKHLVICCQAESPASMEDFPLWKFEDKVETLPTKDQILALSGQKLVQVEEPDAQVDLVLNSSGPALVGELFIVPVTVLSKGHAIHSGELKINLVDARGGGLLMTPREAEESESHHVELLGVSAVSEDKESKEEVDSIKKIQYSFGVLSVPKLSVGGSWSCKLEIKWHRAKSVMLYVSLGYSVGSSEEEALHRLNVHRSLQIEGKIPLIVSHQFLRPFRREPLLLSGIRSSSNNDKICSLAMKESNMLVVKATNCTEVPLRLHSMIIEPDDDAGKQLCPVQQISGITSGHAVVAPSEEYKAIFSVRPQAIVSNFNLGEICLNWSRDSSLGEGQESCVIMKQRLPEEIKYSLLDSQNFVFSGAHNHAAFVLPKSEHIVSHKLVPLGSGSQQLPKITVTSVRYSAALTPSTSAATVFVYPSEPKFNLEKSYPASDECVS >Et_9A_062650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2822040:2824955:1 gene:Et_9A_062650 transcript:Et_9A_062650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWALRNLLRASSPSLRRRCAPVPSLLIAAAAPAHLPPSPRSLTRSLVFLRWVSAGGLAARDGGEAAAAVAASTGLLPAASGGGWRRAMSTSRGRSMRSKVEKRMARETGRTQRELRRAVKLRKKLMTDDEKLIYQLRRAKKKVALLLQKLKKYELPELPTPRHDPELLTAEQLQAYKKIGFRNRNYVPVGVRGVFGGVVQNMHMHWKFHETVQVCCDNFPKEKIKEMAAMLTRLSGGIVINIHNTKTIIMFRGRNYRQPKNLIPFNTLTKRKALFKARFEQALESQKLNIKKIETQLRRKGINPEDPVAMASIQRVASTFFRAIDEEQGTPYVFRGDEQSSAGTAEIKEQHDHPSEDSDQEELDRFIAEIETAAEKQWEEEEAAEKEESSRMRYWEREESGERRGFSRSYENSDDDGRGSRRYRRDDNNNIRTSNARRWDDDSEVEASGEEWDSDDDRDNVLGFNNDVGSPDEHPRRFDSSRNEKNRSSGRHNFVPRGEMGERRSFNRSNDNSNEDRGQGRYRTGSNNRKTSDARRWDDNSEIEASGEEWDSGDDEDDVLGFGNDRDVPDEHPRRFKSIKNEKSSTSHRRNSIPQGSRSSNQIPRNPVGAPGSSMFRDSDVDELGSEDDLWGSNYKGQERNSGAPKMNLSNNHSSSEDDNIDSWKHGDKTGKVKKNNDESWDSD >Et_2A_017788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6262638:6264083:1 gene:Et_2A_017788 transcript:Et_2A_017788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYQQLHHPHGEKPPSPRRTGNGYFRSIRACPWLACGFLSLALLLVLGCSPAGTQRALFSPLLGYFNGTYSVSSERGRGQSCDDGDYSEGRWVWEAGYARRYNGTECNVKDSENCIRNGRSDTGYLDWRWQPTAAGCSLPAFDAAAFLAAVRGKHVAFVGDSMARNQAESLVCLLGTSVPHHLVYRDPDPGTRKFWRWAFPSHDVTVSVYWAPFLVRATGRVDNYTMTYCNVYLDELAQRWSAEADAMDVAVVSIGHWFWKGAVYYNGSEVLGVHLVPESNHSDIGFFAPFRAAIRKSVERLSSGGGGRRTVVLSTFSPSHFEKAWNDPTTCARTEPYKDGEKEVGSEAAELRRIVKEEAAARKGGMTTTIKVLDVTKLAAMRPDGHPGVYMWRDPFARGTAKGLLNDCLHFCLPGPVDTFNEILLQLLTTRQ >Et_6A_046490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1660057:1664822:-1 gene:Et_6A_046490 transcript:Et_6A_046490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYLQEHFDLPPKNPSEEAQRRWRSAVGTLVKNRRRRFRMVPDLERRQQDEQTRRSIQEKIRIALYVQKAALIFSDGASKKEYQLTEDIMKAGFSINPDELASITSKHDMKALKMHGGVDGISKKIRSEFDRGVSASDLDKRQSIYGINRYAEKPARSFWSFVWDALQDMTLIILMVCAVLSAVVGLASEGWPKGMYDGLGIILSILLVVMVTAISDYRQSLQFKELDNEKKKIFIHVTRDGSRQKISIYDLVVGDIVHLSIGDQAPADGLFIHGYSLLLDESSLSGESDPVYISKDKPFILAGTKVQDGSAKMLVTAVGMRTEWGRLMSTLSEGGEDETPLQVKLNGVATIIGKIGLVFATLTFVVLLVRFLIEKCLTVGLFKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKQLMKEKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWISEVSKSLADNNSLDGLNSDISSTTLTLLLQGIFENTSAEVVKEKDGTQTVLGTPTERAIVEFGLTLEGHDGEDRTCTKVKVEPFNSDKKKMAVLVSLPNGTYRWFSKGASEIVLEMCDMMVDGEGNSIPISETQRKNILDTINSFACDALRTLCLAYKEVDEDFDDNAESPTSGCTLICIFGIKDPVRPGVKDAVQACMSAGIVVRMVTGDNINTAKAIAKECGILTDDGIAIEGPEFRNKSPEEMRDLIPKIKVMARSLPLDKHTLVTNLRGMFREVVAVTGDGTNDAPALHESDIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDDMMKRPPVGRGESFITKVMWRNITGQSLYQLVVLGALMFGGERLLNIKGADSKSVINTLIFNSFVFCQVFNEINSREMQKINVFRGMISNWIFLGIIAMTVVFQVVIIEFLGTFASTVPLDWQLWLVSIGLGSISLIVGAILKCIPVESGGTSASPNGYAPLPSGPDNI >Et_9B_065664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8912281:8925028:-1 gene:Et_9B_065664 transcript:Et_9B_065664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKPAPAGAARGQKKRPKKARSVRQGAEEKAKGFEVDGVVAASVPLPDSSSAETFATESRTVETAQHEKNSNENFQDSEQSDEDDSEDVADDISLHFLRSFYINASFSICSSFDRHVSHIITNEEVSTLMKQNCKFKWEIPAVDIPKTKWVGTGEKVQGDYDDHLHDVKGKLREHWQNTLSDNLSSRMSFFSLCNSYRDIMYCNKKPFYLKGKSVDSSAMDAYLMHTLNHVHRTRDIVVKNDAKLRNDANRDILDDNSYLDQGFTRPKVLFLLPLKSFARRLVKRLIQLSPLSQKDNSMGLFKKQFGESDDEMDKPEHSTKPADFDLLFAGDIDDHFLFGIKFTKKSVKLYSNFYASDIIVGSPLAVKRKIDGEDGKEKDFDFLSSIEIVVVDHADVILMQNWAHLETVFEQLNRLPSKEHGTNVMRIRPWYLDQHARYYRQTILLSSHLTPEMSALFNGLCSNYEGKVKMVTEHGGVLPKIQLEVRQVYERFDASSIAEADDARFDYFCNKVYPKIQDSDEGGLLLFVSSYFEYIRISNFLKSKEASFCRIGESTSQQDISRSRLWFFEGKKKILLYSERSHFYHRYKIRGTHHLLIYSLPGRKEFYPELVNMLGESKNPKCNVLFSRLDLLKLERIVGTSAARRLVSSDKSMFVFC >Et_9A_061548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13580504:13583290:1 gene:Et_9A_061548 transcript:Et_9A_061548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARASAPTLPRPRPPSAVRSSSNGADRLALLPSPLPVALRLRRPPEPTRRLLMPPPAAAASAAGGLISELTTAADFAAVASPGGRISVVGFGSLLSERSARSTFPELEGFRVAALRGFRRVFAHAAPIFFERGIAVEATKEFSSLSVEPCEGEQIIVTVFEIKEDEVPAFIEREHEFRFLAVVPEGLDGVPFTNPAVVCARYSDEEYFQERCKGSKEIYYQRYGRYNIDTIWRDDILPCRLYLRHCVLAAKNLGEPAYSNFLDHTYLGDRKTTIREYLATTGAGIMEEEPPESLKSRYGG >Et_4B_036124.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26169002:26170017:-1 gene:Et_4B_036124 transcript:Et_4B_036124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SGSTCGLAPSPLHRVQRHHAPAGQHHHHHLQLRALERQQPHVVVQPPHSVRHGHNRPRSLLLLLRILVGLGLVLLVHWLRRRPSLQYLRDGNPRCPLLVTVMGEPANHDVAVVKHGAVEPVRDGADLPVGTVTWAKGKVDAARGRVVPDRRADGRPPPRRPAGLGREHREAVGAGGGSAQSPRKLYAVASKRTTTAGCGQVRRGGDETPAQHAVAGLQTK >Et_2A_016365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23940369:23942551:-1 gene:Et_2A_016365 transcript:Et_2A_016365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDGGKKHVHDEEKQRLLLDEHKEKHFTAGEVVRDIIIGVSDGLTVPFALAAGLSGANASSALVLTAGLAEVAAGAISMGLGGYLAAKSEADHYNRELQREQDEIDTVPDVEAAEIADILSQYGLGPEEYGPVVTSLRNNPKAWLEFMMKFELGLEKPDPRRALTSAATIALSYVAGGLVPLLPYVFVPKAGRAMAVSVAVTLAALLFFGFVKGRFTGDRPFLSAIQTTIVGALASAAAFGMARAVQSI >Et_2A_015461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13221267:13222404:-1 gene:Et_2A_015461 transcript:Et_2A_015461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMQLLWGFLLACVDIVCLVCNTELIQPCPISAMLVGDWFVGIFSFSAATASAGVTIFFKDTQFCTAFPQLVCDQYEESVILVFVAWSFMAASALSLLWFRASL >Et_4A_033192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19911131:19931726:1 gene:Et_4A_033192 transcript:Et_4A_033192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAENGVMAITQESLYTAQKQDLSTVMNLLNIKQHQARALLIHHRWKIDGICDCFDRKGRERMLRDAGIVLQENNSLAAGGSTMPVGSVKCNVCFEELSLNAVSTMDCGHCFCNDCWTEHFNASVKSGKKQIQCMEVKCSALCDEGTVRCLLFGKYSEMAKRFDRFLLESYLEDNDSVKWCPSAPHCGRAIRVGAGERYCEVECPCGFSFCFNCAAQAHSPCPCPIWDKWNAKNCDSENIKWILNNTKSCPKCFKPIEKASGCNLVRCKCGHYLCGGPTGQEHTWSSIAGHSCNRFKEETAGKSLDTKRQQLQRYTHYCDRFKIHGGSYKVEMEKMGPAVAQRVRLLESDLNLPFAMRDGDWLTRAHRRLLVSRQVLSRSYAFAFYMFGGELRTRPADRATLGVAKNLFEDQQERLEHHVEHLSKVLNAGVPAMHQVEQLSKVPVANVQAMPEAEVVRMKQETVTLAKTVETLCGEMYKCIQDELLPLLVEPMSIAAYRPDDLSMVMNLFNIKQHHARALLIHYRWNMERITDHLDRKGQDRMLTAAGVVLQEKNSGVVSGRSRRVNCAVCFDDFSLRDVSTMDCGHSFCNGCWTGYFVSSLDSGKKQIRCMAFRCPAICDEAVVQHLLRREHPAAAERFLDFLLQSYVDDNTAVKWCPSVPHCGRAVRVAAGESEAPLCEVECLCGHSFCFRCAARAHSPCPCAMWERWEAKCLGEAENVKWLLANTKTCPYISGHSCNRFTAEEKKKVSDAQRQLRRYEHYYKRYDAHGMSHKSERDRLGPAVAELVTRMESRGADGELLKQAAPVMADAHRSLLGCRQVLSRSFVFAYYMFDDEDDVRGARPPLEDSAEKVLFENFQEELERHEDDQKEEEEELAKQKGFNLEEELLEAKQKALNLALAIEGHCKRMYGCIQDELLPMLVEPIDIAAFQRKGPSKALPANFGTCYGQIYLLSQHWAKLDHMER >Et_5B_043481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11033214:11037262:1 gene:Et_5B_043481 transcript:Et_5B_043481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVSSLSPSLSGDEEVEEEEEDEGVDGYRKGGYHAVRPGDRFAAGRYVAQRKLGWGNFSTVWLAFDVQSEKYVALKIQKSAPEFAQAALHEIEFLSEITKKDPSNCKCIIQLIDHFKHTGPNGQHICLVFEFLGDSLLKLVQYNRYKGIGLDRVRQICKSILVGLDYLHNELGIIHSDLKLENVLLLSTIDPSKDPIRSGLKPNLERPEGNPNGEVGLNPIEKKLQMRARRVLAKLAERRKSVAESSRAERSLDGIELTCKIVDFGNACWADKQYTDFIQTRQYRAPEIILGAGYSFSVDMWSFACIAFELATGEMLFTPKEGHGYNEDEDHLALMMELLGKMPKKIATMGTKSKEYFDRHGDLKRIRRLKFSSVERILVDKYGVPESDAREFADFLCPLLDFAPEKRPTAAECLQHPWLQYNDDNLTDNCEQNVDAKCNTTNVANIASTNADVQSSIGSIANRRAMNADGQPKIGSIVNKLVNNADINLNIGSVVNRDAKTSDVKPHTVSITKCSSVKPDTGNIPNLNCKTDDIKPNYGSITSRDEMNKDVNDTGSVGNKDVKRSIRSIVNSYIKNFDAKSNAGSITNDAKKVDNKPRARSVTNVDDSNSASVKPNSRSVQNNDAISSDVKSNSAIVANSDAKNVDVQSNIENVVSSEDNSVDSKPNIGRVAASIKRLENSMSKVQIGRY >Et_8A_056457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10370828:10373244:-1 gene:Et_8A_056457 transcript:Et_8A_056457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LATVEPRSARFGKHRCRQTPALVAGSNAGSGTSADTEFEVGEVHEVVLHGLQFPRHSSLDILQYNLRACRSGKEDSPPCDVPGFENCRMKLLRHVSFVDCPVHDVLVATMLNGAAIMDGPLLLIAANESCPQPQTSEHHAANKIDLIQEAATMDQHEAIQKFMQGTIAQGAPVVTISAQLKYNIDVICEYIMKKIPIPERNFTSPKHDCHSLFLCEQTWGVLRVNLRIEVRPGIMMKDESGNIKCTPTYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTHADRMVGEVLGEVNFFLLRRLLGVRTSGTERASRVSKLPKAEMLMLNIGSMSTLACVVAIKNDLAKLQLTSPVCTSKGKKLALSRRIERHWHLIGWGTIQTGTTLDVPPCLL >Et_1A_007649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36926046:36929094:1 gene:Et_1A_007649 transcript:Et_1A_007649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPEDNQHALNGYGEEEVDEEEGHHGRRGGRDGGAGYGDAGGEDGRGGGDSSGKIFVGGVAWETTEESFSKHFEKYGAIVDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDEHVIDGRTVEVKRTVPREEMSTKDGPKTRKIFVGGLPPSLTEDDLKDHFSPYGKIVEHQIMLDHSTGRSRGFGFVTFESEDSVERVISEGRMRDLGGKQVEIKKAEPKKHGSDHSSNGRSGHGGGGYRSSYRSGGAGGGGGSSSSGGGSGGYGYGAGYRSAAAAGYYDSGAAGAGYGYGRGYGYGGNAAGFGSGFGGGYGGSMYGGAYGAYGAYGGGAYGGSAYGGGAYGGGGMGGGAYGAAPGGYGAGGYGAYGGAGGAGGGSAGARGSSRYHPYGK >Et_7B_053975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13901496:13903499:-1 gene:Et_7B_053975 transcript:Et_7B_053975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTGCFKCGRPGHWSRDCPSAPASASADPADTNPKPSASRFAPYPNARPRPAAAAAAAAPAAEGDGSGTAQKTKKKKERATRPKLTPDLLLSDNGIGFVLRYFPKAFKPRGRPGSEVDDLGDLIKLYTDWHSRLIPYYSFEQFVRKVEKVGASNRVRRCISELKERVARGGDPTKLHESPVEEVMPTDGTDPEDPMLGTEVPLSDNHVTDSVPEDIHPPVENYDMDPMQEDLLNEIYEKAADEPQNTAGDGTTKEAPRPSAPKEVSSCEAPPSDEAQKPQEGGGSASTNRAKIELTEEQRARMEANRLKALERATAARARASQAT >Et_2B_020096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16806966:16811869:-1 gene:Et_2B_020096 transcript:Et_2B_020096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTKGKVCVTGASGFLASWLIKRLLESGYHVLGTVRDPGNRQKVAHLWKLPGAKERLQLVRADLLEEGSFDNAVMACEGVFHTASPVLAKCDSSSKEETLVPAVNGTLNVLRSCKKNPFLKRVVLTSSSSAVRIRDDAQPNISLDETSWSSVPLCEKMQLCYALAKVFAEKAAWEFAKENDIDLVTVLPSFVIGPSLSKELCVTASDVLGLFQGDTARFSSYGRMGYVHIDDVASSHILVYETPEATGRYLCNSVVLDNDELVSLLAKRYPIFPIPRRLNNPYGKQSYQLNTSKMQGLGFKFKGVQEMFDDCVQSLKEQGHLLESSAGLLGTESNKADKAALNHQKPFKIPNRKFCASVPCCHNRTTASNRICSTEFTLPVGIKPNLMIVTLKLQLWY >Et_10B_004150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15869807:15872913:1 gene:Et_10B_004150 transcript:Et_10B_004150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKWFKGFFEVMEKLSVEEINEHTRQWHCPACKNGPGAIDWYKGLQPLMTHARTKGSTRVKLHRELAALLEEELSRRGTSVVPAGEQFGKWKGLRESTDREIVWPPMVIVMNTLLEKDDDDKWKGMGNQELLDYFGEYAANKARHAYGPGGHRGMSVLIFESSAVGYMEAERLHKHFVVQRTDRNAWQTHKVRFVPGGNRQLYGFLANKDDMETFNKHCQGKSRLKYEIRSYNEMVVAQMKQMSEDNQQLNYLKNKVVKTEQRSKAVEETLGVITQKLRETMEENIFVRSKAKEKHAEYEQEMKYQEEFFHNQIENIHKATEDKEKMFEKLLQEERSKARRFDVDSGTTEDRKLRKEQVQKFIDCQVKDVAEFESERDELIKAHEEKKMKLKKEYMEKEVQLEEELDAALTGLMEKHKPDTFQASSS >Et_5B_044803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5989569:6004590:1 gene:Et_5B_044803 transcript:Et_5B_044803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSAPTSRSRKGRDWANLDAGPAGLIAERVLSNDAVDLVRFRAVCRPWRACSAHLRAQGVLDRRFHPRRWIMLPPTLNVAGNRRLFLNVFTGERVRVRLPDPRCCYILGHTAEGLVLLCRKDTYLVQLLNPLTGQLADLPSATTLLEDCIEWSLDDELKEFGLRGAGFADGSTIALLFGFSDVAFAKPGDKSWTTQQPGIFPPVLSAFPFAGHFYCINRKDIFVVETRGNQQPQLVEVASYTLDVPVEGLLKIYHNTDMLVSFSHIFLDDHNDSFEDKYRAYRANLDTGEMIPMKGLDGRAMFVCLYNTRSIWVPAWVSPTIKADTIYVCEGYKSSSQLKVEAFDTLDGSTERPNFDAEDIAYYLSHYPQFCFHLSVRRDWANLTAGPMSLIAAHVFSNNIADYIRFRAVCKAWRACSDDPRALGVFDRGWIMLPRAFDIHDRRCFLNILTGWCIHLRIPLLHRRYVLGQTTDGVLVLCWKVTDVVHLLNPVTGKLISLPPATATVKLPWITCIQDFLRRYTCAAALVDDDSVAVLQLGRSGLVISKPSDEDWTRLYPDGAITSTMSFAGRIYCATTKKIMVVETAADKQPRLVTAANYECGEVSGLLPQLCLVDNDGVLILVRVAYRGIPGVNGQPQRTCEVYWVDLDARKTVTMAGLGGRAVFVNDRGDRALSVPAGVSSSISADTVYFCSRYDERAGRAQVDAFHLLDGRIDMDCRDERPCSWRDWTNLSDGPARLIADRLLANDVTDYVRFRAACAAWRACSDDPRAQSVFDRRFHPHRWLIIRPYPFFDRRRRLLNVYTGECINTFLPYHHHCFILGPTAEGLLVLRRKPLLSNSSIYSLITDLPHADTLINLRATNHHSTAVRANDLLLLSRIYCATSKEIMMKETAADKQPQLVTAAYYDFGEGMTSFRQVCLVDNDGVLLLVAHYAIYVNGKRQRSCKVYRVDLNAKKTMVVPGIVERVIFISLYGDRTLSVRAGLSPSISGNTIYFCKDQTDNVGPNYDVYGIVDGSITQDCRTNPVCRIFDNLARYILGYGMVGLDLGSGLAGLIAYHLLANDVADYVRFRTVCAEWRGCSDEPRGQSVFDRRYHPHQWPAAAPSDVSTGEFINLRQPDRGSYFVLGPRPSQCRKSNLDVQLLNPLTGQVTDLPRANFFPRLQEVRLLGAGMAGDSMVALLFRAECGEQAVPYDDFTRLAQPVWQSPTCKARPLRTHRRQARRALRLTAAPFRLVINFPPFLLKPDQSPLTRDWASLPSGPAGLVAERVLSNGDVADYVRFRAACAAWRACSDDPRSQSIVDRRFHPRRWIMLPYASELRSPPRCFLNTHTGECIEVLVPVPDLERYYILGQIIEGLLVICQKGTHTVQLLNPLTGQLTDYPHVQNMLVVSIRNLTMDYRLNREQVQSGGLADDSTLALLCNYRTFSAAKPGDESWKRLRAQVGDWMLLALPFAGRLYCVTRETISVVETAADQKARLVVVADLKWEGEFCWYNDRLYPVYDDDGGLILVRRHKSDDSCFDDKFQAYRVMLDTGDVEPISDLGGQALFVCDKRSQSVPAGISSSIRADTVYVCRRYYYKNRPDFVAFDLSGTSTVPTFDRRSVAYDLLSYVRDWANLTAGPASLISDRALANNVADYVRFRAACRAWRALCDDPRSHEITDRRFHPR >Et_3A_027067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31508545:31512640:1 gene:Et_3A_027067 transcript:Et_3A_027067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPLIYEILEKPASSSVIGICSLIWFFIQKRGIGYADVGLSYETAVEGGQYWRIITSAFSHISVVHLVFNMSALWSLGVVEQLGQVGLGVEYYLHYTLVLVVLSGLLVLGFYHMMIQRFKMEYFRRVTAVGYSCVVFGWMTILAAKQPSSKLNIFGVLSLPISFAPFESLIFTSIMVPQASFIGHLSGIIVGYSIAWGLIHGMNNYWAITMLGWIALVFVMSMKRTGSMELSFIEIEPVTDPSLPSVGVVASRNGRTLQMDVLPGRRVADIIFLDGVVLALIRKYEMELLCLSTT >Et_3A_023104.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27918397:27920465:-1 gene:Et_3A_023104 transcript:Et_3A_023104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLQDPAPTRSKSPHHLTPPPRSASSSSSSLSLRSPTHTSPDPHTTSPEETAMAKEAGGGEVGGMSESVLRKVLVSYMYVAVWIFLSFSVIVYNKYILDPKMYNWPFPISLTMVHMAFCSSLAVALVRVLRVVDLPSSPAMTPQLYVASVLPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFNKETFKSSSMLNMLSISFGVAIAAYGEARFDVRGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLCFLVVPWAFVELPRLREVGTFQPDFFIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVGYYNHVKLQALKAKEAQKKAAQADEEAGSLLQERNSHGDHKSDNQN >Et_9A_062441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2301902:2304886:1 gene:Et_9A_062441 transcript:Et_9A_062441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALMSCFACCGDEDTQGVPDNRNPYPGNHPARNDAYRAADPTPKGTQPVKMQPIAVPALPVDEIREVTKGFGDEALIGEGSFGRVYFGVLRNGRSAAVKKLDSNKQPDQEFLAQVSMVSRLKHEHVVELLGYCADGALRVLAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWAQRVKIAVGAAKGLEYLHERAQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGDYPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARAANPGEHAGS >Et_5B_045759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8902237:8914442:-1 gene:Et_5B_045759 transcript:Et_5B_045759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLLLSPVLVNRIIERLYALTMSQEQSLVKSKKRAPLRRGKIYPQLPVPEHIPRPSYVGSKELPELCSVRQIHDAEGIAGMRAACKLAARVLDFAGKLVKPSVTTNEIDAAVHNLIIEAGAYPSPLGYRGFPKSVCTSVNECLCHGIPDSTQLQNGDIINIDVNVFLNGYHGGTSRTFVCGQADESVKHFLKAAEECLEKGISVCRDGVNYRKIGKKISKLAYFYGYYVVERFVGHEPILTMEKTECVTWEDGWTAVTADGSWAQFEHTILVHVWRIVVVRGIQLTDRRTWAVAWRMGHWRLLQYLSN >Et_4A_033305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21278866:21279353:-1 gene:Et_4A_033305 transcript:Et_4A_033305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKTARAAAPSQSVAADAGQVGEASGADAGSASMAIDPNASESDHDATKNCAWKMEMGTSGT >Et_7A_050233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14057932:14059400:1 gene:Et_7A_050233 transcript:Et_7A_050233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSADADAYADAGASAGARGGVDADAGHGGADVGGGTVGDGGRSEVVVVVVLLLAAVVVVLVVVHPDLEHLAAHADLVAEPLERAVVVAVDAPPLLLRELAHPLLLLGRELGAEPLPPAASTSAASHAAAPEAEAHQVVVVLRPRRRLRPGPHAAPRRRWHRVVRQREVRRRRRGRREERLQRRDVVVMRSTAPAAAAAGGRRGARCCRRPPTRGGGNCGGTRRRRR >Et_5B_044991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8043148:8049471:1 gene:Et_5B_044991 transcript:Et_5B_044991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSRLRVGTLVPLAKDKAVSSNGSVSSIPIFEGSNVVGRHHLMAVDKRISRKHLSLHASAAGSIEVVVEGPNPIIVRSDGQRRKVCAQEKAKITHNDVLELIPGDYFVKYMGMEDENKISVPVESSELKKGKRHIGEDCAAVKRNRQIMEDEALARTLQESFVKESVNVADMASGQTSSPLDSAGPSKRSNERMHSGDPLTFRLMRVQGLPSWANASTVTVQDVIQGEVLLAVLSDYMVDIEWLLTACPSLRKVPHVLVIHGEDGVSLELLKKMKPATWILHKPPLPISFGTHHSKAMLLVYAHGIRVVVHTANMIHVDWNNKSQGLWMQDFPWKGAKDINKKAPFENDLVEYLGALKWPEFRVNLPVVGDVNINAAFFRKFDYSSSLVRLIGSVPGYHTGTNVKKWGHMKLRTVLEECVFEKQFCKAPLIYQFSSLGSLDEKWMSEFAYSLSAGKSDDGAPLGIGKPLIVWPTVEDVRCSIEGYAAGSCIPSPQKNVEKDFLRKYWTKWKADHVGRCRAMPHIKTFTRYNGQNIAWFLLTSSNLSKAAWGALQKNNTQLMIRSYELGVLFLPQTLESVPQFSCTGKNRSDLDQIAPGEKIRTKLVTLCWKGDEEKERSTEIVRLPVPYQIPPQPYVPEDVPWSWDRRYTKKDVYGSVWPR >Et_5A_040751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1274378:1278556:1 gene:Et_5A_040751 transcript:Et_5A_040751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATIVNRHDPAPPAVPTCRPCGQPSTPLSLLRLSPSSASLPPTLSAHSTTSSPPPPARRSGARNVGRRRRRRATHRPPEEGDRCLMCARCWATTRCTRPPPRRPSLSTTRHTSCPSSSPTAAAT >Et_4A_035377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22131814:22135537:1 gene:Et_4A_035377 transcript:Et_4A_035377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQTQADGINRTIHTSERAYFTICTYRDRSRPLGHDGHAAGAEQYGEEGDELEADAGLHPGPHEVCGEQVDERHVVQQPGRGGVEEAHDDQRRRAGVAVDLGEREAQRDPERRGGGEEEDQRGLHAGPVLGLQDAAAQAHPLEQLVEAERRDEGADGAHVLRRAHAEADHHRVHHDAQLQHLRFCSLLLLIICAFLHMSYLRRNWSPER >Et_3B_028836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19696309:19698542:1 gene:Et_3B_028836 transcript:Et_3B_028836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAAALLLREASPWSVLGGAAAALLLWCAVQVLEWAWWAPRRVDRALRAQGLSGTRYRFLWGDIKEDKRVTAAALARPVPLDRPHDVFPRAAPALHRALEQHGKLSFTWFGPSPRVTITDPDQVRDVLSNKSGDFVKTSLATRLSRVLIGGLAVLDGEKWFKHRKIMNPAFHAEKLKEMLPAFSASCSELIDRWESLVAASVEPAELDVWPEFQNLSGDVISRAIFGVSYEEGRRIFLLQAEQTERLVRSFRTNYIPGFSLLPTENNRMMKANDKEVKKILRGIIEKKEKAINNGEATQDDLLAMLLESNMNYSDSDGKSSNGITVEEVIDECKLFYFVGMETTAVLLTWTVVLLSMHPEWQDRAREEVLQVFGQNTPDFSGINRLKTVTMVLYEVLRLYPPVVAMNRRTHRRTELGGVTYPPGVMLVLPVMFIHRDPALWGDEAGEFNPGRFAEGVSKACKDPGAFVPFSWGPRVCIGQNFALVEAKIAVCMMLQRFAFELSPAYVHAPYTVLTLHPQHGVPVRLRRL >Et_9B_064361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14311318:14314558:-1 gene:Et_9B_064361 transcript:Et_9B_064361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDWVKDLHKEKEELCQKLLEKEDELKKSQTNFLEQIREKDQEIFRLNLLLPEKTDKSNLTTADSPNSTPEIILKNPTRTPPAKKTPQSNSRAKRARVSEEAIVPNSSSPEEEARELECSGSHACTTGNGANESSTAHMFHMLLESLVCMKISLNKEAEEFSVSASHEASYCFTLTWLEPRNEWLYKVSSLGNLENVAWDWMSQDIKFHMPMCRMFFQKISDCPMCIRLVYGFQLLPSAVSSWVSDINRQNQSGYPCSVLSLDYRNCYAAQN >Et_2B_021911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6864737:6870727:1 gene:Et_2B_021911 transcript:Et_2B_021911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLATTTTMPPPGPAPHAEHVIDGQPSRLIAEYFAKRYLVSCGNKLLLVKMTAPSHLNNGSSMRASRKVCDRIVLKVSEADLATRRWVRLHNLEDGQALFIGSSCSKAIFLGVDAASCCHERNNRNIPSYGFYDLSNGKTDQVFLKRRAWRGINKHVYKSDWFFPSMAVCGGWRVAAQQAHPVPPAPPCILSILISGALIQGLPGGEVVHDRTQASSPCIIGCVDCWLNCIRIASNKAITRVLINPYSGVSLVLYPLRFGNCVRPYDHFDQIVWSPDLNIVAVTFNFFVAFYRPGASSWLIHAPNHYRSYENIAFHRGKLYAVTLNDALFSHDPGNDDDDHAAAGLPSRPEPHPELYYLAKRYLVSCGNKLLMVKMTAPSHLTTDSSGKVSDRIMLKLELKNLEDGQALFVGSSCSKAIFLSGNDRRFQGNRVYFPGADAANCCREKNITNIPSYRFYDLSNGKNDQVFLKRRAWYRKGVSEHIYNSDWFFPSMVDVLVSKAIIITMYKNNVHVSGRIEAPRGNEQDTASPTSSPSGS >Et_1B_014076.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:318077:318262:1 gene:Et_1B_014076 transcript:Et_1B_014076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSNSEAHPGFHDEVDMEFLGTTPGAAVHAAQTNVVVQVPSRLVRSHRHYAILWSPTHIM >Et_7B_054409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21005003:21006631:-1 gene:Et_7B_054409 transcript:Et_7B_054409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDYHGMKESNQSSLPLHTRRGDDGVQPDDQGIRFPAQGTTYRILMCTRLDLAAILVQTKRVQVINPPRFIDTNSSVHVRGFIYWALQPQSDPKLDELVRFSLADETFSVVSYPPCIGGYLDFHALEGELCCVFVDQACGEVEIWTCGSHMDTPTWSQRFTLRFPQDTVVTHPTGYLIPHEVTFHGKDMLIRADRKLYRYNTETEEMKQLAFAVEDLRYYRSAGEQPVAGNVAYHIVDYVENLAEIRGHNTSVELRVYSLVARIFDGVNGNGITS >Et_8A_057301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23289857:23291765:-1 gene:Et_8A_057301 transcript:Et_8A_057301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQLRRIENSVHRQVTFCKRRAGLLKKARELSVLCDAHIGIIIFSAHGKLYDLATTGTMEELIERYKTASGEGQHGDGCGDQRMDPKQETMVLQQEINLLQKGLRYIYGNRANEHMTVEELNALERYLEIWMYNIRSAKEGMLKAANEILQEKIVEQSGLLDVGMMVADQQNGHFSTVPLIEEITNPLTILSGYSNCRGSEMGYSF >Et_1B_010774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1417990:1419692:1 gene:Et_1B_010774 transcript:Et_1B_010774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRKRRAPPAKEQPPPSPQPQPQPPSPPPEPARADAPLAERLTQVSSQERARRITAIRAVEEAEGESTRSWLQLAQSYFSSEQLEAKALDYFRENLPNLSVAHTHTEKHGALELKWNDGDTCVVGDLVDDRILRASIASLPTLGGLQFPGNSVDTNLFGRTSFGFSDSAWSELPVGQMAGAADAFQTPGAMSNRLSLGMTPKTVRLPKNGEMLLSVHGSPLGVYKEENLAAIQGIYYLILLLILLVPEGSFSFFLFTNYIFLSESGNASEDAPC >Et_5B_043075.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:21291322:21291747:1 gene:Et_5B_043075 transcript:Et_5B_043075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERASGAAGIAQTWSANTDHTRLMGMVEGAQPKNFSGSRVDQDAFATTDARGSHDMGCCWSQSAGVAAGESEKCYINVNLCFPDVISFCFGFGRCVLPAACVLHCFLACVPVFSRVACAPPFFVFSDIVGTSGAFLLFLI >Et_5B_043822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16563322:16563849:-1 gene:Et_5B_043822 transcript:Et_5B_043822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRTTTFIVIMCLVIVGLNANLVTAAQCSCCKSARAKACCFGCIALGRSDTVCKNTCCFPCILADSVAAKMEELGVLANMEEMGVLGNMEEGQA >Et_1B_013240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6616460:6626361:-1 gene:Et_1B_013240 transcript:Et_1B_013240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVMENQQPVAPHVQLVVPAAPSPPPLPPQAYKHRCKVCKKGFVCGRALGGHMRAHGVADDVLSEDDDDDACPCDGGTGDSSDGASPTATTSKRMYALRTNPGRLKSCRVCENCGKEFTSWKSLLDHGRCNEEEEDDGDVDGEEGVTDLALAAAGWSKGKRTRRAKVMVVGTGSATEVQSPTSPSSEEEDLANCLVMLSSSSRSAQPPAVVEREPCASASKKYVERRNSRVLVPQPISVMAPATPECLKLLAPPQVVPQPVSTTVPRGLFQCKACKKVFTSHQALGGHRASHKKVKGCFAAKLESNRSDPPQPVTATTPGVVASAIADISTGAKDASVNTSADGNPMNSGNSVVAMATTASEIAATKVDEAPAPSSVSPTKKKGKVHECSICHRVFTSGQALGGHKRCHWLTTGSGDIPTGAVVANKLHAIVPQDHVVRAMCQQLTLGRPTFGVADPVLGLNAPTNPAAAKQAAAELSGSVLCLNAPASPYMQSWTTGHSNANKTATSSRNDAAATEDEADSRGAKRGKISDLRDLNVAGETSPWLQNTCKLCARRFASPRALAGHMRSHSLAAAAAAAAAAAAAAAAAKQQISSASSASTSFTTAEDEDDGFKKPASIYTLRENPKRSLRVADGSFSDRESEAESTPPRAKRASPGPHAWAEVEPVSSLSEAATTEEDVALTLMKLSRDSWPLSLRIDGDYSDDGYGLPAPPPPPPARARAPVEKRTQFQCTACKKVFRSYQALGGHRASNVRGGRGGCCAPPVAPPPLPPPSPLTELHGADEDMMDAKMLLQVPHECPYCYRVFASGQALGGHKRSHACGAAAAAAQAAAAPPSPIKNAGMIDLNVAPPSEEVELSAVSDPHYYNPGA >Et_1A_006843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28088776:28090569:-1 gene:Et_1A_006843 transcript:Et_1A_006843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPQKLSAAVLLLLLALPADMGPRVQAGECLSPSKRFRGLCVSSHRCADVCMEEGNGYSGGSCRGLYLRCFCCSASSQMASVQARECLHLSGRFHAWCLNPEHCADVCRTEGKGYTSGRCHGFLARCYCTLPCAKAAPGANHSGGAKDHESPGAALL >Et_10B_003857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6889890:6890390:1 gene:Et_10B_003857 transcript:Et_10B_003857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQALAISTGLIREVKKKQNSNLIRQLEPADVRSAETALWVPHPRTGIYYPRGFEWIMEDVPSSAASFRQSYWLRTGEAETASSPTTSDATSLDHPFV >Et_1B_014445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8917566:8921060:1 gene:Et_1B_014445 transcript:Et_1B_014445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPKARVLHGTSSRPNGLPAAIVRARAPWTEAVNQRTPPRLLRLALSFRGPHRTTVLVRPEAAHRRLLGFSGQAPVHHDPSAAQPKMSLPLRRALSAAASASVRFRRALSTATSRQPWAMIYQTKLVGSSARRVSLELTEPPSPSHLLVPEHLVKAPPLPEQGWMNMVCVFDGIVNSLSGDGLLLLQFLDLRARADIPEDKRMDAILNPDVAFFVCNPISGQLFRLPDIDGSKKSLCYSKIGIVTQSELPHQPPARYAVAALGQEHYWEWGQQKFIMRRFLSQSQTGDWDNLVGLPSPLPVARRLQCIDHDVVAFAGRLWWVDVGWGAVSADPFSDQPDLRFVELPKGRVTEYVEGMRTLGRYRRLGVSEGRLRYVEVSQEKPFVLSSFVLDSDGSSWMLEHQMALGPLMASGVHPREDDTPRIGVIDPLNSSVIHITIGNIALAVNMGKEEVLGCSALAEACECVGPGAPVERSYGFLKPCVLPPYLGSSQIPGQRCSGGLGSFLVIRARAWAPSGHSCKSMGKSGLMAELWAF >Et_1B_012690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34479340:34479616:-1 gene:Et_1B_012690 transcript:Et_1B_012690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALQSSMYAGQATSVTKARGNVHKRCSKKNNKAGRPHIFLCCRWLATTWNAREADRCNQILPIVYTILR >Et_1B_013677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11911839:11915084:1 gene:Et_1B_013677 transcript:Et_1B_013677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLSRLSRRATAAAAPSLRRLLSTPAAASASPAVHSTPPPPSAAAAAAAGADRVRWDYRGQRQLVPLGQWMPKVAVDAYVAPEAVLAGQVTVHDGASVWSGSVLRGDLNKITLGFCANVQERCVLHAAWSAPTGLPAETLVDRYVTVGAYSLLRSCTIEPECIIGQHSVLMEGSLVETNSILEAGSVLPPGRRIPTGELWAGSPARFVRKLTNEEIMEIPKLAVAINDLMQSHFSEFLPYSNAYLEVEKLKKSFSIPL >Et_3A_023627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:985888:988370:-1 gene:Et_3A_023627 transcript:Et_3A_023627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYDCMLLVKPLVPKESIAELVGRVARRAYQRNGVITDLKSFGKVQLGYGIKKLDGRHFQGQLMQMTMMVPPSFTKELHYLNKEDRLLRWLVVKHRDAVYGLEFINEDDGRNEMSGFSFNTTRDDGIELDDDDDDDDDDDDYEYEFEEEEEEKK >Et_8B_058655.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:13872044:13872208:1 gene:Et_8B_058655 transcript:Et_8B_058655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNERPQPWCYQTKDDCSNNCPHCHPRCPPPLSLQSATDTRLNPTISQEQNASRS >Et_1A_008166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4917867:4919433:-1 gene:Et_1A_008166 transcript:Et_1A_008166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPMANCAILLLSVLCAGAVGFCHGAAAAPGYVTVSTASFTPASTCDGPDPVSLMRNGTSAVLRLTHRHGPCAPSRTSALEAPSVADMLRADQRRAEYILKRVSGSGGQQPQQLGGSKASSSAVPANWGYNIGTLNYVVTVSLGTPGVTQTLEMDTGSDLSWVQCKPCAAASCYNQKDPLFDPAQSSTYAAVPCGGAACAALGFYGAGGCGTGTGATTAQCGYVISYGDGSNTTGLYGSDTLTLTPTDSVPGFFFGCGHAQSGLFTGIDGLLGLGRRDLSLVEQTVSTYGGVFSYCLPTTPATTGYLTLGRPAAPSGFSTTQLLSSPNAPSYYIVMLTGISVGGQQLSVPASAFAAGTVVDSGTVITRLPPTAYAALRAAFRSGMASYGYPTAPPTGILDTCYDFSGYGSATLPTVALTFSGGLTVTLGADGILSFGCLAFAPTGGDGGMSILGNVQQRSFEVRIDASSVGFKPNSC >Et_6A_046921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22270775:22279158:-1 gene:Et_6A_046921 transcript:Et_6A_046921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGGQCMWMIGGFWYLVTLHSIRESSMPLIIAMEIFFLSTSALTAAQVIHGSLDFNLSSMVLTIVPLEPHPIMLSCSRSTLLNCVATCSYRRFKTAMSFGLVPNTWKNEFEVFKADFEQSLWAKVTAIGDDQVLFLREQCCRSVCISQYKMPGDRIFFMENGDGDEDDLGNGDRGYEQYFEERLGPCRVFDLRDAMTRTRSTSWSDIPLELAGLVLRRLPAHVDRVRFAAVCPQWRAAARVVPLPPPLPLLALPDGTVYSFPGSKPLRFPACAGYADACGSNWLASSVEDGGGGFLRDPFSNATVTLPDLLRVPRPQAYGEETGGVRWKEMEDGPELVTMYKLLFCSPQLVATFVRFQKSTRVALCKPGASSWWSVHMDHPFPLFVDMAFHQGKLYVIDHLKDTLFAIDIIVDRTTGDPWVSRVKRTIVSIPHLPATILRPENVIVKMLYLVESNGALLMVFRKMHCKLMEAPIGAGRGTLVPTGVNEFEVFRADFQRSRWTKVTTIGDDQVLFLRRRCSRCVGVSRSVMPGDRIVFFENDDEDHDWFDEESSDSCRVYDMKDGKVSSAPMMVSWKRGFVPATWLFPENLNQLMAETAPRSWSDIPLELAGLIICRLPAHVHRVRFAAVRSQWRVAAREAALPPPLPLLAFRGGTVYSLPGSEPFRLPACEGYTSACDSWLVFSRKDGYFLKDPFSNKTMTLPSLSRARVRYVGDELGSSWMEYQDVKKLTSFKVLFCSPHLIASSIWFQGSSRIAVCQPGAFSWWSIHLDDRSLNFGDITFHQGRLYALDHCRGDLFSVDISTDHSTGDPWVSQVQLVVNGSMPQGPTGTPDYSVIKMLYLVQLRDGLLAVQRSMSYRRMRTTSEMMPCSYRNKFEVFQADFRQSQWSKVTTIGDDQVLFVRRHCCISACISQYKMPGDRIFFLENVDKVIMGNCDDETLFYEESMGPCRVFNLRDGKVSDLLPTVSWNHSTKRATWLFPQGQE >Et_9B_065595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7683538:7685972:-1 gene:Et_9B_065595 transcript:Et_9B_065595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAIRLLFVPLMAVAFCGYAVSGRSHYHKKPSHGGRNRGGGGGKGSSVVSSPAVPPPVDDDTQPVAWPPPPRTPAEPCVFDVRSYGAVGDGTTDDTQAFREAWRAACDAESAVLLVPSDGTFTITTTTFSGPCKPGLILQLDGVLMPPDGPDCWPPSDNRRQWLVFANLDGLTLRGAGTIEGNGENWWNLPCKPHRGPNGSTLRGPCDSPTHHRIVERSCLRVGLRAQLVRFFTSRNLVVEGLRVENSPEFHFRFDGCSDVRVDGLYISSPANSPNTDGIHVENTERVAIYNSKISNGDDCISIGTGSYDVDIQNVTCGPGHGISIGSLGVHNSQACVANVTVRNAVIRNSDNGVRIKTWQGGMGSVSGITFDTVLMENVRNCIIVDQYYCLDKRCMNQSTAVHVTDVSYTNIRGSYDVRSAPIHFACSDTVPCTNITMAEVELLPFSGELVDDPFCWSAYGLQQTPTIPPIACLQEGLPESLLDNPDLKCRTSD >Et_5A_040626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10497791:10503341:1 gene:Et_5A_040626 transcript:Et_5A_040626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERSPAVLRLLLPLWLLAVSASPAFLGALASDLSKEPFTIRISCGSFDDVRTAPTNTLWYRDFGYTGGRFANATRPSFIIPPLKTLRYFPLSDGPENCYYINNVPNGHYQVRVFFALVANPNLDSEPIFDVSVEGTLFSSLLLGWSSDDEKTFAEALVFVQDSSLSICFHSTGHGDPSVLSIEVLQIDDNAYNFGLPWGKGTVLRTAKRLTCGSGKPAFDEDLNGVSWGGDRFWLGLKTLSSSSDDQSISTENVIAETLLAPNFYPQSIYQSAIVGTDRQPSLSFEMDVTPNKNYSVWLHFAEIDNGVTAEEQRVFDVLINGDTAFKDVDIVRMTGERFTALVLNKTLAVSGTTLKIILQPVKGTRAIINAIEVFEIIPAENKTLPQEVSALRTLKSSLGLPLRFGWNGDPCVPQQHPWSGVDCQFDNTIGNWVIDGLGLDNQGLRGFIPGDISKLPHLENINLSGNSIKGNIPTSLGTISALQVLDLSYNELNGSIPESLGQLTSLQILNLNGNHLSGKVPANLGGKPLHRARFNFTDNAGLCGIPGLRECGPHLSVAAKIGMAFGVLLAFLFLVVFAACWWKRRQNILRAQKLAAAREAPYAKSRTHFTRDVQMAKHQRPQESSRSSNNESSPLSPK >Et_6A_047696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9888774:9895839:1 gene:Et_6A_047696 transcript:Et_6A_047696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGGGGGRRRGRGGGGDPSGGRGLGGPDVGGRGRGGRGGAGAAELGGRGGAGGDRGGAAAGGGRGHGGGRFHAPQPQPAGGRGGGYNVVAQGRGQVPAPAPVAANVEALSDQMKTGMVLSGAPQPSGPSSSAPAPRAPPAAPVRVGGSAAAPEYSGGSGGGCAQGRLPPTSSKAIVFPARPGYGTVGRRCRVRANHFVVQLADKEIYHYDVTITPESNSRARNRWIINELVKLYKQHMDGRLPVYDGRAGLYTAGPLPFKAKEFALRLTNPDRANMGEKEYKVAIKDAAKLDMYSLKQFLAGRHRELPQDTIQALDIALRECPTTRYVSISRSFFSQQFGHGGEIGDGVECWRGYYQSLRPTQMGLSLNIDISATAFYKAQPVINFALEYLNVRDPSRRLSDQDRIKLKKALKGVRVVATHRHDMSRYKITGLTSVPLKDLTFDQDGTRVSVVQYFKRQYNYNLKCIHWPCLQAGSDSRPIYLPMEVCDILEGQRYSRKLNERQVTSILKLACERPEQREHSILEVVNRNNYGNDYYAKEFGIKLMNQLALVDARVLPPPMLKYHDSGREKECNPSIGQWNMNNKRFINGGLIKYWACVSFASRLHPNVIRMFCDHLVGVCNNMGMQVNGRECVDIVQARPDNLESALRSIHGQSAEVLAQQGVTGQQLELLIVVLPDVNASFFYGRVKRLCETELGVITQCCLPKNVQKGAPQYLQNLALKINVKVGGRNTVLKDALYRRIHLVTDLPTIIFGADVTHPAPGEDASPSIAAVVASMDWPAVSTYRCLVSSQGHRQEIIADLFTDAKDPQKPHGWGMIRHVGSWSELLMSFYTANGRCKPGRIIFYRDGVSEGQFSQVLLYEMDAIRKACASLQEGYLPPVTFVVVQKRHHTRLFPEDHRSRDLDRSGNILPGTVVDTKFVIPVSSTSTSAVILAFRYARCTRSVSIVPPAYYAHLAAFRARHYLEDGLSDQEGSSGGSSLLRDHAVPVTRLPKVKENVQKFMFYC >Et_7A_053025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5029198:5033658:-1 gene:Et_7A_053025 transcript:Et_7A_053025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPHCVASLPPTPTANAAATGGGAGSGKKTQPTASQVRRLCKQGRLDRARRLLLEALPRPPPTLLCNVLLIAYVARALPDDALRLYALLNHAARPAPGADHYTYSSVLTACARTRRLRHGRSVHAHLLRRARALPDTAVLRNSLLNLYASCVRYRDDAGSVDVVRRLFDAMPKRNAVSWNTLFGWYVKTGRPHEALELFMRMLEDGVRPTPVSFVNVFPAASSNDPTWPFVLYGLLVKHGTEYVNDLFVVSSAIAMFSELGDVQSAWKVFQHTAKKNTEVWNTMITGLVQNGKFAEAMDLFIQLLGSKQVKLDVVTFLSALTAASQSQDGRLGQQLHGYLIKGMRGTLPVILGNALVVMYSRCGNVQTAFDLFDQLLEKDIVSWNTMVTAFVQNEFDLEGLLLVYQMQKSGFAADSVTLTAVLSAASNTGDLQIGKQAHGYLIRHGIEGEGLESYLIDMYAKSGRVEIAERVFDDYETDERDEVTWNSMIAGYTQSGHSEKAFAVFREMLVAGLEPTSVTLASVLPACDPVGGGICAGKQIHCFAVRRCLDTNVFVGTALVDMYSKCGEICTAEHVFAGMTEKSTVSYTAMISGLGQHGFGKRALSLFYSMREKGLNPDAVTFLAVISACNYSGLVDEGLALYRSMETLGIAATPQHHCCIVDMLGKAGRVEEAYDFVEELGEESYFVSIWGSLLASCKALGKQELAKLVMEKLLCIEKKYGHAGYNILLSHIFAAENKWSSADSLRKEISDAKHIGLLLTSVTSTEEHKPEASMYNRRETDYTIPH >Et_5A_041824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3104564:3104919:-1 gene:Et_5A_041824 transcript:Et_5A_041824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGLSLGILIDIVDEEWMRDTLPADDIPVPPSMAVKTEDAEDPAPASTRVLPTHLSVIYCAVLGVAIRLVRSVRGDNREENSG >Et_1B_010970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1664360:1667510:-1 gene:Et_1B_010970 transcript:Et_1B_010970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLVGNSFGCSASGERLVSAARDGDLQEARALLEYNPRLARYSTFAGRNSPLHYAAAQGHHEIVSLLLESGVEINLRNYRGQTALMQACQYGHWEVVQTLILFNANVHRTDYLNGGTALHFAALHGHARCLRLVLADYVPSIPNFCTLTNRRSSEEDLSADFDHDALVKMVNQKADGGLTPLHMAALNGYVECVQLLLDLGASVSEVTIEDGTTIDLIGAGSTPLHYAACGGNAVCCQLLIARGACVTAQNASGWTPLMVARSWNRNLIEEILSKEPEGRIRSLPSPYLCLPLMSIMSIARECGWRYLNHSPVCIDPCAVCLEGSCSVAAEGCKHEFCTRCALYLCSTSYTSVSPAGAIPCPLCRHPIISFTTLPGTSPIRELPRNSLSLSLCTTCPAVNSDSTTSLAAHLYRTEFQCGRMPPMGSSSFRSLSCQRMPAMKLNPSFCMGGIDTNPCLISCSRFGSSSLPRSVSQGESSRRAWPLTFDPIVATGS >Et_4A_035012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8861151:8863814:1 gene:Et_4A_035012 transcript:Et_4A_035012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYPTVSAEYQEAVEKARRKLRALIAEKNCAPLMLRLAWHSAGTFDVSSKTGGPFGTMKNPAELSHGANAGLDIAVRMLEPVHEEFPILSYADFYQLAGVVAVEVTGGPEVPFHPGREDKPQPPPEGRLPDATKGSDHLRQVFGKQMGLSDQDIVALSGGHTLGRCHKERSGFEGAWTTNPLVFDNSYFKELLSGDKEGLLQLPSDKALLSDPVFRPLVEKYAADEKAFFEDYKQAHLKLSELG >Et_1A_007082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30703697:30705076:1 gene:Et_1A_007082 transcript:Et_1A_007082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGSSNIGFQLLKKSGWKEGTGLGAQEQGRLEPVETRVKNNKRGLGSKEPKAKLKPKEVEEDDVEKDPKKPKQDMPTKRKTKLAAKRIRKIQEEEKRLQEKEFEMAFFREFWPDNV >Et_10A_000456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10651875:10653822:1 gene:Et_10A_000456 transcript:Et_10A_000456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRRRMEESEWVADVEEEVRDDGGALTVEAARWKLHSVYRRRSLVHFLRRARLPLTDFTAAIGAAGEELEGAYQGLGDEWRGGRLVEVAVTDGCFLLEVMRTASGWAANDYAGDDPVFSPHGLLYTVPYIRRDMLMIENQLPLRVLDRLVAVESGKDGNEELINRLVLRFLSPTAWPLATGDGLALHPLDVLRRSLLFGPAPIPQAPPSSTPPDDIILSAEELYEAGVRFKRSQTSSLLDISFRNGTLRLPSIVAVAKMFSGLSRDVVLEPQSALDDVHREVNAYCRKPWNRWRANLVHTYFRSPWSFLSLAAAVFLLVMTVMQTVYTVLPYYSDKN >Et_5A_042494.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1650253:1650801:1 gene:Et_5A_042494 transcript:Et_5A_042494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNETKRSKKRLGDEQPAAAVAVPVHLPEDIVTEILVRLPSKSVLRFRAVSRAWRRITTDPAFLAAHARRRPLEILLRTAVTVRPRDYPHDCGRDQVVDTIPVSADQAGRRRLIQYPYTISGTSYKSLDGLYYSLVASSCHGVLLFKISGTFHICNPVTRQWTELPRLPELNWSFVFVCGFY >Et_1B_012566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33261972:33264155:-1 gene:Et_1B_012566 transcript:Et_1B_012566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAPGNVGIVPTALMALCFVIFVAQAAVIPVGGGTGWTFGVGNSWARGKQSFAVGDVLVFQYAAGVHNVVQVDQNGYNSCKAGVGAPVHTSGDDRITLTRSGNVGKVATTLMTLCCVIFVVQAAVIPVGDGAGWTFGVEDDWARGKQPFAVGDVLVFQYAAGAHNVVQVDQNGFSSCTAGAGAQVHSSGNDRITLNRRGKFFFICSFPGHCSDGMRIAVTVN >Et_8A_058365.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:5900463:5900924:-1 gene:Et_8A_058365 transcript:Et_8A_058365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVPFFVAFSLILLVGRYLPFALPPAVRAVLADAAAGPASTAKCSVTVAFAGLALLVSITQCSDSYHHHQQQQKQCSSGVTMEARALWLNCAALFLGMVLGGAAVATQHPPAFVSPIVHVAIDHLERVTETIALTALAHNLCVLIKVLNAEQ >Et_1A_008036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40204576:40207885:-1 gene:Et_1A_008036 transcript:Et_1A_008036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQQRRVVLPVRALDGRTTSVRMLATASVRDLKAALVASFPPAQTVPSFHLFLRGGKLLLDAKLGNIAVAHGEFITLISFSTRPPDLMGANSPAPQSSRIPSTAAPQNPRAVLSSAAMASRKRKFSSSWRGEDVYARVAGGNPASPSSCCSDGGAPEAGARQGQVAHVQEIPGREASFSPLPVAHLSPAMRDALRSIGVARLYSHQAQAISAAASGKHVVISTATSSGKSLCHNVPVLESVSPQGAASCALYIFPTKALAQDQLKTLLEMKAAHPDQGLITNPDMLHVSILPCHAQFRRFLSNLRYIVIDEARSAATPRSSSDGSSASAKTHPTFIFCTATLANPREHVMELAKLDDVDLVCEDGSPCGSKHFLLWNPSVARSSLNLQETRCPSPIQEVSYLFAEMVQHGLRCIAFCKTRKLCEQILEETSVDLADSISVYRGGYVAEDRRRIEADLFGGKLRGVAATNALELGIDVGHIDATLHLGFPGSIASFWQQAGRSGRRSNESIAVYVAFEGALDQYFMKFPQKLLGKPVEHCQLDLPSYSFDTQAAWIGIPQPVRAAVEQRKLEFHSGVHAASHALLMLLLGTQCHAASRVLQSDATCSASDPGKQRATPQQTCDRMLLYDKHPGGIGLASQVKLLFGELLVAALELVSTCGCTNPDGCPNCIQSFACGEYNKNLDKQAAVLILNGVIQNEGLESCSLQSISTVRKASPDSRRPKLMAQPRHKKLTKGSPTFPILTKLLD >Et_9A_061264.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:3315762:3316331:1 gene:Et_9A_061264 transcript:Et_9A_061264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQEGLTHRVPAGRDDDGGGVSATQVSGSREPTRKTTGGSNSGLRSLVAAVSLSAALTALSFFLAGRPSEARASAATVAIVRAGSVAAEAVMALAAWMAWAEGGLHARPGATLAPFAAQLGAALAWAPLVLGGGAARAGLACCAAMCAAAVACARGFGGVNPVAGDLAKPGVAWAVLLAVVNYKML >Et_2B_019790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1426404:1427178:-1 gene:Et_2B_019790 transcript:Et_2B_019790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYSRLTSELLVLLSKILFHCPSSAKHLPRTKVSRGFYAHFSACQNTFWGQHKRVCSRVNAALDAYNKGRKQFRLHVICGVNELVSGPEFSTDPEVKGYNPWTPFKYHHRLPYQLSSDAYEVPMTVLLFEFSSLLNVATMASIRSLGASLSFHRILMPGKSGAFTASMKGA >Et_7B_055089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7123943:7125620:-1 gene:Et_7B_055089 transcript:Et_7B_055089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTLIRSFPSSASSSSPFHHANNAATAPAPAPCSSSSPLLRGSAMPSYAMHHSPLRGRAFSCCTVFPWFLGLGIRDFDTCAFWGEKDGRMDAMNCNSFLPEETAEEAAAAANAALVERDGFSVEDLLDLEEFGEADKDDGAEHEDAPPPPPAAPAAEEAVKEEKSNDDSQPLSIVTYELPPPPPEMVDLPAHDVEEELEWVSRIMDDSLSELPPQPHHAVMAPSQQAPRPPLAQQHRRPYDGAYRALPPPAPLQRTPTICALSTEAMVPVKAKRSKRSRAAALSLSGGAPLFSDSTSSSSTTTTSSCSSSSTSLSPFFLLDSPAPPFGAAPEFEGYPHFLSPPPSSKKSKHGKNSKNGGGKPKKRGRKPKHQPHLLTGAAAASAAASQAVQGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSSIHSNSHRKVLEMRRKKEGGLLGTAAPAVASF >Et_3A_023009.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:16522774:16523103:-1 gene:Et_3A_023009 transcript:Et_3A_023009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAPLIVNKRCATTTVVLPTITWSSASCTTRSDSASSALVASSSSSTLGSLMMALAMATRCFCPPDNWIPLSPTMVLYPSGSADMNWCALAASAAAMISSSDASSCFP >Et_7A_050974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13097863:13098319:1 gene:Et_7A_050974 transcript:Et_7A_050974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKGLLLVLLVALAAAAVSVHGAGECGATPPDRMALKLAPCASAAQDPKSAPSSACCTAVRTIGKQSPQCLCAVMLSKTAKSSGIKPEVAITIPKRCNLADRPVGYKCGDYTLP >Et_9A_063015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8139393:8139811:1 gene:Et_9A_063015 transcript:Et_9A_063015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTGTGAGSKPKKGATGRKASGPRKKVVLRSVNADLVFPGRYAQRVSAGTPIYLAAAVEYLAAKVVELAGNVVTTRRPASSHLEQLQETLVC >Et_6A_047932.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:24450642:24451151:1 gene:Et_6A_047932 transcript:Et_6A_047932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVSFQLPSTAAPPLLVSHYYHPAGDEDDALRELPDNPPRMSNFTDIALIPLGPDEARLEPTSKATVVRRFRHGAAVEVVFQSTAVMQSVSNPMHLHGHDVFVLAQGFGNYDAERDVATYNLVDPPVKNTVLAPRLGWVAIRFVADNPGAYVQCLITCPARAGSGPGQ >Et_3B_029076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21948733:21951918:-1 gene:Et_3B_029076 transcript:Et_3B_029076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISQRVAGPCGPAAATRRPRRRAAVIAAAAAKDARRMRALEGASEELRATAAQCLDWAPARRRVRAAFAPLLPTLDHCLFKMAPQGIQMEENYETNSKGVEIFWKSWLPREGIAPKAALFFCHGYGDTCTFFFEGIAKRIAAAGYAVYAMDYPCFGLSYGLHGYIASFDGLVDHVIEQYAQIRGMKEVCDLPHFLLGQSMGGAVALKVHLKQQKEWDGVLLVAPMCKISEDVTPPAPVLKALNILSCFLPEAKLFPQKDIGDLAFRDPNKRKIAEYNVISYSDQTRLRTAMQLLKATKDIESQLDKISSPLLILHGAADMVTDPQVSKFLYEKASTKDKTLKLYEGSYHSILEGEPDDRISTAINDIISWLDSRC >Et_6B_048219.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:12364652:12364912:1 gene:Et_6B_048219 transcript:Et_6B_048219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRGRYAELHLAQEEELQRLFFKKNKNRGIPRIELGTSRTLSENHTTRPNALAVIADAVTLYLSLILANHGLFWFPGQITARRIR >Et_10A_001403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2702091:2707258:-1 gene:Et_10A_001403 transcript:Et_10A_001403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSPVFNFINNLSPIPPPKPSDSAHNVQLFKSSDLAPVSSIFASPHVPPTKEPKLLMRDDSVQFAQESHSPNSVRTRTGTTSSFRLIRCRNINGVGSEETDKNQHADGKTDLNISQECKDLEGIILDQSGPDNIDPSHSGKDVHENQLSEQNEDEVATYDGGYMITHEPIGDMLTLAVPFEAETQSANDTINTDNAYSCKSLPNDNSNGYYIQNSAHEPHHYWAGPVEGVAVDKSTPQMPPDVLQLHLMPNDQACKKLNEPSDYMPTKQNALSQHLRGTRRRSLFNEKAGATSKGVNKASDRHFASSSTPKCKINSSDNSKALRTPPCALPGIGLHLNALAAIPKEKMVPQDTQLVISQCSNLPCHLGFSPPPYEQSIISDDFAQTTNIVSAEDASQGSPKKKRHKFDNGDGTSCKKCSCKKSKCLKLYCECFAAGIYCTEPCSCQGCLNNHDNMATVLSTRQQIESRNPLAFAPKVIHTSETDQELGDYPNKTPASARHKRGCNCKKSSCTKKYCECFQGGVGCSVSCRCEGCKNTFGQREGVVVSSVEESKLALEENACVKEEKRENDTQLVIYQATESAPADNVLTASVIVDCRPLAALPPASSKKPRSSTKLTGHSSRLGSTQAPPKSDIMLPSFKNFTEMVLGDNTSDTLKGSSSPQTSVKVVSPNKKRISPPRIGTGLSPICRSGRKLILKSIPSFPSLGGDVNNEDPKSKLPAP >Et_4B_037029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13804078:13807213:1 gene:Et_4B_037029 transcript:Et_4B_037029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATADGGIGTILVSGDRDFLVRNSGEQVKVSSIEASTVALYFSASWCPPCRRFTPKLIETYNELASQGKSFEVVFVSGDQDEEGFNAYFAKMPWLAVPFSDSEGREGHNGRFKVSGIPHLVILNAKTGEVYTEDGVEFVSEYGVEAYPFTPDRINELKKQEEEAKANQTVHTVLGTHVRDYLISNKGDKVPISELEGKYVGLCFVVNGYGPVDDFTAVLAKIYEKLRETGEKFEVVAVSLDNDESSFNESFANMPWLAIPQGDKMCEKLVRYFELSALPTLALIGPDGKTLNNNVADIIDEHGFEAWEGFPFNSEKLEILAEKAKAKAASQTLESLLVSSDLDFVIGKDGAKVPVSELVGKTVLLYFSAKWCGPCRAFLPTLVKEYNKIKEKNSDFEIVFISSDRDQSSFDEFFSEMPWLALPLEDERKASLKKTFKIRGIPSLVAIGPTGQTVTKDAKSLLMIHGADAFPFTEERIEELKKKMDEVAKGWPEKLKHELHDEHELVLMRTEVYSCDGCEDLGSSWTYRCVECEFDLHPKCALAEEKKDEEKATTEETPAGYVCEGG >Et_7A_052936.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2879106:2880404:-1 gene:Et_7A_052936 transcript:Et_7A_052936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSAEARRDMVFVGAGPRARRSFSMPSVDRQRLRSRAVSMLGTLGLANYNARHPHYGSYYKYTSLSLEEMMKRDHDVHAAAMEEEVVHGEDDVGAAAEKRRLKPPRTPTLTPPNEPEVINAWELMAGLEDEACPTPRHAVPPRRQSLSLDASPPWMQLDMDVPVAALDFDPETLSGFREALQEDASPSPSPQPAVVVSSAEEKPVQQRERSKQDAATLTPTAATRDNMPELSGIVRARINAFQEKIQRRRSGKGDPKAQLRRPPPGGERRAVVYFTSLRGVRKTFVDCCAVRSILRGYGVRLDERDVSMHAVFRAELAALLGQGPGPVSLPRVFVDGRYLGGAEDIQGLHEAGELARALEGCDAAPVRKLGFMEACAACGDVRFVPCETCYGSCKVFVVEEEDDDDVGEFRRCPDCNENGLVRCPVCCC >Et_1B_011558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23668153:23670288:1 gene:Et_1B_011558 transcript:Et_1B_011558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALATAAPALAAAAPSPRRAPPHSFNVVWAKPRRATVVRAAAAGGWAPGSWRARPARQIPEYPDPAALEAAERALAAFPPLVFAGEARKLEERPWGAPSSCRAATAPRASRSSAPTTSATCSMAVVLTFGGQMPTIKVGRLAGQFAKPRSSPTETRDGVTLPSYRGDIINGDAFDEKSRTPDPERLLTAYSQSASTLNLLRGFAHGGYADLQRVTQWSLDFLRNSTQGDRYLELSERIHDAIGFMTAAGLTPQRPIMTTTELWTSHECLHLPYEQALTREDSTTGRYYDCSAHMLWVGERTRQLDGAHVEFLRGICNPIGIKVSDKLDPSELVKLCEILNPHNKPGRLTLITRMGAENMRAKLPHMIRAVRQAGLIVTWVSDPMHGNTISAPCGLKTRSFDAIRAELRAFFDVHEQEGSYPGGVHLEMTGKNVTECIGGSKTVTFDDLSSRYQTRCDPRLNASQSLELAFAIADRLRKKRDRTWTI >Et_8B_058810.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:7954564:7954788:1 gene:Et_8B_058810 transcript:Et_8B_058810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLEYFKAALGVSFYVEIIILLSGSIWATRNSLICENEAPTLQRTKQKFQIKLNLLWLSIEPKEEVNRTRHHG >Et_8B_060417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14327111:14332025:-1 gene:Et_8B_060417 transcript:Et_8B_060417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKASLLIVLGFLCSAVLAARELSDDSAMAARHEQWMAQYGRVYKDATEKAQRFEVFKANVKFIDSFNAEKRKFWLGVNQFADLTNEEFKATKTNKGFKPNTVKVPTGFRYENVSIDELPASVDWRTKGAVTPIKDQGQCGCCWAFSAVAATEGIVKISTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESSYPYAAADGKCKSGSNSAASIKGYEDVPANNEAALMKAVANQPVSVAARNPEVRAAMGISNALLLAVLGCSFFWSAILAARELSDDSAMVARHEQWIFQYGRVYKDAAEKARRFEVFKDNVKFIESFNAQNNKFWLGVNQYADITNDEFRATKTTKGFKPRTVKVPTGFRYENVSIDELPASVDWRTKGLSLLSRIKANVVSCCWAFSAVAAMEGIVKLSTGKLVSLSEQELVDCDVHGEDQGCGGGLMDDAFKFIIKNGGLTTESSYPYTATDGKCKSGSNSAATIKGFEDVPANDEAALMKAVANQPVSAAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGQTSDGTKYWLMKNSWGTTWGENGFLRMEKDIWDKKGMCGLAMDPSYPTE >Et_1A_007655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36955614:36958104:-1 gene:Et_1A_007655 transcript:Et_1A_007655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFVLGQESRLRILQQAAARIPGCAYLCAWAPLAGGPVSSSSSSSAAAAASARLLCCVEAWLCDGGGARGEGGRARALFDLYRGSICAAEAGIKMIIGHCTGNTQMAAFMGCESGEVEVGMSTPATSSGMSTDLETSLHQVFSEDFFQQSLLHLPPSSSPSSSLPSASVDSPAAEGASTSLLLRTTMAAVTSAASTSTPSAGREWPAPPLPEPFGRPGYVHIPSAEADDAVMARAMLAVISAASSPSSPTPPPPPHHPRHGNNHRARRWPWRGGTATAFRAYSAALAPRRQTGGGAPGQRMIKMGISILRRMHMLRFSSRERDAGAAVAQPGEQDDDVAAPSSSQLNHMISERRRRERLNESFEALRGLLPPGSKKDKATVLAKTLEYMNILVAQISDLEARNRTLESRAQQRANGGSKELMYSSSEQRQEVLRQGLSGTSGRVQVHVMSGSASTSSSSSSSRRQEVTVRVEARAEGDVAELVTRVLAAIRKTGRFTVVAVDARRTSGDGIIAQATFTLRATV >Et_2A_015283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10287313:10288672:-1 gene:Et_2A_015283 transcript:Et_2A_015283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFLTVKWASKKLKQIHYKFIYTPTPLEFPHGNFVATDEKTRCKSTLTTKNTLARSHKNNLKNCRVQSDVVEDA >Et_9B_064734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18097300:18099318:-1 gene:Et_9B_064734 transcript:Et_9B_064734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPDAKAPEAHREALEYIERVTANAGQVQRRVLAEILAQNAPAEYLRRIGVSGAAPGAVDAFRRAAPLVTYEDILPDVLRIANGDTSPILSGKPIREFLTSSGTSGGERKLMPTIADEMDRRSLLYSLLMPVMSQAVPGLDKGKAMYLYFVKAESRTPGGLPARPVLTSFYRSRHFLERPHDPYTVYTSPDEAVLCVDAYQSMYAQLLCGLVHRADVLRVGAVFASGFLRAIRFLEKHWPRLCRDIRNGTLDAEITDRAVRAAVEGRVLAGPDPALADAVEAECARASWQGIIRRVWPNTKYIDVIVTGAMAQYIPTLEFYGGGLPLTCTMYASSECYFGLNLNPMCKPSEVAYTLIPTMCYFEFLPVVHSGSDDAAEPDHRDLVDLVDVKLGHEYELVVTTYSGLYRYRVGDVLRVAGFKNQAPMFNFVRRKNVALSIDSDKTDEAELHAAVSGAVQHLAPFGASLVEYTSYADAATIPGHYVLFWELRAGGTTAVPASVFEDCCLAVEEALNSVYRQGRAADRSIGPLEIRVVSDGTFDKLMDYALARGASINQYKAPRCVRPGPVVELLDGRVQARYFSPKCPKWSPGSKQWISGNGGVA >Et_5B_044001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18868003:18868318:1 gene:Et_5B_044001 transcript:Et_5B_044001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWRPTTSSSRGWPDHVSSKVSTCLTQFSSSISHPLLVAWSLWGERNKRVHEFQALMPVAFAQEIIDDAHLWASARFSKLRKLLVPNKASGAPLWLDST >Et_4A_033367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2219527:2224418:-1 gene:Et_4A_033367 transcript:Et_4A_033367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDQVVVSREQMISMPVRIFFACMQSISNPCAICLSGMGAGGGQAIFTAECSHTFHFRCIATNVAHGRLVCPLCNARWREVPFELSAQALPFVRPTRPQRLPVHAVQPPPQPVAPEVFDDDEQVEPPPSGRQASNKPQLRTLVVNTHTEYSAIARDSSRDDFAVLVHVKAPGITDAAPRAPLDLVTVLDVSDSMSGEKLVLLKQAMGFLIDNLGPDDRLSVVSFSSGALRVTRLLRMSDTGKGLARSGVESLVARGGTNIAEGLWMAAKVLDERRYRNAVSSVILLSDGQDNSIMQQRAPGVTPNYEALVPSSFMGAGTGANRTAPIHTFGFGNDHDAAAMHFTAEATNGTFSFVENEAVIQDAFAQCIGGLLTVVVQDARIAIACAHPGVRIRSVKSGGYERSVGEDGRSASVRVGELYADEERRFLLFLAVPPVEADGETVTALIKASCSYRDAVGGTDVDVAAEDTVVMRPEQVVDMEPSMEVVRERVRVEAAEDIAAARAAAERGAFQEAVNILENRQRAVAASDAACGGDRMSRALGVELEEMRWRVATRESYEGTGRTVMLSGISMHSQQRGNSTGSVSGRPTLNLAATPFGLYASVAGNTSPYATPAMLDMLRRSQLTRGTPVEQQEQQQPPTATGEDARSSGPHEASNPCAICLGGMGAGGGQAIFTAECSHTFHFQCISASVAHGHLVCPLCNAQWRELPFVPPTQPLTTVPPTLPQQPPPPRGRPMHYYVQPPPQPAPPEVFDDDEPVEPPSGQQSERQAEAATRGALVVKTHTEYSAITRDSSHENFAVLVHVKAPGITDSKGVAGDAQRAPLDLVTVLDVSGSMSGEKLTLLKQAMGFVVDNLGRNDRLSVVSFSSGARRVTRLLRMTDTGKSLARSGVESLVARGGTNIAEGLRTAAKVLDERRYRNAVSSVILLSDGQDNYTLQQRFQGPAPNYEALVPASFVGAGTGDRTAPIHTFGFGNDHDAAAMHFTAEATNGTFSFVENKAVVQDAFAQCIGGLLTVVVQEARIAVACAHHGVRVRSVKSGRYESRVAAPLRSASASSTRTRRGASCCSWMFRQRKRTARRRPH >Et_1B_012895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3947693:3952568:-1 gene:Et_1B_012895 transcript:Et_1B_012895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAGEEGNASAHKGSARRRATVQAGLDADELLTLMHGSDPVKVELNRLENEVRDKDRELGEAHAEIRALRLSERAREKAVEELTAELEKLDEKLKLTESLLDSKNLELKKTNDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKQKEAALLEAERTVQIALAKAAMVDDMQNKNQELMKQIEICQEENKILDRLHRQKVAEVEKLSQTVRELEEAVLAGGAAANAVRDYQRKNQELIEEKKILERELARTKVNANRVAVVVANDWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLSIAERTARSEAQLKEKYQLRLKVLEDGLRGAPSGSGRPPTEGKSIGNGPSRRLSLGGADNMSKASANGLFVRRSPSFNSRTSISTSSSLVLKHAKGTSRSFDGGTRSLDRAKIIANGPHSLNRSTDAVKDCEPTDNWKANAEEKNNETTNSDSSDMVSGVLYDMLQKEVVSLRKACHEKDQSLKDKDDAIEMLAKKVDTLNKAMEVEAKKMRREVAAMEKEVAAIRLEKEQENKAKRLGNSKGPGTTSQALSGRPAPRGGLTRNVQ >Et_2A_017112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30997688:30999991:1 gene:Et_2A_017112 transcript:Et_2A_017112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKRAFHGVDDGIGHDDELQGARPEEGSRMIFGFPVPGPHSRPAAVTQQFFPATVAVVTPAPAPQHQQPAMEQCHAVAAVAADPWARPALRKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAQAAARAYDQAAIKFRGVSADINFTLDDYKDDIKKMKNFSKEEFVQVLRRQGAGFVRGSSRFRGVTQHKCGKWESRIGQLMGKKYVYLGLYDTEMEAAQVYDKAAIRCFGKEAVTNFDPQTYDNELQLQYGELDLELSLGIAGGERGGDPTTVADEVFNSAPGKQRTSLTFELPEEQDETIAGYPPRSIRTRLSPSAATPNGGAFGHHPADDHARISGSWSALHVLHMLTETTKLSHTRKPHQCMLRSFLRFRFFLFRDALTAGRHRLTHAQGQIGVGSSGGTAAEAHMRWPNGGNNCWLPPYAARPRQHDHDASSAAAASSGFPLGQQLGRCWAQQQQPATASRPSS >Et_4A_034533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4124659:4129673:1 gene:Et_4A_034533 transcript:Et_4A_034533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTLKSRPPHVSFAMTSRRHRSSPAATAVSFRPSRSISSSARGALVALCCLYLVLALSVSAAAEDGAVDSDGDGGCLGFRDGCADPTSFCFSPSAAQALLSSEDGIEEADLEVSRDWGPSSRPLGFPMSGGSVVTCSSVDTMITGARDGLVREGDAGVRHNVASCQAPLVPDNWMRASAGVSLELDGTASAVDPSAHHSSLSMNVAISPPVLDWGKSDLYAASTATLTVVNLNNDSTLRLYEPFSTDPQFYVYGYEDLELQPGDNASVTFVFLPKLLGFSSAHLVLQTNLGGFIIQAKGMAVSSPYQIMPMTGIDVVVGDRLERNLSIYNPYDDSLCVKEVAVWMSSLESTRQSSHIVCQLGPSDGALELSSLNSNWYTASSAESGRPMIYIRPSEQWEVLPSKSNIVVELNLQALSEGKMFGAISLKLHNCTAGTMDTFLIPIELEVHTRTYYDSSGLVAVSFERTSSCGASGSIFSLYLRNDASKLLRIVSVIENNKKGPMIFQVKHLNGLILFPDSVTYIALVRHTSSVPNDISFDGCNIVVETNSTLGSSIIIPCEDLVQIAANAKTRTLGSMLRVKGLHDVKTTFMKAVKADDTVLQQWKSHGTSDGISVLMDQELMFPVVQIGSQFSQWIKVHNPSLEHAAMQLVMNSEEIIGQCKTVNDACERAFSSRSPEIDSTETRFGFSLSEAAITETFVGPLETALLGPIIFRPSNRCMWSSTALIRNNLSGLEMLPLQAYGGWQSILFLEGSEPAWKLEFNLGANVQNKSKMTKSEAPGPLCSQQLSKEVHVKNSGDLPLEVTKVKISGADCGVDGFTVDNCKGFSLAPNESIRMLISFQADFSSAMVQRDLEFSMPTGIFIIPVTANVPVGMLNQCRKSYIRSTHWKLLVLFLGAATLLVLIFFRYIPHSLTVGSPDHYIKIDDRKSTIFEENRKSTVSKTVKPTFLQHSSKKPRSIKEHKRTEEALTEKLSDSVLVNSKRADNNNNPGEQVNTVSTEPVSPANHVEDKASREAPQTNENLTIKISRDKGKRRKRKVGGAGLTAKFEVSSSHSGNSTPSSPLSSSSTPKSSWSFSGAPSELKHENKLVSEFDVEASTTSTGTSRGKKSWSQTVKEQPRSPSAMPDNTLPSATVLTTAWPSPMLAISSPIAPHARAPGSNLVRAKVMKNDEGAAPKKELTYDIWGHNFSGHLLGKAREVAPYKMFDASEGGSCSFFAREPQALMMKQSSSPPVSRGRGSPPSDVSAGYGIK >Et_1A_006049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17099941:17104753:-1 gene:Et_1A_006049 transcript:Et_1A_006049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRSPTAASPSASAAAAAAMAPGVGGVEPAVTLDQVPRWSDPDQRLFPAADEAAAEGGSESPAYLSFSDPLTGEDGAAAGAGGASRFPVDQEINSRIYLWRGQPWNLEVDAVVNSTNESLDEAHSSPGLHAAAGSGLAEECATLVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKGKIAGVVFCTTSSSDTEIYKRLLPLYFPRDKQEEEIAISKLPADVGDENGETVIDERKIRIRPLPAGVVERTVMDLPLSDSGSSLKRGSFKLDSYLDPSFMSLIKDPDLRRKEQWEKSSQAQKGFNYARLLGYGDLGCPSLSAAEEYSLHSRYLAKANSLNLSEIAEMKITYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLHVVKEFEPLIQKPYSIAIYVLHPTLGLRTAVLAMQMFVDGEVWKKVVYVDRLVQLFRYVPREQLTIPDFVFQHDLEVNGGRGLIVDPRTKHIYQRASG >Et_7A_051638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21799527:21802275:-1 gene:Et_7A_051638 transcript:Et_7A_051638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISFVGRVLFASLFLLSAYQEFIEFGNDGGPAAKALKPKFNLFVKQVSTHTGLGLPHVDIKTVIAATMFLKGFGGLLFILSSSFGALLLLIYLVFITPIVYDFYNYEMESTQFVQHFFKFSQNLAFIGALLFFLGMKNSIPRRRSKGRTTKTKTN >Et_8A_056809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17109034:17113015:1 gene:Et_8A_056809 transcript:Et_8A_056809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHEARGDDFEKKAEKKLSGWGLFSSKYEDAADLFDKAANSFKLAKNWSRAAAVYIKIANCHMKGDSKHEAASAYVEAANCYKKFSPQEGAQALNQAVNLYLEIGRLSMAARYSKDIGEIYQQEQDLEKAIDYLERAADLFDSEGQTSQSNGIKQKVAEIAAQLEQYPKATEMFEAIARQSVNNNLLKYSARGILLNAGICQLCRGDPVAITNSLERYQEIDPTFSGTREYKLLADLAAAMDEGDIAKFTDAIKEFDSMTRLDPWKTTLLLRAKNELKKKEDDEDDLT >Et_9B_065626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8264937:8266231:-1 gene:Et_9B_065626 transcript:Et_9B_065626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQNSFTLFLNQATQGHQYESSSQNSPPQQFPINFQHSQFPQNFAPHFLQNFHPFSPATTQPYGHSPPMFQGVHHPENWRQSTTANLQGMQVTKVSFTHQISCLEQQLIYDHLYCSMDSRLEQVATVLPMGQNHPDGALQGSKRRKLSPSMSQSSGHSDDMIMDKARMMYKKENNEKPFTSTLEHMWRDLRNDPKWRRVLIQEEKKSKRTKNSESGAYTSSSNQDTETESIRKEKRPEGQKAAKARLKGKGKTVAPFPFGEKATQDMVLFHEAVTSKAKAQQALVKTRRLEAYLKLEEKDTSDYSEARLKAHKALLEKLAIELIQE >Et_2B_021596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3458713:3464536:1 gene:Et_2B_021596 transcript:Et_2B_021596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFLALVTITIAIVSSNYAYPVDLHKGDLATLLSFKSSVQGNLSDWGSLRSMCNWTGVECDSSRRRVIHLLLSHSNLTGIISPVIGNLSALERLELDNNQLSGSLPPELGMLSRLKELNLYNNFLEGPIPKTLGLLRNLTYLSLEFNNLSGDIPEAVVCNCSSLTYIGLSNNSLTGEIPFTTQCLLPDLTKLVLFENRFVGGIPPSILNFTSLEWVLLHSNFLSGVLPSQMFSKMPNLTHLYLSYNNFSSDGGNTNFEPFLASLVNCTSLKELGVASNGIGGKIPPVIGNLSANFSMLVLYDNKITGTIPRTVGNLPKLTLLSLFNNMLEGPIPSEISQPRFLGRLKLSNNRINGEIPESIGVARHLLTIDISHNRLQGAIPETLSNLTELEYLSLHHNQLSGAIPPGLSCSMSLDLSYNKLTGQIPTEIAGLSSLQLYLNLSSNLLEGPFPLQIGSMESMQALDLSANKLSGTIPAEIRGCVELEYVNLSRNMLQGSLPSSIGALPNLHVLDVSFNSLTGMLPQSLEAAKVLWHANFSHNNFYGEVSSEGAFANLSDDSFLGNPGLCGSIPGMDPCRNGGHVRRLHIVIVVVAVIGGLSSMMMVCLMNPRLRLTAPVGDLSRFSTGSVSALVEMESEHPRISYRELVDATDGFSEANLIGKGGYGHVYRGVLHDGAVIAVKVLHQDHAGEVIAGSFERECQVLRSIRHRNLIRVITACSTLEFKAVVLPFMPNGSLETLIHGGDKLEAERRLDLDLLLSIASDVAEGMAYLHHHAPVKVVHCDLKPSNVLLDGDMTAIVSDFGISKLVKEDSRDLEMGGASTPPHTCSSITRLLQGSVGYIAPEYGLGGRPSTQGDVYSFGVMLLEMISGKRPTDVISEEGHGLHEWVKIRCLQQHGVDAIVERSPLRDPVPRHCEVMEVIVELLQLGVACTQLATSMRPSMDDVAHEIACLMNGTWRKNRAQLNHQNDESDVEHDCSLAVIVTDLVSLAIAGAALRCLARSSLRRVISWHTSTIVGRAVGECCVHTSPRSISSTMTSSLSASSATAVAASVSHDRATISPTSCG >Et_2A_016173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21724370:21736785:-1 gene:Et_2A_016173 transcript:Et_2A_016173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPAVPLLVLLPLLLLSTVGAAPRHHGGFSFKATLRHVDANKGKARAAALQSLATTSTSPPPAGDAITAARILVRAGDGEYLMDMGIGTPARYYSAILDTGSDLIWTQCAPCLLCVEQPTPYFEPTQSATYRVLPCASSACNALYNPLCYQRQCVYQTFYGDTANTAGVLANETFTFGTNTTRVVVPGIAFGCGNLNAGSLANGSGMVGFGRGPLSLVSQLGSPRFSYCLTSFQSPTPSRLYFGAYATLNSTNTSSSGPVQTTPFIVNPALPTLYYLNMTGISVGGYLLPIDPAVFAINEDDGTGGVIIDSGTTLTYLAQPAYDAVSAAFRKQIKLPLVNGTWSDVLDTCFRWPPPPRRSITLPVVVFHFDGADMELPLQNYMLVDALSGGLCLSMAPSDDGSIIGSFQHQNFHVLYDLENSFLSFVPAPPQRNTYSFFCATLASMTTVLMGYNIAVMSSAQLFIREDLGLSDSQIEGPSMRSCSCPSSPPAGQPTCWAAAAVAIGVFKTGFILVATLFSDHLGRRPLLLASMAGVGVSMLSLAVTLSIGTASSLSAAAGVTSVLGFVAAFSVGIGPLASTYGAEIIPLRLRAQGTSLGIAANRLTSGMVSMTFISLAYWITMPGCFFLYAGVAVAGFVFLYLRLPETKGRSLEDMDVLFAKELNMKISLVAFVLVAASSYASPAASAFVGDVRVALRHVDAGKQLSKLELLRRATQRSKARAAALSVARNGGVGGGRRFSGEGDHQQQQPGGMPLCSDIPHHSCQRPDTCTYRYSYGDGTTTVGVFATERFTFTSTSSGETLNVPLGFGCGSMNVGSLNNGSGIVGFGRDPLSLVSQLSIRRFSYCLTPYNSARRSTLLFGSLTDHAVEGPVQTTPLLQSPQNPTFYYVCLTGLTVGARRLRIPESAFALRPDGSGGVIVDSGTALTLLPGTVIAEVARAFRAQLRLPIANDSSADDGFCFVVPPARRRASSAPSQVAVPRLVLHFQGADLDLPRRNYVLDDSRKGRLCLLLADSGDEGSTIGNMVQQDMRVLYDLEAETLSFAPAQC >Et_7A_050299.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:21489705:21489956:-1 gene:Et_7A_050299 transcript:Et_7A_050299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVHAWIHAESASVGDYACSQHLSGNYKGACWSWINDNDCKRVCIEESIDNISGFCAYFQCWCQDRCKSETVAAAASAPIRS >Et_4B_038049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25841881:25851205:1 gene:Et_4B_038049 transcript:Et_4B_038049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRQLPNLSLQISPPAASDDASTTAAMPLPAEPSTATYDEGSGEVGFFANPSPGAEAPGLSLELGTPASGDAARRSQLQAQGSCAFKRSGSKRSARAPRMRWTTALHARFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDRSSHISTGEVLLLPQQRTATAMEVAVEAAAAGGGGGGGAVAPVVLPTCDDMVGICGSSPAAAATTSAARFLCAPAATAPLAAVPSPPPPMHPRRTDHTAVLEKGVAIVDSLHRRKLFTQVLYQAAREEVNGHLRTGLHAGDEAAATNSSSPASSSPSLASLELLTDDMYAPNLEISLGRQDWNMEHPEQLSLKYL >Et_1A_008199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5083885:5089176:-1 gene:Et_1A_008199 transcript:Et_1A_008199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYPEELRTPPPSLVSVVGCPELHPSISAALGSQQPPMNTLALPDFSKTSILARSGKTRDPLAPPQAPAGILKKDWLLKHRTRVPAAVAALFRADQVSGDPAQWLQACSDLENLKSVIQGKSTKLVVVFVQSQAGDELSEDVTVALRKRAEIDSKHLVVLVENDETEWNRSLNKLKNVFTELCSAFYKEEGRRIKARIEKRNFASIELSIRYCFKVAIYAEFRRDWPEALKFYEEGVRVLREMIGTSTRLPPTQRLVEIKAVAEQFHFKISTLLLHAGKVVEAITWFRKHTRSYERVVGTSEVAFLHWEWFSRQFLVFGELLETTSAAVPDTLSPRFGTADNALTEWEFQPAYYYQLAATYLREKRYAIECSSATVNLTTGVNGIPESVMPSVYVGQYVRLFEQGDTVSVLPLSDAEYTSYALSEAERFQDSYEIIALFRKAHESFQSLGATRMASSCSGGMAIEYYAAGDFSNAKQLFDGVAGLYRQEGWATLLWENLGYLRECSRKLNSPKDFISYSLEMAALPLFSGSREENRENNSKVGPAGSPTISRRENIQQEVINVLEGKHSEGTDDGFYNAMEECTHLDIDQISPLRMALTASVAFHGQSVKPGSPLLVSVSLLSHLPSPVVIDQLEVQFNQSVCDFVIHSTQEDSPSNSNLHDQVVQDATSLTLFTNKWMRLTHEVKSEQSGKLECLSVKATINKHLVICCQAESPASMEDFPLWKFEDKVETLPTKDQVLALSGQKLIQVEEPDAQVDLVLNSAGPALVGELFIVPVTVLSKGHAIHSGELKINLVDARGGGLLMTPREAEESESHHVELLGVSAVSEDKESKEEVDSIKKIQYSFGVLSVPKLSVGDSWSCKLEIKWHRAKSVMLYVSLGYTLGSSEEEALHRLNVHRSLQIEGKIPLIVSHQFLRPFRREPLLLSGIRSSSDNDKICSLAMKESNMLVVKATNCTEVPLRLHSMTIEPDDDAGKQLCPVQQISGITSGHAVVAPSEEYKALFSVCPQAIGSNFNLGEICLNWSRDSSLREGQESCVIMKQRLPEEIKYSLLDSQNFVFSGAHNHAAFVLPKSEHIVSHKLVPLGSGSQQLPKITVTSVRYSAALTPSTSAATVFVYPSEPKFNLEKSYSASDECVS >Et_5A_042890.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6350387:6352573:1 gene:Et_5A_042890 transcript:Et_5A_042890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDDPRPASSSRANQLLKQEGVEPQHTLFLALHREPSDAEAMLLHPLQLTALLLLLLAPAHRYDAAAPGGDNGNQFVYNGFAGANLTLDGVAAVTPAGLLVLTNGSLQSKGHAFHPSPLPFRAPSAANATEARSFATTFVFAIYGQYADLSSNGLAFFVAADRATLSTALPGRFLGLLNDTDNGNRSARVFAVELDTIFNAEFRDINSNHVGVDVNSLTSVNATVAGYYDDDGDGQFRNLSLVSRKAMQVWVDYDGGARQVAVTLAPVGTARPKTPLLQASVDLSDVLHQASTAYVGFSSATAVLASRHFMLGWSFALDDGPAPALDLAALPALPPAWPKPRSKLLEIVLPVASAALVLAVGGAIYAAVHRRLKYAELREDWELPFGPHPFSYKDLFHATKGFSDQHLLGSGGFGSVYKGVLRKAGLTVAVKKVSHESRQGMREFVAEVASLGRLRHRNLVQLLGYCRRKGELLLVYEYMHNGSLDKFLYDPSSKRRALDWPQRLRIIRGVAAGLLYLHEDWEQVVVHRDVKASNVLLDDHMNGRLGDFGLARLYDHGTDAQTTHVVGTMGYLAPELGHTGKATPATDVFAFGSFLLEVACGRRPIQQDEQGNRVVLVDWVAGHWQRGSIIDAADKMMPRGVYFKVEEVSLVLKLGLLCSHPLPNARPTMRQIMQYLDGDTLLTDFSQMYTSFTMVEHMCTRGLDQNAMPGVSMTSVGDISDISGGR >Et_7A_050317.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2510008:2510562:-1 gene:Et_7A_050317 transcript:Et_7A_050317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVHKAKSSCTFESDMWSLGAVMYEVITESPLIKGRDPAGMITCMRSLFGTLSNEASTSLKVADGPQADPKWATHGALIRRQFSPQCLEVLHRILKLDPSERLSAADALEMNWFAGH >Et_5A_042182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7152409:7156513:1 gene:Et_5A_042182 transcript:Et_5A_042182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKASVSKELNAKHKKILEGLLRLPENRECADCKSKGPRWASVNLGIFICMTCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYEDKRWIPRNGTSRPPSVARDEKSSESQASATRSGHGQRPSFEQHRASPATTSKIAPVASRIHTQASPQPKVEPPVPKVVSPPQPEKLPAKVDATAPKVEKPSVAPPPKVDYATDLFNMLSMDETTEKESESSANDDNAWDGFQSAAPVPNSEKKDSVKPVESKPQSAAAGLEDLFKDSPAVSSSSAPAVSKGNVKNDIMSLFEKSNMVSPFAVHQQQLAFMTQQQALLMAALKAGNAPQIPPGNASLLNANSSNAPQGTIPFQNWTALGYQNAGSIPTVAQNGAAKVGNNNQELPPGNFNFGTPGMYNISPAVPANGATTADVNRGTTTSAASTLPSQSGKDYDFSSLTQGFFSKR >Et_5B_045153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10106904:10110171:-1 gene:Et_5B_045153 transcript:Et_5B_045153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIALPVPLWRPWLHGNVSKAADTRAVEPDSMWGELKAAQTVEWVPHVIINPLRKKKKEKKGLGSLRPSPPPPPPLPARPKALAHSAISLRPTPLFFQRSHSAGARLHILPPRLPHSRPPGRLPPSLPLPDPANEAAATDVELLKRAWCNEKAAPEILIFDKDLVARVRESSSSYVLLAGLPSHPPQFEETLDDFADSDVDDLEVSLYQMDLDRTLFLLRSHLRLRLHKELLSSQEKPFAKRTGKEPAEEMAASLIEIICSGYNVSPMNPHEQEAVALGTLTAVLPAEDLALVEGGGNTDLRDVLLFLHIPSFKRRCRGGWQSGDAVVRGEGGSG >Et_9B_065869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15902003:15902845:-1 gene:Et_9B_065869 transcript:Et_9B_065869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYHGYGMGGQSSSSSSWAAPGAGMMGVPQPPAPLPVMSSSRPWSKAEDKVFESALVAFPEHVPNRWALVASRLPGRSPQEAWDHYQALVADVDLIERGEVEVPDAWDDESRAGRGRGGDERRRGVPWTEEEHSSHRVCMPFARRLFLEGLARYGKGDWRNISRWSVRTRTPTQVASHAQKYFIRQASAASRGDSKRKSIHDITTP >Et_10B_004449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7705771:7709385:1 gene:Et_10B_004449 transcript:Et_10B_004449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPLSRVPGVLKWKYEITRWFTSFKNGTFMAEQNLPLKPHVKQKEKVSSHPGLGHRFGGLIALFSQYSDISAKEEEKASEGFVGQFNSFSSYTITKTSGQRKQKRPQKRERQNPGPSASRKVYWRQHIEDLKMPTLPCSDHPREIVDYVLNTFDALRRRLEQLEEVEPKGQKQIHLTALTSNKRAELCVNQQKRIGRVPGVEVGDIFYFRVEMCLVGLHSKIIEGIDYMSGIHNDVSNCVATCIVSSGVYDDDQNDPNLLIYSGSGSQDKAQKLIKGNLALSGSQIQRNLIRAIRTVRGSVACPHENNKVYIYDGLYRITESWTEKGECGLRVLKHKLVREIGQPEGLEVWKKAQKWRENPPSRDHIILHDISNGMEREKVCIVNEVDNETAPTVFTYAMKLVCSKQVSSMSQMKGIGRYKEAPLYILKYSRQQIEDGAFAVGMLLEQYLQESMSC >Et_8A_057977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1102687:1105697:1 gene:Et_8A_057977 transcript:Et_8A_057977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVSSALDSRHGRVLLYCEPFLFLSKPPTEYLRFRIWDPVTGNLLFLPEVPRFGTNSFNAVVLCAAPGCDHLDCQQGPFLVVLVGAVDRGVFALVYSSEANAWSNKPSCARYTGHLSTHIRGAHVGNSVYFVRNCAPRILKYDLGTRKLAVIDAPPMSAMWDHRMMLMTAKGGGLGCITSDESRLYLWSREAGSDGNIGWAQNRVIELKALIPDSVEMTTLGGSQVDVVSFADGSGVIYMGTYCHGTFIYDLKSGRVKKVEGHWQWPLQVRVQEMALQVHDKLKLLMKMVQLANRLHVKMDAGMLPGTWKLEQQMLILLTERIKWL >Et_5A_042264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8185605:8186308:1 gene:Et_5A_042264 transcript:Et_5A_042264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKGNAALPDDLLRVVLSRLPSTVHAARTGVLSRRWRRVWEPLPALSLVYCRCSPPPGDLVDAALAAYAGAAPPSTVLTRLGITICGSRCHVAPRQVSSWLEFAARRAPALAARPQQP >Et_9A_060936.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19350802:19351263:1 gene:Et_9A_060936 transcript:Et_9A_060936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQSHYRYLPLDLIHETDLHDLVLIYHFYGNGLTSSKITSVIHLCKCPLSQQSPNFIPIHQNSPLFHYISTVKESHSLYSVVMKQTKTNRIQTFRAEQRTGYTEMEPICCPILSNLNKIDSDEAAIFPEEDNLLLQFKHMLLHSGSRRCLPM >Et_5A_040333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14876373:14879954:1 gene:Et_5A_040333 transcript:Et_5A_040333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATAVVSGASDWANFFQLIRPANFQEQNQAEQLKQNLWCLKMSLPRVRDLANYSEWWIHNEAVAELLPCLKDMVCDAEDIVEEYSYCELKRKLERDLNAVSNHSDSMKNVTYGSISKIQEIRQRIEHLISQMKEMGLHGELRHFDQSFRLETSSSVDESKMFYCKDEISRLIQLLGVPIKQSTSHANEHNINASVLPIVGIGGAGKTTLVQQICNDCRVKRYFGVPIWICVSYKFDEKKIAREFIRSTTGEKMELSDSTDLLQRKIRDVVMKKRFLLVLDDVWDDVCINEGEKWQVLFQALIYGLQGSMILVTTRSHKVAELVSTMVPFHVDRLSDATFWSFFKFCVFGSAVSEISPELESIGKRITSKLTGSPLAAKTLGKFLCSSRDIEDWTRISECELWELRQNKNEILPVLQLSYQYLPSHLKKCFLICALYPKGYMFDEDSLICIWRGSDLLGSQGFRQSRDVGQGYLQDLMSQSFFLCVPNMSSKYMIHNLMHDMAQLVSRNEYRMIKDVSDLGDVPDNIRHLSICSSGNIDYSSLISLSKYKKLRSLVCCGDFKHMDFTPILKHWFQELKFIRILSLACKLQEIPENIVNLKLLRYLGISSVCPFKELPSSFCSLYNLQIVDVPNIYILYLPKGFHNLVNLQWLKSKSFQYCQDCSYFNAAKGHSEGIQLLKYIDQIHGSLKIENLGKVRSKAETAEILSNKKHLEKLTLNWKHGGQTDASNIVNFKDDNSKFLPLIRKNSSSQNNAYEPLPQPSNLNLRRHRSKNGTTTDMKVIECLSPHTNIKGLEILYFGGESMPNWLKPERLPNLRSLKFHYCDRVSSIQLPGSHNTRLFIRQGIFSRRHCMEFLFLTSISLESCINLSSIEGFLQRAYLPALRSLSVICCPSINWERLMDLPSSLEALTLDKFGKFSDYFVSSLLILSSLTYLHFSCPYLTSIPLGIWSKELTSLKKLKIHSCTSLASFEVPETSLNPSYSGGMIGSFLYLSELDIFFCPKLSSLDELLVPDYLPAIKVIKIANCSDLVSLPVERFADFLFLENLEIFSCPGLGGQRGSLALPSSLKRLYLATCGDISGWIPSCLHNLTFLMRLWLGECPCITAIPDNLWRRHLPALKELVVWGCQDLASIGGAQNIAYIKNVYIADCPELEELNQPFRRGYNDTLSPTHAQSLPEVHA >Et_5A_040602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10188238:10189693:1 gene:Et_5A_040602 transcript:Et_5A_040602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTAPGVSVSTIAATATATECHMLKIEGLKRLRIMHPTGSRLESCAFEAAGHTWRIRCYPNGAHEHAGFISLHLVLADAAAVAGDIHVEVEFSLVRQPGAWGALWPAHSRSKTFVFNKHALPFPHGFPRFIGVEQLERSPGFFRDDSFAVRCDITVIGKAAEKEPVVQARDLERLGVVCHCKDEMCKRHHFGAARGVMWFREAFVKFFLGCFQVIKASDVCNVLLLLVDFLESLFVERNCHAEGTGREGTGPVPEDGHQDACKWGPSLEEGVRNR >Et_8A_057601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:503654:506437:1 gene:Et_8A_057601 transcript:Et_8A_057601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTSTNPSSLTCEAGNGSMMEEWVLLERFVFRRDGGAKPLPENETTAGSSTCIGVPFRVSLGLAPPPSASRFNLEWAGGPSPDAGTTCKLVAAHRDCILFRLSGPRLIFPDDYYIYRPFAADLTQLPTCMIRDKPDHDAPGEQEQDAKKLLDLKSESSESESGEIVVTKEMEWADKVTREANETRLALGVDVDYEVVCSSTLQVIGKIGLLCTTDEASPIVVAALRLDNSKRKVTAELALLHIHCGRKRHDGDWVHMSLPIQCNNKHESSDLRRFWIQAVLPFGDRLCWVDYGKGILSYIPLPRTTTPSRREEKLCIVCATDGGRALKFVDNQQEPPYRCTCFFTIISYNLQINTTEGMKWEEEASMTSEELWRLPAPGRVPHEVPMFPLVDPDKPHIVHLQLSECTDYVDTVTLVAIDMNARAVVSVLPHIKGEQELHGEDADIVEARTSLPRPFLPVTFSKPLLSRPLNLTRSANLHLVKICAAVSSSLRHRDVVSFLSWAAEPEMEERKLVKPHNLTAIYLMPPPHPPNTPIWHNGSQMDLPTVASASPSCLYRRMRWSVLKSRKLVLDVVN >Et_6A_047732.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:1268650:1269201:1 gene:Et_6A_047732 transcript:Et_6A_047732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAASSSTSWLPVLADLHDFTPCPPSPPPSHHHRLLPRAGAAPANNRRVASSKRRPRPSRRPATTYINADPDSFRRMVHQVTGATHDLLVPEPQQQPTTEVLINRPAPARCHGALNNTLLLPTLDTSAFLIGAARTPSHGSARAAGLPTVVAEEGSNSAGSSSSCGLLFPTLESWDHHAALF >Et_4A_035052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9024549:9027146:-1 gene:Et_4A_035052 transcript:Et_4A_035052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLILSEGCGIAPLHLRGGRGAIAAPAPAALAAGPRRPAAAAIHRDWALRVSAPTRLTSAVDEDRRSSAPQGEEAAASGAAEGGEFDPGAAPPFGLAEIRAAIPKHCWVKDPWRSMSYVLRDVLVVLGLAAAAARLDSWLVWPLYWAAQGTMFWALFVLGHDCGHGSFSNNPKLNSVVGHILHSSILVPYHGWRISHRTHHQNHGHVEKDESWHPLPEKLYKSLDFMTKKLRFTMPFPLLAFPLYLFARSPGKSGSHFNPSSSIFQPNEKKDIITSTASWLAMVGILAGLTFVMGPVQMLKLYAVPYLVFVMWLDMVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGLINNIHHDIGTHVIHHLFPQIPHYHLIEATEAAKPVLGKYYKEPKKSGPLPFHLFGVLAQSLKQDHYVSDTGDVVYYQTDSKTKTSA >Et_2B_018929.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17417810:17418236:1 gene:Et_2B_018929 transcript:Et_2B_018929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSEPQARTSTRLKPTGSLDLRMPANSLARTSPASKCVLPFCSGTCFATFSRWKLKKTKRPRKRDTPVLRLITSDGLSSARAATYRLAGVARKDTEERAFREGARRRPAMDGGDSTAAVEVA >Et_7B_053343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11707645:11709132:1 gene:Et_7B_053343 transcript:Et_7B_053343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVREEGAGDATEEAKQWLKQSVYRVPAHIRNTNSSGSPYWPHLVSLGPFHHGSPDLAAMEVHKQRALLHILRRTERPFRGLVAALGEVAQKLREAYKDLDPNWMEGNGEESFLKMMLLDGCFLLEQEQDGVGGGELCDYAANDPIFSRHGELYMFPYIRRDMLVIENQLPLLVLQRLVAFLHGAPAATDDAINNMVLDFVKLTPNPPAIRGGGLALHPLDVCHRSLLHGPPRFAVDDATEHKLLSLMAFEQLHAERQANDVTAYVFFMDNVIKSAADARLLSAAGVVWNGLGSDKAVAEMFNRLANEAVLDRRSRLHDVHRAVNAYRETRWHEWRASLVQNHAGNPWAIISLVVAFILLVLTVVQTVYTVLPYYDQQQQSAGGGGLIQEL >Et_9A_062002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18781332:18783111:-1 gene:Et_9A_062002 transcript:Et_9A_062002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCFKKKNEQAEAEPAGQFQPGPGNNMTPPDPVHAPPAYAPAAAPATQANDAKRPGGDSADEASLRGTISARAFAFRELAAATDHFTPYNLVGEGGFFRVYKGQLEKNGQTVAIKQLDKHGFQGNNEFLAGVAKLSQLHQENLIDLIGYCADGDQRLLVYESVPAGTLEDHLFGTKSTLTLYTIADCSVCGESYHSRCCLMNPWMADLPADKKPMDWCTRMKVAYGAAQGLEYLHEKANPPVVYGEFKASHVLLDADFTPKLTDFGLAQLGQAGGNAPVASPMMGSFGCCAPEYDRSGQATMKSDVYSFGVVLVQLISGRRAVDTSKPVDEQNVVTWALPMFKDQKRYHELVDPLIKKEYPAKALNQVVAMAAMCLQEEDSVRPLMADVVMTLGFLTSMPPDPPASVAPPVAAPEPNNKKEKKSDHSDSSSSSSSDDEGNEEEEEEDEEEAEEQ >Et_7B_055257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8866807:8867900:1 gene:Et_7B_055257 transcript:Et_7B_055257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGRHTIILMQPSQNRATRTFMDFDSVNHALDGICGLYERKIRNINPMVRNITYDISDLYNFIDGLTDISALVYDDSLHAFLPYDRQWIKQKLFQHLKKLAQW >Et_2A_014994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25079038:25079901:1 gene:Et_2A_014994 transcript:Et_2A_014994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DPSGNRTLPFNALSPNTTFALQSLKKALSSSHLRMGRHPCVNPKTSDAFLTNGVQGRRRSAVPYTTLSCSEPPSRSVSEEKAENEIRSPPHWQRRAAAASTSIERQYIYGAAVAGCRLPLTALIKTLLERSCAREKERAACQFSPRFLGSTRILSAEREVCVCVCVCVCHQVEEGCAAPGAGAVVAFLRRPPVQCRAHDVLARRRHRSPPVHARHRRVPRRAQPQKRRQEPERAENQPQPGPGHHHAGAAEKGTG >Et_4A_035186.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:1113246:1113557:-1 gene:Et_4A_035186 transcript:Et_4A_035186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Et_2B_022538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25256557:25258777:1 gene:Et_2B_022538 transcript:Et_2B_022538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVMYAGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEDEEDYEEEEEEEAA >Et_7B_054457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21514827:21522038:-1 gene:Et_7B_054457 transcript:Et_7B_054457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTELEGPRPAAAAEEEASPPSKRGLRRFNSPLSQVSLLGLICFCCPGMFNALSGLGGGGQLDHTTGDNANTALYACFAVFGILGGGAHNVLGPRTTLLLGALTYPLYAGSFLYYNHRRSQAFPVTAGALLGVGAGLLWAAQGAIMTSYPPPNRRGTYISLFWCLFNLGGVLGGLLPFSFNYNRGSDQASVNDGTYIAFMAFMLLGAALTLLVLPPRRIVRDDGTRATRVTYSSPAAELAEILALFANWKMLLVLPAAWASNFFYTYQFNNVNGLLFSLRTKGLNNVFYWGAQMFGSAAIGYFLDFGFASRRKRGLAAVFIVAVLGTAIWGGGLANQLTYTKDKLPGGLIDFKEGHRYAGPFLLYFSYGLLDAMFQSLIYWIIGALANDSQVLSRYVGFYKGVQSAGAAVAWQIDTHNMSLMAQLVVNWGLMTFSYPLLALLVFLAVKDEDTSVSSVEDGKEIKENNVNDGTYVAFTAFMLVVLPPSRIVRDDGTMVTYSSPATELREIVALFANWRMLLLIPATLATSFFSTYQFNNVNGILFTLRTKGLNNVFFWAAQMRGHRLLPRLRLRRPGLVGAVIGTAVWGGGLANQLAYTRDSLPVAGGLIDFKDAKRYPGPFLLFFSYGLIDAMFQSFVYWIIGRIANPQQ >Et_3B_030236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31622888:31624081:-1 gene:Et_3B_030236 transcript:Et_3B_030236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGNRYCLPYESLWYDPVPSPFPILKCECNMTAVVTQSRHPLPAARAYFCCDNELERPPPGLKYIPFFRCPITLSSNKRGCHFQEFIHRPKSHYPDLDSLPDDVLYGEKLPCWYPPLLLCQWGVPARQKVVTSELGYGHYCGNTVGEDDEWDTRRCDWETFEGKEEFLIKSKKRGPEYYKKALATRRSNIRHKYLTAIFHLQHYMFRVESEERMSALEGSGGGRGRSVLEAQS >Et_3A_024004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1677349:1679617:1 gene:Et_3A_024004 transcript:Et_3A_024004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGGGGGAFAPGGGGGAGRMPTWRERENNKRRERRRRAIAAKIFAGLRAHGGYKLPKHCDNNEVLKALCNEAGWIVEPDGTTYRKGNKPPERMDAMGCSVSPSPCSSYQPSPRASYNASPTSSSFPSVTPPLSSPTARTPRMKTDWDESAVHPPWHGAQSPSIINSTPPSPGRSTVPDPAWLAGIQIASTSPSSPTFSLVSTNPFSVFKEAIPVGNSSRMCTPGQSGTCSPAIPGMPRHPEVHMMDVSDEFAFGSSTNGGQEAAGLVRAWEGERIHEDSGSDDLDVTLKL >Et_6A_048086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5931566:5934291:1 gene:Et_6A_048086 transcript:Et_6A_048086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVGLMIGKLSKALLSEAAAYGASLLCTEASALKGLFGEIRRATGWLEIMKAFLQDSEKFRDTNKTTDAFVKKIRGLIFRMEDVVDEFKYKLEDGKHGGFAAKMKKRIQHVKVWRRLARELRDINDDLEDAAKQRNLCAMPEMERYGGGSDHHAGSVCFAREKDLVGIKDNARKLKGWILGDMEETNSKIIAVWGMGGAGKTTLVNHVYKIVKEDFDIAAWVTVSKSYQVKGLLKKIAQELEDYDIKRRRLIKHWITAGFIKEKGNKTLEEVAEGTQIKVLPNEVFKLFNLRVLDLRDTGIDILPEAVGRLQNLEVLDAHNTGLISLPKGVAKLKNLVFLYASTTVTKGSLELHGGVNMPRGMKNLTGLHALQLIEASSEILCDIAALKELRTFSVSGVTIEHSSNLCSAIMNMSHLVNLSIKVSSDNEDLLFQELCLPGALHKLELIGQLEKKRMSQILSSWSHQGNLTYLFLESSKLDDDSISNLSMLHGLCYLRLLNAYDGKKLCFSAQSFPRLQNLKIWGAPQLNQVKIEEGALGSLVQLSLIECPELKCIPRGIENVAALEKLYLKDTGEELIEKLRQKPEADECNEELVKISHIRKVVVRLTEKNIWERIH >Et_10A_001844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:935455:937737:-1 gene:Et_10A_001844 transcript:Et_10A_001844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGDDGGRWRERPYATLPVSYSSDATVVRGVAYFILKNNLNSGDIEPDSIASFDFAKDEWRTTILKGPLSSSLAPIAEEKPQNKDHWSKFMLAGLGDCLVMVHRNIRDRSTTDLWFIDNQGMDDTMPLWTKQYSIPWGRSPRSLWYLLPLEALDDGRIVLWAEDAKVLRSFDPSTSMWHEFTVSGAGCFGYHILLRLPADEVRRLRLVCRSWRSLPSGPHFARAHLSRHPQVVALHDNRHEVHVVDLNSGGNVVLKRVHLVQKSLGLSTHHDVLCVSQVLDQAYVLDLAAGTAMADITAKQDTSEWRTSTTPTTAIGRVPSTGEYKLLRFRSYHSGTPSQTCETMTVSEGCKDGTHGWRDRPCAPVPVIQSSMALVAGVAYFLVNAALVEPDSIASFDLGKEEWMPTLLQGPLTSLLRASTKTNIMYNVSRSHFLLSVLSDCLVTVHCNYENSSADIWFLVDMDKPVWSKRYSMRCEPFGMYPRHYRPLAVLDDGRVAILVEGARIARAYDPRTSAWTDLARLKDYCAITMYHESILCSGAHG >Et_1A_008848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1620824:1621370:1 gene:Et_1A_008848 transcript:Et_1A_008848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQQRFRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARIMCGPRARTNFPADAATSSSSSFLSAALVEKLHRFNLASVQAAQRQREAAAAAASAAASSAATPQHASAAEWGGRFLEEQHVDQMIEELLDANFSMEICY >Et_4B_038067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2607371:2613828:1 gene:Et_4B_038067 transcript:Et_4B_038067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPNPPPQRPPAGGKPPVAGAARKSRWGPPPPAAVGAAAPAGDKAASTSARTPTPTHSADSRRHPAAPPPAPLARNPASPAAALRPPTQQPVVETPPPPPYGFHNLDRRTMLLADGTVRTYFALPPDYPFESAPLPPFPHLPRAAPDLWPQHQPPPQYMPMPVPPHDAKRKHPADQEEGFPRHPKQPRHDAPRHPPQLPPHATVDRHALRRAFLKYAKMLNESSSQRRSYLEGGRVPCLACGRSSKDFADVHGLVMHAYSPPHAESFVDHLGLHKALCVLMGWDYTKVPENSKGYQSLPADLVRANREDLIVWPPTVIIQNTATGRKKDGRCDGLGNKDMDKKMTELGFYGGKSKSLYGKEGHLGLTLIKFADNPAGLKEAERLAEFLERQGHGRIGWSRAQASHSLDSDQNPSLFETDNRTGEKKRILYGYLATASDLWELDSDSRKRAFLKSRRESDP >Et_2B_022274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16642605:16654441:-1 gene:Et_2B_022274 transcript:Et_2B_022274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLILNLFFQQVTIVVVAVPEGLPLAVTLTLAYSMQKMMRDKALVRRLSSMGSATTICSDKTGTLTMNRMTVVEAHLDGTKLDPCDNIRMLDNSVASLLIEGIAQNTTGTVFLPEDGEAPEVTGSPTEKAILSWGLTIGMYSKDVRSKSSILHVVPFSLEKKHGGIAVQTDDKIHIHWKGAAELILASCKSWLYAEGSVQALSSGKYSEFKKLIDDMAMSSLHSVAFAYCTWEHKTAPMHLDKWKLPEDDLTLVGVVGIKDPCRPGVKNAVQLCGTAGMKVRMVTGDNVQTAKAIALECGILDAKDDTSEPMVIEGKVFREMSETAQEAIADKITVMGRSSPNDKLLLVKSLKRKGHVVAFTGDGTNDAPALHEADIGLSMGISRTEVAKESSDIMILDDDFTSVVKVVRWGRSVYTNIQKFIQFQLTVNVAALVLNVVADVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMMRHPVGRREPLVTNVMWRNLFIQALYQIAVLLVFNFDGKRILHLQDESREHADRMKNTFIFNAFVFCQIFNEFNARKPEEKNVFRGVTKNYLFMGIVGITTVLQKTMKDKDEELGGKS >Et_2A_017654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4720051:4721082:1 gene:Et_2A_017654 transcript:Et_2A_017654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSSGHAGAGGRRLFTASQWQELEHQALIYKYMATGSPVPHDLVVPLRLATVPSLAFPPQPPSLGYWGCYGAAAPFGVRKAEDPEPGRCRRTDGKKWRCSREAYGDSKYCEKHIHRGKNRSRKPVEPTTATSAAATYGPSALSISPPAPNYGRQQQTLHHSASSARAAGALQLHLDAGYHHHAAPSPPPSYHRYAHNSTAGAPGAGGYGGYGPSSREEAELRRQRCFALGADLSLDKPAAAEKPLRRFFDEWPREESGGRPWAAGADDETRLSISMPSASPSDLAASRCHNGKCVASSRVHK >Et_7B_054552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:23095200:23097215:1 gene:Et_7B_054552 transcript:Et_7B_054552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRMSYLTIMLLLAVASLFASRAAADDGSDASRRARAAATVDDLLAVHNDARQAVGVPPLTWSAQIAGYAKSFAQSRRGDCAPRRSSLFQFGENMFVGKGRHWTTRALASAWVEEGQWYDRASNSCAAPPGAAGCARYTQVVWRNTTQLGCARIVCDSGDTMLVCDYFPPGNYGTGGPYVAGPPKFATPRGPRLQFLEAHNAARAAAGVPPLAWNATLALDAQRYVNELRHRCNAMPLVAWGTDGVYGRNLYKSEGTGSADEAVASWVGEGRWYDNRRGGGCAAPEGETCVHYTQVVWRATTQVGCRRRNCYRSDDTVAVCEYYPPAENVKGQRPH >Et_5A_041616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2570236:2570740:-1 gene:Et_5A_041616 transcript:Et_5A_041616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNEALAAAATGPSFALGWVRWNVAAYYPKTQIQAVAVGNEVFATAISNVHAALAQLNIDKAVSSPVALVALASSAGSFKEDLAQAVMKPMLDFLAQTGSYLMVNAYPFFAYSGNTDVVSLDYALFRPNAQLDAQVLQPPRRPAPSATTASSTPTSMPYAFFL >Et_4B_037146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15343800:15361984:-1 gene:Et_4B_037146 transcript:Et_4B_037146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAVGFHSSPYAGGEIRNGPFCGPALMEPGHILLSEGETSVPLLSSHRRLSSHRLTLTASHPHPRQQPPHPIPAMASASKEMTGRNPAEHLTDPNNRKKLAQTLAGFFYHTYSCDRFPKSARHFTNVTGKLPPLVSPSLSFLPGYNNIKILDSCNGVLLCRRRTAGAVHYVVCNPATKEWVELPESSQGGVCTARLGFDSTVSPHFHVFEFIVDDEDAANGNIFIVGLEIYSSKAGEWVRRDSGWSDDASLCDDMYSVFFNDSLHVFSPIYQDVLVVDTEGKVWRTISVPFSNDDGFIARSQGSLFYLNMTGDHDLKLSVYVLEDYATDEWIFKHSVRISTLLGGNNFSLMQNYSLITIHPECNRIFFISDLDNTLRCYDMDRRQVHPPQPPISAMASASKEMTGRNSAEHLTDDIIVQILYRLPAKSICRCKCVSRAWRDLISHPDNRKKLAQTLAGFFYHTSSRERFPESACHFTNVTGRGPPLVRPKPDGGWRSVVSWLQIPHVLLDVNSPVQEITKPRYRPFQSAAAFVAGKTTCFVYLASVPVRVCEFRAAPTRPSLSFLPGYNDISILDSCNGALLCRRRIAGAIHYVVCNPATEEWVELPESSHGDSIRTARLGFDPAVSPQYHVFELVGNDVNLANDAFIDELEIYSSKTREWAHRDSGWSADASLCDDLSSVFFNGRLYVFESILQVVLIVDTEGKEWRTISVPDGNDDGFIGQSQGCLCYLNTVEDHDFKLSVFVLEDYATDEWIFKHSVRTSTLLGKCIFSSMQKYSLISIHPECNRIFFISELDNTLRCYDMDQRHVHVIRNMGCGHNYWERSLPYIPLFLEAFAAAAAASEISAMASASKEMTGKNPTEHLTNDIILQILYRLPAKLICRCKCISRVWRDLISHPDNRKKLPQTVTPCVQNRLPPSPHTGVLHRPMAGFFYHTYSRERFPESARHFTNVTGRGPPLVHPSLSFLPGYNDISILDICNGVLLCRRRTAGAVHYVLCNPATEEWVELPQSSQGDSICTARLGFDPVVSPHFHVFEFIETDVDPANGYVYIDALEIYSSNTGEWIHRDSGWRDDESPVYDVSYVFFNGRLHVFSSIQQDVLVVDTEGKVWRTISVPYGNDDGFIGQSQGRLCYLNTVEDHDFKLSLYILEDYATDEWIFKHSVSTSTLLGKSIFCLMQYYNLITIHPECNEIFFVSDLDNTLRCYDMDRRVHVIRNMGCDRKCSERSLPYVPLFFEAFAELFHQK >Et_8B_059873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3599217:3607130:1 gene:Et_8B_059873 transcript:Et_8B_059873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGVESSAESPHAEEQQAVPKSSPAAAATKGRGLRRWRRIRREQQMDGYAAAAAGGGGAGGGGGDEDSSQLHKRRIPLPAGAPKVKHEAAPVEDESSTASVESRFIPPAPESAKLDPGLGFLVASAGFSLGAGGADSDNSEDRCSSRSSTAASAPRVFPRQGHGASLHGSKNHRAGRSRAIRLRVHAEAENSRSSVESDLGSSNALKARDLGVGVTGNGICKSLAGSCDHSDDGQPSEEVGSTAAGDYCKENGSSVVGTLFRGSDDSGDDVEDTLGEGSELKEQDGGLHLGEDAYAQSILMLQRTQEALENEVEKFVAVGKKPSDDFDVYDVERNGSVHLEEPIEGASENIKPQEFRSEEASAQIKDSKVLQLRALDRMQKTSTESASLLSSESELDRLYQEKIQAEIQCIILTAANQTWATLACDQMTLYEAQKSLTEDYKQLGLKLQHTENRATMLEEMAEKLQVQCKELSSSSEVLQLQSKASRASLFCFIQFVLLCIAIGTYLMPWRTAASSRPPSRRMPRSSRLFEEIPCGGRDQGPWAPSLTPYPAGTAEEWSQNRRSRGSAGGGGAGGRGGDEDAAQLHKRRIPLPAGAPKAKHEAAPVEEESSTASVESRFVPPPPESAKLDPGPRRLPARQEPPRRGLERRQAKGSRRSRELRVQCGLNALKAILTAANQTWTTLACDQMALYEAQRTLTQDYKQLGLKLKHTENRATMLEEMAEKLQVQCKELLYCCVLLSEPTLCGSYPLLLRLIENFVAVGKKPSDDFEVYDDEWSGSVHLQEPIEEPRESTKDLEFRLGEASALIKEKDSKVLQLKSS >Et_8A_058367.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:5967670:5967873:-1 gene:Et_8A_058367 transcript:Et_8A_058367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPINFFHKQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMNVDASLLCSFQILKPYRGKGQTE >Et_1A_006497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23230878:23231098:-1 gene:Et_1A_006497 transcript:Et_1A_006497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKTSDGNQPIFDPEVCHILIAKFFISAEIAFRKADDPYWVKLNYLYEDERHLIAEKIAGF >Et_5A_041758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26794894:26795623:1 gene:Et_5A_041758 transcript:Et_5A_041758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAFAEAELFRREAELVDDQMGLRRHVPLILFYRGDGGAQEEQEPALEPYCPLAGRLRELEGRKLVVDCTGEGVLFVEADADVRLPELADQAAVPLLFDVDGCDGVVVNTPSRGCSAAASCSRSASTTPSVFVSGLIVATGVRGARELGLPAGYYGNACVFPALANLLLLSDVRRAGFLGVDFGWGRPVYGGPAGASFVTSFLRVGRSGAASSCMLMQASRGRCSSG >Et_10A_002132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23406242:23408798:1 gene:Et_10A_002132 transcript:Et_10A_002132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTCMLILLAALTTVVCAASLVTAEASTCPWFVLSSVEDKYIQSFGRWAVEQEKAHLSFDRVQSATAKGVNIGDCYNDMKRIYDLKILASRRAGAGDDMFRAVVYVDRQVVPVRLISFEKTPSVKSEEIHTAVQQFDQEAMMKTWMLILLAALATVVCAASPTIAAASTCPWRTVSNLDYKYVQNFGRWAVEQQNAHLSFNKVVSATARAVGDCLNDMNRNYALNILASRRNGAGDDKFRAVVYVEQEVVPVQLISFQSIP >Et_4A_034104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:325795:327355:-1 gene:Et_4A_034104 transcript:Et_4A_034104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASASKVVLGSVAFGVFWVLAVFPSVPFMPIGRTAGALLSAVLMIIFHVISPDDAYASVDLPILGLLFATMVVGGYLKNAGMFKHLGTLLAWKSQGGRDLLCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALASSANIGSSATPIGNPQNLVIAFNSKIPFPKFLLGILPAMLAGMAVNMVMLLCMYWKELEDGKQSMEAVEDGKQSMEAVEEGRVVVSATPSPLMMAENISTKHPWFMQCTEQRRKLFLKSFAYIVTIGMVIAYMVGLNMSWTAITTAIALVVVDFRDAEPCLDKVSYSLLVFFSGMFITVSGFNKTGLPGAIWNFMAPYSKVNSVGGISVLSIIILLLSNLASNVPTVLLMGGEVAAAAALISPAAVIKSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRAPRNAYDLTFWNHLVFGVPSTLIVTAVGIPLIGKINI >Et_1A_005213.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:33137473:33138096:-1 gene:Et_1A_005213 transcript:Et_1A_005213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTARAGGALPDELVVWEEILVHVPVKELFRCRAVCRSWRRLTSSADFLLAHHRRQPTLPLVSFSDPTPNWVHASSPSCGDALDALDLWRPPVERRRVLCFNDCNDRPHYWSTGSTLPATGCSCSPSPGTGSSTSATRLRTSGSLCPISHAAMSWGCTHTDRSVSTASFTTTPCKRMTIPSAMFSLRAPLWRQGLLRNVRQLGHHM >Et_10A_001130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21434893:21437587:1 gene:Et_10A_001130 transcript:Et_10A_001130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAPPPLLLAAAAAVLFAVALKCLHAAWRPKRRGAARLPPGSTGLPLIGETLRLISAYKTPDPEPFIDARVARHGGVFTTHVFGERTVFSADPAFNRLLLAAEGRAVDCSYPSSIATLLGARSLLLTKGAAHKRLHSLTLTRLGRPASPPLLAHIDRLVLATMRQWEPAATVRLMDEAKKITFNLTVKQLVSIEPGPWTESLRREYVKLIDGFFSIPFPFASLLPFTTYGQALKARKKVAGALREVIRKRMDEKLVSGGADGEGEEKREKKDMVEELLEAEGGSFSEEEMVDFCLSLLVAGYETTSVLMTLGVKFLTETPTALAQLKEEHETIRDIKGNKQPLEWGDYKSMPFTQCVINETLRVANLISGVFRRANTDIHFKGYTIPKGCKIFASFRAVHLNNDHYENARTFDPWRWQNKLQNAVGASLFTPFGGGPRLCPGYELARVVVSVFLHHLVTRFSWEEAEEDRLVFFPTTRTLKGYPINLRRRLDSSF >Et_9B_065167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21788894:21790973:1 gene:Et_9B_065167 transcript:Et_9B_065167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ISMACLPLSTRTRRRNRALRNMLTSIVLMFYYLWLLLAISYKRKRFKIERRLKNKELRIQKLNKLIRESDTTCISELRMDRRTFQLLDALINHVDAKGLYGVAFPYYDTLSAVYAKDIATGEGAEGFTDAVSNMELELATEHRNDQEEEEERTSRETPRRSFDSTSSSSKRQKKEGKGKESVSSDPLLDMFNEVSGDLKFVTKNVEKMAEAMEREAAIQERQCMKTLNKMNELRRLEFTGGELIQAASVFVKTPDQMGMLFALPEALRREYIVNMLHGKKRTTGWHKIGYYAFSDTKKSLDVTQALYFRYTSRNMITIPIAIPENETNTTHDASANAYLQPWLTLSQSTSCCAERETAGELVLIACKPSTAAATAKAEQLPQLDHPWFLMFVTACGRRGSFIHPITAGSTLRVAASSSANSYTAQ >Et_5B_045106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9660436:9661266:1 gene:Et_5B_045106 transcript:Et_5B_045106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CPLARSMLEAMREHWDLPAVDMTLEHVPEWLLQMLNNCQPEARDKLLLVLWRAWFIRNKITQDGPECSVVGSVQFLLSYHDLLFSIRQRNLNPSDKGAEQSSCAMLLVEIKELINELREVEIMHCNRLQNRASHLLANKACTELFSKVWLHSCPDFAAATLAADCNPAVA >Et_3A_025112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2752072:2759378:1 gene:Et_3A_025112 transcript:Et_3A_025112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWVVESVRSVGAFQDVNGKDDETSCALDFAYEYLPSPPVTPDAPLAAAGAAWSGASGDGVDRISILPDQILQNIVSRLPAKDAARTAALAARWRGLWHAVPLVFVDTHLLPECREDPLWRPPLEASLGVTNAVSEVLAAHPGPFRCVQITCSYMDANKEEIKQWLKLLAAKGVQDLAFINRPWPLDLPLPAALFSCTALTRLHIGAWKFPDTAALPRAAGFPHLQELFLSLILMKDRDLAFLLDRCPALEVLTIIASQSDVRLCLVSRSLRCLQLVGCSVGDIAVAEAPRLERLVLFLTMPRRIGGNKLSRIKIGNAPNLRMVGYWQPGQHELQIGNAIIEEGAKVSPSTIVPSVHILALEVHFDVRNEVKMLPFFLKCFPNVDTLHINSMEAYTPTGKVNLKFWQEAGRVEGVERHLKRLVIHGFRGKKSELAFLKFIAERAQVLEAMVIVLTPECFPSVKDMKAKLNPLTTAQWASKDFKVILFKSPEPEGGPAMFSPRIAVDFSWNDPFDLMTADAKLGGGAFGPTRMSAATMTRRALRSISRTSTSPSRPSPPTDGVDRISILPEQILQNIISRLPSKDAARTAALAARWRGLWRSVPLVFVDTHRVPECREDPYWRPNLEGTLGINNAVAEVLATHPGPFRCVHITCNYMDLNRGQIKHWLKLLAAKDVQELAFINRPWPLDLPLPAALFSCAALTCLHIGAWKFPDTAALPRDAGFPHLQELFLSLILMKDRDLAFLLDRSPVLEVLTINGSQTDVRLCLVSHSLRCLQLVGTRVGDIAVADAPRLERLILYMTFPRRIGCSKFSRIKIGNAPNLRMLGYWQPGRYELQIGNAIIEVSPSTVVPSVQILALDVHFDVRNEVKMLPCFLKCFPNVDTINIINSMKAKNPTGKVNLKFWQEAGCVECVERRLKKFVIHGVRGKRSELAFLKFIAERAQVLETMMLVLTPECFPSIGDVDVKLNPLTTAEWASEDFKVILFKSPYPEAGPAPFSSRVAVDFSCNDPFDLVVAEGAVQSNLRSLKLLTNLSPMADPALTNTAGYPTGASTSASNPPCTVLLSFTLPPPPLPPPPYSSPVHTCLHLPAPAGK >Et_5A_042410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9814865:9817472:1 gene:Et_5A_042410 transcript:Et_5A_042410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGLIVRGFFVVHEKCSVPCVRCSKRLRLHHQLRVNIQDAGSKKINGMPAHNVIWAKATSTFGSRRTQPNDPKIQTIPLVS >Et_2B_019445.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:30275403:30275888:1 gene:Et_2B_019445 transcript:Et_2B_019445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGATQVFFIGVAYGQLLAGGSGGGDEPWQAPSSVHEADATPRRKQQRGEGPYLCPVCDRRFKTEKAVHGHMRSHPDRAWRGMEEPPHIDPSVAAEKKKEYRYACEHCGAQFETRQALGGHRASHNGKMGCFWLSRQQPTRPVLPFDLNDPAPEEQLQDDE >Et_1A_006901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28896406:28898059:1 gene:Et_1A_006901 transcript:Et_1A_006901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWVSVLLGVDGAAPAAAGPAAVGLGDLPELCAAEVLLRLDPPEICRLARLNHAFRGAAGADFVWEAKLPENYRYLMEFVGTGEEGRRRRRQAGKKEIYARLARPVPFGDGTKEFWLDKSKGQICMALSSKALVITGIDDRRYWQHMPTSESRFQSVAYLQQIWWFEVVGEVDFCFPVGTYSLYFRVHLGKFYKRFGRRLCSSEHVHGWDKKPVRFQLSTSDGQHTLSQCYLEEPGSWVLYHAGDFVASKPDQPMKLKFSMAQIDCTHTKGGLCVDSVLIYPKGSLQQERVVRSKKGQCR >Et_5B_043379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:67114:70409:-1 gene:Et_5B_043379 transcript:Et_5B_043379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSPSPPPSPCPAVDNQLHRRLRCGGRREHHLGEAWVHVAVGRSPEKTLGLLRWTLRRFQCGRIALVHVHQPSPLIPTLLGKIPAGQATEELVLSHRKSEKEEMNKILLSYLAFCHRAQVQATLLVTENDQIHDGILTLVNQYGITKLVMGSTPDHCFKLKASYGKESLMARNAPAFCEIWFVWRGRHIWTREASAATGNNVQDDVMTTKRIRFTSYSNNAESLLDEGYITCETSVMADLNQDTASDNDPSSEYDAIGAREGNHFYNMSVTNCRDAESELNSNFWSDPSVHMETLRLYSTEILDRNLKEVMMEAEGSRKEAFVELLKRKETESNVASAFSRAKVSDSARKHEMKMREDVEILLVATKKQHEALIKIKEKAAAGLESSVRRLAILDARAKKISLRMGEFSAELEITQSSIETLRQEKAIVQRQEERHIDQSEGCRCSHVTLPHCTPITPADNSYSFRELTLLDMQSATCNFSEGLKLRSQGHGCVYKGAIMNKSVMIHKLHSDSIQSLKHFQQEVHALSKVRHPHLVSLIGACPEALCIVYEYLPSVSLHERLFNKCNSHQLSWKVRACIIAEISSALLFLHSSKPYTIIHGDLKLENILLDTDSHCKIADFGIFRIFADDMKHHPSSCADPEYQRTKVLTPKSDIYYFGIVVLQLLTGKQEPVGLASEVRRAVSCGKLSSVLDKTAGDWPMEVAGRLAELGIQCSEASSRDRPELTPGTIRDLERLHHKREEHIPSSFLCPILKAKCSSK >Et_6B_050085.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:5786744:5787148:-1 gene:Et_6B_050085 transcript:Et_6B_050085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFVDLQLLMSWWPLRAPPRPLQPQFHPAPPVVAPPPPPTQCDISTSSFSWSSYSAGSSSSGASWSSCLPDDVVAAAATTSPALIDPVDEAAPSMLPGVGYLADDERDNAMSYDEDSELGEFPYYDIIKDFLR >Et_4A_031925.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3049256:3049633:1 gene:Et_4A_031925 transcript:Et_4A_031925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSGECPFCTQSEDIGHLFLRCPRAISIWHAFGLPTPHSDSTLEELWQNLGALNSTKPKIRSAVLTAILWNIWKCRNAKKFRHEDESNSSVVARCIEDLTLWSNRCSQPVDRALMIAWCESFSS >Et_4B_038613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3331757:3335513:1 gene:Et_4B_038613 transcript:Et_4B_038613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAATSTAAALLAPRFTSSTILARFPLLRIRRSLVAAMATAASSFRPEAARSPPALELPTPPLSKFKVALCQLSVTADKARNIAHARAAIEKAAAEGAKLVLLPEIWNGPYSNDSFPEYAEDIEAGGDAAPSFSMMSEVARTSQITLVGGSIAERSGNNLYNTCCVFGSDGKLKGKHRKIHLFDIDIPGKITFKESKTLTAGQNPTIVDTDVGRIGIGICYDIRFQELAMLYAARGAHLLCYPGAFNMTTGPLHWELLQRARAADNQLFVATCGPARDTSAGYVAWGHSTLVGPFGEVIATTEHEETTIIAEIDYSLIEQRRQFLPLQHQRRGDLYQLVDVQRLNSQ >Et_8A_057411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2785418:2790082:-1 gene:Et_8A_057411 transcript:Et_8A_057411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVADAPRRAKSPSPPPAGGDRKRGRSSPVLPPPPPGPPPPEQHNKRYRREGGGFDRRRLGGGGGGFEHDDRRDHGGMGRRGDDDRGHGRYMNRAPDWSDSGRGGWNEGPGNRRYEEYKSEYIRTQKKAYFDLHKNEDWLKNKYHPTNLESVIERRNELARTTANEFFQDLQNGSLDTGPGLTGSVVSKSGNNSSVTAADVDENGKKGKLGKGPDDLYYAAPKAHPVSSEARRIRIDTEQAQALICKLDAEKGVENNVLSGTDNDKSEPPIVIIRGSSTVKGLEGVELLDTLVTYLWRIHGVDYYGMSETNEPKGLRHVRADARTYNGASSNATEWENKLDSFWRDRIEGQDPLETLKAKDKIDAAASEVLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVQKHLKLKHTDVVVELTSKVREDVYFENFMNDPNAPGGTPIMQQSAPRGKGRQRPPIESRLRDERGRRFDRNADSPPHDGPGENPDDPIFDSYGDPMMHGAFPPDIPAPPVLMPVPGAGPLGPFIPAPPEVAMRMMRDQGGPPPFEPAVAPHPRKAGRGGIPPMGGPSPIFTAPPVPHDPRRIRSYQDLDAPEDEVTVMDYRSL >Et_7B_054583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2546628:2564046:-1 gene:Et_7B_054583 transcript:Et_7B_054583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGMAEEVHLGLPGPWAEDYREKADHYTTKIGGLPDWPTGDMEIKPELLQCSLCGTKLCLVAQVYAPVEKLNIEERTIYVFVCPTPKCGPNPQRQVLRAQKCHSGMKVHVSCNDLGQSNGSSTNSSEKQNDQSNVPSSTSFPEVENDENKSPEIDDDDFDLDALAKALEQAATLASNSKKQNKPKRANTPIKRPVLKEKGSDLSTPVLPCFYIYYDKERYGGKGTVSSSSNELVLSKELMDIANDEEEKWEGEKYEYDKAIGADRNFLKFKKRLDAHPQQCFRYAYGGKPLSPATKLQEGGICGLCGSQRQYEMQLMSPLSYFLHEAGNGSSNYAPDSWTWLTLIIYTCSKGSTSAKRKVGGYVLPLLFAPRSEDLCPNGTPSETNVQQHEGTRCDSEDDPGLSLNHHEVEHSPDLSITTDCSFAATSDMVVSTTSGEQRSQQRHPKKCRFNGCSKGARGASGLCISHGGGQRCQKPGCNKGAESRTAYCKAHGGGKRCQELGCTKSAEGKTEFCIAHGGGSRCGIEGCAKAARGRSGFCIKHGGGKRCRIEGCPRSAEGQPGLCISHGGGRRCQYPNCGKGAQGSTIFCKSHVDNSEAEKPHNMDGANCESPIDVDEGPSSMDVDMKGKSPLDDDSKGKSSSEAHARGPIEMSVESLEKFCREASRSFFDEIGLISHQINSYNEFISRGLQELFDSLGEVIVEPGYDPSKKGSGGWKHATIKFGRVKLEKPVFWSGKDEADINFKPKHARLQNMTYASRMKVEVTIQVYSLEKSDKSKTGNDGFLQKRDYLNETHWIFIGRLPVMVNSNLCWLHSLKESDCLFDSGGYFLVKGMEKVFISQEQRCLSRIWIADRPCWTASFLSEIKRQRIYVKLLESTKSEDISGSKIISISFLYATMPIWLLFFALGISSDKEAFDLIDMQDCDASVINTISMTIKESDEVCEGFRKSNKARQYVDELIKNSRFPPAESFDDYVARYLFPDISGNRNKALFLGYMVKCLLMAYTGKRKCDNKDDFRNKRLELAGGLLGRELRAHIRHAERRMVKSIQRDLNSDNDLKELERYLDASIITNGLNRAFSTGSWCHPYKRNERCSGIVATLRRTNPLQMISDLRKTRQRVAYAGKAGDARYPNPSYWGKLCFMSTPDGENCGLVKNLAATAIVSSKVVQPLIDSFISCGMSKLDEIPSEEVARMHKIFLNGTWVGSCENPASFVLRLRCMRRSSLVDRQVEIKRDKHQKEVRVFSDAGRILRPLLVVENLNKIRKPKGRSYSFQELIQQEIIEFIGVEEEEDIICAWGIRHLFGSEGESTNYTHCELDPSFLLGLSCSLIPFANHNFARRVLYQSEKHSQQAIGYSTTNPLIRVDTLSHQLYYPQKPLFKTVVADCIGRADYSSGKTDDFARPEYFNGQNAIVAVNVHQGFNQEDSIVMNKASLERGMFRTEHLRSYKAEVENKEGAKRLRLKEKIDFGKIESKRGRVDNLDDDGFPYIGASLQTNDIVIGKVSDTGEDHSTKLKHTEKGMVQKVLLSANDEGKNFAVVTLRQVRSPCLGDKFSSMHGQKGVVGFLESQENFPFTRDGIVPDIVINPHAFPTRQTPGQLLEAALGKGIALGGTVRYATPFTTASVDVIAEQLHKAGFSRWGAESVLNGRTGERVQSLVFMGPTFYQRLIHMSEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLLAHGAAANLHERLFVLSDFSQMHVCQTCERVANVIIRCVPGGKKIRGPYCGFCKSSENIVQINVPYGAKLLYQELFSMGICLKFETEVC >Et_3A_023941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1566322:1572308:1 gene:Et_3A_023941 transcript:Et_3A_023941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRKLNFNWARFTLEYLVKHLASFKDDRSKGLPGNLALLQVLIRLEVKHNECPDSDHLDEEIYEEDTEGVNVEFSKYKECSDQDEKEEINLEGLHKAEQSDEWHKKKVKTRRDRQPSKNCRSPYEDNITKGLHLSAVELAAIKYVGQELALNKDKVLIIGSYAHMCNSVWGSEPKQKKHAISDYRSQWLRGIGLGWIHPREEQSGINFILKAVENSTFKLDSTWSDHMYWTGICSCGLSTIKFMQYWNGTRLTCNFSQEDMEAFRKKISADMIFSALNQVSSTKKAILDMVLRVASAADVRLGLVVADLADEEANRAAVEGIKPGRGSRIPQRKMRADRASKGLNSGQPPFSLDVARPRAP >Et_7A_050303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22901086:22902156:1 gene:Et_7A_050303 transcript:Et_7A_050303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PIAVRVGDQEVLVACTGDGIAFVSTTASCTLQETRFLHTPLAIPLGDLAVRYGGRCGLSDPLVMMQVAEFTCRGYVVAATWNHGIADAFGLSQFLQAAGEIARGFASPSVVPVSFINRVKEEFRSRSGGRDACTSFEVATAAVWPCRTRAINLDPNVRTPIVFTANVRKFIGAKDVYYGNCVLSQLVEATSGAVANGAVVDVVSLIKDAKQRVPGTLADQSRELDVDDDELVRALCGYNTLVVTTWGGIGLDKVDFGGGSPNRVVPNLERPVGPICFPCAPCSSSGVNGANVVAFCVTEEHVHDFHAQLARLR >Et_8B_058598.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5562119:5562535:-1 gene:Et_8B_058598 transcript:Et_8B_058598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPKGVVAVLSDALCLACRSRKHMLPCLLLAMIPSSLILLGSHVSVYPLLLGFIARLHSLGREYPATPQFYDLLVRLKRDAGAISQFDVALVAASFLGHAASTLAVVH >Et_5B_044752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5384677:5386886:-1 gene:Et_5B_044752 transcript:Et_5B_044752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYDEHQQLFASSFVDSSLLAPNGAAQGERPRARRRRRRAARCADGGEVDAGDPKKRRLSDEQVEMLELSFREERKLETGRKVHLASELGLDPKQVAVWFQNRRARHKSKLLEEEFAKLKQAHDAAILHKCHLENEVLRLKERLVVAEEELTRLRSAGSHSHAVSGDGGDVMGGRVVCSGSPSSSFSTGTCQQPGVGGVDRLGDDDLIYVPDVADYGYSDNSVVEWFSLYGLGLINRRTTTWSKCAFILP >Et_1B_013151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5965075:5968339:-1 gene:Et_1B_013151 transcript:Et_1B_013151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPNASLPPPSPPPHATVAADTSVSLLRGAAARRDAALTSALHAVLLKSGALHPPQPLTASNSLLHAYLQCGLLSRALRLLDETPRRDAATYTSFISAHCRLGVPLDAFRAFLDMLAWDARQGEVGAARPNEVTAAALLQACGLARDERLGRMVHGYLVAAGFCGDPFVVSSLVNMYAKVGDVMSAQRLVFGLPSRDVVSWTAIISGCVLNGMHAEALQVFIMMLEDSVLPNNVTMLSVIQACSLMGVSELFGPVHALVFLLELQDDASVVNSLILMYAKNGFVEEAMRLLEGLYLRKGNVCSSEDVLAAVLYGCTISGSLKNGEGIHGYLIKISAFPNISIENPLMGMYARFEQVDAAQLVFNGMRAKDIVSWNTIISCLAKIGRVNEALELFSTLHAGSGGLAPDFVTVLSIVQACSNAGLLKQGQMLHGYIIKSGYLKDVSICNALISMYAKLGRIDFAEMIFGRMDTKDLVSWNSMINAYGIHGDGHSALRIFHQLKDAGTPAPNAITFVSVISACSHSGLISEGHQCFESMRMDHGIEPSMDHYACVVDLLGRSGRFAEAEQFIRDMPVCPNSSIWGPLLAACQLHGNVDLAEKAAQELSALEPESDIWRVSLSNTYAMAGRWKDAAKVRTEMKRVGLRKETGWSFVDVGGVDGFKFMAADSRHRDAEQIYAVWHSMNNHMADLAADVHQLSLAVLNKMKQALVNETAISDFGEMIFGRMDTKYLVSWNSMINAYGIHGDVEGCWMTFVSVISACSHSGLISEGYNRFESMRRDYGIEPSIDHYACVVDLLGMSGRFNQGYACPNWYVQVIHLNFEDSAP >Et_2A_016363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23902733:23905328:-1 gene:Et_2A_016363 transcript:Et_2A_016363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLANHASQFLPSARCHRDGHFALVVPLRVEGGDAAHLAEGVLGSVRAEGVGGDELVGAGLQLELGRGHDEPGAPAHGAVGAVAEPRLDAGGGLHAPPHAPAVAPAAVDHVGAHRVFLRASVLWFSSLDMVWHGLWRRAETPPSLGRALGQGQATAGTEVRNSEVTTTPTAEDDRTAMPDLHASLHTLSSIASPGRSVDESSKGARKQTTASPFQLLQFTEEKRNSPDNREHKLTSPLLRLGELGDAAALEHALPVPPVEVPVLDVRQCAGVDAGHRDGHAVRVGPRDVEGGDAAGLAEGVLRRVRAEGVGGEGLLGAGVELELGRGHDEVGVPAHRAVGAVADPGHHARRRLDLPLHAPAVAPAAVDDVRAAAHRAIWERREEETVAHLVWIAELLSCSRALTRSGRKGRRLG >Et_2A_018582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33814573:33815728:1 gene:Et_2A_018582 transcript:Et_2A_018582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDHKETLALFVLSLLLGVGLARAGNFYQDVDITWGDGRGKVLNNGQLLTLSLDSASGSGFQSKSQYLYGRFDMQLKLVPGNSAGTLSSQGSQHDEIDFEFLGNASGEPYTVHTNVYSQGKGGREQQFRMWFDPTKDFHTYSVLWNPSHIVFYVDGTPIREFRNREAIGVAFPKSQPMRVYASIWDAEEWATQGGRVKTDWSKAPFTASYQGLAASGCTSPDAAACARSNGKWMSQELDTAAQDQLRSVQKNYMIYNYCTDTWRFPQGPPPECSAK >Et_8A_057911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9273481:9274178:-1 gene:Et_8A_057911 transcript:Et_8A_057911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CTKSAEGKIQLCIAHDGSSWCGIEGCAKAARGKSGFCIIKHGGGKRCRIEGCTQSAEGQPGLCISHGGKRRCQYPAELWQWRTRKHHLFQVPWCRAVPRILRARTRIILPDLV >Et_9A_063450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2678704:2683225:-1 gene:Et_9A_063450 transcript:Et_9A_063450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGSTKAGTNMSNELPVEGVVRVRKVERIEAYNVAARPPATTTAKMARSLTVQVVRVGDVDENNDGFVTVHIRMLTLRHQGLHKDAQAIVYNQLLNSLSI >Et_10B_004058.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:141222:142811:1 gene:Et_10B_004058 transcript:Et_10B_004058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDKWTGQWSAVASFIFLWSMLQKHVPVALTHRISSWSNKLVKYFDPYLQLTIPEYGAERWRRSDFFKNVEAYLSDSCARRARKLKAAELGKDSKKLQVAVDDYDEVTDDFGGATLWWYASKKTPKNNVISLYPGHDEQRFYRLVFHRRHRDLVVDSYLPYVLDEGRAVIVRNRQRRLFTNTPNTDRGKTWNHVPFEHPATFDTLAMDPLEKEAIKDDLSAFKESKDYYTKVGKPWKRGYLLHGPPGTGKSTMIAAMANFLEYDVYDLELTAVKSNTELRKLFIETTGKSIIVIEDIDCSVDLTGKRKVDKKKSLRDADDDDGKPKLPPHPEKDDGTKVTLSGLLNFIDGLWSACGGERIIIFTTNHVDKLDPALIRRGRMDKHIEMSYCRFEAFKVLAGNYLDIGEHELFGEIRQLLEETDMTPADVAENLMPMSKKKKRDPDVCLAGLVEALKKAKEDAAAKALADAKAKEEEEAKEKAAEEAKKAKEKEEADAKKSKAESKAKDKTSDSEAAKMSNGDIKGGDE >Et_2A_017184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31820279:31824337:-1 gene:Et_2A_017184 transcript:Et_2A_017184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASITFVLNRLADQAVKEAALLRGVDKDIRLLRDKLEWLQTFIQHADQERRKGANNYIELWVRQTRDVAHDVEDVLDEFLRKADLDRLAAGRSAWGRWLKLAATFTTQVAVRHDLRKRMDAIKDRLQEISENVDKYWKKQLRSNASSSSANSSSNVAGWYADEETEVFGFQKEETELENLLDSDENNLLSRRRSIVSIVGESGIGKSTLAWKVYDKSNIRKKFEVRAWINVPPQINDNDILYFIYKRLCPEADEEEPLPTQGVQGVHQALSNYLSDKRYLVMIDGLASFTNWNSILQSLPDNSNGSRVMIISRLEDKEAAYADPKVKPLKIEKLKEEESVELFCRKVDGANNQSHNKNFGGESYQTVLDKACKNIHRITEGVPLAIVLLAGLLRAKSFREWDKVFKQLSSSEEPKQIKRIMALCFDDLPSRLKSCFLYFAGMPENLIFNARRIVRLWAAEGFLKPKGKTMEDIGQSYLKDLISRGMIHLVKKDLNGGVWLVAIHDRLHAFAQLEAQEASFLEVHDNADVLAPASIRRLYLQNYMQSYIPMGTPFPKLRSILCDFAEERSHNLGSKILGVSLPNRVSSNDLRHHALRFLPASKFLRVIDLRGLRIKKVPHAIGDMIHIRYLGLRSRSLTTLPPSIAHLINLQTLDIKRTEVENVAQAFWEIPTLRHVVAKKLRLPKSVGALNNMQTLTGLVCHDPWRKNISPLGNMVHLRNMEISGLTKEHWLGLEDAFPKLESLMYLNLAGDGIPSKLFTKFTLRRLQILELLGKIDSTDDNSEDQYTLPNLTRLILKKSEVDQKFMDKIGELPSLMALVLSKDSYVGEKLLFSDSGFNNVTNLVVAELRNIQEWEIRHQSIPKIQKISISNCPQMKIKLDVKEGEGLECLMKDLKEVVFWNMPENIKIEPENETFRKMINHVTLKTKCDDITSALQRTGRWRAGMIAGNMFQN >Et_5A_042535.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1982329:1983741:1 gene:Et_5A_042535 transcript:Et_5A_042535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPVAVVTVPFPAQGHLNQLLHLSLLLASRGLPVHFAAPEPHLGEARARLHGWDGADALLAAVRFRPLEIPACASPAPNPSSPFPTHMLPLFEAFCGGARAPLAALLGELSTSHRRIVVLHDRMAAFAAAEAARLPNGESLGVHCLAASYNVGWADPGHRLLRDHGLVFHPPGACGTPEFVALAKRMGQERRRAPGAGMVVNTCRALEGEFLDVLAGIPSSDGHKLFAVGPLNPVLLPAASGSSGTPARERRARARHECLDWLDKQPPSSVLYVSFGTTSSLRQEQVRELAAALRGSNQRFIWVLRDADRADMRADADARLAAAASSELGDATARGVGVVVTGWAPQLEILAHGATAAFMSHCGWNSTVESLSHGKPVLAWPMHSDQPWDAELVCKYLRAGVLVRPWEERYDVTPAAAIRVAIERVMASDEGVAMRRRAAALGEAVRAAVADGGSSRRDLDDLVAYMTR >Et_2B_019174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15812852:15813289:-1 gene:Et_2B_019174 transcript:Et_2B_019174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGRMKHLMKKYGKVALGVHVSVSCATITGLYVAIDNNVDVDFLLRKVGISPSVAVESPPTPAPATDALPDAAAVPNRSETAELVASSGGALALAVLCNKALLPVRIPITIALTPPVSRALQRWRLIKS >Et_7B_053937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13546694:13548747:-1 gene:Et_7B_053937 transcript:Et_7B_053937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTATALLLTSHRAKPSIDDMIEAYIGATGSRHLLKAIMVGFAWAFDARQVFISVFTDAEPEWHLACSRPWRRTCGAYAALRFVSGFARSMVGTCTLVLSTEMVGKKWRDAVNVVLLRHLRVPVPAAARLRVPRGVLAEHLPLDVRSVSPPIALLLHF >Et_3B_029910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2906958:2910997:-1 gene:Et_3B_029910 transcript:Et_3B_029910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQRIFGASGMGQPPTDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVTEEAQVITFVGMDWRPTPEYRIFVRVLRD >Et_4B_038019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25521707:25524263:1 gene:Et_4B_038019 transcript:Et_4B_038019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEEIISEAGGRSYMDTIGLADEDFFRCLSPSSYFSSSVVSTATTGATPAAASSPTCVSYMGMDPPPYDHMLSFTGQEPDHGDGFLGLPYCGYDRAIPMVVPQKSSPTTECSSSISSMSSSPTATSISAISSTKPQAFKKKGSRGSDQRKAAPAAETNKKPRVRRERLGERIIALQQLVSPFGKSDTASVLHEALGYIRFLHDQVQVLSSPYMQRLPASAHALVQESSAGTVVEPPRPSDLRSRGLCLVPIACTEHVAGDSHGNGADMWSVAVSAGMARATAAAESKAAVAMLPGGHPAHLA >Et_2A_017286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32867403:32871387:1 gene:Et_2A_017286 transcript:Et_2A_017286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVKDGADMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSQHAESGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRTGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDAAH >Et_2A_014630.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:30306819:30307293:1 gene:Et_2A_014630 transcript:Et_2A_014630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDWGKAARGATIDTVPVRDRASVFTARNPPRVEFQHRGVEFSKPAARTRSEEEVVGIGNVAMHRFQFSQAMITELKSRAAGPYSTIQCVTAHLWRCITKARRLDADTVTKLRIAVNGRPRMQRYHPHPHPHGLNEY >Et_3A_023766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1203545:1203860:-1 gene:Et_3A_023766 transcript:Et_3A_023766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCGDGECRPLGWLLGLPFALLAVLVSLVGAIIWIIGLPISCICPCCLCVTLLLEVAVELVKAPLHVMTWFTSKIPC >Et_3A_026414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7822565:7825857:-1 gene:Et_3A_026414 transcript:Et_3A_026414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGTLHATIFEAESLSNPHRASGSAPKFIRKFVEGIEDTVGVGKGATKIYATIDLEKARVGRTRMIANEPVNPRWYESFHIYCAHLAADVIFTVKIDNAIGASLIGRAYMPVQELLSGEEIDKWLEVCDENREPIGDSKIHVKLQYFDVAKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFIPRIPLADGVYEPHRCWEDIFDAISNAQHLIYITGWSVYTEITLIRDTNRPKPGGDVTLGELLKRKASEGVRVLMLVWDDRTSVGLLKRDGLMATHDEETANYFHGSDVHCVLCPRNPDDSGSIVQDLQISTMFTHHQKIVVVDHEMPNQGSQQRRIVSFVGGIDLCDGRYDTQYHSLFRTLDTVHHDDFHQPNFETGSIKKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLVHLRDLADIIIPPSPVMFPEDKETWNVQLFRSIDGGAAFGFPETPEDAARAGLVSGKDQIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSYCWKPEGIKPEDIGALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGVPESASVQAILDWQRRTMEMMYTDIIQALQAKGIEANPKDYLTFFCLGNREVKQEGEYQPEEHPEPDTDYIRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLSTRQPARGQIHGFRMALWFEHLGMLDDVFQSPSSVECVRKVNKVAEKHWDMYSSDDLEQDLPGHLLSYPIGISEDGMVTELPGMEFFPDTRARVLGGKSDYLPPILTT >Et_9A_061516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12905770:12906435:-1 gene:Et_9A_061516 transcript:Et_9A_061516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYELPLQHLHFSDGRPKSPPSLCEFIEYIDTEQTPENIAHVYRVAERARRHWFDMEAEERREERRKMRQKEEERRHAYEAEQRRRNEDVNKRKTASLTRHASPKGRGCATELAVLGQQVLMHLERGNIPVALNRHLVVLVCMKFISVRRVRSIIVKVDYFIFM >Et_3A_027016.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29594363:29594938:1 gene:Et_3A_027016 transcript:Et_3A_027016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLPSLVRSDLASPLWGPGRTADPTGHRTITAWVRTAKRKMEPRYFLSGLRDTAHVVGTARGITKLAAEADYPTRSRHVGAARGIGDNTGVMVAVKSTSPRGSAPARRCKGCTPRVLRAARDAPHASGSGGHGRSDPDHVATTVPVEARLPVTVTGTCPSARPHPRLVFLSSSTAPEPSCPFRLAACCC >Et_5A_042404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9638988:9643735:-1 gene:Et_5A_042404 transcript:Et_5A_042404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ECVDVLINCVSEKLGFSEGRPVAAITMYKCLVHWKIFEAEKTRACVFDRLLQIFGYAMQKQESNEDLAYWLSNSSTLLIMLQKSLKAAGSSGTSPQKRSVTQSSFLSRMMPGSSNIIVNMGLVRKIEAKYPAFRFKQQLTAFVEGLYEMIHDNVKKDLSSLLSYVIQVPRSVKAKMVTKHSFGTSSLPGGRSSSSEHRYWQEIIANLTEILKILQENCVPLFFIQKIFTQIFSFINAQLFNSLLVSHECCSFRNGKYIRDGLAQLEAWCGEVKPEGQLGMNSSTSDKLLTIFQKFKISYDDIVNDLCPVLSVPQLYKMCTQYWDDIYNTESVSEEVLEEMRTLMTKESSQDTSANTFLLDDEISMPISLEELADSIDAMEIQNVAPPSELVAIPCFEFLKR >Et_10B_004312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3543793:3544974:-1 gene:Et_10B_004312 transcript:Et_10B_004312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRKAFDAVGDIDGDALSPPPSLVSMDACTWTSSGSSTTMAAMAAAQQQHRGRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPRPSPPAHPVPPPPPAHIPATTSPPALPSKVTNLLLVRLRARNQQQLVNAAAAAQHQEAAAAAALLQDQSSCNEAYGGEDGGGGFFQVDDYLSYDGGSSDGISSSQEMEEEEEEGLDFQFMDAHAASPAASAGLCSPFEVVAAELGAGAVVEADDGPATAVQELMRRIEYERKVSASLYALNGVSDDGGGSSSVTAEESGVDGDVLWSSLDLAPIC >Et_3A_023817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12824629:12830979:-1 gene:Et_3A_023817 transcript:Et_3A_023817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSCSFKVWCAVSCALLLVTACHGLQVGYYSKTCPEAEALVRAEVKKAIHANPGIGAALIRMLFHDCFVELWSLVSCALLLATACHGLQVGYYSKTCPNAELLVRAAVKKAVQANPGIGAGLIRMLFHDCFVEGCDASVLLDPTSANPQPEKNGPPNNPSLRGFEVIDAAKSAVEKACPGTVSCADIVAFAGRDASYLLSSGKISFHMPAGRLDGRKSLASETTKFLPGPSSNLSTLVSMFGAKGLDAEDVVVLSGAHSIGRSHCSSVTAPTPSASLANLLSRRCPANAPSTATVAQDVVTTHALDNQYYKNVLAKRVLFASDDALLTSPATGRMVRANAKFPASWEKKFAKAMVKMAAIGIKTGGEGEIRRSCRLVN >Et_3A_024907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25243092:25245827:1 gene:Et_3A_024907 transcript:Et_3A_024907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWCEIEGKRAHDPIFQCFNRNQNHCQHVEDCCKERSMDAVVACSERRACVWVSGPIVVGAGPSGLAVAACLKEKGISSLILERSNCIASLWQLKTYDRLSLHLPRQFCELPLMPFPADYPVYPSKQQFVAYLESYAAKFGIHPTYNRTVVHAEYDEQLLLWRVKTRASGKIGEEVEYVSRWLIAATGENAEAVQPAIDGLQEFEGTVLHTSAYKSGSTFAGKRVLVVGCGNSGMEVCLDLCNHNAEPHIVVRDAVHILPREMLGQSTFGLSMWLLKWLPVHVVDRILLLIARAMLGDTARLGLKRPTFGPLELKSLSGKTPVLDVGTFARIKSGDIKIRPAIRHICGREVKFLDGRSEEFDVIVLATGYKSNVPFWLKDRELFSDKDGLPRKAFPNGWKGEKGLYSVGFTRRGLMGTSVDARRIAHDIEQQWKSQGLHPDVFF >Et_4A_033027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17105009:17107869:-1 gene:Et_4A_033027 transcript:Et_4A_033027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKGYDVRMAQLMEDKQKAMSITETADVVMSQGKSNTVMDTEVEQNYQQTESLNQPHIGSFTDLLIAPMDCDLFETHVNEEDTTNNDDAEAANEGGANNEEQITEEDIAMFRYNESINQPISLSQEG >Et_4A_035612.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3105059:3105292:-1 gene:Et_4A_035612 transcript:Et_4A_035612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIMSAVAMARAVLVAVVLLQCCNAIVATRPLLETAAATTGEEGGWLGLLLQVLPKGGGNGTGSNNSGWHGGGPRP >Et_3B_028723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18494769:18515872:1 gene:Et_3B_028723 transcript:Et_3B_028723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSVFELPAHITDHDTFFVGRTKNGAPCIVHCTGLVVGVTIYGVDEDGEERSIPGRMVRCEEQADPPENNDVLDLMSIKDGFVYLATSKMLEKLFPRSFSVDVLHSYIMAWPPSLVGNYGRFATIQDANPNPSATTIDSLSEDIILEIFLRLPCFTALVRAAFTRRAWRRAVASSPSFRRRFRALHPAPLLGLFFSPVNDYESPNVPVFPTFAPSRCPDGDLAGVIRAGDFFLTSIQAHPGAAPSWDMVDCRGGFVLLGDWKAESYAVLNPSTRKVHGFFDIPWDILEQHCGYHPILHGTHLICSDEDPMSFRVICLVHDDKFMMRVAVFSSVTREWSILPWVEASPRPHCESFCLERGMEDSESPCKYFCIEKGTQWISRKSFITLDTSTMELSVAPLPLPLKIRDHVHSFVIGDTEGGLPCIVYADEFNIGVLLRNADDYEKWSLDRLHSLKEQVGRVLGGLPDHISELDVIAIRNGYVYLKTVGMHDNSQAPCWFFSLCLETMELGKVFKTIYDSDMHPYNMPWPPCLVGDYGRFAGLAIAAAAAAEMACDNDRNHPPPVKRPANPNSGATTIDALGDDIILEIFLRLPCFTALVRAAFTRRAWRRTVASSPSFRRRFRALHPAPLLGLFFSPIKNGVAPDAPVFPPFAPSRRPDHDLAGVLLTCIQARPVAAPRWDIVDCRDGLVLLNDWFAESYALLNPSSRKIHGFFDMPWAVVNDGYCSLLHDAQLICSDEDPTQFRVVSLAHDDDSTVQAVVFSSATREWSVFPRVQISPIPLSEIMLEKGMRDSGSFLYWPFENRDSFIKLDTTTMEVSVAQLPLQIKNPNDILSFVMGETRDARPFIVYADGFNVGVLVLNSDDGHGEWVLDRLHSLEEQTRRVLGYLPDHINGLNVVAIRNGYVYLTTAEMYHDPRTPCWFLSLCLDTMEMEKVFQRVYDGDVHPYIMLWPTCLVGDYGSPSLVCISAAAMATGSSPTHRPPAKRRKEPSTTTVDDLGEDILLEIFLRLPSLATLVRAALTCRGWRRAVASSPAFRRRFRELHPSPLLGLFFETLSIVPTLPAFPSFVPASRRDRDLSAVVRGGDFFLTSIQEHPDLPHSWDIVDCRGGYVLIMNGDQLTMAVINPLARRSERFFDLGHQAILEDSRGFPVCHHPCLICSDEDPTSFRVVKIANDESRVRATFFSSDTGEWSLCPWVDLPAKPGRGKLWLLRRNMQANGSLYCVYKNRKHMVTLDTATWEFSVSEIPRCLRNRRCSFVVGETRDGAPCIVYAIDFKVGLLLRGADADGVEGWMLNRVVPLETELGQILGQQMDNYNELKVVAVRDGFGYLATSMFNHGPVPSWFFSLCLKTMKLEMLLQRTRDTDVHPYVMTWPSSLVGNRGTFALEDGTGNAKCEIPVTWEFSVAEVPWFLRNRRCSFVVAEKRDGAPCIVYAIDFKVGVLLRGADTDGAEGWMLDRVVPLETELGQILGQQMDNYKSSNNSLWRQSLVKSSANKWTTTMSLRDGFAYLATSMFNHGPIPSWFVSLCLKTMKLEKLFQRTRDTEVHPDFMTWPFSLVGSGRFALEDGT >Et_3B_031356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26674414:26677248:-1 gene:Et_3B_031356 transcript:Et_3B_031356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLQGQSVETTVAVAVAVVAVAAGGAFLLLRSKKPKGCLDPENFKEFKLVEKKQISHNVARFKFALPTPTSVLGLPIGQHISCRGQDATGEEVIKPYTPTTLDSDTGYFELVIKMYPQGRMSHHFREMKVGDYMSVKGPKGRFKYQVGQVRAFGMLAGGSGITPMFQVARAILENPTDRTKVHLIYANVTHEDILLKEELDSMAKNYPDRFKIYYVLNQPPEIWNGGVGFVSKDMIKTHCPAPAEDIQILRCGPPPMNKAMAAHLEELGYSKEMQFQF >Et_2B_020478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20638214:20638980:1 gene:Et_2B_020478 transcript:Et_2B_020478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLRLYPPAPLLVPHESFMDSVVSGFHILKGTMLLVNTVVIHRDPELWDRPTDFIPERLGVDPVDMAEGSGLTMPKVVPLEAFYEPRASMIDLLSKI >Et_1A_007936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39637942:39641332:1 gene:Et_1A_007936 transcript:Et_1A_007936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAASPPMLQEAPDAGSEEEDPKEVEAWFPSDSEPEPDQHQPPPALEPSPKSEPEQHHLAPPLPQTGAEALPAERRGENARPRWPGWPGASVFRLVVPADKVRRLIGRRGVTIKRLCNETRARVRVLDAAHGAAHQIVLVSATEEIGAEISPAMNATIKIFKHINENEGIDSDGTVSASALKFCSVRLLVPSVQAVHLIGKQGSIIELIQETTGATIRIKDTDELLSCKLWMNELWIYVVHNALKAVLELLQKFLVDHGVLHLFERQHQAVTQAQDTYIKNQVIDDYPLPMNEEFLLSDERSQNERALSFSDSYSSDISHTTDSIITKVPLDYAPIQHCGFQVTQTMQIPLACAEEIIGVRGQNIEYIRSVSGAIVILEENRHCSSEVLVTIKGSASQVQKAQQLVQVIPVLHFLSPLALFK >Et_9A_061059.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:12990148:12990255:-1 gene:Et_9A_061059 transcript:Et_9A_061059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALACDFELGDNLVLDVLTYCILKLVVDDLTAVE >Et_3A_026721.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:17107097:17108869:1 gene:Et_3A_026721 transcript:Et_3A_026721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPLARQVVAGRWFMSFSSMLILSVSGATLIFGMYSKELKSSLGYDQRTLNTLAFFKDLGYNVGILAGLVNEVTPPWFGLAVGAALNLGGYLMIYLAVEGRTPRPPVWLMCLYICVGTNSQSFTNTCALVTAVKNFPESRGVVLGLLKSFTGISGAIFAQVYLAVYGGDNARSLVLLIAWLPVVVSALFGRAVRIMPYRSTSTSAKTTNQGFYKFLYISLALAAYLLVMIVVQKQVHFSHPAYAASAAGLLLLLFLPLVVVVKQEYRILKEREEETPTAVTVEEPPQAALQISTAMEEKSGSSSCLRNMFTPPARGEDYTILQALVSVDMLLLFLATICGAGATLTAIDNMGQIGQSLGYPSNTVNTFVSLISIWNYAGRVASGFASEALLTRYSFPRPLGVTAVLLLSCAGHLLIAFGVPNSLYAASMLTGFCFGALWPLVYAIISEVFGLKYYSTLYNFGTAASPIGAYLLNVLVAGRLYDAEAARQHGGTLAGADKTCVGVDCFKRSFLIITGATLVGVLVSLVLVWRTRSFYKGDIYARFRGIKVDGEPRTKEEAGVLVEESTTRDEVPVQDTKGRGGAQVHAA >Et_10A_000703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15807932:15813189:1 gene:Et_10A_000703 transcript:Et_10A_000703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTRACLVALVVVLAFLFLQSAAAGTAAEQRRQQVQSLLRRLNKPPVASIESPDGDIIDCVHISKQPAFDHPFLKNHTVQTRPSHLGGPSDGSNVASYPITQTWHQNGKCPENTIPIRRTNEEDVLRASSVSRYGKKSPKSIPKPILVHDPDATVTKGHQHAVASSSQDTYYGTKMTINLWHPMIETAQDFSLAQLWISAGSYTNNDLNTIEAGWHVDPALYGGDENTRFFIYWTSDAYQNTGCYNLICSGFIQTNNQIAIGGSISPYSSYDGSQYEFDILIWKDPKEGNWWLQFGSDLVGYWPSSLFPLLADSASSVMWGGEVFSSNTGQTSTQMGSGHFPEEGFRKAGYIRNIQVVDSSNTLKSPSGLGLIAESPKCYNVQEGTGDNNWGTYIYYGGPGRNANCPLELFSDAAGAVRALAKFLLSLVASSCSPTVKSATAWMLILSQPDMGAIPLSPAMDPNPEKGAAEEQVAVVGDEEIQQRRLKKNRWLHLEPI >Et_3A_023565.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:4666079:4666381:1 gene:Et_3A_023565 transcript:Et_3A_023565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRQILLVAAVALWSGASVASADTFLPPAPPGTASPFPFCPTRPAGVSTMPFPWSPPPPPMTIYPQDPGFFPSGVCSVSRGAAWLPLAAVLSAFFILL >Et_4B_039507.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:19655169:19655459:-1 gene:Et_4B_039507 transcript:Et_4B_039507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPNGDVGMDAVVTNVASALAGAVTSPFGEADRAAAPLGAARKACPGAYPGHAGEQLLVDEATGASYNANGARGRKYLLPALFDPDTSSCNTLV >Et_2B_019989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1621716:1629601:1 gene:Et_2B_019989 transcript:Et_2B_019989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSELLYLEPSSWFILRDLINVVFCLCFPQPLRLEIKRKFAQRSERVKILSSLYSGSAMVKSFEVSELPVRSAKFISRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTTKIWSLGSPDPNFTLDGHQKGVNCVDYFTGGDRPYLITGSDDSTAKVWDYQTKSCVQTLEGHTHNISAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVAYMKGSRRMVIGYDEGTIMIKMGREVPVASMDTSGKIIWAKHNEIQTVNIKTVSAGFEMTDGERLPLAVKELGSCDLYPQNLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSEGEYAIRESTSRIKIFNKSFQEKKTVRPTFSAERIFGGLLLAMCSSDFICFYDWAECRVIRRIDVNVKNVYWADSGDLVAIASDTSFYILKYNRDVVASYLEGGNPVDEEGVEDAFELLHEVNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDVERANEILPSIPKAQYNSVAHFLESRGMLEEALEIATDADYKFDLAVQLGKLEVAKAIAIEAQSESKWKQLGELAMSTGKLEMAEECLLQANDLSGLLLLYSSLGDAEGIEKLASLAKGHGKNNVAFLCLFMLGKLEDCLQLLVDSNRIPEAALMARSYLPSKVSEIVAIWRNDLSKVNPKAAESLADPAEYPNLFEDWQVALNVEQSVASQRGIYPPAHEYLNHAEKSDATLVEAFKRMQVIEDEEPVDAVDEDGEPVDAVDEDGEPALGENKTEENVDEAVPVDVDEPDETVLVNGNDGEEQWGTKNEGTPSA >Et_3A_026994.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2903347:2903955:-1 gene:Et_3A_026994 transcript:Et_3A_026994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGAVASALFAAFSLPCLVLLLVLGEAGLRVAALALRPRGGAGRGWPTRSALLGYRIARPSASGSYHHASYSPFRDDDEPPLPPECCDRLAVAVYRGAAAECCAFCLSAVRDGDEVRDLRCRHVFHRACIDAWLVRPRATCPLCRDRLLPADPPTSGCGAEEDDEYFVDDDLPLPPPSSSSVHAGAASAYASHGGALWHT >Et_8B_060027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5124572:5124902:-1 gene:Et_8B_060027 transcript:Et_8B_060027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLQAEVVLQQEREHVCYNCYRAFFTLAEAIKESAPPPPPRIPPNLFDVMKEIPVFDEGDLAHYYAYLCENPSLANAFMGLTLDNKMVWVARYIKQHLS >Et_7B_053781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12090088:12091858:-1 gene:Et_7B_053781 transcript:Et_7B_053781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAVAPPAPRPLRSPCLRPARAARRGPEPGPPRRHMATAVRCEPSRRPEARPLHRAVAAEGGGGAGAGGRRKRLAVFVSGGGSNFRSIHEAALGGAVHGDVVALVTDKPGCGGTEHARSNGIPVIVFPKSKSAPEGVSVSELLDTLRGYGVDFVILAGYLKLIPAELVREYPRSILNIHPSLLPAFGGKGYYGLKVHKAVIASGARYSGPTVHFVDEHYDTGKTLAQRVVPVLADDTPESLAARVLQEEHQVYVEAVAALCDDRIVWREDGVPLIKSRTNPEEYT >Et_10B_002433.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19966249:19966656:-1 gene:Et_10B_002433 transcript:Et_10B_002433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNSSKERTPSPLRSNLRIMAVHSSMASSCDPSRLSIRLRLAGVMHSPSAAAYNPNASRRQALLPSSSAPSLYAASLKNSSRSSRPSAKMAAASSSLRAMDMQPRSSEAETLPSPSLSKAANKDDATMPTIPYF >Et_8A_057133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21414782:21415179:-1 gene:Et_8A_057133 transcript:Et_8A_057133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLILAILCNHILKPWNEDTLLWSEPVNFIAHNPFAFFIVEETTGAIVFAGHVLDPSKDV >Et_10A_001009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20118687:20120951:1 gene:Et_10A_001009 transcript:Et_10A_001009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNRVVNDPFIHSLGKWDVMQQHSTFSFCKVESGRVQTVDFITDDHNYELIIDASRRAGDSCNRIAGTAGCINDELIVVIICDEVNSPDPTALDLAETGCGVLLYHSPLAKALDKWIAKLKSRNTCFNPLDWDEAMNSFHSIIVILLLICAIGQLQYSMDGGLSKWAVRVPHTVSSRSRALELSSSRTITTISSSSMHLAVLLRLDHSCRLFHNNYYSYISRAGKFSMEMAIRCNYANTQLEPSNPDRTVYVKAG >Et_4B_040079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9098425:9102941:-1 gene:Et_4B_040079 transcript:Et_4B_040079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKRALERRQMSLIDFPEEILLEILLLLPPKSILRCRAVCKALRRLATDHAFLLAHHHRQPAQRLVSFVRDVNHDLEILDYCVEALDFRTHEFRSVVRFTGEDYSCVVDEIPFVLHAVCDGLLLMSYQEILYICNPATRHWALVSPPTLPSDMVVGFYAHVPSGDYRVLYCKRYKLKSNKFFISDVGSNNQRHIISGLSSAPMRKWLPRGSAAEDIEGPTVSHGNLHWLPHTGRQRNILVFDTTNEIFRLLLAPVTIELAASLLEIDGMLAMSNSHVGESYVDLWLLQDYKLAVWVRKYRIELPVIEFRRFEEDDDCWSAQVVSREGDVLVDGFETVDWQFNYDRKERNPVFKSSQK >Et_10A_000825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17971845:17977901:1 gene:Et_10A_000825 transcript:Et_10A_000825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLEGADQIAAGWMAMDAERPGELHRSGSASRLNAQAPEFVPRGPPQPPPVAVVPPPPVIRVFAAPPPPPRAAFFAAPPPPPQFEYYAPVGGRGGFAAKEPKPVPQPEPEVEQPPPAAKAEPLVEGLADEVVHKITKQVEYYFSDINLATTEHLMRFITKDSEGYVPISVIAGFKKVKTLVHNNSMLAAVLRTSSKLIVSEDGKRVKRQEPFTESDLQALQSRIIVAENLPGDPSYQNLKKIFSSVGSVISIRTCYPQTPNGTGPATNRSAKLDMLFANKLHAFVEYETPEDAEKAILELNDEKNWRNGLRVRLLNTCTTKGAGKGKKGVPETDENGEDVSTSNQSTEKQFEESSQLLDVLPEHLFDDNFTEKEVPRRGKGRGRGGRGRGRGYQQYNNNQYHQNHQHYNHHSGNNHGSNRGGGHNHHVGTPPNNQPIKPEQHQQLPIGATKQPPGPRMPDGTRGFAMGRGKPEVMLPGLCAVGEP >Et_4B_037823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23792060:23795936:-1 gene:Et_4B_037823 transcript:Et_4B_037823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSALLTSAGINIGVCILFLSLYSILRKQPHNYGVYFGRRRAEERFQKQDDYFSFERLLPTAGWIVKAYWCTEEEIRQVAGLDSVVFLRLFIFSIRIFSITCLICILGVLPVNYHGQEMSHKNIPADSLNVFTIANVKEGSRMLWVHCVALYVITLSACILLYHEYNYISRKRLAHITGSSPNPGHFSVLVRSIPKSDNELLDDTIRNFFVNYHGSSYLSHQMIYRKGKLQKFVDNAEKAYRKFVRVKLSVFDRNGRSVSRCGLCGVRASSFQLYRNKFIEAKKSDLSNPEVVEAQKECPGAIVFFKTRYAAIVASQILQSSNPMLWVTKLAPEPRDLYWSNLWIPYRQIWLRKIATLAASVVFMFVFIIPVAFVQSMMQLEQLQKMFPSLKGMIKKSFCARVITGYLPSVVLLLSLYTVPPLMMLFSSIEGSISRSGRKRSACCKILFFTIWNVFFVNVLSGSVLNQLNVFTRPKDMPSMLAELVPKQATFFITYVLTSGWASLCSEILQVYNLVYNFFSKFICCCHQDPEYVYSFPYHTEVPKVLLFNLLGFTFSIMAPLILPFLLVYFCLGYLVYRNQILNVYYPKYEMGGKLWPIMHNTTVFSLVLTQIIALGVFTIKHSPVATGFTILLLVGTVLFNEYCRHRFARIFDAYSAQDLIELDRDDEQSGRMQEIHEHLLDAYSQSSPIDGSRSFANAQVPNEMIMEDPAQEASESSQELCDTVQEVSESIQEHAVEDKSHSV >Et_7A_052467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8301458:8311455:-1 gene:Et_7A_052467 transcript:Et_7A_052467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKKAARLARSLADTAPCPALSGGVYVFFAAVVAGAFVSACWMSASARIPSLTPLATSPTAQNATPPRPEAAPRLLPRLTSPAPECPAYFRWIHEDLRPWRATGITRDAVEGAARRYAPRFRVTVVDGRLYVARYGRCFQTRAAFTQWGVLQLLRRYPGRVPDLDLMFDCQDLPVVVRAGDGEPPPLFRYCGSEATLDIAFPDWSFWGWPELNIKPWEALRREIKEGNARVNWTDRAPYAYWKGNPAVGAERMDLLRCNVSSKRDWNARIYAQDWGKEVQAGFKESDLSRQCTHRYKIYVEGRGWSVSEKYILACDSVALMVRPRFHDFFSRGLEPLRHYWPVRDRGKCRSIKFAVDWGNAHADRAQEIGGNASRFIQEDLTMDRVYDYMFHLLTEYAKLLRYKPAVPRGAGEVTVASMTRGRRGLQRKFMMDTLENGSSGGGGPCTLQQPFSPEELEALRRARADVVKQVEETSAAFLFLSVVAVGAIASARWMTATTALQGRLTRLPATAGTAAGAAAASALHHGTQHPQQPRPLANHRPRVHLLRILLLLLLPHPPPTIHRRLLFQQCDLRPWRDAGGITRAMLDRAGLTATFRLVVLDGRAYVQRFRPAFQTRDLFTIWGVLQLLRRYPGRVPDLDLMFDCVDWPVVRTHLYHGDFAKIMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALLDDLKDGNNRVKWLDREPYAYWKGNPSVSVTRQELVKCNISSTQDWNARIYNQDWFKEGKAGFKDSDLSNQCAHRYKIYIEGSAWSISEKYILACDSMTLLVTPKYYDFFSRSLMPIQHYWPVRDENKCNSIKYAVDWGNSHKKLAQRIGKQASNFIQEELSMDHVYDYMLHLLTEYAKLLKFKPTRPAEAVELCSESLACQAEGIEKKFLMESMVKSSSDAGPCDLPPPFGPHDLKMLKRRKENSIKQIEMKTIQASLFHYSNAFFALPSGYRFAWLPHDGVLLRDLSSQITYGTM >Et_7A_051640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21934976:21935471:-1 gene:Et_7A_051640 transcript:Et_7A_051640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEQPHLAPKLTFLRFFLLEPSTYIKHVAISEKERSSGRFDRNNTEITETGLEQLRNSPFACGNHPVKSNTSQQVKRSDPRVVLTETKQKYQNGSRTAPFGPSAPKLTFLRFFAQEPSSYIKHIATSEKERSPGRFDRNNTEITETGP >Et_2B_020770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23451071:23454031:-1 gene:Et_2B_020770 transcript:Et_2B_020770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPITYSVRVSSETHKIEAWLVSDEALARQLQEEENTHDSAVTREFAGNVSLEPSSPATEYRPAHNAAQVREDNVDPDNMSYEQLQALGETVGSQSRGLSDELICYLVPFKHKCNFFSRKKNTEECVICKTTYKSRQKLIRLPCSHCYHADCITRWLKINKACPVCNEEVQQSVWMKLFGSV >Et_10B_002410.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18568523:18569608:1 gene:Et_10B_002410 transcript:Et_10B_002410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVPADKGTQIVPELLLFRSCKWSVSRPRVTNNGDGTELDLAPLSWLSRTVVPVGDRLLCWSDQFHGVLFSDVFDENPTLRYVPFPIKSPYRFRLCATTATVGGGDTLKLVAKFPRCCCGDDGASQCPTSLHAYTVKTWMMRMDDMAWVMDGMVDSTEIWALDAYKGLPRVELGDPVVSLDDPNTITFALCEEHHEEEHGADSTVRMLMIDTRRKTISSSIFRYPPERQYIRSHYPIPSRVSSNLNSSYPSRATSSSSKIDAAVNSSEQSFCNLSLEPMHHVSEEVSLEKKVLMALEEIPGLARDEMLKAFSILVYDSGRRFRSLLELPKNLRKDWLLIEIKASEACSTCLACNKDVQHA >Et_1A_005470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10683863:10686929:-1 gene:Et_1A_005470 transcript:Et_1A_005470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQSSMSGAGEVGVRTVVWFRRDLRVEDNPALAAAARKAGEVVPAYVWAPEEDGPYFPGRVSRWWLSQSLKHLDASLRRLGASRLITRRSADAVVALLDIVRSTGATHLFFNHLYDPLSLVRDHRVKELLTAEGITVQSFNSDLLYEPWEVLDDDGCPFTMFAPFWNRCLCMPDPAAPLLPPKRINSGDLSKCPSDELVFEDESERGSNALLARAWSPGWQNADKALTAFLNGPLMDYSINRKKADSTSTSLLSPYLHFGELSVRKVFHQVRMKQLMWSNEGNHAGEESCTLFLRSIGLREYSRYLTFNHPCSHEKPLLSHLRFFPWVVNEAYFKVWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQFEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPGSVLQAAGVELGSNYPRPIIELDAANARLQDALSEMWELEAASRAAIENGMEEGLGDSSEVPPIGFPQELQMEVDREPVQNAIQTPMMNGRRREDQMVPSMTSSLVRAETELTADFGNNSEDSRPEVPSNVHLQPQTEREETVDNGAGNAVRMNGIHQQYNFQNTLHRMPGAMPTTSEASSSWTGREGGVVPVWSPPVASGQSDPYAADEADISSRSYLDRHPQSHTMMNWSQLSQSLTTGWNVDN >Et_4B_038538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29739204:29739976:1 gene:Et_4B_038538 transcript:Et_4B_038538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPERSLGSSLPVPNVQELAARRVDLLTPQVLHRYLRDDQVVSSAVNGDDDATCNSVVDLGRLLLDDPELADEDWGFFQVVNHGVPCEVVDDGILPASEKQEPGGLEGYGQAFVVSEEQKLDWSDMYFLFTQPPRVPRLQLLAFFPAVNSGNNSVVCPQAHDKVLGLSPHSDAVGLTLLLQAGGGTTAPGSPWSPDVTEVVTNGGRYRSIEPPGGARSACPSVAAFHTARFVRATGRDRARALQQA >Et_7A_050800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11135391:11138968:1 gene:Et_7A_050800 transcript:Et_7A_050800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTFQIDIRIFFPGLILASHPSFVYGPWSIQRGIGVRGEKRQSKPQLLIRFTFAATKGMDTRIRQSTATKGINKEEMWKIATNEVTGNNCTAIDNIWFPTIIANSSHRDGSIYKNRHYWESYDVDITDRNESRLEPMMLSEGTKRCLPDPENCVSHFTCNMMQIFSLTLVKSPSNKAPVQLYGYIAVRDDRDYMLNYVFKFSRDDPITVQQGSLIKMTGPKRGIAIVCPVLMEFDVRVKNGREEDDDLQLIDGVIVCSNHISLKPLKHRICGDCGAVDLSSAVIEDAVEATIEIVISEVQTGFSLSLSSFVAVIEEYEEIQLFQGRIGESCALRRYVVAVPLDTSMVIQFKVGDSNDEHHCYFMAERHGCASRQMKLGLAYASVKVALKMIIRRLAKSW >Et_5B_045110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9639996:9647644:-1 gene:Et_5B_045110 transcript:Et_5B_045110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSRNLRRSLASDRLRRLLLSPARGYVTDECHRPVVLHKRGPDILHDPWFNRGTAFSMTERDRLGLRGLLPPNVVSSQQQELDRFMLDLQRLQSYARDGPSDTLQLAKWRILNRLHDRNETMYYKVLMHNIEEYAPIVYTPTVGLVCQNYSGLYRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQQHRLEGDEYVSVIDEFMEAVFTRWPHVIVQFEDFQSKWAFRLLQRYRKNYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMLDFPKQKIVVAGAGSAGIGVVNAASRTMARMLGNNEVAFESARSQFWIVDAHGLITEDRADIDPDARPFARRKSELGHQGLNEGASLVEVVKKVKPDVILGLSAVGGLFSKEVLEALKDSSSSRPAIFAMSNPTKNAECTPGEAFSILGEQAIFASGSPFDDVDLGNGKIGHSNQGNNIIGLGTLLSGARVISDGMLQAAAERLASYMKEEEVQQGIIYPPISRIRDITKEVAAAVVREAVREDLAEGYREMDARELARLSEEETVAYVKLNMWSPVYPTIIYKND >Et_1B_014447.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:8964326:8964826:-1 gene:Et_1B_014447 transcript:Et_1B_014447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHSDSDSSPKSSSSSSSSTSARRRSSPQRARAHSDESGSSDGVLVELPAQVPASKPPLFYMLSLEERLLEASLAMQEARSTGADADGGVLVNMPADDATSGETFEDAPEDLAATGSRSARSLDESIAVIDYPEVSSVDAEYRKYKVLLPGHSPSGLISLVWFSA >Et_10B_002697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8636357:8636794:-1 gene:Et_10B_002697 transcript:Et_10B_002697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLESLDLSRNQLSGKIPATLSNVAFLSYLDLSYNNFTANPSMYIGNIGLCGHPLQNNCSSEGDGSKQGRLGRTEGPGIEFFYLGLRCGYVAGNWVAFGIPLFKRSWRIAHFKLINKLYNKVYVLVATRA >Et_2B_021330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28760420:28765419:1 gene:Et_2B_021330 transcript:Et_2B_021330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHNHMRERWQHLNTLIIMLAWLIWKHLSDNIQISPHMANDSLTRGQIIGDVLDPFTSSVPLTVMYEGRPVFDGMEFRSSAVSMKPRVEIGGDDFRVAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDDSFGRELITYESPSPTMGIHRIVLVLYQQMGRGTVFAPQVRQNFNLRNFARRFNLGKPVAAMYFNCQRQTGTGGRRFT >Et_1B_012199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29929902:29934769:1 gene:Et_1B_012199 transcript:Et_1B_012199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASTAAALLPRPVGGAPHLATSSASASSHRRRLLAVRCQSTSVDKQQEPKTKRSLIDNASNLLTNLLSGGGLGNMPVAEGAVTDLFGKPLFFSLYDWFLEHGSVYKLAFGPKSFVVVSDPIVARHILRENAFSYDKGVLAEILKPIMGKGLIPADLDTWKQRRKVITPAFHALFIEAMVRIFTKCSERTILKLEQLTESEDGEQKSAIVDLEAEFSNLALDIIGLGVFNYDFDSVTKESPVIKAVYGTLFEAEHRSTFYIPYWNLPLAKWIVPRQRKFHSDLKVINDCLDNLIKNAKQTRQEADVEKLQQRDYSSLKDVSLLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWSVFLLAQNPTKMRKAQAEVDSVVSNKAFTVEDLKKLEYIKSIIVESLRLYPQPPLLIRRSLRPDKLPGGYNGAKEGYEIPAGTDIFVSIYNLHRSPYFWDRPNEFEPERFSVQKKDEDIEGWSGFDPGRSPGAMYPNEIIADFAFLPFGGGPRKCVGDQFALLESTVALALLLQKFDVELRGSPDEVEMVTGATIHTKNGLWCRVRRRT >Et_10A_001079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20903889:20904358:-1 gene:Et_10A_001079 transcript:Et_10A_001079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEELAGKLELGQKFYDSGVSSQANTDSSHIYILMEYATTLQISNYLKLIYAVCAALQELHWLHQLSITGGWPSFPVEVFRQHVKELIAPEHRNLWIAHNIPSLLYLWIARRWLPSSTAAMHHPECSANTIWSFFRR >Et_3A_026655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12268634:12269867:-1 gene:Et_3A_026655 transcript:Et_3A_026655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTDVRELSSSSARDHFLPCLESLRIWRCECLAEVLNLPPSLMEVDIYTCNDLRVLSGQLDALKNLDIDSVPELRSLESCLGELPSLERLSLLQCEMLTSLPKGPQWYSTLRYLRIRECPDLLVRLVAVVYNHKVACRVFLRV >Et_4A_034647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5163015:5163878:1 gene:Et_4A_034647 transcript:Et_4A_034647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTIQNDAHKNHLLVLRGYGIYRCDGCGCVSAGPRSRCDIDLHKLFADSLQTAQFLLHWNYPIAAVGRRLSTAAAVAWGHIHGVAGENGGRGGASTSQFKGGVLTGELEFIHDGEMDIAVAVRGRGTGAGRKGERAGGGGTSLRNFVADQGSIPVGSLMARRRPPPDDAGRPTGVSPATVAASSLSGRRQSSGE >Et_1A_005856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14761201:14763232:-1 gene:Et_1A_005856 transcript:Et_1A_005856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAYARSSKLPGGGGERKLPPRLMRGLTSKIEPKKLGVGLLAGCCLALLTYVSLAKLFAIYSPVFASTANTSALLQNTPPASTKPTVPETEAIPLQETLAGDGARNGGDLPDAGSEEPGLPEAATRKDTAGTVAEGSEEPGLPEAFTRKDDAAAAEPKPSAKEEEKKQSNGGAAGESKMTCDENGVDEGFPYARPTVCELSGDIRISPKQKTVHLVNPVAGSLGESGEKRLRPYARKDDFLLPGVVEVTVKSAPSADAAPKCTKHHRVPAVVFSNAGYTDNFFHDMADALIPLFLTASHLKGEVQLLVTNYKPWWVQKYTPLLRKLSNYDVINFDEDAGVHCFPSGFVGMYRDRDLILSPHPTRNPRNYTMVDFNRFVRGALALPRDAPAVLGEEPGMRPRMLIISRAGTRKLLNLDEVAAMATELGFNVTVAEPGADVPAFAAMVNSADALLGVHGAGLTNQIFLPVGAVVVQVVPWGKMDWQATNFYGTPAKGMKLRYLEYYVGEEETSLKDKYPREHLVFKDPEALHKQGWQALAQTIMKQDVSVDLAKFRPVLLQALDKLQE >Et_8B_059433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17943764:17945983:1 gene:Et_8B_059433 transcript:Et_8B_059433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRCSSVANYGVLPRDMLYDILLLLPADVLCRLRLVCKSWRSLTSDSVFIKAHTSRHPLLVATGHSSGEIHVVDLSGNIVKKIRPSQLMSGRLFDSLGSRDHNTQLDLVGFEAPNSFAVLNLATGTVAANVLIERWGREYPHVPTSFVGNVPSTREYKVLRIHHQSFSHYGRGHAQACEVIALGGKGKGARTWRLRCNGSIVVDTRSGHAAVVGGVAYFLVDLIACPSVRPDSIASFNFATEAWRPELLPGPLSSLNLSIDDDTAVHNGTRTLRLAALGGYMVTVDWNLRNRLTDLWFLENMDKSLWTKRYSIRDPLHWDLWHFNSVPLVILGDGRIIVWAEVYRVLRAYDPQKGTWDDLSERKDYNAMSMLRGSLLCIHRTVDRYSFEK >Et_8A_057173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2277954:2280553:1 gene:Et_8A_057173 transcript:Et_8A_057173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDGTTTESMTGSRVNEFENHIVAQDMEPEDVYKIIKDIMASQAQIIIHGEDREDISFEIPKRVERILNDICCMIGCKRRSLNVVSSQRGSVVGDISMRMNGWVVECSEVALISNDTIKISEIMVNKNATEMKIYSRCRDRIYVSTSSENEVSYVAALHYCHGMRDPFGIKTLTVYARGSIKTAQENFNLAIPDIQWLGISTQDYEVFGLVFKCQNSVALSLKEIKRLCSLMNIFDYLQQPFLRLRITEHERPPFAVAAVADAVPEESRSPKRCTD >Et_6A_046249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11006849:11008493:1 gene:Et_6A_046249 transcript:Et_6A_046249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQLPLPLPLLLGSLAVSVAMWFILFHRGGGDAMRNRLLPPGPRGWPVLGNLLQLGAKPHRTLTSLALEYGPLFRLRFGKTEVVVAASAAVASQILRVHDVNFRNRPPNSGAEHLAYNYQDLVFAPYGARWRALRKLCAVHLFSAKALRDIRHIREDEVALMVRGLARSGAQAVALSQAVNTCAANTLAKAIFGRQLIAIDGGEGSRVFKEMVHELMQLAGVFNIGEFVPLLSWLDPQGVVRKMKRLHRRYDDMMNEIIKKRKEEEDGKDLLSVLLTRMREQLPLFDGADAMITETEIKALLLDLLTAGTDTTTITVEWAMAELIRHPDFLKQAQQEADDVIGHDRLVSESDLPQLTYLTAVIKETFRLHPPTPLSLPRVAAEECEVGGFRIPGGVTLLVNVWAIGRDPGVWTEPLEFKPGRFLPGGSHAGVDLRGSDFELIPFGGGRRICAGLSWGLHMVTLIMATLVHSFNWNLPGGLAVDRLNMEEAYGLTQERAVPLMVNPETRLLPSAYMEWSRNGF >Et_6A_045907.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:5020424:5020766:1 gene:Et_6A_045907 transcript:Et_6A_045907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGKVVTLCLLVFAILSPQRVSATYPTITGTQKREILWDCHSYIRLDPPPPRSPLKKDSSCCESVRQVPNMNMHCVIAMLSDEEKKNYSQRRILKLKEHCAPAPPP >Et_5A_041641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25305849:25307483:-1 gene:Et_5A_041641 transcript:Et_5A_041641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELLLLVLICWVLPAGAYTYVSELWRKKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDRARRLGYKAKQGYVVYRIRVRRGGRKRPVPKGIVYGKPKHQGVTQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEVILVDVHHNAIRNDPRINWLCNPVHKHRELRGLTSAGKKFRGLRGKGTTHHKNRPSRRATWKRNQTLSLRRYR >Et_3A_025129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27174991:27176043:1 gene:Et_3A_025129 transcript:Et_3A_025129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRRGKRGRTSPTPPAKRLRGAPPEEPVHAPVPAPAGAAPPKPALVMVAGLPPGCGVMALKSQLEAYGPIARARVDAAAATGYVTFRSGAAAVAAIDASLDPDGGITIGSKKVLVVQASEEPNRSKNAVRAADSAGHHATTKNQVDVAMLSSKAAPEVTYKAREMVAYDDLF >Et_1B_011610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24082773:24085399:1 gene:Et_1B_011610 transcript:Et_1B_011610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQCVPSWDLDDPAAAAAGGALHNNPVSSAAHHHGGAVGAFVAPVVYYEVAELTWEKGNISSHGLLNRPPAKYAPPPATSSSSQLHAINGVGGGNSHGGGGDRETLEAVVGEAAARSAHHHFPQPPSLLPNPAAPWRLGVAARDAQVPCAEAEEDEAALRRKRARVVEDGLQLVCASQGSAAPGQFTLQDAACGTGPDDVCGFTTTTTYNSTSLDRDDVNNKAGSPDTENTSIGGGANGLCDEGENVVINGDPATRSSISTKRSRAAAIHNESERKRRDRINQKMKTLQKLVPNSSKVSRSRLVPFR >Et_4A_035942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9069659:9070736:1 gene:Et_4A_035942 transcript:Et_4A_035942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPHCRKSSALAAVALACSVVLLHAASQPAASALGVGLARRHRRDATAGSGLAGCDVFSGSWVRDDDGGAAAAAAYTGYNCPVIDAEFNCQLYGRPDSEYLRYRWKPAGCELPRFDGADFLTRLKGKTVMFVGDSLGRNQWESLICLLHAAAPQSPAQLVSGDPLYTYKFLEYELTVSFYRAPYLVDIDVVQGKRVLMLDDISENAEAWRDADVLSFNSGHWWTHTGAAMQGWDYMGASGRYYDDMDRTVAFQRGLTTWANWVDLNVDPAKTRVFFQSMSPTHYR >Et_8B_058725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18981955:18982323:1 gene:Et_8B_058725 transcript:Et_8B_058725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSFVPCGCRAGPIDDAPPAAAADHYAGGDAGAAARRRRRRRTAGAGAVGEEQWRPALRDICELQEYGADAAKVGKASSWDVPSARGDEYRKLQSSSSMPAFAPTAYLF >Et_8A_058179.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:2355537:2355965:1 gene:Et_8A_058179 transcript:Et_8A_058179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRSLPFTMAIRSLSDDMVAEIIILKLPMKSVARSKCVAKNWHATISEDYRLQRRLPLQTSRSGVSGVRGISANTSGAFGEEDDSREATNLDRCDAIERFLDTQGGSLFEIATAQSLFEIASASGVAGTALRRGSPERRR >Et_1B_014423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8292257:8293383:1 gene:Et_1B_014423 transcript:Et_1B_014423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKFRIWTYREGEPPLAHLGPSADIYSIEGQFLEEMEDPRNRFAARHPGEAHAFLLPVSVCNLVKYVYSFNRTAKLAPLRRHVADYVSVVAGRYPYWNRSRGADHVIVSCHDWAPLVSKASRELYGNAIRVLCNANTSEGFNPRKDATLPEVNLADGLLRRPTPGLPPENRTTLAFFAGGVHGAIRKALLGHWLGRNDPDMDVHEYLLPAAVSGPQQQQGHADDYHARMARARFCLCPSGFEVASPRVVESVFAGCVPVIISEGYPPPFGDVLDWSKMSVSVPAARIPELKDILRGVSERRYRVLRARVLQAQRHFVLHRPAQRFDMIHMVLHSIWLRRLNVRLPY >Et_3A_025857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33424360:33424589:1 gene:Et_3A_025857 transcript:Et_3A_025857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRQGVASMLAVALVLGAFAAIPTVCTHMLGAEEFGIARQSISATRHIYIYIYIYIYTHTH >Et_1B_011983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27810342:27813686:1 gene:Et_1B_011983 transcript:Et_1B_011983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLTSFCFVYVAGNGTALVLFLSPVPTFWRIWKKKTVEQYSPIPYVATLLNCLMWVLYGLPLVHPHSMLVITINGTGTVIELTYITLFLLYSAGATRRKVLLLLAGEVAFVGAVAAMVLTLAHTHDRRSMVVGILCVLFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLVNGICWTAYALIKFDLYITIPNGLGVLFAVAQLILYAIYYKSTQQIMEARKRKADQIAMTGVVVDGKPAATTTTTTNGNGAAAAAGGHY >Et_2B_022025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8128416:8131537:-1 gene:Et_2B_022025 transcript:Et_2B_022025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNITCGSLLQKLQLVWDEVGESEEDRDRVLYELDQECLDVYKRKVDQATNSRDLLIQALDDSKIELARLLSALGEKAVARTPEKTSGTIKQQLAAIAPTLEQLTKKKNERKREFINVQSQIDQICGEIAGTTEVGEQVATPQVNEDDLTLERLEDFRSQLQELEKEKSNRLEKVLEYVSMVHDLCTVLGMDFLSTVTEVHPSLDDSIDDNCKSISNDTLSKLDKTVATLNEDKKLRLSKLQELAGQLYDLWDLMDAPKEERRMFDHVTCNRSASVDEVTAPGALALDLIEQAEVEVQRLDHLKYSKMKEIAFKKQTELEDIYAGAHIVIDTAAAHEKILALIEAGNVEPSELIADMDGQIAKAKEEALSRKDILDKVERWMSACEEESWLEDYNRDDNRYNSSRGAHLNLKRAEKARILVNKIPALVETLVAKTRAWEENRGESFMYDGVPLLAMLDEYVMLRQEREEEKKRMREQKRYMEQQLHTDHEGPFGSRVTVSPNRPVSSKKVLGPKSNGSASNGTPPNRRLSVSGIQNGGHSVKSGGKDGKKDAAKTASPVNANAVASPVNANAAAAVKEDAASHISGTDP >Et_7A_050779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1109507:1112376:1 gene:Et_7A_050779 transcript:Et_7A_050779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEATSSGEEGAGAWTREQEKAFENAVATMAEDDDEGDARWEKIAELVEGKTADEVRRHYELLVEDVDGIEAGRVPLPTYAGDASAEEGGGGGGGGGGGGSGKKGSGGGGGHGEKGSAKSAEQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRERRRSSIHDITSVTNGDASAAQGPITGTNGQAANPGKSSKQSPQTANTPPGVDAYGTTIGQPVGGPLVSAVGTPVTLPVPAPPHMAYGMHAPVPGAVVPGAPVNIAPMPYPMPPPSSHG >Et_2A_017222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32105602:32111773:1 gene:Et_2A_017222 transcript:Et_2A_017222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKMEEELASELPPTVLADPMEHYSALHSPVDGGGDDYPYDYDYDEDEEEEDDEETDDEGDGYGARRSGEPPLKKGPWTPEEDKRLRDYVRANGEGNWNQVRINAGLNRCGKSCRLRWSNHLRPDLKKGPFDDDEVEKILRMHMTWGNKWAKMAALLPGRTDNEIKNYWNTRLKRHQRAGLPIYPEYLVSQASNQDMNFETPEELCGAKRPNESSQGSVLEIHDLMYDYFDHETFMKLMSNGDLPSSSLVDSNGLPVDAVNPLKRRISTDSIASDYNGSLPFLQIPDESENAGSSMSFNYGMTENQLAPLGAAIISGHPIFDGNPSTSWTTLRPVKMELPSVQYSNYGHTNAWVCDGPSGSPIEQANTLESPGSLKSESISPQNAGLDAIVHMGDDPVRSQGIFEVSVPPFSYNQVPQPVAYAMRHSFSSAPGDDEIDGCPLGVIHSKSPSSSDAIFAEGNCYPDIFTGKIFSWPNVGAVDASVEAGVFNELFSKDQSIYNSHIDGDSCEEAEQSNAGRGPFSWKSMPELKNSLVATTIVQVVNQACCEKLEVLAPQPLVLRFRLLLLPAACWSLDCAAVLIDDMLLCDWIALFSIS >Et_3A_025392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29407126:29408262:-1 gene:Et_3A_025392 transcript:Et_3A_025392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQAKSGLFVGINKGHVVTKRELPPRPSDRKGKATKRVTFVRGLIREVAGFAPYEKRITELLKVGKDKRALKRAKKKREEMAGVLRKMRCVNSPCHLYIY >Et_7A_052193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5498632:5499545:1 gene:Et_7A_052193 transcript:Et_7A_052193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVNTCNGVVLLAGPTKPPTTCVLWNPAVADDEKTVTIPAVGTSHYEGQIWPYESPGDFRISILGLGYSRRTQSYKLLLLLWQKPRAIQRSADPIVAKSKELLVYTLGTAMEQPRRAALFSRGMHRVTFCSLYIKGTIYVLFDESEILAFNVDDEKVTKIDIPGSNSLWFLHGVSCELIQMSDRPCLVKNDRSKTTLWLLTEDHQEEKSDYALCWGYKPTLVSPGSIMGELCQDAERRRTGTVDMTEVSEQDKKDWQTRTLNTIRFMESFIPFMEKMSKKSDAEYIARFRL >Et_7B_054154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16187812:16190470:1 gene:Et_7B_054154 transcript:Et_7B_054154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTSGDHVSASHKQLRVLLPFSPGSLRIPDELADDVGAGEALVVGPFAKVWPVEVGRDGDGAFLGHGWPEYAAACGVGGGWLLVLRHHGRGVLTVKAFDNSGCVRGLGAPIPHAVEATMSSNDAPRRPQFIGLLLPDSTEKMLIPPEFVQRYMPKENLDNGVAVVLGPLGKVWRIKVEINQSDVLFTDRWAQFLKFNGITKDNVLLLRYEGNMVFTVKVFEPNGCQRESKHKDIRIQQSIEKQQEAPSASIPKHHYVRRQCIYEIGPPSCVTKHINANTLKKHLALPTAFCDAIALRESCMITLKTSVNSAQYWPVHGVSCKNSSYLLENSLKEGDACTFNVVETINTDNIPTQKLNPSASGGKRKSKNMWPVTERSKKPKGSLNSLKKASKKRCAYNIGPPLG >Et_2B_022851.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6795657:6796055:1 gene:Et_2B_022851 transcript:Et_2B_022851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSPPVQLRHVAMLPFMAKGHAMPLIHLSRLLLRRGLAEAVTFFATPRDAPFIRAGAEGAAVVELPFRPSGDGGPHSTEELAPGSSFLDVVDVAAALRPAFADALARLEPRPDLLVHDGFLPWGGGRR >Et_10B_003986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:958479:965622:1 gene:Et_10B_003986 transcript:Et_10B_003986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIDRSDDCELDPLFSYPQIPSVFPPPTSHEGAAGISMVHGSSPAPRVGLARPPPAAPPVAAAQAGTGGGRPESAAGRPGNGTPPLVTTNGQPAGAQAARPQPSAEQRPLRCASLKRPLPPKKRDEQLRDPMGEASPAIAKDVTELIGNTPLVYLNKVTDGCYARVAAKLESMEPCSSVKDRIGYSMITDAEEKGLITPGKSVLIEPTSGNTGIGLAFMAAAKGYRLMLTMPASMSMERRIILKAFGAELVLTDPLLGMKGAVQKAEEIAAKTPNSYILQQFENPANPKIHYETTGPEIWKATAGKVDGLVSGIGTGGTITGAGRYLKEQNPDVKLYGVEPVESAVLSGGKPGPHKIQGIGAGFIPGVLAVDLIDETVQVSSDESIEMAKALALKEGLLVGISSGAAAAAAIKLAQRPENEGKLFVVVFPSFGERYLSSVLFQSIKKEAESMVVES >Et_1B_014250.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:4367177:4368001:1 gene:Et_1B_014250 transcript:Et_1B_014250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFQSIPGLAGRLFGGAGASDLRRAAHQAQQGGCGGGGVSPAPEAGVKCPRCESTNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKAKRSSPSSSSSAPSTPTSNEAHKNPRRSASASSPRSTNSGSASPTTATATATATPSSNSVHVTSHFSTVDVVAPPAPIFADQAAALASLFAPPPPPPLPVFSFAAAQPCKDEGSVLLAAGQLDEAAASTSGDMAPFTSLDAGIFDEQLGDASAAAAYWNAGSCWTDVQDPTVYLP >Et_2B_019701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12579788:12582661:-1 gene:Et_2B_019701 transcript:Et_2B_019701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSGAGSTGTVVVKKNKIRGLVVTGAFVGMLEVTSTIIIVVLLFLLWTNADRGVASIFSYLLCLLSLLLYICLGTVLAVSVTVSAVDEDCHSIWALRRVWWLMRARRKEAALLVLIVSLLPAIVYPAPVYTFSFVIWGWAAAHPAHPLDSPLPDRLVPYYVHGESVWLLGVVSGSGLPCVRAQLFSMVAATVFCCQSKQGTPDGAFSPADDGVLNGVSASYCNDFQVEFRLNSAHSLSRY >Et_8A_057277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23046677:23051921:1 gene:Et_8A_057277 transcript:Et_8A_057277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVETSADHAAPRAEEQGSGSDAGEREMRDLEDLLSKLNPMAEEFVPPSLASPVAAAGAAAAAGAGFAAPAPLSPAAFGYYPANGGFAVPSPAGHRGVVGFPAAGDGPAGRGGRKKGGAGFGGQGHPGRRRNNSRTTMAQRDEVIRRTVYVSDIDHQVTEENLAALFINCGQVVDCRMCGDPNSVLRFAFIEFTDEEGARAALNLSGTVLGYYPVRVLPSKTAIAPVNPTFLPRSDDEREMCARTIYCTNIDKKVTQADLKLFFESICGEVFRLRLLGDYQHSTRIAFVEFVMAESATAALNCSGVILGSLPIRVSPSKTPVRPRAPRQLMH >Et_6B_049784.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:11683802:11685484:-1 gene:Et_6B_049784 transcript:Et_6B_049784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPESGECAGDQPCSVADCLRLLEQVPAAAASAPAFRRHWPSVSASLATLSTALSSPAFPPAAPLLAPLAAALSALLSVAADAGRLGHLHTVSLLSSSAASLAQLAADARLLSAPGSAAAGGGGVGGGGGDGVEGVISRLRLGSAASRAAALEDLAAAVGTLPASSAAAAVSAVAALLDSGDLLPASRERAVSLLAAFASSDPARPFLAQESSAVVPHLCRALESGAAAEHACAALLPLTAASRDAAAAVAARGGVSALLSACAAGTPAAQAAAAGVLRNLAAFPDLLPYFRDEGHDAVHSVLLQLVSLGTPRARELALGCLQNLTAGDGGDEAQRMRVDAFQDGALACVRDFLDAATPGDEPALAPALGLLRNMATFRYVAEIAASAGFVALVAAALAGSDRSATRAEAAMALAEFCPVVGGSGKARRELGDAVVPRLVWMLEAKSAAERDAAARALAALLASGSGSGGHYRKLFRKDERGVVNAVQLLDPTAAAGVDRRFPVAVLLSVAQSRRCRKQMVAAGACGFVQSLVDAEVDGAKKLAECLGKGKMLGVFPRT >Et_1B_011853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26545643:26547308:-1 gene:Et_1B_011853 transcript:Et_1B_011853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEQQIDYSHLPIRGLNLHVAQAGKGERGTVLFLHGFPEIWYSWRHQMLAAAAAGYRAIAPDWRGYGLSDQPPEAEEVSSDDLLDDLLGILDALSVSKAFLVAKDFGAVATYDFALRHPDRVCGVMCLGIPFTPFSVSFTNMPEGFYMSRWQEPGRAEADFGRYDIKRVVRTIYILFSSSEIPIAEKDKEITDLADLSTPLPESVDKRKTIEDPKFQVPVFVVMGQKDYVYKLPGFESVLKDGIMAMFAPDLKIAYIEDGSHFMQEQFPDKVNELLIGFLKDHPVPNAV >Et_3A_027379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9554592:9558838:-1 gene:Et_3A_027379 transcript:Et_3A_027379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPPDDAPAVENGHGHGHDNGNGNGPSPPSKRPRAVISAAEIRAEFAHHDAAVARINNGSFGCCPASVLAAQARWQNLFLAQPDAFYFNGLQPGLARSRAAVAALVGAGDVSEVSLVDNATTAAAIVLQHAAWSFAEGCFARGDAVLMLHYAYGAVKKSIHAYVARAGATVVEVPLPFPVVSADAIIAEFRAALDVAKAGGRRVRLAVIDHITSMPSVVIPVKELVAICRNEGVDRVFVDAAHSIGQVPVDVQDIGADFYTSNLHKWFFCPPAVAFLHTRKGDPVAAQLHHPVVSQEYGNGLPMESGWIGTRDYSAQLVVSEAIDFVNRFEGGIEGIRIRNHEKVIEMGRMLAEAWGTFLGSPPELCGSMVMVGMPGCLGVESDGDAMRVRTMLRKDFHVEVPIYYNSRRVEGQEMAKDKNGDPVTGYVRISHQIYNVKEDYERLRDAVNKLVSEGFTSSKLRPSEKQEALA >Et_3B_030858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8033626:8037354:1 gene:Et_3B_030858 transcript:Et_3B_030858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKVTRISEGPVKPASATPDETLPLAWVDRYPTHRGLVESMHIFRSGADAAPGVIRDALAKALVHFYPLAGRIAEGDEPGKPAIRCTADGVYFAEAEAACSLEDVRYLERPLLLPKEDLVPYPGDDRWGVEPHNTIMMMQITKFTCGGFVMGLRFNHASADGMGAAQFINAVGDMARGLPEPKVLPVWDREKFPNPKIKPGPLPELPVLALDYVVLDFPTSYIDDLKKQYKAHSGKFCSGFDVLTAKLWQCRTRALKLEPEAEVKLCFFASVRHLLKLDRGYYGNSIFPVKMSAPSAKVLSSSIMEVVDMIRQAKDRMAVEFFQFAKEETDQDPFQMTFNYESIYVSDWSKLGFSEVDYGFGPPVFAGPLVNNDFIASVVILKAPLPLDGTRMLASCVTKEHSEEFARGMMEDLP >Et_5A_041688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2611010:2613967:1 gene:Et_5A_041688 transcript:Et_5A_041688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVAARRLLPRWVAGGRCRQLATAAETSPGGEEAGGGDAIYVKKPAAAAAVSTRDETSVAMPVSFMTGSVVGKRFYGDATVRRADDGNGWTVMLDYRTLKSPAKRPLKLASRALAMAIAAEWEYQEADGIRPFTMPLMKLACTALERVPVTRTKVIDNLMKKFHQDLVFCRSPADSELTIGVHQKQKEKIDPILDWVNTEFGFKPVVYTTFFGGKQEEGLTKAVETVLKKTTDFELASIDAMAAAAHSLVIPLAIFRGRLGIEEAIELIRLEEDHQVDRWGLVEGGHDVDIADLKVQMSSAVVFLGLSRGIAVVDGTCNALAT >Et_2B_019680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12181472:12183653:-1 gene:Et_2B_019680 transcript:Et_2B_019680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKSSCSQTAQHGSPTNQFITTRNLQPEDLGAVIFGCTNNTFAECHARQLFGLPRAHLSYVQNIKKGLPLFLFNYDDRRLHGIYEAASDGKFCPLSNAWSCSGKEKTGYPAQVAMRLRLWCFPLAESQFRNAILTNYYQRMPEPIHEHVRKSTLPPLRAPEYEDKSANEENASSNYDCPNGFDDHGCGGALSKGGDCALSNKDGKVQQKQSQHDKKLNLELERPKELPPQQHSSEFCAKATATADIDDRYSCKDAQEVKCSIDLPENS >Et_3B_027388.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:10501724:10502020:-1 gene:Et_3B_027388 transcript:Et_3B_027388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYFSIPDGLPKTYCRRKTLSPIVVDPSSYGLLQLVNHIADHFLWDSKQYISLWRESEHDDDVRFPIKSDEQLLQWFELNLDKGVVHIIAEIDDLNVA >Et_1A_006053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17228211:17240284:1 gene:Et_1A_006053 transcript:Et_1A_006053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPSYVVTADDVPEMTLRDLHAATRSFSDATRIGEGSYAAVYLASLPGGLTVAAKRLGSPSPNRQKASDVAFLLWQASSASRLRHGNLVRLLGYHIAADLRVLLYEFAGAGTLHDVLHAPRGEPAGATSHGSPLPPTTLGLSWTQRVRIALDAARGLAYLHDASVTNVLLFEGYRAKIADYDLHKHLPERDVERNYCICTAQASQWYWAPEVCMTGKVSPKSDVFSFGVVLLELLTGIKPSDPRLKSMVHGQATPSVDPKLGKQYSLDKARKLGMIAMQCLQQQPASRPSMATVTREIELGIVPKDTARGEGCSGSALESGTSPADDPSGADAVVVTADDVPEMTLRDLHAATRSFSATALVGEGRYAAVLGSPSEDRRKASDAAFLLRQASAASTLRHDNLVRLLGYHIAADLRVLLYEFAAGGTLHDVLHGPRGELAGAASHGSPVPTMSWMQRARIALDAARGLAYLHGASVAHHDVRSTNVLLFEGFTAKIADYDLFKQLPARDVESDCCVCEPQDSVSYWAPEVLMTGQLTPESDVFSFGIVLLELLTGIKPSDPKLRSVINGRKTPRVDPKLGKQYPLTRAGKLVMIALQCLQQRPASRPSMATVARDIEFGVVREDTAGGEGCSGTSLESGTASG >Et_7B_055468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11273396:11275414:1 gene:Et_7B_055468 transcript:Et_7B_055468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTAGRPSPPVATILGRSLAQLHARILRLGLDNPHALLARFAAACDALGPPSVAAAARPSPPVATLLGRCRTTRCLAQLQARIIRLGLHNHHALLARFAAACDALACPSVAASFLAAVPESRAAPLSLHNAVLASLARHAPLHDALAEFNRLRRGASPDSFSFPSLLCACARVPCLPAGSALHAAAIRLGVDADLFVCTALIQFYGRCGAASTARELFDSIALPSEVSWTAIIVAYVNSGDITGARELFNGMTHRNMVHWNAMVDGYVKCGDLDGARRLFDEMPEKTAPAYTSLIGGYAKAGNVEAARSLFDKLEDRDVFSWSAMISGYAQNGYPGEALKIFDEFRDQGIHPDELLVVGLMSACSQIGSITLAGCIEDYIAKYSIDMNNVHILAGLVNMNAKCGNLERATVLFESMPVRDVFSYCSMMQGQCLHGSASKAVELFSRMLLEGLSPDDAVFTVVLTACSHAGLVEEGKMYFDMMKNVYSIVPSAEHYACLVSLLGRCGMLEDAYELIKSMPGKPHPGAWGALLGGCKLYGDIELGKIAAKKLFEIEPENAGNYVSLSNIYANTDRWGDVSEVRAEMPHWKPEEISILNEEEKTTCFALLSLKDHETNRKQDDIFKLNEYGHMVDAEQVLT >Et_3A_023614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:109609:111474:-1 gene:Et_3A_023614 transcript:Et_3A_023614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVAKLGTLALRTLSKPIASRLKTQAAVHPKFRDFIIAIAQMNHRTTTNIQRRIYGHATDVEIRPLDEQKAVQAATDLIGEAFIFSVAVAALIFEVQRSARSEARKEEARKQELEELKQREDSLAKELEDLKLKLDEIERLARGRGLSGILNLKGVHVAGGCKTATPA >Et_7B_054313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19887567:19897276:1 gene:Et_7B_054313 transcript:Et_7B_054313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRSSTVLRQGLPPLWINPRPQIQPSNQGPAVVPGFVNWEIHDFVIVCDLGSGNFGSVMKGIHRATGVRVAIKTLRDLSGFWQEAIMFSQCSGSIGVVQFFGVARDRNRNMMYIVMERNSSGNAIRAGHAHGRALSEDDVRVIMKSLLLGLKHMHEKGIIHRDLKPSNILIDSNGKYVEGKICDFGLAIYYDQAVATWSRTPRGTYGYMAPEVHKAKSSCTFESDMWSLGAVMYEVITGSPLIKGRDPAGMITCMRSFNEASTSLEVADGPQADPKWATHGALIRRQFSPQCLEVLHGLLKLDPSERLSAADALEMNCRIALLTCKPAKKFKQKKTIRSFTLFRQNLAPMQDDSGLQLQFPNEGPVVPGIANWDIRDFLIVCDIGSGSFGSVVKGIHRSTGVCVALKSLHDISLSRFWHEAIIAFQCSGSSGIVQFFGVAYDRNRNTLYMVMELGGTSLEDAIRTGHAHGKAPLEYDRLRVGKICDFGLVTYYDEAVTTWCGIPHGTYGYMAPEVYELKSSCSFKSDMWSLGAVMMPSYFGTRLYWHNNSYGTITRMRSLFGSPGKGADLETTAHDEPNPADEEKNPVQTTVDSSDEETKTHADREREIRHAIFHRRFSIQCSELMPDC >Et_5B_044454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2571523:2575371:-1 gene:Et_5B_044454 transcript:Et_5B_044454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGSLPEGSLFLGLDSSTQSLKATVLDNELTIVASEIVNFDSELPHYKTEGGVYRDPADDGHIYSPTIMWVEALELLLEKLKPKINFSKVVAVSGSGQQHGSVYWKKGSHALLSSLDPSKSLLSQLKDAFSTMDSPIWMDSSTTKQCREIENAVGGALELAKLTGSRKLGNLAPAYSVAGRVAPYFVQRFQFDKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITAEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREDVRNRCAEQSWDVFNSYLEKAPPLNGGKLGFYYKDHEILPPLPVGFHRYIIENFIDVSSDNLVEREVEEFDPPSEVRAIIEGQMLSMRGHAERFGMPNPPKRIIATGGASSNQSILKSIAQIFGCPVFTVQRPDSASLGAALRAAHGWLCNAEGSFVPISCLYEGNLEKTSLGSKLAVPAGEKDEDRELLKKYTLLMRKRMEIERRLVEKIGRA >Et_10B_004416.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:6666075:6666707:1 gene:Et_10B_004416 transcript:Et_10B_004416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSVVVETERKVRVAEVALRCLVCVLGALAAALVATDAQTRTFFTLQKKARFTDLKALVFLVAANAAAAGYSLLQLAARLICALSCAATTTAAASGAMAAAPPQLRRRRALAWSVFSCDQALAYVTLAAAAAALQASVVSKRGVPEFQWMEVCGLYGAFCRQAGGGIASAVAAGLAAVLLAALSAFNLFRLYGGKSSGTTTRGGGATW >Et_7A_050511.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:20853611:20853853:1 gene:Et_7A_050511 transcript:Et_7A_050511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVCAEGATVGAEKVPAGGNGMRVQFMLGDIARDAKVWTDPDDIRPERFLAGGEGEGVGLVPAGAKEIRMVPFGAGQRS >Et_3B_028980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21061459:21066647:1 gene:Et_3B_028980 transcript:Et_3B_028980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRKLIQVQLRGVTNEELDSLSRCVYPNPLCGLSYLRFFGKKKTAKANHGSSEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTKEHYQFFLYQMLRALKYIHTANVFHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYSPAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRIRNEKARRYLSSMRKKQPVPFSERFPKADPAALKLLQRLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQPITKMEFEFERRKVTKEDVKELIFREILEYHPQLLKDYINGTEKTNFLYPSAVDNFRRQFANLEENGGKSGAVIPSSDRKHVSLPRTTTVHSNPIPPNVTSQAPQRIPTGARPGRVVGPVIPFENSTAVDPYGQRRVARNPVLPPANSGLSAYTYHRKSDNSDRELQQELEKDRMHYQPAQRFMDAKIVPQMPPDLRSSYYVPKGVTKADVVERAALQSSMIPGIAPYNGIAAVGAGGGYNKVNAVQYGVSRMY >Et_8B_059696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20861293:20868842:1 gene:Et_8B_059696 transcript:Et_8B_059696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSDSPSNSKGICSQLSSRPAAAGGGKGRGRRRSSCEGACDSCLPSLPQAMCPDMDQQQQHSIGEAASEVSDGDNNHRSPHDAEHSGQNEAGVRTKYSNNLSTYDSFSSYTSLLKHGGISRRPSSSSIDDRSIKSGDDSDGAENTNGRSSSSTEVSDSIWIPPEAADKEDETESFARSIAYDDDDDDYSDGIKWGQSSFPATADEHQANTNIARLEREKAMLEAMNGQLKILVSRFLASAGVPSSKGEGSDDWLDIVTALSWEAALLIKPDASVGKEMDPGSYIKVKCIASGNRRQSEVIKGLVFKKNTAHKHMPTTCHNPRLLLLQGVLGHSDVGLSSFNSMDQEKDLLERAISKMMEICSPNVIMVEKTVSRNIQELLLKEGVSLILDMKLHRMQRIARCTGSPIISFSDVLDRPKLKQCDYFHIEKFIEEHNSTSEGGKMPSKTLMFLEGFPRPLGCTILLRGANSEELKKIKQVMHFTVFAAYHLILETSFFEDQRVFLNDKDVSKENSTTPTEGPSTIAHDVAATGGAIPGFPSHDESPALRLYHATSNGYADVTKSLRSPGSVDAPSSITSSSANELGDGAGIQYDSRPPQNGERLTPPVKELRKLFADMLCHQNIYLPVTSLQEANDNQNEGKVESGQEKVSNGVHVGPKVEEPAVSSENGECSNDLQKQEITQELMPPDSSTCDKNEESPVIVENGEHNTNVIIKDKHVEGDQADDALDSHSILILMSSQCITKQAICEQSHLSRIKYYGNFDVSLGRYLQDILQNQNLSCSSCEEPPEAHVYSYTHRNGNLTVLVKRLARQYHLPGESEGKIWMWTRCMRCDQEHGISKSTPRVLISAEARNLSFGKFLELSFSSHSAARRLGSKVAMFRYSSVEIYSTCKPQPTLHFFNPIRQDWFEGQWRHVHARGMVLFSEVGSLLQNLKKERPDAITLASSCGLSLPVKDFSELEQLLMKEKTNFEDSLSKPIDQSGKPSSSVQELLNINWSYQDLLLELYIWDRRLQQLFSCKSSGLESVANSKNPTDKTATLLLDESQEHSELSCNGGSKDGEPSIDPSQINIDNTAQTPNVCFELSNDTELQGNVVVADPIPMEQDPWITPRYPYWDEKERWIWNSISESQLAYRNDIQVGYLEKFELINHYSPSYLSPLFQHHEEVNSPQFAVGPGSNILCVLEDEISSIIARALAISDERRHLVDSIVQNGKENSRGDHAKIMEKNYSFVSEGSFSSSPWSSIGSLDSEASLSSLASFSSDDLSGYDSSSLLSSIHPETTVNGKVTLKGKYSVTSIYANQFYALRKKCCPSELAYIASLSRCKKWDAQGGKSKAFFAKTMDDRFIIKQIKKTEFESFIKFAPEYFKHVYHSLDTGSQTCLAKILGIYQVKQIRHGKEVKIDLMVMENLLFGHNISRIYDLKGAVFSRHVADSADCDTVYLDQNYVEDMRVSPIYIGGRTKHLLQRAIWNDTSFLTAVNVMDYSLLVGVDKQNHELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNVSPTVISPREYKKRFRKFMAKYFLTVPDNWTPDNPSKASQAVGHSDHKLAEVSNGDSLLQHPIEAEAWQFGIAAVPDTSLHLIEVH >Et_3A_024559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2242541:2246625:-1 gene:Et_3A_024559 transcript:Et_3A_024559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPDHEGEGSAAAKGRRRRRGVRRRRPREEDAGEETKRKTVASPPPPAVDAEVGDLIRKQIREMLAKHAEDKRLADENSNARYRSMSGKDVLTVESRFGNIHDGSIGSLKARKVAMMISQSIVSVSSFSGGKRIRVCSGFVMSWNRNTERPLIVTSATLVRSLNGDNVMVSDLMVKVLVPNRTIIVGSISLVDFHYNIAVIEVPSDLKIPVVSLANDIAVEGDVMALGRSYEAGDIMCSRGTIMYRSSTFGFLDLLVSSCNISMAGVGGPLVDYNGHVLGINFYEKNQTPFLSMAIVSRILEHHQRFGKTILPWLGLKYVALETVSLRVLERIYQKFPDVDKGLYISNVAVGSPADMAGLCSGDVLVKCGGKDLSTTPQFVSMLLDTCKQHAETHGWENTGDYARENIDVEVVVKQDRDGTTMSKTISAALLKEFHYNRHDLVAKRTLTGHVMDIGSIEKSRAALREDTRSLNYNPR >Et_2B_020692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22833881:22839329:1 gene:Et_2B_020692 transcript:Et_2B_020692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAAAAAEAVARIRLVRCPKCDKFLPELPAYSIYVCGGCGATLQANKKSSDSSSHDSDNRNVKYLEVLECVPGASETMPGTSTADRLETNKLSDVHSKSIYNHHDNMQKGATAANRNTTVRDDGREVKYRHFRDWENREMGRSLRVRDISPRSPIDAIPPSAYHGEGLVDYHSKLRYNYSNREHTEERTFDGPSRVRGLEKDRADILRMLDELRDQVKQSCDVTDEASGSAPTSRAADAPSSYGNCDRLSHLSRDASQLHRNGSHHSPSLNMHSPSISHVYAPLPAQKDFLGYAETVGHARPSSHHARLYPWRNFDNYFCGQHDPDPLFSCHHDGFCHQGAFSCLHCYHREFSPVQGNPLGVNEQRAPYFMNSHSAYYVDSPFFGQQRYHSRGIDNNLQRSHPRATVSKKPSQTCDPIAGGAPFTICYNCYEVLQLPKTQALSGKEYKLRCGSCSHAIVVKLDGSRLDISELAVSTHLSAGQQNCTGYSIETNDRATADEKSVPAYCFSVESHESPEKDLHPNLSESENNHSPQETNSDDTSQSRDLHPETNEVSHVPSLPRHVHGGFSPSDGSGVGSRSTHSEPEKVILLTESCKQNSVRDVCVTNEMQSPYNESDDPECAKDALNVLQDAGHTRATKAGDSFLTNLIKKSFKMNNGTRNGRAKVFVNGFPISDRAVRKAEKLAGAICPGDYWYDYRAGFWGVMGRPCLGMIPPYIPEFNYPMPKNCAGGNTGVFINGRELHQKDLDLLVARGLADSPGRSYIVENSGKVSDEGTGEELYGLGKLAPTLELLSWLPWLAQSFSLVTISFAASKNRLSSSGAATSTARSTVLSGRFRGGALQQHDVDVHLHA >Et_3A_023293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18503749:18504822:1 gene:Et_3A_023293 transcript:Et_3A_023293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVIDLGGLNGGEEERARTMAQLHEACKDWGFFWVENHGVDAALMDEVKRFVYGHYEEHLEPKFRASDLAKKLEHAASAGDDASSFADQHRPRNTAADFPEAEIPPAARATLDAYIAQIVALAELLADCASRNLGLPAAHLRDAFASPFVGTKFAMYPPCPRPDLVDGLRAHTDAGGIILLLQDDAVGGLEFLRAGRDWVPVGPTRGGRLFVNLGDQVEVISGGAYPSVVHRVAAGDRGRRLSVATFYNPGADAVIAPAREEATAYPGPYRFGDYLHYYQGTKFGDKAARFQAVKKLFG >Et_10B_003317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18786331:18786652:-1 gene:Et_10B_003317 transcript:Et_10B_003317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEKILTADNLYRCGWDHNPIGRPQTPPNKLLFLAAGPNISVFGLLMQALLPPEPTRKRFQLGWKGHQLAGLQSRQRRSFSCIQGPSSCSLLVKEEID >Et_1A_006572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24588037:24591881:-1 gene:Et_1A_006572 transcript:Et_1A_006572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALMAPAAGVPGEECRINALPTDVLLRALSHLDALQVVQTCVLSRRWRDLWRSVPRISATHKAFKGTADTMEECDALFKTFVNRFLMLRNPIALHEFNLGYYVQDEDDASKDYRAESEDANLWIGHTLQCNARSIHVSVADVRLPLDSSLFTSNFLNSLELTSVVLFRGFFANLQIGCTVLEHLTLSECYIEDAEICSQTLKVFTIGSGCEFSFSERPTISIQSLVHFGYDLCIPIPLLLPNMESLQTASVSVNGTDVTLVDHIYQVLCRLSGVTNLDFHYIGKTVYILFYSEGIVLTKLYLAHDFCLHSISHPNPLNSGQLKMEKNVQWCPKFNNLTILSLGEWCLYENFYALIIFLQNSPNLVKLTLELPEVHASCVWIQKKDSTFLGDLEERSFTCKHLKIVEIICSEYSEDGPMFHSLEKLLTDNGITSGQTGIIHRTGPSSDFVCIKNRNQIPERHLFDETPM >Et_1A_006112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1825798:1829236:1 gene:Et_1A_006112 transcript:Et_1A_006112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEDEAVAIERQLEEQLEEQRSSLTAVDEALAADPSNADLLEVHEELLAAIKDAEEGLLHLKRSRLVKQLDDIFPNQEATFQSPEAAIEPLDPNDVEPEPLESHGFSVGSKCRFRHKDGRWYNGCIVGLEGPSDARISFLTPTSENMSMCKFFLQQRCRFGSNCRMSHGLVVPMSALKRFIPTRWQQSLVGSSILAVSGHDSVLWRRAELESWDDDLKLGQVVFLDDGSSARLPSDSLSISKYADMTDEDDEGSSSEEESEISDDGEKEDASSHQGLGLSESTNFSGIQTDTVIFAKWEHHTRGVASKMMAKMGYREGMGLGLSGQGMLDPIPVKVLPPKQSLDHALATDEADGDTGSGKKRSRGGKRKREKKFAELARAAKAEEAERSVFSFINSHLVSQDVPEGSAPKVRKGQSGVANGHAKKEDRRSLVAYDEEIKELRIRVEKLEEMKNRNRKDKAVYEAASRKLQETRKALADAEATHASATNAVSSKEKEKKWLKF >Et_4A_031878.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2586219:2588203:-1 gene:Et_4A_031878 transcript:Et_4A_031878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQLNVLVSLDQAKTQLYHFKAIVIAGMGFFTDAYDLFCIALVTKLLGRLYYTDPSKPDPGSLPPNVSAAVTGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSIASGLSFGHTPKGVIGTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILFGTVVTLVVSAAFRNAYPAPSYYLNPVGSLPPQADYMWRIILMFGTIPAALTYYWRMKMPETARYTALVARNAKQAAADMSSVLQKEIHVDEQVERQVAAAETWGLFSTQFLQRHGLHLLATTSTWFLLDIAFYSQNLFQKDIFTKVGWIPPARTMNAIEEVFRIARAQALIALCGTVPGYWFTVAFIDIVGRFAIQVMGFLMMTVFMLALAVPYEHWTHPAHHTGFVVMYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQDPKKPDHGYSPGIGIRNALFLLAGTNFLGMLMSLFVPEAKGKSLEEVSKDNIGTEEA >Et_10B_003253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18197377:18200105:-1 gene:Et_10B_003253 transcript:Et_10B_003253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPRAPPGARACRSHHCGRRSGWCWCGTGRARGTRGAASVDFRHLRVLTPNGEFHAQTSCLMLLSDYFGACFTSPLPPHLGRGDVLIPGSDRREIDLYSFQERYGALHQQWQKNAANFSIDGQLGTTQCGSYGIALRTAGKRFLAHTGQSVLVVAHNAVNQALVATYLGLGAEYFRILLQSNCGANVLDFILAPRLEEALQVSVFTALIRHSQKTAELLLDLKVNSILCSPQVAAVDATTVICEVQEAADCLGADCVPCYVEMKKLLELVDDAFQFQMKQKFSLVDWAAWSTENWSCYGLSPRMHELALICWCPDLPMECRRFIRTMAVSTDQKENALSDAQITQLILEDGQYSLHDPQKLMKNSNFSEALHSQAEALHYVGHCCHEAALLLDIPYSHDVKWLSVGGSNMGPINEMRRG >Et_1A_008532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8431118:8435174:-1 gene:Et_1A_008532 transcript:Et_1A_008532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPWGGGGGEEEEGVSEGSPAVAGLRHRHAGKGADEGGDGEGANGGEPASVERVFADKEVPSWREQLTLRALLVSFFLAVMFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRMWTAAVERMGFLRQPFTRQENTVIQTCVVSAYGIAFSGGFGSYLFGMSEKIAMQATEAKDANNIKDPHLGWMIGFLFLVSFIGLFALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKYFVFSFFWGFFQWFYTAGDECGFKNFPTLGLEAYNNRFFFDFSPTYVGVGMICPYIVNVSVLLGGILSWGVMWPLIAKKSGSWYSADLPDTSLHGLQAYRVFISIALILGDDNGSTMPTSEAVSFDDERRTEVFLKDQIPKSVAYGGYVIVAAISIGTLPQIFPQLKWYYILVAYVVAPVLAFCNAYGSGLTDWSLASTYGKLAIFVFGAWAGLAHGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFISQVIGTGMGCVIAPCIFWLFYKAFGDIGESGTEYPAPYAIVYRNMAILGVDGFQSLPKNCLTLCYIFFAVAIVINLIRDVTPHKVSRFIPLPMAMAIPFYIGTYFAIDMFLGSAILFVWERINKAKADAFGPAVASGLICGDGIWTLPQSILALAKVQPPICMKFLSRATNTKVDSFLKG >Et_2B_019312.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2352299:2352433:1 gene:Et_2B_019312 transcript:Et_2B_019312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRWEVLSSGDGRRRLEEIGAKVKDKIKQMHQEATALPSTGIG >Et_4A_035624.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31342864:31343502:-1 gene:Et_4A_035624 transcript:Et_4A_035624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLFVLFLCVSLHVLPSANAARLPPGSSPIVETCKTGPYPESCVSELGQRLLDIQTTLASVSDKSATIAGAPGQVDPKALVGVALEAAAEAGSVAASVFEGKLPGFNTSMPDFQKCLANCTVTMKGAMKKIHGASAAIKAGANDIAKTLASRAIGDVSSCTLSCKELNGDVRLILQQSLVEFQKMLQIAVAFISKLKPKPGPPPPVPPMA >Et_3B_027662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11358357:11359316:1 gene:Et_3B_027662 transcript:Et_3B_027662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGFVATSYVSLLLANWTNIWLAIFPIIAVGFILALCYELRHQRSNQPDDGEGNASSSGGVQGGQNEIKFLLFFSSMLGALTLMLKQLALTAGAPPGIAPASVLLRKASLVVLLVTVHAFSAELLGENVMLFILPEILPVILWFSISLNRRDSSYITADKIRAQRSVITVLGAAVADEFVLSWCTKAMVSCGVSGLLVYHVVFILCQWPELDSTASSFVEAAFDLLKLWAYVLLNAAAGLLAFALVGVVRLGLHKQLLAQPVKIFSDYMSRYPGS >Et_6B_049533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6080486:6090298:-1 gene:Et_6B_049533 transcript:Et_6B_049533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLAILALMVHVLLLADAKPRGFRATMIRREKTINFTRAARQSSARLSILAARLDSAAAGGPSTETPLRADDRGAYDMEISIGTPPQKLSCTPPGTPYDPTQSSSFSKMPCSDRLCVALGSQATCSSGGTECDYRYAYGSGDHATQGYMGTETFTIGSDAAQGVGFGCTTASNGNYGTGSGLVGLGRGPLSLVSQLGVGAFSYCLVHDTSMASPLLFGSLATFPRGSSNPGPSTIVNLRSITIGSSTTPGVGANGVVFDSGTTLTYLTEPAYTAAKTAILSQTSLPRVDDIGGYEACFQGSDNDISDAVPAMVLHFDGADMELPVANYFRQVQNGVVCWVVQRSFNRLSLVGNIMQMNFHIRHDLDQQLLSFQPANCDSLPRGFRATMIRREKTINFTQAAQQSYARLSMLAARLDAATGATSTQTPLRWDGGAYDMVVSIGTLLQKLTALADTGSDLVWAKCGPCKSCTPQGSPSYDPTK >Et_4A_035479.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:26187498:26187782:1 gene:Et_4A_035479 transcript:Et_4A_035479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYVEMLDMGVRIAARFHSHCPQTARMYYKPPQTSSSPSASDKAAGAGGFGFDSAASILRPFAASTAGEEFGAGGRPGFHEFDTAQVLAYEVV >Et_7B_053367.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:13712510:13712833:-1 gene:Et_7B_053367 transcript:Et_7B_053367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLSLALVAVLVLAPLMMSSTPGVLAIRMPAVLVVDDTSDLVGLPAVQHRPRKLAEGGNAAAAASLDATKKPATAGSPSSPSTVFDPDRMSKRRVRRGSDPIHNKC >Et_6A_047991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:323673:324945:1 gene:Et_6A_047991 transcript:Et_6A_047991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNSPSTRATEPYEFIILPGGDRFDIPEGEDKQEWIQFFDEASKAAREVIARYGDGRPADGIYRACILPKSTHRNGSIYSVAGGWHKDYRISDTTESQDDPVMLELGSLIEMIGPKRCIGMRGAVLIEYDMKIKKGEQEDDLQLIDGVSDFDELTTPVYKPFLSRIDGAGGAVDITLAMFHEAVEATIQVDTSQVNVGSALRETVSSPCADNTREQEEEIQLFRGGISQLPGRFVVAVVLGTWMHLKFKFGDERGSRTNEFEWCASF >Et_8A_057418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2897098:2901272:1 gene:Et_8A_057418 transcript:Et_8A_057418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERRRFQNLRSVRWRVDLGILPASPEASVEELRRATADSRRRYVSLRRRLLVDPHLPKEEDRSSSLVVDNPLSQNPDSSWGRFFRGAELEKTVDQDLSRLYPEDGSYFQTPTCQAMLRRILLMWCLQHPEYGYRQGMHELLAPLVYVLQVDIDKLSEVRKLHEDCFNDDFDAVPFPDTDMVFSYKSRNDSKWSAGVDTDNGSVRDSKVNTLDELDLDTKDIILLSDAYGAEGELGIVLSERFMEHDAYSMFDGLMDGGNGVVRMAEFFSASKVGSSSSLPPVIEASSSLFHLLSIVEPSLHNHFIELDVEPQYFALRWLRVLFGREFCLNDLLVVWDEVFAYSNNMLLRNDEEYSFRILCSYRGAFIAAMAVSMLLHLRSSLLATEINTSCLQRLLNFPNNVDVQKLIEKAKSLQSIALDANTSSPSFLLKKVNCEYDRVHSNLATSTPPRTPMHPLSESYWEEQWRNLHKDGTGPKEIEKGNSFSTSLKKSLSQRLGLSRTESDPSPVKVFRVKSDTKNPVRRCLLNTLSDEVIRSKEVAGKIQQDDFPIVSTQKEPLLSSEGPSQLKAAAEDLSVPAEPADVSETQTAETCIAEAYSSGENSPVFYAARAGDEHENNHDSHSDRSSITSNSCAGDNDRDEIPQDETSSCYCEDKTISEAASSDKNSEPVGPSERTAVSNERKPFISKLQWLLKLGRPSGEGNTDKGTGETSGDKHDVAPVPSPSDVISDNSRSSINLAPGDKKVVGTLKNIGQNMLENIQKAVESMKITVFGCNVQVIESAFQQDRGQPSPMENFSNNILGGKGQVTAMAALTELRKISNLLREM >Et_10B_003970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8698397:8698780:1 gene:Et_10B_003970 transcript:Et_10B_003970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAALSGALGTRIPRHKGQISQSTKLTCPIRCLVLESSHGKEGVGTPGASSLNIVIDNMKHPTLIDQFYCIQPDPSITSICSAVKQHSVQEHQGHCCHEVQGCDQASASLSLAEMLSCKTLILR >Et_9B_066102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3773328:3774023:-1 gene:Et_9B_066102 transcript:Et_9B_066102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARILHGSLVGANIAARLLRDNFDTQFWCKVLAYLKGIIQKYVSKFGEHPCDVLNQSGAVNFVRMASASEEITIRKKKTTGAKRKRSVDSSLAAASKHDYNLRGE >Et_2B_019074.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29787373:29789692:-1 gene:Et_2B_019074 transcript:Et_2B_019074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTSDCVLLHGDAFRGNILHWNKTTARTTTRRGLTIEVSLSCPERPLLPTILFVSVPAVDFTNDPPRIVRAVEDLILLRIPEGRFDKYDYYIYRAGGKKGPSLKLLPFPPQAFVDEDVGLLSHGEGDYTIAALLSTDKFGVYDLHRFDSVTENWRMDKVSLVEPQVSFPDKIPSKSDRLLFHLTSTVIPIGGSKGGTMGWVDLWRGILLCDVLSREPKLRGVPLPFPVELMSGNNGQGTDLGCPKSLRGITFIDKPGMEPCLKFVHLWHDAVPIPRDPADDSNEEETELPEWEMRDWTVTIWSNENLTASWKDWDKKCVVKASNISISSKLNSKMLKSGLLSPGGAEPKRTLRNLFVFFPAFGIDDGVVYLQARVKFPHPRVFVLALDTQNEKLLGAAEFATERISGASVMYFPSKISKYIDPRARVIPIPKGTSLSPNCYILCNSSKSCSFLPPIISR >Et_9B_065414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4887561:4889149:1 gene:Et_9B_065414 transcript:Et_9B_065414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPSGSRAAAASAGDEAFTDATAEDGGESKLSALLFDVSQQVQSALQNMLKMTSEIDRCDSEIEAEMERARETVAEKGRALEDDRERVQKAALAALDILSGASRGV >Et_4A_032945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1661435:1666453:1 gene:Et_4A_032945 transcript:Et_4A_032945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRRSNGERRLGEVTGRLKNHINRSRTSWPARHSVPVEQAQNIIWGAPLERNPRLPDSRARVRVPATCVRTTALRRACLRPAPRPCYDDSQTARRHFALSVACPPHPPPFRGLQSPGQSPYCLSLAFHRAPRRRGTFTKGSLSSSDVVFCACCQTRQYSTDNGGEGIGLLNGDSGSSSTSRPGLVNPPSRRCFDSRAVGIRQNDIPLHAWTILRRLQEKGFDAYLVGGCVRDLLLKRVPKDFDVVTTASLKEIKMMFKHCRIVGIRFPICQVKMGAFTVEVSNFNTNDTQVNESEEVDCLESSNSCIDTDILRGKNSMKRDFTINSLFLNPMTCRIYDYVNGVCTVIPAHESFKEDPARILRGLRIAARLGFKISSETSRAIQDLFSSIISLPKARLMMEMNCMLSYGSAESSVRLLRNYGLLDILLPLQAVYMSDRMKGGTSDRGLMLMSAIVLLALLAFHNALITSPQDAQVVRAFAGLLQFGSWESTMNFLKQDVQARPSFVPETLNSSRTKLDNLMEKTSHLVSLINSSVETLTCLGTLQQSIARYSETSQFSGVVFASQTDGGRLLRIFEGLDSDLTSDDRRRGVSGPRRIDYKSLKDGDPDEVRFVLGKVIIDTMNEALPCASTDDAAISRTPVTDLADRNDSPLSMLFQSY >Et_10B_004323.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:3880132:3881697:-1 gene:Et_10B_004323 transcript:Et_10B_004323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGATAAVADERPPSSPARRLTVLPLVALIFYDVSGGPFGIEDSVRAGGGALLPILGFLILPVLWSLPEALVTAELASAFPTNAGYVAWVSAAFGPVAAFLVGFTKWASGTLDNALYPVLFLDYLRSGLAPPLRSLAVVALTAALTYLNYRGLHLVGLSALALTAFSLSPFVALTALAVPKIRPSRWLAVDARAVDPRGFFNSMFWNLNYWDKASTLAGEVEEPRKTFPKAVFGAVGLVVGAYLVPLLAGTGALPAESAKEWTDGFFSEVGQRIGGPWLRVWIQAAAAMSNMGLFEAEMSSDSFQLLGMAEMGMIPAIFARRSVLKSLSTNRNKIQSLRNKNADPFVLIDDEPRRSKHGTPTFSILCSATGVVVLSFMSFQEIIEFLNFLYGLGMLVVFAAFVKLRVKDPDLPRPYRIPLGTAGAAVMCVSPVALITIVMCLASARTVVVSAAVAVAGVAFYYGVEHAKRREWVEFLAPVPQTESHHGSTATPDADDVEDVRAGLLADELAADDEDLSKVE >Et_3B_030883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8220191:8223169:-1 gene:Et_3B_030883 transcript:Et_3B_030883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVAMAEQVVADLREKCETPVSLLHDVAAAMAHEMGAGLEKEGASKVKMLLSYVDKLPTGGEEGFFYGLDLGGTNFRVLRVQLGGNEKHVINRESREVTIPPNLMSGSSSELFGFIAAELAKFVADEEKCTIPLNGKKRELGFTFSFPVKQRSVASGTLVKWTKAFSIDDAVGEDVVAELQTAMEKQGLDMHVAALINDAVGTLAGARYYDKDVVAGVIFGTGTNASYVEKANTIPKWEGELPSSGDMIYEKLTSGMYLGEIVRRVLLKMSLQSSIFGDIDHTKLKTHFLLRTPHISAMHHDETPDLQIVAEKLEDNLAITGTSLEARKLVVEICDIVARRAARLAAAGLAGILKKLGRDRNAQKQRSVIAIDGGLFEHYTKFRECLESTLGELVGQEAAKSVVVKHADDGSGIGAALIAASQSQYKSVE >Et_5B_043821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16544068:16544787:-1 gene:Et_5B_043821 transcript:Et_5B_043821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKDMNNQSVIVTKKLLGFYASFEGVNTLVDVGGGMEATLHPITSHYQHIQGINFDLCHMRAQEPAAALPENHAAPQSLLGCSRALLDILWIHTQ >Et_9B_064439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15185271:15187165:-1 gene:Et_9B_064439 transcript:Et_9B_064439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVVKFLFGIAGNVIALFLFLSPVPTFWRIIKRKSTEDFSGVPYNMTLLNCLLSAWYGLPFVSPNNILVSTINGAGSAIEIVYVVIFLVFASSRTTRLRMLGLASGVAAVFTMVVLVSLLALHGQGRKLFCGLAATICSICMYASPLSIMRLVVKTKSVEFMPFLLSLAVFLCGTSWFVYGLLGRDPFVAIPNGCGSFLGAVQLVLYAIYRNSGKAAGRDGKPKPEGGDADVEMPEAKSSTKVADGADV >Et_4B_040023.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7983624:7985057:-1 gene:Et_4B_040023 transcript:Et_4B_040023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIINEPTAAAIAYGLDKKAASTGEKNVLIFDLGGGTFDVSILTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFAQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYATITRARFEELNMDLFRKCMEPVEKCLRDAKLDKAQVHDIVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNQKVQDLLLLDVTPLSLGLETAGGVMTTLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELTGIPPAPRGVPQINVTFDIDANGILNVSAEDKTTGKKNKITITNDKGRLSKEEIERMVQEAEKYKAEDEEVKRKVEARNALENYAYNMRNTVRDEKIASKLPADDKKRIEDSVEDAIKWLDGNQLAEAEEFEDKMKELEGICNPIISKMYQGGAAGMDEDMPSGGGASNGGASGAGPKIEEVD >Et_10A_000513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11976148:11979020:-1 gene:Et_10A_000513 transcript:Et_10A_000513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LGNRYKECRPRRSSNCQTKVHLGRDVLKELEERRSSPSVIAKLMGIDVLPLPNVAHNRHQDFKDVFEVSEEPQETARRGRPHHFPKGLPSLKQSALKLKRLMPSKTVYRDDTHDCIVQSTDGLERLNSVEISNPLFEKRPHDMNFSPNYHYEKNRATVCRKYPVGLANSSLSDFRNLLREKVEDFNNIVVLEPSMERDHELENIFSIPYLSPVNKNCKRGTKHKKTVFPVVQDGRLLHHDLGNEYVDESRIRSERCLASDSIDQLSNGQEASFDHFSTIGVHSGSSHRYCTGNNNLRRSNKSPAKQRQQYQESASGSKTLAEMFALSDSERLKLNSDSHSAIRCNKFDQNIGHSKQGCFIVLPKHAPPLSLKSSLDRDACLEGPPKGRNNNSATSIRYNDGKFHMESFWDEPRLLNQIGNDSKDGLRNASNLKHLMSDNFSSPDCLNEKVLFTTDEDMVRQCAGSKASAFDLQLARKQKARRLQFQCREYESTSLSDQADGRKSCKGLKEVEQPSPVSILEPLTDEDSSGYFKHDLHEMTRKRRDIHQLHDEPEVSMSSDDEDHCDYQSLEAFQVEEDRDFSYLLDMLIISGIIVADWQLVCKSWYSPGCPVGPHVFDRLERKYNKLSTWAKPERRLLFDLVNSILSEVLAPCIDVHPWVPSRSQCAPHWGPEGPVEKVWQIIVRQREEFVVGHPDEMVLDPSWPDIGDDINMVGKQIARILHGDLLEEVIVECLSGL >Et_6B_049343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3464025:3471758:-1 gene:Et_6B_049343 transcript:Et_6B_049343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWRHTFRITTWSLRDEDYTWRREARLYEEEFWALDTKNQLRHVKPRFPVVNMENPDAVCFLLDDSRISCGSTDTTWMIEVDMKKKVLRAATICSDETSFFCHDTVKVARRLSLHSSFISSAFPRYLYDGGERPPAIIDRGFIVRQGILASRHQPPAVKAILKNNRGRREFNLRVLWSGSDTWMTFKNMHIRGANDGREFDWWCSDAVVPYRSRSLMGVIFADFTDPERKPDLRYVPLPVDPPEGNPYNIEEYGGRGHPELSRSLCVTRYGIKFVSVDLQRSTNFGVDHWKWTRTFRITIWSLSDDGVTWRRDARLYAEDLWALDSKNRFPPVAPEFPVVNIENPNAVCFIVDEERHIPGSSRGKVCMVEIDIKREVLLAGTDYSKERRLFNLDSVDSDSTSYSAARDDPTDYFVYKASGGSSGRASMSLLPVQYCEGRKTMPIRFPLQLILTKGRTGILSSSRKEEASFVVADLLWECPESQDYHNRGRREFHIHVLWSGADKWTVFKNLHIRGANGGRDLDWWCSDAVVPYHNSSLIWVDYYRGMIFADMADQENKPHLRYVPLPVDPVEGDPYNIEQYGGRGYPNRSRSLCVTRYGIKFVSVDNQRSSNFGVGQWKWTHTFRITIWSLHDDGVTWIKEARLYEEDLWALDPKNLFPHVMPDFPVVNMENPNAICFVVDEEQYRRRSSKRACMVEVDMKKEVLLAVSDYSKQRQLFDVDSVKFATAGFGALRDDDSDSNRDRAMSLSSVGEQICASVKLLEPPRMSILTVDLPPQQRPSTPSMTILSDVIVVAAHRDVVLFQVNPRFLSESDSAPYDHFVYKASCGASERPSLLLLPLQYYCGDQTCDGRPRQLLLTQDSTGIR >Et_2B_019712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12870792:12880143:-1 gene:Et_2B_019712 transcript:Et_2B_019712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGGDRSGGPSGGDRPGGRFQRGPSRWSSGGGGGGGGSPPNRYSSRGGGDGGGGGGRFHPYRGSSDYSGGGGGGGGGGGGGDDFGEQRNRYGSGGGGRGGGRGGFSDNDSKTSSVKLFVGSVPRTAVEDDVRPLFEEHGDVIEVALIKDRKTGEQQGCCFVKYATSEEAERAIRALHNQYTLPGAMGPIQVRYADGERERHGAIEHKLFVASLNRQATPKEIEEIFAPYGHVDDVYIMRDGMRQSRGCGFVKFSSRESAVAAMNALNGTYIMRGCEQPLVIRFADPKRPRPGESRGGPAFGGPGFSPRSDAALVIRPTANLDEPRGRHMPPESWRPSSPRSMVPNQYNNFGSDNSVALNGSSVTSSADNAAFRPPMFAGNGSFQSQTAVPTSSHMGINTPMVQGHHLAGQQIPSLQKPPGPPQNFPVQMQNAQQGQPMQGTVPHIGQLQVPPSSGPVSFGQNMPPLQLPGQPPVSQPLMQQNSSLGALQAPSSVQSNPMQTVPGQQQLPTSVASQMLQQQIPSQAPQLLLQQQAALQSSYQSSQQAIFQLQQQLQLMQQQSNLNQQQPGQVPNQQSGQPVQSSNNPGAPGAIIQTNINAMPQQVNSPAVSLSCNWTEHTSPEGFKYYYNSITRESKWEKPEEYVLYEQQQQKMLLLQQHQQKIAVQQLQSPPQGQSLPSMQSIQQLSQSQQGQPQMQMKQQVCA >Et_1A_007642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36761317:36769135:-1 gene:Et_1A_007642 transcript:Et_1A_007642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSRGVKSEQLDRRSLFLSPSAIGRIRAFSNDTRVSLGKQFNITKEISDEESMRDQSVSEVSDDEEYSKWPEEDHVCYHRDDVPHVCTIPLSSHRDGAIYRGNFHWRKEYHIADRNETRLEAMMLSDPSRHCVLNRGTCLTHEPRTMWQIFSLQLSKLHLDCGSVELYGYIAALDHLDPLLNYIINIRRDDPVIVQQGSLIEMTGPKRGIDLSRAIPIEYDMRIKKGGQEEDDLQLIDGASIINGIIISSEPLTQRIHGEYSAVDMNQMRLDDAVEATMEVVISEVQCSFDLCISCFTSGLHDEIRLFDGVIGESCGLRRHVVAVQMGTSVDLKFKIGSASDCSAEPEHCHSFKAAIHGCSSQTINMELASVSVKVYWSALNKIRYANIGSGEHCRSLKATNHGCSNQTINIELASILVKFATNHGRSCQTINMELASVLV >Et_4B_037085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14564449:14568355:1 gene:Et_4B_037085 transcript:Et_4B_037085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFQDQFEMHQLQRYSRPPTPREFWISAAACFSVALVGLWTLLYFFSLWRRKISLSWMKMIARSKRRNFERNHKVPTAEHVWSTESLIRTKGLKCCVCLESISPAQPLGQMMTSDNMVHRCNICGAAAHIICSSNSQKDCKCVSMFGSKHVVHQWTVLWTDVADQSEEGQYCCYCEEPCSESFLGGPPIYCCMWCQRLVHVDCQSAMATETGDICDLGPFRRLILSPLFVRTMSKPGGILSSITHGANEFASTVRGHLRSRSKRQKHHDRLPPNSAVGDTNDDSSSDTTLNSNQRAKDIKATGGKPRRHKNNLPGGAKLKYALSDVPADARPLLVFINKRSGAQRGDSLKHRLHFLLNPVQVFELSSSQGPEIGLFLFRKVPHFRILVCGGDGTVGWVLDAIDRQNYESPPPVAILPAGTGNDLSRVLSWGGGLGAVEKQGGLCTVLHDIDHAAVTILDRWKVTVEDKKSKNMLLVKHMNNYLGIGCDAKVALDIHNLREENPEKFYSQFLNKVLYAREGAKSIIDRTFVDLPWQVRLEVDGTEIEIPEDSEGVLVANIPSYMGGVDLWQNEDETHDNFDPQSIHDKMLEVVSITGTWHLGTLQVGLSRARRIAQGQSIKIQLFAPFPVQVDGEPWAQQPCTLQISHHGQAFMLRRAIEEPLGHAAAIVTDVLEHAESTQLITASQKRALLQEVALRLS >Et_2B_022726.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3887026:3889074:1 gene:Et_2B_022726 transcript:Et_2B_022726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSPHRLLLLLFAAVVAAAAQNTSDAEALMQLKKSFTNSSSLSSWLITDTDGSKSPCAAGSHEWHGVVCGGGVVTGLRLSGLDLGGTIDVDALASFPSLRSVSFARNNFSGPLPAFHQLTALKSMFLSDNAFSGAVPDAFFASLGHLKKLWLDGNNLSGPIPASVAQATSLLELHLDRNAFTGELPPAPPPALRSFNVSENDLEGVVPESFRKFDAARFAGNEYLCYVPTHVKPCKREDAPAKRVVLAFAAVLVSVVALAVLCACCGSGHRVRDFDSGLEDKAPPVYMVKQQTTHKRSTSWLARRAGSSLGLGHRRAASAKADDLGGSVGGGDLVMVNDCKGVFGMADLMKAAAEVIGSGGLGSAYKAVMASGVAVVVKRARDMNGAASRDAFDAQMRRLGAIRHANLLPPLAYHYRKDEKLLVYEYIPKGSLLYVLHGDRGMDYAALDWPARLKVAAGVARGAAFLHATLLASDEAPPHGNLKSANVLLAPDFEPLLVDFGFSGLVSPPHSSMLAYRAPPECAITAPTHKADVYCLGVVLLELLTGKFPSQYLHNNKGGTDIVMWATSAVADGFERDLFDPAVVSSAWKFAAPDMARLMRVAVDCVEADPDKRPDMKTAAARVEEVVAAVAERPLPEEEAGGDRGGSHATYVRDGSIQRVTSVGERSSRRGSSDYSYGIS >Et_5A_042416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9933717:9934299:1 gene:Et_5A_042416 transcript:Et_5A_042416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWALRHRWRKLGRHDGSNRSRRWRAVVESVSLDPNWWRRSSAHDASRATPSSAIITTTTCRSHAFSAKLVVATGQKVAPSAKSPLGCRKNKRSSSSSTAMPPSSSSITENHNLVPASSTSLHNVLLTFMSTGGLELPNFLLPFVPPLSLSSLAPTFMSTDALELPNFSLPFVPPLSLSSLAPTPAPV >Et_10A_000288.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21407141:21407224:1 gene:Et_10A_000288 transcript:Et_10A_000288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSHSFHQSCIFKSLRVNCVCPLLNN >Et_9A_063403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23233859:23237132:1 gene:Et_9A_063403 transcript:Et_9A_063403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCEVDRYQNGDKSEGVRLFYRRYGRGATKVLLIIGLAGTHDSWGPQIKGLTGSLEPADDEPTRADDGEEDGAGDGIEVCCFDNRGVGRSSVPPHKSYYSTAIMARDALALMDHLAWKKAHVFGHSMGAMISCKLAAMAPHRLCSLALLNVTGGGFQCFPKVDGQMLSLAFRFLKARTPEQRALVDLETHYTKEYLEESVGSCTRRMILYQVNACWTHKMTTKELDTIRSAGFLISVIHGRYDIIAQLCHARRLAERLRPAARMVDLHGAHLVSHERPDEVNCALMDLIKATKSGMQPEEWSSESDNASANAPEHISYTASSSETGALISARPITVTMRTDEGANVAVAVYTLLGKLQLSFLYLIGLIVMGFEHMRNIVKVMKPVRVAAIES >Et_5B_043892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17191624:17192632:-1 gene:Et_5B_043892 transcript:Et_5B_043892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAATTTAARRRDAEAELNLPPGFRFHPTDEELVAHYLCARAAGRRPPVPIIAELDLYRFDPWELPERALFGRREWYFFTPRDRKYPNGSRPNRAAGTGYWKATGADKPVAHGGRTVGIKKALVFYHGKPPRGVKTEWIMHEYRLADAGSAGGGRNNKKAGGNNGSLRLDDWVLCRLYHKKNEWEKMQQQKEEKEMETDLSHSHSFGDTRTPESEIDDADQIPELASPPAVLPKEEVQEFDDLGLGGAAADDWLTGINLDDLQGLCPVPGDDFYSSMLVTPKAEQGADGFGFFF >Et_5B_044989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8004907:8010277:1 gene:Et_5B_044989 transcript:Et_5B_044989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVAADMELDRPNLEDYLPPDSLPQEAPRNLHLRDLLDISPVLTEAAGAIVDDSFTRCFKSNSPEPWNWNIYLFPLWCFGVVIRYGLLFPLRSLTLAIGWLAFFAAFFPVHFLLKGQPKLRSKIERKLVEMMCSVFVASWTGVIKYHGPRPSTRPHQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGFIQSTILESVGCIWFNRNDLRDREVTARKLRDHVQQPDNNPLLIFPEGTCVNNQYTVMFKKGAFELGCAVCPIAIKYNKIFVDAFWNSKKQSFTMHLVRLMTSWAVVCDVWYLEPQYLREGETAIEFAERVRDMIAARAGLKKVPWDGYLKHNRPSPKHTEEKQRIFAESVLRRLQEKEK >Et_1A_008530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8472501:8474371:1 gene:Et_1A_008530 transcript:Et_1A_008530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVQILMNAYCPTTAMAHVKTLQETLHAQYNVTNVSVEDGTLTILMNAYCPTTAMASAKTLQETLHAQAAPMMRCVPTTKQRNLILGIAIGICCGLGSIIVTLGVIVLAKKWKKGIQKRIRRSYFKKNQGLLLEQLISDGNATNKTKIFSLDELEEATNSFDSTRILGRGGHGTVYKGILSDQRVVAIKKSKIVEQIEIDQFINEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFVSNGTLYDLLHLDVSSKCLLTWDDRIRIAVEAAGALAYLHSAAAIPIFHRDVKSSNILLDDSFTAKVSDFGASRSLSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLTRKKPIFINDSGAKQNLSHYFVEGIQEGALMDILDTQVVEEADQEDINDIASLAEVCLKVQGRERPVMKEVDMRLQLLRTKMLRKEQKLRENNGEIEPLLCPDARRHGQINSVNATQYLTPQGTSGCYSLEQEFSSSLSLPR >Et_3B_027623.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:4786058:4786408:1 gene:Et_3B_027623 transcript:Et_3B_027623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDASRRWWTRTNAEAGTAIASAARSPTPTPGRAPRRSACSAAARPQTSAS >Et_3A_025969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34281595:34290047:1 gene:Et_3A_025969 transcript:Et_3A_025969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEVEAPAVELKLKMRLDDFHEVSQQWNDVDHQQPEQEEGKSSSALLKRGVSINQESSVGFPFCLDEDCQFPSHPVLLLLHLAGSRHNVLDPPLQPSRHTDAPAAARLAWSANVLSIKVLHSTLGYPISLYGSVFVRDDLDRKRVYLFQCDRDNAQLVKSPETLVSTGPSRGLLIFDNLFFQFDLKVRCSQDDVGFSQGTLHYRNHDTVRSMLLVSKLTTSVSTMKIAYAPIQSAVEATIQIKVLEEFSIEFGKISAFVTGVEGEIILFDSDASGAIKIGDDGIVQLWRSVVSVPIDGLLLLRVDTWEENYEAKFTGSKTIFTPQLCGQDVGTVHLAMEAKVAWSPEGDPFGLPMFVEEEEDPASAAAKEERAKRKEGGKWGLDKIREKFMEEQAKYQYAVVPRPRVDPQVESPAGPMRHLPLSLKGPQLASSANILSVKVIKSAIGYPLLVYGSIFVRDELDCKRICLFRRDRDKCQRINSMAESLSLMGPSRGLVFKNLYFEIDLKCKKRRKETDLGNWFLKDSALTSTSNVIRNRIVGELFTIEVTYAPVHRAVEVTIDVMICEVLRKIKRPSGFIAEEWVPFNIKKDCSEFHGKVTACINGSPEDIVLYDSKAAGCVIRVGDGGLIELSRRILAVPIDEKVSFKIDSSDGAHVINYYPRLCGCSSPKIRVGSYYMELKLVWSALYSRDVD >Et_1A_006415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22015503:22017036:1 gene:Et_1A_006415 transcript:Et_1A_006415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYRVHTVVLNDPGRLLSVHIMHTALVSGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWSISGGTITNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLYGPGIWVSDPYGLTGKVQAVNPAWGAEGFDPFVPGGIASHHIAAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVSDGLAENLSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPVFRDKEGRELFVRRMPTFFETFPVVLVDEEGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHATFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGTFQKVGDPTTRRQTV >Et_9A_061755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16016002:16017266:-1 gene:Et_9A_061755 transcript:Et_9A_061755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTDAADDLESYLSLGLTVSQSKKDAEYPKVLCLLSAYLNKKVQRNEELLGSNEIKESTTIFHGHRVPDLSLKLYAERIFKYAQCSPSCFVLGLIYMERYLQQPNIYMTSLSVHRLLITSVVVAAKFIDDGFFNNAYYGKVGGISTREMNRLELDLLFSLDFRLKVNLETFRSYCLQLEKEALVLVLEKPIHVQAANGMKHLSFNNSVDETCKHELVRERYSNQALQGCS >Et_10A_000403.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:9699325:9699402:-1 gene:Et_10A_000403 transcript:Et_10A_000403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEQQGVRKTCPSAGRFIAGDHR >Et_8B_060550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20805155:20809297:1 gene:Et_8B_060550 transcript:Et_8B_060550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEPEELQFLGVAGVYRASAEVLRGPHRPLFARIAAAFVLPLSALFLLHIAISHALFTTIDSDDTALDASTPGSAAQRRLLDRLASDWAALLLFKAAYLLALLLLSLLSTAAAVFSVASVYSAKHDALTFPRVLSVVPRVWRRLAATFLAAFALLFAYHVAFILLFIALLVAADNGSGLAALLAFLLLIAYLVGLVYVSVVWHLASVVSVLEDYKGFAAMRKSKDLIRGKLPTAAAIFFTLNVIFAVVEVAFRAWVVRGAAHGIGGGTRFLLGLLMLAALCAVVMLALVTQTIVYLPQDGSLERPNSVARVEALLHHKLLEILRHLQLDPLLPDPVGDMVEHDGGDLLDLDLGELLEDDHLVKPVLDPVVADTGAVAVVHDDAAANVRCHDDERVGEVHGPALAVGEPAVVQHLQHDVEHVRVRLLDLVEQDHRVRPASHRLRQLAALFVLAVAWGWADQPGHRVLVHELAHVEPDHGVLRAIVECGKGLCQLRLANTGWAGEYEAPDRPALIVEPCTGTPDGTAYRRDGGVLADDALVQGIFHIEQLVGFVNGEFLNRNAGPGGHDVANVFFGDHGSGWIVNLVVAHLFALVDQGLELQLTITKLLCLIPQHSVLLIRSQHLSSSDMNP >Et_2B_020657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22409630:22413723:-1 gene:Et_2B_020657 transcript:Et_2B_020657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPPASIRALAFHRRLPLPHPLLLPPRPPPRIGPARSIMPLSAQSADQEEAEAEEEALPVAATAGDAGAVSHEEWQRWGTTSPLPAAVAAVVRELLEMEAAAGEKMRFGGVGSKLKGDFKDVEDKKHRAVYETLADSDKKLQYYSARQIGCRLLGSRGYLCQKCWLPMEDCMCSKLLPCNLWKGMKFWLYMHPKDFLRQNNTGKLLWQVFGIQAAQLCLFGIQEHEDIMWDAFQRSGKGKISFLYPNKSSVPKSISDLAFDGLALTSDLDVVASHDEPFNFVLLDGTWSNSAALYRRLKERWTALWGEEDIPCISLSTLTASVMHKLRPQPAWDRTCTAAAAAGLLWELHMRPDLSAFELEKQAEAVECSLDILLDALTSRRVRLGRSITRKQRHNRNCV >Et_8A_056783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16722728:16722948:1 gene:Et_8A_056783 transcript:Et_8A_056783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEAAVVRVTSTAQPPPASLKCGTLVRVNGITTQDVVVDVPRGAGAFQDDLGLRAALLERMQYSTVPN >Et_7B_054811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4625993:4628085:1 gene:Et_7B_054811 transcript:Et_7B_054811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASFKGRAIAGSFVTRVLAGKAASPRRAVHASAYDKNLEEQVRPAFVPEDVIGGAGNPDKYWGPHPKTGVFGPAAVDAKLAAGAPEAGVNGGGSVLDQKVWYRPLEDVEKPPPVA >Et_7B_055049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6833599:6834110:-1 gene:Et_7B_055049 transcript:Et_7B_055049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGPETSSSRLSASTPRYPSWSPAPAFGGEELEHGHPRRSSLRVPPEKRVKVGKFRVPMFKLFSSTSVKRVLQDLGVKALFTRKADMLEMLEDDGALLRERHGGADFITDVDEDSGAIMRVTDPSMLKLNLDE >Et_1B_012002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2849737:2852562:1 gene:Et_1B_012002 transcript:Et_1B_012002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNLASVVVSVDGSEESMNALRWALDNLRLRPDGELVVLHVQPPPSIAAGLNPGPIPFGGPSEVEVPAFTQAIEAHQRRITQAILDHAHKICSEKNVEVKTEVVVGDPKEKICEVTANRKADLLVMGCRAFGPLKRMFLGSVSNYCINHVSCPVIVIKESTLILNCGWSTDDGPVMSDRRSFLPMTLSSPFAVSVG >Et_5B_043416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10124809:10128654:-1 gene:Et_5B_043416 transcript:Et_5B_043416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPADGSRAVSSLDAVAAAFQSRIVELQDLVLARNMFPATVMPDLTAVDATVTALESQVQAIRRRIQEERDAIPQAKKLVERSVKQQERLQHMLANLPSGMHEDVFATPMVQNASRISSECFDLDSNVPQVTERDFMIKEEPVAAPKKGREPAPRLYISAEELDSLSSYMRGRLTMEKVNIAINEVATYADANAHLVSCPKKKLSEDTWEKALELRDIAATKEVKGKHFFLETDIKGPGLKLDNSGKAILTVSIYNFDIYCECYDVEAEDVLRHLGRIHETRIGPNRLQPAEQPEKD >Et_6A_047871.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:22031632:22032492:1 gene:Et_6A_047871 transcript:Et_6A_047871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDSLLALLVLTTAAGLAAAADDSLGNIAVFWGRNKTEGSLREACDTGTYNTVIISFLSAFGHGNKYTLDLSGHPVAGVGDDIKHCKSKGILVLLSIGGPATAGGVDYSLPSSQAAADLADYLWHAFLGGAHAGVRRPFGDAAVDGVDFYIDHGPADHNDQLVRRLAAYKTVTLTATVRCRYPDPHMAAALATKLFARIHVRLYGDLNCTVGNSGPVEKWAAAFPASKAFVGVVASPEADQDEFMFQKYLYYNVLQYAQKLTNYGGIMVWNRYYDKLNHYMTSS >Et_4A_034293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31453531:31464692:-1 gene:Et_4A_034293 transcript:Et_4A_034293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNWYRSVSSGVTMGFVGRGTPSLPPRRAFPLAVTAAIFRRVIERRGGDGWRRNRGHCDAGIGKSEQGRGKSGAGARRPLVWSSLLHYGTDHIEARHQIRPVHKRGSTSPAKVLVLRAALPKTGSSLLQMNESDVSGLLCVGMHETERGQESYATECSKMNQDTSAMYTDHSNTGAFLEEYHMSGSQPLEELRFGSTEHFELQSQRMVADSEDENMPSSPDTSSTTNYDMSGMEQNLQHIYNAYDALVDKDGPVMLSPDYIVVDETTHIEPHITFSSDGIKIEYLDLDLDKMITFDWELSKIISISCKWAQSVGSALITLFAESEAEIGNSGPARVLFSIDDPQWPRKQENIWHLEGRYIEIWKDIPSTEDFEDIIYPKGDPDAVSISKRDAELLLPETFVNDTIIDFYIKHLTTRIESTEKRRYHFFNSFFFRKLADLDKDQGRAPDPRAAFLRVRKWTRKINIFEKDFVFIPINFSLHWSLIVVYGAVKLSAKLPCILHMDSLKGSHSVLKGIIQSYLWEEWKERHPDSATDNSDKFLNLRFVSLELPQQDNSFDCGLFLLHYVERFLMDAPSNFNPLKIDHFSSFLSDDWFPPAEASHKRSVIQKLIHEVVTGSLQNHPKLACSNEQLDERHQSRNAEEPAGEFLAQSRSADQAEIVNTIHDDAHGAQRSTSICLNDSEEKPLSASEGMVDSERVSMVAVQNLQESGVCLPENDTVYLSSRDEKNEPLVTELNMTSCVPEDGEVLKESICGVSYMEHAESLLLTLDDNQKISSEAEVKVQDIVVSNHCSVSYNSEEVITYQEYSLQRSTDEVGHECYRPSRDMDSLVMLDSRKDGIEPNPERMTGENDGSDLGEIMGSVTQSDFDKDVAEAKREDSPADPITVENYIKDNVEKIDMTADNIHHSEQFVSSEFTEGNADHGMADDISQLNMGNTNKVVTCGSAVSSGLNEENSNQILGGPSTCGTNINVDAEEAYNHLSTSGAVPCKDAMDGTVPCEDDRTGTETQNEAVSREGGTSCADTEMTLLDSSMKNKTIPENTTSEDNVQVPHPDSLCEEKPVMGDECIRKDDDGTDAKMDAEEAYKHSATSGAVPCEDDTAGTETQDGAVSHEDGTSCTDAEMTLLDSSMKNKTNPENTASEDNVQVPHPDSLCEEKAVMGDECIRKDDAHGTDAKSDAEEAYKHLATIAAVSCKDATDGAVPCEDDTTGTEAQDGTVPLEGGTSCTDAEMTLLDGSMKNKTIPENTTSEDNVQVPHPDSLCEEQAVSGDRCIRKDDAHGTDAKRPLPDGMCENGTAVAERCIQKDNLHGTEAKKERHYKRRKVLAAESQKERSSSGV >Et_1A_008863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16494969:16496700:-1 gene:Et_1A_008863 transcript:Et_1A_008863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSGGAAAICAICLGDLGHGQALIKAECSHVFHLRCVSNNAAQGRRDCPLCMATWRDVPVIQPAVTGPYADDDPVADPGAQPAQASSGVGAANAGTVTLKTHCERPAIPRGASRDGFAVLVHATAPGALAAAAEAPRAPLDLVTVLDVSGSMTGNKLKLVKQAMGFVIDNVGPADRLSVVSFSHDAKRQIRFTRMSGDGKASAKLAVNSLTAGGSTNIRKGLDVACQVLAGRRTPAATLMPPSLRGSSGGNRPAPVHTFGFGTDHDAAAMHAIAETTRGTFSYIVNHEVVQDSFAQCIGGLLSVAMQNVRLHVACVHPGVRVREVKSGVYESRVGADGRAATVEVGDLYADEVRRFLIFVDVPAAEAAEEVTQLISVRCTYTDTATGQAADVSGEVAVVRRPVELVDGDDELSMEVERERVRVAAAEDMAAARAAADRGDRLKALQHIKIGRAFVKCSAVFAAGYEECDELEEDLHGMEVGMEDDVAYKKFGHTRLLAGMSSHRMQRASFAGVKERKAFQTKAMSCMVKKSKKARLQQQEEESGSAPAPRQSKKGHKHK >Et_4A_033245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20330640:20335124:-1 gene:Et_4A_033245 transcript:Et_4A_033245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPESPPAGQHSSAAAAAAVLYEVVLLTRRPHFPCFEAAVLTEIGEGRKHFGLERVADGILHLQDSPVFDFINTLSPLETLKPPNSVQSSQLFKPSEFPLVSSIFSSPQVNPDKQSQLTASLSSDLSTKLSTLTRDSTVQPSQEGSIVDCKMSQMGTSTRIEMLESTNVASENCSMNCYINEALHQSQLVSNGYLLDTLKAISKHHYGMHRRSLFNEKLGASGMSVQSVSGIHPASICADSYLKLVGSPACAPLGIGLHLNSIPSISKEIMPYNNQAAGDPYNIMPFAINPPLLPEHNSPMKSVLSGNELVPYISEVETHIQSDHSSPKTTPSAAKSGKESHKKRRKFQNDDSDSCRRCNCKKSKCLKLYCACFAAKVYCSEFCSCQGCLNNHAYEEVVLCTRKQTESRNPLAFAPNVVRTCGFDQEFGDNSNKTPASARHKRGCNCKKSYCLKKYCECFQSGVGCSISCRCENCKNSFGRSEGMLLLNGNGAHAKEERLELDKQLLAGQSVNVPSSENLFTTPSIGPYRSSVLLPSTCPKPSLSSTGCSSPQHNSQSPMKTDALLSHLDTYSAEMIFGDGPSGNQEGNNCNAGVKVVSPNKKRVLPIHSGTVLSPINRSGRKSILKSIPSFPSLTGDVNTEPH >Et_8B_058612.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:125130:125351:1 gene:Et_8B_058612 transcript:Et_8B_058612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSSSPAVDWGDDSPGEMDSEDTVAATSMGITIGSMMEVDADDRLPASSASLPIDADFFNAFPDDFDDQDLD >Et_4A_032738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13214898:13217116:1 gene:Et_4A_032738 transcript:Et_4A_032738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSKQANNTATSPHQDQHQHHHRQYIRFATCGMVKDPDAIGTAAPVEEARKARRQQQPMAVGGEERMPRPHLEEALKCPRCESSNTKFCYYNNYSVSQPRFFCKACRRYWTQGGSLRNVSVGGGSRKYKRSSASSSSTPPSSSSVTEVINNQNLVPASLTGFPNVLPTFMSTSSFEIPHFSLPIAPTLSLSGLAPTPMLAPIAATPTTSSFLELLGGSLLDNQGNDFYGPMITDGGNEMEVLPPPSSFDFGVMQQHGVIGAHHDGTPITGATEGGQWPTANNGDDGAAGSEGGQQ >Et_4B_037469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20012692:20015339:1 gene:Et_4B_037469 transcript:Et_4B_037469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSLHAAAPAATPCHAGITSTPVARSVRVATAAARPGAGRGRLVARAAVAAKADAPSSAAASNSDGHELLLFEALREGLMEEMSLDPTVCVFGEDVGHYGGSYKVTKGLAEMYGDLRVLDTPIAENSFTGMGVGAAMKGLRPVVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPLVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPNEEYVLCLEEAEMVRPGEHVSILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYAGTLEDATVVQPAQIVAAVEQLCQ >Et_3A_026079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4018828:4028525:-1 gene:Et_3A_026079 transcript:Et_3A_026079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KRLVENLGYKLQQHLSTPIVSAREVDPVRSKMQDWAPVFISLVLFILLSPGLLFQLPAKGRLIEFGNFQTSALAILVHAVLFFTLAAIFLIAIGRRAEPKRGGTEGIMSDWWPVLIAVLFFIVLTPGLLIQIPGSNGRFAELHSMRTSGASIFVHTLLFFGFCAIFMIAIDGGAMADWGPVVVATVLFVLLSPGLLLQLPGRSGRIIEFGNLQTSAASIFVHAIIFFALAAIFFIAIGVHITTDS >Et_6A_046533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16695504:16699548:1 gene:Et_6A_046533 transcript:Et_6A_046533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETEWQRWESGMYQAGRMRKGLHPQMQWISYVTQSGRLINIMMTKVNHTGKVYHMRAKRQMAQSLGQIAKFKRRYELEAEENKENGETMQRWSCLSSYNNTSGKLINIMMTKVNHTGKVYQSHEGKADQAAVSLHGPAAVRR >Et_9B_064030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10221845:10225265:1 gene:Et_9B_064030 transcript:Et_9B_064030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRTLAASKKTLSLALGGARPLAAAAAARGVATTVSLPDLPYDFGALEPAISGEIMQLHHQKHHATYVANYNKALEQLDAAVSKGDASAVVQLQGAIKFNGGGERSPSPSLRPVLLLCHVNHSIFWKNLKPISEGGGEPPHGKLGWAIDEHFGSFEALIKKMNAEGAALQGSGWVWLALDKEAKKLSVETTPNQDPLVTKGASMVPLLGIDVWEHAYYLQYKNVRPDYLNNIWKVINWKYAGEVYDNVLA >Et_6B_048441.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:4921965:4922636:1 gene:Et_6B_048441 transcript:Et_6B_048441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LNSVTCNGHQLLPHVLPREEPDERLGRPVDPLHDVLHHLHLPLPDVPGHLLLEPRVHVEVVHDVDPLHPDGHGDDDAQVAHAVGLLQVVLRDHPAHDHPAAVPHLQQRRVQRRAAHVVIVDVDAVGEALLQRGGEARLGLVVERVVVAEPGLEEAHLLVGAGASDHVAAGDLGELPDDLADGARRRRHEHGVSFLGPPDLDEPGICSEPSHASVSTTSLHRRQ >Et_5A_042649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23998664:24003158:-1 gene:Et_5A_042649 transcript:Et_5A_042649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEEQLVLNLYNPEFRGNALVELSKNRATFQDLALLLWYSFCTMAVLIQELLSVYPYEATLSSSASNRASNVLALLQTIASHQKTRIQFVRAQYPVYLEPFLSCEYQGTAFESLRLTSLGVLGALVKVATYIFQQILLNDAGLTYFCSTVDRFFNVASVLATMVHALVEKPTTKVLKHVILCYLRLTHHHKALVLRMSIPEALKDGTFHNCLRDDPATVQYLRQLLHRLKNDGLGGAPLPGPVPPVGGSAWQGAPPPGPGAAGR >Et_2A_016056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20629962:20631911:-1 gene:Et_2A_016056 transcript:Et_2A_016056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKVATVEWCWMVRAGLRLLFHLWTYLQEKGGMKSMHTTWVQRKCIPSFVIDLGSSFTFVSSRHKYRRLNSSPMESGISSISKPDKSKTVRYLDSEKMKEYHEDIVMLVSNDMNVRCLDGYRIGLTPLLHWTTDTRRVSNAA >Et_1B_012971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4429202:4431683:-1 gene:Et_1B_012971 transcript:Et_1B_012971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALCDFCGKQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCSSQPAAVRCLDDNISLCQNCDWNGHDAASGAAGHKRQSINCYSGCPSSAELSRIWSFVMDIPTVAAEPNCEDVISMMNIDDSDVSNHCDAMEDKRLLEIASTTLMSDPPPGEKPKPLIGSCSGDGINIPPLAADQTAGPVSVTPKVAEATENDKFNDGNIYEDFCVDDADLTFENYEELFGTSHIQTEQLFDDAGIDSYFEMKEMLPADSNEEHKYMQLECSNMVSADSARADSSLCIPVRQVRSSLSHSFSGLTGESSAGDHQDCGVSPMVNMGEPPWRPPGPDGSCAGGSRDNALTRYKEKKKRRKFDKKIRYASRKARADTRRRVKGRFIKAGEAYDYDPLSPRSY >Et_2A_018799.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:7908093:7908410:-1 gene:Et_2A_018799 transcript:Et_2A_018799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADQFVNVQLLVDDLRAAVPVSWGGLKAAPGTDEVARVLDAAVGGNGGRQLDDVAARAKELAEEAAEAVREGGDSWREVEELVRELHELGTEPKQEEQQLGGSC >Et_8A_057079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20880638:20886896:-1 gene:Et_8A_057079 transcript:Et_8A_057079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKAAKKDAAPAERPILGRFSSHLKIGIVGLPNVGKSTFFNIVTKLAIPAENFPFCTIEPNEARVNVPDERFDWLCQLYKPKSEVSAYLEITDIAGLIRGAHAGEGLGNAFLSHIRAVDGIFHVLRAFEDPEITHIDDTVDPVRDLETIGEELRLKDIEFVEKRVEDLEKSMKRSNDKQLKIELELCQRVLAHINEGKDVRLGDWKAADVEILNTFQLLTAKPVVYLVNMSEKDFQRKKNKFLPKIHAWVQEHGGETILPFSCAFEQKLVDMPEDEAANMIPKIIKTGFSAIHLIYFFTAGPDEVKCWQIRRQTKAPQAAGAIHTDFERGFICAEVMKFEDLKELGSESAVKAAGKYRQEGKTYVVQDGDIIFFKFNVSGGGKK >Et_8A_057665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5802021:5804784:1 gene:Et_8A_057665 transcript:Et_8A_057665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSDLDSAALWAAVDSAAARASSVRRSAGDDDHRGEVLQPARPFKSPRLALAASRATPPPPSLPTSAPHASPYSTPDAAAAARGRLVVVERPPPEPWAVSMGSPIAAASDGCLLPSLSVANFRKYQEVALSILEKSDYTSISGNPYIKKSGWRKISCFFNISFEIKNHSIEFDENHNVNRAEFLVRASMIGGRFSDGWGSCDRREKRFNKPNHDIPSTAETRAKNKACQDLLGIGNNRP >Et_4B_038431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28960354:28964476:-1 gene:Et_4B_038431 transcript:Et_4B_038431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRRGGGVKLIPNLASDQEGTRVLNLSVLRRLDPAVTDILITATHVVAYNFDDNTKEWSRKPVEGSLFVVKRNTQPRFQLVVMNRLNTENLVEDLLTDFEYEVQVPYVMYRNASDEIIGIWFYDQQECHEVAHLFSRIQYAFSKASPKASRASKSEFEELEVASAVPTDEGSLEQSASSSMVPDDAKNEFLVAFSRAAACVGDTIGGTGAAGPDQSHGSAPSPSHQPHDASASQPSALHKLLLSRTSSVHMRPFDADISRNSATIEPSSLVKVYPTLLPPMTSTQTTMPNATSSSLSVFPPFHPPLASHQPQNVPLLHHPFPLPMASPSPPYGMPLLQPFPPPKPSPLLTPAASYVSQLTRDQVKGALLRLVQNDNFVDMVYREMAKRPYP >Et_5A_041368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21918590:21919471:1 gene:Et_5A_041368 transcript:Et_5A_041368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSVPELMDELIEDALLRIPPDRPATLARAALIRKRWCRPVSAAAFRRELDATPPMLQPAPHRPPRHAPARRAPRPRPPTQLLVALLRLRLEPGHRRAGGAAPTVAVPVWKAKVLCAAINGACDHLDCHRGHFTVILVQKMSLIKLPNVRTELVFSPFVPIELTIMEDGWLGFGRMEESRLSLWSKTDDDDDAGWWELSKVIQLVELIPFDGSWDTKPQLIGFAEGVGVIFLVVGSEISSVHLKSSWVRKVYEGSCNTCTVPYINFCNPGNRSSASPAF >Et_5B_043826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16552532:16555905:-1 gene:Et_5B_043826 transcript:Et_5B_043826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIHRHMLLCFKLWPIICFLDRMLLLLALYDMVRCEEEGKEGKLSQLYARAPAGKWLAPNKDNISIAALARLEQDKVLMERELYLKDTTMDGGISFIKVYGIADPGINHVFNKDMNNQSVIVTKKLLKFYASFEGVNTLVDVDGGVEAT >Et_2A_015322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1128478:1131041:-1 gene:Et_2A_015322 transcript:Et_2A_015322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASARAQCLLGFRRRAPLPRLPFPIPRAANRRRGVRMASSSESAPPSTTVEVPGAAGPVLVVAAPGLPEADFRNAVDSALFKQWLKNLQTEKGVLTYGRLNLTRILIQGVDMFGKRVGFLKFKADVVDEETKTKVPGIVFARGPAVAVLILLESQGQTYAVLTEQVRVPVGKFLLELPAGMLDDEKGDFVGTAVREVEEETGIKLNLGDMIDLTALLDPATGQRMFPSPGGCDEEIGLFLYRGSVDEEMIRSLQGKETGLRDHGELIKLRVVPYNQLWRSTADAKALCAIALYEMAKRDGLLPSPSSNL >Et_6B_048608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11450702:11451888:1 gene:Et_6B_048608 transcript:Et_6B_048608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLAPLPTFYRIYKNKSTEGFQSIPYVVALFSAMLWIYYALLKSDECLLITINTAGCIIETIYIIVYLVYAPKKAKMFTVKILLLLNVGVFGLILVLTLLLAAGNNRVVVLGWVCVGFSVSVFVAPLSIIRQVVRTRSVEFMPFSLSLSLTFSAVVWFLYGLLIKDKYVALPNVLGFTFGVIQMGLYAFYRNATPRIPSKEMEHDKEAPVKDVVKVPEHVVTISKLGASAVELTMESTLSMEAKQGNNRVAAEEEQPKDVKLGRNTEQE >Et_8B_059078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13512746:13519226:-1 gene:Et_8B_059078 transcript:Et_8B_059078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFETGHLVFDPKNASSGTLYWLVQCMRDRTAAECGKCLQESVPRLPSCCWQHEGGEVLGYNCYLRVEAYLFFQTALDAARVSVSGSIADVSRRRARCRSLRLFTVLAIILISGVRPTLGGNAIIPKYIDCPAPPPSPPPSNTTTKFHDNVVRLLQSLPSSTAATGFASLSRGDGGDRAFVRSLCRGDVLASECKACVTDAAAELNANCSSRSSGRQAGIWYEDCFLSYSDTNASAGYEQTYRQELYNRFNASDTDAFMRTYYALMSRLTARAVAGKPESPATAPMFATGEAVYDSNAPNGTMYGLVQCARDRTAAECKQCLQDSVAQLPHCCYGHQGGVVLGYNCYLRVEIYTYYDLALDVEPAPPPLASSPSSSINGGHEKKKPNMILAVALPVGTAVFIVGILVAIFFYKRKVTQPDDSSNEEDIGLIDLEQINLRLLREATENFSQENKLGMGGFGEVFKGTLQTGEQIAVKRLSKRSSQGFHELKNELVVAAKLKHKNLAPLKGVCLQQEKLLVYEYMPNGSLDNFLFDPVRRQQLDWGKRFMIICGIARGLRYLHEESRLKVIHRDLKPSNVLLDADMNPKISDFGLARAFVGDQSRDVTRRPAGTLGYMSPEYAYCGHVSTKSDMFSFGVIVLEMVTGRKSNSTYECPDTTSLLSYVWRKWRTGLAADVVDASLIGQYPESEVLNCLEVGLLCVQENPVDRPDASAVVLLLGSPNSMPDEARREPSRPAFFFGAGESGSADAAEGGSSRDALIGVPSAPSSENVMTISDFQAR >Et_7A_050771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10954405:10955805:1 gene:Et_7A_050771 transcript:Et_7A_050771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATDGAGNPALAPDADAPAGEGLALAQFAAGCFWSVELVYQRLPGVARTEVGYSQGSLHEPTYKLVCGGGTGHAEVVRVHYDPEECPYDLLLDVFWAKHNPTTLNRQGNDVGTQYRSGIYYYTPEQEKLARESMAAKQKEWKETIVTEILPARRFYPAEEYHQQYLEKGGQSAKKRCNDPIRCYG >Et_2A_015213.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8048494:8048982:-1 gene:Et_2A_015213 transcript:Et_2A_015213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAFNGMLRLQDDESADTLHKKWFKEMRGWLMVLATVAASVTYQAGLNPPGGFWQDNLGHQAGNPVLRDEHRSRYLIFYYFNATAFVTSLVIIVLLMSGRFYHTENKLLALLFTTFVDLASLVSAYIAGTTRYSSCVYVIVITCVAFVGVIKIGEYVLLYF >Et_4A_033137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1966127:1968143:1 gene:Et_4A_033137 transcript:Et_4A_033137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIHKNIIRCALMITSSSILFSKEPHLKAASEALVELLEPTSFLLLDTLMKSPEVTPESKCLLVHYFLETGQADEKNITLASLDCIFLMESDVDQRNRDPLPSEIVVLFHFLNTSPWLREEVVIVLSKKLQGLLSILTSEDIYSYVLGCQLPALYGADHYPVVVWQPVYASLIQALKTFMISASASSAAWNELEVFLLENLFHPHFLCLEIITELWCFFVRYAETETSNYLINQLLPLLKSASSPENVLAPLSALRKVAHAFCIILCYASSATIDQICTCLLNDETSSKSWILHLALLMEGFFNSLFGGIKELAVKKMFTSFAGHLEGYTKNHRAINLPTASSGVTRLPAHVLASVLQHCEIKDDSIIDEKSISTMFKFTITPINLYGTACNSTKDHLAKHISSMLDIISNMRHRCAFNELESQNVALSQCKPSIASFMAILGHLNVTEDDANVLCSAMWDLYRSDIGRSFTLQLIHLGTLPAQVQLGPDGLSLGFGTITVIDDVLTSGPDLGAQPLGRAQGVYVASSADGSAQMMAFTAMMEGGEYGDTINFFGVYKVGTPLCRLSITGVTGKFKGACGFAEVRPPIASGQHVTDGAETLLRITVHLA >Et_1B_010346.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9853278:9853562:1 gene:Et_1B_010346 transcript:Et_1B_010346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVMLSLAHVGSRAKPQALYLNWFLAICLEPMFNGILVFHFKKRKRKKKHWVAAAHPGSACLGLQLAWQGARAYPIGEHIAKQSYKIKIASFR >Et_2A_017036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30364109:30371234:-1 gene:Et_2A_017036 transcript:Et_2A_017036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEYLADPEDEVAPSMWPENIGGDKDQRQFRMENFRKDQDAFKDVRFDEKPAHVDFHRLMEMANSEKGVSQMQYFMKHWAYKRDNAARLLEEELGLLCQQRKEIEQKKQQILEEQRFQDESYYAVKRHVPILDEVYEDEWKRASKKNDDLSRNREPKIDVNYESVSYWKERAIQLEKTLEESIQRERSLVEKLEENIKNLQSHTPAEEFSGMLKRADYFLHLVLQSAPIVIAHQDADLRYRFIFNHYPTLADEDVIGKTDYEILSGEGIDEMNNVKREVMATGIATKREFAFDTPMFGAKTFVTYIEPVFSKSGETIGVNYVAMDITDQVKWREKRAEIRVQEAVQKAKETELSKSIHITDETMRAKQMLATMSHEIRSPLSGVLSMAEILATTKLDKEQHQLLEVMLSSGDLVLQLINDILDLSKVESGAMKLESTTFRPREVVKHALQTAAASLKKELTFEGSIGVDVPVEVIGDVLRIRQILTNLIRDTTRCSKHEEAFQNGIPTCENLREDPEEEEVVWLRCDVYDTGIGIPEKSLPLLFKKYMQASTDHARKYGGTGLGLAICKQLVELMGGTLTVVSKENEGSTFTFVLPCKIPVNEEHSDDPDEENSSHNDFTNSDIEGSFVFKPQMRSYLMSPGVSIMNNSKLFGGKITCYDPPNISDDHKPISNGFTSTEQNFANCPSAAHQSNGPSVKSTSEEQQDNAMVFELNSQAERVSSSQGDAVSVSGAFLQETGPCDALEEQSLHKKSKFSPNGNKAKILLVEDNRVNIIVAKSMLEQLGHGIDIVNNGVEAIRAVQQHQYDLILMDVHMPLMDGLQATRHIRCFESTGCWDSSVKPEDNQMIADSAILSDCAHPKKQGQRVPIIAMTANSFSESADECLAAGMDSYISKPVNFQNIKECLQRFASYLVLSMHETEA >Et_2B_021907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6813583:6817696:1 gene:Et_2B_021907 transcript:Et_2B_021907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TIQLFGIPDEELAAVFYDFRVLHWAAHHGHLEVCKYLVEELGADVNMAGPDEGVTPLMAAAMSESDHVSIVKYLLDRGGDPMKPDENGSTVTEFLLSKGIPVDIDHNDRGTPLLGAINRDKDNTLKILLDHHANAGADVNGKGSVTSPLIIAIEQGGFINFIKFLLKAGADPNIPDNLGRLPIEVAALKDRREEMEGKKANAKAEADKAFKNEEYEFASKIYGMALSCGQDATIYSNRSLCKLKMGDGAGALLDANQCRMMRPDWTKACYRQAQAHMLLKDYRQACDALLDAKKLDPRSDEIERELRMAMKLMKASPDEAQQ >Et_7B_053942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13642601:13662106:1 gene:Et_7B_053942 transcript:Et_7B_053942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATLLLALCCVAALGAANAAAGRKAVGFYELKTGDFSVKVTNWGATITSVVLPDSRGKLADVVLGYDTIAEYVNGSSYFGALVGRVANRVANARFVLDGKVYHLYANDGKNALHGGHRGFSQVIWTVKEYVGGGDSPYITLYYHSFDGEEGFPGALDVFVTYRLSSPYSLSVHMNATAVDKATPVNLAQHTYWNLGGAGSGDILHNTVQLFASRYTPVDAALIPTGRVAPVAGTAYDLRAPTPVGAHVREIPGGKAGIYGYDTNYAAVAEGSAKAFGLSKSMSAAATPHTSPCTTIASTESKVSGGKVVGYDANFVVDGEGMRPVAVARDGASGRALELWANQATVQVYTANWLNNTKGKDGKVYNQYAGFTLETMGYVDAVNHPEFPSQTLRPGQKYKHDMAYVVDGEGGMRPVAVAQDGDSGRAMELWANQPAVHLYTANWLNNTRGKAGKVYGPHSAFCLETQGYPDAVNHPEFPSQTLRYGQVYRHDMLFKKMVRVYKLKRDDFSVKVTNFGNLDDVVLGKDTIAKYVKDASYFGPLVGRVAQRIARGRVVLDGKAYQLYLNGGNNTIHGGRRGFSKGVWTVKEYVGGGNSPYITLYYHSFDGEEVVVITKTVSTEQGSRGPWTCTCNVPAVEPVRAGRAHERDGAGQGDAGEPAAAHVLEPWRAGQRRRPAPHAPALRVTACRRRGRVVPVAGTPLDFRTPAPIGARIVWEVTGGRVPGYDETYVVTGRTACGRWRWPGTAMELWANQPAVHLYTANWLNNSAFCLETQGYPRRREPPRVPVADAQARPGVLARHALQVLVLACYNHDCVGSG >Et_5A_041846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3334670:3336886:-1 gene:Et_5A_041846 transcript:Et_5A_041846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWEGYVSDETMGTFAPIVLYWVYGGGYQLILHRRPLQRYRLHTQAEEDEKNLVSLPTVVRGVLLQQLVQAIVAMILFMVTSDSSTPVVQPPIRIQIFQFGVAMLVMDAWQYFVHRYMHQNKFLYKHIHSQHHRLIVPYAIGALYNHPLEGLLLDTLGGAMSFLFSSMTPRTAVFFFCFAVMKTVDDHCGLWLPYNIFQCLFRNNTAYHDIHHQLQGTKYNYSQPFFSIWDRILGTHMPYKLVSRKEGGFEARPARD >Et_2B_019277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20914548:20915480:-1 gene:Et_2B_019277 transcript:Et_2B_019277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPICSPSRATMDKAAAARRRSQQTPGARSSPMFRGSKAKRTAEEDDEEEPRSPKVTCAGQIKEGRGGAKSWITVVEEIERMHNGRRKKVGWLEAVGIKTDALPFLGGVMRSLRLKARCLGSLRGAVDSSTDDEEDGDGAEERGGSAAASVFSKWLMVLDQGGEEPSSPEQDSGDDEERGREDDDERQSKEADERASAAPPPNALLLMRCRSAPAKGLSRRGPAEPPASETEQEEKGSARADEDDGGSGDDMVFMSSAPGFLKLSIDIAKETWLVGGGGGDPLSRSRSWKR >Et_7B_054631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:361415:366823:1 gene:Et_7B_054631 transcript:Et_7B_054631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISGDAQKSATPGCRRPPEELSYSNIPPAYRVGNAAMELQLGPEGLTAATPAHSLFLWWFDDTPTLGTQGEAPALAGESARKGQGDTWNVPLALVLSNSVSIKEYIYTWNVPLALDESTLIWVSNSKEKTLKLASVSKILSGQRTLVFQRFLLPEKDHLSFSLIYNDGKRSLDLICKDKVEAEGWFTALNALISPGSHLSQPQRVDALRNAGFSFECGRDSSLSSSSSLTSDSLENKLSSANSTKDRSSGEYTYSERTDVSDMQVKSVSASDIRISISSALSTSSQGSGGDDSESFGDVYVWGEVICDISSRSGSDKNACSPGTTSDVLVPKPLESNVMLDVSYVACGVKHAALVTRQSEVFTWGEECSGRLGHGVGTNVFQPRLVESLSICNVEVIACGEFHTCAVTATGDLYTWGDGTHNAGLLGHGSNVSHWIPKRVSGPLDGLPVSTVSCGTWHTALITSSGQLYTFGDGTFGVLGHGNRESTSYPKEVESLKGLRTISVSCGVWHTAAVVEVMISQSNASSGKLFTWGDGDKYRLGHGDRSSKLKPTCVPSLIDYNFHKTACGHTLTIGLTTSGHIFTVGSSVYGQLGNPNNDGRYPRLVEEKLGGGGVVEVACGSYHVAVLTNTGEVYTWGKGANGRLGHGDIADRKVPTVVEALRDRSVKRIACGSSFTAAICQHKWVSGMEQSQCAACRQPFGFTRKRHNCYNCGFVHCHACSSKKALRAALSPNPGKPYRVCDSCYQKLSKVLDSGSSKKLAPSSSSEMIKNLDVKAAKQTKKSDNHSQAPAVLQLKDIPFISTPDLQNFSTVTNRDPNDPRSTFPFLRMPYLNYSSSLSSESFDNLRDANELLKQEVQKLKAEVDSLREQREQQDAELQKSKAKAHEAMTLATEEASKLKAAKDVIKSLTAQLEMAERPPPGTRDVTHARSASALPPETGRESHMRYDSGSVPYPQTPTSVPSARFGGIPAHFRQASDHNETSMSPLESRGEHINGIKDFSSMQQMTNGGPIGYRYRPDDPDRRETERFQININGLNMRSSGSPNSQVEAEWIEQYEPGVYLTLVSLRDGTKELKRVRFRYLAYLELSDFSLNNHIYMSESRH >Et_1B_011726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25469688:25470771:1 gene:Et_1B_011726 transcript:Et_1B_011726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGDWSSLPVDLLEQVADRLSSSERDLIHVRQICAHWRHSVTSRPAAPYRPWIVAGGASPHSRGPSGEYALCLPLGGRRRVEVSGPPGLPYCCGTPRGWLALRDHEWWPSRLVLWEPSSGAEVELPRLRGVDVQIFLSDDPRAPGWVAVATHGDNNIFCWRPGLAAWSVLLTETVNSVAFHGGKMYCMHPRKIMRVYDLDYIPTAASSPPVLKLLSSRGYDGHATLRVVVCDGKPLILLRHAVLPVFEVYRPDTGPCLGPPVELGERVTDLGSYSPFMGHVDADTFALSADEFPEIKRNCVYYFDHVPREGAVVYHLGTEVLEWLPSPELQDPAHKWGRVSWFCPLTPVLLK >Et_2A_018183.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:17051713:17052435:1 gene:Et_2A_018183 transcript:Et_2A_018183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLCLRLLFLCSVILIHQLPLPAQAGDPDILTDFVLPHDADPSQINGTFFTHTGLLAGLAAATDPGKFAVSKAGAAEFPALLGQSVSYAALAYGPGAVNPPHIHPRASELLLVTRGTLLVGLVDAARNGTVYARALQAGDMFVFPKGMVHWQFNGGSDGGEARAFSAFGGASPGTISLPFALFETGIDDDVLERSFRTDRDTVEELKRDLQPPSTSSAASLPTAAAVTLLCAIFFIVL >Et_10B_003186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17460666:17465717:1 gene:Et_10B_003186 transcript:Et_10B_003186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRMRCLVPTAVQDSPRAAAKRVSPASWRADSAAAAGGEGGKGAIRFRPPDVMETVHEVAIYIHRFHNLDLFQQGWYQMKISAMWEDGGNKTPASPARVVQYEAIDAGADDALGIWKIDDADNSFYTQPFRIKYARQDIYLSVMVSFNIFNSEEAGPAASAVMLKFELIYAPTLDSGSELQASSVTSSAAVHEFRIPRRALLGLHSYCPVHFDAFHSVLVDLTLHIVYLKAGATKASLKVPEQGLGPTSYQIVKSLLTSRKMLLEELKKVSDATGKTVEDLDDADLNLGKYESFNLSKSELPDSGKVFPATGKGVGHLAGILHDFLERPKDAVNGTDDSMLYTLPHEELLELFLTVSGQLSLLWNAFLKFHRINKTKILDYLRDIWAVDRKSEWSIWTVHSKVEIPHRYLRSMSDDSSHRHSLLRVSGSKKFNDDPVQHSASRAELHRKSIAHMKINPQSVQDMHIYADPSRVPVVLIEQHVMVVPQHGSSKDMASDASEQKDTIVLPKLQGESLALKNSAGKKGGRILRAVIFVHGFQGHHLDLRLVRNQWLLLDPGADCLMSEANEDKTSGDFKEMGNRLAGEVAAFLKKKVDKLAKYGGCKELKLSFVGHSIGNIIIRSALAEPALQPYLKNLYTYVSISGPHLGYWYSSNSLFNSGLWLLKKLKGAQCIHQLTFSDDQDPQNTYFYKLCKLKTLENFKNIILLSSPQDGYVPYHSARIELCPAASADTSKKGQVFTEMLNNCLDQIRAPSSDTRVFMRCDVNFDQSNQGRSLNTMIGRAAHIEFLETDIYAKFIMWSFPELFR >Et_3B_028157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10987339:10998056:-1 gene:Et_3B_028157 transcript:Et_3B_028157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHHHLPHIVCCLVVVCTLDTHRALHSLCHRSQSRTESSMASSAPTTRLRRFFAAALVSFSLLQAAIAAREEQDGDRVAFLPGQPRRPPVSQFAGYVTVNESNGRALFYWFFEAQASPAQKPLLLWLNGGPGCSSVGYGAASELGPLLVNGNGTGLEFNKFSWNRGANLLFLESPVGVGFSYTNTTSDLDKLNDRFVAEDTYNFLVNWFNKFPQYKSNDFYISGESYAVIYERNKHLESDQQIHLKGYIVGNAITDEYYDEKGLVDFAWSHSVISDQIYEHVKNVCDFRLAYFTNECAYAMNLVYTQYHKIDIFNVYAPKCNADELAHSSSSVSTVETDAKKSLHANVSGWIKDRRWSICSYSIFDNYDISIFSVRPIYSKLVKAGLRIWVYSGDVDGRVPVIGSRYWVEALGLPVKSQWQPWYLKDQVAGRLVEYEGLTMATVRGAGHDVPQDKPAEAFVIISSFLSGRQLPKRIY >Et_2B_020344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19187386:19192765:1 gene:Et_2B_020344 transcript:Et_2B_020344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEKPSHPAAFQSQPEDEAVIQDASVWGVWEGTQKDEAVVQDASAWGVWEGTQEDEAVVQDASSVDGWGVWEGTQEDGPMPEELTALKENGADWQDGLAGNTDATANDEAMAHALYMEELRQLEQGLRHFEEPRVALEGQAAHGNAGNNHHGWPTWQGESATIRLEPRAGAEAMAGRKDAMISARQTALAGASSTDPGPAVLTGMEEVEDAEDNGWYDSIVQEAQRIEAMEDPELYPVVPFQQQQQLPVSSVSPCKEDGLNLGRNDTTGPVAAAGEEEFSLQEFAKRWRIDPSELEPGPSTKRLHQYARVAPLDKKDVPRFYCGICMKDVPVLNLFRGARCDHRFCVSCMVTYIDGRVGSGEVRIPCPDPACMANQEEEDEDGDGRGLLHPEECKKSIDFAAFGSWGDRLAERAVPSDRRAYCPNPRCGVMLEATGGDTPALTCCPECSHPMCATCGLDWSVDEFGDHDCTEGPETALVKKLAFEGRWKQCPECRMLVERTAGCRYMTCRYVRVDLSCVLFVFDPQDLCTSADTKECSDATPDPNLQELIRDSVPGPSSSSRARVAPLTDDEIGWFDCGICAETKLALDRFRAGACLHEFCVACVVRYIEGRVAEGAVPVPCPAPGCRGGGDMHPEECKKLLDMDVFDAWCVALCERAVGPGRARCPYRDCDELVVLEGGGGGRAVAKVACPTCKRDFCLQCEEPWSDRHGGDGYGQGCVLAQLARGRNWTRCPSCRAMIDKIEGCSRMVCRCGTVFCYRCGKPFSGKGCRCIFRTRREDSYHAFAMLNSGSDRKPSVSIDEC >Et_8A_058296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4551320:4556441:1 gene:Et_8A_058296 transcript:Et_8A_058296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGDPGFHRGEAISAVQDVDQYYGDDDDFDDLYNDVNVGDGFLHASHQPPPPPQKAPPQQQQQQQLPPPPQAPPAQQQLPPAHTLPPPPPQVPPQQQKVHIPGMSGPGPGLPPAQPNLLPPPQPPAAPAPTPPQHHQIPQGDGFHRQGGNFGGGPIVVGNGGPVGGGDGPGGTTLFVGELHWWTTDADLEAELSKYGPVKEVRFFDEKASGKSKGYCQVDFYDPGVAAACKEGMNGHLFNGRPCVVAFATPNTVRRMGEAQVKNQQAVAAQGSNMQPKGGRGGGGAGGPQVGGNFGGGRGGAGGPGAGGGAGGGGGNWGRGGGGMGNRGPVGNMRNRMGPAGGRGIMGNGGMAAPPPPMLPPGGMLGQGFDPTGYGAMGRMGGGFGGFSGGPGGMPFPGLMQPFPPVVAPHVNPAFFGRGGMGAGGAGMWPDPNMGGWGGEEQSSYGDDAASDQQYGEGGSHGKERPPERERSGASDRRREREIDAPVSQEWPERRHRDERDMERERSRDYDRERERDRDRESHRDRDRERDRDRDRERDRHRDDRDRYADYHRHRDRNSERNEDWDRGRSSGMRSRSREERVIGACSAVRRPSFLDDSRCLLWKVCQSSEFVAAAGTTYENLVLGRFDKGHDARSKSCEFVAHPRKAHSLSGHQANGHI >Et_10A_001586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5538802:5549181:1 gene:Et_10A_001586 transcript:Et_10A_001586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGERMGWRLILLGRLLGRGAGGGALLLLGLLDRTRAHHTLRRRTWAGQLEGSDGFIKNHTTPPAGRKPKRSQDSSGIRLIIALLMDHSWLNSNILPFLSLIILQLVSCSIIVRSLWSLGRKKDSGRVLPPSPPGLPIIGNLHQLLGGHHHRTLQTLAERHGPLFLVQLGSVPTVVVSSASMAEAVFKTQDHVFCSRPQQYMARRLLWDCRNVGFSAYGERWRQLRRVAVVHLLSAKRVQSFRALREEEVASLIAQVRAASEERRAINMTELVVGFTKTVFSKAAFGNKLGGMEPLELQAMFQELLDMLQKVAVSDLFPRLGWVDWATGLHAKTKRIATKVDDVLESVLREHEKSRLNKDEAGDLLDDLLSLENKLDRTDVKGVIFDIFIASVDTISKLMEWVMAELIKNPKEMEKVQVQLRGAVGAPGRVAEEQMGAMIQLKAVIKEALRLHPALPLLIPRETVQDTKLHGYHIPAKTRVLINAWAIGRDNEWWADANEFRPERFVQNTFNYRDKDELGFVPFGAGRRGCPGSGFSMPLVELALANMLYHFDWELPDGQDLQSFEVVESNGISPRLKCALNLVVKPYKDEL >Et_2A_017190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31912871:31916737:1 gene:Et_2A_017190 transcript:Et_2A_017190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFQFPFKMSNYFIRYFILRVLSWLCNYSAEYNPDQYLWEPEFALAGRKSQTLKCSHYMPAVIPENTALPCVIYCHGNSGCRADANEAAVILLPSNITLFTLDFAGSGLSDGEYVSLGWHEKQDLKCVVSFLRNNKQVSCIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLYDLMMELVEVYKIRVPKFTVKMAVQYMRRVIQKRAKFDIMDLNVVQFAPKTFIPALFGHASNDMFIQPHHTDRIHQAYAGDKNLIKFDGDHNSARPQFYYDSVSIFFYNVLHPPQFPSAPSNKLDKYYNLGAFKAGAGTNESLLYEIINGLRAAGSDAGSSSTATANFTNATKSVVELLTERVNQLSVKNDNDLDFLLDENHNLTEMDGNTADSLEDKTNRQNEECCSYTSSNRESWGRCSSLGAASDVSSSGERPRIPNQKHKSMTLRALATPLRRIRRKPLAIPKEKKNRSLWKRLKKERQEMGENLSQRLRLCLQGQAQHKRTKSS >Et_8B_060667.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:4352566:4353453:1 gene:Et_8B_060667 transcript:Et_8B_060667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDEEMPPMPVSSGYDAPMQPGLGLGGGGGGGGATPKPADSGGGSFGTPSGGGGGRGGGEGRAGGGGGTRYRECLKNHAVGIGGHAVDGCGEFMAAGEEGSLDALRCAACGCHRNFHRKESATTEPAPAALSPVTAITAYGAAAAAHHQFSSPYYRTPAAGYLYHHQHQQLAGGHMQQQRPLALPSTSHSEGDDMAGMIGPMVVAPMVGMSLGSGGGGPSGSGSGSAGKKRFRTKFTAEQKDRMLAFAERLGWRIQKHDEAAVQQFCDEVGVKRHVLKVWMHNNKHTLGRKPLP >Et_1A_008259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5806008:5810533:1 gene:Et_1A_008259 transcript:Et_1A_008259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRQCKATRRCKEPRTELVTEDTMIAGGGYFDGSHHPIIMAGSMIHDSSQSSIYDSTNAEEQNFRVASFSIEDISNQADADLTSEPARALDHFQHHLGIDMEPGHGDHMVQEVPLVETANLVSAICGVQGHILSHQIGEGLHNMTVEQQILNYDVASYPNGAYTTAHDLLNVLQIQRCSLTPELPSTEHIFGDPVQNTVNHLDMNSDLSGVAVHESGMMFSDSTLPLGYHATQSHLLKDLYHSLPQNYGLFTSDDERDGVIGVSGVSGNIFQEIDGRQFDSPILGSRRKKGGFGKGKGKANFATERERREQLNVKYGALRSLFPNPTKNDRASIVGDAIEYINELNRTVKELKILVEKKRNSTDRRKMLKLDDEAADEGESSSMQSVRDDQNNQMNGAIRSSWVQRRSKECEVDVRIVDDEINIKFTEKKRANSLLCAAKVLEEFRLELIHVVGGIIGDHHIFMFNTKIRKGSSVYACAVAKKLLDAVELKHQALNIFN >Et_9A_061956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18363636:18367105:1 gene:Et_9A_061956 transcript:Et_9A_061956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRRASQLLREIDSSEAGQLAPFNSDVFDQVIRECTEHSAQFQSLIRYNRAEVIQGFRWKVGPVLPHDIQEKLHFSEKEYFKNHSAAIKSYISGMDIDLTVDMVPPKDPYIQVRVLEDIGEVSLGDHSVSLTKNSLHFLRRTDAEQFISQCLVLLQSRQHKTQHMEN >Et_9A_062529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23806354:23807773:1 gene:Et_9A_062529 transcript:Et_9A_062529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYWPLNTDHLKMNKGFMVEGYGVGVELIRWQHWLVKAQEMEAKVKLVMGYEKGEQLMVRMTELKEAAAMAWKDGGSCQSGR >Et_1B_010994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16127957:16129074:-1 gene:Et_1B_010994 transcript:Et_1B_010994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEERQGERGASSRAGGVAAVISLHHRRRRRAWVSHSTGSKATRAPRGSARTGEHLDSCDFKVGAYSWCIHFYPNGCDAASADHISLFLALRQSVPSALRVEYRIQPLVADGDDVTPAHNKGEKLLEPREPWVPQRSDLERSSRYLKDDAFAIRCEMAVVKFRADGATSRTTSPVSVPPSNLHRHLGDLLSRQQGADVAFEVGDETLRAHRWLLAARKNLEAVLATDVFERLLGKCPSITLELIAKLGASSGMQSRKTGFMDAWDVIVD >Et_8A_057728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6503924:6510621:1 gene:Et_8A_057728 transcript:Et_8A_057728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRRASGVAHKRLDAWASSVRWRPNAPSIVVVLTAVSFSVLHLGVGSRSNNPIPLLRSLFARAPRAGRRDPNTTCTTRISCGAAPDPEDDPEVQVATMEASSWDALRKQARRLEAQLDEQMNAYRKLISMKSDGSENDIESDIERSLKQLQQVNSQMQTWVSSGGSEVLSHTLTRHMEILQDLMQEFYRLRSSLRAKQQHASLLDLRDFDRASFDVENSADSSDQYLLKEQAAINRSTGQMDNVISQAHATLGALVSQRSTFGGITTKISNVGSRLPTINHILSSIKRKKSMDTIILSLVASVCAFLIFIYWLSKYCCAGVGPSRRTGKTLSTSISSGHAEHTKVSATPGRAALETSTRTLMWYLGGPPVSAPERVGGSAPSTRVASTLTSSSSPSSLPPPPSAAGNARVRRIHCSPGRSSREKEAGGVGGAGGVVLARKEISERRPRRRRSTKAERRMSEM >Et_5A_042807.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4713229:4713444:-1 gene:Et_5A_042807 transcript:Et_5A_042807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLCQPCNYGVHPRCTALLAERGRNGGRIILCAVKVVLRVGMFGLRVADLMSTGGAMSSVLDVVGVAIGNM >Et_10A_000028.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:16502791:16503343:-1 gene:Et_10A_000028 transcript:Et_10A_000028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRACYTCWCSMLCRTKCNPRNDQTPFLIVHQSRTSAPPYRIHQATNHGRSQSLLFSSQQTNQMLPSCLTLHSQPHSPYAGSCSRRACRGHFVHLHIART >Et_7B_055327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9464133:9467363:1 gene:Et_7B_055327 transcript:Et_7B_055327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPKKPGKGGDSRDAVAGNGNEPSNSYSVARNVEPGNKRAGNGDYSVPATSSPNPAMNGTVVYHSNEPLPAFKDVPVSEKQNLFVKKVNLCCAMYDFTDPTKNLKEKEVKRQTLMELVDYVTSANGKFSEVVMSEITKMVSINLFRSTNPTPRENKAIEGVDLEEDEPLMDPAWSHLQVVYEVFLRFVASQDTDAKLAKRYIDHSFILRLLDLFDSEDPRERDYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQLAEFQRCMVPLFRQIARSMNSSHFQVAERALFLWNNDHIENLIKQNYKVLLPIIYPALERNARDHWNQAVQSLTLNVRKIFSDHDSAFFGECAQKFNDDELKQEESNLKREALWKRLEEMVPTKPSKNNPSGTPNGKSSQAAG >Et_4A_035321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:232771:236577:-1 gene:Et_4A_035321 transcript:Et_4A_035321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELLIFTRGGLILWSSCRALGGAALKGSPIDALIRSCLLEERSADASFSQDNYALKWTFHNELGLVFVAVYQRILHLLYIDDLLAAVRKEFSQIYDPKRTNYDDFSDIFRQLHLEAEARAEEMKKSKQAIVSRPSPTVSNKTAPKVRGGGGNAAGKKGGGSGKDDSDGDSGKDQPALANGTFKGQDSSQPRAVVVKGKENGVPKDNGAFDVNKLQKLRNRNNQKKNVPTENGTKKPTKPDTKKKVNRVWDDKPSNQKLDFTDPADKRGDEVTDQVLVNQGESMMDKDDYVSSDSEDEEVEDGPKKKGWFSSMFKSIAGNNALEKSDLQPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLGSFTRISSTVQTAMEEALLRILTPRRSIDILRDVQSAKERGRPYVIVFVGVNGVGKSTNLAKVAYWLLQHNLSVTLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEASRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNNPDLVLFVGEALVGNDAVDQLTKFNQKLADLSAVPTARLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >Et_9B_065666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8943990:8945455:-1 gene:Et_9B_065666 transcript:Et_9B_065666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATVLARRWRHAFAAVHTLSFEQEEGSSYGTVSMDDYNYTAFHEEGGNAAFIALVDAAFASRRRCAGEKAGDPGLRAFLVAFDSFHHSLAGHVDRWLAAALPAAEEIRVDAQRACTRERAFSYYQEIEHTGEIRDESDGEEDADDFRNPKSRDSAYRIPRELFFSSSAAARLRTLSLGSCLLDLPLPGDDGGHFLRLPAVETLELRRIPDSGRDIQRLISACPRLADLTLDSCRRLRAVTVLDARLHKLALRCCHGARLTVDASELRALEYKGPVPSDAVLSFLGSPPGIVSCDIEFCGSTIASSDNVFSGKPFTYSDAELVNLAQFLRRFANDARSLRLGFVSLGAGIEKINGGMAPFLCLRRLELKGTLIRDSAVDAVAAMLERTLNLEILTLVISPDIYENNWNEVTCDPKAVIDVPDVLPVIPCLRDRRDQRRALLLLKELLLRLASALDELCVVFPKGKYAVQSALIGEIHSWVMNPSAK >Et_7B_055759.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3615836:3616174:-1 gene:Et_7B_055759 transcript:Et_7B_055759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINWRRKSSGCGREMRERLIPAGDGKQAASSSPSCVPRGCVAVLVGDEEEEEPERVVVEVRALGQPCVRALLEMAEREFGYDQKGVLRIPCAADDFRRALAADSTGGSGYRR >Et_8B_059010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12174684:12176274:-1 gene:Et_8B_059010 transcript:Et_8B_059010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPGAYIEGYLVPYLDAATNKLTLAGENEDEVNDHPIEEVRNTVSISEDPSEPCLTFRTWVLGLSSCMMLAFVNEFFMYRSTQLGIGTVVVQIASLPIGRLMASTLPTRPIHVPLTGWSFSLNPGPFSLKEHCLISIFAGAGSSGVYAMNIIVVVKVFYKRQINPYAAMLLVQTTQASSSAFRNMLLIMACMLLQSSCLGTDGLAFSGRSLLIHHTCGGRSILSKSLSSGLMSVCVEQCTRRRSGPSGGLTRLQFFIIVMICSFAYYLIPSYLFPAISTVSVVCWAYKDSVTAQQIGSGLKGLGIGSFGLDWNTVAGFLGNPLASPAFAIFNVMAGFAVSTYVAVPLLYWTNTYNAKRFPLITSHVYDAEGNIYDTNRVLDPKTFSLNLQEYNAYSRIHLSVLFALNYGISFASLMSTLSFYC >Et_1A_009247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34993348:34994969:1 gene:Et_1A_009247 transcript:Et_1A_009247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEQVALCLCVFLALVLPLLLHNLGKSGDNGLRLPPSPWRLPVIGNLHQVMRSPLFHRTMADLARRLDAPLMHLKLGEVPVVVASSAHAAREVLRVHDLDFAARPRSPTTRRLRSDGEGVVFVPYGTAWPSSSARGGSGRSAACEAAGHLVAGVAGFPPGELVNVTERIAAAVADSTVRVMIGDRLERREEFLNELGETVKIIAGFSLDDLFPSWRLAGVVGGMTRGAEANHRKTYELMDCVFRHHKERKQSNAASPLADSLTGKEDEEDILDVLLRMQKEEGLAVPLTTGNIKAVILDLLGAGTETSANTLQWAMAELMRNPEVMKKAQAEIRNSLAGRPSVTEDAMTELKYTKLIIKETLRLHPVSPLLVPRECRQTCNVMGYDVPEGTTAFVNVWMINRDPEYWDDAEAFKPERFEDCAVDFKGHDFEFIPFGAGRRICPGIAFAQANMEIVLASLLYHFDWELPEGVSPGGLDMTEEMGLSVRRKKDLFLLPTVRVPLHATE >Et_4A_033034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17472910:17482533:-1 gene:Et_4A_033034 transcript:Et_4A_033034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLASPSVRALSSPEMLVATAAGSLAVALRGWWDEVNKSPAWQDGAFFSLSAAYALVSAVALIQLVRIQLRVPEFGWTTQKVFHLMNFIVNGVRAIVFGFHAHVFLLQTKVYTLVLLDLPGLLFFSTYTLLVLFWAEIYHQAKNIPTDKLRVIYIGVNCVIYVIQVCIWLYLGINDNPLIELVSKVFISAVSFIALLGFLIYGGRLFSMLRRFPIESKGRRKKLYEVGTVTGICFTCFLIRCIVVAFSSFDPDLSLEVLDHPILDFFYYMRYCPLPSYYSSCGNFLPSEYQHNTTLFTRIS >Et_4A_035404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23552420:23553871:-1 gene:Et_4A_035404 transcript:Et_4A_035404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELDAPLHGIGFRVEELSPSRLTGRLLVTPSCCQPFKVLHGGVSALVAEGLASMGAHMASGFRRVAGVQLSINHFRSAAAGDTVLAQAVPVHVGRSTQLALTATVVLKFAWKVWEVKLWKMDPSTEDKGPQIAEARVTLLSNLPLTEELKNSGDALRRYSKL >Et_10A_000611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13723374:13723925:-1 gene:Et_10A_000611 transcript:Et_10A_000611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYARGTILGYKRSKSNQYENTSLVQIEGVNTKEDVAWYCGKRMAYIYKAKTKSSGTHYRCIWGKVARPHGNSGVVRAKFKSNLPPESMGHKVRVFMYPSSI >Et_1B_011516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23153788:23157590:1 gene:Et_1B_011516 transcript:Et_1B_011516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKASAPAHPHAPPSPTGTTLSAALEHDRRRERGAFPHGHQGRLHQYFIPACGRPAGAAWRSSPTPHAQLMTRIHRWGATFLPKSPRHAARDLEARRRTPSPPSRPAGGAVPERRPLREREELAAGAPPASSKSDAESTGTTGERAATPITPTGRGEGTWLKPSPKRKATRSSLPLPTDSIEEDSRSPQSPATGSPALSSAAAADDNKFVWADKYRPNFLNEFICHKSVAADLYQLVVEQQCKHLIFEGPPAVGKRSMVLALIRDAFGPDDLKVEEQTKRFELKGEIRKHIDVPVKISGHHVEVNLADLHGYEKYVITTLLNESIPSPNSICCHSNTRVIVLHDSDKLSTDLQHYIGWFLGRYAGCNKIIFCCSDASNLEAVRHLCKVVTLQPPSFEEIIKVLEYIANQERIDLPNDLARRITASASNNLRQAIRSLEATWKAHYPFVEGQPILTGWEEDISNVARDIMDEPSPKQLFVIRSKIKKLIEHDVSPHFIFGHLLAELKRDKDEEFQHNIDELALDLNHCKDCNLLKEKCKGCKSREATLKMRNMNIEGFAESSHDHRETIQCFIKIEEFTVRFMSFYRSVIAKNSNRGGDP >Et_2A_018015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:957534:959832:-1 gene:Et_2A_018015 transcript:Et_2A_018015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKCVARTFVGNKSIFNIDHVNAVLAPCHGRVVEELAIKIDFDSMLVEHLNNCVSFSVSSWTKLLAFDLTPNDFQCCRKVQLSFGYIQPPTNFRGFPNLKKLDSCMVSVSGKDLEEFLSNCCNLEWLSIVRCYRYDELKVNGPVQNLLYLNVVYCNVTKVALHAVKLTIFVYNGRQVPIDLNKSSKLETAHIFFHRATLEHFSNKLANTPNLTDSPYKFCHLKFINLALFFGNDIDILSLVSFLGSTPFIEKFEMHFSVCAPLYLGDEPIRRLPQRQYNYLKDLLVTGFERSSGQPEFLFYIVENASCIGDSNCR >Et_1A_007488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35088362:35106598:1 gene:Et_1A_007488 transcript:Et_1A_007488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAPLALLLLTLLLAERGGRRIIDITHAYVPDLPAFAPGAVTGPLVRLKVSMANGSEYNLSELKMECHMGTHVDAPGHINQEHFAAGRDVDTLDLDVLNGPALLVDVPRNTNITAEAMKSLGIPKGVRRVLFRTLNTDRKLMWKKEGDMSYVGFTEDGAQWLVDNTDIKLVGVDYLSVAAFDYLISAHVVFFKNPDIIPVEGLKLDDVEAGIYMLHCLPLRLVGAEGSPASKLSTMSLLLMLLLGVLVARGSDSAAHPAYAGAAGTAAVDEAEVRLEEYGGGRIVDITHAYRPELPGPGPDGLGPVTRLKRSMANGSRSNASELRMVVHSGTHVDAPGHMVQEHFQAGLDVDKLDLDVLNGHALLIDVPRDTNITAQVMKSLNIPQGLRRVLFRTLNTDRKLMWTKVIDMSFVGFTEDGAQWLVDNTDIKLVGVDYLSVAAFDHMISAHLVFFNSPGIIPIEGLKLDHVEAGLYMLHCLPLRLVGSEGSPIRCILIKNCNAKSRSVQPQALSSRQLSSKLLTMSPLLVMLLLGVVTMAPCVHVARGSDSVAHPAYATADDDSSCAYTAATDAPEVRMEEHGDGRIVDITHAYRPELPAPGRDGLGPVTRLTESMANGSINNVSELRMVVHSGTHVDAPGHMVQENFEAGLDVDKLDLDVLNGPALLIDVPRDTNITAQAMESLNIPQGIRRVLFRTLNTDRKLMWTKVIDTSFVGFTENGAQWLVDNTDIKLVGIDYLSVAAFDHLISAHLVFLNSPGIIPIEGLKLDHVKAGLYTLHCLPLRLLGCDGSPVSDEESNKVEERGVTLPRILWGEMGTKKRCAWSGSSVRNRLSRMFWKK >Et_2B_022105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9204071:9227693:1 gene:Et_2B_022105 transcript:Et_2B_022105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPAAPPGNLPGVEARAEEDKEKERADAELRHLPDHALLKKKLRTEKSIKSGLAGRLPDGGKKLRASLDAVRRELSRRKVLREAGAEQDEEKEIRADAEVRQSPDDALPLPENKELRAEKEIIKAGLPDGGKKQLHGSLDAIRREPEDDEEKERADAAEVRQLPAHAPRDKKLRDENAIEAGLAGRLPDGGKRPRATLDTTSPELARRKLLMRPRAVPAATLAAQGNGRRVVVVRGLGIKDDKRCEICAPSRCPESSETGPLAQMLMDLVPTKSLFSGEVGAEERDDDKEQERDDDEVRQLPDPELQEKKLRTETLIKAGLIDRLPDGGKKLYASLDAICRELNRRKPRSEAPHPQGCSGGSDTAPLTRAQNLPGVEAGGEEDEEKEKEKADAEVRQFPDHVLRDKIQRAENAIKAGLTSRLRDGGKKLRASLDASRRELARRKQLSEAPHAPGGGGGGRPGARAQDEKGCERVLRSRCAESSGMLLILFLEELYLDNSANMEKISSDYSPKDNGHDRMCTPAPTPSRKRKGDASADFTMRLRSRKGEVVLLDGDTPHPESTEETSNKRDHPDSIEISSDDIRCLEPESLLSSPIMNFYIMYLQGPTSSTARPRGEYHIFNTYFFSKLDALTSKDKTTYFLKLRRWWKGVDIFQKAYIFLPVHAETHWSLVIICMPGKDDQTGPTILHLDSLKFHSSRRIFSVVTRFLKEEWSYLNENASSTEFPFRETVWKNLPRKIEKKRIEVPQQENDYDCGLFVLYYIQRFIQEAPERLHKKDHLMLNKRWFKPEEPSQLRREIRRLILSCGEAEPEDATERLCLEDEPKDGTTKASIGKAESKNDATEPSGGDAEPKNGTTDPLCREAESKKCATEPRSELLSEAVDVAATAVHISV >Et_3A_023373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23943149:23943817:1 gene:Et_3A_023373 transcript:Et_3A_023373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYAGEYGHPYPRVDKYGKPVPPVDQYGNPIPREPPATGLGGDTAPLYGTGDAAAYPHEGVGSGLTAPGGGGVGPGETALAYEGMVGGGGGIGSATAGMGATQIQPIREEHTTLGEKLRRSGSSSSSSVASISLFSATGHVVCSCVLTKDDGQGGRRKKKSIKEKIKEKLPGGHKHEEQKQGGGTTTGTHEKKGIMDKIKDKLPGHH >Et_3A_026746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18640240:18642634:-1 gene:Et_3A_026746 transcript:Et_3A_026746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGDKDWALVDWADAPELRDKIILQAWGNPCYVLLVMAGSDDFIGLRKNGVFSTRWREGCCRPPTASANMTGWSESPPLSSGCPATGTGDKRDEPAALPADALRCIVGHISDPVDFINLGFVCTQWHEDVPRDARGRFHPRITEKGEEDNDSGHILEGKRVSKR >Et_1B_012235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30001863:30003121:1 gene:Et_1B_012235 transcript:Et_1B_012235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSACLTVPEPIAIGPAARRRAREDAELSESYTCVITHMDRKDGAGRSVRTRVYFGFDDAGGSWLEETDEPPAPAADFLSRCCLCDKRLDGLDIYMYRGEKAFCSSECRCQQMLMDDHAENCGSEALRTSNHSASPCSTPMAFSPSVAAA >Et_1A_008054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40478037:40479800:1 gene:Et_1A_008054 transcript:Et_1A_008054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGSMVECEDNWNCQLENITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAVGVGRGRAVAMRARKPLSSAGKPSLSEPNQAAAGRGGGPVGRGGAPPVR >Et_8A_057852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8376354:8377652:-1 gene:Et_8A_057852 transcript:Et_8A_057852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLAPAAASDIIVHWNPPFLSGFPTSSLRKPVEAWSLARSTCAGSSRARRAGTRCAASMLAHGCVVVVAGDPTPALQDALFRRVLPELFLLPRDVKLLNMPGVPPHAGYSVRACRSPCASTTPSTPATSATSRTSSARSRQPSPLYEILSRLDYHVQQAVYAAAREFKRLDSVVVRMVLESLGLPENVAASPHAAVKYMHYAARPDPTANGGPLRLLPDNRADAARRRGTGGASERTASGWPCPRSARHLRRHRRRASHGTGGCRPACLHRVRTPSGRERFLALMSPMPAPGCTLVRPLDELIDTAHPLLYRPVDFEAYARFKYSDEGRNLGIRTLGAFCGVKDGGDGEEPQTTTAS >Et_3B_030914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8883785:8888855:1 gene:Et_3B_030914 transcript:Et_3B_030914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDERLLPRGHSSAVRLCYPLAFCKVFDGSPKNRQITPRPESSWARVNQDILGVDVVLRFLPCHADRASVRSGHSLPPPLSLLLLPKFRFSCLRPDGTTTAIQGGMMPEGLAADDVTRKVDPVLKYYTDAECFLAIPAFCLIAPARFCLSSMPVVRFIVRELSLWCPGMTSWLACTGYGIRFPKDLTFYEGKLYLLSRSRPWLLSFDFEEDDSGLTVSRVEHCVIDMLFPDPSPVRALCCNNATWWRYDSLKPSRNVVEVKLFSLGLSTYPYGVTEIHSLDGDCIFVGEGGRKSFPAGLYNTVEGDLIYFLPDCRNSWPCDRFVYRVKDGEMRPFAASLGNTGAQAEEFDYPIRWIAIRLRRIRLGSLLNSTKQNLISR >Et_2B_020007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16023211:16028002:-1 gene:Et_2B_020007 transcript:Et_2B_020007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRTPCTSSSPSVEEYRSLAQPTYREPDGEHSNSLRDAIVAAPARDGFHACRHSPPSPRLPDLAHRSCHHACCCLAVAAADTVTSRLLAPVINATACRRGGSRWSATSTISAGSRTARCARSRIPAAGPSCCSASAGSPPWWSRPRTRRGRRRSARSLAVPSRLLYGCTDIAFAPHGPYWRGARKMAVREQEVGALVRRVEEHDACRGGVVRLSELLTGFAKDVAGRTACEQAGTKGGGTRLTRYWTRATSCSRHSTSATTSRGCRGAVDGTDARVQKAFEKIDRILDENVEDAGRQEDRNGIRKGIGRDTRIGGGQYDVPTKTMVIVNAWAIGRDPETWESPEEFRPERFVGSEVDFRGQHFQLIPFGAGRRMCPGIDHSMSVVELALANLVARFDWALPEGELNKVDMEEAPGCTSRKIVEKTEILDRILDEIVDDAGRRIGTDEGVHEHDDSGFVHLLLSLQKESARTELPLSKDNLKAFLEIDQLMLALVDGEVLILSFSLVIQDLFGAGTEATIIVLEWAMAELLRDKSAIHKLQDEVRQATRGNNGGSLITEQDLPGIAVIMETMRLHTPEGERELDLEEAPGCTSRKRALLCAVATSHHRDRFITS >Et_3A_025384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29362491:29364673:-1 gene:Et_3A_025384 transcript:Et_3A_025384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAAGSRARAEQQAARLQWRRQEPRSPEMAAPPPRPPRPRPARAAVVYYLSRNGHLEHPHFMEVALACPDGLYLRDVIDRLDALRGKGMARMYSWASKRSYRNGFVWHDLADDDYIHPVVGREYVLKGTERLHPAVPLPLLDAAAAAASSSSSGSHESTDASSSSSARWEHARPAHRKKSASAAAELGQYMVNYKGEDRAADAATQTEDGGRGRGHQQRRGVVVVKAAPAQQDELSRAETTSPPTASTSPETLEALIKADGTRVVAAVGGGGRARASSVLMQLISCGSVSVKDARATPVRPPRPPGSGVAAAAGTEVHSYRAKIVEDKEYFSGSIVEMTTKRLPADDDACRDLAVLRRSSSYNADRVIKLELAKEAGDLHDRCIPRMPKTKKDGYLVIACNGQGGNNNKG >Et_7A_051545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20138491:20139756:-1 gene:Et_7A_051545 transcript:Et_7A_051545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMAEEKQAAAGGPDGAAVAAGEAAPARVRPVETLLRAAPLGLCVAAMVFMLKDKQTNEYGTVAYSDLGGFKYLVIANGFCAAYSLGSAFYTAVPRTASLSSSWIVFLLDQVFTYLILAAGAASAELLYLAYNGDKEVTWSEACGVFGSFCGQARISVAITFASVLCFILLSLISSYRLFSAYEAPPPLGNKGVEIAAYPR >Et_7A_053130.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:7516401:7516592:1 gene:Et_7A_053130 transcript:Et_7A_053130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILDLAIWIIPMTLVFVPCRRLVVLVARLQELEECIMRPRSVPPDSWSWSRVGGVHTMSIMM >Et_2B_021576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3220197:3224059:1 gene:Et_2B_021576 transcript:Et_2B_021576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATGTGRRRVRIAVVGDVVPPSSRKTSALSSSGLGGRDATHGCECCSVITRCGFQGRGLGVRREEGFLEMRGDYGNENVQLVESISDLQFPKAAILGNHDCWNTYQFSEKKVDRVRLQLGSLGEQHVGYKYLDFPTIKLSVVGGRPFSCGGDSYGVNDMAGSAKKIYDAAARAPEGHFVILLAHNGPTGLGSRIDDICGRDWVPGGGDHGDPDLERAISDLQRETRVSIPLVVFGHMHKSLAYGRGLRKMIAFGANNTIYLNGAVVPRVKHAQPSRPTIPSDEQNQLKGSGATAPTLRAFTIVDLLEGHVEKISEVWVLVNGTRTELEEEVILYKLPREHM >Et_9A_063306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19559178:19561135:-1 gene:Et_9A_063306 transcript:Et_9A_063306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQGTIQRSATFVEDHRHSSSSGGGSPSPAIASPRATRFADDSRRPERSSALAAQAMLASSSAALGGALPAFGERRNAAAAAASSRASDPVQDPVTQLLTSTTNLNDEGSKYDLELSKKDDARHGFWGLVARKAKVMLDENGTPRESQSRWSYDRVQSSTESPLSQRGSEGKLDIGGKIKTVLEKEGLAVGESRPAGEAVSPAVRRLQIRRKACSMDLRGANLSGLASPDMSPMMSDTESPQIKASRDVANAMAAKVKLLQREMKTVKADLAFSKERCAQLEEENRLLRDGNHDADEDMIRQQLETLLGEKARLAHENTVYARENRFLREIVEFHQLNMQDVVNLDDHIEEEYEEDIEEEEEEEYVEDGDGQPEAEQYDDLRASSQSLCVQEDTEPLPSPEEAWTPLPCRPLSKLTRRG >Et_2B_019465.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5273965:5274258:1 gene:Et_2B_019465 transcript:Et_2B_019465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIIIDETDSTTLVSALKSDAFDQAPGGLMFKEARYQIVLNFTSVDVLYCPRACNSCAHELARSALVWDPDEANIWLDLLPDFVTDLVVRDMLNLV >Et_3B_031569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4891553:4892124:1 gene:Et_3B_031569 transcript:Et_3B_031569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDAGGGVGAREELQLLDLPRRRAYTICPGLGYFFGGLEVPCPNEPYGCKTYSPYFKADDHERACEHAPCRCTERGCGFAGSPPALLAHLTGDHFWAAHEMPGYGASLTLRVPGLPASAAQDRLLFFAEEEDGDRRAVFVLCVRARGAGGAAAVSVACVRENAEAGPPGEAAVEEGSWRRR >Et_2A_016550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2600816:2604436:1 gene:Et_2A_016550 transcript:Et_2A_016550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRDRVTSTRQTSSRREVLSLALRTPGSSKLGLHFSIGFIWKHYKFGLVRLVKKPLAAAGLERRISQPEASFELLTFEMGFHQRIIYMLFTLGSRRRIAIQLQELKTRAQDISERRSRYGVVLPKTMLRGSTQQLGNHSSLRLDPQLHALFTEEAQLVGIDEPRDALLKWLLEDDPRLRVLSIVGFGGLGKTTLARMVCESPLVKSADFQCYPLFIVSRNFNIRTLFQYMIRELIQRPHKAMAIAAGNYGPFIEENLEVTDRWEVAALADTLRRYLQDKRLEKIKSSNFTLVSIPLAWTISSWESIRCALPDNKKGSGNEDVAKTCSSHPQDWIYKIKRLSDATSRELFLKRIFDSADKLPNEDLEEVSNSILRKCGGLPLAIENLYGAS >Et_4B_038078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25992190:25995751:-1 gene:Et_4B_038078 transcript:Et_4B_038078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAAYLLLNPSKPFHRLPPPRVPRRLHVTCAAPRGSSKSGGKREVISAGANKGKKQIVLFDAAPPVSQAQDAAGGGEEAKSERAPGKPAAGGNPALALVRRATKRTLSVLSNLPLAIGEMFAIAGLMALGTIIDQGEAPSYYFEKFPEDNPVFGFITWRWILTPGFDHMFSSPVFLSLLALLAASLMACTYTTQLPMVKVARRWSFTQSGERIRKQEFADSLPRASIQDLGVILMGAGYEVFTKGPSLYAFKGLAGRYAPIGVHLAMLFIMAGATLSATGSFKGSVDVPQGLNFVIGDVMKPRGVLSVAPDVFNTEVHVNKFYMEYYDSGEVSQFYSDLSLFDLDGKEVMRKTIKVNDPLRYGGVTIYQTDWGFSALSVKKNGEGPFNLAMAPLKLNGDKKLFGTFLPLEDSDHSNSKVKGISMLARDLQSIVLYDQDGKFVGVRRPSSKLPIEINGNEILIEDAIGSTGLDLKTDPGVPIVYAGFGALMLTTCISYLSHSQIWALQDGSTVVVGGKTNRAKLEFSEEMNRLLDKVPELIGANENVKGKGVPNWKFKCRTTAISKLITESRIPRYRCSVGGGAGIVSM >Et_7B_054107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15454111:15457708:-1 gene:Et_7B_054107 transcript:Et_7B_054107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRRELAEARVAAGGHAARGGGAPAGGTLSNDAVSLLLSAACSLDLVTSFRIGEAARPPEERGADGVAGLPAERGAYEAADANGAAARHGTAWAGLLLLLRRVHRCPGRAAPTVDTSAFWPASQFALVQRRSSSSTRSCPRASPALHGQFVEQGTAQGEGRARREAALGEGGTARGVARQRAGAGWCGASWLPANAARGAAARGPAPGERRAWSRGARAGGVTRVAGSSSELKEGEGRGGRKRRARRRRQVARRRGAEPANTTSTANGITTNYSVNSTPPSKPAALDAVPPAAGLLLFLSSPSSSLSSPRAPCRAAPAMRIFVLSGQSNMSGRGGVAPPECAPDPRVLRLSAALQWEEARNPLHASIDDDAFKTSSVGPGMAFARAVLPRLDAPGPGTEARLGLVPCAVGGTAIREWARGERLYEQMIARSRAAAECGEIQAVLWYQGESDAYSDHATAVYRENVEKLITNMREDLGMPQLPFIQVALASGIGSKTNIEKVRSAQFSVNLPNVVTIDAMGLQLNEDNLHLSTGAQVKLGEMLAEAYLKKLLAPPC >Et_3A_026302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6609404:6621092:1 gene:Et_3A_026302 transcript:Et_3A_026302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKQSSSWTEGLEVECEASRRISMDIENLRLQLDEGVKELGYYEENEKLRAEMDLKDKEMQCLRKQNEELQAKYDKLNEELQANYEKQNEELQAKFEKLNEELQAKYKKQNEELQSYEEVQAKNVGLNKNGLMDNVLWPVGIKSMGAVEDVWIFRAACQRRYGNDEANDRAVELAIKWQDELKNPSWHPFKIVDADGRLKEVVDDDARLKYLRAEYGDDVCNVVTTALIEMNEYNPSGRFQVPEFWNFREGRKATMKEVLKYLLRKLREMWNVRTLGGLRSQLEEDVKELGYCEENEKLRNEVLQSKCEKQNEELKAKYEKLIEELQAKNTKQNKDFQSKCEKQKQELQAKYEKQKEELQAKYEKQNEELQDKHEGLHKSVRIQLVLPFVVPLSIKLFLNSSKENMFLHKNDYRNGQGLQEVLPRPVGIGVKRMGEVDELIFRAACQRKYGDDEAEVQAAMLASLWDQELKNPSWHPFKIIDADEIVDDDDAKLKKLRAEYGNSLCNAVTTVLIERNEYNSSGCSPVFELWNFREWRKATVKKALQYMLRQLRVKRRLA >Et_7B_055429.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:10347749:10348237:1 gene:Et_7B_055429 transcript:Et_7B_055429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGAAAEQAWYIPAAMPAPAAETAAERVERLASESAVVVFSVSTCCMCHAVKRLFCGMGVHPTVHELDLDPRGRDLERALACLLGAAGAGAAAPPVVPVVFIGGRLVGAMDRVMAAHINGTLVPLLKDAGALWL >Et_3B_029696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27198544:27200845:1 gene:Et_3B_029696 transcript:Et_3B_029696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSGSIEAPANSRPGSFSFASTSFTDMLGGSAAAAGGASRYKAMTPPSLPLSPAPVSPSSFFNIPGGLNPADFLDSPILLTSSLFPSPTVGAFASQQFSWMQTQAVEQDVANKEEQRQSYPDFSFQTAPTSQEAVRTTTTTTFQPPIQPASLGEEAYPSQQQQPWGYQQQQPGMETNQASFSAPFQATSSDATAMAPHAAQGYSQQSQRRSSDDGYNWRKYGQKQVKGSENPRSYYKCTFPNCPTKKKVERSQDGQITEIVYKGTHNHAKPQNTRRNGSAGAAAQLLLQGDASEHSFGGTPVGTPENSSASFGDDEAGNAGGEEFDEDEPDSKRWRKESEGEGMSMAGNRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTANCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSAALYRPAPPPPADNGAGSSGHHYLGVRPPPAMAYQNSGAAGQQQQQQYAHGFSGGQGSFGLQQGAFGGFSGFDNPMGSYMSQHQQQQRQNDAMHASRAKEEPREDMFFQQTMM >Et_10B_002424.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19314440:19315298:1 gene:Et_10B_002424 transcript:Et_10B_002424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRARDWAAVPTNGLVFDHRSRCERAGRFAYWRSETKKVPYSIWDDAIYIGGGEEILVLDTATMEWSIIAAPFAVGESYCVADLAEHGGLCLVSNKDQLLQLWVRGNDDDEEWVIKKEVSLLKEFGFLKDIRRKEWMKRVRPLALRGDYVLMEFWSIRKSHSYLLVLNLKTMKLDMFRNDSTQPHRGPAFPFFMSSESPLLSLDKQNT >Et_8B_059153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14526305:14534420:1 gene:Et_8B_059153 transcript:Et_8B_059153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGTGAGPPVVNVYHEKSRILPDVSRVLACLYEKNVKFETIKASYKDLLSLQASRSVPVPFYDGPVFLQDSRAICRYIAETYEHQGYPFLLGKDVLERASIEQWLRHEEHAFDPPSRALFCHIAFPPQHEDDDDNEGINRETRKLEEVLEVYEQRLSETEFLAGNKFTLADLVHLPGTHHVITSKRFAYLYDSRKNVQRWWHEISARESWQKVLRDMKIVEEEHELEKQREFEEQQKQQWLTEPSPTFGGRDIRIDPRIQEGTKSQTVLVPPPSTGTISASIIPPAPQDHRTTSDQKSSSPNQRKEGGSFTAPEKPPPPSREIDSTTRKSPSDTPAPIPTTAKTQHENTDTEKFTHKDDPSPTEKPSPPSRQTNLSTQKTPSSVNGTESTFFAPSSTPSSGKMHQETDAEKPSYIDDSSPSKRSNKSPKEAPSKPHVSDFFKASGHKDEAGSLAKPSTQDSSKIPRTSETGDTVFTDKDSHKIIGPHPSDIKRKPTGVSPQVDKPVPLTNTTPDTQTPETPYVKPPEQRGTNTSIGSETGQKLKNDVQTTGTPPNGDTHVISDVDRFSTKRLRAVFNPDIEDSQYPAKQEEAPVVPNKRSEIHDREKKTTTIPDSGESGGSPSTDVQLPYAPSTEQEKRDTLPPKGGMVTKGPEKSPSIQQSPPGAPSSGKLAKTETTNTGAPQGAASRTPTDARSGSTIVHRAEPSTIVTNDEQTDKNSKMGQRKLEATPSKTPHADSHGTSAPVQELTRDARVKQEPGGLGEILDVQNTGDGDTSKKDAVDKRGAAPTLSSQQTTESIKGVSPTLQGITGDDSTKAPPPAPVQAPASSGQNASVPPEGTLDTNGKGEVKPSPVDPSGTSTATTGRLAPIPDTQGPTASGKMSARPSELPLSDTRNEKTGIAETSQTSTAAPNEQLRNMSMKLHEYVDEAYEEQVSAQQLPSIQSGAKLTENRTREGDDAAQNAKTGKPKEEDHIPNANENNNSKAQAATTDGPSKLQIQSGENESKTSKDRGTGTNETANSHSLETSREVQPSTPAKSVEQQQLPGNKFGTSVQNNVKQSSEVTTVGSGTEQLNKNGIPTKDDKNNGKTPGEVRYEERNSSDTQQVKDKTSNSKSDSSSKTEGNEDNLPGSQRITIQNARSILKLPHRIIVHAGGSLARLESRLPTSADAIPADVNVLEHAWKRAHSRSNSTVGTTAAVAAIRDDAMLAGVGRRTRWRSPSLGEGPLQPNLEKQLAHYGPKTAKPKGSKAWGSNLCGVAPSVITADLLNEDVPNEEEAP >Et_4A_034301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31561685:31565385:1 gene:Et_4A_034301 transcript:Et_4A_034301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGGGGGGAGSGPAPEEADRGWRGGGGGGGSGRGGVGGGSGRGGGGGGSGRGGGGSELGDVHSGEDAAVDRLSALPDDLIGRILSFLDTDEGARTAVLSRRMRPVWRSTPLNIDDRRFAGFFNLIQCVQYISRTITGHQGIVNRIALRRLPLGILFGVLGNWISMPIFGAVEQLILSFPGERALLPQAVLRFNSLKHLDLCNCTVSGTMLLFPYLESLRLHGVCVSETVLHFIISNATRLQTLALTQNGGYALLSLSLAYLRCLVVSVNPWEDEEQLQHLDLHHLPNLERLLIDVADFAEPFSISVGIASIPRIFELLLLAYTYTPLQFEFGGDVPNLPLDSDECIECVQSSLKTIVLRSYVGLPEETEWARMLGESAKALQAQSPDHILQAEFVVTSVIVRDQELMLRQLDSNVIFDEVSSIKAHIEDSDVHLQLDVGTWVYRMGVGERFILTFAETLNVDGTLDRGFFTRRFSLSVGTVTYFVQRD >Et_9A_062794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:564808:566807:-1 gene:Et_9A_062794 transcript:Et_9A_062794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRNERDRCTAAISDGLKALETREAKINEVAREDLKEAASWYNKFLGFQVIAGEEGVKFIFDRIDSQHPEKEFWFSIMFDNGSYNLVQCDPPIKEFEEMVKDLNFSGDLFKFVRVAREKFQASSVNGDLPLSLVPHPDVSSVPFSPPVTTSVNSRGEDARNRSNSRSIMNKRLPAKRRDTVLSPDIVRRSPRLKMNK >Et_1A_004791.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5858074:5861154:1 gene:Et_1A_004791 transcript:Et_1A_004791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNASREHGLELVVLCEAAVDNEVERAHGRHGPEEVVEVALVEVVGDPPAGAVWRGGERGHDGVDEGAHVAAERDGEQRERRAGALHGVGRLVVEELQLRDVAEHLGAGEEHVLRDLPRDADLLAAGRAAEPLHLDERRGRHRERHEQPPGAHALQLRRLGSVAGGGGEGPQRRNDDLAVEQDPREDADHLEGGHGRRRHGHRQDGHAGGAALLDEGGVHLRERRGDDDAGGPDGQQPEQDLELLHLRHGAEPPRRRAAVRRLRHHLLQVRLRDHGRLVEEGELARVRDLGLQRGVPLRLVADLVEHGRVGGERVAHLAARGDDDLAQALERRARGHAALVHGRGEHEGGEGQRDAGGGDAEAEAPAHVLLDPHDEQPGHDHPEVHAQVEPAEEGGPALPVRLVVVVELFSSERQQRRAQPAAPDRHEVQPGERDGLRAEQRRAAERRGRGQERREGHHHHTLQMARARQWNQLASCIP >Et_1A_008615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9169329:9173311:-1 gene:Et_1A_008615 transcript:Et_1A_008615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALARASRLRSAVGRLGCPRAFSSSAGAEAEAAAEAHRGARAFASAAALAAGSGLGIWMLPTRPVALADSGLVADAGGGGGGISAAFGGAGAAGEQEEKSRFLFGDSYRRRVFFNYEKRIRTRSPPEKIFEYFASVHNPDGDVYMLPTDLMRAVVPVFPPSESKIVREGRLRGENHSGELHCAPSDFFMLFDTNGDGLISFAEYIFFVTLLSIPESSFNVAFKMFDLDHNGEIDKDEFRKVMTLMRSYNRQGAAHRDGLRFGRKVGQSVENGGLVEYFFGKDGNEHLHFDKFSKFLKQLHDEIVRLEFSHYDIKSSKTIPVKDFALSMVASADMNHINKLLDRVDDLDDNPDLKDSRITFEEFKAFADLRRRLEPFAMAIFSYGKVNGLLTKQDLKRAASHVCGVELTDKVVDTIFHVFDANRDGSLSSEEFLRSLQRRESDIRQPATSGFVGMISCWLNCTKCSFQRMLF >Et_4B_037580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21357843:21361121:-1 gene:Et_4B_037580 transcript:Et_4B_037580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIKYHKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDIRRLDDCRAELHNLLKEERLAGASLLVFANKQDIQGALKPAEIAKVLDLQAMDESRHWRIMGCSAYTGEGLLEGFDWLVQDIASRIYVLD >Et_4B_037525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20851591:20854167:-1 gene:Et_4B_037525 transcript:Et_4B_037525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAGLRQPPAAAASFRGRRSMALLPRAASPSPRSVSRAVKIRASAIYDLQKSKSNLEALFCYDKSVPEEDIGKPAGLNLEKKNVGNNPPCLSCEAKGAVLCATCAGSGLYVDSILESQGIIVKVVGELETLCAPNAEAVDTHEC >Et_1A_006702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26545240:26547152:-1 gene:Et_1A_006702 transcript:Et_1A_006702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAHTQEKKMKVTMVSCFHSIGRVLLFGLLFVSPTAESDGIDPLLPFCKTVGGGSYYVGIGFCVAALGSDKRTRVDDTYESLASIAVDLLTANVTSTAAKIDSLLQKGAATSRRCLLACKALYKEIAARQPGCAAAIKNGRFKEAQANLQKSASAAKVCEAGFRNCLVASPVTVENDNAFELAKLADGLLIHA >Et_8A_057860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8482549:8484675:1 gene:Et_8A_057860 transcript:Et_8A_057860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRIVRADRAGGSLGEMRSPPCIGFISSCKSVPLPAAIGDMESSNPAAASGGGDLPFPRRLPTLARKLTCDPLFTEAHAARNPGPLVVASFKGDDEHVHLMDLSGHVVKRLPVPPWNACLSARVMCSRLDLIVVANTEGRCSVINPCTLPDHSSSEEELVLEEGVWLQDPDYHVFALGRVESTGKYKVLRIASEDHMWYDFDDTLAWNAAFSPSMAAVIVAFRGDLPGAPISLLTWTAPWLSAVLYSTEIQKKIMNGYDIEPDFIAAFNLDTEEWITIPGPRPEDYRGRSEDDEDVEYRDIWSGSTLGELNGYLVLLHERDYYSRLFDLWFLKDTENHVWEKEYSIWAPESVFPARETVKPFLLLDDGRIAFFLHHKGVLLLYDPTTKVFSEVATGRLESIGLSTASYGGHARANSTSRAKEICRFRAVCRHWRSMTSDPRALHRGARLPPSAGSPSSWPPSTATATTSTSWTLSGRVVKPLPVVAEGLTSPVRSLLGDLVSASPTTRTAARCTLINPNTGAVSRLPETSPPEENGRLHVPRVSPGRVDSSTEHRKLGAPALARRIGRASPDVQQRYHPPDQRRRRRHVRWWRPSYFFWWAAHYISRSTSQEPALARPDCVARFDLETELWSTIGGPRLVDGAAYISYVWKEYISMFSTSKS >Et_2B_019390.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26825387:26826073:-1 gene:Et_2B_019390 transcript:Et_2B_019390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAISATAAVAAVGKRLLLSRPASSLSFASRRLAAAGPLRTGLLRASPRRAASSATAPPAAAATIAVGDRLPDATLSYFDSPDGELKTVTLRDLTAGKKVVLFAVPGAFTPTCTQKHLPGFVAKAGELRAKGVDTVACVSVNDAFVMRAWKESLGVGDEVLLLSDGNGELTRAMGVELDLTDKPVGLGVRSRRYALLAVDGVVKVLNLEEGGAFTNSSAEDMLKAL >Et_2A_018044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9319629:9323774:-1 gene:Et_2A_018044 transcript:Et_2A_018044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLELLLLLFLAFFAAPSAAKLDKISKSQFPKGFLFGTASSAYQYEGAVTEGGRGPSIWDTFTHNHPEKIANGSNGDIAIDSYHRYQDDVKIMKDTGFNAYRFSISWTRILPNGKLSGGINTEGIRYYNNLINKLISEGVEPFVTLFHWDSPQALEQQYGGFLSHLIVEDFRDYANICFREFGDRIKNWITFNEPWSFSTAGYSSGTLAPGRCSSWENSGCTMGDSGREPYIVAHNQLLAHAAAVQVYKDRYQFEQKGKIGITIVSNWIIPYSNSKKDQDAAKRGLEFMFMDPLTKGDYPVSMRTLVGNRLPRFTKEQSKAVNGSFDFIGLNYYTARYAQNTEHNSNGNISYDTDSLTNQTVERNGEAIGPKAGSPWLYIYPKGIEELLLYTKKTYNNPTIYITENGVDEVNNEHLSLQEALNDNTRIEFYRQHLFYLQRAIKEGVDVRGYFAWSLFDNFEWMDGYTVRFGINYIDYKDGLKRYPKRSSQWFHNFLHE >Et_8B_060831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8603101:8603429:-1 gene:Et_8B_060831 transcript:Et_8B_060831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENAVSMARDAERLEGMVRARGLLSFQRRNAQTNAWIAAFPVLLCALLVAVQHAVDGELDRPPFRCGRGIQHSTEVQAPSWTPRPAPA >Et_3A_023908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14462324:14470716:-1 gene:Et_3A_023908 transcript:Et_3A_023908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSETAVPLLLPLQPGKESNQPQEGKRRRRWWCGGGADGAWWAEATAEAGRLAALATPMIAVALLQLTMQLISTVMVGHLGEVPLAGAAIASSLTNVAGFSVLIGLATGLETLCGQAYGAEQYHKLSLYTNRSIVVLLIVSVPMAITWVFIPEVLPLIGQDPQIASEAGKYALWLIPGLFAFSVAQCFSKFLQSQSLIFPMVLSSLTTLTVFIPLCWFMIYKVGMGNAGAALSVSICDWVEVTVLGLYIKYSPSCEKTRAPFTWEAFRGIGSFMRLAVPSAVMLCLEWWSYELLVLLSGILPNPALETSVLSICISTVVLLYNLPFGIGTAASVRVSNELGAGNPEGARLVVVVALSIVICSAILLSMTLLSLRRFIGIAFSNEEEVINYVTRMVPLLSISVLADNLQGVLTGISRGCGWQHLGAYVNLGAFYLIGVPVGVVLGFRFHLGGAGFWMGMIAGGATQVALLSIITAKTNWRKMTLNATR >Et_8A_056856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1796593:1800421:1 gene:Et_8A_056856 transcript:Et_8A_056856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQSSNDYPEQTRGQSGSPSHNARKRTNARGLLEKRRGRISSFPPAPRSRKDHTAEAPEAEAFSSLPHPNRRRRFLELRSPPNPHPTSPHAKLAREAADASARKRKAADGSEATDATAAAPVPGEEVAMGDVPHAAGDEVADPNPVASLGADAGGGGCSEPVSVELSMGGDYYHACCGDVNIDIPEGPKLPFVGDKEPLSSLAAEFQSGSPILQEKIKLLGEQYVALRRTRGDGNCFYRSFMFSYLEHILETQDKPEVDRIMKKIEQCNKTLQSLGYIEFTYEDFFSIFIELLENVLQGHESSMGFVTSGEIQRRAEFFEPFISGLTNSTVAQFCKASVEPMGEESDHVHIIALSDALGVPIRVMYLDRSSCDSGNLSVNHHDFIPAANSSEGDASVTSTTATEKPYITLLYRPGHYDILYPK >Et_7A_052531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:929643:932024:-1 gene:Et_7A_052531 transcript:Et_7A_052531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRWNAVTGAAASCRQSPRQRSLPSAPASSSSLTCRQSRREQYDQIKLFKVTASIFVDLENLLLPSQQVFQHEQKGAVGSGAASDEQGRGSAYTNSSNSSRNFDNKVEEEQSIVMYSSALNFFDNKVYAFDNFSTLKHRTTLIKNFIDINIIYSDYNCINLSEIMTEQCIAKIGANDPALTVAHHRTGYTNRFRSSGGHHSSVLR >Et_8B_059738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2277458:2280754:-1 gene:Et_8B_059738 transcript:Et_8B_059738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAVTKRARSGGSSAEEPDRLSALPDELLRRVLSFLESQDVVRTTLLSKRWVDLWRSMPAINLIYTPSCKWTWEKKDFATNLLMLHNAESMDAFKLVIYHLGHVDVRQDADRWIRRAVKYHPLLVDISVPTPCNNRQYQLHFPRLASSLRRLKKLSFNCLHLEHSFAKLIRSGCPVLEDLVLLNCRNEFPDIQSDTLKSLVVRCCSSEAADVLRIKAPALSSLCLGFGLHEYTNGASIEAGHSLVRASVSLMTGAIPQRGATEILVGLVNVTSLELFGFHATVRDNVFQCICIQRRHSKISTRVKPIVVPVEFPMLENLRTLFLDNCDLRDNYRLLRHFLESSPNLEKLTIRLCKLPGTSNGGKGKAKSKKTNSQLQNVMHFQCQKLKSTEIIYKNCGKIPELVSILLDISDTAPKNTITLTKF >Et_9B_064547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16364435:16365964:-1 gene:Et_9B_064547 transcript:Et_9B_064547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEEAKSAPASASATVTALARRRRPRMEMAVAEGFRPAPASDQSGARGGKRRRVACAGGPRPGSRRWPRYGVTAVCGLRPEMEDTVSVRPDFLPGSSDDGNKPHHFFGVFDGHGCSHVATMCQDRMHELVADEFKKAESGKGAAAEAELAWKEAMEKGFARMDDEAADWAATRRGGDDPACRCELKTPARCDLVGSTAVVAVVSSTHVVVANAGDSRAVLSRGGVPVPLSVDHKPDRPDELERIKAAGGRVIYWDGARVLGVLAMSRAIGDGYLKPFVTAEPEVTVTERAADDECLILASDGLWDVVTNETACQVVRACFRSNGPPSSPGASRRNDVLPSEEGDGAAAVKGVDVKAGSDRACSDAALLLTKLALARRSSDNVSVVVVDLRRGS >Et_5B_044035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19237035:19239057:-1 gene:Et_5B_044035 transcript:Et_5B_044035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQGDLRLLGGLPLLRSLDLMVAIDGTTEERLVIGADKPFRSLIYFMFKHFARCWLLFAPGAMPKLQRLELYFEARKREGGGFDVGLENLTSLKQVEFTVDCDGASVAEVEDAEAKCRDAIDKHPNHPTFELSKEYESDMEEGEEKRRSEALEQQMCSDFLVLDDESNNGFRAKCSYLPLQVQDEDMMLAQD >Et_8A_056075.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:16752124:16755342:-1 gene:Et_8A_056075 transcript:Et_8A_056075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGRRWALLLLFVAVAAAQEPNTDAFFLSKFFSKLGREAPPSSSSGSGGAGGVCSWPGVSCDGEGRVTAFSAAGTGLSGDIPLDTVGKLARLERLDLSGNRLTALPDDLWELGATLRALNLSGNAIRGALPNNVGNFARLRELDVSRNDFAGALPPALGSLAGLQVLNAGGNRFQGQVPAAVVLGCGSLVALDLSGNALDGDLPDLSPLRSLAYLNLSGNRLTGSVNGAFQEQLRVIDLSNNRLSSLNFSSGYTGSSLEYLDLSGNELHGEFTIAGRFRNLKHVSLASNQLSSGSLLISMGEISGLDYVNLSSTGLHGQIPAQFSSRLVGLKVLDLSRNNISGVVPDLSSLKLQVLDLSVNNLTGEIPVTLVKKLASMERFNFSYNNLTVCASELSPEAFAAAFARSRNDCPIAVNPDSVKKGRGKRKGMKLALAIVLSLFFAVLALLCLAFACRRQRKRSDTLPVVKQVSFKEEPAVSGPFAFQTDSTTWVADVKVATSVPVIIFEKPLLSFTFADLLAATSNFDRGTLLAEGRFGPVYRGFLPGGFQVAVKVLVHGSVMEDQDAARELERLGRIKHPNLVPLTGYCLAGDQRIAIYEYMENGNLHNLLHDLPLGVQTTEDWSTDTWEDNNGGAAATVNITAEGTATWVFRHKIALGAARALAFLHHGCIPQIVHRDVKASGIYFDSTMEPRLSDFGLSMIAGTSTDNDLLHHSPGYMPPEFSNSENAMATAKSDVYSFGVVLFELVTGKKPLGDEYPDQKETNLVNWARAMVKANLGSSIIDPNIRDTGLERQMEEALRIAYLCTAELPSKRPAMQQIVGLLKDIEPKVVEQD >Et_5B_044730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5165603:5171366:1 gene:Et_5B_044730 transcript:Et_5B_044730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRFNTPASTPPNGVAGYRTALPQVRNASAAPSPSAPVQNPEREGGMEMGRGWQELGVVDTIYEDDHEEEDDDEEGFDSPTVSSSAATSLSCSPSAPSAAHASLPPALRRAVQAWSRANGSRKPDVIVRVQEHCLPLHRDVITSQSSYLRRRLLDSSDVAVTLPSGLTFDAFAHAIASCYGADAALLSPATLASAWAAAGWLEMSAEDADGLARATEDYFFRVVATDHAGAAAAEVARSCAAFLGGEAAGPAAALLVRCLEALAASGLGAGGKWLDDVAALPVEQFMVVVEAMRARFVHDHDLLYTVVDRYLEAHLSSHLSPRLHSATNHKGKLTEEEKSRVCYAVDCTKLSQHLFMHLVQNPRLPLRFVVQAMLVEQLHSHHSMILGHHHHAAGIAPPPLLNLKGSSLSGGVAGAADAASMTLGDILQRDNALRQSAHIRASMRATSARIESLERELAGLRTRLRRSEQQQQQQRDAEAAAIDRAPGKSASFRIRRSRLWDGENLAAAARNNVVSKDTNSASSRGFKSRLVHGFKNLFGRRPAAGTSACGVRVKGAAAAAAADALEREVDADEVLCMEERWRPHRRNHSIV >Et_2A_017116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31059665:31061840:1 gene:Et_2A_017116 transcript:Et_2A_017116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALLLSPAPPRPRIPNLRRRPLPSIFASAPAPLVFVTRHAVVLSGATSNAGAAVRSSLPRLAAAASEAATSGPSDPAPVSADDEAERAKLAQVSKKLEKTAQYFKSLGSLGFWSQLVCTTVSAGILSFSAVANGRATAPFTLWATAVGIVAAFISVFRSFGYIRLSERLRRTAKEPAKAPPRADVVMSLRNNIVLNVIGMGAAVLGMQATVGALVAKALTTSLVPYYQGIPPGQSPVLALDIFLVQASANTILSHFLGLSTSLELLRSVTLPQADAAPVPKPA >Et_7B_055990.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8983894:8984784:1 gene:Et_7B_055990 transcript:Et_7B_055990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWDTDTRAPHTPVHGRTAWPRLQRQASQPAAPSLFKLAVASSPPPPPPPSPNNGRIQFPTAFNPPSFHDFTPLHSTALHSRAKARSPLALPSSAQRDQNKTMGGLSVIAPPAGDTASRPHRRARRAFLVSNYLILGAASGCGFLTLSLRLVPSVDGFLLILLHALTVAAAVAGCAVIAAPEPPRGRCYTAHMAATVVVSILQGAAAVLAFSRTAEFLSDGLKSYVREEDGAVILRMVGGLGVAIFCLEWVALALAFVLRYYAYVDRECGGNPMRRSAKVGGEDGAGNWPWPFQV >Et_6A_047994.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:306727:307083:-1 gene:Et_6A_047994 transcript:Et_6A_047994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQTAGSNDNSRVVQHGAKLARQLIMAARRDGRQFVWRLLAEVWTELVVYVAPSNDEAHVKGHADMLPEGVELITMLWAFAMHTGVSRSASNPTTQQQQQQQQQQQQEDGPLPVELA >Et_9B_066209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7037746:7041632:1 gene:Et_9B_066209 transcript:Et_9B_066209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSNNREEFKAPSGDDALMISPGPEAAAVAEAGEEVAASPPEPAASPKPYYECVFCKRGFTTAQALGGHMNIHRRDRAKPATREALAGMTTVSRNVECYNKYRHLVSYPPPAPSTAATAIGSSSSSFGMYYPGTAMAALVDTEGSASPSSVNPRELSLFGAATSTTRDHHDLHLGLACHGDSGDGSSRVPEGQSSERQAGEPEKELDLELRLGRLFQKADNSQSQPAEQPETQEVQDDPPFE >Et_3A_024823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24575541:24577123:-1 gene:Et_3A_024823 transcript:Et_3A_024823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPETLFLALNCVQCDTMQVKPQKKSSNKWVCVVCNQRQSVLRIYARGYRAADLRRFVQEANLSRGRRELALEPEPEWGTEATTEEQQDEFPRQKKRTDWSEYLDDQEVRGGCGGVEEAAPDGVTDIEVVTELPQERSKSRPHKAQSGVAGKRPKPSTHPTFPKRQRAEQVSSPYYATATAKGNTCKSKLHTGTLDNGRIIKRFSRIITKLEIISHLFCEQKHQNGAIIWMHTYLERKNGSESSEQHRTELDECATTEVVVDDE >Et_5B_045729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8408057:8409566:1 gene:Et_5B_045729 transcript:Et_5B_045729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDALYRVVFDCLPPHAGGDDDVLRASDAADDTDVDLAGDGEDRISGLPDRLPITDAVGTQLLSRRWSPMWKRTPLVLDDLDLLRGTGHDARAGYVSRALIHHPGPFRSVIHLLRLPRRQPRHAARVTDRLVLVNPSVPIDLALPWDILRCCDALQHLYLAFFTFPSTKGLGLGGCSFPELKELVLCHTLVEPDDLARVLRASPALETLALVASFGFPVEVCVDGQSNSRLSSLVLWHSTVEKLSLVDTARLERVVLWNNTQFSSGEFTSVRLSGTSSLSVLGYRDLGFHRLVIGDTAIQPSGTKNHHQISVVPSVRVLALRLELGDKQHAKRLAAYLRCFPSVDTLHVESYARENSSVKVPLSFWQKNAGAIPCVSESVRDLFFHRFRGSKSDMAFLRFFIEEAAVVQRVIVIMSPECQAEDVWLKIVDLGRSERASEDLDLQFISQQSSTITFEVASDWSVRDPFYCMDGLRVAENKTPLDKEIVEEEEDT >Et_7A_050883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1258805:1259491:1 gene:Et_7A_050883 transcript:Et_7A_050883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPVPVLLLLALFGALCRRAGATAFEVGGDDGWAVPSSKDGGMYNQWASKNRFLVGDTVHFKYKKDSVMVVTEDDYNNCRASHPIFFSNNGDTSVDLDRAGLFYFISGVAGHCERGQRMIIKVIGHDAPPPAPQAPPAPPTPPSPPSPSGAAPGTSVLAGGAMAVAAAMALPVIVLGV >Et_8A_057086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2096263:2097529:1 gene:Et_8A_057086 transcript:Et_8A_057086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTGMELEPPWSDLPSDALREIAGYLRDATDAVRFRAVCTPWREAAAAAPCLLPWLITPSDFATPTKLHFRSPLSGKNVSLSLETFPPNRRRRLYRPDAANVRIFASSFFVDDGTDSLVSPLTGEAIAVTRVTRPPPHRWSFRSPNGIFDFDTASDFDIELCDFLAGHVRDDSTPRAGRGAMRRRLTRPASFPGSSIRQGSQGPSRCTTDRRSRGGAWPEVPAQCPGLFSFTSSKGPRQPGRTWHVLEFQGEVLCVEVLDILPCRRRRAREKMDLVSVRALRVGNDGKPRWVTMDTDHLCLFLGSACDSSLAIDVGDFAGTGMTGGCAYFIGRRAPGKKGFAVFRYTFQNGTATVVDELPHRFDKRSKWLMPRPRLSAVRAQIRA >Et_2B_019887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14900675:14903140:-1 gene:Et_2B_019887 transcript:Et_2B_019887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRNRPQYHPFTQQQLPACKPILTPQMVVPVLVFVGLIFIPIGLACISASSKVVEVVYQYETFCVPERMLDNKVAYIQDPSIDKTCTMLFKVPKDMKMPIYIYYQLDKFYQNHRRYVKSRSDMQLRYPKNVNDTRSCKPEATVHGNPIVPCGLVAWSLFNDTYSFARGNETLMVNKQGISWRSEREHIFGKHVYPRNFQNGTLTGGGRLDPNKPLSKQEDLMVWMRTAALPTFRKLYGKLEVDLHADELITVTTQNNYNSYSYGGKKALVLSTVGVLGGKNNFLGRAYVLVGMACLLLALLLTLLCIVFPMKEEHLALRYPTSRLPRR >Et_7A_050547.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:24043857:24044156:-1 gene:Et_7A_050547 transcript:Et_7A_050547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREAKVRVPNTFMDGAAYIGGEMRGRLRGVSGYDTLYITSWWNLRFDDVEFGTGGPARVVGDMEHKVVPACILCGRKDKADGIAAMALCVREDVVRRK >Et_4B_039180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8528075:8532111:1 gene:Et_4B_039180 transcript:Et_4B_039180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAGETPVTDEAPPPRALYSFGTPWPEFNAGLSYTDKFRCADADTTTTLIGFYSVNYKSSAPVPGWIQRIRNGQIAVDGEVVTDPETTIRDGSKLVYHRRPWQEPFAPHLLEVLYEDDDMVALNKPSGLQVLPKGLFQQRTVLAQLQLKDWKMASSCRSKKKDVQSHPVPVHRLGRGTSGLLLCAKTKIAKVRLASYFAEGAIDAGNKRDKTEFGKERKISKFYRALATGILDDDEVVVTQPIGLVHYPGVAEGLYAACSSDDPLYGIGGHPKLDEPESLGTDGSFAYDGGYERPVQPVPGDCGYHLHAHWLVLCHPTTNKMVKITAPLPEILQTREERRSAAEQVGG >Et_2A_015123.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31130816:31132075:-1 gene:Et_2A_015123 transcript:Et_2A_015123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALPANAVAQEAGAPAPNPRYRGVTKRPDGKWGAYLDSRGPRLWLGTYAAAEEAACAHDAAARTLKPGAPTNFPEPDGEELRRRAVVLAHVARVKSKRAKRMAKQAQRKMDAARAAMAVAAAVRDAVSAVAPPLALPAPEGDSSSGSEAAVVAAVAVSAVSPPAAPGSQPGLAPEAGVVLDIGSQPGSMPSLPDPEPTVVIPAPERDANRKRKRAEAVTDAAVSTASPPPASAPLGEASASSLYTEVLAPAPIGFSFPQPVAHSSALPAPAPAANTSATQFPPTASAPVATTPQAQELLEDQCLQDMRTTTLAQLGALTQLRSPYTSSPFLEDMVDATLSHLEALTRLRSLRDRARVFGWTAPAILTAGPSFAQPYIPTAAPNDALAFQPFAAPTSNWPANSSAGARGSDPAGTTE >Et_2B_021645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3913161:3915020:-1 gene:Et_2B_021645 transcript:Et_2B_021645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYASASPNYPPLSSSSRADGYIRASTGKSDARLPTANGVVLYTDDDEWSTWKKIGDEVLHIELRKWADIMVIAPLSANTLAKIAGGLCDNLLTCIVRAWDYRKPLFVAPAMNTFMWNNPFTERHLQTINQLGISLIPPVTKNLACGDYGNGAMAETSQIYAFVRLACKPRTHDASSSLVNPLSNDLPSS >Et_3A_027153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3568439:3572088:1 gene:Et_3A_027153 transcript:Et_3A_027153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMAGVDASSPAALVNAETLNMFVGRRVRTVVQVQRNEGGIVVGQSTDGHQLTIKGVSDPIYAPRFMEVYGIAEGNQAIRAEVCTDFGDNFGKTQHAYDKALHIGHQTMPHCNRNSSVLQDAVKCNEFCSKPPPLPPSDQAYLPGVERLRAPKPRHPRTRPCRYGSDVVRRAPPPPPGSFFAAGALSDDVDRRPGCGAGQGRSAFLDRDGQIAGGQNPDLVAPAPAASARWFSAPASPQPVPVRRSRSDILRRMLLPDVDVDDDDEEEEEEADAKGSDGSATTTLAARGLRGGDADLARRVRGAARPARSSSSSSSPSS >Et_6B_050004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:356777:363441:-1 gene:Et_6B_050004 transcript:Et_6B_050004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCAKGADQATAADTDPAAEPKTEGPSNGGEPSANNAAGPRPSTGAKPVGPVLGRAMEDVKASYSVGKELGRGQFGVTHLCTHKATGEKLACKTIAKRKLATREDVEDVRREAEDAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRKYGPEADIWSVGVMLYIFLAGVPPFWADGFRQVMKLIDGLLLTENENGIFTAILRGQLDLASDPWPKISSGAKDLVKKMLNIKPKERLTAFQVLNHPWIKEDGDAPDTPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEITGLKEMFKNIDKDNSGTITLEELKNGLAKHGTKLSDSEIQQLMDAADADGNGLIDYDEFVTATVHMNKLDREEHLYTAFQYFDKDNSGYITKEELEQALKEQGLYDAAEIKEVISDADSDNDGRIDYSEFVAMMRKGTAGAETTNPKKRRDLGLGHLPTPSLSVSKTAVTPRPAIRRPVPRLSLRSRSAPSFLQQQRRPISWQVDPSRDAFFPRSSTLRYIHPPRPPLNQPRHPKLSKDSGMPFKKQEGKIV >Et_6A_045874.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:22848146:22848475:1 gene:Et_6A_045874 transcript:Et_6A_045874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVCATGVKKAVVVGICITLVFLSMGPPAVADVLEQCRNECRPICDGYSTHICRYIARRVSIVEETCKVRLSAQCTSTCRYTISAMHELFMRAFASTSPLALVTCLRA >Et_3A_024472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21002363:21009658:-1 gene:Et_3A_024472 transcript:Et_3A_024472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVTKPGQRHRRSSPMHYRVTQKNQTIDVDYSDKKETSNKGEDDARPPIQSETVVGNDIVDCPELNLRTMHWMQRHHCMGCMSIGCREFKLELLKYHANSHLRLKECKILANANAWAPSKWEECPWILGRLEDSFREPLGLEIVHVTSPRIRIMVDEQNWHFNHHTGWVHDISDLHLLVCFPAEHNGRWVQSENLCYQEMWFMSICWRKLKLKVLKDHTDSHLCLEQRKVLADADARSATEREEGTSVIGRFGDSLCKPIRPKLMHIASPNIWVMVDEEHGKLNDNTSWVGDASDLYLFGCFPHESSTRRVQSKNLIKNHGHLHHR >Et_5A_042951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7499638:7504150:1 gene:Et_5A_042951 transcript:Et_5A_042951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRANVAQGWGGCAGMKEIDMMPSRGSGWMARRRRRKKWPAMTRWNATQTALARPTSARVGRRIRIAARRSSVREVSIGAGGSGSRAGDCEAAIAAEMELWPSLSRHRSEWGMGRGELAGKSGTPHGRGGDPVMLAALGFYFLLGLNSHAFGDPVPNPASNKNKVTISESEKAKLNDRARRLLSKPIKFFHEMQELFQGTNADGSLAKDQETCMDDGEESGSDDLGGINDMSGYEKAVDIPSDDSDTLPSAKRLKTSPTSAEGGSSSSTPRSGANRPRPKGMKSPGKKPPKPKSRLSEATEVFNSTMQTLAKAFAEPPPPPPVPKLDHNPYVNLWKRLEALPLRTEDKINVGVYLARPDCEGSSVFEVVSNQTASCYHKAGHATPSAHD >Et_2B_022945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:913918:919532:1 gene:Et_2B_022945 transcript:Et_2B_022945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQQVVKLSEHKQGSHAMPVAIWGSLPQRRSVTNPCMDYHPFGKKQKREKKILNSSNSPDPRSMKFQARSSPILSSPKATNSSSSISHTLRLCYSVEESTIPPLRSSDPPTYLPATLLRPPPMALSLPFPIPPALLHAATALVLAAAAHFLHLPSFLLYSLHTYIHPDAVPSTTPRAVLRPPGSNSGPAPSSKRRGANKDESPFDASSAQLYRLRLSHTTLATRPHFSAFHFALLLPLALLLPALLLPVASAVVPLAPLVPAAYLFVALLRHIVLPSPRPAQLAAALGSLLVATLLSSSPFAGTLASLAALPAARFARAFWLGTDQPRTGLAVLASSAPSRLLLHLAVLVSSAAAVLRCCGYLGGGPEQEVTLLTAAAGLQLLASRPAVQMYLNEAVFCWYQRLHVSRTPDTEYGRAKVFLHNHHLCAVATQLVAPPLLVLSLLALWRMQGKDFFDGLEGLDWLVGWSIAMKEAALLAARWIVAVWSADVGSWCQYGSMLIRL >Et_3B_028368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14253807:14254957:-1 gene:Et_3B_028368 transcript:Et_3B_028368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATLMQPAKIGGRASVSMPSSRPSSHVARAFGVDSGAARITCSLQSDIKEVASKCVDAAKLAGFALATSALLVSGASAEGTPKRLTYDEIQSKTYMEVKGTGTANQCPTIDGGVDSFPFKSGKYNMKKFCLEPTSFTVKAEGIAKNAPPEFQKTKLMTRLTYTLDEIEGPLEVGSDGTLKFEEKDGIDYAAVTVQLPGGERVPFLFTVKQLVATGKPESFGGPFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELVKENIKNAASSTGNITLSVTKSNPDTGEVIGVFESVQPSDTDLGAKAPKDVKIQGVWYAQLESN >Et_1A_005160.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30010800:30011054:-1 gene:Et_1A_005160 transcript:Et_1A_005160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYISEEYVVQRRAERRAARNAAAAAAARGGREEKAARPDSAGEQKRWAGAWAEEKGKRAGADDANASAAGRVEDVIFSYFSA >Et_1B_013687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12069953:12076962:1 gene:Et_1B_013687 transcript:Et_1B_013687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSTLARTGLSEVISLGIGRQPDASTRLMGILPHQGASQAITIDPLLHRRESESIPPRNPFRSCDLHHRKESVLEVSNDFSPVNSASVLAVIDPYQCEQNRDSGHNQPYSLQMGLRLEPYYCYGERQATMIPLLEQKTGIMTSREARDDLIPVPAVKMSTVCAASVGVILSKGNPFPMTAPSSTTSPSRSPHSRSAVVPGTDLTRNSNRAPDPGGDATAMNAGLSSPSAEGTRTWRYCPGATYESASAAASERSTATWKTDPSAVAAPTTETGAGVQTAASCWTAWAVVRGRTRRRRKGRARIGRWEEVEAEEGEGWGWRRVGRGGAEMAAAAAIATGGADGRWGAGGAATDGKAGI >Et_1B_010176.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:28048477:28048707:1 gene:Et_1B_010176 transcript:Et_1B_010176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRRAGLALQVVVAALLLSAVLGAAARPLDGDDGWAVVGAGGPLPGGGVTTFIVEETGLGPSCNTNSPNNGCPP >Et_6A_046608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18018665:18022188:-1 gene:Et_6A_046608 transcript:Et_6A_046608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEYARVVGNASSSNWVVEMEKMIEDTSPSAEKVRWKKTSIYRVPEWHKDLSNRKAYRPWLVSLGPFHHGETDLLPMEEHKRRAVLHLVKRARRPVREFVVAIEAVTDKLLDAYQGLDEKWRGENRGRFVEMMVTDGCFFLEVKRTFKLAMEDEADDDYASNDPVFSKHGLHYLFGAIQVDMVVIENQLPLLVLERLVAVQHGTPPSPREINKMVQRLLVGSQFPVMHDLGLHPLDIYHNSFCGGRRQHKESDEGDFIIPTAVELSEAGVHLTASNTPWVHDVNFENGTLSIPVLFVDEITEKKFLNLTVFEQLYSDAGRDFTNYLFFMDHIIDSERDVALLRSKGIIKSFCSSDKEVAVLFNNLTKGTTSNANTKLREVKHKVNAHCARRRNRWRSIFVQAYLSNPWVFISLVAAVTLLVATLLQTVYTVVPYYTKN >Et_6A_046978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23499763:23514121:1 gene:Et_6A_046978 transcript:Et_6A_046978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGSKRAWMTEVEETLSEADEVSPRRHSIYRVPACIKDLNPKAYQPQVVSLGPFHHGDERLRPMEEHKRRALRRLLRRAKKPVHEFAAAVEEEMEQLQSAYLDLGAEWRGGDEGREKFLEVMVVDGCFLLEVIRAAAGGGKHVGDYSPTDPIFSRHGVVHMVPYILRDALMLENQLPLLLLAKLVAVETAKAPVSAFFFFLSRESYDVVHSKIKEIILRSLVSLSKKSYITITLNDDAINRMVLRFLSPTSHLPPPGVGLGLHPLDVYRRSMLYGPYQMVEDPYAMPATDIIRSAVELYESGIRFKKSSTDSLHDICFRHGVLSLPAVSVDDSSWYTLLNMMAFERLHVGAGDDVTAYVFFMDNIIDSAQDVALLSSKGIIQNAIGSDKAVAKLFHSISKDIVLEPDSALDVVHRQVNAHCRKPWNMWRANIIHTYFRSSTSFINFVAASIVLVMTIIQTIYAIISYKGQDKSGTAMAPAPGRGARAVHRRANAHCRRPWNVWRTKVRHTTYFRSPTSVVNSVAASIVVLMIVRPAGSMAAGGWRGWVVEVEKMLSEADASVEVSRWQRHCIYRVPASIADRNPKAYRPQVVSLGPFHHGEAQLLLMEEHKRRALCHLLRRGRRPAGGVRCHRGGEQLQNAYQDLDAKWRDVEGTEKFLEMMVVDGCFLLELIRASASYANDYAPNDSIFSPHGLLYKMPYIRSDMLLVENQLPLLLLAKLITVETSKAPNDDDINRMVLTLLSPISHPLPAGVGLGLHPLDVYRRSMLYGPYHKHQCRHALVLETDFIWSAVELYEAGIRFKKRTTDSLHNIRFRRGVLSLPPVSVDESTEYTLLNMMAFEKLHAGAGNQVTEYVFFMDTLINSAKDVALLRSKGIVQNYIGTNEAEATLFQSISKDVVLDPGSELDAVHRMVNAHCQKPWNKWRANIIRNYFQSPTSFINFIAATTVLVMTIIQTVYAIMSYKGQDKSGTTMAPAPLAIKSLLTCQSIGSMAAAGGGSSKWAWTAEVEEKLSEADASVEGSRWWRHSIYRVPACIKDLNPKAYRPQAVSLGPFHHGDERLRPMEEHKRRALRHLLRRAGRPLREFAAAVEEVAEELRGAYRGLDAVAAEWCGGDEFLEMMIVDGCFLLEVMRAAGAGGGRDLVGGGGVYAPNDPIFSRHGVLYVVPYIRRDMLMLENQLPLLLLAKLLAVETAKPANDDTVNRMVLRFLSPTPHLPPHGTGLGLHPLDVYRRSMLHGQYQTPEGRHDVLASDMDIIRPATELHEAGVRFKKSATDSLHDIRFRRGVLSMPAMSVDDATESTLLNMMAFERLHAGAGNDVTAYVFFMDSLLKSAKDVALLSSEGIIVQNAIESDEAVAKLFRGVSRDAVLDPESALLAVHRRVNAHCRKRWNVWRTKVRHTTYFRSPTSVVNFVAASIVVLMIVVQTIYTVVSFYKG >Et_9A_061878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17465875:17469564:1 gene:Et_9A_061878 transcript:Et_9A_061878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLSLIPAAAFIDAAAPCAMPALRLGSPALLLAARRPGPRPPPWLRFGGGGARRGLLCSAEAARRGGDEDAEEERRAGAVGRAAAERKLRCGNAAVGSGELLAIPGVGPRNLRKLVDKGFEGVAQLKQLYRDKSVDEELKDTDSSKPFPKKRLTFCVEGNISVGKSTFLQRIANETIELRDLVEIVPEPVDKWQNVGPDHFNILDAFYAEPHRYAYTFQNYVFVTRVMQERESQGGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMQRKRSEEGGVTLDYLQGLHEKHESWLLPSKGSGSGVLSVSQLPMHMEGSLPPEIRDRVFYLEGNHMHSSIQKVPALVLDCEPDIDFNRDIEAKQQYARQVAEFFEFVKKKQETPPEQTSNDKDRMNQQIMLPNGGHLWVPKGPFPGGSPMNMDFRRAMSSYLST >Et_4B_038798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4964895:4966716:-1 gene:Et_4B_038798 transcript:Et_4B_038798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIDTRPLESVREAVNLFEPRIDQLNRFSPDRNEDEIITLTKELATCKLQLEVKESQAKHAILKIEALEKAMQELSEKHDSRVAQLEAENSMIRSRQFEMTDECEALRDELAIVKWELDAAKASIASVLKEVEVMEARAIMERESTKEALIRILQLNETVLSSAVVAIRAEEERSVFFQQVTLEMFNSDKNLEVIRRQMETMEGMESELLAKTIEIEYLRSELNQVKEKYMPMHEVCDAAGGCNEMEDRQVQVCETSVRDQGLEAEFTFQPAPEDCFVSEIFRKDSQVVPSDGVLKVSEDVVDQKAEATVQDAPVFETDGKIIDAENDQDPVEAEGIPPDSTACEVKLLQDREDPKCDASFVLEISRDDFQSVRSDGKDVSITMPEHVAGNREQGAEAAGTFDVVATENVCKDEEEFYTKELDAEQGDGTLDGYVLVAEGADADAVKDGKLLDAARAEISDLRFSLEEAVRRAELAEEAKAALERELREEIRNKHPSRRRPQVVSSDSEDAARRPAAREGGTPTTPWRPPRPTLGSARPGGEDLPTPRCVTLGRVLNMKYK >Et_2A_017436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34286026:34291380:-1 gene:Et_2A_017436 transcript:Et_2A_017436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPWPTPRSARQAAELHALLTTSGRLLHPPFAAHLFNALTNCLAPSEPQLLRYALSLFDRMPHPTTFLFDTALRACFRARAGDEPIVLYRRMHRRDVLIDAFTFHFLFKCCSSARTQAPLCRMLHASCLRTMLPSAAPLVANPLIHMYAELGLADDARRAFSEIPAKDAVSWTMVIGGLAKMGLLDEARKLLMQSPERNVISWTSLIAEYSRAGQAAEAVDCFNSMLSDGITPDEVTVIGVLSACGQLKDLDLGRSLHLLVREKGMSMSNNLVVALIDMYAKCGDMVCAQEVFDAVGRGQKPQPWNAIIDGYCKMGYIDVARSLFDQMDARDIITFNSMITGYIHSGRLREALLLFMQMRRHNLSADNFTLVSLLTACASLGALPQGRALHACIEQRLAEEDIYLGTALLDMYMKCGRVDEATVVFQRMDDRDVRTWTVMIAGLAFNGMGKAALEYFCWMKHDGLRPNSVTYIAVLSACSHSCLLNEGRMYFDEMRLLHKIHPQVEHYGCMIDLLGRSGLLDEAMDLLRTMPMEPNAVIWGSILSACRVHKDIGLAQNAAEHLLKLEPDEDAVYVQLYNIYIDSRQWEDASRIRRLMEERGVKKTAGYSSITVAGQVHKFVVNDQSHPWTSQVITMMEEIAYRLKSVGYSPITSKIAVDVDEEEKEQALLAHSEKMAIAFGLISLPPNLPIHIMKNLRVCEDCHSAIKLISKLWSREIIVRDRMRLFVTMAVRDIISLNRMITKYVQDGLSGRALAVYRWMVTSGIRETPHTFSAILGACSACEGPQLHGRVLSMGLCSNLFVGSALVNHYMSVQSPHAALLLYDEVPHRSAALCNVVLRGLCNLKMTEELLCSFLDMRRQGLELNGLAYCYALKGCHQDEDWLEQGRQLHGVVLKAGWIPSNIFLSNSLVDLYSKMGDLIDAKNSLNDIPSEDVISWNSIVSVYAGNGHMKEAIYYLRQMLWHGKIPSVSPIIGLLASSGRTGDLQLGVQIHGVALKLGFNWCSVHVQTTLIDMYGKCCSFDRSIAVFNEIPRLAVECCNSVITSSIRCNIFNVSLEVLYCMIEEGVLPDNVTLSATIKATSLSASSSLISCQMLHSWVVKLGFETDIAVSSSLISAYARAGQINSSHWIFESLQDPNIICFTSIISACAQYGDGARGVELLNKMVSSGLKPDDVTFLCAISGCRAV >Et_5A_043002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9623893:9624668:-1 gene:Et_5A_043002 transcript:Et_5A_043002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMMAPPRARRRPAGAITKKPSLPPRCSAPTASPAPRPARHADGDTRLRHARPSPFVISGPCHADALDDNKNASISFSKGAQVRVRTPVGTLCTGQRLVLWLSAIVVSAAEEDDGYLGVVYTHYKHPRTDPSSGAVRVPKRDVKDLLLPDAAIATSTATSAGSSTVTTISHSASPPQGDQATAVPVRRPTTAGKSASAGSLTVTVVSRSARPSQGKAAPRPTVAGKKPLLLKKMEKEMRSRSDAILASW >Et_3A_024150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17868802:17869107:-1 gene:Et_3A_024150 transcript:Et_3A_024150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSPDRYATGEVWFGREDVGTVYGLIMQCWPILRVRCNLRYEKELFFKEDCRSCLTDPFSLLRDWNGSAIAVPVITVSSAEDSRGVQCILTT >Et_5A_040242.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:3404582:3404863:1 gene:Et_5A_040242 transcript:Et_5A_040242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACCHAGKSGGENFPAIAWLLARTSIRDGWIVRYVRTHAHQWHFLCSVLEQKRRCVRAVVQASVTGRRSLCACHNSSSYIRCRANATVPLRT >Et_10A_002023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1885796:1889172:1 gene:Et_10A_002023 transcript:Et_10A_002023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTVTRWEEAAAALCASRPVALHVAEAATGPYLAFLFPSSPAPSCCLLNRVFVASSRYHWDYNITLKAGLFNVHPTIVGEPFHSLGEATAAMKRNSTWASATQTLTEGYYFTMKDGLFHLHPNGLGGPFDQLDKATDVIGRQRASLKAYEQEQAWQEKIRIMDQFLDEVDLDMYEILEMLRCGERDTEPPEPVTRSSVDDFPSFWEHGRCDPAISEAADRFEEIVAKKEAIKNGMKWMESEVLQAFETYKADYRGPKYEFVKLDKQCLIYDTFSNSYHHYNFTMKKKSSFYLRKGERKDLQNIGIINNSLLKSNLQRKGNYSFVVRCNLERKTLILSK >Et_3A_023387.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24772576:24772803:1 gene:Et_3A_023387 transcript:Et_3A_023387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDLIISLLEMILLSLPLKKRMLSRFRSHQSTEGATTQLRRTRLWSWHGRKCLRMQWCLSMAGSVSVEERSGRR >Et_5A_040727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11642048:11656379:1 gene:Et_5A_040727 transcript:Et_5A_040727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNSSGFPGFHNHSYDRDYARPLFRVASFSDSGGEQERHASSPRGRGRGLSRTPTKATAASRLSPSVSGKMSMKKMQQAVDEMSMEDEEMELMKEKYTKLLLGEDMSGGGKGVCTALAISNAITNLYATVFGTCNRLEPLPPEKRSMWNREMDCLLSICEYIVEFSPTVQAMPDGSKHDVMATTPRSDILMNLPALEKLETMLLGILDSFEKAEFWYADQRNQSFNESKKSFQRSEDKWWLPEPCVPESGLLDRTHRELQQKRDQASQIHKMAMEINSSILSEMQVPLSYLETLPKSGRVGVGDAIYRYMSSGDQFSPEQLLNFLNLSSEHEALEIADRVEAAMYVWRRKASTTHVVSKWEHVTELNADGDKNLVLASRARSLLLCLKQRFPGLSQTTLDTSKIQYNKDIGQAILESYSRVLESLAHSMVSWIDDILTADENAKRGHKIRMQKQKWYISEIKSESYLCGRFHGESKRKLAQHINAAHLFCKPFTFFSVNSP >Et_5A_041120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18497078:18499927:1 gene:Et_5A_041120 transcript:Et_5A_041120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDMLERFLTGFVLSPSLCIQELSSSMAQKSKSSQLVRHALQFWGAWGLRIAVLFSVGAHVSLVFLARTRRQHVSIWGKLLLWPAYMIATEMSATYALGKLSLDGTATSCEHQLVAFWAPFLLLHLGFPDNLSAYAMEDNKLSWRQAIEVIQRVSGAFYVLYKYIYHGDAGDLRSASGIMFAVGAFKYMERGYALRRGNLDHIRDSMKKERESGGPVVPLKPVDRGTTLDDEEALLFAHEMFHFCKRAMVDDSSSEEDKNSIASSERIFSLEWRSMCKVLEMELSLMYDILFSKEALIHTWGGYLIRLFAPPATAVTTVLFFLFPKDCLNSTADIIITYILLAVTVLLDVLWLARALGSSWAYAFLNARLGASLHHVLLCRGWRRLRSIVVSLDPSCWLLGRDPTSYRKWSGKIGRYNLLEECTRARGCTSDSVLGFATLYACVSLCHLYICQISESFKTFIHTPNERFALHIFPRYMNPLHPKVKMLLFQRIQERLPRRGRRPPRLGDKPKPKDPYSRIDITKCWGQVAAKRRPEVFLPDDQPCFGHEFQEDVLVWHIGTSIFLAHAAGQRKLIREGDCQKYAMAIETLSEYLMILVAQHQHMLPGLVLRSLLEVTKEALVTQWTSGNKNSYNSVASKDIFADILYDKKFRKEDWGHSQGDVHGEGNSRLIADGAELAILLFEKSMSEMLEFIFDVWVNKLLHAGTRCNKESHAKQLSRGEGPLSPQLHVSDEPSMEPMEPRERRRMGARRFATLYPPSI >Et_1B_012980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4545910:4547379:1 gene:Et_1B_012980 transcript:Et_1B_012980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPKLVLVALLCSYHYHSLVAHAADTRTHKVLDIESMKAKTVCSESKAIPSSSVGTTLPLHHRHGPCSPVSSSKKMPTLEERLERDRLRAAHIKRKFNRATLGSRVQQLDAATVPTTLGTDESSLEYVIKVGLGSPAVTQTVLIDTGSDVSASRARGLFDPSASTTYSPFSCGSAACAQLGQEGAGCRSSQCQYQVGYGDGSTTTGTYSADTLTLGSSTVSRNFQFACSHVESGFDDQTDGLMGLGGGPQSLVSQTTGTFGKAFSYCLPASSGASSGFLTLGAGTSSGGFVKTPLFRTNQPTFYVVRLQAIRVGGKQLNIPSSVFSAGSIFDSGTVITQLPQAAYSALKSAFKAGMKQYPSAPPQGPLDTCFNFNGQTSINIPTVELVFSGGAVMNLDGNGIILENCLAFSAGNNPSVIGNVQQRTFEVLYDVAGGSVGFRAGAC >Et_1A_007826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38666235:38669023:1 gene:Et_1A_007826 transcript:Et_1A_007826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGSSAAAAAAAVSDDEDKYEEYIPVAKRRAMEAERLRQLRHSKAPSAATPSSSLPLPPPPPLPPVHSAGPDAAAAKPSLLVTATQLKRSAPEVTATEERILQEKEMIENLSEKKSLMSVWELAKGIIYTEPLQTGWKPPLRLRRMPLAKANELRRKWHIIVEGDDVPPPARDFRDLRLPEPILRKLRERGIVQPTPIQVQGLPVVLSGRDMIGIAFTGSGKTLVFVLPLIMVALQEETLMPIVPGEGPFGMIICPSRELAKQTYDVIEQFLVPLKEAGYPEIRPLLCIGGVDMRAQLDVVKKGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPKKIQNFAKSALVKPVVVNVGRAGAANLDVIQEVEYVKEEARIIYLLECLQKTPPPVLIFCENKADVDYIHEYLLLKGVEAVAIHGGKDQEERLHAIDSFKNGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQTETTLLDLKHLLKEAKQRIPPVLAELNDPLDDEEIIAKESGVKGCAYCGGLGHRVGDCPKLEHQKSMQIAGSRKDYFGSGGYRGEI >Et_1A_007616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36521022:36523390:1 gene:Et_1A_007616 transcript:Et_1A_007616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGEPSSTSSPPSPTSAPASQPKAKQRLEVEGYPVDGISIGGQETCIIFPSLSLAFDIGRCPQRAISQDFLFISHAHLDHIGGLPMYVATRGLFRMRPPTIFVPACIADLVARLFEVHRAMDQSELAYKLVPLEVGQEYQLRKDLKVKAFRTYHAIPSQGYVIYAVKQKLKQEYLGLPGSEIKRLKLSGVEITNTVTTPEIAFTGDTMSDFILDPDNVDVLKAKILSTFLDDSISIEHAKEYGHTHLFEILSHSEKLENKAILLIHFSARYTAEVSISCQCFRALYLYSMDVNTGHRTPIFGGN >Et_2A_014627.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29939892:29940574:-1 gene:Et_2A_014627 transcript:Et_2A_014627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTAKGDTRSSPATTAMNEVGPAASPTVGERRALGQSGSGARRRVMGLARSSVLCPDASDTNASSPADAAAGEKDPGAAGSGSGRRDQDGTSPVGSRRRRWWPAEGTAKAKKGRCGERRRWEWRNGDEKRRP >Et_2B_020876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24529447:24533623:1 gene:Et_2B_020876 transcript:Et_2B_020876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGFVPDLATCRLMAVNEKIALIRGLSKFHLSAPQELQEWSRREIIEVLCAELGKEQKERTFSSMPKHKLLDILFKVVSGEFSYPRKHKKTKSIPDSKSINEKRELVRGLLNYHHSAPEQLQEWSRREILEVLCAELGKEQKERTFSSMPKNKLLDFLFKVVSGESSYPRKHKETKSIPEPKSINEKRELVRGLSNYHHSAPEQLQAWSRREILEVLCAELGKERKERTSQCLVVNGESFHPLKHKKRKSVPEPKAVNIPPTSKRQRKNDSAALLPVRAATGLSAPRNNDHICHNSACTATLNPEDKFCKCCSCCICFKYDDYKDPTLWLFCNSDQSLQEDSCGFSCHLECALEDKDLAFYRVDSQRNWMVIITAFNVGNKMIYSGIFLKRYFKSPLFCIQTWHTSVVILLFLSYTCWRKQLWVAKDARRLDVLCHRIFLCHKILISTKRYLVLHEIVEKTMKKLEDELGPITGTLDVGHGLVCRLAVGAEVQKLCIFAIESFESLFSSSLTADLRTEIQRSCITHCEPTSKSQNIKHGGTGEVDDLEKSPRAAAAVFDGKEEIPRPDAQAALLRKSSSLMAYNRVTLKQNLEMIFFESETATLANTGNMLVAPPEYSDSLLHIRGIGTIKLKGVAEKNFEEAISGNHVSQNGCLKTEMDRESFSCKPSLGGFDDREDKDGSLRRDTTAPTSLPRESPDLIHNNSGALHKLNADASRMKNAPGVLAEIGNRITLGNEIGATSFKSENDHQIPQPSKPENEPGKHDEIGREDGCPEASYEYCVKMIRRLECEGYICADFRLNFLTWFCLRATRHDRKAVSVFMDTLIDDPASLAGQLRDTFSDAIRRKGQPRAPFVFGVELRP >Et_1A_006120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18065808:18085113:1 gene:Et_1A_006120 transcript:Et_1A_006120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALSSIAGDGAPSGSSSAIVAGATSGYHELRIDGYSRTKQALPNGKHVDSRPFSVAGHTWVIRYYPNGVLPDNADYIALFLVLKSSTAEDVLVQFVLSFIDQVKRHAPSFVSTGSTNRFSAKSCSYGRTEFIKREDLEQSERLKDDCFTIRCDMIVIGKLQADDTVASSSPVPPPDWPQHFSALLMSQQGADVRFSVGGEVFAAHRCVLAARSPVFKANLFGEMKEGTNTEACIWIDDMMPQHLVKSCPSALVEFMSSLLPADKRDIKDGAPSGSASGIVAGDTSGHHVLRIDGYSRTKHALPNGKHVDSRLFSVAGHTWAIRYYPNGRNKDVADYVSLYLVLKSCTVEDGVMVQFLLSFTDEVKKHKPSYIRGTRDVNRLSTKMRVSGRPEFVKRDDLEGSGRLRDDCFTVRCDMIVIGKPQAVASSIVVPPPDWPQHFSALLLSEQGADVRFSVSGEVFAAHRYVLAARSPVFKANLFGGMKEGTATEACIRIDDMLPQSNPKQQQAPPDGLRSPSIEEHTASPLTPPAMPHAASTAVASASTITAGSTIGYHVLKVQGYSTTKATVPNGHYIESRPFRVAGRTWAIQYYPNGDRAEASDYISVYLILKDRVAGDKGVMARSCFSFVDQVGKQKPSYLRALPARKFVANDPQYHWGFYKFVKREDLERSTTRLKDDCFTVRCDMIVLGETRLADAAVAVVFAPPPPDWPRHFGALLRGGQGADVRFVVESKTFAAHRCVLAARSPVFSAELYGTMREGAAAVDHNNNTSLVRIDDMRADVFGYLLHFIYTDSNPFHPVRLPHRASTGNVG >Et_8B_060553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20833462:20835636:-1 gene:Et_8B_060553 transcript:Et_8B_060553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAVLDKLLQNGEEAVAAPRAFVFLVSLFTLVLLLNSKKVTSYRPAREEEVALVNGQDQARLLLATRRRRRRHERPPLLLRQRPHLPGQRPHPAPLLIPHLSIDACTIDGYTIPAGSRVVVNAWAIGRNGEYWANPDKFVPERFIDCNDLESKGKDFRYLPFGSGRRMCPGIHAAEATLEIMLANLMYQSNWFKQQTLTKLALIPKLKLPLVTGYPMPCRLVGNATKSRLQRTPTPSGRMSSTSSVSLAGAGQKQRTTFLSLAATTPKTATESAACTKSTKASLYLDVSGGGAAPGG >Et_5A_041040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17029960:17041818:-1 gene:Et_5A_041040 transcript:Et_5A_041040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLISLVHLSFYYGDQVPFFSELPSLETLKIEGFHKIKSISFPHHVMTLKKLEIIDCKELLSIYAYSLSVSDLKVVRCFKFDLVGSLLEDHLGHYTAGSRSFVLKIKEDTDISDDGDLKKSGDFFQNLILCGKYFRLCYSCNNRNSTGCKALKILQPNDNNLNKLSIMYINAHNHASPNDLHLELSTQLGTTLKRKESEASEKYIIHTLRDALLQFAVKSKKLASPMLEPFGRRPEPATVDADELTALRSKLRRIRATLHDAESLSVSDHSVRLWLSELGDLEHRAEDVLEELEYESRRASQLEELKIDLLCAATTGKRRREVSLLFAAAPPRRLRRKIDDIWARYEEISSDRKKLRLRPGDGVPRPAVGALLPTSALLPIGQLNGREHDIEKVAALVQEQPDGGRNYAVVPIFGMAGVGKTALVQQVFNMDAVKSRFELKLWIWVSQEFDVVGATRKIVEAITRSRPECGELSTLHELIVERLAGKRCLIVLDDVWDDNPSHWDSLMAPLSCCAPGSSVLVTTRSRKVAHMVNPKLYHLKCLSDDSCWLICQRRAFLNNNGGIGQELIGIGKKIAKKCGGLPLAAEAAGSALNSSVTLRHWDEVLESDLWADKDVKNLVLPALKVSYDHLLTPLKRCFAVCSLFPKGFVFDKDALVQLWMALGFVDSGVECSPEVIGNRYFNDLVSRCFFSPSPSHDDGEGKFIMHDLYQELSQFVSGSECRMVENNHSMKMDESPRHLSFVSDQFQSGKELHLNFSHGHRDLRTFLFLARTEQNHGEIPYRAMIPSGLITGFECIRALDLSNTNISELPDSIGNLIHLRYLGLENTSIQMLPESICALFHLQTIKLNHSFSLTQLPHGIKLLLNLRCLEIPQSDIQMPSGLGELTGLQRLPFFTVGNESAGCGIEKLSELVNLRGHLHIKGLNNLDSAQAAMANLSNKLGIQRLTLEWSEPTNFSKNLSDLQSTALNGRVDNSTIADQVLKCLKPHSNLEELTIKGYNGSFFRTWLGWLPLNRLSCIELKDCNSCKELPPLGCLPSLKHVLIQSLPNIRVVGPEFFGDVGEIASSSNSEVFNVFPVLESLTFRNMEAWEEWSGVKSDHFPNLKFLGIAKCRKLKLLPKFTSEPELRIRHCDLLQMRLCQKYWNPMQNIPSPSEISYTYTAEGDTLVVEASCSYGWHNHKDKMRKNNDHHISPTN >Et_2A_017488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34881904:34884636:-1 gene:Et_2A_017488 transcript:Et_2A_017488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKLMNLLRACWRPSSNRHARTGSDAAGRQDGLLWYKDTGQHVNGEFSMAVIQANNLLEDQCQIESGPLSFFDSGPYGTFVGVYDGHGGPETACYINDHLFQNLKRFASEQNSMSADVLKKAYEATEDGFFSVVTKQWPVKPQIAAVGSCCLVGVICGGMLYVANVGDSRVVLGRHVKATGEVLAVQLSAEHNVSIESVRKELQSMHPEDRHIVVLKHNVWRVKGLIQVCRSIGDAYLKRQEFNKEPLFSKFRLREPFHKPILSSEPSICVQPLQPHDQFLIFASDGLWEHLSNQEAVDIVQNSPRSGCARKLIRAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDANLVSRASTHQGPALSLRGAGVTLRSNTLAPYASQS >Et_7A_052656.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1134416:1135756:1 gene:Et_7A_052656 transcript:Et_7A_052656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDQGAAVKDQVALSMRLLCNLGSLDAPTNLAFSPLSFHAVLTLLAAGATGATRDQIAAFLGPAGADAHTALASDVASFVLADRDGEVPEVRCAMGVWVDASLRLNPAFADTAASKFKAAARMVVFGDDPAAASAEINDWFRSQTGGLVKDILPEGSIDNSKAVVLANSLCFNGHWYNPFFPELTKDGAFHVSPDHAVRVPFMTGSHQHTFMDIGCHPGFNVLRMPYNGNGGAQLFAMYIYLPDERDGLQDLVRQLSSDPAAFLHKGIVPERPVTVGELKIPKFEVSLKVEASRLLQDLGLDLPFHPTADFSAMLLDSPQGMAVSSVRHQCFVNVNEKGTVAAAGTIGEIMGCAMPDDHIVDFVADHPFLFFIMEEQSGVVVFAGQVINPLLH >Et_4A_033332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21501035:21503724:-1 gene:Et_4A_033332 transcript:Et_4A_033332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQMVAVAPPSTALQVLVDACRAVFDGSNTLPTATSVSFVGPDDVTLLDEVRFFKEMNDADHNPPVVTCKTVYECSNFAVTVFFLPQGAAMPLHNHPGLTVFSQLLVGSAHVVAYDWVCPRVCATGSGVGPAAMHLAEKVLDREFTTASDAWVLFPDSGGNIHRFAPGEDGPCAFLDVVTPPYSPARHGPAAFYQDFPYELHPDVVSGDLTEEQRRRLAWLQEIDEPMDLTPEDLQLASHTLRQLVGRLGARVTARIIIVMGQNLQQLVVSGLLLPAQFVRLADDSAHELRRAATCGEVLGRVGDLRVRREHRAHHAHDG >Et_2B_022396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20101762:20106361:1 gene:Et_2B_022396 transcript:Et_2B_022396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRAVRAAEEDAVLEADLEDPNPDVEELFRHYDALYFRGALAAACFVVKWSSSLQSSSFGSCTFSKPRNTITLSEPVLQYRSCTDLKKALLHEMIHAIISHGPVFRAWMDAINSCSIKDLQRPVGGYNITTRHDFKPEELLSFRGVLWKCESCGDTLPRSTNQGPPSDACCIEKAGHDAPCGNMLCHWHNHENECGGSYENMGLQSVTPVEKNVPKGLDGQNRLLCFMVVLIQYYLIYLNQLGTQLLLTYPLETSKSKGAIQESNSAELPENTKVTINAEDEHLSLNSLMKAAKRHRSEVVQKARVLAVEPSKELKPKQDSAGTEKHKLFSPAGCNDAKSLATTSKNTSKKHKLEDVQEPNVLPAEALGKPKLNQQLVPTEGHKLLSLEGCTDGKSLEISSLQKISKRRRSEVVGEASVPPDELPKGMKLKQALVASEDHGVFSPAGCNDAPSPGNGFSKKSSKQHKPEDIQKPSTLLDLSQGEPEPNKKLVPTETHTLFSLEGCNDAKTPGNINAKKAGKQHKLEDVQRPSALSAASQGKPKLTQELVATETRKLFSLASCNNVKSPGSSTPKQTDGQHKPKSTQKACAQRVYPLRRLKKDPVAQEKNVPIHLTGCSHEKILNKSSPKKARKQFEPEDIEKTTMLPAVPGLKLKESSFVSLRKQQRKCRRQPARKKEFAVMSECMNIYESDRSSGSTEPLVNKRTVRRKRERERIQIYSRSKKKVNSAPLDDSSIPVSDSGIKFGTGSLEDESAQQSRSPFPCSNILLPVSADQVVTEATGGHSRTSAPCLDIVPLQPADAHGSIPSDSAIIDISDDE >Et_7B_053811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12383513:12384275:-1 gene:Et_7B_053811 transcript:Et_7B_053811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAGGTGRAGVDNLGRGVLVFNKFCLLGRDPMPLLKRTPFPLLEPPENLDPNEKVFQVRFTKEIFRDYQYPFAYHWCQLIGL >Et_4A_034874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7447109:7455003:1 gene:Et_4A_034874 transcript:Et_4A_034874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLELLLIQFLMPDNDARRQAEEQIRRLARDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITSHWPKLPPHAKASLKEALIDSITLDHSHLVRRASANVVSVIAKYAIPAGEWPELLPFLFQCSQSPQEDHREVALILFSSLTETIGTTFQSHLNNLQPILLKCLQDEASSRVRIAALKAVGSFIEYVNDGGDIVKMFRDFVPSILNVSRQCLANGEEDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVCANQDLEINIRQQYREAAVTSLGVISEGCCEHLKDKLEDCLKIVLEALKDQEQMVRGAASFALGQFAEHLQPEILSHYASVLPCILNALEDPSDEVKEKSYYALAAFCEDMGEDILPYLDPLICRLVMSLQSSPRNLQETCMSAIGSVAAAAEQAFTPYAEKVLEMMKGFMVLTNDEDLCARARATEVVGIVAMAVGRARIEAILPPFIEAAISGFGLDYSELREYTNGFFSNVAEILGDSFTQYLPHVVPLVFSSCNLDDGSAVDIDDADSVDNGFGGVSSDDDVNDEPRVRNISVRTGVLDEKAAATQAIGFFALHTKSAYAPYLEESLKILIRHSGYFHEDLRLQAIISLKHILTAVKEIPPTHADVLEKQKEVLDTVMIIYTKTMTEDDDKEVVAQACMSLSDIVKDCDIARLAEATLVLLRQESSCQQVESDGEDDGDIDHDEVLMDAVSDLLPAFAKVMGSYFDPIFAKLFDPLMKFAKSPHPPQDKTMVVATLAEVAQEMGAPISAYVDRIMPLVLKELASSDATNRRNAAFCAGEICKNGGAAALKYYGDILRALHPLFSNSESDDAVRDNAAGAIARMIMFQPQSVPLNQVLPVFIKALPLKEDHEESMTVYSCICNLLLSSHPQILPLVPDVIHVFAQVVVSPDESDEVKTNIGKAVSHLVSVYGQQMQPILSALPPAHANALAAFASKR >Et_1B_013714.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:13820853:13821770:-1 gene:Et_1B_013714 transcript:Et_1B_013714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLFTPTAAVSVLAATAAAAGGTYISKPLFTGLLSSTPFLWVSANVIIIVIWLLSSSRRSHTAAASPAGDVLSAVDELYPASDYEGYSSSVVSGGEGPAAAAASTTPRRREARAASRRADRPRLPKTKLPAGETAARAATRIATAVEPRRSPDDVHEVPGPVAAAVEQAKPEDGGDDDVSLDSLWQSMVQRRAVRPVAVRKSDTWGNDELPRLERAAETAARRREMRKSASSVAPAPPQEPSAARQLGWRTRDVLMRAHDDLLRRAESLIRRHHEQLRLQRQESDQRRAMELQQRGRPALVRV >Et_2B_022015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:843938:847882:-1 gene:Et_2B_022015 transcript:Et_2B_022015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNLALVIVAVVVSVVVLLVSLYLLINYQHPDDANQAYFPKLVVVLGLTVAILSILMLPADVANRQACKRAVYNGACHLTLPMKTLWLVVYIVDAVLVFLVIPFAMFYYEGDQDKSVGKRLRTALMWVVASAVVCGLILGILYAIVGKVDFTVRHLSSTVQPFPNSFSGFSSGQPCFSQLPKVCAASTAPPNSLTTWTMRATFPEYVVALATIVGSVLFTIFGGVGIACLPLGLIFSFVRRPKAVITRSQYIKEATELGKKAKELKKAAEALHQEERSGNKGRKWRKNVKAVEKELLLLEDDVKALEEMYPQGEKAEATWAFTVLGYIGKLIFGVVGLIISIAWVAHIVIYLLIDPPLSSFLNEVFIKLDGVWGLLGTAAFAFFCFYLLLAVIAGEMMLGLKLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVALAILTLIYYALFGWRKRKPTGRFQISN >Et_6A_045796.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:1100242:1100517:-1 gene:Et_6A_045796 transcript:Et_6A_045796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QVVTLWYRAPKFFLGARHYSTPVDIWSVGCIFAEMVNQKPLFPGDSEIHELFKIFRSSFTHVAKTSYSVLLLVLLVIFFGTDASFAYFLIL >Et_10B_002377.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:15523395:15529474:1 gene:Et_10B_002377 transcript:Et_10B_002377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWWGTRCTAERLWCRKFFSWEYFTRSFSVLTASIQSDCSSGDERLSCPSDNVQIRKQPRSLTSASVVQTLRCLKRRPTVAFAYFKDTKSIGFHHDFSTYSEIIHILSHSGQRKMLLSLFCEIVSQTGTGAPEMLPLIHELRKTCATSYALTFAINFLVKAYTTCYDALATIEMFMHLCRLGFVPSVWACNFLLKFVAHSGEIGMVLAAYDQMKSFQLTLDAHSLNIVTRSLFQENKAIEAYKVWLEIREMGVKPDARGYLSFVSGLCDCGKYNLASLFLEDITRERVPIEAVACNIVIDRLCKQMKLEEAEKVLENITKRGFTPDAYGYSCLIRSYCKMGYLGKALDHFEAMEYHGIKINCHIAGYLLQSMRKLGLTSEVTVHFKKFRDLGLHLDGVLYNIAIDAYCKLGNMNEAVKLMDEMVSEGLSPDKIHYTCLINGYCLNGEILNALLTFDKMLKENIKPDTVTYNVLASGFCRSGVVSEVFDVLDHMMDQGLEPDSVTCGVVIDGFCRGGYLSEALVLFDRVEVRGISNVEVLYSAMVCGYLHSGCIDRAFMLFRRVAEQGNLVDHLSCSKLINNLCRDGNVKGASYVFSMMLEKNVIPDKISYSNLISAYCQIGNMDNAHAWFRNMVEQGLEVDVIVYTTLMNGYCRTSRLQEAHMLFVQMTNSGIKPDVIAYTVLLDGELKETLQQGWQGIDKDRSCSLLIAKHKKLLSYMEDKKIEPDVPCYTVLIDGQCKAKYLEEAQGLFDEMLRKGLTPDVYTYTALINGYCSEGEIAKAKYLLQKMRDKGMKPDALTFSVLQYGKLSSQKLLGNTSFVYAVKLKFSCNTLFV >Et_7A_052751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15287708:15291965:1 gene:Et_7A_052751 transcript:Et_7A_052751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGASGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQYQWAEKQLIVLDSSREEQNQEYFTIISQAKKKTGVIAPKRFVQRVKKQNELFRSYMHQDAHEFLNFLLNELVDILEKESSAAKDSPQSSSPEKVPNGPVQPLANGVKKEPPVTLVHKNFQGILTNETRCLRCETVTARDETFLDLSVDIEQNSSITSCLKNFCSTETLNAEDKFFCDKCCSLQEAQKRMKIKKAPHILVIHLKRFKYIEQLGRYKKLSYRVVFPMELKLSNASDDVDTEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMVEEQTLQTFFGSSHEYSGNTDHGYILFYEGLGGKS >Et_5A_042459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1279494:1280437:-1 gene:Et_5A_042459 transcript:Et_5A_042459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSHKFIPIALLVIFAMVAPSLQQSAAIRAEEGAAKAGKTAHAVVAPAPSPRGAPMKLKWFLLPDFPCLPFLPKILLDLCHALFPPPSPSPPPRPQVKECRSSLTKKLVPPCTGFLTNSSESEPSKDCCQEINSFFDDHTTTPYCLCHLARGSIGKLLPAPLIMNRTVSTLVECDIGLDASSITGICDPKNARLPEVAREVGRFRAGLIIRDLDCGSITIRDA >Et_9A_063095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10173175:10176994:1 gene:Et_9A_063095 transcript:Et_9A_063095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQRNNPRKRGPPAAAPEADRPVALALPGIVADRAEAAARVEGLLGYQFRDRALLEEALTHQSFFDGAGARGGGGAAPSSYQRLEFVGDAALGLAFSNFFYLTNPDLGPGALSTLRAANISTEKLARVAVRHDLYPLVRRNCPRLDLLVGQFIESVKQEPEDAIGTVPYGGSVVKAPKVLADIVEAIAAAVYVDCKFDLEKLWKVTRWLFEPIITAETVDEQPVTTLHELCQKHGKAVKFNTWRNGGMTVVNVFVGGELVGIGSSEHQIIAKLNAARDALGKLTGGATLQVLTTAVDNGSKDGIGELRECKQKLTEQCIRKNWPKPFFK >Et_2A_016309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23143243:23145255:1 gene:Et_2A_016309 transcript:Et_2A_016309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGFGGGGGGGGASGGGASQQQAGAAGGGAPAPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKVRAQLHTLLNKALRRQELLRLQAPRTDKASMLDEIIDYVKFLQLQVLSMSRLGGAAAVAPLVADMSSEGRSGGGAASGSGSDGMAVTEQQVAKLMEEDIGTAMQYLQGKGLCLMPVSLASAISTATCHMRPPAGPIQPGIGATAAHHMAAAMRMSAMNGDAVPASPSMSVLTAQSAMANGAAGADGEASQQQQQTKDAASVSKP >Et_1B_011502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2365238:2367340:1 gene:Et_1B_011502 transcript:Et_1B_011502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHITRPLLAPYQHSMALHSYDCCVLPPQLDWDWDWDLLQLHTLGGAGGDAALQEAAFQLSCGIVLLAAGLESPGSSEASSGYLQDAVAHWSDRGNKRQRTAATPPPRRPAGVSEDLQCLLESFWDPRAEGGDGDLSHDLNTTIIPEMSCSFVSEEDRAGALGREEQGQRGPSAQESAAQGGEEPAAAAVPPPRRSAVRAAAAPLLMAPHAPARRDDRSRPVVHCCEPSRAAAAASTTTTTPSASRPSAPAAAGKKTGAGVLYPFAVVKPLGLDDGCMTTLSDVNRRILKRPARPVRHPVGMFACGPAVTARGLGLSGKAVVSLTRIRTGGTGTITIIRTRG >Et_3A_026422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7963343:7964921:1 gene:Et_3A_026422 transcript:Et_3A_026422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGGGGAEAHARYKQYEYRANSSLVLTTDSRPRDTHEPTGEPETLWGRINPRSFGDRAVQNKPPELQEKLAKSKGKKKRSSGDAADPDLPSKRRRRAPSHRDVSVLSLTDDVVYKPRTKETRAAYEALLSIIQQQLGGQPLDVLGGAADEVLATLKNDKIKNPDKKKEIEKLLNTLSNQTFDQLVSIGKLITTSMILPGTLLLVLRLLVPMAWTPPWMMTLVLLSSSKSPMKTRRVQDERDEDEENDAAELNGPGGMQMGGELDDDDMQNTNQALSVNVQDIDAYWLQRKITQAYGEMDPQQNQKLAEEILKIIAEGDKIDVENRLVMLLDYENFDLIKLMVHNRLKIVWCTRLARAEDQEQRKKIEEEMVGDPSLAPILEQLHATRASAKERQKNLEKSIRDEAKRLLNSDAAAADGARERRAVERDMESGWLKGQRQLFDLESLSFHQGGLLMTNKKCELPDGSFRTPHKGYEEVYVPA >Et_4B_039817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3302451:3304572:1 gene:Et_4B_039817 transcript:Et_4B_039817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFAGRKRVWKKGPTRGKGGPQNAACEYRGVRQRTWGKWVAEIREPNKRTRIWLGSFATAEEAALAYDEAARRLYGPDAFLNLPHLRASAAAATHQRLRWLPAAAGRGGAAVPVYGLLNLNAQHNVHVIHQRLQELKNSSPSSNKTTRAPPAQQQLSPPLHLPPASSSPCSTVTTTTGGAPADSAAALLPPPPMSCFHALEQAVAMTAADDDGAAPCEEEAAGDGAGKPQLDLREFLQQIGVLKAGADEEGGAITKEYGEGDAAGAFGNGEFDWDALAADLNDIAGAHGGAGGVNGSAFQMDDLHEVDQFGCCSFPIPVWDVCDIDGFWYEWKFPDKAWHGNECVCVGDGKTTRFWTSELAARHGTAELYSESCTSI >Et_8B_058671.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:15459813:15459863:1 gene:Et_8B_058671 transcript:Et_8B_058671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSLLMPGAPFFFQS >Et_6B_048906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15299799:15302267:-1 gene:Et_6B_048906 transcript:Et_6B_048906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKPYVIAIVVQMIYAGLLVVSKAAFNHGMNTFVFTFYRQAASSLLLLPIAIFLERNTFTLNVVSASMKFTSATVASAAYNGVPVATFCIELLFRKEVVKPRSPSGIAKLTGVALCLASIFVITFYTGPPLSPVTIIAPLPSMLQISKTPGRMAWIRGTFLMALAALALSLWIVMQDGLLEGYPNKMLVTLVFLASTVQSFAVAMVVERDFSEWKLRLDISLLAILYSCFVVNGVSYYLQAWCVEEKGPVFIVTIWNPLCLVFTIFCSTFFLGEIVHMGRLCTITVCNMILLLNGSIAGGILLVGGLYSVLWGKNKENKVALCDEMDRTEDEQNHIHAEERKEDRKVAGEEEASVSKAVEQRDLAL >Et_5B_044447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2498314:2502276:-1 gene:Et_5B_044447 transcript:Et_5B_044447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGGGEDNEPYLLGFIVANIVGMRYYTGKVTGREAVGLVREPLNEYDGNAIRVLNRRNLQVGHIEKSAAAVLAPLMDSHLLAAVHGIVPKASAKAVHRLPVQIHLFARPAAVAIVEAAIYEGKLVLIHADHPEFALSESAAVMEQTKRKPDRDVDKLFSLVGKEGKSRIEPMEAPGDVVTSELFDHQKEALGWLVHREESGDLPPFWEESKEGGFENVLTNQSVNERPPPLRGGIFADDMGLGKTLTLLSLIGRSKARNADAKKARGAKRRKVEGAGEGPRTTLVVCPPSVFSAWVTQLEEHLKAGSLKVYLYHGERTRDKKELLKYDLVLTTYSTLGAEFDQEDSPVKEIDWFRVILDEAHVIKNSAARQTKAVIALNTERRWVVTGTPIQNSSFDLYPLMAFLRFQPFSIKSYWQSLIQRPLEKGDKTGLSRLQNLLATISLRRTKDVETGSKSMVNLPSKTVLACYIDLSVEERECYDQMEREGKNKIQEFGDKDSILRNYSTVLYFILRLRQLCNDVALCPVDMKSWLPADSLADVSKNPELLKKLASLVDDGDDFDCPICLSPPTKTVITSCTHIYCQTCIFKILKSSSSRCPICRRSLCKEDLFLAPEVKNSDDESGNLGSDRPLSSKVQALLKLLKTSQDKDPLSKSVVFSQFRKMLILLEKPLKAAGFRILRLDGSMTAKKRLDVIQQFAHVGPDAPTVLLASLKAAGAGVNLTAASTVYLFDPWWNPGVEDQAMDRVHRIGQKKEVKVIRLIVKGSIEERILSLQERKKRLISNAFGKKGGKDDKDVRVEELRMMMGLQ >Et_1A_008989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23486519:23494650:-1 gene:Et_1A_008989 transcript:Et_1A_008989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKKADTPAAGGNTGLDSLVRRITRSMSSKRKTSSKDDEECPNPSSKRRKIVSRKVLSHRKKKLQLDDLEEDRSTRKQMRKKKEKSAEKKMEKSAVKKKQKSAEKKKEKPAEKKRRKQKSTVKKGKKEIAARKRQPVVDESLVEGEESEAEHGDSEQSEAEHGDSDELSADNGSLEVHDESGAEDMETATKELPALLNTRKKTKKPIRKRGSANRLIKLHEGLSDKQKDRIKEVGFGRLLEIQVAAVPEKLSKWLVSIFNTETLGAESKQAPTLKEELEWFSGAGKGRLDDDWLRRYLIFAVSVVLCPNAGEKLIVKVYHSIHNLTKIKGFNICNLVVEELVKGIKKMRKGNQVASGSLLFLTMLYLDSLKTRIAMADDRPTKRIGLWDKDLVQTVIKKDEKKDRESKESTEEDEEEFQDYANSGAEQSLSEEDINNDEERMQNDGSEDDASHTEKEDSGDSKDDSNGTCPENTRNNDERMQNDGSGDDGTQTEKEDSGDSKEEDCNGTCPENTSNNDEKRTRNDDIEANPSQESADTIICSTLKDINAFAPEDKRVEHEDKDVLNVATENNDHNKENDDVRDRNEDEDNNGNDQSKKPDSKASEEGKQDGVNQGGNGKPQGHTQGDVGAPPQVSEEKSFENEESSYECTGTPGSATEGSSDTLREYMMQDDLYPKVDPLFRMRELPIFLDFHPKLDEFKGLEEVPPLPNANLEFLPSKQCEERINEIFAIEKLPMPEGHRKKLRDPKGIKNKRTKCALPRGNQLRKQIAAKARSLATEEQPLENEGLATNKNDQGVKVGKATDDGGCKDQIPEEHTAKQNEDQALTEEKKDEEHTGKQNEDQVFTEEKKNDTITEEKKNEDASLASSAKKNDHAAKGPVTYERKKQAKKVHFSEPNETINMAFVEPARKQIKASRKVSASRVNQDKTVAPSVAGAHPEEKQDEGPSGALENITQSKAFQDAPNAPSFSLGVSSQESGDSLTKSIVEKAYSNVDEVLRQRKEERRKQRIYYGETSDEDTPETPQEHRMYPNVDLTTADTFKTFAEADDLYSHVVRWRTRDKDLSPVIFDNDNIKARATEVAMSMSSYRNLESVAISIAAQVLSTSEGQEHKKFISAYDTQLLMADGYLESLRLHKIFDEGHKNIDYIMFPIYEVSTERREPTDAYSGGHWFVVILNIKDGLFDVVDSYRKPNNQALQQTAQRVRGRIINLWNKVTSKRDDCQVKNVWSFPLNHFAGFKQLNNFSCGIFTIKAMECWGPTRMLPKIANRDCPRLRKILVHQWLSSPQNKVDWRNLDWKTLNEQYKLNDPSRTTCGLPVD >Et_1A_004922.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:16910906:16910998:-1 gene:Et_1A_004922 transcript:Et_1A_004922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSWKIGDGKKIRFWEDQWFGTSILAIQY >Et_3A_024034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16464972:16485796:-1 gene:Et_3A_024034 transcript:Et_3A_024034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METGSSSSSSAAAAAAAVSRRRGALARTLASRRLPAGVAAEPGEPVPGAVAPEVMPFIRAADEVEPRNQRVAFLCRKYAFKKVQRMDPSSIQRGVRQFKTYMSIKLDQDDTQVWGNDAKVIQQFYMEYHASMNQVSERKNFEEMARYYEVASALYEVLRDVTGNEVDPQVIRCAEMVEQKGHHFKNYKYNIIPFNFPGSSEAIVELPEVRGAIDAVNNIDGLPMPHMSSMHWERDEPLPDLLDWLSLAFGFQKSNVENQRENMVLLLANISTRTFGEEGHPLVHTVDELWQKIFGNYHSWCRYLRVNSSIMHDDTEQNREQPKLLHIGLYLLIWGEASNVRFMPECLCYIFHHMAKQLHEMIDANYFQPAPGFEQEGSFLKNVIEPIFKVLQKEAQKSRGGTTGHSSWRNYDDLNEIFWSEKCFAKLKWPWDLTSDFFYQGRGTTRKPKTNFVEVRTFLHIYRSFNRMWMFFILAFQAMLIVSWSSSGSLSGITDGTVFRNVLSVFITAALLNFFKVVLDILLTVQAWGSMEWTRIIRYLLKFVVAVAWTIILPLTYSSSIRYPSGAGKHLNIFIGNWYNQSVYNIAIVIYIIPDIFAALFFLLPRLQNDMECSNSRAVALLMWWIQPRLYVGRGMHEDILSIFKYVFFWVVLLMSKLAFSFYVEISPLIDPTKFILSQGVGNYEWHQIFPFLPRNLGVIVTIWAPIVMVYFMDIQIWYAIFSTAFGGVSGALGHVGEIRTLGMVRARFKSMPDAFSKCNAAIQREQVLKRRSFFCVWNSFIKSLREEDFISDRERDILMAPSSSSNLSVPQWPQFLLASKVPAALHMAMNSKEGDEHELIEKLKLDGDRYNAVIECYESLIIILDSLLLDSNDRKIVHDINKKVSLSMRNKTFLEDFQMAEIGKVCASLAKLLQLLKSEPINGSADREIVNALQDFMEITTRDFMKDGQSMLKDENERKQSFTNLNMNMIKEDFWREQFARLHLLLTMKDSAMDVPRNLDAHRRITFFANSLFMKMPKAPNVQAMISFSVLTPYYNKEVLYPAHELNKKNEDGISILFYLQKIYPDEWNNFLERIGVKPDDVEATNGYMDDIRLWASYRGQTLARTVRGMMYYRRALEQQCYEDLSHDQANLGGEESARSKAIADIKFSYVVSCQLYGMHKASKDSREKGLYENILSLMLTYPALRIAYIDEKDVPLPNGKIEKQYYSVLVKGHDEEIYRIRLPGKPTEIGEGKPNNQNHAIIFTRGEALQAIDMNQDNYLEEAFKMRNLLEEFLTKQGESKPKILGAQTSFVTIGQRVLADPLKVRFHYGHPDVFDRLFHITRGGISKASKVINLSEDIFAGFNSTLRGGNITHHEYIQFGKGRDVGMNQISNFEAKVANGNGEQTLCRDIYRLGQRFDFYRMLSLYVTTVGSYFNRMMVVLTVYVFLHGRLYLVLSGLEKSIHQDPGIQNIKPFENAPATQSVFQLGMLLVLLVMIEVGLEKGFGRALAEFVFSTFHLGTKTHYYGRTILHGGARYRATGRGFVVVHAKFAENYRMYSRSHFVKALELLILLAVYLAYGSSYRSSSLYLYVTISIWFLVFCWLFAPFVFNPSCFEWHKVVDDWNDWWKWMGNRGGIGLAPEQSWEAWWVSEYDHLKNGTIRSLLLEFILSLRFLIYQYGIVYHLHIVHHNKSFMIFTSDIFPQIYVLSWLVIVTALVSLKVAIYFVWRCILFVRILDLQLECASFQIVSLGREKFVPRFHLVFRAIRGIVFLALIRLLVLLFVIFDLAISDVGASILAFIPTGWFILQGIYFNVPIFLLPIDDRTTMRTLFRKLIVEPLGILCCPCGTGGGCRGPCCAKFRQRTGAVLRKMGPWDSIQEMARMYEYTMGLLIFLPIGVLSWFPFISEFQTRLLFNQAFSRGLQISRILAGQNGSSSKRD >Et_3B_029902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2904983:2905270:1 gene:Et_3B_029902 transcript:Et_3B_029902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKFKFTFEFEASQDMQKLGVTRAFGGGDFSGMVAGGDGLSIGGVWTSSRGTVAAAATGVTIPGCCVIKRHPVDFVADRPFLFAIV >Et_4B_038672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3882868:3884827:-1 gene:Et_4B_038672 transcript:Et_4B_038672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDEDQPPPKRPRASPPADQVLDNVLETVLQFLDAPRDRSAASLVCRSWHRAESATRDSVAVRNILAASAARTARRFPRARSLLLKGRPRFADFNLLPPGWAASAFRPWAAAIAAAAFPALTSLNLKRIPVTDADLDLIARALPATFLELSMLLCDGFSSHGLASIASHCRGLRVLDVVECDMAAEDEQEVVDWVAAFPPDPTDLESLSFECYGYAVSFDALEALVVRSPRLKRLGVNQHVTLGQLRRLMTHAPRLTHLGTGAFRPADGGDEGIDFGEMVTTFANSGRGRTLVSLSGFRDLAQEYLPTIAVVCGNLRTLDLSYAPVTPNQIVMFIGQCFNLETLWVLDSVRDEGLQNVAMFCKKLRSLRVMPVVANEDAEELVSEVGLAAISRGCRDLRSILYFCQSMTNAAVIDMSRNCPELKVFRLCIMGRHQPDHDTGEPMDEGFGAIVQNCSKLTRLSTSGHLTDRAFEYIGKYGKSLRTLSVAFAGNSDRALQHILQGCSKLEKLEIRDCPFGDAGLLSGMHHFYNMRFVWMSGCNLTLQGCKEVARQLPRMVVELINGPPEDERSEGVDILYMYRSLDGPREDVPPFVKIL >Et_3B_027961.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28494513:28495541:-1 gene:Et_3B_027961 transcript:Et_3B_027961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TAAACASFDRVNRRAIGVEQAVIPLRRWPGLHVLVEQSEHEHVDRHIGLERRPLSHGDPLHQVPVVRGGVLHGGAGLVLVALGRPPGLGYPHGHVSVAHGLHGRVHGVDLGVEHVVVVEVGVGVAHARVGLEQHVRQRHAPGVRLVREERVRVDEQRRPRLPVEIQHRLDVHGQVLPEHARRRREAGGEEVHRVRRRHGDLLVQAQVAQRAVDGLHGRDQAGHHAGVVAREHLVAHGDAGDARGRDEGLHVLRHPLPRRAGAGRDGLQVLVADGDDELHAGVGEGRQHLGVRVVQLHLVHVHRLEEPRHLRRVRQVVGDLPVVDAELLLRRHHVHCNTNRRG >Et_3B_031678.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:7663390:7664757:1 gene:Et_3B_031678 transcript:Et_3B_031678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALPVLLLFALLLAGHRCCAAGGFSVEFIHRDSARSPFHDPALSRHERILAAARRSLASTGGAGEAAPPPAADDAGGAMSEIVTRSFEYLMSVRLGTPPRQVLAIADTGSDLVWVGCRNDSSSDSGGGGESDSSSPAPMPHAVFDPSQSSSYGVLGCDSDACQALSEASCDAASNCQYQYGYGDGSHTVGVLSTETFTFGGDVRRVDGVEFGCSTYSAGTFRADGLVGLGGGSYSLITQLGAKTRLGRKFSYCLVPLGSTNASSALNFGASAVVSGPGAATTPLVDGEVPAYYTVELEAVAVAGRAVAANATSSRIIVDSGTTLTFLHQDLLDPLVAELRRRIALPPARSPEQLLQVCYDVSGRGDDDDDWGVPDVTLRFGGGAEVTLRPENTFTMVQEGTLCLAVVPVSKGQPVSILGNVAQQNFHVGYDLDARTVTFAPADCARSSSASS >Et_4A_033897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27875737:27879007:-1 gene:Et_4A_033897 transcript:Et_4A_033897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVASSSRCSGLLLPPSLAGVRGCSPPSSSLRLRPRRRRRTIACVAPPDSAEPQSDEQVVKVDSTEENAQSSDSSGTAQDAGLPALPNKDLNRRVALFSTLGAVALFASQRLDLGQASLKDLAANAVPYEEALLNGKPTVVEFYADWCEVCRELAPDIYKVEQQYKDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKEGNEEGNVVGRLPKQYFLENVVALASGDPRIPHARVVGQFSSAENRRVHQVADPRSHG >Et_9A_063166.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:13257003:13257233:1 gene:Et_9A_063166 transcript:Et_9A_063166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRSGRRLEWLPPSLGLSRCPEASGGGGIAEEDRISCLPEDLLLRILSRLGCAREAARASVLAKHWRSIWRAFPT >Et_1A_004786.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:550116:550433:1 gene:Et_1A_004786 transcript:Et_1A_004786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIILETDATELKKALTSMEYDRHANGPLFRRIREIMDSRFDSCEVLKCPRSCNKVADCLAMYGASVVCSGSNMFMSQVPHLCISSGLRRYAWSYRVKETSVPI >Et_3A_027317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7447373:7450828:-1 gene:Et_3A_027317 transcript:Et_3A_027317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDSSPFLGSLYPPSHGDVDPPRPGWVLLDFCAYRFKCRNATTASCRTRDGDDEIQVTCFPAPPPRVSYFCIVATDGKFALLRVAVGAPHELGCHLAHEYYIYCADGGGGGPSLELLPHPSPYMFRDNQVGLLSRGRTGYTIAVLRDDSSESLRNPFQLGRYDVCLFNSDDMLWTSIAIPDQSEEDFEHRTTKVITVGGEHGTMAFVDLWRGILLYDVFRGVDTVRYIAMPEKFRTSTSMYDGSPWITRDTALVNGSIKRHLTPKVDSEEHIQFLFAFSPSKFAKC >Et_5B_045357.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:19809943:19810278:-1 gene:Et_5B_045357 transcript:Et_5B_045357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLAGPTGRVKVVLHAVRQYIAPRNDHIAPPHRQGTTSDMPWMMERSTPPRRTPPLMEDLVEEILFRLPPDEPAYLVRAALVCKPWRRILSDRDFHRRYREFHRSPPMLG >Et_1A_005526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11156112:11160361:1 gene:Et_1A_005526 transcript:Et_1A_005526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMGRIVPLLPDAGGRGSIMGWGACGVADPRLGADTGCPIGVWSYGGGLLQHVVAYGLGILAGMVKGESPGCGFSRHSTFRAAPGHFSREGPRSFLTLFSGWIIGPMPHFKRTPFSLLEPPKDLDPKEKVFQIREYLKRLNLYRQRVWTCKVSGKSNLTFEEALVSEHNAMEKAQKLPTELMAHILRMTQYSTLGLNELVNKIYARRIFEGTELYAKKDGSEAHCKILKILDSGDSKMYEVGWFGRGKTIINTSLVKAADLIQRRSPVSRKILRIFIRDATAQSTPWVIHEHLSIKYGIPNELPKDILSTEGRKRGRKRREDGTTEDGRKKLKGDFRVPRYSVGDLLMVWDFCMSFGRLLKLSPFSLTDLENAIYHKESNVLLVEMHAAIFHLLIKDEGDYFNILKNKKRKLKVSLVTWAEYLCDFLEMSKSEEFAGNISTVRRGYYGLIDSDIKLKILRELVDEAVTTSAIREILSERVDQKQALAATRRASTRKEKENLSPEIATENEMGQIDSVQDGGGSVDAQVGGTELDKNNISRGKTDGKRQPLETENEKLSIRSSPLGKDRNYNRYWLFRREGRLFVESADSKEWGYYSTKEELDALIGLLNVKGIRERALKRQLEKFYSKIRYDIAHKMLLEEAVLRRSTQVWAQPKDNPSMAFLKYVNKWKDN >Et_5B_045031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8681973:8685233:1 gene:Et_5B_045031 transcript:Et_5B_045031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSDTEENLEESWTAMLQEFKLHDNNWLIELYRFRHKWCSAFNKDTFDGGINSSQWGEYAYNLIMKSQELEESRKIFWDSLETGEKALEVFFEMRDLRTQAAKDASKKEKKKKKPPKGPISKKAKQVPTSSSAGRELIVQTNDHQFQSAQGGPVNATIGRPIYYQGFTSTPIQTNQIYMHPNMHTMPLCTPQDHFVRACVSFAVREKVSQHCCSLRRHPWHVLAVVHKNQLEALPVSCVN >Et_2A_016201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2272433:2276686:1 gene:Et_2A_016201 transcript:Et_2A_016201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNACGAAEARVLCCADEAALCGACDEEVHAANKLAGKHQRVPLLQDDTPAATSAVPKCDICQEASGYFFCLEDRALLCRDCDVAIHTVNPFVSAHQRFLLTGVQVALDPADPVPPVADKRANADGGSATAAFSNQVNKDNDPAYNLPLSGGNGSESLPDWHVDEFFSSSEFGPNLSFTEHCSPKGDNTKLGSAGGSPQCRLAEGFVAEELLDLVPRFDADESWTVPEVPSPPTASGLYWQGNFHYPAYDSTIFVPEVSSLQSSQNNLAVPGGFKRRRQF >Et_5B_045190.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:11433723:11434067:1 gene:Et_5B_045190 transcript:Et_5B_045190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLLVLVVAAAAIYALVTPTAAILGEWKPIRNISDPHIQELGRWAVMEHVKVSKDGLKFKGVERGDRNVAGFSYRLDIHASRNDRQVEMFRAVIAEKSVSRNRTLLSFINERN >Et_1A_008910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17990151:17991805:1 gene:Et_1A_008910 transcript:Et_1A_008910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSILAGKKDGGRGRDNKALPQPQQKGDATPLPAAATSPREKKRWSFRRPAPSSTSAPVKPAAAPSRLSREASATDAGLAGGNGKSMSGRELDAQSERAAEVAVAAADVAVMAAAQAAAAVARLAEAEEESNVLASWLEAAAARIQATFRGYLARKALCALRGLVKLQALIRGQLVRRQATATLRRMQALLEAQTRLRAQRARMLDHDHHPAAPQRRSPQHPRRRSSYEMDRSVEEHVKEMDAAGEAARRGRSSWSATAGESRDRRLAEYYMSYGQSSPAPSSSSAAFGGDLLSPRTFSGHLDDLLAFDPAAANIAARSSGPYDADADHVVPSYMANTQSSRAKQARSQSAPKQRTADALDRQPSTTTRRRQPGRKSMQRSSSHIIGVPAAACGYQHQQPWAGVRLDRSSASLVGSECGSTSTVLTAATVGYCRSLVGFEVRRRG >Et_9A_060921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17755030:17755443:1 gene:Et_9A_060921 transcript:Et_9A_060921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPNCHVRANVSVAETEQNYGRIFHKCPRFSASGCQYYQWDDEIDAAIVPSAQPVLMQVQAPPQAPAMIQVPAGAPPQAPAMIQVPAPPQPQGGRVQDTPGNLQVLMVK >Et_10B_004301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3276470:3288112:-1 gene:Et_10B_004301 transcript:Et_10B_004301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPLSHCAAVLLAKNNRRRGGGGGGRGGHGSNGHRDDPSSFLRQLRDALDAASEDGSLCPPPDTAGADADAAVCRSRSLARLRAQRDFLRATALAAAAGPFRSLSDLPLLPHAIATFLSMYPDYASTSDVDRLRVDHYSHLDAPGADRVCLDYCGFGLFDSSWDSSSGSFTLHELNANLSNHALYGGAEPCTVENDIKERILEYLNVPASEYALVFTVSRGSAFRLLAECYPFESNRRLLTMFDHESQSVNWMAQSARAKGAKTRTAWFRWPTLKLCSTELRKEIVGKRKGRRRDAAVGLFVFPAQSRVTGAKYSYQWMALAQQNGWHVMLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVIGSLQGRNGCNASGMVKIVPVFPQYLSDSIDGFDAYDGLEDDSSIHKDEKPASNGQNGSQLPAFSGVYTSAQVRETFESDPGRDSSSDRDGASTIFEETESISMGEIMRSPAFSEDCSSENSFWVDVGQSPLGSEKSGQFKKGKLGSPLPSSWFNGRKNNKRMSPNLTSRISRSPLYDGHVISFDAAVLSVSQDTDCLKEDPEEETFENMRRNHFRQVSEIQEEPEVEEVACQHGANGAVDQKESAIRRETEGEFRLLGGRDGNSRLTGGRLFGVDEIDGALSMGRRVSFSTEANIIADRLNRASDAAEASGYTFHDDDGCASDGYDDAQDWGRREPEIICRHIDHVDMMGLNRTTLRLRYLINWLVTSLLQLKLPDSKGGDGISLVHIYGPKIKYERGAAVAFNVKQSDGTFVNAEVVQKIAEKNGISVGIGFLSHIKVDMNQKLSNGVLDIPEASFYKNGRRDNKKVTVRVEVVTASLGFLTNFEDVYKMWAFVAKFLDPTFLERASLVELLEKEIPKKVATNVRGHIVEEVKLEVPNGKTHNVKIAKKQNDLMKTSHLLNAVRNPWISGYALSTKSPRHGAANTPYMTPRFTILNDQQERKVIKKVQKINSEIPIYVAVIQNSNINSCILQFGSEYARMYLPRKDVTMRLRRPGKDYTWKAELHIRNRRHRVGQGWRQFTDENKLKLGDICMFQLMKNRKKLTMSVHIIRKERKIKALELRNWLHPSASLVLTADQSGVISCSSSCQILHAFGLMASQDHEYDGGSGHKISSGSCCRRCSHCGEHYYWDHLGNRQKHFFKVMVGDFQQEMVKPPAFIPFLSFVRGRISELVKLEASDGNMYHVHVSKDQNKLVFRSGWGTFSSAYELKQGDLLVFRYTGDSHFKVLIFDPSGCEKVFFHVVLNSCRFVDQRGIHHEKLPSQEGFVQNQNGGSSHSRKASKMSPSDSPSQRSSFAVAEDIPSPEDIQEPSNSGDHQTSTESRFILAEGCNLTRGQRDSIDTLEKKIRPETPLYVTHLNKTRMSDTFLTNTFQMKTKPSRSVTLRTTRNGMQISRFPPLFILDNKVQEGDAFAFEASKIERRLSVTVHPLQGSYQELGTSSPINRVVVPAQYMVTKQTKLTPEQKKVEEKLQEIQPATPVFLSIKRRCDIRMELSGFSRTFADEYLPRTPRTIRLRRPELNCSWEVELMIHEVHRLCRGWKQFVDDNALRQGDMCIFQPLDVDGGEEGVVAMNVHVIRGD >Et_7B_055566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14678103:14679239:-1 gene:Et_7B_055566 transcript:Et_7B_055566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLAAPPFLPAWLPAQAATARTTRRTKRPFLRASCAYALQEGQSRRFHRLPCGLDLEVIAQSPPAPAPGERLPLVFVHGSFHAAWCWAEHWLPFFTRAGFPCYALSLRAQGESSVPPEAVAGTLEFPLHI >Et_10A_000243.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:19727990:19728109:-1 gene:Et_10A_000243 transcript:Et_10A_000243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLASCNEDATLWQHRSPSDDMSVAQTWCNLFSLSHA >Et_7B_053580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1057504:1059446:-1 gene:Et_7B_053580 transcript:Et_7B_053580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLAAEQDAVSLVRRVARALNRRVTDLVTLLFQHKSAGSLGAVAGFAIAVVFAWRFLRSSPGRPRRPAPKRPPPPVAPAATVPAASEAVEPVGDAGKVVTRQTVAKRLNGCRKVTCQLLGVVFEERTPEELQKHATVRPSVVELLLEICKYCDLYLMETVLDDKSEENALAALESAGLFRTGGLMKEKVLFCSTEVGRTSFVRQLESDFHIDTSLDVVSQLSRFIRCQLFISSMEGGQLAANVFNSPSLEHFFS >Et_2A_016151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21496451:21498809:-1 gene:Et_2A_016151 transcript:Et_2A_016151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPASVAPDPVDLLASLTDSEHPASFHLPESTPVVHTRHLSEDLSSLTINDLRVNNRDYNCHDGIQDKRTNRHGHTRHFSEDLSSLTVNDLCANEGKEKFYSLQEGDGISRQNSAERDIYKAAEIAERFIKSIDNRVLIDSGAPIESVKEAVSKFGGILDWKERRKHVQNELDKALEDAPEYQRRAEAAEAEKTKVLTELSSTRRSIEGLKLKLEKADIEAIQAQQDSELANIRFKEMQQGIASKESAAIQAAIELAKHRHTNALAELQSVKDELEQLQKEYHSLNTQKDNAKAKACESSTVSQEIEKTVEDLTLELITLKELLTSSQATHIIAEEQKVNVALAYQQEKASCQIELKQADEEVQNLNDAISLNKDLVPKLEAASGMLVDLRDEFSSYLEEIPPPAASLSEEAERPMLSIRLKLASRRRELEDMRKDIEKPKDEVKGLWNVAATLRADIESDKKDLEALRHKEHLAAISAPSLQEEMSAAICELNTVRERTKAAEMPVEVQKATDELEQAKSKARLARHEVAKAREEADQAKAEVNVIKLRLEAAKREILAVNASEEIATASANALSEYKQESEIEPQADRRSSNNVTISLEEYDIKHKKLMTSPKKQVIRAVEKIKEAQAAEVRSLDQLEQLTKQIDARRLELKAAQEKSNWAQYGKLTMENEPRKLRAQHEQQHKEGDAVADIPSWKNMSWSFDGTPSTSNAQMVGALSRADTIAATTVKEPKPRKSFFSRSIVTMFMSRKKTHL >Et_3B_030774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7284075:7286096:1 gene:Et_3B_030774 transcript:Et_3B_030774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKHIFVVLLVLIIRWAEGQPQIYNVLDFHAFGDGKTDDTEAFFRTWQAACSCISQPVMVVPGGRTFLLTLIRFEGPCKSPITVQLNGKIVAPNKNWTSEEANLLTFCGVDNLTLDGNGVIDGQGAIWWDRYNHKLLAFASCNNLVVRSINLMDSADKHMTLFHCSQVQVYNVSITAPGDSPNTDGITMASSNNISISRCSIQTGDDCVSILSHTTNVNVTNITCGPGHGISVGSLGGSEIAQVEQITVSNCNFVGTMTGVRIKSWQGGKGYARGLLFENLNMTAVQYPIIIDQFYCPQGNCPKKNGGVAISDARFINIQGTSTEQQAIRLLCSHSVNCRDIYLSNIDLCWMNHSAPANATILNAHGTTAGMVVPEIQF >Et_6B_048394.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18296472:18296795:-1 gene:Et_6B_048394 transcript:Et_6B_048394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYATDSQQLVDTLTKGDYQADPAHWTLWPLLYEFRSNNQGISTMRKIAREQNTTAHNLAQQANAMNASAVCQFTCTHLAHRLQCPIREALQLVSWGYITPLTISCR >Et_1B_009817.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:29304842:29305303:-1 gene:Et_1B_009817 transcript:Et_1B_009817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLLLGPSPAATKQQQEPSTPPPCISPATSRVRAALHEWKRIAIFASPPTGPGPTSGVLCEPLGRGLVVAGIDLNHADIAGHLPASLPLGLPADLTIVRLSSNRFTGVRRLHRLRELDLSNNLLVGKFPEGLLQLRSLKHINISGNAFDHQT >Et_6B_048496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:116402:118712:-1 gene:Et_6B_048496 transcript:Et_6B_048496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RDVPVLEIREESDAFDLIAIDGGATGPESVAFDAHGGGPYRGVSDGRVLRCGFQASGAGSNTRRPPPRRSCKFNFLLLCTDRSPANSVPRSKVLSVVHLKHPRRNSDPSTVVSLSGTVQNLLCCLLACLAASRSPDAHEAVGVRRARRRGRPPLPRLAQRREAADVEIRDGDVELVPLDGAAGPESILFGDGGEGPLTSVSDGRVLRWRPAERRNSDEILTSELTQVQVGRGSRCPAREHEWGRPLGLKFNKETGELYVADAYHGLRVVGPNEKVSRPLMPEWQAHSASPTVSRSTVKPEPSTSPRLAQGPFQRR >Et_4A_033980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28740377:28742624:1 gene:Et_4A_033980 transcript:Et_4A_033980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNIMEYQVIAKQKLPKMVYDYYASGAEDEWTLKENREAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMVAPTAMQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRKVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPHLTLKNFEDLDLGKMDQANDSGLASYVAGQIDRTLSWKDVKWLQSITSMPILVKGVITAEDARLAVHSGAAGIIVSNHGARQLDYVPATISALEEVVHAAAGRIPVYLDGGVRRGTDVFKALALGAAGVFVGRPVVMALAAEGEAGVRNLLRMLREEFELTMALSGCTSLADITRSHVMTETDRLRPMPRL >Et_3B_027462.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:19602095:19603653:1 gene:Et_3B_027462 transcript:Et_3B_027462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILRLLAARRFHRRRHRAVSTITATAPCTPRGHLHCGGGEEDEGPFFDLDPSCWSAPASSAGSQAAESGSESEDSCCAPAPNAGDLDFVISLQRSRSGSPSYEERLFFRGVAPTPQLKFCASEPSDAASRRHSSSCASRRGGGSRLQLRTLSFGSAKAAFHGGGRASFSRSSSSARSVFAASSYGGFGLGSSPDGGQDRDEARARPPPSGDVFRRYLSKISSRLRRVGPGAAVADLRLRKSRSAQMAAVGQSPAPPQRRDDTLVEKQDGIASAIAHCRESLHRGTVRAP >Et_4B_037126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1560734:1568521:1 gene:Et_4B_037126 transcript:Et_4B_037126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVFALLVLAGAALLAAAGGATGQQQQGVWNIITRPMFQKMLSHRGDSGCQGAFYTYDAFIEAASKFPGFGTTGNDDTRRRELAAFFGQTSHETTGMYGAILLYSSVLDLFLARGMKEEALSSIDLSCGWAAAPDGPFAWGYCRVNEQNPTDPPYYGRGPIQLTHKYNYQQAGQALGLDLVNKPDMVSNDPVVAFKTAIWFWMTPQSPKPSCHAVMTNNWTPSADDRSKGRLPGYGMTSNIINGGIECGQGQPTDGARDRLGFYKRYCQMLGVGTGDNGRAGAWTWTNNPDMVWSDPVVAFKRPSGSGIWMTPLSPIEAVVPRRDDQLANSTQSADHRSARGCSPAGMLTNIDHQRREGVRPASWAMEPTGARGRVFALLAFASAALLAAAGVASGQQGVGSIITRSMFESMLSHRGDSGCQGAFYTYDAFIEAAKNFPAFGTTGSDETRRRELAAFFGQTSHETTGMLLISSPVAFPSFFLRTNLSLCWDLILRLRHDRYVHAGGWATAPGGPFAWGYCRQAGQALGLDLVNNPDMVSSNPVVAFKTAIWFWMTPQSPKPSCHDVMTNNWTPSADDRSAGRLPGYGVTTNIINGGIECGKGQSTDAARDRVGYYQRYCQMLGVGLGDNVSCRNQKPYGG >Et_10A_000018.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:14176456:14177268:1 gene:Et_10A_000018 transcript:Et_10A_000018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAYSMIAFFTSAIKGASNSSTVVDYGLKATTTAGKAFGILNALGAVAFAYAGHNVVLEIQATIPSTPEQPSKKPMWRGVVVAYALVALCYFAVAFAGYAAFGNAVAPNVLISLDKPRWLVAAANLMVAVHVVGSYQVYAMPVFDMIETVLIKRARFTPSFRLRFLARSAYVLATMFVGMTFPFFDGLLGFFGGFGFAPTTYFIPCIMWLILRKPKKYGLTWCVNVLCIVLGVLLMLASPIGG >Et_4A_032611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11891558:11907876:1 gene:Et_4A_032611 transcript:Et_4A_032611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGLVQLLVGFVVVWEAVELVLRHGLLLLVLKLAVVAALAAAAGCVAILFLARAVAWVLLRVAKISIGCSSYGFNYVRDITISSPKGAVESICIGEIRLGLRRPLTQMGFAVLTHGPILQLQISDLDVVLRQPVKSANKKKAPSRKSTSSSSAKAKGKAKGKAKWRLITSMASVLSLSIVELRLKAPKAALGIKDLKIDLSKTGGLDPVLNVQINIVPLFVQALESDNIDNHTSVFNQLDWWISGQYCSAMDTSDCSSFLFEDIALSCDLHQRDNGVRVKNLDFMSGPIVVNLEEKIFTKKKLPASTIADKKDEHSVDNKPAPRSEGGKLASLNKKIDLFPEKVVSFNMSKLDLKFLPKDHGLSVNNEIGSMSLRFMKLQPHNDFGEAATHLRLETDVTDIHLLMDGATSVLEVVKVASVVSANIPTEPAQPIQAEVDVKISGVQCNLIISRIKPLIRVNPDKKKPLVLSESPQQEKAPKEKIVLAWTCTLSAPELTIVLYSLDDLPLYHCVLQSTHVSASKLANRGTQVHAKLSELKFLVAGKHQQSMKESISSTLLHISQSTIDLEQNGPGKENSEDHAKSAISVNISGIRMNFCFYYLELLCTTAMSYKAFLKSISPPKKRPLQETPLQKSTKKSKGSQLIKISIAQCCIMYDGDMRLEDMSIADPKRVNFGSQGGRVVIINDANGAPRMAYVNSTSLPDHKNVNFSTSLEIYQIGVSLNKEKHSVQVDFENFRLIHKEYQLDSKTAEEVKLLDVRKAKFVQRSGGSNDVAACSLINVTDIAVRYEPDPCLELLEVATRLKSVLHRIKLQNPVTEVKDETVNMDILGKRESPTVGGQQEKAQRKRESVIAIDLESLTISGELADGVEAMIHVGSIFSENAKIGVLVEGLEVSFCGAWLFKSSRMQLSRIPISVSDSLPDKKLQSAATCDWVIQLRDARICLPFRLQLRAIDDAVEDTLRAFKLISAAKTSVLFPEKKSSSSSSKKNKSKSTGFRYVRVIIRDLIAEIEEEPMQGWLDEHMNLMKNVFSEYTVRLNLLDELASGKNKDSPKEKLDTSSPEKNHDCPDVDANVSGARAFEKLREEIYRQAFQSYYQECQKLSISEGSGACSSGFQSGFKMSTRRTSVMSVHAKDVDVSLSKIDGGDEGMISFIKSVDPVCDKNDIPFSRLYGSNFNLKTKSLSVLLRDYTFPLFSGTSGKCDGRLVLAQQATCFQPQVRQDVYVGKWWRVNLLRSATGYTPPMKTYTYIPLHFQSGEVSFGVGYEPVFADVSYAFTCALRRANLAKRWFFERPEPPRKERSLPWWDDMRNYIHGNFSLCFNDTKWHLPAATSPYEKLDEMLITSDFLEIRYVDGYISLSSKNLKVYLTSLESLAKKCSLEIPHHLSIPFLETPTFFMDISIQWGCDSEGKPRDKVFDPFRSTSLSLKWSFSLKPSTAEPMEHQMKSVDSNNSPTVNVGAHDLVWLLKWWNLVFLPPHKLRLFSRFPRFGVPRFIRSGNLPLDRVMTEQFIRFDAMLLKINNMPLDADDPSKGLTLHFTKFRYEIAFSRGKQIFTFDCKREPLDLVYQGIDLHLLKVFIDRIPEASTSKDSKSENKSPQKKSTDSPGCEKGKNKINSTEKSRDDGFFLYADYFTIRKQTPKADAARLSAWQEDGRKKSEMPLIKSEFDGGDESDHEQSGSDDEGFNVVVADSCQRVFVYGLKILWNLENRAAILSWVGGLTQAFQPPKPSPSRQYTQRKILEKKQLVKEAEMSKDGAPNSSPSAAQASEPQQIKSSESPPSVGSSKSDLTSNNETATKPSTNKDSEEEGTRNFMVNIVQPQFNLHSEEANGRFLLAAGSGRVMVRSFHSIVQVGQEVFEKALGSSDTATGGTGPEMTWSRVELSVMLEHVQAHVAPTDVDPGAGIQWLPKIHRKSSEVKRTGALLERVFMPCQMYFRYTRHKGGTPELKVKPLKELTFNSPDITAGMTSRQFQVMMDVLTNLLFARTPRTQKTSLCYPTDNDDDDIEEASDAVVPDGVEEVELAKIGVEIKERARKVLLDDIRALSTGSEASCDQSQSPKADDAKWIVSGSRSTLVKRLKKELLNVRNGRKEAYSMLRIAMQKAAQIRLMEKEKNKSPSCAMRVSMRINKVVWSMLADGKSFAEAEINDMIYDFDRDYKDIGIAQLTTKLFVLKNGLANAKSDTVISPWNPPSEWGKNAMLRVNARQGAPTSGNSVIESFLVDIYPLKIYLTEAIYRMMWGYFFPGDEQQPQKRQELFKVSTTAGTRRVKKTTSVTETTSPNNQSSKESALAQKPELRRTSSFDRTWEETVAESVANELVSQIQGQSNAQPESQDAAKDSKSVRPARSTREEKKVVELNEVKQTRPQKMMDFCNIKISQVELLLTYEGLPFAVSDVRLLMDTFHREDFTGTWARLFSRVKKHIVWGVLKSGKKFKAKSTSQKEPSAALIAASDFNLSDSDGDEGGNSDQLPAFLRKPSDGAGDGFATSVKGLFSTQRKKAMAFVLKTMKGEAEHDFHGERSENEIEFSPFARQLTITKTKKLIRRHTKKFKSKAPKGAATEQEQGTELPPRAPSGYNSDSSSSDSSSPETSPKD >Et_9A_061227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22931008:22931542:-1 gene:Et_9A_061227 transcript:Et_9A_061227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARAMTPARTPFKRIAPAATPPPPKKRPRAKASAAAAASHRAIRQRGRRASGRSRGRRRTAWPRTTRWPSSPSAASTPSRARCSASAATRARCCPSTWPPSSGSCASSSRATCRPWTTGRPRSGRTRPFPTATGAGRRTACAP >Et_10A_000234.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:19073490:19073684:-1 gene:Et_10A_000234 transcript:Et_10A_000234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPWRRPTRTTPPCGRCSRIAPTTRPTSPSRRRRQTSSVGDPRSRLRTRRPFYSSLEGFHHVS >Et_5A_041609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2490620:2493515:1 gene:Et_5A_041609 transcript:Et_5A_041609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQGKCCPPRNQRRGSGGAAGRGRGGAWPGGHGGATLGRAAVPGAGLAMLAANAEMHASPAVDDSMSGTTAVAALVAGGALHVANVGDSRAVAGVWRGGKVVAEDLSDGVFEFLSSQEVVDMVAMYQDPRDACSAIAAESYKLWLEHENRTDDITIIIVHIRDSENSGPAGSDKVDRSIGGASIAVHTVRSEMPVFLPSEVSHLNRCAATELQSSSSGSPTERSLSCAVPCTTQPLLHGRISDVAKPMQGERTVSHPAETWHHMEGCTELKQPLQ >Et_3B_030180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31116494:31118423:-1 gene:Et_3B_030180 transcript:Et_3B_030180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAATATMLLLAVLVATAAMSPPDAVDHQAAAGGIAGTVRDQAAAGWTAKSDGGDDGQKHKSFPAGLTQCVTICGTQTTTCLLDCYKPVGGNPVVLPLICLAKCTNNAMICASGCSNNIASTAAMLLLALLVTAAAVSPFGAADQAAAGGTATKAGAARRHHSRDDMRLPLTGLTKCLVGCMTRMNNCLMDDCDNSLVRGDPGALSFCVLSCSNNAFTCSTGCANQIGV >Et_5A_042476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14070450:14126567:1 gene:Et_5A_042476 transcript:Et_5A_042476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKVEVVGHFDGGEQRSAVEDRKVVPVDEPAVAVAVEAGELEPCVLAVDDSSVDRAVITAILRRSKYRVTAVDSGKRALEMLGAEPNVRMIITDYSMTGYDLLKKVKESSVLKQIPVIIMSSENVPTRINRCLEEGAEDFLLKPVRPSDISRISTRMLQLTSSNPRLFCDDAQTYQRADTANASVAIVLASCCETQHPLHPRMQRRPTSIAIRAELASSGGVDGVGDPMGVAASAAIVCKLIGERDPKLPPTYTPKRKNNKHLDNDT >Et_8B_059670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20486937:20493867:1 gene:Et_8B_059670 transcript:Et_8B_059670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATVGGSVRVVSRRMVRPSTANGNGGCHHRKNEEEVEVIHLTPWDLSLISTDYIQKGILLPKPHVSVHGDALVDALASSFARALGRFYPFAGRLAADERGDGTVTLSLRCTGEGAEFVHAAAPEVTAADIAASLHVPPVVAALCPMNRVLGADAATDRSLPLVAAQVTELADGGVFVGVSMNHSAGDGAAFWHFFNTWSEIHRRGGGDDEISTTPAPVFRRWFADTSPVPVPLPFGKLEHVVRRPRFERAAAHECFFTFSAASVAKLRVFANDETATAGGGGTISSLEAVLAHLWRAVCRARRLPPEHRTFYTLVSGCRGRVDGIPPGYVGNAMVFGKAEATAGEVEEKGLGWTARLLHRAAASSFDDEASGMRASLELWAREPEFTRMGDLSSPPGAAAALASGVRDLAALDVFGNDFGWGKPVAVRSGAGNKADGKVAVFEGPERGGSLSLEVCIAPDALDRLVADKEFMDAVSNPARWQQTHMEDDASGGGGVRVLSRRMVRPLTSTPPPSTANGNSCFCHHRKKEEEVEVIHLTPWDLRLISIDYIQKGILLPKPPVHGDALVDALATSFARALGRFYSFAGRLAADERGDGTVALSVLCTGDGAEFVHAVAPEVSAADIVASLYTPPVVWALFPLAQVLGADAALSEPLLPLVAVQVTELADGVFIGMSTNHSACDGTAFWHFFNTWSEIHRRGGESLELATPPPVLRRWFVETSPVPVPMPFPRLQDIVRRFERTAVQECFFTFSTASVKKLKARANAEVVAGGATVTAAISSLQAVLAHLWRAVCRARRLPPEQGTFYSVVVGCRGRVNGIPPGYVGNAMVFGKAEATAGEIEEKGLGWTAWLLNRAVASFDEAGMRDSLERWVTDPDFTYMGNLSSGGTALVTGSSPRFDVFGNDFGWGKPLAVRSGSGNKADGKATVFEGPEGGGSMSLEVCIAPDALARLVADEEFMEAVSKPT >Et_8B_059537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1918206:1920943:1 gene:Et_8B_059537 transcript:Et_8B_059537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGDGSGWCFCSGGAKLERIKSSLLAAKGAAVAAVSFPGGGGGKGGSGFLIHRALLLTTHGTIPSAAAASAAEVRLSHGRLLTRLVPQRFFITSPILDLTIVGLDVVDYESSSQGQQPHFLKTCLNPILDLGSTVLVLGHNRRDLAVGEAKVVIATDNLIKFSTDEVLWRPGSAGFDMHGSLAFMVCDPMKLAPSTPTGYASASSTALLASRKDVPTQFGIPIPAVCEWLKQHWTGSLEDVSKPTMTPARLTSSGERSGRSSLGNLRYIKTTEREGGDILSSSQIPPVQAWQQGSYSSASAKISYGGKDSINSHSFHEQHELTSKMYKPKNEQAASLMDISFPPEHPRSIRLPLPLKQMMPDEEKTGANRSTPHETRPSNVRINCDALHNVAYQENCWSEGQSSSSPLEISELGDERGGFSSGEETMYSAETRESRNIPSPKEKKTDIAGRSQSFVNHSKWDSPKSVESSKGPSSKAHSFIPLRKPHLQAAAISQKSQDYFSPTVSSNMKKRNLSRIPMKPRQSAQVTSKWIT >Et_1A_005237.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:34756718:34756759:-1 gene:Et_1A_005237 transcript:Et_1A_005237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSTTRRRGNC >Et_3B_031404.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28487452:28488318:1 gene:Et_3B_031404 transcript:Et_3B_031404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALSGLAAGALAGDIAIYWGQNGNEGTLTQTCATGNYKFVNVAFLPTFGKGQKPVLNLAGHCDPSTNGCTGVGAEIKSCQRMGIKVFLSIGGGVGSYGLSSKADAKQVAAYLWDNYLGGKSTSRPLGDAVFDGIDFDIESGGGLYWDDLARFLKSYSRYGKRPVYLAAAPQCPFPDASLGTALNTGLFDFVWVQFYNNPPCQFSSTAGVGGLARAWAQWTAIPARRVFLGLPAAKEAAGSGFVATSDLVAQVLPVVKNSTKYGGIMLWSRYYDGLTGYSDAVKSQV >Et_6A_047006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23963943:23969490:1 gene:Et_6A_047006 transcript:Et_6A_047006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGAATAPLLASCSDSAKQRRNLYPFACAMLASMSTVLMGYNLAVMSGAEIFIREDLGLSDAQTEVLAGSMNVYMLVSIVAAGRAADLVGRRGALVLANAFFLAGALGMSLMAAPFVTGVGVGFAIVVAPVYTAEVSPSSTRGSLQSLIDRRHPPRLLVEFTPSPAWPGACSRRCSSPRAWRSRCRSRRARWLAMLGRDADAHAVLLRTSDITAEADLRLEEIMHAVAAAPPRSCGRTEGTARQGREGVAPSSSGEEATGIEAIVLYSPLIFKRAVMSLNTTVLGATVAVGPSSSLGTAVGRLTD >Et_1A_008879.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:17056711:17058096:1 gene:Et_1A_008879 transcript:Et_1A_008879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSHARAASSAAPRHRMPRPRRSAAPASSRRRRASHIAASAILLPPNFGSGGSSSGPSERKLPFTPPPMAPPGQLYQPFHPPPSPLPSNYRDLDLTQRLAVLRDRQGQWYEYAPLISALNRDGFTPPSIEEATGISGVEQNRLVVAAQVRDSLLDEDAFPDDLVPYFDSYGASELLYELRFLNARQRADAARHVIDNALDPRGARDLARSMKDFPRRRAEDGWEAFDGASPADCIAFWRFRAAREAIAVEDCVAELDRALQLVETEAARARLQIEMDRARRRAAGEEVEDAVDDPASRPDVPVVRLKYGEVAEASTVHLLPVVRESDGVAAVEAAPRRSRTDADLGLVEVDKGWARWAVLPGWGPVAQAANEAVVIELADGRALPWRSAEEEKVLVIADRSRKEVAEEGLYVLEKEGRVVVERGRSLTEQGVATAAAEVVMVVRPPKEEDDMISDDEWD >Et_4B_039648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25069944:25072850:1 gene:Et_4B_039648 transcript:Et_4B_039648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLHKLTLVLLFCLVASGGAEYAKYKDPKQPVSVRVKDLLSRMTLAEKIGQMTQIERENATADVLAKYFIGSVLSGGGSVPAPQASAEAWAAMVNGMQKGALSTRLGIPIIYGIDAVHGHNNVYKATIFPHNVGLGATRDPMLVKRIGEATALEVRATGIPYVFAPCVAVCRDPRWGRCYESYSEDPKVVQSLTSLISGLQGDVPANSVGRPYVGGSKKVAACAKHYVGDGGTYMGINENNTIIDQHGLMTIHMPPYYNAIIRGVSTVMVSYSSWNGVKMHANHFLVTDFLKNKLKFRGFVISDWEGIDRITTPPHANYSYSIEAGIGAGIDMIMVPFRYTEFIDDLTTQVNNKVIPMSRIDDAVYRILRVKFTMGLFENPYADPSLAGELGKQVSSERPVLLLSQWHIKVIWSMIADDDILSLPEHRELAREAVRKSLVLLKNGKSAYSPLLPLPKKAGKILVAGSHADNLGFQCGGWTITWQGQGGNDNVTAGTTILSGIKATVDPSTKVVYSESPDASVLGDKYDYAIVVVGEPPYAETFGDNLNLTIPSPGPSVIQSVCKATKCVVVLISGRPLVVEPYIGAMDAFVAAWLPGTEGQGVADVLFGDYGFSGKLARTWFKSVDQLPMNVGDKHYDPLFPFGFGLTTQARK >Et_1B_013592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9855236:9856912:1 gene:Et_1B_013592 transcript:Et_1B_013592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPSTNQSMASTTEDTKTIGLRSSDGQVFDVPAETIAAASATIKATIDAGRAADVVPLPNVTAATLSRVLEYVNKHSTDPDDDDNSFFLPRHDRPLARFDDDFVNVDDNTLFDLVTAAVDLQIEKLLDLTCKAVAERIKGKTAEDIRKRFNIVDDYTEEEAEEIRRENSWALDCVGGAVGYGDEAAIDDSGLIVGRCLDVILAHTA >Et_7B_055933.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7597991:7598599:-1 gene:Et_7B_055933 transcript:Et_7B_055933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREDAATFQVYRPMPAPTPAPMSLTAAPADAAAPATKKPSASAGSKDRHSKVNGRGRRVRMPIVCAARVFQLTRELGLKSDGQTVEWLLRQAEPSILAATGSGTTPAVFSCSSAPSTSTAGSHSLLGKRPREDAAHDHEAAAAAAAQPFWAVLQARPVAWGLSPAQEQAAQAYASVAQGHHHLNLLSVLSGATRPAEESR >Et_3B_031638.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6685364:6685639:1 gene:Et_3B_031638 transcript:Et_3B_031638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRSALRRRPSADAAAAVAAAGERSEEVRRFRDWMARMASVERERPRWSGPRLSRGPQVMTPARPGRALAPSLGRALWVTRGTKRRDAM >Et_8B_059837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3212000:3214567:1 gene:Et_8B_059837 transcript:Et_8B_059837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLIRFSDHDLRVFTKDFDEGRGIGGVGAFGKVYRGRTKGDQYPNCPRKQEIDSLSHISHQNVIRLIGYAEEEDYYGLVYERAKKDLDKYKPSNKIELDKLLVGTAQGLLAVHSAGFIHWDVQLRNVLLMKDGTVKVADFGLCTKKDEKMVFFQDRRVGNFYHLDTEDAKEKYDVFCFGSLMRELVLMEKKVWTPTRCPRLLLADSCWAKDPKQRPSMEKVVAQLKV >Et_3A_023915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14645733:14652876:1 gene:Et_3A_023915 transcript:Et_3A_023915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASFNLRSLRGGLQSSPLHTIDNVDKFQRLCNSSPVDRELGLEFISHRSDQNDKISSSSLPNDHPKEQAQDYSDGDVEEIFQILSSSDDWDSMQHALEMTSVHFVPKLVEAILKRCKRNSRAALQFFSWVGRRPYYMPTTQTYNTAMKLAGSAKDFKYMRYLYREMVRIGCSPTVDTWNLMVSQYGNAGLSEKALQTFYDMKRVGFLPDKTTYNHLIMYLTHNKGRKVDDAVKIFQEMCRAGHTIGNDKLFMYLSALCECRKIDDARRSVTSLCARGFSVQSAYSIFLRALCRADRIEEALDLFDCIEKHGCFRDQYMYGSIIHALLRRDQCEDAVAKLTEMRNAGMLQTVHIYTSFIIYYFQKRDASKALNVLKEMKENGCEPTVVTYSALIRGHMAMGMVSEAWSIFHQMKLRGPAPDFQTYSMFISCLCKEDRSEEGLQLINDMLDCGIIPSAVNFTTVVHGLNMEGKHELVESVLRSKWHLRRQRTISNYSDIS >Et_1B_014052.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2898243:2900060:1 gene:Et_1B_014052 transcript:Et_1B_014052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKEILPAPKTSVSTFYDHSSDPWFKERYGGESAQESAAKPAVAEKPVPPYGKRAGFVPRRPEDFGDGGAFPEIHVAQYPLGMGRRDDKGASKILALTVDAQGSVAFDAVVKQGENASKIVYSKHSDLVPKIATADSEAADDEEEQKEIEETMERTKAALEKIVNVRLSAAQPKNVPTHDSESKFIKYKPSQQSAAFNSGAKERIIRMSEMAVDPLEPPKFKHKRVPRASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVQMRSKVQKELMLKEKERKEQELRALAQKARMERTGGPPAPAAVPAGGGGGGRGAVDAIDEDMDMEQPREQRRETREEREARIERDRIREERRRERERERRLEARDAAMGKKSKITRDRDRDISEKIALGMASTGGAKGGEVMYDQRLFNQDKGMDSGFAADDQYNVYSKGLFTAQSTMSTLYRPKKDSDSDVYGDADEQLEKVMKTERFKPDKAFTGASERTGKRDRPVEFDKQEENDPFGLDQFLTEVKKGKKAVEKIGGGGTMKASAGSSMRDDYEGGGSGRSRINFERGR >Et_7B_053542.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:9040641:9040853:1 gene:Et_7B_053542 transcript:Et_7B_053542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSQTRGILTVFLLIVAISSTALTAVEAARRLPEEGFASHATLHERARSLVMTWVAQLTAGPSPRGPGH >Et_10A_000805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17517221:17517806:-1 gene:Et_10A_000805 transcript:Et_10A_000805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIDRSTKWDGFAPHKLRPELAIANSHEPTSGRSTRPSSFPSKKKKDQTIITQSFGKRRCTRETPQLPLDLVLEIAARSDPTTLVRCAATSKVVRRRVADRRFLGSLRLRHGDAFVPSLLLGHLFWTSVRDLYLVDNDTQHATELLSDNPLTKILWQNVLAARDGLLLICSIDVDEI >Et_7A_052445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8138853:8143635:1 gene:Et_7A_052445 transcript:Et_7A_052445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGDGPVHWDKVDGPEMANGGGGGAGKLEKILVSVRLRPLSDKEVARGDPAEWECINDTTIISRSAFPDRPTAPTAYSFDRVFHSDCNTKEVYEEGAKAVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYTVADIYDYIGKHEERAFVLKFSAIEIYNEVVRDLLRAENTSLRLWDDAEKGTYVENLTEVILRDSDHLKELISVCEAQRRTGETYLNENSSRSHQILKLTIESSAREFLGKDKSTTLVACVNFIDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSKVRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSHMEQSRNTLLFASCAKEVVTNAQVNVVMSDKALVKQLQKELARLESELRCPASYTKLEALVKEKDNQIRKMEKEIKELKLQRDLAQSRLQDLLQVVGDDNVSKHPMLLGQHGMPPTNSRDDASQISNEDSEDLCKEVRCIETNETEGNECIGSSAVGSNTLQDSNVGSRMHENIASNPSVSSGHHDVSPITLEQHLENVRKPFANLVKDLGSSTRNSSSSKVIGRSMSCRSLMGSTLFEDLDKDDCTPPSRRFTDFPGRPEGCQRRVSALNYDAESETLSRAGDGAKANGSVAGDTEFTGIGEFVAELKEMAHVQYQKQRGTIRSVGLDPIMDALQSPSRWPLEFEKKQQEIIDLWHACNVSLVHRTYFFLLFKGDPADAIYMEVELRRLSFLKDTYSNGRMGSNVVTGSPNTSLISSAKKLQREREMLCRQMQKRLTVQERESMYTKWGVVLSSKRRRLQVARRLWTETKNLEHVRESASLVARLIGLLEPGKALREMFGLSFAPQQFTRRSYNSWRYGRSSLD >Et_9A_062755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4135645:4140764:-1 gene:Et_9A_062755 transcript:Et_9A_062755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRTVMEVGADGVAVVTIVNPPANVITLDVVHSLKGKFEEALRRNEVKAVVLTGNGGIFSGGLDLNILTAIQKKELETIKVLFTSIRDATDIIEDKVVFMSSSVAEKPLVAAIDGLALGGGFEITLACQARISTTRARLSLPELQYGVIPGFGGTQRLPRLIGLTKALEMILLSKAIKAEEAHQLGLVDAVVSKEELLSTARCWALDICESRRPWDRALSKTDKLESAEEAREIINLARTQAQKQAPNLKHPLICIDVIEEGIVSGPQAGLQKESIAFQELILSNTCKSLVHVFFSQRETTKIPGITDLGLIPSNVRKVAVVGGGLMGSGIATAMILSHYPVILKEVDEKFLNAGIDRIKANLQSLVRKGKMTKEKYEKTLSLVTGVLDYEIFKEVDLAAIEDVKLKQQVFADLERHCPSHCILATNTSTIDLTLIGLKTNCQNRIVGAHFFSPAHIMPLLEIVRSNHTSPQVVIDLLDVGKKIKKTPIVVGNCTGFAVNRMFFPYTQTALLLVDHGMDVYKIDHACKEFGMTVGPFRLADMVGLGVALATGMQSLENFPDRGYKSMLVPLMIEDKRTGEASQKGFYKYDGMRKATPDPDVMKYVEMSRSITGATPDPELMKLDDEGIAEMVLFPVINEACRVLDEGIAVKASDLDIASIFGMGFPPYRGGIMHWADSVGAAHIHGKLSEWEVKYGQLFRPCSYLSERAVGGVPLSAPTKQAKARL >Et_3B_028458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15680190:15685081:1 gene:Et_3B_028458 transcript:Et_3B_028458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQLLLRRAFSAFISTWRSPLFTRSRCSALQLRPRAAAMASDAAAPFQKIQIQREDTTFDAYIVGKENAPGIVVLQEWWGVDYEIKNHAIHISQLGGGFRALIPDLYRGKVALDVAEAQHLMEGLDWQGAVKDIQASVKWLKSNGSPKVGVTGYCMGGALSIASGVLVPEVDAVVAFYGTPSSELADPSKAKAPIQAHFGEHDSFVGFSDVTAAKSLEEKLKSSEVPHEVHIYPGCSHAFMNTSPEALKRKKGMGLTDENKEAVDLAWSRFSAWMGRFLDSA >Et_4B_037518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20786568:20791940:1 gene:Et_4B_037518 transcript:Et_4B_037518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTESQNLQPEVLWAQRSEKIVLTVSLPDAKDVVLKTEPQGLFTFSAVAHGKPFSFSLELFDSILPEGSKTRTKIGLRNIICSLQKEKKGWWKRLLKSEEKHPYIKVDWNKWCDEDEESEASADSDDDFEGVNGDESDDDDGMLCECPSYPVLECNLDLPDLEKLRGKIHRDFMELWILSTLQPSNDDMDVPLADFTVGEKERDKKDGVTARYTRVT >Et_1A_007370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33984008:33987004:1 gene:Et_1A_007370 transcript:Et_1A_007370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSVGTLGEADLKGKKVFVRADLNVPLDDAQKITDDTRIRASVPTIKFLLEKGAKVILASHLGRPKGVTPKYSLKPLVPRLSELLGVDVVMANDCIGEEVEKLAAALPEGGVLLLENVRFYKEEEKNDPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMIYTFYKAQGYSVGKSLVEEDKLELATSLIEKAKAKGVSLLLPTDIVVADKFAADAESKIVPATAIPDDWMGLDVGPDASKTFNEALDTTQTIIWNGPMGVFEFDKFAAGTEAIAKKLAELTGAKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKPLPGVLALDDA >Et_1B_012104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2975964:2980240:1 gene:Et_1B_012104 transcript:Et_1B_012104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHEVYGQEIPEDGDLDGADVDIASTAEAKEQVDSRSVYVGNVDYACTPEELQQHFQACGTVNRVTILTDKFGQPKGFAYVEFLEQEAVQEALNLNESELHGRQIKVAPKRTNVPGMKQRPPRGYNPYHGYPYRSYGAPYFPPYGYGHDTARAESSVCIVRSQQAGNDSGQSVQCLEYQIPNALFVRPGHPISKFPSHLSGQ >Et_4A_034710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5719227:5723329:1 gene:Et_4A_034710 transcript:Et_4A_034710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSDPNGSGGVPDISQLFCDYNVIYFGSSLDACFVSWAEDPLPDRNVSTCDYYTGGGGCMILLSKSLYECHNDSDLKSALLHEMIHAYICVKDSNNNHSDHGTKFQKLMNTINLSSVADPHRPADGYRITTHHEIRKKYYDYKCESCGDLVKSIKLSGPSHDNCVEKIGANGLCQNLKCHWHRHKKRCSGSYHKVNELAPRSLELKRSKAEEPLDDGNTAETVCKSQHLTSKRNGKSNKDKQEDTSAEFLHLSNNAVGYSGLNSSSRDKSNKKIRLSKDVSFDLQTPETIQEIPKRPRTAVLQNQECSRRQKRKLSNWDGTYSAIIEWLGYYSVTDSEEDEVPLVNKRTERRKRQKSIETSLAMEFCGFKLATSTSHSVNETSDGCVGSCSQDPGNNSKLSIVPAFRSEERSLPNYVVASHGVAGNQGGHESVSSPLDSPIRGDIIDISDG >Et_3A_023775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12168315:12176472:1 gene:Et_3A_023775 transcript:Et_3A_023775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LGGAGRLRAPADPAASLPGVSPRAAARVARWRHRSGPSAGAAAAEAPPPPPRLPPKPRGTPGTPSWRGGCSPQGCSTSRLRRPRGYGPQSIEEKQKLYALLRSLNFNGELTSASVSDPYTPTAQSFGSGAPVDGFYSPELRGEFGAGLLDLHAMDDSELLSENVASEPFESSPFMPKEVDDDEDDMMPESEQGLADNSTSAFISEKENIVVSARESNVAKIKVVVRKRPLNKKEVSRKEEDIIDVHNSQFLTVHEPKLKVDLTAYVERHEFCFDAVLDEHVTNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAHDMVRLLHQPMYRSQNFKLWLSYFEIYGGKLFDLLSERRHLLMREDGRKQVCIVGLQEFEVSDVQIVKEYIEKGNAARSTGTTGANEESSRSHAILQLAVKKHLPVTDTRRQRDREAAEAKNTKLVGKMSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGGNTKKDQFPVQSVSSNKESTYPSYSLSSEAEEPMERTQEARLLDASRKGVDNFTSNSSTEPERNSSSMIPSYPHRGKEETSLRSGLNDRERGDLKSNQTGLSSKARSLQDAVNSHEELKVTKVSPPRRKTIRDEKSERQSNYTKKEETSRTALKQQQQLKQQQQLRPSSTSASHVSSKQSEESSVEDMEINAILEEEEALIAAHRKEIENTMEIVREEMNLLAEIDQPGSLIDNYVAQLNFLLSRKASGLVSLQARLARFQQRLKEQEILSPGIPDGHTGFPGKESKEDGKITQNLAALKQVL >Et_3A_023052.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:21407897:21409268:-1 gene:Et_3A_023052 transcript:Et_3A_023052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LVFLSNGLVVVVLKFSCLPTLLTLRESAACLPFHHQNTRQLSRSSQAGNKTKRRSSHTLLPCLLGLVILLTSLPHSIQSPILHFSSTQTQRPPATSITLSGCKKETMRTIMARSPHESSFSFSRRYFKWPVLGKSAADGGEECFAGKGSDDDEDEAAMALSSSCPSFRSEDFVSPRPKPSPKQQQQQERKTKGRTAIVSRLRTALAAAISGTRHRQVGLGARLTGTLYGHRRGHVHLAFQVDPRACPALLLELAAPMASLVREMASGLVRIALECDRRASNKVPPKPLPLLEEKAWRAYCNGKRCGYAVRRECGAADWRVLRALDPVSMGAGVIPAACGGDGEDVMYMRARFDRIVGSRDSEAFYMMNPDSSSAGNNGGPELSIYLLRV >Et_2B_022954.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:9356554:9357072:1 gene:Et_2B_022954 transcript:Et_2B_022954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSYSGSVEHVRRPANDDGQGSFGPVLVVLAVISFLSVAACVAGRVCGRRSSETDGNKSSSEPQGAAEAGEKAGLGGATNHMAAIMRPVASSRATVHDVDDAFEIKLLPPNPAAREAAAGGVRMQAPPPRLLTAAAVEAAGFRGPPGGVARQAHHPPVRSGAAFVPTHQYK >Et_1B_011660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24606886:24609558:-1 gene:Et_1B_011660 transcript:Et_1B_011660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWKAIARTPQTSPTATSSSRTTVARPEPLLPGAASRRVGKGNDAAVLVRRSSVASCVTCGLCGGILRDATTVPDCLHSFCRKCIFQKITHEDVKCCPTCKINLGHASLDKLRRKVQKNSSRHQTLVHSPSLEAHEGNHSAKNTDAYLMPNCETETEAGKRLGTMLLLERMFLHGPVSKLTPETGALIVWQPQPIREEMVACDQLSPMTSEYQQQVQATINAVQTTKPMLIVNTTPRTGSSLQHDENFRTEFLAKCNEVKFSNPTMIKCNSYQFPVI >Et_10A_001626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6149505:6151548:-1 gene:Et_10A_001626 transcript:Et_10A_001626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDASGKSPQASTVTTIPRTASFPSAGEYPKDRSNSSRSRSDASHGRVAHSGGDSGHENGGGAGGNFEFHREVRAAGAVAPFFRQNDAEKWIAGRHVVHSNPIFSKKLAAAPPPHGRVTPESAASKGGDDQSAAAASAVTELSSPKSSSPSSSVSGPAASRPPPHKKLRAAAAAAAAQSVSMRDVGTETTPIASGAAPPPSLLSPLCSVPSSPRSGGGGGVVLRLRLRTRREIAALGLQLGKMNIASWANMDEGLLLTAQAAAPADVPGYIGDELKTKEFEARAAAWEESQNCKLASRHQKKEVKIQEWESCQNFKFEAKMKQAEVKAEKMRAQAKQDLAKRLSVLSHKVEGKQARADARRSRQAARQVERIRPGSGGAAPGSSDQQLQSLQTRLCSLPLVRSLLQ >Et_7A_051920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2863948:2871025:1 gene:Et_7A_051920 transcript:Et_7A_051920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRSRPRRAGSSLSSSSSRTISEDQISELLSKLQALLPESQTLNGTQRGSAARVLQDTCSYIRSLHQEVDNLSETLAELLSSADVTSDQAAIIRSLLIFMFTVISGTGIGFSVSRMAY >Et_3B_029481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25290087:25303850:-1 gene:Et_3B_029481 transcript:Et_3B_029481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWPLRVLNHSSDFCLQQPRQDRDEEMLVPHQDVVEGPQPMEESAPTVENQLVPDASTTRFTWPIENFFKLNNRKYYSDEFSVGDYKRVLVFPRGNNVHGLSMYLDVADSHMLPLGWSRYAQFSLAVVNQLDSKLSIRKESSHLFNARETDWGFTSFMPMGDLFDSSKGFVVNDKCIIEAEVAVRRIVDYWNYDSKKATGYVGLKNQGATCYMNSLLQTLYHIPFFRKAVYHMPTMENDTPSGSIPLALQSLFYKLQHRDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTNVEGAIQKLFEGHHMNYIECINVDYKSTRKESFYDLALDVKGCSDIYASFDKYVEVERLEGENKYQSEEHGLQDAKKGMLFIDFPPVLQLQLKRFEYDFARETMVKINDRYEFPLQLDLDRDNGKYLSPEADRGVRNLYTLHSVLVHSGGGQGGHYYAYIRPTLQDQWYKFDDERVTKEDAKRAIDEQYGGEEELPYTNPGLNTTPLRFTKHSNAYMLVYIRESDKEKIICDLNEEDISEHLKTRLKKEQEEKEYKKKEKAEAHMYTALKVVRDIDLAEQVGRHVHFDLVDFDKINSFRVPKTMPINEVKDELFKEFGIPVQCQRFWLWGKRENNTCRPSRPLTPQEESTAIGSLRAVTVSRFQNSELKLYMDVHSGQETHPIAPSVRAKEDILLFLKLYDPEKQELRFVGGLSVKASGIPSDIVQRLNEIAGFPSDEDIELYEKRSGFAGTFQLLADGDIICYQKRCSPDKMDQYRYPTVSSFFEYIQNRQVVRFRLLEKAEEDDFSMELSRRSTYDDVVEKVAHQLAVDDPSKIRLTPHNPISHQPKPHYIKYRSLDYLSDILRHHNQMCDILYYEILDIPLPELEALKTLRVAFHSATNNEVSLHLIRSPKSSTFFELIEVLKSKVELPRNDVEFRFFEVYFHRICKVYQPTERIDSVSDQNGPLRIEEVPEEEKNAGPHDLLVHVYHFCKDNHHLQYFEEPFLFLIRDGEALSDIKVRIQKRLQVPDEQFVKWKFAYVTYSRPEYLQDSDIVLSRFQKKKPVYGDWEHHLGLEHTSTTPKRPHFASQNRHSLEKA >Et_10B_002932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14065907:14070379:-1 gene:Et_10B_002932 transcript:Et_10B_002932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGTDTPTKASKPSATQEQQPPATSSTATPAVYPDWSSFQGYPPIPPHGFFPSPVASNPQGHPYMWGAQPMIPPYGTPPPPYVMYPPGVYAHPSMPPGAHPFAPYAMTSPNGNADASGAAAGGETDGKASEGKDKSPTKRSKGSLGSLNMLTGKSPTEHGKTSGASANGAVSQSGESGSESSSEGSEGNSQNDSHHKGSGQEQDGDVRSSQNGVSRSPSEGKLNQTMAIMPMPSTGPVPGPTTNLNIGMDYWGPNTASSTPAVHGKGTPTTVPGAVVPGEQWIQDERELKRQRRKQSNRESARRSRLRKQAECEELAQRAEVLKQENSSLRDEVNRIRKEYEELLSRNNSLKEKLGDKQYKTDETGLDNKLQHSGDDVAGQVLRRSLCWEMVEEMSPRIGLQWKVYLAVPK >Et_1B_011888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26909694:26912978:-1 gene:Et_1B_011888 transcript:Et_1B_011888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARALVGTAIFVLVALTTSHVAYSLRPGLGVCRASGYLPGRAGHCEKSNDPDCCEEGKQYPQYHCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDQEKVVALSTGWFNNMARCGHQIKITANGNSVYAKVVDECDSVHGCDDEHNFEPPCDNNIVDASPAVWNALGLDQNVGMVMCFTIREVSATNTTCHTSGFIHGKGRNCNKEIGLENCCVAGKRYPQFRCSPPVSTKTPAILTVNIFDNGEDGPGITSCDMRFHRNSELVVTLSSGWLHLDGKNRCNKKIRISAHGRSVLAKVVDECDSFNGCDDDHDFEPPCRNNVVNGSPGVWKALRLNESIGELKVTWSDV >Et_10B_002368.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:14284531:14285556:-1 gene:Et_10B_002368 transcript:Et_10B_002368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKERATSPGPDKEVPPDILHFPVHSPEQHASKEEVIKWRLEKNGFPFVLSDSELYADSPYDSSVSEQSSIISSPCMSFTVNSDARSEDLDKADIWVSSLDLDEEDSALLPNKEQILDIFSSDFPSPSFRAIRNLQLTPSSSSPGTAQKEEDSDPEDPIVWPFERTSYDSPEFDKFLSVSPRRITLGIRSAGVCQLNPTLQRLQKNKLSSTTKNIEPNRSSINLGSKGTKTSQDNIPKAAAVPSRFSRTTKDSASSKHQPVRNSEKKRPPHLKIGAPRKVTSSQLQTDQPLHQETKAGNIRKLEEKSRIEELIGLDEFDGHEGISSDLANYQFGLWLSPR >Et_3B_029054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21691409:21693858:-1 gene:Et_3B_029054 transcript:Et_3B_029054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTVPPRMCREVGTAVGRRHRKNVVVPEAAAPPPPAAPGRAAVAARKQRPFDVIEEEKKEDVTLVAGRRGGGGVSRAGGGEADTVVTVKIVLKRKDAEALVARLNERERKARLAELKGEFRRAGDGGASPASCRDAFYEVRKGMGNAALQRTCREPVTAAGTGGKRSNKNVADAEATAGPRRVAVASRKQRYDEEREEEVMARVERRRRASVSRPGEGDGVLTATAVVTVKIVMRRKDADALVARLNARSARERKARMAELKRELRAGAGCGGASPEACRDAWKPRLAPIQESMCR >Et_10A_001506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4212477:4216226:-1 gene:Et_10A_001506 transcript:Et_10A_001506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLFEQLAGDALREVLRAVKGTLWCRSTAENLRRSVEPLLPLVQGGAASAGELRELAARIREALELARRAASSPRWNVYRAAQLARRMEAADRGIARWLARHAPAHVIGGVRRLRDEADARIGRLERRVEEIAAASAAAPAPPAMSVPVAPPPHKAVAMDLMPPHKGMSIEAMPPHKGMAMEMMPPHKGMAMPPMDAKGGVMSMDVELLEEDDEGDKMVGGGVKMGKERVKEMVMSGSGGWEVVGISGMGGSGKTTLAMEIYRDHKVRAYFSDRVFFQTISQSANLEAIKMNLWEQISGNMVLGAYNQIPEWQLKLGPRDRGPVLVILDDVWSLSQLEELVFKFPGCKTLVVSRFKFPTLVTRTYETQLLDEEEALSVFCRAAFDQECVPQTADKKLVKQVAAECRGLPLALKVIGASLRGQPPKMWLSAKNRLSRGEAISDSHETKLLERMAASIECLSEKVRECFLDMGCFPEDKKIPLDVLINIWMEIHDLDEPDAFAIFLELSNKNLLTLVNDAQKKAGDLYTSYHDFSVTQHDVLRDLALHMSGKDSLNKRRRLVMPRREESLPRDWLRNKDTPFEAQIVSIHTGEMKESDWTQMSFPKAEVLVLNFASNIYYLPPFIATMQNLKALVLINYGTSSAALDNLSAFTALSDLRSLWLEKITLPPLPKTTIPLKNLRKISLVLCELNNSLRGSTMDLSMTFPRLSNLTIDHCIDLKEVPSSICEISSLESISISNCHDLTELPYELGKLHYLSILRVYACPALWRLPPSVCSLKRLKYLDISQCINLTDLPEELGHLTSLEKIDMRECSRLRSLPRSSSSLKSLGHVVCDEETALLWREAEQVIPDLRVQVAEECYNLDWLVD >Et_5B_045500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3132295:3133139:1 gene:Et_5B_045500 transcript:Et_5B_045500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQPRSRIDVDASDEHIVIDVELSAVNHGKDAAGAGIQHDEDAHRCAICKQASPWVAVGQCGHRAVCSKCMVRRRFFHRDKRCHVCKARCPKVVVTRAIGGAAVLPAALPSFCFRDRKVGKYWYHKHTAAFFEDEQEYQTARDACHGIMSPFYQPIFCFLPLIAAGVVVGAALGQNILYEMKTPSNRSLQITVYAVTLCVTVPLSFFLWYLIKRTEDPLVVEAIRTEGGY >Et_4B_039450.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:16469032:16469730:1 gene:Et_4B_039450 transcript:Et_4B_039450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILALLHKIAAFRKREKGLPPGPVSLPLIGNMHQLLWNKPMVVRWIHRLLDKMGTDMMSLKLGSIHVIFVTCPEIAREVIRKKEAVFFSRPATFASNLFSYGYKIASLTTLEDQWKKMKRVLTSEVLSPAMECQFHGQRGQEADELIRYVYNQVYIAPDSCINVRHVARHFCGNIIRRLVFGDRYFNKSTATAVAGPGADEEEHIDALFTLVNYVYNFCVSDYYPAFVGLD >Et_8A_056106.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:20538435:20540487:1 gene:Et_8A_056106 transcript:Et_8A_056106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVLAGRNEVHHPHPHHRPWAGGVRGAPPIPKPSSNPRRHRPGPNPNPIPPPPVPWQAPAPPPPPPEPSTSRFVRIHLSGMTPFEARQAQARLAGELGRVRALLSRIDSWQQDGRRRGAPELESEAAPRQRHASPTPPALRAAMLKRCGQILTRLRKQKISGWFNRPVDVVGMKLHDYYAIIRNPMDLGTVKENLTGGRYPSHEEFAADVRLTFNNALRYNPPGHQANTCARNLLSTFEGLYKEAISWFEQEFQRYEPPMPLALMPPPPLLALPPPPLTLPPPPQPPVPVPVQVLPRIGGGRRPKPKAREPNKREMDEEEKQKLRIEIENLPDEKMGNVLQIVQKRNTDPALMGDEIELDFDEMDVETLWELDRFVVNWRKAVNKTKRTGVVNGDSVDVTIVPDDEDMVQADVKPPVVVEIGDSVCQAVAMWLIVLNWKCYDWVLTFDHVFICFRRLTWWRGQQRLTSMWILEMRCRP >Et_3A_025675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31798154:31806235:-1 gene:Et_3A_025675 transcript:Et_3A_025675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRADMMRVVRRVAHGVYERDEDLVLPPAHGDIRLPPPAEEPHGDGREEGKDGKAGDGAEDLQLQILDLFERLAAELGAEAHVHQQLEQSAMEQRPNLHGPPLSVAAARAEEVGHERFPRPPPRRHVEAHTGRVQRFRGEVPADEAPVGPVRRGAYVARPGGEEATRLEERQPVGEGGAAPYQDAVGQTPVGHEHNRAEEASGDLLFFLLHRPPELVNPFGTVVEEIFLLRFFLLDNGTEVPASSSCYTWVYDYHLKLSGCRDVTWDRKKPGLSAYAKDPQEAAESLISLLEEAEKVVPAELRAQTPVRVGATAGLRALGSERSEAILQAVKDLLRDKSSFKSQPDWVTFLDGSQEGAFQWYLLGKLGKPYSNTVGVVDLGGGSVQMAYAISVKDAAKAPEVSDGEDSYVKKLLLKGTTYYLYVHSLNERKGIISNTPPCCQGGREDIFEQPGSALAGVQTSSRSTCRGWDRFCCTEATTTAAAMAAVATTVECKCRVNPWGTTVQLQFG >Et_2A_018804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:840989:843376:1 gene:Et_2A_018804 transcript:Et_2A_018804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSMRRWIPRGRAFSTAPAPATQATVQVAHLAPLPASLPDSGYTVTPPVQPWPRRLTARALSRLILRAPTPHDAVLALRHALVHADPPLPPSLPVFAAALTRLAAAAAHVDADAAARLLPAVLSALRSAQLPTFSDRPFLPLLRALPPLPSLKLFLSLPSFNSRPSVRSFNALLHSLVSARRLRLAAALFRAARSKLYITPNLVSCNILLKGLVGISDLDAALEVLDEMTGWGVVPDVVTYTTVLTAYCGKGDLQGAQKLFDDIIASGRRPDTTMYTVLIDGYCLRGKLQDAARIMDEMESAGVKPNDVTYSVVIEACCKEGKSVEAHDLMQEMLGAGYVPDTPLCAKVVDVLCQDGKAGDAYEMWRWMVKKSVPPDNTITSTLIYWLCKKGMVQEARKLFDELERGFKPSLLTYNSLITGLCENGELQEAGRVWDDMVERRYEPNSMTAYHTS >Et_5B_043950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18228510:18230643:1 gene:Et_5B_043950 transcript:Et_5B_043950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTVLRGLCVSDLFLTGKVCTHCSTRPMRIGPVPDKKSMYAYPPTSPLFHFSRPNGTQGTDFYHQESNTSRLFVGNLDQSVTSEDLKQAFSPYGEVVDVKVLEGRCCGFVTYSNRASAEEALRMLNESQLGGSTMKVTYARKQDHADCEKRDLRQSC >Et_3A_024457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2175916:2184635:1 gene:Et_3A_024457 transcript:Et_3A_024457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGENGAAAAAAPLLGARRKHREGCPGCRLDEINKSKTGIPYLNFFYIWIVCLTASMPIQSLFPFLYFMIRDLKVAKQEQDIGFYAGFVGATYFLGRTISAVPWGMFADKYGRKPCIVISILSVIVFNTLFGLSTSYWMAIVTRGLLGLLCGILGPIKAYATEVCRKEHQALGISLVTSSRAIALVVGPALGGFLAQPAQKYPNLFSEESIFGRFPYFLPCFVISLLAAGACIACIWLPETLHFHDDDKVDVIDELEAQACEPNSEDGKDGSRGETTKNLLKNWQLMSAVILYCVFSLHDTAYLEIFSLWAVSSRKFRGLSLTSQDVGTVLAFSGFGVLVYQLAIYPFLAKYFGPIKPFRPAAVLSILLLATYPFMADLYGTELKILINIASLLKNMFAATITIACNILQNTAVTQEQRGVANGISVTLMSLFKAVAPAAAGILFSWAQKHISGLFLPGDQILFLMLNSVSVIGLVLTFKPFFSLPRQ >Et_5B_045082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9376094:9385921:1 gene:Et_5B_045082 transcript:Et_5B_045082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPATTVREMWRAQRADGPATVLAIGTANPAWCLTQEEFPDFYFRVTKSEHLTGLMDKFKRKLGVQRRYLHHTEELLDAHPEFLDHASPSLDARLDIVKSAVPELAASAARKAIAEWGRPASDITHLVVTTNSGAHIPGVDLRLVPLLGLRPTVRRAMLYLNGCFAGAAALRLAKDLAENTHGARVLVVCAELTVLMFSGPEEGCFQTLVNQGLFGDGAGAVVVGADPLTAPGGERPLFEIAAATQAIVPDSEDAITMHLTRGGYGGNIATREVPVLVGDNVERCLADALGPLGLVGSAASEWNDMFWAVHPGSSAILDKVEAVLKLKPEKMAASRRVLGEYGNMFGVTVIFILDELRRRMEKGEEEDLEWGVMMAFGPGLTVETVVLHLHARLPSSSMTTTGSSPATVREIRRAQLADGPAAILAIGTANPPTCMSQDEYPDYYFRVTNSEHLTELRDKLTRICKKSGIKKRYMHLDEELLAAHPDFADRMLPSLDARVDLASAAVPELAARAASKAIAEWGRPAADITHLVFSTYSGFRAPSADLRLATLLGLRPTVNRTVLSLNGCSGGGRALQLAKELAENNRGARVLVACSELTLIAFYGPEDEGCSLDTILGHGIFGDGAGAVVIGADPVISVEHSLFEMAFASQITIPETEDAITMQITSGGLDFRISSQVPRLLGCTIERCLTDAFESLGVVVDAAEWKWNDLFYAIHPGGRAILDHIDGLLGLDVGKLAASRHVLSEFGNMSGATVIFVLDELRRHRRQAKEGGAPEWGVMMAFGPGITIETIVLHAGRSLTLGENC >Et_1A_006265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19868691:19871010:-1 gene:Et_1A_006265 transcript:Et_1A_006265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGALQELLFVLGGVVALWFAWRALEWGWLSPRRLGRALRAQGLRGTTYRFPDGDVKEEARLASAERAKPMPLQSHSISARVLPLVHNTIKEHGKISMVWSGPTPSVILDDPKLVREVLSTQFRNLKKSLLPSRLIKLIGQGLLIHEGEKWEVHRKIIKHAFLLEKLKKMGPAFIACASELVSRKWDSMGTGKEKEIDVWPELQDLTGDVISRAAFGSSLTEGRRIFRIQSEQVQLASHMTNLYIPGYTFLPTKLNRRIEANAREVETLLKGIIRKKEIAMKNGYADDSDMLGLLLQSNAKDSLENGSSKPTMTLDDIIGELKLFYFAGMDTTSVLLTWTMIVLGMHPEWQDRAREEVLRVFGKKQPDHESANQLKIVTMILYEVLRLYPPVPLLERGTYKEMELGGVKYPAGVKLVLPILSIHHDPALWGEDADDFKPERFAEGISKASKDAPAFFPFGWGPRVHIGQNFALLEAKIALSMILQRFEFELSPAYTHAPFLVSTLQPDHGAQIKLKKI >Et_4B_038741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4534727:4542666:-1 gene:Et_4B_038741 transcript:Et_4B_038741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRHSLELPVADDPAAADRDRARLRQLGYKQELKRGLSVVSNFAFSFSIVSVLTGVTATFNTGLRYGGPVSMTLGWLVMAAFNGCVALSMAEICSAYPTSGGLTTGAPSSPAKTGLLLLPGSPDGSTLWDSIDFALAQLVQVIILLGTGGLNGGGYMASKYVVLAIYGAVLIIDGLINSLPIQWLALFCHLGTFCDVAGIFTLVTLIPAVAKDDRASVNFIFTHFNTDNGMGIHDKIYILSVGLLMSQYSVVGYDASAHMTEETKNADWSAPMGIITSVALSSLFGWIYLVALTSIMTDIPYLLNPQNDAGGYAIAQSLYNTFHRRYGSGVGGLVCLGIIATATFLCGIGCITSDSRMGYAFSRDGAMPFSRVWYRVNKQEVPFNVVWLSVAMAFVMALTSLGSEVAFQAMLSIATIGQYVAYGLPIFFRVTTARKSFTPGSCNLGKFAVIIGWVAVAWVALVTVLFSLPVACPIAVNNFNYTPVAIGGVLVLSVGGWVLHARFWFKGPVSNVDA >Et_4B_037025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13716548:13717951:1 gene:Et_4B_037025 transcript:Et_4B_037025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKYVVEEEEERAAADSDVAGGAPEIFFLNGVFHIGDMVPWLGWLDPQGYVGRMKRLGEMFDAFLEHVLEEHDERRRREGDAFVARDMVDLLLQLADDRRYGVKASILELITGSTDTSAVSVEWAMSEVLRKPRVLAKATEELDRHRLVAEDDIQHLPYLQAIVKESMRLHPVAPLLIPRVSREDASVGGYDIPAGTRVLVNVWAIGRDPAVWDEAPEEFRPERFFLGGGEAMDVKGQDFALLLPFGSGHRMCPGLRMVQLTLRVEPPRGHGARGAEHGGKVRDIRVAYGPAPGRPRAKAARSSVCCWSGGLADLVVLPYMNVSVIQVHELGRHHNTEFLKFDKDGPI >Et_1A_009495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6679840:6683191:-1 gene:Et_1A_009495 transcript:Et_1A_009495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPTASFPPPSPPPHATVAADTSVSLLRGAAARRDAALTSALHAVLLKSGALHPPQPLTASNSLLHAYLQCDLLYRALRLLDETPRRDAATYTSLISAHCRLGAPLDAFRAFLDMLTWDAGQGEVGAARPNEVTAAALLQACGLARDERLGRMVHGYLVAAGFCHDPFVVGSLVNMYAKVGDVGSAQRLVFGLPSRDVVSWTAVISGCVLNEMHAEALEVFLMMLEDGVLPNNVTMLSVIQACSLMGASELFGPVHALVVLLELQDDASVVNSLVLMYAKNGFVEEAMRLLEGLYLRRGNHIENPLMGMYARFEQVDAAQLVFNGMRAKDIVSWNTIISCLAKSDRVNEALELFSTLHAGSGGLSPDFVTVLSVVQACSNAGLLKQGQMLHGYIIKSGYLKDVSVCNALISMYAKLGRIDFAEMIFGRMDTRDLVSWNSMINAYGIHGDGHSALRIFYQLKDAGTPAPNAITFVSVISACSHSGLISEGHQCFESMKMDHGIEPSMDHYACVVDLLGRSGRFAEAEQFIRDMPVCPNSSIWGPLLAACQLHGNVDLAEKAAQELSALEPESDIWRVSLSNTYAMAGRWKDAAKVRTEMKRVGLRKETGWSFVDVGGVDGFKFMAADSRHRDAEQIYALFRFKFLLEARRRYVKKIMATNRTTVNSAWQ >Et_2B_018979.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20786732:20789170:1 gene:Et_2B_018979 transcript:Et_2B_018979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKKARAAEENVRLICDDALSEVFRRLPARTLASCRLVCKSWMSVLLDPHFIHEHLSRGQQKMLLFANDRANDKSLAMVLADDKESMYQLSRPMASRSMFVHNSCNGILCLGDSTGAVELLNPTTGESLVLPMPMYTAGSSQFSSCNWHCLGFCPGTKEHKVVHFYQGSHFNSSQVCCEIYTIGASAWRQVGTFHGAPTDRGVHVNGIVYYLTKFRYVASSRINCLNLESEKFSSMMLPPRKSYGGHCSLAELEGNLCLLVVDGAVEDPPRTMDILMLNSDDKQRWTLKYSLSLPWLMPSCYFTPKHTLFHEGKIWVQLLARGLYCYDPSSKSEEMKMAWTEFDSPFSTHTFIESIVPLHKDYFMKLR >Et_10B_004197.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18503712:18504242:-1 gene:Et_10B_004197 transcript:Et_10B_004197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEPSSPPDSAPPVESFFDRIERLARASPSSFPLAPSIPVSSVSITPTGIAFAVPVVNHHLDYYDGVGGGEYDDAYRNGGFGAVGASGEAIASLPKATVTVAGERAECAVCLEGYEIGDALRTMPCKHDFHERCIVDWLRVSRMCPLCRFKLPPAEEETAESDEEEDADGAISTC >Et_9A_061024.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:10681141:10681347:-1 gene:Et_9A_061024 transcript:Et_9A_061024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNQKTSWPELVGMPAEAAKQKILYDRPDMNVVLLRAGSFVTTEFNPKRVRVFFNPNGDVADIPKIG >Et_4B_036460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25228932:25229749:1 gene:Et_4B_036460 transcript:Et_4B_036460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIIVKVDLECCRCYTKIQKVLTRIQEKGEFCIDDIEYDEKNNKVIVSGPFDADKLADKLCCKACKIIKEIEIVEPPPPKPKEPEPPKKEEPKPPPPQPEVKPPPPPPKEEPPPPPPKKEEPPPPPPKKEEPKPPPPQPKVVEVPYPWPYPYPFPAWPSECCCHHGHGGCHCCSCGKAPEAPPAPPPQYIPMPQYVPQPYPCNPCGGGYRIVCEEDPSYACTIM >Et_10B_003781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:646594:649551:-1 gene:Et_10B_003781 transcript:Et_10B_003781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVLEDAAGQPVCRFDLVMFNNTPSGAHGDLGLVLRPLPKNTISVRCVDGTMVAVKPCDVTVVDRSAACWTSAVTSAAAVTTDTDSSAGVVTGTTTALDLVRLSTGEVVARGVSPSEVQRDGELSRGDFVVMGPWLGQVMEVFLDVDVLFDDGVVFRVTQARTNLRTLDNDLSRGVFYLGQRVVASSSSVFEENVVFKAARWLKGRPYSRKEEEGTVAKVVVSGVRVYWLASSHLGTERTPLFQASAPPAYQHSSQNLTFYSCSRDASLMHQVWFLGQRCVFRDHPNRRSSTSSTEYDPNKGVEEQRRQAAESLCVANTRTMVDVLWQDGTRQYGVPSTSLVRLQVWNQHEFVPGQRVVRQDRDDGQHLGIIQSFNFKDQTARVSWFQASKEEEAEETLSAYHLSWSSDHHLSYGSIVVHLRPTDSLPAREDSEDKAQREKEDLSWVGTSMSNGIAVVKPQSIEETLHEIREDGPGPATAARITGWANAVTQAMIHLAGNVIAHGKRYLLSASDGNAMEDATAVAPESEIGGGGSAQQGKTEADAIGGDDLSRFSHFDIQQSPPPDHDYLNNMAEQGTGGGTKWVKRVQYKWKILDENLPDTIYVRAFEDRMDLLRAVMVGVSGTPYHEGLFFFDLQLPPSSYPESPPLVNYRSFGLRPNPNLYESGTVCLSLLNTFGGHGTELWSPEASTLLQVLVSIQGLVLTAQPYYNEAGYESQVGTPQGRRNELPYSENAYLLNLRTMLHLLRQPARGFEAFIREHFRRRGQHVLRACETYLADGCTVGTLDGEARPTELSMERPCSAGFKLALGNVIPRLHEAFKEMGADGCQ >Et_3A_025647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31578879:31581572:1 gene:Et_3A_025647 transcript:Et_3A_025647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVLSNRVDRQQVKAGDHIYSWRTAYIYAHHGVYVGDDMVIHFTRAAGHEIGTGTFLDSFLFSSSSPASTIDGPPCQKCGHLIRREGVIMSCLDCFLDGGSLYLFDYAVSPAFFLAKARGGTCTLAASDPCDAVIHRARYLLDNGFGMYCLFKNNCEDFAIYCKTGLLVETAFSVGRSGQLASLTAAFSAVASSPLRFLTTSADIGVRRDVVKVPVERLAEHIVVASVAPPQGQATETDVAAAGQGGVNISNHATGGGKHPITEDMITDWKEAQTD >Et_4A_034562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4322404:4325894:-1 gene:Et_4A_034562 transcript:Et_4A_034562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSRLEKALGEQFPEGERYFGLENFGNTCYCNSVLQISNQKKKTGVIAPKRFIQRLKKQNEIFRSYMHQDAHEFLNFLLNELVDILEKEHKAVREPLPNHSSSQKASNGPINGQPNGSHKELAATWVHKCFQGILTNETRCLRCETVTDRDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPNILVIHLKRFKYIEQLQRYKKLSYRVVFPLELKLLNTVDNSDLEYSLFAVVVHVGSGPNHGHYISLVKSHNHWLFFDDENVEMTDESMVQAFFGSAQEFSGNTDNGYILFYESLAEKS >Et_4B_036452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24866295:24866936:-1 gene:Et_4B_036452 transcript:Et_4B_036452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPAGDLDRISALPDDLLHDILAFLGDAPAVTRTALLSRRWRHVWTGAASLASKDSDDWVLARRGDADMDSLKIRIRDTQESLCPSPEQLNEWLRYAARHVVASTDIDLGQWRERAYQQRQSVELPSHGGRAASISLFLPNYRLRLPSTAAARYEALTELGLFSMYFTKKMVAAAPSATLWRPAAPACAGFTLVALKG >Et_6B_048601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11267653:11271050:-1 gene:Et_6B_048601 transcript:Et_6B_048601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLNCADTNSGVKLQQQQAPTSPTASVSESNIVVSSTDPDANDALAGLQALKFDGDIDEIQSPDLAMWESLFADQMGASGAEFLMFSPRRDFMATGSPRREFMVSSPKRDYMVSSPKRDYMISSPKRDYMVSSPKREYMVTSPRREMVSSPRRSTFSNLYSTSTAGSHGNNQQGYLHGMHGEGSSAVHQQFGNVANNGKGKSMSPLHKVYINNAHSNSGKSQGPSSLSCSSSYGHGENLSLPSMDPFLEDYKEGGYLGYQLPVKPGADNGAASATVTTVAPSSSQLPTLSECLAMPEPVYGGSEEAVTAATMAAAGGLHMGGGLQHDMFYEAQFGGGEGLSLQHQMAKSDQWATDSSLHSMLGSVIQAEAEQDSGLQLVHLLLACADFVSKGDQPSALRHLHLLRRVASPLGDSMQRVASYFADALAARLTTLSSSNPSSSAAAATAPCGAAANAAGVFPFPFPPSPETLKIYQILYQACPYIKFAHFTANQAIFEAFAGEDRVHVVDLDILQGYQWPAFLQALAARPGGPPTLRLTGVGHPAAAVRETGRHLASLAASLRVPFEFHAAVADRLERLRPAALQRRVGEALAVNAVNRLHRVPGAHLGPLLSMIRDQAPKIMTLVEQEAGHNGPYFLGRFLEALHYYSAIFDSLDATFPADSAPRMKVEQCLLAPEIRNVVACEGAERVARHERLDRWRRIMEGRGFEPVPLSPAAVGQSQVLLGLYGAGDGYRLTEDKGCLLLGWQDRAIIAASAWQC >Et_9A_061263.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:3274820:3275116:-1 gene:Et_9A_061263 transcript:Et_9A_061263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASVCKGCRARRCGSGDGCDQCKDGTVLSSQSYDLASCGMLISEIKALLLMAFRNFSIQFQHRSCNAVADNLAKFGCGLVSGGIMLWPDGHPDFVN >Et_4A_035611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3164357:3168876:1 gene:Et_4A_035611 transcript:Et_4A_035611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPTGEPLSVSGPPEVPSSGSAASATALPSPITLLPTSPGLPPPALPDDIITEILIRLPQDDPSCLFRASLVCKPWLRIVSGPDFRRRLGLLHPTPPILGFFRRHGDHFAATTASAFSLPIPVEVEHSFVLDCRHGRALFLLDPGFLVWEPMTGVRRVVPLPPLCKDEGNCGSFSEKFLAVSVYSSEMGAWSEASLFDTAGTWPDISEKPSILVGQTIYFLLDQKYRYILECSLDGSDMAWFQIPEDMRSFENCSILLIHAEDGSLGIAGFDEDSSLLSLCSWKEDGDGQHGTWACHRVSSVMTAKMAARFVHRRDQSSGSKVLSKEKAAKNVYIKVAYLSYTGDPNSAAVTCAKPLAEGRGDGQSSSFGASSTNGDVRSLPLDAVQTQLSNEESGARVRESVPIVATRKSSRVKKPNTKFLGGDWVNY >Et_9A_062966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7629816:7631874:1 gene:Et_9A_062966 transcript:Et_9A_062966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFTTQTILLVCSVPGMAATGTVKWFNDEKGFGFITPDDGGQDLFVHFKAILDDGFKSLKEGQKVEFVVEQGLKGSQAANVRVIG >Et_10B_003293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18580318:18584069:-1 gene:Et_10B_003293 transcript:Et_10B_003293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEEVGNKMQSQMRLDAAAEEEDLPLPALFDKASRLHSLASSSSLDQEGIQKGVDLLRRCDEMVSKLGLFSSNETKEDVSTANLKYLLVPYYLGEITEQIAQEDRIPILKASQDHLKEFISICEALELIPADELESFRQKQPDTAVNKRAQKIARFNRQKAAQTKLQEIKERKERRGRSLRAAALSAPTEAGEEDAFEDDGEEEREAFDLLDMLKKEEEMLLAVKERKEKDGNAFAREMLDERTKKAEAWHHNAASRAPYSKPADPITCATFAQDVIEGRASVSQAHEHNHQPLIFGPASLVGGGLTSERERMAARVFQPSYRMPTMSIEEAGLREMKMMEQWQERTAKMIQEANSAWHKDGTSSAQDDEDAEEEKARAWDDWKDDNPRGAGNKKLTPCG >Et_2A_015912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18996059:19002942:1 gene:Et_2A_015912 transcript:Et_2A_015912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPVELPSRLAILPFRNKVLLPGAIVRIRCTNPSSVKLVEQELWQKEEKGLIGVLPVRDSDAAAVGSLLSPGVGSDSGEGGSKAGGSGGESAKQDVKNGKEPIHWHSRGVAARALHLSRGVEKPSGRVTYTVVLEGLCRFSVQELSARGSYHVARVSRLDMTKTELEQAEQDPDLIALSRQFKATAMELISVLEQKQKTVGRTKVLLETVPVYRLADIFVASFEISFEEQLSMLDSVDLKVRLSKATELVDRHLQSILVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVVALERKMQNAGMPANIWKHAQRELRRLRKMQPQQPGYSSSRAYLELLADLPWQKVSEESELDLRAAKECLDQDHYGLTKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAKALNRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVSVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVIFVATANRMQPIPPPLLDRMEVIELPGYTPEEKLKIAMKHLVPRVLEQHGLSSTYLQIPEDMVKLIIERYTREAGVRNLERSLAALARAAAVKVAEQVNTLRLGKEIQPITTSLLDSRLADSGEVEMEVIPMGHDISNSYENPSPMIVDESMLEKVLGPPRFDDREAADRVASPGVSVGLVWTSFGGEVQFVEATAMVGKGDLHLTGQLGDVIKESAQLALTWVRARAADLNLSPTSDINLLESRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSNRKVRADTAMTGEITLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNLKDLAEVPAPILSGMEILLVKRIEEVLDHAFEGGCPLRARSKL >Et_1A_009097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2976774:2978483:1 gene:Et_1A_009097 transcript:Et_1A_009097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRLESGGFMLPNAEQENSLFLRALISVVSGDTTVPTLELPETKPLVAATAHCARCGVDGCPGCGEIFGAGDAAATTASSCSDSEEGESASRATGAVCKRRTRKRRGERVSKYRGVRRRPWGKWAAEIRDPHRAVRKWLGTFDTAEDAARAYDVAAVEFRGHRAKLNFPADAAAVSASSWATVLQPKPVPESLREKCGSNAASPVHMAQVLAGQQGSRTVAKDQEIWDGLNEIMMLDDGSFCMQRGRGGDWRGSTAELGKKRLAS >Et_4B_036067.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:20384545:20385152:1 gene:Et_4B_036067 transcript:Et_4B_036067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQGAERGRGPRRNRSGRGATGAPIREHSGSRSWAGRHLVCGVYRIIPPDAGLPKPPLPPQFSLPFRISSCLPVLATRVPAGAHVQDGRVCGLQGGRPMNWARRGSIWPMTFEFACYAVEMMHIAAASRYNFDRFGVMFRPRRTSPTA >Et_2B_019594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10727497:10740056:1 gene:Et_2B_019594 transcript:Et_2B_019594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ICSNDMMVQRDGKLIHIHGEARFRKSSKPNHILLPSTNRAWHDVHMHVLTNVLLIKQWMLFYACAGQKSMVRKRYMHVTEELLQENPNMAAYSAPSLDVRHDILVEEVPKLGAEAAEKALAEWGQPRSAITHLVFCATAGVDMPSADFRAAKLLGLRPNVNRVMLYHQGCFAGGTVLRVAKDLAENNRGARVLIICSEITAVTFRGPCATHIDSMIGQALFGDGAAAVIVGAGPDEAAGEWPLFQLVTAAQTIMPDSDDAILGHLREEGLTFHLQERVPDLIGMNIKGLLETAACVLFILDEMRRRSAKQHLATTGEGLDWGVLFGFGPGLTAETVVLRTTKYLEVELRFRCEMAAKMTAEEMRKAQRADGVATVLAIGTATPPNCVYQADYPDYYFRVTKSEHLTDLKNKFTRLCQKSMVRKRYMHVTEELLQENPNMAAYSAPSLDVRHDILVEEVPKLGAEAAEKALAEWGQPRSAITHLVFCATAGVDMPSADFRAAKLLGLQPNVNRVMLYHQGCFAGGTVLRVAKDLAENNRDARVLIICSEITAVTFRGPCATHIDSMIGQALFGDGAAAVIVGAGPDEAAGERPLFQLVKAAQTIVPDSDDAILGHLREEGLTFHLQERVPDLIGMNIKGLLETAFKPLGISDWNKIFWVAHPGGPAILNMAEAELGLDEARLRTTRHVLAEYGNMSSACVLFILDEMRRRSAKQHLATTGEGLDWGVLFGFGPGLTAETVVLRSVPIAK >Et_3A_025387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29443555:29446882:1 gene:Et_3A_025387 transcript:Et_3A_025387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKFFVGGNWKCNGTSDDVKKIVTVLNEAEVPSEDVVEVVLSPPFVFLQQVKGLLRPDFSVAAQNCWVRKGGAFTGEISAEMLVNLQVPWVILGHSERRALLAESNDFVADKVAYALSQGLKVIACIGETLEQREAGTTMDVVAAQTKAIAEKISDWTNVVLAYEPVWAIGTGKVATPAQAQEVHDGLRKWLHSNVSPAVAESTRIIYGGSVNGGNCKELAAQPDVDGFLVGGASLKPEFVDIIKSAAVKSSSSYALDLIPT >Et_5A_040667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1115538:1117074:1 gene:Et_5A_040667 transcript:Et_5A_040667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLVYLTIIAAALASAFGELTPDFYSETCPQALPTIKFLVGAAIVGEPRMGASLIRLHFHDCFVSGCDGSILLDDTDDMIGEKTAKPNNNSVRGYEVVDTIKAAVNTACLGNVVSCSDILAVAARDSIVALGGSSYDVLLGRRDATTASMDDANNDIPTPFMDLPALQDSFESHGLSLHDLVVLSGAHTLGYSRCLFFRGRLYNETNTLDPAYAASLDERCPPAGDDDALTSLDDTPTTMDTDYYQGLMQGRALLHSDQQLYQGDGGDADELVKYYSDNPSKFWEDFGAAMVKLGNMSPLTGDEGEIRENCRVVNQE >Et_5B_044464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2645119:2645866:-1 gene:Et_5B_044464 transcript:Et_5B_044464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTIGDTVPNLELDSTHGRIRIHDFIGDGYVIIFSHPADFTPVCTTEMAAMAAYAAEFEKRGVKLLGISCDDVESHKNWIKDIEAYKPGTKLTYPIMADPDREAIKQLNMVDPDEKDAQGVNLPSRTLHVLGPDKKVKLSFLYPACTGRNMDEVVRAVDSLLTAAKHKVATPANWKPGECVVIQPGVSDEEAKKLFPQGFTTTDLPSKKGYLRFTKV >Et_9A_061232.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22999268:22999690:-1 gene:Et_9A_061232 transcript:Et_9A_061232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESARLEDLVSQARDEIAAAENAAATNEGEVATLRAEVKRLQDLLDAEKSGREEETRRGAGLGDQLRRAYQEKAVLAQEIEALKASAAAAEKEGDEEDSAAPAAVTPKEEGAPSHGLVAAAAAGAAATAAIAVVLLNLKR >Et_7B_054661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3191840:3195817:-1 gene:Et_7B_054661 transcript:Et_7B_054661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRKAKAPPPPSPPKAAAPSLGEALLLATVCMVGLPVEVQVRDGSAYAGVLHTACVDAGYGVVLKKARKIANGKGKANLPIGAFVDTLVVQPDDLVQVIAKDLSLPIKGLCKAPDSSLVADSGSLKPQNAHADHPKMAEPQNISPLKYVSDSDQCLLFHFVWFISYFGLYLGIVLAPFAVTNTSTGHVGPCFSMNDVSTSTTVGPKVDGIAAPMVTSDVKRSQPVNNSATKIVTSSKTSSKEFKLNPSAKVFSPSLTSSRHVLAAPTPVNPNYISPSATEVPMGIPVFESKSVPGGSSLSSKVHYNLSHTNCAISPQYVQSMVGHNAARLDPAKVGTPYRPMKVGATYASPSPQPVVAGKFSPAVYVHPVSQEAMHGAPIISQGWHHPVLLNTYQANLQKFQGNAPVYVAPPVMATGSLPFVVPSPAPLVQPFQAIHPIMVPAASSMVPGKYM >Et_8A_057111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21203179:21209761:1 gene:Et_8A_057111 transcript:Et_8A_057111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPIGELKKLLLAADESQGQLKVISILGCSGLGKTNIVRQLYNDTSIIRRFRYRAWVEMPLSADPSHVVTTLLHEILQQVRRLRGAPTGGYEQLTTDLQEFLRCARYLVTIDGLQEHNVWKAISKAFPENGLGSRVVVTTRNRSVAMEWSSGRYLYTMRGLGYTDRVRLFWEEYGHNQRSGSRKDKWLETFFAKSDGLPLVLLEGADFLRCHGQRLAPEPCHVLGKALGNHVAGRGRGFEKTRRALARCWDSLPEQSPKMCMLSVVSFPKGHVIKSNDLIRRWIAEKHVVSDKKLDEYDASRRCLDDLLDVGVVEPVPHDAINTKVERCQVHGLMLEFLLHRSLSWNFLTLVDKGKVVRGNNSYRARHLAVHSDGDINREAEEIGLSCIWSLTVRNTSNSLSLELERCKLLRILDLEGCTWVGDIHLLVIARMLHLKYLNLRSTEVTRLPKAFGNLICLETLDVRQTQVGVLPLEVLMLPELAHLFGAFELPPQLEDDKTTDELNKFLIEEKGKLQTLSGFQIYNGRCYEQILRHAKWLRKVKMWLVPTISTEITTITTTSDTATTTTSAAMETPATTTPSTSMETPAPTPPRRWATAMKVTRKVLFLDQFKKNRDENGHDVVGSTNGCAPQPPVLEADSLAAALETRFIQPNSLNSLSIDLGGFCKKFLDSILKLKDTCAMSSIKIRGELEKLPESTIATLTLVRNINKLHLCNTGLSSEDLAVLQSLHSLISLKLVEESEGPWQGNFVVNTGGFPCLQRLCFCGPKLPSVEFHEPIIGTEYSLLSLQLICDAPVGRQHGVTGIDHLQNLEEGKTKTPSPPSSSFLQPSSSELCSLQLLPALARPAVQRDNTRPDTGPSRHALRLLWRTGKRHRWCTGRGRRRLAGARASESSDGGGSLGPGEHEATQEAVVGGSLGRGGCC >Et_2A_015766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17683050:17684234:-1 gene:Et_2A_015766 transcript:Et_2A_015766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEEYRCFIGNLSWSTTDESLRDAFEKFGNLTEAKVVLDKFSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRAISVDKAQPQGAGRDRNGDRDFDRDRGSRYDRGLVMVAAMEVVTVTAVTDLAPTDGLDLKKYLPS >Et_6A_046960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23231205:23238162:1 gene:Et_6A_046960 transcript:Et_6A_046960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCKTRRLSIINGNSEQRIPESMNLSHVRTLYIFGGGMPKLFFKDLTFLRVLDLEGCKDLANHIKEIAGLVHLRYLSIKHTPISELPNEIGQLENLETLDLRGTQVQELPESIMKLQRLTHLLCDKMRLSGWIGRMSALSCLSQFDMLRSEVLAVDDLCNLSELTEMGMWSPVGYEHIVLSLAFSLYKLQRLQSLCIHGSESSVDLSDHMCHPLPQLQKIQLLSDLPSLLHLSLSSRFILTEKLVIHNNGFPVLRQFHLQSVRADLTFEPQAMQNLEELLLSVHIPQEAAYVFSISIEQFMYLKKIDISIDGEAAAVSQSFGATNIATRKAAKEHPNHPTLNIMILGSLIGPVLLLSQLPSLRHLSLSSKVLPTKKLVIGGRGFPVLQKFQLNFSRADLTFEPHAMQKLEQLVLSVYLPEETYALSISIDQFLCLERIDIIISGDDTAASHLLEATNVAIRNAAEEHPNHPMVSVISSEKVGYAAN >Et_3B_028147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10965656:10969094:1 gene:Et_3B_028147 transcript:Et_3B_028147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAAAAAAVRPCRHRLLSSATASAAGTSATATPLFPRCPHPYLHTHGRRLPFLASAGSQHASQTGPATTPTPIPGSVPPTDPRAAVSGNLPFFDRVLFPDTFPVETPPAGEEDAAASAVQIDEEVAPAQPVREESDTEREAWRLLRRAVVSYCGEPVGTVAAEDPECTETLNYDQVFIRDFVPSALAFLMRGETEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKIRTVPLDDNNEGFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYCKITGDYALQERVDVQTGIKLILSLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLVMNDGSKNLIRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDATNKFNIYPEQIPSWLVDWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAIASSLTTPKQAEGILSLIEEKWDDLVANMPLKICYPAMEDDEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPELARRAIAVAEERLSDDKWPEYYDTRSGRFTGKQSRSYQTWTIAGFLTSKMLLENPELASILTCDEDLELLEGCSCCLSKRSRCSRRAIKSKVVG >Et_8A_056827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17559131:17559605:1 gene:Et_8A_056827 transcript:Et_8A_056827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDHYGKRLWMCNNYQYDLPLKRLHFFLTPLNPHRLCTLEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAE >Et_5A_042845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5460499:5463802:-1 gene:Et_5A_042845 transcript:Et_5A_042845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFTRTAPPPPPPLVHPNNGLHHGLGLGLFLDVGAARTPWPGSFPSPSSKISLGNLNSTGCMEQLLVHCANAIEANDATLTQQILWVLNNIAPPDGDSNQRLTAAFLCALVARASRTGACKAVTAAVAAAVAESASLHVHRFTAVELASFVDLTPWHRFGYTAANAAILEAVEGFPAVHIVDLSTTHCMQIPTLIDMLASRAEGPPVLRLTVADVGGVASPPPALDVSYDELGAKLVGFARSRNVSMEFRVVPASPADGFASLVDQLRVQQLVSDGTEALVVNCHMLLHTVPDETAGSVVSCSLPVSIRTMLLKSIRTLDPALVVVVEEDADFTAGDVVGRLRAAFNFMWIPYDAVDTFLPKGSEQRRWYEAEVGWKVENVLAQEGVERVERQEDRARWGQRMRSAGFRAVAFNEETAGEVKAMLNEHAAGWGMKREDDDLVLTWKGHNVVFASAWAPLMLEPGAKLHELCRVHRQQGEKKVSVVSINISPLVHHPKSNAGTT >Et_6A_047906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23644578:23645631:1 gene:Et_6A_047906 transcript:Et_6A_047906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTQISMLIIKVDLDCHKCYDKMRKILCRLQDCERITTISFDDKSKTIAVVGPFDPMRLACKIRCKGGKVVKDIHIVDAGGGGGKPPPLMMEGPPPPGKKKPPKGKPASPPPEVHQAPPSPPPQHAPAPPPPERPPSPPSQPGPPGMEMAGNVPPDMETAATARHAELEQPPPPSPHKPVEYMPPPSPQKPPMDYMPPPAMPPPIMMKPRPLHPPRPPMPEPQYPVECAMPTVEIPSYPAAPVAPCGCGCGCPCCCAPSYQGYYEGCRCGCGGSGGGMVYGYAAMQPRCGYQGCRIINEEDPNAACSIM >Et_8B_058632.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:11956782:11956955:-1 gene:Et_8B_058632 transcript:Et_8B_058632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSALFVIKQMKRQITSRWNVLMQSKYGLELAGGGKITSTLPGIKVKASKNGGMTSC >Et_3A_026148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4887664:4897745:1 gene:Et_3A_026148 transcript:Et_3A_026148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQASVLRGRVRLLRRPRPLIMPSPLFLSRNPNPSPSTNGSSPAPAAMSTSGVYVPPMRRLRSVIASTNGSLAPPPSAAAQAQPAARPPEWRMDRRSLSPQSSPQPRRREAPLPPRPPPPEHFRQQSAGYARYAYDDFSEDDSDREMDRSSVSSKGGSTLDNVDEWKWKLHMLLRNDNEQEIISRERKDRRDFEQLAQLADRMGFHSRQYSKIVVFSKVPLPNYRSDLDDKRPQREVSIPAGLQREVDALLTDYLARKRMNNGSFPNAAFSRSSSTDSFATDEGFFEQQDNQTSTSAVMERIQRRKSLQLRNQQAAWQESSDGQRMMEFRCSLPAYKEKQALLEAISQNQVVVVSGETGCGKTTQLPQYILESEIDAARGASCSIICTQPRRISAISVSERVAAERGEKIGESVGYKVRLEGMKGRDTRLLFCTTGVLLRRLLVDRSLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLILMSATLNAELFSSYFGGAPMIHIPGFTYPVRTHFLEDILEFTGHRLTPYNQIDDYGQEKSWKMQKQALSKRKSQIASVVEDAVEAADLRNYSSRTRDSLSCWNPDSIGFNLIENVLCHICQKERPGAVLVFMTGWDDINALKEQLLANPLLGDPSKVLLLACHGSMASSEQKLIFDKPEPGVRKIVLATNLAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPTWISQASARQRRGRAGRVQPGECYHLYPRCVYEAFADYQLPELLRTPLQSLCLQIKSLRLGSISEFLSRALQSPESLSVQNAIDYLKVIGAFDQNEELTILGKHLSMLPVEPKLGKMLIFGAIFNCLDPILTIVSGLSVRDPFLTPFDKKDLAESAKLQFSCRDYSDHLALVRAYEGWREAERDRAGYDYCWKNFLSAQTLKAIDSLRRQFLYILKDTGLIDENMTSCNKWSRDENLVRAVICAGLYPGVSSVVNKERSISLKTMEDGQVMLYSSSVNGKEAKIPFPWLVFNEKVKVNTVFLRDSTAISDSTLLLFGGNLQQGGLDGHLKMLGGYLEFFMNRDLASTYLSLKSELESLIHSKLQNPRMDIQTSEELLSAIRLLVTEDPCSGRFVFGRQEPRSKKAKMMIHAAPASMSGGNGGDNPKNQLQTLLTRAGHGNPSYKTKQIRNSLFRSTVEFNGMEFVGQPCANKKLAEKEAAEEALNWLRGEAPSDSRDQRDVDPMSILTKAPRRRRHTHRRRN >Et_4A_033651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25441771:25442541:1 gene:Et_4A_033651 transcript:Et_4A_033651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVRLLTRSVKCSQSPTCWPVLPSGMLSGSSLSLAGPLKGQNTHMATSVQDPPMAGLRCAYSSALSMSPRHTARPRSPRGMSIQYSPSLQPPAGRLDPSPSISSPAGSPARRYSLAPFLKYQATEDGSVGIADRSRSTLLRHARPCSPGELPSAAQQKVPAPMPFPRIPQSWCTGLTPRTANAGAQRGDAGDCGCGGGCCRASGRWSSDPCGSHSPVRSARTQATSSSDGL >Et_5A_042082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5995672:5999953:-1 gene:Et_5A_042082 transcript:Et_5A_042082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSRRFLNLIMDNGVPGCRSLYCVDPTRFFNTTHNGRNRSEESKRLRNAATCAPAAIAGNQKNNNEQAVNAAAIKQMESIELPNPIFNLGASRSDPNEPRKIDCFPLVDRRLICADHHGRCYLFEADTRRVSKPISLFIPSTEDVDDRDCGGGGGTLFVMETVPEPQPAAAAGNGQFEAFVYRKPRSTRYFKSWDCQLLPPPPYVFRDDATHCPAVTSYGVVNDGSYVFISVDGVGTYFLDAESYTWSEVRKWTMPFYGKVEYAPDLKLWFGVSGAYLRQVLAAADLSMDSPPQLVGDCKELDDLPEGWKQCKDSQLVNLGSGKLCIARFFDARTPKAEASGDGLVEQSFTVLTGVEVVPHLGNASNGVVEFRMIARKSEYHASKASGTIQALLKLTGESTMGLSRRFLNLIVYRRIPGSRSLSCIDLTQHDFFNTTPTRLPQPRGASPWPPNADAGVKKMMMKRAPEAAWKMEMVQLPSPIFNFQAAASTKIYDRWSIDCFPLAGRELLCADQTGRTFLFDLDTRLVNTMTVSRQSLPPPPFVRDPKYWHGRPKISSYTVLGGGSEICISVKGVGTYCFDTVKHTWRDVGDWTLPFQGKVEYVPELKLWFGLSAETEHCAVADLSSMDSQPQLLQTWMELAPLKEWRKTRHPQFVSLGSGKFCIARYMHTQTLGGFYGDYIYEHHFTALTGVEVEPCAHEGNSRGRGIGYANANGADQAELQMSKFETKLYVSKDGNLIKSVF >Et_3B_028247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12193560:12194563:-1 gene:Et_3B_028247 transcript:Et_3B_028247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKLYGWVVSPWMARARVCLEEAGAEYEIVPMSRSGGDHGCPEHLARNPFGEIPVLEDGDLTLYQSRAIARHVLRKYKPELLLSGDLAGSAAVDMWIDVEAHQLEPVVLPIVVNCIISQYVGRDRDQAAVDENLAKLKTVLEAYEARLSASKYLAGDDLTAADLCHFGFMRYFMATEYAGVVDAFPRFKAWWEALVARPAVQKVMADMPPDFGFGSGNIP >Et_3A_024821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24553144:24555210:-1 gene:Et_3A_024821 transcript:Et_3A_024821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWKTIYHVLEETVPLYVAMILAYLSIKWWKLFTPEQCSGINKFVAKFSIPLLSFQVLSTNNPYDMNLKLILSDVIQKSLAFLGFAVISRAFCMEKFDWLITGFSLSTLPNTLIIGIPLLKGMYGDEAVKLISQIVALQSLIWYTLLLFLFEFRAARGMATTTSSEATESGTQGPTQQIYEENQAKGVSAGCHRAFCFLLVVGRKLVTNPNMYASLIGLIWALISFRWRIQLPLIVSNSIKILSDGGLGMAMFSLGLFTALQTKIIACGTKKMLLSLGIRFFFGPALMLISSYATGMRGTLLKVAIVQAALPQGIVPFVFAKEYNVHADILSTAIIIGMLAAVPVALGYYFVIDHPRL >Et_1B_011461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22579215:22586494:-1 gene:Et_1B_011461 transcript:Et_1B_011461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAESGSAHSPLLHHPQDSSVNQHYKKPFNWKAPAIVLAFEFLESIAYSGIALNLVVYLGTVLHGSTASNAANVDAWNGATFLTPGLLIITASAVIPSLRPASCEGTSCPPATGFQYFVFFASLYLVSVGTGGVKSALLPFGADQYNDSNLEESKQKQSFFSLFFIVVNLGVFISGTVVVWIQQNVAWSLGFGISSVCLVIATIAFFIGTPTYRVQLPSGSPLKSIIMVFVASFKKRKVEVPADSSLLFEGDNTDLSNAVPNKLAHTDGFRCLDKAAVILEDQEIKDSHRPWLLCTVTQVEEVKILIRMLPIWFTCVFYSAAMCQTATTFVQQGNAMDTKIGSFSVPAASLNSAEVIFIMIWVAFQDSIVIPIARKYTGNPGGLTLLQRMGVGRFLAIPALGAAALVEMWRLRSVKAGHNLSIGWQLPQFVILACSDVFCGIAQLEFFYSEAPTSMRSLCSAFQFLAMSLAYYVNTLVISIVAAVTKAWGGQGWLPADLNDGHLDYYFWLWTGISVVNYIVYTAFAKNYTVKKVVPHSMSRPPPSGSNGHQQQQQPPTVSSMESGGVEAGTAARRKFNWKAPAIVLVFELLESIAFSGLALNLVVYLGTVLHGSTAFNAAHVDTWNGTTFIVPVIGAFLADSYFGKYRTILASIAFYLVGLVLLTVSAAVPSLRPATPCPMGATCPPASKTQFSVFFAALYLTSIGTGGVKSALLPFGAEQYDDDDGGGTARPERKQSFFSWFFAAINLGIFIAGTLISWLQQNVAWALGFGVGTACLALAAAAFVAGTPWYRVQVPAGSPLRDILRVLVAAFRKRRVRLPAGEVHAVVLYEPEPSDKDGGAGQQQRLARTKGLRCLDKAAAVVEPATDGKARPAGPWDLCTVSEVEGVKILARMLPIWLTCVLYAASLGQMTTTFIQQGMAMDTRLGGGGGFKVPVASLVSVEVVFMLLWVLLHDAAVIPLARRATGRPGGLTQLQRMGVGRFLVVLALGTAALVERRRLRGVARGEAPMSIAWQVPQFVLVAGSDVFCGIAQLEFFYGEAPAAMRSICSAFSFLALSLGFYVNSLVVTLVAAVTGKPGWLAPNLNTGHLDYYFWLWTIISVVNLLLFMVLASRYTPKQVAAVEPHQSTSGSGSSSSADD >Et_3A_026374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7404150:7406918:1 gene:Et_3A_026374 transcript:Et_3A_026374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKSTGPDSIAETIKRWKEHNQKLQEANGARKAPAKGSKKGCMAGKGGPENVNCAYRGVRQRTWGKWVAEIREPNRGKRLWLGSFPTAVEAAHAYDEAARAMYGPTARVNFSESSADANSGCTSALSLLASNVPPAAQRSDDKDEVESVETEVHDVKIEVNDDLRSIHMERKTLEVFHSEETVLRKEGDISYDYFHVEDVLEMIIIEMNADKKIEVHEEYQDGDDGFNLPPDTVSILITCKYDTPLLCERAHDTRREMLFLRAISTFCHEILRDQRVHITPVMNDKGSSLPMAMAMTGQYSCTFQSPLADRAYENRGDDTPNDWFEDRSSTIQLVTARFIVCIHWSSRTEITRSLVDANQEGQGQESYVEHVLEVALRLCPRKPEG >Et_1B_013587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9705949:9707980:-1 gene:Et_1B_013587 transcript:Et_1B_013587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALRRLPVLGLCLVAFCLCSGNNAAAAGKKMVGVYELRKGDFSIKVTNWGATLMSVMLPDSKGNLADVILGYDTVAEYVVRSHANLLFRFPFAQKTDSGFPPGSCTSCVVITQNGTAYFGGLIGRVANRVAGARFTLDGKAYRLYPNDGKNSLHGGHRGFSKVIWTVKQQVGGGDSPYITLYYHSFDGEQGFPGDLDVHVTYQLSSRYVLSVRMNATTRGRKATPVNLAHHAYWNLGGHGSGSVLGETVQLFASRYTPVDAALIPTGAVEPVAGTPYDLRAPARLGARMAALLRRGGGVTGYDTNYVVDDAASSSFLRRVARVRDGASGRAMELWADQPGVQFYTANGLAGVRGKGGKVYGRYGALCLETQGFPDAVNHPNFPSQIVRPGQVYKHDMVFKFAF >Et_5B_043649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13464795:13468131:-1 gene:Et_5B_043649 transcript:Et_5B_043649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVVMVPNGCDGGGEGQAEERQQQQGQVLALVLAALRKSVVLPCQMADADDPAGAAWGMEIGWPTDVRHVAHVTFDRLHGFLGLPVEFELEIPGQVPSASASVFGVSPESMQCGYDDKGNSVPKILLLMQERLYTQDGLKAEGIFRITPENGQEEHVREQLNSGIVPDDIDVHCLASLIKAWFRELPQGVLDSISPEQVLHCNTEEQCIELVKLLPPTQAALLNWVVELMTDVVEEEESNKMNARNVAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLILKTLREREDDDAGAYSSFSSLSSLSDEVDEEDGHDSQDDGSDSDTELYNDSDNGSPKDIVKASALRVDNEQLIGVSRRHTSIDSHLPCISYDDDDEDLPLNDIEECFLRRLEWKGVEKGANEDDSTKFPLPSKEEHLSTAESFEEPCMEMTNTIVGTINELRKMEIRIEMTNAESRVGSFQSISQRGLKNFATAICTLCSILLELPDQSVVPKIGATTAAR >Et_3B_029081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2232451:2234231:1 gene:Et_3B_029081 transcript:Et_3B_029081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAAAAGGETKAKCTATLYEKRTVATYEPKRNSEVRTFESSEISVEEKGYFAITNTFSLLLLICEACLVQSLYTKIEMLARSLGKQDCPKFDLILLDMGSDGHPHCFPSIPQAEGRRCHLHHCLCSLHLRGSPSPFREIKTALVCRMLSANALLGSFALLLSELLIDLTLHYRLIDDRDHQKAAPFVVLGELST >Et_4B_038160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26911014:26914171:1 gene:Et_4B_038160 transcript:Et_4B_038160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGSPILSCIAVSTRKGMFIIDVASTAVLVVSGLGKMVKLTMIARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYFFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSEMSSRLTSDTRIYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWVKNKIW >Et_9A_063122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10956212:10959551:1 gene:Et_9A_063122 transcript:Et_9A_063122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSQSPLVRSPMQRSRSLMQPSPLGENRSPSPMQGSGSSQRLPMWSMAPVPAQHIPGLTFQPHPEPDKDPSGLSPNKEGCGLRLWYDPPFSQYVTNLVGDLRDRIYKLQKEIDILKKKKGPEIKGLEDGMQGLHCIRILTLRVRTLCIGRGSPASSCLLTTSTVALQVLAAIKPLDEEPMAENNPRGLPLSVDGEGLKRGTRVGEGALREVAAYILDHPIDGCKSDGITGFSGVPPTALARCFHMGEEFKIGSLQMFVSNFGSCEDMGPQAFPVKEVQKIALLDIRLANADRHPGNMLVCQDGDHLKLVPIDHGYCLPEKFEDCTFEWLYWPQAREPFSAEAAAYIR >Et_6B_048844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14433139:14434537:1 gene:Et_6B_048844 transcript:Et_6B_048844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLVCLHATITALLLLLPHGVSADGECGKVQCGMGACSESSDYVFGFACQCNPGWSRYHLGNMQFPYLPCVIPNCTINNSCDGASSPPPAPSPPAPSPTNFSIFDPCLMQYCGDGGACEKVSDFAHRCRCNDGYANLLNDTSYPCYRQCSLGSDCKGLGIEVINGSTPSTSPPAPVSFTVKSGARASAASADWLVKILALVSFLWVQAI >Et_3A_023509.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:31613185:31613466:1 gene:Et_3A_023509 transcript:Et_3A_023509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVVTRYLRRRAIVNKPATQVFTLKCRQSLSYDTPTKLNLCSASRWNVPARLHAIVVRTKNQYHCVTQLGTNASPIAPAAILSAHLAQPIET >Et_3A_023520.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32277901:32278218:1 gene:Et_3A_023520 transcript:Et_3A_023520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKASRAVAAAVLVSLLIVAAATAAGALTLCGIDRSAVDACQSYCQVGSTDYAPKPLCCKKLKNAQFDCLCSYKSMLPSDIDPDRVMQIPAKCGIPAPPNLCN >Et_10B_004200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18604020:18606518:1 gene:Et_10B_004200 transcript:Et_10B_004200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYPPPPQAGYPYGGGQPMPMATFQPHANGGLTYPPSAGYPAAYYPAPAAAGGYNGSLFAALVPSAFAPGTDPAVVACFRAADRDGSGMIDDNELQAALSGAGHSLNRQSFSLRTVRLLMYLFTNTNVRVIGPKEFTSVFQSLQNWRAIFERFDRDRSGKIDTFELRDALISLGYSVSPTVLDLLVSKFDKTGGKSRAIEYDNFIECCLIVKGLTEKFKEKDTAYSGSATFNYETFMLTVLPFLIA >Et_3B_030211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31337414:31340315:-1 gene:Et_3B_030211 transcript:Et_3B_030211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGLLKAAVLLCLLALCSGRELTIKHHPSTTVYNSTLAKILVEYASAIYTADLTKLFTWTCARCRDMIKGFKMMAIIVDVENCLEAYVGFASDINAVVVVFRGTQENSIQNWIEDLLWKQLDLDYPGMTEAMVHRGFYSAYHNTTLRDGVVSGIQKTRKLYGDVPIMITGHSMGGAMASFCALDLVVNYGLDGVKLMTFGQPRIGNAAFASYFKKYLPHAIRVTHAHDIVPHLPPYFSFFPQKTYHHFPREVWIHNIGLGSLVYSVEQICDDSGEDPTCSRSVTGYSVQDHINYLGVSMHAEDWSSCRIVMDYSKLQYQMDLKGNLVLSKQPDLSNDRGFSAQ >Et_2A_018350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24134812:24137022:-1 gene:Et_2A_018350 transcript:Et_2A_018350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLMGQHGSLLHLMKLNELRMEWEKVESLEGRALFTGTLRTMMVKTDVKWMQNKVILPRLYSWPDIVQCDLLNRAGELAFVPKSAGVGGACGENIWTGLASQLVGLPIEITKLVTKIGRQAQDLSKCPSSRFTSGAPPQKIFKYGWARAGRSRNRTPPAHRIWPLHCPAFLAAAAPAAARAVAEQSWSAGKRCLRHTLTPQPLHSFVLEKTSVSLHRRAGHCGLFFFAGSQVRERACASRTAFSSFPGARSMATPHASQMTTVRPWSAALAQPWSRYVRCSVQNVLPQPSQWKGRKSSWLQSGRSQCAPRSGNNNVPRRAIAASLSLSPLLSLARTRSSPLFCYAARALISLLLEAFGCVLSDADAFDRSPGGFIVFLVGLSFDSVLVLR >Et_3A_027206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4823497:4824356:-1 gene:Et_3A_027206 transcript:Et_3A_027206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFCLWFHGDGEEFISEVSTIGWIHHVNVVRFVGFCSEETRRALVYEYMPNGSLDRYIFSSEKCFSWDKLKDIALGIARGINYLHQGCDMQILHFDIKPHNILLDHNFVPKVADFGLAKLFPRDNSFVPLSAMRGTVGHIAPEMVSRSFGAISSKSDNYYPSWAYDQLIGHQLGKISAIVDMHELEKKLCVVGLWCIQLKSHDRPTMGEVIEMLESDVDGLAIPSMPFFCGDEQQVPAVGSCYLSSELDDIEAEE >Et_2B_020682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22761672:22763128:1 gene:Et_2B_020682 transcript:Et_2B_020682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRRTAPLDAELRFAGRPFARVALVGVADSDRIRLLKTAVYRVATADGNTPPVALGRHAAAELARERAASALELELVVAGELQYHGLELELVVVGEFRYQAHHSPRRFRASCPLKLSLSTAQAPAAFAKVKCMCTQH >Et_1B_013842.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:1988811:1989818:-1 gene:Et_1B_013842 transcript:Et_1B_013842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLEQIQQTLSDVDVRVPDAFRVALGLGNRVSPTPAPGDDDDVADFVASLVQPPATEAGDDRDPAKEPDAAAPALKIDLGSCYVPLHDHDAHFGHADAGVFGVADGVGQYMDDGVDAGAFSRGLMSSASAEVAGTGPVGTPVYPYSLLEKAYGKTAASGAPGASTAVILSLVGKSLEWAYIGDSTFAVMRDGKVVFRSTPQQHLTRASRAKLRFASTPARRKQHLFSFSDPPFQLSAEAERSDKVADAHVGQVAVRAGDVVVAGTDGLFDNLLDEQLERAVQMGTKLGFSPKNMADIVAGVAYERSMQPSSKKLRKGKPDDITVVVALVVQSDS >Et_3B_029783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27921973:27926789:-1 gene:Et_3B_029783 transcript:Et_3B_029783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLGADLLRGPHLLRAAVLAFAVAVAFLVGYHWPDSSPRLAFSSALSRGASSRSPSVALSPNANVSFDPSLIPTHAAKEATPSRVFPTANARPRLSPPPPTPPMPAPPPPLLPPPPPARLGIVGEDGAMRDDFDIGNDAGANDTDLATDEAVPQEPSTDGAGTGARVRIGKFPVCPESMREYIPCLDNEEEIKRLRSTERGERFERHCPAKDKALSCLVPAPKGYKAPIPWPRSRDEVWFNNVPHTRLVDDKGGQNWITKVKDKFRFPGGGTQFIHGANQYLDQISQMVPDVAFGSRTRVVLDVGCGVASFGAYLLSRDVLTLSIAPKDVHENQIQFALERGVPAMVAAFATHRLSYPSQAFDIIHCSRCRINWTRDDGILLLEVNRLLRAGGYFAWAAQPVYKHEEAQQEAWKEMEDLTARLCWELVKKEGYIAMWRKPLNNTCYMKRDPSVKPPLCDTDDNPDDVWYVNLKACISRLPENGEGATPVQWPARLMEPPKRLQGVQMDAYSSKNELFKAETKFWEDIIDGYIRVFKWRKFKLRNVMDMRARFGGFAAALVKRKLDCWVMNVVPVGEPNTLPVIYDRGLLGVAHDWCEPFDTYPRTYDMLHAFGLFSKEQKRCNISSILLEMDRILRPGGRAYIRDRKEVIQNIKEITNAMGWRGTIRDTAEGPYASRKVLMCDKPMVR >Et_3B_028208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11879534:11880536:1 gene:Et_3B_028208 transcript:Et_3B_028208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVSPAISFLASPSRVLSTASTVSYTAPRLQCKILASLPSPLNVTATCVPFSEKRPFLVHAAAAGSAAEAEQPEEAKPAAKIEQMPLESKLKMIEEQRARMKLAKKLRQRRKRLVRKRHLRKKGRWPPSKMKKLKN >Et_5B_043248.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:2026923:2026970:-1 gene:Et_5B_043248 transcript:Et_5B_043248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILVFVTARRGVK >Et_3A_027022.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29930672:29931019:1 gene:Et_3A_027022 transcript:Et_3A_027022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSTVTKPVKTEAGAEVDGGSALLNITVTGQTAPEVFFRIRRDVRMRRLMDLYCGKNSFDPNTVVFLGPEGVFIKPHQTAEEIGLQDGDEISVNIHQDGGAAPAEHAGQNSA >Et_1B_012973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4473538:4476610:-1 gene:Et_1B_012973 transcript:Et_1B_012973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGDDGEAEWQTHPLLGKLPAEKSYSYSSSDSEEHTVKRTGTIWTAMAHIITAVIGSGVLSLAWSVAQLGWVGGPVAMVFFAGVTAVQSSLIADCYISHDPERGVVRNRSYVDAVRLYLGKKSQLFCGFFLNFSLFGTGVVYTLTSATSMRAIQKANCYHREGHDAPCSVGGDGYYMLLFGLAQVVLSQIPDFHNMAGLSVFAAAMSFFYAFVGVGLGVAKVITNGVIKGGIGGIPMVSTTQKVWRVSQALGDIAFAYPFSLVLLEIEDTLRSPPPETETMKKATRSSILITTFFYLCCGCFGYAAFGDATPGNLLTGFGFYEPYWLIDLANLCIVYTQPVFAFADRLSGGAAGVEAGARRVNVFRLCFRTAYVAATTALAVWFPYFNQVIGLLGAFTFWPLGIHFPVEMYLVKNNVTPWSKHWLAIRAFSVVCLFICAFASVGSAVGVFGSETS >Et_4A_034363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3271535:3275744:-1 gene:Et_4A_034363 transcript:Et_4A_034363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVDPGDRQMGPPGRWLPGQHSLSLSHLQFSAPLSHSPLPPLYLAAGDALELEPVPRRGEARGGMEPMSVDSGGCSGLDAQIEQLMQCRPLAEQEVKSLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRHPHRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIENLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDHCNSHNFIQFEPAPRRGEPDVTRRTPDYFL >Et_4A_032809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14159217:14162652:1 gene:Et_4A_032809 transcript:Et_4A_032809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASSTVPPTLSDKQRQMTWLLQPFGQAHALTMQGVVKIGPWGSEGESEWEWDIPATPQRMENITVCSSLAVVDAISFRYTDDNGVLQYVGPWGGNGGDPDVCRGAFGYIGTQPGDVVTTLTFITNRTKHGPFGNPEPEGTPFHVPVHNGGSIVPLFGRAGDYLEAVGVYVRD >Et_9A_062410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22619626:22628183:-1 gene:Et_9A_062410 transcript:Et_9A_062410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQHQQHRARHGDERRPPPATDGGGGAAEEYDPWTAWLYKPRTISVLLIGACLLIWASGALDPEGAASHSSVTSINRGVLAIIAVFLAYCTLQAPSTILIRPHPAVWRLVHGLAVVYLVALTFLLFQNRDDARQFVKHLHPDLGVELPERSYGADCRLYVPENPKNKFINIYETLFDEFVIAHILGWWGKAVMIRNQLLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVRRSLSQFTPAQWDKDQWYPFMGPLRFVQVLFLCVVFMVVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSLAICIVELLICMKVTPFAGKRPEP >Et_10B_002559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15936897:15937460:1 gene:Et_10B_002559 transcript:Et_10B_002559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSVHGVSFKFQMLKNSEKGKLNKVSRWPPPNQDMYKLNGAFSETMKKSGCGFVARDSERTVLDNGAGNIPRAASALHAEALAAFHGSQRPAELE >Et_4A_034103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:311587:315649:-1 gene:Et_4A_034103 transcript:Et_4A_034103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRPDWQICQIDKEEWTRRRRNRRHTPTNQKTTPFLAVASPPAPENPPSAASCFFPFAPARGTSCRQSLPDFASGDLGVRVLGVWVGLSIGDSQSDQGLHPLFNFCSGINPISLLGSVITCPFARVVQDTSLTGGVLKTYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIALPERVNPSSLSLILDYCRFHQIAGRSNKERKSFDEKFVRIDTERLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNINDDPRIRLLNRLYAKKRKELQERQKLKDVKAQEEQTDERSLDEILCFINGDGASGGGKGAKGKKKNKRRKDQAKNLPKANPEPVNMEEAAGMIPCKVDNGSNSRPPCKGPDVQDDVEYPFEDADLDDGLDPAMKEELDREVEDFARRLNSVWPERMHLGQDRRIESHIGDNGSIQRLSGMAESQVTGRITQQKQGNSGHILVGRELKTELATWPLSGSFAETP >Et_3A_026282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6479611:6483523:1 gene:Et_3A_026282 transcript:Et_3A_026282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVVDTATPSSQPSSGQDANAGQPSPPSCSLLSAGRCFAGTQNVSSLQKDEAWKVNVRIHGCDLEQGYLCGTMEALNVPLADTPVVTFWEGEIVDAKNYTFFTGKWEASPEDDIRHWSKFPSFTPLLGQIETDGGKSLDLSNYPHIFMRWKEQYFVNVGVDCGLTIAGFYYVCFSCSDGSISGFYYDPNSSPFQKLELKCTNEKHSGFTFSSYELQNQEEISFALCTKGLSTFSIHVSNRSRTASVMTSESTLSSCPVGLGAGEFCLGDASTNTSGVLSKSPPAMHI >Et_10B_003197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17486957:17490364:-1 gene:Et_10B_003197 transcript:Et_10B_003197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMSFRSIVRDVRDGFGSLSRRGFEVKLLGHRRGKSHGAVHELHDPAPVIQSSCWANLPPELLRDVIERLEASEATWPSRKHVVACAAVCRTWREMCNETVKNPEFSGKITFPVSLKQPGPRDGTIQCFIKRDKSTQTYFLYLCLSSAVLVENGKFLLSAKRTSRPTCTEYTIFMNANNISRSRKMHIGKLRSNILGTKFSIYDTLPPCNVANVSQPGKTSRRFYSRKGSPKASASNIAQVSYELNVLGTRGPRRMNCVMHSIPASSLEAGGTVPCQPDSVLARSLDESFGSISFAKSSVADQSIRFSSTRYSDISMSSQRFGDMMLGDNDERKEMPLILRNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAQPAAGAPTPSQAAPPPPPPPEHEKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Et_2A_018123.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1201933:1202394:-1 gene:Et_2A_018123 transcript:Et_2A_018123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDGGGGGGGGMRDDGHRCDAADDGYSDRTSDASSSSTSFSSSSSGSSVDDEEVPVLLLRPFHVDLDYEFDAPRWFDLAREESPTEAAAAQMWFRVIEFDEKPECFNLDQEEESPQADDNACLALPCCHLASWPAWVRMLVVAAFCFHGKRT >Et_5A_041655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25533123:25548544:-1 gene:Et_5A_041655 transcript:Et_5A_041655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRFVNLVTASYRTRMYALHRLDVSMHLFYPSTAEAEAAAYTDADNNRNGGKPPRIKKLRRLPEPSMRLQPYLQYGGMWSPGDMFSLMSPRVGDGEADRILHTSEDGHAILCDVADSCFTKTMPSLNLPKGWDPISITVPGGGHVGVGEERLYVMSSDGPLLNLEVLHLGHGSPEYKLQPPPGPPRHFGLHRPQHFGRGHREFKWQPLPPIAGNKYLRIMSSTLLDDGRIICVSATTPYSKEDDAAGTYCFDTERYEWWRAGDWLLPFDGRAVHVPELDTWLGFSPRKPYYLCAADLNAVVMAPHQAPKVTHVWDDLNRRFPTVRHTTKEWQPMGYHLVNLGSGRFCIAKIFQVDKRDEDSDEEDTKIVDEFAVLTGVEVVRGGHGGLRMVKHKSKRYMFTSDCIKWMNRRFVNLVTANYKSRMYSLHRLDVSKHLFYPSTADAEAANEKDNNNGGKPPRMEQLRRLPAPSVRLQSYLGDNIWSSLDTFSLLSPDGARILHTNEEGHAVICDVDSSSTKTLPSLAEPKGCRPISFAGAGEESLYVMRSVPTTSSVNFEVLQLGGHGSPERRPHYLRGPLPPLPVAENESPRIQSSALLDDGRVICVSVATYSMSEQEDAACTYCFDTESHEWWRAGDWPLPFDGRAVHVPELDTWLGFSPVDDDGEPNRLCAVGLSAVAAMAAPRHPPTLTHVWEDFNPPPVEHKSIVLNRRFPGVAHHTTKEWHPMGHNLVNLGSGRFCIAKMFDVEEKVSLSYSFDDNSRTVGEFAVLTGVEVDRGGKGGGLRMVKHKSKRYMFTGDCIKWMNRRFVNLVVANYKSRMYSLHRLDVSKHLFYPMHLQPYLANGSWPLGDMFSLLRPFSGSNSGEAGRILHTNEDGHALLCDVDSCSTKTMPSLNGPKGWHPISFTVPGAGAGEESIYVMSSDHQPLNLEVLHLGPGSPEQKHRPYRNRDGPHEFKWQPLPPPLSAGIEYGRVQASTLLDNGRTICVSAMGSWTQRDTFRTYCFDTECHKWGHAGDWLLPLEGRAVHVPELDTWLGFSIDIPHHLCAMDLSAVAMDLSAVTMAPRQAPTLTHVWEDLNPPPMEETSVVLNRRFPGIVHHTFKEWQPMGRSLVNLGSGRFCIAKMFQVEQTVSLSCSFEESKSTVGEFAVLIGVEVFRDSEGGGLRMVKHKSKREAKMNRRFVNLVVANNKSRMYSLHRLDVSKHLFYPSTAEAEAAAKENNNRGKPPLIERLRRLPAPSIHLQPYFTDERWSQGDMFSLLRPGGGGDGEAGRILHTNEDGHAIICNVGSCSAKTLPSLNETKGWGAISFTVPGASAGEESIYVMHSGHPQNLVVLHLGPGSPDTELPQEFKWQPLPPPSFPGYGNRWVRSTTLLDDGRIICVSIMGFGMKMHTLVGRTYRFDTEGREWCDAGDWLLPFIGRAVHVPELDTWLGVSPDKPHHLCAMDLSSVAMASRQAPTRAHVWEDLNPPPMEETSIVLNPRFPGIVHTTKEWQPMEHNLVNLGSGRFCIAKFFKVEETVKLSYSFEQNSKTVDDFAVLTGVEVDRGGERGGLRMVKHKSKRYMFTSDSIKALIIVSVNTQHYDDFAQACTTIPDALFRKQCKRGIIRTVWILDYIPAQRKQVRWITFDCTGKVVWGAVIVACRTPPPPLQFRLPSPPFAAFTGSPSITSSALLDGGRIICVLASLQEGAAATYCFDMETHKWRRAGEWPLPFAGRAVHVPELDTWVGFTPKYPHRLCAEDFNLPPEKRITRYKTRNWELIERHLPGSGRFCVARTCLVEETVSGCSLYGCDPLPEPMGEFAVLTGIEVVRSEEGCGLRMVKHKSKRYMFTEDCSIKW >Et_9B_065865.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15813935:15814912:-1 gene:Et_9B_065865 transcript:Et_9B_065865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSHCENIMQLPYAAVRRAAVLVDHHRRYRSPNAAEVAPSCPRCDSPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRAKSAAARSHLGSATVGADAGVPFSHRFPGAAVRPDLLLEGMVGSPAGLGGHLPPVVAAEKPADGSAIDLAFLYAKFLNHQPSAAVVTESADTSSGSSDMRSPNGSALPPDQPFVTSQDGFGNLSEPASAEPCGAADAPHCPGSRADALGELGFFSVDQSCYDSLGLPSTDDGGGDLILPSTWHHRPAKYEPFEPLPEDAMSLHDDGCIAGADDVWSHALACQGLEAALCRP >Et_7A_051453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18826590:18835139:1 gene:Et_7A_051453 transcript:Et_7A_051453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPTSGCPGAARLRARWTARALAGAFLDLALAWACLCAAAAARVLAVLGLPLPCTCARPHLPCILAFLARYPARALDHLHATIRSRFPFAAAPIPSSSASPFLEEEEANGGEDQLHHQRQPEEEEEKEAADGDLRRELEDNARAVELQRELEKERSASASAAEEAMAMILRLQKEKSALEIEARQQRRTADERCAFYEDEVEELRDIVLLREREARALRKEVEAYRRLLGLAPEDEEEEDEMMVTPRSVMFEGEPSSSRSVDATRVQFQLGNDSGFSFETPLLRDEVVTPDHVKGGIGDCNALQQTPSEVPVVESKQELDSCEEDGTETVEILPLSARSLDFDQAGDFHVAAGMEEQTADEFQRVACGGLDKISHDHSGSENDANIYDVHVVDDICFSKEVKGLIGRSFSDATMQIERLQTRAAADDLLLKSLNAVRGAQDKIKLAVNERRESLQLQLLEDIANQLQGIKDAAEAERHLYKDARAELQREMEKERSASASAAEEAMAMILRPQEGEVGARNPAALRLLRGRGRGAPAGTSCSSASGGIVNSNALQQTPNEAPVVKSKQDLDSCE >Et_4B_036594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7610429:7610692:-1 gene:Et_4B_036594 transcript:Et_4B_036594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSDKRFCIINMVTSSFLEEDVYDLRQDHTDGDKLANPMPREEAGFAAAAYCWVEGDEEETWKNLDGSA >Et_4A_033754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26498414:26512768:-1 gene:Et_4A_033754 transcript:Et_4A_033754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSASTTQQTQGRKMRVLLVEDEAIHRAVARALLKAVAGVELDEAENGAEAVRRVRERGAGAYDLILTDRQMPVMDGHEATRQIRALGVTTPIVGVSSDCLAADVDAFIQAGADDFAPKPLSKEKLDRILAKFGLAKMSRLCLPSSGKLAPAMPLDDDNLLSDILLCLPPLPSSLHRASLVCKRWRSLVSEPHFLRRFRSHHQKAPLLVILTLDEDSDMMFTLEDDGVTFMLNLRTMQFKKLTKKMSIRRYHPLISFYNAGEVISPQYPPPLMSEESEMSRVRFRSSGELAPAPALEDDNLLSDILLRLPPLPSSLRRASLVCKRWRHLVSEPHFLRRFQSPPPCFIYEDWRSRSTRFISTLDSPDRIPAARFSLQLGDFGTVINCRHGLVLVLNTVKQHVHVWDPVTRDHRYAVLSPAFNDITMVPCGGIILCAAKDQCHVHGACHSDPFHVVLAGENGNQFCVSVYSSDTETWGNVLSLTWLLPNNWAFSPERSGILIGNSVKILKFDLDDQNLASIDVPADVFVFDAYHHNKCQVAITPADGGGLNFIILEGFTVHVWKRISNGSGLSRWMLGNTFELSNLLSLTPSGDKMPVLILASDEDGNVMFKLADGVTVMVHLGTLQFKKLIDNLSHNRFHPFASFCTA >Et_4A_033258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20601005:20607855:-1 gene:Et_4A_033258 transcript:Et_4A_033258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYFAPILPAPFSVAPPPPSYPASYAASAAGDGDDLYGHLKSQQRKLEFIEIQEEYVKDELRTLNREELRAKVEVTRARSTPLAIGQFLEMVDSRSCIVSSTSGGTYYVPILSAIDRELLKPSASVALHRHSNALVDVLPPEADSSVSLLGSTEKPNVTYSDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVNGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILIELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAADITAICQEAGMHAVRKNRYVILAKDFEKGGLRSSADSLHGRRLSERTNAEKGDALGAELVKEQERRRRSPLPNVAIKQVGTPSPRLSSATTASSITTTRTASTMTSPLSCHSRR >Et_3B_031473.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:3132953:3133447:-1 gene:Et_3B_031473 transcript:Et_3B_031473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNADELHVRVVSRRLVKASDTSIEPHVLAVSNLDLLPLPLEGYIICFYPRPPSTDFSAVVTTFESGLPALLNHFFLLAGRTATNPRSGLPEVHCKNQGAELVVGEAAGVGLASLDYDNMSESLQRIHLPYGRPDVAFSVQLYRSRAAASPWRGAPTMCSWM >Et_5A_042673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24993782:24995524:1 gene:Et_5A_042673 transcript:Et_5A_042673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLADDAPGGLVGRRSSHLDATLRSSTRRSTAASETLAEPTGKASFPWRTSRETTRQSSSVKGSAATKRRSSFQAGPPLRATSVARVLSDWNPSVARTATPASRGGGAVSVSCASMTVSRRSQSFGETYTLAREEEEEDDDAAAAGESAFHASAKASSACVPAHVATMRSAPARRRPPSSLYHCVASGPSGGSRACTSATSKQSPPRKLRSSATDTSASGGRSHTDTSSVGPGDEDSSAAASRTNANVWSHSKKAPRRASPVAALRPPADTRTCGCAPAGSPRARTTRTNRYGILSTKSCLLRSIGSSGGGGGAGRRTGIDTCRGIGAGGGLAAGSSSGAFSSEAATASVVITGGPSSGAWFAAAESSSGGFSMADPSPPAAASAVSVFGAGAASAGSSVFGGSSLSRSRLRSCFSRRGIVTHSGGRLVDVVDLEPDVAADPAEEVLLLEREVDERLLALAHELARVEADAPEEVAPAAGPQADVVEHGHLERLAGEDGVDAGAGRREGELQRLVPRRVQVTRHRARLATLPVEVGARVGTGRAGPVLGEKVPRLHHLHHQLPRRHRSIASPPI >Et_5A_042582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2160500:2166334:1 gene:Et_5A_042582 transcript:Et_5A_042582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRSTEPEEEEPLMPIPKAEDDIEKEVQLVYDLEDEEMQEGETEVRAREDDAPSREEEAPPRNRIRQEMKNLQQASTVHQRRVCAREEKTEPKKSTKSNVEGLFERSKCMDYGGLLEHDLREGITMETGDRVS >Et_7A_053020.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:577100:578635:1 gene:Et_7A_053020 transcript:Et_7A_053020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQLPFRLQHLLKDCSRKQLDQIHGLLLTSSSLHHFPGLPALLVRRATELKDMAYADSLFSWFRRSPDVALYNAMVRGCAYHGPHERALELFDEMPSRGLAPDSYTYPYVVDACTRLRLWRFGEAVHCRVLKESIDAVPAVGSSLLAFYVAGGWLSDARKVFDCFEVKSVGLSNRMMSEYIKVGDTDSVRELFEAMVDKDVVSWNSMLVAYVKAADIVEAKELFARIPEKNIVSLTTMLRALSDAGDFIGMRSLFNRMPERNLVSWNCILSSYTRHGKFWHALRMFPDMLIEGLTPDSYTVVSVLSACGNLGKLKMGRWIHVNLVTPALQVHAEVGTALVEMYAMCGDIARAMVVFFKMDGKDVFSWNVMIKSLAMHRRVDDAFRLFDLMTKQGFRPNHFTFMGVLLACQYGSLVDEGRRIFDVMQRDYDIRPSMQHYRCLIDLLCNNGLIDEAVTMLQEMPCHPDSEIWRLLLDSCRIQAGLGSAEDSTVSGRVWIDAITRHATSVAAM >Et_1A_004959.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:19165670:19166017:1 gene:Et_1A_004959 transcript:Et_1A_004959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TEQTPENIAHVYRVGERARRHWFDIEAEERREEERRKMRQKEEERRREYEAERKQREETERRRKQEEDRLAYETREAERERMREEYSPHQVMRQFGRHQAFPLTILRVVTPAAHS >Et_3B_028370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14305193:14308944:1 gene:Et_3B_028370 transcript:Et_3B_028370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDSAAATVDGSALAGRALAAAGARHMFGVVGIPVTSLASRAAAAGVRFLAFRNEQSAGYAAAAYGFLTGTPGLLLTVSGPGCVHGLAGLSHATANAWPLLMVSGSCAQADAGRGDFQELDQIAATKPFAKLTVKATTIADIPRLVFQALAAAVSGRPGGTYLDIPSDVLHQTLPESEAAALLAAAAADSTASASSPPKHKTLDGGIEKAADLLRRAERPLVVIGKGAAYARAEEALRKLVDTTGIPFLPTPMGKGVVPDAHPLSATAARSLAIGQCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDVCEDEIELRKPHVGIVGDAKRVVELINKEIKDNPFCLARSHPWVESITKKAKDNVLRMEAQLAKDVVPFNFLTPLRIIRDAILAEGSPAPIVVSEGANTMDVGRAVLVQNEPRTRLDAGTWGTMGVGLGYCIAAAVAEPERLVVAVEGDSGYGFSAMEVETLVRYQLPVVVIVFNNNGVYGGDRRSPDEITGPYKDDPAPTSFVPAAGYHKMMEAFGGKGYLVETPDGLKSALSESFCARKPAVINVIIDPYAGSESGRMQHKN >Et_8A_056522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11310957:11314782:-1 gene:Et_8A_056522 transcript:Et_8A_056522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQCTAQAVRALAALPAPTASPRRRVSRVYLSSPYQTERASAVLVRAADSSSPPANAPAAQPSGAAAGKTVVPDNEFSLAKYAELKPVPCITYADALALREKCATPILKQVRSDVTRFRYGDEQHLEEALQRIFQYGLGSGIPRRSAPILQKIREEVTEDGKYSLVLEFEAKALELSDFEKRQAKFTSFFGPGIKAEIGKGGDNIYEVRLISETT >Et_2B_021098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26437558:26438172:-1 gene:Et_2B_021098 transcript:Et_2B_021098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPEKLMNQIFDLKFTSKSLQRQARKCEKEEKEQKLKVKKAIEKGNMDGARIYAENAIRKRTEHMNYLRLASRLDAVVARLDTQAKMQVIGRSMQSIVKSLDSALNTGNLQKMSETMDQFERQFVNMEVADDYGLEVSVGLPQAAAHAIPAAKDKEKVDEDDLSRRLAELKARG >Et_8A_058433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7642518:7650482:-1 gene:Et_8A_058433 transcript:Et_8A_058433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRAGQGLFNCGGLHRGIETLNREDSEQERTVGSATAPGDHGGDADELAPEPEHGGRGGHGGHGGAGLEPKRGGGRGGAGSEPMRAGAGSELAHDGRHGGADLEHGLYASHEREPAPMRGGRHHGGPAPALARDGDDEGDATVREHDGHGDDEGHGGGEGQARDGRGVRWSVDVAHVLTDDPPAPAGDDGTATKKSARDDTVCRLIHVYTRQATARALWEAVARTYANELDVGAPLLEQLALVESLGVAGRPLFPDFVAYEVAHKLPGDMRMRARYDSEQERADGSATAHDDRGGDCDELSRKPEHGGRDGAGARGGCGDAGLESELGGCGGVGLEPAHGRRVVGCLEPQRGGRHGGADELALEPKHGGRGGGVADLEPKHGGRGGSAGLAMERDGRHGGDDDGDALVREQAPAPPLERDDHGDDRGSGHQHGGGEARARDGLLALWRAQPEAWPESSHPRAQLWHPWLMAS >Et_7A_051095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14375453:14377253:-1 gene:Et_7A_051095 transcript:Et_7A_051095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLGHDNPEKEATLLRSTRSPSSAAADFAMGGVAAAVAKTGAAPVERVKLLLQNQNELLRRGHLTRPYKGIANAFTRVVREEGVAALWRGNQANVIRYFPTQAFNFAFKGYFKSFFGYNREKDGKLKWLAGNVASGSAAGATTSLLLYHLDYARTRLATDAIESRVHQRQFRGLLDVYKKTLTTDGIPGLYRGFSVSIVGITLYRGLYFGIYDTVKPLVLVGPLEGNFFASFVLGWAITTFSGACGYPFDTVRRRMMLTSGQAFKYRNGFQAVKQIVCTEGFLTLYRGVGANILSGMAGAGVLSGYDQLQRFASRHLVTI >Et_1B_012758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34981764:34984027:1 gene:Et_1B_012758 transcript:Et_1B_012758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGDHRCMGGVGANGGTSVEAALRPLVGGDAWDYCVYWRLSPDQRFLEMAGFCCSSEFEAQVSALGDLPSSISLDSSSLGMHAEAMVSNQPIWQSSCVTELPARYSSEPAGAGGPRTRLLVPVAGGLVELVASRYMAEEEQMAELVMAQCGGVPERPADQPWGEPPPPGFAWDAADPQRMYAVPSLNLFDAAAVGNSSVAAADTFLAVADDGGVAAAVQEQGGRGRAGAGAESGSEGSELQGDGEDDGEQGAAGEGGKGGGGKRQQCKNLVAERRRRKKLNDRLYKLRSLVPNITKMDRASILGDAIDYIVGLQNQVKALQDELEDPNNDTPADVLLDHPPPASLVGLDNDDSPRTSQQQSGRKRPRSENGEEDEDDKGHDMEPQVEVRQVEANEFFLQVLCERKPGRFVQLMDAVNAQGLDVTNVNVTSYKTLVLNVFRVQRRDTEVAVQADRVRDSLLEVTRESYAGVWSSAGSSIDVKLAGVDVKLDDVKLDGIDGQAPGAAEEHYAGYNHLLQYLA >Et_7B_054266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18866822:18869404:1 gene:Et_7B_054266 transcript:Et_7B_054266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPAIPRSACLFEMDGKLALSSRGGGVTELDIWVQEDYEIELWEFKYHIALTLAWEGTNPHTNTDTFRDDTKDSCPHLFAQRPLQNKEKTTKSGLGREGGVLVSNYKQLLHVDVEGKLLAKFNDRDQSMLIVSHSLKESLVHLTFFSKQQDEWSVGHGLRYMDSLFQYWHNNPCDDTEEKQGCSWLGVLRGYENSSGRHAP >Et_7A_051725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23603756:23606207:-1 gene:Et_7A_051725 transcript:Et_7A_051725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LKFSLSIHKVDFVILCIRRFSGVPNIPSFPPGNGPEAFDGQCDYHWIPEAKGMLKGKSVTIIGYLKSAIEIAAACTQMKRYVGRYFRMSCVGVLHIWYNDQLCKDMGCNPRRKKGFIEDLFGFYGPTDYANLHPKIEEWAGSIAVGFILYRIYVRAQWLKGAMEAVELLAVILALVVSVAIFRRSTEQQPTVRVRDPAVARHALIEQADSFSNRPATPFPVPLMTGRRRHGHGMTTVPYGPQWCALRSNLTAAILQPWRQGLLAPIRREAVAADRDAAGEDVVVVRDIVYAPVFSMLARVCFGDDGVDEPRVRSMEKMMQDFRVAIGEAKVLARSTLVKKLMHWKLWRRFVGFRGRQMALFVPLIEAARRRRQSAAGSGVCPFVDSLVDLRVPDEKDARGGKRGLTDDEIVSLMVEFLGGVDMTELYGFITVMKSPLKARITPARL >Et_3B_027606.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:31558193:31559711:1 gene:Et_3B_027606 transcript:Et_3B_027606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TSRLRGLCYSRHLPALLVQRPCPRRKKPATAMAMPEQDRAAMAAPLLPRPNFLSSSSSSFSSFGSFSSSSFSDHAPSTAGASPTTMMSRGPCKALAVLRDHPGSVTCLSLCGEFLLSASTGADIAAWQQPDLRRFARFGHGEGSVKALAAAGGRVFSAHQDGRVRVWRVSRRSENAFKLVAALPTTRDYLGRVFRQASYVQTRRSCRRLWIEHADSISCLAVHDGALYSGSWDKTLKVWRLADLKCVESIRAHDDAVNAVAADAGVVYSASADGSVKAWEKGKASHLLQGVLVARDGVSWNALVVAVDRRLYAAGSDGHVVAWDRLGSRAAARWSLACDVKAHDLAVLCLAVAGDLLCTGSADKTIGLWRRDGSGELTKVGAIRGHEGPVKCLQASWCRVTNGCMVYSGSLDKTIRVWWVPKDLDNEHKQQDKTIIKDQDQNEKAACVFLR >Et_3A_024813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24580709:24581572:1 gene:Et_3A_024813 transcript:Et_3A_024813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLYEKPSETYAKKRPRYPKEWFSMLAGLTAGHHRAWDAGCGSGQAAISMAEHYESVVATDVSEGQLRHVIAHPKVQYVHTPEHLSEDELVALVGGEGSLDLVVVATSIHWFDVPLFYAAASRALRKPGGVLAVWGYNYDIHPFGDLLQGRLYGALRPHMDPRTRLAMERYRDLPFPFEPVGVGAEGAPADVDMEVEMTLEDLAGFVMTGSVVTTARENELEAVVTDVMKRVEQEWGDAPTVPRKLVFKAFMLAGKPKC >Et_6B_048657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12204148:12209369:1 gene:Et_6B_048657 transcript:Et_6B_048657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVGSHTGECEMVTDYRSLVPRRIFFSMHRNKMAAGAYLDQAVLWTGYTNFFISCMLFRKPMSRLVRRNTQRNANGEEIDILMERQQLPEVFERGPGVEALVRVVAKEFLYRDAVVPRRSSSDELTMGPYTLQPSCELKKHATEIRGPAAMASTRCSTLLNLSLDTS >Et_4A_034068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29675414:29678681:1 gene:Et_4A_034068 transcript:Et_4A_034068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAASSRLIRNEREQNGTRQGQSTTARGGSWLVPMARDGAEAAVGKVTCAAWIRRRSDEGMGPRLLVAFGRGATASSPPLLDLLAFDAKASALDSEEPLARVVMGEEGADAARAISVHPGGRELVCATAKGCRLYKIVYQEFGFRLISTGASALESVGPQKCLAFSTDGVKFAIGGEDGRLRVFHWPTLNVILDEPKAHKSFFDMDISLDSEFLVSTSTDGSARIWNIVEGAPLVNLTRSSYEKIEHCRFSRDGTKPFLFCTLVKGEGVLTMVLDISNWKRIGYKRLSAKPVSTLSISLDGKYLALGNGDGDFCVVDVKKMEISHRSQKVHLGSPISSIEFCPTERVVISTSHKWGAEITKLDVPADWKVWQLWLVLAILFVASAVLFYMFFKYAKLNL >Et_6A_047345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4217033:4233983:-1 gene:Et_6A_047345 transcript:Et_6A_047345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWVNDAVVPVGDGFMCWVDYLGGILLCDMSDKTKLLYVPLPVDSDESSRYSNDRPHMQISRTLAGTGAAALRFVNVDRRRCCGSIGESNCARSRFAFMVTTWTMSLLTMTGEAMTWVKNGVFDCDELWALPNYGSLPRMPLDHPVVSSDNPDVVCFLLSNDYHGGGNLKRYEDERSWTVMVNTRSKTLLSVLPHDTKTSDTACTGFSLLRCRRSIHLVPDSPATVNANNPRWVLLNCYDVRRDTSMVADATTAAEARTSTGKPLRVSFTLAPPPASSYLCYDCAGTAPDDINVIAAHGDSVLFMMQRKGYKYDHFVYRAGDAARPPSLSLLPARDVPTKYEDGTAYRPYKDPGRRVLLSDDTGILRRSEDELLVAQLEVRVIYSETDKRDPVADLCMLRLGRRNWDLKRSVPIVHDGGEGGESALRCHGAEKVITVGDRFLCWVDSYWGILVCDMAEEDARLKLRYVPMPALPQQHDRRLYSNDQLPLQYSKDIGVAGTDALRFVRVDSRCCCGGPGRSSCARTRFAFTVTTWTLTLRMNEPMRWVKDGVLDCEELWALPGYKDLPRVHLKWPVVSLDNPDIVSFIVSDGYPVKHEDRKSWMIQVDTRRKGLMSVVQCTNDDVWKERFRLPARLQQRLGVGCFDGLSLLRCRPSIGLSRSIHDLVPNYDHQEPSDSPAVAAAAAKNSWVLLNGHVQPGEGSSVAEAEAVAHCSTSTGKHLRISFQRASPPASSFLYYDLTGTAHAGERLHISAVAADGGSVLLELRGMERQLCSDFYTCDYFVFWAGANRPASLCRLPPRDFKTANERRMVQYRLDRPLHRVLFPREICLLRRGPNEPLMLVELEIEYDRVAQRSDNPDVVCFTVPDYPKREDQKDWMIQTQGGRCCCLPSSAPSIHGKDGAFDGLSLLRCRRSLGLRSDALAVNNAPSWVMLNCLGRSSNYIADAKILAKSLVLDNSTGRHLLVSFRIATPPASSFFYYQWAGSAPEDREEFKEVKVIAAHGDAVLMRGRHITRRFPLPGAVALSAPGLRKAERTKAQVLNNHDTGILRRSDGEVLVGHLSSTCGLRGTADLRLLRPGSREWELNRAVPIVRDEVPLRWQSNHFNRHGHAVVPVGDRFLCWVDYQWGLLFCDLAEECLKLRYVQMPVFALNAEYGNNSSRPYQDSRNMCGVGADTVTYVSIDRRRCRSGSPEKGTCASYLHAFMMTTWNLSLTTNGPLTWLKGIVVDCNKIWENPGYKGLPQVFPECPVVSSHDPDIVCFKVCEENFASLDQRKVWMVEVNIRSKAVLSVVRYSGDNHLPAKTEENEMHQREPISGYSPAAFHPRWAMLNADSHRRGSVTDARTVADAEAATSRGRHLRISLGLEAPPASSYLYFDWAGSDSSDKEDDEQDGLEIIAAHGDAILLEKSHRICRYTETYYYFVYRTSAARGPSLSLLPSRDVAMKFEERFRDRESSGLMLIEETTGLLRRSNGELLVVMLGVPDRDDSPDNVELCVLHVDRPQNPLWPREDWEIKRHVPLLRDEGSRGDELRRWKYSNCVVPVGDRFLCWVNYADGFLFSDVASASPRGPPLPWRSVNDPDAEVQEEHMVWYRNMCAAGGDTVRFVTVEPRCCCGSTRQYGTRLCAHSQFTFRVVSWTLRLGMDEPMRWVWEGALDCEELWALPGYEGLPRVIPVYPIVSPDNPNIVILTVSEKSINNPNRKVLSLLWSRNARVQPPFDIDDQAPLITFTSSHDKHQTK >Et_1B_012913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3985413:4014968:-1 gene:Et_1B_012913 transcript:Et_1B_012913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLRNSRSVLSRLLPRDNAASRLPPLVPSHRRFFTSQWRERLPFLRKITMVGGGAVAMYLGTREVVPYTNRTPFIILPRQLERYMGDFWIEERRWGPRSCRRSTQIASASAVSPRKSSTPSIITIAKRWELWERSHGLAAASSVGWSVHAGGKIVVHTAVLDKFREDAEIATVLGHEIAHAIARHAGESITKFIMHFVTLENEIEADYIGLLLVAAAGYDPRALPLVYEKLEKFAGEPDVMNKALELYRRLKPTTVYPPARYYYASRVPRGNAASRPPPQVQSHRRFFFFTSQWRERLPNFSRKITMVGGGAVAMYLSTREVVPYTNRTHFNHLPACRFIGELALDIVKESSKVLPPHHPESVRVRRIASEIVRAADLDRQRGRATMMLDAWSRLWEGLGAAKPWRSSLLDGWEVIVVQDDRVNVECAPGGKIVVFTGFLKEFREDAEIATVLGHEVDMMNCLRNSRSVLSRLLPRKPAAAVHPPRPLPAQAPPARYYHHASRYSRPPAQEEESRWCFFTWREESLLFRMITAPSVVVVGGGAFAIYLSNLEAVPYTNRLHCVLLPLKFELRLGELVLDELKKEMKKPDQAILPPLHPDSVRVRRIASEIVSAVDSGLVGLADRRRHKRRSVMRSAFPCDDAGAGAVAQLDDDEDSGEAPGGAKLQPQTSSLLLGGWEVLVVKNKEINAMCAPGGKIIVYTGLLEKFREDAEVATVLGHEVGHAIARHGAEICTKLLGLLILHLVTLKFLYRPGLERALSTPKLKRRLEREADHIGLLLVAAAGYDPRACPLVYEKMGKIVGDRRSRASKKDTHPSAVERVRFLSRADIMNEALELYRGVRPDHPSPQPTPAARRWQHTGRWTIRWTSRCGAGSRWYEDERAAQAAFLVAVGGAAAVLFCRREAVPYTGRTRFVLLSPTAERWLGEILFEVEKRELGAKVLPPHDPRSVRVRRIASEVVRAARRADLKRDDDDQALSHKKNHGRKAARRTQQAANLDGFKWEVIVVNAMCMPGGKIVVYAGLLHTFREDAEIATVLGHEVGHAVARHLAERLAVMLWFLILHLLISLFVEMPNEINVLSKLLLMLRFSRRRWRLIMLGSCYSLLLVTIHGRQAPYVYEKLGKLGGDSTLGDYISTHPSSKKRSKLLWQAHVVNKALELYREVSTGQGTERNSRSALSRLLPRGQSITVSAPRPLPAQAPSPRYYHASRFPRGNAASRPPPQAPSHRFFFTSPWGKKGTRSRGGSRWYHDRRKITSAVLIAGGGAVSIFLGNLDAVPYTNRTRFTVLSRKHERQVGEAVFALVKKWLGPTVLPPHHPVSLRVRRIASGVVRAAPRGLAGRQRQEAGAKVLGWSACKDADAAAAAQRDDAESSNSGEELRALPQTSDLLDGWDWEVIVVKSNMVSAMCMPGGKILVTTGLLHICRTDAEIASVLGHDVGHAIARHAAEGYTRGMWLFILNAIICQFTHSPVMFTTMMISLSMLFSRRMETEADHIGLLLMAAAGYDPRVRPSVLEELGNIAGDPAPRNFLSSHPSCQERAQLLSQADVMNGALDLYREAPRSGLQVHGTDNSCSVLSRLIRRRPAVCTPPPPAQGARPRCYNTLRVLRGKPVLRPPPPTPARWYHQSTTPRRQDQVVFRSYREWSEDEWNRNLAAAVLLTGGTVAAIYFGNREKAPFTNRSRFIVLTTKYERKLGESMFAGLKKKLEPKILPPDDPQSVRVRRISSEIVQAVHRSLATTNDSEGAASACGGYGDISTDIAIKNRDEEAHRGGDEAMANDELQNRVVAARTSSLLDGWEVIVVKDDMINAMCGPGGKIVVYTGLLDTFREDAEVATVLGHEVGHAIARHSAETATKHLWLLILKFAIYMSTDMTRQDMRVLSKMFDLFLSRPFSRRMEMEADHIGLMLLAAAGYDPRVAPGVYEKLGKVGGDSVLKNYLSTHPSSKKRSQSLSQEQNSRSVLSRLLRHNPTAVSPPRPLPAQAPPPRYYYASRVLRDKPAVRAPPPPQAPRPRHFYTSPRRQEVIHFSRRRGGSRWYHDQRKLTAAVLIAGGGAVAIYLGNLEAVPYTNRTHFIILSPQLERQLGESQFAELKKELGPKILPPLHPDSVRVRLIASEIVRAVHRGLAGRKLRHAAYGEDASYGYGDISADLTIKDRDAEAGAVMLGGSPRKNASVAAEAQRDDEVLDDRWVTESRNRGKARGAQPQTSHLDGLNWEVIVVRDDMVNAMCLPGGKIIVFTGLLNKFRADAEVATVLGHEVGHAIARHSAEQITKNMWLGILQIILLQFIYMPDVINTMSTLLLRLPFSRRMEIEADHIGLLLLASAGYDPRVAPSVYEKLGKIGGDSALSNYLSTHPSSKKRAQLLSQAHVMNEALELFREVSAGQGTEGFL >Et_1A_009401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4871383:4873249:1 gene:Et_1A_009401 transcript:Et_1A_009401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPSSPTREPCYRRIRKELHQFWVDPPPFCRPGRSPVTDLLHWEVIIDGPEDSPYAGGTFPVDVQFPCDNPFKPTKITFKTKVFHPNIDSEGQMVLDIFKDNWSPAMTINTLLLSIVSVLYDPMLDYPINSGIARLYQRNIKLYEEKARAWTRKYASEPVVSYYPEKGDEHWQEYRDAFAAHYAEVAERRREVERRRAAASSTAAPPRKRGASLLWRRAVAFLQGRSIAVPPAAKALIVLLMQDSKRLQKGQLNQARYQ >Et_2B_021670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4284291:4286798:1 gene:Et_2B_021670 transcript:Et_2B_021670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEEKLRSGAHLHRDPTINPGLGSPRCPRCLSLLNTTAGEGDWAITSVLHDATAVAGSGAGALLSAVHGFNTGIPFIQKHVKGPKWLQLLVGVPPLLMFSGASAVFGAYALPIFAQLTVNSYYAASSGSHYAVSQITRQIESSHLLDTGEKSRRVTGSQSILHEPCKCYYI >Et_2B_022579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26486458:26489851:1 gene:Et_2B_022579 transcript:Et_2B_022579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDARCSKNRFVDITCRRGKSRGGKEEATGMAGLSSDSEPTDAFEYMLLEKDPDLYRTVFSGPSQISPWIDPSVLNLKHRIGRGPFGDVWIATHHQRTEDYERYHEVAVKMLHPIKEDQLQLFSAKFDEIFSKCQGLGNVCFLHGISTQNGRICIAMKFYEGSIGDKMARLKDEHDRAVLGDFGIPSLLFGLSLPSPDLIQRLGTPNYMAPEQWQPNIRGPVSYETDSWGFACSILEMLSGVQPWRGKSPEEIYQLVVLKKEKPIFPCSLPPEIENVLSGCFEYDFRDRPLMKDILHAFESAKDTDHDNTGWDNSENVRVDRPILANRTNWLLFKDKLQVGDKVRSRKLNNSCSPETMEIPDGTIVGMDDDGERDSYILVRVHGLHDPLKVHSSTVERVTYGFAAGDWVRLREEDKKCSQVGILHSIDRDGSVTVGLIGLDTLWRGNYSDLQMAEAYCVGQFVRLKTNIGNPRFEWQRKKGGGLATGRISQILPNGCLVVKFPGKFSLGEVYSCLADPSEVEVVSFDKCDGIVKKYEHLENFHWAVRPLFIAIGFFTALKLGMFAGKVIVKPRSRKVASISDQRGDHQQLPQETHNGANVAWFPPPVASMFFGDGVTPSG >Et_6A_047895.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:23115265:23115789:-1 gene:Et_6A_047895 transcript:Et_6A_047895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQHQQQQERQWKHEAVTAAGERRDGGDAMSASRCGRFDNYHDDGGGGPLAAAPVVDHADFTVRSCWSCVAVTLADTIALGCCPCAVVSLLGLAFVKPRFPWRAAACAGCSGGMASCGTKSGCATWTTLPSAAAVVRRTRTRGKASWLGWRAAALRRGGRAARRRGGGAGREK >Et_1A_006866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28439305:28440409:1 gene:Et_1A_006866 transcript:Et_1A_006866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAHFRPAGAPILPEELVGWEILICLPAKTLLQCGAVCRSWRRLTAAADFLLAHHQRQWSLLLVRFYSSRHDFVAVDAIDLWGASARAERHPVLHFNDYGHDLNFKGRASCDGLLVVNLYGNNYICNPATRLWIELPKLFRSNAAALYYHRLTAYQASIALCTGRGVFEKLTTTQTNSQRVAQFVASLGYATTRIGLALRRYVASKHPPVLLHNCLHWVIDLWPKSGLLVFDKMLESFKCMRPPTRDNWCRANVLEMDDMLAISCMRQDYTTVDLWVL >Et_6B_049724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9417022:9419927:-1 gene:Et_6B_049724 transcript:Et_6B_049724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHEFMELAWGLADSKRPFLWVVRPSLIRGYESGELPDELRKEICDRGRIVDWAPQDEVLAHPAIGAFVTHNGWNSTIEAMSESVPMISRPFLGDQFGNARYVCDVWNVGVEVQVETQLERGKINVAIEKLMDSEEGKDIRERIKNLKRTVDEGIKEAMATPNRARGSVVFFPFPYQGHFNPVLRLAGALHARRLAITVFHTELRAPDPADFPADYCFVPVPVNIPPEVVASEDIARQVIELNAGCKAPFKDRLAALLADGEEEARVLCVITDVIWHSAQVVARELGVQAMGMMTSCASSFRTFMAYPILIEKGYLPVKEEHMDDPVDVVPPFRVGDLERIETSSLSDFATLVLDRVAAARQSSGLIISSSEAIEASDLHSIREEMAIPVFAVGPLNKLSPAAKTSLYKLQEDRRCLDWLDTQVPGSVIYVSFGSLAAMDPHEFLELAWGLVDSKRPFLWVVRPSLIRGYESGELPNELQMEVCNRGRIVEWAPQDEVLAHPAIGAFLTHNGWNSTVEAMSEGVPMISRPFLGDQFRNARYVCDVWRVGIEVKVETQLQRGEIKAAVHKMMDNEEGKDVRERMKNLRKTVDEGIKEGGSSHSAIVNLVDTIISF >Et_10A_000933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19244064:19245655:-1 gene:Et_10A_000933 transcript:Et_10A_000933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEELRTSFSELAVGSQTQTDSQTFSSGDASSEGAVQVTCFTEDLHDVTLHFQIIRFSKQIYAWIGCNTAKFGHLYAAATTRPDNRVSVTSVLGGTSDNTGSSMARRLVLKTGLNIVLACNIPKDSPMLEAAAERKLVEKLRGLGYIKPKADASSAH >Et_3B_030441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3806529:3815117:-1 gene:Et_3B_030441 transcript:Et_3B_030441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LTKYIPEAKRLVENLGYKPQQHLSQRTSERSLSEKMQDWAPVFISLVLFILLSPGLLFQLPGKGRLIEFGNFQTSALAILVHAVLFFTLAAIFLIAIGDRGGMADWWPVLIAVLFFVVLTPGLLIQIPGSNGRFAELHSMRTSGASIFVHTLLFFGFCAIFMIAIDMICFEEYFFESLLTFVLFLVLLLTPVTESSRAFFADDIKAFSQDMKLGAFFDDIDPATSLQDPIRKKASSLQDCCNYLFMRDGGKITRIKHLKSYGTVDSDICLSFSFSQLLARRYFELDCAEEGDPKVRDFAPKELLQDPADNRLFNIVEVQLAFLHDLFFTTYPSKLTTGLLSVKQLMDRALIVSARSAVISLKGSLFLVVLDAVISLMGYSVFLSVPDVKHPYPYIVAVCSVLGAIVGFLSIFLRLPEESPLLPRYWHPIKHVFSTYPQTAPSPCSQTLTTNRKREHYWRNKMGQYSLIEDYDRRSVTKKLFAWYKEQILSQVSPIASFIKHHPVEEEDVTVSNDVRSYIAKALRALDGPPTNGTRSLKRNTVLDDFKWACSETHTATILIWHIATCYCRLMVPESRCNGPDYDVATTLSRYCAYLVAFLPELLPEPSFKTTITLQEVLQEARDRLDQTTMSKRQRILDLRLPDKVEARHTIFQKGIILGQQLVNHKKFQKKRRNS >Et_1B_011059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17068195:17071706:1 gene:Et_1B_011059 transcript:Et_1B_011059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HDGICPAELDAELSEVMALASPVEYAGQISSGQNHLACFGVPRNSRLRCVWHEKKSRTGQLVVRAVSVDRQQLDFSNPDWKKQFQEDFDKRFSLPHLRDVIDVEPRPTTFSLKSRTPLEKVNGAMKESWNGYVNDDDRALLKVIKFASPTSAGADCIDPDCSWIEQWVHRAGPRKQIYFEPQYVKAGIVTCGGLCPGLNDVIRQIVLTLEKYGVKNIVGIQHGFRGFFEDHLSEVPLSRHVVQNINLAGGSFLGVSRGGANISDIVDSIQARRLDMLFVLGGNGTHAGANAIHEECRKRKLQVSIVCVPKTIDNDILLMDKTFGFDTAVEAAQRAINSAYIEAHSAFHGIGLVKLMGRSSGFITMHASLSSGQVDICLIPEVPFTLDGPHGVLRHLEHLIETKGFALICVAEGAGQEHLQKSNATDASGNTVLSDIGVHLQQKIKSHFKEIGVHSDVKYIDPTYMVRAVRANASDAILCTVLGQNAVHGAFAGFSGITTGVCNTHNVYLPIPEVIKSTRFVDPNSRMWHRCLTSTGQPDFH >Et_1B_010547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11522286:11524393:-1 gene:Et_1B_010547 transcript:Et_1B_010547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVACECAATMSSDKMAARKGRLRQRYDNDHRLVAGCVPYRVKKDDEGNPCSSPGGDDDTAQVEVLMISTPNRTDMVFPKGGWEDDEDVYQAACREAMEEAGVKGIIDSSALGHWVFKSKSSQTSNSPRGACKGYIFAMEVTEELESWPEQATHNRRWVTAAEAYQLCRYDWMREALTALLERLSVIEPVAAAGAATQELTDQAGMYMMLQATSDGAVALC >Et_8A_056359.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:3916971:3917351:1 gene:Et_8A_056359 transcript:Et_8A_056359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHIRITRILDCHIMKRCTRLAIETLCSLIQWARTVNLLLINSNRICCMVILLILFAGPTRIQIHDIVARNVCSVKQEIDHLMEWRSKQPGFKPMQDGPNTVISALRCRLSSWELLWMGLLDRGHP >Et_7A_053061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:636354:642301:1 gene:Et_7A_053061 transcript:Et_7A_053061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNYGLVDQLQEENSAAVGYEEASEEEEPYECEFYDDDEPQDVEPCEDPVDEELYGGAALPENENDSDEEPFEIDPCDEDIDEHGSCHLDPHAKLLSSDDNICRKRLYEVEPCHDLMTDEDDFFESKPKSVQPCNKEKNKRDLKQVLKRSNYVQEDVLVAKEKETQPFKKRLSVKFADDVSCYTYSDESFAAAKLEKKKDQLDGQEKYLCNRPEQSASSPQNAGRLEEGDGTNLFVGNLPPSVASHKLIQLFLPFGRIVRSRVVDDCFTGLSKGYGFVKYANPRCAAEAIKRMNGRTIEGRTLQVRVADAPSSGSNPFVHSVSVTDQPTKEMDRSNLYVCNLPLLISTDKLLDLFAPYGQVTSAKVARDYTTGLSKGYGFVKYSDPDSAAQAVVQLNGRLFEGMKIEVRVCGIPPRPVGSLVEPHFNNGIKEIDMSNLYVCNIPASMSSNKLVELFLPFGKITHAKIMERTNGSSKGYGFIKFEDSQSAAEAVASMNGALIDGETILVTVAGLSQSASSSVLPHSAANPSLDINKSRLYITNLPQSVTADKLVSLFKPFGQINKVVMNSEYSLLYYADVHSATKAVRNMDGYLIEGKLLVVKGPQPCPASAADHASSQSGSLKEIDMANLYVGCVPSTVTADQLVELFCPFGEIIQAKKFYTRGYGMVRYANPSSAAAAIDHMDGYQIGGSTLVVRVAGLPGVADATTNVPTVQMVPGNGQRKIDMANLYVCHLPPYVTTEKLVELFLPCGHITQAKVVVDKFTGVSKGFGFVRFADAYFAAVAITHMNGYPLDGHVLEVRIAGVPQRDMNSYMAHFYSQFASPDPSRMAVGVPTSYWPYYHAGSTYATPYEYQGQGSESATAMATAADQASQQECLLGSNPVGSVADKDCSCASNPDASDPSRLEGWAGPPGFEPHAVKKNDATVANPSQAHSQSVGWAGPPGFESRHGVKKEAAVIFSKTRQYVLRCPERLT >Et_7B_055768.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:426135:427577:-1 gene:Et_7B_055768 transcript:Et_7B_055768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLFPFFLLLVVAPFLSTAAPTTTLPLYRHLPHVEETAHHHPLSRLAAASLARASHLKRKAQQHGGGGSGGHHPQAPAAAALYPHSYGGYAFTASLGTPAQELPVLLDTGSHLSWVPCTSSYQCQNCSSVSSSVPVFHPKRSSSSRLVGCRNPACLWVHSKSNTNNCTAKNDGVCPPYAVVYGSGSTAGLLIADTLRAPGRRAVPNFVLGCSLVSVHQPPSGLAGFGRGAPSVPAQLRLGKFSYCLLSRRYDDNAAVSGQLVLGDPSPVGGAGKTTMQYAPLAKAADTKQPYSVYYYLALTGVTVAGKPVRLPQRAFVAGPGGGGGAIVDSGTTFTYLDPTVFRPVAAAVVAAVGGRYNRSKEVEDGIGLRPCFALHKSMELPELSLRFKGGAQMRLPLENYFVVAGRAPTAPGAAAASDEAICLAVVTDIANAGPPGGGPAIILGSFQQQNYYVEYDLHNERLGFRRQPCASSTSS >Et_10B_002927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13985826:13990057:1 gene:Et_10B_002927 transcript:Et_10B_002927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCAWRPASLLAALLSASVLLLVTGSRQEFHEAAGSRNLLQTHTHEVHCSRERSRAAWKAIDEYLMPFVEKEKYQLPSKCRLHPDNDMFREQEQHKIHYDINEWRCGFCKKAFRAEKFLDQHFENRHKNLVDNSEGRCLADLCGALHCDLMMEFKKPKSKCNAAAATRNRHLCESLADSCFPINQGRSASRLHEFFLRQFCDAHTCSRGSKPFPKGGRKQTNRFYLALCILVLILLPLFYLIVFLHQREMKKGVQDLKRFSKIGQKKKPS >Et_4B_036349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19382799:19383311:1 gene:Et_4B_036349 transcript:Et_4B_036349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLLSYEFKILAVDTEGKTWRTISLLENMRVTDICLGPLAFIDNDASKLSVWILEDYNGNEWMFKCSISTSQLFGELFRKKDLIFQRDYALVAIHPECNLIFFVWRSEDMLLSYDMDREHLCDMPFPPYLPYVPSFSHIGRPRVEA >Et_2B_021782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5406912:5410214:1 gene:Et_2B_021782 transcript:Et_2B_021782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAVASVAAWAAEWLGRDDSLLLRRRWRSAGGVVVEALRAAWDAARAAAVAPALAAASWACLALSAMLLADAVFLAAASLMRRRRHRTGSLGCSSGEDEEDGRRGYPMVLVQIPMYNEREVYKLSIGAACGLSWPSDRIIVQVLDDSTDPTVKDLVELECKFWANKGKNVKYEVRSNRKGYKAGALKQGMLYDYVQQCEFVAVFDADFQPEPDFLLRTVPYLVHDPQIALVQARWEFVNPNEFLMTRIQKMTLDYHFKVEQEGGSSTFAFFGFNGTAGVWRISSIKEAGGWEDRTTVEDMDLAVRAGLKGWKFIYVGNVKVKSELPSNLKAYRRQQHRWTCGAANLFRKMGAEIILTKEVSLWMKIYLLYSFFFVRKIVAHVVPFMLYCVVIPLSVLIPEVTVPVWGVVYVPTTITLLHAIRSPSSLHFIPFWILFENVMSFHRTKATFIGLLELGSVNEWVVTEKIGNTNSINHVPQILENPPCRFWDRCTMSEILVAIFLFLCATYNLLYGSDFYFIYIYLQAIAFLIVGIGFCGTFS >Et_4B_036231.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12291305:12292036:-1 gene:Et_4B_036231 transcript:Et_4B_036231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSGGVGLRREPRRDDCAERHGLGQGEAERLGHVQLLLAVRQAPVERVNERAQEVLHEHHARQLPGADPPAGAERDELEVVPHRVHARRLPAGHEPLGPELERGLPRGRVPSDGPDVDEQAGVRGHVVAAVDVVAGVEGEVWEAERRRRVQPHRLLADGLQVREVGQVRLLQAAAAADHAVQLLLRARHHGRVLEHLRQRPLHDDRRRVRARREHVLHARVHPFVSSVKQMMVLIENLLPMPCC >Et_10A_001875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9474453:9479152:-1 gene:Et_10A_001875 transcript:Et_10A_001875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPKRSQMRVRLRVTARRRGGGDGADGSGSGSGSGAGGRKRLLEAPVLNSAAKLLRREIGGRQLAARGGGPAAAVPERFRNMQLQEEFDTYDDNAHLFVKLQFLKKRSKIIEIVAAKDIIFALAHSGLCAAFNRVTNKRIAFLNLSPDEVIRSLFYNKNNDSLITVSVYASDNFSTLKCRTTPIEYIKRNQLDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYSFLYSIPDENVQEIKISPGIMLLIYDRTPSYVPLKILSIEDGKPLKSFRHLLHRNKKVDFIEQFNEKLLVKQEDENFQILDVRSSDVTEVSVSKFMTPSAFIFLYENNLFLTFRNRTVAVWNFRGELVTSFEDHLLWHHDCSTNNIYITSDQDLIISYCKSEAVAEDGTVTPIGSINMSEIMTGKCISKIAANDPALTVAPRRTGCTKRSRVWSTVPEALEDVTALFYDEDRNEIYTGNKHGLVHDDEFRALSK >Et_3B_028536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16514224:16516950:-1 gene:Et_3B_028536 transcript:Et_3B_028536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVAAIVMCHTRELAYQICHEFERFTKYLPELRVAVFYGGVHIKNHKDLLKNDCPHIVVGTPGRILALARDKDLPLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLGEAEKNRKMNDLLDALDFNQVVIFVKSVSRAAQLNKLLCECNFPSICIHSGMTQEERLTQYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADAYLHRVGRAGRFGTKGLAITFVSSASDSTVLNQVQERFEVDIKALPEQIDTSTYSKFCYSFIPDEAYWCTWY >Et_2A_017350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33492145:33499782:1 gene:Et_2A_017350 transcript:Et_2A_017350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAVRALALVFCLLCCYAPAPSTAAAGDFLKCLSAAVPSQLVFTPSSPSFTSVLVNSIRNPRFFTPATVRPICILTATNASHVQAAVLCGRRNGVRLRVRSGGHDYEGLSYRSTRPEEFAVVDLANLRAVRVDRNAATAWVDSGATVGELYYAVGKADPQLAFPAGVCPTIGVGGHFSGGGIGMMMRKAGLSVDNVIDATLVDANGTILNKQAMGRELFWALRGGGGESFGIVLSWKVRLVRVPAKVTAFNIVKTINQGVVDIVTKWQTIAPVTLPDELAIRVVIQNQQAQFQSLYLGSCDQLLPVMSSRFPELGMTRADCKEMSWLQSMVYINFFNTNTPAEALLNRASSLSTFVKNKSDYVKQAITRDSWQRIFPWFNGPGSGLIILEPQGGQVSRIADGDTPYPHRNGVLYNIQYINFWTSSDGTAQMNWINGLYKFMEPFVSKNPRSAYVNYRDLDNGQNAVVGGVSSFDSGKVWGERYFGAANFKRLAITKGKADPVRSLKQANMAMSRALALALILSFSSLYLSNPSTASSDGFLQCLREKIPSELVFTQGSSSFTDVLVSSIRNPRFFTNTTVRPLCIVTPTDASHVQAAVLCGRMNGVRLRVRSGGHHYEGLSYRSERAEVFGVVDLTNLRAITVSAAAADDDAPTAWVDSGATLGELYYRIAKDNPEVAFPAGICPTIGVGGHFSGGGIGMMMRKFGLSIDHVLDAKLVNANGDLLDRAAMGEDLFWAVCGGGGESFGIVLSWKVSLVKVPSTVTAFNVFKRLDQGAIDVLTKWQDVGPTLPNEINIRVIVQRQQAIFQALYLGSCSALVPMLGSFFPELGMTSDNCMEMTWLQSAAFFNSWNRNVPVESLLSRGTNMGTFNKYKSDYVRRALPKDAWENIFPWLTKSGAGMIILEPHGGFIATIPAGATPYAHRSDVLYNIQYITFWSGNDDGSTAMGWISSFYDFMGQYVSSSPREAYVNYRDLDIGENEVTDDVSTFDSGKVWGEKYFGANFQRLAAVKGAVDPTDYFRNEQSIPPLLQSN >Et_2A_017108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3140318:3141933:-1 gene:Et_2A_017108 transcript:Et_2A_017108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLLCLLEQFTASFGPTSRCVYKARQARYRLCVLYTIKVSVKKAQVSWSHRRSGRFLPKRRGITFPIPLCRADEHDQVEQVVHRPGEPNEPDRPGILPGIIDDAYSLIEWYRERNVIHKEGSSAPWTEVAVIRGLPGGRGITVTSHVPGLVVRLHARSSPWAATVDLRFSEHWFHLPSGWKEERLYAEDGVLIVDIAAPVENLVRKLCQMRAQEEQEMERRSSETEEERSRRLQEEEAIRKREEEERMKICKRNEEKRRRELAAAAAAKPSARAPPVWDAALWDLAEVQPAAVHCYPKFELSMNSNKQVFYKCVGQESLRDMLRRVDDGERVLPVSKTAPSLETLGFVEDCFDILDTRGVTQKVISSRDRSGRMQSSLLMVSRSEETILTFRVFVDKLDVLLDDGMVVSGLFNDSVDVHCDDVSASELLKTDWAYHILCKNVDGDGKIAFSTLLVKLKKKLQLQLSKAKESLLESISEMEGEEETGDLAEDRRRQMEWVWVPRKPTDFEEVELQDCIMLRSLEY >Et_5A_042137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6685659:6694505:1 gene:Et_5A_042137 transcript:Et_5A_042137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGNVVMDLSLPLLLSTVVCYVFFFVTGKAARSRRPLPPGPRGWPVLGNLPQLGGKTHQTLHEMTKAYGPLLRLRFGSANVVVAGSAAVAEQFLRVHDANFSCRPPNSGGEHMAYNYQDVVFAPYGARWRAMRKVCAVNLFSSRALDDLMNIRRYELIVYESLTFLAIMPILGWRWLFALSSLPWFILLIFTGLLPESPRYLCLRGKTSEAMLVLDRIVRMNNGSLPPGILSFNATRRAGNNLDASVTTPLIMPYDSIELHKETSSKSNGFNEFLALWSRDLIISTLLLLLVYTASNFAYYGMVVLTSELSNNSRSCASVGAHLMQPKDSSLYTNVLVTNFAEFPGLLLAGLLVDKVGRKVSLGGLILLCCAFLAPLAIHLDERLAITLLFCARACSAGSFAVLHAYSPEVRSQPNIYPTSCRNTGVGVAYSVGRFGSMVAPLATTALLENCRQKEAVLLMNLVLFLTGAACALFPFETKGREIHGAPCRAAAGMAGGGSGAEASYTTDEALSRLGFGRFQALLLVFLGTGWVAEAMEVMLLSFVGPSLKAEWGVSGGEEGLITSVVFAGMLLGAWVGGIASDRYGRRAGFLFTALVSGIPGFLCAFSPNYATLLALRFVVGLGLGASHVLPTWFLEFVPAENRGSWIAAFTCSWTIGTILEALLAWRIARINNKVLPPGIITCDPKRRVDNNHDASVTTLLLTPEDSQGINQDTISKSYHINEFQALLSRDLIRPTLLLCLVNFGCYFAYYGLLMLISKLSSDSSQLKDSRLYINVLITTSMAKVLLYFVAEFPGLLLASLLIDRIGRKSSLGGLILLCCAFLAPLAVQLGEGVAITLLFCARTCVMGSFAVLHVYSPEIYPTSCRNTGVGAANFIGRIASMVAPLVTTSLLENGHQKEAVLTMDSVFFLAAVACALFPLETKGHVEEILDGVFELVHDGAPSSLRRVPFVPVEVLVRLVAHLEPFFAVPAVNLTAVSARLVALAAGDVPVREPRARCRMDDLVEDGVEVEQEDRVVGAELLLQLLVVDVRDLVLDALGVVV >Et_9A_061804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16662466:16677421:-1 gene:Et_9A_061804 transcript:Et_9A_061804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQPELIDDAIAEVLLCLPPDEPKHLFRASLVCKTWLSIITDPVFPRRYRAFHGALPLLGLLHRFECSYGHPVPDTEPCFTPTTACMESSSTVFCAATGCDHLDCHGGPFGVAFFVEGFYPPDEGDETFPGLWAMVYSSETRAWSEPIRADDVSIDRKCSHLSMDRKHGHPALIGDEIYLLLRNSTIVKYDWRKNCLSTSNPWPPKLYKHWIALMVMEDSSLGLAGIEDSRLHLWRRNVNAEGAAEWVQCRVIELETMVPMAGNGKWAHVVGFAEDVGIIFVKTSVGLFMIKLKSGYVRKVGEPGAYLTALPYMRFYTPVNA >Et_4B_038246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27547552:27550957:1 gene:Et_4B_038246 transcript:Et_4B_038246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHGGLDEQIERLMHCKPLPEPEVRALCDKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLRLIARAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCREHTFIQFEPAPRRGEPDVTRRTPDYFL >Et_9B_065293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3313130:3320859:1 gene:Et_9B_065293 transcript:Et_9B_065293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARRLASSKRLAGGEAMAEGLSLRVTQRTLPSIAIRMEESYGEICVGPDDENFKGLVKDRRLDCFHRLGGSHGIADALASGSARGIRGDGPDLRLRRELFGANTYPKRKTKSLCGHFWDAARHLPPLAPCLRRIVTRLRRRGARRERRVVVRRVGTLVSVFLIAAMSALGNHCHAMLFDQRRTDSEFDNHPVTVLRRGKIQDAIAADVVVGDVVKLKAGDIVPADAVVILGYKLELKTSKKLRPVAVDTRHEPFCPAGAKVTNGQGWFVVTAVGKDADCGEWMSKRSVTIINTEPTTPLQMRLDDLKSKISNVRNAVATLAAMYSTSDTGDVTTKVAGVFQHTLAIVVSAIPEALPLALAFCTKPMLQERALVQRLSDCETLGSVTTGIRSIDGRTMEHLALLTWARTVLGSNSADVWNNIRKNIPASVALCSPLAAQETRFWLNGTAPLMYVSADGEEHELGVEKRLQFQKIISDMAEANLCGFALAFKQAKSELISKDDDKDLTLLHRVVQRSKDATEACATAGVSVKMVANGCNTATAQVVAKEFGIISRDVIKGGPAFRQKSPEEQLGIRVLCQSLPKDRVLLLQRLNDRGHVVADCTDEGLSSEPDIQPDVIINNSDLDTLAGAAKFIQFQLTFNIAAVVVNLVSAVTKGRTPLTTVQLLWVSLIIDTMGAMALATDTPPLKELMRRPPISRTAPLISNAMWRDLTAQALFQVAVLLAIAYGGRHFFGTGDVNANAFVLCQVFNEFNARQIEQKNIFAGLRRNC >Et_4B_037033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13878541:13881659:-1 gene:Et_4B_037033 transcript:Et_4B_037033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPRPKSPPASPDPCGRHRLQLAVDALHREIGFLEGEISSIEGVHAASRCCKEVDDFVVRNPDPFITIGLEKRSNDLSLHSKKFREELPELPVVDDLLLRRLLAVPSQEAAGGELLLRRAAEAAVLLLLVKRERLLLPVRRGVRELLLRRLLRAVPALLVRLHLLVPQVLLRLPQVQRRVLRPSLLSMLV >Et_4A_035890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:874699:876281:1 gene:Et_4A_035890 transcript:Et_4A_035890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSELPSPAMSFYRPSLGGRPGAWMEFMRLGQSSSSKASDNIIITDDEGHTILYDAASRAVCTMPDLHARKYFPVALSIGHNLYAMDTNPRYANCFEALIHGFPPDNLGNRDWHWHSLPIPPFVYEPTGFDEDEHEYVHPHTIRAYTVVGDSRLWYSTTRAGTHCFDTATGVWNKVGDWAMPFRGYVEYVAEHGLWFGLSSNDDENKLCMSNLDTKDKPVVLKSWQYLTSPQDWVPYGSYLVPLGSTKLCIVRIFATTFGRNNQFIDNTFAVFTGVEVVTAGAAGIKMIPHKSIRYILGNQAVNATLYYYAMVVQCFLLVYAKNSTSVKKEVEDEQKYTELSELGYTYLITNTKWR >Et_9B_064364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14402572:14405142:1 gene:Et_9B_064364 transcript:Et_9B_064364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASICRRRRLLLAVGQISAGGGGATNRIHPNPSAFFLSHIYSFTSVAPAPAPDYEPCPATVSYLVSCGVSPATAAIRKVRIRNKDKADAVRDLLREYGFSDAEVTRIVGMDPVLLTFDPDRIIRPKLDFLISLGLPPRVIATEPHILARSLDNHIIPCIDFLRGVLGSDENLRLAISRIPRALMSDLDNNMRPAVEAFRRHGLSDEAIGKLLVIHLGIIMMPLDRISEVFGDLKELGLCLTDTNSLYAFRVLCSLKREKWERKVELYRSFGVSKDVLNRAFKTQPTMLLASEESIKKKVRFFTDVLKFEMSVVMQQPLALSVSLEKCIKPRCAVLSVLMREGKIEGKLNIIAVLITNAKVFSERFVLKYADEVPDVVEAFEGRIKFEGFGDRELELLHRARTRRPGIDHGEIVADAGVRCNPNK >Et_1A_004823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10400682:10402376:1 gene:Et_1A_004823 transcript:Et_1A_004823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAWASPFELAVERAASLPLLAMDLAVDVLFALDIAASSFLPCLNRKADRNKESAGVTAHLTRRPWLLAMDVASTVPFQVIYHLAGGRASSWSSPCRVLSLLRLWRLQRVSDLFAALEKDIRVNYFWTRLVKLVGVVLFAVHAAACVHLWMAAHYGGPKDRTWLGRGFETRSVWAGYTRAAYWSVATLTTVGYGDLHPANPVEMAFAVFYVLFNMGLGSYIVGNMTNLVVQGATSALTLRDTLRGLAMFGAVNRLPEALTEKMAASAELGFDAAEQHLHQQQILSELPRAVRAGIAQHLFRDTVEGAYLFRGVSDGLVADLVADMTAQYFPPKADIVQRSETPTECYVIVSGSVDVLATADDGTETVVMRAGPRGMAGEIGVVLNIPQPFTVRCRRLTQVVRVSQSHLLRAVRPHTADGDRVFCNFVRHLESPVFQVAREEAPSFKRILDRVQASAAASASVSGRSDMLDVEGQEEDGHSMWPRRELKRVVMHQPFANVAGKLVRLPDTLQDLMRVAAAMFGTAVRTVLTVDGAEVDDIRALRDGDHLFLC >Et_6A_046209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19397:23992:-1 gene:Et_6A_046209 transcript:Et_6A_046209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCAACDENSKDKKRARVLELSRRLKHRGPDWSGLSQVGDCYLSHQRLAIIDPASGDQPLYNEDKSVVVTVNGEIYNHHHLRDQLSASGHKFRTGSDCEVIAHLYEEHGEELVDMLDGVFAFVLLDSRDGSFLAARDAIGVTPLYVGWGIDGSVCIASEMKALHDECEHFEIFPPGHLYSSANNGGFRRWYNPPWFSSEDMIPSVPYDPLALRKAFEKAVTKRLMTDVPFGVLLSGGLDSSLVAAVTVRHLAETEAAKHWGNKLHSFCVGLEGSPDLKAAREVADYLGTDHTEFHFTVQDGIDAIEDVIYHTETYDVTTIRASTPMFLMSRKIKALGVKMVISGEGSDEIFGGYLYFHKAPNKDEFHRETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKEFINEAMSIDPEWKMVRPDLGRIEKWVLRKAFDDEEQPFLPKHILYRQKEQFSDGVGYSWIDGLKAHAESNVTDKMMSNAQFIFPHNTPTTKEGYYYRMIFERFFPQKPAILTVPGGPSVACSTAKAVEWDAQWSGNLDPSGRAALGVHLSAYEQPEHQLPATIAAGASSKKPMTTIEVAAPGVGSQDWRVEGDGTVETSERMVGGCGDGGGCCAGNFD >Et_3A_023583.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7421344:7421517:1 gene:Et_3A_023583 transcript:Et_3A_023583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLKTELVDLVCPFALGGARLPLSYEKWERLLLFFQGSPWLQRELWSLAESLTRPI >Et_2A_014522.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:19059219:19060421:-1 gene:Et_2A_014522 transcript:Et_2A_014522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding APFAAGDLAGAAAQAGDLAVGPASRARARQRTSPTTTPHPSTLHSLLLRLARRRDHLPHALALLRRLPSTPSPRLLLPLLLAVLRLRRPPDLFLSTFSSLFVSGPNPLPLHPQLFLRLLSTLSSTSSHFPSALHLLRFVSSRLTLPEPLVLASHNLLIDAAARSGHVAVSLSLFHRLRSLHVAPDAHTYRILTQSLCRKAQVRTAATLLDEMLHRGIPADPLAYTTVLNALCRKKQLREAYRLLCLMRGRGVSPDIVHYNTVIVGMCREGRPLDACKIIVDMEDSRCAPNAVTYATLVNGLCVRGLYDKAQAYREDMVGKGFEPHFSVFHSVIKGCCDVGKVKHAAQIMSLMLDLGVVPHVESWSSVIRSICNDEGNIEALVLPLVTGRQHILNAT >Et_3A_027074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32059989:32062176:1 gene:Et_3A_027074 transcript:Et_3A_027074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRDTEAAGQELKGSGYTIAATAHAVNTDSWQQVGLLLVIGFNCAYVLSFSNLMMVPLGWGWGVACLLLVGGAAWYANWLLAGLHVVDGQRFIRYRDLMGFVFGTNMYYLTWFLQFTTLLLCNMGFILLGARALKAINVEFTHSPARLQWFIAATGAVYFAFAYLVPTISAMRNWLATSAALTIAFDVTLLAVLVKDGVICIVVGVSSKGKSNNQKDYNVHGTQAEKVFNALGAVAAILVCNTSGLLPEIQVLWKSITFEFLKLVRRRAAGCLAVVASSELSTLREPAVRNMRRALLLQYTAGAAVYYGVSVAGYWAYGSTVSEYLPNQLSGPRWAAVLINAAAFLQSVVSQHLFAVPIHEAMDTRLQRLDEGMFSRHNLSRRFVSRGLIFGFNIFVTALFPFMGDFVNLFGSFALFPLTFMFPSMVVLKIKAKSGGKWNRIWHWSVIVLSTVLGIATTAAAVRLIFNNARVYHFFADM >Et_10B_004172.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17497854:17499635:-1 gene:Et_10B_004172 transcript:Et_10B_004172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSSTLTPVLIHLLRGASDLASVAAIHAKLLKSGASSTAASSNHLLAAYCRYGATGLARDLFDGMRARDVVSWTTLMSGYAASGRPRDAIFVLRAMAFSGVQPNAVTFSTAASACARLSDAGLGRQVHARAEIAACARDAVVATALVDMYGKAGRVEDARAVFDVMAALARNVVSWGAMLSVYAQNALGNEAIQLFAELRTEGNEVAPNHFMLSSVLSACAGVARLGIGKCIHGAVFRLGYEGDDVVAVALVDMYSKCGCFEYSRMVFDRIRHPSVIPYTSIIVAAAKYGLGRCALTLFNEMKDRGVQPNYVTLLGVMHACNHSGLVDTGLHLLRSMQSKHGIAPRSSHYTSAVDMLGRAGRLEEAFELANEAQVEGNDAAMMWNSLLSACRTYKRADLATMAWKRMSEFNQDVAGGLVLMSNAYVSAGQTGNAAAVWSSMRRRGIRKDPGCSWIEVKDIPYVFYAGAISCAGARADEVLKLLDELEVKIRQKGYNGKLGSIRVPDARKDDGEEGRGVMVGVHSEILALGFGLLVVPKGMTIRVMKNLRMCCDCHEAFKLISGIVEREFVVRDLNRFHHFKMGSCSCNDYW >Et_9B_063981.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:893015:893185:-1 gene:Et_9B_063981 transcript:Et_9B_063981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFDPWPVFFRREWSRNWPFLTGFAVTGYLIVKMTANFTEEDLKNSKFVQEHKKH >Et_2B_021591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3361624:3363109:-1 gene:Et_2B_021591 transcript:Et_2B_021591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVRGGAGGRGSDAGALPLPPPPRLAAVSSSTPSAAAAAASIRAHLARTGSGIDCQASPRSLLSRILLRGGVDGGSGGGGFGCRVRLPRRYGKEERKDGSGEQGGDTPRVKVVERPPPELPPIETPRSSLGRKKPEEELVSMNLGLGASLVLLLSKSAVELNKMVELRAQMEALVSEIRHAAQRKENHSAAAAPTAAASQESNGSSSSAATTTAVKDPIAFPATDVDAASNCSRTTDTALSGRAGVVIDQMEAELQVELNRLQRGTVHGDTRAAPMQGLECSVLAPRCLVTKQKYNFPMRARTYHEAQCTFAVFFPVALPMLKVKTKGNHVPDSPSRSCRRQQERIVELESALDSAQRRLHEKEREVVWWRDAAKLVSHRRDESRRILR >Et_10A_001274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22948395:22952470:1 gene:Et_10A_001274 transcript:Et_10A_001274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLHLIRHMSLQRQRDLRRRRRQDQAPNNVGMVASGPKRKGSPCQRDGDYQGDEVKMYLGPSLPEDIWQYIHSLMPMRDAARAACVSRAFVPSWRCHPNLTFSNETFGLNKNARGKDGSASIFSSISMYNFPYSLLSNGSGNSIRYLHLVRCSFCPTTTLSCLRSLTRLYLCHVRVTGDELGCIFPHSLALERLEVRYCDGIVRLKVPCILQRLSYLEVLGGDRLEAIDNEAPNISTFLFEGDNAVKLSLGETIQMKRLKIQCSGSVFYARTELPSRMPNLEALTLRSDRERVTPMLSSKFLHLRHLSIGLNGVTFPSTYDYLSLAHFLDAAPYLETFLLDVNPSDLRRMQEHGHHNLKNVRIRNFCCAKSLVELACHVAESVMCSDRDNRSGKCCPMDRDILVEAHRAILAIRRYIEPKVPSTVQQCSSLQLKCASQKRTVPVVKLKSGSWEQELSCGGGF >Et_4A_032053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14026209:14027369:1 gene:Et_4A_032053 transcript:Et_4A_032053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRPSLPHGLPRPPSHAAGLRRLSTRASAPSTPRAPAASSPTSAASGPAPSPSAVLAHLAAAGVSVLPGLSATEVAFAEAALGGVQLPPDLRELLAVGVPAGDGFPDYRSPAGLRLLRFAAQEVPAAVAATLPLAPGRRAAAPPPPRPPLVPLYGRHFVPAMPCLAGNPVFHVSDAGVTFAGANIVDFLLRAFAAEPAPGAPLRRQLSAPVPPPVAPPSTARRSLDSVTGKAPRWIEFWTDAAAAGDRFLEVPAATGATKITAAAMPEWLRSKLRRGGWAAEEVVEMLGPLLGPRKPRRAAAALPPDVAARVGRLAEAVSRAVGSRGKSRPPRPS >Et_4B_037039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13980207:13983875:1 gene:Et_4B_037039 transcript:Et_4B_037039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLAHSNSDGDCSSTSSEQSRQDVSAVSDSSLNGQYTPIHTDNNDNCGNQDQGTIKSVLSLGNPESAFSPQKFDYSQPLACVSYPYAADSYYGGILTGYTSNANTHPNGTTNSRVPLPVEPAAEEPIFVNAKQYHAILRRRQVRAKLEAQNKLVKARKPYLHESRHRHAMKRARGSGGRFLNKKELQEQQKAPPSPQTPTGGVSKVGNGGNPYTANRTSKLPATPTSSGISSVSNGGGMLAHQGHISFSSTNFVPSMNFSAQNRGEKMAVNGVPYRASTVR >Et_1A_004769.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:39442030:39443438:1 gene:Et_1A_004769 transcript:Et_1A_004769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNMLRSFQNLPHLRRCPRRASLLLCRPYTRAPPPSPRPHHTGDIVRWNSAINAHLRAGRVGDARRVFEEMPERNVFTWNCMVSGLIRNRMLADARKVFDAMPFRNSVSWAAMLTGYARFGRVAEARELFDRMPDRNVVSWNAMMSGYMRNGMVDRARELFDMMPSRDNVSWLTMISGYMKRKRVREARELFNRAPSPSTSVCNALLSGYAEHGFLKDAENLFGQMQRRDLVSWNVMITSYARAGMMEAAQRLFDEMKEKDTVSWTTIVRGYLQNGDVDAAWKVFQDMPDRDVLAWNTMMGGFVQSERLDDALRLFADMPSRDLVSWNTILQGYVQLGDMDSANTWFRRMPEKNETSWNTLISGYKDEGALSLLSEMTRGGYRPDQATWSVVISICASLVALVCGRMIHVCTIKTGFEHDALVMSSLISMYSKCGLINEASQVFQRILQR >Et_7A_050298.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:21333638:21334776:1 gene:Et_7A_050298 transcript:Et_7A_050298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVDVVSEFCNLPRTRRHIKKRKQFQVRIESIICVSAFKTRHVTSRSVELVDDSLTGTNLLSESVCDPQTVEMKVRIDCEGCERKVKKALEDMKGVSSVDVSAKQHKVTVTGYVDAAKVMRRVAYKTGKRVEPWPYVPYEMVAHPYAPGAYDKKAPAGYVRNVVADPTAAPLARATSTEVRYTAAFSDENPNACSVM >Et_10A_002161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2730161:2739237:1 gene:Et_10A_002161 transcript:Et_10A_002161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINWMEVLLGCLSPDRVQGDDFFPFAPSPARCRAGGAGRRRARGSHGRGAAAHERGQGGPVYKLRRKRKVRSYLITDRRKFGFATVEVKVTWVEYRHNTLSFDPFRPLRDQNAATSRGGAESSPVRRQGPSFPARGVRLQHLVVAVSAAATSASLVFPSSSGSSSASSTSLASSTGSAVATPGSGAESYKLLRMEAFRDLSAIASHMVNDGYTEGLIMEFSRRQRCSGGDAILRTWFSELGVDWVLGVDEIKFQEEPWSAVEDLIKRWVVAFAVMAEALRLSSPGLCSGSGDGVGTLPTKVTRSAPPGAAPTTSSMASPVSSGSSDLDQESSDPARNRSVDVATVKEAIIAYSAAEKGRLFSFGGYKGAGPQNQFVLFAEASLMKMLRFPDAVSDLRRSPEKILRMIDMYAVVSDVCPGLLALLSGGSKDLVSDRIGSVLKAMSDVVMQILHNLTERIQAGDSWKMVSGNDDIHPVNQYVLNYINLLLENRTVLDSILMTAEDQESILFAAENPSLTSTVMDLINVLDTMLEVKSNEYAPPGRRYIFLLNNAYFILQQAEPALQSFLGTSSWSVYRNPQIDRYIKGYLDASWGPVTACLAAKQRRNSVFRKVSALVEFNALLQVTYNTEKFWKINSPQLRSTLRELVSKKVMPAYRAYLKTDALNVTSATYTLEELEVFDTFDVEESLGTVMRSTRSKESSRKKYSSISLMKWVFPIPARPEIPTMPPSWSFTRAIAACRVRSLLANPVETSIGCGSFTPCTISAPSAQIIVTWGIISDASLDTI >Et_5B_043705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14320623:14326552:1 gene:Et_5B_043705 transcript:Et_5B_043705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLLAVSSRPMAELLLIGVVGAYLATARCNVLTATARSDINPVFTPALMLASLATTVTLQDVISWWFMPVNIGLAFLIGGALGWLAVLILKPPQHLRGLVVASCSAANFGNLLLVVIQAVCEQDGNPFRMAKTICSNHGLSYASFSMAIGGVYIWTYTHGVMKRSGEIQRKLRQESIGVVTCVVDPNNSVASEDDKESSKDDDEDEISLSAKSVRDKAEHQILVPLLEGSTNHHQSGGTNSLWEKLKQRAHQIVEELTAPPTMGLRKSKVPPAVITAIIFLRYVILPLIGIGVVRVARDLGFLPPDPLYQYTLMLHFAVPPAMSIGTMAQLYDVGQEECSVILLWTYLIAALALTIWSTVFMWILQLP >Et_2B_019263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20591630:20592409:-1 gene:Et_2B_019263 transcript:Et_2B_019263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGGGAAEKAAGDAAAAAAGAGGGAKITRVKLLKPKDTLLLGQVYRLITTQEVNKALRARKHEKMQRCEAIRQQHEQLRRGDLAGDGAEQSARDQSFQDGKREKDRHRGAGATGRGRHWRPALQSISEAASQSSVSESSVS >Et_6A_047999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3171180:3173096:1 gene:Et_6A_047999 transcript:Et_6A_047999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRAAAVLAVSLVVVVSSLVMRFPVVAGDQAAGSGPDTNVLCISKCETCPTICSSSPPSIIPLTAPPPPSQSAPPPPYLELVLPPPPTDEFDLLPPPTPPAPTMTTTSPPQSPCPPSSSSSSEQPPPSPSPPPPTTKSTSSDNGGGGGSSSSPPSPPSASHFSSPPSPPSSSNPYYYLYLSGGAKTRGGAWSACTALVLAALLPAVTFFMPMNVACSLWLDMSWWNNGNAVGSRNTTRRDD >Et_4B_037809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23610939:23612134:1 gene:Et_4B_037809 transcript:Et_4B_037809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRLCKLLLVACLAAAASCGADAGVDERMIQVPAAGHGAVGVPAAAPVPSASTAAAVLQPERGGGGKTKPAPPRRHQPPPRQAPRPPRHQAPPPPAPRPPTPSPPRQAPRPPRHQAPPSPAPRAPTPSPSAPAPATAMLPAAVDWRVHGAVTVPKDEGQELGASWAFAAVAAIEGAQQISKGKLVALSAQQLVDCANAQHFTPREALAWVLKNGGITSDAQYPYTGKQGSCSKASIHDFAATITSYSSIDNVTELALMAAVARQPVAVRIAVQMDQFRAYEPGSIYAGPCADKLNHALTVVGYGTSNKGEAYWIAKNSFGSGWGNEGYVFIKRGGDDTAQGGLCGIAKRAVFPTVTAG >Et_1B_013949.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25224121:25224870:-1 gene:Et_1B_013949 transcript:Et_1B_013949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRRRGGGLRIKKKARGFMCGCGGSKAVSISDGSEKSAMATPPTAVSSTPTTTSTTCTTTSTTATRRAGKNKAAAAETQTHSSSFSPSSCYADTDDAGSSMEESTPSLSALLRQLRDLERSVRFLHAAAPADDDDAVKNDNGGGSRRHRRTASGGRVEESVAVVKESADPLGDFRRSMLQMIVEKEIVGGAELRELLHRFLSLNAPHHHHLILRAFAEIWEEVFAGYDRTPDFLAAHRHKKQLHAARA >Et_7A_051674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22400200:22403853:-1 gene:Et_7A_051674 transcript:Et_7A_051674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILVAVKRVVDYAVKVRVKPDRTGVETANVKMSMNPFCEIAVEEALRLREAGAATEVIAATIGPAQSADTLRTALAMGADRAVHVLHEPDPVRPLLPLAVAKILRAVALQEKPGLVILGKQAIDDDCNQTGQMLAGLLQWPQGTFASKVLLDTEKQKATMAREVDGGIETICLDLPAVITTDLRLNQPRYATLPNIMKAKSKVIKKVTPEDLNVDIRSDLEVIEVTEPPKRKAGVILSSVDELLHKLKNEARVLCVVV >Et_1A_006073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17468436:17469219:1 gene:Et_1A_006073 transcript:Et_1A_006073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTMDAMASAGSSVQMPFGPSRKRNGDGIDRCTLLESLAELPPLRRDVQELNVVPAGAGHGEAERLVREPGERVPVGAPVPGHLDPPGLAALDPRAAHGSAAGEVLDVDELEVVEAGDGEAHAAAAVAPDALHCPHDTPSLNSTELSAALLTPYPASYRLPYPHAPPARIQ >Et_3B_028894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20134692:20141593:1 gene:Et_3B_028894 transcript:Et_3B_028894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSVDEAGALLARSDSAGRRRRSTSPVRSAWPRPPGARGGNLRRQSSSFREDVEHAASETYLVSRLTFSLLKYLGLGYRWMSQLLALTTYAILLMPGFIQVGYYYFFSSQVRRSIVYGDQPRNRLDLYIPEDNSRPRPVMAFVTGGAWIIGYKAWGALLGRRLAERGIIVACIDYRNFPQGTIGDMVRDASEGISFVCNNIASYGGDPNQIYLMGQSAGAHIAACALMEQAGKESSRQPVSWSVTQVKAYFGLSGGYNIHNLVDHFNERGLHRSIFLSIMEGEESLSRYSPEIVAKKSSAETIALLPLIVLMHGTEDYSIPSSASQTFADVLQKTGAQARLLLYEGKTHTDIFLQDPLRGGRDPLVEDILSVIYADDAIARQKIALAPIPRRLAFEWQLKLARRVWLRMLFQRHLYTKSISMAKLASADFVPSHEERALGRTMDIASFLGLR >Et_5B_044581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3738239:3740647:-1 gene:Et_5B_044581 transcript:Et_5B_044581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPNEMMSYSSLSTIQSSHNQRPALSNGVTGNIYPNQDYLYEPSLEPDFPEYEAREDPFATTEASPKVNLKTVLGGLVSIVSGAIKSDADILQQPSVSTDVSFLGSDKNGDVDLHESVCFPSAPPQNETNELQYSRYREVLLSDPPEWLPDSSTNACMQCHSPFTALTRGRHHCRFCGGIFCKECSKGRCMMPMKFRIRDPQRVCDACYERLDPLQALLIYYNSNSMQQAKHDVMDWTSTRSWLNMPVGVSMEYEIYKATNTMKKYCQVARLNPEKSIPSSILKGAKGLAVLTVAKAGAVLTYKVGTGLVVARREDGSWSAPSAILSVGLGWGVQIGGELTDFIIVLHDDKAVKTFSSRIHLSLGAGLSAAAGPVGRAFEADVRTSEKGSGICYTYSCSKGAFVGVSLEGNVVTTRRETNLRFYGDQYLTATDILFGNVEAKAAQPLYLALDDLFSKMVR >Et_3B_031734.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:9215570:9215833:1 gene:Et_3B_031734 transcript:Et_3B_031734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALESLLLVPVTASMLTSTDVAGLRGHESERVRRLARGVFGRWRASIEGDLCRVRAALEKLSRIWQEDEAQAVAAPFAGDGRVRS >Et_6B_050001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:321400:321788:-1 gene:Et_6B_050001 transcript:Et_6B_050001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPTHDYPTIEPTSFDVVICGTGLPESVLAAACAAAGKTVLHVDPNPFYGSVYSSVPLPSLPSFLSPDPSDSVPLPLSGDDLHTVVDLHRRNIYSEVEISGAAPEPSGRFTVDLGAVLRRRGR >Et_8A_057618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5272741:5276618:1 gene:Et_8A_057618 transcript:Et_8A_057618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFFFFGAVGVLIVVTLAVFLLHASRRSRRAAEQARLPPGSMGLPYVGETLQLYSQNPNVFFAIRQKRYGDIFKTNLLGCRCVMLASPEAAHFVLVSQAHLFKPTYPPSKEQLIGPNALFFHQGGYHQRLRRLVQRSLGPDALRALVPDVEAAAASTLRAWAGGSVASTFHAMKKLSFDVGVVTIFGRRLDQRFKEELRRNYFVVERGYNSFPNRLPGSRYQKAMQARKGLRATLGEVVAARRAQDDAAGGECGLLDTFMQRRDDSGAALTDDQITDNVIGVLFAAQDTTASVLTWILKYLHDNPKLLEAVKEEQMEVYKENDGGKEPLTWAQTRGMPLTHRVIMERFLIPKGWKVMPLFRNIHHSPEYFWDPQTFDPSRFKASPRPGTFLPFGSGVHACPGNELAKLEMLVLVHRLVTSYRWEVVGPSDEVAYSPFPVPKHGLKARLWSVADEEA >Et_7A_052170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5245739:5249990:-1 gene:Et_7A_052170 transcript:Et_7A_052170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGREGRSGAALARSLSPLALALALLSTMPGGVFSQGLALPPLSPQYPNLTCDSMPYPFGVRKASLPGFGVICGQNGEALLPIGENRYQISAVSLPEGIVVISAGPISQVCYDRNGKPTPDNGTGAEAMSLEGTPFTFSKGNKLVNVGCNHNLKANFTNPPGDFNRWPFASCTTSCGGSSDAIIDGACSGEACCEFEIPDQVTAAQSFTLSFNRTTQIVADEEYGTCSAAFFLAQDEKVFTFKDADERAIPLREALVLTGDRRMVLDWAIGDSTCDQAQGDSLEPLCRNTGQCVDAPRGAGYLCKCPDGYDGNPYVSDGCKDIDECQHPSTNNCAYPVPKFCHNTPGSYTCSCPDNMTGDGYQTGTGCSVPFSIGPSVCDHPENNPCTHSKYCIVVEGSASCSCPQGMSGDGRKMGSGCQGTFPLDTVLGVSLALVITITTAGLCSCWAMKKREVERKRADLFRKNGGLLLQQKFSAITTQEEGLPAKIFSAEELKTATDNYSESRILGKGGSGTVYKGILSDKTVVAIKKSKIFDESQVEQFVNEITILSQVDHPNVVKLFGCCLETQVPLLVYEFVPNGTLFQHIHNRNVPCPLTWEDSLRIATEIADALAYLHSTSSIPIIHRDIKSTNILLDENFVAKIADFGASRSVPFDQTHVTTLIQGTIGYLDPEYFQSSQLTEKSDVYSFGVVLAELLTRQTPISVARPEESRNLAMYLVIMFNEGHLLQVIEPQILAEAGHDQLYATAELSVRCLNLKGEERPCMKEVASILDGLKRSFSVEQNIRRKDELVQKNSEQGGGRLLCETRPISTLQSSEVSTECSMEAEILSSLHMPR >Et_8B_058558.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:17767460:17767723:-1 gene:Et_8B_058558 transcript:Et_8B_058558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNHRGGSFFLLELRTLKTTVIDAATLRVCAKLPPPPGGVRDIDAAYLAPASGDEALMLLHRAGDRRGTLITEAFRARLKRKPRWAR >Et_8A_058356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5764577:5768045:-1 gene:Et_8A_058356 transcript:Et_8A_058356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDLIRSDPIFFVSAAAKWKSGIAVVVDRGNRRILDPVVNALPRLSEGNTREEFTVSQIQLRRDTHASQCPGHTTRTKQRGGCAGGGAAAAGPEEALMNHMAFCAKCRSPSFRVAGGMRQLLVCRSK >Et_9B_066157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5208037:5209227:1 gene:Et_9B_066157 transcript:Et_9B_066157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKNKLLPAAVLLLLVAFLATATVSGAWKLDDEYAPLIHMLRPRAASGGHLGRSGGVPCDSWRFAVETNNARDWKTVPEHCEGYVGNYMIGGHYARDSKAVVDEAVAYAESLNLTGTGKEVWVFDVDETTLSNLPYYAKHGFGAEPFNSKEFGNYAKEANAPALPESLRLFNRLKALGIKPVILTGRREDKREATARNLERAGYYGYEKLLVKPQDLSGVSSLEFKAGERKKLEDAGYIIVGNIGDQWTDILGAPEGARTFKLPDPMYYVG >Et_4A_033715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26170395:26172732:1 gene:Et_4A_033715 transcript:Et_4A_033715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKLSFAFILLLSGLIVFGEVGGANAVDCSLIRCSQPGYITCKNYPGKLDGCACVCAPDDGKHCVLHLQDGTSNKCGRTE >Et_2A_018761.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:6914921:6915430:1 gene:Et_2A_018761 transcript:Et_2A_018761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMVARQGRELQRYSDSTGGRIVVGCVPYRVRDSDGGVEVLVISSQKKGAAGGVLLPKGGWELDESMEEAAAREAMEEAGVAGELAARPLGAWKYRSRSYDATYEGIVLPMRVTRVHERWPEMGARRREWVSPAEAVARCHHAWMREAIQTFVDLRTAEAALIGSAL >Et_10B_003217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17810233:17814726:-1 gene:Et_10B_003217 transcript:Et_10B_003217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDKGGAPVAMDQESVSGGGGSFASLRAYGRALAQTPRRVARRACAATAPGEELSRVRARSGADMARALRWWDLVGLGLGGMVGAGVFVTTGRATRLYAGPGVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDSPSKWRIAVPGLPEGFNQVDLVAVGVILLITVCICYRGYVLVFDSALQLLWCFALISSSDSTSFRQSMANGRNPGGFFPHGAVGVFNGAAMVYLSYIGYDAVSTMAEEVERPARDIPIGVSGSVVVVTALYCLMAASMSMLLSYDAIDPDAPFSGAFKGRDGWGWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLAKVHPRTATPVNASAFLGVFTAALALFTELDILLNLVCIGTLFVFYMVANAVIYRRYAGAASTDQPRAAWPTLAFLSAFSLVALAFTLVWKLAPPHAGGVRTGLLAACGALAVAVVAAFQALVPQARAPELWGVPAMPWVPAASVFLNVFLLGSLDRPSYVRFGVFSAAAVLVYVLYSVHASYDAEEGGGGGAKVQDEACKV >Et_5A_042290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8347286:8357866:-1 gene:Et_5A_042290 transcript:Et_5A_042290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRLLVLVLGAALPLLFVLADKSHKKTVGVKAGGVGVNYGRVANDLPDPASVAQLLKQNGITMVRIYDANPEVLRSLANTGIKVMVMVPNENLADAAGNPSYALDWVRSNVAAYLPATQIDGVAVGNEVFKSRPDLNPQLVPAMTNVHAALQNLGLADAVKVTTPIAFDAVTDSFPPSKGRFQDNMAQSVMKPLLDFLQRTGSYLTMNIYPFFAYADPSSTISLDYALGNPNPGVVDPLTGLVYHSLLDAQLDAAYYATEKLGYNTVRWRTSLLGSNAAVLMTPSEDGWSSGGKGPKLEGGVGAEAAASIANAQAYNNNLIKRVLSGNTGTPHRPDADMDVYIFALFNENGKGDDIERNFGLFYPNMQKVYEFDFHHPGPSPGPPGASWCVANAAVGEDRLQATLDYACGHGADCSGIQPGAVCYEPNTRLAHASYAVNSYYQNKGRASGTCDFSGAAFVVFQEPAEICDPNASWCLANAAVGEARLQEALDWACGHGADCGPIQPGASCFEPNTRVAHASYAFNSYYQRNHRAPGTCDFGGAATVVFKAPTYDFDFHPSWCVANAAVGEDRLQKGLDWACGNGADCGAIQPGGKCFEPDTRVAHASYAFNSFYQRSHRAPGTCDFGGAAYVVYHAPKYGNCVLPLKASSEATPAKSEEGYAAI >Et_5A_040718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11499251:11504201:-1 gene:Et_5A_040718 transcript:Et_5A_040718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRSQVEANLGSGLSLGNQTISSIRPPLPCGSGSATALAEFTNLKKDVSCMFPLEPLPFAAADPPPPFPTPMDPADGSRAASSLDAVAAAFQSRVVELQDLVLARNMFPATVMPDLTAVDATVTALESQVQAIRRRIQEERDAIPQAKKLVERSMKQQERLQHMLANLPSGMHEDVFATPMVQNASRMSSECFDLDSNVPQVTERDFKIKEEPVAAPRKKGREPAPRLYILAEELDSLSSYMRGRLTMEKVNIAINEVATYADANAHLVSCPKKKLSEDTWEKALELRDIAATKEVKGKHFFLETDIKGPGLKLDNSGKAILTVSIYNLDIYCECYDVETGDVFK >Et_3B_029561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2622165:2624269:-1 gene:Et_3B_029561 transcript:Et_3B_029561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAATRLAASPLLLLLLLASASLSIGAAMAGHVLGGTRENPAAANSAEADGLARFAIDEHNKKQNALLEFVRVVEAKEQVVAGTLHHLTLEAIEAGTKKLYEAKVWVKPWLDYKELQDFQHKGEATSFTNADLGAKKGGHEPGWRDVPVHDPVVKDAADHAVKSIQGRSNSLFPYELLEIIRAKAEVVEDFAKFDILMKLKRGSKEEKIKAEVHKNLEGAFVLNQHQVEHDESSSQ >Et_4B_038802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:533265:541589:1 gene:Et_4B_038802 transcript:Et_4B_038802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAEAQCPSGDPMDFSWTAGVGEEAAACASLDRDEEATSAPAPAPALSPEEVAESMILVPGARVVASGLRLGDCRSDDSVLFINAGGRAIEGCDPAVKVSDDSFFEGGDVVQTNEILAEGGDCPSLYHSARHGTFSYKFDGLVPGDYFLDLHFAEILYTHGPKGIRVFDVLVQDEKILSLLDMYAVVGGNRPLQVCDIRVTVERNGVLMINFKGARGSPMVCGICIRKARLSAEQALGRESDQLRSVSQNYENDKKLWVAAISNLERKIKIMKQEHALLSLEAHDCANAIPDLSKMIGAVQALVAQCEDLKLKHYEEMTKRKKLHNIVQETKGNIRVFCRCRPLSKDEVSSGQKGVVEFDGASDGDIVIANPGTTKKSFKFDRVFTPKDDQAVVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTETNRGVNYRTLEELFKIAEERKETVTYKLSVSVLEVYNEQIRDLLSTSPSSKKLEIKQDTEGSNHVPGIVEAKVENIKEVWDVLRAGSNARAVGSNNVNEHSSRSHCMLCIMVRAKNLINGECTSSKLWLVDLAGSERLAKTDAQGERLKEAQNINRSLSALGDVISALATKNSHIPYRNSKLTHLLQDSLGGDSKALMFVQISPSDNDVSETLSSLNFASRVRRIELGPAKKHVDTAELQKVKQMLERAKQDARIKEDSLRKHEETIQNLETRAKGKEQLCKNLQEKVKELEGQLDSKTQSQITSEKQQRQLSGKVKEKEELCTALRQKIVELECKLKQPSQSDCEVAVLKQTIKELELKLEEQEHIRSAAELKIREQELNLKEQEHQRSVAELKNKELELKLKEQELKAREIGHQLLEAQKTESMLHAKVAFFLTGAILYSILHLCGESNAKLRLSVFQLRELENNLQERTKVQDTNVMVASTTSTTVATPREAKLPLVSMEEAMTEKENRVLRSSNSLNKQLVSENSSLPEAREVVNEKKRKGDARNASIGGEENNVVAGQNVARKKSLPGEPRAKRKSTEPQAKNQGRRHHREPPPPLHTRWLLAPESHGSQQAGPKLEDGLATMCRATTIDDVPHGAGFLRPSRNAAARLDIRALYLRLSTPAAPAELSLVYLPAIGGAALALNGRALPPAAAAELKLQQVAGDAAGYASADRVAAAEGARFEVYSGKERAAEGAFVRLPQGGGWRVECRRHGSTAAAVAEVVVLAEGGTLMRAKARASRWGLGCGATRLEGIPEEATDVGWGCECGEEEWEVVGDDDGVEASKEEVEMETVRWAMEMGVWAVCLGVGLLATARRFRRKRAFW >Et_10A_002120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23015796:23021836:-1 gene:Et_10A_002120 transcript:Et_10A_002120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSTLEQRLPLLLVTIFAMYNNLGGLGVEGVALDVVETGGADLLGQRAGPAEEPPRVVGGQRLGAAAGRAPGQAEAPPHLDPPVAAVEQPARAHSATPAPPTRKLGTKLHACSVKLGMAANTFAMNHLLTFYSRRGLLACALDVFDEMPHRNLVSWTSMVSGCMRNGAPEIGLGLFVCIVRSGFCPNEFPLTNALGACQSMEHAKLGLSLHGLAAKVGLDGNPYVGSSLLLMYANHGASVWQHTVQRLGMLECNVGGLCLQWLPASLIDSKLNFGRQLHGLVIHNMFESDTSVMNALLDMYLKAGLKESAMAVFNKIQRKDIVSWNTVISGLAYDEDERAAAGCFVDMLRCGCKPSQVTFSVMLRLSGDKENACLGLQIFGLAYCHGYSENVLVANSIINMLSRCGFLNSAYCFFSNLTVRNVVTWNEMIAGYGLHSCSEDVMRLFRSLLCFGARPDEFTYPAVLSAFQQAHDTRNHEQIHTSILKHGFASCQFVSTSLIKAMAASGLLQSSLKVIEEGGKMDLVSWGVTISAFLKHGMNDEVLYLFNLFGGDCSENPDEFILASILNACANAALIRQCKCIHSLVFRTGHSRHFCVASALVDAYAKCGDITAAESAFTDISSGTGDAILCNTMLTAYANHGLVQKTLSLYQEMKQLQLTPTPATFVAVISACSHLGLVEQGKLLFASMLSDQGMNPTRANYACLVDLLARKGLLEEAKGIIKAMPFQPWPAVWRSLMNGCRIHGNKALGVLAAEHILRMAPNSDGAYVSLSNVYAETGEWQYAEVTRRRMAEYQVQKQCSEATGWLLLCNCSFPTTLHHCSIPKKLMFYSSNFVIRSCHCGVGWWLLWYYCLHWDIRIMSIPSHSNSIHFSINVAGTDRAISMRATSAAANFHSRHVARTLVQRAVTLPRPCLKSITTLEPTAARASFAHRSSSSPHGVAAPPFSCCLNADVSSPAAHPSRMISNSNARARETCPPSRSMPTSSDSACSSHRRPRRIASRAASIAASTSPAATQPATTTPNVVTSGALHAVHHPSRAGQVALVAERAEVGVVDAHARHEPAKEHFVEHLLDGVELPARAEGPQHPLELRKPRGHPVGVHLLLHDDPVRLRRLPLPQERVEDGAVVGPARRDAPPAHVVQERRRAAGGRALAEGGEDRAAVARVRVEEEVGHAAQEAGGGGGLVGAAERGGEDAKCGGVGGRVGRGAEEDGGGDAEERRRGGGAERGEEGVEGARGAEGGGEERGGGGEAPVARERQDQAVLVAAGFRARRQREAHLASSRTPLI >Et_2A_014673.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33841258:33841836:1 gene:Et_2A_014673 transcript:Et_2A_014673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPALSWSSVGSLVATAVVVRTAVREILPPEAHGMLRALLGHVASAFVQPPDNIVIHETDANGVPNELYDAAQLYLGDRCLAAAHAVHLHKAHGAPSAVASLPESHVACDVFRGVRVTWTSQSDGSVGTFSSGGRGRGYGGPPVSFGRQQRCLVLQFRRRDRATVRDAYIP >Et_10B_002575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16652273:16653280:1 gene:Et_10B_002575 transcript:Et_10B_002575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASSDRAEVDTSRAFRSVKEAVAVFGERILAREAAQFRPNATAHVDRHNIRAKNSMSKTVTIAAPSAKLEESHSKASASYSVKQGGSSSSSNNPAPDMLPMPVTSRPAPDDVPMYLVPSSPPFFASSPSLANDEFDDERERNKDAADLMVMGTVRKLEDEAARTRQDVAQLKKRIAEMELSMATLHAKLHRALSKLAHMEADRAAAERAAIERGRSGGDMALALWAERRGPSSGRPPLGHLMRFGGDADDGGEATPVTGSGGQMEMARPARRKVQKQKPIVPLVFPLIGGVLFSKKKRMKDKESMYMKELYNLLRLT >Et_5A_040535.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6222796:6223083:-1 gene:Et_5A_040535 transcript:Et_5A_040535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSIAIYLITTPTTARPVDINDPEVQELGSWAVMQHVKQANDGIKFRKVVSGDQTDKGRFRIYYDLIIDALMEGMASTRRRCSMEIWGSVTIS >Et_5A_041657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25551152:25554890:-1 gene:Et_5A_041657 transcript:Et_5A_041657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAARTRDNNGALLPRDVLWDILLRLPASDLCRLRLVCRSWRSITCDPTFAKAHSSRHLLVAGLHAVAAGDGGGCRREVQIVDLAGNVVRRISTGQTWDNSRNLSAYHDLLCVSQGYGETRVINPSASGDAVAVLPVDYNGIDKSRGSSSSSTTFSILGQVPSTGKYKVLRIHRCSDGGDPEQNCHIVTLDRHGSCGGMPWRVVPSQPPMRVSASPMHTSVVRGVAYFLPLHQANHTAANNPDDIASFDLLTEEWRPTPHRGPINSGEQQMATSPCLLTKLNGCLVTVCNTREFGEFSMDLWFSVDIEKGSWTKRYSLHCAPISLDGGFVYPLMVLDDERILIWELETAYDPRIFEWTDLATIPKYCSLVMFEGSLLCSAAATTSDRRSKLPAPPSIGDDDNDGVLLPMDVLCDVLLRLPAAELCRLRLVRRSWRSITSDPAFAKAHSFRHPLFAGLHAIAAGGGSGFRHEVQLVDLAGNVVRRISTGQTLYSSGNLNAHLDLLCVSQGYGNGNTCLINPNAGGGAVAVILPVDYSDMDKSIGGSRTFSILGQVPSTGTRCSASTGVPALVAASPSKIATS >Et_4A_031761.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:11433839:11434681:1 gene:Et_4A_031761 transcript:Et_4A_031761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNEIIMAYTELSLRSTMKKTSLVSMKRTSKPSTKCSTSMDSGRLVPIDSSNVLSATTRLCVHPAYALGPASLDQRWSLDSCMAANPSPPFKVAISSMCL >Et_7A_050461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16629439:16630002:-1 gene:Et_7A_050461 transcript:Et_7A_050461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGKTYEYFSTIPRMFADEPYDYVGKTDDDAYYRLAALADTLRDKPRDSLYHGMLTPCPGSLEWQYMAGFGYIVSWDIAEWISANEELRNDRGHEDMVFGQWVRKAGKFKNVYGQEPRMYDYWDSHELIADTVGVHKVKTRLKWARMLNFFNATQGLKPSKMYDVDRLKSNLYHV >Et_1A_006273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:193149:195444:1 gene:Et_1A_006273 transcript:Et_1A_006273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSSQPDNFSASQLTSSQNDSATPSKMRGASSTMPLTVKQIADAHQSASGEKGAPFVVDGVETANVRLVGMVNNKAERTTDVSFTLDDGTGRIDFIRWVNDAADSAETAEIQNGMYVSVIGSLKGLQERKRATAFSIRPITDFNEVPLHFIQCIRMHIENTKSKVGSPAPTSSFVAASVSNASSGSSTPTSLKSNLAPVSSATNGSDSGTNGSDTDLNEQVLKIFREPANVESEHGVHVDEICKRFKLPADKIMEAIKYNEDIGHIYSTIDDFHFKSAFTE >Et_2A_016441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24811040:24819760:-1 gene:Et_2A_016441 transcript:Et_2A_016441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSAAGAIAGVLMRAHAPLVRPPSRAFTGLAAARLPFLRARAAAASPSSSQRLRLLQRLGSARSFCGVARASLGGAATDSAAEQRLQESELIFIGTGTSEGIPRVSCLTDSTKNCPVCTKAAEPGNRNRRRNTCILLHHTTPSGTANILVDAGKFFYHSALQWFPAFGLRTIDAVIITHSHADAIGGLDCLRDWTNNVQPSIPIYVAERDYEVMKMTHYYLVDTSVVIPGAAVSALQFNIINEEPFTVHNLEVIPLPVWHGQGYRSLGFRFGDVCYISDVSDIPEETYKLLENCELLILDALRPDRSSSTHFGLPRALEEVRKIKPKKTLFTGMMHLMDHEKVNNDLAKLMETEGLDIQLSYDGLRIPVLLVEVYRVSLVHVKYDDYEILPRCDLWPPDNLLLNPREGLVHKVFIPAYLLHKAVDTRKQGAATQHPCSGIDDAVGGDEGL >Et_4A_032047.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:13686365:13686421:-1 gene:Et_4A_032047 transcript:Et_4A_032047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNPNFSLYLAFHSASS >Et_10B_003463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:206933:210395:1 gene:Et_10B_003463 transcript:Et_10B_003463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSKGTRHGVAPAVGLRAAAVAIAALLLCFKASVALTPDGEALLEMKLAFNATAQRLTSWRPSDPNPCGWEGISCSVPDLRVQSINLPYMQLGGIISPSIGKLDKLQRLALHQNSLHGSIPPDIKNCTELKAIYLRANYLQGGIPAEIGELVHLTILDLSSNLLRGAIPASIGSLTHLRFLNLSTNFFSGEIPNVGVLGTFKSSSFVGNLELCGLPIQKACRGTLGFPAVLPHSDPLSSSVVSPINNNKTSHFLNGIVIGSMSTLALALIAILGFLWVCLLSRKKNIGGNYVKMDKQGVPDGAKLVTYQWNLPYSSSEIIRRLELLDDEDVVGCGGFGTVYKMVMDDGTAFAVKRIDLQRQGRDRTFEKELEILGSIRHINLVNLRGYCQLPTAKLLIYDFVELGSLDCYLHGDAQEDQPLNWNARMKIALGSARGLAYLHHDCSPGIVHRDIKASNILLDISLEPRVSDFGLARLLVDNGAHVTTVVAGTFGYLAPEYLQNGHATEKSDVYSFGVLLLELVTGKRPTDSCFIKKGLNIVGWLNALTGEHRLEDIIDERCGDVEVEAVEAILDIAAMCTDADPGQRPSMSAVLKMLEEEILSPCMSELCYEQHLEL >Et_8B_060564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2337344:2337885:1 gene:Et_8B_060564 transcript:Et_8B_060564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHGTQSLLRHDNDNDDVKITKVINILSYPLTELDSMRHDKETCCVHLHENGRRLIVAPEARDSHRAYAGLSLVKVETESEATCTAVLTPPLSLEGGLAAELRPANLVQRVLSLFRNVRPGSDLSHFQCTTGSSLSWMAMFPLA >Et_10B_003038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15767178:15771257:1 gene:Et_10B_003038 transcript:Et_10B_003038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGALVFAGKSVVAPVIKEIITKAFRYLDGYFNSETMAEMKNKLDEGMVQIQVVLDVVRPDYIKGKTEALDQWFWNLRDAVEGAEDAIDELEYHDLKEKAKEHMISDWGSPFAKVKHKVVKSVKYGRIFDKSVKHFSHHGTLKRLKKAIEGIDKAAAGATIFLELVRFHQGETFSRSWQEGFVSTDRQTEKEKKQILEWLTKKTRVEDEAEIVINPVHVPIFSLVGHGGMGKTTLAQTICENDEVVKDFKIIWVTVSTRFDATSATRKILESVNRETLRTDSLEPLQQALKDNMKTFNKFFLILYDVWEEEKRSEWEKLFAPLRTMKGGNKILLTTRMASVAATAANVMGVAIESLTLEELQEDHNLELFNLHLRVIGERIARKLGGCPLVTKVVSGHLQGNMTSEFGNKINAIRDLKYLRELEVWGLQNLESIDEARSAKLKEKNSLMSLSLWWPASYSGTQNRIDDLVLDQLEPHANIKKLTIHGYRGLRPPFWMDNDNLTVKKLVSLRLEEFLNWEQLPCLQELELLRHLTLLELPKLQQIGPPSHMSSKSTVDMYLPPNLYTLRVKKCLELKELPILPISLAYLKLIHVGLTYLPTIGKLHSENVEGQQSCLIEINVENCPHLVSVEGSFLDQKQYLGGVRHLRIQNCMHLGSVCLPFSQMCKLDTLCILDCPKMRMLGGEKDVILSSLARLSLGQCNDLEHPLLGSLQCLTNLFWLKLEHCSGLVSLPSAYVCSKNLKSLRTLLIRGCDHLISLGGLGSIPSLSSLEITQCCKLMEAARSFLSGSYASVGKEEHLVVPQLEYLRIDLSSLLLIEPLKSIHHTQQLVIEVASEKESYPERWLLRNCASLKFLNISKAELLPQSMKDLSSLQNLTLFDAGKLDSLPDLPLSLQNLYINNCHPDLKKKIRVYGSPDFPCPTTADRYLHLPSPVFDCRAPLPRPIVGHRRILSFIWSVSCKSTHISSSVGWIKRARKQRQQNKNAKLKEKKYIMSLSLWCSASSSHTQNRIDDLVLDQLEPHVNIRELYIHAYKGLRLPFWMDNDNLTLKNLVTRIGWMHKLGISSLSWRVSAAQASDRYETP >Et_6A_045935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:10746836:10747357:-1 gene:Et_6A_045935 transcript:Et_6A_045935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSAPTPTRAWSSRCSSWSQPRRLSCQSQRVRLTATSVRRGTLSTCWRRRFRRSASPTGTPSSGWHTPGGPAILDQVESKVGLDIARLCATRHVLAEYGNMSSACVLFILDEMRRSSIKDGQATTGEGLDWGVLFGFGPGLTVETVVLHSVPVTIGATA >Et_1A_005222.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:33912777:33913154:1 gene:Et_1A_005222 transcript:Et_1A_005222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLLKRLSRSVAAADDSSSCSSTAAAACRQLRPKKKAAAAAGGGGGGGGDGVPAGHVPVCVGEEGGPVERFAVRAELLGEPDFAALLRRAAQEYGYAHPGALRIPCPVAEFRRLLLRLSDAAAC >Et_9B_066091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3005317:3007338:1 gene:Et_9B_066091 transcript:Et_9B_066091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTVGTRKRASKRASTTTAVEDDEDIQPAKVPATSAARSGTQGRAAARRDVTLEDADALDCGICFLPLKPPIFQCNVGHVVCSPCLKKLKNPGKCHVCGTTGGFHRCHAMERLVESIHMPCPNSAYGCTAKTTYHEQQHHQQTCMMRSRFRCPGEACGFVGSMETLLDHFASLHRWPCTTNMRESKYESCNINLRNGFNFLRTNLPSATATTSAQFLFLLNLVRQPDGAAISVLCIQSHTAAVGDGEGSPMKELNCEIVYSQHRLGSSRLRNGDLVHYYLSSKFRVACTDFSKGLPNLDSRFKFVVPNVALENASMGTVEIEFRLDMD >Et_3B_027672.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11752247:11752408:1 gene:Et_3B_027672 transcript:Et_3B_027672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQISSSRTLKILGIIQLLKMVIFSIGMSFSLVLFLWLKHILQREKYLLSPA >Et_2A_014467.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:10609468:10609724:-1 gene:Et_2A_014467 transcript:Et_2A_014467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVLGAFCWALWLTRNDYIFRNVICKSPQAVVHRANALMRKWKALTNEATQTKVELIVERFKEYFEAEDSRYRVVALHPI >Et_2A_014642.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:30914183:30914491:-1 gene:Et_2A_014642 transcript:Et_2A_014642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRWRRLQRPKLCRVRGDPLSFHRWKRAVDAALLSQPNAVSATLETERPRAFAQDSQSLLSTWNGRADKATPDTGSQPYGNVHTTLMPPLGLQARAAELGE >Et_1A_006567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24596868:24607560:1 gene:Et_1A_006567 transcript:Et_1A_006567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVGGVFLLLLGGLAPFRAAADVFCDNLKLVASTLPANTSSSPVHFATTTFGQAPDAVHALALCRGDVANDTACGECVSATFQRMLNWTPAPDPPAQCYRAFFYYFEPCVILYSDADFLANATAAGDPFVKWNPKNVTTGGSDDDARLVVGLTRELMAETADRAAGSAPRKFATGVMDSGTTYPLIHVVRCYFRYEAYAWYDSQPMLRLGPPAPAPTPATEGHKRRMNKLWAIPIVVIPVAAGAFLCFILYSRRRTRQQKGSRRTQDLQGEDEVWEGKNSEFSVFEFDQILDATNDFLEDNKLGQGGFGAVYKGQLPDGLEIAVKRLASHSGQGFQEFKNEVQLIAKLQHRNLVRLLGCCSDEDEKILVYEYLPNKSLDFFIFDENKRSLLDWCKLLSIIEGIAHGLNYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIYSSNNNEGNTTRRVVGTYGYMAPEYASGGIFSIKSDVFSFGVIILEILSGKRNSGTNQCGDFINLLGYAWQLWEEERWMELVDSSLLPKQHLEEMTRCINIALFLLLIILGFLLLMPPSATAIGKVCGHEGNYTANGTYQSNLAFLNATLPTNTSSSTQLFATATAGQAPDAVYALALCRGDMVSTNVTGCRTCVAAALRFAQQACPSDKGAAVYDDDCLLGFSSSSSSSGAAANATDGNATLFQYWNDGNIAGDARLVAADVRDLLAQTARLAAAAPARFYTGFMDVAAGGGGAFPTLYSLAQCTPDLSAGDCLACLQRLVAVVNDTTAVRVGGRVLVLRCNLRFETNMFFDGKSMKRITPSSIAPPPPGPAPATNKICSLAVVALCFIWYCLWRRRRYRKGKVRLRRRKHPNNYLQGDEQDWEMEAELSEFSVFNFQQILEATNNFSEENKLGEGGFGPVYKGQFPEGTGIAVKRLASHSGQGFVEFKNEVQLIAKLQHTNLVRLLGCCSQGEEKILIYEYLPNKSLDFFIFDDNRKSLLDWNKRLAIIEGIAEGLLYLHKHSRLRVIHRDLKPSNILLDIEMNPKISDFGLAKIFSSTEADITRRVVGTYGYMAPEYASEGLFSIKSDVFSFGVLVLEILSGKRNSGSHQCGDFINLIGYAWQLRDEGRWDELVDASLLPKRHSAEMMRCMIIALLCVQENAADRPTMSDVVAMLSSKTMTLAEPKLPAYFNLRVGNEEGSTGTQSCSINDMTISATTPR >Et_6B_048968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16059802:16064482:-1 gene:Et_6B_048968 transcript:Et_6B_048968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGELGEVEALYEMRMQLIDSRGILENWKDNQMSPCYWVNINCQDNNVISITLSSSGLTGVLSPSIAKLTTLQQLLLDGNGITGEIPEELGNLSSLTTLKLGRNRLSGSIPGSLGRLTNLQNLDLSQNYLSGNIPSSLSNLPSLNDINLADNSLSGEIPKQLLKVAQYNYTGNHLNCGQHLFSCDGGSTRTGGSRTSKLNVVLGSIGGAVTLLVIGFLFLLWWQRIRYRPEIYIDVSGQNDHRLEFGQIKRFSWRELQIATDNFSEQNILGKGGFGMVYKGILPGPDSTKVAVKRLLKVESPEGEMAFLREVELISIAVHKNILRLIGFCTTPTERLLVYPFMENLSVASRLRDIKLNEPVLGWPTRMHIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMVDIGRNTVTTGLRGTMGHIAPEYIKTGRPSVKTDIFGYGVMLLEIVTGERAIAFVPERMEDAGEIMLIDQVKRWMEEGRLHDIVDRNLAGDFVPEERWEEWQLAELNRRQQHEMRQQRKLFSFSEESLNIQEAVELSAGR >Et_7B_054133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1570791:1591100:1 gene:Et_7B_054133 transcript:Et_7B_054133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGASLGPPGDELKTEESGDPGPVPNKRSKIQAPATELGGKDAEDEKQQRGMSAAARGEGTNSSPAGPISTPSPRLPFLPHPGSGKTKDLRSWDGECRRIGKILPKDPRNNLPTRKERKDQQTTDAVQSPEDKVIIHGVARSIVSHCTGIIMGQRESNGKQHTIILTCFQIVCREGTLLDPVPKLSVGLPNMTIFNGELMFFSDYYDIALLEIDVDFPLLPPSIGPGPNYDQEVFVLARDDELSLMARRGEILWLEKPNYLDRNYHMFLGCEVPWCGNGGPVIDCIGNVIGVAFCCSPDPAVLSISTIVTCIDMWLKFSRIARPIHGLGIRTMEMLDVSLLEEIYRDHNISSGFIVDTVSCDSAAESLGILPGDVIVSLDERHALTLPQLEDYFLSLGWAFLKNSCCMVDIKPKDPETTNAVRSPKDKKTIMGVSDSIVSVSSFGQDGVKIQQCSGIIMRQREGKVIVATGSIVVCEMDELLAPLPKLCIGMPDARRTVLEGKLVFFNYHYDLALLVIEVPFDVQLASIGLCPQYDQELFALARDGEGSLVARRGKVGTGGLVIDLDGETRGMAFSLSPDAAILSISIINTCIDMWLRFGRIARPLHGLNLRSVQVLDVELQDDMLRNYGISTGFIVDKVLYDSAAEDLGIEYGDVIHSFDDEHNEEVTLLKLELYFLHLGWSFLENLRPTVELKMRTYGCGESIERMRSITRGEDITCVKVTYGPPKPPCSRKMHHKEHFQSTWEVVDAQMSLIRVIHGLKTQSPQLRCAVAQNPTLASRLCARDAAHCSDIALSRRRPKSDAWEPCLSQAHFSRCKATGIRTKAKSVHFHALLFFGDAPALHTIDPLEHGEHRLMVIVVQEPDPRVLVILLERH >Et_6A_047126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25760884:25770086:1 gene:Et_6A_047126 transcript:Et_6A_047126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSAAAAAAKRPSPSPSSSSVPPPKRPKAEASPSPTTSAPGRAEEDSAAPAAARSTGSAEDTVAQKDHGGDKPASAAAESSKRKKEPEQQQPTAPWAKLLSQCSQTPHLPISAPQFSVGQSKTCNLCLKDQPVSKMLCKLRRLEQGGQCELEVIGKKGMVQLNGRSINPGTKVPLTGGDEVVFSSCGKHAYHPLNDKVPKTVPSSAISILEAPIKRIRTDKRTGDASAVAGTEMLASTSNQSKDLPAAPSASAGENSQRVAQPMASSASDKSKGRCISPDKECENGENVNEVNSNIEDSSIDVAAAPVSPDAVANDTCQHNGFGPDAHLGAEIALEDQRDLIRDLNASASVPPSRCQAFKDAMKQGIIGPSDIEVTFENFPYFLSENTKNVLLSCAFVHMEKKDFIKQFSEISSINQRILLSGPAGSEIYQETLVKALAKHFGARLLVVDSLLLPGAPSKDPESQKDVVKADKSGDKAGGEKLTIFQKHRSSLADTLHFRRPAAPTSSVNAEIVGTSTLHSASQPKQESSTATSKSYTFREGDRVRYVGTTAQPSSLAQRGPSYGYRGKVMLAFENNGSSKIGVRFDKPIPDGNDLGGLCEDDHGFFCSADLLRPDFAAGEEVERLAMTELIEVISEENKSGPLIVLLKDIEKSFTGITESFSSLRNKLDSLPSGVLIIGSHTQMDSRKEKAHPGGFLFTKFASSSQTLFDLFPDSFGSRLHERNKESPKAMKHLNKLFPNKISIQLPQDEALLTDWKQQLDRDVETLKAKSNVGSIRTFLNRIGIECNDLEELFIKDQSLSNENVDKIVGYAVSYHLKNNKVETTNSKDAKLVLTSESLKHGLNMLQSLQSDNKSSKKSLKDVVTENEFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFIDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLGATNRPFDLDEAVIRRFPRRLMVNLPDASNREKILKVILAKEELGPDVDMESLANMTDGYSGSDLKNLCVTAAHYPIREILEKEKKEKNSAKAEGRPEPALYGSEHIRPLSIDDFKSAHEQVCASVSSDSSNMNELLQWNDLYGEGGSRKKKALSYFM >Et_4B_038333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28162772:28163588:-1 gene:Et_4B_038333 transcript:Et_4B_038333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASLEPRAPATASENVSATAPALLTRSMEPASSGTVISKTWRTATASHSPCTSGWLHQSCPEPYAEEDCRDHPRRTRHRLLRGHSRSHRSLDDGATATGARAREGFVTRFKYRDLQSFTNNKLGRGAFGSVFRGQLPGHATATIAVKKLEGLWQGEKQFRARVDRRRARHRQGRRLQLRHDAVRDRVREEERGARHGKTDSNSSSSGAEATFFPVAAARRLAEGEAGVKTLLDPATSRVPRRSPAGASRTRSMRGRPWRRWRRCWKE >Et_8A_056913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18957361:18963648:1 gene:Et_8A_056913 transcript:Et_8A_056913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSHETMSRKPPGLQLFGSASSLRSYQTLVLVLTFFAYTCFHMTRKITSIVKSALDPQTKVGFSHWGRLHTHNSLNVGWLPFNTVDGSALLGEIDVAFLAVYSIGMFFAGHIGDRMDLRIFLTIGMLGTAIFTTLFGAGYWLNVHSFYYFLAIQMASGLFQSIGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSIGNIAGSLLAAFLLKFGWGWSFAIPSLIMAFVGLVVFIFLPVNPEVMEIDIDSGEYSCEKNSVKEPLLEPGQEVKHKAVGFLEAWRIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTRIGNEYLSDAMAGSLSTIFDVGGVLGGVLAGHISDRLNARAVTAASFMYCAIPALFLYRTYGNVSIMWNICLMFITGMFVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSVGAAIGPLLTGYISSKSWSAVFTMLMAAALLAGLLLTQLVCAELKGKVSSSVTKNATEAQETLDGEPSSDSSGTGDGGCGGAT >Et_4B_039058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7469987:7473366:1 gene:Et_4B_039058 transcript:Et_4B_039058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALINGLAGAGGGIIAQLITYPLQTVNARQQTERDPSKPAFKDGAARQIYLVVKHEGWERLYGGLTPSLVGTAASQGVYYFFYQLFRNRAEAKALERARRGVGDGSLGMLQSLTVAAMSGCVNVLLTNPIWVVVTRMQTHRKANKQQSLEGLTCALDKALQADTTENTPYKASDVCQELYEEAGVLGFWKGVIPSLIMVSNPAIQFMLYETLLKKLKKRRASNLKGADGLTALEIFLLGAIAKLGATVVTYPLLVVKARLHAKQMVGDDKRHRYKGTLDALKKLVYYEGLRGMYKGMGTKIVQSVFASALLFMIKEELVKAARLLVTGNTRLVKKLPSKAS >Et_4A_032970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16133278:16142980:-1 gene:Et_4A_032970 transcript:Et_4A_032970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPNNNSRGAFAKRQQQAFFLLSFLPAQGILVPLKPATDGGEASERDPSFPRHGSHHEAPRGRRGAPPNPNLPRLSCHSGVLVEGGDLIATDESMHSGADVEAFTAALNREVEASTSSSASVASSSQPTDHGTGLLSQENNSSLSEGHGQWQGSVKSEIANQESQQQEHARSNLGKNHSSKSEVISQGSDNEHLHSNMPKECDSLKKNEITKEHFLKVVRSIVGDKLLKQAISQYQMEQGAQAQRNPKTNPSNCSVSNQVSVQQNVGEQKVRPVAQPIPVKQASDSPRPPQFRPSSSSQISDGKGMHMLQSRPPNIHSVQVQPMRHHVQHPQVPSPMFGTNNFHARPFPRPIGGPLASLRPQMVDSSQRAQLVQGAVTTIAGSAPRSTVPGNVPTNQSARQHLANKEQRTNSFTPAAQTNMETVNQHSESMPNSFPASHAKQVKPALGSSKGGVVLENQSPTLSASKSLTATSSSQALQSHATQTEPKMQIQSPVQTSAPAASKTPQRKASSGQKKPLEGSSPPPSSKKPKASGGFHDQSIDQLNDVTAVSGVNLREEEEQLFSAPKDESRVSEAARRVVQLEEEKLILRKGPLTKKLAEIMRKYNLKVIGTDVERCLSMCVEERLRGFLSNIIRLSKQRVDVEKSRHRFYPLSSDVRSHIMRVNREAREQWDKKQAEDAERIRKQNDGEGNANDDSEKDKNETRGTSKHAKTYKEVDDKMRTTAANVAARVAAGGDDMLSKWQMLAERNKQKSEGGDGSSGPLPDNLLPHKPSSKSGKDSREQQEIERRGYSTILGPGGVRRSQITKVVRSVTVKDVIAALEREPQMSKSSLLFQLYGKSSAESAAK >Et_7B_055188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8140907:8142300:1 gene:Et_7B_055188 transcript:Et_7B_055188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAEQLPLPRPAPSPVLRPQNPAAYDADLHARHPFDASPPGCPPLALCQAISEFSAYLDGSTCNIRWHRENILLDSYMMTTKVIHQAPVLALVFTMLTTHKAWGEHDCYGEKELVKNKCRKTITMVGDYVPPDDNCRRAVEASGIACICRILSLEEQNKICVVKLVWLAGECGKPVPAGEKCGTWTVPPVVYTSPPRAREEDDIKARSQIRIPQMKNINRC >Et_7B_055959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8066947:8071223:-1 gene:Et_7B_055959 transcript:Et_7B_055959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLREIESALPPGFRFYPSDEELVCHYLYKKVTNERAAQGTLVEVDLHAREPWELPDGAKLTASEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRSPKTRAVVGMRKTLVFYQGRAPNGVKSCWVMHEFRLDSPHTPPKEDWVLCRVFQKRKDGEQDNSSSSSPPFAGSPHHQAVVVPDQPMMDAYPDHTGSSIGFAPPQDNIGGFNPFLINTATWQYGSVLDQYPQEVSSSSMTELGSRGGGGDGCGYFYDAGFDDMANIAGMGFPQGYKARQDIV >Et_4B_038500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29507581:29508673:1 gene:Et_4B_038500 transcript:Et_4B_038500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CEDLKLKHYEEMTKRKKLHDIVQEMKGNIRVFRRCKPLSKDEASSGQKCVVEFDGVSDGGIVIANPGTNKKSSKFDRVLTPKDDQAVVHTDAPPLVTSVLDGYNLCIFAYGQTRTGKTFTMEGTETIRGVNYRILDELFKIAEERKYTVTYNLSVSVLEQLIHLSCALRLEIKQDNEGSNHVPGMVEAKVENIKDVWDVLRARSKARAVGSNNVNEHNCCSRWS >Et_1B_012614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33822203:33825464:1 gene:Et_1B_012614 transcript:Et_1B_012614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVSGSFVLAAAVVAVSLVMASAAHDYSDALSKSLLYFEAQRSGRLPYNQRVRWRGHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWGVIEYGAGVAAAGELRHALQAIKWGTDYFVKAHTAPHELWAQVGDGDSDHYCWQRPEDMTTSRRAYKVDAENPGSEVAAETAAAMAAASVVFRRAGDAHYAHLLLHHAQQLFEFADTYRGRYDESVEVVKNYYPSSSGYGDELLWAALWLHRATGRRDYLDYALANAQAFKGTEWAVSEFSWDIKYAGLQVLASQLLVDEKDGKLRLSVEQRAVVEQLRSKGAYYVCSCMNRNPGGAEHNAGRTPAGLLFIRPWNNLQYVSSAAFLLTVYSDVLAALGEPLRCAGGDDGAGAGEPVGDAGDVLAFAKSQADYILGSNPMRTSYLVGYGAAYPRRVHHRAASSASYRHDRDFIGCLQGFDSWYSAGGENPHDLVGAVVGGPNGEDVFFDQRGKYMHTEACTYNTAPMVGVFSKLMQLEGQVPQRADSPASETEGPEADL >Et_5A_040789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12554493:12554836:1 gene:Et_5A_040789 transcript:Et_5A_040789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKANFLKGELLDNVSSALHAEAVAALRCLERAAELGMVKVILETDAATLGAALNSEDMDRSLLGCLFRKIKNVMHNQFECCTVSLCPRECNRVTDSLASSERTFRPLAWCS >Et_9A_061366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10668651:10673802:1 gene:Et_9A_061366 transcript:Et_9A_061366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVNPLTGFRVDGRRPNEMRQLKGEVGVVSRADGSALFEMGNTRVIAAVYGPREVQNKGQQVNSKDALVRCEYRMAEFSTGDRRRKPKGDRRSTEISLVIRQTMEASILTHLMPRSQIDIFVQVLQADGGTRSACINAATLALADAGIPMRDIVTSCSAGYLCSTPLLDLNYIEDSAGGADVTVGILAKMDKVTLLQMDAKLPMDTFENVMGLAIEGCKAIANYIREVLLENTKRLECQRGYTQFHEDPCDLASGRYVYHVG >Et_5B_044506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:359525:365173:1 gene:Et_5B_044506 transcript:Et_5B_044506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWWPLLVLAAAYALCRLLLCLIPPTVPTIEDPKAREDGFIYIPPRKGKASQADKVQCYEPATMKYLGYFPALTPDEVKEHVAQARKAQKVWAKSSFKQRRQFLRILLKYILEHQDLICEVSSRDTGKTMVDASLGEIMTTCEKITWLLDEGEKWLKPEYRSSGRSMLHKRAKVEFYPLGVIGAIVSWNYPFHNVFNPMLAAVFSGNAAVIKVSEHASWSGCFYHRIIQSALSAVGAPENLVHIITGFAETGQALVSSVDKIIFVGSPGVGRMIMERASETLIPVTLELGGKDSFIVCEDVDLPNVVQVAVRAALQSSGQNCAGAERFYVHKDIYASFVSQVVKIVKSICVGPPLSGKYDMGAICMIGHSEKLQNLVNDALEKGAEIAVRGSFGNLGEDAVDQFFPPTVLVNVNHTMKIMQEEAFGPILPIMKFDSDEEAIRLANDSKYGLGCAVFSGDQKRAIRIASQLHCGVAAINDFASSYMCQSLPFGGVKDSGFGRFAGVEGLRACCLVKAVVEDRFWPYVKTMIPKPIQYPISEHGFEFQQLLVETLYGVSVWDRLRSLVNLLKMISEKNAPPAPVTTKKRR >Et_6B_048603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11293625:11295316:1 gene:Et_6B_048603 transcript:Et_6B_048603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMLKVLARVTRMKTIPGVCTLATRTALVPVGEAAPSSAKSTTAVATLRGLDTGIVWDQSSWSARTTARKTAKATKQPNTAQVAVQEPKAAQLKQFFVFLLTLSDAMKANSNFKSVGDPIVPAKMQIVPFNAYCSSEQFQLFQQATPIVPASNSNCSSEDNQNVLSSKDSVELLLELRATGGGARRLLEHQVGRALVQKKKSSEPLSKGDGAGKIGCVAQRGSMVGLQAAGAAEGEQRRRRNRGGAMHELLKEETH >Et_3A_023092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27030763:27031035:1 gene:Et_3A_023092 transcript:Et_3A_023092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYEKIDGDAGGNDGVAPEEGVGDHGAGDGRQLHAAASNVGDLRGVDTLHVVLLDEGATSRR >Et_8A_057156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21702353:21705372:-1 gene:Et_8A_057156 transcript:Et_8A_057156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATDAELVDALARRRLGLPPPPPFAVHELRSFFPYCSSHPSQLYERFGGGDDVSSGGCVYFFSNQISAHDWKVASGNERVFDADAGGGEDIGCKVTLVFNDSRWGIQQGTTRWIMDEFDAAHDDRRGVIPWRLYDLRLYRLRRQQAPPRQQQEPRRVGGFRRLNLLA >Et_6A_046554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1757713:1762749:1 gene:Et_6A_046554 transcript:Et_6A_046554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAAKLKNLRKETQANRFPSSHKAGGIRSRKRYTIILTTIKQLMSPPTASSQGENLAEVVVVRHGETSGNASRIIQGQMDLELNETGRQQAVMVARRLSKEAKPAAVYSSDLKRAAETARTIATACDVSSLVLDPALRERHMGDLHGLKFDDAVRINPDAYKAFSSDERNQEIPGGGESLDQLSERCVSYLNAIAMKHKGERVIVVSHGAAIEEICRHADPTSSLRKRIPNTSISVIRISGAEGHWIPEKFGDVSHLNEDGFLQDAFGGDGASARPACLGCSRLVKLSRRRRRAALFSPSSPRRHCPPPPPLRLMSRRLLPRITPLPLRRRNPNPLITPAVAASLAHVLATRSTNPSWPRTLAALLPAPLSDARLAAVVSSLADPDLALALLSWSQTHHHDSLPGPAATPLSHSALLRLLARAGRFDAVDATLQSMSRAGDGNAAAPTRACLGALAAAYADARMDGKAAEMCARARELYGTLPVAADCNRLLRLLVERRRWEDARKLYDEMLAEEGADNYSTCVMVRGLCLEGRVEEGRKLIEARWGAGCIPHAVFYNVLIDGYCRHGDMRRGLLLLGDMETKGLLPTAVTYGVIINWLGRKGDLEKIASTFDEMRVRGLSPNVQIYNTVIDALCQCRSASQAMAVLKQMFANGCDPDVVTFNTLIAAFCREGCVQEAEQLLRKAIRMELEPNRNSYTPLIHGFCIGGEVMVASDLLVEMMEQGHTPDVVTFGALIHGLVVAGQVTEALIVRDKMIERQVMPDANIYNVLISGLCKKQMLPAAKNLLAEMLENNVQPDKYVYTTLIDGFIRSENISDAKKIFEFMEQKGVCPDAVGYNAMLKGYCQFGMMNEAILCMSSMKKAGHIPDEYTYTTVIGGYAKQGNISAALRLLCDMMKRRCKPNVVTYSSLISGYCKIGDTDTAEYLFENMQFEGLVPNVIHYTILIGSLFKKDKVSKAAAYFEHMLLNHCSPNDVTSHYLVNGLTNSTTWIINSNCSSTVKFHDKNALLDVFKGLVSDGQDPRISAYNAIIFSICRHNMLGKALDLKDKMANKGYSPDPITFLSLLYGFCFVGKPRNWGSILPNEFQKGELETILKYKTLLDQHVVDSVCCEVSRVLQSYAEEFQYTQKPEQRYAGS >Et_6A_046386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14095896:14104795:1 gene:Et_6A_046386 transcript:Et_6A_046386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREGFVPLLLLLAAVAVSSLAAAAEAATATSGDAAAIADLVKSLSLGGGGGDACNVVGIKCDSSGRVTGINLADKGLSGTLPASLSTLKALQSLQLQGNELTGALPSLAGMDSLSVLALDDNKQLRLSYNNLTGGLPAELSGMDALEDLQLNGQQTLKLSGPIDVLASVKSLKVVYLQSNAFTGQIPDFSGLQLVEFDVRDNQLTGLVPVSLTQITTLKKVVLSNNYLQGPKGNFPVSVDTKDVDAGNSYCSDDPGPCNPLVTTLLEVASGFGYPIKLAQSWVKSQPCGSNWFGVSCANGDVVSISLNNQSLTGMISPAFANLTKLERLSLANNNLSGVIPDALTLLPNLKYLDVSSNSLTGQVPGFKSSVKVLSTGNRFGESGGDSGGGGGSSGSPSSSKSGGAPKSKSNAGMIIGILLAVILLVVCVGILVHHRRKKNVDKFSPVSIKSPSGESEMMKIQVVGTNGNSYGSASAAPTELYSHMSSDSTNIANIFESHGMQLPMNVLLNATNNFNEDCILGRGGFGVVYKGTLNGKLVAVKRCDSGTMGTKGLQEFMAEIDVLRKVRHRHLVALLGYSTHGNERLLVYEFMSGGTLREHLCDLQQSGYTPLTWTQRMTIALDVARGIEYLHGLAQETFIHRDLKPSNILLDQDLRAKVSDFGLVKLAKDTDKSMMTRVAGTFGYLAPEYATTGKVTTKVDVYAYGVILMEMITGRKVLDDSLPEDETHLVTIFRRNMLDKEKFRKFVDPTLELSVEAWNSLLEVADLARHCTAREPHQRPDMCHCVNRLSSLSSSQLSPEQHTADENRRRMRKALAQVVLILMVVLGCKADNDFLTEGEYIKVKRSSLAVLLVFTFLVFGLAIGIIRYLRNRIRANENTTESFKSSQGNKVHGEVINRWSGLYKFTKAEIERACNYANTRIYLGSGSAGQVYQGVLPSGQLVAIKHIHKTAMSGSFIREVEQLSKVRHPNLVCLFGYCDEDGDQYLVYEYCANGNLAQNLLRSDSALPWETRVKILRDCASVLRFLHTHPDGCIVHRDIKLTNILLTENKEPKLSDFGLAKMLQMEETKVFTDVRGTIGYMDPEYITHSKLTCASDIYSFGVVALQLLSGRKVIELDIVARDSLTKKAKDVVSGKKPLEEFIDPRIKDEVIIEDFVLILKIAVLCVASSSVGRPTIKDVFEDMDKAQRNTTVKTKARKEINAANLVIQYAEVLDI >Et_9A_062023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1912290:1916379:1 gene:Et_9A_062023 transcript:Et_9A_062023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSRLAVARVSPDGAGPAAGRRARPGFAVVGLPAAGRRGRRRGGAVAASPPTEEAVQMTEPLTKDDLVAYLVSGCKPKENWRIGTEHEKFGFELKTLRPIKYDQIRDILNGLAERFDWDKIMEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKALGEEMGIGFLGLGFQPKWALSDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEKDMIRKFRASLALQPIATAIFANSPFKEGKPNGFLSLRSHIWTDTDNNRAGMLPFVFDDSFGFEQYVDYALDVPMYFVYRNKKYIDCTGLSFRDFMAGKLPSAPGELPTLNDWENHLTTIFPEVRLKRYIEMRGADGGPWRRLCALPAFWVGLLYDEESLQSILDMTFDWTNEEREMLRRKVPVTGLKTPFRDGYVRDLAEEVLKLAKSGLERRGYKEVGFLREVDEVVRTGVTPAERLLNLYETKWQRSVDPVFEELLY >Et_9A_061173.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:20229860:20230015:1 gene:Et_9A_061173 transcript:Et_9A_061173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFLKGGRKGVFVQSMPTFENGDYGYLRQGRSLATGYVDELFTICLPSVW >Et_1A_007791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38310514:38315889:1 gene:Et_1A_007791 transcript:Et_1A_007791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGREKQVLASLCDLVTAFLLPHLEPGPSPLRELTREDERRLLVALSCVTRAPVLRSDLCSRRLWRDGFVNKAIRGWDEEEEVEDEQGCESDDQFYLNLYGSGSLCDGEVTLALPLVGFLGFSSDYVKHSARNILVSMSISLTKFFIEFIWAAIHASSTCVHKSLPSKMGLKSHDIASTSTSITSFMAQTSLEGTDGQDMYVKLVDIVPKLAAFLQEQHDGLRSLYQYSKHKILMVMMRLKPYMQDCSHIVCWLKLLRQYFEDLLHEPISQHTVKPSNCLEGSPFWLNMVGLVESEDKSTRHLQRRAIYLFLSCCICLSCNRNDGTLQCSCKTDDYLLGHKVQGCIDHCSCFGLSEILDWFQRCYLDKSFDSEPSTDCALSFLQLYIEEDDMLFRILLQLLDSPLISMRTDVMEATELIGAKLFSSIFDPVHLFHLLLFLLDYDHLVLVDYLISKDVGVDCARYLLRCLRLVSQSWYAFVDGSVYESKIIILNSKRQKTSQDADGAKCSSSKERMNAQKLFISAKACLLSLKRTVEDLQKKGLFPYNPRPLVRSVGEILFLLGYQLNLARFEELCEQ >Et_5A_040545.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:7362730:7363029:-1 gene:Et_5A_040545 transcript:Et_5A_040545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRDGGDHGGRADDLLAVVRGAKDEQGVHGGGGEDRRGGCWVAAGAGQGRGGRGEGEAGHGLRGRGAAPGAGDGAQGCRGHRLEAWRLVANCFCPVLV >Et_2B_022281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16783870:16784711:-1 gene:Et_2B_022281 transcript:Et_2B_022281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRDSFLDLVLIPLSLLLPMAYHAWLRRTVRLSPLRTAVGINSAARRLWANSMMKDNGKHAVTVVQSVRNVIMGSTLMATTAILFCTGIAAVLSSTYTIKKPLSDTVFGAHGEYMMALKYVALLLLFLFAFLCHSLAICFLNQASFLINTACALVSDDESSVIGMPDTRDYIQEVLERGFTLNFVGNRLFYAGVPLLLWIFGPLLAFLSSLVMLPILYNLDIVNVKSDTGCVNGKASETNGSVDCMYV >Et_4A_032393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6953508:6954464:-1 gene:Et_4A_032393 transcript:Et_4A_032393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTASLHLPATPREIAPQLQGVRVVSVVKNLIVLSTGSWLHGFRSSGEYMVYNADTETLLLAPTIDWGKFQQLFTTRRVAMHTDSSSFKLIMLLRCCRTSKPFVLVWCARGQGQWQVNEICLPEDIAQYPFAIDEAFYFKDRWACWVDLDQGIIMCDMASDSQNCHFVPLPEKYQVTNPQTARGRPDVFSTVGVVKDEIKFLFMDGYDDDKVPRDQVTISTHTLSVSHGQSEWMSHEEKPFRVADVWTDESFLAIPGLPKCLPMFPVLSLKEQNMAYFFVSDVLSEPWNIETKRRVCAWPEYEN >Et_7B_055865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6226243:6228204:1 gene:Et_7B_055865 transcript:Et_7B_055865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRSAAASPARVAARPSRRLAKAVHRRAVAVSEKLKLLREEIGTHADLDKANAAFWEAAKVTCDAELAKSAAAGRGAASSLHSEVAADVRSLVEGKSLEELDAMQRAIAAQVAAGEAKIVEHWQEVAQLVRVEKARKLLARNDSSACDDDANPPFGDDDETGTERELEDPRVAYDAEDEEGSSEPLCPVALPATHSSPTKPGWRKPKYAARAWTGYEWNKYNRAHYDRDHPPPKVVKGYRFVLHYPDLAGAKVLPPQYTVEEDGGGDTCIVRFRAGWPYEDVAFRIVNRDWERSRKAGFRRLLMKTEGKASAAARTCGKLLMYTLMSCRLLK >Et_6B_048215.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:11153805:11157858:1 gene:Et_6B_048215 transcript:Et_6B_048215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTVSAFLFPVLLLLLSPYAACFVMQGNETDHLALLDFKLSCSDPHGSLASWNASRHFCSWKGVSCSQKHPHRIMLLDLTNQGLIGYISPSLGNLTYLKTLRLSNNSFTGEIPASFGQLRRLEEISMSNNSLQGWIPEALCNCSDLQILSLYSNHLKGRIPLNMGSLIKLVILNLSANKLTGSIPPSICNMTSLNVISLSENYLQGSIPEELGMLSEMSYLALGANSLSGTVPLTLFNMSSLSLLGLELNHLDKAVLPSDFGSHLPRLLHLGLDSNNFEGPIPASLANASRLIDIGLSHNNFSGQVPSSLGRLHDLTFLNLESNHLETSDRGSWEFIDSLVNCSKLQTIALSMNNLGGFVPNSIGNLSSQLNILYLGTNQLSGTFPSGIANLRSLIALSLENNQYNGAIPEWIGNLGNLQVLYLEGNSLTGPIPLSIGNLSQLSYLYLQDNKIDGILPPSLGNMKNLLRLNFTNNSLQGSVPAEIFGLSSLLSCELSFNKVDGTLPPEIGNAKQLMVLEMSSNKLSGEIPHTIGDCRDLEIVELAHNSLAGNIPVSFGLLQSLKGLNLSHNNLSGSIPKSLAGLKLLSRIDLSYNHLVGEVPKKGVFLNASALVLVGNPGLCGGVSELHIPACSVASSDPMRQRRSISIKTIISVAITAISLLLIVIVLTFLLHKNKHKEASTSLPSFGTKFLKITFKDLAEATDQFLESNLIGRGRYGSVYKARLHGETDFVAVKIFNMETRGANRSFIAECEALRSLKHRNLVPILTSCSSIDSGGNDFKALIYEFMPNGSLDSWLYPKEDGTQCYLNLAQRLLISLDIANALEYLHHSSQRPIVHSDLKPGNILLSSDMTARISDFGLARFFDNASTTSTVAVKGTVGYIAPGYC >Et_3B_031359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26773826:26775301:-1 gene:Et_3B_031359 transcript:Et_3B_031359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATPPRPRVMVLPFPAQGHIMPLMELAQRLVAHGIEVDFVNTDFNHDRIVKAFSAGGETEASVPSGINMVSFPDGMAPDGDRTDIGKLSGGLPSAMLGRIEEMVASKKIKWVVADVAMSWRPKRACASPCSLEINDTHDDDRAGNVRRNERIQLSPRTPAIDASELPWTNIGKNPESRRILIQDVIKTIPAIAQAETIVCNTFEEIEAEALALLPKPALAVGPLEMPASTSAACHFWPEDRTCLSWLDAQAPGSVVYVAFGSLTVFDAARLQELADGLVLTGRPFLWVVRPNFADGVGESWLDEFKQRVGGTGMIVGWVPQQPVLSHPSVACFMTHCGWNSTMEGVQHGVPFLCWPYFADQFCNQSYICDVWGTGLRICADESGLVTSEEIRDKVAQLLEDEGIKARALSLKKAACACVADGGSSHRDLLDLVNLLRDDLAC >Et_3B_031442.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:300662:301717:-1 gene:Et_3B_031442 transcript:Et_3B_031442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPRPDPDAEVIALSPRTLLATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLKQSNGAAGNNRRRKRVYVCPETSCVHHNPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHGKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQETARRNLSLWGDQAAATGGDAAELVMPPSSCTTTIAGMAVPFDTAAAQLGWLYGNNKLSFSNNACSSELLTSTASKDSVVPSLFSRQHLAAAKPAAVADMSATALLHKAAQIGAVVTSNHSNSSISSSCTATTPSQVLVDGLLFAGQHHHATSSSSSSSLANLRPYLNKGREEETRDFLGVALCSPSLHGWI >Et_10A_001828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8948576:8950073:1 gene:Et_10A_001828 transcript:Et_10A_001828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDIDECQSPSKYPCNGECINTIGGYNCSCPHGTHSKDPKSTSCNPIPGSNKPEVNALIGTSISIVFLVVCIVATLIKYQRRKLSKEKETFFKQNGGQILYQKILSKQVDTVIIFTIGDLMKATSNFDKNREIGTGGAWHCLQGDFRRQQGSGCEALKDHECCPDRRINHRNVVRLLGCCLEVEVPILVYEFIPNGTLFHLIHGDNNIQPISLEVRLRIAQESAEALAYMHVSINQPIVHGDVKSLNILLDENYMVKVTDFGSSRLLFPKDEAQLMTVVQGTLGYLDPEYLQERILTEKSDVYSLGVVLLELITRKTAIYSEGPGERKNLASSFLQAMTENRARALFDTSVMDVGMEDLLGEVAELGGLCLSIKGAERPSMIQVADKLKTIRSTWRELLRQNETELLIDKSRAASASDLSPSMYSTGRIMTMDVET >Et_8A_056751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16294722:16299289:1 gene:Et_8A_056751 transcript:Et_8A_056751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AEAEEEGEPMPAAGARRSTRVFMPKAPKPPLQDQVDAATRQQPEKEAPKPELPPLEKDFRFVYSRKRRPQRQPAQHLPEKKGRFGIVYTRRGKRPKVAPIQQEPAPAAPSELAAAIPCSSSQEFASRTGFLDAHFSALVKDVAPHAGAVTLVILVDASCSTSSHQLKDLLLSVLRWMGRSRRQGKVRSLTSRVSSLSGASEFASQGLHFVKLQRRLDFALLHRTLVRCGWCVLHGAKKSGPLLSVNFTALPSYFQRLHSAIAFGSMYLPAVIRESMLLAGAPEQIHPHISLDANSGSQDTGFPEPTADLGSNKNRRLVQDYVPLEQVAEAKEASKEEELDEASQKSEFASWTGFLDAHFSALVEDVAPHARAVTLVILIDTSCSRSSHHLMGLLLPVLQWIGHSRQRAKVRSLASFVSSLNVAPAFASQGLHFVKLQRRRDSALLHRTLAHCGWCVLHGAKKSGPLLSVNFSALPSYFQRLHSAVAFGSLYLPAVIRESMLLVGAPEQKHPHINLDMNSGSQCTGIAKSTAGLGSDKTRRVVQDYVPLEQASGVVVHGLRLKKHQRKRSSMRRPRNRRRPTARLSESPIGKKLATVLTQTEAKLPSTRQATVDTVYPKPALEISIDLLENLDESDVSTPMGSTTKQKRSSLKSPVDRMNERLALAEVRQNIDSVRCKANLLVVRDDRCWREEGAEVMLELSDTNEWYIVVKMQGVTRYSLKPSDPRLNSINRYTHASMWAIDDAWKLEFSDKWDWLLFRELHIQGRERNSQGKTIPIPGVHDVPDVMEGIITNTFSRPVPDYIRMVDDEIKRALSKDSIYDMDSEDERWLIEFNSAGSVHNNCQRISYDDFEKKMTTFEKDAYINPETTHDVGQPLSRNPSLGKDDNMLAGVQLRQGQLSQKTSVKRKRSFKRPRIQAGRGKPEFILQDNAEEEAALQRVAQAEAAAKQAVEKATRLRSRAQSLVENAELATYKSVMALRIAEAARISGSSRDLVSTILD >Et_6A_046949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23004752:23019021:1 gene:Et_6A_046949 transcript:Et_6A_046949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGTSTPVEVPQPPPCTGKLITILTIDGGGIRGLIPATIIACLEAKLQELDGPDARIADYFDVIAGTSTGALLTSMLAAPDENNRPLFAGKDLTKFYLENGPKIFPKRKAWFLTSAANLIGNMRGPKYDGVFLHDKIKSLTHDVKIANTVTNVIVPAFDVKNLQPVIFSTYEAKHDPLKNAHLSDICISTSAAPTYFPAHYFTTHSPEGKFRDYHLIDGGVAANNPTMVAMSMLTKEVLRHNPDFHPGKPTEYKNYLIISIGTGSPKQAKQYTAPECAKWGLLQWLYNGGVTPIIDIFSHSSSDMVDIHAAVLFEALRCEENYLRIQEDSLTGHTSSVDIATEENMKALIGIGEELLKKPVSRVNIDTGMYEQVPGDVVTNEKALANFAEKLSAERKLRGRLITVLSIDGGGIRGLIPATIITCLEAKLKELDGPDARIADYFDVIAGTSTGALLTSMLAAPDENKRPLFAAQDLTTFYLENGPKIFPQRKAGFLTPVANLLGVVRGPKYDGVFLHDKIKGLTHDVKIADTVTNIVVPAFDIKYLQPVIFSTYEARADPLKNAHLSDICISTSAAPTYFPAHFFTTYGPEGKSREYHLVDGGVAANNPTMIAMSMLTKEVHRRNPDFCPGKPTEYRHYLIISIGTGSAKMAEKYTAPQCAKWGLIQWLYEGGFTPIIDIFSHASADMVDIHAAVLFESLHCEKNYLRIQDDSLTGHTSSVDIATKENMEALIGIGKELLQKPVARVNIDTGMVKAPMRTPLHASPRCSLMSAGYAIPTSTPTSPCLQIKFLANGTAIAVDPMALAPPPSEGRLITVLSIDGGGIRGLIPATIIAFLEAKLQELDGPDARIADYFDVIAGTSTGALITAMLAAPDENKRERPLFAAKDVSTFYLENGPKIFPQRNGIWFLKKMANMAGAVMGPKYDGSFLRDKIKSLTHDVKVADTVTNVVVPAFDVKNLQPVIFSTYEAKRDPLKNAKLADICISTAAAPTYFPAHHFTVHAPAADDNNKPPPPPPREYHLVDGGVAANNPTMVAMSMLTKEVLRRNPDFTPGKPTEYRNYLIISIGTGSPKQAKQYTAPDCAKWGLIQWIYNGGFTPIIDIFSHASSDMVDIHAEVLFESLKCKENYLRIQDDSLTGDTSSVDIATKENMEKLIEIGKELLKKPVARVNIDTGMYEPVAREGSNEDALGRFAKMLSDELRLRKYNYNSY >Et_4B_037003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13463204:13464380:1 gene:Et_4B_037003 transcript:Et_4B_037003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQDSLVNKYSKSLKKTKAVAKIHESKEFPSDIVMKYLPKANAKVTLWDPQGKSWEVCYMYSKSSGGAYFCRGWGAFVVGNNIEKDDICIFYFFKKNMKVGVFRVLQETTPSSEC >Et_2B_019850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14665013:14668264:1 gene:Et_2B_019850 transcript:Et_2B_019850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPANGVPVHAPAAANKAPLFSFGVIADVQYADIPDGRSFLGTPRYYRHSLAVLRRAVASWNSHGGVSFCVNFGDIVDRHCPRDKSLWAVRTVLDEFGAFAGGRTYHMLGNHCLYNLPRRELLPLLNIPGTSGDDDDDGRAYYEFSPCPEFRVVVLDAYDFSALGRPRDHPVTAAAMRFLDEKNPNPDGLAGDRRYVMFNGGVGEEQLSWLDGVLRDAAARRQGAVVCSHLPLDPGCAFPESLMWNYGEVMDVVHRHGCVRACLAGHDHRGGYRVDSRGVHHRALEAALECPPGTSAFGRVHVFPDRLVLVGSDRMADTEMRLVTESCLSSFPAQRSSDPQLLVNSKRPSTQVLVMAAANGIAVRASAKTPLFTFGVIADVQYADIPDGRSFLGVPRYYRHSISVLQRAVSRWNTHTNIKFAINFGDIIDGFCPKDKSLWAVQMVLDEFDKFHGPTYHMFGNHCLYNLPRSKLVSLLKMPTDSYRAYYDFSPCPEFRIVVLDAYDFSCLGWPQDHPVTAAAMKLLDEKNPNSDKNSPDGLVGVARRFVKFNGAVGKEQLSWLNDVLQDASTQGQNVILCSHLPMDPGASSPAALMWNYDEVMSVVRQYNCVKACFAGHDHKGGYSIDSHGVHHRTLEAALECLPGTSAFGHIEVYPDRLLLVGSDRMADTEICF >Et_2A_016470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25052883:25057965:1 gene:Et_2A_016470 transcript:Et_2A_016470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLYLGSNYWDTVYVRDDVTYELVFLAWAGRAPVCLVNTGRGAPFASVVELRKLPGELYPPVTAVAALNMYTRINMGAGTETRFPNDQYDRFWFVPDSQQPQWRNLSSLSTIQPDASFLEPSSVLQTAVTVAGNGTRLNAMTWDDSTAYTFMVFLHFADFQSTQLRQFDIFFNGNKLGTGGMPMPYSPSPLAASCVYSSAWYRANDGNYRITLVATAPSALPPMLNALEVYTLVPQNNSMTFSKDFDAIMAIKLEYGVKKDWMGDPCFPPRSAWDGVKCRNTTDNILRIISLDLSNSHLGGVISSNFTLLTALENLDLSYNNLSGLIPDSLTRLSSLRVLNLSGNDLSGDSLCKNYTGSLVFRHDSDGYKCNIAPGQPNSPLLTKAAIIAISVVVPVLVLFIFLLACFICRAKRKPNVEKNISKYDPARDPPKFENTQGSRKSHVDNLPNIESRRFTYKELEKFSNNFERLIGQGGFGPVYYGRLEDNTEVAVKMRSESASHGLDQFLRSLTKVHHRNIVSLIGYCWEEDHLALIYEYMSQGSIFDHLRGKNDLNWGTRVRVALEAAQGLDYLHKGCSPPIIHRDVKSANILLNKNLQAKIADLGLSKTYLHDAQSHISVTAAGTAGYMDPQYYLTGRLTESSDVYSFGIVLLEVATGEPPMVPGYGHIVPRVQQMIATGDISSVADARLGGAYDISSMWKLVDTAMACTAESAAQRPTMATVVAQLKESLALEEAREKDISSGLSRGSEIPSMESTFRPMAR >Et_3B_031436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29600096:29603174:1 gene:Et_3B_031436 transcript:Et_3B_031436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWLSSCALSLLLLLLSSQQTPSHASDTLKANQQLSADQKLISQDGNFALGFFQPAAEASKRKWYIGIWYNKIPVQTIVWVANREIPISDPNSSNLIISDDGNLALLVNDSKSPTWSTDIKHNTAARSTVAVLLNTGNLVLSHDYNTSIVLWQSFDDFTDTWLPGNKLSRNKRTGLIKRMISWKDQGDPAPGMFSIQLDPTGAKQYILQWNNSRVYWASGNWTGNSYTELPNPETKKWWLIGIVIGGLTLLSFGVTILYYVHRMRRRINGLSHGGGSLISFKYSDLQFLTRKFSDKLGAGSFGSVFKGVLPDTTTVAVKMLEGFHQGEKQFRAEVSTIGNIHHMNLIQLLGFCSEGEKRLLVYEYMPNGSLDQHLFHSSSTSLSWETRYQIAIGIAKGLAYLHDDCRDCIIHCDIKPQNILLDASLVPKVADFGLAKLLGREFSRALTSMRGTVGYLAPEWISGEAITTKADVFSYGMLLFKIISGKRNLEQIGTGTETFFPVLAARKLFGGEVHTLLDTESITGVNVGELEIACKAACWCIQDNESSRPTMGTVVKILEGVVHVEIPPIPRYLEVLAEGSENVEFFSYETTK >Et_1A_005306.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:39224506:39224631:-1 gene:Et_1A_005306 transcript:Et_1A_005306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEKRALQQVALAHEIIEEAQLWANAGFSKLRKLLSSRRV >Et_2B_020874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24518446:24522136:1 gene:Et_2B_020874 transcript:Et_2B_020874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAYFSGSTTMPPQSQYAGTAPDNSTAAVAAPSTAKSRDPRFSGCIPVTIRHLARSLVAAAAAGGGDSVISIDGVEATNVRVLGRVVSVVNKETSVTFTLDDGTGKIELVRWLSDQGDALEAAFVQHGAYLKVQATIVGFQAKQQGLARSIRPVSDFNEVPLHFIECMYVHLENVRPKGQPSLAVKTNTSIHEIQGQLPHIAQTNAPAYAPFSGGMRDHQVHLSQVNQGRLPMSVQTNASTHVSFSGGVREQQIHYTPEPNQFSTYQGTGGQQHDLQTMILEFMQQPDIHARENGVHADELARRFGVPTAQVMATARQLEEMAFLYSTIDEFHF >Et_2B_020026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16159974:16164158:-1 gene:Et_2B_020026 transcript:Et_2B_020026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTVVLGVEKKSTPKLQDSRSVRKIARLDDHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYNDKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETVKLAIRALLEVVESGGKNIEIAVMTKKEGLRELEESEIDEYVAEIEAEKAAAEAAKKGAPKDA >Et_2B_020002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15979502:15994279:1 gene:Et_2B_020002 transcript:Et_2B_020002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSTGAPIVQVYHEKSRILPDVSRVLACLYEKDIQFKTHTTSYKSLLKLQASTHAPVPFYDGPIFLEESREICRYIAERYEHHGYPFLLGKDALERASVEQWLHNEEHAFNPPSQALFCHLAFPLDKDDDDDINMHTRKLEEVLEVYEQRLSDSEYLAGNNFTLADLVHLPNSHYITASKDFLYLYDSRKNVRRWWDAISTRNSWQQVLMHMKRVEDQNKQEELKEQQLKRKDPRRTPGYPLRIYSRKQTTTEPRTILMHPIGTMSSSPIAPQTEKRLPTDIPDEAIVSSGQISPTDHETSVETPFKEKTAKKPPIPLQSTIAPATSPTTKDASNLEPTKTDLPTRRKPSLPKDISNKLHVPDYYEASSHTEEDTSYIEPTPRKASETLNTFSGSSTATGHTKTSSISDKEEPEQHSKSDFYKSNITTTGVDSEDKDLVPYSERASQSARPTDAAGSKLSTNDVHHKLQVEQWHAATAGLGNLKEAADHIMPIQQVKSSKHVQQHTSQDSEQAASSPVPQESVSMELVQGQSKIFRRPYTDQKRDVSSPLPQQAGEGRGITEDDKAPPDQSSPLLPPSTQKHTATPPSRKEAAKEEHNKFPPQAGHRGGEDEETKVSDFILTSAKPTYTQQSALPPRRQDQVLHAQEKGTQSGITSPEPRKVEERDAEDTHGERTTGTPEKQSWEPQQAIPQPRPAAAEDARGAPGETIQHPDILDTNKKSGGSFKETKVRGSTLHTARPLGAQDDTEYRTPAADERKDVSTQVQSDAKDSFQQLKLPATNQKDLGSLSSQKRDENDLDATVSEEKPVSSGWLKKVKKSQSEAPKEHPADSQGSNEVEKTPFVYQKKPLFTQDSQEQAQIIPSGQKGDAAAPEHKEASYAPYTFDETLSATSPTIEKSHDEFSAEKPHKKDTTDDQKVVPPIWRKEPTSQVQPIFGPSQGTAPRGDLSSKLDQWQSASAPLNGVTISSGGDEMGMPTIHQKLTPMGRQGRRSVQGANQMAKESSEKRVESIEPETSGVQRSSPSFPGASAAHHATTDERVKQPIQMQAPTPDAHSASGPTKRATPDGHDIVGDSKLVSTLDGQISETKEASRDPATFNEDVHHANLATHGVDKNTFRETKVADSTPPGAKPLYTQQPALTPSRHPEVEDAGDRGRSSKTIPDRQKMLERKTVTFGKQDSDPIKEAHPSRWKESESTTPTAEQPKALDSLSLEGKGADQKNLGSLSLEGKDAEAIMPEEKIFSTGRLREALKETESTMSKPQPSDYQGTIKEEKTSSMYHKRPLVAKDSREQPQIITAGEKVDGSTLKHQYASNAPYTSDEKFSALSPSRADIPAEESYRKDTTDDQKQKVVPPLLSQEPISEVQSLSKPSQDAAYDGDLSSKPSTIAQWQRASAPLKGVSTDSDDNELAMSSNIEQKPMPTSQEAPRISQRVNQMTLKSSEQTVEPPVQTGTDTSDVQHAAPSLPEAVTADHTIIDDKLSDESPGKPKQMQSPITDARPTSVSTKRKTPDGHETSDIKLAGSSEGQMVDAMKAALDPATIDEDSHDADIDKKTTAYDASGDQFAAGSLLEQAQRHAPTHEATEILRGPTSKKPQLGGSLPDEVAHIERKSTPSDRKQHRDVQPLSPVEPVNLESKDSAPDHTIVQQVQSRPSAPITRGVPALDNLRVTRDIQEVAPDNLRPHDSGKADVPSQEEQISHTSHSIPRKKDITSVPSGRDSPKSATQLRSAQVHEVTPDEFSEQQGSHVGFSFRPDELGPAEMKFAPAGPSGHSSEEPSSVEPRKDPPAFPTTEQIKALPTVMGQQRTPETREVPTLKGAIDTILSCGLHPTSSTDQPRLSFSGQRAEPTSSAKAPPLHVVHDSLQTGITPDDAHGNAQSTKPSGQDYVYSEESPPSMVPRNQVIGDSSPGKQQVSSMKGDTAASAPDQAKDSQTTLGRTLPPVRSVSPSSDTQLPSGQESVQSTQLRNGEIEDSAPSAQQISSTRTRKGDYASSTPDQAKDSQTTHGRQDILSPVRSASPSSDTQRPLNKVHEDVPFDGSREAKPIVQASARGTQDDTATTKAAPDEKKFVPSEQTSSTEPTREDIRDAAPSTQLISSTKARKGDVIISASVQDKGTPIILDQQRVRSAPVKSASPYSYTKHPSQGTQEDAPDNDLGEAASEPSVPVTEVGPPTVSAPATQHGSARDGDLDKPNFVPSGQDSVNSTQPRNGEIEDSTPSAQHISSTRPRKGDSTSAPDQAKDSQTTHGRLDILSPIRSASTSSDTQRPSNKVAEDVPAGEAKPIIKASAPGTQDYTATTETTPDEKKFALSVPTSSTEPRSENTRDAAPPTQLISSTEARKGDAIVAAPVKDKNTPTILDQQGIPSTPVKLASGYSDTMRPLQNALEDTPANDLGEAASEPSARVREVGPPTVVPTSDPHGSVRDEDLDKTKFVPSGQDSVHSTQIRNGDIEDSAPSAQQISATRPRKGDATSSTPDEAMGLQTIYGRKDILSPVRPASPSSDTQRPLNKVHEDVPFDGSREAKPIVQASAPGTQDDTATTEAAPDEKKFVPSEPTSLTEPRSEDIRDAAPSISSAKARKGDVFIAAPVQDKDTPKILDQQGIRSAPVKSAPPYSDTKRASQDAREDAPNNDLGESASEPSAPVREVEPPTVVPASALATKHGSARDEALDKTKFIPLDQDSVHSTQPRDGEIEDSTALTQQISSTGPSKGDVASSAPNQTKDSPKTFGTPDILSPIRPVSSSSNTQRPSNKGNDDVPVDGLGKAKPIVQESAPDTKGEKKVAPSEPTSSAEPWNEEIRDSVPSRQLISSTDTRKENGIIAAPDQHKNLQATLDQQDIPSVAKSAASSSDSQYPSQKAREDASADDMGEASSKPPALLREVGPPIVVPTSAPVTQHGAATDGAFHKQKFVPSGQEDSVDSTQLRNGEIDDSAPSTQQISTTRPRNGDASSSAPDEAMDSQTTLSQQDMLPPTRSVPPSSDTQHPSSKGHEDVPAIVQASAVDTAKDPLPGTQDASKKVQGSTSDDIDTDESIPREGQVSPGRHDSHPHEGHTTEPYRTTVDEKKTSSPSSKAYGSESGSDLTRARNETYFPEHGIQKQPPSATQDISLDDALSKAKSSGQGAKVPIASVSETQPGATQPQDEPAPVENNFAISDPSAHASKLPSPGEPRNGETYVDRAEQMNVRRTVVGNQAAGQTTDAREALTKHEEPTRDDDNIANLGNPVVTQEQASHHERASEGPTSEVRSASNLSDKTSLPPSQEQSSDTRPDSTPINGGAHLSSAGVPATSTSQNQEAQPLSATQAPTTPALQGSASSQNVHADSLENMETPIEPSNDKVMSPVTSSAAHSDSLKGEPGATPVQPPEESSFNSPSDEKSSTIHGDQKLEGTSKQEKSKAKQPIDQSNTESPKDNKELNGEVRLNLPSTYGEVQKQPSSELEHQQQAGQASAQSPEGTIKQVEQSQTHGIETDDPEEREHPENTNQANRRASQVRALDSSGKQGSGVQLLRENTKNAPNSTDGAPDDIQTRRNSEDNLRSYEESKVQQQPEGKAQGGLPENSYLNKNSQSQAGASDKSSEQSSPGIQNKNKSSSRLDGPTDSTKSGDTEYKSQ >Et_1B_011272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19866100:19872963:-1 gene:Et_1B_011272 transcript:Et_1B_011272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPHRAISFRRHLAVLFAVAVVAATRVAANAETAAAASAPSPDETHQSTAAAASSFLRARCATTLYPALCHDSLLPYAGEFQTSHARLARVAADVVAARLRVLLARVRDLLHHAPRTGTSAGGGGPSEADALHDCASTISSAANLARQSSEELAGMDDDDAAAAGSSSTPTSAGAGGSSSSSRRARWSVSNAKTWLSAAMTNEGTCADGIEEAGARESPSGKEVGDGVASLWRSSMAYTTFLFVLAAAISITTFAGAAPPSFPSPPVDAPPPCAAPPAPAAAFLRARCATTMYRVTCYDSLIPYGCAFQTSPVKLARAATDVNHARLRALSKRVKELVAVGNSGGAGAGAGVAAAAALRDCASTVSSAAGLAKQSAAELAKLDASAGRSQVRWAVSNAQTWLSAAMTNEVTCADGLVAAGGAAAPASGEVVVGVVSARELTSVALALVNGMPIKLYCCVDPGSVRLSVRDFARRM >Et_4A_035242.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:14035025:14037265:-1 gene:Et_4A_035242 transcript:Et_4A_035242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSALPRGFHAVLVTSGQLRHLDPHLQVPPLLLVNTLISAFSRAALPRLAIPLLRRLLAGAHPLRPDAFTFPPLVGAAPSPASAAQIHSCALRLGLLHPNVFASGSLVHAYLRFGRIADAYRLFDEMPERDVAAWNAMVSGLCRNARAAEAVALFGRMVGEGFAGNAVTVSSVLPMCVLLGDRVLALVMHVYAVKHGLDGDLFVCNAMIDVYNKLGMLEEARRVFEAMALRDLVTWNSIISGSEQGGKVAAAVELFHGMRDSGVSPDVLTLISLASAVAQCGDDSGAKSVHCYVMRRGWDVGDIMAGNAMVDMYAKLSKIDSAQRVFDSLPARDVVSWNTLITGYMQNGLANEAINTYNHMQRHEGLKPIQGTFVSVLPAYSHLGALQGGMRMHALAVKTGLFLDVYVGTCLIDLYAKCGRLVEAMLLFENMDRRSTGPWNAIIAGLGVHGHGEKALSLFSQMQQEGIKPDHVTFVSLLASCSHAGLVDQGRSFFAMMQTMYGILPIAKHYACMVDMLGRAGQLDEAFEFIQDMPIKPDSAVWGALLGACRIYGNVEMGKVASQNLFELDPENVGYYVLMSNMYAKAGKWDGVDEVRSLVRRQNLQKTPGWSSIEVKKAVSVFYSGTQTEPHPQNEDIQRELHHLLAKMRSLGYVPDYSFVLQDVEEDEKEQILNNHSERLAIAYGIISTPSRTPLHIFKNLRVCGDCHNATKYISKITEREIIVRDSNRFHHFKDGHCSCGDFW >Et_2A_016749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27870787:27872411:-1 gene:Et_2A_016749 transcript:Et_2A_016749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSGTRRAPRRQSQDVSADKVVVNLEAPSPAVARRRGVSAAGARGAPIDVEAIEDEVKEVSPSQVPAPRNRRTRRLHVTVVDLEVESNREGNKRQRIVHCLPPDMGEGSSLQSNGAVQTSKAPAKEAPKEPAFTCPICWNKLEEPSTTICGHIFCANCIKQAIKVQKKCPTCRRSLKPTNHHRIYLPDSAR >Et_9A_061343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10290228:10296095:1 gene:Et_9A_061343 transcript:Et_9A_061343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLKTRSEATPQQKPASAEEQQRKIDEVLELLGDLRTEMPSFLTDGTIRRFLRARNWSTEQATKALKETAKWRRQYKPDQIHWDDLADRENEARRAYLPDYHDKNGRSVFVTMTSIKSKMSAKEHIKQLVYNLENMSMMSEHAQEDNVIWINDFRGWTLSCTPLWESRESLHIIQNYYPGLVAAAILSNPPKIFESFWKDDLADRENETRRAYLADYHDKNGRSVFVAMTSIKSKTSMKEQIKHLVYNLENMSMMSEHAQEDNVIWICDFRGWTLSCTPLWESRESLHIIQNYYPGLIAAAILSNPPKIFESFWKILKHFIEPALKEKVKFIYTKNSESQRIMADMFDMDKLESAFGGRYTSDLDMVKYAERMRNQDQIRDSCMHANVNTSSS >Et_2B_019677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12127351:12135471:1 gene:Et_2B_019677 transcript:Et_2B_019677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVTHLIRSSAFPSRVSSSSVLLKRGNAFSSDATPGDPKRVEEPFKVEEAETVKVTPPSADKLLVLGGSGFVGSHVIKEAFDKGFVVSSLNRSGRPSIGESWADKVTWNKGNLLEPASLRDAMDGISAVVSCVGGFGSNSVMYKINGTANINAIRAAAEKGIKRFVYVSAADFGFVNYLLQGYYEGKRAAEAELLSKFTYGGVILRPGFIHGTRRVGSVKIPLGLVGSPLQMVLQNAKPLTRLPLFGPLLTPPVSVTSVAKVAVRAATDPVFPPGIVDVYGIMRYSEQK >Et_7A_051798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24923307:24931944:1 gene:Et_7A_051798 transcript:Et_7A_051798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGRTVVLVFPVNVTGSVDDRSLPRSSTMPGVIKDDEITNEPPGPSNLERSRTERRRQNNPADDPTKQLFDEKIPIKKNLKMLNRIATVKDDGTVVVDVPSGLEPATAGVATEDGYGEIAIEESLDGTDIPYRPPMQIVILIVGTRGDVQPFVAIGKRLQDYGHRVRLATHANFKEFVLTAGLEFYPLGGDPKILAEYMVKNKGFLPSGPSEIAIQRKQIKEIIFSLLPACKDADPDTGIPFKVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQSAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGSQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYVPPESLVKWLEAGDKPIYIGFGSLPVQEPQKMTEIIVKALEITGQRGIINKGWGGLGTLAEPKDFVYLLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGLGPAPIPVDQFGLQKLVDAIKFMMQPEVKEKAVELAKAMESEDGVTGAVRAFLRHLPSKTEEKTQPQSSGFLEFLGPMSRCLGCS >Et_6B_049055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17141852:17144857:-1 gene:Et_6B_049055 transcript:Et_6B_049055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRSPEPLDFFIWTVEDVGLWLEQINLGSYRQAFEENGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRRPWWAPSCLSVVFVRVAKRNRQSRVVSLKLEA >Et_7B_054614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2868728:2871093:1 gene:Et_7B_054614 transcript:Et_7B_054614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIGGDPRLRALLNWERRLEIIRGVAKGVAYLHGLSHEVIHRDLKPSNILLDDNWRPKIADFGTAGYTAPEYIMECRHLTLKCDVYSFGVILLEVVSGKRNWNSPTLLADAWESWNQHKINELLDSVVAQPEPELLLELEKCVQVGLLCVQQSADDRPTMSAVVTMLNNTSHIRRPKKPVFASRNGSPLREAADLSMEEASGRSRDSHTVYQTQEASGNSPTFSTLSSSRSYFFLPEPPWPRADTLGKGSNITEGQALISAGGTFTLVFFPRGVIQAASGTTSASGLVKPSAGLPTASTRSTTMPACWWSATRGAFSC >Et_2A_014912.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21590789:21591190:1 gene:Et_2A_014912 transcript:Et_2A_014912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFRLKLAAILLGSELNERKGIDLTLDDSDDSDDGDMDGVEVLENSPAVFHGSNQKKTDFVYSSRYSLSIAGVPKSDVELMCELWWYIYGIDAADALKYVLSRILYNQIAHLLFNANLMYFARPVVTAFLFF >Et_5A_041284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20588256:20591217:-1 gene:Et_5A_041284 transcript:Et_5A_041284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELASSFAAAAAAASSSASGGNAAAALRGWWNDVNESHEWQDAAFFSLAAAYALVSAVALIQLIRIQRRVPEFGWTTQKVFHLMNFLVNGVRAVVFGFHVHVFLLPTRVYKLVLLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDRLRPAYIAVNAIVYVIQVCIWIYLGINDNSTVELVSKIFIVAVSFVALLGFSVYGGRLFFLLRRFPIESKGRQKKLYEVGTVTAICVTCFLIRCVVVALSAFDPDVSLEVLDHPILDFFYYMLAEILPSALVLFILRKLPPKRVSAQYHPIN >Et_4B_037476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20121504:20124816:-1 gene:Et_4B_037476 transcript:Et_4B_037476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFKSHEGFGQVTALNKASNGAGTPLPWWAGPQLLYGEPVPLSPEETRRDGQFQVVPGAQGSPDPAPPSAKRAAPEVLKFSVFQGNSDSGTRGNKAPDHSTTIALQSPLPEYNGRFEIGLGQSMVSPNYSCPDQCYGLLTTYAMRSMSGGRMLLPLNASADAPIYVNAKQYEGILRRRRARAKAERENRLVKGRKPYLHESRHLHAMRRARGSGGRFLNTKKEGHSKETDASGGNKMATHASPFSYSLQLEPGNNQRHCHSRSSGSSGLSGSEASNMYEHDDADHYNSSIEHLRTPFFTPLPSIMDGEHGAAAIPSFKWAASDGCCELLKA >Et_3B_030008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29870446:29875348:1 gene:Et_3B_030008 transcript:Et_3B_030008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREVECRVCHAKVPVPAAVSKAYDSHRSTVSSRQRALNVLLVSGDCVLAGLQPILVYMSKVDGKFNFSPISVNFLTEITKIIFAIIMLIVQARRLNVGDKPLLTVSTFVQAARNNVLLAVPAFLYAINNYLKFIMQLYFNPASVKMLSNLKWEALALLLIGISVNQLKSLPEGSRALGLPVAAGAYVTVPALASVYNEKALKSQFDTSIYLQNLFLYGYGAIFNFLGLMITAIIKGPGSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGVASAVLFGHTLTINFILGISIVIISMHQYLSNQIKDEVPSTKIEMAGAHDNRLKEPVCVNVTDSAVSEAKQRHGSDERQPLLPV >Et_8B_058916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1133662:1134221:1 gene:Et_8B_058916 transcript:Et_8B_058916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGGGLKGFYRQRKKDAGVVKPPPKKRLKNAKLPQCADIQQGTRAVCSAASASTPAAADGADDCGAEEAELRRFDMDMTYRPCIGVTRLRRWERAAAMGLSPPPHLRDLIIVLQQKPRDDLPPLMGRDPSSPPKSSINNVNGGRGINSISLECLWAGKV >Et_8B_059960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4436337:4440854:-1 gene:Et_8B_059960 transcript:Et_8B_059960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHYVSLSLTWSFSSRNARILAGMIPRTPPPSMLRTVTSLTLSGESLRAPSAELVPDIFDFDGEDQGIKAWAIRRIINCGSKVKELEMEECLTESMRGESRTGRWGQTRQASLPLGSRLKDSGVDEDEDAEAGSMRSSTRRFRC >Et_2B_019763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13743275:13757726:1 gene:Et_2B_019763 transcript:Et_2B_019763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTVMKKIENMTSRQVTFSKRRTGLFKKAKELAVLCDAQVGVLAFSSTGRLYDFSSSSTRSLIERYHHAKEGNQFMSASTESKFWQAEAARLTQQLHNLQENNRRLLGQNLSGMDFEDIKSLENQLEISLHNIRIKKDEFMINEIQELNKKETLLIQQNEELRHKFDAIHEENINLRKKLSERQGERSAQKSSATEYSITSPVEEDDDHHVHLELSQPQHPGKGKPETSTLSLIVRQLINLIVAGAMVRGKTVMKKIENMTSRQVTFSKRRTGLFKKAKELAVLCDAQVGVLAFSSTGRLYDFSSSSTRSLIERYHHAKEGNQFMSASTESKFWQAEAARLTQQLHNLQENNRRLLGQNLSGMDFEDIKSLENQLEISLHNIRIKKDEFMINEIQELNKKETLLIQQNEELRHKFDAIHEENINLRKKLSERQGERSAQKSSATEYSITSPVEEDDDHHVHLELSQPQHPGKGKPETSTLS >Et_10A_000196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16847562:16848851:1 gene:Et_10A_000196 transcript:Et_10A_000196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNGRTFPGLPGTVYIKPVGGGLAIDEDIPQCANNGGEQQILLNVSSSIISSETGKTVWSYLYGFLSALLVVEAVIIGFGCWLFSKRGLLRPSRDWAVEEGYRLITSHFQRYTYSEIKRATANFTDIIGSGGSGVVYKGVLGDDRVVAVKVLRNVSQSEQEFQSELSVIGRIYHMNLVRMWGCCSEGRHRILVYEHIENGSLAKMLFDREASDGVLSWDQRFWIALGVAKGLAYLHSECLEWIIHCDMKPENILLDQDLEPKITDFGLAGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELVKGVRISDWVVDGVLFAEMDTRVVVKGIQEKMKVHDAETCFKDLIDYRLNGEYNRVQAKAILNIAVSCLEEDRAKRPNMSSVVQALISAEDETRL >Et_2B_020879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24555286:24559129:1 gene:Et_2B_020879 transcript:Et_2B_020879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVSAEDALAAAAAAAVASDKMRSVTLGGSIQRAVRRMAGGGGRRSAGVVLRPGSGEASGTASCSGDDSSICSAKREGGRRGSMQRYRLQLEQEVRKLQRQLQDEIDLHLALADAITHNAALILESSTKLPVKAHELIISIATLEIAVAKLEKDLDHLHYELCYVRNERLLSEKNPGCLLSSDCQPSTTYICTGDEDVSTSEDLRLNDPQAVQSMQVDLSTELQDQQNDEKQAEDREIVYPDRLLERRQDDPLTRLLGHRDDEMQETCSMEKEGKEDNKIDALQLSQINLRKNSMSGNLWNNPNQLSEEMVRSMKDIFLHLSASSKITPKAPFDNSCSSAERLSGSTLTSLSDSSVVASVLQSPSVDLNQDDATMDEIINFDPYNANGKDARRDIGRYCSVAEVSWMYVGNEQLEYASGALKKFRCLVDQLSKVDPTCMNCDERLAFWINLYNALIMHAYLAYGVPENDFKLFSLMQQACYTVGGLSISAAEIEFVILKMKTPLHRPQLSLMLALHKFKTTEKHKKYSIDDIEPLVLFAISCGMFSSPAVRIFSAENVRRELQESMRDYIRASVGINDKGELIVPKLLQSYAKGIVEDSLFADWICRHLTVDQIAAIQDTSSSQTQRLLGVRNFNVIPFDTKFRYLFLSDNTKP >Et_2A_017764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:654902:657151:-1 gene:Et_2A_017764 transcript:Et_2A_017764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGIAVGFSIVLDGPKFRVRRAKLTLLNKVTAVGFLLCWLDQVEVEGWADLPEDLLRAIIVLLRSPHEAIAFSATCRSWHAAVFTSVSAFNLFTLLPPVLLQPKTPNSSLSPEFFVDLMNTESPLQCQFPWETVNKMDYIGYSHGNLIYSHKKKCHLFDAFTGTRTKSPRLIFDKGDYPIFAALTAPLASPDSSLLVQAGCFLYQWKIGSESWLKQYQLDARTSINQIVNFKGELLALDCYGHLFRVRMEPRFTLQSLDVIWEHCLYYGRWLVVCDDMLLFFGHWEQSFHAVRLDLSSIPTWVKVEKLENLAVFVCGAANSQAFACKDPERWGGRSNSVYFCGINEPWSVVQLGDRVEGGATRLYSNKLFQQP >Et_3A_026085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4133416:4137758:1 gene:Et_3A_026085 transcript:Et_3A_026085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCFLLDLRNIPPPLLDRLKQCLLHLANLYAATTSPPHPLCAAAATALPDRLALGYVHHAASSSSSSSSSSSSPELKIGYRPGEKFNLRDFHHAVNNVPLDGFLPEQRGRSLQTGDVSLAYLFSNKAIYSWATDDISKKVVAICMSVQNIEALRRSLMDAAEQCVVVEFVMLETEAPFMYDDVPENVSCFENRICDLENCVVRRYSPEPQVLHGQVKRWLEELKDDKEETLQAVLLFKEPIINSVKQIYCNLYASTNQIIDGFPYCQTCKCHGHPIDSATNKAKWLCPVTSRQLAASDVTDTAVRIGEQTVLFLPTSEGGLNLRRASTSISLDVIERTELASLNEGVIMGTPHIVIPCSNDVEVSLTDESSDQNTQIFYGLCQTLFKLDQGLVCSSSCNTETMKIGTLQCYYLLQPSERGPMLLRRLAGSEEILPLPGACRDYNSNVTEEIKNSIGTSLSKIALKDYNPLQHERGFHSKLICLVKNSLQFGSTDPACALRDTHHVDSFSEPQVSGCQVPDANFLTQAADNAGSLNDHIHSFSEPQTSSFRIPKEKTPSQSKNGKPSPSISEEWEKLIVIDDLDDDFASPAAPRPAAGKPQRAKPPSSVKQLDENTSRILERLEAPKAKKPRATTSKVSTSVAQAPGRVASTQTKKPLLPSASQPLKHTFNRPRRKLPT >Et_2A_016474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25059857:25064305:-1 gene:Et_2A_016474 transcript:Et_2A_016474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQKSSDPAAAADPNKRRRVGFSGLGTRLDPPSLCRLIRVPGGESDAGVEANECMKVFLVRNLDEVGSVDRNSIQPFDLNHFFGEDGKIYGYKNLKINVWISALSFHGYAEIAFEETCDGGKGITDLKPVLQNIFGENLVEKEEFLQSFSKECQYISNVVTNGNTIKHDGSNESDPAVEIVRVELQGAAAFLYSRLVPLVLLLVEGSTPIDIGEHGWELLLVVKKSQESSTSKFELLGFAAVYKFYRYPESTRLRISQILVLPPYQGEGHGLRLLEAINSIAQSENIYDVTIEDPSDYLQYVRSSIDCLCLLTFDPIKPVLSAMVSSLKMTNLSKRTSSLRMVPPADLTETVRQKLKINKKQFLRCWEILLYLNLDAEDHKSMDNFRACIYDRIKGEILGGATGTNGKHLLQMPSSFNEEASFAVYWTQEGGDADDQTVEQQPEDLKTQEQQLNELVDNQVEEIAEVAKNVTSRGKDKLRES >Et_7A_050807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11117872:11124446:-1 gene:Et_7A_050807 transcript:Et_7A_050807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLQGNELLVGLFLVLVTILLIKQLRLASSKRRRASSAPSLPCLRGFPVISNLHQLGALPHNSLAELATRLGAPLMLLRLGSVPTLVVSTADALRAAFQPNDRVISGRPATYAATRLSYGLQDIVFSHPEGAFWRAARRASLSELLGAPRVRSFRDVREGEAAALVAAVTELSRTGAPVNLSDKPMATSNMIVRRVAFGNDGDESIDAGAVLDETQYLLGAFFVADYIPWLGWLDALRGLRRRLERNFQELDAFYERVIDDHVKKGDVSKEKDLVDVLLRLHGDPAQGGTFGSRSQIRGILTDMFIAGTDTAAATVEWTMTELLRHPDVLAKAQHEVRSVVVGREMVREADLHGLTYLKQVIREAMRLHPPAPLLVPRETIEPCTGVSMFQFANFALVPFGVGRRSCPGVHFVMAAVELLLANLLLCFDWPAPLGGVYVEEEIGLTVHRKNPLVLVAERICTQ >Et_9B_064256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13368068:13369421:1 gene:Et_9B_064256 transcript:Et_9B_064256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYESIARWWISNKNNTTMNIVTTVALWTIWNLRNAMCLQGQVWTGMKKVWKRIVNTTRLWMKMAKEEVQQSLEGILDKMVAKMMEIPRLQCGLSSHATGASSDVRSCTTIYSENERATYVALSVNDPAQQPASAEPVNALNPALTPTLYSFSLDEIDDNDATFLMLSLAVDVLLSSWDIFVWEK >Et_2B_018932.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17517890:17518795:1 gene:Et_2B_018932 transcript:Et_2B_018932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGNMKLVRNELELIHAFLKEIGKKGWGGEVIETWIGQVRRLAYDMEDIVDQFIYVVGKHNQKGSRWEYIKKKIKKPRHLFSLDEIASEVNRINRELKKLSESRDRWTIPLDTGADIPLANYETGQEPYLPGYEYSVNDEELVGIDKNRETLIKSLHSEDCSLRIIAIWGMGGVGKSTLVNNVYHNEVSNFDCVAWVCISQSYNLEDIWRKLRDLDKSEFDTGTVNCAELRVELKKILDKKST >Et_5A_040996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16247324:16250351:-1 gene:Et_5A_040996 transcript:Et_5A_040996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQATGALLAVVVVLAASANVAHGICNLSSDGIRACQPAAAIHNPTDTPSAECCSALAGANLPCLCRYKKSAGAWALTALLLVVVALATMEGAHAICGMANDDFKLCQPAASVNNPTDSPSEECCAALGKADLGCICRYKGVAGIWLRIYHIDPTRAMALPAKCGLTMPSNCS >Et_6A_046765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20291202:20291824:-1 gene:Et_6A_046765 transcript:Et_6A_046765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAPGGGDAAGGKAARKGAEEDEGAVLVLAQHGGPGERRSTAPAAAIRKRVHWTPEEDAVLLDQHERKHGPKNWGSLRSNGLLLQRPGKACRLRWANKFKFRQDHKDGKFSAEEERVVLDLQPRFGNKWATIARHLPGRTDLEVKNFWKKHRAMAAAAASSLVTTCKHVLR >Et_9B_065463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5360407:5361813:-1 gene:Et_9B_065463 transcript:Et_9B_065463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAANMVLAAVLVVSSLATGSGAGKIPADVVDEDTPLIHMLRPRVSSGGHLGRRSNLWCDSWRFAVETNSARNWNKIPKQCERYVGNYMMGGHYRCDSRAVIDQAVAYAEGLKLTGSGREVWVFDVDETTLSNLPYYAKHGFGAEQYNRTAFGEYAQAASAPPLPETLRLYKRLQSLGIKAVILTGRREDKREATAKNLERAGYHGYEKLIVKPQDLSGVSSLEFKAGERKKLEDAGYIIVGNIGDQWTDILGAPEGARTFKLPDPMYYVD >Et_7B_055246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8658025:8665832:-1 gene:Et_7B_055246 transcript:Et_7B_055246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGLSIESGSAIRVAVLPVGGPIPPACLRDYAALVARHARVDLASLRPYYKEHQKSPFAHQPWDEGCLRLKFVLGGCVPSPWEDFQSSRKVLAVVGICHLPSSPNLDRVAADFVDAARSYPSSLASRCFVFCPTDAQLVEKKRDDIIIFPPSDQQSLEVHMLTMIQDLAASLLMEFEKWVLRAESTGTILKTPLDSQSSLGSEEVIKAKKRRLGRAQKIIGDYCLLAGSPVDANAHYTTAIELAKLTGDVFWHAGALEGIVCALVVDRMGQSDPVLEDEVKYRYYTILNLYRRATLQDNAQRVSPVSFELEATLKLARFLCRRELAKEVSDLLMGAADGAKALIDASDRLILYIEIARLFGTLGYKRKAAFFSRQVAQLYLQQDNAYAAMSAMQVLTMTTNAYHVQSRKNIKTDHDSRKDLRTSNTDSGKVHPQSIVSLFESQWSTLQMVVLREILMSSIRASDPLSSWSAAARLLRSFYPLITPSGQSGLASSLANSADRLPTGTRCADPCLPFIRLHSFPLHPSQRDIVKRNPQKKDWWTGGGPSGPFIYTPFSKAVASGTSKQEVTWIVGEPVQVMVELANPCSFDLVVESIYLSVHSGNFDAFPVSVSLPPNTSKLVLLSGIPTKVGQISIPGCIVHCFGVITEHLFKEVDSLLLGAAQGLVLSDPFRCCGSSKFKCVSFPSISVVPPLPLLVASVVGGEGSILLYEGEIRDVLITLTNAGTVPVEEANIALSGKNQDSVISIAHSTWKSALPIKPGGEVTFAVTLRAWHLSLADSEADGSRSPANSRRTVREGINPFLDIHYAGSVANAKNGEVSLPPGRRLAVPLNICVVQGLRLVRARLLSMEIPAQFTEAHLRSISGKDDISTGTDAAHSDINLLKIDPCKGSRGLRLLELELFNPTDVVFDVDVAVHLEGANVDQNLIAESTATDAAFHKTRIDRDYSARVLIPLENFKLPVLDASFFVKENGSAEPYGSKAAAIAERNAKAELNASINNLISKIKVKWHSGRNSSGELNIKDAIQTALQASIMDILLPDPLTFSFKLAKNGTATNDDSSKNSGNPDDDSSHSTDRNVLSKSVLRYEDPISPHEMTHMEVQIRNNTKEIIQMNLNISCKDVAGENCFDENSATVLWAGGLSDIHLEVPPLQEVVHPFSVYFLVPGDYSLQASSVIVDATDVLRARAKAESPDEPILCRGSPFHIRVVGTA >Et_4A_035409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23594105:23596837:1 gene:Et_4A_035409 transcript:Et_4A_035409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPSVSRAGAIDRRWLLSVAAGGACALLLILATSTFQGPSSPLRFLSSPSTPASSSSSSPSSTPPRPLPPLYVESSLSRPAPPPASPPRFAYLISGSAGDAGMLRRCLLALYHPRNSYILHLDAQAPDADRAELAAFVAAHPVIAAAGNVRVIEKANLVTYRGPTMVTTTLHAAAAFLWGDGPGPAGRRQQPEWDWFINLSASDYPLVTQDDLMHVFAKLPRDLNFIDHTSNLSWKAFARAMPVIIDPALYKKTKGDLLWVPERRELPTAFKLFTVGQFGKSLVGLVEFSSLQNSNKWDTLASLSGSAWMVLSRAFVEYLIMGWDNLPRTVLMYYANFISSPEGYFHTVACNADEFRNTTVNSDLHYIFWDNPPMQHPHYLTLADWDGMLGSDAPFARKFPRDDPVLDRIDADVLARGPGMLAPGGWCAGAGERRDNNGSDPCAAVGNTTLLRPGPGAERLQRLVTSLLSEENFRPRQCVLEEEQT >Et_3A_027197.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:4668233:4668772:1 gene:Et_3A_027197 transcript:Et_3A_027197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLQPCSLLLVAIALVAAVAVVADDEKCGSPCGNPCGVPCVYASPPPPSPEYYPPPPPVYSPPPPEYYPPPPPEYYPPPETPTTDCPPPPSGGGYVPTPGYTPTPGGYTPTPGGYNPTPSGGGGGWYSPPYTPTYNTPPGTLYPQDPGFRPNDAAGRRAAWGAALAAAAAVAGVLAL >Et_8A_057049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20516546:20524469:1 gene:Et_8A_057049 transcript:Et_8A_057049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAIGSRYPLSFPSSLWYATLPLLWLVRSGQFLCGALWGGKRRGGGTADAVLSEYAGKARVACGLPICDVRIKSSRRLEVICRGMLETRKFMRRKKEEVFKDAADEAEQKNWRRMMREIEESGSAVSILKTQRGRKEPLPRDVILGTLVRFKQLKKWNIVSEILEWLRTQHWWDFSEMDFLMLVTAYGKLGDFSRAERVLKYMSKKGHQPTVISQTALMEAYGRAKQYRKAEAVFRRMQTSGPEPSPVTYQIILKSLVEGDKYKEAEAIFEDLLNERRASFKPDQKMFHMMIYMYKKAGDYAQARKLFAQMSERGIPLSTVTFNSLMSFETDYKEVSSIYDQMQRAGLKPDVVSYSLLIKAYGKSRREEEALAVFEEMLDAGVRPTRKSYNILLDAFAISGLVEEANTVFKAMRRHRVEPDLCSYTTMLLAYVNASDMDGAEKFFRRIKEDGLKPNVVVYGTLMKGYSKLNNVERVMRVYERMRIQGVEPNQTIYTTIMDVHGRNSDFGNAVIWYKEMETRGYPPDQKAKNILLSLAKTPEEQQEANELVGHGAIELVVNHDDEEVDDSDGHEVRQTDARNHLLLGNTSRKSNLNGRNKTDDYTFDEEDDEDDYEEEDDEEFNFVSFKDKRELNFANNLLDLANLPDSNIQRHPAPGAPHQLTVFGSKSCFSGTDSY >Et_3B_027453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18964678:18965910:-1 gene:Et_3B_027453 transcript:Et_3B_027453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRERRSGVRFIENDRDRNLTFFKRRGGLFKAVADLSTLTGARVAIVLESESGKFSSFGTPAVDPIVDAFLAGNASMGPYANGVITELQNELFQLEKDIAVEEKRKKHSITLAKELEERSRTCKLVFGKEEDLDDSEICEKYRELLRVHNLVQHRVDVNFNNSSTFFQSPISSSSPLMPPLLRIPQYESSHHLSPPPLSVGELPFKDLNNNSMELSQKYPNSGSTSAPTSKPCNATFDGLNIELGKTNENGGQTYGGHNMFGDSSLLQGDGWIDEMLSEPSSIGGQSKAGAGNNLGGMNLPWN >Et_1A_008653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9447365:9451607:-1 gene:Et_1A_008653 transcript:Et_1A_008653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPALEILVREPDGFTVWSGPPYPPGSSPPQRLPKTACSATSFSADGSRLLATVASASATVYDCRTLAVVRVFELPGLLAAALSPTGTYLQTFQKSSSPQEKNVTVWHVDTATALYQHFQKSMSKATWPMVQFSADESVACRMMPNEIQFLDPKDFTKGIVSKIRMPGIAAVQLATAPGSHVAGFVPEAKGVPASVQLFSSSKDAQNQVVARRSFFRCSTVQLLWNKGSTGLLVLAQADVDKTNQSYYGETKLHYLTTDRAFEGMVPLKKDGPVHDVQWSSSGSEFAVVYGFMPAKATIFNKKCNPLLELGEGPYNTIRWNPKGRFIVLAGFGNLPGDMAFWDYSEKKLVAKTKAEWSVSSEWSPDGRHFMTATTAPRLQIDNGIKIFDHNGSLQFKKMFEKLYQADWKPEAPERYGDIADLTTSLSSLKIEETKKQASAQGSKQAQTSSKAPAQTALKPSAYRPPHSKGSAELQDKILGYLANIFTHIDHKLLSEDTNKVSFWKGAFWWTCSYRRMEYGNSHKGISLDNSFPNKVDSITVNTKSEHLTSCAHYVKENDHGKERNSRDHQNSYTHLPSYDFLQFDDVHRKPPAFAI >Et_7A_052003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3642359:3644674:1 gene:Et_7A_052003 transcript:Et_7A_052003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLLGSLASSSSACSPSSRTKCSSIGQKIVRCSLNQNASVKKHAVISKQLISCLASSLLFISPPSQAIPAETFAQPGFCQIAAVAVIDNASVPLKFDSPSDDGSAGMMMMRGMTAKNFDPVRYSGRWFEVASFKGGFAGQGQEDCHCTQGVYSFDEKARSIQVDTFCVHGGPDGYITGIRGRVQCLSEEDMASAQTDLERQEMIRGKCYLLFPTLPFIPKEPYDVLATDYDNYAIVSGAKDTSFIQIYSRTPNPGPEFIEKYKSYVANFGYDPSKIKDTPQDCEYMSSDQLALMMSMPGMNEALTNQFPDLKLKSSVAFDPFTSVFDTLKKLLELYFK >Et_7B_053395.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:15122475:15123431:1 gene:Et_7B_053395 transcript:Et_7B_053395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PPGAYWQVRVRPQGEPALLVVPGLEIPRVIGAVHCRGLVLVEDRTRTAGYAVSVCNPTTGEVLRLPDKPSWSGGEIGPPGVVTGIGFHAEHYKVVQVTVAMGSNMQARVLTLGDDRGWRALDLDMTQAFGDLTDDACIDWNIDPVFADGCIHWYFRTNRQNPDEPHGIISFSLADESFRRAPLPPFVTSDRASYPHRLEKRQATPSSSGTRLAELDGRLCITRDVRRRSDAPGQFEVWKLHDYEAGAWSLDYRVDLKGRAARRVKASFVVPLTYMDGGWWCPPCPGDPKTKRRLLLVTSEQRVQVYDPNTNLLRTVASI >Et_1B_010288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35050519:35051936:1 gene:Et_1B_010288 transcript:Et_1B_010288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVICALNSRLDATMASVLLQHSEAKVIFVDCALLDIAQQALRLVSQAGAKPPVVVLIKELLDEEPSPDHLIPAADRCAIDDFAIRWPADENDPIALNYTSGGWCLTWGVAAQGGTNVCLRKVTGAAIFDSVARHGVTHMGGAPTVLGMIVNATAEERRPSLPLCKRGRVTVMTAGAPPPPQVLLRMEELGFAVVHSYGLTPEWDALPPEERAALYSRQGIHHLGMEEVDVKDPATMQSVPADGRAMGEVMFRGNTSGDLAVRHADGYVKLVDRSKDVVISGGENISTIETPCAFVALKEGSSAEPEELIAFCRSRLPHFMAPRTVVFVPELPKTATGKVQKFALREKAKALSTISSSSNGAA >Et_5A_042306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8574978:8586627:-1 gene:Et_5A_042306 transcript:Et_5A_042306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLLFLLLLLLATASPAAGAGGATPVVNPFSYFCNSTAVRRTFLPNSTFAANLATLSAALPRNASTSSPGGGVFSAGSFGAAASGDTAYGLVLCRGDLTGAACASCLEARFGDAETHCPYSSDVTVYHDQCQLRFSDRDFLAGAGAGEANMPESVAWNMNNVSVDSRSAAAFDDLVVRLLGAVAGATANTTGRYRTGQAGFARERMNVYALAQCTPDLTPAQCRGCLDGLIGQVPTFLSGRVGGRILGVRCDIRYENEVFFARSRDMVTLTPLVDTSKGSNNKLWIVAIVVPVTVVLACFLACFLWIRKRRRRVISVSGKVSMPTMSMEIEQVLKLWKVEESDSEFALYEFDQIADATTNFSDDNKLGQGGFGHVYKGELPDGIEIAIKRLSSCSVQGLMEFKTEIQLIAKLQHTNLVRLMGCCIQADEKMLIYEYMHNKSLDFFIFDSVKGAVLYWERRFRIIDGVAQGLLYLHKHSRVRIIHRDLKASNILLDKDLNPKISDFGMARIFSSNVTEANTTRVVGTHGYIAPEYASEGLFSIKSDVFSFGVLLLEIISGKRTAGFYQYGKFFNLTGYAYQLWKDAKWHELVDPALGNDFPVSEVMKCIQTALLCVQDSADERPNMPPDAAAAAAAAAYAEYSCNGTAAGNFTAGSAFASNLDLLVAALPGNASSSPSLFSTASVGIANNNNNDNDTAYGLALCRGDMTNQSACASCLADAFARLRRLCSGDRDATFYHDLCTARYSGSDFLSQPEDNSPVINGMDATNATTYPGWDPANATTRSFFLSLVGTLFGEMSMYAAYNASATRRFASAVMFINPTLPTVYGLAQCTPDLTPAQCWGCLNGVGELNKRWYDGREGGRIMGVRCSFRYEGYQFYAGAPDVRIGLPAESSPSSPANGSNGSNHRKVLIIALTVSITVLCCLLLCGLLLIRRQRGKRAGTAKNHRQIQQAHSRNSSKTEAALKLWRIEESGSEFTLYDFAELAAATGDFSDENRLGRGGFGPVYKASSFVCTRMFECIGRVTDVGSHDCDLYYAMQGKLGDGAEIAVKRLAAHSGQGLEEFKNEIQLIAKLQHTNLVRLVGCCLQEEEKMLVYEYMPNRSLDCFIFDQQRGPLLDWGKRLHVIEGVAQGLLYLHKHSRVRIIHRDLKASNILLDKDLNPKISDFGMARIFGSNMTEANTNRVVGTYGYMAPEYASEGIFSVKSDVYSFGVLLLEIVSGKRNSGHHNHTSDDDGWSEARTRSSQGTASFSTNDLTITTIHEGR >Et_6A_047467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6178065:6182934:1 gene:Et_6A_047467 transcript:Et_6A_047467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKSTNSAPCKKRVLEPNPAPESLATKFGCSELPQHPDRNTRIDRSRSSRFWTCKLGLAPQGRAAGARETETGKSTNSAASAGGGRKKRVCYYHDPRVSFADYGKDHSMVPHRVTMAHALIKAYGLLDDMDLLRVSPATEQDLLYAHTKEYLAFLRDLTPADYAGDAGVRERAREHKVGPSAGSSCSTTDDNPVIDDLWGYCLRYAGGSLAAARALAGGGYDVAVNWSGGMHHACRGKASGFCYVNDIVVAVGALLDYGRFRRVLYVDIDAHHGDGVEAAFLTDPRVMTVSFHQYDGRDFFPYTGAVGDVGEGAGEFRTLNVPLEAGTGDARNRGLFEPIVARVMEVFRPDAVVLQCGADSLAGDRIAGLSLSVRGHAECVRFLRSYDLPLLLLGSGGYTINHVAACWCYEHYYQSQGYKLHHSTARNGNGNTETKHMVKVKWRVMKHLEQLSALLAAPAAQPDEEPPRKVDIEVDALLDDSPRPEDPIEVLHRRCGEQDLSEFLTYLGKKQLLKRRKVDRYQKW >Et_7A_050563.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:24871494:24871994:-1 gene:Et_7A_050563 transcript:Et_7A_050563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHIISASKSEMKCDSTNISEGNTCENYNIQFGTISNIVNDCKKEKFQSACDAMISNIFIGANLCKKENNRDKKPYIQIGSMLVEIEEAKDHIQVAKIFQRAWHTFLSSSTWPHVNRITKLQDMKILIQFGLGLVQPDRRGQEIPYLPHPESDGGELVLDGKIIS >Et_5B_045312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1839941:1842142:1 gene:Et_5B_045312 transcript:Et_5B_045312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDCGVAARGAGSCAKKPFQFTRSLTYSHHHGHRPAASGKQWRRHHDEARARPQAVVLYTTSLRGVRRTFADCSATRAILRGFRVAIDERDVSMDAAFRRELQALLAARGRAFALPQLFIGGRLVGGADEVRQLNETGQLRRLLDGAAGQDPAFVCDACGGVRFVPCAGCGGSRKVYDEEEDRVLRCVECNENGLNNVLIHAHSAISYIWHDEY >Et_2B_021685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4472059:4474991:-1 gene:Et_2B_021685 transcript:Et_2B_021685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDSLSKFKQQQERCQSSLASIAHQASTSKPKHRAQPINAPSVPARPSQPIKFSNDTERLQHINSIRKSPVGAQIKLVIELLYKTRQAYTAEQINEATYVDIHGNKGVFDSLRNNPKVHYDGRRFSYKSKHDLKGKDQLLVLIRKYPEGLAVVEVKDAYPNVLEDLQALKASGEVWLLSNMDSQEDIVYPNDPKAKIKVDDDIKQLFRGIELPRDMVDIEKELQKNGHKPMTNTAKRRAAAQINGVKPKGKPKKKQREITKRTKLTNAHLPELFQNLNT >Et_1B_011000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16351508:16352738:1 gene:Et_1B_011000 transcript:Et_1B_011000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVYSPRFFKAKAVDGLSSSSGGGAAAASCVVLSSMAAASVLPCFEWKMTEVRREYVIWDGRKLGLNKSFRPGQLEMPAFSLRRRPEAPDWPAAMTSSLPDWAFLDRCIFDDSFPADEPTEAICANSRGDEIRICFQLHEPPRPSRIYLSWPAGKGEFDRFYVVAAHRDAVLFQMMYPIPVHGRDFPVDMYDYFLYTVGDGGGGGGGSIRPSLVLLPSLDGTIEEFRALFVAGAFRYTNQRLRRLECLDLGVLRRGEDCVVAELQIDRLGGDGLPELHVLHPLQSGTLWQATHPKVTIHDSGMDLETLLWNWCADTVVPVGNYLCWVDYCLGGILLYDVFDGSSDLQYMPFPAKMPDLDRDIHGSHGRMCTRLLVSMRTMLSSSSLWSSMVMAR >Et_4A_032646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12145736:12150706:-1 gene:Et_4A_032646 transcript:Et_4A_032646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVDSSAAHRQRPLLSVDTRRVEASTEEPGEPLSPTARLFQDIFIVAVFGFGTPIDFGPFRAGLEATLLQHPRFRSIQVMDGPDEPRWVQTTVSLDDHLVIPDLDPTAISANPDKVLEEYVASLSTLPMNLSRPLWELHVLNFPTSESKSAVVFRIHHALGDGTSLLSLVLACTRSAADPKALPAMPAPPRRTGPIYSMPRPTRPAGAVASFAAWVSWCLLLAWHTVVDVARFVTSVMLVSDPRTVFTGGDGARLRGKRFVSRGLSFADVKRVKNALGCVRRSHLLSAHWSAPFHMRCGGDQNSNSFFLRINFLQTDQHYIRFDAQTVNDVLVGVTSAALSRYHFRKLATTDACLLRTILAVNIRPTPGLPVSQKELAKMMENGKDNHVKWGNQVGYIVLPLYIAMHDDPLEYIRKAKKIVDRKKNSLEAIFTHVAAEAMTRYFGVKVSGDLFHRMEYNTTFAFSSLSGPVEQIEFYGHRVVYIAPSFYGNPTAVNLLWQSYGDTIRIVLAVDDAKIPDPHQLLDDFAESLRTIREATSTA >Et_1B_009703.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17909246:17909725:1 gene:Et_1B_009703 transcript:Et_1B_009703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHNVAVDGTTACIPGEYNGAEEEDGEGEHGDGDGQGEEGSPTSTSSKRRASSYISTATSSNKKTKSPMVRVMKGMLESQKADSEATQKVLNGDIMANSMKKALQLVVDSGASRSSLEYFMATQLFVKAEHREAWFNFETAEERLLWLQRWCRLKNMYS >Et_5B_043375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:48327:51348:-1 gene:Et_5B_043375 transcript:Et_5B_043375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARLKLGLGMDTVVSLSPLRHPAKLRRGMVGISSATAANPLLLKWGSSNNSTLGWSRLCSSSSVSASASGATTTPPKAETKREKDLVFVAGATGRVGSRAVRELIKLGFWVRAAVRDARRASSLVQSVQQLKLDGAAASSPAEKLEIVECDLENQPQDGIVSAIGNAALVVCSIGASEKEIFDVTGPYRINYLATNDLVQAATAAKVEHFILVTSLGTNKIGFPAFLLNLFWGVLYWKRRAEEALISSGIPYTIIRPGGIERPTDAFKETHNLVVAPEDTYVGGLVSNLQVAELIGFMAKNRKAAYCKVVEVIAETSAPLLPMDQLLSAIPSKRVGSVVVVVRQFDSLILRPRTENGKEKLLLTAVVPGMKEPSTLT >Et_2B_020745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23171699:23176083:-1 gene:Et_2B_020745 transcript:Et_2B_020745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGRPVRLVLESSVLLEPSASAGGDGRGSGEAALRPGAEALLRRLRYSNLSVSGFLEKVASLYSFEYMPLPATSGNCSSNNLMLEWSRTSLCFYVTSSIDKGLFSELHNQSWRILYVGNECRIKDPGVLNVSRLQELLLTLATLIKRRGALPLYSTKNGLIFVPLSFELPLSCQLQEVDIVLHKVTDEIVKIDPNFSIEFPKGISFSAGMSEIIRFVEEHPEFCIIDPFENIYPLLDRHQIQQILVQLQEFGTERKPRLRAPRSLKIENFDDDKLEKQLAEADLTFPLIVKPQVACGVADAHNMALVFKFEEFSNLTVPLPAVLQEYIDHGSKIFKFYVIGDKVFHAVKTSMPNASFLKSSSGGEPLTFNSLKTLPVATKEHLQQTRLQDSKLLDTTLVEEAAKFLKELLQLTIFGFDVVVQEGTGDHVIVDLNYLPSFKEVPDSEAVPAFWDAIRTAYRSKIGQSHT >Et_3B_029998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29760311:29764961:-1 gene:Et_3B_029998 transcript:Et_3B_029998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYDGRVGLHRLLLLSSLLLLASGEVFFEERFEGYGDEKYDGWETRWVQSDWKRSEGKAGKFKHTAGSYSGDPDDKGIQTTLDARHFAISAKFPEFSNKNRTLVVQYSIKFEQDIECGGGYIKLMSGYVNQKKFSGDTPYSLMFGPDLCGTQTKKLHLILSYQGQNYPIKKDLQCETDKLTHVYTFILRPDASYSILVDNRERESGSMYTDWDILPPRKIKDVHAKKPKDWDDREYIEDPAVKPEGYDSIPKEIPDPKDKKPDTWDDDDDGIWKPRMIPNPEYKGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPLKYVGIEVWQVKAGSVFDNILICDDPEYARQVVDETWGANKEAEKEAFEEAEKERKAREDKEAERAREEGERRRRERGDRHRGRDRYKRRYRDHWDDYHVAHPSAVFYHFWRQPHPQKAATDQ >Et_5B_044026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19133029:19135464:1 gene:Et_5B_044026 transcript:Et_5B_044026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEGIDVMRFISERNLPVGHHVILTPQHHLAAVKEKTTAIVVTINQSRKRKSLESSTPSPQQSHSGVETSTPLGSAHARAGLANVSGSEHSASTQGLVDSMAGMFKQVKMAVDELAGKETKLQESKEEITQLKLELPTQLREELRLAKEALEKANTAHSVEVGRLSKELQQVKDELRAVKIDCQHKLISMEHTRYVKGLMDMRQLAMDVYPEVVNSSGLKHPLPPEDGQLTSAPKVPAEINWDVECNACQEDVLTKKQCERERSRKGQRRNRPVYNR >Et_4A_035702.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3976834:3977145:-1 gene:Et_4A_035702 transcript:Et_4A_035702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEPLHRPVRPGGGPARGPPRPAHRRHPCRPPAEPAHAPGGTAAELPPITAALGSKFKRNSPYNTGLIADRGWGGDTTTVVVLYFSAGRISTVAFAKPGDER >Et_7B_054975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6073387:6076657:-1 gene:Et_7B_054975 transcript:Et_7B_054975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRKSSIKSYGSNNSSRSGSFDFDQDKDRDRLLNDADDRRPREVVVKIDAEPHVPAVDLHVSAGRGSNYSSTANTPRAGAAPSTSSALQGGSGAGSSGSGSPRARDGESFSFKNRPPQSPTSPALSIGGESSDDPPSRLIGNFLRKQAAAGGEMSLDPGDFEMEELRRPPRVPASVSASRELRVSFQEAHKGRFSSTSSSASSSSYDGNRNQSAASDGDTGEVLRCTSTSTSSLLARSKTRSRLMDPPPPSNAPGGGGGEGDRKSFVGKGQPPPKSGQLRSGLIGKSGLIGKSGGGGFDDEDDDPFVDEGLTSDFKRDTMDCLLIMEWVSLVIIVGAIVCSITIHSLAKKKLSGLHLWKWELLVFVLICGRLVSGWVIRIAVFFVERNFLLRKKVLYFVYGVRRAVRNVLWLGLALVSWHLLFDKDAKRDSHTLVLPYVTKVLCCLLVATVIRLVKTLLLKVLASSFHVSTYFDRIQDALFNQYVIETLSGPPLVDESRMLAEVQRLQSAGAAMPSELQGAAMPSKSSGSVPPKSGRLTTVASRKGGGAGPSKQLQRQKTERHLDDGISIDQLHRLSQKNISAWSMKRLMKIVRYGALTTMDEQLKHATGEEDELATQIHSEYEAKVAAKRIFQNVAKPGSRHIYLSDLMRFMRQEEALKAMDLFEGAQENNRVSKRSLKNWVVNAFRERKALALTLNDTKTAVNKLHQMANVVVGLIVFALWLLILGIATTRFFVFLSSQLLVAVFMFGNTLRTIFEAIVFLFVMHPFDVGDRCEVDGMQVVVEEMNIMTTIFLRYDNLKVYYPNSQLAALPIMNYYRSPDMGDAVDFSVHVATPVEKLALMKERLLHYLDNKKEHWYPGSMVVLRDVDDTNKLKISIWCRHTINFHDMGMRFERRELLLQEMIKILKDLEIEYRMLPLDVNIRNAPTIQSSRMPSTWLTTY >Et_2A_015009.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:25960177:25960380:1 gene:Et_2A_015009 transcript:Et_2A_015009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGGATRGNQHIVPNTSTDSATAGNLSSDERKVRVVFCSMIEFFTHHCFCRDFGKKCYETRELCRANC >Et_3B_029415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24876121:24880998:-1 gene:Et_3B_029415 transcript:Et_3B_029415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPANEHPGSPQPQQPSAERRLNSLVRHLLPSSPRRTAESSSATLESSPTMASQVASVFAGIAQAPEDPILGVTVAYNKDPNPNKVNLGVGAYRTEEGKPLVLNVVRRAEQMLINDPTRYKEYLPITGLAEFNKLSAKLIFGADSPAILENRVATVQCLSGTGSLRVGGEFLAKHYHEHTVYIPQPTWGNHPKVFTLAGLKDVRYYRYYDPATRGLDFKGLLEDLSAAPTGAIVLIHACAHNPTGVDPTLEQWEQIRQLMRSKSLLPFFDSAYQGFASGSLDKDAQSVRMFVADGGELLMAQSYAKNMGMYGERVGALSIVCRSADVASRVESQLKLVIRPMYSSPPLHGPSVVATILKDSEMFHEWTVELKAMADRIISMRQQLFDALKARGTPGDWTHIIKQIGMFTFTGLTSEQVAFMRQEYHIYMTSDGRISMAGLNSKNLPILADAIHAAVTQLKSDTMVNPFKITRSARWVSSTENPV >Et_5B_044707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4896397:4897922:-1 gene:Et_5B_044707 transcript:Et_5B_044707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAPIAAAEGTQPAPTEGSADASPPSSGGKSAESLLPSLSIWPPSQRTRDAVVRRLVQTLAAPSVLSKRYGAIPEPEAERAAAAVEAEAFAAASESAAAASPSSVEEGIEVLQAYSKEVSRRLLELAKSRAAPAAEPAEESVKKEEEEDDASATPPTSEAAASEESQLFV >Et_2A_018060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9671273:9674560:1 gene:Et_2A_018060 transcript:Et_2A_018060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFISAQPVWRLALRDTTFIATMESTRAQSAWVLALAAVGLLVVARAAVRLALWLYAAFLRPAKPLRRYGAWAVVTGATDGIGLAIAFRLADAGLAMFLVGRNPHKLASVAAEIRARHSNVPEVRTFVLDFAGGDLAAGVEALKEAVRGLDVGVLVNNAGVAYPYPRYFHEVDEELMRGLIRVNVEGLTRVTQAVLPGMLQRKRGAIVNVGSGSSSALPSDPLNSVYSATKAYVDQFSRCLYVEYKSKGIDVQCEVPLNVATKMATSIAKPTITAPTADTYARAALRHIGYEPRCSPYWPHSVMWFLISMLPESLADSLRLSMCIKMREAGQSINLQRRINKFNASSEEILCP >Et_4B_036293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15438566:15440413:1 gene:Et_4B_036293 transcript:Et_4B_036293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLVSLHHHHQQQQQQQQSPYYSSSSRSSTGSPSASTPHNNNYYYYSNSNYSYYPCSSAGGTGGGYYFQEQQTATTHLHEECGNEHRFYMDEDFSSSSSSRQFHQSSGGAAQQQQQQQSPPVPATTSTPPASTSSTQHGLFEAADFSFPSVDIDLDFSSGGSPAGGTGASASPSGTGRWASQLLLECARAVAAHDSQRVQQLMWMLNELASPYGDVDQKLSSYFLQGLFARLTSSGPRTLRTLSAAADRNTSFESTRRVALRFQELSPWASFGHVAANGAILESFLLLPDQNAGGARLHILDLSDTFCTQWPTLLEALATRSADDTPHLSVTTVVPAAQQRVMREVAQRLEKFARLMGVPFAFRVVHHAGDLADLDLDALGLFRDATTNAALAVNCVNALRGVGAPRRDAFLASIRRLEPRVVTVVEEEADLVPDASASASSGQDTTDDAAAFVKVLGEGLRFFTAYMDSLEESFPKTSNERLALERAAGRAIVDLVSCPASESAERRETGASWARRMRSAGFSPVAFSDDIADDMRSLLRRYREGWSLREPGADVDGAAAGAFLAWKDQPVVWTSAWRP >Et_1B_010344.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9703772:9704002:1 gene:Et_1B_010344 transcript:Et_1B_010344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIVLAVALALLVLGASDGQVLPTPCCRWNCCDGRPECCDPGPGVAGATSPAAVPVAHANAAPPDAARKVGAGN >Et_3B_029755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27640139:27644253:-1 gene:Et_3B_029755 transcript:Et_3B_029755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAASGGGEGEELLLLSAMEAGNGAAPAPAEQSWRLNFDGFRPPEAHQERPPPHALHHCLGVLAQGPEDVVAEYYQQQVEMLEGFNEMDTLTDRGFLPGMSKEEREKVARSETLAIRLSNIANMVLFAAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYRYPIGKKRMQPLGILVFASVMATLGLQIILESVRSLVSEGDEFSLTNEQEKWVVDIMLSVTLVKLALVIYCRSFTNEIVKAYAQDHFFDVITNMIGLVAALLAKYIEGWIDPVGAIILAIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPSDMPLQEAHDIGEALQEKLERLPEIERAFVHLDYEFTHRPEHALSHEK >Et_5B_043512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11375778:11378111:1 gene:Et_5B_043512 transcript:Et_5B_043512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTRFPMPAIPSTNRAGSLPRPLPTIARAAVIKVAVVPANAAVADVVRRLPHRRQRLAGASKRGLLQRFCVCHVVNGDIGRLFGAPVNVTRAASLMPDCKYGIRFDTLTEVCNRNTSSNSVVLTAALLVAFAIAAPNLQPSAATKVHGGEGAAAAAAKKAATAKAAYKEEAAGATLITFADQPPIGGGGGSTAAAQPTECRPLLLGMLPCAGFLSSPRVPVPGLTCCDGFNAMFAANTITCLCHVVNGDINSLLPSPMQNARMLRLFPICGHNIRVDRFAAACRASNYRATNRRSDSATDAAIPSGTVPSSAIPSDADPALTTILWARIRSVTASTI >Et_1B_011265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19810258:19814271:1 gene:Et_1B_011265 transcript:Et_1B_011265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FSSRRSVTREPFPECRQPQVDAASAVSVPRRACPPASLAMSCCPISPTTASSTAAASSTSRSSAAPGRLTPPPSTSYGTPSSAPRQLTTKIQESMQLLQLIRDYQVHGHTMAALDPLGLDDVHGDELDLALYGFTEADVDREFFLGVWKKTSGFLSGSGNAIVTLRDMLAKLRRAYCGAVGYTSTCTEKLEWLRARIETTAEADAPNDKARRAGAVLEGLVRATRFESFLAERCPAAKRYGLDGAETLVPGMEPLLDKAAELGVESVVIGTSHRGRLNIQANVLGKPVAQIISELTVGPKPVQAGGEGDAAFFTGTGELYLQQGVSCDRRPTRGGNKTVQHLPMVAHPCHLEAVDPVVMGKTRARQFFYAGEGDADGRTRVMGVLVHGDGAFTGQGVVYETLNLSALGGYATGGTVHVVLNNRVAATADPSAGRSTRYCTDVARALGAPVFHVNGDDVEAVVRVCELAAEWRQTFRSDVVIDLVCYRRFGHNELDDPTVTLPEMYQVIKNHPSSLNLYERKLRETGQISREELQRIHNKVNKLLNEEFEKSKHYVPTKRDWLSANWTGLKPPEQISPAHNTGVKREELKRVGQEITTLPENFKPHEVASKILEQRATMIESSEGIDWAFAEALAFATLVGEGIHVRLSGQDVERGNFNQRHAILHDQKTGEKYCPFNHVVVNQKKDLFTVTNSLLSEYAVLGFEMGYSMENPNSLVLWEAQFGDFANCAQVIFDQFLSCAEARWLRQTGLVVLLPHGYDGQGPDHSGAHLERFLQMCDDNPFIIPEMEPARCRQIQECNWQVVNVTTPANYFHVLRRQSNLSEFDDSEGNTDFDKQGTRFKRLIADPRNHNIDEDIKRLILCSGKVYYELDEERETQAAVIIEQLCPFPYDLIQTELNRYPNAEIVWCQEEPMNMGAYSYIAPRLHTAMKTLGRGSFNDIMYVGRAPSAAAATAFPSVHVQEQLELVKKALQPEPIKFP >Et_8A_056870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18134433:18138054:1 gene:Et_8A_056870 transcript:Et_8A_056870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEFKKTASRHTTEAETGMHAFEIVGYKLQKGVDVGKFIRSATFTVGGSDWSIRFYPDGFSEKNSECATIFLELMSKTTLRASYRLSLINLTTWLPENLHSLTTTKVFNSSNRFSTGTLISRNKLESKSAGYIVDNYLRIECSVTVIKESRVSETTGDLEIEVPPSDLSEHFGKLLLDKKTADVAFSVGGEKFPGHKIVLTTRSPVFKAEFYGPMRERSAKLITVEDMQPDVFKALLQFIYTDGLPDWDDLDVGEYCDIVRHLLVAADRYAMDRLKLLCASLLVEYLDTENVATTLALADQHSCDRLKDVCIEFMASSCEMAAVVATQGYANLKRTCPSILLDVLEKTSRESASDIMDDRLIITPNGALKRKCPLRHEALRKVLLGRGIPHACDGACRTAHCVHRRVRKTPCVAIDDIQPDVFKALLHFILEGLIIKDYTEPIRHLLYALDRLKLICASILVIVPFFITKQHQFQKTAA >Et_6A_047493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6453968:6458826:-1 gene:Et_6A_047493 transcript:Et_6A_047493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NSCRLPASLHPRTLPSLSPIPKNVDHLGVVPAFSFSRIPLAFAQVRLPPIAGGERPFSIRGRASSASAKPAAAVPERSVLRIGLPSKGRMAEQTLDLIMNCQLSVKQDNPRQCTARGLKTSFVNCNRGMVSGIVGFDIVNEYGMGQDYLVVVHDALEFRHCRLSLALGAKFLKENDFKHVKLLSADGALESYPPMGMADAIVDLGPWEACILFRDKGQQEAERLSPPDSI >Et_4B_039366.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1190892:1191227:-1 gene:Et_4B_039366 transcript:Et_4B_039366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQREAKAGRRTHVALEMGGAGAEEEGVTRGCAAATAGVACVGLAGAGVLVWWAVAFHPAREQLWMVPVGLVLLGTPLIAWLSLFASGACRWLGRFRAGADQAAPAVAPET >Et_3A_025293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28681223:28682087:1 gene:Et_3A_025293 transcript:Et_3A_025293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRTLPLSPAQSSSFGAHQCIQSFKTSSGGARPVKAYAKADDEKENKQSLFGSITEALDFSQVRSEKDAELLYEARESTKGGGRMSREQYGALRRKIGGTYQDFFKSYVEVEGEYVEEGWVDKTCKVCKKDTRGEPRQKDKFGRYAHVACLENPKPTNFFAKLFAR >Et_8B_059062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1362268:1365645:-1 gene:Et_8B_059062 transcript:Et_8B_059062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLEVASAALSFASAALEGLLKLTSSSTISKKDAAKLGVEGDLAFIRDEFAMIQAFLKTADGAKKAKRGGKVTTTWVRQVRVLADVEDCLADAALHLNRHSSVSCRRLPRSIKARGHIADEIRKLRTRVADVSDRNLRYRLINDGDVGSSSQPSGGRKLEARLDNLISGGRNGDALQAISVWGSDDRDMASVSVVRDAYESKLDTSFTWRAWVKVARPFDRHEFVKSLLGQFYHTNTAASKPASAAGVMESKAGGEEEFERLVKGNKFLFVLDDLADAEVWFWIKTRLQCCQQNGSRIIVRPQHKGIAMLCPEQPIQVAAAVAELNELLDLDGHPPIYLFFNEKKGASHPTLEAKEGQADSSGATRNQVDELISAALEENSLIGRKEDASTLMKLLRNTESHEYIVVSGEDGIGKTALVKSIYQSQQLSPMWRAWFLVRDTFDPEEFLWRVKQQLMGKKIPDPFSSNKANKSSNIGKGAILDGASSKGHLLVLDGVSSMKQLNRIDLALSELKRKAQMINISRVIIITKVPDIAKIYPKTSLKLQPLGHEHAMELFGKKVKDDLRGKNLLPLAKEIVSRCDGHPQAISIVAGYLHMADIKEWKRFYEHLSNTKLKGINSILDAVYMSLPYNLKSCFLYLSVFPKDKEIRRRRLVRRWIAEGYSSEDHGTDAKHVAEKQFQGFIDKNMIEPSRTTTSKISNHRETSL >Et_7A_052214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5766463:5771447:1 gene:Et_7A_052214 transcript:Et_7A_052214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGTSGEGRPGRRPHWLTVEMWKPSCKMVNFGKKLMADQVEEWKGYYINYKLMKKMLKQYVQQTQLGDKDHEQVLKEFSRILDDQIERIVLFLLQQQGHLARRIEELGEQRTALLEQYDISQVSHIHDAYREVGRDLIKLLRFVDMNATGIRKILKKFDKRFGYRFTDYYVTTRADHPYSQLQQVFKQVGIVAVVGALSRNLEYLQHHQGSFVSIYDHPSIILKDPIIEQVNHAVQKLTHATNFMQFLGQHSLIAQEDEQSRSEDLVDDQSYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSVSLGAAATVCGIIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSITLFFGNLLYALAYDLNSLTVLLIGRLLCGLGSARAVNRRYISDCVPIKIRLQASAGFVSASALGMACGPALAGFLQIKFKIYSLTFNQSTLPGWVMSVAWIVYLLWLWLTFKEPDHFTKTASKSQPSESGHQGSTNLEDGIAQPLILGREQGQDENTEDNDDNDSESPHEPARSIASAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFSWSTSAVAIFLAILGLTVLPVNAIVGSYITNWFEDRQILLASEIMVLLEAGTLARVIADATITAAGYLGTDLLLNVTLLPPLLICLVSIAATLYTYNTLY >Et_5A_040964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15798142:15800798:-1 gene:Et_5A_040964 transcript:Et_5A_040964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPTLSAKPFLHPHLPAKRGEHQPDVLTPVTRLDWRTFPGIILTLFSGLFRRDQRRTFTSRARIMMLKRQDPGGRGSDGGGDGAGVPLYLGIDFGTSGARYALIDKEGTIHSEGKRAYAPVGNATDWARSWREALYNLLSDIPVVLRPSISSISIDGTSATTLIVDSKNGELLAGPFLYNESFPDALPAVESIAPVNHTVCSGSSTLCKLVSWWSSSGGADSAGLMHQSDWLLWLLHGQYGVSDYNNTLKVGYDPEIDSYPSWLMSQPYSHILPSVRAPGAPIGTVKEDVRSRYGLSENCVVCTGTTDSIAAFLAARTTEPGRAVTSLGSTLAIKLISKIRVDDARFGVYSHRLDDTLQPRPESDAEYLHGILEAIARIEANGYNLLKELGATAVEEVFTAGGGAQNEKWTFIRERVLGVPVRKAEQTEAAYGAALLALKGATKAG >Et_8A_058005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1235535:1240157:1 gene:Et_8A_058005 transcript:Et_8A_058005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNCSDQPTQCHHEPLLPKATACPELGNHRPRRGGGGDGGESVVAEAASIVRLAVPMVGAGLLMYMRSLVSMLFLGRLGRLPLAGGSLALGFANITGYSVLSGLATGMDPVCGQAFGAGRTWVLAAALRRTVALLLVASVPIALLWLAMRRVLVAAGQDPEIAAAAYEFILCSLPDLAVQSCLHPLRVFLRAQSVTLPLTYAAAAALLLHVPVNFLLVGRLRLGIRGVALAAVCTNLNLLLFLVAYVYISGLLHGNNGNVDGDGDGKAAAGAGACATTTPADEEGASVVEWRKLARLSVQSCMSVCLEWWWYEIMVLLCGVLADPKAAVAAMGILIQTTSLLYIFPHSLSCAVSTRVGHELGAGRPDRARRAARVGLAAGAALGLVACAFAASVRGVWARMFTADAAVLRLAAAALPILGAAELGNCPQTAGCGVLRGSARPGTAARVNVAAFYGVGMPAALALAFWPGRLDFPGMWVGMLAAQFVCAALMLQAVRRTDWAEQAVRARELTGGAVAEDDDDDVKSAAHADADKVKADSGMLVATVLSAGEMDLHVKKSLLSERNGERVQSRRFVMRRLERAKQAFVRFY >Et_2B_020126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17006267:17006935:-1 gene:Et_2B_020126 transcript:Et_2B_020126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQAFDAVAAEHEPELERAEPAGERDGPVLVVHDVNTLRGRVLEDRRDEQRRLLHPQRATVVVGQEPLVSVHAEGVHVLDAGDHVRQLRAYERRPRVGRVHVDPDAVRRRDGAQLPDRVDGRGLGRSHRGAQEERDQPSVRVRLHCGAQLGRREREHVVAARRDLTTVFAGDAGDARGLGEGEVGLVGAVDDEVPNPLAADLRELPVPRGHDGA >Et_8B_060566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2340305:2341566:-1 gene:Et_8B_060566 transcript:Et_8B_060566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLRNCSSPWLLIFVLALACHWCSVSSTASRTFTISNYCSQPIWPASLAGAGTPQLATTGFRLDPGQSAQVPAPAGWSGRVWARTGCANNGSCQTGDCGGRMECAGAGATPPATLFEITLGKGGAGELDYYDVSLVDGYNLPVVAVPLGGGGGGCNATGCMADLNRCTCRASCPKELQVSVAGGGVVACRSACEAFRQDQYCCSGAYATPTTCHPTAYSSVFKSACPRAYSYAYDDASSTFTCAGAAGYTIAFCLPPSGLQKSDTAPLGSPSPPADGQSTGGGSAADSTPPPATGNNGAGSTSQPPPTAGSAADGTPPPPPIDYSSIGAV >Et_4A_032665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12328328:12337581:-1 gene:Et_4A_032665 transcript:Et_4A_032665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELFFLNGAVNVGDVIPWLGWLDPQGYVRRMKRVSRALDAFLEKELFAAGTDTSAATVEWAMSELVKKPEVLARATEELDRVVGRDRLVSEGDLPNLPYVEAIVKETMRTHPVAPLLTPRQCREDTSVGGYDVPAGTRVLINVMAICRDPSVWDAPAEFRPERFVGSSVDVRGQDLQLLPFGSGRRMCPGHTLGLKMVQVTLANLLHAFHWRLPDGVAPEELSMEENFRMNVLRKVPLQAVAEPKLPAHLYLLKHPDPRSLAKATEELDRVIGHDHLVPEGRGAHPEPLPYLLQSSRTDRHRTSLVRNHLTPRARKLLNLPPGPLPWPVIGSLNLIGPLPHRSLHELAARYGPVMSLRLGSVPVVVGSSVDMAKFFLKTHDLAFIDRPRMAAGRYTGFNFSDVLWSPYGPYWRQARRLWKAEIFSARRIASYEHVRAEEVRAMLRDLHAAGRAVITLRDHVTTVNLSVISRMVLGRNFLARKHAVDDGGGGTASPTTPEEFRWMVKEFFVLNGVFNVRDFIPWLSWLDPQGYVRRMKKLGRMFDRFFEHVLDEHNERRRREGDAFVPTDWVDLLLELADDPNLDVPMDRDAIKGFIEDLMVGGTDTATVTVEWAMSELLRNPEVLAKATEEMDRVIGRGRLVAEGDIPSLPYLNAIVKETMRLHPVSPLLAPRLSRQDTSIDGSYDIPAGTLVLVNVWAIGRDPAVWDAPGEFRPDRFAGSSVDVKGQDFELLPFGSGRRMCPGIGLGLRMVQLTLANLLHAFAWRLPDGVSAEDVSMEEEFALSMLRLVPLQAVAEPKLSAQLYAGP >Et_2B_021308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28502715:28508680:1 gene:Et_2B_021308 transcript:Et_2B_021308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETRFSGFGVLWNLNGSGNLPGSLRPMEQISNLSISILPPAGSTSRNSELISVDFPLPVLPTTPILFPAEKVHVIPLRTSGAFGRYLICSSSLFFPRAAMKLEVYVLIPGEITPGGTKIRNSADRFNPDKHPLLRSNIYTDLNIYHGDCNWDCDNQDLPSYGAHDQYCRDYLNTASSHKRHGVRRNFSIRSNDTLYWIIIVRISGRNLTGSAMTLRVAKTVKRVLAFRGTMPMIAVAVYITAETTVGDIQYMTAPYAFFIWVSLSHLNSAFRNDSIMCRNFSSHDCSLMIFMLFKISDVDLSLSS >Et_3A_025087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26876470:26879056:1 gene:Et_3A_025087 transcript:Et_3A_025087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVTELGLSSGWVAAISDEGEAEGEGELPRRSDQPVLQVASLESVRSKSLELQCLLKLSDGLHCVEGSLFSELNHLVFDGVLRAGAVLRVLEYICTNRQNRRYIIVRQVEIIKCQGGLIGSPQLYDKFESKSEQSARSATSADPPLCESYSGGLCITPPYIKVEDANILEHHGTCDGFSSPEKGVVGSSAAAIAELVVLKSVAQIRNESLGCSDNQNLLPCITLGTVEGANSLVYDGTSDESHATDQGMVGSLIASKAEPAILKTVAQIKDENLGCSANQDLISVKAFLTYISTENFCYAVCPLVVNGRECNSRLTANVDGTWHCDMCKQSFTSKICDYKFVLVIQISDATGMTWAFAFQEVAEEIFGRTAKELYLLKCEEQDSAKFDDIIDGVRFHQYLFQLMVQRGVFDCKQVMKCTIVEAEKVDPPTESSELGCSIAANVGFSIPKAASRCPRRKRARSRARASNTEHTRSSGDAMHWFSADQLGQLQGNTYGVMPAAVLATQNLQACSTCGFALFWAQGTTAPQVWTEQQPAAESFIYGSSVLGTRLKTVEASGISGSSKS >Et_10A_000337.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:2455713:2455793:-1 gene:Et_10A_000337 transcript:Et_10A_000337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPHLVLRGECLLQLSKKPCGDRVP >Et_2A_016692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27234627:27238908:-1 gene:Et_2A_016692 transcript:Et_2A_016692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPPSGSPEGPAASASPPKDAAAGGSGGAASGAPETNTLWVGNLPSHVSEGDVMALFAPHGALDCALARAGPRSYAFVLFRSPAEARAAVDATRGAKVKGASMRTEFARPARAVRNLWVGGISPSISKEELEEEFQKFGKIEGVAFSQDQTSAYIDFEKLEDAISAHRSLNGKSIGGTELCVDFQRSKGRAEWSEASNFSGRVSGPPVEKRGIGSTKGSAIRMREAQPTNVLWVGFPGSYKFIDEEELRQAMSAYGVVTKTKVFISRQYAFVEFASVAEAYNAKKNLDGHLFNDPRIQILFSNSDLAPNKLDNPTQLAGFSRSEMYSDGRHGAGLSSGTLQGYDSPIGGRSRYSDYGGVATGGILRSPEPLDPRDAKRLRLDAGSDPYDVRAGSTGLYSSGLRHQDSSARSEGSSIPVIRVKGTVHRTSYLEHFWRGNIAKGGSPVCRARCLPIGKGIDIPLPDVINCSARTGLDMLAKHYADATGFDIVFFLPDSEDDFVSYTEFLRYLGSKSRAGVVKVDAGATLFLVPPSDFLTNVLQVDGPERLYGVVLHIPQISAAASLRPQLTGPELQPYYDERETVSSLQRKYSTISPNDNRLPGTDYRGSSREDSIHHLGQIPRVDEAMAGYQTAGSQVQPALKPDIMASLAQLMPSVQPSAPTGQVPMNSADRHVNSSFGQIANLQQPGQQFTRQTSAAHLTNYGNMVGSQEHSAQHSAYNPEVALNLPPPPPQPPVPTLPHSSAMLQSQGGHSLPTQMNQQQYQPEQYYVPQSNYGHSNSQIGNASIPAPPVPQVNPVPSPANNQMGNMAQLQHAMPLHANRGSQDFSSQGQHQQNSASGAAQASDEADKSKKYHATLQLAQSLLLQLQQRDRPRPNSDPEPLVYCLRTAFGKVDIDPFCLASMDLSLAASYGCFSRLNLQQHS >Et_1B_011021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16723037:16724025:1 gene:Et_1B_011021 transcript:Et_1B_011021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPTDPSTATPPARSMLKRLFDRQLLRISPAERLPSSSAAGDQKDEAEPSSVCLDGLVRSFLEEDGGGAAPEKAGHGGRYCNCFHGGDNSDDEEDEEAAASSDAAETIKGLVHCATLRERNLLADVCAHLERHRSAGARRRDLLRLVAASLRAAGHDAAVCVSRWDKSASHLAGEHAYIDVLLPAASERGARERVLVDADFRSAFEVARPTKAYRALLQRLPAVFVGKEDRLRLLVAAAADAARASLKKRGLHLPPWRKPEYMRAKWLSPYDREPAPPDEAAAGEVDGEGTAA >Et_9B_065020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20567978:20574625:1 gene:Et_9B_065020 transcript:Et_9B_065020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAVTQLVVVLPLRHPVLMGSAPPSSSVQHSNRAQLTGSLTGHSFLPQLSDPPPLSARNRPLSMQIKAPFHSNRAPPRPLVGGPVVLTCPRLAWSWDPSALITLKKGSKLIKYSRKGKPKIREFRVSSDETTLVWYSHNKEKGLALSSVSRIIPGQRTAVFRRFLRPEKDHLSFSLIYKNGQLSLDLVCKDQAEIEVWFSTLETLITSYHKNSFTDGQSDILSFSDVVSQYHDNSYDSQLDIASSITRSYLNPLKADVGSDRANMLRASTGDGSRVSISSAPSSSSQGSGQDDIESLGDVYVWGEVWSDVIPTEGSSNCLYSKADILIPKPLESDVVLDVQQIACGSRHIALTTRQGEVFTWGEELGGRLGHGTEADISRPKLVESLAVSNVEYIACGEFHTCAVTASGDLYSWGDGHYSAGLLGHGVGASHWLPKRVSGLLEGVQVLSVACGSWHSALTTSSGKVFTFGDGTFGALGHGNRESVAYPKEVDTLSGFRTMKVACGIWHSVALVETSSQAGMNMVSRKLYTWGDGDKNLLGHGDKDARLVPSCVQSLIDYNFHQVACGHSMTIALTTSGHVFTMGSSSNGQLGNRKSDGKQPCLVQDRLSGELVEEISCGSYHVAVLTLRSEVYTWGMGANGRLGHGGIEDKKKPTLVEALKDRHVKSIACGSNFTTCICIHKWVSGADQSVCSGCRQPFGFTRKRHNCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFMKLKGAETSSNGSYSKRNVIARRSIDIKDKFERPEIRPSRLATTSPTEQFKQSEIKSVRPEIKPDSMSMMRPSQVSSMSEFNAFGGTFGSVPVNPVAMTMPSLSPSPFPRKPTPPANPLYGKTETDRLKKTNEVLNEDVSKLQSQVNKLRQKCEAQEDQLQKLETRAENSASIAAEESSRRNGVLGFIRLLDTELKNIADKVSSDIADSLKTLQSHSERFLTGQSSHPPEVPGPSGHEQHGLSSGLPHDTAHRRSTSMGNLVLSQDGSAGSGNASTSASSVMGESPCHRIVESSSRADGDLAPKYSTHGEVQLIEQFEPGVYVTLIQLRDGTKVFKRVRFSKRRFAEQQAEGWWRENQERVFRKYNHPTP >Et_2A_017558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3564721:3576249:-1 gene:Et_2A_017558 transcript:Et_2A_017558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFSRIKHQTPCKVVILAYLQTASSDLRRFKELGYHLFLQKSTHGSRLYAMLRTLRDLQASDAQSSSQVGEVAVPSHQPELPGIVLHDAQETATATEGVIGAFVVALLVASTTISWRTANDLSEADAGAIDVAFRHVAGNMLHLLEANRSAFAIASTMQQPMNNKSDLFSQVGPQMFVAFAMQPLLAQVSYAGLDGAAFSYYRADNGEARALFTDVPLQSWFTQAVDPATGHLVGNATAVDPAELRLPHTTRALLSGMNGSFASLGAGWARPDAEMLFFSAPVGDAGVVSAAVAVDDVAGPAATRIGFKNGMDVYYAIGDDTAGGVTEAAYKPVLDPGQRGHDAVTRAGEMDLFSGVECAASGIDAPEVGQLRAIGHGYTYRVACTKFDLSGVQVGFRLVLHTRFAAEMIRLSFIAVFVFVGALLAAAALICVLAVRALRRGSKRRAELKAELVQQKEALRQAERKSMNKSNAFASASHDIRSALAAITGLVDMSRLETEALPGVTENLNQMDICVSKLLDILNSILDTSKVESGKMLLTERNPWTANVVGVNKGLEVVWDACDFSVLKCANVKGDCKRLKQILDNLLGNAVKFTHEGHVILRAWANQPIERSCISAPSRFVCGSRLGCSFSCLFGARDDGHEHGSFSVAQNDPNLVEFYFEVVDTGIGIPREKWESVFENYVQVNDGQGGTGLGLGIVQSFVRLMGGEISIKEKEPGERGTCFGFNVLLKISEREATEDIEEGPSNTLNDYNLRASVFQETNSFKGVHCVLYVHGAETKRIMQTWMESTGTKVWLVPQAEFITSMLEKVQRISMSLPRTSSPTASYDRCFSSKDMVSQVLPMSLRNNTCQRRRSLGGHPSGLLVVIDVSNEVEDIWQEMVNFSRIKHQTPCKVVILADMKTASDDLRRFEELGCDLFLRKPMHGSRLYAMLRTLRDLQSFDVQSSSQVGEVVVHQPELPGIVLLDMQETAAATEDASYEQKTKDDKPLAGMHVLLAEDTLVMQTIQTKMLTQLGATVKVAVNGSEAVNLYKQALEQATVSEKGTVQLPYHVIFMDCQMPIMDGYEATKLIREEEKSYEIHTPIIALTAHTIEEDLQKAIDAGMDLHLTKPVKRMKMVEVVCRIYKRNN >Et_10B_004009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9230093:9232604:1 gene:Et_10B_004009 transcript:Et_10B_004009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRAGAGPLRLAAVAGRRAGRRSLPPLPTIPSCSVASSSGRHSLGFFRVSVGAPYRTLSNEAGAIGRGGECWNCAATGAFLSCGSCGSVQPVDPAVDYFQIFGLEREYNITENSLEGKYKDWQKKLHPDLVHTKSEKERGFAAEQSALVIDAYRTLSKPLPRALYLLKLEGVQVDEEKTINDPELLMEMMEIREAVNDATDSETLEKIQSQIKTKLESWCDSFQKAFDKRDFNRAVEATQRMRYYERAVEETVKKL >Et_9B_065309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3583744:3586881:-1 gene:Et_9B_065309 transcript:Et_9B_065309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQISRKALALFVVLAAAVVAPAAADGDEVLALTGSDFEKHVGQDRGALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIAKVDCDEHKDVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRTVEALAEFVNTEAGTNVKIAAVPSSVVVLTPETFDSIVLDETKDVLVEFYAPWCGHCKHLAPVYEKLASVFKQDEGVVIANLDADKHTELAEKYGVSGFPTLKFFPKGNKAGEDYDGGRDLDDFVKFINEKCGTSRDSKGQLTSEAGLVASLAPLVKEFLGAADDKRKEVLSKMEEDVAKLSGSAAKYGKIYVNAAKKIMDKGSAYSKKETERLQRMLEKSISPSKADEFVIKKNILSTFSS >Et_5B_043712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14417075:14433417:-1 gene:Et_5B_043712 transcript:Et_5B_043712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGTLSNSMNISSLGNPEHHCNQFDNIFASCEVIFNQCYRFSVERGYGHPKMLSLLGEAISMMKESGDQLNGDPSIEYVLTHLKEIVLRNYRGRTEDVSFARFFISHAKELESMEFRVPFPYDKKWELKEWRRLPKLKDRASQIARFKAKKKRLEEESRRPELPPRGEEGGEGPDLISRLPDAILGDIITLLPAEDGCRTQILSRRWLPLWSSAPLNLEAAVSGMMVEEQVSNIFRTLQTHRGPVRRFSLACRSMSDRFPLVDPILRSSKLKNLQEFELLFHHNCGHSSTVPQAVFGLMPTLKVLTIEAVCKVLNFPTEISCALNFPHLEQLTLCNVNISESTLHGVLSACPVLEALVLDWNRGHRLLRICSETLRSLGISNCWDVEEGRLQEVIVEHAQLLERLIPLALGNHDLIIRVIQAPKIKTLGYLTERVATLQLGTMVFQKMTPVSLCYVMRTVKILALATAPSLEFIIDYLKLFPCLEKLYIVACAQGNFKNARCNVSLECLDLHLKTVQFINYGGNMSEVNFVRFFISNGRVLESFKLFVRCDKCDTKWIATQHEKLWLSTRATKGIRFDFVASDMAGAYVYIRDINDLDTDDPFNKTLCRSKDDDDDYDIVQPSNETIVMALHAGKETPVQNDPRSTYSPAMPPFGKRGPRKCHRCGERLKGHVCAVGDRDVTSEVLDEVLAKTISMLPTDDGIRTQTLSQPTLNLDDRDLHPWRWRDDLLALITSILLSHPGPAHRFSVTCLPRVSDAQGDRYPSIANWFQSPALDKIEELCFCYLLRTARDPLPPSALRFTNLRNASYGDCHLPEDLL >Et_1A_006490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23166567:23168386:1 gene:Et_1A_006490 transcript:Et_1A_006490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPCCIALFLLLLCSSAATETPAPGTLERVTKQQILASIPPGDSPAPVLFLTSPSGKYAAYFVRTHTAPGAGGLGADFCFVEVVDTTSVHAGGAEGEGVRTSVWESECRPISTVNTCALLFSWDGLEVFDGAEEVWHGETNRDGTNFLETLELVDDGDMRIRDKDGELAWRASDEPRHAQRCGAPGSPGLGAALPPFAEPLGAHSSNLPFGMEPDGNGHAGAAAAELPAQGGLPQAADVGEDGAAAAAFGGGATAASAGFGQAGAQDAAAGAAGFGNQPLVDNSPYDSGAWADFRGAQLTAIGVALCVSALLGGPAAGGGIRWRCSNDSTEFGQGAHDAARFGNQPLVDNSPCDSGAWADGRGAQLTAIGVALFVSALLGL >Et_6A_045944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12708177:12709361:-1 gene:Et_6A_045944 transcript:Et_6A_045944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELMHQYNDALEAFLRSIPRHHLHSLITSMFTAHAVDVAAKAAKLAVVTQVPALLAGRRSGLKELGEAPLEFRGVPPFPASHIMPELLEDPEDELWKPYVSVLTRNTDTDGILVNTFESLESRAVQALRDPRCVPGRVMPPIYCVGPLVCDAKGVADADARASSRHECLRWLDAQPERSQLREIAIGLDKSGHRFLWTVRTPAAGADDSSNLETFLPVRFLERTRDRGIVLESWAPQVDVLQHPSTGAFVTHCGWNSTLEAITYGVPVLCWPLYAEQKLNKVLITDSMGVGMEMQGYSTGFIKAEEVEAKVKLLMGSEEGTKLRAQVAARKKDAQEALEDGGSSKTTFLQFLTDVSNLEEQKHLST >Et_10B_003980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8978752:8979271:1 gene:Et_10B_003980 transcript:Et_10B_003980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVHEMLETTASYAAAREQCHNHLRIAQYHQHLAEKLDLDMSALAYIMKEYTGTEEEKMRAAVGRFGLTGKAKELLLLDEPTDHLDNETIDSLAKAMNEWDGGLNLVSQDFEMINQVALEIWVCENQAVTRWDGDIMDFKAYLKSKAGI >Et_6A_046897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2261408:2264905:-1 gene:Et_6A_046897 transcript:Et_6A_046897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAAAFASPPSPPPPAMQPPSDLSPPPPSSPPAADTPASAAQNSTPSLPDTPASADPDTPFSDAALADASDAGTLALADASDAGTPALVPPDTAADGDDDDGINPSGGGPRKHMTLAPAAPPSKKSKKKGGNSVWTRPTSRKGKKKAKQPGQGQTLLGVAANGSHPKPSAAGEEEFLLVPAPRLAAERSDDAADLPVLLSRVFKSERVELSDDRLTAGSTKGYRMVRATRGVAAGAWYFEIKVVHLGPTGHTRLGWATNRADLQTPVGYDSYGFGYRDIDGAKVHKAWREKYADEGYGEGDVLGFYIALPDGERYEPKQPDLIQYKGMTCHVQVPKEELKMPDAVPGSKICYFKNGVCQGISFQDIPGGRYYPAASMYTLPDEPNCEVKFNFGPDFDFFLQDFGSLPIPQPMSEVPHQVYELKNEQPTENGVAEKTRIADAS >Et_5B_043171.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:14330638:14330715:1 gene:Et_5B_043171 transcript:Et_5B_043171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLSSRWPRTSSKPYRKGQESRVP >Et_10A_002142.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:2388837:2389703:1 gene:Et_10A_002142 transcript:Et_10A_002142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSATAPPATAPQPELPDELLEDIFLRLDDAADLARASAASTSFRRVVSGRGFLRRFRSLHSPPVLGFLDCSHGCVEFYQSQPPHRSAPAARAVAHSADFTFSFLSDAKAWRVCDACDGCVLLARNISTTKSRYIFDAFVVYDPLHRRHVQIPPIPGDLVASKANCGDKHADPFGVGTIQCVPHNFEAFLAPSGEEESETSLRVICIVVSRCKIAAFIYSSITKKWQWITSCGIESFKWMRYPTLLWRRCAHNCVFWRGFSQQIMLVLDTREMKFSFVVLPHESKD >Et_9B_066084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2800881:2802164:1 gene:Et_9B_066084 transcript:Et_9B_066084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLSNSMSGSTPTLDEYDDDDEDWTSPGSFLIEELQMEVEEVNAFKNKLNFSKKGSKKRKMRQLDDDEEEGFLDDYESDSSLHDNPVYVESGDSSSDSEGDVTMMLSVVTHLILLQSMVVMKLMALDPMVVHVLLGNKHHFVRDQLEKKGRQLPSKVSMNFKRLRLRTQATADRAPLQLHARSVEGAQP >Et_4A_034156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30297618:30303620:-1 gene:Et_4A_034156 transcript:Et_4A_034156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTAPAAAANLAGKSGVRVVVIGDPGTGKSSLIISLATEQFPENVPGVLPPTRLPADYFPDRVPITIIDTSSSPEHKAKLIAECQSADAVVLTYACDRPSTLERLSSFWLPELRRLQLKAPVIVVGCKLDLRDEQQVSLEQVMAPIMQSFREIETCIECSALRQIQVPEVFYYAQKAVLHPTAPLFDQELQSLKPRCVRALKRIFIMCDLDKDGALSDVELNEFQVRCFSAPLQPTEISGVKRVVQEKMPEGVNENGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYDNDLKLRDDLIAAPIKRAPDQTLEMTSEVVDFLRGIFNMFDIDNDGALLPAELDDLFSTAPENPWASDPYKDCAETNVLGGLSLEGFLSKWALMTLLDPANSYANLVYVGYPGDFGSAFTITRKRRVDRKKQQTQRNVFQCYVFGARASGKTALLQSFMGRQQSDAVPSNSERFATNTVELPDGTRKTLILREIPEGDVRSLLANKESLAPCDVAVFVYDSCDEYSWQRARDLLVQVATHGENTGYEIPCLIVAAKDDLDQSSQALQESTRVSQDMGIETPIPISVRLRDLNNIFCRIVHTAQQPHLSIPETEAGKTRRQYRQLLNRSLMVASVGAAVAVVGIAAYRVYAARKNTSS >Et_3A_026960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28102769:28104352:1 gene:Et_3A_026960 transcript:Et_3A_026960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCPGSGDAEPSVADASSGLRAGAASLKAGGATPTSEPTPNKPPAPIGPVLGRPMEDVRSIYTVGKELGRGQFGVTSLCTHKATGERFACKTIAKRKLSTKEDVEDVRREVQIMYHLAGQPNIVELKGAYEDKQSVHLVMELCAGGELFDRIIAKGKYTERAAAALLRTIVEIVHTCHSLGVIHRDLKPENFLLLSKDEHAPLKATDFGLSVFFKQGEVFKDIVGSAYYIAPEVLKRSYGPEADIWSVGVILYILLCGVPPFWAESEHGIFNAILRGQVDFSSDPWPRISAGAKDLVRKMLTSDPKKRISAYDVLNHPWIKEDGEAPDTPLDNAVMNRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDADNSGTITVDELRRGLAKQGTKLSEAEVEQLMEAVSIYASHSDVPHATML >Et_6A_045885.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:24740865:24743985:-1 gene:Et_6A_045885 transcript:Et_6A_045885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EPFTSPPILTPDHHFTSADHASNACRVVGSDGASFVSVQGPSLCGSLLSKGSKHGGSPFPPLLPGLPPSTATLSVRSGDGDLASAHTSSRIRISFPSSSPCDITSRLSPTLRRPRKPHIFVGEAKLTGREEVSRYGMGFCFSFCSSLSISGSSESESLFNFRSWCIIRRTPPLFDGMSGRESNRAAADGGGDRISWLPNEVLHRILSFLPSRDAVQTSVLARRWCYFWKSSRRLSIIHPWTSERVSRSGDQSAVAVERLNRFVNCLLLRRDHVPLDECKLSFHGFPRLDGDQVDEWIRHALSWEVPVLLVHLGTNVHTELRGQRFVSAHLKKLELSEVKFKGIILDFSSCTSLEDVMMRACVICVEKIFSPSLKQLKITYCNFDFGAITRISVPCLLTIELAYCSGQTPLFESMPTLETAFVTLGWFLEDFCGKGASAEDQFGEGADEGRRLQCTTYCGECANCHGNDNSRSCVLLEGLSSVRYLRMDPSSQMVCLFYHVLLHLISNYKTTLQNNSALTSCTFYSSLLKGICNGAPCFPI >Et_5A_042729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3105697:3108892:1 gene:Et_5A_042729 transcript:Et_5A_042729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDQDDAPDGGSGGEDSPLFRHRGPSSADVAEVPVSQSLIKAASNVCFSLFVLAVLVVTVVAVTYQPPDPWLQSSAAITTSLSRVLPNSTFLVPDDSLLPTGEDFNSSSSPAIPAPAREDPDDSAAVSTAPALNATACDPDAPLNCSDPRVLAAVKAFNAKAFFRKSIIFLSYETPVPGPKPGVCDVAWRFRNRKEKSWRRYRDYRRFSLASGDGCALEITSVGKFRSGTNAARRPQPRKGTKAPRVKAPPVDAEINDTIPVVGSEAEFKKGKYLYYMRGGDHCKSMNQFIWSFLCGLGEAKFLNRTFVMDLNLCLSGAHTVDGKDVDGKDFRYYFDFEHLKESASVVEEGDFLKDWKRWDKKKGPGRITVRKVPSYKVTPMQLKRDKSNIIWRQFNGQEPENYWYRVCEGRAAKVIQRPWYAIWKSKRLMNIVTQIAGRMDWDYDGLHVVRGWKAQHKKMYPNLDADTSPDALAEKVPKLIKPWRDLYIATNEPFYNYFDKLRSHFRVHLLDDYKELWSNSSEWYNETTALSGGKPVPFDEYMRVIVDTEEFKSEYTV >Et_1A_007583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36008664:36014026:-1 gene:Et_1A_007583 transcript:Et_1A_007583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAASARRLAAASSSSNRFSQRAAALNPQGAKENFELALEIDNMNTHARYWLGKMHFNGAALLVEAASMGDPDAQYELGCRLRIENDYIQSDQQAFHYIEQAVDQLHPGALYLLGAVYLTGDCVKRDIASAMWCFHRASEKGHAGAAIAYGSLLLKGAEVPEVITRFNSGKSPSTGKMRKRAIQQDPMKLAKEQFQIAAEAGCDLGLRWLKRLGDYENQEENPGGVRFHGLKFTFSHPGLKSAVFTPLPGLKG >Et_3B_028773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18990635:18993206:1 gene:Et_3B_028773 transcript:Et_3B_028773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRLRTLAPAVQRAAAAVSASSSSSAAAVRAAPLSSAAAAFRRTSPLLSGDKPARVEDVMPIATGLEREELEAELQGKKRFDMDPTVGPFGTKEEPAVIESYYNKRIVGCPGGEGEDEHDVVWFWLKKDEPHECPVCSQYFMLKVVGDGGDPDGHDDDEHH >Et_6A_045996.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:16446166:16446450:-1 gene:Et_6A_045996 transcript:Et_6A_045996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLILSWDVSDFVFSTPDNMDDMPISEESKKYRDERKHTVALMRKRRRIEREIQEWVRSELEKHGYVEIETTAEVVEKHQLEEFLMGESIVIE >Et_3A_026259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6020128:6021383:-1 gene:Et_3A_026259 transcript:Et_3A_026259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLGFTVARRSAGALTAGLGGSVEEQWHSRNQYLYAPLANQNHLFGDFLNLMCNLTSLQSFILNKVVINPFRKILLTRLFNQ >Et_10A_001650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6447488:6452973:1 gene:Et_10A_001650 transcript:Et_10A_001650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEDRPAATTKYVLITGGVVSGLGKGVTASSVGVVLKACGLRVTCIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFIDVTLTRDNNITTGKIYQSVIEKERKGDYLGKTVQSSIIQVVPHVTDEIKQWIQSVSSVPVDGQSLPADVCVIELGGTVGDIESMPFIEALRQLSFSLGKENFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDILACRSAQPLIGSVKEKLSQFCHVPVENILNIHDVPNIWHVPLILRNQKVHEAIIKQLNLARCAGPPELQDWTEMAESYDNLKNSVKIALVGKYTDLTDSYLSVVKALLHASVACSLKPSIQWIAASDLEDATATNAPDAHAKAWEILKGSSCILIPGGFGDRGIQGMILAAKYARENKVPYLGICLGMQISVIEMSRNVLGLEDADSEEFNKDTPNRVVMYMPEVSKTHMGNTMRLGCRRTLLRKPDCLASKLYGSTSHVDERHRHRYEVNPAFVPMLENAGLHFVGCDESGNRMEIVELQDHPFYLGVQFHPEFKSRPRRPSPPFTGLILAAIKHLGTLSNNSNGSVDSS >Et_9A_063256.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17834382:17835749:1 gene:Et_9A_063256 transcript:Et_9A_063256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAIAGQQARRRIRPPEPLVMAASPQTPAAFRCPISLEVMRSPVSLPTGATYDRASIQRWLDSGHRTCPATRLPLASADLVPNLLLRRLIHLHAATLPPSPSPEEVLSQLAAADGEPAAAEKAVRSLAAKIAPEKGKQASVASAVAADLDSAVPALLSFAKGRAGADARVDAVRILATVAPELVSYLTEEGGDAGEKRGRVKMAVEALAAVLSADGVGDEAKEALVVTLAAGDLNRVVTTLLAAGANGAAVLEAILTSPVADADAKTAIADRSELFPDLLRILRDAASPAAIRCMAAAVQVRGRPARASMVRAGAVPALALAVAAAPTAAAESAMRLLAEAARCSDGKAAIAADAVEVAAAVMGRMIRVGPAGREAAVALLWLCCCSGGGERRMREAVAAAPEAVGKLLVVMQGDCSPTTSRMAGELLRAVRLEQERKGMAAAYDSRTIHVMPY >Et_2B_020373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19462178:19468535:-1 gene:Et_2B_020373 transcript:Et_2B_020373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DRASVQASKRAQGSRPTEAVNPGPRAQKGHRGAVDTVVRPVLRGSTLASSAGTHSSGPGRLGKTEPSPAFLCTWTTPPPPLLSLATDRASAPMAAATARLRLLAHSGSLSAAASRTAPQSAPGLRALCTLSTTLGSGAGEDEIERIRREFEDAKRNYLSIPSAIRHMPKMNPQGIYVNKNVKLDDLQVYGFDYDYTLSHYSEHLQCLIYELAKKHLVNELKYPESCLQFEYDRTFPIRGLYYDRLKGCLLKLDFFGSIEPDGCFFGRRKLSMSEIKELYGTRHIGRDQARQLVGLMDVFCFSEACLLADTVQHFVDAKLEFDACYVYEDVNQSIQHVHRSGLIHRKILSEPQKFLKKNSQVFRFLKMLKEKGKKLFLLTNSPFYFVDGGMRYLLEDQHFDGNSWRELFDVVIAQANKPTFYNSDHPFRVYDTEKDTLAFSAVDKFMPNQVYYHGCLKSFLQITNWRGSEVIYFGDHLLSDLRGPSKAGWRTAAVIRELEAKLSLIHDILGKVNATVVSTKKGQVYRALLDELNAERRQCRAGMRELFNSSFGATFLTDTGRESSFAYHIHQYADIYTSKLENFLSYPPESWLHPPHDIKIMPHNAKRLAGVPVLACLTAKT >Et_1A_009357.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:40333212:40333718:1 gene:Et_1A_009357 transcript:Et_1A_009357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPREQQQHRPVQNNGSSIATNRWGPYSGAGDFASNMAVILAALLAALALALALNAAVRYLLRRRGRRQDNAEDPEKPPAEEPPPPPALVYSAAGTKLAGAAAECAICLAEFVDGDAVRVMPACGHGFHARCIERWLARGRRSSCPTCRAPTGGPQPPHQAAASSS >Et_1B_011731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25441948:25445944:-1 gene:Et_1B_011731 transcript:Et_1B_011731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLARAPPLHSHPLATARRLPRRAPATEPRFRPLSTRVRASNSDPSQQQQVNLSVLRFTLGIPGLDESYLPRWIGIGFGALVLLNHVVSPSPTPAQLRSEALGLCLAAFSATLPFLGRFLEGADAASRVPLPEGSRQVFVMSENLSAAQKEDMAWASYVLLRNTNTTSVLIAIGDVLCARGYWDPPADTSKYAMTEWFKNQMLQAGLVDLKDALYFPNFSDARLRKILPDGVLSVLVQPVPNSPDTNGETKSEGVILLASNSNYAYSEKDRVHPDFGVTSWQHAQGGSQDMPFIVFNSEEAIEWIAGILVIAEDNRMSKFLLQENIKGAQQSNM >Et_9A_061658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1571103:1575899:1 gene:Et_9A_061658 transcript:Et_9A_061658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLGERKGFGRNLIMQTSKTRNGSSDALPKTSPRTPRASRVAKTGGNETDSTGVTPTRTPTDRSPKVTERRSPRSPITEKKRPSRLSELESRVGQLQDELKKAKEQLSASEARRRQAQQEAEEAKKQEQAAISKVEELERRLAEFSAAEDTRLQELRKLSQERDRAWESELGAVQKQQSVDASALSSAMSEIQRLKQQLEAAAESDAARAKQCEYAESEIEGLRQEMEIRLATIEGLKVNVGESDKAAAEANAMAAETKQQLETAKATIDSLVSEGARLQEYLRSKDMELSESKARIASLEEDLKKTQAEVIESLKKAQEVGNMDISFGNPDAEAVKKVLTSSDANGSCISSDQEIEQLRTALEVTEIRYHEEQTRMCIETKTAYEMLENVKADCARKVHELELQLKSKHDELMEAKVAYAGNAQHLELPKTDATSGVMEPELEAKLMKSITDITELKASLMDKENALQSMAEENETLKSDAGRTEAELRVKYEAAVAELELAKAAEQDVRMRLGLVTEEADKSSRRAARASEQLDAAQAAGAEMEAELRRLRVQSDQWRKAAEAAAAVLGGAGAGDDNSGGNNGRAVERTGSLEPEYNSIGGKLMSSPFSDEVDEESPIRRRNSGGVLRRMSGLWKKSPK >Et_4A_032316.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29616364:29617179:1 gene:Et_4A_032316 transcript:Et_4A_032316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSRERVAIATVREGGAGAVEGIPLVDVIVHPERGVHADDGRRDNERDEEVEPRAGERDGEHGGCGEHGEDDAVVDLAAEADERLPFPAAEVEAEPGDEEREEDEHGDGAVDEADEDEHERHERVVGAEVGEVPPRPRPGLAAAVGAREGGGVQHLPPRARPPRRGPRDRPRAGEEGDPGFRRRRRRRRRRPRVARPVRYQYHLPLRLERRRRGRRGRGGDGAVAGPGGGGGGHGGRALRPRRWRGIDRAVVACVAVFALAAWPGLASDRVV >Et_4B_038631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3579972:3585275:1 gene:Et_4B_038631 transcript:Et_4B_038631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQQHQKQQAVKAGSSRFAATCGLLRQYMKEQGGNAAARLAPAMAMSLMPGADVVTEEGKVMLELFPQQPGTLKASQERKEPERAPLTIFYGGRMVVFEDFPAEKAEELMKAAGSCNASPAAGQPCLPDMPIARKASLQRFLEKRKNRLVTGDPDPAASESNKRVKDDDGAPCLGVNPMLSLAEQHRNSQPQSKQEEEEEEEKRRVVSFASR >Et_1A_006711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26598042:26601064:-1 gene:Et_1A_006711 transcript:Et_1A_006711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHHLPTAAAASPEAAATDAPPPPVPDPSSLRIHIPSSPHHALPSTPHKRPVVMTSSSSSSSTPTRPSPSPFTPPRRRKAVPVAGPPAAGAAAARHLLRCLHLRLRILLLISLPTLYFLSPSHAVLPRSLLADFLSAAAFSCALLLLLCLSLPRLPFALPLPLPLRRARRSPILWSIGSSPSASASKPTTGHFVQLYSNGDIYEGQFHRGRCTGSGVYYYYMSGRYEGDWVDGKYDGFGVETWARGSRYRGQYRQGLRHGYGVYRFYTGDVYAGEWSNGQSHGHGVHTCEDGSRYIGEFKRGVKHGLGHYHFRNGDTYAGEYFADRMHGFGVYSFANGHRYEGAWHEGRRQGLGMYSFRNGETQAGHWQNGVLDTLSTQNIIPGSPIAVNHSKVLIAVQEARRAAEKAYDVPRVDDKVNKAVAAANKAANAARVAAVKAAQKRIPNNGDDLPLSVV >Et_4B_038535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29717875:29721352:1 gene:Et_4B_038535 transcript:Et_4B_038535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAITGAAQEGDLADVVARAAAMAALPSTSHQAPAASSPAMAGQIVMNPYEEDRRLAVMFEAPSSAVDPCLSSTTAPHGGYWLPPQQLEAQISQHAYFGHDVVMTGATADVDGDDAIIRSSPVTPASAVHQMMKRKNDVKKVVCIPAPPPASSRPGGGEVIPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCMARKQVERSRSDPNMLVITYTAEHNHPWPMQRNLLAGYSRPHTHVSTICKKRNSCRVDPTSPSPSSKNIDQNVFVSGDLEYQQSTSMVEGDVAAYGACAVGALSREGVVMPVPTSCNGIQPADEVFAALEELESSNNPVNTNAYSRGVVYEWNKF >Et_1A_005979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16344334:16351512:1 gene:Et_1A_005979 transcript:Et_1A_005979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICAISGEVPDEPVVSKKSGLLFERRLIERYIEDHGKCPVTKEELSMDDIVTVKTNKVVKPRPLQAASIPGLLGMFQNEWDALMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARTLLTQAERQIPASVAGAAPAPVVSNGKRAMEDEIGPDGKKIRPGIHPVMIDELTECNTMLSAQRKKRQIPPTLAPIDAVERYTQISSHPLHKTNKPGILSMDIHPSKGIVATGGIDTNAVLFDRTSGQILCTLTGHSKKITTLKFVSRDELFVTGSADKTVRIWQGSEDGNYNCIHTLREHTAEVEAVTVHATQKYFVSASKDNSWCFYDMTTGSCLTQVGEASGQQGYTSASFHPDGLILGTGTTDAVVKIWDSNVATFEGHAGQVTAMSFSENGYFLATAAHDGVKLWDLRKLRNFRTFSPYDSDTPTNTVDFDFSGNYLAIGGSDIRVYQVANVKSEWNLIKTLPDLSGTGKVTAVKFGADAKYIAVGSMDRNLRIFGLPGDEQMEESKSLAE >Et_2A_018680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:503746:504807:-1 gene:Et_2A_018680 transcript:Et_2A_018680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEQDAGVKKGPWTPEEDKLLVDYINQHGHGSWRRLPKLAGLNRCGKSCRLRWTNYLRPDIKRGRFTEEEEKLIIQLHSALGNKWSSIATKLPGRTDNEIKNYWNTHLRKKLLNMGIDPVTHRPRNDLLPNLLSLNLSGAGIPPWVVAGDINALKLQADAAKFQLLQGLLRALTAAPSPGADLMALLAGGGGLPNHDQVANRLQYDLPALTTLPAVSSFTGLLNSFGPQAAALAGDGLSSSTTDQVGMMAPPHLQQQQQQQQQQANCNNNGGGCEAETPSSSPFQGLDSLNVNLDVDITNDTTWKDLLEQFSWLPPNEM >Et_5B_045575.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:4701897:4703186:-1 gene:Et_5B_045575 transcript:Et_5B_045575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAVRHGCKVCGKSFPCGRSLGGHMRSHLSLGETTVAEADADDEVKHESGNFGRSSANGVVGYGLRENPRKTRRLSDFAEEEEDEVDGGYGDGDGEKLFGSWRSLLVRSHASGGRDRDEEEDVDVEEELVPEEAEAEEVEMVAPMKTPAPAPVEVLAATPRRRRRSMRVAAPAPAPPPPVLVGFEKEQEDVALCLLMLSRDTGLWSSPVKVVKAEPFDTDHRNATAKQARVGRNGHAYNSDEDSSALLQHGYGYGDAKIKRSRKRRSSTKQQQQRPPAPKRTRYECPGCGKAFGSYQALGGHRASHKRINTSCSAPPKAVVPAPEPSAETYTSFGTPSPSASPDSVVAIGITGESRVSGKARASKAVVVEKFECPVCFKVFASGQALGGHTRSHLLAGDLYDAGADEVQHAAGFLDLNFPPAPAEEA >Et_4B_039380.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12504729:12505406:1 gene:Et_4B_039380 transcript:Et_4B_039380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALLAAGGSNSKTFMFMSRDRDRLAHRPVTLHGSLHWLVQLKSGVVALLVFDTSTQEFRFMPAPARAAPMELRKARLGVLPAAAGKLCLFALEEPRGKDAMEMWVLDDSSSSSSSGARLSGWRLRERIKTVAPDRADLSATFMTPAAEVVEGVEGAEGIFLRLASRIDAYSVRYKWWGSVGVADEASLVMHRNSVLPGNISFGDAARALPVPQHGNTLIRQRR >Et_6B_048463.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:7686589:7686627:1 gene:Et_6B_048463 transcript:Et_6B_048463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRAPIFFTIL >Et_1A_004835.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:10964172:10965074:-1 gene:Et_1A_004835 transcript:Et_1A_004835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPEPKHRAAAAAASSSTSSSSSSSYSQHEFRPENPLLLLPSSRAAKLSLGCPLLDRLLSGGLPAASVTEIAGESASGKTQLCLQLALLAPQSPLSASSLFLHSDLPFPLRSLRRLAPKYRPDILDHVLVAAAHSPAELLSLLSRAQRVLADPDRSPHRLPIRLILLDSIASLFRSDFDASPADLKRRSALFFQISAKLKELAYRHQCVVVVTNQVVDVVEGDAGNTVAWSSGRRVSPALGIAWANCVNTRLFLTRELDATGGSARRRMKVAFAPHLPERSCEFVIRRDGVFGVEPTER >Et_10B_004497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9766847:9770578:1 gene:Et_10B_004497 transcript:Et_10B_004497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLGRTCAGFMLVLALSQQQYVHRREEIEYAKQGHCIESKCRGICSLRSVSDASRRSDAAVVVSGTSKEASTDQTESIIRSNSDEEAHQYWQQQLPDDITPDFKVLGQDETAGPDSLTLTGLSIRASPTRSPHPSHSRYRSLWQGRRPSPTRSLGLALLHAVLLLHVLAVLLLLVVLLLLALAPLDVLALLPVLAPLHLVLR >Et_5B_043665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13875319:13881672:1 gene:Et_5B_043665 transcript:Et_5B_043665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLLWFFASLVATGDGLGDGGSGVYVVYMGAVPPRTSPDLLRQSQLRLVGTVLKRGQKAERVVVQQYKHGFSGFAARLSKDEVASLRRKPGVVSVFPDPVYQLHTTRSWEFLQDTAVKIDSARHYSTGGANAAAPASATTDTIIGLLDSGIWPESPSFDDAGFGPVPSRWKGTCMTGSDFNSSNCNKKLIGARYYDLGEVRGPAQSNSPRDQAGHGTHTSSTAAGNAVTGASYYGLAPGTAKGGSAASRVAMYRVCSEAGCAGSAILAGFDDAIADGVDVISVSLGASPYFRPDFSDDPIAIGSFHAVAKGVMVVCSAGNSGPEAATVVNAAPWILTVAATTIDRNFESDVLLGGNNSAVKGGAINFSNLDKSPKYPLITGEAAKSGSDSDSDSASHCEPGTLDTSKIKGKIVLCHHSDGDTSKVEKVDVLNSAGAVGCILVNNAEVAIATTYLDFPVTEVTSAAADAIHKYIASTSQPVATITPTTTVTEYKPAPVVVYFSSRGPSAQTGNLLKPDVGAPGVNILASWIPTTSLPVGQKQASQFNLVSGTSMACPHVAGVAATVKSWNPTWSPAAIRSAIMTTATQLNNDRAPMTTDSGSVATPYDYGAGQVHPTAALDPGLVYELGEDDYLHFLCNYGYNASKIKLITSLPNGFSCAANASKDLISNLNYPSITVTGLSKTGSRTVTRAVTNVGALEEATYTVTVSAPAGLDVKVTPSKLEFTKSVKKLAFQVNFSGKNMAAKSTLSGSITWSDGKHMSPADDYLRTWSGMMSMHSRTSSLDMVGTSTNAGLLAATPPLLDWSLYTSSAAAGKCCCLPVSGKLQVDGVPPLFAICEQERWSRN >Et_9B_065416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4810926:4812688:-1 gene:Et_9B_065416 transcript:Et_9B_065416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVDRQERPLLPTSSAGAGPHRARSSGKGKQKTRRPDDPSGLEICGSLAIFILTILGCVHVYRHYWPRIFGPFDPVEYSVTIAAVSGLDPATDLTKHWLPANQGLLNPAFNLTLRVASPSTARGRECVEASTAVEVSYLRSRVPLATGPVPAFCVEVGEEREEGSAVAWGHGVRLPTFVFDSLAADMRLEKAEFGVKLVPPLPAYCGGGKSYCSSDVISCWSKIGGDRAPCNVSRERASLPMPRTGRDSTDLPHTRWGLLSLPRATRRAHVARPTKACSDREPITMVQTKLKTELRLKREIVLKFLIQLELRASTQQLTRVPPDSPPLVPPERAWT >Et_5B_043766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15747513:15747958:1 gene:Et_5B_043766 transcript:Et_5B_043766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDLQLEMLPRFDDWPKSFEISGPTHEDIGLFFSPHKLDCHEKKHSDLLETSCNYVMRAHVNDIKLLIYSSEVLPPDSQ >Et_2A_017748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5829418:5838615:-1 gene:Et_2A_017748 transcript:Et_2A_017748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAMRLRCFLRAPFWCEPATPVAASSGTSGTLGGSALVRRLGAPTSGERRRLCRFYCSKEGVGSAEEAALGSGGGGSGGGSSNEQEHARLGERDQQEWLSRERFLTGCKRREPTFLTKRQRFKNEYLRRVVPWDKTGVSWNSFPYFVDANARQLLSECVASHLRHRDFTLEYGSGLQSSRERILLESLPGTRLYRERLVRALANDLRVPLLVLDSSFLAPFDFGEDCSESEEEDNHAESQDEVSESEDEGESGESDDEDATKTKAVETLTKLLPGTLEEFAKRVGVHEISSAEESSGTTESSEEDKRPLQKGDRVKYVGASVVVEADHRIILGKIPVQDGSKNAYTCISGRTLSNGQRGEIYEINGDQVAVIFDPPEEKLADGKNEEANEEQHTKPALYWVDTQDIVRDTDIQSKDWHIAIEALCEVLPSLQPAIIYFPDSSQWLSRAVPQSDRKEFVEKVEEMLDQLKGPLALICGQDIEEAAAEPNDKEPDDEQLRIFNNQIEEDRKIITSRHNLVELHEVLEQHDLSCEDLLHLKTDGIVLTEERAEKVVGWARSHYLSSAVNPSVKGDRLIIPRESLDLAIARLKEHDASNTKLSENMKILAKDEYERNFISAVVPPNEIGVKFDDIGALEDVKKTLDELVTLPMRRPELFSRGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTRALFSFASRLAPVIIFVDEVDSLLGARGGSLEHEATRRMRNEFMAAWDGLRSKDSQRILILGATNRPFDLDDAVIRRLPRRIYIDLPDAQNRMKILKILLAKENLESDFKFDELANATEGYSGSDLKNLCIAAAYRPVHELLEEENKGDTRRMKSSLRPLKLDDFVQAKAKVSPSVAFDATSMNELRKWNEQYGEGGSRSKSPFGFGK >Et_10B_003654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4474854:4475770:-1 gene:Et_10B_003654 transcript:Et_10B_003654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLEWVLLVVPSHLLPKATPPGQGSAPPGSSVRGIPWPWAVASGRQPGAAKGSLSMAERVLFDATTAVEVEARMQF >Et_2A_016887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29085676:29099540:1 gene:Et_2A_016887 transcript:Et_2A_016887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSDGDGRSREKRRRVEEQGYRFQETAAAIPAVRFSALPIDLRQRILRLLPIKDAIRTAALDQGWCDIWKSRWHPTSSRDIHLLPGDVPNEVLDSLESGPRLRLDRFCLVVENEKLCPTHLNHFLVYAADCRVEDLHVERRHGKQLGSTILFHFPLTSPCLVHLSVRSIGISNMYKADRPFSALEVIHLHSVRNCHLTFRNLMAMCPRLRTLDLRRCNCRRMFHGAQALAPPAGENLRSITVVECEGEVRLDVVAMPNLRSFRYSGNYGESPFFLPKDAAPVDLYICCGDPIFRPFTLFPNYFDEGLPHDLSRLTVLTVCSNALKVASTWLNNFATAHWTSLCNLHSLRELQLLMFGMDANNLADIYVFIKASYCPSLERVFVQLPAISDVPMENLLDEVGVEPPEDGLSNLRMVKVMNFNWRRFEVLLVSFLLRKARSLHKLLLVSPNVTLLNVPDVPEADLLVLKNAMDNGRLMLSGSDAAATQPFHSERRRVDEQAVHSNEGVEAVPVVRILALPNDLRRRILTHLPLKDAIRTAALAQGWRELSCLDIHLLPDDVPKKALGSLESGPRRRLDRFSIVVENQKLRPLQLKRFLAYATECRVEDLHVELRHCKVDSNLTFHFPLSSPRLVHLSLRGISHQHWQLMLQRHPALLRSRGHPPLLCSHLPIDLQKVDGIVSSPPHSQSVPLRLQLLYGAKAFIPPAGANLRSITIVECDGQARLDSVVLPCLNSFRYSGKFLRSSFLLPEHAALTKLYISVGEPIPSIFYGYFNRALPFDLSRLTVLTLCSDALKVASSLLRNGGIGFAELANLSNLRSLRELQLLMFGTDTNNLADIFVFLKASRCHKLERLFVQLPVISDALLEDLPEEVGAEPSGEGLGNLRMVKVMNFNWRCFEVQLVSSLLRKASSLHKLLLVSPNVVPLIVPGVQEADLLLLKESLDNGDIIISKSDYAADQPFHSEIFRRRVDEQEGRCDGEAEEAIPVDRISVLPEELRQRFLTHLPLKEAICTGALAVGWRDLWKSRWAHRCSAEIHLRSRDALQKELDALAREPRPRRRLDRFSLIVDICKLKSTDLRLFLDYAAESLVEDLHVETRKSPAAEKLNFHLPLSSPLLARLWLRRINISNLHYKGAQPFHALEVIRLHSVNISQTAVRKMMALCPSLLTLDLRDCDSDSFFYSKNGLVFPPKLRNVTIVECEGLASLDLVGVPSLRSFRFSGSCRSFSLPKDAALADLYICFDDTISLSGTWDTYLFNVSLPNDLSSLTTLTIRSNVLTVDDAQTAQKPKLSNLQSLRELQLLMLKMGPDNLADIYKFLNTCKCPNLESLFVQLPTSNSMKASLDEVVEEPPEDGMDNLKIVKVTNFNWRCIEVQLVSFLLRKACSLHKLLLVSSNVAPLDIPGVQEADLLLLKEALANGKIMLSEFDDAATQPYHSE >Et_1B_010983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16071304:16078750:-1 gene:Et_1B_010983 transcript:Et_1B_010983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSARDYDSIPIEGQFISVDNLKERIFESKHLGRGTDFDLMISNAQTGEEYADEATMIPKNTSVLIRRIPGRPRRPIVTEPEETKAIEDRVEEVMPAASTFHGDSSMKYPEESEWDDEFGNDLYVSDSVPSQPASQAFDAASENKVDEDSKIKALIDTSALDYSQIPDGYGGGRGYGRGMGGRMMTGRGFGRGLERKTPPPGYVCHRCKVPGHFIQHCPTNGDARFDVKRMKPPTGIPKSMLMQTPDGSYALPSGAGAVLKPNEAAFEKEIEGLPTTRSVGDLPPELRCPLCKEVMKDAVLTSIRDYIIDKSMCVCGATSILADDLLPNKTLRETISRILEAPPTSSTENVGSIVQVQDMESALPVQPKIRSPAVSAASKEEPKAATPVDESPDADSLSGVKASNVDVRSSDKKATTIPDVAEGTTDSKNVKEDKSLEVPLVAKESQEKLPGGEQVKKKKKKKARAPGNAAEEQWKNFQDFGAENFNGMPLGPTGGFNPYWGGGVPLPIDYMGAPFPGPMPYMGYPPGPFDPFGGGVIPQDPFMPPGYMMPGVPRDLSELAVNPMGINMGPPVVSREEFDPRKPDPRRRRELDRFNERERELDRSRERELDRSRERELDRTRQRELDRARQREREHGHSRERNRERDRDHDREREGDRDSRREARESSAAINDSTSMRRKARSRSRSQQPDRSERAPPPSSSPDRHSRRSSGSGKKRSSSDRYDDLPLPPPPPPPPSRHEPEPAKAAASAADARSKSKASVFSRISFPGGGDANPSDAKRSRRSSSDKPPARSSSSSKKTEAEDGDGRHHRNHHHRENHREAAAAAEEDEKRRQASAATELYGGEDEEESDEEEQHFKRRPSSSRREREREQEAHEEPRHSRRSSGHRRR >Et_6A_046704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19633564:19636046:-1 gene:Et_6A_046704 transcript:Et_6A_046704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTEDKAKMESLTSPAAFVEGGIQDACDDACSICLEDFCDSDPSALTVCKHGFHLQCILEWCQRSSQCPIQELLEAVERERNIRTNQTRNTTTIFHHPALGDFELQHLPVAGNDAELEERILQHLAAAAAMGRAHHLGRREGHRGRSGSHNRPQFLVFSAHPSSPSAGAVSSSSVHGEGDNDSNPVTPRAGVSSPRANFSGLTGNESPGMLTYDAEQDAVVSSGNSTPVSSPRFFNRYKESITKSTRGWKERLFSRNSSVADLGSEVRREVNAGIASVSRMMERLETRGNSRTEDSPTTSVAEVGPSTGSNNEGSSESSSTATTTSASNSSTPCVTTSGSN >Et_1B_011170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18252304:18256826:-1 gene:Et_1B_011170 transcript:Et_1B_011170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGRELDGDELGGPPPTPLAAPVVCLLRSAGDLAAGAFVGSLVGYGQGLITNKGLKASLSNAGSTAKTFAALAGVQSFIMCLMKRLRGKDDIINAGVAGCSTGLALSFPGAPQAMFHSCVTFAAFSCIIDGLNKQQAAMALTLDGIPPTKKHQEGGVLLPFTLPPLIEAQDALSSFCQTLLKPKH >Et_1B_013769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15897923:15898675:-1 gene:Et_1B_013769 transcript:Et_1B_013769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGRAYEDFVPPHRMVREPPTHTLSVDLSAAGKHSFPSFICSVTEVAIKQLSVMVQLVHSHRRLIVRGERPVAGNRWSRFRLEFKLPDDCDTKGIQARFDSGVVRVTMPGLTPQTVAAVGQPEPAKTAAASGVQDGDRAAPALPAGGAEEGSADREKVQKQAGIQQRLSSAKNGGRDDDASEGEEAAPGTPSRQGYGFLHDRRKMTTTVLGVVLVLISLGIYVRYSLWL >Et_9A_062688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3142687:3146038:-1 gene:Et_9A_062688 transcript:Et_9A_062688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKATVNFNGETFESPAFCSTLRQAEHAAAEVALNELSKRGPSSSLAAKVLDETGIYKNLLQETAHRAGLKLPVYTTIRSGPGHTPLFTCTVELAGMAFTGNPGKTKKQAQKNAAMAAWSELKQLPRVIVTRTLASLNKANGGKMAHQKEKQQNNNRPSSRRSYPRPNTTFYLSHLQNQAYPSIPQDQAMYHVWHRMQTTQPTPRFPMVPTMGNTRFPPPPAMLSMYPPPQGQFATPASQDTIGLLPCFPEAAPALPRYFSPCPISYLPRSPLPGTVHRNYGKKQERAEIAELLDAAVFSQYNSADSSCTSVYGGPQKVQELPKVGEDCTESSASPEEENKAPLTVSSSTNPSSQKLEPDEDTGPPGNKQAESKNSQEQQPKSLLSWGPSVPPCVSSQQKHYTVTIQRDEPIHRNNHPQRSLSASSEMQSSRLQAAPRFGTAVPVNSISSVYQQRPPWLAAPVTVRTAVPVCSARPNVVNTAAGAAGLRPNFQNGSSPARGELEEPHRNEERNLSGGGAASLDLCKLHI >Et_4A_032563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11425145:11427010:1 gene:Et_4A_032563 transcript:Et_4A_032563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTSRLPTSCVLPTGGVSARSIRPAMAAVGCAPGGSRRSVGLILCRSSSTAGAQGGRRMEDYNAAMKKMMRNPYEYHHDLGMNYAVITDDLIVGSQPQKPEDIDHLKNEEKVAYILCLQQDKDIEYWGIDFQAVVSRCKELGIQHIRRPAVDFDPDSLRSQLPKAVSALEWAISQCKGRVYVHCTAGLGRAPAVAIAYMFWFNNMDLSTAYDKLTSIRPCGPNKRAIRAATYDLAKNDPSKEPFESLPEHAFEGIADWERRLIQDRVRALHEA >Et_4B_036649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10003045:10007035:-1 gene:Et_4B_036649 transcript:Et_4B_036649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRTDTSTVLDTDDKNQRLENGQSGAAMASNSSDRSDRSDRPMDQKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLASLEQELQKARQQGIFISSSGDQTHAMSGNGAMTFDLEYARWQEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFRQKGIAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTDQQMLGLSNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQAARALLAIHDYFSRLRALSSLWLARPRE >Et_6A_045898.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:3155005:3155940:1 gene:Et_6A_045898 transcript:Et_6A_045898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PAARAPAPTVEQCHRSKPDLDLQRAPTPALQRRRIIGPHIGILNSDAKSERGGVAGPLREGGQRRDGERGRGGDGDGGERGEVGDGELGVGVGGEEGGVEGCSEGGVGRGVGDAGEVEGGEGEGRRARTEEEPDDEGGGAEEEESADDEREEAAQAGGRRRVGRVVVVRRWVRVVVGMRRRRVVRWRSGVGVRDAKGRRGGRAVAVGGGRRRGVVGSGAVGGGAGVGRVAHRGGRRRRGLRLRVVGRLRGGGGGLHAGCSGGGDGGGGGRATLQSEIRWWRCAEIAFASSVRREVGLMVIVIWDLTESVAR >Et_1A_005849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14687323:14688486:-1 gene:Et_1A_005849 transcript:Et_1A_005849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSLLFFLAALVASSASWNVVDAAIVDGLLVGFYDQTCPEAESTVREVVNGDTSNDPTIPAGLIRIFFHDCFVKGCDASILLDETTTPGEETEKDSPANGFTLNGLGTISAAKSTIESLCPGTVSCADIVAFAARDAAVASGHPGYAVAAGRRDTRTSLNANVPGNLPGPGQNVADITKVFVGKGMSQEDAVVLSGAHSIGGAHCFMFSDRLYNFSAGADVDPAMDGGYAGQLRRVCPAPGSDGDPENAPKVAFDARTEQRLDTSYYAELLAGRGLLGSDNALVEDPATRPLVEALARDVLLFHRRFADAMQRLGMVDVLVGEGQGEIRLDCRAVNSPGEQVPPTLPSLN >Et_1B_014386.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7359336:7360697:1 gene:Et_1B_014386 transcript:Et_1B_014386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADRGGCGGGRGRRWKGKGVSERPHHLAPVLEDAPAAAMLRPHKKIRSPDRLHRSLSSLSSAPTSPDSSSVSNPLSPPATSWPPTRHIFPFAYDPATAASSEAATPRVLPLLQYPSLYQQQPLQPQQQQQPLQRQQMISFGGGSTSPPQQQQFGAAASPLFPPHLVAPEVQQQMLLRYWSEALNLSPRGFHGGGGAAFPPALFQHLLRAQQQQAGPPKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPDLFLGKGRVGGSGRTSASAAASCSSSSSSAPPTPDEIRTKQEAPPNSEAKPLFPEGAEQAKNSELQPNPEAQTADQQGGDGNTAVFQPSVTWGPADEAWFSAWGPGSSVWDYDMDNAHGLFLQSRFAGEQTGVDYVSSAPEVPMTPAPAAGPAMAAASSPLPHPLPHSPTSMWKD >Et_1A_006848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28189790:28193318:-1 gene:Et_1A_006848 transcript:Et_1A_006848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFNLQSEPLIEGLIVAMSLIGATVITTFSGAVADSVGRRPMLIASAVLYFISGLVMLWAPTVYVLLLARLIDGFGIGLAVTLVPLYISETAPTDIRGLLNTLPQFSGSGGMFLSYCMVFGMSLMPKPDWRIMLGVLSIPSLIFFALTVFFLPESPRWLVSKGRMAEAKRVLQRLRGREDVSGEMALLVEGLGVGKDTRIEEYIIGPDDELADEGLVPDPEKIKLYGPEEGLSWVARPVRGQSALGSAMGLISRHGSMVSQGKPLVDPVVTLFGSVHEKMPEIMGSMRSTLFPNFGSMFSVAEQQQSKADWDAESQREGDDYASDHGGDDIEDNLESPLISRQATSVEGKEIAGPHGSIMGSVGRSGSMQGGEAVSSMGIGGGWQLAWKWTEREGADGQKEGGFQRIYLHEEGVQGNRGSILSLPGGDVPPGGEFVQAAALVSQPALYSKDLLEQRAAGPAMLHPSEAVAKGPRWADLFEPGVKHALFVGIGIQILQQFAGINGVLYYTPQILEQAGVGVLLSNIGLSSSSASILISALTTLLMLPSIGIAMRLMDMSGRRFLLLATIPILIVALVILVIVNIVEVGTMVHAALSTISVIIYFCFFVMGFGPIPNILCAEIFPTTVRGICIAICALTFWIGDIIVTYTLPVMLNAIGLAGVFGIYAVVCILALVFVFIKVPETKGMPLEVITEFFSVGAKQAKEPRDD >Et_3A_023677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10779851:10781909:-1 gene:Et_3A_023677 transcript:Et_3A_023677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTPPAFHFDPGSGAGNNSWIVNLEGGGWCNNVRACQFRKTSRRGSSDLMEKEIPFAGIMSNSPAENPDFYNWNRANGFYFRGQRIWDATIRHLLSIGMASANQVLLSGCSAGGLAAILHCDEFRAFFPPSTTVKCLADAGLFLDAVDVSGGRSLTSYYSDIVAMQSVAPNLPPTCTNHLDATSCFFPQNLIDGIQTPIFLLNAAYDAWQIQESLAPNAADPSGAWRACKFNRSACNASQMKFLQDFRDQMVASVNGFSNSKSNGLFVNSCFAHCQSELPATWNNAPGGSPAIQNKGIAKSVGDWYFDRAEVKAIDCPYPCDTTCRHII >Et_8B_060082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5882660:5884110:1 gene:Et_8B_060082 transcript:Et_8B_060082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKYSKPALFQRDGKVEATKKLEPVPVWAWGRATAIEPAGVYLECLRNHAASAGGLVLDGCGEFMPAADPASLLRCAACGCHRSFHRRAVVALGLPPPPSPPPRLALPPPPPAAPHHHLVRDVLPGEVMKQEDRAADDDDWGEETDEGSNYDDDRDGPMSPLPAPALAPPPPGCFGIASASQMLLYAMSKGAAAGARSPAPAPAPASPLFVTARKRARTRFSPEQKERMRALSERMGWRLQKRDEAAVEGCCREIGVTRGVFKVWMHNNKHNFVAGHSARRAAASASPAAPAAAAAGVVHSLHHAAAPPPPAAASASAPPPPRVHVDFNINGTASASDYFVVQPASTASGGSSQWRLDGTCESEVRKHRPSLSASRCYAVLGTEYKTLVLSLIALLPRLNSSVVPNVFLTT >Et_2A_018096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10268962:10275292:-1 gene:Et_2A_018096 transcript:Et_2A_018096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCLLKCFPNVETLHIYSANTQNPTGKLNLKFWQESGRIECVQAHMKKFVFQEFKGKKSELAFLKFIAEKAQVLEKMVIMVASQSLSSVDDVSAKLQTLTSAKWASEDFKISTSK >Et_1B_013095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5457046:5465153:-1 gene:Et_1B_013095 transcript:Et_1B_013095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDRVSQKPVSWGARLHRSCDASLRERGSMRDPFLKHRVKKFDLSSLDWIDEIPDCPVFSPSIEEFEDPMVYLGKIAPVAAKYGICKIVTPVCASVPAGTVLMKELGGIKFKTRVQPLRLAEWSKDDKLAFFVSARKYTFREFEKMANKEFVRRYSSTACLPPRYMEEEFWHELAFGKIESVEYACDIDGSAFSSSPNDQLGGSKWNLKRLSRLPNSTLRLLRAAIPGITDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGSAASNFESVVREHVYDHEILSGEGENAAFDILLGKTTIFPPNILLHHRVPVYRAVQKPGEFVVTFPRAYHSGFSHGFNCGEAVNFAMGEWFPLGAIASQRYALLKRIPVLPYEELLCKEMALAADESSMSDQKDITLSRDVHIQSCMKVPFVQLMRFQHYVRWSLVKMGAGTHYKAEIDATVLCSICKRDCYVAHIMCNCRVDAICLCHEEEIRMCPCSYDRTVFVRKDIFKLEALSKKFEEETGILDAVGKQMFQNDASSPYPYLFDCIDSSVNYFPYCKIQIDGSSEENTVSEKYVLGYDLNKPYPTESTVTYSHGPHDYSTQTDECTSSNRRTLFSSCLGSAITSETATISAYPLSNPAQAFLPDKLAAQDTDDSDCEVFRVKRRSGIAMEKRHTEDVTTDSTQNQVLKRLKKARSADRQEKRLTEFSSGTRSDTVHTELHCPNKLKMIHELDVEDEVIFSWKSNGRSYLSPSVEVGRKRLKIRGPSFPSTISELEISYRFQEENDFCYGTPGPGHGRWGSLEDVNA >Et_10A_001760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7855633:7877671:-1 gene:Et_10A_001760 transcript:Et_10A_001760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACTSKELGRNNDLDGLPDDLLLRILSFLPAASDAARTTVLSRRWRHLWHLAVALRFNINSKPKTYKKADDVDAARKLLADACAAAACGPDVEDLEEAEFIDDEEEEETPERDLFAELPSSMRVASMNLILGQAILKIPDAGTGAFRSLTDVRLSYAQLEGDDDGHRLGHLLSSSCSPMLRRLKLSFVSNLATLRLAAARALEEIHLLSLCDLRSPSVVEEAPNLHVLRIDGCYHNQECLYEDCICDHPKDWEFQKIYLQNLRHIKIRDFLLLDSHKRLVSLLLVSSPALERMIVALRTCCKGDDEEVDFDEVPCYCGRWEPYVWKCSELGFIRPINAHKTATVAAVDLISGLHDDLLLRILSFLPAASEVARTAVLSWRWRHLWPGAVALCFTVGNEPKDYWYTQADRDDARALIAVATAVLSRCVTAGEGTTDVEVLDLSFVYSDPERRYIDRPCIGYEHRHYHAVDITSAHVAEWLLFAARHVTRRFKLTVPTVPRKERRPTMPQVEEEEDEEDDDANEEEEEEDDDDSEEEDHKVEDEEENDNDDDEEEGDKVEEEDDDRWKPLPEYEYDDEHEEERLHESRGDDPHTSHAILKVPTGGAGAFRVLTDLLLSHATLNASTGDDHRLSHLFSSSCSPRLRRLRLQHIKGITKLRLDAAATLEELQLESISNIWLLEVDAPCLHVLFVKGCYHISSARISAPWLEVLACHRLGCGERLRFDGAASVRCIEELHVASHRLRQGHNDAEDEDNSAVWILQHCAAVDRLHVQTEDCSYPECICNHPMGWEQQKISLQNLTNVEIHDFLPYDGPMGLVRLLLTNASSLERMTIAQQTIPVQGRELVDFHIRCYGGRWVPCDWSLDRELGITWATKYEWTRVSTKGGEQGEGIDFGLHDDLLIRILYFRPAGGDQPPSYRGGGATSGPSPSPFTSPNLKIKFDSGWSVRHITGATAAKLIAKCCEIEDLSIKTSNLVEDCLDQSCICNQPKGWENQKLSLEHLRNVNFSNFLPLESHILPLLNKMH >Et_6A_047704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:119533:120261:1 gene:Et_6A_047704 transcript:Et_6A_047704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSSTGGTSPPCASCKLLRRRCTQECVFAPYFPPEDPHKFAIVHKVFGASNVSKMLQELPAQQRADAVSSLVYEANARMRDPVYGCVGAISYLQQQVSQLQMQLALAKAEILCVQMQQNDGQQGHPTAAPASAKQQLQQQEMDGEACGNMFMQNGLMMNTLNSSAAHHQQHLLGSFSSSGSTAMMLQEACLKKESLWA >Et_5A_042466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13075805:13076369:-1 gene:Et_5A_042466 transcript:Et_5A_042466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANKLVLISLLVAFAVVAPALQPSTAIRAEAPAPAPAGAAAAALAALPTASLFDPEFPCFSFFPPILLQLCHALFPPSPSPSPKDDYAFARHT >Et_10B_004321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3869795:3870497:-1 gene:Et_10B_004321 transcript:Et_10B_004321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEKQLAVRQCDMEVMKMAMLKQEETFRQQVHELHRLYRIQRQLMSDLGSRAERVSTRRRSKQPRRSLNLQLPADEYIVSTDEEDNESELELTLALGVGGRSNTVRRSNRQQESKICGGGSPFGSDCSGASLLSSSPSSAEYYSDDAPAVVHAPPPPPCQRAMAFDLGEGMMMRQHQAPWLMQPQYLSLRMT >Et_7B_053277.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:20238603:20238947:-1 gene:Et_7B_053277 transcript:Et_7B_053277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPERAARVTRFLKPYLLRMNFSNKYVSAQVIHTPTATVACSASSQEKLLKPNMESTRDVAAAAKIGKLLGERLLLKGIPAVSIHMKREQKYHGKVKAVIDSVREAGVKLL >Et_5B_043536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11577133:11579829:-1 gene:Et_5B_043536 transcript:Et_5B_043536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGHGAAEGGRMRDYGGGVTVSVVVTCLVAASCGLIFGYDIGVSGECFSIFILHTSINGKIRGVTQMDSFLTKFFPDVLRGKKSAKSDAYCRYDNQLLTAFSSSMYLAAMLSSLVASSVTRRVGRKASMLIGGALFLAGSGINAGAVNIAMLIIGRMLLGFGVGFTAQSAPMFLAETSPTRWRGGFTMAYHFFLVIGTLAASIVNYFTNRIPDWGWRVSLGFAALPAAVVVVGALFISDTPSSLVLLGDPNKARMSLQRIRGVGTDIEAEFNDIICAVEEARRNDEGVVKRLCSKSYRHYLVMMVAIPVFFDFTGVIVMSVFTPVLFRIVGFTSQKTVLGSVITNLVGLIAVTVSSIIVDRCGRRILFLVAVAWVLADHLGMHQGMIMPRNYAVGVLVLMCLYIFCFHVSLGPLRWLVVGEIYPVDIRSTGQAMTLCIALPFTFAQTQVFLTLLCAMKYGIFLFYAGWITIMTIFIVSFLPETKGVPMELMWSAWERHWFWRRFVIKDVKQEVQLNHI >Et_3A_023237.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:13073965:13074183:1 gene:Et_3A_023237 transcript:Et_3A_023237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSAPASSSSLQQPAAHDMLLPLSLIQKQPRQRMRRSALWMKSTTRALVRKCSCGARYSCGCASSPFERR >Et_4A_031931.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30712833:30716165:1 gene:Et_4A_031931 transcript:Et_4A_031931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPYKVIPGPVDKPMIVVQYKGEDKQFSAEEISSMVLIKMREIAEAYLGSAVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKNKKDISGNPRSLRRLRTACERAKRTLSSTAQTTIEIDSLFEGVDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVDDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQDAEKYKSEDEEHKKKVEAKNSLENYAYNMRNTIQDEKIASKLPADDKKKIEDAVEQAIQWLDNNQLAEVDEFEDKMKELEGLCNPIIAKMYQGAGADMAGGGMEDDAPPSAGGAGPKIEEVD >Et_2B_020947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25000407:25004729:1 gene:Et_2B_020947 transcript:Et_2B_020947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMGLASSSASLCLLVVLASLPSSLLLVRGDDPYRFYTWNITFGDIYPLGVKQQGILINGQFPGPQIDAVTNDNIIVNVFNNLPVPFLLSWQGIQQRRSSWQDGVYGTNCPIPPGGNFTYNMQFKDQIGSFYYFPSLDFHKAAGGYGGIRVLSRPRIPVPFDPPAGDFTILTGDWFKLNHTDLKGILDSGNDLPMPDGLLINGQGWNGNRFTVDQGKTYRFRVSNVGIATSVNIRIQGHSMLMVEVEGSHSMQTTYTSIDIHLGQSYSFLVTADQPPADYSVIVSTRFTTPVLTNSAILHYSNANGASTVPLPPAPTVAIDYSLNQARSIRWNLTASGPRPNPQGSYHYGLVNTTRTIRLANSRATINGKLRYAVNSVSFIPADTPLKVADFYNIQGVFTLGSLPDNPSGGGAYLQTSVMAANMREYVEIIFENAENFVQSWHIDGYAFWVVGMDGGQWTPASRQGYNLRDAIARYTLQVYPQSWTAIYMPLDNVGMWNVRSESWARQYLGQQFYLRVYSPANSWRDENPIPKNALLCGRASGRRTRPL >Et_9A_061242.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:23888206:23888292:1 gene:Et_9A_061242 transcript:Et_9A_061242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWTIQNRQSWPLIWSSIECLWPSHPCG >Et_2A_016541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25824639:25827097:-1 gene:Et_2A_016541 transcript:Et_2A_016541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFTGGTAGAGRAEFYEGKITWYFILACIVGSFGGSLFGYDLGVSSGVTSMDDFLVKFFPDVYRRKHAHLHETDYCKYDNQLLTLFTSSLYFAGLVSTFGASYVTKRHGRRASIMVGAASFFLGGAINAAAMNVAMLIVGRVLLGAGIGFGNQAVPLYLSEIAPYRIRGAVNQLFQLTTCLGILVADIINYFTDRLHPWGWRLSLGLAMGPATAILVGAVFLPETPNSLVERGRLEEARRVLEKVRGTSKVDAEFEDLKEASEAARAVKGTFRNLLATRNRPQLVIGALGIPAFQQLSGMNSILFYSPVIFQSLGFGNSAALYSSIITGSMLVVGALVSMVTVDRLGRRFLFIEAGIQMIASMVVVATILALKFGHGEELTKGVSTVLVVAICLFVVAYGWSWGPLGWLVPSELFPLEMRSAGQSVVVCVNLFWTAAVAQCFLAALCHLRWGVFVLFAALIVVMSIFVVLLLPETKQVPIEEIWMLFDKHWYWKRIVRKDPKYQGNLHLQQQDMPPANAAGVKPSSDV >Et_10A_000235.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:19270786:19271298:-1 gene:Et_10A_000235 transcript:Et_10A_000235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFTFPCVPVEQCSAKMPPFPHFASPSPWLVSGSVDTAVHEHDHRRSFSAVEPQAAGSDHHHTVVGGGYQHHGSARFAVEEDKMDMLWEDFNEELARAAPQCPLTKGTPWTAKESWLAGDDDDFVPAETRKHAVVRRRRMGLLTMLKLLKKLFIAHKSGATTSRKGPPI >Et_9B_066155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4987893:4989839:1 gene:Et_9B_066155 transcript:Et_9B_066155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLFLSTASLLPQIRREPAAIPSVSFSLKPRRYLSFSRIPPKPPRCSRIPCSPSGKPSTAGVLSSVASASTTFLFLLAASLLSLSGVRTLPALACAPTTTQIEEQDEQQESEECKKQGDDEVTKSEEEIAKEEVVVEVDDEVKLYSDILSRDPGDVDALKCALFAKMKSADWGRALQYVSQLREAEPGEVEWKLTEAQLRELNGDLAEAEQLFREVLAEDPLHVRALHGLALCMHKKLEGPAVYEMLENALQLAISEQRVPEERNIKILIAQMHVVEGQLDAASEKLQNLISEDPRDFRPHLCQGIVYALLDKKEEADVEFDTYRSLVPDEFPDKSFINDIITAAKTESNDRVQKEFGSEFLWKK >Et_1A_007884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39200647:39204253:1 gene:Et_1A_007884 transcript:Et_1A_007884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTKTPRPRASKARRASAAPVAAPKAVTKAAAPADGSSPSGGLSLQLEQVSLISFLSDRGGTAPTRFEALLEEEESSRDEPAPPLPPLPLAPPPPPQPQVSPMDADDLMEEKDCCILSQDFFCTPDYITPEMPQPANDYEANKENTPCPKSPEKSVNGRGKRYRTDCSPKGLESTDFSFDQHITPIQFDDPSGDDLDQDQLMQVMQPALQKRGSYVSQSAVSLRCRVTPPPCIKNPYLNTDPVIDDVYGGRQCKSVFSPSIGGGGLSRYRTDFHEIGKIGKGNFSIVFKALNRIDGCLYAVKRSIKQLNNDMERKQAVKEVQALAALGSHENIVGYFTSWFENEQLYIQMELCDRCLSMDRNQPLQCREALELLYQICKGLDFIHERGVAHLDVKPDNIYVRNGIYKLGDFGCATLIDRSLAIEDGDSRYMPPEMLNDKYENLDKVDIFSLGAAIYELIRGTPLPVSGPQFASIREGRISLLPGCPTQFQHLIKSMMDPNPVRRPSAKEILRHQIFEKLRSAPAKK >Et_4B_039694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26766393:26768676:-1 gene:Et_4B_039694 transcript:Et_4B_039694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKAKMESKLSSAAAFVEGGVQDACDDSCSICLDAFCDSNPSTVTICKHEYHLQCILECQELFEAVERERSMQANRSRTTAVFRHPVLGDFEIPVGADGAELEERIIQHLAAAAAMRRSHRHHRRDGHSSRSGANNRPRILVLPADEVTLDGSMRTSGQQGDYEQSPPIVAAGPCPLATLVAQEHTTRGLESAISPSLCCPAPDSTDGSNNRISESQPTPVNQEGGAGPSDVQSFSDTLRSRLQSASMKYLPKSIRTFGICNSGMYKDTIAKSTSGWRDRWFSRSNTISDLGSEVRREVNAGIAVVSRMMERLETKDGTGTSAASASGSGSQ >Et_4B_039427.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1512858:1514015:-1 gene:Et_4B_039427 transcript:Et_4B_039427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLRHPSLSRLKLPNPTRPRTPSLPLPNLLRLRTRRLTAAAVFQGQSKPSDPANKESDDEEAYGEVDRIVSSRTVTSPVFAEDGTATTAVATEYLVEWKDGHEPSWVPAGAIAADVVAEYETPWWNAAKKADADAMVALLADEALRRDPDAEDAQGRTAMHFAAGLGSEECLRALAAAGADVGHKERAGGGLTPLHIAVGYGRAAAVRVLLELGADPEAPDGQGRTPLELVQEVLGKMPKGNPALLQQRLALETAAKEMEKAVYEWAEVEKVVDGRGEGKWREYLVEWRDGGDREWVKAAWVAEDLVNDFEAGLEYAVAEAVVDKRQAVEGEDKWEYLVKWVDIEEATWEPAENVDAELVQEFEQRQSGSAGGDPPQKETIAG >Et_9B_066194.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:6638368:6639324:1 gene:Et_9B_066194 transcript:Et_9B_066194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRSGGWRLKDRLARLLRPANSLLLRSPCSSSSSTATAAFTATPTTITATTISTSSSSTGTTAANYTTIAGGGARLPRAEPFSAALDRLRRAPPPERVRSSSYMARRLKGGSSSSSSRRGSHRHLKNIVISDRGELRALSSNPYGFTTSEDDDGGGGEDDDDAVGYGDDETETFFSTRSLMSTDDSSVFYTSKHPAPPSNKSHRRRQRRKRRPPPASCVDRCGGGGASEPGFRPLVVAATVAAAEEVRRGMAVVKQSTDPYGDFRESMVEMIVGRQVFGAAELERLLDAYMSLNAPCLHPVILQAFSDIWVVLHGG >Et_3A_026390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7512166:7514094:-1 gene:Et_3A_026390 transcript:Et_3A_026390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPLERNPTRKRHSWWWDSHISPKNSKWLAENLEAERYDNVTGELRKNIPTRLQSTGSLTGSECGSELQRSPSPSPEPLQRSWTREQSPRAAGFDFFLSNKNNDSPASRKEPEDLASQSESDAKSEDGDDDGIAYTLHQRVLELEDELSTVNQKLRDTNEKLEVLEEKSLRCHCDYSENGNSADKTTKVSDIEKELTASREKLQSSQEEIRNLQKNLESSTILSEEDSRLLELNNKLEAEIANLKEEVDSARTQFEKTLSERDAEISKYKQELADASEQLLQEKSATGAQIANLQETMENVRFKLEKVSEDKLLVENKVKELEEANAEADKCSQELTQVAERLSEEKFMHEAEILTMQQSIGDLRSRIETLFQEKSLVTSWFADLERVVAQGRRIFPE >Et_9A_061669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14996440:15000896:-1 gene:Et_9A_061669 transcript:Et_9A_061669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTTPRLLLSPTSKGLLDAASFPAPPSPTAAASDDPVSPLDAFASDPVLSAFLSPSFSPSEFSSAALSSGLAASRAEQLQEAIRLLRRHLRAEVLRRHPLLLSHLLSLRSASSSLSSLPSHLHLLSSHLSLLSSHLSAPRSHLALSSSSLSSLLSTADLLLHSHRLVRLSSRLLASSPAPDLARQAELHREIRLLHEEKNLAGINAVDEEMRKVDAAASKLRSEASAVIDRGLAESNQNDVWCGLQVYYNLGELKQAVEGLVSKYKAAGAKSVAVALDMKVISMAAGGGGGPGGVQRSGTPQIGGSKKAAEALWERMRQCMEELHRAVSAAWQLQTVLTKKRVPFTQMLFLEEVWQEGEPLLTERVWDAIVKAFATQMKSAFTASSFVKEIFTLGYPRLFSMIENLLERISRDTDVKGTLPALTPEGKDHMVSAIEIFQTAFLALCHSRLSDYINSIFPMSNRGTIPSKDHISRLVSRIQEEIEVVRTHGHLLLLVLREIGKILLLLAQRAEYQIATGPEARQVTGTATPAQLKNFALCLHLQEVHTRISSVLSTLPNVASEVLSPSLGVVYGVACDSVTSLFQAMLDRLESCILKMHDQDFAGHGMDAAMDNNASAYMEELQKCAIHFRSEFLSKLLPSSSSRSETICTIMVRRMASRVLIFFIRHASLVRPLSEAGKLRMARDMAELELAVGQNLFPVEQLGAPYRALRAFRPVLFLETSQLEKSPLLADLPPSVILHHLYSRGPDELQSPLQRNKLTPLQYSLWLDSQGEDQIWKGVKATLDDYGMRIRSHGDKEFSPVYPLMLQIGSELSQPTT >Et_7B_054293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19440264:19443122:1 gene:Et_7B_054293 transcript:Et_7B_054293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLPSARLPPLPLSRPSRPALSPLSIRRRTIALPFPRVHCYARQTPQPPAAGSGLKAAGASWSEKVAGTVPWKAVVSGALAIAVSFTCFASSVHARTGVNKPELLPKEFTTVIDVAGFLSSGQENRLRQEIEELEKDTGYKLRVLAQNYPDTPGLAIKDFWQVDDRTIVFVADPTFGNIINFNIGPLVDLDVPRSFWSQVSGKYGNMFYWKEKGEDASIEAAVMAISRCLRDPTGTNNCSEIL >Et_4A_032109.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:18356500:18356790:1 gene:Et_4A_032109 transcript:Et_4A_032109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLLWIGGYGRERGHQRQDAKVSILSSFWSHRGFGRTETNAFSNFKPFNRGLGSGDHRRSYGLGGCGLRQVVGFSFPSSCIVERLESLCTPSCTS >Et_7A_052204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5605971:5610244:-1 gene:Et_7A_052204 transcript:Et_7A_052204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSLRPHRRGALSGNWQWSFFDAIWAVFIVAVVVFLALVFTPRRGDPLSDAASVRAGAAVPPCAASEVDLLPCEDPRRSSRLSREMNYYRERHCPARGEAPACLVPPPQGYRIPVPWPESLHRIWHDNMPYGKIAERKGHQGWMKHEGSYFIFPGGGTMFPDGAEQYIEKLSQYVPLKTGVLRTGLDMGCGVASFGGFLLKENIMTLSFAPRDSHKSQIQFALERGIPAFLLMLGTRRLPFPAQSFDFVHCSRCLIPFTAYNGTYLIEVDRLLRPGGYLIISGPPVRWKKQEKEWGELQAMTQALCYKSIIVDGNTAIWNKPAEVSCLPNENEFGLDLCSTNDDPDEAWYFKLKKCISKPSTVEEIAVGSIHRWPDRLSKPSARASLIDNGASLFDVDSQKWVRRVSYYKKSLGVKLGTASIRNVMDMNAFFGGFATAIVSDPVWVMNVVPAQKPLTLGVIYDRGLIGVYHDWCEPFSTYPRTYDLIHADGINSLITDSISGNSRCDLSDVMLEMDRILRPEGTAIIRGSPDVIGKASEVAQSIRWNVKVHDSEPESGGSEKILVATKTFWKLPLTSQ >Et_2A_016899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29253102:29253381:1 gene:Et_2A_016899 transcript:Et_2A_016899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGIPRFGKTKSIIPRGTNILGNHLKFYMNDFKRLDEPSAGSVGSVAQTSSASLGE >Et_1B_010587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11968164:11971178:-1 gene:Et_1B_010587 transcript:Et_1B_010587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRDVDQATKIRKRCALSPSSGASPDTRKRTRRRLRLKRSVRLIGRSGCGGASSPCEISGGGSRRRKRMSESSWSRHHRRVETRPTSAASARKLVSALWQLDKGPGACGDEEEGEVGWDAAAARRSSDHRRSASVEVSAGRGAMLSRVLVFDLSDCNHGSCSELDRLSSVQFSKLTRRKSRALEGDGERSWHNGHARGHWFSDVMSNGGTMEVTSNILACSVAQLIRRVTVFLNHFLNMFQAPPELLMNSFDLFQATECPGDRMGQLQELHNSVTASKELVRVLANVLAPGALNPTAASLLAALRSELDAARARARHLVREQRRRGGGDEEGVRAQLAEEMRAWKSRHREKAAAAAQLVASELDLERRSRQRAERVSKKLGEALAAAEASLREARRELELERKSRERLEKVCDELAGGVGVEEEEEEEEMRREAAESAREELEREREMLQVADELREERVRMKLAEARIQFEEKNAVVDRLRQELEAFLGRDKDGHGSPARDEHRLAAPPDDDRRLQLVLASSEPVVDGIDRVAVHRNNRGDGDRNGSAGGDDDSDGSDIELNMDGNSWSYSTAASNKETTAKNNNAASRHGSFSDRGTDDRRSQQGLGEALEQHHWDQGCSDDDRTTARDMDEEAERYEAIKNLREQMLAGHGFVFMSQGDDRDDHRRHGLVCQVEDAGLW >Et_9A_061546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13484317:13488507:-1 gene:Et_9A_061546 transcript:Et_9A_061546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDAATTTTGSADAGDEMSSEEAVAARPLLSPPPPSSSAAAPPRESIEELDRRYAPYARRDAYGPMGLGPIGAAEAARLAFAAAVLVPLRVIAGVLVLAAYYLVCRVCTLRVEEERDGGGEGDGYARLEGWRREVVVRCGRALARAMMFVFGFYWIREHNCLSLQDEDEHVDQSEELERPGAIVSNHVSYVDILYHMSASFPSFVAKRSVARLPLVGLISKCLGCIFVQRESKTSDFKGVSGAVTERIQRAHQQNNAPMMLLFPEGTTTNGDYLLPFKTGAFLAKAPVQPVILRYPYKRFNPAWESMSGGRHIFLLLCQFVNYLEVIHLPVYYPSEQEKDDPKLYANNVRKLMAVEGNLILSDLGLAEKRVYHAALNGLLCQS >Et_10A_001808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8483620:8493331:-1 gene:Et_10A_001808 transcript:Et_10A_001808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSSSSSTARPEDALTVVGKDKRGRERKGAVTAKDSLVVLLAGHGREQSAAAGFRFHPTVGELVVHYLRRRALGAPTTSCAQVTSSSLRSRWAKPERYLFTRKEAKYRTGPRVNRATGAGYWKNTGKEKPVFAGPREAVLVFYHGRPPTGTKPDWMMHEYRLAGAGLWRHDEGWLLCRLFRQTGSPIPAAIPEVEPEAVEVEEEEEEEDAATASGFIDFFPRADAALQQQRHVSPPVLSLSCLTHE >Et_3B_029343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24101447:24105324:-1 gene:Et_3B_029343 transcript:Et_3B_029343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSRGAWRHLSCDVPAADRRAGGIAVTQRHSHGHGVHPPPCSCSSPPCAPENIYSSSARPGGRAHVALHLERRSSWRCCCSSGRRTADAHRYDAYGEDRATEDQPVTPWAVCVASGHSLLRDPRHNKGLAFTEKERDAHYLRGLLPPVVLSQELQEKRMLQNVRQFEVPLQRYMALMDLQTRNERLFYKLLIDNVEELLPIAYTPTEGEACQKYGSIFTRPQGLYISIKEKGRILEVLRNWPEKNIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPVTIDVGTNNEDLLKDEFYIGLRQRRATGQEYSELLDEFMAAVRQNYGQKVLVQGTAAVVLAGLIAALKIAGGTLADQTFLFFGAGEAGTGIAELVALAISRQSKVSVEEARKKIWLDDAKGLIVTSRDKTLEPFNKRYEHKHEPIKDLLEAVKAIKPTALIGSSGAGQSFTKEVIEAMASINEGRAIFGSGSPFEPVKYNGKLLVPAQANNAYIFPGFGLGVVISGAIRVKDDMVLAAAEGLAEQVTSEQIDKGQIYPPFSDIRNISANIATRVAEKAYDLGLASQLPRPNDLLKYAKSCMYSPVYRSYR >Et_3A_024732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23726237:23728425:1 gene:Et_3A_024732 transcript:Et_3A_024732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNKLGVCSIGILHQCIMGPCISCVCGQRISAIRQGKIQKVESNNKTLFNNHSLANNCYAVNIPYSTQTVSNNKCGPFNHYPIQCLLDNPFRFHVQCTCCFIKQKYLWIFNNGSCNCNPLFLSSRKLCTTLAN >Et_10A_001706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7034377:7039557:-1 gene:Et_10A_001706 transcript:Et_10A_001706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNDMRCRRVGRRWNTKVVFHSTGSIRGVGIVVDANEVGQPPIQRTVVLCLNRETRTALVCAKPGDLMWRAIDMTCVAEHEGKLPFVKRGLSVRGRFYMPTRAGDVLTVELRPRPHLAFVARQAGEYVRNGLNDACYLVPSLSDNDDGMLLVRITEGDEAEEFQEFMKPFAVDLENRSLELRMPRGITVFLPSVTLHCPSLPSDLQGKVLRKAFMDLLIRGYYIQQALVTMTHRVLK >Et_3A_024334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19675171:19687685:1 gene:Et_3A_024334 transcript:Et_3A_024334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVARLVGHRFGFGLCLVTWPADANARRDASGRLAKPPRARTYPPSPTTSCCRSSSASPPSPRSSAPPALARRGAARWLASSPAFRNRFRSLHPAPLLGVFTGAAHSGLPAFAAAHRRFDRDVLAALRGGDFVLTSLMEECDYHVPREWRVLDCRDGYLLIQNLITDQLATVNPMARQGPDYIDAPSESDISAEQRGTVFSLDPHLLSSDEDPMSFRLVWIFHDAYRVRAAVFSSDTWDWRVLPWTEVAARMPPHDDEDDNRAEWLQRGTQANKGLVHLPFRYLDLVLTLDTKTMEFSVWELPAAPWLDASDGVYLPRSLVVGETKDGTPCIVRASGFSITVWMRRGGAENWEFEDVLPYKDEPELLGDVGTLDVMAVMDGFVYMATTESILSLCLKTWNLEKLVPCTYGGGIYPSWHGRLLLRIQAITGTHISTLPDDILVKIFLLLPSLATLIRAACACRAWRRAIASSPNFRRHFRALHPSPPLLGLFFDSFVRGNDPCVPTFSPVCPRDRDLAAAIRGGDFFLTSLHERPDRYDLADCRGDHVLLWGFVDVSEEEKGINEVFVVLNPLTRRQDERLSCIFHEDGFFTHDAYAHSNSPDVYPARLVFSEVDPSSFHIVLLAHGEDEDDHPRVCVSVFSSKTGEWSVLPWVNVPEGSKDDDCSCVTIVYEGRMQANGFLYWLPDDRRRLITLDTATMEISFAELPVCLSEECTYDAGETKDDNTCIVYSDGSNVGVLMLRRDEDGVERWVLDRVVPMAAELKRVMKYDVDENVHRKVFVLAVREGYAYVSTTPMFNNPRTPCWFLSLCLETMRLEKLFQRTYDSEALPYIMPWPFHERPQPPRRRKVATQTAAGTNISSLPDDIVLQIFLWLPSLAALARAACACRAWRRAVASSPDFRCRFRAFHPGPLLGLFFDTAARCQHPCVPAFVPIRSRDSDLAAAVRGGDFFLTSLHERSGDDVILDCRGGYILLMDEDDEGVEKFVVLNLLMRRCRHVFGMSLDDAFGHLHPYLFKARLVRAEEDATSFRVVLLANEDDCICASVFSSETGKWSVSPWVNIPQSSDGDDFRSNLVWLEDESGMQANGFLYWVYFYGQRHLVSLNTATMKFTVAEMPQCLGRHCTYDAGETKDGETCIVFSDGFNIGILMQTRGDDGVEKWVLNRVMNLVQASLVPDRTELFVFSVRDGYVYLSTSVRSKVPRAPCWFMSLCLKR >Et_10A_000565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12808596:12811916:-1 gene:Et_10A_000565 transcript:Et_10A_000565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWTPMDANTSTAYNILERLLIDGSEAPRQLPLSLLEAITNNFSDDMRIGSGGFAVVYKGMVGSQSVAVKKFSQAMDMNEKQFIKEVQCLMKVRHKNIVRFLGYCADTQGLVTTYRGESVQADVRNRALCFEYVPQGSLDKHITGACCGLEWRVRYQMIKGISEGLHYLHENRILHLDLKPANILVDDNMVPKIADFGLSRLFEENQSKVITTTLVGSLGYFAPEYYSGIITFKLDIYSLGVVIMEILTGKKLVCAAEEVLMSWRNRLEISQEDLQQVKACAEIGIKCMETNPANRPTTQDMIKTFNELGGTSSEVQVSSNINPKRFYTFSFQSFPDFTKHYHIRTSPF >Et_10B_002610.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18124281:18124457:1 gene:Et_10B_002610 transcript:Et_10B_002610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKNAYSCGIPRLLGRCGGSHLGSSSDGRPCRSSVAATSYSCYNSKTLCCLTRSAGT >Et_7A_050598.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:4326336:4326437:-1 gene:Et_7A_050598 transcript:Et_7A_050598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKIFIRNPADILHKIASCTAEVEHTATIQG >Et_9A_060891.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:12730128:12731495:-1 gene:Et_9A_060891 transcript:Et_9A_060891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGPDAPGGGGGGGPSAPADAGPSSSSSKEEEKPKQHQPPAAAAGGGAGKEEEAPPAPAPAQAPQPLAQHAPAPAAPVAGLSRYESQKRRDWNTFLQYLRNHKPPLTLARCSGAHVIEFLRYLDQFGKTKVHADGCAYFGQPNPPAPCACPLRQAWGSLDALIGRLRAAYEESGGRPEANPFAARAVRIYLREVREAQAKARGIPYEKKKRKRGGAAAAAPLVAPPPVVTAAAGASSAGGGGEEEEDDDEDEEPASPSTDEQPRRTAPATTSATTPPASAASASASTTSAAAATTTTTTTATKKKPEGSAPSSSQV >Et_3B_029091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22019049:22022026:1 gene:Et_3B_029091 transcript:Et_3B_029091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSTIAARFAFFPPTPPSYTVVISRPPGRRRRRDGAGADSSSGADEDEDGTEVVRLRTRRGNEIVGVYVRHARASATMLYSHGNAADLGQMYGLFAELSRRLRVNIFGYDYSGYGRSTGKPTECNTYADIEAAYNCLKEKYGIADEDIILYGQSVGSGPTIDLASRLPNLRAVVLHSPILSGLRVLYPVKRTFWFDIYKNIDKIGLVNCPVLVIHGTSDDVVDCSHGKQLWELCKVKYSPLWLSGGGHCNLELYPDYIRHLKKFVSSLSKKSSKPDPKEITAMDDTTSKSTGAACSDKPKEATCLDKPKDSAKCSQISRKSLDSRVGKSKTVDVPEKPRMSSDDIDKFRRRRCLVW >Et_3A_024652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2374233:2376132:1 gene:Et_3A_024652 transcript:Et_3A_024652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVDWESCDRRQLPRWAMEPTVWAPGFPLDSALSTEMFGSCRQTSIPNSNELVEYAATAHYDSTKTAAMQVLEVDSHNMEVIIHDPIQVFEEAAREVEIDADLMRIKIHKYPASMRDLDKRYREPNIVAIGPHHHGKDHLRHAEKMKHASAYHCITESGHSVQEIYDHVVSVADDARGLYDTDVVAGIHDDDFLPMMFYDACFLVQYILYTACFYEKDYSKMDSSLLCYFDSNDNDIYHDIMLLDNQLPWLVVEAVMRFRPVNLEHFIACLRNCLQEVSVKDDDFELDDSFEPPHLLGLLRFYIVGRSKKKKKGPFFADLCISPLLLDARPSWLINMAALEICMYPDFFEEAYEDSAVCSYLNLLTMLVHREADVHDLRREGLLRGGGGFTDKDALDFFSCLRGLRWGSCYMLTICEIQEYMDKRRMRTKVHAFVYKNIKIITTFFSAIIALLGILGTLKSLK >Et_5B_045105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9652577:9655684:1 gene:Et_5B_045105 transcript:Et_5B_045105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVFDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGEAKAKDLGVMFIETSAKAGFNIKALFRKIAAALPGMETLSSAKQEDMVDVNLRSGNANSSQSQAQSGGCSC >Et_1B_014326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5994347:5997627:1 gene:Et_1B_014326 transcript:Et_1B_014326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPTPPSPSPHQIAALVLNHPSSTLTAASERSLSASLLAAAPALPTPVANAVLKLLWHHAPRALLFFHALLRLPPRAHALCPSTVDLALDLAARLRHPRQLTSNVLALFPRHGLAFTPRTFPTLFERYAVSHRRPDHAVRLFLSLHRTHGVSQDLSLFNSLLDALAKSRHAGKAASLVRALERRFLPDAVTYNTLADGWCRVKDTSRALDVLKQMVESGIAPTMATYNIILKGFFRARQLQQWDFFLQMKKRGRKDENCKPDVVSYTTVVHGLGIAGQLDKARKVFEQMSEEGCVPSTATYNALIQVICKKGNVGDAMVVFDDMIGRGYVPNVVTYTVLIRGLCHIGKIDRAMKLLERMKSEGCEPVVQTYNVLIRYSFEEGEIEKALDLFERMSKGEECLPNQDTYNIIISAMFVRKRAEDMAVAARMVMEMVGRALLDDGYVANGVASILDEQSQTSWRKHEDWTCLPQT >Et_3A_025681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31880302:31884377:-1 gene:Et_3A_025681 transcript:Et_3A_025681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLAPLQDLRDRFSDRLRPWSRSAEFWVRAADIYSSYKVCQLRAGFVKDENEREALWEQQHEIGAQKMYSLCSELGGLFLKAAQILGKPDLAPMAWVKRLVTLCDQAPATPFDVVRDIVEKQFGQNFDDIFECFDVEPVGSASIAQVHRARLKSSNTDVAVKVQHPGAERLMMVDIRNMQAFALFLQKHDINFDLYSPTKEMEKQICYEFDFVREAKAMERIREFLRVTNKKPPVMVPRVIPGMVSREVLIMEFIEGTPIMNLGHEMAKRGIDPGGKVALLDYGQVKEMPEDLRIGYANLVVAMADDDFLRAKESFRELGIKTWAIADNELEELFQLSLRMFDTRLPPGVTVMSPFADDSTLTKVGVQSFPEELFSVLRTIQLLRGLTVGMGLSFSCARQWRPIAEEALSKAGRLRAAESRKKKRSFIRRIFW >Et_3A_025148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27357917:27362979:1 gene:Et_3A_025148 transcript:Et_3A_025148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGMSLVRRAAEAVRRTPRWQKRLVVFTVGVGTLTYACQDNRVLQICDGTGNKKKLVILGTGWAGASFLRNIDTSLYDVHVVSPRNYFTFTPLLPSVTCGTVEARSVVEPIRNIVRKRNGAFRFWEAECYKIDPTSKKIHCRSDVGTNIDGNGEFVVDYDYLVVSVGARPNTFNTPGVTENCHFLKEVEDAQKIRKSVLKCFERASLPNLSEEERKKNLHFVVIGGGPTGVEFAAELHDFVNEDLAKLYPDVKKYVNISVIEAGGHILTMFDKRISKFAEEKFKREGIDLKTNFKVVKVSDKDITMSNPATGEVSVPYGMAVWSTGIGTRPIIMDFMKEVGQGSRRVLATDEWLRVQGCEDVYALGDCATIGQRKVMEDVAAIFRVADKDNSGTLTVEKIKNVLGDIYERYPQVKLYLKSNQMKGFHDLLKNSEGKESKELDIEEFKKALAQVDSQVKMLPATAQVASQQGTYLAECFNRMQTCEQYPEGPIRIRGEGRHRFKPFRYRHFGQFAPLGGEQTAAQLPGDWVHVGHSTQWLWYSVYASKQFSWRTRMLVVSDWGKRFIFGRDSSSL >Et_1A_007018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30047227:30052146:-1 gene:Et_1A_007018 transcript:Et_1A_007018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSAAAAARRRSGQIRRLLSSSAPVAKGTPTTILGPCIVNKRGNDILNDPWYNKDTAFPLTERDRLGLRGLLPPRVMCFEQQYDRFINSYRSLENNTRGEPESVIALAKWRILNRLHDRNETLYYRVLIDNIRDFAPIIYTPTVGLVCENYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAKKVDMIVVTDGSRILGLGDLGVQGIGIPIGKLDVYVAAAGINPQRVLPVMLDVGTNNQKLLEDKLYLGLRQPRLEGDEYLEIIDEFMEAVRARWPKAVVQFEDFQMKWAFETLQRYRNQFCMFNDDVQGTAGVALAGLLSAVRAQGRPLEDFNKQKIVVAGAGSAGIGVLNMAKQEMLRMPGSSEEQNQFWVLDKDGLITKGRKDLDPAVARFARGHGSNEIEDLHEGASLVEVVKKVKPHVLLGLSGVGGIFNEEVLKAMKESDSPRPAIFAMSNPTTKAECTPEAVFKYVGENAIFASGSPFSNVTLENGKTGHVNQANNMYLFPGIGLGALLSGARNISDGMLQQAAECLAHYMGDDEINQGIIFPEVSSIRSITARVGAAVVRAAVAEDLAEGTSAVGAKELKSMTEEETIDYVARNMWYPVYSPLVSDK >Et_2B_019461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4525087:4525639:1 gene:Et_2B_019461 transcript:Et_2B_019461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPLHRPGRGARLRRGAALPQRLRGRRRPQLPGPPPVRPPRRRHVAQVHPAHRRHGGRRRHGIGDSSPACSGSPSDAACSAVSSLESTVTGESEAACYGEQISDSEVDYSLLADIDAFFQSPKCMEYAMMDPCSAFFAPAPMAMDDGEGCWEEEGDIALWSFSTLDC >Et_4A_035504.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2741260:2741508:-1 gene:Et_4A_035504 transcript:Et_4A_035504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLIDGKAQRLLFAEASKDVVDFLFSLLLLPVGTAVKLLGKEDIYIWPAASATSTVASRSSTAPTSSPARPKTPSVAPIIT >Et_3A_025785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32927836:32934833:1 gene:Et_3A_025785 transcript:Et_3A_025785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCASLKIQTGPGKHPAGMHCGSVVWCSFEVQWMEGTDSVAVMEVAPVPDPPFKDATPLKPPPPPPPVLEGLTLRRSARCLNKPRPPSYAEQEPPKAAGGRGRGGGKRKRDEEKQGPLAAALDAKGPGRNPATAESGERNPMPVIAAQPISCAGVAAVAAEEDAMEGGKSARLRVKETLRAFNSHYLHFVQEEQKRAQAALEEIKAKGGLKRQTKGGQNKKGTKQETEGEEKEKRPSMRPDLKAITKMQETNTVLYPEKRIGHLPGIEVGHQFYSRAELVVLGIHSHWLNGIDYMGMKYTGKKEYENLTFPLATCIVMSGIYEDDLDKADEIIYTGQGGNDLLGNHRQIGSQKLTRGNLALKVVDDWVQTGVQGHVVFKFKLKRLEGQPSLTTSEVRFTRAEAPTTISELPGLVCDDISGGQENIPIPATNLVDDPPVPPSGFTYSKSLKISKGIKIPPNITGCDCEGDCASNKNCSCAKRNGSDLPYVSFKDVGRLVEPKAIVFECGANCGCDHDCVNRTSQQGLQYRLEVFKTASKGWGVRTWDTILPGAPICEYVGVLRRTEDLDGLQNNYVFDIDCLQTMKGLDGREKRAGSEMHLPNLHPENESEAPPAPEYCIDASSIGNFARFINHSCQPNLFVQCVLSSHNDVRLAKVTLFAADTILPLQELSYDYGYRLDSCIGPDGNIVKLPCFCGAPDCRKRLY >Et_6B_049505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5832457:5836581:-1 gene:Et_6B_049505 transcript:Et_6B_049505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPYKTDIQLVLLGGSNANKQHTYSHILGSCSSGSRGIHGGRRRESVATDRAGQLRHQLRERQHAVPLLLWLASLLLAGAEPHLRHGGRGPPRLVLGDAALRVAEISLRDTAVRVIRRGSVMDGADLTTDRNVSFGSGFTDHGYWLSSGNELVLSGCNLQATLREDLGPAGPASSTAAPPSAPSVGIGQAAGKYCSGMACCQAPINYLSSPTSVHLRWLDPAGNHTEELTLIPTYVFVAAQGWFDRRPLADELLSATRRPSRAAFEVPIVLQWAVREGMPPPPQDLRVDGTKGCSGEVHRMLCKSENSVCAGGNLGYTCQCQDGFDGNPYLVGGCQDVNECEQPQDHGCFGECINTIGGYICQCPRGTHGNYTIRGGCVKSSATGVSIIIGIVSAVGFLLLVIAGAFAARRFKRRREKILKQKFFKQNRGQLLQQLVSQRADIAEKMIISIEELAKATNNFDKARELGGGGHGTVYKGILSDLHVVAIKKSKITVKREIDEFINEVAMLSQINHRNVVKLLGCCLETEVPLLVYEFISDGTLYEHLHVTGPISLPWLTRLRVATEIAAALAYLHSSVVIPIIHRDIKSTNILLDDTMTSKVSDFGASRYCPMDKTGLTTMVQGTVGCLDPMYFYTGRLTEKSDVYSFGVIFVELLTRKKPCTYFFDDGSGLVAYFVDLLAEQKHVEILDPQVIEEGGEQVKEASLLAASCLKLNGEERPTMREVEHTLGGILSKNCIKNRIGAELFVIEKRKHVGVAENFDGTIRDLSRHLGIHAALCH >Et_9A_062191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20583596:20586096:-1 gene:Et_9A_062191 transcript:Et_9A_062191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGPALESLVDQVISVITNDGRNIVGTLRGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGEVDEDLDARLDLSKLRAHPLKPVIH >Et_6A_047255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:378519:379153:1 gene:Et_6A_047255 transcript:Et_6A_047255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKEKKAAALEEKLQLLRSATKSDAGNKTSILVDASKYIRELKDKVDEAAAAASQADSSSGANTSMQVNVSSVDLDSSGRGFRINVSMERSRPGLLVSVLEAFEELDLDVLDADVSCADDSAFRLQALGSGQGETVDEQMVRQAVLQAISKCINDE >Et_2B_022273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16639220:16641838:1 gene:Et_2B_022273 transcript:Et_2B_022273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPRQVVAGFLTLSMFVMLGNMIKHDHFSSSTEMGLEATGVEFNSVKVVDNAEMTDANRVGVDHLMEADEELKQCWANPRPKVQPSKGFITFSLTIGPEYHISQITAAVVIARYLGAALVLPEVRGLELGNKRKFEEMYDVDKFMRSLDGVVKVIHELPDEVSARKPAVIRVPDQVTEDFVSETIQPIFQKNNYLRLAVVFSSVSFKPKETNNKDLDSTSCLAMFNSLQLKPEYSEVSKQMLDRLKESSKESEGVVLAIDMRKDMLGKKSCITNGGLRRKGCYYPHEVLGFLRKVGFSANTTIYLTETRWHKDLNALKEAFPHTYTKASSLPHHLINDDITPAENKGEFLKSSNADLARALDLEICSQSDVFVPAIAGLFYGHVTGKRIPLGRTQILVPAPGSSASARDFISTYVSKKSHLAYKCYC >Et_2B_021999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7965167:7966194:1 gene:Et_2B_021999 transcript:Et_2B_021999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNAGGSSLAASVALDTVPSRRPSATGQNGPLASTTASRAMRVRTSAQDTVLGQAASILAFTLSTEVKPRRLWFGCASFSANMPSVALSSTDASQPCIVLRCVPTCLGPSKARRGRDDLLHLLTDNRFDIGACLAVVANL >Et_10B_003529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2618852:2630773:1 gene:Et_10B_003529 transcript:Et_10B_003529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKCQELQDNCEEFIVKESNFMDAVLSEHFVLTALQHPTVIPRLRKKARFDDFALEKADEAKDSKPADFTETNKFILGGRRWRIRCYPNGDGSLNAGYVSVILRLMDVAQEVRVRFIVESSSIIWGDEISCRWEFTFGTKAYSNGHEGFGQFIPHDKLRNRMDPQRKVKIACTVVVLSDDGIDVPASDICSDLDGLLLHGTDFDITFSIKDGEKLIHAHRCMMAPRSKFFASLLNGQMVEAGNNNQVVLVTVGSAETFSTLVRFAYSDSLPRDEDFSDPIGALGELLHLADFYGMDRMKSCCAARLWDATTKKTASDSDYDGQSDEGLEVQLEFMDVSHKVRVLFTAELADDDDDDRELVRPRRQFELTFGKEEGVLKRQGFVDFLTRDDVEKLFGQRNCIKIECTVVVLSDDRIDVAALDLGTQVEALRLQGTGCDVAFGFNEEEQRVHAHRCVMAARSKVFAGMLYGFMPPPGDVVLMRRGGAAQFDALVNFAYKDSLPIDLCQDDLLRLSDFYGMDRLKSCCAAKLWDATNGETVSRSLRVAVEAKCDALIEKCIEFIAKGKVNINDVVSSDDYISANQMNPGIAERLWEKADLDVTPPLALFVMATVHLLDEALYNFGGL >Et_3A_025459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3027688:3029367:1 gene:Et_3A_025459 transcript:Et_3A_025459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKIILAAICIASFYLSASLAFPGHHEGAYPVGNSGLSPDYYKHTCPQADEIVVSILKKAIAKEQRIAASLLRLLFHDCFVQGCDASVLLDDTEEVVSEKNAIPNKNSIRGFEIIDEIKAALEEACPHTVSCADTIALAARASTVLVDLVALSGSHTIGMARCVSFKQRLYNQHRDNKPDITLEKGFYYTLASTCPRTGGDNNLRPLEFVSPSKFDNSYYRLILEGKGLLNSDQVLWTGRDPEIADLVRSYAENESLFFEHYVNSIIKMGNTSPLVGSNGEIRKNCRRVNQEI >Et_3A_024227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18687016:18688812:1 gene:Et_3A_024227 transcript:Et_3A_024227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAKKKRPTFVALHPRSRAPPPRGDLPHRREAPSPGGGLPRQLLDTTSVDAWDDHCTASGSSGGYVDVEFSPTRSPIFDWTTDNAAEDPRPGNSQPFMNLLTQDKDADLQLLMQEDVTPSKRQPKRGSNYSLQEDIQVCKSWINISNDPIIGTDQPGKTY >Et_7B_055902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7172131:7174735:1 gene:Et_7B_055902 transcript:Et_7B_055902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAALLPVALLLCLAMASSTDAGRKTVGVYELKNKKGDFSIKVTNWGATLMSVIVPDYKGNRADVVLGYDTVAGYVNDSAYFGALVGRVANRIAKGRFVLDGITYHLSSNDGNNTLHGGHRGFSKVIWTVKEYVPSGDAPYITFYYHSFDREEGFPGALDVYVTYQLSGPYDLRIRMNATALDNKATPVNLASHAYWNLAGHGSGDVLGHQVRVLASRYTPVDESMIPTGEVAGVAGTPYDLRRLTPLGSRITLVSGGGAAGFDVNYAVDGDGSFRQVAYVREPASGRAFELWADQPGVQLYTGNWLRNEKGKAGAVYQQHGALCLETQGFPDAVNHPNFPSVVVRPGGVYRHHMLFKFSSPVQRSLPPLDLDTALRSLKSSLAAALATYGPLAGKLVHLAGTGDVAISCSASDGVKFVVAESDVDVRTFERLVPEVDTSVLPASVLAVQGLVSAVLWSTGLRTGREHLRSMLSVSCAWAFLATARLVVASGVPVSLSPPPPVLVDARRN >Et_7A_050375.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:10511279:10511512:1 gene:Et_7A_050375 transcript:Et_7A_050375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NPAHWTLRPLLYEFRRNNEGISFTVCKINRQNTTAHNLAREASRMDTSLNCQFLCNHLAPRHQCPVREAFELVSWVI >Et_1B_012551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33077953:33079521:1 gene:Et_1B_012551 transcript:Et_1B_012551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTALSTAANPTQLCRSRASLGKPVKGLGFGRERALRTITCQAGSIAADRVPDMEKRKLMNLLLLGAISLPVGGMLVPYGAFFVPGGGGNAGSGTYAKDKLGNDIKVEDWLKTHGPNDRTLAQGLKGDPTYLVVENDKTLATYGINAVCTHLGCVVPWNAAENKFLCPCHGSQYNNQGKVVRGPAPLSLALVHADVDDGKVLFVPWVETDFRTGEDPWWKA >Et_5A_040468.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24494981:24495202:-1 gene:Et_5A_040468 transcript:Et_5A_040468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIVPCRDAEEAEVAACLDGVRLAARWPDVSMVLDSDAAQVVMKLKTDGCDRYLIAGLVNDIREECRYLLGG >Et_2B_019415.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28525165:28525311:-1 gene:Et_2B_019415 transcript:Et_2B_019415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSTCREEAKLWRCRMSRDDDEVASVWCRLFSPCSTPPMFFRWLKLL >Et_5A_042604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21950837:21953960:1 gene:Et_5A_042604 transcript:Et_5A_042604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQRPACWRDWTGLPAGPAGLIAERLLANDVADYVRFRAASSAWRASSDDPRAKSVLDRRYHPRRWIIPRHSFGAHGRRRRLLNVATGECINLTQPDSRRYCLLGPTAEGLLVLCRRGTLAMQLLNPLTGQVTELPPADTLINHQGAQVEDLQVLSAGMADDSSMVALVIREPNMWFPYNSYSSYLAVARPAGDKSWTRLSTNDRIVSASQFAGRFYCATPEKVFVLQAAEGQQPRLVRVADYGFRAAADSSLRLVDNNGELIVTLWSRHTNACKVYQADLDAGKMVSITPRVEDDKRAVFISSDGGHAMSVHAGWSPSISGDAVYFCKRQVANPGLNFDVGDMLEGIVMRDRRTNDACSIIDHLARYVPGYWGRRNRFISKAGGADSSPNQMKDDPRDPELVNHARQRRS >Et_2B_022661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29696381:29697000:1 gene:Et_2B_022661 transcript:Et_2B_022661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFQCVLLHADAFRGNSHADTHRDFCIVVSLSCPERPLLPTILFVNIPGIDFINNPPRIVRAVEDFILLRVPEVGFDDEYNYFTRCSSCSRARCKPSATKMLASFAAGRSTTPSPRCSPSRAGMYDLHRFDSVTDRWTVDEVSLVEPQVSFPYKKRFPRNAERLLFHLTSTVIPIGGEGGTGILLCDVLSSEPKLWGPT >Et_2A_016934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29687816:29688129:1 gene:Et_2A_016934 transcript:Et_2A_016934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRARIDLYSLCLTSRVRVDFKLWNGSVLVVCAEDYEQCAAESSLCRIADGSLFVLEVRNLFFFIGGTPALYEAGHWMLVRVAMTADQ >Et_10B_002864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12722306:12725343:1 gene:Et_10B_002864 transcript:Et_10B_002864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQPPATAAASLTAASLHEHVLRLHQCGAAHLLLRRAHAAALVSGALAASLPLAGALLLSYAALPDLASARLVLRHHPRRLRSAFLWNSLSRAISAADLHGDALRVYNRMVRCGVPPDDRTFPFALHAAAAAAEVHRDKGLELHASAIRWGHHADVFTGNTLVAFYAACGRASDARSVFDEMPARDVVSWNSLVSASLANGTLDDAKKAVMGMMRSDFSVNVASLVSLVPACGLEGSGVMPGTITLSSLLPALVDLGYFHLGKEVHGYNIKRGMESDIFVANSLMDMYAKFGCLEKASAVFKKIEARNVVSWNAMIANLVQNGAETKAFRLVIEMQKNREFPNSLTIVNLLPACARMASLKTGKQIHAWSIRRSLISDIFVSNALIDVYAKCGQLSLAQSIFDRSEKDDVSYNTLILGYSQGPYCFESLSLFGQMRSAGIEYDAVSFMGCLSACANLSAFKQGKEIHGVLVRRLLNNHLFLANSLLDLYTKGGMLDTASKIFDRINRKDVASWNTMIMGYGMQGQIDVAFKLFDMMKDDGIYYDHVSYIAVLSACSHGGLVDRGKKYFSQMVDKNIVPQQMHYACMVDLLGRAGQLNESAEIIRNMPYPANSDVWGALLGACRIHGHIELARWAAEHLFELKPKHSGYYTLLINMYAEAGMWREANEIRTLMKSRKVQKNPAYSWVQSGNKLQVFLVGSKPYRRPIHLEKEQDAKREEGTRVPLDPKPRRTARLFTVDAQQSQLETLEE >Et_1B_013189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6201563:6203211:-1 gene:Et_1B_013189 transcript:Et_1B_013189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QHRACRGQFEGGAAEWPGVAGADAGRRAGDGSSRCRAGTGAGSVDMAVHVRGHDQNQGVRVRRRGVEDRRRRSSEGVKLGVALTLVSLLYYVRPMYHGVGGNAVWAIMTVVLAFAYTVGGTVSKGLNGTTGTMSAALLALGVHRVASKSSSRFEPVVASGSVFLLGAAAAFARFIPTVALRFDYGVTAFNGYVAVSGYRVHDLPVLAVRRICTYSVGIFICVAVCALVCPVWSGEQLHLLTARNLESLAAAVEGCVDDCFAERAKRPRPQAKWEAHKQSVLDSKAAEDAHANQAWWEPPHDRFGLRHPYDQYARVGAAMCRCACCLDTLGSCVAVHGTEAQAPEHGAARVLGEACTRLGAQCARVLREAAGCIAMMTVPPGLGLSMDEMNAAVDELRGDLRALTSKLVEEHTETSPTEAMPLFTVASLLIEVSARVKEVVDAVHVLATLARFQTADDEGGEKAESGAKLQPLNKVSRQNLAA >Et_5A_042626.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:22865068:22865637:1 gene:Et_5A_042626 transcript:Et_5A_042626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSSSGEACDAAVAPPKDTALVLLPTGELREYPRPATAARVLQDFDTSGEGWFLCDSDRMEFEGAVPAVAGAEPLRAGRIYFVLPAEARRRGLRPEEVAALAVKASAAIARAGAANAASGNGRRRRGAAVAPLVFSPPEEDDDQETVDACKAVPAAGKRRPAARSRRAKRRFAPDLTAIPEGELSE >Et_1A_006360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21266720:21268082:1 gene:Et_1A_006360 transcript:Et_1A_006360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMMVKGKGPVVVTGASGFIGSWLVMKLLQAGYTVRATVRDPGMLSIMRACKDAGTVRRIVFTSSAGAVNVEERQRPVYDHNNWTDADFCRRVKMTGWMYFVSKFLAEKAALEYAAEHGMDLISIIPTLVVGPFLSTSMPPSLITALALITGNQPHYSILKQIQFVHIDDLCDAEIYLFEHPDAAGRYVCSSDDATIHSLAAMLRDRYPEYHIPDKFPGIDDDLPLVHFSSKKLLDLGFRFRYTVEDMFDAAIKTCKDKGLLPLATAAGGHETKGAALGKDNPAATCA >Et_9A_061839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1751557:1752083:-1 gene:Et_9A_061839 transcript:Et_9A_061839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQMDTEMVNKNEDTALARSLSAVTYCCGACGYDLRLRSSDRNTAGIVGGYGRAVRRGVVAFDAIDDARFGHADEFRCVDVRARRLFVRRTRLLCRKCGKSLGFGYDDRGADGTSPRYDIRIRALQPLAHADDDDDAPSEEAA >Et_2B_020931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24900764:24903768:-1 gene:Et_2B_020931 transcript:Et_2B_020931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMAVLLVAVAVAAVAGGARAQLKDGFYEHSCPQAEQIVKHYVQEHIPHAPSVAATLLRTHFHDCFVRGCDASVLLNATGGNEAEKDAAPNQTLRSFAFIDRVKAVVEKECPGVVSCADILALATRDAVGVIGGPFWPVPTGRRDGTVSIKQEALDNIPAPTMNFTELLQSFQNKSLDLADLVWLSGGHTIGIAHCNSFTERLYNFTGRGGPGDADPSLDPAYATNLRRTKCPNPQDNTTIVEMDPGSFLTFDVSYYRGLLKRRGLFQSDAALITDAAAKADILSVVNAPPEVFFQVFARSMVKLGKIEVKTGSEGEIRKHCAVVNKH >Et_2A_017988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8714251:8717434:-1 gene:Et_2A_017988 transcript:Et_2A_017988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQTYAAFSLLATASAVYYAFSSREQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLVKRLFLGTLREAEVERLNEQSWREVVEILFAVTIFRQDFSVAFLAMVAALLLVKAMHWLAQKRVEYIETTPSVPMLSHVRIVSFMAFLLVVDCLFLSNSLRSLIQKREASVAIFFSFEYMILATSTVSTFVKYIFYVSDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFRIRIADYVRYRKITSNMNERFPDATADELNASDATCIICREEMTSAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPIIPPDNGRAAARQHGVQPGAQHVAGAGTPASEGAPGENVSRHQAKLEVAASAASIYGRSFAYPPANTLNRYSGPQSTPSTPQSGEASSSNQSQKDQALQFQNASDSSAPLPFKIHGAVGSETNTKDLENSLQKAQENFIRSQIEVTKNLDSLSIYLNHFTLICNVIKCFL >Et_7B_055733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:341293:343530:-1 gene:Et_7B_055733 transcript:Et_7B_055733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRKMIADLLRASARGSALRAGVQLHASLTKLGFGSDTMLGNNLIDMYAKCGKLEMAGEVFDGMPERNVVSWTALMVGFLQSGKARECLRLLGEMWASSDAAPNEYTLSASLKACCVVGDASAGVRIHGLCVRTGYDEHHVVANSLVLLYSKGGRITDARRVFDGTTFRNLVTWNAMISGYAHAGHGRDALLVFREMQRLREDQPDEFTFASLLKACSGLGAARQGAQVHAAMAVRGFSTASNAILAGALVDLYVKCRCLPVAMQVFYSLERRNAIQWTTVVVGHAQEGQVKEAMELFRRFWSSGVRADAHVLSSVVGVFADFALIEQGRQVHCYTVKNPDGLDVSVGNSMVDMYLKCGLADEAQRLFLEMPARNVVSWTTMINGLGKHGLGQEAMDMFEEMRAQGVEPDEVAYLALLSACSHAGLVEECRLYFSRIRQERRVRPKAEHYACMVDLLGRAGELREARDLVLTMPMEPTVGIWQTLLSACRVHKDVAVAREAGDVLLAIDGDNPVNYVMLSNILAEAGEWRECQRVRDLMRSKGLKKQGGCSWVEVDKEVHFFYGGGDDTHPQAGDIRLVLSDVERRMREQLGYSADAQFALHDVDDESRVESLRVHSERLAVGLWLLRNDHVGQGEVIRVYKNLRVCGDCHDFFKGLSAVLRKTLVLCFESATGVMANEPSTFFSLKEYNEPSRNCVIA >Et_1A_009593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8873977:8878945:1 gene:Et_1A_009593 transcript:Et_1A_009593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRCPPPFSHRDFVAVLGRCSTRAHLEQLHAHAFVAGRANAQPTTFHLLRFAALRLSCLPYARRLFDATPHPNVFLYSAMLSAYVSASSFPAHARDALALFLRMLRRGRPAPNQFVYPLALRAACAVGVSLVRSIHSHACKSSVYEYDVIRTSLLDGYSRHGMMVDARKLFDGLTEQNVVSWTALVSGYARAGKVGDAIVLFERMPERDVAAWNAVIAGCAQNGLFVEAVGILGRMVAEGFRPNATTVCCVLSACGHLGMLKIGKVVHGYAWRTCVGFGSTVVNGLIDMYGKCGHLKGSRWIFDEVSDRDLTSWNSLINCLALHGHSKCAIALFDAMRDEGVEPDEVTFVGLLNACTHGGFVDEGLKCFELMHHDYRIEPEIEHYGCIVDLLGRAGRFKDVLNVIKDMRVDPDEVIWGSLLNASRIHRQLELAELAIRKLLEMDPNNANYVVMLANVYSEGGFWEETSKVDSGLFPSFDQVSATWCKGGKEYRIPCFQFRTAIRNLANKGCRKDSSQASILHSNSPLDAAAICVFCTGLGLPLISSSWYAYANPPCRVWSIPAISSSGETRSSPSLLSATNSGAMVKLTNAATTRMSTTLAASSLPPPPMKSPYGLPAP >Et_9A_061270.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:4080931:4081284:1 gene:Et_9A_061270 transcript:Et_9A_061270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LTSVIVRDRASTSFWYDDWLPGGPLCIQLPALFSHSTMPHASVASAMSALELPLRPCLSTAAAAELDMFAELSHNITLAPGHDERVFPWGDSTRYSSRLAYQALGPDGDEDANATLI >Et_6B_049242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2199929:2203301:1 gene:Et_6B_049242 transcript:Et_6B_049242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFRPPAPSSGSFGGGGAPPRGHHRRAHSESFIRLPDADLLLDPEGDFSFSDLDFPSLSDDSPSLSDPTPPPPPPPGAHMRSLSLDAAFFDGLSLQGGGGAGSGAVAGHKRSGSMDEGESALSGVLPDNAKKAVPAERLAELALLDPKRAKRILANRQSAARSKERKIKYTSELERKVQTLQTEATTLSAQLTLLQRDTSGLTAENRELKLRLQSMEEQAKLRDALNDALREEVQRLKIAAGQVPNMNGNPFNSGLPQQHQQQQQQMASYFSQPQQMQYFGGQRPSHQPQNSSNGGRSLNDSMDFM >Et_3B_029904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2919837:2923779:1 gene:Et_3B_029904 transcript:Et_3B_029904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFGGTPPPICSSRHCAEWARIYLKYCLCSPKDGVALTLGLLSVISWGVAEVPQIITNYKQKSTEGLSIAFLMTWIIGDLFNLVGCFLEPATLPTQFYMALLYTITTVVLTGQTIYYSHIYHRLKAKRSMVTSKLHKHQRGDASLREKLLGAKDGGASRNNQSDATVSIPSSPIPVNAKLVEQDYDSSSPSTDYYYVSARSLSRSPVPIGGTWLGKNRQSSRTPPHRNDQRECLSGEIAPAQPAPSTKNVLSVVPWMSLLLAMCILHFLVGNIHTEVPSGTVIPVGRRRLLFTDDLGHSSLSHSGGSGIGSFLGWAMAIIYMGGRLPQIFLNGLNPLMFTFALVGNSTYVGSILVNSLEWSKLRPNLPWLVDAGGSNLDSKD >Et_5A_041113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18483405:18486291:1 gene:Et_5A_041113 transcript:Et_5A_041113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAEAVQCGTTTTAQSLLEAQLELWHHALGFIKSLALKSALDLRIADAISQHGGTATLPEIAAAANLHPSKVPCLGRLMRVLTVTGVFTAVDDDDDGGVAYGLTPASRLLFGSPNVAPLLTMMLDTASVSPFFGLGDWLRREELPEQSLFEAAHGKKPWDLAPADGGSSNFGALFNQGMLADSSFTMDVAVKECGDVFRGLSSLIDVAGGLGGAAQAIAKAFPHVTCSVLDLPHIVAKAPSGTSVKYIAGDMFESIPPANAIFLKWILHDWGDTECIKILKNCKKAIPPRDAGGKVIILDMVVGAGSANVKLKETQVLFDLLIMIINGTERDEQEWKKLIFEAGFSDYKIIPVLGVRSIIEK >Et_8A_056940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19189724:19190118:-1 gene:Et_8A_056940 transcript:Et_8A_056940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAKPIALFVLLLTLCSGKANARGDLKEHLDDAKMPPYCSGEAFISSEGKCDDSRCFYLCYKAYSLKPCVTGGATGTCQTPTCCACSVICNQAQC >Et_4A_032927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15750429:15756183:1 gene:Et_4A_032927 transcript:Et_4A_032927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREASASAAGEGKRRQQQPPRPALSLPPRSAIESLFAAGAGAGSAAAAAGAETSPGPLTLAAAFFPEVQSPAFHGSFTQLLVGAIGSPAASAAGAGGPSPPSPFALPPGLSPTALLGSPGLFSPTGSFEMSHQQALAQVTAQAVHSPYNMVNQTDYSLPFPSTTTPALTSQNVNSSANVASTSTKVIPIQPSDTGNSNIQSNEISQPQTSALTADKPADDGYNWRKYGQKAVKGGEYPRSYYKCTHSSCPVKKKVERSADGQITQIIYRGQHNHHRPPKRRSKDGGELLNEGDDFRENEDAPTRSEPGSHDHSGKVEASNDGPAGPSMSRRGEGDDHLSGSSDSEDEGDDESRAGNGDAGPANANRRHVPTPAQRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYQGCDVKKHIERSSQDPKAVITTYEGKHSHDVPASRNSSHAAANVNASSSSSVAHRGQISVSSRRRSDLQNASSASSVLLKEENEIT >Et_3A_026402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7716131:7719301:-1 gene:Et_3A_026402 transcript:Et_3A_026402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKHKPKHQAKQSIQKTEHSIPLSKPPVRNRDKQVGRCPPPMAPNLSKARADLLGDAVAPVTGLKPPSRFTLKGKDLAVDGHPFLHDVPANIRLTPASTLVPGAGGAGGGGSFLGFDASAAKSRHVVPVGQLRDTRFMSIFRFKVWWTTHWVGDRGRDVENETQMMVLDRSPDRPYVLLLPIIEGPFRACLEAGKADDYVDLCVESGSSSVTASAFRSALYLHAGDDPFELVKEAARVVRAHLGTFRLMEEKTPPPVVDKFGWCTWDAFYLKVHPEGVWEGVRGLAAGGCPPGLVLIDDGWQSICHDEDDPASGAEGMNRTSAGEQMPCRLIKFQENHKFREYKNGGMGAFVREMKAAFPTVEQVYVWHALCGYWGGLRPGAPGLPPAKVVKPELSPGLQRTMEDLAVDKIVNNGVGLVDPKRAHELYDGLHSHLKASGIDGVKVDVIHLLEMLCEEYGGRVELAKAYFSGLTASVRRHFNGNGVIASMEHCNDFMLLGTEAVALGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAAFHAASRAVSGGPVYVSDAVGSHDFDLLRRLALPDGTVLRCEGYALPTRDCLFRDPLHDGKTLLKIWNVNRFAGVVGAFNCQGGGWSPEARRNKCFAECSVPVTARASPSDVEWTKSGVDGVEGAEEFAVYLVEARELRLLRRDDGVDLTLQPFTYELLVVAPVRVVSKAGVKFAPVGLANMLNTAGAVQAFETRTDAAGGVTAEVGVKGAGEMVAYSSAKPRLCRVNGEEAEFAFKDGMVTVDVPWSGSSSKLCRVEYVY >Et_3B_031627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6337613:6340326:1 gene:Et_3B_031627 transcript:Et_3B_031627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLYFLDLAMNQFEGLIPPELGNMIGLQVLDLSLNKLSGVLPHTIYNLSLLEKFQNLFSGYVPPDLGRLQGLTDLYLYSNKLEANSRKGWEFITSLTNCSQLQHLVLDNNSFSGELPASIANLSTTLETLYLGDNMISEAIPSDIGNLVGLKALEMANSSLSGAIPESIGRLENLVELGLYRTRLSGLLPSSLGNLTQLNRLNLYYGNLEGPIPSSLGKLKNLFLLDLSTNRLNGSIPIGLLKLPQLSFYLDLSYNSLSGQLPTEVVALILMIRKKQSQRHGKQLIPTVTDEQYERISYHALANGTNGFSGANLLGQGSYGAVFKCTLHDHDTTAAVKVFNIGNSGSIKSFAAECEALRRVRHRCLIKIITCCSSIDSQGQEFKALVFEFMPNGSLNDWLHPVSKIHTLNNTLSLSQRLDIAVDIMEALDYLHNQCQPPIVHCDLKPSNILLAEDMSARVGDFGISKILPDSTSTTLLDSISFTGLRGSIGYVPPEYGERCDVSTLGDVYSLGILLLEMFTGRSPTDDMFKDSLDLHKFAEAALPSRVVEIADPAIWLQEEAKVKDAATMVRRRSEWCLVSVITLGLSCSKPNPRERMLMRDAAVEIRAIRDAYLMVASSVFGNPEESSKPAADAVS >Et_3A_023618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:174983:180969:-1 gene:Et_3A_023618 transcript:Et_3A_023618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKMISRSTDDFTRERSQDLQIFAKPFIGAMDGHIDAVSCMAKNPNYLKAIFSGSMDGDIRLWDIAARKTVCQFPGHQGAVRGITTSTEGDLLVSCGVDCTVRLWKVPMLKMPSAVYTWKHAFWGVDHQWDGNLFATVGAQVDIWDPNRSEPVNSFEWGKDTVLSVRFDPGDCNLLLTSASDRSLTLYDLRMSSPARKLIMKTRCNSVCWNPREPMNFTAANEDTNCYSFDSRRLGEAKIVHKGHVSAVMDIDYSPTGREFVTGSYDRTVRIFHYTGDHSREIYHTKRMQRVFCVKYTYDGTYLVSGSDDTNLRLWKSNASEQLGVLLPRERKRQEYLDAVKERYKHLPEVKRIVRHRHLPKPIYKAANLRRTMIEAENRKEDRRRKHSAPGSMPVPPFRKRRIIKEVE >Et_5A_040337.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:15196500:15196562:1 gene:Et_5A_040337 transcript:Et_5A_040337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDQLMRECLIESTQRRRR >Et_3B_029963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29408602:29413733:-1 gene:Et_3B_029963 transcript:Et_3B_029963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTFSKLRDAAAPLTAAAVRRCSSGSRIRADANCPRCAAHMSVQFSLQHLPVPPPVAAGGPDGVQYHNHDGAGVCPACRAAFLFRAHRIDPLRGAFLEIPGGIGGEDEDSERGAFADRIKRMLAERPPDDLTQIPQSPPMPLPHYSRRGKSRRRQQRDEGGGGGGGGNGGESSGGEGTSAAPKREWWGGASLGQELPTPREMCRRLDDFVIGQSKAKKVLSVAVYNHYKRIYNANVQKESASIDDDENIVEIDKSNVLLMGPTGSGKTLLAKTVARIAGYVGEDVESILQKLLVAAEYNVQAAQQGIVYIDEIDKITKKAESANVSRDVSGEGVQQALLKILEGTVVSIPEKGSRKNSRNESIQIDTRDILFICGGAFVDLEKTISERRQDSSIGFGAPIRTNMRSSGVTNPMLTSSLLESVESGDLVRYGLIPEFIGRLPILVSLAALNEDQLVQILTEPKNSLSRQYRKMFNMNNVRLHLTDGALRLIAKKAIAKSTGARGLRAILESILLEAMYEIPDEKTGNERVDAVVVDEEATGTVDMPGCGAKILRGDGALEQYITRTNMMNSGETNDGLAGELEETYLMSRFVSL >Et_3A_023470.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29558923:29559549:-1 gene:Et_3A_023470 transcript:Et_3A_023470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSRMEPALWCYACKRLNHQPRAQGERSNGCARCGSPASTLEPVVDVVDARAFLDSCHPDAKLRPADELLPTVTVRDAGRDCAVCLEELVPGSRALVTPCEHVYHARCIAPWVEAKGTCPLCRTHVGAGDRDGLVMCRFSDGRRIGLGRRVAGRIFSVKVLDADGNLKRPRARPGLQGMLLHARISVGALLRRDHVVEDLDDEFVF >Et_3B_029407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24773218:24779074:-1 gene:Et_3B_029407 transcript:Et_3B_029407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDTSQGDASPSHVGRVRRRRQPPEVNADGNKANGQPLLVNDQNKYKSMLIRTYSTVWMIGGFVLIVYMGHLYIWAMVVVIQIYMARELFNLLRKSSEDKQLPGFRLLNWHFFFTAMLFTYGRFLSRQLVNTVTSDHLLYKVVGGLIKYQMFICYFLYIAGFVWFILTLKKKTYKYQFKQYAWTHMILLTVFAQSSFTVANIFEGIFWFLLPASLIVINDIAAYLFGFFLGRTPLIKLSPKKTWEGFIGASVTTIISAFVLANVMGRFQWLTCPRKDLSTGWLYCDPGPMFKPEHYSLGEWVPQWFPWKEVALLPVQWHALALGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFIAPQNFSVEIILDQIIRNLTYEEQKYLYQQLGEIFHERQLIYPILGSAWWVWMKVYPRVVEEVHMIEQSIPI >Et_8B_059260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15904263:15919180:-1 gene:Et_8B_059260 transcript:Et_8B_059260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSGSGGARPWRTSLLTLRDQSVASPSPPALLALLRRVLLSPASPSLAASAAALSPHELGSDVAFLAETAAAVASCSDADEALCNVCHLVHDIICKTRMELDSSGRLAMLKFLDALVKCSIEGACVKGLSVRTAALNTASECLQILRFLSRNFGNNSLAENSHALGVLISIVSCLQAELNLYEKPSGTGISSHVSGPVNNKISNIWDMQISAFSMVEDVLCTIASNMSDDLWLSAIEVFRKVMDFVTARNLIIENSVMSRFYTSFLRCLHLVLSDPKGSLSGHVTGFVANLQAFFVYGLRSSSPPVITREEIKTDSKPRAMNRGRYRPPHLRNKDERGDDSLNSRSSESESSRYDLSSSDSDLSDSDGYAKNGDRFRSSKARLAAILCIQDICRADPKLLTSLWPLLLPENDVLQQRKHQATLMTCLIFDPITKVRVEAASTIAVMLEGQALVLTQVAEYKESYKRGSFTTLSCSLGQILMQLHTGALYLVQRETQATLLAALFKILILLISATPYTRMPKELLPTVITAMCGRLLNTQSNKTEHYALLVNVLNCLEAAFSKVPPALDVFDVLIQDCRSGPSYNQQESSVIAVLLHFIEERLHFSVLRTVVHNYPSCTNMIWDKIQVNVLDLLQLQSFEDKKCDANIGPPGPKEEPSLRARCLVAGIKVMDECLRVSSGFKGADDLKECRLVDIQQISDCTIKKSIKSAPHFETEVAGSSQNCTLDITLGTSRWIEVLENHLSLGLSHTSAMVRTASLTCFAGMTSEVFFSLPENKRDSVTSSSVHAALSDAAPTVRSAACRAIGIVVCFPQILSRHSLTDQFIDAIEFNTHNSSTPGRITASWALANLCSCIRFRALEGHADPTAGILNKSTISKLVEISLRLAKDGEKVKSNAVRALGYLSRFIRFNHQANTMNNSSDSVFYGDPAWLERMVQALMSCVTTGNVKVQWNVCHALSNLFMNDTLRLQDMPWASSVYSILLLLIRDSDNYKIRMHAAVALAVPVSKNDYGSSFPDVVRGLVHALESLSSNNASLPSNFKQKDNLEKQLTFTALHLLGFVSPNDDPSLKDFLIKKASFLEDWLKSLCSTFNNVEHQPLPTVTINDEDGFSPNITQKVMLSSAVRSLLDVFTSKHQQTIAKGFEQLAISIA >Et_3B_029357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24384476:24388389:1 gene:Et_3B_029357 transcript:Et_3B_029357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDIMRGRGRRLSPKDATSGCDIDLSPAAARRARWWRRGVAAGWSRGREREDSERFASSPGGIGCGPVHAWTCLLQVDIERGNNGQKRLVTGYPFLQQMSAVEPLVLARVIRDVLDSFAPTVSLRITYNNRLLLAGAELKPSAVVNKPRIDVGGTDLRVFYTLVLVDPDAPSPSNPSLREYLHWMVIDIPGTTGASFGQELVFYERPEPRSGIHRMVFVLFRQLGRGTVFAPDMRHNFNCRDFARQYHLDIVAATYFNCQREAGSGGRRFRPENPC >Et_6A_046588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17900699:17906736:-1 gene:Et_6A_046588 transcript:Et_6A_046588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRPVTVLDETWRAKRANGAAAILAIGTANPVNCVRQDEFPDWYFRVTKSDHLDKLKGKMKRICEKSGIAKRHLHHTEEMIDGHPELLDRAQPSLRTRLAIAADAVPELAAAAAAKAIAEWGRPASDITHLVHQLRAGSPGADLQLAALLGLRRTVQRTLLYFHGCAAGSVALRLAKDIAENKPGARVLAVSVDATVLAFREPDASHPEALVAMALFGDGAGAAVVGAGKAAASERPVFRMVAAAQATLPEAEHAVGLELGENGLNFHMSVEMPALVGGSIERCLEEALVPLGLGLAGAGWNGLFWAVHPGGPAILDSYQSALGLEPRKLAASRRVLREHGNMLGATIFFVLNEMRRRRRKGSEEERENCEWGVMLGLGPGITIETMLSSATLSSHQ >Et_3B_028884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20005831:20011249:1 gene:Et_3B_028884 transcript:Et_3B_028884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNAAGAGAANNNPNKSIEVNPAPADSVSSLSFSPKANHLVATSWDNEVRCWEVLAGGQCQAKASIKHDQPVLCSAWKDDGTTVFSGGCDKVVKMWPLLSGGQPTTFTGHEAPVKELAWIPQLNLLVSGSWDKTLRYWDIRQPQPVHVQQLPERCYALSLSYPLMVVGTADRNMIVYNLQSPQAEFKRIVSPLKLQTRCLAAFPDQQGFLVGSIEGRVGVHHIDDSQQSKNFTFKCHREGNDIYSVNSLNFHPVYGTFATAGSDGGFNFWDKDSKQRLKAFSKCPAPISCSTFNQDGSIFAYAVCYDWSKGAEKHNPSTARTNIFIHSVQEADVKGKPRAGKK >Et_4A_035533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28141430:28144664:1 gene:Et_4A_035533 transcript:Et_4A_035533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSGLWGGKAGGEAYRGTPVVVKMANPNWSISEISSPEDDEEDLLAAAGRRKGARTKNAKQIRWVLLLKAHRAAGCLATLASAAAALAAAARRRVTAGRTDAEAGVVAATGESPVVRSRFYAFIKAFLIVSVLLLAVELAAYFNGWNLAASALALPVIGLESLYASWLRFRAIYVAPTLQFLTDACVVLFLIQSADRLIQCLGCFYIQLKRIKPKPKAPALPDAEDPDAGYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKSNFLVQVLDDSDDPLTQTLIREEVAKWQQQGARILYRHRVLRDGYKAGNLKSAMGCSYVKDYEFVAIFDADFQPNPDFLKRTVPHFKDNDELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGIFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLNGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIKCKIAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPEWVVCYIPALMSLLNILPSPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLISLAPKELKHQKNVSAPNLDAVAKEPLALKKDSKKKHNRIYKKELALSMLLLTAAARSLLSKQGIHFYFLLFQGISFLLVGLDLIGEQIE >Et_2A_015757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17584196:17588140:-1 gene:Et_2A_015757 transcript:Et_2A_015757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAALPLLHPPLPKPAPLQLHALPPPAQLHPKPRPLPLLSCRPRGGPIAAYPSTTPTSASASPTYDAREAEAAVAELLRENGASPVDAAAIASRAPAYAAMLADGVRELDELGLWASWSSSCAGRDRGGVVEMEMGRLGFGRKVYYMGRSRRDRGVVPLLESVGVRLSSAKIIAPYIAAAGLPVLIERVKFLKEMLFSSSGYATLIGRNAKRMMTHLSIPADDALQSTLSLFEKMEARYGGVSMLGHGDVSFPYLIESFPMLLLCTEDIHLKPLTDFLESIGIPKPRIASVLLLFPPIILSDVESDIKPRIHEWEKAGIEREYIATMLLKYPWILSTTVIDNYRQMHLFFNRKKISGTVLDVAVKSWPHILGCSTRRMNSILELFDDLGISKKMVVPVITSSPQLLLRKPNEFLQTVLFFIEMGFDKKTVGKILCRSPEIFASNLDSTLKKKIKFLIDFGVSKQHLPRIIRKYPELLLLDINDTLLPRMNYLLEAGLSQKDVCSMMFRFSPLLGYSIELVMKPKLEFLLRTMKKPLKAIVEYPRYFSYSLEGRIKPRFFVLQSRGIECSLTEMFSKNDEHFAAEYLGIGGLLGSQYAQTWFQFTFI >Et_1B_010076.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:22345156:22345257:-1 gene:Et_1B_010076 transcript:Et_1B_010076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGHLGELALASASLATSLAAVTDFSLMVSKC >Et_7A_051293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16703861:16704983:-1 gene:Et_7A_051293 transcript:Et_7A_051293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQLLRRRRWSPAKIQAPSHTTIHDLKDDLILEILIRLPSLSSLVRAALSCRAFLAAVRSTRPLCSASSSNPSAQTCPPSRASAAAPTRISRLPSAAPTSSSPASPTTMRPQPDCSSGCLLLFNGTTEQLAIYNPLTRALDLISLPPDEICDGHSGKFCYEGYFLLCSDEAPGSFRVVCICHDKSRLRAAVFSSGTREWQILPWSTPAPGQPSGKKYWLLTGRQATGKLYFAHVKQAYMVVLDTISLHFSFIDLPEHLKGQYRLYAIGETKSRKLCMIHISPIWFRGPDSDGVEKWVADNAIPLEHEILRATETSLEDHGDLQVCAILEGVVFFTVLRFADHGPPSWFLSFCLETRKLEQLF >Et_7A_050953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12833278:12834264:-1 gene:Et_7A_050953 transcript:Et_7A_050953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALEPLVVGKVIGEVIDNFNPTVKMTATYGSNKQVFNGHEFFPSAVVSKPRVEVQGGDMRSFFTLVMTDPDVPGPSDPYLREHVHWIVTDIPGTTDASFGKELVSYECPKPYIGIHRFVFVLFKQKSRQAMRPPSSRDYFCTRRFAADNDLGLPVAAVYFNAQRETAARRR >Et_2A_017995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8818264:8819878:-1 gene:Et_2A_017995 transcript:Et_2A_017995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHSKRTSLPAPKEIPSPVPQAVPSPGTHPIPPVAIPSMSGPGAWCPPQSMAPSSTPFWFPRLQHPGMAGSSAQGPWWAPAGIGSSSNIEDSDLQVWGVDSCPPGGLLNFLNKNTLNHGPAQAVSNGSSSQPINVGDDTNGSDCPRTEKRMVWTKDEDVSLEANALWASGESDVDLMDKTLKLYEEEHKKNGPFLFKHCWDDAYLKRLVELDPDKRKFNLEDDDGQQFSIDEDKEERPIGDKKAKELQK >Et_4A_033872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27504877:27505277:-1 gene:Et_4A_033872 transcript:Et_4A_033872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHTTTAMALLLLFLLASSSLQVSMAGSEFCDSKCAFRCSKAGRHDDCLKYCGICCAECHCVPSGTSGNKDECPCYRDKTTGEGKRKRSKCP >Et_9B_064061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10855249:10867312:-1 gene:Et_9B_064061 transcript:Et_9B_064061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPRRRLRRFLRRLRAMRPSAAAPEAEASASSDDRRPWEPPFDASAPAPPISYPITDLATLASRSYLSATANFHLPFNRASVPGSGEPLPPRRRVLVCHDMQGGYRDDAAPQGGGNPEAYALWHWHLVDVFVYFSHYLVTLPPPCWTNAAHLHGVKVLGTFIAEWDEGAAICKEMLATKDTAQMYAERLSELAANLGFDGWLINMEVNLDVQFIENLKEFVNHLTKEMHAAVPGSLVIWYDAITVNGELDWQNKLNKYNKPFFDLCDGLFANYTWKKNDPQDSADVAGNRKHDVYMGIDVFGRNTYGGGQWNTNVALDLIKKVDVSTAIFAPGWVYETKQPPDFQSAQNSWWGLVENSWGVLRSYLEKLPFYSDFNQGHGYQVSVEGLQVSTAPWNNISCQSKPYSGGNCLTVKGSLRQNIIFSEQLFNGALSMEVGSIRLLFSARADAISAVGLSLDLSSRNKESLSILIAEDIASFTRKKLNHTYESDENRISAANLDRSSLYHASLGSINIQNTGEDIQFPPAESWVTEGEYISWSNSSYISNLVSLKISWKLKTPGQTNFNKYNIYVEMLRADSSVKSPRNYLGAASVDAFFVSDLEVPSEVTDLKFIIQACGPDGSCQELEECPRFFLVPVDTELTCAKSEEKVKADDEA >Et_2B_019387.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26751119:26751640:-1 gene:Et_2B_019387 transcript:Et_2B_019387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALACHSRLGGADFASCGFWLQRGSLVHEPYSAGGGDGLPWLGYGGGGGVCHYGAGAGAGVVEQELGARDERKERRLASNRESARRSRVRRRRQLDELAARVAELRDANARLAVQLNRVMAAHARTARENARLTEEQRDLRERLAAAEAEAATAAPTAKEESGDEAGTPPTD >Et_1B_010890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15054685:15062570:-1 gene:Et_1B_010890 transcript:Et_1B_010890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDVVDPEPASEAAKAGSIQRPGNASRKRMLRSRSLGPGSATKLALPKETTQRRKSMRIPQTSDRKEDLFELEDVQLAIGSCKIDTGNASDGDRPGRGSEILICARALARARATARHFLRRSSLGRPLRQARERVATYKEVPLNIN >Et_6A_046253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11238587:11238952:-1 gene:Et_6A_046253 transcript:Et_6A_046253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VMAMHKKTPAEVVAQVLPKTTFRRNVGIKTKGVKTGTVAGARMERMAKQMEEAKAARVKEAEEAQARMLKQAEELEKLKKASEDTQTLLQELAKRYKGSS >Et_3A_026355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7243330:7244858:1 gene:Et_3A_026355 transcript:Et_3A_026355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIKLLGLDTGARSKKRMQPWIKAAVHFSLCFAIGALAAIAPMVTTAAPSAGTIRASFLSSWSNAQRGVAPPLPDTGLLLIVTVTRPDGGMEQDASLARLAHTLRHVAPPLLWIVVGAANRTATARAVQTLHGTGLMFRHLTYDAGNFTDAGAGVEADHQRNVALSHIERHRLNGVVHFADASGVYDLRFFEQLRRTRYVCLFLVFVLQKPDQKVTIEGPTCNSTQITGWYTKDSNTNATQRTPTAAADTSAGNKTSSSEPPEVNISGFGFRSSMLWDSERSPIRRNSSANATQEYTQFIQQMTTTDDIKVMSFPCDCSESQIMLWHFEMPRFTPILEEQEAQQKQNLTERG >Et_6B_049844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14860171:14865400:-1 gene:Et_6B_049844 transcript:Et_6B_049844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKIKTVVVLIQENRSFDHMLGWMKSLNPEIDGVTGAELNHAVAGDASSPAVRFGDGSEYVDPDPVHSFMAIYEQVYGDAYTWGATSPATKPGVAVPPMSGFAQNAEKEKPGMSRTVMNGFRPDAVPVYRELVREFAVCDRWFASVPSSTQPNRMFVHSATSHGLVSNDKNMLRDGLPQRTIFDALHDSGRSFGIYYQFPPSVLFYRNLRQLKYVGKFHPYDIEFKRHCREGKLPNYVVIEQRYFDLKLFPGNDDHPSHDVANGQRFVKEVYEALRSGPQWHETLLVITYDEHGGFFDHVPTPIAGVPSPDGLVSAPPISFAFDRLSVRVPALFISPWIEPGTVVHRPSGPEPTSQYEHSSIPATVKKIFNLKEFLTKRDAWAGTFETVLTRTTPRTDCPEKLPEPVRLRSTEAEEHREISEFQAELVQLGAVLNGDSAKDAYENDKLVEGMTVAAAAKYCQDAFGRFKEECQRCQECGMDECHIPTVQPAPAPAPTSAASKLCEVI >Et_10A_001383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2531492:2536874:1 gene:Et_10A_001383 transcript:Et_10A_001383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLSRAAAAAALRGGVRPNHLLARSLPKETLLPLFLAAALLAVAGRVGWPARAAGDAGFGCRAASSPLTGAFGIAARCNATSSSSAVSEAAGVHTLPRTEPVVSAEWLHANLRDPDVKVLDASWYMPAEQRNPLQEYQVAHIPGALFFDVDGISDKTSSLPHMLPSEKAFSAAVSALGIYNKDGIVVYDGKGLFSAARVWWMFRVFGHDKIWVLDGGLPQWRASGYDVESSASSDAIVKASAACEAIEKAYQGQSIGPSTFEAKLQPHLLWSLDQVKENIDKKTHQLIDARSKPRFDGAVPEPRKGIRSGHVPGSKCVPFPQVLDSSQKLLPQEELCKRFEQEGIPLDQTLVTSCGTGVTACVLALALHRLGKTDVAVYDGSWTEWGAHPDTPVATAA >Et_2B_019750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13533445:13534897:1 gene:Et_2B_019750 transcript:Et_2B_019750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFLFKILVQIFAQELDDVESFTASFCRFPRVFSPIYSRTLKSLEQKYERRIGKIQHIRHNGARSSSSAERHPYSTLSALRRKPMKRVQREEDDGLPLSDETVLLVLSRLSSGDAADLVRCAATCRRWRRLVSADAAFICRRHHPSLPRRKDWDKLIRFFEPNEIDASVASRAPRFLRLGQPSLTGLVDGDGRWVFGDASTRVVASSHDDGRLVVELRRGKSGRALNLVVELRRRRGGTGDADALPCLRGRDSARAYACALLAAEDICEKRRDDGGTTSPPPPRSAAYVVVIAYNRCSFTAARCFSSETGSWGQEARVNGARIGRAAAASGGVRGLAATARVSFAAVAAAGRAAATRPTFMRSSAWSRRRPTARYASGTGATTETCSAHLEGKLAADSSDHPSGAVRTEIISLVGDAEEILPEDLNRTGNSADEEEEGWFRLNLGTEELEEVTSTGGELSGDMYAYEMDLEAFLGTL >Et_7A_052991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4082429:4087070:1 gene:Et_7A_052991 transcript:Et_7A_052991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGRHIDHRQRRGGAADADFVAIGFSAVATAMSFLLVVFVSGDAAAGTTIEAETLWQVILAFATMAAGLLFIAYGMRVRDAPPPVVVRRAVDALGAVLWHAGGPERRLLVLILLVCPFLEAWLWKQPLKSFDSSHVPSGKAKRRGTTQHKLEMKLKYLTRSKT >Et_1A_007428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34461290:34465359:1 gene:Et_1A_007428 transcript:Et_1A_007428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLRPVELVRFVREEEEEEEEEEEEEEEEEEEEEEEEEEEEDMGSVGAAVAEETTFRSKLPDIEIDNSQTLQAYCFGKMAEVGDRPCLIDGNTGKSYTYEEVASLSRRAARGLRAMGVGKGDVVMNLLRNCPEFAFAFLGAARLGAATTTANPFYTPHEIHRQAEAAGAKVIVTEACAVEKVREFAAERGVPVVVVDGKFDGCVEFDEVVAAEELEADVDVHPDDVVALPYSSGTTGLPKGVMLTHRSLVTSVAQQVDGDNPNLHFRPDDVLLCLLPLFHIYSLNSVLLAGLRAGSTIVIMRKFDLAALVDLVRKYKITIAPFVPPIVVEIAKSPKVTADDLATIRMVMSGAAPMGKELQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFKVKSGSCGTVVRNAELKIVDPDTGASLGRNQPGEICIRGEQIMKGYLNDPESTKNTIDKDGWLHTGDIGYVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVSMKDDLAGEIPVAFIVRTEGSEVTEDEIKQFVAKEVVFYKKIHKVFFTESIPKNPSGKILRKDLRARLAAGVH >Et_8B_060166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6634862:6636903:-1 gene:Et_8B_060166 transcript:Et_8B_060166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQGKKDVDSYTIRGTNKVVRVGDCVLMRPSDSDNQPYVARVERMEADGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDMQSAHTIEDKCIVHSFKNYTKLDNVGPEDFFCRFEYKPASGSFTPDRVAVYCKCEMPYNPDDLMVQCDDCKDWFHPSCMNMGIEQARKLDHFVCSDCFKDTGPKRLPNVFDISPNSEPKVC >Et_2B_020624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22041678:22044286:-1 gene:Et_2B_020624 transcript:Et_2B_020624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIEKARKATSPKSTTINTGAKSPVRNGGGSPPHKKNAPESRGRRNEVQIVKKGGQDLMLHDEGRRRSPVSQTSPKRSPRHEQPLSYFRLHTEERAIRRAGFNYQVASKINTQEIIRRFEEKLAQVMEEREIKMMRKEMVPKAQLMPASTRPLTVPKEPSFLKLKCCIGGEFHRHFCYNAKAIK >Et_7B_053909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13240850:13248144:1 gene:Et_7B_053909 transcript:Et_7B_053909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLELEKVQRVLSFMSSRGLSDTGGGGAAADRFLAQFILFLVQPFGSLSLEKKFILISELLRKATPDTLEEVKLLASMEADQDLSSGALLQPNKKCKMHAEKLTIQVSPMVGFDAMARANSTLEDFCRSYFMFHGLDANNPQALFKYLPVLSFTESYIYQLDASNEDSLHLVPDSSTSSQVLEGKKHAFDETSLSQMIGPLEDLLQRQGLMTDRLRTELKSGIQYWSYERKLCQALAKNETISTEDVMKAIHLKSFDYRVLNLLMYQLTGQQVNEMHMEFLSLSEFLEDVMNNTFNILRMFAAIYGPSEAPNMLAKCIGKAEEKYQSFSKKLDPSLSGSYWRRCEEATKEGGKVSGHAYGTWNIPPIISDEGLFRLERLNKHNAAMTIMIATD >Et_3A_027116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33431358:33433990:-1 gene:Et_3A_027116 transcript:Et_3A_027116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNPEFQIPGPGRCNLNKKFQGGLQPGYHIAGAQASGAPTIAFIGECRIHVICKISVCMDAAYIRQARVQLIGQLGVFVAFLGETEPGTLDRESMAAQGAAAAASCLGFDMVLLLGVFFAAILATNFCSLFDGGAVDLVCTLLKARWT >Et_3A_023398.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:25392000:25392293:1 gene:Et_3A_023398 transcript:Et_3A_023398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKECGYIADTRFVLHDVDQETKEDALLAHSERLAVSYGLITSAARAPIRVIKNLRSCGDCHTALKIISKLVGRLIIARDAKRFHHFENGVCSCNDYW >Et_2B_019727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13054286:13076489:-1 gene:Et_2B_019727 transcript:Et_2B_019727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IDVENPCVIMSQDKSREFLHSQNDRDKFKFFFKATVLQQVNDLLDKIREQLDIADSALEDLEKSIRPALKELDELQEKIKSMEHIEGLSREIADLRKKLAWVWVYDVDKKIEQQAEKLQKLRESIPVCQERINGNAAIIEDVREELDKKKETISSFMEKTREVKRMKAKLEHDISEAVKLKMELDNEHARGTQVLDKMNVRAKLLRTRVMILSCSIWKKLRLKEEEKNLSENCVALRNPSINDGATRTHHLESQIQDLQQRQGNKFTAFGGEKVRRLMKSIERHCNRFESPPIGPIGVHVQLSSDAWSVAVDHALGRLLDAFIVSCHKDLLTLRQCAKEANYHNVQIIIYNFAQTQLDIPPHSLPSTAHPTILSVIRSENPIVTNVLVDMGHVERQVLVRDYEVGKSVAFDPRIQNLKEEYFIKASKICSESDVEALGGVAGATLEQLSARIKKLTQRFEQESRRYTESIGDLRALHDSKEQKILSKRKMYEGFRDKLNACQIALDLRWKKFQRNAGLLKRQLTWLFNEHLGKKGISGPINVDYNNKVLSIDMTMPQDASHDTVRDTRGLSGGERSFSTLCFTLALHGMTEAQFRAMDEFDVFMDAVSRKISLDTLVDFAVEQGSQWIFITPHDISMVKPGDRIKKQQMAAPRVSAPFVPPAPVATDSAEPSPSLVYEDDRCCLLAALQSYLRHLHTTSRTGCSDLLACVGVPVHTVL >Et_8B_058972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11829202:11833010:1 gene:Et_8B_058972 transcript:Et_8B_058972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQTTPPVKTRSGSTSRSRPTPALPAPAAERHPAESSQPPPLPPPSADHAGGGGHGGANKAPAKKRGVQKLLMSAFKREGATSGSASAGGGADADFSWSSSSSASGSSGRKGRKAGGGGDDDGDRSSHDSLEMEGSKNTKALAALRNAKIVSSYEEFPWERKMTDLLQVPNAGRFLSLLLLPRAADESQTKYHSLEDTLARADAWLVSSQRSGVPVVLMNVQTEALLTKISGDTALSTVNMGSLGDLADVATMSLYGFEDYHGIDIGVVRAVRLWFAPVAGELALEIKLQPGDTRLGFAISRIEEGFIYVSSVADESTPGVASTRSGLLELYRRARRASKLLVISRVGREKVLPWAVSTAGDVRCADTVSISQLLSLHRHALRPVTLSFLVWDRELTAAALLESAAATRPQAAAAAVVLPTQTVAEEESSDEMTFDGDGPEIVLSKDSDDCSFRFQNIGLPDSWL >Et_7A_051075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14111879:14113485:-1 gene:Et_7A_051075 transcript:Et_7A_051075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYHHPYSLDSQKVRIALEEKGIDYTSYHVNPLTGKNMSVEFFRTNPSAKLPVFQNGAHVIFRAIDIIQYLDRLAVHLSGEIAPENTEVHQWMRKVDAWNPKMFTLTHTPVKYRTFVSKFIRRVLIARMAEAPDLASMYHVKLREAYEAEDKAKDSDIMKQSEEELSKLLDDVEAQLNKTKYLAGDEFTPADSMFVPILARITLLDLDEEYISCRPRILEYYAMVKQRPSYKAAIGKYFNGWKKYRTLFKTSFFLCVRTLFRKQAEDLILHALFIGNTGRTPPLSLTIYYRSSSSDASWTTSVLC >Et_1B_011680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24955537:24959454:-1 gene:Et_1B_011680 transcript:Et_1B_011680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKCPNGSQGHNYYNYNRFQDGPLASRYDDGDEPEKCYSGSSRSSTADRLRQGLRLSSISVLGRKTLDITKNYTLGRQLGKGRSGTTYLCTEISTGCQYACKSILKATFRHIEDIEDVRREIQIMHHLSGEKNIVAIKETYEDEEAVHIVMEFCAGGELFHRLQKGTYSEPKAAELIRTIVGIIAKCHSLGVIHRDLKPENLLLQDEDDDLSIKVIDFGLSVFFKPGDVFAEFVGSPYYVAPEVLEKHYGPEVDVWTAGVILYILLSGVAPFWAETKNRIFDKVREAHFDFESSQWDRISDSAKDLIRKMLCPRPSERLKAHEVLKHPWICYNGVAPGPTEPTVLSYHKKLEAVNKLKKLSMLVIAERLSEQEIAELREILKAMDTNNKGVITLGELKEGLRRCGSVLKNTEISDLMEVTGNDNSTTVNWEEFIVVTLPLDEMEHKNHLMAAFTYFDKDGSGYITVDKLQTACMERNMEATFLEEMVLDVNQNNDGQVECAEFATMTHSNSSGPGWQSAESSMKSPLREAPRVH >Et_4A_032337.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30471302:30471385:-1 gene:Et_4A_032337 transcript:Et_4A_032337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRASLGESGSVSESGGASVHCSSV >Et_4A_034026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29194227:29195941:-1 gene:Et_4A_034026 transcript:Et_4A_034026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFACSDGCTEDMAMPSSGLEFWNGSYIGVYPDKIEPVGLIGLTPSPAAPPRAPLGRLGTGGEPYGSSAPIELVARRGGTGPSGFAPLPLLTAVRVCRRRQPLFRRFYTEKRSSGRASLGRFRTGGERARSIEMAARGRNGTSDLARPGVVSAYITSFLRSESRAGKEVTIEAAVEMGKPAAAGTVVLAVNGKRYEAAGIDPSTTLL >Et_7A_050896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12079415:12087358:-1 gene:Et_7A_050896 transcript:Et_7A_050896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVILEVEADQDIGCNLDAKLSAGLVILKMLRESSSPALDADRVEVPSPKEENNSTNSEAGTDTEDFEISDDDDDDRNHKHRRRESRPQSDENTEEQHPGTPVKKRSRVSGAHSRAPRMNQSFRSDSSASAGTRPSMTRGRGRNAAPWTQHDPRFNTLDMIDFASQGPPGHPGLFMGAALPSGGNAQNGSWGPYGLIPGMPHGILDPIHPLGLQGPMQPAVSPMIDLGMPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVDDMQSLSQFNLPVSGPNVQAPGMQNEGGAASVNLSSLGSSKGVPAKDVKSSVASDALKPNGNTALIVADADVYDPDQPLWNNEHSDAPSAGFTHADTGVWNAESSGYEIEREQAFAADGLQSSKSSVWGRIASKRKSGPGGNIAKTISTGIKGNQRSNFDEVATSSAQVKSATAKDTNGLSYSRTSGDMGRQSNRSSHKASRTLYVHGIPQESNRWESLLSHFQRFGQVIDIYIPSNSEKAFVQFAKREEAEAALKAPDAVMGNRFIKLWWANRDRIPDEGDSRNAAKSSQLSTALANSSSQPSYSNRVKESFQSTTPRASSGSSAEVSGSGTGPKNPPATSIKPVPPAPKRQESLELLEELRKKQEILAQKRDEFRRQLEKLAKQKVPGNSSKHEAAGKEAASGDFLKETDARSMNSRAEGSQETAGTLEKRSSGELASCSQKSGSTSTQKPAVAMKQTSLLVSPQNRFKLDNRATSFRILPPLPPDIANESTLADHFSSFGELSSVVLEDTEGHNQDETLKPSLSCSACVTYTTRQSAEKAFLGGKSCKGHALRFMWLTAFPGSNNSSRPPKTHPQSISSDSLNPVGKTSSGTAANPHNKSVSTAENAKTSVGISKASDSTSSQSSNVECPPEHGTTGNAPAESRSMWILL >Et_7A_052924.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2659366:2660022:-1 gene:Et_7A_052924 transcript:Et_7A_052924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDAVLHVVVAGHALAVVERDGTHDPATGRALTGSWLWDSALVLASHLANSAPARLRLRGATVLELGAGATGLPGIAAVACLGAARCVLTDVAPLLPGLRANAEANGLTQADVRELRWGDRLEQEQHEVDVVLMSDVFYDPEDMPAMAATLRGLWRDGVTVGWVATEVRDGVQECVDVLREHGFDVAEVDRVVRPLLRDPDQAASFAVYLVEQERS >Et_2A_015841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18336012:18338817:1 gene:Et_2A_015841 transcript:Et_2A_015841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIVENHGKEVVPLQVLSLQVAQTRRRQYEARRKLFRSSLFCVFFIPLVALFQLMAPFFSTSMSQRTRVIWWVDAAFNFFLAALFTRCHLQKYGLHGFPPPVEEKRPALEPGADSAEAAGHRTCFCSLTKKRKKTEAGTSQLHHNCIERQCDPFIACESKLFLGHPQQLTKIIHFKKKKLTKIIVPRYDNGTSNCLLSARYTAWWPKSATGELVQHSSVAVFTLCYFLANWATS >Et_4B_036476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25998090:25998530:1 gene:Et_4B_036476 transcript:Et_4B_036476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSKLRWLWRAPARALGRAGGGSEEDLRQLVRAASERRAEMQAVARSQSMAAGLAMARIDEDATCEYFGDGGAVVARSQSCVGAEAARRARGHRKVVALD >Et_3B_031556.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:4413358:4413963:-1 gene:Et_3B_031556 transcript:Et_3B_031556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLIVQLADATSSHAGAAPLLLLLLVAACAVATVVVSLCTNSKNASRGSSSGAAAPPRHRRRPEPEEKEEQNGGGGVSVSGKKQLLSSLSGIGGKAAAVAKMVSWNRRSRSRAGDVDDWSSSDQEAAAVVGVAAVGEDDDEEEEALWKKTIIMGDKCRPLQFSGHIAHDSDGNQLPQPPVAAAEESIKKSAAGDAAADR >Et_1B_013656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1120905:1122343:-1 gene:Et_1B_013656 transcript:Et_1B_013656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPEFPMSTFQCLASGFAVVALGESVYLIGGRLCRRERDAAAAEYRDTDVGVRADVLRYDVRRGEWRRCAPLLVPRFDFACAPCRGKICVAGGQCLLTGARGTAAAEVYDEEKDQWSALPDMSTLRYKCVGVTWQGSFHVVGGFAESTLTASGGDALLAPVNTMLQSSALERSSAEVFHCARGTWEILPGMWQLDVPPNQIVAVAGRLFSSGDCLNSWKGHVEVYDGELNIWSVMDHSALPDLSLLASLPPSAQRLYLTMTVVDTKLYFLAGYQVPSGDDGFRTVSLVHSFDTSAAPGLARAWSSFQPKTDQEDFEDGSKELFSQCCSVQLSS >Et_7B_054595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2638924:2639290:-1 gene:Et_7B_054595 transcript:Et_7B_054595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTPQLKDMFFGLVERVTGYVRSQDQQAATGAQVAEASRTEEVVAVKHTEIRARGAKSMPDDVPDVPRGSLPQVNSGIL >Et_5A_042176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7071890:7082220:1 gene:Et_5A_042176 transcript:Et_5A_042176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGGFVTRALEAMLKECAANRGKFAPLQQSIQSYLGACAPILFSSRLRIGISLWLTRELCRLSDGIKGAQKEGEGAPASGVTEALASAGRVLEGPQAELVLQPLRLAFETKHLKLVEPALDCLHKLIAYDHLEGDPGLEGGKNSPLFTDILNIVCGCVDNTSPDSTVLQVLKVLLTAVASNRFRVHGEPLLGVIRVCYNIALNSKSPVNQATSKAMLTQMISIVFRRMESEPVSVSPEGSTVKDTPSSTTHESENGEISSDNQNDDKVTLGDALSMNRASEASPTSVEELQNLAGGADIKGLEAVLDKAVELEDGKKVSGGIDLDTVNIIQRDALLLFRTLCKMSMKEESDEVATKTRLLSLELLQGLLEGVSDAFTKNFHFIDSVKAYLSYALLRASVSSSPVVFQYACGIFAVLLLRFRESLKGEIGVFFPLIVLRSLDSSDSPLNQRASVLRMLEKVCKDPQMLADVFVNYDCDLEGPNLFERTVSALSRIAQGSQSADANSTASSQTVSVKGSSLQCLVNILKSLADWEQHRRDSSKQGNIVESREEDGSRSLTTDEAKNQDDGLNQFERAKAHKSTMEAAISEFNRKPAKGIEYLLSNKLIENKASSVAQFLKSTPSLDKVMIGEYLGQHEEFPLAVMHAYVDSMKFEGLKFDAAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVRMNTAGDEEESAPKELLEEIYDSIVKDEIKMKDDFHNTSKTAKSRPETEERGRLVNILNLALPRLKSASDTKAESEKIIKQTQALFKSQGQKKGVFHVAQQVELVRPMLEAVGWPLLATFSVTMEEGDSKPRVVSCMEGFRAGIHLTRVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLALADTDMDALQDTWNAVLECVSRLEYITSSPSIAATVMLGSNQISRDAVVQSLKELAGKPSEQIFVNTVKLPSDSIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLAQHFIAAGSHHEEEVAMYAIDSLRQLGMKYLERAELNNFTFQNDILKPFVILMRNSNNKKIRGLIVDCIVQLIKSKVGSIKSGWRCVFMIFTAAADDEDESIVESAFENVEQVILEHFDQVIGDCFMDCVNCLIGFANNKCTPRISLKAIALLRICEDRLAEGFIPGGAVKPVDAVSEASFDVTEHYWFPMLAGLSDLTLDSRPEVRHCALEVLFDLLNERGHKFSSPFWESIFHRVLFPIFDHVRHAGRDGLSSGEDWLRDTSIHSLQLICNLFNTFYKEVSFMLPPLLGLLLECAKKTDQTVVSIALGALVHLIEVGGHQFRDGDWETLLKSIRDASYTTQPLELLNSLGFQKSPTREAEGVASSNNSEQDGHPETSTQATQDNSEGLPSPSGRTQPAVSPRGQTFGQRFMGNMMDNLLVRSLTSKSKGRTDDVAPPSPVKAPDDDGADKAEEESPMMETVRSKCITQLLLLGAIDSIQKKYWSKLKATQQIAIMDILLSLLEFASSYNSPSNLRTRMHHIPPERPPLNLLRQELAGTTIYLEILHKSTVEHDANKSTEEANGVESGEQEKLKNLAEGKLVSFCGQILKEASDLQPSSGEAASADIHRVLDLRAPVIVMVLKGMCIMDAQIFKRHLKDFYPLITKLICCDQMDVRGALGDLFSKQLTPLMP >Et_4B_036539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28837649:28838762:1 gene:Et_4B_036539 transcript:Et_4B_036539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IRRAIEHLHAGVERVWVAPETGLVLVAGTADAAKLKWRIESKIGKPVTVVSDGERCFPTYTRMEHLGPPQGYPQPPPAYPYYTGGGWQQQHNHPMMMGWPPVASAQAYHHHAQPVDRQYVPRCPGRGTTPAHVLPDCDVAGDEHGILAVAAEGVAEVLASVVLSVGDAELGAVLPEEANDGFTEPKPVATCRSSKLKQEFGGTKSRKAIDIPIDRKPIDGEVNSMDRGGEGGVSWRELRLRNDAYISTLRFGTRRFGGVRPALCPVTAVSALARFFAGSRSSKAGEASRRSQASWDMGGGIAPRGLAVDGRRWQEGRRRAITFAQNPLLWIATNSRDPDILHIDP >Et_6A_047188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26529691:26533564:-1 gene:Et_6A_047188 transcript:Et_6A_047188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLNALAPSVIKMITDMSKQELTMLLGASVEIKKLGRKLENLEAYLADAERRRINEDGVQRWVSKLKSALYETTDILDLCQLEGKAPGCLRPMLFLLRSPGFAHGMGGRIKELNERLDGITDEMAKFGFEPVQTRPSDAISRSRMTTPQIDESSIVGEAIERDTNALVQVLLSDEPAIMVVSIVGPVGIGKTTLAKKIFKDTNINTEFRSKIWLSVTESYEEEKLLSSAITQAAGGGNVSSLPGSGRDKQLLSQDLIGALGSKFLVVLDDVWSDEPWTYVLLSPVVEAARRYPGSRVIITTQNNKLVKDMKGAAAFFQHHVRPMDDQDAWSLLKKQLPPQVADEYYNALIVRNLLLPAANAHDTRDQYTMQNVMHSFAQFMAKEESLVLQYDGVDSVAEWSSLGKQDSLRTLIIFCKISFEPHDSFTCFPNLRVLHIRCEGSNRFIDSLCQLKHLRYLHLEHTDITRLPDDIDKVKFLRYIKLANCKKLCHLPGSIVNLMHLRYLHLEDTDISRLPDNIDKMKFLQHIKLVNCKKLFHLPESIVRLVHLRTLCLDGSSVNVLPKRFYELTNLRSLPAPFPVQMNGSQCNLEELAPLSQLRGLRIEGLEKVRGSSFGEKAMIGRKGNLRYLELNCNRSRPIEQNQKAVKEVFDTLCPPASLENLVMLRYFGKQLPNWMWGPVLGGLRYIKLDVPYCTELPDSLCRLPYLVSMVIDSAPAIKHIGPEFQGSSLMVEGDATATSAGFPRLETLELYWLCRWEEWEWNWEEHSEAAISMPLLKCLVIKECSKLRCLPPGLANSNRLALRELKLHNLTNLASVDNFPSVVELDLFRCRELKSISGLPRLQMIKIVRCPNLEVMEGVPALDSMNLWDISMKTFPEYLRLCVNLRHLRLDCNKELCDSLVPGSSEWDKISHIKTRTIDYYPKFDEDQ >Et_2B_021110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26536844:26539164:-1 gene:Et_2B_021110 transcript:Et_2B_021110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLPAAVPRILLLLFALTLFALSFISLRSLRTATIPTVSDARPLSSSSPAAAPSDVYHSPEVFFAGYSEMERSFKVFIYPDGDPGTFYQTPRKLTGKYASEGYFFQNIRESRFRTDDPDRANLFFVPISPHKMRGKGTSYENMTIIVQHYVESLISKYPYWNRTLGADHFFVTCHDVGVRAFEGLPFIVKNSIRVVCSPSYNVGYIPHKDVALPQILQPFALPAGGNDIANRNILGFWAGHRNSKIRVILAQLWENDTELAVSNNRINRAIGELVYQKQFYQTKYCICPGGSQVNSARITDSIHYGCVPVILSDYYDLPFSDVLDWRKFAVVLKEGDVYQLKHILKSIVQDEFVALHKALVKVQEHFEWHSPPIPYDAFHMVMYELWLRHHVIKY >Et_5A_042406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9644704:9647889:-1 gene:Et_5A_042406 transcript:Et_5A_042406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKHNGKLILAEERQRLLCFEYLPNGSLDKYINDASAGLEWNKRYKIIKGICEGLRYLHENNIVHLDLKPANILLNDNMIPKISDFGLSRSFEEMQSRIVTSTVVGSVGYMAPEFFNGEITKKLDIYSLGVIIAEMLTGQKGYSHVGKVIECWKKRFGESTPATEMLLEQIRACAEIRMSCGSLDPEKRPDIQQIIAALNERPIDFG >Et_4A_031853.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:23937513:23937977:-1 gene:Et_4A_031853 transcript:Et_4A_031853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLAVVILVLITLCVGGTAARATDPIDVRAMQAIAKSTRADKSLGWGVKSGDPCDSTWPGVHCDKDMGRVTAIDASNGGLAGTISGTDLRDLTYLSSLDLSRNRLDTATGFPEQPRPLRHLTYLNISSNAFREMPDYFLFSFPALETFAMDDN >Et_1B_011258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19686849:19688903:-1 gene:Et_1B_011258 transcript:Et_1B_011258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFKARRSEPELVSPARPTPHETKALSDLDDQRTLRYYETVIGFFRSRPGHSNRPDDPGKAIKAALAAALVYYYPIAGRLREAAGGKLVVDCTAEGVVFVEADADVRLEEFGKPLLPPYPCVEELLCDAGETRAVIGKPLLLMQVTRLLCGGFVIGFHMSHNIADGFGMAQFVRAVAELARGEARPTILPIWNRDLLTAHNPSLKPHSNPSYKALQNSLDYKSDDVMRSTPVEHMIVHYFLFGPREIATLQSHLRGYLANSVTSFELLTAVMWRCRTIALGYKPNQLVRLMITMNARGKWNRHTRIPRGYYGNAHFSPIAEATVAELCKRPLAHTVELVRKTKLSVTKECMNSMIDTIASTRQWPPLMMDRIYEVSDTKWIATNVTHFGWAELVGGGIPLAGDLTSKLGSDHMICRNEGGEYSTVVSMLLPRPAMQIFKEEMALWLNKQDE >Et_9B_064244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13279698:13284978:1 gene:Et_9B_064244 transcript:Et_9B_064244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGAASSLLASPSPRRLPFPPTRKPLAAAPKTLTLSAPRRPACPLASSAPGPPPPPEETGKPDPIKLAFARAAAYKKERDSPAPARPPTPPPPSSPPTTSQPRASAEGSGSKEAFARALEYKNGNGGRPGTAGGDSGLLGGSPDFGQNALLGQDDTFSKIINKREYEYDETDFLGLDFFEKKRYSGAPPGLAPIFEPSSNEDIPEVEIIIGDPSRFEKSRRSTEAQSVGDSESEKPSRPTTSEPNEDDKVEQAPPSTVSEPDEDGDEEIYKPTVRSWGMFPRPQNISKAYGGGRNIRLGGETLSAEEKAAKDKRTRELIAAYRNRQNMVVDAKTKAECNEALREGDELMNTGRLKQALPYYEKVMQAVDFKTELHGRAALQWAICLDSLCRSREAMSMYSKLKNHPNSEISKKANMFVFSFQAMDFMKVSSTPVPKTTGFETYFTKFTGQKNYYASLDEPDLGLDQIIPYMLFLVSPIFLVAFVALRKSFQL >Et_10A_000444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10478333:10485464:-1 gene:Et_10A_000444 transcript:Et_10A_000444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGTAATCFHVKVKSGLQLQDTEGHMGFASSFHSSYPQPTTPRPVFSSPTAPTSPASTPPGEAAAARREFERLGGLSSFRLERHPPGEPLLPRGSFVGSGGGCGGGTLAANQSPIRKLSTRSLACAGYI >Et_9A_062659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2908131:2909113:-1 gene:Et_9A_062659 transcript:Et_9A_062659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAASICVEQMKRRQAERELDEKVAAALRERARSRTRTFRSMNSITMRLPRFKEGLRDIKDVFDHYDEDSNGTIDNEELRSCLSKLQVQMSEKEAGDVHRYCDVDRRNGIQVQEFVVLLCLMYLLYGPDVTRRVSEFESAKLNYVFDELIDAFLFFDKDGDGKMRRKDVTRRMNETSHQERTPTHITARLFKEMDLNRNGKVNLKEFLFSMVRWAGVDTEDDGSNEASP >Et_4B_038256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27626916:27627599:1 gene:Et_4B_038256 transcript:Et_4B_038256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYVPRHLEVTYTSTPVPVPADGRRGHELALMARERFPSQRVGDGRGRRLCVFSPATGGPWRVEVRFPDQLDEETRRSRWTTARCSGPTCRAASRASTCALPPSRRRSTSASPAGRTSGRIRRRTNRTVGCVEDSVKFVCIERDDDDCRRPGDEVVKVWTLNLARRRWEEDKELWEQVAGSFVNDDGGAGLELSDVEPQYPALMPDGAVSFLICNMR >Et_2B_019040.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26703794:26706259:1 gene:Et_2B_019040 transcript:Et_2B_019040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPLASPFPSFQRHPARSSGNAVAFSLFPELGGYAACSRRYRAHHFSLDGVEDAAGQSVEPPSPPSVSLAKSLASLTEESAETAQRQRRPFTRMERKRLAELRIKKRVKAQYLNGKFYDLMDRVVANAETLEDAYDIVRLNSNVDLASARDDVCFITLAEQLRSGEFDVKENAFSVTAKRPGGGARLVLPKLKLKVVQEAVRVVLEVVYRPQFSKISHGCRSGRGYHSALRFISDEIGVPDWCFTVPVHKEVDNNVTAKLISVIQEKIEDTQLVAFLQDMFDAKVINLVFGGYPKGHGLPQEGVLAPILMNIYLDSFDHEVFRMCLKHEGLGSEAINDPEDHSGSNLRRWFRSQLKIRDEISEDQTDRQTKIRLYACRYMDEIFIAVAGSRDVAENIQSEIVAYLRTSLYLDVDDRLYLMPIRRNSRGIQFAGTMVTVEMKENAKLKAVHKMKQKVSLFASQKQEIWDAMNLRIGKKWLAYGLRRIKESEIKSLGLSTPLLDHTAQFRKDGMKTDHWFKTLLKVWMQDVNAKKELNDDVLLSKYIAEPALPQELKDAFYNFQRQARDYISSETAATDALLSSLKNEESTTCSNSVLIKIHAPLSYIQKCLHRYGLINLEGFPRHVSALILQDDELIVSWFAGIINRWIRWFSEVDNFKELQLMFVECVRMSCIRTLSAKYRMYEKLTEKRFELDDHGIPMVEDFEAIVKPLESSYSLASTDEALMYGISSSGLFVLTLSRVRVPARQFNCFVMGCQSASPSMYVLHVKERQCFPGWRTGFSSSIHGSLNGKRIGLCTQHVKDLYLGHISLQSVDFGSLAR >Et_3B_030989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9748234:9756311:1 gene:Et_3B_030989 transcript:Et_3B_030989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTAARREKIDNAHAWGTNRGVTQASIEIEACANLQITPRITELNVRMDCNGCEQKIRKTLRAIEGVSEVYVDQANHKITVVGIADPQRIVKAIRKTKRVPTICSHTDPSAEAQPPPPPADEGEAPPPADPPADAPPAEAPPPEPTPENKEEAPPAEAPATDATVKHTVHDNPYGHDHHLYREHWANHPIDMRGAPYHVTHSYSRHRPSPYVAEYGYGGSPVQEGRYYSHDYYPARGKGDGSQITSMFSDENPNACSIS >Et_7A_052451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8133512:8134262:-1 gene:Et_7A_052451 transcript:Et_7A_052451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHDAEPDTTFPLVRLNHVSFQCASVEASVHFYQRVLGFQLVKRPASLDFEGAWLHKYGMGIHLLQRGSVPSSASAVAIPHPINPKGNHISFQCTDMGLMRTRLQDMALEFVATRVWDGETVVEQLFFHDPDGNMIEICECEKLPVVPLVVPDAAAACLRRAAGANERS >Et_10A_000344.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:3666876:3667052:1 gene:Et_10A_000344 transcript:Et_10A_000344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNCSSVEEAELLACREGLRLALQWVDVPCHLESDCLVICNVIKSSEENRSHYACCGR >Et_6A_047029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24398012:24406389:1 gene:Et_6A_047029 transcript:Et_6A_047029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDYVDFYGHGKTEDSSLPIKTDKDTLREGYRFILSDEHDMDSTWEKRLVKRYYDKLFKEYCIADMTQYKKGKIGLRWRTEKEVVSGKGQFICGNRHCDEKHGLGSYEVNFSYVEAGEQKQALVKLVACKRCAEKLAYKRQKEKEKEEKEKGHSTKKEIELKGRDKRKREHEGSGDDTSEDESTGKHSRKRKGRDYCYLFFFWRCGVECFFS >Et_3B_031032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10669787:10679072:-1 gene:Et_3B_031032 transcript:Et_3B_031032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEEYSEVDDTDERIDNDNDSSSTPKNSAVNSPQGGTSQTTQKKTSRSNTAPTVVTEVRRIPRISDKAKGFKHKNCSGADCFACNSAPPSIRPKTLRSLGVKVEKMDPEGLSDEALGKRKNKGTIRAPKVATKVVTTKLKKEEASTSDPKKVQDVDKTKKKPRNSSATQAFSVNLVTAQGVKLQRLKCARTTISSGPISTLLYLT >Et_3A_024999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2600957:2603487:-1 gene:Et_3A_024999 transcript:Et_3A_024999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAARKAAAGAGGGTSRAPVVVLALVAAGAFLISYNFFAIVFRGAGVGPAAGAGRDPVVAMPAWMRAAAGDEVRRRPFHVALTATDAAYSRWQCRVMYYWYKRMQARPGGEAMGGFTRVLHSGKPDGLMDEIPTFVVDPLPDGKDHGYVVLNRPWAFVQWLQKAKIEEEYILMAEPDHVFVKPLPNLAHDNDPAAFPFFYITPSEHENIIRKYYPKERGPVTNVDPIGNSPVIIKKTLLEKIAPTWMNVSIQMKEDKETDKTFGWVLEMYAYAVASALHGVQHILRKDFMIQPPFDTRLGNTFIIHFTYGNDYSLKGELTYGKIGEWRFDKRSFQDRPPPRNLTLPPPGVPESVVTLVKMVNEASANLPRWDEGL >Et_9B_064748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18279526:18282165:1 gene:Et_9B_064748 transcript:Et_9B_064748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLWADSFGELYFISHPTSATQNIKDNGIRSLNSNNGTLTQIHISCLSWNSESVWDQIGIENAKHANTESTCDPKKKYGFHLAHGNPAQLIALFGRLLVFFPSYPRQNSTQPTQPPVTFPFPTADWPYRVDSPPARSSSERNRVQQACASACTSRTHIWMDDVYGRIEVFHQHFVPSKESMESPAGLSTSGLDTTPSSHRRSWTPKQVKGAASLLHLLSIPRIRWSTSSEDDDKIELTRAEVESLRTEIADAEERESQLKARLENIDEVLRYARLSGYLYIRSRWTQLPGEPPILDDADVDDWLPRFVVLQGQCIYYYLKSTDLSPQESTLLCDVVEVGQLPNFVPEDEKTRYGFYLLTRQGLKFECSSTSEIQIDSWVRALRSDRKVADGAADDKTTMKTRSREDDASW >Et_3A_025295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28595957:28601529:-1 gene:Et_3A_025295 transcript:Et_3A_025295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANLAGSKRAMAYALCKHLNIDPNTVSNASIEESDIESLFSHVVKSPQDEVLKWVKFSSDFAGNDGKQHTLLANINEDLSQKSVLLGGGFKPSVADIVVFATAQAFMSHLSDNELQKYPHVVRWMDYIQNVVDFGTTLQKINVAKSVFNPPHPKKADKGDAAKKADKGDAAKKADKADAATTSKKAVSGQKVADKSNGSADSKKAAGESKAPEAKENTNAAKSNKSSSEKKKAQATDKAPEKTAEKDSECSISILNIQVGLIRKAWKHPSADSLLVEEIDLGDGNVRQVVSGLAKYCSPDELTNRHVVLITNVKPGKLRDVMSAGLVLCASSEDHTAVEPLIPPEGAKIGERISFAGFDGKPEDVLNPKKKQLDKITPHLRTDENGIATFKGVPFTTSAGPCRSSIPNANVKHDCGNITSGL >Et_6B_049346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3484688:3487394:1 gene:Et_6B_049346 transcript:Et_6B_049346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQATQPSSRSSTAAYPPWVMLEPYADMETTGSYTSADTNTLAAARTSTGHPVGVSLRLKSPPAESRVCLHFPHDTEPGQHTNQVIAAHGDSVLIQVDRKGDYDVLPDYFVYNAGITGDGSPQPPSLSLLPPSRRYLSKDSTGILRRGEDELVVARLKKVQLKDETPERNVAEILRFRSGAWYTARPGVRGLGNAIRQEEFLSSWFSERVIPVGDDMLCWIHMSEGLIFSNVHDETVGLRRNVCVTAGDTVKFVNIFARCCSGGAGGCNCKHSQHAYLIKTWTLRMERMTWMLDGMMDATELWALDAYQGLPRVQLGFPVVSADEPHIICFMVSDEKAWWIKVDMRSKMLLSVYSYPKEDSESKHIYHGKLLLPSKVSYYLNSYPGGSCQIGIDPKPLPIFDERLTYDASNSERLQSGSSTSAEPGAHASEILAALQEISSCGLDGDDMRRAIIQATQPASTSSTAATGKWVMLEPHADIETTGSYSTADPNTLAVARTSTGHPIGVSLRLKSPPAESRVCLHFPRDSSPGRHSNEVIAAHGDSVLIKVDREGDFLAMPDYFVTMQAPPALAPQHSRRPCLYSHHAVT >Et_5B_043672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13895958:13900277:-1 gene:Et_5B_043672 transcript:Et_5B_043672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGNGSNPYSSHVAERDDKSRNTKLHSDFAAAEMQLNPADGDLSLIRLKLEHSWSSVQAFVVEHMTLMDLDMDWSREVVGLNGFRYIGCNDLRDIALNSLHMFFKTAVEMLSCEGYTEDAVLNAILDSALCFQFDGPITKIADYARTLLQSSHLVDFSRNDNVDTHLHMLGLYILCKASNLLKTYYPFFTWGDALWCILLCDMDISIARTVSVYMSGFEKGQSEGLSLSHGDSCEDRANINESSEEYDCSATELSGQFEPPQSEAVQRTWSNFLTNYIVTIQTTAAKNQDAPSGQDEKSSLPRAVVQHNKKATKGSRRKKNSMKSQKDSSKELVLVKNVPQGKGIGKTCSRILKESKSLMALFESAQSTLTCPSEIANEKGLQTSTLVPSQPPFGLSSVRKRDSTAMVATGPLSSPASYSSSSSSAEPKQRMDSDVVQFSLPYTPGFEFYFSRDGLQTTWVPKDRKEELALDLVQRLGELKLEVKVWTDWANERVRQSTSRLVKERAVLSSYRKDSAEVEEPDVFNRKKLEETQKAIDSASEELDRVNSRVWELTNEITLCRREKKAAQLQGKQSDASFADILNKENDSMERLKSMETDKVILQEELAAERSKLPKLLKSLEKARRYEDVLTKRCQEGEQMIDELTKQINFQRTELERIDTSARAKSSNLLLKARNEQEWLQASIKNLKQQLDELSSKPQSVAKLINPAECGIDSLQREQECAMCLEEEISVVFLPCGHQVVCAGCNKRHQDGGMTECPSCRSIIHRRICARFSDS >Et_6B_048552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10731971:10732459:1 gene:Et_6B_048552 transcript:Et_6B_048552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREDEERSVATSMETIEGEISDPEFIMGVSASDEWTSFRDSLAQGMYNTYRASSSNGVMDISESSTSVRGRGKNKRKWFPAEDDELIEALHEISLDPRWRSDGILETRPAEKLPGSKISAGLHIDSRL >Et_5B_045073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9138991:9149392:-1 gene:Et_5B_045073 transcript:Et_5B_045073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTVVLLPVWGAGHLMSMLEAGKRLLAHSGRALSLTVLVMQPPQEQYASEVAGHISREKASGLDLRFIHLPAVAAPDFLGIEDFVSRFVQLHAPHVREAIDGLTCPVAALVLDFFCTTMLDVSRELAMPAYVYFTSNAAMLALFLRLPTLHEKVMVEFEEMEGAVDVPGLPPVPPSCLPDPVMDKKNPNYTWFVYHGRRFAEADGIIVNTAAELEQRVVAAIADGRCTRERVRAPVVYPIGPVIPLNPTTEQPHECVRWLDTQPPASVVLLCFGSVGYFTAPQAHEIACGLERSGHRFLWVLRGPPAPGERVPSDANFDELLPEGFLERTKNRGLVWPTRAPQKEILAHPAVGGFVTHCGWNSILESLWFGVPMAPWPLYAEQHLNAFSLVDYMGVAVAMKVDRKRKNFVEAAELERAVKILMGDGEEGRKVREKAVEMKVACRNAVEDGGSSHAALQRLSEELHKVVDHSLPKIQESCVQPKAIVLIHGDPDRVLLPVWGVGHLMSMLDAGKRLLAHSGRALSLTVLVMQPPEEKYASELAGHISREEASGLDIRFVHFPTVAPPTDAVGIEEFVSRFVQLHATHVREAISGLTSPVAALVLDFFCTTILDVARELAVPAYVYLTTNAAMLALFLRLPALHEEVTVEFEEMEGAVHVPGLPPVPPSCLPDPVMHKKNPNYTWFVYHGRRFAEADGIIVNTAAELEQSVLAAIADGLCERGGVPAPVVYPIGPVISFTPPPAKQQAHECVRWLDTQPPASVVLLCFGSAGSFTAPQAHEVARGLECSGHRFLWVLRGPPAPGEHLAADANLDELLPEGFLERTKDRGLVWPTRAPQKEILAHSAVGGFVTHCGWNSVLESLWFGVPMAPWPLYAEQHLNAFTLVAYMGVAVAMKSDRKRNNFVEAEELERAVKTLMGDSKEGGKAREKSLEMKAACRKAVEEGGSSHAALQRLSEELQKVIQESG >Et_8B_060426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14868918:14872495:1 gene:Et_8B_060426 transcript:Et_8B_060426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSIFNQQFGVHHNAVGGNGQAVTPLKGAWTPLEDSALEERVRVYGQRNWAAIAEGVPGRSGKQCRERWINNLRPGIKEKNKWTEEEDNNLIEAHKIFGNSWKAIASFLGRSENSIKNHWNATKRSLGSRRWIKNKTREKPFGQFTALEAYIGGLRVNSQPEQLGSDPGHQQQAFPNTFVEPLVSNPHAVGMYMNDGGVVSRHMMQGGLVHPNVLASTTIFNPPDMGMYSNTLAEIQAMQSQVAFYRPTSTDHLNYPVQQNMVSSQDPDGTNINFNFPGKQNSMSYQDPQGTYNNSNYPLSENVMCQSSYQVNSNWFNNEIGASSIGGNIVPFSENVGLQCPNLGNLNGISNQVGESSAVNNIVPLSENAVWQSSGLGNSNGFNNEVCESSAGGNSLPDNIDDVQVLM >Et_6A_046556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1765315:1767074:1 gene:Et_6A_046556 transcript:Et_6A_046556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLLRLWTEWGIQILVLVSFGLQVLLLIFGGMRRRGLSAWQWVTLWFAYLLADSTAIYALGHLSVTNEHRLPAFWAPFLLLHLGGPHNITAYSLEDNRLWLRHLQTLVVQVLGAAYVLYKYMRGSGTLLMLASVSMFVVGLAKYGERTWALRCANRTSCGKKIVKISRWLRPNMGDEEILLRAHALFHICRCLFNLDQASKALIPIKKSEPRPFDGEDTFKLLEMELSLMYDTLYTKAPVIHTWYGLCIQVVSLLGTSAALCLFHLSRGSNNWGYSRADVSHLLRFAGWGSCPGDHVSLISSLILCKAEDNPSSCAWSCLRWLHSHVLSPLRKPFKPASRRLWRGTMGQYNLFHVTTRGRTDLGSRLAAKLGLQDSWDKLHFSGTFSGTRSLPMQRLKEQSHPRKLERLVPEVGAVYLAKQLLHLGRPADTLKIIFAVWVEMMLYAAEHALRDSHCRELGNGGEFMTIVWLLERHYTELRAREICSDMDCSLPQGRSFFGGCWGCWRSCSKPNSSTPSSAPTRASTHRSSGTTSRQRAN >Et_4B_039787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29685880:29688765:-1 gene:Et_4B_039787 transcript:Et_4B_039787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDAFADKNAVFRRLKAKPENKISLSSPNPQMCFDCSAKNPTWASVTYGIFLCLDCSAVHRSLGVHITFVRSTNLDSWTPEQLKMMAYGGNSRAHAFFKQHGWSDGSSKVEAKYTSRAAELYRQILAKEVAKSSPTDNALPASPVAEQPNPSNDFPEFKLSDAPAENSNGKQEPNSPKAPPRSPKAPTHPTFVSSVKKPIVGAKKVGGKTGGLGVRKLTTKIQETDEARKKFSNAKAISSSQFFGTQNREEKEAQLSLQKFAGSSSISSADLFGRQASNSDLDISAADLINRISFQATQDLSSLKDIAGETGKKLTSLASNFISDLDRML >Et_3A_023472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29560877:29561473:1 gene:Et_3A_023472 transcript:Et_3A_023472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVRVHEWEDGLPTAEELTPLSHPLIPRALAAAFRIDDSPTSHLSFRCDDEDDDDDRDEEGDDAACRAGKAGKNKKKKRMVWTAVLHRRFVEAVAQLGEKAAVPKAIVEVMNVEGITLENVASHLQKYRIYLKRTRTHAAPPGPPTRVSPFTTQLASNTSNRSGYRDFLSRDGDGGNVKRD >Et_10B_002977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14943900:14946400:1 gene:Et_10B_002977 transcript:Et_10B_002977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELEYLGMGVNNLTGQIPFSMGYTLRSIKTLIMQGNQFHGHIPASLANTTNLQWIDLRHNMFSGIIPSFGTLQNLIGLNLGRNQLEAGDWSFLSSLTNSTQLTELYLDNNNLQGDLPSSIANLSESLEKLLLASNKISGQIPHEIGQLRRLKLLYMGQNILTGNLPYSLGNLRNLFVLSLSQNKLSGKIPLSISNLSQLSELYLQDNNFNGQIPGSLGYCKNIEMLNLSRNRFNGGIPNQLFTLYSLSEGLDLSHNKLSGQIPLEIGNLINLITLNISNNKLSGTIPPTLGECVLLESLHMEGNLLKGSIPESFTALRGVIDMDLSKNNLSGQIPEFFVSFRSMKLLNLSFNNLDGPIPTGGIFLNSGVVLIQGNKKLCSSISLLQLPLCRAETSTKRHTLHILMIVGCIVLSLIIFSCSTIILSIKKKKRVKRVPPALCKNLKKISYPDLVKATNGFSSVNLVGSGKYGSVYRGILECEEHAVAIKVFKLDVVGAQKSFLTECEALRNTRHRNLVKVVTACSSYDPSGNEYKALVLEYMPNGRLESWLHPNLNKCSLNRPLSLGSRILIAADIASSLDYLHNHCVPPMVHCDSKPSNVLLDNEMHARVGDFGLAKFLQSNSFSSVNSSTNLLGPRGSIGYIAPEYGFGSKISREGDVYGYGIIILELLTGKRPTDEMFSDGWSLHKYVKKALPQNIYEILDSNIIPNFEDEDVENNLGIQNPAAEGTTSCVMQLLKLGLSCSVEASKDRPTMKDVYAEVIAIKEEYSALHGF >Et_3B_028215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1178221:1184104:1 gene:Et_3B_028215 transcript:Et_3B_028215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAEPAENLAALEAELAAKSSRIAELEARVSLLEAENSRLRKTMAKGEAARLTGEEDPIFGRLEEDSGGHKQTAAEKLGWGAGRDVLVLSDDEEGFAVDANEERSQEEGVVAIPTTRKRGVNAVTGESQDIEGGSEGNKGETRCDSDPCLEDDDVSVTALGKKRAAARVVTSDSEDEDVNRSEVGSGEDDDDKEDDAVTPGRKRALGGVSDSENEDADEGVDVSGSKRASHLGVAEMESEDEDDRVPISQVLKKIRKERVSDDDELSDAKGCSTSATRRSSWLVKKRQAANKVLNFVEPKEYGGSEDDTEEDDDMDDFINDADSSDGANDSAEESDGQPEPSGPSAGDEESSPEPDESDIVINYKEVMDCIGRRKRATGWNLEGEMLAAFREQPELCLKAVCALYRKQTKDEQLEKSALFHNKQGFSHVHATRASYIAEFLLDGDPYGPIKKTVRDLEGYDRYALNFCHKVASRYSKQLFAIYQSQEDPHFHPYLVSFLSGKQQHQLQIGCMSQSMGPALQDQHLSIADMNHNLLLRYIKLRAVLQCNAQYRPTIQPVNFDGCIVKISAAVERERIQTVAEMTWTLLGWRKTGRY >Et_3A_025258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28316498:28320612:1 gene:Et_3A_025258 transcript:Et_3A_025258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYVVVVVSVCRPIEAQWNLTAPCGPSRQITSRYSSFNLRPPSASPAARLNPAARFNPSRSAGRARRRKTLSSSASSGAMGGASKLLSSLLLTSSPLRLRPSAASAAALFLSPPAVASRRRLLISSPSPLRTLSTASSAAAPLPHGSSSSSASPAPPPRAQFPEWSRLVDRLAAAGYASHAPCPADELEHASGCDLSDGAEAAVFSCLAFARDRPDLLRSLPRKDVEVLVAKAAPALFKDGETSVRRLQRYIAGEENDVIVSERAETVDIVRYLLSCAYCSSDNYSEDKELTDTAVRNILSVLESFSGHSQSSTSVESTLRQTSSSHHEQFSRPPRQNIEMKRGDWICTRCRFMNFARNVRCLECNEQRPKKMLTGGEWECPKCDFYNYGKNMSCLRCDCKRPATIPPDPASAGTGLIGVAQLLNAGSVDESEMERKLAENDEKAERWLSKVSQLDDSADLSSLAEDEDFPEIMPMRKGVNKFVVSTRKTPLERRLANAQYNSNNIPQDTPSDSKISQTLDRILGRSTSTAAPNNQSGTGGANAVASNKTDYLGGIDPVPFVPLSPDLFAKPQSSNDTDANSSITNNIAPLPARQDYKESLETAEKWSKKVTELDNIKDSPRVIPDEDFPEIMPLRKGENRFVVSKKKDRSLTSPQYKRRNVLEHADNSNFVPFVPFPPDYFAKKDKPVESTSDTGAVSEGSPATEKHPETNALSGNSSNSSHVVGSQPKGNMNNENWNRDYSQQNFSPSNYAYDQRNNNQHQPQSQGHSWSSGSSSTGTWSTEYSQGTLNEFYTGKSLEGSAVKDPDPLDMSEEAKAERWFRRAAQIKDISELANIPDEDFPEIMPMRKGVNRFVVSKRKSPLERRLTSPQYRRNLPIVSSEPDKDAS >Et_2B_018944.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18172601:18174630:-1 gene:Et_2B_018944 transcript:Et_2B_018944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CLPQHCDAHSTIPAPIYSIRLPPQTPVHFSPKSMDPSAAGASRRRAEQCLSVAEKLLVARDLEGCKQFASQALAADPNTPGADDLHSAASVLLAAERRRLPNGQADPYAVLGLDPANPASRHPDAVHSHYRRLSFLLNRSGPDRPFSQAFSSAARLVADAWGFLSDPVGKSALDADLDNAAAAAAAAAAAAAARAYHSPAPNRPQSQLPLPARPNPPFSTPSSWSTASPPRPTPPPVAPSPRPTPPPAGRSPHRTPPRAAHAPRQSPPLAAPAPRPSPPPVASPPRPQPLPAVYPPRPSPPPAVSPPRPTPPAVYPPRPSPPLAVSPPRPTPPPAGPQTRPTPLFSAPQTPAAATVPPVVSGTPPSPTFWTMCKSCCHIHQYDRLYEMRKLLCSSCRQSFVAEAMDEPPPIVPGTDMYYCTWGFFPVGFPGCPGFEKLVSSQPQGPGQLNAPWLVGSAGMKGNAGDAGVKGNAADNAENGVPDNAAADIPIEVPATTPTARPVRVKVAAKKRGRPKGSKNKNKL >Et_8B_058621.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:10761874:10762287:-1 gene:Et_8B_058621 transcript:Et_8B_058621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTTSLGGVRKSPFLVASSNKFQVLKADFVRLFWAEMSSLGTNLGLFLGRGGCSRAVSVSPYDLSWDCIFFVDDYISWHWKKTTTSCVVFDMKDGKVYSPLPMVSWKSQYVPATWLFSQGMDVDTLSFKDIICPGL >Et_3A_023753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11964481:11966425:1 gene:Et_3A_023753 transcript:Et_3A_023753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASVKPYGAVIAIQLIYTGMFVMSKAAFNQGMNTYIFIFYRQAAGSILLLPVALILHRKNARPMSRRVLLKLFFCALIGITLGVNLYYVSLKFTSPTVASAADSSLPAVTFFLAVLLRMENVKLKSPSGIAKLTSVALCLSGVFTIAFFTGPSLSPVNHHQAFASHPSSSNPVVSKGLWITWTFLMFVANIVWSLWMVLEAKVLKEYPDTMIVTVAQCVFSAVQSFIVAVIAERDFSRWKLRFDFSLLTILYTKKGPIFFAAWTPLCFVFTIFCSSFFLGEIVHLGSIVGGILLVGSLYSMMWGQTKECKTDDGIYDIEKDQHKESAESFQDEQEETDRGRALTSVGRQL >Et_1A_007535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35652050:35658758:-1 gene:Et_1A_007535 transcript:Et_1A_007535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRDARFVSSGVMLPPASSAPSPDPAPAPALLSAALPFAHIGRAIDAAVGRARDAFVSSGIKLQSAASTPFSAPFADDGGGAEERVLISEVAVRGKDGEPLEQPELEAAAAAALRACRPNAALTVREVQEDVHRVVESGLFRSCMPVAVDTRDGIRLVFEVEPNQDFHGLVCEGANMLPSKFLEDAFRDRHGKIINIRHLDQVIKSVNGWYQERGLTGLVSYAEILSGGILRLQVSEAEVNNINIRFLDRKTGEPTIGKTQPETILRQLTTKKGQAYNRAQVKRDVETILTMGIMEDVTIIPQPVGDTNKVDLVMNLVERTSGGFSAGGGISSGITNGPLSGLIGSFAYSHRNVFGRNKKLNLSLERGQIDSIFRLNYTDPWIDGDNKRTSRTIMVQNSRTPGTLVHGGDHPDHGPLTIGRVTAGVEYSRPFRPKWSGTLGLIFQHAGARDDKGNPVIRDFYNSQLTASGNAYDDTLLAKFESIYTDSGDHSSTMFVFNVEQGLPVLPEWLSFNRVTARMRQGYEVGPARLLLSASGGHVEGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEVSCRMFGPLEGVIFADYGSDLGSGPKVPGDPAGARGKPGSGYGYGVGIRVDSPLGPLRLEYALNDKQARRFHFGVGYRN >Et_6B_048461.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:7134227:7134307:-1 gene:Et_6B_048461 transcript:Et_6B_048461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVQIRAKQKHQERLKEWIDNWNNP >Et_3A_026087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4154140:4158795:1 gene:Et_3A_026087 transcript:Et_3A_026087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGLGLLLDLASRAPRGGASSVASASAHSYGTLSAAAAAAAAAALSTTCVPLSARHLFGFPGFTIAHCDDGATVGLNGSPDVVDYSYINNKIQDSIQYASKEGIRFPAKEYPLELKPLFSAFGLKNFSITTLRSFLLYYLPLIQPQPHTHDDDEDDDDLLQNDPEEKPVDLITPFHNSTSIVTTRRVLERIAVRHVSQRTAWKLLKDASKSAKRKAARGMSTPEFTYCVARTTFRAHALGVAAAWVVQSIVEVYRRFIRKPGDDEESLEVDKLRRFGGKILGITIKGCFSLVFASIGAGIGALVHPVHGQWFVSNRKNHSSSNFATSFLSSPSSSSQSRIMEYVVP >Et_6A_047571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7541254:7543440:1 gene:Et_6A_047571 transcript:Et_6A_047571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHNMSLLVAVTLLTIAMASPATALGNSTTKTAYQMLAQYDFPPGILPQGVKGYTLSPDGSFEVDLPRDYKLHAFDMQILYNSRISGNIHNRTIHGLAGVQANVFLTWIDIDQVDRIDDQIQFHTSVESKSFAFDHFANSPQYHNITKSPTMAKLLVSLLMTIPFLVAIILASPTTTASSPLQTAASLAAAVANSSSPALADASPAAPTAYDMLEQYSLARGVLPEGVTGYVLRPDGSFEVYLPGDCNLRAGGMSVRYSSVIEGNIQTGSIRDLQGVNVEVIVWIGITQVDASDGQLHFVAGPISKAFPVDKFASSPQCN >Et_8B_059329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16698166:16699687:-1 gene:Et_8B_059329 transcript:Et_8B_059329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTAGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGISVDHRRKNKSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTPEELATATQVQGDYMPITRGEKRSIEVVKVTDEMKSFKAYGKLRLERTNKKHLGARQKRAAEAEKEEKK >Et_2A_016841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28820381:28824313:1 gene:Et_2A_016841 transcript:Et_2A_016841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSPSSVLVLDPTKCRLLSVNEKRQFVRELSKSPDSALERMEKWTRREIVEVLCVDLGRGIKYSALTKHRLLDHLFKVVNGKTRRQKKHVEKKSSPELDANNLHSPCKRQRINDSPLALPDLRALTNNDYLCQNSACRATINLEDTFCRRCSCCICFKYDDNKDPSLWLVCTSDGSAQEDLCGLSCHLECAFKDERSGILQSGRSKKLDGGYYCTHCGKQNDLLGYFSGTLFSVTCIIFSNVNLGVTSVLDLLCHCHILICRCHVTLILLWHVITTLQCWKKQLLIAKNARRLDVLCYRIFLSHKILVSTEKYLILHTIVDAAMKKLEAEVGPITDTREVGHGIVGRLAACVEVQKLCACALETLHSMFSSSLTTDSEIQRSCMAHCDEPTCKSQNAKLGDLGESEVAELKKSPRVSIPALHEEQERPRSGAQSALLSNPLKLMAQKQVLLKQSMALLVADTETVPLEHSGNKLVSAPENSVSLLGAIPRGTENCKWVSGKSFEEANPGNHVLQNGCLEDEIDPKNLSCKATLGRSEDSGDKDGPSEPSSTIKISLHMDSSNLILNSRGNLQNLSADSVQMENESGVQTENVFTCPQLKHDSLVPITKINGATASSLKSKSDYHILQTGPSKPETKPGN >Et_10B_004450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7924535:7924991:-1 gene:Et_10B_004450 transcript:Et_10B_004450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRWKIEDGMERDEIPAPNDHRAAASMETVEPSWVPGRTQAADHLVGGSCAKAGENLCTVMVIEKEDLTRMEVRIA >Et_3A_023647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10298179:10301908:-1 gene:Et_3A_023647 transcript:Et_3A_023647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAGVASPAADRFYCPPPRRHLLNKQQQAPPPRSVPEAEPAPEQPAKPTPELRRDHSPSPPPATTNLESFIASTAVRVPARRLPPTSAPEAGTGAAYYELADLWEAFREWSAYGAGVPLLLNGTDGVVQYYVPFLSAIQLYGAPPSSKRRLGEDSDGDNAPDTSSDVSSESDNERSFGRTTQSSAYNICTNEEGFSSDDSESSNKLSPVFQYMEHDAPYGRQPLTDMMSVFATKFPELKTYRSCDLLPSSWISVAWYPIYRIPTGPTLQDLDACFLTFHSLSTPLDGMLSGLPKTKKFHNNKIADVPGKITLPLIGLASYKLNGSMWMSNQRHEQQLTTSLLKAADDWLCQRQVDHPDYRFFLTH >Et_3B_029537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25804294:25808184:-1 gene:Et_3B_029537 transcript:Et_3B_029537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPLMIRAAATAQQYKAPRFPRGAASFASRGATRDSLVLSCRPLSSPSSRTRRERTEDPADPIEIPDRIQVPPPATTESLTDCGDAREHYASVQRFWSLKMNGSSDFQGHHVGGEKEVLHVSRPTLNNGPKHRPLTPMRRCRGVGCVTIILSTAFLLIVYLAPITTLAVRLFSIHYSRKMTSFLFGLWLSLWPFLFEKINKTKLVFSGENLPPKRRVLLFANHRTEVDWMYLWDLALRKGHLGYIKYILKSSLMKLPIFSWAFHIFEFIPVERKWEIDEAIIQNKLSKFRNPKDPIWLAVFPEGTDYTEKKCIKSQEYASEHGLPKLENVLLPKTKGFICCLQELRNSLEAVYDVTIAYKHRLPDFLDNVYGVDPSEVHIHIRTVQLCDIPTSEDEITEWMIERFRQKDQLLSDFFVKGHFPDEGTEGDLSTPKCLANFFIIVGLTGICLYLTFFSSMWFKVYVVASCAYLSFVTYYSIQPPQLIGSPEGDDLHAKKAL >Et_4A_031764.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:11946217:11947412:-1 gene:Et_4A_031764 transcript:Et_4A_031764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTLPNELFEAISLEYLSLSNNWLDGTLDGEHINKLRNLIFLDLAMTGLRGKIPDTIGQLKRLEELHLEHNNMSGELPPSLSKCTSLKTISLRRNKLQGELANVNFSALSNLETLDLYSNNFTGTIPESIYSCGNLTVLRLSVNQLHGQLSKRIGNLNSLTYLSLTHNNFTNITNTLHILKSSRNLKALLIGVNFRHEVMPQDETMDGFENLQVLGIHNCSLSGKIPVWLSKLTNLKMLLLSNNRLTGPIPSWISTLHSLSLFYIDISNNSLTGEIPTALMRMPMLQTEKAYLDMKYFEVPFYLSSGYQYRSLNTLPQVLNLSNNDLTGIIPPNIGQLGALASLDLSFNNLWGEIPKSIGNLTNLMVLDLSNNHLTGVMPLELSGLHFLSELNVSN >Et_4B_037048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1414668:1415593:-1 gene:Et_4B_037048 transcript:Et_4B_037048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSTAAFVAAALLMLLVSPPAGPDTYEQETRRIFAEWKAKHRQRTYEYAGDEECRYPLFKESRAASPGPRPPGAHAQEEVLHGGDGVEEGEESYEEETRRMFAGWKAKFGKIYRDVSDEECRYMLFKGNRRVVVQLNAAAGEAAYGLNQFGDLTNEEVRERCNGGEAEGKLSTRCQAATVFYTDHERLIRSQVCRCIATELKQTGPAGNAIPVDEARMWI >Et_9A_060970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22210757:22211170:-1 gene:Et_9A_060970 transcript:Et_9A_060970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPYSASRPWPPRPQPPMADQALPAAAAEKSGEEVRRAVAECPVLIVGRRGCCLSHVVKRLLQGLGVNPAVHEVAGEAELAGLVADDVALPAVFVGGRLLGGLDRLMAVHISGELVPILKEAGALWL >Et_1B_010467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10788289:10788935:-1 gene:Et_1B_010467 transcript:Et_1B_010467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENEEEYVEADYMENEMDDMEDFEGRLNSRHAKKQKRSSSNSRSMVGKRSRKVITELEQDEDTDNRQKTIV >Et_2B_020485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20686827:20688413:-1 gene:Et_2B_020485 transcript:Et_2B_020485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HRRTTASAAKENKETKPAKRAPTFFTLTIDHPAPGRSVMASAAAASSPRQADDAQQAQLPHVVIFPFMAKSHTIPLTHLAHLLRRRELATVTFVTTPGNAAFVRAALSGADDGVSVVELPFTDDHAMVPAAECLEALDSSLSSLPAFVEAVESALRPRFERALAAMRPRASVVVADAFLHWAHAAAAALGVRTLAFFVANMFAHVVRDVCVSHNPAAVLTSGAPDAAFFTVPELPHVRLALADIPMDAKVGRAFADSQGLIVNTFDAMESQYIEHWNRHIGPRAWPVGPLCLARPTPTSWHGDATAWMRWLDEKAAAGRAVLYVALGTFMAVREAQLNEVADGLEQSGLDFLWAVRPADVVLPAGFEERVRGRGMVVREWVDQWAILRHECVKGFFSHCGWNSTLESISAGVPLAAWPMGAEQPLNVNLAVDELRIGLKVSAGHGISGLVSSEEIVTVARELMMGGEKGAEAARNAAAMAVKAREAVAEGGSSWKALQELISGLNRPGGHASMEK >Et_10B_003502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2195014:2197099:1 gene:Et_10B_003502 transcript:Et_10B_003502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLIQLTAGLQVIVKVNQYFALPDGEPKTYCRRKTLPPLAIDKHSFEILQLVEYIGEKCIWGSKQYVSMYRIAPDPMACEIKTDEQLVEWCDLNLEKGVVHIKAEISDFLGPLQFSPTKRRCHPKMRERLLETPGTPPPNVDPPIEPSESTQDMTNSTNECVTNIGKTPKKVAANDDELKELSDNLAVSSDSESDSKSDSDTEFDPNEEIIDENDGDDVPLISYDVYDPCIDLGVICPYTEQCKEAVTIITVKDSLTNARQQKTVANGGFMPLLTKESKLVASYMQQQAHTTCNVKTCGPKHTCGSFNKCGEMMASKKWVADMSMELLSDDPIMRPTNLCLELKKKYKFDVSYYRVVRGKPRALDIINENLIDISFTPSIRIASASGSTSASASTSGIRRGRGRQGGRRGGRRGRLAE >Et_6B_048843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14425959:14427519:1 gene:Et_6B_048843 transcript:Et_6B_048843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVQPWPLQRRRPPRRVPVRVGRVGFRLHGRENVHVRLGAGRHIRHCVRLRKRYLVAADRGLGWGASRAWSDWAGGIGHSFSSTGAGRFSYCLTPFHPGRQQHEHALLRAYYYLNLTGISVDGTALDIPADAIALTSGGDGGIIIDSGWTTTSLVDAAYQKVRAEILN >Et_1A_006915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2935495:2938907:1 gene:Et_1A_006915 transcript:Et_1A_006915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPGGSGRRPQQQLDEHLPPMTPLPLARQGSVYSLTFDEFQSALGGPNKDFGSMNMDELLRSIWTAEESQAVGAASASAAADQAARAAIQRQGSLTLPRTLSQKTVDEVWRDMMCFGGPSAAPAAAAEPTPPAQRQQTLGEITLEEFLVRAGVVREDVTAGPSVPPVQAAPPPPPPHPSQPQMLFPQGNVFAPLVPPLSLGNGLVTGPVGQGGGGGAVSPVTSNGFGKMEGGDLSSLSPSPVPFIFNGGLRGRKTSAIEKVAERRQRRMIKNRESAARSRQRKQAYMMELEAEIAKLKELNEELQKKQVEMLEMQKNEVLERMTRQIGPTAKRICLRRTLTGPW >Et_8A_058149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2186986:2187934:-1 gene:Et_8A_058149 transcript:Et_8A_058149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRSVAMATARHSRRCREGLDAWAVEDWMKARVFHAPADREKIKSCLSGLGEISASFKKILHSGLEHLVASVAPRIRPVLDTVDTVSYELDDAEYGENEVNDPWVQKLILAVNTNVAWLQPVMTSNNYDSFVHLIIDFIVKRLEVIMMQKRFSQLGGLQLDKEVRSLINHFSEMSQRPVRDKFSRLSQMSTILNFERVSEILNFWGDNAGHLTWLLTPAEVRRVLGLRIDFRPEAIAALRL >Et_7A_051228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15809182:15813443:-1 gene:Et_7A_051228 transcript:Et_7A_051228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSHLAAASSPATAAVRSTASSPATAFARLPATPRLGKGALFVRGGRAASPVVVAAGPAAAAPVADLNGRPATEKQPIIVIDNYDSFTYNLCQYMGELGLNFEVYRNDELTIEDVKRKNPRGILISPGPGEPQDSGISLQTVLELGPTIPIFGVCMGLQCIGEAFGGKIIRAPSGAMHGKSSPVFYDEELGKALFDGLPNPFTAARYHSLVIEEETFPHDALEATAWTEDGLIMAARHKKYKHIQGVQFHPESIITPEGKRIILNFVRFIEELEKQQRS >Et_5A_041896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:431249:433531:1 gene:Et_5A_041896 transcript:Et_5A_041896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFDGDLRESRLQMETLEGKRCLACLQGEWLVMLDQGTKECFMTSVASLSKISLPPLPTPADKLGKCALSSPNPPDCTIMFTATEHESDDDDDDKEEGYMLYCRPGDEDWWSLYDDENDGTHGYYDIDCSYPIMGSQGTVYVCTDRYTFITIDASLSSSDDATIERRGIPHPSKMRWGRNEVCLVESDGDVFLLQFYTHGFYNSEVINMDIHRLDTSDYVWRKVESIGDRTIFVSGHNCVALSSASRAGLRPGCVHLLYRHCREGIRLYTIRLDDSTISCSLLPGSYHDTCYWVLPSRISFIDYLNVREVCKGWSSISKPFQYAERYPTYPLLMSICSSSTGVFKLFDPVIQKEYTVKNSSTLVPTNPFTGERIDLPEMPQYGNQFDGISFSSAPNCPDCTVCCIHKLRNIGRTDLLHVLIWRPGDEHWTKEDIGDETQFRTAYSNPVFYHGEFYCLGTRGNLGVFNPDNMTWRVLDKPEPVVDGDPMTGEQHCQLLEFRDDLFAIFRPHDSGPIALYRLDKDRMIWTIIEKFDGEVIFVDNWDAIMTTSPRDAFGNNRIYMPKLGGCNEAREADNKGAFYDFKSRKYHPDYYGLTERMNSIWVEPNSSYWEILISNQCT >Et_3A_024765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2401680:2404730:-1 gene:Et_3A_024765 transcript:Et_3A_024765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLLQQGGVLPRMSRLTAAPFSKASGETVRATLFPGDGIGPEIAESVKQVFNVAGVPIEWEEHYVGTEVDPRTESFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCNSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKANGRERVSAIHKANIMRKTDGLFLKCCREVAEKYPEIQYEEVIIDNCCMTLVKNPGLFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGICLAEAVHGSAPDIAGKNLANPTALMLSAVMMLRHLQFNDQADRIHNAILQTISEGKFRTADLGGKASTSEFTNAVCDHI >Et_4A_032036.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:13064189:13064548:1 gene:Et_4A_032036 transcript:Et_4A_032036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRTLAASKKTLSLALGGGARPLAVAAAARGVATTVSLPDLPYDFGALEPAISGEIMRLHHQKHHATYVANYNKALEQLDAAVSKGDASAVVQLQGAIKFNGGGERSPPPSLGPVLL >Et_6A_047427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5664575:5665861:1 gene:Et_6A_047427 transcript:Et_6A_047427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEQKVVEVKEFLFKRFPSAATLFTKNYEKNDANANKTPEEIKAKEVRMKGYRSICQYGLGSMFWTAASGVLAVLEEKNLPAVTDNVHYCAIYFAISLGVMLTGLAASTFHESFPMAPCFAGLGAWKAFMFVLATFHLAPFKFHSNVDHCIYSMIVSGSVVSFFWGLGVQDPLPFHIIAKLIVWLVSVAIWFLGICFIHMGQATKIMKA >Et_4B_038965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6456237:6458839:1 gene:Et_4B_038965 transcript:Et_4B_038965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYVRNPVAVAEAESTAKLQKEREKAEKKKDKRSDRKALQQGETPKHKHSHKKRKHEDIGPAGQEPKKVSKESVEQLEKSGLSEELGAPCFVQTVHDSPESSQDSSKRRKVAPSPSQAKNGNILRIKIKRDQDSPRGVPEESSTKRIGLVLSQLNKSNLVQQHDNVIVKSAAAAHQRINVEPQALQKQASTQLPGKFTRRDYPQAAKVVQQIDPKLSARPPVGRVDLQPARVMGRVDPPQVAKMAQKDLPAKAASAKVLPRVDPQVPFNEIQRKCPPASTKVLQETSTSIHHLELPQPSLVRDSKLEVQVNQLQRPIASVPKEEPSSSGRNAEAVQVKEAKKSKWDRKSRKAEKKEKKFKDLFVTWNPPSFEMEDSDIGGQDWLLGSTRNSDACMTNCRASDGSVPFQSMEQQPLLQPRATFLPDLHIYQLPYVVPF >Et_3B_028049.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:5219510:5219821:-1 gene:Et_3B_028049 transcript:Et_3B_028049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HGPEHRRGLVPAVETQAHRSASPGEGVAEEDGDDGDEEDDDQIGEGARRHIRELARVGWSRLLLLLRRRRRRRRLRPPSHSLKPQPKAARGAKGVGQASSSDI >Et_4A_035784.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:5926099:5926443:-1 gene:Et_4A_035784 transcript:Et_4A_035784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSEAPLRRRQWTVALVSVAALLERADEALLPAVYREVGAALGASPTALGSLTLCRALVQALCYPLATCAAARYDPARVVAAGALLWAAATMLVGASGTFVQVSDHPCGASVH >Et_1A_007418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34297366:34302882:1 gene:Et_1A_007418 transcript:Et_1A_007418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDRMTTFEDSEKESEFGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDAQWEFQPKKLGVGDVITGGDLYATVFENTLMQHHVALPPGAMGKVSYIAPAGQYSLQDTVLELEFQGIKKEFTMLQTWPVRSPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSEAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGSPDRTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKALESFYEKFDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFNTLANQAVERAAGTDGQKITYSVIKHRLGDLFYRLVSQKFEDPAEGEEVLVAKFQKLYDDLTAGFRNLEDEAR >Et_3B_029833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28364337:28365560:-1 gene:Et_3B_029833 transcript:Et_3B_029833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding STTWRAVDLSLWLDKFEAVPRRPNTKRPKARAPMPPLSHSPAATGQLLAPSRRTRFLTPCPRAGAIPAATAEAEAALLPAGLRAESLPRHVAVVMDGNARWARARGLPSAAGHEAGRRGLEETVRLSRAWGVRALTAFAFSNENWSRPKVEVEFLMGLFERVIQDSVAEFLREGIRLRVIGDSSRLPVSLQKTAREAEMATRDNSQLDLTLAISYSGRRDIVKACRKLAQKVQSNQLRPEDIDESLFAEELETSPNGEFPYPDLLIRTSGELRLSNFLLWQSAYSELFFTDTLWPDFGEADYLEALVSFQSRDRRFGVRKS >Et_4A_032012.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:11871543:11871590:1 gene:Et_4A_032012 transcript:Et_4A_032012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPMATVTASSYFL >Et_3A_026100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4320123:4323193:1 gene:Et_3A_026100 transcript:Et_3A_026100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDVRVDLDKLPIKRLEAIDEAGNEHYPPDTSNEEQRLAAIRRIDFSWVIEKDAKKAKKAAEADTAQQAWPWQGLMESLQQAQQELSVIIDLIGTVEANDAVTVVSTTKPKPQPNEILVDMAHLSRYFKQSAKTMEQQFEKETRFYNSLIRLQQNWKVKRQRVVGSGPGSEGFMFDLADSSQIDAAAMTRFSPLSLVPIDQDSSGTLSVTAPQKSFRSFSLQFLGDGGNNAESNTSRKKEDGLRSTSSEAEKDALENDDVNKSIKHAHSILRNIHKTIFEEQVFDMVIRETFVQSQGINVTGMCEDFLQLAIGQECSLCLSLVHSGQNNDTETVGSEDYMDTEDAGNLAVAIVNGKHEPLKKDASGFPNPKSLEIYLLHMFHENILRSMKEKSCNLVRFQTHAQTVPDDSGLLGHFCMTVAHRIFSNKVHSELESVVSRVPYLHLRSLPTWHSRTSSWSLCLKVPQPILAADRITKPSDNHESKYKSRSQFITKVILKDGQISLMGEGSPSIAGSLTVKPSDGHLINSYNCDLEDLPMMLLQQVASQVIHWLHEEALVLGMNVTRDFLCLYFDLDQGETLGLVAHVDPEDSYGCISWYLTVDHPTEDGKMSADNPELEKRRFLGYLSLEVLYSTLMDLINMCSSGVHH >Et_10B_002623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18716839:18718269:1 gene:Et_10B_002623 transcript:Et_10B_002623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKVQRSLVVPAPPSQPSEEVPFTVFDLVAPPYYVTALFAFTAPNPSNAALLDALAATLPRFPRLTARLVDRRDASPAGRARPFFVTGSGGAGALVVEAEVATPLADHLPLAPSPCLARLHAPLDDASATSPHLLLVQINRFACGALVVASSAHHQAADGYSMSTFFRAWVDAVRSPPQQPGRPVPYGPGALVPRRPPRCEFEHRGAQFRSMSKKDDASGHPPDQPVLRVAPSEIANLTLHYTREFVRKITAARLRGADADASDSSTRRPTSLNVSVNGRARLGPDTVPRGFFGNLVLTARTDKAAGAGPTTAGDLVRGTLADAAAKSFIDFGALHLHGGDGEEELEPNVGDEDYLLLPDVAADSWLHLELHKLDFGRGGRLVGVLPGTVPLDGVIVLMPGLHEEGGVDVFVALYHKHAEELRKIAYTMDWAT >Et_3A_024318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19516062:19518833:1 gene:Et_3A_024318 transcript:Et_3A_024318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKNTMEKASNSGSKEVESPKKAQRVLLAGIIIAHDLVLPSIHPVLFPKRLWRRPLAAGAIKEVKSPKKVTELDRNTVKDKTKTRIIVRHILLAICINEELVRLLVGVTIAYDLVLPNIHPVMLPKKTMDNASSSGSKEYPPWFAPQKDYGEGVQRQEQLRRSSLPRRDYDLLLPVMMDNTKTSIILRHILLAIRNDEELGRLLVVVTVAHDVVLPNIHRVMLPRKTMEKASSSGSKDVESPKKA >Et_2B_021952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7189811:7191888:-1 gene:Et_2B_021952 transcript:Et_2B_021952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQSPQAVAPVALAMEPNTVAVEAAAMTEPPVAAGADPQAVREQDRLMPIANVVRVMRRVLPPHAKIKLAVAPVALATEPNTVVVEAAATIEPPPPTASDQQAVREQDRLMPIANVVRVMRRVLPSHAKISDDAKDVIQECVSEFISFVTGEANERCHAEHRKTVTAEDIVWAMERLGFDDYVAPLGAFLRRMRDLDGTGGRGSGRGQPRAPHHAPAPPASMAAFTHGAQPVAFPNGALPQGYAVPLQVPFAAAHFVAQRNVLGGERSTSMAAAYHGGAGFVTRGSHGGGYADEASSSSNEELPAAGTARRLQ >Et_7A_051269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16356434:16359158:1 gene:Et_7A_051269 transcript:Et_7A_051269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAARQRQELAAEGQRHLEETIAAAFQILASMNDELCNPALWSSASASASAAAGSQGQHPSHHSAATPPLHSADSDAADPAGGGSGPAPGSGGSLDEARHRYKSAVAALRASIAAVSSFTQDMGSTEVKADQTEIERLEDHATSLRKEIESKNKQVKLLMDQLRDLISDISMWQSPCSV >Et_6B_048824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14068495:14075636:-1 gene:Et_6B_048824 transcript:Et_6B_048824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSEAVEWWEEWQLRILALASLFIQCFLFVAAPMRKLRIPSLLKFLIWIAFLSSDAVAAYALATLFNRHKKSEWVSAHRDSANLEALWAPILLLHLAGQDSITAYNIEDNELWRRHVVTGLSQAWLPLFQISVAIYVFRKSWSGDTKLLQAAILVFVPGIIKCLEKPWAIKMASINSIMKTCGALISRIFQYEDTMETAIKSLEEYVRATAKCVQVGQPFRGYTPLTAENLFVELAFPYCVRLEELKYWMYRDAAHYHLQRKLTRMFDRLYTWYKARNRAVLDTDSWWKRCLVFCLNFRGKAVYLPFAAIALYHKSNREAYNDTDVKITYILLCCTTAIEYGAVTIKQFLLFEIGLPVPWPEHFSQYNLIGYLARSKKHRRLR >Et_3A_026857.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24071182:24072717:1 gene:Et_3A_026857 transcript:Et_3A_026857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSETDAALFAAVLGRDAAHHLATTPPAVDGPAATAALAPELQARLHDLVERGGGPWTYAIFWQESRAAGSGSGGRAVLGWGDGHCRDGGAGAPPHDVDGAAAEGVAERRSVARKRALLRLHALYGGGDDADEDGADYALRLDCVTGAEMYFLASMYFSFPEGAGGPGRALASRRPAWAAVGPYHQRGAPGWFVRASLAQSAGLRTVVFLPCKGGVLELGSVAPVRETPDALRAIHAAFVAVAPAAAPEAAEGRMRIFGKDLSRCGKMPPTAAPQATELARNGANRPPQPQLGGRTRTSPDKKEAVEANAPPAPPKPMSIDLTEQPEQPPNGLQLAGGGGGDERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPKISKMDKASLLSDAIAYIRELEERLHGAPSRAETTGPGPVLEVKAMQDEVVLRVTTPLCVHPASQVFRAIRNAQISVVASDLAVVDDAVTHTLMLRSPGPERLTPDAVLAAVSRGTSIDGTPSP >Et_2B_019014.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24138275:24140780:1 gene:Et_2B_019014 transcript:Et_2B_019014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLTPVLPSCFVSPNQPDPRPAGCRRPAESQHAPSAAPAGCIDGPFEQQIAAPLSLFPFEIRRLSSRSNPSSAAPRAPTKTPSIMSVPALMDDLVEEVLTRTPPDDPARLVRAALVCKRWCRLISSAGFRRRFREFHGRAPMLGFLYNTSAMFSTFVPASPTCPPPSNDRHWATIDARHGRVLLHRSPGELWNESAFVVWDPTTGEQRELPLLPDNSIAHSWNAAVLCAASPTGACDHLDCHRGPFFVKLMGFNRGEMFAYVYSSEASAWSKPASVQCPYSAFPLLPCALVGNALHFMLWCGEGIVKYDLSTCDISIIDVPQDCYLTRTVLMTVEDGELGFAQVDEFALNLWSREAGSDEYMGWTRSKFIDLKTLLPIGATSNYVYVVGCADDIRVIFLSTDDGIYTIDLKSVQVTKVCEENDINSIFPYMNFYTPGNNLVYPVHLQFGTSLLSCDQERCVHQTRILQPSKAFTP >Et_2B_019500.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:9143085:9143153:-1 gene:Et_2B_019500 transcript:Et_2B_019500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHRAKARHAQSLKEWIQTWE >Et_1A_009164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31452121:31452907:1 gene:Et_1A_009164 transcript:Et_1A_009164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFQAATMSSLVVLILAISNAIVSTTEAAAVVAAAAPSPAPSGPLNLTEILRKAAQYNAFTRLLKDTELPACSTAAAAAGTPTDAAFAGLRPGALNRMDAQAQSQLVLFHVLPKFYSFVTFETTTNPVRTQASTQRGVCTVNVTTAGGERQQVNVSSGTVEATLGRTIYSAFPLAVYSVDTVLLPPDLFDNGGAEAPAPSAGKPQKQSPSSSTAAADEATDNGADATAASGAGTRIGWPAFAAAMSSVALSLLVAC >Et_4A_032869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1489026:1491081:1 gene:Et_4A_032869 transcript:Et_4A_032869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEGTQISASTAAAAHALCFAGLAAAHFLAGRGALISDPQRTLRLLVVCEAPVVIAVFSYLRRDPKHCSFFKAVLRGLLGLLVGAFLNAFGAIVLGAPVGIKYWIATTYWSLLMSLFTFVPAACVYGASKIDWQNVLSHSIYFIPIDSTNYIISAPCHGAVLGAWIGAWPMPLDWERPWQEWPICVTYGAVAGHLIGMVASLVLIVVHKRRVRAKAD >Et_6B_048909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15332792:15336134:-1 gene:Et_6B_048909 transcript:Et_6B_048909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRPWQRGRPPPARAREGAGVGGWVWRTDAGSTRARARPGHGVVARLPGAPLLLSRVGPGRGDEAFVLAPSRPDVPVHGAVLDAANPKLTGSLSNRSPGASNWPRLICLSASTCFIFAYVLIAATILCVLDEIEQIGTADDRAAALLIWALLKLSNTLCFERVAWRSMEYLLQQMILGLLQQWKSLCPVSHLEELVRQISWLMETAQRAGEIARLDLQTCTSSVYRRED >Et_8B_058642.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:12602275:12602574:-1 gene:Et_8B_058642 transcript:Et_8B_058642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILHDWGDEDCINILQRCKEAIPARNAGGKVIIIDMVIGSAEGNRKVGEMEASQNMFMMCINGMERREYEWRKIFSDAGFSDDYKIMPVLGPYSVIEIYP >Et_2B_020156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17414269:17416993:1 gene:Et_2B_020156 transcript:Et_2B_020156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGNSVAESVGESPEPEQAARPAGKVNKGRGLRRWRRIPRDHHHEGSPASPGAAGAGAVAGPGEDLAQLHKRRHALDGDAPKGKHDAAAEEESSVASVESSFVPLEASTPPAPTRLDPNLGHLIATAGFSVGAGGADSENSDDRSSTAASAPRAAHTRHEFSFGGFGRERERARSRAPGSAAHSKNLRSARVRGPSARAAASPASPVEPENSRSSVESNLRGSSTAQPRESVASISSNGVHKVLFPDDPHSDEEPPSEELRYTNGSFYKQNGSVVGRLVMGNCDSDANDGVFEEASVGKFENGGTHTGLDPYVESIALLQSAQEALENEIQKFVEIRKETDESSTTHDNGTECINSFQTDETVESLSEKLQALELKLEEATVLIKEKDSKILELDALNQIQAREACRSNLLSLQSDIEQLLLDKMEAEIHCFILMSASQAWKSMTNDQVASNEMQKSLPGDHKSIETKLRHAENRAMMLEEMVDKLEAQCKELSEGSEVLKLKARASKTSLFCSLQLVLLCIAMWTLLVRFLPSSTEFVPT >Et_2B_019667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1190606:1192481:-1 gene:Et_2B_019667 transcript:Et_2B_019667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDVAYAPPMKAGKAGFEGGMPEVQHRIRITLSSRNVKNLEKVCRDLVTGAKGKKLTLKGPVRMPTKVLNITTRKSPCGEGTNTWDRFEMRVHKRVIDLVSSPDVVKQITSITIEPGVEVEVTISDA >Et_7B_054717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3858737:3861918:1 gene:Et_7B_054717 transcript:Et_7B_054717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRVGLARATRLRGAAAASLPIAWRRRGLSSEPPPPPEWTETVDYLDESGALLSSAPGARPAVPGADSTILSGASAHPIPRPAAAARLASLALRHRSGAPLSAALSALPYPPDPPLLLLAASSLPASDPNPLISLVSWARLQPWFVPSDDLSSLLASRLPPATHSSELLALFDETLALPDTAAFPKTFNTILSALATHGLLEPAFYCFKRLRDVGFRGLETPAYNALLSLLLTRGLAFKAFEVLDEMAASACPLDLGTYEIAVPALARAGRIDAARKMFDEMKQREGVGRAPAGVYSVMVDVLAKAGRLDAAMGMYREMVAVGHRVSMAVSTAMVEGLVRSGKLDAGMELWEEMRRRGLRPSFGLYTMVVEANARSGRLDVAAKLFGDMEKAGFFPTPATYACLVEMHASAGQVDAAMRLYHSMANAGTRPGLSTFSALLTMLANKSLLDLAAKVLLEMKASGFPIEVTASDLLMIYIKDGSTDLALRWLRFMGSAGIRTNNFIIRQLFESCMKTGLYESARPLLETYVAGAAKVDLILYTSILAHLVRCQDEGNERAIMDILSASKHKAHDFMCGLFTGPEQRKKPVLSFVREFFQSIDYDNEESAARYYVNVLLNYLVLMGQMNRARCVWKVAYENKLFAKAIVFDQHIAWSLDVRNLSVGAALVATVHTLHRFRKRMLYYGVVPRRIKLVTGTSLKMVVAQVLSSLESPFEVSKVVLRAPGDSVLEWFKKPIVQQFLLNEIPSKADVLMHRLNVMFPSSAPEVRSLSLPRSLGMTRNQVSWMNCTYDSGAEIGELAGHAATRVLPTSMTKRYLTSPLARRSMAQSTSSAPTSSMSAVTPCSAAKSIISCVSLMFPMQLPARVLTPVHTDCRSNQLQSPWTSVTRVASHAYRNSGTDSPPTKAKAEISTGLAGRPSKIILPWGLRSPR >Et_3A_025883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33610464:33612228:-1 gene:Et_3A_025883 transcript:Et_3A_025883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVAEGAAASAAAALRAVLARAGRAAERSGRAAEAVRVVAVGKTKPVSMLRQLYDAGHRCFGENYVQELVAKAPQIPEDIRWHFIGHLQSNKAKSLLAAVPNLDMVEGVDNEKIVNHLDRAVSSLGREPLKVLVQVNTSGEESKSGIDPSRCVELAKHVKLGCPHLIFSGLMTIGMKDYSSTPENFKALVNCKLEICQALGIPTEQFELSMGMSGDFEKAIEMGSTNVRIGSTIFGPKEYPNKKQNQ >Et_4A_031991.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:10730562:10730735:1 gene:Et_4A_031991 transcript:Et_4A_031991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYCCGGNTKESCHLTMEECTNTCPLCNQPKCLSQLSVQSAMEDRRLGATGNTTING >Et_6A_045959.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:13768374:13768574:-1 gene:Et_6A_045959 transcript:Et_6A_045959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEYGNMSGVTIIFVLDEMRHSQRGNDDEGDVLGAMVGLGPGLIVEMMLLRCMGSNLAVPHVKRN >Et_4B_038987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6688028:6689643:-1 gene:Et_4B_038987 transcript:Et_4B_038987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGTTTLGGGGGCPSGSGGAGGPGGGGGGGGPCGACKFLRRKCVSGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLQIPAHKRLDAVVTICYEAQARLRDPVYGCVAHIFALQQQIANLFMIDRSTPLSDQSVVNLQAELTYLQAHLATLELPSPPPLPAPPQMPMPPAPFSISDLPELLDRHRTGVKLESPPPPPHSR >Et_4B_038318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27991155:27993402:-1 gene:Et_4B_038318 transcript:Et_4B_038318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELLETFEAAKKAADAVAGEDDSPEADRCLDALRTLRGIRVNTEVLVSTQVGKRLRYLTKHPHSGIQSVATDLFGYWKKVVLEETGKKNGTTESERSNNPSPRGKSQPMKIEKNSSSVSVKIEHRDTDVRSPKPASVKVESSRNDSKASVKIEKRDADVERVSKEVKPSIPRGPPKLTSLVKCNDATRDKIRELLAEAFSKVSEETSLDDREEVRNILDEVDACDPFRVAVTVESALFERLGRSTGAHKAKYRSIMFNLRADNNTDFRRRVLIGQVTPQRLPDIPPEEMASDARKLENKQIKEKALFDCERGGPPKATTDQFKCGRCGQRKTTYYQLQTRSADEPMTTFVTCVNCNNHWKFC >Et_8A_058386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6334635:6338004:1 gene:Et_8A_058386 transcript:Et_8A_058386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLQIFIIKASDRFNINSQLEHLQVKYVGTGHADLTRFKWALNIQRDSYASSIGHYPTLAYFAIAENESTGRERHNFMQKMLLPSSLLRETKTEDLFRVFHYQ >Et_9B_065547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6537452:6539078:-1 gene:Et_9B_065547 transcript:Et_9B_065547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENILLCIVPLLLVVLVAAKNGKSPPRVHLLWSLLVRSPTVASLSTLLRRLHAAHGPVITLWTGGSKSAIFIADHNLAHRTLVRMGAAFAHRPAPPSWLLWTDGGVNGHGINSAAFGGRWALLRRNLSAHLGGALYSSIDRMVRGLELATAAAWEGGDDGVVVPSEMIRHAVFCLFAALCFGVGVAEEEDVTLARLRGLHAEILSLVVELDAFHQMPVALQLTHYFPRWRKLLDAQKRHHAMVTALIDARRRRREEVVSGFDRAAEHPCYVDTLLKLGLGDNEMVSLCWEFMNAASKTTTTALEWIMARLVLHQDIQQKLWDDMVRRSAGGNCSDRPFVEAVVLEALRRHPPAHYLLAHTTDKDVAIDGYVIHKGSVVNYCVAEIGRDAKLWTHPDVFRPERFLEGGEGTGAVVPGLSCRSQEPTATMKMMPFGAGRRACPGAAVAVKVLQAFTEDLIRRFKWIPVVNGQGVEPAVNMAEKAGLVTEMWMPLRARLVRRHHEFSSDKQHSV >Et_1A_005215.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:33474921:33475163:-1 gene:Et_1A_005215 transcript:Et_1A_005215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLWPATLDVHRRATDRTLRSSSILSVPLISSRRLGSQSASIRLVLSSTDDTQLTMFMSSRKEGSTALFPVSISRSTTP >Et_1A_004892.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14644956:14645150:-1 gene:Et_1A_004892 transcript:Et_1A_004892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQASKIGLELTIQTPAFGYNQKQLECSNEPLITRNIGTSLPPSHGFSMSQTCYQAGNQEQKG >Et_2A_016428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24691075:24693600:1 gene:Et_2A_016428 transcript:Et_2A_016428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RLFANAISGCRWICDVRGPRTVQLLVDFFKVLAITSEVELFTTSDQFRWKWTADGRFTVSRHLGLQHLAPNIDDPPFVEWWLSSTTGRFGSGDLRDRIPLGVGEIFQALDAPSA >Et_4A_034822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6945718:6950669:1 gene:Et_4A_034822 transcript:Et_4A_034822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWPSSGMTVIGSDALCCVRKLVSRGGQPQRRVLTVPGLDSYGDSFGLPDPDCFVVAPALSYIFVAPLWRIVLPLEVYAACHLDVKILGPVRRVWAYESYTSVMDRRSWPWKKKSSDKSSNTDVSQNPNPAEQEDKAPKFVQISPETYAQLTESEENVKVLDEKLKVLNEKLAAAESEITTKDALVKQHAKVAEEAVSGWEKAEAEASALKVQLETVTLSKLAAEERGAHLDGALKECMKQVRMVKEEGEQKLHDVVFAKTKQWEKIKSELETKILEFEQELIRAGAENDALTRSLQERADLLMKIDEEKAQAEAEIEVLKSTIQSGEREINTLKYELHVACKELEIRTEEKNMSGRSADVATKQHQEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESMGREYGDTRVRRSPAKNSSFHRPMSPMSPVPDYAIENLQHLQRENEFLTARLLTMEEETKMLKEALTKRNSELQTSRSMYAKTAGKLRSLEVQMLTGNQHKSPSTPNMDIQFDGALSQNGSNPPSMTSMSEDGVDDEGSCTESWANTLVSELSHFKKEKTTKSTVTEGSNRLELMDDFLEMEKLACLTSGANGNDSTIDKMKIDEVGAALSGTTEKDGVKDLQSPSPMSGTPSSKQQLSDKSPLSKLQSRISSLLDSESQESNVGKMLDSIRNILKDIEDEADSMNANGTHHSDTVEVSDTQSLGKPNGGLSSASNYVMDQELMSAILKIQDFVKLLDHEVSKFQGQSSEYNGLYDKIQKFSYLVEKGLSNENGINDIVMALSLVLYETGQIKFTILSDNNNEAESNNLDCIDKVTLLENKVQPKPLKDNISGLCQLLPRSSSDPEFEGPADAGYDLKTTVKICSPEEYEQLTSEKTHLEAELFKCNEIIEETKVKLSDMEKSLEDLTSKLADSEKSNSLSETQLRCMAESYKSLESRKVELEKEIEVLHSRIDTLTAELTDERQSHQEDLAKYKDLEEKMERYETERILMDEDSDTKAKEKDIAAAAEKLAECQETILILGRQLQAMRPPAESLGSSPNRQRMEDFLQDAVGTTAQEYPQKSSGQPDTDQEMDGTGNKSPVNGYNTHMNPSDVDGSPFLSPNGSKRPKHRSRSSSSSSFANQMPEKQSRGFSRFFTKGKE >Et_7A_051076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14131968:14133842:-1 gene:Et_7A_051076 transcript:Et_7A_051076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAVRRTLEPTSSAAEITGSTPPRLHFYDPFVLSGVSLEAAEHGRLLCSFVVTPRIASPAGYLRSGVTATLTDQLGSAVFFCSGLASSGVSLEINVSYVDAAAIGEEIEVEGKLLRAGKSVGVVSVDFRKKKTGKLIAQGRHTKYLAASSRL >Et_2B_022108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9265274:9278132:1 gene:Et_2B_022108 transcript:Et_2B_022108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLPLNGAPQFRFLPTEVAEMEATARAHTGSIFPGSDVIDALAARFSASPDRAGKVTILPKQESEELAFYCEASVEGIERKRHDSTECDCKFLVRYEHDKRMAPAFRFLMAEVAEVEEIAIQLNNAATPDRTVVQALADKFNASAARSGDNIAVKPGQVLTWFKNRRRRYYPASPTAPSLTTPSEAATQEQAGALVRRPSPAAQDTAGSSSGNNHMESGQVQFEVKSAKDGSKGSHFWLWNRRRRMGEVYLYNCAPMYQESKTQSLYFDAHITGIQRRRHDSRGCRCRFLVRYDHDHSEEIVRLRQLCRRPETDYRIQALHAAIGDHSVSEDTNLGTGGSNHIN >Et_7A_051041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13899042:13900934:-1 gene:Et_7A_051041 transcript:Et_7A_051041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFCAGPAASAAANPSTAGPRLPALAPPAVLPARWRLSRPAPALLSLRRRSAQLRPLRVASEAGVDPKVVNGEDFPPMKDLIQLYKKALLDGNEEILDQVEKAITAVEKEKSTAASQFESMTAEISSGKERFLRLNADLENFRKQTEKDRAKFTSNIQVEVVQSLLPLVDSFEKLNLENNPETEKEQKISTSYQGIYKQLVETLRYLGVGVVETVGKPFDPSVHEAIAREESSKFKAGIVSREIRRGFLLRERLLRPATVKVSTGSGKQSTSPVEEAKDAAV >Et_3A_025729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32186756:32191318:-1 gene:Et_3A_025729 transcript:Et_3A_025729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFAARIPALGRAALRARWVPAALFSSGIVAGDKPILVRDFVRSALYDPNHGYFSKRAGPVGVLDSSIRFNQLEGRSAYMQHLDNLYKKHDIAWFTPVELFKPWYAYAIAASILRTANLSVPLKIYEIGGGSGTCAKCILDYMMLHAPPKVYNDMKYISVEISSSLAEKQLETVGEVRSHLSKFIVEHRDATNRSGWGRKDPRPCWVLMLEVLDNLPHDLVYSKDQVSPWMEVWIEKINASSQVSEVYKPLQDPLISRCVEIVGLNEEKTSVSEKLSFAAKRVLSKVSPKPRRAWLPTGCLQLMDTLHQALPSMSLIASDFSYLPDVSIPGDRAPLVSSKKDGKTSDHCNYLDAQGDADIFFPTDFQLLEQIDHNCSGFSKEQKNPGAFKPVKKRRTIILDSAAFMEEFGMPVKTRTKDGYNPLLDDFKNTKFYLSVPTHNRK >Et_2A_015151.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33048720:33048857:-1 gene:Et_2A_015151 transcript:Et_2A_015151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDRGEGDGHVFGVDLSARKVKSCSGRYKGLNHVFGVDVMPSAR >Et_8A_056213.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:15991218:15991382:1 gene:Et_8A_056213 transcript:Et_8A_056213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNERPEPWCYQTKDDCWNNCPHCHPRCPPPHSLQSATDTRLNPTILQEQNASRS >Et_7A_051442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18684412:18687070:1 gene:Et_7A_051442 transcript:Et_7A_051442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEQPRPVRFGILGCAGIARKVARAIQLAPGATVAAVGSRSEAKARRFAAENGLDAGVRVHGSYEALLDDPDVDAVYLPLPTSIHVRWATAAAGRGKHVLLEKPAALCGARLDAILAAAEAAGVQFMDSTMMVHHARTHRMREFLADKDTIGDIRMINSMLSFYADEDFLQNDIRVKPDLDALGALGDLGWYCIRAILWAVDYELPKTLTALPEPLTNQAGVLLACGAAMHWEDGKVATFTCSFLASNAMDVTVVGTKGSLRLTDFVIPYEENSAAFSVASKPSVKDLAVGWGPKPSQQVVATDLPQEALMVQEFARLVRNIRDAGADPEGIWPAITRKTQVVVDAVMQSIEKGCQPQVGEKIGL >Et_7B_053207.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:1188570:1190560:1 gene:Et_7B_053207 transcript:Et_7B_053207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKHTIMPSERQNADKFEPRFRLSVATPMMRRGGRRQCGRAKAMSMMRAVSWQVAWDVRDEGAVLRRVGVEERALERGALVGEPQDAVASHVQHPAPHQLHAVVPHRVEVVLRQRQVGELPGLGQQAEHVGDVAVQLVPRQVDLLGDGQAPERQRDGPRDLVPAGVEQGQPVHEPDLVGEAPGQVVVEEQHLRQVPRRVEDAPRDRAREPVVRHDQVLRRRAPERVRDPAGEAVVVEEQRLHADAEHGRRHGPREPVEAEVEEEEPAQGDHRVRERAGEVVVGEVELVEEGQGGEVRDGAREVVGVGVEEGQVRERVHEPGQRRRAQAEPVEVDGRHRQRRVGGRRVVAVEPLVHAAAGEAVAQLGTHPRRRHAQRVARHRALERLDHRVQRRQLLVQKLPRRRRRTAPTPFQSIRWRRCRTAATGSGDVRAGSPSRRRILLAAGPDQLSAVVRAAENTVRPGEHKHNYDHSVSSHSDRHCCAGHTCSGVCSLSRPNGMVVAAMVGKMLRDIRRSRG >Et_8A_057153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21653030:21654497:1 gene:Et_8A_057153 transcript:Et_8A_057153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPACARFLFPVVLLILAAVFSAASDGEGMECGAAALQEFKRALLDVDGRLSSWGTGADPCGLRGVLSAAVCALPHLAVLNVSKNALSGHIPAGLAACRALEVLDLSTNALHGAVPPELCALPVLRRLFLAENRLSGEIPAAIGNLTALEELVIHDNYLTGTIPTSIRSLQRLRAIRSGYNDLTGSIPVELADCASLEMLELAANNISGTLPRELCRLKNLTVLILLRNALSGEVPPELGKLHQPPDARSVRQRVHRWSPQGAWGAAVAREPAELTGDELGRLPALRLLNLYKNHLQGRIPPELGNLHSLLEMVLAENNLTGVIPAELGRIPALWRLNLFKNRLQGNIPPELGQLRFIRNFDLSHNNLRGMVPLWVQNLSRLEFLYLGGNKLHGTVPTSLGTNGSSLLGLSLYGNQLTGTIPPNLCNNKKLCILNLASNNLVGDIPSGVMGCTTLQHLYLESNMLTGSLLGQ >Et_7B_053861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12857940:12861587:-1 gene:Et_7B_053861 transcript:Et_7B_053861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAHTLGLRLPPPLSSSPRRRVRRQPRPVVRAAAAAATQAAPRRETDPSKRVVITGMGLVSVFGSEVGAFYDRLLAGESGVGPIDRFDAGSFPTRFAAQIRGFTSEGYIDGKNDRRLDDCLRYCIVSGRKALENAGLGKGSDAHAKLDKVRAGVLVGTGMGGLTVFSDGVQNLIEKGHRKISPFFIPYAITNMGSALLAMDVGFMGPNYSISTACATSNYCFYAAANHIRRGEADIIVAGGTEAAIIPIGLGGFVACRALSQRNDDPTTASRPWDKERDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCISKSLEDAGVAPEEVNYINAHATSTLAGDLAEVRAIKQVFSNTSEIKINSTKSMIGHCLGAAGGLEAIATVQAITTGWVHPTINQFNPEPEVEFDTVANEKKQHEVNVAISNSFGFGGHNSVVVFAPFKP >Et_7B_055624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17918563:17921583:-1 gene:Et_7B_055624 transcript:Et_7B_055624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAFNAFKSRVPVAWSPRLYITLVRGLPGTRRLHRRTLEAMRLRRCHRTVEHRTTPSLLGMLTQVKRLVVVETEEMYNARRKAEDERCAPRPPLVVSHHPPAPTPKPAAAGEAATASQPTMP >Et_9B_064794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18633816:18637779:-1 gene:Et_9B_064794 transcript:Et_9B_064794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRLLLLLALAAVSAAEPPQGERSALQAFLAGTPHERALGWNASSSACAWTGVTCDGANATVVALRLPGVGLIGRVPAGTLGRLQNLQVISLRSNRLSGSIPSDLFSLPRLRSLYLQGNLLTGAVPAAVGRLAALEHLALSHNNLSGAVPFALNNLTELRSLRLEGNRLEGSLPSISIRRLEVFNVSYNDLNGSIPASLARFPPDSFAGNLQLCGAPLDRPCQPFFPSPAPSPGGGGGAVVPAASKKKKKPSGAAVIAIAVGAGAAALLALVLLVLCAVRHHRRGSNAEEGSKAAATAGTRGGFTPSTASGEMGGGGGEYTSSSKEISGAVAAAGAADRTSRLVFVGRRGGAAWQQGFDLEDLLRASAEVLGKGGLGTSYKATLEEGTTVVVKRLRDVAAARREFAACVEAAGAVEHRNLAPLRGYYYAKDEKLLVGDYLPAGSLSARLHGNRGTGRTPMDWEARTRAALCAARGVAHLHTAHSLPHGNIKSSNLLLRPDPDAAALSDYGLNQLFAAPPARPGAAGGGGYRAPELLDARRPTFKSDVHSLGVLFLELLTGRSPAAHHGDGAVELPRWVQSVVREEWTAEVFDAELVRTGGGGAEEEMVALLQVAMACVATAPDARPDAADVVRMIEEIGAGHGRATTEESEGTRGVSEEERSRGTPPAGATP >Et_1A_007856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38944652:38945836:-1 gene:Et_1A_007856 transcript:Et_1A_007856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRTLKDRPGDLVWVQEKGEIFFFYRPKVDKDEAHSPDDVQRLYIVLRPESAADGPVEEKQAPDSGKEGRKHGVHGQEGHEGGHGEEEVNVEQQPLLRLVVMGKKSLPDPAEQRGRPFWGYVELVTTKLDDIKDALKEEEYSTATRGQRRRPAARALGEGVYRIVRHGGQSGGGRRRAPAPHTHLVYKLELPLRGAGEPQDALHVEPEASFLVQVKNPDPPKNSSGGRGGGFRGLQSKRRAAFPARLQGAFGSRRYAAADPPDLLNYEGCELLLIAASDDVEEELGLDLEGEVEEEVGDEGAARCSDLVKMFGEVADVKPLLSGTWD >Et_10B_003448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19944530:19947413:1 gene:Et_10B_003448 transcript:Et_10B_003448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSSSSRTHPPSSIAHDHDDDEFEDDFDEDDEEDDDGEPSSASPYDEARLEALLRRLTAEDVRIRVHEVAIRGCSRTRRAAVEAAVGPDIARAATVRDLVRSAAAARDRLRRLGAFDAVSITLDAAPPGIPGSGGSVVVLVDVSEARGRAAGEFGVFGNTQTRSCSLEGSLKLKNLFGYCETWDASGALQLDQTTELSAGVEIPRIGALPTPLITRISFLSEDWLKSSLKEHLMSISVGLLSTTNHNLAYNLTWRTLTDLAHMSSDSIHEQLGQRLMSSIKYTYKVDQRDSSIRPTRGFAFLSSSQVGGLTPGSKYPRFLRQEFDFRVALPLGVMNAALNTGVAAGVIHQLAKEPTESISQLPERFYLGGNRSLVCRLGGPSSLSGFKSRGLGETDLGTSGSDNSISGASTSDKNGLGGDIAVTAFADLSFDIPLKPLRDLGIHGHAFVSAGNLARLTECDLRKFSLANFLQTFRSSVGFGVIVPTKLFRIEMNYCHILKQFDHDKGKTGIQFNFSAP >Et_3B_029042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21625422:21630705:1 gene:Et_3B_029042 transcript:Et_3B_029042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFSPLPPCSGGRSRLRSCGRPGLPFAVELEDTRSSPGFFCGDLWCGLMEPKGELGQKPMEEAMDSLKIDASTKANNVNLPSKKDTSSPDAISCISSGDAASTVKESEMNQEASAGDQGMYYYGYYYPGSYGGWDENGYFVGYNGLEMQSAVVQADNGAYLCYVPGYENGYASYSPVVPGAFTGVEGQYVSKEQYYSTAIPVQDPSTTGMFAQPMAYRSELVPAYSWEPSFVLLDGVQGHPVGAHQTNYPARSKYSSNKHAVASSKATRSAKVTQDNVKAPSSALDTAPYSASNNPSSKGTNKASGASITKGYIPSSKFVMHSNQGKNSVYQSKGINLKESGKNWNSSDKLKARSKLNGHGDCDISNENHTDNSKYSSSPRANLVGLSSVVDANDCTASPVTISINAYNLPDFATKYEQALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDNAYRHAQERMAERGTKCPVFLFFSVNASGQFCGVAEMIGPVDFNKNMNFWQQDKWNGFFPVKWHIIKDVPNPQFRHIILENNENKPVTNSRDTQEIKFPQGTEMLNIFKNFSCKTSILDDFDFYENRQKVMQDRRGKPLTAPVDRQLPKCEKPSEIKKQTQCTSATELDRAKSDEEQNNNVTVVLDNIARINEEQSNNATTVLDAARSNEQQNKVATEG >Et_8B_060127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6100378:6102877:-1 gene:Et_8B_060127 transcript:Et_8B_060127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALVDLLMGILRQQTLGGAARDLAVLAAPLWVAALVGLLIGWAWRPRWAGAVVGEHGQLAAVGPTAAQPVLARTAAPAEVSCRNTAAVVPRPEMVVSPEEEQLAVNTGDLMHLWRLVEEKDSGPSWIHMMERTLPTFRYQAWRRDPPNGPPQYRSSTIFEDASPEVVRDFFWDDDFRIKNTWDDMLLQHETLEEDTKTGTMVVRWVRKFPFFCSDREYIIGRRIWASGKTFYCVTKSVPRPSVPRHSKPRRVDLYYSSWCIRPVESRKGDGSMTACEVLLFHHEDMGIPWEIAKLGVRQGMWGCVKRIEPGLRAFQIARTAGEPLSKCAAMAHVTTKFNADELNTEDNVEASPSNNNTEVEKPKHWTCNIPKVLMISGAVALACTFDRGLLTKAVIFGTARRFAGPGRR >Et_1A_008109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4407904:4410806:-1 gene:Et_1A_008109 transcript:Et_1A_008109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPDLNPNKVHQTSFVTSSRLATEFTKNRYKDDKLRVAAAMCLPEYGTVHGFLGLYDDDIAIVTSLGLLDVHPIDLCNPMDLDLPARDVCDDDDDDGILAFGRAFKSGSLLATEGSLHREHPCTWVSGTEAVLGGPLIGKGARFLGMNHKYHGDAEVTFLTQEVLRARLEHFQILNPSELHFRRYSLPKDVKRIEPSGLLNAINWIKSCGYPMPPPLVLELNGELLNRFEEYFGDVIAWKGYPFGSPPSSSEHGVWKRLPKKVVTAVSRRVIKVSLASNAVSRTLEWYDLNSNIAIVSVKKILPATRPEDIFNKVKESSGRVVAIGRHPRHGLLMASFEVKYRKKDVKLDCKDLLLSTCKIKKAGIGGPLVSLDGSFVGMNFYDGREVTPFLPQSKIIEVLWRVNDNWRLPSQWYEIPELPGRLLD >Et_7B_054708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3756741:3764344:-1 gene:Et_7B_054708 transcript:Et_7B_054708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKAAEVSTPETAELKLPDESPVHGDEKQNLLNGDSNLQVKDAHNDEDDGAGSDGFELIDVKENFDPAKVEEVATVPSSTTDDVSSVTKESPVEEEKITAVEERALASDSRHPESSMLNQQTKQLEELIRRIEELESEKDRLTNDLTEAENKQRLQYSSLQEAERSLTIKDKELADATQSLRELGSELESSKKRIEEIEAELDSSADKLRKLEELKDERSLHAAQEAKRASELDKMLEMAQMNMNEMEKHISSLQEEIKGHQDKVTDHQQAEESLKSTISELKAVQEALELSKSQVADLGQKLASQDADISKLTEELNLHCSSEESLKEQTLKLQNELTTVHEELQAKLLSLHDMETKLDEQTQERQTSEATLEKQNEQILDLQAGLDKLRDENEKLQGTVADLNSKFTEKDSMLSQAEDELAKAQMLLSEALSHKEELVANLKSLNEQHEESKHSSENAKQKILELEAQIQVMHAAEEAIKSQMKEAEASVEAAEKKSTDLEQQLGDIESKLVASSEEIELLKERVQQEAALSAEKGTQLEESVASIEGYKEKINELQSSLDASISKSQLLEQEVKDLTGKCSEHEEQAHSVRQRSLELEDLLHTSKSDAQGAYSRTQELEQELSKTYEKLKGVEEELDQYRNKVSQLSDDLEAYQTKAASLEAVVEAASGKEKELMESLRQTADEKKKAEELIAEYEAKLQEYLKEKQSLEESLQSHESKVLDVQEELVKLREEKENHESTIATLNLQLSEKTDMHNQLESQLSQASDDHSKTRSLLAEAQSNKEDLEQNLRSLNDMHIASKTAAESATQRIAELETQIQELSASEQRLKLQLTEFESKLASAEKRSTDLEQELKDATAECSDFHVKIDELSGELEAYKKKSNDLETSLAEARQTEVELSEKLAQANEEKENFEELSKKTTIKHLEAEKQVETLQGELESARGKLEEVENELQSLGIRESSVLEKLKSAEEQLEHKGRALEHATSKKIDLEALYQSLLEDTETKLQQAADNLTQKESECQQLSEKLKLVEEQATSYQSKATAATEEVESMKVELEAFEMEISSHEATIEELKSKVTHAESKAEQALADLAAMSGTNQALKEELDAKLAMLHEVQEQLNSTQAEKEEVAAKLAELERTVEHLTEVHSRGLELQSAAESRNAEIESQMREALETVGQKESEVKGLNEKLIALESEIESLTHVNEALKQEINAKMVMVDELKEQCSSLSSEKEEVAAKLVVHERTMAHLTEEHSRGLELRSAVESRNAEIESQLRQVLQKITEKETEVTNLTEKLALLESENEKLAETNEALQREVDAKLAMFDELKERFDSTHAEKEEAAEKISVHERTISHLTEVHTRSLELHSAAESKNEEIEAQLREATEKIAQKEAEVKDLSEKLDALEIELGYYEEQATEAATNEENHKVKYDEAVQKIKSLEEQLEETQRKVENFLTEKEKLSLANSSLNVELEEHQNKLNELQLALAAVVAEKEGAYEEIHSLRKTLDGMIQRKEELEIQVSSTSEEHEELKRNYQNTLEEKQLLNDKYETAKKELEEAIAKLEEQINVDKSEKELHISKLERQITLSEIKYMEEIQSMQVETTEKDEALSTKMQEHANLLHEKDELEKQLLEVRKELDDAYHTIANQEEQASVREIKWDAYKKYSEDQLEAEQQRASELELQVSALKQQLQEAEIHYKQKEEQVAIRDVQWEANQNHSLNELQAQRQYGTDLEKQIQALTQKLQLAEAYHKQKVTEEKEKFAKVTTELNKLTQKLSKSDEMEKKVQDLEKKLQLAYSKSEEQTKDAVESRSREFSLDSSTLSNKQHDRSKAPDTASPNPNQLEVREPSGIMAFKFILGVALLSVLIG >Et_1B_012749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34891167:34892998:1 gene:Et_1B_012749 transcript:Et_1B_012749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SEDFQPVAPVVKVEPLKNQWADEDVEEDDVKESWEEEEEEEKPKPPPVEKAAAKPKAPAKKGKEQASTRVEEPDEPPLSPTSEKIRQQRLVEEADFKSTTELFAKKGGDLKSLDTFIPKSESDFAEYAELIANKLRPYEKSFHYMGLLKNVMRLSMTSLKGADAKEISSSVTAIANEKIKAEKEAAAGKKKQGAKKKQLHIEKADDDFIPGRGGGFDDPDDYDFM >Et_1A_009576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8442338:8445986:1 gene:Et_1A_009576 transcript:Et_1A_009576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPAAAAVADHESFPATIPADLLAAADCGGVHGHSLFFDALVQLIPPRFYLQADDEDRPWYQGLSKAAKAAMKAQSRANIKAARRARLDPSAPPSSTLDLLKKSVADQAAEEEEEEDEKKSEGGNEKSGDEASSDDEEDGEMEEDEDGEDEEEGMQVAPGSVVSEDRSVTYEELRERLHRRIAELRGNRCTRPEFLNKPKKEKGKKAKGKNAKGKDEGKKRKRADGADDDSKDGKKAKKEAEEKPDIMYANVFVDPKEARCRKKRRVKNKKKELEQAKRMQEAKKDPEKANKIAWDTARRRAAGEKVHDDPKLIKESLKKDQKRQQKHAAQWKDRQKTVDKQRQEKQKARTENIRERAHQKKMRKIEKREKKLMRPGFEGRKDGYVNDSRVHPNPNVYFYREASTVLQSRECAQGKPPSQIKLNEDDNIQLSRTLRHFLTSGCFKLRGFIIGRR >Et_6B_049745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9967911:9968421:-1 gene:Et_6B_049745 transcript:Et_6B_049745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSPRPPIPLLLLLLSVLAFSTFVAASAQQDANSSSSNVTTAAAASETAWTPRLRKTFVDGGLTAGRWRGRRLVGRFQVCAVCTCCGGPHGMCIPAPCCYAINCNIPNRPFGVCSFTPRTCNCLSCHL >Et_1A_008779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12706347:12709837:-1 gene:Et_1A_008779 transcript:Et_1A_008779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCFDSPADEQLNPKLGGGAGAGGGYGGSSSAAAAYGAGAGGRHGDRGYPDLQQAAMVAPPVEKLSAGAFRGLPGWVDPGARLLVGGIGSGGAAEKARVKSNALAREASAPKDANGNVISAQTFTFRELATATRNFRPECFLGEGGFGRVYKGRLESTGQVVAIKQLNRDGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGEQRLLVYEYMPFGSLEDHLHDLPPDKEALDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLAEGFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRRAIDSTRPHGEQNLVSWARPLFNDRRKLPKMADPRLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQSYDPNAARKPVGDPRSKAGENGRAVSRNDETGSSGHKSPGKEREDSPRGLPGIRNKDFDRERMVAEAKMWGDRERMVAEAKMWGDRERMVAEAKMWGENWRDKRRAVENGQGSLDSPTETS >Et_2B_019543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1057294:1058165:1 gene:Et_2B_019543 transcript:Et_2B_019543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LMAEGKGKRGSRVYLTWTSEMDTALLAVLVEHHNNGDHAQNGWKPHVYNAAIKHVFDKCSVHYEVISKILSQSGFGWDWDNNKLQIDSEEVWNKYVEANKGAAYYKTKVVKNWDAISTIYSQDHANGEGARTGAENVEEEGEQPSPDLPQKRQRTGEAILCMLGDMKTSFGNDLKSTDPIPLLQVTPPAEILAALDMIPDLARSNMLRAYRKLILSERLFQALMQLPMELRKEWLLMLDEKNGA >Et_3A_025049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26385478:26390151:-1 gene:Et_3A_025049 transcript:Et_3A_025049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEPGGSGPPQRPPMPAVSTQPPIKKLVRQLDFNSAAMGGNPAMAAAAAAVVSRALQPRAVHVGFPHPQHARAAVPLGVPHHFQHRGLPVLRPHQVVGHVPLPRQAMAVPIPQLRPVPVQPVPRPPVAIPLKPESPQSRAKLYEGKDGTPTKKKCCNCRNSRCLKLYCECFASGAYCDGCNCTNCFNDPDNDAARREAIDATLERNPDAFRPKIGSSPHANRNNEASGDLPLVGKHNKGCHCKKSGCLKKYCECFQANILCSDNCKCMDCKNFEGSEERKALFQGDHKNAIHMQQAANAAVNGAIGVTGFPSPSTSRKRKHIDPSVDHSVKEHVANRNYHLPQKNAVPDGSLPTNQLVHPRTLGPFKVTYRPLLADIVQAEDIKELCKLLVVVSGEAAKAHAGQKTQEEKAAKKEDDKAGCQTSTNHDRIENIPDQGQKTSISDRSSGGTHMDKALEEESRHNCADDKKSDRPMSPGTLALMCDEQDTMFTTSQNAGSQETVAISQNRSALYAEQERCVLTEFRDCLRKLVNGVSRVQYSKVAVPTVVKTFPQSSSSQPVAGNPVSGHLDKNLKPDNS >Et_1B_011904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27009358:27009842:-1 gene:Et_1B_011904 transcript:Et_1B_011904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGADGRQVLAAAVQSVAALYCTTRAAGRCAAASGTEPATRRSMQHLSAKGHALGSQARRSW >Et_5A_040438.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:22349908:22350063:-1 gene:Et_5A_040438 transcript:Et_5A_040438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMNWRSCLLLVPEKSARLPLLLMFARMKEYVIISQKFGSCVIKIVHMIS >Et_1A_004542.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14540770:14541942:-1 gene:Et_1A_004542 transcript:Et_1A_004542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYPALAAAAVVALVFGHDDLLIEILLRLASPTWLVRAAAVCKRWLRIASDPAFLRRFRALHPPRILALRVPGSGWLPVPPPRDLAGAALRALATLYCSDVCDCRNGRLLIKVKYDDPTRYPGYAIRSLLRHHAPDVLLPEPPRRGRDLKGLLRSGNCESRMLLLLENDGGAASCVCLDVTYNRAQFCVQFSILKSGVWGVQRGALTELPQCIQLTMRAHELLVGSKFYVTTSLGYILVFDLATVGFSKVQLPEEVGYSTTLKLSRAQQSGLYLIGATGFQLRVWHGDGDGQWILVDSISVREACAHLNVERWEPDDGLTAPVLVIAAGDNAEFVILELVASQVICCMQLSNKVAEKVANGELHTLSVRPIAMTWPPIFPVVDEANQET >Et_3A_024902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25102994:25104729:-1 gene:Et_3A_024902 transcript:Et_3A_024902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSHCRKVKYKTMRASLVIYTAVKRGEDQGTSEQHTCKAAICNDEPWVFLVPLLDIWMPLYASMRAIESPSSLDDQQWLTYWVLYSLITLFELSCWKVLQWIPLWPYMKLLFCCWLVLPIFNGAAYIYETHVRRYFKIGNYVSPVYNERQRRVLQMMSLDARKSVERFIETHGPDALDKIIRAAEEEAKRT >Et_10A_000993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:186664:196640:-1 gene:Et_10A_000993 transcript:Et_10A_000993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSVDQVLDAAAAGVHYSALRLEELNLQEQPTTSGLENGHQEPFVIGVAGGASSGKSTVCKMIIDQLRDQRVVVVTQESFYYGLSDDELFHVHDYNFDHPDAFDTELLLSCMQNLKHGQAVDIPNYNFKTYKSTPNARKVNPSDVIILEGILVFHDSRLRDLMNMKIFVDTDADVRLTRRIRRDTIEKGRDIKAVLDQIRGMHTIIRDAATATHDFIFYADRLIRLVVEHGLGHLPFQEKQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYQNLPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQEANIIFLNLISAPQGVHVVSKRFPRVKIVTSEIEFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPFFCDDKNRVSLQITRLGGHITRYGYS >Et_2B_020296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18796141:18810613:1 gene:Et_2B_020296 transcript:Et_2B_020296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGAGFLLIGLWQLFSHIRLFLLRPSSYSAPVWFPVRGVRYLELILIIIGTVMSILMELVIGPAKHQPFDDDGTIPSDHLHNFEHASISLALLLFAAITIHLDRVRAPMRDAVSQLVAAAAFAQQLLIFHLHSADHMGVEGQFHWLLQAVIAVTLATTLLGIPCPRSLAVSLVRSASLVFQGVWFVVMGVMLWTPALIPKGCFLNYEEGHDVVRCRTDEALDRAKSLVNLQFSWYLTGTVVFVVVFYLQMAKLYPEEPRYLPLVKGGSGGGSDSDGRFSIGDDHDDEDDIEAAKSGFGHVVSGTKPIEIESISALGFRTLPLQTQRHSAPVMGTLVGHVAPGTLFLLIGLWQLFSHIRLFLLRPSSYSARVWFPVPGVRHLELIVIIIGSAKQQPFDDDGGAMIPSVHLHNFEHASISLAWLVFAVVTIQMDRVRAPMRDAVSQLVAAAACAQQLLVFHFHSADQMGVEGQFHCLLQIVIAVTLITTLLLVPYPRSITSSLVRSASLVLQGVWFVVMGVMLWTPSLVSKGCFLNVEEGLEVVRCHTDEALDRAKSLVNLQFSWYLTGTVLFVVVFYLRLVKLCSEEPRYVPLVKGRDSSRFSIGNEDEDGLEVGKGGLGHAVELGEVIVPKHEALTNGRSDRQPAAQLQPPTHRPAGDRAMGGTPRNSIGHILPGAGFVAVGLWHLFNHMRLFSLRPDSYVAPVWFPAPRVRHLELILVIAGSAVEFAMEMFVDHSTLLPFDADGSIPSDRLHNHEHALICAALLVYAAAALHMDRVRARGRRALSLLLVAAVFAQELLGHFHWLLQLVVAACLATALLGVAFPRSFAVSVARSACVAFHGVWLVVIGAVVWVPSRVPKGCELVREDGRDTVRCRGKASLHRAKAIANLQFGWCLSLMTVFVVVLYLCVCKMYPVETAYVRVPVAGEEEEQRLEEDKCGVVRGGDGLHGFTALEIE >Et_2A_015986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:264530:279311:1 gene:Et_2A_015986 transcript:Et_2A_015986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMESLAQLEVLCEKLYNSRDSAERAHAESTLKCFSENSEYISQCQYILDNASTPYALMLASSSLLKQVSDRSLSLQLRLDIRNYVINYLAARGPKLQNFVIVSLIQLVCRITKFGWFDDDRFRETVKEATDFLSLASQDHYFIGLKILNSLVMEMNQANTAMPMTLHRKTASSFKDQFLLQIFQISLTSLNQLKSEAPDDFRHVPLDLALKCLSFDFVGSPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDIRVSKEALECLVRLASVRRSIFTDDPARSQFLSHLMSGTKEILLTGQGLAEHDNYHEFCRLLGRFKVNFQLSELASVEFYGEWIGLVAEFTTRSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGETPSLLDETVPKITEGFITSRINSVQAILADNSLENPLDSVEVLQDQLEFLPYLCRFKYQSSSLYIINIVEPLLQAYTERSRLPAPGDADELSVIEGQIAWMVHIIAAILKIRQTVGCSQESQELIDAELSARVLQLISIADTGLLAQRYQELSKQRLDRAILIFVQNFRRSYVGDQAMHSSKLYARLSELLGLNDHLVLLNVIVGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESVKFIIANHSQENFPFLTEYSCSRSRTTFYYILGSLVFMEESPVKFRTFMEPLQRVSLTLEATADAAFRTDVAKRAFIGWMRDLRGIAMATNSRRTYGLLFDWLYPSRMQLLLRAISLWTAEPEVTTPLLKFMCEFVLNKAQRLTFDSSSPNGILLFREVSKIIVAYGSRILLLPNGTDIYGSKYKGIWISLTVLSRALCGNYVNFGVFELYGDRALADALDISLKMTLSVPLSDILAFRKLSKAYFGYMEVLFNNHISFVLNLDTNTFVHIVSSLESGLKGLDTAISTQCASAIDSLAAFYFNNVVAGDGPPSPASLNLTRHIGEFPSLFPQILKTLFEIILFEDAGNQWSLSRPILSLIMTSEQMFSDLRAHILASQPVDQQQRLSQCFDKLMADVNRNLEPKNRDRFTQNLTAFRHDFRMKHHEY >Et_7A_053103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6508072:6510566:-1 gene:Et_7A_053103 transcript:Et_7A_053103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAALSISGANAFGCKSNKSIRNRNTLRLAFPSSSEKANPWAKLTVCRSQSEDSKGGGGFLTGFLIGGAIFGTLGYVFAPQKTRQTLGDKISQLNLAIDKAATRLKRVTGSVENEALSDETEIGASSVNGNEHVVENLNEHGFVQGESTT >Et_1A_007672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37017808:37019967:-1 gene:Et_1A_007672 transcript:Et_1A_007672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNITACITLMALICAVPVIASGIWFASAQGEECARLARWPVAILGGLLLLAALAGFFGAYWNRRRLLAFYLFAMAALIVLLIVLLVFAFAVTRGSGAYPVLGRAYDDYHLDGFSMWLRGYVSDDPGRWEKIKACLAVSNTCKKLALRGTGLAADMFYQSHLSPLQSGCCKPPSVCGFGYVSPTVWTNPSHPAADPDCGLWSNDPGQLCYECESCKAGLLEALRDQWHKANIALVVATVALIFLYLVGCSAYKNAQAESLFRRYKW >Et_4A_032975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16264135:16264457:1 gene:Et_4A_032975 transcript:Et_4A_032975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATDAGFSKIELDTDATNLKTVLTASMLDHSPNGVLSSWFSKKIGASLGPGAVHIWPDCNPAAVNHLVATDQALASS >Et_7B_055470.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:11253885:11254793:-1 gene:Et_7B_055470 transcript:Et_7B_055470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTIEDLHADVLARALRRLDGRSLAAASCATAALRALAADPETWRALCLAGWPSLAGLDRRLLDAVPPRRLFADASPLPSADAAGAGELELPGMLVSAVDVYLGGAPLLSRVVETPAASSPWFLGSPFRVDAVERSRRPAPEEAAAPAPAELELSWVVVDPARGRAVNVSSRRAVAVDRHWYTGETLVRFAVVLGGCKFEATVACAELGGRVGEVSLAVEDADGAAVAGEGALRLLAAAMEAPRKGGMNEPEEAKRRYHEFVRRKKGRKESKARREALVDLCCSAASAVVVLTLIAAVALR >Et_4B_038711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4138314:4139285:-1 gene:Et_4B_038711 transcript:Et_4B_038711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMARSCLFVLSLAAALMPVARGAGSPALAPAPAGEDCMDALVSLAGCLSYVQEGSTVTTPDPTCCSGLKDVVRNEVACLCQAFQGGQDFGVTLNMTKALQLPAACNVKTPPFSKCNISLPGVPGAAPAPAPTSGAPFFGQSPSSTTPSASPAGTGSDFTTSSPASAPSPSRSDAVSFSSAPTQQIFFAAALIAATLLADRVL >Et_6A_046604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17992963:17996783:1 gene:Et_6A_046604 transcript:Et_6A_046604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWSCSWICALEVLSQLRKLFFSRQEKMAPPASSSPSSATMVCARQGRLKQRYDGCYRLVSGCIPYMLQEDDGESTCQDGLDRLQVLMISTPKRSDLIFPKGGWEDDESVDEAACREAFEEAGVKGIISATPLGEWIFKSKSKQNSCGLKGACKGFMFALQVTELLEIWPEQVTHGRRWVPVEEAYGLCRYDWMREALDKLKEQLLFEGNFRPTASLKLVDSSSICMVIPAVAEGAVALVKLKTGNDYYSLDPLATEPIDLQEQNQGQLWPSRCLQELHVFTPGHITGVIWPDQWEMSYLPLESISAIHAVLVHEFAFLCRCDWTPEVLTKLKRNLMFKSNFKALKSPEMLEKSNQFRVMSVVADLTPMEAVIRCANNLNFQEPVF >Et_7B_053410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16794043:16794300:1 gene:Et_7B_053410 transcript:Et_7B_053410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNECLLSKWIFKLERGDTDMCCKLLRNKNLGEKGLHQVKDLCAMGLSYSGEWKKDKVLARCLERDLPLQN >Et_9B_065823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13969540:13973374:-1 gene:Et_9B_065823 transcript:Et_9B_065823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLPAPTAAPAVYWRGLPPRRLPPATSRGPLAPRAAAAARSCHYRFRTDDDGVVDVSVARKDGDGGGYAVAVEVPPREEGAGLVLRAVESSSAAGEAVLPLAPAAGGVARLAAELSFPADAALAPFHVSFLLADAAGAGAEIRTHRGTSFRVPVGVGRGRPAPLGLSLADDGSANFAVYSKSAKAVALCLYGTGAGDEQPALEIELDPYIHRTGDVWHVSLESVEAHASYGFRCGLFGTDRPMLDPYAKVIGEIVPGTSVYDEGVTVPSMKCLASLTNAPSYNWGRDNHPRLPLEKLVVYRANVALFTKDKSSGLPDNVAGTFSGLAAKVGHFRRLGVNAVLLEPVFPFHQEKGPYFPYHFFSPMSMYGSECSSVSAIKSMKDMVKTMHRNGIEVLLEVVFTHTAEGGVECQMISIRGIDSSSYYFADGVVGCKASVLNCNHPVTQKLILDSLRHWVLDFHVDGFCFINAPFLVKGPGGEGLSRPPLLEAIAFDPVLANTKIIADPWSPLDISNVQFPFPHWKKWAEINTRFSIDVRKFLKGEALISDLATRLCGSGDLFLSRGPASCFNYVSRNSGLTLVDLVSFSSDELASEFSWSCGEEGPSENHAVLQTRLRQIRNFLFILFVSLGIPVLNMGDECGHSAAGSTSYKDRGPLNWKALKTTFVKEVTGFISFLTALRSRRGDIFQRREFLKLENIHWYGSDLSEPLWEDPSSSFLCMHTIAEMGENAPDTVKVQSTFTNAGPDKRMIEVALELHLLSPRFLIS >Et_7B_055710.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:2446478:2447806:1 gene:Et_7B_055710 transcript:Et_7B_055710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRHRRRLAIPFSTLHAPTSVPAPTPPSSLDAAAVLETLSLYANDWNRALEFFHWSASPDGPNLPPTAATLARTVDILGKHFEFSLATSLLLSHHDPARGDPAFLRPALRALLNRLAAANLVDEAVSAFDSTADSIGLRDEASFHLLVDALCDHRRIDEADNLCFGKDPPPFPLGTKIHNMLLRGWAKTRTWARLRQLWFDMDQRGVAKDLHSYSIYMDALAKSGKPWKAVKIFREMKQKRIPVDIVAYNTAIHAVGLAEGVDFAVRLYRQMMDAGCKPNTATFNIIAKLFCKDGRFKEGYAFVQQMNKVGCKPDVLTFHCFFQYLSRPQEVLGLFEKMLERGCKPRMDTYVMLIKRFGRWGFLRPVFTVWKAMEEQGLSPDAFAYNALIDALLQKGMIDLARKYDEEMLAKGLSPKPRKELGTKLPGAESDSDNVLNGVL >Et_8A_057225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22529011:22533661:1 gene:Et_8A_057225 transcript:Et_8A_057225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATARPAVVIDNGTGYSKLGFSGNSEPSFTLPTVVAVNESFLDQSELLSSANWLAQYNAGVMADLDFFIGDEALSRFRSSGLYSLKSPIHHGQVDDWDTMERFWQQCMFNYLRCNPEEHYFLLTDSPVSTPESRECAGEIMFETFNVPGLYISVQSVLSLSAGYAYLKSLSDDNSDSTSDMTGVVVDIGDGAPHVVPVVNGYVIGSSIKSFPLSGSDITQFVSQLLQERGELLPPEESLDIARKVKEMYCYTCSDIVKEFKKHDKKPDKYIKQWSAIKPKTGVPYTIDIGYERFLGPEIFFNPEIYSTDFSTPLPELIDACVQSAPIDTRRALYKNIVLSGGSTMFKDFHKRLQNDIKKIVDDRLAETNARHRVEVRPVEVNVVAHPIQSYAVWFGGSIAASSPEFYEYCHTKEAYEEHGASICRTSPVFKGMY >Et_3B_027701.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:13387005:13387073:1 gene:Et_3B_027701 transcript:Et_3B_027701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFRWCTLEHKYHSGCFAVGF >Et_3A_023464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29135226:29136407:-1 gene:Et_3A_023464 transcript:Et_3A_023464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFATLEVPDLVRAGSVCSSWLHAYNCLRKLGACIQPQTPSLIYTSKSSDASAAGFYSLLEKKPYTFTLPDPPIRSRYLIGSAYGWIVTADMRSELHLVNPITGDQIALPSVTTIEQVKPVYDNNGSIHMYGCSRYTGPDKLRDYIFYKAYLSSDPFTGNYIVVLIHNPYWQISFARGGDDHWTWLPYHASYTDCAFKDELLYAICPLSKLYIAQAPCGDLLQIWKALSEDGSESELDTELDTVPYRHYTAVFKVYRVDLTAKKLVEISSLGDNVLFLGLNQSLCLCAREFPQLKGNHIYFTDDDENMVLDLRINAVKWEFLTWTLK >Et_10A_000479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11062327:11069281:1 gene:Et_10A_000479 transcript:Et_10A_000479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSPAVDVLLHNGVVITMDGALRVLRDGAVAVAGDRIAAVGPSAEVLAAFPGAAQSLDLGGRLVLPGFVNTHVHTSQQLARGIADDVDLMTWLHGRIWPYESHMTEEDSYASTLLCGIELIRSGVTCFAEAGGQFVSEMARAVELLGLRACLTKSIMDSGEGLPPNWSSCSTDDCIQSQKELYEKHHNTADGRIRIWFGLRQIMNATDRLLLETRDVAQKLNTGIHMHIAEIPYENQLIVRTKGIDHGTVTYLEKIDFLRSNLLAAHSVWLDEPEIGHFSKAGVKVSHCPASAMRMLGFAPIREMLDSGVCVSLGTDGAPSNNRMSIVDEMYLASLINKGREAYIGGTTNPTVLPSETVLKMATINGAKAVLWDNEIGSLEVGKKADLIVVNPFTWSMVPLHDCIANIVYCMRTENIESVMCNGQWIMRAHKIMNLNEEEVISSAVKRATDLLARAGINLPKRMNYV >Et_1B_013748.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:1556605:1557501:1 gene:Et_1B_013748 transcript:Et_1B_013748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTYASSKAPLVEHDVDAAATIPHELSNRSRDDVSSPLPAPPGDGPLHGRVAIVTGGAGGIGAAVSTHLASLGARVVVGYVGDPAPADELVASLNASSSSPRAVAVHADVSDPAAVARLFDAAEAAFGGEMHVLVAAAGVQDAAYPSIADTTPEQWDHTFAVNARGAYLCCREAARRLARGGKGRIVTFSSSNVASLRPGYGAYVATKAAVEAMTKVLAKELAGTGITANSVAPGPVATPMFYAGKTEQRVMAVARECPMGRIGEPTDVAPVVGFLCADAAGWINGQVIRINGGYV >Et_3B_029122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22275123:22277455:1 gene:Et_3B_029122 transcript:Et_3B_029122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVTPRPVAPPLPPPSAVGGWRRRPWATRQRFVAVACTRDGDPAPPTFGLLREQLLQLHAEADLAQSKANSARMRLVRLTEAAENLKKRAANSVRMGKENEAVDLLVQKKKLTKALENIKERVEVLDKLSAKISEAISLKQNMLIQCALRPETSNGENSKDKIRVFSSNVNDGSHVTEGGDSHPKSVDSFEMKNEAHANMAGHPEQRDLQMADSFKFLNEHDSTSRKDHSTYDLFLEHIDLQLKSLEYETEQFMSSQLVEEVDNGKDDKWHSLSDILKFVKETRERNKKAFATAKVLTNRHTVAVSLPAVVEKL >Et_3B_029892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28876703:28880416:-1 gene:Et_3B_029892 transcript:Et_3B_029892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAAATTTASSRLLRRTAGAPAPGHHQLRYSTRASPFELRHCAARLAPAQALIPDRVTPFNFDADGDDHPREECGVFGVIGDPDAASLCYLGLQKLQHRGEEGAGIAAAGADGKLKSVTGLGLVGDVFRDPTRLAKLPGDAAIGHVRYSTAGAAASLRNVQPFLAAYRFGQVAVAHNGNLVNYQALRNRLEAQGSIFNTSSDTEVILHLIATSLSRPLLSRVCDACERLAGAYSLLFLTADKLFAVRDPFGFRPLVMGRRPNGAVVFASETCALDLIDASYEREVEPGEVVVVDRRDMSVSSACLVPHWPRKSCVFEHIYFALPNSVVFGHAVHERRTAYGRALAEESPAPSADVVIPVPDSGFYAALGFSLASGLEFQQGLIRWHYSGRSFIQPSQAIRDLAVKLKLAPVRGVITGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVVGSCLYGIDTPSEGELISNRMDLEGVRRTIGCDSLAFLSLDKLHSIYGDEAHELCDACFSRNYPVLPTVPEPVPELFIRLNATALLNAIRNTQAVKRH >Et_4B_037653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22160530:22170411:1 gene:Et_4B_037653 transcript:Et_4B_037653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVRASLPGRLLLLCCCIAACCSPWLVPAASALPLCTGGRAPVALNRTLGFCSSYGGGNGSSCCGAAADAALRKQFEAMKVSDAACSAVVKSILCAKCSPFSAELFNSSSEIRMVPLLCNNTSATSAQSKDSTQDYCKLVWQSCKSVTIVNSPFQPSLQGKAKLPSSSSSKLTDVWQTEEDFCASFGGSSDKQSPCFNGNVVSFNAKEPSPSPKGICLERIGNGSYLNLAPHPDGSNRAFVSSQAGKIWLATIPEQGSGGALEIDEANPFLDLTDEVHYDSEFGLMGLAFHPKFATNGRFFVSYNCDRTRSPSCAGRCSCNSDAGCDPSKLGTDNGAQPCQYQVVVSEYSAKVSSSNISTATSANPTEVRRIFTMGLPYTAHHGGQILFGPTDGYLYLMMGDGGSKGDPFNFSQNKKSLLGKIMRLDVDGTQSQSQTVNQSLWGNYSIPKDNPFSDDSDLQPEIWALGFSNPWRCSFDSEKPSYFYCGDVGQDAYEEVDLISKGGNYGWRVYEGPYVFHPQQSPGGNTSVDSINAIFPVMGYNHSSVNKNIGSASITGGYVYRGSTDPCLYGRYLYTDLYSSAMWTGTETPVGSGNYTSSVIPLSCSKTSPIACESTAGSHDPSFGYIFSFGEDNSKDIFILASKGVYRVVRPSQCGYTCPTEKPATNNGTTSPEPSSSAPVLRLGRSMAAALALFICNPFSAELFNTSSKIRMVPLLCNNTSASSAQSKDSRQDYCKQVWETCKNVAVVNSPFQPHLQGKARIPSSSSKLTDIWRTEKDFCTSFGGSSDEQSLCFSGNAVSFNAREPSPSPRGVCLERIRNGSYLNMAPHPDGSNRVFLSSQAGKIWLATVPEQDSGGTLEFDEANPFLDLTDEVHYDSVFGLMGIAFHPNFNTNGRFFVSYDCDRTQSTNCAGRCSCNSEAGCDPSKVGNYDDDAKPCQYQVVVSEYSAKVSSSNVSVSQSINQSLWGHYSIPKDNPFSDDSDLQREIWAMGLGNPWRCSFDSERPSYLYCGDCGQDVYEEVDLITKGGNYGWPVYEGRYIYDPRRNTSLDSRDAIFPIMGYNHSSVNKNSGSASIAGGYVYRGSTDPCLYGRYLYTDLYSSSMWTGTEIPEGSGNYTTSVIPMSCSKNSPIACESTVGSPDPLLGYIFTFGEDNNKDIFLMASKGIYRVVRPSLCGFTCPAEKPAINNETTPPGPSSLAPVTRPGKPVAIALAIFVCALFS >Et_6B_048961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15962748:15970713:-1 gene:Et_6B_048961 transcript:Et_6B_048961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGKVVGPDTSNTSLYEICSKFEVLKADFEQPRWSVVTTVGEDQALFVSRWQCRSLRVSQFGMRGNCIVFFQNEHESISCYSINRPCSCSVYNMRDGKVSDPDNSFIWDCASKHGTCHGRRGRTSRWSSPAWSSPGCGPSSTASASPPCAASGGQPQGRSLCRCRSSCMLSDGTAYSFPRSCKPFRLPAFAGYAEACSGNRLAFERDDDDRSFIVMRDAFSNATVRLPSLSLTLCRYRCSGLVYVNDASFSFFKVTMHKLLYCSPQLVAAVVKYHERLRIAVCRPGAGSMWSICLAHSFSDYTDMVFHQGKLYALKYFTLSAIHISVDDGTGDPWVSQVQQVITGRVPEPYIVTQMDTIIKQIFYLVELRDALVMVRRRIYGRYTALQPSNRMENIAPLKNEFEVFQADFERSQWIKMTTIGDDQVLFLRPLCSRSVCVSHYDDMPGDRIVFLENVDEGHGMYDKDSSSYWSVYDMKDCKVSTPVPAALWKQHDNVPPTTRSASWSDIPLELAGLVLRRLPAHVDRVRFAAVCPQWRAAARGVHLPPPLPLLALPDGTVYSFPGSEALRFPACAGYADACGGNWLAFSGEDGGGGGFLRDPFSNATVKLPDLFRVPRPQAYGESETGGVRWKEMEDGPELVTMYKLLFCSPQLIATFVRFQKSTRVAVCKPGAFSSWWSVHTDHPFPLFVDMAFHQGKLYVLDPLKDTLFAIDICTDRATGDPWVSRVKRAIIGVPHHPTTILRPENVIVKMFYLVELHGALLMVFRKMMCKLMEAPVGADRGTLVTTGVNEFEVFRADFQRSQWTKVTTIGDDQVLFLRRRCSRPVTVSRSVMPGDRIVFFENDDEDHDWFDKESSDSCKVYDMRDGKVSSAPMMVSWKRGFVPATWLFPEN >Et_4A_033766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26746303:26747771:1 gene:Et_4A_033766 transcript:Et_4A_033766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILNGTPPLVWPGSCGHLKPSQMSAYVTHSKSGCTAVYNSVEGQHAQKQHIVHSHKVSFTRVSHHLCKSLNERTTRHWLQRVHVNASSDDDFRSSRNIAISLFIRYKNVIDRGGDNLKEFISAGVNAYALGCTDEGLRKELMNIKDSGVEIEGLGSYGGGTSLKFKIHSFEVRECILWLSIVFITILCTPQLTVIRWSPTPPVSADILHQWKGFCALIANAYYVKGMAWLPVKTLQLEQMAVTGISEEPSVVASRMQLVFSTLEVVSPQWPRV >Et_4A_034893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7586294:7587376:-1 gene:Et_4A_034893 transcript:Et_4A_034893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTLTIANVTRENGKLLARVLDIVPMLDAEDDSHGTASDRVAVEALDYLPHAWSRASQWVRAEQSKLEDQLNLLTNVTISEPRINHLHDRAVAPTVEDPVDEHGRAPAGVLVNWPPPARDLEKERAEREHIGARCRLAPVPELGG >Et_8A_056766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16499910:16502592:1 gene:Et_8A_056766 transcript:Et_8A_056766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATKRARSAGGSAARDWLSGLPDDLLLCVLSFLPTRQVVWTTLLSKRWVDLWRLVPAINLRIRDFGWQKMKDFTTNLLMLHNAECLDVFKLAIVFIREDTRKFADRWIRHGLEYNPVVLDVTVPNSRRSYQSHFPGLGSSLSRLKKLALTGMHLDHSFAKQISSGCPVLEDLVLRECRNEFPAIQSDTLKSLVVRYCTSETADALLIRAPALSSMRLHFPHYNYKNVASLEAGHSLVRASISLIDGNVSQGGEIEMLRSLFNVTSLELEHRFSAKAVLDLDKEFGELPIFHNMRTLSFNYCFYSECDVHKFKALGRFLHMCPTLEKLTLKGFRVKPVIGPIEFPMLQNLRTLFLDECDLRDKYRLLRHFLENTPNLEKLTIRICKLPGTSNGDEGIDMHFQCQNLKSTEIIYKKCGKIPELVSILLDISGPAPNNTITLTKF >Et_8A_056375.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:5768097:5768159:-1 gene:Et_8A_056375 transcript:Et_8A_056375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHRAAPALSSLPSPSATS >Et_5B_045049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8932292:8934175:1 gene:Et_5B_045049 transcript:Et_5B_045049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPQILHSPHPRLAAASSPSPSPCRAFRRAPRLRAPAPRRARRLVAAASGSGPPDPSFPPESDDGLVELPLFPLPLVLFPDATHALHIFEFRYRIMMHTVLQTDLRFGIVFAGSGSGGAADVGCVGEVVKHERLADDRFFLICKGQQRFRVARVVRTKPYLVAAVQWLEDRPPAEAPAPGEDAEALAADVEALMRDVIRIANRLNGKPEKDVGDLRRGLFPTPFSFYVGNTFEGAPREQQALLELEDTAARLRRERDTLRNTLNYLTAASAVKDAFPSSPSSGNSGAVLRVLDKDKNFALEALTSLVPRLSLRRAVGGSGPYVVVTGKHVRNDPCEMVL >Et_4A_032705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12720234:12724765:-1 gene:Et_4A_032705 transcript:Et_4A_032705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVPMSPELEQIDGEIHDIFRALQNGFQKMDKIKDSNRQAKQLEDLTGKMKECKRLIKEFDRILKDDESNNPPEINKQLNDRKQFMIKELNSYVTLRKTYQSSLGNNNKRVELFDMGAGSSEPAAEDNIQMASEMTNQQLMDAGRNQMDQTDQAIERSKMVVAQTVESGTQTAAALTQQTEQMKRIGNELDSVHFSLKKASQLVKEIGRQVATDKCIMALLVLIVIGVIAVIVVKIVNPHNKSIPDIPGLAPPAQNYQINNRRLLWAEAFRGL >Et_4A_035794.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:6062370:6063233:1 gene:Et_4A_035794 transcript:Et_4A_035794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRDGESLFAAPYDFRYAVAPRGHPSAVGDRYFRDLGRLIRRASRLNHGRPAILVAHSFGCALTYQFLLSRPLPWRQRFVKHVVLLAPALGGFAGGMYGLSAGMGYGLPNVTRATMTRLARSQQSTLWRLPTPAVFGDRPLVVTKGGATTYTARDVAEFLEAIGFPEGVKPYVTRVLPMWRALPAPMVPVTGVIGVGVRTPETYVYAADGFEEEPEVVYGDGDGDINMVSLVAIEEWSGVQGQILKVVRLPGVHHSGFFSGESALTSVVAEIYDAAGRSMELHRDV >Et_7B_053498.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:2501760:2501846:-1 gene:Et_7B_053498 transcript:Et_7B_053498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLSRALNLAMSAGNTVQDATPNAIRH >Et_5A_042837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5290120:5290624:-1 gene:Et_5A_042837 transcript:Et_5A_042837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSSPDSELQLSEEARAELAVFRAQVMSSPRALAEIPEALGSPSLHRSKRRAHSIDDDSMDRAGKSKVVRNLDGDFAKVK >Et_9A_061859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17190972:17192695:1 gene:Et_9A_061859 transcript:Et_9A_061859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSTNRQVTFSKRRAGIVKKAREIGVLCDAEVGVVIFSSAGKLYDYCTPRTTLSRILEKYQTNSGKILWDEKHKSLSAEIDRVKKENDNMQIELRLVHLKGEDLNSLQPRELIAIEEALQNGQTNLREKQMDHWRLHKRNGKMLEDEHKLLTLRAHQQDVELSGGMRDLDIGYQYHQVHHDRDFTSQMPFTFRVQPSHPNLQEDEDE >Et_4B_037087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14498866:14504549:-1 gene:Et_4B_037087 transcript:Et_4B_037087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPLAADMALPSPCPAAVAPTPVVAAAWGAARAGSVRCKATQLRMMRTGGPVAPVAGRRRRAPLSVRCDASSRDGRITQQEFTEMAWQSIVSSPEVAKESKHQIVETEHLMKSLLEQRNGLARRIFSKAGVDNTKLLDATDKFIQRQPKVLGEDPGSMLGRDLEALIQRARDFKKEYGDSFVSVEHLVLGFADDKRFGKQLFKDFQINVKALKSAIESIRGKQNVVDQDPEGKYEALEKYGKDLTAMARQGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAIAEGLAQRILIALDMGALIAGAKYRGEFEDRLKAVLKEVTDSDGQTILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVFVDQPTVEDTISILRGLRERYELHHGVRISDSALVAAAVLSDRYISGRFLPDKAIDLVDESAAKLKMEITSKPTALDEIDRAVLKLEMERLSLTNDTDKASRDRLSRIEAELSLLKDKQRKLTEQWDREKSVMTKIQSIKEEIDRVNVEIQQAEREYDLNRAAELKYGSLNALQRQLQATEKELDEYQSSGKSMLREEVIQDDIAEIVSRWTGIPVSKLKQSDREKLLYLEEELHKRVVGQDPAVKAVAEAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALASFMFNTEEAVVRIDMSEYMEKHSVSRLIGAPPGYVGYEEGGQLTEAVRRRPYSVILFDEIEKAHSDVFNVFLQILDDGRVTDSQGRKVSFTNTIIIMTSNVGSQYILNMDEEGGSSDSAYENIKRRVMDAARNVFRPEFMNRIDEYIVFKPLEREQINSIVKLQLARVQKRIADRKIKLEVSPGAIEFLGSLGYDPNYGARPVKRVIQQYVENELAKGILRGDFKDEDSIFVDTQVTVPSNGQLPQQKLVFRKVGQESKPAAEDEKFLPAV >Et_4B_038539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29745592:29751250:1 gene:Et_4B_038539 transcript:Et_4B_038539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKEALILLLDVGPSMHGVLQEVKNICSTLVHKKLVYNRSDEVGIVLFGTKETCNELAKELGGYKHVTVAHDIKVVDEETTHALQNLQCGTVPVLDAIVVGLDMLIRKFGDTKGKRRLCLITSAQHPLRDPPEGTKEDQVDTIADQMKKHDIKMECIVFRERGIHHNTVMEENDRLLYQFRDRSVAKVVQVDSPTSILGALRTRNVLPVTVFRGDLEVSSNLKIKVWVYKKTSEEKFPTLKKYSDKAPPSDKFATHEVKIDYEYKSVVEPDKVVPPDQRIKGYLYGPQVVPISSAEWEAVKFKPEKGVKLLGFTDRSSILRHHFMKDVCSFIPEPGNTKAALAISSIARAMQQMNKVAIVRCVWKQGQGNVAFGVLTPNISSVNNVVDSFYFNVLPFAEDIREFNFRSFGSLPSSSQPTEEQQEATDNLVKMLDLAPSGREVLKPDFTPNPVLERFYSYLSLKAKQPDANVPPLDGCLRRITESDPDIIDHQAPFIQNLGKSFELKDNPKKKKARTQDRLPYTGADGQAKSVHERSVEKDGILAVLYPHTENVGEIGDLNPVEDFEAMLAKRSSSALVQKALEEMQKYTTALLQNSREGDNYQKALECIVALRKACIIEQEPNEFNQFLTKIYERLKRGDVADFFQLLSSKNISLISKEEAPDSDVTEEMARTFYLKQENASQ >Et_8A_057260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22900617:22904134:-1 gene:Et_8A_057260 transcript:Et_8A_057260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQQSLIYPSGNLEYSSQFSSDVDICRFNGVECWKGDTNRSVIQELYNAPLGLSERKWRLKQVRLPRINDESSIGAAVGFVMGFVVALYFPHFFFCAGDTGVEKLGLCFYSMPGMVDNIKFILWSLLLYSYSSLCFGSEKDVQCLKDVIESVVDRNGILKSTWNFLDRDQSYGHQGYICQFTGVECWHPGENRVMALRLSNLGLEGQFPRGLQNCTSLLALDLPSNNFSGPIPSDLSRQLPFLVALDLSYNSFSGAIPISISNITYLNILYLQRNQLSGQIPTLFQVLPRLDLFNVADNLLSGPIPPTLGFKFPVFSFTGNPGLCGMPLDSCRSGSNDDSSAWMIGAAVGFVVGFVVAIYFSHWFVCSRRLQPYIFRIC >Et_3B_028823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19531272:19533191:-1 gene:Et_3B_028823 transcript:Et_3B_028823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFPVSSCGGADACFDSVGRRSRPGDELAELLWDNGPALRRSTPHFPPPFQPFTCSAAGSSRARELNKAAPMMDDDLGLPVHHHQHDDDDDAVPWLHCPVIDDGDSDTAPLPPEYCAGLLSGYSGLHAVPVPPASDVERPSSNGCVVVPAARAVPPETAAAQPQPSGAATGGEGVMNFTFFSRPLQRPQASAAAPPSNAVETAVAQTAASRLRSTPLFSEQRMASGHQTTVECSVSQDEDGDDEGGAMRRSAARGTKRTRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLEEAIEYLKTLQLQVQMMSMGPGLCMPPMMLPAMQHMQMPHMPHFPHLGMGLGFGMGAPSFDMLPRLAGAQFPCPVMPGAPPMAMPPGSMFGLPGQMTFPPHMAGIAPAEQMEAAAQAPGGAGAGDHPPVPVTTEGEPKLQHPKQT >Et_4B_039877.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:4932868:4933203:1 gene:Et_4B_039877 transcript:Et_4B_039877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSLLIVVVAMVISVIASPTMARPGAFQPIDDINDPHIQELGAWAVSVYDRQANAGLKFNRDIGGQYQIVSGTRYHLIIDASNPDGKYMADVGEQEWTNTRAFFSLNPVH >Et_1B_012397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31558155:31558632:-1 gene:Et_1B_012397 transcript:Et_1B_012397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSEWHSIGEDSAFGKQSNCFLNRVNWCGARVRLPGRILSGAERGSIVAAVVVLRVRGRGPREEKNELRPAAAYDAEAEAWVLLQDMAPQQVPDARRVPDRGAGRFAGSVEAFDLLEAWGPVEDGMLDEGGCPKDMLCRALGDGEQDVRAVHGLRRT >Et_2B_019918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15096324:15099539:-1 gene:Et_2B_019918 transcript:Et_2B_019918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPAMLRCAAAAAALAVFLLVAVAPAAGFYLPGVAPNDFAKGDPLQVKVNKLTSIKTQLPYTYYSLPFCKPATIVDSAENLGEVLRGDRIENSPYVFQMREPKMCQIVCKVTIGEKEAKELKEKIEDEYRVNMILDNLPLVVPVPRQDKNTIAYQGGYHVGVKGQYSGSKEERYFIHNHLSFLVKYHKDDDSELSRIVGFEVTPFSVKHQIDDKWNDVNTRLTTCDPHANKFVTSSVSPQEVEAGKEIIFTYDVRFEDSEIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETQEEAQEETGWKLVHGDVFRPPTKSDLLCVYVGTGVQFFGMMLVTMIFAVLGFLSPSNRGGLMTAMILVWVLMGLFAGYASSRLYKMFKGSEWKKITLQTAFLFPGIAFVIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKKPAMEPPVKTNKIPRQIPEQAWYMNPIFTILIGGILPFGAVFIELFFILTSIWLQQFYYIFGFLFLVFVILIVTCAEITIVLCYFQLCSEDYQWWWRSYLTSGSSAVYLFLYAAFYFFTKLQITKLVSGIMYFGYMLLASYAFFVLTGTIGFCACFWFTRLIYSSVKID >Et_2B_022889.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:7329165:7330628:1 gene:Et_2B_022889 transcript:Et_2B_022889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPARSPSSGNRPRGAPHVVLLPSAGMGHLVPFTRLAVALSTRHGCDVSLVTALPTVSSAEARHAAALFAAFPALRRLDLRLAAFDASTASEFPGADPFYVRYESLRRAAPALLGPLLAGASALVADIAVASVAVPVARELGVPCYVFFTALATMLTFKAYFPTYLDANVAGHGVGDVDVPGVYRIPSSSVPQALHDPDNIFTRQFVANGRALTEADGLLVNAFDAMEPEAVAALRGGAVVAGLPPVFAAGPLVPVDLREKGEEAAKVEQGRPSYMAWVDRQPARSVVYVSFGSRKALAREQISELAAGLDACGHRFLWVVKGAVVDRDDGGELTDLLGEGFLRRVEGRGFVTKSWVDQDDVLRHPAVGLFVSHCGWNSVTEAAHSGVPVLAWPRFADQRVNARVVTRCGAGVWTERWSWEGEEAVVGAAEVAEKVKAALADDTLTESAASVRMAAEIATADGGTSHRSLAEFVRRCRAGTVGNAS >Et_3B_029442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25029272:25032886:1 gene:Et_3B_029442 transcript:Et_3B_029442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKAEELFRKVLEGGSKKKPARLLGLDVGSKYVGLAVSDEANRIALPLSVLSRTKTNISLMADDFKTLVSKYSLAGFVVGYPFNLHGQPSPTAVQVRLLAGELSKTGKLDDQFYTYWDENFTSKCVEALLHPLNLKNRDEAKTMTDKFAAVCILQGYLDNMNRKLISEDKSEA >Et_2A_017665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4864734:4865394:1 gene:Et_2A_017665 transcript:Et_2A_017665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVALPPTCLLHGRVEMCSVVVANGHHSSEKKDGVTCNGSAGGKSEPSAAKVVEEHVASNGVVLAAAPPVTVKDEEKVSSNGIVVGGNKKRRGPPVLLEGSRCSRVNGRGWRCSQPTLVGYSLCEHHLGKGRARSAAAAAAAAAGGGGARGGPGQLGRTEHRPRIPNSSAAGAVTVAAAPGARAYPSAEEQQALRSRRREFSPRFVGL >Et_3A_023168.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3410085:3410663:1 gene:Et_3A_023168 transcript:Et_3A_023168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLEVIRILVFALVFAMFTIHQAWGEKDCHDEKIRSKEKCTAFVEKSLLKLNPSHSSPCCQIVREIDMSCVCGIITPEEEKTIDIVRVAWVAHFCGNPALRGNKCGSE >Et_3A_023074.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24559373:24560708:1 gene:Et_3A_023074 transcript:Et_3A_023074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGALAAGGDVDAARELFDGMPLRDHVAWNAMIAGYVHVGRSREALRLFDEMQEAGANVGEATLVSVLTACAQMGALDRGKWVHWFVCNGGMRISVTLGTALVDMYSKCGAVVTAMEVFDSMRERNVYTWTSAVSGLAMNGMGTECLDLFKRMESEGIQPNGVTFVAVLRGCSMAGLVEEGRACFDSMKGKHGVDPWLEHYGCMVDIYGRAGRLDDAVNFINTMPVEPHEGVWGALLNASRIHNNVELGKYAMDKLISIESENDAAHVLLSNIYAESKDWKGVSRTRGMLKAKGVKKVPGWSAIEADGKVHEFYVGGKSHPRYKEIETMLAEMNRRLRLQGYAANTKEVLFDIEEEEKEGAISLHSEKLALAFSLIVLPEGTEIRIVKNLRVCRDCHDFTKMISKVFNREIVMRDRNRFHHFKDGACSCRDYW >Et_6A_048188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8998084:9008681:1 gene:Et_6A_048188 transcript:Et_6A_048188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGIRFKAHAAAKGTGTQPSGTFARQWPGSVGVYYIIVTRSKFTFCTAIMAEVAFVAITKIASVLGKQIMEEVKAMSEKAAALPDNLENIKKDLGMMSGVIQDLDSTELGKKAVQEWVGELRTVSFRVEDVMDKYSYHSFQLQEESSVTRFFKKAYYIKVFSDVADEVEKVKKEIEKVVELRKAWLSIDQAAPKSSVVFDRRGSQGFFPVLVQDEDLVGIQENQSKLIEWLLDSNDTNSTVITVSGMGGLGKSTLVANVYDRVKNSFEVNAWISVSQTYTPESLLRDLLWKIGYTASMDQMDTFVLKQEIRSRLQGSNKCLVVLDDVWDRDVYEKMQDVFKNLQSARVIITTRRDDVASLASLGHHLQLQPLNEADAHRLFCARAFNNTVDRKCPAELEDVAGLIVERCKGLPLAIVSMGSLMSSKQQTEYAWNQVYNQFRSELSRTDDVQAILKLSYNNLPGNLRNCFLYCSLFPEDYTISRESLVRQWVAEGFAVTTENNTPEDVAELNLMELISRNMLQVVDYDELGRVSTCKMHDIVRDLALSTAKSEKFGSANHHGEMLLMEKGVRRLSSYGWKDSDPSKAEFPCLRTLMSFGAVTSTTQMLDSIFKGSHYITVLELQDSAITEVPASIQECFNLRYIGLRRTRVKSLPECIEKMSNLETLDIKQTKIEKLPRGIVNVKKLRHLLADRVVDEKQSSFQYFIGVPPPKDLSKLMELQTLETVEASKDLADQLEKMHRLQSLWITSVNAALSAKLFSSLSMMPLLSSLLLNACDENQELCLEALNPAESRQLHRLIVRGRWAAGTLQCPIFHSHGKNLKYLALSWSALVEDPLLLLAPYVPNLVYLSLNRASFVENLVVSEGSFPRLKTLVLKNLPNVNQITIGKGALQCLQGLYVVALHKLDKVPQDIESLSSLKKLWLLYLHENFRAQWGANGMHTKMGYVLELRV >Et_1A_004735.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35327646:35328388:-1 gene:Et_1A_004735 transcript:Et_1A_004735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHNCVGVGNLACLPSFDLTSSFLLQTDPLLYGFGSLDLVAPYNCNGTTTISSMPAATADNRARRSNDERKKRRLASNRESAKRSRVRKQRRLGELSSQVSELRDTNQKLLIELNHVIAKQAHVVRENAELREEASDLRRRLSEMGVEEAEGATPPPEVA >Et_4B_037130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15086800:15088762:-1 gene:Et_4B_037130 transcript:Et_4B_037130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKVKIVSVHSAVLLGGHDHGAGAAAPRVVLGLGRDRNRGRRISSTARRRRSVTVTMALKEEPESSRRGFAGGPSWDPGLEIEVPFEQRPVNEYSALKDSILYSWAELSPGSFFLRLGSLWLVTFTVLAAPIAAASFNPGKDPLKFVLAAGIGTLLLVSLVVLRIYLGWSYVGDRLLSAVVPYEETGWYDGQMWVKPPEVLARDRLLGSYKVKPVINLLKQTLVGTGALLVGAVFLFAFAAPVEDFIHSLNRPPSAESKPSLRREELLRLPVEVIQDDDLAAAAAEAADGRPVYCRDRYYRALAGGQYCKWDDLLN >Et_3A_025528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30529605:30531785:1 gene:Et_3A_025528 transcript:Et_3A_025528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQPAPLGPRRKAAAPSREWLVVPAAGEAHTAEFGKHQIMEMTGLPTRDLRVLDPLLSYPSTIMGRDHAVVVNLEHVKVIVTADEALVPDPGNPLVRPFLVDLHARLALPDVSSTSPATDRGNETDQSEGNGPASARCRAETGKILPFEFKVLEVCLEYTCKCLESETLALEKEAYPALDELTSKVSTLNLEHVRQIKSRLVALSGRVQKVRDELEHLLDDDTDMSEMYLTRKLTFQGFHDSNNDASTDQVEEKEEEDCDDETETVRESSAYVKPNIEELEMLLEAYFVQVDGTLNKLYNLREYVDDTEDYINIMLDEKQNQLLQMGVMLTTATVVATAGIAVVSLFGMNIHIDLMKDPETPEEARIKNMKFWETTWGTVAGCVAIYLIAIYAGKKSKILQ >Et_10A_001952.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:1418229:1418576:1 gene:Et_10A_001952 transcript:Et_10A_001952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMNAIGIWALHRYGFDPKWVAQGISFNLFHVAHYFRRRLRNRHAWLRRCLGLAAAVLGAVQSLLFFYGFVDVAPRMPLWLPVGGWLIAAVIMYSLHRFLVLHIEEDDEAAAQA >Et_1B_010365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:112538:117493:1 gene:Et_1B_010365 transcript:Et_1B_010365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQARAGPPGHIILVGAVAMLLLCSSVARLPPLAVSAARLSSNPTRLGGFLFAALPSSSPLRAFCPGARPSPATCPAHFSSSTAMADVNPLLLDDFDFPPFDRVEPRHVRPGMRELLIRLEGELEDLEKGVEPTWGKLVEPLERITDRLEVIWGMVQHLKAVKDSDDLRAAVEEVQPDKVKFQLRLGQSKPIYEAFKAIRNSSDWETLSDARKRIVEEQIKEAVLSGVALEGEQREKFNQIEQELEKLSQKFSENVLDATKKFEKLITDKKEIEGLPATALGLAAQTAVSKGHENATAENGPWVITLDAPSYMPVMQHARNRALREEVYRAYLVRASSGELDNTNIISQILKLRLEKAKLLGYKNYAEVSMAQKMATIERVEELVEKLRAASWDHAVKDMEDLKTFAKESGSPEANDLTHWDLTFWSERLRESKYDINEEELRPYFALPKVMDGLFTLAKKLFGVRVEPADGLAPVWHSDVQFYCVKDSSNTPVAYFYFDPYSRPSEKRGGAWMNVVFSRSRVLARSGLPVRLPVAHMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGFVAGIRGVEWDAVELPSQFMENWCYHKDTLLSIAKHYETGETLPEEIYTKLVAAKNFRAGTFSLRQLRFASVDMELHTTYDPSGSQSIYDVDRRVAERTQVLAPLPEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDNEEAIQETGRRFRDTVLALGGGKSPLEVFVAFRGREPSPEPLLRHNGLLPVAA >Et_4A_034197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30698208:30701827:1 gene:Et_4A_034197 transcript:Et_4A_034197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFACFRSAADRHITASGRVVRATSASAVLTRTPACSPNLLVRLGHLDTAILLNQLTETLIGAHTELFLSSCVPSRYSSRSISDQPHGESRTVPFPCAPFLSLATSCRFLLSETTKRKEKSDAVCAVQANAASGVAVSEDCKARFQELRTARAHRFVVFKVDDSLQQVVVDKVGPRDAGFDDLTASLPADGCRYAVYDHDFTVDDATASGAGEAPRSKIFFISWSPATADVKSKMVYASSNEGFKKELDGVQIDIQATEPSELTLDFGELQSKRLHRFITFKLDDKFKEVIVDQVGNREASYEDFTNTLPENDCRYAIYDFDFVTAEDVQKSRIFYILWSPASAKVKSKMLYASSNQKFKSGLNGIQVELQATDASEISLDEIKDRAR >Et_4B_036016.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13755692:13756321:1 gene:Et_4B_036016 transcript:Et_4B_036016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKSRAMARQLDIPAPAGTRSPWKSDGLPSPTSPLDRASASPSPRGWRHRDAGGVGLGILAALEAQPSAAAAASAPVSIARRAARLEVSELGCSGRCATSLCGGGGPSSSARSGSGAAFRVAEFLSCCDMCRRPLDGKDIFMYRGERAFCSMECRYHAIVSDEFQEEKERKRRAGAALRKTDAAAAEVAGSPCNGGGQIFFTTGIVAA >Et_2B_019411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28335668:28336471:-1 gene:Et_2B_019411 transcript:Et_2B_019411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEAIPALWSAVHGFFTPAVLFILLNVVIGTIAVTSKGEEAAAAGGGAVDGAEQPQQRRLSRVPSMAFERLRSFNLSRFAAPAPEPSADGVVDLGYVQPPAAPAVEKEEIEAAVEPEREPEHAQAHVERSRSDAAVEPEAPRLPARLHKSASEKSAFSHFEAEEVVEAVQAVEARRPATTRDGAARRGRRLPVAEPVESESESESEPEEDAGGEVDARADDFINRFHHQLKLQRMDSILRYRESVRRGAAAAAGGV >Et_8B_059855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3358565:3363266:-1 gene:Et_8B_059855 transcript:Et_8B_059855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDGTPGLRVSEGDLRGLCGAVSGRVNGDVVALAIDKPGWGGEEHAKENGALVFVYPKWKVLPEGESTAERLNALMLLEVFPAELARAYSSSGIFKIILLLPAFGGKVVLYESPFFIWAVHFVDKQIVTERTLAHRVVLVFANNTPEQFAARVLKEDCLDNHQSIGTDNHHQEEDGFGKGSLGNSCGHFDIQGQIRAYGSAGTDQVVISAPAKINLNEERPAPWEAGAPCSDGGAAVNSVMRDHALVAADAYRAIRNRDLEHLNGVVAEEELRRAVPARVLPAGEVEAGGVVAAGLHAEWIGDPDVAAAPGAVVLLSGGCRSS >Et_1B_012832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35441790:35448126:-1 gene:Et_1B_012832 transcript:Et_1B_012832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMGLIHLPISPARMAFSEPTPRTPPRQSLAAEVTDQDFFGGSPNHSADAQSSSGAVEQSSSGSTDLKYLETMYPGWKYDEATQQWYQVDSFDATGNAAAAATQVDNNSQNVQQQPHDASYLQNSAHAALETIAEEATTTGNVSSWGQGGSTEYPPNMLFYAEYPGWYFDTNTQQWHSLESYQQQAGTASAVQNATNHSVSAASGGMDYNVSQTEDAAAHNQVAQHSSFTNSYTHQSQWQADAFANTMQPESVTESSLTSSSYGFDQQANAESTSSSTSHQPGFDTVDTATDHYKGLESSSLQSGYSSTDSQQSSYNAFQPSTGFQAGYKGFEPATAHQTSHKPSLGNQSDYKSFEPSTGHNGGYNGFMPSKGSHSGYKGSETSTFHQAGYKGFEPSTGHNTSFNAFEPSSVQQAGYMGSQPSSGHPSNYAGFDMSSNQGYGDANAVVNGHGFVSTESMYHGQKQASAYQQGHMSNSYLGTDNSMNFTQQQFLGANASHMQFGRSPHEGGSSAGRPPHALVSFGFGGKLIVMKESSSMAANFNSGNQGNSSGTVSVLNLSEIVDKVDPASSSTSSVLGYFHTLCHQPVPGPLVGGSAASKDVNKWLDEMIAFYESSASDFQRGDPQKLLISLLKILCQHYGKLRSPFGSDPQEESNGPEMAVTKLFSSCKKSKVHMGDYGSIVHCVKNIPSESQMQAVAQEVQNLLVSGRRKEALQCAQGGQLWGPAIILALQLGDQFYVDTVKKMAHSHFVSGSPLRTLCLLIAGQPADVFSAENNISSDYASLGASHQSMEPGPNDMLDDWEENLAIITANRTKGDDLVITHLGDCLWKEKYEVAAAHSCYLVAELNIDSYSESARLCLIGADHLKCPRTFVSPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLIYAYMLAEGGYGTNLAPTKLVEKIFTSLDKSISRMMGTPAAQLPPLPQGSVSDKDSHAAPAAAKFGNSQSVMAMSSLMPSSSMQSMSEIADNSSGGNGRKIAHNRSVSEPDFGRTPKQGTGSDGTQSSVSGSGSSRLGWLGSTLQKTMGLVSKSHRQAKLGDQNKFYYDEKLKRWVEEGAAIPAEEPPLPPPPTKSSFQNGLPDHKLNGPMSESHAPNGVTEWKSPNSSEHGLGMPPIPPSQNQFSARGRMGVRSRYVDTFNKTGASGPMPSYNKPAAPSVAPPAGAKFFMPTAAAASADQMPHQAAEIHSETVHHDTRSASPPAETSFSSPVPSTQFSAPMSSTIHRHPSMDNISTPYQGSGASAGSNSSSFSRSRAASWSGTYSEQFSAIAGARSPDGQTMPSPLMPGMPSHSRSNSNSSVQFNGLTEDLHEVEL >Et_5B_044037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19289913:19295427:1 gene:Et_5B_044037 transcript:Et_5B_044037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRPRPPPPLMDELIEEILLRLPPDKPACLVRAALVSKRWLRLVSDAGFRRRFRDHRTPPILGYLWDLEIIDHVARFLPTPSSGLSLADHREMRVWDARHGRVLLQACPKSYSSKYTGFIVWDPITDVQIKLPDPGSIYEAGRSRCSVPLVEHATTSTVEADPSWSSLWAPQEIKDGRLGYIDVQGYKVSLWSRCRTNEVYRWELNKVIDLEKLPFHEIRSTEPCLVGVAEGLDVIFLAEGDKLVAVDLKSEKTTTVHGCFRDHPRVAVLPYVNFYTPGTHKFPYLVEEVLIRLPPHDPASLVRAALVCTSWCRLVSDPGFRRRFREFHRNPPLLGIVYCMKDAVSSTFVRTSSFRPIPGLCRSLTVIDARHGRLLVQCSSASRLNNPNENALAVWDPITNQQRKLPLIPELTDISSWNASVLCANTNTCDHLDCNSGPCIVVLVVIRGICICVYTYSSEAGAWSKLATGRRVPRVDDGALVGNTLYVKFRFSISILKLNLDTGKMSVIELPSSCHYRYTVLMTTKAGRLGFASVLDSKLCLWSFVATAEGYIVWSRIRVIDLETLVPDAVYTESFRVVGFADGVDVMILRINDEIFTFDLKSGHAINLCKDDLAPLMMQPPLTPPLLMDELIEEVLLRLPPDSPGSLVVAAIPKQIPNPD >Et_8B_059414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17712369:17714311:-1 gene:Et_8B_059414 transcript:Et_8B_059414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARAILLFPTSAASPPSACPSSTLASRNRARHRSLLMRCRAAAGDYRVDRRDMLAGLTGVAAGAYPSLALAAAGTVSDAADTCVMGDKVTAGLLKCPPGAGSPCPPLSSAAAVDFAPHTGAPTRVRRPAHLADASLVEKYKLALARMKALPDSDPRSFKSQAAIHQAYCDGHYSLAGASPERNAPFDVHFSWIFAPWHRMYIYFYERILGSLIDDPGFALPYWNWDAPAGMALPEMFKDPGSPLHDANRNPDHVDAYLVLDFLKKAGDPVRFDLQAVRAREFNDVVKDNLATVHNQMIRQGRMARCFLGEKFCTRYKENQGSGTAGTLESKAHTGVHIWLGDPAKSVTGHDGREHGGADMGFLGTAARDPVFYSHHANVDRMWHLWATTLGGRNFADAEWLDTSFAFYDENKQLVRVRVRDVLDAARLGYAYAADEPLLWLGHRPTVRRAALAATRRHRVMPAATAPVVFPLTLREGEVVVVPGVARPRDKRKGELEAVVFDGVEFDPAAAAKFDVAINVPPELAAGVGPRCVEYAGSFASLPRAAGGGEESGGAVVVVPLELPVEDVLADIGVGNDNAVDVVIVPRTSGVTVISPPRIESRNCDSASA >Et_6A_046411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14621960:14632479:1 gene:Et_6A_046411 transcript:Et_6A_046411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLPQPPTLNPKLPPLAAATEGHVVHKFRSLSAQALGGHSRMDGIYMELDILERVLDGSKKPGKKIEEATGVPTKMVDVRERLLCFEYLSNGSLENYISDELRGLEWNVRYQIIKGICEGLHYLHKEKCITHMDLKPANILLDDCMVPKITEFGVSRLKEEAGTISTGILASSLGYYDPEYVFDGKISNKSDVYSLGVVITELVTGSKEKPNITNVIKRWKHRWNKSGKSLLMWHQQVTKCLELAQSCLQKVSIKRPSIWDIIHELNEFDKKASTSTFDEIRTCSWDMISIEPLELHFPFEFNKEIGCSVVLANDTDHNYAFRISSMGLLPFRTEPTQGIVSPRSKCCIAITFEAQKTLPLRKHHKEKLWVQSTIVDKTLTADDVTRYTFSAHPSKVVDVVDLIAFLEYVPSLTAEYDQLQKSLFGSAS >Et_7B_053238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13838082:13838936:-1 gene:Et_7B_053238 transcript:Et_7B_053238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPAAVSFLTNIAKAAAGLGLSASLLSASLYTVDGGERAVIFDRFRGVLPETVTEGTHFLVPWLQKPYIFDIRTRPHNFSSNSGTKDLQMVNLTLRLLSRPDVLKAVVAQFNADQLLTERPHVSALVRDALIRRAREFNIILDDVAITHLSYGIEFSQAVEKKQVAQQEAERSKFLVAKAEQERRAAIVRAEGESESARLISEATAMAGTGLIELRRIEAAKEIAAELARSPNVAYVPSGDNGRMLLGLNAAGFGR >Et_5B_043978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18571012:18571723:1 gene:Et_5B_043978 transcript:Et_5B_043978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRLVLLLAAAAVVAQLPSAAVAANYTVGDDKGWNPDVDYTAWVKKHKPFYKGDWLVFQYQTGRADVVEVGEVGYEKCDKASALSSYSKGTSYAFQLKEAKDYYFICSYGYCYSGMKLHVTAKSSSSSSGGKSSGGGSSSSDDSSSDDSSSDDSSSSDEKPSKAKAKAKSSAASPSLLSAAAITPFAAIAAVASLL >Et_9B_064953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2053984:2059439:1 gene:Et_9B_064953 transcript:Et_9B_064953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSRAFQLSAPSSSGAGDCDDGRRGGKAGDDRGGSVPFPRLFAFADGADAALMSLGAVGAVANGAALPLMTVLFGRLVDAFGGAAATGDVVRRVSAVSLQFVYLAVASAVASFVQVTCWMITGERQAARIRTLYLRTILRQEVAFFDQHATTGEVVGRMSGDTVLIQDAMGEKVGKFLQLLVTFLGGFAVAFAQGWLLALVMLATIPPLVAAGAVMSAVVARMASLGQAAYADAAVVVEQTIGSIRTVASFTGEKQAVEKYSKSLKSAYSSGVREGLAAGVGMGTVMVLLFCGYSLGIWYGAKLILEKGYTGAQVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINREPEIDAYSAAGRKLDDIQGDIEFREVYFSYPTRPDEQIFRGFSLAIRSGTTVALVGQSGSGKSTVISLIERFYDPQLGEVLIDGVDLREFQLRWIRSKIGLVSQEPVLFAASIRENIAYGKDNATDQEIRAAAELANASKFIDKMPQGFATSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDTESERIVQEALDRVMANRTTVIVAHRLSTVRNADTIAVIHRGSVVEKGSHNELLRDPEGAYSQLIRLQEASHDIEDANYQSKESRKGDSGVHTGKQLSINPSPSQRSPQDNSSHHSFSVSFGMPLEIDVHDRSSHKVHEEIQQEVPLSRLASLNKPEIPVLILGSIASAVSGVIFPIFAILLSNVIKTFYEPPHILRKDAEFWSSMFLVFGAVYFLSLPLGSYLFSVAGCKLIRRIRLMTFEKVVNMEIEWFDYPENSSGAVGARLSADAAKVRGLVGDALQLVVQNSATLIAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKLMYEEASQVANDAVSSIRTVASFSAEEKVMNLYKKKCEGPLRAGIRTGIISGIGFGVSFFLLFGVYAASFYAGARLVAEEKTTFPKVFRVFLALTMAAIGVSHTSTLTSDSSKAKSAVSSIFAIIDRKSRINPSDDSGVSLEPLSGNIEFQHVRFRYPTRPNVHIFEDLCLTIQSGKTVALVGESGSGKSTAIALLQRFYDPDAGQILLDGVDIRKFQLRWLRQQTGLVSQEPSLFNDTIRANIAYGKEGQASESDVVAAARLANAHKFISSLHQGYDTMVGEPTSALDAESERTVQDALDTVMVNRTTVIVAHRLSTVQGADMIAVVKNGRIVERGRHDALIAVDGGAYASLVALHSAASTS >Et_5A_042499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1598539:1605858:1 gene:Et_5A_042499 transcript:Et_5A_042499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSRVHEDGNNNNDNDEVNNYDPRCGMSREEFEWRCAQQKRVCQRMPQALHKLKTCSERMRRHFINDPDALAKWDEYANDVYNSSEDNFQYSLSSPLDPPDSVCYRHIMKSKSRSKTPVGMQYEGLVKLRRAAGNRSIVVAGAIMTLGTAAGLAVEQWKKKHTRETRIGCTCDMIINAHVMDTCPDPFAPGPVLPPRPDARRLLAPAAATCLCLALRRCPSPRRDPWRPAGQCDPPPPCLVGVGRRARVPLAGACGRGGLLRPGKASATRRLIATEDGRRGNGACDGRQGSRRGGCGVERRGQRRGARRRVQGRSSGARRHAARSALCARWCGAKRAWLRTRGTAASSGAGRGGGGSRWRGGRSAARCREQAVAYVAVQASGAKTSV >Et_3B_028464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15692396:15699037:1 gene:Et_3B_028464 transcript:Et_3B_028464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRAVQSLVARSLLSVRALQGAASPNCLGARWSSSLVPPSRSPLPSSGAVPAGVTGAVSFSLTFASFAAAEAKAKERPPSDLLPQNVVLYQYQACPFCNKVRAFLDYHDIPYKVVEVNPLSKKEIKWSDYKKVPILTVDGEQLVDSSEIINILHRRISPDDELTNDEEAKWRSFTERFAVKYAGAAAMYMVSKKLKKKYNITDERASLYDAANTWIEALNGRDFLGGSKPNLADLAVFGVLRPIRYLRAGKDMVENTQIGEWYKRMEDAVGEPSRLQELQLEFLSP >Et_10B_004124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14566630:14568150:1 gene:Et_10B_004124 transcript:Et_10B_004124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAATHKSRKRQRRRIHETPPLPLDLVLEIAARSDPATLVRCAATCNDARRRIADPSFHGSLRVRHAAGCFVPSLLHGHLVWDTVRDLFIVDNTKKHATKLRPGGWARPKILAARDGLILILTAGEKEHRPHVFSPATGQVHFVPHQRYDGQYVLLASDDDDVNRRSHLRFRVVKARSVSWNGNRRILQFQTFSSDDGRWGRSVKVPIPYVHGGWFRLQPLVSNGALHWLCRSDKLYYIVKLHVDSAQVTTTELPVRFHQEYGSAAAARKQLLLATTPSAAGSSPRLCVFAADRDKISVWAQSERDPSRWTRQPQMEIKHELISWFGWEDRLVRERMRTVRLEWFSDRSGFVLFDVPGEGCFMLDVRSKKIVRWSWRGQDGLGHQVIGMAQM >Et_1A_005884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15069986:15073425:-1 gene:Et_1A_005884 transcript:Et_1A_005884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIELEPEPEEVTSSASPAPAEKAATVSGGEVARPSASILEEQAAAAGGREAAPEDQVAATSNGEAPRGEDEEEAFEDALTDEQLREKARSQANNAKAEGNKLFAAGQYDEALAQYEMALQIAAELESAEDICSACHSNRAVCFLKLGKYDDTIKECTKALELNPSYLKAWLRRAEAHEKLEHYDEAIADVEKILELDPSNQQAKRSLFRLEPLAAEKREKMKEEMIGKLKEMGNSVLGRFGMSVDNFKAVKDPNTGSYSISFQK >Et_3A_024156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17892151:17892429:-1 gene:Et_3A_024156 transcript:Et_3A_024156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DGYIFTGKSTLERALNRELHSRLTYVLDGDNLRHGLNRDLSIKAKDHAENIRIEKLQSYLQMLD >Et_3A_025635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31437910:31439737:1 gene:Et_3A_025635 transcript:Et_3A_025635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSMECLELDSSHFSVNTDVIDYPLDIHLEFSSFTGAKEVPDGSRRSVASHCRNVPDPPPPPGTSYGGQRNSRTDKRLRSAKAYRRVPEKVLDSWDNLFSEGYQADLCVSADDGSEILAHSCVLGVKSPVLRTMLEDAKLENGFRCIRISGVPPKAVHMFIRFLYSSRFEQEQMKKHVLHLFVLSHVFSVPYLKTVCIDELERNFLAPDNVVDMLQLAMLCDAPRLSLICTRRIIGDFKNISLSDGWKVMKRTNPSLEQELLESLVEADTKRQERAKRMEEKKVYLQLYEAMEALVHICREGCRTIGPRDQALKGSRAAVCKFPTCKGIEQLVRHFSVCRVRVPGGCANCKRMWQLLELHSRMCFTPDTCKVPLCRHFKEKMQHLGRKEETKWNLLVCKVLESRGTVSCISGRRKF >Et_1A_007775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38119476:38119700:-1 gene:Et_1A_007775 transcript:Et_1A_007775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVFKYNPDEHDVVEVDETSFYKTGDDHIQIPECMTFCQSFFICTMPGHCKNGMKVSVITQA >Et_9B_065693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9250220:9250827:1 gene:Et_9B_065693 transcript:Et_9B_065693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNWPLPDLLPALQTIPKEDVVVFLMTLLRNWSDTNTIIRGSKFSLFGSVASLNIFCSNVLNTGNIKPYDFKRKGQHFLKLHDGTMCQIVPPVGYLLMMDGSKLMWIRALLSKMVRQVQESSSEIIRVIGSAWRLLFHCVSAEKAELMACRGLQLACQWFDEPVVLETDSINCVTTIRWRGGGAHGSNFARQTI >Et_3B_029588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26237100:26239286:1 gene:Et_3B_029588 transcript:Et_3B_029588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIHLFASGDLLDALRAASCPSTALRLYSRFRLRLSPLDPSFDACRAAVLALKPLSAAASLPLLAHFHGHLIRSNLLAYPSVASSLLRSYSLVSTPAAHQLFDQIPPATCNLYVVNVMLSSLCRSSDIDSARAFFDDIPDKDIVSWSTMLACYFSHGRFADGLAFFRTMTFNTKVAVDSVTLVTLLTACASAGLPPPFSRAVHGYIVRRCIPSSVHLGTVLIDFYAKAGRLDYAFRVFARVPSRNVMHWTAMICGMAAHLRNDEAIQLFEEMCQEGVRPNEMTFTALLSACGQAGLVEKGRELFKLMVDRYGLEPNIHHYGCMVDLYAKAGQLEDAYEVIKTMKVEPNVIIWTSLLAACKTFKNFDIAVEGMERALALEISDENAGLYMLISDLYAMGGRWDDVIKVRRLMEERHVRKNRGLSFIKVDEPQDLSSVVYTKAFLASKA >Et_8A_056280.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19523837:19524226:1 gene:Et_8A_056280 transcript:Et_8A_056280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LFNCVVAKGVWADISQLLGVTVGSDFENIATRWLCNKKFMVVNILSSAALWSIWKLRNGLCFQNLKWVSIGQIWQMAIPMLKNWKLLGPEAPDKYLQDFDSMIAKLEILRSRPERIGCGDDEVNLRDQE >Et_7B_054120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15719010:15721566:-1 gene:Et_7B_054120 transcript:Et_7B_054120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRNAPTKGSMLMAVRNCCVGSHRYPRSLQTKIKRKKRSNSSLEKVMAPRQLRVLAIDDDKDHAEYMRSILHQFNFHVRVYTSPRSALDFLKDHAEDVDFLLVALNMEEMSGFQFLDIAAKMHRNIQVICKFLWKGYSVS >Et_9A_063519.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:614544:615464:1 gene:Et_9A_063519 transcript:Et_9A_063519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRPQAGGGFNCGSGGGGFQGPFGGVAGGGGHFPAFHDGHGQGAGWGGLIPGPHLAPMGNQHLGNGVQMQAGPMWNNNCPPQHGGPSQHGGPFNNQANFGPLQGRGNFVPPNYQGAGQHQPIRGFHDGMSMGGTGGAQLNQGVAGFNPGYGGPRHGPTRSGSRNRGKTRGTRGGRTQGPLSGDRAQELGGRSFAGQHRPQQSNQRAVCQQTQATNMQQVSNVAGGSGGAIRPSTQPVPQSVPVAQLVQTHSVPLAPVNPMKQPAVFLKQAAPPGSSFEPGQTSSTYEVEDDTQMELAEEMDRDF >Et_5A_040593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10069278:10074663:-1 gene:Et_5A_040593 transcript:Et_5A_040593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding STPENTSNQEEGAARSRFIRPSPITHPKIPKSQPIRLSPLTPHQPPLHSGSGASSAGAHAGTGLPLLRRRGDSRRKRASARRKQRALLKRSRFPYASRRRSCRAESLPMWSRSRGGSGGGLLVPFLLLLLSLHLSLHCSSAAGGSGSGEPYVGVTIGTAVTNLLSPADLASFLRAQHITRVRLYDADPRILSVLASSGSGVRAIVGVPNDELLALGSSPATATAWVARRVLPFAGGANSSGPNIISAIAVGDEVPTALPSALPVLLPAIQSLAAALAAANLSSPIPVSTPLPFSVVLDPFPPSQAYFNQTLAKSFLLPLLAHLANTSAPLMLNLYPYYALMQGKGVVPLDNALFRPLPPTQEMVDTNTLLHYTNVFDAMLDAVHVAVKNLLGNATATAGIPVLVTETGWPSYGDRRAEPYATKDNAEAYNSGLIKHVMEDKPGTPMRPGAAAQASAYVYELFNEDLRPGPVSEANWGLFYGNGTPVYLLHVSGAGGFLGNDTTDRTFCVAADDADEKAVQAAMDWACGPGRADCTAIQPGQGCFQPNDVRSHASYAFDAYYELQGRAAGSCYFQGAGMVTTTDPSHDSCIFPGSKLLSNVTRSGGSNSTGQTSNAEGSTIWRLRTGRENGLWLFLRLLLSIAVIIVMDSNFWT >Et_4B_039772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29058416:29059111:1 gene:Et_4B_039772 transcript:Et_4B_039772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAIHGWAVEAISQEDLDLISGLPDGILGTIVSLLGTEEGARTAALARRWRHVLRSAPLNLDDRLQFTCGDCRLIPPSPATYLVYVDPAPLGVACQAHQLGILAAALGGEEKAKAAMVYNYKNVISGFSARLTPSELEAVKKQPQVNRVMPSATLQLMSSNFEGVG >Et_2A_017950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8189594:8190462:1 gene:Et_2A_017950 transcript:Et_2A_017950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETALGMATTLVGSALSAVSSAAKEEVGLLLGVQDDIWFINDELKMMSAFLRTTSVAEGNTEVLKAYLELIRDLSYDTED >Et_6B_049583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6983618:6984041:1 gene:Et_6B_049583 transcript:Et_6B_049583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPLLEGWIKIDVCGAFDAETGVAVGLGVAVTVSRMLQVAYVLLCSWRILLVVEIVSSVEIVSLSKKTNQQKSSLYGPASKCTEQIYSRMMDRSYRNLIKRATPINSSCHDLHCMAFMEREKQ >Et_8A_056975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19734251:19735952:1 gene:Et_8A_056975 transcript:Et_8A_056975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNAVLLLTGGTVKMFDAVLLSLPPHVDAVEFSIHSVDALCLHQCTGAAASPAHSRRRRPIPSPQEDARARCSSPLLQERGAASSSRAARWACMLVAGLALGSIFYDLGEGKVAERARRRPLGLPAHVRPSSSPAGQHAGRALPIFLQERDILAEETSSGAYRVSYALANDVVFLPFHLALAIVFVAPVYWLAGLRRTAAAFGYFLQLVVWLAHPLHGQLGGGVLRGGGPGLCGGERGAYVMGSSSIFSGYFIARSAMPGFWVLMHYMSLFNWPFEALHYMSLFNWPFEALLINEFTGGGRCLVRALGTCVATGDEVRCATKAAGIDGAMSTSWWPSWQATGSWATPC >Et_7B_055236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8598934:8600650:1 gene:Et_7B_055236 transcript:Et_7B_055236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVIPSSFNPWTLLDSEDPGDRIGKAKSKDDEPKKTEPPSWKAPNRGMNTSTPAKKKKNKKKKKPAAPAGNDNNPAGKGNGAAGKQNGGAAKANGNGASGGRNQQGAARQQGGYYGNNNNGGYAAPETKEQQQQPWAAVDRAEGKRPVQERASVPLPTFGLWLDKSTKKAGAGASTGGAAATTTNGAAEHPSPFMFK >Et_2B_020985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25457916:25459141:-1 gene:Et_2B_020985 transcript:Et_2B_020985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSQPQASMGVAAGGSQVYPASAYPPAATIAAAPAVAPAGSQPHQLVYQQAQQFHQQLQQQQQRQLQQFWAERLADIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFAKACEIFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIVPRDDMKEEGVGLPRAGMPPIGAPSDAYPYYYMPQQQMPGAGMVYGGQQGHPVTYMWPEPQEQHEQQAAEEQRSLHESMDSEFTR >Et_1B_013024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4923906:4926107:1 gene:Et_1B_013024 transcript:Et_1B_013024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHLRSGLPLLRAHLAASESAAVAQGSRGFASQVAKPTGKPIKVPEALYGGTGNYASALFLTAAKGNVLDKVESEIKTVVEASKKSPMFSQFIKDLSVPKETRVKAITEIFAEAGFCDVTKNFLAVLADNGRLKYIERIAERFVDLTMAHKGEVKVVVRTVIPLPEKEEKELKETLQDILGKNKTILIEQKIDYSIMGGLVIEFGQKVFDMSIRTRAKQMESFLRQPLEF >Et_6B_048929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15603634:15605913:-1 gene:Et_6B_048929 transcript:Et_6B_048929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSNLFLAHAAYIVSRYLISDGGGGRVSSSMIPLATSNTVAMPMAMWNSKWQCMNHAPGLSATNRMAAHPSLGTCTVFFSNGSTRLYLLTSLDGL >Et_1B_012830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35431414:35433024:-1 gene:Et_1B_012830 transcript:Et_1B_012830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASSLSELGFDADGSSSGFFRPVADGASTPTAHRRRLTKISVIGTGNVGMAIAQTILTRDLADEIALVDALPDKLRGEMLDLQHAAAFLPRTRLVSDTDPAVTRGSDLVIITAGARQIPGETRLNLLQRNVSLFRKIVPPVAEHSPEALLLIVSNPVDVLTYVAWKLSGFPASRVIGSGTNLDSSRFRFLLAEHLDVNAQDVQAYMVGEHGDSSVAVWSSVSVAGMPVLKSLQQSHSSFDEEALEGIRRAVVDSAYEVISLKGYTSWAIGYSVASLAASLLRDQRRIHPVSVLARGFHGIPAENDVFISLPARLGRAGVLGVAEMELTEEEANKVRRSAKTLWENCQLLGL >Et_10A_000592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13376370:13379692:1 gene:Et_10A_000592 transcript:Et_10A_000592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFYAISYFKFNFIFQIRRSSYQNVVRVSEVEDILDISNAQNYVINSAKVVFLNGPNNCSGSGASIGKALSSSSHNCETCYGALLDSFTLIRRSSYQNVVRVSKVEDILDIDMLISSEENHQFGHSEESDKQSLPLGRSDFLGV >Et_2A_018857.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:9531576:9532337:-1 gene:Et_2A_018857 transcript:Et_2A_018857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATDSDPLSPGRLPTEKPPFTLAEIKRSIPPRCFHRSLARSSAYLLRDVAAAGALQLLLGWPLYLACNAAGHPYPRFASHFDPYSPIFSGRGERLQVVLSDAGVLAVALALCRLGSLGTVARLYVAPLAVVKAWLVLVTYLQHTDPAVPRYCITEWDWLRGALATVDRDYGAFLNAAFHNIADTHVVHHLFPSLPHYHAAEATAAIRPVLREYYRFDDTPIARAAWRAARECVYVEPEGGRDGVFWYGNKF >Et_9A_061983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18549502:18551468:-1 gene:Et_9A_061983 transcript:Et_9A_061983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSDVPSGTPLLVFAAVTLLLAAMGAEAETRRYQFDVQMTSVTRLRSTKSVVTVNGQYPGPTVFAREGDHVEVNVVNRSPYNMSIHWHGVRQLFSAWADGPSYITQCPIQPGQSYTYRFQIVGQRGTLWWHAHVSWLRATVHGPIVILPPAGVPYPFPAPHAEVPLVFGEWWRNDTEAVLAEGLRTGGNPNISDAYTINGLPGPLYNCSAQPQDTFKLKVKPGKTYMLRLINAALNDELFFSIANHTLTVVDVDAVYVKPFTVDTLVIAPGQTTNVLLTAKPTHPGARYYMEARPYTNTQVPGYYDNTTVAGILEYEDDPRPVGSSSSSKKSLPIFAPDLPPINGTSFVANYTAKLRSLASAQYPAAVPREAHYSGRSNGVYSTDFPVVPPVPFNYTGARLNNTNVMNGTKVAVLPFGASVELVMQSTSFFGAESHPMHLHGFNFFVVGQGVGNFDPAKDPAAKFNLVDPVECNTFGVPAGGWVAVRFRADNPGVWFMHCHLEVHMSWGLKMAWLVLDGSQPDQKLPPPPSDLPQC >Et_1B_014065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29531854:29533681:1 gene:Et_1B_014065 transcript:Et_1B_014065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAAYELAVGADEPRQQRGFVLPRLRNHRFRAAAYVAVWGAAGILSTTAPGVAANLDHVMLCFLFLMAGVLLLFLAIAAQDHPAAERAAARLEGMHERKMS >Et_9B_065875.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:16032689:16034272:1 gene:Et_9B_065875 transcript:Et_9B_065875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAATLHVAVHALASSLQPHVASVFFASVACTVALAVLLSALRLRPPWWCACAVCEAYVTASWAADFDNLCDWYAHLLRRAPGRTVHVHVLGNVLTADPAAVEHMLRERFDNYPKGAPFSAILADFLGRGIFNVDGDAWLFQRKLAAAEIASPALRAFAVSVVASELRCRLIPLLHSAASSGDNGDGKLLDLQDVFRRLAFDCICRISFGLDPGCLELSLPMSDFADAFDAASMLSARRAAAPMHLLWKLKRLLNVGEERRLRDAVRLVDTLAAEVIRQRRKLGTAASGDDLLSRFMGSIDDDRYLRDIVVSFMLAGRDTVASALTAFFLLLSDHPEVAAAIRDEVARVVAGDRDGDDRPAAAVSSDDLKGMHYVHAALYECMRLFPPVQFDSKFAAGDDTLPDGTVVARGTRVTYHAYAMGRMESVWGPDCAEFRPERWLRAGRFVPESPYRYPVFQGGVRVCVGKELAIMEMKAVIVAVVRSFDIEAVGRSSHRPKFAPGLTATFAGGVPVRVRRRARRTELT >Et_3B_030026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:371681:376067:1 gene:Et_3B_030026 transcript:Et_3B_030026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALGTLSAGGAGVAGLLSLRRRAAPAPAPALPTPQPPAIKCAAVPDAGQLVWGRQLRPSLLLPAAPLSARKQTIRPPAAAAGAEEAKPAGFAEKYPALVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSAIHLGVGVIYCLGSWSVGLPKRAPINSNLLKLLFPVALCHALGHVTSNVSFAAVAVSFAHTIKALEPFFNAAATQFILGQQVPLSLWLSLAPVVIGVSMASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALIVCIPPALIIEGPQLMQHGFKDAIAKVGLQKFISDLFFVGLFYHLYNQIATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGLKEIYIDITSNIHNNFHYKKSLK >Et_1A_005889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15150476:15152972:-1 gene:Et_1A_005889 transcript:Et_1A_005889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQHTNLFPVSLVNNPNLLIASTCIYMGDKKHPVSFPNAAESVNNAVNKPKYATICQRQRISDLPDLAGFALEHAEVAELGHYLGEPLHERVGARCVVGDVRGGGGVRGGLLVDLQQRPVAQELRVVVVVDGEGRVRVHERHGVLVDGQVGGAAGLEHVAPELLVHGDRKLEQCAPPTVCAPKSTTASSAENPLAANARSASSSLANGAGRSTSHGSDRVPSRRPSGTP >Et_6B_048649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12064753:12070790:1 gene:Et_6B_048649 transcript:Et_6B_048649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVWRPRPPALALSSESVSGVLAASGRTRTCCLSSPSQAGIVKTAAAELSRHRVRVNCISPYAVPALMVDQGAANKAQVAIVIRCLGELKVATPTCEAIDVAMAAVLEGKIALITGGASGLGKATAHEFIKEGAAAVVIADVNSKLGLETAKELGPKAHFIPCDVTIEDSVAAAVDATVSRHGRLDVVLNSAGIAGSLIGTSRMAEMDLAAFDAVMAVNVRGTLAGIKHATRVMAAAGAGGSILCMASVSGVLGGLGTYPYSVSKFAVAGVVKAAAAELSRHGVRVNCISPYAVPTPMVVEQFSTMLQGAADEAQVAAIIRGLGELKGATCEAVDVAKAAVYLASDDAKYVSGHNLVVDGGFTSYKRMNLPFPTKALEGQTKRKEDANFIKTAEESGNSRAVVITDINSKLGLKTSEELCPMAHFVFCDITVEDIVAATSSSTVNSAGPPSGPC >Et_2B_022570.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26094657:26095337:-1 gene:Et_2B_022570 transcript:Et_2B_022570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTKRSMYPGAVLPAGTKLMQQPMPSSAPTLRFVSRAEASSVPFGHEHLDAILARFGIPKGSKKADQVSETLTTCKTVPMADPHTCATSWQAMVEFAAASLGPGTLRPAKTVVYGGEEEPARYVVAPNGVAEIGVAGAGDGLVPCHPMPYPYEVFFCHRPKNTRAFRVELAEQEDGARRATAVAVCHMDTSDWDGRYFQMLGERPGEPICHFMPQRYVLWIGSPV >Et_1B_009757.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:24790314:24790763:1 gene:Et_1B_009757 transcript:Et_1B_009757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPSPMRVVSSRTVRPPARAREVIPLTAWDVSLLSADYIQKGLLFPPPPFANISGLLDHLHASLVDALAIYYPVAGRFTVDRVDDACCSVSVDCAGQGAELVHAVADGVSVGDVLRPDADVPQQLVRSFFPLSH >Et_10B_004036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9495730:9498351:-1 gene:Et_10B_004036 transcript:Et_10B_004036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALRRASGSVRTPPPPPPPRPRPPPPAAGGAPQDRIDAPSNEDVGTPTKNAHGVLEERDPSYDEMLKHMVGRITAKPGGKPEMGEASIVQRYDRPLPKVRTSKFEPGPSGSRQLPDGALNVQRIQEIIQLYQGKSNSHHGPMSVDDIASKFRVEASIVQNIVQFVSLPQDEGVKKEPE >Et_8A_056361.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4069092:4069400:-1 gene:Et_8A_056361 transcript:Et_8A_056361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPRQHQSAASRAQAIIYITWNLWKERYRRVFDNKALSADQLVTVIRQDLQAWHTAQHIWE >Et_9A_061910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17728288:17732305:-1 gene:Et_9A_061910 transcript:Et_9A_061910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RGRMAEPSKVIHIRNVGHEIAESDLLQLLQPFGVVSKIVMLRAKNQALLQMEDMHASVSALQYYSTVQPSVRGRNVYMQFSSHQELTTDQSSHGRNSDQDSEPNRILLVTIHHMIYPITVEVLHQVFKAYGFVEKIVTFQKSAGFQALIQYQSRQEAVEAFGSLHGRNIYDGCCQLDIQYSNLSELQVHYNNDRSRDFTNPSLPTEQRPRTSQHGYPDPAGLFAFQQTGASYAQMGRAAMIGAAFGGNLPNGVTGTNERCTLIVSNLNTDKIDEDKLFNLFSLYGNIVRIKILRNKPDHALIEMADGLQAELAVTYLKGAILFGKKLEVNYSKYPNITPAPDAHDYLNSSLNRFNSNVVKNYRHCCAPTKMIHISALPQEISEDAILNHVSEHGSVVNTKLFEVNGKRQALVLFETEEEATEALVSKHASSLEGNTIRISFSQMQSI >Et_6A_047557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7277795:7278276:-1 gene:Et_6A_047557 transcript:Et_6A_047557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHENTDEQRNAGRDQSNPTAHLASWLFLHRQQAHISAFLDALTSAALAVAVLAGDADDARLPPPVLGDLGHGDAVHVSAGGHPEPAPEPPRRALGVVPPLAVLLLVGAPLAIVCWLFVCLIGFPSRLRPCDGGATRVFDGLQDPH >Et_1A_007483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35029574:35041137:1 gene:Et_1A_007483 transcript:Et_1A_007483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLLVYLLLVLLFVIPAILFATKRPTPRGDGAAGRLPPGPWALPVIGHLHHLAGALPHRALRDLARRHGPLMALRLGELRAVVASSPAAAREILKTRDPAFASRPLCPMMALGYHGADGIIFAPHGDGWRQLRKICALELLGARRVHAFRAVREDEAGRLLRAVADAADASASPVNLSERVAGYVADSTVRAIIGSRFTDRDAYLRLLQEGLKIMPGMTLPDLFPSSRLARLVSTVPGRLRRHGRLMGEFIDAIIKEHHESRASRQDDQEEDLLDVLLRLQKEVDSQYPLATQNIKNVMLDIFGAGNESSATTLQWAMAELMKNPRVMNKAQDEVRRALSDQGKVTEDNLTNLHYLHLVIKETLRLHPPAPLLLPRKCGSPCQVLGYDIPEETMVIVNAWAIGTDPAHWDKPDEFVPERFERNGRDFKGADFEFIPFGAGRRMCPGMAFGLAHIELALAALLFHFDWKLPGGMAAEDLDMTEAFGVTTQRQSDLVVVPMPSCISGVASAECARTRAMQFIDTMIKEHQVSKPSGDGDEDLLDVLVRLQKEADSRYPLTTRNIKNVIWDMFGAGSNTSDTALQWAMSELMRNPNKMKKAQGEVRGALAHHGKVTEDDITNLQYLHLVIKETLRLHPPAPLLLPRKSRSPCQVLGYEIPAETMVVINAWAVNTDPTRWFHPDEFVPERLRANFKLIPFGAGQHMCPGMAFGLAQIELALAALLFHFDWKLPGGMAAEDLDMTEWWGATTRRKTDLVAVPVLRARRHGATGRLPPEPWSLPVIGHLHHFAGALPHRALRDLARRHGPLMTLRLGEVPTVVASSADAAREIMKAHDAAFASRPLGRMARLLYQGAEGVIFVCTLELLSARRVQSFRPVREDELGRLIRSIAEASSSSSSRTVNLSKQISAFVSDATVRAIIGSRFKDRDGYLRLMREGLERLLGTSLPDLFPSSRLAFLVSRMPGWIKRRRVRTRLFMDNIIQEHHESRARRGDDDDDEDLLDVLLRLQKEAGSQFPLTTDNIKTVMMDMFNAGSETSTTTLLWAMSELVRNPRVMRKAQHEVREALAGRPTVEEDCLTNLHYLHLVIKETLRLHLPVPLLLPRECGSPCQVLGHDIPEGMRVIVNAWAICRDPAHWDRPDEFVPERFEGSEIGFRGTNFEFIPFGAGRRMCPGVAFGLAHIELALAALFCFTSSGSCLMEWRLRSWTWLSPLGSQLSAALTFWWSLCLLSPYLLLLPLIAIPLIFLALSRGRDGQPRLPPSPWALPVIGHLHHLAGAAPHRAMRGLARRHGPLMMLRFCELPVVVVSSPDAAREIFRTHDVDFSSRPIGSMLQLVFRGAEGLIFAPYGDAWRQLRKICTLELFSSRRVHSFRPVREDELGRLLSSVASAAATAAPLNLTERIKAFVADSAVRAIIGSRSKHRDDFLQLLEEGLKIMPGLSLPDLFPSSRLAMLVSRVPGKIERRRRGLYAIVDPIIQEHQEKIAAGGDEDEDLLDVLLRLQKDMDGQYPLTTLNIKSVIIDMFGAGSETSSTALQWAMAELMRNPAIMRKAQDEVRSALAGQDKVTEDSLTKMRYLHLIIKETLRLHPPVPLLLPRECRSPCRVLGYDVPQGTMVFVNAWAIGRDPEHWDAPEDFVPERFEHIGRDFRGMDFEFVPFGAGRRICPGMAFGLAHIELALAALLFHFDWSLPEGMVAEEMDMTEAVAITAPPWFDLVLLPLYLQFVLLLVIPLVLNATARRAARRGHGAAGRLAPGPWALPIIGHLHHLAGALPHRALRDLARCHGPLMTLRFGEVPVVVASSPDTAREVMKTHDAAFSSRPMSPMQEMAYQGNYGVIFAPHGDGWRQLRKICALEILSARRVQSFRPVREDEARRLLRAVAAAAASASPVNLTELISAYVADSTVRAIIGSRFKRRDEYLRMLHEGLKIVPGMTLPDLFPSSRLVWFFSRAPGHIIRHRDEMREFIDAIIKEHQESRASSDDEDEDLLDVLLRLQKEVDSQEPLTTENIKTVMLDMFGAGSETAATTLQWAMAELMKNPRIMNKAQDEIRRAVADDAKVTEDDLTNLHYLRLVIKETLRLHPPATLLLPRKCGSPCQVLGYDVPEGTTVIVNAWAIGRDPEHWDEPDEFMPERFERNGRDFKGADFELIPFGAGRRMCPGMAFGLAHIELALATLLFHFDWKLPGDMAAEDFDMTEAFGVTTQRQGELLVVPVARVPVSMECTE >Et_3B_029155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22584256:22586125:1 gene:Et_3B_029155 transcript:Et_3B_029155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPQGWPGPSPALVRIQARTTFRHHCQKSADHHPEPEPELDDYDPDDMALEGVPFVDLIPANSEDPFMQELRKFHVAYCAAAGMRVPPDMFRNEKAVISGYMMKILSSRAAIQNVTNKVMALIVCAQAQTARDLASMVLDLTDIESLDVGTTEFSQHTLNQVPLTIMQARP >Et_2A_017484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34833237:34835481:-1 gene:Et_2A_017484 transcript:Et_2A_017484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDLDFSNPETYLCPAIGDDPPSSCSMDSYFDEFLKDTEHHACTHTHTCNPPVHDNSHTHTCVHVHTKIVAASPDAAVRKYREKKKAHTASLEEEVVHLRALNQQLMKKLQNHAALEAEVARLRCLLVDIRGRIEGEIGAFPYQRPVKNIDLVSSVDQGSFLGGAQVANSCDFRCSDQMYCNPGIQGAISGQALGQAACDIANIQCMGNAKSGSTKIPVCGGLLVVLIVSMAETGFGVPHPRNAV >Et_1B_011072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17199686:17201492:1 gene:Et_1B_011072 transcript:Et_1B_011072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATASACTDATWWAYALPAFLGANTLCAHPALVAGALLLAAVSATLLAWAASLGGPAWAHGRGHRGATPIRGPRGLPVFGSIFTLSRGLPHRALAAMARAAGAQDLMAFSVGDTPAVVSSSPGVAKEILAHPAFADRPVKQSARELMFARAIGFAPSGEYWRRLRRIASTHLFAPRRVAAHEPGRQGDADAMIRAVAGEQAAAGAVVLRAHLQDAALNNIMGSVFGRRYGAGDAEAEELKAMVREGFELLGAFNWSDHLPWLARLYDPSNVARRCASLVPRVQAFVRGVIDDHRKNSAAAAAGDNADFVDVLLSLEGDEKLADDDMVAVLWEMIFRGTDTTALLTEWCMAELVRHPAVQARLRAEVDAAVGGAGGHVTDADVARMPYLQAVVKETLRAHPPGPLLSWARLATADVALSNGMVVPAGTTAMVNMWSITHDPAVWADPEAFAPERFLPSEGGADVDVRGGDLRLAPFGAGRRVCPGKNLGLVTVGLWVARLVHAFEWTLPAGAPPVCLDEVLKLSLEMKTPLAAAAVPRAVAA >Et_8B_059330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16709309:16711159:-1 gene:Et_8B_059330 transcript:Et_8B_059330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISKKKKFVSDGVFYAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVVNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKVGPTTPLPDLVTIHAPKEEDELRPPVLVPEV >Et_6A_047853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21286675:21287769:1 gene:Et_6A_047853 transcript:Et_6A_047853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWSSSCCAGATTSAPRAVPSAGVRGERLRCAAGSSARSWRRQGRKRIRLSVRATATPPPPVDYAADAGAGTDTADVASLKIKLLSAVSGLNRGLAASQEDLDRADAAARALEAAAGGGGPVDLNKDLDRLQGRWRLLYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDVVSRDLDNIVELELGAPWPLPPVEATATLAHKFEIVGKSANRTETPPFCPPALLCSASFIVVGLTANWTSGIKITFEKTTVKTKGSLSQLPPLEVPRIPDNLRPPSNTGSGEFEVTYLDDDTRITRGDRGELRVFVIS >Et_5A_040641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10716751:10721875:-1 gene:Et_5A_040641 transcript:Et_5A_040641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAAMPHESASWRDPSRPTPSRGFFNILVPPPQHPTAAAASFSTSSSSSCPDAAPTPRRRRQILDRWAAAAAAAVTATAAPAAPRRAREAELSALASATRPVSSRAAVFREPSPAPSDASSAAPELPPSGPRASSLIQRWREIEAVGPATPRDASDSESGGGGGGGTSPRGHRVGCIVKKLSGASSLPEDELDDAARSEPALSQSAPPSPAPVRCGGGTSINVPRPQQLVVRTVRGRRAMEELVAKMAHRRRREVAALADRHVVSRFAHKGRIQSMLRLRLLRQGGTVEDDVWTLLRPVRPHQPKHVPEDSTMSNCSADERQRDDRAPPEEKSIGVCVESLVSSDGSGNLQCDELMKTDGNECPKDCANLGVHSQKYSEAASFARYGEHSTVNGNPYVEDVSPSTTSTLRELETPSSRGDNVREDNLSLNGSWEERGLWMNSPGWPAPIDSMSPDSWHQDAMGDIENQSQIEFNDRPWIDSPNSWRSLCVTTQLDCRALSRNADICNLLESKKVSKSLESDFSNKMNHLLRTVLHKQRQQRIMDDFGGYYDEPMFWRQNDQVQNTEHVTSAPCSLAPVSHLAAHQQEGWQHSSFGSQHHDNQNFLEMEVRVRGEVSQIHHEIYELRKLVESCIASQVKMQNSIKEEVCCALREAGLMTSQHDSTTKRVSCCICHRMQVDSLLYRCGHMCTCFNCADQLKSSSRSCPICESPIDDVVRAQMNF >Et_4B_037900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24658783:24659896:1 gene:Et_4B_037900 transcript:Et_4B_037900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGARGELGVAIERHGMQARALAAKLGRASPPPSLEGSRQIPSPVVPLPSPLASALSALLSVAADAGRLGHFHTVSLLSSSAASLAQLAAYACLLAAPGSLLDSGDLLPASRERAESSAVVPHLCRALESGAGAASEHACGSLHLLTAGSRDAAAAVAVTTPGARAGKRVSVCSISFSSNGQKRKRVPDGYDGDCHVADEPGTRRPRVAAAEGGSATSGSGTTTTMFDQGFCTAHASEDRDLLHDSSSWSTTTTLSQKSGLPQEEPKKAWNFWPFFLMTSRRFLT >Et_2B_022005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:786616:789694:1 gene:Et_2B_022005 transcript:Et_2B_022005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYWRYAADPRQQQPPPPSGPHPGAVGGAPQAVSQMAAAGVGQQPMKRPRPTDFSDVPGGPDMTGYYPRDEERAAYRAARDSEALNASYERFLRTGQIQSYGAAPGGEPMRPAVGGNAGYPVDDRPMMAARGMDNRNIGYGGGMPEPSLPPDASNTLFIEGVPTGCSRREVSHIFRPFVGFREVRLVNKEPKHPGGDPICLCFVDFADAAQAAIALEALQGYKFDEHDRNSPHLRLQFARFTGPRGNAGPGGPRVRR >Et_3B_028254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12323281:12324124:1 gene:Et_3B_028254 transcript:Et_3B_028254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDNDAGGNAIAQALYITFHRRYGSGVGGLVCLAVVAVAIFLGDAACVTSNSRMGYAFSRDGAMPFSHIWYRVNKQEVPLNTVWLTVAVAFVMALTSLGSQVAFQAMVSIATLGLYIAYALPIFFRVTTGRKSFVPGPFHLGRYGVALGWVAVAWVALVTVLFLLPEAYPVAEENFNYTPVAVGGVLLLSVGAWVLNARFWFKGPITNADDQA >Et_1B_009745.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2308373:2308660:1 gene:Et_1B_009745 transcript:Et_1B_009745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAFLSLSPELRDALAKVAVFVLVQALVYLILRNSSNVFSKDSKLRSLSFRPMRSMSVRRVLAPLSDVPVGTDEPSTSPSLSSTASRRRATRED >Et_5B_043283.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:21922187:21923266:1 gene:Et_5B_043283 transcript:Et_5B_043283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DEAAHGADELAGGRGPRVERVEPVRLAGELGELHGLAHGREPLGVVDDAVAQHVEAAGDHEHRPELYGFQTRTLRTERVRCRVVPGGAGRQRQAPEPVEQVQVQEHLGGALRLRRRPDHAAEERHQEDVASHGDDVVEAELAGAAHSEVVRDGAAGGVAGDEHAPEVGGLGEPGVVLGGLVAEPAEEGGAVVDGGGEAVLGGEAVARGQHDGAGVRGEAEAEGVHVRPRARAQAEAAAVEVDEHRELLLPGLAGAGRAGLVHADAEVLGLVVDDLLPLHRRVALREGGRAERRAGRPEDGAVAEDAEEAEEVLHHVGRRRWRRGGAGGGSLDGRHGWHVACSRLRAGENLKERRTRLLS >Et_9B_064966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20014286:20020749:1 gene:Et_9B_064966 transcript:Et_9B_064966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAWNGHSWPHFWFVNGAAGPLFLLLRLRLAPATTSRCIHWLESLAACLRILKSRGLGIMDLFATDSDCDSYSGTSDSEDQEECEFAYSDHAKNILSSLDESIGKIDNLLTFERGFLHGDIVCPVSDPSGQLGRVIDVAMFVDLETSSGDIIKDVNSKKLSRVRSFVSGDCVVMGPWIGRVIRAFDLVTVVFSDGAKCEMLLRDSEVLEPIPPILFEDAPYFYYPGQRVRIMHPSVSKSATWLCGSWRPSRDEGVVSHVDVGLVHVNWITSVTNVWGDRSSNPSNYQDPKDLTLLSCLPYANWQLGDWCALTADVDGCLWMNSSKCGFVTEAQKCDSLMRMGFETYGSGYSQTYVVAKTKSTVDVLWQNGSISFGLEPQTLVPVSTLGDHDFWPGQFVLEKVTEDDAARCQRTGIVRDVDAIERTVNVKWTVPADTCTFKYESGPTVETVSAYELVEHPDFSFCTGEVVIRSAVNIDEAETGLTNGSIATREPSVTSCDFLSCVGNVLGCKADAIEVQWASGLISKVQPFEIIGLDRLLDSSVESMTEEHTTGDLEDVSEQEEMHRDNTNNAQEYLTEDCTGSLCKATAFLFHKTAFDFLTNVAASLFGTHGAPPSRSVLVDSQYEIVKTAELQPERQPSVEELWEEKQTLELVGQVEKPNLSSENDILKRFDIVTDHSDHHFLKDNGHNNIARGWVKKVQQEWEILQNDLPDGIHVRVYEERMDLLRACIVGAEGTPYHDNLFFFDIFFPPDYPYEPPHFEKFVKEHFTYRAPRILDACEAYLGGDLVGHARDSAYISEDGSKNCSTGFKIMLGKLLPKLVATFTEAGIISSQ >Et_9B_065431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:524105:526499:-1 gene:Et_9B_065431 transcript:Et_9B_065431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSRPGEFVASLGPMRYTDTYMETSTNGYGSGTICNQGGSWFKPTDSANFLSVKIVFSSVGFPVNVYGTLIARDSLDQKCVYLFRCTRDQCQLISSVDEPLLSTGPKRGLSLTSSIIFEADLKIKGDQEKATKN >Et_3B_028908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20239246:20241982:-1 gene:Et_3B_028908 transcript:Et_3B_028908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDKAQQAELPAAARAWVPGAVIVGAGPSGLAAAACLAARGVPATVLEMSDSLASTWRHRTYDRLTLHLPRRFCELPLLPFPAEYPTYPSKDQFVAYMESYAAAAGLLMARWLVVATGENAVPRVPDFPGARHFAGRVMHTCEYKSGDAFAGEKVLVVGCGNSGMEVSLDLCRHGAKPTLVVRNTVHVLPREMLGLSTFGIAMALLKWLPVRLVDRILLAAAHLALGDTGQLGLRRPKTGPIELKNLTGRTPVLDVGTLDYIKTGKIKVVGAVKEVTRRGVRFADGKEEQFDAIILATGYRSNVPSWLKDGGDVFTREGMPKTPFPNGWKGKNGLYTVGFSQRGLLGTSSDALNVANDIHSQWKDTGRLTNNVLDSNNSV >Et_1B_012394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31577632:31585505:1 gene:Et_1B_012394 transcript:Et_1B_012394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLKEDERNERIIRGLLKLPANKRCINCNNLGPQYVCTNFSTFVCTNCSGAHREFTHRVKSISMAKFTAQEVTALQEGGNERAREIFFKEWDAQRNAYPDSSNADKLRNFIKHVYVERRYTGERSADRPPRGRDDKDEYSENRRSDGNWGGSRSPPNGSYSDRRSYSGRSDDRNSRYSYGDRSPGYDQNDYKKSPSYFEVVDGRSGKTTPVQRFEDRRSSEPRKPDTGSPNYQKEADASSPVVRPVRDILGDEAPQLRVGEPSKPVAEPPKPVIARPIDPPKPNGTRVLDPPPQAKTVSATNNVAAPEAPSEQTKVASAVSLIDFSEDPEPIASAPPPQQAPAPQQHPVNAPASHPGLEQGKGAPSVSGGDWASFDAFGQQQTVQSGSSVNPLESALAQLSFSETPSVPNTSSFLDSTLKANDGGQSSVVDQTPSLLFDGSFGISGNQASTVMSTQGSSVQQSPLVAPSPGLPSQTTANPQGTSGMQGAASSTDSRPSGRKELPADIFTALYPTAAPTMPGWQRAPQFGMGYAMQYPAGVGMQGYPQGAFPQPAYQQPPTYQQPAYPQQPYSQPVKASNPFDFGNEPAPVQAHMPPSGPLGAAAGPAPQTLGTSSFGVPPQQPHQLYQSSAQSHFMMQQVPNSMPQQVPNTMLATQQGGLGSFNMGFDQQAPPRYPQPSNPPSFGSVGGNPFGVQSIRGKKANDAALITETGTFLGAK >Et_2A_017794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6306366:6308015:1 gene:Et_2A_017794 transcript:Et_2A_017794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARTLAARVRVELRHAPSLRSLLCRGYSPRAAAAVDDIAIDEDPPLAASPSTSTAGVAATAPTVLQPRVLIYDGVCHLCHRGVKWVIRADKHAKIRFCCVQSKAAEPYLRLVGMDREDVLRRVLFVEGPEAYYEGSTAALKVASYLPLPYSVLSTLLIVPTPLRDAVYDYIAKNRYDWFGKDDECIVTKDKEILERFIDREEILGGGPSNSF >Et_7B_054778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4394490:4402035:1 gene:Et_7B_054778 transcript:Et_7B_054778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICFAVSPAPSPDGSQPQKNSPNSIHKNNARLQIWRIENFKPAPVAASSYGKFYMGDSYIILKTTALKNGSFRHDIHYWLGKDTSQDEAGTAAILTVELDAALGGRAVQYRELQGNETEKFLSLFRPCIMPQPGGTASGFNHIEINAQEHVTRLYVCRGKHVVHVPVARSSLNHDDIFILDTKSKIFQFNGSNSCIQERAKALEVVQYIKDTFHEGKCEVAAVEDGKLMADVEAGEFWGLFGGFAPLPRKASSQDNAEDKEVVTNQGKLENTSFESLVHELLQSNKCYLLDCGAEMYVWMGRNTSLQERKAASEAAEKLLIDGRETKPHVIKVIEGFETVMFKSKFTEWPATPELKLTAEDGRGKVAALLKSQGLDVKGLMKAAPVKEEPQPYIDCTGHLQVWRVNGNGKTLLSAADHSKFYTGDCYIFQYTYAGEECLIGTWFGKKSVEEERASAMSLASKMVQGAKFQAVQARLYEGKEPVQFFVIFQSFQVFKGGHSSGYKNYIAQHGIDDDTYSEAGLALFRVQGSGPENMQAIQVDAVASSLNSSYCYILHNGNTVFTWTGNLTTSLDQDLVERQLDVIKPDMPSRSQKEGRETDQFWEVLGGKSKYSNQKVGKEPESDPHLFSCILSQEIHHFSQDDLMAEDVFVLDCHSDVFVWVGQEVDPNMKSQAIDIGEKFLVIDFLMENLSRETPIFIVSEGCEPEFFTRFFNWDSKKSLMHGSSYQRKLAIVKGGAAPSSDKPKRRTMAFSGRSAGQDKSQRPRSMSTSPERPRVRGKSPAFTALTSAFESQSTRNLSTPPPAIRKLFPKTGAPDSSKPSSKQSAISTLTSSFEGSMKGIIPKSVKGSPEPEKAIQEDATGDGGENEDELEDDEGRTIHPYERLTTAAEDPAPDIDVTKREVYLSSAEFREKFGMSRAAFSSLPKWKQNKLKSNLKLF >Et_1B_012390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31497171:31497840:1 gene:Et_1B_012390 transcript:Et_1B_012390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TVNTPMVAAKFLHLEYLQIYLDGDLSPSYDYLSLGSFLDASPVLETFILGVKQNGMNFFNSVFGDPSHMRQIPERKHGSLKDVTILGFCSAKSMVELTCHILENVTKLESITLDTIFDQEDEDDIELVDASHKVIGKSWKPGEDSWPSKNTLWGRFPPLLSWMFGGLVSGAILRRPIGFFSYPVN >Et_5A_042686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25838927:25841915:-1 gene:Et_5A_042686 transcript:Et_5A_042686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRPRKAAADFQLLPCEHSPKRRRAATRTTWSSMPGDLVESIAERVLAGDPVDYIRFRAACRHWRSCTVDPRGRGVADLRFHPRQWMMLPEGHGLYPGHAKLRGRVRFFNRATGAFVSVHLPLFVDHAALDSPEGLLLLQRDADTAVRLLNPFTGDIMDLPPLSSLLPQLYEFTPPQPRLGGDDRLRYFRRVCAAISVAPVTGTITVLLSLEHCCRFAHACATDKSWTLTSWSVKAVSRALAFHGSLYMVYNGGTRSILRLDPPTPPLDEDGGGSSSPVVLQQPQMIATLPANLMILPQLVDCDDEILVVGSTDISRSHLVVLRLADLLTQGPRPAVPLKSIGDHCLFLGMCSLAVSSKGLPSIAGNAIILCRSIPGRLQQYNLGDDTLSPACDGDIARTPPPSPHSIVHHLVTCCYRYFWNKGLVYCTATEPSWKTKRKARCHDKGRDPASFTSPPVEETSRPSLSGAPAPKSIPQQAPRGYNDAILLNVYISENSSPSPPFDVTVAMTLRSPWSTAKRQETHVQ >Et_4B_037647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22069844:22074080:-1 gene:Et_4B_037647 transcript:Et_4B_037647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLDAFASKLVDILAGMAKDEVEMLLGVPGEISKLETTLGDLSSVLGDAERKRIRDKSTQRWVRELKDVMYDAEDILDLCQIMEGKQDPSASTSSAPKTTPRCWKMPSMFSCFRNPVVAHEIGKKIQALNQRLLDLQERSSRFGFITQAINSSAPSTNQAANSWSNGNQHTGPTLVDSDIVGEKVEESKRKLIDVLIKKVNAPAGSKGSNVSVAVAITGAGGIGKTTLARMVFNDATMSESFDKKIWLMRLRLIAAFGGSYNGLAADKALLEQASKKIVGRQKKFFLLMDDVWSDKVWTDLLRAPIIGGAFGSQILVTTRNRGVAHGMKAQYLHQVDKLGAEDAWILLKKHVVLYGNYEDEVDELKDIGIKPLERCDGLPLAVKEDICNHFTWSIEDLGDDINRVVYLSYEELHSHLKQCFVYCALFPKDELIRRNDVIQLWIAGGCLPNKTSSKLPEELGLHYYNELISRNLLEPDKENYGNVECTMHDVVRSFAQYIIKDEGLLLSEGQTANRTPNNSGLRHLSISNRLTGWDGLHIQASLRTLMLFGDTTVHLKDLLSNIPCLRVLYIDNANLDELPDSICHLRHLRYLCLSYTSISTLPEAIGGLKFLQAIDLAGCTNISQLPDNILKLQKLRFLNIIGTAITLIPRGLGKLEDMLDLSEFPTHSDDGWCSLEELGPLSKLRELSIGGVEKAYSGSMAAKAMLRSKKHLKSLTLVFTCRCEDAKEEINKDELERIEDVLDNLCPPTCIEDLLIKCYIGRRPPQWVRRMSVFQSLRQFTLEASAYCTQLPNELGQLPSLEFLRIDYAPSIQCIGHGLLISCSGGEADSKDEAPEVLAGQQIGRRQADHISRSIGVAFRKLTLLLFLGMPEWRQWDWEEQVRGMPLLDKLWIDKCKLQRLPPGLALHACRLRVLDLRNALNLVSVENFSSVVELILYDNPKLERVSNCSSLQKIDIINCSLLKAVEHLPSLRSME >Et_4B_039595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23440040:23442800:-1 gene:Et_4B_039595 transcript:Et_4B_039595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMQAAEAAGRLSALLSLLALRRLLAVLQPLALVLLLPFRWRARPGAVTVSAASAVAGDAAAAASGGASSGKKGKSSSAAAAVVLRVPAGSPMVAARRQASARREVAIRRAREAGREYELIPTPRGETLFTQCWWPHPPSSAIKPRALVVVMHGLNEHSGRYDHLARRLNEIGIKVYGMDWTGHGGSDGLHGYVQSLDHAVSDLKMYLKKVLAENPSLPCFCFGHSTGGGIILKAAVDPEVETCISGIVLTSPAVRVQPAHPVIRVMAPVFALIAPRYQFTGSHRNGPPVSRDPEALKSKYTDQLVFTGSIRVRTGYEILRLTSYLQQHLHRIAVPMLVLHGADDLVTDPNGSRALYEQSSSNDKSIKLYDGLLHDLLIEPEKDKIMDDIVAWLSPRV >Et_2B_022916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7982691:7984356:-1 gene:Et_2B_022916 transcript:Et_2B_022916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNMQDMQPFLSAYKKAETTSWHVQPLELHSGIQAVLRQVIRENTGNRSAGILFVDLVRLPVHHRLSPCSPLNAAGKYTKPTATDVYRRDVRRVRSLFAGPGGEIVSGSGSAPAPAPSPAGGVTVPAHGLTVPTEPGVQDYAVVVAYGTPVQPFPMYLETSLGLSLVRCKPCASGASSCDPAFDPSESSTFSRVPCGSPDCRSNCSGSVCPLNSRFLRGTVVRDVLSLGPSAGIVVHDFTFGCVNVDHPGARPVAGVLGLSRDSRSVASRLATPGAPAFSYCLPLSTSGAGFLTVAAARPESPAPGGFVQHAPLVLNPAFPSAYFIELAGISLGGTDLPIPPAADTAHTTAIDVGTSFTFLKPAAYAPLRDAFRAEMARYPTAPPMHGLDTCYNFTGQPELTVPLVRFKFGNGDSFLLHTEHMLYYEDPSAFPFSVACLAFTTSPSGDDQYSVIGTLAQTSTEVVYDVAGGKVAFIPGSC >Et_9A_063222.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16139459:16139872:-1 gene:Et_9A_063222 transcript:Et_9A_063222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNYNYGPAGRAIGRDLLNNPELVATDAVVSFKTALWFWMTPRDNKPSCHAVITGQWAPSDADRNAGRVPGYGVITNIINGGIECGHGSDTRVADRIGFYKRYCDVFGIGYGDNLDCGGQRPFNVGSSEEMLAAQ >Et_2A_014683.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34507466:34508112:-1 gene:Et_2A_014683 transcript:Et_2A_014683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAAKRLYRPPSAAAEPNKRQRTAAAPVMDAAVPVAVAPNLESSESKAQQPEQPLLPGLPDHLAQLCLAPLPPRLMNAVCRPWRRLLYSPSFPPFLSLYAVLDDADGGGCVSFAAYDTVSGRWESLPPPPMPSPPPKLWHPSFLSRRLPLQS >Et_1B_012869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3713129:3715288:1 gene:Et_1B_012869 transcript:Et_1B_012869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGVGVKYGQRERGLDVVDERVADARVLAAAVVLRVGHPLGRPGVEHPAGHPALPRRGGEAEPGGQQEEGFHQVCSLSLTVMPGRRFKCSLRVFRKKMQLEKMKYASIVRVIAHAQQKKAHLMEIQINGGTIAEKVDFGYNFFENEVLVDSVFQKDEMIDIIGVTKGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTIARAGLPHHRTEMNKIGKAGQESHDASTEFDRTEKDITPMGGFPHYGIVKGDYLRIKGCCVGPKKRVVTLRQSLLKQTSRLPGGLALVEKNYAT >Et_2B_021009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25648370:25651459:1 gene:Et_2B_021009 transcript:Et_2B_021009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMAPQRHRAAARKPMWIIMLLSMDWLPTMGRRERTDEEIISSVVIRDILAMPMPVSKTPKIAFMFLTPGSLPFEKLWEKFLEGQEGRYSIYVHASREKPVHSSSLFVGRDIHSDAVVWGLISMVDAEKRLLANALEDVDNQFFVLLSDSFKDPGPHGSGRYSVEMLPEIEQRDFRKGAQWFAVTRRHALLILADNLYYKKFKLYCKPAEGRNCIADEHYLPTLFNMVDPGGIANWSVTHVDWSEGKWHPRSYKAADVTYDLLKNLTAIDENFHVTSDDKKLMMQKPCLWNGSKRPCYLFARKFNPEALDNLLKLFTSYTSA >Et_5A_040775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12197053:12198384:-1 gene:Et_5A_040775 transcript:Et_5A_040775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAVGLTKSVVAGALTKAQAAIEAEGQLRKSAQRDLVFISGEFQMMQSFLSVANEERVKNLVVMAWVRQIRELAFDVEDCIEFVVHLDTKSDRWRRVLHALAPPCIPTAALPLDEAVDEIEQLKARVEDVSRRNARYSLISDTGSKPVAVEKQQPAADAAATAAVDATAAYNLLDEERDAAKMQQGDLTQFVTHKHDELQVISVWGTGGDAGTTSIIRKAYTDPEICATFACRAWVKLVHPFDPHGFVRCLMAQFYQHFYREKHSCCTEFYGPPAVGIDVLKKMDAEKDLLEEFRNHVTRKRYLVVLQGLTSMVDWDAIRAFLPDMKNGSWIIVSTQQSEIARLCIGHSSQVLELRQFSPEHSVCAFFKELNLSWRVVGVSKTPKINIWVSDLMFVPGQRLKSAQQN >Et_2B_020744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23169590:23170020:-1 gene:Et_2B_020744 transcript:Et_2B_020744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDNHLGSELIEGQLGPRRGSGTKKGPSCHDNESYATCLYENAIWWIQFEMTFSQPSGSGNLCHRVTIYVPSLRPASREPCDDRPVH >Et_2A_014950.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23170519:23171073:-1 gene:Et_2A_014950 transcript:Et_2A_014950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLVGLKNMHEKGIIHRDLKPSNILVDSNGKQVEGKICDFDLAIYYDQAVATWNRTPRGTYGYMAPEVHKAKSSCTFESDMWSLGAVMYEVIAGSPLIQGRDPAGMITCMRSLFGTLSNEASTSLGVADGLQAVPKWATDGALIRRQFSRQCLEVLHGLLKLDPSERLSAADALEMDWFARR >Et_8B_059087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13701233:13705207:-1 gene:Et_8B_059087 transcript:Et_8B_059087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSSWWGSEEQRGTPVVVKMDNPYSLVEIDGPGMGGPDKKKARGKNAKQFTWVLLLRAHRAVGCVAWLAAGFWGVLGAVNRRVRRSRDADAEPDAEASGRGRAMLGFLRAFLLLSLAMLAFETVAHLKGWPQYFVVPQHLPGNLQELEDQLQQLPEHLRHLPEQLRRLPERREIEGWLHGAYVAWLDFRVEYIAWAIQKLSAFCIVLFMVQSVDRIVLCLGCFWIKIRGIKPRANVSSSSSGKKASRSRRKDVESGDADDDADGYFPMVLVQMPMCNEKEVYETSISHVCQLDWPRDRMLVQVLDDSDDEVCQMLIKAEVTKWSQRGVNIIYRHRLSRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPNPDFLKLTVPHFKGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVYLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLCGWKFIFLNDVKVLCELPESYQAYRKQQHRWHSGPMQLFRLCLPAVFKSKIPFWKKANLVMLFFLLRKLVLPFYSFTLFCVILPLTMFVPEAELPIWVICYIPVLMSLLNILPAPKSFPFIIPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVVTKKAGRTSSASDILALAEEDAHHPLPARKLTRGMSEGGLQEWGKLREHEAAEWANKEEAAAALAAADSSPATTPKKSSKANKKPNRIFKKELALAFLLLTAATRSLLSAQGLHFYFLLFQGVTFLAVGLDLIGEQVS >Et_5A_040823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1371508:1372313:-1 gene:Et_5A_040823 transcript:Et_5A_040823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAAEEDCLVHVAFNSKATHFVAATATGIRVFRSTPLKHVFSKSGFACPDAGEVISADVALSGSLVAVVFRDPADTGSDDDRVRLWSELTGKMLDKDMNPSSHGAVRAVRQAGNLVLVAGDGRATLHETSKRRTRRADEFETGPNPGGVCALAQRADRAGQPYVLACPLPPGKGGRVQVRGSRGRRVDVDAHSSGVACVALSRDGRLLATAGSKGTLLRVFSTADGKKLQEIR >Et_3B_027540.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26530742:26530993:-1 gene:Et_3B_027540 transcript:Et_3B_027540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGLLRRTRPNTIYITVVSSNLVRPLLSSHFFMFSSSSWCHIQPGLAVRDLPSVGSSQSCRRNITQRWYHPTKVDRLKRSKL >Et_3B_030262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31834982:31838508:-1 gene:Et_3B_030262 transcript:Et_3B_030262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRRQPSRALPLDFNVDDDGQEASKGATSLEGSQTPRAGNGGGRRGDAGKGLEGQGKKPPPATGGRTSSEGIMDKKSQDDAPADFPWSLRAKACSDSSIFLSCSLVSGSIRLPSSSNFFGGWCALVNTSGLLMKWMSRKTPTCLMWYCARLPPDPPDALRIAAALSAQQFGGRDPQSKAFFRGPESEAVCPADLLAERLDGRREPSVDLKVGVEQRQVRDVDVVDLQPRDGVLANLRGFTNAGGTRRVSSHTTQQDSLNQDKTSARIDRDRRSCLPARMRPVLAEALRSEPEIAATLRTVSACGCAAAAVVAPSMFFELDGVRSVLPGCLLQCGACRSAGVYVIQL >Et_1B_011081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17310820:17320395:1 gene:Et_1B_011081 transcript:Et_1B_011081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPKPEMLHLAGIRDTLMRSSHLPLAAAHLRRLLLFSSSSTNPDAPARHLTHHAMAPAVATQTKASEESARAQAGDRCGKSDEFDRAADAVAAATESYEEVLQRLSSLITRKERSHGGRSNPGGRGNTWHRMARYLKMPELEEPIARMKVIHVAGTKGKGSTCTFTESILRSCGFHTGLFTSPHLMDVRERFRLDGMDISEEKFLKYFWWCWNKLKEKVDDDAPMPGLFRFLALLAFKIFSAEQVDVAILEVGLGGTFDATNVVEAPVVCGISSLGYDHMEILGVPAYTAPQPEEAMISLKHRASELGISVQVAEPLEPRKLKYQQLGLHGEHQYMNAGLAVALASTWLEKQGRKDLLVPANCTDPLPDHFTRGLSSASLQGRAQVVPDSQVNSEDKDQNYSLVFYLDGAHTPESVEICAKWFSNATEEERTLPSSTKQSPSCGNSKKILLFNCVSVRDPMRLLPHLVNTPTRNGVKFDMALFVPNQSQYNKLGSSASAPAQPEEIDLSWQLSLQSSEITNSSKTSKVFESVPLAIEWLRKNARENRSSSFQVLVTGSLHLVGDVLRLIKK >Et_2B_021148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26963896:26968627:-1 gene:Et_2B_021148 transcript:Et_2B_021148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAEGEDEPPPQGEGDAQLAADAPADDAALMEEEEKSFAAEDVPAVAVAAGTADSVMGSPCPEGAGAEEEGDDGEDRSADPAVDAVGEAEELENGDGAVKADGEKGSEAEDGGGSDGENKGMDGQNQPAENQLVLVPPSEEDLALAKVSNNSFFFDYSTGGDDSGTEEEQAAFMKELERFYREKMMEFKPPKFYGEGLNCLKLWRQVTGLGGYDQVTSCKLWRQVGESFKPPKTCTTVSWTFRNFYEKALLEYEKHKIETGEFHVATSTLSDRIGSDNQISHASGSGRARRESATRAMQGWHSQRLLGNGEISDPIIKDKGAIVLKKDKTPKSSGSAKRKRTPSLDDDRVIPYKSDKLQNDSMVVDMGPPADWVKINVRRTKDCYEVYALVPGLLREEVHVQSDPAGRLIVTGEPEQLDNPWGVTPFKKVISLPSRIDPHQTSAVVTLHGQLFVRAPFEQSK >Et_3A_023685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10931855:10951099:-1 gene:Et_3A_023685 transcript:Et_3A_023685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGRRLPKSSLAPSSAAEATPALDPICRNLDFAFNRRDSDVNSVCSSRPPSSIGVSAAPAAPNFSDRATQAAALREVNAFLAPAVNLRPPLPAARDIVAAFRRLLERLDYPLQEKEVSFEDDLLFVLRMLGCPFKLTRSALKAPGTPHSWPPLLSVLHWLTLLASVSGDATSSVPFNDLTRYTTQGYSYFIMGDDDAVAALDNEYVNKARTHAEEAVEATRALEKEAQELEEKRNKLTSGPSRKEALEADKAALTEDVHKFEAVVKTWSSKVSEKEEASVNLRKEVEVKLMDVQRLASENKDLLKKVEAQAVNVRDVDRMHREMQLVENDITNLENEKAALEDKGWELDAAVVKKLEELDGIAEQCNQALKRLKPSIDFQYSLNPKGSSPAELLGLSYKTELKPALKAYAEENRRISASKLDESVELQKQLQEKAKMLEEKKNNISSWQNKTDEMVARLNSLDREIENDDSRFTADAGQMKNELERKEHLLSTVEKEADDFLKNAEQRFQDAVRKADEETQACAKELLELMDSVADYREFMEAAIAQRKKELNETADYIASLPSKTSSQTSDKSAHGLLRVGLGPYHLRLPQTAAAPPPSTAVVVLVPAISTSRPAPATSPPPPPPLSTAAALIRREVTPPPLHRPPPAATTAASGVPAASLSRPHRAAHRRSGLPATILCPRGGGGNLGIQTPRNKGFSGETPPPRPPPSSPAAPAAGSAAAVLRRANPAAPGSSSAVMASGKPEEEERAQLSNRYVARISKLSDRIDPLWRATYRIHPDRRCVNFSRELGPRQRHFEWAASTQPVLPADSWQVLSNILPRFSIVLIKEPTKVKYKTGRGAQLAKMTQTPVINSRRWKEKLSLQKGYRSKQ >Et_6A_046837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21228818:21233494:1 gene:Et_6A_046837 transcript:Et_6A_046837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DLEQTRKYQAMKSWRVFTGVCAAIIIFFSATPRPMAFVEHTFIVSQMNMTHLCKDTLVTVVNGQLPGPAIELREGDSVAVNIVNKSPHNITIHWHGVRQWLNCWYDGVPMITQQPIQPNSEFTYRFNVSGQEGTLWWHAHVPFLRVNLHGALIIRPRDGPGSYPFPKPDKEVPIIIAEWWEKNLTRVAMNMSNGFNDDYSSASTINGKLGDLFNCSGVPEDNYVLDVEPGKTYLLRIINAALFSEYYLRIAGHKFTVVSADANYVNPYTTDTIAIAPGETVDALVVADATPGRYYMVALPNQAPPPDTQTPEYTTRGMVQYSNKLSRDRVPVAPKMPNEHDTITSFYFHGNLTSLRHRQRLQVPKEADERMFIVLGLGSICRNGGQICDRKDDMQMILAANMNNVSFHLPAAMATPILEGHHYHNDTDKTLQELPDKPPMMFNFTDGELIPFGPKESRLEATSRATLVRRFRYGTVVDIVFQGTSMLQGDSNPMHLHGHDMYVLAHGLGNYDAARDVAKYNLVNPPLRNTVLVPNLGWTALRFVANNPGVWYMHCHYEFHLAMGMAAVFIVEDGPTKDTSLPPPPAEFSTYGLYNNLMQNKLCPDNKKCEASHDS >Et_5A_041262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20393387:20396486:1 gene:Et_5A_041262 transcript:Et_5A_041262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVVLPKPPPSSSASAPRRAWLAPAISLSPRQPRKLRPLTCGCASSSSFASPSSSVVLPEPEPEPQLLEQEREAESRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVVTTHEGVPQEFHGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEIARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSVAIGRDLQAARVTAANKIRLWNKGVDSESFHPRFRNKEMRSRLTNGEPEKPLIIYVGRLGVEKSLDFLKSVMDRLPGARIAFIGDGPFRPELEEMFSDMPAVFTGTLQGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGVPVVGARAGGIPDIIPEDQEGKTSFLYTPGDVDDCVGKIERLLSSEELREAMGRAARLEMEKFDWRAATRKIRNEQYSAAIWFWRKKRAQVLRPVQWVLRRLFRQPAPAMTKQS >Et_2B_021241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27818437:27824315:-1 gene:Et_2B_021241 transcript:Et_2B_021241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALISRIHATAAISTTSFLSSSAAASPASKALAPSPCLVPLRLVRRFAAMAASAAEEFVKGRITPNGVLVITLDRPKALNAMNLEMDLRYKALLDEWETNPSVKCILVESSSSRAFSAGMDIKGVAAEIQKDKSTPLVQKVFTAEYSLICKIHEYAKPYICLMDGRTLLAMPENGIGLFPDVGFAYIGAKAPGGGAVGTYLGITGKRISSPADALFIGLGTHYVPSANLGSLKESILSANFTDDPHRDVESVLTGYKKEPESEAQLEKRLPHIISCFSPDKSVAESVEELKKYSQSGDAAVAEWANEALAGIKKGAPFSLCLTQKHFSKVASAYGNNEHYLSKLAGVMKLEYRIALRSSVRDDFIEGVRAVLVDKDQNPKWNPPTLEDVNMGEVESVFEPLAAESELSV >Et_1A_005069.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2532586:2532828:1 gene:Et_1A_005069 transcript:Et_1A_005069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRPGMTPTTSRTPARRRSPKGLGATLACAPRIARAGSGVASAAARLRGASASTHAHRLRRPATRSDDAWSGVRHRSGC >Et_6B_048311.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:11245379:11245816:1 gene:Et_6B_048311 transcript:Et_6B_048311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKPAPRSTAVLLLLAVLLLAAPASAVRRRGALRCDPLRDVVVSQSAGAPQLDGTRRYDVTVTNAARVTVWDVRLDCGYRFRLVRPVDPDLLLQVGPADCQLIDGGAIAAGGMVSFSYDSYVRYSMAVVNASCPRRRRPWRLES >Et_8A_057210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22299694:22300892:-1 gene:Et_8A_057210 transcript:Et_8A_057210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISQLQEQLNEMAMVAVNTFGTLQRDAPPVRLSNSYPDPLNPNPNPEDPASQPQPGAPGQPQAQSQAQPPAAPPAPTLDLAEHPKAMSHALVLAAKKFDSLVAALPLSSEEDQLKRIQELQAENEAVGSELQKQLEAAELELKQVEVLFNEATDNCINLKKPDQ >Et_2A_018383.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:25620356:25621444:-1 gene:Et_2A_018383 transcript:Et_2A_018383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVCCDSTAAATAAVVGGPEAEARARARAERRRRAGEAGRWKAVGVGADAAAAAAVTRKRRVEGGDHVGPRKHGAASVTGRRREMEDAVSVREAFAAPATGEAGEGRCDFYGVFDGHGCSHVADACRERMHELLAEELAGASSSSAPREPAAWTGSMERSFARMDAEVSAGDSGGSSSSCRCDAHKCDHVGSTAVVAVVEDRRVVVANCGDSRAVLCRGGDTGEPAAVALTSDHKPDRPDELERIEAAGGRVIFWEGARVLGVLAMSRAIGDGYLKPYVSSVPEVTVTDRTDADECLILASDGLWDVVSNEAACEVARACLRRGRDRWCAEAATLLTKLALARRSSDNVSVVVVDLRPKKL >Et_2B_022205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13481671:13484205:1 gene:Et_2B_022205 transcript:Et_2B_022205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSPPPYHLVFLCIYYYTLVLCIRAPCASSFALNFSTTTPTSPCGNDLVCWGNASLTNQTIELTTKDIWTGSGNSLGRVWYARPVPLWDAATGELASFTTTFSFKITPESNYKNPDGSPNTGDGMAFFLAPYSTNDVLSSGEGGGFLGLFNDSNKYNATGGSQVVAVEFDTFRNKWDNSSQHVGINVNSIWSVASTDTSQVGDKIINLTSNTVMTANINYDNGTKVLAVDLDIDGASYHVNSTVDLKLLLPEKVAVGFSAATGLSSELHRISLWSFDSSLEAKVAPPASSSPSQKIAYPAIAIPPSTKYSAATGPSPELHRILISSLDSPVQAPTVPPQPAPAPAPAADAPAADAPAPDAPAHGTPHQPSVNPEPAAAPYHYEITYPSDSTPPVKPEPPSSNLLPKVLAPILVVSVFAIVGPLLWLWQKRKRNAQENEVANDSESSDEHDKEADFERAVAGPRRYHYRELAAATGDFSDENRLGVGGFGSVYQGNLQVVGGGDKRVAVKLFSSETSSQGRKQFEAEVKIIGQLRHRNLVQLLGWCDSPKGLLLVYELVPEGSLDKHIHGNPWLLTWPYRYKIIMGLGSALRYLHQEWDQCVVHGDIKPSNIMLDSSYNTKLGDFGLARLVDHGTGLQTTAAVLGTAGYIDPDFVNTRRPSTESDVYSFGIVLLEIVSGRKPVEPSFMLLKWVWSLYSQGKTIEAAELRGDEAHERQMERALVVGLWCAHHDPGQRPSIVDAMHVLQSEDAKLPVLPSHMYKLVALPSVNSTTGSSFSSGVLSSETTGTTYSSQSLTNRSPVPRPFR >Et_3B_029580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26177325:26180681:1 gene:Et_3B_029580 transcript:Et_3B_029580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGAAGGGGDHARSKEAAGMMALHEALRNVCLNSDWTYSVFWTIRPRPRCRGGNGCKVGDDNGSLMLMWEDGFCRPRVAECLEDIDGEDPVRKAFSKMSIQLYNYGEGWVLGRLMGKVASDKCHKWVFKEPSECEPNISNYWQSSFDALPPEWTDQFASGIQAHHSCDPSRAWPPAAGLLQDCECQLKAPPIPEDLHFVLRMRHMFESLGYQSGFFLSQLFSSSRGTSPTPPSFPLKQPPPPARPPPQLFNWPGQPQLPPAAASPLFPPGPAAFHPSGRPMPPFPGGGGKDEGHMFHLPPGHHGKPPGHMDEHHHHHHQQMGPGGEAPDGDLKWPNGLSFFTALTGRADDAKLLFGGNGGAGGGGADDGKTAPPDAQNEHGGADNVEEYLSLESHSNKARRVENAQSTKFKRSFTLPARMNTSGSTSPSVSASTTPAPPPQQQGMEYGRGPHEGGVYSDLMETFLE >Et_4B_036206.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:10580009:10580074:1 gene:Et_4B_036206 transcript:Et_4B_036206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTESLMELLSRMKYCGTRCY >Et_4A_032186.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22725165:22725395:1 gene:Et_4A_032186 transcript:Et_4A_032186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLFLLFLFSFASDFRLFAQSCVCCGAPVGPETHPVAGAGVRIHPRVRGTFFTRSIFLHGRVIASPDPNPTRCHL >Et_4B_039996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7407628:7410712:1 gene:Et_4B_039996 transcript:Et_4B_039996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSHHHLQQPPPPPQQQPVAPSFRNALPVQVDGQIPAPLAFFNPPPAYPEQPAQAPLLDAVGLTAAAGLGWRQPREQELLGENSQMSSIDFLQTGSAVSTGLALSLEDRRHGGGSGAGNSSGDSPLLLLPMLDDDISREVQRLDADMDRFIKAQSERLRQSILEKVQAKQFEALASVEDKILRKIRDKEAEVETINKRNSELEDQIKQLAVEVGAWQQRAKYNESMINALKYNLEQVCAHQSKDFKEGCGDSEVDDTASCCNGGPANLQLMPNESRQPKDSTACRVCKSSEACMLLLPCRHLCLCKECESKLSFCPLCQSSKILGMEIYM >Et_1B_010950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15859086:15864324:1 gene:Et_1B_010950 transcript:Et_1B_010950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPICRATKPRSTNRSVPRRGLTHAGTRPWEQRSRFRSHHAAARGLAVVAPIHKGALRERSAPILTKMRKAKRGGDHLTKSFRKTRRESKNEPLVSGRFLRDSNQGVRSGLSDGLISKLSKIVASITLYNGDTILFSCSGIAMERQGYLKRFLTSASLVRALNGTHKDHDDLKLEVRHEGSEVYMGVVSEFDLDHNFAIVNVHGSIDVQVGSFQCAPEILPHGEIFVVIGRGVSGEIMTKNVEVDGDSRVSEDDQDLDCKISEAWEGGPLLSVDGKVVGMNLFFYYEKSIFPTVGHNSQASGALLDIQAKQNWSCMVKNFEAVDGEFLNQELLELDSMGYPKLPSSMLRAGMILVNTFEETFGDIHGEGVWREFSKRASSNINRSVVALASFNGERRFFACTGFFIEWNGSTIILTSASLIRNSGDENKIVENLRIEVLLNDQRREGTLQHYSLHYNVALVSVKGYRPLRPSNTLLDCNESFKVAAVGRCFKSGALMATSGDLVSWTGTLDCDFLSRSTCKITKAGIGGPLVTPDGDVIGMNFYDKRIGTPFLLLGDIYEILASFETKRSLLKHNLFIDMFDSKLGEVGNDSDPSGALFWKMDNDDKTKLNRWPEPMPCWRNPDYADEDKSDDDELDFDPKSGLRHRPKYTYCQGKKLMLF >Et_10A_002066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21023236:21025281:1 gene:Et_10A_002066 transcript:Et_10A_002066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMMEMMEKHHPGLGDHRWPFVTHFVGCKTCGRYEDYPMDRCLRSMERAINFADNQVLRLYGFQHRSLESPKVRRVANRPDNPLEVKEAALKLDASFDLIVHSANLAV >Et_8B_060286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8594390:8599547:-1 gene:Et_8B_060286 transcript:Et_8B_060286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPRRMRGLARFFRQVHALFLKNLSFQRRNARTNAAIAAFPALLCVLLVAIQHVVDSELDRPPFRCGCAGADQCGVQHSNPTQALACAVPAPPRWPAMVQVPDPERRAPTPGHPRRCTGAAEEKCPAAVLLTGRDRRVAQALGGRLFPPVPLEFLFRPQGVMNSSSYLDDFSIIVPGSSSLPAHVLLIEPGLVPNSTLYVLQPKCGWSPRNVSGTSDVMPLQFGYDFLDTVKGHFNVYVWYNSSFSRDNGHHSMTVLRVARLVNMASTAYLNFFHGPPNKEMRLEYLKEMPKAAIKLRLDLTTLLDALFFTWTVQLLLPVILTYLVYEKQQKLRLMMKMHGLKDAPYWLISYAYFLSLSAAYMIFFMISGSIIGLDIFRLNSYSIQFLFYFICINMQVVLAFLLASFFSSVNTASVIGYIYIFSSCLLGEALLKNFIEDVTFPRRWLVTMELVPGFSLYRGIYELAEYASTGRNMGKPGMQWVDLNDPINGMKDVLILMSVEWMILLLVAFLLDHRPTWKPLFLFGFLSTKHSSPSGRPPKLRRKSNRVHIDMEKSDVFLERKVVKRLLKEMGMRKMIICHNLKKVYPGKNGNPDKVAVRGLSLALRKGQCFGMLGPNGAGKTSFINMMIGLVTPTYGTAYIHGMNLRKDMHEIYANIGVCPQHDLLWETLTGREHLMFYGRMKNLTGAALTEAVDESLKNVNLFHSGFGDKSVSMYSGGMKRRLSVAIALIGNPKVVYMDEPSTGLDTRSRSDLWNVIKRAKKDCTIILTTHSMEEAEELCDRIGIFVNGEFHCLGTPKELKARYGGTRILTITTSPEHAAEVDRRVAALSPAASRIYSVSGTQKFALPRRAVGLGAVFGAVAAAREAFPVLGWGVADATLEDVFVAVAKEARAFDVLS >Et_9A_063366.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21748616:21748864:-1 gene:Et_9A_063366 transcript:Et_9A_063366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLQEAPDAGSDPEEVEPWFPSNSEPEPDQSALEPPQKPEAEQRQQAPPLSPLPQKGAESLSSEKRGNNARPRWRMARR >Et_2A_015836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18248744:18252602:-1 gene:Et_2A_015836 transcript:Et_2A_015836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDPRPGVSLVRSPSEGDLDLLEQLLSGDNAWLEVASRSPNSLASPPPAAFFAADATATTVTATAANAGWFLQTGVASPSSVRERFNQALSYIKETQSDGDVLVQLWVPVKGDDGQLVLTTSGQPFTLDQRSDSLIRFREVSTKYHFSADVTSGTTPGLPGRVFIGRLPEWSPDVRYFTSYEYPRVNHAQYLDVHGTMGLPVFEKGSYSCLGVIELIMTRQKLNFTPELNAICSALQAVNLRSTEVSSIPRIKFSSASYKDALPEILEVLKAACLIHKLPLAQTWVTCAQQGKRGSRHSDENYRYCISTIDEACFVNEPQMQNFHEACSEHHLLRGQGVAGKAFTTNQPCFLPDIGSSTKLDYPLSHHAKIFNLKGAVAIRLRCTRTGTADFVLEFFLPTDCEALEEQKAVLDSLSGTMRSVCQTLRVVTDKEMENEAILEMNELNSFTPQGKNKVEELSFGDNSRDRAGEASWTSVAETSQQESELAALRMHGMLSPDGQGPSTSGVQTAEGSKAKRRTKAEKTVSLQVLRQYFAGSLKDAARSLGVCPTTLKRICRQHGITRWPSRKIKKVDHSLKKLQQIIDSVHGAETAFQLNTLYKDLTNTSISSDNNLSGSITVPPMNKSNLTDKHQHHSNVPSTSHSHSSCGHNSDSSPSCSGGAIKHAPQVVIDLMKSGNPVESSTIQPLQTENTSLYEHFSVQEASINLLQDVTEKANGLQHYSQSPTSPKQNSNADSNMRVKATFGSEKVRFRLKPECNFQELKQELAQRLSIVDTNSLVLKYLDDDSEWVLMTCDADLQECLHVYKIADIQTIKISIHLIANPATRVTNGHTGLS >Et_3A_024094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17297401:17299413:1 gene:Et_3A_024094 transcript:Et_3A_024094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYDREFWQFSEQLRLQTAGLSALSLGDSIWSPAADRRNDAPLFGASPNSDAFPSAKNSNNGGPERQRRRGVGQATLPASEALPRGEPVGGYIFVCNNDTMDENLRRELFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGTNIDPTAWEDKKCPGESRFPAQVRVATRKIYEPLEEDAFRPILHHYDGPKFRLELSVTEALALLDIFADNDDA >Et_7A_050621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7575155:7576228:-1 gene:Et_7A_050621 transcript:Et_7A_050621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTGEADDFALDFIREHLLGGGGGVPPIPAAEPAFQPVPFLAQQQQQQLQRYIDMTHEDMATAPAAAGEAAFRAQEPAQPVMIKFGSEPSSPATHPLTVSVPPGSYAWATTTGVTAAPASAAAPVVDDFRKYRGVRQRPWGKYAAEIRDPKRRGSRVWLGTYDTPVEAARAYDRAAFRMRGAKAILNFPNEVGSRGADLWSPPPPPKRQQQQQQQEEEDLEVEVVAVVSNKTAKIEAHSSASTTQQVSSSSSATSASSVSSTRETTATASSTFPLTPSSWSWEQPWEALLSGLPPLSPHPSLGFPQLTVS >Et_2A_016892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29170847:29172697:1 gene:Et_2A_016892 transcript:Et_2A_016892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGETERETSATKEMMRGWREEVVALSLRGYGFGNEDDRPEKPRRYGVTEMRSPFYSFRPAHQALQEILDSLGPFVDGLKFSGGCHSLMGKELVREITDLAHKHDIYVSTGDWAEHLLRQGPSFFKQYVEECKALGFDTVELNAGSLKLPEEALLRLVRLIKSTGLKAKPQFSVKFDNSDIPASGDRAFGAYVRPVKEQSSERVEDVDLLIRRAERCLEAGADMIMIEADDVCQHADSLRADVIAKIVGRLGLEKTMFEASNAKTSEWFVKRYGPRVNLFVDHSDVMNLERLRGFDMRRSNPSSRFASPFFLM >Et_1B_012101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28983805:28989625:-1 gene:Et_1B_012101 transcript:Et_1B_012101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMVERATSDMLIGPDWAMNLEICDILNRDPGQAKDVVKSLKKRISHKNSKVQLLALTLLETMIKNCGDIVHMQVAERDILHEMVKIVKKRPDYHVKEKILTLIDTWQEVFGGASARYPQYYAAYQELLNARGIMDVLSEMLNALDPCNREGLRQEVIVDLVDQCRSYKQRVVQLVNSTSNEELLSQGLSLNDDLQQVLAKHDAIAAGIAVRIEKPKSLQTQIENSPTRKPETMKQPVQRSSASTSSSNQSPFEILALPAPPSSSSSKAPTAPATNIDLLSGDDYIKPEPANSLALVPVTEYSAADQNNGGLPPAPWEIKPSTRNPFDDSNLDEKALQPGEPVGVRPQSVQVNQHGNGFIPTQPVPRGQIVGMQQPVPGPLQPQPTLITQYAGAYPLLQLNQGTSLYSQQMVGGGFYGMNQPQLYGVQMSSNIYGQPAGGYYIPNAAYAYASANELSQRMNELSLQNGSSNGTMTNKQSRPEDSLFSDLLSIAKMKQNKPAAGK >Et_8A_056462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10404891:10406963:-1 gene:Et_8A_056462 transcript:Et_8A_056462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQYQAFDSDLNRIRLFLFSLKSGSQLPPSSHFFLNSGGRNLLASIFLNASRGSVEAAAHGHEQPATAWSPSWERALELKSQIDEYRYDCWTRTREMNATLPKHQRFCNINIQPRDRKLLLMALAPVNGEFRMEDTSPTTWGCKHFTNPKPYENYAASTMLQVFSFKFAGVFSDDQQPLPVYGFVAVRDDYEPLRNYKFNRGREETFDLSPDSSSLPLTSPVHDMSVWHKALVESQGQAQRQPLRLLNDDDVLVDLCIDFRWDRITRGKKLKSRIDCSFGALEMEYKFIKHGIEAVLEVKIPRELVSDHVIIIARSTRFKKIVTLYDAVVQQKLLTFLMCWLPRREEASVTWQLVLVRVGALE >Et_8B_059657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20362836:20363721:1 gene:Et_8B_059657 transcript:Et_8B_059657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPLLTISSVNQATELIGDRPPNEETTRPGRLGYVLTNPELLDCKSRAKVRLVRAYERLYQQTMQQCDRALLPLDADIAALKLSIAMEDHEIPHAGPPLTERNQGVQHCYYPQPQYPFHPSYETGTNQTRVPYQAAFATGQERAQATARDRRAQREWWEANLAFLEKKRKTLEANRVELERELKSELDHH >Et_4B_038746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4607875:4609655:1 gene:Et_4B_038746 transcript:Et_4B_038746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGSPTASGGGGSSSEDDGDAAWKAAIDSIAAVGFGVPASNGSAKAASGGSGEANHGVDLEEPQEGKKRAPGLKLYQIKVRNMLDDMLEKNLEIIKAPPDPTETETDPTETGGGIKLFRKAPPGIKMDANDKLHVQLKKPRILPGEEVDEKSKKFRHMLQSVVVDGNDILVSAKKASERSLARLEAREAAAKAKAKREEKRVQELRKARGEKWLPSIARQMKEEKAWEQRQR >Et_9A_063019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8355447:8360533:-1 gene:Et_9A_063019 transcript:Et_9A_063019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYACDSLHAAKLCKAFSCPPPSASSSIALISGDTVKILLKSLPTNAAKPKSATLGTNDASRMYDAFAATLMQPPVRSSSTQFCGDFREKLSIQSQIGFATPLQTVKGPQVADGVQGSTGWAYMACQVWLASDQTVTLIWPEMSSEANEDDDSSGEVYMLYSSSSLSTYNGTKSLVRGSNASMDLIIGISFNEDFDCQDQYTTEGSRRFRTTPLVSSTTLYEPKPGSIRLFLEMPCRIKPLCLIDVGVSDWCLPIGDPYGGEYWDLVEPDAEVPVGGSGGVGGLTETGWCCWLEEAERKLSIPGDKLVPDDEHFVCGESAVYGCSRTRRSVLQGEEKDPE >Et_5B_043132.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:9290520:9291052:-1 gene:Et_5B_043132 transcript:Et_5B_043132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHSRKNAMVNHKFNTEIAVDLSPWLLKYKDGRVERLAASTFVPPSEAPGPTGVATRDVVIDPCTGVSVRLFLNVAAAATGKRLPLVIYFHGGSFCTGSAFSKLFHRYATSLSAHAGALVDSVDYRLAPEHPIPAAYDDCWTALQWATTLSDTWLQDH >Et_9B_064250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13261445:13266954:-1 gene:Et_9B_064250 transcript:Et_9B_064250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLQTNLEQLQISPKMAGGGMDAQIAQTSDEGTETCIICFEETGVSNIHKVEGCEHRFCISCMKQHLKFKLLNVGGAVPGCPLGPLRCTTKLTVKESEKLLSPELLKIMEERIREEQIPLRQRIYCPYPRCSVLMSLTQLKATPKQLLFANFLKKLSCGLGDHVTLRKCVRCGGPFCVRCKVPWHDRLTTCSKYQLATSSPQDAMLQRLAQHQLWRQCAKCKRMIERTLGPAARGRAFQRLLQGGGGPRGPDPGAAVLVAAVCAAPKGEVVLHVRRPVEGFAGSGLELEATALVEGLHAALRLGVRSVEAFTECKVLHDHLGEKTSDRPHRSTETCTICLDDTPVSKMHQVEFCAHRFCVSCMKRHSGSSTECFPPAHRTGVRERLIPPDQKVYCPYPRCSALMSTSELPAFSRTHEYSEETVDGGSATSTSCWRRGRDLYFRCLKKIHGSPFTKYALGETAAMMRRKCVRCRGAFCVGCKVPWHDGMTCYDYRSEYPDPRLDDAKLQSLARQRLWRQCVRGKHLIELAAGSNHMTCVWIRVLLQMRERMEQGCKMLMRAVGYKYNQSTWKMRTGKTISIGIAVEGNKLVQNWQEAMEAYHGCSLLHHLLYGMSVAHLGVDPTGRPGVRGPPNPTY >Et_7A_053151.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:8315391:8316575:-1 gene:Et_7A_053151 transcript:Et_7A_053151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCVQVAWLDGRQAIAKQNNFIFSPLCLRAGLALLAAGTHGPTLRQLLDFLVSEHTSHLDAASAKLHASIRAWPQVSFAAGVFVDRTISLTPEFESTATSAHAAVARPVDFRNRPAAAIAELNDFIERATAGRMRNLVSPDKVGRSTKVVLASGMHFKATWARRFDPEDTVRGVFHRGLGGDGFTSSVRVPFLSDPGMHYAESFDGPDLGFKVLQCFYKMTGRDGRLDPRAPCFCMLVFLPHDDARDDGLARLLRLAVTEPDFVMRCVPRRQQAARPGFRFDFDARAALRQLGLAAPFDRRDADLSGMVANAPPEGLYVSAVGMACAVEVDEEGTTAVAAMYSPSSPTYSPIETPPPPPMSFVADHPFMFAIVEYEKGDVLFLGHVMDPSKES >Et_1B_012315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30805904:30808789:-1 gene:Et_1B_012315 transcript:Et_1B_012315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASSASGPADLSAAALVSALPLQVDLLQLPPEVPAPGAPALRGVLDGLFAHWLSLPDTAALVGSLAQKAKTSGGGPAAAMLPSMMQGGASVPPLSPRSPRLSRRSSSLNSNHSNRSASPLRPAAARPAKEVIPQFYFQDGRPPPQEVKKQCIATVDQLFAGHSNGLRPQEFRMVTRELCKLPTFFTTALFEKIDKEGTGFVTREAFTDFWVNSNLMSMDSATQVFKILKQQNHNYLTKEDFKPILKDLLDNHPGLEFLKSTPEFQERYAETVVHRIFYCLNRIGGGHLTLRELKRGNLLSALRHADDEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIKYGNHALTYRIVDRIFSEVPRKFTSKVEGKMGYEDFVHFILSEEDKSSGPSQEYWFKCIDLDGNGILTHNELQFFFEEQLHRMECMAQEPVLFEDILCQLIDMIGPENESYLTLKDFRRCKLSGHFFNILFNLNKFMAFEARDPFLIRQMREEPSLTDWDRFARREYVRLAMEEDGEDASNASGDVWDESLESPF >Et_3A_023150.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32261067:32262599:1 gene:Et_3A_023150 transcript:Et_3A_023150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRHAGAVAAGAHPGAPRRCHTPAEGPHRSRPPVLRSAPPLPAILLPSQRCRPLLPPRRRRWLPHPPLPPPPRRRILPSSPTADSLLAASIPNLSPVTAISIFRSSLPTSPSPSWSLSFSALLRRLLSQSLLPEAARLFADFAGRPEVSVDSGDLTLLISGLCRAGRPELALQVLDEMPNLCLTPERDAYRAIVPALCDAGMLDEAVHVLYSMLCRVSQRGCDADIVVYRALLVALCAAGRGEHAELVLGKVLRKGLRSPGSRRSLRVPMLAVLSREDAQEAIDQALAVRGGRTVVSFESMVLDLYDEGRFAQADKLFEDMVKKGFKPTICMYEAKIAALCREGNTDDAVKVLEEELPKDDLVPTVTTYNLLIKGLCDNMQSTRALGYLKRMDMQLGCVAQKDTFSILVNGLCSESKFVEASGIMERMVKGHHRPHKSAFSSVIEGLCLAGRAYDALLWLEEMMDHGETPDARIWSSLVSAVCTSHFGASAAGETTMVVCTLEMEFSQENS >Et_2B_019951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15448697:15452210:-1 gene:Et_2B_019951 transcript:Et_2B_019951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGQPPRPDDSVSRAHRRVTPPVPLAAVVRVRRPARPRQAALPGRCHIVSHGATFFNLSNSTPAKLYLGIWYNGIPKVTVVWVANREAPIVDRPSSSAAAPSLALTNTSNLVLSDASGQVVWSTDVVVAGTGGGSTATLTDAGNLVVRSPHGTTLWQSFDHPTDTFLPDMKIRAGGGDRLVSWRSPGSFSYGIDPATSLQLRTWNGSRPLWRSGVWTGYRVATDYIDDSSTVIYLTVLDVDGDAGMSFTLSGGAPRTRYVMGHTGKLVLQSWRSNASLGWDELFAWPPYECSRYGPFGYCDNTDAAPACQCLDGFEPTNLEEWSSGNFSRGCRRREALRCGTGGDGFLALTAMKVPDRFVRLWNTTVDMCAAECSRNCSCVAYAYANLSSSSTGDFTRCLVWVGDRVLLFLVHNKIRVGRKTVKMVLASVLLTDIFLAIWIFKCKGNKQKWRKRKRLFLGDLSTVEGLGKGCPTEEFGVPVVNFRAITAVTNDFHQSFMIGQGGFGKVYKARLDGREVAIKRLSGDSEQGIAEFRNEVVLIAKLQHRNLVKFLGCSIDGEEKLLIFEYMPNKSLDSLLFSMVIHRDLKASNILLDEEMRPKIADFGMARMFGDSQQNENTKRAWDLWKEGKAKDFVDSSTMKFCCIHIGLLCVQDNRNDRPLMSSVVFILENGSSTLPAPNKPTYFAYSSNEIEEIRGDTQSSRNTVTLSALDGR >Et_1A_005028.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22213736:22214068:-1 gene:Et_1A_005028 transcript:Et_1A_005028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLEVEKCLMLSELEVGEHWSGVAEGHTNAEKLEAPVSSKEPGRIRLHDQQDGGSEIETGKDRVWLTQCPHKLKEGEANLKHEMLKTKAKEAQEMGTTSFAPEEKIWLW >Et_4B_038299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2850874:2854849:1 gene:Et_4B_038299 transcript:Et_4B_038299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIHATRQKIDSALGESEILQRKRISYDALQPLSNTITCGIHMITFVSRSSPLSFSNFAVPSPPPRPHLGRRDSSQSMALQTLNPLRHPVPVSPSPAPLPRRGQPQPLLHLPSRRRLAGGAAQPRAVAVAVSGAVNEARRRGPPHDGGHEGKETDLATLGNLCVDVVLSVPQLPPAQHDERKAYMERLAASPPDQKFWEAGGNCNLAFAAARLGLRCSTLGHVGEEIYGKFLLGVLQAEGISVVGMVENTDASACRQAYETLLCWVLVDPFQRHGFCSRADFNEEPAFSWIRKLPADIRTAICHSKILFCNGYAFDEFFPDVIASSIDCAIDAGTTVFFDPGPRGRSLMQGTLDEQRALEHALRLSDVLLLTSDEAESLTNIRHPILAGQALLKRGIRTKQVVIKMGSKGSIMISANTISCAPSFKINVVDTVGCGDSFTAAIAFGFLHDLPAVSTLTLANAVGAATATGCGAGRNVAHLDKVLQLLKEANLNDEDTVWSELIEGSSLCSEVSVLSRTAINGHSEKGGVVHIPIGNVVSELLPMFETVSERSTVQA >Et_9B_065880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16252009:16254975:1 gene:Et_9B_065880 transcript:Et_9B_065880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATIFGQLWRLEPLLPEKKAMWRREMDWLLCVSDHIVELVPTWQTFPDGTRLEIMTSRPRSDLFINLPALRKLDNMLLEILESFRDTEFWYVDQGICAPDCDGSASFRRTFHRRDDKWWLPVPRVPPGGLPDATRRQVEHRRDCANQILKAAMAINSNALAEMDVPDSYLDSLPKNGRATLGDVIYRYITSDQFSPDCLLDCLDLSSEYQALEIANRVEASVYVWRRRGAAKPGTKSSWGMVKDMIMDTEKRDLLAERAEGLLISLKQRFPGLTQTSLDMSKIQYNKDVGKSILESYSRVLESLASNIIARIDDLLNVDELSKKSDHLTAGGADAKIVSSKNNSSKTMVPSPYQVVPASGTPYATAYATPSFSPTQLSSPSKIGRALLVDRRPHHHGRGAGAKRTNTSTADRAAGVEVVKGVVVSGAMFDLPVAAIAAEL >Et_2A_014874.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:19751953:19752075:-1 gene:Et_2A_014874 transcript:Et_2A_014874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPLLVKHFTSRKYMAAFYHHQAFRGQELQRFAHMDIFL >Et_3A_024665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23073238:23077379:-1 gene:Et_3A_024665 transcript:Et_3A_024665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFVITKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTAKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTTNTAIAVAVRSAVRRLREQPEA >Et_9A_062864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:671592:675805:1 gene:Et_9A_062864 transcript:Et_9A_062864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSSSSAPAAHHLRLWWRRRGRAGAVVATFAVASLAAALLLTLSYYASLPPATSSSSSSSGRSPALVGLTLVRSAEEKGALCLDGSPPGYHLQRGSGSGSQSWLIHLEGGGWCRNLRSCASRKKSMLGSSRYMEHQVEFAGILSDDKSQNPDFYNWNKVKIRYCDGASFSGDVNDELQNGTRFFFRGQRIWEAVMSELLLKGLGHAKQAFLTGCSAGGLATYIHCDAFCALLPKDSRVKCLADGGFFLDVEDISRKRTIRAFYNDVVLLQDMRKRFSDCDSNLEPGQCFFPREVVKKIVTPVFVLNPAYDAWQVQHVLAPVASDPQHSWLDCRLDISKCSSSQLEILQGFRKELHSATSELKEKRDWGMYINSCFVHCQSLNSFTWHSPSSPRVNNKSIAEAVGDWFFDRREVKEIDCEYPCNPTCHNLVFAKPFKA >Et_2B_022719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3600780:3603151:-1 gene:Et_2B_022719 transcript:Et_2B_022719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSYTDGDASSYPLVAVCIDKDKNSQNALKWAIDSLAQKGQTIVLVHVNTKGASGGVEDGAGFKQPTEPHLKDLFLPFRCFCTRKDIQCKDVVLDEHDVAKAIIEFSANAAIEKLVIGATSRGGFRFKADIPTTISKGAPDFCTVYVVNKGKVSSTRNAIRAAPRVSPLRSLIQQQQSQLSQSHISTASAPRAEPPSQRWSSSSRGTDPSETPRVDNNFRSPFARGGMGPTRKSYADLSHLSMPDSADISFVSAGRRSVDHNPPRMSSGSTADSYDHSFEMRTPSKWGGDSFGGGGGMDPTCNFSQSSSSSFCSLGMQDNVEAEMKRLRLELKQTMDMYSTACKEALTAKQKATELQRWKMEEEARSQDSKLTEESAMAMIEREKAKAKAAMEAAEASQRIAELEVQKRISAEKKLLKEAEERKNNGNNRSGGMSHEVRYRRYTIEEIEHATEHFNDARKIGEGGYGPVYKGFLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRGGGNSGPVIPWQHRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKVADVGLARLVPPSVADTVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIITAKPPMGLTHHVGRALERGALRDMLDPAVPDWPVEEAQCLAELAIRCCELRRKDRPDLGNVVLPELNRLRALGEDNMQYCGSIRGGGGGGMHSSPFQSNVSRSHAVS >Et_4A_035414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23855306:23859095:-1 gene:Et_4A_035414 transcript:Et_4A_035414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLALLFLLLAAGAGPAAASAEDQAAPPSSSPPPPPPPHKNATLAELLPLYGLPPGIFPSSVTGFSLAKNGSLVVDLAGPCYVHFEYLTYFEPRVTGVLRYGSLSGLSGVEVRHFLIWYNVIRIKVDLPPPPRYVYLDFGWITRKLPAADFHSLQSCEDSKRCRLSSALAAAAQWFQVSAFGTQVPPEIWITLSIHKDLDRGVTSLEVHTNYGIYIELGGRESLNTEEEPPDAAGEGDEAT >Et_10A_001781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8103774:8105536:1 gene:Et_10A_001781 transcript:Et_10A_001781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDAEAPLLAAGSKPDDAAPPPKRNKYPFFCAVLASMTSVLMGYNVAVMSGAQIFMAADLGVSDAQIEVLSGVINIYSLVGALLAGWTSDLIGRRLTIVVANVFFLAGPLAMAVAGGYAVLMAGRFVAGVGVGYALVIAPVYAAEIAPASSRGLLTSLPEIFINTGVMLSYVSNLVFSGLPTHLSWRVMFAAGAAPTVFLAAGVLTMPESPRWLAMKGRLAEAKAVLDKTSDTAAEAEQRLLEIEEVVNEGSGGGTGTGSEDGGGVWKEVATKAGVRRVLAIVLTLQFFQQASGIDSVVLYGPRVLAMAGVTNNTLLLSLNVLFGVAKAGSILIAMALADRVGRRPLLLVSTGGMTASLLVLGSLFAAFAGAAKDAAAAVAAVSVAAVVAFVVAFSVGFGPMAWVYSSEILPLRLRGQGAGLGTAVNRIMSGVVTMTFISLYQAITMAGAFYLYAAVAAAAFVFVYACLPETRGRSLEDMEDLFHTK >Et_1A_006982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29888808:29896109:1 gene:Et_1A_006982 transcript:Et_1A_006982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPEPEPENGRELYALLHLSPDASDEEIRRAYRQFAQIYHPDKYQDLQMKDVATENFQRIRDAYEILSDENKRQIYDIYGMEGLTSGLELGPKLNRPEEIKEQLERLRRRKEEEKLFVHARSTGSILANFSIPQYLDGDGIMGGMGMSSAVELPVSKQNTVTVSGNLVVNGSRGTGAASTVLRHELSSVSSIEFMATAGLRSVLGVQTVRHISPHSTATSGLAVSLRDGSINLSNAWTRQLTESCVGNIQLVLGDESSIAVGWQKKDEKCSAAGEVKFGTNSFGASAHYTHRFSLKSHGRIAGRIGSTALDFEIGGGRRISEFSTVRMMYNIGIQGVSWRFELNRAGQKLVIPVLLSTDLNALFATTAFAIPSTLYFLLQTYVVKPFYLKREKQKELEKMEGLSTQLTEARKAAKKAQKLLEPVSNRKKNRQLENDGLVITRALYGSCKKLRESSDFNEINDDVASQVFDVTIPLNFLITEAGQLKLHEGIKKSGIMGFYDPCPGDPKLLVVEYTFHGRKYKATAEDREALLIPQDIHQI >Et_1A_008489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8068427:8071613:-1 gene:Et_1A_008489 transcript:Et_1A_008489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAAVPDGRGRWTKAAGSTSSPVTTAIFLFFFVVVIGVLVSARWITTTTHLTITNLDQWRTKPAILTTTHTTSIPAIPAAPPPPRPTYSISCSAPPLTRDPTIPSNISQTLTLALSPNNTFTCSSVPDPPPLPATPPPGANASSCPSYFRFIHEDLHPWRVAGGITRAMVDRARATANFRLVVIRGRAYVERIAPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRAEQYDGENATVLPPLFRYCGDNETLDVVFPDWSFWGWPEINIKPWDALRKELDRGNKKVKWLDREPYAYWKGNPDVAATRQELVKCNVSSMEEWNARIYKQDWLKEIKAGYKQSDLSNQCTHRYKIYIEGSAWSVSEKYILACDSMTLVVTPKYYDFYSRVLMPMQHYWPVRDEDKCSSIKYAVDWGNSHKQKARRIGKQASNFIQQELGMDYVYDYMFHLLTEYAKLLRFKPTIPPEAVEVCPESLACQAIGREKKFMEDSMVRSANDAGPCDLPPPFSPEEFKALQRTREKSMEQIEAWEQKAFKTMDSKP >Et_8A_056434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:991412:998675:-1 gene:Et_8A_056434 transcript:Et_8A_056434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSGAKDVVINDVVRIVAPRLEEIGGNFRNRRPHLDIHDLTNVRRLNGLKLAMHGKYYRAGDVGFWLLDNCPNVEHVQVSVQHGFTMSHLVDLTSEGKAPFANVRTMVMRHFRKPHLMASISALLMRCPHLISLTVDISSVEAITVPCICDALTDRCTIHGKITLESLQNVSITGFCGTDEEMQLVGLLFGSSNSVQQMALLPPQRKPHHEVSSKWKRVEEGDGDCSGMIGQGLAKISGSIMDGPAGDLDRISADLPDDLMHVILTILGDARDVTRTAVFSRRWRHVFWVSLGDSSAGRRQHGVFQDLDHHRKGLYTSPEQVNDRTCVRSIMDGQAVPLDRISALPDDLLHEILSFLGDARDVTRTAVLSRRWRHVWVHAKNLSFYDRHLKNHTGPSHLAGFVDWALSQRGDADMDSLRIHISKQGDVLAEKIDEWLRYATQHVATSARVHLTARTIDQMAAVVLPSHGRTTSISLSLWGRRFQLPSALAARYEALRELSLMSLSFTGSTTLDDFVSSCCPRLRRLHIQRLRGLPQLVLRSEALEDLEIVWICDLRTLDVTAPNLRVVRLHSCFQDQIADVNKVARIVAPRLQELDISFFLPHLGPRTHLDIHDLASVRRLNNLQLDIHGKYCRDTGAGLSLLTKCTGVEHVEVSLQNFHVDDNGDLFDITTEGVVAQFPKFGSMVVWTFGFPERHLVASIAALLRRCSCLKSLCVRIADKRRGIRRCFCDDLEDE >Et_3B_029168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22633074:22637072:-1 gene:Et_3B_029168 transcript:Et_3B_029168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATGGGGGERAVEEATGFEVAIVVPKLSRAAAGGDGSGPEDCVARLVRELEGAGLLVDRVRGVPAEFIKFEWDQVAAFVRQPDGSLFSWRERYCCFRYLIYGIVNKTDSQIPLKFDEKEFHWNQNESLLTRLEAEGVVKLVFPLHDEIKRKQLLRNWALNWLDFTWQPIDEIYSYFGTKIATYFAFLGMYTRWLFFPAVFGLATQLIDFGSLQWIVLPAFFIFVISWAVFFLQFWKRKNSALLARWGINYSISEYKNLGNELDLLTDSRRDSLTTDEEKKFGDASAEKRKLQRNEWFGVLLKIRNNAIIVLAIICLQLPFELAYAHLYEITETEVLRYLLTAVYLVAIQYYTRIGGKVSVILIKYENNQGEESSSASLVYKVFGLYFMQSYIGLFYHASLYRDILALRQVLIQRLIVSQLLENLIENSIPYLKYSYKKYVAVHKKKHEKESPAGKSVRLSTRVEKEYLKPFYTASIGEELEDGLFDDFLELTLQFGMIMMFACAFPLIFCFAALNNVTEIRADALKLLVMLKRPIPRAAATIGAWLNIFQFLVVMAICTNCLLLVCLYDEEGNWRIEPGLAAILIMEHVLLLIKFGFSHFVPEEPAWVKANRVRYVAQAQTVCSKQLLRSISKFDAKLD >Et_1B_013255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6876430:6877287:1 gene:Et_1B_013255 transcript:Et_1B_013255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVLSSNDNPFLLSTGPSRAIVLIDPLKPKGATESEDKPLHFDVFSYNHLACFEDPPSVVTRSSDRSRSELEFPLALLVQTVEATIFVHVVRGSWPDHLRGQVVSRTDIIDHILRSGAIELSRRVVSVESRGELKIEIGDGKIVAAKGLVFTPKKASISQNMCDLGFCKLRITVCWSLVPTLLSERLPHRHAATAAMPPPGHSSFAPAGLHVALARGHWRVRV >Et_2B_019871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14804827:14809084:1 gene:Et_2B_019871 transcript:Et_2B_019871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTSSTNEHTGRGAMWELEKNLDQPMDVEAGRLRNMYREKTYPTILLLQLAFQSLGVVFGDLGTSPLYVFYNIFPREIEDTEQVIGALSLIIYSLTLIPLVKYVFIVLRANDNGQGGTFALYSLLCRHAKINIIPNQHRTDEALTTYSRHTYDEKSLAAKIKRWLEGHHIRKNVILVLVLFGTCMAIGDGILTPAISVLSATGGIQVEEPKLRNDVAVIVSVVILIGLFSMQHYGTDKVSWLFAPIVFVWFILIGTLGAVNISRYDQSVLKAFNPVYVYRYFKRGKSSWASLGGIMLSITGTEALFADLSYFPVQAIQIAFTVVVFPCLLLQYTGQAAYIAQNKTLVSHSFYYSLPGTAVIMVMLVTTFLMIPIMLLVWRSHWTLVILFTALSLVAEIPFFSSVVRKIDQGGWVSLVFAASFLIIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGVGLVYTELASGVPHIFSHFITNLPAIHSTLVFVCVKYLPVYTVPPDERFLVKRIGPKSFHMFRCVARYGYKDIHKKDDDFEQMLFSNLLLFVRLESMMEEYSDSDEYSTHELNQAGNTDQRSNGINSNANMDLSYTSHDSIVQVQSPNHTGNSQVMSSGQTLQTTGDEIAFLNACRDAGVVHILGNTIIRARRDSGFVKKIAINYLYAFLRKICRENSAIFNVPHESLLNVGQVFYV >Et_3B_027559.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27621693:27623023:-1 gene:Et_3B_027559 transcript:Et_3B_027559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQSSTPLRRACIALALLLTVANTVAGGRPASHSPPSVPNSSDQTITLYTTGAATPKATPPFAQHHPVFTSEGPIGHSASWLRTLTRPGTLRPGTVTVVDEQIHGRKEFGLPLEGRLQGILVTSLADNSSHMVAVKASFAGDGAGDSLRFFGVHRDDHEESHIAVVGGTGRYHAAAGFAVVGAAGAPETSGNFSNSRVLSFSVHLK >Et_6B_048776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13593035:13596955:-1 gene:Et_6B_048776 transcript:Et_6B_048776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDNGVGVKKGPWTPEEDIVLVSYIQQHGPGNWRSVPENTGLMRCSKSCRLRWTNYLRPGIKRGNFTPHEEGIIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKVKRLQTQPADSFQTTPSNPVASPNYYSPGSTQHNLQGMQSINNYPNTTNSSAPISSDATATTVSNLVQTWMMKPSPATTANYKITMQEYQEDQAAAICKDQTVFNDDKSSSSGGMMAPVMMGPSTATFSLLEDWLLDDMPGQAMDGLMGITGGCCADPIIVLISCKAFYYAIYLWFMGLMDDGAATFKQIQEKRRYEWHGTMDKGYLIVESKLENYNCHEPNCKSLPCPALTNKIGSWKQADGNGEYALLHEDVVVLWIQGVEHAVDVPDRHHRAVHHDPVRRLRAPIKKHTQIESTELRKEQTRPTIKESRPNLASLVAGLEGRSFKRRLQGLHRLRPVPTPRG >Et_10A_000273.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20825917:20827090:-1 gene:Et_10A_000273 transcript:Et_10A_000273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGLRNNGAFRFPVYHRNHTILNSWIQTANIPEVRVIADDSMLKDEFFMAISLGTPAVLNFVLIDTGSSLSWVQCQHCDIQCYEQVERAGPIFNPFNSSTYRDVSCSAQVCTEITSGFGCYEEKDTCLYRMGHAMGKYSVGYLGKDKLTLVETIPLMISSLVAVQRMCIVDTVQVSLALVMKGTHFSIR >Et_4A_035153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1023275:1024319:-1 gene:Et_4A_035153 transcript:Et_4A_035153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEDVPAAEVETTEAAAAVVESEEAAPKAEPKVEVDDEAPVVEDVKEDEDDDDDDDDDDDEDDADNGELGAGANEGSKQSRSEKKSRKAMMKLGMKPVTGVSRITIKRAKNILFVVSKPDVFKSPTSETYVIFGEAKIEDLSSQLQAQAAQQFRMQDLSKAMAKQDAAAAAPADDEEEVDETGIEPRDIDLVMTQASVSRAKAVKALKAHDGDIVSAIMELTA >Et_5B_044985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:807666:810830:-1 gene:Et_5B_044985 transcript:Et_5B_044985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVCCVVAGSLALYLYHVLWLAPERLRAALRSQGIAGPRPSFPYGNIAEMRQAAAAARTAPPAAAADHQRKGIIVHDYRPAVFPFYEKWRNEYGRQITIYLRDLSFLIVRNIKRKIETVLFDKRFMNAGPLFCYSIGNMVFLHASRADVVRDLCTCVSPLDLGKSSYMKVTHRPLFGDGILKSSGEAWAYQRKLIAPEFFPDKVKSMVHLMVGSAMALVRSWEDRMISDSKNGGGVCCLLLERKVDDDIRAYSADVISKACFGSSYVKGKRIFAMIRELQKAVSKPNLLAEMTGLSFLPTRSNRAAWRLNRQVRELILDVVRENDQSGDEDGNLLNAMLRSVASSGAGRAAAEDFIVDNCKNIYFAGYETTAVTAAWCMMLLALHPDWQDQVRDEVRQACAAAPDFASLQRMKKLTTVIQETLRLYPAGSVVSRQALRDVTLGGVRVPAGVNIYVPVSTVHLDPELWGADAREFDPGARPQQQHPHASYLPFGAGARTCLGQGFAMAELKVLLALVISRFELSLSPGYQHSPARTACASCSRTWSPSVRWADRYTIGPH >Et_10A_001207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22155260:22157507:1 gene:Et_10A_001207 transcript:Et_10A_001207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDASNLVLHVKRIACSSTRLCYQYACDYPVVLGAGIFLLFLHKLCPSLFMFLVSFCPVCLLTALLLGALLTYGEPCAPVIGEETLENRRTSPRQSKTSTDCSTKEIENASVVAYAEKSFESQVVCIEERTFDSIVHDTHYDEEKVTSVSIDTVVCAEESSEFAKSNAIVESGVRTKEISKNVELQEFESTNTESYHNEVNNKYELGELMSSCWQPVMRHDPCSGSESDFSGSSSDASMTDIIPMLDELNPPVNMGTSNPSSTVRGSQDSSSDEEDESEDDDKKLMDLEAADAIQKMEEASPFRVQVPSISTPRPKPFVLSNGSEEIVELQHIPDSAPSVLLPWKKPFDIPFDQIVNINPTQEEWQLTSTEVYLSEQHNDITAEKTELIGKDACDSTSDSDPEHAGNNGKLFGSLEAHMGEEIKILSAAISDACVLEANYEVDEGIKNTNYSDDAYSFCTQEFASGTSENEDSVPPGNEQSTLCSLSKENNSEQHVEADSISEVNSLFKCRMEEVLVQSISESGIGQPLTIKLEDELSGTFSANSVMPVVEASSVEKLNSRILVPTNETLTSAASDHTCDDELIQNRSSEVLLAEDGHSSQLPIKDGSIAVKIVNELLTDNGELPVLEASSAEEMNSSFKKTEDEVQEQIYARRRRRRYFWHARS >Et_5B_044535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3236850:3240993:1 gene:Et_5B_044535 transcript:Et_5B_044535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYLGDNLEFLEDDDLDFDGFDFAAGDAPRPNKQTNDTSATEYRNGKDMQGIPWERLNYSRDQYRQMRLKEYQNYESLPRPRERLDMECKQVDKRSTFYDFRFNTRLVKSTIVHFQLRDLVWATSKHDVYMPQNYSVMHWSSLLKRGKEVLNVADHVFPKQKVRGARPLLRMQISTMAVKNNLMVAGGFRGELVCKYVDQPGVAFSTKVSGDDDSITNSVDVYESANGSTRVMAANNDCAVRVFETDRFMQLNRFTMPWPVNNTSVSPDGKLLAVLGDSSDCLIADPQSGKEIAVLRGHLDYSFASAWHSDGRVLATGNQDTTCRLWDVRNPSRSFASLKGRIGAVRCLRFSPDGRFLAAAEAADFVRVYDAGAGYAAAQEVDIFGEIAGVAFSPDDAEALFVGVADRTYGSLIEFHRRRAYGYLDSYL >Et_1B_013905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23192666:23195060:-1 gene:Et_1B_013905 transcript:Et_1B_013905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASAASTSSPSRLAHRLASPPARAPSQLPTRPPRRLCSFHRLVVLWKGRATAPTRARALLGDFSDAGASESDDDEEEDVLRGGDPREDEDAVELAAASDGPERWDVLGLGQAMVDFSGMVDDEFLERLGIEKGTRKVVNHEERGRVLRAMDGCSYKAAAGGSLSNSLVALARLGSSRSDSYPELKIAMAGSVGSDPLGSFYRAKLRRANVHFLSKPVKDGTTGTVIVLTTPDAQRTMLAYQGTSSTLSYDSDLANLVSKTNLLIVEGYLFELPHTIEAIKQACEEAHKNGALIAVTASDVSCIKRCYNDFWDIVGNYADILFANANEARAFCELPSTDSPMSATRYLSHSVPLVSVTDGVHGSYIGVKGEAIYIPPPPCIPIDTCGAGDAYASGILYGILRGASDLKGIGLLASRVAAVVVGQQGTRLRVQDADRLAESFALHLDSLEFCSDV >Et_1B_013165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:640649:642302:-1 gene:Et_1B_013165 transcript:Et_1B_013165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKPQNHHRVTSSLGVEELNLLHMARGSPEGGGGEGSGVLGQWKCKLLGLLPRRARCVVCLQVQRVTGLPAAAEGHGVVVGWRSKGGEGEHTVPARVARGAAAFDEVFLHYFSAGSATLRNFTVWAALLDTDADGNLGAFPVDLTEVAAAERANPKFGGKAISFPLGGAAAGAVLTVSIYCRVLEHDENHGPNGHARGDNKKKNKGKQGAYASCLPDLSCLRNRQVAAASGSAHRATSVRSDRGGFITIENSVAEMDGAGGAGAFRVAEDVDEEGAGFITMEKGTISSRSRRPLPESVEDDIAGVLREDEKPCLFMELSEEASAFDVDKVEDEFLAMLEDKYWTTSKEIEKGLSVSLDIGLDLGLDLDSLIKDAEMELAKAEQAWKSKVGAAIVEEEEYKELVRRWSAREAHPHAAASTGCSWGFGFGSPI >Et_3B_028627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17549849:17568346:1 gene:Et_3B_028627 transcript:Et_3B_028627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSYAAVKCLNTCSSSRKRFSFKTFSQRVEEIDIDVYRSLHAVKAEPSSGSSFFLDALVEWRELNTAEDFISFYDEMIPLVQTLPQIVLHREELFCALLQRVNMSARLSLEPILMLIAALSRDILEEFLPFLGRHANAIVTLLNEGGDRDPDILEQVFTSWSYIMMYLQKYLVKDVVQILRITAPLRYFPKDYIREFMAESVSFLLRNAPNKQLTHGVMKVLLEAAKKSSPIRIDGVIALLWHVMKGTSIKLHSRAGKVFKFLLSKSIVTTIHDKFPDGSSTIHEVMTGLIRRLCDEVDIKELPLIFTCLFEEITSCIKDDCVDHLKCLIDFLTFAFENSKHSDVFDKVKMLKLVEVLVSKYVLPDSNIGEASSSEVLGSILDFLLCVLDYPVITGNLSIVSPFYAPVFKLTNLSVIGFIRKLLTKGSQIIQHFESQILSAMDNFLDSSLEEVLYILLTFFKESKKQINLHSSDGNHPVPGKKVCKFFESKFSFWIKLLDDTVSTVNHSSNLVTEKEAAILWGSICCYSKLDDVHQDSCLLLKKLICSLDRLLEVGEENINGLPKTTWRSLLGTALSSYHELLLFKTSRNSEINLFVSIAKRHSTCPQVLSAVAEYLESLQGVGSQEVTEEFDSQNLLDLFSIFAVNLSSSNKNIRILTLRVLSYFVKMDQRIGTNEERPHKRQRTEDSGEETVAKYTNVVDTLLDVESTPISVSSSRKIAIFISRIQMTILDYFSLYLAVDFDCTPVETITTLLLQSLQRIPDVAESRSRHLIPLFLNFMGYDDVGIISANSYISDKCKGKHWKTILKEWLSVLRLMHNARSLYQSKILQEVLTKRVLDDSDPDIQAKALDCLLNWKDEFLTPYSQNLKNLIDGKTLREELTTWAVSRDSLSIQKDHRSRVVPLIIRVLAPKVRKLKLLGSRKHTGVSHRKAILRFLLQFDSSELDLFFSLLLKSLFPSSLQLKISGSQSDSVLRNVSDIVGASTEICIENFACKKANGFLHLVEEIFGTFDMAHISPFLNVLLIIVARLLESCMRNLRNESNENYPCKQSDEPDNDSSLNLDADKNSANMEESPKDMTASGSIKQLKDLRSLCIRIVSSALRHYENHDFGEIFWNTFFTSVKPLIDCFTQESSSSEKPSSLFSCFMAMSRSPALAPLLGGNNLVPAIFSILTVKTASGSITSYVLEFIENLLRLDIDLQQQDDHSVKKILAPHMDVLLHSLHDFVNHRKELNRRSGTWLGHRELGLFKLLLNYITDPSAAERFIDLILPIFSKKGLNSDECLDALRVLKGIVPNLRCMVSVKVLRALNPLLATVGLELRLCVCDIYDGLSLHESSLSFLASLMRDLNAVSTSELGEVDYDTRLAAYDKIQPRLFIGMREEHVGAILSHCVYDMSSEELIFRQSASRALQSFLDFSASVMNNESKSYVETADIEPEESNTKSISTNGYIQQILEKTYLHNMGVAMTKDISIQKEWIILLREMVYNFNHIASLSSFRPLCKDDLEEDFFHNITHLQAGKRSKALSLFRKATKENNFSEDITMKIFVPLFFNMFSDVKAGKGEQVRDVCLDTLSSVAAKVQWEHYRTILMRCFRELSLKPDKQKILLRLICAVLDAFHFMKPSNDDSRSADATSVDSDASLTFSLTTVSSDKQHYLQKSVFPQVQKLLGADPEKVNVNINLVALKILKLLPVDYFESQLSSIIHRICNFLKNRLESIRDEARSALAASLKELGSGYLQFVVKILRAILKRGFELHVLGYTLHFLLSKTITTDMNGSLDYCLEDLLAVVDSDLLGDVAEQKEVEKIASKMKETKKRMSFESLKLIAKSVTFRTHALKLISPIASHLQKQLTPKLKSKLETMLHNIALGIECNPSTETSNLFIFVYGLVEDTVTGSESEHKDSVESGPDRENTSTKNFPGLVASGLQNSYMITKFALTLLRNRLKSIKLDKEDEQLLSMLDPFVNLLGKCLNSKYESVLTVAFRCFALLVKLPLPSLRGNANPIKNVLMDIAQRAGNSNSQLVTSSLKLLADLLRGFRISLSDDQLQMLVRFPMFVDLQINPSPVALSLLKAIVKRKLVSPDIYDIIVKIAELMVTTQTESVRQQCIQILLQFFLNYKLSEKRLQQHIDFFLANLSYEHASGREAVLEMLHDILTRFPQRIVDDQGQTFFLHLVVALSNEQHHNVSAMILRTIQKLFGRIGDQGKNSIFEYSLSWYTGEKQSLWSASAQVIGLLVGDRTLRTGKHLKSILAVAKKIMESSVIASGGMQLGLTDETALPFWKEAYHTVAMMERLLLRFPELYFEKNMEEIWIVACKLLIHPHSMLRNTSSSLVASYFATVEKKKHEGKMGVSWLLVQPSRLFLIAVSFLKQLRTELSDTTANNLIVQNLAYAVCNLHTLVRRSTSSRQFWSSIGSSTHGAFLEGFELLGSTKAKNTFLLCTSTSSDAAGSSLDSSEEPTSLLVSSILKRMGKIATQMQDTQTKIVFHCFGMISSALGPEESLTYAVQLLSPLYKVSEGFAGKVVSDEVKQLAEGVRDKLRDLIGVEKFVEVYNSVRKSLKEKRESRKQSEKIIAAVDPERHAKRKLRIAAKHRDHKRRKIMAMKIGKRMR >Et_4B_036045.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:16728009:16728329:1 gene:Et_4B_036045 transcript:Et_4B_036045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTDDLVVEILSRLPIKSLSRCKGVSRHWRNLISSRDHRRKLTQTHPGLFYCTINSGRFPKEARHFTHVLQGRRCPLVCPSLSFLPGYERISIVDSCNAMPRRF >Et_6A_046519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16563665:16566403:1 gene:Et_6A_046519 transcript:Et_6A_046519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRDGSAQQVESLLRIGMAKQQQREASQKRCSTGLCRAFITAGRNPDLKNVLREVLMGLDNK >Et_1B_013519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9164773:9165669:-1 gene:Et_1B_013519 transcript:Et_1B_013519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAANAHLYGHVPSHHHRSKRPVAVAVDEDGDAASPKGARYRGVRRRPWGRFAAEIRDPMSKERRWLGTFDTAEQAACAYDVAARAMRGNKARTNFPLHATAGCWPWGPAPPQAAVEPDHPLRPFILHNLLMNSSSSATNGCRLVPHAAGHASRAPAPPRPRFTGAKTKTKPAAAATSAFVASLPRAVDDDMWGGLLQSEPPDAGLLQDALHDFYPSTRPPRGGASCHHKPDSDTGIAAAAFHPYGADGFDYEDGGGDYPQGLLGDVIQVPAFVQLVSGSSAAALRG >Et_10A_000534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12372372:12372840:1 gene:Et_10A_000534 transcript:Et_10A_000534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EAQMPERSGVLREQVRDILYRTTDLPEVLDLIVKLQRLGLDNNYKAEINKLLDVVYHSDYDVGDLGVVSLRFYLLRKNGYNVSSDEKLVKLIQCRILEDPWRDIA >Et_4A_035106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9557723:9559649:1 gene:Et_4A_035106 transcript:Et_4A_035106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding INTEVVFSIGSTQAVIINRSNNTFDAPSHTISAMSLYSICCGNFHLLRHPIGNTCSFRLLKHHSRLIYETFRPSQRHQTRHISTTVLARSTREGSKQRFSNSRHLHGESVESSIEVFKQSELEHLKSLQCYNVEEKLSGAKTEWPATILVFDIETSGFSRRNDRIIEFAARDLMGGKNSTFQTLINPEKDVRNTYVHGISNNMLSRPDVPRFRELIPILLQYVWSRQMDGKPVLWVAHNGRTFDVPFLIYEFQRCKVEMPGDWLFVDTLPIAKQLVDSNGSKLSSVSLGKLREHYEIPLTGSAHRAMQDVTTLCYVLQKLTFELKLTVPQLIEKSFRVSDLAASRPEK >Et_2A_014903.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21174404:21174511:1 gene:Et_2A_014903 transcript:Et_2A_014903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSLKLKQVFQLIKQEMKLREVVVAQSTNDEGEG >Et_1B_013319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7345094:7346857:1 gene:Et_1B_013319 transcript:Et_1B_013319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHLLSHVASDLCIGRPRVLTLPSSTPVAAALAALRAGADPFVFVDAEPASRAKKTTTATYVKVSVAVADILCYVCGNAGNLSDPAAALGRPVSAVGGGGHGGVARRVDPQTSLLDAIDALLTDGCQGLLVPLHARARKRSQNHHVLLPSSSDAAANDCCVLTREDIVRHLFGSISHFSPVAALTVASLGLVRRDAHAVRVDADGLDAVPLLQKAVSDGTAVAVVDDDDALVGEICPGVLASCDVDLVSAAFAALSAGDVMTCIDCSLSHAPPEFLVRSVRAQLRDRGLDAMADLMDAWDAASDQPLSPSSSSTLSSSSSTSSDEDSPLGRARRPRRMSSGSFGWRSTEDVVACHSESSLVAVMAQALAHRVGYVWVVDEASGALVGVVRFADVVAVLREHIRPQVMCR >Et_4A_032746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13247940:13249237:-1 gene:Et_4A_032746 transcript:Et_4A_032746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRSTMLLCLALVMLAIAGNGGAEARKRGKQTLGYYELRRGEFSMVLTNWGATILSVRLPDKNGHIDDVVLGYKNIGSYVNDTTYFGALVGRVANRIAGGRFTIKDHPYHTYRNDGHNTLHGGRRGFNQVFWTVRERVTGEFPHITFAYRSYDGEQGFPGDLDVLVTYKIDGDFSYSVTMYARPLDKPTPVNLAQHTYWNLRGHGNGTILGHAVQIAASAVTPVGGDLIPTGAVAPVAGTPFDFRNAAAPGARIAEVEGGYDINYVLDGEADGQGVRKVAVVSEAESGRVMELWADQPGVQFYTGNFLKGEEGKGGARYQKYGGLCLETQDYPDAVHNPGFPTEVYRPGQVYKHYMLYKFSIKK >Et_4B_037228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16935068:16939010:-1 gene:Et_4B_037228 transcript:Et_4B_037228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWCPAESTKPVFVGIYGAVLGGFAVSALFFLLSSFSSLSAPALPFPADATGALAAAANLSGSIPAQPETMYNRPIWKPPPRGARMPPPTAFRLTRDMVRARARDGVIVVTFGNYAFLDFIVTWARHLTDLGVDNLLVGAMDTKLLRELYLRGVPVFDMGSRMATEDAGWGSPTFHKMGREKVLLINALLPFGYELLMCDTDMVWLKNPLPYLALYPDADLLTSSDQVIPTVTDDSLENWREVTGAFNIGIFHWRPTEPAKRLAAEWKHLVLSDDKLWDQNAFNDLVRKVFGQSVEGEDDLVYSYDGKLKLGVLPASIFCNGHTYFVQGMHQQLHLEPYAVHTTFQYAGTEGKRNRLREAMLFNDQPSYYDSPGGFLSFKPRIPKRLLLGGAHTVESHFELVNYQLKQIRTALAIASLLKRTLVMPPLWCRLDRMWFGHPGVMEGTMTRQPFLCPMDHVFEVVHVMLKDLPEEEFGPHIDFREYSFFENPSLPKQVKESLLEVQLCDDHSSKCSAANGTSKHGPLLLPRNSTDQKLLDVFSSYKDVKIIQFSSMVDAFMGFTDAVLEKKFRNRVQRYVGIWCCVQFREIGHIYYDMYWDEKPGWKPHPPETREDDHPPLS >Et_1A_009344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:484025:485791:-1 gene:Et_1A_009344 transcript:Et_1A_009344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVLKIGLGCAAFAIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVLLGVMTADEAYAAVDLPILGLLFGTMVVSVYLERADMFRHLGRLLSWRSQGGRDLLVRTCAVSALASALFTNDTCCVVLTEFILKIARQNNLPPKPFLLALASSANIGSAATPIGNPQNLVIAVQSGISFGQFVFGILPATLVGSVVNAAILLCLYWNQLDGGKPVAEDTLVAVPTEVVEEEDVTSHRFSPATMSHLRARCPPGTSSSLDDSPPEPVKPDNGNGYHHHPVDDKLHQRRGRPAPAANAKVDDTHWAAAAEEKEVPMEPWKSTLWKTCVYVITLGMLVALLLGLNMSWSAITAALALIVLDFKDARPCLEKCFVVAFAHCPVAETQTTTTFEQVSYPLLLFFCGMFITVAGFNKTGIPSAFWEFMEPYARIDTPSGTVILALVILLLSNLASNVPTVLLLGARVAASAAAISPAAETNAWLILAWTSTVAGNLSLLGSAANLIVCEQARRSQQYGYTLSFFSHLQFGFPATLIVTGIGLLLIRGY >Et_2B_020340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19139516:19140634:-1 gene:Et_2B_020340 transcript:Et_2B_020340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTVAGTRPDSGLGKLRKYASFSPSSSTAAAAASAAADGPGAVPAVTRSITMLRPPALSVTSPRSEPSSAPSSPASAGPDSPFGSATTPKGEGWNWKKLRQKGRMATADGAEAPGTPRSPTVYDWVVISSLDR >Et_3A_024108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17410905:17420183:-1 gene:Et_3A_024108 transcript:Et_3A_024108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVKNAKKYAAITRRVTSAEMVRHCTEGDLWVAVQGKVYNVTSMMWMSLSLWLPHHPGGNLPLLGLAGQDVTDAFVAYHLASAWRGLNRHHVASLSVHAISDVSCDYRRMVADFARAGLFDRDKGRGCAASLCAMAALLAGAVWLVLATASVAAHMAAAFMLGFLWMQSGFLDHDSGHYASCTCALLCEHLADSAEMVVAGISIGWWKRTHNAPHIACNSLDHDPDVQHMPLFAVSPRLFASLTSFFYRRVMRFDAAARFLVSYQHWTFYPVMCVARVNLFAQSLLLLLSRSTRVPGRAGVAVFWVWYPWLVSRLPGGAWERAAFVLLSFAVTGVQHVQFCLNHFSAGTYVGRPRGDGWFQKQTRGTLDVECPPWMDWFHGGLQFQVEHHLFPRCHLRRVAPLVRELCRKHGLPYQSCGFWEANVRTIRTLRDAAMKARAVGAAASGGSDVPKNLVWEAVNTHG >Et_7A_051896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:26142138:26143549:-1 gene:Et_7A_051896 transcript:Et_7A_051896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECEHDFDESILVWHIATDVFIQVSKAGPELKLVEATRVLSNYMMFLLVAKPDMLPGRARHNLYLEMCKYSESQWTDEDNPVMASPRSWNLCRMLKELFHHEGPNCSRIPHREMLADRLFIAYKDVQIISLKHTNLIQRGDTQDPRLKPFCDSGDMCAVLLAKELIDLGRSDTLEELIFGVWVEMMLYAADHCSRDSHARQLSNGGEFITIVWLLVYHHMHIARYNKFIETLYSRHPAHVPDPRPEELFVVIGIHNNMQGITESAVGLLARPRNVTF >Et_9A_062761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4226767:4227614:-1 gene:Et_9A_062761 transcript:Et_9A_062761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGDRHALSTPAYVYALLPDLTSKIASSRLMIFVPIQIYFTVGGRSYGALVAAALAGLFGVLDDQPVAGEEVRKEVQDKKPLSEVSREKVDIKQEVGINTRLKNNGNGFSLEDYLAEMTKVAAAQKRAREVSDKTVNEVTDLEVMTEEDEAAMKARFEDWMEYGRTYKSEEEKARRYKIFKSFAKIADKATANARGAARFITNHTANWTDEEFRCVYGVGIDWDDYLDEIKFFIDKKRARDAMDVTDVGSGNK >Et_8A_056734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15994669:15997954:1 gene:Et_8A_056734 transcript:Et_8A_056734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIEECGGGGGRHLGVGSRILVGVPDNSRGCSELLSWAIGAVAKANDSVVAVHVLGGRGRKRRLQKANAFVIHMLGEFVEACEAKQVNLEAKVVCSLNIGRALTQEATLTDANLLIVGRSRTGYHRSHFEIANYCFMHAPRNCSVIAVGREGLPQRRTMLKSRSFDDSNISTSSTWSRRFPPLQKLLRSSSTRKLAQSVNDGIEDKSSPRSVIDGPEEAEHQVTEECYSTSSNEVSRRGHHGLWRRLSDMKLWLPFLRTIGDDSARGSDVCSTNAEDHKPAFRCFSFQELSVATNDFHPDNMAGRGGYAEVYKGILSDGQCIAVKRLAQGKPSEQKEKEFLAELGIQGHVCHPNTAYLLGCCVENGLYLIFEFCANGTLASALHGKSGKILEWPLRYKIAVGVARGLQYLHMFCRHRIIHRDIKASNVLLGDDFEPQISDFGLAKWLPKQWTHHSVLPIEGTFGYLAPEYFMNGIVDEKTDIFAFGVLLLEIVTGRRPIDCSKQSLLQWAKPLLEAGQATELADPNLGDNYDKDQLKRMVAVSSRCIMRPAMWRPSMAEVLHFLSSDAYLEEPEKWNIPEDEVNDMDDCSLFSESCSP >Et_2A_014651.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32139410:32140764:1 gene:Et_2A_014651 transcript:Et_2A_014651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVVMLSHRNACMHERAVYGRGVVECSKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVPRNATGELAPVPAARDARGGGSSSAAGGSNNLSQILSAKLRKCCKTPSPSLTCLRLDPEKSHIGVWQKRAGARADSSWVMTVQLNKDAVATTSSSEELTPSYGAATTPTSTSTTTDSPPAMDDEERIALQMIEELLGRSGPVDHSHGMLHGGEGSLVI >Et_4A_035691.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3832378:3832941:1 gene:Et_4A_035691 transcript:Et_4A_035691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAEGMKSRRFALACGVLSQYVKAEQKMAAVAAAPPRAPATTLSLMPGADVQEEAAPAAVARGEEAAGPASTAAPLTIFYGGRVVVFEDFPAEKAAEVMRLAGVASGADREAAPAPVPAPAPRDEMPIARKASLQRFLAKRKDRIVERAPYARPSTAESAKGDAQAEKKKPVASWLGLGSTVAL >Et_3A_023286.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:17753485:17753727:-1 gene:Et_3A_023286 transcript:Et_3A_023286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVEVVFCVWRVCLCQVTCYCCKNQKPETLCYSIKDECRSYYPGINLNAHLNSIVGKWYCENDISFSSVVTRMTCFHTR >Et_2B_021106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26569189:26573638:1 gene:Et_2B_021106 transcript:Et_2B_021106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAVDMRTAVGWAARDAGGHLSPYTYNLRKTGPEDVLVKVLYCGICHTDIHQAKNHLGMSNYPMVPGHEVVGEVEEVGNQVTKYRTGDIVGVGLIVGCCRQCHPCKSSNEQYCNKKIWSYNDKYTDGKPTHGGFSSAMVVDQKFVVKIPSGLAPEQAAPLLCAGVTVYSPLKQFGLTSPGLRGAILGLGGVGHMGVKIAKAMGHHVTVISSSDRKRAEAVDDLGADAYLVSSDAEAMAAAADSLDYVIDTVPAHHALEPYLALLKLDGKLVIMGVIGQPLSFVSPMLMLGRKSITGSFVGSVEETEETLAFCEAKGVTSQIEVVKMGYVNEALERLERNDVRYRFVVDVAGSKLDEDTSSLNEASERTVVGWAATDAGGHLSPYTYTLRKTGPEDVVVKVLYCGVCHTDIHQVKNHLGRSNYPMVPGHEVVGEVEEVGAEVTKYRAGDVVGVGIVVGCCRQCYPCKSSNEQYCNKKIWTYNDVYTDGKPTHGGFSSAMVVDQKFVVKIPSGLAPEQAAPLLCAGVTVYSPLKRFGLTSPGLRAGILGLGGVGHMGVKIAKAMGHHVTVISSSDRKRGEAMDHLGADAYLVSADGDAMAAATDTLDYVIDTVPVHHPLEPYLALLKLDGKLVIMGVITQPLSFLSPLLGIMRKSITGSFVGSVEETEETLAFCEAKGVTSQIEVVKMEQVNEALERLERNDVRYRFVVDVAGSSLNGA >Et_8A_057533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4053347:4056043:1 gene:Et_8A_057533 transcript:Et_8A_057533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDRATTAAAAGGSSSSGAMAVDQAAGGVEKPRFDALMPSEMSGGKPQFRKVVVPQHRFAPLKRCWLEIYTPVYEHMKVDIRMNLKARRVELKTRQDTPDVSNLQKCADFVHAFMLGFDIADAVALLRLDDLYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKFAIENSTRTRIVIADTKIHILGSFVNIKVARDSLCSLILGSPAGKVYSKLRAVSARLAESCGLTGVEGALKLWL >Et_3A_023282.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:17761841:17761975:1 gene:Et_3A_023282 transcript:Et_3A_023282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFYTDEAAGRKMSPNTVLIMSIGFIAVVAMLHVFGKLYRTAN >Et_3A_024575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22225399:22228472:1 gene:Et_3A_024575 transcript:Et_3A_024575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TSPTRARSPSEHQPQPSSKRATPPAVEALAPPSPTSQHPALDPSRDGSLSPENSTPAPPQPGPTPPPPHRSGDSSPSSPPHAPAPPPPPPPPLAADPSPPLLPEDQTPPPPPPAPASASPPSPSPPPPAPASSGAKSDHEEAESASEAGSMTLALALTQTEESMPPTPPKPSSAEASPVGSPNKEPAVTIAKLLSGEDPAAAEAKPAAEKVTPPRDNGALPAAAVTTGGGGSVGSKRWLLGGGVPEKVRRRELRRAELRFRVSAAVFCLISLSVMAADTTPGWSGDSFRRYNEYRYVLAASVIAFTYSGFELVAEVHYLVTRRHIIQAPLRSYFNLAMDQILAYLLLSAPSAALSRNDVWISRFGGDQFTKLGYIRCELAIDENSCHASNIGLLYENHMNSNSS >Et_4A_034575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4462411:4480918:1 gene:Et_4A_034575 transcript:Et_4A_034575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFTDIALVLALTLAQHASLAVAAAGPRVIIVGAGMSGISAGKRLSDAGITDLLILEATDHVGGRMHKQNFGGINVEVGANWVEGVNGGKMNPIWPIVNSTLKLRNLQSDFDYLAQNVYKEDGGVYNENYVQKTIDLADNVEESGGKLSGTLQSSGSQDMSILAMQRLNDHQPNGPATPVDMVDYFKYDYEFAEPPRVTSLQNTVPLPTFNNFGDDVYFIADQRGYESVVYHIASQYLKADKSGKIVDPRLQLNKVVREISYSASGVTVKTEDNSVYKADYVMVSASLGVLQSDLIQFKPQLPSWKVVAIYQFDMAVYTKIFVKFPKKFWPEGKGREFFLYGSSRRGYYGVWQEFEKQYPDANVLLVTVTDEESRRIEQQSDNQTKAEIVEVLRKMFPGKDFPDATDILVPRWWSNRFYRGTFSNWPIGVNRYEYDQLRAPVGRVYFTGEHTSEHYNGYEMARGVAAAVKGGAKKKGSVSFVVDCTKPVEDKIMDIASLEKFLQERIKVAGGKAGNLGDSVTVSREKTKVTVTSDGPFSKRYLKYLTKKYLKKHNVRDWLRVIAANKDRNVYELRNNEALRSRSSSSGAARSTVCLPRRGRLFRPQGHHRRSRHVRRRIALAGGCTSTTSAASNVEIGANWVEGVGGDKVNPIWPWVNSTLKLRNFRSDFDSVVGNVYKENGGLYDEDYVQQSMDRAYEVEEQGANLTGKMDPSGKDDISIMAMQRLFNHEPNGPATPLDMVLDYFIYDYEFAEPPRVTSLQNTQPTATNADFGDDNNFVADQRGFESIIHYVGSNYLRTDNNGNIADRRVLLNKVVRKIAYNNSGVVVSTEDGSSYQADYVMVSTSLGVLQSDLIEFKPQLPFWKTVAIYRFDMGVYTKIFLKFPRRFWPVGEGKQFFVYASSRRGYYGIPSSGSTPGANVLLVTVTDDESRRIERQSDNQTMAEAVAVLRNMFPDVDVPDPTDIYVPRWWSNRFFKGAYSNWPIGVNRYEYDQLRAPVGRVYFTGEHTSEHYSGYVHGAYLAGIDSADIMINKVLKNQEFKVRGKYDAQVAE >Et_3A_023234.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:12908867:12909046:1 gene:Et_3A_023234 transcript:Et_3A_023234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IGLKINSYRQDFPFIFLLIFGFCFQIKSSCSLKSPLESSASVPTLTHLSGIDPHYGPLF >Et_1B_014078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:358845:359458:-1 gene:Et_1B_014078 transcript:Et_1B_014078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLLDYVRFRAVCTADPPPRPDLHGHVRFLNLDTGALLRARIPLLGEFWAIDSVDGLLLLLRDPDQEGAVRLLHPFTGDIVDLPPLGALASCLTSCPAPYRTRRLARVVCSSVTIDAAGNITVILALDELRRVAVASSLDRQWTLSTWSYPTLYPPLSFQGKVIRAGHSWTIRAREDPRGSTD >Et_4B_039011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:693760:695292:1 gene:Et_4B_039011 transcript:Et_4B_039011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPAPPAPTTSPSPTPRPSRTPVVPATNRRSGPRRRPRRNPPSSPSIPDAEQPDALARILRTEAAVSGVSRKAAVAHQQSTRLWPRAVLEALDSAVSSGRWETALEIFELLRKQYWYKPRSQTYARLLMMLGKCRQPGPATALFKTMLSERLRPTVDVFTALVGAYGYSGLLEEALATVDQMKGAVDCKPDEYTFSILINCCGKSRRFDLIPAILEEMSYLGLECNSVIHNAIIDGYGKAGMLEEMENALSSMLESGNNVPDIYTMNSIIWAYGNHGRTDEMEKWYNKFELMGVEPDTKTFNIMVKSYGNAGMYDKMMSILRYMKKRYYSPSAVTFNIVIECFGRVGNIEKMEYYFRLMKIQGVKPNPVTYCSLVNGYRKARLLDKVPGIIRQTENTDVALDTPFFNCVISAYANSGDIKIMEEMLQLMKDKNCKPDKVTYATMIQAYTAHGMDEAARLLEMEAERFDNKLPVGLFIIFL >Et_8A_058258.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:3714628:3715365:1 gene:Et_8A_058258 transcript:Et_8A_058258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLASLLSPSPLLTSTASSHPQAAPQAVRLPPNKPLAATTLVAAAAAGLLLLSPSPAPCRADPEFSVYYGTAASAANYGGYGGNASKKDTAEYVYEVPEGWKERLVSKVEKGTNGTDSEFFNPRKRAEKEYLTFLSGIRALAPLSAVLDNLALSDVGLQDQIASADDVRADQRDDGAGQVYYEYEVAGAGAHSLISVTCARNKLYAHFVTAPNAEWGRDEAVLRRLHQSFKTIDPAAPPPATES >Et_10A_001905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10765246:10768359:-1 gene:Et_10A_001905 transcript:Et_10A_001905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDGYCLGSKWKYDPWMVQKMRKGLHPQMQWMSYVTQSGRLMNIMMTKISHTGKVYHMRAKRQMAQSLGQIAKFKRRYELEAEENSGKTERVCVLEVAKSMETDLN >Et_9A_062715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3628607:3638046:1 gene:Et_9A_062715 transcript:Et_9A_062715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQNAWSPGQKEAAKGHSKIRELAVGLPSSTHRHLRTYVRALARRSSSLKTYRAGWRRRRRLPLKPPIFRSDTWCAHRAVTFSRPPASAPSAASPQPAAIAGRCHAMERLVAAVRVPCLHAAHGCVARPAYHDRDDHARECARARAVPVPRQRVPLRRLHGRAPAPLRRRARVAVCHQDTEEDAGCAVSVLCIHPHAVPEMKFELEYSRTESTLPCSLLAAECQRLCFHSFLRIPSCFPRLLLLWAAANLIVTKKSSKQRRSAAIPISATPDF >Et_6B_049534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6114787:6126093:-1 gene:Et_6B_049534 transcript:Et_6B_049534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVAVLGLMIHALLLAAPTANSEHRGFRAALIRRETAINFTRAAQQSYDRLSTLAARVTSTQTPLRSDDEGAYDMEVSIGTPPQNLTAIADTGSDLIWAKCDSCPSCMPKDSPSYYPNKSSTFSKLPCSNRLCVALEEQSQAGCGAGGTECDYKYSYGLSGDDYTQGYLGNETFTLGSDAVPGIGFGCTNMSEGNYGTGSGLVGLGRGPLSLVSQLGVGAFSYCLNPDPSMTSPLLFGSLANLTGSGVQSTRFLNSSTFYNVNLKSITIGYARTPGTGTDGIIFDSGTTLTYLSEPAYTLARIAIVSQTSLPRVADTDGFGPCYQATGNGTSGTVPKMVLHFDGADMNLPLANYFVEVESGVICWVVQRSPSLSIIGNIMQANYHIKYDLDKKALSFQPANCSSMAATVALTIQVLLLAAPPANSELRGFRATMVRREKTINFTRATRQSSDRLSILAARLDASTQTPLRYDNVGEYNMEFSIGTPPQKLTAVADTGSDLIWAKCGPCASCKPQGSPSYDPTKSSSFSKMPAAILALMINVLLLTAPPANSEHGGFRATMIRREKTINFTRAARQSSDRLSMLSAQLDAAAGGASTQTPLRWDGGAYEMEVSIGTPPQKLTVLADTGSDLIWAKCGPCASCKPRGSPSYDPTKSSSFSKMPCSDHLCAALESHNQASCGSGGSECDYQYRYGSGSADGYMGTETIVLGTDSVRGVGFGCTNMSNGNLGTGSGLVGLGHGPLSLVSQLGVGAFSYCLNPDASMASPLLFGSLATLSGDGVQSTGLLGSSSYYSVNLKSINVGSRTTPGTGRDGVVVDSGTTLTYLTDPAYTAAKAAILSQTSLPLADDGSGPCFRVSSASDISKIPPMVLHFDGADMKLQAANYFREAENGVICWVVQRSFSSRLSIIGNIMQTNYHIQYDLDKKVLSFQPANCNSM >Et_9A_062945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7172300:7175679:1 gene:Et_9A_062945 transcript:Et_9A_062945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCSWLLFQGHGDSTDSEGSYGSAGLDELQDVAIYMMMETEEHEYAEAVTTSSSSTTFPSLSGSSDENSSLMLAGSTTTTTTSFHLPAEASPLQLPVPLSPIAYDDHHHALFFDNLDMIVNLDHLMTQEFHQEQGQSKKDHGFGGAFRPYKDRASTLIRARDYVNTLKSRVSELEEKSRMPVETQVHGDQAAQQDDYSTEQVEVDIRRPSGRYDDKFLKESVIKIVKDAMKSETIGLQIDYRLRFLKRMCAGVSLNTYGYQVKEQTIQPRAGSPASGGPYFRCRSIVILKPSRKKDATITIERLV >Et_3A_024819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24533950:24536014:-1 gene:Et_3A_024819 transcript:Et_3A_024819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYKYNLSRTFCNAGANYTIEDAARILELTHDRNLKHIGEWCEMSNNNVTPLPTSPLVVLPEITTSCVTGYECYHRRYWTDIISPTAPAHPYFRPSGMMQVFSLRLSTTPSRPVKIYGHFSVRDCWEPLRNHLFQRSRDDPATILQGCSLLPFCGPSRGIYVLQYILLDIALWIKEEGDGSADKPMFHGYIELNASLTGFDRKLEGRIHGNCHNLDMYFSFLSNSIESFLEIFADSEKTSKVKINALISGCEHEIALYDGTFCGTGTMVRHMLAVKLLGQLHIVLKLDGSLYSWNFQAGVGVLNAPKHSIPNFRTKGKASSTWQWSSIGNDVQLVEIR >Et_1B_014184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34551378:34554848:1 gene:Et_1B_014184 transcript:Et_1B_014184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRYGSTTNGRPDTSTTTHLMLPQTFWMMLGPGEGMVRFMRSPDVSAYGGSPTTTTAVLGEDNVRSGVHGALDAVEDGGAGGEVISGEALPCDGPAAALASEVVGVAAGDENARGCRLGQREQRLVSGLAVLEEDERFPHGLARKVAVLLLPELAGEAGVWVGVLEEAHGELDAQDAADGVVDPAHGNGAVLHQLGEVVDELAREHDTETNPEAELGFEEVGDERTVGVHLDTVEAGVGDHHGGHAPDDGVVVGRHVDAQQLVEAGHCVVLVDAVRRAAVADVVLGARRHVTAAGDERGAQAALRRNLTLEPGDDGGHLLDQPGVLAEALVGERHLHLYMEFKSMDRKGEDYLVQPRAMLCGKMVAL >Et_1B_013332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7405316:7409444:-1 gene:Et_1B_013332 transcript:Et_1B_013332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRFLLLALAGLLLASFPSRCSCDDHRSRELADDCQPSGSLQPSESHSCDDCCEAGRSYPTYECSPATSESTEAIMTLNDFEAGGDGGDPSKCDEKFHKNMERVVALSTGWYAEGGRCGRNIRIRANGMSVLARVVDECDSLHGCDSEHAFQPPCRPNIVDASQAVWDELGITGEEVGEVDITCTRCLRPASSFLHWQASWSSLSRDAAAATSMTAAANSPTAASRAASCGRARATLATSAAKAGRSYPAVSGKTKAIMTLNDFEAGGDGGDASECDGRFHSNSERVVALSTGCHKGKRCGKSIRIYANGRSALAKVVDECDSLHGCDRAHAFQPPCRPDIVDASKAVWDALGITGDQVGEYAITWSDA >Et_5B_045597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5112868:5114075:-1 gene:Et_5B_045597 transcript:Et_5B_045597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDASTSPRRRSTSCYSDSGDSSCSEPFSECGSDDLSFTPAAAAGIHRLLLSCAAEASEDAISSLVAELESPSPSLDSLRRAAMELRLLAKHNPDNRVRIAAAGAVRPLVALLSHPDPLLQEHGVTALLNLSLCDENKAMIVEAGAIRPLVQALKSAASPAARENAACALLRLAQLDGSAAAAVARAGAIPLLVSLLETGGARGKKDAATALYALCSGARENRLRAVEAGAVRPLLDLMADPESGMVDKAAYVLHSLVGSSEGRAAAVEEGGVPVLVEMVEVGTSRQKEIATLSLLQICEDNAMYRTMVAREGAIPPLVALSQSSSARPKLKTKAEALIEMLRQPRSPSLRARPAAVVAAE >Et_3B_031548.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:4254985:4255551:1 gene:Et_3B_031548 transcript:Et_3B_031548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGNQLHGASALLLLLLTMVSLPGAALANADFVAATCNSTSNPENCLSLLGRDPQSVNATTVLALANIGLDVAAAYARDSAGAVNQLSEQKYDGETEGEALLQCTQVYGNAVEDLDEARDPLNSGDLDEAAWLVSAAEDAGDACEGAFADRGVGSVVAGVDRRMKEQCGVVGDLIGLLSGEFAVRV >Et_9B_064184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12319856:12320853:-1 gene:Et_9B_064184 transcript:Et_9B_064184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDEQRGDHSVTDDFVDADGPLLAKSASAEAVQLASTSCKEQMKDFVAGTSEPEVRAQVASETRNSELEQKVKELTEKLCNTEEELEAARAKLRGAEAATLESQSAVATINGLSLRLSATFVRLGDTQHGAPPVAANNNASISEAVKLLEDRVSLVEAVSRDHAATLARNSVAFGAAALLSRDNKGAEALRDTVAADTRRFLRSQGPHLGSLVSQVVDAVEQQHSNAPQMQGGRATGAGGQAANMI >Et_7A_050583.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:26067646:26067828:-1 gene:Et_7A_050583 transcript:Et_7A_050583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVILATWSIWTHKNNIIFNGSALSFPRPKQEFNDNFKLSMYKANPGVVQDMESWLQSL >Et_6A_047311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3810908:3815981:1 gene:Et_6A_047311 transcript:Et_6A_047311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDLIASCQDSIRQIGDEISESILYIDAGTLEAFQFIGAFPLLLELGARAVCSLENASPLDAAADWNSSFLYPASKIVVITSCLLSDAHRYILRCLGNHVSVSHCTVLTAVSEVGHSAYVDSPLGPDAFREYETLLIQDHEELFKKHEKLDKNKDNHDMETDFISDVDTNLDSGVHYGTNSESSPTKRNLFDDDLGQVEERERSDEVPPGVTLTAQFLYHLANKMDLKLDIFSFGDTSKVIGKLMMDMSSLYDVGRNKRSAGLLIIDRTVDLLTPCIHGDSFLDRMLSSLPRRERMSSSCAAKSPQTPSKHAQATIKRSPLDFKIPFPTVISNEETKSRTNMLSESMMSFVSGWNSGQVDSEVSWLPDYADNTDDDKLGSQPGTINGSFLSNNAGVRYLEALLDRGAKDGLMLIKKWLVEALQHEKLSFASKGRQGVASVSDLRSMVQMLSRDQLSLLKNRGVIQLALAAEMALREPQSSRWGAFTSAERILSVTSAETTQSLASELRDFINTSTSVESHKLASAVESSQGLLSFQDVLLLTVIGYILASENFPTSIAGGPFSWEDERSLKDVVVDSILERPSSVKLRFLDGLDNELVAKVGSKDGNRNTKDSTEPVSSTDDFDDEWGNWDDDDNTDQKDDAYGDMQLKLEVRDRVDQLFKFFHKLSTIGEGLAALSRFETDSYSRKGLLYKLLLALLSRLDVPGLEYHSSAVGRLFKSGLGRFGLGQSKPSFGDQSVLIVFVVGGINTLEVREVMNAISESSRPDVELILGGTALLTPDDMFQLMLGSSDFS >Et_3A_027380.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:9628776:9630089:-1 gene:Et_3A_027380 transcript:Et_3A_027380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSSRTKSAFHQFHGCAGDGCLTPIETWPLHNMLRGGARTRLCASCLLLSHRAYYCCYCLLLVPDALPPASRSGDDADNQLQVAPPAPTITCQVCGDAVAHLACLHHPGCVSFVCPACSAASEGRPFTFGPPCGAPLDERGSKVILLAARLALALVQHSADTARAEAVRRARTAAEAKRKAQRAVAAAIELAAQEKPPPCRDGSVPAGVVVLALPAQPPRTRAPPASGNRQLDLGGGSSSSGGTRRVTGAPRAQQLTRHSHAMLPPPPPPPPPAQRQRFTRMPPPAQPRSDAMDGVAPPPAQQRRNARSPPAQPRRHATPPPPAQQRRVAMAPPPAQHPQLHALPPLAALTIGPRRDVTQLARAATEAARYSLTSLFGFPEASCSRATPLFGDPGASSSRATPPTLQLFPDWAGSSSRAAPQTLQLFQDSDDEEM >Et_9B_066113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:429236:443549:-1 gene:Et_9B_066113 transcript:Et_9B_066113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGIIDSGLGSSAVEEEVEEEECAGLEPFFFDEAVVVAEHAAAEEKRRKKEQEEDLKKERMMQKAIAYQSVLDKITEYDPKLGCEYITRFYMADLSVFDLDEESPLGPMRYTETQTEKSSAAYIQRKIPLKYYVNLVSQDDQLILTGPKRGLALIDAIYFEMDLKIMAEQGQEDKKLSKGVLRLDGIQHQFLDTMFVDSDSLETQISTVEVTYAVVQRAVEATIAVEVVQGQFDGTITACTTSIPQSLVLYDDKVACAMTGGNGNRSIRLLRPVVAVCIDEMLELTIAQGKTEGKIPFTPDVNGGDEAEYTCGPLTMLVKIRGCLKCTMLMTDDA >Et_6A_047426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5610734:5617495:1 gene:Et_6A_047426 transcript:Et_6A_047426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLCAGHGPVKLPAAAALAGARVAWVAGRWWWRPAAASGARHGVAARASKFSSRIGLDSQNYHTRDLSQLLWVGPVPGDIAEIEAYCRIFRAAEQLHTAVMSALCDPETGECPVRYDVESEDLPVLEDKVAAVLGCMLALLSRGRAEVLSGRSGVASAFQESADSTLDRIPPLAVFRGDLKRCCESMHVSLARYLVPSEPRGLDIWRRLQRLKNACYDAGFPRPDGYPCPTLFANWFPVYFSTVSDDSEADELEVAFWRGGQVSEEGLAWLLEKGFRTIVDLREEEVKDELYLSAIQEAVSSGRIEVVNLPVEIGTAPSAEQVQQFAALVSDAAKKPIYLHSQEGVCRTSAMVSRWKQSITRSERLATQNRPSNGNGKLLKNDHTELNTGSSTSTNGSKNGTLVESGRLMNNGATSEIDVETAPHDLEVTNALSSDQSTEQGITIGKPSNNGASSVVEEQKREASVMTVDSRTSTTSISNGNAQFGSQKTAEKNGAPYLDRNSPDPVDGSMCASTTGVVRLQSRRKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSPPKTVLLLKKLGDELMEEAKEVASFLHHQEKMNVLVEPDVHDIFARIPGYGFVQTFYTQDTSDLHERVDFVTCLGGDGVILHASNLFRTSVPPIVSFNLGSLGFLTSHNFEGFRQDLRAVVHGNNTLGVYITLRMRLRCEIFRKGKAMPGKVFDVLNEVVVDRGSNPYLSKIECYEHNHLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSAQLELKIPDDARSNAWVSFDGKRRQQLSRGDSVRISMSQHPLPTVNKYDQTGDWFRSLIRCLNWNERLDQKAL >Et_5B_043741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1549121:1553172:-1 gene:Et_5B_043741 transcript:Et_5B_043741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVMAHLVRLGVLSSTSDHASVVSINLFVALLCACIVLGHLLEENRWVNESITALIIGLCTGVVILLTTKGKSSHILRGPLLHLPPASDHLQCRVKKKQFFRNFMTITLFGAVGTMISFFTISIGAIAIFSRMNIGTLDVGDFLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNALQNFDLNKIDAAVVLKFLGNFFYLFLSSTFLGVFAGLLSAYIIKKLYIGRHSTDREVALMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWRFASDSPGKSIGISSILLGLVLVGRAAFVFPLSFLSNLTKRTPIEKITWRQQFTRSGHTQLHGNAIMITSTITVVLFSTMVFGMMTKPLIRFLLPASSHTVTSEPSSPKSLHSPLLTSQLGSDLETASHSHIVRPSSLRMLLSKPTHTVHYYWRKFDDALMRPMFGGRGFVPFSPGSPTEQSVHGGR >Et_7A_053101.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6548885:6549448:1 gene:Et_7A_053101 transcript:Et_7A_053101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEWRCRRHPAPPFGGVCPHCLRDRLLRLCPDCACPRPCPCAACPSSTSSPSSSSSVASGSALGRVHSLIERDRRVARSRSVAASGGGIGIGSDVDQARSKSRVWGWVSFRKPPPAAAAAESAARCRDVEEEYADAVALARSMSVSTAAAATTAQAKGASKASGWSRLIPGKIKALRRRKSSVAR >Et_4A_032261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26907556:26908091:-1 gene:Et_4A_032261 transcript:Et_4A_032261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHPPRRPRGVSAGRQGGRGRHRRHQVDLRPEREDQGGESAAQGVPQGGAGGGAHAAGLAGGGRRRRHRQVPPERRRRQGVHQGVPGRVARPAGRRRRGRVGEGDQVAGGVLLQSRAVRFASTGGEEQDPGRPQHSRSLPVA >Et_7A_051886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2603142:2606179:-1 gene:Et_7A_051886 transcript:Et_7A_051886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CDESVVPPHLPGSSYYGPTQQPRCPLAPLPSILSARRRGGGCPSAARLTSRARRNTLDYAPADRCHLPMMPPDFAGDRVCWRSTAAHPVAFTAIRASSRSPSRASAQKALAMRTAIALSSSVGYSSTGAVNSISMGRHGHANAGALRFPKGRQAIRLRVSCASPPSDPDSKWWIAPLSPEDLVEPTGQGLEELEAIWNAMVRSPLRPVLHALQEIKATGGNIFRCHTFHAGLVSGPLFLMASFSQLYQVAPNLCLDIVLGFVYYMLSVLSAELKTNGKANNICTRLQCVFYECIGMKHYKRYLEAIFTTLLTTKGGII >Et_2A_015221.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8777395:8777475:1 gene:Et_2A_015221 transcript:Et_2A_015221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIRLILNKLVFAICEDRSLYIRNR >Et_5B_045549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4167867:4171018:-1 gene:Et_5B_045549 transcript:Et_5B_045549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGRGRGGRGRGRGSAFDYRANHSEHENFPVSPSSSSLCSPAPCIPIPSLVRGGRIWEITLPEMTCAKASDEEKRQLQSTLKFEEFWKTSCYHLEEDAPKKKNEDKEIERFSDRRRKTQTKREALSLYLKLTSSNFPGELAQGCTTAAQQTLNIILLSGKKPVQTTNKKLRWDNDKDEKAFDVFEKLEQTHKARASSLYLSFKILIDLLSRFKNQFGTDLFDGEKKEEKEGDDEDEEEEEVAEEEESSDDDYNQNIEFDDDDDDWNQEDETQHLGAMETESSSKADALESKNFASILSKGRPL >Et_8B_060570.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:2442572:2444500:-1 gene:Et_8B_060570 transcript:Et_8B_060570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYLRSALKAARLRWVPRSRLVGEHRERHRAPHPCAFNAWQFQGSATTSSWGIIPIGHRYQLRSYSAAPARRGGKTLAEEEEEDLEGDKKLSPMEKRRVFRTAQQVFLEYLHVTRGLSFGDAEHISKNTPIFVNKLLEMVKDAMKDPEEGSKEITFRSKVKMKEMRDERVCKALMRLFNYHPINEFEPFMESIGLKPNQYESLLPRDLIFLADNETLLNNYHVLCNYGVTRTKIGKIYREAAEVFSFGENVLLSKLQALEDLGFSKTSVIKLVISSPTVLVCDPNAEFKVLQWLDDVGIEREWIVQFLYVTKSYDWRKMVKVPQFFSELGFDKEAIGNLIRKNPDFLLDESGKALLRSVNIMIKAGSGKQDLFNLFLNFPNVRARNFARNIMRGLLLLADLDISEEDVKRYVVSNALILGSAPVKTANSLVTSLSVGKKRLTKIIMEEPHQLMEYTVGSKINRLPSPDRSEASLIEKVKFLKSIGFVEGSDDMKKALKAFRGKGAELQVRYDFLVKAGFDPKDVVVMIKAAPHILNQTINVLASKMSFIVDESGYPLSAVVRFPSCLSFTIERTKTRFMMYDWLRKKGVLAPNFALSSIHACSEKKFVKSFVERHEMGHAIWEEIKREVASTKHTHCTSDD >Et_4B_039033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7158127:7159021:-1 gene:Et_4B_039033 transcript:Et_4B_039033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIHRRTSKQIGKVKNLLGLALSRLAVARRPRLARKSISRSDVGQLLALGHRDRALHRAEQVIEEENMLEAFDMIELYCNRLIEHAAQLDKPHDCNEDIREAVAGIMFAAGWCGDVPELLLARTILANKFGSDFAATAKEGTGIVDTVLVWKLSRNKTNMDLKKKVIKDIAAENNIMVDFSELPEVVTQDSSRGVPHHHKFDH >Et_1B_009950.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:13658913:13659344:-1 gene:Et_1B_009950 transcript:Et_1B_009950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NKIAVLAALVLLLQASSCAVARRHQHPVPHPGGGGGTPAVMTVNGFEKGQDGGGPSECDGKFHSNKDLITALSTRWYANGKRCFKKIRITSVKNGRSVEATVVDECDSHHGCKDNIVDTSQAVWDALGLDSKIGEVPVTWSDA >Et_2B_021581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3202432:3218084:-1 gene:Et_2B_021581 transcript:Et_2B_021581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEDRARDHGALVVEAEMTAPRGGGDEPPPPPPPSSSAAAHAMASEPLTPTSALASGQQGLNRRGSRGAGMATFSMEVFDNEVVPSTLSSIAPILRVAAEIEPERPRVAYLCRFYAFEKAHRLDQNSIGRGVRQFKTALLQRLEKDNSPSLSKRVKKSDAREIESFYQQYYENYVRALDKGEQADRAQLGKAYQTAGVLFEVLCAVNKNEKVEEVNPEIIRLHKDVQEKKDIYTPFNILPLDAASASQSIMQLEEIKAAVAALRNTRGLTWPSTFEPQRQKGGDLDLLDWLRAMFGFQRDSVWNQREHLVLLLANVHIRLEPKADPLSKLDDRAVDVVMTKLFSNYRKWCKFLSRKHSLRFPQGAQPQEIQQRKILYLGLFLLIWGEAANIRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIRPSYGGDEEAFLKKVVTPIYRVIRKEAGKSKHGKTPHSAWSNYDDLNEYFWTSDCFTLGWPMRDDGDFFKSVHDSRPAGSTPQKGTTKSTGKTNFVETRTFWHIFRSFDRMWTFYILALQALLIFAWSDYSVTQILRKDLLYSLSSIFVTAAFLQFIQSILDFVLNFPGRHRCKFLDVVRNILKIIVSAAWAVILPFFYISTASKVNLPLKELENWFQHVKGVPPLYLLAVAVYLIPNILSAALFLFPMFRRWIESSDWHIVRLLLWWSQKRIYVGRGMHESQVSLFKYTSFWILLLCCKFSFSYFVQIKPLIKPTKDIMGVHNIRYEWHEFFPNASYNVGAILSLWAPVLLVYLMDTQIWYAIFSTIFGGMTGALGRLGEVSPNKRTEAAKFAQLWNEVICSFREEDFISDKEMDLLVVPYSSDPSLKLMQWPLFLLASKIPIALDMAAQIRPRDSDLWKRICADEYMKCAVLECYESFKLVLNILVVGDNEKRIIGIIIKEIEANIAKNTLLANFRMSALPVLCKKFVELVSTLKERDASKLDNVVLLLQDMLEVITRDMMVNEIRELAEFGSNKDSVPRRQLFAGSGTKPAIVFPPPVSAQWEEQIKRLHLLLTVKESAMDVPTNLEARRRIAFFTNSLFMDMPRAPRVRKMLSFSVMTPYYSEETVYSKGDLDLENEDVWGNEENVLQLRHWASLRGQTLCRTVRGMMYYRRALKLQAFLDMASESEILEGYKAVADPAEEEKKSQRSLSSQLEAIADMKFTYPGLRVAYIDEVEERDGDKVQKVFYSVLVKALDNHDQEIYRIKLPGPAKLGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKMRNLLEEFNENHGVRQPTILGVRENIFTGRVRFHYGHPDVFDRIFHITRGGISKASCGINLSEDIFAGFNSTLRQGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQVLSRDIYRLGHRFDFFRMLSCYFTTVGFYISSMMVVIIVYVFLYGRLYLALSGLEFAIMKQARMRGNRALQAAMGSQSIVQLGLLMALPMFMEIGLERGFRSALGDFVIMQLQLCSVFFTFSLGTKSHYFGRTILHGGAKYRATGRGFVVRHVRFAENYRMYSRSHFVKGLELMLLLVVYQMYGDVATDSMAYVLLTSSMWFLVITWLFAPFLFNPSGFEWQKVVDDWDDWTKWISCRGGIGVPANKAWESWWEEEQDHLQSTGILGRFWEIVLSFRFFIFQYGIMYHLNISAGNKSISVYGLSWLVIVAVVMVLKVVSMGRKKFSADYQLMFRLLKLFLFMGSVGTLAMLFIFLHLTVSDIFASFLAFAPTGWAILQISQASKPVVKAFGLWGSVKALSRGYEYLMGIVIFVPVAVLAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQR >Et_4B_038579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3002371:3006059:1 gene:Et_4B_038579 transcript:Et_4B_038579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPSFPPPPPPPTTLAGNLTASSLLSIPPPRHRLAAAHRRAVVAASASSRPTPPPSPDGDGEEKEVERAMGMDGGIPGTSGEFLRRVSSRAYGMRRHLMESLDSLAYDVLETNPWREGSKPVYVLARSDNNLWTMKTLKLKRNLECFSQMEGVQIFEDEDDAVRYCDILEGGGQGCEGIAEIDASSVFSMCQKMKALAVLFRRGSTPPLPQSLERDLRARKRSLED >Et_3B_027779.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18873504:18873782:-1 gene:Et_3B_027779 transcript:Et_3B_027779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAAALLEAYERSVASDLKAAAWQNGVLKKAVLLQHRLHKAQEEANRELRRQVAGCQDRMRKLEADNYALSMHLRQAQGSSMPGRFHPEVF >Et_5A_042362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9148870:9152464:-1 gene:Et_5A_042362 transcript:Et_5A_042362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQFTALLLFACIFVSGSIADNQNGTGNLTRPAEVRIGALFTFDSVIGKAVRPAIELAVEDVNADPSVLPGTKLSVLMQDTNCSGFVGTIEGPQSSAIAHVISHAINELHVPLVSFAASNPTLSSVEYPYFVRATLSDYYQMGAITSIITQYQWKEVIVIYVDDDYGRGGITALGDALAKNKCKITYKAKLPPGAPKTTVNEMESRVYVVHVNPDSGLNVFSAAKSLGMMSSGYVWIATEWLSTVIDSSAHFNPDVMELTQGVLVLRQHIADSDTKHALLSKWKNLTRNGSSTFTSYTMRAYDSVWLVARAVEQFLSEGNDISFSADPNLKDIKGSSLQLASLRIFDNGDKLLEKVWSANFSGVSGPVQFTLDRNLIHAAYSILNIGGTGLRTIGYWSNFSGLSVVAPEKVNSSALHSSTNNIQLHGVIWPGQTSEKPRGWVFPYHGKPLRIGVPLRTSYKEFVMQDNGPDGVKGFSVDVFKSAINLLPYPVSYKFVLFGDGLKNPSYSDLVHKVSENYFDAAIGDIAIVTNRTRLVDFTQPYIESGLIIVAPAKEIESNAWAFLKPFTFQMWCVLGVLFLFVGAVVWILEHRTNTEFRGPPRQQIMTVCWFSFSTMFFSHRENTVSALGRFVLLIWLFVVLIINSSYTASLTSLLTVQELTSGIQGLDSLISSSSAIGYQVGSFSRNYLVEELNIAESRLVPLNSPSDYERALELGSGNGGVAAIIDELPYVEIFLSKHCKFKTVGQVFTKSGWGFAFPRDSPFAEDLSTAILTLSENGNLQRMHDEWLAGTECSADNNVGSNSLNLSSFWGLFLICGLACVLALVIFFLRILCQYSRYNNQVEVQFQEPQIINRPARLTTIKSLIYFVDKKEEEVKNALKKKPNDSQHPSIASMEEQSSLPR >Et_5B_045743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8635469:8639373:1 gene:Et_5B_045743 transcript:Et_5B_045743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPVVPPVVVPPKPTPDTILGKQYEDVRSVYSLGKELGRGQFGVTYLCTEIATGRQYACKSISKRKLTSKADREDIRREIQIMQHLSGQPNIVEFRGAYEDKSNVHVVMELCAGGELFDRIIAKGHYTERAAATICRAVVNVVNICHFMGVMHRDLKPENFLLATKEENAMLKATDFGLSVFIEEVHPLSRCAETEKGIFDAILHEEIDFESQPWPSISESAKDLVRKMLTRDPKKRLTSAQVLQHPWLREGGDASDKPIDSAVLSRMKQFRAMNKLKKMALKVIASNLNEEEIKGLKQMFMNMDTDNSGTITYEELKAGLAKLGSKLSEAEVKQLMEAADVDGNGSIDYVEFITATMHRHKLERDEHLFKAFQYFDKDNSGFITRDELESALIEHEMGDASTIKDIISEVDTDNDGRINYEEFCAMMRGGMQQPMRLKHLRPRYLKAFAILDWSASNINHKVSNMRPVPFDAFNMLHQDVPR >Et_8B_060534.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20174397:20175689:-1 gene:Et_8B_060534 transcript:Et_8B_060534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPISGSLAIVLSLLLLLSRPRSVAGDGEPPSKPVVTPIVKDTSTSLYFFPAIISNTELLLDLAGPLVWSTSCQQGHRTIPCKSSVCKVANRNRPAGCASAANADPHCASCTTYPYNPVNSSHCGSGDLTVFPLSPTTTDGEHGLSQVSFNGFGSCAPDGLLGESLPPYFTGVAGLSRQPLSLPSQVASKLKLANQLALCLPSDQLYSGVALFGGGPFVLQSAAGPVDVAAQLGPASSQLPLLKNRKNAGAYYFRVHGIAVNQELVPIAPGALDLDPHTGRGGAVFSTVTRYTTLRSDIFGALLAAFDKATSGIQWVKPPPPHFRCFAASSFPSTRLGPGVANIDLMLDNGQNWTLPGASSLVQVNEGTLCFAFQNMVSEAPDAPAIIFGTHQMENNLVQFDLDKSTFGFSGLLLGRGTHCGNFGSIKR >Et_3A_024134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17690846:17692137:-1 gene:Et_3A_024134 transcript:Et_3A_024134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLTSLEASVEGKLSSVRALQPQRRSQPFTIFRVPEYIRESNRTAYEPRAVSIGPYYRGAAALGAMEEHKWRYLVDLLARDAGAGSQMPSASVLIQEMRSLEARARACYSESAALGSDDLVLMLLLDGCFILEFFFKWHEKEPDALCDVGWGLTLVTADLLLLENQIPFFAVERLYDLVAGAQLGGRDNLVSLLLEYISDEEPIARPAATDEINHLLHLFVPKRPPVARPRRTRRPATRAMIPRAAEMAAAGVAFALRGSARDTYDVAFDGKRGVMEIPAVAVDDMDRALLVNLIAFEQTQGAEETRLLTSYVALMSMLVRSPEDVELLRRRGILDNLLADDDEAARFFSHLGDGGAMNYDNQVFAELYEDVRRYCGSWWHRNRAALRRDYFGSPWSAISFVVAGLVVALAATQTYFTVFPRK >Et_1A_004605.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22092887:22093153:1 gene:Et_1A_004605 transcript:Et_1A_004605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAKPRTSLRSYWHTLPKKKEQTPLKTGVRYNKAISVHLLTEPYVDVTAHTAPSQQAVCFPLEVMEVWINRHQTLVDRRFLFFSRSL >Et_1A_007085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30705440:30721867:-1 gene:Et_1A_007085 transcript:Et_1A_007085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQLAAVASLLALAATAGAVTFDATNTASDTPGGQRFNQDYGLSYAEQVLSDASTFIWNTFNQPNDADRKPVDTVTLVVENITGIAYTVGNGIHLSAQYVAGITGDVKNEVTGVLYHETTHVWQWDGQGQANGGLIEGIADYVRLKAGYALGHWVQPGQGDRWDQGYDVTARFLDYCDSLKPGFVALLNAKMKDGYTDDFFAQILGKDVQQLWQDYKAKKMKLQLAAVASLLALAATAGAVTFDATNTASNTAGGQRFDQAVGLSYAKQVLSDASTFIWNTFNQRSAADHKPVDKVTLVVENISGVAFTSNNGIHLSAQYVGGYSGDVKTEVTGVLYHEATHVWQWDGQGRANGGLIEGIADYVRLKAGYAPGHWVKPGQGDRWDQGYDVTARFLDYCDSLKPGFVALLNAKMKDGYTDDFFAQILGKNMITRATVVFGLAALLAVAATADAVTFSARNAASSTAGGKRFDQAIGVAYARQVLSDASAANWRILNQRNAGSRKPVAAVSLVVEEMDGVAFTSGNAIHLSAKYVGSYSGDVKREVALLTPWVPDYYPVTGVLYHEVTHVWQWNGQGRANGGLIEGIADYVRLKAGYAPGHWVKPGQGDRWDQGYDVTARFLDYCDSLKPGFVALLNAMLKDGYSDDFFAQILGKNVRQLTIRPTMPEHASSAARCSDFPDWVLLDTIASVSHHNANATTARSVTSAGHPISVTFELADPPGLSRCVVDCPDLTPGDTRSPPCVTGADGSFLLIRVMFPGFRYPTDVFLYGAGPGAPSLRLLPRPYPTDLHFQYVGVLSRDDDVHCCIVVPVRRFEAGATIASLLLAVAAASATASAVTFDVKNNATATLGGQRFDLDYGLDYAGQVLSAAAFFTWGVFNQMSPADRRPVDRVSLVVTDADGIAFTDGSTIVLNAGYVYNYTGDVKTEVTGVLFHESVHVWQWGLQDYDAHWWIFEGVADFVRLKAGYVPAHWVQPGQGSSWDKGYDVTARFLDYCDSLSPGFVAVLNAKLKYGYSDDYFVQILGKCVQELWQDYKAKYGQ >Et_10A_002125.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:23108474:23110078:1 gene:Et_10A_002125 transcript:Et_10A_002125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPSLCLLLVLLSSVVSSTTGAPVGDEFRFIYKGFYGNNLIMNEDASLINGLLRLTSGQAYTKGHAFYAYPLNFTSFPIVPSFSTTFVFAIMGAYIDMSSHGLAFVLSPKDFASALPGQYLGLVNNQNDGNTSNHLLAIELDTVQNVEFNDINDNHVGIDVNSPTSVSTASVGYYGSDDVFRDMSLDGGQPIQVWVDYDSNSTKLDVTIAPCCLSDIKPNKPRLTAPCNLSSLLTTTSSSPVYAGFSGATGKIRSTHYILGWSFKMNGEATALNYTALSLKRIQELAQQLRPSPRSSRHSTVLLAVLVPTLGITILVVSVVLVKVYMKRRSITRKNDLEWEREYGPPSFTYKDLLAATDGFKDKLLLGKGGFGSVFRGVLPHSKQTVAVKRVSPESKQGMKEFMAEIVILGHLRHSNLVQLLACCRHKQQLLLVYNYMPNRSLDCYFHGQVVNTSSTSSSLCWAQRFNIVKGVASGLFYLHEEWEQVIIHRDIKSSNVLLDAEMNARLGDFGLARLHDHGADAHTTRMAGTWG >Et_3B_028528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16497963:16503310:1 gene:Et_3B_028528 transcript:Et_3B_028528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVKWQKEVFQGIEIDTSQPPIVFKSQLYTLTGVPPERQKIMVKGGILKGQKLMMIGTADEIVKAPEKGPVFVEDLPEEEQVAAVGHSAGLYNLGNTCYMNSTLQCLHSVPELKSALLSYSDTVRGNGIDQASHSLTLATRNTFGELDQSVRPVAPLHFLQTLRKKYPQFAQQHNNVYMQQDAEECWTQLVYTLSQTLTSESSESALPMKELFGIDFVSRVHCAESGEESTETESVYSLKCHISQDVNHLHEGLKHGLKTELEKVSPALGRTAIYTREYLTVQFVRFFWKRESNQKAKILRKVDYPLELDVYEFCSDELKQKLQAPRQMLRDAENAKFGLKVEGKASSSKENEGSSAESSAPKKQLTGVYDLIAVLTHKGRSADSGHYVGWVKQDDGKWIEFDDDNPNVRKEEDILKLSGGGDWHMAYICLYKARMAESKS >Et_4A_032164.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21904004:21904057:1 gene:Et_4A_032164 transcript:Et_4A_032164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETEGFSRTNQWCRLK >Et_4B_039997.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7412287:7414818:1 gene:Et_4B_039997 transcript:Et_4B_039997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASAASAGRGSRAEKVRRIFERFDVNGDGGLDRDEMAALVVAVNPRVKFSEDQISAILDEVFRTYAEFILPGGRGLSLPGLLRTYDDGAGDVDRDFLALSLPAVDSDASSPEIAAGDAPASPPPSGAAAAASLLDDHAKPLGAAGAAPSASSRAAATAPAWASSPNHGISFDSSWSLLDDLEILVKRLRSKQQRKGSIDGSVGGGTGNSTFDSFSEAGWSRDISGPTDSASNAVQWDETSRDYLTFVKELAVLRTRADAARSREEAFDNHMVIGRALSEHRLFRDALASFRRACELQPTDVRPHFRAGNCLYALGRHAEAKEEYLLALEAAEAGGSQSADILPQIHVNLGIAMEAEGMVLGACEHYREAAILSPSHARALKLLGSALFGVGEYRAAEKALEEAIFLKPDYADAHCDLGSALHAVGDDERAVQEFQKAIDLKPGHVDALYNLGGLNMDAGRYVRAAEMYTRVLSIRPNHWRAQLNKAVALLGQGESEEAKKALKEAFKMTQRVEVYDAISHLKTLQKKKPKPSKGKDDQGEQAYVVVEASKFKRVGKKTTLRQDLANALDIRAFERTTKLGRCDVELLRKEMNETDVPVSYSGTGIPEKSIRKAALEVILRRLLSFLKPDTFQGAIKAINEKILSVLDAPGSGRVDLGMFFAIIAPICSGPVDRRKRVAFDALLWRPASEGSNGQIRRSDALTYIKLLRAVYIPTHGASDMLEMHGESDPTMVSYTEFLEMFNDPDWGFGIMSTLVKLEESDHIRHGRHTCSICRYPIIGSRFKETKHSFSLCNRCYSEGKVPSAFELEEYRFKEYGNESEALIDKCMCFNLHSKKLETDA >Et_3B_028183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11413728:11419068:-1 gene:Et_3B_028183 transcript:Et_3B_028183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSKKAARRPAGSGLTPFALHLAKQLAKGDGKKKNLVFSPLSIYAALALVATGARGQTLDEFLAVLGAESRDECALADRSGSGGPLVAYACAVWHDQRATLKPAYRATAVESYKAETRAADFKAKHEEAREEINCWVSKATNELITLILPEGSVHPQTALVLANCIYFKGKWYKPFATEDTKDRPFYRLDGTHVSSPFMQSRKDQFATVCDGFKVLKLPYVNSRDDDDDDDDDDDDDDECSRFSMCFFLPDAHDGLPSLLDKMESSPSFLQDHLPTRSVKVGEFVLPKFKLSFYRKMNDVVKEMGIKAAFSNVEADFSSMFERGSSLVLDHVFHKSVIEVNEEGTEAAASTVCTMRFSRCTPPRPVDFIADHPFAFFMVEEVSGVVVFMGHILDPTKSDLTKLKLSFLSEMNDVLKMGIEAAFEDTKNEFPYMLEGEGGDSRFWSMFSIRRSLSEGTEAEASTMCIMARKCAPSIRKHVDFVADHPFAFFLIEEESGAVVFMGQVLDPTESE >Et_5A_040344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15666274:15666559:-1 gene:Et_5A_040344 transcript:Et_5A_040344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMEESDYAYVLNSIGSNAPNSSLELAQVTNGSTHSAVWRHDTPIFVLGSYYNRSVIRTRYK >Et_1A_008297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5994708:5995031:-1 gene:Et_1A_008297 transcript:Et_1A_008297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTHPDLDEDVEIKEPGWIRAIRYPCPTCGAKRTKAAGGNNHLVCRECRTQYCALCFKRVWKASEHYGTLGCQQNS >Et_9A_062223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20901988:20905546:1 gene:Et_9A_062223 transcript:Et_9A_062223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTGRGCNKMKNRASGSGLQPQRTAAKMRGTEAVVVVAVRAAAREISKTAVVWALTHVVQHGDSILLLAVNPPQTSGRSKFWGFPFFAGGCTSGHRAVLNQTSDFSELCSQMMHKLRDVYDPSKINVKVKVLSGSPSGCVATESKRAHASWVVLDKELKHEEKRCMEELQCNIVVMKRSRPKVLRLNLVGSPEKESKSTPPDSSDSVGKTTADVKEQRSSVRGPAVTPSSSPESETAFESTDVGTSSVSSSDPATSPFCASDTNSSLKKEAAKDNVLNSDINISDSESEASTPPPASSLQPWMADILERPTPSRLVGNRPRRTPTADALLEKISKLDLLAEISAIRSRSDLNFRGNVRDVIALSRSAPPGPPPLCSICQHKTPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEDKRRLLVYEYICNGSLDSHLYGRNNETLEWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDLGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPLLEDYAIDELIDPRLERRFSENEVYCMLHAANLCIRRDPHSRPRMSHILRILEGDMVVDASGISTPGSDAGSRSWRLLNERHSSPSQRDSQRLDEGKHSYAWDRDRQNLCHRY >Et_3A_026365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7316932:7317961:1 gene:Et_3A_026365 transcript:Et_3A_026365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACQPLQEGKELQPYDGCDPSAYRGPVLLPRQASSVPPPSVMVRPPEMSSSSGSGSARSATDAKALKIHSEAERRRRERINAHLATLRRMIPDTKQMDKATLLARVVEQVKAMKRKASEATQSMSLPPETNDVSIECHAGDAPGIAGDKIIYIKASISCEDRPDLIAGLIQAFHGLRLRAVRADMTSLGGRVQHVFVLCKEEGSQGASLRSLKEAVRQALSKVACPETVYGNSPFQSKRQRILESHYSIMSI >Et_2B_021554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30580076:30585302:1 gene:Et_2B_021554 transcript:Et_2B_021554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRLRYSPRLPPPTPLPPTYTATHAHRLGGSFPSEALDKAVQEGSAPFDGYLKSVRVLAHEQFSHRTLSAKVHSAQQQAMVASMTAPALQKLGGLRGCRRARSAVTAWAPWRKFLYLSLRQSDHQQSMQSKRPRCTPNTEPHRYRNQRKIASDFTYHGCAFTNICTNMRCASDPDSTVRRRNGRPACVALVARRSLVERPCGNLDSLSRVTTPGKPGFPPVARAPSIRGRVTPPILVLPESSRRRVSRGVRNGKFRLPPSRLSPARATLAAAASSPRLRSHLFGAQADAGLAS >Et_6B_048635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1171403:1171692:1 gene:Et_6B_048635 transcript:Et_6B_048635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITPAVISVIMQESCKLHYMCAVDFAATKHGPPLFRNLKLRLAGRVSARDRLFLVDICFVGFDANDIADIRVYKLNFTATA >Et_3B_031286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24192783:24208011:-1 gene:Et_3B_031286 transcript:Et_3B_031286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFTSTLTAATSGQTFPLCVFDHWEKLPAHPLEPVKMVKFTVEEMRKIMDKKNNIRNMSVVAHVDHAFTLSNFAKMYASKFGVDESKMMERLWGENYFDPSTKKWTSKHTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLLPMLQKLGINMKSDEKDLRGKALMKRVMQNWLPASNALLEMMIYHLPSPAKAQKYRVENLYEGLLDYIYASSIRKCDPEGKVSTGMKVRIMGPNYVPGQKKDLYVKNVQRTVIWMGKKQQSVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIKAMKFSVSPVVRVAVQCKIASDLPKLVEGLKRLAKSDPMVLCTFEESGEHIIAGAGELHLEICLKDLQEDFMGGAEITISPPVVSFRENVLEKSCQTVMSKSPNKHNRLYMEARPLEEGVPEAIDEGRIGPRTGHDSDGLTLGSMSQNELTSTSSASSSPSNCLIDVSRNAGH >Et_8A_057060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20671610:20674860:1 gene:Et_8A_057060 transcript:Et_8A_057060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVKGLELRAAIEDGGQPIVAEAVAKELQGAERAHLGNLLGFSVFDRRLPMVAFFVQTEALQERAGLGGRRAYTVEHVTNGSQGGVAVDPQTLSRSLPAGDSQLVRFYTSLSSFCLKLNEGKNSHMTRPREKKKSSRSTRPFAEKVSHCLSLRLTAVRASAMSRSRGSSARGSMSMRRHSPGSSRASWRQERHTCPAQKMMSMISSLWSTSSSASRGSSAQALVSSSIAGSWSSPWRSRGWCWWCEEKSRAIYRTAYLPIVKLRSSNARWDLFSFDELSAAHTDRHVDTTLTSACGTNLIFFA >Et_6A_047803.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:1773122:1774693:-1 gene:Et_6A_047803 transcript:Et_6A_047803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPESQNSAFPLLVLLLPVVYISYQLARTLTKKKPTTHGLKCHPLLGHLPAFVKNRHRFLDWSTELIMSSPDMRMGFWIPGMRTGIITGNPSDVEHVLRANFGNYPKGEHAISMLEDFLGHGLFNSDGEQWLWQRKNASLEFTKRSLRKFVVDVVQAEVANRLLPLLRRSAAGGGEVVVLDLQDVLERFAFDTICMVAFGHDPCCLADGGVLAEAKSEFMRSFGEAQDLIVGRFLDPVEVSWKVKKWLNVGTERRLKKAIADVHAFAMDIVRARRRQSASASAGNRDDVLSRFLASDEHSDEVLRDIVLSFLIAGRETTSSALTWFFWLVSSRPDVVARIADEVRAVRESTGEAFGFDALREMHYLHAALTESMRLYPPAPIDSQSCAADDALPDGTHVGAGWTVTYSAYAMGRLAAIWGDDCMEYRPERWLGDDGTFQPASPFRYTVFHAGPRMCLGKEMAYVQMKSIVASVLEEFVVDVVGKDDAAGGVPEHVLSVTLRMKGGLPVQVRRRVAAGTETAE >Et_3B_028537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16585696:16587984:-1 gene:Et_3B_028537 transcript:Et_3B_028537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFVSVALLIGALQCLSSLSPAMAARSPGRTFNVLSFGAAADGVTDDSKAFLAAWKVACQIRHATVLLPSGHRFFLSPVTLQGPCSPKLTLQIDGTLVAPPDMGSWPKVRRPLQWLNFKWLQGFSIQGAGTVDGQSISANTSQGSSIHWNVAGVKPTLIRFYSSFNVTVRNIRITNSPQCHLKFDSSGGIKVKNIVISSPGESLNTDGIHLQNTRDVEIRNSNIGCGDDCVSIQTGCSNIHMKNIVCNPGHGISIGGLGKDNSLACVSDVVAESISVQNALYGVRIKTWQGGVGSVRNITFSNVRVANVATPIAIDQFYCDRGGARCANRTGAVAITGVTYRRFVGTYSYQPVRLACSDARPCTSVSMVDVHLMPAPASSTGGAVQEALCWNSYGEAQGTIEPLDVGCLQRGNGYAMPLTQPFNYTC >Et_1B_011762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25762121:25767324:-1 gene:Et_1B_011762 transcript:Et_1B_011762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRALRAVAAMASAGRALSSAGAASRRPRAQGWGAAAAGARWLCAGREAMSYDVVIVGAGPAGLAAAIRLKQLCRAADADLSVCVLEKGSEVGAHVLSGNVFEPRALDELIPKWREEDAPIRVPVSSDKFWLLTKNQAWTLPSPFENKGNYVISLSQLVRWMATKAEELGVEVYPGFAASEILYDENQIVTGVATNDVGIAKDGTKRETFQPGRITLLAEGCRGSLSEKIIRNHKLRESGQGQHQTYALGIKEVWEIEERKHDPGSVIHTVGWPLDSKTYGGSFLYHLDDRQLAIGLVVALNYRNPFLSLYDEFQKFKQHPAVRKLLEGGTVIQYGARTLNEGGFQSIPYPVFPGGAIIGCSAGFLNVPKIKGTHMAMKSGMLAAEATFKTLVEGSSMELYWESLKKSWIWEELHKARNYRPSPYTLKHGRPDHEATDMANLHTPIQYPKPDGQITFDVPTSLYRSNTNHEHDQPPHLRLRDPTIPERVNLPLYAGPESRYCPARVYEYVSDDNGGPKLHINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYTVM >Et_1B_011616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24192107:24196192:1 gene:Et_1B_011616 transcript:Et_1B_011616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIGDGSRSSVANATPQHAPPLLLRWNRFNGKPHLHDRAQAVRLPQHQHPSTVGEAGVGPRAGGRRGRGPARSRKATGWWRRESGPSSQQARLRRIRRRSAGSGAYTGGGVPAARGVGGCGAKSMEEQRELSAGVANRRQYEQTERAFTLAGMSVYAPDTWLNSSLVFVSRLRHGNREGDDAGAARADWHRAAGSIRASPASSSWGSAAELPPPLLPNARRRGLDPLWHGGSFSLGVDLGGTRTGLAIGRGVTLPRPLTVLKLRGQKLELMLLDMAAQQEADELIIGLPVSADGRETQQSNKVRSVVGRLAVQAAERGVKKSARDVKSDAYAAVMILDRYFSSSGQGANIVLPRQPELQDKLIARSKIDAEYNLLNSVSCEGSPIRGKNGSLSSIVCNESIIFTMDAHKNGAEQNAYPKIARTLTTPPWYHGNAMTKSMPTTAIQVVRTASGGSRKTLITSIDKASAP >Et_10A_001319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23326767:23331330:-1 gene:Et_10A_001319 transcript:Et_10A_001319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGFVAPPPVARTPEEVFRDYRARRAGLIRALTTDVQKFYTTCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMNEKDWLSLVAVHSDAWLLSIAFYFGARFGFDKESRRRLFSMINNLPTIYEIELQKKESKEKTPKSNSKSNNSGSKPSRQSESNSRGSKMRPPKDEEESTMKKSASASCLPHELSKKVVLWDHLRLLVHV >Et_1A_009590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8738076:8738941:1 gene:Et_1A_009590 transcript:Et_1A_009590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKRGPWTPEEDRILTAHIERHGHSNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTREEEEAIIQLHQMLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLEPKAPTNAAPKRKAKKQQQPQPDVVVLDGPTTVSVSSPEQSLSTTTSATTTTTDYSAASSMENADSFTSEEFQIDDSFWTETLVMTASEDSSDSGMEIGDDFGASAAPETSSDDMDFWLRLFMQAGDMQNLAEL >Et_9A_062658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2901510:2906437:-1 gene:Et_9A_062658 transcript:Et_9A_062658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDARNGGASGGDTHVLDGGTPPLGSPVSDGGDTQSESGDGALYSEAQPVDDAETQLVDDDDDDDDDDDDEEEEEEEEEEEEGVAPNWVDTQLMESGEEDGGDYGEQLETQLEVERDEEDAGRVEDNACNGARTQSTAECKAEGVNVGVGDMVDTQLVEESEGEDDDDGVNGDDEVDVGEWGKTQLIEDSYEEMGDDESSEGTQVLTDNESLSDYERDVNSGLDGGFEGTNGNAEMHVDDKCVVDSDASTDEEGDAGHLQMKSAPVRAASVQICGLAEAHDTISLNTAQEEKWKASNHGIHFLPKIVDNSSYSTSFRDLPDCGMDSDSHGYVQHHDKDGTKGRDKCSTAKKLFADTMAEDGESNSRCFPGLSYIGSQEPGDLSQANAFEVVDRLISVNGGLSSQESTPNKLEMAKPRVSSKRGTLILAEKVEFSRSSNGKAEIFEWVDSREDDGGDSRFPKIDVKSKRSSGNMTKKNLLKDLDDLSNARSLEGQEKADVAVPDVGPDTQMAVEAMEALAQCSPSKTLPAKGQPLLDKETRDGETRTATNHLKNGHPQKRTSSVQQGVTTCSKRRRVPESGTKPRKERCAELKMQEKSELPMKTKRRQAKSEPEKRKDLNMFSDENKYHGTPVAHRTRHCSSNKLGEYTELPSNKRLRKGKREIGDGSTVGGVQNNCRTNVSQKPIICDRIAESALSYFEKENTRDACASSAQDLQSSRDGSKQHNSVNSIQNLEPRSGEATTDVVCSKPLSHPKQRRTPTTMVPSKVTAVIQTATDHEIPPEVPRQSKKRRVFVRSVSDLLKYAKREPSSGRSTSMLTSIIGKSLAPILNSSVRVHSKTYDFSGFAQQMKESPHVDNSRKSPNDNAQDSDTLLKTPSKVVNDLSPTFSPVNPSKASSRSLLKTSVARELLKLDSENALSNQQRKDSRRRKDMASFSILFSHHLDEDVIKRQKKILARLGVREAFSIPDATHFVADSFFRTRNMLEAIALGKPVVTSMWLENCGAAGCYIDERKYILRDVKKEKELGFSMPISLASACKHALLLGKRVFITSNVKPSREVMICLVKASSGQPLERVGRSVLKQTKVPDDLLVISSEEDYQTCAPLLERESEQPANVHEYQLGASVFSSELVLHGIIIQKLEYDRHRLFTDRVKQTRSTRWLKDTVHD >Et_4A_035244.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:14111025:14111828:1 gene:Et_4A_035244 transcript:Et_4A_035244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGTSVAAAATMLAAAAAIFITFVLCFYLFLCAKRYRGAAPTIGGDGRARTRFVFAGASGCHGGGDGMDESAILALPRKEVAPGDPAADCAVCITELATGEAARVLPRCGHGFHVECVDMWLRSHSTCPLCRCAVVDEEKVVGPPEADPESPVFPTNVLFFGSQDAVSTRGAAGAPRPAPLTPLSREPPRTAAATQAPGPMAGVAAVVEAARVAALRRLLGCRGATPSSSQPQQQHQQHEGRDLEAGLGGGESSGSPPAKPQSGS >Et_5B_045694.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:7581316:7581786:1 gene:Et_5B_045694 transcript:Et_5B_045694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKRVKVSGSGHGRRDRISDLPDHLLVDILMLLPLCEAVRTCVLSRRWRGVWTRLPMLDFGDDEAPRVEGFADLVAGVMSGYATDVDMPDVLIPVRRRHTFADAVRVAASAFLAAQRDMARFGFFLYRDAVNLEWDEEEEEDEAPALQMPCFRL >Et_4A_035509.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27046779:27048111:1 gene:Et_4A_035509 transcript:Et_4A_035509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGVEDERMMGDAGKEEEDDVPQLSASALEALKEFLAEQRGAEPDAGEGGVELVAEDWRLSQFWYDDLTARTLVEEVIRLASSSAGSGAAAAAGAVACIASPTLYAYLKKTDPGVPAQLLEYDERFGQ >Et_8A_057110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21191236:21193817:1 gene:Et_8A_057110 transcript:Et_8A_057110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATARARRAPAAPLPLLLLLLLLTPEVSAAAAPGCECGGAAAEAVREEEDARGALRLKLIAVASILASGAAGVLVPVLGRSAAVLRPDGDVFFAVKAFAAGVILATGMVHILPAAFDALASPCGGAGPKGGFPYAGLVAMCAAMATMMVDSVAAGYYQRSHFRKARPVDDAADAPAAAVGDVEGAAAAEHAGHVHVHTHATHGHAHGHAHGGHGHAHGHGGGSPEDASVAASIRHRVVSQVLELGILVHSVIIGVSLGASLRPSTIRPLVGALSFHQFFEGIGLGGCIVQAKFKVRATVIMATFFSLTAPIGIALGIAITSSYSKHSSTALIVEGVFNSAAAGILIYMSLVDLLAADFNNPKLQTNMKLQLATYLSLFLGAGLMSLLARWA >Et_3B_030098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30454934:30463375:-1 gene:Et_3B_030098 transcript:Et_3B_030098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSICGGGGGSGSAVAVGEEFRALHPLLPPQSHQQQLVPAIDTLLLNPFQVDEATLLEIAQQRYNAGDYRAALEHCNALYAENPRHLENLLLLGATYYQPREFDMCIAKNEEAIAIQPNFPECFDNMANAWREKGDIDRSIQYYQHAIQLRPTFADAFSNLASAYARRGNLMEATKCCHQALALNPRLVDAYCNLGDILKAQGSYREAYSFYKEAVSITPSCASAWYNIAGIFMHWGDCNKAVLYYKEAIKFKPTFYDAHMNLGNLYKAVGMFQDAIVCYLNAAQASPQNAIAYGQLDLAILSYRHAINCNPSYVEAYNNLGNVLKVSGRNDEAIGCYQTCLALQPNHPQALTNLGNVYMERSMMDMAVSHFMAALKVTTGLSAPYNNLAIIYKQQGNCANAIACYNEVLRVDPLAANCLVNRGNILKESGRVNEAIQDYFRAATIRPTMAEAHANLAYAYKDTGLMEAAIVSYKQALQLRPDFPEVTCNLLHTLQCVCDWDDREAKFTEMSLLPSVQPFHAMAFPIDPTLALEISKKYAEHYSLIASRFGLPVFTHPTRAPIMTVGRTSRLRIGYVSSDFGNHPLSHLMGSVFGMHNKGTVEVFCYALSRDDGTEWRKRIKGEAEHFIDVSMMSSDMIAKVINKDKIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPATTGASYIDYLITDEFVSPLKYSHIYSEKLVHLPHCYFVNDYKQKNQDVLDPVCPHNRADYGLPEDKFIFACFNQLYKMDPDIFNTWCNILKRVPNSALWLLRFPAAGEMRLRSYAIQQGVRADQIIFTEVAAKNEHIRRSALADLFLDTPLCNGHTTGTDVLWAGLPMVTLPLEKMATRVAGSLCLATGVGEEMIVNSLKEYEERAVFLANNQSKLQALTSRLKAVRMTCPLFDTARWVRNLERAYLKMWQLYCTSSHPHHFKVVEDDALFPFDR >Et_9A_061293.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:8019986:8020027:-1 gene:Et_9A_061293 transcript:Et_9A_061293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWGVGSMVAELP >Et_2A_015189.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34896748:34896792:1 gene:Et_2A_015189 transcript:Et_2A_015189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSPRKHPFLDY >Et_6A_045862.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20345836:20347287:-1 gene:Et_6A_045862 transcript:Et_6A_045862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFHGARFSNYEAWLSDPTHIGPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITSELQLYCTTIGALIFASLMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQIHVSLPINQFLDAGVDPKEIPLPHEFILNRDLLAQLYPSFAEEATPFFTLNWSKYSEFLSFRGGLDPITGGLWLSDIAHHHLAIAILFLIAGHMYRTNWDIGHGLKDILEAHKGPFTGQGHKGLYEILTSSWHAQLSLNLAMLGSTTIVVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPIFAQWVQNIHADAPGVTAPGATTSTSLTWGGGELVAVGNKATLPIGFKWRCAFFPSGEQKREIRRSNDERLIVRMFSDGEPSTNKKYLKEDA >Et_9A_061047.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:12452893:12453141:1 gene:Et_9A_061047 transcript:Et_9A_061047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPNGSVSHSERKPLSLVVSDCVQRWFQDTFKQARAGDPAMMVLVAQMFHSGYGVPKNEQKVWYPGCPSSRLLNTRFLALS >Et_3B_029034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21583373:21587919:1 gene:Et_3B_029034 transcript:Et_3B_029034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATSPLFSLSSLSASLPSPTRVPISLSLRVLAPRARLSVSLPFASPLGGYGSWSAASTSSTGRWRRRGLEVVCEATKTGRRPDSVAKRERQNEKHRIRNHARKAEMRTRMKKVFRALEKLRKKPDAQPEEIIEIEKLIAEAYKAIDKTVKVGAMHRNTGNHRKSRLARRKKAIEILRGWYVPNAEPTAVTAYQKFEKTPFTLQCPD >Et_1B_011340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20741270:20750617:1 gene:Et_1B_011340 transcript:Et_1B_011340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWSAAASAAATAVASAACRPAVRHVSSSFLPSRPFSLLCLGSSSPPSRQEDGRRRRTRSAAAPAVSEDKFAPRFDGLRFIETLMKWGLAAAVASAAAVAAATSAELLACDCDASAPQVAAAAAAVGRCDEFLSRQRHDDDEVRDLRIKILLFSSPLVPAAVGVAMRSLAGIAIFNRTGDRLNFAILGLSQGSAPREKSGRSGGSDRFAPRFDGLRFIETLVTAHR >Et_2B_020856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24213670:24215019:-1 gene:Et_2B_020856 transcript:Et_2B_020856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARLFYWWYKSSQRVSSAAKPWPTIVWLQGGSGVSGVRRGNFLEIGPLDVNLKPRNLTCLQKADLIFVDSTMGVGYSYMEDPSALVKTDLQAQPAMLGVSVARAIKAGTLKLTLGGVVISDGWVSPDEYANMKNFQLDTMVNSLPGSSQATRPVSTQPAPS >Et_7B_055229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8468801:8483215:-1 gene:Et_7B_055229 transcript:Et_7B_055229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAHALVPVLFAMLLIIITVSTCTATDTVATGRSLVGGSKLVSSNGKFALGFFQLFGRPRRSTNGDNTPRWYLGIWFNTVSKFTPAWVANRESPLADGESSELMISDDGNLAIVDRASRSVLWSSMANVTTNNTVAVLLNTGNLVLSDASNATAVFWQSFDHMTDTFLPGAKLGRNKVTGVAHRVVSNKNVLDLAPDIYSGGASPDFTKDYEILLVWNSSIAYWSYGHWEGDFFSNMLEFLRDDLFTVDFVSTDQEEYFTYQLKNDSTITRYVVDVNGQSKNMMWSSVSEDWVTFSAQPGSQCDVYAVCGPFTICRVDMMPFCYCMKGFTIRSPEDWELGDLTGGCDRNIHLNCGNNQSGSTDKFYAMADVRYPDNAKYIELRSAYECAQACLSDCSCYAYSYYYNRCIVWNSELLNVAQNYNASAGKGILYIRLAAKELETSKYKRGMLIGSVTAAIFIALSLIAIGIMFIRFKIGPPSTIKPSIIGGGIIAFRYKDLKHATKGFSEKLGRGGFGAVFKGVLPDSTVIAVKRLDGVRQGEKEFRAEVRSVGMIQHMNLVRLIGFCCEGSKRLLVYEYMENRSLDAHLFRSDGISLSWTTRYKIALGVARGLAYLHEHCQECIIHCDIKPQNILLDASFVPKIADFGMAKAMARDYSRALTTMRGTIGYLAPEWISGVAISSKMDLWNGTIRNHIWKKKFNEEYTSDMAYFPMQVVTQLAKGNVQSLVDQDIQDDINSEELERASRVACWCIQDDESSRPTMGQVVQILEGLLEVVTPPMPKFFKQFENAEIRSTQRYISAAYTTLVPLLFAILLLIAAASTCTATDTISPGHPLVVGSKLVSSNGKFALGFFQRGSNKSSSRNAAPKWYLGIWFNTVSKLTPVWVANRENPLLDGSTSEFTISIDGNLAIVNRANRSSVLWSSKANTTTNNTVAVLLNTGNLVLSDASNAMVIFWESFDYTTDTFLPGAKMGWNKVTGWSRGLVSNKNMLDLAPGVYSGRPSYFAPDKGQWILVWNASRTYWSSGEWTGNHFSNILEMQAGTLFIFEIVSNDREKYFTYRLRNDTLITREDMLPFCSCMKGFSVKSSEDWELGDRTGGCTRNIPLHCHNNQSENGLSDKFYAMVDVRLPDNASYMDVGSTNECAQACLSDCSCYAYLYHERCSVWNAELLNVAQKYNGSTSNGILCVRLAAEELESPKHKRWTVIASVAAATLLILSLFAVGTMLIISRKGMHRSTMKQISNSGGIVAFRYKDLHHATKGFTERLGGGGFGAVFKGVLPDATVIAVKRLDGARQGEKEFRAEVRSIGMIQHINLVKLIGFCCEGSKRLLVYEYMSNRSLDAHLFRSDGTSLSWTTRYKIALGVARGLAYLHEKCQECIIHCDIKPQNILLDASLVPKITDFGMAKFVARDHSRALTTMRGTIGYLAPEWIAGVAISSKLAEGNVQCLVDQDIQDDILDEVDRASRVACWCIQDDESSRPTMGQVVQILEGLLEIVTPPMPKSFKQFQDADIIST >Et_4B_036783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11524999:11526119:1 gene:Et_4B_036783 transcript:Et_4B_036783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCRPPPHLLTTALLPTRTIMLLLRPRAAMEQPDHDLSTFRQMDGDLFLAKSGTYLPGSLGTYMQNYSSPSAFNLSDTGLFIIPDYVVLWKTSEVGTDLRLREAPFSVSFTMSVRRTQANNSSSRLVIAILPGVPNAELSSMAMPTNSTLNSSGPIYTTVDGSLVSVEIGRTDRKGLGRGDVTIELQDNSAMGNYQVSIDDNHLFHRISVYVNVDAEKPKHLGALSGMFLNMSNTIGQIGFFSFSSSMEQLLNLNTWNSTVEQLSTDTNCTKSDSKSEVEKDMDKLAKSMRRLPGMPIHVKFADIKKATNNFDVAMKLGGGAFGAVYRCNLPVLKN >Et_1B_012898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:454898:455754:1 gene:Et_1B_012898 transcript:Et_1B_012898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGMISPRMQNPHVDVGFRSEVKIMARIEHQSLVRFYGYLECGEERIVVVEHIDNPITGQGARAGSWTLARVLTSTWRLHMYSDHPIIHRDIKSSSPTRSAPRSPTSDSPASAPVKGYLDPEHLKTCQLTDRSDVYSFGVLLVELASARRPIETKREMRERLTARWAMARFINGAAADSLLELAFRCMGPVRNDRPAMSECCRPLWAIGKTYRDALAAADVVQITPQPSQHKSADLWRI >Et_4B_036364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20138835:20139923:-1 gene:Et_4B_036364 transcript:Et_4B_036364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPATAYTSPFVLSVLLLISIPVVFLLAPRLLPPKTLPAIPDADESDDLALFRRAILSSSSATQTPAPKVAFLFLTNSDLVFSPLWEKFFRGHHHLFNIYVHADPYSVLELPPTPSFRGRFVPAKATQRASPTLISAARRLLATALLDDSSNQFFALLSQSCIPLHPFPTMYNALLSDTAGPRGHHRSFIEIKDNLDNDPTVLHDRYYARGDDVMLPEVPYDQFRAGSQFFVLTRRHAIMVVRDMRLWKKFKQPCLIKLRDSCYPEEHYFPTLLDMQDPDGCTKYTLTRVNWTDSVAGHPHTYGPAEVSASLIRELRKSNGTYSYMFARKFAPECLKPLMEIADSVILRD >Et_7A_050308.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:23615359:23616216:-1 gene:Et_7A_050308 transcript:Et_7A_050308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVELVALLLALVVVSLALIFRRSSERTRQQLQPTVRVRDPAVARHALIGQADWFSNRPVTPFPVPLMTGRRRHGHGMTTVPYGPHWRALRSNLTASILQPWRQGLLAPIRREAVAGLVADLATRRGDDVVAVRDVVYMRVFSMLARVCFGYDGVDEARVRSIATMMHEFRLAIREVQVLARSTLAKKLVHWKLWRRFVSFRSRQTALFVPLIEAARRRRRSPAAGTNGGDVRRAYVDSLVDVRVPDDAKRGLTDDEMVSLAVEFFSGAESIVASVEWTLAHLVD >Et_9B_065706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9363239:9364015:1 gene:Et_9B_065706 transcript:Et_9B_065706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HILVLPHWKHYKLEKDHLDDYMGKLAGAFAVDTNEEAVKEACTDLLKGGQRQMRYRLKREFFDNVPASQVRTESLVGNMDDKQWHALVAMQSDPKHKARCEKARPNRGLDPKIEKYKDVVPTEVNLFKDCHVSSTTGCTETVKNAIISCH >Et_9A_061439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11921373:11921830:-1 gene:Et_9A_061439 transcript:Et_9A_061439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQRNGAGRAKFSKTHFVVQGFIVAAIILLLTKWKPSSRRSCQHNRWSYTSTGVKLSLNTPRSKVVDDEQWVMVKTKGNQFFVGDGHSTSTASFNSYKLMVLAADPSTRGGT >Et_1B_010509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11176585:11177398:1 gene:Et_1B_010509 transcript:Et_1B_010509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRALMRIPQPANLALAVARRMEGFGGGRVPRYFSDKTSGRVLSEEERAAENVYIQKMEREKLEKLRRKADKDKAEAAKRAAAGKADKSMPVDSGLLDMVKCNKRKGNGAGNWNLLLRGVHSRVHETGICLQAHG >Et_5B_043446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10472176:10481573:-1 gene:Et_5B_043446 transcript:Et_5B_043446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIAACSDPATLVRFAATCREARHRVADGDAAFRGRLRLRHTDRFVLPLLRGHLTGDPYSTGEQNLWLVDTTAADATKLVKVRRGFASGLPGSENSTGLRPMSSREGLILIRATNKQSHRKELLVCDPATRRRQTLPPQPDTFPRYSSAYHVLLVGDGKTGGTGEVGRPFQVLKARLVTSDYRSERCLQIQTFSSEHGTWGPFTEIRTPNLLGHAFYRSSSPLVEDGMVHWLCLTDSGSYVLMLNVKAGRVTLTTLPTTFPDVEQGQHVSYLLATSSMGGNLIVLVADDEKISTWVQCKHTKIWKEEPQVMIRNKEILGFHNVNNSLAGRPYVNHIELMWFSERRGTVLIKIPYWCSFWLDLQSKKIVRWFYDDRIRYASVYCPYEMNLSSWVPTFSSGLCELAFFFSVRINQRMHFSPLVFKKKQKGREKTQPIGNPGARTPARLTFSCLIVSPPPRADALLISPICIAATCYVAQKHGFVQPLPPGPGAQGVLQRWPSSPFAPAPAPPRRRGSDQRPARRPAPPDPRLRCTRAAAHTSLLSRRWRGLWTRLPELTFHNICPDPLDDALAMASTTPALSSLKSASSTIIAAVRLAPAKLNVDICGDILLQGVENAVELPCFHRSTSVCLWTPNVWFTLPPTGDFSVLEDLSLFSCQIDLADLLPRCLLLLKFWISSWELLSVTVHAPLLEELNVYSHGRVQRIDISAPLLKKLWLHARGGLTALSNEFSLSCSAPVVEELSWECRYMFSNVGFGQIWRLEILDLETQRIPGHNQLKNKKEISCLQQQQSPRVNVLSLYIGTSVSLLNILSNPSQSITQAISQFLVASFSILELRITRPGHVYGPMVLHVLGVSNFVRILKVELCKASKQERCYTNCPCDQPNNWKSQSISLTYLKEVEIKGFRGENHEVYLLKVILGSATMLERLALFFSSEVLPSTNKCKELYEAYPSVEFNIYNCGGHQNLWLVDTTAADATSLVKVRRGFASGPSGDNLKDLEPMSSREGLFLIRATNKQSRREELATRVRPGHMLHIKAERVTVTTLPTRLPRAKQGQHVSYLLATNSMGGIQVVRY >Et_7B_056008.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:9129453:9130133:-1 gene:Et_7B_056008 transcript:Et_7B_056008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSPLVKLPSIPGLLSSILPTNLSSILPSSGRDAAAPTTNAASPPSPKKMSPPEEPASAGKADAAELTRVFALFDKDGDGRITREELEESLGKLGMPVPADELASMIARIDANGDGCVDVEEFGALYRATMAGGDGKQGPGAGEEEGEEDEDMREAFRVFDANGDGYITVDELGAVLASLGLKQGRTAEECRRMIGRVDRDGDGRVDFQEFSQMMRAGGLATLG >Et_9A_062561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24057852:24059993:1 gene:Et_9A_062561 transcript:Et_9A_062561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRREYMLSSEIIVAFDLVACSTLDGLIQFWDPLEGLLMYTIEGRRDISGGRLMTDRRSAANTSIGKYFTTLCYSAVLLRRFQITRNLSFDGVLDFLNSKRMTDAGALDLIDDEDSDVEEGIDQQTRGNLGLGLPGSVANRGRPVARTKCVKFAPPGRSFAAATTDGVLLYSVDESFIFDPTDLDVDVTPEKVEEALSENQHQRALILSLRLNEDSLIKKCIFAVDPSNVRAICSAIPFKYLQRLIDAFADLLESCPHLEFILLWSQELCKVHGHYIQQNSRTLLPSLKSLQKSITRLHQDLADTCSSNEYLLKYLCSAGTKN >Et_4B_037555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21080767:21081584:1 gene:Et_4B_037555 transcript:Et_4B_037555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLGRRNSIATMALRWLAASLALVIATATLSSAQQAATFPTMPTCPPAPLSLSPCIGYVFGAGSATLESCCGQLRTFVQTQAPCLCAASKLAPSPVGLLLGQAQGMIPNVCNLPNPCDDTSPPATTPVAPAGGEVPAAATTTPSATPASTTPATEMANMPAADPDDDSPAAATAPGEVAPAGTGSTKLPELLHAAGARSSRDTASGALLIALFLASVATIYV >Et_7A_050599.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:4946085:4946513:-1 gene:Et_7A_050599 transcript:Et_7A_050599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QEQETVSHLCLHCPFAKEVWHLVNQWTQEDIYKDVNPEHKFRDWWNDQMMQFTAREKKKEKRRQSSCTRCGTSLVKHSSMQQQQQVQSLIREEMKLRPDGIRRKCGGEPGSGPVEVAACSVVVTILNYVTNNSSNSVFLFFP >Et_7B_053870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12952387:12953039:-1 gene:Et_7B_053870 transcript:Et_7B_053870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVLPPLGRQSANCFATLSLDATWDGSDSGILDSPTTPAVPSGRDSRSPCGAQDPMTLNDTGNSGCAPSVAFADSRNTSRPKTEL >Et_1A_007123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31040535:31044753:-1 gene:Et_1A_007123 transcript:Et_1A_007123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFVVLVAEAAVAAALLFKTPLRKLAVLGIDRLKRGRRAPVAVKTVAGVVLALLASTLYSMAEISSRSVGGDPESGGGGGALTPTDQVLFSRHLLEASLMGYSLFLALVIDRLHQYIRELRGFKKNLEAVSKHNKMLEEAKLGISEETKKYQEEIASLNEEMKKLKLQVNEKKEEVNAAEDKALAIQKQSEGLLIEYDRLLEDNQHLREQLQSLDLRLSSYQGDSCD >Et_6A_046157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5055448:5055924:-1 gene:Et_6A_046157 transcript:Et_6A_046157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKLLLMLWRAWYVRNQLKHEGKMLSVEGSVKFHQSFHESLLQVRRPLVPAGTWVKPKPGWIKVNVDAAFFRDTGRAAVGIVARDEQGAATLSSIRGLFVCADAEEAELRTRMQRRAELSCSVGAETNYISLRRTA >Et_4B_037379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19142323:19152479:-1 gene:Et_4B_037379 transcript:Et_4B_037379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDAELRRACAAAVAASGARGEEVAFSIRVAKGRGIFEKLGRLAKPRVLALTVKQSSRGDANKAFLRVLKYSSGAVLEPAKLYKLKHLTKVEVISNDPSGCTFVLGFDNLRSQSVAPPQWTMRNIDDRNRLLFCILNMCKEKLSYLPKVVGIDIVELALWAKENTLTLDNQVNTQDGQEASVVTQTERKVTVTVENDLVSQAKEEEEDMETLLDTYVMGIGEADAFSERLKQELVALEAANVYQLLESEPIIEEVLQGLDAASATVDDMDEWLRIFNLKLRHMREDIASIESRNNGLEMQSVNNKGLMEELDKLLERLRIPQEFAASLTGGSFEESRMLKNVEACEWLTGAIRSLEVPNLDPCYVNMRAVREKRAELEKLKTTFVRRASEFLRNYFSSLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGPLRKAYCSSLNLLLRREAREFANELRASTKAPKNPAVWLEVSSGSGPNGSSADTSTVSDAYSKMLTIFIPLLVDESSFFAHFMCFEVPALVPAGAPNVSKSKPGDEADDDLGILDPDGDDLKPDNTSAELGTLNGALQELLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRKLLDDLESRISSQFSRFIDEACHQIERNERNVRQTGILAYIPRFAVLASRMEQYIQGQSRDLIDKAYTKLVSTMFATLEKIAQSDPKTADIVLIENYAAFQNSLYDLANVVPTLAKFYHQASESYELACTRHISSLIYLQFERLFQFNRKVEELTYTIAAEEIPFQLGLSKTDLRRVLKSSLSGIDRSIGAMYRRLQKTLTSDELFPSLWDKCKKEFLDKYESFVQMVTRIYGNESLISVAEMKEILAGF >Et_3B_028317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13205911:13206180:-1 gene:Et_3B_028317 transcript:Et_3B_028317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDNTDAHKRYHIVNWETVSSPLEYGGLGKWGFKLQNSEDDEIWAELLRNKYVKEKDTNIMHVPKEVGTLNFGGVS >Et_3A_023368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23767742:23769097:-1 gene:Et_3A_023368 transcript:Et_3A_023368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELGKALGARGLAVTIVVIDPPYNTGATGPFLAGVSAANPSISFHVLPKVERLPPVASKHQEALTFEVVRLSNPHLRAFLAAACPAVLVVDFFCSLALGAARELGVLAFFLHLPVLHETTAASFKEMGEELVRVPGIPPFPATHAILPVTDRGDAAYHGFLNVCHDLYRSDGVIVNTFRSLEPRAVDAVVAGRCTPPVRVHCIGPLIKSEEVGGGQEEECMAWLDAQPEGSVIAEVAAGLEASGQRFLWVVRAPPSDDPARKFDKPPEPDLDALLPEGFLARTEGRGLVVKSWAPQRDVLAHASVGGFVTHCGWNSVLEAVMAGVPMLAWPLYAEQRLNRVFLEKELRLAVAVEGYDSETGLVTADEVAAKVRWLMLMDSDGARVLRERTLDAMRQAKDALREGGESEAALAGLVDAWRRRAA >Et_1A_006436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22129953:22135179:-1 gene:Et_1A_006436 transcript:Et_1A_006436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGGGGGGGGPHPSAPSPAASLPSASPSSLDGGFLLRLLQNPPPQPRGQTPTPPPGAPPGPPHHFFVDPAVATMGPLYPASQQVQGGGFAWPSPSAPQQQMQPQQQLRFPDPRFAPPVDPYAAGGASGFGAADAVRAERPRPGAPPPGFGKLLHHAAGNREPPNAFAGMPNREQSLHGPRGFGSRMLNKELQTTETDSQGTFGILGQNPHKDPYATITAGRDAGGMMYREQQQDTFLSRTPLEMNANRQFGRMPYGESHTLPSAGGRTLHGDPHTPTTGARMLPNGQLQLDPRLEHMPQRGPWWQGHRDGKSHASQKLPNADVRDAYGKAPIQGMHHRTLPTGNSVPVDVTGDRVNGLDEGGIRELGLEHGMNGEVIAEARKFEVSNHKSEIKLVGQDDEGDDDGREHDVMIEQLTESLVISDNGEAKGMELQKSTLRSKDFRSDFSRGHHVSSQRIRLQRRNRPCRYDIDRFTPGFLSIFESLVPSEEEIAKQRQLFTTLSRLTNKEWPNSRLFVYGSCANSFGFSNSDIDLCLSIDDKEMSKVDIILKLADILEAGNLQNIQALTRARVPIVKLMDPETGLSCDICVNNLLAVVNTKLLKDYSQIDRRLRQLAFIVKHWAKSRRVNETYQGTLSSYAYVIMCIHLLQLRRILPCLQEMEATYRVTVDENNCAYFDQVDKLSNYGARSNDTVSRLLWAFFHYWAYEHDYTRDVISIRTGRIISKERKDWTRRVGNDRHLICIEDPFETSHDLGRVVDKFTIKILREEFERAANILQFDPNPMVTLFEPYIPPPPLPSLMQEQTVSTTELAL >Et_1B_011912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27144876:27152167:1 gene:Et_1B_011912 transcript:Et_1B_011912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGNGKRKPSSPPAAVVAEAAVFGNDDLLREILVHLEHPTCLVHAALVSRRWLHPPRLLGLCVRGIRSDARFVPLPHPRELDVVFRRARFEELKGSVLDCRNGRALMLLSEMGLVTGNTYSYVLCSPLHQTPEFPGARSEVGISTAIEPPSRWMCHTHCTLLLNNKCYILGNAGYILEFDLAFMRLCVINLPDGVQQHDGRKNIELLHADGSGFYLIHLKGSQIHVWHHDADGDNVGEWQLVDTICVRQTIGHLAKPNWESILESWDPYGTGSGTMPNFCCRWDIKTRKIEKVFEMAEGDPKFFVRPFMMVWPHSFPAPRAMNRLNVVKMNLPPTPRLGQQNRAQAGPPRDALRSPCPGMPPPASRYHHANGKTVKAYYIYAHL >Et_4A_034530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4039547:4045238:-1 gene:Et_4A_034530 transcript:Et_4A_034530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGGRAAAAAAASSGSEDEEEAGFSRSYFLAKEKEPSSAKKRARAAAGKLSDLNLVDEQVLRASLAEIPPKHEEEVEALTRSYKEQYRNWLFEIRCGFGLLMYGFGSKKQLLEDFASTTLTDYTVIVINGYLPSINLKQVIAAIAEIFWDQIKAKRKRQPGTRSQLSQPFPSQSTDDIISFLKSQTSDDVDDHVCLLIHNIDGPALRDHESQQWLGQISCCPHVHVVASVDHVNAPLLWDKKMVHTQFKWSWYHVPTFAPYKVECVFYPLILASGGHAQTTKTALVVLQSLTPNAQSVFKVLAEYQLANEKEEGMPVSSLYTKCRERFLVSSQVTLNSHLTEFKDHDLVKVRKHSDGQDCLRIPLYLKKVRNSIKIRDYWAAQTNQSVTENRGVREPRLDGRGDVEHRFAAVEGGGEGFRVGDVAVGQLDGGGQAGDVAGAADERAHGVARVGQSRAQAAAQVARRARYHHPHSAVFCARSNSSSTPPLIRFRCVLLEVKQRPTEMSTTKTEKNRRFVAGPH >Et_7B_053600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10159697:10167115:1 gene:Et_7B_053600 transcript:Et_7B_053600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTAAVKLVGLLPRDRGGLHLLLLRRSPIPSLLRSIAAPTRLARTLCFFSIRAFSGNSSGMAAGSPEERAVAVTETVELTEKEERIFQRLLDVVRHFGLGTQLRVAGGWVRDKLLGKDPADIDIALDNMTGQSFCEKVNEYSELIGEEHETIGVIQRNPDQSKHLETARMKIFNIEIGFVNLRSEKYAENSHIPTVEIGSVEEDTYRRDLTINSLFFNINNNSVEDLTGRGIKDLKNGLIVTPLPAKATFLDDPLRVLRAIRFAARFNFTLAEDLKEAASDKKVKSKLGSKISRERIGDEIKLMMSGKNPVKAMQFIRDLALFDSVFTLPENSDPPAFEKCDRCCVSRIEAAWNIAYFLAALFSAVRVTSYVIRDSLKSNGCDPEMVAKIHDACGEFAELVPLFESNSEEKLEDEYLEIPSDLVKRVFAGLILREIKDLWRVALLVSIVSYPEVENAGDILKQQDELQHRKEKYLRVERSITDLELEDGKSASIVLRH >Et_3B_030567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:565151:573155:1 gene:Et_3B_030567 transcript:Et_3B_030567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLYRTQDKVIKGLVLTLAGIGDEDMSANIWVPSDLDFLAGAMRSFIPNRAMLHTHGFGVEGNVSSSQSKDSVVILDDEVVRISAIFVKPRYESEANSLEDIDLKPGDTAIVYACELPEIKGKFDPAKAAALGLKPGPKYRELQLGNSVESDQFDKLVHPNDVLGSSIPGPTVILVDCPTQNRMQELFSLQALNCFYENSSDQTESGKKVNCIIHLGSSSVTKSVDYQSWMKKFGATQHIMAGHEIISSRLNFICPQLFPSSGFWPVETANGGTEKNMFHLRPYAQLGLDRASIPSLFTYEDIMEELISEIPEVREVPEHVRKFWHHNPDDKSTLAPVGSMLTVEEPWICFFLSLVSRGGGCLVSSGSISNPV >Et_9A_063421.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:23831614:23832402:1 gene:Et_9A_063421 transcript:Et_9A_063421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRPDQQPHFSHDYSVPLVLDPAALGVSSNNSWADPSAVEISPQLLAALGEYLSATDEAEADDEFMMYEFKVRRCARARSHDWTACPYAHPGEAARRRDPRRVAYTGEPCPDFRRRPGAACPRGNACPFAHGTFELWLHPSRYRTRPCRAGASCRRRVCFFAHAAAELRAAPKDQMDSPLSLSPKSTLASLWESPPVSPVQGRRRWVDAAADDTAEMEDLMLAMRELGFRKAKASSPVAPVLPLVTEDDGPDFGWVSDLVM >Et_2B_021622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3674973:3677591:-1 gene:Et_2B_021622 transcript:Et_2B_021622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSPDSYFSAWPPSESYGFAAASVQSYAEEGNMPPSSYFMNASSDHSLKVSGHGQNSTLLSNGCLSYSAHADLLSSEILSKDNLTNSIMEVQQMQSNSSQQSDLVNSGVLQHNLTSRIFHPQLDAPGFAELPHTLSSSIDSNGSEVSAFLTDVHAVSSASTLCSTYENGSLFMKPVHLDTFNFERAQNDVMLNKTGLQNGNMSVFDNAALATIHDSKEFISGRLPSFATIPETNLPASTFKTQKQEQNVMCDFPVPAFAARNQMAVTATQGTLIPPKMPSCTNENKSEGPVSHPSGVQVQANSANGNGSGVKPRVRARRGQATDPHSIAERLRREKISDRMKNLQDLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGATGAVLPLLSESQTEGCGGQPLSATTTAHGLPDVQDSEDTLAFEQEVVKLMESSITSAMQYLQNKGLCLMPVALASVVSNQKGMDTAAIASQK >Et_8A_057933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9531167:9534733:-1 gene:Et_8A_057933 transcript:Et_8A_057933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRFVLFVNKQGQTRLAQYYEHLSLDERRALEGEIVRKCLARTDQQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETSKQNILAPIQLMEKSVVVLESDFTVLGCQLVSIFEVNPIG >Et_1B_014092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30394121:30395314:1 gene:Et_1B_014092 transcript:Et_1B_014092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWIGTQPSLSLDLHVGLPPLGRHHAPPPVVAMVKPKTLVEETFLPLKKDPEVAALESELQRMGQENRRLSEALTAVAAKYDALRSQYTEMVAAANTNTGGGNNPSSGSEGGSVSPSRKRKSESLDTATPPPAHVPHHNHGAAAGAGVPGDQMECTSGEPCKRIREECKPKVSKLYVHADPADLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPACPVKKKVQRSADDNTILVATYEGEHNHGQPPAPAATQQQNDAKNNAASKPPSPPRQAPAAAPLPVTAAHHHHQAQQQQQQDVLAGEPVAAAAASEMIRRNLAEQMAMTLTRDPSFKAALVTALSGRILELSPTKD >Et_6B_050104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6236565:6240479:-1 gene:Et_6B_050104 transcript:Et_6B_050104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESDALQSIVYSRGSLRLLDQRKLPLEVVYIDVKDSADGWNAIRDMVVRGAPAIAIAAALALAVEVSDLDFTGTPAEAASFVSKKLEYLVSSRPTAVNLSDAATKLQTLVSKTSQSAKDSKSIFQVYVEAAEAMLVDDVADNKAIGSHGAEFLQKKLDNSKTISVLTHCNTGSLATAGYGTALGVIRALHSGGVLEKAFCTETRPFNQGSRLTAFELVHDKIPATLIADSAAAALMKQGRVQAVIVGADRIAANVVKPVSLESQGDTANKVGTYNLAISAKHHGVQFYVAAPVTSIDLSLPSGEQIVIEERSPKELLNSEGGLGKQVAAPGISVWNPAFDVTPANLITAIITEKGVVTKSNGDGAFDIKGFIQSAK >Et_4A_035735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4603210:4604914:1 gene:Et_4A_035735 transcript:Et_4A_035735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDAVAVPVAVAPAKRPGINKYAFACALLASMNSVLLGYDISVMSGAQLFMKEDLKITDTQIEILAGVINIYSLFGSLLAGVTSDWLGRRYTMVLAAGIFFTGALLMGLAPSYALLMAGRFVAGIGVGFALMIAPVYTAEVAPTSARGFLTSFPEVFNNFGILLGYVSNFAFARLPVHLSWRAMFLVGAVPPVFLGVAVLAMPESPRWLVMRGRIDDARAVLRKTSDSPDEAEQRLLDMKKAVGIPEDVSDADDIAAIVRANNNNKSHSQGVWRELLINPSRPVRRMLVAGLGLMFIQQATGVDCVVMYSPRVFQRAGIKSKTNSLGFSMAVGACKTFFIPISTLLLDRVGRRPLLLASGGGMAVFLFTLATSLLMLDRRPERESAALGAVSIAAMLSFVASFASGLGPVAWVYCSEIYPLRLRAQAAAIGTGLNRIMSGATTMSFLSLSNSITIAGSFYLYACVAAAGWVFMYFFLPETMGKSLEDTAKLFGKDADDDDLAAGARHERNSKQSTTTELMSAQK >Et_3A_025232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28085977:28090801:1 gene:Et_3A_025232 transcript:Et_3A_025232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPCLLVLLLLLALLAVAASHPGHEFCAAAGGDAAGCGGGGDGTRILIKGGTVVNAHRAEAADVYIEDGVVIAVRPNIPVGDDNVRVIDATGKYVMPGGIDPHTHLAMEFMGTVTIDDFFSGHAAALAGGTTMHIDFVIPVNGNLTAGLESYKQKAAISAMDYGFHMAITKWDDEVAREMEVMVKEHGINSFKFFMAYKGSLMVTDDLLLDGLKKCKSLGALAMVHAENGDAVAEGQQRMIDLGITGPEGHALSRPPILEGEATARAIRLAKFINTPLYVVHVMSTDAMEEIAKAKRDGQKVIGEPVVSGLVLDDSLLWDPDFTIASKYVMSPPIREAGHGKALQAALSSGILQLVGTDHCTFNSTQKAFGSDDFRKIPNGVNGIEERMHIVWDSMVETGKISVTDYVRVTSTECAKIFNIYPRKGAILEGSDADIIILNPERHFVMGAHAHHSRSNTNVYEGRKGKGMVEVTISRGRVVWENGVLNITPGSGRYVRMPPFGYVFDGIEKSDAAYRASLRAPVQRDSGQKIQQGPGIQMPEFLQVTVVMLPSLEKEHLQLVGRTVEGWIYQGAADQ >Et_10A_000806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17535260:17536016:-1 gene:Et_10A_000806 transcript:Et_10A_000806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLPGPEARAPLALSDHPVEEIAGKISAPANLTCASVVFKTFHHLITDPTFLRSCFSAMSPPASTKSASSLPRRPTPMRLSLTPLPPPLTSPWATAALSAGCLYCGVMTPAAAVFSSSSVALSPRSYWCDPLTGGYMPLLPIPDDLRALVFVQVEDGYINFVMPSLILQGRGQRGRLIKRAVLGQLFLDGCGICLLFILQQLESCRLEQTTTRKYIIVSAQLCILIWLLLLVFLH >Et_1A_006496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23188013:23194907:-1 gene:Et_1A_006496 transcript:Et_1A_006496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEAMTTKVHMLVPFLLAGLFLVSSLAHDAAGLHPVVLLPGYSCSQLDARLTDKYQPPTSAPGCGARKRGGWFRLWENYTAVHQDPASLVPCYADQLRLVYDPVAGDYRNVPGVQTRVVDFGTTRGFGTDDPAKKYVSSPCMPFRIHTKQEKYRFSYLAVTFVVRNNCMERIVEALEAVGYKQGENLFGAPYDFRYAPAPPGVASAVFSRSLTRLRLLVERASNRNGNKPVILVTHSLGGLNALAFLNTTTLPWRRRHVKHFVMISTGAGAAVAALQTSGSSSPNRDVILSFANTSRSFASTFSALPSPRVFGHAPLVVTRARNYSAYDIPELLAAEGFSDDEVERYVTRALPVATNLKAPAVPMTCINGVGVPTVRTLVYPDDDEFSAAPQVVYGDGDGVINLVSIRALDTVIGADPEQGYFKSVLIRNATHASIIAEDFAVRRVVDQLHLLVIFLLPGLFFVSSLAYDATADGLHPVVLLPGYSCSQLEARLTDEYKPPPSAPACGARKGGGWFRLWEDYTAEQQDPAMVPCYADQLRLVYDPVAGDYRNVPTCRASRPAPWVSAAPTASAPMTLPKIRNELSVINHEQNCMERLVLALEAVGYKEGENLFGAPYDFRYAAAPPGVASAVFSRFLTRLKLLVERASKKNGNKPLILVTHNLGGLNALAFLNSTALPWRGRYIKHFVMISTGAGGAVMMLPHGGSPSSPPPEPGDALSFANTSRSFATTFSVMPSPRVFGHAPLVYVTTTLPMTMNLKAPGVPMTCIDGVGVPTVERLVYPDGDLGAAPQVEYGDGDGGINLASMLALDTIIGADSEQDYFKSILVHNASNGGIISEDFALRRVVSEVLEAGRAINQLTVHKAWSLKSLITSTEALRNTPAPFLAIAAAVATPEFFDTVASTLIFVIPSGERIQRPCCGCLLCPLRSPLRGGFAVSSSATNLVIKLCVGNGLNLLLVRSDDFLPR >Et_4B_036317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17266873:17267835:-1 gene:Et_4B_036317 transcript:Et_4B_036317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLALGVRPGRIVYANPCKPEAHLRYAAAAGVNLATYDSEEEVAKVARCHPGCELLLRVKAPAVDASGAPAARFDLGTKYGAHAGEVAPLLLAARRAGVRVAGVSFHVGSGADRVGVYRGAVEAARAAFDAAAALGGVVPPMRVLDVGHFGGDGDLDVIGEPGRYLAETAFTLAARVIGKRRRGEVREYWIDDGIYGSLNVVNTDHYVPRPKPLLLSSSSATSTGHDVFGPTCDSLDTVVTGYQLPEMSVGDWLVFPNMGAYTTALASNFNGFGIDHINTYVAYSN >Et_8B_060397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13104127:13107691:1 gene:Et_8B_060397 transcript:Et_8B_060397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPNKLEEKKESKILKFLGFMWNPLSWVMEAAALMAIGLANGGGEPPDWQDFVGIVALLLLNSTISYWEESSAGSAAEALMANLAPRAKVLRDGRWGEHDAAALVPGDVISIKLGDIVPADARLMSEGDPLKIDQSALTGESLPVTKLPGDSVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQTGHFQKVLRSIGNFCIAAIAAGVLVEIVVMYAIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLALQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDRGLVEIFARDGRADEVLLLAARASRVENQDAIDAAMVGMLADPREARAGIREVHFLPFNPVDKRTALTYEDLADGRWHRVSKGAPEQILELCRCGADVRNRVHAVIDKYAERGLRSLAVARQEVPEKSKDSPGGPWQFVGLLPLLDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSALLGRCKDESIASIPVDDLIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVAGATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMILVIAILNDGTIMTISKDRVKPSPHPDSWKLKEIFITGVVYGSYLAVMTVVFFWAMRSTDFFSNTFHVRPLRGHREEMMSALYLQVSIISQALIFVTRSRGWSFTERPGLLLCGAFVAAQIVATVLAVYANLGFARIRGIGWGWAGVIWLYSVVTFLPLDVFKFGIRYALSGKAWDTLFEHKIAFTTKKDYGREEREAQWATAQRTLHGLQTPELAGMINDRSSYRELSEIAEQAKRRAEVARLRELSTLKGQVESVVKLKGLDMEGVQQHYTV >Et_10A_001955.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:1456911:1457456:-1 gene:Et_10A_001955 transcript:Et_10A_001955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEARVHVHDPPRRTLSASASPAAAWEEKDDDDGRRRRERRSPSPVEAPRRERERQPRCGEVAGGTAAECAAVCCCFPCAVLELVVLAAVRVPAALCRRAVLTRRRRRRAAKQAKKKKKQGTGESLAAEGAEAAKKAADAKDWPVTTRGLDELAEAEKEVWASFQGAGFWRSPSQREVKK >Et_6A_046863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21713307:21716489:1 gene:Et_6A_046863 transcript:Et_6A_046863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDQVAVDVDGLASAKGDGAAAKPSEAVSSAAVDAAAPSAAVDLDEEGGGEEEPLIQAAECRICQEEDSVKNLEKPCACSGSLKYAHRACVQRWCNEKGDTTCEICHQEYKSGYTTPPRVQPDETVIDIGDELIMNLRDPRILAVAAAQRRLLEAEYDGYAGSDAGGAAFCRSAALILMALLLLRHALSISDSEGNDDDASTMFSLFLLRAAGFLLPCYIMAWIFSILHRRRQRQEEAALAAAEVAFILESARGRALQFAIAPDSPATPQHEPSPQ >Et_10A_001294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23002074:23003671:1 gene:Et_10A_001294 transcript:Et_10A_001294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAPLFLVLLLLLTGWGAAQADGSQDMDVVVLDVDTLEVRDLGPIYEDQIISSKIPVDAKSGSPGCSACEEFANKAISYLREKQTQDKIVEIIHDACLQTFSLEQKCVELMDSYATLLFAKINEIRPEEFCKQYGLCRNTALFSGVTSDSTCVFCHHVLDEVMSKLKDPDAEFEIIQILIKECNKIEGHVQQCKRLVLQYIPLILVNGEKFLEKNDVCALVQACDASQKRIAGSYLEGDLLNDA >Et_4A_035069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9242511:9250666:1 gene:Et_4A_035069 transcript:Et_4A_035069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSSRKHRRIGEDEEVEQDEEEAEEELERFDDFTIASSWERFISEIEAICRQWLADGPKILMHKGAESVPPFENLYLVKRELKHGKRVYSMEYYFMKSAKGQNSHWDDDTHSMQLSFGVNDFLIIAPLSASGVVLDDPESTKLLSSVAIALSNCGSNWPAFVPVHDPSRKAYIGIQNLGTVFTRRFEADRIGSQVPIRLMHLEGLHELFLSKFVLSLTDFPARVKVHFSMKLTYRTPDFDYDNETLGPEATESLNENEVPNHPRKQWDDDCPWAEWYSAEDPVKGFELTTIWGERTFEETLEMAEVENASSFDADNWLLHPVISRYMVDDSIGKFVGFASQLHLLVNAYEASAEAQFLEDFVADNPGQDNSKSTATVPPPSVIDRVMKDLFNDEAGNSDYVDAENKYSRAMRGAPSDSLFGQFCMHALWFGNCNIRAIAILWIDFVREIRWCWEESERLPRMKSNSRIDLSSCLIHQKLQMLAICIERKKAHKDETSNATAVQKTRKGSAGVVSSMMLLNTFQEMHAPYTQDAPLMTEDMHEERVHAAEAFGNTIGLSGQLERDILSSDMSAFKAANPDAVFEDFIRWHSPGDWVSEDKADGNSAWPPKGKLSQRMSEHGNVWRKIWNDAPALPVSEQKSLLDPVREGEKVLHYLETLRPQQLLEQMMCTAFKSAADILNKTTYGGFKLMKTKMDQLYATMASTLKSLQGNSDISDLAGDLKRLCQVFEHIEKLLILAASVYRKLIDAPRLAQAIFTGYFNYYLPKMGTTLESICYEKEFTSKEKVGMHERDAVSNLFPPPTANQSWRKVLSMGNLLNGHEPMQREIIFSVKDRMSNGHYSSPTPLCTDEHIQTHR >Et_8B_059325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16719941:16722903:1 gene:Et_8B_059325 transcript:Et_8B_059325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPAVIGLSAGKRLLTTSFGPADLAPPDALQFAPAGAPKLTVVAHRASSSSPSPHVGACPAGHARAHAVRALRNHSAPALAPPPPPAADHHAAAAPTPLDSAAEFESSLEAIVLLQRSMLEKQWELPFEDELGFADDWDDDGGSLEEEDDVGGGLKAAAAASVVVARSGVSARKRRMGGRRRSGRRSVSISPELLQSRNRIYLRGTVSKELLTHKQVVQLSKKIKDGIWLQHQRSKLKEKLGNEPSYKQLAQSLRISAPELRARMRESFLAREMLTMSNLRLVISIAQKYDNLGVELADLIQGGLIGLLRGIEKFDASRGFRISTYVYWWIRQGVSRALAENSKTFRLPTYLHERLIAIRGAKYALEDQGIAPTVENIADSLNISARKVNNATEAVNKVYSLDQQAFPSLNGLPGETLHSYIEDQNVANDPWHGFEEGYLKEEVNKLINSTLNERERDIIRLYHGIGKQCHTWEDISRQFGLSRERVRQVGLIAMEKLKHAARRKQLDALLKDY >Et_1A_009072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28145152:28147223:-1 gene:Et_1A_009072 transcript:Et_1A_009072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVEPETPPGSSSGGTTPVGGKPPRHHLTSIRHCASSARIAAASAEFELDSGTMSLISPTDIRPGFLPVFRSGSCADIGPKSYMEDEHVCVDNLIEHLGMRGPGIPAPGAFYGVFDGHGGTDAACFVRKNILKYITEDCHFPSSMEKAIRSAFVKADHAIADSQSLDRNSGTTALTVLIFGRKLLVANAGDCRAVLGKRGRAVELSRDHKPSCTVERLRIENLGGTIFDGYLNGQLSVARAIGDWHMKGSKGSACPLTPEPEFREVRLTEEDEFLIIGCDGLWDVMSSQCAVSMVRKELMAHNDPERCSQELVKEALKRDCCDNLTVVVVCFSADPPPQIEVPRFRVRRSISMEGLHMLKGALDQ >Et_2A_018002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8972545:8974135:1 gene:Et_2A_018002 transcript:Et_2A_018002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTVLFFCLLLLPQLGTSSHFSYTRGSRQFFVRSSDKSQATSAPATSCSSIPSGLSNGKVPVVHRLSPCSPVSLTDAGRNSNPSPPTMDVYRRDVRRVRSLFASSGAGDNTISTPAPAPAAPGGGGGVAVPAHGVTDPTAPGAQDYAAIVGFGTPVQPLPMLLETSLGISLLRCKPCASGDCEPAFDTSKSSTFAHVPCGSPDCRTTCLLGSVCPLPFGTRNGSVVQDVLSLSLESGVVHDFTFACFDVDRPAVRPVAGVLDLSRDNRSVASRLAAPGAPAFSYCLPVPLSTSSSSSTGFLTVGVARPETPRGRVQHAPLVANPAYPDMYFVELAGISLGGKALPIPPAADTAHTTAIDATTSFTFLKPAAYAPLRNAFRAAMADYPTAPPMHGLDTCYNFTGLREIAVPLVRLEFGKDGDQSLLLHVDQMLYFEDHSAGPFSVACLAFAASPAVEYSVVGTMAQTSTEVVYDVEGGKVGFVPDSC >Et_4A_033796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2718528:2719739:1 gene:Et_4A_033796 transcript:Et_4A_033796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRLLQLLAVFALCFASVRSGDWLPGTATFYGGADGSGTMGGACGYGNLYNDGYGVNTAALSTALFNDGASCGQCYVVICDRSKSNWCKAPGTYAVVSATNFCPPNDRSRAAAGARRPTPTSTCRSPPGRTSASTAQASSPSSTSSTSGGVRFTINGCNYFELVLVTNMAGSGSVKSMAVKGTNTGWMPMSRNWGANWQSNAALVGQALSFNVTSTGGQSIVFQDVVPAWWQFGQTFSNWQQFDY >Et_1A_007661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3747827:3750403:-1 gene:Et_1A_007661 transcript:Et_1A_007661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGVSDELLGTFVPIAVYWLYSGLYVALDGVGRLDGYRLHPKEEAAAKNLVSRGAVVRGVLVQQAFQVAVSLTLFAVITRSSPSSLPISSLSLLIYEDAGAGWVIGDESGTEQKQPPAIVIAGQFIIAMLVMDTWQYFMHRYMHINKFLYKHIHSKHHTLVVPYSFGALYNHPLEGLILDTIGGALSFLVSGMTPRTSIFFFSFATIKTVDDHCGLWLPGNILHVLFNNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYSIEQRKGGGIESRPETKIGTQTELQKGKNTREQIIARFLALPSIRFAAAGTRGPCRSAACPYPLRLRPDHAAILVGHGVAAA >Et_2B_020056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16460623:16462207:-1 gene:Et_2B_020056 transcript:Et_2B_020056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYVLAAAAVLVAFLYAVIKNRRSGGRLPPSPPSVPLVGHLHLVGRLPHRSLHDLHLRYGGGDGLLLVQLGRRRTLVASTAAAAADLYKNHDLAFSSRPRSAAADKLMLPQRVVRAVRRALAPRQEGGRGPPPLAAPCGVARARAGTRGGRAAAAEAEAGVELRALLCAYSNAVVTRATTGAAGPAADRLNRLMGGGDALVAGLQADDVLPDAAARVVRLVTGLEKKLDDMAEAWDLFFSEIIAARREKGADGTGEEEEDILGVLLRLREEGAEGLDLTDDCIKAIVKLCCVLRSVRSLFLRPQDMIAAATETSTATMEWFMAELAANPRVMAKLADEIARVAGGADQPAISEADLNRMEYLRAVLKEVLRLHPPAPLLVPHLSTAPAVVQGYEVSAETSLLFNVWAIGRDPAAWDAPEEFRPERFVGGGGAAVDFRGNDYQLIPFGAGRRICPGINFALPVVELALASLLYHFEWSLPAGVRPADVDMGEAPGLTTHKRVPLVLVPRARR >Et_1B_012691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34485004:34488611:-1 gene:Et_1B_012691 transcript:Et_1B_012691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISALLIMQLASHGTQGEETMQFVSNCDHAEISDSQPIVSELAEKPTEHLASCEIKPVSVDDDNENTDANEETHLVIQDVPQCRICLDNEGDDLIAPCRCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFMLRANVPPDRWWLRLKFQLLVVRDHTLIFFIVQLVVAFLGMVVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGADQVPDLDPSHVTELKILGLY >Et_7A_050281.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:19250622:19251161:1 gene:Et_7A_050281 transcript:Et_7A_050281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPLMFPYSRSTFRLAASLFGPSLSTDSMLSRIGRPPGCTAQNMSSHVTSTSSLPSAALNAPSRHCSTFWPMSEGTWMARWKSMPPPATCMPSACSLLGIVVCVADTISNSGRSPPAKAPAPTTATPAPSPKSAWPTRLPPSGPAKQTRVISAQATSTRAPRLFSASSLASCSARPPP >Et_7A_053174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8834606:8835670:-1 gene:Et_7A_053174 transcript:Et_7A_053174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDDEWDTRRCDWETFEGKDEFLMRSKKKGPEYYKKALSTRRSNIRHKYLTVSPSFIYNTICSELKLKRKGLFWEGPEADVLAEKKKKKKKKKKKKKKKKKKKKRKIKEEEEAREDRKRKAKEHRLAIQLMMDAEAIDKMSTWSRHSYWHD >Et_1A_005290.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:38384238:38385206:-1 gene:Et_1A_005290 transcript:Et_1A_005290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRNERKEIIPPPCRRSASAQRLRTSPPPAPAPDPSTPSAAAGARIFPKSTFAGSGSSTASIHGAPRGHAAQESHRTSPPKLPQISAVPGRPTAESGPRGQRGHGFARDLVGSAGGGGAAGAGGAGAERRGGGGHLGLEAEVGGGGGGKGKEEGKERGGIYSFRENTTRLWGPGGRLTRSLRGGAAHTTPQWLLHCAAPHGSATWFSGFSGFHFSFSFPFLCAGLRISFSIILEISSCLQPAMFWRSRPILDIRLDRDFICPLNRMRCFSFRFYCCCFNNRMLHMDSGYLQLSKEDSSIQYWIRWIKCIRVDSSVKCIIVE >Et_2B_019126.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:11987535:11987726:-1 gene:Et_2B_019126 transcript:Et_2B_019126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPGSPNYTQRVQAIVYTAWNIWKERCRRYYDNKAMTPLQLTSFVRHDISQWRLALNHDDE >Et_5A_041977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4853841:4854746:1 gene:Et_5A_041977 transcript:Et_5A_041977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIATTHQWVVQLKHKFEWVPAATTGVDLFEHLTIYQSLKREKFVLRSESQSEEPVSGGDQAIPNEPAGCSDLGLRFSGV >Et_3A_024540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21819018:21819586:-1 gene:Et_3A_024540 transcript:Et_3A_024540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTGGEAQPEPVVKIIETVHVDADLFSFKSVVQRLTGRDAVVGGWSDGSVRMPNEAMAQGAGYLSQQALKPFADTKIGLKEQTLLVIPETGHACYLQLSPSRPKPL >Et_3A_025385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29375994:29379307:-1 gene:Et_3A_025385 transcript:Et_3A_025385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSQACLLLQKQLKDLSKNPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMSFPQNYPNSPPSVRFTSEMWHPNVYLDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKEWREKREDFRKRVRRVVRKSQEMF >Et_3A_026349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7096362:7116519:-1 gene:Et_3A_026349 transcript:Et_3A_026349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGETAPHVVEDCLGVLQLLSDGTVVRSDAAAVLPTEPFPDVPGVQWKDAAYDAARGLKLRMYRPSAAAGEAAAGKLPVLVYFHGGGFCLGTFAQANIHACCLRLAAELPAVVLSADYRLAPEHRLPAAIDDGAAVLAWLRGQAAAGGEPWLAESADFGRVFVSGESAGANIAHHLAVRFGSDQPDGHGLDLAPVRVTGCALLTAFFGGAERTASESKPPAGVFLTIEMSDQFWRLSLPAGADRDHPAANPFGPDSPNLEQMTLPPVLVVAAGRDLLRDRVLGYAERLKAMGKAVELADFVAEEHGFFVLQPWGEAAAELIRVMRRGISLQSSTMSSGDTAPHVVEDYRGIIQILSDGTVVRSNPAVLGSPETFPDVPGVQWDDVVYTPEHGLKLRVYRPAAAADDDNAEKLPVIVYFHSGGFCLGTFQQPNFHAGCLRLASELPAVVLAADYRLGPEHRLPSAIDDGAAALSWLAGAGAHPWLAESADFTKVFVAGESSGANMAHHVAVRRGSGQLAVHPPLRVSGYVLVTPFFGGVERAATEAEPPAGGWFTLEASDKMWRLSLPAGATRDHPAANPFGPDSPGLEPVAFPPVLVVSARRDILHDRVLRYAARMKEMGKAVELDVLEEAQHAFFSREPWGEAANELIRAVKLFKPSIDDHQPSPHVVEDFLGGVIQLLSDGSVVRADDSVLAPKETFPGVPGVQWRDVVYDATRGLKVRVYRPPPAAGEGGGNNKLPVLVYFHGGGYCIGSYKQVGISDKFVQRVAAELPALVLSVQYRLAPEHRLPAAIDDGATFLSWLSGQAALGAGADPWLAESADFSRTFVSGESAGANLAHHVVVQASSGQIVPGPVHIAGYVLLSAFFGGEARTATESEAPAGVSLTVELSDQLWRMALPVGATRDHPLVNPFGPDSPTLEPLALPPVLVVAPEIDVLRDHVLRYATRLKEMGKVVELAEFKGQQHGFSVLRWGEANEELIRILKRFVFFGVVQLFSDGSVVRGDESILMPAQTVPDVPGVQWRDVVYDATHDLKVRVYRPSVAAGEGGKLPVLVYFHGGGYCLASYNQPGISDMFRQRVAADLPAVVLSVQYRLAPEHRLPAAIEDGATFLSWLSEQAVLGAGAGADPWLAESADFSWTFVSGVSAGANLAHHVVVQIASGQIALGPVRLAGYVLFSAFFGSVERMATESQPPAGVSLTVEMSDQLWRMALPVGATRDHPLTNPFGPDSPALEPLALPPVLVVSPELDVLRGHVLSYATSLKEMGKAVQLADFKGEQHGFSVRRWGEANEDLYTEQNELVALTCSVDRRSPTMSGNTAPHVVEDFFGIVRLFSDGSVVRGDESVLMPEQTVPAVPGVQWKDIVYDTMRGLKARVYRPAGEAGGKLPVLVYFHGGGYCVGSYNKPGLCDMFLQRVAADLHAVVLSVQYRLAPEYRLPAAIEDGATFLSWLSGQAALGAGADPWLAQSADFARTFVAGGSAGGNLAHHVVVQALSGQIALGPDRIAGYVLLSPFFGSVERTATESEPPAGVFLTVEMLDQLWRMALPAGATRDHPFANPFNPDSPALQRLALPPVLVVSAELDVLHGHVLSYATRLKEMGKAVELVEFKGEKHDLENTFSNERTATESEPLADESMTVEIYDQLWRMALPAGATTDHPLANPFVPDSPALEILMLPPVLVVATKPEVVLGHMLHYTTRLKQMGTAVELATAMTLDKSAPAGGRNSERTPCWFRR >Et_4B_038586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3025708:3028873:-1 gene:Et_4B_038586 transcript:Et_4B_038586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAHGMPATSFFPLIGLSKYITIFLIFLSWILVHRWSLRKQKGPRSWPIIGATVEQLRNYHRMHDWLVEYLSKHRTVTVDMPFTSYTYIADPVNVEHVLKTNFTNYPKGDVYRSYMHVLLGDGIFNADGELWRKQRKTASFEFASKNLRDFSAIVFREYSLKLSSILSQASKAGKVVDMQELFMRMTLDSICKVGFGVEIGTLSPDLPENSFAQAFDATNIIVTLRFIDPLWRLKRFLHVGSEALLEQSIKLVDEFTYSVIRRRKAEIVEARASGKQEKIKHDILSRFIELGEADGDDSGDGSFGDDDKSLRDVVLNFVIAGRDTTATTLSWFTYMVMANPEVAEKLRRELRAFEAERAREEGVALIPCGDEDDEETFAARVAQFANLLSYDSLGKLVYLHACVTETLRLYPAVPQLLIRLAKSNQRTAACCVHADQIHALITSANEQLTMLQDPKGIVEDDVLPDGTKVRAGGMVTYVPYSMGRMEYNWGPDAARFRPERWINEDGAFRNASPFKFTAFQAGPRICLGKDSAYLQMKMALAILCRFYRFQLLEGHPVEYRMMTILSMAHGLKVRVSRATLKQSMHIPSKQRAERRRWARGVI >Et_7A_050996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13456207:13466817:1 gene:Et_7A_050996 transcript:Et_7A_050996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDVDEDELLQMALKEQAARDLSHQRPPAANKPVVNLVRPPAPNSRGGNARGGGAPAKARQPSRGGDEDDDSEVELLSISSGDEDNGPRERGPPPPRGGAAGRPGARRAASRDDGDMDDEEPRSWKRVDEAELARRVRGMREARAAPTVEALDQKAAAAAARKALTSVQTLPKGVEVLDPLGLGVMDNKSLRLITDSSVSSPISREKSQGLDPSLRDKVIYSSPSFDPKVFLSWVHKDTSAADLEAGALTLKTDLKGRTQQKKQLVKENFDCFVSCKTTIDDIESKLRQIEEDPEGAGTSHLYAVTQRISGVANRAFEPLFERQAQTEKIRSVQGMLQRFRTLFNLPSAIRGNIKKGEYDLAVREYQKAKSIVLPSHVGILKRVLEEVEKVMQEFRGMLYKSMEDPHLDLAELENIVRLLLELEPETDPVWHYLSIQNSRIHGLFEKCTLDHEARMEVLQNKIQEKLLSDSKWRQLQQDSNKSLEVDAPVDYSTQVDQSSTNFMAEEADSLRATYIRRLTAVLIQHVPAFWRLALSVFSGKFAKAAAGNVVSDADMNVKSGAAKTDDKGGEAKYTNHTIDEVASMVQATVSAFDTKVQNTFRDFEECNILRPYMGDMIKEIAKACQTLEGKDSSPTAVKMLRALHFEMTKRYILRLCSWMRATTKEISNDETWITLSTLERNKSPYAISCMPLEFRDIIISAMDRIDTMILNLRSETAKSFDISQPLQEINESVRLAFLNSFRDFAGYLERFGTELAQNRSNKENSYVQNGFVNGTSREASATTDGDLHTKLLVVLSNIGYCKAELSDELYTKYRHIWSLVRDNDERSSDMWDLVMSFSTLEEKVVEQYTFAKSNLVRSAVQSYLLDSSIYWGAAPAVKSIRDATLDLLHILVAVHAEVYAGARPLLEKTMKILVEALVDIFLSVFHENKTKDIRLLDANGFCQLMLELEYFETVLHTYFSPEAQLALKSLQENLLEKACETMAEALENPGHHRRPTRGSEDAASDGQVSSVSPDDLLALAQQYSSDLLQGELERTRLNIACFMESTLQSTAAQAGSKLAAYTSYQAPAVHHAPVQVSSPSFRRQQTGSSSPVVSRRRR >Et_10A_001186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2207885:2216988:1 gene:Et_10A_001186 transcript:Et_10A_001186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTASQTAAVQPLLPDEILEDIFLLLDSGADLARASATCRSFRRVATGRRFLRRFSSLHPPPIVGFVHHVSKRGLHPVDPPHQSAPAARAIAQAGDFTFSFLPSDPNPNRSWIVRDVRDGRFLLARKGTVDTAFLELVVCDPLYRRYVQIPSIPGDLVPRMPSEDGMKSEPFLAPASDEEETEEDQHFRVIYNLVSNYKIVSFVFSAATGKWCGATCFSLLPARLIERPKILVRYYARNCFYWMHPFESYMLMLNPREMKFSLLLRDRNPRMEGLAVMDAVEGRLGLLVVGGSRLDIYSRTCQSNGVGAEEWRHDKCLPLPEPDYGYSIIGAAEGYILLSGTAPATTGRTILSSLVRKARFAVNLKTLLVERLSMLNNDTISCAHPYARFPPPLSLPKPFKGTGHNQFATAG >Et_1A_007190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31918459:31930752:1 gene:Et_1A_007190 transcript:Et_1A_007190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSSGSEGKALVQYHDRIVDADASRYKFKILLPNGLSIKLALLNPAEEMPVRELLLLVKKELSNARISGAGPAGRPREINWGDRIYLTDLLDRKIKNKIKFSNYDTKSSNILRLHDGMVETVSTFENMWDLTPQTDLLQELPAEYSTESALVDLIDNALQALWSNDEKERKLIRITVDKEKIVIFDTGRGMDGSDGNSISKWGTMGSSNHRAFRDKGIGGRAPYLLPFFGLFGYGGTIASMHLGRMAIVSSKTNESRKVFTLHLSREALLKKPLSNNSKTSWKFCHLACCIYKVAQISNINCRLLEASGIQLKRRSCCHLIKALPRTFLYIMSSLLLHMKSMVNGLNLAEIQEGEVTITNLHSSNGPGFILQLKLSQTTSASCQAHARLKCVYFPIIKGKESINVILEKLRNDGYETKEDFDTFSRVSALSTNLNYRIGWPVRCLSVKSVDTHGNRIPFLGTSSVIITILHGDDVIAHVDGANVKLSSDSLTLNIMEFLVKTSKLDVLRPVYEAQLKITSYENEFSAICPCKVKPGHPSTIKMDLSLCSEESLIPGRVIDNALLEVLHYDPAYTSPTTQLSIVFDRCGNHVEEGIELNVHVDGFSFLDKLGPVRKVNGEGFINLCGALTVVGSFGSQACMTISHNEKKIFSNIFQIAMRELKAVDFPECCSAGSVLENIVFVVSDSDGLVDESIDGPLHTLKIISDGLPSLEGAQYAIEHGKCVVSHVQLPNKPGTVTILACHTHYPDIQTTIQLQLSSGDLALMSLTDEHEPTLSYPISFVANSDLFPPSPPSPIVTYVQGVVEKTSNEIQDTCSKIGSTEKALETLYSRKKSLEEELSNLKDEIGSKVGSFVDAKELIHHKIMETMGTAAYVLCSSERFMHDVIGIVALLGTVADNNIGRRLATYLGKDDMLAVVCKTLDAANCIEKYKSNGTVDLGFGIHHEAASLGAPIKRRFPIICLDAIKPYKGGLLWNSPQKELALAWPFPDSKPPNGYKGFAVNMINLSVEDLSITTRGYGLRETLFYSLFGELQVYETRNDMLQAIPYLKGGAISLDGGVIKGKGKLLLGYGEPKITFPVVTPSPDTLDVSDAPQDMVNTVRQIDAKKKLLAEIGKTITKKEKDRQKLLEKHEKKKRKFDQMSDVIQPCSALLDQCTPVQAPEKWTLVQTPQKTLFYELMYVGIKLTREWSPLPFTSEPSNVKKGGPPNERSYQLFYMEHEVHAHSPKSRGLDITWR >Et_7A_052418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7793881:7796198:-1 gene:Et_7A_052418 transcript:Et_7A_052418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWECYGSKDDEVLKHLDLIVVDEKLLIGKPVHVLEKKYDRFEIPQEVQNLVGQKFTFIVRLSTKRSIENPLPSFEVMRTKQQHGRQSDPPSSHKPDENLSVITGSTMKTNRKPLIPIRSNEIPKQDTPRSCIQDDTELDLMDIENQ >Et_1B_009787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26856983:26857663:1 gene:Et_1B_009787 transcript:Et_1B_009787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LGVEHRDEAGAERVAVVQEPRRDVVPLIPPVALPRLHPVPRHVPGLEPHVVGDSLEDPRVRAVVLQSPLPHVCRLVVQNAGHLVVAGH >Et_10B_003353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19068664:19071024:1 gene:Et_10B_003353 transcript:Et_10B_003353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALFPPSLPALAIPCFPRSPLHPLLRSCETFQGFWLLLLSVFSSQLIVLICFIDPVTTYQASLCLSAELRRENMAFDASNLVLHVKRIACSSTRLCYQYACDYPVVLGAGIFLLFLHKLCPSLFMFLVSFSPVCLLTALLLGALLTYGEPCAPVIGEETLENRQTSSRQSKTSTDCSTKEIEHASVVAYAEKSFESQVVCIEERTFDSIVHNTHYDEEKVTSVSIDTVVCAEESSKFAKSNAIVESGVRTKKISKNVELQEFESTNTESYHNDVNNKYQLGELMSSCWQPVMRQDPCSDSESDFSGSSSDASMTDIIPMLDELNPPVNMGTSHPFSTVRGSQDSSSDEEDESEDDGDLDGNDSNYVNDVESSGTENGNNLESLAQRRRAKNILKFDLDKKLMDLEAADAIQKMEEASRFRVQVPSISTPRPKPFVLSNGSEEIVELQHIPDSAPSVLLPWRKPFDIPFDQIVDSERKLLKTWTPRSYFPSTRHRKNGNLHLRKSTYLQQHNGITAEKSEHIGKDACDSTSDSDPEHAENNGKLFGSLEAHIGEETKILSAAISDVCVLEANYEVDEGIKNTNYSDDTYSFCLQEFASGTSEKEDLVPAGKIVHFYIMKRDNDVLATILKANCEIIIVF >Et_1A_009382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4437556:4439072:-1 gene:Et_1A_009382 transcript:Et_1A_009382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGGKRKGAKVMQLDGTSFRVKPPASAADVLRDHPGFQLLESEEVKLLGARARPLAPDAPLRRGRLYFLVALPRRPAAGAAGNMRRAWSGNLRVGARERLESLKLSRRSTSDLSSLTPAHASASAPTSPLPGGGGISSGAATPVRLKMKLPRAQVEKLMGESKDAAEAAAKIMELCTAMGNASAAVTPERPPGILRSPRYSKTPEWGAGFMLPPPAPAKTPQRWPTLPRTKEKKARFVELPDELIA >Et_7A_051233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15932899:15934124:1 gene:Et_7A_051233 transcript:Et_7A_051233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIVHHEIRGGVKSYGKMMRARWGRTRKISSAMIGHYRVPVTPLRNPRTGISYHIVRQTDNMKPKYRVDLLVRDTDLYIVAFRRQRMDRKGNWTGQTWYRYSDEDLPEEIKDSKVLDFSSSHGDSAKTSPGGINVLADIFDTLSTFEDCRRHGNRKLVNPLDADRVEKALLRCIVIYSEAMRFRSIYLTVLARIRDGADSSELDDSLWCLIRLWGHCSQAVLMLWKEERLLMHAEAPPVFRGVKVVRPGLGETELRTVDDVLSRSGELMVINVDELLIQDPEKLENMEKEMVKKGAREIPEPGFGCSE >Et_10B_003898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7367706:7368741:1 gene:Et_10B_003898 transcript:Et_10B_003898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWTDITQLSDSDATDCVQYLLKVDGYSRCNAKKSKYYSAWKRKVDGYDWEIRFYDHRSEITLELLFLSQPRANKVTASLGVRLVDPSGTLKPSAEKIGQCTSFRRPSDSSGLIVVMDRSSACLLGYIKDESHMTLECALTVFRDQEAIPLPSSNLHQHLGDLLETKAGVDVTFTVSGESFDAHKIVLATRSPVFMAIFFGEMEEKTSTCVEIKEIEATVFNRPAPRWSLSFSRLRIKGSTSE >Et_8A_056685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1579523:1584248:-1 gene:Et_8A_056685 transcript:Et_8A_056685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGAAASEDAGPAPSAAKESRGGAVTKRDEGGGGGNRWQKRKRKEVFIYGNYRNYYGYRIDRNVGEDPRLKAFKKEWFEGKDCLDIGCNQGVVTIGLATKFACRSVLGVDIDKGLIETAKWNLRRISRLDKVDSENDKAQESSKSPCQSSQEELASDTSNGNTCNLKHQNIFEIVSFRCENFVESLDRCSERLSVTKWIHLNWGDDGLITLFVKIWRLLRPGGLFIMEPQPWTSYKRNRQVSEVAKENFELICIYPEKFREILLDKVGFRSVEVIVDKLAGTVTGFDRPIEELS >Et_3A_023626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1081036:1086961:1 gene:Et_3A_023626 transcript:Et_3A_023626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDKEQEQRQRLLAVASLFPLPSGTRFSYGTAGFRADGATMAPAVCRAGIIAALRSATFGGADTGLVITASHNPVRDNGVKIADPDGGMMDQRWEPFADALANAADPDTLLQMVFQFAKEEGIPLGGGGQHTAQVLLGRDTRPTGEFLLDAALQGINAIVSARAVDMGILTTPQLHWMVRSKNKGIKASESDYFTQIVDSFRRLLDLAPKDKNGDELAKKLIVDGANGIGGVKLEQIKTELSGLDIVVRNSGKEGEGILNHMCGADFVQKERVTPHGFSPEDVGVRCASLDGDADRLVYFRMSSPSDNRVDLVDGDKILSLFALFIGEQLDIINKNDGQANKSLPARLGVVQTAYANGASTKFLKSLGLDVALTPTGVKYLHKKALEYDIGIYFEANGHGTVLFSEDFISQLESLSGKLCSQAADAQYQAAMRLLAASQLINQAVGDALSGLLLVEAILQYKGWSFQNWCDLYSDLPSRQLKVKVVDRTAIVTTDAETKVSQPSNLQELIDMETANYTHGRCFVRPSGTEDVVRVYAEASTQEAADSLAKSVAHHVEHEQSKVPRKLAFCTNKKKTWINFIE >Et_9A_060979.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:23814462:23815318:1 gene:Et_9A_060979 transcript:Et_9A_060979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGPSRTSAAAAPEEKNEPARPLAVPSPTVHPAPPNDAEPEAETAARWRSSQYLRKRRRLLCCGGCCVSTVVVVGVLILVLALTVFKVKEPRMNINRVWLTAVSAAPGSGITSPVAVNATLDADISIKNPNAAAFRFGASETDVSYKGQTISVAYVPAGSVGAHRTTRLNVTLDLLGDRLARAANATGLVLGQEYDIDTYTAINGTVKVLGIVKKHVEIKLNCSVIIEVEGVAGALQSGAASIVESKGVNCVAYVKL >Et_7B_055739.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3135053:3135718:-1 gene:Et_7B_055739 transcript:Et_7B_055739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATTTTSATRPTTGYFVGRIRPVQAERPHLTRCTKLLCSAFLTVLFVVGVVLFVVYLAVRPHRPRFHVTALTAAGVASGGPVVLSGQLAVRNPNHDVAFLFDRLYMSVVYHNAEVVKEVDLTGEPTYLAPKTTTAVPFQGVAVEGGAGAGEGMARDAAAAAGGGKVAMTVKVRSRIRARLAFWGNRHWHPLHVSCDVAVGPDGQLLPEYPQKRCSIDFF >Et_2B_021896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6637711:6639242:-1 gene:Et_2B_021896 transcript:Et_2B_021896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQSLHMNHGEGEASYARNSTFQGAEQNRMRPIIEEAVTGLFKSTKSLSSVAIADLGCSSGPNALALVSGAVDVIFHHYARQEQVPPELCVFLNDLPDNDFNNVAKRLAEFQESGRHIGLVVAGIVPGSFYNRLFPRSSLHLIFASNSVHWLSEAPEDLRNNGIPMYDGDDDLRQARRPLVLEAYARQFKKDFKVFLNLRAQEMVPGGQMVISLPGRRADNNTPLWNPMAFILNDMAIRGVINREKLNSFYIPLHGPSDEELMEIIKEESSFKMNKMLVHEITSGMDNGSITPRMVGLTLRAVYEPIMVQHFGKSNQVLEELVRTTERNVIMGSPEVTASSGFVFICVSLTKI >Et_6B_049477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5391726:5398199:1 gene:Et_6B_049477 transcript:Et_6B_049477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYVAPVITELTDTGMYVVSKAALDQGMNAFVFSFYRQAAASLLLVPIALVFQRKTVSSLSPGLLLKMFFCALIGVTGSMVLCNVSMTYTSPTVATAANNAVPVFTFCLALLFKMEVLKLRSSSGIAKLAGVALSLTGVFIIAFYAGPELSPVDGHHAFGHTSAATNSPNLLLVGGLYSVLWGKSKEPTVTSSRVDASASDNIQDEKSRRHKAEEEQVTSAFEAEQEKCTIFVAQIALEAVCLCFNRGTFLMVLASMAWSLWMVMQAGVLKEYPNKMLVTMTQCVFSMVQCFLVAVVAERDFSMWKLQLDVGLLAVIYGGFVVAGLAYYLQAWCVEMKGPVFMAMWTPLLFIFTLFCSSFFLGEIVHLGSIIGGVFLVGGLYSVLWGKSKECTNTFHCGTETNPWSHVMSREDIEVCAVAVAHRAGAAAALGTLGMPSVAREGGDGPVPFESV >Et_9B_065623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8242229:8243885:1 gene:Et_9B_065623 transcript:Et_9B_065623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVCVNLDREDGLETVLEVPVPELHSEGSGRRRAARRRTVKAWVRSHMEQRHRRDGAPPSRADVQLMLGVIGAPLVPQPVEARKAMAGQDIKEEPLEVSKAKYIVEQYVAAAGGEAALSAAESMYAVGKVRMRTTKGGQMKANTGMGVVGGGEVAGGFVVWQKRQPEQQWCVEMVVAGGTKMSAGSDGKVAWRQTPWQQAHASRGPPRPLRRCVQGLDPKSTADLFATASWVGEKCVDGDDCFVLRVDADPAALRARSGADVEVVRHAVWGYFSQRTGLLVRLEDSHLLRIRVKGDGAVAETAYWETSMESSIADYRAVDGVNVAHAGRTTVSLSRFGAANAEDDDDAGAINSDDVARGKRAACTCMEETWSIEEVDFNIVGLSKECFLPPRDMVPCDTSKPAPAPKKKDAAAVDQAKSGKNGDGVARPAAAAAAKKALVIPAGTGLGWFGPAKVVAVETVDAAADE >Et_8B_058779.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3844098:3844661:-1 gene:Et_8B_058779 transcript:Et_8B_058779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASPTTTSSSSCPGWRYGSRCRGRKPPGFFRSVPGFEKLADDVEQAVADADGVVVNSFVEMEPEYVAAYAEARRGMKVWTVGPVSLSHQNQSASASGGDAAAVGADECLRWLDGKAPDSVVYVSGQLRERRASGAEAGRGARARAGSLGPPVHLGGHERQRPARRRRVPGGGGRRRCCSCRTRPWAAS >Et_1B_012129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29247592:29248371:1 gene:Et_1B_012129 transcript:Et_1B_012129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGSLATDEGANYGVDINTGGIADSFANFVWEPAVVKINAINAATEASCLILGVDETVKNPKSESAQGEAAASAMCGRGGGAMRGHGGRGMRRR >Et_5A_041147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18799025:18801290:1 gene:Et_5A_041147 transcript:Et_5A_041147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRFFFQLVITYHLAPFVGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTDIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLSETDSKPEERTISINKPEGGAEATTSQRSACCGSTIYL >Et_2A_017565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3654837:3656348:-1 gene:Et_2A_017565 transcript:Et_2A_017565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVRGGAGGTRGSEAGALPLPPPPRLAALSSSTPSAAAAAASIRAHLARTGSGVDCQASPRSLLSRILLRGGDGGSGGGGFGCRVRLPRRYGKEERKDGSGEQAGDTPRVKVVERPPPELPPIETPRSSLGRKKPEEELVSMNLGLGASLVLLLSKSAVELNKMVELRAQMEALVSEIRHASAQRKDKETHSAASTSQESNGSSAATTTAVKDPIAFPATDVDAASNCSRTTDTAHSGPGGVGRAGAVINQMEAELQVELNRLQRGTAHGDMRAAPMQGLERRQQERIVELESALDSAQRRLHEKEREVVWWRDAAKLVSHRRDESRRILR >Et_1B_012873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3762451:3765713:1 gene:Et_1B_012873 transcript:Et_1B_012873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPRREPLKQRVNRCLLKLSDRDTEAMAAAELEAIARALDADELPAFVSAVSDARPTDKTPLRRHSLRALALVAASHPRDAVGPLVPRILAAALRRVRDQDSSVRAALVDTARAAAAASASASAALRPLTDALLHEQDQCAQLAAALATAAAVEASALTADLVSYLHKLQPRLLKLLRSNAFKAKPALIALIGSSASMGGAAGVTASIPCLRDAIASDDWAARKAAAEALAALALEHTDLLVTYKSSCITLFEARRFDKVKVVRESMNRMIEVWKEIPDAEEDECSSAAPAASQSQRRSSFTGSASDGRYPAASLGSNSAPAATRRRRSLPLDVSPSVTKTNSPSSIRNKKLSPPSHRKVVRAKKCDSKIDSTVAPDATPIKMVTEEKLVKGGNVRERLEARRTLFHGSEVAGLKTGSRVVPYEGGGDLEEISEVEGGSERFQSVHKDESLSEIRSQLLQIENQQSSLLDLLQKFMGKSENGMNSLETRVHGLEMALDEISRDLAFSSGRMSNREPNVNTCCILSPKFWRRRDGGRHSSRFSVSDAANSSEESRTSYKWERQKFGLHGGFVTTNPLAEPNISSVAKTVVTPEGRRKDTALQKSRMG >Et_5A_040791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12647863:12652254:-1 gene:Et_5A_040791 transcript:Et_5A_040791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKPKQLLLQSKTKKGPTRISYSTIITWNLIVILVVLSLYATYKHLHQRSAEDIEVDLHRAEHAGRSEDPQTTSRPGYAVMNTPKGPITIEIYKDASADVVDRFINFKSNHFKGMPFRHVIKNFVIHGGDFDFDGAAQEWILKAKANGKNDLSPKHEAFMIGTAKNPNNKGFDLFITTAPIHDLNDKLVVFGRVMKGEDIVQEIEEVDTDEHYQPKTAVGIIDIMLKQEP >Et_9A_061868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17319727:17320533:1 gene:Et_9A_061868 transcript:Et_9A_061868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFAGPRSRPWVGMAGADTPSQPDSRDDATTASSMKGSGADASTNASAISCGFAATAVLVSLFLLMAIFEHLIKPGLAASSSATHHGEDDGNNGEGSGDGRGLPPARRNHHDGSPDKLRHPPKMEEPVTAAADLQVLMPGQRYPTFLAQPAPLPPCPREGVWWPTHHEQQRHSFVPP >Et_4B_038351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28371789:28373169:-1 gene:Et_4B_038351 transcript:Et_4B_038351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGLLQPRERERRPGGWVALELNPLKTAGKDGTLRLFRLRSLIKLGTPASSGIPLGLERGGRSAPDAGVHRAGSAPAGYDHQMKAGASWLCINNSSVFRPAMCFLMLDDTAFVQFPQRFDDVDHYGPVLQPQPRLLRRHPAHGVGRRRGCTTSRWRTWSPERGAGGPGNASLTPIAKPNRAPPTPLTPSPPSSPTSPRGAAADATAVESLSCAESSPSSAGHRRFGVAAAARQRVAARRCGEAATAPSWPRAAVRRWAGSAAGASWPDELAWQRRGDGGGECGRRGEAARRRRSPEEVAGGDGEGAAGGDDAGRQRGWWFGRRGRRSARGRRRLSARARERGGLPTISERPGRQRRVPGGPCTAQWSPIDALDLQHGADQPHGFCSARSSGYTAGRRLHTRCSAGVSYQRNHLPGRSS >Et_10B_004317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3725949:3727254:-1 gene:Et_10B_004317 transcript:Et_10B_004317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAKAALRPNLFIRLIGAEGLDPHASSFHLAIDVDKVSRYYRACGGGGNSLLRISYHDMILAWGHMPPFCIDGRWSRDGVHTMDAKADGAVLTEEVLSMLIRLFMILVSSRNVDTD >Et_10A_000965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19702260:19705365:1 gene:Et_10A_000965 transcript:Et_10A_000965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSRAAATGVAFDPFDVYADPPPRPELTPEQVELCRDALAHFEARRKQKGALSDEFASLWGMGEQSPNISVAHYPANRWKNRYINILPFDDTRVRLNPSTTSETSNNDYINASFIKATQDNRVAMFIATQGPLIQTFEDFWQMVCENQCPAIIMVTQFDSLKCDEYLPLRNGQGMYGKYNVKIRNTRQDNHELLLRDVEVQCTESGKAHSVLHIEYPDWPDHGVPTNTVAVRQIWKRVHHIPREHPIVTHCSAGIGRTGTYITIHTTIERILLGDKSSYKLVETVKNFRSQRTGMVQTEVRSAFSCLANII >Et_10A_000828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17960149:17967094:-1 gene:Et_10A_000828 transcript:Et_10A_000828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALLPPTPMEDDGAATPAPASPAATPTPTPTPAPAPAPPPPRRRPPVRVTSEFDSERRLFSHRFSCRVLDGLAKLRLRVSHGAGGGGVALTPDVALISRNFSVVVDTASRGAVLRGAADLAGSLRLRASHNTKEQQGEVAVTANLGDSPCKIELSSLVPPDGPPRATFLFPNGEVSVKEKKLDGGDRILSLSGLAKSHVLNGVCTAVYSDNVMNLKYRYKDEEISFIPSISLPSNSLSFAFKRQLTPSDKLSYWYHFATNYWGAVYKHKADKHLKWKAGYESDNRLGWASLWVGDASGSTKEVPFKAKVKLTLKVPQDNIQNSVVLFHLALGGGEGMEKKQYFLMIHILLIPA >Et_9A_062051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19201182:19205366:-1 gene:Et_9A_062051 transcript:Et_9A_062051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSSSDRARRPFLLSLSLFLLLSAILVLLFIFLDPSPGTLTFLPSRLSGSAPTLAPIVPQQQSTATILTTGTPPRAQPAAPVAKAEENTAAPQPDATADVESSKNRDPPRADTNGGAADGTSGAGVAAAGDDEEEEAPVQVRWETCRVGRGVSAADYIPCLDNIRAIKALRSRRHMEHRERHCPVAPRPRCLVPLPAGYRTPVPWPRSRDMIWYNNVPHPKLVEYKKDQNWVTRSDDYLVFPGGGTQFKDGVGRYIQFIEQIMPNIRWGTHTRTVLDVGCGVASFGGYLLDRNVITMSVAPKDEHEAQIQFALERGIPALLAVIATQKLPFPDNAFDVVHCARVLRPGGYFVWSATPVYRQEKRDQDDWNAMVALTKSICWRTVVKSQVVNGIAVVIYQKPISNSCYSERKVSDPPLCSERDGSRFPWYVPLDSCLLASAVSSSDERNNWPLPWPERLNVRYASVPDDSASNKEKFDADTKYWKQVVSEVYFNDLPLNWSSIRNVMDMNAGFGGFAAELIDRPLWVMNVVPTGEPDTLPVILNRGLIGVYHDWCESFNTYPRTYDLLHMSNLVGRLTTRCDIIEVAAEIDRMLRPGRWFVLKDTMAMIKKMRPVLKSLHYETVIVNRQYLVATKSFWRPGRSASRSG >Et_4A_035171.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:10475682:10475987:-1 gene:Et_4A_035171 transcript:Et_4A_035171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDSSPVMTDSERRAYRYGQAATRLSGMRKSWSNDSLSSYGGRATCVCAPTTHPGSFRCKHHRHNHASNLAPHTEAPTAADDAGEKQHETAPQGALQEN >Et_2A_016686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27190422:27197866:1 gene:Et_2A_016686 transcript:Et_2A_016686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMEGKGDASATPVRTSDRLRQRPKYYARGYMFYKPAMRKKVKSKKRTAASQIAKKLLRKPAARPPPSDSIAANLRRSTRKRRISVNLEGYDTDSSSMEDDDLMRPRYRTSKSKGENNEVSGRPKRQKLSNSIPRREGLRPRRSLRGQRLHPYQESEDEQEQESSEEQGEEDQIENGNDMEEDVGDEEEVDGGDEAEADGDDEDGEEEQEGRRRYDLRDRSEVRRASPRKEGKHRTQSPRRVLVHGIGPKNSKYLKKGGSRMHKRSRFSLPDDSDDSLLVDEPDEGPSMPWMRSGRGGMPWMLGGLDMHSPAAWGLNVGASGWGHQGDSSSLVPGVQTAGPSSKGGADIQPLQVDESVSFNDIGGLSEYIDSLKEMVFFPLLYPDFFANYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQKNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFYFPLPGYEARAEILDIHTRKWRDPPPKELKMELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVRVEKYHFLEAMSTITPAAHRGSIVHSRPLSSVIAPCLKRHLEKIMEWISEIFPFLSSIDVSKFSALSYGTSIPLVYRPRLLICGGESVGLDHVGPAVLHELEKFSVHSLGLPSLLSDPSAKTPEEALVHIFGEAKRTTPSILYLPQFHLWWDTAHEQLRAVLLTLLNELPSNLPVLLLGTSSVAFTDLEEECASIFSSRNTYQVDQPSYDDRLRYFNLLFESLLSLQMEESRSKSKKQKSAMDLPKVPKEVEGPKISELKAKAEAEQHAVRRMRMCLRDICNRILYNKRFSVFHFPVSEEEVPDYRSVVQSPMDMATVLQKVDSGQYLTRAAFMKDIDLIVSNAKTYNGEDYNGSRIVSRACELRDVVHGMLSQMDPSLLSFCDKIAEQGGPLQVMGDEGSSILQAAPVAQLVSGTRMSTRLRNVQPDVNLSSYEVLKRQKKSMNKDEAGRDEKSPEDIDLSKPTSPEAAPKEPESNGTLKENDNSPSEAPEIPVPPEPMETDNDVVAAATTGDDFLGKLDTIKLRFMELTAGYGVPQLERLYSRIMKGALELTSKESNEDHRQLVGFPETVSAEDTRLQTMEGMFSWEL >Et_10B_002672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6162748:6163122:-1 gene:Et_10B_002672 transcript:Et_10B_002672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_3B_029593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26229145:26234108:-1 gene:Et_3B_029593 transcript:Et_3B_029593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQEDTKPFSITTAGIQRGLHAASTWQATALPLGRGGARVVAQPHSQPACILNGASSDVPEIGGDRSCPGEGLESTCSAPRKPLLSILFVSGSVQNRMCVHPKFLHSNATSHKWPFGAIAELLDNAVDEIATGGATRIVVDKVIDHRNGSPALLVQDGNGFKTSTMRLGADVIVFSRCTKSGEPTQSIGLLSYTFLVETGQKDVVVPVVDYKCNLFTGQTKRFERHGSDHFSSNLSVLLKWSPFATEEELMHNFTDIGPHGTKIIVFNLWSNDNGNLELDFDTDPEDIMISGPPNPAEIKNSAKRANESHIANRLRYSLRLPEYFKIILRGQEVKRHSITADLMYPECVSYKPQVSGRSEVSVYTSIGFLNGAPIVSVHGFNIYHKNRLILPFHRVLSTASSKGRGVGGVLEADFIKPTHDKQDFEKSQLYQKLINRLKEMTKEYCNHRCPTIGGECTCSCYFGGSLEIPQRLRGCSSNLLCSPFCSLNTCRCKNKCGCTRSTHGVMQIDQAGSPSIALGTDLVEARKRKSDAAARASSFKRQATHDLAIGSCSMVSSDQAENLRREIERAREEYKNLLNERCKL >Et_3A_025983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34336874:34338147:-1 gene:Et_3A_025983 transcript:Et_3A_025983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSAPEQAKNAAEAEPRRGPPSTVRFVPEAAGNQARQPPPIKLEEEEGAPPPPAAEEDMAPRNLWQVYALGAFIVLRWAWAKWKENQDRKDSPDGDSPDASS >Et_10B_003842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6684207:6684575:-1 gene:Et_10B_003842 transcript:Et_10B_003842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDSTSICASLNPRSSARKICAPLITPTSAIDEERHGAQLSAYMCIRTVAHEDRGHGGEHGAEEGVLVAFGQRSRAIGLGAGGEEGRLSVPPVQRHGWAP >Et_2B_021595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3453287:3458267:1 gene:Et_2B_021595 transcript:Et_2B_021595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAALTGLRPAAVAAAAAARLPSAAASASFARVPARSTAWAGVSVASPRARRRAPGVAYATAATEKSIYDFTVKDIDGKDVPLSKFKGKVLLIVNVASQCGLTTSNYTELSHLYEKYKTQGFEILAFPCNQFGAQEPGSNPQIKQFACTRFKAEFPIFDKVDVNGPSTAPIYKFLKSSAGGFLGDLVKWNFEKFLVDKNGKVVERYPPTTSPFQIEENHLRKHSYELGIT >Et_10B_002512.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:12597158:12597286:-1 gene:Et_10B_002512 transcript:Et_10B_002512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPWETAVCIARNVCVLLDVWVSTCVLTADEAARLLRSVTE >Et_5B_044417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23977843:23982559:-1 gene:Et_5B_044417 transcript:Et_5B_044417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEPPGALSRRMAPTPADPSNAGALPVDALYEVLLRLPAKELCRLRTICKTWRVLLSEPQFAVAHAARCPDPLLIASYKDNTDHVSLVCIMDMSGHILKRAGGKEGDVVMSMSFDLVYVKTIDSGSIRLLNPATRAVDHLPHKLAEEHRTRGFKLDDYGGYKVLRMLPYRRRDNRKDLFEICTINCGSCVQWRGRQGPPKAFVWNEWTRLVIGGVVYFLTVDAYFAVLNSRGTEQSWIVSFDLEAEEWRPSIKGPSSLVEDERLNGYRGSSSKQLTLANLNSFLVIVHGPTPYMGLWFLMDSDKGLWIKNLSVQVERYEHILPIHPLALLDDGKIVMRCMDMLQIHDPRSNTFSNLMKLSPFSGISIYTGNLLSITDKKNHRHRGVDPNNSSTDPPRRSRSSTPRRHSILPGLDVSQLLVLNSGAGIHHDPLPPSLPPSLRPGLDRRVRAAASNICGLPVDAMYDILLRLSAKELCRLRAVCRQWRSLLSNPEFAAAHAARYPEPLIIVGYSKEKDDASDWTKPSRYESRINIMDLSGHIVKQLRMDGHITTMSLNLTCIKKTGDGSCRLVNPATGAVHHVPSEDPVYGYSDRLYLFGKVVASTGTAREYKVLRKVSYSLHGNPCFLFEIYTICSTATSIVHLQWRTIEDPPHSICWYEKASVVIHGVVCFLSKSAYSRSRGSSGKQDCIVPFDLETERWRPSIRGPQSLTINESSNNRLVQEDLSLTNLNGSLVIVDLRPPCMDLWYLLDFDKGLWVKQYSIQIEDYDCFLLQSFCPLIVLDDGRIVIHVQGSEMLEIYDPRTNKLSTVAETGESCAVSVYAGTLLSLEW >Et_1A_005388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1076428:1080622:1 gene:Et_1A_005388 transcript:Et_1A_005388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTPSPRPGPPPTPQGAVATPLRTPAAKHRLHFPATTPKNTHHSGGPGGAATEHPVEVIGRIRNLSAGAAGASALEVAAGGTAVRVRGDAGGCRDFTLDGVSVSDEEDLEGFYRRFVRSRIEGVRVGAKCTVMVYGPTGSGKSHTMFGCPKQPGIVYRALRDILEGGGGGGGEDAGAGEDDAGFGVGLFVQVAVLEIYNEEIYDLLVGNGANAKGNAPKVKLEVMGKKAKNATYLCGNEAAKISREVAKVEKRRTVKSTLCNERSSRSHCMIILDVPSVGGRLMLVDMAGSENIEAAGQTGFEAKMQTAKINQGNTALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKERHKTVSTLEYGAKAKCIIRAAHVATPRDKMSSEESSTTLNSRIVAMNQFIYKLQKENKQREKECNEAQNLLRLKEEELAQLRAKIKLIDVQGAAAKEETQTLRSELVKMEDAMIRQQQELAALKQRLQEVEREKDARQPAQQDLVGGRLLARLSEMPAGVDQSMSLVMSMDLDVGDQAAMQDVKVIKEDTRQQAQVWNHTMTQSYAGAVNQDDDVRLSGYPEKVVLSTVFEEGDEDDGDKDSGLEEEVCKEVVEETFKFGITQHALAEPEDPAARQHRIQNIFRLCGNHRELAKKPRVQSPAKQAFGDENKSPAKQTFGDEKKEMAKQAFGNENKEPSAWGVIETPMCDVKVTDSPVSSQLSPIVCQVVDEPLSEQLKFEESDQNKENSSAVQKEQEGLLEVYIKWESGNLIKGLKLLKNAHLSDLRKLIEAHFEEAGSKQQHQFTFLLLGDPSGAPVSREKEASVQISKLPHWNNQSNSYLACLRAAKKPAVDQMPFSSLDSKLNSVVNDAHRAGVLSPKVNQMSSNYIRELRA >Et_4A_033615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25012515:25014046:1 gene:Et_4A_033615 transcript:Et_4A_033615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGAKSDYESLRDARISENLARMEMLGLLRCARELSDIAASGRAATGSARPRKTPRPRVVSMTPLRRSSRLTAAATPTGSVSTPASTRRRSARLNGDSVPVQHMALPSKGSLSKLASAAAAMENEMEDDEEEEKATVVVDKKRVEALQERRCDSRGRGAVYDPVLGICCHFCRQKKLCGEEDCKRCGEGDLKQPCLGKTECSSCHSSNGILCRACLKVRYGEEMEEVRKNKNWMCPHCIEEKGIRKFWICNSSFCLKKRKIAPTGIAIYDGNSGSVLFNIHARIASSHSPEFFLLSQLYFYHKGLF >Et_3B_028104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10222267:10227372:1 gene:Et_3B_028104 transcript:Et_3B_028104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAPAMAPVGVEEEGVRGQNNLVVSKQEDVSMGGIKDEGDLPIPCSEPAGSPEVAPEPVEDKGGDTTECSSSFGDTCSGFDDEAGGGEPEVNSQVFTPADGGWASRLPRRKKVTAEWRNAVSPIMWRCQWLELRMKELSSQVSKYDRELALIKKEKELQQIVSKANGSMPEAMQIGKDHRNGIMKRRYRKRREDVTDTSLYIKKHQILSYYNDKQNKGAETDGLLLDDFNSPVGGAIAGLDTAALLDAEEYDMIFEQVTLNDILSTIDGAQSQVHFLRDRLNKAHLKGDKLAVSEENTHVRVPRKRQSTLKFCDASFSTYQNTKPQKCRNTRPHQKRKNLNILLKDDDGPALAMKPVLPDRKTYCQIEDAKGNNGAKRGEWNRSQDRTITMDLLLGVDNSLPNGHMGDLCQENADDILINNQAANEGCPQFEKLEHLPSETSSKGQNTSTPVEVKKSLAPVKVDGISSPLKVDNTSTPIVNQEPLLEKTPMIKPVKKRGWVAKKENKGWGSASLSRNQNQGASTPDTKQKTEVAPPPAKEKTESIPSDATDLEIMTPLAAWKKRKTGTKSAATEEREAGNSSPASKKKKTGKPSSTTEKQETENSSAAAKKQTENSSAAAKKQTANSTTGVKKQKTENSSPQAANKQKTESTPLKPIVEKAVLVAVNSRRSQRVRKPKVY >Et_2A_018228.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:19025452:19026504:1 gene:Et_2A_018228 transcript:Et_2A_018228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAFHVLVAVAALAAVAAAAAGGSDPGKIGICHGRVGSNLPPPSAAAALLRQNGVTKARLFLPDPAVLRAFAAAGLDLTVGVPNENLTFLSSSGPEGALRWLRSAVLAHAPAGRVRYLAVGNEVLYNNQFYAPHLVPAMRNLHAALASLGLDGTVKVSSAHASSVLAASYPPSAGAFDAASLPVLRPLLRFLADTGAPFMVNAYPFISHVNDPANVPLAYALFGDGAAAAPVRDGALAYTNLFDATVDALVAALEKDGFGNVPVAVTETGWPTAGHPAATPQNAAAYNAKIVERAARGVGTPRRPGVPVETFLFDLYDEDGKPGAEFERHFGIFRADGSKAYDINFA >Et_3A_023907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14414300:14416762:-1 gene:Et_3A_023907 transcript:Et_3A_023907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATSCTVYRSRALIVRCEGGGHQQLRNSGNAVRVNGAAHRAPLQVGAALETSINRSLAELRSAPVLAPPPLPTRQNIPTEKQTVDPFRQALIEGGVRYQQTLVVRSYEVGPDKTATLETVLNLLQETALNHVWMSGLLGDGFGATHGMIKNNLIWVVSRMHVKVEQYPIWGEVLDIDTWVGSSGKNGMRRDWLIRGRNSVDILVTRRLSKMPEEVRGEISPWFIDRHAIEEEATEKIVKLNSNGEYVDSDLKPKRSDLDMNHHVNNVKYVRWMLETLPDHFLQQHQLSSIILEYRKECGSSDVVQSICEPDKDSILPEENVSMVTGPSLLPQIINAHHSLAGALQQWPTKYTHLLQLKAGDDTYEEIVRGRTTWKKKLCQGS >Et_3A_024565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22063142:22065181:-1 gene:Et_3A_024565 transcript:Et_3A_024565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGDFRLPPFFNYPPYFTLCEKHGKNKCNYGKSSYLITVEVKRFIHEAKEVFLGALVSEGRGEWMDKGHKKCLILWLRIQDWANFIFNFVKDKGLEVMTIEEIRSGIDTRGT >Et_1A_005418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10192706:10197595:1 gene:Et_1A_005418 transcript:Et_1A_005418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFGVLVSDPGLQSQFTQVQLRTLKTKFVSAKRPGAEHVTIKDLPPVMEKLRGIHEVLSEEEVSKFLSETYPDMNQSIEFEPFLKEYLNLQAKGTSKNGGKKKLKGSVSFLKASTTTLLHVINESEKTSYVNHINNFLGEDPFLKNFLPVDPASNDKLINVAVPGTIDERAINTKKDLNPWERNENHTLGLNSAKAIGCTVVNIGTQDLIEARPHLVLGLLSQIIKIQLLADLNLKRTPQLAELGAEELVTLAPDKMLLKWMNFHLKKAGYKKTVTNFSTDVKDGEAYAYLLSTLAPEHSSKTMIETADPKERAKKVLETAEKLECTRYVTPKDIVEVEDTPDDVEASREERAFRLWINSLGIATYVNNLFEDVRTGWVLLEVLDKISPGSVNWKQASKPPIIMPFRKVENCNQVIKIGKELRFSLVNVAGNDFVQGNKKLILAFLWQLMRTSILQLLKNLRSHSKEKEMTDADILIWANNKVKESGKTSRIESFKDKSIADGVFFLELLSAVQSRVVDWSLVKKGEDEEEKKLNATYIISVARKLGCTVFLLPEDIMEVNPKMILTLTASIMYWSLQRQGPYQNPGQSVSRDTLPEEVEEEEEEEEEEEEQEDFEGGIENAVSNLTT >Et_3B_029655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26919382:26928427:1 gene:Et_3B_029655 transcript:Et_3B_029655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPHMKSEQSFDFGDSSIQDAMGSASESYSPPGSGFGLSPPESSPPDGRRRRKERPTWAKYTYTPDLDGHLWRKYGQKKIKDADFPRLYYRCSYREDRQCLASKLMQQQNNEDPPLYHVTYTYEHTCGAPPVPLPDIVLEQPPAAREGQGLVLRFDSPGGQQWQPQQSTSPSPYMMLSFGSSNSQQPVFCSDPGAGSSSSPFPTEAAPAPAPTSGGDDMYSTWNSFSYDFDDHMHYEAKLSDVLAGGYHLSAKLQALLCRPLDSQGQEEAMAFSQELSRVFMVSMSMLKPDESNRVAILKMAPEIRTGPSFGVCTPAKDKRIKYTHQLCPYNFSLIVNSPCWCYGNGSRGVTSHKNNRQEEFITRKEVTSSPHSKDGYQWRKYGQKNIQNCTFARYYYKCRDRRCTAKKQVQQRDGSSDPAMFEVTYVNGHTCHVPLANDDVDSFGKPLRAAPSTTENTSGNDDQLFDLFLRVGAGSSEEENDAIVSCLATVISGTAPSSHPSGGPGAHTSCSGPPPSVYGGVAYTTGFSSFCAAGEAAGVMDLCVDVHMDVARFVDT >Et_1B_013925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24034858:24037831:1 gene:Et_1B_013925 transcript:Et_1B_013925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASGTGGPVLLLLLLGLLVAVSFAHVEAAAARGATVSAHGGGAAWGSFQQLRDARRGSRVAGLGELKRYLARFGYLPKSGHEPTDAFDEHLEAAVRRYQSMLSLPVTGQLDSATIDRIMAPRCGVGDDGRGVSVSLSGSTTRTTGGAVSRFTFFKGQPRWTRPDPPLVLTYAISETATVDYLPPASVRAVFRRAFARWARVIPVGFVETDDYDAADIRVGFYGGSHGDGIPFDGPLGVLGHAFSPRNGRLHLDAAETWAVDMDAETAHSAVDLESVATHEIGHVLGLGHSSTPQAVMYPSLSPREKKAELTVDDVEGVQLLYGSNPGFSLSSLYQQEASVSPARRRAAILVGAHGRRRQQKGTTPAFVEREAHEREMVLTASLTGRKPIRDVEEDGA >Et_1A_008540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8559258:8559590:-1 gene:Et_1A_008540 transcript:Et_1A_008540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSRMAAPVVFLLLVLVATEVGTATAAGYCVSQSHKFKGMCWSDNNCEKVCNTENFPAGECKMDTVTRKCFCKKPC >Et_5A_042876.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6115803:6117791:-1 gene:Et_5A_042876 transcript:Et_5A_042876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYPQTTRSPGFMGLDPLSGAWNETDFGDGVIIGVIDTGIWPESASFNDRGLGPVRPSWKCRCVDADGFNASLCNNKLVGAKAFDISHFVWSESYYPPEPSPRDIDSHGTHVGSTAAGAEVPVAGMNMFSRGTAWGMAPKARIAVYRACDLDGCSMLDIAAAIDAATEDGVDIISMSLGGPQIPFYYDAVAIALFGAEQNGIFVAAAGGNKGPGASTVGNTAPWMTTVGASTVDRLFPAYLTLGDGVVLAGQSLYAMKAKATGMVQLLSMDCNVWSESDLDLIFTPDKVMGKIVVCRTSAGVVHGAKLQSAGGAGLVVVDNSSWLRDGTPTVAFTLPGVTLSYTAGEKLRVYMASARNPVASFSFGCETVTDANRAPVVAGFSSRGPNPVVPELLKPDVIAPGVNILAAWSGAASLSDDPGFDDGRRAAYSILSGTSMATPHVAGVTALIKKKHPGWSPAMIRSALMTTAGTIDNRASDIIDSGITSGNVDGAAVATPFAAGAGHVRPIHALDPGLVYDAGERDYLDFLCALNYDAMEIREFVPEFVECTRTLPGGPAGLNYPSFVVAFDNGTDVRTLTRTVTAVSEKAETYNVTVAAPGRVKVTVTPAPLEFTKPNEKKSYTVEFRSLEAGNATAEWDFGSIIWENENHRVRSPVAFQWKN >Et_8B_059518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18748316:18759993:-1 gene:Et_8B_059518 transcript:Et_8B_059518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSVFQWWEEWQLRVLVLGSLCIQCYLAFFAASRKYHIRPLFRFFIWLAYLGGDALAIYALATLFNRQKRLQYDSVNGGHDIELLWAPILLIHLGGQLGMSAYNMEDNELWRRHIVTAVSQVTIALYVYCKSWSSLADKRLSAAGVVLFILGVIKCFEKPIALKIASFNILVFLSCPENGRHQPIKFIHEARHSVSEDQPTLNLYEKWSHISRLIESDKLFVDLTYAYPVRLTKLTSFWLLDREAAYQALCEGISSTFDLIYTKFWDTDVDYRQTPEFWGSICAILLCPFTILLPIVPIGLFHSSHKEAYRASDIMITFVLLYITYLLEIVSWLMMAVFDGEWSEMVAQHSLIGFFASNKRHTRLMRIAGLFGCEGMLEHFFCLKPCNSSKVITSLVRSHVKSGWINYIRDIESYWKFSDIRGHWSFQRKGCEEIFRGSVEKPFDESVILWHLATDFCFHSQGAPPDSEYAELCKQISYYMIHLLFANPEMLMPGSRSSLFTLAYNVIEAILEGDDPPLLDEKELTQKIIDKAESTEGFIHDAWVLAHGLMKIGNEQEMWEVIRDVWTEMLCFSASRCRGYLHAKSLGSGGEYLTFVSLLMSHAGLETFPERQQRMQLRLPKEARLHILRIRTMKEEIIEAVRKRKERVDAAKERTKEAGRKEAAGASPEIEIQPLTARRERGRTYMGLSSAVQWWEDWQLRILVLSSLGIQCYLAFFAGSRKFHIRLLYRFSIWLAYLGGDAVAIYALATLFNRQKKLQYKTGSHGLEVLWAPVMLIHLGGQINISAYNIEDNELWRRQILTAVSQVAVALYVFCKSWSHSADRRLLAAAILLFILGVLKCLEKPLALRRASFNRLVRSFPPVPKTETTLREVELEECVQAARNFVKSQEDPTSLDKNQQLTQLELLSETDKLFIDSAYAYKDHLTRFESFWFLNDEACYEALYTGISKTFNTTYTKASTYDRHRNEGGGSFLVTVATLFVSVILPIVPIALFHSSRKGVYRKSDIKVTFILLYITYLLEFASFFSLAVTGFNWNEKVAQHSLIGFLSCNKRHTMLMGGLKPCHSCKDITNLVRSHVKDGWANYISDVNSYWKFTDMRGQWTLKRNGCDETLRGSLEKPFDESILLWHVATHFSIHCKSTSCNIASLCRDISNYMVHLLFANPEMLMPGTRRSLFTDAHNELEAILQGKDISSLDEKEITKLIVDKVESKEGIIHEAWVLAQELMRLGDEKMWEVIKGVWIEMLCFSAGRCRGYLHIKSLGSGGEYLTFVSLLMSHAGLETFVARQQRVQLRLPKEARLHIVKIRTMKKEIIEAVRKRKQKVEAAKDGIEETAAMEAGCASPKIEVVVSK >Et_3B_027848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22577674:22578101:-1 gene:Et_3B_027848 transcript:Et_3B_027848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DGAGQTGSYISQTAEVAKQKAAGAAQYTKGHRRGRQGKDRRAPAAGKGDGDEHGRRCQGHSGEHGHGRKGRGDEHDRHGRRERHHHHYEAGHRHSGLSSTLHPGFDFS >Et_5A_042001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:544616:546057:-1 gene:Et_5A_042001 transcript:Et_5A_042001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGRVDDISDADMDEGFLGGRAGHVRRASSTGYVRGSPPGSPPRPHSPRMFVPQSPVTPLQKAAEVPPMFNQILMNGQHEDVYGPPQKKIPTLLTWALGGKSIYAEGSWDNWATKKPVEKSGKDHTILLMLSSGVHRYRFIVDGERRFIPDLPCETDNMGRVVNLVDVHDFIPESVESVSELLAPPSPDSSYGFHIPGEKEFAKEPPQLPAQLYLGVLNSRSSEEGCARPRHVVLDHLYIEKGWGGQPLVALGNTHRFRSKYVTCVLYKAIER >Et_5A_040207.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:22568379:22568975:1 gene:Et_5A_040207 transcript:Et_5A_040207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPELNADAIAEILIRIPPDEPAHLFRASLVCKVWRRILTDPGFLRCYRRFHRNPPLLGFFYDYGIVEPFPRFVPVMAASPFPQAAFDHRPWFSLDCHHGRVLLRKMEWGNFFVWNPITGGLKDLRKPDIPRISSTAVVCSVPCCDHRDCHDGPFLVVCVGENGDRVAH >Et_9B_065821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13962142:13966855:1 gene:Et_9B_065821 transcript:Et_9B_065821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPALPTFLRLRPASPLLPSSGRLPHVHGLLRSTSSRPSPLRPARLLRPISAVEKTKDTAAAAEEAEVEGMPPEFYDEEWQARQRERTKEWQAYRQKEEAEEDRRTNEYREIGMRLKAYPQEEVRKARILVSSFIRAGGDIEEEIEKAAERGELTELVLMVIWNRLDIARRDDERDAIRSLDLLYRRVEAEILKSEATPSMRLLNELLHLHDGGDDDKWLKKCRKRMLEVFPREDPFTLVFPAGFNMEKKEGRIELPPQDDDVLLRVDFVKEVDELLKEVQAEQEKNKQQTGYDPESVATMLKQQEKLQVIRQVESLLELAASLKWVINFNV >Et_3B_029957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29380343:29382936:-1 gene:Et_3B_029957 transcript:Et_3B_029957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMWALNLKAGGPCLTPRHPLPATSSPLAASGEIGSLAVGLTRLKRQPARWPRLVVSASGRKSKNSREDGDEPKNKAFSSGKGDASPPSGDSSNQTSQNHGKPKSSDAIYIPNNLTYWRDVRASFVIPKLEQTVDANSSPQTAKDGIVDRLPWKWAHPISMPESGCVLIATEELDGNGTFERTVILLLKPGSRDAYDGPFGIILNRPLYTKMKHVNPSFGEQATPFGDCSLLFGGPVDMSVFLMRTNDARPIKGFEEVVPGVCFGFRTDLEKASTLMKNGALKPDDLKFYMGYSAWDHDQLLSEIDAGYWVVTSCSSGLITDAVTTDPSCLWTEILQLMGGQYSELSQKPKQDGM >Et_1A_006907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28987799:28989247:1 gene:Et_1A_006907 transcript:Et_1A_006907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEILWFRSSMRSIVKIKSRFTFETNGPSIQHETNGPSIQQPGDGRQEVAGARELVVVHPAELPGDVPLQRDRHEPVLVALPQVHRARRLRQAHRVELPLAEHHRHVPVVRLVDALLRRQLPLLLERAVGGEGASDLGVALVGEVRGVVDDGGGGEPALLHPPVQDPGRVVRHAQRPLVAVLLPPVLRRGQLGGEPGPPVVHHGRQHRGAGDAVRDVPERAPHGVAAEGEAHDVEPVAEAQRVRELLHVQRRAPHRPVRVRVALAVPGAVEADHVHAQLLSHLLQDSSDCR >Et_5B_043854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16821811:16828389:1 gene:Et_5B_043854 transcript:Et_5B_043854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLDMSLDDLIKKSKSRPKANPPSSSGPARRAPQAARAAPYPPAGPKARAADSPYGIYADHVAALAAAPPPPASARSLETGTKLYISNLDPGVTIEDVQELFSEVGDLKRYSVNYDQDGRSKGTAEVVFARKADALDAIKKYDGVLLDGKPMKIELIGHNSEPPPMATLIHNRPLQNYGEIHNSAPQRGGRRGNGRPGSSNQSSGGRGQGKGRGQDRNRAHVSASDLDAELDKYHAESLTCLWGNTKMGLLTTCLPSDQTRDADAPRVPLTVSTPETLTVKLSVWLLALNASSSGATVILMPDAGEVTLARYTDPDGPTLVTLRGNSAANGTP >Et_1A_006214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19279632:19280223:-1 gene:Et_1A_006214 transcript:Et_1A_006214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding STKDRCQWTDECNTLLCKLLVEQIHMGNYDDGYLSPRGYKILVYKFHAATQLKHTKKELSTRIRQLKNMYNFIDYLNNKTGVGRNPTTGWIRVDDKWWEGETKLKHGLPPYYDLLDQIFRGVAVDGSTAYTPSQKEMDVDEEEDDDVHEINEDNPMS >Et_5A_042739.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:3361351:3362313:1 gene:Et_5A_042739 transcript:Et_5A_042739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVHVPHLLPVPPKPHYNAAALRFTVSASAAASRKEKKQAVIVGGGLAGLAAATHLTSLSVPFTLVEASDRLGGRVATDVVDGYRLDRGFQIFLTAYPECRRLLDYRALRLRPFYPGALVFLGAGEPFHLLSDPFRLPLRSLSALLSPVGTLPDKVLVGLARLRAAATPDDAILSAPETTTAAHLSRLGFSPSIVERFLRPFLAGIFFDPALDTSSRLFELVFKRLALGDNALPEDGIAAIAAQLADRVPAESVRLNTRAAAVGRSGVRLDTGETIPGELGVIVAVEQPEAQKLLPQQLSSTPPQKPKKNNSSERSTVCI >Et_3A_027004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29369764:29372393:1 gene:Et_3A_027004 transcript:Et_3A_027004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRDVVSATAAIGALTRHGRQRDAFTLFSRVLADGIAPNEFTFGTILSSATALRDLCAGAQLHACAAKLGLCSNVFVGSALVDHYAKMGAMREAQGALDDTREPNVVSYTALIAGLLKNGMSEDAVRVFRCMPERNVISWNAMIGGCNQAGLSEEAVTLFLAMCREGVRPNESTFPCVLTSVANAGALGLGRSVHASAIKFLGKLDVYVGNSLVSFYARCGSLEDSVLAFKKMKQKNVVSWNALICGYAQNGRGQEALDAYNTMRATGLKPNNVTLLGLLFGCNHAGLVDEGYALFKAAQLEQPGILKPEHYACVVDLLSRAKRFDDAQRFLEELPFEPGIGFWKALIGGCQIHWNSELAESVAKRIHMLDPKDTSSYILLSNVYSASGSWQSASMIRREIKEKGLKRITGCSWIEVQDKVHVFFNGDCRHSKSDEIYNMLELCLDTREDEDSITPHHATHLRRGELGDGLGALGDGVLGELAGEDEADGAGELGGLAGELLEDVVDEGVHDGHGLGGDPNVRVHLLEHLEDVDLVGLDALLGLLLALLVAALLASAGDALLRLGLLVALLLHRLLLGGLLLGLGRHGSRTGGVDGGGWEAAGLGFV >Et_6B_049060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17341687:17345612:1 gene:Et_6B_049060 transcript:Et_6B_049060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGRLKSAIFEREERKMSDVQAFNLLNNPSAMFYGQEKNVDSSLPIKTDKDTLREGYRFILSEEDDMDSTWEKRLVKRYYDKLFKEYCIADMTQYKKGKIGLRWRTEKEVISGKGQFICGNRHCDEKHGLGSYEVNFSYLEAGEQKQALVKLVACKRCAEKLAYKRQKEKEKEKELSNEKEIELKDRDKRKR >Et_6B_049183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19112353:19114331:-1 gene:Et_6B_049183 transcript:Et_6B_049183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSVFSRLHGVGDRLPRVTPSFPVVSLADPDVVCFMLEEVLEDHSIYWMIEVHMRNKALQILRTLESPACRNFYGDHYFITSNFLSYLSPDRCTRVIIKGKKQAAPDGEFLCRTCGRRFATFQALGGHRTSHKRPRVRADGFDLLLGARPGAKGAPAKDVHRCNTCGTVFPTGQALGGHMRRHRSAIFHVAATETTMTSALSEELDGEDDEGHLRSSLIQFI >Et_8A_056912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18942825:18946400:1 gene:Et_8A_056912 transcript:Et_8A_056912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLIRRLFPFLVGTAVGVYAAQNYKVPNMRHLADQGVDAARRYEEAYRKKKPGTDAAGGGTSGRKKKVTLDIDDDENYNLPDLHRLASHGVDAARRYEEAYRKKKTDAAAAAGTSGMYVAQNYNFPDLRRLARSHVDAAKDYEETYRKKPDAAAAGTSGRKKKAQRLDMDDDEE >Et_3B_029945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29263794:29266052:-1 gene:Et_3B_029945 transcript:Et_3B_029945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSTNRQVTFSKRRNGLLKKAREISVLCDAEVGAVIFSSAGKLYDFCSPKTSLSKILEKYQTNSGKILWDEKHKSISAEIDRIKKENDNMQIELRHLKGEDLNSLQPKELIMIEEALDNGLTNLNEKLVEHWERRMRNNTMLEDENKLLAFKLHQQDAELSGSMRDLELGYHPDRDFEAQMPITFRVQPSHPNLQDNN >Et_4B_039191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8594124:8594927:1 gene:Et_4B_039191 transcript:Et_4B_039191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVAAAASTCFAASPSLSRCRSRVRAQATSSSSWAGNAEALVRSGTVRPVRPRDAKDVLGAEGFRLLDVRPEWERARAGVRGSAHAPLFVGDDDMSVVTLLKKWVHFGYIGLWTGQSFTKMNDRFLDDVTAAVAGEGKDAKLLVACGEGLRSLIAVRMLHDDGYKNLAWLAGGFSKCVDGDFADVEGESKLQYATIGGVSYIFLQLLLLLGVIK >Et_9A_061703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15579566:15584147:-1 gene:Et_9A_061703 transcript:Et_9A_061703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATGGGLGADGGAGIIPLLDALAALGATTTQRVCRKKLSTTDLNLEQARLLIPRATAGDALAAFLTAGEMVEMDGVREEQDDDYGISGNRRCMDVPVFDRHGHRYDMMLRCGENGRHYRLIGHGWVQFVRANGHLAEAMAAMKEAGREMEIDLWAFRSKELPFSCSKAGRHPDGALGFAILDCKKNKHCLTETFTFKLDDEDEKVALIKKHFLSIQTCFHDDNK >Et_6A_045992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16048846:16049850:1 gene:Et_6A_045992 transcript:Et_6A_045992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAELRRSSSASSSPEFHFWPVDANPAASPSCADELFAGGVLLPLPVLPPKAASHARSCSGNVSAAPEPEPEPEPAGEMMASGAAITSPAAPPAAAGGGGGESKRWTDIFSSKKPADEKEKEKRKDNGGGGGRKPAPHAGNGGSELNINIWPFSRSRSAGGGASKPRPPPPRKASSAPCSRSNSRGEAAAAGALPPRRWAASPGRAGGGVPVGRSSPVWQIRRPAAAKPAAAALASEPQQHKDKHAGGGGGRKPGGLGGGVRGLNLSVNSCIGYRHQVSCRRADAVASARGLGDGGRGGLFGIKGFFSKKVH >Et_4A_035124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9768581:9780919:-1 gene:Et_4A_035124 transcript:Et_4A_035124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGMPREFGSGPERDIDDLPRNDANYTALTPLWFLERAALAQPNRASVVHGPVRYTWAETYRRCRRLASALARRSVGFGSTVAVIAPNVPAVYEAHFGVPMSGAVVNCVNIRLNAETIAFLLDHSVAEVLMVDQEFYTLAEESLRIVAEKKKSAFRPPVLIVIGDPTCDPKSLQYALGKGAIEYEEFLKTGDPEFHWKPPKDEWQSIALGYTSGTTSSPKGVVLHHRGAYLMALSVAMVWGMPEGAVYLWTLPMFHCNGWCYAWSLAAFCGTSICLRQMAAERDIDDLPRNDANYTALTPLWFLERAALAQPNRASVVHGPVRYTWAETYRRCRRLASALARRSVGHGSTYEKFLESGDPEFAWKPPQDEWKSIALGYTSGTTSNPKGVVLHHRGAYLMSLSGALVWGMNEGAVYLWTLPMFHCNGWCYTWSLAALCGTSICLRQVSAKAIFSAIANQGVTHFCGAPVVLNTIINAPPSDTILPLPRVVNVMTAGAAPPPSVLAAMSNLGFSITHTYGLSETYGPSTVCAWKPEWDSLPADERARLHARQGIRYVGLEGLDVVDPKTMAPVPADGSTMGEIVMRGNGVMKGYLKNPKANAEAFENGWFHSGDLGVKHPDGYVEVKDRAKDIIISGGENISSLEVEKVVYQHPAVLEASVVARADEHWGESPCAFVTLKDAVDRSDDAALARDIMRFCRERMPGYWVPKSVVFGPLPKTATGKIKKHELRTKAKELGPVRKSRM >Et_5B_043113.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:4905582:4906085:-1 gene:Et_5B_043113 transcript:Et_5B_043113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEPGVEEQEPADQVAEAGQAEDNTSTPWLTLGLDAPTRKEAKPPEDKPEAAPHRTFSCNYCMRKFLSSQALGGHQNAHKRERCAARKSHSYTILHPMRVNYHSTILKKHGERSTVVVARFDGGQMSGWKPFAIEEAGGLVSPGSFKANYQELKQTEKNLDLNLRL >Et_3A_025856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33386686:33388393:1 gene:Et_3A_025856 transcript:Et_3A_025856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLVHCFKLLLLLALGGVTMHVPDADVLSSLGALRLDGHFSFHDVSAMARDFGNRCSLLPAAVLHPGSVSDIAATVRHVFLLGEHSPLTVAARGHGHSLMGQSQAAGGIIVRMESLQGERTRVHDDGTSPFVDAPGGELWINVLRETLKYGLAPKSWTDYLHLTVGGTLSNAGVSGQAFRHGPQVSNVNQLEIVTGRGDLLTCSPEENSDLFYAALGGLGQFGIITRAKIALEPAPKMVRWIRVLYSDFASFTEDQERLIMAENTFDYIEGFVIINRTGILNNWRTSFKPQDPVQASHFQSDGRVLYCLELTKNFNPDEDDIMEQEVSALLSRLRYIRSTLFHTDVTYVEFLDRVHTSEVKLRAQGLWEVPHPWLNLLIPRSTIHKFAREVFGKILKDSNNGPILLYPVNRSKWDNRTSVVILDEEIFYLVGFLSSAPSLSGHGSVEHAM >Et_4A_035002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8723657:8726522:-1 gene:Et_4A_035002 transcript:Et_4A_035002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLAPISPRRGCLLLALLVALCSIPGSSLSRLVTLDSIVIFTTHEWIPISKPTVYFRCNEDNKTDLLDVKEANSLYTFKGEESWQPLTELPEKKCKRCGLYEKDTFKPDDVFDEWELCSSDFKDGKYTRFKEGQFNATFLCPNCTASAGNSRAHESSGEMETKKASVAVIIIVSVLVSVLVIIAMFLGYKYWQKRKRERDQARFLKLFEEGDDIEDELGLSNEF >Et_3B_031103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15880775:15881078:1 gene:Et_3B_031103 transcript:Et_3B_031103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPAPPQASGAAGDEKKKPAAGRVPLCRLFAFADRTDAALMAVGGVAALANGMAQPLMTFIFGDVIDAFGSTASSDVLHRVVRLYMAVRLL >Et_4A_032713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12906826:12917858:-1 gene:Et_4A_032713 transcript:Et_4A_032713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRPSSMLMQDDVGVYLGQTDPAHVLSSRRMEDQEQLQQWRSGGANGLELPPGFRFHPTDEEIITYYLTNKVLDENFTAIAIGETDINKSEPWELPYKAKMGEKEWYFYCLKDRKYPTGFRANRATEAGYWKATGKDRGIDCGASSVLVLLGMKKTLVFYKGRAPNGVKTDWVMHEYRLNNKRKVRCPAASSNSNTKKPACSSYKEEWVVCRVFQKSSCARKVSEFTLVLAPSSRMPMEDGGEDLSCMSFPMPTQFPVEIEDFTDYSNELYPLLDGPFESFYSSDGMGSSVLHPLLPMVGMDGIGLQMNNYHFGNPMAISDPMSFYQQVKVETTIDCSIMVEMET >Et_2A_017278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32723218:32725665:-1 gene:Et_2A_017278 transcript:Et_2A_017278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCSVFLLLLALIYLMGASARDFLSAGSSLSIEHSTDVLHSPDGTFSCGFYNISPNASTFSIWFSKSSERTIVWSANHLHPVYTWGSRVKLDTDGKMVLKDYNGQIVWTNNVSVSDAMHVQAQLLDTGNFIVKAKSGTILWQSFDSPTDTLLPTQSITATTNLLRMPFNSTTGRALDSWGHFLGSDNATFTAADWGPGIMRKLTLDYDGNLRLYSLNKADGRWSVTWMSFPQLCKVRGLCDRNGIFIDPIDRSKGCKRTINISCDAHKVRFAKLPNTDFFGNDMNVRRFVSLDYCKNICLSDCKCKGFAYWEGIGDCYPKAVLHGGVTLTFLAEVLFIVLGWFILWRERRGLRGVWPAEAGYEMIANHFRRYTYRELVTATRKFRYELGRGASGIVYKGVLKDNRTVAVKKLEDINQGDEEFRHELSVIGRINHMNLVRVCGFCSDAPHRILVSEFVENGSLDKILFGTEGSEILLDWKQRFHIALGVARGLAYLHHECLEWVIHCDVKPENILLDENLVPKIADFGLAKLLNRGGSNINVSRIQGTRGYLAPEWLSSLPITAKVDVYSFGVVLLELLNGVRVSDMENSEGEEVEMVLRQVVRVLKEKLQLDDTEEYWIKDFVDPRLNKQYNKLQAKTMLKLAVSCLEEDRGRRPTMEGVAQMLVSVDQVSSATTMGGFV >Et_7A_050719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10383913:10385179:-1 gene:Et_7A_050719 transcript:Et_7A_050719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALWNVKPSCLTGTTARAISDDTTYSRTYVDVLHAGPLPNGNFEDSPDRSQMSGSTVTGENAIPRWKSSGRVEYVEPGQRQGDSVLTVPEGARAVRLGNGASVQQQLAVTAGTYYSVTFGAARTCAHSQKLMASVVPGSQPVMIPVQTVYSSAGWDSYCWAFRAQSSGAVSLVIRNPGQEDDPSCGPIIDSITIKTLNPPQASQNNLLKNGDFEEGPYISQVSQWGVLVPPTNENDVSPLPGWMIMSYSKSVKYVGSAGNFAVPRGARAVELVSGVETALVQEVDTVEGAAYRLEFTVGDAEGSCAANDSPMEVQAYAAQGKASVPWGSGSRGFTRGALEFTAVASRTRVVFVSSGYHTRSDGSGTLCGPVVDDVSLVSVTPARRLLR >Et_2B_020988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25543754:25545170:1 gene:Et_2B_020988 transcript:Et_2B_020988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFNPHHLPLPALLPSSLPSSAAAAVDMDPRVWRRLPQPLVDRVLACLPTPSFLRLRAACRRFYGLLFSSPFLHSHLLLSPHLPFFAFAVPSAAGHLLLLDPTGQVPSWSRLPLPLPAAPGSFSPAAASAGLLAFVSDASGHKTLLLANPITRLLAPLPLCPNARLSPTVGLAAGPTSFIAVVAGDDLVSPFAVKNISADTFVADAASVPPSGFWAPSSLLPRLSSLDPRAGMAFASGRFYCMSSSPFAVLVFDVAANVWSKVQPPMRRFLRSPALVELGGGRQSEARVGMVAAVEKSRLSVPRSVRVWTLRGGHGGAGAWTEVARMPPDVHDQFAAVEGGRGFECAAHGDFVVLAPRGQASPAPASVLVFDARRDEWRWAPPCPYPYVGNGVGGGAGGFRLFAFEPSLATPAIGLLDATAPMALHGMQG >Et_1B_010297.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:35560981:35561322:1 gene:Et_1B_010297 transcript:Et_1B_010297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGFRDELRGLLADAVEEGKPLVLPRLFVRGRLVDEVLRLDEEGALAPLLDGLPKARGGSYSCDGCGGMRFLPCFDCSGSRKLAVSSNGRKSLVVRCRECNENGLVLCPICS >Et_6A_047107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25391113:25398307:1 gene:Et_6A_047107 transcript:Et_6A_047107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FGKNIISYCWSCQYHIVWRERDLHSMLKGDKFEFILLDSIFQLVEMDEKVSGIEELERMYTNARSEPIRISYSVIESVTKNFCQEIGRGGFATVYLGSLRNDVMVAVKKLVPSQQDLSDKQFLGEVKCLKTVNHKNIVRFLGYCVDTHGVVMEVGKEVIIAEERKWFLCFEYEPNGNLQDYLKEKTHGYEWSIRYKIIKGICQGLHYLHQKGIKHLDLKPANVLLGAQMEPKITDFGLSRNDASQFTIVTSNVVGTLGYIPPELIKEGKISFKTDIFSLGIIMIRLLTGINGDIPTNWHESLTVDYCQQKEICIQLAQMCTDTDPHKRPEISYITSKLTETETTNQNAPPAIITEPRNDPTSTLYK >Et_8B_059412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17771337:17775319:1 gene:Et_8B_059412 transcript:Et_8B_059412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ADIPVNRLLRVVGSGAQATYPTTAVGRDAAEALPSRWAPAALIHHCFLHSSDTKECVLLGQSSLEFIASDQTSRAGSRAKELPDPLARVKNQVFHVTNRLRSMVVAEVPELTSAAGYFFRAGAEGKRTCPTISSLIHDDVLDNADTRRGMDSLNFAMGKKLAVLAGDFLLFRAFSAASSLDNTEVVSLLATAVNNLVTGELMQMSITSAQRCSMDYYLQKTYYKTAALISNSCKAIAVRAGQTTEVQALAYQYGKHLGIAYQLIDDILDFTGTSASLGKASLSDIRQGIVTAPILFAMEEYPQLREIVEQGFDNPSDVDMVLEYLSKSQGIERTRLLAAEHAKQAADAIALPESKDQVVLSSRQALKDLTQKLMRRTK >Et_1A_006478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2343052:2353185:-1 gene:Et_1A_006478 transcript:Et_1A_006478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASCCRVFSTQRCRFPLRRLAAPPRPFCTESGGLAAPSISKRRSRGPVMAAKKAAEGTKQEDGKYKHTVDLPKTNFGLRANSVVREPELQKLWEENQVLKRVSERNTGATFVLHDGPPYANGDLHMGHALNKILKDIINRYKSMDKETLSALTPIKLRQKAAKFAKATVDAQMKSFKRFGVWADWDNPYLTLSPEYEAAQLEVFGQMVMKGYIYRGRKPVHWSPSSRTALAEAELEYSENHISRSIYAAFKITNQSKSGLLDEFLPNVRLVIWTTTPWTIPANAAVAVNPELTYAVVEVQPVLESESASGGKQRKVGSILNSGNKLFVIVASDLVPTLETKWGVKLVVQKSFPGSALEHCRYIHPVNDNECSVVLGGDYITTESGTGLVHTAPGHGQEDYQTGLKYGLPIISPVDDDGNFTAEAGQFSGLSVLGDGNAAVVKYLDERHSLILEESYKHKYPYDWRSKEPTIFRATEQWFASVDGFRNAAMDAIRQVTWVPSQGENRIVAMTSSRSDWCISRQRTWGVPIPVFYHVDSQEPLITEETIEHIKAIVSKKGSDAWWYMTTEELLPDKYRDKASEYHKGTDTMDVWFDSGSSWAAVLAKRDGLNFPADIYLEGSDQHRGWFQSSLLTSIATTGKAPYRSVITHGFVLDEKGFKMSKSLGNVVDPEKMIAGGKNQKEEPGYGADVLRLWVSSVDYAGDVLIGPQILRQMSDMYRKLRGTVRFLLANLHDWKPENYVSYNDLPKIDKYALFQLENVVASMKDSYENYQFYKIYQMLQRFAIVDLSNFYFDVAKDRLYVGGRVSFTRKSCQTVLKAHLLYLVRAIAPIMPHLAEDVWQNLPFQYTLQDGSPAKFVFDLKWPEKNEEWLSVRKDDVDFLSIILELRSEVNKILENARTGKLIGSSLDAKVYLHTESTEAVTKLKELSSASNDADALHRLFITSQVEVLPSLGDETIASVSYTGKFSDPRTGDVWIGVTRADGAKCERCWNYTLDVGSFHDHPTLCARCHGVIDLQPQPAAAAG >Et_7A_051405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1837432:1844337:-1 gene:Et_7A_051405 transcript:Et_7A_051405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSRRRQGPAAGDEHVAAAAGDDGGAEATPRRPRGWRAVAFFIGVYAAASMASNAFVTPLTAYLIDRYNMKPNAATNVNNIFSGALNFSPVVGAFVADAFWGRFRTLLFGIVFGVIAMAVITLSATVHQLKPPACSALDRQAGACVGPSGLHRAVLYAGMALLVVAAGGTNPTGLPFGADQFDKSSERHKGGLTRYYAGYYAIAMLATFLALTVVAYVEVKVSWGLGFAIPAALLLAAFAVFLAGAALGLYVHVPPEGSIFSSVARVLIASCRKWRLRLPHPGDQVEEVKCLVKVIPVWVSGVMWFTLLTEMINYTFLQASTMDLHIGKRFTFPPISIIAVFYLSVALFVPVYDLLVAGVARNKARGGGNGGVTLLQRQGAGLVVGALAFVVAAVVERRRRRSALGDGASPLSAFLLAPQLAVAGVSGALNMVGQVEFYNTQFPDQMLTLANAAFYCAQGISSYLATLVVNIVNARTRGRRGGSAWVGDDINAGRLDYFYYAMAVLGAANFVYFLVCSRFYRYKAVDAVDGPDDERARDSASTSDSEAALLKT >Et_8B_059745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2344715:2349833:1 gene:Et_8B_059745 transcript:Et_8B_059745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPRKRASRPSKPRQGSRSRGGDEDPFFESEPKRRRGGRDEDIESEESDDDGVAALGGGAVDEDEDDGGKEEEEEEETVGEKKMRMAKEWLRKVTEAAKKKEEDDEEDEDEDEAGGRRVADILQRRQLEESGRKRRDLAARVLPPGPKDGFKVLVKHRQPVTAVALSKDSDKGFSASKDGVIVHWDVETGKSEKYLWPSEKLLVSHHAKPPLSAKRSKHVLALAVSSDGRYLASGGLDRHIHLWDVRSREHIQAFSGHRGPISCLAFGPDSPELFSGSFDRSIMQWNAEDRTYMNCLYGHQNEILSMDALNKDRLLTVARDRTMHLWKIPEESQLVFRAPAAASLECSCFIDDKEFLSGSDEGSIELWSIMRKKPTHIIRNAHPVLCDNRSALANADQELLKENGIHKLENVSSAQSWVSAVAARKGSDLAASGAGNGLVRLWAIEPDSKGIRPIFDLKLDGFVNSLAIANSGRFIVAGVGQEPRLGRWGRIRSAQNGVAIHQIRLKEEKQDL >Et_4B_037637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2251383:2255041:-1 gene:Et_4B_037637 transcript:Et_4B_037637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSESSRGRREQEVDGNANAWRSWKEEEPENADNRNYAGRSKKHGYEVGHQDGDGMIIPSSDMNESRRDSAIASGLPGSVDEDAYLVGTDSRLPKVPRRSPDDSKDRDYDRRKGSEDKNDWDSSRSCGINAESDRLKEMGSRSSERREGTREKQGQRVQEENSSLRRADEIYSTGSGGSLRVDTREGHRDQSDRGSDSNWNEKAWDPKESNDADWRNAQKRLDGGSFHGRGGYRRDVRGRSESVRGPSTYGNRYDGSDSIEIRPNRDLNFGREGSVSGRRFEVGANREVPGGNDNKCTDHPEADQSGTMSTNAPYPQQGPKGDRPSRGGRGRPNGRDSQRIGTPMPIMPPPFGPLGLPPGPMQHMGPNIPHSPGPLLPGVFMPPFPGPLVWPGARGVDMNMLSVPPNLPIPPVAVEHRFAAGMGAGSGHNIHLNQIDTGMGAPDVLGLGFNQMGMQSRDMLHDKPSAGWTPHRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVANAASPPMYYKCDLREHVLSPEFFGTKFDVILVDPPWEEYTHRAPGITDHLEYWNVDEIMNLKIENIADIPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWIKTNKKNATPSLRHDSHTLLQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGRRRLELFGEDHNIRPGWLTLGKALSYSNFNKEAYVKNFADKDGKVWQGGNGRNPPPEAPHLVVTTPEIEGLRPKSPPHKN >Et_6A_047111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25427146:25430223:1 gene:Et_6A_047111 transcript:Et_6A_047111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMDPECVLAGRGSVESHAYSFGVVVLKIARDYLRPAAPGSEGMGVVRRGEHPDAADVRLDGEFDTGASVQPFGTPSTCCGSRRSRRSCLQRCRSQPTGRRPPAVASAIDSRIVEDRRSPTASQDFTTRTLTSQRGPTRRVRRRSFSLQSSIAAAKGKRQVATLSLVANRCVLIQLLETKGFSVMVPRQRGKMLTSHAALPALRGCITPRVTNMLPDTVTFLTFTAVRAKENGYVGVEHQNSLRQKMGSLLRAASG >Et_2A_015494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13800758:13801623:1 gene:Et_2A_015494 transcript:Et_2A_015494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGILSWETGYLSGACAYISQNFRLGTFTVAGGMDILMESDVHFCTTYPYLSCIRFRISVILLCMAWSFLATSAASSFFLLSSLL >Et_3A_026140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4811105:4816381:1 gene:Et_3A_026140 transcript:Et_3A_026140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVRSSFTLHLLPLFLLLSADTIGAITFNITNRCSYTVWPAALPVGGGVKLEPGESWSLNVPAGTEHGRVWPRTGCSFNQAGNGSCQTGDCAGVLSCKGNGQAPLTLAEFIVGNVGQTDLFDISLVDGFNVPMDFLPVPAKGQAGQGCSQGPRCAANITSQCPTKLKAPGGCNSPCNFSSACAATSDLAYFIRMCPEAYGFSADYTSTYSCPSGTNYQVVFCPPLNISASAPTTDSPAPIPTVSTPTGSSSFSRSKVLGVILGSIGGFFVVVIFVTFLTYKRRTRKRQEVQEEDEELGELPGMPMRFTFQQLEEATDQFREKLGEGGFGSVFEGKYGEERIAVKRLDRAGQGKREFLAEVQTIGSIHHINLVRLIGFCAEKSHRLLVWIFYKHENNAPMDWTIRCKIITHIAKGLSYLHEDCMKRIAHLDVKPQNILLDEDFNAKLSDFGLCKLIDRDKSQVITRMRGTPGYLAPEWLTSEITEKADVYSFGVVVMEIISGRKNLDTSRSEESIHLITLLEEKVKSNKLADLIDKNSSDMQAHKQEVIEMMNLAMWCLQINCKNRPQMSEVVKVLEGNMNAESNIEHNFPAALPGGSVKLKPGESWNNSCPSGTDYQIVFCPPIDFPDSSSATDSPAPMATVGAAMFNITNQCPYTMRPAALPGVKLEPREWWTHTCPSGNDYQIIFCPQINLVAQPPATSSPTPTVTGTTSVKNSSFARSKVLSLVLFYSSHLSVSLHINGE >Et_4A_031951.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:32443544:32444215:1 gene:Et_4A_031951 transcript:Et_4A_031951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGGATRGGVWDGVVPPQCAASPRILRLNPALRWEEAREPLHAGIDEGNVVGVGPGMAFAHAVLPRVTAVGLVPCAQGATAIADWQRGTRLYNRMLTRTRAAMASNKQNQKLAALLWYQGEADTIRREDALAYASRMETFVRDVRRDLAMPDLLVIQVGLATGQGRFIQLVRDAQRAVKLPNVKYVDAKGLPVANDYTHLTTPAQVLLGKMLAKAYLATLI >Et_3A_025744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32425301:32427837:1 gene:Et_3A_025744 transcript:Et_3A_025744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWREGAGWCFCSGGAADGVDARSERLKAVIFSAKAAAVAAVSGQGIGILIHRNLLLTTHGNLPSAAAAEDAEALLGHGRLVARLEPHSDSTLPGQQPQYLKTCCKPSLGHGSVVYLLGHTGKKELVIGDGKVVIGTDNLIKLATDGVTWCPGSAGFDAQGNLAFMICDPMKLASSPTARSSSASSSSSHSWKKDHPTQFGVPISVVCDWLYQHWQGSLDEVSKPKLPLIRLMSSRSDHSSTSFTRRHVFKPDDENDDASVCSKPKYQQASGSSATARISHEANPLVDMRTSSEQGISTPEIYESPRRNSCQAQKDGTPIQHLDVNFPPKAPKTIFLPLPLKQMLSDENNAETSKPKNQSKDHGFPSGLIWHRNSEADCRDPPVAHPHDDCSSEGQSSSSPVEMLEYGCQDHYSSEEETMYSAETMESRNIPSPREKHVGRSQSCVAYSRWSSPRTSSIQNGSLRKQHTLIPARKTHSQNTTLPQRSNDYLSPTVSSAMKKRNSVDQQQPAKPRRSTVQTSPKWMF >Et_8A_057403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2768793:2771243:-1 gene:Et_8A_057403 transcript:Et_8A_057403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNANTLDTCFIKLKLDYAKTKSLASGKSIVLGNASFGGLAACYVVCFPRGSHQVLSDNGEYLSLNVVTRDTIVKPIFHAFVIGRDGAPSVSHPNTSSLFTHVSVNNKSWILESDYLIDGRITFMCGITILAEDRLSVPASDLGNHLGHLLDCTDGSDVSFSVGGETFHAHRSVLVARSPVFKAQFLGSMADAKLSCITLHGIQPATFQVLLRFIYTDALPTDKQLDDSASATELLQHLLAAADMYHMDRLKLVCAQKLFDRVTVENVATMLGCAETYNCPELKGRCMDFFMVEKNFKEALDYEGTKNLDLDDFVSHKFSAGGHAWSVHCWPYGDEADNGDYISIYLQLLDESTNVEAIFEAFLVDKHGSPSGGKRCEQVYPPADFNSWGFPRFMKRSDLESDFVMDGQASFMCVVTVLRDNPIALPPSDIGDPLGGLLDSADGSDVSFAVDGEMFHAHRCLLDSLADANMSCITLYDIKPAIFQTLLRFIYTDALPGDEELGSSSSAVELLQNLLAAADTYHMERLKLVCSHKLCKRLSTDTVAATLRLAELHNCPELKNACISFFLVEKNFKKAVLTEGYMRLMQSLPSIIDEIRERIGN >Et_6B_049481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5455851:5460308:1 gene:Et_6B_049481 transcript:Et_6B_049481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLGRRRQPPPLPLFPAAKRPAQPAASLLVGRISRLLPASRLLRFLLLLAVLSLVPPAFFHLRLRRFHRMRERKCGWIASPPLVCAHGGDSTNAFPNSIEAFRMALDSRVDCVEVDVSRSSDGVLFALHDRDLQRMSGNSTAKVGHWSADEIKALGTRFQLSKRAPNEEVPRAEDALVMISQSVGQVILDVKVGPPFFEKHLAEDVLSLIRRTNCKNCLVWAKSDDLGRDVIKLSKDAVVGYIVMVDKSTNRRTELVRIKGAKVAGIYHPLINEKVIKVMHRHDRKVFAWTVDDSNSMRKMLYENVDAIVTSNPSLLQLLMQDTRAECMEYVGEMSYPPAGSFLQLAIAHLPLQLFADIGSSEPVIFIEVL >Et_6B_048743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13136508:13138970:1 gene:Et_6B_048743 transcript:Et_6B_048743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VPKSVKISYIKRAKECGIDYFQSFEHLSVDICPEKGHELVLSPSNWLSSELRLLSFGYKSSSDVSSFHEGFSTLGRLEIKGCPKLSALMDLEDLNVLHSLVIEDCPSLYMLPETKFPPQLTSLILQGCHNLLSLHDCSNLKFLIGLNNICCLGSLYIAYYPELVAFREDKLGLSTWCKYSLPITSPPRIIKISDIKRVKENRVAYFPSFEYVSLDICPEKGPELMLSPDNWLPSELRLLKFGIEGSSCAPSYHGCLSTIVRLEIRGCPKLNSSCEFGKTERPHSLVIADCPLLYLLPEMKFPPQLTSLTVEGCHKLLFLT >Et_8B_060828.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:8556309:8558420:-1 gene:Et_8B_060828 transcript:Et_8B_060828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLDSRDRQRERVAARPSRPPDTRGSDQRGDFPPRRPAPPQHPRRHAADDPLGENRGLPPRDSPPSLPSPSPPSPRSAARDSRGEIQSATRPRQEAARAGAGDMGHRGAAATRRGVAEAAGHNAVIGAGRGGAREVAESLPARKEQERGEAGQKRCLGPARKQPALKRRAIPVDSRVPSDKWRDEAVPLRRSSNADGSRACAARDDVGYSACEKVAPRPPLVLVGAVPPRAVGTSASKKVSAADGGAQTVGGDHHGARSRGMKSSKASLRSPMPATDGLMGLHSQGVVDYTMQDRGKGTGELRKKELVQTTSLPSNPPMGFAKVIGKSNDKRKDKYGKLVTSNRIVGSDKVPTDRVIHASLFAYKHPTTHENGLATGPCKRPEIMVVPAMEIENLANTMAYNLENDDILSALAISKGKIILYIKNSTDLPSLRCQRQCGVQNEDARGKFKMICKRFQFICKALVQGVEKNFLNISRIDTLADEAMKKLPDYRKPDHVVGQVPGIEVGDEFIYAVQLAIVGLHRKIRAGIDWSGDKNGIPIATSIVSCGGYPDEMTCPGELIYTGSGGKLAGKKNDEDQKLKLGNLALKNCIGTKTLVRVIYGFKSHNVHGGSHSMGKMITTYIYDGLYRVKECKIDGVHGSKMFKFKLQKVLGQPELPLRAKGMMKSKMRRGLRTVDISNRKEDSAFCVDQEEGRVLEENTP >Et_1A_007347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33606641:33612260:1 gene:Et_1A_007347 transcript:Et_1A_007347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPPRRGPAYKTKLCALWQRGGCSRDTCSFAHGNAELRRFPGSRSSFPPRPGRRDYRGGDFRDRFDRRRSPHRRYSPERDPRGHRSLHDRRPTSQERESSYSRSPSRKSERRHEKKPDDGETNSSRSLSVSDNNDDRKKDKFSSGDDKEDHEKQLKHIREDMELLRDDKSHLEIILEEKNAEVRKICSRVNDLELLLKKEKEECHRMTSKMKKFIKAHGRFLKAQEELKRSQARFERLGDLLASDILKRSANEEGSSINVDEDPNDPYERSPNAAAPKKRSIPYSTSEEAKAVKKRRERESDTISRPENYRSEGTVAEFDKQKKGPETTKSLYLKKKLWEDEKDKLGNAVSSATSDKVKDSPVKHALPSTGMAAHAMDDPIEALELEDRHEPMDASLENDADDENRSPVMPPRPPPPMVNAYEQYEADDVEVDVD >Et_10B_002982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1561709:1566085:1 gene:Et_10B_002982 transcript:Et_10B_002982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQGSGGGSLERDAVPSGSSAGGSVVDSPDMEASAVVAAPSIRKTVKMSETPDFIPYGDEVHATSAPGASLCRGSRPVEEPLFVDLTRELIGFLLFWSEDPAADSFGSVEAALRCEGMQETPDYIRRGAARLKIAPVELFSIPSSPQDPSPPPPAERGAAVAGEERGACIAGVCENGESGCCGQLRQQYGLLLREKEECRRLLEDLMRENEVKTRECREAQESLHDMRMELMRKSIHVGSLASAVEGQVKEKSRLCQLLKDLSEKFKVFKSEHQNLRQESLEYQKCIMDATPISSAIQEYVSQYAILEHEFKDLKEKFSEEAKERRDLYNKLIEQKGNIRVFCRCRPLNGDEIAAGASTAIDFESAKDGELVVRGHVSCKKVFKFDSVFSPEEDQEKVFERTAPFATSVLDGFNVCIFAYGQTGTGKTFTMEGIEGARGVNYRTLEELFRIIKQRDGLFKYEVTVSVLEVYNEQIRDLLLTQPGAATKRLEVRQVAEGVHHVPGLVEARVTNMNEAWEVLQTGSKARVVGSTNANEHSSRSHCIHCVMVKGENMLNGECTKSKLWLIDLAGSERVAKTDAQGERLKEAQNINKSLSALGDVISALATKTQHIPFRNSKLTHLLQDSLSGDSKTLMFVQISPNENDVGETLCSLNFATRVRGIELGQARKQVDVGEMSKYKHMVARAKQDIKNKDDQINIMAETIQSLESKNKAKDLLTLNLQEKIKELESQLLVERKIARQHVDNKIAQDHLQKQHSMKEENSYLRSPMAERNLNSTAEKPTALKSFGISKQMFSDSNTDTYSFQQLMSLGEEKENNPEAGQQPPPKARRLSVCNAGAYQQQQLMNPASRRNSLIPLPRRNSLMPLPTTKPLAAAPPPLLGKITELSSPPMCSPPVISNDKASRSKKINSILRRSLQKKVIVRPTLAAQTGRKAGAAVQGTDIVRRARRVPMSGVAGQRVQQNRDKERGWNTGTSLRHNL >Et_1A_009069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28033418:28036116:-1 gene:Et_1A_009069 transcript:Et_1A_009069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQSSQMHLSAAIIVLLLVVAASEMEPLQMKKCSKRSGSFKGWCLHSRSCSDVCEAEDNNNISGECRGFPSRSYCTFYCLSALAATPENDQSGLLRNRSMEPSREKLSAAVLLLLLALTADMGPRVQAGECLSPSKRFRGPCFSSHRCANVCREEDNGYSGGSCRGLYFQCLCIRPCTTASVPEP >Et_1A_008499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8172021:8176629:-1 gene:Et_1A_008499 transcript:Et_1A_008499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRPLTSSSDDETRALNALLDAFSCAFSLDDIADAFVRAKGDVNKAGDFLTELQLSTPHINDVERSVETNLSQSDKAVEENYSENSSKPRTLSQFEKAVDQKHTENSDQTRIPEKSQKSSASFGSVSSMLGKESARATASVNRVSQKEKPLKVALPEFMRDDCNVKSDESNSAPKRETLNNRDVEEFLFCMLGEGFQLSMEVIREVLGSCGYDIKKCIVSLHFILGQLTKLCYCNQSMEELMSFSGEDVDKKDEGKHNVIQDVAVKGLSSRGSCVDAQSTFSAHSSQEKRGSRAQISPGELLEALFTVPGRLEEEPKVKRYELGANRSRVPDQKPVLEPLEDISSYSTDHAVKIVLGSKAVDEEDYQNYRRAAKQHWDIMKQYYEKAVDAFRAGNQKEVDYLIQEGKNCYRMARLADEKSAREIIKSKKPESRNELSLDLRSQDAANVPNLLRLHLKQLANIPSFEYLKVIIGVDDGTFKMGQRRRKVMKYLEKNSIQWTEDPPNSGNILVRINQVQKR >Et_8A_057286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23163247:23164280:-1 gene:Et_8A_057286 transcript:Et_8A_057286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCFAARAATPAAAPRPRLHRQRMPSTIVRCAATAPAPMGEKTEYRDGPLERAFMGLFARKMEKFASKKKQSESGDKKRSVWDWDYESFVDVSRRVMVGRTRAQQQAAVREVLLSMLPPGAPEQFRKLFPATRWACEFNAALTVPFFRWLVGPSEVVEVEVAGVKQRSGVLIKKCRYLENSGCVGMCVNMCKIPTQDFFTNEFGLPLTMNPNFEDMSCEMIYGQVPPPIEDDPVSKQPCYPNLCSMSTPSAPVCPKLQN >Et_3A_025477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30018048:30020337:-1 gene:Et_3A_025477 transcript:Et_3A_025477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRRFKPIEECSSEGRSEQTVAADLDGTLLISRSAFPYYLLVALEAGSVLRAVLLLLSVPFVYTTYIFFSESLAISTLVYISVAGLKVRSIEMVARSVLPKFYAGDVHPESWRVFNSFGKRFIITASPRIMVEPFAKAFLGADKVVGTELEVGKNGKATGFMVKPGVLVGDHKKQAVVKELGDEVPHVGMGDRETDFDFMSICKVSLLWLNSPLNLLYNSRTMRFENDIVHVDISDAC >Et_10A_000351.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:4612057:4612065:-1 gene:Et_10A_000351 transcript:Et_10A_000351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MA >Et_1A_009372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4274339:4279224:-1 gene:Et_1A_009372 transcript:Et_1A_009372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLHPYPPGLPPSHGTPAVHQPARLKWALSRRRRRRHLLRCVVVSAATLQRELLMLPSTRTAPNPSVANPLNLFDRMPERSDGAANLFDETPRTRGKGAAGRDSPDGAPKSGEKSRSAAVVALAHAGRHAEVVELFCRMQTEGVPVSRFVLPSVFRACSILRDSRMLQAGHGLVIKCALRQHVIVGTALVDGYVDFGLVNDARKAFEEISEPNVACGALGSLVRGKQMHTIATVLGFERNATVWNCLIDMYGKCGSMDSSRRALDMIVQMQESGFTIDRFTLGSGVAACAHLGDINSGRAFHGYLIRRALDTDVIRGSALVDMYGKCGNMELARLAFYRMDERNYVSWDALLSGYVENGLVEAALDTFRQMESANIKPNQHTFANLLRICGDRRYKEYGRQIHGHAIKVINQMNVVLETELIDMYAKCGCAEISQILFLRMNQRNLISWNTLLSGSVRDGQPFVTINIYRQMELASRAYRIATTQGKQQQSAIPMKQAITTQKTAKNTSNARKTTANLQNNCKAGILSAFKFAVNAEGDVPIQPWSTILEYLPTGHNPLTVHCTNPNPKTNTPRNPIKAGVVSVPYLLLCSSSSRSLSELSTPESAASAADSMSEEEPRAGAGPRRVLEQTSATWTRHWRAYPTSQSRSGLTGLSSAEDASTAAAAAAKATFQAMSSATTGRECAQSARLRSSCSAAVSRTSIEGPRRPAARPMSPARRLGLAAAGGDGEGEPPELALAREGGGGRSSGCSGDEATASIWATTLPLPLPLLCACSLAVFGGTVFRRWPVSHSAPHHQSLSSLRFDVALDRAL >Et_8A_056556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12260403:12263921:1 gene:Et_8A_056556 transcript:Et_8A_056556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGEGASLPSPAGGEDGRRRRVSYFYEPSIGDYYYGQGHPMKPHRIRMAHSLVVHYGLHRLLELSRPYPASEADIRRFHSDDYVSFLASATGNPGALDQRAVKRFNVGEDCPVFDGLFPFCQSSAGGSIGAAVKLNRGDADIAVNWAGGLHHAKKSEASGFCYVNDIVLAILELLKFHRRVLYVDIDVHHGDGVEEAFFTTNRVMTVSFHKYGDFFPGTGHITDVGAGEGKHYALNVPLSDGIDDSTFRDLFQCIMKKVMEVYQPEVVVLQCGADSLAGDRLGCFNLSVKGHADCLRFLRSYNVPMMVLGGGGYTIRNVARCWAYETAVAVGVEPDNKLPYNDYYEYFGPDYTLHIQPKNVENLNTAKDLENIKSMILEHLSRIEHVPSTQFHDRPSDPEAPEEQEEEMDKRPPQRSRLWSGGAYDSDTEDPDNLKRENNDLTANSHMQVCPTAQ >Et_10A_000399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9216573:9217169:1 gene:Et_10A_000399 transcript:Et_10A_000399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SKEITVPPINLREDLGELLRSEAGADVTFIVSGESLAAHKNVLAVRSPVFMAEFFGEIKERTSKCIVIEEMEAAVFKAMLHFIYTDTLVAADRYGLNSLKMICERRIAQGIDAGTVLATLSLAEQNNCSQLKAMCIEFIVGQSPENLDAIMASEAFKSLEAINPAVLTELLKAAHRSIKK >Et_1B_012113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29046840:29051791:-1 gene:Et_1B_012113 transcript:Et_1B_012113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLQDDGDEFTGFQTSGNRGIGLEICRQLASNGVTVVLTARDEKRGIEGVNALKTQGLSNVVFHQLEVGDRSSAARLAGFIRQKFGKLDILVNNAGIVGTVTEVSDPESFQTKLAELDGMEKLEWIRKHTSEPYEKTEECLKTNYHGTKNVTEELLPLLHLSRHGRIVNISSHFGLLRIADLSESFLKDFKNGQLEPHGWPAEGGYPAYKVSKALANAYSRILAKEHTTLCVNCVHPGYVSTDVNFHSGDLTVEEGARGALMLALMPKGGMTGMYLDCTEVASFLVQLASMAGGWALSVPEASGMNWNVDDRQVRCGD >Et_7A_052161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5159094:5161054:-1 gene:Et_7A_052161 transcript:Et_7A_052161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYGGGAGALSRDPKPRLRWTPDLHQRFVDAVTKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRQSMHGKKSTGLELANSGGFTAQGISFSNAAPPGVPAEGNNRGEIPLADALRYQVQVQRKLQEQLEVQKKLQMRIEAQGKYLKAILEKAHRNISFEQNASGNIESTRSELTNLNLALSGFVDNATRIYEDNNGRFVKAISDDNHKDNHLGFQLYNVGSQEAKDVKCVPKTEDSLLLDLNIKGGYDLSSRGMQACELDLKINQQII >Et_2B_018899.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:14789777:14791246:1 gene:Et_2B_018899 transcript:Et_2B_018899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPETPSLAGRRVAFTTPQTGGGGSYGGRLGALLRQRGAHPVPVPTITVRPHDPDRLRPFLKPGALDPFAAIAFTSRSGISAFSGALPSSPSSSHHYPLSGAASALPFTVAALGSDADLLDRAFLSRLCGDPGRVSVLVPDVPTPAGLVAALGPGCGRRVLCPVPDVAGGLREPPVVPAFLAGLEAAGWAAVHAPAYATCWAGPGCAEALVAAVDDAAAAPDAIVFTSTAEVEGLLKGLDAAGWSWTRLRTGWPGMVVAAHGPVTADGARRLGVEVDAVSARFSSFHGVLDALDAAFSSQMTTH >Et_9A_063545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:721633:723322:-1 gene:Et_9A_063545 transcript:Et_9A_063545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSERARLARIPLPEPGLKCPRCDSTNTKFCYFNNYSLSQPRHFCRACRRYWTRGGALRNVPVGGGYRRHAKRAKPKQSSAAGSAGSAAAAANGTAAPTSSAACATAHGLSSPAAAAMLAAGGNLSMLPPLLRLADFDAMSLGSSFTTGMHGGKPAADHYSIGGGAGLEQWSRMQQQMQQGFPFLHAMDQQGALPPSLAMVMPGNFQLGLDTTADGRGTGAGEDGSGGDHQLNGMSTKREAAAGYPPQRGMYGDHHHHHLTAAGYTTSYPNATGFFVHLGSMIERTKA >Et_8A_056628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1385593:1389140:1 gene:Et_8A_056628 transcript:Et_8A_056628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLHVIQGVAGKAADVLVQSVTRMWGIEDSRRKLERQLLAVQCMLADAEVKGETNPAVRRWMKDLRAVAYQADDVLDDFQYKALRRRAQAGVSTTRKVLSCFTHQNPLLFRLTASRNLSYVLKKISELVLEMNNFGLVVREATAPVIHPQTHSSLDDFTEIVGRNDDMEMVVKMLLEQRFKQKVEVLPIVGMGGLGKTTLAKMVYNDLRVQQHFELLMWLSIIELATRKECSLPDRIELLHSCLREVIGRKRYLLVLDDVWNEAEQKWEDLRPLLCSAGASGSVVIVTTRSQQVASIMGTLPSHTLSYLNQDDSWELFQNSESFEDVLAVPLRTLLSPYWSKDRNINSLNLMSLRALQCASIHVLHKELGCTRHLRYLDLSNSSELVTLSNSVCTLYSLHTLRLNGCENLEHLPEGMRFMSKLRHIYLVGCCNLKRMPPGIGLLKSLRTLTTYIMSTKDGCGIEELKDLQLLGGRLELWNLKAIKNGSCMNEANLHLKENLKELLLHWRHDRSKCRPQHEVRGNEEILEFPLPPKRLESLQVCGSGQIEISSWLKEPCIFQCLKELKLSDCWKCKDLPPLWQALSLESLCLSRLDNLTALSGGIDLAVQACNGPLEVFPKLKKISLYYLANLEKWMEDKTGEPLPVIFPELKELQIHSCPKLVDVPKAPCLQELDIKGHCEIPINSLSHLNALSLLEYHVSSCDSVNVHQVIPLSSWPSLVTLRLGWIRSMILPVEQQSLGPLESIRSLWIFSSNCFCSSIFLFWEHFVLLEELVITGCDNLVHWPAKELCCLNRLQHLKVGHCRKLIGPSSEESLLLPLLVGLHIEFCDSLCNIPKLPASLERLTIQHCGCLVSLPSNLGSLARITELKLLGCEGLNMFPDGMDSLIALQELWIIQCPEIETLPGGLLQLLPTLRKLMTLGSPELELRCRRGGEYWAFVANIPYLNRDVL >Et_7A_051433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18474902:18477051:1 gene:Et_7A_051433 transcript:Et_7A_051433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVTVPRRKLGSQGMEVSAQGLGCMGMSAFYGRPKPEPDMVALLRHAVAAGVTFLDTSDFYGPHTNEVLLGKAMQGGVREKVQLATKFGIVTPGETEIRGDPAYVRASCEASLERLGVDCIDLYYQHRVDTRVPIEVTVGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPVTAVQLEWSLWSRDIEEDIVPTCRELGIGIVAYSPLGRGFLSSGAKLMASLPEHDRRKQFPRFQQENLNKNAQIFERISAMATRKGCTASQLALAWVHRQGIDVCPIPGTTKMENFNQNVGALSVKLTAEEMKELEYLVAAGDVQGDRYPQMANTWRHAETPSLASWKPE >Et_8A_056519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11293860:11297872:1 gene:Et_8A_056519 transcript:Et_8A_056519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPIPAALSGAAARRTSGDAPFATPPHSSAPLRLHRRVLAAIRADSQSPISLSAAPSPPAKPPACTADELHYAPVDGAGWRLALWRYRPPRNAPVRNHPLMLLSGVGTNAVGFDLSPGASFARHMSSQGFDTWVVEVRGAGLSMRENDSPAASESSTFEAVSGGSKPLDKQNTLEAASLQNSGGSSTDFDDLGIVALDEPPILAELSNFFDRASKLMEEAILNQNFHEITEKISVLSDMVESSAIIGPVREESLRLLKNVQEQLDSWERFVATQMHLTSEYNWDFDHYLEEDIPAAMEYIRQHNKAKDGKLLAIGHSMGGILLYAMLSRSGFEGAPSSLAAIVTLASSVDYTTSNSSLKMLLPLAHPAQALNVPAVPLGTLLAAAYPWASGPPYLFSWLNSQISAQDMMHPELLSKLVFNNFCTVPAKVVLQLTTAFRDGGLRNRNGTFSYKDHLRECQTPVLALAGDKDLICPPEAVHETVKLIPKHKVKYRVFGKSEGPHYAHYDLVGGRLATHEVYPCIIEFLSRYDYCIIEKY >Et_7B_053990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13981548:13988642:1 gene:Et_7B_053990 transcript:Et_7B_053990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALCREMETEAVRKKSANRTMTPTKREAARKREPKGKGKGKRWSGMRSGRTGEMLKRVLGTPSSTRILSPSKLSPTGSFGTISMPDSAVASRTTVESNIPCMRLTYKPMPYDATTFESLEVFSVKVARISGGLQWPLDVFSVVALRDSLDNNRNVIFSRERDCCHCQILTDQRRRTCSSGTACALNPSCPCKMESEAEWTSNHDAEGEDESTKRINRDPDAEVLCLDGLVYGDGIGEEETCE >Et_3A_025579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30971567:30974555:-1 gene:Et_3A_025579 transcript:Et_3A_025579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANFNRSTSARLAARGGVGSPRVSTPGSAHRNWWAAPSGPSFDRIALSFFLAAVSFVLSCAIYLYVVRYLGRGRAVAEFAGKKLDSCDVFHGSWVPDERYPLYNSSECPFAERGFNCLANGRKDTEYLKWRWKPRGCDLPRFSARDVLEWLRGKRVVFVGDSMSRTQWESFICMLLTGVEDPKTVYEVNGNQISKTIRFLGVRFESFNLNVEFYRSVFLVQQIPAPSLGRRRVRTILKLDKLDDLSSKWANADVLIFNSGHWWTASKLFDMGCYFESGGVLKLGTSINAAFKMALETWASWVKERVNLSRTHVFFRTYEPSHWSGLNQKICEVTEQPTIEAKGDDRREFGDILADVVANLSVPVTVLNVTLMGAFRSDAHIGIWSHPNTILDCSHWCLPGVPDAWNELVFSHLLTNGNV >Et_1B_011913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27102436:27105746:-1 gene:Et_1B_011913 transcript:Et_1B_011913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATTAPSPPAAAPAAPPPSYPAVSAASASAAASTEDDDDLYGRLKSLQRLMEFIEIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDGNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLGSTEKPNVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAADISAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFDFYK >Et_4B_037357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18971282:18973257:1 gene:Et_4B_037357 transcript:Et_4B_037357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPRSETEGCKQKDSSGQRIEVVKSVHLYQARHVSSSEDDVEAHSTPGYILESGTQLTDAQKKEMEVKVQSIHSAIPLFGCIIKGRRVFGEPFSLDLSWEYADEYLPLGMTTLTLQRNGKNWEVQCVGRVGETKRLQCGWKQFADDNDLQLGDLCLFELVGNKKHTMNVHVIPMKFPRSETEGCKQRDSSGQRVEVVNISSLPSSPLGHVSAAEDDTEAHFVPSYILERGIELTDAQKKEMEVKVRSIHSAIPLFGCIMKSSSVSGEPCTLDLSREYADEYLPLGMKTLTLQRQGKNWEVHCVGWAGEFKRLQHGWKQFADDNDLQLGDLCLFELVGNKKHTMNVH >Et_3B_029751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27666351:27669190:1 gene:Et_3B_029751 transcript:Et_3B_029751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSNQSVLNQRRHPFPLFVAVACFLVSPGFAPTPATGDAINKPASAWASLIRHPKMGSCPSVKNILVLDSEGKRVAVKYYRDEWPSLSSKLAFEKAVFTKTLKTGPKKEAEVVMFDGHIVVYKFVQGLSFFVTGGEEENELILESVLEGFSEAVACVLPSKKSNKRIALRNLDLIFLCLDEVIDEGIVLETDAKVIAEKVLGHEVEGVEQAWLISEIWLN >Et_1A_005801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14043217:14046496:-1 gene:Et_1A_005801 transcript:Et_1A_005801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDADDLEAARRAAALAMEDLSRHDSYSPAAPPLAGFPALVRHCVWLLPYLDAGDPGLAARCRLRLLDSLRGVLSRVPSPSLLPAIEVFAENLVFDAKLRTFFANFDRAVPEGSRVFTVAPRCGDELHTILELACSHFISSLEDEGGFQAFLSALSWSGNDLQGSPQIGFQEALALTYRTRLFSLPEVVQAHLLLLASRCISGPDLGSHLLAFEDSMHRYVDYLPALGVFNRTTGVKAPLSCLAVKKPFACCIKEVTDQKLKNQVDGLLSFCQAHFGDNLPISESDIFDSSNRLIEESQHMLHEKFRQEAMNVVRRMLSNVLHCAKQKEVLESDAEVTEEVICLPAVLRLMGSLLQQILHHFSQMRAAGDHENASHVARCMEYSFLCDTICLLKQYEANELHRCDLVGSIGQSVYRERASIQMLVHFASISVFCLRRRFGSLWKLCIIMMMMAINLVFIEEDSMDMFRFLIDASKESADNPHTENSNLRVYVRRRSSRVIALRLESLQNLSAETANGRAFLSSHPEYKTSWSDLDDFIECKQGKDYSNSLYQRDKFRSTFKVERFLFTKKTTRVQDMQFFKRTKRKEMPRRYLLAGANVIVTPTADNRVDIGRFAPMQYAHK >Et_1B_012248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30135465:30138732:1 gene:Et_1B_012248 transcript:Et_1B_012248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRLMNSNTMSPTTDVDFAFPPMQPYLGTFDAGMSIPSGVERPLLQLQQQQNLYDNFDFAAAASTGFPFQESSLLLPLPPPPSPSMAMAMPSSLQMPLLSGVSTAELYPFGSGLLKREVDGPFVDAGGGGRIGLNLGRRTYFSPADVLAVDRLLTRSRLGGGGGGGMGLGLGMAGVLGLGLGAAHHHHQQPPRCQAEGCKADLSAAKHYHRRHKVCEYHAKAAAVAAAGKQQRFCQQCSRFHVLAEFDEAKRSCRKRLTEHNRRRRKPASAHDKASSPPPKKADTTSITTSNNSNHKSASTAEAKSTAISPNGSGVSGLDMDNVQTSSAAPTVLSLAALPLPEKDGGLDTMLMQHMHGRDDDEQHFMTSLVLQAQQHQPHHNDGGNILSCSSVSDQQQNSGSNGFFEVDFI >Et_9A_062914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6565342:6568310:-1 gene:Et_9A_062914 transcript:Et_9A_062914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGEAAVAERVVEELRAACATPATRLNEVAAALEEEMRAGLREEGGSKIKMIISYVDNLPTGNEEGFFYALDLGGTNFRVLRVQLAGKEKRVAKRESKEVSIPPHLMSGNAAELFSFIASALAKVVAEEGHNNVFDGKQRELGFTFSFPVRQSSIASGTLIKWTKAFSIDDAVGEDVVSELQMAMAKQGVDMRVAALINDTVGTLAAGRYNDEDVVIGVILGTGSNAAYVEEASAIPKLEGELPKSGNMVINTEWGNFDSSCLPVTEYDQALDEESLNPGEQIFEKLISGLYLGDIVRRVLLKIALQTPLFGNVSNTKLKTRFILRTPDISAMHHDETPNLSVVAEKLAENLKIRDTSLETRKMVVEICDIVTRRSARLAAAGIVGILRKIGRAVPGDERRSVVAVDGGLFEHYAAFRECLEATLVELLGEEAAKSVAVKLTKDGSGLGAALIAAAHSQ >Et_6B_049490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5606191:5607197:-1 gene:Et_6B_049490 transcript:Et_6B_049490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIDLAARQDQLDRHGRLIRLPDRAYLVFVEYAYTLRVDEKSDVYSYGVVLLELITGRRPVGDFGEGVDIVQWAKRTTDGRREAVPAIVDRRLGTAPVDEVAHLFFVSMLCVQENSVERPTMREVVQMLSEFQHHASSSQASPSTSSSSATAEAPTKAGEESSSPGGEKEPAANCYKLFPDLLA >Et_9B_064567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16524742:16527131:-1 gene:Et_9B_064567 transcript:Et_9B_064567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSKLYLLCYNSLQSLGWFIAFLRLLTCLAPPISVHSAYSVVGELICLLQTCAILETVHAAIRLVPTAPLLAFLQWGGRTHFALAVVRQIPEVQSSLSVFITFIAWSISEIIRYSHYARTTLKVCPAWLTYLRYTAFIPLYPIGVGPGEMWTIYQALPFVKERNLYSGFFEKFSMNYHSFLVGVLVCYPFLWLKLYKHVLKQRKSKLGKVNRKKRA >Et_9B_063829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16501572:16503291:-1 gene:Et_9B_063829 transcript:Et_9B_063829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWPFKVVAGPGDKPMIVVNYKGEEKQFSAEEISSMVLTKMKEIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKSTAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERSRTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNALENYAYNMRNTIKDDKIASKLSWLDSNQLAEADEFEDKMKELEGICNPIIAKMYQGAGADMAGGMDEDAPAGSGGAGPKIEEVD >Et_2B_022957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9387597:9391908:-1 gene:Et_2B_022957 transcript:Et_2B_022957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATYDEDTPDRWQKVARAVGGGKTVDDVKRHCVELYHDLHDIVSKGRQGSLYGGGSSNSNSKGGSGSKEQSIGPVIVLKAEQQNGQDLFKDIVEEAQLHFIRPAARMELYRNALLQTSSNEGNSGQILLVSNQ >Et_4B_038923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5996483:5997003:1 gene:Et_4B_038923 transcript:Et_4B_038923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTITSFQHSRVPRSLRSRLVLSFLGLKALLRGLPDKNISVGAAGAFQMVQEAYTVLSEQTVCNQKRNIRVLQHRTSQSTKTSAAPGAANGFYNFATNSTAASKVTTKRQITGQATRALCQCTSQPPPHPHLLPLHNLHKLPPRLLYAALLHLVQ >Et_4B_037056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14006061:14007845:1 gene:Et_4B_037056 transcript:Et_4B_037056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAAADITVDSVPATKIAMPASEKGSETAILGKGRYKVWAFAAIALLALWSMSAASVSLRWSASGDLAVAGDLDVPLGDDLDSLEMEEREKLVGRMWDMYTRTSDEVRLPRFWQEAFEAAYEELAGDDMQVRDAAITEIARMSAHKLELEQPVNEDEEEKNAVGNRS >Et_1A_008422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7572182:7573111:1 gene:Et_1A_008422 transcript:Et_1A_008422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAFGSVGDSFSATSIKAYVAEFIATLLFVFAGVGSAIAYGKLTNDGALDAAGLVAIAIAHALALFVGVSIAANISGGHLNPAVTFGLAVGGHITILTGLFYWVAQLLGASVACLLLKFVTHGKAIPTHGVAGISELEGVVFEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLIGGGLAGLIYGDVFIGSYQPVADQDYA >Et_6A_045820.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:15310093:15310527:-1 gene:Et_6A_045820 transcript:Et_6A_045820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFSLILKSLKFREGKKHPLYEDGKKCGPPRRHREPVLRLPHRRRGVLEDAVSSRRAGYRRVVGVPEGCHAGHCVQDAAGWRQTQGLPAGGVPEADSVRCRIPCPLWTAAEQPGAEAHRGNVSQQLRCVFSFLVEWLIFVCIL >Et_1B_012868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3700761:3701447:1 gene:Et_1B_012868 transcript:Et_1B_012868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMDIAPRLYKLAWRKNLTVQLNEQQDTIAWKWTANGQYTTKQSTIFSTPEPSKSLKDWWNDSVMGIAAREKKNKAAIIIYTIWNIWKERNRRIFQHQEMAPHNCLD >Et_7B_054042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14620833:14623514:-1 gene:Et_7B_054042 transcript:Et_7B_054042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGNPNPNATPSQPRPPHMQQQQLPGGSPATPLTHLRPPGLGGSPFQGLFHTPPSHNPAFQIHMGASASPQTPLMAAAAAAAASAKRPPQKPPARPPAPNSSMAAGAASAAAAYKAAAAAAAVANSGGVDLTPAARRNKKRKLPEKQLPDRVAALLPESALYTQLLEFESRVDAALARKKVDIQEALKNPPSLQRTLRIYVFNTFANQGPRTIPPPKNADPPTWSLKIIGRVLEDGAELDPASVVPKHNPVYPKFSQFFKRVTIALDPSLYPENPLIIWENARSAAPQEGFEVKRKGDKEFVANIRLEMNYTPEKFKLSQPLMEVLGVEVDTRARVIAALWQYIKAKKLQNPSDPSYFMCDPQLKKVFGEDKLKFAMLSQKISQHLGAPPPINLEHKIKLSGNGAHGSACYDVLVDVPFPLQKEMMAFLANTEKHKDIEACDEVISASIKKIHEHRRRRAFFLGFSQSPVEFINAMIASQSKDLKLVAGEANRSVEKERRADFYNQPWVEDAVIRYLNRKPASDGPGGAGVHPRFSCLWNGSSPLQMQTQINSHF >Et_2A_017249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32437471:32443349:-1 gene:Et_2A_017249 transcript:Et_2A_017249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLSHMSRIHAPAAITPTSFLSSSAAASPASKTLAPSPCLVPLRLVRRFAAMAASAAEEFVKGRITPNGVAVITLDRPKALNAMNLEMDLRYKALLDEWETNPSVKCILVESSSSRAFSAGMDIKGVAAEIQKDKSTPLVQKVFTAEYSLICKIHEYAKPYICLMDGVTMGFGIGLSGHGRYRIITERTLLAMPENGIGLFPDVGFAYIGAKAPGGGAVGTYLGITGKRISSPADALFIGLGSHYVPSANLGSLKESILSANFTDDPHRDVESVLTGYKKVPESEAQLEKLLPHIISCFSPDKSVAESVEELNKYSQSGDAAVAEWANEALAGIKKGAPFSLCLTQKHFSKVASAYGNNEHYLSKLAGVMKLEYRIALRSSVRDDFIEGVRAVLVDKDQNPKWNPPTLEDVNMGEVESVFEPLAAEAELSV >Et_4A_035233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13749970:13751249:-1 gene:Et_4A_035233 transcript:Et_4A_035233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWFGPSRLNTILDHCVAVSYLGRCSAINMPENKLWAQELLSIACRSLAAKMEETNGFSLSIFSG >Et_4A_034444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32522730:32527726:-1 gene:Et_4A_034444 transcript:Et_4A_034444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPTTDSALLLGSSSKRAAGRSGRTSTARVPGAGRRRPHAVVRASAKDIAFDQNSRAALQAGVEKLAAAVGVTLGPRGRNVVLDEFGTPKVVNDGVTIARAIELADPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGMLSVTSGANPVSIKKGIDKTVQKLVEELEKKSRRVKGSGDIKAVAAISAGNDEFVGTMIAEAIEKVGPDGVLSIESSSSFETRVEVEEGMEIDRGYISPQFVTNPEKSTVEFENARILVTDQKISSIKEILPLLEQTTQLRAPLLIIAEDVSGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEYQSKDLGLLVENTTVDQLGIARKVTISSSSTTIIADAASKDDIQNRIAQLKRELSQTDSTYDSEKLAERIAKLSGGVAVIKVGASTEAELEDRKLRIEDAKNATFAAIEEGIVPGGGAAFVHLSTFVPAIKETLDTEERLGADIIQKALVAPAALIAHNAGVEGEVIVDKIKGTIGFGRGASGRGLAGLTLLNPDNGECSWCTPRGLFPVAVAGEVQRPAFHVLWS >Et_6B_049731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9752227:9758263:-1 gene:Et_6B_049731 transcript:Et_6B_049731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHETHSGGYSEMEHETHSSLFPETGSRAVSTTATKASEYQSINAVVAKASESVKSDDCRICGMGGTLVSCDGCTWVYHSRCIVLYKVFLPQRQWFCPECVVDKLGQTLSRTECGARGTQRFGVDLCGRLFLGSCNYLLVIGTSSNAETYARYYNHYDVVKVLQILALSDTYTEICKRIVGYWKHLLDFFQSERSKTGKQTVSAQNIMHNGPINGASGPNVVSSASRHNQSFVSDVSNVAPVQPTQSLFRPVLSTSVSGLNGISSGDITSTISKTVASVSPSYQSKQHFELIAERSGTMSGSKQEKIASFKPQAYMNLYTHGSIAASAAASIAILKKEEGKVSSSQSVANPRKKMAADNALEWKAFSSAATHFEYLHGLLIGSLQHKTERQRWHKQLQSASNYRAVVPLLLELEKNIRGVAFSASWSKLIDDWPVESQSPGVSTGRLGRKRLLPSESGMDTDDDINWAWWSGGNISNRILQRGFLLCSPIRKAARQGGKKRIGSISYHEDSNLPRCTRQFAWRARVELSNTSSQLALQIRYLDAHIRWKEFVPTDQIPSGRKSPADADFAFRNAVVCDRKIVDNKIRYRLIFPNQKHLPLCVRKNILEAEGNCHKECTSSSIDSNKGSNVASSLICKLCLQKRSLMLARYSTNASYIQPQHMIPGQQPAAASKIILKVGPSHSAAPAMEIKTHPVAKVQFQPCVKVDGQPIMNVKGQSTAKVYAELAANVKTLPITNVKTPKTSSVPIQQMTEGSKSKKRRRMHKNVQAITYFGLVWKKHKAANDGHDFRANDLILKSKDGIGSSVKPVCCLCNKTYSPDLLYVRCQKCRNWFHGDALQLEEEKTVELVAYCCCRCRKRGIPHCPHSDDQMKPETSKQTVAISSQ >Et_9B_064780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18514441:18517973:-1 gene:Et_9B_064780 transcript:Et_9B_064780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPMAALAVALDAPATTPMPAVALAAPAATIAGVAKVPKEATAVTVETAPAELSSGGAERRSRFRRICVYCGSAKGKKASYQDAAVDLGNLLVERGIDLVYGGGSIGLMGLVSHAVHAGGRHVIGIIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPLLSFIDLAVNEGFITEEARRIIISATTAKELVTKLEEYVPDYDIGLVWEDQKQNNLVPELESRITSS >Et_10A_000646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14379264:14379618:-1 gene:Et_10A_000646 transcript:Et_10A_000646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLATSAVTITYVAGLNPPGGFWSSTEDGHHVSDPVLQDLFRVTTSFVASFVIIMLLLDKKLTRERSAWFVALYGFIGVALFSLVGAYIAGSRREHDNTVPSMLPLSLMLFRYTSAF >Et_10B_003588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3581201:3588732:1 gene:Et_10B_003588 transcript:Et_10B_003588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPKDMASGDALLLVVVLVVTVAVLAVLRRRSGDRDGAPSPPSLPLLGHLHLLGKPLHRSLAALAAGAGGAPLMSLRLGSRRALLVSTHAAAEACFSAAHDAALAGRPALLAAERLGYGRSTVVWAPHGDHWRALRRFLAAELFSAARLAARAADRRAEAAALVAGLFGDAHSGGGRDAVKLRLRPRLFELVLNVMLRAVTGAPGDAGEVRRFQGMVEETFAVSGAPCLGDFFPALRWLDRLRGVDAALARLQARREAFVGALVDDRRRRRRTRDAAAEKKEGAIDELLALQEADPEYYTDTVIKGIVLVLLSAGTDTSALTTEWAIAELLTHPKTMQKARAELDAVVGTTRLVDESDIGNLPYLQCVVKETLRLRPVGPVIPAHEAMEDVRITVGGEVAVVRRGTMVLVNAWAIHRDPKVWDAPEEFRPERFMDAGGAGGAAPMLPFGLGRRRCPGEGLAMRLVTLTVAALVQCFEWEVDDDVDMEEGVGLTMPMATPLAAVCRPREFVERMLSVPTSKKTKLDYYTLHLHQPPPRRTMPMSIRTIQGRRTGGGAPSPASSLPLLGHLHLIGKPPLHRSLAALAGGGGAPLMSLQLGARRAVLVSSHAAAEACFAAPNDASLAGRPALLAAEVLGYGRTLLGWAPHGDHWRRVRRFLAAEVFSAPRLAARSAGRRAEVAAIVGNLLNDVAGAHSGGGTVTRLRPRLFELVLNVMLRALTGEPGGAGDARRFQEIVKEGFAVSGAPCLGDFFSGAASRRDAFVAALVDDRRRRRSDNGGGRDAEKKEKGAIVDELLALQEIDPEHYTDTVIKGIVLALLTAGTDTSALTTEWAMAELLTHPESMQKAQAELDAVVGTTRLVEEPDIANLPYLQCVVKETLRLRPVGPVIPAHEAMEDCTVNGDVAVRRGTMVLVNAWAIHRDPKLWDAPEDFRPERFMDGAGVGGAAAPMLPFGLGRRRCPGEGLAMRLVTLTVAALVQCFEWEVVGDDDVDMEEGVGLTMPMATPLAAVCRPREFVERVLSVPT >Et_7B_054036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14516633:14518177:-1 gene:Et_7B_054036 transcript:Et_7B_054036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLKLLVPPHVSSFFTLSLLFLTAAVLVLGRRPRTRSRKLRELVTAAVQRASGSGRDGGRRGLSVQVTERAVAHRALVQHSASFLDRPTGAVPSTILTRGRHHNIHSAPYGPYWRALRRNMAAGVLHPSRLRLLGDTRARALGSLVRALGSSGAAPAGESLHFAVYSVIAEMCFGEDVVVASELLGEARLRAMHKFQRDFLLALPSFGVLVRYPRIGKFLYPSRWRALVDLRRRQEESFLPLVDEVRKKMKQKAGRGGDESTFTSYVESLLDLRVRDEDGDDDRAVTDGELVSLISEFLGGTESTAASLEWTMAELVKHPEVQQKLRHEHGVIPEAELSRMPYLRAVVLESLRRHPPVPFVLRHVESNDAAAAALGVPGRLPAGGATVNFLVGKINRDAAAWSDAASFAPERFMPGGDGEGVDLTCTRGELRMMPFGAGRRVCPGLAAGMLHLEYFVANLVREFEWWELEGDEVDLAEFRGFFFTVMKRPLRTRLVPRRGT >Et_2B_020822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2474848:2475594:1 gene:Et_2B_020822 transcript:Et_2B_020822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSPVAESNDWWVGEQSCVSARTTRLVQLMIWGNAEPLPSALAVATRERGRHTELSSGDQNDLGGIHRQEDGAYATAEGLEVDERALVMRSALAATMRDLQAPD >Et_9B_065335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3919975:3923994:-1 gene:Et_9B_065335 transcript:Et_9B_065335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVITPTKLDNKYYVGLSNNLGMFQSDAALLKNATVKALVDSFARSEATWRTKFARSMIKMGQIGVLSGTQGEVRRNCRVINLCCQQHHWRSDHHCPPSFASIQPDDPRKAKVSFTVKC >Et_9A_060967.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21989342:21989349:-1 gene:Et_9A_060967 transcript:Et_9A_060967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DK >Et_4A_035093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9428295:9433057:1 gene:Et_4A_035093 transcript:Et_4A_035093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAPIRDLLTSFSPDADFLALSSGDGRIKVWDAVRGRLQTEFADIPSVEVGAVAEAKRGHLALDYTCMKWVQLSNKKKRKAGSSLLVLGTGSGDVLALDVAAGQWKWRISDCHPGGVTAVAYSKHGRNVYTAGTDGMVCRIDASDGSVVGKFKSSSKAISSIAVSSDGKILATAAGQLRTFDTSDNKKIQKFSGHPVAVRGMVFSNDGQYILSSGIGERYIAIWNLDGVKTQSSSCILSMEHPAIFVDCKCSDEEEIHVLAISEIGICYFWSGNGLDDLRNKKPTKIALSNSSLARSQQAFSIFAAKLQGLDGPNSAHVLLAYGSVVKPSFDKLLVSHGTDINLGISQDGVLLPNIQTTMPKKSQSAKKQETITVLDRANAEDAILPLPKIQTQEKKRKHEATKPIGDIEPAINSDIATTRLIEKRVPVQRIEDDSICIEDMLRDCGVIYTRVNRSLEEHPGIPTNILSDLFGSGSIKVHADLPSKKIRAHLRSLKPEGACKFLDSSLSAWKARSGRSELVLRWIYCLLVIHGRFIPSEKSRKLIKILEKMCAERYSATEDLLKLSGRLRLIVAQIDKNTNVSDLPSEEMQDAAAVQSDEEEDEEIDETVFGQDSDLSQTSDDDAE >Et_10B_002618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18351295:18351639:1 gene:Et_10B_002618 transcript:Et_10B_002618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDTHDSPEQQRDEAEEEHGHRCKRSRVAASSKAILGLRECAVCLQDFDAEDKLREMPCSHAFHQDCIFEWLRRNHVCPLCRHALPTLEDEDEVQELSMPAPEA >Et_10A_001164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21741482:21743700:-1 gene:Et_10A_001164 transcript:Et_10A_001164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCAKGAEQAAAADTTEEPKPEGPSGGEPSANNAAGPRPSSSAKPVGPVLGRAMEDVKASYSVGKELGRGQFGVTHLCTHKATGEKLACKTIAKRKLATREDVEDVRREPENFLLLSKAEDAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRKYGPEADIWSVGVMLYIFLAGVPPFWAENENGIFTAILRGQLDLASDPWPKISSGAKDLVKKMLNINPKERLTAFQVLNHPWIKEDGDAPDTPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEITGLKEMFKNIDKDNSGTITLEELKNGLAKQGTKLSDSEIQQLMDAADADGNGLIDYDEFVTATVHMNKLDREEHLYTAFQYFDKDNSGYITKEELEQALKEQGLYDAEEIKEVISDADSDNDGRIDYSEFVAMMRKGTGGAETTNPKKRRDLVL >Et_8A_057715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6416419:6419597:1 gene:Et_8A_057715 transcript:Et_8A_057715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVKLCERRTRRPLVPSEKGNAAAAPGGRRRELASRFKPIAAPAPATPGSAAARRCTSPSPARGSAVEGAASCNRARSADRARPAASSPLKPSATAATRSASPARDAAPEARGATPPRATSASARSSSPSRGSECAPVPAKKIDRLVRGLPSEVTKVKVLAVAEVASERNRSPVRRTSSIGNQCENARPMESPARRVMEQHRWPAMMTGGRGSAGLTSPASIAPPAAPAAGNAGRSVCSSSTLPAGHSPVRTRPSGGTGNGKCLNPSSEMAKRAAVRRSRREDHDSDASSQTSEGSKPASACRPSRAVPVPVLHRSSSSPRGRVVSAASSCSASNSKACQSPSRTRPSAACRSKCASAAAAQPGAAQQPVFNYMVDAKKGKKSAGQIENVHQLRLLDNRYLQWRFVNALSEATLSYRRNSAESNIFGVWKSILTLRDALTTTRIDVRLLKQEMKLYHILTEQITYLEQWPELEEENSSTLAEATGALKASTLRLPVTSGAQADTVEVKNAISSAIDVMQALSSSICYLQSKVEGRTSLVSELSVTAMQEKAALDECRELLATAAKLQVQESSLRTHLVQLRDDSG >Et_1A_007499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35190244:35202116:-1 gene:Et_1A_007499 transcript:Et_1A_007499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAYRPFDAKYMIKITPWSRIDRVASVPDMFPRFVYRLVALPDLGSRVGDQQCFTVFVFFFSLGCVLVFGLCCCAFLCLVSASGVPNGAGGVAIENVGAGTPGVSGAEDGHVTKDVTLQKPASKRSQRGTLMGVASGELNSSVSSKICSTTWWRNAFGYVAIQIVASLDPGVATWKQEASDARAVHLEKIYIAVDIKLLTNDAVSDTKQAVAIKILTDAVF >Et_4A_035894.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:880765:881532:-1 gene:Et_4A_035894 transcript:Et_4A_035894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASLQHHSDSFSCRWLKRGAPSFERLVDADLGHSFGSSRSFIDMDPAELFSMRWTGTTTLPAIDFDFGLPDASSDPSSPMLVSASQIIRDGRLLPCEPVRRSGVRDYDDDRRVTELPIASRWSSSGSSPLYHSAQSTPASLSSCSSTRSLAAGGNKINANKPAPPLLAAGRRGRAPSWKILLRYLRFLMPLYRKFRAMRRFSAPRPRVAPASPARASTSSLEWCHGNADTAVRDAILYCKRSSVRPLDKQIIE >Et_3A_026027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3617218:3622946:1 gene:Et_3A_026027 transcript:Et_3A_026027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTASHRRRSRLLSWPLLFVAILAVHSLAVYLFTRGFLLTRTELDLHSSRDDRPQDVSPGCASWPLPAVDRLVIVVLDALRFDFVAPSTFFQEKQPWMDKLQVLQELAADEKTSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMYQLVKNGKRVVMMGDDTWIQLYPEHFNKSFPYPSFNVKDLDTVDNGVIEHLLPSLHTNDWDVLIAHFLGVDHAGHIFGVESTPMTHKLEQYNKILEGVVDTLRSLSKPGGPHENTLLLVMGDHGQTLNGDHGGGTAEEVETSLFAWSPKAPPDAVLSVFGESSCNVDLHGKEMCISTMQQLDFAATISALLGIPFPFGSIGRVNPDLYALSAGTWDGQRMGTNGCASQNDVEAWMRRYAEVLCVNSWQVKRYIDVYSATSVIGFPSEDLQSIADQYSKAQTNWSASLKATCSSETDGQEEYIERKSLVLQRQIDAYTNFLQSFAKLARNAWTEFDLWFMGIGLLLMVLSVITQACALIRLNTMCQSSDQESTSSRVLVQFSFAFILVTIRAASFLSNSYILAEGRVANFLLATSCIASVWYSAAKGEFNREGFVFLLLNIFTRFGIEVGMSKQLTGPSISKDHPMSIICKKIGASSCDVLLELLPIMSLALVAYIVLKCISYAICQRFLKYFVFSGTILSYVSIAFHWASESPLFSQTEPIRELGRSLAPRFVYGIGGLSIAISALYRMLGRTDYLKMNQRITNLSAVMLCSWSPTILILLGRQGPFVALICMTGVWCVTKLLQKHQRESKPGILCVARPVSVVQWSLLAVCMFYLTGHWCTFDGLRYGAAFIGFDHFHIIRQGLLLSIDTFGVSHILPILSLPFIALVSCRTASKDNKVKGVIINNLTQVLLMYGLVTAITATLTVICVTIQRRHLMVWGLFAPKYVFDAIGLLLTDLLICLASLYYS >Et_8A_056616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13663692:13670207:1 gene:Et_8A_056616 transcript:Et_8A_056616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYLENMKSLRSYMNDLEEDAAKRSAEEQQQRTAIDAHDAEIVLVRAQVKQAREDAEQLTNARAQVCVDAVEKHSRIAALEVECATLKQTLELLNQEIASTSVKLNEKRLFYAKTEESMTVKLQEQQESGARKKQKELSVQMESTQLKIEDIKSKRSALLSEISKSKQILEHETNIIAGFPAALQQMDMKSLEEEYKALQGDKAEEIEYFQSLDEAIKGMKSISDLVKCPCGLEYKVELGGEAMDIS >Et_3B_031101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15766554:15770616:-1 gene:Et_3B_031101 transcript:Et_3B_031101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLALLLLLVVLRAATAGAGAGAATTVAYNDRALVINGHPLPQEHTRDLRIHGRSFLVAIVLTVLLPFRCIMQMWPDLIKKAKEGGLDAIETYVFWNGHEPRPREYNFEGNFDIVRFFKEIQNAGMYAILRIGPYICGEWNYGGLPAWLRDIPGMQFRLHNEPFEREMENFTTLIVNKMKDANMFAGQGGPIILAQIENEYGNIMGKLNNNESASQYIHWCADMANKQNVGVPWIMCQLDDDVPPNVINTCNGFYCHDWFPNRTGIPKIWTENWTGWFKAWDKPDFHRSAEDIAFAVAMFFQKRGSLQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNIRQPKYGHLKNLHSVIKSMEKILVHGVYNDTSYGKNITVTKFTYGGSSACFINNQFDDRDINVTLGGATHLIPAWSVSILPDCKNVAFNSAKIKTQTSVMVKKPNAGEKEPEGLKWSWMPENLQLFMTDERGSFKKNELLEQISTGTDQSDYLWYRTSLEHKGEANYTLYVNTTGHEIYAFVNGKLVGQNHSANGAFVFQLESPVNLHSGKNYISLLSGTVGLKNYGALFELMPAGIAGGPVKLIGANGTGGIDLTNSSWTYMSGLAGEYRQIHLDKPEYRWQSHNGSIPVNRPFTWYKATFDAPAGEDAVVVDLLGLGKGAAWVNGNSLGRYWPSYAASEMPGCHVCDYRGKFKAEGDGIRCLTGCGEPSQRYYHVPRAFLRPAKPNTLVLFEEAGGDPTRAAFRVVAAGAVCAAAAEPGDDVTLSCGRHGRAISSVDVASFGVTRGSCGAYEGGCESAAALRAFTAACVGKEACTVRYTAAFAGAGCESGVLTVQATC >Et_10B_002935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14126701:14128203:1 gene:Et_10B_002935 transcript:Et_10B_002935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPCTCVKGFTIRSPEDWQLDDRTGGCMRNSALDCTTNGSTICPKVPMKYKMLKVKVNAPKFVYIISLALHIPLATASAHFGLKHCLTLGNYSVVEIQIQMEKLFNFALLLKIRGFVIGVATGTSLLALGLFASIVLIIIWGNKRKSYGIQTKSTPGTNGITAFRYLYLQHATKNFSEKLGGGGFGSVFKGILNDSTAIAVKRLDHAHQGEKQFRAEVSSIGIIQHINLVKLIGFCCEGARRLLVYEHMQNGSLDVHLFQSQAKQSSLDVCLTYTAAVLKWSTRYQIALIVARGLAYLHEKCHDCIIHCDIKPENILLADSFVPKIADFGMAKFLGRDFSRVLTTMRGTIGYLAPKWISGVAITPKVDVYSYGMVLLEILSGRRNSCILCPCGASNHDVYWPVHVARKILDGDVTSLLDHRFCGDVNFQEAEIACKVACWCIQDAESDRPTMGEVIQILEAQLEAHIPL >Et_3A_023058.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:22091744:22092055:-1 gene:Et_3A_023058 transcript:Et_3A_023058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAARADGENLARARGCRKSWGFSWASRGPLVVFSYAAPSLFTPPHASLFSLLFDAFLSLSLSSRRVGNPPLSLSLSLSLSLSLCISPSSAFPRRRIYQVFYCA >Et_1A_005916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15584334:15588326:1 gene:Et_1A_005916 transcript:Et_1A_005916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDGICNNIHIHTTAAHLIKQTLNLIEQTLFEESIQKDAIASAHLAPPPLPQQLMAEPEPRRDRGLRLDPIIFLPLAFPVMPSCPTTRPAAAGRGYRRVPDDNRVLLGLRGRSVCSGMGRREKHAHVRGRERSAAPEVRQEEAEGEARSEGHPKRGQGFMAGAAAAYGSGERAAAPWRLSQGLRELAGGGGGGHRRGGGVWLGRGLREGGGCLERRESTRLGRMKIRRRSRQQRQRRNFESKQRKTEGTNCQARKKGGIALFPFCSARWSDTPSVVAPPAPPGRFCPVICRPSWEPSLADLFPERSPSSKSSQAFLFPLPFPGLEPMPPLVSEQQAPAPTPEAQSSTPTCAVCRGDTGGRRGGQASVMFECCHSFHLRCISGSACPVCSTPWSHLVTVSPSSPVDSWRRVTARPARCALVKSDPGSVCGAAPLIASSFVVCQCATPAAGRVLESSTNTHDQLSMVYCTIHPGCHALLFLEMT >Et_8A_057721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6389380:6395299:-1 gene:Et_8A_057721 transcript:Et_8A_057721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPALAAPSTSSALRGARRRSSRLIGAQRRSDQAQPPRPPSPAPSSPPPDSKESTPPSVGVVLRRSGRNHRLSIRGLPSATPPSAPRQPRKASAEAAAAPRKRIEAWAEEWGREKAASGAPKEECDLPFLRKGAPRKVECLICSKSIQPEERTECSANHCEVTLHKACSVKHDGCCPRHICFYCKRRISKRPVKRTMPACTECSLKQNRMIASEDPSRAMICWSLWPSRSEDAGPAKGIEEAFRRLPLPYTDQEFSIDPNNKKDLENETEPPPYMLILSRAKVAVMKLKMDVSIVIMTQPVKVVPAVKCSNKPFRREKKVNIVKTPHCGWGVITLESIQKDDFVIEFVGEVIDDVTCEERLVDMKQRRDQNFYMCKVSKDFVIDATFRGNACRFLNHSCQPNCQLEKWQVNGKTRLGVFASEAIEAGMPLTYDYRYNRITVSKARGC >Et_5B_044214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21615254:21616774:1 gene:Et_5B_044214 transcript:Et_5B_044214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPPGSGGGGGSSAHGIFGSSGISGFGYGVGVSIGILLIVSTIALAVYFCTRTSMPVGAAAFFAPQPPPPHPRGGGDVEQGGIDEATLEVFPAVAYAEARKQRPAAQQACCPVCLDNYADDDVVRALPDCGHLFHRGCVDPWLRLRPTCPVCRTSPLPSPMPTPLAEVTPLASARRSTLTNSLLTRSSILFSKQLRRKQEQRVRDHEQRDAWTISPSPDATNHPPLLVTSSKPLHLPLQVPHDLRHGDDRGVAVGVLVPAPGAQPRDEHEVPELPGVVGAAEVGVHHPVHGAGEPGLLHPPHELAVAARGLPRHQLQHQHAVLEHQLPPPLLDLACTATPSCDISVQTTHGWVIGETLVGNWRVFCKPWKDSASVIARLMS >Et_7A_052598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9727309:9729394:1 gene:Et_7A_052598 transcript:Et_7A_052598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQLSWGRQEVDGWRKGPWTTQEDKLLVEHVRQHGEGRWNSVSKLTGLKRSGKSCRLRWVNYLRPDLKRGKITPQEETIIVQLHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRREMQSQQQQQQQQQLQLVAKDDNELDATRAAGTVTDDEDRGSAVVDDNACAATTTTAAAAESGGHQAQHEEDLIVMHDAMDFMFPMSCALLLHGAGGQGVAGDGSCCGSTSEEYGSSEVEDGATWGSLWNLEDVAVDGGACTLLW >Et_3B_027929.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27106622:27107752:1 gene:Et_3B_027929 transcript:Et_3B_027929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LFSLRRHHRRRINNAGGGASVAALAVGGGGCGPPSAYPAERRGLAPGALRAGAHELPDGPLHAGHGHHLRHRLRAQAPAQEHQRRRRVLPGPLRAAPHLGHQPRHGAVDAHDRLRAAALRQCGEHGRGGLLLADRGQGHLSDAGVHELPDVLPLAGEHQQRGQRVLLGARRAGAHEMHKLLHDRRVPAEPAAVGVDGRQAEHGGRRVLLGAPRRAGAHDVDERLHGAVGVHEALLVGLEDGEVEQRRDGVELEPGVLRVEQGHQQRHGPGLADERAALGAGLGQQPDLVDDAAGRVGGRGEGDGGELLHEERRRHARRLLRRLVRGHRRHDRLAPAGLAAFHGHAVLPAPLGDQVLERLVGHQLQVREHLPRLGAR >Et_4A_034359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3208424:3217935:-1 gene:Et_4A_034359 transcript:Et_4A_034359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRPEAAAISENEIRITTQGLIRNYVTYATSLLQEKRVKEIVLKAMGQAISKTVAIAEIIKKRIPGLHQDTVISSISITDVWEPIEEGLIGDDSSFIKLHCIQKQPSPKDISSLNNINNTNQDKIKAKQIHMAVAVVEEGGEDGAGVAGVDTVEGMVDMITKEGMAGMDTKADMDPKVDMATREDMATTKVVMGVMVMIKVDMEDMKMAGTTTETEVVVVAEEEATGVMVVLGMSVVAEVEVDLASGAMREAADEWVVAVGGSTKTIRPSCGRMCQSAHEDGVASQDGSANLTLSVIQIVITQTNLV >Et_5A_042017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5275874:5277710:-1 gene:Et_5A_042017 transcript:Et_5A_042017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQPQPQKETEVFDVVIFGASGFTGKYVVREALKFLSPSSSSPSSPLRSLAIAGRSRDLLAAALRWAAPPPENVPILIADSSDPASLAAMAARARVVLSCAGPFRFHGHAVAAACAAAGVDCLDIAGEPEFMERVEADLHDVAARNGSLIVSACGFDSVPAELGFLFHSRQWEPPSAPVSVKAYLSLQSSKRIVGNVATYESAIIVDAPLKGSLIEYGNPLRMWAMKIPTADTTVVKRTLSTMTEHPEGLPGVKESPKYIEHRKNFWSSIKPAHFGVKIVSLNIVLRVLVTGLFMSLLGNFSFGRSLLLRYPEVFTLGLFKKTGPTEEEVNNFSFGMWFIGSGFTIASCASDCRSKLDKEIITKVSGPDAYITTQIILVQCALIFLSQRDNLPKGGVYTPGVIFGPTDLQQRLEENGFSFEIFVTKTFR >Et_2B_021037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25900162:25901476:1 gene:Et_2B_021037 transcript:Et_2B_021037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHKYWGVGGRRCGACEAAPAAVHCRTCGGVFLCTACDARPAHAGHERVWVCEVCELAPAAVTCKADAAVLCAACDADIHDANPLARRHTRVPIAPIGSTAAVESMLFGTAGEAAAEEQKGDEQQQQQHQQHNHHGHQHQHALNLNVEAKDMKLDYLFSDLDPYLNVELPRFQHADSVVPSGVAAVELDFTGGIGVKQSSYSSYTATSLAHSGSSSEVGVVPDTICIGAGGGSFELDFTRPKPQAYMPYTATPPSHSVSSVDAEVVPEQRGDAAAVPRPLVGEGREARLMRYREKRKNRRFEKTIRYASRKAYAETRPRIKGRFAKRADNDADDADAEAEAVVAVPSASSSYMLDFGYSVVPSF >Et_7B_054666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3258381:3259904:-1 gene:Et_7B_054666 transcript:Et_7B_054666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTATSASAQRRWLPWARRQAAAYLAAAAGREDGWAASLVSAVRVVACFAAMMVTTAAWAVVMLLLLPWPCERIRQGNLYGHVTGRMLLWILGNPIKVEGLEHLNNRGIFICNHASPLDIFLVMWLAPTGTVGIAKKEIIWYPLFGQLYVLANHLRIDRSNPAAAVASMKDVARAVVRNNLSLILFPEGTRSRTGRLLPFKKGFVHAALQTRLPVVPIVLTGTHLAWRNGGVRVRPVPLTVKVLPPVRTDEWEEERIGDYVEMVRSIYVDNLPDSQKPLEALEADWRSD >Et_4B_038590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3124388:3127871:1 gene:Et_4B_038590 transcript:Et_4B_038590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSGRALAAAGRGDRIAAAWAAVRTQAVAPVLQTAVWACIVMSVMLVIEITYMSLVSFVAIKLLRRLPERRCTSFLLVQHVPSHGLRTELVQFECKEWASKKINIKYEVRDNRKGYKAGALKKGMEHSYAQQCDFVVIFDADFQPESDFLLKTIPFLVHNPEIALVQTRWEFVNYDVCLMTRIQKMSLDYHFKVEQESGSSMHAFFGFNGTAGVWRVSAINEAGGWKDRTTVEDMDLAVRASLKGWQFLYVGDIRVKSELPSTFKAYRHQQHRWTCGAANLFRKMAQEIGVSVWKKLHLLYSFFFVRRVIAPILTFLFYCVVIPLSVMVPEVNIPIWAMFYIPTAITIMNAIRNPGSIHLVPLWILFENVMSMHRMRAALTGLLETMYVNEWVVTEKVGDHVKDKLEVPLLEPVKPTECIERIYVAEILVSLYLLVCASYDFVLGAQRYYLYIFLQAFAFLLLGLGFVGTAEHCSCS >Et_4B_039271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9301727:9303873:-1 gene:Et_4B_039271 transcript:Et_4B_039271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGEAKSSASMGEEACAEKKYGGIAPKKPLISKDHERAYFDSADWVLGKQAANSSTRVAVESLKPKLKRTPHHQLPPRKPTCASS >Et_5B_045081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9349009:9354004:1 gene:Et_5B_045081 transcript:Et_5B_045081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSILSTVHQIRSAQRAHGPAVVLAIGTANPANCLLQDEYLDFYLRVIKSEHLINVKHKLRTIELGAKRRFFHHTEDMLNAHPEFLNHTSASLDARLDIVSKAIPELAASAAKKAIDEWGEAATDITHLVVTTNSGAHVPGVDFNLIPLLGLQLSVRRTMLYHNGCFAGGAAMRLAKDLAENNRGARILVVCADLTIMLFRGPKDGCRQTLIDQALFGDGAGAVIIGADPVAPIEHPLFEMVLATQNVIPNSAHALNMRLTSLGISGFTAENTLGMLIADNMEQIIIDTCGPLGIKTEWNDLFWVVHPGAKEILDHIEMVLKLKHEKLAASRHVLTEYGNMFGASVIFVLDDLRRRMEKDMDETPEWGIMMAAGPGLTIEVMVLQRCIDNRRETTTAS >Et_1A_006011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16631129:16638228:-1 gene:Et_1A_006011 transcript:Et_1A_006011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVATFQVDIQRDAVVDGTDKQDDGGGSGVLRPYRICHLAIISTTFWALVFLVRYSSSVQGGDGLASVLFNSSTFSIPLLSSVTLDPGRAPPASSATAPPATGKQEDQQSVTAPADDDRCAGRYIYMYDLPPRFNEDLFRDCSNLDLWIDMCPYVANRGMGAELGVEGGAFSDRGWYATNQFMLDVIFHGRMKHGYECLTDDPARAAALYVPFYAALDGGRYSWNSSSLRDALALDLERWLARRPEWRATGGRDHFFVAGRTAADFIRRGDNDWGTKLLRHAFVRNMTVLVLEAVSWVEASNVAVPYPTYFHPETAADVAAWQAKVRETERRWLFSFAGAPRPGNTQTVRGEIIQQCGASSRCSLYNCGAPGANCYAPGGVMRLMESSTFCLQPRGDSLTRRSTFDTILAGCIPVFFHPGSAYTQYTLHLPKDPGSWSVLIMHTDITGKNVSIEETLSKIPPEKVKAMREEVIRLIPKVVYADPRSRRVDFKDAFDVAVEAVIDRVAKRRRNTTHIQKEAMDAADKHGAGGMLRSSGIWKLAILSTAFWTLVFYVHSCMRGGDGVGSVLLKPSTSTSPPPLFSSDTAVRRPPPPGQQQQQHPPVPVQENQSQNATAAPGDDDRCAGRYIYMYDLPPRFNDDLVRGCRKLSPWTDMCWVVANCGMGTPLGGQGGVFQARGWYATDQFMLDLISHCRMKRYGCLTGDASRAAALYVPFYAALDAGRYFFNSTSARDALPLDFADWLVRRPEWRASGGIDHFMVAGRTSWDYRRVADDEWGNKLLNLPALQNMTALILEETSTTSRNTIPIPYPTYFHPETAADVAAWQDKLRKTERKWLFTFAGAPRPPSSHTVRAEIIQQCGASSRCKHVDCGGAGGGGSCFWPSGVMRVFESSDFCLEPSGDTPTRRSTFDAIVAGCIPVFFQPDSAYTQYTLHLPKDPDSWSVLIMHTDITAKNVSIEETLSKIPPEKVKAMREEVIRLIPKVVYADPRSSRVDFKDAFDVALEAVFDRVARRRQGTATGDEN >Et_2B_019469.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5723230:5723322:1 gene:Et_2B_019469 transcript:Et_2B_019469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMTTAGSRTSSPHYSATPPSLTSVCSGF >Et_7A_050568.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:25244806:25245117:1 gene:Et_7A_050568 transcript:Et_7A_050568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGALVWDRRARASPSGSVIRRWLALLLTWQYSAPFAANLCASASFFALLGAAPISVAVPVTNAVTFAATAVAAAVLGERVRPGPAVLGTALIVLGVWVCIS >Et_3A_026537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9456727:9458668:1 gene:Et_3A_026537 transcript:Et_3A_026537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATECKGGFDRMSPSSSMDSSTHPVLSTTTSGCRPARRDLSTDLQLGLSLSSASSLLAADSKSIPSTPRNQGHSDWPPIKTFLRSALTASSRRRRTLFVKVYMEGVPIGRKLDLLLLDGYESLLAKLCHMFKTTITYADVMEYQRKVPHEKAAHVLTYEDQDGDWMMVGDVPWDLFLTSVKKLRIARTDKC >Et_3A_023886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1472889:1477960:-1 gene:Et_3A_023886 transcript:Et_3A_023886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGYPDIGVENCSDHILLFNLLTGVTHLKFEDIIISYYFFIFTAFSHIYLNNISRAAFLIACFSSRQFGSPSTDGQSFSEHRSTPDAMSNPDNISRSSSFSSKTRLSFVEQVLDTKPSAVPHENGHEKSSNAKLQKHSVSLSPALLNGTSFKDSSGSLKQTSLPNDMVARPSVKWDEKSAIIMSTSSVYCDDVVMDKADDSEHNHISLVEKEIDHRETDTLEQQEPSLQKMKLPLPSSGLNHHDEISGEADNYLDALNTLESETETEPEVQTKSQVISAPSFNALAPQVVTVDNIVSQHPDSSVSEFPDTCQDADITCTSERTTDILDLSNADPLEVLQVADSDHTAAIPETGSSAINNVHESDGEGSCGDPSDISEPESQAHTDVPPDQGSLVSDIVPESKAEDGSGEFPKISGPRLSAYAVIPSVKESSGANQIIHSNTGEINTYVGSGPTSSDTLIDKPFQKAFSAESSPGETTGDSCVVSESKSKPQDDPGKNHDEASEVSDFQSEPLNEPSENRCATVDIPTNSCSTSIGSSSVKLWTNAGLFGLEPSKPPVFGAQDGPRENSPPDSKELQQGHSSEYTSLHSPKPTESAVVDVSNGNTSITSSFVGKLVGIRPGSANSNSSGANQLAARTPDSVHSQIDGHSDCSSSFEHNEHRNSVGKHASISELLESEENAENGAGTYSSTDIDGRNNMHMVSASSFSSIAQRFLANTLQRRASPKYNDIATSARTSTDASANDQSTSNHVVERRETVFGESQFEKSAENGMNGLSKSSIFSSRRYSEKSSPPLEYMKISFHPMSSFETSKMNMDLCDGGLNENSDDMMLPTFQLLSEVSIPQPGSGSESEDDTFGRSYSYSSYDDLSPRLYSNSEVWDQEDGVGLDEHELYDDSNQSAAPISSYVEFEQMNLSGMKSTMSLAEIGDQDALGTLEPHTVEELPNFDTLIPRSDNQNGEISIPHNPVNLPLDEDQLPPPPPLPPMQWRMMKQTTSLEEERGTPAKDMFRKPSSLPHVDTSAQEEHLPPDASPDTQGHAKEVDVQKTDGVNKISNLPALVEIKSSLLQQIRDKSEQLRLNGHEKSKAVGSNVKKLDEREELLQQIRSKTFNLRHTNASKTDTSSQSTAKSNVVAILEKANAIRQAVASEDGCDDDNWIFLYKQKLDEFSEFPIKNHLQLFKGPKLQVCGRLDQLRRWSGPDASKDAEADFDDLHSKYRCDRVSMKHLLAGTYRFSHLGTAETDISSRNTTFGLHLVKLDIRPPQTPWT >Et_4A_034635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4993154:4993841:1 gene:Et_4A_034635 transcript:Et_4A_034635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKNDTDYVEDEDRLSKLPDDILLYILEKTNIVTSVRASFSSTRWRHLPSFLSHINLDIWDFLPSNKNSVNDTEIDEAMSAMTKAARVCLAAPGRESAMKTVSLHLCLTTNFLHDIGELVCKSIENSRIKGVELALPTKKTNLDCGNKVQHAKNLVCFFLCFTQSVTMHNKACSP >Et_8A_058163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22455772:22461985:-1 gene:Et_8A_058163 transcript:Et_8A_058163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATVDELSAAYDEFVAAAAAVVEARAQAGGVEKTAATDAMLEAFKQRWELFRVTCDHAESVRQCIGSECLVDEATGSASSAPAAPGIKPISAVRLEQMSKAVRWLVIELQQGAGGGATPPGVAGAAATPTAGAGGQQGHIFEKDELVASFLRKCELVRTHLAIAYLLLCAVLGEARIHPAVPLLLPGAGAGSRSSGAATGTLKLNFNLGMEEEAHEEAEEEAPKDESEIQLGMEMDGGDGSGGGGPAHGSTTRMDGSDLPAAAGDHPPETVGDGGAEGEQVAPRPERCEDLAAAIAGSHHSSAGKCRSKPITRGCAKKRGLFCPVHGTPPTFIPKTGQIAQEVR >Et_9A_063427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2448445:2450918:-1 gene:Et_9A_063427 transcript:Et_9A_063427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRAFAILRYDLHGGEGALSAIDGPDGVGDVLHEFDRDPLLLAGDCGRQLMYAALASYSLYLWSLETMGADGDAGWTPLRVFELGALLPTPVRWHWRSHLIGAAPGPDESDMIFVATDVGIFAIGLKPRWIKKVYDGPCQDYYDVFPYWGFLTPDHVSGGLSAEKGN >Et_4B_038349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28349819:28352306:-1 gene:Et_4B_038349 transcript:Et_4B_038349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WIERLPKPVQADRAQPWPRNERERPSEREQWVNSTPAGVMAAAAWPLSAVAGFLPASLSLTLFVATLVVVLVLGAAAVFFEHIRKIGCMHSLERSSVSDAFFEDPNSLKKVPCPSIFDPPEKYISLIVPAYNEEHRLPTALTETLNFLKRRSTADKSFSYEVLIVDDGSTDCTSKVAFNFVKQHKIDNVRVLLLGRNHGKGEAVRKGMLHSRGELLLMLDADGATKVTDLEKLEAQVRTLAKEVKPSPGASTSSSQKLSDVEIAVFGSRAHLEKQALATRKWYRNFLMKGFHLVVLLTAGPGIRDTQCGFKMFTRSAARKLFTNIRLKRWCFDVEIVYLCKHLRIPMVEVSVTWTEIPGSKVRMTSIMHMVFELLLIKVGYGLGIWKIYS >Et_2A_016577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26235036:26252624:-1 gene:Et_2A_016577 transcript:Et_2A_016577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDMSIIEPLVLANVVQDVLNPFTPTVLPRITYNNRLVLAGAELRPSAVVSKPQADIGGNDMRAFYTLVLVDPDAPSPTNPSLREYLHWMVADIPETTSVSFGMITMHIARISETGTKIWHPSDGHRVI >Et_6A_047410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5290370:5294274:1 gene:Et_6A_047410 transcript:Et_6A_047410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNQQSRRSSGNSHGSGYASRPTAMRISTTEVDKLGRRRRSRSPKRAILPHRLHPSWVILNRVGARRDSFHGDFTTSAVSHTSNGKQISVSFELVEPPETSLLVLDWPHGPDPMESTLSHPHVISSHGNTVLFEVTSGASCLSRQTDYFVYAASSEPSKQPSLSRLPVCYWKGTTSSEPRRPRILNRKATGVLCLNEHPLSFQVFELEQRTDSASRVNIFVYGSDIREWKVLQSVRVYNSSKGSDLCWWSTDAVLSWSVNQLIWVDYYRGMIIGKFLGDKAYLRYVALPVDSLDGDTYNSEYGRGCPEAFRSLCVLWNHCVKFVDVNQKCNNGFSITIWSWAASCDIWTKEAKLDASELWALDTQKRLPLVRPEFPVVNMMHGDAVYFFLSTDNTSFIIEVDVKKHVLLGATHYSCEVNMPNARLMSQGWVILTRAGARRDLFNRDCSTLSFSYTSAGDQIFVSLELVEPPEISVLTLHWPQGPDPIGSNLSYPYVIAADNVVVLFEMTLRAEFRNNLVNYFVYKASIDPCLQPSLLLLPTLYHKDNWSGRRPRQHILSKKSTGILSYGTKSFIVAELQTTAGSCSGVDIYLLQSRTLEWTVFKCLPVHFDSGGPGSLGWSPDVVLPYNSKSLIWVDYCRGIVFAEMGNNIMCREEPRLSYISLPEEARSFGKALPEATHSLAVTGSGIRFVAVNDKKNCSSGVGSTFCITLWSLDSHLKWNKDASMDSEDLWALDSENRFPHVLPEFPVINMENPDSIFFLLNEGRYSAMIEVDMRKAALLAVTSYSQDITLPAENTTKYARLISQGSSFVSSKISSYLYLYKEETCLKRSTVQPYCVCVKGTVQ >Et_1B_011601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2464493:2477809:1 gene:Et_1B_011601 transcript:Et_1B_011601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAADLLAALSSPSSHAGLHSRFAAYLEPFAPHLPSSSNPNPKPPPKRTTKQNKQQPPPPPDAVALRPLAKRFLPFLASALQLLPSLVRKSPVSGNAGGGGADELLEIYGLLLDCLAVISPCLAGKPYSVLLQRGRFVCCLESCGHLARAEAEAAATLDSLRSALSPPTTSTKSRRGTASAVSILPDPGIAGDAGTDPEVTTLAVELTVCLANCASKGKVKEVAPYERVLSLVQQLEPWLRIVAEDVSRKYSALLVNAMSRCTFFLVAESSFFDADLVHGFGVATLKECAKAQMIEHLLAVARKICSLVDLSWAGSTKLLLDVLNFVIDSVALVKVDLPKTVNEFMSFVAYFSRSLNSANKDVRYGASKLLYKQGGCFSEVSPPSASMLLLYATGLYLSTEQAESEENPCISADILDSEKHLQSNDNISFVAYLDSLEFVCKMLLLQANTIWNMFSDGKTIHYSGNMDHVLTALHQFIDSSLAAYSCSKMSEGDNERLHEQRRTLLKALVSAIKVSFVMNKDVQKSLSSVNCAVSSTWLTLEELNFFICSLGNIGVTLHNTGHFEEAPKALELCCETIWAHTRISYFRLSAKSEGNKSNKSIEDPPKYTLRDIIVDAFTRIAKMIDTLNRCGAKMTREIIVKSLSELLPYGNVSEYFNSSLILIKLWVKTTCKDFKDDQGMHDAPLLYHSLLSYPSPLPNELIGLIVEQELLAYGLIEARDTTFCAKMQMRIIDVLLDEIYCSKEFYLERSRVLVRKAGALRASGVKYIHSCLECLSEAISLLDSCRDNTAVINQLAIAYCLHAHCAQEANLDGKVILENAESAYKLWSKMETSDHFSPGMVFEQPSKDILPLLCSLVDLLAMKGCFEVQFDLCKLMIKIWKRENLPLEKLFSSLFTNGRLSHAGCHIPMDQQFISYVAEHLGVECQHIKFWRNCFKGDLPSLAMFLQKMLCSDLFFSPSCECSSGRLFSFDASVDEVNKAAASLVSEVNSDDQSSFVAAHLYYDLSERLFSGGQLFQAFSYGKEALHLRRKLLKKKFKLTSAETGKESLHRNQGLVSLEAWGPTTAEIWPDFARSGSKRVSTLTPWNVLRCYLESTLQVALMNELIGDGAEAEFLLRAGKEISIFHGLPVFCIAFTSLLGQLYRKRHLWDDAERELNYARDLVENEAAMSCKLCKLTLEVSVDMQVGDLFWSLFEKDFQKQSVANMSSALGMYQSTLEKLNSSGLEFHAGSYNSHKTGSQLCCNGCVALTEHEVSNLGKEPLALKGCVLPSCHVCVLLRQSSIDQCNEPTSLKSRRKNSRIAEAGPTLDVKAKRASTRLAKEQNMETNARTRTRSKRISHVTADNGISRNDELPADVLTRSKSNHLPNGVDCNKDDICSMFGCWNCLLVKSLNSGCVQNILQFRWDCLRRRYRVSLLLKIARVSGAHKGHLGAHEVHCVYWQCISLLYFRSLPQDSYKFYGPDLVKVIMDGSSGDFLPLERAEILYSLSFYLLKGIISEQSRDACCSFSSVEMSDVVPWLLKAFVLSRESPLLLQQVCRLLACIFLLSTVDASIQLPLFSQGSLSLDHWVAYFHQISVGTHLNCQYLATLQVLVRENMSKVCTIRPFRFPSVDVLHIEKYITEFFTKLPDVPIICISVFGDDYVNVLGESLLLPSSFPAWMLLSRFDSTCKPTTMLLPVDAIPEEIQPEDPSIKDLGNPTSRVLDKKWQCPWGYSITDSVAPAFRTILEENFVSLSSATLTINDVKANHVKWWSHRMKLNNYLDNFLKDIEESWLGPWKCLLLGRQLAEQHIDPASSSIIAGLEKEFKLETNPALIKAILGGGVSLDEVQECIYQLILYKGYFGRGGCCGKDRLRAFSSRHVVDKALQTLRCLIKDAAEELPEPVHRDPVIFVLDTNVQMLPLENLPVLRNQEIYRMPSVGSILFALARSSDRYKDGDIIGSPFPAIDPFNTFYLLNPSGDLSSTQKEFDQLFKNYEWKGKAGSGDPIKAEELILALTNHDLFLYFGHGSGTQYVSGKDIEKLDNCAAALLMGCSSGTLHCKGSYAPRGAPLSYLSAGSPAVIANLWDVSDKDIDRFSKALLDSWLQENFADDNNCAQCCQLTQELEAMNIAAKDNGRTRRKGTRGKKPKQITDSTNCCSCRRRRIATYLSEARRACRLPILIGASPVCYGVPTIIRKK >Et_7B_053968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13858190:13861709:-1 gene:Et_7B_053968 transcript:Et_7B_053968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSHLAAASSPATAAVAVRSTATSSANAFARLPATPRLGKCSLYVRGGRAASPVVVAAGPAAVAPVADLNGRPATEKQPIIVIDNYDSFTYNLCQYMGELGLNFEVYRNDELTIEDVKRKNPRGILISPGPGEPQDSGISLQTVLELGPAIPIFGVCMGLQCIGEAFGGKIIRAPSGVMHGKSSPVFYDEELGKALFDGLPNPFTAARYHSLVIEEETFPHDALEATAWTEDGLIMAARHKKYKHIQGVQFHPESIITPEGKRIILNFVRFIEELEKQQHS >Et_1A_004689.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30824250:30824602:1 gene:Et_1A_004689 transcript:Et_1A_004689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSISTRSLYALLALATTLPLALATFPHRALLQTCRPNGSIRGKSGSCSTQNDSECCVDGRRYPTFACSPAVVSTGGTRATLTLNSFAD >Et_4A_031845.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22376253:22376969:1 gene:Et_4A_031845 transcript:Et_4A_031845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDQDDAPDGGSGGEDSPLFHRRGPFSADVAEVPVSQSLIKVASNVCFSLFVLAVLVVTVVAVTYQPPDPWLQSSTAITTSLSRVLSSSTFLVPDDSLLPTVEDFNSLSSSIPAPAWEDLDDSTAVPPPPLPRRAAGDAGPGERVAADGGEAGRASAWLRAVGWPDQRVAADVVPPPPPRAAAASALAHPPALLDYAAIHSCLLRGDARLSLLLLAARLRLSLSMTAVTLLAAAPP >Et_2A_017178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31816070:31817424:1 gene:Et_2A_017178 transcript:Et_2A_017178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNDMIVRFFVLLALVCAARAGKAAEKKESADEGGAKASGDGGSFDITKLGASGDGKTDSTKAIHEAWASACAGTGKQTILIPKGDYLTGAINFTGPCKGDITIQVDGNLLASTDLSQFKSNWIEILRVDNLVITGKGKLDGQGPSVWSKNSCAKKYDCKILPNTLVLDFCNNAVVSGITLLNAKFFHMNIFQCKGVTVQDVTVTAPGDSPNTDGIHMGDSSKVTIAGTTIGVGDDCISIGPGTSGVNITGVTCGPGHGISIGSLGRYKDEKDVTDINVKDCVLKKTTNGVRIKSYEDAASVLTASKIHYENVQMEDVANPIIIDMKYCPNKICTSNGGSKVTVKDVTFKNITGTSSTPEAVSLLCSDKIPCSGVTMDNVKVEYKGTNNKTMAVCTNAKGTATATLKELACF >Et_8A_057402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2743676:2746803:-1 gene:Et_8A_057402 transcript:Et_8A_057402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAATKRRARSGGGSAEEPDRLSALPDELLRRVLSFLPSEDAVRTTVLSKRWVDLWRSVPAINLFYTPKLQMELGEDEGFCHQLADASQCRVPGCVQDKWIRRAVKYHPLLLDISVHTPCNSRQYQVQFPRLASSLRRLKKLSLNGLHLEHSFAKQIRSGCPVLEDLVLRNCSNEFPDIQSDTLKSLVVRCCSSEVADVLRVKAPALSSLCLDIPLHNYVNGAAIEAGCSLVRASVSLITGDAPQRGATEILFSLANMTTLELCGFHATAVLDKEVDEFPVFDSLRTLSLNHMFASNCDLNKFKALGIFLQKTPILEKLTLKNFYVKPIVVPVEFPMLENLRTLFLDNCDLCDNYRLLRHFLENSPNLEKLTIRLCKLPGTSNGGKGKDKSKKTNSQLQNVVHFQCQKLKSTEILYENGGKIPELVSFLLDISGTAPKNTITLTKFEVEDL >Et_1A_006474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2323524:2325496:-1 gene:Et_1A_006474 transcript:Et_1A_006474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGTMRAHVALALAALVLAGDAMQPALAAGFNYKDALTKSIIFLEAQRSGKLPPNNRVKWRGDSALDDGKLAHVDLAGGYYDAGDNVKYGLPLAFTVTTLAWTALSFKPELQAAGELNNVHAAIRWGTDYLLKCAARKNKLWVQVGDPNLDHQCWVRPENMKAPRTLYEINEKTPGTEIAAETAAAMAASSMVFRDHDKKYSRSLLNKAKTLFLFAKNHRGSYDGECPFYCSYSGYNDELLWAATWLYMATKRTVYSDFISHEAISSSVAEFSWDLKYPGAQVLLAEFNMTSSGGAQSFKTQADNYVCAVLPDTAFHQVFITPGGLIHLRDGANTQYVTSTAFLFVAYSDILLRTGQHVLCGNTPVSPARLREFARQQMDYLLGANPRGQSYVVGFGANSPTQPHHRGASTPVLPPGYDVNCGMSFGEWFAPDRPNPNELTGAVMGGPDRNDNFVDKRANSSYTEPCTYINSLAIGPLAALAIRGAKLVATH >Et_8A_057464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3274106:3277409:-1 gene:Et_8A_057464 transcript:Et_8A_057464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPGLEALVERDLCSETPRQGHLSSYLQHRHSRSAISTYGNVPDISPRLSHHVPVGNLICFSFHVLSYASNKQKKYCSVTSFLLNFASATFFLIVQTTNCDKMLRRRYSLNLPEQLPEHRIITTAERTERTISKSVADLVWEIAVLEEEVVRKELHLLSLYRAAFDQYLGISPRASAQVDQELHRQRSKKKADEGALRLRDIKESASYNLPTLSDSKRELSRSSSGRSSLANFLSASITEYVPKICCKLSEDILRCISAVYCKLASRPSQDADFETSSTPSFSSSSSSFSLKYPADSWSPRCHYSADAGSDKYGSSDGNSQQYPGMIIFPRIHIDEGKFEYASKMLDTIRTLIKRLEKIDPTKMAREEQVCFWINIHNALVMHAFMAYGLQEKRMKNTDMILKAAYNVGGHSVNSQIIQSSILGCQSHRPSLWVRTLFTPMKKSGTGSSNHPYALHPEPLVHFALSTGAYSDPPVRLYTARKIYHLLEQARTEFIQANVMVKKQIIFLPKVLHYYGKDAALELPDLIEMVCESMPELQQEIRQYLKRRIDKCVEWLPYKSSFRYTLHRSLAE >Et_1A_006747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27014981:27018175:1 gene:Et_1A_006747 transcript:Et_1A_006747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSGAACRVCGGGGLGDCACHGRHGLVGGGGAARCGVADLNRGFPGMWQHHAEEEPAASVVVGVGGGAAAGPLQEFQFFGHDEDHDSVTWLFNDPAPHLQRGPAAAAAVGNGVAVADAAEQQRRQQAAPPLFDGYAAAQYGQLPGHGLTFDVPLSRAGDAASVLEAGLGLGAGGNLATTSSGTIVSFCGSTYTDAASSVPAEAAANGVTPACGSDPAMDREAKVMRYKEKRKRRRYEKQIRYASRKAYAEMRPRVKGRFAKVPDGSDGGAPPPPAAAATAAGYEPARLDLGWFRS >Et_3A_026839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22968775:22970113:1 gene:Et_3A_026839 transcript:Et_3A_026839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVALVCLRPQNSIRYRLLEAHALALEHTCAIAVDVYEEALQKAPEEQWTQLRQQLRNRRITLFEQDTSVMEYITAIGLEDTEKVFKLLKYVVAEVKTRASAGAG >Et_2B_019735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13258351:13266682:-1 gene:Et_2B_019735 transcript:Et_2B_019735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEHGEDCCVKVAVHVRPLIGDEKLQGCKDCVGVVPGKPQVQIGSHSFTFDHVYGSTGTPSAAMFDECVAPLVEGLFQGYNATVLAYGQTGSGKTYTMGTACKEGSYVGIIPRAMAALFDKIESLKSQVEFQLRVSFIEILKEEVRDLLDPATAVVGKVENGNGHAKLSVPGKPPVQIREASNGVITLAGSTEVHVTTQKEMTACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKTDPIMTSDGVPIEDMNEDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKRRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRNPIADEMKRMRQQIEYLQAELLSARGGTGSDDVQSLRERISWLEQTNEDLCRELYDVRNRSQTDPCEPEMQKVSNGFTKTEGLKRSLQSTDPFDVPMTDPVRGNTKDIEDEVAKEWEHTMLQDSMGKELNELNRRLEQKESEMKMYGCDTVALKQHFGKKLMELEEEKRAVQQERDRLLAEVESLNADGHSHKLRDAQLQKLKSLESQIQDLKKKQENQVQLLKEKQKSDEAAKKLQEEIQFIKAQKVQLQHKIKQEAEQFRQWKATREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKEILEARKSSARDNSGGANGTSPGSHMSEKSLQKWLDQELEVMLHVHEVRNEYEKQSQLRAALGEELAILKQEGIMAGASSPQRGKNGNSRTSTLSPNARQARIASLESMVTVSSNTLVAMASQLSEAEERERSFSGRSRWNQLRSMGEAKSLLQYIFNIAADARCQVREKEVEIKEMKEQVTELVGILRHSESRRREIEKQLKQKEQTAAMATTPPRSGNGSAKHCADDPSTPLSPVAVPAQKQLKYSAGIVNSPSKGIATFNKEQLKMIPIAQLSVGKKVSISGQSGKLWRWKRSHHQWLLQFKWKWQKPWKLSEMIRHSDETMTRARPRPQLLPHKPHRAM >Et_2A_016030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20307199:20310038:-1 gene:Et_2A_016030 transcript:Et_2A_016030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPRCAWESSSEDVTRPLLPLHDDHAPTTEGGRSSCCSGLRAALAANKYLAVASGPLACALICALADLGAGHRAARNMLGVLAWVFLWWITDAVPLGVASMAPLFLFPAFGIASADAVAKAYMDDVISLVLGSFILALAIEHYSIHRRLALNITSLFCGDPVKPHLLLLGICGTTFFISMWIHNTPCTVMMMPVATGILQRFPRDAAADEAREVRRFSKAVVLGVVYASAIGGMATLTGTGANIILVGMWSTYFPEQEPITFSSWMSFALPMALVMFLALWATLCLMFCSKDTGRVLSAYLDRSHLRRELSLLGPMAFAEKMVLAVFGSLIVLWMTRSLTDDIPGWAVLFDGKVGDGTVTILMATLLFIIPSGKSDGEKLMDWGKCRRLQWNIILLLGAGFAIADGFKTSGLTDILSEGLSFLRGAPALAIAPVACVFSGVITEFTSDDATTTLVLPLLAELGRSIGVHPLLLMVPGAIGAQLSYLLPTGSPGNVVGFSTGYISIKDMVITGMPLKIAGAAALTILLPTLGSLVFGMDQKV >Et_5B_044750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5305134:5310196:-1 gene:Et_5B_044750 transcript:Et_5B_044750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVESLPGPKVFKCKFCGVDSASRDAIVSKEFRGRHGRAYLFDSVVNVSLGPNEDRYLMTGLHTVNDIYCSCCQRRLGWRYEKAYNEDQKYKEGKYILEKNMMLKEGCGYIRS >Et_1B_011078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17258415:17258690:-1 gene:Et_1B_011078 transcript:Et_1B_011078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TRQRIRWSSRPSRAVLTFLAEYPVRAADLEHGRLRALLPEAAPELFEKNLLPADKYFLGNIPFPNLKIVS >Et_3B_030929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:889269:891338:-1 gene:Et_3B_030929 transcript:Et_3B_030929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RYSDLESYRYYTGYPEDLGPSRIIPFTSSVNLCNCCMKDNLCTYTQHLDKVLEEAAATFYPHIKFVRVECPKYPGFCLTRQKSEYPFVEVFYNPEQAASQGRGVDPNTTKYSVKVLPFNHDQSVYGFREYFKKHGFSYSKTM >Et_2B_021439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29678224:29680414:-1 gene:Et_2B_021439 transcript:Et_2B_021439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGDDGGDEKPATLLQRWSSSVWAVSSSGRLLWADKAWRAHAGMVFTQLAYGGYHVLTKSVLNVGMNQIVFCVYRDLVALALLAPIAFLRERNVRRPATPQLLASFALLGFTGIFGNQLLFLLGLSYTNASYAAAFQPAIPVFTFLLAAIVGVEVINIFTKDGIVKVLGTAVCVSGAVLMVFYRGPSLIGLGGTGSAEGNVLAGTWSSSNADPAQWLTSTMLQYGMETWHLGVLCLIGNCFLMGAYLVIQAPVLIKYPASLSLTAYSYSFATLFMLLTGALATNGLHEWALTTTEIIAILYAGIIASCVNYAIMTWANKILGPSLVALYNPLQPACSTLLSTIFLGTPIYVGSAIGGVFIIVGLYLVTWARYNEAQRLLTVGYLEPFLVEDRPISKTQGSSSRGSIEP >Et_10A_000247.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:19786782:19786850:-1 gene:Et_10A_000247 transcript:Et_10A_000247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPVLEQIMLGVRRPGIILFLV >Et_5B_043829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16592360:16601523:-1 gene:Et_5B_043829 transcript:Et_5B_043829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFIGIYALSYGQVMLITDPRVDHMFNKDMNNQSVIVTKKLLGFYASFEGVNTLVDVGGGMEATLHPITSHYQHIQGINFDLSAVNPPGQKHVVLVVLLWVAATQSARTLAVSHVFWPILDMNNQSVIITKRLLDFYESFEGVSTLVDIGGCLEAT >Et_2B_022450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22244184:22248094:-1 gene:Et_2B_022450 transcript:Et_2B_022450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEENAVPEDHIKEILVIHSLNHLALESRSMEVIFTALAAEVANRSLSFLISKCLEEATTSVDEMLQRLLLRIRVIVEEAEERSITNQAMIQQLNMLRKEMYRGYYALDSFRGNQAGNDEPEHQNDVDEATWKRLYVAAGCLESGSKIIVTSRLKTIINFGTTQALILNILPIEAYWYFFKARTFGSVNPEYQPKLESIALEIAREMNGSFISANITSSLLRNSFSAQHWLPMLAFWKKSKQRITSMFAGISYNDIKPQYFRRRDSCTYFVFYGGPARQDPLVPLAKSLYRCKCVSKAWLHLITDPFHRKRLPHTLVGFFYEETSEEEIIGVSSARRGDL >Et_9B_065304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3412123:3413828:-1 gene:Et_9B_065304 transcript:Et_9B_065304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPPSQSPAQTPPQQQAPAAGGREDMLACVAALEAALLPCLPARELQAVDRSLQSAHQIDVERHARDFMEAAKKLQSYFISLQREDRPTTEEMLRKEITTMEEELKTKAELIAKHKKLIEGWQKELKEQLGKHITELERV >Et_8B_060107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:626147:629623:-1 gene:Et_8B_060107 transcript:Et_8B_060107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWAAAVAVVAVLAASPAAAFYLPGVAPNDFQKVPSSSPKDSLQVKVNKLTSTKTQLPYSYYSLSFCKPNTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQVVCKTTINEKQAKELKEKIEDEYRVNMILDNLPLVVPIARQDKDAVVYQGGYHVGVKGQYAGNKDEKYFIHNHLTFLVKYHKDETSELSRIVGFEVKPFSVNHQFEGAWNDKNPRLTTCDPHANKAVINSDTPQEVEAGKDIIFTYDVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDIARYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLTWVLMGLFAGYASSRLYKMFKGSEWKSITLRTAFLFPGIAFGIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKQPAVEAPVKTNKIPRQIPEQAWYMNPAFTVLIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIVTCAEITIVLCYFQLCSEDYNWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKFVSGILYFGYMLLASYAFFVLTGTIGFCACFWFTRLIYSSVKID >Et_1A_005250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35303316:35305011:-1 gene:Et_1A_005250 transcript:Et_1A_005250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEIQVFVVPAAIAIQGCKILFAKWHQYGCLAKECHCCQWWCPHSNKLRLITTPSGSGLDGTTARQFPTSHHHHGRRRPPPPNSSPPLPRVTTAAGAAAAAELRSLAPPTRPELLSLDLPRYDPARSRPVDLAVVGGGPAGLAVAQRVAEAGLSVCAIDPSPALVWPNNYGVWVDEFEAMGLAHCLDAVWPSASVFIDDGSAKSLDRPYARVARRKLKSTMMERCVANGVVFHQAKVAKAVHNEASSLLICDDGVAVPATVVLDATGFSRCLVQYDKPYNPGYQVAYGILAEVDEHPFDIDKMLFMDWRDSHLPEGSEIKERNRRIPTFLYAMPFSHNRIFLEETSLVARPGLAMDDIQERMAARLRHLGIRVRSVEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLATAPIVADSIVRFLDTGNGGLAGDALAAEVWNQLWPGNRRRQREFFCFGMDVLLKLDLDGTRRFFDAFFDLEPRYWHGFLSSRLFLPELLLFGLSLFGNASNTSRLEIMAKGTVPLAKMIGNLIQDRDR >Et_8B_059496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18477338:18481076:1 gene:Et_8B_059496 transcript:Et_8B_059496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKMMVSASTGVMNSLLGKLANLMGEEYTKLKDVRKQVAFLHEELSSMAALLEDLADMDGLDNQTKQWRNKVREMSYDIEDCLDDFTRRVGGPHDSKGFLRRIKTLRARHQLANQIQELKSRVQEASARRMRYKLDDCMTRSGNVAVDPRMTALYAETSRLVGIDGPKEEVIDLLTKQVEDASVKQLRVVSIVGFGGLGKTTLANEVYGKLGEGFGCKAFVSVSQRPDMTMLLKSLSKQISGQGTDTYELHGLIDDLRKYLQNRRYLVVVDDLWDPSAWEFIKCSFPEGHNGSRVLTTTRVERVAVTCCNYQWGFVYRMKPLDIHNSRQLFYHRVFGSGNTCSQTFEESSDKILQKCGGLPLAITTIASLLASQSNRSVDQWNYVLKSLRSDLRSNPTLEGMRQILNLSYTHLPHNLKTCLLYIGMYPEDHDIGKDHLVTQWVAEGFVRGLDGRDAVEIASSYFNELVNRSMIIQLVEYHTWNKERIYYKVHDMVLDLIVTKSTEENFLGVVENLQAINARQQARRLSLQFDESGLDKSEPRMSLPHVRSLFIFGLSFSRLQLLGLKFLRVLFICKVDGLDLSPIAKLFQLRYLFIKSFHKHNSDIQLPRQIGGLQHLETLVIDGQLSLLPQDIVHLAALSYLKVSVSIAYPDGISNMKSLRTLVNFDPSKQSLDNLRALGELQSLRELDISIVDYSFATKEPHKDVLVSSIEKLINCNLRHLTVMTLDPTGYYDVWNSLCLSGSHLEKLHMWFPFPRLPLWVGQLNTLSSMDIHVDELYKDDIAVLAGLPALVRLVLFARHVPDGGIVFSSGTMFRTLGYFESPRADLTFEDGAMPKVETVRLQMDVHEVKTCGVRVTGIEHLPNLKIIAIGLGYSTSEESDVPILEAAIRKFFNQLPGRPAIHITSYLY >Et_2B_019010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23668014:23669493:1 gene:Et_2B_019010 transcript:Et_2B_019010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRRRRHGRALLLLLRGLVGGAGALGDLGVELVVLNEPVDEVPESGLERGGGAVPEVVRGVGDVGVREGHVAVAGHGDDALVGLLAEQLLEDGDHPRDGHGGCVAEVVDAERRGPGLLPGPGAGALPGGVERAEAALDDVVDVGEVAARVGAVGGAEERDGLAGDDAAGEEEVGHVGAAPGPVDGEEAEARDGEAVDVVVRVRDGLAGLLGRRVQRGRPVGAVLLGEGRVGVEPVHGRRRRPHHRRLRVGVLGGDLEHADEARHVGGHVRLRRLHGVAHPGLRRQVQHVRERRHVEELLEEEAVVDVGVEHDDAAGRQEPLPRALQGRVVVGVEVVQPDDAVAAGLEREGAVRADEARGARDQHGDAAVPARPRAAPYLLLPRGAAEEEPSRLRRGGRSGRAATGSAA >Et_4A_033808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27017580:27020069:1 gene:Et_4A_033808 transcript:Et_4A_033808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVACLIRSGVLEPLEHRLTEILIWSLQCYDAQVRKRLVPLLLRHRMALLTAPAMAALLLLVWTAYGDAGEYVLYKDATKPVEARVSDLLGRMTLAEKIGQMTQIERLVASPQVLKDNFIGSLLSGGGSVPRKQATAAEWMDMINQFQNACLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNVALGATRDPNLVKRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDHRIVQSMTELIPGLQGDVPQNFTSGMPYVAGKDKVAACAKHFVGDGGTQNGINENNTIIDRQGLMNIHMPAYLDALRKGVSTVMISYSSWNGIKMHANQDLITGFLKGRLNFKGFTISDWEGIDRITSPAGANYSYSVQASILAGIDMIMVPNNYQNFISILTSHVNNGIIPMSRIDDAVTRILRVKFTMGLFENPMADPAMASQLGKQEHRDLAREAVRKSLVLLKNGKPGNAPLLPLPKKATKILVAGSHADNLGYQCGGWTIEWQGDTGRTTVGTTILDAVKAAVDPSTAVVFAENPDADFVKNGGFSYAIVVVGEHPYTETKGDSMNLTIPEPGPSTIQTVCGAVKCATVLISGRPVVIQPFLGATDALVAAWLPGTEGQGVTDALFGDYGFTGKLPRTWFKSVDQLPMNYGDAHYDPLFPLGYGLTTQGKGY >Et_4A_033487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23603592:23604679:-1 gene:Et_4A_033487 transcript:Et_4A_033487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVALLISVMLLSTAMVSGIRTAGTVCAGAPGPAVAMTQAAAVEVTSPPVQSPSDDPYIDSKRKVPNGPDPIHNRYVSEPVLYADETVSETASQMGRCTGKESVGSVTAVDLIRAVQKKLKAIIDV >Et_9B_064918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19866740:19869215:1 gene:Et_9B_064918 transcript:Et_9B_064918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICAPSSDSSGSGARGWVVHGLALGAAAVAAAAAAYLYRRPAGFRSRAVGIIPARFASSRFEGKPLAPILGKPMIQRTWERVMLASSLDHVVVATDDERIAECCRGFGADVIMTAESCKNGSERCCEALSKLEKHYDIVVNIQGDEPLIEPEIIDGVVMALQRAPDAVFSTAVTSLKPEDAFDTNRVKCVIDNQGYAIYFSRGLIPFNKSGKVNPNFPYHLHLGIAGFDSKFLKIYPELPPTPLQLEEDLEQLKVLENGYRMKVIKVDHDAHGVDAPEDVEKIEALMRARNIQ >Et_2A_016993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3044927:3048052:1 gene:Et_2A_016993 transcript:Et_2A_016993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLASIVTHHKPHQTSKSRLRSRWLCEPSLGKQFPQRLRGANLVLHLPGAGLQEHLGQLQLLCGQAGGVHGLAEHLEREGNGLLAGHAVAVVLLEHGLRGLAVGADGGGLPAAVVTRWVAHVELEAVVLVPSGEEEGDPEGAEATELGVALLGVAEGLDELLDGDGLLVGEGVALGVEAGGVDEDVGVGHDPGDGAGEVGVDLVHLLGGARGLEELGGDLLLADEDHAVGRHDAEGGPGVADRLHRVLHLVQPALRREDRRAAVVAARHRGGGGSGGGERFGWGSRIGDSDWGGVGRRDSGEVNTRSTCEPRKKNPIRPSHRRIPPLLYSSRQFNFAVARRAFRMLGLGRGSTLNLLVPSSSLSRRKDELFQKKKKIGANIGKNTHEDLATERV >Et_1B_012046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28465132:28468198:1 gene:Et_1B_012046 transcript:Et_1B_012046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NKKSTQASMKTLQDVCPVLLILVVTVNLVATVSSDHVDSNTRSAFMNRGSPSANIEEMKMVILSDPPRAVEHHAIYRATSESGYSGGMATLDVSSFPNIKNGELTAAILWVSSEHNEDRTDLNSIQAGWVVNPQRFGDSKTHFFIFRTLDGFNGSTGCYNLDCDAFVPVNDAPITPGDTLESGKGHDEISFKIFKSKDDGDWWLHFGYDINNLIPVGFWPNSQFTKLKDHASCYSGNPSPPMGNGQWPGKNSARFQNVQFVDTSGTAYGVPAWSLSVHSSNKKCYQASPFSDSMFYYGGPGGCIN >Et_5B_043083.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22106540:22107074:1 gene:Et_5B_043083 transcript:Et_5B_043083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTNIAAGRLQVPVAQSGNATAVNSTLLDKSKVKMILCAADKCMFYGSSKVERCYCCGNIACYRTMEICRSKCPP >Et_7A_053027.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:5163445:5163732:-1 gene:Et_7A_053027 transcript:Et_7A_053027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWDMGYSIHKLDVDALEPADSKPRLLPEPSAIRIEALTDRCLANLSKIFFITDRSSDEAPILIYDTETYGVAAPGGSDSCALCPWPWANNSTR >Et_5B_045364.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:267678:267938:1 gene:Et_5B_045364 transcript:Et_5B_045364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSYRPYAAECGRSDGVVPYGSAAERKPARLVALFGLGLGGDPAEMKRRRRVAGYKAYTVEGNVKASIRRGFRWIKAKCSRVIHR >Et_2B_022080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8886753:8892730:1 gene:Et_2B_022080 transcript:Et_2B_022080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLVVLSGSTLRRAEFILTVFRSLSKDPSSLSQNSRLRTRKLLWKKQCAKGMRRAISSRDRPRLHHGPLHVGGEEPRVRDDGDGDIGGGDPEGATEEGEHGVRLGAGAEVGDLLGCLERLRRGQAASRDEVVLDGQGARRRSEGCGCGGEAEETEFVEGSQLIEPKLQVAAEEPAMEEAGREGDEARNLVPRQAKIEKFFDAGLNGPLHVGGEEPRVYGDGGGDIASWDPEGAAEEGKHGVRLGVGDLLGGLERLSAGNPASGDEPDEEAEDAAAAAEQRRRWRETTGEACEAEKLCWVRRISERAHARERWSAMETRRRRRAPQLEGGRTGRSYKEKKVWPNLKTFKQQKSPKNTSNMNGTARPRDVSTI >Et_7B_054692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3580530:3583421:-1 gene:Et_7B_054692 transcript:Et_7B_054692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTPRSRSQARTTRSWILSGMDFSDSRRKPNLTGKIAVAAALTVMCIIVLKQSPGFSSTSVFSRHEIGVTHVLVTGGAGYIGSHATLRLLKDNYRVTIVDNLSRGNMGAVKALQRLFPEPGRLQFIYADLGDAKAVNKIFSENAFDAVMHFAAVAYVGESTMEPLRYYHNITSNTLTVLEAMAAHNVNTLIYSSTCATYGEPDTMPIVETTPQNPINPYGKAKKMAEDIILDFSKSKGSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGNIPGLKVRGTDYPTADGTCIRDYIDVTDLVDAHVKALDKAQPGKVGIYNVGTGQGRSVKEFVEACKQATGATIKVEYLARRPGDYAEVYSDPSKILNELNWTARYTDLRESLSMAWKWQKAHPHGYGST >Et_2B_020369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19388809:19389785:-1 gene:Et_2B_020369 transcript:Et_2B_020369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFSCLPVHLDSATHAAFYRYLWPQLHCVLQLAPPGDLCFSDAAYGAFVSAKRRFADRVTEALSPGDDGDLVPAAPASGSSSTRCSRPPIAIFHTIAVRALLNADIVGFHTFDYAGHFLTFCSRLLGAVSTSRSHRGGHIGVDYHGRAVLVKALAVGLDMVRLRAAMAAPEFVEKAKEIAGAYRGRMLVVGVDDVDRLKGVNDKLEAVRGEAARELHGHARPAGGWCSSRSTTPRAAAAQTSTPSAMWSKEDPWEVKNGKFL >Et_1A_008453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7834476:7836545:1 gene:Et_1A_008453 transcript:Et_1A_008453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALMANYASDSDGDEPAAVPSGAPELPEASALLPPPPLDILQPPGFGNRVRNFPHVEGNYALHVYIPVIIPSDARKQLALAMKRAASLVPDLYAVDADYALSELCKDEAKLERVLLSREFHVSLGRPVAVQVHQIDSFVAMLRQKFQSQQRYWMQFNKWEHFVNDDCTRSFLSLEVTRTGLAEISKQILMVDEVYRLHGLPEFYKNPRPHISLVWALGDISCKLKQAVKDIEKYLSSMSSCQKCNVRCKFNRVVCKVGKKMYDICKLAD >Et_5B_044846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6410788:6412699:-1 gene:Et_5B_044846 transcript:Et_5B_044846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINILLTKTWGLNLVLRLAWLQTVIHPNIGSLDSRVTLFLLAALEVIRRGYWNFYRLENEHLNNAGKFRSVKVVPLPFHEVEDGKQNKQSLEQIVGIKNRNSSDLTPTNAKACTITKTGGTVVQESKLESLLDELSPTKQALSIALLNICACTSTDLTSSKPKGPQVQFPGSSLLLLYQAARYHGLYMKLAKIATPFGLVDKA >Et_1A_008490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8120575:8121734:1 gene:Et_1A_008490 transcript:Et_1A_008490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCKTCDKTVHFIDLLTADSISYHKTCFKCSHCKGTLSISGYSSMDGVLYCKTHFEQLFKETGTFSKKFQGGASSNKSDPARAPSKLSSAFSGTQDKCAACQKTVYPLEKMTLEGESYHKSCFKCSHGGCILTTSSYAALNGILYCKIHFSQLFKEKGSYNHLIQTAQTKKNEAAAAASEPPADAATAEQEAPPQAA >Et_9A_063552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7096744:7098040:-1 gene:Et_9A_063552 transcript:Et_9A_063552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCRCQVLKVELFDRLDATYHRDKGRWRCLLPCRRLSAPNLPHVQEKLKRSEGDDEYKDLMKQKRNKEADKRKIELEERHLQGKQEEKIMFCDVNKMNQHQKAYVMAKRDEIVRIASLSAVLARVA >Et_8A_057336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23774455:23776048:-1 gene:Et_8A_057336 transcript:Et_8A_057336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGLVTVLIGTVQTPIVTPDRDAAGHESTYVTADETLYALSFHPLEKKHYFFAMSWGPTSTVDAAFHKPTEGWSWKSLPPPAFTGRVAAYAVHEGRMRTFSFNIKDSLWTCLGDWFHDQGHFDSELDAWIGLRFDGAISACPVVSPTATTPPPVKQPNWKMTDEKLVCAYSEGFMTATLAYMGNSRFCLVQSRARDGRQDGCVLHVTTFGVKYNCRGELQISNERSTRSFLVSRHRINHFRPAMREDRRRREEGREGEPREMLFRPDSFLALKDER >Et_4A_033816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27074519:27075365:-1 gene:Et_4A_033816 transcript:Et_4A_033816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETAAASGGAPGAAGGQAPERKPAELKVLVAVDASDESLHALSWALDNIVRPRPGAALVVVHAQHAVEHLAYPLAAHGTRIGYVPPAVVESMRKAQADNSRKVVARALGICKAKQVDATAAVVEGDAKEAICQAAEDMQAGLLVLGSRGLGRIKRAFLGSVSDYLVHQASCPVLVVKPPKAHAK >Et_3B_028834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19606616:19611137:-1 gene:Et_3B_028834 transcript:Et_3B_028834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSGLRYQIGGFGLPRIWLCLLLVLVLQNCGPVLSASPYLVGMGSYDITGPAADVNMMGYANAEQIASGIHFRLKARAFIVAEPNGKRVVFVNLDACMASQLVTIKVLERLQARYGDLYNENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVDGIEQAIVEAHNNIRPGKIYVNKGDLLDAGVNRSPSAYLNNPPEERSKYEYNVDKEMTLIKFVADEVGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEQNVVPKQAESFHKTSGLPRRVSSIIPEPNEITDDLIQLASSYEASGGRRLSGSSITRLIRSARQDKPKFVSAFCQSNCGDVSPNVLGTFCIDTGLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRIIGNRQFLKAVDLFNSASEEIQGKIDYRHTYLDFSQLEVNIPTSSGGQQVVKTCPAAMGFAFAAGTTDGPGAFDFTQGDTKGNPFWRLIRNVLKPPGPEQVKCQAPKPILLDTGEMKEPYDWAPAILPIQIIRIGQLGILCVPGEFTTMAGRRLRDAVKAVLTSDKSGEFNNNIHVVLAGLTNTYSQYVTTFEEYEIQRYEGASTLYGPHTLSAYIQEFQKLATAMVANKEVPTNFQPPDLLDKQIGLLPGVMFDSTPPGVKFGDVSSDVPANSTFRKGSTVNATFYTACPRNDLLTEGTFALVEKLEGGNNWIPAYDDDDWSLRFKWSRPLPLSPRSFATLEWTIPEDAPSGVYRFRHFGASKALFGSIKHFTGTSRAFAVR >Et_5A_042557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20072757:20098042:1 gene:Et_5A_042557 transcript:Et_5A_042557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTVELWFLANDQQQVLWCKHCTILVPHAQRGFSHLRPLWVLDEGSVVFWASKAGDHMLRVYDPATETCTDVATMADCMDVGIRVYTGSLLLSQSSLCRAALAGENGAHQ >Et_3A_024838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24741625:24742950:1 gene:Et_3A_024838 transcript:Et_3A_024838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQKDLFDVSGPTNCPHHRRSVMASLVQGVYVLERDRQWNRQGPDARAPAWWRSFHFELRHALVDAADGSVFGAVYAFQPPAHLLPDPTEAAAPHYVVAFRGTVAKKGSRKRDLELDLQLVRNGLEGTSRFRAGMQAVRDAVAWGQRQHDRVWLAGHSLGSAIATLAAKSMARAGAPLPTFLFNAPFVSAPVERIGDRRVRQGVRIANSFFTAGVAAVLLQRKGKEDASSASSFAALAAWVPNVFVNPADPISAEYAGYFDHRRKMEQIGAGAVGRLATRHSVKDLLLGIGKGGCEPLHLFPSAVLAVNRGPSPDFKAAHGIHQWWRPDLWLECTAHYYS >Et_1A_008950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20770457:20777918:1 gene:Et_1A_008950 transcript:Et_1A_008950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPGLFSNIGKRAKDLLTKGYIRGQKLTISTVTASGLAGFGCSDVGAHRCPVSGPCEQWEAEGGGGVTDGEGPPPPLRVRTVLTSAAVKKKGLYTHDISSVYKHKNTVINVTMDAESNIFTTLTVSEALPSTNLLICVELPDNKGGQLELHYCHFHKNASFATVIGMKPYPVLKISGTVGAPGVALGAEVKYDTARGKFTKYNAAIGVTKQDYHAAFILSNKGDRIKVSGLYHFDEKHKASVAAEFTKKLSCKKNTLAVGGMYNIDRQTTVKARVTSEGRLAALLEHKIKSKSLLTISGEFNTKALDKPPKLGLALCYSPRPHRSRKCRHHATESAPVRGVSLMASSSARAHPVS >Et_2A_017800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6339603:6347283:-1 gene:Et_2A_017800 transcript:Et_2A_017800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRRLHSSTTPFLLLLLVFAAAGAEAKLAANYYSKTCPRAERIVMDVVSQKQLSHPTTAAGVLRVFFHDCFVSGCDASVLVSPAPFARSEGDAAQSQSLPGDAFEALTRAKTALELECPGVVSCADVLALAARVLVTMTGGPLFPVRLGRKDATASSPAAPDAELPRANSTVPRLVAMFAAKGFTAQELVALSGAHTLGFAHCSEFADRIFRRNNKGGPAPHEPTMNPDYAKGLQDACKDYLRDPTIAAFNDVMTPGKFDNMYFVNMQRGLGLLGTDQALWSDPATRPFVQRYAANQTAFFADFAAAMAKLSVQGVKTGRDGEVRRRCDITLPSSLKRLPPPNPAGLIPISSATTQPPRPAVMESSLLLSSTARPLKPFLPLPLPFPSARPRQARPLATPPPQRLAHRRPSVAPHGASHEAFDDGFRSQDKTPGVGRGGARRRAYREAQGEAPVPPAAAAVRAVAPYVVPAGAALVLSFVLWKVVQNLLPGKTKDRNSGESKPSGIMWSFAAGSNLATSTANAEKESRKNLNKFYKELRTLKTVNMAGRQFGDEGLFFLAESLAYNKSAEEVDFSGNGITAVGIEAFDGVLQINTSLKTLNLSGNAIGDEGAKCLSDILVENVGIQKLLLNSTNIGDEGAKAISNMLKKNTTIRILQLSNNTIEYSGFASIAEALLENNAIRSLYLNGNYGGPLGACNLGRGISGNKSLREIHLHGNGIGNEGLRELMSALSAHKGKITVVDIGNNNIGSEGLRPVADFIKRTKSLLWFSLYMNDISDEGAEKVADALKHNKTISTIDLGGNNIHSKGVCAIAETLKDNTVLSTLDLSYNPIGPDGVKALCDVLKFHGKIQTLKLGWCQIGVPGAEFIADCLKYNTTLSTLDLRANGLGDDGAICLARSFKIINESLTSLDLGFNEIRDDGAFALAQALKANEDLAVTSLNLANNFFTNFGQVALTEARDHVYEMSEKEIDIYF >Et_7A_050866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11819959:11828604:1 gene:Et_7A_050866 transcript:Et_7A_050866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGEGGDREAGVPLISDQPKHAGIVRSGSMWTAAAHVITAVIGSGVLSLAWSIAQLGWVAGPATMLVFAAVTALQSTLFADCYRSPDPEHGPHRNRTYAGAVDRNLEPRAMAVDRSLEVLDGRCDDDGHPRRTGTSWTCAAHIITAVIGAGVLSLAWAVAQLGWVAGPVCMLCFALVTYVSAALLTECYRRGDPEKGPRNHCYMDAVRAYLGKKHTWACGSLQYVSLYGCGVAYTITTATSIRAILKSNCYHDHGHDAPCNYDGSYYMLIFGGAQLLLSFIPDFHDMAWLSVVAAVMSFSYAFIGLGLGIANTIANGTIKGSITGVPMRTPVQKIWRVSQAIGDIAFAYPYSLILLEIQDTLKSPPAENKTMKKASMISILVTTFFYLCCGCFGYAAFGSDAPGNLLTGFGFYEPYWLIDFANACIILHLLGGYQVYSQPIFQFVDKFFAEKFPDSGFVNDFHSVKLPCLPRCRVNLLRVCFRTLYVASTTVVAIVFPYFNEVLALLGALNFWPLAIYFPVEMYFIQRNVPKWSTRWVVLQTFSVVCLLVSAFALVGSIEGLISQKLG >Et_2B_022837.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6371499:6371831:1 gene:Et_2B_022837 transcript:Et_2B_022837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMPDTFLYCALDIMPFILLISLGCCLRKKPPERADLDPQRVPLLVAGPVLSSETLGCAICLEPLRHGAACREVRARVPPGLRREVGEEKQQLPALQSQDRDKVRRSIGC >Et_3B_027869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23776124:23776888:1 gene:Et_3B_027869 transcript:Et_3B_027869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDPATDAVVRWGVASNTFLVLDPAAFSDYLLPSYFKHRNFASFVRQLNTYGFRKVDTDRWEFAHESFLRGQAQLLPLIVRKKKKHGCRDQLCEEGEEVRGTIRAVQRLREEQRGMEEELQAMDRRLQAAESRPAQMMAFLAKLADEPGVVLRAMLAKKEELAAAAPGKRRRIGGAEVDCGGVAAAGDAAEMAAQGRGAVQFPFSVLGQVFY >Et_1B_013852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20520606:20524317:1 gene:Et_1B_013852 transcript:Et_1B_013852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAANPTPASPSLVHPARVRVLHPGGARETTGPGPVVYWMLRDQRIADNWALLHAAGVAAAAVPAAPVAIAFAMFPRPFLLGARRRQLGFLLRGLRRLAADARARGLPFFFLEDGPAAVPTLVRRLGASALVADFSPLRPVREALDAVVRDLRRDAPGVAVHQVDAHNVVPVWAASGKLEYSAKTLRGKMNKVMDEYLVEYPEMPLTAQWNMEMPEDVDWDALIDRVFSEAENVPEIDWCEPGEAAAMEMLLGSKDGFLTKRIKSYEADRNDPTKPRALSCLSPYLHFGQISAQRCALEAKRRRHLSPKYLWKQSVDAFLEELIIRRELADNFCYYQPHYDSLAGAWEWARKTLMDHAADRREHIYTREQLENAKTSDPLWNASQLEMVHHGKMHGFMRMYWAKKILEWTNGPEEALSVAIYLNDKYHIDGRDPSGYVGCMWSICGLHDQGWKERPVFGKIRYMNYAGCKRKFNVDAYISYVKRLVAQSKERKAEESLDSEAKQSKP >Et_3B_029483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25313521:25314146:-1 gene:Et_3B_029483 transcript:Et_3B_029483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGIDLEAAANEPYHAMQDAAASCGDDDGWFAACSPPLPPNRGFVVGTGDHLHVYLDVPAPSLSVDLTGYDGIDPGHAARVVSPSFNVTLRTNHGCVDSADVAVMYSGVALGWARVAPWDCARDVEVVARGGMVGLSRRLRERMASEWRLGTLELDVDVKLYHKGRRAETDPPQSMVACKVRLMDKEDSHASLLGGHANLC >Et_4A_033173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19525095:19526567:-1 gene:Et_4A_033173 transcript:Et_4A_033173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGEEYSAGCSLSLMCQEDSTDLDGVFVDGAAGGELVLVYSDAGEYEDDDEEEYVGHLVSKESSFCWSPSSPSSSDGGAESSMAAEDWFPCARRDTVKWILETRAYFGFGHRTAYLAVSYFDRFCLRRCIDRSVMPWATRLLAVACVSIAAKMEEYLAPPLSEFRPAGADECGFCCGSIRRMELLVLSTLDWRMGDVTPFDYLPCLSSRLLRRGNGGGGGLVAAKAAALIFSTAQAASVLDYRPSTVAAAAVLAATRGALTKEALESKMINFSPSFLLEKEDVFACYSLMLSESSPPPSATVKRLSSCSGTYDSSDELADEASFAPAANNNKRMRLDLPGIGGR >Et_2A_016476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25111712:25116849:1 gene:Et_2A_016476 transcript:Et_2A_016476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFRESRRDSATSSSSNGFLPPAAAAAVSSSPALPSPFPDLGVALAAADLREAAYEVLVAASRTTGGKPLTYIPQASSAAPPSPASSSSSASSASLQRSLTSAAASKMKKALGLRSSASSKGVGSPGSGGKAAAPPRRPATVGELMRVQMRVSEPADARIRRGLLRIAASQLGRRAESMVLPLEFLQQFKASDFPDPQEYEAWRSRNLKLLEAGLLVHPFVPLNKSDMSAQRLRQIIRGAYDRPLETGKNSESMQGLRSAVMSLAGRSHDGTSDGCHWADGFPLNLHLYQMLVEACFDNDDGVVDEIDEVMELLKKTWGILGINEMLHNLCFTWALFNHFVMSGQVDIELLSAVENQLVEVAKDAKTTKDPNYCKVLSSTLSSIMGWTEKRLLAYHETFDTNNIESMQGIVSIGVSAAKVLVEDISHEYRRRRKEDTDVARSRVETYIRSSLRTAFALRMEEADSKRSSRNPTPVLSILAKDIGDLAIKEKNMYSPILKTWHPLASGVAVATLHSCYGNELKQFVAGLSELTPDTVQVLKAADKLEKDLVNIAVEDSVDSDDGGKSLIREMPPYEAENAIANLVKVWIKERVERLKGWVDRNLKQETWNPGANRENFAPSSVEMLRVIGETLDAFFELPIPMHPTVLPDLTAGLDRILQLYVSKVKSGCGTRNSFMPQLPPLTRCEVGSKLLFKKKEKPQNLQVRASQNGVTNGNDPLALPQLCVRLNTLQYIRGELENLDKKIKTCLRNVESAQADITDGVDIKFELCQAACQESIQQICETTAYKVTFYDLGHVLWDTLYVSDPASNRVQVLLTELDPVLETISSMVHNKLRNRAITALMKASFDGFLLVLLAGGPLRAFTRQDAQIIEDDFRALRDLYLADGDGLPEELVDKASSQVKNVLPLFRAESESLIDRFKRMMVESNRSASKNRLPLPPTTGHWSPNEPNTVLRVLCYRNDETATRFLKKTYNLPKKI >Et_5A_042762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3928047:3930522:-1 gene:Et_5A_042762 transcript:Et_5A_042762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRPDPRLARALATASKSDPLPAPAGNLLPATKPPRHAAPFLVVLLRCGRSNAASRLNHHLRAAPLAEACALLSALPTVRDGFSYNTVLSALCRRGDIPAALALLRDMSEDPCARSRPCAISYTILMRALCVARRADEAVAMLRSMQARGLRADIVTYGTLIRGLCDADEVDRAVELLDEMCEAGVEPNVIVYNCLMHGYCKARRWDDAVKVFEEMSRRGIKPDVIMFTSFIDRLCKAGEKGKADKVMALMIEHGIPPNVFTCNVLINSMCKEGSIREAMSMMKEMEEKGMAPDIVTYNTLISGLCSVLEMDEAMGLLDEMLQGGTTVEPDVTTFNTVIHGLCKVGRMRTAVQVREMMAERGCKCDLLTYNYLIGGFLRVHKMWVAMDLMDELSRSGLEPDPFTYSILINGLSKMWQVDRAEKYLRTMQQRGMKPDLVHYVPLLSAMCDQGMIEEAKTLFHEMDENCTLNVIAYSTMIKGACKSGDMEMVRRLLKDMIDEDMPPDAVTYSTVINMFAKSGDLGEAEKVLKQMTASGFVPDVAVFDSLIQGYSSKGQTDKVLELIHEMRTKNIALDTKIISTIVSSLSASNEGKRLLEGLPDLSEELREGDTTSPQEFMESLYKSCPTFETDGVLHNRTASVIWEGERISTFTKFADRY >Et_8A_057036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20460724:20461911:1 gene:Et_8A_057036 transcript:Et_8A_057036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TREDLSTQLPIHMHGEMERLPTLTLRNIVSLEPFYLLILSIRCVHSNVSLMEFSATLNTFCQLLRDLGWMPKLFLTIGRLAERQVFSAYSRKIIKEFRGANLSACLSLLVRAMDRSLAGQLPPWSLNRLLYEQLSGGGMFITDPKKPAGGMLAHAATIRLMLRKGKGEHRVCKIFGSPNLLEGKAISLCF >Et_2B_020343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19184339:19185332:-1 gene:Et_2B_020343 transcript:Et_2B_020343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGSKKKKQSCHGFCSPPHSATCLCLYLLLSLTLLFAVAALLLVVFVTRLKKPAFYLQSVQMDRSFSLSTSEASNRSGGANGTTTCSVASLVFAAQNLNGVGIRYGATALDVSYANESVGAMDVPAFYQPPRSDNVTVIMHAVFAQRNVTRLLVRELSAQRTYMEIRIVGSIDARTHIMNFPLPKVQFSLDCRIGTNYTDMVLHEGIKSMTTRKALVLSTLPHLSQKCSIKIDMRSRRKRSRLDDLVC >Et_8A_056499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1131048:1133684:-1 gene:Et_8A_056499 transcript:Et_8A_056499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENYWRYADARQQQQAMVAAAAAAAGMAPQQAVNPAQSVAAAAGMNPQAAAMAQQAAAPPLKRARPDFGDVSTGQDMSGYYPREADRGGYHSLRENEAIGASYDRYLRNGMPSVGANDTGRAVVGGMAGGMGAGMAGYPVDDRRMMGVVGMDGRGMGYGARAEPPLPPDASSTLYIEGLPANCTRREVSHIFRPFVGFCEVRLVNKESRHPGGDPHVLCFVDFDNPTQATVALEALQGYKFDEHDRESAHLRLQFSRFPGPRSAGGPRGRR >Et_3A_023200.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:108577:109119:-1 gene:Et_3A_023200 transcript:Et_3A_023200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMTGGGGGACAVCKHQRRKCEPNCELAAYFPANRMNDFRALHLVFGVANLTKLIKANATDAARRRAAETLTWEARWRERDPAEGCYRELAVLRRDNALLRAENAALRNLLAAGGRGPPAPAPAPNLYTLGANAANGTMFLTSNYTTHGGTVPLLCPPPANASSDAAAITIRADKGNAR >Et_10B_002440.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:3959094:3959423:-1 gene:Et_10B_002440 transcript:Et_10B_002440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTSSTTTSASCAAQKWKTPITSSSAAPLRGHSWTPSESSHSRILRRARRGAYGGQRPRQRNIETPSLSSACGSYGSIGMRSCFGKPSPAFPGCSTTAGTKQLFGDAA >Et_4B_039610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23861101:23861652:-1 gene:Et_4B_039610 transcript:Et_4B_039610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEDLGIDPCSDDKELKRQLLKKYGGYLGNLRKELCKKMKKGKLPKEARQKLPSWWELHYRWPYPSEMEKIALAEATGLEQKQINNWFINQRKRHWKLSEEMQFAVMDGLLPAPQNAALYVDARVVGATPAMVFARPEHGAHALWHG >Et_3A_025498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30301463:30305853:1 gene:Et_3A_025498 transcript:Et_3A_025498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QRPAGPGPPSPPPTSPAPQRNAPHCPSPASHASSPALASRTPRRTPPPRPSPRKPFAARSHSGSPRMGNCSPSPRRRRPTEPGSPPLHRKASAPSAAAATTPVSPYALARSPSMNAADVDAEDGNVVRVYGSDGCPVAWRLRVSLLYKAAAPVHFTPSEAAPLGRPVLRLSAADPEVSGPADELLRQVDARFEGKPRVAPPERPRPASTSAAAAAEVAELVRLQHRSSERHLEGVAAKVAEMVKKGKKLGKGRSYVEGAEVRRLGKWYGDAMEVMLEHARMEETLIFPDLQRAAHPGVCDKVNEQHGRHLPMMNGIKEDIKTLLTLELGSPLFHEVLVNLSVRLKRLQDHTKEHFKEEENELLPRLEDVRRMQREEGKVSDKSSSAWASEAIGTMEVAHSKLFPFFMTGLLPQEAVQYLDLVCRCTKNTRHLVSMFRSLAERLEDANPSIIHNNPTKLYEHLLDMHDV >Et_5B_045786.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:9807139:9807330:-1 gene:Et_5B_045786 transcript:Et_5B_045786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLLPDPPKKKKKKRKAYRSYDSDDELPEDGEDKDVDYNQGRSWRGAKGAAARSGIPCREGH >Et_7B_054737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3964921:3968155:-1 gene:Et_7B_054737 transcript:Et_7B_054737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSTPSQTLVPAPATARIRAARPAQASFPRGLPRRGRALRVRASVAIEKETPESEPPPTFLRDDERGAGSGSVRERFESMIRRVQGEVCAALEEADGSGARFVEDVWSRPGGGGGISRVLQDGRVFEKAGVNVSVVYGVMPPDAYRAAKGEAGKNGAPDGHKAGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDVPGAPRQWWFGGGTDLTPSYIIEEDVKHFHSVQKRACDKFDPSFYPKFKKWCDDYFYIKHRNERRGLGGIFFDDLNDYDQEMLLGFATECADSVLPAYLPIIKRRKDTPFNEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWQYDHKPEEGTEEWKLLDACMNPKEWL >Et_9A_062603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24416263:24420686:1 gene:Et_9A_062603 transcript:Et_9A_062603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALRRDGSGSGGGGASSSSSTAENSRFDASQYSFFGKAPMEVPELGGLLEDGGVDGGDCSGFGVPDDGGYQFSSMGEEIDCMSSLSEIDDLASTFAKLNRTITGTKNPGVIGDRRSISRESSLTTDWVQDVDFPNWVDQDMLEGDELQDSKQWHPQLQSTSHFGESKPLSRTSSYPQPPLQHRSSEPILLRSSSFTSYPPPGGSSGLPYASQGLTRHSSIPSPGAGHQMGSPSSSLSGSPYHMSGLPRGLPYGQSMSYNAADLSMSSIMQNDWSNQAGALAFEHLNLRPSVLQQQLSLPSSSMSSLIFSQQQQRLPPVQQPFQNYINMQSHPFYHHRSPDMMGKFDPIPNMPSPRDKRSRSGGRGKHSTRLSRQHSDTGSQNGDSGGIKFRSKYMSSEELETVLRMQHSANHSSDPYISDYYHQACKAKRSVNSQQKSSFSPISIKDFPSKSRSGGDHHAYLQVDAVGRVSFSSIRRLRPLLEVDIPASGDHKSSMRPLEKEPMLAARITVEDSLRLLLDVDDIDRFLQSCQQQDSSLQLRRRRQVLLEGLAASLELVDPFGPNKPGHSSGLTPKDDLIFLRIVSLPKGRKLLARYLRLLVPGSELARIVCMAIFRHLRSLFGGLPSDSGSAETTISLAKTVSTCVRHMELSALSACFAAIVCSSQQPPLRPLGSSAGDGASLIIKSALDRATELLGDPHSAANYSRSTRSLWQASFDAFFGLLTKYCESKYETIMQRFALQGSNPVVGPEVSKAVSRDMPVELLRASLPHTNEDQRQTLLDFARKSMHVSGTNSGGRSGQTTS >Et_1B_012525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32857258:32859391:1 gene:Et_1B_012525 transcript:Et_1B_012525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARAEQLMMGEVKDKQVFVEVSEDDEERSMDNKALDLNEGIDEVSEEGEVGDDDEEDDGDDDDDGGSTTDVAGSRSSSNNSSTNKNSGGANGGSKAEGSGEQRVPSVRQYNRSKLPRLRWTPDLHMAFVNAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDQDGRPRGSISSVYSPMDFHFMRDGRFHGMSFLQRAAALSSSGPEHGGFFASRNGSRPEVSRLYGLLHHRQPQMQSLDFRSSSFRHHEWAMNQREEMIARKDVFKPPSAGPPLASSSGPPRNERRWWPFSDTGAAVAAAGEHTRINTDVNFVHSIGSNSRPLSRSLSWAMTGDRHLPFRWRPGSGSSNVVGHVSSSAVIARSSDPVVIDDEAPDAKQLERQKRVEPRASVSPADDACLKRPLPEAQDSTPDLQLSLSPAMAVDAKKRKTTTAAGEQQEIVDRSELSISLSLSPPAAASMQQQNQPKEKTRGSSGGEAVLGQSTLDLTMSIRALE >Et_3B_029435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2534750:2551402:1 gene:Et_3B_029435 transcript:Et_3B_029435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGQMNCLLHLLWLQIVNLKYEDDRPVDGKGVGRKVIEKLQQTYATELANKDFAYDGEKSLFTIGALPQVNNEFVVVLEDVSTGKTAANGNGSPGNDSPSGSDRKRVRRPYQTKTFKVELCLAAKIPMSAIAQALRGQESEHTQEAIRVIDIILRQHSAKQGCLLVRQSFFHNNPSNFVDLGGGVMGCRGFHTSFRATQSGLSLNIDVSTTMIVKPGPVIDFLLANQKVDHPNKIDWAKAKRALKNLRIKTSPANSEYKIVGLSDRTCYEQIFTLKNRNGENGEPETTDVTVYDYFVKNRGIELRYSGDYPCINVGKPKRPTYFPVELCSLVPLQRYTKSLSTLQRSSLVEKSRQKPQERMKVLADVLQRSNYDSEPMLMSCGISIAKNFTEVDGRVLQPPKLKAGNGEDIFTRNGRWNFNNKRLIQTSCVEKWAVVNFSARCNVRDLVRDLIKCGGMKGIKVENPFDVFEENPSMRRAPAIRRVEDMFEQVKTKLPGAPKFLLCILAERKNSDVYGPWKKKCLAEFGIVTQCVAPTRVNDQYLTNVLLKINAKLGGLNSMLQVEASPSIPLVSKEPTIILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISKYRASVRAQSPKMEMIDSLFKPQGTEDDGLMRECLIDFYTSSGKRKPEQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDETWCPKFTLIVAQKNHHTKFFLPGAPDNVPPGTVVDNGVCHPRNYDFYMCAHAGMIGTTRPTHYHILHDEIGFTPDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVGQFIKFDELSETSSSQGGHTSAGSVPVQELPRLHKNMESNNSKGDPCKSEFCQRLPISRPGVGTKGRQIRLRTNHSISSVRNADAIFYNYHVNMTYEDNQPVDQKDIRRKVMDRLQKPYAYDLANTNFAYDGEKGLFTVGALQNGGDVFFVVVEDVSSSKTVTSMTQEGNGSPEGSDLKRMKPPMQPKTFKVQLSFVGRVPMSAICKVLRVQGSHKCQEVLRVFDIILRQNFVNQNSLLCLTNFTIFVVVIPRGCLLVRQPFFHNNPTDFYELGGGIMGCQGYHSSFKPTQSGLSLDVDVCTTMIVQPGPVIDFLLSNQNISDLSQIDWSKAKRALKNLRVKTTHTNFEFRIAGLSEKSCYEQMFQLEQRNGNGSVEVTVYDYYRQRWDIKLKESANFPCINLCHLVSLQRYTKALTVLQRPSLIQDPSSLKSILSDLQHSCYYSDDLLKKCGILIDSKFAQVDARILQTPMLKAGGDRDLTVTNGRWNFNGNSVIRAIELKKWAVVNFSAASDDDVRDLARRIIDCGNAKGMKIDREDAVIKEMHDRRREAAQTRVDAMFQQISSKFPHQWPAFLLCILPEKKNCDIYGPWKRKCLAEHGIVTQCLVPPSNIKDQYLTNVLLKINAKLGGLNSLLQTEITSAIPLVSRAPTIIFGMAVTHGSPGSNVPSVAAVASSLEWPRVSQYRASVCTQIPEQEIFSSLFKREGNADRGIIRELIEDFRSHVKHLPEQIIIFRNLKVHPTNVNDVSRCIHVIALLPRVGINEGQFTQVLNIELAHIFEVYMPLVVSRSLLACKSIKDTWSPKFTVIVVQKNHHTRLFQPKGSRDDNNVANVPAGTVVDKGICHPGNYDFYMCAHGGVTGTRKPTRYHVLHDEIGFTPDDLQELVHSLSYVHQRSTTAMSVGKPKQKVVKRLPAHPLLHWRCLIITLCLFAPVYYAQLAAAQARQFAKSDGAALVSRAPELLRLHEKVRSSMFFC >Et_10A_000692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15599208:15599788:-1 gene:Et_10A_000692 transcript:Et_10A_000692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEKGIIHHDIKPSNILIDSDSDCVVGKICDFGLATYYDEAATTWCGIPHATYGYMAPEVYETKSSCTFESDMWSLGAVMYEFITGCPLISGLDSTGTINFETTAHDEPNPADEEKNPVQSTVDSSDEETKTHADREREIRRAIFHRRFSIQCSEFIAGLLRLDPFERLSASDALDMDWFANHGIA >Et_2A_016683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27164099:27170445:1 gene:Et_2A_016683 transcript:Et_2A_016683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGGGGGEGAAVELEHAAKPLVERLVKRFLKRGGLRWATPPTLDNQNNVARQVKAMVLLYNCYHRKQFPQLAFADPKRFIQAALLAACDLESDLLVYLKHEGSDVKAGTSVTARAVEDACGIAEALDANADSPRTLTWPISKVAVLLVDPTGKKCLIDRSSVAKGVWSIFEKDFTVVSIDIDLSAPGASNKVAFHSEVNMLKAAAYSLVEAKTGMKQDSLRLLEEHVYSLSKRETTAKLFQTVNRNLTEIPIEDLISRMSGPIFKNNDEASLKTTSVVEYYHILPYKEVLLNLLKREQPLRKGKSSLHFEKNEIFKEQVANGKSNIKNTTKDSDAKKNKGMEEVGNSGTSKNRKNRKRQSEVLKASPKKDIGSLSNHDGETSKLVSNEGNQLVDVTGESYEPYSSQSLLIRHCFCSSLYDHLILGGQMDKNKSIGGFDKSVSIAWLETEELDDICHENNWILPRYIVLPSLIDGLYQATVNLVCPDLELSADGGVKTTPREARDSAATAMLYQLHTKAKEKLAELDNNAPDAMLLDRIPEK >Et_10A_001391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2580911:2584186:-1 gene:Et_10A_001391 transcript:Et_10A_001391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTAGGVAEAAVRRWVEAGGGRLVLDGGLATELEANGADLNDPLWSAKSWCKRYNLSILSGFESKGFSKEQSENLLTKSVEIAKEARDLFLKEHSNQSTPIHHPILVAASIGSYGAYLADGSEYSGDYGEAGTLEFLKDFHRRRLQVLAEAGPDLIAFETIPNKLEAQAYVELLEECNINIPAWFSFNSKDGVNIVSGDSLIECATIADKCGKVGAVGINCTPPRFIHGLIRSIRKVTDKPILIYPNSGERYDGEKKEWVESTGVSEGDFVSYVSEWCKDGAALIGGCCRTTPNTIRAIVRTLNQGDTARLLSSA >Et_1A_004972.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:20815145:20815345:1 gene:Et_1A_004972 transcript:Et_1A_004972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKSAWPELLGVLATLAENQIQVERPDVAVEVFPPGAPPTRDFNSARVRLFIDDNSLVIRIPVIG >Et_2B_021210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27417012:27420513:-1 gene:Et_2B_021210 transcript:Et_2B_021210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCPGQCDHWMLLLFCCCLLAFPGHGPPVVEAFVGAYGVNYGRIANNIPSPDKVVALLRASKIRNVKIYDADHSVLDAFKGTGLNLVIAINNGLLKDMAANESSSMEWLNQNVKPYLPDTRIVGITVGNEVFGGDPNLYQPLVDAVKNVYNGLKRLHLEDKIELFTPHSTAIFATSYPPSASVFKQELMPYMKPLLDFFSMIGSPFYVNSYPFLAYISDPDHIDINYALFKPNPGIVDPNTSLHYDNMFDAQIDATYAALQAAGYNNMEVRVAETGWASSGDENEEGASTENARTYNFNLRKRLFLRKGTPLKPKTPVKAYIFALFNENLKNGAGSEKHYGLFLPNGRISYDIGLSGLLPSSASSSLLSSKALVV >Et_3A_024346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19960595:19961833:1 gene:Et_3A_024346 transcript:Et_3A_024346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSQGMAQPSYYAVLGVQPGASATEIRAAYHRLAMRWHPDKIGSGRVDPALAEEAKARFQQIHEAYQVLSDERRRALYDAGMYDPLDDDQEEVEGFHDFVQEMVSLMATVGREEPVYSLGELQSMLDGMIQDFTAPQPEPSGFFTGGSSFPFGNPAGTEQRSSSSSRMHPQGVGDAAFFTRTAFSR >Et_4B_038184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26998275:27006353:1 gene:Et_4B_038184 transcript:Et_4B_038184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLADRYPLTVSDAEEEEPVELEPGAFVPVDLRRPNPNGLEFDNLYLDMNGIIHPCFHPEGRPAPTTYDEVFKSIFDYIDHLFSLVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLRKEFEEEGRTLTEKVKSEAIDSNVITPGTQFMFVLSSALQYYIQLRLNHTLGWQSVKVILSDSNVPGEGEHKIMSYIRLQRNLPGFDPNTRHVLYGLDADLIMLALATHEIHFSILREVISMPGHQEKCFLCGQPGHLAAECRGPSPADKAVELPPIHKKKYQFLNIWVLREYLAKDLEIVDPPFKINFERLVDDFVFMCFFVGNDFLPHMPTLEIREGAINLLMSIYRMEFTSMGGYLTDGGEVLLDRVEHFIQSVAVHEEQIFRKRARIQQARANNEERNKTQRENSEDNQYEDTVKLGEPGYKERYYAEKFKEEAESKPIDQIRRDVVQKFVEGLCWVMRYYYQGVCSWQWFYPYHYAPFASDLKGLAELEITFFLGQPFKPFDQLMGTLPAASSNALPKYYGDLMTDPDSPLKSFYPKDFEIDMNGKRFAWQGIAKLPFIDERRLLAETKKLEDTLTEEEKFRNRTMFDILYVRETHPLTAQIAILYRLLAQSSTTDPYVIPIDPAASGGMNGYLCLSERNWYSIAVMSPVKGFNGITHNRVVNATYLNPQYHPHIPEPPEGAIIPAKILKPSDFKPFPVLWHEDNSRRQARERPQVSGALSGSVLGAAAHRLVKNSLQMKSGNSAVLLDMPYGGAPYGPGMRPRPAGPLGYERGFVDNPYHGHMSRSVPNPRPQFFGGAQANRQPVRIMERPNSQTHNADIHSSMSKLTIKEGPRPHQSNRMQNSGYWPNQPHPNQYAGFPPQRPMQNNRFQPQRPVQAAGFPQQRPVNGVPPPLPPSNWIGKQPSGGHACVPAKQDPRTALDRQPKQENSRSQHDKRQQATKVVYRVKTQAANGNGLSESGNQEEPTA >Et_3B_030568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:574129:576162:1 gene:Et_3B_030568 transcript:Et_3B_030568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EDTPSGTEIGVVFSDYVRGFVNHGWTSSGRAVVQSDSLSAIGLNTASQTAKVQDLLPSFPPAVILDHHPCENFSFLLHLWLGNALDHDDLALRPGESSTSPVLQRLNYLFEENSIPKDSNGDSFFGGHPSLEERDKSYDIDLMTVRCGFVRGKIPGRSTGFDIDEADLSEMQQCQRIFIASAIIGNYDIMQQPENISEFSKNTVCFLMFLDEETEAAIKNSSSIDHTKRIELWQVVVVRNLPYSYARRNGKVPKLLLPRLFANNMRYSIWIGGKLKLVRDPYQVLERFLWRKSVSFAISRHYRHFDVFEDAEANKAGGKYDNASQDRLP >Et_7B_054119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15730996:15733953:1 gene:Et_7B_054119 transcript:Et_7B_054119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAPAEEDAAALRRRLRRSLAAVAAGGAGADAFEEAAAALEALREAELGRGGRKGGAGGREGREEEHKEEAEAVPVPELFLCPISSRIMTDPVVVASGQTYDRQFIEEWFGAGNKLCPQTQEALSNTTLIPNNLVRGMISQWCAENGFNLPSVVNQEENQFTNEEQKTFEEIFKKITSSPKSIEMKQAIKDLRLLTKRNSEFRAVLGDRPDSLSRMIFARSTPGLQNDPQVLEDMVTIILNFSLHESNKKIIGEDSEAIPFLVWALKSGDMGSRGNSAAAIFTLSALDSNKEKIGELGAMEPLVDLFDNGNIVAKKDAASAIFNLCLLHENKSRAMKNGIVDVAMRAIDDQLLIDAALAILALLSSNYEVVEMITEFDGATSMLRAIRESECSRSKENAVVVLFAICMYNRTKLKEVDADEKINGSLALLSRNGTPRARRKAAGILEKMKRTMHNRHSSC >Et_3A_023494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31063729:31064496:-1 gene:Et_3A_023494 transcript:Et_3A_023494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPPPSSESTVMKRATMVSVAVTTLFYMLCGCAGYAAFGDAAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYHYQVYCQPLFAFVEKWAARRWPDSRLVAGVNLFRATWRTAFVVATTVVSMLLPFFNDVVGFLGALGFWPLTVYFPVEMYVVQKKAPRWSASAMWVCLQMLSLGCLLISVSAAAGSIAGIASDLKVYRPFKTY >Et_6A_045875.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:22857375:22857785:1 gene:Et_6A_045875 transcript:Et_6A_045875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKREIRWHLNRKPRPLPSHYEALRRSNPDLTPRPGEEVDEDKERLYRLAKMFYESEERQLKMQKWVREEMETKGYVEMDDDLVRRRAEVQAAVVEEWKKIEALLESETEGEDDDDDSSDDYSSDEDDDDDGYIGF >Et_3B_028242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12222435:12223620:1 gene:Et_3B_028242 transcript:Et_3B_028242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNSQSWFKKKLAQGVGPSSSQTPVLETLADLGLVGQGYRNIITEKPDSSASLDTILQLACKSPSFHPIEQVAQVIVTELQTDLAAATPDAMAYQRADPSPWIPRNSQLVEGQLGRLLVKVKVTELQDLPQFLVITDVEGYRGNSWTVQCKIIEQRLLGVPVADEEPVPEPINGAPIEFSFFGYGQPGQAPPPNNNHEEEEAEEDDQAEWGDWLQNGPPDAAPAAQEPVEPDQEQNNQVAEAPAVL >Et_3B_027604.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:31380195:31382933:1 gene:Et_3B_027604 transcript:Et_3B_027604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAPSLLAATHPILSATSKLLPTSPPPLLLAALASFYHVPATSAHHLLDETPRWRAAAIVRALAASSSSGDGNVVEYLHCASLKSGAVLDPPGRTSVLTAYARAHDAGAALALFNEAVSPDLILWNAAISALTLNRCYDDAVALFQRLARGLGEFDSTTVVIMLSGASRAGVLGHGMTLHGLSVKRGLDADQLNLWNALIDMYAKCGDFYSSEAVFWRMPYRDTTSWNSLISGSIFNGLDDVAACYFKEMTRSVFQPDEMTLPSVLSACSHLDNLFTFGESVHSCAIKLGYEDTASCSVANSLMTFYSKFGLPEAAEMVFASITHKNLVSWNALIKGLVENEKVSEALTVFQEMRSENPPDVSTLVTVISACGDQGLLSEGKAVHGYTIRKGLLHEEPSVGNSLLDLYLKCDDTSTASQLFGTMARTDLISWNTMISGYSRNDSLKEEARSMFKALLSKDLHCSLTTVLAVMPSCSSQEELSFGKALHAFSLKYGFTSGVSAVNALMHMYITCGDSLAAFSLMEGIIPASDIVSWNTIIVGCVQNGLYKDSLEAFQYMCSSLAMNPDCITLVSVLVACGNLNKQSLGKSIHCMTLKDTLASNLRVQNALLAMYFRMGDTVSAESIFYSMGHKNLCSWNCMISGFVQNNKGWRAFQFYQKMEDFAPDEMSVVGIICACTQRRDYRQGKSIHGHVVRSALQKNAFISASLVDMYCKCGRLDIALRVFEASEKSIAGWNSMISAFGYHGHGLKSIELFWKMDDSGVKATRSTFIALLSACSHSGLIDEGWKYYHLMSEKFGIIPTIEHQVCIVDMLGRAGQLQEAHKFVESLSSQQAHGVWGALLSACSSKTELKMAESIANHLFCLDPENSGYYVTFSNLYAYRDMWSGAVKVRSILQDKGLMKQRGHSFVG >Et_3A_025619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31307640:31309551:1 gene:Et_3A_025619 transcript:Et_3A_025619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGGGGRGGGEGGGDAHEHDLVMPGFRFHPTEEELIEFYLRRKVEGKRFNVELITFLDLYRYDPWELPAMAAIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRAENNRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPDDTDRYHKTEISLCRVYKRSGIDDGHGRASSSARSTPSRGGATAQHDNKQASSSSTPTPPTTPSKLHLLHGECTSPSPAATADHAPAHKAAPASRHITTTSAKPSSSGYLSVTSVPAPAAGDRHDGTAATSTYDHPRNTGAFASTYSLLSLVNAASSMGSSSAAAIDELSTLVGHGQPPAYFNHHQAGHFLPVPTPPPSSQPLALGTLPMSLAAISDKMWDWNNTIPDAAARDYSSAGFK >Et_3A_023461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29080181:29080590:1 gene:Et_3A_023461 transcript:Et_3A_023461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRNAVDHAAGELTQVLYHEPSAAPPAAVARPSCSRSEPSPQKHSFSLETAKGEEGMTLFFVCVVCSQLRPPVVETDLAMRPAGYHNVVGTDDVFSQCCLISACSSSRFDAINNERKWKC >Et_4A_033040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17488832:17490501:1 gene:Et_4A_033040 transcript:Et_4A_033040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPSSSWRRHAATAASSTIPRTLLFLLPVLLLIFVLSRAPDLTFSATSTAASLSSNRLLAQVRPFDCYASPQASPVFASLVEGVPHPFFYSLADMGALPDRPHKNIQRLLKGKRFRKPDISETIQELLGKEVGRGGSGGVVVDVGANVGMASFAAAVMGFRVVAFEPVFENLQRICDGVYLNRVQDRIVVYHAAASDRVGNITMHKVIGRLDNSAISATGAKLAFKSNEEIAVDVATIPLDEVIPDSERVRMIKVDVQGWEYHVLRGASKLLSRKKGEAPYLIYEEDERLLQASNSSSQEIRAFLSSVGYNHCTRRGTDAHCTKE >Et_10B_003886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7226957:7231153:-1 gene:Et_10B_003886 transcript:Et_10B_003886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAGAPKRCYYEILGLSRDCSPTDIKLAFRRLALTLHPDKQPPGFDIAAATAAFQELQHAHSVLSDPHERAYYDSHRSQILFSDPSASASSGGASPVPDLFAFFSSSAFSGFSDTGRGFYKVYGDVFGKVFGQELSYARSMGVPEPPAPPVIGNLDSPYPQVTAFYNYWLGFATVMDFGWAAEWDAARGENRRVRRLMEEDNKKAMRKARREYNDAVRGLAAFCKKRDKRVVDMALKKKAEEEKRKAEERERKKAEEKRKKERAMAYQEPEWARVEEEEDMFDEEEEEEMRAKRKEELYCVACNKKFKSDKQWKNHEQSKKHRDKIAELRMVFKEEEEALKEAEEEDDLNDLDVGFDFKPTQESDENESEWSDAAEELAEELEEGLEVHDKDDGDKDFDNAEQEVGSYDEASVLEAMLSSRKNKKSGYVVPPEEAFSGGVGIDDDDRSEFNNVKRKGRRRRASKKEQEESTYEDNDQQRKSEVQPEESRHDNDENGVDDKMEGPSCSNEDPASASKGDKQKGKNSNPKKNKKDKKATEKKTSVSADLKSTSKGKKQKEASNAPSSDCDTCGRTFESRNKLFSHLEETGHAMLKGRQKKPIVAP >Et_2B_019476.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6304761:6304874:-1 gene:Et_2B_019476 transcript:Et_2B_019476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLTMLILQSKGAGRSSSRSLSWLSIELSRNTPSS >Et_2A_017155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31451907:31458216:-1 gene:Et_2A_017155 transcript:Et_2A_017155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKTSQGGGPWMQTASDFHGRQVWEFDPDAGTAEERAHVERLRREFTENRFRKKESEDLLMRIQLTGLKHLHADMPPATKLEEGDEVTEEILLATLRRALNWMSALQADDGHWPGDYSGMMYLLPFWIFALHVTGSTDAVLSVEHKREICRWGFNILDESAMFGSCLNYVTLRLLGEVQKDEDDGLAKGRAWILSHGTATAAPQWAKILLSVIGVYDWSGNNPVLPELWLVPRFFPIHPGRFWCFTRMTYMSIAFLYAKRFVGPVTPTILSLRKELYSSPYENINWSKARNNCAKEDIRYQPSAPYRAISTWLNVFVEPTLNCWPVNKLREKALGHIMEHIHYEDKTTQYIGLCPVTKALNMICCWVENPNSEAFKRHLPRIHDYLWISEDGMKTKIYDGAQNWEMAHIIQAFLSTDLIDEYAPTIARALGYIKKAQIIRNPPGDQRYWFRHRSKGSWALSTVDNGWASSDTSAEVTKAILLLSKIYPSILMDPKDEKWLFHSIDCLLSFMNKDGSVGTFECKRTYSWLEVYVEGRSTHAVNTSWAMLALIYAGQMERDQTPLCRAAKVLINMQLETGDFPQQEHVGNTNCSAYFNYPNYRNLYPVWALGEYRQRLLAKENLKFTDLKQI >Et_1A_008689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9888395:9890493:-1 gene:Et_1A_008689 transcript:Et_1A_008689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTRLSLDDNRFSSLPHDFLQGLTSLTQIVMDNIPVEPWTIPDFIANCTALEMFSAANASFSGAFPKATLANMKSLRILSLSFNYLTGGLPDQLGILDDSALEVLQIDNQISDVKLSGSIEVLASFKHLKVLWIQSNQFTGHMPEFNSPLNSLNVRDNLLTGIVPFSLMKILALNLICRHLSGMISPALANLSTLERIDLSDNNITGPIPEALTSLSKLKFPDVSGNKFFYPLPSFKPSVRVIAAGGNGSTPPGSGSSKSKKRKIAMITGISLAAIILTIIVVCTGVTCIVKGRRKQVEPCPKFSRVEVELQSQPNLVMTANSSTYVEESRVEKFPLNHLLRATNQFNENNKLGEGGGGVVFKGSMNGKLVAVKRCKSDGSKGLEGFHAEISVLGKVKHRNLVELLGYCIHDAERLLVYEYMPGGTLLDHLLQDRFIFTWSERMEILRDIARGIEHLHSFSVENFIHGDIKASNVLLDQNLRAKVSDFGLVKLAKDADKSVSTSFAGTFGYLAPEYNTGRVTRKIDVYAFGVILMETIAGRKVIDDSLPVDQRYLGKIFRNSVVDKNLFKIVDRRLHLNSEAWNSLLQVAYLAHQCTEPEPSHRPDMSNCVSWLTRVMGEWNPRVVAGANEGNSIVMDMPQFLESLRNGDLTEWSGGDLTEWSGGDG >Et_10A_001590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5737750:5750664:1 gene:Et_10A_001590 transcript:Et_10A_001590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSTFRKLLVLLCLVASSEIISIIHGQLDSGRALMPFARPEFINIDCGIDADPASSYPTSRGLRYVSDAGLNARVKPPYDNPDFGERYWTVRYFPGDTGVARSCYTLRPVAPGGRYLVRASFYYGNYDGLNRLPVFDLHLGVNRWATVNVSDAGYRYILEAVAVSPADFMEVCLVNTGLGTPFISALELRPLKETMYPEATVNQSLLLLSLSRPSTMFSFNRYQFSNDLFRYPYDPFDRLWQSYGTFAAWTNIKTSAPVEVSNIGNFDKPTVILQTAAAPVNGTRIDITWSSDSFINNGNITYLLLLYFAESQRLPNSALRKFDILVDNATWNGSQGFTPKYLSADVVKRMVQGSGVQHTLSLVATPDATHPPILNAFEIYTVQPMTEIGTNDADAKAMMKIRKTYAVKKNWMGDPCSPKALYWTGVNCSYASSGPAWITALHLSSSGLTGAVDYSFGDLKSLQRLDLSNNSLSGPVPDFLAKMPSLEFLDLSSNKLSGSVPAALLEKRTNGSIVLWIDNNKDLCDTGLSTCEPKNKKNKRKLILGTVVPIAAATVLLFAAGLFIQHRMKNKIRLNSPRDAANVFENRQFTYKELKHMTSNFKEEIGRGGFGAVFLGYLDNGTPVAIKLRSKTSSQGDKEFLAEAEHLTRVHHRNLVYLIGYCKDKKHLALVYEYMQGGSLEERLRGEASAATPLTWYQRLNIALDAAQGLEYLHKSCQPPLVHRDVKTQNILLSSSLQAKIADFGLMKAFADNFRTHVTTHPAGTLGYLDPEYYNTSKLSEKSDVYSFGVVLLELITGQPPAVRINDSEIVHIAQWVRQKFSEGNIESIADPRLGGQYDINSVWKAVELALQCKEQPSQTRPAMTSVVVELKECLELEVSRAMSYCNSVPSSAKNLGAATVDLDSEDQEIDHTRQQAVFWALFLCLLAASSELFTVIHGQLDSPGFISIDCGIAADRTYSDQSTSGLRYVSDAGFTDAGLNGAVGPPYDEPDMAERYRTVRYFPDDGGAPRSCYTLRPVTPGGKYLVRAAFYYGNYDGLNRLPVSFDLHIGVNHWATVNVTAAGDRYILEAVVVSQADFLQVCLVNTGLGTPFISGLDLRPLRWTMYPEATVNQSLLLLSLSRPSATFGFNRYNFWPAGYPLFRYPYDPYDRLWQRYGTVAAWTNINTSAAVDVSNINNFDKPTDILRSAATPVNGSRIDITWSPDAFVNNNDTTYLLLLYFAELQRLQSNALRQFDILVDDASWNGSQGFTPKYLSAEVVKSMVQGPGQHAISLVATRDATLPPILNALEIYSVKTMTEVATNDTDAKAMMTIRTKYALKKNWMGDPCAPKAFAWDGLNCSYPSFGPATVTALRLSSSGLSGVVDDSFGDLKSLQHLDLSSNSLSGPVPYFLAQMGSLRFLDLSSNKLSGPVPPVLLEKNKNGSLVLRIDNNANICDNGVSACESENKRSNKTLVIATVVPIAIATLLFVAAFLILHRMRKRRDKWMANTARLNSPRDMSNIIENRRFTYKELKLMTSNFREEIGRGGFGGVFLGYLENGSPVAVKMRSKTSSQGDKEFLAEAQHLSRVHHRNLVSLIGFCKDKKHMALVYEYMHGGNLEDRLRGEDAAATPLTWHQRLKIALDSAHGVEYLHKSCQPPLIHRDVKTRNILLSGDLEAKIADFGLMKAFANEFMSHITTMPAGTLGYLDPEYYHTSQLSEKSDVYSFGVVLLELITGQSPAVPISNTESIHIALWVRQKLSEGDLTSIVDPRMGGDYDVNSVWKVAELALKCKEEPSRKRPTMTDVVVELKECLELEVLHAMSCYSSVQSSANNLSGTSADIHGEASDYPRQEAMRELEQAGVPSNTHVGPAPR >Et_8B_060706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5285476:5287394:1 gene:Et_8B_060706 transcript:Et_8B_060706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASEEAGNNGGAAAIGKGEDESTLTAGSTTFPANFPEIVAALPQGPHCPMFPSLRLYRGFWLPEVTLLSLPQVHARFKPSPSDVLLASFPKSGTTWLKSVCYAAARRAVHPPSGDGEHPLLSRNSHDCVRSLDTLRFLQPGGVDADGDHAPRLLGTHLPYSLLPAHATAAGDGGAGCRIVYICRDPKDTLVSLWHFNSGVELAAADNDGARAMTFEEAFEQFCDGRYGLGPQWEHAREYWEAPENAGARHVPPMLRDPAGSLKNIAAFMGCQFTAGDEDAGVVQAVLDLCSIEKQRNLAVNKDGAYVVDGLLRIGNKNFFRKGVAGDWRNHMTPAMAARLDGIVEDALEGSGFSFASSNAQTSYVHPALQHGPRRPINRRDSPSSHRHRQASLLVVAETSARAARTTRHVAGITPRTAGTISRAAGTISHHEGKPGGGGARRR >Et_5A_042697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26123800:26124272:1 gene:Et_5A_042697 transcript:Et_5A_042697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVTKVDFFRGLPPRWAPVGATWVEVIQINGYAVLMGYLSMTVRGTSLLVLTWTTVVLLGGFVSSLLKKDFWCLTAITFRQVAGH >Et_3B_027570.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28281430:28283961:1 gene:Et_3B_027570 transcript:Et_3B_027570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQVSSPSCSTSPASPSSSSAIATSPRPAVLGVRLARKLAGGLGRRRGGQHAIRRALSASIDSVGGRDDEEFSKRIQELAAGQHPGCGWPASVERSASSVGLPLSLRMLKRRKQQQLEQGRWDERLMECAGESAVGRAFSSMVLMIRELQSFTLQMREALLYEDLQGVLARVHAEMHASFVWLFQHIFSGTPALMVSLMLLLANFTVYSMGDSLPPPPQPAAVAAVATMDTHHHQPEQSSSQRFDPAELKTFSSIGRAASVGGNSGGGGKVRPVAGATGDGQSDESSSYRQSGTVLPQDVSQATPMGAAGSEASVSDSMAGEEARAQDELVIWKRISDEATRMQASARAEELMDPEILGQFVAPVEAPKVETEYSPEFAATAQRYEQAVSDEPNNSLLLGNFAQFLYLVQHDHDR >Et_1B_010337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9334487:9334839:1 gene:Et_1B_010337 transcript:Et_1B_010337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIDISEILDRKVGENFDSIGSMWLSDKRFCIINMVTSSFLEGNVYDLGQDHTDGDKLANPMPCGEAGFAAAAYCWVEGDEEENWKNLDGSAEHEGERGV >Et_2A_015789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17933025:17935222:-1 gene:Et_2A_015789 transcript:Et_2A_015789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSLFLVASMPIIQVLLVGVVGAFLASGYSNVLTSSARRDMNKVVFTIFTPSLMFASLAKTVTLADVISWWFMPVNIAITFMAGSILGWIACNILKPPQHFRGLIMAFCSAGNLGNLLLIVVPAVCDEDGNPFGRNRGICRSRGLSYSSLSMALGGLFIWTHTYSLMQKSAPLPTSVKPGAHREENQMETPLLSCQSEVADKGFWTNLKDSVHHFIKELMAPPTIAAMTGFIVGLVPWLKSLIIGDGAPLKVIQDSLQLMGDGTIPCITLILGGNLTQGLRKSELKSTVIIAIVCIRFVLLPMIGMAVVHAAYGVGFLPHDPLYRYVLMVQFALPPAMNIGTMAQLFDVAQEECSVIFLWTYLVAAIALTTWSTIFMSILS >Et_5B_043792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16105683:16107676:-1 gene:Et_5B_043792 transcript:Et_5B_043792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSAHACYQIMFSTLHMTKCSIMSLTYDETADKNISTTMLVKMPIYCRRKMIFSVHACYKVVLSTLLVTFAKRLQTNTLSLLISKDHLEAKDCLYRTCMLQNCVAYTPHDEMLQKFSSLGNRNIIIDIKGASSAAETARSSSERTVPPTRRAVGTGDGAKAEQDGERQGPCVVAERESRGETGERRRRPSSRKKRGALSIIFGLGLCGP >Et_9A_062065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19443152:19454115:-1 gene:Et_9A_062065 transcript:Et_9A_062065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAATSNGPAALVARGRDSAAALEALLQDVSTTSGTAQDGGLRELTEEILCCLDRALAALQGDGVVDVVAAGDCRSKKRRSLPPGAADQSMAKRRARPFRGAEMPTKVEKRSTPEDGFLWRKYGQKDIQNSKYPRTYFRCTYKHDNGCKATRQVQQSEDDPSVFVVTYFGEHTCGVDAAAEATVAKAEKLQKPLVISFGSGTTASSGSPWISASDDDVRSNSSQESQAVSVPDEERGEQNANVLDVESVLAPPAEPSSSADDFSCASPDMDPLLSYFDWDYTGDSLGRGSRPSVCWRSYRPWALIPAGRSWPSRSSAASTARSPRCAAPATSTSRSAGPSEGTVARTLRAAGWSDRFISCRVSPHVDATLICRATCGRGETGARVVSATTDDGFAWRKYGEKVINHHRFRRFYFRCTYRDEHGCSAKKQVQQTQDDPALFETTYFGKHTAACPRDNAPLAVAECAFVVSQAHFPTGFRPCAAGNSTSLKGEVPSLPAGEKALMLPDLIS >Et_4A_035745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:564664:569123:1 gene:Et_4A_035745 transcript:Et_4A_035745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVAMLSVNDGKREKEKWLVMRPCAKGRHNHTSGEQQLDGGVNIYHSLAVSLASHATVESASTLLGCAAVER >Et_4A_035793.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:6061842:6062204:1 gene:Et_4A_035793 transcript:Et_4A_035793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPLLFVAALLALPARGYAAGDSKNLHPVVLVPGYGANQLEALLTAAYEPSAPPCAGADQLEWFQLWPNHTGMHDAAKVPCFADQLSLVYDAGADDYRNADGVATRVPFFGSTRGLVG >Et_7B_055390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9942127:9948354:1 gene:Et_7B_055390 transcript:Et_7B_055390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQEKAAACCGGGAPARGAPAPVRAIAASPPAKVAMATGDERVVASGVGGGAVIEEIAAVQPTTAKASSKGIPIMTRAQRCHPLDPLSAAEIAVAVATVRAAGRSPEERDSMRFVEVVLLEPEKNVVALADAYFFPPFQPSLLPRTKGSAVIPSRLPPRRARLVIYNKQSNETSIWIVELSEVHAATRGGHHRGKVVSSQVIPDVQPAMDAMEYAECEATVKSYPPFIEAMKRRGVDDMDLVMVDAWCAGYYGDADAPSRRLGKPLIFCRTESDSPMENGYARPVEGIHVVVDMQNNTVIEFEDRKLVPLPPPDHLRNYTPGETRGGVDRSDVKPLIINQPEGPSFRINGYFVEWQKWNFRIGFTPKEGLVIHSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALMPGESRKYGTTIAPALYAPVHQHFFVARMDMAIDCKPNEAFNQVVEVNVKVESAGPHNVHNNAFYAEEKLLKSELQAMRDCDPSSARHWIVRNTRTVNRTGQPTGYKLVPGSNCLPLALPEAKFLRRAGFLKHNLWVTPYRSDEIFPGGEFPNQNPRIHEGLPTWVKKDRSLEETDIVLWYVFGLTHIPRLEDWPVMPVEHIGFMLMPHGFFNCSPAVDVPPSSSDTEIKEAESPKAIQNGLMSKL >Et_1B_010913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15356199:15365878:1 gene:Et_1B_010913 transcript:Et_1B_010913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLVTLSVLVLLSVGIANAVRVARYSSSEALGQGGGNGGGYQNGAGSGLGGGSGSSESGAGGAYVSGGSSGGGGGSSQYGGSGFGSGSGKASGFSQVNYPYNGLDGSTSAGGNGGGGGGGQGSSNPGSGGYGTGGGMGTGSSEAHRGNPFKSAPPHAIADASGNGGGTGGGRNGGSGGGDGKGSGYGNVVPGSQ >Et_7A_052425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7910437:7928973:1 gene:Et_7A_052425 transcript:Et_7A_052425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHLRLHAAAAAAAAARASELELLVPRASSAAVVAMDHRAPAGAHEIEKTPSEDMESEPAAAARREAERVPPWREQVTARGMVAALLIGFVYTVIVMKLSLTTGLVPTMNVSAALLAFLALRGWTRVLERLGIASRPFTRQENTVVQTCAVACYTIGFAGGFGSFLLGLNKKTYELSGASTPGNVPGSYKEPGIGWMTGFLLAISFVGLLTLLPLRKVLVIDYKLTYPSGTATAVLINGFHTPQGDKNARKQVRGFLKYFGISFMWSFFQWFYTAGDDCGFLQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNLSLLLGAVLSWGVMWPLISKQKGNWYSAKASESSMTSLYGYKAFLCIALLLGDGLYNFVKVIVITVRNIRERSARRSLNRVADADTMALDDMQRNEVFNRDNIPTWVAYTGYAALSVIAVITIPLMFRQVKWYHVIVAYLLAPALGFCNAYGTGLTDMNMGYNYGKIALFVFAAWAGKDDGVIAGLVGCGLVKQLVLISADLMHDFKTGHLTLTSPRSMLVGQVAGTLMGCVIAPPTFFLFYKAFDVGNPDGYWKAPYALVYRNMAVLGVEGFAALPDHCLQLCAAFFAFAVLANVARDFLPRRFARFVPLPMAMAVPFLVGASFAIDMCVGSLVVYVRHRLDSKKAALLIPAIASGLICGDGIWTFPSSLLALAKIKPPICMKRQHRRPPHLELEMSRPRDGGYEEAMRGRERLPPWREQLTARGMVASLAVGAMYSVIVMKLNLTTGLVPTLNVSAALIAFVVLRGWTQALARLGVATRPFTRQENTVVQTCAVACYSVAVGGGFGSYLLGLNKRTYEMAGEGTEGNVPGSYKEPGIAWMTGFLLTVSFVGILALIPLRKIMIIDYKLTYPSGTATAVLINGFHTTHGDAMAKQQVNGFTKYFAISFFWSFFQWFYSGGDNCGFSQFPTFGLRAWKQTFFFDFSLTYVGAGMICSHLVNLSLLLGAVLSWGVMWPLICDLKGDWYPTDIPESSMRSIQGYKAFICIALILGDGLYNFAKIVAFTIKSLIERSRLRNAKKEEDIPVLDDIHRNEVFTRDSIPTWLAYTGYLALSVVSVIAIPLMFREMKWYYVVIAYLLAPALGFCNAYGAGLTDINMAYNYGQVALFILSAWAGKDSGVVAGLVGCGLVKSLVSISADLMHDFKTGHLTLTSPRSMIIAQTIGTAMGCVIAPLTFLLFYKAFDIGNPDGYWKAPYALIYRNMAILGVEGFSALPLHCLQLCYGFFGFAVAANLMRDLFPPKYGKWVPLPMAMGVPFLVGASFAIDMCVGSLIVFTWHTIDRSKAALMVPAVASGLICGDGLWIFPASLLALAKEPRRRKHHHHHSPPPDLERAMAYRRDGGGGASEDEAGGDSEAGGRGGGGRVPPWWDQLTLRGMAASLAVGTMYCVIVMKLNLTTGLVPTLNVSSALIAFAVLRCWTQALARLGVASRPFTRQENTVVQTCAVACYSIAVGGGFSSYLLALNKKTYELAGEGTEGNAPGSYKEPGVWMIGFLLTSCFAGIFSLIPLRKVMIIDNKLPYPSGTATAVLINGFHTTHGDAKAKQQVDGFTKYFAMSFFWSFFKWFYSGGDNCGFSQFPTFGLRAWKQTFFFDFGMTYVGAGMICSHLVNLSVLFGAIISWGVMWPMLDKMKGDWYPSDVPESSMKGLQGYKAFICVALILGDGLYNFVKISAFTCKGMLDRSRLKNAEKGFILFPDEATPLLDDIQRNEIFSRDNIPTWLAGYGYLTLSVVAMFAIPFMFPEMKWYYVVVAYILAPILGFCNAYGSGLTDMGMAYNYSKVALFVLASMAGKEHGVVAGLVGCGLVKSLVWISADLMQDFKAGHLTLTSPRSMMIAQIIGTAMGCIIAPLTFFVFYNAFDIGNPDGNWKAPYALVYRNMAILGVEGFSALPLHCLELCYVFFGFAVAANVMRDLLPPKYGKWIPLPMAMAVPFLAGASFAIDMCVGSLIVFTWQMIDRSKAALMVPAVASGLICGDGLWIFPESMLALAKIGPPMCMEFRPAH >Et_3A_026999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29032832:29039662:1 gene:Et_3A_026999 transcript:Et_3A_026999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAVAREDVSSSHFPASNAKKKPHQPRNGAAGGGGAGGAQKRLTVLGEEGREVVGIDEKYALDRELGRGEFGVTYLCMDRDTKELLACKSISKRKLRTAVDVEDVRREPENFLFANKKENSPLKAIDFGLSIFFKPETEQGVAQAILRGNIDFKREPWPNVSENAKDLVRRMLEPDPKLRPTAKQVLEHHWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKEMFKAMDTDNDGIVSYEELKSGIAKFGSHLAESEVQMLIEAVDTNGRGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGFIEPEELREALVDDGGADSMEVVNDILQEVDTDKDGKISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSMKLIKDGSVKLGV >Et_3A_025766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32762054:32766869:1 gene:Et_3A_025766 transcript:Et_3A_025766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGAAAGFGFLGLDRIWLLLPLLLPEKLLARTRTARSHLYSHYFGSFKVRVWWPWILAVWLVCGVVGSSWILFFMSNQVVEKRKDALASMCDERARMLQDQFNVSMNHLQALAILVSTFHHSKNPSAIDQNTFARYAERTAFERPLTSGVAYAVKVTHAEREQFERQQGWSIKKMYSSKKKSSPGPGDAASSEVREPADEYAPVIFAQDAYKHVVSFDMLSGNEDRENILRARASGKGVLTAPFKLLNNRLGVISTYTVYKHELPPNARQQERIQAAIGYLGGIFDIEALVDKLLHQLAGKQSIMVNVYDTTNDSPISMYGSNDTGDAMYHVSTLNFGDPSRKHEMHCRFMQRPPWPWLAITTSFGALVIVLLVGYIFYATVKRIAKVEDDYQAMTELKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDTTQQDYVRTAQASGKALVSLINEVLDQAKIESGKLELEAVPFDLRTVCDDILSLFCGKVQEKGLELAVYVSDQVPQTLIGDPGRIRQIITNLVGNSIKFTEKGHIYLTVHLLEEVTKCLEVDNRTQCTNTLSGYPVANKRRSWENFRLFNRELHTSETSFAPVASDSISLIISVEDTGVGIPFEAQSRVFTPFMQVGPSIARIHGGTGIGLSISKCLVGLMKGEIGFASKPNVGSTFTFTAVLMRARSSGSENKSLDFKDINALVVDHRPVRAKVTKYHLQRLGVRTELTADLDQYISKVNCGSQIAKLVLIDKETWLKESHSMPRLVGKLRNKDQPDSPKLFLLENPTSSIKSSSHISKEYSLNLIMKPLRASMLQVSLRRALGGVDKLHCKNGVVGNSTLGSLLHKKKIIVVDDNIVNLKVAAGALKKYGAEVTCADSGKKAITLLKPPHSFDACFMDIQMPEMDGFQATKRIRVMERDLNERIERGEVPPECSNIQRWRTPILAMTADVIQATHEECLKSEMDGYVSKPFEGEQLYREVARIKAPMAEALKAGDGRHP >Et_10B_003563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3103385:3114381:-1 gene:Et_10B_003563 transcript:Et_10B_003563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLYLLLLLLLLPPYATFSIAAGDGGGCQRLCNGTAVPYPFGFFGDCPILLTCNASISSMPLLPHSNATAYYPILSFNSTTSTFLASAAPSCNRTVRDAGASLTGAGYGVSNRTGLLLRGGANCRPQAAEPNCTVNGELVTRLLRTAQCAGGGGGGNDYTCVAAAAASGQVGPFLRWEAAVSASGCEDALTATLYGDASPGMPSLQFGVAELGWWLDGNCTGAGGHRCAANATCLDVETPTGARGHRCACRDGFSGDGFAAGEGCRINGAPPGVLAGLVAAAALLLLCRLQRRRSAAGRYGAAMRLLSEAASSSGVPVYSYNEVARATNGFSHTHRLGTGAYGTVYVGKLPAAASAPLVAIKRLRWHRHHHHEDDDDGEAAAALLLNEIKLISSVSHPGLVRLLGCCLDRGEQILVYEYVPNGTLSQHLHGGGNGGGGGGSRCRLPWRARLGVAAETAAAIAYLHGMRPPIFHRDVKSSNILLDGDLRPKLADFGLSRAGDRLAGDGATRSHVSTAPQGTPGYVDPEYHQNFHLSDKSDVYSFGVVLLELITAMKVVDFDRPPSEVNLASLALDRIGKGRVSEIVDPALVGEDEWVMESVRHVSELAFRCLAFQKDVRPSMSEVAAELGRIRAAAPDSDSGSGLMTDLQIDVSFRSPDMAAKKAASPVSVQEVWVSDRSSPSTNGSSLFMPRFARGLARLAVTAETAAVIVYLHHMRPPIFHRDIKSRAGAVHRLAGDGATRSHVSTAPQGTPGYVDPEYHRNFHLSDKSDVYSFGVVLLELITAMKVVDFDRLPSEVNLLSLALDGKGRVSEIVDPAIVDHDEEWVMKSVQHVSELAFRCLTF >Et_6B_048782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13626080:13627237:1 gene:Et_6B_048782 transcript:Et_6B_048782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPGQSPACDGPRHVCDNRFVMKEIAREQSLVTQLRAIVLPALQADKRSELVAQMFKNILDCSSKALAELQLNQFDDDRADNTLVDVKKRVRRIRDNSNMEEDVKLHRQHKRRLFADSVPLETPVPYYDGHQWRKYGQKHVNKAKHQRSYYRCAYRQEQGCKATKTVQQQDERIGADNPVMYTVVYIGKHTCTGNNGGDSKMDNSETNTTQRSSDSQSSIPGNCSDPCNHQMSLDGNNLIDKSTDSIKENNMHEAFDMTAFAALDLDSWELDALLNLMPDN >Et_8A_056303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20905563:20906009:-1 gene:Et_8A_056303 transcript:Et_8A_056303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPNCHVRANVSVAETEQNYGRIFHKCPRFSASGCPYYQWDDEIDAAIVPSAQPVLMQVQAPPQAPAMIQVPAGAPPQPQGGRVQDAPGNLQVLMVKINWLEKLVLACLVLSVYIAFWK >Et_10B_003467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:234325:245665:1 gene:Et_10B_003467 transcript:Et_10B_003467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRHWNADVNGISLHVAERGPANGPAVLLLHGFPELWLSWRHQMAALAVRGFRALAPDLRGYGDSSAPADASAYIIFHIVGDIVALLDHLRLPKVFVVGHDWGAQVAWHLSLFRPDLVRAVVILGVPFFPRAPVSMTEEPGRAEKAFARHDVATVLKKFYSIQLDDLTAPPGAEIIDFLEAPSSPLPWITEEVLGQYAEKFRKSGFTGPINYYRMMDMNWRLMAPWNHAKMIVPAKFIAGDKDIGVQSFGTEHYIKSGGFKSNVPDLEVSIIDGHHFIQQEQADKNTRETAFALRKLPLTKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKNRQPNGQGRWPAKSARFILDLLKNAESNAEVKGLDVDTLYISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKESRAGRQSMAVRHWNADVNGISLHVAEQGPADGPAVLLLHGFPELWLSWRHQMPALAARGFRAIALDLRGYGDSDAPADPAAYSVFHVVGDLVALLDYLGLAKVLVVGHDWGALLAWHLSLFRPDMVRAVVALCVPYFPRGPAPATESLAAIGDGFYVVQFQEPGRAEQAFARYDVATVLKKFYAIEIDELIAPPGVELIDFLEAPASPLPWMTEEELGQYAEKFDKSGFTGPLNYYRMLDTNWRLTAPWNGAKITVPAKFILAEKDVGLKSFGIEEYIKSGGLQSNVPDLEVAVIEGHHFIQQEQPERVNSEILSFLDKFTSGLIMDNINMKAARRDILLLQCMLGLGGSDSDVPAHHSNHLPSSHYLFRLLFCTISCCCLRDVMDIILFLVSGGCVLLLAAEGLIFYELLQRKTAKAVISALKRLPCPILLQRIILWWCLLLTDLFRSLSAVALSSARLRRLLVAAVSLLSRISPWLDLDGNPQPSLLVVASSLTW >Et_2B_021318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28571180:28575367:-1 gene:Et_2B_021318 transcript:Et_2B_021318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDANDAAVIHEGVQGGGGGGCWEYGKGSPARTMSDGSSSLFSSTSSLTDDDERDDATSSPPGRRPASCSSSSSLTSSESSDKMHTSGAAAGGGPLYELSMMLDHLPALRTGLSNYYRGRSQSFTSLADVSCVEDLAKKTTPYYTRRTKASRSYAAALGAKNQLSLKIAKKAQRSSPDRLLSRASTSLLRSSGKPPAYHGNREFFKLDDNAGAFASSTHSSNEVSLNVCNLEKSGEVDQAAGIPRGIDRVVRLVAEKRLVDVEHPRRFRSALRGVQGLGGEGEGVRGDDGGVGRVAIPLHASTDAKQRFGTEVQGPALPVSTESIQLSQKSASASPAPEETAVGALETMRRRTGLAPLALAATARSAKARREGSMAPGAGFVQAGEVRCGGEYSGGDGVGACLSLLGRGREAAGDHGNEPMAP >Et_5A_042774.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4007354:4008400:-1 gene:Et_5A_042774 transcript:Et_5A_042774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASTALQQRHHQRREEEEEEEEEEEEEEEEEEEEEEGCIAVGLGGARQERHLLSCCSRNSSRTEANSTSSSCARVTEERSEFYKLLLPLVWSMAVTATTVAAATTMLSAVAAVFLTFVLCFYVFLCAKRYRGGAPPPAGAGAAAWLRFLLWGGGGRGRAADANTAAAWFYDGGLDDKSMAKLPVREVGKGESLDCAVCITELAAGETARVLPRCGHAFHVDCVDMWLKSHSTCPLCRCPAVDEPPVVPPAAVPTPEADPESPNFPTNVLFFGSSQDDVSTGRAQQNQSPALATTAHTQSEEEDADGEAARVLGLRRLLGCGGASPPPHHQQGDIEMGLAAGETRGR >Et_2A_015338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11264102:11269229:-1 gene:Et_2A_015338 transcript:Et_2A_015338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGVGSRPWRVIPRPILETVLHNHALRPRVPQPLLLHGPRGVGKSTLLLHRLLPRWSEQPHATAFVDFLHPVPNSPAAAAPWSLLPADPAPSLPDLRLQLESALEGLTRAAVLRGAVGSKDVLAALSRSHGLGTALSRIAGGPAARSVSASVPARSSTTSLPALWARAVLASARQDDATFSIGEGEATNCSMEERAYMQEAMASLRVAKEVLRMQEGWRKEAVREMNRTGKFSRSLANSATDWPCLLLDVLSGAAEEDFFQPKLVLSNVDVLRKASCEDETMVPAAVYHDSFIWRVIALGANERCLPVFISTSDGYYSSQAFVDFGFPNIFISRETFGWTPQEAKLHMVSEFFTEQEVWKVVDEVLGPNPRQLSEIYKLKQKANSPGALHDRNIEEIIDTYLAHLQVSVVNPAMETALGMLQKFASDVRQGKIPENRLSFGAPWRHPPRDENPDLSYKWAKIQLMDFVQSFVNTEFGACSTETTIEPSRINIILLATSNTWTQLSSFNERSWLQVK >Et_9B_065286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3011271:3011918:-1 gene:Et_9B_065286 transcript:Et_9B_065286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLSTTNRQEFHNRTVEWEFERSCLFSTYSLYKHITFPVYEHKQCRHDSSGNLNAPWDPPYIETGRIPSSSEKIIYIMFKCPLAIYLRCLVRDVFHRAPTNLVDLSDDKGDNCKKYIYIYIYIFSTGLRPYALHCVHPEMLLFEETNSVRPHNQLASHMKILLLHRWTGLSDPSEQKKIDCLSNTRKHQGHEG >Et_8B_059888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3756265:3761103:-1 gene:Et_8B_059888 transcript:Et_8B_059888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAGEHASAHREGGGVEVERVFIGAGCNRVVNNVSWGACGLVAFGAQNAVALFSPSRGVIVTTLPGHKAAVNCTLWLPTKKDVLQARGRETHYLLSGSADGAIMVWKIGSGKGEWAHALHLPMMHKKGVTCLAGRMVSDTASIFASTSSDGSVVIWEMTIEPTTGGSCQVSCLHSLNVGSKPMVSLSLAVLPKQGNHLILAMGGLDHKIHIYCGDKSGKFTKACELKGHSDWIRSLDFSLPVMMGSEKHNLFLVSSSQDRTIRIWKMTSGAVSSGPTMQLLNGNIEMTSYIEGPLFVAGNTSYQVSLESLLVGHEDWVYSVEWQPPTLLPGDETHQPMSILSASMDKMMMIWRPEKNTGLWINSVTVGELSHSALGFYGGHWQPDGKSILAHGYGGSFHMWRDVGLDSENWKPQIVPSGHFAPVSDLTWARSGQYLLSTTRIFAPWRSQVCPGDTVYWREIARPQIHGHDINCVAFIQGSGNHRFVSGADEKVSRVFEAPLSFLKTLQQATLLKPDISEDFDNVQVLGANMSALGLSQKPIYTHGTKDSPSSIPNDGPESIETIPDAVPTVFTEPPVEDQLAWNTLWPESHKLYGHGNELFSICCDYEGKHVASSCKAQSAPVAEIWLWEVGTWKAVGRLQSHNLTVTQMEFSRDNAFLLSVSRDRHLSIFSIKKTEEGVEHRLVTKHEAHKRIIWACSWNPFGYEFATGSRDKTVKIWCVEDAYSVKLLATLPQFRDSVTALAWMGRDRASNAGILAVGMDNGLIELWSIWGGRASAGGSPDSAPISATCLLQFDPLLCHVSTVHRLRWRTPDSSEEKSAHELASSGADHCVRVFEIRDR >Et_8A_058146.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:21413628:21414218:-1 gene:Et_8A_058146 transcript:Et_8A_058146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISSILLLYLIAASSVSSSAQFGTHDQLQSPQQEQADHSLQVLAASQRNTTAAAIKCRYTIAVQTGSYVGAGTNARVSLVLQTTDRRDDLTVHDLQSWGAMAAGHDYFERGGLDVFEGRAECVRAEPCWMRLASDGSGFASDWYVSYVEVDVYPEAEAEGTPYRYRNHKFVVEQWIDEDFSAVRDECHNHAAMA >Et_7A_053088.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6367772:6368308:1 gene:Et_7A_053088 transcript:Et_7A_053088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLQVLAAVVLLAAASTSTASVLQDACKSFAASHTDIGYDFCVKFFQADKGSAAADKRGLAVIAARIAGQDAGGISKHIAALRASSKDKRIVACLSDCAEVYSSAVSQAGLAARGVESGSPDGLQSAVTALSAALDAPDTCEQGFQDLQVSSPLANEDNAFSKQAAVALAVTSALLH >Et_2B_020594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21697300:21721027:1 gene:Et_2B_020594 transcript:Et_2B_020594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRRHKGKATAKNKRRGDPPCRPTSVHDLPDHLLCRVILDLGSLVNPVRAAAGFLARFRSVNGAARVAGHYYVPETPPPHPRNRAPPPRRAPEKKPTVFVPAAPAAAGDDNTGLFSLDFLPVTPRVVGGRQWRPEIIDSRGSLLLLNIQPWPISDYTALQRSPDLIPATRRFQGIPRPPDFSRLYFLGAFLLPAGGGGGAGDAMSTFRVLYVLYQYNPFCWGTEDDDVKLPCVEKISFAGRAGGRVYWGIETGQALVLHENTLEFSLVPFPDHVLWPDRKTSFRVVGGLDEDDGTTVRVVRAGGQSLDVFVQMPGSSEWVVESTVQLRDAAAGLPGWDDKLLKEPAEETWLFSVDLETMLLEREHERNRHVGQAYPCSMPWPPVLQACVDHGSGVVGKRRGQRRHNTRANAKKNKGRAKPPHAVPTGVHDLPDELLDRVFLGLGSPLHLVRAAATCRRWRRSISDAGFLVRFRSLHGAPPVAGHYYVTDTLPPWATDQWYRTPAKPAVFAGSGRPLDFLYEAPVGDDRPRYMYQCGNRTRHNRCREIVDSRGSLLLLRRWQLWLGYADGRHCASDFVVCEPLTRRCQGIAWPASLRYFQFLGAFLLDGDGVSGDDAMSNFRVLLAARRHPTSLLVHSRLRRRVAPRLALDGRRRPARRRVRKLDPIRRASGREDLLGDRVRRRARPRRRECTLKFSLLALPSGMRWPYRKTSFRVIGGADGGGDTTRVVRVDGEDLQVFGQLPWSGEWVMDKSVRLSDAAAGLPGWRNTYFTLPARIVTAGDTFVVLTPMEKPWLFTVELDTMELERECTRETGTSGRRTRAPCRGLPFCKLAWIMALLVRGGNAARARRKNAHADAGGDTAAVHRLPSDILESIFFRLPSLLHLVRAACACRRWRRVIADDSFLRNFRALRVPGHVAGGYRVGERYRGLRPAGYDPMFFPSKTSPLKHDVDEGLGHFSLEFLPERGGGEPWEIADRRGFLYLLLNEGTSCRFPDFVICDPFTGKRRVIPPLADFDGCHCMGAFLLDGEETRGRVTLSGFRVLTAVFSSGTGRWTVARCTADCGMERLPGPYDVFFAGQCTRSAHWITLDDTLLVLNKYTTEFSLFPLPADMGRWNHLGVRVVPRECATVRIVSLINDKLSVFRQEVETAGWVLEAKVQLSDASVGLPGFTKRYFIQPGRIVSVIEGRVVLFTPWKNGSLISLDLEIMEFNLVDNPCGLLAHPYELPWPPVRSGPAFLCRRGMDMDFIPDDLLKLILPCIDEPLCLIRAAAACKGWRRIITSDGFVTRFLSLHAAPVAGVYHDEGISQASPKEPPSFTTSPAAATINSRHHFSLDFLPDGNARPWAWSILDSRGSLLLLVNRLPDEEAAGSTTRHRDRAGCHLRACDATLRKNCFSIFICAYLLDGDSSGVRLGMSNYRVLCVLYGDSRYHAFVFTTGSGWREIIINVQMMELMAIFGLTAASLPHMLAGEIGSQRMDSMGLTASSLYWYTGRRTMVTLDRATAAVTSFVLPEIEDWDRLSLSGSQMRITDDGHAGKLRIVVSEAGGSFKVFAKLHGGHGDWALEKSTSVQLPADMPSSPSQSKPWEFLTACAPLIVVSPYQAGAWRLSLDGENAMVEPLPGKKRNPGKFLGSTMGFHSVPVEIVELILLRLDSPICLVRASSTCKQWRRIIAGAAFLQRFRSLHEPKVVGSYYNNHHLPVTARPSFEPSPSPTSASCPQSPTIKSCFLSLDFLHVNSAQCSWRIRHSSGCLLLLDYVNFRGGLWHTDMFVCEPLTRRYEKIAPLTASCSLLTDAFLLNGAEPGDDIGISNFRLFCLSMMPDGVAGIFTSGGSWRKLSIDHAQGMEYVGMAAGSVYYYSYKRMVYALDQGATEFSPSLLPDAEDFVQLPYIVSKMAVTTGRDGKARILFLVPGDNLKILARQKGSRDGSDWVPEKSIQLSAAMLGVPSYKQLSLIRSRPFCIHETVGMVRVFVFTEQRDDWFMFRLDIETKQLERTTNPAGYPIKLPWPPSFKRACTDRSSSWLRTDMDNEAEMRFMGHAAGYVYWPCQVGTVTALDVSTAAEDSSSFALPMPNAHWDPYDPSSIKDITVVTRRDGEARIVVGGAGEIVKVFARLQGAGMAWTLEKSIQMSPTMFGLESLQNWVFRRGSDSGWPGRGQVLIVLFRGQNYYENGTWKFTLDIDTLEAERLLNADAYPTKLPGVLPSKKKKDTSCRWTIKDSQGSLLLMVFGKKSSRMVVCEPLTRSYEFFLLDGNCGADAAAGGTSSTSNFRVFCVAQGFDRQVHAGVFTAGSSSWRQRKIGDRLKMRFIGRAAGSLYWHCGGLTVTSLDQSAAAAEFSSFQLPNADGVRAEGLTVATGRDGEARIFFGGGGGIIEVFASAGGEWTLEKSVQLSPGLKSLEKHWYFGCGCDLGVHGRGKVMIVVDREQQNYVKGTWTFIFDIDKLEVAERLQDMPYPVKLPWPPSLHACTELVMVAGGISTSNFRVLCVVHDIDGQILAGVFTAGGSSWRQTSIDDDIGEMRFMGRAAGSLYWDSGDFTVTALDQSTAAAEFSYFLLRNADGVPVDLTVATGGDGEARIVGSGAGGILRVFARPQGAGREWTMEKSVQMSAAMFGLKPQLEEWYFCRGCDLGVHGRGKVVIVVYLGGRRNYANRKWKFSLDIDKLEAERLPDDEAYPNRRWGKMAKKLKRNWTPTEPSTSENDLPDDLLEVVLLGLDSPVCLVRAASTCKRWRHINAVAAFLRRFRSLHPPRAIGHFYNVTEYWLYSFAFWHNWPKEGEAPVYVPVSASAAAGIDGRQLSLDFVPCEGTPPELVDSRGSLVLLLKEKLGTAEPMRYCSCCDHLNHNIVPDLVVCEPLTRRYQRIDPPAEGRLCIIRASAFLLDGEKWRGAIGMDNFRVLLVLYIHDRDSISDQYNGHGYVAACVFPSRSNNGIWQHSRRRVPPVCSLHSVRRPHWGSHLLGGPAVTTNR >Et_8B_059231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15648276:15649224:-1 gene:Et_8B_059231 transcript:Et_8B_059231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRLPNDKGSSTHQEGAVIAEFLGTFILIFTVLSTIIMNEQHDGVESLLGIATSAGLAVTVLVLSLIHISGCHLNPAVSIAMAVFGHLPYAHLLPYMTAQVLGSSVASFIAKGIYRPVNPGIATVPKVGTVEAFFIEFITTFILLPSTGTLMNPARTIGPAIATGRYTQIWFYMVATPLGDIAGAGAYVAIKL >Et_3A_025517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30452449:30456922:1 gene:Et_3A_025517 transcript:Et_3A_025517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTTLAARSLRKASVPPTLLSDPSPGSLPPTRLAVHVNGAGSCCSAYLASGCHVYNIEINMDGEMLFKGKESLLIPDNAQIISSSVVDRCPHRSEIQSVALAEGGEGENCLILGTVDSYGHLIVSRLDTVADDMDRTSYSVPPRDCGVGEGSWAGVCFSPMHRSMVAVGRQLCKSIDVYDQDIHLRSFRTLWYPSSFSFLQCSSQVNESSSLLAIAEGSQLSIWDLRMNNNGGCVQRISGSVGNVLYSICSSPSGPIATGGTDRTVTIYDPRRWSALSRWVGCSKYEITGLSFSSVDQSFIYVQGVDYEITCGLWKENERAFSFRGDSNWLGFSKCADTDVIAGWCESGNIFIADVSATVVFLAKPTGHIEENKIAINQESTGQIGITGFLDHEDSPSCI >Et_4B_039921.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:589276:589902:1 gene:Et_4B_039921 transcript:Et_4B_039921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHWRQYVYLLVNNFTRGTYHPLRRVRLFFSSNPAAVSSSMRLPLEEAELPEHFISFMPSRNLYGTTGMLDLFTLFGHGGKTTSLIAGADQKGSTFLYDLDQRAVHSHVTLHEPKTYGPISLAVGDALYVMGKHPRHDGSCFESLPPPPYVHAPGYRPNTGISSYTAAVTGSGIWISTPGIGTYSFHTAKREWSKAGDWVLPFHGRVD >Et_9B_064742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18206729:18210814:-1 gene:Et_9B_064742 transcript:Et_9B_064742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAIRGAEFHGCAAAQLPRSRMLGRPLRVAPPAASPSGASGASIRAVSAPQKDATEVKRSKVELIKEKSNFLRYPLNEELVSAAPNINEDAVQLIKFHGSYQQYDRDVRGQKNYSFMLRTKNPCGKVPNQLYLAMDTLADEFGIGTLRLTTRQTFQLHGVLKKNLKTVLSTVIKNMGSTLGACGDLNRNVLAPAAPYVKKDVLFAQQTAENIAALLTPQSGAYYDLWVDGEKIMSAEEPPEVTQARNDNSHGTNFPDSPEPIYGTQYLPRKFKIAVTTAGDNSVDILTNDIGVVVVSDDAGEPVGFNIYVGGGMGRTHRVETTFPRLADPLGYVPKEDILYAIKAIVVTQRENGRRDDRKYSRMKYLIDRWGIDKFRAEVEKYYGKKFETFRPLPEWQFNGYLGWQEQGDGELFYGVHVDNGRVGGQAKKTLREIIEKYNLDVSITPNQNLILCGIDQAWREPITAALAQAGLLEPKDVDPLNLTAMACPAMPLCPLAQTEAERGILPILKRIRTVFDKVGIKDHESVVVRVTGCPNGCARPYMAELGFVGDGPKSYQIWLGGTPNQSTLADAFMNKVKLDDIEKVLEPLFSYWNSTRQEGESFGSFTNRTGFDKLKEVVNKWAESPAAA >Et_2A_017699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5230146:5232160:-1 gene:Et_2A_017699 transcript:Et_2A_017699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVMLSNFFYNKHPSFENTNFSLPVVFQYWVSQGNKWCDFCKIFISNNPFSIRTHELGKRHKDNVTKRLATMQKESDAKDKETQQAARALQQIEAKAKKSYQKDLENSQRNVDGDISAAPGDGWVFDSASGYYYDKSTGLYYDSNSGFYYSDGIGKWVTQEEAYKSVKTSTANAGQSSTSQTKPPVADSSAPAIKGGPAPGRVVTKPLNPMRPIKGTPSAAATAAANNKRKREDKKPKVISKEEEAALRAREAARKRMEDREKPLMGLYRSY >Et_3A_024846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24816520:24827779:1 gene:Et_3A_024846 transcript:Et_3A_024846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQGHYMLDTFRYIGHRDDDEVSGGRLVVFPRFSSTKLLFPLLVSSNVKKLEKMLDSLETLIPAMEPLLSAIASDLVSRALSMVIQRYGRSKVEETEQNLQRLQRVLLRIEASVEEAEGRCITNQAMLRQLEMLRQAMYQGHYMLDTFSAYLILDKVMFGRQMEMETILNFLLRPEAAGDGNPGVLPIVGMTRVGKSTLVEHVCLHERVRRHFSSIVVFTGGDLGDGNMAALRGSGVIKHQDVTAASYKRSLVVIELDGEMEEETWRRLYSSATRAMEHGSKIIVTSRSENIASFGTAQALRLKHLPEQAYWYFFKTLAFGSTNPDDQPKLASLCMEIAATEKTSFTVARIMGGVMRANQNAQFWHRLLQCIREIANKHMLLFGEHPTDLAQKDHPIHMCFLSRAQQHVTIGKIYEDRSLKHIEPKLSLHDIYTGCATDQAKFRTVAWRSSIPPYFTYLATCTTHTGRCLMISKKRPRTKTFLIQRILLSTTMMRHLLNAALSTVIQRYVESKPEEAEHKLQRLERVLLRIDATVEEAEGRHITSQAMLRQLELLRQGMYGGHYMLDSFRCSDNGGDDEVSGGRVVVLPHRFRAAKRLLMSPVNEYVNNLQNTMHDAHSVRKLEEVLHGLETLMGDMQEFVVLLGGHPRICRQPYSAYLILDKIMFDRKMEKETVLNFLLRPEADGDGNPGVLPIVGAAMVGKSTLVEHVCLDERVRNHFRFIVFFRSDDLGAGSMHALRESGVIKHQDLSEASQGKSLAVIELTGDMEEETWRRLYSSAASSMEHGSKIIITSRSENIGSLGTTQALRLKPLTQEAFWYFFKTLAFGSASADTEPKLESLGMEIAMLLNRQIIRANMVTSLLRTNQNARFWHRTLHCLRDYTNKHLCTYGEHPSVLLSKSQHVYLGVWSMVRNQTDVVISNVYQRPSPYHGVPKLRVQEFVTERVIDHEKFDMVMWTSSIPPYNAYVVSCVAESTRCSKANKKRPRHPRVEETEQKIQQLQRVLLRIDATVEEAEGRYITNKAMLWQLDMLRQAMYKGHYMLDTFRYRGHGDDDEVSGGRAVALPSITSSKLLFPSPVSSNMKTLDKMLDSLETLMRYMQEFVVFLEGYPRIIRQPYSTYLILDKVMFGRQMEMETILNFLLRPEAAGDGIQACFRSVGKSTLVEHVCLHERVRRHFSSIVVFTTGDRGAGNMAALRGSGVIEHQDVRAASSRRSLVVIELDGEMEKETWTRLYSSATSAMEHGSKIIVTSLCLKKLIGTSSRHSHLGVQILTINQSSHPFAWR >Et_9A_062116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19849539:19852997:-1 gene:Et_9A_062116 transcript:Et_9A_062116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASAKEGENGHMATSPELVAGGSGGGGTSSVGASVGARSAPLSLPDAVMLEQPPPVPYLFAPQVPVAPLQRPTELSPAFNNSPMNGSDEHADNSPKEKGIPTLITWSLGGKEVLLEGSWDNWTSRRALERSGKDHTILLVLPSGVYHYRIIVDGELRYVPELPHVTDERGLVANLLDVHDYVPESLDSVAEFEAPPSPEHSYDLQYPGDEEFAKEPPTLPPQLLMSVLGDTDNLDEQAPKPQHVVLNHLFIEKGWGSQSLLALGVTHRFESKYVSFVLYKPLRR >Et_2B_022060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8540518:8541141:-1 gene:Et_2B_022060 transcript:Et_2B_022060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPRVPEMGSAAVIILAFLKWCRCLPPMKTWKRGGWFRCKAYSRLLRGTAVVTMAAASPAGRTEAEEYARCTAAGRCRGMRLLCPMHCDGPCFYDCDANCKAHCRF >Et_8A_057630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5468515:5473668:1 gene:Et_8A_057630 transcript:Et_8A_057630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPIFAVLLLLLAASAASGHSESCPFHDHGGGHGHSDPHDHHEHGHSCGGGGDADHGHHHHHHHHDEHDHREIQRLLPEEMAEEADLELESFGYDPRDHGHHHHDHGHDHHHHGGMEPEMSPLGVWLSAIGCSLLVSMASLVCLVLLPGKPSKAMVDSLAVFGEQCLEIRFFINCLMLLVEGTLIHMIMRVTIMLMSMHMHTPWKIFLLACLYFIVRYVEDNSQNGAHGLGHGHHHHHHKRHESSDKARLNQAKADHEHKDVGQVGDESLVDGANGKINDETCRESKSTIRKRTSASSKATDGELANSENDHATDKKSSSEDSSVPNSNLVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFTVSKALFFNFLSALVALAGTALALSLGKDPGHSSLIEGFTAGGFIYIAVAGVLPQMNDQKTTLKSTIAQLISLTMGMLVALGISLVE >Et_2B_022282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16865096:16867455:-1 gene:Et_2B_022282 transcript:Et_2B_022282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLSTDDGARTQALATRWRHLWRSAPLNLCDDDLHARGLDLADIVSRILSAHPGPVRRFSIGWRSRSKNLDLDAWLRNSKLDDLQELELWYGFSPIAMPPAAFRFSSSLRALTLSAGGSVFGGGEFVQFPSEDVDKFHFPHLKQLTIQCITIDESSIHTLLSKCSVLESLVLSQNEGFRCLRISSPTLRSFAVSSDCEELMQTERLKQEMTAISLTTVVRTMKTLVVRLAPPTIDDAISLLKWFRMKGQFITVPSRPVD >Et_7A_052163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5174473:5176268:-1 gene:Et_7A_052163 transcript:Et_7A_052163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGSKSMSSQKSRRRMAPRPALQEASSRPYMPSLCSGSRNPSAKCYGDRFIPERSAMDMDIAHYLLTEPRKGKENPAASPAKEVYRKLLAEKLLNNRTRILAFRNKPPEPENILTDLRAEAASFQAKPAKQRRHIPQSAERTLDAPELVDDYYLNLLDWGSSNVLSIALGSTVYLWDASSGSTSELITVDEDCGPVTSVSWAPDGRHIAVGLSSSDIQLWDSTSNRLLRTLRGVHESRVGSLAWNNHVLTTGGMDGKIVNNDVRIRNHVVHTYHGHEQEVCGLKWSGSWQQLASGGNDNLLHIWDVSMASSVQSVGRTQWLHRFQDHLAAVKALAWCPFQSNLLASGGGGVDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTKNQLTLWKYPSMAKMAELNGHTSRVLYMAQSPDGCTVASAAADETLRFWNVFGTPEATPKPAARASYTGIFNSFNHIR >Et_3B_030602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5264809:5265777:-1 gene:Et_3B_030602 transcript:Et_3B_030602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDKRAVPGQSEGTDQGRDVLAGEMVDDEGMKDASSEENAGHGVTAVESNEAEDIEMIFDSEVLAEESEGVVAMSDADDGVALENEDEEVPKNPLAHRFGKYSVPCSNQRAPLFLVVFLVILLTMNLAGYITPVSSRFFAGGTASAAAQSAGVVSALSAANQGAMNAAQGSTVSRSELETTVDKPSSGDGKSGIGATNAPAAWEASSSSSRRLPSRGRKQLRPEHFIRKEHVAMARVKALRGNIALKWYINSLSVSPEWARNATTDDVGGQE >Et_6A_045917.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:6735678:6736061:1 gene:Et_6A_045917 transcript:Et_6A_045917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMTADCTSSRNSLSLLGSMCVSLDTTPLKRSTSPQEHMERGDADERPGIGVGVVVREHGHHARGDHLRRQVQRSLPCRPRAGVDVGGALTTLVAVLDGAAHTASASSGLIRGAAAVVAGGDLARI >Et_4A_033299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21158575:21161881:-1 gene:Et_4A_033299 transcript:Et_4A_033299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARMMRWPRLPAARKFRVRLVVRRAEGLPPPPPTAEPASPDGAEAAARVAAEVRWKGSRASPLGSLRRGAAVRRNRTREAEATATVAWEEEEFESVVTLAAASQREGVALQPWELAFCLFRDANKGPKDKPSILGAASLNLADYASTATEEIEIILPLCVPEGASQSAPSLHLTLCMVELRALQETSDASQRVASTSPLSPSSGDSFPGGKDEVSVSVIKAGLRKVKNLTDLVSIRRPKKNCQDGEGKCCAHSDGAEYPCDTDSLDDDLDEQVHKDETVDSTVRKSFSYGSLQSFSYVGGLVYAHAKIDGEHEDWIYYSHRKSDVGYHANEVPSSTTEETMLPTIKRSILPWRKRKLSLRSLKAKGEPLLKKAYGEDGGDDIDYDRRFLSDGSVSEGSRGEDGSVNGMVSEFGDDNFVVGNWESKVIVSRDGHMKLSSQVFFASIDQRSERAAGESACTALVAVIADWFQANQNMMPIQSQFDSLIREGSLEWRILCENETYRDLFPDKHFDLETVLHAKIRPLRVCPSKSFIGFFQPEVDDDDDMGGFDFLDCAMSFDNIWDEITKAAEFSSDDNPNLYIVSWNDHFFLLKVERDAYYIIDTLGERLYEGCSQAYILKFDNDTAIHKVPAEKKSSSPDSSGPLTDSSGSEISSIEQDNDNGIEESILVSKGKESCKEYIKSFLAAIPIRELQVDIKKGMMASIPLHQRLQIEFHYTQSSPKEIVPASQLRSIDDHFEFSWPEPTPTTEVLLTPAISVV >Et_7A_050845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11665964:11670431:1 gene:Et_7A_050845 transcript:Et_7A_050845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVVASMLGHLLLPFLILAVASGAYDGGGLPSISRRSFPEGFIFGTASSSYQYEGDKIADRSNGDVAVDSYHLYKEDVRLMKDMGMDAYRFSISWSRILPNGSLSGGVNSEGVRYYNNLINELLSKGVKPFVTLFHWDSPQALEDKYGGFLSPNIIKDYKDYAEVCFKEFGDRVKHWITFNEPWTFCSNGYASGAFAPARCSPWEQGKCSAGDSGREPYTACHHQLLAHAETVRLYKEKYQAIQKGKIGITLVSHWFVPFSRSKSNDDAARRAIDFMLGWFMDPLVRGDYPLSMRALVGNRLPQFTKGQSELVKGSFDFIGLNYYTANYADSLPPSNGLNNSYTTDSRANLTGVRNGIPIGPQAASPWLYIYPRGFRELLLYVKENYDNPTIYITENGVDEVNNKSLPLHEALKDDTRIDYYHKHLLALQSAVRDGANVKGYFAWSLLDNFEWGNGYTVRFGINFVDYSNGLKRYPKNSAHWFKEFLKK >Et_1A_006760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27239261:27240456:1 gene:Et_1A_006760 transcript:Et_1A_006760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAINTKIGVLVAALFTVLFAHGAYGQLLNDTTSAARRELRGKVGPWLPAKATWYGAPNGAGPDDNGGACGFKNTNQYPFMSMTSCGNQPLFQDGKGCGSCYQIRCTSRNHPACSGKAQTVMITDMNYYPVAQYHFDLSGTAFGAMASWGLNDKLRHAGIIDMQFRRVPCNNRGLTVNFHVEQGSNPVYLAVLVEFANKDGTVVQMDLMESNSRYWTPMRRSWGSIWRLDSNHPLQAPFSLRIRSESGKTLVANNVIPANWRPDTNYRSSVQF >Et_3B_031070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1469854:1470231:1 gene:Et_3B_031070 transcript:Et_3B_031070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAIAAIARDGNGAFIGASAIVVQGMRIYGQIVKEIKARMASFNSVDLVHEGRESNVDVHTLARHCIYESVGRRLAMYDF >Et_8A_056893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18660806:18663355:-1 gene:Et_8A_056893 transcript:Et_8A_056893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TATLLDTATSASSFPSPPRRLLLPPCDDVRRRHQLRQSARHQDTAANPFGAGEDGQEVSEAQSAPSGSGRKRNQSQIAATLGVYLGTKTDQTQKTVEALMQKKKREDDHSVEKCLETIETMEELTDEEKATAVELFEKDIYREIFMKFKNHNVRLIWLRKKIRNLAEFSKLGAFPPGFPPLSAAKGSPPWQGSVLPGAGVQGIPLPWVVALGSSPLAAKGGLKVPQRSEPNGIIGTEPRVASSHTVFALQGAAARTRQLQAHTASAAFRTRSSSPPRAGSPLHEPNFILFMPFLSLMIVSMLS >Et_7B_055946.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7938481:7940646:1 gene:Et_7B_055946 transcript:Et_7B_055946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECQTNGHAAAANGNGVCLPAAPRAADPLNWGKAAEGLSGSHLEAVKRMVEEYRAPLVKIAGASLTVAQVAAVAAAGAAPRVELDESARGRVKASSDWVMSSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGEDGHHVLPAPATRAAMLVRVNTLLQGYSGIRFEILEAIAQLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNAAAVAPDGRKVDAAEAFELAGIQHGFFELQPKEGLAMVNGTAVGSGLASIVLFEANVLALLAELLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMALAKRLGELDPLMKPKQDRYALRTSPQWLGPQVEVIRAATASIEREINSVNDNPLIDVHRGKALHGGNFQGTPIGVSMDNTRLAVAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSSTFLVALCQAVDLRHLEENLKSAVKSCVTAVAKRTLTLSTTSNAVDLHAARFCEKDLLQAIDREAVFAYADDPCSANYPLMQKMRSVLVEHALANGDAERDAETSVFAKLATFEEELRAALPRAVDGARAAVENGTAAIPNRIAECRSYPLYRFVREELSAEYLTGEKTRSPGEEVDKVLVAMNAGKHIDAVLECLKEWNGEPLPIC >Et_3B_030165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31020677:31024790:1 gene:Et_3B_030165 transcript:Et_3B_030165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSTPQPSSNSVDPLYPELWRACAGPLVTVPRPGDLVFYFPQGHIEQVPAAACCLHPLRLNLVCHVQAADLIFCCSVPLQVEASMNQVAQNHMRLYELPSKLLCRVLNVELKAEADTDEVYAQIMLMPEPEQNEAGGAEKASSGSNATPPRPAVRSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQSPPTQELVAKDLHGMEWRFRHIFRGENGELRVGVRRAMRQLTNVPSSVISSQSMHLGVLATAWHAINTKSMFTVYYKPRTSPSEFIIPYDQYMESVKNNYSIGMRFRMRFEGEEAPEQRFTGTIVGCENLDPLWPESSWRYLKVRWDEPSTIPRPDRVSPWKIEPASSPPVNPLPLSGGRVKRHRQNAPPPSPESSVLTKEVTTKTDVDSAQTQHQNSVLQGQEQMTLRNNLTESNDSDATVQKPMMWSPSPNGKCHALTFQQRPPTDNWMQLGRRETDFKDSRSASQSFGDSQGLFMQPFDDNHKRLNAFNNQFQDQGSAHRYADPYFFMPPQAPLTVESSARMHAANNELRFWNDQNGMYGNSSDPHSFRFGQNPSNWLSQPFARVEQPRVVRPHASVAPFDLEKTREGSGFKIFGFKVDTTSASPIPMANMHEDQTHPSLSMNEVQPVQTECLPEVSVSTAGTAAENEKSIQQGPQSSKDIQSKSQGASARSCTKVHKQGVALGRSVDLSKFNDYDELKAELDNMFEFEGELVSANKNWQIVYTDNEGDMMLVGDDPWEEFCSIVRKIYIYTKEEVQKMNSKSTLRKEEPLAVGEGCAATSE >Et_10B_002727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10033147:10035442:1 gene:Et_10B_002727 transcript:Et_10B_002727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PVGVDNTSRRKFDKEEYLERARQRERQEKEDAWKGKDRGPPVQRQPLKHRDYEVDLESRLGKTQVVTPIAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLEQVQKRFEALKKRKDPSTFSEQDLDERIMKQQQEEEERKRQRKEKKKEKKKELAAQHEPEDVDPDIAAMMGFGGFGSSKK >Et_4A_033757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26622912:26623748:1 gene:Et_4A_033757 transcript:Et_4A_033757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASSVDRKIRVLVVEDEAIHRAVARAVLKAAGSVELDEAENGAEAVQRVRERGAGADAYDLILTDRQMPVMDGHEATRQTRALGVTTPIVGLSSDCLAADVDAFIKAGADDFTPKPLSKEKLDRILTKFGLT >Et_2A_016960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29931618:29935595:1 gene:Et_2A_016960 transcript:Et_2A_016960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSSHPSLLLIFYAVILLAASIPTFAGARFIPSSPSPAAEPLRARMFRFLRIFWNIPDQWLLIRCAGAGRRRRRPVQGDSEGRDSAEAQGAGQGYLERTFLSPASIRATYVIVSWMKDAGLTTWVDQMGNIHGRFEPANSTKEALLFGSHMDTVIDAGMYDGSLGIICAISALKVLKIAGKLQRLTRPVEVIAFSDEEGVRFQTTFLGSAAVAGTLPESILQVSDKSGTTVQDVLKLNSFEATAAALSQARYSPESLGSYVEVHIEQGPVLEALHYPLGVVKGIAGQTRLKVIVDGSQGHAGTVPMKLRRDPMVAAAELIVTLESLCKDPNKFLTYDEECGCFTEESLAGLVCTVGELLTWPSASNVIPGQVNFTVDIRAMDDKVRETIMTSFSRLVFQKCDDRLVDCAVEHKHSAPATPCDPELTTHLKRAARSTVWALPGRTVAGETPVLMSGAGHDAMAMAKLTKVGMLFVRCRGGVSHSPEESVMDDDVWAAGLALLNFVEQNVVAEPEMERSASVVES >Et_7A_051504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19757866:19758420:1 gene:Et_7A_051504 transcript:Et_7A_051504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRWATSLRCSATAFPCERQPFGSLGATRTPADATSSAPTLVWMRIHWLVRGAHDPFVQTLLIDLRDAVRTLRSQKAVLRQAVNEFIEDVDQKENELAEVKAEVARLDPIEGEKEYLEGKVKDLQLEKMVMRAVGGFLASAVAYLLFR >Et_1A_005339.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5736856:5736981:-1 gene:Et_1A_005339 transcript:Et_1A_005339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVANRTYLLQCNQFFAKETLDWCVLAHWNTHLSSLVNYT >Et_9A_061988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18615908:18620417:1 gene:Et_9A_061988 transcript:Et_9A_061988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWLDPLDRKQAELKPGLMKLADRKSFRDLLCQSERDMWRNLASPSHAAARASRSDRKFQVEPSFSPSAASLVDPLTGPQLARPVELDALTASATATDRARIRSAQVAAVLFLSPSAVVSFHNAASPPPRDHLASLLRTCAAAAAARFSLVRSRAVASAASRSVRHSPPLRIPTMAESETKADEEDLKKLRLFNSMTKEKELFRPRVEGKVGMYVCGVTPYDYSHIGHARAYVAFDALFRYLKFLGYEVEYVRNFTDIDDKINNRANEAGETVESLSGRFINEFLLDMAELQCWSPTHEPRVTEHIKHIIELITKIMENGKAYEMEGDVYFSVDSYPEYLRLSGRKLDHNLPGARVAFDTRKRNPADFALWKSAKEGERFWDSPWGRGRPGWHIECSAMSAHFLGHVFDIHGGGKDLIFPHHEYELAQSRAAYPESEVNFWMHNGFVNKDGQKMAKSEKNFFTIRDILAQYHPMALRFFLMRTHYRSDVNHSDKALKIASDRVYYIYQTLYDCEEVLSIYREEDISVPVPDEDQKSVDDHHSDFLKHMSDDLKTTDVLDGFMNLLKSINSNMNDLKKLQEKLERQNKKQQQNKKQQQLQKQPEHHVRALIALESELKNKLSILGLMPPTSLSEALEQLKGKALKRAKLTEDQLREKIEHRNDARKNKQFDVSDKIRKDLAALGIALMDEPTGTVWRPCEPELVTTAEDGAETSTSRK >Et_7A_052292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6611108:6613739:1 gene:Et_7A_052292 transcript:Et_7A_052292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAADTASSGDRRSENGRRAYTPFQPEGLNLPLSSLRAIYDLPTSPELLFHEERRGSRTWGENLTYCAGSGYLAGSMAGAAVGLRRAAALAERGESAKLRANRALNQCGAVGRAYGNRFGIIGLFFAGIESGVGGYRDVDDWVNTVAGGLGAGLLYRLMSGPRSAVVGCLAGGLLAGAAVVGKQALDRYCPSMSKLCELVGFDGLA >Et_8A_058068.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17196902:17197716:-1 gene:Et_8A_058068 transcript:Et_8A_058068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EDGLVDVTVGSTDVTISNNWFLDHDKVMLLGHDDGHADDRRMRATVAFNRFGPNVNQRMPRIRHGYAHVVNNFYDGWRDYAIGGSMGPSVKSQGNLFIASGADNKKVSYFSCYKKDAGRGERMGLALRWRLVRERRRLQADGHQGAAQLQQAPGVPRCGRRSSEGADQGRRRSKLLCRHRVLIKQTSRTEYLKHYL >Et_9B_064676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17548618:17557316:-1 gene:Et_9B_064676 transcript:Et_9B_064676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAKRIAQLAKKWQKMAAVRRKRLSMKSPKEAEECSTAVAGKGHCVMYTADGMRFEVPLVYLGTTVFSELLTMSQEEFGFSSDGGRITLPCDATVMEYILCLLRRNVSTEVENALLSSNAMPCHFTRCECLLYLCRGPSSEQAHGILHDCDITGKRDPPSAHESEFLLRHPQELSEDWRAKLIAAPKLHPSLPSPINLSTPTLHLSISKPSIAPSSTPPYTKVCLPSFSQERRRRSKGAMPMISAKRLIQMAKKWQRMAAVARKRLTTPLPVETEGPSTSMACKGHCVVYSADGRRFEVPLAYLSMTIFSELLRQSQEEFGFSSDDGRITLPCDATVMEYMISLLRRDASEEVERALLSSMVKTCNYGSNGCVEAMALSRQGHCVVYTADGRRFEVPLVNLGTIIFTELLTMSQEEFGFSSDDGRITLPCDATLMEYVLRLLRTNVSTEVQNALLSSIVMPWHFTGCVAPSLGIVSHQFAICSS >Et_5A_041228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19946575:19951459:1 gene:Et_5A_041228 transcript:Et_5A_041228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGKSSSPLGSPSISASSRPAPHPRASVSPPHKPGRAAPGGPHPNPSGDGPRLRSPPGKGKPVALADISNTGRPNATRSVSVAEVVKENAKLAQLLKEKTYVCLPLHPLLVAVKNSIFFLKRRIIELSRVEMQKLHLALQASRQQNQQLALANSQMLAELNLGKDRLKALQHELSCTAAVLKVKDSELERKNKAATQRRKEANSQEVMKAIPSKVAAVEAHRIDGTVASAAGQHSVESRSDVPSTTVCGEPPKDIITKRISVNRGRHKRKSESSECIKDTSIMQDHYRPHLQPIVSLDYEDPRKPVRRRSARLNTGSCEVTEVSCEKLAEDDVVPSAPSSFSVQKQHGSITGKEMEQSLQKESSAIMPEVAMASGFKKFEINEQPQKEANLKEVEEACSSFPGTESHQIGDEASNIKQSNLAETQSSLPFNSIEPSKALEGTGNKRGASKQKLKVCASGKDSAIEHMNAKCDSSTSETLYRKEKRKSQRRKSALLDSILSEDTISTVESLHEDAIVRLPPSSSNALMETEGCSSKSTEGQVAGRKSLRRAAEKGVAESQYF >Et_3B_029102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22050387:22054873:-1 gene:Et_3B_029102 transcript:Et_3B_029102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWVRAVVEAIHYSRAQAVLYLAGGASQALGWLLSVPGASNTVLEVVVPYSRASMAQLLGKIPLQFTSKQTAEDMALAAYNRALKLSGPGLQVMGVSFTGSLASSRPKLGDHRFYVSTRTNSCIRTSHVTLSKGLRSREEEDKLSSYFLLKAIADTCKASATIQSDIQEPEIPEESTEQFDEDQELQQVINGQVCMKVYHFAGIFNSQFAVPGIILHLFHVHSPITLFFFDVDLVEKNFNRKIILPGSFNPLHDGHLRLLEIASSMCDDALPFFEISAINADKPPLSVAEIKRRVEQFRKAGKNVIISNQPYFYKKAELFPGSAFIIGADTAARLVNPKYYGGDYNRMLEILLECKSTGTTFLVGGRKIEGVFKVLEDLDIPVELRDMFISIPEEKFRLDISSTELRKRQRTTLFSYSPRYSRLVKL >Et_6B_048952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1687712:1688435:-1 gene:Et_6B_048952 transcript:Et_6B_048952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAGGPSLTCSLYNPLFGAIIEGVPLYSDDTRGLMNFPMPKVIVCSPDLVVALMCRGAVASCRPGAPSWSVSTSVKPGGSWERKIIDIALYGGKVRDDDIFVHELGGGDNAGASKPSHHAATHVAMAQHPAMMNDLNRAPRFMCYLVVCPSPPAEGSSPPSAAEDAAEGIELRVFEADLEKGRWMEVHDLGDHVLFVGRGCSKAARFTGDDQRFQGNRVYFLGYSLRAAC >Et_3A_027316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7445573:7446588:-1 gene:Et_3A_027316 transcript:Et_3A_027316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPAAAGLGSLAAASFPLLVYDHGEQPDNSQIMLSVANGSSRTYQIPEMRNSRYLETPRGLGLIVDTVSLQSFLWNPQTGEKIALPAMDKALPVPDLRRRLDSRLPRPRLRHDGTESAVLSGEEAPPRGPACPTTPASTSSRRATRTATAFPRPRRRSAAWLLYFLDPTSTDVVGVLSLARDPEPHMELDTFDAPLPTIHSDAPQVVTMSFLLESSQELFLVCLFFLGCSFERVEEVCAYRMDFSKREWRKVTDIGDAAFLLGPGSFAASCSAAEHGLKRGYVYLAYDVLGESNDCHVFDLTEGTRELVGPTQDMSVLVRDPFWIVPVLP >Et_6B_049325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3271453:3273699:1 gene:Et_6B_049325 transcript:Et_6B_049325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQSGIHDPEPETASQPVAAYPPWVTLERYCTVEVQDSSTSVGDPNTLVAARTTTGLVVSVSLSLAAPPEGSRVCVQLPSGVNASYAVVLAAHGDSVLIQAAGIRHKTNELFIYNAGDAARPPSLSLLPQYGLVKEKGNTRTYLDNESTGILRRGDDELAVAELNMRNGVAELVVLRSGDGEWSVVKRPPIRPCKQSSDDVGKNLVFSSWKNDTVVPFGDELCWVDLRHGVIFSDVFSQAPQLRYLPLPEDPCFSRAWCRNVCVTAGGRAIKFVNIYPRCCCGGAGTTNCAHSRQAFTVRTWMLRMDDMVWMMDGMIDASQLWALDGYKGVIPRVKPDCPVVSMEEPHVVSFEVCEEHHIDRGDKTVWMVMVDIRSKSLRSVFRYPKGRRYINRQLLRPSRVSDYFNWSKPSNDEQNPAQAHPMAPSMTSKRGLRTNEKQTKRNTDESVQPSCKSYQAPMKISKAAPSPEATILAALQEIKGLTRDDMLKAYRILSHDDSGRRFRSLMALPMDLRMDYFLMEIKASEACSNRALKTQHA >Et_7B_053559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:102008:105248:-1 gene:Et_7B_053559 transcript:Et_7B_053559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCFSSVAVTSSSSRSRRMPGCRPEPPRFLVVSCDTRTAAADVYSSLAAKILGPPTTFNAAKLKVEFAGEDMMTRSKKQPFPRAYTLTHCDFTANLTLAVTGSITSEQLRSWQSTLQRDDVVAEWKEMATGEMTLHVHCYVSGANLLQELAAGFRYYVFSKELPLVLKAVVYGDATLFTERPELMEAKVWVHFHSSSRKYNRIECWGPLKEATKRNLLDGRFNELQNAITKRRRKWGPETIFNALPAVANSNILRSGEFLSNGMAVAKVKHFLPT >Et_2B_019298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22123673:22125376:1 gene:Et_2B_019298 transcript:Et_2B_019298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNHLFCHCFNGRAVLPALPAVMHLAAADFATSSAALERPTKQGRGNIQDALKVLELVPTKYNTEDEVPSHHRLINDCMHDIFGVKSKHHATRKGTQLHALLVKIGYDFCVFVGSSLISFYSKHSQLENAHQVFRSMTVKNTVSWTALISGYAQDNQVEPCLHLFSLMRQSVCKPNDITFATIISVCTSHAFLALGKSVHSLQMRMGFDSYVHVSNALISMYAKCGSISKAQTIFENIACKDLVSWNSLIFGYSQYGLDEHCLDLLKKMERYILPDALSFLGVLSSCRHACLVAEGRRCFRTMVAHGIKPQLDHYSCMVDLLGRAGLIDEAWNLIQTMSMPPNGIIWGSLLASCRVHGRISTGIHAAEQRLKLEPSCAATHVQLANLYASIGCWGDVARVRKVMKERGLQTNIGCSWIEVGNKLYTFTAENRSKSQVNNVLAILDCLQLHMECKNDILVDGLSYDDPESVKFEHSINLERHVLPYSTVYEEAL >Et_4A_034638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5042178:5045478:1 gene:Et_4A_034638 transcript:Et_4A_034638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSNPDTFQDDGNEVSIVASELESDVTVRIGTTKFYLHKFPLLSKCARFQKLIPTTGDENIEIHIHEIPGGAKAFEICAKFCYGMVVTLNAYNVIAARCAAEYLEMNETVDKGNLIYKIDVFLSSSIFRSWKDSIIVLGTTKAHLPWAEDLKLVSHCIESTASKASIDVSKVEWSYTYNRKKLPTENGNDSPWNGVKQQQLVPKDWWAEDLTDLDIDSYKQVITAIKAKGMVPKDVIGEAIKAYTYKKLPSLSKVSMIHGDAKVRAMLVTITCLLPSEKGSVSCSFLLKLLKATNLLKCGEMCRKELMKRIARQLEEASVSDLLIPSVDGDTTVYDIDLILSIVEEFVRQDNKNTQKHNGGEVNGHVSAPSASMITVAKIVDGYLAEVAKDPNIPVYKFFSLAETVSANSRPVHDGLYRAIDMYLKEHPSLGKSDKKRLCGLMDCKKLSPDACAHAVQNERLPLRIVVQVLYHEQTRASAAATIRADSIGIGSYESSRSGATTNTEDEWDGVMAVEDLSLSKTTKLDTAGTDSEKNHGGNKGTNGRVKGAATPKKAIGKMMSSKGQAGERSSSDSSDSAILPRQEHPKRTPARSTKSAAA >Et_10B_002475.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:10137860:10138108:-1 gene:Et_10B_002475 transcript:Et_10B_002475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSENLMEEFEILTGDDSWHVATMENSVPSWVPRRTRAANKLIDGDCKKTGEDTAVIRNMVDDAEMSNLTLVACQFKEENAE >Et_4A_034651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5098200:5098571:-1 gene:Et_4A_034651 transcript:Et_4A_034651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWWDRVVLPVRRVWLGVASRFGVRQTGLWRLRQEVSTCEYEDVHVMWEMLSRTTAAPAPAPAARRHSRFRPQPRPWTDRLRLCGGF >Et_10B_004103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1360934:1363142:-1 gene:Et_10B_004103 transcript:Et_10B_004103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCGGYHRTPSIDGRRAKFVRLLSSDDVHGGHHMDSYFSGQPSASSSTHNPSHKIRSRSLRAAAGGVNVLMNRSERLARMGIVFQEDFRRMVERSVFDPQDAFLTRMNRAFIFASALRTAYIAPSSRVFGRGELVIDGRAIARRYLRRFFVVDLLSVLPLPQACIWSFLNRRRGTGQLSTKTALFSAVLWQCVPRLVRFYPITSELKRTTGVFAETAYGGAAFYLLLYILASHMVGSLWYLLAIERMGDCWKAKCDGLGFHQCRTYMYCGGASDSGGFYEWRTMIRQVLMEECAPADQSGTGFAYGIYSTAIESGVVFTNRLAAKILFCLWWGLQNLSTIGQGLETTHYKGEQLFSICLAVLGLILMALLIGNMQTYLQSMTLRLEEMRLKRRDSEQWMRHRVLPDELRDRVWRHNQYKWLETRGVDEDTLVRSLPKDLRRDVKRHLCYRLVRRVPLFANMDERLLDAICERLKPSLCTESTFIVREGDPVDEMLFIIRRRLESSTTDGGRMGFFNRGLLKEGDFCGEELLTWALDPKAAANYPLSTRTVRAISEVEAFALRAEELKFVAGQFRRLHSKQLQQTFRFYSQQWRTWASCFIQAAWRRYLKRKAAEQRRREEEEECYAAAAGASSSLTTTLLVSRFAKNAMRGVQRQRSVGESTTLIVMPKPSEPDFGSMDY >Et_3A_025460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3039816:3045655:1 gene:Et_3A_025460 transcript:Et_3A_025460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPSSAAAAAVGGRGPAHNRTRLLLLLLAAVATSASTAGFLLRGALRDPCDARRDTAALTASSEAGSPLGFMRSKLVLLVSHELSLSGGPLLLMELAFLLRHVGSQVVWITNQRSEETNDITYSLEHKMLSHGVQVLPARGQEAVDTARKADLVILNTAVAGKWLDPVLKDHVPEVLPKILWWIHEMRGHYFKLEYVKHLPFVAGSMIDSHTTAEYWKSRTSDRLKIQMPQTYVVHLGNSKELMEIAEDNVARRVLREHVRESLGVRSEDLLFAIINSVSRGKGQDLFLQAFYRSLQLIQQQKLKVPVMHAVVVGSDMNAQTKFETQLREFVVKNGIRDRVHFVNKTLAVTPYLAAVDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTTEIVVDGSTGLLHPAGKEGVSPLAKNIARLACHAEQRVALGKKGYDRVKERFMEHHMAERIAAVLKEVLKKSRERSHS >Et_6A_047172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26246846:26257872:1 gene:Et_6A_047172 transcript:Et_6A_047172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAAGSCIQKLQEIITEEAIKILGVKNDLKELQQTMKQIQYFLKDADRRSIEDSAVSNWFGELRDAMYDADDIIDLARFRGNSLLGEYPSSSSSRKLTTCGGFPLSSCFSTICTRHEIAVQIRSLNKRIEKIAELGKTFLKFEAESVGSITVSNIRKTSYLVGKEIIYATNKLVDLVLEHRKNKIYKIGIVGTGGVGKTTLAQKLYNDHRVEGHFKQRAWICVSQQYSEGESVGELQGKLAEAIGKKACLLVLDDLWQSDIWTNLLRTPLHKAGHGIIIVTIRHDTIAKAVGVEHMHRVELMSEEVGWELLWKSMNIINEKVHNLRDTGWEIVKKCGGLPLAINATKETTESEWQKILSNDAWSLNKLPAELRGALYLSYDQLPQNLKQCFLYCALYPEDWPMCRNHLVKCWIAEGFVKKLENQLMEDAKCMIF >Et_2B_020155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17400784:17403230:1 gene:Et_2B_020155 transcript:Et_2B_020155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSDHGSLMEEWMRPPTPSPRTLMSSFLNDDFSSGPFSNLFSDPGIKQPLDRSEKSRQSVNSSEEVLAQAAKDTFQKDFPLEPNFFSAIQKSNSPSGLAERRAARAGFSVPKIDTSRVGSSTVIRSPVSIPPGLSPASLLESPVFLYNKTAQPSPTTGKLPFLMATDSDSTMPPAAKTTDDCTFDNDVFSFQPHLGSKESSFSTAEKDCNAYQRNQSLSIHQQGSNLQSNFIAVKDNSDERIIGPSDSMFGVNHYSSREHVDGDTDQNLQGEAVEARTAACLPVSMHGDASIMESQDAVDVSSTLSNEEDERVTHGAVSAEGDGDDDETESKRRKLDSLGTAPIATAATTSAIDMGAAASRAVQEPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGQAGSSSGSATSAALGSSHRRQEPGQGNFSHFGGTAPFGSLVLPPRGHLGPAAGNFHFGMVPPGMGVPMPHLGSLAPTKMIQSSSGLPRANDARRAEGGASVASELPSGKCSLISLPTDDEQAFFWSSD >Et_1A_004966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19749419:19750924:-1 gene:Et_1A_004966 transcript:Et_1A_004966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKALLVLSATLALTLLYYLSLLRRRRSGALPGPKPLPVIGNLLSLHGVLHHRLAELARTHGPVMFLRLGLTPAVVVSSHDAAREAFSGAGNDRRLAAHAVPDAVRALGFADRSLIWMPSSDPLWRTLRGAAAARVFSPRALAATRGVRERKAAGREVDVVQAVQGGLMNLVSSVLFSVDVVDVGTGSADEFQRLMEEIVELFSKPNVSDFFPLLRPLDLQGSRRRAAGLLEKVFRILGDITDRRLASAQADNHKHGDFLDALLEPMSDTMQTTLVWAMAELLRNPTAMARLRAEIDDALIGGGKKETVVEEADVINLPYLRAVVKESMRLHPVGPIMLPHKAVEDGVEVGGYAVPRNSTVIFNLWAIMRDPARFLDGAAAELNFKGKDFRFIPFGSGRRLCPGVPMAERVLPFVLALLLREFDWRLPDGMSPEQSDMRERFTTANVMAVPLRAVPEIR >Et_10B_002687.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:822808:822909:-1 gene:Et_10B_002687 transcript:Et_10B_002687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPDSLGALERAESAPVRREGTHGGRTHAANQG >Et_3A_024742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23849441:23850818:1 gene:Et_3A_024742 transcript:Et_3A_024742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLYDVSCFAAGLAGNIFALALFLSPVPTFKRVLKAKSTEQFDGLPYLLSLLNCCICLWYGLPWVSDGRFLVATVNATGAAFQLVYISLFIFYANSRRTRLKITGLLGLVVCVFALIAQTSLAFFDHPVRQQFVGAVSMASLISMFASPLAVMGLVIRTECVEFMPFYLSLSTFLMSASFALYGLLLRDFFIYLPNGLGVILGAAQLVLYAYYSRKWKGGDSSAPLLG >Et_1B_012340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31012378:31016769:1 gene:Et_1B_012340 transcript:Et_1B_012340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKPWQQPHEQATYPHARMIQASSSSIHGNTIRKDPGGYDMAEFDQALFLYLNSQDQTSVIQEQPQTLNIFPSEPMHVVETAPTKQGSMVTNNNRASDTSAGPSKRPQPSSPPSKPTPAAVKREGNDCSSGSKGSGTPSTSDHQEGPKTRDAKTLRRLAQNREAARKSRLRKKRQAGSGCPRWSRSYIDRELRQGAIFGGGALSGGGIGGLSPEAAWFDAEHARWVEEHGKIMHHLRAALEEPHDAQLRQLVDAAAAHHGVLAELKAAVARADAFHVVSGTWAPAAERCFLWVGGFRPSDLVKVAARHAEPLTEAQAMGVYGLQQWAMEAEAALDKELQAMHSSVSEAVSSDAASLLSPYPDVPGYMATMSLAIAKLASLETFVRQADALRLQTLHRLPQILTPRQSARCFLAIADYSHRLRALSELWLTRPRQEPVTAPATGSSHRSNYLSRDTLL >Et_7B_055804.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5007504:5009204:1 gene:Et_7B_055804 transcript:Et_7B_055804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWASGASRNDVESALVAPLLAKAGEVVVLVAGDVEAPAPAPVLTSKPSKGRLGKAVKKAWSVSLGVAFPTTPSISSRAARDEARSILGLALPMILTGLLLYLRSMISMLFLGRLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGHYSLLGVTMQRTVLLLLVAAVPIGGLWAHMRPLLLLCGQDAGIAAVAETYILASLPDLLLQAFLHPVRIYLRTQSINLPLTVCAAVAIAIHLPINYVLVTVLGLGVRGVAFASVLANLNLLLFLLAYIFVRGVHRRTGGFALSRESLKGWGELVGLALPSCVSVCLEWWWYEIMILLCGLLLNPQATVASMGILIQTTSLIYIFPSSLGFGVSTRVSNELGAGRPDEASRAATVGLALGFAFGAGASAFAFLVRNVWASMFTADPAIVALTASVLPILGLCELGNCPQTTGCGVLRGSARPKDAARINLWSFYMIGTPVALFLAFVFHYDFKGLWFGLLAAQATCMVCMLLVVGQTDWDGEAKRSSRLTGPGAEDSGDKAAAAAGGDEKSRRFGIDTDIEQSKDHSDRC >Et_1A_007592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36205599:36209570:1 gene:Et_1A_007592 transcript:Et_1A_007592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDEVTSVYVGGLPYEANEGMLRDAFEFYGTIVAVKVINDHKVKGKCYGFVTFTHPKAAESAIAGMDGKKIGSRVVRVNEVRTRGPRDFGRDDFRRDRDDFRRDRDDFRRDPRRYGREPYWDRRDRERSYERDRDLYHDRDNNDRDKEHGPRKRFSRPKGRDSRDISSSSDDIQNDGKHQLVKAIQMREDLENEVNQVRDKVAAKEQQIADLQKKAQKLEDDLATARKVSSERQLLVTDLYKHFLQLQDYNDRVKTAEQKLQSLVDSAMAELDMAEDATTKDGSYENGVV >Et_5B_044556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3450982:3451658:1 gene:Et_5B_044556 transcript:Et_5B_044556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFARATDIILGVGLHLTLTLPAHEVPFECLHAQGFQHVTVAPMVQDQSWRRRLHEEHLNLGVDGLWCLSCLELTTKEIGSVVIVAT >Et_3A_026752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1913879:1918767:1 gene:Et_3A_026752 transcript:Et_3A_026752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQLEDHHGAPETTELSLDVVESLPLETRLQPFRLRQYGGFWLTEITARRGVPAFHARFAPRPSDVVLASFPKSGTTWLKALAFAAHPPPASDSDDHQHHPLRRSNPHDIVRFLEVDFGRADSVDAQSAKLEALPSPRLLATHLPYSSLLPERVRETCRIVSWWLFIKKAALTFQEAFDLFCDGRFIYGPQWRSVLEYWEGSVRRPDKVLFLRYEEMLLDPRVAKLAKFMGCEFSEEEEERGVVDAIVELCSLDTMKNMEVNKNGRGSGKLPVVNESFFRKGVAGDWSNHMTPDMAPRLDKIIVEDALQGSGFTFNHAARHAFIEGSPRGRPRIARKCCSYCEV >Et_9A_062615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24468359:24471258:-1 gene:Et_9A_062615 transcript:Et_9A_062615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLAARRLLGLAAASASASEAAARRLAPSPIAAAGCAAASRSSVSRPFSTALNYHIDSPENKPDMKWEFTEANMKKVNEILSHYPSNYKQSGIIPLLDLAQQQHGGWVPVAAMDAIAKIVEVAPIRVYEVATFYTMFNRTKVGKYHLLVCGTTPCMIRGSREIEETLLKHLGVKRNEVTSDGLFSVGEMECMEDLTPKRVVEIVEMLRRGETPPLGTQHPERKNCGPAGGNTTLHGEPKPPPCRDLDAC >Et_5B_044252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2184064:2192950:-1 gene:Et_5B_044252 transcript:Et_5B_044252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASEKWRAKPSAEHVVLWVGDFFLLSSLSLLLAAVSSALDAAWLVRVNTTTSRAAVVHGYCGYDDLGSMSIDGEWVRDDDAERYPVYYQPGQCPFVDEGFRCTENGRPDGNYARWRWRPRHCTLPRFNATKLLEILRNRRLVFVGDSIGRNQWESMLCMLYSAVDEGAVYEENGNPITKHKGFLSFRFRDHNCTVEHYRSPYLVRRDRRPPRGSPKRVESTLQLDAMDVRAPQWKDADVLVFNTGHWWKQERLGQLGCYFQDGKELQVDGKELRLNMSIEVAYQRAVNTLQKWIQKEVNETKTLVVLRTYSPLHNENSGAGCATETSPERNTSKISLHQWPGMLNPALEASSRMQVLNVTLMTAQRRNVGPSSRLPAGQRADCSHWCLPGVPDAWNELLCVVILKRFS >Et_6B_049131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18443621:18444625:-1 gene:Et_6B_049131 transcript:Et_6B_049131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGWSPGMDSLLGLAVDYGFNRNVAASYLARLIETYGEDARDFLTVEGCSHDFISALADATQPSEDWANLKVIKSEASDNLTGMVINSLTDEKG >Et_4A_034356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3268111:3270649:1 gene:Et_4A_034356 transcript:Et_4A_034356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNSWCVNLSRAVLPGFAAAAAGKGRYGTGGALAVPVSSSLTRRHGNGGGVACSSSVARPCFFSEHGGDGTASSSADGIGVAEFLGGKNFLITGGTGFLAKVLIEKILRTNPDVGNIYVLIKAKDSGTALKRLQNEVVDTELFKCLQEIHGKDYDSFVATKLVPVVGDVREANIGIASELADQIADQVDVIINSAANTTFEERYDVAMDINTVGPFRIMSFAQRFRRLKLFLQVSTAYVNGQRQGLVLEKPFRMGDTIAKELGSSGSSEHKSAMLDIEEEIKLAFHSRRHSDDSASFTQEMKDLGLARAKLHGWQDTYVFTKAMGEMVINCMRGEIPVVTIRPSVIESTWRDPFPGWMEGNRMMDPVVLYYGKGQLTGFLADPDGVLDVVPADMVVNATLASMAKHGGASSPGGPGMHVYHVSSSTVNPLVFGDLSRFLFQHFTRSPYSDAAGHPIPVPPMRLFDTMDQFASYVETDALLRASSSSSSGERRVMSSQRARELCAKSVEQTIHLGSIYQPYTFYGGRFDNANTEELFAAMSAAEKARFHFDVRSVDWTDYITNVHIPGLRKHVMKGRGVAANQLLASTSV >Et_2A_014787.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:14832745:14832771:1 gene:Et_2A_014787 transcript:Et_2A_014787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLRQIA >Et_5B_043857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16878833:16880406:1 gene:Et_5B_043857 transcript:Et_5B_043857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPMVTKTTSVPLLMLCFHAAAMTGGAVEFRVVNAIKDEESRRNFDKEIASEFARVLSDATKLAWATLGQATASDRKDFAYVTLVVEGGYNEGDYSFFTRNNTIYLRGCFFDKHGVIGILYREVTNIWQFDGNGQADKGLLVGIAELLQMRSGYTPVRWPKAGEGAFWNERTTGVTAQFLEYCDTRRPGLVAKMARKMKTGYNERFFAEITGRTVIQLWKEYKAAREN >Et_1A_007596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36194319:36195902:-1 gene:Et_1A_007596 transcript:Et_1A_007596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WVHGCSIWLTCSSHAVDFLCSTCCTRSDTFRYDEPPSTASRAFLPRSAALARRASASSPPSIKAATALSKSCLVAATASVSTPHCAASLTPTGTPTRSTSTTSFSFMNCSAKWGHVTIGSPAVMHSSTEFQPQCVTNAPVAGCDRIMVCGAQPLTTRPLSPTRDSKPSSASQRSNSASLSVLTTQMNGRLAASNPYATSTSCESDERTRLPKLRNTTDRGFLDSSQLAHRTAFASSVAGFNGVVARKRGPTASRNRGSSSAKLFTTRPSALEFSSMTLSASSFPGPVKFPGDRALDISMSMGKPGTGTGRLSSSTPSKIPWKLIRYMQNEEKAQKQSNARRGTPISRATPPVHGWQVSETMHVAGGSAFLRCSTSGPPSMAQASWKFLSRSWPGIASTLSHPSGSPASAHGKRRGTKRMGSAASPGPRPRRGRLSGVVTNVTSAPRLARTRAMSSIGPVWLAAIIGTSTNCGDASVLVRPPPDDMLDRRVAEQGLARKLNYGLETAAGKKGVINCC >Et_10A_000327.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:23105521:23105670:1 gene:Et_10A_000327 transcript:Et_10A_000327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLLPQPREPPHHPLRRPHARLRAGREAPRGDSRPRCAIPEADHHPPA >Et_4A_035550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28850543:28852720:1 gene:Et_4A_035550 transcript:Et_4A_035550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNHHLLFAAALLLLLLLPSASVATVVEYCSKNELKSVPFLSCKVALASFSLTISLGFAEKGRNYPVKVTGVEVVPDPVVRGDPATFKISASTDKIITKGKLVVDVTYFFFHVHSETHNFCDGTSCPATGEFVLASEQALPSFTPPGSYTITMKLLGDRNEELTCISFGFSIGFATPLAIS >Et_10A_000316.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22880971:22881330:1 gene:Et_10A_000316 transcript:Et_10A_000316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEFFGAKEENQKPHRPSRRAAGGRAAADDTCLAAELLNSVSYRRQSTASDDSAADLSSSSEECAICLGTFDEDGDLCSVLPICRHEFHRACIADWFVAHRISCPVCEAGLKAHAPSD >Et_7B_055161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7906353:7910775:-1 gene:Et_7B_055161 transcript:Et_7B_055161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAREKGMQARVQTADASEFSIHLKSKAQQYQLVTMTAGFQLGVIGSLALSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTFCTLHVAQRLHFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSESIKLSLLVLLLGVGIASVTDLNLNLLGSILSGLAIATTCVGQILTNTIQKKLKVSSTQLLYQSAPYQAAILFATGPFVDQLLTNRSVFAHKYSAPVLGFIVLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTLRNILGILIAIFGMALYSYFSVKESKKKSTNDALPVSQMPDKEAEPLLATKDSNDTKKANGLSHDC >Et_5B_044551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3394663:3397829:1 gene:Et_5B_044551 transcript:Et_5B_044551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATNSAAAAAAAAVTGAAVAQPRAAFVPMQRRSIAAAHAADPSKGSNGPVPAAAKTSTPTVAPPEKKPAAGKWAIDSWKSKKALQLPEYPNQEELDAVLKTIETFPPIVFAGEARHLEERLAEAAMGRAFVLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQVPVVKVGRMAGQFAKPRSEAFEERNGVKLPSYRGDNVNGDEFTEKSRVPDPQRMIRAYAQSVATLNLLRAFATGGYAAMQRVTQWNLDFMDHNEQGDRYRELAHRVDEALGFMNAAGLTADHPIMTTTDFWTSHECLLLPYEQALTREDSTSGLYYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPNDLVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRNAGQIVTWITDPMHGNTIKAPCGLKTRPFDNILNEVRAFFDVHDQEGSHPGGIHLEMTGQNVTECIGGSRTVTFDDLSDRYHTHCDPRLNASQSLELAFIIAERLRKRRMRSALNNSLPLPPLAF >Et_9B_064985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20163109:20165086:-1 gene:Et_9B_064985 transcript:Et_9B_064985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLSKLPRKSSGSGESGQGSNGSGIQRTSSCGTITQSRPASAIRRMSSAVFPSSVVAGIEPLVSFKDVPNSEKQNLFVSKLSLCCAVFDFSDPSKSSVEKDIKRQTLLDLIDYVESTNSRFSEPVIAACSRMCAINLFRAFPPHCRSGSSGGGEGDEDEPMFDPAWCHLQLVYELLLKFIGSSSLDAKVGKKHFDHSFIVKLLNLLDSEDPRERDCLKTILHRIYGKFMVHRPFIRKAVSHIFYQFVFETDRHNGIAELLEVFGSVISGFALPLKEEHKMFLWRVLIPLHKPKSVGLYLQQLTYCVTQFLEKDPKLASSVILGLLRYWPITNSQKEVMFLSEIEEILESTSQMEFQKCMVPLFRRIAHCITSSHFQVAERALFVWNNDHVISLIAQNRQAIMPLVVPALEQNTQKHWNQAVLNLTANVKKMFSEMDEDLFSSCLEKYKEDEEKRAPVEAKRKLTWEKLESAAGYQPVTGHTAVLIGGQPSANLIATLI >Et_6B_048203.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1023901:1024341:1 gene:Et_6B_048203 transcript:Et_6B_048203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLRLPTRADRARFPMVCRQWAFAAQQAALPPPSPMPWLVLPDGSAISFPHGVTFHLPEGTCYHNSYGEWLLLSRKDNTCFLMNPFTEETMPIPSLSSYNPYNEPVETLNVHIVPDYEMHHKWVNIMVAEDITVVSCAPHALLLL >Et_5A_042986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8846611:8853253:1 gene:Et_5A_042986 transcript:Et_5A_042986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRKISSDSVKSLSITNSVFGEDYRVLIDVPNLVSLLLDGTWNFTPILGSMPSLEEAFVRIMELCDDQCMKLADANRDCDCESCESSDIIDDGDENCVLLKGLSEAKNLTLISHPIMGPQHKVELKGNFGLMERAAGISDHLRTVEVKCEVVDDRVIKVLKFLSTFNICHKNGINHPSSDIMNVWSMLFH >Et_7A_052066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4229647:4235828:-1 gene:Et_7A_052066 transcript:Et_7A_052066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AQLTDKPRLFLDTDTGHYRSCLPPTPPAEQERAAPHPVAFPSIRPKPVAPREREYTNTRWACAAGTNQPTTSRLAGGGDDLISRLDDDVLLRVLELLPDPTDSVRTAALSRRWRGLWSRVPALRFAFDSSRGARNKTARDAARFAAAVDGHVSLRRAAARDAETAASTVNRVSILFDVSYLLPKPPDSPEDDPADAAPAPGRPCPSVSSSAIRAAQGWIGHALRDAVKYFTLELHLPWGYNCQNDDELIVLDKHLPPTKMETLHLALSGARLRLPATTTTTAATFASLTEVSLECTTLASGSDLHLAGLLSTASCPLLRKLRLRKLVNLRELLLDAAALRDLSLEEMESGCSLELRTPSLRSLRVLDCYDRLGKLTISAPRLEELMFLKERPDRLVVRGELPFMESLKVDLICCSRGRLPDDDARKNDVSICLLRCCTAVRNLEVSLTVGKLVYFDDVRSCFIREEEARFLVGCRHAFPMSRRCFGIISTTCASTRPLETP >Et_5A_042190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7284598:7286684:1 gene:Et_5A_042190 transcript:Et_5A_042190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAVVNPGGGKDYPGKLTMFVLFACIVAATGGLIFGYDIGISGGVTSMNPFLIKFFPSVYHKEQEAERNQSNQYCKFDSQLLTMFTSSLYLAALVASFFAATVTRVAGRKWSMFGGGVTFLVGAALTGAASNVAMLIFGRVLLGVGVGFANQSVPVYLSEMAPARLRGMLNIGFQLMITIGILCANLINYGTAKIKGGWGWRVSLSLAAVPAAIIAVGALFLPDTPNSLIDRGHTDSAKRMLRRVRGTDDVDEEYADLVAASDESKRVSHPWRNILQPRYRPQLAMAVAIPMFQQLTGINVIMFYAPVLFKTLGFADDASLMSAVITGLVNVFATFVSIFTVDRLGRRKLFLQGGAQMLACQVVVGALIGAKFGFSGVAEIPKLYAAIVVLFICAYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSITVSVNMLCTFVIAQAFLPMLCKFKFILFFFFGAWVVVMTVFVALFLPETKNVPIEEMVLVWKSHWYWGRFIRDEDVHVGADVEMPNANAKLAM >Et_5B_044654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4301293:4302171:-1 gene:Et_5B_044654 transcript:Et_5B_044654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREDDTGKRKRSSSVQQNNRIGERIIIRDPKIRKAKPEESRKCKARKLRHVEEQQPMDQPTGKREEEIRAAFVKGMTERQVAFYKTVVAEDYGRDIYLTEDMIPYYPFGPDEARELNDYARKSVREFKEHAAARLKEYEETGCLEGFPPASLTSDAVSRIVNGKYLLLFVAVLQIEGLKPLSAFVAVLHIVLRMREAWNYAP >Et_9A_061261.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:3021007:3021120:1 gene:Et_9A_061261 transcript:Et_9A_061261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLYEQRTGALLLHHERRLPGRVPFVQPQVPVARCL >Et_6A_046866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21772771:21775660:1 gene:Et_6A_046866 transcript:Et_6A_046866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMSSLGGQAAATPPAQNVAGLVQTILEAVRTARQDRARCRHLARQVLMVSDILRKLRSLGVMGDPRVAIPLEGLEEVLQQAHALVVSCQQRQESSANRCFAFALGGRRRAKQFRKVQEEVVEYLRLYPSMSHVALSRRLEYLLSGDHMERQRAPCTKSFIHTEGSGSSIFEFSQLAAATGNFSPQNEIGRGGFGIVYKGQMQDGVEVAIKRCSSSGPDSDLTKQLQEFRTEVELLMQLHHKNIVKLLGYCIEQWEMILAWEGVRYLCGAPIIHGDIKANNILLDSDTNPKITDFGSARAIITGYIKHLNFIQGLSSPLQARELWTEGRSVELIDTWLPNEAHQKEMLRCIQIGLLCIERNRDDRPSMRDVLLMLTCDSVRLHAPITKKHTAEEILSDHSDSTTAPSTVEI >Et_2B_019862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14777044:14783173:1 gene:Et_2B_019862 transcript:Et_2B_019862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKLHKQHSGSLIESLKMERMRTILTHRYPYPHEHSRHFIIAVFICWLFFISSDNMQTLIMKLDKNFKWWSMYACLIGFFYFFSSPFIRKTIKPNYSNFSRWYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFLMVFHVVFLGLWYLGLVSRMAEKKPEMLTILQNCAVISIACCVFYSHCGNRTVSRDKSIDRRTASWVAFSLWTKHDDNTLISRLLRMHKFKEQICSSWFAPVGSASDYPLLSKWAIYGELSSNGSGSSNDISPVYSLWATFIGLYIANYVVERSTGWALTHPLTISEYEKLKKQLKPDFEDMVPWYSGTSADLFKTVFDLMISVTLFVGRFDMRMMQAAMNKTPDEANNRDLLYDHLDEKDELWFDFIADTGDGGNSTYAVARLLAQPSLVIKSDDTRLSFPRGKLLLIGGDLAYPNPSSFSYERRFFCPFEYALQPPAWYKPEHIALEKPELPLGVSELRQYCGPQCFMIPGNHDWFDGLNTFMRYICHKSWLGGWFLPQKKSYFALKLPNGWWVFGLDQALHGDIDVGETDSVIVITHEPNWLLDWYWSDSSGTNVAYLIREYLKGRCKLRMAGDLHHYMRHSCIESKGSVHVQHLLVNGCGGAFLHPTHVFKNFKEFYGNKYETKAAYPSYDDSSRIALGNIGKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILHEDSWAGRVNSFFIAVWNVVFEILEHSYVSLAGVVTLLMVSFFFVPTKLSRRRRALLGFLHAAAHLTSAVLLMLLMELAIEICIRNHLLATSGYHTLYEWYRKVESEHFPDPTGLRARLEHWTFGLYPACIKYLMSAFDIPEVMAVTRSTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSLVFGSYLYICINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTLAVDKVPKEWMLDPDWDMEPKQPFQMSYTRKFPSKWRSASGLDPINSVRIVDQFVIPRTPPSPTTPNC >Et_8A_057975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10963744:10968671:-1 gene:Et_8A_057975 transcript:Et_8A_057975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPLLRRLAALAGGRVRANHRLLSAAASSSSSSPAAVSSEQAPQSLPAAEAEAVRMTEGCVRKNTNLGGIKVRRIKELHAKEPSAGGKMLRLSVEAGGCSGFQYSFALDDKKNSDDRIFERDGVKLVVDDISYDFVKGATVDYEEELIRSAFVVSTNPSAVGGCSWYIIYPCGSYTDQFFVGITGWEFVTIGDVFYRRAIIENLWPLDETKNEAL >Et_4B_038697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4018600:4022587:-1 gene:Et_4B_038697 transcript:Et_4B_038697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSRLEKALGEQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFRDQLLEYYGNNKSTGDFEENMLTCLADLFSQDAHEFLNFLLNELVDILEKENKAVREPLPNHSSQKASNGPINGQPNGSHKELAATLVHKCFQGILTNETRCLRCETVTDRDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPNILVIHLKRFKYIEQLQRYKKLSYRVVFPLELKLLNTVDNSDLEYSLFAVVVHVGSGPNHGHYISLVKSHNHWLFFDDENVEMTDESMVQAFFGSAQEFSGNTDNGYILFYESLAEKRVELIHRANLDPIIHQNDSSKEEAKRGRQVITQVKRIWLGDEVRFNHWNGKKKKVVVADSNPHCGSWPAVYSV >Et_4A_034742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:677218:679901:1 gene:Et_4A_034742 transcript:Et_4A_034742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAASALSSPWRVLIQRALDANAHLRHSTYFQLATVGAGGRPANRTVVFRGFQEHCDKIQINTDGRSNKICWYFTDSWEQFRISGSIDVIDSSSADPTKLQHRENAWFASSVKSRLQYLGSQPGIPVLDDEHTKDVQLDPSAGPVDAFCLLVLDPEKVDYLNLKNNQRLIFTRSLNEDGSNDWMAKKARPAEPTHRLLE >Et_3A_027135.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3474620:3476059:-1 gene:Et_3A_027135 transcript:Et_3A_027135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRSLLSWVCLLFVLLLLAFGGAPVDARPAPGSTHRRLVPRRMLSTNAPESVLATDGDATAAFKKTAFHRKLSAAPPKAAPASGSDFNVESYGAVGDGHTDSTKAFLNAWAKACSSPQPAVLLVPAGKKYLVKEIPMSGPCKSQVTFQIDGTLVAPEDKSNWNKTGYPHWVSFTKVDSLTVTGRGTLDGTGKSSWKNSCRTNKKNPCTFAPAALTFTSCNHLKVQNIRLVNSPQVHLLMQYCKDVTLSSLTIQSPGSSPESDGIHISHSEDIRIIKPVIKAGDDCISIATGTKNLYAYKVECGPGHGISIGSLGKDNSDAQVSNITIDTAHVSGTLYGARIKTWQGGSGYAKDIKFLNMVMDNVKHPISIDQYYCNQFDPNNPKPCAEQKSAVQISNILFKNIKGTGTTKDVISLHCSKAFPCHDIVLEDIDLKMKKKAGTSSCENVMFTKTSNVSPSPCSSVATKHDQVPEESSD >Et_5B_045613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5510851:5513192:-1 gene:Et_5B_045613 transcript:Et_5B_045613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNARLFLHLALAVFLLLTQLTNAALVPKIKNNPELKPHASNIYMVHANHLAKPPNFASLDHWYHSLVAAHSPRAANSSDRILHTYDTVMRGFAVQLTGDEARRMSTAPGVTGVYEDRMFYPQTTRSPGFMGLDPANGAWNETDFGDGIIIGIIDSGIWPESASFNDRGLGPVRPSWKGKCVDADGFNASLCNNKLVGAKAFDISHFVWSESFRREPSPRDIDGHGTHVASTAAGAEVPGAGMNMFSRGTAWGMAPKARIAVYRACDLDGCSMLDIAAAIQAGAEDGVDIISMSLGGPQMPFYYDAVAIALFGAERNGVFVAVAGGNEGPGASTVGNTAPWMTTVGASTVDRLFPANLTLGNGVVLAGQSLYAMKAKGTGMIQLLSVDCNVSSESDLDLIFTPDKVMGKIVVCRTSAGVVHGAKLQSAGGAGLLVARRYPNRRLHPSGCNPQLHRRREAPGLHGLGANPVASFSFGCETVTDANRAPVVAGFSSRGPNPIVPELLKPDVIAPGVNILAAWSGAASLSDDPWFDDGRRAAYSILSGTSMATPHVAGVAALIKKKHPGWTPAMIRSAMMTTAGTIDNRERDIIDSGVTSGSVDAAVATPLAAGAGHVRPHLALDPGLVYDAGERDYVDFFCSLNYTVMEIREFVPEFVECTRTLPGGPAGLNYPSFVVAFDNGTDVRTLTRTVTAVSEKAETYNVTVAAPERVKVTVTPATLEFKKPNEKKSYTVEFRSLAGGNATAGWDFGHISWENEDHRVRSPVAFQWKN >Et_7B_053984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1444482:1447807:1 gene:Et_7B_053984 transcript:Et_7B_053984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDPPAPGSGPSKVLAAGHVSDEKDEVETVCHVSEEKAEDEVASEDHGSELESPGKRRQVSTEGREPIGPVAKKAKQEQAEAEKGMKKLGTTKTQHTKTSAAGEGYSVSPAESVSSVPPPIRYPPYPKSGRARDVVRWSLQCRKIGEKAKKDPRFKLPTMRKPKDPETTKAVRSQDRKMVMDAARSTVSVSSIGHDGVKIQQCSGIVICQRECKVIIATCSIVVCEMDELLDPLPKLSIRLADADRTVLEGTLVFFNYHYDLVLLEIEVLFPVHFASIGSCPQYDQEIYALARGEESDLMVRHGKIDCLGESDHLGRDYHVFLSCEIPQEGTGGPVVDLKGRTIGMAFSLSPNPAVISITTIKICTDMWLQFGSVNEEISRCYGTSIGFIVDKVSYDSAAESLGIEYGDVICSFDDQHVLSPTLPQVNLISVNFIYILLVMHSKTWSKLFWQLEDYLLNLGRKLALGRAWPNGYALDRLSAGGAL >Et_4A_035419.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2485618:2486682:-1 gene:Et_4A_035419 transcript:Et_4A_035419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSSFDFREEYTSAFRTESYNDFWARVLDVTLAHGAGLVPRPGGVTASKRLPSYRLFAEHLLEPDQRAVRAALSAARKGPAAAGVVSPDVGSLLAAYYNETASASFLCSHLLRDIEQIRVRYRPLKNTLRKLARDVGVSNLADVSAALGQPFTSLAVSQGKLRQVQLSSADLLKSLDASRKKARLRIRNFGRLRQALSVSFVAVVAAAAVVGACVGVHVLAAFAAFPMMSPAWMGLFSGKAVRRALVQLEAAAKGTYILNRDMDTISRLVARVRDEGEHMLALLQLCVDHRAAAGEKGRLVQEVLKQLCKNQENFRQQLDELEEHLFLCFMTINKARSMVMKFITAEGQRSG >Et_5B_044824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6264175:6267504:1 gene:Et_5B_044824 transcript:Et_5B_044824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAPAITGEVGLQLLLAPLRSNVVVRTASCAVGIGLPVYSTFRAIEKKDEKEKERLLLYWAAYGSFSIAEIFADKLLSSVPLYYHVKFAILVWLQLPSSGGSKHVYRKYIRPFFLKHQAKIDRFLNIISKEIVSVFFPCISVDVFCHGYITNKSNAAQNQTKFVSSHEDEIRFIENVAIRGATTANYIVNGLDKPGQREAVNTIEGPNTTATEEA >Et_7B_053589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10019186:10024236:-1 gene:Et_7B_053589 transcript:Et_7B_053589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIDGEHQSPSPGCGMSKVVFAPNPTPEDHVAVAITGPRTLAYAKAGDAEWTTIDDVVATEIRGDHLVDLAYDANAGAAYCVTIYGDVRVLRVPRQGPVVVEPLQIERAAAYALPYDTASKFTGFKRIFFVGDSVYQLWRNTTSAVSWTMPGGARFRMARDDVFVLKYDPGRRPCWDAATDLGGCAAFVGKNNPVVLRPDDAPGVRANCVYWIDKNSRNAPMVFDTTTGASTLHPSAAKVLSTSSRSSVCWYFLNDKIMSVVEDNGRKQPLSGDDCGHVSKLHHPWRRSAPGRTSLQSSSSSSAMASASPWHPTAAPAASAPPGAPPPMLITLNPTTDANIIRLVMEHSHPVTAVFLPAGSSFDLTTLPIGSECVGLSNGWMAVVVNSHLWLRVFLLNPLASVDKLVRLPRLRNGGRTVSKIVFAPNPTAEDRVAVAICGSGTLAYAQAGDTEWTAVDDVAAAMERKRDRLVDLAYDVDADKVYCVTVYGDVRVVRIRPVVEPLQFARTSIPFEPPAAIYAPPYDTASKFTGFKRIFLVGGSMYQLWRNTTSGSADSWTMPRGDQFRMASGDDVFVLRYDPGRRPCWDAATDLGGCVVFVGRNNPVVLRLDDAPRVVRANCVYWIDEQWRNAPMVFDTATGASTLHPSAAKVSSKWFRSVCWYILNDRIRSVVKDNGRKRGLSGDDRGQVSKGVLAKKKSLERSESIKLELRRK >Et_10A_001246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22576785:22583748:-1 gene:Et_10A_001246 transcript:Et_10A_001246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGGGGSARALAACVVGGIVLGASVLALHLAGPVAIPSLPPVDALRRRFRRRRRPVRVYMDGCFDMMHYGHCNALRQARALGDELIVGVVSDEEIKANKGPPVTPMHERMIMVRAVKWVDDVIPDAPYAITEEFMYKLFNEYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSTTDIVGRMLLCVRERSLSDGHNHSSLQRQFSSGHGQKIDDGGSGSGTRVSHFLPTSRRIVQFSNSRGPCPDSRIVYIDGAFDLFHAGHVETISSTRGRHRPIMNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISLVVHGTIAENMDFMQDDSNPYAVPIAMGIYHRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYEGKSFVNGDSVVLLNWEMDGRIRVGSVMAAVFDGTPGWLDERWWVGDTLGSDDTIYGSASN >Et_9A_061596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14245702:14261049:1 gene:Et_9A_061596 transcript:Et_9A_061596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTYLMCRILFREILISAHGCRATQGMKAKHQHPAHSMRSSRQLLEWLIGNVLYDMKQKLKATGSNQLSDWTLGQIQPVFSVIKLHSMGFTGVLSPRIGELELLSALSLPGNKITGGIPEQFGNLSRLTRLDLEGNLLAGEIPTTIGRLSNLEYLLLSQNNLSGSIPDTLASLSSLGDIQLADNNLSGQVPGYLFHVAHYKYCTIITLLSSKNVSFGLTSFSGNNLNCGANFHYSCASNLSSQGDNRRTTFGQLRKFSLQELEIATDNFSEINFLGLGGFGKVYKGTLPDGTMIAIKRLNDSRNPGGEATFLREVEMISVAVHRNLLRLIGFCTTQTERLLVYPFMRNLSVACRLRELKPGEPILDWSGRKRVAKGTADGLEYLHKHCNPKIIHRDVKADNVLLDEVFEPVIGDFGLARLVDIRATSVTTKVCGTRGHIAPEYWATGKASERTDIYGYGMMVLELVTGKRVLDLPAGEEGLVLDHFKRLQREGNLGTIVDCNLNNNYDGQEVEMMIQVALLCTEQYPKDRPSMSEVIRLLDGEGLAERWEECQLAVRRREEHLLMPQGYDCGQESRYVQEAIELSAGR >Et_2B_019796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14017618:14019142:1 gene:Et_2B_019796 transcript:Et_2B_019796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFLATIAIVTLAAVSAAAGICRESCGNIPVRYPLGIDDGCGSPYYRNMLACIGGDDNATLRLRTPSGTYPVAGADYADPHLVVRDPSMWTCDRPYLSSGRAAASAPFSLDTSTRFSLSPRNEYLFLGCDEARVIVAPRPASCDRYPDRRCDSSCDSAAYLCRNLPGCRDALEEGNVTCCAYRPRAAESLRAMLRHCEAYASVYWRAVGEKFPPYDQVPEYGVRVNFEIPVTTRCLQCQDRRNGGGGTCGFDPATRDFLCICDDGRNSTTDCAGGGSSRHSAGVIAVRCVRAGYDLSIFLAASVLFPASAIIGVGGLVWYIRKIRSNKVVTCGVQSNENRFF >Et_2A_017544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35348644:35353930:1 gene:Et_2A_017544 transcript:Et_2A_017544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSVDPSDELQGDISHYKRSEPPRGDSLNFWKESLLADFSTSCQDSNDSKANFGYRVGELILPNGDVYCGTLLGNTPEGSGRYVWSDGCSYEGEWRRGMRHGHGKTLWPTGATHEGEYSGGYIYGEGKYSGPNNLTYKGYWKLDRKHGLGLQTYSNGDIFEGSWIQGEIDGHGTYTWANGNTYVGSMKHGVMSGKGIFTWKNGDSFEGNWLDGMMHGYGVYTWKDCGYYVGTWTRGVKDGKGTFYPEGCDIDALRNRGALPDIISQNRGSHILHSHSFDMADIESGRNQNSACASSRISSTFEQPHSKNASLERRWSLGAAIEKFISRDASESSGMQHCENKADSFRILEREYMQGVLISEVVVDKRLWDSCKKVSRRQKRMVKDIKKPGETIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRTSDYGPRASFWMNFPKNGSRITPSHHAEDFKWKDYCPMVFRNLREMFKIDAADYMISICGSDALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPDYYHHVHTYENTLVTKFFGLHRVHPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSFGRSTNKIEIDENTTLKDLDLKYSFYLDPSWRETLLEQIEIDSKFLRNHGIMDYSLLLGVHYRAPQNLQTQASFHKKTILDRLVVLPEEDALDDDTPNYPDGLVLVQRASDQNGVVIGPHIRGGPLRSSSASFEEVDLLLPGTARLQIQLGVNMPAKAEHVNQVEDNESFCQVYDVVLYLGIIDILQEYNIRKKIEHAYKFIQYNSLSISVVEPNFYSDRFLNFIRTIFPRSS >Et_4A_035515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27269000:27270501:1 gene:Et_4A_035515 transcript:Et_4A_035515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGLSVRRIVVDARHHMLGRLSSIIAKELLNGQKVVVVRCEEICMSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRSPAKILWRTIRGYEIFRLDSSSLGFVPAIFSMIPHKTKRGEAALARLKTYEGVPPPYDRTKRMVIPDALKVLRLQPGHKYCLLGELSKEVGWNYHETIKELEEKRKEKAKVAYERRKQLAKLRVKAEKAADEKLGSQLEILAPIKY >Et_5A_041699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26004582:26008755:1 gene:Et_5A_041699 transcript:Et_5A_041699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKNPHVFLDISIDRGSAERITFEVLFANVVPKTAENFRALCTGEKGLGSSTLKPLYFKGTNIHRIIPGFVAQGGDFSSGDGRGGESIYGGKFPDENFKLKHDKPGVLSMANAGKHTNGSQFFITFKPTPHLDGKHVVFGKVVSGEAVLKKLEAVGSESGVPSCQVKIVDCGEVSSLETQDQLLGKKEKKLKRVDDNSDAEGRAKTKKASNDNKRRKKRKHYSSDSYSSDASDSQSYSSDSVSESESYSSSSLDTSSSSDHRRKRRKGSKKDKHKSTKRKGKHTKSKRKTRGSKKKSRRSYGSSSDESEDISSSDNESGGHRTKRQENTKTANSTFEDVDKGKQTVADDNRSHDENGAGDRNDPGVSSRINHIQDDVNLIKLGKAAGNSGAATAEADTEKNPSSNEPVATNGKNSAVGSADNGQPQRIRKGRGFTQKYAFTRRYRTPSPERPPVRPRYDGGRNDRWNNSNRYGRNGPYGGRSPVRRYRGSPRATSPSRYPRRDRSRSRSRSPVRLYDRGGYRRHSPRRSRSPAEEPRRGVSNRARSSQGGGPDHRGSSPPANRGRSRSRSKSRDPSRSRSPDPAPAKRGSSKYNRRRSSSSRSSSPDDGNKGLVSY >Et_7B_055032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6640258:6652848:1 gene:Et_7B_055032 transcript:Et_7B_055032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLVTGNRSEDSRILPHERLLQPERAAAGDVVVDGPGRPARQRGGRAASQVETEQVREVEQGLVGVRLGVPLSLRRRRRRGGGGAGVVGAAAAAALGALGIGAGGEVGGRGERRRGLDVLGRRAGRAREQVRLAREVEVRGAALDGERGHVVRAGRHLRGGEGAQPDARLLAGLPDLRHPLAPAPHAHAAVRSSSSTSSWQTGESSAGDVATVAAASAAAACTSLGAADAARGSSCASSGKLRCAARPLMAIAATSCARAAISGLSKVPSQMRDFLRGSRISDTHLPHVRMRTPRYVGCFRSLRARFLPTLAVMLPESSPELGDDAYSAHKSGSCIPTSYSSAATAASGHTGDHHSPSLRSRRRSGRSNSALSWPSPACTVSGQQAASGDSAAGDDGSSSSADDASHSAAAAAWAAAAWMSAGEVDAGRGSSWARSGKLRCAARPLMASAATSCARAAISAVGKVPSQMRDFLRGSRISDTHLPQLRRRTPRYDGCLNPLRRVAAWAWDALLLPPPLAASLSSVVQQLLLSSPWPFAVLASTSSSQSGEEALLRFVVVGLEFFESACGAPLASEEKEEAEEVEEEEEEEEVVGAWLASASMSPIGLVGREGQVWGATELECLPWYK >Et_9B_063752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11463279:11464308:-1 gene:Et_9B_063752 transcript:Et_9B_063752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSAAAEATGDRLSKLEDHVLGRILSFLPAKEAARAALLSSRWRDVFAGVDTQVLEEPERPVREPKRKKERIANSYTYTITKVIFSCAELRSLSLGFCRLAPPMNVSMPSLKALLLSHVPDLGSDVERLIAGCPRLVDLTLEACDAVTTFSVLDAGVGLRRLAHRHCHCRLSLSTRRSCEHLSTGALCPTTRS >Et_5A_040962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15877710:15878760:1 gene:Et_5A_040962 transcript:Et_5A_040962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIAAATDAAVTLFSLTIVVAAPLIDSQSVLPHSLFPAQLLDLKRWYAAEFGDYLVARPPGFFRGLLWLELVFQWPLAVANLYGLLTRRRWASTTSLMAGVSTLTSMSAVLGEMFASGKATPKLLQMYVPFAVFAVIAILRGLCSCSQRTTASSTRGPSARKKRV >Et_2B_021397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29350467:29356863:-1 gene:Et_2B_021397 transcript:Et_2B_021397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAPAGKYQKSYFDVLGICCTSEVPVIEKLLSPLPGVQKVSVVVPSRTVIVVHDADAISPSQIAFVIVSLFEHFWHPLKWFALVAAAAGLPPIVLRSFAAARRLTLDINILMLIAVSGAIAMGDYTEAGSIVFLFSIAEWLNTRASRKATDGMSSLLSMTPQKAVLAETGEVVAAQDVKVNTVIAVKAGEVIPIDGVVVDGRSEVDESTLTGESFPVAKQPESQVWAGTLNIDGYIAVRTTTGADNSAVAKMARLVEEAQNSRSNTQRLIDTCAKYYTPAVVVMALAVAVIPMALRAHDLRRWFRLALVLLVSACPCALVLSTPVATFCALLRAARTGLLIKGGDVLESLAKIKVAAFDKTGTITRGEFCVEEFQAVSGRIPLQKVLYWVSSIESRSSHPMAPVLVDYAQSKSVEPKSDNVSVFQMYPGEGIYGEIDGEGVYIGNKRILSRASCETVPDMKDMKGVTIGYIACKKELIGVFTLSDSCRTGSAEAIRELRSLGIKSVMLTGDSAAAAAYAQNQLGNALEEVHSELRPADKVRIVDELKAKYGPTLMIGDGMNDAPALAKADVGVSMGVSGSAVAMETSHITLMSNDIRRIPKAIQLARRTHRTIIVNIIFSVITKLAVVGLAIAGHPHIWAAVLADVGTCLLVIMYSMLLLRDKDRRKAKKCCASSHHGSHTTKHCSDGPCKSSGSCKEASAGHGCHDHGHSHNHCKEPSSKQPIEKHGCHDHGHSHSHCREPNNQLITDNHVCHDHGHSHNHCHEASSPHFANKSDWHDRSADSEGACHAHEHSQCEEHKHSRSSGDHGCHEHEHMHCKEKNVSHSSSDHVCHDHDLEHEHPCHVEHTADTYHCHDHDHDHAEIEETGKDCQAELHHHHSHCCHEPHEEGNSAADPVQEHSISIGATTELEDQHSQCGHRIEQHKEEGCGNHLKVKDCVPPPADHISRNCCSVTINKGCGSKGEDICSSWQVVCAREASRCCRSYVKCHRTTSCCSHNVLKLPEIVVE >Et_3B_028846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19784273:19799616:1 gene:Et_3B_028846 transcript:Et_3B_028846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFVGLSPAVPPWMSLLYAIGALAALWWAWRALERTWLGPRRLARALHEQGLRGTAYRFPSGDMKEFVRLGTAACSQPMPLRSHAIAPRAVPFDCGIIRQHVSQVTWSPKLMAGNVTVTWFGPEPRVIVNDPKLLREILTNTHGHFGKQRSTAWVERLLANGLTTHQGEKWRMLLAFAACSSELIRRWHDSVGSDGVEEIDVWPEFQNLTCDVISRAAFGSSFSEGRMIFQLQSEQAQNAVKMVNVMYIPGYRFLPTRLNKRMKANAREVEELLKGIIKKREKAMEDGQINNDDLLGLLLESNINESQESGSSKPMMTTQDIVGELKLFYFAGMETTAVLLTWTMVLLSMHPEWQDRAREEVLHIFGKNQPHSEGINQLKIVTMILHEVLRLYPPILLLGRETYQETELGGVKYPPGVTFSLPIVCIHHDPDVWGENADEFKPERFAEGVSKASKDAPAFFPFGWGARICVGQNFALLEAKIGLTMILQHFMFELSPSYSHSPCPVSTLQPHMAISAVQELLFVLGGVVALWFAWRALEWGWLSPRRLGRTLRAQGLRGTTYRFPDGDLKEEERLVAAERAKPMPLRSHGISARVQLLVHNVVKEHGKISMVWNGPMPSVILGEPKLVREVMSTQFRHFRKSLLSYKFMKLVGLGLLTHEGEKWEVHRKIIKQAFLFEKLKKMGPAFIACTSELVSRWEETMGSGNETEIDVWPELQDLTGDVISRAAFGSSLSEGRRIFRIQSEQVQLATQISNQLYIPGYTFLPTKLNRRIKANAREVEKLLKGIVTKKERAMKNGHADDLDLLGLLLQSNSKDSLENGSSKPTMTMDDIIGELKLFYFAGMDTTSVLLTWTMIVLSMHPEWQDRAREEVLRVFGKKQPDHDSTNQLKIVTMILYEVLRLYPPVTLLERETYKEMELGGVKYPAGVKLVLPIVTIHHDPILWGEDVDDFKPERFAEGISKASKDAPAFFPFGWGPRICIGQNFALLEAKIALSMILQSFEFELSPAYTHAPFPLLYGLGGLVALWFAWRALEWGWLSPRRLGRALRAQGIRGTPYRFPAGDLKEGARRLAAERAKSMPLQSHDISARVQPIVHDTVKEHGKTSMIWIGPTPAVILSDPKLVREVLSNKFGHFKKADLPSGFNKLIGMGLASHKGEKWAVHRKIINHAFLLEKLKKMLPAFTTCTTELINRWEESMVPGMEKEIDVWPELQDLTGDVISRAAFGSSLSEGRRIFRIQSEQIQIATNMTNLYIPGYTFLPTKLNRKVKANAREVETLLKGIITKRELAMKNGHADKSDMLGMLLQSNAKESQESGRSKPMMTMDDIIGELKLFYFAGMETTSLLLTWTMIVLSMHSEWQDRAREEVLRVFGKKQPDLDGANHLKIVTMVLYEVLRLYPPVGLLQRETYKEMELGGVTYPAGVKLFLPILTIHHDPALWGEDADEFRPERFAEGISKASKDAPAFFPFGWGPRICIGQNFALLEAKIALSMILQRFEFELSPTYTHAPFPPWSWLCFLGPFLALWWAWRVLESAWIIPRRLGPALQAQGLRGTAYRFPFGDLKEFARLAAAARAKPMPLSHDITPRVNRLYYETIREHGKISLTWFGPTPRVIVNDPKLVREILSNKFGHFQKRKNTGIVRRLANGLVSHEGEKWAAHRKIINPAFHVEKLKKMLPAFAACSNELITRWVGYVESDGRKEIDVWPEFQNLTGDVISRSAFGSSFSEGRRIFQLQSEQAQNVVKLINTLYLPGFRFLPTKLQRTIKANAREVEALLRGIVGKRETAMKEGRASNDDLLGLLMESNAAESKQAGNSKPIMTMDDIIGELKLFYFAGMDTTAVLLTWTMIVLSMHLEWQDRAREEVLRVFGQNQPDFDGISQLKIVTMILYEVLRLYPPVVQLDRQTYKEMELGGVTYPPGVILSLPIVFIHHDKDVWGEDADEFRPERFADGISMASKDSPAFFPFGWGPRICVGQNFALVEAKLALSKILQHFSFGLSPSYAHAPFPVSTLQPDHGAQIVISKL >Et_3A_025745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32478108:32479266:1 gene:Et_3A_025745 transcript:Et_3A_025745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLRTVHIRQSSSSSPPREAAAAIVVDVRAGVDLARVGLALGLDPASVRLNGYFLSRGPGHVSSAVTWRTLLAFFAAKGLPTGADATAPIAVHGQPAAAPTLPSDPTTQISTKRKSRLEAERCTKKRSEENRSSTLSKASYDLLSDEIVLGLKRRLRLEDASPAKKIKQVKCSTDAQQPVKFSCNFVNGNGKRPLDEEMIAPLSCKRVR >Et_10A_000347.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:3869656:3869976:-1 gene:Et_10A_000347 transcript:Et_10A_000347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHMVSKKNPPPAAHGGDSDGSDDGGAAAVPVTSRLYLHRPGPGAGAPLDRDAVLRRIRHRRRHNRLRDTLRSMLQAPPPPSEPDNADGERRLLPWPLDDAFSAP >Et_2B_019239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19579024:19579218:-1 gene:Et_2B_019239 transcript:Et_2B_019239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLSWNNFLWSLFCFVVVRQKEEEEEKEEEERHKKEEVSLLHL >Et_6A_046273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11934180:11938501:1 gene:Et_6A_046273 transcript:Et_6A_046273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVTPSYTAILYSLNPNEHDSWSYIWGSSEFSLKRAYHKLIGDYQASPWFKRIWNSCWGVGRLLASTGVLNWTLMQCYSSPDRDLVVRSRERLSLSQHRPSDVTGMLKQVEKMLHGGVLLGCSKSKAKH >Et_1B_009714.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:19790670:19793027:1 gene:Et_1B_009714 transcript:Et_1B_009714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLALSASLPAVLSMAIRRAVAFFGLYLVSLGVGGIKPCVSPFGAEQFDDAEPGERDAKASFFNWYYFCVNIGSMLASTVLVWVQDRVSWWLGFGIPAAVMAVALTMFVSNKRLYRIQTQKKDPGASPLTRVCQVAVAAVRKRGVELPAGDYSLLLLHDDNNKLPSDDDNSCYKIEHTDGFRFLDKAAVVVVSSPPGATMTTEAAAAKASPWSLCTVTQVEEAKMLLRLCSVWPTVVFFFTVTTQMSSTFLEQGAAMDNRVGPFAVPPATVSSAEVVGILLCVPAMEAVLLPLARRATGTERGITLLQRLGVGLALATLTMAYMALLETKRLAAAASAASRVSIVWQAPAYFVLGVAEVFTSVGLLEFFYDQAPDAMKSLCTAVSLVAVAAGNYLNSVIIAVVAWATAWIPQDLNQGRLDRFFWMMAALSGVNTLAFVWTSVRYNYRGKC >Et_1A_006181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18930801:18933979:1 gene:Et_1A_006181 transcript:Et_1A_006181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSNSPERSPADGASSWSCHRCTYYNPIGADSCEICESARLVEIDADSPAPAPAASAPLRPCGKKMERAESPDAAASTETERVAESLDVAEATPIQRCGKKRGRVESPDVVELCDGANGGGDGNGKAPAVKEGNLETHVDKRTFKIMTYNVWFREDLELSRRMDALGELIKHHSPDFICFQVQNLRRLHHAYIYFCKNLTGGKNTNACCHKRRLLGGHITACSLPQISQLSKVPVKPSENIPFSNSIMGRELCVASIRTGETTNLVVATTHLESPCPAPPKWNQMYSMERVTQANTSLENLRPYRNAILCGDMNWDDKGDGPFPLPDGWIDAWVELKPGKEGWTYDTKANGMLSTNRKMQKRLDRYVCKLEDFRIDSIEMIGTEAIPGVSYLKEKKVRKEIRKVELPVFPSDHFGLILTVTQLDNDNF >Et_1B_013848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20330120:20331969:-1 gene:Et_1B_013848 transcript:Et_1B_013848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSYCRLVLVCSVLALCLGNHCVRSQLTTDFYESTCPQLYYIVQHHVFSAMMAEMRMGASLLRLHFHDCFVNGCDGSILLDGEDGEKFARPNQNSVRGYEVIDAIKTDLESSGGPYYEALLGRRDGLVANQAGANNGLPSPFEPIDSIIQKFSDVGLNTTDVVVLSGAHTIGRARCVLFSKRLSNFSTTDSVDPTLDPTLAANLQSLCANGDGNETTALDVSSADRFDNNYYQNLLVQKGLLSSDQGLFSSPEGVASTKELVEAYSSDGERFFCDFVWSMIKMGNISPLTGSAGEIRKNCRVAN >Et_8A_056880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18449740:18457425:1 gene:Et_8A_056880 transcript:Et_8A_056880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHVSGEDHQRQVTISMCSTPVSDRSFAGVSTPRSTGGAKLVPLESLETVMSKSKDQDDLDGNEHAPEVPLIKKVAAEFIGTFILMFTVLSTIVMDAQHGGAETLLGIAASAGLAVVAVVLAVVHISGSHLNPAVSLAMAAFGHLPRAHALPYAAAQTLASAAAAFLAKAVHRPDRPEVMATVPRVGAGEAFVLELVLTFVLMFVITAVATDPSSASAAPRFAEINSSESLCRFGEEIKGHWLLLQSKELVAISIAAAIMMNALVGGLSTGPSMNPARTLGAALATGKYTDIWVYLDQKRTMEGCKSDMEAATVAVPPMQTSESNRISIIISPRAASSKIMPFELANAGSVSSKSHANPAESSNAHAPHHHRWNQGFLEIKPAPLIKKVIAEFLGTFILIFTVLSTIIMNEQHDGVESLLGIATSAGLAVTVLVLSLIHISGCHLNPAVSISMAVFGHLPLAHVLPYMTAQILGSIAASFTVKGLYHPVNPGITTVPKVDTVEAFFLESITTFLLLFIITALATDPHAVKELIAVAVGAAIMMNALVSGPSTGASMNPARTIGPAIATGRYTQIWVYMVATPLGAIAGTGAYVAVKL >Et_2B_019365.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25669042:25669284:-1 gene:Et_2B_019365 transcript:Et_2B_019365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYDEVEIEDMEWNEELKAYTYPCPCGDLFQITLADLRLGEEIARCPSCSLFLTVVYNAEDFADAKDPHKPAPRPVAVA >Et_3A_023637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10128067:10138411:-1 gene:Et_3A_023637 transcript:Et_3A_023637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAALRRVSLAPAARSVHAQATTPQANAPELPRLDPAWVPLYVRLASLPHGRPPGTAPAVLDAWIRERRPLSQYQIIAYVRKLRNFKKPCAALELMDWMEARGAKFTLGHHALRLNLVSTMNGIQAAEEYFWSLPDVFKSVKTYSSLLNCYVEHNIADKGLELYEKMQAMNFGPSTLVYNNLMTLYHRSGQPEKIPTIYQEMNERGVRPDNFTHAMLIKSYITMNDLESADKLLEELQKVTPVHWSLHTRMAVSYVNLGLFDKGEMALKNAEQAKDEGEISRWHTLISIYACIGKLSEVKRIWESLKSRFKKCSNRSYLEMLLALRRLDDFDSLQLIFQEWQSGGQQYDMRIANIMIAAYLDKDMIDEAVAIRQSAMAQGRCNDRTCSMFAVFYLSKSEVKEALEILRDGKYMVRTHKWVPSKVLLQRFLKHYEETKDVDGMESLCVSLKELECLDADAYEALMRTYISAGRTNPCIAQRIEDDGIHVEPEMANLIKIVSGISLPSAARSVHAQATAPQADAPERRSLDPAWVPLYTTLAALPSRRPPGAAAAVLDAWIRERRPLSQDQMVAYVRKLRCSSKSCALELMDWMEAHGAKFTLGHHALRLNLVSTVKGIQAAEEYFWSLPDVFKSVKTYSSLLNCYAEHSSADKGLELYEKMKAMNFDPSTLVYNNLMSLYHKSGYPEKIPTIYKEMSESGVCPNNFTLTMLAKTYIKLNDLESAGKLLEELQKVTPVHWSLYTRMAVSCVNLGLFDKAEMALKKAEQVRDEAHVSEISFWHTLISIYACIGKLSEVKRIWESLKSRFKKCSNRSYVEMLLALRRLDDFDSLQLIFQEWQSINQQYNAGIVNIMIAAYLDKGMIDEAEALRYRATAQRRCTDKMFSMFLVFYLTKSKVKEALQILRDRKKMSRRHKWVPTKVFLQRFLKHFEETKDVDGMESLCVCLKEHECLDAEAYKALMRTYISAGRTNPCIAQRIKDDGIHVEPEMAKLIDVFSGS >Et_9B_066179.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:5856366:5857841:-1 gene:Et_9B_066179 transcript:Et_9B_066179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METMMAAAPPQPKTGGIGRRLARLLRRKRSPSGAGMAYSVAGDEFDPQSLDSSISSLSKLKLSGNLAAAYSFDALFRNAAAEKKMAAEAEKTEPDAGKHAFVASLFAGASAVKAAYAQLQLAQHPYDAAAIQAADAGMVAELTKLSDLKRRYARDPAAAAASRSAASALAAQADEQRHLVRTYQITMRKLEAELKARDAAADELRAALAGELEEERAAARVPLAALDGLHLSGLNATHFLTALRHAAKSVRAFSKTMLESMRAAGWDPAAAAAAVHPGARLRHAGDARFAVESFVALKMFANFQRKDFGLSSLHGRGGSHVDRRRFFEEFAELKSLPAASFLDAVSGGSGRRWGAFREFLRERYLSLVHERMETAFFGRADQRAAVMAVAGEAAASSFPRTAWFAEFAEMARRVWLLHRLFCAFDGAASVFQARPGSRFSEVYMESVTGDDGGDFGCGGRLAVGFTVVPGFKVGQTVIQCRVYLSAATNRA >Et_8A_056643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14290909:14291616:-1 gene:Et_8A_056643 transcript:Et_8A_056643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEQLQNSASAPKHTFLRFFVRETSSYIKHIATSEKERSPGHFGRNNTEITETGPEQLQNIPSAAKLIFFRVFAREPSSYIKHIATSEKERSPGRFGGNNTEITETGPVQLQNRPQNSHFLEFSSGNHPVTSNTSQQLKRSDPREPSNYIKHIATSEKERPPGRFHRNNTEITEMGPEQLESSAV >Et_5A_040269.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:9183526:9183888:1 gene:Et_5A_040269 transcript:Et_5A_040269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLVFLPASTSIRVVLPAPLTPTSAVRTPGLNAPLISVSSWRWLSVKPCSLIAYNARFCIRDGYKYQMTQAPIVDVNSFLYKELSEVIFFFLKRRRAALHYIKENLGRERITPPTAYWY >Et_10B_003414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19606454:19611028:-1 gene:Et_10B_003414 transcript:Et_10B_003414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TRVNFELAGGMAVPLRARAEAAVASIVAVRPHEMPPLLSAAATFFFILSAYFVVLPLRDDGAISLGLDTLPGLFAGSLVLTVLAAPVASLAFSLPSIPKPRALVLIHRFFSISLLAFFSNEDDSSKHAGWRNHSWFYIVVRISLFLWVALLNLIAISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFAASMAWLGPFLLLFSSLLMELAALSSKGICIDDNHGSIELSGTGSEQVRNTEADDETSSLVSSPTSPFQSQKSKHQVFVMFEGFWLILHSSYLRYVALFLWLSAVVSSVFYFQKVTIIATTISSPTARRRTFALINSFIAVFILVGQLTLTGHILTVAGVTIAISASPFVAASNLVALAIWPTWVAVAVTETIRKVTTYVLMRPGRELLFTVVSQDEKYKAKVCIDVIVQRLGDATAAGIYTLLFSRLEKKASMITLYALPLCFVWLLTAFHLGRLQTSRARLQAVSMTS >Et_9A_060999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5126007:5126260:1 gene:Et_9A_060999 transcript:Et_9A_060999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGLCPPAATRTLPRCRCYSTPSPALCSARRRGEGGAAAARRRGPACGP >Et_1B_012854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35604490:35608837:1 gene:Et_1B_012854 transcript:Et_1B_012854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPRSLAVFLLLLLLAAAAAPSTASNAKDDEAEDLQYLMENADDIPASDPDEWLQEGSSSDDDDDDDDDLLQDHESEPDLPQDQIDETHVVVLTASNFSTLIAARRYVMVEFYAPWCGHCQALAPDYAAAAAQLAAHDDLALAKVDATEDTDLAQKYDVQGFPTILFFIDGVPKDYTGARTKDAIVAWIDKKLGPGVHNLSDLQHAERILAGDDRAVLAFLDTLSGSYSDELAAASRLEDSVNFYQTSSPDVAKLFHIDPAAKRPSVVLLKKEEEKLTFYDGEFKASAIADFVSANKLPLILLFAVANESSKFLPIFKEAAKSFKGKLLFVFVERDNKEVGEPVANYFGIAGQETTVLAYTGNEDAKKFFLDGDVSLDAITKFAEGFLEDKLTPFYKSEPAPESNDGDVKIVVGKNLEQIVLDESRDVLLEIYAPWCGHCQALEPTYNKLAKHLRGIDSLIIAKMDGTTNEHPRAKPDGFPTILFYPAGKKSFEPITFEGDRTVVEMYKFIKKHAGIPFKLKRSDASATKAGSTESPTSFEREKNTGTNLKDEL >Et_5B_044676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4563953:4566634:1 gene:Et_5B_044676 transcript:Et_5B_044676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASRIGNALRKTSAHSNSPLLQAVRCMSSSKLFVAGLSYATDEATLTSAFSHYGTVLDARVIVDRDSGRSRGFGFITYSSSEDAAAAITAVDGQELQGRNLKVSYANDRAGGIRGGGGFGGGGGFGSGNGFATGGYGSTSGYDSGGYGSGRESGTGGYGSTRGTSAGNGGYSAGAAAGDYASNYNASGGAGGYASGIAPNGSYASNQNTVSRGGYAGSNSGYSDIAPNGIPGSSTLGEFGGGFNGEGSGSFVANARGGSYDRRTSTGGFSGGEANKVQYNGQDDLLGEDFFDDKEVAENS >Et_2A_017861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7148511:7149610:1 gene:Et_2A_017861 transcript:Et_2A_017861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGVKKGPWTAEEDQKLVGFLLTHGHCCWRIVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDDEERLVIDLHAQLGNRCVRRAAPLHVWSKIAARLPGRTDNEIKNHWNTHIRKKLLRMGIDPVTHLPVHQAPAPPPVQEHEPEQRAEPQQQQPQEQQQPQNDGDELPPQVEEAAKEEQDKDLPLLVPPHEFPTPPPAAASSVSSASAGSASVVSPSCSSAASGGVEATEWPEPMYLFGMDGIMDAGWDGLFSGADGGMSLDPFEPYPDAGGFDQGDDWI >Et_4B_037389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19378009:19382141:1 gene:Et_4B_037389 transcript:Et_4B_037389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSKKGSAAAADLTDDLIVEILSRLPVKSVCRFKCVSRHWYRLISHPEHCKKLPQTKSGFFYHKDRLNDEDEWTCLPTSDGITRDQEQLFSDPSLPFLTGYRQIILKNCCNGLILCLCWKDSPRDEADYVICNPATEEWSCLILAMKALPRAYRLGFDPAISPHFHVFQILEGDEDYGYIAGVNIYSSETGVWSHKENGWGDNEIQIVSTRGVFFNGMLHLLTYQFKILAVDTEGKTWRTISLLESMRVTNLYFGPLAFIGQSQGRLYYINMRCNDGSKLSVWILEDYNGNEWIFKYNISTSQLFGELFGESFGKKDLMFQRDYALVGIHPDCNLIFFVWRSEDLLLSYDMDRGKFSLSKGICLTYPFLHIFPILACT >Et_7B_053325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8062883:8063485:-1 gene:Et_7B_053325 transcript:Et_7B_053325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGRRRWRRLVVLLRLLALLLFVADAARAGLVLADLAEVEAHGAGREGVGLRAAAVLLEGRAEAAHERVQAAPGLPERAGARRRRVRVAEEGARRRVHLGLAELVQVAQELEHVRAAALGQAQRRPVVAQVLPERVPVAPLLRLVPARGLRRHRPHRRRRAAAARTHAAVAPSG >Et_4B_036713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10952608:10958402:1 gene:Et_4B_036713 transcript:Et_4B_036713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPAWRALLLVVVALAVADLAAARFVVEKNSIQVTSPEELKGKYECAIGNFGVPQYGGTLHGWVEYPRSNKKACQSFDQFDISFKPKAPGGRPNFALVDRGDCFFTTKAWNAQNAGAAALLVVDDKDEPLITMDNPEEGKEHLENITIPSVLITKKLGDQLKKSAEKGDMLSVLLDWKESLPHPDERVEYEFWTNSNDECGPKCDMQMDFVRSFRGTAQVLEKKGYTQFTPHYITWYCPESFVTSKQCKSQCINHGRYCAPDPEQDFSEGYDGKDVVVQNLHQICVFKIANETGKPWLWWDYVHDFALRCPMKEKKYTKDCAHDVIKSLGLDMEKINKCVGDPEADEDNGILKAEQDSQIGHGKRGDVTILPTLVVNNRQYRGKLDKVAVLKAICSGFEETTEPAICLSEDVQTNECLDNNGGCWLDKANNVTACKDTFRGRVCECPIVKGVKFVGDGYTNCEASGIGRCEINNGGCWKETRKGKTISACLFACCVKTKGGILQNEESEGCKCPAGFKGDGVKSCEDVDECKDKLFCQCKGCSCTNTWGSYECSCGGDNMLYMREHDTCISKETTTAAVGWSFLWVIFFGLVFAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLDNQEGANLNQHHVAHAEDI >Et_9B_065132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21434185:21436841:-1 gene:Et_9B_065132 transcript:Et_9B_065132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARALGALLHRASSLSSSSTALRSASLLHGNVGNAGLFRRHAGRRRISTFHPLCMGRRSCKIAGRKDAQNLKKMKRNSKIGKEIVAAVKKGGPSPSSNPALQAILDKARELDIPKEILERNLKRASEKDQDTYTEKVYEVYGFGGVGMVVEVLTDKITRSAQFVRNTVKDCGAKLADPGSVTFRFRQARVVNIKVTDADKDQLLSVALDAGADDVIEPNLDDGDDETEDVSERFYKIVTTSENYQVVVSKLEEEGIKFETDNGYELLPLNPIEVDEEAMELNKELVSKLLELDDVDAVYTDQK >Et_6A_046686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19448819:19449432:-1 gene:Et_6A_046686 transcript:Et_6A_046686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMIYQFDRLSHGACNKVAHELAKQVSSVCVMGEWQSEAPSCIRQHLCLIVILLLSNE >Et_7A_052898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24409911:24416511:-1 gene:Et_7A_052898 transcript:Et_7A_052898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIGETLSEYMLYLLIKQPGMLSATAGIGLLRYRDTCAEATRFFSSMAMWNLEHVDARKMLLSVNTTKKPAEVKGDRSKSVFFDAVILAKVLRKLDEGLMWTVVTEVWREMLTFAAGKYRGSTHVRQLSHGGELITLVWFLMAHMGLGEIKGAYDKLKQNLKIKEARPSNVAPVPDAQGLLSYKGTKITCKRASLFMIARRALFLFTASLTANTIEKYPSADPAATAPPLWVFSASTLTQSSTQPYPPSSSSTSYPSAPCRCSSRTAPASPLH >Et_1A_007392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34016825:34017641:-1 gene:Et_1A_007392 transcript:Et_1A_007392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSQPQPVMGVTTGTQGYPAATAAYPPPAMADEDVRMISAEAPVVFAKACEVFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIIPRDEMKEEGLGLPRVGLPPAMGAPADTYPPYYYVPAQQVAGAGMMYGGQQGHPVTYVWPQQPQGQEEEPPEEQQQSLPENS >Et_10B_004043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9682698:9683268:1 gene:Et_10B_004043 transcript:Et_10B_004043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGSSRSGSLLPANGSLPVIECPHHPDRKLVLLTANTERNRGKKFYRCMKGYRTANACDFFIWEDKYYEYLVDNGFLEDAFLETPTVRSEPVRASNLDMQLRQDVAELKATVRMLERNVAEMQSTINSLKLDKTLLCAMSMAIVLAAVLVVAMLK >Et_2B_021883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6466121:6478639:-1 gene:Et_2B_021883 transcript:Et_2B_021883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTRFSKKGLLTVYRRVVDNFLALVTVPLAAAALVAASRVGPDALLLRDARPVHLFLALFLPAAAVTVYLLLRPRAVYLVDYACFRTAPNCRVPFSTFLEHAKQVPALNERSIRFMTRLLERSGLGEETCLPPAHHYIPTYKYCTLEAARGEVELVVFSALDDLFAKTGVNPRDVDVLVVNCSLFCPTPSFVDMIVRRYEMREDVRSVHLSGMGCSAGLVSVGLARNLLQTAPRGGRALVVSTETITPNYYVGSERAMLLPNCLFRIGGAAALLSTSRANARFRLKHVVRTLTGAGDDASYRCVFQEEDEHGNVGINLNKDLMAIAGNALKANITAIGPLVLPASEQLLFALSFVARKVLGGKVVRPYIPDFSTAFEHFCIHAGGRAVIDELQHSLGLSDEQVEASRMALHRFGNTSSSSLWYELAYIEAKGRMRKGDRVWMIGFGSGFKCNSAAWECVQPAPNAEGPWATSIHSMSSSSAAAGHQLKPLYQHVVNNFVAVVAAPLVVAALVNAARVGPEELLARAQSLRAVHVFLAAFLPAAAATLYLMLRPRSVYLVDYACFRTRPNCRVPFATFLEHAKLVTFVEGASIDERSVRFMTRLLERSGLGEETCLPPAHHYIPPYRNMEASRAEVELVIFSAIDDLLAKTGVSAGDIDILVVNCSLFAPVPSFTDMIIHRYGMRKDIRNVHLSGMGCSAGLISVGLARNFLQVAPRGARALVVSTETITPNYYVGKERAMLLPNCLFRMGGAAVLLSTSRANARFRLARVVRTLTGAQDSAYRCVFQEEDAEGHRGINLSKDLMTIAGDALKANITAIGPLVLPASEQLLFALSFIARRVLNRRVKPYLPDFRTAFEHFCIHAGGRAVIDELQRSLGLSDEDVEASRMALHRFGNTSSSSVWYELAYIEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIAPPRSAEGPWAESICRYPVDIPEVLKH >Et_6A_046309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12851044:12855694:1 gene:Et_6A_046309 transcript:Et_6A_046309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTVVLRVGMSCEGCVGAVKRVLGKMEGVESFDVDIKEQKVTVKGNVTPDAVLQTVSKTGKKTSFWESEPATPADATAAAIKLRPRLEAGIEGKSGFWTGSQKLVFAQNLLPLFGNKLPAP >Et_1B_013535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9298379:9301251:1 gene:Et_1B_013535 transcript:Et_1B_013535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEKLATASGPTPSTPASTPDSRLPASRPHPSSSPAGAGAAHTVYGDRFIPCRARSNLALFDLDTPPSSSPYCTLLSATLFGPATPDRVTSSATACSSSSSSASPVGTPGSGNIFRFKTDSPRRAKRALFADRDEEESPFTTMVGARPWKIPRSPYKMLDAPEMQDDFYRNLLDWSSHDVLAVGLGNRVYLWNASRDNVTMLCDLGEDDDVCSVGWAQPGAHLAVGTYQGQVQIWGVTRGKRIRTMEGHSMRVGALAWNSSLLSSGSRDKSILHHDIRAQEDYVSKLTAHKYEVCGLKWSYDNRQLASGGNDKRLFVWNPHSVQPVQKYTEHRAAVKAIAWSPHQHGLLASGGGTKDGCIRFWNTTTSTHLSCIDTGNQVCNLVWSKNVNELVSTHGNTQDQVFVWRYPLMSKVATLTGHTNRVLYLAVSPDGQNIATCGGDETLRVWNVFPPSKSHGSVSLSCVGQTSFVRSYIR >Et_4A_035010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8831167:8833557:1 gene:Et_4A_035010 transcript:Et_4A_035010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPLAMPCAASRASPRPAAAPPAPALATALPCVSARGPRGFLASQPPTRRLRLLPPAPADFNPKAIGSAAEAAPAEGLATKLQGVEVFDLSGKAVPIVDLWKDRKAVVAFARHFGCVLCRKRADLLASKQDVMQAAGVALVLIGPGTVEQAKAFSEQTKFKGEVYADPNHSSYDALEFAYGVLSTFTPSAGLKIMQLYMEGYRQDWELSFQENTRTKGGWQQGGLLVAGPGADNILYIHKDKEAGDDPEMEDVLRACCS >Et_4B_039323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:120816:122130:1 gene:Et_4B_039323 transcript:Et_4B_039323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATGGADVPPRYLRPEAAAEDVAADGEAEIPIIDLQMLLDSRDEEESARLHQACQEWGFFQLINHGVPHEVTEGMKAMVEGFFELPAETKKQFAQEPGQLDGYGQLFVVSEDQKLDWADILFLHTQPPQLRNMRFWPDKPATFRSASLVPLFIACAATHSEPYGHWIAAAVKNIADRLLAIMSKNLGLEAGVIAEKCVGGVQAVRMNYYPPCAEAHKVVGFAPHSDSDLLTLVLQVNEVQGLQIERNDRWIPVKPAEGALVVNVGDVLEILTNGRYRSIEHRAMISTEKERMSVAAFHSPSVHATIGPLTEILAAHEEALYKTVDHESFMKLFFSAKLEGKSFLQRMKLMA >Et_5B_043299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23243644:23244588:1 gene:Et_5B_043299 transcript:Et_5B_043299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEEAAAAAEVPATEVEAPAAPAAAEPAAKKKPKAPKEKKAPKEKKPVAPRKPAAHPSYAEMITEAIAALKERTGSSSVAIAKYVEEKHGANLPPNFRKLLSGQLKKLAAAGKLAKVKNSFKLPSADKPKPKPAAAKPTASAAVKPKPKVAAKPKASPKAKAKTAAKPKLPASPKAKAKTAAPKPRGRPPKAAKTSAKDSPAAAKKLATPKKEKAAAKAAPKPRGRPPKAAAAAAPGKKGAARSAKK >Et_4A_035949.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9270778:9271269:1 gene:Et_4A_035949 transcript:Et_4A_035949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSRLLLLVTLCVALLLAGATASPGALALAEWLDGEGSQQCWESLMEIKSCTGEIILFLLNGEAYLGPGCCRAIRVIEKRCWAADAMLSVIGFTPEEGDMLKGYCDAGEDNGQAQGQGQQQPHGSAPPPRRAVGDGDGVADVVARGSVGSVAGRKQGPAVHR >Et_2B_019097.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:8359325:8360580:-1 gene:Et_2B_019097 transcript:Et_2B_019097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVVSILLVSLVHIHALSNCDARDAISADQVLTNNDKLISSNGRFALGFFHTGSESSNTTKNWYIGIWFNKVPKQTPVWVANRQNPIIDPTITELTLYLDGNLVILDKVTRAKVWSTELGNTTTSNYTIAVLLNSGNLVLRKASNTSSILWQSFDYPTDALLPGAKAGRNKVSGLHYSRTSNRNMFDLAPGVYCSELDPAGVLQFVTKLCNSSIVYWSSGVWNGKYFSALPGMSAVIESHMLIDYKFIDNNEEEYFMYTLQDDTLTTFSLLDVSGQIKQMIWHEGSQDWLAVDTQPKAQCDIYAFCGPFTICICRDNMFPLCSWMKGFSIASQKSWDLGDQTSGCTRNYVLDCESKQR >Et_3A_027255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:661754:662984:1 gene:Et_3A_027255 transcript:Et_3A_027255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLKYLRGGAVAGNQRAPVVGTTIAATVSEDGGGGGGGEVDDDASFFDLEFAVPGDESAASDAEEERVEFNFVASGGGAEVVTVDAVAAGGDSGGSKEEKEKDGAEEEAEAAPPAASLVRPATKFRVLLLKLRKPKAVGAVPADGNGGAPAAVTAKTTTTNRFLIKFRVDDAPLVSLFTRDNSSRTSDADRPAAAVQAAPPQDAAAITAEERRFAKEVVLKYLNKIKPLYVKVSRLRFAGASEGEETDAEPDPAAPAQATPSPAAAPPQPVVVACGVRAPRASVPAGLKQVCKRLGKSRSASSAVAAAPSPTPPPAPATPQRRDDSLLQVQDGIQSAIAHCKRSFNASKGSESPLLRSMTTGDATRAADGGM >Et_4B_039390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13126414:13128344:1 gene:Et_4B_039390 transcript:Et_4B_039390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDCKFGRAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKY >Et_5A_040785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12430362:12433653:1 gene:Et_5A_040785 transcript:Et_5A_040785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAQGRVLLGLLLLVAAAASPEDFLRLPSSAPRQLTPRFPRTDAVDLIRALNLHPTDASPPRTSTGDAAAPAGTLVERPLRLASLAAGGGAGPSVGDLGHRAGYYRLPNTHDARMFYFFFESRGSKEDPVVIWLTGGPGCSSELALFYENGPFHIADNMSLLWNDFGWDQASNLIYVDQPTGTGFSYSSDSRDTRHNEASISDDLYAFLQAFFTEHPEYAKKDFYITGESYAGHYIPAFASRVYRGNKNNEGIHINLKGFAIGNGLTDPAIQYKAYPDYALDMELITKSQYNKISKIVPTCEFAVKLCGKSCFLMCTPLPFIVNLTSALQTCQHSHMFVQLLVHISFTYLLMKPVPSFHAGTSGTVSCLAAYFVCGTIFSSIRTIIGSKNYYDIRKPCVGSLCYDFSNMEKFLNLKSVRESLGVGDIEFVSCSPTVYQAMLLDWMRNLDVGIPELLENDIKVLIYAGEYDLICNWLGNSRWVNSMEWSGKEAFVSSSEKPFTVDGKEAGVLKSHGPLSFLKVHDAGHMVPMDQPKAALEMLKRWTSGNLSGPSSSFEKLDFTM >Et_9A_061609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14463322:14466752:-1 gene:Et_9A_061609 transcript:Et_9A_061609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSSLAHFLRYVSFPPDPHLLPSALKSCPSLPLARVLHAAAVTADLASDPFFASSLLHAYIRFGATADARAVFDGMPERTIVGWGALVAGHAARGDAEGAWRVLEEMRLGPGGVEPNAITWNGLVSGLNRSGRAREAVEALARMHAEGSVRPDATGVSCALSAVGDFGEVSIGEQMHGYAVKTGCRADYFLATALIDMYGKCGRCEEIVRVFDESSLVDVALCNALIAGLSRNAQVSEALRMFRKFIGRGLELNVVSWTSIVACCVQNGKALEAVELFREMQAQGIEPNSVTIPCIMPAFANVAALMHGRSGHCFALRKGFFHNVYVASSLVDMYAKCGKVRDARAVFDAMPSKNTVSWNAMIGGYAMHGEAANAVQLFDSMLMCKEKPNTVTFTCVLAACSQAGFTEEGRRYFSEMQQDHGIVPRKEHYACMVTLLGWAGKLDEAYNLINDMPFEPDGCIWGSLLHSCRVHGNVDLAEVAAEKLFLLEEGNAGSYVLLSNIYASKKMWNGVNRVREMMKNVGLKKEKGCSWIEIKNKVHMLLAGDDSHPMMTAITEKLKQLNIEMRRLGFAPSTDFVLHDVEEQEKDDILAVHSEKLAVALGLICTGPGTPLRVIKNLRICDDCHEAMKFISSIEEREISVRDTNRFHHFKDGKKMESMELAAEAFGSDMANQKKRTEITVALGLVSTLPGTPLQ >Et_7A_050718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10332061:10333872:-1 gene:Et_7A_050718 transcript:Et_7A_050718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFGGKLACFISNKLDDFVHAGLLPNGNFEQGPPQSVLNGTRVMGRYSIPNWEISGLVEYIESGHTDNDMILPVPDGVRAVRLGNDASIRQRLKLHPHTYYSVTFAAARSCSQDEKLNVSAEPHFSILPIQTVYTSSGWDSYSFAFEAKYSLVWFSIHNPVSYDEDPACGPLIDFIGIKALRLPPRTSGNVQRLLKILNFYCPGWFQ >Et_2B_022139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9591371:9593772:-1 gene:Et_2B_022139 transcript:Et_2B_022139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLLDSFQAEAIVCLQYVQAAIDLDIGHVIIETDALLVQQAATSDAVELFIDCNKIAHALATLEHQCLEEDHPYKKKKKKKKKKKKKKKEEEEEEEEGGVLEAVLNSMTSSYRKDSKDKIKEDT >Et_6A_047354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4332276:4335909:-1 gene:Et_6A_047354 transcript:Et_6A_047354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTQASKKRRSVYISSESEDSDTDSDVEGSKICQKSGVTSNSTCEHQSSYKKKTGSMDARKIRLCGNILRKLMDQEDKSLLFLQPVDPVLYRIPDYFDVIRNPMDLGTVKKKLANRQYASTNEFAADVRLTFSNAMTYNPPGNYVHNVAKELNGIFESEWESVERKCRDRNLVKEQQATKFLKLRVDSKSGVAKALLSGLNSKPVIDRGPVPCTNSVAKKALTDVISSKVKIKFSVRSSEQSSSKGIPFRADGSREGSLKQSSPAVHESLKRSLPCIKENVKMSRLQATEHTSELIGNESRSCNDTSTSPLTSGQGEESYLQDEPLSPSRALRAAMLRSRFAGTIVKAQQKALLDHGKNIDPAKLQLEKERLEKRQQEEKARIEAQVKAAEAAAQLKLDEEMRMKREKEREAARLALHMMKKTVDIDNSDFLKELENFSKTLQSSPPSTLIVDFVDGIDLPPGLGSPLERLGLFMKKDFEEEVEHEMEEVEHESGDCFTAN >Et_4A_031906.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28500940:28501404:1 gene:Et_4A_031906 transcript:Et_4A_031906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLARLRLKPPPPRRRPCLPAAFSTAAAVTSAPSADRLLPLLRGCVSASHLPLGLQIHARAVASGVLAADPALQTRLLGMYVLARRFRDAVAVFSSLPRDAAASALPWNWLIRGFTAAGHHRLAVLFYLKMWSHPA >Et_5B_044940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7514570:7518324:1 gene:Et_5B_044940 transcript:Et_5B_044940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPYIDPVKQYSFDVFVWWSGSGLDEISVLAFQGGRMASSAPNIMFRRLFKTLTVSPALASGLTSQHHQLQQRAQVSGTAKGKAKLKSGQPLKRSSIAKKGAPSGGGGGGRGRREAIERITQISDSCLNAPTPLRYLSPKERLREAKREELGLVSKERQRELDMAKTKAKAKSKGTGGDDEGRVLMGPPGLDYYISLGLVDEEAIPKYELTEAIAALPEKLQAAALVPDMTPFPANRYMATLTPPIEGYFEKVRDAAKKYSPNRSGLNLKYKQIWATLLAWVWLESSPFDIMLIGP >Et_8B_058713.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1790979:1790996:-1 gene:Et_8B_058713 transcript:Et_8B_058713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQE >Et_3B_030434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3793691:3795797:1 gene:Et_3B_030434 transcript:Et_3B_030434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLAATTPTSSAAATAAVPASRPTGAGRVAFPRRPWSAPCSQPSAPRLDLRARGVRRGDGGGVRTATDEEQDRQAQLTFYDLLGISAEGSAEEVRAAYRRMALRYHPDVAPPGAAAENTRRFIEVQEAYETLSDPSRRASYDRALARGICRLAFSGPRSGRAYHHQDWEDKSTWRRSWQDQVAELKRRSMMKDSEDNLSWGARMRRKRAESSSVE >Et_2A_018484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29571273:29573211:1 gene:Et_2A_018484 transcript:Et_2A_018484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVQETNGDGDDAAAFRTRIQYLNKRIQSSSLIVMVLAAGIVVPMAVEHSNKKKQALHLPTVLFTYTTFLCGLSLRGLTLVHACALLLVSLSFSLSLMMRMYVVATSLALAAAAALVAHRLWQCAATALEADVNAYLGCEEELQQLVDLSTNVTAMLFGGWFGMAFFYFQNYPEEARDARFLPSEYYTFFTSSVPPPPDQQRQPVRELMTLICALVTAVVATALVIAASKVGGYAALALAAWIAQRVKGRWELPTWFRYQGEPSSHNFMSVSLTLLLAVLTYRAKDVDRAMSTLYDEAFVLVTTAAVVAALVRRLLTQPPTPTEALEVQAAAKILAFSTFWLLVLSVLAFLGVMFGL >Et_2A_016974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:323041:325192:1 gene:Et_2A_016974 transcript:Et_2A_016974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEVVAHVYDVANAGSDAAVLHINRFFKDAIGLGGIFHTAIQVYGDEEWSFGFCERGTGVFSCPPCKNPMYTFRESIVLGKTSCSIFTVNQIIRELSREWPGSSYELLSRNCNHFCNTFCEKLDCPKIPGWINRFANAGDAALEVAETTAVKLKQARKEIVTACKTASIFLTGTSSSAQQNVDDTGSSANNSFFEGAWLKSIISISMNPSKSLVCSDDPDEEKSEDESESESDDDGSNSDHSAN >Et_1B_012450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32007473:32008891:-1 gene:Et_1B_012450 transcript:Et_1B_012450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFKLPEMALRLCVVPLAVASLWEMATNRQPDDAYGEVKFSDLSGFKYLVVINAIAAAYSVASILLSSFKSLPQYDWVIFLLDQAVAYLLVTSASAAAELLQLAQNGDREVSWGEVCSYFGRFCGKATLSLALHAAALACFVALSLVSGFRVFSKCHPPGGVSDAADDCSEEPKHAEEQRK >Et_6B_049387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4126914:4131688:-1 gene:Et_6B_049387 transcript:Et_6B_049387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVACAERATNDMLIGPDWAVNIELCDIINMDPGQAKDTLKLLKKRLGNKNSKVQILTLYVLETLSKNCGDVVHQQIVDRDILSEMVKIVKKKVGIPADLNVREKILSLIDTWQVAFGGPSGKYPQYHAAYQELRSAGVDFPPREENSVPLFTPPQTQPLRQPHLYPPPGQSYEDAAIQASLQSSAPSAPALSLSEIQSARGIVDVLDEMLNALDHRHPEGVREEVIVDLVGQCRSYQSRVMDLVNTTGYEFLSLFFLFHVFYNCDVVQRVDSLCRDESLLFQALGLNDELQRVLQRHDDIAKGVRPSAGGPLPVSANVNQGTAPPRSTGASFSPLLNVHEDDEPEDESVRDGTAAQSNVPSAPRNARPYPSPLLPPPPASKRPVYTEASSVDYLSGDSYKSEKVPDDFINPTAPANISTSSHSKTEVNPPPSYDTRSDSVPDDFVNPTALPSFSAPPPVMSESSRSSVKQPESLPDDDFINPTALPGFSSKDSEDLPKAPWEAQPAGSLPPPPARYGQRQQFFEQNLYSGGSNGGGYDGLLTETENLSLNRRNSENERSTSRPTASRPAKPEDSLFKDLVDFAKNKPSSPSKPANSRRTR >Et_4B_037183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1615803:1617809:-1 gene:Et_4B_037183 transcript:Et_4B_037183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVESWWVLPMTLIPAISGGQHETTSTFLAIATGFAYLPLFACLAWAGASLLYWAHPGGPAWGKYWRARSQRATPSPIPGPKGLPVVGSLGLMSGLAHRSLADEAARRPGAKRLMALSLGPVRAVVTSHPDVAKAILDNPAFADRPLNHAAYGLMFHRSIGFAEHGPYWRALRRVAAGHLFGPRQVDAFAPYRARVGEGLVAALRGAGSGRGVVQVRGLLRRASLYYIMRFVFGKEYDVSGSGTEVEELLGMVHEGYELLGKENLCDYFPGLAPLDPQGVGARCAELMPRVNRFVHGIIQEHRAKAVDGEARDFVDILLSLQESEGLTDADIAAVLWEMIFRGTDAMAVLMEWTLARLVLHRDVQANVHRELDEVVGRNNPATESALPALPYLHALLKEALRMHPPGPLLSWRHRAISDTYVDGHLVPAGTTAMVNQWAMSRDPEAWDAPLEFQPERFLPGGKAQDVSVLGADGRLVPFGSGRRSCPGKSLAMTTVTTWMATLLHEFEWLPASDAVDLSEVLRLSCEMAVPLEVRVRPRCEE >Et_4A_034736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5969287:5974246:-1 gene:Et_4A_034736 transcript:Et_4A_034736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALVNGLAGAGGGIIAQIITYPLQTVNTRQQTERSTKKRKASSGGTNTSTLFQMLQLIQTEGWSGLYSGLTPSLAGTAASQGIYYYFYQLLKNRVEELAVARRKKGLGDGTVGMLSWLGIAAVAGSINVLLTNPIWVLVTRMQTHTQAERKILESKKELLLREVSRTNSMEVSVLKDRLAKLESEKPRPFGTIQAIQEVYRESGIRGFWKGLVPTLIMVCNPSIQFMIYETLAKRLQSKRSGKHVPKENLIAMEVFLLGAIAKLGATVVTYPLLVVKSRLQAKQEIGRNVMSRYTGTVDAILKMIRYEGFHGFYKGMGTKIVQSVFAASVLFMVKEELVKIAVLLLARSRALIITTTQKQ >Et_2A_017574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3803886:3807045:1 gene:Et_2A_017574 transcript:Et_2A_017574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAALTGLRPAAASAAARLPSAAASASFVRVPARSTAWAGVSVASPRARRRAPGVAYATAAAEKSIYDFTVKDIDGKDVPLSKFKGKVLLIVNVASQCGLTTSNYTELSHLYEKYKTQGFEILAFPCNQFGAQEPGSNPQIKQFACTRFKAEFPIFDKVDVNGPSAAPIYKFLKSSAGGFLGDLVKWNFEKFLVDKNGKVVERYPPTTSPFQIEVRNFVC >Et_1B_011032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16841175:16843230:-1 gene:Et_1B_011032 transcript:Et_1B_011032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTCSTSRDFLQRFCTTLSYACSELCLIILLHVAAVASYAATRLASICKLKAPCILCSRLDHALHGKPWFSVDSVCAAHRSEISSLAYCKSHNQLAPSEDFCKACLLACTRSVDNPALGVSEDLNSRSRRLCSCCSEPFKNAHGARKLAETANAVDSAYNINRSEDTNTRNQVDASDSTLVMTPMVSEQVHADRPKDKTFVVGIEEVNETDGSPGRDEQYRKETGASANTGPGKLASFRSAAPSRIFVDRNSSVMNAFIGRLNLPSPRPSEIIFARDNNSTTQQEVKALLSQISSRGLDSSPSEGTPSPGINFQADESNATGRRPSLERNYSVLEPSEGSLVDDIEGESSVENLRKQLELSKKSMAALYKELEEERSASAVAASQTMAMINRLQEEKAAMQMEALQYLRMMEEQADHDHEAIQNLHDLLTDREKELLDMDAELANCLRLLQNEPLDAGRFDGIDTMNRTGEFFNGTDFMGSTMSYFEDEKEYILESLSRLEENLCISTSRLASDNVENSQDDISLEEHKVADGRYMENSQFDGRDSSLGQGNLSGELTSVQQQEENDCSGSPLDNYKMNAVTSVKNAILLLNTRLKALEADQKFLKKLLSSLTCGNDGLQCVQEVTNHLAELRRVVTQRRAMAVPLVHTIWS >Et_10A_000585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13169568:13169895:1 gene:Et_10A_000585 transcript:Et_10A_000585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DGNEVFFRIKRSTQLKKLMNAYCDRQSVDIKAIAFLFDGRRLNSEQTPDQLEMEDGDEIDAMLHQTGGFLQVV >Et_2A_015523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14492891:14493335:1 gene:Et_2A_015523 transcript:Et_2A_015523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERSNYIKHIATSEKERSLSSAKLTFLRVFALEPSNYIKHIATSEKERSPGHFDRNNTKIMETAPFDQSSAKLTFLRVFERELSNYIKHIATREKERSPGRFDRNNTKITETGPEQLENCPV >Et_7A_051035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13894946:13898120:1 gene:Et_7A_051035 transcript:Et_7A_051035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQHSGSRSRMAPFARGGGAYNRGQKQFYPPPPPPPLPAAGLPPPPPLNKYEVLMEAGRLAAEYLVAKGVLPPGSLPVRGVAAGGWSQLPPPPPPLTAAQEAPMYHNPRNDRRRADDEYSNPNARSRRNRGADYNNSSSSSNYNRRGKRKFGADNRYSDWGRDRERGRSYSDSRSFDDEDEDGAPGFRKDRRGSAVIDEVGSCVSGVAGEGPASKAEAVGESELEDTGSKVSSENNIQKNANAKQEEKDENEANKMQEDKVSDSEVVEQVSNGEGGNKNNTSSDVVQEPEPKHSPVPSDENVLDERHKDSIPLNEKAAGDEALDKKDEDELSSDEKLSLTEDSSRDDSMNLLDYCSFARVPKRPRSVLAHRNTGPAQREIAIAEQVDLVSSEEMCPAANDEGANGNFVADIQADSNNDLDCQERYNPSTACNQVAESMTLHEKETQVEMGEMVEHINKTQCFGDQENKEHSKLSPALPPCQNNLMWQVEKGIQIYNVDTPPQDEELIDSSDKGKTVVPELLTNIGAEPVVAMEEENLGQSGSFKIRDLNLIGSPDEIRNDPRLGQCSTTGCSMELQGMQQINFATTMDDNACNANSYALLANKEVIDIEDDIEDELPIAADACDTSKVKTEVTYPSMENMMNPPANTNVLHGVQDGYNIAIPDYLGTDMPCYQSIQTDLQAGMGLNDSESITVMEDPIYGSLGDIGFMEVWDQPPQDYEKFF >Et_10A_000040.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18549088:18549618:-1 gene:Et_10A_000040 transcript:Et_10A_000040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSGLTGDLNGLERRPLGGVDLGHGDREHPILQAGPDPVHGGVVRQAEAAEEAAAAALGAAPRVAGILLLPGALAADGEHVAVLHLNFHLLLTDAGEVRHEHVRVGGLTPVHARVHQGGGVVGRGGDRRDDGAGAGEEGVPEVYGEGVERGAAHERVQHVAARHQRHCRVLLLTF >Et_8B_059378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17200738:17202801:-1 gene:Et_8B_059378 transcript:Et_8B_059378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQEMIHAAAAAAATGTSSHDWWSTAVSCSADQLPAGFSAGWSGPADGGNSSRSGNAASSESPGSNSFATGVSSVTFQESAGVPGPVAVTAVPQPAAGNFATDWSQPYYLDVSGFHGYMSSRNDQGHINAPSLTSPSSNNNAMMPQADSHDQNQQFLTNLGLDLLSSPTSPAAGFRSSSLLRSLTEPAAVAKPLPGFQQYQQQQMMNQAPGNIREALQFSNNDTTFWNTSPGIGAVEGGASLGTARQSLRQSSPAGLTNKSALEGAGDSSSIIARKANNDPTSIKKAKTGAPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYIKFLHEQVGSLSAPYLKNRQQVPHLKVSREGSEAAAAKGDLTGRGLCLVPISSTFAVASETPVDFWTPFGAAFR >Et_5A_041154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18819130:18827293:-1 gene:Et_5A_041154 transcript:Et_5A_041154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDGQWGGGSLDDLWMVRRPPQPATREAPALSGAASSISSISNSLKIRVLRYGRVQHFGHSSHVNVFDLKMTLHGTCQAFRFRCFASNGSGFDPDSANKIKIKGKKRKKDTGLEPRTGRENRSGKQVVDKQFLEKVEAVRRSALEKKKEQENKNYQAIDYDAPIESDKSTIGFGTRVGIGVAVVVFGLVFAFGDFLPNGSISPSKESTVVKQNLSKEEEAKFKSELEGFEATLSKSPNDPTALEGAAVSLVELGEYEKASTYLEKLVKVIPDKAEAYRLLGEVKFELKDYEGSSSSYKNALSSSDKIDFDVLRGLTNSLLAAKKPDQAVEVILSCRRKLNENSQTQGADPANESDALKPQDIDPIQVDLLLGKAYSDWGHISDAVSVYDQLINEHPEDFRPYLAKGIILKENGKSGEAERMFIQAKFFAPEAAKALVDRYAQ >Et_4A_035128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9834472:9835444:1 gene:Et_4A_035128 transcript:Et_4A_035128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVETQARAFVDDVRGGLEQKNWMLDLGHPLLNRIAESFVKAAGIGAAQAVARESYFMAIDGEGGSVSGSTGSRKRTFPDLNGTNGGKSAEAMVKNVSKESLQWGLAAGVHSGLTYGLTEVRGTHDWRNSAVAGAITGAAVALTSDRTSHEQVVQCAIVGAALSTAANVLSGVF >Et_3A_026907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25774124:25774951:-1 gene:Et_3A_026907 transcript:Et_3A_026907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGSMAWPPATCWWLVLVVGLTAVVSSSEAYVFYAGGRDGWCVDPTESYNHWAERNRFQVNDTIVFTYDEAANSVLLVTEPDFDACNTRNPVRRLEAAAAGGSVFRFDRSGPFFFISGDEDRCQKGQKLYIIVMAPRPMNWAPAVAPAPAGHSQEMAFPPPVSTAPPPFWAASAPEYAQAPGPGAIGGEVTSLSSSMGAPPPTAAATRLDEAIAGAVFGVLGALVLCAL >Et_1B_014018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27603112:27607855:1 gene:Et_1B_014018 transcript:Et_1B_014018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLPPGLSFKPEDDELVKLYLLPRARGQPAKISGVVIEDDATSAAVPPWDLLTRHGRTTKNNDVCFFERRAVGDDDDGGARQDRRCGGSWTWVSQRRTPDKVLRLPSGEEVSWNRRDLNLHRGRGRSGSTGWVMHEYTITSPPCPFPVKLCHVAFTGHGQKRQRVPDGVHASSPSGHERATSSSPASEASTISFADQELRREEYQEPGGQETCAGQEEPSVVASLIPAGQELGGPLAHDPPQQPQDLDLEAYCALLASDDGLQLHQQMSHLLGGLPANAETAACHAAHIQMPPPQLLQQEPGTMQPPMDHGSPMPWDDLDAFCSVPQPEDNRDMAAGTPAGDGATSFWDWDWDGQQGCKAVGSLLEQPSAAVVERALMPH >Et_2B_021787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5439877:5441712:1 gene:Et_2B_021787 transcript:Et_2B_021787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPVPVPNHDLALKDARHELGRLMAITTDPQVLGDAHGVAGPGAQQPEGDGQLLAVVEQRRLLLLLLRHVVQHVPRVGHVPLGPDPAHHVPGRGVHLRRLRAAQAPTPELADLAGDLHHGPPGFLGHVPLVHQPPDPGHVLPPAGAGEEVGQQHVAAAVLGIQLDDAPPDRLAQVDVTVYLARSEQRAEDADVRLHWHVVDHLLGFVQQPCSSIQVHHAAVVLHLGLDAVSLNNWRPSLTIPACAQAVSTFTSVTSSGATPPSRSIRPNSLTASSPRPCTANPPIMQFQDVSPFTGSASNTRHASSTHPHLQYMSTTAVASFSSISIPLRSTHRCTSLPRASAPAPVHATTALAATNPFPSTPRARISSNTSTASRNRPAFTYPEIIAFQEMRFLSGIRSNTSRASSTSPSLHSPPTIVVHDTTSRVPDELGGEVGAGVGEVGGEERVDGLRVEVEAELDEQSVELGDEGERRGGEDAREGEVVGRQRQGEHDAEEPRGVARREGSEERVEERRVRVRVVRQEAGRVGEVRGGVAREEGEEAARVGRVGGEAEADELRVVLSQLSNGVQRDGSRDRAGGGGRHGRSSEKEAEQRSLGIRPR >Et_1B_012389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31484908:31489265:1 gene:Et_1B_012389 transcript:Et_1B_012389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKVAVPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDSKPMLRNGETGDWIGTFEGHKGAVWSACLDTNALRAASGSADFSAKVWDALTGDQLHSFEHKHIVRACAFSEDTHMLLTGGLEKTLRIFDLNRPDAAPREIDKSPGSVRIVTWLHSDQTILSSCTDMGGVRLWDVRSGKIVQTLETNAPVTSAEVSQDGRFITTTDGSSVKFWDANHFGLVKNYNMPCPVESASLEPKYGNKFVTGGEDMWVRVFDFFTGEEIACNKGHHGPVHCVRFTPVGESYASGSEDGTIRIWQLGPANNDEQEVQNSNGKMKVGVNDVARKIEGFHIPKDGQVEA >Et_5B_045425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2226960:2228719:-1 gene:Et_5B_045425 transcript:Et_5B_045425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSAGAPPLHLSVTLLLLLLLAADPRGATAAPNTAALSVLCNGAVYGAGDPFAASLASSFHASGTPGRHQWLQSALCPAGNLDDGPTLYTDGWPSLLCAVISVTFSTWILDDVSKAGFSIPGHWCSEILDVFSSGDVSVAQPAPLFVALPYCVHRSLVGVLYAHVQTQLLAVLESDRDTGTYCCRSLSWFHQCPELNTRTSASFHRGARGSMADTWTVDATRFGARRGGRPRRTRYGER >Et_4B_037743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23044296:23044840:1 gene:Et_4B_037743 transcript:Et_4B_037743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSVLVNVMVMFLFCVIFGSLALSAQCRPQQVDAESFIPTTANTTSFDEGKINIVLCSKKKCSYFSPDKQDCYCCPDGSRKEFCHLTMEECKDNCRACLPECASKLPVQSATEGRPLDATTNATSYK >Et_9A_063065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9565502:9567824:-1 gene:Et_9A_063065 transcript:Et_9A_063065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFLKVFAWEPFSYIKHIATICNKTRILRFFAWEPFRYIKHIATSEKERSTGRFGRNNTEIFETGPEQLQNSPESSSYIKRIATSEKERSPVTSNTSQQVKSSDPRVVLAETTQKYLKRVPNSSRTAPDKKRSPGRFGRNNTEITETENNTEIFETGPEQLQNSPV >Et_9A_062461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23166795:23171282:-1 gene:Et_9A_062461 transcript:Et_9A_062461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNCSDVRVKDMSCGPGHGISIGSLGKNRTADMVEKVKVDTCLLTNTTNGVRIKSWQGGMGFARDLRFESILMKNVSNPIIIDQYYCDQPTPCANQTQAVEVRKVEFVDIRGTSATKQAINIACSDTVPCRQLELKNVNLTLVGGGQTSAFCYRASGKSAGALLLVRPLRSAMAPRAVLLLLLAGVVAAFLPGAVESRILLTLDDFGAVGDGIADDTKAFAAAWNATCTAADNVILNVPAGKSFQIWPLTLSGPCSNEIKLLISGNIVAPEDPADWGSGDQSQWLHFHMVKDLKVTGGGIIDGRGQQWWAQCQQEKKLDREHHRDRHHDDNDHHHDKKMVHFEDCKGISVRGITLQNSPQHHLTFTRSSNVEANYLRVTSPADSPNTNGVHLVDSYNVHIMDNLLSTGDDCVSIVGNCTDVRLRALSCGPGHGISIGTLGENNSTDYVEKIKIDTLFISNAENGVRIRTANKMGGGFARKVKFESIVMRNVKNPIIIEQERSHHLSDSSSDDKNATEPRAVLVEKINYIDITGTSGSKHAVTFSCSDVMPCRHLKLKNVNLTRVDGHKVKSYCRKAFGKTVGTVIPESCLMKEDFVQQVPGRFEDNDEEKEEY >Et_10B_003285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18487385:18491333:1 gene:Et_10B_003285 transcript:Et_10B_003285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFAVPSPQSSVTVGSAESTAAAPAARAPNGVASPPASCISSMIDRGDTESHRLFLARRTVLEMLRDRGYSVQEHELARTLPEFRAWWADKPDLERLSITSTLASDHSNKVKVIFCPPEPVKKATIREIYNGIKEENLSRLILVLQGKIMSQARESLKDIFTFKVDTFQITELLVNNTKHVLKPKHVVLTEEEKTKLLKEYNVQDSQLPRMLETDAVARYYGLGKGTVVKVIYDSELTGNHVHKSAHLLILEGMFSDDMITTGISRRDDDS >Et_5B_044997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8073104:8074271:-1 gene:Et_5B_044997 transcript:Et_5B_044997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMATTASSPLKSSFAGARLPSALRSPSSVAVATPRAAAGPICASISSPSNPPYDLTSFKFSPIKESIVSREMTRRYMTDMITHADTDVVIVGAGSAGLSCAYELSKDPSISIAIVEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELDIAYDEAEDYVVIKHAALFTSTVMSRLLARPNVKLFNAVAVEDLIVKQGRVGGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLQDIGMIDAVPGMKALDMNTAEDEIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGRPNAVDGTLQNVSPALREEFVLASKDDEVVEA >Et_4A_033640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25260854:25262891:-1 gene:Et_4A_033640 transcript:Et_4A_033640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAANLTQEQITALKEVFNFFDKNGDGYITSEELGSVMSSLGQNLRYSELQDMIKVVDADCNGTVEFSEFLNLMAYKLKDPDSEEELREAFKLFDTDQNGYISAAELRQVMANLGEKLTDKEVEEMIQDADKDGDGLVSYEEFKRMMLGQ >Et_7B_053635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10501527:10507699:-1 gene:Et_7B_053635 transcript:Et_7B_053635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSPELRLLGALTRPGRIAPSPLAALASPRRRRRAPSPSPSPSPSDSTPSTAPESASSVAADGLEGPQWKTVSAKRFGIKESMIPDEAWNVLHRLRSRGYDVYLVGGCVRDLIMKKTPKDFDIITTADLRQVKDTFAGSAVIVGRRFPICHVHDNNSIVEVSSFNTSARGSGGSQIYSAKSQNCSKNDFLRWKNCQGRDFTINGLMFNPYSEKIYDYLGGIEDIKKAKVRTVIPAGTSFQEDCARILRAIRIAARLGFSFPKETAYYVRTLGCSVARLDKGRILMEINYMLAYGSAEASLRLLWRFGLLEHLLPFQAAYFSSTRFKRKDKGSNMLLVLFSKLDNFLAPNRPCHNSLWISILAFHEALARQPHDPLVVATFALAFYLGGDMSLAVEIGKSITRQHDASFRELLEPQVWNDKRLAAEVQNFAALMKRTLTEMTDEYHVSNAMAKIPQAPSSDLVFIPLQAYLKVLRLIECVQYGKKERGYVPKSDGKINYHNLSYGTSAEIANLFTLAVFDTLYPTNMEDKHDSSS >Et_7A_052645.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:10285494:10286201:-1 gene:Et_7A_052645 transcript:Et_7A_052645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKSPWYQRAVEVLLLIWKQPSGAATAPTKAAAASGVTAKGQGGGGPGKLRKSSSLNVAASFTRVCLCAPISSYNSESLYCFPADASAPRRSYSYPRASSASASGCGPGVSPLVAPPPAAAEKQQRGRPSTGGGGKAKAAGGERRVFRGKSLTDDMLMRRFVVDEEATRRRNEMEVIRRRHAAAAKRRRLGPSPLRRMALALAESESEADEEAEAEAARVEETDKPVAAAAVA >Et_4A_035429.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24430871:24431599:-1 gene:Et_4A_035429 transcript:Et_4A_035429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESDKATSSVHGERRRHVLLFPLPYQGHINPMFRLAGVLHSRGFAVTVFHTNFNAPDPARHPRYRFVPVPDDGTTSGSPGPDGVKDVVAHVIAVGQCGCETRLPDGFEAATRGRGVTVEWAPQEDVLRHRAVGGFWTHNGWNSTTESVCEGVPMLCRPCFGDQSGNARYVEHVWRVGFELRGELERGSVEAAIRRLMTEKDGAEMRARAGELKEAAAECAGVGGSSCLAIDNLVAHMMSL >Et_7B_053680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1174883:1180361:-1 gene:Et_7B_053680 transcript:Et_7B_053680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLPLPQGFSFLKSVGWFDDRKVDSAARQQLSPTLKLQTDKEVYRPGDSVTVTIEIFSPAGLKDDVGQTASGEDVPSLLLDSLSFELKGIEKLDSQWFSVPKPLPGSKQRRDIVRTELPKILPPSYRGISIRYIYYIRSALFGRSIVLGNGDQNKVPNNSAIQLEARVPLQIRVSQKSSTVLSEEGTLPFSVNQLAIFWREKDDDSEWNKANDNTDLEEGYDSSKDEVSSVSSYNPSKANPEFSLRNSLSMQSLSSRLSTSDPLYNQGEPPSFPMYSPIPRLSVSEISDDPDGGLVSPQKKLNRLLSDPPSNGQSFSPDSDRPKDDVGLPLTPKHVESAGSEGFSRGRSYNIRINDQVLLRFSPKNSDSTYYFGDMIGGALTFFHGTGKRRCLEVSITLETSETINPRALHPSRRSSPTITKLHSEHHEVVVDLHQTSFLFSIPIDGPMSFSTSKVSVQWSLRFEFFTTPEGTDSARYEHPLLVEKREKGEWVLPLTVYAPPLRRRATHGRNDRSVTLGNIFSS >Et_2B_021900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6718116:6722948:1 gene:Et_2B_021900 transcript:Et_2B_021900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPEPSGRRAADGEGKPHGVVLIVLPDGAHGGPSSSSFAGEEAAVAFLDSDAAEERPRAAFSLRRAAGAVLALAVAGYFCLYAGADAAWQFLAAPEAGGGRGASSFVLPLHAKVRNSTAAVRGIAFQERQYYTTISIGNPPRPYFLDVDTGSDLTWIQCDAPCSNCSKQGNLLASLETTDGILGLSSASISLPAQLASQGIISNVFGHCIAPDPSVGGYLFLGDDYVPKWGMTWAPIRNGPGNAYSAEVHKLILGDQQLGVRGQAGKLARVIFDSGSTYTYFPHEAYTDLIAALKDSSPRFIQDYSDKTLPFCMKADFPVRSVDDVKQLFKPLSLQFKNRLFIFPRIFTVLPEDYLIISDKGNVCLGVLDGTKIGYGSAIVLGDVSLRGKLVAYDNDKNRIGWALSDCSRPQEQRRIPFFLRRSLPNQLLRATNSIRSEANVAKDKALALSYVLDSSSSGGTFG >Et_4B_037255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17203209:17205211:-1 gene:Et_4B_037255 transcript:Et_4B_037255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGVGADEGYGPTWDSDDEYDNFIRKMNPPRIVVDNDSCADATIVKVDSANEYGILLEVIQVLIDLNLVIGKAYITSDGGWFMDVFNVTDKEGKKLKDKATIAKIEDYIRKSLGADSRYIPSRRRSVGVAAATDHNVIELTGTDRPGLLSEVSAVLASLKCNVVSAEIWTHNTRAAAVMRVTDEDTGSAVTDTERLERIKERLSYLLRGGNLSRGATMAMSSGAGTTHTERRLHQMMLDDGDYEQLQRHSPDQSQRPNVTVRNWNDKDYSVVTIRCKDRPKLLFDTVCTLTDLQYVVFHANIDAKDNQSYQEFYVRHVNGSPMNTETERLRVVQCLEAAIQRRVSEGVKLELCTNDKVGLLSEVTRIFRENSLTVTRAEVSTRGRMAVNTFYVRGSAGEAVDQKTIDSIRQAIGQNVEVKGQPEPPEPQKKDSPTWFLFANLFRPRSLYSFGLFGP >Et_1B_013234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6609791:6611692:1 gene:Et_1B_013234 transcript:Et_1B_013234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLFNLPLPPSTMQFPAPSASSAVSAGAWASSSSHPAVLLSDLCPRHTARALKHAAACSKGYRRFEAICTKKNIMPIMSQQSKFFEAEMMLRDCDDLNQYGVVNDTMYACYIEKAREEMFASFGFCMSSIARTGRAMAVSEFDFKYFAPLKRGDKFVIMVRIAQIKGVRTLIEYFIEALPERKLVLEATATAVCLNKDYRPTRVYPEMLEFLSGPRNDPGKLP >Et_2A_015350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11483168:11488531:1 gene:Et_2A_015350 transcript:Et_2A_015350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPPPAPAALLSRFSSPLAPPPRLFLLSGPRAPAARRSAPASAVARSISVSVEAPAAAAETASATAAPRRRLILLRHGESTAGGRSTRDHDRPLSKSGRADAISVSDKLQQMGWIPELILCSDATRTKETLKVLQEHVQGLSEAVVHFIPSFYSIAAMDGQTAEHLQKAICEYCSDDILTVMCMGHNKGWEEAASMFSGDSVVLKTCNAALLEAVGKSWVEAFSLAGLGGWKLHGIVTFYIAGKRDLIA >Et_2A_018505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30685260:30685682:-1 gene:Et_2A_018505 transcript:Et_2A_018505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNELRAKMHVLAVDDDSVSLMLIEKQLQHFKYKVTAVNHAKTALEMLRARRDAEDQFDLVITDVHMPDMDAFKLLELIGLEIDIPVISNILQPLIKLTYHFIRIHVV >Et_10B_002544.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:14942902:14943102:-1 gene:Et_10B_002544 transcript:Et_10B_002544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGIWPLRSSDPRSSIMTRDAYLLLQVTPGQLQNGRELFHEAKGPTGFERWSLRQRSASESLLGS >Et_2B_022690.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:30478097:30480808:-1 gene:Et_2B_022690 transcript:Et_2B_022690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEDFFTLTEMKDGISTVARIGELISEIQKLKNAAGLNKADMTRQCLTAASTLASTKNEECLQHFVQLNGVAFLNQWLLDAQNCGEDGTSAAEGLIVEILTALECLPIDNEQSTSDRVMPTVRHLLVHGNAKINQKARALCQKWSTIPKYGTNGQYFDSKEACQTDRPKLPEVSQETELDKKSGAGEAGSSVEESKPEVLTRSDAPLPDPSLTNDNADAIKQPLLPTSTSSSNGNATLGAVSSLGSSPACHVGPEIASITKETSASSDVGLVSNGMLPSNSIDAKNGTGQDAAGDVTTASLSVEPNVSSKMDLEDSIVSTSLSIKKSEPFASDRLHSEKDTTETLNKLANTIRDLQDMSEESTGKEEAPTSSSSSDGMSTGNEFMLKRCMNSFGDSSKATEAKATVLKGEKSGQEADYDDTDALEVARLVAIEVEREVKDYRGAFCGSPDISSRNADSPDLEARRLPEPVVDELNDNKSSSTGVDSGSSSSLKEDGSGITDGSGPFSRKHTRNGNLGGFDLNENQCAEETDCNPKSILSNSVNLSTPIAVAASRGSSVFPSRLHFKGELGWKGSAATSAFRPASPRRTPDAEKSLSASSHKTSNMLFDLNVVDSDSATSGELLSTAILAASSDLASKDASGAVGMSGGLKLDLNFSCGDEEDALTASNVPPLWNRQHFNGNWSQPSSSSSSRQPAVRNFDLNDNMSNTDGSARGTDGSFVKTSTSSVTIMGKRIIVGQKEHGHQQQHNFLGPSAESRVPGRPVQSYAHMPDYGFVSYPSQPAVPFPPAFYAPGGVPYLVDAKGTPVIPPLPGLGLGVSHPSFSSRATPPSTELSYYHPSMGFNYGIPSEVAHREAGNYWPVPFQGQTILVDERTRNMSQGGSSGLLPKRKEPDSGWDLFPRR >Et_10A_001249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22608584:22612424:1 gene:Et_10A_001249 transcript:Et_10A_001249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAAASRLLLRRAPSPSHFNAAPTAAAAYALLRHARPFSPPPPPPRRPGQADAELEVTPAEARRLVRLLGVEALKQRLRDGREEVVGYDELLDACVEAGAARTHAEAEALARAMDDAGVLLLFRDKAYLHPEKVVDLVRRAVPLALESENDPRKEEFKRLQERKEEIDKLAHKQVRRILWCGLGFFICQVGLFFRLTFWEFSWDVMEPVAFFTTASGLLVGYAYFLITSRDPTYQDFMERLFSSRQRKLCAMQKFDMERSSVGVLWRVIRRYGMESLERQQTQGEDPSSRAIASLNKGNMESKRVLPLLYDER >Et_3B_029862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28608908:28614324:-1 gene:Et_3B_029862 transcript:Et_3B_029862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCIERLGAIESSMDQYEVMEQIGRGAFGAAILVNHKIEKKKYVLKKIRLARQNERCRKSAHQEMALIARMQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKANGTYFPEEKLLKWFAQLVLAVDYLHSNFVLHRDLKCSNIFLTKEHDIRLGDFGLAKTLKADDLASSVVGTPNYMCPELLTDIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSCIGPLPTCYSSSMKALIKSLLRKSPEHRPTASEILKNPYLQPYVNQYRPFADILHPGRSPEKSITSSRSSQRSMSGSQCSSISGSDMDSLQSSERNTSGVASSSNNTIDTEGAENIQRRKGTPGPELERQDSSKSIHINQHPKNETKQPKIIKKILTTLREESKFRESNSPIRASRVKLNSPSDRERLSDDSKHSDNSSSSKSSEVTSHESAKVSCEPVKRGQASPPLKHLSPIIEYSPKVKIKMDEPLHPDPSKQIIGNVDVAVGKAKNKTLPNFIRCPSVPARRQGAVSPSRQYTVTKRAHYKVMAEHVKSPSRPVHGPDDAHVEFPGFPMIPPSHLEGIHKKSGNSRAKSAPPKAVASKEDSNACSSSVVGHAENINQSEPDSPTYLVSSCRCSVPDTTMQKQGSTIKPISDVNSTNLQKEMASNDDISQSSTMEPCSDIIEQEFVCKDDMSSSKVGQCSDTVTIQSDEDKFTVQELLSSVPDAAFFVPTTTKSIPPDEGSNPLQLLQKSSAPHFDSPPVEDVIHVIRQNNFHASGEQTVKERTETVVQSTGVGELLNMVKEEMPVRSIQPNLSSSELTGPATVKPHASEASTIITDVGTLPTIPEVNFCALENNNGYKEETAPAKEILDVTSFRQRAEALEGLLELSAELLEHSRLEELAVVLKPFGKAKVSPRETAIWLARSFKGMMSDEASRSST >Et_3A_026933.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2749957:2750613:1 gene:Et_3A_026933 transcript:Et_3A_026933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEAHRYYRGNCWSMIAKHLPGRSENGIKNHWNATKRSLNANRRNKKKSEQVPLGQLSILEEYIRSISQLNDTVPASPPPSSASPPYNLAYNSLLNPFGHTAAGSSDPKMINLNMPPSSPDMNVVSDPQLNYPMYVPAPAPLLQQESGHQDPQQAYSSLNMFPYSEYFALLRSDTGGFHAAASSNNPSTDGYYKDAECGNADGSGGSAGFERIFDAL >Et_4A_034445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32542557:32543805:-1 gene:Et_4A_034445 transcript:Et_4A_034445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGMSRGALDDVIRRLVDARRWKQLAEGEIRQLCAAAKDVFMRQPNLLELDAPINICGDVHGQYGDLLRILDRGGFPPRSRYLFLGDYVDRGERSIETACLLLAYKVRWPESVFLLRGNHECSSINRIYGFYDECKRRYSVRLWRSFTDCFNCLPVAAIVDGRVFCVHGGISPPLLRGGGGTLLQDQIRALPRPTDVPDEGLLCGRASSCATASHRRRDDGALTQSLS >Et_3B_029937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29203651:29206366:1 gene:Et_3B_029937 transcript:Et_3B_029937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIARFFFGVSGNVIALFLFLSPVVTFWRIIRKRSTEDFSGVPYNMTLLNCLLSAWYGLPFISPNNILVSTINGTGSVIEAIYVVIFLIFAERKIRLRMLGLLGIVTSIFTVVVLVSLLALHGNGRKIFCGLAATIFSICMYASPLSIMRLVIKTKSVEFMPFLLSLSVFLCGTSWFIYGLLGLDPFIYIPNGCGSFLGFVQLILYAIYRNHKGAPAPAGKGEAADEVEEAKKAAAAVELADAKTNKVADTVVDDKVASQV >Et_7B_054272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1885719:1904940:1 gene:Et_7B_054272 transcript:Et_7B_054272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAKIAIKMSLQEDRGNRESSITDEPGSTAGAYEAADLEMGSMSYFLEDIQKERPVRFSSQQLRAFTRNYAHKVGSGGFGVVYKGRFPDGAPVAVKVLNSTLGKRAEEQFMAEVGTIGRTYHINLVRLYGFCFDATVKALVYEYMENGSLDGYLFDPSPEKKVVGFDKLHEIAVGTAKALRYLHEECAQRIIHYDIKPENVLLGAGLAPKVSDFGLARLCDREDTHLTITGARGTPGYAAPELWMPLPVTHKCDVYSYGMLLFEMLGRRRNLELGGLHARRESQEWYPRWVWHRFEAGDTDAVLARAAAAGEKKEREKAERVCMVALWCVQYRPEDRPSMGSVVRMLEGEDQIAAPRNPFAHLSSGATLLQSGDTTTADSYGSSGHWKGFTGGTMAMSPFSMRSTLLMVGRAAGWNCTHHSATLHIISAFSLSASSMPASSRMVSISPCSYLSHTHRGNHSPLSPFPASISNSTIPKLYTSHFSVAGIAIHSSGAAYPGVPRPPPVSVDMCVFSRSPSRASPKSATFGVKSADVGRLDVVVHDLLLALLVQVPDPLRRADGDAVQRAPLQLLLSTAFLVIAVEVLVERAVLQELVHQRLGVRVEAEAVEPDEVHVVRPADGADLRHEPLLDLLVHGLLQDLHRHGQAVGQLALVHDAEAAGPQLRGVVPGARRQLLGAETHRPLVEDLHLASGGAATTAQHAQLSPVAIVILAVAATLAVAAIAAFGCAQGAKKPPRHNNNVYYYGQGYPPPPPAGAYGYPAQQPAGYAYPPQQQSNGRTGRSGLGAGAGLAVGAVGGLAAGADMSRSWISHGTPIVRLEELLQNGVHAGPPVGIFVDARERQLQHHRGLQQAEVATQPRIQVLLQPRRRLLRLTPELLPEPGDQPLEVHARVVLAVHHPVADALPAEQLQEHDAEAVHVGFPGDGQVPGPLRRDVPTGSPHLRRHQKPAARVPAEQLDQPEVGDLGLQIVAEKDVLGLHVAVQDPLEALVVEVGQALGDAHGDLVPRPPAQHAHADLLAFAVVHLEEGLGERAVLDVLGDEELVPVLGAVAPHPDEVHVVDPPDDAQLRAEHLVGLRLLLETLDGDDAAVVQEALVHGSRRALPELVAELVRGVRELLVGEPPEVAEQQRVAFLVADPRGGGVGAEDEEVPDRHEDGLHDEHHREEPVEVGKVDLAAPDLQVGGAHVGFPFLHGAGVAVGFWHGRLQVRDPRRHLEVDGLLGVRDLAVPHGDLGEALPGSLLVPERLAVAVVQANLLAPLEREPRGVVEVEAVEVDGRHLVEPDLVVAPELELPPAPFAPVGWVARHVAVGARARQRRLVRADAVAAAHAVHVALARHGDPRDAPAAVAFVEAVHPEVAVVVQRQAPHDDRPVAHVGGVEHRVVGGHEDARPVEAPVPAAVVRRPVVLPPQVVGRRPVVAADLRPVVHQVQDVVAVEKPEYGRPLADADTRRLYRVMGCPGRSVSVGHSKLCHSLRPPASATTRLPVSSSCTRSACVPLVLVLHTTCPSKSWITRDPSLRNSARDRRPLTGASRLAIHTTVASAERVNQMANVYALVATLWKPQEKLPPGDTSTWLEASSTAMESPGHRMLWLAPAESAGGEFKAAARRKTE >Et_2B_020147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17339639:17345367:1 gene:Et_2B_020147 transcript:Et_2B_020147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGAAAAAPHRGAVVAGTVEEQQAAGVGILLQISMLVLSFVLGHLLRRRKFYYLPEASASLLIGMIVGGLANISNTQKSTSYLGGLMYIVYRLPLVECMMFGALVSATDPVTVLSIFQELGTDTNLYALVFGESVLNDADYGIYEDEFFWPKFYPRYFEYAALGTEKYMLAEGLGLSGIVSILFTGIVMKRYTFCNLSKDSQCFTARFFHLLSSLAEAFVFIYMGFDITMERQSWSHIGFIFFSIIFILLARTANVFSCAYILNLARPPHCQIPRQYQLALWYSGLRGAMAFALALQSVHDLPDGHGETIFTATTSIVVLTVLFIGGSTGTMLEALQVVGDSNRYHHLYEENSDGNSTDFMGQNYDEGASTSSKFKLKLRELRRSTSSFALLDKNYLTPIFTSQNGDNDEDEEDPSKRTQSSFCFLLYMLEYAPP >Et_7B_053264.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:18107219:18108922:1 gene:Et_7B_053264 transcript:Et_7B_053264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLPTSITKATSLHMLVLDGCDELENVALPSGFPSSLRSFSFDGYGPPFHLTLTVDLPPINSSSKHPSDANKSDVKTLKISLHGCKQLENLFLRGLPNLIELDLSGSAIKILDFNTMVVDVPNLKRLFLLGCEHLHAVNWVRPYFSVEQPKLELLCIDTRPLWSIGCERPCVAKTESFQLQVHAILADIRLGRSLWEPVHLLKDQLKRVYLNIHITNSAVYGDFVQVEATNSEMPGPGIQLNFVLAKPYDDVVTKIGHASSPVQAFPPSPTPQSDRHIEICGGSDIIESEMKRSRQSISWLIKQHANSLNVHDISTISRLPDGLWYRLKWCCVARCPRLDTVFPYYSEVKPNQLETVWASDLLMILHIWSKSSEERSPSFGNLQHLHLESCPRLQFVLPVWVHSFPNLRTLHIIHCGNLGHVFVLDGWYPEEISVHGVRFPKLTTVHLFDLPKLQRICEVKMLAPALETVRIRGCFGLRRLPALEDREPGMKKLAVEMEKDVWDALEWDGLAAGHHPDLFGPPVHSRYYKRRLLKGTVLRYVCAYVFCTFQMISTFVRTATGFQLS >Et_3A_026475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8531163:8552406:1 gene:Et_3A_026475 transcript:Et_3A_026475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVWRGIELVKRSIQTMSTSCQVDDGPAILQLCRWTISQPRLKLSTFREAFISPTRRLFGLLSDDGDLVLATAEVNPSQVESLTALPDTCSHAVFELFPSIPRVKSLAWGYCSLASSQLDEPTFKELLVVSSDASITVHAFLHSYKSIQTVNSASDTKELHGEWKEWSPAESSMLECGVSGPKNRFRSFMTTVTASVSNGKYQAIFPAKYLLPHSAEVVSFSIYEITMSFMKFWYSRHLPRTRMENISESPHGYLIGLVLTPNESASCEGHQYSEKCILVAVLELHQWGIQWNFVADLQNVVDDGGPIPKWVDFQLSDMFLACLSTTGFIAIWNLKTGGFTTSFNVLQKCRTDIETSLRSNVPIVTNLNDESDSFGNHVGRMFKRLVLASHCLLLAVVDEVGVVYMFDADDILNYKSTLHDNMDLPSMGYFPDSFSAWEAAGHEIGSLSFCTHQSIQQGSLRPTELVPEFSVKNDAGIVRPWKRRRQSKYNGNKVDSWPSGFGTAQMKVGVAHPDTMTTSSSLRRVLLSPYRLHEEAIVLSPLGLTRIFRGSDGDGNKHTKIVHSELLMHSSFLDERDINVGLMNNKLPFQKESSFVGDSVVCSFQGYLYLITQDSLCVVLPSVSVSPFSSHGDAIKFWKPGFADGRTCNALNLLSVNRYETRWKSWQKEVLDRALLYEGPALADRLCWENGWDLKVSRLRWLQLALHYSMVGDLEQSLNMLAEVNLAEEGVLQLLLASVHRILCRTGGDNEVAVSSKLLVLAVRFATRMIKSYGLWKQNIDNPDNSVKLHEMSFLLRVIRSIQCRVSAKNQSSVRMGDDKSSSKVVTEILQNDSSLPVVVVDGVPSGPLDGLAHDNQESVELVPDSNGQLALSPVESSLNASKFHDIGSNEGAVQIGRQNIQCNITDMINRWETNNLDLKTVVREALQSGRLPLAVLQLQLLRQRELVSNEDSEDAFSEVHEIGRSIVYDLLMKGETGLAVATLERLGDDIESDLRQLMQGTVRRSLRVQIADEMKKRGYMRSNEWKMLETITLIERFYPSSSFWDTYLGRENVIRDAAKIVTLPGEDKPVLALHIYNHPLIECGDVDGAVLGSWVNVDEYSDYREFAVSNISDGYWACAAIWSDAWDQRTVDRILLDQPYHMHAHVQWKSQFEYFVAHNDVGQVSELLDVIPDSVLREGILRVNVAGSQAAEKTVADVTVPDYNIYIYDSEELEPVCLEIPHVKIFRSMSSPESTSYIQMLMQQELAEKHIFLKEYWQSITEIIPVLARSGTVIKVSPKKECYMTSSTSGMPDDARHLSYDGALHALVIRFCVQYNLAYLLDLYLDNSNLALEKDCIPLLKDAAGDCKWAQWLLFSRVKACEYESSFSNAHWNLSRKLVNSGNLTAVEIDEMLYTVDDMAERIGEMSALATLMYASAPMQKSICAGSVNQNRGLSSQCTLENLGPCIQQFPTLWKTLLSACFGPDGYGCLNYSPANVFGKSSISEYLRWRYSIFSSAGGDTSLLQMLPRWFPKSVRRLIQLFEQGPFGMQLLSNVPSPEELFTHNVTEYIYNSTGYSEPNALSLEASIQKSVEEELYSSLEEKDVRVEHHLHRGRALAAFRHLLFKRASQLKSANARQVISAQSNVQADVQLILAPLSQAERSILLSVAPLAITNFEDSTLVASCMFLLELCGLCANMLRLDIAALRRISSYYKSVQQNEQSELSSAMSTELHVHSHGADVAPALARALAEDYVQSDHLHVLDQNQTSRTPRRAPSQPLIAILQHLEKASLPSLDEGRTCGFWLLTGVGDASVYRSQQIEASQHWNLVTEFCQAHRLPLSTKYLALLANDNDWVGFLTEAQTTGFPIEVVIEVAAKEIRDSRLRTHILTVLKNMMSFRRKPSGNSDLSFMDVDGNNPMELFCILGVCEKQKNPGEALLNKARQMQWSLLAMIASCFPDVTPLSCLSVWLEITAAREMSSIKMDDISSKIAKNVESAVEATNKLATTSRNVEFRYNRKNPKRRRFLEAAPDRFTLGSSTDLIGGPNSAATSNPADVGACNGKEKSISEEPIIPVDIDERLASLSSMVAVLCEQQLFLPLLRAFEMFLPSCSLLSFIRSLQAFSQMRLSEAAAHLASFSTRIKDEASHTQQNSSKEAAVISGWAVVTAVKAADAVLSTCPSIYEKRCLLQLLAEVDFADGGTSSSNFRRRYWKINLSEPSLFKDGDIYKWNDSMDDASLLTALEKDGLWEQARTWATQLESSDIAWESTLDHVTESQAEAMVAEWKEFLWDIPQERAALWGHCQSLFIKYSFPPLQAGLFFLKHAEAVGKELPARELHEILLLSLQWLSGTMTKSSPVYPIHLLREIETRVWLLAVESESQSSDSELAASAVVQNTAVGTSTSIIEQTADVITKIDSNMSSPRTKATERNGIKDSLPYQHHTHLFDSSSEASITTSNNTRTKKKAKTNIPLRRGVNDTVESRTNDLDNNFHSSKVSEQARNLLSEEEFARMEASLSGWEQNVRPVDMEKAVLSSLEFGQIAAAKQLQQKLSPSYIPEELVLVDVALRVANNSNNEGISFSCFDAEALSVLQSLDATSQSDMFDPSQIMEKLAMKCGEGRGRALIMRIIAVIRTAKVLGLPFSEAFEKQPIELLQLLSLKAQDSFDEAKFLVETHIMPASSIARILADSFLKGLLAAHRGGYLDSQKEEGPAPLLWRSSDFLKWAKLCPSEPEIGHALMRLVMTGHEVPHACEVELLILSHHFYMSSSCLDGVDVLVTFAANRVESYVSEGDFSCLARLITGVSNFHSLSFILSILVENGQLELLLQKYSATDSATGTPASVRGFRMAVITSLKQFNPNDDDALSMVYRHFDMKHEAASLLELRAEQCMNSWLARYDKERRNDELLEAMHHLVETAEVLSTIDAGQRTHRACARAALLSLQIRIPDLLWIGLSETKARRIFVEQSRFQEALIVAEAYNINQPMEWAPVFWNQMLKPDLIEQFVAEFVSVLPLQPPMLLELARFYRAEVAARGEQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDMRLRLQLATLATGFGDVLDICNRVLDKVPENAGPLILRKGHGGAYLPLM >Et_10B_003856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6872920:6882489:-1 gene:Et_10B_003856 transcript:Et_10B_003856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGVLHAGGRLLVPLRHSPGPTPWSHIRSHLISSRPPPPPPPRCPPTAAPSRLAGPTFVPPSRKTGVVGAGAGSGVVGWYLGLIDTRPVLTKGVTAAAIFTVADLSSQMLTFGPEDSLDLLRTLRMASYGLLISGPTLHFWFNFVSKVFPKKDVLNTFKKMFLGQAVYGPIINSVFFSYNAALQGETAPEIIARLKRDLVPTIKSGLIYWPLCDFITFKFVPVHLQPLVSNSFSFLWTIYITYMASLKKADVEVIWQEHQHLPGYGGSSPQAASASSVASEPVTEALCKGMVNKFWLQGLVHRTSRDHRARAHLCLRIRTADTSEDGKDLSQEVSWEYCFLAKRKSFGAAKKQEQQGWYVSNLGRSYGLPSVSADTDGILKM >Et_5A_040650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10827259:10831220:-1 gene:Et_5A_040650 transcript:Et_5A_040650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAGNIIASLGRVRLVDLQPTKASLQTITRYSLAQYSAAIIQLPPSDGALLRCGLDSARLFFHQRGYSSSEAVHSDGTREWCKTSGYSVDPQMWLELYDYRPGITVTESSGTMELPPSGLSDIFSVLGKVSRDILEAISFSLNLRSCAFTEILDNIPLRSQEVSSSVLSACCHSRPSFEGAHQHNIVSQDDGELLMFSDQDQQMDKTLLTLVKSDMSGLYVKDLHGRWILVDGDLGPHDVVVYPGLALYQETAGYVNPAVHKTEVGNLQGCMFGRCSLLFKLMPRSVARLSGSEMRAAGHGIDAQFQVPIPVNDFMQTDHSADQLFPKNSEPSSHAEQDSASFNSLMKKKKGSTRTKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNLKECESHIRSSDSPCENIRLEIGWPQGVPFVHPHDLPNKAKLGFLEAYEPGWTASQQEMELKSDAPPSPSAEE >Et_2B_020444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20261069:20268285:1 gene:Et_2B_020444 transcript:Et_2B_020444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAMEMARARPRGSCRPARRPSRSSATSTSSGTRSTIDADASRRAPRPGLLPAHGVPPRRGGVLAGLRQGVLHGARRGLRQPPAVPVPGLVAFDGAMLSTASYGPYWRNLRRVAALHLLSAHRVGCMSGAIAGEVRAMARRMSRAAAAGAPGGAARVQLKRRLFEVSLSVLMETIARTKTCRAEAANADDGDMSPEANEFKQIVDEIVPYLGTANLWNYLPVLQRFDVFGVRSKIRAVVSRRDAFLRRLIDAERRRMNDGSESEKKSMIAVLLSLQKTEPENLFGAGTETTSTTTEWAMSLLLNHPEALRKAQAEIDASVGTSRLLTADDVPRLGYLHCIISETLRLYPGALLPHESSADCTVGGYDVPRGTMLLVNVYAIHRDPAVWEDPDEFRPEPFEQDGTAAEGRLLMPFGMGRRKCPGETLAMRTVGLVLGTLVQCFDWETVDGAKVDMTENGGLTIPRAVPLEAICKPREAMRDQGGCITAFGWAGRDASGHLAPYSFSRRAQGDSDITIKVLFCGVCHTDLHVIKNEWGNAMYPVVPGHEVVGVVKDVGPGVTKFKPGDTVGVGYFVESCRACDTCSDGHENYCPKLVLASNGVDRDGATTQGGFSDVVVVSQDYVVRVPESLPLDGAAPLLCAGVTVYSPMVQYGLNAPGKHLGVVGLGGLGHMAVKFGKAFGMTVTVISSSPNKREEALDRLGADAFLSSRDPEEMKAAVGTMDGIIDTVSAGHPIVPLLELLKPMGQMVVVGAPSEPLQLPAYAVIAGGKRVAGNGVGSVADCQATLNFAAEHGITADVEVVGMDYVNEAIQRLERNDVRYRFVVDVAGSLGTSA >Et_4A_033668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25645209:25647688:1 gene:Et_4A_033668 transcript:Et_4A_033668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQADGQMPGDKTIGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGEEGDEGDEY >Et_7B_054528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22657621:22658536:1 gene:Et_7B_054528 transcript:Et_7B_054528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHGKEHKRGVPRPPPLSLYRTWEEEEAVKTPHRQSPLGRSSSMHRDMAASSNNNKKRLSKQLSMKETTREVKWEKRRRQIHRRRSSMGLSEADQDTAGTTTSSVVNAVVDGETAVRSSMERAAKGLTDADLDELRGSMELGFGFDEDKGGQNLCDTLPALDLYFAVNRQLSEPKMRWSTSSAPSLSATSSSSNLCGTPSPGSPSAQSNIMDSWKICSPGENPQLVKTRLRHWAQVVACSVKHSS >Et_3B_028061.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:7672414:7672449:1 gene:Et_3B_028061 transcript:Et_3B_028061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASVAGATLC >Et_1A_005049.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23497397:23497405:1 gene:Et_1A_005049 transcript:Et_1A_005049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MT >Et_4A_033201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:238389:239915:1 gene:Et_4A_033201 transcript:Et_4A_033201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGHIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTRTQTSAWCSTTRRSTTSASARSSSQPQAMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEEADLQD >Et_3B_031108.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16135737:16136213:1 gene:Et_3B_031108 transcript:Et_3B_031108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGVMSSTTVTTSPSSAGSDDGAFPAPPRAVPSSVTEERKRKRKESNRLSAQRSRARKQRQLDDLTAQVAALRARNGAMAAAAHDAARRCAAVQAENQLLRARSLELGARLESLLEMIQYMDAASASAAVYNPSPFAGGMQYLQPQLLDATAFNCY >Et_1B_010609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12066639:12067747:1 gene:Et_1B_010609 transcript:Et_1B_010609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVQLTPRGVQAGAVPSAIQPVLQVVEVRPITAKQTAASGNPSSERYRMMLSDGVHKQQAMLATAYNHLVRDGTIRAGTIVQLVDFICNAIHSRKIIIVMEPKILQSECAIIGSPRPYEAQSPAVVQTLT >Et_4A_035516.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27275978:27276665:1 gene:Et_4A_035516 transcript:Et_4A_035516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAPKLGQPIVFQADRSTVTRTVHLLDPTVQITPTHLSLARAPSKIFAIPPSPPLQVPHPEPPKSSSRIRIHRSNPSSSSRRRSSSLATFPMARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Et_1B_011652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24590791:24592791:1 gene:Et_1B_011652 transcript:Et_1B_011652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDFKSGFVLDRKEEQRFGWSVEPWSLEKRVDNEQPQPQPQPAAEGSQDAYRTHFVPCCTVPEGQPPWSEQPECRSRESYTKIPNDLCHNCKLPGHFARECPNVAVCHACGLPGQSGHIARNCTNDPVCNLCNVAGHLARQCPKADTLMERGGPPPFRGGGAPYHGGSAPFRGGGAPYHGGSAPFRGSYSDMVCRVCHQVGHMSRDCMTGAFMICHNCGGRGHMAYECPSGKLVD >Et_6B_049156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18836778:18839951:-1 gene:Et_6B_049156 transcript:Et_6B_049156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVSASLGPINSLLDKLPAELDFRDLRQSLEDLKQHLLRFSARGAVRNKLVQQWTQLLRELAYDVDDWMDSLLIHSWGRFKIKWWPSRQLARIQEFKDKIRNMLDCGASFGIINIIAVDHPGDDDELVSSSRPSLGWMMDDDHKPHLVGLDGPRSEIVQHLMDDEQTVKVVCILGAGGLGKTTLARDLFGRQRSQFDCGAFVQLGRTPSVVATLIDIARQVMPKSLFPCDEKLIARKLWEFLGSKRYFIVIEDIWCVSAWCNIRGVLPENNLGSRVLATTEFKHVANSCGVKSTDFIYLLKALSESDSRSLMLSRIYVSDEDCMVDSKIIQSLIRICSGIPLAIAVAGGLLAVQSVTLIGSGVLKKATLSPLKQDSSSQVMEKLLEISYADLPLHVKSCFLYLSTFQENKTIKKDRLIRRWICEGFIPASNEETSLEIGERCFSELAIRRLIQPVFDGNDDGPIGCSVHGMILDFISSLSGEENFVTLGSSLSHGSYPCDTVRRFTHDCNKQDETNALASNSVHLSRVRSFTVCGNAKERMPDLSAFKLLRVLDLEGTEILEYHQLKSIGNLPLLRYLGLQGTGIAKLPKQIMALENLNTLDLRRTMVTQLPTFRTLKLASLLVDRLHLQRGMGEMRCMEELSMVLVTPIRSAKDPSKIPVDGSDSLDDAAQLVSKSKKLRMLAVRFDSLFGGTKTYRQEGVMHFLDEVAKSSIQSLILHDYPCSLVDLLVDCWSCTMPDHLRKFELRIDGHLSKIPQKIATLLCVTHLHIKVYEVEAEGLHILGKLPNLILLCLISEFASQERLTITRNCFQCLKVFLYNCQHHGMGLQFEPGAMPQLQRLRISFEPLQTKVDYGDFSFGIQYLTCLAGVHAAISCRRATTLEVEAAKAAIREQVSEIPNTPILEFSREDELDTLNG >Et_7A_051684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22935669:22938170:-1 gene:Et_7A_051684 transcript:Et_7A_051684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERQYTEQEEALKIKSLRFIMAAYASYQDAAERDVKRYERSFKMLPPAHKEILSHLGQKYQRLRWCISMNAAFIMKMLEAFEPPFGMSRYVDDGVQDYPVKMHEQSHTDCTHLSEKGDCSNISTGNSNSPLHEQHDCPKEDAKIHESSEEMESKNVRPV >Et_8B_060861.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:9726574:9726924:1 gene:Et_8B_060861 transcript:Et_8B_060861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNDGHILKYGGETTGWTTFAYRPRYVKNNCLALPFSELPTVAKESSCRRPLGLRFHRDSGYLYITDAYMGLMSLGPSGWEATRLATIVDGIPLSVTNGVDVDQVTAGRRLLHIE >Et_6B_048965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16003778:16004018:-1 gene:Et_6B_048965 transcript:Et_6B_048965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSMTPPYILGEAPEEQQDAGLGTKPVPTASLEAVPRAEVARWVVVELHPHESKINSYAGSRRNGCHFTRHRNQ >Et_4A_035847.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7224544:7226082:1 gene:Et_4A_035847 transcript:Et_4A_035847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPCSRLSADLSPHFPPCLGDAASAGALDLSFTSTASASTSSFNTATTFSARSSLSLPSFSSSTSLSPRPHSSSASPHWAHLAAARAATPDGVLRLAHLHLIRELGHGHLARVFLCRLKSSPPTSPLFALKVVDVRDDDPSRVSHVLAESRVLSSLDHPFVPTLYARLDAGRYACFLMDYCSGGDLHAVLRRRPGGRLPVAAARFYAAEVLLALEYLHALGFVYRDLKPENVLLRGNGHVVLSDFDLALPATVEPAVRRRQLVRKQQTGRRSSSRRSFFFLPYSSCFSGNGGSVDNDEAEQFEFVAEPTSASSKDCVGTHEYLAPELVSGSGHGNGVDWWAFGVFLYELVYGRTPFKGHTKEATLKNILAKQLTYPQLDGEQDDVAQLRDLVARLLERDPRRRMGAARGAAEIKRHPFFAGVDWALIRCVAPPVVPDKEAAAATPDGRKPAKQLGSWSSMGRGSSASSKRKSSSFSRKSNYEERQGVFRKLMTWSHESRSSKTKTSRVKL >Et_7A_050538.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:23315222:23315455:1 gene:Et_7A_050538 transcript:Et_7A_050538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKTPLWILELKERLRQLNPLLRCEGFGLVSRKKARRKIPTQKRAKQAKKVPAWFGLRFPPVRSRVGLGEDPSDFP >Et_2B_019822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14300886:14303696:1 gene:Et_2B_019822 transcript:Et_2B_019822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPSAPAPAAAPARKGETYTDTKRRDDVRGANIAAARAVADAVRTSLGPRGMDKMIASGDQAHEVIITNDGATILSRMSLLQPAARMLAELSRSQDAAAGDGTTTVVVLAGSLLRRAQSLLSAGAHPTAAADALHRLATRAVEVLHGMAIPIELSDRDSLVKSASTALNSKVVSQYSSLLSPLAVDAALSVVDPAHPDLLDLRDIRIVKKLGGTVDDTELVRGLIFDKKVSHAAGGPTRVENAKIAVIQFQVSPPKTDIEQSVIVSDYAQMDRILREERNYILGMVKKIKAAGCNVLLIQKSILRDAVTDLSLHYLAKAKILVVKDVERDEIEFVTKTLNCLPIANIEHFRADKLGHADLVEEVSVGEGKVVKITGIRDMGRTATILVRGSNQLVIDEADRSLHDALCVIRCLVNKRFMIAGGGAPEIEMSMQLAAWSKELQGMESYCIKEFADALEVIPYTLAENAGLNPIAIVTELRNRHARGEKNAGINVRKGQITNILEENVVQPLLVSTSAITLACECVRMILKIDDIVTVR >Et_2B_020134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17132319:17143737:-1 gene:Et_2B_020134 transcript:Et_2B_020134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGIGISTQILSALLLAALFCNGADAAFGRHSFPKDFIFGTGSAAYQYEGAYKEGGKGVSNWDTFSHIPGKVLNNDTGDVANDFYHRYKDDVKLLKDMNLDSFRFSIAWTRILPNGSLSGGINKEGLKPFVTIFHWDTPQALETKYGGFLSENIIKDYLDFAEVCFREFGDRVKYWTTFNEPYIYTLQGYVNGAFPPGRCSPHISKSCVGGDSAREPYTVTHNILLAHAEAVRLYRAKYQPSQRGQIGITVVSHWFESSPTASDTAADRGAVQRSLDFMMGWYLDPIVHGEYPGTMRGFLGATAAIVKGSYDFIGVNYYTSYYATSAPPPNGLEQSYTGDVRANTSAFRNGVPIGEPEFVPIFFNYPPGLRELLLYTDRRYERPVLYVTENGIAEGNNASIPIKEALKDGHRISFHSKHLQFVNHAIRNGVNVKGYFTWTFMDCFEWGDGYLDRFGLIYIDRLHGLKPLACNGADAAFNRYSFPKGFVFGTGSAAYQYEGAYKEGGKGVSIWDTFTRIPGRIKNNDTGDVADDFYHRYKEDVQLLKDMNMDAFRFSVAWTRILPRLKPFVTIFHWDTPQALESKYGGFLSEKIIQDYVDFAEVCFREFGDRVKFWTTFNEPWTYTVSGYSTGATAPGRCSPYVSESCSAGDSAREPYIVTHNIILAHAEAVRLYRAKYKPTQRGQIGITVVSNWYVPNSDTAADRGAVQRSLDFMLGWYLDPIVHGEYPGTMRGFLGVRLPRFTAEQAAIVKGSYDFIGVNYYTAYFAVSVPPPNRLEQSYYGDIRANSSGYRNGVPIGEPEFVPIFFVYPEGLRELLQYTNRRYNSPIIYVTENGMVEDNNASIPIKKALKDRHRISFYSKHLQSVNHAIRNGVDVRGYFTWTFMDCFEWRDGYLDGFGLIYIDRLHGLKRYRKQSSYWIEGMM >Et_7A_052633.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:70647:72323:-1 gene:Et_7A_052633 transcript:Et_7A_052633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVQKNKRKGSCRNKLVLLLVSACAAVVLLVRDDSCFVLVQVARMTSPPAATPPPGAAKETRPYAADSMWEMEWESVSRLISSKSSISSRISRVGVLNFDSSEVAHWRRTLPAGCDVQEVRLAPASTAVTWDALYPVWIDEAANSSSSRCPSLPDPVVRAGESFYDLVAVKLPCRRQQAGGSWSRDVRRLHLQLSAAKLALLTHTRRRTSMQLVLILSPGECFPLPNLFPCKHLLARHGHAWLYRLDAHYLRRRLSLPVGSCQLAPASPSSSQRPSSSRRREAYATVLHSGDAYVCGAIALAHSIRRSGSTTRDLVALVDAKGVGAEQRAGLAAAGWQVRPAPRIRNPRAARHAYNEWNYSKFRLWQLTDYDRVVFLDADLLVLRNLDFLFDQETLELSATGNSGARFNSGVMVLEPCNCTFDLLMSGIHRIQSYNGGDQGYLNEVFAWWHRLPRTTNLLKHGRNVRVRADTLAVHYLGIKPWLCYRDYDCNWDVPELQRFASDEAHARWWDLHDTIRPAELTRRFCALPAAQQAALERNRRDWNRTITDPRRQASP >Et_7A_052880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22864266:22873438:-1 gene:Et_7A_052880 transcript:Et_7A_052880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFCMMKSSMVVVFIWVAMEHDKDIILRAILGISRKNKLDCCVWEREKKDDGKSSIGGKEK >Et_3B_028289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1286248:1291324:1 gene:Et_3B_028289 transcript:Et_3B_028289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDQEQEQRQRLLAVASLFPLPSGTRFSYGTAGFRADGATMAPAVCRAAIIAALRSVKLGGATTGLVITASHNPVRDNGVKIADPDGGMMDQRWEPFADALANAADPEALLQMVLQFSKDEGIPLGEGGQHTAQVLLGRDTRPTGEFLLDAALQGINAIVGARAVDMGILTTPQLHWMVRSKNKGTKASESDYFTQLVDSFRRLLELAPKDKSGDELAKKLIVDGANGIGGVKLEQIKRELSGLDIVVRNSGKEGEGILNHMCGADFVQKERVNPHGFSPEDVGVRCASLDGDADRLVYFRMSSPSDNRVDLVDGDKILSLFALFIGEQLDIINKNDGQANKSLPARLGVVQTAYANGASTKFLKSLGLDVALTPTGVKYLHKKALEYDIGIYFEANGHGTVLFSEDFISHLESLSGKLCSQAANAQYQAAMRLLAASQLINQAVGDALSGLLLVEAILQYKGWSFQNWCDLYCDLPSRQLKVKVVDRTAIVTTDAETKVCQPSNLQELIDMETANYMHGRCFVRPSGTEDVVRVYAEATTQEAADGLAKSVAHHVERQHHELNVRGIAKEYPNT >Et_2B_021280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28215278:28217216:1 gene:Et_2B_021280 transcript:Et_2B_021280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTNSTSFPASISSTFCNNMPQASLATFLVVTSLLSTANHFHHMVAGNALLNNDLFVLDGDVLSKVRTDYDAIAEASSDFGHIVEAIPNGVFHPTSPADIAALIRLSLAQPKPFTVAPRGRGHSARGQALAPGGVVIDMMSLGHGDHGHRVNVSSGELWVDVGGEQLWIDVLRATLEHGLAPRVWTDYLRITVGGTLSYGGIGGQAFRHGPQISNVHELDVVAGTGEMVTCSPAKNSDLFFAALGGLGQFGVITRARIALEPAPKRVRWVRLAYSDVGTFTSDQELLISKRDGESGFDYVEGQVQLNRTLTEGRRSSSFFSPSELDRLADLAVKTQSGAIYYIEAAMYYDDDDTAAVVDQRLETLLEGLSFVPGHAFVRDVSYVEFLDRVGREEQKLRSAGAWDVPHPWLNLFVPRPRILDFDAGVFKGVLRDAKPAGLILMYPLNRDRWDGRMTTATPENEEEVFYAVGLLRSAVAAGDLERLERENQAVLEFCDRAGIGCKQYLPHHASQDGWKRHFGEKWDRVAALKRRYDPRAILSPGQGIFPAAQVAGDDSL >Et_7B_054012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14165495:14169413:-1 gene:Et_7B_054012 transcript:Et_7B_054012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAERERKRPREGDAAPSAAAPAGEAQYVYLPIADALKAPGARVCLFATVAEIGATVRSRGTDFTLTLRIVDQSRAAGISVTFFADNPALLPCVRSSGDVISLHNVVITMHGEFFVTFNKKFSSFALFEGKVSADCSPYQVSMKYHGSKHDTELLTQMRTWLLNHPSGLKELELQLRSTNSDSTFDLICKVLHVRQTSSGEWIFYVWDGTDTPAIDLDSEAVESSPLCLEEAPLPREVLCTMPCIGTVLRVFVNRFLKEVFHLQKSIYWARFCNIACKQEFGMWKGILLPSSRVRLLSNEDGSVVDRLEMYDSRLANQVQRQPMASLPEPFNVSGIEYETAGYTTLMESLTHEQVTHKFKTLVRVVAAYPCRTSDLRSLLTGNYCLRLTLEDPTARIRAYVHKDDGAKFFGGFLTAESLIKKMNKLLGIPEDGEEGAPLTRNPPWIWCCLKSYRLDKNNPWGSRRYRIFATEIRDE >Et_1A_006694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26436792:26439072:-1 gene:Et_1A_006694 transcript:Et_1A_006694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVRKVQQRLRRVRGEIDRWEDLNSRLVADFSNATNVISRLQVLGEDKNYGVLRGVPGVREDLVGKQMEILERLFVLMRETLEELNGISKALNKALRDTNQMVRGGSALTTKQMQLQVGILPSIAQCLDGLRTLCEMHEAESSDIAALRQLLVDEPNIPKDEVLKGV >Et_9A_063568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7595582:7599021:-1 gene:Et_9A_063568 transcript:Et_9A_063568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSKFSGGGGRRRRVVVRSPSPLRLRAAVARHPAPPLAVAALPAQSLAIARSRSLQDNKQLGRLGAAATQLAVLVSLCLSLPLPGCLPQWDRDEENWKQIRGGRKSGHEIRAGAAGGTTSPPIYSCQQGGSPWRFNASSLLTPRAPALPSLSPHSGATACSPEALQKLHTRMHRLLLTPAMPSRPLSTSSSSSPPSSPGAAAAAAPVAMPSGARNTTSGGRAGCLPADQSCFALSAGAPYSSRRDAAALACCTTTSYLVVLGISFGSLLAILLVLCAIRWFLVRRSATREAAEAAAAAAAAIAADGRADKKRAAGLDADAIAALPEFVYAAAANDGGEEERECAVCLGAMAEGEAARRLPRCLHVFHRGCVDVWLREHSTCPVCRAEVVVCRPAGEGCAEKEPAEEGGGGASRASTSASSRQVPQETLADDGERDLEAQQ >Et_7B_053806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12344338:12346826:-1 gene:Et_7B_053806 transcript:Et_7B_053806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQGYGLPGSPKSFRYPRADFDLEAGVSRKGRKPKNPHLDAPGLVSSALMRARYFYEAHPVAVALILLSFGLGVLILLSVYETRFRTTRGGGAWSSEVGEYPFPCLHNLVMVAGHSIYTSSSCGKMDSEDSWFLEPYQKHHGQAATFLAHIKEGVNIAARNEDALLLFSGGETRRDAGPRSEAQSYWAIAELKGWFGNDESVRSRALTEEHARDSFENLLFSVCRFRELTGKYPQNITVVSYDFKEERFAQLHRSALGFPEERFFFSGTPATPTAKEAALKGEAAVRSQFLEDPYGCLGSLHVKRLKRDPFHRTIPYPDGCPELKGLFSYCGPVPYSGHLPWGITAKRLQ >Et_2A_015648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16448932:16456748:-1 gene:Et_2A_015648 transcript:Et_2A_015648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARVSSLSPPRLLASPLLRSFRRPFHSSVSSVRRSAPRSMSVTAALSDASPSPHVVLEGGAMEAPRSGHRRTWKPTCLYYTQGKCTMMNDTLHLEKFNHNLMMDLPVNTSATERVKVQKVDYFLILDLEGKVEILEFPVVMIDAQSMEFIDSFHRFVRPTAMSEQRIKEYIEGKYGKFGVDRVWHDTAIPFKDVLQEFEDWMGNQNLWSKEQGGSLNSAAFVTCGNWDLKTKVPEQCRVSKIKLPTYFMEWINLKDIYLNFYNRRATGMMTMMKQLEMPIVGSHHLGIDDSKNIARVVQRMLADGAVIQITAKRQSATSDVKFLFENRIRNSVKVANINMKS >Et_3A_023752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11911735:11913412:1 gene:Et_3A_023752 transcript:Et_3A_023752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADHKIAAIGVPEEGRRSCVECRATTTPMWRSGPTGPRSLCNACGIRYRKKRRQELGLDQKQQQPQQHHSEAKTDVKESNSNSSSSSSNNMEGVQKRRLLMGVEEAALLLMTLSSSPTSTLLHD >Et_1A_008597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9033221:9036613:1 gene:Et_1A_008597 transcript:Et_1A_008597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFVFGSQVFPDISVYFMVFCNKIPYSVLFLSGFPDQRITTQLKKFTNIVENLGVNDSSSSGKSNATSLKMLLAKEMANEGESKRRSPSVIAKLMGLEEDLPAEEPTVYHATSGPTIDSKAINKAQGKEHQQSIRLMTPDLQPFHGYKDVYEVCEEQSGTSSFLDRTSEIWSSENKSEQSDIVQEKFVEPCFAMKEQLIHTAELQGLGIPSSYKDCLLELPEECNYSLSRKLSRLHTNRASPQTKRITVLKPVSSFEISGLGKSETERVNKQNGLKMRQLHQSYNTMEETPSQPSRIIVLRPTPGKLGISKAKLTPKTTSFQLPNRNNLNGLAYNGANLGSLGLVRGVKQHWCDGCHQRDYSLLSSSHSSGYVGDESSFGDSEFDQSSGSEIEYIDEAGGSSDSEGNSSPQKHSWNYIRGHEGSYSGSSFHKVSHVPESSIIKEAKKQLSERCATMTCDSICQEKVQSSRRTCTLGEMLSIQEAKKEVFITGILSVSSGRSCGMENEKTVATPPRKLPRENSVPVISSMLDNMVANVQHSNPESYKPKVVVVSDKGKLSFKGKVSDFFLSTRNKKPDRQNSAHYSSDCCAERFDAYVHSRPDHNLNRDANEKTVHCEDQVDCYSMKISTGTSKRTASIGVSLDFPSGNLDKLGVNKCLNSNPDQPSPTSVLDAPSEDSSCNEPETSGRPSKKAISRSSAVEDVACSLSLDNTTSESELVCIRRPSSLISDADTDESESHVLVQNILSSTGLGGTQSSMAFTGWHLSDYPLDPVLCNKILELREQSSYRRLLFDCVNVALVEIGENALLSSFPCSRAHSRTLRYVSAPDLRVEVWSILKDWIYGARMFVVSKKGDAGILWDRIVKQEVEGRGWVKMMIMQVVDITEELEEGVMEELVEEAVLDFSACFQQ >Et_8B_060653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4057541:4058281:1 gene:Et_8B_060653 transcript:Et_8B_060653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTKMSSMFVVTALVLLGAASIATAASGIATFYTQYTPSACYGNANMGNMVAAASDSFWNNGAVCGQCYRVRCTGAAYGGSGNPCTGRSVTIVDECASSDGCQSTIDLSKQAFARIASLDAGEVKVTFNPTNCP >Et_5A_040967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15869117:15876191:-1 gene:Et_5A_040967 transcript:Et_5A_040967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKGGGGKGGGGKKKEMKKETKLGMAYKKDDNFGEWFSEVVVNSEMIEYYDISGCYILRPWAMEIYEIMKEFFDAELKKMKVKPYYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGKSDLEAPIAIRPTSETVMYPYFSKWIRSHRDLPLKCNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADEEVLHVLELYRKIYEEFLAVPVSKGRKTEMEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFDITFENEKGSREMVWQNSWAYTTRSVGVMVMTHGDDKGLVLPPRVAPIQVIVIPVPYKDADTTAIKGACESTVYTLNQSGIRADLDTRENYSPGWKYSHWEMKGVPLRIEIGPKDLANKQVRMVRRDNGAKVDVPVTSLVDEVRALLDGIQENLFNTARQKRDACIEVIKTWDEFITALNNKKMILAPWCDEEEVEKDVKARTKGELGAAKTLCTPFDQPELPEGTLCFASGKPAKKWSYWGRSY >Et_6B_049193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19242968:19243585:1 gene:Et_6B_049193 transcript:Et_6B_049193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHALRQPATLPWRSLTSSADGQQTLRSAAHPATPQPAPPPTGDMDTGALYPSTSDTSGERGVPSVISTTVAGEAPARPSQRSAPPQSPVAQVPRPRASKEQPRRGHTRPDQPCGTSKAASSPGARWNPPDSGVGWPAVPSRPGHTDSAQLFTKISCTPADSAVFANKQSHMHSDSVDQKRGFKKRKKKEEEEILNYEQF >Et_3A_024776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24094440:24100372:1 gene:Et_3A_024776 transcript:Et_3A_024776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQVPVFRTSATEGLHGRRAKPRVYRPKRCVASDAPRTRRARQGQCQSGPAPEPATRRRSGEEAPGPCPPRVVRTASTPFARQNDFFPRQKVSSLLASPCSVPLGGRRQLGRLRGGVFPNSNRRIRWWVGARQRARVLPPPAVSFGLISNLAGGRGLSLVSRGKRRRKAEAAMNKSRKLSSVLHKGFKPDKCKTSLRMAMARIKLLRNRKEAQVRQMRREVAQLLEANQDQTARIRVEHVIREEKFMQAYELIELYCELLVARLSIIDSQKTCPIDLKEAIASVIFASMRCADVTELADVRKHFTNKYGKEFAAAALEVRPDSGVSRLVIEKLSAGAPDVQTKIKTLTSIAEEHSIKWEPKAFEEKLQKTNEDLLHGSTTYYGGNISTMVSSTPSMSTPQPTYSGVSAATVDSGASRVPMGPYSPSEVSANNASSQENRRGSNASAPAPLSSQYDASTYYSAQTPGSNNFSHEKPGDQSVSRPYSQYDASVPDSVSRDEEINQPRERKPSASGSNWNMEFKDATSAAQAAAESAEMASIAARAAAQLASRGHYSGEQSTGDFESGAYNHANAPRKQPAVHSVQDEKSFNDRSSHTNDPRMISSNEGKHSKGAETSHFDSQNMSTSYSPSQDVRSYSSENHAYVYGMPTEPPRAHSPEPPHFDDLYDRESNIGRYGQPMDFPEEKLQDTALDGRDASNLNVSQVNPDHETTGDYYGNYTSHGSFTHGSRTVWDNQTDKTQINPSSVVFDQSDSDVEEENVFDTFALKHTEQQPGVQDYMESSNADWSQQHRSDSPSNHRASDLFSRTETQRSDTSEANRRDIPSPRSYDNMPPTFDSERDISDEERAASPRTKHAESLRSQSKGSTNSRKLVRDKNLSRVQSRDSDLSDEESEPEQVKSNIVSSLRVKENQSLPSAMQTSATFGDKGEGDFGLNFSRLTPGLRNKLKQPPPYPKSSRENVLPRQSLNKASASIEESVDSEENTTFDQNRSSTKASRSTKTLSGGSYGSDLYDRDSNVETPREARSTMARNSLRSDDTEKFSEQFDNTSPTKIDGSERRNSAHERYREKTDIGGRREMSPRMAKNFFDSDDSEEELEQRQTPQSKWSAEQIPSRRTREVTSGTMRDSRIQTLPQYADESEIMPRETKVTQAFSIPNVEKRRDGPSYARVPVQRPSTKTEQNESPIARGKSQKAEMDTLSVPADENTETSAGTPKDSTSKTAPAHVHPKLPTDYDSFAAHFKSLRTNRR >Et_2A_018289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21572052:21575908:-1 gene:Et_2A_018289 transcript:Et_2A_018289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSEHRLASAVVRLPGRSRVSASPSPRRHRTPSPSPSPRRHRRRDRSPSPYRDRRRQWSPYHNDRGRDRDRVRDREFDPPVRGGGGGGRGGAWSGSDDDDEELKGLPYFEYRRLKRQKLRDSKKRCIWNITPSPPRVEGDEKDYGYSDEEEEEKESPKKKGSPEGSEEESKDASESESGESESLSDSSDSEDTRRKKKGKKSSSRRSSKRSRRRRHRRRSYDSESENDSESDGDSEGSYDSEDSDRKSKKRSRRHKKSKRRGRSSRRKKRKSQDTASEQSSEEEEENPGSSPRDSKKMSKSSKRKRSKRSDSEEAISSDAEIADVDADAKEVDETMVPEIDPEAIKFKEMLEAQKKAALDNDMPVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSADEIQKFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKSKREHKFYNMIDQSKNPYGDATVASLHNLNASWLPGLETKKPCPSPSDSNHYTSPRHPLASTASWYARTLGALGSSDAVHTSSLSPTSFARLGASGAIGLILGSSSPSFAPGKIAAHLFLLPCQNSSASASIFCAAAKEGCKRITPSIRAGAGVTACSRPLTPAAMAMLWEMFAPALTPATNTRSRSPCPDSHGSTPDAAQLSAAQASS >Et_10B_004189.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18090824:18092359:1 gene:Et_10B_004189 transcript:Et_10B_004189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMEELSWAARCSGAAFFACAFFLAALAAVLLLVRRWPWCSCHVCRAYLSGSWAREFTNLGDWYAHLLRESPTGTVHVHVLGCTVTANPANVEYMLKTNFDNFPKGKPFAAVLGDLLGGGIFNVDGDAWRHQRKMASLELGSVNVRSYAYGIIAQEVEHRLMPALAAAADADDGAAVVDLQDVFRRFAFDTICKISFGLDPGCLDLLDGLPVSRFADAFDAATRLCAMRGAAASPLVWKAKRLLNVGSERELRRNIKIVDELAAAMIRERRKLGVAGSHDLLSRFMAANPAGDAAMDDDKFLRDIVVSFLLAGRDTVSSALTTLFMLLSKNPAVAAAMRAEAAAAASSASYQQLKGLHYTHAVLYENMRLFPPVQFDSKFCAGDDVLPDGTYVAGGARVMYHPYAMGRMPSVWGDDHGEFRPERWLTGPGGTFVPESMYRYPVFQAGLRVCLGKELAVTEMKAVAVAVVREFDVEVVGESGCGACAPKFVSGLTASITGGLPVRIRRVTN >Et_10A_001078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20891376:20892215:-1 gene:Et_10A_001078 transcript:Et_10A_001078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVTAIAATTPLVSYVSLWKIGMRIVMFPFLATSFVAYIVTFSSHNAINIPWILGKNSAGRFSLWSTILFCPFLMLAEAYTIMKRFMRKESVYDKIAEGLYLGGWPFLLKHLPPGSPSVIDCTCELPRSSFVPQDEYLCLATWDTRAPAPDQIELAARWACDKISEGKPVYVHCAFGHGRSACVMCAILVALGFAENWKDAENIIRQRRKIKMNALHRKNLEDWSKHRVAKKKEN >Et_4A_032389.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:6088508:6089350:-1 gene:Et_4A_032389 transcript:Et_4A_032389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAGLGDGLGHRLVGGGEGTQLPERGDAGLALEGLPPGHRRAAQAVDEVGGAAVEVGGALLEPGVAAGEPGGNELAERGVGGERLVPELLHRGRAGPVAKRQPPGDVGPLVRLPRAERDRIHHGLQRDRADEHRRHRLVAAAVPGRVQLVHHLPQLQRLLPGVRRRIPGLRRAAEDAVLERRVDVEHLPAAGQLLVLRHCGGRRSAAMTTAQHARPRARALPTTATDGEALLPAHKPRRRWNDGANHGATYRHHHHHPPFFHSFLTSAAFLGISGCSGTAS >Et_4B_039211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8833098:8835768:-1 gene:Et_4B_039211 transcript:Et_4B_039211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPPPPRLLLHAFFLAAISWPLGSAAGIGGLGVGPDSNGASFRPRRLLQIGGGNDGGLFVFIHKSRSHRRTSVPVPAPVSAPAPSPFVSPPEGSPSKSPHASGHMTRHRSPSHHHPSALPPHLVRPRPTTGQTEHDGSAESPAHSSHKRSGTTYGLVGAGIVVFFLVSAAGVFFCRAKKMGTVKPWATGLSGQLQKAFVTGVPALKRSELEVACEDFSNIIGSTSSCMLYKGTLSSGVEIAVASSLVTSAKDWSKESESQFRRKITNLSKVSHKNFMNLLGYCEDVHPFTRVMVFEYAPNGTLFEHLHIREAEKLDWMTRLRISMGIAYCLEHMHQLKTPVVPRNFDSTTIYLTDDFAAKVSDLEFWSDAKEPDSTDGDVENIVRKYGIVLLEILTGRVPCSEEDGPLEQLASRYFNGEMRLAELIDPSIGSFPEDAARALCEVVRSCIDPDPKKRPQMAEVAARMREITTLGPDGATPKVSPLWWAELEIMSSEN >Et_7B_055800.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:505980:506234:1 gene:Et_7B_055800 transcript:Et_7B_055800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAPPTLTGLLKEAAAAFPSRRAVAVPGKIELTHAALDALVDAAAARLAADGGVLPGHVVALSFPNTVEASKHQRQLLIRL >Et_1B_011031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16833182:16839284:-1 gene:Et_1B_011031 transcript:Et_1B_011031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ACHRRERAHRRIAVRLTWRRKGEARSSTRRPMWRLRSPRRRPMLLCPSLLPPSAGLLVLAAWSLGHWSHGVRAWLWHHCGSGMERSTPPTGYLQRVSLVASVGNNIAIQIAAGSSLKAVYKHYHTADNGAMTLQHFILLFGAFELFLSQLPDIHSLRWVNAMCTGSTIGFAGTSIGVTIYDGYRIDRKEVAYSLPGNTATKIFRGFNALGTIAFSFGDAMLPEIQSTVREPVKANMYKGVSAAYTIIVMSYWTLAFSGYWAFGSQVQPYILSSLTAPRWVIVMANLFAVIQIIGCFQIYCRPTFAHFEEWLNVKKVICKSWIWRLMYTSTYIALITFISAAMPFFGDFVSICGAVGFTPLDFVLPALAFLKARKKPENLRLQCALKLLCSAAAVLFSMVGALACIGMSPARVDALESSEAGSEADTEKQGRGTWRHAAFHVATTIATPAAYAPLPFAVASLGWPLGVSSLVIGTLVTWCSSLVVASLWQWDGEKHSTYQLLAKSIFGRWGYWYVSFFQQVASVGNNIVLLIAAGSSLKAVYKLYHTTDDGAMTLQQFILLFSALELLLSQLPDIHSLRWLNAICTASTIGFAGTSIGVTIYDGYLTGRKEVDYSLQGNRATKIFRAFNALGTIAFSFGNAMLPEIQSTLREPVRANMYKGVSAAYTIIVMSYWTLAFSGYWAFGSQVKPYILSSLTAPRWVIVMANLFAVSTCYFLLKFCDIIVKPTFAHFEERVKLKNVSGKSRMWRLMYTSTYMAAITLVSAAMPFFGDLVSVCGAVSFTPLDFVLPALMVLKTRKGKITEDLGLQCAVKVLCFAAAILFSIVGALACLGAIRSIVLDIKTYRFFHDM >Et_4A_033424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22702080:22704794:1 gene:Et_4A_033424 transcript:Et_4A_033424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLQRLIRVNWTRRCRSSFFCFQCAAPFCAHCCAAGDDHRRRHHGADGLYPARLKNVCGAPAVRSMDSVGCGFKTTGIQRFDKEGIPWIPVLQQEHEDMRLKGRCLFCNRRTKNKKDFCSMLCRGHIINEGGTFIMDQLVQFAQIYTEPSIQLNRFCRVCFLAYNSECCPDHLLHHGAHHEAAAAAVDGAVIIEIENIEGWPAINGTLLPVAFMDQVQEIHLDNGDVFYPVHSRTVEHIAQLPQDAVAHPCLRPSCPEMFRGAMPFCSLRCRHMA >Et_3A_025985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34349864:34356993:-1 gene:Et_3A_025985 transcript:Et_3A_025985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVAAFPAGYPSTTFNPNLSTHLAPFVLRFHLRPCRGAAVAAATLREVCAGRVPDHVLQRAEDVGYLVPTEVQEQSLPVLLSGQDCILHAQTGSGKTLAYLLSVFSTIDFSRSSVQALVVVPTRELGIQVTKVARLLAAKTCTVMALLDGGMLRRQKSWVKAEPPAVIVATVASLCQMVERRAFSLQSMKVLVIDEVDFIFGSSKQVSLLRKILTSYSAASSRQTIFASASIPQHNRFIHDCVQHKWTKICTKKERLHVLLSLLERDAPKSGIIFVAEQSERSKKAGNPPSTTVVVEFLKSAYKGSLDVLLLEENMNFNARATSFSEVKGRGFLLVSTDIASRGFDLPQTSHIYNFELPKTATDYLHRAGRTGREPFSKVECSVTTLVTEDEHFVLQRFQNELKFHCEELRLESMFTFNL >Et_4A_033537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24186408:24222624:1 gene:Et_4A_033537 transcript:Et_4A_033537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVRGIKSLAAGDGRHHLFRTLGPTLLISMAYIDLGKWLATVEAGARFGYDLVLLVLLFNCSAILYQYLSICIGMVTGKNLAKICQQEYSHAVCTILGLQAGLSLIISEVSMVAGIAIGFNLVFEYDDVVAGICFASVAVNLLPYIISRLDHRVAGTFNACIAGFALLCFVLGVLVTQPKVPERMNVMFPKLSGEGAYSLMALLGSNVIAHNFYVHSAIVQVVGIAVGFNLVLEYDDVVAGICFSCVAINLLPYIISRLDKRMAGTFNACIAGFTLLCFVLGILVSQPKIPVRMNVMFPKLSGESAYSLMALLGSNVIAHNFYVHSSIGQRRSPVLTLGSLFHDHLFYILFIFSGVYLVNYILVSSAADEVSDSVLMNRQDAVELMHQIFKNPLAPVLFLVILLFSSLIISLTSIIGSDVILDNFFRVKLPHSVHHLLLKGFAMIPTIYCAKIAGSEGMYQLLLVCPVVQAMLLPSSVIPVFRVSSSRQLMGRYKISLYAEALAVLAFLLMLFTNIIFLAEILFGDSSWTNNMRRNTGSPVVLSYRVILLISCASIAFTLFLAATPLKSASIENDSQELSVHPQMQILGAPHHREETCQENAAHEEVQTFSVDVIKRDSLEGRQKSAVEHTKYSGSTTESDHDSRYVTAHTVTNPEAHPSASMNREELKSVMVDNADPMPKVRTATNEGRNAENIKMKSAVEKVVEVESVFNASKGIEVSSDLEFKKSDGGHSLSLLMVQRRSPILTLGSLFHDHLFSILFIFTGIFLVNYVLLSSAADESGNIVIMNFQDAMDLMDQKYSDADNISGSLSSISGLGRAARRQLAAILDDFWGLIFDYYGELTHEANKRFGFSVLLSLTTANPASRNDSLSVEASWSPLMRDGVQGSGTLLNSWHSLSRNKYITCPEFAFGIQKGAMGSSTWSQSMHLQNRDIPNSGRNIKPYSSFNTQSYTDNQSYQPATTHGYNLATHLSGTNAGRGRHSRIPLDPGRFSRSSESSIPKYTDTELYVHNQYVLGSVGPGSLQSQEMNRLRTMAVERSYYDSSYVVQNGSVEPSSYSKKYHSSPDISAVIASSRYAWPNEVNLGAADADMTELRRLASEKAQFEDSAASPSSQLAPNELSHHNVQKDMLAMQSSPNTGSKSLWDQQPFQQFFGVPSAELSNSEVNIVRRSTSATEADFSCTECEDELLQSLRFCIMKLLKLEGSRWLFMQNGGCDESLIDLVSKAERVSQEETSHDRSANFTQRRPNCGSDCVWRASLVVSFGVWCVHRVLDLALVESRPELWGKYTYVLNRLQGILDVAFSKPRKPLRACACLEKAGSVANPIPGTFTTATVILEVIKDVEQAVSCRKGRSGTAAGDVAFPKGKENLTSFRTMDGVQSIESLAAGDAPHHSFRTLGPTLLISMGYLDLGKWVVALEAGSRFGYDLVLLVLLFNLSAILCQYLSSCIGMVTGKNLAVICHQEYSKTICAVLGLEAGLSLLTSEIAMIAGIAVGFDHVFEYENLITVICFASVVVNLLPYTLSHLGKRMAGMFNACISGFTLLLFVLGLLVSHPKTPLYMNMNVMFPKLSGESAYSLMALLGANLIVHNFYTHSTVVQVQKNTPARTLGALFHDHLFSLVLAFSGVFLVNYILLSAGADESKHEIAVTFQEAIELTNQVFSNPVAPVLLLVILLFSGHIISLTCIIGSGIISEHLFGVKLPLLAHHLVPKGFAMITTIYYAKAAGSEGLYQLLIMCPVIQAMLLPSSVIPVFRVSSSRLLMGRYRVSRYVEILSFLAFLLTLVTNIIFAAEILFGDSIWTNNLRGNTGSPVVLPYTVIVLCSCGSIASALLLAVTPLKSECNGSERRLPSIHSQNGTSDTSHQREATYRRNCVHKEVQMSYIDAVPMSSLKVSILVIRNQLVSIPADLSAPLQSEHDAHQLRIPRLFMKLTPHVTLKRQNQLWLTGRNQCQIYTAITIEHSMAENLRVKGSCKKVLEVEEYGCTGDTEMSHDLVFGKFAECDTPLTSLVHHRFLTK >Et_9A_062694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3295195:3299500:-1 gene:Et_9A_062694 transcript:Et_9A_062694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAATVTLGGKGGALTPAAVYTLAHKLSSPSIDPSALQRLSTRAPSPQETPASLRELALDDEVSRATAAVLLNKLLLTAVDSPSALVTSATATSLAETLKIAKAFSPASRDEAAGTAASAPVAVALAALSTAVPRRSPASPTPSPRSARGDAVAFEVPASGDGLSAKDEADVAADIKMLIFGSKLVGSAGGASSTSFAKVPTVNGIFREAVRALHARVRIELNAPVKLGKRDAGETGEGKEEALVVLATQLARALQAMCKLSIVRINLLAEKSIDDSELRQKFTSDLNLDDLKEMLGRVMIDSDAVSVLKGVYNQLLRLRKFLAREAAVAMAAIEADSSIEKPQAGDEKEAGSSTEKSQAGADKAKGDKKSKKKKTLGKGTSAVNKGSDVPCVNYDLISTWGDALSSLFDPKCPELESLVEKVKEIVESNEVRRLPKIPKGTRDFGKGQMAIRERAFSIISGVFKMHGASALDTPVFELRETLMGKYGEDSKLIYDLADQLDIGTYEIKLNHRKLLDGMLEICGVPPEKFRTVCSSIDKLDKQSFEQVKEELVDEKGISDETAEAIGNLVKTRGHPLEVLEELKKEGSKFMENGGSVVALKELDILFQALEKANAIDKIVFDLSLARGLDYYTGVIYEAVFKGTTQSIRPTETEVLVSILGKDLTLAAELVSELWNAGIKAEFKLTTRMQNHINYAVHSRIPWMVLVGGTESEKGVVKLKDLKARQDEDVPRKTFVQELKNRLGVGYILVLQIVHHMRMR >Et_1B_011303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2021966:2023952:-1 gene:Et_1B_011303 transcript:Et_1B_011303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGTMRAHVALALAALVLAGDAMQPALAAGFNYKDALTKSIIFLEAQRSGKLPPNNRVKWRGDSALDDGKLAHVDLTGGYYDAGDNVKYGLPLAFTVTTLAWTALSFKPELQAAGELDNVHAAIRWGTDYLLKCAARKNKLWVQVGDPNLDHQCWVRPENMKAPRTLYEINEKTPGTEIAAETAAAMAASSMVFRDHDKKYSRSLLNKAKTLFLFAKNHRGSYDGECPFYCSYSGYNDELLWAATWLYMATKRTVYSDFISHEAISSSVAEFSWDLKYPGAQVLLAEFNMTSSGGAQSFKTQADNYVCAVLPDTAFHQVFITPGGLIHLRDGANTQYVTSTAFLFVAYSDILLRTGQHVLCGNTPVSPARLREFARQQMDYLLGANPRGQSYVVGFGANSPTQPHHRGASTPVLPPGYDVNCGMSFAEWFAPDRPNPNELTGAVMGGPDRNDNFVDKRANSSYTEPCTYINSLAIGPLAALAIRGAKLVATH >Et_3A_026352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7173072:7175735:-1 gene:Et_3A_026352 transcript:Et_3A_026352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRNGGNTRLYVGRLSSRTRTRDLEDLFSRYGRVRNVDMKHEFAFVEFSDPRDADDARYNLDGREFDGSRMIVEFAKGEDPGIVNIWAGDHLLVLGAASTVGLMGTGLVTAKLVTGKTDAIDIPIPSSWKEPWQELQQEPHRSRSPRRDSRDERRSMSPRDSRSPRRSPRDSRSPMRSPRDSRSPRRSPSPSKRGNRSPTPNVSRSPAPRERNDSGSMSPKRADSRSPADQERHDISPAANGRSPSPGDYKANGNHGDSPRGSASP >Et_7A_050929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12510464:12526345:-1 gene:Et_7A_050929 transcript:Et_7A_050929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLNVYKLITKSWINELTEPLYCAARLNRFFETEVYCTLKYNVVHGRVHWRLGVGPTFSFTRVLSSILQEGTAKFRGPFDLVIKVDLNEAPKRPSLGVTRKLAIGIADKLGLLGPKYSRIKEDHDEQPYYSYGLEEGEFRSMERHIKSIVPQIFQNLSTKRYLLMVDNMFETIEPNSITVKVGLPPTSWKGSIWLVMPTVLQGDDDSGWSEIRPRGRVAAAFGKGDVSFTEDEILMLILSSLHQAAKDIYNILRYKDEQYWHLTTLRCFHYAMLFFPRCSPPLDDNEDHESSNNALITITSDELIRQWAAQEFFTVIDRGVQEIMKETNDFRSKYNDYYDIHQVGSVILEVFRDCSLLELPFSPATKADEATKTAAHFLAHRGLIAERLTTDEFCDDDGLGQENIQWISQGGDQEQGWYVSRDWLSQGTSSGPSALILRHCSQKSSLLAKLDHILVKLPYLRVLDLSYTPLGLLPPSICCLQKLQLISLRGCYNLTSPFSFPKAEVTPSESKNDTGNKILLLYLDLSYSNINTFHSCFFHNMPNLQELVLVKCSNLVELPLSIAVLSSLEILEVTETQIKSIHGKGFKNLRSLKLIGNKKLLSLSGSVSNACGLIDQHSKEWESCVQKEITLEGHPTLRSFTLIDAPLIRRLSLCRCRNLDSIDIKEVDALEELDLSSTSITELPADVPNLPKLRKLFIMDVPSLRRFPWHELQRLPDVFRLGHSYSINGDNSKQQVVQVCVSDSRILYTFAWCGINEEILTSFYIRVTPCNRGIKNMMDEEEDIASSTDKIQVSASAYVDVNCHYLSLVSQDVKPPFLETERHLEISALDKYHPQSLREVLRFTKSIRMTYDDQFPSLNAFHLEQLEYCSLLQCHRMVHVFNESYSPPRPLKSACVSCLRNHCPRLEGLMPRGSTLSSLETLDVIFCYNLKVLFYDNGRNGPYELPCLRRIRLQELPLLERLRVDDAILTAPVWEELHVRGCWSLRHLPRLRQRSGKVKVSGERTWWAKLRWERAPDHSSFEPRLPRAVASMRDRIVIKSYLRPATGPPFPADLDGNSFPAWNRLVRPARPPVPAAGGRIGGEGEGRRLAQIEEPLPGVGDQEVAAGGGRGWGFPARAEDALPGLGDREAAWRRGRGCGCVPFPIPFRLNGYRKTLAYGMLKGNVYYLPYVMWNVGVGPTAGFTRAISSIWLENLIRISAFPHDHVIELDMKEATTLSFKDMLTIKVAETLGLLDRQEYNRRKEYDDDVRYFSYGQSNEINLLLGLTRSAELLSEIFEKLLKKKYTLVVRNLNEPIKPIMDDAFTEDACLPPPSWKGSSWLLSTTSKDVYDKSISGRHRTLRIVDGEDILMLTLYSLHQAAKYILDTTSYKDETYWHIVALRCFHYAVMLLIPYCSPSHGQSFDARANITSDDLIRQWGAQGILPVINQSCQERMEQVTDNYDHGKCSDDDIYQTGNIVLQAFQEYSLLQLPFCPATKADEPIDTAAHFFVYSGLIAEHLTIDELSYDSHPRLEHMQWISYVGDQGWHISREWLSKGARGPTALIIRYFSRQSTLFGKLDHLLAESYHISVLDLSFTPLTSLPSSICYLQNLQWLSLRGCYNLSNPLSFSDDERSTHLGNNSNKIMINLFYLDFSYSNIKTFGSNFFQYTPNLQELVLEKCSSLVELPPSIAALTSLTTLELSGTEIKSFCVEIFEQMKKLRSLKLIGKILFYLSGPIFKASDLTNVHIEGWHSSMEEEVKLERHPTMRSFTLIDAPQIKRLTLRFPWHEVRRLPDVFCLDQFSDGNSTNLSHPQVTQVCISDSRFFYSFNSDSRNLVRDGKLFKSFYVQVASRKGRCRKMRDEEDMEFIWKLQVPVSAAYADVSRCYLTEGVSMVPMDDVPPIRKTERHVEISAVERYPHGLKHLLDVTKSISMMDDTHVSRLNDISDASLCELEECMLRRCHQMICVFWPWKDVRQRHPLTNACVSHLKSLKYFYVGHYNFYALKHLRLEHCPRLEGVVPHDSALPSLVTLDILFCYNLKAIFSDRYVSNPGSYQLPGLQRMHLQELPLLKHLHVVDDAIITAPAWKELHVRGCWSLRRLPRLTKRPDKMAAVKVSGEQAWWRNLRWDRQEDDGASLHCGRYQPVLPRASASFRERVVIKSYLR >Et_1B_012263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30223839:30228130:-1 gene:Et_1B_012263 transcript:Et_1B_012263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLSSWPWASLGQYKASIFCSSCPFLYSSICTFEEAAKSCLTHFAMFVCGHVYMQYLLFGPLVWKVFEEWREQGGLPLGSWWLHLLVLFAVRGLTYQFWFSYSNMLFFTRRRRVVADGVDFRQIDAEWDWDNFLLLQTLIGATVVNSPLLPGLRQLCLWDPRGWAIALLLHVGFSEPVFYLAHRALHGAPLFSQYHSAHHFSEVTHPLTAGFGTPLEALILTLAMVAPLAGAFLMGAGSIGLVYGHAFVFDYLRAMGYSNVEVVSPRVFEAFPPLRYILYTPSYLSLHHREKRANFCLFMPALDALGGTLDGRAWALQRAAYDGERGGGAMGAPEFVFLAHVVDIMSSMHVPFVLRSLSSTPFANHFILLPFWPVAFGFMLLMWCCSKTFVVSFYYLRGHLHQTWTVPRYGFQYFLPAAKKGINHQIELAILRADRMGVKVLSLAALNKNEALNGGGTLFVSKHPDLRVRVVHGNTLTAAVILNEIPNNTRDVFLTGATSKLGRAIALYLCRKKIRVLMLTMSSERFLKIQREAPAEFQPYLVQVTKYQAAQQCKTWIVGKWLSPREQRWAPPGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVRGLSSCEYTMERGVVHACHAGGVVHFLEGWDHHEVGAIDVDRIDVVWRAALKHGLAPV >Et_9B_064459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15390947:15394628:1 gene:Et_9B_064459 transcript:Et_9B_064459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLSLIPATAFIDAAAPCAMPALRLGSPALLLPARRPGPRPPPWLRFGGGGARRGLLCSAEAARRGGDEEAEEERRAGAVGRAAAERRLKGGNAAVGSGELLAIPGVGPRNLRKLVDKGFEGVAQLKQLYRDKFFGKSSEQMVEFLQSSVGIVHKNHAESITSFIKESVDEELKDTDSSKPSPKKRLTFCVEGNISVGKSTFLQRIANETIELRDLVEIVPEPVDKWQNVGPDHFNILDAFYAEPHRYAYTFQNYVFVTRVMQERESQGGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMQRKRSEEGGVTLDYLQGLHEKHESWLLPSKGSGSGVLSVSQLPMHMEGSLPPEIRDRVFYLEGNHMHSSIQKVPALVLDCEPDIDFNRDIEAKQQYARQVAEFFEFVKKKQETPPEQTSNDKDRMNQQIMLPNGGHLWVPKGPFPGGSPMNMDFRRAMSSYLST >Et_7A_051950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3153451:3154627:1 gene:Et_7A_051950 transcript:Et_7A_051950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAPQQPTSMSNKCNSKANASIEDLDESTVIWEILVRLPAKDILRCRAVCKSWRRATSTHDFMLAHHHRQPSLPVVQFVPINDYQDVDLGMYPDLVTSTNPRLVVLCNRNLRPLVQYATPSDDYMDLHAICDGLLLVSNTSAGYDHRCFYICNPATRSCNVSVLASDEFRFYVVGFQYHSHDLLISETAFGPSTVKINVVGFYGYKAGEYRVLYCVHMDGDVDISEYYIWTVGSNKARSIGDGALTDEISVEVRIGLLAQDWHSCINPPVMHRNCLHWNINGLLAVFNTEEETFRGMYWPAPDVSSDLMEINDTLGLCIYNIECEDAPFVEFWVLRDYEAELWDFKYKINLSEVVAPKWWHRWHSHFKMTVLILVHTSGLL >Et_2A_016555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2638691:2643000:-1 gene:Et_2A_016555 transcript:Et_2A_016555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAESKRPTARTSTCTLRTARGTHAFKIAGYSLHQDLAAGKCIRSAAFAVGGYTWCICYYPNGCGSGFSFSELTDRVQACLELTTKGAEVRALFRFRMVDHATGQSDPMHPVATPVVTFKNSSHRNDEKGTYSSMTKKEVEASSYLWDDTLIIECDVTVIVKEPRVELEESSSSTSRVQVPPSDLSDNLRKLMEEKRGSDVTFLIKGEVISAHKIILATRSPVFGAEFYGPKLAANEESYIDVDDMDPDVFRALLHFIYTDSMPAINIKEGSSQMVKHLLAAADRYGVARLKLICEDILCRRIEAGNVATLLALAEQHDCSSLRDACVEFVASTNKLDVVMSSQGYKHLRKGSPNMVLDMLNKVKWMHSHKKMERAHERHESSDAFAHRVRIRCAVIFTHKVILATRSPVFSAKLYGPKAANTEQYIDVDDMDPD >Et_2B_019253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20283810:20284859:1 gene:Et_2B_019253 transcript:Et_2B_019253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TGHYVYHVDGYSRTKDFPNGKSIDSPTFTAGGCSWRLRFYPNGGRSSYAGHVSIFLVLGAIVDEPVEAISRLTLLDPAGNPVPGYSDSAKLHEYSVVDEGYGFDGFIEREILEASDILVDDCVRIRCDVSVISFRAEDRALPLQSADTTFLVSGETFRAHSCILAARSPVFKAELFRTRREGGLCIQIDNMEPDVMTEQDVARMVKPLLAAADAYNMERLKLICAEKLMRDINMSTAAEMLALAVRHRCHLLKEACTVFLQGPPVLEMVVATSDGFANVAASCPVLLKEVWEGWFENDPIQDELRDLMGWSTSSYIMSSD >Et_1A_007243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32554523:32562407:1 gene:Et_1A_007243 transcript:Et_1A_007243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLTLRAAPSTRRPEPPASSTHLGAAPCLLRLPRSLRRRRPRSLRASASLDQEVKEVAPATSAEKSNQTTRRDVRNIAIVAHVDHGKTTLVDSMLRQAKVFRDNQVVQERIMDSNDLERERGITILSKNTSITYKGTKINIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPSARPEFVVNSTFELFIELNASDEQCDFQTVYASGIKGKAGLSPDNLAEDLGPLFEAILRCIPEPRIEKDGAMQMLVSNTEYDEHKGRIAIGRLHAGELQRGMEVKVCTPDDACRMGKISELFVYQNFGRVPVETVSAGDICAVCGMNDIMIGETIADKVTGTPLPTIKVEEPTVRMSFSINTSPFVGREGKFVTSRNLRDRLYRELERNLAMKVEDGETADTFLVSGRGTLHLTILIENMRREGYEFMIGPPKVINKTVDGKLLEPYEIAAVEVPEEYMGSVVELLGKRRGIMVDMEASGPEGTTLLKYKIPTRGLIGLRNAILTASRGTAILNTIFDSYGTWAGDLSSRDQGSLVAFEDGTTTSYALLSSQERGLMFVSPGQDVYKGQIVGIHQRPGDLALNVCKKKAATNVRSNKETTVVLDEPLTYSLDDCIEYIQEDEIVEVTPASIRMCKNPKISKKK >Et_5B_043831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16587576:16592302:-1 gene:Et_5B_043831 transcript:Et_5B_043831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQEPAAALPENHAAPQSPIVAAVNQPGQRHVVLVVLLWVAATQSARTLAVSHVFWPILVNSLNSIVLLNAFVISVAKMEEIGVLANMEEMGVLANMEEGQA >Et_4A_034319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31708753:31711092:-1 gene:Et_4A_034319 transcript:Et_4A_034319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTHHTIRRAAARPRGWCCSFAGVPQSPEHRALPSPAGRGGGVQKLPPKSPLAPAFHSSPSSKLAGFIDPRRILSPGRVSPIDHEGSPAVSVAAVVEEEAAAPREQAAQAPFVAVREEEEEVRGLDLRLCLRGRDGRCVVMELDSTVLCDSSAFFADMMPDASGGAAGGKRIDVDGVDNLEAFKEAVELMFEPDHMRWLARTGVSRAIGVLEVSSSVMFKRGIESCLKYIEAVPWSENDEEKLKNVFCRCTFDEAISKDVLARLQASCRSGSEDLTVHLVESVTSGTNNGARKEMQSLVSGLLSKSSVYQKDLSGLNKGSLYEICRSCFSSLVEIFTEDSEPFKHENESMIVPNSKPMIERVNKQTENLNWLFDIFLNNDMAEEFVELWAKQEELIRMHAQASPMFRYELSRISASVFIALGKGRIQCPSDLRSQLFHGWFRPMLMDFGWLQRCPKGLDVRILEENLGQALLTLPLHQQQSLFEEWFRNFASRGTECPNLSRAFQVWWRRSFVRSSVEARR >Et_1A_009530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7317811:7322723:1 gene:Et_1A_009530 transcript:Et_1A_009530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDRRQNRACNESFALWGESECTSCQHDDNRQIVQTNGFSGPYLPEATVGERSWASGDHGDAGVRGAAAQARAMCGPGARWASACTAGAGVDLQAPCNGARGGAQKPSAFTDCAFHPTVKLGCLRSLVPCLQWLTHLSVTACSKLQGIESKAPNLTSFHFDSDNDVKLSLGKALQVKTLYIDCSGPICYSQAELASSIPNPEPLGIHSGSKGFFFFLGDFDLGCIAADPRASELWQDPFFLPCKYLGLSNMSHTQSLAELSPWERSFEDTRDMSYLNALLTNPEKVLMDGDGLWKLTSRLLSKHNIK >Et_6B_049775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1155490:1161948:-1 gene:Et_6B_049775 transcript:Et_6B_049775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLVIHSMLHLSILRMELWIESLLFPEDRDKHDRNMIMVTTYVLMRPGRELLFTVVSQDEKYKAKVCIDVIVQRLGDATAAGIYTLLFSRLEKKASMMTITIVEKGACSDATSSNLEMSIHYICLAIQS >Et_9A_063396.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22914293:22914422:-1 gene:Et_9A_063396 transcript:Et_9A_063396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLTFLLPRACFILSSSDAFGGSYSLALFNLINLLAPWAVHFV >Et_5A_040937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15185672:15191886:1 gene:Et_5A_040937 transcript:Et_5A_040937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWFCCNCHFDDEEDGWDKQQSKAQGNKIDPKLKSSKPPASQPEPEISPPTIDVPELSLDDLKQKTDNFGSNALIGEGSYGRVYHATLDDGRQAAVKKLDASENEPNDEFLKQVSLASKLKHDNLVEMLGYCVEGNYRILAYEFATMGSLHDVLHGRKGVQGAQPGPVLDWMQRVKIAIEAAKGIEYLHEKVQPSIIHRDIRSSNILLFEDFKAKIADFNLLNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLQQRPAPATSEPAPTPAS >Et_6A_047567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7398264:7400217:-1 gene:Et_6A_047567 transcript:Et_6A_047567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSRNKGKRFQHSGSMITANGNMDQMLKEHERNIQEAVRKARFSKSRDSMYSVKFKCAFWIMGQNGTASLSLLWLGTAKCLVLPASSLMYPSHRNALVNLSTPGASTPWTSTSVVVSPAPGRRRTRAATPAA >Et_6A_047578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7614099:7620342:1 gene:Et_6A_047578 transcript:Et_6A_047578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATSKPREWTGRGRKVAGDEAATDKACVKMDAKPYVVAIIIQVIYTGMYVLIKAALNQGFNTFIFIFYSQAAASLLLLPIAVVRESNTFSINLLTVALRYTSATVQSAISNSKPVVTFCLAFLLRMEVVKLSSPYGLAKVTGIALCLAGVFVIAFFVGPPLSPVNHHRAFHTGHASSDATGQVTWIKGTFLKLLGDMTWSLWIVFQAALLKYYPNKMLVTVLQCVFSTVQTFVVALVAERDMSRWKLKLDITLVAILYNGFIVSGVNFYLQAWCIEMRGPVFLAIWFPLCFVFTIFASSFFLGEIVHLGSILGGILLVGGLYSVLWAKSKEPMVAPCGEVNALEDAQANKDQTKPEENKMAREEHDEETPRKVIE >Et_7B_055642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19207461:19212562:-1 gene:Et_7B_055642 transcript:Et_7B_055642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMHEKWMVQYHRVYKDAAEKAWRFEVFKDNVVFIESFNAGNNKFWLGVNQFADLTNDEFRASKIKKGCCWAFSAVAAMEGIVKLSTGQLISLSEQELLDCDTNSMDKGCEGGEMDSAFGFIIKNGGLTTESNYPYMAEDGTCKNGSQSAATINGYEDVPANNETALMKAVANQPVDIAH >Et_4B_036871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12164024:12166584:-1 gene:Et_4B_036871 transcript:Et_4B_036871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDARNQLGMIWPDVLVGDQKNKCEVKVHGLMPLMSLEDDIMYIRVKRNKTTYFIQCDPTETTLDIKQKLHSLIDKPPSNQQLILLATNDVLDDSKTLANQKVENDAIVALTLRKDDSEFEDVFIARPEDFMAS >Et_3B_029259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23550943:23553413:1 gene:Et_3B_029259 transcript:Et_3B_029259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPPPEHRGKEIWSSSRRLRDLPCTQESITSQQGRVHTEGNSSAVQGFSLCTEQRLRTGSFRRLSIDDVNAHIATPSDGNRTPQGCRGSAAKNSAKEKAGAGSNSGAQQKNHAMGRAGMNSGGEMPQNHHAKRKTGMVARGKVLQNHTSMKADMSSSGRLPQKNNGKEKMMSSQLRHHPPDIQVTRIITEPHAAREKKHTNSSTTFTRLCTSQPIRKKKSGQLSTNVVNSNSVPSLVTHHGSTTARDNKESAANKIMHDVAVNKDEEEADRVIQQLNEQGLGEDMSHEEYLSYQNKLPCQSPHIDTKTKLHGVELNELETRLALHRFNYVKVPSIKTPRNEPHNDELKDDYLIEETLDCVEEDVNKLKEKCPLDFLEENIECIKEDGSKLLILLGEHGFFKHFEKDGTLDWLLVLSSPFLPFSLDDNSNTCLLT >Et_4B_040052.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:8406647:8406841:1 gene:Et_4B_040052 transcript:Et_4B_040052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAMELQQQQQQQAKRALPRRGQVKARIFASLFRCFFPKTAPRKEEGGAKSKEAGGRRVSPGG >Et_4B_038388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28740355:28742208:1 gene:Et_4B_038388 transcript:Et_4B_038388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRYKDFLVHWQKTAKKRMTEFAVHGEERRKYGHLPVEPPFEIIKYPELLERAWGWDEILPYNHVDCWSLYKTYLQEYYKPNAPAALLTHQMEDNAETYRNLNRDDGNGLAALINLCINMEGELLHLLKRRAQEFDNDAIILKDKLTNCAHQITNVECGGFSAPTIALKCLMAEAELLCDLLMRKTKITSDINISSMLKIREECSATEESTGYDLHNKRGNSTATKLISDESDGKTCSEMDLVSKDNLPERNQINQNTKVETSLGIISYLRSFCVLMFLLVEPRTNGQWIMTMTRSAVRRNYQKKTTWRKGTRQSSIAD >Et_6A_047643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:909723:915258:-1 gene:Et_6A_047643 transcript:Et_6A_047643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVGRKGRPSPSSLARLMFGDKAPSTGRGRSKAQRASASTSTSTPSRGRGRGYKRKAASRNFASGASGSSATPIPERVSVPSDGTSGGDGSSSGTHVGEEETEEDGMESPEDVESDPDTLEMLEKKERFLQKKSSAEIEKAKNYTKAKNKNAAIQCLKKKKLYETQIEQLSNFQLRVHDQIIMLESAKATTDTVDALRSGSSAVKAIQHSLNIDDIENAIEEANEQTENMKQIQEALATPIGVSADFDEDELEAELEDLEEEELDELPEPLVRHSNPVQPSVEGTSPSKQANDLTELTKLQAEMAL >Et_2A_018063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9762179:9777186:1 gene:Et_2A_018063 transcript:Et_2A_018063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSIHYYYYGILLALILYLTKCYIASTSSCNRSLRLPPGPWQLPVIGSLHHLLGALPHRSLRRLSSQYGPLMFLKLGEIPVIVVSSREAAKEVMKTHDATFATRPQTTTIKILTKQGQAIALTPYGDHWRQLRKICVLELLSTSRVQSFRPVREEEVARLVQAVSSKSASLVNMSELVAAYVADTTVHSIMGRRLKDRDAFLRYINEAIRLAGGFTLADLFPSSRLVGALSWTAHKADVYREGLFKFLDCIISEHKERRSNEGGLQEDLIDVLLRIESHGSSHQLSMGTIKAVIFDLFSAGSETAATTLQWAMTELIRSPTKMSRAQAEVRKAFKGRMAVLEEGLCELSYLHWVIKETLRLHTPGPLLLPKECRETCKVLGYDVLQGTMVLVNAWAISRDPQYWDEPEIFKPERFETDTRDFRGNDFEFITFGAGRRICPGMSFGLAIVEVALANLLFYFDWSLPDGMHPSELDMTEAMGITLRRKRDLWLRATIHTKVAANTQKIMSFTIMDHGVYYYLLALLPLLYYSLTFFRASFRSRGHGLRLPPGPWQLPVIGSLHHLLGVLPHRALRDLSRRHGPLMFLKFGELPVIVVSTRDAAQELMKTHDIFFASRPLTLTMKVTTKNGLGFALAPYGDQWRQLRKICNMELLSAARVQSLSSKREEEAIQLVHEISSAGTRYVNLSKLVNIYVADATVHAIMGYRLKKMDTLMHYVDEGIRIAGGFTPADLFPSSWLVRTLSRAEHKAEVYRKSLYTFLDAVVREHQERRSHGEEEHFIDVLFRTQQEENLQYPLTMSMIQALIFDLFVGGAETATTVIQWAMAELMKNPATMSRAQAEVREVFKGQNKVSEDRFNELLYLYLIIKETLRLHVPVPLLVPRECREHCKILGYDVPKGTTVLVNAWAICRNPDYWDEPETFNPERFQGETRDFKGNDFDFLPFGAGRRICAGMDFGLALVELALANLLFYFDWSLQEGVIPSELDMTETMGITARRKADLMLSATLHVPQPTLVPLLYYFLSFCKALFRSRRHGLRLPPGPWQLPVIGSLHHLFGVLPHRALRDLSLRYGPLMFLKFGEVPVFVASTPDAAKELMKTHDAVFSTRPLSFTMKVITKNGHGIAWAPYGDHWRQLRKICIMELLSAKRVQSLGSKREEEATQLVQQISSAGTRYVNLSKLLNIYVADATVHAIMGYRFKERDTLMHYIDEGVRVAGGFTPSDLFPSSWLVRTLSRAEHKAEVYRHSLFTFLDGVIREHQRRPHGEEEDLIDVLIRTQREGNLQIPLTMSIIQADLYGGGVETATTVLQWAMAELMRNPAIMSRAQAEVWEVFKGSEKVTEDRLSELFYLHLIIKETLRLHVPGPLLIPRECQEHCKILGYDVPKGTTVLVNAWAICRSPDYWDEPDTFNPERFLDETRDFKGNDFDFLPFGAGRRICPGMAFGLANVELALANLLFYFNWSLPEGVIPSELDMTETMGITARRKADLM >Et_3B_028852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19888120:19892601:1 gene:Et_3B_028852 transcript:Et_3B_028852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDLSSEMKRTVLGLSLWVWIAIGVVALLVAILLMICIWMASRRKTKRTMDNLRQTQIPIYSKEIPVDRVGGRSLAQTMHEREQPSFPPQDKYANREPGTTLGHMALSKSSDHDNMSQGSSVCNVDRAGSVHSGEDGSSGPRRKPNSPAAFVSASPLVGLPEFSHLGWGHWFTLRDLELATNRFSKENVLGEGGYGVVYRGRLVNGTEVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMRQRGVFSWENRMKVVTGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNGKVSDFGLAKMLGSDKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLEAVTGRDPVDYSRSANEVNLVEWLKMMVASRRAEEVADPSLEVRPTIRALKRALLVALRCVDPDSEKRPKMGQVVRMLESEEVPYREDRRNRRSRTGSMDIESIAEGSNSTEFGKKDVRTCVACLVFGRRNFAAFMVPKLQKAQILANSESP >Et_3B_030988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9764103:9773362:-1 gene:Et_3B_030988 transcript:Et_3B_030988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEERSAEEEWHGNRYVRMPPGPEEEAAAAAASSASFRLPESARVFEELPRARIIAVSRPDAGDITPMLLSYTIEVHYKQFRWRLYKKAPQVLYLHFALKRRVFLEEFHEKQEQVKEWLQNLGIGEHMPVVHDDDEADDVHVPSQHDEHSLKNRNVPSSAVLPVIRPALGRQQSVSDRAKVAMQEYLNHFLGNLDIVNSREVCKFLEVSQLSFLPEYGPKLKEDYVTVRHLPKIETNSKERCCSCCFNFCSSNWQKVWAVLKPGFLALLQDPFDPKLLDIVIFDVSPHTDRNGEGQITLAKEIKERNPLHFGFEVSSGGRTIKLRTRSSAKVKDWVTAINAARRPPEGWCSPHRFGSFAPPRGLTEDGSVVQWFIDGKAAFDAIASSIEEAKSEIFITDWWLCPELYLRRPFHYHGSSRLDVLLESRAKQGVQIYILLYKEVSLALKINSMYSKQRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQVCYIGGLDLCFGRYDTPEHKVMDFPPSIWPGKDYYNPRESEPNSWEDTMKDELDRAKHPRMPWHDVQCALYGPACRDVARHFVQRWNYAKRNKAPNEQAIPLLMPHHHMVIPHYMGASKETDEEAESKQTDDKDAKMKGFVDNLGSPEVSRVARYDDSKPNLLHMDKEWWETQERGDQVTSVLDVGEVGPRAACRCQVVRSVGPWSAGTTEIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRIIRAEKEKKCFRVIIIIPLFPGFQGGIDDGGAASVRAIMHWQYRTICRGSNSILKNLYDVIGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIKRLSVLQWMEDLGKLGSSPSAYDFLYGQNTLVFTQERLVALWILWTIQLTEVSGWPLLRYQFRQSFAHRKEKLGHTTIDLGVALEKQGTQQDGDLASTDPMKRLQAVRGHIVLFPLEFMCQEDLRPYFSESEFYTSPQVFH >Et_7A_052166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5254333:5256839:1 gene:Et_7A_052166 transcript:Et_7A_052166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHDAFSAAHPFRWDLGPPAHAAHPPPPPPPLTLPLAPPPAPNAPRELEELVSGYGVRPSTVARISELGFTASTLLGMTERELDDMMAALAGLFRWDVLLGERFGLRAALRAERGRVMALGGGGGRFLQTGSTCLDACSPTSVTRRRAAAWLAGKKQQAKKGAATRKGKKARRKRELRPLDVLGDEDGAGESDSTESSTGGGGGGERQREHPFVVTEPGEVARAKKNGLDYLFHLYEQCRVFLLQVQTIAKMAGHKSPTKVTNQVFRYAKKCGASYINKPKMRHYVHCYALHCLDEEASNALRRAYKARGENVGAWRQACYAPLVEIAARHGFDVDAVFAAHPRLSIWYVPTRLRQLCHQARGGHAHAAAAGLPPPPMY >Et_3B_030223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31428514:31430237:-1 gene:Et_3B_030223 transcript:Et_3B_030223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAYDASSDEEDAGEPPAAAAPSPPPSASSIGPQPRPSSPSTSVAAASQPTPPPAPSQNVVPTSSSNVSLPTPSLDLPDVADLFAPPSIQSLQSRTTDHSSRENASRKRESNGSVFQDSQSKFPRTQSQPRGARSVAGNSLIPPQLRGRSNVVTEDMSKFFVAKRKE >Et_9A_062620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24497749:24500270:-1 gene:Et_9A_062620 transcript:Et_9A_062620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSVSYLPLLLLLLRLLSASSSTSSGGDLSALFDAWCAEHGRAYATPEERAARFAVFADNAAFVAAHNAQANASRSYTLALNAFADLTHHEFRAARLGRLTVDGAAALRTGAPYWGLQGGVGAVPDAVDWRDKGAVTKVKDQGSCGACWSFSATGAMEGINKIKTGSLSSLSEQELIDCDRSYNQGCNGGLMDYAFKFVIKNGGIDTEEDYPFRQTDGTCNKNKLKRRVVTIDGYTDVPSNNEDSLLKAVAQQPVSVGICGSARAFQLYSQGIFDGPCPTSLDHAVLIVGYGSEGGKDYWIVKNSWGERWGMKGYMHMRRNTGNSDGVCGINQMASFPTKTSPNPPPSPGPSPTKCSALTSCPAGSTCCCSWRVLGFCLSWSCCELDNAVCCKDNRYCCPHDYPICDTARGQCLKANGNFSGIEGTRRKQSFSKVPSWSGLLELMDQ >Et_3B_031582.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:5442472:5442933:1 gene:Et_3B_031582 transcript:Et_3B_031582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSNVFDPFSLDLWDPFDNVFRSSIVPAAPSTAGETAAFANARIDWKETPDAHVFKADIPGVKKEEVKVEVEDGNVLVISGQRAKEKEDKNDTWHRVERSSGQFVRRFRLPENAKVDQVKAGLENGVLTVTVPKAEVKKPAVKNVQITG >Et_5A_041930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4472518:4475706:1 gene:Et_5A_041930 transcript:Et_5A_041930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAITGAVKCRPHHRIISPQRAAAAPDSLSARSITVAAEPRARGRAPKRCRSPPSLTLSRAADPPRRSTPPATARRARDAVTVGGDGGGQSSAALRAGAQVRHAIFRDELVRRAFSVAEAAHRGQVRASGDPYLQHCVETAALLAELGAGPDVVAAGLLHDTVDDAGLDYGFISEQFGAGVAELVKGVSNLSHLSKLARRNNTASRTDEADKLRTVFLATVDARAVLIKLADRLHNMRTLDSLPKIKQQCFAKETLEIFAPLANQLGILNWKEQLENLSFRYLNPDKYEELSNNLLEFYNRDMIAAATRRLERALEVRGLSFYTVYGRQKSMYSIYSKMRRKELAMDEIYDIHGVRVILENKSDCFAALEIVHHLWPRIPGRFKDYIKSPKTNGYQSLHTVVLTEETVPLEIQIRTRDMHLHAEFGIAAHWRYKEGVRNCFWSVPEMVEWVRWVVTWQRESLHMEHPSSLAPDTLRCDIHTIPLGSDVYPFSDSKRCDHNTSILVILLENEKMSVQEITQNFTILDLLKRACCYDTQLRLRLNCHVTHNWNQELKMGDVLELIPLTPCKSGGYMRGLHQTFDHRLAISRS >Et_4B_039260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9187525:9191362:1 gene:Et_4B_039260 transcript:Et_4B_039260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPHPSSLHPPSLPPSPSSLSSRPSSPSASLPRFAIQHAPPPAAADLRARGADWSPELPSGAGIWAPVGVNRGPVHPRLRFLSPTVRRQFSRGKASTYWFRRNSAGLESGSVDLDLVPARFGSDLWVWCCVGMGTGEEPPARRENGGRRRRWMGDEDDDDEEYVADEEEEEEDFAEELPASSAGEEGEDSDAEYQEDEEEEEEETPRPEEDMDFEPELDDDEEEEEVNEYIDFNPGVARSNRQKFEDDMDFEPELDDNEEEVNEDIEFDPEVAQSNRRKFEENEEEEEDPPRPKSVAKCARRGHNAKLARSNQRKIDEDTDFEPDLDEEEEEADEDIDFEVDDDEDEFEDEDEEEFSARSRKVTNPVKRKSVSKRRSLKKKKTNKGSKVSKRKRKTGSAKSKKSAPVRRRKRFLVDDSDADSEDDDDFIVEDHVEENRPPRKKARTGTNKRTELDRPSVVEEETWPNLDSDTSEFEFVTSDEDRDDAGTTVVEASTVRKGKKKKRFGSESSSDSEFIVSDKELENLKETEPPKVLPVLSTPIRKISISTRHGEDKGKKKEEPEEAGIQICGICLSEEQRATVQGVLNCCSHYFCFACIMEWSRVESRCPLCKQRFTTIAKSSKADLGLGVRKAIIKVEERDQVYQPTEEEIRRWLDPYENVVCIECNQGGDDSLMLLCDICDSSAHTYCVGLGREVPEGNWYCGGCRLGDEGPSHSRIPHTAGYERQSYRNHVDFSSVGFGIAAQNGTYDRSSSINRHRSLQGIDLNLSPREAPGEVHASESQVSTDSVSTPSGTRATVSGRRQIHRYIRILLSRPRPPANRLDDMLPNFGQRNGAVPRTEPNHRSFCSSSEANTSQVRPDGFQNQYNGLPFAPSMPLDDDFQHIEGVKSNLKNMQ >Et_1B_010345.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9745224:9745472:1 gene:Et_1B_010345 transcript:Et_1B_010345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMIGGDFVEAYVLKNAYKEKLRRMEEAEARSRSRSSAPGEEKKKKAAGSGRGGLFGLMKKKVHPKAGAGSDMETTTAASS >Et_4A_032083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16018213:16018713:-1 gene:Et_4A_032083 transcript:Et_4A_032083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYFSIPDGLPKTYCRRKTLSPIVVDPSSYGLLQLVNHIADHFLWGSKQYISLWRESEHDDDVRFPIKSDEQLLQWFELNLDKGVVHIIAEIDDFEGPLQCSPNPRVDPTQLTQSTPTKERATPTKEITTSTKKKSYIQER >Et_1A_007623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36572028:36575827:1 gene:Et_1A_007623 transcript:Et_1A_007623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHQAGTEPLLPQHKQQNTSQDDAAAGLLGRCIMWITVVVLSALNCTMAANWYADQQSFMVYYSSLSLLALIACLKRYKKAEPGSQLRNLLKVAVWLLAIAFAILFSYMVVTVAPPGVAVVGCLMVVAAVAQGQKQQDGGLLPDDAATVRLARSVTWITALGFVLFTLNCVMAVYWYQDGQSFNFISFLNLLALINGGDGSPTDDVASGRRGRCVMFITALVLYALNSTMAAHWYAAGQQPVVIVEYYPSLSFIAIIVCLKRGEKAEPGSQLRNGLKMAVWLLQLGLALLFSYMVATVAPPAVAVVVCLMVVGAVAGVLYYFSSAI >Et_5B_045324.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:18370211:18370828:1 gene:Et_5B_045324 transcript:Et_5B_045324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNPPVPQNDSDWEIRVAVLLSLLLQIVLIFMAPMRKRTSHPFPRFLVWSCYLLADWVADLALGLMLNNMGNIGGGGNSSSSFGGGGGGGANVGSPPGNGGSPMIFAFWTPFLLLHLGGPDTITTYSLEDNELWLRHLIGLLFELFSALVIFGCSLRDNPMIPATVLMFVVGIIKYCERTYSLYYGSIEGILENIMASVASTLA >Et_4A_034289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31408062:31409029:-1 gene:Et_4A_034289 transcript:Et_4A_034289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRKSRRTCTPPPSPDSSPFEALPVNVLVKIAERTDAATIVRCAAACKALRRKVLNPEFIRRRE >Et_10B_004276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2489952:2491224:1 gene:Et_10B_004276 transcript:Et_10B_004276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSTFILIAVAAVAALAHGHPAANTPAAHFWEQALPGTPMPSAIADRVQKGVDHSPLVEHYTASSPSISACTLFESTCSPQTMAETGIFFHEQQLRPGSTMTLSFLSEADPAILPHDVAEKVPFTNLDDVLAAFNIPAGSAEAAQVRDTLSRCQAPPIAGEAKSCTTSLEATVQSAMRMLGVGDDDHAGGDVWAAASELPAAGLPRQPYAVMAAAPVDGGRYVSCHTVPFPYAVYQCHIARQGYRAYKVSLTGVHDGSVVAMLAFCHLDTAGWNTAHPAFEVLQTKPGGSPVCHFMSYGNLAFVKATGPTA >Et_5A_040178.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:19380847:19381626:-1 gene:Et_5A_040178 transcript:Et_5A_040178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAQAAAPPAGTCSAASCCSSTPCADASASPVIEARATPPLPPQQQRHQRAAQSFTQWRLPVHHPPQASASGVGDALLAAEEKFAAVEVVAALRAVEREIEAAARAVPPGVVTGVVAAVREPETARLAAKVLLVVLLEEGNREAAVEAGAASAAVEAASGPAGATAERALATLKLLCTAPGGAAAVRREALATPGYFFLFSKINSSGGSRHQRLLSSTTATNVFIAAVILNRLYWLLISSDSSLTVVSMFRRYMPF >Et_4A_034516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:462126:463069:-1 gene:Et_4A_034516 transcript:Et_4A_034516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DTAATHHRQNITAAVEARLPPPSISFAPPEFGSAEGSLSFFTKFGGKKSLTSPSESYTGTSASTSRSRTKPSPSPSATPSTRDGSCCFEALDYGFEPPDATVGERAWRWHCLEPPPFVLEPGYSPTGITAHTVVDDSHIWISAPGIGTYSFDMTAAAWRKVGNWVLPFHGRADYFPEYKAWLGFSAQNNNMLCSVEDLSTISKPAAVQSVLEEEEDMQADSEGWILNDSHLVHLGSAKFCVAKFFERVYDEPAEKGYESHICPQIERFLVLTGFVLDDLSGPGGGQLRMTKHKSRLYMIQGMTQGWVF >Et_6B_048629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11914488:11915998:1 gene:Et_6B_048629 transcript:Et_6B_048629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVEEALRYIDSLWEPGMWSVYTKIHFIREVFWCCDNSTERVDILKSKGSLGLGSVITKLGFANPDNNLYDSLAFLRKKVVAHQDSTYREYKGVKDDVGIDKRTILTFVQKIKPSFMIQLVKEVRQLHWIQESPLLR >Et_9A_062915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6586323:6588910:-1 gene:Et_9A_062915 transcript:Et_9A_062915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSRGVPGQQGFYNSLPPISRAFGTLCVLTTALVQLQILNPAFLALYYPFVLKKFQIWRLLTNFFFLGKFSINFGIRLLMIARYGVQLEKGAFERRTADFLWMMIFSAISLLVLSAIPLLESYFLGIPLVSMLVYVWSRENPNAQINIYGLVQLRAFYLPWVMLGLDVIFGSPILPGLMGIIVGHLYYFFTVLHPQATGKNYLKTPKWVCRQTLQSGQPTPAPVSSEEEAIDSTKIDLENAPCNVMPLQSCSVETELRTY >Et_5A_040431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21724436:21724789:-1 gene:Et_5A_040431 transcript:Et_5A_040431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SAEEVEALACREGVNLAADWERRKAIVESDCLTIAVKREQNHVAHELAQLAKRTTHTAVWRAQVPALSNHWLLTIVITSLSNQ >Et_5B_043918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17817448:17820993:1 gene:Et_5B_043918 transcript:Et_5B_043918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATAALGGLLPKLGTLLSDQYKLHKGVRGEIRFLQTEMETMQAVLNMLSKQPSHQIGELDQIWARELKELTYDIEDSVDTFMVHIDAPVHAKSHSFRRFFDRTMGLLTKAKVRHHVANDIQDIKRRIREVAERRARYKLEGVAARPDTATVDPRVLASFEEAAKLVGTDVPVERISNLLMEGNGAHQQKQMVVSIVGVGGLGKTTIASLVYRSLGGQFDCKAFVSVSLRPNMQQILSSILRQISRDRCINAGQKDPQELIIAIREFLEDKRYIIVIDDVWSAEAWKIIKGALIDNTLGSKVIVTTRNVDVANLSSVDGAMYKLHPLSDEDSKKLLSKRIFNQEEGILSELEEVTMNILKKCGGIPLAIITIASMLASIPNKSKYEWYGVYKSMGSGLEKDKSLENMREILNLSYNDLPSYLKPCLLHLSIFLEDYDIDKDLLIWLWVAEGFVGRDRGNYLYDLGERYFNELVNRSMIQVVRMNQFGNALACRVHDMILDLIILLSNQEKFSTISEDLHLVSPESKIRRLSLLGKNAYGRNEEDSKDEKVILPTTANMSHVRTLSAFGDAFQWMPPLSRFSVLRVLVLMCFDRKINNLKGLGSLHHLRYLRLRGTVQSEDLEEIGNLKHLKTLYLDDIQQLPQSILQLRQLEHLIIPQGVKFPYGFGNLVSLQHLELLNLWGTPHILAELGNLVGLRVLRVSGLEARNSNSFMKTFLRSLSNLPNINTLKINGGRGLVPLDCVPNPWTSPAHLQHIVCGGKYLYTSKLPRWFSSLSELSVLSIMVEVLRQDDLQLLGALPVLRLLNLKITEQGTAEERLVIRADQPFRSLTDFRFKHKERCWLVFSQGVMPKLQWFELYFEERKREGGGFDVGLENLTSLKKLSVRVDCYDARVSEVEDAETKCRDMIDKHPNHPTLELYRKNEGYIVKDEKESDSEASKQQR >Et_9A_062865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:588270:589033:-1 gene:Et_9A_062865 transcript:Et_9A_062865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRRMRYTPMYFRCSRVVKNLYTLAGWAWECVKFWTPLGTTVIVLWLLYRPDRFHPNVDSAVLADLNLTKATDHQPSSTIRYDLAVDLSFRMRNSHRRLSIRYLDMGASLFYFNGSTRLGPTDDALPAPFRQGPKNTTGLRPTFRGTVAVDPMVAAELERELAAGTVHVTVTLSLTLKYKVVWPFSEIFFYKYDCWLWFPPPRHAVPSIFNAGTRCWER >Et_9B_066242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8209506:8213634:1 gene:Et_9B_066242 transcript:Et_9B_066242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRFTVTSVLLAVFVALACLRPTVATAADAGYTADRIVRLPGQPAVDFAMYSGYVTVDELAGRSLFFWLQEAPAKVQPAPLVLWLNGGPGCSSVAYGASEELGAFRIGPDGATLFLNEYRWNKAANILFLDSPAGVGFSYTNTTSDLYTSGDNRTGHYVPELSQLVYQNNKGLEKPFINFKGFMVGNAVTDDYLDQVGTFESWWNHGLISDDTYRLLEATCVHDSLVHPSPPCDAAYDKATAEQGDIDLYSLYTPTCNQTLPAKKNRSLKRHYMRGSYDPCTERHSTVYYNRPGVQRALHANVTGINYTWTTCSDTINDNWRDAPRSMLPIYKELIAAGLRIWVFSGDTDAVVPLTSTRYSIDALGLPTTASWYPWLADGAKSTRD >Et_2B_021828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5956083:5959300:1 gene:Et_2B_021828 transcript:Et_2B_021828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLHKSTGSCLKRKLDDSLTEHSKSHHVQVEYVSSQVESGSLSDPLAHRCCIKPNLADDCVNYLRSSVPSRVVFYKGGSWCNFPEQILPSLVDAFKDQKSSVVVVMDNQPLLVDFLSMTLVNLKTRKQCSVAWLDGAGKWCVPSLFFDEEAIESTKLDLNAVEGSTRRITGDKVVKFPPETLNQVVHENTSPVPQNSCTADILRNMLVSVERGSERFMFVHNLFLSGMGSFAMPNSIVHIHRYSPNHITAQCRLHAFEKQIRLTSERCGAANLRYGWLGSKKKDIVRILMDGFVSTKNATGNVDLDPGILLSPENRAFTSVGLCDVDENGVQYMLLCRAILGNVGSTTPGSHEFPSRDVHGSVADNCSNPGSYLIRTSHLSTHLCLEYLISFRLAPNVQEYLGQKGLWFHPPPKQGLVDLSNIQPIICQATEGPTSPWVPFKVLFETVQGNLSPVARELLFLHYEELKENKITRKEMVKKMVLIIGEKLLVDSLTKLDKHSPSLWYKSPAKVAIDTINTTVNSICVNTSRIDQYATLTPDCVASCDPSVPITVHTVHASVPRGVPKKIPFLRTHTCHSVGPRLGTNGHAPLTKFCFYRYERPMFSDARYCIESPRYLNAYQLQVIKAVTLQHQVYPLKSSHQVFSIGRVREVWRHTFAYQVRHLKPIHKMLQSFEKSVILQAQYSGADTMIPVSSTPPTPSGAPKGNATASTSSEEPKHHQTPELGTRAKGINGAACVARKSPERTANLSAEEHKDSTLSMLPDSSFRLHEFAGYITMYIH >Et_1A_006052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17163027:17164969:-1 gene:Et_1A_006052 transcript:Et_1A_006052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSREPAQGQISKPGGNFNEPSLLGSLLQTLGGWGRRGQRGCRTRIRKNHRNHNNPHWYSDEVRMLVKGVETYGPGKWTAIKEAYFQTSIRTAEHLKDKWKNVKAGCGPKVKSKRKVVRFSLLSLGVQLGS >Et_4A_033737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26320498:26331925:1 gene:Et_4A_033737 transcript:Et_4A_033737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGAAPAGMDEKARRTRDLLASFYNTDPSASAAAPASPASLARLSPTATAASPLDSINSTSFDPEIYMNVLVQQSNLENLLQRHVKMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKTNIVGMEANMEQLLSKITSVQSKSDTVNTSLFDKRENIEKLHRTRNLLRKVQFIYDLPTRLNKCIKTEAYADAVRFFTGAKPIFEAYGDTSFQECKKASEEAIDIVIQHLQEKLYSDSEPIEARAEAVVLLKQLNYPVDNLKSNLLEKLEDCLLNLQNEPTQASIGDISKTFRAYLIIFPDSERRLIELAQALFSNRYETVRENLKKRVPSTDLLAMLHALIKTHSTSNEKLEESQLQITMETSKTKVSQGCIDLLQEFHHLIDGNTELLVKLRDLIIDWVQEGCQDFFQKLDGHFHLLSGRSKSFSQESSTLDPVHIEKVPAVLVLMIAQLCVYIEQTTIPKVTEELASSFSGGGARSYEYGPPFVPGEICRLYHSSGEKLLHHYINMKTQKISKLLNKRFTTPVWIKHKEPREVNMFVDLLLLEFNVVVSEVKQILPCGLIRRHRHSDSTGSTTSSRSNPMREDMLNRSNTHRARSQFLENHLAKLFEQKMEIFTKVEYTQESVISTVLKLCLKSLQEFVRLQTFNRSGFQQIQLDMEFLKSSLKEFIDDEAAVSFLLKEVNNAAHERCLDPIPLEPPILDKLINAKLAKIKEQNPNMQFIASEIEIDNSR >Et_2B_020923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24927653:24930676:1 gene:Et_2B_020923 transcript:Et_2B_020923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGPCFAILILLFRDGSVYGSWIVARTMRVKDLKERGEHFAFKEGMNRAEARRTTKDVILGDPVDYVTPKCVIDKTTHRDGSIYKKSLGFLKLFHITNRDEKPNTDCEPDQERCFRHSPTRMMQIFSLKLAQIPIGSNSVKLYGYIAARDSRDSLLNYILKCSRHDPIVLQQGSLIEMTGPKRGISRGACVLLEFDVRIKKGGQGEDDLQLIDGASEFSEFAPCRVITSRINGDYVEATIEVEIGKVQNGFSLSLSSFVFIYGLHEEIQLFHGIIGESCSLRRFVVAVEMDTWMHLKFKVGQKGCKNNLERYFSFKANNHGCNYQQVMRDLASISAKVTWSTLQF >Et_4A_035191.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:11078813:11079271:-1 gene:Et_4A_035191 transcript:Et_4A_035191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRVDAHAGTTTATRQSRVTAAGRVAPAPRLRAAPRAPCVGARGSGTTEPVPVEVRAQSVVADLQGGAEPELVAEELESLEEAAIAGEDEGRRPTDYDRRAHIFEESSRVFRELKQRHDGDLQGSGGGSSGNRADETATHDQQQQQPRRN >Et_2B_020857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24262934:24264410:-1 gene:Et_2B_020857 transcript:Et_2B_020857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VWQGRMHPNGGPLSVPPGFRFHPTDEELLYYYLRKKVAYEPIDLDVIREIDLNKLEPWDLKERCRIGTGPQNESYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIFLGSARRIGLRKTLVFYVGRAPHGKKTDWIMHEYRLDEESVEIQEDGWVVCRVFKKKNYQRGLNPADMAMLDGEELSQLQAPVPGAMPTEHKHNPLLHYDFPSFDPPMQLPQLMNADQPVPALYSGQPGAGVAMGMSSFDAECSQNLMKMTSSGGGEGMLHGGGGDGAGAGGGGVDHFASTTDWSILDKLLASHQNLDQLFQGKVTAASAMPTTPYHHQLMELGSTSSLQRFPLQYLGGEAADLLRFPK >Et_2A_016829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28714123:28715820:1 gene:Et_2A_016829 transcript:Et_2A_016829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHIFRIKTVWWLGHVPHALIIRSLSPGHLLVFPAWLPRQLSCCHGQAAAGDGNGRGGGVTAIYSLGDSITDTGNLVKEAPPGMFETIKHFPYGVTFGRPTGRCSDGLLMIDFLAQDMGLPFLNPFLGKNKSFDHGVNFAVAGATAMEPADQLNMSMPVPFAANSLKLQLRWFKDFLKDTEEATEFSGFGEIGGNDYNYAFFANRSVSEVEKLLPGVVRTIIDAAKEVLDMGASRVIVPGNFPIGCVPGYLAMKAGSPDYDSMGCLREMNLFAAKHNERLQRAVADLRSSYPNASVAYADYYNSFLQLLNNASSLGFDAASTRKACCGAGTGEYNFDWTRMCGAEGTSACAEPSKYVSWDGIHMTQAAYKAMSRLIYHGKYLEPQILSFPEKNNGQY >Et_1A_005120.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27933479:27933685:1 gene:Et_1A_005120 transcript:Et_1A_005120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRSTLPALTSRCATTRSHSSCRYTSPEATPLTILTRCSHASSGRACASPAWLNRCASRLPLGMNS >Et_3B_030206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31383768:31385241:1 gene:Et_3B_030206 transcript:Et_3B_030206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFAVASPVSARTQSRRCATGSGSQQSLYSSFNGVSVQCRPASRPRPCCSSRSTVQVVMMAKPSIQFIQGTDEQTIPDVRLTKSRDGTNGMAIFSFEQPSVFDSSAELGDITGLYMIDEEGVLQSVDVSAKFVNGKPARIEAKYVMRTPREWDRFMRFMERYSQANGLQFVKN >Et_4B_039695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26769301:26773916:-1 gene:Et_4B_039695 transcript:Et_4B_039695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSAAGRSGFLASVNLWRPAQPAPEPAPALPPPSSPSSDQAPESVTIPASEHSSHHSSRSSDLPPTPAAEPQTQTQTQDNPPAKKPAPKVKRVQSAGLLADSVLKRDVNTARLKDLYTIGKKLGQGQFGTTYLCVEKATGREFACKSIAKRKLLTEEDVEDVRREIQIMHHLAGHANVVSIVGAYEDAVAVQLVMELCAGGELFDRIIQRGHYSEKAAAQLTRVIVGVVEACHSLGVMHRDLKPENFLFVNQKEDSPLKTIDFGLSIFFKPGETYTDVVGSPYYVAPEVLLKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSEPWPSISESAKDLVRKMLIRDPKKRLTAHEALCHPWVCVDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKMLDTDNSGHITLEELKTGLQRVGATLMDSEIDALMKAADIDNSGTLDYGEFIAATLHINKVQKEDKLFAAFSYFDKDGSGYITQDELQKACEEFGIGDTRLEDIIGDIDQDNDGRIDYNEFVAMMQKGDNPLGRKGQSVDFGLALPE >Et_8B_059050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12953786:12954706:-1 gene:Et_8B_059050 transcript:Et_8B_059050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWSHLASLAVALALVQAASSAHWLNDYFFTDGNVRAAYDSSGQQVAMVSLNQQSGGGGFNSKKKFLFGEFSIKMKLIPGNSAGTVSCFYLSSGTDDYRDEIDMEFMGNATGQPVVLNTNVWANGDGKKEHQFDLWFDPAADYHTYTIIWNPVNIIFQVDDKVIRSFKRYADLAYPNAKPMAVHATLWDGSYWATEKGKIPIDWSHAPFTVTYRNYFADACVGGRKCPAGSGKWMRRQPSKAEWGTISWAEKNFMRYNYCQDGWRFPQGLPAECSRH >Et_3A_026012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34541213:34548004:-1 gene:Et_3A_026012 transcript:Et_3A_026012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGLFGWSPPHVQPLTPVSETSEPPESPSPYAADFAHGADAAAPPPDDDAHPPLDDQDDDPDPPPPPSPSSASSPAPTASTGRSWPQAASPPQPTGSPSSSTSTSSEGPSTRSTAATPTTSSTTSTRGLMLDFDWGKTDSGNKIQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFSGGLVIGLVNCWQIALLTLATGPFIVAAGGISNIFLHRLAENIQDAYGEAASIAEQAILYIRTLYSFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLITHGRANGGEVVVALFAIILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSTVNQDGRTLSSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRENIAYGRSATADQIEEAAKTAHAHTFISSLEKGYETQVGRAGLSLTEEQKIKLSIARAVLSNPSILLLDEVTGALDFEAEKAVQEALDVLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHEELLNLDGLYAELLRCEEAAKLPKRTPIKNYKEPSSFQIERDSSASHSFQESSSPNMSKSPSLQKTHGFLAFRNSDVNHNSHESPNIQSPPSEQMAEARLPMVASDRAPSIKRQDSFEMKLPDLPKIDIPLHRQSSKNSDPESPISPLLTSDPKNERSHSKTFSRPLDIFDSFHADEASKKQQTNAPSFWRLAELSLAEYFYALLGSAGAACFGSFNPLLAYTISLIVVAYYRIGARDVHDEVNKYCSFIVGMGIITVLANFLQHFYFGIMGEKMTERVRRMMFSAILRNEVGWFDDEENSADILSMRLANDATFVRAAFSNRLSIFIQDTSAIFVALLLGMLLEWRVALVALATLPVLVISAVAQKMWLSGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLQLGNILTKSFIHGMGIGFAFGLSQFLLFACNALLLWYTAVAVKDGHLSLVTALKEYIVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRVPKIDPDDASGLKPPNVYGSIEFRNVDFCYPTRPEMTVLSNFTLRVNGGQTVAVVGVSGSGKSTIISLIERFYDPTAGQVLLDGRDLKLFNLRWLRSHMGLVPQDPVIFSTTIRENIIYARHNATESEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLIMGNKTTILIAHRAAMMKHVDNIVVLNGGRIVEQGTHDSLVQMNGLYVKLMQPHFTKGFRQRRLI >Et_3B_028382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14526677:14533361:1 gene:Et_3B_028382 transcript:Et_3B_028382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKAAVLLLFAVVVAGEARLRQADCLRRLRWSASSRESRHVDESLAASAADDVAPRAKAPVGHKESDRVAALPGQPDGVGFAQFAGYVTVDAAAGRALFYYLAEAAGSEASTKPLLLWLNGGPGCSSLGYGALEELGPFRVMSDGKTLYRNPYAWNHAANVLFLESPAGVGFSYSNTTADYDRSGDNRTAEDAYAFLLNWMDRFPEYKGRDLYIAGESYAGHYAPQLADVILRRNARSTTATINLKGIMIGNAWIDEWSDTKGTYDFYWSHALISDEMADRVRKHCDFTDGADWDKLCIDAQNEVDISFPGMIDMYNIYAPMCLQSDPLVTPPVTPSIESYDPCTDTYVEAYLNNPDVQKALHANVTRLDHPCGVSLNWTDSPSTVLPIIKELLRKGIKVTIFSGDTDGNVPVTSSRYSVNRLQLPVAAKWRPWFVSNQLADVILRRNARSTIGKSSSPTIINLKGIMIGNALIHQWSDIKGMYDFYWSHALISDEMADRVTKHCDFTDGAEWDQLCLDVQNESDTLVTPPLTPSIDRYDPCTDTYVEAYLNNPDVQKALHANVTRLDHPWSFCSGLILNWNDSPSSMLPIIKELQRNDIKVIVFSGYTDGNVPVTSSRYSVNRLQLPVAAKWRPWVQEVAGYVVQYKGNLSLVTVRGAGHQVPSYQPQRALALVQYFLAGKMLPDCNECEQD >Et_7A_051265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16247063:16250109:-1 gene:Et_7A_051265 transcript:Et_7A_051265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRVKTSSEKGAHCKAIKVAAGVQGVESVTIAGEDRSLLLVIGVGVDSNKITKKLRQKVGHAEVVELRTVDDVVSAAEHAYRFQPSPSPYKHQPQAVARDHYYAGGGSYPRDNYYGGGGSAYVPPTMAAGSRDYYYGGGGGYTAPYQQRQHYYQAPPANMHTVVHHEYADDPNNCSKEIIIRMQPESEKCRRKALKVAASVGGKLPPCLLPYIGARNLNQCPASNHASTARCKFLLLLVSYGKKHECSRVA >Et_4A_032382.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:4300165:4300371:1 gene:Et_4A_032382 transcript:Et_4A_032382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding REAGFTEQRSLPASNGGRITGRCTISPLQAQSSSITDHHLFFSFSCNFTGCNHIRSNFYFFKKKTFAS >Et_1B_012529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32820166:32821941:-1 gene:Et_1B_012529 transcript:Et_1B_012529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDELKVLDEMAQRTTQPDETIIGLRTLDIYFSPSTPEEQSGLAVDHAAFGPDLAGRSRERVDVPHLNHRRHEVGGEEGWVGAVLLHQRVLRAAIEELLVGVQQPAPDEQVPVVRVVEGQLRLLVERRQVAVPGAHRRRAVAAAHLSEVPVDVGVVMWSRKFWHCAKPMVCAPDSAVMSRAFRPLLPNAVIRSVRLDFGEGRSVLARLWLAVVESRRPSCTAQVGPPS >Et_1A_007685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37285073:37285588:1 gene:Et_1A_007685 transcript:Et_1A_007685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGPIYWAEFQLQAYLGPPKSNPQIAKVQNPKTHKASPPLRHELVPFPFPVPGKPWRRASAPSPDPPWRPSADPQPSGAPQPPSPAPSLPSQAVGRQMALARSLQPLHSAVSAARLTSRLGAEVARAVSQGTLCSSYPGV >Et_10B_002809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11683494:11685475:1 gene:Et_10B_002809 transcript:Et_10B_002809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGAREGVYRRGIPRQRRGIPRPQQHCTLLRHRSRLLAMVESSTRVRFSSCFSANPMVRLNGSDWFLCSFFLFVVPVLMLGRDGWEGDLPSALLGGHIQAVPKEIHAPIDLTKADYLLQFRNSANRFVDQAIQFSAAAAKATITHKEKKHALDKVWTFLGCNDFNSYSKQV >Et_2B_020055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16450716:16458938:-1 gene:Et_2B_020055 transcript:Et_2B_020055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAESPPAMEEAMPTVPDVVLGESLVGDIDNEALGGEGDKVEEGAERCGDDVVATEPAAIAEDTNQPDVSKADEPEEGAGVEVQTDEVSAALDDLTQADADRTADVDDIAEVGAALVDGDSNVVSAGGMLRSDDLIGQEVGSGSLDAVDEAAYIVSDVRDDGSCLENDVAACDDGEHAQNEGTMDVATDLLHVVETEILESGNYAAYAGTNMNAQVQTKDDNEAEDADTISASFADEEGKHMSADTLTRDDNKENDIGPTSSIATDEGIQTDIAVTTEDYNDRKEVATSDGDRIEEEGMHMHAVTMTGKTNEESSIDGENTADEALNIAVGMVAPEEKVQMDEAGDDVPEEEAAQMDGADLAGTDNEQTEKKDEEEVCFICFDGGDLVICDRRGCPKAYHPSCVNRDDDFFKTKGRWNCGWHICSNCQKPARHMCYTCTYSLCKACIKEANFICVRGFKGFCDTCVNTVMMIENKEEATDQMDVDFDDKSSWWYLFKDYWLNLKEKLSLTVEEISGAKSQKGRSLSVIRDNDLDELPEEEANSERSSGRHLESSSPKKRGRKRTKQAVEEGSGGKERTKKSTKRGLAAVRDAQTSTGKKSRKLSRRALSNQAKESESVGTSTSSAEETSWASEELLNFVAHMRNGDKSVLTQFDVQALLLEYIKRKNLRDPRRKSQIICDSLLQSLFAKERVGHFEMLKLLESHFLMSEVSPIEADDNHGGVVDPDPSQDADGNSEASVVMSSEKKRKSRKYDQKGHQPNLDDYAAIDNHNIGLLYLRRNIMEELISEVDSFDEKVIGSFVRIRIPGTGQRQDIYRLVQIVGTGRAEEAYKCGKKTTDITLMILNLDKREVVTIDIISNQEFTEEECKRLRQSIKYGFIPRLTVGEVQEKARVLQAVKVNDWIESEKMRLGHLRDRAIFTLRECVEKLKLLSTPEERARRLNEEPVIHADPTMDPDYESPEEQEQENEKSSFNRSRGSFSRKDGNLASPGKGDGRNVSQRDSKTNWESNRNTWAESSSHMESPLARRSIFASPGESAGSDFGVQTVKMEAAVRCAPQGPSGISSGPKLASQSTINETEKIWQYMDPTNKIQGPFSIVQLRKWNSSGYFPPDLKIWKSSETRDDSILLSDALMGKFEKDLPPWEPPHATSPQIDKTFLRSNSDVGARPRGDTLEESTKTGELTPNSIAPNRSQSFSGRDQRQDYGTNNHGSTMIQSPTQGYYGMQNSQAAYASQQSLTGSWNAPSQFGVTVNPMTPTQPAMGVYPVGQNIVVPGNMGNLTPVSTPAVFNTEMVNSGLPSQNTILSDRSESKLGEDSSHGRLSSSGEGKPAVKIFLLQQNSFRAGLFRSSVLSPELQRFIMNVAYLGSSAIFLVGGNAWNLEMISQFGVKLVFLADLGQPGSQPGPAQMNSQQDIRSSTDASNSAMASQLMSTQSAESVQPSATAVANGDSQSSGWSIPQLANTTGQAQVAGNVTWGGALQGDTSTGWGMMGQSNMSMPWVGAQVQGATYNMGLPMPSQANAVPNMGWVANPGNTNMNLMWAAAQGQGTPNAASMMGAQMPGVAMNPWSGIAPGNANPYPGWGNQGGNMNQNAGWGGAMQGNSGQGNNNTNWNSSNGNSNWNNQQRDNGGRHSGQRGSFNAGDSGGRSWKPRSAPRGALGVCWDFLEYGECRRAFCNFRHPPRNDRHMDKQHPGNERQYDNQNERNDRQFDRQPSDNERHNDRHDGRPNDRDDNMHDERQADRSQSREPR >Et_1A_007040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30379309:30381725:1 gene:Et_1A_007040 transcript:Et_1A_007040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNIGMMDGAYFVGRNEILAWINTTLQLGLSKVEEAASGAVACQLMDAAHPGAVPMHKVNFDAKTEYDMIQNYKVLQDVFNKLKITKHIEVNKLIKKRPLDNLEFMQWMKRYCDSVNGGFMSSYNALERRENSKGGKETNRRASVPSQAAAKSASASHRAQVSSHGAKRTNGHVSSAPQRSAKTPTPANSGGPAYDEQITELKLLVDSLEKERDFYFSKLRDIEILCQNPEIEHVPQQNQQQQPTLSPILEASEERPRHEVAHKRKSISDMEEFEMAAASSRPRLSDISDVQLCGSPLTSFS >Et_9A_061665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15021245:15024900:1 gene:Et_9A_061665 transcript:Et_9A_061665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTHYATVVVVLLAAAAAAAAARRTKYECDPVDKATLLAVKAAFGNASYFQSWTPDLPCCRWIGSWCDESADPYTLRRIVALSFLRDDSLVGPLPGDAIAGLTALRQLILIHVPGVNGTIPRALTRIRSLSGIDIEYTGMSGPVPSFLSELTGLTYLRLPFNSFTGEIPESLADLPNLSYLDLGRNHLTGRIPPRLLSKASNAPFLYLCLSHNNLTGGIPADFAAVNFWTLDVSHNAFAGDASLLFGANKSLEVLDLSRNAFSFNLSAVQLPEKLVTFDISHNDIYGVLPPQVVNVQILNVSYNRLSGMVPAGGNMDRFDQFCFQHNKGMCGTPLPPCNWHYATVVVLLLATAAAATARSTKYECDPGNASYFESWTPDFPCCFWRGAWCDDYASPYTLRRIVALSFLRDDSVVGPLPGDAIAGLTALQELNLIHVPGVNGTIPRALTRIPSLSSIDISYTGMSGPVPSFLSELTALTYLKLPYNSFTGTIPESLADLPNLSYLDLGRNHLTGRIPPRLLSKASDAPWLTLCLSHNNLSGTIPAEFAAVNFWSFDVSHNAFTGDASLLFGANKSLATLDLSRNAFGFNLSAVQLPDQLVTLDLSHNDIYGVLPPQVVNVQILNVSYNRLSGTVPSGGNMDRFDQFCFQHNKGLCGTPLPPCK >Et_6A_046003.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:17811254:17811532:1 gene:Et_6A_046003 transcript:Et_6A_046003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWPLFAEQRQNAVLLADQGIGAAIRVPDQSKTKEKIAAVVRELIAGEGRGAAVRAKVVELQKAAAQGLREGGTAASALACVVENWVGGDN >Et_1A_007668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37014297:37015898:1 gene:Et_1A_007668 transcript:Et_1A_007668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFKLPEMALRLCVVPLTVASLWEMATNRQADDAYGEVKFSDLSGFKYLVVISAIAAAYSVASILLSSFKSLAQYDWVIFLLDQAVAYLLVTSASAAAELLQLAQHGDREVSWGEVCSYFGRFCGKATLSLALHAAALACFVALSLVSGFRVFSKFHPPGEVSDSADDCSEGKHAEEQGK >Et_4A_033266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20858298:20861065:-1 gene:Et_4A_033266 transcript:Et_4A_033266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDATDGGVRQRSRGCSCTKANFFPEESFSSWSAYGRALRSTGHRLADRVTSRSLESTELHEVRARSGADMKRDLTWWDLIWFGVGAVIGAGIFVLTGQEARDVVGPAVVISYVVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFVAFVAAGNILLEYCIGGAAVARSWTSYFATLLNHHPNDFRIHATSLSPDYSRLDPIAVGVIALVCVFAAASTKGTSRFNYILSVAHIAVILFIIAAGLTRADASNMRDDFMPHGFRGVFSASAVLFFAYIGFDAVSTMAEETRNPARDIPVGLVGAMALTTALYCALAVTLCLMRPYGEIDPDAPFSVAFADTGMGWAKYVVAFGALKGMTTVLLVSAVGQARYLTHIARAHMMPPWLAQVHPRTGTPVNATVAMLVATAVIAFFTDLGILANLLSISTLFIFMLVAVALLVRRYYVSGETADADRNKLAACVAAILASSVATATCWGLDAKGWVPYVVPVAVWLAATACLWAFVPQARAPKLWGVPLVPWLPSASIAINIFLLGSIDSKSFMRFGIWTAALLVYYLFIGLHASYDTAKALAAESAAAKVEDGDGKPVRGAVLNGA >Et_3A_024807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24465883:24469494:1 gene:Et_3A_024807 transcript:Et_3A_024807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASENEVTAAAAAAEEDAPEGKEPRQKGKHDKPKPWDEDPNIDHWKVEKFEPSWNEGGMLEKYLQEAWPIVKGALNEFGVSCELNLVEGSMTVSTTRKTIDPFIIFKAKDLIKLLSRSVPAPQAIKILNDEMLYDIIKIGSLVRNKERFVKRRERLLGPNLSTLKAIEILTGCYILVQGNTVTAMGCLKRKGLKQVRRIVEDCIKNVKHPVYHIKELLIKRELAKIPALATESWDRFLPKFKKKNVKQKKPHQTKEKRPYTPFPPPQQPCKIDLELESGEYFMSDKKKSAKKWQEKMETQAVKSEESKRKREAAFVPPKKRTKAFRKNEAQDVKVESYLANTEGLPSKKHKSEVQVAVLRHHDNGR >Et_8A_057957.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1026718:1028646:-1 gene:Et_8A_057957 transcript:Et_8A_057957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLRASLARLIQLAEQVIKWADEAQACRQECQDLKARVERVATLLRQAARAELYERPARRILEDTEKALEKAGLLLDRCRGQGIVSRIFTIIPAGSFKRMGYQLDNSVADLVWILRVSSQSSSGSDDDEDGDHIGMPPIAQNEPILFLIWEQIGVLQTGGLEARGDAAASIVSLARDNERYGKLIIEEDGVPPLLRLIKEGGAEAQESAALAVGLLGRDPECVDLMILAGVCTSFAKILKDAPMKVQGMVAWAVSELAARHPKCQDAFLQHNVIRLLVSHIAFETVQEHSKYAVASKMSIHSVLMDKKKNNNNNNADDAASASATTSTATMAAKPTVAGPPTCNVTYGHGMLTVGGHSSAAASVAATKQHSASLSGTSNTARAREFEDPDTKAYLKAHAARALCTLATGNAAVCKSITESRALLCLSVLLEKSSGDVQYNSAMTLLEISRVAEQSPDLRRSAFKPTSPAARAVVDQLLRVVEKAEYDELLVPCIVCLGCLSRTFRATETRVIGPLVRLLDEREADVTLEAAVALAKFAAMDNYLHVDHSKAIVDHGGAKHLVQLVYFGEQAVQTAALVLVCYIAHNVPDDDGLAQAEILTVLEWAWKQGYTSLDPVIESLLPEAKIRMELYQSRGAKAYYY >Et_7A_051970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3324737:3325860:1 gene:Et_7A_051970 transcript:Et_7A_051970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHIRRQRRRVNETKEFSHPKQTTFSTLSSSRSYFFLPEPPWPRADTLGKGSNITEGQALIWAGGTFTLVFFPRGVIQAASGTTSASGLVKPSAGLPTASARSTTMPACWWSATRGAFSC >Et_2B_021855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6085064:6088764:-1 gene:Et_2B_021855 transcript:Et_2B_021855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRFPIDCRETECKVERFQIHSYSETKKLFASRRSPCILHGEWNVGGYDWIILYHPNYSDLNKDWSVFRLALSRSCANVVKATLRCQLVDESGILPPFGDQGVTYTYTKLLDPGPPLLVAKHEDILNLGYLKNDSFSGECSISVQRSSQEEGSDDQLSVSLPSPDMNQQIGELLQSRKGADVPFVVLGESFTAHKVILAARSPIFMAEFFGPMKECSSEPHLMASCPFPTDDVLRAAVARSKDWLVFRLVPRKKCENEVKVTLQCQLIDQNGILPPFGKQCVTCRFMNTVDPGPPLLLVTHEELSKLNYLKNDSLVVECTISVLRSPGEVATNAGLSGLVAPTSLHQQLVELLHSQKGADITLVVSGESFMAHKVILAARSPIFMAEFFGPMKESSLDVVEIEGIEPAAFKAMLHFIYTD >Et_9B_064396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14786236:14790175:1 gene:Et_9B_064396 transcript:Et_9B_064396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEKKVVAGADEAEAPTFAELDLCPELVAACDAMRWTKPTKIQAEAIPYALQGRDLIALAQTGSGKTAAFALPIMQALLEHRTPFFACVLSPTRELAIQIAKQFQDLGSGIGVVCSVVGTPGRLLDHLRDTKGFSLNKITYLVIDEADKLLNDEFETSLDDILKIIPKERKTFLFSATMTKKVKVISKYSTVDTLKQEFYVVPAKHKDCYLVHVLDKMRGKMIMIFVRTCESTRLLALMLRNLGFNAISISGQMSQDKRLGALNRFKGKECKIVVCTDVARRGLDIQGVDLVINYDFPLNSKDYVHRVGRTARAGKSGRAVSLVNQYEAPWFKLVEEQLGKVICPCVVDKEEIEILENHVANSKSIALRSLKESGGFKKRKRTGDY >Et_1A_007517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35461486:35465644:-1 gene:Et_1A_007517 transcript:Et_1A_007517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLSCIHQGSLSRQVSLPAPVRVIAADGSLKEFPARSLVAVSDVLGLGGDAADSSFFVCNSDALYFNESPPALAPGEPLRPGQIYFVLPAAMLGRPLSSAEMAELAVRASAALASSGKARRRGRRHGRSGCKKKVRVMPVREEQENGGGDVFFNEKLNEQTLGEFGLLLRPARSDKKVASAARSRLKRALSIIREDAYSLTRQASFSPAPARVIAADGSLMEILPASHGASVSVSDVLDGDASPSFFVCNSDALYFNESPPALAPGEPLRPGQIYFVLPAAMLGRPFSSTDMAKLAVRASTALASSGGKPRRRGRNGKHDKKKKVRVMPVSADDHEDVDFVNEKLNEQTLGQFGMMSPARRNEKLAAADARSRLKRALSIIREHAV >Et_7B_054705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3712031:3713004:-1 gene:Et_7B_054705 transcript:Et_7B_054705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEELKRIDLKVNVSCCEGCRRKVMKAMSLKGVLRTEIKPSHDRVTVVGDVDAKVLVKKLAKVGKIAEVLPPSSDVDGGKKRDDDDDNSGGKKQEYSDKPAPAEGKIKEGKDDGNDKPAAAAACKQEECKKCAHGAARDDATDHSNGAKEKKAPSGKSAGEEEGDHGFDGKPDHAVAVQQFQQHYHRAEPAMVVPVHVPYYPPPAAAPYYGYHAAPPPMVVPLRPQPSRFDVDYFNEDNTVGCHVM >Et_2A_017347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33393542:33395514:-1 gene:Et_2A_017347 transcript:Et_2A_017347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQPFEYVVLPVPSAGRRRSSGVPSGSHAVARNTAAQGKGQAAVPRRARHSSVPRVHAERERAAAAGPSGGIHGHVAVEPGEVHGVRPEHARVAVQQQLRALLPADLRGNLAVGNQPGVRRGGGVADEAVQPVAVADDLEEEGEHLLGPALGLLHAAPHGRHAVVDGALLLLQAHHLRRHHGHVVAVGRRRRVLPLAAAAAGAAAAADDVAQEVGLAAEEGRVRELPPVRIHLAEALLMTVERKFHHQLPDEAGEVVVLEVRGQQRLREDEWVGDDEAVVSPAPADDAVRPGVLHHHVRLPHERRRRSASRRHRSPTHSARFLACVYIYTFPLSPQLELLLISVCVSLNKQTILAQDRRRQGGEQGSF >Et_4A_032442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10042623:10043999:-1 gene:Et_4A_032442 transcript:Et_4A_032442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPCLLPVLLAVAAAAVALSALPGGAHAKSKLAKKSDDIVNGPLLTEKLKAKRTLIVGPDEEFKTVQAAIDAVPARNSDGKVVIPETKPFIFVRGNGKGRTSITHESASIDNAESAAFTVNADNVIVFGISFRNSARAGLIANSEIRTVATMVAGDKGNIDFIFGNGQSMFQCPEIFVKPDRRTEIQGSITAQNRKEEDSGGFVFLKGKVYGVGEVYLGRVTDPYSRVLFSDMYLSKTINPAGWTSYGYPGSTANVMLAEYNCTGPGAEASKRVPWSRRLTTDEAAKYLTVDFINGKEWLPAYYY >Et_6B_049106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17955257:17958388:-1 gene:Et_6B_049106 transcript:Et_6B_049106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNILMAGSEPPSPCDSYLLFHGETQLSNGVRAFIYMVALAYCFIGLSAVTARFFKSMESIMKHSREVVTVDPHTNEPVVKHEKVWNYTIADIALLAFGTSFPQISLATIDAFRNLGQMTAGGLGPGTLVGSAAFDMFPIHAVCVIMPKAGSKKKISDLGVWLVELFWSFWAYIWLYVILEVWTPKVITLWEASLTVLQYGLLLLHAYAQDKRWPYVSIPFVREYLKVPDKDVEGSPTPNHVVKSTREDASWLVVWWQQFIDAVTLESPESRKMDSICLRFIRIFWNLIIAPWKLLFAFVPPYHIAHGWVAFICSLTLISGIAYGVTKLTDQISCVTGVSPFVIAFTALAAGTSWPDLVASKIAAERQVTADSAIANITCSNSVNIYVGIGVPWLINTAYNFFVYQEPLYIDNAAGLSFSLLVFFATSFGCITILVLRRVIIGAELGGPRLWAWATSVYFMILWIIFVVLSSLRVSGVI >Et_5B_045672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6765343:6767937:1 gene:Et_5B_045672 transcript:Et_5B_045672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLNHPESSSATSTPRAGAGAGNGGNGLHPPPLPPAPAPVAATPPPAQAIANGGPQVRLMCSFGGRILPRPGDRQLRYVGGETRIVAFPRAAASFATLVAALAKVAPALFAAGAPRPALKYQLPQDDLDSLISVSSDDDVDHLMDELDRLHDLTAAVAKPPRLRVFIFAPELHVGAFGSVLSGTGGEAASDQWFVDALNAPAPHPIERGRSEASSIISEMPDYLFGLDTASDEPSPGTTATRNKSDSETANGDDDAPAPPPGENESSFASSVASSWPPPPPPYMAQPVYYFPVPPVHYPSAQGGGYIPPPVYRIVGGGGSEAPGDLHPAAGGVGGVYGVPHPMQPYPPMMYAPPRPIVYAAEVKQLAEGGVHSSQASNRNNVPLLIGGKGKLHLERVK >Et_1A_008116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4502609:4504420:1 gene:Et_1A_008116 transcript:Et_1A_008116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAVAPIGLSWAPKLPSLPSTSGGGGRKSGPAPSPSTVQASLWKPANELVDGLFVPPRDPKKVNKLVKKSVKDTSGKGWFDMPAPTITPELKKDLEILQLRHVMDPKRHFKRSGKSKALPKYFQVGTIIEPASEFYSSRLTKKERKTTLVDEILSDPSLKSYRARKVREIQESRTPGGNQKWKNRGKQTLKRAKDRRK >Et_8B_059276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16026516:16026939:1 gene:Et_8B_059276 transcript:Et_8B_059276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRAGAEVVKGDATCRKKSIELLEELGLPKGLLPLQDIQEFGYNQATGFMWLVQGKKKVEHTFKKIKQTVTAFAEKGKLRKITGVKTKELILWLSVVEVYVPEASPEKVTFKTGTGLSDSFDSTAFALGE >Et_2B_022478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23242629:23244733:-1 gene:Et_2B_022478 transcript:Et_2B_022478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLLAALTPSPPPSPPRRESRRQPSAVRLASGVALAAAALTAAAAPPALAALSEPANALSLPTWAVHVSSVAEWYRLYLCYLMVTSKLSVRFLLLRMVTAMWLVWDYGERTGLKGWKGLSWGMVPLLGGAMCACTWHFFYNSESLDVLVALQGALTVIGNITMCIAAYRIFKASKEGSQRRSSIRMKPPLGQIWIE >Et_4B_037596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21626095:21627363:1 gene:Et_4B_037596 transcript:Et_4B_037596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLVDFALAWFNRTTTKMSANVGESTSAGSSGDAAGGSFECNICFELPQEPIVTLCGHLFCWPCLYQWLHVHAHSPECPVCKALVEEDKLVPLYGRGKDRVDPRSKNMPEADIPHRPTGQRPPPAPQADANNNNFANANANPWFMGAGVPLANARWGNYAFSAAFGGLFPMLSFQ >Et_7A_052310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6854579:6858065:-1 gene:Et_7A_052310 transcript:Et_7A_052310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKLDDQEAVALCRARAELLAAAVRHRYALADAHAALADSLESVAAPLHRILRLAHDAPRLALPSDRKGGNRQRRQSFDPSQSQLPPHGGHSSSHLQFGPSSSGSEPDSPADESPPRHIPHHHHQPQQPHYAYEYGYAPPPAFAYPAPAAAGSLHFYYARSRPPPASVAVAQRVHFGSFDAGSGYTQYHTYGAQAAQPAPAAPQRAATAPPSPPKASSWDFLNVFENYDSYGVDNYYYDSSAAAGEAAAATPYTPSRSSGEVREAEGIPDLEDDEEEAAVVKEVSGEYSGHRSGGGRSRRSSVGGASGVAEFDEPENVIAHRDVMGEARRRSSAPRHVSVPAPAPPAQMAVGSADADVAGEIKAQIVRTADAARQLAPLLEVGRPSYQGRSSGYHSSSKVMSAISVSHLGCKDADLLDVAVVGKVADSRSLSSALEKLYFWERKLYGEVKAEEKMRLLIAKNSKRLKLLDQRGAEPQKIDATRNLLRKLSTKIRISVRVIAKISRKINKLRDEELWPQVNALILGFVKMWEDKLDSYQTQCQVISEVKNLSSVDSDGSSQDLAIELELELIKWIINFSSWVNAQRNFVKALNGWLALCLNHEPEEACNGVSSYSPGRIGAPSVFVICNKWSQAMDRISEKDVVSAMQALVSSVRHLWEQQHLEQSEQIVAIREREKWTKILERKTQEINKEADELNKKLALVPNRHSLHMPRTVQIYEAHYVEASNMHINLSLVLQALESFAASSLQAFQETLRCAEGTRVPRDNARRRKQQHG >Et_4B_038694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3987718:3989891:-1 gene:Et_4B_038694 transcript:Et_4B_038694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAGIGLAPLLVTPIASTTHRSSFAVAARRPRALRRARCSATAASGEAGETGELSRATLLWRAAKLPIYSVALVPLTVGSASAYHHAGLFVAKRFFVLLAAAVLVITWLNLSNDVYDSDTGADKNKKESVVNIIGSRAVTQNAANISLLLGFAGLFWAFAEAGDVRFIFLVLCAIFCGYVYQCPPFRLSYKGLGEPLCFAAFGPLATTAFYFSNSSVNMARTALLPVTKTVVASSILVGLTTTLILFCSHFHQIDGDRAVGKMSPLVRIGTTVGARIVTIGIVTLYILLASFGICRALPSSCIVLGALTLPVGKLVVDYDNAKIFMAKYYCVRLHALFGLALASGLVLARNGVLV >Et_7A_052305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6838817:6842155:1 gene:Et_7A_052305 transcript:Et_7A_052305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRRRHHSHGRWVVPSVAPAAAAFAAAGLLLVVVAFHCFLSPPLGNGGGLRRPNPPFLLNKPAELHRNVIGSEDFAVPRGGSKLGDALWESKAASNFFGCSNATKQFADAKAVTESDRYLMIATSGGLNQQRTGIIDAVVAARILNATLVIPTLDQKSFWKDASDFAEIFDVESFISSLSNDVKIVRQVPDRNGKTLSPYKMRIPRKCTPKCYENRVLPALLKKHVVQLTKFDYRLSNRLETDLQKLRCRVNYHALQFTDPILKMGEMLVQRMRAKSGRFIALHLRFEADMLAFSGCYYGGGDIERRELGELRKRWKTLHESNPDRERRHGKCPLTPEEVGLMLRALGFGKDVHLYVASGDVYGGEETLAPLKALFPNFHSKETLANKEELAPFLPFSSRMAALDFIVCDGSDVFVTNNNGNMARMLAGRRRYFGHKRTIRPNAKKLYSLFLNRTTMSWDSFASRVQTSQKGFMGEPNEVKPGRGEFHEHPMDCICAKTKGKTGRSRPHSIKRPGEVVENHSSDGDLDWRDLDYGEHTPLGKDSSNETESDDNRIGGSDIPELEDMISD >Et_3A_026689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14097870:14106506:-1 gene:Et_3A_026689 transcript:Et_3A_026689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPADAEKVRRKKEKKEKRKKRKDAAEDDCAATAAGEETGHKKEKKKQRKDEDAGEEKARPKQKPTVSIAVAGSIIDNAQSLELATLLAGQIARAVTVFRIDEVVVFDSNPVAENGGAADGEESGARFLVRILEYLETPQYLRRRLFPMHKNLKFVGLLPPLDAPHHVRKHEWSVFREGVTLEVDKSKGTLVDVGLSKNVLVEQIIEPGKRVTVAMGTNRDLTTACVRKVVPSSTPSEDMGSYWGYKVRYASNLSGVFKNSPYKGGYDHIIGTSEHGEVISSSKLTLPSFRHLLIAFGGLAGLEESIEEDTNLKGKRANDVFSSYLNTCPNQGSRTIRTEAGLPSGDG >Et_4B_036616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:45580:52622:-1 gene:Et_4B_036616 transcript:Et_4B_036616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGLAMDAISNETVDLEHIPVEEVFEHLKCTRAGLTADAAQQRISIFGYNKLEEKQESKLLKFLGFMWNPLSWVMEAAALMAIALAHGGKDPAGNNLGIDFHDFVGIFLLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRNGTWSELDASLLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKNPGDGIYSGSTCKQGEIEAIVIATGIHTFFGKAAHLVESTTHIGHFQKVLTSIGNFCICSIAAGMTIELIVMYAVHEREYRQIVDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKTLIEVFARGIEKDDVVLMAARASRIENQDAIDFAIVSMLPDPKEARAGIQEVHFLPFNPTDKRTALTYLDAGGKMHRVSKGAPEQILNLSSNKSEIERKVHHIISNYAERGLRSLAVAYQEVPEGTKESPGGPWQFIGLLPLFDPPRHDSAETIHRALDLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGDKKGGDIAILPVDELIEQADGFAGVFPEHKYEIVQRLQARKHICGMTGDGVNDAPALKIADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLLACFWKFDFPPMMVLVIAILNDGTIMTISKDKVKPSPHPDSWKLAEIFATGVILGAYLAVTTVLFYWAAHKTYFFVHLFKVETLNVDKITDKTNRQLIAENTEKLASAVYLQVSTISQALIFVTRSRGWSFLERPGLLLVGAFVIAQLIATVLAAIVSWKVASIRGIGWRWTGAIWVYNIVIYLFLDPIKFAVRYALTGKAWNLVIDRKVAFTNRKDFGREAREAAWAREQRTLHGLQSAGPGRERAAPMELSQMAEDARRRAEIARLRELHTLKGKVESVVKLKGLDLEDINNQHYTV >Et_5B_043128.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8474895:8475440:1 gene:Et_5B_043128 transcript:Et_5B_043128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGRDTTGSALSWFPYLLTKNPRVVTRILQEIDTIQSTSTEDHTAWWPSTRTTSAASCTCTPRCASRSGCTRPCRWSTRRRRSPLTCCPSGTRCARPGTRSWCRCTRWGGWRGRVGRGLRGVQAGAVDHGGGQGEKARAVVQVRELQLGAPDLPRAGRGVRAALACGSCHLILFYLIFTN >Et_3B_029384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24541013:24542015:-1 gene:Et_3B_029384 transcript:Et_3B_029384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFHQYQVVGRGLPTPTDEHPKIFRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQILAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRSPCIQIIKTATVHFKLCKRDNTKQFHKSDIRFPLVYRKVRPPTRKLKTTFKASRPNLFM >Et_4A_034135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30169855:30171409:-1 gene:Et_4A_034135 transcript:Et_4A_034135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAQQAPAAAPPPERVVPSAPTNDDDDGDGEESGATTFRDIHPLTPEADAPTPPTRAASWDTRSFASSEEQFMTMSREFTAMVAAGAATMQTADDQQQLTSIGEDEVEETNPLAIVPDSHPIASATPARSTASRAATPLDLEVVPAAGPPVEARQVKKEEVETKVTAWQTAEMAKINNRFKREEVVINGWETEQVDKASAWLKKIERKLDEQRAKALEKTQNDIAKARRKAEEKRASAEAKRGLKLAKVLELANFMKAVGRVPTKRSFF >Et_6A_046685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19443582:19445479:-1 gene:Et_6A_046685 transcript:Et_6A_046685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKFRLGLLLAGGVAVSSQLGCWFNSRRHTQVSDRTCPKPAGLRPRLPPPKYGNHVTVLSIDGGGIRGLIPSVVLTRLEEHLKKIDGPDARIADYFDLIAGTSTGGLIAAMLVAPYKTSTDHAPDDETSTDHAPDDKTSMDHAPDDEKSKVWRPKFDAEDITKFYKEHGPEIFSYRRSSWLLNCADCYIRPRVKRPDRYDFLTRMLCGPKYDGEALHRVIKEKMNGVTLGETGTWMMVLTVDVYDRSVKQLGSRKHRNLPLEDACIATTAAPVYFPAHEFKYGKCHNCGKDTCYNLVDGGVAANNPTLDALWCIMSRRKDWNSEPFGFNKCLVISMGTGAAMQRYKAEECKRWGVIGWLYNFKNGHRPLLDILSTASSSLISLNTEFLFHFHNCQNNYLRIFPKLDFDVALDDATEESMNKLIEAGKDLLKKPVMQVRFEDSKWAWQPKLDEKTKEPIPNDAELQRFAKMLCEERNLRFDTEKVKNAN >Et_8A_056823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17387135:17423750:-1 gene:Et_8A_056823 transcript:Et_8A_056823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCLRRGLSLVRQNSPRSLPQPPLRPARLLLRHLCAATMGEGSAAGKEAKGKGKSKAPAPISALIVKRDDPYLETVTAKRVRMFEEIQARQALERLNIGGQPIKVTLPDGAVKEGKKLITTPMDVAKEISTGLAASCLIAQVNGVLWDMGRPLEGDCELKLFKFDSNEGRDTFWHSSAHILGESLERAYGCKLCIGPCTTRGEGFYYDAFYDDLTLNEEHFGLIESQAQKAVAEKQPFERIEVTRAEALEMFAENKFKVEIINELPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAFSCQKASSSYWRGKSDRESLQRVYGISFPDAKRLKEYKHFLEEAKKRDHRLLGQSQELFFFHPLSPGSCFFLPHGARIYNKLMDFLRQQYRERGYQEVLSPNIYNMQLWETSGHAANYKENMFVFEIEKQEFGLKPMNCPGHCLMFDNRVRSYRELPLRMADFGVLHRNELSGALTGLTRDDAHIFCRENQIKDEVKGVLEFINYVYEIFGFKYELELSTRPEKYLGDIETWNEAEQQLTEALNEFGKPWQINEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPLRFKLSYSAEDEAKVERPVMLHRAILGSVERMFAILLEHYNGKWPLWLSPRQAIVCSISSNTVDYAKQVHGKLHDAGFYVDIDMTDRTIQKKVREAQLAQFNYILVVGAQEAETGEVSVRVRDGADHATMSIDAFIALRPAVPLANCFTSPFHTGTLGGACSAGYAP >Et_3A_025033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26258707:26261413:-1 gene:Et_3A_025033 transcript:Et_3A_025033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWRRASSGSTAPVASSEPGRSLTSCNTRVSPEISSAEEAAAADEGRWSALVPELLNDIMRRVHAGAERWPRRRDVVACACVCRRWREAAVALVRPPLEGGGITFPSSLKQPGPRDAPIQCFIKRNKGNATFYLYLNLTQALTDEGKFLLAARRIRCGLRMEYVISIHSDDLSHGNHVVGKLKSDLMRTKFTIYDRQPGHEGAKAPKSRSSPWIVSKQTSPRVSACDAEIGEVSYEYNLLKSRGPRKIQCSIQYPAHEAAIDPKEAMQRCSPSSVVLINKIPRWHEHLQCWCLNFHGRVMVASVKNFQLIPPMRSGVSWGVQDDETDDGTVILQFGKIEEDVFTMDYRQPLSAFQAFAVCLTSFGSKLAWE >Et_9B_064881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19501249:19502292:-1 gene:Et_9B_064881 transcript:Et_9B_064881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGDDVCGGGRRTLASELARVQAMVRMLEENMDRDMPAAAREVCGELASSVDRSLQIARSWFGPESPGSGDGGLDTAGTGNAARDAHFKRRKGVPSAQKQVRVASLQDMAALEDGHSWRKYGQKDILAAKYPRAYFRCTHRNAQGCLATKQVQRVDGDPPLFNVVYHGAHTCVEGRAAQLQPGQEQSSPPPALETGGLHAGFEPTTPFPFASTPPGGDLGGGGGYPLLSPTSFDWQQRSIDATGADMEFAPQYEELFSNPPESFQWEFQDLYTAN >Et_4A_031782.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:14189496:14189819:1 gene:Et_4A_031782 transcript:Et_4A_031782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RTQLLEGGVTTRGHQHSLDNTSTNAIVDNSLDESKLHIVLCAAVRYCKHNCICCSYFEPERCYDSWDECKANCPACDPHCRQILTNRTFTKEPTRLYELSYSGGDHA >Et_4A_032723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1300228:1304882:-1 gene:Et_4A_032723 transcript:Et_4A_032723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPSNDHAVTPKTAAKDAASCGYARTPPKVSPDEMRAVARKFADKPIQDTEPGVWAVLTAISKKARLRPQGMNILLSAEEHCLGRTVEDCFQISGPSISGKHCKIYKDTVLGELNRHEPVPVYIKDTSSNGTYLNWRKLKKNSSPAKLNHGDIISFTTPPHDDTSYAFVYREVNAVSCIEIGANILKRKSGEVGSESKRLKGLGIGSSDGPVSLDDVRRLQKSNADLREKLEAHVVTIETLRTERKMAQVQHEKELKELKETTSSSYLDQTKSLQLTLEEKQKQLDSLVTSNTELQNSVKDLDERLSASKQSRADADEIISSQKAVIGELEVQLSEERNLRIEERDKAAEDLKSALHKMQAEAQEEIKRQAESYLRQQREQKEVISKLQESEKESRLLLDTLRSKLEDARENLVTSEKKVREIDAQLQEEQQVSANSRKKSENLESELRKLKKELENEKAAREEAWAKVSALELEIAGTIRDLSIEKQRYQGARERIILRETQLRSFYSTTEEISALFAKQQEQLKAMQRTLEDEENYESTLMSVDLNKVPQAAVDTDNTRVKAADYSKNTTEASGASTENTQVSEHSSTDEDIDMAEQQDDGTGEGCSTQGLEGTSPERSEERFRSDVHGDPVATAPEREVTDTEQVPETESQAGNVGCDDQDSNLQRCDNMGGETMPLEDEVQPQENEDLAPLLQDGGQARGNEESPPIPKDGIGHCSEETHEDDCSESKRQATHVGTIRTADLLTSEVAGSWAVETAPSVNGENDSPRSLGDMAADDAAGQGKNDGDAADALITLVNSDGQAAGSQNNVDHAVSKTKDQRRVLSAMIEIVDPEFRKQISRSGIKNSEQLSDAETEEGSDESDTDDDSEEAIVEDSVG >Et_10A_001998.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:17753265:17754026:-1 gene:Et_10A_001998 transcript:Et_10A_001998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPIRRWRVTMLKGKFGRRMGHNRREIDLLGLRNRNICIWTAIFWGPLKIGGPVRSHSSHGPRDGPGHIATDLYLAKCRDSHDENYRDNIRVLSNHMLYLMVVHPYLLPGVVRSGRYEWNLKYYDIVWWDVLKSTKEGTKNLSRAEIVKKIAEWKIPAYSMNKYIYGMGEERPADYVDDFSVYDDGSWLAGMLLDYRWRLSASDMLEVIAGVWVELMCYASHHCGEESHAKKLSTGGEFINVVWLIMGQRP >Et_10A_001099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21052968:21054811:-1 gene:Et_10A_001099 transcript:Et_10A_001099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRDLGDWGVCTGSSRKMQLTSALTAITQCGSYGIAHRTAGKGFWHMRGGPFLCSLNAVNEALVATHLCGCCYVFIEFWTWWGNTFGFCSRAIVDQTIRVSDALSIFLFGLGGMGHAPLNMLGAIHPQVAAGGAATVICEVQEAGDCLGADCVPFYVEMKKLLEFQIDDVFQMKQKSGWLGSMEYIKLELPWAQSKDAWQALLNELPDDRKSDQTDKKEEVSSDAQITQLIKEEGQYPLHDPQKMMKNSKFSEALHRQAEALHYTSVTVVTKQLCSWIYLFA >Et_6B_050086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5710546:5711320:1 gene:Et_6B_050086 transcript:Et_6B_050086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRGNAEAGKAIHVVMFPWLAFGHISPFVQLARKLVSAGDGGIQVTFLTASGNVTRVQEMLASAASAVPVRALHLPSVPGLPAGAASTAELSAEGAELLKLSLDGARGRGVVRAGWVQQQHILRHRSVGCYVNHAGFSSVVEGLLAGCRLVLLPLKNDQYYNAALFGSRELRVGVEMARRDEDGWFRRDDVTEAVAAAMAPDGEGDARKWREFFIDDAVQTTFADKFFAELKEIIHGRPKVEFLYCNMC >Et_10A_000746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16338258:16343541:-1 gene:Et_10A_000746 transcript:Et_10A_000746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPNLLRFLKPFIEPVHIQKYAGKRVGIDAYSWLHKGAYSCSMELCVDPKSTSARRYISYFMHHINLLRHYKVIPVVVFDGCSMPCKAATDGERRRRRELSLTLAKEKLEQGNKAAAIDLFRKAVHITPTMAYQLIQILRSENVEFVVAPYEADAQLAYLATLDADQGGIDAVVTEDSDLIAYCCPAIIFKMDRFGNGEEFIMKRTLQTDKDGLSFRNFDKKLFTGMCIFAGCDFLPSVSGIGTKRAYSLILKYKNINCVISTLKLDKRYRVPDDYANSFWRTLAVFNHARVYDVKSKSLTHLKPLDGQYLDYLDGHLDILGPELSPSVARGIAEGKLNPVTLEAFDPYSRTIRPMEFIDTSAFKVTNECGSLEIMSQNSCVTVLTSQESKENVTVGDISSTGQKGFLALGKFLLQKQSTRVESNEVGLKNVPENNPFKKRKLTTNQVLGETGPTELLIDLHDEGSVLSCSPLSKQSSHPNKIVKALNVGQVKCEEQDSLVNEVPVSLCSSSTKQSAKSLPNEISSMRQKVLKKSANKSKTNVNENKGLLKFFIRK >Et_2A_015272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10148833:10154005:-1 gene:Et_2A_015272 transcript:Et_2A_015272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPESYIGSVISLTSKSEIRYEGVLYTINTEESSIGLRNVRSFGTEGRKKDGQQIPSSDKIYEYILFRGSDIKDLQVKSSPPAQPAALHNDPAIIQSHYPRPASVPTSLPSAASTTAADPSSHNAPSGIQMPSPFQGNLPPYQPGASLPPWNSSPMPSSANGTGLTMPPMYWPGYYTPPSGFPHLQPPPFLRPPHGLTVPQALQPPLQYPGLNGPLPAGFPNMPELPSFLQPGNNNNNLAQSSVLSTSIPASSSATESSESQVLNKLSSASSAVVSVGLTTPSSVEPTVSLTQGMPAPVNIKPVTVPDSSLPSLSSEKPVSVPASMPTYLPPSQPPSANVAPVSVAETVSLVTPGHLLPTTSSVAVSSHALQTASAVVASSKNASSMVPSSQETSSVVPSYQATSSTVPSSQATSTIVPSSQATSSMHSPSENASSSLVRKPLDVRSENKEAKQREWKAKQSAVAQSKKEPLLPAPKPVLQKPGGPSSYVQYNNRSHVQYNNRGRGRGRGRGRGNEQPHPITKFTEDFDFMAMNEKFNKDEVWGHLGKGNDDDANDYEDNVLEDDEISPRKPEAKYFFPLVAAKPVYVKDDFFDSLSCNTIDNGGRNGRIKFSEQRKIDTEVLNNHAYVLLLPCHIALTFNDNTSFWLPLVTLQDIGQWACGEGGVPVVDLVVVAIMGEGMDIWVEGVATPTQITSEDRTDGFVPRSR >Et_4B_039836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3663092:3663507:-1 gene:Et_4B_039836 transcript:Et_4B_039836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTTCAGERRCIDVWLDMSLYCQNYLMRPDDPKILPSNRCCDAVQQIDIPCLCSFVDAPFEKGVSMVKLVYVMDACMKPLRPGCKCGIYTVPSSGQQDGDC >Et_9B_063918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21475227:21475907:-1 gene:Et_9B_063918 transcript:Et_9B_063918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDPNSPSSSTSSSSPSSAASPTGRRLPPSSPRPVPRVIDTTPFPTTFVQADTASFKQVVQMLTGSDTPSSQKPAKNHRHHHHSHHEKQAFKLFERRNGMKNLKMIAPLAMAAAGPSPRKTPEILSPSVLDFPSLALGSPVTPLVADPFNRSSPASASPGEEEAIAHKGFFLHPSPRSAEPPRLLPLFPVTSPRMASSAAAAAAPASQ >Et_9B_065073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2135557:2137105:-1 gene:Et_9B_065073 transcript:Et_9B_065073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSDPHLSIFSPSEVEFVAEDEVVEIVPNIRMDALNMICGDFGPFSPQIPSKVPLWLAVALRKRGKGTIRTPDWMTVDRLTQVLDVERESPREFQPLPFHYIEISKLLFDHARDDISDAYLVRSLIEDIRDVRFHKVESGLETISGRTHAVKLKNLSAMEVNIVRPFMVRTLQAFYKHDSPQMIQQADNTGSRPTQVADRGPRRDLRRR >Et_5A_040543.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:745873:745914:-1 gene:Et_5A_040543 transcript:Et_5A_040543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTAENRCNNS >Et_7B_054175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16513261:16518651:-1 gene:Et_7B_054175 transcript:Et_7B_054175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVPRMKLGSQGFEVSALGLGCMGMSAYYGPPKPEADMIALIHHAVSAGVTLLDTSDIYGPHTNEELLGKAVQGGVRENVQLATKFGIVADSEGEIRGDPAYVRAACEASLKRLGVDCIDLYYQHRFDTRVPIEITVGELRKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDDLPRFQPENIKKNAEIFERVNEMAARKGCSPSQLALAWVLHRGTDVCPIPGTTKVENFNNNVAALSAKLTPEDMAELESYASGDIVGDRYHDFLNTWKDSETPPLSSWKAE >Et_6A_048198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9500013:9502376:-1 gene:Et_6A_048198 transcript:Et_6A_048198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRLSGDLLSSNLVPTVLKEYSMTPVTEGPDAIATTRVVITRDVNNTTKDALRDHSFVRDYRGGGVGRPRRRAAAQAPVHRGSPPVPGLLAAGALGASPAPSPSSAASRRAPPKCGTTWLKALAFAAAARDAYPPLSAAGAGEHQFRRLNPHDCVPFADELFASFPAAKLDRLPSPRLMNAHLPYTLLPDTVTGGEGCRVVYICRDLKDMAVSMWHFFGPHVPRRVSSPSATARRGWARVLFLRYEDLLRDPGRNVRRLAGFVGRPFSAAEEAAGAVEAVVELCRIDQMKGLEVNKTGTVGSHVVAFARGSYFRKGVAGDWTSHMTPEMAARLDGIVRDSP >Et_6B_048712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1307606:1309968:1 gene:Et_6B_048712 transcript:Et_6B_048712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADLLRREEEFYSSLFDSAKGDGVKSRSQLIERKIEALEDMATKVSNRRSRKWLNDRLLIELVPRLHVEEIKGLFAPPPWGDELPLSAFSQTSIGEWDAFRSIDMDAEEKLMQRMKQSSEKQKMTRVDEDEMRALNAWRRIDRQTREAIKKNFLPELLEIYEERVRAFIEDTGDKDVLVLNVQDPFQRLLLHGVCEFYNVSSTTMSSVRDGKPWKTTTIKKRVGTGAPSRITLVSFLRMKKNGSQ >Et_10A_000001.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:10654210:10654746:-1 gene:Et_10A_000001 transcript:Et_10A_000001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding STGPGHGISIGSLGDHNSEAQVSDITIDGAHLSGILFGARIKSWQGGRGYAKDIKFMNMVMDNVKNPIIIDQNYCITSDPTKPKVCEQQESAVQFSNILFNNIKGTSATKDAIKLHCSKAFPCRDIVLQDIDLKMKAGGKKNTVTSSCENAVLSKTSNVSPVACTSDVTKEEIAQEQE >Et_3B_031062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1371143:1372597:-1 gene:Et_3B_031062 transcript:Et_3B_031062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAIAVLLLLLLLVFPCIHGAYSVHPHEPFAAIFSFGNSYADTGNFVRLAAPLIPAAAIPMNNLPYGETFFGHPTGRASDGRLILDFIADAFGLPFLPPSLDKTQNFSKGANFAVTGGTALDPFNSSLSVQLEWFEQLKPTLCNTTQGCNDYLGKSLFFMGEFGGNDYVFLLAANKTVEETSAYVPAVVKAVADGVERRIHHGAKRIVVPGNLPNGCIPILLTLYASPDSSDYDNNGCLKSLNGLARDHNDLLRRQVLQLQIKYPYTRIAFADYYHPVLSFLQMHGYYGEITFRRQLGAGGGKYNYNPMAPCGFPGATACANPSKAVNWDGIHLTEAAYKDIADGWLNGPFAEPPLWSLAY >Et_6A_047424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5564586:5572136:1 gene:Et_6A_047424 transcript:Et_6A_047424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSHTSGPVGSAAARRAATFAGASVFLSRNLVAPEVFDAVHDALRLNGAEVLLCADPGRTGPLDFHVISSSSHEKFADLKAKGCKLLGPQCVLSCAKERRFLPKQSYTCCLAMDGVKILCSGFEKAEKAKIEELVTAMGGLLETNRSMDVNFVIVKDVMAVKYKWAVNILKKPVVTMNWLEQCWIEHRVVPHEPHRILPFTGLNICVTKLNQGERKELERTVLQNGGQFSPSDKYLVAQRWGNVHIVTPRWVDQCVARRACVDENSYLVCPNSSALSAIKSSLKEQHNPEISSASASLQAVTTTSVDDSVSTSQFVPTSVNDAEKNSSTDIVGAYCVQETIEMHVVSHVAEDSESENDDLYLSNCRISLVGFDEKEMLRLVILIRNGGGSRHILLSEKLTHIVLGAPSEDEKREVRRLAAWGIINVVKVTWLEDCSKAKKEVKVSPIHVATDLLLKEFSHMSMEKSADARETKVARSSCGIFHVPTVNDSHGKELEKDTPPVRKPSRGKHDNMDKTLMARSAKSRQQNGVASFGEYHPSSQVTATMNSGSSKSSNIFRGKTFGFSYSFSHDKKPEVVDWVREGGGIIVDDIQSTPVEFIIECHGRSSMPCDFSRSTVVSTQWIRSCLEEGCLQDVTNHPIFSPLRCRIPLPGFEKFHFCISLYEEKERCLLKNLCFTLGAKFSVKAKKGVTHLICKFAFGQKYEAYSKRGTPSITAEWLFECVKQDTIVSIDDFQPKPPTSQDKDANLCTVSQYSTQAARFDCSLSELLTGCEKMTSNPIDNSGIAAPNEETSAPAVNKRRRLSASGKVGDTCENIGRTERQLDSSPVPDVADAIEIFEPDNSAVVQERKDTHSFGISRSWLNMQQKQDNTPGTKIQSANSSPVPSPVPAPYYPFSETQTESQIVGYEEDLTGRQKIIDRVRSQSINVTPSNEMP >Et_1B_012169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29595142:29602488:-1 gene:Et_1B_012169 transcript:Et_1B_012169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRPAPDGYGVINEPNFRPGGSYNGRRSVDEGFPRDSYGRGGFCQNVHDREMYPPPPPVGSMWSQPRRNHDEGYATARDHRRHDTDYRNDGKHHEFDSYRGVDRLRENYHAADNYHESGSHRDFGVDRHKRIGSRDRPEFHGEFEDRYRSSSHQSREDSYERDHEYGRYSYDSDYERSGRDNSWRRRDSCESEHDRKGLSRERDDNSYMRHSRSRSHGRDDRSRSRSRSRSPRAKSRSRNQRDDFYEDNRFDRRREYDWDERKHGDSLIPSATVVVKGLSLKTNDDDLYQILAQWGPLRSVRVIKERNSGMSRGFAFIDFPTVEAARRMMEGTGENGLEIDGRNVYFEYSSKPTSGMSGSAPGQENFTRSTFGSRTGTPCDWICPICGCMNFARRTSCFQCNEPRTEDAVLADAPGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEEATKALEATNGITLEKNGQVLRVAYAKSTHGPVSSASQSNSLAAAAIEAASFAQQYDAIGWAPKEYTTDEKQNSNLESQKDGPAAQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDSNSGVWYSFDQQTQQYVPCTDQSNTKAAGNVANETTKTSEGNSGKKVVISAPAATIKQSEKTSLPDAVQAAANAALAAGKREKEKAKEIKLASKISLLANKKKMNNVLAMWKQRNQEGQGSRVAHDDKEHSNSDDKFNISHTGPGFSSKSKPKSDFGNGRDLNLAANYNSLGQGTAATQMVDSEVKPIPVSNSLGTTVMGVIRGSSRGVIKSDTTFHSLPDAGTTESRSTITSTSALMTNAEAPTASTPFKTDVSALVSSTSTGVSGSGKRRFSEAPVQPQYRDRAAERRNLYGSSLGNDSGGLDSTGDYPSRKGSSEIGSMPFPPGVGERSSGEIGNTENYEIITADRAIDETNVGNRILRNMGWQEGLGLGKDGSGIKEPVQAKSVDVRAGLGSQQRKADPSLEAQAGDSYKTIIQKKAIARFREMS >Et_4A_032700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12737212:12741010:1 gene:Et_4A_032700 transcript:Et_4A_032700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECSSAAAKTSVWWDIDKCAVPRGRGDPHRIAYNIIAALAAAGYAGPVSIAAYGDAARVAPPVLHALSATGICLTHVPAGSKDTSDKRMLVDMLFWAFDNPPPGNFLLISGDRDFSDLLHRLRMKRYNILLVRPSNASSQVLAAAAKMVWLWENIAAGDALRPESPPKSSVLGCKLDVRNPSGVLDCKPNVNNSDPLKCPQNKILRENGKCDDNVKASSQCRVKPLQKYVKKASSASSPMGNQHQVESIGGTSESSTGSRTSELDQSSVPSSSSSSNLLESMNTSVLLDTPLLSMTSAQKHVQSSQPQQVEATDIVIYGEKLSTSTECVARNGTLDFGGSRKQYNQTDQNQNDLRSEFRLGDNNEKASHRHRVKPLQKYVKKTDTTFCSASNQQDSVRVPGCPKGGTRSEVDQTSVSSSSRSSSSKTPTIARANNLGQPEASTLSHSSDKRFVASAHMHQVRTPHESILVKKPSISVKQASRNGTHGFNVSTVHYHPASQQSRSSEAQIKIHSNLGVKNGNLGNERKLNQQVYVKKVDVLSTSACNVIAPVDEYPDNPRGNTSSHSSQSLSASSGSKTLHSEKLNQSTLNSDPPFSLSSAHKPIITDHLHPDCAGSIFGKKDSTSVQHAYQNGTSVQHTYQNGTFTFGVNNGYCHPTDQQSKSSLPAEQNLSTTTHSHSVFGHYHSINSEMESSARPLAGHNGVSSAQMLASPSGSTFQGLDDICSGISRLNISECSRGTGEVKPLFQGAPTIDPSMGMTDSSGHSTETRSSFHSGSNNRCYLNQSSDPQAGQSQSSDYTCRGVHSSNLPSDMKNPGQHGEKSGTLPNSLDPEGTIGHILQALDILKAEKIFPTESNITDCIRYGEMSLTEFDVKKALELAIRHQAVVMKKLVNDMPLFVPKDESLWKCVNVTNSKSKNPIEALDTVQKYISSSDGYLAIKNSQSRYQAATILKESCLQHYVLGDVLQEEVAYASFFRLAAAVLKHNSGYCPYWCYQKSLVLSCQCDGYLDALLISDLKQSKFVNSEMQSERECRNVLLLYAHV >Et_9B_066234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:788531:790925:-1 gene:Et_9B_066234 transcript:Et_9B_066234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSSQPDCVLLVADANLGEHRDDTTASTTTRDHLSIQVSLCPAPPPLPSKLYVHCPDLTLTVPPRVIRTDEDLFLIRVAMDEGSSPMRSDYFLYTAGNQRRSTLQLLSRPHPHFQDEDVGILSRDGNYTVAALIATTKPEFDLHIFHSDHPKWIYRKVSVAEPPLRFPMLIPDNCVRLRYHETSTVISVGGEAGTMGWVDLWNSILLCDLLKDDSTLRCVPLPVPLDLVTCDHGLGTELGCPTPFRSIAFIKGSGNNPHDCFKLVHLETQATVVPGDKSSEIEPGNISFQMRDWTIVSYTNTAMSTSWKDWDTDCRIKASGITIEPDIKTQLVQCGLLDSTSGQSLHNLLVSHPALDISAAADGAVVYLMARKKYRHPEAWVIALDTRNKTLIGAAEFGTETEHTTSAMYFPSGIAKYITPVTVEASLGFGVNNASRLEERRRVGRQRVDRQLEHWCESRAVAVDEIRDDPALDPGVD >Et_4B_038823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4983984:4993002:-1 gene:Et_4B_038823 transcript:Et_4B_038823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVPNGLLPNASAGVARRLDPERWAVAEGRTAELIALIQPNEHSEGLRLAVFQYVRRLIMSCLACQVFTFGSVPLRTYLPDGDIDVTAFSNNEELKDIWADVVRDALEREEKSENAEFRVKEVQYIQAEVKIIKCLVENIPVDISFNQVGGLCTLCFLEKVDNLINRNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHIYNNSCTGPLEVLFRFLEFFSNFDWEKFCLSLAGPVPISSLPDMTAEPPRMDSDELLLSKAFQDFCSSAFGVMPRTQERQGQPFVSKHFNVIDPLRTNNNLGRSVNKGNFFRIRSAFAFGAKRLGKLLECPKEDLITELNQFFRSTWMRYGSGSRPDVPTPSLADVHPLKAAPAVVANSRRSVTTIKRKIENPKLRANEDNFAEVGQSYHEPSSQALHRSDLHTNVPGTRPHMAHYIYNPTFAANIEDGNDSGKPNSSDQNYDTGNNLHEYNVGFSGHFRSEGRDPSTYSIDSKEHSSLLNDVTEVDDGLKNGAVVPIVNEASEIVTGFNSLSMLSNTSQVPNDFDSSQMSMPNRMFAPFLIGSPQLADNSGLTFVPTTSTPVPFVIVPFIPGNNDGSVPQFERSEGTDQHTANITGQNFSSFNDVRQTDTRVTSAASCDIMAEPPSEEHKPDILNSDLIGHWHNLQYVRLCQNAPPMGPVLYPFAVPPMYLQAHASWDGPGRPVAPNVNWRRPVDWPPVMPAQPATERPTGIPQHYGEDAPRYRGRGGTGMYLPNPKVPFRDRNSSSRNYRGGYSSDRSDHSDKEGSWINSKQLNPNRSYGRGMRSDRHATDENQSDRQRRTFRNDSYRHEAGAQYIGQGQSFGSTNPVRKPANVTHGVYTPSTASNGIGALSGPRGPPFFMVYSYEPGVNHGASSSEPIEFGSLGPLPTADGDDIPRPTRQIMPNGFHGQKPGPYRGGSSHSSPDQPSSPQPRGFISDFTKNISGLHWVILGNTAVANCTPPLSAANDILGLSFCSGSLLELRNEVLPLLIRHPLRGAIADAPLRAAQAVLVLDQPLVPAKSARFQGRSLASYAVTKMSSRKNIRGVQECKQTKILYYFSLFFLDLSSSSCSTLDFLNLSSNSAYFFWFSA >Et_8A_057789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7230625:7236097:-1 gene:Et_8A_057789 transcript:Et_8A_057789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRISQPKQSHVFHYVVSLHCSLQDKTGSPLGEPRKKLSAKTEVNDDASVQRRCEKKILEEQTEVMGDSDAAEMDWEEGHVLEHKAGYSHDLGETVTVEFTDVPSSATKKNARRRTAEEKELAELVHKVHLLCLISRGRVVDKACNDPLIQASILSLVPYHLLCNVLDVPKLKAVNLHSLVSWFHRTFCVTAQSTDRGSFESNLAFVVHDRVGTAEEVCALSVALFRALNLTARFVANLDVSGLKPDTKDIGTFNQDAARLCTRALPSSSPVSDHNVVSSAFLQDKTEDSVSMVQQRDNLGKSKQNSASKRSLSKSLSSRKADHESSCASASKHNSASSQCPPISPNAEVPKRKGDLEFELQLEMALSATAAETQNNKLATHVSQSTGSSHNSTPFKKLRTNSEASSSSSAVWSRSGAPLYWAEVYCGGQSSTGKWVHVDALNDLIDGERNVEAASAVCKKPLRYVIAFAGNGAKDVTRRYCSQWHRIVQGRVNPQWWDSVLAPLKQMELAATNDSEDMELQTRALTEPLPTSQQAYRDHHLYALEKWLHKNQILHPKGPVLGFCKGHPVYPRSCVQTLQSRHGWLREGLQVRENELPAKVVTRPKRTFNAQSPQQNADEDGLKPTLELYGEWQLEPLQLPHAVDGIVPKNERGQVDVWSEKCLPPGTVHLRLPRLFQVAKRLGIDYAPAMVGFDYRSGRCLPVFDGIVVCAEFKDAILEAYAEEDELRRTQERKQQEAQALSRWYQLLCSIVTRQRLKETYKAPSEGVGQEGPPKTDNVQRNTRNSQHSKTETRDHTSKPQADGLPNPCSPAHDHEHEFPEDGQSFDEETFVRMKRCPCGFSIQVEEL >Et_7A_050500.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:20015170:20015205:-1 gene:Et_7A_050500 transcript:Et_7A_050500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPLMIRGRQ >Et_9A_063324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2029988:2031767:1 gene:Et_9A_063324 transcript:Et_9A_063324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGVNGQDALVDLESGSCVVVSEHNNGVDTSFTAGQARTVHNGAWNGCVGANEALKDDRNQHMDSSPPASDAASKSGDDKKSEGEEKLGLLDNSGGEKTKKKRSKKPPRPPRPPTLTPLDVSDQKLLNELNELAVLKRARIERMKALKKMKNAKQGSSSRFCSRPGTAVSFHGSPESSIREHSSLISIRFYKKNHSSIRQHSSTTEAPDNEEAASSGGIHNQARRMAR >Et_5B_045075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9208083:9214430:1 gene:Et_5B_045075 transcript:Et_5B_045075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAGASRSGAVMRAAAVGVAVVVVALALAPPLAAALRPLRARVGTVGAAASAGSWGDEHAFFKRDENDMSPYSWNITGTYKGSWSFAGASNGSSRFLEFVKSKGDSVLELLSTPTKISGVHYVQGSITFHDVVDNTHDRGVAQIRLEGVYIWPFRQLRMVANSGADGEPLLEEDYFLSNPYHLLRIFSSQVFQESSEEKNRRKNSLTYDMEKHCNTEITAKVVRVSSNLNEGEHEKYRLEGLIESPAVNYDGECFPPILLNATSLNVEVYYNKAVNYTLMGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPLNSGEDGILLGGILLMYELHNFLRPLLFLMYSFWVPQIITNVIRDTRKPLHPQYIIGMTVTRLAIPLYIFGCPSNFMRIEPDKKWCIAVTVFMGIQAAVLLLQHYLGSRCFIPRQILPEKYCYHRTVDDSTNQPIDCVICMTTIDVTQRTNEYMVAPCEHIFHSGCLQRWMDIKMECPTCRRSLPPA >Et_8A_057297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23307168:23311790:1 gene:Et_8A_057297 transcript:Et_8A_057297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQFHGGDEGGDGKPRRRPSSNSLKRLVSYSSSKRHEELEEEDEEVVVVAAATSSSAGRRARNDASTARLIQKPPAPVVEAVAVLPDEAATLAIGVVDTERAAAAAAGNWKRAPVDVPAVNGAAEQEPRSGGVRSDGEAKPRIRDVPNGVQGEHVSAGWPRWLTEVAAEAVRGWQPRRAESFEKLDKIGQGTYSSVYKARDLENGKIVALKKVRFANMDPESVRFMAREIHILRRLDHPNVVKLEGLVTSRMSSSLYLVFEYMEHDLAGLAATPGIKFTEPQVKCYMQQLLSGLEHCHSRGVLHRDIKGANLLLDNNGILKIADFGLATFFNPNQKQNLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGKPIMPGRTEVEQLHKIFKLCGSPSEEFWANLKLSRATIFKPQHPYRRAVNDVYKDFPPAALTLLDRLLAVEPGNRGTAASALESEFFTTKPYACDPSSLPKYPPSKEYDAKLRDEEARRQRAAAKGQESEAGRRKQLPAPDGNSGLQQRRVQVNPKSSSYKFTPKEDGVSGFPIDPPARAVDNGYPQRVPLMHAGRSSSTLGRSSGMDPKAQRFHSSQIVAAEISNQSTASGQRGNASKLSNLGDSARRQYLREHRSSSRYSQLPAADHSDKPDWTHQFQERPSSSHRKDDATANKEPTVANGVKKNRIHYSGPLMPPGVNMDEILREHERQIQQAVRRARLDKGKGKHNVERDQSEALLYTAGNVRADR >Et_5A_040846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13354314:13358349:1 gene:Et_5A_040846 transcript:Et_5A_040846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACSSWAELWGTWPANPLEPSLVLLSTHHCHQSATPDPPTSKAASKGRAEEKMPPPPLEGEPQQRLSPVESRGLTLRFFQGLGKDVPLPASAEQPDAFAALVRAILSSAAVSASPAPRVSCTLTVSPAVANQYNTLHGGAVAAVAEAVGMACARAAAGDKETFLGELSTAYLSAARLDEELSPAESRARTLAFFRALGADAALPASAERPDAYSTFVRALLSSAAVSASPAPRVSCTLTVFPAVTNVYNTLHGGAVAAVAEAVGMACAQAAAEDKEMFLGEISTAYLAAARVDSEVDVEAQILRKGRSVVVTTIEFRLKDTKKLCYTSRATFYIMPVASL >Et_3B_030549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4952017:4952787:1 gene:Et_3B_030549 transcript:Et_3B_030549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLGASELLQRQPRASRRRVCRERASERARGQNRPEHSSLVLWARANANGVRGERPPPSRRRRRPQPLDPHDELGLKLARHGSESSDCLATQQRRWGSQVRIIACAQPAGPPPGYGISIVCGESCMEKDEVGCSLSLSSFSYYGTWNKQRNQTYGSLIGHRGITSVLCPRFQETSSLESSSYVLITLLTMRMT >Et_6B_049584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6929047:6934855:-1 gene:Et_6B_049584 transcript:Et_6B_049584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPPPPEPMAAASELENLQGLVLDTVIVKAGARPAAALACASTRLRAAVEDDSLWRQFCADDLDLHAPLDPEDRPLPSFKVAYKVWLDSFGMYPLPLVKRVKYFWSSLKSWLSENFPEAFITLCKGVSEAQIKSAEDDLGFKLPMPTKLLYRFCNAQIPFGADHDANKRISTHGIIGGYAFYNHWVNVHLSPLEQIVEETKEFYREFNEQGVFNGRNLIVVATSWFHPKTFLLNCSSGELYVGTNNLPDGEMIPCVPKSLVRPIDNNMPQDGLLLWLEEHLKRLQSGMIKTRMLMAAKYLSLYPELPPSCTSALTNGVKVVRASAVFVPEHHERGGPHRRNLYTYSVRLSLPEACMVDGVYYSSCQLYSRHWTIRSRDSIISDVHGEGVIGEYPVLSPGKDEFVYESCTPLPHGPGSVEGSFSFVPGILSRPEGKPFEVTVAPFPLELPVMASCRLLPLNQSCSYAVQALITPT >Et_9A_063463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3051727:3054271:-1 gene:Et_9A_063463 transcript:Et_9A_063463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLFSKDLRCQTQHQSFLEGQNKFHDSTESADSRRPLRLPRDEPVPRRRDLVRVVVGIGIEPGHVDQKVPGVEGVGTEGAGVPARAEQPERGTAGNLVDGLGDGGGEAAAGDEALGGLLGEVLLEGHDLVGLEPAVTRVAEEGDEDEPVAVAAVHLRVVGEGDAVDVARPPRVGLHLAPDHVAEAGAAIGPHGAAASGGDDGAGGGGVGHPVEGNRNPRFGRRMGNFWFGFGVRRMGKFWDRALLGGGRQEGHFRQ >Et_6B_049760.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1007639:1008592:1 gene:Et_6B_049760 transcript:Et_6B_049760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILICAFLLQLLLLGSSRVAAQSQPLSPARMLDATLQDYAFRAFVRPRTGIVFNATLPANLTGIAVSALRLRSGSLRRKGFAGYSEFDIPSGVTVQPYVERVVLVYHNLGNWSHYYYPIPGYTYLAPVLGLLVYDAANLQAVGLQEQNIIVTGDPISVTFSNVRAVPQEGPAPRCVYFDLNGVPQFSDLNSSNVCSTYRQGHFSIVVNSSAVPPAPAPGPSGAIAPPIPPPGSHGKKGNSKAWKIAVSAVGGAIALGLLASLLICLVRHKRHKKLEVMERNAEVGETLRMAHVGRTQAPVASGTRTRPIIENDYVA >Et_4B_037140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15168132:15170029:-1 gene:Et_4B_037140 transcript:Et_4B_037140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKYFPQAFVLDKYSHSLYEKSNITEFLLPWHSTDLTCVNGGDPQERTLGALIDAFESFVLLGLFANSINKGSTKEVCLAGQVIGKYPLSLIIIFTGAECLLLTYSVITVLLALSKKPKPPLLSAAVSAWAFLVTSLGSSRRTGETMILGKRQLHFSLIFLQAEERAVWIAGGEALALCYELNLLDVSSSEEADVDSETRETCGSRN >Et_1A_005826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14433498:14437647:-1 gene:Et_1A_005826 transcript:Et_1A_005826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMAQAASLKQILRRCSSLGRRQQDVGVPRGHFPVYVGESRKEEIGGPPEDVPKGHFAVYVGACRSRYIVPVACLAAPAFQELLRKAEEEFGFGHDGGITLPCDEHTFHAVLAAASSVR >Et_2A_016839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28781406:28784391:-1 gene:Et_2A_016839 transcript:Et_2A_016839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVTCNACNAGFDGEEEQRLHYRSEWHRYNLKRKVAGVPGVTEALFMARQAALAEGNKPAGAAMLYSCALCGKEYRSSKAHEQHLNSRSHLMKASQEPNASIAGITIVKPRPERVPRRAPSAAEEEEEWVEVDPSEMELADESTSNMQVDDSDDEMAEFEELNPSSCFMCDLKHETIEDCMVHMHKKHGFFIPDSEYLKDPNGLLIYVGLKVKRDFICLYCNDRCQPFQSLEAVRKHMDAKGHCKLRYGDGGDDEDADLEDFYDYSSSYVDVEGKQLIAADENNNNIELGSGGAELVITNKSEKGTRVRTLGSREFIRYYRQKPRPSIATDRALALSLASSYKSMGLVTVQSKEQMVRLKVLRAMNKSGVETMRTKIGMKSNVIRNLPKNCPYRNKSWIPLRLKNGYFVGSKP >Et_10B_003525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2504815:2506334:-1 gene:Et_10B_003525 transcript:Et_10B_003525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GALGECDGGKIQQVRRDLEPDLVAEAAYLADVEPRGPQLHRDAVGPGDGLVDEDPADAAAVPEPVRLAVRRDGDGADVAGERHVQGAADVDLVRLDALREETSRQPVLGQDVVHRPVGRGGGGMENGSREDGGGEENATLLRRHGWCFGWVTKNHHEAPDMKEESPAQQRGREARAAMRGERRSHVTCCHASCTAVDPFPPKGVECRASRCSPSSAAIPVVHARTFRKNELLCHQMPYEVIEAVWHAAAAATAAEK >Et_2B_022390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:277221:282362:-1 gene:Et_2B_022390 transcript:Et_2B_022390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVILAVAVHVASAYYSPQPAGFKTSAWQDGSATFYGDSSGLGADFGRPRSFDLSTGARPPSTQIKERGRADGETGAQH >Et_3A_023740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11658112:11660319:-1 gene:Et_3A_023740 transcript:Et_3A_023740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWGVKVSSDDLVNAEVVAAAVLSVLLVALGTYGRRRCCHPVVRLVVLGASTLYLLLTSSIISTYAWLVYLIWVCFPQAGWAGLPLPERSIFIAFCALGLASTVLKLAASYSASNSFSLGKNARLISGYMEQLVADDKKKDNEDQEQQVPRYIVMGERKKYVEKNPQGYRVKTGVLEDEHSSLVTLDRVWRLAEDGDGLLAEHRDLCLSYSLFKILRRRLSGYPLADAGSGESLEFVLRGMDSVGAAVDSDRVFRVLVDELWFTSDFYYSPIPLCTLSWWCAALNCLCSVLIIAGAIGVGCIYEAKHVVVPLIPYKIITYSLLVAVVLVEAWEIVAGVCSNWAKMALLGHYLRHESAWRRCSCVHAVLAAVLRLRPARRWRDKIGQNSVLEPRRFLKRSGLLSEKLYGRAGLMRSVKVSPAVKDAVLGSLLSSFGRQSSKGSGSTAARRVGGKIDWALYGSSKTWSRDGGSNTELILVWHVATRLFEMMSTSSPSADMIAASHLSYYCAYLVAAAPELLPDCSAWTKKRYEEVSKDVRAAFGKDGHRSGSTAPERYERLVSALSADSRDTVLRRGAEIGQHLVKEYAEDEASACRILADFWSEMLLYVAPSENVKGHVQAMARGGEFVTLVWALLLHAGVTTRPEAPGDSMV >Et_5A_042635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23251075:23255376:-1 gene:Et_5A_042635 transcript:Et_5A_042635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLIFGGIGIFHTKISFEPNQDSGSQTFLLAACKRNNTRSFKLCRPCLSRGKLQFIIFFCSLVVGVKVEAAAGDDDHFVYSGFSGANLTLNGAAVVTPTGLLELTNGRRRIPFPRRHVVAGGEVLLGVVHACGHGIVLFVAPGSYDLVAALPSQYLGLVNATTNGDSADHLFGVELETTQNVDQFRDIDSNHISVDVNGHFSVAAEFSAT >Et_8B_060872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9875792:9877532:-1 gene:Et_8B_060872 transcript:Et_8B_060872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSVHSDPQWIKVVRGSTRKKAIKDAQPCNKYVQANNIKRLPHGTLTRSYASRHHLTEYPSITGGPTSVSDCIPRILYNFSVFQSTHSATPSELTFLSHNWSLCVDRMAKPRLPSSVRKI >Et_5B_044209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21492710:21502936:-1 gene:Et_5B_044209 transcript:Et_5B_044209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLQRSRPVNPRKRPPPPPSSPPRSTPAAADPDPSDAVDAAAALLADAGCTLLVPPHQPPTVSSPHAFAVRLARSLAADPGALPDRLLAGLAAFAAASPARLRQLLLPTAPHAPSLARALLSVPALQPGLLALLLEKLPEHFDGSSLDGLPLQDDVGRLIVAQFRWLDFLVDADAFVEKLVELLSVAPPRLKKEIIGSLPEIVGDQSHATVVSALEKLLQEDSEVVVAVLDALSDLNLDEMLQDQAVTVAISCIRTIAADQMPHLLRFLLLSATPANAGRIILQIREQLKFVGVLDPRAARSKKLKGKALASSTDGAILDTLRSGLRFKNMLCEAFLKELKLVEHPRDHKVIDVWLIMLIYANGGALQKSAEKILKSKILQGCIRETLFDQCIHGNTDLVKLIGSLVTHIGSGVSYEVSSALDIMISLTSNNSEELIPISSHLSNPKTKYCNVGILDYLESFHEENLRKVYDIFCHLALAAGFSTGSGGSKIANELLMVVRKQVNNPDMKYKRMGIIGALRIVSTIADVNAEVNCSSSQQPNCEEALELLKMAVNSCKFVILPLILLYDELAALLETKVLHSAILEWVGAHATELDTLFLADLDSGQLPENLVASTIVDIWITGELWMNLDGDISPVCVNIVPLVSTSPQKSQPCLQILYSQFSLSTIIERLGNEGSLSGINALLGCPLHLPSTKYLDGAKWRSLSGLQKKTVCHSLYYAINWIRELVNAFSTQIASRVDNMSQKARDETAIKLLQRLRNIIFLEGLLNAILKHYPVSLPDLRYLGDYSGSTTTSKFNLLKRTGEESIEGVPSNKRQKGRKDKAASENLNPGDKLKQPTILDAFKRAGVTVSQETKKASSQPLSSGAMPEDTEQEVNDPGELGIIDLMAAPTHLDMQRFKFRTLQVTCLSLLNYSELPMYLYLLRDLHNKLDYLNPSSKPFLSTSQAKYSQTHCPKSTQEFFDKVQAIFLSLRKHLDGTISMIKDGSGSSPDNWSSNSSSAGNPHIPYVVVPRSSIASSVCKEILACYRKLLAIPHLTNQSNLSVLKQLLQTFQPAENFDDILSEFHPSLTSNNVDYLCCGAYKLLEDIIDPVCSFSYVLASDVLITMQSILSSITVLLEKSGEATGKNMHMGCSKDLIPFLRKRIGASAHKLLACDLPSEDAENGCQSKGDIIQKILQIYLKNSDSNSDPLDELACSVLPKHEENTGTLNKTIKQALRTRPLPESGSIESVLGEIYKSVKVFVSLINLCKTHEKVSMHAMAVKHGGKFVDTFLKAFNFLETHFSQHNDIIIKTLKELQKATRTIQTICSEAKSYKRTMVTSKVPATKRSLERFLFQVKALLNKCSAEDLFWLGNLKHKDLHGHVVSSQVYGGGDDSSESEDEQEQTETDCDAPADENVENVEEDVAEESSKATVGE >Et_6B_049616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7298099:7319615:1 gene:Et_6B_049616 transcript:Et_6B_049616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEACEGGSGTRRAYTRVEIEALKCFPSAAAQAELWAEVYAALAAAGFAGEYDGLLAVEEARNRRGNKGKKAAGGGGVRKGHEEAAAAPRFLDVADNGAWRNGDLGVRDEHRFEAVHNPAGVYGVVREPSDQDEDVEYEDDSDDEYEGILKPAFAVDGEPDFESGEPLDGFEYLRRVRWEAKQFPRVKVAKLDLNAARMVQTPYMPEIPDIPMFSPDLRASKEWEDAFITNFSETRQVFSELDNSDEPSVSGVKKFSSKPGSSSETQTEPTLTMLCNMDSVSRAATLRNYIDMVQSLDSLSRNNCLWLFAFCVAVDTPLHAETCASLRSLLRKCATILSIKSEMDDEVIMLNILMAISGRTKISAYFVDMDIYMPELFIQA >Et_6B_050080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5424624:5427576:-1 gene:Et_6B_050080 transcript:Et_6B_050080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCIGVERNRWKFNNIDPSVKNCKSIFCKEFALMFHQANQTSLGFANLTMAGTKLVAVSLFVLLSIGVANAVRVARYSRSQGTGSGGGHGGGYVNGAGSGSGDAYGHSESYSDGVFASAGGGGGGGGKSENNGTGFGGGSGTGSSSSHYSQGAPYGDGGSTSAEGTGGGGGGGQASGNEDSGAYGTGGGMGSGSSEAAGGASPPYANADASANGGGMGGGRNGGSGGGDGDGSGYGDAQP >Et_1A_005169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30779915:30780289:-1 gene:Et_1A_005169 transcript:Et_1A_005169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_1A_007159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31474327:31474727:-1 gene:Et_1A_007159 transcript:Et_1A_007159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPGNLELEKGRQGVNGLCFSIIHGTLCPGRPLHLPRVAISLRPSLGVCRASGYLPGRSGNCVKNNGPDCCIDCDLEDEGRADAQQLREGQGRWWPVRVR >Et_10B_003310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18773115:18778433:1 gene:Et_10B_003310 transcript:Et_10B_003310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSGAAAGEFGWYVLGPNQESFGPYALVELREHFANGYLNEGTMLWAEGRSGWMPLSSIPELHSGVTAKGQPEQAAPVVEDDFEKFQQEVTEAEAEVEAMKGSADDGNVNQELDERPATPPDGEEEFTDDDGTIYKWDRTLRAWVPQNDVQGKNDGYAVEEMTFALEEEVFQAPEIPGPSALQEINSPVENEKKESYKADKRGEKKRKSSEKPDEKKEANKPPDSWFSLKVNTHVYVTGLPDDVTLEEIVEVFSKCGIVKEDLETKKPRVKIYTDKETGRKKGDALVTYLKEPSVALAVQLLDGTSFRPGGDVFIPKKSNKQKKRKTKNVEDKMLGWGGHDDKKLTIPATVILRHMFTPAELRADEELLSELEADVSEECIKFGPVDNVKICENHPQGVILVKFKDRKDAAKCIEKMNGRWFGGRQVHASEDDGSVNHTLIRDYDAEVSRLDRFGEELEST >Et_3B_029459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25200545:25208968:1 gene:Et_3B_029459 transcript:Et_3B_029459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPEPDSSRDPSAASSSAVADAGASGPNPCCAKLWKKYQKLERSRTLLREAVSLLQNGNDKLQKENSELSKVCKEERLRGDSAEAARETESDARERLEKEIIELKAQNSTLQQTQNVSRNDNELIRISELEEENRKLKQMLGEERKKITSEKKRADEEKSKALEMQKILRSETQKSEEYRRVADTERKVAGDWRASCERLRSEVNEVRAQLTAQIQKTEEALKSAEAEKLKLSREKKRADSEKSLAEKNKTLIEAERKKVTQEKKRADNLSAKLEEQKKLNEALRTSIQVERKNAVEEKRRADNLFLELEEERKRSECLPRKPNEFGAVRDVVSSGKCGRQLGDRSSESANVKLLKEKLKLKKEQLKHAKNVAKLDKAKNAFIRREFQRIRQDWMQLLSRFNMLDDHLAGGIEGIHALTELKQHPEIHGFEQRLLPNDPVAAPYIGLQAGMVPFGSSIPREYTSYQLPRESCTRPVSGTSSELGPPLGSSLRTKSKSHPRSSFPTSISDEKFMGSQGKDSLLVSSSTDIRGKQNSIPERPLKGRNDKTMQEDRALSLEALKSLFGGTEVTDRTLGGARKRKRTKQSLESSACLSSKHDVLHLKSKAHAAASSDVLAYKDDRSGLQHGKNIMPCLTEGDVENCRRKYLSVADKSVPPGEGNACAGRRMSSLLSFEEKMGGNCLKLLSLDNDADEEKYRLAMERPLSPNLPSIRPRKTKVRAPEESHNFGGDTVHDCPASGSNAIGMNTSTETLEVKGRTIHKLTQNDIQLDTPSKVMECNNGFKLLSATDKSDAAANISCNAVLVGVSANASLSRLSMEDNAVNTSTSVLPQNSCSDNPNSTLHSWHCKEVPEKTSSHQICDRSSVPGLQANFGTSKEKGSNPDLDSNSILGHHRESLKAPMHLVGFMGMTRSSIENIFRYWEKLISEAGEVSMEASVDGPSLERVSTEPLLHIDEKVSLIFSLLLWDIGFTAEPFANGHYLSPALTSSANSYVEVRWDFLPKDHLNVLVSLIEDFLMNKEVMECKNLGQKGSDSSKHSNLDDGADILLCTKPATMNQFISACILLGLICAKVDRADIILEVSYKVVQMGKSNLSWTLSALHVFGSLCGDMFFIPKSGSFLVKAIRLIILLLESKDTSVCLVSSYIKTNMPRLLSCAHCPFDVDTVSMDGLDGFISSLLDELDLCSLLWNNRANSDETIAMCSTHLGSCGLEINCGEPCNIFKQGKLAEDSHSCPGRIDLCYFTELISLIELFGIYVSCDWTYNNVVVRLLKILESCTCEEYAAALLVLVGQLGRFFIDDVGYEQRAVSKLRDKLSLLMGTSFMKSMSLYQ >Et_5B_045294.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1679732:1681477:1 gene:Et_5B_045294 transcript:Et_5B_045294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAVLRSRTPTAGRRQNPTASLPLDILLQIAARTDPVTLVRCAATCRDVRRRATDDPAFRRCLRLRHAADRFVPPLLRGHIKQGRDKLFLVDAAGSPISSHDGGDFLGRQQALASRDGLLLVRTTDPPYGQLHLHVCDPVSGRSQALPPEPLFSPVADSNWGWGYDEASEVHYVLLLGDNNDAVGRSSAAIGRPFQVLKANIVLAKHGRYLHMYTFSSDHVYLHMYTLDVLLEIAARSDPVALVRFAATCRAVRRRVADPTFRRRLRLRHADRFVPSLLRGYLITRHDKVELRLVDTTTATADATDWPLAAAEGFLPRTDDGETSRWQEHVASHDGLLLVRSSNYQPPYNPELYVCDPATGSSQAVPAEPTFGDEAAPTSVWKPYAFLVGDSKGGRAIDDGVVRPFQMLKTNLAVSPNRRFLQIHIFSSETGMWGPYIEIRTPRLHGSRLLHGGGKPLVVDGAVHWLCLTDKASYVLKLQVREAPKVTVTALPATYPRPAINPKDHLLVTASPCGSLMVLVADKKKIYAWVQDKQTAKWKPKAQVVKKNGIPFQKTHGAFDVRLLWFAQSGIVLVKT >Et_6B_049190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19187624:19197853:1 gene:Et_6B_049190 transcript:Et_6B_049190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPEEFLGQGAFFAVPEPFSPSVFLDLPPTPRPDGDDDPASSDDLVLPFISHMLMEEDIDDKFFYQYPDHPALLNAQQPYAQILSDATTSSSSDSAATTLSPSCSSDAPASAEPTWPYDPIELAQLLRSPPYTDMGVGLDDFGADDLSAVLLPAQDGATPGFQQSPLTVNSDGSGGQAQGASFAGEAVQSSVYLGGAKEEQDDAKANITTLPTSDEALASAFFGGHKGVNMDMLNRAFAQGMEEAKKFLPANNALFIDLEATTTGERLLSTDSKPKGGLMLMTRQVKEEETVADEMPTMFRESSNGRGRKNRHHGEDLEAETGRSSKQMMQEEQEETGAQEMYTELMSCNYEDFVKRMEDLRVAMDSESEKSSRRGSGKGARGRQRADDVVDLRTMLIHCAQAVATGDLRSAHEMLKQIKQHSSLRGDATQRMAHCFAEGLEARLAGTGSLVYQSLVSKRTSVVDFLKAYKLFMAASAFKKAQHTFSTMTILNAVAGRSKLHIVEYGVQHGFQWPGLLHFLAGREGGPPEVRFTGIDLPQPGFRPAYQLEKTGERLSNCARQFRVPFKFHAIAAKWETVTAQDLNIDPDEVLVVNCECFFDKLMDESVLVDSPSPRDVVLSNIRNMRPNVFVHCVVNGTFGAPFFLTRFREALFFYSAHFDMLDATIPRDNDVRLLIEQDLIGRSALNVIACEGADRVERPETYKQWQVRNNRAGLRQLPLSPEVVNIVRGKVRNYYHKDFLLDEDHRWLLQGWKGRVLYAMAFLAVPEPFSPNVFLDLPPTPRPDGGEDPASSDDLLLPFISRVLAEDEGIDDSFFYPYHDHLAAQILSGANATTTSSSDSTNSTDGSGYCQCDHGWNANVPRKWGLKKRHEQDVLEAEMGRNRKLVAPEPEETGETVDHVIFNEYDLCLEKMKGLRIIVDSEAEKNRSAHGNQSTNKAVGLCSLLINCALAVAMDSHRSAMELLMQIKQHASPRGAATQSVAHCFAEGLEARLAGMGSQVYKSLMAKRTSLVEFLKAHELYLASC >Et_4B_037157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15673823:15678282:1 gene:Et_4B_037157 transcript:Et_4B_037157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSICAMRSLLAAAAAPTFIRAGASPPRPPTFSLPFRPRRAGTMLGAARSVAAAAQSRGGGAEMEVRGTQSGEIHVIVGPMSVALIKSDKDNRYGLDSVVTHDGTKMACWALSELSSFHEKLGIEAYDKVDVIGIDEAQFFEDLHDFCCKAADRDGKIVVVAGLDGDYKRKKFGSVLDIVPLADSVTKQHYMDGQVVMEATRIVLDLDRSTVRSHALK >Et_2B_020578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21552811:21555959:1 gene:Et_2B_020578 transcript:Et_2B_020578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALHGLIYVVKLEARVLSRKVDSQCALGAGIGKWGVGLPYNKKEQGKERVWQASLLGEISMYKSVPCPIGSTQNTYYSGPQFLGRFVGEHLRHASLDRLKGAGQNSELERMHYHLGRRSITNDDPVVTRWGNKDDIMQADDGALRRRENDKQRTTKVRFAKQFYYDHTFPFHSPL >Et_4A_033673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25719734:25721916:-1 gene:Et_4A_033673 transcript:Et_4A_033673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPATLARTPARSQARKGEGDYPDVRNETQTEAGPPPRRPPAFYSSVFAQIEEIGWERLVSSTGDGGVSCLVFRVVDGQGRVHLLEIKLPMGYPSSPPSIAADTLYLPEIQWSKSSRLKDVFCQFQEHLNILQEFWSTMDEIDKVLLVVDPTKPTYAMSHRRIALGDDCYILLNVDAHKPSSLPESRFLGTDGKLDRLIMNWIKNRKKWDAKKKFHENLSAVLDAALPAPPSVSSNNDDDQADCGICYAKHLPVDDELGTHSGCATDYSCENASCSRAFHSFCLRDWLRSITTTRQSYDVLFGNCPYCSDPVAVKVTDR >Et_6A_046018.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:18765430:18765465:1 gene:Et_6A_046018 transcript:Et_6A_046018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGSQQEGR >Et_7A_051723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23539187:23541088:-1 gene:Et_7A_051723 transcript:Et_7A_051723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCGLLLLGMVVYAAGSATHSTDRSKQIQDVNASNIQDLGFWAVNHHNMKANDHLVFKKVVSAGEQITSKGSYHELVITAVNRVNISRNYETFVLIVDSIDKTEITVLSFNHWLDELGHSAEQLLTVVLPRCPAEQMTARLTQARAHKNPLHRQAADPSACRRASSRTGKTKPLNSLQWQKK >Et_7A_050670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1069171:1072833:1 gene:Et_7A_050670 transcript:Et_7A_050670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIDMAAILADLERGDTDARLPKTKLVCTLGPASRSVPMIEKLLRAGMNVARFNFSHGTHEYHQETLDNLRQAMHNTGILCAVMLDTKGPEIRTGFLKDGKPVKLTKGQEITVTTDYDIKGDGNTIAMSYKKLPVDVKPGNVILCADGTISLTVLSCDPDAGTVRCRCENTAMLGERKNCNLPGIVVDLPTLTEKDKEDILEWGVPNDIDMIALSFVRKGSDLVNVRQVLGQHAKRIKLMSKVENQEGVINFDDILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNIAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGSYPELAVKIMARICIEAESSLDYDSVFKAMIRSAPLPMSPLESLASSAVRTANKAKATLIVVLTRGGTTAKLVAKYRPRVPILSVVVPVLTTDSFDWTISSEGPARHSLIYRGLVPILAEGSAKATDSESTEVILEAALKQAVQKQLCKPGDAVVALHRIGVASVIKICIVK >Et_9A_061156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19234318:19235004:1 gene:Et_9A_061156 transcript:Et_9A_061156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDVGILLCTCSVAGTQKSRAKGSATATPVAGSSRPARQAKGGPDNARHKYRGVRQRQWGKWVAEIREPHLGKRYWLGTFDNAVDAALAYDKAVVNIYGAGALSRLNFPAGSAAAPAPAPPEHEVKPVNSGTQGGGPVAAPKPEEMLDDCSDDIAMYIDFDAVAHMMPCYPGVKMEDYQFDGFDSDAVGSPLWALDD >Et_5B_045414.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:21582800:21583279:-1 gene:Et_5B_045414 transcript:Et_5B_045414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLRLMVVWAQRSTMLPPSLSDASTQSIHATKASLQPPPFMNRQNPHSPSSSSSPSFTSSAPGAAGSGTGSCGASPWPAAAGALDAENSCAEDSGDAIAVDAICAGEVSLGLGAACGGGLVGGGRSFLGRKKQQQQKRRRCPAAARGTGRVGLRLRDS >Et_5A_041597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24831915:24833842:1 gene:Et_5A_041597 transcript:Et_5A_041597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGFGGGADNLAEAFYTGEDEMMNGHAERENNLRTREEILLQRQSDVHTREEALARKEKQFKERWEESLATWEHHARERDEYVSEFNRMLQGMRERTARSREEAIKSEEDARAIWKQHLERLENLLLRVQAREEAITIREQEEAERKRKNDNNWNRGPIVSMFLLVSGLLLLIQIRAMFPLEDLDLVAGGFAILWVLNSTTLFGGVFGTSSTMKNFSRYVKRLIYMCFLLFVIYALYLMALPVNGASLSSTSLSSAPTFDILHGHERSTFR >Et_8B_059122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14090079:14095081:1 gene:Et_8B_059122 transcript:Et_8B_059122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIHTESYPYYKTMALPLFLFSMKDLLISTLVLLVARWYMKHWRSKNPWRPMDWPVVGVVPSLVTRLHNFNEQITAVLAAHGPSIKAEGPVGSGMRFFVTADPENVRHIFTANHANYPKGEDFAEMFDMLRGSVFTVDGERCRHQRGMFQVFLSNPRVLWLMASSCREKLASGLLPFMARAARAGAQFDIQDLLTRFTFDVTATPTFGVDPGRLSDEMPPMDAAHAMDTVMEVGLIRHAVPASCWKLTRRLNVGPERKLALAHQTLHGFVTEMLEKRRRKTRSMADEEEVAAVDIVVSSDPVVKTDRFQLTRTLVNYMVAGRDTIGTTMPWVFYNLARNPRVVAGIRDELAPVVASRKSASISGDASMIVFEQEETRDLVYLQAAILESLRLYPPGPFERKTVVADDAALPSGHVLRAGETVLVSLYAMARIESVWGKDCREYVPERWVVSEDGGGAANKLRYVPSHKFLAFNSGPRLCMGKDIAIAQMKTIVAAVVWNFDVELVQGQTVEPKLSCVLQIKNGLKARMVPYIPELLIISLALILSLRLYIKSWSLKCRTLYPMDWPLVGMVPSILSNLHNFHDYLAAVLAASGHNFTAHGPAGSGTRFFFTCDPENIRHMFTANLANYPKGAAFAEIFDVMRGTIFTDDAAPWRRQRAKIKTILSDPRMVASMATFCRAKVAHGLVPYLAKMASAGTVFDMQDLVARFVFDMGALQIFGVDPGYLHRQSDDPTPSSSSSMRVVSEAMDTVMEVGFFRHVVPASLWKAMRLLNVGPERRLAAAHGVIHGFLTEMMEKSTSKTSRRSTQLINNEREDVVVVVAAADFLTSDPEYGGDEPQLRRMLVNYLVAGRDTIGTSLPWVFYNLASNPRVVAAIRNELAPIVAAKRKSTEDNKVVVFDPEETRPLVYFQAAMLESLRLYPPGPIERKTVVADDVLPSGGHEVRAGDAVLIPIYAMGRMESLWGEDCREYRPERWLANADDDGGASKKLRYVPSNKFMAFNTGPRACLGKDVAIAQMKTVVSAVVWNFDLEMVQGQSVQPKLSCLLQMKNGLKMVVRKREK >Et_7B_055268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8959538:8962957:1 gene:Et_7B_055268 transcript:Et_7B_055268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSANHWGGSFEIAADGAAEDDHSRNMDLDRGALSARQHHELDETQQSWLLGPPEAKKKDKYVDLGCVVVKRKVLWWALWILVGAFFLIALPIIIAKSIPHKKPGPPPPDQYTEALHKALLFFNAQKSGKLPKNNGIKWRGNSGLSDGSDLTDVKGGLVGGYYDAGDNIKFHFPMAFSMTMLSWSVLEYSAKYKAVGEYDHVRELIKWGTDYLLLTFNSSASTIDKIYAQVGVAKINGTEPDDHYCWNRPEDMAYKRPAISVSSAPDLGGEIAAALAAASIVFRDNPAYSKKLVQGAATVYKFARQMGHRTPYSLRNPDIEYYYNSTSYWDEFMWSAGWMYYATGNSSYITFATDPRLPKNAKAFFNILDFSVFSWDNKLPGAQLLLSRLRMFLNPGYPYEESLIGYHNATSLNMCMYFPRFGSFNFTKGGMALFNHGRGQNLQYVVANSFIAALYADYMEAVNVPGWYCGPNFMTTHDLRDFAKSQLNYVLGDNPKKMSYVVGFGKKYPKHVHHRGASTPKNGVKYSCTGGLKWRDSKKPNPNVITGAMVGGPDRNDKYADARKNHGQSEPTLVGNAGLVAALIAITNSGRGAGVSAVDKNTMFSAVPPPFPAAPPPPSSWKP >Et_7A_051494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19557619:19564197:1 gene:Et_7A_051494 transcript:Et_7A_051494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLAAAAALRFRLLSSSTTTSSSSSAPPRLLSRCPHFILSSRRYDDEGPSSPPPSAPSPFSPHRFLTSTSGAAGLLGLRGGWRGIPPAASRHTGAVVDAPPARLAISRSYSLRVAKPKKQQHFDDEHSHRAVNTALWCNFLVFSLKFGVWIATSSHVMLAELVHSIADFANQALLAYGLRSSRRAPDAIHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWSSHPPENIHWAALVIGGSFLIEGASLLVAIKAVKKGAQAEGMSIRDYIWRGHDPTSVAVMSEDGAAVAGLAIAGASLVAVQMTGKAMYDPIGSIIVGNLLGMRNRHALIGRAIDDHDMQRVLEFLKSDPVVDALYDCKSEVIGPGFFRFKAEIDFNGVVLVQNYLERTGRGTWAKQFREAAMSKDDAQLLRVMANYGEDVVDALGYEVDRLESEIQKIVPGIKHVDIEAHNPEGLSLTSKSFVDYQRTLR >Et_4A_035071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9263700:9266171:1 gene:Et_4A_035071 transcript:Et_4A_035071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECRMYEPRFPEVDAAVMIQVKHIADMGAYVSLLEYNNIEGMILFSELSRRRIRSISSLIKVGRQEPAIVLRVDRDKGYIDLSKRRVSEEEAHACEDKYNKSKLVHSIMRHVAETLEVDLEPLYQRIGWPLYRKYGHAFEAFKLIVSDPDAILDVLTYEEKETGPDGQEVVKVVPAVTPEVKDSLVKNIRRRMTPQPLKIRADIEMKCFQFDGVLHIKQAMKKAEAAGNDNCPVKIKLVAPPLYVLTTQTLDKDQGISVLTDAIKACTSEIEKHKGKLVVKEPPRAVSEREDKLFLDQIDSLMEQNAEVDGDDDSEEEEDTGMGDVDLTNSGVNAY >Et_4B_038312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28029356:28031032:1 gene:Et_4B_038312 transcript:Et_4B_038312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPLERLNGGACSLSPSVGSNTSPPQLVTPVQLPKTLDAGSSPCSAAGSDPGADPPFDICLSVNKCAFKLKRSLIEINREKKRVSKDVPPLQYLRPGMVLLKGFLKPNDQVKIVKLCRQLGIGAGGFYRPGYRDGAKLSLRMMCLGMNWDPDSRSYGHTRPFDNAQPPNIPEELVKFVQDAIKVSHDLLEQGIGAANAVEELPPMSPDICLVNFYGTSGKLGLHQDKDETKDSLDKGLPVVSLIGETAEFLYGDTRDVEKASKIDLESGDVLVFGGKSRLIFHGVSNVKPKTAPDWLTDETSLRPGRLNLTFRQY >Et_1B_014265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4643987:4646007:-1 gene:Et_1B_014265 transcript:Et_1B_014265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPESAAATAETDGSIQIRRLELADHERGFVALLSQLSSCPDLTPSEFAARFAELAAHGDDHVILVAEDPSAQERRILATGCLFVERKFLRGGGKVGHVEDVVVDAAARGKGLGLRIVRRLVEIAKEAGCYKVILDCTPELRAYYGKCGRCTAAVKASFLMVDGIRIADTGEWSL >Et_5B_045631.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5818292:5818645:-1 gene:Et_5B_045631 transcript:Et_5B_045631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSAAVLAAAARAPAELCQRAPRPGERQRRLRADEVLRALFLPPARELGRLADFLFAFFCLPLPEYYVLGSGRGGAWVARAPLYHGCRRSLSVSSSSSSSSWSMSSSSEGELYYSEE >Et_9A_061448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12124466:12129073:1 gene:Et_9A_061448 transcript:Et_9A_061448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPANPKHRFEWDFYELVDDGDARSHVEVFNSFADLEPGCFEHYRAGLAGPLAHARTDDIAGAGVLCPDVPLHILLFPYIAPGHLIPVADMGALFASRGVNCSILTTPTYAEVIRSAIDRASAAAAAPAIDIVTVPFPDVGLPSGAESVTDVSSEADMFKMLQGTDRLREPFELFLAQRRPDAVVADSFYPWAADAAAAHGHARPRLHPRPASPRRRRQDADAVVALPGLPHRVALRRSQLLDPAAKPKHRFEWEYYEQVDAADARSYGEVFNSFAELGPRCLDHYHAELGRRVWLAGPLAHARPDDARELYPDDKLCIRWLDGKPRGSVAYLAFGTLAHFSPSERRELARGL >Et_9B_065136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21528388:21529985:1 gene:Et_9B_065136 transcript:Et_9B_065136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DMTTEPLVLAHVIPDVLDPFTPTIPLRITYNNRLLLAGAELKPSAVVSKPRTDIGGNDMRAFYTLVLLDPDAPSPSNPSLREYLHWMVTDIPETTSVSFGQELVFYERPEPRSGIHRMVFVLFRQLGRGTVFAPEMRHNFNCRNFARQYHLSVAAATYFNCQREAGSGGRRFRDE >Et_1B_012391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31521496:31525189:1 gene:Et_1B_012391 transcript:Et_1B_012391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDEVTSVYVGGLPYEANEAMLRDAFEFYGTIVAVKVINDHKVKGKCYGFVTFTHPKAAESAIAGMDGKKIGSRVVRVNEVRTRGPRDFGRDDFRRDRDDFRRDRDDFRRDRDDFRRDPRRYGRDPYWDRRDRERSYERDRDPYHDRDSDRSRDHDREIDYEHGGFRENDYSIDQDRDHEADERRPRDHEADERRPKDHEADERRPRDHEADEKHPRDHDHAVEMHNMDSDNDRDKEHGPRKRFSRPKGRDSRDISSSSDDIQNDGKHQLVKAIQMREDLENEVNQVRDKVAAKEQQIADLQKKAQKLEDDLATARKVSSERQLVVTDLYKHFLQLQDYNDRVKTAEQKLQSLVDSAMAELDMAEDATTKDGSYENGVV >Et_9A_062443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2363427:2368903:1 gene:Et_9A_062443 transcript:Et_9A_062443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSRAFQLSAPSSSGAGDDADGRRRGGKAGARGDNRGAGGSVAFHRLFAFADGRDAALMSLGALGAVANGAALPLMTVLFGRLVDAFGGAAATRDVVRRVSAVSLQFVYLAVASAAASFVQVTCWMITGERQAARIRTLYLRTILRQEVAFFDQHATTGEVVGRMSGDTVLIQDAMGEKVGKFLQLLVTFLGGFAVAFAQGWLLALVMLATIPPLVAAGAVMSAVVARMASLGQAAYADAAVVVEQTIGSIRTVASFTGEKQAVEKYSRSLKSAYSSGVREGLAAGVGMGTVMVLLFCGYSLGIWYGAKLILEKGYTGAQVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINREPEIDAYSAAGRKLDDIQGDIEFREVYFSYPTRPDEQIFRGFSLAIQSGTTVALVGQSGSGKSTVISLIERFYDPQLGEILIDGVDLREFQLRWIRSKIGLVSQEPVLFSSSIRENIAYGKDNATDQEIRAAAELANASKFIDKMPQGLATSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDTESERIVQEALDRVMANRTTVIVAHRLSTVRNADTIAVIHRGSSPSQRSPQDNISHHSFSVSFGVPLEIDVHDRSSHKVHEEIQQEVPLSRLASLNKPEIPVLILGSIASAVSGVIFPIFAILLSNVIKTFYEPPHILRKDAEFWSSMFLVFGAVYFLSLPLGSYLFSVAGCKLIRRIRLMTFEKVVNMEIEWFDYPENSSGAVGARLSADAAKVRGLVGDALQLVVQNSATLVAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKLMYEEASQVANDAVSSIRTVASFSAEEKVMDLYKKKCEGPLRAGIRTGIISGIGFGVSFFLLFGVYAASFYAGARLVEDEKTTFPKVFRVFLALTMAAIGVSHTSTLTSDSSKAKSAVSSIFAIIDRKSRINPSDDSGVSLEPLSGNIEFQHVRFRYPTRPDVQIFEDLCLTIQSGKTVALVGESGSGKSTAIALLQRFYDPDAGQILLDGVDIRKFQLRWLRQQMGLVSQEPSLFNDTIRANIAYGKEGQASESDVVAAARLANAHKFISSLHQDALDRVMVSRTTVIVAHRLSTVQGADMIAVVKNGRIVEKGRHDALIAIDGGAYASLVTLHSAASTS >Et_2B_019433.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29483060:29483128:1 gene:Et_2B_019433 transcript:Et_2B_019433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTDRNFNTSRAPAVSTGTVY >Et_2A_018595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34324627:34329756:-1 gene:Et_2A_018595 transcript:Et_2A_018595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWRAESGMSRLTMNLEGTNNACALGGYGDTCFASCTSEKAAYTGRRAALQGKAGMKLPRFQNLVMQNVDHSVGDFIDLLQGLLKYMIQQPV >Et_1A_006744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2780630:2784292:-1 gene:Et_1A_006744 transcript:Et_1A_006744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAGVNKYLEIYSKSMVHNEQARVRPRRSNIEMATALQDVEDGRDKGTQGSRWIEVKSMSSKKKRAAIDLSLEVVEQREDDRSIGGDGDGDGRSKDGEKDKQERQLIKEQGKAPNEETKEEKLVEVVVDQGGEVSKEEIKYGTQPGEEMEVDKQSAEEGDGDDESHGSETRAEDKHVVEAAGNSDDGDNHNSMEQDEVSAMQEEMEKMKEENQMLRRVVDRTVRDYYELQMKLAAYQQQPADEPKEPEVFLSLGASATATGGFPEPKRKEQVTRRPSLSSDDTDDGKGDLGLSLSLGSYEEEKLDQAAHDHDGDGRAKGAYALLESSKLGPPVAGDLAAAGITSQSVNPANRKTRVSVRVRCQGPTMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILVTTYEGTHNHPLPVGATAMASTTSAAATFMLLSSTSSSSSISDAGGATAAPPYLSPYMLNSTSHHSSASPFLSTPSSMPGASAGSSGMQHLNLFGHSSMLGAQQAPHLNKYPWSSPSTHGTGNGLTTGGNRPFWSTGGSDDKASTLPDNVGAVVSDPGKFSVAIAAAINSFMGKDGQVVSSKDGESSSKSSNKWGVVESLPPP >Et_6A_047528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:755500:755998:1 gene:Et_6A_047528 transcript:Et_6A_047528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding REVKRFVDLSSDETSDLWITAKEVGARLEQHHKASSLTFAIQDGPQAGQTVPHVHIHVTQGGQGILRRMMKF >Et_5A_042607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2271074:2272602:1 gene:Et_5A_042607 transcript:Et_5A_042607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGSSDDATGGSTMQMQTYESSSFDWEANQRRVAELLDKWGATTNYAGKVEVVLGPAIVEARPPGTRIVKVKVGPSSRPVFVAPSPLETAVLDAIHGHVVRALARLPRRAVREHGRGVVLAGHCYGCLGDPASNVVANALWHDDAAFPPRHEERSLDMAGAAALYRCAHRSLDGLVAFMRSNCPEVPRDEALRCLHATGADLGAAVRMATGGEDDAFFRVASSSPRARDAFEHPDPAAYARFAESLTGPEPEAATVRSEMLAAASTGTQLSPAPVETISAALRRLHGVDHAPPPPPAPQLSSGAQRAVAHVTSTFMQTQAYTAANVVELALQRHAAVTGEELSLHFICGKHKFYKDSAIHHHINFLAKRKTAAAGEAEAPVLFFFAEVDALARDAADVTLCCPVPVSQGPGGCEACECGGTRLVHPAGGVEFQGRVGFTDGDDPAMVKLFAKHPEQEISAPLEEDHVFFDAGIHPEMARYLENRYSAMDDDHVDLCFIQLV >Et_3B_027433.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16839476:16840138:-1 gene:Et_3B_027433 transcript:Et_3B_027433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLTSLEASVEGKLSSVRALQPQRRSQPFTIFRVPEYIRESNRTAYEPRAVSIGPYYRGAAALGAMEEHKWRYLVDLLARDAGAGSQMPSASVLIQEMRSLEARARACYSESAALGSDDLVLMLLLDGCFILEFFFKWHEKEPDALCDVGWGLTLVTADLLLLENQIPFFVVERLYDLVAGAQLGGRDNLVSLLLEYISDEEPIARPAATDEINHLLHL >Et_1A_006529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23981132:23986913:1 gene:Et_1A_006529 transcript:Et_1A_006529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWRDGGGSGASSGRDLNGGPPCGQVRVLVVGDSGVGKSSLVHLLLNGSALSRPAQTIGCSVGVEHITYSSPGSSTNSIKGDAERNFFVELWDVSGHERYKDCRSLFYSQINGVIFVYDLSQRKTKTNLSKWAVEVAESGTFSAPLGSGGPGGLPVPYLVIANKVDIAPRDGRRVSSGNLVDVARQWVEKQGLLPSSEELPLVESFPGNSGLLTAAKEARYDKEALVKFFRMLIRRRYFSNELPAPSPWSLSTREDTVLPVENMNDEDLFQRKSYGSQSYKYNGGAPLPAQRNLTPPPTLYPQQPMSSSSENYRYHRFSSSSIPDAEVPILDDVQDMIPMVHDLYKLLELN >Et_2A_017357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33584248:33584616:-1 gene:Et_2A_017357 transcript:Et_2A_017357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQETVALQDASTCALMASITSYPRTELAFGPAFFSPVNVGVSSRRIEPSHPCRLQHKNISMRKFRARYCLRTLTKQSWKNMRRMDAPIRFSLRTATAIPDRTMVASLGHDLE >Et_3A_026188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5258536:5259619:1 gene:Et_3A_026188 transcript:Et_3A_026188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDAGGGVEAREELQLLNLFPVVHHEEKEGEPGEALVRQEYQESEPGEAAEQEAGKTVPVEAPATAAPAPANRMRPVLVDKARLSCSLCSGFLKRPIYQCAAGHMVCCGCRFKSKGNSCRRCGDHGVASAYTICPGLGYFFGGLQPYGCKTNSPYFKADDHERACEHAPCRCTEQGCRFAGSPPALLAHLTGDHFWPAHEMPGYGASLTLRVPGLPASAVQDRLLFVAEEEGGAAVSVACVRGNAEAGPQYMCELWAQAPAPPGSPEGVARRIGIETDVASCAAPPGEAAVEEGSWLWLDVAPEMMHGTGASKKMQLSILIDKL >Et_3B_028721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18490122:18494764:1 gene:Et_3B_028721 transcript:Et_3B_028721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWEAWRIAVVNPLSRCDKEIIPMPPEEQAMQAHGSQPIVVKDLHLLHSDEDPTSLRLLCVFLQESRVRVAVFSSDTWDWCFHPWVEIPQRTQPVDANDCWLYHGTQANGFVYYPFRNNEHVLTLDTATMEFSVLKLPTHFIDQEAGVESFCVVNDGAPCIVYCIGMFVGVLNYEVDEKGVETWVPGRKFQYEEGANPPENNDVLKIMAINDGFVYLTTSTMVLLLCLETMELEKLRGTLAAMPLHDCPIASLPDDILLQIFLRLPSLATLIRAALTCRAWRRAVASSPPFRRRFRELHRAPLLGVFANPKRDGLPVFAAAYHRDCDVLAAIRSGDFTLTLLLDPDGFAGDVPLRWSVFDCRHGYLLLLNWDAGRIAVVHPLCRYDYVLIRMPSTTQAHPVFLDIVHLLCSDEDPMTFRPVCLLHDQSRVRAVVFSSETWDWCYHPWVEIAERPQTHDDAGECWLCLGRQTNGFQDREERADVGH >Et_6A_046780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20443547:20448092:-1 gene:Et_6A_046780 transcript:Et_6A_046780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSLWMVLLLLLPAAAGSLTIDPGCQASCGGVDVPYPFGIGAGCFRPGFEISCVMRTTPVLAATSPAVQVLNLSVTPRPEARVMLPVAYQCYNLTGGATDDFSYGTVDVNPAGVYRISNTYNELVVLGCNTFSYTHSGPAGRSEHMFYTGCVAYCNDSTSARDGACAGVGCCRVDIPPGLTDNWMTFSAGWSHEGVEFSPCDYAFLVEKGFYTFRAADLHMNGTRTSMPVRLDWAIRDGNGSAMSVSCAQAANRPGYTCKSKHSECVDSTNGPGYVCNCTKGYEGNPYLTNGCTNINECERSREEFPCHGECRDTEGSYDCRCRLGYESNGDPKENPCNPKFPVAAKITLGATVAASIAVVALLSVVITFQKRQLFRKNGGNILRNVDTLTFFTEREVKKITKNYKSLLGEGAFGKVYKGTLMDKNSTDVAVKTYSTAIDKDKVDEFVKEVEIQSRMIHKNVLRLKGCCLEVKFPVLVYEFAAKGSLRDIIHGKDGQRIPFPLEQRLDIAIGSAEGLAYMHTYTASTIQHGDIKPDNILLDGEFVPKLADFGLSNLLASGKQYVSKVVGCMGYMDPAFPVTGRLTPANDVYTFGVVLLELIARKPIEPRAHNEECGLVTKFRRVYKQENSRYEILGKNNATKEDYQRVREHENSRGKMLDEDIATQEDTPVLEGVIRLAIECLAEEGRPDMTEVARRLAILKKEWKYGKTKQQVWSSPGEESC >Et_8B_059905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:401742:405177:1 gene:Et_8B_059905 transcript:Et_8B_059905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSKQALIYSFVAKGSVVLAEHTAFTGNFSTVAVQCLQKLPPNSTRSTYSCDGHTFNFLVDRGFVFLVVADEAAGRSVPFVFLERVKEDFMQRYGSSIDEESQHPLADDADEDDFLFEDRFSIAYNLDREFGPRLKDHMQYCVNHPEEINKISKVKAHLSEVKGIMMDNIEKILDRGEKIELLVGKTETLQSQADTFHRHGRDLRRKMWLQNLRFKLMVGGTIAAVILIAWLMICGGFKTYQYKYINNTTGTNIEE >Et_9A_062415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22711256:22714271:1 gene:Et_9A_062415 transcript:Et_9A_062415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRVVSSLLRSATRIRGASPAAPRPRAPPHRPSPAGYLFNRAATYATSAAAKQAPATPPPSTGKTGGGKITDEFTGAGAIGQVCQVIGAVVDVRFDEGLPPILTALEVLDNNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDERGDITTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVKSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAS >Et_4B_036279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14634134:14635260:1 gene:Et_4B_036279 transcript:Et_4B_036279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLAPVESAWQPHDYLPRSSPAPGASEAEALEAFAAGVAADVLVCLVGNMVTEEALPTYQSMANRTEGVGDATGCSAVPWARWLRGWTAEENRHGDLLNRYLYLTGRVDMRQVEITVHHLIRNGMKEMLVPTSPYHSLIYASFQERATFISHGHTARLARQHGDATLATICGVIAADERRHEAGYTLACARLFEVDPDGMARTLADVMRGKVTMPGQLMSDGEGGLFDRFSAVAQRAGVYTASDYGDLVEHFVRRWRVADLGAGLSGEGRAAQEYVCGLAPKIRRMEELAHRRAARGEPARAAFSWIFDRSVALG >Et_3A_026327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6943863:6945897:1 gene:Et_3A_026327 transcript:Et_3A_026327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGAEGSSKEVKTEETKRDSYIAVRLLRQSLQLHQQFQAQRKKNKQREGGSTGDDERHLPRHRAEDEAPHRHPGAARAVGQDAVNLLSTLLDTPVRTAPPPHAGLVGSESICRLVAGIDEIVSITGAATWPFMQEYMVTDDLTITLTTPMSTISSVRVLRDIVWVSDISALEERTVRLGRAELWNGSACHAREAESLVPAPLRAGRDRTQVHVPPSKKQELALRSTNSGGSSDRHASVR >Et_3A_025721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32088304:32090680:-1 gene:Et_3A_025721 transcript:Et_3A_025721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGQEVKPGASVTCEVGDGFVVHLSQAALGETKKGTESVVVYVNVDDKKLVIGTLSADKHPQFTCDLIFDKDFEISHSSKTTSVFLCGYESILPDMFEYPYDIFRLFVISLFNEELETDEIPVKINVTEKPAAKGGEKDTKDDDKKSDGSETSSGTDGISDSDSSDEDDSMSEDDSSDGDDLSSGTDDLDSDDSEEDEDEETPVKAENGKKRAAETALKTPASDKKTKIATPSGKKTGDKKDVHVATPHPAKKGPKTPADSKPKEKAPKTPADSKTKEKSPKSGSHSCKTCSKTFNSAGALDSHQKAKKHEA >Et_3A_024796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24277395:24278655:-1 gene:Et_3A_024796 transcript:Et_3A_024796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTSSTDAPFWQALHYAVSLQDVPRLGLGNGDDHPLQLRREDDLAVTPQPPHVLVELAVPGRACEHVLLLVGWRRQLLEPLLRDVDLALGGARVDIFQAVGGQLDEPRVGERAEDGLSGQTDDLAAPAVGIDSEEAHDAVGDLGGSGGRGRGGRGGGRGYNAERAGERGGEMEREAAQRSRRDRHGCGCVGFGWEI >Et_8A_057666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5876398:5896195:1 gene:Et_8A_057666 transcript:Et_8A_057666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGYLTRGAVSAILEGTLAAGVWPWLQVVSLSVRTRGEGNKYGMLLSDGDHFMDSTLAASLDDLVQDGRIRPGTVVRLKEFRIVTPPGVPRGIEVKQLGVLQTNCERIGNPNAYRSSHLELKRDKLKVDSVASPVKLNCGAYSGGQGLNWRLTRGAVAVQGPELQRPVMQVVGVSQMRFELNLYHFILSDGVHTLNAMLSPVLNHLVEDTRLRKGTIVRLLMFSYNTIRIHSMVVVGNLEVLQTECDLIGSPKAYELCCIEKPSGLKSKCGEPYSKSAANYAQPNNVPYSSCQDLKWYLTHGAVAAIMKGEMAVEQRPAIQVVDFKLTSKNGFSFYLLLLSDGVHQVYASLFPHLSHLVVDNYLLKGSRVRLLKFIRDINRDQNYKITIAVELEVLNKELGLIGSPTFYKLGNKEQELDAGHAAYISLDDPLQSVGGSHPAGQSLKGHLTRGIVAMMQQPVMQVITVYLTIPEFKKHGRYSLMLSDGVHAYDAILFSKLNHLVKNNHIRSGTITRLLEVVFYSFQSPSRILVNELEVLQTECELIGSPEAYDLCRIKKPHAPRCKCEESYPRSVASYAQPLDKPRLNRQSYRGHLTPGVVAAMFEGEITVQKQPLMQVVSFPSENQIELSDGVHKAYGFLLPHLRHLVSDNRLRKGTIVRIPKIVFKERYFSIEELEVLQTEHKMLGHPTFYEPHLHGPSYKGHLTPGAVAAIFEGKILVEQQQPVMQVVDFPRFGCKIELSDGVHKAHAFLLPHLRHLVSDNRLINGTIVRVLKIVVNDWYVTLPIFLNEITCLFSSCYSLTRINDSKGLPVLIVATQLEVLQTDCVIIGNPKQYRPGHFKDKHKELDAQSVTSPSPLNNGAYSAGQGFKEILTRGMITAVWENTAAVLAAGVPLVLQVLNLRPLQNNQKYFMVYLSDTLTTCVAYCATAFIFARQLEVLQTDCMLIGNPKQYRSGQLEDKHEELDAQLVTSSHQLNIGPYSSGQCFKELLTRGMVAMLQQPVMQVVGVMRPGLEEFEKFRLVISDGLHTQNARLASHLNHLVKEGLLRNGTIVRILDFTCNNVWSPSMVDISQLEVLQTECELIGSPKAYKLCCIGKPYGLQIECGEPYYGSVANYAQPDNPPYCGKGFKWYLTRGAVVTKLGGDMEVEQRLVMQVVDVSLVSPAHPDCTLYKVLLSDGIYMKHALLFPDLNPLVENGLLHNGTIVCILKCHGDHNYSTARSKLRLYSTIDIRDGTWATHNIRQGKQNPKSSNEKGIGLYKRHIIQQSKMLYVNIVMRKEKENA >Et_8A_057965.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:10195112:10195330:1 gene:Et_8A_057965 transcript:Et_8A_057965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLDNHVSKPGWCCSHGDGNGFFGDAYFDPNVWVQGLTEMAAMFAGVRNVVGMSLRNELRGPRQNTADWYK >Et_2A_017315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33178194:33182685:1 gene:Et_2A_017315 transcript:Et_2A_017315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAATGDSRTQEHQKYMSESSCCPRCGHKISRLLDWVGLPAGVKFDPTDQELIEHLEAKVRPGTAAAPSHPLIDEFIPTIEGEDGICYTHPEKLPGLTKDGLSRHFFHRPSKAYTTGTRKRRKIQPPTSSSSSAPAAPQQQQQQQRSETRWHKTGKTRPVVVAGRQRGCKKILVLYTNFGKHRKPQKTNWVMHQYHLGEAEEERDGELVVSKIFYQTQPRQSAVAEPAAAASAVTAGEMSDAHAAVAPVETSSNVGAAFHGAGGIDEFNFAQFRSSFEEVGVGASGQAQSRDDEEEVQGVQHHLRQEHDLQHQYANQEQQRMAAAAAAFHIRTPTDPIATLITPPPLVHHGSAILRPPEQYDHAINYHHHQQEDRQSHQPEKFDGRSTSGLEEVIMGCTSRRSKGMVWTHIKAHMKHLEAVRQALIGSTHPSGHLTTRIIMGRSAMHLYHLEEQQSWRGNKRQTKTLTPSLPP >Et_5A_041669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25754628:25758731:1 gene:Et_5A_041669 transcript:Et_5A_041669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DSPLFGFIDNLSPIEPVKSAYTASSLQGYQTINITSISSIFTSPHDNAQKEPRLLKSPLAQISEIEECAEGSDRSKTSTKSANAVRLFASTGTVTQETQTVTCSVVVDQCDGPCDLSQPTVQFDTGSPDHNTTPCHGVRSDLKQDKCRKIEAVHTIKNTLEKRKCLFSTEIQLLDGSQPEKDSDEVMGCQWSDLISTTSGELLAYDTSIDEHHRGVQLAVNNAESCGYLLSKLTGDGDPAASGSAYYQELMMGEDQIEKTQIFQDGQPIISTEEIQDNIYDANGGVPLGYKVETQQQRGIRRRCLVFEASGFSNSLVQKETVEDMSASCKGKSHVETKISKSKLQSRVPRGIGLHLNALTTTPKGKMACQDPMASALVPVSTSEHDMHGKLLSAGENFTHSGGELLELSMDDSEGFPDRVSSQSGSPQKKRRKADNGDDGESCKRCSCKKSKCLKLYCECFAAGVYCSEPCSCQGCLNKPIHEEIVLSTRKQIEFRNPLAFAPKVIRMSETGQETGEDPNNTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSSNCRCESCKNTFGRRDADIELTEEMKQEGEETKICVEKENDQQKANVQNEDHPLLELVPITPPFDVSSSLLLKPPNFSSAKPPRPTKARSSNSRSSASKVTETVQSRKISKVANSGRSEEMPDVLREDNSPSSCVKTTSPNGKRVSPPHNALSISPNRKGGRKLILKSIPSFPSLMGEANNSSAMNSVDSKLSAPPLALEHRAEWR >Et_4B_038147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26723474:26725501:-1 gene:Et_4B_038147 transcript:Et_4B_038147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPRLVSVAFAAMLLASVAAAADGNGVYDPCADTTVQRGDGFTFGVAFAGRDAFFNNGVQLSPCDSRLGLANRAQVAVFRPQVDEISLLTVNGSNPTSSGGYMVAFAGKKYAARSFPPVFVSNDTYTVTAFTLVLEFQKGRLQNLYWSTKGCGKCPGQSGELACVEDSCAIKTTSCSGRGGKVDCSPGIQLAFSGTDKHDAVLNSWYEVSKLQQYSLFGLFSNLKDSLASQFSSLF >Et_7B_054724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3857710:3858128:-1 gene:Et_7B_054724 transcript:Et_7B_054724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVVTKFAVTSMVMWIVPVAIVYGFYYEMIPGVSQLSSSTQTLISGFLAVISVNLVIGFYICMAMKETPHQEPQPDPTFLANAKASINQPTSSQPSDDSKGKGKVE >Et_8A_057679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:593620:595628:-1 gene:Et_8A_057679 transcript:Et_8A_057679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYNRYGYGSGGGYGHAPPPSAPPAPMPTTYSSSSSPYGYGHNHGGGGGYPSAYPPPPQQTSGGFGFGYGAGGAYVAFPPGTHPDVERAFRAADRDASGAIDEHELQGALSSAYHRFSIRTVRLLMFLFNDPATSTPSRMVPTEFVSLWNCLGQWRSIFDRYDTDRSGKIDSRELTEALRGLGYAVPPSVIELIIANYNDGVPRNGALDFDNFVECGMIVKGLTDKFKEKDTRYTGSATLTYDGFLSTVIPFIVP >Et_1B_009709.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:18922679:18923001:1 gene:Et_1B_009709 transcript:Et_1B_009709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHWIKGPNAGKSEPLAELPGYPDNVRADGRGGYWVALHREKNELPFGPDNHMLAVRINTDGKIVQVMRGPKSVRPTEVMERKDGKLYMGSVELPYVEVVST >Et_1B_011653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24602280:24604123:1 gene:Et_1B_011653 transcript:Et_1B_011653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINLELIRAIVTAEEVLLLDPLTQEVLPFVDQLRQILPLRSLVGRNGEPASDGHGGKQDGSPGQVPCLNEATGAEHELPFEFQVLEVALEVVCSALDLSVADLERHATPVLDELTKNVSTRNLERVRNLKTDLTRLLARVQKVRDEIEHLLDDNEDMAHLYLTRKQVQNQHVEALMSSAASNSIVHAATSMSRLNSSFRRSVSIATSMHLDNDVEDLEMLLEAYFMQLDGIRNRILSFETALMLSPEFSILLLLNDESPMSPPKIEVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFGIAANTFIVGAFAMNIPSYLYNTDNSLFWPFVGGTSSGCFVIFIVLLGYAWWKKLLGP >Et_1A_005758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13617286:13618503:1 gene:Et_1A_005758 transcript:Et_1A_005758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWNRQLVKPAILKRRRPRTSTSTSIAGRHTALHQTLYRAVRDGRGAHVEPRLVLLRLNVAAWMMPSRSSKECRANGLCRADKWEDTGELIVDMVREECLPNEVVTFSILVSSLCQKGLVECAVEVFEKMPKYNCTPNIIIYNTLINGLSEQDRVDDALKSGTPPPPPPRADEATFSILIDSLCEDGLVDFFFCEDGLVDLAILVFEQMSKYGRSPNSLIQNALVHGLSEQGRVDEALKLSKNIMSQNYEILTPFCFGKHQCMKK >Et_2B_019869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14766872:14769972:-1 gene:Et_2B_019869 transcript:Et_2B_019869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTALVATVEGSGSYQASLSSVITFALISLISEVAQTVVHKKKKCESLTRRSRMIGYCLHQLYDLNLVHSPETRKLIKSLEETLCQIHTLFRTCQKTKITYHFSGGKLAGQFREVQGKIGIYLIAFPSIIQVYMSCQFARVAGHAHVAAVQEQRLLSESPQRMEEGPPPPPLISVAAFYFVLNKAFNYLECYGMGQGMEILKDRLFKRMEEIQAATDYIDYLEIKAKSTAYCTWIWPLRDAIEEVEAAVDGIAYDERERATRAQKVRHKVWYPRSNFGKGKNIRAAKSVSWICMTRLRNAVLDLDEVARYAYLYFYHETRYTSEKNHVPINNLPIGRPESMRRDNGLSVFAVHGIGKTALVRLVYREEEVMESFDSMIWLHFPKNFDAGIMIQRIVESVADWRFSYDTLEDLQNILINELSTKKFLLILDGAQDGDRIDEWKRLMAPLRYGQCGRKIVLTTQELSVVNVVPELAGGKRELLTLSGLSYDESLALFRRHGLTLDPEIYAAFSPEIFEEKVSLVIRITMEKFEGCPLLIKALAGYLGDNLLPKHVDEIIMLMRQNECSADCLLQLLYHGLPSHVQACFRYCSIFPREHKFKQEDLVKSWIGSGLIPEDSYANRRPEDIGKVYIDTLVRKSFLDKIVEGNSCAEYQEYYVLPNLMHELAQHFSLGECARIDSGSFEYVGENVRHLCIAQHDP >Et_8B_059129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14171963:14181123:1 gene:Et_8B_059129 transcript:Et_8B_059129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHTILARKSPLGTVWIAAHLERKIKKPQIDGIDIPSYAESIMFPEVPIALRLSGHLLLGLVRIYSWKVNYLFQDCNRMVTTIRTAFSSVQVDLPVGQDHAPFESITLPPTLNLDDLDLDDAISQINTPDNHQKTLDQITLPDEREYVMIDLDEGAAVEPPITEPTETFPPFNDDFGPSRNSNNEIPIDPSPGNLAVNPNDDFQTGGPQNTPERMREAPQEGLGIDFTEFIQGDQSHMEVDPSPFVQRKVITSPITAETSAGPQIPGTSVPKIQTSYGSFEYYVEPPEIGNELPDWTVEPSPPHVQAPPQVQAPPQVQGNRKRKFDLQLVRSNVYMKKQIAGHKLEKLVHKRRKLPQTALDMWRFSRTNRNDSFFLEPLLPGMCSNLHATYERNYPRVSNPDAESVSHEPEAGDGNVGGQDAPPELQPSPKSPENEDAPPEQQPSPKSPENEDALHEWQPSPKSPTTVDAQPEPLSTPKSPGAGTARDDYTLPELPRFSPSATGEDDSPFKTRSRTPPSRLGGTGGTERTPSDWNYSLPGQSTRSPHTMASLFPINEDDDLPEFPALVSTPGGVTSAGTGVTGLGSMSARTRAVAQFFKDRISSDEQPGKFSLNGILEGRTRKQAARMFFETTVLKTYDYIDVRQEEPYGDIEHSILARKSPLGTVWIAAHLERRIKKPQIDGIDVPSYAESIMFPEVPIALRLSGHLLLGLVRIYSRKVNYLKEDCNRMVTSIRTAFASVQDDLSFEPDPDPAEAITFPPTFNLDDLNIDDESSLIDKHDNHQEAIGQITLAGNSPLNSNTKNVQGPPEKMREAPQDVSPVKLIIDDDPIDVDNKCSSFADNNRKRKFDNPIVLSNSYMMKQIDGFKLYNLVGKRRKLPLTALETWKVIRMNRNNSFFLVPLLHGMCSNLHATYERNFPCVSSPEPEAGLGNDGCHDVQPGCQSFEHSSGNGDAQPKPLPTSESPGKDDDDTLPELPRFSPSEHMSPWITDGDSAFKTPSTEIPTSDGNYPLDGQNTQDSGYMASLFPMDEDNCDQPRIPGLISTPGTGSTGLESMSARTRAAAQCFKNHTPSNSSDEQPRRFSLNGLLDGRTRKEAARMFFETMVLKSYDYIDVRQEEPYVDIEISVKPSLSAVKL >Et_1A_005919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15542111:15550382:-1 gene:Et_1A_005919 transcript:Et_1A_005919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAEVAVVEDAPAAAAAAGVEDGMRMEGWLYMIRSNRFGLQYSRKRYFVLEDAALRCFKSAPSSQNEDPVRSAIIDSYVRVTDNGRESVHRSDFYIFTLYNASNHYDQLKLGARSSEEAARWIRCLMECALKSPRKDEHIVACSHRRWQAFRLSRRSSRMHSIDWTLFSSAHNDPMASDVIAPSPWTIFGCKNGLRLFTEANDGGSRGKYWDDHPAIMAVGVVDANSEAVFQTLMSLGQSRSEWDFCLREGRVVEHLDGHTDIIHKKLRGDWGMRKRDLLLRRYWRREDDGTYVILYHSVFHYKCRPERGYIRACLKSGGYVISPVKQGRQSVVKHMLAIDWKFWKSYLFTSSAKYITIPLREFFRAKNGNCACMEFSSGELTRDMVLSQSDNERINLEMHPANESRRLEGPTEGSQGGSNRQLSSVGSFIQLNDAADEFFDVPDESEYDQREVMFPSDESTYAVDQRHGKLSTAAVFVKKLHDLAVQKRGYVDLQGAADADNEPCCYGSTLPKDSSCTIKANSTLMQMVGADWIKSDKREDDLAGRAGGLVQRCAAQGGSKFFFIVNIQVPGSTTYSLALYYMMDTPLEKVPLLERFVNGDDSFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGSNYLELGVDIGSSTVARGVVSLVLGYLNNLVIEMAFLVQGNTQEELPEFLLGTCRLNYLDASKAVSIDEC >Et_9B_064512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1608384:1608908:-1 gene:Et_9B_064512 transcript:Et_9B_064512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQMDTEIVNKNDTALARSLSAVTYCCGACGYDLRLRSSDRNTAGIVGGYGRAVRRGVVAFDAIDDARFGHADEFRCVDVRARRLFVRRTRLLCRKCGKSLGFGYDDRGADGASPRYDIKIRALQPLAHAADDDAPSEEAA >Et_10A_000537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12303503:12309483:-1 gene:Et_10A_000537 transcript:Et_10A_000537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANLHQVERIINFLLNDYPTSTGDFDVLSVVGKIGVGIWNVKSESTRLRCDDLRIRKRFTLIMLSHAPETPILNPAWYNTEEFPILGLPSRIEVALPLQMLRQINEGELQHRGVHHNHVGLSAVCPVQAPIHLPSTEKPDLVSRWPPPRGHRPRENLPLPCARRVDGGAHARLVVAEADHAERPGLVGGDQEERSDVDLSAATAGDLVGPDGDEIQGSRERVVDGDLLGAEVLEEEAAAAEVLDAPPGEGVVAVGDAGEEDVGATEGGGVVRVRAAEDGREARRLPAVVVEEDTEERGRVERAELPAEGGRGADGGEEGAAGDGGADEAREGREAEEYHEEEVVAEIENKCGGRWCCSFRRRRRLGVGSHGGGRTDGAKMFLTC >Et_10B_003054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1613187:1614531:1 gene:Et_10B_003054 transcript:Et_10B_003054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTHAPCGAEAFAPGRARVRGPAGVGFRGLGPSKAGLPRRPLAIAKRTAGAAVPVAAGAASRLRCAASTDAARPISAPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLYSRHLKVVDVGGGTGFTTLGIVKHVDPENVTLLDQSPHQLEKAKQKEALKGVTIMEGDAEDLPFPTDTFDRYVSAGSIEYWPDPQRGIKEAYRVLRIGGVACLIGPVYPTFWLSRFFADMWMLFPKEEEYIEWFKKAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKREHGDSPLQLGPKAEDVSKPVNPIAFFFRFLMGTICAAYYVLVPIYMWIKDQVVPKGMPI >Et_2B_022188.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:11712858:11713388:-1 gene:Et_2B_022188 transcript:Et_2B_022188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTCIAPERILVQSPRHCETHGWTARVRLQPEGLPQEVPNVVTTYFPRHGWTNYSRRNTGSPNEEHTFAMVSPRRAISPAPSPEYTSASPEYTPSEAAPPEFLLQGTIAARRGAPPFYMSTGGGSSSTGIAAGAPPGFVELALTPPPPLLLRPRCSLVAPTRLRQPTAAPGCAAT >Et_2B_021396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29344684:29347267:-1 gene:Et_2B_021396 transcript:Et_2B_021396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSRSSSRRPLWIIILIAFVCAVGLGAYLYTPRHYTACYLVSSDACSSRPPPEPTRVYTDDEIAARAIMRDIIRARPVQSKNPKIAFMFLTPSSLPFEKLWEKFFMGHEDRYTIYVHASRDMPTHTSPIFTGRDIRSEKVIWGTISMIDAEKRLLAHALEDPENQHFVLLSESCVPLHNFDYIYSYLMETNVSFVDCFDDPGPHGAGRYSDHMLPEIVKKDWRKGAQWFTVKRQHAILILADTLYYGKFKRYCKPGNEWHNCYSDEHYLPTLFNMADPTGIANWSVTHVDWSEGKWHPKAYRAVDTSFELLKNISRVVQRRPCMWNGMKRPCYLFARKFYPEALDNLMNIFSNYTII >Et_5B_045550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4190607:4193896:-1 gene:Et_5B_045550 transcript:Et_5B_045550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLKAFSSPLHLPTLRRLNRSSASVLRVAAAASMSSSYSSSVETPIEHIVLIKVRPESAASGAAAAMVSALQALSTQVPGLAYIHAGPVLRLRSPAAEALGPTHLLHSRYAAKPDLAAYATHPAHVAAVQGHVLPNALDTTAVDWVNVAPAASPVAPGSAVRLTLAKAKEGVEPAQLVEAVAAATKAAAEARGTKVSFGENFSPARAKGYQFGMVAVFDSVEELDAVEGDGKVEEAKAAVRPLLDEVLVLDFVTGPAGEGAKCSQMAQFLGSDYRLTCS >Et_3A_023804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12519185:12521676:-1 gene:Et_3A_023804 transcript:Et_3A_023804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGPKSSARNTKQGATRRQANVTRGAKLGTITLFNNGSVLPNLASLDLSNNSLSGTVPATLFTHPALTQLSLQMNNLSGPIAEFHNPSATLTNVDLSSNQLTGAVPNSFSQLTALSNLALDSNNFTGLLDLIPYFRQRNLKLTASYNPLLPATAEDHGSNNNGSISWLELKGCSLTRIPSALRYLPKLDGLDLSYNHIGGRIPDWIWRSMNTLLLSHNNFTTVGQIPENTTITFLDLSFNKLRGAVPFPSAGLKLEYSNNEFTFIPASSFLRLFKNAYSINLANNKLSGPLPYADCHSDPNSLRILDLPGNNLNGSIPPYLLKGCSDLTVLNLRGNRLSGTWPDDMDNFCSLRLVDLHGNHLEGPLPRSLANCNELQDLDVGGNNFVDVFPTWLGNLPDLRLLVLRSNKFYGPMSIPAGKNHSANTSYFPSIQIFDLAGNGFTGVIPYEFFESFKSMVEGRNHTVDGMVFGEADESGYQAEVEVIMKQQYIEMLEVFSDLVVIDMSNNRFSGPIPKTIGNLAALLALNMSHNALTGAIPGELGRLSRVESFDLSWNHLIGEIPRELVALKALEWLNLSYNSLSGSIPSGGQFSTFPSSSFQGGNQGLYGCPLPVRCNLTQPPPPPPPLQVPDKASASHKFELVVLWLLVGSGYGLGFALAVVLHVVCTGRRKKLARDN >Et_2B_019581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10325941:10327243:-1 gene:Et_2B_019581 transcript:Et_2B_019581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGLSTAFSPPRGSCIAVRIKQGPARSSILSPRRRRSCAAAIRAEVSFVDGDEAKRLVTEEGYTVLDIRDRTQRERAHMCPSSSRTKTMTLRQLHNNFAGLFFGLPFTKLNPDFAKTVKEKFSPESKLLIVCQEGLRSAAAADTLERQGFQDIACITSGLQKVKPGTFESVGKSELQNAGKAGLVTVQGKISVVLGTVLISAYLFITFFPDQAEKLSDLAGISL >Et_7A_051550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20145262:20147381:1 gene:Et_7A_051550 transcript:Et_7A_051550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGRRISSSRAAVSLAILLCFVAAAVAARPAPAAVRAAANGTCPSRVVPFGYKCEEHTVTTSDGYILSLQRIPSGHAGGSSASKIPVLLQHGLMMDGVTWLMNSPAESLGYILADNGYDVWIANSRGTVYSRGHTSLSAADPAYWDWSWDELASNDLAAVAQYVYAQSGQQKMHYVGHSLGTLIAFAALSQQQQLGMLRSAGLLSPIAYLDDVSSPLARAAADIFLGEALYWLGVNEFDPTGTLFASTNFVSREAVHALVTDICEQPGIDCYNLMSSFTGDNCCLDNSSVNVFLAHEPQASATKNMVHLAQMIRRGTIAKYDYGNDADNTKHYGQATPPAYDVSAIPDDFPLFLSYGGRDSLSDKQDVSRLIQTTLNSHDADKLTVQYLDDYAHADFVMAGNAKERVYAPLIAFFKLQEK >Et_8A_057946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:53389:53862:-1 gene:Et_8A_057946 transcript:Et_8A_057946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTARWQLLAGEVKKQASWFLQDKYKQARLALGDVTPAELLVQEATNNDVGVPDARTLACIADAAFDMDDCWRIAKVLHGRLMKGSVDWKEWRPVYKALVVLEFLLTHGPRTSPASSCRTCPP >Et_9A_061542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13449269:13452665:1 gene:Et_9A_061542 transcript:Et_9A_061542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDVGASPAPPSASASSSQHHHHSVKSARSLLCHAVAGASAGVVAATFVCPLDVIKTRFQVHGWPKIATGTIGGSVIIGSLQQIAQQEGFRGLYRGLSPTVLALLPNWAVYFTVYEQLKHLLSSSDGSRQLSLGSNVIAASGAGAATTIVTNPLWVVKTRFQTQGIKTVAIPYKGTLAALRRIAHEEGIRGLYSGLVPALAGISHVAIQFPAYEKIKAYLAERGNTTVERLSFGDVAVASSLAKVAASTMTYPHEVVRSKLQDQGAHSEIRYKGVLDCIRKVYHREGVAGFYRGCATNLLRTTPAAVITFTSFEMIHRFLLGVLPPEAEQHIQPLKH >Et_7B_053754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11953779:11968948:-1 gene:Et_7B_053754 transcript:Et_7B_053754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANKLPLQERVPLRRTGWKFADLTVLALLLALLARRVASLLGSGGVPPSRSWLVALACEAWFTLVWLLNMNGKWNPVRFDTHPERLSERIDELPAVDMFVTTADPKLEPPVLTVNTVLSLLAVDYPPEKLACYVSDDGCSPVTCYALREAAEFAKLWVPFCKRHDIKVRAPFVYFSSGPERGANDDEFFRDWTSMKSEYEKLVSRIENAEEDSLVRRGGEFAEFLGAERRNHPTIIKVLWDNSTSKAEEGFPSLIYISREKRPQCHHHFKAGAMNVLTRASAVLTNAPIMLNVDCDMFANNPKVVLHAMCLLLGFDDEVQSGFVQAPQKFYGGLKDDPFGNQMEVLFKKLGFGIAGLQGIFYNGTGCFHRRKVIYGMPPDSISPRKIRGSPSYKELQKNLGTSKELIESARSIISGDILASQTADIPSRIEAAKEVSTCNYETGTCWGQEVGWVYGSMTEDVLTGQRIHAAGWRTAFLNPNPPAFLGSAPTGGPASLTQYKRWATGLFEILLSKNNPILLSIFKHLDFRQCLAYLIIDVWPLRSIFELCYALLGPYCLLANQSFLPKASESGFSIPLALFLTYNMYNFMEYMDCRVSARAWWNNQRMQRVYSSSTWLLAFLTVLLKTLGLSETVFEVTRKDTSSPDGDDSAEDADPGRFTFDSSPVFIPPTALTIVNIVAIAVGACRAVVAGAAEGVSGGPGVGEFVCCGWLLLLFWPFVRGLGGKGSYGIPWSVKLKAGLLVAAFERVPLGRTAWKFADVVVLSLLVAALVHRSALILGSDGSPPWYWVAALVCEAWFTLVWLLNMSVKWNPARFDTHPERLAERVDELPAVDMFVTTADPKLEPPVVTVNTVLSLLAVDYPPEKLACYVSDDGCSPVTCYALREAAEFAKLWVPFCKRHGVKVRAPFVYFSSSGPERSAKDDEFLREWTSMKTEYEELVSRIENAEGSSLVRRGGEFAEFLGADRRNHPTIIKVLWDNSKSTPGEGFPSLIYVSREKSPRYHHRFKAGAMNVLVSALPAYVVQSHNKFREGQDAHLAGICVVATANQSGCLQTRVSAVMTNAPVMLNMDCDMFTNNPSVIRHAMCLLLGFDDEVHSGFVQTPQKFYGALKDDPFGNQMEVVFKELQTNLGSSKELIDSARSIISGDMFTRPIVDISSRIQAAKEVSACSYEYGTRWGKEVGWVYGSVTEDILTGQRIHSAGWRSAFLDIEPPAFLGSAPTEAPASLIQYKRWTTGLFEILFSKNNPILPSIFKHLEFRQCLAYLVVYIWPVRAPFELCYALLGPYCLLANQSFLPKRSAADGQFLRDWTAMKNEYEELVSRIENAEESSLVRRDSEFAEFLGVDRRNHPTIIKVLWDNSKSASGEEGFPSLIYVSREKSPRYHHRFKAGAMNVLTRVSAVMTNAPIMLNMDCDMFTNNPKVIRHAMCLLLGFDDEVHSGFVQTPQKFYSAPKDDPFGNQLEVLFKKLGFGLSGLQGIFYGGTGCFHRRKIIYGVPPDSSRDVEPSKIKCSPSYKELQTKLGSSKELIDSARGIISGDMFTAPIVGISSRIQAAKEVSACSYDHSTGWGKEVGWVYGSVTEDILTGQRIHSAGWRSALLDTEPPAFLGSAPTEAPASLIQYKRWTTGLFEILFSKNNPILPSIFKRLKFRQCLAYLVIYIWPVRTPFELCYALLGPYCLLANQSFLPKASEPGFSIAFSLFSMYNMHSFMEYMECRLSARAWWNNHRMQRVYSSSSWLLAFLTVILKTLGLSDTVFEVTRKGQGGDAGTEDADPGRFTFDAWPVFIPPTALTILNIVAIAVGAWRAVAGAGEGSPGAGEFVCCGWMLLCFWPFVRGLVGKGSYGIPWSIKLKAGLLVAAFVHFCRRI >Et_2A_017176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31782188:31785234:-1 gene:Et_2A_017176 transcript:Et_2A_017176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPYTNFLRGYYSHFIPPSNPPPVSSSSSFSSYATSYLHPPPPSPPIREALPLLSSLTPPSTTSPPRQHRDEDVQDQKDNCKLTITSCSNSEEAADQAGEVTVALHIGLPSPGPSESAAAAAAVGSGGNQQEAAEEGRSQQEEGGGDEEEGEDAMAVGCASIGIGRLTKGQYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAAGCRNNIDHPRARPLKDFRTLQTHYRRKHGIKPFMCRKCGKAFAAYDVNCNQVVEIVGIYKLE >Et_2B_021551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30527598:30541720:1 gene:Et_2B_021551 transcript:Et_2B_021551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPHAAISTTASGCGVSVPEAPVFHPTEEEFADPLAYVARIRPLAESYGICRIVPPPSWSPPHALDFSSLSFPTKRQPIHRLLARPAPADPDTFLLDYRRFLRDSAGHRRGRKKGLPKSPTLSDGRPLDLCRLFHAVKRFGGYDGACEGKRWGEVVRLVDDRAPVHVSECAKHVLAQLYYEHLHEYEKFTSRSGSEDVKKGKQQEMEFDGQPSGSGSHDEGRSSSDTEELDGVVSRLRRRKKRNAIRKKVCGRLSLDSHGNDGDIIGNNSVSAGARKRKRKKYDIAVTVVNEVFTDGRKRKRGKCDAAATVRSPSRLQVEKQFWEIVEGKAGELEVMYGSDLDTSIYGSGFPRLSDPAPSSVDLMTWRKYCSSPWNLNNFPNLPGSVLRTVQDKIAGVMVPWLYIGMLFSSFCWHVEDHCFYSINYLHWGKPKCWYGVPGAAANAFEQVMRQTLPDLFDAQPDLLFHLVTMLNPSILRENNVPVYSVMQEPGNFVITFPRSFHGGFNLGLNCAEAVNFAPADWLPHGGTGAELYRLYRKAPVLSHEELVYVVAKNGVDSESIPYVKGELQKLFTEERRRREELWINGIVKSSPMSPRSNPNLIGSEEDPTCIICRQYLYLSAVSCNCRPSSYVCLEHWKHLCECSPEKHCLLYRHTLAELDDLVNEVFLSSLPGDNVKQNPHLLNEVCGPSKKVKDRYISYAELAEDWLSKSDQIFQMACIDSSYATALEEAEQFLWGDHTMDSVRDITLKLTEAMNWALGVRKCLSKIEGFLLCKGNFSEKINYGEIKKLIAVRRIPFCEPGLTKLQVDSLEELWSRISNFPVNLPESSILCCELSSAKSWLKKARDCLEQNRISTIYIDVLNELKSEMVQLRVLAPEIDLISKLWKDAESWRMRCQLYIEDSPGLKELESFLLAVDGANFSIAELNLLRKRYSDACSWVNHANTILRKLYGRSDYHNVVEELTGILNDGESLRIVEEELKRSLCRKQASEALSTVMPMKVVEEVLMEASILTIQEEKPFVDLSRILKDATAWEEKARLILDHSASLSEFEDHMRRSENIKVILPSQPRMKVEIDTANLWLDKCRPYLSPRCNKLAVGGFVKVDDLKDLINQPAYLKITLDTSALTTVLNSVEEWERNSLSLLSDSKSLLHLNAIGPSVDTLQRNLVELQNKMNAEIENGSSLGFGFGALRELQDSLLIHRWILDALSLCCVIPLLEDVDRLIGEALHLPASLSDYSLVKLLMKGSSWLRRALKLLPGSEISPKSTLRDVENMLVELKEVDVPYPMMTVKLEGAVNKHNLWTEQCNTFFMLPDHQSWAGLLQLKDNGQSVAFDCTEMDRVVLEVKKVEKWLAQCHTCLILDGVSASLLSLLLKVRGSLDNVCMLYVEDKKNESCASCSCDMGDIAASKCATCQDWYHVSCVENLLAATQVPSEWICPFCSYLQSDDPLGNKTQVKMSKGNRPALTTLTELLSFANGFYTGIEELDLLKEIVEKAHNLNAYLMQVLNDSESYHGADLSVICKSLLFALKATSASGLLDHAVEYKIEFVLSRYLWKRRVHSLLSSRKKTSVQEILRLDKEGSNLGICGEDFFKLGISKIKETSLQWLARAEKVAVDSGELALDLVYGLIIEGENLSVDVEKELELLRDRSVLYCICRKPYDNRAMIACDQCDEWYHFECINLAGPPPGSFFCPACRPNNDEAWKQEVAVPQNVVANQLRG >Et_5B_043528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11499119:11505170:1 gene:Et_5B_043528 transcript:Et_5B_043528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LDDEMTSRMAAGKQQKRLINSIYSEQHRPGKKAKFQSSNCLVTLNPHIGLKWDRQLRRVVPEKEQVGLLWSDLAPFVETRKHRSGLADVTYVPPEAFSFEDLRGMLSYEVWTTCLTEDERKFLSQFLPSETDAEENEKIITSEILFSAGKYGSLIKLLNYRASSLCYGDMHPDALLDKEKHMKAAEKTYRIDLHNYHSKYAKTGNMLETLKKWRKRWLSCGDTENLFRLCLLKLVFFRLIFHALINQSFLFSKFDDSPVKKKQGVLQVAAAKSGMALKVAPTVDVSKFMSYIEISRTQLNHIKRLKQSGDGIQTKHVSRVLGGLDNFPVKPFGALMEGELTRLREHWLNMAHKDLPAAFEVLKDRKSLMEKSRKLLGLELGEKSVSVMRKADKLPDVRKDLGQHGACENDGSPTLLNDQVEHSPQSISQGRSDQSMSLQDQDGERAKYMETSIYHVEGSNVNDLDPVVANATLITSQSEQISDVLNQNHKDVKCFDVSMSCRADIPDEQNEDMIDIKLRKDGLDAQHEEITEVSYEGTAVQTCSSGNEQIKSINYTSTPIHTLESQNMQVQDLDGFALKGQSIHAHERDQDLKSISNAIVNRSNHDVNNPSEKRHPQMNTVIAEREDPEDILMMPSCSSSLLPKSSEEQIIVEDFLDSTDHVEGVKNRWPVAGPLESYYHPPEDRMCEGSGDPHITSSYLSSGQQGSSVYAHNDIIGQQLTPVTTSSFPVDNLASFVEPFSSQQSNGQLQIVKDIGRISYSLQHANGIQQSTGLHSSTNNSLVQSAPFPVQEQQLIEQSHAGLYVQQVPNNLYSDMRFPNSGNPLIAEQHSYNAFAPMDHRYNNWSIEGNQSHSMSGLPSDNCLTQALPSGSNTDGSLFSAISQYRQPSVHTQPGRLSPSQLLERGSQVLPPQNFVPRPQDTNPPFSDIYGHVQTASNSPSSNLASAGTLNNMNWTNFIQQNPGMPDFTNRQFRGPWTR >Et_9B_063787.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14186700:14186867:1 gene:Et_9B_063787 transcript:Et_9B_063787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWKHRNTFIFQGEPLSLQRLLRQCRDEAYLWRCRLPRDDAYVSDAWCSLFSSIM >Et_3A_024971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25795899:25799762:1 gene:Et_3A_024971 transcript:Et_3A_024971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNPKNSQMHDVRTNAPQANVTLVLQQMSAVEPLVLAHVIRDVLDSFTPTISLRITYNNRLLLAGAELKPSAVVNKPRIDVGGADLRVFYTLVLVDPDAPSPSNPSLREYLHWMVIDIPGTTGASFGQELMFYERPEPRSGIHRMVFVLLRQLGRGTVFAPDMRHNFNCRNFARRYPLDIVAATYFNCQREAGSGGRRFRPESS >Et_7B_053360.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:13144283:13145005:1 gene:Et_7B_053360 transcript:Et_7B_053360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PVPHESYPSHHKHGTEEREDANDARFGAIACASVPQIAASAVDRPAIEPLSSVPAMASPPSSPHEEPAGVAAICCMCGDHGLPRELFRCRACRVRLQHRYCSDLYPRATAYRRCNWCLRDPPAQAQGGGAAGHAAAAVANNGKPPAESKRKAAAATATATIASDEERQRHEGCSPRRPPAELGCPVKKRRKADETAQDDDTGKGRCHAAGESKELMRAGKAPRVRVKVQRYKLLAEVISC >Et_1A_007388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34044855:34046990:1 gene:Et_1A_007388 transcript:Et_1A_007388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKISATLLLLLLLVLIFAADSGVEAWCLSYPSPDSGACREKGGLKNCAKKCEAVHYDGGQCDTFNDCLCVKCVEQGPPAQHTLSEFPPPVARRAGPVPPPAIMSAEKDNCPMIRVPALVDACRSPPGLAGCAAQCIVYKYRGGHCDVLPNGRLGDCTCMNCIG >Et_9A_063214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15902178:15906898:1 gene:Et_9A_063214 transcript:Et_9A_063214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSRIENEEAVARCKERRQWMKTAVAARNAFAAAHSAYAFSLRDTGAALSEFAHGEGVPPQPTPEEEAGEAAAPPGGAAAPGGTGAAAKAAPGVASTSAAGEEPIMPPPPPSIDPLPPPPPPLPEFSPSPAKIHRSISMPLPPSTAAAKGASMLHSDSIREEDEEDVDEEEGEDDGQLDVRRRRLRHQPPVQPPLSPLPPETPVTPQPPPPPPHLPESKSGVDTWDYFFSMEEGMASIGPDDDEIIPEQEEERYVTPSPPRRFPSPPRPAPIPLSEEFEEPPQTLEMVTPPPSSLPPKPPKNSSKKKKGKGKMKAVHHQHTESAPPITLGGGGKTAKVARPEMPRVDLLKVLAEIDERFLKASESAGEVSKMLEANRMHYHSNFVDNRGHVDHSARVMKIITWNRSFKGMQNGDDGKDEFENDEWETLATVVDKILAWEKKLYDEVKAGELMKLEYQRKVALLNRQKKHNASIEVLEKTKAAVTHLHTRYIVDMQSMDSTVSEIQHLRDNQLYPRLLDLADRMAKMWEDMHMHHANQLKTVLHLKSVDISDSHIETSAHHHSHTRQLREIVEKWNTNFNDLMNHQKEYINALYSWLKLNLIPIESSLKEKVASPPRMQQPPIKIFLQAWNEHLTKLPDDLAKTAIVSFRAVLDTILSVQDEELKQKALCEQTHREYMRRKQAFEDWYHKHSQRGKFDVDPESGEGTGHKDAVTERKFAVESLKSKLDDEFEAHNKLSKQVREKSLSILRAHLPELFRALADFSNASFDMYSKLRLMSLMQDQGNN >Et_2A_016213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22053882:22054521:1 gene:Et_2A_016213 transcript:Et_2A_016213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKETLPLRDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYELPLQRLHFSDGRPKSPPSLCEFIEYIDTEQTPENIAHVYRVAERKERGGRKMRQKEVERRREYEAERKQREEAERRRKQEEDRLAYEAREAERERMRERARRARAAGPDAFRKRKYPCCTQ >Et_3B_029582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26128062:26130503:-1 gene:Et_3B_029582 transcript:Et_3B_029582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPPVPLRPPAPRASALPLPRAANPNTDPATTTASAGTASTMGAAAWWRRTLGQRFNPTGIAAVASVAVSEPRLALPHLSVQDIRWLDWAELRRAGFRGVVFDKDNTLTAPYAPALWPPLAAAFDQCRAVFPPGALAIYSNSAGLKQYDPDGVDAKAIEAAIDGVHKPGGAAKEIESYFDCSASNLVMVGDRYFTDVVYGNRNGFLTVLTKPLSFAGESYIVRRVRKLEAYIINYWYKRGHKPVEHPLLPDPRRIVKFDPYDDTIASR >Et_1B_010696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13099022:13103320:1 gene:Et_1B_010696 transcript:Et_1B_010696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGAAATAAAAREEEESSPAQRLVEAALSGDVAAVEACLMAAAAADADVPAASRVGVARLRVRCADVALREEAAGETVVQAREIKTDVSPLFAAAHAGHADVVRALLVAEADVNQKLFLGYATTAAAREGNIDVLEMLLLAGATQEACEDALSEACLFDEVEAIRILISSEMLGTEAMAHALVTASSRGFDDIAVILLQNGVNVNCTARVLLRSVKPVLSANVDCTPLVAAIISRQISTVKLLIEEGSFLDSLVQVGSWSWDPTWDEELRVGTCLGQHYNATWCAVEYYDSSGKILKLLLDKAPWLLESPNKGRNLLCHAVLCQNPNAVRLLLLAGANPRFPIMTEGRHVSYPIHLASRLGFTQVLKQLMLHGAEVNVKTSNADTPLMVSARAGHSDCYLELIKFGADLGIVNNAGETAITIANLSSFRSSIVDIFMKALNCGTRLISSDVTVFSPLHFFAASGRPEPLLMMLHQSASDLNKLDGSGLTPVMVAAESGHIDIFRLLVMEGADITIRNSERESVMSIIGQKSSETRDCFEQILLQAALANALTGQTLFRPLHYAARIGDTSSLLQLLKMGHDPNTLDEDGYTPLMRAAASGSLDSCKILVSHGGADCSLANERNETALSLARKSSRSSKAMDEWLLDHLSRAHVLAGEELMKHTREGKGSPHLKTVRMMKSGVLTWGSTSRRNVACKEARMGPSMSFTKNRRVIDASGRELIFLVETFTGREVHFEASSTSSVELWVRGINLILKETAWSRTDKEPAAVEEA >Et_8B_059110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1476989:1481893:-1 gene:Et_8B_059110 transcript:Et_8B_059110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSGEKRPFGDLDDDDDDVFASKKAKTKLEESAPGAATGMILSLRESLQDCKQSLASCQVELEAAKSEIEKWHLAFQNIPALPAGSSPDPISVISYLNNLKSSEESLKEQLEKAKKREAAFIVTFAKREQEIADLKSAVRDLKTQLRPPSMQTRKLLLDPAIHEEFTRLKNLVEEKEKKIKELQDNVAAVNFTPSSKLGKMLMAKCRTLQEENEEIGAMASEGKIHELGMKIAVLKSQNNELRNQFNVLYKHMDGVTNDVERSNEMVAILQEELEAKDSELRRLKEMLSQKEAHEDRADEGGDQAVNELNTASDPQPVKMES >Et_1B_013653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1097051:1100740:-1 gene:Et_1B_013653 transcript:Et_1B_013653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMLVFLGVNLVLVNTISPVYDFVCFHPYWERRDDINITHNLLWFVGREINTSESDDYVNNARIHDSDEEVSGLGQSGKKLEEMRFGKVAC >Et_8A_056432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:996643:999415:1 gene:Et_8A_056432 transcript:Et_8A_056432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTALSGADLERLHVGVATLSERPVHETGEVTWFRVLFQTVIVEREAFGMNPHVPPSPR >Et_2B_019439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29715780:29717129:-1 gene:Et_2B_019439 transcript:Et_2B_019439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTLPPFTVRRGEPVLVAPAEATPRETKPLSDLDDGEGMRFYSSGIHLYRHNPAKAGQDPAKVIREALAKALVPYYPLAGRLREEEEGRKLVLECGAQGVLFAEADADLTADDFGDVQSPPFPCFEQFILESTTIAGAEPVVDRPLLYIQVTRLKCGGFIFGQRFCHCVVDAPGGMQFEKAICELACGAAAPSVAPAWGREMFMARRPPRPAYPHLEMLSTPPSDMARVPFFFGAREIAGLRQRAPPQMRCSRFELVAACIWRSRTAALGYAPDEEVRLSFIVNARGRPDIPLPEGFYGNAFAYSVAATTAGDLCGKDLGYALELVKKAKSAVTYDYGRPLFALSRTYIVSDISHAGFKSIDFGWGEPIYGGPAKGGEGPLLGVTNYFSRAKDGKGEEGTVVPICLPKDAMDKFQLEVESLTAEL >Et_10B_003633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4047392:4054889:-1 gene:Et_10B_003633 transcript:Et_10B_003633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLFLFLLTLLCLPASIRSEDYSDVTVIVRGSETIASTSNEFVCATMDWWPPEKCNYDQCPWGQASVLNLDLTNPLLAKAIQVRLLPLVLMHFKGDNRYAKVSGVVLGILAMLGSNELSGSGIGASVGVEQYGKDIIELQNIINQLYGDSTKPLVVAPGGFYDQKWFAQLLEVSGPNVLNAMTHHIYNLGAGNDPQVANRILNPQYLSRVSDTFRSLQLTIQRHGPWSAPWVGEAGGAYNSGSRQVSNTFLNSFWYLDQLGQSANALLWHRLMGTGVLSIDISGSSYLRAYAHCGKQKGGVALLLLNLHRSMGFMVSVRNDLNVDLAEGQGIRRDSAFVHGLKRTVSWVGSKASDGLEKREEYHLSAKDGNPFARTMLLNGAPLELTEDGDIPQLYPVQVSVNSPIYVAPLTIAFVVFPDFEAEEEGARYVPREEHLLKPSSVMTAVRMKTKGRRKARMRTVWKTVR >Et_7A_050556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24511168:24511589:-1 gene:Et_7A_050556 transcript:Et_7A_050556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKVATLCCLLLVLVVHADNTSAGLCFSSLSSIIKVPFCKSWSCEAECWLEAKLTSSTVSQHKCTKGGIKGRCYCLFCQN >Et_1A_007669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37021188:37024907:1 gene:Et_1A_007669 transcript:Et_1A_007669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTQSPGRSRPALSLPILLPTLLVLLAFSSAAVASASAAAMHNNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNPRNSYPAQVFNNENHQLNLYGDNVEVDYRGYEVTVENFLRVLTGRHESAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKLRFKELLIMVDTCQAATLFSQLQSPGVLAIGSSMKGENSYSHHLDSDIGVSVVDRFTFYTLAFFEKLNMYSNASLSSLFDSYNPSMLLSTAYYRMDLYERPLNEVPVTNFFGSVMKTIHTDSAYTGFLAARNDEISVSIEDNPHDHVVLNSEASARRSNMEEEAQLRSHGWTEVLRNQLEGKDSDTVVMCGLGAMGILLAISTWLSV >Et_3B_031537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3800806:3804815:1 gene:Et_3B_031537 transcript:Et_3B_031537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQRTPASARLGGGPITRRHAELLLQSGVDCGGGVCVKDLRIRRVVPPASASLNSSPESAAAEKPGPVESTPLEAVSAAAATTAEDLERRPVLPRSKLVRDPGSFGYRRLLPFLNQMAKSDGSSCNEMPSECTSANSGKDLKRSRIWLVDESADGRCREFDPLEPAEQVVLKTGRGLEMKDGCAFVEEETNAVTQDLGGSKPWLTRCARSKFVHQQSSFSYKRMLPFLMENEISSQEGERVKFRRVSEERQLTPDENDVSVNGKHHLAASEDPSSECKSAHVENMEEGKEEKASNADVNHVLEDRQLQPSAPKASSPECCAAEVQNVTQEETSTLNQDQLTSFEDESTSDGIDVPAGGQHQLPITEDSPEECSRGVVKTKVQDTGLKSDGSYVLEPTVSEDTHLEDSTSEGQKAREEQPLPSDGDEGSTLTSDKGEFRAKEQPKEQPQLCDRMELLTVQVEDTADFPQGLQCQSSDLGCPDVGFGSPTKTAMPLLKQCSPEHQDAAASLDDQHLDAVMICRTSDPCAVDRVLSVGEMAGSILCTESGLTKSGIQRPSEANSLIKQGPSPKRLSPRKGILKRHTRGCKGICMCLDCSMYRLRADRAFEFSRKQMHEANDIIGNLLKEVADLRSLVEKPAGQRELTQAACERAFRVEEVARDRCRQMFMDLNSHCKIPAPRVRFAQYVEEKLGSSPPSIRRAEERLGSSPPSIRRVEERLGSSPPSIRRVEDRLGSSPRSSNGKRRDKLTGTPW >Et_2A_015389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11892725:11905647:-1 gene:Et_2A_015389 transcript:Et_2A_015389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNPYTRLVLANAVYFKGKWAKPFSKDSTKVAKFHRLDGTAVDTPMMHKHRGRQLIAVHDGFKVLMLPYKAPAVAAHVVDRTKKREPVTQFHMCVFLPDARDGLWGLLTGTFRDMGIGAAFDARKADLSDMTEEDDSGLPLVVQDVHRGRRLTGQRPLRESPYMDFVADHPFAFFIVEKVSTADASASKKPRRSAGPGSLTSLTLRLAKHFAAAEQSSSSSTEDSGGNKNVIFSPLSVYAALALVAAGSRGGTLDELLAVLGASSAAWRSARSPTGPPPAGRAWRRTRPQPAPSTSATRWVAQVMTHNLITDALSPASLNPYTRLVLANAVYFKGEWDGPFSKASTKPGKFHRRRRPDDA >Et_2B_020234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18200391:18215269:1 gene:Et_2B_020234 transcript:Et_2B_020234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYVMFSKCAGWGLRSTIRGRFHVRNSEGSRSLGTQFSAEEIAGAALLLSKRYAAARSFKMRCTTAVLLALAVLLAATLTDASTQEDQLSKFMASRAMKSLANRHDTNVPAESDPWADQASSFAHLPDRGKIQAASGSKEADWVAALPGQYAGYVTVNEENGRELFYYFAESAHDASSKPLILWLNGGPGCSSLGFGALTELGPFRVNPDGKTLSRNKHAWNNLANVIFLESPAGVGFSFSRNATDYHTPGDQRTAEDTYVFLVKWLERFPEYKGREFYIAGESYGGHYVPELAIVITLKNRFPGQQTPINLQGIFVGNPYLDTFMNEKGYQEFLWSHGVISDEVWATIKANCRFSASDRQLGVRRGKEFVWSIDRYNIYAPVCLHSSNGTYYSSSELPGYDPCIYTYLAPYLNNLEVQKALHVRINSSWSGCSDLYLYWKDTRESVVPIIKILADHGLRVWIYSGDFDSVCSLTATRYSVKDLNRTVTTKWRPWYTPDREVGGYVQQYQGGFTLASVRAAGHMVPTFRPERSLVLLYAFLKNMLPPASSTTTVFLLALAVLLGTSLADASQEAQLRKFMASRAMKRLANRNATNVPAEKDPWADPATSFAHLPDRCKIPASGSKEADRVAALPGQPPGVNFAQYAGYVRVDEEHRRELFYYFVESAHDAASKPLILWLNGGPGCSSLGFGAMMELGPFRVNPDGKTLSRNKHAWNNLANVIFLESPAGVGFSVSWNMEDYINVGDQRTAEDTYVFLVKWLERFPEYQGRDFYVAGESYGGHYVPELATVITAMNRVPGQQTPINLRGIFVGNPLLDDQLNDKGSLEFLWSHGVISDEVWANILASCSFNASDGTQCDTAERSFDDGDIDEYNIYAPICLKSRSGTHYSSSQLPGYDPCSRKHLHPYLNNLEVQKALHASINMTWFACSSLDWKDAPDSMAPIIGRLVDHVLRVWIYSGDFDSICPLTATRYSVKDLNLTVLKKWRPWYTPNREVGGYVQQYQGGFTLASVRGAGHTVPTFQPKRSLMGNISICIFLLLCVPALHANASQEARLREFVQSRRKSSNNKYRVQDLGFRVTSSLRAEYSGTDQRALRAADKITSLPRQAEAVDFNQYSGYVTVDEKNGRALFYYFAEATHDAAAKPLLWLNGGQAHSSCETYFNHYRLIVVQRQEHHEIYMPASQPPRVLISRKREHAWKAGTTMRYQTSTVRLPFPHSSAESATLKIRSCQCALPGVTCRHRILLLQHIFGLYNKSGDQRTADDAFVFLINWFEKFPEYKGRAFYIADDVPRLATTILSHNIIQCNVINLQAILVRVVLATHTYLDYYKNIKGKINYLLNHGVILDEVGVNITKICKFSPLDGNECSNAMEAYDSGSIDG >Et_2B_020514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20926956:20929261:-1 gene:Et_2B_020514 transcript:Et_2B_020514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSVVVVPEVGAAAQAACPCPGTTLFPYPPPRAGIAAVRRKCLQVDSLGAAAAGLLGGAWGVESMRASSPTHAKADERAAWMVRHPSALGKFEQIVAASEGKRIVMFLDYDGTLSPIVNDPAAAFMSETMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKASSRHAKAKAKGVLFQPASEFLPMIEQVHDRLVEQTRCIPGAKVENNKFCVSVHFRNVDEKMWDELSETVKGVMREYPKLRLTQGRMVFEVRPTIKWDKGKALEFLLESLGFSDCSNVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKETSASYSLQEPAEVMEFLLRLVEWKRLSRAARLRFQ >Et_7B_053727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11616957:11627872:1 gene:Et_7B_053727 transcript:Et_7B_053727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDVDEDELLQMALQEQAARDLSHQRPPAANKPVVNLVRPPAPNSRGGNARGGGAPAKARQPRRGGDEDDDSEVELLSISSGDEDNGPRERGPPPPRGGAAGRPGARRAASRDDGDMDDEEPRSWKRVDEAELARRVRGMREARAAPTVEALDQKAAAAAARKALTSVQTLPKGVEVLDPLGLGVMDNKSLRLITDASVSSPISREKSQGLDPSLRDKVIYSSPNFDPKVFLSWVHKDTSAADLEAGALTLKTDLKGRTQQKKQLVKENFDCFVSCKTTIDDIESKLRQIEEDPEGAGTSHLYAVTQRISGVANRAFEPLFERQAQTEKIRSVQGMLQRFRTLFNLPSAIRGNIKKGEYDLAVREYQKAKSIVLPSHVGILKRVLEEVEKVMQEFRGMLYKSMEDPHLDLAELENIVRLLLELEPETDPVWHYLSIQNSRIHGLFEKCTLDHEARMEILQNKIQEKLLSDSKWRQLQQDSNKSLEVDAPVDYATQVDQSSTNFMAEEADSLRATYIRRLTAVLIQHVPAFWRLALSVFSGKFAKAAAGNVVSDADMNVKSGAAKTDDKGGEGKYTNHSLDEVASMVQATISAFDTKVQNTFRDFEECNILRPYMGDMIKEIAKAYETWITLSTLERNKSPYAISCMPLEFRDIIISAMDRIDTMILNLRSETAKSFDISQPLQEINESVRLAFLNSFLDFAGYLERFGAELVQNRSNKENSYVQNGFVNGTSRDASATTDGDLHTKLLVVLSNIGYCKAELSDKLYTKYRHIWPLVRDNDERSSDMRDLVTSFSALEEKVVEQYTFAKSNLVRSAVQSYLLDSSIYWGAAPAVKGIRDATLDLLHILVAVHAEVYAGARPLLEKTMKILVEALVDIFLSVFHESKAKDIRLLDANGFCQLMLELEYFETVLHTYFSPEAQQALKSLQENLLEKACETMAEALENPGHHRRPTRGSEDAASDGQVSSVSPDDLLALAQQYSSDLLQGELERTRLNIACFMESTLQSAAAQAGSKPAAYPSYQAPAVHHAPVQVSSPSFRRQQTGSSSPVVSRRRR >Et_9B_065379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4356910:4363372:-1 gene:Et_9B_065379 transcript:Et_9B_065379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCTGVSGGGGPIIAGFVAFLVLLFSFSSSSSAASPASAGRAGAGNNGTRVAFRSGEELLRWQEIVAELARVRNASVKTIQSPDGDVIDCVPTHLQPAFEHPKLRGHKPEREPSERPRIPATHDHADAADMAEDDGEEQGLPQAWTLSGESCPEGTIPMRRTTEADVLRASSVRRFGMKARGSGGFARRDSTGSGHEHAVGYVSGGSFYGAKASLNVWPAQVASPAEFSLSQIWVISGAFGNDLNTIEAGWQVSPQLYGDSSPRFFTYWTNDAYQETGCYNLFCAGFVQTSTRVAAGAAISPISSYAGRQFDVTILIWKDPKQGHWWLQLNGALVGYWPSQLFTHLGARADMVQFGGEVAAAAATSPHHTATQMGSGRFAAEGYARAAYFRNAQVVDWDNGLVPAAGLRLLADRPECYDIAGASGGAWGVYFYYGGPGRNVRCPSGDIPIVVFLVAFLVLLASFSPASASSVGANGSGNGMHFVRLSGEDLFRLQKIEAQLARVRNTSIKTIEPAFEHPKLRGQKPESEPVERPRVVTDAAVTEDDGEKKQLGLAQEWTRSGELCPEGTIPMRRTTVDDVLRADSARRFGMKARGSGGFGRRDFTGSGYVHEHSVGQLTGGRFYGAKATLNVWPAQVASPLGFSLAQIWIVAGAFGKDLNTIETGWQVSPQIYGDNWPRLFTYWTNNAYQGSGCYNLLCSGFVQTSSRIAIGGAISQVSSYNGPQFDVTILVWKDRRQGHWWLQLGGAVVGYWPSQLFTHLAARGADGVDFGGEVASAPGAAHTATQMGSGRFAGEGYARAAYVRNAQVVNWRHRLVPAAGLRFQAERPGCYDIAGGGSGGGAWGVYFYYGGPGRNVRCP >Et_1A_005401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10081825:10082245:1 gene:Et_1A_005401 transcript:Et_1A_005401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSVLLETHNKNHPVKPRIVSKATLHSRRAKLQPASSFLQRCSLCHKELAADRDIYMYRGDTAFCSVECRRRQMFIDEDAACVSSCAKGASAVRGSRPTGGGGFFAY >Et_6A_046399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14389713:14390573:1 gene:Et_6A_046399 transcript:Et_6A_046399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DGSIVLGPELKGFTCQKGDDDLFAKRRASEVILPDDILDHIHALMSLRDAARAAVVSRSFLRSWRSYPSLIHDIRTLDIKECDQNINVITRDFVSRTDHIMQKHAGIGVKTFRLRTFPCRNVHPSHVDRWLQVAITPGIKEFELRMGWENKIVYNFPCSLLSTERVSSMQSFSLDGFSFHPTIEALEQLHLYNYSGIVNLKIPSVLLQLNFLKVHSCAMLELIVRRAQNLKKFYYYGHPIHISLEIKLQLRRIQNVW >Et_7A_050624.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:7768047:7768223:1 gene:Et_7A_050624 transcript:Et_7A_050624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGPCRHCGVTSELLFLNKATKFILLVLPFPPFVPQQVVILAWWFSPNYTSEKRVL >Et_6A_047295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3448137:3452472:-1 gene:Et_6A_047295 transcript:Et_6A_047295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFISVHGTILLAFCSFSLPPFCASDSRLLPNKPLNVGSKLISDDGTFSLGFFSPSNSTKTNYYLGIWYTNIPKDNVVWVANRATPITDPSSTTLALTNGSNLVVSNTNGHILWIANATKNFSSETDSREATLDNNGNFILRTSNGVILWQSFDYPTDTLILPGMNLRLTHKSHPLQRLISWKDPQDPSPGSFSFGADPKEFLQRFIWNGSRPYWRSPVYNNYVVSGSYIDMIKSTIYMSIRRIDDEIFVSFGTTGGPSTVKIKMDYSGKLKLQVWNNSSIPEWNVLQTEPNNECGTYGYCGAFGYCDRTEPTATCKCFDGFEPIDNKDSSYGNFSGGCQRKDALRCGQENSFLTLSDMKIPDMFLYLKNKSFDECRAECTSNCSCTAFAYANMSTKAVNGDDTRCLLWMGDLIDTEKHIGLGENLYIRVNKLISDKKRRNNILKITLPVVSSSIILICMWLVWICNCQAKQRNKKTWKKLIFGALSISCELGDGNFIFFSYRKIVLATDNFSSSNMLGHGGFGNVYKGTLSCGKNIAVKRLNATRKPLLGWSVRFNIIIGIARGLLYLHQDSRLKIIHRDLKASNILLDEEMSPKISDFGMARIFDGSQQQGNTNRVVGTYGYMSPEYALEGVFSVKSDVYSFGVLVLEIVSGSKISSVHVTEDFSNLIAFAWSLWKDGNTKNFVDSSIVESCSLDEASQCIHIGLLCVQDNPNARPLMSSVVSVLENGCTSLPPPKQPIYFAKRNYETYEVAETIMNSSYTITVLEGR >Et_1A_008698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:95903:97620:-1 gene:Et_1A_008698 transcript:Et_1A_008698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAATATPTASFPSTRGRRRPPLPSLVSLRVRAFTPAKVAASDPAPAFKSVTAFAPATVANLGPGFDFLGCAVADASLSLGDTVTATIDPSLPPATVSIASVTSPARPHLADRLSKDPLHNCAGVAAIAALRALGVRSYAVSIRLAKGLPLGSGLGSSAASAAAAAKAVDALFGSRLHRDDLVLAGLESEKAVSGFHADNIAPAILGGFVLVRSYDPFHLVPLASPPTLRLHFVLVTPDFEAPTSKMRAALPKQVDVQHHVRNSSQAAALVAAVLQGDAALIGSAMSSDAIVEPTRAPLIPGMAAVKAAALQAGALGCTISGAGPTAVAVIEGDDKGEEVARRMVDAFWTAGNLKATATVAQLDRLGARVLEVSNIDPIILSGNAC >Et_4B_038805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:493966:497176:-1 gene:Et_4B_038805 transcript:Et_4B_038805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TPSHLVRPVPGEEMGSHVQDPNSQAQPRQEEVKQFKMPIFPPLGKRVTRKPAKFLSPFKKGTWTRTPPNMETALTMRAFLCAEDSVLKKRTVMKFGMLPLTGEYIAKSFGDSTYTDNLFIQGFVKCISYDDKYIRSECYGHRLFLDPDLSAYLNVEWLKRKQPHPEYDEEETVAALKKCLPDCNLKKIKMIFLPVLHNDHWSVYCINLAQDRIDILDSLQYGSNMGRCTFGDHHDVMGKRIMERLSNAFSKAAPRKIKSFKNWRPVEVKDRSAEMRAEMLHYLTFHVENKAKIPDELAPFKQQSAYTYYA >Et_2B_020394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19796310:19799042:1 gene:Et_2B_020394 transcript:Et_2B_020394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFFRDIGRPATAATTAAPSETKFDEEKAIGFITNGDWVELEDYLLSFLSSSHQDGEYFHPHPGLFFSVYEAQLLDMIEAQDFEAAHALFTNKVDPLLVHDGYNLYRPLDLEDRIEKLRNCVKKRMLPPKGEQVAVREVIQVALLDYIYLYFPETIREGESLLEFAVVVKEFKNSKNQQQNADTQYFRCLACQWGVPVSQSIYSLRDHIKHIGDHQFCPRVTLYMRRRLNEIEGVDETIDMEKLASNYLRKSKKRKVAQSSSGSSEKEVQAVVDFNISVDSALLSIVKGLANTTLSVLQLLSTFTDPTASKVREINRQAGTLITELKSACFEAMASLSLAIDARYVFGSVESLQTVSGRWFPFIPLVPDAVRKLVLEQEKLISELRLHCMTAAAQRAGIASDMDSDSEQSSVFSSTRQHTTSLGSQHCV >Et_1A_008176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:540853:543865:1 gene:Et_1A_008176 transcript:Et_1A_008176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPATGASSAFEPSPEMERFLCELLLDAKQPIAERFRALFSLRNLRGDAPRCALLQAARDSSNLLAHEAAFALGQMQDTEAIPALEAVLKDLSLHPIVRHEAAEALGAIGLEKSIPLLEESLATDPAVEVQETCELALRRIEEQKNASSAESTTISPFLSVDPALPAKQGLSVHQLRDLLLSEQESMYERYAALFALRNDGGDAAASAIIAALCVKSALLRHEVAYVLGQLQNKAASDALSTVLKNVCEHPMVRHEAAEALGSIADQESIALLEEFAKDPEPIVSQSCEVALSMLEYERSGKSFETTHVQQES >Et_9B_066264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9046082:9047002:-1 gene:Et_9B_066264 transcript:Et_9B_066264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPVASNLHPVAASPAPRASWLTWTRSPGAPWRGSARVRSMRNGSTDSLDHLQRATKARQQNSQQGTAPRRRFIQTSPFGLWDSFPEARTLDQMVRTMERMMDADDDDGRVLVVPAAPAVPRTENGAVPASTAAGGYRRGRTPWEVRERAGDYLVRFDMPGMTREDVRVSVQDRTLVVLAEKANSKQLAEQGEENVEEEEEEAWPAESFGRYRTRVELPENVEVERIAAEVRDGVLYLTIPKVASGGKVVNIQVQ >Et_5A_040842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13249300:13250582:1 gene:Et_5A_040842 transcript:Et_5A_040842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAAAADAEFSRGELLQASVELTRHALGYVRSMAVAWAAQLGVADAIRRGGGEVSLDSLLAALSLPASKLPYLGRVMRVLTASGVFAVADGGGSYRLTPVSTLLLSDAAAGGSKSMLQLVKLQLSPFSVAPVTNLSDWFTNKEEETPFTMTFGTDLWGLCGRDPAFMELFNGAMACDSRFVMDAVVHEMGDVFDGVTSMVDMAGGTGAAAKAVAAAFPRIKCSVLDLPQVIDGVIPADVGLVEFVAGDMMDFIPQADALLLKFVLHDWSDEDCVTILKRCKDACKEPGGKVIIINAVVGSTSQEICHETQLLFDLVMLTLTPGKEREEKEWCKLFREAGFSNYKIRSMLGFRSVIE >Et_3A_024669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23160594:23163466:1 gene:Et_3A_024669 transcript:Et_3A_024669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPQYQILVRFLDGRTRCLRFPTPTVSGAALLDAVSSLSRVPAATLRLVTGRFEVSPSSVLASCPDGQFPSASALLRLRGGKGGFGSLLRGAASKAGQKKTSNFDACRDINGRRLRHVNAERRLEEWKAEAGDRQLEKLAEDFLKKKAKEVGRRRGASEAEVNKYLEKYRKDAEICVNAVEESVRASLGKRKTGTKPPTGSDAKKLKIWLGKEKVEDDESDSDSDVDDEDIDADEGTDTRSVVLDDGNCSNGSSKSELDLGSVSGSHSEGESSGEKSRHSDSDENGTSVQETTEMMAGSGAEHGEFADNSVEPEGGIVEQPTSMNGTVVASEVAPKSDEHKENVDNTALAPSNQDNPEVPQVEESVVGNKSSHSGPLDLAEYSSASELEALGLEKLKLELQSRGLKCGGTLQERAARLFLLKTTPLDKLPKKLLAKPTAGGK >Et_10B_003416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19625867:19627051:-1 gene:Et_10B_003416 transcript:Et_10B_003416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLARHVYLQHTSDIRCYWQDSKKGPSSKARVSAAYLISDVTGRIAKQVSPGCILLQKYNLTKTMSNPWWIQPLISTEFFVPCEWHPEYPCNIFCIDCSGEKKRSCCCLCYEIHHHNHHTLQIRRCTYHNVVRVSDVRHLVDIQGVQVYRNNNTPVLYIRTRLQLRLSTTTAMCVMPN >Et_2B_022736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:449699:453165:-1 gene:Et_2B_022736 transcript:Et_2B_022736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPPPEAAGAAEPESEAEAEASRLLALAESELSAGRLRAARKHARRAALLDPACPRAALTLTAASVLLADESSHEAVLLIPSSSPLSPNALRRHFKSLAKSLRPRPDDGAASSPAVAAAAEEALSRAAAAYEALTAPAPAPATFWTACAGCRLLHEFERQYVGFRLMCPSCRRTFLATEVPPPPEAQAAAPAPPPPATKKPKTEKQEMTLAEMQLQLTKRKGAKDRKAPNRSSRGMVEDEDGEEDVEKEENNHSELMAVEDSDFYNFDADRSERCVKRGQVWALYGDDDGMPRHYAVVDAVLRGSQFRARILWLDGDEGKPCGQFKVGRMETLHSVNVFSHLVACERAAIELYRVYPRKHSVWALREEGNEARIKHEIVVFLSGYSELYGASFGYLEKVEGFRSIFRRRAVGSHAVHTLQKGDLGALSHQIPARKVSKGEGSTLPSGDCWELDPASLPSELLRAKTHHRRFAEISPIKIQCAKTHLNESSSNSENYIQVNDLQR >Et_5A_041359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21768093:21782021:1 gene:Et_5A_041359 transcript:Et_5A_041359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFMRGPAARVENKALYFFCEWTKKTLEYDLVSHNLSFLSLPPECKDWDIALTSTEDGALGFTTVLGYKLYLWIREADNEVTINGGARWSQRRVIKLDKLLPNHALSESPTVLAIVNGVGVTFVATPCGPFSIDLKSGRATDLFEGSDERYIVPLLPDDLVEKIVLRFPPDDPAGLLDAALVCKDWCRVICSPDFRRRFREFHRNPPLLGILYRSGGGDSNQQLETHFMPTSTFRLRLPSSRNKNTSQWRAIDTLHGRILLWDDASRRAFTWPELVVWSPVPSQTRRLPVMRHYMYTNIWSAALLCAATAGCDCDHLDCTSSSGAFFVVLVGKDATTTYAHVYSSEQNVWTEAGYVRDHNVHAGVKRPGARVGNMVYFICEKSDHLLAYELRKKKLSFVSIPSEFRDYSNRFTAFTAADEGKLGLATAGCFRLVTWSREAGVSLDDGDDAGWTQQRVFELDKLLPSSCTFSFKYDFFATTNNNGVIVMKGNTLLFAIDLMLLYSYRKNSLRIREPLRAHHEPHAVIPSWQAIDTLHGRILFYHADCTAFPCLDTELIVWNPIDGEVRRLPNVPLHVYRWSAALVCASPGCDHLIDCSYGPFQVVVQAMEPYDGTTYACVYSSEEDASIEAINVHLHRGNNWFFRGPSAHVKDALYFNHEQYISMVERSPVIIEFNLGNQQPSLVSLPTVCKGLSIALMTTKERTLGIATVLDTKLCMWSREGRDAGWSQKRVIELDQLLPVHYSLLSRNQLFGLPQVLAVVDSIGIIFVDAVDGPFSIDLKTTMVTKLFETSDRCSVIFGGVVPYMTFCTPGPGIHIICIVAASFPQPPPPALMEELIEEILLRLPPNNPVSLVRAALVCKNWHRLIADSSFRRRFRKIHGMTPVLGFLFQDDLFPVINESVFLSTSTFRLPPVPSGWVAVDSLQSRILFSDGTHNSTSYYPAMEEFVVWNPITGEMHRLPMLSLRLREAWNAALICEAAGCDHLNCDNGPFSVVWAGIHQDTGIARGLVYSSEKGVWSEPSKLISVQNNHHESHRIRGPSAHVGNAVFFEFYSYSRIEPNPPAGHKEVVYKFRQSTGILAYDLDKLELSLVHVPSSCEGYSCSLMATDDGKLGFTTLQGSKLSIWSREGFQDGGASWAQRRVIELNKIIPDCVHHYCSSKNNRTLRFPPGVFATADGHDIVFIMMCDTIYTVDLNSEEAVKLTEKHDYGMEDIVPYMSFCIP >Et_4A_033151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19164320:19166721:-1 gene:Et_4A_033151 transcript:Et_4A_033151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSRSLAATCLLALVIAGCLAAALPSADARRLLATAMPPAGAESPAMAPAPESGADDHAGRMLFEGRMLFEGGLRLAGRLLSSIGL >Et_10A_001600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5805498:5806566:1 gene:Et_10A_001600 transcript:Et_10A_001600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLICTHLIEVPAVRSIRLLKIDGCPPYVSCYKDSKSDNNNYIASRWEWEIRFYPKQLGNDNGFYDMALELVFLSDACGNHVTANLICRLLDPMGICQPSAEQIILSKSFQRPSDSSGKFPIMTRDEAQGLGYLSKNGSVTMECTLTVFKEGNLMPSSNLQKDLGELLWSGCGADVTFIVSGESLAAHKNVLAARSPVFKAEFFGEMKEKTSRCIEIKDMEAAVFKAMLQFIYTDKVPELDEKQESATTMAQHLLVAADSSSLLKAKCVDFITGGSEKNLDAVLATEGFKSLEASVLTELLKAAHAGRIKKRRHMEPC >Et_5B_043085.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22211840:22212604:1 gene:Et_5B_043085 transcript:Et_5B_043085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIESLLPRFRYAAVDTEFPGTVYRPACPAYLLTPEKRYALLKANVDELELIQLGLTLFDDLTGAAVAWEFNFREFDPRRHRHAPESVAMLRAKGVDFARAAVDGVDSAAAFGPRLRKWLRGSGSGAGLGRAGLVTFSSGYDMAYLLKAMFGAGYKLPATAAEFEAVAAALLRRRRVFDVKEMARRCPGADLRGGLDCVAAKLGVARAVGEAHQAGSDSLLTCHTFVKMKERYFDDDDKLAKVAGMLTGISTS >Et_9B_064634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17171778:17183608:-1 gene:Et_9B_064634 transcript:Et_9B_064634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKPDRGPDAPRTTISKRMRGSGGERGIRVEKKWTMEDGYIWRKYGQKEIQDSKHPRFYFRCSYRNDHGCKASRRVQQSDADPSVYIITYFGKHTCGRDSNNDETPATFVINFSSISTKDDGKPSDSPWPSSDDNGVVVSDTSEICGLSEGEDLPADMTSKVDDLIEQSTLLPELAGMRSPGWDPLDGCLDWELVSAMSTPPKSERMLPPLPNQRDAAIQELRRVTQLAAKLRQQLEFIPDLDRYEAAAANVSEISEAMESSLSILQSETEHSSTQARGAAVASPAAHSSDGSAVARYGAVSRARKVRHRSGRLGEEPQIREILTEAPENDRFHWRKYGEKKILNAEYPRLYYKCGYTDDHKCPAKKYVQQHNNGDPTLFMVTLINEHTCETLFRDESSSSSGSSRVLDFTKASICHPLMSATLGLKKEEETSTSESMHSYKNELSPSMSLNGDQADFLPGTRSSWQSN >Et_4A_033504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23947111:23948068:-1 gene:Et_4A_033504 transcript:Et_4A_033504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YSERYVRQHMLVRSNVITVNVGQSCTRAVEEATFWQPVNVLAGLKNHMKARAFGPCVPLPAADADAAGSITYGLRRQPAEPGRRLHQVAGHQSVMGQLRVHRRAAFLWVMGQQRSSQLLV >Et_1A_004866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12852573:12853421:-1 gene:Et_1A_004866 transcript:Et_1A_004866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLCGKAGKGGGGKIHPAPVQEDPIRAAFRDLPVTILVLVVALGIEARELLAYFVTCPLDGWLAKDATAGAASPSAPARGGGGGRGGGGSRRRVGCTPAFRAVSHPPTIGCGCVDCYFSFWARWDRSPERDLINEALSAVEEHLAASSAATPPSSSKRREKGKRRKLHATTPPPPPPLPTPPRRAKTPEPKLPLSCPPPPPTPAPTWCAAAKQEVENAVPEPAAKAEAEECREISAAEGKRGWADVLMGGGLGRTLREMLFHQPAVLSAT >Et_10B_003210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17737863:17741655:1 gene:Et_10B_003210 transcript:Et_10B_003210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSQASTASASSSRFATASRAFSKQELDGLRALFASLAAQSQTGGPAISRPVFLEYYGVRGPLGERLFQLVAKESGGGDRVTFEDLIISKATYGRGTRDEVEEFIYQLCDVTGDGVLSRSDLESVLASIHETIFAENKGAGEGSNNRAFEALINSAVFSKDAEGISEKSMSLSDFRNWCLLMPSLRKFLGNLLMPPDSGRPGFQVPILHYPENISTDLLLLNREYAWHIGGGFSQNEVQEWKLLYHSSLHGQSFNTFLGKVTNTDAQTVLIVKDTEGSVYGGYASQPWERHSDFYGDMKTFLFKLYPQASIFRPTGANRNLQWCAINFSSENIPNGVGFGGQPHHFGLFLSANFDQGHSFTCSTFTSPPLSKTNRFRPEVIECWGIQMRGAQEEKSEAVKGTVLERFKEDRNMLKMVGLASASD >Et_3A_026131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4644922:4649988:-1 gene:Et_3A_026131 transcript:Et_3A_026131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQASKRATAAAVAPRQPQLRPVMYDDEPSSMSLELFGYHGVVVDGDHDDVDAGAALPGLQLAFDDNFKAGGCGHADYYSWAGYGGGGGSGASSSSSSSVLSFEQAGSGGRHLAYSTGGGDDDCAMWMDAAAGMVDHSFGFVSPGSSADHAAGREIQELGSVQPPAKAAQKRARPHGGDQVQAAPAAKKQCTGSGGGRKSKAKAAPAPTKDPQSVAAKVRRERIAEKLKILQELVPNGTKVDLVTMLEKAITYVKFLQLQVKVLAADEFWPAQGGKAPELSQVKDALDAILSLHAAKRKAVLLLLDVVPFACEQLFRIQGSSLNSQKISGHQGETWVAGGMTPPPFKSISGNRCRGLCKILCELVSSSAHAHSTPFTNNLFSKRECTSILHGNLCALTISNSLKGVAVVPDKIIPCIFQVACGVQGFRDELSLDPGIKNCSCEGVNVVGLRYEAISCLGHITGNALEPFLMAAVMA >Et_3A_025728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32285714:32288446:1 gene:Et_3A_025728 transcript:Et_3A_025728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSTPHRGRLAHLILAVVLLAFRAPQAAGADSEFEDGISPKFPGCDNPFQKVKVMYWVNGDEQTSLTGITARFGLPLPVSVYDTEKRRFVVLPSPKSSCAKAPETLANAVAVAQRGECTFLEKAKAAADSGASALVIINSENDLQKMVCTDKDPPPNINIPVVMVSESAGAKLLKADESKLQVHMLMYAPEKPSYDGAIPLLWMMAVGTVACASVWTVAVVGEEPTKNAPSLGAEEGPESEIVELQTKTALVFIVTSSLVLLFLFYFRSIWSAWLLVGLFCLGGLQGLHYVATTLITRICNGCRGNKVKLPLVGNVTVVALVVLPLALIIVVYWASHQASSCAWVGQDLMGICMMILVLQVVHMPNIKVASALLVSAFLYDIFWVFISPFIFKKSVMITVARGTDDGPSLPMVLKMPKEFDVWNGYDMIGFGDILFPGLLVAFSFRYDRTHSKDLTDGYFIPLMIGYAFGLSCTYLGLYIMKSGQPALLYLVPSTLGVMAILGARRGELSQLWNAKA >Et_6B_048563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10886746:10889865:-1 gene:Et_6B_048563 transcript:Et_6B_048563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RKAREPWRRWPQLRARTHVAPPASQIIPRHRSALQRSAGGMSLPRRTLSMGSGGGAMGRRAAAISESPKPGLSRSMTMGGERTVKRLRLSRALTVPEGTTVLEACRRMAARRADAALLTDSNALLCGILTDKDIATRVIARELQIDETPVWKVMTRQPVFVLSDTLAVEALQKMVQGKFRHLPVVDNGEVVAMLDIAKCLYDAIARMEKGKAAFASAADGDDKYSIVKALKEQMFRPCLSAIANADSMVVFVSPGDSVLAATKKMVDANSSSVVVAVGSKAQGILTSRDILMRVIAKNLHPDSTLVEMVMTPDPECATIDMPILDALRIMQERKFLHLPVMDRDGSIVSILDVIDITHAAISIVESTGDGFGNDDAAISMIQRFWDSAMALGPLDGETDTQSQISEASRSQIMSEAHHETASGSEASFSSSFSFKLQDRRGRMHRFGCDVQSLTPLVTCILQRLGTDINPDRLQQILYEDEDRDKVVLATDDDLAAAGLRLFLDYSGTTGRRKGVVVSGSGTAVGMASRDTWAAAYSGVAAGAALVTGIGVMAYLRRSS >Et_3B_031295.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24754278:24754706:-1 gene:Et_3B_031295 transcript:Et_3B_031295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPGCEKKVRKAVERLEGVNDVEIDMAQQKVTVNGDVEQKKVLKAVRRTGRRAVLWPVPFAAGGAAAGEMHVLAQQQQQMYALGGAGMAQGSAAAAGPTSMYNYYKHGYDDSRMYGVSSAVAGTRTTDYFSDENAQGCSVM >Et_6A_047827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18730587:18731001:1 gene:Et_6A_047827 transcript:Et_6A_047827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLFWAIQGGGGVSFGIVMSTHRAPSDAVTKWQHVRPIDLPDDMNMEVLVQGQQTVFQSLYLGSCKEIASHLTKLNATRVDCNEMTWLQSMAFMNFCNTNTPAPTSSSTSMTTSGSRY >Et_2B_019508.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:9613567:9613689:-1 gene:Et_2B_019508 transcript:Et_2B_019508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADSLAKLGADLEAGGAMFWPDGHPAIVNDFVAAQSASS >Et_3B_027583.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:29312496:29316233:1 gene:Et_3B_027583 transcript:Et_3B_027583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVALDKIKKRLNGKDSVELDGIDDLSELVNHMLDKHVEAKQMRSMNGVPVPAGFCCPLSLELMSDPVIVSSGQTYERVFIDHWFDMGYNVCPKTRQILGHTNLIPNYTVKQLIENLSEIHGIVLPDPVELLSKSFPISLNLKNGSASDKSPSSDESPMAERSDSSGHNDQISTDDSRNLMHDSSDSDDQTSKASSSEGGYNSEADPLVLPLAVNEAMKSLCNERISDSEALKQVRDDAFKVSNVEQHLQSNGSSSDTGTAAASSSNHLDVSKENEEPNSSKGIASDTILNGPTTTCSEIESDSLPKPRLGSIRSRSQLRWQQQSERTVQLGSRSVFSGVEAQLRSLIGDLKSDSAELQRAATGELRRLSRHSMENRVAIASCGAIPLLVNLLHSADSSTQENAVTALMNLSLNDNNKIAIASAEAIEPVIYVLQTGNPEAKANSAATLYSLSVIEDNKVKIGRAGAIKPLVDLLADGGAQGKKDAATALFNLSIFHENKARIVEAGAVKHLVELLDPAAGMVDKAVAVLANLATLQEGRNDIAQAGGIPVLVEVVELGSARAKEHAAAALLQICTNSTRFCRLVLQEGAVPPLVALSQSGTARGREKVMLIKLS >Et_9B_064301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13816168:13822180:1 gene:Et_9B_064301 transcript:Et_9B_064301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAVGKRVLDTGWLAARSTEVKLTGVQLTTTNPPAAGPGLAAPWMEAAVPGTVLGTLLKNKLIPDPFYGLNNQAIVDIADAGREYYTFWFFTTFQCAPSGNRHVTLNFRGINYSAEVYLNGHKEVLPKGMFRRHTIDITDILHPDGNNLLAVLVHPPDHPGRIPPQGGQGGDHEIGKDVATQYVEGWDWMCPIRDRNTGIWDEVSISMTGPVNITDPHLVSTFHDEFKRSYLHCTLQLQNKSSWTADCQLKIQVSTELEGNIYLVEHHQSYAITVPPHSDLEYTIPPLFFYKPNLWWPNGMGQQSLYNVEISVDVKGFGESDSWRHYFGFRKIESTIDSSTGGRIFKVNGVPVFIRGGNWILSDGLLRLTKQRYMTDIKFHADMNFNMLRCWGGGLAERPDFYHFCDVYGLMVWQEFWITGDVDGRGIPVSNPDGPLDHDLFLLCARDTVKLLRNYASLALWVGGNEQVPPVDINRALKNDLKLHPMFASYQNSKNQDNLLQESTDPSKYLDGTRVYVQGSMWDGFANGKGDWTDGPYEIQYPESFFKDSFYKYGFNPEVGSVGVPVSATIRATMPPEGWSIPIFKKRIDGYIEEVPNPIWDYHKYIPYSKPGKVHDQIEIYGHPKDLDDFCEKAQLVNYVQYRALLEGWTSFMWTKFTGVLIWKTQNPWTGLRGQFYDHLHDQTAGFYGCRCAAEPIHVQLNLASYFIEVVNTTAEELADVAAEISVWDLDGTSPYYKVTEKILVPPKKVKQIMQMKYHEMKNAKPVYFLLLKLFRLSDNRILSRNLYWLHLPGKDYKLLEQYQQKRIPLKIVSEVSVSGTRHKLRMTVENKSRKSVAESTRIMDLDDGNSSCSRGKESKVERHESGGLWRKMRSGLGIARSEDNLRTVEVNGTDSGVAFFLHFSVHTSEPSTQEKYRDTRILPVHYSDNYFSLIPGEKMVVDISFEAPQGSSPRVVLKGWNHHLNHAVMI >Et_1B_013822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18413288:18415284:-1 gene:Et_1B_013822 transcript:Et_1B_013822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLVAIGLIVLLGIGLTDAIRVVSHATADGQGGGGGVASGSGTSYASGSGSGFGSGEASDSSPLAPTSSYAYGRGAGDGSAGWQGNDQYASGYGAGGASGAGHGDSSNSNTNGGANGNGAGGGVGSGGSVGNGSGFGGGDGRGEGLGENYGPFGSSFANSGGAGSGRGSGHDGGFGSGSGGGSGKSGGSASGFNDGSYESKGIEASIPCADDNIPYPSFHICQPTVQIAAIHIGSVHFHREE >Et_4A_031873.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25507677:25510039:1 gene:Et_4A_031873 transcript:Et_4A_031873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILPLRPETTVVQATGTGLQSSTPETVHPKDSLVIAPWHSPSECATAEPTPYCFKSPAAHLRRSPPSAHPRNHTAEFLGPVASPPFRDSATGDGGEGRMAYMCADSGNLMAIAQQVIQQQQQQQQQQHHQRHHHLPPPPLQMPMPPRQAPSMPAPPHGQIPAASLPYGGGAWPHTEHFFADAFVGAAPAADAVFSDLAAAADFDSDGWMDSLIADAPVFADSDLERLIFTTPLPPAPVPAPAPAAEPVEAAVHQPKPSAAPVSLPQAAAAATPAACSSPSSLDASCSAPILQSLLACSRTAAADPGLAAVELVKVRAAASDDGDPAERVAFYFADALGRRLACDGGARPSTAVDGRFATDELTLCYKTLNDACPYSKFAHLTANQAILEATGAATKIHIVDFGIVQGIQWAALLQALATRPEGKPSRIRISGVPSPYLGSKPAASLAATSARLRDFAKLLGVDFEFVPLLRPVHELDRSDFLIEPDEAVAVNFMLQLYHLLGDSDEPVRQVLRLAKSLSPSVVTLGEYEVSLNRAGFVDRFANAMCYYMSVFESLDVAMARDSPERVRVERCMFGERIQRAVGPEEGAERTDRMASSKEWQTLMEWCGFEPIHLSNYAMSQADLLLWNYDSKYKYSLVEQQPAFLSLAWEKRPLLTVSAWR >Et_2A_015975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19875214:19877986:1 gene:Et_2A_015975 transcript:Et_2A_015975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKSPQSSKKSSIVHSDIDGPRLDNDDFSSECASNQMVVFHSESVDKEQDELGENRSQSLQKSAVTRGISPTIGAFTVQCAKCFKWRLIPTKMQYERIRECIIQQPFVCKRAREWRPNVKCDDPEDISQDGSRLWAIDKPNIAQPPPGWERQIRIRGEGGTKFADVYYTSPTGRKLRSLVEVDRYLQENPEYAAQGITLAQFSFQIPRPLRQDYVKKKAKLISPSDEASTPMSKSVQPEEVNPLSWAVPTAHDGDASREASHADETLKSEEVELTRKRKAGSSLSGESNHLSDELKPKSEDAQNGESETHVPDK >Et_4B_038620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3423553:3429066:-1 gene:Et_4B_038620 transcript:Et_4B_038620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIGRVVKNRNMNTYKYLVPSMQNNINPHQPASWTEDQRLFPTSLPFVALVLALLAAVHSDVSNAGPAPAALPRWVERHDRRLLLTDSPRVDAVVAQDGSGGHTSIGAALAKAPPGNARFTVHVKAGVYAEVVEVYRSNVMLIGDGAGRTVITGNRSNLTNHGTPCTATVSAQGAGFMARDLTIENTAGPGAQQAVAFLSNSNRSVVFRCEIKGYQDTLLAENHLQFYRDCEISGTIDIVFGNAAAVFQNCVILARRPLGSQHNVVTAQGRNGADHLTGFSFQGCNVTTSEDLSGVETYLGRPWRDHSRVVFMQSYLDAIVHPAGWVPWKKDAVNASVIRTIFYGEYNNSGPGAGLRRRVRWPGFHTIKHAAQARKFTVEEFIHGATIMTMASTTKSLELIVLALQLTAVHSDVSNAGMPLWVERHERRLLLTESPRVDAVVAQDGSIGAALKEAPPGDARFTYGTRVYAEVVEVHRSNVMLIGDGAGRTVITGNRSNVTKHGMPCTATVCAQGAGFMARDMTIENTAGGPGCKASGGLPLQLEPLRGVPLRDQGDTLLAENHLQFYRDCEISGTIDIVFQNCVILARRLRGTKHNGADSPKEKDVNFDDPSSFRD >Et_8B_060294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8808579:8814241:-1 gene:Et_8B_060294 transcript:Et_8B_060294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPRRILEPACSVTPSRTRTCSATDRRAAALPPSADADDPGQRSAPFALPATTVRWRSDDDAGWGIGFAPVARVAATRISYAAARRSAAESATPRSGSGDGSAADGGEEAMLAPAAGSSMQIPNTVWTDLIRKRIKFDDLDDPEFAQRKKGSVSPTVEALEEGKEKVTTTETESSPRWKNVFPGAEEGSEEAMTIRFVLFVNKQGQTRLAQYYEHLSLDERRALEGEIVRKCLARTDQQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETSKQNILAPIQLMEKSS >Et_1A_004634.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:24091100:24092951:-1 gene:Et_1A_004634 transcript:Et_1A_004634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYWSMEEPAAAWVPCDLQLANWAMEPAECSPRLPAVDYASSEIFGNSIQTSVPVSKGEQLVEYGVATTYDSVATAEHQYVVDLHDMKMIINNPIQLFEEVSDEFKIDMDMMGRKIHRYPASIQSLCLSKRYTVPMVVAIGPYHHGRDHLKKTEKVKHVAAYNCINSSGYSVQKMYDAVVAKAHDVRRLYDKDVMKGIGNDDFLPMMFYDACFLVQYMLIMSGSSGPDASLCKFFEANDNEIYHDIMLLENQLPWPVVQAIMKFVPVPLGDFIGSLKGCLQDRKDPEEKEFEMDPCYEPPHLLGLLRFYIVGRKNNKTAILPDIKSISFSVSANELAEIGITLKPNKTTAVAHMGLETKGTLFADLSLAPLSLDSARASWLINMAALELCTTPNFGDAKDEESAVCSYLLLLAMMVDREEDVQELRTKRLLQGGGGLTNREALEFFTSIQGLRLGSSYVRTMEDIENYRVSRRTRTSVHAFFYKNFKIIAGVLSAIVTIVSILGTLISLKN >Et_4B_037870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24277499:24280541:1 gene:Et_4B_037870 transcript:Et_4B_037870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMDLPTKGGFDFGLCRRNQLLAEKKGLKIPGFLKTGTTIVGLVIKDGVVLGADTRATEGPIVADKNCEKIHFMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATGRESRVVTALTLLKSHLFKYQGHVSAALVLGGVDCTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESKFREGLTREEGIELVAEAISGGIFNDLGSGSNVDVCVITKGKTEYLRNYLLPNPRTYNSSKGYSFTKGQTEILSTKITQLKPKVEVAEGGDAMEE >Et_2A_016007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20000681:20006389:-1 gene:Et_2A_016007 transcript:Et_2A_016007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEGTACNGAGEAPKAEFAAEKVAAAAPEEKAPAEREGEDVGGPFVIVNGDSDGLSDRGSDLGKALDEDSPSEEDEVPSSNAAPDAPVGGDHGAPVGEESASSAAPGDSSADGRDRAAEEPEGGEDESKGDEPSADSVTAEVVQQEAAGAEQGGEDAVLPNGSDRTITDAESDAPAVVSEVNGKEGTKGDSAATDVSELMVHEAPSAEQNVENATTESCEHDDALTKTESSSAPIESEVHLDDNREEQSADTEVEPVEQSTGASGSLMTNGHHLADTKADSFEAAAETQRHDDESQLEKNATEVEKLVEPHDACGEQDGVDALQTNGHADSSIAASESEGHSNDMEGQESDQQEEATPITEAEVEGVPEAAGENCADSVEESVEDEVDVDEHSYVKVNAGASEEPEPVIEKVEGDATCGILQVEEKIYNDGKERSGDDVTAVVASNEEVKLSATEGMNEAAPGVGELEEATEGTSQELVHGDGLVKDGETDPSVESTQEQNVLVEIATVDETAAESVLKADGVVEANAAAPLLSHQNCDSAVEAVDNEQLEASDVAQTNEIENNVAEPAAKKEVEIEVSDAVPVQAPAASAVSTFNNEPQTTDLVENGSVKHSIPLATELESCDLANTEESRSREISDTVVDQIVSGASLEHGSTVVGEVEHSSVTGDESQEKTGDSALEQGEPVNLDAAELVVANGAEPASATEYESSGIDKVGNISKKDEDLPEPCNDSTTCDVAEDISSESLNASSQSSHVVETKCLEVLEPSSVDTVVLAEDKVEDEHAHNEEAKGVEDNNESHGDLDKSTMGDSQVIRQQRVYLIKVPRFAGEDLWAKIQDAHTHLDQLTQERDAINIRKQKQKLVCDQYREKLEAARREEREARAAHGDKKNDLNSVRSVIGKLNQANSIEEIEEMIATKEKIMQHETISLKEEKLLIKEINDLKAQRKQLCSNMGSKAEIDEAFDQKEHIHERHKTLKKDSDVLFTNLKSLEENTRKIQKSFEDERIVLRKLSEEYRVANERRQKAYSNWAELKAEPFKKVERVMEIWNNNEDFRKQYVEANKFSTLRRLGTHDGRRLGPDEDPPVIPNRRPINASSSLTVSSPDVPTTTSVPAPAPVVVSVKEDSFPVLPSPEISKRAKSKPSGTPAPPAQNEKVTVTVSERDVKETEKEKARLMEEELERARKAAEQSRMEEKLREEKAAAEKERLRLEQKAKAKEAEERKKRKAEKAQERAEFKARKEAEMKEKKKAKKDKRKGPAESSSNGDVNSTALATADTDSNASDNPREVEAPQPTAPKRISRDAAAIKQLNRLQPMPAPLRNRGKRKMRQYILIAAAVLAVLALFVAGNYVPRLKSLRF >Et_7B_054755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3996599:4003996:-1 gene:Et_7B_054755 transcript:Et_7B_054755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPGIVEVRCAGCGETLDVEHGLKEFACPDCGTAQALPPELMPRRPRRALPLPGRGAAFATIPSRVSCGGCGTVLSVPHGHGHFACPFCGAELAASPVAAVSVIAPPAAVPIISSRPAHHSEMRAGPSSQSIHAGQILKPIHSEQKHEQHPRHYVGEESFSSFRADTGTEIPAVGRLQNGPPDPSSHREESHDETLNGSISRPGKKKYPFAAAPESSRASKEQEEHPIRAFLASEVQGMPSNSSIQKDKAEGLPDDTAIKQSKQKTGHVIVPSSIELEPMKSPVHVDEVQQAGEIPKNVGHADQAQVHLATKATENSKKSSKHSKGNQKRKNKSVANSSNELPHLRRSKRLVKGPADLVDPEPNQKIDASLNQSQSEAPSTERTSADPDPTSPVRYRFPHAGSSELDDVDATTVTPDSLNHDAPQADQFGHIQMYSPETRWALPVPSSWHEHEMPPESFNGINQLDRGHEEVLSNPSETQNKDMDWQLAQGTCSNKNRSGHERLKPHNKNLLEEGMYKNNGFTCSSLNDVEHPEDRTLSETRHQTNLSASCSRLAALLPFPAVTTLPSSCENLPLSCSSPSLLLQQTPSFQYMQFQEIPCGDDLPGSVINPSKKRRGRAPEKLMEPRKEADRPALTPSGTEYVFSMSWSVHPPCPKVANTLSLLIKQNYPGTYLSVDSSENGQPCENVVYHWHQCPPDVKSTILDEFLKRYRWSPGQEEECRKIFDRKAVRQLFNLFCYEKQRVREELAGKKAKKSLVHGACGEMELEDDREDSEAHQGDELPDLDDPLKWKPFVPRWMKPKWWEMLCDHWAKDEVMKVSYQKRKNRYSGKRPCNNAASQSVPMHQQLGMDNVEKLICDIDSPSKNPCGKGDSTDGHSKEAEGTQVVSHPLQEQVGSLKRGRYCGAIGIHEKAQNESLSKSSPGSVSKLGQQPMFTKEQVQEMINQALQGLNEAWEKKFISLEQKIPSISSGYIVPDGAKVSFGVAKGKQCQLAREDTLDSVDGETDPAARDGGGNQDEEEQSS >Et_1B_011241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19124088:19135266:-1 gene:Et_1B_011241 transcript:Et_1B_011241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKFKSLADEQRNSFSRGSADEPCSLAWNAIGTDEAQKHIFRCLNNIVKALATADLLLCNTAKELEEGILSQQPAILPIGPLQTGLRQGKALGNFWPKDASCMSCLDSQPDKSVVYVSFGSMALLDQKGFYELARGLELSEMSFLRVVRRDIADITFPDGFLETVGKRGKMVSWSPQHKVLAHPAIACFVSHCGWNSVMEGVRNGLPFLTWPCFADQFINESYVCDVWKTGLHLVKDDNGGLVTSSHIASQLEEVLNDAMIASTALELQKVVSNSIGKNGTSQKNLDTTSNMSIKFWDFKISKRFVASFSIVVQMKYRTRKVGLHFSMTTSPHALFVPYPAQGHVLPLLELAHRFADHGFAVTFVNTDHIHGPLVAASPELAELDGEATPGRVRLISVPDGLPVEDARVDLGTLTSAL >Et_8A_057695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6131835:6141168:-1 gene:Et_8A_057695 transcript:Et_8A_057695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAPGTASAVPKSGAVSKGYNFASTWEQNAPLTEQQKAAIAALSHVVAERPFPANLEKSLGKDGGADVPEKESALEEAGAMDAVLVNTHQFYKWFAELESAMKSETEEKYRLYESTLQERVNTCDGILKQVDDTLNLFEELQSLHSSVATKTKTLHDACDQLLVEKQRLIEFAEALRSRLNYFDELENVSSSFYSQNMNIGNEQFLPLLKRLDECISYVESNPQYAESAVYLVKFRQLQSRALGMIRSHVLSILKGASSQVQAAIRGSNSGKTVVTEGVEASLIYVRFKAAASELKPILGEIESRSSRKEYEQILSECHNLFCEQRLYLIRGMVQQRISEYAKKEALPSLTRSGCAYLMEACQFEHQLFAHFFPSSAADVSSIAPLMDPLCTYLYDTLRPRLIYEGNIDSLCELVDILKVEVLGEQLSRRGESVAGLRPILQRILADVHERLAFCARTHIREEIANFRPSDEDLDYPGKLERSVDTTSSASVGDNPDIYVTWYRPLEKTVSCLSKLYRCLESSVFTGLAQEAVEVCSTSLQSAGKVISKKASPMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLDHLRRILRGQVSLFDWSRSSSLARTFSPRVLENQIDARKELEKSLKATCEEFIMSITKLVVDPMLSFVTKVTAVKVALSSGSQGQKLDSVLAKPLKTQAFASPEKVAELVQKVAAAIQQDLPKVMSKMRLYLQNPSTRMILFKPIKTNIVEAHIQLQSLLKSEYSAEEMQSIGMLPIPDLQSQLDSLM >Et_3B_028969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2141720:2145574:1 gene:Et_3B_028969 transcript:Et_3B_028969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVHFYIAAACAVVLALAAPTLAGDPDMLQDICVADKASPIKINGFPCKANVTADDFFFAGLKNPGNTNNPNGALVTAANVDTFPGVNTLGVSMARIDYAPGGQNPPHTHPRATEIIFVLQGTLEVGFITTANKLFTKTITVGDVFVFPRGLVHFQQNRGYGPAAVIAAFNSQLQGTQAIAMTLFGATPPVPSDVLAKAFRINNGQVASIH >Et_4B_037812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23672673:23680153:1 gene:Et_4B_037812 transcript:Et_4B_037812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNATNSFEQINELKTALGPLSVRDESYCSEECLTRYLEARNWNVSKSRKMLEESLKWRAAYKPEDIRWLFRSLNPSPSTKTPYCCQPDVSVEAETGKMYRASFRDREGRTVVIMRPTKEARLFRLSTSSFLLEIFSVPSLNSTSHEGKIRFLVYVLENAILNLPEGQEKMVVKVFLDPTSLEKVNFVYSKDEESMKLMHRYIDPEVLHVEFGGKNNVVYNHEEYSKLMVKDDVKAASFWADAKTDHANHDTDGTLVPEVTPQSRKHGSHFNSNDAEQREAKIKELKAALGPLSSRSEKYCSEACLTRYLEARNWNVTKSRKMLEESLKWREAYRPEDIRWPDVSVEAETGKMYRASFRDREGRTVVNTTSHEGQIRFLVYVLENAIFNLSEGQDKMVIKIFLDPKSIEKVNFLYLKDEGSMKIMHKYIDPEVLPVEFGGKASVEYNHEEYSELMRKDDVRTASFWDADAKIDHGNHETNGTLVPEVTPQSPLVAAKAS >Et_9B_065945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18506573:18508218:1 gene:Et_9B_065945 transcript:Et_9B_065945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLCCCVQVDQSTVAIREQFGKFDSVLEPGCHCLPWFVGKRVAGHLTLRLQQLDVKCETKTKDNVFVNVVASIQYRALAGKASDAFYKLTNTRSQIQAYVFDVIRASVPKLNLDDTFEQKNEIAKAVEEELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRMAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKASSVFIPHGPGAVRDIATQIRDGLLQGAATQHH >Et_8B_060246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7913478:7919736:-1 gene:Et_8B_060246 transcript:Et_8B_060246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARRTLLLVNLASIMERADEALLPAVYREVGEALRATPAGLGALTLCRSFVQAACYPLAAYAAVRYDRARVVAVGAFLWAAATFLVAVSDNFAQVALARGMNGIGLALVTPAIQSLVADYTDDSTRGSAFGWLQLTGNMGTLIGGLFSIMLASTTFMGIAGWRIAFHIVALISVLVGILVRLFAVDPHFVNVGKGKQLFCKSAWREMKDLVAEAKAVIKIPSFQIIVAQGITGSFPWSALSFAPMWLELMGFTHTGTGILMITFAVASSLGGVFGGKMGDYLAKGSPNSGRIILSQISSASAVPLAAWLLLGLPDDPSTGFVHGSVMFIVGLSISWNAPATNNPIFAEIVPERSRTSIYALDRSFESALASFAPPVVGYLAEHAYGYKPETHGAGVSSVVRDKANAAALAKALYAAIAIPMLLCCFIYSLLYRTYPRDRERARMDTLISTELQHIELERSQGSTHCYQGEGTPVIDVEYGEEEFDADDDKETLMRYKIHQSAAIK >Et_1A_007893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39245387:39248530:-1 gene:Et_1A_007893 transcript:Et_1A_007893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKKKAIDASTKIRHSLKKNRRKSGSRVLSVSIEDVRDLEELQAVEAFRQALLLDELLPARHDDYHMMLRFLKARKFDIDKAKQMWTDMLQWRKDYGTDTIVEDFEFSELDSVLQYYPHGYHGVDKEGRPVYIERLGKVDPNKLMHVTTMDRYVRYHVKEFEKSFLIKFPACSLAAKKHIDSSTTILDVQGVGLKNFSKTARELIQRLQKIDNDNYPEVLGNKYQMNYRNSLVVHVPVLSTIVQSGEAHYARQIVTISNGEEKIISYAKPKRHTIRGSDTSTAESGSEAEDVTSPKALRSYISHPKLTPVREEVKMIRAASFSTRVPEYDVPVVDKAVDATWKIEQPRKMPAQAKDHSLTTTTRTSNSSWDQIVAALIAFLMAIVMLARSVKDFAVRRLPYKNEPEENFSTLYPDSIHKEEFRPPSPSPGFAEADMFAAVLQRLGELEEKVQMLQEKPSEMPCEKEELLNAAVRRVDALEAELIVTKKALHEALIRQEELLAYIDSKEVAKAQKKKKTMFCY >Et_2A_017605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3992824:3993378:-1 gene:Et_2A_017605 transcript:Et_2A_017605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYVRGTILGYKRSKSNQYENTSLVQIEGVNTKEDVAWYCGKRLAYIYKAKTKSSGTHYRCIWGKVARPHGNSGVVRAKFKSNLPPESMGRKVRVFMYPSSI >Et_8B_059363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17090741:17093769:1 gene:Et_8B_059363 transcript:Et_8B_059363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPSSAAQSRRSEEEAEAFFRAAPPLRDRDRVAADLADFVSRHSAGKEPQSCVRHLRRHDGAPGAALRAYFLRAGYAVVFIHRRGSKQPYCRFLPEDSFLDLFEIGENSEIQVPQSHSTVVKASISNYRKAVDEGLLLKLPFTTIFEYLQLLQMAATSMNCLGHRGMFYFAAAVSDFYVPWESMAKHKIESAGGPLNMQLNQVPKMLFILRKHWAPSAFCISFKLETDPNILLHKAEMALKKYGMNVVVANELANYKDVVVMVTSSGRRTVSRNSKEEDLEEQLIDLLAKMHLDHITQPNSENHESHAS >Et_1A_008340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6710433:6713123:-1 gene:Et_1A_008340 transcript:Et_1A_008340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVASNGSAEPAPALKFLIYGRTGWIGGLLGQLCAAQGIPFVYGAGRLENRAQLEADIDAAAPTHVFNAAGVTGRPNVDWCETHRAETIRANVVGTLTLADVCRGRGLVLINYATGCIFEYDEGHQLGSGVGFKEEDTPNFVGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLANPRNFITKITRYDKVVNIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYIEPSFSWKNFNLEEQAKVIVAPRSNNELDTAKLKGEFPELMSIKESLIKYVFEPNRKTKA >Et_7A_052219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5823977:5827369:1 gene:Et_7A_052219 transcript:Et_7A_052219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPEKRSPAPPRSLFDLPSDFFDSSVLLRTHPSLPPTAAEPSEPSGQLPLPSTTQPQQLSEPAGFRWTCNTCAAEFDSLQEQREHFKSDLHRVNVKLSVAGKTIIKEEDLDKADSDSLFDDLEISSVSGSEDEAENVPSSDRGLSVKGKEEFRKKLYFRCHSGDTVSIWRCILMKEQEEPFFDCKSGQMESASCVQADEMINRVKQLASEPRDASRLRIVILTSGGHFAGCVFDGNSIVAHKTFHRYVVRAKAGKRQSGKDATGKVAHSAGSSLRRYNEAALKKEVQELILSWKPYFDICVCAFVHAPSKNRQMLFDGDKTQSILQACDIRPVPLTVHRPTLKEAKRIYSNLTQLYHELECSITEEASPNVESVTNPVQIEENKKEVVVNTEESISDLTVSLESLNRDEAATISSSSKNETTPLHEAAKSGNAQLTLELLEQGLDPCIKDARGKTPYLLASDKEVRNTFRRFMALNLDRWDWHAADVPSALTKEMEESQAAKQAEKDAKKKARAKELKKLKKAREKEEKEKEKAKAQASQAQTELKGTSGGQMANRTSVVGLKQKHQTPQQILMAKEEERQRKLAEEREKRAAAAERRLAALSAGTSSATGTEGPAHRAAPDDNSCSCCFSSLAGKVPFHRYNYKYCSTTCMHLHSEMLEDD >Et_4A_031954.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:4555829:4556122:-1 gene:Et_4A_031954 transcript:Et_4A_031954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMREGRELQRVHATDTDRAGSMSALNLGLQAVHSNEQPRWFLHPDLIRPSRPLHGPAYGLDVNGARSSLPPPSSWGGMQNSSFRSDVDVDTSLHL >Et_9B_064478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15531494:15538453:1 gene:Et_9B_064478 transcript:Et_9B_064478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAIVLTIVARVFAIASAVLGFVAEATKLTPDDIYYSGGKCVYPAKPAFALGICAVSLLVVAQIIVSLAGSCSGQGGASGSRRVMGNVCYALSWVAAVIAVALYLQGVVWNAATTRRAEARGLWSVGCNYLRFPVFTRAALLSLAATALGIFSYMLLRAPAPAAGAPSTTAPAPPAGDAAPGPKPDVPPPPPPTGEAVAVPVAELQWNPPRRSRPQREAQPAYESYDIDIDVYEDVCRYPPNAAFVLALIAVPLLLVAQIIASLAGGCCGCCRPQHGASESKRVVGIIAAVLSWIAAFLAGGFYLNGAVWNAPVTRNIDTWCRLLRAGYFRLPALLSLAATVLAIFSYIMLRARAPESWPSTAPAPAAGTSQPKPDVPPVGEAIAVPHTQWSAHGRDQRQEQFPEAPRHPVGGYGRATNPQRTHPPQRQAQPAFEVMMA >Et_5B_043897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17371518:17371767:-1 gene:Et_5B_043897 transcript:Et_5B_043897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERCCRERGLHEGRLQNLHGCPRQAQRAYAAILVTEHECNLSAIHGGLYCLSPATMGTACVVISYDTLEIPPKAGWA >Et_4A_031844.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22027912:22028379:-1 gene:Et_4A_031844 transcript:Et_4A_031844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLTGRPPPFFAGEEDKNHDQLRKIFDVLGVPGRKAWQALKPAAGEGQQQRQRRSRHNGQDCSVLRELVPEQVLSDEGFEVMSGLLTCDPKKRLTAAAALRCPWFADNADGDVALVVSAAVSKITDAATKSWTLVMQMAVSLAGRTLGLLRLP >Et_8B_059420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17834866:17837096:-1 gene:Et_8B_059420 transcript:Et_8B_059420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPQAPGARDWSELHVDALTLIFTKLGAIEVLMGAGLVCHSWLDAAKSPDLWRSVNMSNHKTLERIGGDALFAMGKVAVDRSCGQLEAFVGKWFVTNDLLKYIGDRAPSLRRLSLISCEYVSSRGVAGAIKKFPLLADLELLLCLKVSGMYLFETKTIGKSCTQLKRFICCENGVRRHEDSIEEDDISYNNEAMGIATMTKLHTLQLFGSSINNRELAEILDNCPNLESLDIRYCFNIKMDDTLQAKCAAIRSLRLPHDSIDDYEFMDKLPTWHYIFFLDSDSELERPRPLRLASEAHTRGDEQPEIMMAREAPQQQPSDERGGGLSRVGDWRRACGGKTGKSVGRGVCKQDYVQTKANSPSAPSARDKLKLNWQPTCMA >Et_1A_008541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8560445:8560819:-1 gene:Et_1A_008541 transcript:Et_1A_008541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSVAAPVLFLLLLLVATEMGTTKVAEARHCLSQSHRFKGMCMSSNNCANVCRTENFPDGECKSQGLERKCFCKRIC >Et_4A_033045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17606454:17610078:-1 gene:Et_4A_033045 transcript:Et_4A_033045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METRTAARRSPLAPMAARSLFLLLLLAVSSCAAAATGALVVGEDYIRPPARRHRKALLSLFPWSNKKASSSASDPQQVHISLAGEKHMRITWITDDNSVPSVVDYGTKAGMYTSTSEGEHTSYSYLLYSSGKIHHVVIGPLVENTVYYYRCGGQGPEFQFKTPPSQFPLSLAVVGDLGQTSWTTSTLNHIKQCEYDMLLLPGDLSYADYMQHLWDSFGKLVEPLASTRPWMVTEGNHEKEHIPFFESGFQSYNARWKMPYQESGSTSNLYYSFEVAGAHIIMLGSYTDYDEGSDQYAWLEADLAKVDRKKTPWLIALLHAPWYNSNWAHQGEGDSMMAAMEPLLYAAHVDMIIAGHVHAYERAERVYNGRLDPCGAVHITIGDGGNREGLARRYRNPKPAWSVFREASFGHGELKILNSTHAHWTWHRNDDEEPVRTDDVWINSLAGSGCIQDSSRELRKILMAP >Et_9B_065714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9484593:9490833:-1 gene:Et_9B_065714 transcript:Et_9B_065714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEGLVPITRAYLARYYDKYPLPPLPDAAVDLAARLRALSADLAAAVAPATPDEELMEQEAAGIPAHKIDENMWKNREQMEEILFLLNESRRPVALQQRSAPEDVEIVSILDDIENKVKDMLKKLEQFQLKNADNVFNTVMTYMPQDFRGTLLRQQRERSERNKQAEVDALVSAGGSIRDRYALLWKQQMDRRVQLAQLGSVTGVYKTLVRYLVGVPQVLLDFIRQINDDNGPMEEQRERYGPALYTLTKLVLAIRLYLHVSLARYGQKRIQKDDIDALQQAVVIYTEELEKFTKFIGEVFVNAPFFISAEDAGAGDARKSDEYKETIIPAGKTHEVILSVEAANSYIAWDFSLQQGALSMVLDIGFHVEYISPSGEKTLILPYRRYEADQGNFCTVSAGSYKLVWDNSYSSFFKKSLRYKVDAVPPVVEPAVPAVEP >Et_1A_009563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8199795:8201460:1 gene:Et_1A_009563 transcript:Et_1A_009563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRRCFCESEQDLKGLLSMENLRLFSYKEIRAATNNFGPCNKLGQGGFGTVYKGVFGDGTAFAAKFLSSESEQGVKEFLAEIESISEVKHANLVRLLGCCVQRKNRILIYEYVENNSLDHALQVLTLGSAKGETTLSWSTRSDICMGIAKGLNYLHEEHEPNIVHRDIKASNVLLDSNYRPKIGDFGLAKLFPDDVTHISTGVVGTTGYLAPEYFVHGQLTKKADVYSFGVLVLEIVSGRRISQTIRSDKFLVREVRQELSLAWLLYQKGSLLDMVDASIKNYPEEEVLRFIRVGLACTQATPSSRPTMPQVVAMLSRPVSLHELEMRPPSFAEHYGNSTASTPRAGPLLHTSPKARWSPQAAAAASSTSLSFSEVAPR >Et_10B_002799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11409178:11409847:1 gene:Et_10B_002799 transcript:Et_10B_002799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVMTSTSVAPFQGLKSTAGLPMSRRSGSAGFGKVSNGGRIRCMQVWPVDNYKKFETLSYLPPLTTEDFLKQVEYLLRNNWIPCLEFSSVGFVFRENSQSPGYYDGRYWTMWKLPMFGCTDATQVLKELEEAKAAYPNSFIRIIGFDNVRQVQCISFIAYKPPGSE >Et_3A_023191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8586172:8586750:1 gene:Et_3A_023191 transcript:Et_3A_023191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVGEETTEALEQQVGEEPIDPMELVGEKPTVAMEKVGEEPEEADSEEEAAGASLPPALPLGRVKRIIRIDRDIKKVTNEAAMLIASATELFLGSLAARAHTAASRRGRRTVRAAHVRAAARAHRPTADFLLDCLPADEEAPRARTIAGSGSGGGSGRGSGEAKPLPLGTRRIDAFFQKVT >Et_1B_011331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20521800:20532717:-1 gene:Et_1B_011331 transcript:Et_1B_011331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLPDADSSPAPTVNEGRTPSEAVEAGVAVAVQEGAMEVDAATAVEEPDEVEPEENEEAEEPQEATATGAEPDDEVEDDPDEDPKEEEEDEENAREEAEAAAKNDIDEEIVMEPLAVVKPGELQEEDESEETDEEEEDDEEPSEVDEESEELELEEPEEANKGAGEGRVVEDGTTGSVDVSAADHMNEASQGEHVRSGDVQNSELAGALEIMVDELPKDCVDEDVAVVFSECGEIKSVRIIRNSSTEKSKDIAFICYASIEAANKALTEFKEGIEVNGIKVRVLAALNDIGIQECEISLPPCKGRNRGFAFLKFKSHYYARAAFRRLMKPDAIFGADGSVRVSFYRKPTKPSDDLMEAKRVYLEHVPLSWNEDKVKQCCEGYGKILKIDLFQISKNMKSEMFSFVEFVSSKSALACVEGINDANIVDGAFKPTFFFPANLLTWAFSLITKLSACLARPKNGATSEGASSSKKEDDEAKKEVDKKSLQKLPKDNKSRQTSSTKELVVKKNAPNKLPRGNDRKRTSQEAAEEPQTSKSSEGERKLGKNKNASVNQRQSKKARNYRNVDGSNLTYQSAAVLQTSNPSTGKRKLGKNRNTYINERPLKKAHNNLRQPNRTRGTGQPAGPASDSRTHHSLGGSSRSKAYASDLQPHAGYIPPANQVHSTNAYHQRRFILVSSLLLIVITAQYDLHPIDAHPYARELWLPERLSFSKNLNSGCTIQSRLDMNISTLLHLQVNLITLEGFVRYTNIVSH >Et_9B_063836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16911894:16912613:1 gene:Et_9B_063836 transcript:Et_9B_063836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGQAAGPSPSATAGGVDAQQQHAVQPLAQAQAQPQQAVPPPGPPPQLSRYESQKRRDWNTFLQYLRNHRPPLTLARCSGAHVIEFLKYLDQFGKTKVHAAGCAYFGQPSPPAPCPCPLRQAWGSLDALIGRLRAAYEESAKARGIPYEKKKRKRTAQPGGEASAAAAGGEGGSGAGGGGNSAAEAAATSSGRATAATTTAAAPTTTTSRVQ >Et_6B_050063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5075608:5077302:-1 gene:Et_6B_050063 transcript:Et_6B_050063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPSKILGTRLNFAGSSRYATAAPTAGAQKIVSLFGKKPAAPQKPKPAAATSSGPDISDELAKWYGPDRRIYLPEGLLDRSEVPEYLNGEVPGDYGYDPFGLGKKPEDFAKYQAYELIHARWAMLGAAGAIIPEACNKFGANCGPEAVWFKVLLISSYNFQIPVTGALLLDGNTLSYFGNSIPINLVVAVIAEVVLVGGAEYYRIINGLELEDKLHPGGPFDPLGLASDPDQAALLKVKEIKNGRLAMFSMLAFFIQAYVTGEGPVENLAKHLSDPFGNNLLTVISGAAERTPSL >Et_4B_039012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:696379:700860:1 gene:Et_4B_039012 transcript:Et_4B_039012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSGERRRRRRRAHTAEEAAATLRKAWCRLRLSARDPARVPPWDAVVLTAASPEQAALYNRQLARARSLGRFPYSTAAIAVPDPDGARIGSGAATLHAVASLIRHLASQASKEEIGEFLTESNGCSGDECSLAAAASLMAKKHVLLLHAGGDSKRVPWANPMGKAFLPLPYLAGDNPDGPVPLLFDHILAISSSARQAFKNQGGLFIMTGDVLPCFDASNLVLPDDAACVVTVPATLDVAANHGVIVAAKDGAEQDNYSLCLVDNLLQKPTLNELTEGQAILDDGRALLDTGIIAARGKAWKDLVTLAHSSSQTMIKELMTNLVAAWVPAKHEWLRNRPLGNELIAALGSQRMFSFCSYDFSFLHFGTSAEVLDHLAGSYSGLVGRRHMCSLPETTACDIAATAIILSSRISAGVSVGEDSLVYDSSFCGRVRIGSQCIIVGVNLHEFQGISRSFILPDRHCLWEVPLVDFIGRVLVYCGIHDNPKVPIEKDGTFCGKPWSNILEDLRIQDTDLWNSAKQDKCLWNARLFPVMSLPEMLNVGMWLMGSACDPDGEVASQWRKSQRVSLEELHRVIDYNQMCMDASKHQSNLAADIAKACINYGLLGRNLFQLCKEMLQEDTCLEICKELLSFLPSHEDQFSGVLPQSRGYQVKMDLLKASGDSSTACLVEEKVWTSIASETASAIKYGRKDPSSHSLSSNSDKLHPRKAFVELPVRVDFVGGWSDTPPWSLERPGCVLNMAISLEGRLPVGATIETTDDHLGVLIEDDADRNVYIDDLTSISSPFKENDPFRLVKSALVVTGALGHKILSRSGLSIRTWANVPRGSGLGTSSILAAAVVKGLFQLMEDDGSDDNVARAVLVVEQIMGTGGGWQDQIGGLYPGIKCTHSFPGQPLRLQVVPLLTSPQMVQELERRLLVVFTGQVRLAHQVLQKVVTRYLRRDNILISSIKRLAELAKIGREALMNGEIDELGGIMLEAWRLHQELDPFCSNKFVDELFAFADPYCCGYKLVGAGGGGFALMLAKNVSCAKELRQALEASATFDVKVYDWNIAMPR >Et_7A_051822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25272739:25273459:1 gene:Et_7A_051822 transcript:Et_7A_051822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCLMRCCHTGQGRHISIEGSVIYLRRYMDILLQIRHQKPTEDVKGKQPILLVQCVVQQKEQDMRWVPPAEGTLKIHVDSAYNPKTEQEPALGVIVHDHGGHPKLAAWRKLFDCRKQRKQRRLLVLMGPVVLESDCTMAVEKIKSALLDRSLVAPLIQDICAEGRKLMAFDVFNCRREQNKVLTSLRSWLVGCRVMACFSFIQNLMSSDRVSNWPCNNSI >Et_5B_043031.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:15718460:15719014:1 gene:Et_5B_043031 transcript:Et_5B_043031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKINLSYVKILARIVDQLRLKAPKITYETRASSNFHAVIEVHLLSWLSRGYNGPREFRRSSSISARRAIRKAARDVVQRLEKSGLVKFDDFRGQDLRLWKYRVLEIAKVCKEIAGERDDLERDYEKENEKTPKGNLLLEDQ >Et_4A_034238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31045893:31050802:-1 gene:Et_4A_034238 transcript:Et_4A_034238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIALSLRFAPPAPLSLRRRGGRVLVGRAATTFHQLDAVSVEEESSQFKKAAAQGCNLLPLKRSIFSDHLTPVLAYRCLVKEDDREAPSFLFESVEQGTEGTNVGRYSVIGAQPAMEVVAKANHVTVLDHEMKSKREQFYTDPMKIPRVIMEQWNPQIVDGLPNAFCGGWCVMLKQRSFLLLRHHMMIGTFLTSIGLYNDVIVFDHVEKTTHVIHWVRVDCYNSVDEAYEDGTNRLEALLSRLHCLNVPTLSAGSIKLNVGHFGSTLQKSSMSSEEYKNAVIQAKEHILAGDIFQVVLSQRFERRTFVDPFEVYRALRIVNPSPYMAYLQARGCILVASSPEILTRVEKGTVINRPLAGTIRRGKSKAEDKVLEQLLLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNVERYSHVMHISSTVTGELRDDLTCWDALRAALPVGTVSGAPKVRAMELIDQLEVKMRGPYSGGFGGISFLGDMDIALALRTIIFPTGSRFDTIYSYNEKNTRQEWVAHLQAGAGIVADSKPNDEHQECLNKAAGLARAIDLAESTFLDE >Et_1A_007786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38269207:38270166:1 gene:Et_1A_007786 transcript:Et_1A_007786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLKTMIDIVNLRSLLVFGTYKSKKIANVLKYTFNEVNNLRVLFVFINSTESLPLNFSKLIHLRYLKIGMVDSYSLSAIPLPSAISRFYHLNSLNLEKWCGSNDVPKGFNRLVHLHHLYASKEFHSNIPGVGKLECLEDLNEFHAKKENVGFELAELEKFLEKVRTKEEAGEAKLKNKCDLRELRLEYGRAEMTTGHDGLQPHPSLKGLDILNHRGATGPSWLCRNICVTSLERLFLCGISWDILPSFVRLPFLRHLKLCDIRGLHQLRLDFGGVTDESFKHLKEFEIYNMLDLVECAGGDSSHFKA >Et_7A_050851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11686922:11688648:1 gene:Et_7A_050851 transcript:Et_7A_050851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSKLSSGIKKASRSHLYHRRGLWAIKAKHGGAFPKAEKPAAAAEPKFYPADDVKPRQPSTRKPKPTKLRSSITPGTVLILLAGRFMGKRVVFLKQLKSGLLLVTGPFKVNGVPIRRVNQTYVIATSTKVDISGVNVEKFDDKYFAREKKKKAKKTEGELFDTEKEVSKDLPQFKKDDQKTLDAELVKAIEAVPDLKTYLGARFSLRDGDKPHEMVF >Et_6A_047191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26605779:26606877:1 gene:Et_6A_047191 transcript:Et_6A_047191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHWYSLAEPRVGLLRISTSGQPRTRPKSSVVKIGPWGGRSPYEEHDIDAAPLRLESITVHHGDVVDGLGFSYRDRDERRYTVGPWGGTSGDVTTIEFGPSEFVTELYGSYGSYYGHEGMASLTFVTNRQTIYGPFGDFDTSGWENFSVPVKNNSSIVGFFGSAGSCYLSAIGVYIKPF >Et_9B_064993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20239694:20245989:-1 gene:Et_9B_064993 transcript:Et_9B_064993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIDLLTRVDAICQKYDKYDVEKLNGANVAGDDPFARLYASVDADINQCVEKAESAKQEKNRATVVALNAEIRRTKAKLLEEDLPKLQRLALKKAKGLTKEEIATRSDLVAALPDRIHSIPDGSSTATKKNGTWGASGSRTGGAIKFDSTADGNFDDEYFKGTEESNQFRREYEMRRMKQDEGLDIIGEGLETLKNMASDMNEELDRQVPLMDEMDDKVDRANADLKNTNVRLKETVLQMRSSRNFCIDIILLCIILGIAAYLYNAVNITNVAVLDNPTAFLNPFQFEISYECLVPLDDDLEWKLTYVGSAEDENYDQQLESVLVGPVNVGTYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYMGQEFMRVGYYVNNDYDDEQLREEPPAKVLIDRVQRNILADKPRVTKFPINFHPEPSTSTDQQQQEPQTGSPENNTGEGSKPNADQ >Et_8A_058402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6584558:6589574:-1 gene:Et_8A_058402 transcript:Et_8A_058402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIKGRSASAASNGRLLCVEAPPGVDATYALAWRDALPRGAALRPGLAFVSESFYDYRNPWHGLAALAPFVSWHTRSGCGLPPARGALFQNGAARSGMSGWLTTMAQAATGAEIVVEPFATPEPVCFEKAVVFRRSMEGLSKERLRGAYDFMRCKVRAHCGIVDVPKAGGDLSALRVTLLFRSGTRAFKDEAAVTRVFEKECAHVAGCAVTAVQEDNLTFCDLVGHHQPHERHVRLRSATDVLISSHGAQMTNLLFMEPGSSIIEFYPKGWRERAGGGQFVYRWMAAKAGMRHEGSWWDPDGEPCPGSDDVLSCYKDRKIGINADYFAQWAAKVFTAAKERKKFLAALGAMAEEGPRQAY >Et_2A_016581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26263384:26263944:-1 gene:Et_2A_016581 transcript:Et_2A_016581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYSGARCAGVQHRKFYVRICQASYSEDELGQSRVPAFSKLTVMLPSLRRCLRRGQVDHLLDAFQAEVVLCLHVLQTAAGLGIGNAVLAMSPCCNREISLILKHITSPDYISHPNNANTIDERQGTLKKP >Et_4A_032892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15139077:15139749:1 gene:Et_4A_032892 transcript:Et_4A_032892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREKRPDLLTKVWTVVKPMIMKPPTASLMAILPISLWRKLCSSPLLLSDSHVASDGFTYEGEAIKLWLEKGNSRSPMTNMALRNEDLIPNHALRSSIQEYLQQQ >Et_3A_024960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25676251:25685697:-1 gene:Et_3A_024960 transcript:Et_3A_024960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTMMASSLSVFASVSGAAAGRPSGSSALPGRLSSGQFVGKQSKRAAAQPLAASVVTDAPPPPVIVPPPARPVVDAPRRRGGRSTDGGGGEHTAWRSVPKERWEGALELQGELPLWLDGTYLRNGPGLWNLGEYGFRHLFDGYATLVRVSFRDGRAAGAHRQIESEAYKAARAHGKVCYREFSEVPKPDGFLSHVGQLATLFSGSSLTDNSNTGVVMLGDGRVLCLTETVKGSIVVDPDTLDTVGKFEYADRLGGLIHSAHPIVTDTEFWTLIPDLIRPGYVVARMDAGSNERQFVGRVDCRGGPAPGWVHSFPVTEHYVLVPEMPLRYCAKNLLRAEPTPLYKFEWHLESGSYMHVMCKASGKIVASVEVPPFVTFHFINAYEERDEEGRVTGIIADCCEHNANTSILDKLRLQNLRSFTGQDVLPDARVGRFRIPLDGTPFGELESALDPDEHGRGMDMCSINPAHLGKEYRYAYACGARRPCNFPNTLTKIDLVDKTAKNWYEEGSVPSEPFFVPRPGAVEEDDGVAISMVSAKDGSAYALVLDASTFQEIARAKFPHAMPYGLHCCWNGTYLRKRSRGVGSDSAFDHLFDGYAMLVRVSFRQGRTTGAHRQIESDAYRAAKEHGRPLHREFAYRPKPANLIDRARNVVGLVTGTATTDNPNVSVAQLGDGRVVCLTETTKSTVLVDPDTLETVGKFGYTDKLVGGILQSGHPVVNESEFLTPLPDLFRPGYTVVRMEAGSNERKVVGRVDCRGGPTPGWVHSFAVTEKYVVVPEMPLRYSRSVLASEPAPFYAFRLAPGVRQLHARHVQVASVEVPPFMVFHFINAYEEKGENGQDTAVVVDCCEYYADPTMLDTLLLHKLRSSTKNDELPDSRVGRFRIPLDGSPFGELESALDPEEHGRGVDMCSINPSRLGKKYRKMSMPKYVVVPEMPLRYSASSLIKSELAPFYAFEWLPASGSYMHVMCRSTGKTVASVEVPPFMAIHFINAYEETSEDGQAAAVIVDCCEHYGDPAIIETLVLNRLRSFRDKNVLPDARVGRFRIPLDGSPFGELESALDPDEHGRGMDMCSINPAYLGKKYRYAYACGARRPCNFPNMITKIDLVEKKAKNWHEEGAVPSEPFFVARPGATDEDDGVIISIVSTVEGDGYALVLDARTLQEVARVRFPYGLPYGFHGCWIPEKI >Et_10A_000022.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:15046218:15046562:1 gene:Et_10A_000022 transcript:Et_10A_000022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKRLRITSSSPAVLHGNSGGPLASLSQRPPPQVSCGSSLARPPFPRYTTPPSSSCAAGRLWKHRNEVVFRGAKPPLPVLLMNCREAAHLWHCRMPNSDTAVVNSWSSSLLPM >Et_1A_007067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30573528:30579908:1 gene:Et_1A_007067 transcript:Et_1A_007067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRAYKLQEFVAHASNVNCVKFGSRTSRTLITGGEDLKVNLWAVGKPSALLSLTGLTSPVESVSFDSSEITIGAGAASGTIKIWDVQEAKVVRTFTGHRSNCVSLDFHPFGEFLASGSSDTNMKIWDIRKKRCIHTYKGHTRRINVLKFTPDGRWIVSGGADNSIKIWDLTAGKLLHDFILHEGPVNCLDFHPHEFLLATGSADKTVKFWDLETFESIGSSGPENSREYFVLANAVRSMKFNSDGKTLFCGLHESLKVLSWEPIICHDVVDLGWSTLSDLTVDDGKLLGCSFNQSCVGVWVVDLTRTEPYAVGCADSHLTESVNRPVRADSGISSVFDRLSVPRNPAREIDSNTRLKRSVSASKEILVSASSTFSKRLSKECETTDLQLTRSDSVPLLSPRVRLDPIFVDDKKRQPAAVESLHIPKFSSKVYPSPNAGVRSHHSLAPVTPKNKSRSHISAHSNKESSSAPVHVPRHSSKVDAGLSLSEAFIGNLPVIEPENIIEVDLAVNHGKEDGKLVKRIDSMSLNKDDEVGCRRIADDVERNKVIPETCMRTPESQKVHECILQSEHISAQRKCITDYLGLGDNNFSRSVCSESLDSNEVGSRYDVSRFEKRNSTARRNPEFANMNRSAVIRLSQLMESSGRHAVTHGKRSSDLTFSSSTSFYPSEKSPSSLVVAGPSSSNFYNIQYDTTFDDLRWHASLAERQSKSTSDEDAMAFLMENHQEFVHVIKCRKTKLEEAYCYWRGNDIKGFLDATCRLSDCAVTADVISILMENSDCITLDTCTYLLHISSSLFESTYDRHLIVALEMVLKLVKSFGATISSTLLAAPPVGVDLEAEERRRGEVRRLAQELSLILEDILQLSSI >Et_8A_056198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14621985:14622980:1 gene:Et_8A_056198 transcript:Et_8A_056198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSLGARNFVRSADFSVGGYLWRIRFSPDLQGSKCRPRDLSENIGKLLESGKGADVTFNVAGEVFHAHKIVLAMRSPVFKAQLIEPVGESNRQCITVEDIQPAVFKALLHFIYTDSLPAMDGLDEDEKEEMVKHLLVVADKLDVETVAPTLALADQHNCSQLKDACVRYINSGDRMDHFAASKGYEHLKRACPGVIVDLWEKVAKSRKI >Et_6A_045942.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:12279044:12279274:-1 gene:Et_6A_045942 transcript:Et_6A_045942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELTGKKLPSLHPLTWTTDLLLGNNCSVDDAAIFICGAWSLWTGRTGRRHGKKQWEPSAAVRHVAGVGGRFSRLA >Et_4B_037950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25018923:25022977:1 gene:Et_4B_037950 transcript:Et_4B_037950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAGSSSVRRMEEDEAAAVAVEADARAGARRRGEEEEDDDVESSDYTSEDEGTEDYRRGGYHAVRVGDTFKQGAYVVQSKLGWGHFSTVWLAWDTAHSRYVALKVQKSAQHYTEAAMDEIKILKQIADGDPDDSRCVVKLLDHFKHSGPNGNHVCMVFEFLGDNLLTLIKYTNYRGMPLPMVKEICRYVLIGLDYLHRSLSIIHTDLKPENILLESTIDPSKDPRKSGVPLVIPSAKTDEPTPKVSAPSTNGGLTKNQKKKIRRKAKRAGAATSEGSSAVASADTDGSDDRGDLGTANEGSASQDGDKKRAAGDRRGSKGVKKKMAMDADLKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLNKVLIEKYEFTEKNANDMSEFLVPILDFVPEKRPTAAQLLQHPWLNVGPLRQQPKTLPDSSVDGVSEKQRKENEERDAMATELGNIAIDGASSSKAASDSQASTNKANATPSKK >Et_6B_049997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2895429:2899592:1 gene:Et_6B_049997 transcript:Et_6B_049997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLQVITADTVDRAVERILVELRDAAGTDSRSSSGRHNVIYFDGWDGLGASAVLRAVGRRLTTPVASQDKEPGDPAAAAGLEFSHIFHIDCSKWESRRAMQRMIAEQLKLPTSVMDMFDAQDENDDYQGVGKGSRAEIPQIAEEINQQIQKLNRFLLIFHNGSSEEIDLDSLGFPLFDRYSRSKVLWTFQGRFRVYPRTKVDGVLNNTRVTDVVLSAKNFSIFSNLAEVEAEEVACDITAGSSSIYWRAAAANCFLYMMKLYQLSNDLTTDFDLVTHACNYWRCDGVIPLQDGNVGNDDGVDKLWLSADALQREIRLDADRYQPPVKRRFLKRMAFWTSPTYGSKLIPDPHRRIPKGMFQQFDQLRVLKISYGKFSFISPPFICCHNLRFLWLDHCRDGSSTAEAVNYEDVHRFVQRLWVLDVRHSNKAFLSKEIMDFMTQLRELNVMGEKELWHMNLVQSLLHNIHRIQIKESTVNSPFLFSGMDKMKLLELSRNYTLSAWSRIFVKSCRSLETVIINGFNDLIDISLIGCAKLKNILLSGSLMRLRKIHIIDSGVETLDFSEVTTPELEELCLLDCDKLCAILWPPAVEYKLSIDTTQKEGTTTGEGEQITGKPPSEFDWHICLRDARILQSFEPLKDNFSSNHTHLDISSPSHHLYTDDAGRKDDIMKSSSGQHIQKKLKQLIKDTTIYTDVATTFKDINKQQKHDNEGDTDALVIMCMCPPPPSMPSQGCYIHIEDKKRAKLQAASTTMPAFICDSAKILHVHDSLHMTNIVATPLASVTWSKLEWCRVERCPKLGCVFSPQLDETPEGRNKSIFQKLRTFWASHLPKARHIWKWNGSISLLYDISFENVTFLHIDYCPRMVNVIHFPSMKNGLDKLETLEIMWCGDLVMAFNLYDWPGGWVFHKVKHIRLHELPKLQNIVGFKWLDLPELQTIKIRGCWNLRRLPTVSGNNVVKCDCEKEWWDRLEWESAEHASKYKLTYPRHYKKTTLKGSILRSSLLLTTLPPYALKVILSVRFLGLQRMFPVISSRFCLLGLFSLRRHGVVELPSTICSWFAPLCFFALGELIRFRPSKLQSKCFTMSNTMVNQRVVAYIVEPRATLYQDLTMAIGSSNCIRPFLTSTLITVQHGKASEMYPPARKDKKVSSP >Et_10B_004078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11049977:11059807:-1 gene:Et_10B_004078 transcript:Et_10B_004078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDNRHPRYLAFFYSNSTSFMASIVVIILLLPESLHTKKWWLTVMNMTIVLDLLGILVAYTAGSSRSWKTSRYVSALVIAVLGYFVIHVALSCFSRFCKRDTAAVSVTQPNGEGHIIVPTNMM >Et_4A_033977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28708946:28710723:1 gene:Et_4A_033977 transcript:Et_4A_033977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGCVIAVFLIIGIAFVPFGLVCLRASTRVAEIVRRYDADCVPDVYRGNKQAYIKDSSIPKNCTQEVKVLDHMKAPIYVYYELENFYQNHRRYVKSRSDKQLRSGEYSGASCDPVEKDSEGHLIVPCGLIAWSLFNDTYGFTRGSKEVKLRRKDISWKSDREHKFGKNVYPSNFQNGSLIGGGKLNPDIPLSEQEDLIVWMRTSALPKFRKLYGVIEEDLQVEETITILITNNYNTYGFGGKKSIVLSTTTWLGGKNDFLGYAYIVTGSVSIFMAILFALIHVKYPRPPGDPNYLSWNRKNGNS >Et_6A_046267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11713210:11714301:-1 gene:Et_6A_046267 transcript:Et_6A_046267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTTDAIHIKSQVIDVGAALEKVIDVGLKIMHAGYVSSPKEVEGDLHAHVRVARLAPRQLVLSCESPSALVEDEAWHLGDRVVDVHDAQHLDPELVAPVLKLDVADPRAGEGEDGDRDGVTSGAVEAELGEVVGEEDGEGAAHAVAGERDADLLLLVLVLVDEACDLGEELVAAAAGGEFAAGDGVVGGVEGEEAGLDADVGARVGRVEPRRRERAHEVGQPLGPRHRAAERDEDVAPPQPGRLVVPGHGHVPDPPALAAARRKLQAGRRVDVLGDGVPGAAAGYADGGEHLLLAVLAVERLDRGSRSNAR >Et_9A_062174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20320588:20325189:1 gene:Et_9A_062174 transcript:Et_9A_062174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRSWSIDGYLNEHFDIPAKNPPSEARLRWRRAVGLVRNHRRRFGMFTPLHALDDSQRRKILGKVQVVINVHKAALHFIDGVRRFHLSPEHIQEGFCISPDELAALTSTREDLTIFKTHGGVSGISRKIKASLEEGVRETEITMRQKLYGINKHAEKSPRSFWMFVWDALHDLTLIILMVCAMVSLVVGLATEGWPKGTYDGVGIILSILLVVLVTASSDYKQSRKFMELDREKQKIYILVTRDKKTKKVLIHDLVVGDILHLSIGDVVPADGLFISGYSLVIDESSLSGESEPVRVSEEKPFIHAGSKVVDGTAKMLVTAVGVRTEWGKIMDTLNDDGVDETPLQVKLNGVATIIGKIGLVFAILTFLVLLVRFLVDKGIHVGLLTWSANDALIIVNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLAACETMGSASCICTDKTGTLTTNHMIIDKVWISDISKSVNGDTNINEMKNATSEGVMAILVQGIFVNTGSEVVTGDDGKRTILGTPTEAALLEFGFSLQGDLYDECNKLSRVRVEPFNSVKKKMSVLIQLPNGGLRFFCKGASEIILEQCDTVLDSEGNITPLSEMHKQNVLNIINSFASEALRTLCIAFKDLNEISDDQTLPEDGYTLIALFGIKDPVRPGVKNAVMTCLAAGIKVRMVTGDNINTAKAIAKECGILTEDGIAIEGRELHDKSTDELKEILPKLQVMARSLPMDKYKLVTSLKSMYQEVVAVTGDGTNDAPALFESDIGLAMGIAGTEVAKESADVVIMDDNFSTILNVARWGRAVYLNIQKFVQFQLTVNIVALIVNFVSACIVGTAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVRRGDSFITRVMWRNILGQGLYQLLILGILMFAGKRLLNIEGPGADRTMNTLIFNSFVFCQIFNEVNSREMEKVNVFRGIFKNGIFVTILTATVIFQVIIVEFLGTFANTVPLSWELWLLSVALGSISMIIAVILKCIPVEAKKTDIKPHGYEPIPEDPEAL >Et_6B_049030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16870608:16872721:1 gene:Et_6B_049030 transcript:Et_6B_049030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSDSRNSVLSSPWIMGAFCSCLQVDYSDHHGNNASSAFRNCVCLRCFTQQLINAYTVLFRVGAVHPVSQAIEATPVDTSESSFDTYRAPPRPLPYDDPRFSPPSRDWFALRREASSHSPEESEPLRPDDDDEEMEMPITVDKPSKTNYDTKMKRSSSAYGEKAPPKDLGNYFTYFSPSAEDEDVCPTCLEDYTSENPRIVMQCSHHFHLGCIYEWMERSEACPVCGKKMEFDETT >Et_2A_018602.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34734959:34735165:1 gene:Et_2A_018602 transcript:Et_2A_018602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMIYLSWPAVSQICALMRFRSMWTVRVWNSMPMVDLESKQNSSYVKRLSSCVFPTAESPITTILNT >Et_2A_014580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24983692:24984470:-1 gene:Et_2A_014580 transcript:Et_2A_014580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNNEPTRSKRHARLHRSFQHFSNALKKLHSGGGHANANDRSTSASSASSFLSGCMHPRTNSFAFNRRRRRRHTTSDNGREHHDDDDGGDGDGELAVEFRSLRLGTAAAVVADAGGSSSSTQDFYTDGGSEERYGVPPPAKAVACGGSGGVAVVTFSAAPYEDFRRSMREMVDAARREAEAEAAGSGDDDDASATRAPAVDWDLMEELLFCYLELNDRAVHKDILRAFTDTVAALRRRRTAAEGS >Et_7B_055086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7160468:7165129:1 gene:Et_7B_055086 transcript:Et_7B_055086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGDGPVHWDKADGTEMANGSGGGAGRLEKILVSVRLRPLSDKEVARGDPAEWECINNTTIISRSAFPDRPTAPTAYSFDRVFHSDCNTKEVYEEGAKAVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYTVADIYDYIGKHEERAFVLKFSAIEIYNEVVRDLLSAENTSLRLWDDAEKGTYVENLTEVILRDSDHLKELISICEAQRRTGETYLNENSSRSHQILKLTIESSAREFMGKDKSTTLVACVNFIDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSKVRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSHMEQSRNTLLFASCAKEVVTNAQVNVVMSDKALVKQLQKELARLESELRCPASYSKLEALVKEKDNQIRKMEKEIKELKLQRDLAQSRLQDLLQVVGDNNVSKHPMASGRNFTFDVPQPCEDELSTTEWSGVVNSDQNFRLQGRRAAQRGHRQASENNVEFPYPPSYSVSSPPFSGMPPTNSRDDASQISNEDSEDLCKEVRCIETNETEGNECLGSSAVGSNSLQDSNVGSRMHGNNDSNPSVNSGPHDVSPITLEQHLENVRKPFANLVKDLGSSTRNSSSSKVLGRSMSCRSLMGSTLFEDLEKDDGTPPSRRFLDFPGRPDGCQRRVSALNYDAESETLSRAGSMLSEITTTRDGVKANGSVAGDSEFTGIGEFVAELKEMAQVQYQKQRGVQGDNGDLTEGTIRSVGLDPIMDALQSPSRWPLEFEKKQQEIIDLWHACNVSLVHRTYFFLLFKGDPADAIYMEVELRRLSFLKDTYSNGRMGSNVVTGSPNTSLISSAKKLQREREMLCRQMQKRLTIQERESMYTKWGVVLSSKRRRLQVARRLWTETKDLEHVRESASLVARLIGLLEPGKALREMFGLSFAPQQFTRRSFNSWRYGRSSLD >Et_4B_038840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5198679:5199118:1 gene:Et_4B_038840 transcript:Et_4B_038840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVICECIMRPRIFFMRYCGRASLFGTLAYVLEHDLLYCVARVEDDENSEEREVPKIRRLSELYGRPRSCTQASRSVCSNLADKFQATEQPEKQKHHGKRGVQGPSEPSLADTAKRLKVNGRVALSSGADRQTLNSNQDQENGRG >Et_8A_057337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23770442:23773994:-1 gene:Et_8A_057337 transcript:Et_8A_057337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMSNKRRLDEDQRQRRCTDKRPRRRKHLYVALDDRDKDFSIHKIDTFVSDSDDGDRQDLPMSRLPEPPVLHLESPAGDLAYSAMSFSALGTNIFAFMNQRCPLRYDTGTAALAVGPHPPMEMVCGFTTYVPAGDTLYALSFHPLEKKHYFFAMSWGPTAPDVLHNPTEGWSWKTLPPPAFTSRVAAYALHPDRCTIFVTADHESRMRTFSFNIKDSVWTCLGDWALPFHDQGHFDSELDAWIGLRFDGSICACPVVSPTATPPPMKQPDWKMTDEKLVCAYSEGFMTATLTYVGKSRFCLVQSRARDGQDGCVLHVTIFGIKYNHKAELQTSNHHSTRSFLVSRHRRNHFRPSVFWIYQHGYTDVVFTQLVIWDGGGYYLLGEPSVAHSIARVVLERHHPLQLDPLLLDPGGDMVEHDGGDILDLDLSNLLEDYQLIKLVQELEP >Et_2B_020776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23540573:23542772:1 gene:Et_2B_020776 transcript:Et_2B_020776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPALLRPLVGPPLVAANPPTAQHHRRGVRGSVRVECARGDGASEGGGGEGEGAAAWLSSAVGEKVDELLRREENRSLLEGVEAAERRVELARAALADIERQEAAARLAREEVRRLEKRRDEIAESQRELLQAREMIDEAQRSLSSSLEEGRFVDVSSGEIDEDSERLESVKAAAVSSVVGVLASLPISFYAVHNLPELFFQSSVIFISCALFGVTFRYAVRRDLDNIQLKTGAPAAFAFVRGLALLESGRTFELSTDALMSLALSGAVSVVENIFIFLPAAVALDYCFKMRILSPFPSRKQ >Et_1B_009949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13583679:13585542:1 gene:Et_1B_009949 transcript:Et_1B_009949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LALALRRPAPARPSVRHGKGAAGGGGSGAVVSRRAMAARAAAEDRRRFFEAAARGRSGKGNLVPMWECIVSDHLTPVLAYRCLVPDEDDVEAPSFLFESVEQGPDKGAAHLGRYSIVGARPAMEVVARERGNVTFTDHETGQVTETVDVDDPMRVPQSIMEEWRPQKLNKDDELPDCFCGGWVGFFSYDTVRYAENRKLPFSSAPHDDRGLPDLHLGLYDDVVVFRPRREESVRRPLGEPGPPRVRRGRVPGRQVAAERSALQIAQLERARAFSGNREAADTPLNESSMTSHEYKSAVVKAKEHIMAGDVFQVVLSQRFERRTRATPFEVYRALRIVNPSPYMAYVQARGCVLVASSPEILTRVSSNGKIINRSLAGTVRRGRTEEEDEAREQELLNDEKQRAEHTMLVDLARNDVGKVSKPGTVKVEKLMDVERYSHVSSTVTGQLDDRRLRSSSSWDALPVGTVSGAPKVRAMELIDELEVTRRGPYGGGFGGISFDGDMQVALTLRTIVFPTAPCRDDATCCSFGEGDGRRRREWVAHLQAGPGIVAGSVPEDEQRECENKAAALARAIDLAESAFVYKE >Et_4A_032383.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:4389629:4389712:1 gene:Et_4A_032383 transcript:Et_4A_032383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAVWRERIPRCIEHLIAPDCIPNLE >Et_2A_018645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:442274:442917:1 gene:Et_2A_018645 transcript:Et_2A_018645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLEPPYNKETLPLGDGLIAPMCLCGTPCKLVKSLVLSDDYDKRLWMCNNYQYELPLQRLHFSDGRPKSPPSLCEFIEYIDTEQTPENIAHVYRVAERARRHWFDMEAEERREEERRKMRQKEEECRREYEAERKQREEAERRRKQEEDRLAYEAREAERERMRERARRARTAGVLMHLERGNIPVAL >Et_3A_027171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3766945:3767488:-1 gene:Et_3A_027171 transcript:Et_3A_027171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKIQITCGLLLIGVMLLGPGQEGVAVAVACPQYCLEVDYVTCPSSGAEKLPASCNCCMAPKGCTLHLSDGTQQTCPS >Et_3A_026971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28442835:28445632:1 gene:Et_3A_026971 transcript:Et_3A_026971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTATDKCFSPARGMSPMPIMRPPPSPSPEAAGQYLEELLQEQQKLGPFVQVLPVCGRLLNQEIMKISSMLSHLGVRGNERLPIGSPNHMQPSPRVPNFCGNGFGPWNGMHPERNGFPRGAMGWQGAAQNHPSYIVKKIVRLEVPTDAYPNFNFIGRLLGPRGNSLKRVEATTGCRVFIRGKGSIKDPVKEEQLKGRPGYEHLSDPTHILIEAELPADVIDVKLTQAQEILEELLKPVDESQDNIKRQQLRELAMLNSTYREDSPHQNGSASPFSNGSTKQGKQ >Et_2A_016280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22906774:22908279:-1 gene:Et_2A_016280 transcript:Et_2A_016280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLVTTTPQIFQLLEVSGATVLELRHHSFSPVPTKTRENEVDAVLSSDAARVSSLQRRIEKYRLIRSSPDAAAASMAQVPVTSGAKLRTLNYVATIGLGGGEATVIVDTASELTWVQCKPCASCHYQEDPLFDPASSPTYAAVPCNSSSCDALQVATGTSACGGADQPAPACGYTLSYRDGSYSSGVLARDRLSLAGEAVDGFVFGCGTSNQGAPFGGASGLMGLGRSPLSLVSQTMDQFGGVFSYCLPLKDSGSLSGSLVLGDDSSVYRNSTPIVYASMVSDPAQGPFYFLNLTGITVGGEPVESSGFSSAGGKVIVDSGTVITSLVPSIYDAVRAEFVDQLAEYPPAPGYQILDTCFNMTGLSEVQVPSLKLVFDGGVEVEVDSSGVLYFVASDSSQVCLAMAALKSEYDTSIIGNYQQKNLRVIFDTSGSQICFEITSGDWQV >Et_8A_057247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22806608:22809667:1 gene:Et_8A_057247 transcript:Et_8A_057247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLRRSPAARALLSPALSSRLVASKPHSSAPAPPPPSKAAPNTKTFSIYRWDPDSPSTKPHLKDYKVDLSDCGPMVLDALLKIKNEQDPSLTFRRSCREGICGSCAMNIDGDNGLACLTKISGASSASTISPLPHMFVIKDLVVDMTNFYSQYKSVEPWLKRKDPPPQPGKEIPQTKADRAKLDGMYECILCACCSTSCPSYWWNPEEYLGPAALLHANRWIQDSRDQFTKERLDSINDEFKLYRCHTIKNCTHACPKGLNPAKQIDTIKKLQLDA >Et_2B_020662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22576194:22578498:1 gene:Et_2B_020662 transcript:Et_2B_020662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSVTATAPSPPTLLKTSPSTVLSLRPVSRRCKLVSVKTKATENDQSAKKPQKVTSILCKGCDGNGAISCTQCKGSGVNSVDHFGGRFKAGALCWLCRGKREILCGSCNGAGFLGGFLSTFDETSE >Et_2B_021368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29070412:29073163:1 gene:Et_2B_021368 transcript:Et_2B_021368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRGLLPRWQSMVAAVAVVCLVLASRAAAQLSQSYYATTCPNVETLVRGAVTQKLQETFNAAPGTLRLFFHDCFVRGCDASVLLSGPDDEHSAGADTTLSPDALDLITRAKAAVDADPQCTNKVSCADILALATRDVVSQTGGPSYQVELGRLDGKVGTRAIVKHSLPGAGFDLDQLNKLFAANGLTQTDMIALSGGHTIGVTHCDKFMRQTCPLNYSPSAFAMLDAVTPRKFDNGYYQTLQQMKGLLASDQVLFADRRSRATVNYFAANQTAFFDAFVAAMAKLGRIGVKTGADGEIRRVCTKVN >Et_3B_030422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3691430:3692796:1 gene:Et_3B_030422 transcript:Et_3B_030422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYYYRRLALAVATAAAMAMASVAAASSVPCMYVFGDSLVDNGNNNGMLSLARANYRPYGIDFHEGPPGRFTNGRTMVDFLSDMLGLRPPLLPPYAAAAPSDLARGVNFASGASGIRPETGNNLGGHYPLSEQVDHFRTAVGDMATGTARFRGNATKVAAYLGRCVFFVGMGSNDYLNNYFMSDYYTTAQEYDPPAYASLLLKDYAAQLADLYALGARKFVVAGVGNIGCIPYELARMDSDQQPSDPSTPSSSDDGISISIGGAGRASTRSSESSGGNQKPAAAGGMCNDTINSAVAIYNKGLLSMVKRLNKSPQTRGARFVFLDTVQMGRDLAANAAAHGFTVLDRGCCGVGRNNGQITCLPLQRPCDDRSQYVFWDAFHPTEAANRIYAAKAFNSTSTADAYPINVSQLAAL >Et_10B_003322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18879707:18883008:1 gene:Et_10B_003322 transcript:Et_10B_003322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTMKRKKTKSKNKTKKQEAPSSSNPAVASGPAKVWQPGVDALEEGEELQFDPDAYNYLRGFSIGWPCLSFDIVRDQLGLVRSEFPHTLYGVAGTQAEKAPWNYIGIFKLSNINGKKREPIPASAVDGDSDEDSDNSSDDEAEEINEDTKPIVHLKKVAHAGGVNRIRSMTQKPHICATWGDTGHVQVWDMSPFLNSLADSGTRPHNDEDIIHKHLPLKVFSGHKDEGYAIDWSPLVPGRLVSGDCNGCIHLWEPTSSNWNVEANPFVGHSKSVEDLQWSPTEPNVFASCSVDQTIAIWDIRVGKKPPIQFKAHNSDVNVISWNRLASSMIASGCDDGSFSIHDLSLLKGNGDALIGHFEYHKKAITSIEWSPHEASTLAVTSEDHQLTIWDLALERDAEEEAEFRAKMKEQANAPDDLPPQLLFVHQGQKDLKELHWHPQIPSMIISTAADGFNVLMPSNIDTTIPGAEPSNNDTTMQSDDP >Et_1A_007621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36559789:36564080:1 gene:Et_1A_007621 transcript:Et_1A_007621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLEYLLLALICFSCPATHTTYASFAPHGASAPHIADQHALMSFKLRIRSDPWRSLASWGNLSIPMCQWRGVACGKRGRHRGRVVALDLAELNLLGTLTPALGNLTYLRRLHLSRNRFHGILPPELGNLQDLEKLQIHLNYIDGPIPASLSNCSHLVELIVYYNKLRGEIPTELFSLHNLEFLNLGKNFLTGSIPSNIGRLVNLKELTLDYNNMTGEIPTDIGSLVNLTSLALGFNQFSGTIPPSLGNLSTLTVLSIPQNELEGSIPPLQSLSSLNVFELGDNNLKGPIPSWLGNLFSLLFLDLQENGLVGQIPQSLENLEQLTTLSISTNHISGSIPHAIGNLHSLTGLYIDFNELEGLLPPSLFNLSSLEILSIQSNNLTGSFPSDMGSKLSKVEGFVVSDNQFHGILPSSICNMSMLQVIQANFLSGTIPQCLGAHQKYLTHVHLGENMFEATNDFGWGFMTSLTNCSNLRILEVGSNKLQGMLPHSIGNLSKLLEYISIEDNNITGPIPEGIGNLINLNSLDMDNNMLSDAIPVSLSKLTNMAFLSFSNNALSGPIPVGLGNLTKLIFLDLAANAISGAIPSSLGNCPFEALDLSYNNLSGPIPKELFFVSTLSRFLNLAQNSLSGTLPSKVGNLKNIGELDFSKNMISGEIPASISECQSLAYLNTSGNLLQGTIPLSLGNLMGLLVLDLSYNNFSGTIPQTLRTLRGLYSLNISFNKLHGGVPEAGVFLNATAISITGNDGLCGGIPQLKLPPCYNHKTKKKYRRLIVIVSICSALLFVTFLFALFTFYQRSWKAKANVQRSVISEQYVRVSYAELAGATNGFASANLIGAGSFGSVYKGRMRHNDQDEVVAVKVLNLMQRGASQSFIAECGTLRCVRHRNLVKILTVCSSIDFQGRDFKALVYEFLPNGNLDRWLHQHTMEDGEQKALDLIARLRIAIDVASSLDYLHNYKPVPIIHCDLKPSNVLLDSDMVAHVGDFGLARFLHEDTDKSSGWAAMRGSIGYAAPEYGLGNEVSSHGDVYSYGILLLEMFTGRRPTDSEFEETIGLREYVQRELPGRVGVITDQQLLTETGDGEASTSNSNGIRDVKIACITSILQVGICCSEETPTDRVLIGDALKELQVIRDRFLKHLGSEGASSSNRCDDEGNAAAEVAGAKKWQDLDGGSGGFTGCLESSSSAFPQGRDKRYSRLPVVYIGEEREVAGTGATDVE >Et_5A_040541.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6923387:6923569:1 gene:Et_5A_040541 transcript:Et_5A_040541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVDDKLDPWMWVWVSFFTRTLYFVRWVFAPPDPNPTHCHPYVRASEIQSSECVSITA >Et_9B_064312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13926499:13929600:-1 gene:Et_9B_064312 transcript:Et_9B_064312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDGGEGIDWESLAEATSGAVGSLVSTTVLYPLDTCKSKFQAELQTQQGAHKYRNLSDVFWEAVRKRQFLSLYQGLQTKNIHSFISSFCYFYGYNYFKRLYLEKSGAKSIGTTANLLVAAAAGACTVIVTQPLDTASARMQTSSFGKSKGLRETLAEGTWMEAYDGLGISIILTCNPAIQYTAFDQLKQRLVKSQIRKNAESKDGSSPVVLSAFSAFLIGAIAKSIATVLTYPLIRCKTMIQAADPDEDDEDESERPSKSRTPKTMLGALCAIWSKEGIPGFFKGLHAQILKTVLSSALLLMIKEKISKFTWVSLLALRRYLFVSPKRIKSA >Et_9B_064333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14107019:14110789:1 gene:Et_9B_064333 transcript:Et_9B_064333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFSPPAPSPVAADDLADARLAPWPAPRRDDGGGGDGRAASPLFTILPVSAFGIGLVLLVAVAVVLVVTRRGKPPRTADAGDGGKPPAAPPSSCGSHNTQRRYAAAGVGCIYGAGRLGLGGFSLAAQQGQGQQRSRGAQVFTYRELERATDGFSEANVVGQGGCGVVFRGRLADGTPAAIKRLRLDHRRQGEREFRVEVDLLSRMDSPYLVGLLGYCADQSHRLLVFEFMPNGSLKSHLHPSSSSSPAPQPLDWPTRLGIALDCARALEFLHEHSSPAVIHRDFKCGNVLLDHNFRARVADFGAAKVGSNKADGQVVTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELLTGRVPVDTQRPPGQHVLVSWALPRLTNRQKLVEMVDPALKDQFALKDLIQVAAIAAMCIQTKAEYRPLMTDVVQSLIPIAKTTPAMSCTSTPIRPLHHVIYMSPHCANKT >Et_7B_054220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17841758:17847917:-1 gene:Et_7B_054220 transcript:Et_7B_054220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFSFSRSGTHPRRRSPFPTPENSTSFAAGHRGGVRRRHGGDDMSWQSSVSWAPDTSWAQPHGLGAAVGPWAPAATNDGASRRGPGVFRRTARDYYLSRRSSRVYRDRSSAQLQSRAGKRLELQSVVTDASRAIVVAPNASSFASNDDIPSTGGEKAMVKVKYTDTYNNNNNNSNNYAVSRVSRDNHDQLYVAPARRDAPSFGYDISVASYSKSGYYDDDYHDDGGGDYEYDDELEVRVGKPVSIAGLFKYSTPLDIVLLVLGCVGAMINGGSLPWYSYLFGNFVNKIVNSDKGQMMKDVKQISLYMVILAAVVVIGAYLEITCWRIIGERSALRIRREYLKAVLRQEIGFFDTEVSTGEVMQSISGDVAQIQEVMGEKMAGFVHHVFTFIFGYAVGFVKSWKIALAVFAVTPVMMSCGIAYKAIYGGLTAKEEASYQRAGSVAQQAISSIRTVLSFVMEDRLADKYAELLNKASPIGIKMGFAKGAGMGVIYLVTYSQWALALWYGSQLVAKGEIRGGDAIACFFGVMFALGTVAAGRVFEIIDRVPEIDPYGGEGRKLSSVRGRIEFKDVEFAYPSRPEAMILYNLNLTIPAAKMVALVGVSGGGKSTMFALVERFYDPTRGSITLDGQDLGSLNLKWLRSQIGLVGQEPILFATSIIENVMMGTENATRQEAVAACTKANAHTFVLGLPEGYDTQVGDRGSQLSGGQKQRIALARAIIRDPRILLLDEPTSALDAESEAVVQQSIDRLAVGRTVVVIAHRLATVRNADTIAVLDRGAVVESGNHADLMARSGAYASLVKLASSSDSGRSSGEPGGAAMYNNNNSFTDESGYDMSKSMYYGGFATIQEEAAEQNDKKDVKVRVSEIWQLQRREGPLLILGFLMGIHAGAVFSVFPLLLGQAVEVYFDADHSKMKRQVGYLATAVVGLGVACILTMTGQQGLCGWAGARLTARVRGRLFRAVMRQEPAWFDDEDNAMGVLVTRLARDAVAFRSMFGDRYAVLLMAVGSASVGLGICFALDWRLTLVAMACTPLTLGASYLNLLVNVGPKSDDGAYARASSIAASAVSNVRTVAALCAQGNIVRTFDRALDGPITKARRRSQYMGVVLGLSQGAMYGAYTVTLWAGALFIKKGTSSFGDVSKIFLILVLSSFSVGQLAGLAPDTSGAPVAIAGILSILKRRPAINEEGTKRRTIKEGRPLDVELKKVTFAYPSRPNLTVLSDFAMRVKAGSTVAVIGPSGSGKSTVVWLVQRFYDPLSGKVTVGGVDVRELDIKWLRGECAMVGQEPALFSGSIRENIGFGNPKASWAEIEEAAKEANIHKSARAVSNCQGKQRIAIARAIVKQSRILLLDEASSALDLESEKHVQEALKKISQRATTIMVAHRLSTVREADRIAVVSNGRIVEFGSHDVLLANHRDGLYAAMVKAEVEAQAFT >Et_3A_026109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4442057:4450286:1 gene:Et_3A_026109 transcript:Et_3A_026109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKPPSPSHPLNSSPCSAARTTPPGLRRPLSPEMPPLPAPLQPPLPRKLLSCHRVALACAVVAALALLLASQTTEDPSRRRAYLVGSILGNTREHTEANASARFADSASPPPAEALLPSLLETDLPPRTAPASSMFLAPSPSPAENFDDGSMEEPEHHEIKPISSGLLARSSDVSGEKDMDDKSILTGRPEAPLWSTAADEELIYAKKEIANAPLVTDDPDLYAPLFRNVSIFKRSYEMMERFLKVFIYHDGAKPIFHSPELKGIYASEGWFMKLMEGNQNFVVRDPNRAHLFYLPYSSRQLEHNLYVPGSNTIEPLSLFLKNYIDMISAKYPYWNRTKGADHFFVACHDWGPYTTKLHNELRKNTIKALCNADLSEGIFIRGKDVSLPETFLRSPRRPLRNIGGKPAAQRTILAFFAGQMHGRVRPVLLRNWGGKDEDMRIYSRLPHRITRRRNYVQHMKSSKYCICPMGYEVNSPRIVEAIYYECVPVIIADNFVLPFEDALNWSTFSVVVAERDIPKLKEILLAIPESQYTTMQSNVKRVQKHFLWHANPEKYDTFHMILHSVWFSRGQGKGAGIPGSSEEGQKGRRKIKAVKKNQETLLRNAVQETELRTSKLTLSVTGASVNFGSL >Et_4A_035912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8444783:8447595:1 gene:Et_4A_035912 transcript:Et_4A_035912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMRGGKRLTELSFYTTEEELKDIFSPFGSVKEARLMRDNQTGRVKGFGFVRYSSQAEAEKAIKAMDGRILRGRLISVEIAKGHKSE >Et_5A_042389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9479454:9479850:-1 gene:Et_5A_042389 transcript:Et_5A_042389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVLVLIAGLLKNSELSLDSLSICMAVNGWVFMISVGFNAAARCRAGGEGTSWERATRGLRRSPC >Et_9A_063448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2657562:2660461:-1 gene:Et_9A_063448 transcript:Et_9A_063448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWSKNGKKSPAPAGGELAVQKVDRIEVVRNLVTRPPIYGGRRRKLRGGGGAGAPPLAPRVGGGNYAQQGEDIARKSSEYIKKVRKMWFHSHKAAEPAAPRPYKGGLHAS >Et_2B_022896.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:7431309:7432244:1 gene:Et_2B_022896 transcript:Et_2B_022896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGNGEPSQVQPQPQHQSDLKEDPAWQLRKYLLLLAILVATVTYVAGLDPPGGVWLDSKDGHHTGNPILPGTRRVRYTLFYYFNATAFAVSLVVSILLLFMRHRDHASWPLAVRGIMVIDVCCLMVAYIAGSCRGRLTTIYASVACAIVFLFVIIFTWMTKSEEPAAADAKLKEKRKVLMLLAIFVATITYTAALNSPGGFWEHADHNVGHFAGDPILLERHWRRFVVFLIFNTTAFAASVVIVTLLLSRERYTAPVHTSFRNRGGAGRHLSVLRRRKQQEDEDHRLHLQSGWFGGNVHCCPCLDTWVG >Et_7A_052194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5478753:5484294:1 gene:Et_7A_052194 transcript:Et_7A_052194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRPCVAANRDHYRALWILTVDHRWEQRSAIAKDTGLFGKMDDLYNCPIIGVWDCGDMLVLLFDRNMLCLYHVPTAKMFMANLPNDVVPWASDYAIVLGPTLLSPGSIIGKITKDKVLRQDLMVDGREIIQPVKEQDRRKGQKATLDTICFMKVLVCIMRKLPENVQDVDKMPLLIDSDSEDPTMGKKRPRGTEKQKTATPSVPADSKKRRKRNYHDLFFFARLSIRWAVASLSPPLPNPHIAYISGVHGFHVAGAGQSSDAPMRTLDWERYQEMKYINTCNGVMLLARECETKPPTCVLWNPAVVDIQKEFTVPAFLGTTVQAITTRSKDYKLLISFWQRLNRTLLSRPPQRAYSHELLIYTLGTARNEPRRLKLLSHGRLVSISQESVYIDGVLYLLFSEPSVLACDIYNEKVTHIRLPGSSSSPYENRVTSKLMHIYIVARNDDGHRALWLLTADHRWERKCAFKVDDGLIFCPIKGVWEYNDMIVLYLYDKRDDNKLLLYPLQQGRF >Et_7B_054734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3940467:3942428:-1 gene:Et_7B_054734 transcript:Et_7B_054734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLRSKREKRLRTLRREIAEPFYDKKEAAKLAAQAAALEAPKVPVRAPPQSQDAGSSLAATSSSASAMDVEMAGGDRSKSFLKPLGSISKKKVLLHLKIKKDRRKARKKGRLGSQPTAMNNDVAMKSSEFTSTS >Et_7B_054033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14400755:14403706:-1 gene:Et_7B_054033 transcript:Et_7B_054033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRALALRSLLVPEPLHRLPNAAAASAAAPPVGRARRGGQRPHMRCCCSGGGGGGGGETGQPPQEAVLEAISKVARSKGRVALTTNMVMGGTVTDDESDEWLVLDQKVNSYPTDRGFTAIGTGGDDFVQSMVVAVESVLQESVPKGRVSQKLSSRGKYVSVKIGPIRVASSEQVQAVYRAMRRDNRMKYFL >Et_4A_034051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29430369:29433540:-1 gene:Et_4A_034051 transcript:Et_4A_034051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSSTAAALFLVFATFTWPLLASAQPAPSMPPPSPPAAATNNSRLEKAYVALQALKRAITDDPKKLTHNWCGPDVCNYFGVYCAPAPDDPCQRTVAGVDLNHGDLAGTLPEELGLLSDLAVFHLNSNRFSGSLPESLRSLHLLHEIDVSNNQLSGGFPSQFLCLPNLQYVDIRFNNFCGEVPAAIFDKKIDALFINNNHFEFTLPKTFTNSTASVIVLANLPRLGGCLPSNIGDMAGTLNELILLNSGISSCIPPEIGKLDKLTVLDLSFNSLAGTLPDTIGNMRALEQLDVANNMLAGEIPESICELPHLKNFTYSHNFFCGEPHRCLEVPRIDDRQNCIAGRPDQRPGEECIAFLHRPPVHCDAHGCFAPPPPPAYAPPPPNYRIIIPQWKALWYKNFVPTIYQ >Et_1B_014283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:509507:512370:1 gene:Et_1B_014283 transcript:Et_1B_014283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQGAGRGIVFQMPQIPGLLFTPPPPPPILSYSSSYSSPHHPSSITSFPILVLTVLGILITSVLLLTYYVVVIRCCLKWQGSSDASSLIGRRGRHSGGAAASSSHLHLPVTGTPAEARGLEESTIQALPTFRYRKAIKNAADSAPTSECAVCLSEFEEEERVRMLPSCLHAFHVDCIDTWLQGNANCPLCRAAITGHCMLPLDQLQRPEEVVIQVATGTEERDIDTQPQQHETTFAVTESAGDATTVQQVSSGKINNAWRDVDISSNGDEWSAVKKDRDVLPLRRSSSMGSLSGLNGI >Et_3A_025370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29206716:29211132:-1 gene:Et_3A_025370 transcript:Et_3A_025370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISIKKASLAYLISPSQHQGWDPTAQTIKISVLRQSSSCFQVKLSRINTHSDEQDKGAVAVDSDKIFRRGPTTFQRTVPCTINRIRAKPSLLIPPPAT >Et_2A_016972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:303730:306645:1 gene:Et_2A_016972 transcript:Et_2A_016972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAPPRLLPLHPTPRRTSCAPRAISPRHHGGRTAPELSGPTPRVVVVTSGKGGVGKTTTTANLAASLARLSLPVVAVDADAGLRNLDLLLGLENRVHLTAADVLAGDCRLDQALVRHRALQDLQLLCLSKPRSKLPLAFGSKTLTWVADALRRSANPPAFILIDCPAGVDAGFVTAIAPAEEAVLVTTPDITALRDADRVAGLLECDGIKEIKIIVNRVRPDLVRGEDMMSALDVQEMLGLPLLGVVPEDAEVIRSTNRGVPLVLNDPPTPAGLALEQATWRLVERDAMTAVMVEEQERPKKKGGFFSLFSRFSTRIETLHDKTPREGPDSQET >Et_9B_063969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7534155:7534818:-1 gene:Et_9B_063969 transcript:Et_9B_063969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFESPRQVVEHVLKMKIELQIKTITLMWAWWDERNKIRGERRRTAACLAQNIACFAAELGTHMTKEKRKLLACFQAIKSASELGISFIQVETDAMMVRQALCSDVYDLAPEGGIAKEIKLMLRSDIVNVSIHHKSRNCNTVAHALVALGCSSVLRKLIRCWTAYLIVLV >Et_10A_000855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18246970:18259547:-1 gene:Et_10A_000855 transcript:Et_10A_000855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNERENANEHPVETIVLLPSEYECFNIDGAAQGYPYIIAAQKDVAQRSTIFSLDIKTLKVERVCLTNGIPEHLLLHRPNPIPFAGSPRSISPAAGGSPAMEPILAATEARALPSLTDHLLEEILVRIRAPADLARATAACKTFHRLISHSNFLRYCRSLHQPLLLGFVGPGSAHSFLPAEAPAPHPNAPAVRAFAGTADFSFNHLPERGKSGWPRCDARDGRVLVICTDYERDLALPELAVCDPLTREYTLLPPIPEDLLASTLVQVQDDNIDFFDAFFDPSGGYQEARYRVMCWTRSSEMAAVFVYSSVTGSWTHGTAVSWNALGLNVQPDDMPCIGCWPSYAYECFYWHARVSSTKLIKLDINTMAFTTVSLPADHEDREVVVVEAGEGRIGMFSMDHENLQSLRYSILQIESENDDETAVETTIPLPCEYDIYFLHGAEQGYIFLVGWRRDRTRRSAFFSLEIKTLKIERLCLSNWIPDHLIPYFATLSTVVFPFPSVPTKVTKT >Et_4A_035475.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2610318:2610590:-1 gene:Et_4A_035475 transcript:Et_4A_035475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKAAERVQSNAEAPAMTELTKDGMEGVTRIIPCDDAMLKRLYWANVKSKVRSFGEYAILRTRQGISMFGEPKLESLVKGADAKDVYQQ >Et_2B_020719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2285934:2288141:-1 gene:Et_2B_020719 transcript:Et_2B_020719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRPTARTASTCTPQTARGTHTFKIAGYSLHKDLAGGKCIRSAAFAVGGYTWCIRYYPNGNGSGKFTDRVQAYLELVTRGAEVRALFRFRMVDHATGQSNPMNPDATPTITFVNKDCFKPNHAMGTFTSMTKKEVEASSYLCDDTLIIECDVTVIVKEPRVEKSSRVQVPPSELANNLRKLMEEKRGSDVTFLIKGKVISAHKVILAMRSPVFSAEFYGPKAAYTEPYITVEDMDPDVFRALLHFVYTDSVPAAMEVKKTETVKRLLAAADRYGVERLKLICEDILCQRIEAGNVATVLALAEQHDCSCLRDACVEFVASTNKLDVMVASQGYKQLRKGSPNMVLDMLEKVTKFSEFTDRVQACLELTTKGAEVRALFRFRMVDHATGQSNPIHPVATPVDLQKLQPPKR >Et_1A_005773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13938964:13941570:1 gene:Et_1A_005773 transcript:Et_1A_005773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWVFGYGSLIWNPGFDFDDKILGFIKGYKRTFNLACIDHRGTPEHPARTCTLETDEEAICWGIAYCVKGGPEKERIAMQYLKRRECEYDQKISVDFYKEGDSLKPAVTGVLVFVSTPDPVGNKYYLGPAPLKDMARQIATANGPNGYNRDYLFSMEKALSNICHEDDSIIELANEVRKVLNRAKETKITGTDASLKSHVPLVSLSALPEGTVVDSR >Et_10B_002820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1212380:1216273:1 gene:Et_10B_002820 transcript:Et_10B_002820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGEQQHSVAEEVVSVEMPAPEGWTKKFTPQRGGRSEIVFVSPTGEEIKNKRQLSQYLKAHPGGPASSEFDWGTGDTPRRSARISEKVKVFDSPEGEKIPKRSRNSTGRKGKQEKKEAPETEEAKDAETGKDAKEASTQDEEMKPAEEVEAPAEGTEKSADKADAPAPASAEDKKETEKPADSDVAPPTPSEEKIEVEEKKDEDKPVESESAPPASNPTENSVPARTESVAVASAASETKPDAAAPAPETKSGAAQVENPADKGASQDSQANAMNNGQLPPGSPAVKCT >Et_2B_020145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17251679:17254700:-1 gene:Et_2B_020145 transcript:Et_2B_020145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVEKPRQVVRKFLARPQYEGVGAVVRRSIGRFELRYFDPFLVLDEFSVAAPAGFPDHPHRGFETVTYMLEGAVTHEDFEGHRGTIKAGDVQWMTAGRGIVHSEMPAGPATSKGLQLWVNLSSGNKMIEPGYQEFQSKDIACTSADGVTVRVIAGNSMGVRSPVCTRTPTMYLDFTVRPRAAVVRQPVCAAWNAFAYVLEGEGVFGAEKGAPVGPHHLLLLGPGDGVEVWNKSADKPLRFLLIAGEPIGEPVAQLGPFVMNTEEEIDMTVNDLEGYVNGFEKARHWKSQAMMALGVEFPQPKALLDVHVTRPDQPRDSVKASRPTDQ >Et_8A_057243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22722319:22722743:-1 gene:Et_8A_057243 transcript:Et_8A_057243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCRSAAAAMRSAALRSRSPVASPFQAVRSPIAPPRIRRSFVAAAPASVESLIPLHSAVAGARLQSCIAVDSACWSSLSQGDPFASFSLYLCYAILCGNL >Et_4B_039330.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1044564:1045253:1 gene:Et_4B_039330 transcript:Et_4B_039330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLPLPLVHNERLWARPWRWAKTAFFIVAMLASLLLVCAPPLLVVLLDLLLPPALLSNFLRAQALAAQSPASLPFASFSLLDQARAFRFASSLVDLPAVSAARSLLILCAYTAFGGGAAYMWVAIACSVASLGYVLAKAVAVFAVAGAGAGLQLHGKGQLVAVEAMFLMSLALAAAHIAMAYRASCRERRRLLVYRIDVEAVSPYSFLLNMLIGVQILTLHKCLRHK >Et_7B_055799.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:505653:505883:1 gene:Et_7B_055799 transcript:Et_7B_055799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDFASTNVEPRVQTKQQKSLRNCPSDRNCFTGRLYVQSVLVTKLILSMRSMIKEEIAAAGDDATQPPAVITPYK >Et_1A_008410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7481918:7482875:1 gene:Et_1A_008410 transcript:Et_1A_008410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPASAALRGAPQWLRGLVSEESFDACAAHPGERKNDKNHFCVDCAAALCRHCLPHDPAHDVFQIWKYASCFVVRVDDLKLFDCAGIQSHTVSDHEVVFLKERTARKRPAAAENPCAACARPLPSGDDYCSLFCKVKHLGESEHGLRRAIRARRQATAASGGGEDDAAEPSCGGSLRKRGRKQLEPARAPFC >Et_10B_004391.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:5780651:5782411:1 gene:Et_10B_004391 transcript:Et_10B_004391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGLDNFSNLNSVLNSLPDNKGSRIIINTRLEGKEAAYADPKVSPLRMNYLGEKESKDLFCHKVFGRTNQFDHEVSGGKALITIKETEKIERVYEDILEITHGLPLAIVVLAGLFGTKNFTEWKEVLKQLKTTEKSKRVKRILALCFDDLPSWLKSCFLYFAGMPENLIYNARHIVHLWVAEGFLKPKKGKTMEDIGQSYLKELISRGMINLVKKDPSGGISFVAIHDRLHAFAQLEAHEESFLEVHDSADLLTPNSVRRLCLHNYMQSHVPMDTSLPKLRSILCDFAEERSGNSVKNKGHHNGLRYHGLQFLPRSKFLRVIDLRGMLIKKVPYAIGNMVHIRYLGFRSQSLEEFPSSIGRLINLQTLDIKRSKVKNVTHAFWEIPTLRHVMAKMLNLPKSVGVLSNIQTLTGLVCSGQWENKISPLHHMIHLRHLEISGLASGHWEALADAFKKLESLVYLHLDTNPKDDVMIPFKLLTTFTLQRLQILKLYGRINMSEADIEEKYTLPNLTTLVLKSSMVNQTFMDRIGELPSLKELVLSEDSYDGSELLFSDSGFNKVESLVMADLKDLVKWTIRPMSIPKV >Et_10A_001759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7805241:7805974:-1 gene:Et_10A_001759 transcript:Et_10A_001759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTITVANLASQERCDTWKRKGGHYRLLENYLGSMFIARPPCNLGAEDVKKERQEEDAQNFSRKKEDAGIAATIQKWENHLEAWVKINVDAAFVVNTGNASSGVVIKDRDNFVTLFIHSLEVEAEGVKLAAEWVHKPAVTEPDCLELVDSRQSSTESRSSWSQVAVRAIKGSCKVLPGFKINKIKREVNGVAHELAQLAFLNNKCAVCRFRSPRSVSGLVCLEFGVSVCKL >Et_3B_030586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5103168:5110054:1 gene:Et_3B_030586 transcript:Et_3B_030586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKLKKLSGKDAREFFNQVLEEQPLLPFLIPLGLFAWFVERWVVPFSNWVPLAAAVWATIQYGRFKRRTAVEDLNKRWKHLILNTTPTTPIEPCEWLNKLLSEVWPNYMEPKLSRKFQTTVERRLKNRKPKLIDKIELQEFSLGSCPPILGDQGMRWITSGDQQVMRLGFDWNSHEMSVMFLAKLAKPLIGTCRIVINSLHIKGDLLLIPILDGEAILYSFESTPEVSIGVAFGSGGSQTIPGMELPGVSTWLVKLLTETIAKTMVEPRRLCFSLPSVDLRKRAVGGVLSVTVVSASNIGRRSTSNELGNHRSSSGKATSGIADNALSQKLVEVEVGNLMRKTSTSKGPNPTWNSTFNMVLHGETGVVKFLLYELDSDGVKFNYLTSCEIKVKYVLDGSTIFWAIGHNSGVVAKHTEQCGQEVGMVVPFEDIKGELTVSLVLKEWQFSDGSVTSSNSLSDGLQSPFDGLPKLQSTTGRKLRVRVVEGRALTANSKSGKCDPYVKLQYGKALYRTKTLSHTVRPVWNDKFEFDEIAGGEYLKIKCYNADIFGDESIGSARVNLEGLLDGGSRDVWVPLEKVNSGEIRLEIEPIKNDENSGLKSSSCKIEAGWIEIVIIEARDLVAADLRGTSDPYVRVQYGNKKKRTKVVYKTLTPHWNQTFEFQETGEPLILHVKDHNAVLPTASIGHCTVEYSMLSPNQCAEKWIPLQGVKSGEIHVKIMRREPGMVKRTALETDASTHVKGHKISTQMRDSLKKFSGLIEEGGDPEALSLAVTEMEGIQGEQEVYIQQLEREKAALLRKIHELGSEIIRTSSGPPRTPY >Et_1B_012107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2974722:2975501:-1 gene:Et_1B_012107 transcript:Et_1B_012107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMASKRVPLVRALEKLLAASSAPGAGSVVRPVAVAGGLRGYNTGAPLRRYEGGESDEDSVREYESQRRGRDYAVPSLFSDVFRDPFGATQSLGRLLSLMDDVAAAPGRAAPMRRAWNAKEDEEALHLRVDMPGLGKEHVKVWAEQNSLVIKGEGEKEAGEDEAAAPPRYSGRIELAPEVYKMDKIKAEMKNGVLKVTVPKVKEEQRKDVFQVNVE >Et_3B_030388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3458460:3458697:1 gene:Et_3B_030388 transcript:Et_3B_030388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KGTAPNLTVQGAGNGTEESRTEHAGRTEKKRARKILPVERWTERNQQKDKRARGVGRSILRREDREEEIVGANR >Et_8B_060582.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:2806309:2806797:1 gene:Et_8B_060582 transcript:Et_8B_060582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLPMREGWLRPLSLFRGCWLTPQGHKNAMLVQAQFQPRADDIILATYPKCGTTWLKALAFTPANRSRHPVTSDKHPLLVQHPQDLVPFLELPDRTLHPVAELEAFPSPRLLCTHLTHVLLPSGMSSLLGSRHHLAERDSFGLPSARHQMEQMKKLGLVTR >Et_8A_056939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19259170:19263168:1 gene:Et_8A_056939 transcript:Et_8A_056939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACRGFFEWVLKLLNLVVMAVGLAMVGYGAYLLVIHEMVRLGRPLLLLVDLSLSDGTSDRLSSAWFIFTFIGVGVALFITSIFGCAGARSGCCLSIYSCLIILFILVELAAGGFIFFNHRWKEVIPDDRTGNFDMMYSFLKENWSIVKWVALGAVIFEALLFTVAIIVQSANQADYDSDDEYIGQPSGQTGLRQPLVNQQQAGTDPRVPNLDYRPIRNDAWSQRLREKYGVDNFDPNRFQQATISPAEQRNRCTFL >Et_3A_024667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23112398:23114401:1 gene:Et_3A_024667 transcript:Et_3A_024667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPFEAAVEEQDSPPESPSPPEEDAAAAGPADAEDYDGGAPHAVPPPQQPSSSTAPPVSLSSAAARAKRQAQKEQEDEDDEEDHMEVDLEKLPSSTGDPDKLAKMNAILSQFTEDQMNRYESFRRSGFQKSNMKRILASITGSQKISIPTTIVVSGIAKMFVGELIETGKFRLVVMSERKDSGPIRPCHIREAYRRLKLEGKIPRRSVPRLFR >Et_1A_008710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10129895:10130911:1 gene:Et_1A_008710 transcript:Et_1A_008710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEVPVIDLRLLAGSRPEEESARLLDAAGRLGCFRVTGHGVPPALQADMKAAARALHELPADTKRRNADVTPGSGYMAPTARNPLFESLGAYDAAARADVDAFCALLDAPPSIRETIASYTGKMHELVLDVAAKLAASLGVAEEDGGVPSFRDRPCQFRVNRYNYTLETVGSPGVQAHTDSGFATVLQEDDSVGGLEVADKDTGEFAPVDAPVPGSLLVNIGDIATVPPPLIEFRSGVVIKQAWSNGALHNARHQVRCVAAVPRFTIAMFLLGPKDGEEVRAPEALVNERRPRKFRAFSYDEYRRLRRSNPGSVCEALAPFQV >Et_10A_000167.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:13921288:13921416:1 gene:Et_10A_000167 transcript:Et_10A_000167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIATSEKEWPPGRFERNNTKITQTGPEQLRLARLLRNSHF >Et_7A_050623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7649891:7651281:-1 gene:Et_7A_050623 transcript:Et_7A_050623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASARLYASSGLSAVSHRLMKQLLVAKTSNPIDGASRARNLVFSPICIHSALSLMVAGSRGSTLRELLRVFGARSREELLGKSMDMVDGAFAGDPYPDGPEIDNLSHLWHDATRKMKPDCDLTAHMLWCFTSALDFRTMGEEARKFINTQLAGRIGGSMLDAGSVDKETRLLHTSYFFRGAWDMPFFTWSRTDYDFHRLDGSVVDAQFMHSHEDQFIATHDGFKVLKIPYTPHGQAPPPFARYSMCFFLPDDRDGLWSLADKVMSGGPGFLHERLLPEKRVKVGKFRVPMFKLFSSTSVKRVLQDLGVKALFTREADMLEMLEDDGSGEPCFVNDMVHKTEVEVNADSAQPSGSKVCLIDYAGSEPSSGGADFIIDHPFMFFVVEEDSGAIILAGRVTDPSMLKLTLDE >Et_3B_029237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23287622:23291260:1 gene:Et_3B_029237 transcript:Et_3B_029237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTTACVSGRFPKNPSTADVIPGGQLLLPHTQTFPPLPMAAAAARRLLSSRLHAVTTRQLHASSSQGAAREVAGSFIHPAAIVHPDATIGQGVSIGPFCTVGPSARIGDACQLHAGSHVVGDTELGDGSVVLTGAILGADIPGRTIIGENNVIGHYAVVGVKCQDLKYKTGDECFLHIGCNNEIREYCSIHRSSKSCDCTVIGDNNLMMGSCHIAHDCKIGNNNIFANNTLFAGHDCTHTAGAVVVHQFCHIGSFSFLGGGSVVRRLRKAYQRVFMPSIANGSSLEDRLVELENENKLSESPAVSYMVESIRMSFTQGRRGICKFRSWNSS >Et_10B_003810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6324231:6329634:-1 gene:Et_10B_003810 transcript:Et_10B_003810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMAGHVKMASMLKLVMMENNGTTDEVINESSAAHILQKQLFDAHEPNFLDENDMHIFGSKPMTDPLDLVCCSTCKKPVKASQYAVHADKCSSGKVNTNDSMVEDHSGPKKPPKKGRKIKLANQKIHIKVKAKSQSECKDSANGFILENEHYFNVPIQFMPDAPFPLATKMYHSQGNCRLRLELGQLYRESQVEHLGSYTTPNSSQENGLMVSHFSSCANPALLPVSQKNLVHQTKLPASPSESCSGMPQQLTASRSNYSKQAKAERVDTQASTFKNEISRTRCNRAAPTNSKSKGSKKAQQQPNGQKPHDRK >Et_4A_033683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25798857:25803656:-1 gene:Et_4A_033683 transcript:Et_4A_033683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAISRLGARLRLHPDPHAHRLSPPSPPLRALSTRRGKGSSTTADSDDEGGLFMLAHDPERPPRLLVVQPRLRPGGLLDSKLAEALNLANSLEEPREGFYQAEFGSKGAPPHLVVQNPASRGRSHADTYFGPGTVDNVKCYLRASESEVAWVKPVLDRVGLIIEIFNAHAETKEAKLQAELAALMYMKTRLVRVRGPGGKLTFGPSGEAEVVSARGRGSGGRGFIGGAGETELQLQRRRIQERRVKLLGQIEEVRRTRAIQRSNRKRHGGSFGQELVTVAVVGYTNAGKSTLVSALSETDLYRDDRLFATVDPRLRSVILPSGRKALLSDTVGFISDLPVQLVEAFHATLEEVVEADMLVHVLDSSAPDLEEHRSTVLQIDLVDEEAETDGVEDEIFLTEGEEEEDIFSEDDVPSEQSSFDTLDDGANSEYLSEERSSNSDEVSFKECSAEPSEMKTMNLESSPKESFGELYSPDMNGCTSKEQVLHCHVKTSAVTWTGLQELLSLIDEKLTEQQTIVQRSYGPFDRKWRPCSMDGEKAAEQ >Et_1A_007943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39636077:39636523:-1 gene:Et_1A_007943 transcript:Et_1A_007943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGLLESLTQSTGQKVNFSKSCMVPLNGIITSWQRRVDEVPLMSQTERRLSATSKCWQIGIS >Et_8A_057833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7991070:7993301:1 gene:Et_8A_057833 transcript:Et_8A_057833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRVHADSPQTAVPTRAVEPGRTRRIAVAAPPLPPAALQRRARVVLYYRSADAAAPALWEEAVWAKESLSEAVADHPEMAGRLRRGAADGSSWEVKLNDAGVRFVSATAEAAVDEFLQADERRRARWEAALAPWADVNADDPDMCALFYLQLTRFQGDGGYAVGVSCSLLLCDPLSLARFLLSWARTHAEMKANNKIAANPLMQYARYFQRPDAMAVRALAKSISLDDTPVAGAEDDDPHTTAAETTVLFRARAGGAAKDHRALAGACVAQASKKLGGVPPPRFSVVVGVGREGMSIETACTAGYGQPGSCGGDGGQFDLEVAQWSELGLEELVLRDSKPVHVSYSIVTGGGDEGLAVVMPDGADSDFLVTATITTK >Et_1B_014134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3258229:3259785:-1 gene:Et_1B_014134 transcript:Et_1B_014134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVVSLPRVPSAGCFEDVFKQYSSMNGSTLHQMPSLRMAGGSDNSKPVFLEPVFRFDTVRRDPSVSGLAGSVTTTMRQGRRCLAPVHVATRVLRN >Et_10B_003292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18527095:18527624:-1 gene:Et_10B_003292 transcript:Et_10B_003292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPNHQPPPPSPSSRGGAGGGAPELVIPVSSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGTRGCWHTRCGAAVDLALDTLAAARSFGVEQLALLVQLIKLSPM >Et_5B_044782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5698549:5704760:-1 gene:Et_5B_044782 transcript:Et_5B_044782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSGATAARPDDEDVDRKPAINKSGLHLALNAPDVVRRTIVVRTVRPTAPAPSRGEGGSTEAAVIWHGVRLALKVQDTKGRTVKCTVRKTEKLQCLMDAYYASVPDVAYGTGRFLYDGGRLGGWRSWRWTTATRSISSPSSWAADGVFMLLQCPSAGRPEQAPSPSSFSPVSSQLYSYSLRLSSSPNPHPQNPRNRALAAAISSAAASPSSSSDCRLGGWGSGGGRVGSSGGFLSRVFSAGAARAQEGRPPPGADWDAHGLAAGGSPVPLSRLDGAKRYKVSELEFVDRRAGPGPLAAEDIPLFGDTAALLSGGVYTRSQLLKELDALASSGAFEQVTIQGKPKPDGTLGLTVSYVEPVWTVADRLRCVNAGSMPRADDGFYKDMTERERMECLRRQERDHLQQVRGAKPCILPEPVQEELLRMVKRQNGKLTNGLLWRISRRVEKWYQDEGFACASVVSYGILGPDEIVCEVMEGDITKVEYQFLDKLGNIVEVNTAIPVIDRELPQQLRPGHIYNSGAGNQAFKNIASLGLFSSVQLNSCPDGTEGVVVQIKLQEREPKSSEVTAGWSIVPGCQGRPTLASMQPGGSVTIEHRNISGLNRSLVGSIRSDKLLNPQDDLSFKLEYTHPYLDGVEDHNRNRTFKSSCFNSRKLSPVFVAGPNMEEAPPVWIERIGFKANVTESFTSQSKFTYGFVVEQITARDENNNICTHGSRALPSGFLGIFNLIMSNCLCLHGPPTTFSGTGVDRMAFLQANITRDNTEFVNGATIGDRCVFQWDQGLGIGSKNPFFNRHQISVTKFINLNKQEKDAGKPPPAVLALHGRYAGCVGDLPSYDVYPIGGPHSVRGYGMGELGASRNLLEVATEVRIPVTVKNTHTQLYAFAEHGTDLGSSKDVEGNPTEFFRRAGHGSSCGVGIKLGMIRAEYAVDQNAGRGAFFDEEDRKPVIKPGVHLTLKVQDTAGRALVRRTEKLQGLMDAYYATVPDVAYGTGRFLYDGGRVGGWQTPAELEMEDARGRDRLLHRAHGWRNR >Et_7B_053925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13379166:13380789:-1 gene:Et_7B_053925 transcript:Et_7B_053925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELINANPVVYEKRERRILQVTTDENVAEAIDKQEVFDILFDIKDHEHPYSLEELNFVTEDSVEIYDGLNHVMYVHISLNLSAAIRHMFTFTPTVEHCSMATVIGLCIRVKLLRSLPRYYKVDVRVAPGSHASEADVNKQLNDKERVAAALENADSDLMDKIWECLSPTFA >Et_4B_037813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23589410:23591988:-1 gene:Et_4B_037813 transcript:Et_4B_037813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDPMGLPLPEDVLADVLRRLPPRSLAASRCVCKAWRAVVDGRRLLRADLLPHSVRGIFLMYHDLGFPAFLSSPSTQPVMIFSKLDLYRLRPEPYLSNFTTVLDHRNGLLLYQDRRGMHVANPATQRRAQLPTPPRFGEPHLSPEGLLLFDPTESPHYEVLLVPVDWLTEEMQHETTDRSKEWPASTWVLCVFSSRTGQWEERSFVREGEAVRMAYDEYIDPLWQASSAYWRGALFVQCNGGSDVLRISLSNDKYRVIELPADMREAEYNRPYLGRSKHGVCCALFDGWFSCKFRALMNRVIEWVLKHHVDIQQNLSRVMSCCKQIEGPWILEDGNDEKAYGAIVNNNALVKSEFEWESENDNIVDGEHEVCQSFVGHFTFLGFHPYKDIVFLDISMDRAVACHLNTCKIQDLGKVCPGNYGGPVASIISSFLYTPCLMEEFPESKCQAHGMGLQSLIQSHSQDL >Et_5A_041956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4597727:4601981:-1 gene:Et_5A_041956 transcript:Et_5A_041956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQYNPRTVEEVFRDFKGRRAGIIRALTTDAEDFFQQCDPEKENLCLYGFPNENWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSMVAVHSDAWLLSVAFYFGARFGFDKNDRKRLFGMINELPTVFEVFSGKSKIKTPSSNNHSSNKSKSSNKTKSSEPKVKQPKLPPQPPQLKEENPAPAEEEGPADVEDGGGGASEGEHGETLCGSCGENYGPDEFWICCDICEKWFHGKCVKITAAKAEHIKQYKCPSCTGGGGGSNSSSKRVRPS >Et_10A_000935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19261343:19265062:-1 gene:Et_10A_000935 transcript:Et_10A_000935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLRHYCVVGSHHPFSWRSILQTCGRKENQIRSWSAQCLGNYDGASVSEEINAPKKLTLPDNSLLDARILYCTSPALGHHKDAHPESNKRVTAIVDALDKLELSPKHCGSQVLEIQNFEPASVDDIARVHSRSYITGLEKAMSRASDEGLILIEGTGPTYATETTFKESLLSAGAGITLVDSVVAASKLGPNPPLGFALVRPPGHHAVPEGPMGFCVFGNIAVAARYAQHQHGLKRVMIIDFDVHHGNGTCDAFYDDPDIFFLSTHQLGSYPGTGKIHQVGQGTGEGTTLNLPLPGGAGDYAMRSAFDEVIAPSAQRFKPDIILVSAGYDAHMLDPLAGLQFTTGTFYMLSSGIKQLAKELCGGRCIFFLEGGYNLQTLSSSVADTFRAFLDEPSLAAQFDDPAMLFEEPTRKIKEAIENAKSIHSL >Et_8A_058124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20943864:20947276:-1 gene:Et_8A_058124 transcript:Et_8A_058124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARCPSPVCRSLEESIPRQALWRSNPAADFTSAAPLALQVQKFRSGIATAHLYFFEITRGKSSFALGIPEEKL >Et_3B_029567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26015403:26016802:1 gene:Et_3B_029567 transcript:Et_3B_029567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLRCLFLACLVAALSASTASAFVFKAGGTGEWRVPAASSTSGNASAYNAWAERNRFRVGDAIAFTYQAGNDSVLLVDKKAYDGCDTSSPIDTFSDGNTVFTFTRSGPYYFISGNKDNCNRNEKLIVVVMAERSTVGALAPSPNSPFSPYSPPPPPFGIEISPAANPPPNAAAPKVAGVAGTLALAVGTLFYALV >Et_4A_033015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1775987:1780410:-1 gene:Et_4A_033015 transcript:Et_4A_033015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTRAVHGIRQATTGARSPRAPHTSGAPVHPLARGGHQSIGHARQRRLPKSWLRWLPSQHRPPQQQKRKPPKPHRTAPGPAEHTPPDGVATAVSGSAVDAAPAVSAVSIAPNRPFFSLPPVAQLLSPRACPPHAPSARRVGPPRGTETLGSLEIGASPLRPMTSCGCLVLEKVEDHGVEVVAAAARGRAKAAPGDGCGSCAGKWRSRSEAIFPIYVMGSARASTVAAARSIVDSAGDPIWEAVKAEAKSEAEKEPILSSFLYASVLSHDCLEQALSFVLANRLEDPTLLATQLIDIFNDVMMNNKDICRSIRLDAQAFKDRDPACAQYSWALLYLKGYQSLESYRIAHVLWNQGRKVLALALQSRISEVFAVDIHPAAKIGEGILLDHGTGLVIGETAVVGNWVSLMQGVTLGGTGKEHGDRHPKIGQGALVGAGATILGNINVGEGAMIAAGSLVLKDVPPHSMAVGNPAKVVGYTEKEDPSLTMKHDARRDYFEHVAIRFSDETTNGECSFFSVEQTSIIDPFLHVAHRTCSHVL >Et_3B_029135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22370959:22371753:1 gene:Et_3B_029135 transcript:Et_3B_029135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGLKPVAGLLLVLNFCMYVIVAAVGGWAINHAINNGFFIDAGLALPAHFSPIYFPIGNAATGFFVIFAVIASVVGAAAALAGFHHVRAWSPESLPAAASSGFMAWTLTLLAMGLAIKEIELHGRNARLICMESFTIILAVTQLFYLLAIHGGR >Et_4A_035858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7391951:7396275:-1 gene:Et_4A_035858 transcript:Et_4A_035858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWMHDFCWGEVPDDNRDSMLLEQKAHFTDSDYGRSPIDGWLYHGNLLLDSQSTGSVLLLRPLLQAPRPNSFKSPNFKVLPHVVGAEGPFVLFRVRFYGSTKDEYFMYTAARDSKPPSLEWVAPPSDDNRDSLSRVREFGVSCDYWGHYFVVALCDAPSDYNLRIYSSKTKLWITRTLLNPCPEVKKLVPEKVIEIRGSSLGWVDFSCGLLMCHWRQDFPCLQFIPLPEPLPENRDKLRKACDPGVSARWFRDLICVNGVLKFVEMEHRFKGTEKLIDPSDMDVLCDSDLIMSLRHRDMDEKHKSRDGWRAFTWTRKVWSGCWSKRHTFDAADISVNESFYPSLLSGLRGKSVGKFTFKDMYSAFPTLSLALNSQLVSRPQSGGALQMIQTVSANVPISCKPRSKPRPRRQPKDQYDLKVKTGWVLRRRSGPKSLTYQNGNALVRVAP >Et_7A_052379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7424890:7430882:1 gene:Et_7A_052379 transcript:Et_7A_052379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSSMWRTTGWSGPSIRPLAIIATMAYPICPARPRSFSGITLFPVLISVTYTTLQIKLVCFNTGFSFVNSEFKHTRGTGDEDIDRLLRLIPEQPRAPFYQPLEQGIRQHELPNNSKGYSMKPKGSSSATVRETRSKEKMVKLAFGSVGDSFSAASIKAYVAEFIATLLFVFAGVGSAIAYGQLTKGAALDPAGLVAIAIAHAFALFVGVSMAANVSGGHLNPAVTFGLAVGGHITILTGIFYWVAQLLGASVACLLLQFVTHGQAIPTHGVSGISEIEGVVMEIVITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLIGGGLAGLVYGDVFIASYQPAGHEDSDGHGVQQHPRPHEAAPSLRSYLAEFISTFLFVFTAVGSAISAWMLTPDDATPDASALVATAVAQAMGLYAAVFIAADVSGGHVNPAVTFAFAIGGHIAVPNAIFYWASQMLGSTFACLVLHYLSAGQARNLTVSISPCRVPFHSSVTVTTTLHKYTLYAERWCMYNAVPITRIAVRMTGFGASILEGMMTFVLVYAVHVAGDPRRAAEGKRLAAATAPVAGACVLAAGSLTGASLNPARSFGAAVVSGQYQNQAVYWVGPMIGGAVAARRRRSSSSTALCRRWSCEEVKVLHRSVSFKFLHVGMFSTKLFSCTKYRAVVTERIIRDNAVYR >Et_4B_039458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1740393:1742588:1 gene:Et_4B_039458 transcript:Et_4B_039458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTRETTPCSLIRNSETVSTPGSTTKSKTSSSMTSRRRMEASVCRFIPSSLEMEEFFAAAEQQEQHAFRENY >Et_10A_000122.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:7262064:7262361:1 gene:Et_10A_000122 transcript:Et_10A_000122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYNYKGAKNLGRQWNERNRKVFTNNSLTPIDVIKIIKDDIALRARACGQPQLIFHESDHRSIMYSV >Et_6B_049945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19055441:19056571:-1 gene:Et_6B_049945 transcript:Et_6B_049945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISPVAREFSYNELRMATGNFSESNKIGAGSFGEVFRGVLCEQQVAVKKLTHLSEITRKGYITEVMILGQVNHRNLVKLVGWGDGGSNDKLLLV >Et_1A_008371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:739674:752297:-1 gene:Et_1A_008371 transcript:Et_1A_008371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAQASIAVGSQVWVEDPDVAWIDGEVIKVNGDTITVKCSNGKTVTAKASNVHAKDPEEAPCGVDDMTKLAYLHEPGVLQNLKSRYDMNEIYTYTGNILIAVNPFRRLPHLYDTQMMQQYKGADFGELSPHPFAIADVAYRLMLNEGVSQSILVSGESGAGKTESTKMIMRYLAYMGGKAASEGRTVEKQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGKISGAAVRTYLLERSRVCQISDPERNYHCFYMLCASSPEEREKYKLGDPRTFHYLNQSKCFEIEGLDESKEYRETRQAMDIIGISSEEQEAIFRVVAAILHLGNVEFAEGGDVDTSKPKDEKSLFHLRTAAELFMCDEKALEDSLCQRIIVTRDENIVKTLDPEAAKGSRDALAKTHVFKMEQEEYTKEEIDWSYIEFIDNQDILDLIEKKPGGIISLLDEACMLPRSTHETFANKLYQTFKNHKRFAKPKLSRSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLNASKCEFVSGLFPLLSEDTSKSSKFSSIGSRFKQQLQSLLETLSATEPHYIRCVKPNNLLKPAIFENQNVLQQLRCGGVMEAIRISCAGYPTRRTFIEFIDRFGVLAPDVLSRSSDEVSAVRKLLEKVDLQGYQIGKTKVFLRAGQMAELDARRNEVLGRSASMIQRKVCSFLAQKNFRALRRSALQIQTICRGELARRDFLNLRREAASLKIQTCYRMYTRRKAYKELSTSAVTIQSALRGMSARKELHFRRQTKAAIIIQSRCRQFLARLHYSRTKKAAIITQCAWRGKVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEEAKSQENAKLQAALQESQQQYKETKEMLVQEREAAKKVAEVAPVVKEVPVIDTELMNKLRDENDKLKKYQETSKISEERLKQAMDAESKVVDLNMAMLRLQEKISTMESEVKVQRQALLSTPVKSMSEHLSIPIAPKNLENGYHEVEEQKEPQSAPPAIKEYENGEPKSRKSYVDRQLENVDALIECVGKNLGYCAGKPVAAFTIYKCLLHWKSFEADKTSVFDRLIQLIGSAIENEEDNDNLAYWLSNTSSLLFLLEKSLKAAGAPGSVSRKKPPQPTSLFGRMAQGLRSASFANMHVEASDVVRQVEAKYPALLFKQQLTAYVEKIYGIVRDNIKRELSSLISLCIQAPRTMKASMLRVSGRLSGQSQSNHWQKIIEGLDKLLRILQDNHVPPVLAQKIFTQIFSYINVQLFNSLLLRRECCSFSNGEYVKSGLAELELWCAKATAEYAASSWDELKHIRQAVGFLVIFQKFRISYDEIVNDLCPVLSVQQLYRICTQYWDDKYNTQSVSSDVLSNMRVLMTEDSNNAESSSFLLDDNSSIPFSVDDITNSIHEKDFSDVKPAEELLENPAFQFLQD >Et_7A_052784.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1672676:1674010:-1 gene:Et_7A_052784 transcript:Et_7A_052784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVHSTKAVKPAYAGGVAPGTTADVVPLTVFDKANFDTYISVIYAFRPPAPATAVLEAGLARALAEYREWAGRLGADAGGNRAILLNDAGARFVEATADVTLDSVMPLKPTPEVLSLHPSGDVDGDGELMLIQVTRFVCGSMAVGFTTQHIVADGRATNNFFLAWSQATRGVALDPVPVHDRESFFKPRDPPLVEFEHRGVEFKSCEKKQHVDSNDGESHSSDDEVVIQKVHFSREFISKLKSLASSSAGAHRPYSTLQCVVAHLWRCMTTARGLDERQSTSVAIAVDGRARMSPQVPDGYTGNVVLWARPMAKAGDLVAKPLRHAVELINREVARINDRYFKSFIDFASSDAVEKERLVATADADEMVLGPSIEVDSWLRIPFYDLDFGGGRPFFFMPSYLPVEGLLILLPSFVGDGSVDAYVPLFSRDMDTFKNCCYTME >Et_4A_035075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9287306:9289010:1 gene:Et_4A_035075 transcript:Et_4A_035075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKDKGLEPSEFEDSVAQAFFDLENSNNELKSDLKDLYINGAVQMDVAGSRKAVVIHVPYRLRKAFRKIHVRLVRELEKKFSGKDVVVIATRRIVRPPKKGSAVQRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYRLDGAKIIKIFLDQKERNNTEYKLETFTAVYRKLCGKDVVFEYPMSESA >Et_7B_055254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8756043:8760111:-1 gene:Et_7B_055254 transcript:Et_7B_055254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSLCLSLEIFLALFINRFFVMVPVVLATAAPHNSRINLQPDVGLFIISSNFLIRTFRTLLLSSTMLAVPVTKKFSRHGGNGRLEYGVSAMQGYRENMEDAHVTLEDLDAASATSFFAVYDGHGGPAVAKFCARHLHTELRANEEFHSNLGNAVQTTFLRMDEMLRNREAGKELCKYGGGNGQWAKYNKALGNIIVVGNAGDSRCIISRDGQAMDLSTDHKPGLPAEEQRIENSGHHVTRLPERGGIPRVDNSIAISRSIGDLRYKDNADLPPQQQALTAFPDIRTEVLTGDTEFLFMACDGIWDCMSSQDVVNFVNAHASNVKPVAICERLLDHCLALPRGRDNMTALLVRFKRPGQPAIARSAQPPPSQPPIEASAAKSGTRSGQTSSSMGAGGSGSSIRRSKSL >Et_7A_051889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2682391:2686865:-1 gene:Et_7A_051889 transcript:Et_7A_051889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVGLALGIAVGVALIVGFARSENSRAARRRQLAATVASFSRMTIEDSRKLLPSDLYPSWVVFSSQQKLKWLNQELIKIWPFVDQAASELIKASVEPILEQYRPIILASLKFSKLTLGTVAPQFTGVSIIENNKESGIVMELEMNWDATASIILDVKTRLGVALPIQVKDIGFTGVFRLIFKPLVEELPCFGAVCFSLRKKKKLDFRLKVIGGEISAIPGISDALEDTIKNAIEDSITWPVRKVIPIIPGDYSDLELKPVGTLEVKLVQARDLTNKDLIGKSDPFAIVYVRPLPDKMKRSKTISNDLNPIWNEHFEFVIEDADTQSVSELIGCAQVSLKDLQPGKVKDVWLKLVKDLEIQRDRKDRGQVHLELLYCPFDMKEEAPNPFRQQFSMTSLERTMTSMENGSGGASFDRLSSRKKRDIIMRGVLSVTVISGEDLPAMDMNGKSDPYVILSLKKTKTKYKTRVVNESLNPVWNQTFDFVVEDGLHDMLMLEVYDHDTFSRDYMGRCILTLTKVLLEEDYMESFNLEGAKSGKLNLHLKWSPQPIMRDLREEDSPRFK >Et_7B_054775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4301490:4301839:-1 gene:Et_7B_054775 transcript:Et_7B_054775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYDESERAIAASVNDGERALLRHSLDYPCTRRLRLRRLLAYHRRYTHEEVYETMKNRTRLVFDVRELVKRVKTGQWRAASS >Et_4A_035773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5656513:5657696:1 gene:Et_4A_035773 transcript:Et_4A_035773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKAHGSRVLLAQQVVAEATIDEDDVCALHPDTMRNLSISDGDVVLLKSKRRRESRRCVAVRDAECPKHKLKINKAIRSDLRVGLSKPDVVFVYPCRRDARKHGGRSPAGGVTTGGFARGLAHFKACLKALKEDRLMCSVFAVYFLAVFCMLGYIYFSGTGANSGLLQLLASGTTSGTNSTYTW >Et_5A_040753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1196674:1197272:-1 gene:Et_5A_040753 transcript:Et_5A_040753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNILLLLVGVAVVSVFASGAQTLQPPRIQADVVVMGFVPCNNGTSPMRTGSAPGFPNALVQLQCTGGAAVAAAANATTDGKGWFRMALNTTATPSIVAGGCALVVATPLASCNAALPATGTLKSSLRLLVSMVFFPWGFSYVSPSLD >Et_1A_009555.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:887818:888303:-1 gene:Et_1A_009555 transcript:Et_1A_009555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFSAACSIRLHAAPAAYCRRRVPTACASTARFERRSAVLLLLSAAGAAAPVASPANAASIGLFGIRKKLERAEEAAAEAVRDVEEAAVEVAEVGGEAVKEAVEAAEKEARDVAGEGLQLVAGAELAGDGLVQAAVVAGAEALGVVVGLSVVNGILKPET >Et_10B_003437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19798652:19801308:-1 gene:Et_10B_003437 transcript:Et_10B_003437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICCSKAGAGELDDDDAFPWKHDDFFHEQLWTSAGVSLHTKQGWKGANQDAMTVCQDFAGQKGQIFCGVFDGHGPLGREVARHVRDTLPSKLSSYMKPKTEDLSSNSDLDSFDKSDSTSFSDSNDENQLLSTWKNIFVKTFEDVDQELRQHSGIDCICSGTTAVTVVRQGDNLIIANLGDSRAVLCTRDSKDRLSPVQLTTDLKPNLPSEAARILSCKGRVFAMDDEPDVPRLWLPDQDAPGLAMARAFGDLCLKDHGLICTPEVYYRKLSGKDEFLVLATDGIWDVLSNKEVVKIVSSVSDPSKAAKQLIDRAVRAWRRKFPTSMVDDCAAICLFLNRDSSEDSADAKAPAVSSSLTGSFRKVLSRREASSSEEEGTTAWRALQGVARVNSVVRLPRIGAVLSWRRRSASLDEDGQD >Et_3B_027399.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11823400:11823657:-1 gene:Et_3B_027399 transcript:Et_3B_027399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQAVTTSDYDTSPMCMLVAELKSLLASDFISGSLIARSRSCNSVAHALAALGCECSMEADHLIGSLPICIRELVDRDSATPLV >Et_5B_043030.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:15692181:15694513:1 gene:Et_5B_043030 transcript:Et_5B_043030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELLRILRSVKAPRHLLQIHAQLVTAGLAASPRLLPELVSAALSVLSSTRHAATALRAAGADASTVAHNTLIERLAGRRGGRVCSPEDALAAYAAMRAAGVPPNGFTFTFLLRACESLRRLPPCQCVHAQIVRCGFAPDVFVQNALLNVYYKCGERGDVAAARQVFDEMAERDVVSWNTIVGVYMSSGDAAGAMELFEEMPDRNVVSWNNVIAGFTKVGDMVSARAVFDRMPVKDAISWNLMISGYAARSDTDSARSLFDQMDGKDVVSWTAMVSAYAKIGDLDSAKLLFNQMPVKNLISWNAMITGYNHNSRYDEALRTFQQMMLEGRFVADEGTLVSVVSACAQLGSSEYCNWISSYISKSNTYVTVPLGNALIDMFAKCGDVERAWLIFDKMKARCIITWTSMISGFAFNGRFREALLIYNDMCREGIELDDTVFVAALAACAHGGLLQEGWSIFKQMVERYRIRPRMEHYGCIADLLGRAGKLQEAVLFIESMPLKPVVIIWVTLLSSCITHGDAELIEYVSKKVVEIEPFNSSYQVLVSNCSALEGRWGSVMDARRMMRDLGIEKVPGSSLIQVGNEVHEFLAKDTRHQKRKEIYETLDGLIALMRNAEHAPVVT >Et_1A_005847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14608332:14612665:-1 gene:Et_1A_005847 transcript:Et_1A_005847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPSSKYQPSPPTIQCFLEDALIHVTKLDRKKLCLVGAGRTDTGVHAWGQVAHFTTPFAYICLDSVHSAINGLLPRDIRVREISAARPEFHARTSTKSKIYHYKIYNDAIMDPFQNNYAYHSAYKLNPHAMREAAKHFVGIHDFTSFANAAHNDRVRSPVKKITRFDVTEMGAILQLEVEGTGFMYRQVRNMVALLLQVGKEALPPDIVSIIIAARDRKELAKVALSAPPHGLYLMSVKYDKEILEPPEGSPPISFGRTHQLMHEKQLVLMNEAKTEA >Et_10B_003275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18423900:18424503:1 gene:Et_10B_003275 transcript:Et_10B_003275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPKALSASVRKETTKSMQYDPNSISAVDPKQYCNRFRHFIYKAFTEDCYVFQNVQLLDESEKKG >Et_4A_032692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12671148:12672238:1 gene:Et_4A_032692 transcript:Et_4A_032692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFKLSVVVACVLALASACQGLQVGYYKKTCPSVEAIVRDEVKKFVYKDAGIGAGLIRMLFHDCFVQGCDGSVLLDPTPANPQPEKLSPPNNPSLRGFDVIDAAKDAVEKACPGVVSCADIVAFAARDAAYFLSRFGVKIDMPAGRLDGRVSSSAEALNFLPPPIFNVNQLISSFAVKGLDAEDMVVLSGAHTIGRSHCSSFVSDRLAVPSDINAGFANFLRRRCPANPSPANDPTNILAKKVLFTSDATLMTSPATAKMVQDNAFISGLWEAKFKKAFVKMAGIGVKTGSQGEIRKQCRLVN >Et_8B_059633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20012417:20014422:1 gene:Et_8B_059633 transcript:Et_8B_059633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQQKIRWGELEEDDGGDLDFLLPPRVVIGPDANGLKKVIEYRFDDEGNKVKVTTTTRVRKLGKKTLSRSAIERRSWPKFGDALKEDAGSRLTMVSTEEILLERPRAPASNSAGFRYNLNIHAFVLHLLTAIFVSGSKAEEPASSGDPLAAASKGGAVLMVCRTCGKKGDHWTSKCPYKDLAPQAETFSERPPTSDGPPAPGGATKGAYVPPTLRGGADRSGGDSMRRRNDENSVRVTNLSEDTREPDLLELFRAFGPVSRVYVAVDQKTGSSRGFGFVNFVHREDAEKAISKLNGYGYDNLILRVEWATPRPN >Et_7B_054658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3204901:3207778:1 gene:Et_7B_054658 transcript:Et_7B_054658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLHAVLSSTVPVVAAALLFQKGGTGNYLASTMRGRERERFQLGCRLLFKLLGIPMFIRELSSRSAAKVVFKTAANKQSDGSEVLMQTLVMKLFLLMKTEISLSWVCKTQPKMMLGLEHDDYISEDEAQETNDEACYESPEELNRDTSDDSDAESDDDSDDEGPEIGVVERPQLKEPPLQFEIYGSALKIGTLISVPDWFFFLVPDQFEQWSKRVYAIEEHALTLAKVYKAAQYVVTQSDEADQFQVIHRNDKLRKHWACGVFTVKRVTRKPEAYIMKRWPSLARYIVYRDHNSEDSESVADQHSQYELKRIEIYEIVARNLRLTMQQIDRLMEWRWNGGANSLVSNPCINIHYFSFRCGWFQTYGASGSSAYMSDSDIGSILAPDVNCPKGCPRANRFRSCLEGKTKRKKKAPRLRRLKPKIPAPTKKRSNKKRNLTEL >Et_9A_062123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19914360:19915870:-1 gene:Et_9A_062123 transcript:Et_9A_062123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMPTKTLSLTAPAAAAAAILVAVVVAFLTPPAVANEEVQALMAVRNSLDDPTGILASWVSNLVDPCTWVRIECNDDNRVTNILLGNLDITGRLAPELGKLEQLQYMEMAGNNLQGPIPPEFGDLTNLLSMDLYKNNLSGPIPSTIGNLKSLKFLRIDHNGFTGRIPEELNGLSNLVDVDFSNNDFCGPIPTSGVFQTIPSTSFDNNPRLRRPGMEGDGGDDSSC >Et_5B_044957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7746478:7749658:1 gene:Et_5B_044957 transcript:Et_5B_044957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGRYAAAVAALALLLLRPATAWKPSCDTTTSTYTANSTFQTNLNRLATELQVNASASPAGFANATVGVAPDQVNGLALCRGDTNASTCASCIQAAFQDAQQTCPLDKGATSIRDACNLQFAGRQLLDFLAPDQWSIPELTPEFEMVWGSVNASDAWFSAAVRGIFTAMINSAVAATNSTRKYFTTAEMAFNPKIYGLAQCVPLLTPDQCSGCLTSLQTANTEITAQHMDHRPLSNFGAGMWCMLRYSVLSPVYEGRAMLQLATPPEPLPAATLSPATPKTGAGRKGIAAGVSAGITGSVLLMLILSVFFYLRFRRRIKATKKDHRPKKIANPQCMVFDLMTLQEATEHFSEENKLGEGGFGTVYKGILSDGEEIAVKTLSGRTGHALDQLHNEIQVLAKLQHKNLVRLLGYCSHKNETFLVYEYIKNGSLDSFIFDKSTGNALHWEQQYNIILGIAKGILYLHEDSSTRIIHRDLKANNILLDDDMEPKIADFGLARLMGEGHTCTETARVVGTFGYMPPEYAYHGLVSPKVDIFSFGVLVLEIVMRRSNCGSDDYSGVNLLSDVWDHWRKGSISQTLDQSLNGYGRSQALRCIHVGLLCVQADPDHRPDISAIVFMLTRDGMELHLPEEPAFFFARGSPSASRSDGQSSLYDRSSLISEQGISVNGLTVTDPYPR >Et_2A_018475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29160661:29162456:1 gene:Et_2A_018475 transcript:Et_2A_018475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVADRGGARAWSRCISGISGKAWRVLDAVEGRDRLRVFRADMGEDGSFDDAVRGCVALFHVAASMELHVSPGQDNVEEHVRSSVLEPATRGTINVLQSCVRAGTVRRVVFTSSISTMMAAEAEGRRKTVVDESCVRALDDVWRTKPVGWIYILSKRLTEEAAFEFAREKGVHLVSLVIPTVAGPFLTPSVPTSIQLLLSPITGDPKLYSLLASVHSRFGGVPLSHVQDVCDAHVFLMETPRVGGRYLCAGGSHPMAQIAQLLTSSYPPFKPAERHAHDSSGRQFVGLSKDFDSSCTSVVSSKRLLDLGFKFEYDIEDIVQDSVAQCVEHGFLEHP >Et_6B_048876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14868012:14872638:-1 gene:Et_6B_048876 transcript:Et_6B_048876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAVHRDWSGLLEDLILVVMRALSIPDLLRAGAACIDARRLQFPITDSSPCQLYTCAPDADDIATVYSVSTGAAFKVRLPAPALRCRHVVGLGHGWVVTADEESKFQALNPLTGAQVDLPPVKGLDDVERFSAEHARLLRPGELQRYIFLAYLSCRPTAGSACAILLVHQGNGFLYFAHVGDGRWARIADLLPMDADFHSAVYSKHDGLFYVLSRFTWVCALDLSGRSPVVRGIFTGCDEAVTAGWPGGNRLPWGNIALAPWGDILQVWRSKQRFGMTPPAVDIPESHLDDILLCKFDFDAKKVVKMSHEDLRGHALFLGFNASMCLSTRDFPGLKPKHAYLTNGAWKKIFLNKFGPREVGIWSFETNTLESIGKVQAAIYRDWSGLPEDLILIVMRALAIPDLLRAGAVCATWRAACAEARRVQFPITDSSPCLLYTCAADSDADDTVTIYSVSTGAAFKVRLPPSAAPLRSRRVVGSGHGWVVTADDAPSFQALNPLTGAQVDLPPAAGLESLAIPHRLQRCRSPGTLRLTTYLAYLSCSPADGSACAVLLVHQAFGDLFFAHVGDDRWASIPLRATLARCNFHGAAHNKKDGNFYVISDTGHVYALDLNGPSSSRPPVVRAVSAGRDPAVARILPGTGLWCDVVLASWGDILQVWRRRMWCLPTAPVNDPDAYPDDVLLTKVDVDDRKPVKMSAADLRGHSLFLGFNASMCISTKEFPGLKPNCAYLTNGSWKQFLLTEFGSREVGIWNFETNALESIGKVQSDHPWLNWPSPIWITPSLS >Et_3B_030704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6675600:6677769:1 gene:Et_3B_030704 transcript:Et_3B_030704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATPNHEEESSPLLPADAAGEKLPPAPAPAPEASKYCADGVPVVMGEPVASRTVGGVPRESWDSGILSCLGRNDEFCSSDLEVCLLGSIAPCVLYGSNVERLAAGQGTFVTSCLPYTGLYMLGNSLFGWNCLAPWFSHPTRTAIRRRYNLEASSSGCLFEAFTRQCGCCHGLVEDEEKCEHLEVACDLATHYLCHPCALCQEGRELRRRVPHPGFNNGRSVFVMMPPVEQTMGRG >Et_2A_015892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18815209:18817313:-1 gene:Et_2A_015892 transcript:Et_2A_015892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQEPWIPAGSGLRPTKSAPCSPMKPAPASMLRTHSDSFHVAHKVPVGDTPYVRAKRVQLVDKDPEKAIALFWSAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQISLLKHKLQLIHQGVAFNGKRTKTARSQGRKFQVTLEQEATRLLGNLGWALMQKENYTEAEGAYRRALLIGQDNNKMCNLGICLLKQGRVLEAKDVLKQVRPAAVDGLRGADSHLKAYERAQEMLRDLEAKLVGRPRADQLDTSWLFDALLLGSSSSIWQPQPCIDHLLPPPVPAPAPRDHFADENAGVNKMTMLQANMLNVDAQPFYSLRMPPLATKPQNTLPHQQQQQQKPAPAPVHDPLGNLKRTRSGTAMDKAGTVAVEKEKITDENSGRRKLLSGEDRWPELPDHSAFDEALVAAVLAPVLDDEPGNDENAKQGKHPASCDSSPLVKEKIGKRLRIFQDITQTVNTF >Et_3B_030587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5116740:5120649:1 gene:Et_3B_030587 transcript:Et_3B_030587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQNVLVDKRETETLNRARQLVFGNESLAAVGAQMRDVNMGGATPPSILGGGFRGGVTGNGGGGGGIADPCLSFRPLHPRLSPPSPYHYLYTAPATLHPMSYPATYPGPPRQPTAGDYVIGHAVSAGDALLQPPAPPPHRGSFSCFGAPLTAPPAAAAAANVQADKVNCNCSFACGGHSRNNNCSETTFIKYLNIQKLIDLQNSSISTKKKSIETFADCKVEVLTLPKHACMARSPGQLRRVNSL >Et_2A_017414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34057278:34065214:-1 gene:Et_2A_017414 transcript:Et_2A_017414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISFHYEFIHSKMHNFLRAGRYILDLFPFFIQLFYFLLISMLGSALLMLLKPSNPDFSPRYVDMLFLSTSALTGSSLSPVKMEDLSSSHIFSLLMFVGGEVFVSFLGLMLRRNHQVKQVDSAGNGARSNMAVFSKNTGLLLLLAGQALAGSTLFPLFLRLLIWFLGRVTKLRGMELMNRNPEELHFAHLLPMMATVYLSSTLVNALFMVLNARYAGENSIDCSLISPAVLVLFIVMMYLPSSTTFSPPNGDDKTRDEKLVCNIIFVMVVCITERTSLRNDPLNFSILNMIFEVTSAYGNVGLSMGYSCSRLQQLHPESICQDRPYSFSG >Et_3B_031363.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26871237:26873150:1 gene:Et_3B_031363 transcript:Et_3B_031363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPSTSAAAIAGDASSTEPWSARVRSLTRLGQHREALALLRHGDPSPPPHALALPAAVISCAALSLSAGVAQIHALAAKRGLLPSADAYLISALLTSYSRLGRLPLAHQLLDEMPLASTPHTTLRTAFNSVISGCALHALPAACFALFRRMRAASVRFDTVTLLALVPAAPLRVVPQVHALAARAGLAAETAVANCLISTYARGGAAGAALARRVFDEMPPASRDLVSWNAVLSAHAQNGLAVDALGLYRRMRGPDGGGVEPDAVTLVGVLSSCAHLGARGVGLDVERHVRERLPGFRTNVQLCNALINFHARCGGLPQAQHLFDEMPRKSIVSWTALITGYGMHGHGDVAINLFERMVSEGIRPDNVAMVGLLSACSHAGLYDQGRKYFSEMESVYKLRPTLEHYTCMVDLLGRAGRLEEARELISSMPMPADGAVWGALLGACKIHKNVEIGEEAFEHIVKLEPGNAGYYVLMSNIYTDTGQLDGVARVRAMMRQRGLKKEPGCSYVEHKGKVHLFMADDHSHPQAKKIYELVIRLEQMVKEKSEVRESGEHMEKEAAPPLVGFHSEKLAVAFGLLNTAAGTEIVVIKNLRVCGDCHLFLKSVSAIANRAFLVRDASRFHRFEGGVCSCKDYW >Et_5A_041215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19565205:19573065:-1 gene:Et_5A_041215 transcript:Et_5A_041215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQGAHPGAFQPAPAQEILTRGPPRGGAVGEVVTPTRRIIASPTGNSHPCLSPPHAPDALLFRDGERAATRCRRRRRRRRRGRGELIPPPGMAATAPSSSGWLDWAAEYTKAAQAEARPPPEWAARVAAAAASAAAGEGGDVPWSAGLAEMLAGALLSGGGPAAWKYAEAALAARLASPALLIALLSTRVIPQRFSRPTAYRLYLELLRRHGFNFSYQMKASNFRKIMGLVDENLSLSKIFGISMNQPGVFVVCFILCILWQLIDAVLDEEGLLELTPEKKGQWPTRPDDVSAFEGAFTEQRTEKVENLQKRNSVITIELIEHLLRDKVITCLLSLARENMQSHWVAFTNRLHLLATNSSTLQTSAISLEPFQQLIQGDCNVYGGTKHNMRKRFHPIVASSPLSSPNGRCLGASYSALQIPIDMYLEDCLDGSIAATNSIETLSGLVKALQAINRSTWHDAFLALWIASLRLVQREREPIEGPVPHLDTRLCMLLSTTTLAVANIIEEADSLNNETELNSFVKGNEAATNLRKELMLSLQALGDYESLLVPPPCIIPAADQAATKAAMFISGLNISNGYTDNINGMNYSGNMRHLIVESCISRDLLDTSAYYWSGYISGHASSISHILPSQLAGWSSFMKGAPLTQSLVNMLISTPASSLAEVEKLFEVAVNGSDDDKVSAATVLCGATLLRGWNFQEHTVRLVVKLLSPSDPVDSSGQESQLIKLGPMLNVILTGISHVDYPPIFSFHGLIPELAAALMAICEVFGCLSPSVSWTLRTGEEISAHTVFSNAFILLLRLWKFNHPPLEYCIMGDGAPVGSQLTPEYLLLLRNSQVLSPSILANQRNGQKKLQDTSTPSSKHPIFMDSFPKLKLWYRQHQACLASTLSGLAPGTPVHNIVDSLLNLMFRKANKGGTCIGSLSGSSSISNSSGPGGDELHLWPQLPAWEILEAVPFVVDAALTACAHGRLFPRELATGLKDLADFLPASLATIVSYFSAEVTRGVWKPAFMNGTDWPSPSANLSMVEEHIKKIVAATGVDVPRLVTGLSSLATLPLPLAAFVSLTITYKLDKASERFLNLAGPALENLAASCPWPSMAIVAALWTQKVKRWTDFLVFSASRTVFHHNNDAVVQLLRSCFTATLGMSSTSVCSCGGVASLLGHGFGSHCSGGLSPVAPGILYLRIFRCIKDCSILAEDILSLLMLSVKDIAETTIPRQRSDKLKKAKHGMRHGQVSLAAAMMQVKVAASLGATLVWLSGGTALVQSLIQEMLPSWFLLVQNLDQGGASGGMVYKLGGHALAYFTVYSGMFAWGIDPTPVTRRRERVMRSQFEFLASALDGKISLGCDLSLWRAYVSGFLGLVVECAPCWVQEVDLKVLKRLSRGLRQWNEDELAVALLRRAGPQAMGAAAELILGSEW >Et_2B_022604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27698219:27701427:-1 gene:Et_2B_022604 transcript:Et_2B_022604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAMDVSQPAALPAAGDETAAAAAAAKGRGRGEGLRRSMNFASVHKIVSSDFKMHREELQLLQEPGSHVGEVVKAMGKSQVLVKVHPEGKYIVKIDKDIDITKITPSTRVALKSGSYLLHHILPSKVDPLVNLMKVEKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMASGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRMDILDQALLRPGRIDRKIEFPNPNEDSRCDILKIHSRKMNLTRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVNQEDFEMAVGKVMKKDTEKNMSLRKLWK >Et_3A_024435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20775786:20778773:-1 gene:Et_3A_024435 transcript:Et_3A_024435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRQAGRAIRVKLPFPLDSISANRTLPPLPHPIDCTYKVNSATTTPSPSARPPPLPARTPPLARARTAWPRGVTTRQYACSLHLLPASDRGHRVPCFLLTCRGPSAKFPCSPPKQESAASRSGLRRRGEGEAMQQHEPWRTGPAPTTVDEASMERSKSFVKALQELKNLRPQLYSASEYCEKSYLRSEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLYEQQASEISTLESKVACLNQQVLTCQTYTDKEGLRQQQMMGAATRHHKHYIIPYAGNKRMQAFSEMQVDSDFDSTPRPYSSAKTLQWHLVSDKNSKNNGSHQSEFALGETKTTKPSSSSLRFHGKESSSSSLPNKHLQSNMTSSDIFNDQPAARHLSSFSSFDNPRGRQIQKAPVRTKSMLAALFVKHKSAKMKNVSVR >Et_7A_052807.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1764976:1765428:1 gene:Et_7A_052807 transcript:Et_7A_052807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVVITIPSLVWLRRTVRRWRTRAAAGTPSSLPGKLERAVPAGHVAVRVEGGDGSSSSRRFVVRLAHLNHPAFRELLRQAEEEYGFPAASGPIALPCDEDHFLDVLHRVSSSKASASAFSSCCCGPAVRRGGGDARPLLQGMAVEKLVC >Et_3A_027212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:556789:559702:1 gene:Et_3A_027212 transcript:Et_3A_027212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLWRWYQQCLASHPVRTQVVSSGVLWALGDTGAQAVTHYSARPDRRANNPEDKDKEFKIDWKRVGITSSFGFAFVGPVGHYWYEYLDRFIRRRYQPNSFKFVASKVAADGMLFGPLDLILFFSYVGLASGRSVEQVKDDVKRDFIPALVLGGLIWPGVQIANFRFVPVRYQLLYVNLFCLLDSCFLSWIEQQGDASWKQWFSRSFQKIEDQKSKV >Et_1A_009587.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:8777751:8778371:1 gene:Et_1A_009587 transcript:Et_1A_009587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTPNPESPGGGGGGGGEGSGAGGSGGGASSSAGGGAPQTPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHTAACPFYGHPNPPAPCPCPLRQAWGSLDALVGRLRAAYEENGGRPESNPFAARAVRLFLREVREHQARARGVSYEKKKRKKPAPADTSGGGHPPYHHHHHPPPPPPPAAGASC >Et_10A_000917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19010067:19010889:-1 gene:Et_10A_000917 transcript:Et_10A_000917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPTPPPVAAGAAPATKTVSTTPSPPSALPPPRTGTAGRRQLLVSGSGSLLALVISSSSTSHKARAAGGALVGFAGPVVTEAERAASAAVSRRVGEAVGLLERGRALQARGDFPEALASFTTVVREYADLALSEYARVGRALVLYEIGDRDESIAEMEDVSIALKGYPEIHAALAAALYADKHAALLAENQFAIATLLDPHYTDLTYVRDTKHWPPSLVASLHDFITLT >Et_3A_023428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26821704:26822486:1 gene:Et_3A_023428 transcript:Et_3A_023428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLPSVEPKSAFHLRSTPSSMPSSPVGSSMWRRRSSLKERRTSEGEWEAGCGAERLETAAAAGERIWPSAWMGLAMPPNRRRAMCASAKRPPNAAAARRCGEPPDGCGRSSGMTQSTRTKTESTSGTRSWKRRETRAQRPSALTSPMRLKTSSSTASGSRSNWSSASSPHAQTSSSSSSPRASISEPTTPLMSSGARGSADRDPEQAGGGFPLVAALAGSRHARHGTARLLRA >Et_1A_006992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29966948:29969404:-1 gene:Et_1A_006992 transcript:Et_1A_006992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPAMFSRKQVPEDVGVRNSAADESGGQGQLHRDEKEHKPVLKKVKDKVKKIKKSLTGHSRGHGHGDDEHGRDEPRADDDGTSSDEEEEGDAALEREAAMEQGGYMDDAEDKTVPMESDPEVHGAPMYESERTPAVQDLVAKYDPARAPAAQEARGAHAPGARFGDLGGPGFHQPAATQEARGADAFGTRPGFGDLGGPAVHDTPAHGARFGDVGGHGVHDTAAYGARRDDAPGVRFGDLGGQAVHDPATQGVRRDDSPKVRLGDLGGPVVEDPAAPRSRTPAAREGEDIGTTPVIRDFESMTLSDDPSHVGAGKTGARAEEWKDAAADQMGAGAGSDASGGATYTDKLKNAAAVPAEYGKKLASTVYEKVAVVGGVVGVGAGAGAGKRDADDQITDQAVPVSSDAGASGEEWQDAPAAATDAADRSSSAGPGYTDKIKSTAAGTTEYGKQLASSVYDKVANVVPAVAPSLRPQEAEERDRAMPVSDAGVDEMKDATTNATDGASGGPGYTEKIKSAAAGTTEYGKQLVSTVYEKVAGVGTAVVGKVQQATQSAAPGAGAQPQDTATPQDKGVTMTGYIAEKLRPGDEERSLSSAISGAVQRRKEEVGGTVGQRVPAAPTSVITKAREAVSSLTGGNRKSEAVAVQPTTTPEGEDSVAVAAAEEPMLHGEETVGDRRLNTNTM >Et_7B_055688.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:2236598:2237272:1 gene:Et_7B_055688 transcript:Et_7B_055688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEYRSSSEESAASAAAAAAAAAMAPLAAAAAAAVKMEEQAAMTPAAQQQHHQLQPRRQYRGVRMRKWGKWVAEIREPHKRTRIWLGSYATPVAAARAYDTAVFYLRGRSARLNFPDEIPALALAEEPRDADGGTLSAASIRKKAIEVGSRVDALQTGMMVAPPHHRERKHSHHHAQLQQLHLHGDEHHHHQQEQKQQRAAWSGRAKNPDLNRAPSPESSDAE >Et_8B_059610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19918208:19920382:-1 gene:Et_8B_059610 transcript:Et_8B_059610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGPGTWVYLSVQEVGMGAGVGDLDGTTIMVRTWGKRPGLQEAEVPEEVTKIMTGSCSVATINRSKEFGRRVLDGTKSTAAAQDLVASNISCSNVQIMTGSGSVATINRSEEFGS >Et_8B_060466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17113284:17115202:-1 gene:Et_8B_060466 transcript:Et_8B_060466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAASVGGPMGIYDYDRRGRQHPYAAWGGEPFRPDTAVTAPQPAATVAFPNMDLTRAEAKLESQGCFDFSQSPRPRIRGSWGVWLQAHEDAPPVEEAAPSSDSFGHDDDRPNDKALRRLAQNREAARKSRLRKKAYIQTLESSRLKLAQMEQELTRVRRQQGAFVGGRTGESAMGLTTAPVVDPGAAAFELDYARWVEEQGRHAVELRAALHAEAPDPQRHHHQLRALVDAALAHYDALFAAKSAAARMDAFHVVSGAWRAPAERFFLWIGGFRPSDLLATLAPQLAPLDEGQAAAVAALRRTARQLEDALSQGLDRLHQTLTEALVAVDVDGGYAAREQMAGAVGKLAGLASFVEQADHLRQQTLRNMHKILTPQQAARGLLALADYCQRLRALSSLWAARPREPA >Et_1B_013143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5945291:5946676:1 gene:Et_1B_013143 transcript:Et_1B_013143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAGGGGKETTATSLLRSVVLLLLPLTVVYIFYAIHVILSSTPSCPPADPVITVTASAVSHLTSSSNLTLSPPPPAATTLQHVVFGIAASARLWEKRKEYIKIWWRPGGGMRVAGPRRAGFRRPGGAPSRQGLLGHLQLPLHAPAIRISRIVSETFRLGLPDVRWFVMGDDDTVFLPDNLVAVLGRLDHRQPYYVGSPSESHLQNIYFSYGMAFGGGGFAISRPLAARMQDACIRRYPWLYGSDDRVHGGAGGAAHAPPGCDVYGDLPGLLAAHPVAPLVTLHHLDVVRPLFPDARSRPDAVRRLFEGPVTLDSAGTMQQSICYDAAKRWTVSVAWGFVVTVARGVAAPRTFLNGYRRADYKAHAFNTRPMARNPCERPVLYYLASARRAAGRPPYRRWRRRNDVRPACRWKIADPDALLDTVIVIKKPDPSLRDRVIILGLSIEHFLHSHLD >Et_9B_065222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2546955:2552508:-1 gene:Et_9B_065222 transcript:Et_9B_065222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDARNGGAGGGDTHVLDGGTPPLGSPVSDGGDTQSQSDDGALYCETKPMDDAETQLVDEVEEEEEEEEGVAPDWVDTQLVESGEEDGGDYGEQVETQLEVERDEEDGGDYGEQVETQLEVEHDEEDAGHVEDNTRNGYRTQLIAECKAKGVNGSVGDMVDTQLEEESEEEDDDDGVNGDDEVDVGEWGKTQLVEDSYEEMGDDESSEGTQVLTDNESLSDYERDVNLGLDGGIEGTNGNAEMHVDAKCVVDSDASTDEEGDAVQTCGLAEAHDTTSMNTAHKEKRKASNHGIHFLPKIVDNSSYSTSFRDLPDCGIDSDSHGYVQNHDNDGTKGRDKCSTAKKLFADTMAEDGESNGRCFPGLSYIGSQDPGDLSQANAFEVVDRLISVNGGLSSQESTPNKLEMAKPRVSSKRGTLILAEKVDFIRSSNGKTEIFEWVDSHEDDGGACRGKQKSHSARTKKCSMKISPATNKIGESKSKTNSKLCRRFETVPLSDSRLLKIDVKSKRSSGNRTKKNLLKDLDDLSNARSLEGEEKADVAGPDVGPDTQMAVEAIEALAQCSPSKTLPAKGQPLLDKESRDGETRTATNHSKNGPQKRSSSVQQGVTTRSKRRKVPESITKPRKERVTELKMQEQPELPMKTKHRQAKSVPEKKKDMNMFSDENKYHGTPVAHRTRRYGRNNLCENTELCSNKRLRKDKREIGDGSTIGEVQNNRRTNVSEKPVICDRITEPASSYFEKESTEHTSANSAQDLQLARDGSKQHNHVNNIQNLEPRSGEAIADVACSKPLSHPKQRRTPTAMVPSKATAVIQTAADHEIPPEVPRQSKKRRVFVRSVSDLLKYAKREPSSGRSTSMLTSIIGKSLAGSPILNSSVRVDSKTSSDFSGSAKQMKEFPHVENSSKSLKNNAQESDTVLKTPSKVVNDLSPTFSPVNPSKASSRSLLKTSVARELLKLDPENALSNQQRKDSRRRKDMASFSILFSHHLDEDVINRQKKILARLGVREAFSIPDATHFVADSFFRTRNMLEAIALGKPVVTSMWLENCGAAGCYIDERNYILRDVKKEKELGFSMPISLASACKHPLLLGKRVFITSKVKPSREVMICLVKASSGQPLERVGRSVMKQTKVPDDLLVISSEEDYQTCAPLLERESGQPANVYEYPLGANVFSSELVLHGIIIQNLEYDRHRLFTDRVKQTRSTRWLKDTVHD >Et_2A_017037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30383456:30390202:1 gene:Et_2A_017037 transcript:Et_2A_017037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGAAGGGGGGAGLGLDLSAVIQAAVVGLVLFSAAVLAVRRAASRFFVVDAAGFAASYDDHHSHSSAAYPMPAQGNQQEQCAECGGVSSKKCSGCKRVRSSECQSKHWQSDHKFKCKQMKLLDPADKLSCRGEVNSKKSSGFGRISLVSARKKFNKVLFPYDEFLKLYNWKDFDFIPCGLMNCGNSCFANVVLQCLSCTRPLVAYLLGKDHSRECSLRHEDWCFLCELQGHIQRANESLHPFAPMNILSHLPNIGGNLGFGRQEDAHEFMRFAIDKMQSACLDEYGGEKAVDLSTQETTVIQHIFGGRLQSQVQCTACGMVSNRYDNMMDLTVEIQGDAESLEKCLDQFTAVEWLDGDNKYKCDGCNDYVKARKHLSVHQAPNILTITLKRFQSGRFGKLNKRVTFPMELDLTPYMSSTDGSDLYDLYAVVVHLDMLNASFFGHYICYIKGYRENWYKIDDCKVMTVDEEEVHAQGAYMLLYSRRTARPRPLIAVEEPVKEQQQCNVLPSSNGQNHLIPEDGTLSCESPSKSSEDLLQQDYETSGESLHRMDIKNQESDLGLQTRIEDNTFVMNENLLCPGSQGSHVFEDTRAPGLLLEGSTSMRTVQFDHPLEHPTTLSSVQSGDSTNEASFVHSVAEQFEEPASSNDSVDYMDVDTEAGAEVTRWNEGSLVLDSSTGRTDDKTFIPSFANGMAGKPKPLFSPGFLDNRTRKRSSFAEEGHIGGHATGSSQKLNGHCNGHLSGLEQEIPASSYGGDVSTGSAKCNGDMFASSSNGNYYGPNGDEQSRNSLHAQDRYVPSVSHGFEPRPYRKPSSSNTSCNNTNCQGDMSFLNRGFLAKPCSSGRSVKVDGELPLNGTSLSFANGNNRLSNPTSSMHGMETSSDIHMEQKSNGTAILATKSTSCGQEIGSNGSPDVNGVGCQRDDTPALVISDNSMESEHGLRRRVTSKFFEHNGIDAK >Et_8B_060795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7744875:7746619:-1 gene:Et_8B_060795 transcript:Et_8B_060795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASPHILVVDDGPVDRMLVTRVLKKSKVRVTVVDGAEEALNFLELEHDVNLIFTDYHMPGMTGYDLLTKVKESPKLKHLPVVITSTDEDPEIIEKFMEGGAKGFIRKPINLDVRKGK >Et_4A_032801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13992744:14001097:1 gene:Et_4A_032801 transcript:Et_4A_032801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPGKFVSVNLNRSYGQHTHSNHGGRPSRPANASSSGGGGGMVVLSRQRGSSLAKPQPPKLSVPPPMNLPSLRKEHERFDGAASAASGAASAPARSGAPAAGWTKPAPVAEKPPGSAALPGSVVRPPSYGFPERAVVLRGEDFPSLKAAVAPPPAQRQKEADGGRVATPETQPMPLGMRPQVMPSRAAEPVGSGGGISAGNRPSADKAPKHDLGPLPMVRLRYDSDWADDERDTGLSLPERDSRERGFGRSEAMIPGRDLYGGAREPFKKELFGRDVAATNKESGQDGLWRSPMSSQLDRERTEDRPYSAGRGSNGQSYRESIAAGGQSGVEPYGTARIGETASERYGYSSNNWPKVNSFQNNVGSKAQPFSGNKGPLINDPVSKFGREKRITGSPAKPLIEDGGFDSISAINLSAIKKKKEATKPTDFHDPARESFEAELDRILRVQEQERQRVMEEQARAREFARKQEEERERLIREEEERQRLVEEQARHAAWQAEQEKLENAKRAEEQRIAREEERKRIAMEEERRREAARQKLLELEAKIAKRQAESNVDRKRDGDLSSTINDELAPGALKDREVSQSTNFGDKKDINRIGERINTSLPLEPSSLNRYSDPIPRVHTLRDGHSSLIDREPAYYGGRATFPEQGSVHQSPQRNSFAMRGNFPKKEFNDGYGSVSFRPSSRGRTTDSPWALEDYGHEKVPRWDTPREIERFDKQSTSDAEIFNGDRFGDSAWLPSSSHGNPSAQQGDRMLQSSEANDFSAFTGPRYSMRQPRVPPPPTMTSVHRSAVGASAQHPNSSFVDDGMVESSCRDDDQAMRGQYGSVYQEASHQRGIPAEHIAVVEHQIGDRESPELGSQSSLSVSSPPSSPPHVSHDEMDVSGDSPALPTSADGERTVMSDNDPSAFTLDAANPSRIARSTSVSHVEDDEWSSENNDERRKQDEYDEDGDSYQEDDINGADDDNLDLDDEFLEEQQTSVELEPVILGFDEGVQLPQASSTASITSSASAVAGQNEIPVTLQFGLFTGPPLIPTPVPAIQIGSIQMPIHFHNQNNPSLSQMNSSTTPLYQFGQLRYVRPITQSARQMTSQPILPAHSSAPAQYTHQLNQNVCCGLPELVSRDTHQNISSQAVSSTIIDKSVPSTAKLPLVMNNSDSQYLMAPSNNQMVGAEGFHGQVERHSSGGTPSGVSQAEIQRNHDHSLKRSYKSTSSNIESSQSGLEGKSLSGPKAPGAVSGGRGRKYGYRDINMKSTGSVVEPSHKGFQRRARRNVRRTEFRVRENVEKNQIQASESFTYCEQDERPYSHGTARDLSGRNTRDIDLNKSSRMNEISDQTVISSIRTTQKTPYERSHGGNKKSRTCAITEGDMASLQAGTVRVVKQQGIEVPVDADGFIEVRSKKQIMSVRRELREKENRSKMRMAKTPRKQHHVSLQSSGGPSVNKRVALSGEVAKKVSLDPAIPTEGRVADSAEPSVALKGDMASMNPIGPPLTNAETHANCYAKQPSRGHASSDMATSKKLSTGLSEDNNKGTPVSTPFNMVSWDNSQINQQVMPLTQTQLEEAMRPAKIEQQTGSAFSLESNNALPPTVTTEKAFPSSASPINSLLAGEKIQFVTSPTVLAPVSRTVSSGLGAPGSSRPDMKIDRSLPSDKSGNDVFFEKEKTTSKEPCPNAEDAEAEAEAAASAVAVAAISTDEGSPADATTASAPDNKSFSSKDLSALTSGDGKPEAGMITGQAGQSSTEEPLTVALPADLSVDTPSMSLWPPLASPQASGPMMSQFPGAQPPHYSCFDMNTMLGGHIFAFGPIDESAGAQGQHPQRSNVLPSAPLGAWPQCHSGVDSFFRPPTGFAGPFISPGGIPGVQGPPHMVVYNHFAPVGQFGQMGLGFMGTTYIPGDKQPDWTQNQGPSVAVSQSDPNSQNVASGQVNSSGVPTPVQHLRPTSIMPIPSQLTMFDIAPFQSSTDIQMQPCWPHMPVPPLHSVPLSVPLQHHPVEGTSAQKFVHNVPIDNKASAHNQFQERSASAAASDGNKTFPNAASAQLTNELGLVEQPPSSSSITQTVQPSFGHAGVMSNEVSNSAKVVVKTIPSNVNPGIGTGASNTNGGQVTNMTSNSKSNQSSSSSDQQVHPVNNQDRRARATQKTGSGNEWQRRQGYQGRNQNSGSDKNSGTGRMKQIYVAKSSATSGRAPSG >Et_3A_025516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30448187:30450132:1 gene:Et_3A_025516 transcript:Et_3A_025516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PQMSFRSIVRDVRDGFGSLSRRSFEVTLASIYGLTGHHKGKGQSSHQVLDDSPSLITPESCWASLPPELLRDIIRRLEADESTWPARKHVVCFAAVCRTWREMCKDIVLSPEFCGKLTFPVSLKQPGPRDGNTMIQCFIKRNKSKSTYHLYLCLSNVVTAETGKFLLSAKRNRKTTSTEYTISMDADNISRSSRTYIGKVRSNFLGTKFIIYDTQPPYKGAIVPPVGRTSRRFNSTKVSPKVPSVSFSIAQVSYELNVLGTRGPRRMRCIMHSIPASSVEPGGIVPGQPEQIVPRALEDSFRSTGSFSQSFRSTTSLSKSIMDSSVDFNSARFSDIASGRLVPRGEDGEVKERPLVLRNKPPRWHEQLQCWCLNFRGRVTIASVKNFQLIAATTPPPAGAPTPSQPGPAPADPDKVILQFGKVARDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Et_9B_065537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6365050:6369765:1 gene:Et_9B_065537 transcript:Et_9B_065537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPPQPPPPPPRQDFPAFPFPPYPIQRDFMSFLYAALSSGPGALAFLESPTGTGKTLSIICSALQWLVDHRAAAADPARAHPIRADGPGDDDEPDWMRDFTPLPLLPEKKSRMKKKPPAAKKTAGSVKLGEIREECGDGDEAEFLLEEYESDGEDGARRGAGKRTHCGSSSSSEGEDEDAEEEEEVTPKVYFTSRTHSQLSQFVGELKKTEFADRLKIVCLGSRKNLCINKDVLKLRSVNRINERCLELQKNKKNKNSKIKGEKRKVSQAKTSCGCPMLRNRSLQKEFRSEVSNQGALDIEDLAHIGKRIGTCPYYGSRDLVRSADLVVLPYQSLLLKSARESLGVNLKNSVVIIDEAHNLADSLSSMYNSKITSSQLKVVLSQFEAYLDRFHNVLGAGNRRYIQTLTVLTRSFLRILTNNQDDACATTSMTINKFLFSLDIDNINIVKLCQYVKESNIIHKVSGYNNKLSGTEGGVDQFDLQQENDERSSLACFQALADFLRALLNCNEDGRIIVVKQKLSGQSEDGYLKFVMLSAEKIFSEVKHDAYAVILAGGTLQPIEETRLRLCPSLPQGDIKFFTCNHIVSPKSILPISVTRGPSGMLFDFSYKSRSSPAMIEELGRFLCNIVTIVPEGVVVFFASYEYEKHVFDAWTASGTISKISRKKHVFREPKNSADVEAILSQYKLAIQQCSLSSQHNGKNGALLLAVVGGKISEGINFSDGMGRCVVMVGLPYPSPSDVELIETIKHTESISVGNDNTSGSNYDDECELQRGYDVLRKCNKGGREYYENLCMKAVNQSIGRAIRHINDYAAMLLVDSRYARTSSSRCLSSPTDKLPQWIKTQLTCAQNYGEVHRLLHQFFKINKQNALDIQ >Et_3A_025175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27579080:27581803:-1 gene:Et_3A_025175 transcript:Et_3A_025175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVVSIVDTVFRPLKDYFARTYGYEYNLDDIQRIIGDRLGVSWENRTPKERAGVLYRVLSKMNFVLLLDDVWEPLNFRMLGIPVPKQNSMSKIVLTTRIEDVCDRMDVRRKLKMECLPWAPAWELFREKVGDHIMSATPEIRQQAQALAMKCGGLPLALITVGRAMASKRTAKEWKHAITVLKIAPWQLLGMETDVLVPLKNSYDNLPSDKLRLCLLYCSLFPEEFSISKDWIIGYCIGEGFIDELYTEMDEIYNKGHDLLGDLKIASLLEKGEDEDHIKMHPMVRAMALWIASEFGTKETKWLVRAGVMLKEAPGAEKWTDAERISFMRNNILELYERPNCPSLKTLMLQGNPALDKICDGFFQYMPSLRVLDLSHTSISELPSGFSSLVELQYLDLYNTNIRSLPRELGSLVTLRFLLLSQMPLELIPGGVISSLTRLQVLYMDLSYGDWKVGETGNGVDFQELESLRRLKALDITLQSLEALERLSRSFRLAGSTRYILIKTCSSLTKIELPGSNLWKNMTGLKRVWIASCSNLAEVIIDGSQEAVRSNALPQSILNARPVLVDDEQPILPNLHDVILQGLHKVKIIYKGGCVQNLSSLFIWYCHGLEELITLSDEEQEMTASGGEQAAAFKIITPFPNLKELYLHGLAKIRRLSSSTCTLRFPSLESLKIVECPSLKKLKLSAGGLNVIQCTREWWDGLEWDDEEVKASYEPLFRPMH >Et_3B_027749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17137569:17138777:1 gene:Et_3B_027749 transcript:Et_3B_027749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLCDTIMLEEILPRMHPIRYNALVRDPGFAARSWQRAGVFFQRNSWPSARRPLFLTGDYYDDDWPEPMFGEDLAFLPGPSAREKAYLRSVDSADSAGSVVSIMHSAAGLLLFSRGITLPRHFYVCNPVTCQWVALPELPIQWRSGLLTVDSDEDAGSSNPKRFQKQGGCIDLRLFSSDTGQWKVMQLQPPIHIEEPPYSWSPILVQSGTAYWIMLEAKDRAVAYNSVNHSVRFIALPRRLAKAKMNRIVGGRHGGGLRYAHANSSLFEVWDSQSKSDGNITWKLVHRVGVTDLLEWNPEAAGFLLESSIIEPVGFHPTDDDVVLLGMPGAVAAYSMEYGTLSIQCTHHSSVSYEYPNGMFPYVHPAYPGAIPAIKNSIR >Et_3B_029349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24236413:24238025:-1 gene:Et_3B_029349 transcript:Et_3B_029349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAEYGFYGGAGRERKAAGCGDHFVVDDLLVLPYDEEEEGDGEAPAVDAAGGGGGVVVKEETCGLGNLSADSSTVTALDSCSNSFSGLADGDFSGELCEPYDQLAELEWLSNYMGEGDDAFATEDLQKLQLINGITAGGFSSAAKAPPAPAAHATAATATQPGMFLPEAPVPAKARSKRSRAAPGNWSSRLLVLPPTPASPPSPASMAISPAESGVSAHAFPAKKPSKPSGKKKDAPSPASQPPSSAPAQSPGGSAASAEGRRCLHCETDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVMSKHSNSHRKVLELRRQKETTVHHPLAAPQHHHHQPHVIAGGGAGGGLMHMQSPLLFDGPAAPPIMAGDDFLVHHHLGPDYRQLI >Et_4B_037683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22457238:22457676:-1 gene:Et_4B_037683 transcript:Et_4B_037683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLSCLFFCLAFVVAMATVGIHADGDCDSDVDKGLLKDLVDQCRQFVMFPANPKIPPSEGCCGVIQKLGDYECLCKKVTKEIEKIVCMEKVVYVAGYCKRPMKPGPCGSYTVPPGQ >Et_7B_055988.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8899232:8900440:-1 gene:Et_7B_055988 transcript:Et_7B_055988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSAADAPPVSAIGFEGYEKRLEITFSEAPVFVDPHGRGLRALSRAQIDSVLDLARCTIVSELSNEDCDSYVLSESSLFIYPLKIVIKTCGTTKLLLTIPRILELAEELSMPLAAVKYSRGMFIFPSAQPAPHRSFSEEVAVLNRYFGGLKSGGNAYVIGDQAKPGQKWHIYYATQYPEQPMVTLEMCMTGLDKKKASVFFKTAADGHISCAKEMTKLSGISEIVPEMEICDFDFEPCGYSMNAIHGTASSNIHVTPEDGFSYASYEVMGFDATALAYGDLVKRVLRCFGPSEFSVAVTVFGGRGQAGTWGKKLDAGFYDCNNMVEQELPCGGLLIYQSFSAAEGIYQNASEDAVVGSPKSVFHCFEGENVENAVPVKDGKLANLLSWEDDAVEDGVLDE >Et_6A_047095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25207093:25208868:1 gene:Et_6A_047095 transcript:Et_6A_047095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASKKSKSTAASAHQHQQQVGVDTIEEARDVNQALQQQAASGDGVHMPQPQREDALKCPRCDSSNTKFCYYNNYNMSQPRYFCKTCRRFWTQGGTLRNVPVGGARRKNKRSSSSSLASASSSNTTTMAINNNIPTLSALTSFPNVLPTFMSTGFEFSLPLAPPLLLSGGVAPAPTPALAPGGSMMTTPSFLDLLRGGALDREGNSSNRMEMSLPPLFGFSVMQDGIMGDHHGVAPIGGGSAIATTQLGGQHQLAGAQHGHNKDDGSAAGSDGLQVGANQQ >Et_1A_009084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28684812:28685648:1 gene:Et_1A_009084 transcript:Et_1A_009084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKEEAPAVRVLGLWASPFVIRVLIALRLKGVEHEVLEEVVGKKSELLLKSNPVHKKIPVLLHHDKPISESLIIVQYMDEVWSSNAPAILPADPYTRAVERFWGQYIDDKQLRVNWMCASLNDEQFPSAIRVLKGTDGGNKDEAAEQLSTALQLLEEAFLKLSNGKHYFGGDNIGYLDIALGSHVGWVKAVEMIAGVQLLDVAKVPHLVAWAERLRAHPAVVDVLPGADKFVEYSLKYGSFSKPINASN >Et_1B_011071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17167801:17174049:-1 gene:Et_1B_011071 transcript:Et_1B_011071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAPEPRPVRFGILGCASIARKVSRAMLLAPGAAVAAVGSRSEEKARLFAADNGLPSDGLRLHGSYEALLDDPDVDAVYLPLPTSLHVRWATAAAARGKHVLLEKPTALCAADLDAILAACDAAGVQFMDSTMWMHHPRTAKMREVVDNKDALGDIKVINSVFSFRANEDFLQNDIRVKPDLDALGALGDIGWYCIRGILWAVDYELPKTVIALCDPVKNNAGVLLACGATLYWEDGKTATFNCSFLTNLTMDITLVGTNGTLHITDFVIPYQEKSADFSVASKSNFAELHTGWDPLPSKHVVMNDLPQEALMVQEFARLVRNIRDTGGKPEGKWPAITRKTQVVMDAVKASIDKGSEPVE >Et_8B_058812.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:8136896:8137267:-1 gene:Et_8B_058812 transcript:Et_8B_058812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWAQILPGGQNLRVMGFAFAAFCWAMWTTSNSIVFDQFKLNDLLSILYKFLCFAEFLGRSSADGGREECYLGWCGLHADESKSGGGDDELSNSATVKEAAKSMMELSSAHIFKTVWLEILVK >Et_5B_043938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17997823:18004487:1 gene:Et_5B_043938 transcript:Et_5B_043938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGQKLAMASGWVGQKSALLSHLKIRESPLLECGYPVGSNIRTEGVMHPLALIPVLVVAAGAAAVHADPAGTMNVSFPPELVPAILPRDVAMKVPFSNLTDVLSVFNITPSTAAAAMVNDTLSRCQAPAPAGEQKACTKSLEATVHSAVRMLGTGNLPAWAVASKIPAGGGLPLQPYVIEAVRLLDGDRHVGCHIVPFPYAVYQCHSTGQPSTAYMVSLRGLVATGDAAVHAHPAGAGTPAARFWEEALPGTPMPEVITNLVQKGMDHSPLVEHYPINSALNTPRDPFWTLIYVGNHGGFADKAKVLPGLFFNQAQVHVGGAMNVSFPPALVQAILPRDVAGTVPFSNLTDKACTKSLEATVQSAMRMLDTGKRPMWAVTSKIPADGGLPLQPYVIEAVRPLDGDRHVGCHVVPFPYAVYHCHSTGQPSTAYMVSLRGLGDHGPGISMAAICHLNTSSWNPAYPAFEILHTKPGGAPVCHFMRYANLLFGVKTGKA >Et_8B_058872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10269380:10272283:-1 gene:Et_8B_058872 transcript:Et_8B_058872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLARVPLPRAPLRPAPAVRLPAARRHLRAAPVASGEGAAGPALRTCKNCKRQYDPAANHPSACRFHTAHFGGETRRKFESVYAGGTMDTPDSGKVFQYWHCCGSEDPFDAGCTASPHFFVVHAHRLVAELTPIPLWR >Et_1A_008488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8044699:8049239:-1 gene:Et_1A_008488 transcript:Et_1A_008488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPASTSPLAAALPNPLAGRSPRRLLRVSCQATPDRSARSGNASSASPAPQPQPRWRVAVSAALAAAVVAAMPAYADLNKFEAEQRGEFGIGSAAQFGSADLKKAVHVNENFRRANFTAADMRESDFSGSTFNGAYLEKAVAYKANFTGADLSDTLMDRMVLNEANLTNAILVRSVLTRSDLGGAIIEGADFSDAVIDLPQKQALCKYASGTNPLTGVSTRKSLGCGNSRRNAYGSPSSPLLSAPPQKLLDRDGFCDSATGAISTSQPRLRLRQTWRRSPVERRHRIGVTGFLWSAVAVAEQLRRDELIEGPPVRGRVVDGRH >Et_7A_052411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7693198:7694996:-1 gene:Et_7A_052411 transcript:Et_7A_052411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNWNTESVHLLSLLTLILHLDSDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKCDLTANKVVSYEAGKALADEMGIPFMETSAKNATNVEQAFMAMAASIKTRMASQPAAANARPPTVQIRGQPVNQKTSCCSS >Et_8B_058587.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:4019118:4020334:1 gene:Et_8B_058587 transcript:Et_8B_058587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PEHQELAEQARSPNQATATLHHYLLSQASNSREETERQRDEECKMYHNFHGEVASLRFLSPPNASFAAHHHMNMALPPQAYFPPPCFEPTLSFQEDAAAAALLGGDMANNSFELDTVVREAAHFAGGNGSPSSGSGSDGGGYSLSAAAASAEEERRRRRMVSNRESARRSRMRKQRQLSELWAQVSRLRGDNRQLLDELNRALRSCADARRESARLKDEKAELAKKLEKLLQAAEKSAGAGASSSNHSCSSEPCNNASSDTSDE >Et_1B_010205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29924081:29925181:-1 gene:Et_1B_010205 transcript:Et_1B_010205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQRAMSSFSAGHGRRPKKKLYHREPGLDKAMDLQKKPALLKTGSILVRDLEKEVGFVQKWNYLSLIERHPNIFHVSGGSASREPISVTLTEKARKISSEEVQAQELMEPILVRNLRKLLMMSMDCQIPLEKIELIQSELGLPKNFKNDMIPKYPDFFSIRFRGIPKDGNISGPFAFKLKYPAGFRPNRKYLEEVVRWQKMAFPSPYLNARRVEPATPQARKRAVAVLHEILSLTMERRLTSDKLDVFHNEYRLPYKLLLCLVKHHGIFYLTNKGARSTVFLKEAYDNSDLIDKCPLLKFHDRFASLIGRPSSISNVPLAV >Et_1A_006104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17906362:17908171:-1 gene:Et_1A_006104 transcript:Et_1A_006104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEEGEDLHGTRELLPLSKLEEIGVLYWHLDPKKPESEEELAEIRKERGYNYMDLIEISPDKLENYEEKVKNFFREHMHADEEIRYCLEGSGYFDVRNKDDKWVRIWMKEGDMIVLPAGIYHRFTVDNNYVKLMRLFIGEPVWTAYNRPQEDHPVRLEYVKSLMGNTGFALAAH >Et_10B_003552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3000590:3001166:-1 gene:Et_10B_003552 transcript:Et_10B_003552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPAFSVVVLTFSAAIGVGSDGGDEPGATCRATDKYPVGFALTLAALRRVYTNMVASVVCVVVGLFASGEWRTYVKIPGEMASIKNGKARYVLNSLVPVFAVALFGDKTTGITDVAMLIDGLVVPKCLRCARKQLLRTFHPRAQRRAVPGLRPSGPCRSTAGMATVPPRPQRT >Et_1A_009629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9876704:9879790:-1 gene:Et_1A_009629 transcript:Et_1A_009629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASASTSLLFPQATASSSKSRVGLSTSLGFAAPARLRSRAAGAAAAAGQRRGRLLVVRAARGKFERTKPHVNIGTIGHVDHGKTTLTAALTMVLASVGGSAPKKYDEIDAAPEERARGITINTATVEYETETRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPRIVVFLNKKDQVDDEELLQLVELEVRELLSNYEYDGDEVPIVAGSALRALEALMANPGLKRGDDEWVDGIFQLVDSVDDYIPVPQRQTDLPFLLAVEDVFSITGRGTVATGRIERGTVKVGDTVDVVGIRDTRNCTVTGVEMFQKTMEDAMAGDNVGLLLRGMQKEDIERGMVLAKPGSITPHTKFDAVVYVLKKEEGGRHSPFFPGYRPQFYMRTTDVTGSVTKIMNDKDEEAKMCMPGDRVKMVVELIQPVACESGMRFAIREGGKTVGADVS >Et_1A_006106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17947878:17951436:-1 gene:Et_1A_006106 transcript:Et_1A_006106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSPPATTGHEPLMGNSMSEILAGMPQSLGINTPDLLGMATGEMLKFMYDAVPDPPVSPAARLASTVTQRAPDDGVDRISLLPDQILRNVVSRLPAKDAARTGALASRWRGLWRAAPLALVDEHVLPDRLPAGRMAPGGDDVASKAVVAAVSRALAAHQGPIRSFHLTRGHMASHEAEAERWLKLLAAKGVQELIFSNHPWPLDFPLPAAAFSCVSVTHLQLGVWRLPDTAALPRNAGFPHLRDLVLSFILMRDRDLAFFVEKCPVLENLTITVSQLPVRLRLVSRSLRCVQLCMCEANVAVVDAPRLERLLLWRSRHHEEKSRIKIGHAPNLRMLGFWQPADHELEIGSTIIEVLVSVLMSLFCDHVKMLPCFLKCFPNVETLHVYVKSLNQHALDYVKFLLHDPVADNGMLRSVSQSHEAEPTGKLDIMFWQEAGPVECVHSHVKMFVFDQFRGRRGELMFLKFIAERAQVLERMVVMMAIGSFSSEDDMKAKMKLLTMVKWASKNCLLIFVMNPATAEGSPSWSFHKASDSSCMDPFDLRTIETEHWLQLQGYMLTNLWYITK >Et_5A_041211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19468728:19474974:-1 gene:Et_5A_041211 transcript:Et_5A_041211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGFITATASARDYGGRVTFSVVVTCLMAASCGLIYGYDNGISGGVTQMESFLSKFFPEVLVVTKNTRRNIYCKYDNQWLTTFTSSLFLTAAVSSLVASQVTRMVGRQRIMLFGGAMFLGGAIMNGVAINLVMLIIGRMLLGFGVGFTFQSAPVYLSETAPARWRGAFASSFSLFLVLGGLCASITNYFADRIPSWGWRISLGVAAVPGAILVVGALFVSDTPSSLVLRGHPDQARAALQRIRGADADVDSELKDIVRAVEEVKQNEKGALRRLLSKQYRHNSIVGVAIPLFYELTGMTVVAIFLPVVFRTVGFNSQKAILGSVISSTVALFSTFLGSLVMDYTGRRFLLITGALGMMLCEVAIAWIMAAHMGLHNAVSMPRNYATGVLVLILIAMFFFGVSWSNVRWPLLSEVYPVEVRSAGQAISVPYGSALLSPSSRRSSRCSAPSRVFLVHAGCLLVATIFVALFLPETNGVPLEVMRSVWTDHWFWRRFVKDDKLNNHTATTKDGVRKLHNLIASALMIYADTHGFFLDGNRWIKLQPMRKQEVLAAWYGSSTTGYGEKRTKIHGWLFYYNHKWRGSYLLLFVKSQPTPEE >Et_6B_048274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5839290:5839814:-1 gene:Et_6B_048274 transcript:Et_6B_048274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLELFALAALAVTGDGAKATTTHLRFYLHDIVTAGTTPLPADPRTRFGDMYVFDDPLTEGPHAGSRAVGRAQGFYLVASQTELALMFSFNLVFTAGPHNGSTVAVLARDLLTAKVRELSVVGGTGAFRGATGHGLLRTHSVDFPNMNAVLKIDMYLRR >Et_8A_056799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1706873:1710787:-1 gene:Et_8A_056799 transcript:Et_8A_056799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEGSPPREPAAEAAGATACSICLDPVLGRGGGRSVAKLQCGHEFHLDCIGSAFNAKGAMQCPNCRKTEKGRWLYASGHRPSPGIDMGSWVTGETYDITSDLPFGFQWCPFSGFTQLASVFEEREAEPTSYHTAGDHSSAASALVCPYLALHGFLHPVHVPSSSGSESTSFHRHSSGLEGRATPDLSNAQSFHASESRNHDRLPRYDSSSQRSRSYAHHPPLIHRPTPRNGNGLVAPLGSVPAVVAETRGHGHGARGHMYQQSMHSMQSSPFPLTTRRVRPRALTITSFIAAASSAEIGAPYGFSAPGAVNRSVPGAESISRPMDRPFAWGPEGFALFPWIPAEGDSHWGRTFNPIQNHAQGSFPRRPGGERMQQSHPENGYQPVPSQRMPPFL >Et_2B_021489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29993939:29994511:-1 gene:Et_2B_021489 transcript:Et_2B_021489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGFGTPTPPTSKPLGVVGRRLTLICGAGEGDRPTPSLSWASLLPSPPSPATNLARDSPERAVGKAESSARPFVGNSAEMQAKKLTLLQTVTAAGVFSAVSFWYGFMFGRESARRELGGIIEDLRSNRTTNTSTGSSEPDAHSKP >Et_4B_037369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19002812:19006162:1 gene:Et_4B_037369 transcript:Et_4B_037369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGFRWLRWRRDGEGLAAWWPRGRRCEVVHMSWRRRHRLPGHHRHGELVARALYQPGYLASHPKSGEVKYSKEQMRWVEESIQIRRAAKPVELIEAVRKLHRVFAREAKRRKELPLELKQKLRMRFCRSFVIWWRSATARSNESYLIDIKLELLCSQSVFITVDLSVLVPNEPREFLLLQCTVRTCVRPIVTFD >Et_4B_039554.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2193000:2193266:-1 gene:Et_4B_039554 transcript:Et_4B_039554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKIISCADDTESPVRMAFVFDGEPAPTRGILKNSASSGPSSARPPRSSLRFSTTAAQHFNRTDAPYLWMKEYRRSQAPEHKSTNT >Et_7A_052887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23332338:23335077:-1 gene:Et_7A_052887 transcript:Et_7A_052887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAISSSMAEAGGGAHVMLLPVPGAQGHTNPMLQFGRRLAYHGLRPTLVTTRYVLSTTPHPGDPFRVAAISDGFDGGHASSWDPEYWRVAGKTTAAVGAETLAALLRSEAREGRPVRVLVYDSHLSWPRRVARAAGVATAAFLSQPCSVDLIYGEVWAGRLPLPVTDGRDLFKRGLLGLELGPDDVPPFAARPDWCPAFLETSIKQFEGLEDADEVLVNSFRDIEPKEADYMESTWRAKTIGPLLPSFYLDDDRLPDNKTYGFNLFSSTVPCMAWLDKQTPCSVVLVSYGTVSDYDAAQLEELGRGLCNSGKPFLWIVRSNEEHKLSEELRDKCSKHGLIVSWCPQLEVLAHKATEVIKVKNVR >Et_1B_013994.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26813040:26814011:-1 gene:Et_1B_013994 transcript:Et_1B_013994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFVKTLTGKTITLEVEPSDTVANVKAKVQDKEGIPPDQQRLIFAGKQLEDERTLADYNIQKESTLHLVLRLRGGGGKKRKKKTFPTPKKGKREHRKDELAVLRRYRVDEETGEVHRLLRSCPGQDCGAYMARHEDRFNCGRCGVTYAAENHPSRV >Et_5B_043290.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22377207:22377521:1 gene:Et_5B_043290 transcript:Et_5B_043290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HCFQQCVDSPHSVPAFASCSLYPSHCWCIGCFMDPRQHKLFPWTVRDVWGRLLPCCTAHLHFLRTLCCVCLVPHLAACWWYLNWCVSSYPNYFFHLNRLFMKLC >Et_5B_044647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4257099:4263166:-1 gene:Et_5B_044647 transcript:Et_5B_044647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDGPEFVRWREEFVSQERGSRVVQYYLEDAAGGSHLAVVGTERSLRHMLYVVSDDFRTTLGCDDVDGGQAVLARKWRSRREVVDWLASFIPAKTRASKYSKYGPYVDNDIGLDGYGETDSFVHQNLGKTCDSDIAWSGSFWTCGKQLRHYQAFCRNGTTISIHSFVFVLSEDESRYLAYLEDMYEDKKGQQKVRVRWFHQNKEFACAIPPPSPHPCEVFLTPFTQVISVECVDDIATVLTPDHYAKCSNALPSSSLVGIRFCFRQYSKKRFKHFDLKTLRGYFSQAIVMSMKMSSEPDMDGEYCTPGKTKSPKQFERLYSKCLGTKICQGPQADSIPSYQKSCNEQSPGKHFSIKFIGPQNQQVQSYSAGDKIEVLSQDSGIIGCWFQCTVLKSCTNHNKLKVQYDDLQNADDCGRLEEMVPASTLARPDILGMRCPERLRVRPRPQQNNYVDGDALLPGTAVDVWQFSGWWEGVVVSFDNTVADSVQIYFPGENFFGVCQLKNVRISKDWVKDQWIDIETKTDVLSRIPSDGIQTKQRENMSSVRVLDSSSSAVPDQEVAAIQVNYREDNQTEASKQTEVNLTDKTSAFAEDEKQIILGKRPREDTEQECNGEVGLDVVEMEEAQVALATGEGPRNGPPPELQLEPLLAVDEARAEERLRLLIGERPGP >Et_5A_041575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24598050:24602845:1 gene:Et_5A_041575 transcript:Et_5A_041575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQFTSEHVCYVNCNYCNTILVVNVPNNCSYNMVTVKCGHCTMVLSMDLSPFHQARLVQDSQVQNRGFQGNNFGSYEPASRNLRTMPMYPMSNNQQQVTPIRAPEKRQRVPSAYNRFIKEEIQRIKTSNPEISHREAFSAAAKNRRRRRRRRQQLIIRSIVVHERRDHACGLLRTSVPHHSCTREPPNR >Et_1B_012200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29951015:29956752:1 gene:Et_1B_012200 transcript:Et_1B_012200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLRTCGGAAPVPALFRARLARPASSTAYAAAAVSASSSTLEELAAERKGRPFLPVTSAAFLGIFVGSSLTLPQRPMSLLLASVHMVNRSARGKKMAWWAGLAKVVLKKGKTQIFRDGSPMVYSGAVDRIIGRPPPKSGDVVLVADGTEKPIGWGLYNSVSMFCVRLMQLEEEARRDPTCALNMERLLEARILSAVNLRRSLGLPSANTNAYRLINSEGDRLSGLIVDIFADAAVIASSAAWVEKYRQEIQFLVSKVDGINHIKWRPSADILKEEGLDIPEPKEAASSSCSTVKVMENGIMYLVSLEGQKTGFYADQRENRHFISLLSKDQRVLDLCCYSGGFALNAARGGAANVIGIDSSGSALDLANENIVLNELSPEKISFVLSQKNELWDLIILDPPKLAPRKKVLQSASGMYRNLNALAMQVVKRGGLLMTCSCSGAMTQSGMFLKTIQGAASMAGRKVTVLRQAGAACDHPIDPAYPEGQYLSNYLLRVTLQMNTSI >Et_3B_028821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19513983:19521149:-1 gene:Et_3B_028821 transcript:Et_3B_028821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLENGAAAAAAVGSYKRGPALLPLRAPSTGVRRARTRSRLARLLLVEKVDYLQWIVTAAAFFFVAIVFVAFLPGSVVVERPTLLLPSHRAGGGGGHGAGGGTLPLGGGSEAVGGAVVAFEPTRLKEKWARERREEAESLAKLGTPVMRVGTRKPRLAMVFGDMSPSAMQLQMVSVASVLEAMGYEMKVFTFEDGPCGNIWRTIGVPVSILPEDSNLPNFVDWLDYDGILVNSIEARPVFSSLLHEPFKSIPVIWTVHEYSLARRIAEYNSSGMVQIIDAWKEVFSRANVTIFPNYILPVMYAAFDSGNYFVIPGSPAEAFQADGFIAKSYHQDVRVSMGVDPNDFLIAIVGSPFSYGANLMEEALVLQAISPLLQQYPTGNRTQSELKVRMFTGNVTEKCSMAFEAVALSAGFPRGAVELIAAEDNDRLLGVADLVIYGSCLEEPSFPSVLVQAMSLEKLVIAPDLRIIREHINDGVNGLLFPRKNIGILSQVLVQAVSNGNLSVSGRKIASAGKAHAKNLMASDTIEGYAVLMENVIKFPADVLSPLSAADIPLALKQEWKWHLFEDVKHLYHTNESLAGSKILQKLEEEWHSKQMEHPPVSTLKIDEAFSAIAWEEQRANEILEMKRKMEEEEFKDRNDQPHGTWEEVYRNVKRVERLKNELHERDDRELERTGQLLCIYEPFFGEGTWPFLHQSSLYRGIGLSSKGRRPGADDIDASSRLPLLNNIYYRDILGEFGAFFALANRIDRIHKNSWIGFQSWRATARKANLSNNAESAILEAIESQKYGDAFYFWARMDQDPRNHANKDFWSFCDAINAGNCRLAVLEAFQRMYGVKLDHELISLPRMPNDGDTWSVMQSWVLPTRSFLEFVMFSRMFVDALDAQMYGKHHLTGHCILSIHKDRHCYSRILELIVNVWAFHSARRMVYVNPETGAMEEQHQLSDRRGQMSIQWFSYATLKSMDEDLAEEFDSDHPDRRWLWPQTGEVFWQGLYERERNMRQQEKERRKQQSRDKILRIKKRARQKTLGKYIKPPDDTGGLNQTRTVDD >Et_7A_050473.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:17581886:17582047:1 gene:Et_7A_050473 transcript:Et_7A_050473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDGFMDGSEMFLKAAVLCQHRPTRVQFLDLKDRDARIEFINFVWSNRDARI >Et_2B_019534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:143070:146947:-1 gene:Et_2B_019534 transcript:Et_2B_019534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAALLAALAFLAGTALAADPYAFFDWDVSYITASPLGVPQKVIAINKQFPGPVINVTTNYNVVVNVLNSLDEPLLITWDGIQQRKNCWQDGVLGTTCPLPPGWNWTYNFQVKDQIGSFFYFPPLSMQRAAGGFGGITVNNRAVISVPFDTPDGDITLFIGDWYKKNHTDLRKMLDDGKELGMPDGVLMNGKGPYRYNDSLVPDGIEYETIKVEPGKTYRFRVHNVGVSTSLNFRIQNHNLAIVETEGSYTMKQNFTNLDIHVGQSYSFLVTMDQNASSDYYIVASPRFVNESVWARVTGVAILQYSNSKGKASGPLPDPPNDEYDKTFSMNQARSIRMDYGEWTENSRGTYNKWDGVSRCTTQVFPGAWTAVMLSLDSPGVWNVRTENLDTWYLGQETYIRVVDPAGGYNVTEMVAPDNMLYCGLLKDKQKAQKPHGSSSSSTFAAKLNGYLVAVLVLLALAFIQ >Et_1A_007710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37463235:37464731:-1 gene:Et_1A_007710 transcript:Et_1A_007710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACMQILAEIFGTYFLIFAGCGAVTINAARNGQITFPGVAIVWGLVVMVMVYAVGHISGAHFNPAVTFAFATCGRFPWRQVPAYALAQMLGATLASGTLRLMFGGRHEHFPGTLPSGSDVQSLVIEIIITFYLMFVISGVATDNRAIGELAGLAVGATIMLNVFIAGPVSGASMNPARSIGPALISGEYRGIWIYIVGPIVGAVAGAWAYNLIRFTNKPLREITKSGSFLKSMNRMNSST >Et_9A_061445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12086488:12088504:1 gene:Et_9A_061445 transcript:Et_9A_061445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYNPTSQDTRSEFAPGETVCDDGRKPVIRIEDLPVDVLYNIVSKLPSKEFARTCVLSSRWRCMRSVCPRLTFDGVAVCKCDKVDLYRHTGKFIHKVVETLEVRIDFVDSLLVHLNNWVGFAVSSRTKNLTLDLKPKRFWKYNNHYVFPFNILDNRCISRLQKMQLSFVSLKPPSQFNGFPNLRKLHIQAVHASRKDLEHVLSHCCSLEWLRIDRCNLDCELMVDGSLSRLLYLHVECCQLTRIKFIAALFQAETAFIHLNEAVFQRVLTSLLNGLPNAQSLTLCIEWQHIEVFSWRPLRLLFYLSNICGDRLYFLFQKQWLWDNPLKFYHLRHLQLFMLSYSKDVDKVLYSVSFLRATPFIEKLEVHMWVLVSRILGNVNIIQLVRLAALQRLFCSSYSVSVISSVAATVFLQSLQCSQLFFCSRNCSYSLPNRLYLKNMCVTGFQAARGQVEFLLHVVENAPELEVLSVNTHEQAGKDTWPYGGSGPPFKEAKQIARTSLSAVLQQNVKFRVI >Et_1A_006689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26430316:26433399:1 gene:Et_1A_006689 transcript:Et_1A_006689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSRVVETRWWLEERARNRMESSSARGSASEENRLGYARSVSMDSEARPSLAARSSSLLSRRSSRQGSRGSISLSREMGNSILSSMRHSLQSADQLLGDVDSSVLAQLIDSGDRVFEPDGDAGEGTVNTSEHHKVGPLPDVAMMHNNGKIVAAPVSSMEPKDSLASVPASSSIKVEPYKLSSRLDYAAYLIHLAVFGFFGVFTRYGLQKLFGPDCLALTSDQSPLYPDLPSNMLGSFLMGWFGIIFKADIRQISDHLIVGISTGYMGSLTTFSGWNQKMVGLSSKGRWVYAVAGIVLGMFIVNECITIGAETGERLRSSILKYIRENSSIGSKCDWGHWRVDTRTKHFVLLSVMMVLLSLLWILSIVLAVVKVRSIDDGAVLWLGCSVAPPGVWLRWYLARLNGQGVGKQRSLRWLPIGTLAANVLAAGIMAALAVTSKAVHTKRSATILSGIQLGFLGCLSTVSTFAAEVYTMRRSGQIAKAFVYAASTFLLSFVLGTLVYSVPVWVKHYG >Et_3A_027145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34474675:34478385:-1 gene:Et_3A_027145 transcript:Et_3A_027145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVSSRVSSASVPPTPRSEGEILQSANVRSFSFNELKTSTRNFRPDSVLGEGGFGSVFKARPGTGMVIAVKKLNQEGFQGHREWLAEVNYLGQLSHPNLVKLVGYCLEDEQRLLVYEFMPRGSLENHLFRRGSHFQPLSWNLRMKVALGAAKGLAFLHSDKAKVIYRDFKTSNVLLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTAKSDVYSFGVVLVEMLSGRRALDKNRPSGEHNLVEWARPYLTHKRRIFRILDARLGGQYSLAGAHKAAALALRCLSGDSRNRPTMDQVVAALEQLQDAGKDTSAGNGHGRSAAARPNHHPRRRLSTAASA >Et_3B_027473.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20594837:20595154:1 gene:Et_3B_027473 transcript:Et_3B_027473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVSYRGVILAWGTVPRFTIDGKRQGRYAHGVATVLAKAEGSVVRESMQNLIRAELQALGSAEFVVDGELRGFGRHISCKTYLFEGEPTLGLPPCSVQKKAGSP >Et_2A_014993.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:2561048:2561398:-1 gene:Et_2A_014993 transcript:Et_2A_014993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILAAAAFTVVVLGAGAAAAGADDDDCEVAQQVFSECVSYVMGVDISVPPKCCMALGDVKDMGDAVAQRRTLCRCILSEMLAAGSVVSSRAAGLPDACKVQVGFIPTSPDFDCST >Et_1A_006006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16574266:16575680:-1 gene:Et_1A_006006 transcript:Et_1A_006006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSSSRLLAARCFLATVLAAVGLLAKPSECARAFFVFGDSLVDNGNNNYLMTTARADAPPYGIDYPTHRPTGRFSNGLNIPDIISEHLGAEPLLPYLSPQLRGQKLLVGANFASAGVGILNDTGIQFRLYAMGCRRVLVTGTGPLGCAPAILAQRSRNGECATELMRAASLFNPALARVLESLNARFGAGTVLEMDARMHRDDLD >Et_4A_034989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8573959:8583328:1 gene:Et_4A_034989 transcript:Et_4A_034989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPQVQGVGRNGPFSGKARPWEQSNICDWIGVPRSREQASGSRDHAGAMVFIGGQHFTYIAVVYTPYPWQMLSLTDRKYQVIQTPEDIGNRYEQSILGRSAGGVYFATFSCQLHVWILNESCGQIEWVLKHHVNLDPCSPWPTAYHDDKLQYIESFLGFHPYKEIIFFMTAFTGIAYNLSNSKVRYLGKLCPNDDYGTKHPDLLRLHTLMKSHVNTNYN >Et_3B_027788.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:19201680:19201808:1 gene:Et_3B_027788 transcript:Et_3B_027788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLRICMDCHIAIRLIAKVTSCGILVRDNTWFHHFKGGVCS >Et_10B_003668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4637370:4639519:-1 gene:Et_10B_003668 transcript:Et_10B_003668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSMLGDLDGLPEEDKMRMAAMVDQLQIRDSLRMYNSLVERCFTDCVDTFRRKTLDKQEESCVRRCAEKFLKHSMRVGMRFAELNQGVATPD >Et_4A_035243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14104401:14106604:1 gene:Et_4A_035243 transcript:Et_4A_035243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLYYLLVIRSGSRRLPPGPRPLPLIGNLLDLRGNLHHALARLAQAHGPVMHLKLGLTTMVVVSSRDTLREAFAKHDKRLAARNVPDAARVLSDVAMLYQPSSDPQWKTMRGLVASHVVSPRSLAATRGVREGKVRDLVRHLRGRAGREVEVAQAVFGGVINLISGTFFSADAVEIGDASAHGLHQQVEVLMDSITRPNVSDLFPFLGRLDLQGIRREASGYLENVFRILDRIVERRLAEKASAATEDNNKHHDFLDTLLGLMSTGKIDRNTMRASMLELFVAGSDTTASTVSWTMAELLHNPSVMAKVREEIKATFGGKEAIEEPETARLPYLQAVVKEAMRLHPVAPLLLPHKAVEDGVEIGGYVVPKGSTVLFNTWAIMRDKTLWERPEEFVPERFMDAAREQVDFRGSKELDFLPFGTGRRQCPGLPFAERVVPHVVASLLHAFEWRLPDGVSPDQLDLSERFTTVNYLATPLKAVPIFMAGFNFAIWLGMKLGGCGTAETSQACTRWQSGTPNLETRLDDEGSHHQR >Et_3B_029592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26279271:26281201:1 gene:Et_3B_029592 transcript:Et_3B_029592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQMSSHIISPLSLAPSTGATKQPSRSPVSVLPVGRRRAHCFPRLALPPGDTVALRRSLVVRAQNRVPEEPNHFVAERRQILSRYIKISPIDEGYLDSAALNLGAPNDISMGTVHMTLHVYVNVFLHASEDSYNRKVEDKTVVSFLGALKGIASVSHILLEAALEALSDIHPRESLSEHALNKDVKTIHHEYNREMGDLEDGFRKINTIDACKLLMPTISKGVKVTESFVGLMLARRQRAIEKARKLVI >Et_10B_003291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18514207:18517345:-1 gene:Et_10B_003291 transcript:Et_10B_003291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRLAVLAAAVAAAAFLLSMDDSQRDVAVLEIRDDDLELIAIDGGATGPESLAFDAHGGGPYTGVSDGRVLRWMPGERRWVEHSSSSSAPELLASCRGSRDPGREHECGRPLGLKFNNETGELYVADAYHGLRVVGPNEKVSRSLVPEWQGSRPFSFANGVEIDHETGAIYFTETSTRFQRREFLSIVISGDKTGRLLKYDPKSNHVEVLVDGLSFPNGLAMSSDGTYLLLAETTTGKILKYWIKTAKASTMEEVVQLSWFPDNIKWSPRGGFWVGLHAKRGKIAEWSISYPWLRRLILKLPMRHVQRVSTLLNQLGRQVIALRLSEEGKTIEAVSVHGDVQKVFKSVSEVEERDGSLFIGSVTSPFLGVYRL >Et_6A_047370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4633261:4638334:1 gene:Et_6A_047370 transcript:Et_6A_047370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKVVCVTGAGGYIASWIVKLLLQRGYTVRGTLRDPADPKKTKHLRALDESAERLQLFKADLLEESSFDAVVEGCECVFHTASPFYNNPKDPQVELIDPAVKGTLNVLGSCKKASSVKRVILTSSLAAVVFTGNPLSPDVVIDEKSFSVPEICEKAKEWYVLSKTLAEEAAWKFSKDNGLDLVTINPAMVIGPLLQPTLNTSAQIIANLINGSLAYPNCSFGWTNVKDVALAHVLAFETPSAAGRYCMAEKVVHFSDIVKIIHDMYPSLLVPDKCADDQPFAPTYQVSVDKIKSLGLQLTPFEASLKETIECIEEKGFVSF >Et_8A_057097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20989052:20992541:-1 gene:Et_8A_057097 transcript:Et_8A_057097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVSATSKEAAERMLMCSDLYIAAFKGRTEEVTRLLRGSNHGTAADRNAIIHPGPCCSTREVTAERSTLLHIAAGQGHVGLLVALCHRDSGLLLCSANSSLDTPLHCAARAGHADAIEAIVRKALDYLEEDRLHGWLAGKNKAGDTALHVAARHGHGASVETLMKLAPELASEMNGAGVSPLYLAVMSRSVQAVTAIMECRDASAAGPDSQNALHAAVLESSEMVSLLLQWRPALVTDLDANKSSPLHYASSDGDCSIIQEILTYAPPSTIYMQDSEGLSALHAAAVMGNDRAVRLLLQADPASADIRDNHGRSFLHAAAMRGHASIVSYVVKKNQMLQNLSNEQDMEGNTALHLAVKAGECKVVSKLLSSGKVQSHIMNNAGRTPSDLIETSKAQFRPQRQDHIKEWSGQEIMKWREATSKNLAIVSTLVATIAFSAAFNVPGSYGSDGKANLNGNRMYSAFLVLDTFALTHAVMATILLVYERASRSSSRSCLGFFISMHFLWLSLLSMMLGFFTAIAAVTNGTNTSTRTVMYVFIYNGIYFLTMVLTSLAMPGSVIEVLRFLVGGGSQHQRHEKRRIRRQYPFAFLYAFNILVFIVINTIALAAVNTTCNLRL >Et_9A_062209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20746391:20751968:1 gene:Et_9A_062209 transcript:Et_9A_062209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRCPAAAAAASPSRVRSSVPPSSSLFPPARVSRRSAGSCRCHGGGFRKNYDHIPKQFREENLKDGLMDNYKNVPQFLYGLSPAQIEMFMNDDNPYNRQSQKVTEESVSAARSYDEFGMYTLSGKHEGPASYSMGMGMGSMSMGMGRGGRGYRRMRSSAPDLPSLLLDSRIIFLGMPIVPAVTELIAAQFLWLDYDDRTKPIYLYINSTGTMDENNELVASETDAYAIADFINRSKSKVYTINLSMAYGQAAMLLSLGVKGKRGVLPNSITKLHLPKVHKSGGAAIDMWIKAKELDTNTDYYLDLLSKGVGKPKEELAEFLKGPRYFRAQEAIDYGLADTILHSLDGSFKPKDLTAQLAKAQEMRQSVPGEEPAGEEAEREGGDGGGDHLLVLLHRVDDALRPLGVREALQLPRHALLPAAAGALLPPALGFLRRSGRLGPLFLGAAPHCLQKALPIDNLSLRWLRLGLRGSCSSLGLGGFVFLFLHHGRWRRLLLLLLFASLGIHHLLGLLHICSLLLNWLLALFLVLLCFLLLWWLVDLFLVLLLCLFGRVLAGLLCLDLRRRFGLGLLGLLLLWPGLLLWLGRLLRLGHLLWLGLVGLLGRLDDLVYGGRRRGGALGHGEREDAGVEAAADAVEVGGGGKLEDPVEANLLGALGTLAAHAADCLVPGLLAMMFDQAEEVIKLRV >Et_2B_019034.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26059422:26059793:-1 gene:Et_2B_019034 transcript:Et_2B_019034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSISSVCTDAVAASTRIQLRVPPPRFFRLGTSRPPGKRRPADPGGADGRAGEEAEATWDGYARCRSGCLTESDAAPAAAMAGAPASDSPSSWAPAWAVGLLQMGPSRISNLEWGCVGLAD >Et_1B_014066.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:29534285:29534482:1 gene:Et_1B_014066 transcript:Et_1B_014066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLLVVLLLLLASASCVNVVVTGASSSSAGARPAWPVALTAYALWVVASAALAVVLRRRRRAN >Et_2B_018923.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17013754:17014149:-1 gene:Et_2B_018923 transcript:Et_2B_018923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHEVPSSSPPPPPQKSKLSGRWSALPSQSTTTISSSVAAGEAAHVKGTTLMPAVRASPRAPTVLPDAGKCAKWRGLCQCVMPGRMRSRTSRSAAANAPGSGRNGASSGSWRRRNPGVTLGCTGYSSMRP >Et_3B_029117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22228143:22232800:1 gene:Et_3B_029117 transcript:Et_3B_029117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKRSPSVAALPPAGRRARARLCLRLAAPLSFLLLLATLLRTQPAPPAAPVAPPFEGPAKVAFLFIVRAGVPLDFLWDAFFRNGDEGKFSVYVHSAPGFQLDRTTTGSPYFYGRQLARSVKVAWGEATMVEAERMLFAAALQDPANQRFVLLSDSCVPIYNFSYIYTYLMASPKSFVDSFTDKTEKRYNPSMSPAIRKNKWRKGSQMVVTKALLGRKPNAKKAAREEHDCIPDEHYVQTLFSIKDLEDELERRTLTYTSWNQSSNPKDKVTWHPVKFEYDTTSPEHISSIKSIDHVNYEMESRTEWCQCNGTSVPCFLFARKFSYSAAMHLLEQGAVGPPKSLTTAVGEHPLEMRKTNVTPVT >Et_3A_025828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33143993:33145377:-1 gene:Et_3A_025828 transcript:Et_3A_025828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPIECQVLLLRVSIHCEGCKKKVKKVLQSVHGVLRCDVDARSNKVVVTASTKLDPYILVAKLRKSGKQADLWPEQPTPQQPPAESQSEETKNQNDEPSEPDEKPGPDNAAEPSNPQPSPEPKHSNASETPKPAPESKEASNSNTDANAGDEDTAAAAQQQQPNDAKGKAKQQPEERHPVHARVTVEYDRGGDSYMPPAPQPVPIMSYNMARPSASAAYYAAPPAPAPAPMPMPMARPGPSQGYIDEHYTPSYYGRSSSPYEPYYYPPQPSPYRYQYQPGVSTEDYYYSAPPQRSAFSPPRDGYGEMFNDENANSCTVM >Et_2B_022911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7903371:7904799:1 gene:Et_2B_022911 transcript:Et_2B_022911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQSLHMNQGEGEASYARNSTLQRAEQNRMKAIIDEAIESLLQSTGSFSSLAIADLGCSSGPNALANVSAAVDAVFRYSAQHEQVPPEVCLLLNGLPGNDFNNVAKRLVEFQQRVETVSRVMTCIVPGSFYKRLFTSNSLHLVTASNSLHYLSEAPEDLKRNKIPLYYSDEGLTRARRPMLVQAYGQQFRKDFSNFLNLRAQELGVISREMLDLFYVPSHAPCEKELRDMIEDDGTFKINKMQGHELMTGIDKASITPNMVAYAIRAMFEPIMVQHFGPSGQVMDEFVRTLEQQLRPGSLEYETVIGDRVFLCAFLTKRI >Et_2B_022866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:698694:699100:-1 gene:Et_2B_022866 transcript:Et_2B_022866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARYVKAASRFFRAGNAGCCDRRHFLDACFLCKRDITCDRHIFMYKGDAAFCSDDCRQDQMDMDAALKAAASRHRMLRRTSSAPATVMARRRPTIAQT >Et_1A_008548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8697149:8701240:1 gene:Et_1A_008548 transcript:Et_1A_008548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSYEGVLLGMGNPLLDISAVVDDAFLAKYGIKLNNAILAEDKHLPMYDELASKGNVEYIAGGATQNSIRVAQWMLQIPGATSYMGCIGKDKFGEEMKKNAEAAGVTAHYYEDEAAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKRPENWALVEKAKYIYIAGFFLTVSPESIQLVAEDAAANNKVFLMNLSAPFICEFFRDAQEKVLPYADYIFGNETEAKIFAKVRGWETENIEEIALKISQLPLASGKQKRIAVITQGADPVVVAEDGKVTTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQGKSIEDCVRAGCYAANVIIQRSGCTYPEKPDFN >Et_7B_055886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6840675:6841736:1 gene:Et_7B_055886 transcript:Et_7B_055886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEVVIRLDMAEERRVLSAAERSLREFLQARIPSLMSEVASRSAGMVLQAISLSASMVVQPVSTLVATATCTQDARPIADGNRLLARFPASVHAVPKVAGVKTKTAYNAIKASCADSRFMVVARNGGSLVAGRSVSWSRRAKKRHEKKHNGGQLVAGRHVSWSRRAKKRNENMGRRHGLPRSTRLATWNFPHNVDTKTFSPVSGCRTSRGVAPFTSAGEMAGTIGALLLTTVLLVAVAPASAKDYTVGDSSGWTTGVDYTAWTKGKTFHVGDTLCKDTPMPYN >Et_5B_044161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20928883:20934321:-1 gene:Et_5B_044161 transcript:Et_5B_044161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCYSDDSDPDLDADLQEDLDALRRSCILSGADPDAAVAHDDSSDDEEEDEDLALPRPICVWPPSDTDDDDEDDLETLRAIQRRFSHYQSGTSTSSPENLKPEASPGLRSECFANEPDDTVEKESVKGLSRTGFPKAALLLVDALKKNRACQKLIRRKMISIEAKIEENKDLRDRVKCLMGYQLSCRKSVGKFLCQKEDPRVRLISTRKQTVQGAKNKPKKMPALFLGPAENLHVSKYKMVLKQFPMSLQKRPWLDAEKEKLARGIKQQYQETLILDSMNNGSAIGDFSAVDMAYALANAASNFDVTPESLRSVLPLINWDKIAAMYLPGRSGSECESRWLNCDDPLINHNAWTAEEEKRLLFIVQEKGMCNWINIAATLGTQRTPFQCLARYQRSLNPHIINKAWTKEEDLQLKAAVETFGDNNWQLVSASLDGRTGSQCSNRWRKTLHPERTGRGRWSLDEDKCLMVAVKLFRSGSWSRISQFVPGRTQSQCRERWKDVLDPSLDHGEWRPEEDSKLLDAVSKLGTKWSQIAKLIPNRSDNMCLSRWKRLCQDKLPALQATNQIKKTIFQSNFVDREKERPAIGPGDLITLVHSQSDRHDENTLRGGSKKQTEEIVTVSDGLDNSSRGRIRSRKKKFTPENTVGVQEIMRGCVSVDNEAVPTELSGIIATDIEAGENGMRKPVSGGEKGVVKKRRRNNSVGNERAVRKRRGLDSVGNEVVVRKRMRGSIPMDNEAVPMELRGSISTDVAAGENGMREPVSVGEEGVVKKRARRTNSVGNEGAVRKRRGSKSVGNERVIRKRMRGSIPMDNEAVPMESRDSISTDIEAGENGMTDPVSVGQEGVVKKRARRTNSVGKVDRMSESIPMDNEAVPMESRGIICTDIEAETNRMTDPVSVGEEGVVKKRTRRTNSVGKVDRMRGSIPMDNEAVPTELRGIISTDIEVGENGMREPGSVGEEGVVKKRTRRTKSVGNEEAIRKTRGSVSIENNGTVTRKRASSSDIKSVFFRPVSRKSAENSSVADGMVIAIPDLDLPSVPSEDIILKDGNLNKRRKKSAPRPKQINMAEGDANKHSTSVRLATCLSFARMNGTNRSKT >Et_1A_004544.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14858548:14860778:1 gene:Et_1A_004544 transcript:Et_1A_004544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VPSHCFPYPPLLRRRRHRHHPCIYFACPRPLVQPSMSTNGASSLPNWVLLNAHAYLGKHKNATFASGATRNGELIEVSFCPARPPFPSNLFVHCPDLDLSPFLPRIVRGVEDLLLLRVAIGSRITPDHCDYFIYRADTNGPPSLELLSRPHPFFHDDDVGLLSRGDHYTVAALIATSTPDQYHLHVFHSETRTWIYRKVSVEEPQRGLPLKIPKNSDRLLYHDTSTVIALGGKGGTLGWVDLWRGILFCDVLREDSGLRGVPLPLPVELVSCNNGMGVELGCPKPLRGIAFIKTCDTDTGFLRLVHLEPDAICLRDEDDETGSPSFLMRDWTITAWSNTKMTSSWKDWHKDFKIQASQLEISSEIHSQLQQSGLLYETQDGDEAAAAERAIHNLLVSDPIPGISAAEDVVYLMARIKYLHPKAWVLALDMRNNMLLGAAGFGAEREPGTRAMYCPSSISSYIMDMEAAQGM >Et_10B_002519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12716821:12717816:-1 gene:Et_10B_002519 transcript:Et_10B_002519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPPPPSLPPSSLPSPVAEPYRSTLPWPDLLAGVAAATRRLVHAHSRHFLALTSLLLLPLGLLLIALPTPLLPDSAAASSSPLPDPRWSPLPVPLPLLCLAAALLYLAAFAAAATSAHAGFFGRPVRLLASLRSVPASLLRLALTALPATPLLLLPLLPLPGHLGAGLAVLGFVLLSPYWSLAGAAAVVESTAGLAPLRRSCRLLSGARLAALSAFLVVAAGVGVTLSGFGGVAAGTYDAAAGWAGMAPVVVKAVAGTALLAVLMQYGIVANVVLYMHCRALQGELAGEIYNEFADMYVFLPFDDGKTRHVVSVVTVWP >Et_3B_028143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10919624:10921229:1 gene:Et_3B_028143 transcript:Et_3B_028143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSRASIHLWKRNLQICLARTTSSSAARRHGSSQRPRGVPATARCYWGLPYRPLLPHRVYGLVFNYIDHGRPHLFSRPPLRSPASNSGGPKIDGLLSFLPEEETSGTWWSVLDHCNGLLLCAIHWESELCVCNPAMKRWTVLPSPRVEWGRRESRGYAGAYLVFDPAVSPHYEVIVIPTLRRRRHRRLKIVAGRLMKRRLMTMTVSSHGVATIAMEDKRWEDRTFVRKGEPAGIVQDLRIDPFEPTWGGPTSTLCRILAGCAICALSRPFYFEACTVQRQVSRCKTISWESNEIKRPTTEIKGAKPYLGRIKNRVYYAIVYDRELRIWMLDESYGHIKWLLKYEIDIGLYADHGGPFLDKNGKQLCGTWTLEQGH >Et_6A_048142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7552666:7553037:-1 gene:Et_6A_048142 transcript:Et_6A_048142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDLGNSTTSTTYEMLAQYDFPPGILPQGMKGTLSPDGSFEVDLPGDCNLDAVDTQILYSSRISRDIQNRMIHSLEGVKVNRIEDQIQFHTKLESKSSPVDNFANSTSPM >Et_1B_010240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31600744:31602237:1 gene:Et_1B_010240 transcript:Et_1B_010240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSPASPPRGSSKRPRHGQPSPSLPDDVIVKKILTRVPAAAAVRFRTVCRAWHAALTSDHFVQAHHRAFRADTQPEIVFFAPRPASGGFSTAFYRCKLELTTQQNGSSLDEAAASASARELVTVDNLRPSDLVLSGTRPCHGLTLLLQIKPNASAYHVCNLSTGEHVSLPPCTPARTWIPYIGAPCYVLSSTGLCFDPVAGEHKVAYGLRSGGWRPFAGQVPPHAAKGLDAGRPPVFLNGCFYWHIDMESKKSITPDQSILSLTVDTEQFGWVHPPEERKHRVFHLTELDGSLCVVVDLRLTVEEYELWTWPSGSSSPPSWSLRCRISLASLPGPMRDDLGRGIRMLPLASSFGGKILLATSCHEVHAYDPERNSSDRVFSVDDFVNAPGEAVPLLNIALYKESVTGVWHRPAAGDVSQLLKMKIGSKSNMVARREGLGQRPKGFAVTPQLVQLMLRPALEQYHSIVNNMYN >Et_10A_001700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7075276:7076494:1 gene:Et_10A_001700 transcript:Et_10A_001700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAQPLNPQRLASAATPGFGAVVVHRTQERDWSLLVADVVGVIADKLLADDVTEYIRLRALCTSWRSSTADPSLLLPSFFPRNWLMLQDEEFEDSDEEDDADEEVLTEPEAPAPEEEEETRKFRFVNVRTGALLRIRLPPVKKYGKVLAAGAEGLLLLHCKRTDSVLLFNPVTTAMAVLPGLADVPMAARSSTRFMTVGVVFDGESTVLLVVATRRRTAILCAKPGDRRWGTVEAGNPRPFDGGLCLQGQFYVATRHSDVLKVELAPQPHLVYVARLHGPDECACANPIGTYLVPSLDDNDVMLLVFCYGFAEETYFFGVHVGSGSFTPPLGVLGNSAIFLPSVTVRADKFPLVVPGIVYQRMRTLKTSSDP >Et_1B_011138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1783012:1792557:-1 gene:Et_1B_011138 transcript:Et_1B_011138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRLPFVAAATATLLLLLLAGATDAARGGSKVKLPSLQALGFLAAHNAARRAVGLPPLAWDERLAAYARRYAAARSGDCALVHSHGPPLTAAIFLSGIVALLLAGNAAVADAYPGGGGGYGDFRYQFLAQQNAARAALGLAPLRWDERVASYARWYAESRRGDCALVHSAMRPLAPLLLCSLLHLLVLVTFPAAQASTANRRQFPPYARNATVYDVSASLCPGCGTWAESLEFLYYHNLVRLARWELPLAWSPRLESYARWWASQRRGDCALRHSFPEGQFALGENVFWGGAGAEWRPGDAVKDWAAEGVDYSYAANACAPGRECLHYTQIVWRATTSVGCARVACDDGGVFMTCNYYPPGNVVGERPVRQHLNSAVKPADFNSLATPTDRLSLLQLRFSEMSLRNEVDERSKITPRRSTLFCRGGASFSSLALFLISSASAVSRCISLPTDAAISSLPITPSARAFSLSPYPIFHTSTSASAPRTRPSTQERTAASCTTHRILALLLARPTAISSSCADDTDRKLPNETYSTDPAGCTSSHAM >Et_1A_009557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8008048:8012448:-1 gene:Et_1A_009557 transcript:Et_1A_009557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFSAGRFEEAARHFTDAIALAPGNHVLYSNRSAALASLHRYSDALADAQKTVELKPDWAKGYSRLGAAHLGLGDASSAVAAYEKGLTLDPSNEGLKAGLEDAKKAAAAPPRRGPSGPDAIGKMFQGPELWTKIASDPETRPFLEQPDFMQMLREVQRNPSNLNMYLSDPRMMQVLSLMLNIKLQRPEASDSEPSQSTPPPPQQQQQTPPETKAREPEPEPEPEPEPMDLTDEEKERKERKAAAQKEKEAGNAAYKKKDFETAIQHYTKALELDDEDISYLTNRAAVYIEMGKYDECIKDCDKAVERGRELRADFKMIARALTRKGTALAKLAKCSKDYDVAIETYQKALTEHRNPDTLKKLNEVERAKKELEQQEYYDPKIADEEREKGNELFKEQKYPDAIKHYTEALRRNPKDPRLVTTFTEGVSPGFRSDKNLGSQRWRWAVRVYSNRAACYTKLGAMPEGLKDAEKCLELDPNFTKGYTRKGAIQFFMKEYNKAMETYQAGLKLDPNNQELLDGVRRCIEQINKANRGEISQDELQERQNKAMQDPEIQNILTDPIMRQVLVDFQENPRAAQEHLKNPGVMQKIQKLPLVKSNVSMSLKSALPEFAKLSKYIDSYKGVSSKRWFVVYDVYLEHDHRFVHES >Et_6A_046507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16248745:16254527:-1 gene:Et_6A_046507 transcript:Et_6A_046507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLHAAAASAARTTAIRLLCTATAAADAVSPSTPHLLALPPVAPSPAADELARLLLAHHNPFHPAESPLQILSGGGVALTGDLLVQLLLRLRGASKLALSLLHAARLHPSAVSPPPADAYDAVVDALGRARQFDAAWRVVVEASADCAASPRTFAVLARRYIAAGLTRQAIRAFDDMEAFVGREPDAGEFATLLDTLCKYKYPKVAAEVFNKRKYKYEPNEKMYSILIYGWCKVNRSDMAQKFLKDMLDHGIEPNIITYNILLNGICRNASLHPDDRFDRTVHAAEDLLKEMRDRGIEPDVTSYSIILHVYSRAHKPELCLCMFRSMKNKGICPTVATYTSVIKCLASCGRLEDAETLFDEMTAEGVCPSPATYNCFFKEYRGRKDVSGALELYNKMKATGSSMAPDIHTYHILLGMFIKLNRYGTVMEIWSEMCESTVGPDLDSYTLLIHGFCDSQKWREACQFFMEMIEKGFLPQKITFETLYRGLIQADMLRTWRRLKRRVDEEAVKFGDEFKRYHIRPYKSRRSLKNPLSNKEVCRLPDCRFSMV >Et_4A_034699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5490998:5495363:-1 gene:Et_4A_034699 transcript:Et_4A_034699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRHFAIFTTASLPWMTGTAINPLFRAAYLAKDGDKDVTLVIPWLCLRDQELVYPNKIAFDSPSEHEGYVRRWIEERTDFRPSFSIKFYPGKFSKEMRSILPVGDITECIPDEVADIAVLEEPEHLNWYHHGRRWKKKFWRVIGIVHTNYLAYVRGEKNGQVIACVLKYMNTWVTRIYCHKTIRLSGATQDLPRSIVCNVHGVNPKFLEVGKQKLRQLQNGEKAFTKGAYYIGKMVWGKGYRELLDLLSKYQSKLASLELDLYGSGEDSDEVHESAQRLSLSVNVHPGRDHADPLFHEYKVFINPSTTDVVCTTSAEALAMGKIVICANHPSNEFFKQFPNCRIYNNDEEFVQLTLSALSEQPAPLTDVQRYELSWEAATERFIEAADINPHAPESKIHQTSRVPLPAFLRTRKVKQNLEDASVYLHQALSGLEVTRRAFGAVPKTLQPDEQLCKDLGLAPPVKRKKLKFKLIS >Et_8B_058723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18851683:18853206:-1 gene:Et_8B_058723 transcript:Et_8B_058723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAPTPTLKLHRGASAATSATARVAVAAPGNNNRAHLANLETLFRNRGAAVESTAPPEPVRPVVGTPSFLARRTTTTARGVAGDEHPAAAPALSPRRLERLLQPVAPDGPSPRGDIAASWRRLHGEDGWRGLLDPLHPDLRRELVRHGEFVDAAYGAFLSHPDAGPAATKRVRVPLQDAAYRVTAPLFATASVGLPPWLAAAAPCAAQRTSLVGYVAVCDSAAEVRRMGRRDIVVALRGTCTVLEWAENVRAGLVPATDSNNSSSSSPDEAKVECGFWNLYKTAAGDGSPSLSEMVVSEIRKLLDTYKGEEISITVTGHSLGAALAVLIADELAGGVAAAAKAPVAVFSFGGPRVGNRAFASRVEARGARVLRVVNAHDVVPRFPPPLLPGSYADVGRELRLDSRASPYLRPDADAACCHDLEAYIHLVDGFLGSHCPFRANAKRSILRLVKNQGGNVKQLYISKAKDMRIRLDGGAGADMTGAAANTVVECVH >Et_4A_035534.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28100401:28100685:-1 gene:Et_4A_035534 transcript:Et_4A_035534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGVDEFSMLLSRWCTRIQETEKIALAAATGLDQKQINNWFINQRKRHWKPTSEDMPFGTMMEGGGFHVPQGASAAMYLDRPFMADGLYRLGS >Et_5B_043973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18430570:18435089:-1 gene:Et_5B_043973 transcript:Et_5B_043973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAIVNAAGGKDYPGKLTLFVFFTCVVAATGGLIFGYDIGISGGVTSMDPFLEKFFPEVFRKKQMADKNNQYCKYDNQLLQTFTSSLYLAALVASFFAATVTRVLGRKWSMFGGGLTFLVGAALNGAAKNVAMLIIGRILLGVGVGFANQRGHPEEARRMLRRIRGTEDIGEEYADIAAASEEAKQRRYRAQLTMAIAIPFFQQLTGINVIMFYAPVLFDTLGFKSDASLMSAVITGLVNVFATLVSVFTVDRLGRRKLFLQGGAQMLVCQVVVGTLIAIKFGTSGIGEIPKGYAAVVVVFICAYVAGFAWSWGPLGWLVPSEIFPLEIRPAGQSINVSVNMLFTFVIAQAFLTMLCHLKFGLFYFFAGWVVIMTVFIALFLPETKNVPIEEMVLVWKNHWFWGKFIGDEDARHVQMASKKTADA >Et_10B_003863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6947699:6948446:-1 gene:Et_10B_003863 transcript:Et_10B_003863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVCNSWRSSTVDPRGLMHPRFLPPQLADAPGPGRRRGKILGLRRRQRREDVVAPAPEDGTRRFVNVRTGAALRIRLPPAEEYGKVVTTGGAEGLLLLRCERTGAARLLNPLTSAMAVLPGLRVLAVETRFAMVRSETRPGAFHLGRSRLPRRRRASHRAAPPRLRRQDAPVRQPRPGTTAGEPLTSAASSATAGTTSSTGPSPSGASSLRRGQPSSPAAVHACGTEFHSDVDS >Et_1A_009406.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4945612:4946217:-1 gene:Et_1A_009406 transcript:Et_1A_009406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYHYTSTSQFVFAAVDSQPKPGSHRPSKTTVQIPITSPSDEARERSPDAAAATKIQAAFRGHLVRRHVAAVRKADAEATRLERLLRRQETVDAVRGDERERARFSEALMAALLRLDAVPGYYPAVRDARRAMSRRVVGLQEVFDAVVAAPEAQTCGVPASLEQILEGIWGAGNQATATPTPAVEEGRRGSGWARFFGGA >Et_5A_042474.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1462877:1463779:1 gene:Et_5A_042474 transcript:Et_5A_042474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRGSSSGSNQTTFMAFSEKPAGQASPPPSPSERPPAAPRGRRRAQEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGAQARTNFVYAHTAAAAYNYPPFLAPFYHHAQPSSYHAPSSVQYGGHHGGHGGGGGIGSSYHHYHAQAGAASSAGERSMAPTTAAVERADGALLDGGRDFFFASADDNSGYLSSVVPESCLRPRSSAAAAEDMRRYSDADAYGMGMGLREDVDDLAQMVAGFWGGADAEQLGACGFPAGGGDMVASSQGSDAYSPFSFLSH >Et_2A_015180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34461135:34462481:-1 gene:Et_2A_015180 transcript:Et_2A_015180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTLPPFTVRRGEPVLVAPAEATPRETKPLSDLDDGEGMRFYSSGIHLYRDNPAKAGQDPAKVIRDALARALVPYYPLAGRLREEEGRKLVLECGAQGVLFAEADADLTADDFGDVQSPPFPCFEQFILESTTIAGVEPVVDRPLLYIQVTRLACGGFIFGQRFCHCVVDAPGGMQFEKAVCELACGAASPSVAPAWGREMFMARRPPRLSYPHLEMLSTPPSEMARVPFFFGPREIAGLRRRAPPQMRCSRFELVAAGIWRSRTAALGYAPDEEVRLSFIVNARGRPDVPLPEGFYGNAFAYSVAATTAGELCGKDLGYALDLVKKAKSAVTYDYLHPLFALSRTYIVSDISHAGFKSIDFGWGEPVYGGPAKGGEGPLLGVTNYFSRAKDGKGEEGTVVPICLPKDAMEKFQLEVESLTTEL >Et_1B_010306.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:4633694:4633858:1 gene:Et_1B_010306 transcript:Et_1B_010306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKIACAVLVAASATVALAAEAPAPAPTSGSSAVAPAVLGAAVASFFAYYLQ >Et_9A_061360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10517092:10519580:-1 gene:Et_9A_061360 transcript:Et_9A_061360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTRYWMLTRRKFGEQKAPLFATPNITVGSGGSSSASYYESWEERAFAEDSAGHLGGCIWPPRSYSCSFCGREFRSAQALGGHMNVHRRDRARLKLAGVADDGGSDNQIVSPRQSYMIQPCPPQIASLQHAYVANPSAPGTDANNDPLRSVLAHSPRSLVQPATARNVWGNQVVSAPLISVPAWIHSGKKEVLQDAAQTSQSNPRPEVARMCPSQGLQVGSGALKLSILGCRTRRDASDDDEESVHVGCKRRRIDLETAPLILCSVTPKHQQDGEDNADDKPDGRKVLKFCPSSSVEELDLELRLGEAPKTLTDSSAWEVRRKMSSSPGSPH >Et_10A_001492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:398280:399886:-1 gene:Et_10A_001492 transcript:Et_10A_001492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRLLVLHSQVRAGSPSLLAYTKTIFGPSNINIILVAMAMKFILQFLALALVFNMLSTHRAWAERDCYDDKILIKVKCKETLAVLGDYVLPNPECVRAVQQSDMKCICGILKPKEELEVSIAKFLRLARAYDRPLPSSGHKCGSKYSIHIAF >Et_8B_059994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4940867:4943018:1 gene:Et_8B_059994 transcript:Et_8B_059994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYGEGDKRWIVEERADGTNVHNWHWAERDCLEWSRGRLSALLAGLTVLDGEGGLTVRTTALDKLDGEAYVNIRKGKVIPGYELSLTLSWEAEAASESGVVKVTGAAEVPYLADENADEDPDLRVTVRGEESPLARRAKDAFLTRGKPLVLEKIREYVTAMAKGGPAKDELDSKKTSIKAAATPGGAATGPAAAAKKEEAAPAPAVKEKKAKAKDKEGFKTIEMTEKFYCRAKDMYEILMDENRWKGFTQSNARISKEVGGEFSLFDGSITGVNEELQEGKLIAQKWRFGSWPDGLYSSVRLVFDEPESGVTIIKLKQTDVPEEDKYGNSTVVENTERGWRELIFQRIRGVFGFGI >Et_7A_051993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3495353:3497837:1 gene:Et_7A_051993 transcript:Et_7A_051993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELPLVAPHQKTPMRKMTCSPIKARELCTKNTSRASVGNLAIKIYLTGMALDWMLFRESSAHSAGGILADEQGLGKTIIAIALILKEIDKQHKFMSADSDIVVHEDLKDMPEKISLSALFRQSPAHCTVHDKPCVVHKDVKDKPEKTRLSALFRQSPAHCTVHDKPCVVERTPSSPSALRTRAKPAGGTLVVCPTSILTQWDEEIHRIVKDSRLSVFVYHGCRSRNVDPEELVKYDVVLTSYGIVKKQFPSRKKGTANKPSDADDLNSGPVARVKWFRIVLDEAHMLSGGQSLLETGSKKEMVHDRNAYPKQN >Et_3B_031173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19944490:19945937:1 gene:Et_3B_031173 transcript:Et_3B_031173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVVKGEEVMKGKGPVVVTGASGFVGSWLVMKLLQAGYTVRATNEVIKPTVEGMLSIMRACKDAGTVRRIVFTSSAGTVNIEERQRPVYDHDNWSDVDFCRRVKMTGWLPVLLNRVSHTRSGANAGLTQSAQMYFVSKSLAENAAMAYAAEHGLDLISIIPTLVVGPFLSTAMPPSLVTALALVTGNEPHYSILKQVQFVHLDDLCDAEIYLFEHPDAAGRYVCSSDDATIHSLAAVLRDRYPEYDIPEKFHGVDDDLPTVHFSSKKLLDHGFRFRYTVEDMFDAAIRTCREKGLIPLATAGGDGSVRAPGETTGAALGKDNPAISA >Et_10A_000503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11535364:11542847:-1 gene:Et_10A_000503 transcript:Et_10A_000503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDAFRAKLHVLAVDDDCVSLMLIEKQLQRFKYKVTTVMRADTALEMLRARRDAEDKFDLVITDVHTPGMDGFKLLELIGLEMDIPVIMLSANDKLETMMKGIKHGACNYLVKPAPLEQVKNLWIHVVRKNVNGPSDSINNYDDGHHQSQSEDSEDGSVGKSSRKKKKEDGTRENKEAKRQRLQWSVQLHRIFVEVVHRLGIDKAVPAKIVEEMNVEGITRGHVASHLQKYRLFLKKVSTGTYRSRNPFTNKAVWTEGNFTNTDGQHGRYQPAISFVGSSNSSNPFCEDELFNHIWNAQHAAHPLMNQRNLGISSKDMDSVGYGGNLSQIAVPGSQHGVSNFTSAANSSFLSGPSGSSFANISNNTTFNTRNSSANIRNGSPPLAASMDATYYPYRSYASLCFTEQVAPFNIENKPVTPNYNCAPGGASSVISDLPSMQSQVLNGGDGSGSLLDHQVAANQLNYNNESLIGTSSGQNGLNDDLDDFFTDCLNQDVFENGDAFVDRDCEFAPIS >Et_2A_016761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27893392:27896896:-1 gene:Et_2A_016761 transcript:Et_2A_016761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCHTTPQCGRAPPSGARWEPRGAAVVRCARAGPARASGIEASAPARAANADAKEGPSLAERLRLGSLLEDGLSYKESFIVRCYEVGINKTATVETIANLLQEVGCNHAQSVGFSTDGFATTTTMRKLGLIWVTNRMHIEIYKYPAWGDVVEIETWCQEDGRIGTRRDWILKDLANGEVIGRATSKWVMMNQNTRRLQRVSDEVRDEVFVHCPKTPRLAFPEENNGSLKKIPVLSDPAQYSRPGLVPRRADLDMNQHVNNVTYIGWVLESIPQDIIDTHELQTITLDYRRECQHDDVVDSLTYIEEREEKSMNGSAFAAPHKEEQQQFLHCLRFAGSGDEINRGRTVWRKLAR >Et_5A_042453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11927331:11929469:1 gene:Et_5A_042453 transcript:Et_5A_042453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATTRAGQPPSFAEIPRDLAAKVLSLLPTHGDRVRFAAVCPQWRAAARQLRLPRPLPRRDDGCFLVDPFTGTKVTLPALSCVRLIPPDAIARYIRRGIISMFHPFATWMHIIEPNKTPVMNKLILCSPNLVAALAGSTMRYTGQNSQIIVCKPGASSWSVLANDECQLFEDMAFYQEKLYAVARDENLLVVNISEDPGTGDAQVSHIEQVIKGDPFQTGIHTAVKKKLYLVESCGTLLMVRRKVCCREAGSGLVAGQSEFEVFKADLEQSKWVSVTTLGDDQMLFLGRPCSRAVSTSQYGMPGDQIFFLDDIMENALVGYTFEEETASVSAYDMRTGEVSSPLPMKKAQMYRQST >Et_9B_063707.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21079439:21080008:-1 gene:Et_9B_063707 transcript:Et_9B_063707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WPSSTATASSAACGGSTWATTPEAKRASWPPARGPAPRARGAQGIQPRGVWVTCDGADDAAYLVRHICSGRLPRRRDEFVRVCATSFPALYDLKVLAEWTTVGENEPPLAGAAGTPLFTRFLALAREFQFTNRMVGYTGFLYGLGAVDNLELVHFKRLSAELEEKNRRMMAFLSQVCDEEYLQHVVLGW >Et_4A_031870.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25453128:25454111:1 gene:Et_4A_031870 transcript:Et_4A_031870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PSGPPLDVVVIRVLEDALRDVEACVPGARRRVVGDGEVPSVCAAEQDVRGRGRAQDLHGPRRVDHAAAAVDGDAPPGLVGEEVPAAAAVDEAHVLPVLEGRDLLRRRGRGVGRRTHGSSGRCLGAVVGGSKQRGEQWRREEAGALLVVVASSSSSSLRRLPCRANASCLNRHAARGVTPDDESNVASACGAQLQVKRSRGAEHVGPPDLPRKPAPCGRRAAARRRRRPGCLVGEVGRVFARRVLLGEDLLCQQPLVPWSPWRRRLGTGGTWPRWFWRAAAVCRVDLRGWLDVGDLGRGAVEGSLVGWCLAACGGAASAVEAEDSEDA >Et_10B_004112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13134327:13138130:-1 gene:Et_10B_004112 transcript:Et_10B_004112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVQASSDDEGLDTKKQGAHFVFVPLMTQGHLIPAVDTALLLAANGALCTIVGTPAMTALLRPTVESAPVRLVDFPLDYARAGLPDGADSSGSVPAMYLPSYIGAMALLRAPVEAYLRDHPPYPTCVVSDFCHPWTSRLARDLGVPRLSFFSMCAFFLLCQRNVERFDAFNGVEGDDELVVVPGLEELERRVEVTRPQASPLFGAPGLERLAEDVERAQAEADGVLVNTFLELEPEFVAGYAAASGTKVWAVGPVSLYHHHQQTLAERGGKKNQQASSGAGVMRWLDEYGGRKPGSVVYVSFGSLLRPTARQAVELGLGLEASGHPFIWALGGDDEYDEATRNFLRELEARVAGRGLLLRGWAPQVRILSHAAVGGFVTHCGWNSTLEAVAAGLPVVTWPHFADQFLNEKMAVEVLRVGVSVGVTEPAAFRMDGKEVVVGRDAVESAVRSLMDGGEEGDQRRRRAKELAAKARAATLQGGSSHTNLLDLVNRFQNKYVLSAVYGRAVLKVHDDLAEVQR >Et_10A_000671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15099160:15101245:-1 gene:Et_10A_000671 transcript:Et_10A_000671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLAAFHSASHLPRPQIQQVLAHARSSLLLRLLQPPAPPRRRSSRFAAEFATGGAGTITGGGGGRGGRARGGGGVDVAAVAAALRDARTADDVEALVKGFLGGGGHLPLQVYTTVIRGLGKEKRLDAAFAVVEHLKRRDGGGGVNQFVYNCLLGSVKNCGEFGRIEGVLADMEAQGVAPNIVTLNTLMSIYVQQVKIDDVFRVYADIEDRGLVPTAATYSTVMSAYKKAGDAFGAIDFFVKLRERYNKGELVGKSEDWEQEFVKYEKLTVQVCHTSMRQSLVGGQNPVGEVLKVLLAMDEAGVRPDSRDYERLVWACTGEEHYAIAKELYQRIRECNGGISLSVCNHLIWLMGKAKKWWAALEIYEDLLDKGPRPNNLSYELIMSHFNILLNAAKKRGIWRWGVRLLNKMQEKGLKPGSKEWNAVLLSCSRASEASAAVDIFKKMIDHGLKPDVVSYGALLSALEKGKMYDEALRVWEHMCKVGIKPNLYAYTILVSIHIGKGNHAMVDAVIQEMLSENIEPTVVTFNAIISSCVRNNLGGTAFEWFHRMKMQSIQPNEITYQMLIEALVQDGKPRLAYEMYMRACNQGFELPAKSYDIVMDACKAYGSHIDLTSLGPRPLTKVEPIRIENSHSSFSRINNFPSSTQYFGDTGMYSFYRYKMAR >Et_9A_062242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21030299:21032182:1 gene:Et_9A_062242 transcript:Et_9A_062242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVHCARAHVSMNFEHGASNPSRITFSCYKCCTLLEPTLLQKRFREPSLLIQYREFILPQSSLKELACKELANEMDEQQSHHSSFSVSFSSAQSDLAKQGQDHQLHQPLSLEDDAYFPGLSEKEIERRRKIGAANKGKVPWTKGRKWSEEHKKLIRQRTAEALRNPKVREKMLGHRQRHRQASKDKISDALRKIWERRIVSVKSRKKLLQIWLNSIAEAAKEGDHSQDKLDWDSYDKIKLEMMSMFLWNKEREQIIKKLKKAVAKIVGKKLQAEGKKIQPRRTKKSKPGKLLPQKSDARPTQVVVPTRAKLNERLTKWHGRKKELETVISLRTRKGGLQKASTETKDGGMARIGLGGKA >Et_9A_061267.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:3588999:3589271:-1 gene:Et_9A_061267 transcript:Et_9A_061267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLVNVDGPRDFVANLLFLGDKNDSPELVSGFKVLSIVGPPVWDRQPLLGRSPARSRYILTAWLGYQCRHIAMSGRSLRIYFPRYYCPAFC >Et_4A_034634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:572687:574680:-1 gene:Et_4A_034634 transcript:Et_4A_034634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNCDGNVHASARLTRDCLLCCRKLAAMGYKRSAKKCREKFENVDKYYKRTKDGRAGRGDGKAYRFFSELEALHGGASSPHPPPTPASLAPTPVAMAPPPLFPLPTMHGHTEPPVVATSMAPPAVATPCMVTPADLSFSSSSDGEDTDETGGVDGGKRKRRGGGGSSSKMMRFFEGLMRQVMERQEEMQQRFIEAIERREQDRMIREEAWRRQEVARLAREQDALAQERAMAASRDAAVVSFIQRITGQTIPMPPSSVAAAAPPPPAMFTTQQQQPLKPTPVASAAPTLAPKPQTLVSPPTTMTTQSPQLTQPQQPTESKDAVLRAPASEIMDTTMMGGGGAPSPSRWPKAEVHALIQLRTELEARYQDSGPKGPLWEDISAGMRRLGYNRNAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYYHQLEALYRSKALASASSSSPHPPPPRPADTVLAAVLLSQTPPQDVHKMNNGNGCANGGSSGGTQQGTNGGVAATCSFPVDVAGGNGTPAKKQQQEDIIMKETPTMAEETPQPVSMNDSYVNDRMDAGDSDSMDDDDEDDFDDDVVGGGNSNKMQYEIQFQRQQQQSQSSVVRPNATAGAGSAGPGPATTTGSFLTMVHH >Et_4A_033762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26630023:26635099:-1 gene:Et_4A_033762 transcript:Et_4A_033762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAVGGEGKKRGASRSWILFDAAGEERVLDADKYAIMHRVDINARDLRILDPLLSYPSTILGRERAIVLNLEVLLRDPSDENVIPVVEELRRRLAPSSATQHDGKENLSGQHDVEGAEEDESPFEFRALEVTLEAICSFLDARTTELETDAYPALDELTSKISSRNLDRVRKLKSGMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLTGASSPVSGSGGPNWFPASPTLVSKLSRASRASAATIHGNENDVEELEMLLELREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSLYSLVAGIFGMNIPYTWNDGHGYVFKWVVLVSGLFCAFMFISIVAYARHKGLVGS >Et_7A_050293.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:20630803:20631177:1 gene:Et_7A_050293 transcript:Et_7A_050293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAADERAAALPEDVLFEIFSRVPSILDILRCALTCKPWLRLLTDRTFLRRLWPDHGHGDPPRLLGFFFQKERLGDGKRAIRRHTRQRYSVLISCRRRCWDSSPGNPHTMRVAREHSTHVTE >Et_4B_039013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:703284:704091:1 gene:Et_4B_039013 transcript:Et_4B_039013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPFVTSATRPFDSIVGQLLVGAKKSDDRCLFITNKQGASRQVPCRTETLPSSPFSFFPSTPPPPPPPLVMADPRLYPSGSDERRDASGRRLYSPYQDLNIPYKQLYDLPTSPEFLFQEEAVAQRRSWGENLTYYTGCGYLAGAVGGAAVGLRDAVRGAEPGETAKIRANRVLNSCGSSGRRVGNTFGVIGLMYAGIESAMVAFRDEDDWINSVTAGLGAGALLRAANGPRSAVVAGAVGGILAGGLTAFRQVGKRYLPAL >Et_4A_033978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28723684:28725672:1 gene:Et_4A_033978 transcript:Et_4A_033978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PTETEDILLLGSVQAIIHPFGSATVYRDDQTTFGDASKSQNTHQTLIPFIDATKQAKIQNQATIDHPSDRCPSTRCLYSSTRSHTLSGAYTLPATLSRARHRAAHSASLGHSSATLNPPYRSYTRNHAANGAVATSTCPNDASPSPPKKSLSPSSASSARSTATISARASSSVSPSSRVVVIHSRLPQKWARSEYSAQNRALARAYGEEARGVFREGVVEVLEDGEGLADGAAGVEEHGHLGVHRVGAAQEVALGGEVLLDALVGEALLRQRDPHALRERAAEHVQQHQLAAAAGVGLDSRHVSEWWWCGDDDREAGERAAWLLLLRRRSEKPRDRAGWLEDGGKTTPRWDTKLLTGVELPKSFYCLRLRVKLVVSSESTYASLFHWIDQSLGLEIDRTPLPPPPLTSSLNATTTSIPPRLTGKCKKTGDDGRTRMPKRRSDERGGGRPLSCLGRT >Et_1A_007874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39057509:39059950:-1 gene:Et_1A_007874 transcript:Et_1A_007874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARRLFSFHPRSGHPLAAAATFANPHRRGKHDAVACKATGKTKPKAKAKGGERQQRRALEEHLKRRTRSAAAFDADLYGRRSHEHHVPVLLGEVLASFRRPRPLRSFVDCTLGAAGHSLAMMEAHPEMELYIGMDGTLRAYTHVKNFKYIKQVLGSVDENLAVGSSGVDGILIDLGMSSMQVNRSNRGFSVLQDGPLDMRMDPKAVLRAEDILNSWPELEVGRRQGWIKTATRVFQALRIAVNDELQILEDALHSCFDCLETGGRLAVISFHSLEDRIVKQTFLDLIHGDEEDEADVEDNLAMTDTNDEEDTWFKQRVQGKNGIILTKRPITPSQEEEKLNQRCRSAKLRVIQKA >Et_3A_024412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20516179:20518770:-1 gene:Et_3A_024412 transcript:Et_3A_024412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVMNSSFVPLIAGTLKLVVLIAMSKLHIRGAPLLKNRPQGCRECLLWALLFTSLVLTFLPMAAYRHYGIKWSDISILAMIWAVLLLNLRPQWIVNIISPPCSGHVLLFSAKVISSVLLAWGNLDIVFAGKIFTILVLSLGSLQKRNPANSMFGRSTEVILHTLFLFNMVIPLPGIGVQLIAPVLSPAIAAIILGSALLIGNLQIPVAIVQVVLSSWRLYMLLAHRDYSHLPWNNSQNMVPAIAVCYLLAILQGTHYIMACIIELLSFFPRRSLVRHLGLRAGEKAVDQYYKHAYATRIEIGVFAKWDTIILSSFAIESLSSSSSEKQLAGSYILDSLLQKGNDNRYLRVTSSDKEVSTLIAMLGWTSEQDRDIRLFAARITTVLAGSLRIARIPGMLKLVSSLLDAKNQQDTSQNNASSGLNPSAQSRNESADDRSMHGEGDNGVECCWICQCWQRIKEKWSIQGEELLTLRDWVCRYWQQMKEKWSIQEEEPLTRQDSLPLLGMKILEMLARDPDNCAEIIKATDLIPRTIRLIAYNTDTENRNDEQVINSTLKFLRRLAITGGKIGAALRQELCESPFLLSNLVSWRTAVAVLKCGSRWDIIAKLALNYNGRKEIGRVQVIISKLMHAFIGRDGERYDNQSLRMSAGEALANLTMNNIANCLAILEESKYDFIKDITELLKADNDYICVVGTLLQNFCAHTGDKLCHPEGMKHLLSAMQVEYIIGFYIFSNELYDIHHTMQVSENIMTAEGKKLEILVGLASHIFYIFPRCFVQNLNSHNNKVGLVKKLWIHSTTTASQIQNIQG >Et_2A_015824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18073745:18077320:-1 gene:Et_2A_015824 transcript:Et_2A_015824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSAERTVVLLLLFLWLPSCASDDQLVLGKPLSPGDVIVSHGATFALGFFNLSNSTPARLYLGIWYNGIPKLTVVWVANRDAPITDRPSSSAVPPSLALTNTSNLVLSDADGRVVWSTDVVLAGTGGSTTATLTDAGNLVVRSPNGTATLWQSFDHPTDTFLPGMKIRAVGDHRLVSWRAPGDPSPGSFSYGIDPATSLQLRTWNGSRPLWRSGVWTGYRVATDYIDNTSTVIYLTVLDVDGDAGMSFTLSGGAPLTRYVMSHSGKLLLQSWRSNASLGWDELVAWPTYECSRYGHCGAFGYCDNTVDAPTCKCLDGFEPASGEEWNSGNFSRGCRRREALRCGDRGDGFLALPAMKVPDRFVRLWNTTLDRCEAECSRNCSCVAYAFANLSSSSTGDFTRCLVWVGDLIDTEKVGAAVAGSDTLYLRLAGLGKGIRVSLFLVHYKIKVGGIGKTAKIVLLVLASVLLTGIFFMIWIFKCKGNKQKWRNLSTVEVFGKGRPTEDFEVPVVNFRDITAVTNNFHSSFMIGQGGFGKVYKAKLDGREVAIKRLSGDSEQGISEFRNEVVLIAKLQHRNLVKFLVWSNNFKLVHELTKKMSIDYKTFLSAAGSTRKIMLDWPTRFNIIKGVAKGLLYLHQDSRLKVIHRDLKASNILLDEEMRPKIADFGMARMFGDSQQNANTKRAWDLWKEGNAKDFIDSSTVESCIADEVLLCIHIGLLCVQDNPNDRPLMSSVVFILENGSSTLPAPNKPTYFAYSSNEIEEIKGDTQSSRNTVTLSALDGR >Et_8B_059284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16146386:16151978:1 gene:Et_8B_059284 transcript:Et_8B_059284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SHEMMSRKPPGLRLFGSASSLRSYQTLVLVLTFFAYTCFHMTRKITSIVKSALDPQTKVGFSHWGRLHTHNSLNVGWLPFNTVDGSALLGEIDVAFLAVYSIGMFFAGHIGDRMDLRIFLTIGMLGTAIFTTLFGAGYWLNVHNFYYFLAIQMASGLFQSIGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSIGNIAGSLLAAFLLKFGWGWSFAIPSLIMAFVGLVVFIFLPVNPEVMEIDIDSGEYSCEKNSVKEPLLEPGQEVKHKAVGFLEAWRIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTRIGNEYLSDSMAGSLSTIFDVGGVLGGVLAGHISDRLNARAVTAASFMYCAIPALFLYRTYGNVSIMWNICLMFITGMFVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSVGAAVGPLLTGYISSKSWSAVFTMLMAAALLAGLLLTQLVCAELKGKVSSSVTKNATEAQEDRGEKTSKVPGPRLVFFRHIVNIIVGKVGPSSFLQSTSKSKLQPATPTLRNIPLELETVAAEEQH >Et_6A_046603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1860302:1862981:-1 gene:Et_6A_046603 transcript:Et_6A_046603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWNKPPEGVTVAVDASDDTDHARLRELGYKQELKRHLSVLSNFSISFSIISVLTGVTTLYNTGLTFGGPATMTLGWFVAGAFTMAVGLSMAEICSAFPTSGGLYYWSARLSGHRWAPFASWITGWFNIVGQWAGIASIDFSLAQLIQVIILLSTGGNNGGGYLASKYVVIAIHAGILLSQAIMNSLSITWLAFIGQFAAAWNMLGVFALMFAIPIAATERASAKFVFSHFNTDNSAGIHSNLYIFVLGLLMSQYTLTGYDSSAHLTEETKKADWNGPIGIISAIGISLVVGWGYILGITFAVKDVPYLLSPDNDAGGYAIAEVFYLAFKSRYGNGVGGIICLGIVAVAIYFCGMSSVTSNSRMTYAFSRDGAMPFSSVWHKVNKQEVPINAVWLSAFISLCMALPSLGSLVAFQAMASVSTTALCIAYALPTLFRITLARKFFVPGPFNLGQYGVLVGWIAVLWVATITVLFSLPVAYPVTKNTLNYTPVAVGGLFTLVLSSWIISARHWFKGPVTNLGA >Et_2A_016448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24890341:24893584:1 gene:Et_2A_016448 transcript:Et_2A_016448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALELMASSNGGQPAVPSSAAMPGKPLLASDRVHCERRNYLRNKDDAPTMAAAAASNSNDEDTKPTMDPRLLMAVSNGDSGEVENLIKHQVRRTLVNKKSHFIIHMLTGESNTEGTDGGAGENTGSQSTACPVAYPASVSAVSLLDGVTLDYEGNSALHVVATSGDSVQYLRCAELIYEKAPRLLFATNKSGDTPLHCAARAGNLNMVSRLIELAALEKRAMELLRRENGIRETALHGAVHSASKQVLEKLMSEDLELVHIPGDGVSPLYLAISLRRLDIALELIQRSSKSLSCSGQTDEMSCTLLLLLEANESAVYQADNKGWYPIHVAAGNGKLNVLMILLGRTPNCATLRDTEGRTFFHVAIEKKRYDIVEFVCGRREFTHILNAQDLHGNTALHLTVQVGLLPIFFCLFPNKQVCLDLSNKDGLTPRDLSWIVIPAGFYNKKNPRFVIHQALNLAGAAVGHSRPDHFYETYPKRRDENADSEYLTNSAQCVCITSVLVATVTFAAAFTLPGGYRSDDHDNGGTPTFANRYTFEAFVISNTLSFICSLLATISLLYSGVTSREITIRRRYHALSRLLLQSSVRSLVAAFAMGMYLVLAPVTYKLATSVCVISFASLLFGNTGVSNSLILAKALRVRLGIGAARSQLRPILIVLYKRFSSYVLIFGLPAILKIHGTN >Et_1B_011065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17101238:17102992:-1 gene:Et_1B_011065 transcript:Et_1B_011065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPMLGGGKPPMYPPPCNAAAVSDELQHGGGADPHPDCGGAASDDEEGSVAACGVGERKRRLSVEQVRTLERSFEVANKLEPERKAQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDALRRQLDAARAENDALLAHNKKLHAEIMALKGGGGGGGGAGVGAGGGSGGRQEAASELINLNVKETEASCSNRSENSSEINLDISRPADDSPINNNSHRGAVPFYASGRGSLDIDQLLHTSAPKMELGHGGADAGAAAATAGAATSFGSLLCGGSVVDEQPSFWPWAADGHHNFQ >Et_8B_058994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1228561:1230883:-1 gene:Et_8B_058994 transcript:Et_8B_058994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASAPAWFLDQFGVLHDGKKPYPGAVLALEKLASKGAKMVIISNSSRRSSVTMDKLKSLGFDTSCFLATITSGELTHQYLQKREDPWFAALGTKCIHLTWGTRGAISLEGLGLQVVNNVDDAEFILAHGTEALGLPSGDPLPKSLEELEQVLMIGLEKRLPMVVANPDYVTVEARDLRVMPGTLAAKYESLGGEVKWMGKPDKVIYTSAMSLAGVDAHECIMVGDSLHHDIKGANASGIASALITGGIHAAELGLSEFGEAAGVDAVSALCSKHGSYPSYVLPSFTW >Et_9A_062944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7161098:7161610:1 gene:Et_9A_062944 transcript:Et_9A_062944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALERMHMARLAKWQSYQQMGMAPPSVGKNCGNQLQHVLTERNRREKLKDNFKALRTVLPPGFKFVIERYVPCHGRKIGVSELEEKNKTLVESLLHSDTDCQK >Et_1A_008427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7559124:7559501:-1 gene:Et_1A_008427 transcript:Et_1A_008427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCFRAAARMASAASRSAAARSAPAAARAAARRAPCFSRMPVELGCCAGLSLLPLHSAVAAARLTSRLSTTSRTCSALSQGILCRTYPGL >Et_2B_020084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16772256:16776630:1 gene:Et_2B_020084 transcript:Et_2B_020084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVQLGLYHHPPQMMAMDGIEARARVLGVDLSSVDLDSITLPDDEDFGVDSDDEDELLEDDPHELEMGFGNTIVVDNVPIVLPEKFVKLEKVLRKIFSPAGVIKEDGFWMPVNAHTNKTYGYCFIEFNTPQEAEVAKEIGNGYKLDKSHKLVINILDDFERYMKVHDEWTPPEVSPYSPGENMLNWLTDEKARDQIFIRAGPSTGVYWNDARKLEPELFCQNQLWTDSFVQWSPLGTYLATVHRQGSQVWGGQDMLERLMRFPHPQVNMIDFSPGERYLTTYSSHQPSNPTSTHRVVLNIFDVRTGKVMREFKGSADEFRVGGTIGISGVSWPILRWAGGKDDKYFARLGKNIISVYETDTFSLIDKKSLKIENVKDFCWSPTDPIIALFVPEMGGGNLPARVSLVQIPGKEELRQKNLFSVSDCKMYWQKNGEYLAVQVDRYTKTKKSTYTGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPNGHRFAVIHGEGPKHDISFYSMRTANSTSRVSKLVTLKSKEANALYWSPAGRFIVLGGLKGFGGKLEFYNVDELETMATGEHFLATDIMWDPSGRYVATVVTAVHEMENGVQIWSFNSKRIYNKLKDRLFQFIWRPRPPSLLTPEKEEEITRNLRSYSQRYEQEDQDVLNQLSEQEREKQAHLKEEWDAWVAKWKQLHKEERPDRMELRDGDASDEEEEFEAKVVEVEEVVEVTEEVVDQ >Et_10A_002102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22604117:22605535:1 gene:Et_10A_002102 transcript:Et_10A_002102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYGEDSPERHVVEQQLLSREIRNVLAVGGPARSGDVKFGSWREKLAQSGFRAASLAGSAAAQASLLLGMFPSDGYTLVEENGALKLGWKDLCLLTASAWRPIQTSVGQRDGNC >Et_3A_025944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34127142:34129366:-1 gene:Et_3A_025944 transcript:Et_3A_025944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRKKKWVAWVAALAIFVVLMLVTPAIPQDEDYHDFADQRTLFLGIPNTLNVISNIPFFFVGIMGLVLCHYKDYFRLSSQGELWSWTLFFAGVTAVAFGSSYYHLFPNDATLVWDRLPMTIAFTSIMAIFIIERVDDRAGTKSLAPLVIAGALSIIFFDDLRPYAVIQFVPCIAIPIMAIVIPPMYTHSSYWLWAAGFYLLAKVEEAADKPIYEWTHQIVSGHTLKHLCAAMVPVFLALMLAKRTIEQERVSLFQKWKISWITVRENQSKDRNTVDVDYGYAAVSTSEQ >Et_4A_033338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21667656:21667891:-1 gene:Et_4A_033338 transcript:Et_4A_033338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHYGDMSHFSCLQLSIVVFVVPQGAVIPLHNHPGMTVFSKLLRGSMRVTSYDWAGPPVATDDPRKLLQNNPDR >Et_4A_035629.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31627255:31627635:-1 gene:Et_4A_035629 transcript:Et_4A_035629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDESFKRPGSIPFLWEVQPGIPKQQATDDRGATATAVLPPTTPRLAPPPAARVSKLASASCRRSSVSSASLSPPATPPPSSSSHRRSMSARFATSLVLPFTRRTRRGRAKDDGDYCVLYSENIA >Et_3B_031499.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:32138821:32139723:-1 gene:Et_3B_031499 transcript:Et_3B_031499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSDKESQAISAQSRHTDLVSKLPAREGWSQPLVLYNNYWLRPQFAENIMRLQNSFKARSEDTILACNPKCGTTWLKALAFAITSRSMYDFEHNHNPLLSHHPQELVPFMEIVARGKDLTYVETLPSPRLLATHMPLSLLPECIAASACRIVYICREPKDAFVSRWKFENKILVGNNNVVDLQAAFSMFCDGFSPYGPFWDHCLEYWRESISKPDKVLFIKYEDKMSEPMKYVVLLAEFLGLPFTKKEHEDRVPEEMVRLCSFEKLRGLHANQNEDIVRRANLVINKSVFFWTGKVGD >Et_3A_023455.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28569605:28569838:-1 gene:Et_3A_023455 transcript:Et_3A_023455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLRIPYGLLRISNNPLFLGTRQLCLSPLQSAPVRTWSAPPPSLVLPAPRPCSRATSARVRWTASMNAPKKPVSV >Et_3A_024370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19992425:19994667:1 gene:Et_3A_024370 transcript:Et_3A_024370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEEEATAAGEELEPLFDYKRVQPAMTFRFDDSDLEKADIFKHCNKRAKVDAAEGDKADEKGVAGGEKAKVVDVEEEDWLAPPPPLPKPASRPVTEEDSTLRELRLKKQEFATFAESAEDIFRKLEEAAKKEVGAKEPEQIIIDDTPEPQAEKAREKIILSVQDKDGQQQIRIYKDDKFDKLFKAYAKKAKLNPSDLAFIFDGERIDPASTPKDLDLEDNDMIEVSHKRRC >Et_3B_028477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15937835:15943843:-1 gene:Et_3B_028477 transcript:Et_3B_028477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSEWYTNNGKNVAFVTANVLTFLSETAKVAAYKSDRFATAVMDIGNAFPTLYSMAQGTPDLSPDECLACLNEIVKQVPQFLSGWQADDVRCTIRYENDKFYGNQSIRKNKIVIITETITWAVGCLKSNALLLELSRKVRLQEKPVNSNQEETLMWTMEGINVSSDFSLFDFAQLQVATGKFSEENKLGQGGFGPVYKVISPRSYDNLKENCLTDSSLLLRGSPQGQGILEFKNEVELIAKLQHANLVRLLGCCSEGDEKMPVYEYLPNKSLDFFIFGTAATF >Et_1A_004753.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:38040136:38041036:-1 gene:Et_1A_004753 transcript:Et_1A_004753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVQSGSTGGCQCRGAGGEGRRPVQLQRGRNQEGEIIMEEHGVASPSPAVKVKMVLTKGELEGLVARLKAGDRRLEDVLHEMARRKREARNGWRPGLESIVECPAETTAATASSDC >Et_4B_039071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7548378:7551021:1 gene:Et_4B_039071 transcript:Et_4B_039071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLERDDALDTVLEVPIPEEMFTGGGGGSRGSRFGCTNVRAWMRSNAADRSGEGEPCSMTRGELQLMLGVIGAPLIPLPVHHAKQSPCSVLCEQLKADPIESSTAKYIVQQYIAASGGEWALNKVTSMYAMGKVKMTAAELNGNNGHRGGKKGGKGGEIGGFVLWQKKPELWCLELVVSGCKISAGSDGKVAWRQTPWHQSHASRGPPRPLRRSLQGLDPQLTASLFADSVCIGERSIDGEDCFVLKVEAEASSLRARNSSSVEIIRHTVWGYFSQRTGLLVQLEDAHLLQIRSTGGAGAGSVFWETTMESRLDDYRAVDGVNIAHAGRTAVSLVRFGDCHDGNTRTRMEEAWTIEEVDFNIWGLSMDCFLPPSDLREGKEGQADVAVVKHDARPPPIRIPAPVTVRVGPSQVAAVNMDDSDSLIARS >Et_2B_019702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12615280:12617336:-1 gene:Et_2B_019702 transcript:Et_2B_019702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIETATPALSGNGRTVCVTGAGGFIASWLVKRLLEEGYTVRGTVRNPVDPKNNHLRALDGAADRLILYRADLLDPESLATAFSGCEGVFHAASPVTDDPEKMIEPAIRGTRYVITAAADAGVKRVVFTSSIGTVYMNPYRDPNKPVDDTCWSDLDYCKKTENWYCYAKTVAEQGAWEVARKRGVDLIVVNPVLVLGPLLQPTVNASTDHVMKYLTGSAKTYVNAAQAYVHVKDVAEAHLRVYEMRDAHGRYICAESTLHRGELCRILAKLFPEYPIPTKCKDEVNPPVKGYKFSNQRLKDLGMEFVPVLQCLYETVKSLQEKGMLPVLPPNDQDQLMTL >Et_9A_061705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15514521:15515559:1 gene:Et_9A_061705 transcript:Et_9A_061705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFSASYGPSKVITRQGQKLGALEETLGELGQSPHPAYREDLPASSDLSVNVGNNTYSNLRIDEAYDAISCRPRAANYLNLKFDAVCGGDYTLLGEQLAGLDATTPSCVSSRRSRLAALRHGRLSIGGKHLGRHITVALTDREIDAIIDYSGETGGMDVPVFDRTGRRYEFKMAYAEDTWSAPRSTSGSWWTTTCSATSTGSARSSSWRYGRSGRRRYAARATYMSYDDHPDGALGMVILFFDLDADGLSNEILMTTASPSST >Et_2A_017482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34789861:34792580:-1 gene:Et_2A_017482 transcript:Et_2A_017482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVSDNTKGLALAVASSAFIGVSFILKKIGLLRAGKCGVRAGGGGHTYLGEPLWWAGMTTMLLGEVANFVAYVFAPAVLVTPLGALSIIVSSVLAHFVLKERLEKLGVLGCVSCIVGSVVVVMHAPEEHMANSVEEIWNLATQPATALLLVASLVIFFEPRYGQTNILIYLGICSSMGSLTVVSIKAIGVAIKLTLDGMNQVAYPYTWLFVMVAVICGVSQINYLNKALDTFNLAIVSPIYYVMFTTLTIVASGIMFKDWAGQSISSIVAELCGLITILSGTVLLHAAEEGATNSAALLPWPLDKGSISWCISLSSDNLLKNVEEDYFTALQSSPAAV >Et_10B_002589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17467983:17469203:-1 gene:Et_10B_002589 transcript:Et_10B_002589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTDYQGSSSSPSPFSSFGRSLLSLRRDTTAMPSGEEADLEAFQRHVATNLAELLPGAEGGEVGEEVLSVAWIRRLLEAFILCQEEFRVVVAQARRRGALTAAAEKLVAEFHERAVKALDVCNASRDGVDQVRRWERLADIAASVLLAPGEIHEGQLRRARKALSDLSALLVDDTAASASGGVASFLANHRNRSFGRARSSTSASHFRSLSWSVSRTWSAARQLQAIGAGLAAPRAHEAGLAAPVYSMGCVLHLAAWALVAAVPCPDRSSALQAHHLPAAPPRAAFPWAPPLLTLQERLTEEGKRKDRRHSSGLLKEIHSLEKSSQKLAEAIDAAPIPLFGDRESDVREAAAELAAVCTAMRDGLEPLERQVREVFHRIVRSRVDGLDSSMHNAD >Et_8B_060698.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5080945:5081829:1 gene:Et_8B_060698 transcript:Et_8B_060698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGTPTLHGPSRLSRALGATPPLAADDDHAMIFTDEEFAALVSGDCEMMSCSDDDELHPPPMSWGDDAQFQAPLISEEAARLNARRFIDDLQAPVSEDDAEILMGMLCDEEIAAMLARQQPRPRSDGRSRNKRKSRPPQRRCSDDAELVQVPPAKRARGKKAKEAAERRAWQRRIAAEILNHQHRAPSPSRGRTALRCQCAELAAAAGGRDDRCCALHQEVTGPGEEWMLAKRRRAPRVGGRGKVVVPTLSGGDTIATVARYAHWRRSVWLPTRFYVERVAERAMGAWLNKH >Et_8B_059879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3746512:3749218:1 gene:Et_8B_059879 transcript:Et_8B_059879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCSRDNSPGRQQQQHHYTHDQNRNNNAAPRGMADRYARFGDEFETLEQVTDALAHAGLESSNLIVGIDFTKSNEWTGKKSFNNQSLHAMGSTPNPYEQAISIVGRTLARFDEDNLIPCFGFGDVTTNDEKVFSFYSDNQPCYGFEQALHRYREIVPQLCLSGPTSFAPIIDTAIGIVDSSGGQYHVLLIIADGQVSSSLDSVNGQLSPQEKATIDAIVKASDYPLSIVLVGVGDGPWDMMMKFDDNIPARAFDNFQFVNFTEIMSKPIPISRKEADFALSALMEIPAQFKAAMNLQLLGKRRGFSGRLVLPPPVSDKQKHSGYSAVKETQSTSYGSAPKTASAPRQESDVGDQQTCPICWSEAKNLAFGCGHQTCSDCGKNLQVCPLCQREISTRIRLY >Et_9A_062378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22361849:22371087:1 gene:Et_9A_062378 transcript:Et_9A_062378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWSGKVSLGGLQESLGGLQDIAGAVNKISESVKNIEKNFDSALGLEEKRNDEEASGSRTSNSDRIGFFNPVMAFMGQNSEEDGNEVSEKPQTPQHLSAAEEDNGTPTKQPTSEVDSSEVSETAESPEQPSKLEGTHRISSESAASKLDASEQPRTPQALAHSSETDEKGNGSTESRTPKEDASGVAESSQSPTHPSTTEENLSGSDEAVSSIRKENQDHQDSEHSVPNDEALPAQLGESGADTSDGIASSSPAKVDQSSDMEISESIHTGKEDTGDGDISQSQLGDSMPGNSDDVNESEGKIVPESDVQTEINFTQDSSDTVDRVTQLEVKIHDNDINTAGNEEESNQMAEGVASVVGQEDSTREGVEDLRSKSINVEHDSKPQTELVATPEDIPDKPVEVDSPANNSRKEEKKQESIRSTNSLTPESVDSVVELEKLRREMKMMEAALQGAARQSQSKADEIARLMNENEQLKSTIDDLKSKSSEAEMDALKDEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIISQIRELDEEKQRLNSKIQVEETKVESIKRDKAATEKLLQETIERNQTELAAQKEFYTNALNAAKEAEALAEARVNTEAKVELESLLREADEKENMLIKTIEELRHALTRQEQEAAFREERLKRDYDDLQKRYQSSELRYNELVTQVPESTRPLLRQIEAMQETAARRAEAWAGVERTLNSRLQEAEAKAAAAEEKERSVNERLSQSLSRITVLETQITILRTEQTQLSRSLEKERQRASESRQEYLAIKEELAIQEGRAKQLEEEIKELRARHKKELQEAAEHRELLEKDLEREKAARAELEKTSSREAPKVPLPDQTRNAPLRKLSSAGSINSLEESHFLQASLDLSDNASLERRMSSESNMSYYLRTMTPSAFESALRQKDGELASYMSRLASLESIRNSLAEELVKLTEQCEKLRTEAAALPGLKAELEALKQRHFQALELMGERDEEVLLPWNTLLVIPCKHKLIICLSILDFVSHFIISCVNHLCTKSVTILEELRNDIVDLKEMYREQVDLLVSQCIKIGIPWEM >Et_6B_048739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13098807:13103751:1 gene:Et_6B_048739 transcript:Et_6B_048739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLTRIAIVSEDKCKPKKCRQECKKSCPVVKTGKLCIEVSAASKLAFISEELCIGCGICVKKCPFDAIEIINLPKDLEKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFKNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLEQKDERDMKSELCVDLELNQVIDRNVGDLSGGELQRFAIAVVAVQNAEIYMFDEPSSYLDVKQRLKAARVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKIAETQESAEEIETYQRYKYPTMSKTQGNFKLSVVEGEFTDSQIVVMLGENGTGKTTFIRMLAGLLKPDTVEGTDIEIPEFNVSYKPQKISPKFQNTVRHLLHQKIRDSYMHPQFVSDVMKPLQIEQLMDQEVINLSGGELQRVAICLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFIVEHDFIMATYLADKVIVYEGRPSIECTANAPQSLVSGMNKFLSHLDITFRRDPTNYRPRINKLESTKDREQKLAGSYYYLDD >Et_10B_003024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15490433:15504155:-1 gene:Et_10B_003024 transcript:Et_10B_003024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIESQNDIETPVETTIPLPPEYDIYFMDGAAQGYIFFIGSQRDLTQRSAFFSLEIKTLKVERIFTSFHTLVSRHSYIIEKHVKRYAPSIQSDQNLDTSFKKKKKTGHISPAAGGSPAMESIPPAHEARGPPALADHLLEEILVRIRDPADLARATAACKAFHSLINNPTFLRRYRSLHPPLLLGFVEDYEFLPVEEPHPNAAAARSSAGAAVFYFGDYLPPTESFDWRHCDARDGRFLVVSSEFTRCLVLPELGVCDPLTRECTLLPPIPDNLVTSTLVQVQQKNIDSFYAFFDPLGCYKEGQFRVMCWTRSEEMATVFVYSSACSSWTHGTSISFKALGLYWDIVGWPSYAYGCLYWQAGVSNKLIKLDINSMDFSIVNLPGDHGGREVVVVEAGEGRIGLFSLIQDHDLWHHENLQPLRYSIIMQNDHENANELPVESIVPLPCEYNSYRIFGAAQGYSYLIGEQFARINIFLRQFSLDIKTLKVERVCLAYGGYVIPYFGFPPFMSPRTIYISLAAGGSPAMEPILPDPEARAPPALADHLLEEILVWILDPADLARATAACKAFHRLIKDPTFLRRYRSLHPPLLLGFVEDYDFLPVEAPHPNAAAARTISGAAVFHFRDYLPPAPSWGWQRCDARDGRFLVESSEPERGFLLPELAVCDPLTRECTLLPPIPNDLVISTLVQVQEENIDSFNAFFDPSVRHKEPQFRVMCWTCSEEMAAVFLYSSACGSWTLGASVNFNALGLNVPDIHIGWCPSYAYGSLYWEAGLSNKFIKLDINSMEFSIVNIPGDHGGREIVVVEAGEGRIGLFSLILDHDLWRNENLQPLRYSIIMQNERENANELPVETTVPLPLEYNSYHIFCATQGYPYLIGTKMDVDIAQPLTAFYRSAAVFSLDIKTLKVERVCLANGIPDYVIPYFRFPPFMSPRRM >Et_6B_048432.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:3699891:3700079:-1 gene:Et_6B_048432 transcript:Et_6B_048432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQCPLAKLTWCIISDTFRWQLCPNSLQDVIDLTMHNRSHEHDSFIFFLLGSICMGLMALLQ >Et_10B_004408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6388870:6394729:1 gene:Et_10B_004408 transcript:Et_10B_004408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHNKRMDKLLKGCSRVYFLLVVAIMYTQQAMLAVTMFPIVAVYVSGPYIPIWLATWRLRYRDYASTSGDDKQSNLKLALDILYVLTVTQGALFYYMTLCSLAGMCLVSKVLGLYKFDKWGRTSVLGYLLETNSGCKGQPSFAGGRNLITYAVEQINSDSAGSITSGVEILGTLLKLQQSSRHSTEKWRYLLIGQHMLIKQLVGPVSSGEVMRKLLQMLDSRSPCHKEVRRHAARIVGHLADDIYLEQFPRGVQCVASLLDTFEEYSLLEPYQRDWLLNTYEKDWYRGVAGLPELEDDVEEQPDGYKDLVLQALCIFRKLAFVPDNCKVICNARGLLPKIMAPVVHDILHTIDHEDSWFREVVEGSLKVMCQLLDMSPPGETEGNLRLEISKNKNAVITAAERILKCNICGDSVRGQSMELLTRLHIDTSWGMEAEKREEFTKILLDEFCKHRPLDPATDVEMADEDPEQGIWNSLQRYTSITYKYKQADDTQEKAGRKVYIGDTAARALMRLSSKEKSIAAVIWKANDNIVNDLIALLLSVKANFYRRAAAALILERLCIDYTADEAYLKNLKEALTAAMPKLLRQIVSYYAPTKEIQKDAEHGQVESREEEIDEENQSGESKSNGHIKGPYMDVEKERETHSDREHIKNDWNFRRHFIPASLSLCVTICDTCIRADGNRELARLLKDVVERINSTTENSHDTAKNSLPLFLRVTKNSLQTAAKKNTTADGLKTLKLISRLVISMMKHQGRYDNEDLDKLIQALDKASDCYATSILDASMLCARGKAKRFHSESSSPPSYQRRPLPFRAPPPPPAFAWAVPSISLVSRPRPSAGMASGGDDAPGPDGKP >Et_4A_032087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16308293:16310170:-1 gene:Et_4A_032087 transcript:Et_4A_032087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLVSLHHQQQQQQQQQQSPYYSSSSRSSTGSPSSTTHNYYNSNSHSNYYYPASSAGGGGGYCYFQEQPAGTYLHEECGNNEHRFYMDEDFSSSSSSRQFQSSGGGAQQPPSSSPPPVHATTTPPPPSTSSTQHGLFEAADFSFPSVDIDLDFSSGGGSPASSSAASASASGAGRWASQLLLECARAVAAHDSQRVQQLMWMLNELASPYGDVDQKLSSYFLQGVFARLTSSGPRTLRTLSAAADRNTSFESTRRVALRFQELSPWASFGHVAANGAILESFLLLPASSEQAPTRLHILDLSDTFCTQWPTLLEALATRSSDDTPHLSVTTVVPSAGAAQQRVMREIAARLEKFARLMGVPFAFRVVHHAGDLADLDFDALGLLREDGDATNAATGTALAVNCVNALRGVGARRRDAFLASIRRLEPRVVTVVEEEADLLPPEPDTTTDAAFVKVFGEGLRFFTAYMDSLEESFPKTSNEKLALERAAGRAIVDLVSCPAAESAERRETGASWARRMRAAGFSPVAFSDDIADDMRSLLRRYREGWSLREPGTDVDGAAAAGAFLAWKDQPVVWTSAWRP >Et_10B_004096.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:12200236:12200691:1 gene:Et_10B_004096 transcript:Et_10B_004096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAARLHGRPAATPLSDGAAAAALDAPAAPGADAIGCDGRGGAGEDDEQVDRFYALLANIRAMRRVYTPGAGDDTADGGGGEEEAAAERGPAVEAGRTSRTPPPLLRRRGAPRGRRRTSCRWTTGRRPLSSRRRPRRSCRARVHDSNNSI >Et_5B_044873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6778969:6785901:1 gene:Et_5B_044873 transcript:Et_5B_044873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRGDRGEGHARRGRGFGGHRGGGGVGGAGKGGGGPSGQPPLSTNRSFKKPGNGHGGHQRVVSQPDTTGFQPAPAPGPLQTPARPPPAPQNAATHVPVAAQRPQHHDPHVPSSSPAGENPASTQLPRSTPHAAPRAPTKSSIPPVPQGASKGEPSKGFVNFQFGSININGIPQFPARTSSAPPNLDEQKRNQRDVHVSPSVPNVAPLRPTVQQMPGVPISIPFHHQQPPVPLQFGGHGPQMQAQVVVPSSMQMSMGLSGGNPPPVPQQLYPNIQHHQLQQQTMMHQGQMTYVPSAAHQFPPQLGSIPMSIGPQYTQQQPNKYVAPRKTTVKITHPDTHEELKLDKRMEPSGQRPPQTQPVGGYAPHMGYYNQQPNSYSQSAIYYPPSTGVGQVPTASSGPRFSYPVTQPGQGMPYVSPSVGPSVSGQSQIAVKPYSGGLQTEKSGTHSVTISAPPSKSDAPKLNPAEDAAPSGQKKDNEVVSVSGIAVSNKSEPEKEIKVLSVTEKRPTVVSQSAPIQGAKPETTATSSPVANSVSPVAPTADDIKVQTSVKDGGDAGDHLEAKNSYKELDLTTGAPVVPPESRISPGADAAEVDRTPVNASDVPGTEEGSTKPPSEGIGEPQGAEKFAVSAMEHEESKETHRVSADSDMDKISSDVTDHESPEVCTVDMSEQASAVTSNADKPDVAPLVTDQEQLLKDSALSGSEEQGTINSSSKNSETSSDFLGGNAVAVTSEASESTVESGNDKGESDISQEAGLGASDISQVASEVSQKSESKINDEPDAAPTASVQPVSREKPIAELTRTKSTAGKKKKRKEMLSKADAAGTSDLYNAYKGPEEKLDSSGTAEGADSSSVVNATNVLPDESEREVNTSEDDGKKKMEPDDWEDAADMSTPKLRSSESGNLADVTHVSDSDTTEANGKKKYSRDFLLTLSQYCTDLPVGFQMSDAATALMNSLAGKSYVVDREPHPSPRPASRGDRRGGVMADDRWMKVPLSPGRDAHMDMTNGPSMINYRGAPGVNHGVLRNPRGQPSNQYGGGLLGGPMQSMGPQMSRSGSDADRWQQRGLMPSPVTPMQTMHKAEKKYIVGKVSDEEEAKQRQLKGILNKLTPQNFKKLFAQVMEVNIDNVATLTGVISQIFDKALMEPTFCEMYANFCFHLAGALPDFSEDNEKITFKRLLLNKCQEEFERGEREEAEADKTEEEGEIKQTKEEREEKRIRARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGNYQDPDEENIEALCKLMSTIGDMIDHPKAKEHMDAYFDIMQKLSTSQKLSSRVRFMLRDSIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERHAQTSRLGRGPSSSGSRRGAPPMDYGPRGSAALASPSSQQGSIRGMPPHSRSFGSQDIRFDEKHSFDSRTVPLPQRPVKDEPITLGPQGGLARGMSIRGQPLVSNAELPSVVDHRRIVSGSNGFSSGADRTSSTGREDPGSRTPDRTSGRSASSIQSTGPSHRPASQEGRSGNKSYTEDDLREKSVAAIREYYSAKDEKEVALCIEELNAPSFYPSVVSLWVNDSFERKDMERDLLAKLLVSLCGGRHNLLSKRQLIDGFASVLKSLEDTLSDAPRATEYLGRLLARFVQENILSLQEVGRLIQEGGEEPGCLVQYGIAADILAAVLECIIKEKGDSFLNEAKSSSNLRFEDFRPQHLKRSKLDAFM >Et_1A_009564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8214357:8220582:1 gene:Et_1A_009564 transcript:Et_1A_009564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVIVVGCGRHRLYAKLVVVVALTWPLSPGRDPRSSSCFLEFILESIVALVKGQIYTCEFSLAEIIYLPYPARQADIWLLRSSTAAVCPAKVITGFSDSANTYSGTINLSELTDPPLRSTIARNLFSQWLPIEASDDTIGSDDSLHSVETNGLHTSFSSTSLPFPTLSNSTTSQTWITQSSPALARPLSSLHDFPYCRTLTRSGPWRGTPSWLSSVVELEWCPPFSQDVSLSSLLRRSREGVTIEPATLRMLRSQLLCRLEHAPPLLEPELDLSMGVEAPLWELEVQLELEVEKLWRRISS >Et_2A_015070.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28636719:28636898:-1 gene:Et_2A_015070 transcript:Et_2A_015070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPQTTCLLGVELLQAAVVFITGIAIAAVTAVCFWENHEYIILFIGGAPTAFLFFTIW >Et_3A_025369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29211191:29214068:-1 gene:Et_3A_025369 transcript:Et_3A_025369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAASNAYSWWWASHIRSTQSKWLDNNLQEMEMRVKAMIKLIEIDADTFAKKAELYFKSRPELMNHVEETYRSYQALADRCDRISGELHKSNHTIATAFPEQVQLSLQDDNGDGFPKGITGINISRGTSPAPKRTQTHRRISSHLSKDKAHEEIERLQKEILVLQTEKEFFKSSYEASLNKYLDIERRASEMQEEVWSLQETFTTTAAIEDNEARALMAARALISCEDTLVSLHGQQKKSSQEAITESQRVIDAKKKLIAFKSECGHPHNQKELNDHQTAEYNDLILQDPRLELQVIFRKVKQQFESCSEASVVHLAGKVDELMDKVITLEISASSQNAQISRMRTEADELHKRLDSLEEEKETLIGDSSKFSERLKQVEEVLQTIQRIEKSVHIDNETMHKQLTEAYSSLTDFVEKLDVPLSEEIIEPESRGIASEEDADKHSTLSEPFQGDSGTAGNSIYEESLDSIDISSEAQEEADGTLGWQQLVLKGLEGKDKILLKDYASILRNYKDTRKQLSDIENKNREYHLEAMSEMKELKSANATKDDEIRFLRRMLSSLQTKLNTFQPQCPEKSEESSEDKDISEIEEYMKTCQGEEPPVSSLEEKFRVEIGRVLEESLDFWLRFSTSYHYMQKFQKSFDKVKAELDRLTDAKAEEGSDTSPASQSVIKQDSAAIEKKFRDLNTDLQVWLEKNVLLQGELESRFSSLCSIQEEISKITTLNRTDEAHFTPFQAAKFQGEVSNMKQENNKVTKELQAGLDHVRGLQVDVGRALLKLRENIELNIGRTNRTQHNFRTMSVKAGVPLRTFLFGSKPKKPSLFSCMGPVMPKPSDMRPGLFR >Et_7B_053777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12046146:12047503:-1 gene:Et_7B_053777 transcript:Et_7B_053777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGTASCCSSTALQAPAPGRRRLVRYGCAARRWPCHRWAHRPDAATPLIRRPPPRRATAAARVSCAYSSGAETVTACSWNEYVLSSDVPVLVEFWASWCGPCRMVTRVVDEIAQEYAGRMKCYRLDTDDYPQTATSYNIDRIPTVLIFKDGEKVQSLTGTLPKSVYVRALEKFMAEQ >Et_7B_053828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12496572:12508644:-1 gene:Et_7B_053828 transcript:Et_7B_053828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVYCQKLPGGSRKRKRMANRISFKGDGANTSYGREPQQESCVHRRPAKFVAQRPTPHHHVLPPGTAGRADMRAVTEVEGPLREFDTAASPARREDHERARDWFEKFVDGLLTLHSAESLDTFRFHICSDCPVLEDLELESCLLDSSEVASCTLKNLMIMDCTTYFDTNVVVVKAPALTSFHLSITGVLVNKMPSLVKASICFRTGNGTDECSIQKKPCKLLCSLTNVRELEFSGSVRLSLLQKRSDDFPTFCNLRTLLFDGCDSSDTFQILGCFLNNAPMLEKLTLQFCKLPEGSRKRTRTGTKKSIFFKCDKLTFHCPNLKLTEIKYREDDVQPLRVPAVVDRLNALPDDLLHTIMSFLSVRQAVQTRLLSRRWRELWCTMPCLGIDGREFRNEATPNHHQVELERWIRCGVKYNPAVLELALTSVMGMITLLSLGPSAAAAPYYCRLRRLSLRGLRLDAGFADHVWTRCPLLEDLELKGWSLLHASVGETFPHSNLFMLLGSMRNVRTLELWGLWNCKELLKDEKNVFPKFQNLTTLLMGQCNMREEFGILRLILENAPTLEKVTLHHCTRATATIDRLSALPDDVLHSIMPSLTSRQAVQTSVLSPRWRHLWRSAPCLDIDHREFVVPGSSAYSIRAEDTAWAKLEEFAYNLLMDHDAPVLERFRLHVGMRRREEDVNRWIRRGVKYRPAVLEITVAIISNLQDVLSLPPLGPAPSCRLRSLRLCGVSLDGGFADHLRSRCPVLEELELKRCHCSFHEIVSTTMKNLVIDSDGRGFTGRQLVVTVPALASIRLSFLGCTKTQSFVLNGAAGSLLHASIGESFLDKSLYTLLGSMRNVRTLEFWGLWNCSKEVLEYRKKVFAKLHNLTTLLLGQCNMRNKFGILRFFLENVPSLEKVTLHHCKYTNLGENDVQQDLPPEYRKLISSESPDLNRIDIKYHDGNTSTLFGLLMSIRAKLGKNIVTLRKIKRPLKIRIRLKNNK >Et_2B_022106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9249134:9258628:1 gene:Et_2B_022106 transcript:Et_2B_022106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHALLGRRFPVDPNEYKLYEEIGEGVSATVYRALCVSLDVVVAIKVLDLEKCGSDLDGIRREVQTMSLLDHPNLVHAYCSFTNGHQLWVVMPYMAAGSALHIMKTSFPEGFDEPVIATLLREVLKALVYLHSQGHIHRDVKAGNILIDTNGAVKLGDFGVSACMFDTGNRQRARNTFVGTPCWMAPEVMQQLHGYDYKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKDLVATCLVKDPRKRPSSEKLLKHSFFKQARTAEYLARSIVDGLPPLGERFRELKAKEAELLLNNKLGGESKEQLSQKEYIRGISGWNFNLEDLKNAAALIDSSNGTCHYDARDNKAKDGSQKTYSEPEHIYKEKVNDVASGRPAEDEIQEVDDLNDALSSSFPSRPLEALKSCFDVRDADDAGPTATDSSLQPNDGYVNTQQLPKNEHCKSAHCNGESLERSASVPLNLGNSGSHKFPSGSLIPEQILSPYTNADLERDGFRQKNPSIRNRSGPLLFRPIKDSRTHLSVAPEESSDGKVVHRKGRFQVTSDSISQKVASSACSSSRTSLPTGATLPNLKSSTILPALQFLMQQNTMQKSSGAFVKEKELQSYVVHLQQSVTELTEEVRRLKLRNNQGPIIVGSSCCAGGVPWILDFSGI >Et_3A_025716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32046082:32049129:-1 gene:Et_3A_025716 transcript:Et_3A_025716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHAAGTGGGAVGRRKAGAAAAAASREWMVVPASGPARVEEAGKHAVMARTGLPARDLRVLDPLLSYPSTILGRERAIVVNLERVKAVITAAEVLLPNSKDPAFARFVRDLQARVLASSSDQAAELTDMEGESSVAASPFPVPNSSKGHELEMAKKSATVVGGMTSSSSMPTLTAAKDGNTKVLPFEFRALEVCLESACRCLEEETSTLEQEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDEMDMAEMDEDYRSEPDGSNGSFSGYYKPNIEELEMLLEAYFVQIDGTLNKLSHLREYVDDTEDYINIMLDDKQNQLLQMGVMLSTATVVITAGVAVVGIFGMNIGISLYDDPTSDEERRAANMRFWETTFGTIAGCVIMYVIAMGWGKRSGLLQ >Et_10B_003326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18897905:18914294:1 gene:Et_10B_003326 transcript:Et_10B_003326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGARSFLFVLLVAVAASSLTPLTTAAPGTPPGAADDGGVKLRVDRRQVLVDNGVVQVTMSRPQGRITGIRYNGERNLLHYTGGSNSGGYWDVVWNYPGSGQPRGMINTLDGTEFSVVSSSEEQVELSFKSTYNPSRPNSFRLNIDKRLVMLKGSSGFYCYSIYEHAGNSPALNISETRIAFKLNTGRFNYMAVSDDIQRYMPSDADRNPPRAKPLAYKEAVLLVDPKEPQFKGEVDDKYAYTLDNKDNIVHGWISSNHPNPMGFWVITPSNEFKSGGPTKRELTSHVGPTSLAVFFGTHYMGKDMVLNIKDGEYWKKVMGPVFIYLNKSPNKGDLRALWDDAKAQARAEVSKWPYSFPMSNDFAKAAERGSVTGRLMVRDRYMANYDMPAGNAYVGLAAPGEPGSWATDSKGYQFWTRAASSGSFTIGNVRPGVYNLYAWVPGFLGDYTYTSSVTMTPGCAINLGDLVFHPPRSGPTLWEIGAPDRSAAEFFVPDADPKYASKLFLNKDKYRQYGLWERYPQNDIVFTVGESDPSKDWFFAHVTRKVGNNLAPTTRHIRFNLDHVVPDGVYTLRIALAAAHMSRLQVHVNGGARRGGVFTSQEFGDGNAIARHGIHGVQWDLEFPIRGYLLNQGENSISITQTRAFSIFFGVMSPFILTIMLVAAVGASSSSLLPLAAAGGATPPPGGGHGAAVTLHVDSRQVLVDNGLVQVALSRPQGHITGVRYNGERNLLQYTGDENTGGYWDVVWNYPGSGHPAGMIDMLDSTEFKVVSSSEEQVELSFRSTYNPSRPNSVRLNIDKRLVMLKGSSGFYCYAIFEHASNWPALNITEARIAFKLNTGKFNYMAVSDDIQRYMPSAADRGAPHGLPLAYKEAVLLVNPMEPQFKGEVDDKYEYSLDNKDNYVHGWISSTHPNPMGFWIITPSNEFKNGGPLKRELTSHVGPTSLVMFLGTHYIGSEIVLNLGDGEYWKKVLGPVFIYLNKSPNRGDLRALWDDAKVQAQAEVSKWPYSFPKSQDFAKAGERGSVFGRLMVTDRFMNNSDMTAGNAYIGLAAPGRPGSWATESKSYQFWTRATPCGSFSIGNVRAGVYNLYAWVPGFLGDYMYTYVTVRPAALTSAAIGSIGTGCAINLGDLVFEPPRSGPTLWEIGVPDRSAAEFLIPDADPKYTNRLFLNKDKYRQYGLWERYADLYPEDDLVFTVGESDPSKDWFFAHVTRKVGNNTMPTKRQIRFNLDHVVPDGTYTLRISLAAAHMSILKVCVNGGTRRGGVFTSPEFGDGNAIARHGIHGVQWDLEFPMKGYLLSEGENNITITQTRAFSIFVGVMYDYIRLEGPSGSWRDPTQRA >Et_5A_042429.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1037953:1038483:1 gene:Et_5A_042429 transcript:Et_5A_042429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCSSQSKAVTEGAQTPRPCAAGKDEARSAAGCATETKAKHLLSAKEIRWILAQKPVAVPRRYAELKRSNPELTPRPGEEVDDAKRRLYVVAKGFFDMEERFPKLQEWVREPLATKGVVEIDDEWAKRKADAQAIVDREWPKIEATIQSIRLLQLQAGGQGDEQSDGSDSDEEDD >Et_7B_054646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3143135:3144480:1 gene:Et_7B_054646 transcript:Et_7B_054646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLGRRVGSYISTELCGSIMNQRRYYSSRVDWKQLRPMILKRIKSRSKEYPIKRMIPVAEEVVRAREILTEGVSTLLKFVPVHSCKFCPEVHIGAMAHQMKTCHGFKRMIKNQPHKWGPGNLNDILVPVWAYHLKNMFQDEIKHDQRFDFTRVPAVLELCHQAGADIPDEVLYKSEQLSTAVEGNNQQPAHFLPEELRYVGQRTVEAWESLRLGVTKLLLVYPSKVCEHCSEVHIGPSGHKARMCGVFKFEGWKGMHKWKKAEVDDLVPPKIVWHQRPHDPPVLVDGGRDYYGHAPAVMELCMQVGARVPPKYHCMMKAHGLAPPVQ >Et_1A_008263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5887664:5888315:1 gene:Et_1A_008263 transcript:Et_1A_008263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EVVEALAPNMSAGDSTPAAVPAESPHGIDVGVEFKPVEHPVEPVNLDQPVKCPLPEPSILHDGRIWKEKMSSVSARVRTDLPVVKEGSQLEPDSSGTRSRSAVPRRAILPSVSAPEHNILALLDECDVAESHSPAE >Et_2A_016770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2847864:2852576:-1 gene:Et_2A_016770 transcript:Et_2A_016770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVDDIIRRLLDARGGRTPRNAQVTDAEIRKLCAAAKDVFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGYPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYPENFFLLRGNHECASINRIYGFFDECKRRFNVRLWKIFTDCFNCLPVAALIDDKILCMHGGLSPELTNMDQIRNIARPVDVPDHGLLCDLLWSDPDKEVEGWGENDRGVSYTFGADKVAEFLQKHDLDLICRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSIDDSLTCSFQILKPSDKKGKATGNMSKPGTPPRKIKISII >Et_5A_040437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22050666:22051094:1 gene:Et_5A_040437 transcript:Et_5A_040437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIETHRTGAEILKGDAICRKKCIELLEEIGLPKGLLPGKKKVEHTFKKIKQTVSYAAEVTAFIEKGKLRKITGVKTKELMLWLSVVEVYIADVTPDKVTFKTGTGLSDSFDATAFALGE >Et_4A_033592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24784837:24791776:-1 gene:Et_4A_033592 transcript:Et_4A_033592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSVWLQEDRENILRSRATGKAVLTRPFRLMSNHLGVVLTFPVYLRELPADAKVEDRVAATAGYLGGAFDVESLVENLLKQLAGNQELVVNVYDVTNQSNPLVTLVIYRNKLNVPWSVISTPSGIFIICMLVGYIVFAAWSRYDNVKEDCRKMEELKNEQKLLILLNLRTPMNGVLDDVISLFSSKSREKGIELAVYVSEKVPEILLGDPGRFRQIITNLVGNSIKFTERGHIFVQVHLADHSNLATEAKLEPVANGVNGHKDETTTISTNVSHNTLSGFEAADSRNSWENFKHFLFYETSEMHYGNDSDKVTLVVSVEDTGIGIPLDAQGRVFAPFMQADSSTSRNYGGTGIGLSISKCLVELMGGQINFVSRPHVGSTFTFTAILQRCDRSAISDSKPVMFHPVPSSFKGLSAILVDKRPVRATVTKYHLQRLGITSEVVGSIEVALGVLSGRNGSSLISMKQPSMLLIERDSWGSKIDACLRTRLLELKQSGCTPVSAKVILLAAAESDKLRANYSVDSPLKASTIATCLFQALGITQSNNEKREGSGSLRGLLLGKNILVVDDNKVNLRVAAGTLKKYGEKVECVESGKDALALLQVPYKFDLCLMDIQMPEMDGFEATRQIRAMEEKVNEQAEAAKWHLPILAMTADVIQATYEECTKFGMDGYVSKPFEEKQLFQAVQKFLDPSMLS >Et_1A_004909.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:1634606:1634830:1 gene:Et_1A_004909 transcript:Et_1A_004909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRLSLGMTPKTVRLPKNGEMLLSVHGSPLGVYKEENLAAIQGIYYLILILLVPEGSFSVFLFTNHFFPFRIW >Et_6B_048619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11669674:11674446:-1 gene:Et_6B_048619 transcript:Et_6B_048619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IMNNESVGYSIIPIYVSEWFLRHFEEYILHLDEDEEADAPPVASPCALRLPTPSSSGQLLEVTCLQLPSWLTLYSAFYCYIGFAEMGCGPSIPKKCSIGGKGRKRRSIIQEVAVFVPTVRIPVASDIVHPLRGLVSKDLVDRLSTLRAHVVALAEEIYYADVSAVSELQHALEEYLPVVLGLTMKESRLESSVQFRWKTLDDDQECCLASAWYEVLSVVHMMAMLALFEANLILIPKNGQSGGERKVSEDAKKDVVDSLLRASGCLDYSVHRILVQIPAQVKKSFPSYLQEGMLEAISIQALAQLGLASECEKATLSVKRRLACEQVTYFSQAHYCLSGCDTSDSYGKKLLLFLKWKCMEAKAVAYYYHGLVLDKGGEAANHISAVCCLSAADDLLSESKRACLSFCLANPVTRVPPPWGVMKNMHKKIPDVAYKKFQVYGHLFEQDKNSALQIIPDLPEFALSLRPEGYELPSTDSVWENVNCQPQIQSLKEHLNDDEDQVQTK >Et_2A_017257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32564641:32568581:1 gene:Et_2A_017257 transcript:Et_2A_017257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVIAATPPPAAETAPPASLYVGDLAESVGENELLAVFGQIAPLASVRVCRDIAGHRSLGYGYVNFHSRQDASRALEALNFTPVNGKAIRIMFSNRDPSMRKSGRANVFVKNLEPNIDSKSLHDMFSPFGTILSCKVVTDSFGQSKGYGFVQFEVEESAQDAINGLNGMLANGSKIFVGLFKRRSDREVKFTNVYIKNLPPEFSDDYLRQQFAPFGEITSAVVTRDADGASRGFGFVNFEKPEYAAEAVQKLNGKSISDKVLYVGRAQKKEERRAELRAKFEHGRNGKAERFQGLNLYLKYLDDSINDEKLKKLFQEFGEVSSCKVMVDAQGRSKGSGFVSFTTAAAGYNAINGMNGKMVANKPLYVGLAQRKEERRAMLMAHFARVNQAMTAIPYAGPQQVYFGHPAPGQIPPQAAFYGFQQHFVPGMRSVSPVMMPNNVQRARDPGQRTGYRHQQQMIHANANHSIRYMPNARNGAYANHGAAQMDAIIAPPTPNKNLTTALASADPAQQLKILGNQLYPLVEQLERVHAAKVTGMLLEMDKTEVLHLMESPEALGHKVREAMAVLQRSMAADSVDAAAAATAPSVKA >Et_1A_007146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31284971:31295191:1 gene:Et_1A_007146 transcript:Et_1A_007146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADERCNHGMASGHDRISNLSDDLLHSILLNLRSTAAAARTSVLLRRWRHVWAALPELTMLHLENLHALDSVDAALAACSAATVTRLAVTAPLLLPNDLTTARVAPWLLFASARLAGELTLRLPRAIALPPGGLEKVTEITLDVKSTLSVRLLPPDAFAWSSSLTVARITGARMEIRDLECLGSSRCCPCLRTLELRGVSLVAGSDVSIRSESLERLVFLVRGTTKLEVVTPRLRYLRAPARRSLLLQADIVAPKLEDLAWYVDYRKERHRFVDAGNHLRRLVIERRQALITQLRRFDVVDELVLKAGERQVERTNSMTERLTLLTSIFLCVVLGYASDRCFVQPTVIDAKLDLNGTFIIGETSSTQHTFPTRGKQHPFAPSMLHLLRKLRGLRKLVVHLPFKTKASSPMVRDRDRISSLPDDLLLAILVRLHSTPAAARTSLLSRRWSHLWTQLAEIVLDELSADSVDAALAAANTAPTTLSRLAIAGPFLACARVAAWLRVASQRLAGTLSVDLPEGAVRVGEELVLPPMERVTEIWFWAENILRIRTPCRRPVPGAAFRGHNRRAHGSPRHGMLRLLAALSLRRVSLVTASGVSIRSDSMERLFFNVRNVSRLEVVAPRLRLLRAPLMLGNRDFLVPGRVVAPGLADVAWYGTYDPRHHQFVGAGRRLRKLLVVQMPMAALMQRFDTVDELSLRLAIPDFPLLFSGTADVSLSLASVGGYESFLEATHRLAKWTPSKCSWIPESTPTHQACYMFVVKINWSEEARGSSAPNDFESYKNDNFALDSLEEVVIMDFRGLPHLVEFLTLFLGCNAAVLRNVTINMPVDAPAVSEEIFQKIRTFAPPNVH >Et_7A_050259.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16114915:16115382:1 gene:Et_7A_050259 transcript:Et_7A_050259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSFFCIFSFSRKSRRYGVDDEASDWEGPARLRKVRSSDEDNGWWVGERDVDQKASDFIATFHQRRLVV >Et_2B_021988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7850231:7865876:1 gene:Et_2B_021988 transcript:Et_2B_021988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYNAWLPPPVAEATQGEAAAFAGAVRAAADAWRPGDPDSAYATLKWISVFDLILRKHGKRLSLAVQWRPLYDTLIKTHFKRNMGPEGWKVRQQHFETVTSLVRASRNFFPEGAAAEIWSEFRTLLENPWHNSAFEGVGFLRLFLPANSRNQGHFTIDWIAQCLDLWNSITNCNFWDIQWASIIARCIKYSISVPISGGNGSYPFPVDVPRNTRFLFSSKSRTPSKAIAKSIVYLLKPKSLSFEYFEKLINFLEQFYHPSNGGRWTYSLERFLRYLVVYFEKRLQQEQFDTMDEKHDKFYLGKEVRAAFVKVILKLLDRGQYSKDDSLAETVSIATSILSYIEPTLVLQFVATNFQLALETNAVTSVAFSGRALLLCSLSPSQFNDSSVVDSFSDLIVASLSNALLGMDANDPPKTIATMQLIGSIFSNLATVGATDDVPAFLQSTTLSNWLDEFFSRLFSVLQNLESSSPINEGYQTSFMSGTFLVEDSPYYFCMLEILLGKLSKPLFIQSLKRITKFVNANILPGATSEVGLLCCACVHSYPEEASVHLLKPILMTIMSSFEGTPTTGYVGRVVPDKTSKKATLSPALETALDYYLRVLALAISYAGPVLLNHREELMHIVTSAFQAPSWKVNGAGDHLLRSLLGNLVSYYPIDQYKPFNCQPITKIIEPWGCSKAHQDREAEMLDFPPKWHDPSQDELSFANELLQFHFQSALEDLLTICQIKVHSETGDEKEHLKVTLLRINSALHGVMSCLPEMRPSYKDGGSKEVETMFFIAGSAGSTVGSSEMREKAAEFVHIACRYLLKERADDSILLALVVRVIDALINYGSLEYEEWSSHVQAWKLESASIIEPPCNFIVPFHAQGKKRPRWALVDKAQLHSTWRCSQSSYHRYRTNADVSPSGLMVNLMNDLLDLSLHNYETVRSYAGRSVTKLLKRWPSLISSCVLTLTENFRNPKAPEHAVLGSCSILSSLTVLRHLTTDPVSLSSFIMGILESSHHESLKCQKAITELFVKYNIRFSGISRSFFKNSESQDKPGFLSLISQINALGFETNSLHWRYNLMANRVLLLLILASRSESGTYSQILAETAGHFLRNLKSQLPHSRMLAISALNTLLQGSPHKASLQDSHESLDQPKECNVTLTGEILNEIIQQEGFMTETLNSLSNVHIISDNDSSSKGSYGASSFQSGSDKAITYFYFDFSASWPRTPSWISLVGGDTFYSSFARIFKRLTQQCGMPVISSLQTALEEFLSSKERARQCVAAEAMAGMLHSDIIGNLEPGNNWLMNQLQKIMLAPSVESVPEWAACIRYAVTGKERSGTRAPVLRPKVLECLLTPVPQSMGTSVLAKRYSILSVALIEISPPKMSPVEAQYHVKILDELLDNMSHSSAQVREAIGVAMCVACSNLRLSGSFGPGGSPHDVSGDVSMTEQTGCEYWSKRLTDGANELSISIQNSIQSKQLESTSDSVNDGGVDHREQADAKRMETIFHFMIASLKSGRASVLLDIIIGFVNPVLSLQETSNKDLSLLAKSAFELLKWRILHRPFLENAIAAILPSVGDPNWRTRSALLSYLRTFTYRHTFILSGSEKSQIWQTIEKLLVDNQVEVREHAAGVLASLMKGADKDLSKDFRERSYAQAQGIIDARRRNSKSGHSVATVHGTVLALTASVLSVPYDMPSWLPAHVTLLARFIREPSPVRSTVAKAVAEFKRTHADTWSIQQEAFTEDQLEVLRDTSSSSSYFA >Et_3A_024766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2416321:2423410:-1 gene:Et_3A_024766 transcript:Et_3A_024766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFRLNTSWSTMNAILVSGGLWHRPLLLTKKSASSVVTLRDRPGMSSHGDPLATCLPFLIAVQLIHDSSSPFLSLMCSVVSLLLSASWEVLLIQDIKLKGMLISTQVPIEP >Et_5A_042369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9253789:9258420:1 gene:Et_5A_042369 transcript:Et_5A_042369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTYKIGTGLVVARRADGSWSPTSAILVLFTRAGGEVLADIIIVLRNTDAIRTFSGKAHLSVGAGVSASAGHLGRVAEGDFRAGDGGYAACYTYSCSKDAFVGCAFNGSIVSTRDTENARFYGGPLKGSDIFLESMSRSPPASPLYKGHSLITFPSHADTASLSWKSSAQSARVLALPRVSSSSSSGHSLITSPSHAGTASLSAFGELISISTRSSALAELLRRLISATPPGALGLLLRPRERFLDELATLAFSPAAGSAEVKDGDLAATEDIWSPGSQVAGSAGDLPPAEERWGPGSQIAGSARSW >Et_1A_006438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22178011:22183845:-1 gene:Et_1A_006438 transcript:Et_1A_006438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPRRAISFHRHLVVLFAIAAVSATRAAATAETAAVASAPSPDETHQSTAPAASSSSFLHARCATTLYPALCHDSLLPYAGEFQTSHARLARVAADVAAARLRALLARVRDMLHHAPRTGTSAGGGGGGGGPSEADALHDCASTISSAANLARQSSAELAELDDATTSEAGGSSSSRRARWSVSNAKTWLSAAITNEGTCADGIEEAGARESPSGKEVGDGVANVRQHTSIALALVNGIPLHPPVHPHCRYIDHDIRSRCSLTRVPVSARRATAVRGATTTGGGVPPRSVRDNDVQGDLLLLPHPLRLRTNPVKLARAATDVNHARLRALSKRVKELVAIGNSGGAGAGAGAAAAAALRDCASTVSSAAGLAKQSAAELAKLDAAGWGRSQVRWAVSNAQTWLSAAMTNEVTCADGLVAAGGAAATASGEVVVGVGGARELTSVALALVNGMPLPPSGYTVVLIQGTKIYAHQIGKQESA >Et_5B_043616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1297881:1299927:1 gene:Et_5B_043616 transcript:Et_5B_043616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSGSGPPSLAAFASLLTARRFAAAKSLLASLLTPRLLAVPFADLAASSLPRGAPPHAVAAFHDMIFRAYADAGAASRAAEAFDGTVSRLGRLDPRSLTSSLLSLRRAGHLPAAADLLRRALASCPDSVTPLCASVVVDGFCKAGRVADAWELLDEMPRHGVKLNALCYNSLLDSYIRQKDEVRVNEVLRIMDNEGIEGTVGTYTILVDGLTAAKDIHKVESLFDDMKRKNIAGDVYFYTAVINAYCRAGNVRRASEVFDECVDNGIEPNERTYGVLINGFCKIGQMEAAEVLLADMQARGVGHNQIVFNTLIDGYCRNGMVDSALNIKATMEKIGIDLDVYTYNTLACGLCRGVAPNFVSYTTLISIYCKEGDMVEARRLFREMTGKGAVPSVVTYNVMIDGYIKKGSIREAERIKKEMEKKGLVPDVYTYASLVHGHCVSGKVDVAQKMFDEMKLKGAEPNVVAYTALISGLAKEGRSEEAFHLYDDMLRAGLTPDDSLYSVLVGSLHTDNRKDSLAQTYYSLYSVLVGSLHTDNRKDTLPQTG >Et_4A_035198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11682981:11684849:-1 gene:Et_4A_035198 transcript:Et_4A_035198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPLVFAVGILGNIVSFLVTLAPVPTFYRVYKKKSTESFHSVPYVVALLSAMLWLYYALLTKDLLLLSINAIACVVQSVYLTIFLVYAPKEAMAFTVKLLCSMNVALYGAMVAFLQFYIQGHRRVTIAGGIGAAFAFAVFVAPLTIIHIVTPQMTNLQQRQVIRTKSVEFMPFWLSFFLTISAVVWFLYGLLMKDFFIAMPNVLGLLFGLAQMALYFVYRNPKKNGAVSEIQVVKQAADEVKDQQVHAGHHVAAANPDADAEEVPSSHAGNEGANKNNDVVVDILPPPEKAPPLPPLLPLPAIPALPQTAVEVA >Et_3A_025300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28746730:28747607:1 gene:Et_3A_025300 transcript:Et_3A_025300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQALSNNSACWASTRDRLDEIGKKIKREPAAAGISALAASASTAPAENPVPRRLGLGLGGALNTVIPCAACKLLRRRCSQECPFAPYFSPHEPHKFAAVHKVFGASNVSKMLLEVPEAERADAASSLVYEANLRLRDPVYGCMGAISVLQQQVNSLEAELDAIRAEIFKHRYHQPGATGAAGLVDDAAQARASFDVAPGTPMHAGADVVSVVGAAGQEASTVAGAPGMPPSSAVYIAEAAEQPSSTTDHHYSSLNS >Et_4A_032847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14684158:14684798:1 gene:Et_4A_032847 transcript:Et_4A_032847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIYITAQLPDAKGTIVKWAQTIDRVYITVQLPDAIDTTVNLEPEGTFTIRGNVVGADGHLYHLNLDLNDKVNVEASKTSVRPKFIVCIAQKAEDKWWKKLVRDDQKAPEAMNDDGTNG >Et_1B_012059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28531482:28533898:-1 gene:Et_1B_012059 transcript:Et_1B_012059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIICTECTYVLPFLMSWPPVFLALEDGNVTQMGSLRAMARADALCAPPAWLKLLLAAVFFEDSYKMFVVKRTHSHILAFER >Et_2A_017594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:412381:413739:1 gene:Et_2A_017594 transcript:Et_2A_017594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADYTGKGFDQLMDVIDKIKNNPDDRRIILSAWNPSDLKKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTYMIAQVCDLSPGDFVHVIGDAHVYRTHVRALQEQIQKIPKPFPILKMNPSKKDIDSFVASDFKLAGYNPHQKIEMKMAI >Et_7A_051802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24910538:24916724:-1 gene:Et_7A_051802 transcript:Et_7A_051802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGGGATARMDPEAATELVRKGATLLFLDVPQRTLFGIDTQVFSAGPKFKGIKMVPPGPHFVYYCSPSRHSNEFAPTVGFFVTTQPSEVLVRKWDAQEERLIRLPEEEEIRYTEAVRRFEFDDHLGPYNLDSFGDWKQLSSYLSKSVIERLEPMGGEISIAWESSWMDKSPQSDMERRLMEQLREGKFAKDAPVQSERRGCYYTNIPASVKHKNISRDELTALNLDKTSLLESVLAKSYQGQEELLLGELQFAFIAFMMGQSLEAFMQWKASVSLLLSCSEAFIRTVYFQIKHGFQRTQDSRSSGDMGNSLFLDEAWFSRDMFLYRLSKDFLTVVLEAPVVDGDLLSWSRKLKALLETTFGWDLENNAVNLIDEDDEFAPMVVEMNGS >Et_1A_004661.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28822518:28823048:-1 gene:Et_1A_004661 transcript:Et_1A_004661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISMLFLGRLGELALAGGSLAIGFANITGYSVLSGLAMGMEPVCGQAVGAGNLRLVGATMQRTVLLLLAVSVPVALLWAHMEPLLLLCGQDAAIAAAAQRYILLCLPDLLFQSFLHPIRIYLRTQSINFPITACAVVTVALHLPVNYLLVWVLGLGVEGVALASALANLSLVLLLL >Et_10B_003597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3728149:3735053:1 gene:Et_10B_003597 transcript:Et_10B_003597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDGRRNPAGSHMMKRRQQSSINDLIEDLLELVLLHIPSRIGLVRAAATCKLWRSLIAGGSFLRRFRSLHGPEVLGHYYLSCSSHSAFFDPTPKEPGWAEAVDVTVDYLKFFPRYLASSLLTDSRGGLLAILCLFNTITVCNPWTRQQNKFETPFQRRETSNYHAFCLGAFLLDSDADTEETGSMSHFKVLCACLFQQRDDASKKIVEADVYSARDGRWLPLSTTAVGDIADIATYRAHLPFTKPVVFLGRVSSSLFWFAKRGSGVFQLNESSGQFSSITLPRLAGVNEGSSIHNRSNLRVVGGDAGAVRLVRIVGGCLEVLRRSHSDVPCVLDRRVCLSQLLTSIEGGPNMSWRFVDSAHTAAQDRVVLSSREDDCMFILDVETMKLVSTEETNGWPDYWVLSYELPWPPIIKQRRPSSIEDLTDDLLELVLLHISSRVGLVRSAATCKLWRRLIAGAGFLRRFRSLHGPEAIGHYHVTNSSAFFVPIPSPRAQGETAIDVNVSDLPSSVLIDSRGGLLAFVCRHVGSITVCNPWTSRHKILLPPFRGPGYCSYVDFLGAFLLDADGDDTGAMSHFKVLCVRLFQPCHDKGKRSLEADVYSAKDGRWISLSSTAVGDIVDITPRARIHLRKPFVFLGRACASLYWPASGVNENSLVYNRSNLRVVGGDAGAVRLARIIGDGAPPHTQRHSVRGREKCLPVSAACQRPG >Et_6A_047441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:617963:622218:1 gene:Et_6A_047441 transcript:Et_6A_047441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAASLRCFPSSLRNRDYPGSNSAIGLGTPYSRRRHCAAAVAAPSRQAEPSSSLGHLTRADFPILHQKLDEYYRSYNSNVHRGIHALSAKATDAYERARTKVANFVNAADSKEIIFTRNATEAINLVAYSWGLSNLNQGDEIILTVAEHHSAIVPWQIVSQKTGATLKYVGLTKEEVPDIDQLKGLLSNKTKIVVVHHVSNVLGSMLPIVEIVTWSNKVGAKVLVDACQSVPHMTVDVQKLDVWSYRRWILAWQILVIYGAILRFEAGTPAIGEAIGLGAAIDYLSQIGMEQIHEYEKELATYLYESLISVPNVRIYGPSPSQTGHRAPLCSFNVENVHPTDIAEILDLQHGVAIRSGHHCAQILHRTLGINASARASLHFYNTKEEVDVFIHALKDTIDFLTCQH >Et_2B_021004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25615670:25619021:1 gene:Et_2B_021004 transcript:Et_2B_021004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKFRPLMATAAKGKMPPASTAAAAAEREEHVHYKHTDACKHLRWTAKESYEYMYARPWSRVVDFYAELVRTGAGAAGLAKLFGKNESDYTLDTAQEACLTPSEKQSPTISSKDRGGRWERVTFKIVLSYHGGSFDGWQKQPGLNTVQGLVEKQLGKFVDDRKAKRLESRSLPVEGCAVVAGRTDKGVTALQQVCSFYTWRRDVKSGDIKDAINEAAPDKLKPLHVSEVSREFHPNFAAKWRRYLYIFPLDEDDKLILGEEQTPKILESSDQSFIPQSFDVAKVDEIVRQLSGKTLSYRMFARDTQASRSIGPPTECFMFHSRAAVAKLYSPSENCKEGMRVMCVELVANRFLRKMVRVLVATAIREAAAGAGEDTLLKLMEATDRRATAPPAPPEGLCLVDVGYEEFDKQKCFIVD >Et_1A_004931.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:17505666:17505851:-1 gene:Et_1A_004931 transcript:Et_1A_004931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPCGHAFHRDCVDRWLARCRRTCPLCRLHVGGVGLLDDQHQLSEDLVIWFSSLFVAGL >Et_1B_012567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33266129:33267781:-1 gene:Et_1B_012567 transcript:Et_1B_012567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATARECRAYSLGGGGAGAAARNRWEYINAGVYVFSAVLLAGGFAGQLLPWAGSSRPGLAVAALGLVGVLAVNLHDLLAHVAGVDYRLGMVGHDYQLALVELAVPTVQILGTALTLVAVIFFEIQKERGYRHGLASHGLNMLIAGPALWCLGSIHNICQVYERASGHVQLLQKSVQIPFLLGSTLFLIGGAINRHHGHGHHYTLLGRTWAWLGLWGSLLFLVGGVLNLLKVFKTQQMGGRGLEKLRGGAQERLSMEREGKVPLILEHGGRRGDPAMPPPPPGSYKDALVSSAS >Et_9A_061948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18253588:18254284:1 gene:Et_9A_061948 transcript:Et_9A_061948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETGAGAHENNLRWCFPTGKDQGRMGFYHRDQDGDCICAGRGAVREALDPLQVELMTCLKAMEMGISRIVLETDALLVKQAVESFSHEDCSYGGFLKNFLEFDFTSAKIEFSHCECNKVTHSLAALGVACPPSVDPLLDTLPNRIQELVVNDLAVPVTVS >Et_6A_046430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14977812:14978603:-1 gene:Et_6A_046430 transcript:Et_6A_046430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPTVEEATNSYTNKRRPGGERRGEERRRGVELVPDLVGLHGAQGPCAVGVAEVQRAEEDGLLLLDEVVGGLAEEGADERHAGEGEGAGEQLCGVAGRDEVAVADGGHGHHAEVERVQHAAARAVAGHVVHGKVEGGRAGEEVGEQEDADEPEARPRAPENLLWRHRLIGGVRLLLSRAVRQHRNLLGGGGDFWVGSGWSPSCSKGRLMPFSAIADNGEETKEFV >Et_5A_041636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25310842:25315339:1 gene:Et_5A_041636 transcript:Et_5A_041636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLEGALQAGTLTEFYGERMPELRSSTRQARLRSKKLDDPQPAEPSTKPVLPAPQRAGKRATTRAARGRKGAAGRRVPPASKPKRKRVDIIDLEVEPVCEDPPEVGAKGPRMDGESAEKLVGVDDESTTASVPERVQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGTSRVGPDAYEVALKFEHRNSKGCNYGPPYEWQVYHNLNGCYGIPSVHYKGRQGDYYILVMDMLGPSLWDVWNSLGQTMAPHMAACIAVEAISILEKLHSKGFVHGDVKPENFLLGQPGSPDDKKLYLIDLGLASKWRESSGLHVDYDQRLDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGENKSFLVCKKKMATSPEILCCFCPAPFKQFLETVTNMKFDEEPNYAKLISLFDSLIEGPVSRPIRIDGALKVGQKRGRLLVNLDEDEQPKKKVRLGSPAAQWISVYNARRPMKQRYHYNVADSRLSQHIEKGNEDGLYISCVASSANLWALIMDAGTGFCSQVYELSPVFLHKDWILEHWEKNYYITAIAGANNGSSLVVMSKGTPYTQQSYKVSEQFPYKWINKKWKEGFHVTSMATAGSRWGVVMSRNSGYSSQVVELDFLYPSEGIHRRWETGYRITSTAGTNDQAAFILSIPKRKPMDETQETLRTSAFPSNHVKEKWAKNLYIASICYGRTVC >Et_9B_064075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1091097:1094352:1 gene:Et_9B_064075 transcript:Et_9B_064075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAPATASAAAADPGTAYKLLLTCPTGLPRSRVSVKFDKIFDRIPHPDAALEESISEIWNQRLELNPSLYNGTKFRYGGSALHYRDDPDQEYCASLHLGLTDYRTFVGTNLNPLWEKFLVSFEDDSVHCQHMSNPLGNGAIVETSDEKIIVLQRSYNVGEFPGYFVFPGGHSEPQEIGIMGHQADEDDLAHLNERVSREMFEGIIREVVEETGVPAGSLTDPVFIGVSRREMNVRPTAFFFTKCDIDSSGVNELYSRAQDGYESTKLYAVSVDELQGMSQRMPGCHNGGFALYELMRKASKSLRTD >Et_1B_010449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10609491:10612164:-1 gene:Et_1B_010449 transcript:Et_1B_010449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPARRRHRWVKEWVPQDMVIAGGPCALYKWVREDRLASLKAKDKDQGAESAKPEPNTEVLFLCSYEGCGKIFIDAGALRKHAHVHGERQYICHYENCGKKFLDSSKLKRHFLIHTGEKNFVCPHEGCGKAFSLDFNLKAHMKTHSADNYHVCQYPECGRRFTQESKLRAHIRAQHEKNPGASTMNHNPPEHHHPKPVKSSATPPAPTAERPYVCPYGGCDKAYIHEYKLNLHLRKEHPNHDQDAGAQVAASSKGSMSKNSHRSKPNIAAKMPPPRIPKHKGGYTTPSPAINVPGEHQWPGKVLYQDDSEETEEEGDNVEDGWRYRAASSDDEETEDEE >Et_2A_014576.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24415127:24417581:1 gene:Et_2A_014576 transcript:Et_2A_014576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKARAAEENVRLICDDALSEVFRRLPARTLASCRLVCKSWMSVLLDPHFIHEHLSHSQQKLLLFANDRVNDKSLAMVLADDKESMYQLSRPMASWSMFVHNSCNGILCLGDSAGAVELLNPTTGESLVLPMPMYTAGSSQFSSCNWHCLGFCPGTKEHKVVHFYQGSHFDSSQVCCEIYTIGASAWRQVGTFYGAPTDRGVHVNGTVYYLTKFRYVASSRINCLNLESEKFSSMMLPPRKSYGGHCSLAELEGNLCLLVVDGAVEDPPRTMDILMLNSDDKQSWTLKYSLSLPWLMPSCYFTPKHTLFHEGKIWVQLLARGLYCYDPSSKSEEMKMAWTEFDSPFSTHTFIESIVPLHKDYFMKLR >Et_1B_012168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29675454:29680156:1 gene:Et_1B_012168 transcript:Et_1B_012168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METPNRPVPSVALQDNFLFGSGPNIGLFTLFRDRFPHGSRNLVVCTGVKRDKFKPEGRHLIPSADDLVPASHGWMIFRSEQLDIIQGMNNDKNRGAVEEFDARYGHCQPSNSEVDERRARVNILYGVEDAGRAAVEVNNGKMDSQEAITDVDCNDHASRETGFALCSDRKDNISEGNELSDGEEFASCADEEDFSYEDDCPDSYVFSLDAIENSSHRDGSLYKGTRQWQRDYCTADLNETPLGAMRLSEPTEDCVFEHGFCMVHPTSRMLQIFSVKLAKIPADNSLLELYGYIAVRDRLDPLRNYVVNIGRDEPIIVKQGSFIEMDGPKRGIQLYGTILIEYDMRIKTGEHEKEDLQVIDGISVIDDMGTESCHTFIGRFHGDCGAVDIIVSRLDNAVEATVEVVIIDMQSNFDLCLGCFTSGLEEEIRLFRGSIGEPHALRQFVVAVVKGSWMVLKFNVGSAVVSCSFKAINHGCSSQQIQIECAAITVKESYVRH >Et_6A_046334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13172172:13172729:-1 gene:Et_6A_046334 transcript:Et_6A_046334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVRTNIILVFRSYTIVIHRLPDATIPYTMLTLMRYHTVVISAKAPPRSRHKGGSVPVGVTIQLGAQGPKLCTRKCKYCGLKEGHNAPSCPHDPKNAERVDRAKNPVKRKRGRPKGSANKKPTIQEPSICRNLDFSDDDEDIAENCESIISDDE >Et_8B_060770.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:6751369:6752703:-1 gene:Et_8B_060770 transcript:Et_8B_060770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHDREAGFHRSPYRDLSFRLPYRNSVFRAAVLSWRSRRLIIQKVPRSVRDGLDKERRYFVPDVVAIGPYHHGSEQLSVMEEVKEAVVQEFCRSAMESTRGSAVVPFLEAVRPVVPEARLCYVDSFDGITDHDFANMMVVDGCFILAVVAILTDDYPDELEHYSWTHGTMLRILKDILQFENQIPWAVLRALMALRPVRVDKFVAKILAYLDIHSREPRFDGTPWYDLNPVHLLDLVHQRHLGGPAPAADGGIRYCDFAPPLVRFTSAVELAEAGIRIHGSGTSRVSDVRVEPGAVIGRLALPQLALSWLPRCWLINMVALECVTYRNDGRSGVSSHFAILGSLIRAERDVQELRSRGILFSTMSDRRTVEFFEGLLDTLPRQELYPRMLEAIVQLRSRRSTRSSLHGVYYRNRRIVLAAAPLLGFLVAVFGIALNNAFKNK >Et_1A_009384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4490340:4494160:1 gene:Et_1A_009384 transcript:Et_1A_009384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAASSFPPNPRPPSSVSPRCRAAPSGDAALRAFRAHHRAGRALDANPALIPALTACARLRSSAAETEQLHGLLVKSGVPRVVADVHASTSLVRAYARLGRVGDARKVFDGMPERTVVSWNVLLDGLVRAGDLGAAWEVFAEMPERNVVSWNTVIAGFGRHGWAQKAVDLFVEMTVIHGLAPDEATMVGFISAVRDIGLLGLGRSAHGYVIRRQFSMDGALGVALIIMYTRCGSLAAVHNCFSSVSNKNVEHWTSVIGGFAAHGHPEMALRLFNEMRQLGIEPTGVTFVAVLNACSHGGLVEQGFKYFHLMRTMGIRPTIQHYGCLVDLLGRAGLLKQAFNLASNLPEDPGFVIWSSLLAACRSHDNVEMAELAARKLADAKPSHGSSYVLLSNTYAGAKQWDDLKRTRRRMEEHGVTKKPGLSWIEVDGNVHSFGTADKLHTESENGTEAPSLMPTKFDVLRLELWLYFSDSSSISGRTDNTPGISVS >Et_10A_001387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2510053:2512180:-1 gene:Et_10A_001387 transcript:Et_10A_001387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLVLLLSLLLSATFAAAAGGDGGGGCNRQCNDTVVPYPFGFSGDCPILLTCNASISSMPLLPHSTATAPYPILSFNSTASTFLALIDPSCNRTVAAAAASLAGAGYGVSSRTGLFLRGGACRAPASGSTNCTVPADLMARLLSTAQCGGAGAGNDTAWTCGAAAAGGRGQFMAWEAVHASGCEDALTAAVYGDTPQLGVTSLQYGVAELGWWLDGDCTAGGHRCAANATCRDVQTPSGAWGHQCACPFGMSGDGFPAGDGCHYGPPAAASSKRGMVAAVAAGVCSALLLSIGLAVWFLLRHRKRQAKTTSKQAPKGARFFRGIPVDDDFEQGETGPRQFCYEELAAATENFAADRRLGRGGFGSVYSGFLTDTNRYVAVKRVSETSRQGWKEFAAEVRIISRLRHRNLVQLIGWCHGGDDELLLVYDLMPNGSLDAHLYNKSSDQRALAWPARRGVALGVGAALLYLHEDAERRVVHRDVKPSNVMLDASFNAKLGDFGLARLVDDGRRSYTTGVGGTFGYMDPECALSGRASVESDVYSFGVLLLEVACGRRPAVRVGDDDDDGAYVHLVQWVWEAYGGGSVLDAADARMGGKFDAWEVACTMLVGLWCAHPDRSLRPTIRQAVNVLRFEAPPPSLPAKMPVATYGPPACSSGSAATSSAEATGTGRSTTTEDST >Et_2A_014503.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:17527130:17529937:1 gene:Et_2A_014503 transcript:Et_2A_014503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYGDVFAPPSPHRKHHGHGSPNCVMTPDAPPPPPPTPTIPAPAFSYSSPPPPQQGYYYPPPYLSPNPPDIGPSPPPTDTPLPPIVYPSPPEVTPSPPEIAPYPSPPEVTPSPPEIAPLPSPSPPEVVPSPPEVSPNPPEISPSPPKSTPLPPIVYPSPPEVTPSPPEIAPNPSPPEIAPSPPEIAPYPSPPEVTPSPPEIAPYPSPPEVTPSPPEIVPSPPSYEPSPPSIVPSPPEYSPEPPSYVPSPPSYEPSPPGYTPEPPANVPGPPEYAPEPPTYEQSPPIYAPYPPGIIPSPPENAPPGIVPSPPEYAPEPPVYAPYPPGIVPGPPENAPEPPGEVPSAPGGFMPPVVYPPPFAGTAPGTKSGTWCVAKPSVPGPIIQPAMDYACGSGAACDSIQPSGACFRPDTMVSHASYAFNSYWQRNKANGATCDFGGTAMLVTKDPSKPLF >Et_3B_029828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28303347:28304326:1 gene:Et_3B_029828 transcript:Et_3B_029828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCSKNVRAKRREGKDEMHNSGLDECPADEDNNSSLSQTKERAVLEEQLYWARLERQKCLALSAEADETIWNLAALARRTMQERDEARMILANFQARNAQMTMLLQGQACSGAAARPDVFAATGNSQALAPTTTLFRPSMVMQGHDARTVTGYCMPSSSNFGHMNFAYSVDPPPLHGFTSLLQEPFNPDMFLVDAVDSPQDAVIATAGSSGLEKSSGACERLAKQKALQGNGK >Et_3B_027756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17603717:17605306:-1 gene:Et_3B_027756 transcript:Et_3B_027756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAGGSSVPSASCRDGRKRRVCYYYDRGIADVDYGAEHCMVPRRVDMAHALVSSYGLLDDVKRLRTRPATEKDICAVHDADYVNLLRDLTQAFDVGSVTKCKNGGQFIDNPAMAGLWDYCQRYAGGSLSAARALASGEVDIAINWSGGMHHACAAKASGFCYVNDISLAIHELLGHFRRVLYVDIDVHHGDGVETEFLESYRVMTVSFHQRTKDFFPENKGFVNDVGEGKGKYRALNVPMKEGMDDEGYHRMFRPVMAKVMEVFQPEAIVLQCGADSLSGDRLGGLNLSIAGHAQCVAFMRSFNVPLLLLGGGGYTINHVAACWCYETAVAVGKEIDDDIPPHWYDHYYKTQGYKLHYPVGKARRNDNTESNMAETINDVFKNLSQLEEAMPSVQFKDPKGGRIDAKALFYDSPPEEEDDPMVRLQRRCEEVDQRRFYVELGKRQMALDTDPLLYRPEVVKKYRL >Et_3B_028444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15487184:15489826:1 gene:Et_3B_028444 transcript:Et_3B_028444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGRQGRSGGFSKALKEQRSKLYIISRCVVMLIRLCLKSKRSSCQDEAWEPKERRIQQSSQGAEIKVSHHFAMCRYADPLTEQKKQRAQLQVQDCLTVGQGWFSAALRTLHTVRKDN >Et_8B_059587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19597169:19597448:1 gene:Et_8B_059587 transcript:Et_8B_059587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRTRRSSSGSPPRTPPPGRFDRARGRWCAGAKDPVAFVANKARKHQRGAHARVHGEARAFSGGDDRLILECVLDVVREQRLIYP >Et_10A_000211.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:17953815:17953907:1 gene:Et_10A_000211 transcript:Et_10A_000211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSLLAKNGLPISTIWFIRGSSRWMKCG >Et_7A_050955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12937739:12938657:1 gene:Et_7A_050955 transcript:Et_7A_050955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLPQSSGHACNCDPAAATGAGHTLRDRILLHPDGHIGSVEKRTQKLWVLEGIYVAERDVTYVPGLLRIFDEVLVFAADNKRRDPTMDTLRVDVDAARCRISVYHNGQGVPIDQVRRGDEGIYVPEVIFGHLSGKCDSNVKEGEVAGGRSGYGVKLANIFSTEFVVEIADGPGQKKYKQVFSENMGKKSKPEITGYRKGANWTLVTFKPDLAKFNMTHL >Et_2B_019533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:134063:137391:-1 gene:Et_2B_019533 transcript:Et_2B_019533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSSTHAQAQQQLVPPGFRFHPTDEELVDYYLRKKVASRRIDLNVIKDVDLYKIEPWDLQEKCRLGGPAEEEQNEYYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKPIYANKQRQLVGMRKTLVYYKGRAPNGHKSDWIMHEYRLETNENGPPQASRYILLDLIHQLARWVVCRVFKKRLPTTRRESDHDASCWYVDDDGSFMHDLNSPMSGMPTHHSMELQEQHLQMLNNTYKRELKLQFQMPTHHAFSTVPQDLESPSFHSLLVSPDYQTNVHHAHQHVQLIENAVDQVTDWRVLDKFVASQLSHDATKSADYTEEGDILQVSEKQEVGNDYASTSTSSSQVDPWKTSVVRKNKGNP >Et_8B_060202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7360711:7371550:1 gene:Et_8B_060202 transcript:Et_8B_060202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSTQLKPNVIVSWKQYKNTQGQANAEVSNNINDAQSGSISSVTAATENTSHTRRRLLQTANKSDGPTETIEATNVENNEALEDDADASLHLFRNIEDLPNEYNCDYDDYVDDAMWGDEEWKEQVHEKAEDYVSIDDAYIFSTLILTKIVYNRWYLDNSEHAKELEEINLEKYIASCMVVFNLDTRQVKWNSDLDLSTDNETFHAHAYSSRTVVDLDGDGNMGILVGTAYGLVYAIDHRGKVRNKFPLEMAEIHAPCGLWSGDDLDLIVITMNGNGFCFPTPSRHHPLKEWRSSNQERNSSTMRVFVYVKRDSRTLSDEEGKHFWVGFEIVEKYIYGNQAPYNVTITCSWKLSRCIVVSEMHHQPGKQLMKLPTFSVGTTGSVLVEMVAKNGLYFSDEHEVFAMVIEAKLLDHIRGACISLIKKKRVNIQHENPEVNKLKGFDTDTKNKILKPHDKQASGWEMTT >Et_2A_015579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15301780:15343885:1 gene:Et_2A_015579 transcript:Et_2A_015579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTISKIRLENFMCHSSLQIELGSHVNFITGQNGSGKSAILTALCVAFGSRAKSTQRASSLKDFIKTGCSYAAIVVDIKNQGEDAFKPEQYGDLIILERRITESSSSMVLKDHNGRKVGHRKDDLIEMIDHFNIDVENPCVIMSQDKSREFLHSGNDRDKFKFFFKATLLQQVNDLLDKIREQLEIAASALEDLENSIRPALKELDELQEKIKSMEHIEGLAHEIADLKKKLAWLWVYDVDKKFEQQAAKLQKLKERIPACQERIDGNAAIIEDLREELDKKRETVKSLTEKAQEVKRMSEKLEHDIGEAVRLKMDLEKEHARGTQVLNKMNVRVKLLQAQVNDFKLQHMQETQAEASQMEDNMRELQQQIASAHLSVTRLKQEEKNLSEKLSGIKQSIGDVEKEINDGATRTHHLESQIQHLQQRQGNKFTAFGGEKVRRLMKSIEKHCDRFKSPPIGPIGVHVQLSSDAWSVAVDHALGRLLDAFIVSCHKDLLTLRQCAKEANYHNVQIIIYNFAQTQLEIPPHSLPSTAHPTILSVIRSENPIVTNVLVDMGHVERQVLVRDYEVGKSVAFDPRIKNLKEVYTSDGYKMFYRRSVETILPPNRWVRAGRLCTSLGEKITEMEKEAYAIKQMNMERRGLKRKLDCDREKTEEDIRSRKKQREYAEKCLERNKVQLDDSKKIAADSSRETAVDTNEQEAEIMLSSQKVPQLTIASSFCSKCWKTLRIKNSTYAEMGSINDMENELQLVEDKLREAEQEKAYYEGIMETRVLAVIKKAESEYTDLEKLRQEYFIKASKICSESDVEALGGVAGATLEQLSARIKKLTQRFEQESRRYSDSIDDLRALHDSKEQKILSKRKMYEGFRDKLNACQIALDLRWKKFQRNAGLLKRQLTWLFNEHLGKKGISGRINVDYDNKVLSIEMTMPQDASHDTVRDTRGLSGGERSFSTLCFTLALHGMTEPPFRAMDEFDVFMDAVSRKISLDTLVDFAVEQGSQWIFITPHDISPEIGSRSSKWPPLAIELGSHVNFITGQNGSGKSAILTALCVAFGCRAKSTQRAASLKDFIKTGRSYASIIVDINNQGDDAFKPELYGNTITLERRIHETNSSTVLKDQHGRKIAHRKDDLNEVIEHFNIDVENPCSRQEQRILHSGNDKDKFKFFFKATLLQQVNDLLAEIRRQLSFANSTIEELENSIRPVLKELDELREKIKNMEHIEEIAHEIENLKKKLAWSWVYDVDKQIEEQESKLQKLKERIPVCQERIDHNANIMEELRKEFLEKKERVKSFMEKTEEVRMMKQKMDHDIQEAMNLKMDLEKKYARETKVINDMNNCMKQLHAQVHDYKLKSMQATQAEASQIEQDMQKIEEEINFAYLNVTRIKEGEKKLSDELQSIRVSLGGIEKEIDEGAGRFRYLKSQISDLQRRQGNKVTAFGGDRVLQLLKSIEKHQNRFKRPPTGPIGVHVQLASECWSVAVDCALGKLLDAFIVSCHNDLLILRDCGKEANYRNVQIIIYDFARPRLPVPEHSLPSTTHPTTLSVIDSEIPTVVNVLIDMGHVERQVLVPNYEVGKSVAFDHRIRNLKEVYTSDGYKMFSRGSVQTILPTFRNRRAGRLCTSLGERISEMEKESTEIERINIERKRQGNELVSKRVKLEYEIKTLKRKREDEERHFERKKVELDNAKKISANNSHDTALDTTEIEAEMTQLLEDINNKKLVLQKINVKLTGALEDENNLRASFKDFIESTNAEMDSMKDMEDDLRVVEEKIHAAQEQKAHYEDVMEKKVLAVIKNAESQYRDLQQLCQDNFKKASIICSESEVEALGGVAGSTPEQLSACQMALELRWKKFQRNASLLKRQLTWLFNEHLGKKGISGHINVDYNNQVLSVEITMPQDASGDTVRDTRGLSGGERSFSTLCFTLALHGMTEAPFRAMDEFDVFMDAVSRKISLDTLVEFAVEQGSQWIFITPHDISMVKPGDRIKKQQMAAPCS >Et_7A_051714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23569922:23573448:-1 gene:Et_7A_051714 transcript:Et_7A_051714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFGALYKEPEKSLAPIVLQSRARRRDRIWSERAHAAAVPRGGRPAAILARPLPRRLRAVQGCAPTPRRVSRLQLCLFQACLSPRFGDDRTSEGSDFKFKNQDIQMDMLSLPTTEQAMKIGESQTTDDAKQRENKKRAIECSEEDRQWQTLYGIHLGNASQSFETAGSIDTQMDLDPGIWELHVGVVKELSTMALRIMRLTCGSHAYEQSWVEMIHKKKPSWIQCKQFEDSMFVTVNQRIQGKAQMSDRDPLLAYLPGEDEPFEWLVGMYRADIQVPGNRTLLLARAINHDEAGLAKLANQILDDADAVTEEECEESDEELARQSGRKKTSSSASCSNRAKRPRS >Et_2A_018480.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29539473:29539811:1 gene:Et_2A_018480 transcript:Et_2A_018480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGMPDYSRELPDEAAVPDPGRQRQLFVDAGTVLMLWGWSALAVAVSAPGPGNRLGVADATHAFVGLLLWLFGVSFVALAPVAPRFPRAARFGAAAADAVVDGFFLRPWN >Et_9B_064319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1399052:1404340:-1 gene:Et_9B_064319 transcript:Et_9B_064319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNCPPSPSPRSTEKSGRDLRSGEANGSTNSNSNTFTKGEKEKGVNVQVILRCRPLSDEETKSNTPVVISCNERRREVAATQIIANKQIDRTFAFDKVFGPSSKQKDLFEQSIAPIVNEVLDGYNCTIFAYGQTGTGKTYTMEGGGTRKTKNGELPTDAGVIPRAVRQIFDILEAQCAEYSMKVTFLELYNEEITDLLAPEEPKFPVPEDKTKKPIALMEDGKGLVFVRGLEEEIVYSAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKELTHEGEEMIKIGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVYCLEETLSTLDYAHRAKNIKNKPEVNQRMMKSAMIKDLYYEIDRLKQEVFAAREKNGIYIPRERYLQEEAEKKAMAEKIERLGVDLEARDKQLVELKELYDAEQLLSAELGEKLGKTQKDLEDTKNALHDLEGKYNEAKSTIKEKEYVIFNLLKSEKSLVDCAYNLRAELENAAADVSGLFSKIERKDNIEDGNRSLVHRFRSQLTDQLDALHKTVSSSVMQQEGHLKQMEDDMQSFAAQGLRESVQKLKVLHGSGITALDNLAGEIDMNSRTTFEKLNLQVQSHTSALEKCFGGIASEADNLLNELQCSLSKQEERLAHFAKKQREGHLRAVEASRSISNITAGFFHSLDVHASKLASILEDTQSVQDQQLLDLEKKFEECAANEEKQLLEKVAEMLASSNARKKQLVQSAVGSLRESAVNRTSHLQKEISTAQDFTSSVQEKWGFYMEETEKNYIEDTTAVDNGRSCLAEVLVECKAKTSMGAQQWKNAEDSLFSLGKGNVEAVDSIVRTGTETNQLMRSKLSSAVSSTLESIDVANKALLSSIDSSLKLDHDACTNIGSILTPCHGEMRDLKGEHHSKVVEITENAGKCLEEEYLVDEPSCSTPRRRQIDLPSVDSIEELRTPDYDELLKSFRESRGSWKQANGDMRHFSEAQDPTSPSVKDSRVPLIARN >Et_4A_032051.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:13845669:13846037:1 gene:Et_4A_032051 transcript:Et_4A_032051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELASFFATCTAYNVVLFYAMELFPTSVRSSAVGMVRQAMMLGGVAAPVLVALGRERRSFFSFGVFGLLIGCSGLFAACLPETRGRTMVDTMEEQDSNEAAVAPVTDTAGIARNSHNDLV >Et_3A_025037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26293808:26298241:1 gene:Et_3A_025037 transcript:Et_3A_025037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRAVIVYQPTRIEQPQFRCSPSHSRKRYFACPAEGEVPNPVHVAQSPPLIEESAGRKTLASPHPSPALPPLPSRRSSSPFAVSSPSPLRPPSAAPPADLPRPPRRRGALAIVDYAHDEMAMSPEQEDGEIMNGAHRFGSDVQAAEGNLEEPTLSGTEHIISQNSQAEICQQSDAPEQDQMGTDMAVDVSRAEIEDAQMEETPDILTSGENGDPLSRFLPPPTPKKCSAALQQKINKFLAYQRAGKSFNAEVRNRKDYRNPDFLQHAVRYQEIDQIGTCFSKDVFDPYGYDKSDYYDEIEADMKRELERKEQEKKKSPKVDFLSGGVQAPIGTSIPKIPALAGMTGVPVLADGVQKETRPNKKSKWDKVDGDIKNPAIPTGPDNLSTTVSAALLTSANVGAGYAAFAQQKRKEAEDKRTGDHKSDR >Et_5A_041306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2116727:2119468:1 gene:Et_5A_041306 transcript:Et_5A_041306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLLHSSRHLRHGLQTLTPATAPSSSTPLPFRRLPDLLPSRILSPRLLSTSGRDDDTNKPWNFAPESGDPDPFADVEATTGAGEASLGSAPVADEPWAKGFRAEDGENGDVFEEIYKEAATSTPARGEAASAGNEEQWTLSGDEEKDPFAAAVLGEGIEGIEGEGAGLDELDTGEDPEAELKRQQTRAREKELLETLKGPNRAFGDLIAASGITDDMIDSLILLKDVRGVPGLPPLSEIEDRAIQKMNATSSRAEVERQKQEEIAKARVRQVDEKGRAYGTGKRKCSIARVWIQPGDGKFVVNDKDFDAYFPILDHRADLLRPFTVTKTLGLWDVACTVKGGGVSGQVGAIRLGISRALQNWEPGLRPYLKAAGYLTRDSRVVERKKPGKAKARKSFQWVKR >Et_4A_033230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20095932:20099366:-1 gene:Et_4A_033230 transcript:Et_4A_033230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGFEETELRLGLPGGNDAEESTRGSGKRVFEETIDLKLKLEQPAAAAERDEEEEAAAAEVVPAAASLSSPAASVVTTGGGNMKRSPSQSSVVTAELPDPEKPRAPKAQAVGWPPVRSFRKNILSVQSSQKGDGGSKSGVAALVKVSMDGAPYLRKVDLRMYGGYQELSKALEKMFSSITIAGSCGSQGMKGMNETKLDDLLSGSEYVPTYEDKDGDWMLVGDVTWEMFVESCKRLRIMKGSEAVGLAPKAMEKCKSRC >Et_1A_009490.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6507022:6507564:1 gene:Et_1A_009490 transcript:Et_1A_009490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLVCYCLAVPKPLVTFCKLLSAIRDAVLLMLAVVGLCRFPPPADAARRAAADAPLPEDVKQRLPAIEYGQLLAEQMKATSISSSSCSGGHAPCDGDGESASATTCIVCLETLEATDEVRRLGGCAHAFHRGCIDRWIDLGRATCPLCRSSLLPPRARFGGPLGLGRRLVSVVARRVR >Et_9B_065760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10350285:10353841:-1 gene:Et_9B_065760 transcript:Et_9B_065760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMETVTTLPSDAAGMRTLPPAMVRSSVVPCFTNRVFTCAQIVQGTSVVAHTATMPARSFTSSTSVTVHAGGSPSVDVLSSAALSRNLMYAGPPPPRRGVPRRLALVQLARVGVAATPLPAVRERRAENPADEPRRPGGAGRGPVLHHGLVPVQRRAGVEEEQRQREAGSGDGVADAPAHVLLHVHQHQHGHQPAGEGAEHPPVEEGRLGRALALIKVVELVRAERRDVGLCAARADGHGVQRRVEEAHLPPRRRRADAGPGAMRVQGLEGHGQRDQDHTLKVALLPWPRVLAKAKYLALFEGTLKPAKHREVLPFNYKPSYGHFILIFIDDEVDNSSF >Et_3A_023436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27617745:27619662:-1 gene:Et_3A_023436 transcript:Et_3A_023436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFASGGGVAAEVLTAQFARQVLTGRWFTLFACLLILSASGATYAFGVYSPLLKSDLGYDQRAVATLAFFKDLGSNVGVPAGLLNEVAPPSAVLAVGAAMNLAGYLMVYLSLAGRVARRPPVWLMCAYVCAGANSQAFAGTGALVTCVRNFPERGRGAVLGLLKGYVGLSSAVLAQLYLALYGGGEGGDAKSLVLLIAWLPSAVSVAFLATVRVVPPDHRKSAANGGGGVFFCLLYISVALAAYILVMIIVQRQEYRIKKELEVEESLREAPPVVDKTAADAALLPAPATVTIVDKTTDKPPPPSSCLGSFLRHAFSPPAHGEDYTIPQALKPSTPSSPSSASGTTPAASPPGTPRRRSCCRGTGSRGRSRSRRCCSPPARATSSSRSARRGARSTWPPCSQASASARSGRCCTPSSPSCSGSGATPRSTTSSPSPAPSASTSSTCASWGGSTTPRRRGSTAGRSPAQTRRASASTASGGRSSSSPPPRWLARSCRWCSCGGRGSSTGATYTQGSGRRLMMSRPRVEAPRRWQNPQNNNSGQARRSRQSTEVNRMATCFFVSILPVQGRLEL >Et_3B_028799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19206409:19216322:-1 gene:Et_3B_028799 transcript:Et_3B_028799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KSYETKQYKKGLKAADSILKKFPEHGETLSMKGLTLNCMDRKSEAYELVRRGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLSGFVETRQQLLSLKPNHRMNWIGFAVAHHLNSNSSKAIEVLDAYEGTLEDDYPPDNERYEHSEMLLYKISLFEESGMLDRALEEMQKKESKIVSPILILLIFGRVDKLSFKEQMATILFKLGRFDESERIYRSLLFMNPDNYKYFIAVQKCLGLYSDNGQYSADDVERLSALYESLKKDYAWSSAVKRIPLDFLEGEKFQEAADNYVRPLLTKGVPSLFSDLSPLYEHAGKANILEKLFLKLEDSIRTSGCFPGSPQKEPPSTLLWTLFLISQGKILQHAGNFAAAAALADEARSMDLADRYLNSECVMQMLQADQVGLAEKTAVLFTKDGDQHNNLHDMQCMYELASGESYYRQGDLGRALKNFLAVEKHYADMTEDQFDFHSYCLRKMTLRAYVSMLKFQDRLHAHEYFHKAAAGAIRCYMKLHDSPPKSSTEENDEMSKLPAAQRKKLRQKQKKAEARAKREAEEKQEDEVAPSNSSKSGKKPARPVDLDPHGEKLVQIEDPLAEATRYLKLLQNNSSGSLETHLLSFELNMRKQKVLLAFQAVKQLIKLDENNPDSHRCLIKFFHKINSLPAPGTDSEKLIWNVLEAERPDIRQLHGKSLIEVNRSFLERHSGSLTHRAAAAEMMYLLESDKKTEAIKLIEDSTIGTALGNNVLGPVKEWNIQDCIDVHKLLEIVFGDKDAANRWKTRCAEYFPYSTYFEGIKSAIAAYSVDNSLENSSENGTGPNPQLKPDEGGILNGTVHIVDDMSSLSIR >Et_3B_029373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24413922:24417781:-1 gene:Et_3B_029373 transcript:Et_3B_029373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLAEGNFAALGPAGGMGRRRASFGLKRMSRVMTVPGTLSELDGEDESEPAATNSVASDVPSSLAGDRLIVVSNQLPVVARRRPDGRGWSFSWDDDSLLLQLRDGIPEEMEVLFVGSLRADVPVADQDEVSQALLDRFRCAPVFLPDHLNERFYHHFCKRHLWPLFHYMLPFSSSGPTETTSSSSTASSSGNGRFDRSAWEAYVLANKFFFEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLEYFGRTVGIKIMPVGVHMGQLQSVLRLPDREWRLSELQQQFEGKTVLLGVDDMDIFKGINLKLLAFENMLRTHPKWQGRAVLVQIANPARGRGKDLEAIQSEIRETCERINGEFGQSGYSPVVFIDRDVSSVEKIAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGAPGSESILEVSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNVEATAEAMNEAISMPEQEKRLRHEKHYRYVSSHDVAYWSKSFIQDLERACTDHFRRTCWGIGLGFGFRVVALDSHFTKLNLDSIVNAYEMSESRAIFLDYDGTLVPQTSINKTPSAEVLSIINTLCSDRRNFVFLVSGRDKDKLGEWFSSCPKLGIAAEHGYFLRWSRDEEWQTCTQALDFGWKQMAKPVMDLYTEATDGSYIETKESALVWHHQDADLGFGSSQAKEMLDHLESVLANEPVTVKSGQFIVEVKPQGVSKGLVAERILATMKERGKPADFILCIGDDRSDEDMFENIADIMKRSMVAPRTSFFACTVGQKPSKAKFYLDDTFEVVTMLRSLADATGPELETDSADELADSISSLDIGDERTESSDRAIGGS >Et_10B_002810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11806360:11809832:1 gene:Et_10B_002810 transcript:Et_10B_002810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNSGGGPLSAEAAAALREGIGLVFARWTALQMAVENQWGGRDSRAKADQLGASILSWFCHSKGPHYFEDLVDMMYDTISESLNSDFEDGSVEEVAEQLLIMHEECQQRNYSSIEKLRNSHVQGNAVSQSRQIS >Et_1B_011576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23866331:23868621:1 gene:Et_1B_011576 transcript:Et_1B_011576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGATWPKEKPAYSSGDLGATMRKASPDSSRVFALSPIGNNMNAMTRKDKSLNHFRARLGDHGLIMILFETPSGFAIFYGDGIILYEPGAMESLDIKNCKRGCKLCYMFTIDINSQLTHGTLMVWRYFAIRMLFDSLSVSPVTLMVWRYFLHQVVWLKDFQIFRDKSGAINLDTGLNDQLSKMLLKWRRPGQKLAVGKPEYRMIIEASLGIPCLCDEPVMEVMWGIRNLKHILVPEERSELANEHSLQMSQGLKMLLNRYGFNVNPEIVSSTFIDADQFCNYHYTIGDVATPFNPENSLTLRWASEHFEEVSSIKSHDWDLLKIATALKMVCHPEEEIVLGNSQEVNQFFSENVSMRLDGKLSLRQKPDELSRLVTDAHKYDGRLMKGTCLTIYDEMVFAHETMSTTKKLLASLVNEAKKGNRHMKMNKQK >Et_9B_065442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5014226:5015423:-1 gene:Et_9B_065442 transcript:Et_9B_065442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IKGSSYLLSYILCTDHIMDLGEGDNTPRVKRAKATNWPRVMSKFLLDWYLEKKKGMPPKTKFKKEHHVRCTSAVNTKFKTNFSVDQVHRHFRRFKEIWVVVTRYVNESGSRFDKKHKMLVLPPSTMARLPIAERAIIAKPIPFFDHLLALFNDGQLDAVCMRNPVLLYDSDEEEMEVQDALNGEDNHHEVAASSGDVPCEVMSGTSPPSAQPSGSAESTLAALKPSVTKMKVVRKAKPNPKPQAPVLREGRNIGMLNSNLLGIRDSVTKPVRPSTSDPNAPLWNMLKEIPLTPADRLSVGICLCKPEFEVHRSFFMNMGKGYLEAWARKFLSGEEPGT >Et_2B_020581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21570038:21571392:-1 gene:Et_2B_020581 transcript:Et_2B_020581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIDSNGGCRLVVTELSHIKELVLQLEVHLDGSPDLCRHLTAKISTLTERSIGIITSSDFESGRKGCAADAGLSSATPRTPGTKKRKMTEKRTNQVRVSSAGGGQTPVDDGHSWRKYGQKEILGAKYPRGYYRCTHKHSQGCTATKQLQRTDEDPTLFDVFYVGTHTCVRTTATATHEPERKPESHNLVENLSASLTVKTDGLAAAAEPQSCSATMHFSFPSTPASVGGLAPEGSPISAASTPGSWAVTPATSDSNDVVACLSPFEWRAQSELHDVVSALVAASTPSEPAADIADDEFLDIDALLRVNGWQDSYELMNQSS >Et_5B_043988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18653303:18654525:1 gene:Et_5B_043988 transcript:Et_5B_043988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPNFIASYATTFLTDGVGIFSELAQLLEWLFVDFDAVSNKLIQLKGCSSGVGARQNFKLGNEDDGRAS >Et_2A_017121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31050000:31053244:-1 gene:Et_2A_017121 transcript:Et_2A_017121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAPPHLMGVRYPHMFLIVLLLHEVNAASTGPVKKWLTLNGAPPLVIAQGGFSGLPELVLFCDLQLSRDNFGFCKTGFPLDNSTLIAEVFPKNDSTYKLNGEDINGWFSVDFTSTQLIENVTLIQNVLSRPHIFDGTIGLSTLDDIAGLQPRQIWVNVQYGQFFHDHKLSSEEYVSSKAKEIGVNYVSSPDIGFLRSLGGKLRKSNMKLIFGFLDEQLIEPYTKQTYGTILKDLKYIKAFAAGILVPKACIWPLNKNQYLQSATSLVKDAHALGLEVYASGFANDFLSSYNYSYDPNAEYLQFIDNTYFSVDGVLTDFSSTASAAVDDSRPLIITHNGASGTFPGSTDLSYQEAVENGADVIDCSVQLKKDAVSFCLDSPDLSKGTTAAAVFMTKLTTVNEIQNGSDIFSFDLSWSEIQTLKPDLVGPYSQEGLKRNPAVKNNGKLLTLADFLAFSKSRNVSGILIDIRNAPYLASRGINIIDAVSSALVNASYDKETRQQVLIQSDDSAVLRAFKMKFLVFKRVLHIGNAISDLSTSSVEEMTKFVDAVSVSRGSVIQAQGSFLLRFTDVVAKLHAANMLVFVGTLKNEFMNLGFDFLADPMVEIATYSSLMADGIVTEFPATATAYFKSPCSDFSRNLTYTILPAKPGSLLHLADPGALPPAQGPAPVLEPADVVDPPIPPVAIRGAAAPSSNDSNSTSDAAAGGASTGFGLLVAGLAALASLSSQ >Et_1B_010232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31230515:31231852:-1 gene:Et_1B_010232 transcript:Et_1B_010232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDKKKKRKRGASRARDRTQQAPGLPDEVVIEILVRLPVRSLLRFKSVCKAWRATISDQFFVRAQLDRSSARQARHPSFLITPHTLDRDLAGEFTRVCYFAHCDGLVLAPTDTKLFLFNPATRDAVALPNDNRDVMQQQGGYYHSAAGLGLDPRTGKYKVVRSFYRTLDVCSNIYHMGMEVFTVGESGGGGAWREMERDPPYPVARWQTAGLLRLSLADEAFGVVDLPDSLDPAFDDSFMLDVLNGELWLTSRTRWQRRYTIYVSDVCHPMGLLPGDGGLLLWKGYALYRCDLESSEVTTECEMNGGVRYQGRRARKWKNLFRFNVRPYTESLVRVTGSPALGKFFVLSLLGEIKEASSSHIKHSCFV >Et_9A_061012.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:7885228:7885542:1 gene:Et_9A_061012 transcript:Et_9A_061012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEGRVAALHRRLLAGWAAAAASEEEEERNLAEARRGEAERRAEEAEAELRAAAEGTTRGWRRSGARWTRRRTATRASASSRTGYRRSTTPPASGASSSYLVE >Et_7A_050491.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:19224510:19225052:1 gene:Et_7A_050491 transcript:Et_7A_050491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPTAPFPRLLPAAPSHPSARPPFSSTLRPASVSLSVSLPGRRYRRIAAASKDTASSKRQEQEQDPAPATAADGGAESTEGASAAEGEKSPEAVAAELREVLRARKEAGAGEGGWWGGVVQEMSEIEWPAPGKVVGTTGVVLGVIAGSTAALLSVNALLAELSDRVFAGRGLQDFFSG >Et_9A_061560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13746674:13747705:1 gene:Et_9A_061560 transcript:Et_9A_061560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLEKDSLMKTFQEVIAQLVHALSNFSYRKLDISDGVREEVELMNAQLKKKQKKGLLRYGRIELDITNAPARIDKCLASGHQIHQSTQQRTAITSSSPNYASSYSLTERVNIDALLSKLRSPDPEEKKSAAAELRLLGKQNASNRICIAEAGAIPLLSDLLLSSDLQTQELAVTALLNLSIHVDNKAIITSSGAVPHIVHVLTNGNM >Et_1A_008003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39991813:39995685:1 gene:Et_1A_008003 transcript:Et_1A_008003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKLAKLSEAVAGLTEMSENEKSGFLSLVSRYLSGDEEHIEWAKIHTPTDEVVVPYDTLEAPPEDIEETKKLLDKLAVLKLNGGLGTTMGCTGPKSVIEVRNGYTFLDLIVLQIESLNKKYGSNVPLLLMNSFNTHEDTLKSKYPRVVADEFLPWPSKGKTCKDGWYPPGHGDIFPSLMNSGKLDLLLSQGKEYVFIANSDNLGAIVDMKILNHLIHKQNEYCMEVTPKTLADVKGGTLISYEERVQLLEIAQVPDAHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLQLVQSDLYTLVDGFVTRNAARTNPSNPAIELGPEFKKVGNFLGRFKSIPSIVELDSLKVSGDVWFGSGVVLKGKVTITAKSGVKLEIPDGAVIENKDINGPEDL >Et_1B_013988.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26522134:26523054:1 gene:Et_1B_013988 transcript:Et_1B_013988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFANSEEIWLDALKQSEDNEPEEARKLLAMARASGGTERVWMKSAMVERELGNIDNDRRLLEEGLKLFPSFHKLWLMLGQMEDRLGHGGRAREVYDNGLKHCPDCVPLWLSMASLEERINGLSRSRAVLASARKRNPGTPEIWLAAVRAELRHGNKKEADALLAKGLQECPASGILWAQAIEMVSRPQRKAKSHDALKRSGDDPHVLAAVANIFWQDGKVDKARSWFNKAVAGAPDVGDFWASCYGFELQHGDADKRKDVVRRCVAAEPKHGERWQGITKAVENSHLPIEALLNKAVIALAEEEN >Et_10B_003926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7685573:7686273:1 gene:Et_10B_003926 transcript:Et_10B_003926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELTALPEDNLANVLRRLPPRHLAVARSVCKPWCAIVDGRELMPPPVHGIFINYVDHDRPHLFSRPATTVVDGLLDFLPDDFTGYWDLCVCNPVTRRWTLLPGNPTEGGGPLHAGAYLTFDPAVSPHYEVVLVPVVPEKPPKTEEEKERRWRVARRQREKPTSFLLGEQHDYPQDDGDDPSNATACGLME >Et_1B_011186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18542964:18558748:1 gene:Et_1B_011186 transcript:Et_1B_011186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAVGQAPDGWGEEEMSVLPRHTKVVVTGNNRTKSVLVGLHGVVKKAVGLGGWHWLVLTNGIEVKLQRNALCVIEPPTGNEDDDKFDCENMQWNSSASDEAHSPKPHRSRNRPHKGFYSKSLSRSTSCDSHCKASLLSSSRALTKVDLSKLELTALWRYWRHFNLDASPNPSREQLVDAVQRHFMSQQLDESQVIVGFVHAAKRLKTTVGRAPEGWGEEEMSVLPRHTKVVVTGNNRTKSVLVGLHGVVKKAVGLGGWHWLVLTNGIEVKLQRNALCVIEPPTGNEDDDKFDCENMQWNSSASDEAQSPKPHRSRNKQQKGFHSKSLSRSTSCDSHSKTSVSSSSRALMKVDLSKLELTALWRYWRHFNLDASPNPSREQLVDAVQRHFMSQQLDELQVIVGFVHAAKRLKTIINITKALAATDTKVTAPQRHSVEHFTCVGEMLEEHRVRLAGRAQPLRHHLLEHRPRLRCPAGVEQDLHARRVADDGGAAARLHLVPHPHRAVHVSGPREPVHDGGEGGGVGRHPGAEHLGEEAEHGGHAAGLAEEVEHGGVGEAVVAEGRGRGGGKAEEEERLLERRVGLEHARHGVGVPGQPRQGEEERARRRPGVVAEDGGCAADDVAGVGRGRRGSRLRGRFGPAAARWPGREEPAARAEHGGDELLREAESVHGVRRTRSCDPQAGSRATSDDGCKVFELRRPRCHGWRNEAGPHRTLGPGSSDELVNLFF >Et_9A_061587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14093090:14096934:1 gene:Et_9A_061587 transcript:Et_9A_061587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRNSCTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKVPPRPPGYAFVEFEDPRDAEDAIYGRDGYNFDGHRLRVELAHGGRGPPSFDRSSNSSAGRRGGASRRTDYRVMVTGLPSSASWQDLKDHMRRGGDVCFADVYREAGATIGIVDYTNYDDMKYAIKKLDDTEFRNAFAKAYVRVREYNARRSRSISKDRDRSRSNSRGRSRSRSYSRSRSRSYSRSRSRSKSKSKSRSPRSRSASRSPSPVKARKVKVIIKALYSRLVTNLQAKALPGA >Et_10B_002438.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:309207:309848:-1 gene:Et_10B_002438 transcript:Et_10B_002438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSILQFLALALVFNMLTTHRAWAERDCYDDKELIKVKCKETLAVLGDYVLPNPECVRTVQQSDMECICGILKPKEQLQVSIAKFLRLARDYNRPLPPPGKKCGCKYMYLFSSYIYFRKFLYMQMSPIIYSNMN >Et_1B_010965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1618371:1620628:1 gene:Et_1B_010965 transcript:Et_1B_010965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAASCLASCCAACACEACRTAVGSIGRRSARIAYCGLFALSLFASWALREVAAPLLQSIPWINHFHKTPDREWFETDAVLRVSLGNFLFFTILAVIMAGIKDQKDPRDKIHHGGWMAKIFCWVVIVFLMFFVPNGVVNFYESLSKFGSGLFLLVQVILLLDFVHGWNENWVAKDEQFWYMALLVVSVVCYIATFSFSGLLFHWFAPSGHDCGLNLFFIVFTLILVFVFAIVALHPKINGSLLPASVIALYCTYLCYSGLSSEPRDYECNGLHNHSKAVSTGSLTLGLLTTVLSVVYSAVRAGSSATVLSPPDSPRAGADKPLLPFSKADEQEDKKDVPKPVTYSYSFFHLIFSLASMYSAMLLTGWSTSVGESGKLIDVGWPSVWVRIATQWATAGLFIWSLVAPVLFPDREF >Et_5B_043993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18679382:18687267:-1 gene:Et_5B_043993 transcript:Et_5B_043993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHPLVQNAKSNVTQRLRLNVRRKMNPENTHFPLLIFLAVAALAAGEAAAATILTASPAKLTSSYSQITIRWSGLPDPDGLDYVAIYSPPSSRDFDFLGYLFLNGSATWRTGAGELTLPRLPNLRAPYQFRLFRWPAKEYSYHHIDHDHNPLPHGKHRVAVSGEVAVGDPALPEQLHLAFADEVDEMRVMFVCGDGNKRVVRYGLEKENEGAWKEVSTEVRTYEQKHMCDTPANSSVGWRDPGFVFDGLMKELEPGKRYFYKVGSASRGWSETYTFISRDSEANETNAFLFGDMGTYEITSMIGHLNHGSHGGVLVSMEKTVGANVEYHTVSSSECLAILFYLLVMEVQTPGIFITPSIRVLCISSTCQLKPILFREYPGAPVHLVIGMGGKDSQPLWQPRHDHPDVPIFPQPMRSMYRGGEFGYTRLVATREKLTLMYVGNHDGQVHDTVEIFSGQASGISYVSEVANGLNLGTGASTKRKFSSLYLEIGVKKTHLSFLLFLAVAALAAVEAAAATTLTATPAKLSSSDQQITIRWYGLTDPDGLDYVAIYSPPSSRDFDFLGYLFLNGSASWREGSGELTLPRLPNLRAPYQFRLFRWPAKEYSYHHIDHDRNPLPHGKHRVAVSGEVSVGDPARPEQVHLAFSDRDDEMRVMFVCGDGGKRVVRYGLGEEEGNWKEVATEVRTYEQKHMCDTPANSSVGWRDPGFVFDGLMKGLEPGRRYFYKVGSDFGGWSETYSFVSRDSEANETIAFLFGDMGTYVPYITYFRTQAESLSTVKWILRDIQALGDKPTFISHIGDISYARGYSWVWDHFFSQIEPIAANTPYHVCIGNHEYDWPLQPWKPSWAYGIYGTDGGGECGIPYSVKFRMPGNSMLPTGNGGPDTRNLYYSFDSGVVHFIYMSTETNFVQGSEQYNFLKADLEKVNRTRTPFVVFQGHRPMYTSSKESRDAALKQQMLQHLEPLLVTYNVTVALWGHVHRYERFCPIKNFQCLNTSSSFQYPGAPIHVVIGMGGQDWQSIWQPRPDHPDDPIFPQPDSSMYRGGEFGYTRLVATREKLTLTYVGNHDGQVHDVVEIFSGQTSSDSNASDVEGGTKLSSRVGTERKISPLYLEIGVSVMFALLLGFSFGFLVRRKNEATQWTPVKSEES >Et_1A_008645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9444973:9447129:1 gene:Et_1A_008645 transcript:Et_1A_008645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFQATTCKPHNALIVNRPIAGLGSTSQYPLRSNALGFHRLQQKVYPRLVLIAASHKNLTPARALSGKGNPGTADDPLMESLKKAISDAKKPRPIQDLLKEQITKLREQGSGGGGGNRNGRGGSGGSGGQEDESFKETLDEIVQVILATVAFILLYIHIIRGEELYRLARDYTRYLVTGKRTARLKRAMQQWRNFSDSFMQKESSEEDRYERPAASKPTWWQQPQKFVHLMGELCRGNWRPHPQES >Et_7A_053128.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:7429458:7429676:-1 gene:Et_7A_053128 transcript:Et_7A_053128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTAVKTKRKVEMNSARYDRRDGAASCGRGCCWTPWPSELHFFSSLFSRECGVRYCAHYSCTVWARERGP >Et_5A_040960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15708550:15711521:-1 gene:Et_5A_040960 transcript:Et_5A_040960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGRRATLSIGNVLPLRGIPEGAVVCNVEHHVGDRGVFARCSGDYAIVISHNPDNGTSRIKLPSGAKKIVPSSCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKAT >Et_9B_065616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8038978:8048806:1 gene:Et_9B_065616 transcript:Et_9B_065616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRFLVAPVLIALFLALPCRLRPTAAAASTAGQGGYAADRIAQLPGQPAAVDFAMYSGYVRVDERAGRALFYWLQEAPAKWRIYCSWTRRPASGTRTPTPPPTSTPPATPGLVGNAVIDDYNDYMGTFESWWNHGLISDATYRRLKASCIHDSLEHLSKACLDAFDTAYREQGNIDMYSIYTAPCNQTSSSDKNRMRKGRYPWMTGSYDPCIVRYSTVYYNRPEVQRSLHANAAAINYTWARCSDTINMNWGDEPKSMLPIYRELIAARLRIWVFSGDTDAIIPLAATRYSINALGLPTTTNWYPWYDNRQMPSRFTVTSVLLAVFVALACLRPTVATAADAGYTADRIVRLPGQPAVDFAMYSGYVTVDELAGRSLFFWLQEAPAKVQPAPLVLWLNGGPGCSSVAYGASEELGAFRIGPDGATLFLNEYRWNKAANILFLDSPAGVGFSYTNTTSDLYTSGDNRTAHDSYAFLLKWFERYPHYKYRDFYITGESYAGHYVPELSQLVYQNNKGLEKPFINFKGFMVGNAVTDDYLDQVGTFESWWNHGLISDDTYRLLEATCVHDSLVHPSPPCDAAYDKATAEQGDIDLYSLYTPTCNKTLPAKKNRSLKRHYMRGSCDPCTERHSTVYYNRPGVQRALHANVTGINYTWTTCSDTINDNWGDAPSMLPIYKELIAAGLRIWVFSGDTDAVVPLTSTRYCIDALGLPTTARWYPWYDNKQVGGWSQVYKGLTLVTDTRPPFIAPGRL >Et_8A_056795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1716013:1720106:1 gene:Et_8A_056795 transcript:Et_8A_056795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKMQGKAQFSDSNRRIMEADVPPRRVYQAWKGSNIFFLGGRLIFGPDVRSLVITVGLIVIPVVFFAAAVSPQLGHEYHSQIGGWVASVAVIFTAYILVILLLTSGRDPGIVPRNAHPPEPEDIGESNLSVWPGGQHGSTGLPLTKDVLVNGVLVKVKYCHTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTILCIYVFAFCWVNIRKIMEMHECKFGRALLKSPISGLLILYTFVAVWFVGGLTSFHLYLISTNQTTYENFRYRHDRKTNPHNRGLGQNFVEILFSKVPGTKHNFRAKVKEDSATFTSSLNMGRVLSPPKMSMDLEMGMKRQAVAADELEDLHSQIGSAMGLERCGTEPPHFVGRKGCSEIASDIEAFAGEFGMENGFTKRKKIERHTNDGQLYDSNQPEALAESPKAVTGYWKEMAKHSTWKF >Et_10A_001410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2801563:2802240:-1 gene:Et_10A_001410 transcript:Et_10A_001410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPLVAAAPKVTHVTVSTVVSWKRFGRSWHVHVEDDDVTDVGRTSLEENVKVVEVDYGGEAHHAPRLLDAAEPRSDEGGALQEGVADGVGADGETDTNPRTLSGRFDDVSLALPPAQGVAHRPRASVVPEAARRGIRVPGFAGGSGGLALAVVRRAAAACWGVSGARCGASLDLLGACAAAAVHWSSAAVRGHARTRRSPAWRN >Et_10B_003090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16454578:16455300:1 gene:Et_10B_003090 transcript:Et_10B_003090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETCFRAWALHAPAGSKDRLLVGSSGSSFTPAKRTAAVVAPLSTNRVAAPRPRLVCQANNAVDEVLVANEGNWDGMVIGCETPVLVEFWAPWCGPCRMIAPVIDELAKDYAGKIKCCKVNTDESPAVSSKYGIRSIPTVLIFKGGEKKESVIGAVPKSTLTTLIDKYIGSS >Et_4B_036655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10127529:10129052:1 gene:Et_4B_036655 transcript:Et_4B_036655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEFMVRALEQAKFALDKLEVPVGCVIVEDGKVISSGSNRTNATRNATRHAEMEAIDILLREWQGIGLDQPQVAEKFSRCDLYVTCEPCIMCATALSILGIREVYFGCANDKFGGCGSIMSLHEGSSSSLDDLTGSQVPKPKGFKCTGGIMAEEAVALFKFFYEQGNPNAPKPHRPVRIAQQ >Et_1A_006734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26941173:26942112:-1 gene:Et_1A_006734 transcript:Et_1A_006734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTTVVLVWEVLDAFPIARKAYERVLTQTSNLAQEEVARNVICLLLWLETIMGVKVLQDVSAMERDSTTLSQVIHEADAVHSYVVHGHDGQLPNPKHLLEDGIPAITALCGGGRLVDHRFFAFHRDLVARGVGVIRDNVAPLLFNDRLHVMLLRYKDEVATLSLSSANPRPAPALLKPHVVRTRTPPEDSRVAFVSFPDSPPISPQCILGHFERILDFRHHLERVEMEQPREGQVPKHGIIVFRSAELRDEAMLNETALFFRINGHDMWVQLYQPLC >Et_2A_017683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5034076:5037118:1 gene:Et_2A_017683 transcript:Et_2A_017683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSERSRESLLPSFLYSAAGPRSFAGAASRLPAPKEKIEMYSPAFYAACSVGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGILAKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVKSEGAMGLYRGLVPLWGRQIPYTMMKFASFETIVELIYKNVVPVPKSECSKPYQLGVSFAGGYIAGVFCAVVSHPADNLVSFLNNAKGSTVGDAVKKFGLWGLFTRGLPIRIVMIGTLTGAQWGIYDAFKVMVGLPTTGSVAPAPVAVVAAEEALKASPPATFAADAIYGCIGESWLYCGFGTHWCALTGYGL >Et_8A_057486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3580373:3585419:1 gene:Et_8A_057486 transcript:Et_8A_057486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGEKRAALLRQITEEGGFAFVASAEKAAAGDLRAAEAAREMAWEQLHSAPWSEVDPAWRDAYALSCLHVAGLRAADDRRAALRALDMGLIMGGALLRAELEAAIAQVVAERGSGGEGEAGGDVERWKEGLAGNGDLADVLKLLPVKSLSCKQIERRSCISLEAFIRDYFLCEAPVILNGCIDHWPARTKWKDLKYLETIAGDRTVPVEVGKNYVCAEWKQELITFSQFLERMWLNDSANMTYLAQHPLFDQVLGRKYFRLYPASITGDLYPHTETMLSNTSQVDLDNIDEKEFPRTQNLEFLDGILEEGDLLYIPPKWWHYFRTFHLC >Et_9A_062290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21510130:21523854:-1 gene:Et_9A_062290 transcript:Et_9A_062290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGDEEAAATPAAGAPPAAGRLKGSPELSVDADMREMAKTAAWSVSSCKAGNGVAALRDDNLDTYWQSDGAQPHLVNIQFQKKVQLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKIVDLAKPVGWVHISLSGADPRNPVPRQPFHFTSREFITYSTVRYNMDVPLYYLRTVREFELLCLS >Et_1A_009311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38175461:38181950:-1 gene:Et_1A_009311 transcript:Et_1A_009311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATGAMNTLLPKLVELAVGEYKLQKGVKREIKELEEELTSMSAALRKVSMVPVDQLDEQVKIWARDVRELSYDIEDVVDTFMLCLCVIDDVWDESAWDTIKLALQDANHGSKIIITTRKKAIADYAGGHIYEMRPLSDDDSRKLLNRRIFESEDGCPPCLREVSGKILKKCGGVPLAIITTASLLANKPVNSVEWEKVNSSIGSGLQRIRDVDKMKKILMLSYDDLPFHLKTCLLYLGLYPEDTVIRKGSLVSSWIAEGFIGHETAETTLREIGESYFSELINRSLIQPVVYFTGNIDGSVDECQVHDIVLELINQLSAEEGFATPLLSDGQHADTSASAAQRKQTRRLYVHKFNKTHASREAREQWSKLRSLTVFGEVSSLPSLSSFQVLRVLQLEDCTDLHDNCFNDLGKLCHLRFLRLGCCRQVPESIGKLESLEILEITDNGGTLMLPMSFAKLQKLVQLSVHDAVSFPVGLPLGGMKSLQEVGIIRISSLEEIKEIGNLKELRVLRIEMSGDMAVESIFMCLLKCSNVKVLDIATKDLVRLLCSLDSMPLVPSGLQSLTLSGFGSWMTSLPRWINSSTLSCLTTLDINLSEVPQPEHLENLAELPSLRFLCLWLSGRQNLIITSRGFRCLKNLEIRLSLVDCVLQPGAMPELRRLEFWLSDYPESIIPSGLENLRSLRHVILSGENAYAAAVREALMDHPNRPSFERL >Et_3A_023401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25837530:25837817:-1 gene:Et_3A_023401 transcript:Et_3A_023401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVDLSSKLAKEVWGPGGCCSDVLVQSRLKRWHLLKGCGWQQSGRRVALSLRLIVLEKVWS >Et_10A_000968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19690733:19691804:-1 gene:Et_10A_000968 transcript:Et_10A_000968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLVAYIKAHGEGCWRSLPKAAGLQRCGKSCRLRWINYLRPDLKRGNFTSEEDDAIIKLHQVLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRRLLGRGIDPKTHRPLNETAAAAAAPSRQIQDDHLARSSCSPEASGAGHSSDEDSAATGGSLPETSSQSQQQQQLACIDLNLSISPPSPRQEEEAGSSTKGTAGASTSKSERICLCLNRLGLQCDEHCTCSDG >Et_6B_049912.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:17563520:17564020:1 gene:Et_6B_049912 transcript:Et_6B_049912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKLYSALVAVAFVLAAGPRPASTASAHLHFYMHDVLTGPSPTAVQVVNGPRGHFGDTIVIDDVLTSTAARSSAAVGRAQGHYMWASTGNPELLVTMNVVLTSGPYAGSSVTVVGRDDIGAPVRELSVVGGMGQFRMARGYVLWKTVSLDHPNAVLELDVFVNP >Et_4B_037932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24924742:24931032:-1 gene:Et_4B_037932 transcript:Et_4B_037932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGKPGHAPAREAVFWSDKMNDYLLDSLLHQQAIGNRGEKFSSVAYDSIIKGVGQRFGVAIDRGNIKNRLKYIKETFNECKNILGEDSRITWSAASKRFNADPNVWRELIEKKPEAKKWMTKTIDHYDRLMELFGKDREKRPPVEILKSTPKKKVRKEPPKDHQHTSSTGLDFTNSSNTTAPDKAITKQDISTELDLSELCRTETGIVTIPVCANAYGKGLPYAPENWPCPGDQWYWRVGSRTNAGGHWADRYLTPPPRFRDATGKKTAFASRLQVEEFIKREFPELDLSTFFSMFIWKIPAKGYRIQKGTQQSRVPEPDPEPVVADPVGPCKARNNLCNLEREGFIESSPAQACDICCTEPGFCRECCCILCSRTVEYSFGSYIKCEAVVEENYICGHVAHLNCALRCYMAGTVGGTIGLDVQYCCRRCDNITNLMMHVEKLMETCRPLESRDEIEPMLNIGLCILRGSRQPRAKSLENYMASAMAKLTSGVDIVDIWKMEDDEGRATLNAGDNCSPTSGVTVLGVQEQVPEEAIFLGHPDLIDPLVDNKLETSVENLPVYITGNQKTMSAKFEDDIDLALEELKKSQEAEYRVAEEKLYSQKDYILSAYRQLESARAELTHPGPITPTTNYGSMLSNVLNCVEQVKREEEKFKNMLKVAGGFGKTPKSVTQEHFGLSADK >Et_6B_050083.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:5733051:5733425:1 gene:Et_6B_050083 transcript:Et_6B_050083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLPKLHSLSCSAPPIPRAATGDGSGTGSRGNDYGGNGGKDGGGGGSGNGDDDYGEAEFGRLLGLDDVLRLTAAHNVSLSGDMMEAAKDVGIREVLLLRYFDLQVLGAAVATVLLHPPHTDVL >Et_4A_035821.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:6773837:6776215:-1 gene:Et_4A_035821 transcript:Et_4A_035821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRCTLLVLLLALSARGLSRAADDGGGGGGKEEPRKTYIFRVDHLAKPSAFATQAHWYASAAFASASGSGAPLQPLHVYGTVFHGFSASLPASRAAELRRHPAVLAVFEDRVRPLHTTRSPQFMGLRARLGLWSLADYGSDVIVGVLDTGVWPERRSLSDRNLPPVPARWRGGCDAGQGFPASSCNRKLVGARFFSQGHDAHYGANAVSSNGSVEFSSPRDADGHGTHTATTAAGSVAYAASMDGYASGVAKGVAPKARVAAYKVCWKGAGCLDSDILAGFDRAVADGVDVVSVSIGGGSGVTAPFYLDPIAIGAYGAVARGVFVAVSAGNEGPTAMSVTNLAPWLATVGAGTIDRNFPAEIVLGDGTRLSGVSLYSGKPLAANSSLPLYYPGRTGGLSASLCMENSIDPSLVKGKIVICDRGSSPRVAKGMVVKEAGGAAMVLTNGEANGEGLVGDAHVLPALAVGEKEGDALKAYAGNASNPTATISFGGTVVGVKPAPVVASFSARGPNGLVPEILKPDFIAPGVNILAAWTGATGPTGLDGDARRTEFNILSGTSMACPHASGAAALLRSAHPGWSPAAIRSALMTTAVVVDNRGGPVGDESEPGRAATPFDYGAGHISLGRAMDPGLVYDAGEEDYVAFMCSIGYEANAIQVVTHKPVSCPAATSSSSNNKPSGSDLNYPSVSVVLYGGNQSRTVLRTATNVGADASATYRARVQMAASAGVAVAVKPEKLVFSPAAKKQSFAVTVTAAAPPSPSLAPVYGYLVWSDGAGHDVRSPIVVTWLQPM >Et_6A_046512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16301155:16304553:-1 gene:Et_6A_046512 transcript:Et_6A_046512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGTSRRPFLVVPLVGVVSLLLLHLAAAARREAPASGVTLRVENRQVVVDNGVVQVTLSTPEGNITGVSYNDEPNLLGYDPSEGNSGGYWDAVWNYPGSDLREGLYNTLDSTEFKVVSSSDDQVELSFRGAYNPSLQNSIRLNIDKRLVMQRGSSGFYCYSIFEHGRNYPALNITEARLVFKLNSEMFNYMAITDDIQRYMPSAADRDAPRAVPLAYKEAVLLVAPMEPQFQGEVDDKYQYSLDNMDNLVHGWISGTYTNSIGFWVITPSNEFKSGGPIKRELTSHVGPTSLTMFLGTHYVGKYMGLKVDNGEYWKKVLGPVFIYLNSSPVRGDPRALWEDAKARVQTEASKWPYNFLDSLDFPKADERGSITGRLFVRDSFVSKKDMPAGMAYVGLASPGQPGSWATEGKGYQFWTRATSDGTFNIVNVREGVYNLFAWVPGFLGDYIYTSPLTIAAGHAISIGDLVFEPPRSGPTLWEIGVPDRTAAELYVPDPDPKYINKLFVNKDRYRQYGLWERYATLYPENDLVFTVGKSNPSKDWFFAQVTRNVGQDNLPTTWQIRFNLDRVVADDIYTLRIALAASETCRLQVQVNGGMSRDAVFTTSDMGDDNAIARHGIHGLQWNHDFAIRGYLLLQGDNTIHIKLTLAGLGGPSKIAGVIYDYIRLEGPSRDTTGSTASCGVPMLVPRDLICMGILLLLSSVFFLPVSP >Et_9B_064032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10227661:10231115:-1 gene:Et_9B_064032 transcript:Et_9B_064032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAISFPISLSPPLHPSRRRAPRPSCTHSERGVSFDPGSAFYRSDSAAGRDLAVLAATLNRRGRADPAAPFLCLDAMCGCGIRALRYLAQAGADFVWANDASEALRPVIVANLSRFDSGAAPLETGRRRWVVSHNVADRLLAERYLRREYFDVIDVDSFGGDSAYVRASLLALKIGGLLYLTSTDWRSARGYGSRSSLSSYGAYVRPTPYPNEVGLRMVIGGAAREAAMLGFHIRPMFSYFAYHGPIYRVMVQLCNGKEDGISNYGFICHCKSCGQSQTFGFDELGQIACGCTDRTDADSITVVGPLWIGPLHDVSFLTKMLTMASEWGWTSENDVTLGKLLKTMIEESDPRLPPGYIRLDEISHRAKVNSPPLGTLINSLRKEGFAACRSHIGANAIKTNSPISSCIDVAREIRNMPITPYVSFTK >Et_7B_055055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6928305:6931394:1 gene:Et_7B_055055 transcript:Et_7B_055055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRDVLLSIAQTPHRLRRRALVTWTPEQEMNEVRDRSGARMRRRLEWYDLVGLGVGGMLGAGVFVTTGRVARDTAGPAVFVSYVIAGVSALLSSFCYAEFAVRVPVAGGAFSYLRVTFGEFVGFFGGANILMEYVLSNAAVARSFTDYLASTFGVTEPNAWRIVVNGIAEGYNALDVPAVALIFLLTLCLCYSTKESSMLNMVMTVFHLLFFVFIIGAGLWNGSARNLVRPHGLAPYGVRGVLDGAAIVYFSYIGYDSASTMAEEIRDPARALPVGIAGSVLVVSALYCLMSIALCVMLPYTEISETAPFSSAFRTKVGWRWVSSVVGAGACLGIVASLLVAMLGQARYLCVIARARLVPSWLAKVHSSTGTPMNSTIFLGFCTASIALFTELQIVFEMISIGTLLVFYLVANALIYHRYAKLGTNRPLHVILFLFLLTLSSLGFSLSRKIDGWCRWGMPLFGAISVAITTVFHCTAQQDIAAPPSEWSVPVMPWPAAASVFLNVFLITTLKARSYQRFGIWSLVITVFYVCYGVHSTYTAEENEIVDAMIHHANMDIS >Et_7B_055587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1608216:1614925:1 gene:Et_7B_055587 transcript:Et_7B_055587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKKQKMLRTRSLEAAVAAAAAAASSSSRNIFCKSLTRIRTTPPTITVLKSASNQHAAALVRTIRKAGKERGTELITCGGAQGPDPQDFEAGALLAPPGHHWEEQQHLSARRKRRGFQSGQPLVCSRTKECLIEIWFSLSPKSDVGYQIKHLDM >Et_6A_046914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22332915:22336166:1 gene:Et_6A_046914 transcript:Et_6A_046914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQLASSPLIPPPSEIDLEAGAGTGDQLQCRICLETDGRDFIAPCKCKGTSKYVHRDCLDHWRAVKEGFAFSHCTTCKAPYYLRVHVHTDRKWRTLKFRFFVTRDILFIFVLVQLVISALAYLVHFIDGYQQYWLRTAWGFDNEVSFYYICEYGMIWLSLVENCVYVAVSQDCHLPGTLCMWTDCTTCFEGCATTAGECGGCLGGAGEAGLPLLFIMGVIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGERTDWCPPPLPAEHINQLKSLGLL >Et_1B_014454.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9384291:9386273:-1 gene:Et_1B_014454 transcript:Et_1B_014454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPAGRRVGTFGVKRVVFSVGKMSGAARRLLRKRLGSELDAVRGVIRKAELFPGADPAGEAGAGGSGEVGRLSAAEPQPKAPTVEARCGSEAKRRKASSPLALAEQAEEASRTTPAEERERLARRLAALAAALPDRVVAFLQNRRAGDAAERHGNGGEAAGADMQSVNGGGLVQLKLLLDKFAPEITGRAALMEASGVSSMSQHQQLEDASRKIGGPVEKEGDAGICAAAGGCGVQLQDIVKEYSELADAIAGVILQSPLPQKHVDLAEGDDDVYVDICGDASPVVLPPPETVDIRRRSSPASSSSISGSSSSSDSDMPSPRDSSDSSSDSEDSNSSHPPGFPNKYKPSEQGEQSSLKPAPEQALQIAEPGEIQVDQCTITISQPAPSALPEENGGISAPPPVSAPQEVQIAKPEEVQNQCATPTAHPIVIDTPSPLPKESGTSAQPQESAPKAVQSAEPEEELQNQLAAVAAPTAHSTTSSPPAAALPNGNYTYAQPPAPAPSPEPAHILDPEEEEEPQDPDQEGAPPIADLIAKAQEAMERRQQEELNRERRQARQQARRELLAMERAALPDERIHPQDMERLGIAAFEHVVSTAEEDARRPREIGGRRVAPACPTVMQFLGLFLKPDDDDEVSEEPEQEQEQGVVDMEVEEGEIQ >Et_3A_027320.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7494206:7496086:-1 gene:Et_3A_027320 transcript:Et_3A_027320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAAPTTRVALYLRRARLIDSLRLRLRLRSPSSPPAPDDPVVALHAIRAAPTPSSALSLFRALPAPPPLPLYHALASRLASLAAAPDLRALLASFPLPAPPLARLRLLAAAGDRDSALDAFASLPPAPPRRPAEAHNILIGLHARDGDHAAAVEALRRMVREGALPNARTYTVVVEHLASAGFVDQALEVFRLLPSLRVRRTTRQYNVLAQALAPAGRFDQLRWLVREMATVDGVMPGPQMRAAIAAMREAGHVDGTEDFAEELSPNARIGYAVDDVEGEGDSEEEEDDDREANEVDKDRGNREKQALKPWLDPRELARALDGWDAREVAELEAAGIVWTRRLVCKLLRAFKKPETAWEFFCWVACRPGGFAHDRHTVARMVAILARAGHVELVERLLSKVRADGILLPLATVRLLIDFYGLSKKADAATRVFRDAESICGPVSRPNLALLCSSLLRTMAKCRRGVDAMELLEEMMARDVLPDLQTFSGLMEHLAGAGDLKGVHRLLGLVRQCELQPDGYMYSVLVRAYCKRERAALALRVFDEMRAAGIAPDAPTKALLVKSLWREGKLREAAMVEERCEEVAGGLPEASPGHVWTASAADLKKVLDIYSGCFVQPAAQEGTG >Et_3A_026539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9463425:9463712:1 gene:Et_3A_026539 transcript:Et_3A_026539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGTANCVDILIAIILPPLGVFLKFGCKVEFWLCLLLTLLAYLPGIIYAIYAITKTPE >Et_9A_063480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3970694:3972012:1 gene:Et_9A_063480 transcript:Et_9A_063480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITDTRTTADVLWKDGTRWRGVPSASLVPFEILNYYEFFPGERVISKASINVSGGEKDLTADTAAAAARTTACYGVVRNVDVGDQMVRVSWFEGTEHGGKAESNEIVSIYDLLLEPYYDKAFYGNLVVRLQPLETAIAANDLSWVGHVIDLCVDGRIEVKWGDGKTSKVFSHKISVVKEQSISEMQEDMGDWMANDAIKEA >Et_1B_013782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16132169:16134365:-1 gene:Et_1B_013782 transcript:Et_1B_013782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVGNKGGAITLMLVALLFGGTFGPLMLFLERRGRLPQHIYLDYSIANLLAAVLIALTIGQIGESKAGMPNFLTQLSQDNWPSVLFAMAGGLALNLGAAPRNSGDADHSSYQSKPGTAEFIEQVEKQRTIKILQASTSSVMQVIGSNKLLGVGLALFAGMCFSIFAPAFNLATNDQWHVLKKGVPHLVVYTSFFYFSVSGFVLGFCVNVWFLYRPLAGVPPSTFGAYLSDWNGRHWALLAGLLCGFANGFQFMGGQAAGFATADIVQAVPLVSTFWDVVLFGEYRKSSRRTYLLLASMLIMFVIALGVLVASAGHRRTV >Et_2A_015987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:193246:197702:-1 gene:Et_2A_015987 transcript:Et_2A_015987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLPRANPAAAATQLPFPAAPSASFSRLSSAAARCSVGSSSKLSAVQFQEPLRSDSDPWIAGVDGVGATDEDAEEQKDDSYEEMGPEPYRKGISGIHVPRQRYIAVPKAALLDAVLPLFSDEDAAAEFKRFARCLDAVLHAEHKQMLEEMRTYYMLSKHQQQEEETDSASDEDARQAMVNGKSSGFFGITQEDGTLFLTRSLGLRTLLGLSPDPDSQTRRGYATERQKGLLLVEKLDYLQSKLLQRIFFSLSRPLKKPGKWLNEALKRSTGNQGVQIWIDKLKLWLKEQTYADNSLLLIENSSWEKLQSDQLPDADVPIWIAAQKAVLRYEGILSPVGPRGRLLRRLLAWTGLIPSLPEATVKPDVETKHLEGYVRPNFLPRITLANIWEPASRESCDNNVWEIVKTSFRILFGRSTLQEPAFQELILLYTDEAAQSEVKDKDEMLPLQLKIYERIPIPDLPVVFPHKKLSFRILDTVRLDIATVVGLLAYVVNYKFESLASSPSAFLLDIVATTALLILVFRVALGYKQTRDRYQLLVNKTLYEKTLASGFGSVYFLLDASEQQQYKEALLAYAMLLCRMKYQMSSRASIRDICEKFMYEKFKAKIEMPIDKAMETLLRLGLVIEIPTDGGSSMIALPCSEAYEILKSRWDSLLEEKTEQGRTLIKNLVIGSESFH >Et_1A_007648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36914328:36919509:1 gene:Et_1A_007648 transcript:Et_1A_007648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAVAIPWYNNWPPWFLEDNYLQEMRREERVEQNPQRPRWRNLDHGVTLSANTTLSFLIIIIRGQHKLVTRTLEPLFHTCSMQASFMEIVANCTSLLEASPVTHQSVTVLVLLSLLSLFSAFLIYFYAPLWSVRRVPGPPTRFPLGHLHLLAKNGPDVFRAIAKEYGPIFRFHMGRQPLVIVANAELCKEVGIKKFRYIRNRSTPPPSVGSLHQDALFLTRDSTWSAMRNTVVPLYQPARLAGLIPTMRSYVDALVDNIAGWPDQDCIPFCQLSLRMAIDIIGKTAFGIEFGLSRNAAADGSSDGETDGGDGGGDDVREFLKEYKRSMEFMKMDLSSSLSTILGLFLPCIQTPCKRLLRRVPGTADHKMDGNERRLCRRIDAIIAGRRRDRAARRHDGAPLDFIAALLDAMESGGGKDQFALEDRHVRALAYEHLIAGTKTTAFTLSSVLYLVSCHPRVEERLLREVDAFGRAAPDADELQSRFPYLDQVIKEAMRFHLVSPLIARQTSERVEIGGYVLPKFPLLSLHHCINRPVRSSCNVMWAQGAYVWLAPGVLARDAAQFPDPEEFRPERFAPEAEEERARHPYAHIPFGVGPRACIGHKFALQQVKLAVVGLYRRYVFRHSPAMESPVQFDFDLVLAFRHGVKLRAIRREE >Et_1A_005047.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23420948:23421154:1 gene:Et_1A_005047 transcript:Et_1A_005047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPHIYQLLLRQRSLVGSSLLSWARGNCSFGNADLSVLAWWKRLRLLQQGSRRKGLSTLFMPVVWEL >Et_7A_051361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17406397:17409300:-1 gene:Et_7A_051361 transcript:Et_7A_051361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRIVQIEEKLLTRELMGSRINLIRSQEKIPDLRKEEDGGGISATGLEEQEFGREISATRLEEEEQGRGIWPPDLRKMKMEDVATRRREGRGVEVTAHRSRGCDVVVAARRRRDRNVMVARQRREIASG >Et_2B_019271.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20826900:20827205:-1 gene:Et_2B_019271 transcript:Et_2B_019271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEWEEVRAGVDADDDGEGAPPAHLRAFEAFLEEVVPVDMVLAFGREEGARARRGERRRSQEEDVKEKLKLWAKAVARKTRAEKEHTRRNNACVCIRCT >Et_6A_047116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25533842:25534411:-1 gene:Et_6A_047116 transcript:Et_6A_047116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAFEVLTLRFARQVLTGRRLTLFVCLLIDPVVVGRDASTPLCSSRRWATIDQRAVATHAGLLHGPGHAQQRGGVAVGRALAVRRRGHEPRGVPHIYVARSSVTCVRNFAALYGGGDAESLVLLTAWLDPPPYPSRSSPPSSAGGLMATACSSACSTSRKQPLAAASTHTPPPLSPSSSCLGA >Et_5A_040710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11435445:11436551:-1 gene:Et_5A_040710 transcript:Et_5A_040710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NWRKYTVDPNKEHPQDPRFQPKTWAVLKNYGYLNGNCVISMVNLRTCRVLCKKMTVLRKYYFINATDGGFLVLGQQSRPYYRAWVLNPFTGSMVSFTVPIFGESVKAVAVTTSPTMRLFVSDLWTFLGWADPVGQGVPYHSFFSSMVQAAGDVYVADQYGSIVSTADEEGSQQGRPSKEMIRMTPAIEAAPSWIENDPCHYLVESAGELFLVSRRSIGENHHFVEVHKADTTRKILEPVTNIGRCAIFISQVRSFFINAFATIEAGCIYFVDSTLDSFRRYGIIATSYRLTDQRQEDIVDWSSLGLRAGPPTLVEVLAYYCRFIPIFEHEFVKSSKEDELEGFRWDDGSTQSYVAPSPKRIRYD >Et_7B_053955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13737957:13740864:1 gene:Et_7B_053955 transcript:Et_7B_053955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFRSILPRIARSNGWRCLSTEVPPDTIAELNKEMESIFGESPSPSPLGSSPSQQPARPTYRAEDSQPALTHIDSGGLAKMVDVSHKQDSKRVAIASCKVLLGQKVFDLVASNQIAKGDVLTVAKIAGITGAKQTSNLIPMCHNINLSHVRVDLTLNEEDSSVVIEGEATTSGRTGVEMEAMTAVAIAGLTIYDMCKAASKDICITDVCLQHKSGGKMCHRISDLKPIPKKSVWHFNYLSSLTSCELS >Et_4B_039635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24578091:24580809:1 gene:Et_4B_039635 transcript:Et_4B_039635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLAYKFCGNVIVIHFKPERFEKGGVDFNGSSYEFLPFGSGHRMCPGIPCKVPGQKIFNTDNFDVNVPFEDIHHMYRLDRVDATMINALVHDTFKKGRSGFSDAELYGFTSRILRFIMHHLIHPEGAYFDPQEKLAKFPELCCWTGKVVVSHQQSLIEKNWKNDIENHRNKVADVCDIIPSATFDGLEVTDGMMSPARHPCWDRGDGRVTSSRHPSVTFVGFEVADDLNATADTG >Et_3B_029162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22629081:22630145:1 gene:Et_3B_029162 transcript:Et_3B_029162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPDSLVWELVRKNNSFLIKQFGNGNAKVQFSKEPNNLYNVHSYKYSGIANKKTVTLQPASGKEMAVVLATTKTKKQNRPASLYHKSVMRKEFRKMAKAVKNQVSHNYYRPDLTKPALARLSAVYRSLRVAKSGVKKNRQA >Et_7B_053488.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:22672222:22672572:1 gene:Et_7B_053488 transcript:Et_7B_053488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFEDGMVRRADSDPPSNNGGRGGGTRKVLVHVPSGEVVSSYEVLERRLRELGWEPYLYDPCLLQFHQRSTVHLISVPRDFARLKLVHMYDVVVKTRNVFEVRDAAPAPASS >Et_6B_048879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14898803:14903041:-1 gene:Et_6B_048879 transcript:Et_6B_048879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPPMTRGALRRLTRRAKFPNSISVGLPRVSTPPSPASMEAAAVHRDWSGLPEDLMEMVMRVLSIADLLRAAAICTSWRAAFSAVRRVRIPIKDASPCLLYSCAGDDAHTATLYSPSAGAAFKVRLPGEHAFRSLYVVGSDHGWIVAADEESNLHLVNPLSGAQEDLPPVTGLHHVESTSDDQGRPMYNLYGEYPYPEIPCVHKPERLRLFLYERAYLSCSPSAGAACIVLLLHRPEGQLSFARPGADGWTHITDSESVERASSFRCAAYNDKDGLFYLISGECSVYTLDLNGPSPVMKEIVWAAELWDHPIKSIVFTPWGDILLVWKFLDLRYKQRQVPAELAHGASSPLQEMYTVEIELFNVNVDDDQKLVKITSEGLRDHALFLGFNSTILISAKHLSALKPNCAYITDDCSENIYVWNPESPMAYKPRRLRLFLYERAYLSCSPSKGAACIVLLLHRTDGEISFARLGDDQWTHVAKSESVQWSSGYRGAAYNENDGLFYLVSFDASIFTLDLHGGSPVMKTLLNGNSRFDDPIRSIILAPWGEIFQVWRFIGVRWLDTPVQVPADFADEVINPNRESYTEEIELYKVEASGRNHKLVKVDSSELRGHAIFIGFNSPVLVSTEDFPTFKPNCAYITDDSWETICINMYGGREVGVWNFETEKLESLGDVQVAHPWLNWPPPVWITPSIH >Et_10B_003872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:741209:742073:-1 gene:Et_10B_003872 transcript:Et_10B_003872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCSVLNTHKMVGSSTYLVACCGLVPEYLYQDGPYNYRSSYDGRVWNMSLDIDRIFIAPISVPHKNQKANTAHYLLHGCHHKHPMDGLRLVFPPAAAAILCFPFWNLIKLFSTPSTTPGLFGGGLLGYVMYDCTHYYLHHGQPSSDPAKHLKKYHLNHHFRIQNKGFGITSTLWDHVFGTLPSTKTVDKST >Et_2B_021973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7505010:7508983:-1 gene:Et_2B_021973 transcript:Et_2B_021973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFQFRTRKAWFELCQKSKIMGAQCDFCGDQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCTSQPAMVRCLVENVSLCQNCDWNGHSAGSEAAGHKRQTINCYSGCPSSAELSRLWSFVSDIPNVAPEPNCEQGISMMSISDSGVSNQDKAAGDNSLLDIASATLISDLDTCNRVQSLEGSSSGAGVNLLPNATDETAGPVDSTTPKVPYMPDKDMFCKDTIYEDFCVGDVDLAFENYEELFGTSHIQTEQLFDDAGIDSYFEMKEAPGGNADEQQKLMQPANSNGGDSGMSNPGAKGDSSVCIPVRQARSSLSLSFSGLTGESSAGDHQDCVVSSVLLMGEPPWHPPGPEGSYAGGSRDSALTRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLCETRSY >Et_9B_063930.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:2614715:2614756:1 gene:Et_9B_063930 transcript:Et_9B_063930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGEWTTVNEER >Et_8B_058753.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20823106:20823171:-1 gene:Et_8B_058753 transcript:Et_8B_058753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHPVATSRTMLLQWYRELG >Et_1B_013211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6415607:6417274:-1 gene:Et_1B_013211 transcript:Et_1B_013211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSILSSASPASTTAMAMSMAMSRAFPTRHGHASHSHRSHAPASPHTSPQKMPLVPRRPSHSVTVTSRSQLLPASPKASSSQSDLSPTPPSERTMTAGDLATLWVSLVVGVPAYYLAGSLVDLGMSALQGVATVAFGNLIVLVTLVLMAAPAVTHGLPFPVLARAAFGVRGAHVPAVLRALSHQPLLTPVPGLGAAPLEFACFLAFWAAQLGVIMRGMEGIRKLEKYSAPILFLLTFALLAWAYASAGGFGRILSQPPRLTRAEFWKVFFPALTANISFWSTVAINIPDFARYVRSQTDQVLGQAGLPVFMGMFAFAGLAITSATEAIFGRIISDPIELLGRIGGPATTFIAIFGISLAIVTTNVAANVVAPANVFISMSPRRFTFATGALITALLGVALQPWRLLSSSESFIYTWLLSNSALMGPIGGIVLADHYVVRRTALDVDALYSEDKGSPYYFQGGFNVAAMVAMAAGVVPLGPGFLHTAGVLPSVHKAFVTAYNNAWFVSFFLAGAIYCLLCRRNGAHVKQK >Et_6A_047773.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:15246258:15247715:-1 gene:Et_6A_047773 transcript:Et_6A_047773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPAAPLAAVAGRLPRRPSLSLLADRCTTPRALAQIHAAMLVCGRLADDAFAASRLLVAYTALSPDPAAAALAFLASLPCAPNSFILNTTLQTLASSDDPAAAFPFFARLRATGSLKPGRHTFPFLLKAAALLPAPLPVAGQLHALAVRHGVHLDAYVANGLVRAYSVAGRLRAARRVFDDVPDRNAPLYTTMVSAYAQNGRHQEAIAAFDEMLREGLEPGGAALASVLSACARLASGGLETGRRVHDMMEARGMTAPLDVVLGTALVDMYAKNGAIREAVAVFDEMPVGHTATWNVLITGLAHHGHGERALDMFRWMQREGVLPNATTLVGVLSACCHAGLLDEARRLFWSMEEEFGITPGIQHYCCMVDNLGRAGLLPEAEEMIRGMACEADPVIWGALLTACKNHGDVEIAERAAAEILKLDPSNHGAYVVLSNMYAEAGRWQDVDKLRNVMKGARLSKIPGASAVGGDGLPEQPLAKVLI >Et_2B_022203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1364894:1365819:1 gene:Et_2B_022203 transcript:Et_2B_022203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQGFKQSSNNLNARSTTTPPRGRSWSASCPASRSLGLHRFRVARSGRVLGRSNDALETVAGDVDFKAEKSNSSSVRATAAAARSPDGRSLSLCLFSRETDLSSDLEKVDPPRPLVLHLDLDDDETRIAAVSALPDLPIGPLMPTCPVSVAGELWAPYLTNINGPSDLVMQRLDAAAGGEWVEVGGRFHLPKGIPRDLRSYAAVIQGAAVVGRTTILLSLYPSRRFDCSTLAWNAVATAPEMPYEPIHGRGAYVEEDDAVHFFHWQSIYSNKPCCLPLELFRVPLLTYLLLYRSGYDLPQTYAML >Et_4A_034187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30587994:30592486:1 gene:Et_4A_034187 transcript:Et_4A_034187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVDPHSYTDGAHPVTKHAALAFYLDFAASTIHGSALLTLSAPHSGDLILDTRDIAVHSATTASDPPEPIPFSLAASPDPVLGSALTLTLPPDTTSFRLTFSTSPAASALQWLAPPQTASGQPFVFSQCQSIHARSIFPCHDTPAARITFSLLLNLPAQLSAVASARHVTRRDPLPSDHHGACDEAMWCAPGRIVEEFEMEQSVPPYLFAFAAGGIGSRDLGPRTRVYAEGGEKILDEAAREFAGVEEMIKVGESLFGPYDWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDAAGAQVVAHELAHSWTGNLITNKTNEDFWLNEGFTTYAERRIVEVVQGEERAALNMGIGWRGLNQMMERFKDNMEFTKLKPKMAGIDPDDVYSEVPYEKGFQFLWRIERQIGRPAFDEFTKKYIAKFKFQSIDTETFLEFLKANVPGIENQIDLHLWVEGTGIPPDAMEPDSAIYKKICSLAAEFKSGKLPSEDEVAEWNGQEWELYLENLPTDVEASQVTALDERYKLSESRDYEVKVAFLQLAIPAGCKCYFNEVEKCLKQVGRMKYLRPLYSALAKCSSEEKMLAQRIFSEAQEFYHPIARGVAESILSKQG >Et_1B_013566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9564216:9564871:-1 gene:Et_1B_013566 transcript:Et_1B_013566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAHHAALLRLLLAVVVSCALAAAAASGGVSAAGVVAAAGAPDGLPSEHLFPCLEELLPCTAYLKAAPANKHPSNTCCTAMHRAAAADEMACMCRLLADPELLATFNVTTDQTFKLPARCAMPVGCRDGDNHEPVVEAPPPPASKQTHHFVFESSGDGFRCSVWWTIASAVLGGMVPVAPVF >Et_7B_055365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9682268:9684298:-1 gene:Et_7B_055365 transcript:Et_7B_055365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRITTLQFLMYPEILDEDCTEEDKIIYGRRFMKFFGAAPRAFGGLSYLHVQGLGLSIDDMTNALNACINLEHLYLKMCDYGYLSLLEIEHPRLTTLAFSYCKLATVQLKCLPRLTRLTCEVWTAPRNQYPVSFGYVPQLSTLILKNPGTTIHKTIQLSEFLNNATICALDLDFVSQKIWIQPEPSNLVGPWLQNLQFLCLRHIHGECELDWTMFFLEGAPLLKKIDIEVWDHTCWGHEENELTKDFMDKCEILYQKKTDLSWETPDGVKHFSMKQLIIKGYQMEEKFNRYIKRVLKAAVNLELIILLDSGRNLAIRTGDDSAQHNTSVVKATKSMLAHTMRSPISLLSARFHMVDESIDIIHCVENAVANQQISALRVYLPDAS >Et_10B_004457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8121775:8123846:-1 gene:Et_10B_004457 transcript:Et_10B_004457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDEQKLRAFYDKRISQEVSGDALGEEFNGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSHDLSVINLVIVKKGDNDLPGLTDTEKPRMRGPKRASKIRKLFNLTKDDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPQTLQRKRARIAEKKKRIAKKQAEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSSAAKAAPASA >Et_2A_015414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12169082:12170325:-1 gene:Et_2A_015414 transcript:Et_2A_015414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRNVPRYPEDEDSGGGCCACLCWCCCFLFLIVAALAGTAAYFFFIYKPKAPSYSVSNMSISQFDFNANDLTLYTKLTASVRAENPNDMIGISYGEGSHTVVSYRGTPLCSGKLPAFYQGYRNVTVMEIAMEGRHGFGSGLQQALEESEKAGNVPVDVFVSVPVALRLGSVDLRQVKVNVHCALVVDSLSPKKKPNIKSATYRANVESVDNVGFAELILTGGWYYMRGEEAVLFTVKQYKRPFRKRFASMVALANNYMRARKRKVIRQGWVKPPEGKLMLNVIE >Et_3A_024336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19719714:19720156:1 gene:Et_3A_024336 transcript:Et_3A_024336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGSSPTHSPPAKRRDKPSTSTITPSVRTSCGSLSSSTSPPLPLRAALTCRAWRRAVASSPAFRRLFRSLHPPPILGFFFEAPSVVQTPNTPAFPTFIPARRRGRDLTAAVRDGVFFLTSLDHCPDEAPVGISSTAAGTASSS >Et_5B_045129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9859020:9859334:1 gene:Et_5B_045129 transcript:Et_5B_045129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRVACARQGGIRYTITGNKNYNMVMVTNVGGARDMVAQMVKGNKRELGAEITEVDLSGESLTLRVMTGDHRKATSWHVAPRDWQFGKIYQATKNF >Et_2A_015677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16893492:16893888:1 gene:Et_2A_015677 transcript:Et_2A_015677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRPALMWAQMMFAFSDSFKRLQAKPAILQLSDANRDQVCQMLSKICHIQKITDQRLKIFTRIYNMCDLQMLFAPPHVVKNLTTSCFKELKKLTHGFCFLLETNMSSAC >Et_1A_008386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7099116:7103015:-1 gene:Et_1A_008386 transcript:Et_1A_008386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQVRRKSSLRSSPGSGKSSPRPASGPVLADDRGGGEPPEVVVKVDGNGNGHAPFSFHGAEEGDGGGGGRAGNAGFSGTNSTASTPRDTSRERSSGSVSPRSPAKVWREGSYEFWSNNGGGGGGEGARRSAPETFSFKNRQPEAPQASSPSLSPQLPVNPPNPAAEGAEDPPTRLIGNFIRKQRASGAEMSLDLDPEMEDLGRTAQLREQPSFSSSLERDTRVSFREPEKRRSTSSFSSDSDAGDGRKRGGDDGEVVRCTSSSTAAGAGPLLRAKTRSRLMDPPPQPQPPPAPAAAPPVIDEERKSSPMRTPSKSGNLFSGLMILKQKKVWGLHLWRWELLVFVLICGRLVSGWVIRIAVFFVERNFVLRKRVLYFVYGVRSAVQNALWLGLVLASWHFMFDKDVQRETNTPVLPYVTKILFCLLVATLVRLAKTLLLKVLASSFHVSTFFDRIQEALFNQYVIETLSGPPLVDENYVLEEVHELQRAGATIPKELRGTVPTKNVSEQRSIRLSGLMPKSEGSKQQSKEKGEGISIDKLHRLNQKNVSAWNMKRLMRIVRFGTLMTMDEQIQQATGEGDESATQIRSEYEAKIAAKKIFHNVAKLGSKYIYLSDLMRFMRQEEATKAMNLFEGAQEQNRISKRSLKNWVVNAFRERKALALTLNDTKTAVNKLNQMANVIVGITVFALWLLILGIATTHFFVFLSSQLLVAVFIFGNTLKTVFEAIVFLFVMHPFDVGDRCEIDEVQLVVEEMNIMTTVFLRYDNLKIYYPNSVLATKPIMNFYRSPDMGDAIDFSIHVATPVEKLALMKERILRYIDNKKEHWYPGAMVVLRDVDDTNKLKVSIWLRHTLNFQDMGMRFVRRELVLQEMIKVLKDLEIEYRMLPLDVNIRNAPPIQSTRMPTTWSYS >Et_2A_016308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23123640:23130643:1 gene:Et_2A_016308 transcript:Et_2A_016308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGECSSPTGSGGAGLLPLFGPSTPVHLVAFAFSSISHICNCRLMNDDHCLQDQQAESLEEKLRRMSEENRRLTGALDAILAGDRARRPALATSPAPSNAAATDAGVTAEPRPRVRTVHARAEPADAEANLVKDGYQWRKYGQKVTRDNPYPRAYFRCAYAPSCPVKKKVQRSAEDRLMLVAHYEGEHNHAQCAPSELVSDASTRQQGPSSGSLPCSISINSLGRTITLGLTNQGSGSNEQAVVGEVAAPEFRKVMVDEMVTLLKNDSEFMDVGLPTPRRDAEMEADAEHDRKAGGRATSSMSDEEAKTLEAKLTQVSEENRRLSEMIAHLYAAQIARPDSPAAAGRKRERDSPELSNSSDRAVSPPLALSDGASCKRIKVSRVCTRIDPADTTLTVKDGYQWRKYGQKVTRDNPSPRAYFRCAYAPSCPVKKKVQKSAEESSVLVATYEGEHNHPCPTRAGGLPCIPTRSGSVPCSISINSSSSGPTITLDLTKHGGAGGGGVRVLGADQAPDLKKLCREVASPEFRTALVEQMASTLTSDTDFTGALAAAILRQLPEY >Et_10A_002230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4944539:4947764:-1 gene:Et_10A_002230 transcript:Et_10A_002230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARRARGAARRPFAVLASPSPARNGGTVTAENMAAAPAPEGTPFAQRVRRFGKCAGGGGKAVAMKKSSLVSVSRKPVAATAIRRRVSSFSTTTTTRCSTPVTAAAVQAKARDPPRVPLWDFSSEDDRGGKAAVRANLSTPFDEADHRGSRQERLLGGGGGEAEAAAASRKRTARSVAMEEAMASLPEPGEGRVKYLVDTFERLLSLAAAGGGGGGPEARRTTRRRKSEETPAAARTSSASSSRTPPPPQAAEVIDVSYPSSEVSFPAIAGVACILDASDRTSMIRAVREKRRQRKCNSTGSSHRSCSRKVISVTSQRPFNLRTEQRGKAKEESFAQRLRKMQLEEEMMRNPLAQGLPYATDEPEGNGER >Et_4A_034030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29257460:29260495:-1 gene:Et_4A_034030 transcript:Et_4A_034030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSCLLLLIFFSAFLALLPETTPLQSSQAWTLLKIQQLLNYPPMLSHWRRGTDFCGGGGGLLSPSGSAAVVCYGDTVTQLHVAGAAGAPPLPKNFSIGAFVTTLSRLPDLKVLTLSGLGLWGPLPGKLGRLAALEIVNMSGNYLFSGIPKGMSRLAGLQTLVLDDNMLGGEVPTWVGALPSLAVLSLRNNSLRGPVPDSVADMPSLRSLVLASNNLSGNLPDLSRLRNLQVLDVGGNSLGPAFPRLGRKVATVVLARNRFAGGLPPELGTFYLLERLDVSWNRFVGPFPPALLSLPAIRYLSIAGNRFTGLLSDKTPCGDNLRSPDKKPDAVVLVSENCLDMDVGDGSQHSSPFCQNQALAVGIVPGKERKNVAGQAGFVAGIVAAIVVAVLIVGFMVFFAVRKASVKGAKARAPAMLVDDNSSTSNGYPSKLFADARYISQTVKLGALGIPSYRSFSLVELEAATNNFENSYLMGQDSHGEMYRGRLGNGIPVTIRTLKIKRSQTTQSFNRHIETISRLRHQHLVSALGHCFEYDLDDSSVTQLYLVFEYVQDGTLRSRISQGTEGCKLTWGQRISAAIGVAKGIQFLHGGIVPGIYGNDLRITNILIDQNHVAKIGSYNIPILAEAMKPEVGHWKRDNSMYSDKTDIFDFGVILLEVVSGKKITSMYEVEILKELMQWAIAEEDRVRRRSFADPAVRKGCSDESLKTVMEICLRCLAKEPAPRPSVEDVLWNLQFASQVQDDWEGDTRSSDGSPVSSSSRVARLSRLNLSR >Et_10A_001248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22601500:22603381:1 gene:Et_10A_001248 transcript:Et_10A_001248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGRVHKLGSPILTSCAYAVVRLRPASSTLLPPHCHHSSSTPLSLHHRRSSAARARRQTAREKNSSPWAPDRHARGVFPLAFMGSSSLLLFPSSSSAASSYSAASQSLHATTTSSSSSHSHLLPPLPILQPPQDHQLLLHYPHLVDDPAAAAMVRKRPAPEMDLPPPRRHVTGDLSDVTAAAAAAQPQASPASAQLPALPTQLMQLPAFQQQQQHAAAAAAEVEQQHQQQGAGAGEAATTAWVDGIIRDIIGSSGAAVSVAQLIQNVREIIHPCNPGLASLLELRLRSLLDHQHPPALQPPHHQLPPVVAPAALPPPPPPAPAQIPADKPSPSPNPPSPKAPTAEETAAAAAAAAAAAAAAAAAAAKERKEEQRRKQRDEEGLHLLTLLLQCAEAVNADNLDDAHQTLLSIAELATPFGTSTQRVAAYFAEAMSARLVSSCLGLYAPLPPASPAAARLGTRVAAAFQVFNGISPFVKFSHFTANQAIQEAFEREDRVHIIDLDIMQGLQWPGLFHILASRPGGPPRVRLTGLGASMDVLEATGKRLSDFADTLGLPFEFCAVAEKAGNVDPEKLGVTRREAVAVHWLHHSLYDVTGSDSNTLWLIQRYSLPPMRSILLSFHVKS >Et_8A_056285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19729462:19730475:-1 gene:Et_8A_056285 transcript:Et_8A_056285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAIHPAPGRANDAGDAHGRGASSPSAGTTLAGDSTRTSSISSLDGGASDDGHPPPPPPGHTLEAPSRRHRSGRHGGSRPAARLFQKLRTVLPVLTLAPRCGRPSSSVAGASSSGGAAENSSHLAPRVISFSGAARPRGRLTGTLYGHRKGRVALALQESPRCLPSLVVELALQTHALLRELGNPAGARIVLETERRAAASDPGGEGNGGAGGRRRDEPRWTMFCNGRKTGYAVRREATGDDLTVMETLRAVSMGAGVLPASPAASGADDAASDEVPYMRGSFDHFVGSRDSESLYMVAPQGGGTGPELAVFFVRL >Et_6A_047450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:631935:642692:-1 gene:Et_6A_047450 transcript:Et_6A_047450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGSENNAFPFTTGSSPRFGNPISSRRIFSDVAEDVTVSVDGQSFLLHKFPLISRCGRVRKMVADSKDPDLSKLELVNVPGGAVAFELAAKFCYGSNFEITAENVAHLRCVAEYLEMTEDYQAENLIVRTETYLNEVVLKNLDKSIEVLCACDGLDPMVEEIGLVDRCIDAVALNVCKEQLVSGLAHLECDGGSGKLRMHYQDWWVEDLSALRIDYYRRVIAAMRRTGVRPESIGTSIVHYAQTSLKCIERRHVGDSGPLVGDNQRVILETLIDLLATENITTVTLSFLFGMLRMAIEVDAGLKYRIEVEKRIGLQLEMASLDDLLIPAVQTSDSMFDVDTVHRILVNFLQRIDEDDSGDLSPCGYDSDGLKSPSHSSVLKVGRLMDGYLAEIAPDPYLKLQKFMALIELLPDYARIVDDGLYRAIDIYLKAHPSLTDSECKKLCKLIDCQKLSQDASSHAAQNDRLPVQMVVRVLYFEQLRLKQSSFSGDGSMSQRMMMCSGSGVPSSCVSPRGDNYASLRRENRELKLEIARMRVRLTELEREQGIMKQQGSRPGGGDHGRAFLASLSRGIGRITMLRPTAAERRRKKSSSQCSEGKSRRRQQKASFARTRRASLSGLGAGAADEAVDSSASLLSKVARTVDEVAAEIATEAALPVSKFVGVAGAVPKDGRDQHDLVYRAVDIYLKTHPGLDEIEREKVCSVMDPLRLSHQARLHASQNNRLPLQVVLSALYYDNLKIRSQRSAAAVADDEDWDKHQARENEALRSELAQMRAYLSGMQGHSKGSASSRASSLPGSGKKASSFLGSVSRTLSRLNPFNGRWAKDTSTIVDGRNGKTTRDHVVKPKRRRFSI >Et_6A_046729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:262672:265798:1 gene:Et_6A_046729 transcript:Et_6A_046729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGSKISISGATLAALLHRCATAAGDCDGLLFGRASRLPAPPPPSPSPATAPSPIPSPPSAPSSATVGFFSSRRRTALRPSMRELAVARSLSKSLAPTHPLLFLLVSPSFNAIHSTHSYDYRAFLLAGARLVPTKLTVVNLGPGFRDQYHSFTAESPLPGLPPSQPSSPAAGHAHTTIAEQKAVDVMVDGFGLERLQGVMGSAAGQAAEMDEMYAGMLRRLEKLAREVEKSNLRVLEQVLFLILQFMHVNAVYRLIDAMRDRQLCLLGLLLKLASDIIAHEEVRLKWCLRIGRDHLKKGQKFVWEKVRGGCHDKDVESTLKYARCHAETGAVWSALE >Et_6A_045974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14734737:14737487:1 gene:Et_6A_045974 transcript:Et_6A_045974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPSSSSLSNAQKRCRVVTQIKILNTRLKKISRDKTFCTLKNVTALGKSSETNYRKSSHVVQPILVGNEITYATARLVDMLLVNKEKQEYRIAIVGTAGIGKTTLAQNVYNHQRMISSFSKRAWICVSQKYSEVNLLKELLQCINIASVLKDESFFLVLDDIWHSDVWTNLLLTPLLATKRGTILVTTRDEMVAQKIGAEHIHRVKLLSVNEGWELLWKNMNIKKEKELENLRGVGFEIIKKCGCLPLAIKVIAGVLATKEKTETLWREVLPAELRGALYLSYDELPQHLKQCFVYCALFPEDHILHRDDLIRLWVAEGFVQEQESQILEDIAMDRSRCRMHDLLRHLAWHLSRKECFMGDSEMLESRPISNLRSIAVIIKKDMAVLPNLDTEVDSAIFVKLPHLRVLDLSGSPIQQIPVDIKSLIHLRLLNLDRTGIVCIPECIGSLTNLQIFNLQECSALYSLPVGVTRLYNLRRLGLTRTPITQVPKGIGHLTLLNDLEGFPVGCYCISDEMQDGWDLRELERLLLVKQLDIIKLERVIPSGVKSIFIHKHYLKILTLRCTERQFYPSQEESDRIEGVFEELVPPCNLEDLSIIGFYGRRYSSWLTSHLPSLKFLKLVYCICWEQLPPLGELPNMTFLKIKGAFSVKKIGPEFLGGGLPAVGSHERVAFPKLEVLIIEDMPYLEEWSLVEENEEGNESDDEGATFLPCLQTLQLVECPKLGALPQRLADTTNLKQLYIRGTNSLNVVENIPYLSGDLIIHTCGRLQRISNLPLLRCLYASGCPALRVVENFCNLQQLFLSKDMFDSSLLWLHDLQHQYCRLQGKDLSVHSWDPTIIKLT >Et_3A_023202.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:1061522:1061803:1 gene:Et_3A_023202 transcript:Et_3A_023202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSDKKFCSLNIVTSAALWGLWKVRNDLCFQRASWRGDKIIPLVTSWQILCPVGMMDAFLQHTVGLKVMRGRPGRILMGALGTPVTTSETST >Et_9A_063172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13694521:13697119:1 gene:Et_9A_063172 transcript:Et_9A_063172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDRLSTLSDDLLRRVLYFVPTKEAASTSVLSRRWGNLWRSCGAVNLVARVHDDSKPYRGGYRLPTNFQEREAAEEAFFSRRDAFFGYAEAALAHAKDAPVTKLTIHVETEQDEFVIDKFLHRDSDRDTTRDAVDALVSHRAARRVEELRVTATVVATAPWYWYAHGGGYKLASLPSSETLRLLDLTRCNGFTAAGAAFPLLATLRLRLCTVPAKDLQALLDAAPMLGTVNLESVSFSSGNTDIHGSQTAAEPPVVRLQFPVATALSLESCGAINLDAPKLGCFRYMGSKRAFSLTSQSPDLQRVDLHLHDDDRKDSDNIAQYRDKQCELFSQFVQNFTGAKTLKLKVKQLENIAVTSEKKQIELLCVFPNVEHLELEGVHAPTRQSAAVAIANLLRCCPVLAEIKLTLSTVSPDSIKDSRYGLSFLVQKKQLDYNKSIDRFTRRTSKRMIPLHDDSDDGNNCDGVSDIPGLSGHTFACLQGSLRRVGLQFRLDTPKCLGVRLVNFFAASAMVLQEMCLDCGNRRLNQHMNLDVERWVLANSTKASLKHKNLAENSLHQASRDEATGEGHARLRQPVVPEHHAGDGEVSGVVGISEHVVPRPRHPAACIGTGAGVVVLCVVERVEREVPGPRHPPGGINKAADVRLHDHGAGEVLPWPAEVGDRPERVVGGELGRGDEGAALDGGAGVLVRVAPPLGRDGVALALHGEA >Et_3B_028700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18179981:18180747:1 gene:Et_3B_028700 transcript:Et_3B_028700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVLLFNTKGGTRFVYSIHQNLWSWAAYQVLCKMFSLKLSLSISSSGIVSVGLLPRASFIGKQ >Et_1A_006361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21298437:21300422:1 gene:Et_1A_006361 transcript:Et_1A_006361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLSLLKLKLSSVRNRMKSVKNIQKITKAMKMVAASKLRAVQIRTENSRGLWQPFTALLGDAPSVDVKKNVILAITSDKGLCGGINSTSVKVSKALQKLTSGPEKESKYVILGEKGKVQLIRDSKQNIEMTVSELQKNPINYTQIAVLADDILKNVEFDALRVVFNKFHSVISFKPTMTTILSPEAMEKESESGGKVGDLDSYEIEGGETKSEILQNLTEFQFSCVLYNGALENACSELGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELTEIISGASALEG >Et_9B_065844.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14845360:14845698:-1 gene:Et_9B_065844 transcript:Et_9B_065844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVERRKRGSSPAASVGGGGARMRGGAEGAKLQGLRAKSAPGQAYFTVRLALLFLCLTMLLVFLPLVLPPLPPPPLLLLVAPVGLMAVLLALALGPADARGARAVASSGLY >Et_9B_063630.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14013549:14013818:-1 gene:Et_9B_063630 transcript:Et_9B_063630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VTPFNSTSLNESKLYIVFCEDIICDYFGHGLQHCYCCPDGRLKAYCHATMEECRNNCATCNPKCPTQPAVLSRMEDGPVQAMLNATVYK >Et_3A_026249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:669622:671763:-1 gene:Et_3A_026249 transcript:Et_3A_026249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CSTGPIDSYFWATWEHRFLLLGFLGYAHQFRREFSSPVPAGDPPVSSDPAMQFFSGSSLTSVAPEATPSPAAPPGTGTGANAQVLYVFNRNGVCLLYREWHRPLRTLDDTQDHKLMFGLLYSLRSFTSKIDPTTAEKGNLGVPLLPGQGCSFYSFKTNTYKLNFMESPSGIKLILITHPRTGDQRDSLKHIYNLYVEYVVKNPLYAPGAPIK >Et_1A_008477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:885197:887499:-1 gene:Et_1A_008477 transcript:Et_1A_008477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVVRRPLLAAFLPAAGAASRPSGFHFRRRRCPPPFLAVSSDSSKPVASTSSSSGGDNPDEEPPVQPLLQELADCLVLPPKFLSQLPSDLRQDLNDAAFDLSNGPVLDEVCGQEVGDLLLNLAKAWELADTSTVAFGKRLVSAGRRFQSMGQYGEGELKTIAETMIRNGKLLSKRPVIQSDVQAMKEKRKLKFGELELELTAERANIGAAIGAGFGFLSWQLAQGVQSIPDNSTQYANDNAVQLAKSLKVALLVLGYTSTGLSLFAAAGLLLLAQQISAENKSE >Et_8A_057462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3284443:3285742:1 gene:Et_8A_057462 transcript:Et_8A_057462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQSDTEHSDPDEAESLFSSLPTKEGWWTPFFLYQGCWLTPQAVRSVAVVQAQFQPRAEDIILATFPKCGTTWLKALAFTISRRSGYAVTSDDHPLLTNHPQDLVPFIDLPPRHVHPLKDLDVLPSPRLLCTHLPLTLLPLGKLTLGCRVVYLCREPKDVLVSTWHYMNKVHKDFTINLDRVFELFYEGFSIYGPIWEHFLGYWTRSVAEPDKVLFLKYNEMMDEPAKHVKKLAEFLGVPFTYEEESSGVVDEVVRLCSFESLKNLQVNTSGVSTRFGGAPMENSSFFRTAKVGDWTNHLSQEMAQKLDRVTEEKLEGSDSRKEQKSHLIVLLDAGFCLGHTARVLQASAEKRLDQP >Et_7B_054359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20323840:20325578:-1 gene:Et_7B_054359 transcript:Et_7B_054359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTASMVICIVISFLVLVSPASSAARQQVPPAIFMFGDGALDVGNNNYLKSRMWSTEPIRANHSYYGIDFLNSEATGRFSNGYNIADFIAKAMGFEMSPPSYLSLTSPIKDFTGVNYASEGAGIWNITNEDGVTIHLLEQVDNFANTTVQLMSELDKHELRKLLSKSLFIVSIGTLDLIRIFTFVSYGRESKEDGRADVKNLIATHEVAITAMYNMGARKFGIINIPPIGCTPGGMQILHHRPVGCDESLNEFAIEFNSGLEPLMARLASKFDGLSYSIADFYGFSNATFMNPSAAGFVNTDLACCNRPCDAIYERYVGRPCQNRTQYWMWDDFHTTEQAAKVAATAFYDGLSRFTTPINFKKLVQ >Et_8A_057845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8263392:8266128:1 gene:Et_8A_057845 transcript:Et_8A_057845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGDGFDFRQPFKSLPRVVTSPGIISDPDWDTRSDGDSVGSASSTERKIIVANFLPLNCTKDETGHWTFSMDDDALLMQLKDGFSNETDVVYVGSLKVHVDPSEQDQVAQKLLREHRCIPTFLPSDLQQQFYHGFCKQQLWPLFHYMLPICLDKGELFDRNLFQAYVRANKLFADKVMEAINTDDDCVWVHDYHLMLLPTFLRKRLHRIKLGFFLHSPFPSSEIYRTLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLHYESKRGYIGIEYFGRTVSLKILSVGVHVGRLESVLKLPATVSKVQEIEQKYKGMMLMLGVDDMDIFKGISLKLLGLELLLERNPKLRGKIVLVQIINPARSTGKDVQEAITEAISVAERINTKYGSSDYKPVILIDHRIPFYEKIAFYAASDCCIVNAVRDGMNLIPYEYTVCRQGNEEIDKVRGVDQKTHHTSTLIVSEFVGCSPSLSGAFRVNPWSVDDVADALYRATDLTESEKRLRHEKHYRYVSTHDVAYWARSFAQDLERACKDHYSRRCWAIGFGLNFRIIALSPGFRKLSSEHFVSSYNKASRRAIFLDYDGTLVAQSSINKAPSAELISILNTLCADPKNNVFIVSGRGRNSLDEWFSPCEKLGIAAEHGYFIRWNKSTAWESSYSSPHREWKHIAEPVMQVYTETTDGSFIEPKESALVWHYLDADHDFGSCQAKELLDHLERVLSNESVAVKCGHYIVEVKPQGVSKGLAVDKLIRTLVNNGKAPDFLMCVGNDRSDEDMFESINGMTSSAVISPTVPEVFACSVGQKPSKAKYYVDDTNEVIRLLKTVTRNSSRREDVSHGRVSFRDVLDYVD >Et_7A_050474.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:17611591:17611737:-1 gene:Et_7A_050474 transcript:Et_7A_050474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSRFTILLNSTNRLVHANPNLCHDPSTLGHLGLLQKIYPCVSPRYQ >Et_10B_002446.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4976268:4976552:1 gene:Et_10B_002446 transcript:Et_10B_002446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCLADSIMLSVLLPLALQDFKSACHSLKENGYTDVACWWNLFTISAACSFSRRSAASSHTNSGATGTALKMELIRTEPAQNLVPMEVGSAPV >Et_9A_062582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24230391:24238103:1 gene:Et_9A_062582 transcript:Et_9A_062582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALINGGSTSQALLDAQLELWHTTFGYIKSMALKSALDLRIPDAIHEHGGAASLAEIASKATLHPSKVPCLRRLMRVLAATGVFNAELRPSSSCSSDVNDEQPAVYTLTPMSSLLVGKRSLSPIAAMILHPTFVSPFLGLGSWFQRELPACIFKQTHGQTLWELAGRDATFDALINDGMVSDSQFTMDIVLKECRDVFQGVSSLVDVAGGLGASAQAISKAFPHVKCSVLDLDHVVAMAPSDTDVQYIAGDMFESVPPANAMFFKWVLHDWGHEECVKILKNCRKAIPPRDGGGKVIIIDIVVGAGPSDLKHREVHAFFDLYMVIVNGIERDEQEWKKIFFQAGFRDYKITPVLGFRSIIEPCWMLSLSSGTTTLPQIVTKITLHESKFSCLRRLMRVLTVTGVFSVELPDGSSEHVYGLTPASRLLVTSKNFSPFLSLMLDSVFVSPFFGLGEWFQRELPDPTLFKMTHGQKPWDFAAHNKKFAKLFDHGMVADSGFTMDIIVKECGDVFHGISSLIDVAGGLGGASQTISKAFPHVECSVLDLPQVVAGAPTGTNVKYVAGDMFESIPSANAVFLKWVLHDWGDVECVKILRNCRKAIPPRDAGGKVIIFDMVVGSGTSDPKLKETQVFFDLFIMFIDGAERDEQEWKKIIFEAGFSDYKIIPLVGVRSIIEVYPTQSASCKPISKFSANPSGGPSKSQEILHPPPALLFSGCRRYGMLVVRAFHCTMKVCGSVRSPCPDPLLASWAAALASKNNESSEWFMVEFVVEHGGHDQGSGCGSEQPPRHS >Et_5A_041960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4638695:4643880:-1 gene:Et_5A_041960 transcript:Et_5A_041960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDGPEFVRWREEFVSQERGSRIVHYYLEDAAGGSHLAVVGTERSLRHMLYVVSDDFHATLGCDDVDGGQAVLARKWRSRREVVDWLASFIPAKTRASKYSKYGPYVDNDIGLDGYGETDSFVHQNLGKTCDSDIAWSGSFWTCGKQLRRYQAFCRNGTTISIHSFVFVLSEDKSRYLAYLEDMYEDKKGQKKVRVRWFHQNKEFACAIPPPSPHPCEVFITPFTQVISVECVDDIATVLTPDHYAKCSNALPSSSLVGIRFCFRQYSKKRFKHFDLKTLRGYFSQATVMSMKMSAEPDMDGEYCTRGKTKSPKQFERLYSKCLGTKICQGPQADAIPSYQKSCNEQSPGKHFSIKFIGPQNQQVQTYSAGDKIEVLSQDSGIIGCWFQCTVLKSCTNHNKLKVQYDDLQNADDCGRLEEMVPASTLARPDILGMRCPDRLRVRPRPQQNNYVDVDALLPGTAVDVWQFSGWWEGVVVSFDNTAADSVQIYFPGENFFGVCQLKNVRISKDWVKDQWIDIETKTDVLSRIPSDGIQTKQHENMSSVGVLDSSSSAVPDQEVAAIQVNYREDNQTEASKQTEVNLTEKTSAFAADEKQTILGKRPREDPEQDCNGEVGLDVGLRSLTPTRACDMMSSPIWREDAQVVALATDEVPRNGSRGYSLSHCSPLMKLGPRNGCASS >Et_1A_007073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30655085:30658175:1 gene:Et_1A_007073 transcript:Et_1A_007073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHLPVSSSSHHAHHLLDPAPHQQRWRRRRRRTCGPPPPRRGARAPPLRCCAAAAAAPMPREATPAAVTATTRVFVVSDLHTDYQENMEWVRQLPAEVGARRGEDGVTDALVVAGDVAETRDNFARTMEVLRERFGAVFYVPGNHDLWLRREGGRYMDSLEKLTALLDACSELGVDTGPRTIGDLGIIPLFSWYHKSFDKEKDVNSVRVPSLDMACKDFHACKWPEDLGNDDEDLALYFDKLNDKNHDAIEEVKNNSKQILTFSHFVPRQELCPEKRMLYYPYLPKVIGSDFLERRLRAIHSNRKDGTACHVFGHTHFCWDSVVDEIRYVQAPLAYPRERKRRLNGGEGWLPFCVYRDGFNPEIYPALWSDYYNKNKREPENTQLAPWVARHFAKYHKFH >Et_9B_064599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16816054:16817350:-1 gene:Et_9B_064599 transcript:Et_9B_064599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIATVAIFLAAAVLLLSSPLAGAARAAPPSPSAKQDIPAVFAFGDSTLDPGNNNRLTTLVRADHSPYGRDFPGGAATGRFSNGKLITDYIVESLGIKELLPAYHDANLTDAEAATGVSFASGGSGLDDLTTRTAIVSTFASQIDDFKELLGRIGSPKAAEIAGKALYVLSAGTNDVTMYTVLPVQARNYPSFDEYSDFLIDNFQSYIKPGSPELHGGWAAAGGLPPGAEDLAHTDTATGFMGLHRSPERRRADVQHQAPADADQAGGNSTGSTFAYVDVYTPLLDMATNPRKYGFSQTGLGCCGTGLVEMGELCTNLLPLCQSPGAYMFFDSVHPTQATYKQLADQIVQSNIPKFK >Et_4A_033801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2687492:2688155:-1 gene:Et_4A_033801 transcript:Et_4A_033801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVTSESGDRNRSGAARTSLISLVSITAKASAGTFESLSLESTNCFIVAAFSSAPLSARQHASAEAVSDQLQELGLEAVVVGVMREAPRR >Et_5A_042733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3171690:3173077:-1 gene:Et_5A_042733 transcript:Et_5A_042733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDQEKSTNPGAVEVIAEDDAAFIKRVTEKQVAFCRKVLEQDYSRIYITEDMIPYYPFGAEEARELNDSARKAVEGFKEHAALLVKEYEEKGFIVGFPPPPATSKAHYRY >Et_1B_013293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7038818:7041097:-1 gene:Et_1B_013293 transcript:Et_1B_013293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIRLAVSVLLILTAAELAAATRSPTAFVQNAIYSNRITIFSKTYCPYSIRAKRIFRDLKEDPYVVELDLREDGREIQSVLLDLVGRHTVPQVFVNGQHVGGSDDTANAQSNGQLQKLLGKTQSQ >Et_4A_035238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13972947:13976007:-1 gene:Et_4A_035238 transcript:Et_4A_035238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVAAVASVAPPPPRRPAALRSVHIPRAGTLSLTVPRCSQSPSAPAAEAPPPAKPKPQRYPKQYPGEAVGVAEEMRFVAMRIRNPKRTTIKDKEGEDAGAGGETSEEGEDDDVNKEHADEEEGEVVEGDWVPSIEGFVKYLVDSRLVFDTIERIVAESTDVAYVYFRKSGLERSESIAKDLEWFREQGIAIPEPSPFGTTYAAYLTELAESNAPAFLSHYYNIYFAHTTGGVAIGNKISQKILEGRELEFYKWDTDVELLLKDAREKLNELSKHWTRKDRNLCLKEAGKCFQQLGKMVRLIIS >Et_6A_048084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5603050:5603483:1 gene:Et_6A_048084 transcript:Et_6A_048084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELDLKEDVTNEEAVLGHPAVEHVGIMEHHRPTCSTCGRLGTTYSSATSSFKYSLVVAPLCLVMEGEYQTCQLMNTSAKVFFDTGDTRFVLDCPGLFYFIGFEHINCVRG >Et_3B_028878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2045926:2050587:1 gene:Et_3B_028878 transcript:Et_3B_028878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATATEASAPAASGGVARRNHPFPWLDAAISEPFYFLHLVAFFSYFGARSAALSAADGSELHGRLLRREIQAVLVFLVLFVVKMVKEETWETFIADSLLYAKGLLLAVTLDIDYWLALCYFLGFVVIYAVSQQPPCDDLGHSNHLTPLQLESLLTEEPTTRFWLVEFRTSFSATCIQASSVLPELSNIYSNKNISFGIIDLGHFPNAAAKFGISMWDHLPTYILFDKTTEVARFPEITSESKVFVPKVTKVIFITHYSYGLDKCFTFRLFCGSTYVVLHLHSFENYFGQSALQ >Et_2B_019771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13852437:13858120:-1 gene:Et_2B_019771 transcript:Et_2B_019771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARVSSLSPPRLLASPLLRSFLRPFHSSVSSVRRSAPRSMSVTAALSNASPSPNVVTEGGAMEAPRSGHRRTWKPTCLYYTQGKCTMMNDTLHLEKFNHNLMMDLPVNTSATEKVKVQKVDYFLILDLEGKVEILEFPVVMINAQSMEFIDSFHRFVRPTAMSEQRIKEYIEGKYGKFGVDRVWHDTAKPFKEVLQEFEDWMENHNLWSKEQGGSLNSAAFVTCGNWDLKTKVPEQCRVSKIKLPTYFMEWINLKDIYLNFYNRRATGMMTMMKQLQMPIVGSHHLGMDDSKNIARVVQRMLADGAVIQITAKRQSATSDVKFLFENRIR >Et_1A_004572.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:18976120:18976482:1 gene:Et_1A_004572 transcript:Et_1A_004572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SIKVEIGDGSSALFWIDTCLDGKSIQLSFPHLFSAVRNHATKSRTVKSTLHLRLDHGYQGNTLHFGYTRVSMPLGPSEFGSANSGPPRQVHLEVEGLGYLLGGKCISDILYWTNSYPGSK >Et_2B_019833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14320681:14324601:-1 gene:Et_2B_019833 transcript:Et_2B_019833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEEEDEEMGVKDKEDEDDDGEEDDGGYYYMAAAAGPAAVGVAAPPGPVAAAGGGGRRRAREEKERTKLRERQRRAITGRILAGLRQHGNYNLRVRADINEVIAALAREAGWVVLPDGTTFPSSTSSQQPACRPVMVTAAPPCTPLAFPTSPAPPLRGVAPVAARPISHRPAPGALRSTSLLSPPCAAAAVSRPADVPDDDESPLLAVPVPMDPATDGLVAKKVAEVAPRPPERDFAGTPYVPVYVMLPLNVVSATGEVVDADELVGQLRVLKAAGVDGVMVDCWWGIVEANRPQEYNWTGYKRLFQMIRELKLKLQVVMSFHECGGNVGDDVNIPLPHWVTEIGRSNPDIYFTDREGRRNTECLSWGIDKERVLQGRTAVEVYFDYMRSFRVEFDEYFEDGIISEIEVGLGACGELRYPSYPAKHGWKYPGIGEFQCYDRYLQKNLRKAAEARGHTIWARGPDNAGHYNSEPNQTGFFCDGGDYDSYYGRFFLNWYSQTLVDHADRVLMLARLAFEGQNIAVKVSGIHWWYKTASHAAELTAGFYNPCNRDGYAPIAAVLKKYDAALNFTCVELRTMDQHEAYPEAFADPEGLVWQVLNDAWDAGLQVASENALPCYDREGFNKILENAKPRNDPDGRHLFGFTYLRLSSVLFERPNFFEFERFVKRMHGEAVLDLQV >Et_1B_013029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4935612:4937185:-1 gene:Et_1B_013029 transcript:Et_1B_013029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CNHLEGYELYIIKPKAHALVTRNKCAACFRQFNRMDHLVEHMRVSYHSVHEPKCGVCKKHCRSFESLREHLIGKDQACSPGKEDGSLHLNQRPLPKAECARVFSVRGCSICLNIFDSNVAVRYHRASCQYSRAAPSKLEANIALLRSFQMPRGAISGRAVALAYKMVGGGNDGSVDLIARVCLIGEDENIVFQTYVKPAAPVTNYRYEVTGIRPEYLRDAMPLKVVQRRIQDILCNGESLWKLRPRSFGRAKILVGHDLERLGLEYPAFMIRDTAKYPPLMKTSKLSNSLKYLTQAYLGYDIHTGIQDPYEDCVAAMRLYIRMRSQPHPKDYASGSGEGQNNYQAWRQRDLERMSPEELLALSASDYYCWCLDS >Et_4A_033798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2734583:2737658:1 gene:Et_4A_033798 transcript:Et_4A_033798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWDNVGDVANIVQLTGLDATRLIALIVKAASTARLHKRNCRRFAQHLKLIGGLLEQLRVSELRKYPETREPLEQLEDALRRGYLLVNSCQDRSYLYLLAMGWNIVYQFRKAQSEIDNYLRLVPLITLVDNARIRDRLDSIERDQCEYSFDEEDKKVQDALLNPDPCTNPTIVLKKTLSCSYPNLPFNEALRKESEKLQVELQRSQSTMDMGSCEVIQHLIGVTKTVASTIPEEGTNDKVSGKKDSKYTEYNEESAKSYADDDYPKKQKDTNSAPRCSSPVSYRHDGSQRDEWHADLLGCCSEPALSSSEACNDIMAYSLILSCCCYTCCVRRKLRQKLNIAGGCLDDFLSHLMCCCCALVQEWREVEIRGAYGDKTATIPPPSQYMEH >Et_1B_013954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25323990:25326743:-1 gene:Et_1B_013954 transcript:Et_1B_013954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGDELKLLGMWASPFVLRAKLSLSFKGLSYEYVEEDIFGIKSELLLKSNPVHKKVPVLIHNGKAICESQIIVQYIDQVFTGTGPSLLPANPYDRAMARFWASYIDDKLFSSFMTTIKGKTDEEKAEGRKQLFVAAETLEGALKECSKGKPFFSGDNVGYLDITLGGFVAWVHMMDRLFGSELFDADKTPLLAAWLERFGALDAAKAIMPDVEKLVELVKIIQAQGDELKLLGMRASPFVLRTRLALSFKGLSYEYVEEEIFGNKSELLPMSNPPVLIHNGKAICESQIIVQYIDEVFSGTGPSILPADPYDRAVAGFWATYIDDKLFSSFMTMIKGKTSEEKAEGRKQSFAVAEILEGALKEHSKGKPFFGGDSVGYLDIVLGGFVAWVHTRDILSGSKQFDANKTPLLAAWLERFCALDTTKAVMPDVEKLVELSKMRQARDDAAAATVSQTS >Et_4A_034082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29879437:29883523:-1 gene:Et_4A_034082 transcript:Et_4A_034082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPAAATMRSGKPSKKRKQPVPAPPESDSESEESEQEEGIESEDEEEVKETVKKEKSEKKKKEEKKEKEAKKEKKKKEGTGILTNKLFSELPISELTANAIKEMNYTHLTQIQARSIPHLLEGKDVMGAAKTGSGKTLAFLIPAIELLYHLHFSSRNGTGVIVVCPTRELAIQTYNVAKELMKHHSQTLGYVIGGNNRRSEADQLAKGVNLLVATPGRLLDHLQNTKGFIYKRLKCLVIDEADRILEQNFEEDMKQIFKRLPQVEKFAKLSFEKNEESKEKPVYVGVDDDNSKATVEGLQQGYCVIPSEKRFLVLYAFLKKKQSKKIMVFFSSCSSVKFHAELLNFLQIECADIHGKQKQQKRTTTFFNFCKAEKGILLCTNVAARGLDIPDVDYIVQYDPPDEPKDYIHRVGRTARGDKGKGSALLFLLPQELRFLIYLKAARVTLTEYEFSEKHVPNLQSHLENIVGENYFLNQSAKEAYRSYILAYNSHSMKDIFNIHQLDLKNVAASFCFRNPPKVNLDLESSASKHRKKMRKVDGGKRHGIGPSNPYGRKDRDGGDKRQFARF >Et_3A_023298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19030158:19033620:1 gene:Et_3A_023298 transcript:Et_3A_023298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRFFLPLKLTNPPKSKSQWLTPPPTSVHHNKEPYSIHRRLPRFWRLCNLQPPPLLRPLFRDVHGGRWRSAAGAAPADGASAGRGGGGRARPRAQGRAGHVERVRGGGLRRAAEAHPHRAAGPEPAVARAARVRGARPGRHARRGARRLALPRPPLQPVRRRRRRWEWEEPLPGARPHLRVPVLAARGGGDRLLPAREAQPAELDPRRGRAQDLLLRRAGRAAASAAARGEAAGAAAARQRAAAGGPAGAAEGAPRDARAHRGRRRGAAAAGAAAPRHHRGGSAHAPPADDARTTADVRSARANAAAADAPAAGAWSSRAAPGFASGAGGRRWRRPVPSRGAEDADGVEHGARPHRAPPERRHGRPGPGLLRHVATRPLRAVRVHGRGGGAGAAVDPGVPFDVRVKIQLGFQSARTRRSVASSSGSAFAWSEDLMFVASEPLDDTLVVLVEDRSMIKEPSLLGHATIPVSSVEQRLDERQIVASRWFSLEGSGPPGGPPGFYSGRLHLRLCLEGGYHVLDEAAHVCSDYRPTAKQLWRPPVGVLELGIIGACGLLPMKTKGGGAKGSTDAYCVAKYGKKWVRTRTVTDSLSPRWNEQYTWQVYDPCTVLTVAVFDNCGGGGEQDRQDYRIGKVRLRVSTLEANRAYTASYPLLVLLRSGLKKMGEVQLAVRFASPQQLPDTWATYTSPLLPRMHYLRPIGVAQQEALRGAAVRTVAAWLARAEPPLGPEVVRHVLDADAHAWSVRRAKANWFRVMGVLAWAVGLARWLDGVRRWRSPSTTVLVHALYLALVWYPELAVPTASLYVFMIGVWYYRFRPRGPAGMDARLSQADTVDGDELEEEFDPVPPPDVLRVRYERLRTLAGRVQRVMGDVAAQGERLQALVSWRDPRASRIFVGVCLAVAVALYAMPPKMVAVAGGFYYLRHPMFRDPMPPAAVNFFRRLPSLSDRLL >Et_6B_048390.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18079741:18079824:-1 gene:Et_6B_048390 transcript:Et_6B_048390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFILWIGFYLHVYDSLACAQDRCFV >Et_7A_052875.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:22445414:22446160:1 gene:Et_7A_052875 transcript:Et_7A_052875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPYNGNNMDDDLRSTWSHRSWTLAVSSGILPSLTTSVFLAASSGSFASLAGAACAAFAVYSFADLATGLYHWAIDNYGDAATPLFGAQIQAFQGHHRRPYGIVRRELCNNLHVAAQAAAVALPAADAALYAAGVPAAAHVFADVLAACLVLSQQFHAWAHERPRRLPRAVAAMQAAGVLLSREQHAGHHRPPYDANYCIISGMWNGVLDGFKVFRGLEKVIYLCTYRHPAAVMGQTGRQVDGARYR >Et_3B_029578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26125761:26127363:1 gene:Et_3B_029578 transcript:Et_3B_029578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASEIAAVGVIGAGQMGSGIAQLAAAAGCAVLLLDADPAALSRAVAFISASLRRLAAKGQLTQDACENSIKRIKCVSSVQELKDADLVIEAIVEVEDVKKKLFVELDKITKPSAILASNTSSISITRLASATKRPSQVIGMHFFNPPPIMKLIEIIRGADTSEEVFSAVKSFSERIGKTVICSQDYPGFIVNRILMPMINEAFWALYTGVATKEDIDTGMKLGTNHPMGPLQLADFIGLDVCLSVLRVLHNGLGDSKYSPCPLLVQYVDAGRLGKKRGIGVYSYGQSIKPKSSL >Et_7A_051245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16034653:16036153:1 gene:Et_7A_051245 transcript:Et_7A_051245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAARRWRSRGRHGSVTANVKESAAAASSDVGFAYMRDRSFHVKAAAAASRDLRVAYRTDRKTKIPCMRLTYKPLPYDATPFKTLEVFSVKVAEISGGLQWLDVFGAVALRDSSDHNRNVIFSRQRDSCQTLTKQDPYLLLTGPLRAAVVCDPVRLEASLHVRGSTKSDDKELSLLSTSFWDGRKPSASYFTLKSYTSRLSTLEFGLGLIVRSVEATVSVKVSSGSWPNGFCGRFIAFTDSLNKEILLFDSGDKEVPLAGDHVHLSRHVVSVEIFRKLRISISASDGSSTLFGEVEFKPQEASTSSKELLIDGFCLLEVTVAWSLFSFLPASEV >Et_4B_036457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25058888:25059259:1 gene:Et_4B_036457 transcript:Et_4B_036457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_6A_047963.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:26100032:26100229:-1 gene:Et_6A_047963 transcript:Et_6A_047963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWALGGAVPNGNVLPRRGRPHALLGGRSGSGIAAAATLAHILSSAAAWGVGLWATFVGGIVMFK >Et_5A_041611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2532249:2535928:1 gene:Et_5A_041611 transcript:Et_5A_041611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVLDGFDCLHPRRRRRHGGAGAAPPSKPRVTVRWLGSREKAAAPCRSGNTNGAGGGRTVTIRVATFNAAMFSMAPAVSSETAEAARAGAGAGAERGAGAGGLPPGSPGVGVGARRPKGILKVQASLARSPSKARVCINVQDNEISLERGRLWRGGTGKKQQPVPSPLQKAAMADGALLRGRRSVEDVLREAGADIIGLQNVRAEEGRGMRPLSELAEGLGMRYVFAESWAPEYGNAVLSRWPIKRWKVHRVADPSDFRNVLRATIEVPEAGEINFHCTHLDHLDEGWRMKQVDAILRSSDGPHILAGGLNALDGTDYSAERWADIVKGTCKYGTRVDYILASPSSPYKFVPGSYTVISSKGTSDHHIVRVDVTICPIKETDAETVNRKQRVVKMNKKGSRKGIWGTR >Et_2A_017780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6161477:6166280:-1 gene:Et_2A_017780 transcript:Et_2A_017780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YKLGKGSREKVQQFMTITGASEKVALQALKASDWHLEGAFDFFYSQPQISAANTKQPVADMIMVEGVSQLCSDLRVDPQDIVMLVISWHMKAATMCEFTRQEFIGGLQSFGADSIEKFREKLPSLRAELKDDYKFREIYIFTFNWAREKGQKSLSLDTAIGMWQLLFAERQWPLIDHWCEFLQVRHNKTISRDTWTQLLEFVKTIDPQLSNYDEEGAWPYLIDEFVEYLIENGFVQRKK >Et_10A_002140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23556328:23560946:-1 gene:Et_10A_002140 transcript:Et_10A_002140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEATPKATAGSKMTVLQSPIGLRSILTSLVAFFIVVSSVSLLFERGQEAQVQLAVEHRNQEMEVKVAAKQHDQQLKGKEDQSHIAVKQRNQEMEAKVASKQHDQQLRGQEAQSHIAVEHKNQEMEVNEQHDQQLLRGKEAELRWRVEVQEDSGRDSGAAEECSWSRGRWVYDNVSRPLYSGLKCSFIFPEVACDKYGRKDVMYQHWRWQPHGCDLPRFNATKLLEKLRNKRMVFVGDSVNRNQWVSLVCMVEASIPDDKLKMLIYNGSLYSFKAMEYNATIDFYWSPLLLESNSDNPIIHRVEYRIIRADRIEKHAGVWRDADVIVFNSYLWWRKQRDDMKMKVMYGSFEDGDARLDEVEMIKGFEIALKKLTEWLGENIDKNKTRIFFAGSSPTHSWASNWGGEDSNKCLNETEPIYKIGYKAATTDYSLMDMAKSNFRVLEAKGIHVQILNITELSDYRKDGHPTVFRKQYAPLTKEQIANPASYADCTHWCLPGVPDVWNEFLYGYIIVPAICIIQNIRIPNKIITTKILRAASRAGEYEADSGDGEHPGLEDGVARALDDDPEHGHSDDEGEHPFGDSTGVGIHFERGAAEHVLVLRRHQLRDGQSAAHSAGGLIKYGAYLRGEAERDGEEGWAGEAGGVEGRDAGGVEAGAAEGVAEEVEGEEEDGEEGDGDEERVVEALHTQVRRHRRRRCAQRGHAQHPQEGHHRVRSRSPHRRCHRHRRPSVPKQKQM >Et_5B_045112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9722209:9723513:1 gene:Et_5B_045112 transcript:Et_5B_045112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPKFPLNRSEFTTGRVQNTKTKSLRVKRLKQTQNSSKWPHKNKPARLFRTPTPLLPHPLIASHCGLRFVLASLASPHLHIADLRHPMEQTFIMIKPDGVQRGLIGDIISRFEKKGFYLKGKGALTSET >Et_3A_026856.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24034715:24035089:1 gene:Et_3A_026856 transcript:Et_3A_026856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAATSVDVFQCKVASAVPDDDFFSRAPSWPRPARETRSAPTPSAPRCPRSPGLNARQPPAHHRIRTRGQQHFQLITGDDAAVFVDRPRGDVRQPVAGRDEAGRPCPWGCSPRRSPPERTRSAP >Et_3B_029763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27715013:27717152:-1 gene:Et_3B_029763 transcript:Et_3B_029763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTNLKDSDTTPASGIQRVPEPPSRVQTSDTWHRQAIIKTTPDQFSLVDLLNLRTSTAATGRRILVHASSSAHVRPSALVHLGDDRVADALQLLHLVFELVHLSELVAVQPADGSINGVLNLLLVLRRELGRDLVILDGVPHVVCVVLQPVLGFHLLLQLLVLRLVFLSLLHHLLNLLLAEPALVVGDRDLVLLPGGLVLSRDVEDAIGVDVEADSDLRHATGRRRDARQLELAEEVVVLGPGALTLVHLDQDAGLVVGVGGEDLLLLGGDGGVPRDEHGHDTAGSLQAEGERRDIEQEQVLHLLIALPAEDGSLDSSAVRHGLVGVDALAQLLAVEEVLKQLLHLRDTGRTTNKHDVVHTALVHLGVAQALLDGLHALAEQVHVQLLEPSPGDRRVEVDALKQGVDLNGGLGSRRQRALGALTGSAQPAQGAGVAADVLLVLALEFLNEVIDHTVVEVLTTKVSVTSSGLHLEDTLFNGEQGHIERASTKVKDEHVLLARACGLLVKTIGNGCSSGLVDDAHHIEAGNDTCILGGLPLRVVEHTGDNKKFLALITMYQQSDATKFLDR >Et_3A_023215.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:11691509:11691706:-1 gene:Et_3A_023215 transcript:Et_3A_023215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIRDEHGVMLLSPGGSFFRRYLSVEEGEGLACFEGVRLARSTVDQEVFLLDELKLATVLFFC >Et_1B_013228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6577259:6579577:-1 gene:Et_1B_013228 transcript:Et_1B_013228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNALLRVYPSELKMPFELRKLNSCCMELFNKTDQRVAFKVKTTSPNKYAVRPASGIVPPGGSCGIVVSMRAQKEIPQDYHCKDRFLVQSTVVDDETKQKDIVPDMFRKGAGRVVEEFKLRMVYIPANPPSPVPEEAEEENESLDSDVDHEVETPSTSNAASKHGYTSGSQYSHDEAVPMISKSEDEESRYGYENQKLQEELVLLRKRVPSPGGFSALCVLFVFSLSFIVGYLMLGGKV >Et_3B_031679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7635758:7639307:-1 gene:Et_3B_031679 transcript:Et_3B_031679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVESRSLDGLVAVLRGGAGMTSEREALRCLSSTDCDLSQLLLPPHRGNTETNRDSSFAAAARAAKHPQHAAFGSFLVSLSHDHEKLSRMRCLLPGRIISDAQWDLLNTIIREASESLRSREVSESAQNKAEGLSPWTLEQTIQ >Et_4A_035779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5777775:5783592:1 gene:Et_4A_035779 transcript:Et_4A_035779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSVMEKHLSSVYQRSNFYVMISSCNFILLRTVTITWSGLMIYTIITKTSLSRVLRRSSRPLAAEVERSQPRSSSTRRRAKGTSDSGAGSRPGPAATTLSEDPSELLERAVVAEVGDVGAEVVDGRAGADGLELPQRLEAGGDVVEPDGVEAEGDGAVEQRARVLLHEADEQVERSPVTGAAVPPPARGADIGIATDG >Et_1B_009915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10827326:10828234:-1 gene:Et_1B_009915 transcript:Et_1B_009915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKSGDLKVKGEPPLVVDDAVAPPVAEAEKVKADVVPPATEADSADVSRRRSLSVLLKEDAEASDGEAGQEVEKVVTVDTAVATEAEAAKAPQQVAVEPTVATKEQDTAEQLTDDPKAGGNAEAAEEEKQVDPDSVQVAVAAAPTPSAEESKAADGDGTSA >Et_7B_054965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:683330:688771:-1 gene:Et_7B_054965 transcript:Et_7B_054965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVMVVARNFMDMVAALPREKLLMLYDSVFICEAVLRSLPPLAKKYVLQMLYVSAPVAASAMEEWVLDEYATKHKVAIDRLLQLRVFDEERSGRKVSYRMNEKFQGNMQKYLFKGGSLPSQPLQPDVTGRLPTLAELEDYALEQWECFLLQLINSSQVEKGTSFSPAMMRTFQRGLLSSREGEVPKLTENGFQFLLMETNAQLWYIMREYISSAEERGVDPSELISFLLELSFHTQGAAYSLTPLTPVKKSAIKDLASLGLVKLQQGRKDSWFIPTKLATNLSASLSDTSSSKEGFVVVETNFRMYAYSTSKLHCEILRLFSRVEYQLPNLIAGAITKESIYGAFENGITAEQIISFLQQNAHPRVADKIPTVPENVTDQIRLWETDRNRVEMVPSHLYEDFPSRELFEQCCDHARDNGYLLWEDPKKMRLIVRGEFHPEMREFLRRQR >Et_9B_063864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18203363:18204253:1 gene:Et_9B_063864 transcript:Et_9B_063864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCASKKCDEPPVAAGAAARRRSEARDPPPQPPEEETVKEVLSETPKAKPRPRPRRVAAASVGKEAAEAAATKAKPRNRAVRAASVCEERSEAASESSAATTAARPERSPAKAARRRGAASGELVARARRDRGASPSPPPPPRRRDPGERPARRSPSPAAKRTPPDQRRVGAGAGAAASVSSTSSGTQRKPPVPPRPCGRASPRRAQHEAPAPPTQSRGPTRSSSPAPSMQPGVPAQAPPHGNAAAPGGDGEGNESLENPSVAMECFIFL >Et_7A_052841.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1964544:1966253:1 gene:Et_7A_052841 transcript:Et_7A_052841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADDVSAQATAIARRLASCNAGTRELSVRYLLSDFLPASAARLSASDLLKLWKGLFFCFWHADKPLYQSNVATRLASAVSAAPSPAAGAAFLTAYLTTLRREWAHIDVHRLDKFYLLNRRFLNHAFLLLSANSFAPDITSQITSILSDKALLPEADNVVTGSSRGLGYHVADAFVDELLPVLPVSLQTMDALLAPFFAVLEKSSDRVMVTKVKTSVFDRFLESGKQLLQMLKNGEEVEKGSAEEKLGKVGLLFGFSKRFFDIGAKTETVQANRKVVFGLRDAFVTVEKGLELSGIEIHVPEFEAAVFPVAATVDCDMDLGEAKVEKKKKKAKKSALAEGEKEGAKASKHEKKAKKEKKEKKEKKKEKKKKKNVEVDDVEDVIEQSIDDPAEDQQMGDGSDGITFNEELMSNLQKQFEKAAAEAGMVNGGSSSIASPVNPVNGKDAKKRKRSKSADRSSEASDGDNGSNGNPVAQDGEKSGKKVRFSMKNNLVWKPHNPLPPQSLRVPPSATPRGSALKKGVQPGPIKETPTPLKKTKPKAKSAKKVLKKKPSSAVKRLRKLQSFSA >Et_1A_007079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30686928:30689154:-1 gene:Et_1A_007079 transcript:Et_1A_007079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAKRDQRRTWRLWRWLVVSCWILLAETAAVGGGGGGAAAEVTELEVEELRSERRGLLALRDTLRSALDLHSNWTGPPCHGARSRWRGVSCDADGRVVGVSLDRAQLTGALPPGDALRGVSRLAVLSLRGNALRGALPALEGLTRLRVVDLSSNRFSGPIPRGYAASLRDLERLELQDNLLNGTVPAFAQQRLAVFNVSYNFLQGEVPATAALRRFPASAFGHNLRLCGKAVHAACPETPPLPFTPAAAAGSSGGGGGGSSPAVKPGDDDGERAARFRLATWSVVVIALIAALVPFAAALIFLHHKKRSREVRLGGRPAAAAGGGAGDIKDKAAAEQGRGSGSRSTGSGGKSVELQFFRPDSAAGGFDLDELFRSTAEMLGKGRLGMTYRVTLVAGPAVVVVKRLRNMAHVPRREFDHTMQLLGKLRHENVVGLVACYYSKEEKLAVYEHVPGCSLFQLLHENRGEGRTPLPWPARLSIAKGMARGLAYLHQSLPYFHRPPHGNLKSSNVLVSFSATTNANQQKQKQAVAKLTDYGFHPLLPHHAHRLAAAKCPEFARGGGGRRLSSRADVYCLGVVLLELVTGKVPVEEDGDLAEWARLALSHEWSTDILDVEIVGDRGRHGDMLRLTEVALLCAAVEPERRPKVQDVVRMVEEIAAGSGESPQPAGL >Et_10B_002858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12610930:12614598:-1 gene:Et_10B_002858 transcript:Et_10B_002858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGLFDESSKRLEFGEISDEENEMENLERRLIDESVKPIPLSYAFLKSVTSDFSQVIGSGGFGVVYMGNFRNVKVAVKMLFRTDEFSEKQFEDEVICLTRAKHKNIVKLLGYCSDTSRKLVNYSGRYVFADLRRRYLCFEYIPNNLHDYLKDESHGNKWDICYQLIEEICQGLQYLHDEEKINHLDLKPENILLDVDMVPKITDFGLSRRFSGEQSRIITEHIRGTLGYLAPEYLNHGEISFKSDIYSLGIMIRKLIRGSNDLSDFDDWQKSLDSEDPQMKRCLEIAQLCVDWDQRKRPTIGRIVDILREKKDVIQMVPHFSGNSTYNSGSSLDQVSPQSVPRYPKFCECCKLVDLWV >Et_4A_034411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32367441:32377025:1 gene:Et_4A_034411 transcript:Et_4A_034411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAMGLLLSLSHRLFMSKQEARILMLGLDAAGKTTILYKLKIGEVVSTVATIGRYLQMTPFPLNISFYVPQTYVRSLDRLALDRRSRNGDMGMAMGRLLNLSELSLSLRQLLGWKQEMQILFLGLGFAGKTTIINKLKLGKVVGTTPTIGFNLEKFEYNNISFIAFDIGRGPEETRNATMLVFANKQDLPNAMSEEEIAEKLGLNAICHRRWPKEMLTSR >Et_2A_014924.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:2238219:2238332:-1 gene:Et_2A_014924 transcript:Et_2A_014924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ISRLSGLSDFSEVLGTTHFLYPNFAIAITRLSILGTF >Et_1A_008168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4923396:4926004:-1 gene:Et_1A_008168 transcript:Et_1A_008168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCGICGHYHKYEEGEVCGVCGHRLKPAEEEAPAARIHESAFPTEVLKDFLFLGSYDNATRAEVLKTLSITHILNTVPACQNLYKNSFTYHNIQRDKPLDFDEANQFLEQCERERSRVLVHCMTGKSRSAAFVAAFLMKSRGWRLAQCFQWVKDRRPQVRLTDAFQQELLEYEQKLFGPSAQSSVPTESFASLGFGFPQPAGDIQAPTFNQMPSIFERVNPSNVPANFTFGGERTLVVNPQDKNNNGAVNPTSTDNLMMDGS >Et_2B_020891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24641471:24642149:1 gene:Et_2B_020891 transcript:Et_2B_020891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAAAVLLVAAVLGLVSSACRADQGTATYYTVYTPSACYGFQDQGTMIAAASDALWAGGAACGRMYTVSCAGGTNATPNPCKGGSVTVKIVDRCPSPGCQATLDLSQEAFNAIGNLDAGKILINYNQYAHRSLDPPVYLFFFLASHIRNQEARTLLFLSLLTYILHVVLFAGCKRAAASAAFMITQMAIP >Et_7A_051767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24322774:24327568:1 gene:Et_7A_051767 transcript:Et_7A_051767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGVNMPGGDGNPAAAAAGGGPECRFRRRRRLSPPPVAAATAGSSGGDPDPDAGAGPSRRDRARRPPSSSEEGSMYSSSVYEEETEEEEELPPMAPVGLALPAPGPEVSPVAFGSVALAGRMREMEDTISLHPSFYTWVDGTAMHFFAVFDGHGGTHVSALCRDQMHVILAEELAAEASSSWSEEASWRSALSRAFARVDSLAALACACGQATVPRCACARSGITSAIVGSTAVVAVLAGARVVVANCGDSRAVLCRGPAGAPPVLLSHDHKPDRPDELARIESVGGQVINLNGPRVRGILAMSRALGDRFLRPEVISEPEITITQRTEADQCLILASDGMWDAISNETACAVARQCAAAAANAAPGADGQGPEDVCQATAAILARLALGRGSPDNVSVVIVDLQNRAG >Et_5A_042113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6400469:6402896:-1 gene:Et_5A_042113 transcript:Et_5A_042113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLQPISGRATQAQHHLNLQYQHHYEKASSYQHCNFHLSKGNCKPTMRTIPLLVAGAIAIYLITTPTTAGPIDNINDEFFQDLGSWAVMEHDKQANDGIKFNKVVSGVETFPGLGYNFDFIIDALDRDGKDGKYEAKVVYTNSLLI >Et_3A_023714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11229809:11237029:1 gene:Et_3A_023714 transcript:Et_3A_023714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQGEPNDQMVARALSRKSREKSILSLIESFTPEQVDEHIDSLRRSVGKSKDKPETSCQLCKVEELYFDPLPMHFSLCGAAIKLNVPYYTVSTGDAYYDFCNRCYNESHGETIGVECLLFLKAVLKQKINNEKNEEWERQNRASVAGQSFEESMLCTQTKVTGAEDFMVRVVASVDKKLEVKPRFLETFQKDNYPTEFPYKSKAIFLFQKIEGVEVWFFGMYVQEFGADCSLPNQWCVYLSCLDYVKYFKPEIKTVSGEDLGAFVCHEILDGRDCMKVSGGILPPFEGAPVHNLTVKITCFIATLSYKVLQILTNSIDNFSLTHKYLSMLQKATEEEIVIGLTNIYDHFFITIGECNAKVTATHLQYFDGDYWSRAAEDMIIQLRHEDVHNNIKCTTDNVTTKGDIKVTGHTAIIRNTSMDAMLMQKLGEYPMKKDFIISLSYPYSVWKTLDLPSMCSNAEPLFEERERHTSGTRHTHMLQPVDIVGVPDDTLDRDGILQSDFLTPVETSSVCHGNRYEYDTLRHAKHSSMMVLFHLHNPTAKPVTTCSFCRCYDSEFGQGWKCQVCPGFDVCMDCWVNGANDHLHKLLNHPSIVDHDVQNMEACQLLVVHELWQMLDLVVHVSTCRSGSCQYPDCQKFQGLFDHATQCQTQASGGCAHCKKIWHMLDLHARACKDSDCKVPRCRSQEVFFAPKEKEMDAGSNQ >Et_2B_021623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3698214:3701653:1 gene:Et_2B_021623 transcript:Et_2B_021623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILSDIIPPPRRVSAGHLWPEKKKQRKAGEGRKRRPRRGDDEELLKEESDEDFEADFEEFEVESGQSELESDDDVKPVAASRSGVARDGLNSTPAGADGAAARSAKRKRKNQFRGIRRRPWGKWAAEIRDPRKGVRVWLGTYNSPEEAARAYDVEARRIRGKKAKVNFPDEAPVASEKCRALPTSMKVPNLDTEEKPIIKPIVNNMTNSNAFSYPHVLVVQPQNMSFVPTANSVAPFQEPFMNLSSDQGSNSFSCSDFRRENDTRTPDITSVLATIPTLTQDESAFLQNTSNAVAPPVMENASVDPSDLEPYMDFLMDGGSDESINTLSSCDGCQDVLSNMDLWTFEDMPFLGGINILEMKCSCQDNYMANVEDYGEFPIPHLPSKMHQTAQITV >Et_3A_025563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30807349:30810551:1 gene:Et_3A_025563 transcript:Et_3A_025563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAKDNGLGEPETPSSTPMATPMSTRSVKWDEAAGALERPLLRQRGTNTTSQIAVVGANTCPIESLDYEYISAFIFGSILGVSAGFVLGKEGPMVHTGACVASMLGQGGSRKYGLDWNWIRYFKNDLDRRDLITCGAAAGVAAAFRAPVGGVLFALEEVTSWWRSALLWRTFSTTAVVAMVLRALIDYCRSGNCGLFGKGGLIMFDLSSRLPTYTTTDLAAVILLGILGGLLGALFNYLVDRILRVYGLLNEKGARYKIVLTVAISVITSCCSFGLPWLVACVPCPAELADTCPSIGRSGNYKKFQCPDGSYNALASLFLNTNDDAIRNLFSAGTDGEFGVSTLLTFFTAVYSLGLLTYGVAVPSGLFIPVILSGASFGRLVGTLLGSHSALDTGLFALLGAASFLGGTMRMTVSVCVILLELTNDLLLLPLIMLVLLIAKTVADCFNKGVYEQIVRMKGLPYLEAHPEPCMRSLVAGDVVSGPLITFSSVERVGNVVNTLRRTGHNGFPVMEEPPFSPAPELCGLVLRSHLLVLLQGRIFTRDRVKTGASQVFRTLAPFDFAKAGSGKGLKVDGLDLTEEEMSMYVDLHPITNRSPYTVVENMSLAKAAMLFRSLGLRHMCVVPRTQGRWPVVGILTRHDFMPQYIRDLFPNVLPR >Et_2A_017737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5662660:5663966:-1 gene:Et_2A_017737 transcript:Et_2A_017737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRKRSRNSGSSPSSSNPAMRRTTSLSELAPPARAARGASAAPAPAGTGSGAVFSNMHRRHSLDCFPVTETAAFLKVCGLCNRNLGPGRDTFIYMGEVAFCSHECRQKQMNLDEFMETTCFPPAGGGGSSDQSGKGSTIAAA >Et_8A_056217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16187823:16189415:1 gene:Et_8A_056217 transcript:Et_8A_056217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFAELLLPSLVFLLALYFYIKPRRARNQLLPKHWPLVGFLPSIAANRHNFHDFVAAALAATEHNYKMQGPPGTNLRFLLTCDPTNVRHIFTKTFANYPKGDEFAAVFAVLGGTIFTADGEAWRQQRAKIQHVLTRPGLLGAMSRGCRDKVARGLVPRLSRMAAAGTPFDVADVLGRLVFDLTVMLVFGEDPGCLSVSVEGEPPAPVPVAEALDAVMEVAFFRHTVPMWMWKLMRRLNVGPERNFAVAESVLQGFVAEAIVRRRRRMATDDDHIHDADYLTDSSSREEPTGFLHRTFVNFLVALRDPVGAALPWFVYHLATNPGAVSGIRKELAPIAARKSADANSLAEVVVFDPALFESLRLHPPGPIERKTVLADDVLPSGHRVRAGETVMVSVYAMGRMESVWGLDCREYRPERWIDGMAGLRHVPSYEFAAFNTGPRSCPGKSIAVAQMACVAAAVVWNFDVEVVEGHAVRPKLSVVMQMKNGLMLNVKKREA >Et_6A_046610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18106136:18110049:1 gene:Et_6A_046610 transcript:Et_6A_046610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILATMVVGPLVSMVKEKASSYLLEQYNVMEGMEEQHEVLKRKLPAILDVITDAEMQATEHREGAKAWLEALRKVSYQANDVFDEFKYEALRRKAKKEGQYRKLGMHVIKLFPTHNRIVFRYRMGKRLRMILKAFEVLVAEMNAFRFKFRPQQQLSMKWRQTDSNIIDPMEIASMSRADDKQKVVGTLLGQGSIADLTILPIIGMGGLGKTTLAQLVYNDPEIRKHFQLLLWVCVSDNFDVDLVAKSIVEAAAKETKSGSITDEKSPLDSLRRVVSGKSQWEKLKSCLQHGGMGSSVLTTTRDEAIAQIMGTTEAYKLNLLDERFIRQIIRAKAFSSKEESPTDERVEKVGDMAKRCAGSPLAATALGSLLRSKPSKRSPAFQTLLCTGYQYHELQYLSKYNSIRALRLFKGCPSLKPKYLHHLRYLDLSKSDMKALPEDISILYHLETLNLSGCYNLAQLPKGMKYLTALRHLYTHGCPKLKMMPPELRCLTLLRTLTCFVAGTGSSCSDVGELQLLDLGGQLEVRQLENVTESDAQAANLGNKKNITELTLSWTNPNRDPQHHDKVLEALKPHDGIKCLKIYSYGGGTYPTWTDTLQHMVEVVLSDCYNIEKLPPLWRLPDLQVLYISGMKNLRFLFGGDPPFTFSKLKDLGLYRMPNLEAWWGTNEVQGHGPLFPMVEKLSLWECGKLDGLPRASMITESSGGVNTEWSSALPALKELELEKLPSFQRWVAVEGTPEEQVTTFPWLEKLVINKCPQLSTLPEAPRLSVLRIGGGSQQIFLHATSNITSLSTFWFSIGETPLPTDPSWIKMVDDKEKWIFESPSPIRVVAFSGCHFLFPHSGAPVIWNYFLQLEGLHITECDALVHWPENVFQRLVSLRKLTIYWCRKLTGRMQASEQSMQGLSLLPPHLEYLSLDWCDSLKEVPVLPASLRELIISNCHQLTSVVLRKQQNTRLGSGDAVLRTEETSTMIEGSCSQATTSTAVPNLSSSSNSGPGSCVFGFARNSSLYQYKRMGCTALSIRNCYRLKSLDGFQSLERLTLFYCDRLESIPNGPRAYFSLRYLSIRSCPGLKALPSCLMQRLNDLEDTDIDECYK >Et_4B_036335.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:18443234:18443296:-1 gene:Et_4B_036335 transcript:Et_4B_036335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGVRKISGGLKHSLLLTV >Et_5A_042081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6039304:6040430:1 gene:Et_5A_042081 transcript:Et_5A_042081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGGAAAAAAAAGAAGRTPTWKERENNKRRERRRRAIAAKIFTGLRALGNYKLPKHCDNNEVLKALCREAGWVVEEDGTTYRKGCRPPPGAGLSSAGMSPCSSSQLLSAPSSSFPSPVPSYHASPATSGFASPTRQLDSGNGAAPTAACLLPFLRGALPNLPPLRVSSTAPVTPPLSSPTASRGPPVKVRKPDVVCGWDAAVVVDPFRHPFFAVSAPASPTRARRRQHPDTIPECDESEVSTVDSGRWISFQMAAAANTAPASPTYNLVNSGGASASNSMELDGAAPAEFEFDKGSRVVTPWEGERIHEVAAEELELTLGVGAK >Et_4A_033566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24463723:24468942:-1 gene:Et_4A_033566 transcript:Et_4A_033566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWGRKQSSSSSAAASPSSGSGSGSRGRSPRLDRRNAVKHYDYEVGAGAAAAASAFASWSSSSSAEQRSPGLRPSRSLDLAPGAPGADFRISGSAEGEVDELCRSLGLSGPEDFAIPLDAWEARKSRSNSDLLPRSRHAPTPAADEFSPVVRSVSAPEVQLQQPLSVPAPIPEESLHSSSTSTATESADEPTVAPPEESPKADTAVAVVATAPGLPLPSPRRGGGEVGIRGTRPPLLSPPPPITALAPPPARKSFLADDMTGSAWDIVQSFAPREEKNELGQAYDRTDACSVSDAEEEEEVEDGSASVGGELKELRIGETFEGFTGTSSLSTTNDDDASSTTTEAMFIISPNGKFKRKIKSWMRGALLGSGSFGMVYEGISDEGAFFAVKEVSLLDQGSNAQQSILALEQEIALLSQFEHENIVQYYGTDKEDSKLYIFIELVTQGSLSSLYQKYKLRESQVSAYTRQILNGLVYLHERNVVHRDIKCANILVHANGSVKLADFGLAKEMSKINMLRSCKGSVYWMAPEVINPKKTYGPSADIWSLGCTTNAFFLIGKGERPPIPNYLSKEAQDFIGQCVRVDPDQRPSAAQLLEHPFVNRPLRASFESSSPPAIRL >Et_8B_060742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5970079:5973359:1 gene:Et_8B_060742 transcript:Et_8B_060742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASRVARALPNPTSASSSSAAAALLSSASPIPVHRFLQLHAHLLRTGLLTVSSAAATSFLCLAAASLPSPRALAVLDHHLTPASLPSTFRCNSILAALSDPHDAFRFLRRMRELGRRGNAFTLAILLKPRCTLAHARQLHANVVAEGHLRDALLATALMRSYAAWGDGDGARRLFDEMSVKDTVAWNVLITCYTRNRRTKDALKLFEEIRGRESEAEPDDVTCILLLQACTSLGALDFGEQVWAYAEEHGYGSELKVRNSLIAMYSRCGCVDKAYQVFCETPRKSVVTWSAMISGLAANGFGKDAISAFEEMIRSDVAPDEQTFTGVLSACSHSGLVDEGFRFFDMMRYEYGLAPNVRHYGCIVDLMGRAGLLDEAYELVTKEMRVAPDATIWRTLLGACRVRGHVDLGERVINHIIELKALQAGDYILLLNTYAAVGDWNKVSDVRKLMKERGIQTTPGCTTVEHNGEVHEFVADDDKHPRKAEIYEKLSEINKHLRIAGYIPNISSELHDLDSEGKESALTYHSEKLAIAFALLVTPQRRPIRLAKNLRVCVDCHNFTKLFSGVYNRLVIVRDRTRFHHFEGATDPSPTFTSMMS >Et_8B_059519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18770004:18773103:-1 gene:Et_8B_059519 transcript:Et_8B_059519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGTTSSPFPLHGGLLLLLPLLTITSAASSAPLPLLALLSLKSSLTDPAGALRPWTYASAASSGATRSLAPPWCAWPGVACDANTGDIVGLDLSRRNLSGTVSPTAARLLAPTLTSLNLSGNAFAGEFPPAVLLLRRLESLDVSHNFFNGTLPDGIAKLGGALAVLDAFSNCFAGPLPRSLGELRRLERLNLGGSFFTGAVPPELGNLRRLRFLHLAGNALSGRIPGSLGQVASLERLELGYNAFDDGGVPPELGALANLQYLDIAAAHLSGPLPPELGNLGKLQSLFLFKNRLAGAIPPELGGLRTLEVLDLSDNQFSDAIPETLSELSSLTTLNLFGNRLSGAVPARLGALPSLEVLQLWNNSLTGRLPATLGSSGRLVRVDVSTNFLSGAIPPRLCDGDRLARLILFGNRFDSAIPASLATCPSLWRVRLESNRLSGAIPAGFGKIGNLTYLDLSGNQLTGGVPADLVASPSLEFLNISCNPLGGNLPNVSWQAPKLQVFAASECRLEGEVPAFGAAGCANLYRLELAGNELTGRIPGDIGRCMRLVSLRLQHNKISGEIPAALAALPSITEVDLSWNALDGTVPPGFANCTTLETFDVSFNHLTPADESSSSSSWSPPGGSARHTAAMWVAAAAVAFAGMVVLAATARWMQWGEVATAALGGGGRANVVVGPWRMTAFQKLGFTADDVARCVEGSDGIVGAGSSGTVYRAKMPSGEVIAVKKLWQAQKDHPSEPTWKHDNGDANTRFLAEVEMLGQLRHRNIVRLLGWCTDGGETTLLLLYEYMPNGSLDDLLHGGKELLGWEARHRIAVGVAQGVSYLHHDCRPAVAHRDLKPSNILLDAHMEPRVADFGVAKALRGAAPMSAVAGSCGYIAPEYTYTLQVDEKSDVYSFGVVLLELLTGRRPAEPEYGEGSSIVDWVRRKVAAGGEAGLRDVMGAAMTWADDQSGEARDEMALTLRVALLCTSRSPQERPSMRDVVSMLQEAKRDRKPKKQTHKTN >Et_3B_029451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25072209:25073488:-1 gene:Et_3B_029451 transcript:Et_3B_029451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSVAARDEIAFSMRVLGHLARRNGGSNLAVSPLSIHAALVLLGAGARGSTLDQIIAVLGPAGGHAHATLASHVATSVFADASGGPTLRFANGVWIDEALRPNPDYARVVSEHYRAESSSAPFKSRPEEARSKINQWIANVTAGRIKELLPAGSVRSSTPAVLANALYFKGAWSRKFDPSSTQHGDFYVPMNGGGHVRVPFMSSTSKQHIVSRPGYKVLMLPYARGDGAAFSMYIYLPDAYDGLPSLLDHLSSDPTRLLETSSTVTSEVPVRYFGVPKFTMSSKTTASATLGAMGLTLPFDPVRADFGEMMVESPPEPLYAPEIFHECFVEVNEEGTEAAAATAVAMGFGAAAGPPPEDFVADHPFMFMIKEESSGVVVFAGQVVNPALSP >Et_5A_042545.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:227892:228482:-1 gene:Et_5A_042545 transcript:Et_5A_042545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLRLLVSLLLAFAQLSAQAPPEDRFDFDLLTETHADLYNKLSPYLRQTSTPPFDPPEVEGRYVLSPRRPDLYSEPLRWIMLHVRVGEAETTLAIAHDDLYVLGFNNSAGHRYKLSGKIGEFQGLPGSTTLAIQENYGDLLGRRGQRDAHKFLSTVPLGKQSAIGAARTLAGYKPGTTTDDEVRQAMVPVCGDDL >Et_1B_014397.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7731907:7732256:1 gene:Et_1B_014397 transcript:Et_1B_014397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARGKDRSEIGKMIKVVLNDRLGKKVRVKCNEDDTIGDLKRLVAAQTGARADKIRIQKWYNIYKDHITLADYEIHDGMGLELYYN >Et_8A_057851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8344300:8344633:-1 gene:Et_8A_057851 transcript:Et_8A_057851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAVEGLEVQDRDGRWIARRDLRARHLKGAVIGRPHFVEAVKHARRSVRDIDVIKGSSLQGGGAFEDLMPEEPKGKGKQAATEAEEEGNKADADVSMDEDLLY >Et_4A_033549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24306480:24312801:-1 gene:Et_4A_033549 transcript:Et_4A_033549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSSSSTSSPLHVVICPWLAFGHLLPCLDFAERLASRGHRVSYVSTPRNIARLPPVRLDAAPRLEFVALPLPRVEGLPEGAESTNDVPYEKFVVHWKAFDGLAAPFAEFLRAACAAEGGRPDWVLVDVFHHWAAAAALEHKVPCAVLLMGSAKLIAGFTSASSKRAASGEQQAAAQELPASEPRYESERKAKMLNYQGASGLSVIQRFSLTLERSRIVAIRSCMEWEPESVPLVPTFHGVPVVPLGLLPPSPDGARGVCMNREDATVRCLDTQPANSVVYIALGSEVPLRVEQVHEVALGLELAGTRFLWALRKPSGVLDADILPPGFDERTHGRGLVKIGWVPQISILAHRAVAAFLTHCGWSSIIEGLLFGHPLIMLPIYADQGPNARLMESKKVGMQVPRDDNDGSFDREGVTGTIRHVMLEEESRKVFVANAGKLQEIVSDNELHERCIDQFVQQLRSYKKSRQLALGLELAGTRFLWALKKPIGVAFPTRTSSVQALRSARVLTAMGVISQFTIMAHGAVGAFLMHCGWSSIIERLLFGHPLIMLSIYGDQGPNACFMEGLRVGMQVPRNENYGTFDREGVSDVVRAEPVMPEEEMRQVFVANAKKLQEIAGLRVVICPWLAFGHLLPCLDLAERLASRGHRVSYVSTPRNIARLPPVRPDAAPRLEFVALKLPLVDGLPDATGRHSTASPRPSPSSCAPRAPTRAGGPTGSSSTSSTTGPPPRPSNTSFFLLLAGFVSQSGSRGFSVPCAVLLLGAAKLIAAWASLSSAHPERAASAFGTTLRVGAEGKSDQQPRGGVRDVHLRIARADASYGVPVVPLGLLPPSPDGGRGISMNGEDATVRWLDAQPANSLVYIALGSEVPLHMEQVHEVALGLELAGTRFLWALRKPTAVLGADILPPGFEERTHGRGLVTMGWVPQISILAHCAVGAFLTHCGWSSIIEGLLFGHPLITLPIYGD >Et_3B_030561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:519937:523529:1 gene:Et_3B_030561 transcript:Et_3B_030561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKKMLLRLFCVKNKDSKKKASAPHSTVSANKSHLEPCSSGTGAVQWVQKHEPARSNFSDHHYANSSPGKATKDWVKAIQSEWNLLQKNLPASIYVRVYEQRMDLLRAAIVGPAGTPYHDGLFFFDVRFPSDYPKCPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGNGCEKWAKSNSTMLQVLVSIQGLVLNDKPYFNEPGNKNSAKTAVGEKNSLAYNQTAFILSCKTMLYSLRKPPKHFETLVACHFDERERVILESCGAYLSGTIVGSSVRNGTKYACDKCFADFTKSLAIYTEQLRNEFASNKTRVLELEREASSADEIVPAS >Et_3A_025831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33191026:33194446:1 gene:Et_3A_025831 transcript:Et_3A_025831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQFPGAGMAAETNTATATLSGYLSMASARLCPPKLWPTRTAFSPAGSAATASSSGRLYSSKDVTSSARPGLAPHAAMSSAVTRWPADSRIVATLYQHQAPWQTPCTRTKWCCHSIAELAACDVLSYLHDELGELGWLGEHWHVIGSLDRPHLHWLQESAIRRCSSTDHVLSSSVTRLTAPYLPSVKTPSPVIVLSLRKWDMRRPTNGTAAETNTATATFSGCLCMASAEAVPDEDDLLPQRHGGHGVKQRPGVVLERACGFQDGGHLVPAPRAVAEAVHQDDVPPPPLLLAALHVYLLLAIGEDIAQFPRLGVHDGVIGSGDLLYLRAGAHRDHPALHLLGAGAVVLGDHVHLLHRPVVGIREQRGVLHHRIVQELVAGPHQHLCQGQILQNTSCIDLTTNLIRVHRSSAGRRTTSSVVTPMCLPTHGNAAATNTAAAILRGNLSMASATLSPPWLWPTRTSLSPSGDAATASSSGREYSSKERTSPRRAGWAPDAARSSAVTRCPADWSAATTLYQLHAPWQRPCTRIKCLPPSISLYYTCLIGLEFAG >Et_1A_009496.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6692164:6693036:-1 gene:Et_1A_009496 transcript:Et_1A_009496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPAAVSAKKRHGGGGGAGFALGCGCKDAKSVSVSPSSSPSATTTRTSSTRRGSGAGTTTTTTETQTSASPSSLWEDAVAELRYKNDDCRLQESSDVVTTPSFSGLLRELGELEKSVASWGPRKVHSDEVEEKLSPPPVPLEHRKAKGDGKYNSFKFAKEDPFGIDGDVDAGVGLEGSVAVVKQSDDPLGDFRRSMLQLIVENGIVAGEDLREMLRRFLALNAPHHHDAILRAFAEIWDDLFVAAASIDGGATPGRAPLSRRDLVAGSGRPPVRRTPPRYRRSPPAWRV >Et_4B_039452.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:16589578:16593432:1 gene:Et_4B_039452 transcript:Et_4B_039452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPVGTTATPPLPPAVGWSASAFVAAVLARLIRKGLELLPELDDAGAGHLRRLEGLLPSVWRVLDAADAGAVDIGERPLQDLLDAAYAADDALDDVELHGAADDGDRGTAGDARRKSPSTATAGGVARKPRSPLRFLLCFSPPRSSLAGSSAHGKGSKSKSSGTVNLDTLRDALETMAQAAYRCTSLYENVEPEKNYATIVTGKTEEAEQVDDDHVYEYDDIFGREAEVERILETVRSGGDDPHYRLGVGVLAVAGDAGVGKTALARFAFDHDVVRAEFAVRMWVHVAGELRLTTRLMDQMIRGAAGRDGDLEGADDDVRELLVKEQLAGKRFLLVLDDVTDIVEAQWRDLMEVLRPAARRSLIIVTTTQSETVAAAVGTMMPALTLGPLGFKNYCRMFKHFAFGSTEQTEECTMLGEDWDDVEEEEEEEVEKDEELSPMEQIALELAKKMTRLPLPARAIGRALHFRKDDEDHWRNVLEDKIWDSQHVGGIPPALWLSYRHLDPRLKQCFAYCAVFPGNHVFRKEEVVQMWIAQGLLYSDSAGTRLEDVGGEFFGELVKRCFVQSVGKNGYVMHNSMRELAREVATSRFFMVTDSSGDVPPEARHLTITTSNLSKLKQDLAVQVSPIPDHHFLYRLRTILFFADFSDSDDFVDVLAHIFSVAKSLRVVGLPSANVTYLPPEIGLLRCLRYLDLSGNKIIDLPETACQLYHLQVMNIQSNSPSLRPPNGISGLIHLRHLRASDLFLSSIQDIHNLSDLQELDAFSVDNNTPRVNALSRMKQLRGMLRIGDLRLVDASKVPKGALKGMQQLDALQLSWSICDGQSKENSKDEDILECLQPHENLRDLRIIGYEGVKSPSWLIKTSGYLSNLTKMYLSDCRSWKSLPPLHVLPNLEILEIRNMHSIGKVSSVPQRSYQELFPKLKRLVFEGVPHCTEWTTGNSNLRSTTFPCLCELEIRNCPKMNTFPDLPLSLTVMIVENVGLELLPRIQDNEPSTEEALEATSKEGRWTSRLTTLQVHQCHTLRFLGSGVLQQQHLLKSLEMLSIKSCDNVICDIPEGFKDLTALRDISLYDCPKMLVDKFHTSVHTLEISECFVAHGAWTEDHPFLFSVWKLKITGCSHVRNRDQGNMIEPLDWLNSLLNVYSLHLENTQLLRLSMFDLLPSLEILDINGCDAFFSDLYDFAWLDRLQTLSIRNCKEMCGLPENLCTLPELEELCIENCPAIEALPGNGLPTSLKRLSISKCGSQLIDRCLDDDLDAQKIAQIDVVYIDGRCLHAKQTDM >Et_1B_010214.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30315314:30315541:-1 gene:Et_1B_010214 transcript:Et_1B_010214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSAGSTIRRMRRQHTYWLPAPTLRKYGGRCCPGLHVHSTSRTEDDRSSHGGNECGGHSRDGAAKESTRWSCL >Et_10B_003018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15437938:15456392:1 gene:Et_10B_003018 transcript:Et_10B_003018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVLSGPEARAPPDLSDHLLEEVLVRIGARTDLVHASAACKTFRRLITDPAFLCRYRGLHPPLVLGFVGLMGPIGSTVRFLPAEAPHPNAPAARAFADSARFSFDYRPACGLSGWPRYDVRDGRVLLMSQTYLRHSRFEEYSFLDAFFDPSVGNEEALFMEEAQFRVMCWATISGSWSHGTSIFFSALGLDVQPDGYPVMCGWHSYAYGCLYWDALVTNKMIKLDISSMESTIVSLPPDDENQHTIFVEAGEGRIGMFRVSYDSKRSQPLNYSIRQNENGNTNESSVETTIPLPSEYDSYHFDVAAQGYIFLVGDREDLLAGSAFFSVEIETLKVERVCEANFAMPSDLPGPEARVPPTLSNHPLEEILVQIGALADLVHASVACKTFLCLTTDPTFLRRYRSLHPPLLLGYVAPGESGVSFLPAEVPHPNAPVARAFSAAADFSLDHHNPKGRLPVQPSYDARDGRVLLMYADNRSGGVVSPDLLVYDPLTGGTRRCLQYQMTYAPPSLLRRRMNTSIFLMPSLILRWPMRKGNSGCFAGKLFLDGCVSRSWSHGTSKHFSAQGWNVHIEDNPILFRPSYAFGCLYWDFSLSNKVIKLDINSMEFTSVALPPDYEGRSLEFVEAWEGRTGMFSLFYKSKNPESLRYSIWKNENKSASERSMDTIIPLPCDYHDYDFDGAAQGYIFLTGTLKDTSERGDIVQTSAFFSVDIKTLKIERALTALSDHLLEEILLGIGTPVDLARAAAACKTFRHLVTDSTFLRRYRSLHPPMLLGFVGPLPTANFFPAAAPHPNVPPARAADFSFDHYLPHRVQPGWRRCDVHDGRVLLMFSDYDRGFVSPELAVCDPLTRGYTLLPPISNGLLASVHNQLPYRDAEFFSALFDPSAGKEESHFRMMCWRHCSVMAAVFVYSSVSGPESWYYRLGCNRLGCTTSEHSTNVLVARLCIWLRVLGCWH >Et_4B_038485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29396083:29400227:1 gene:Et_4B_038485 transcript:Et_4B_038485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNIETNEIVAVKKMKRKFYQWEECINLREVKALQKLIHPNIVKLKEVTMENHELFFIFEHMECNLYDVIRERQVAFSEGDIRSFMLQILQGLAYMHNNGYFHRDLKPENLLVSNGTVKIADFGLARELYSSPPYTDYVSTRWYRAPEVLLQSSAYTPAIDMWAVGAILAELFTLSPLFPGESETDQLYKICAVLGTPDYTVWPEGMNLPRSSSFNFFQIPPRNLKELIPNASLEAVDLIQQLCSWDPRRRPTAEQALQHPFFNVRPWVPGPLHDASHTKANESRGQPRLELNLWDFSSEPDDSFLDLTLSLKPSFHETDHANHAPQRAEEIVPLVMFRPCHRGHSHIRLTGYSGSPFGMSLQPNLLDNHHSLRPIRQVNFF >Et_5A_041019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16952741:16953742:1 gene:Et_5A_041019 transcript:Et_5A_041019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFEFEEPSSGSQDASVSLSVSSTQWRRPQRTGLPVCGGNAMRKLMHREIGGLSVRGPPRPAEHSAQRRFDASSCRFLHVLHVTIIILTEKDGGI >Et_9A_062044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19126027:19126662:-1 gene:Et_9A_062044 transcript:Et_9A_062044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESLRLVSHQIASHDGRLPRQYTAEGQGAKKDMSPPLEWYGVPEGTRSLALVVQDIDAPDPDSPVVPWTHWVVANIPPDTKGLPEGFSGGGAGGGREDFGGLIEGVNDWKQPGWRGPVPPSRGHRIQFRLYALDDELHLGNKVTADKLMDAIEGHVLGEAELTAVYEG >Et_8A_056368.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4712999:4713187:-1 gene:Et_8A_056368 transcript:Et_8A_056368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILCSNKAVIYVVGCSTKMPADVTAALEQVLRQESDISKENVSEWLKDMKKAGKFIIEAWS >Et_8B_059822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3082218:3084726:1 gene:Et_8B_059822 transcript:Et_8B_059822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSPAVIRLPAAASPALHRAGVGAAAAAEDYPVFAPTYDEEPLSGMSFIRQDNRSLSENWSGLGLDHDGLEDEVAFSDFDNHNTFSSSNSELHFSSSNEHLRNRIACRNHPSFLQPALSADSLPRSASRMTDLTELKAVSTCNTCKPATISRDTETEARALKSLNSTAPQSNYHPAVFSRTRHKGPHILSWLLPKSKRKPKSDMSPNTIECENMSQLLKEWGVFSLESLKKEVVEANENRDAALQEVSEMKSSLGELTSKLMSLEAYCSELKKALKQATSAKDVQSLSHSKRSARSVGVSRDNSLPVSHEVMVEGFLQIVSEARLSIKQFCKVLIQQVEDADNGLSDKLNFLLQPYQITLNDKHPKAVLYHLEALMNQAMYQDFENCTFQKNGSPKCLDPKQDRQENFASFVALRNLSWNEVLKKGTKFYCEDFSRFCDQKMSYIVSTLNWSWPWAEQLLQCFFVASKCIWLLHLLAFSFNPPLTILRVEENRPFDQLYMEDILLDKQRSQSNPSQVKIMVVPGFYVQDRVLKCRVLCRYS >Et_1B_010825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14467808:14472893:-1 gene:Et_1B_010825 transcript:Et_1B_010825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQAQQQQQPHYKIFVKMTKAIALDVKCTDTIDQIKSKIRAIEGIDNNQQALFFAGSHLENHNRLADYNIMTNSSVDLYVTDGMQIYVRIPSVRDSMKLTMRKSQSFADVKGAIEEKAGIPRDEQILMYAGQQLEDHQILSQCGLTDGQTLHLLVCPSDKLRISVNVEDQRTVNLDVKYWYTVADVKLIIENLEGLPAGTQILLQTKPGGVEALKDTETLQNQQVRNNDVLMLYQSFQFFIKTWAGRTLTMSMRACDSVNEVMKKLEERLQIKKDVYYLQYRGHVLSPGDTLQKSKVERNSTVDIRHRNSWEIYVKVTKTVALDIIKLNVKKSQSVADVKAAIKEEGGIPPDEQMLIHAGQQLEDHKMLSHYGLSNGQTLHVLVCPNTNLRVSVDVDGERTVNLDVKCWYTVADVKLMVESLEGLPAGRQMLGRTQLGGVVALEGSQTLQNLHVRNNDVLVLYRNVQFFVRTYEGKTLTMSMKSCDSTNEVMKKIEERLPVREGVFYLHYRGRVLSLGDTLRMYKVENNSTIHMCLRNSHVVQEN >Et_10B_002751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10506666:10509724:-1 gene:Et_10B_002751 transcript:Et_10B_002751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILEQVDEEEVPPAGAAAAGLVAPPANFGMVDTGVYRSGFPDADSFGFLRGLRLRSVVYLCPEPYPEANAEFLKAEGIRLFQFGIDGTKDPYVSIPVDAIMGALRVLLDVRNHPVLIHCKRGKHRTGCLVGCFRKLQNWCLSSVFEEYHRYAAGKSRLSDQRFIESFDVSCMRDCMLRLIYRYHDCLQKSKRLLFEVKSSAADSVSTWQDAKGAR >Et_3A_026997.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2961153:2962679:-1 gene:Et_3A_026997 transcript:Et_3A_026997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYRVAPARPVCLSSSGGHLRPAPPCGVAGRRSGGAEIGIFTAERYFSAADVVRRDAVLRPALPDHHEPPRLSVPAADVASQSGRTAASSEASWNSRSGLLSGGNSHSAAAARLKGSWGGVSSSGDRSGGGGGRKQPTGSGPRWTLFGRDCPCAGRKAVGTVAAASSEPRSPATDARFSAQSAVEECLESAIFIKAKKQHPSPSPPRTEVVTEEEEPVAKAAVKVTVTPGSRAFPLAVAAAPNRGGAAAGVPAPVEAFAAAIGRRVVSAGGSFTFPATSFASGGGALVDEPPRASLEVFRPIDEDSVMLADPPPAISAVLLRAPQQAAAVDEEAMSDASSDLFDLESFAASSSFPTTTYRGRGGSRRNSEDDDLPYAALAEPALSECMYAPSEASVVWSVATAEGGGAFDAASMANFSSAASACGVEEFRFVVPDQSPAEFTAAMSRSAGRKKGGGGFLDSCRCEKAVSVGPTPVRMVKPPAYPAAKMSSGGAARRQPGRVQVPVRT >Et_5A_040887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14161472:14162989:-1 gene:Et_5A_040887 transcript:Et_5A_040887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCSSVMSGGGAGGVDGSSGNGGAGGGGPGGPCGACKFLRRKCVNGCIFAPYFDSDQGAAHFAAVHKVFGASNVSKLLHQIRPEKRVDAVVTVCYEAQARLRDPVYGCVSHIFALQQQIVNLQSELSFMQNTLATMELQPQQAGPFPVQPQMPVTAGAFSVSNLPSSSTVIPNTVDLSALVFDQQQQPQQNQYAGMGASSSGEASGSGTGTFTGDGTDLHALARALLSRQHVHGRVAGTEPPQPRAHQ >Et_6A_046691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19563747:19564465:1 gene:Et_6A_046691 transcript:Et_6A_046691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGRLSLLLAGGVAVSSQLCRWFDSIRQAQVPDETCPKPAGPQPQDSDQTCPKLAGPRAQDSDQAFPKPRPRLPLPKHGDCITVLSIDGGGIRGLIPSVVLTRLEKHLQEINGNDPDARIADYFDLIAGTSTGGLIAAMLVAPDDKTSTDHAADKTSMDQAPDDKMSMDHAPDDKSKVSRPKYKAKEIIEFYEKHGPEIFKYKRSFW >Et_4B_036530.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28559742:28559924:-1 gene:Et_4B_036530 transcript:Et_4B_036530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGIGRITLETDALLVKQALQSTTHDDCIYGALLTELRSLLQYDFLVVSMEYKPVNVIG >Et_10A_001028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20327161:20327383:1 gene:Et_10A_001028 transcript:Et_10A_001028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIHDLESSPADENVPSAPKDQDIIDVTVLSPSTVKCDSASPGQLETSGAGDDLVEPRMKSHFLH >Et_4A_034258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31266452:31267213:1 gene:Et_4A_034258 transcript:Et_4A_034258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDAGRQSLLGSFWIFSRMQRATLRSKVWMLMPSTFRTFK >Et_3B_027485.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21297112:21299546:1 gene:Et_3B_027485 transcript:Et_3B_027485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLCLIILPLLTILPCSYASSSSTLSTGSTLSVEDYKQNFLTSPSADFSCGFYEVGTNAFSFSIWFTNAVDKTVVWSANPKAPVNGRGSKVSLNHDGNLVLTDVNGTVTWDSKTSSGRGTTVALLDTGNLVIKDSNGAILWESFSSPTDTLLPFQPLTKSTRLVSNYYSLYFDNDNVLRLMYDGPNISSIYWPSGDYSVWQNGRTNYNSSRTAVLDTKGFFLSSDGLNVKSSDWGTAIKRRLTIDYDGNLRMYSLNTSSGNWIVSWEAIAKMCDVHGLCGQNGVCKFLPSFHCSCPPGYEMTDPQNWNKGCQPKFSRSCNNTEEFEFIKLPQTDFYGFDVSYNQSVTLEECKQICLDTCSCSAFTYKEGSASCYIKAVLFNGYSSPNFPGDSYIKVPKKMGTSTSLVSRKSGLTCNPDIPEIIQGSASMYGMNNLDKNWTTYYVFAAIMGALVLLFTGTSWWFLSSKQNIPKSMEAGYKMVTSQFRVFTYRELREATGKFKEEIGRGGSGIVYRGVLEDKRVVAVKRLTNFSHSEEELWLEMSLIGRINHMNLVRMWGFCSEGQHKLLVYEYVENESLERYLFGNVTSERLIAWSQRFKIALGTARGLAYLHHECLEWVIHCDVKPENILLTRDFEAKIADFGLAKLSKRDSSSFNLTHMRGTLGYMAPEWALNLPINAKVDVYSYGVVLLEIVTGTKISSGITVDGNEIDLRQFVQGLKQFLESGDVNDIVDNRLHGHFNPEQ >Et_4B_037896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24551404:24553275:1 gene:Et_4B_037896 transcript:Et_4B_037896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDSYYYSGFSDDVPGFYPHGAAPASPELPFGFAASPLPEPPYGPEAMTTTGSAFQDYSGPTGAEPILAGQQQQQGSGGQMNVHSRMIDALGMVQADRDHCQAMEEEQQQRRQQQPGAVESSRGFRHMMRERQRREKLSQSYADLYAMVAARSKGDKNSIVQSAAMYIHELKGAREQLQRRNEELKARILGHDARQPTVKVDFEVNDPAAGVESMIGALRRLKSMGVKARGIRSSMGDGRLWTEMNIETTIAASEVEEAVEEALMREIERNQQQPDSGAAPFPGTNSNSWGHQASSHVQNVF >Et_2A_014910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21480443:21480952:1 gene:Et_2A_014910 transcript:Et_2A_014910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPEHHKSSSSFMAPRMSFSSDFAVEPPPPPSARGAAPGGQHPAAAGHSMIAADQLFSKGRLLPLREAPHAGAGGRPVTLRDELRADERHGRVPRAPNIRWKELLGLKKAPKKPPVADPAAGTSADAHMVSSSRWSSFLDLQFFVPVQVPPPCNVLSR >Et_1B_012484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32409057:32410221:1 gene:Et_1B_012484 transcript:Et_1B_012484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGIPDSEVHAADQPDDIPPHDPEVIVADQPDDLPPPDEGAGQGHAQGVARAPQKKGKNFSVDEDILLVSAWLNVSLDAIQGVDQSRTTYWKRIHDYFHRNKKFESDRSQGSLMNRWSGIQHDVNVFAGCLSKIEARNHSGWSVDDKVAGACKMFKAYDKLHRNFPYMHCWMILKDQPKWIERRKHMSNSKSVAKKQKTAANSSPSSAPISSTAGNVDEGQPGEGAKERPAGRKKEKQKLRQRSSMEAMEYFVAQKKEADAEKEVKKEDRCNKAFALQEERIRIEKERVDLKREAEEERIMNIDMSTLSYKLQQYYERRQDEILAKRLSN >Et_7B_054672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3351046:3354017:-1 gene:Et_7B_054672 transcript:Et_7B_054672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGILALAALARRSGAGAAGSAPARVRHLASAAEAADAELKKTALYDFHVANGGKMVPFCGWSMPIQYKDSIMDSTVNCRTNGSLFDVAHMCGLSLKGRQAIPFLESLVIADVAGLKDGTGTLTVFTNEKGGAIDDSVITKVNDQHIYVVVNAGCRDKDLAHIGAHMEAFNKKGGDVKWHIHDERSLLALQGPLAAPTLQLLTKEDLSKMYFSDFKMIDINGSECFLTRTGYTGEDGFEISVPSENAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDLEQHITPVEAGLSWAIAKRRRAEGGFLGADVILKQLQEGPKIRRVGMITQGPPARSHSEIVSSSGENIGEVTSGGFSPCLKKNIAMGYVKSGMHKAGTEFKVVVRGKSYDAVVTKMPFVPTKTLGSAVDGAFGILNFLQLKMPTSHSRVNKTTTTEMLENND >Et_8A_057607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:573753:588554:-1 gene:Et_8A_057607 transcript:Et_8A_057607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQETLRDMLIIDTDPGIDDSMTILMAFEEPSVEIVGLTTIFGNVSTEGATRNALLMCERAGHPHVPVAEGSAEPLKCFDFVHGSDGIGNLFLPTPTAKKVEETAAGFMVNKVSQFPGEVKKIVVLGGAFFTGGNINPAAEANIMGDPEAADIVFTSGADIVVVGLNITTKVCFTDEDLLELRKSKGRHARFLSDMCKFYRDFYVEYEGINGFFLHDPTSFTDLVHPEHFTFKKGVVRVGTQGIFTGHTLMDQRLTEWNSENPWSGYKPISVAWTVDVEKDGQQIRRHKIIIDTDPGIDDSMTILMAFGEPSVEIIGLTTIFGNVSTEGATRNALLLCERVGHPEVPVAEGSPEPLKGGKPRVADFVHGSDGIGNLFLPAPSAKKVEESAAEFLVNQVSQFPGEVTVLALGPLTNVALAIKSDSSFASNVRKIVVLGGAFFAAGNVSPAAEANIYGDPEAADIVFTSGADIVVVGINITTQVCFTDEDLLELKNSKGKHTELLSDMCKFYRDWHAKSDGFHGIFLHDPVSFTALVHPEYFTFKKGVVRVETQGICTGHTLMDQGLKKWNSENPWSGYKPICVAWTVDVPKVIGYVKKLLLAL >Et_4A_032166.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21897371:21897457:-1 gene:Et_4A_032166 transcript:Et_4A_032166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSVASASETGFKCCLYCRSTGLRYR >Et_3B_027786.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:19105412:19105768:1 gene:Et_3B_027786 transcript:Et_3B_027786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSVCLMSLLLAGLMAFMTCSHGESPLWLVSELCAVLCILLYFWAYHLTQNITASSVVPVDALVFTFPLVFGAGFLAALLAVTVAPVAGALVMVADVACTSGFFGFCLAEYKRYNT >Et_2B_022133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9608959:9610833:1 gene:Et_2B_022133 transcript:Et_2B_022133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRSSARGEPRRLGNVALLTLMLCCVVALSLIRGRFAPVVSTAGDAIKPVVNIEPGDEAAAEGEKEDKDDVVEPTPTNRAAAAAAAQPVCRETSRRSDTCEASGDVRVVGRAQAVYVDTLDREWKTKPYCRKHDGYALSHVKEWTLKPLDQTSPRCTVNSSATAFVLSTGGFTGNPFHDYTDVLIPAFITARPFAGNVQFLVSSYKPWWVSRYIQIFQQLSPRHEVVDVDADDEVRCYRRVVVGPTFHRELGVDPSKGGSLSTADFRAMLREAYGLERATATPSGDRWDIRRRPRLLIISRRPSKGRAFTNERAMADMASSLGFDVRVGEPDASTDTGKFARLVNSCDVMVGVHGAGLTNMVFLPAGAVVVQVVPYGRLEWLARNTFAEPAQGMEVHYLEYQVRIDETTLADQYPADHPVLKDPMAIHKQGWDALKTTYLDKQNVRPHLGRLKNTFLQALKMLPHNRDD >Et_1B_012378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31293973:31297633:1 gene:Et_1B_012378 transcript:Et_1B_012378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSQVEIRSSIGSHGGSSSGAAHVRCHGGCRQKDQLRHPGAGQLGVELQRRPGRNEGYHGKCEGVALAAQALGYMLKSEDPNGWSKMNNSDIWNEPYGVDNSEHMKVLPSLKLTLDFIKEPSEGKKYIKQLLGMSFLQYLKLASISGNHVVRYTMHDLVHDMSRLVIGDELIIFDAAMKSNTSKRKYCQYVFLTNYDGQKDLSNILPNKVRALHFSSSSKLGLHDGSLFSFAKCLRVLDFSECSGILLPASIGQLKQLKCLIAPKIQQESLPKSIAKLSKLQYLNLHGSSQICALPESIGKLGCLIHLDLSGCSGMSVLPESFGSLKTMVHLYMSGCSLIRELPGSLGNLTSLQHLDLSKCPSLNEIPESIGELGCLIHLDLSDCSGMSVLPVSLGELKSMVHLNMSGCYLIRELPGSLGNLTSLQHLDLFFCSNLKEIPESLCSLTQLRHLNLSYCSKIERIPEAVGSLVNLQYLDMSGCRQIHELPESFTDLRNLLHLKLVRCRFKKGLQRALRGLTGLQYLDMSLVGLEDGYLHDAMRNLTNIKYLRLARCITSLFGAGIEESSCCIDFIGALTNLEHLDMSHNIQLEYLPESIGNLKRLHKLDLSYCKGLKSLPDSISAVTLKSLLIEGCSGELVHEANFRFHYSLTLPLFNVRADGVSACSNLHLLKDANVRPGRRPLAPFQPYGLRPPAAVRMLLPSNRTAIYLFVSKYCS >Et_4A_033272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20970921:20981875:1 gene:Et_4A_033272 transcript:Et_4A_033272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNVTFTVRAVLFAYTAAHDAYVRFLSHGVNPEQARNAVALLLWLEQGSVQAIDLVTGYGDNVLMHLAAEANAIILYLRGEQSFNLEIPLLCRLAPHGFIDPRFFVFHQDLVVRGVADILEGLGDLIFDDRLYRLLARYQTGLLGRMPPELAAPFTVRSVTVPEDCRSMFITFSRGQPVDREDIFNHFRNKWGDCIVRVLMEKTTKGAMPMYGRIIFKREAFVSMVLNGEHVVQVNIGNRQIWLRKYYPRMRHMADNARFTVRDVLFAYTTAHEAYGRFLRNGVNPEQARNAVALLLWLEQGDVQAIRHMRNFDDNVLMHLAAEANSIMLYLRGEQSFNLEIPLLCRLAPHGFIDPRFFVFHQDLVVRGVADILDGVGAIIFDDRLYRLLARYQTGLLGRMPPELAAPYAFRSVPVPEDCRSMFITFSRGQHVDREDIFNHFRNKWGDCIVRVLMEKTTGGTPPMYGRIIFKREAFVSMVLNGQDLVHVNIGDRQIWLRKYFPRMRNMAIVRDVLYVYTHARQAYDRFLRHGVNPEQARNAVALLLWLEQGDVKAINLVSGYDDSVLMQLAAEANSIVLYLRGEQSINLEIPLLSRLAQGFINPGFFVFHKELAVRGVAEILESIGVLIFDDHLNQLMTCYQTGLIDRMPDQLREPFTFRSVTVPEDCRSMFITFSSGQHVDPEDIVNHFRDKWGDCIVRVLMENTTGGVPPMYGRIVFKREAFVSMVLNGKHLVQVNIGNRQIWLRKYIPRPRNKAVCNVIKLDLSSVDLASLLINNSNMYLCFKSLLFPFFRLYM >Et_1B_010964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1614036:1617438:1 gene:Et_1B_010964 transcript:Et_1B_010964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGDDEAVAIERQLEEQLEEQRSSLTAVDEALAADPSNADLLEVYEELLAAIKDAEEGLLHLKRSRLVKQLDDIFPNQEATSQSPEAAIEPLDPNDVEPEPLESHDFSVGSKCRFRHKDGRWYNGCIVGLEGPSDARISFLTPTSENMSMCKFFLQQRCRFGSNCRMSHGLVVPTSALKRFIPTRWQQSLVGSTILAVSGHDSGLWRRAELESWDDDLKLGQVVFLDDGSSSRLPSDSLSISKYADMTDEDDEGSSSEEESEISDDGEKEDDSGHQGLGLSESTNFSGIQTDTVIFAKWEHHTRGVASKMMAKMGYREGMGLGLSGQGMLDPLPVKVLPPKQSLDHALATNEADGGTGSGKKRSRGGKRKREKKFAELARAAKAEEAERSVFSFINSHLVSQDVPEGSAPKLRKGQSGVANGHAKKEDRRSLVSYDEEIKELRIRVEKLEEMKNRNRKDKTVYEAASRKLQETRKALADAEATHASATNAVSSKEKEKKWLKF >Et_5B_044962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7858288:7858747:1 gene:Et_5B_044962 transcript:Et_5B_044962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILDDHGGADVVTGELVGWIPCCLLDTDILVADPAEGTGPRSSSASAATSPPPATSSRRSSPGTTRPTSTPQDVAQGQRDAEPAGEEHEAGEHDLEDLEPRQEEKGGAPLRF >Et_9A_063459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3067257:3068324:1 gene:Et_9A_063459 transcript:Et_9A_063459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGAERAETMAQIANGCEEWGFFQLVNHGISMELLERVKKVSSECYRLREAGFKASEPVRTLDALVEAERGGEAVTPVDDMDWEDIFYIHDGNQWPCDPPAFKETMREYRAELRKLAERVMEAMDENLGLDKGAIKGFFSGDGRHEPFFGTKVSHYPPCPRPDLVTGLRAHTDAGGVILLFQDDQVGGLEVLKDGVWTDVQPLAGAIVVNTGDQIEVLSNGRYRSAWHRVLPMRDGNRRSIASFYNPSDAATISPAVAAGDEASYPKYVFGDYMDVYAKQKFQAKEPRFEAVKAPKSSPAA >Et_9B_063870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18540098:18541098:-1 gene:Et_9B_063870 transcript:Et_9B_063870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GREVKNAVIALPPHVTHDGRDGVVFAATSRDGFRVARAVDEQIAAAAAHGHHTKRGDGKVVLVFHVGGRTSHATLFKFVRGSARLILAKDDLFLGGEDFTSRVVDYFVELIKQQHHGIDIRHDKMALLRLRAECERAKKALSEQEETLVHVESLVDGVDLSAPLTRAKFGELNHDLFERAMALMDWVLMNALVQERRKDLVDEIILIGGSARIPKVGQLVKDYFHGRELNRGLEPDETVLHGAVLLSRPETARYLEPCFHHWHEGDPRFI >Et_8A_057091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2102850:2105932:-1 gene:Et_8A_057091 transcript:Et_8A_057091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNKVEVNLRRLLEAAPRQQNQAKLVHYVTTARELLEQLGAETASEGTLSVSKAKLSEYSEKIEALAARLAASAPEDENPVVESREVESSYDKEKAENSISLSSGLRRRSTAHVEVKPSHQERKGDIGAPIKLDAEAQAHIEKHRRLQEDLTDEMVELARQLKESSLMMNQSVQQTEKILDSTERAVEHSLATTGRATSRAAEVYSLTSKTTCFQWLLIFMMTCMFIMVVLLIRIT >Et_4B_038115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26298942:26305236:-1 gene:Et_4B_038115 transcript:Et_4B_038115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAEARKESRGAHAREDFTTRDDENWMKHSLGYWENEKVRLAYRPVHMNTLDDEVESFPPKARVY >Et_2A_015953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19502294:19503176:-1 gene:Et_2A_015953 transcript:Et_2A_015953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TPEQDILHHIHSLLPLRAAARVACVSQAFLNSWRCRPNLYISIKALGLENSIFPVSRDFATEVHHILKKHSGIGLRTLHLSLYGCWCVDFCDLCSWLYLAITSGNGNSIEYLSLNSCAFHPTARIGRMRILKRLSLRFVSITGDELVCFLSNSSALERLELMCCNEITWLKIPRMMLRLSYLELEVLQCKMLQVIESEAPILSSLAHVHSHYVQLSLGEALQLKNLERTCSRLV >Et_10A_000084.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22647270:22650094:1 gene:Et_10A_000084 transcript:Et_10A_000084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSQLDCVLLAVNACVGELRNDTTASTTTSNHDKIEVSLCPAQPPLPSKLYVHCPDLTLTDLPRLIGAAEDLLLLRVVVGCSPDSASSIDDSDYFVYRAGNRRQASLQRLLRPHPFFQDNDVGLLSRGVNYTVAALVATGTPVYDLHILHSENPLEWIYRKVSVTEPQRSFPMLIPKNCGRLLYHETSTVISIGGEAGTMGWVDLWRGILLCDVLRDDPTLRGVPLPVPLDLVSCDNGLGAELGSPIPFRGIAFIKRGGENPEDCIKLVHLEHKTALVPDSYDVETESPLYQMHDWTIVTYTKTAITNSWKDWRRDFRIQASDVAIDTKIKSELLQSGLLGSTSVPALQNLLVSYPAPDISAADHEGIVYLMAMKNYQDPKGWMLALDMRKKTLLGAAEFGVEWPICASAMYCTSSIAKHNKPSTGMQLFWSLCS >Et_9B_065353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4021391:4023988:-1 gene:Et_9B_065353 transcript:Et_9B_065353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVKIASLVSDCKAPAWSLRECRQESLEGDSVQPEGEAPTKKKKREHASPNKFSIMDDYISSMYLDDAQSLVINDCKEPPWSLRECCHGLLERYSMQPEGEAPVEEEKIRASKQELPCQFSILEEYIRSLYLDEALPTAPTPAHNPTSNRLLHRVVHTLSSYSVPTNQEMSFNTEYLGEGGGGGGRQTRG >Et_4B_036641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9971009:9971575:1 gene:Et_4B_036641 transcript:Et_4B_036641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRRSSARMRLSKLNYLGTAWHIWNERNRRVFTSTALQPPDIARMIREDMALRARALQQRNSKDPRLLYIYRAGTKGNKHTHTPVK >Et_1A_006880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28687532:28688308:1 gene:Et_1A_006880 transcript:Et_1A_006880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAEEQAAVRVIGGWASHYAIRVYIALRLKGVEYEFLQEVVGNKSELLLKFNPVHKKIPYIDEVWSSSRPSILPADPSPYARSVERFWAHYTDDKIAPASLVLRGTVQEDKVEAAARNLEEAFVKCSQGKSFFGGDNIGLLDIVLGSHLGWLKAVETIAGLKVLDEAKFPELTAWVYRFYAHHAVRDVMPDVDRLMEFNAYLIGVLKAKLPIE >Et_6B_049981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2519030:2519419:-1 gene:Et_6B_049981 transcript:Et_6B_049981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTMTKAISSVFRYPRERQYFREGNSGTSAPSKIDVDTTAAAPPIVLNEPRTNDDAINSSVQSFANLSLEPMHHLSDDEVSLEKKVLMVLEEIPGLALDEMLKAFSILI >Et_2A_018001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8905546:8913379:1 gene:Et_2A_018001 transcript:Et_2A_018001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHIERYRNLNIGLWFFQLTSNYISKNFLNELQFSVTQDHNRTTVSAPLVHRHGPCAPSQSSNKPCFAETLRRNRARANFITSRASTGNIVSSRKDGNASVPTYLGSFVDSLEYAVTVGIGTPAVSQVLLVDTGSDVTWVQCAPCNSTECYHQKDPLFDPRKSSTYAPVPCHTDVCRRLIVDRYGQGCTDGGAHCAYFVQYGDGSRSTGVLSRETLTLAPGFAVKDLLFGCGREQRQQDDRFDGLLALGGAPESLAVQTSSVYGGAFSYCLPPVNSKPGFLALGAPSNGTAGFVFTPFGRVGDQETFYVVRLIGISVGGKRLRVPETAYRGAMIIDSGQIVTRLQTTPYDALQKAFRKAMKAYPLLPPNPDSLDTCYNFTGYSNVTVPKIALTFSGGATVELDVPNGILLNNCLAFEESGPDIGLGIIGNVNQRTLEVLYDVGRSQHNFVVVPTSSFASESTCSSISQARDRAGAPPARTLRAFRGQCHDAILSREAPPRPCSQKPHHQHRLRAHEHPNGVGGRRGILAVRRDPGIGTPPVQQTVLIDSGSDLSWVQCKPCNATECYAQKDPLFDPSSSSTYKTISCQSDECKYLTKDGYRGDCTNGSTGPSLCQYDITYGGGESTAGLYSTETLTLKPGVIVENFRFGCGVHQFGEAKKYDGNLGLGGAPESLVSQTAKQYGGAFSYCLPSGNGTAGFLALGTPTNDMAGFVFAPMHR >Et_3B_031531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3693267:3694104:-1 gene:Et_3B_031531 transcript:Et_3B_031531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDAARPGSSSRRRFLNVETELPELDDGHHLLGATAEGLLALLLDTSTYAVRLLNPLTRQLPSLAPLLPAETLEDIAGYGLARALQLTGLGLAATASDDATDDDVALVLCFIKPATAAGRSADGDELPRLVVVAIGVSLMCLDTVHLVEGGGRALAALPRAKAAEARSLEEEVHRVPGRPGVFPTIRANTVYLGFDLRERSEYERVGAYCLLNGMTERSDDGWARPCGIVDYLPLVVCQWDVWRHRRDLMKLPEPLKHPCEISIGVTLN >Et_7B_053961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13778075:13788476:-1 gene:Et_7B_053961 transcript:Et_7B_053961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGETPPRAKGIRQSREPRSRLRGCPRSAEAEVHLSGYPQQRCSSPLENGAGATWPGGAPLLSRIFYSPETPPAPSLSPSPPPTFSLYKSTAAHPFRARRLQIRDSLVPPLAPAPSRPCLVLRRNFTDLASSSAPWQREPPPLLPPQLVSCMADPSPLSEFSPTCLCFPVRSRHSHPLPSFCFRFRMGFQAPSRDPIPGFKLKLVAGVSVAASGGSKLEAATAYLARKSFYNPQSKSWIVEAETKGA >Et_10A_000248.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:19938554:19938733:-1 gene:Et_10A_000248 transcript:Et_10A_000248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEEGMVVRVGAQECGKILAEPRCFGRCFRPGHCNECCKNLGFKRGKCSFPACYCCDD >Et_6A_046601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1808209:1816939:-1 gene:Et_6A_046601 transcript:Et_6A_046601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADVVVALLQSSPRKNHARGHGALRFRRHLYGTRHKTSRSHDQPSRGESDDETIAPAAEERLDVLGDREATHQPMVFDFVPVTLGRTDHEPQPASKLLAPPSRPELDERTRWRGRHSFTSRPFGARLLGDRRRWPLGRSNGLLRHRWREGGGGCSIFLACGGVWTSPGRDVDLAEHALPIADDGVLGAAGELVHEPVGELAALLVPQLLDLADLDARAVLDLGAVSLRVPRSGAGGAHGPARLAGLMRRLALAGGEEEAVPCPGRGLELGGEDARLAGVDVRVVDVGADGGVEGHAGDEARQGVGDRLLRRRVEAEPQLEHPLAQRRHLHGRAQREGAARLKREDRDGWWWRMEGTEGQCTRWIYQADRALSRIAACRCSTRIPELVFHSIPSFTLQFFFIFLIILGHFIFIICALQLLFLLILQLLQFAVFFALL >Et_4B_036384.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21059681:21060139:1 gene:Et_4B_036384 transcript:Et_4B_036384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACPPPQATLFPCISYLIGNSSSPATACCSQIQAMFESQAPCLCAAMAAAPSQLGAAFGAAQAMLPGACSLPPNACSGAGGAGPSSTTPASAGTGADAPAPATADLDPTAAGGGGLKSVPGLLGSDAAAGYGRGISAAAVLMISGIVACLL >Et_8A_057737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6560330:6561969:-1 gene:Et_8A_057737 transcript:Et_8A_057737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVERKNMQPLPPPEHRKVLRYVVFLAVCLLAFSCWALVNHKINNAMPESAVLLANADEASPALTREEDDGSHPAGDPESSSVPKDVTASGNTTASTAKTMSDPLIRQPLVGEGGVERSEMRCDADSAALRVFVHDLPAEFHFGMLGWDGQGKNAASAWPDVRDAGAVPHYPGGLNLQHSVAYWLTLDILSSTSSSSALAPVDRPCVAVRVTNASLADVFFVPFFASLSYNRHSKLRRGERASRNRVLQAELVRRLTRGEEWRRWGGADHLVVPHHPNSMMQARKPLSAAMFVLSDFGRYAPDVANLKKDVVAPYMHVVRSLGDGDSPGFDKRPVLAYFQGAIHRKDGGKVRQKLYQLLKGETDFCVFVRAADAAKKGFLLCLLRGVTRDEWTRMWNRLKEVAHHFEYQYPSRPGDAVQMIWGAVARKMHSVKLQLHKRSRFQIRG >Et_6A_046428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14946198:14947326:1 gene:Et_6A_046428 transcript:Et_6A_046428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLSNKSHLTTALILLTVVTMIDRISYSVEARDLSDSTDGYGEEAMKEKHEKWMVEHGRTYKDEDEKSRRFKVFKENIDFIHMSNAAGDKKYTLGVNKFADITRDEFTAMYTGFKPVPPGAKKLLGFKYANVTLSDDNQEVDWRKKGAVTGIKNQGQCGCCWAFSAVAAIEGLHQISTGQLYIIGNGGITTEDAYTYTAQQDMCQSVQPAVTISSYQDVPSEDENALASAVANQPVSVGIDGSSRAFQFYHGGLMTADGCGENLDHAVTAIGYGTAEDGSQYWLLKNQWGETWGEGGYMRLQRGTGACGIGKQSSYPLA >Et_10B_002514.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:12652342:12652500:-1 gene:Et_10B_002514 transcript:Et_10B_002514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPWELAEYIMALTWWSLTGWVAACVVLADQVACVLRRRDTAVLRRQSMMY >Et_5B_045494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3062629:3063488:1 gene:Et_5B_045494 transcript:Et_5B_045494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVANLRLLYGDVVERASLSRDLLVPVSGRLTGDPSGLHRRCVLGQYLGLWRRADDVRAYLAPFGDIEAVAHCDRLCNAVIVFRTAESAAAAIRRQAETHIGVYSAVPPLDWALPLRFVRTKLIEVAFYYPPPALSSPDGVVTTSESEAASTQATRTGSSTEAMGNATQQPVESRPVIVFLDSPPQQGTRGPHGTTRFVPSPRSKIFGPVLGEDGRLWMDGVNLTYHDKGMGINSARIRVVQVAPPPANYYFH >Et_3A_026452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8229636:8233578:-1 gene:Et_3A_026452 transcript:Et_3A_026452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VALLGLEPGDLRRELGLLSRGEFSIPIFSHGFLGSLLFFGWCPWISETNLVPSISPVLGFSSRSATAARRRRGGGMGSFAKLAKRAVETDAPVMVKIQELLRGAKDVMSLGQGIVYWQPPESAMNKVKEIILEPSISKYGSDDGLPELREALLEKLRRENKLTKSSIMVTAGANQAFVNLVLTLCDAGDSVVMYAPYYFNAYMSFQMTGVTNILVGGSDPKTLHPDVDWLEKVLKENNPIPKLVTVVNPGNPSGAFIPRPMLERISELCKNAGAWLVVDNTYEYFMYDGMEHYCLEDNHIVNLFSFSKAYGMMGWRVGYIAYPNETDGFHDQLLKVQDNIPICASIIGQHLALYSLEVGPEWIRERVKDLGKNREVLKKAMSPLGEDAVKGGEGAIYLWAKLPDNCSDDFEVVRWLANKHGVAVIPGSASGGPGYIRVSFGGLKEEDTRLAAERLRRGLEELVTKGMVQ >Et_1B_014004.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27012989:27013600:-1 gene:Et_1B_014004 transcript:Et_1B_014004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASRKRPAPDGSCPEAAAAAKKRARYNFTDIKDYERLEDLGEGTFGVVSKARHRRTGEKVSMKWIRSDSNGASDLDAVVREGGCLAKCRGHPSIVQIKDAAADKATGDLFLIMEFVGPSLRDWLTRPVSEDVAREFMGQLLSAAVTMHAAPMIHRDIKPENILVGAGGELKICDFGLATPKPPPHPELRVGTLPYCSPES >Et_3B_028972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2141848:2142665:-1 gene:Et_3B_028972 transcript:Et_3B_028972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KSTNSNILEEQSLLELGLDCVHLPIVNPEGLGQHVGRHRRRGTEQRHRNGLRALELAVEGGDDGGRAVSPVLLEVDKAAGEDEDIADGDGLGEELVGGGDESDLEGALEDEDDLGGARVGVRRVLAAGGVVDACHGHAEGVHAGEGVHIGRRHQGAVGVVGVAGVLEPREEEVVRRHMGLTGRFVGDADVLQHVGVAGEGRRGEREDDGAGRGDVEVNPCHGQ >Et_5A_042271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8217701:8222720:1 gene:Et_5A_042271 transcript:Et_5A_042271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARHRLVHLKPRNGGSVAEVSAAASNHAGARPLLADAAALQVPPPESPASAAGTHFDCQLDSASQEDLNWVFSGVSLYSPASSGNSHSGCPASFAAALKSASRAKSNLNVNNDTSIQELCHVFPEALHCKLADLNGSSSLEERLIIHTKGEVLDVPVPSPVGVEDVRVSLTDITDPLRDRDVDDITDVAAALVARHDGLQLQPGLLHHLEQLLVGGPVVLPGALSLDQPPPDVNHDPIDAGLRQLLQLRPDLVFLLERVVDGDHIQLSRDEEWKKESANSFGSHGL >Et_3B_028496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16128664:16130163:-1 gene:Et_3B_028496 transcript:Et_3B_028496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPCRAGGLDTVHEVPVPDLHHHEPPPCRSGRRRRSRSVKAWVRSHFGRLRRRRDGAPPSPSDLQLMIRVIGAPMVMRPVEPWTTGLAGRDISEQPLAVSKAKYVVEQYVAAAGGRAALRAASSMCAAGSVRMRTRTSKRGGNVAAAGGGFVLWQQKSPARWCVEMVVSGFGGRKMSAGSDGAVAWRQEPWQPAGAAPGPLRPLRRFVQGLDPGSTAELFSGAAWAGERRIDGGDDCFVLRVDAAAPELRARSGAGVEVLRHALWGYFSQRTGLLVGLEERHLVRRRGAGGGACCYWETSMASSIGDYRRVDGVCVAHAGRTVATLTRSSGGGWARRTRTCVEETWSIQEVGFIVAGLSAECFLPPSDMVAACDTTRSGRGLEKERVAVHRNDAAAASPTKSAVIGSSCGVAPAVNRGEIVDAIVRPAVTAKKKVHVPAASTRLGWLGFVKVAALGSVDAAANLKVGTAI >Et_6B_049297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2902726:2905974:-1 gene:Et_6B_049297 transcript:Et_6B_049297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPFDAVGQAVGAATWLVGVVAERLVGDRVAEWAARHGVGQEEVARLRSELRRANLVLGAARAGGRRVGNEQLAEPIAAVRRLAADARNLLDELDYFEIHEQISDSDNQVTADTELEGETVSDGMPERKLCKDDISQRIAVIVDQLHEICEDVRKALKQDKIDELLRATQSTSSDSQEEGACYTETKVFGREEEKNKIVKLITDSAKSSQKLFILPIIGSGGVGKTTLARSVYNDLDLVKDNKFGIRIWIYVSANFDEVKLTKEILECISDGKHKSTTNNLTMLQDGIKKYLTEKFLLVLDDVWEENERWWDKLLAPLRCTEITGNVVLVTTRIKSVTRITSIMEQHINLSGLKEDKFWLFFKRCIFGNENYQDRRKLQKIGKEIVARLRGNPLAAKTVSTLLKRRLEEGYWQRISDGDEWKLQEDNDDIMPALMLSYNHLPYHLQRLFAFSALFPKGYKFHKEQLVHIWIALGFIIDEKKRLEDTGSDYFDDLVDRSFFEKTETQQYTYYLMHDLIHDLAQSVSAEECLIVDGSGAPSVSPYVSHISIWTESSYKKKQNGKIEHNEIFEKGLTAIQNNEILRSLDSLMLVGSYDKTFSVTYAKILGQLKHVRVLDVRHWCGLSDLPRDICYLVNLRYLIVPESEGSHLHSKIVRVGELKNLQELKEFHVQIQKGFEISQLENLNEIRGPLRIHNLDNVMKKDEACRARIKDKKHLRTLSLSWGRTSGHSLNDRLEHLRTLEGLEPHDRLVHLQITNYIGATPSWLGENFTLTNLESLCLHDCQGMEILPPFSELPFLERLSLVGMSSLKEVKFDFDYARASRDSHSIEGGMSDLDDFALTELEIFKCSKLTLLNLISCKALKKLSLKDCAVLASVDGLQSLDQLKSDIIECPCLQSAPDF >Et_6A_045812.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:14725526:14726164:1 gene:Et_6A_045812 transcript:Et_6A_045812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHFIYHKCTCSTNSCTESTSSSSASESLRFLAAAGVLEVAAEAVAGPRREGADAEGAVGDVAVDGVAARRRDAHPVRPAAARAHRAHLEPTHQPPPAPPPCRQAAVHERLPQPRRPRRLRRRRHIADAAAVCILRNTKKRKKWLVSPLTTSSAREREREREMRRTCWADAARKVEKARRERRRRRRGMGGRGDGEEEAILWQDCSSRGSGV >Et_1B_013516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9092379:9095075:-1 gene:Et_1B_013516 transcript:Et_1B_013516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSISPLLLLVAAAVASLSSAAAAATANSSDAEAILDFAKLLSNPPPSWVGGGDVCGGTFVGITCDDSGRVTGFDLKNEGLSGTLVPSLSSLTALTSLQLQGNALTGAIPSLARMGSLTRLSLDDNRFSSLPHDFLQGLTSLTQIVMDNIPVEPWTIPDVIANCTALEMFSAANASVSGAFPKVLANMKSLRILSLSFNYLTGGLPDQLGILDDSALEVLQIDNQISDVKLSGSIEVLASFKHLKVLWIQSNQFTGHMPEFNSPLNSLNVRDNLLTGIVPFSLMKILALESVWLSNNYFQGPMPEFRADVYVDMISGNSFCLHKAGPCSPVVNALLELSSGFDYPMKLAQTWAGNSPCTSNWFGVVCRDSVIVELDLPGQHLSGMISPALANLNTLERIDLSDNNITGPIPEALTSLSKLKFLDVSGNKIFYPLPSFKPSVRVIVAGGNGSTPPGSGSSKSKKQKIAMITGISLAAIILTIIVVCTGFYMHHQRKKKASGTVPQVQQSGSGATVPTQLSCQMTANSSTYVEESRVEKFPLNLLLRATNQFNENNRLGEGGGGVVFKGSMNGKLVAVKRCKAMGVKVWKDSMQKLVFLGKNLVELLGYCIHDAERLLVYEYMPGGTLLDHLLQDRFIFTWSERMEILRDIARGIEHLHSFSVDNFIHGDIKASNVLLDQNLRAKVSDFGLVKLAKDADKSVSTSFAGTFGYLAPEYNTGRVTRKIDVYAFGVILMETIAGRKVIDDSLPADQRYLGKIFRNSVVDKNLFKIVDRRLHLNSEAWNSLLQVAYLAHQCTEPETSHRPDMSNCVSWLTRVMDEWNPRVVAGANEGNSVVMDMPQFLESLRNGGLTEWSGGNLIEWSGGDG >Et_7B_054634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3027268:3051494:1 gene:Et_7B_054634 transcript:Et_7B_054634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLTPRNPYQKKENLGSVRRGMGFKVAPRRNVLSAINNGAPSEQSDEGGPPPPPPLVEFSGREDVERLLAEKMKGKSKNDFKGRVDQMSEYIKKLRVCIRWFMDLEDGYLAEQEKLRGDMHAENTRHGELEAQLSSAIDDLRVTNQKLTRQCESLEGSLNKEQADKLLAIESYEKEKKQRESVEAVRDQMIVDLERVTDEAKRFSDQLEMIQETNKTLQLYNTSLQTYNANLQADASKSGETISKLQKEKSGMMEIMTSLKERNDSMNNQLESSRASQQEAIRVKEELRKEVECLRAELKQVREDRDNSVAQLNNLRVELTNYKEQIGKSSEDCENLRIKLSHLRFVAYRFLVHSGARHCYAYVYPCYQKTCSTQQEQILNFQKQLAVATEKLKLADVTAIEAMTGYEEQKERIKYLEERLAHAESQIVEGDQLRKKLHNTILELKGNIRVFCRVRPLLSHGDSNSVEGASISYPTSLESAGQSIDLMNQGQKLSFSYDKVFDHDASQADVFVEISQLVQSALDGYKTGVDQKGIIPRSLEQIFKTSQSLESQGWKYSMQASMLEIYNETIRDLLAPGRSNSFEAANIIDVFGTADVTSLLEKASQSRSVGRTQMNEQSSRSHFVFTLKIFGSNELILKHRHYRHQHNQDVPVTERLNGVVIC >Et_9A_061742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1635268:1645192:1 gene:Et_9A_061742 transcript:Et_9A_061742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLASTCKDKLAYFRIKELKDILHQLGLPKQGKKQDLVDRVLALLSDDQGQRHHGWGRKNAFTREAVAKIVDDTYRKMQVQPSPDLASRSHSGSDFSHFRPKEETNDFYHTETKVRCLCNSTMLNDNMIKCEDAKCQVWQHMSCVLIPDKPTESASPDVPLHFYCELCRLSRADPFWVTTGNPLLPVKFMSSGIGHDGTSVTQSVEKTFQLSRQERETIQRAEYDLQAWCILINDKVQFRMQWPQYAELQVNGYPVRVVARPGSQLLGINGRDDGPLITAVSRDGINKVCLTRVDTRTFCFGVRIVRRRTVAQVLNLIPKEGEGESFQDALARVRRCLGGGDATDNADSDSDLEVNSGSRMRIAGRFKPCVHMGCFDLETFVELNQRSRKWQCPICLKNYSLENLMIDPYFNRITSLLRNCSEDVNELDVKPDGSWRVKGDAANRELTQWHMPDGTLSASKEDTNHGGENVNELKREGTSDGHKSLKLGIKRNPNGVWEVSSKAEDKKPTMVGNHTQNNTGFRPPNIVPMSNSPTGSCRDGEDGSVNQEGSMHFDLSLNQEFDSFAHNFGQTYNTEDRAQQPQSVADVIVLSDSDEENDNACAPAAYDSTANGNGFPFATNGAGYSDRYQEDAGVGTSGLGLLSSNAADFEMNNWQIHSYPQQEQGFQFFGADTDVANPFVSSNNSFNVATEDFSVNCNVGIEEASVSHDLSICQNTNEMHGSLVDNPLALAGDDPSLQIFLPSQPSAVPLQGELSERANAPNGVHPDDWISLTLAAGGGGNEEPTSVNGLKSQPKVSPKEATAEPLIDSACALPSTNNDRCSGGNLNPRSIENIFSHPRQPRSVRPRLCLSLDTDSE >Et_6A_048109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6399258:6399915:-1 gene:Et_6A_048109 transcript:Et_6A_048109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGANPEDNFLPRAGKMQHRLPWRQDRAVLRRGSFLHRGDALESGYSPPKTYRSGTWWIPVPHIRSGYVLESTYVFECPGQLLCAVVEVKCLIFRPVSELANDLSVSLYALREVRWVKRDGQSFVDRIMFLGLPTSFAVDAARFAISDGCAYFVVRGSSSEWFGIGTVKTCFLFKYSFRADKSELVELLPDEWDSDACMWLTPVPAIAPTGVPRT >Et_1A_005994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16470707:16472533:-1 gene:Et_1A_005994 transcript:Et_1A_005994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNLAQSTAAAAASVAPCTSSRRVAAPASVSMRRSSVQAAPAGLRLQRQACCEPSVAPARPAMSVACRAVAEGVAAASGKKNGVPVFVMMPLDTVKKCGSALNRRKAVQASLAALKSAGVEGVMVDVWWGIAEAEGPGRYNFAGYMELMEMARKTGLKVQAVMSFHQCGGNVGDCVTIPLPRWVVDEMEKDNDLCYTDQWGHRNFEYVSLGCDAMPVLKGRTPVECYTDFMRAFRDHFADYLGNTIVVRTLLPARPLYSITSSSAFGGADLLDREIQVGMGPAGELRYPSYPESNGIWRFPGIGAFQCNDRYMLGSLRAAAEAAGKPEWGSGAPTDAGGYHSWPEDTLFFKGDNGGWSTEYGDFFLSWYSQMLIDHGDRVLSGATSVYGAASTPGVEVSVKVAGIHWHYGTRSHAPELTAGYYNTRHRDGYLPVARALARHGAVLNFTCVEMRDHEQPQEAQCRPEGLVRQVASAARAAGVGLAGENALPRYDGTAHDQVVAAAADRAAEDRMVAFTYLRMGPDLFHPDNWHRFAAFVRRMSGAGSCREAAEREARGAAQATGSLVHEAAAALRS >Et_3B_028554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16710540:16713942:-1 gene:Et_3B_028554 transcript:Et_3B_028554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALIGNYLTAASPPPLLLPAAGGGGAPGPSARFRGYLRAGPRLVALRRAGAGEAVSAASAEEEDEGEAEVLGEGFPSWEGGNGDEEDYDHDPEIGDIMGDYFDDPKKAQTRMEERIRKKRHKIVQAKTGSSNPMKVVFNKFDFSNSYIWFEFYNALLPKDATLLSDALRSWHIVGRLGGCNSMNMQLSQLPLDCKRPSYDALEGANVTPTSFYNIGDLEIQDNLARVWVDIGIHEPLLLDILLNALTTISSDHVGIKQVQFGGLEFENWDENLKTEEAGHRVHKI >Et_8B_059623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:258783:260676:-1 gene:Et_8B_059623 transcript:Et_8B_059623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVVAAAMAAQTFLIAATAPPAALFASPSASARPFHSLRFVAGPGAPPPPGRSSSPTPPRRPSPSSRAHPRSRASSRSHRRTTVRPTTVNVRVTGLAPGLHGFHLHEFGDTTNGCISTGPHFNPNNLTHGAPEDEVRHAGDLGNIVANAEGVAEATIVDKQIPLSGPNSVVGRAFVVHELEDDLGKGGHELSLSTGNAGGRLACGMFYVTYCDSIHVDV >Et_1A_005329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4386863:4387393:1 gene:Et_1A_005329 transcript:Et_1A_005329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVVSATASCTGNLFGQRRRQPPPSPTTDSSPPPNKVSDQELERVFCHLDADGDGRISAAAIRKIRRCTDEEAEEMVATADSDVFDEFDENKDGVIDTAEEVRRVLRRLGLDEAELPAEQMVAKYDSNGDGVISFDEFKALMAEKLPAAA >Et_7A_052817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17563696:17568322:1 gene:Et_7A_052817 transcript:Et_7A_052817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTRQRKKARAAAVPSIGADRLSALPGDVLPHILGFLPAQEAVRTCLLARIWRDVWKATDRLIFAAESVQQARGFVDRLLDLRLGRLAEAQPSACELRFRWFEQADEPRVNHWIRSALQCEVKALRVDMLGDGDREEDDLVSSIQPLVSCHLKRLHLAGIRCIGRFLDLASCPALQDLEILDCDLRVRNISSPSLTRLSIKSCISYRKSRVRICAPRLVSLRLVYPACLSRTPALVGSMPELVAAHVVIGSDHDSCSCDGDRVNCHHVRAVGSCSRWENDEGAEQFYGGDDSQDTRECVLLSGLLEATDLTLMSNYSTYIFRRDLRWCPTFSKLKTLLINDYWCYPADCRALACILGHTPVLEKLTVLFSNEVQSNYQVEMKGRLDPKERSVGLSERLQIVEVKCDVVNGTVLNLLKFTSALNICHRLMPSSISHTHRMWLDRQKKVTGHLASGLAKGSYSYQCVPPLGPESKICNTASTAPFSSQPAPSTCRNGLAATTRTLQACARITRSNASFAIAAAAMDSRRWIRRSNGSLGEIFYSSVHSSFR >Et_6B_048664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12348797:12363042:-1 gene:Et_6B_048664 transcript:Et_6B_048664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVRLLLLAVSVAALAATVGEAVYIPYNTSAGVVAGKLNVHVVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLIPALLKDENRKFIYVEQAFFQRWWRNQNDVIKDIVKGLISSGRLELINGGMCMHDEAAVHYIDMIDQTTLGHRFIKEEFGQIPRIGWQIDPFGHSAVQAYLLGAEVGFDAFYFFRIDYQDRDTRKGTKELEVVWRGSKTLGSSADIFAGIFPKNYEPPPGGFYFEVDDDSPVVQDDPLLFDYNVEERVNDFVAAALAQDGRVNALYSTPSIYTDAKYAANEPWPLKTNDFFPYADNPNAYWTGYFTSRPALKRYVRMMSGYYLAARQLEFFKGRHSSGLTTNSLGDALALAQHHDAVTGTEKQHVANDYAKRLFIGYTQAQELVSTSLGCLTESGSKSRCSSPTTKFSQCLLLNITYCPSSEMDFSNGKSLVVLVYNSLGWKREDVIRIPVFSDSIVVHDSEGREIESQLLPIADASLNLRDKHVKAYLGTSPHAKPKYWLAFSVSVPPLGFTTYLVSNTKKSASVSSKSTLYSTQGSANNNLQVGQGHLKLQYSAAGSLYSDSKTRIEANFEQKYKYYIGQDGNGSDPQASGAYIFRPNGTVPIKNGGQVPLTVLRGPILDEVHQQINSWIYQITRVYKEKDYVETEFIVGPIPTDDGNGKEIATEIITNMATNKTLYTDSSGRDFIKRIRDYRSEWKIDVHQPIAGNYYPINLGIYVEDGSKELSVLVDRSVGGSSIKDGQIELMLHRRLLHDDGRGVAEALNETVCVDSQCEGLVELEDGNVLLRFAHLYEAGEHKDLSSLASVDLKKVFPDNKIDKIVETSLSANQERAAMEKKRLKWKVKGAPAKENVVRGGPVDSSKLIVELGPMEIRTFIISFDDDVSGKQLL >Et_2B_021691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4534989:4538053:1 gene:Et_2B_021691 transcript:Et_2B_021691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSERSRESLLPSFLYSAAGPRSFAGAASRLPAPKEKIEMYSPAFYAACSVGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGILAKEQGMRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVKSEGTMGLYKGLVPLWGRQIPYTMMKFASFETIVELIYKNVVPVPKSECSKPYQLGVSFAGGYIAGVFCAVVSHPADNLVSFLNNAKGSTVGDAVKKFGLWGLFTRGLPIRIVMIGTLTGAQWGIYDAFKVLVGLPTTGSVAPEPAPAVAAEEALKASA >Et_2A_015527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14525775:14526397:-1 gene:Et_2A_015527 transcript:Et_2A_015527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHMQQVKRSDPRELSNYIKHISTSEKVRCSGRFDRNNTEITETCPEQLQNCLEPSNYMKHIATSEKERSPGRFDRNNTEINEKGPEQLENCPLDTS >Et_6A_048074.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:5189641:5190324:-1 gene:Et_6A_048074 transcript:Et_6A_048074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSCSAAAERRRQRAYATLDAADPARGAAVRWCERAHSIHAETGDLTDARCLLRDAIVWGMHPPTIYRAWIAMEERHSGNARIMRLLFREWRLRPERRPGGGFELDGAFWCRYIAFELAHGGDGDRVRNLAEAAVRSCPRDAAVHAAYAKAELRMDRGDRARDVVERACAVFLAAPEDAEARETLMEEVNTYKKSLRKKFSPFRGCGRMWCRQARRGAYQRLGEA >Et_2B_022233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14690233:14691498:1 gene:Et_2B_022233 transcript:Et_2B_022233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGVVCPPPEVGFGGEYYSVVNGVCSRATSFFGGKPPLGQAVGYAVVLGFGAFFAVFTSLLASFLHAPVTVPLSRLAVRSHRASTSPTPNAHPSHRGGSAPPVHHRGTIEKPFDKASPWSISGEGDLGDTMVLLRHCNVSPLLIEFR >Et_2B_022743.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:4004136:4004408:1 gene:Et_2B_022743 transcript:Et_2B_022743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATLSPSRAPRGTLSRRSGGRRAVAAGLVLVISFAAHGGHDAQRFLTGRNNTFVRAATVAVPLLVISSASPAPASATVANRFARRGGRR >Et_2A_017787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6214861:6215391:1 gene:Et_2A_017787 transcript:Et_2A_017787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVVGHRFRHRAVVVRVCEQGPGCPSGRMVAIFVTSSTSNRTLDRRILAASPFAAATSSRTAFLSSAFSAVTSASPSSYGFGREHASRWFQLYLGSKCDGAMVATTTSLSPPVDLALTSSSVRRNTFRYTPRYPMSVLFMSGRLFTPMTSPPS >Et_1B_009848.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:32760585:32762499:1 gene:Et_1B_009848 transcript:Et_1B_009848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRFARRSLLLLLLFLIASPCSPKEQEGGGLRESFLRCVARLSPATADLSHLVHAPDGASYSSLLNATIQNLRFASPRTPRPALLLTPGTVAEARACVACCRRHGLTVRARSGGHDYEGLSYRSLLRPARPFAVVDVAALRDVRVDASRRVARVGPGATLGELYYAVARGSGGRLGFPAGICPTVCVGGHLSGGGFGPMMRKHGLAADNVVGAELVDAGGRLLDRDAMGEDLFWAIRGGGGGSFGVVVSWTVRLVPVPPVVSAFTVRRLLRRGDERQTQATLRLLTKWQRVAHALPDDLFVKAAIESKLDDAGERHPLVIFKSLFLGNCSGMIAEMNNHLPELGIKPSDCRDMSWIQSMLYFYGYTNGQPAEVLLDRTLQPKDYYKIKLDYLTSPIPTPGLTGLLAKIVEDKGGSIDIDPQGGRMSEIPESDTPYAHRRGYLYNLQYFVKWGGDKNVSYEDKHLDWVRGVHEFMTPYVSNKPRAAYINFRDLDLGQNVEGSTGYEDARVWGEKYFRGNFRKLAFVKGEVDPDQVFWSEQSIPPLFVVSDS >Et_3A_023367.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:23670738:23670812:-1 gene:Et_3A_023367 transcript:Et_3A_023367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKEQKSRLYIIRRCVAMLLCHHD >Et_7B_055630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18377293:18378445:1 gene:Et_7B_055630 transcript:Et_7B_055630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFMLGLAVPYHHWTTPGNHIGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGSFGFLYAAQSTDPTKTDPGYPAGIGVRNSLFVLAGSNVVGFFFTFLVPESKGKSLEELSGENEEEEEADSSAAADYRTAPFFKHFNMLDHHSLLWGLGMPEPLATGEYSKTEYDLPLSQQHHPCDL >Et_2B_019061.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28617837:28618121:1 gene:Et_2B_019061 transcript:Et_2B_019061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRSAGSRMASSTTSSNGAPFWYRFHAHSARHRSAPLSLATLPVVSSSRTTPKLYTSTFSFTFGLYPYSDATNSISTTNRLQSSKLEMGWQLN >Et_4A_032208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24297677:24298954:1 gene:Et_4A_032208 transcript:Et_4A_032208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLETRVVEEADEVTDRPRINCSRKVAYGCGEHGQELVGGLSLHVRRLEHHPNFTCRLAISLGQEAIRSVRAELGVSGGLVEVFRIRSLGELGRYLVYDTTDASLYMVPCAPGHLAYQTMTPVPRRTGDGRGPQLFLMARTSWPEPVKRKFLCMCTLPTGAKSGSDSSVAWQIKEQRFPNNLGSFKSDVMFAFEGKVFWADLSQGLVFCDPEQLHRDSVVKFEFISLPKQEDEVPEPAMRSRNVVCVGGSIKFIFIDRSRGFGREMVKLWTLNLLSREWEPEPEKSIRWNELRKQVVCPEFWDLEPCYPSLLPDGTLCLLAYNVRQIRRMAFHYICSFDISSKKLLSSGLFGHLSGSGPIVLPCDFFAKCCSPPPRQSKPRTNKRKMDSI >Et_3B_028803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19287069:19295651:1 gene:Et_3B_028803 transcript:Et_3B_028803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDLLLRTHAGAPVLARAFPGRLCVPVRRRCSSVSPLAAAKVGVVSRVRSGGGAAVQKRRRSDAEGGRPFSRVVTQRDAVEEDEEDVEAEALELGAVKSGDEGEGVDGSYLSETRFDQCALSPLSLKGVKDAGYERMTEVQEATLPVILQGKDVLAKAKTGTGKTVAFLLPAIEVLTTLPHQRNQLRPPINLLVMCPTRELANQVAVEARKLLKYHRSLGVQVVIGGTRLTQEQRSMQANPCQILVATPGRLKDHLENTPGFSSRLKGVKVLVLDEADRLLDMGFRRDIEKIIASIPRERQTLLFSATVPEEVRQISHVAMKKDYRFINTVKEGDEDTHSQVTQTYMVASLEQHFSILYDVLKKHVTEDPDYKVIIFCTTAMITKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVGIPADREQYIHRLGRTGRKGKEGQGLLLLAPWEKYFLGTVKDISISEAVVPSVDSSVETEVQNAIRKVEMRTKECAYQAWLGYYNSNKTIGRDKSRLVRLAEEFSESMGLAVPPAIPMKILRKMGLGNPKRRRRGPRKQVPASPEVATVGVMFAYEQGADGAQRDGPAVPRPRVVTTPRQMGAGSVEGSSSNAGRSGTEAEGVDGSYLTDTRFDQCAISPLSLKGIKDAGYERMTRVQEATLPVILQGKDVLAKAKTGTGKTVAFLLPAIEVLSALPHSSSINLLVMLPTRELANQVAVEARKLLKYHRSLGVQVVIGGTRLPQEQRSMQSNPCQILVATPGRLKDHLENTPGFSTRIKGVKVLVLDEADRLLDMGFRRDIEKIISFIPKERQTLLFSATVPGEVRQISHVAMKKDHVFINTVQEGDEETHSQVNQTYMISPLDQHFSILYDVLKKHVTEDAEYKVIIFCTTAMVTKLVAEILSHLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVGLPADRQQYIHRLGRTGRKGKEGQGLLLLAPWETQFLNSVKDLSISEVATPSVDSSIQTAVKDAVRKADMKAKESAYQAWLGYYNSNKTVGRDKARLVRLAEEFSQSMGLAVPPAIPKLILRKMGLANVPGLRSA >Et_3B_031243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22586878:22587493:1 gene:Et_3B_031243 transcript:Et_3B_031243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTPIFRDVPEDAYRKRIKTGTILSFLDALRGLVTICHVLVQDTAAMLKDGHPAKDKITENMLIYSNEYDRKTTKLKEVFVNAGIKQTDGR >Et_1A_005986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16437648:16440062:1 gene:Et_1A_005986 transcript:Et_1A_005986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLDMSALETQFGAPAAGEDGGLLFDVDGASMPSMEFPNCTDFDRFQATTKDMVKNKRGTTTLAFIFDKGVIVAADSRASMGGYISSQTVRKIIEINPYMLGTMAGGAADCQFWHRNLGTKCRLHELANKRRISVTGASKLLANILYSYRGMGLSIGTMIAGWDEKGPGLYYVDSEGARLKGNRFSVGSGSLYAYGILDEGYRFKMSVEEAGELARRAIYHATFRDGASGGCVSVYHVGPDGWKKLSGDDVGELHFQYYPVQRTPVEQEMTDASTG >Et_1B_014436.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:8635057:8635716:1 gene:Et_1B_014436 transcript:Et_1B_014436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAPSPKRIKTSPLVEQTDAPRTSPAEGKIEIVDPEEEIDICGGVSPVVAIRDTSPLFPVEEPRSSEEVEGPAPAALPPKENATPEPSRLPEPASETVQRTEPESDSYESVSSPAPSAVLPMKIDSRAQALKPAPVIATKAQGSQPISVLLARAKEAYEIRQQKGNGWEREKVRREVCEMEKAVLPDETIHPQDLKELGIAEFGYVLDKLGVFLRPDV >Et_1A_005718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12996994:12999561:-1 gene:Et_1A_005718 transcript:Et_1A_005718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGRLVVVAVALALVLLAAARPAGAAWCIARSGAPEKALQAALDYACGPAGGADCAPIQASGLCYLPNTLAAHASYAFNSVFQRSRAAPGACDFAGTATTTLTDPSYGSCTYPSSPSTAGQSRGSPGSSTSLSPPGFGNSGGTSPPADISDDSSVEAPAAASFPSLILSCFMYLLLQWW >Et_2A_015896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18892696:18898441:1 gene:Et_2A_015896 transcript:Et_2A_015896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTKHRQLQAAKSRAAGPRPTRARSDGTLPVPAAHGAPPHCGVPSTPHQWRAGSGSGRLFVPSRLRARGLDELAAKEMVKIVTYNVNGLRPRVAQHGSLRRLLDALDADIICFQETKLSRQDLSGDVIMAEGYEAFVSCNRSSKGRGSYSGVATFCRVSSAFSSQEVALPVAAEEGFTGLQDYTKNSEIIGDFVLVMPVEDEDLGEITREDLLRVDNEGRCIITDHGHFVLFNIYGPAVVEDDEERVRFKLLFYKILQKRWEHLLSLGKRVFVVGDLNIAPASIDRCDAPSGFEKQMFREWLRSMLREHGGPFFDAFRSKHPDRTGAYTCFNQKVGAEEYNYGSRIDHILISGACLHHCDSVSVEDHSIFCCHVEECEIMNHFKRGNSENLSKWNGGRSIKLEGSDHIPVYILLKELPELSVHNIPPSAARYLPEVRGRQQSIVSFLKKGKNHELQDAPYLNLSEDRADDIRCSDDLGNKTVVKEELVAGIPEFSKGGNLPSLMCKKRTNLDQWITDDSGGISRDSKKASFSSTKPVSNKKMKHTLSSQRTIKSFFQQPGSKEGNAGTNTLFTPVETVHCTDESCGPNPNGDNLPENMQCTTSASEDHNNTDTSCTLSKDKSNAAMLEWQRIQQKMKMTLPRCKGHREPCIPRSVKKGSNMGRLFYVCARAQGPSSNPEANCGHFQWAPVKSKQKQSNVNIIEEWR >Et_7B_054281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19076121:19080006:-1 gene:Et_7B_054281 transcript:Et_7B_054281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRANRFDCVEDTEPSSRFVQMRLDLDKGSVNGQLPMNQGNESANRGPYGLVVSAMNTKTTRRETDLEERYDIMVADQHGIGGLENRTPEESLQGAEICATVSKDANDCKIFVEELERVQHKLASRE >Et_10A_001941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13390084:13390531:-1 gene:Et_10A_001941 transcript:Et_10A_001941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYERLGKIGEGASATVYLARDRRSGEAFRHEVRGLEACHGHPSLVELRAAHRDPGAGSSSGRAWRAYCGRSARGGRSPRPRHRLPLELSR >Et_5B_043710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14373583:14389364:-1 gene:Et_5B_043710 transcript:Et_5B_043710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKNEQDYSPVECLDEHLKFFQIRNYEEKSSDINFIKFFVLNARVLESMKFVVCDGNCDAKWIASQHNDRASRAARFYFHASKWSSSLVHMKHRKKLEDESRRPDLPPRGGGGDEGPDLISRLPDEILESIITILPAKDGCRTQILSHRWQPLWSAAPLNLDAVVACMMVEKQVSNIFRTLRTHHGPVRRFSLTCRSTGDRFSLVDSLLRSPRLKNLQEFELLFSDNCGHSSPVPQPVFRFLPTLKVLTIAAVCKVLDFPSEISSTLNVPHLEQLTLHNVYISERALHGVLSRCPVLDALVLDWNMGYRVLHISSQTLRSLGISNCWECEEGRLQEVVVENAPLLERLVPRSLQYDDLVIRVIQAPRLKTLGYLTERIATFQLGTMVFQKMTPVNLCNVMRTVKILALETAPSLDLIIDYLKLFPCVEKPYMVAFAKGNFKNARHSVSLECLDLHLKTLHLINYKGDMSDVNFVRFFISNARTLESLKLFVRRDKCDTKWIATQHEKLWLGTRATKGIRFDFVASYRACAYSYFSLTPKMTAAAGDESSDLISLLPDCLLTTVLSLLPLHDAARTTALSRRWRRIWPSTPLRLVDSPAPLSAAAISQILASHRGGAELFHLILVRPSPADLDSWLPSLAAKRLQELVLRPPSDEPLRLSPSILECRSLRSAELTNCRLPEDAAAAGAVSFPRLAELTLRLVHAPSAAALHGLLAGCPGLTSLSLDRVFGCRSLRVRSGTLRSLTVSVSLTRRSIPDEAGELEHLVVEDAPLLERLLAHDINRGPSIHVVQAPKLQMLGYLGVGIPELQLGATLFRSMSAVKLVTQFQSVRTLALEMADLQVKLVAEFLRCFPCLEMLYVTSHMVVPQSMKMVKYDNVHHPIECFHNHLKTVVLKGYKGQKHEVQLAMFLIHGARVLKVMKFLCDNDCNSSWLTIQKRRLHLENRASLEAQFVFQKFSKSYIRFVKQASNISIVFVYLRDVLDLLFGLYVMC >Et_1B_013911.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:23537874:23539022:-1 gene:Et_1B_013911 transcript:Et_1B_013911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAVPPPPAAVVPERRVPVVPRLADDGGGGGDARGSTGGSGSGSVAGISPSILIIAVIVVVMLLASVAIHYFIRHLCRHVGPPGQAAPPLPLVARGPAAGSASSAAVAPAEQGAGGGAGGGKAAAAAEAEAERLISRLPLFTLASSLAAVPKSSRDCAVCQSAFRDDDELRLLPACRHAFHSRCVDPWLRGNPSCPLCRASIALPHPPLPELLRVELGSVSSRRSNPDAAVRAYPLPSLPAGADSEYLVEEEVHVVLKPSPPAAAAAGSSEPAWTQPQQRQLAAVERGQPSSAASVGVTPTASFRSAERSSSRWSNRWSSRWTSGRWSSRYDAGTVTAAATAEWWWDMDGGAAPAALRRREAEENSASFYGFLRWLTGAY >Et_2B_021097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26433111:26434914:-1 gene:Et_2B_021097 transcript:Et_2B_021097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTIKKKSGLNKKDGGSLEKAARPRLCQVRGGPLSFHHWKRAVDAALLSQPNAVSATLETERPRAFAQASQSLLPTWNGRADKATPDTGLQPYGIVLTTLMPPLGLQARAAELGEWPKLIIRGIYWSDLLTSPLKPIYAHES >Et_9B_065044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20817631:20818066:1 gene:Et_9B_065044 transcript:Et_9B_065044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRPIRTARSGARWKDDAAGLGGRVGGFEQEDDEGDAALDDGTGDNADQLNVNSSSAGSKLLSRLQTHHSLPSTYSNSHPSLRALILAPTNRRRTRRHLPPAGLRGCRSPCVSAVRRDQKAVQPTESQLRSPGRNRE >Et_2B_021154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2699267:2703723:-1 gene:Et_2B_021154 transcript:Et_2B_021154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRHEMVHFDKITARLKKLSYGLSQEHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTASHPDYASLAARIAVSNLHKNTKKSFSETIKDMYGHFNERSGLMAPLIAEDIYEIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKLGGKVVERPQHMLMRVSVGIHKDDIESAIKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMQRVQNNGEWSLFCPNEAPGLADCWGEEFEKLYTRYEREGKAKKVVPAQTLWFDILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRFVREKDVPIESHPSKLVGSSDSKNRYFDFDKLAEVTSTVTYNLNKIIDINFYPVETAKRSNMRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYYHSLKASAELAAKEGPYETYEGSPVSKGILQPDMWNVVPSNRWNWPTLRETISKVGIRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGVWTPALKNQIIYEDGSVQKIKEIPNDLKGIYKTVWEIKQKTLVDMAVDRGCYIDQSQSLNVHMEQANFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTGLLKENGNEVNGTKPTEEDVEAKMAQMVCSLNNREECLACGS >Et_3A_026879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2499637:2501818:-1 gene:Et_3A_026879 transcript:Et_3A_026879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITDCLFGDHDLVGTLPVTWYKSVDQLPMNAGDADYDPLFPVGYGSRHGEFVTIKPKY >Et_3A_026015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3512389:3521928:1 gene:Et_3A_026015 transcript:Et_3A_026015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEEKVHAGEWEEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCSPPNGARASPVSVPLAVPKAGAAYPQLTAHTPFQPPAGPSLAGWMANAAASSSVQSAVVAASSIPVPPNQAVSMLKRPTVTDYQSAESEQLMKRLRPSGHGVDEATYPAPIPQPTWSVDDLPRTVACSLSHGSNVTSMDFHPSRHTLLLVGSANGDFTLYEIGLRETLLSKSFKIRDIQACSPQFQNSVAKDSSISINRVTWSPDGDLIGIAFAKHLVHLHAYQQPNETRHVLEIDAHTGSVNDIAFSRPNKQLCIVTCGDDKLIKVWDMHGQKLFTFEGHEAPVYSICPHHKESIQFIFSTSLDGKIKAWLYDNMGSRVDYDAPGKWCTTMLYSADGTRLFSCGTSKEGDSYLVEWNESEGSIKRTYSGFRKRSAGVGAVVQFDTAQNHFLAAGEDNQIKFWDVDNTNMLTCTEAEGGLPALPRLKFNKEGNLLAVTTADNGFKILANADGLRILRSFGNRPFEPFRPQYEASSMKVSGAPVVAGIPPNISRMDHLDRNSPAKPSPLLNGGETASRSIDIKPRISEEKPDKAKPWELMEVLNSQQCRIATMPETPDQPSKVVRLLYTNSGGGLLALGSNAIQRLWKWSRNEQNPNGKATASVVPLHWQPNSGLVMTNDPADNPEDAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTRLKGHQKRITGLAFSTNLNILVSSGADAQLCVWTFDTWEKKKTIALQMPVGKTPTGDTRVQFSSDQNRLLVVHETQLAIYDASKMERIHQWIPQDTLSAPISHASYSCNSQLVFAAFTDGNIAVFDAENLRLRCRIAPSVYMSSAAMNSNPPVHTLVVAVHPHEPNQFAVGLSDGSTKVLEPLESEGKWGTPAPMDNGVPNGKTPVSSATSNAATDQIQR >Et_7A_050315.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:24518522:24519194:1 gene:Et_7A_050315 transcript:Et_7A_050315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHFVFPPSEHENLPIQTSFEEVKPEKDVQAASTSVEDYSFKNVGAKNDSGSESIEFFDEGRNLSVDDIEMRDDAPDYGSAHAEDGGRGFVPHDEGTEAGGESDERSDQPSKSADSKSDDADASCKCWLKKHMTCLYHQAKETNAIWSVVVAAAIVGIVIFGRYKDKLHINPLKWCSGSAVRYVNILFPYTLFHGF >Et_4B_037293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17752099:17754295:-1 gene:Et_4B_037293 transcript:Et_4B_037293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTGSQGHRANGKQNQVQTSDLPGPPIVINGPRHRPLTLMRRFQGVLCLVIMLLTAFMMMVYLSPVTTYLVRLFSLHYSRKSTCFLFGTWLALWPFLFEKINQTRFIFSGESVPPQERVLLFSNHRTEVDWMYLWDFALRKGRLQCIKYILKKSLMKLPVFNWAFHLIEFIPVERKWEIDESIIRSRLSELKNPEDPLWLAVFPEGTDYTEKKCIRSQEYAAEHGLPILKNVLLPKTKGFNCCLQELRGSLDAVYDITIAYKHRLPTFLDNVYGIDPSEVHIHIECIQVSDIPTSEDEVADWLIERFRLKDELLSDFSTLGHFPNEGTDGDLSTVKCLANLTAVVSVTSILTYLTLFSSPWYKIFVAFSCTFLTFTTCYCIHFPQLIGSPEAMIHAKKA >Et_4A_032174.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22386441:22386647:1 gene:Et_4A_032174 transcript:Et_4A_032174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTCLCPPIILNIHWLDQASVDQLIKVGLPCLLQILPPFSVNESFCYPFPYQTFSYNPMVLWKYSEV >Et_5B_045522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3615830:3618887:1 gene:Et_5B_045522 transcript:Et_5B_045522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLGHLARRRSGGLREDKGMESTHATEESNSKDAIASLSPTGSSETSADLPTLPAAKEVPDDYDHKEPREHEEKSAEAVIQNSNLHTISADSLESSFHVLEMSVPDLTEHHVESNAHSDTGPEIIPDSRPSGAFTNSTNGTGNPPPSAAATAVNNMSEKLKRTEHSETKPESPYRGLIDSAAPFESVREAVTKFGGIVDWKAHKTQMMERRKVIQHELENLQTEIPRCKEELEAAEIAKSQVVDELERTKRLIEELKHHLEKVQTEEAQARQDSELAQLRAQEIEHGIADDTSAIARQMEVAEERHAKAVSELKSVKEELRSLHEQYVTLIDERDTAIKRAEEVISAGKEIEKRVEGLTLELIAAKGSLELAHAAHHEAEERRIAAALVKEQDCLGWEKELHQTQEELQELNSKVLSKNDMKMNIDANLRKLLSLNSELAAYMENKLSEEAEGVSKEHGSEDAKRMSNSIKEALNLKQKELQEVKGNIEKAKTEANLLRFAAATLRSELGNEKASLDTLQQREAMAYIAISSLEAELNRTKQEIESVRSKEADAQEKMVELPKVLQQATQEAEDAKVAAHLAQEELTEAKEELEQTKAAATTAETRLCAVMKEIEATKASERLALAAVQALQESKEARDVKDSPRRVTLPLSEYYELSKKAHDAEELANEKVAEALAQVASAKESEARNLERLNEASEEMDEKKEALEIALERAERANEGKLAAEQDLRKWRADHEQRRKAYEAAKRSVNPLNGPSRIFVEPKSPYHNEQESKSQMSGSSYESIAPNRKLQRKKSLFPLVGSVLSRKTRAQT >Et_10A_001915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1182414:1187295:-1 gene:Et_10A_001915 transcript:Et_10A_001915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMRSQIGAAPAEQQLLPDEILEDIFLRLDSGPDLARASAACRAFRRVASGHAFLRRFTSLHPPPLLGIFRFTANEGFQGFHPFESPHPSAPAACAVAQAADFTFSFLPDPTRWTVHDVRGGRFLLSRAKFFNTAFLELAVCDPVFRRYALVPSIPGDLVPPMWLEMKSDPFLAPPGDDEEKESGKDSHFLVICNWKSDHKFVSCVFSGVTGKWRGATSYSFSPSNLILKPQGLVRYCTCSRIYWVHPSERYMLVLDPREMKFSLDELLRPSDLTMVIEKIAIVDAEDGRLGLVTLGPRKLGLGIYSRTCRNNGDSAEEWRHDKFIPLPKPPFCYSVADTAGGYLILLGNPFYFHQQKRSRIQFSFGGEEQKTPYFAVDLKTSLVEKLYMPKLPDFLGLFVLSETE >Et_1B_011043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16962053:16967544:-1 gene:Et_1B_011043 transcript:Et_1B_011043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSGSRAGGGCGGLDAQIEQLMECRPLPEPEVRALCEKAKEVLMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIENLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQFEPAPRRGEPDVTRRTPDYFL >Et_5A_040616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10253165:10257785:-1 gene:Et_5A_040616 transcript:Et_5A_040616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSTDKSSAETETSVSSASERFTDDQDTAKSSPKSTESPEISSKELEDESNVKVKVLSERLSSAVEDIRAKDDLVKQHSKVAEEAVLGWEKAQKEIASLKMQLSAASATNSALEDRLIHLDGALKECVRQLRRAKEDQDQTVQDALAKQARQWESEKTNLELRVVELTARLEAKSERSSVASDGDAAAAGSRLAALEKENSALKAQLRAKTEELELRTIEKELNRRAAETASKQQLESIKKVAKLEAECRRLQATARRPSFNVQLRRTPSSVCAESVTDCQSDCSDSWASAALITELDQLHKNDKGNASRSASFAATDIDVMDDFLEMEKLASATTTGSSKGDDAEDASAHLVKMEEKARKLAAEKADREKALHEAQRELRVSRHRALVAEEKTAELQRQLNLANGEKHAMVAEMEAAEAKRSELEGKLELARAEIAGLLDKGRVLEERVESEKTLTLELAAKYQDMEALAAEKRELTAQLEASRSEAKKLSDRISMMERKLEVEKALSIRLATKCHGVDALEAKKKGVELELESAREEIASLQKKMSSLGLKVQEEKASSAELASRCQDLEALCKQGEEFKSQLESANSRIVEMNEKVKTLEDTMDKNMPLTMELESQLEAKQAEISCLKENISLLEKKLDSQKNLSAAYISALGASEDEKKKLASQFELKEKEVEDLREQMSSVEELMHKEREQLSEFAARCQKMEEQLSSRSLLGHHQSVKPVAIKDLQTRKEKELTKAAGKLADCQKTIASLSSQLKSLADFDEFLPGTENEGASANAWDDNLKLLHPASYPTQIGRLAVK >Et_2A_016973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:309675:310021:1 gene:Et_2A_016973 transcript:Et_2A_016973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPYNKETLPLGDGLIAPMCLCGTPCKRLWMCNNYQYELPLQRLYFSDGRPKCSPSLCEFIEYIDTEQTPENIAHVYRVAERAKTH >Et_4A_033130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18912726:18915054:-1 gene:Et_4A_033130 transcript:Et_4A_033130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFDDYWARAYRGDSGVPHSDPQRLVSTWTGAFALGAAACVHHHASSLASHLKSLPHSWQDMTMMLDQKRWKKILEKKQQQA >Et_1B_011859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26625771:26632284:1 gene:Et_1B_011859 transcript:Et_1B_011859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRAPAPAVRWHAPAPRDGRAVPAHARAAAPAAPRQRARRGDLRAGAGARRADDARRRAGIAAAPPVRAGPLLRFGCTDVTFAPAGPYHRAVRRVAVSELLSARRVATYAGVRRDELRRLLARLSRSAAEQQPVVVDLSACLLTLANDVLCRVSFGRRLPHGCGKGDKLAAVLAEAQDLFAGSTTVTGLRRRLKKCPADLCEVCDEIIDEHVKRRRIPGDSDEDFLDLLLQAQKSPDLEVPITDDNLKALVLDMFVAGTDTTFATLEWVMTELVRHPGVLKKAQDEGHVDEPDLAGLRYTRAVIKETFRLHPVPLLLPGESAVPCVCDRRLRRHPLRTRVFVNAYAMGQDPERFESENGGGEIDLKDPDCKMLPFGGGWRGCPHLSLSSLPYHFEWALPDGVRAEDVDLAESFGLATRKKEPLLGMKIWSFLPATVAVAVVLLVSGSASTDLPAARAIVEHTFVVSQVNMTHHCKEMSVTVVNGQLPGPAIEVTEGDSVVVPVINKSPYNTTIHWHGVKQRLNCWADGVPMVTQRPILPNQNFTYRFNVTGQEGTLWWHSHVPGLRATLHGAFIIRPRNGTGSYPFPKPHKEVPIIVGDWWEMDLEQLANNLADGYFNDDPAAATINGKFGDLYNCSGVAEDGYVLEVEHGKTYLLRLLNAMLFNEIFIKIAGHKFTVVASDANYVNPYTTDVIAMAPGETVDALVVADARPGSYYMVTQPIQPPRPSDQAPLRVARATVRYRSNNQSPDDEEEGRGGPFGDAHVAPEMPDQHDLPKSFDFHGNLTSLRGHPLIPPVPQRVDERMFVTLGLGSVCRRGGQTCKRNGSNGSIIVGTMNNVSFQLPETTTPLLQEHYYHRTDAMAALEEFPDQPPRFFDFTDMALITPGPKEARLEPTAKAAVARRFRHGAVVEVVFQNTAIQQCDSNPMHLHGHDMFVLAHGLGNYDAARDAARYNLVNPPLKNTVQVPNLGWSAVRFVADNPGVWYMHCHYEFHLSMGMVALFIVEDGPTSDTSLLPPPDLLTCDDDDIVTMTSTLGKLKCHAKASDT >Et_6B_049354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3774398:3779313:1 gene:Et_6B_049354 transcript:Et_6B_049354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDLYLDLLQADYTEGDALDSLELVRYCCRRMLMTHVDLIEKLLNYNKQLGMTKVSSEKRKDLNMS >Et_9B_063830.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:16626443:16626694:-1 gene:Et_9B_063830 transcript:Et_9B_063830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQLLLHLVCEGECLLRLLLKPVGDGAPADLRKVAMGFGLRRRQPAAVDSTVRQVQAPKVSLCYFYFLGVFVQNKQLPSVSC >Et_6B_049431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4838032:4839038:1 gene:Et_6B_049431 transcript:Et_6B_049431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FLALGSCGGKKRERPFGVDEQCGRKNNAKFRIKDIVASDTIHREQVTIKPPLHCLISSNTKAQMSGRPGAMEGGSRQRRNPALVRPNAAKRHLQQQQLEADFNDKKVIASTYFSIGAFLVLACLMVSLLILPLVLPPLPPPPSLLLWLPVCLLVLLVVLAFMPTDVRSMASSYL >Et_3B_029625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26646328:26647507:1 gene:Et_3B_029625 transcript:Et_3B_029625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRLFAAALLAVALALGMAAAQGPAAAPGPAAGISSECMEPVFNMSDCLTYVTAGSTARRPDKPCCPELAGLLESHPVCLCQLLAGGAASYGVDVDLKRAMALPKICRLTAPPVSACAVFGVPVPAGMVPSATPTTGISPSSRSSGISPSSSSSGPEVPANTPAGLTKPNHAARRFTAAGLLALAALPLAVTAAAGMF >Et_1A_004930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17429931:17431379:1 gene:Et_1A_004930 transcript:Et_1A_004930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRLGEVPALVVSSPEAAEAVLKTHDVSFADRPYNERWRQLRKICVMEMLSAARVDSFRRVREEEVARFMDSLAAASEAGAVDMSKLISKYVNDTVVRESVGRRCSKDQDEYLDALDEAIRLTSVLSLADMFPSSRLMQMVGTAPRKALASRRRIQRILEQIIRETVEAMDQAAAAGRSHEGGGLLGVLLRLQKEGGTAVPLTNDTIIAVIFDLFNAGSDTSSTALTWCMTELVRSPSAMARAQAEVRASFEGRRKTTLTEDDLTCLPYLKLVIKETLRLHPPLPLLPHQCRETCRLMGYDIPKGAAVFVNVWAMGRDPNCWDDAEEFKPERRRMCPGLNLGLANIELALASLLYHFDWKLPHGMEPKDVDMQEDLGVVAKKKTSLILQPITRIAPGKL >Et_9A_062444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2369724:2371262:1 gene:Et_9A_062444 transcript:Et_9A_062444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGAALRRSLPSVSPAVARGRRRPARVACVRGGFAEEGHLRYYEAGAPRKAAVEAVARDLAKLRAMALVAGDAAKEKVLSESTDLLLQELSQMKDEDYNMKKARKEEKDAMKALKKQQKEAKKAAAMMNCEDESSSESSESDCEEQQIMKVGQGMLISTATSEDDASCVSTVTAMECEKAAMKAVKKMEKEQKKAAKKAMKMEKKAKKMAMAALNGCRDEDSSSESSESECEGEVVRMSRCATITAPQKPALSTVLPIVVPQIPESVSPEPFSSEPATTTQCTSIAVVEKPVANRIEVCMGGKCKKSGALALLQEFEQKVGTGGAAVGCKCLGKCGLGPNVRLRSEGWLEGSVKKNPLYIGVGLEDVDTIVAGLFGDSDLGVAPV >Et_10B_004175.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17588376:17589644:-1 gene:Et_10B_004175 transcript:Et_10B_004175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGGAMRHHLMCPISLQPMQDPVTAPTGISYDRRAIERWLAAGHATCPVTGQPLALADLTPNHTLRRLIQSWAPSDRFSTPNSTPEREQPNADVVGMLLSVSSSRDSPPTVDVLRAAAEVASSEGDVARRYMVDAGVVPHALRLLVSCAKKKSCSGESSVDVPAVEACLALLRALDVSGDELRPLVADSHDLVDALTDVLVALEPGHGAGDAARASAVQLLESVLEATTAPVLARLRPELFRAVTAVARDGVSPSATRSALRALLLACPAGRNRALVVDAGAAHEAIELDLAAYNSGAGGRRVTELTMALLAELCACADGRAAVAAHPAGIAVVARRLLRVSAAADECAVRVLAAVAGRAASPEVLREMARVGAVGKLCCVLQADCDAAVKETARAVLRLHSGVWTGSPCVSAYLLSRYL >Et_4A_035513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27148555:27149248:-1 gene:Et_4A_035513 transcript:Et_4A_035513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLWDESDMEDDNAHPMVPRRVVPAFDVRTQGPHVSYIPVGNSVLALSSSRSCQQLRPPAVEAAGVVSSSWCKVSKPAPFKSHHVTAYAAHPDGRTVFVSTTRRKVAATFILDVTAEAAEWKHRGHWALPFDGRGHFDRDLDAWVGLSRDPDTAGHVVCVVDAVVPADGDGRCIPSGKRLISNEKLFGDPVVERQVGANLVYMGGGSRFCLMQCIGILADEQREE >Et_2B_019885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14981819:14983146:1 gene:Et_2B_019885 transcript:Et_2B_019885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKAKPPAPARAPAVASTGCSSLMSCLSLHRRESPPPRVHDDANGGGDAAVVAEQYWKRVRFLEEEIRRLSKWLGQEERPATAADCVVGGGAKAGEVCVRNGAKAKEEEAGTAATERVVKSSAMVKEESGSTGTAAAACNKRCVSVGDGAGGVPGMMAKLEDGSYLHEVSRVGRPWERLAVQVSRPVIPENAKSASEVLDKMTAMRADDLCKFLMKMMPLKDIAGRQNPREPVRRPGRLSTGDDLLEAIIFKAMGMMEGLVREGLKIQMASTATDIAVAAAMADDRQRRHEPVRKNCMVHVVLMQARDPKERCRAIGDPMIGVIEASLERKDGKVKLEMQGMHVAGISSVKRKSSDGRCILWSASLRQCKGPHHHGGGSGRDRDGCRCYCVRNPDRVFQR >Et_10B_002474.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:10093918:10094040:-1 gene:Et_10B_002474 transcript:Et_10B_002474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWPPDLGLHSLAALLPSPPALLRLQGCGSSSCCRGQSS >Et_10B_002353.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:10862818:10863105:1 gene:Et_10B_002353 transcript:Et_10B_002353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LDAFQAEVIACLLGLQIAIDLGIGNVVVETDALMLKQAICSEAHDLGPAGGLIMEIKELGRLNFIWFSVDYVPRSCNKVAHALAVLGCECSETDE >Et_1A_007904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39367617:39368827:1 gene:Et_1A_007904 transcript:Et_1A_007904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVELLDDVVVAEILLWLPPESVLRSRAVSKQWRRVASSPAFVAAYPRRRPPELLAYPDGYKMRIGSQNVLVAVDPLNSVTGRRRRVARFDTRLHAVDCQGGLLLLADPLQKGPLVVCNPATRRWALLPSLTPEPCDCVVACGLYFHRPSGEHRVLCVGSDPPPVHKRDPLTRRRRQPLLHPLHRRRLGPGPAAAGGSSLPSACVALGGTLHWCVHPESGSSGKMVAFDTGSETFRLIAWPPALLALGHGRHARCMDVWALAQDKDGGETGWSCCLRVDLPPPRLPRRAPDLYPPVDEAVAVLEGGLLLVLAAGWVVLYDVKEKRTVSKVDHSRDLHNVAWCLYRPSLVQLPEPLPFCGPGGEPLLQCCPADEPYVLVYRSDNGFWVVRPFL >Et_2B_020474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20560058:20562423:1 gene:Et_2B_020474 transcript:Et_2B_020474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDAYLLCTEDAAFFEAAAGGSSACYTGDDDDDDECCSTGEEESASIAELIGGEAEHSPRPDYPDRLRSRSVDPAARAESVAWILKVREYYGFLPLTAYLAVNYMDRFLSLHRLPEDGWAMQLLAVTCLSLAAKMEETLVDGTSYIFDPQTICRMELLVLTALNWRLRSVTPFTFIDFFACKVDPGGRHTRCLIARSTQVIMSAIHGNVH >Et_5B_045343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1962973:1966811:-1 gene:Et_5B_045343 transcript:Et_5B_045343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNETTIDSIPEDQITEIMLCHPTPAALVHAALVSKSWLRIIADQQFLAKYRKLHPSSPFLGLYVPQEFGGLPSFLMADSNRSASDGDLKRAAEKAFFLGGLESSSEWRLLDSYNGRLLLARGDEALEVYSPLSCERISVCLPQGDYLPESFPACLLRGHGDDAASFRVVPVQHRRDRMVRAVVYDSRKMVWTDHPWITLNNIEGTVKGEVKVMRAGGLIFCKYIGNSLLLLDTSNMEFSPLPLPDDNSPKNYVIGELKDGVCCLVSANCVGLLNNIHLRVWKLKNLKWKLKKDMRLKEVLSKYPHYSYYNVRAVTNGIALISSKRHHHFVIDLKTFSVKEKFEFKDMDAYPFQMPWPPTFSVAVGSGDQSTPSTGIHQDVSVNLVVEQMVPIPSNQCGNIVADGPICGGIQKNDTLTYAECKLNTDDDLIPCNDDVSPVGCDSHDSDKIKAVNSDGQSVECKLNTEDHLIPWNVDVVLLGCDSQLKNPEKRKYTWDPIEVFKTKKAKIYSVLGAWEDESSQEEPELTHLVAGPAARPRDLSPSTFVRIRGFCIGKVGEAPAQMSRRTEDHDG >Et_1B_010451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10729347:10732114:-1 gene:Et_1B_010451 transcript:Et_1B_010451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSELSRSTVVFGLRMWVLVGIGVGAAFVLLLVLISVLCLLAFRRKRRRRPNDPDQYLPTTTSPKNPATAKTPKDIQEVPSQAAAAAKMPLAQALQLPTTSGAVQIATGKEHHITYPEKQRHPSNRSEGPSSHGSGGGSDHALPGVPEVSHLGWGHWYTLKELEAATEMFSDEKVIGEGGYGIVYHGVLENGTPVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVDNGTLEQWLHGEVGPTSPLTWDHRMKIILGTAKGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLIDWLKTMVSSRNSDAVVDPKIPKKPTSRALKKVLLVALRCVDPDASKRPRMGHVIHMLEVEDFPYRDERRGTRAPGQSRLPEGPAIGTSHRETVNGADNQGTNAEPFRWRNPEA >Et_8A_057220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22471896:22479569:-1 gene:Et_8A_057220 transcript:Et_8A_057220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDSPRMEYFDARRKPHNVGKVIAALVLTALCVFILKQSPGFGGNSVFSRREPGVTHVLVTGGAGYIGSHAALRLLKDNYRVTIVDNLSRGNLGAVKVLQELFPQPGRLQFIYADLGDQKSVNKIFSENGFDAVMHFAAVAYVGESTMEPLRYYHNITSNTLLVLEAMASHGVKTLIYSSTCATYGEPEKMPITEATPQFPINPYGKAKKMAEDIILDFSKSADMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGVIPGLKVKGTDYPTADGTCIRDYIDVTDLVDAHVKALNKAEPGKVGIYNVGTGRGRSVKEFVDACKKATGIDIKIEYLSRRPGDYAEVYSDPTKINKELNWTAQYTDLKESLSVAWRRLKAVLVSVDA >Et_1B_014321.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5982385:5983236:-1 gene:Et_1B_014321 transcript:Et_1B_014321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPAIAAKKRHGGSGGAGFALGCGCKDAKSVSVSASSSPSATTTRTSSTATRRRSGAGTTTTDTLTSASPSSLWEDAVAELGYKNGDCRLQENSDVVTTASFSGLLRELSELEKSVASWGPRKVHHDEEKLSPPPAPLEHRKAKGDGKYNSFKFAKEDPFGIDGDAGVGLQGSVAVVKQSDDPLGDFRRSMLQLIVENGIVAGEDLREMLRRFLTLNAPHHHDAILRAFAEIWDDLFVAASVDGGATPGRAPLPRRDLAGSGRPPVPRTPPRYRRSPPAWRV >Et_6A_046862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21710141:21710662:1 gene:Et_6A_046862 transcript:Et_6A_046862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLPALHLAVVALALCCCIFHASSAADTLFSSGSRVRELLRLPVIVAGGEEASDVVGGRMDLELEDYPGSGADDRHSPWGQRRN >Et_10A_002345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9855152:9858379:-1 gene:Et_10A_002345 transcript:Et_10A_002345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRSLRSGPILRLLPRAPSLSSSAAPPDPSELLRIERILHSPAAAQPQENTRATATSSLDHLLHRTAGLTAADSAALLRRLPGTHSHHRLGRLLHELAGLRIPGPEIKAALASDPDGLLSMDPGEPSRLLELLGELRCRKAVKDELLAHGALRAAVAARRRVELLHARGLTRREALRVLTGEPRAMLYPLQDVERKVEFLVSTMGFEVAWLVQYPEFLGVNLDNWIIPRHNVVEHLRSVGGLGDPVEMKHYVRFSRQKFYNMFVKPYPECERIFGGRVRERRDETVRRRHPTGLWKLFTPVKHEKTAEDVENMKHLMLEHHNDCLYKWRVLVMVYVRGEVAKRL >Et_8B_058769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:369836:370370:1 gene:Et_8B_058769 transcript:Et_8B_058769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAKSAYEVQFGPSIKLTRPQKIWSANIEMIQQMHKCSKNKKEKKLAMGILLYFWRGIWKERNRRVFQNKEQSFPKTVENIIDDISLYNRAQSLIA >Et_10A_000733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16128868:16129234:1 gene:Et_10A_000733 transcript:Et_10A_000733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHMATNEKERFPVYAWEPSNYIKHIATSEKERSLGRFDRNNTEISKTAPEQLQNCLFGPPIAKLTVSTVFAWEPSNYMKHIATSEK >Et_10A_001950.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:13958044:13958550:1 gene:Et_10A_001950 transcript:Et_10A_001950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQEPSEPAWPQWTSLLLRAMSRRRTWVALFLAVYAALLSSSWSLLASVRAWYYSASAAAAAAPAWPAALYASVMYGAVFGLLSMGAALAVAAPAMLVTWITVLVLLAFAGKPRRSLVAEGRRATRDIAGLALRVLLREGNAVAALCAAASFAALLLGRRDNDGVES >Et_2A_016293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22994655:22995138:1 gene:Et_2A_016293 transcript:Et_2A_016293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIRDALLSQLQKDRLRQEIVVAELSKIECAMALRSAAADAERAKPAPFDFDEQQFTYDTGKANDLKKEDGVRRRRHGKRSKGRPVTEDGLGRSIRPTQKQKQRNNKRQRSVQRSHAHHGRASFAKQTALANLTWRTT >Et_3A_024155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17987608:17989727:1 gene:Et_3A_024155 transcript:Et_3A_024155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVVKREPKPEPWAAAEAVPRPVDGAPPAPFVAKTYEMVADPATDAVVSWGPGGAGNTFVVWDPRELAARLLPRFFKHANFASFVRQLNIYGFRKVNPDRWEFAHESFLAGQKHLLKNIKRRRPSKHQLESQSRTESRVCLGQPEDIREVQSLKRDRASLVAEVTMLRQKYNRCKSVLLAMEERIRDNERKQQLIVAFFAKVLSNPEFVQRLLINRARNKELCGTVKRQRLMASEEQLVDAPLKNGVEVASSAVKAAISEGSSDDSVAGTDVKHETVPEWNHQIINNICDDVWEELDAIPGTGVDQEDIATDSFDVEELTGRPCGWVDDCPFLVEPMQFVEY >Et_3B_030412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3638124:3638989:1 gene:Et_3B_030412 transcript:Et_3B_030412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTMLALLALCAFVLLGGSPTTAAAQWTPAFATFYGGSDASGTMGGACGYGNLYSTGRNWGASWQSNAYLNGQSLSFRLRSDDGREITANNVAPAGWFFGGTYTSRAQF >Et_6A_047178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26404953:26406564:1 gene:Et_6A_047178 transcript:Et_6A_047178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIKRYCLPYKSMWDAPVPSPYPIPKCECNMTAVVTQSNHPLTAARAYFCCGNEGNKRGCEFQELVHRLKSHYPEPDSLPDDVLHGEELPCWYVAPLLCQCGVPAREGVVPSELGYGHYCGNTVGENDEWDTRRCDWETFEGKEEFLLKAKKRGPEYFKKALATRRSNMRHKYLTMPLSFIYNTICSELKIKRECPFWEGAEVDVVIHHWRCNRDKYPPKSCWELLDPPYGLQFNSAKECMEWAMTKMKQLCDPVYVEEKRRKEEEEKKKRAKEELEARQKDPNCWEHYFYKLAERKKKRKMEEEEVAREERKRKGEVDRVAIQLMMDVEAIDKMVDIAKNVMSKRSNDNDGENE >Et_8B_060225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7705630:7711766:1 gene:Et_8B_060225 transcript:Et_8B_060225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHMGGSVYFTISHSSQLLFVVSSSSPDSSRSSTSRPASAVLAAGKSRHAMSSAFKAFLNSPVGPKTTHFWGPVSNWGLVLASVADTQKPPELISGNMTGVLCVYSALFIRFAWMVRPRNHFLMVTHMSNECVQLYQLSRWARAQGPVGPRTSHFWGPVANWGFVLAGLVDMNKPPEMISGNMTAVMCVYSGLFMRFAWMVQPRNYLLLACHASNESVQLYQLSRWAKAQGYLDKKEPEAKQ >Et_6A_046988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23696044:23701008:-1 gene:Et_6A_046988 transcript:Et_6A_046988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLGSSTTGSGSNTLASEMGDGSRPPTEAFASAGGNSTSNGNGSVMITPLYELLGYPDDDSFFEALRKRFVIDRIDLCSAGWLQEAFVDYVQHLAPRLRPIAAVDSVKQFLFLCLAWSQYMCIRGLIVPEIFISLVCHNALRCTRAMLERTAPQLRRYRLDVDARHQYGFAPLHVAAEAFNVDMVRLLLRHGASGNVRTKGTKVIEGLLPLHVAVENAAMHKYLEDHWAYGDPVENLIILLCLPEMKMYLDTTRLIAKHTDNIVDEIWSYIEKEKLVPASILLLAAQKQLRGRSVNRSSGKVFKSGFDDVISRIVTALDALYREELAMTKEGKNGRALKLLKHKTKVLLTVNAIVANVNVAGEDLEKYIQTNSEVPHEEIVGHVSSILNTNGIVPYGKGIDTGNLNCYQYPWQKYIDKPSLQRGPVNEADKSSSLKAECSHRVKASLNGASMDHARNKFFPFWKSVLSSRLEIRMAPPCQPSNKDTRSTQPSKDTASTEQGSEKPGPAVPRGFAKDLGLFRRVLSPGDYQNKKSYCTLASLTLKALRRA >Et_9A_061240.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:23648978:23649217:1 gene:Et_9A_061240 transcript:Et_9A_061240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRARQNAMRSGVVVLGAVAFGYLSFRIGFKPYLDRAQEAMDSSAAATDASGYSAGADRQEDGAELAASSKDPAVVLRD >Et_8B_059686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20704883:20707528:1 gene:Et_8B_059686 transcript:Et_8B_059686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQRYRQEEKTELLVHLVLRRAAPLTLGTLPPPLTSPSMMAAPLRTRSTSSNALWKSKHSTRTKMNTNQTMIHANPHSLSLNMRHLPNWSLNMKRWTRNARNIEYPDNLLYGDALDVVRKANNDADIHDIKRKQAGYNQGKADHTVDENISVTSDCDEAPANTYGASGSSAYMSNSYIESMLAPDVNRPKGRPKSNRFKSMFETKCKKKRKQSAVPTDVQAVVGRKQPASGKGAKAQSTIAYNMSDYCSRAGHSIAPGLPLFAVI >Et_2A_016065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20690861:20701222:-1 gene:Et_2A_016065 transcript:Et_2A_016065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNKHHKKDYAFVVDKLVLYFNANYLYSQNLLEEYNKKVSLVVNWVIWPQTCLVADRESNSACISKKSKCVKSTHGPGYRCKCMDGYCWSTIELLTVYDRMMTRKYWSSFPCYCRFLFILDMLIRERRKLQRIKEMYFCLPGTRRWFAAVVTPPRGCIPNIFRSRLVRCHQHFQRETNGGPWRSQKSVQGTFPSAGHVVEVAVRCMVVDEHHKKVLQGDANPFQIKHRNITSALGCRPPFTTPHVVAATAKTTLLGCCLEVEVPMLVYVFIPNVRQALPSPPSTAAMLMHGGGRISLTTRLKMGHQSVEALVNSFAAFHKDFALICYVVISMAPKKTARRRVGKEACFLNSLPRELIEQVFLRLPASNLLRCISVCKMWREIIRDSKFAMVHLQHAPSFALLFSPKGTAASKGFYPSDAIIFDEAWSPSTWAVPVIGPDDLICGSCNGLLCLHTPASTIKIANLATGEHLHLKKPTRFLKDNHFSFYRFGFHPATKGYKVIHFFQERGLNATGRCDVIQVYTLGDEKWKDVATPQSLSLSCVTNSGVVIVDGTMYLLTEDSAANWQHVVMSFDLGEDTFTQIQLPAFGLEDGVFGAPRRYWVSEIDGKVSVSTAQSLDTELIYDLQVWALNKTADQRWIQIYNIQLSSLFARGPHFFQGDKIVIQARDNSLYSYKLLGKNFEIELSKMVKLVDLSPRGQVDMQFYSYVKSIVPLDLYAKAAIVHSPKRKGGWRLKKWKSWENGLSMLEKMWRMIHQKEHEMMEQTQRVARKAKEVSQYFPNELIRQRVCIEIDQILQHLPDSDQESTCLINCLPRDLIERIFLRLPVSSLLSCTGVCRHWYNFIQDPQFVRVNFSMRPPAPSYSFPQN >Et_2B_022384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19823107:19824325:1 gene:Et_2B_022384 transcript:Et_2B_022384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSWLAVVGAVLLRAAPALAEALSKMSGAPKRLHEEGSHSTPAKRPLDDSSSYSSPSQKAVQSGSSDYHGAFEHDGRFAKVQRVEPRDDKARSSLSHRMPVGASNFADNPISSGSRLESKQNKEHGTLRQMTVSLRLMLGMSIVTQELSFKVTKLRVM >Et_1A_009071.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28186655:28187446:1 gene:Et_1A_009071 transcript:Et_1A_009071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPQPPWLSRRLLQSGTDSSGVGDPNRIPGIPPADPPSGASSDVVVILAGLLCALICVVGLAAAARCARSRRNRAAAEDGAPSSPSSSAADGGLFGGGHHAAGATTTATAATTTTSATAKGLKKKALKALPKLAYADAVAAAAAARGAAPAGDAEGEEGKAEELLSECAICLSEFGEREEVRVMPQCGHGFHVACVDTWLRSNSSCPSCRRPIVLDDPAPPKRCRKCEAVVLEAVFAASSSSAAAGSSGSGGGRSRGGGFLP >Et_9B_064769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18484036:18489244:1 gene:Et_9B_064769 transcript:Et_9B_064769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRCPAASPSPARSPVLPSSSPFVPARLPRRPSGSCRCHYYYGDGGGFRKNYDHIPKQFREENLKDGLMDNYKNVPQFLYGLSPAQMEMFMNDDNPYNRQSQKVTEESVSAARSYDEFGMYTLSGKHEGPASYSMGMGMGSMSMGMGRGGRGYRRMRSSAPDLPSLLLDSRIIFLGMPIVPAVTELIAAQFLWLDYDDRTKPIYLYINSTGTMDENNELVASETDAYAIADFINRSKSKVYTINLSMAYGQAAMLLSLGVKGKRGVLPNSITKLHLPKVHKSGGAAIDMWIKAKELDTNTDYYLDLLSKGVGKPKEELAEFLKGPRYFRAQEAIDYGLADTILHSLDGSFKPKDLTAQLAKAQEMRQSVPGEEPAGEEAEGEGGDGGGDHLLVLLHRVDDTLSPLGVREPLQLPRDALLPAAAGALLPLALGFLCRGGRLGPLFLGAAPHSLQKALPINSLSLRWLHLGRRRGNCSCLGLGGLVFLLPHGRWRRLLLLLWFASLGLHILLGLLLICSLLLNWLLGLLLVLLCLLLLWWLVDLFLVLQLFLFGLVLAELLCLDLRRRLGLVLLGLLLWLGRLLWLRRLLWFGLLLRLGLGGLLGRLDALVYGGRRWGGALGHGEREDAGVEAAADAVQVGGGGQLEDPVEANLLGAVGTLAAHGEAPGAVHLHPEIFFPEAFYDHKRKPNNPVMHVHYSPYKTVHYIVLLKKEKEKN >Et_7A_051371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17529556:17532479:1 gene:Et_7A_051371 transcript:Et_7A_051371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDFDLPSAGEEEEVMGGLDEDEAMKNLDGMDEEEDEFPVTTMKAGEEKEIGKQGIKKRLVKEGEGWERPETGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFKLGQGQVIKGWDQGIKTMKKGENAVFTIPPELAYGETGSPPAIPPNATLQFDVELLSWASVKDICKDGGIFKKIVKEGEKWENPKDLDEVFVKYEARLEDGTVVSKSDGIEFAVKDGYFCPALAKAVKTMKKGEKVFLTVKPQYGFGEQGRPASGAEGAVPPNSTLHIDLELVSWKTVTLIGDDKRILKKVLKEGEGYERPNDGAVVRVRLIGKLEDGTVFVKRGHDGDEPFEFKVDEEQVIGGLDITVVNMKKGEVALARIPPQHAFGSTETKQDLAVVPPNSTVYYEVELVSFEKDKESWDLKSNAEKIEAASKKKEEGNVWFKMGKYAKASKRYEKAAKYVEYDSSFSEDEKKQSKALKISCKLNNAACKLKLKEYREAEKLCSKVLELESTNVKALYRRAQAYIELVDLELAELDIKKALEIDPDNRDVKMVYKTLKEKMKEYNRRDAKFYGNMFAKWRKLEHMDKVPGKQEPQPMAIDSAA >Et_4A_033223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20047314:20051890:-1 gene:Et_4A_033223 transcript:Et_4A_033223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRHRGPARLLLVAVVALVTGTIWLWSSASVILLGTYRVQDFVVNELWKTADSNGWRASSAPRTYWPPPPTESESNGYVRVRCNGGLSQQRSAICNAVVVARLMNATLVLPELDTNSFWHDESGFVDIYDVPHFIKTLKYDVRIVMSVPKTTAQGKTKKLRAYKIDPPRDAPVTWYRTTALEKIRKYGAIYLTPFSHRLAEEIDDPELQRLRCRVNYHALQFKSNIMKTSSDIVNKLRSEGHFMSIHLRFELDMLAYAGCIDIFSPKEQEILLKYRGEHFPNKTLIYKERRLIGKCPLTPEEVGLIIRAMGFDNTPRIYLASGKLFGGKRFMKPFEAMFPRLENHSMVGSGKLEENTRGLARLAPIFMDIEEGHASGYEERIRQVMLNTRFGAPHKRIRPESFYTNSWPECFCQMNARNHAYQCPPNNVNGVLESQFQEKEEDIEA >Et_5A_042800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4676064:4677634:1 gene:Et_5A_042800 transcript:Et_5A_042800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDNESGGPSNAEFSSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYIEPLKLYLHKFRELEGEKLATGAAGSGAVSSQQRDAPSAAHNGAAGYGMYGGGGSGMIMMMGQPMYGSSPPGAAGYPQPPHHQMVMKGGYGHGGGSPSGLGRQDRSPRILLVQGQNIRQVGTTGSLDKASQVRMQASIFVEKSL >Et_4A_035366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21832953:21833701:-1 gene:Et_4A_035366 transcript:Et_4A_035366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDGYEWKKYGQKFIKNIQKTRSYFRCRHKRCGAKKKVEWHPSDADGDVRVVYEGAHQHGDPPSAAGGQGGGTANQYELGAQYFGGGGARSQ >Et_2B_020575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21522509:21523312:1 gene:Et_2B_020575 transcript:Et_2B_020575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARACVLVLAALVAVYAAATFVAAKIGTPPEPYRVVINPGKYKRSQQLTCVDPKNNQSGCIATCDKACPHTVRLRPRHLLRRPALYQWRRQRLLFPWQKRSELLHRLRHKPPHQRSLRSELKGVFDIMANVVLVSKEDSRIHNYGVTDDDSLAHFDLSFKFYNLTDDVDGVLGQTYRTTMSSNIPVMSGAPKYVTSDIFATDYAVSRLGAGTTSILAITEKDY >Et_4B_036201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10165356:10165877:-1 gene:Et_4B_036201 transcript:Et_4B_036201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCAAKPVVGAGRFGAGVARCQPRRSRARVKASSSTARAGTMYEVLAVDETAGPEEIKAAYRRAARRWHPDACPGGADQFMRAREAYEVLSDPERRRGYDIQLRYGGGAGFADWEAQLAGLQWRAAERRAAGRETWGSRMRGRAATAPPSW >Et_5B_044885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6941313:6964795:-1 gene:Et_5B_044885 transcript:Et_5B_044885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVCLDKLDLVCLLFKHRQLEGRHIYHLLYALRLTVPQQGRVLGYDPSYFAYGCFYKKVFEKNKLLKFNHEKLQIVVFEAGQGRLGVFSQTNTQMIGYFIRQNEGHKSREWKMVSIIPLPKDHISYQDSEYQDGWLDDGIPRFFIFLVPTFHVTKKAGEMASSPQESTLPLSVELLEDIFLCLACPVDLASASATCKSFRQAIADPKFLRRYRAAHPAVFLGFVMEDFCPVAAPHPNAAAARADGSDFNYLPGGGGGWEYRRRREDSGVFFPDLAVCDPLSRRYLLLPTIPDALITSVQAQNRGSCFFESFLVPSGDHERTSFKVIARTHCAKKLVVFIFSSESSNWSIGTSTSWVDLGFAVASTVLILSWPQYDHGCFYWKYFSIVDLPPLHIVREVVVVEAGTYRLAMFSHYSNEEAVAYYTSLKHEGEVANEWRRENDITLPCQCRFIAASGGYIFLIGFENVGGILNTVYFSLEVETFKIERLCSPELIYIHCAPSDRVPIPLSPVVSLAAREMASPPAALTDDLTAEILLRLPEPADLVRASAACVPYRRLATDRAFLRRFRALHPAPLLGFLDHNGFHPALPPHASATAARAVSLAADFSFSFLPSPPGGWVVRDVRDGRVLLDRPAPEDGGGGERSPAFTELAVCDPLNRRYVLLPPVPDDLAATVEHPLRVDFDRWCEPFLVPRGDGGADPAAVADETSFEVIWMAQCKAKLVAFAFSSSTGQWRAVASPAWRDLMSGAGVSSRRPVINGRQYARGCFYWVMDCLDKLLVLDARRMEFSIADLPSGCHRRQITMVEAGEGRVGMFALRDHIADGPVSLHYMVRQDGADGSGHWQMEKMIPLDPEFRHYIRGATERYLLLLRFPEDLSSSGVHVSSSAESIDLECFSLGVKTFLLEKMCGLKHHILRAHIYTNFPPSLSGAMASPPRPILPHLESTTPPPALPVELLEEILLRASPTTLARAAVACSSFRRLVADESFLRRYRSLHPPMLLGFLDGEFRRAERPHPCAAAARAFARAADFDFDRYVPRDGWFGWATSDVRDGRVLLRYGPWAKKDGSVSIFPDLAVCDPVSRRYRLLPPIPDALHASVQVHEESVKCFDAFIVPSGDDEEDTTFKVMGAVQCLEKLAVFIYSSGTGSWNVAASTTWEALSLTVPQQGLVLGYGPSYFAYGCFYKKVFEKNKLLKFDVSTTEFSTVDLPPRHDNMKIVVVEAGQGRLGVFSQINAQTIRYSIRQNEGQKSREWKMVNIIPLPKDHVSCIVGALRGYIFLSGQQNRNATAKACFSMEIKTLKIERVGWMMGFHVFPYFGYPPSTSPRTTIPLRPSPTALVRASVPCSSFRRLVADQSFLHRFSSLHPPLLLDIIVSEFRPAEPPNPCAFARATNFDFDGCVPRDGWFRWSTCDVSDGRVLLRYGSWVKKDDSFSQIPAPCHVHEKRVKCLDAFIIPSGDEVVPSGDEK >Et_9A_063078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9729499:9730493:1 gene:Et_9A_063078 transcript:Et_9A_063078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAAFAIGFAYGRRPVLVDAGYGHVLWRKRWFRHYGFVPLPPFLFLLCFVLANTVPMVRLSNQSDGSVSAGGACGYGNLYNSGYGLNNAALSSAIFNDGAMCGACYTIVCDTSKTQWCKPGTSITISATNYCPPNYALPSNNGGWCNPPRRHFDMSQPAWTTIAIYQAGIVPVNYMRVSCKKSGGIRFTINGRSYFELVTVTNVGGSGVVSQMWIKGSSTNWLAMSRNWGANWQSNAYLNGQSLSFLVRTDDGRQVSADNVAPYNWYFGATYSSWSNFY >Et_7B_054651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3102709:3104852:-1 gene:Et_7B_054651 transcript:Et_7B_054651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMMVKALVALVAVASVAKLAAGKSHTIQWSSSGDYSDWSAKNPVSVGDTVVFTYGSSHTVDELSKPDYESCSFANSLSSEQSGSTAVTFDKAGTRYFACATSSHCSQGQKVAITVAADGAPGAQSPPPKGDSPPAKGNSPAPKGNAAPPMAGLSVKVALVAITAIAAVVELAAGKNYTIQWEATGNYSDWSANNPVRIGDTVGELPNPSRLSPLFTYGPTHTVDELSSVADFTACIFTSPISSEDTGSTAVTFDAAGTRYFACETGSHCRRGQKVAITVADDSAAAPPTGSAQSPAPVAPPPKGNSAARVVAGGAGLVVKLALGLGVGGAMLAAF >Et_6A_047340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4161254:4170899:-1 gene:Et_6A_047340 transcript:Et_6A_047340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPVAAMETIATTQPSSSGKMTAAADAYPSWVIVAPYDTHEKEEYYSTADVNTLAAARTTTGHPIRAWLRLAPPLTPSCICVHFLEGGKDIYPKVIATHGDSVLFQIVFEEYYRKYTIDHFVHNVGAAGAVPPRPPSLSLLPPYHPTKEEAERFLWHPTGIVHRQLDNLATGLLRCGEDELMVAELKIVGEAAEILLLRKGEWSAICPRVIDGEVLSSSLWRTNTVISVGDWWLCWVDLFDGIILGLTVMFYDVFGEEPMLRLVPFPVNSCCFGTRYRNVCVTNGGDEVKFINVFPRCCCGGAGASNCKRSLGAYTIQMWMLRMNDMTWVMDGMQDATELWALDGYKGIPRVQIENPVVSMDEPHTICFPVENEGEKAWLIMMDMRSKTLLSVSRYPERKWFQIEKTGIPCKVSCYLNSSPKTRSKGILSSESKSQMAIEARVDKLRVNNDGSQVQPSCRTPVEASTQESVILRAFQEIPTDGMDNEDMLKAYITLSNDDGRRLKSFLGLPRNLRKDWLLMEIKARWVLLGRYGHLEDEEYDYPRITGDDKTSASSFTSTGHPIRVSFLLATPPAASCLYVRSKASHFMHDPRVVAAHGDSLLIQIKTKIGYRCRWSGYTVNHFVYSAGTSRRPPSLRLLPHCYFVVRNIKRSFCDKEAPTRGFFVEDQSDLNYTPRVLDRDATGFLRRGGKDGFVVAELQMVPSELRNPAAELLLLRSSSGKWIVIRETDDKCREISLWRTDAVVSVSNRLLCWVDLSQGVMFSDVLGDTPNMRHVSFPATVKRWCGYIIKSKRNVCATAGGTVKLVDILAFHRYGSTVNTWTLRMEDMVWVKDSMVDTDGLWTQDVWKGVPRADLICFLVCDQWLVMVDMRSRTVRSVSRCPVVRVREPYFCERETETSIPSKFSSYLN >Et_4B_036991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13205257:13207030:1 gene:Et_4B_036991 transcript:Et_4B_036991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKQGAGRGRGPRRNRSGRGAMGAPIRDHSGGRSWAGRHPVCSVYRIIPPDAGLPKPLLPPQFSLPSRISSCLPDLATRVPAGAHVQDGRVRGLQGGRPDELGALGLDLAHDLWARLLRHQDDACRRVSLRLQPLRCHVSPSPRQSDCMIVAGTLTNKDSAHPQQVSKIFFLVLSGQTVLRFRFGWARKRCCQDCLDNDDDDIVSAVRRPASLEHMTVAELRGVDPWDSEKIYFSGTVTVRRVNQEQQWWLMSCPRCHRAANPYGSESANIIGSFMSLDSIDFAQ >Et_5A_040882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1417535:1421601:1 gene:Et_5A_040882 transcript:Et_5A_040882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNARACAGRLVVSTDLVIYTSFFTLVLTHGHGLACCVGGRSFLQGQWKTYCKTVSLLAFQSFGVVYGDLSTSPLYVYRSAFSGRLDSYRDEATIFGLFSLIFWTLTLIPLLKYVLIVLSADDNGEGGTFALYSLLCRHAKFSLLPNQQAADEELSTYYQPGASRTPISSPFKRFLEKHRKLRTCLLLFVLFGACMVIGDGVLTPTISVLSAVSGLQNPVGGGIPDGWVVFIACVVLVGLFSLQHRGTHRVAFMFAPIIVIWLLSIGALGLYNIIHWNPRICRALSPHYVVRFFKITGKDGWLSLGGVLLAVTGTEAMFADLGHFSAASIRVAFIGVIYPCLVLQYMGQAAFLSRNMAAVEDSFYRSIPRPLFWPVFVIATLAAVVGSQSIISATFSIVKQCLSLGCFPRVKVVHTSRWIYGQIYIPEINWILMVLCLAVTLGFRDTTVIGNAYGLACIAVMFVTTWLMALVIIFVWQKKIVIALLFLVFFGSIEAFYFSAAVVKIPQGGWAPIAMALVFTFVMYVWHYGTRRKYMFDLQNKVSMKWILNLGPSLGIMRVPGIGLIYTELVTGVPAIFSHFVTNLPAFHQVLVFVCVKSVPVPYVPADERYLIGRIGPREYRMYRCIVRYGYKDVQKDDDNFENHLVMSIAKFVQMEAEEAASSGSYESSPADGRMAVVHTTTDDAAGTGLVSIYEQEAGGSLSRRRRVRFEIAEEERIDPRVRDELSDLLQAKEAGVAYIIGHSYVKARKNSNFPKTFAINYAYSFLRKNCRGPSVTLHIPHISLIEVGMIYYV >Et_1A_008888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17462174:17463072:1 gene:Et_1A_008888 transcript:Et_1A_008888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METPRSLAVSLAVIALLAAAPAVVADRGTATFYGGGDASGTMGGSCGYGNLYSTGYGKYTAALSTALYNNGWSCGQCYQITCDYQSSRWCRQGAAVTVTATNFCPPNYALPANSGGWCNPPRAHFDMSQPAWETIGIYQGGIIPVNFQRVPCVRQGGVRFAIKGFNYYELVLITNVGGSGSVASAWVKGSNTNRVPMSRNWGANWQSLAGIAGQALTFGVTTSGGQTIVFQNVVPQNWQFGTTFASNLQFSY >Et_2B_021082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26306435:26308885:1 gene:Et_2B_021082 transcript:Et_2B_021082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPCKRFLVLLLVSASLFAYVSAAESNTSVLAAERTRRKDPLDGLKYYTGGWNISDRHYLASAGFSAAPVFVVAALWFVSVAVAAILYCCCRCCCVGGISDSYSRKVFAMTLALLLVATAIAVVGCAVLYDGQGKFHGSTTATLDYVASQSGEASATLRNFTGLLETAKAASVGGATLPANLAQSVDDIARRVDAAADELAARTADNSRRIRSALETMYVTSRTIQQSTRRRPAISWKILIGVAAVMLVLLFLGFVCSLTGLQSLGGVSRMDHNHRNTNTVRHFPCPAQVNFLLVHFAVTSEQCTHILTIVPNARSSVIGDTCMAMDEWVLRPQGQGHTALDDILPCVEAAVTNEALRRSQEVNYQLVAALNTIITNVSNANIPPQAGPPLYYNQSGPPVPILCNPYNADLMPRSCAAGEVSAADAPQVWQRFVCRETAAQGQREVCATTGRLTPSLYGDMLRAVGIGDGLRGQAPALADLATCATVRRAFRTVSDSYCPPLRRHSARVYEALLAASAAAAFAAAAWVAHGRERSRRRESERFRVSPYRLPVEEKVLLNSPRRPYRRV >Et_7A_050197.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:10741899:10742324:-1 gene:Et_7A_050197 transcript:Et_7A_050197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVIHPVVVFSLVFAMFATNKAWGEEDCHHEKILFFEKCKATLKFGADYKEPSDKCCRTVRESNMTCICHIISYQDEHYVSVAKTVRVARECRNPVPAGEKCGSTYVSPF >Et_8A_057818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7687207:7695012:-1 gene:Et_8A_057818 transcript:Et_8A_057818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADAVVVVPPTQPEPGNGRRSDIAAAKAVMYLCLASLWVCCACLAALALGLGRHVCDTGCPAVYAFFKVSVGAALLAALLIPVFFLLLLRAMRAMGCGLDAGDETEAGKVLRKESTIRCEFERVLSVHVLGGMLLFCVFLVLVEYQISIFIFTEMNHLWLPLLRSVLIRFLERQCGRCCRTLVCSGCLRVFLSSSCLSLVIRWSWGWYLSMDLGDKPLGLTELHDALLWFHLTCLSTETVEDEWRKLSSECGSIPAPAPAPCRLATAFDCLFLASLWVTCASIGTLTVADQASGADSPVYHALELTVEGAILLLVLAVVAWCLHDLATGLRVLKAFVTVVKNKKKVSFWKVIAASIREKDTFLLAWLASAFFYLFAIIGVVMTEAVPRGKCHRIGAALFDLGLLVVAAIFCFILVPIIALQIRKNKDWRSSIAISEEGILRKMYLLVMFAWAPFILLVLVGALVMDWYVAGSHVKSIGLALVDVGFLGTLALSCFVIIPTIALEVWKTKAMGCCIAVSE >Et_1B_010336.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9323871:9324155:1 gene:Et_1B_010336 transcript:Et_1B_010336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGRRRRTARVVDESALAAADGDGGDGIVAAVVAPSVGGALARALLALACAVRFDGEEYGVTEEAWSASGWRPRADEVSHLMVRESMRYAIYA >Et_3A_026489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8645895:8651568:-1 gene:Et_3A_026489 transcript:Et_3A_026489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGAPPLRLETLLALGLDQRTAENALVNSKVTANLAAVIAEAGISECDKSVGNLLYSVATKYPANALVHRPVLINYILSTKIKNPAQLDAALSFLTNIGPDSLDVKKFEDACGVGVVVSIEEIKSTVGEVLKENMEAIMEQRYHINVGSLCGQVRKRHPWGDAKATKEEIDKRLAEILGLKTEADNIKPMKKKKEKPAKVEEKKVAATVAAPPSEEELNPYTIFPQPEENFKVHTEIFYSNGDIWRAHNTKEILEKHLKETGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVRWMGWEPYKVTYTSDYFQDLYDHAVELIRKGLAYVDHQTAEEIKEYREKKMNSPWRDRPIEESLKLFEDMRRGLIAEGAATLRMKQDMQNENKNMSDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCMVDSIENVTHSLCTLEFDIRRPSYYWVLAALGLYQPYVWEYSRLNISNTVMSKRKLNRLVTEKWVEGWDDPRLLTLAGLRRRGVSSTAINSFIRGIGITRSDNSLIRVDRLEYHIREELNKTAPRTMVVLRPLKVVITNLEEGKVLDLDGKMWPDAPAEDASSYYKVPFSRTVYIEKTDFRLKDSKDYYGLAPGKSALLRYAFPIKCTDVIYGDNPDDIVEIRAEYYPSKTSKPKGVLHWVAEPAPGVEPLKLEVRLFEKLFKSENPAELEDWLGDLNPHSKEVIKDAYAVPSLASAVLGDKFQFERLGYFAVDTDSTPEKLVFNRTVTLRDSYGKAGPK >Et_2B_022057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8559454:8561900:1 gene:Et_2B_022057 transcript:Et_2B_022057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGGVGGRAPAAAAGKPEEVDGDAGGGGARVFRCSDYSLPRTSLALALWLGGIHFNVVLVLASLFLLSRRTAAIVVAFQLFFMFVPVNDRDRWGRSIARHAMGYFPITLHVEDYKAFDPKRAYVFGYEPHSVLPIGLSALADLLGFLPLTKIKILASSAVFYTPFLRQIWTWLGLIPATRKNFYSYLEAGYSCIIVPGGVREMLHMDRESEVAFLKSRKGFVKIAMQSGSPLVPVFCFGQSYAYKWWRPGDKLFVIIAKAVKFTPIIFWGRYGTPFPFPRPMHVVVGRPIKVDENPHPTIDEINEVHEQFIIALRDLFEKYKAKAGYPGLHLRVL >Et_3A_024705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23429336:23439895:-1 gene:Et_3A_024705 transcript:Et_3A_024705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTPMAGEGTLAAVMPRSPSPTPADAGTTAAESPVLIFLYFHKAIRAELEGLHAAAVRLATERAGDVAALAERCRFFFNIYKHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGESDLFNQLFALLLLDIQNDDGLRRELASCTGAIQTCLTQHMSKEEEQVFPLLTKKFSCEEQADLVWQFLCNIPVNMMVEFLPWLSASVSSDEHQDIRNCLCKVVPEEKLLQQVVFTWIEGKTTKKLAQSYADCNSERNHSGEEALFISSFNERLQFIADVCIFHSIAEDQVVFPAVNSELSFAQEHAEEERRFNNFRCLIQQMQIAGAKSTAVDFYSKLCSHADQILETIEKHFCDEETKVLPQARMLFSPEKQRELSYKSLCVMPLKLLERVLPWLVSTLSDDDASSFLQNMRLAASPSETALVTLFSGWACKARDKSSSGEYLCLTSGTVRCLSDDRGSDAEYIPGTNGNHCSQTADIEVRPCSKKPCCIPGLRVENTNLGIGSLASAKSFRSLSYNSTAPSLYSSLFSWETDASLSCSDGISRPIDTIFKFHKAIRKDLEYLDVESGKLIDGDESCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESRETLHNVSHSYTLDHKQEEQLFEDISNVLFELSHLHESQTQTRVKEEEQNCLHSSNEIDWARKYNELATKLQGMCKSIRVALTNHVHREELELWPLFDKHFSVEEQDKLVGRIIGSTGAEVLQSMLPWVTSALTQEEQNKMLDTWKQATKNTMFGEWLNEWWKGAPTSSDSSAEASSSPEDSHLQDKLEQNDQMFKPGWKDIFRMNQSELEAEVRKVSRDPTLDPRRKAYLIQNLMTSRWIAAQQKLPEPSSEECSDGASIPGCVPSYRDQEKQIFGCEHYKRNCKLVAACCNKLFTCRFCHDKVSDHTMERKAIQEMMCMGCLKVQPVGPICQTPSCNGLSMAKYYCNICKFFDDERTVYHCPFCNLCRLGKGLGVDFFHCMKCNCCLGMKLTEHKCREKGLETNCPICCDFLFTSSAAVRALPCGHFMHSACFQAYTCSHYTCPICCKSLGDMAVYFGMLDALLAAEELPEEYRDRCQDILCNDCERKGRSRFHWLYHKCSSCGSYNTRVIKTDTADCSTPN >Et_3B_031620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6165543:6170107:-1 gene:Et_3B_031620 transcript:Et_3B_031620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDMDDYMDPYEEAEAEAAAEAAGVGGPADENSSDDPDEDDSEAESDYEEKSYGLLRSGNHRVRNPDGTFRCPFCPGKKKQGYKIKDLLQHADGIGVSSKHRRHGRERASHRAFARFVRTDPSFAKDLVGITGIAGAIAPEAPVNANGSVSANGKAKPEVDASGSDCAAPAPVVLPPQEVEKYAWPWVCILAAGLGFNPEDFAGKVAMLSFDEVVPLFSDEMEGSETFAIVRFTNDWNGFNDALTLENHFSINKLGKNEWCARNDAGDAAKGEGGEVEVKVYGWIAREGDYNAGSVVGRFLRKHTNLKTINDVSKIEYEKSGKTVAALASQIEAKNRYLQDLETKKNATEFSISRLEEDNRKLHEAYNEEMRNLHRRARENALRIFQDNENLRLELDSKRRELNSRAKQLEKLSAENASDKKKLNDERQKAKDDNSELELASIEQQRADEDVRKLLDDQKREKEDALARMLQLEKELHEKQQLELEVTRLNGTLQVMKHLEGDDDGDIHEKMEKLSLKLEHEKKRLEELSGDLVRKERESNDELQAARKELILALDDELVGQTAIGIKRMGELDGKPFVNACKRKYGRNDYEDRAAELITTWQEELKNPSWHPFKVISQADGENKEVINDDDAKLKFLWIEYGDDVCNAVKTALMEMNEYNPSGRYVERELWNFRKGRKATVKEVLKFLFGQMETATKRRRG >Et_9A_063290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19068584:19073009:1 gene:Et_9A_063290 transcript:Et_9A_063290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPLRRAFFTAVTHAHIGPIPLPPSTTSLAPWAMVYQATLIRSSAQRASFNPVAPPTVSHLLVPAHLVDPRPRPDRDIGIKPSAIGGAARATSGDGLLLLDFMDGRATGPVGGGKGAAARARLLASIDPNITRVVCNPLSGEMFRLPEMDGAKKAVSCQPPGLLTRSERADGPPERYAVAEISEEHGGEQRTFTMRRFLSQTGEWDTLVGLPSPLPLARRLNIDEVLSFGGRLWWVDLSWGAVSADPFSDQPDLRFVELPSGSVTKSMDGQRVQGRYRRLGISEGKLRYMEVSRKDPFFLSSFSLDEGGSSWTREHRVALNRCWKNHGKSSEEDTPQISIIDPFNASAIHITIGNGRIALSVDLDKEKVFWGGDGAGPALLFSGFLPCVLPPGLEATRIPSAVLLAEMKGLVELSLLSLS >Et_1B_011457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22526158:22538207:-1 gene:Et_1B_011457 transcript:Et_1B_011457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPAERETPADWGDGAVALGFRVKASSRESASQKGSNVLEPDLRSHWSTGTNNKEWILLELQEPCLLSHVRIYNKSVLEWELTAGLRYKPDAFVKVRSRCEAPKRDMVYPANHTPCRYVRISCLRGNPIAIFFIQLIGIPVPGLEPEFQPLVNYLLPQITPSKQPPSQNMHLQLLKNIASRLPPFVPQIEADLSSVADDPDNSVRFLALLAGPFYPILHLVNERDPSKTSISSADSDPLRNNPAATPTISSNFEARSRSPSSVQPASYLLAFRSETCVLLLRKALKDKTLGIVCLRASKVLQKLLQSDPFLDKSISNGGMLSSHNGDEIAKSDSPSLVLSTDYSSLFGDEFSISETQFDGSFLNILDIAAVEEGILHVLYAAASQPLLCRKFAEITSDMWSVLPLVQALLPALRPPLTSGPTEQIDDSFNQWNHPTVQNALSQVVTMSISSSDFHPLLRACAGYLSSYLSSHAKAACILLDLCRGPLITWIPMITTKIDFAVELLEDLLCIIQEAGRSLARSRAALKYFILAISGHMDDMLIEYKEVMHKLLFILEMLDPFIDPSTSVMKDSIIFSGISAIYLEKQASAYDVALNIIRTAVKRADVLPSLELEWRRGAVAPSVILSILDPHMPLPPDIDLCKSTAHEIDHVSSAVSDYPAPQLSNPENIDGRDSSETTARGEIFEQCSSLFAPEELKQSESTRLTSKGKGHDEKTQTSLNQEIPEIRISNEKLSSKPFQLDNIAAADYFDSQADYRQLVNHHDCELRAQEFQRLALNLCMQQEPTLEGHNAGIDALLLAGECYVNPFFLLDFQNLEPLEKIERVHSELMQGNVSFEPKDLHLKDKDLVTIYNLENKRDKSVIDLLLQAARFDCAYNKRIPEGEPYPDTSEDDKQSVQISAEALQSADALTLVRKNQAMLCHFIMKQFQRKEHSHSEILLQSLLFLLHSATDLFCPAENIIDIILNSAENLNEQLLCLYKCINAGDKKLDRVKLHGLRRRWTLLQKLVLASSGSDNTRELVSIKRDGFRFRSLLSLMDRVATQNARPVKDELSDCSKHLLLRKETVLSVQPNMTKQFKILLPELHFFFPSMCKLFNAFGESILEAVGLQLKCLPNSAVQDVLCWFSEMCLWPYLECIKEHLLSANKVSYLRGNVASNAKAVVFYLLESIVVDRVEVIIPEMPRIVHILVSLCRASYTDVAFLNSVLSLVKPLISYYLRSRADDEKGATRDKIQVPLLIFILGSMFPDFSFERRTEILGSLLSWVDYLSSDPPSLLCSYLQSFQTLIDGCETVLVQNIEFLGIRILSARSHSIESSDSLGVDSIMQPDKKAQDSEEDVLTKLTEYCENGESHKILHSLCPNSIKEFCGALEKFISHLTPSIEDSWKWHHLLASRLSLSIAKCLLFAKFLKSVVQEDIISSSSEQDAVVKISCELAQKHWESALEGLVEFISVNQETQCWQVASSMIDYIIKIPSILTWGNVLNSICSAVKHFCSHAPRISWRLQTEKWLSLMVCGGIEDFKTREVCLIDLFCTMLSHSEPEQRSVALQQLGRIINSTSHTEADSSSPTYDQNLIRSGSTVASLLATHTWDRVIALALHDSSMLLRNHAIALLMEYVPFVDTEHRRSFLGSSNSILNSVGQLSGVIEEGYMARMSLLLLSRACLYSAPEDITLIPECVWRKLENMQTSTGGFSYMEKDLCRALCQLRTESDAKKDVKEIISGCTRQPISPDFKSIRESILQVLSSLSSVDAYFDFFSARSDQEYQELEEAEVELELIEKEKADYDFSRQSHGAVVRDMPSCELNQYCKHDKRLQEIRENIRSLERSRLKEEITARRQKKLLTRHAREKYLEETRSKEMELMQELDRERAQEMEREIERQRQLDIERAKSRELQFNLDMEKEKQTQRELQRELEQVELGRSSRRDYSANPNSRSRERYRERDGGRSQQEGSIRSSSRGHEGGGSAQALAAAGGPGPTVMLAGSRTFSGGNLPTILQPRERTADEDSAWAEGSRDSGDASSIGEPEFDGARQHGPRGSGSKSSSSRQLVERRERDGAAGTARREGKWERKQHS >Et_1B_010031.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:19265908:19266201:-1 gene:Et_1B_010031 transcript:Et_1B_010031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSAVTPPASSQSSPCTSAAVMSVTMRRPQLSPAQTRRPAPNGMSSKSPPLTSTPACVPPGRNRAGLNWSGSGHAAGSRAMAQTLTSSVVPLGMR >Et_4A_034825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6909179:6910376:-1 gene:Et_4A_034825 transcript:Et_4A_034825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRLVPQAVVLAGAFVALLAVGLGVSAAAAPVVVGSVKCLDCSPMDVKAEDALKGLKVAVKCKSGADETYETKALAPLDDNGAFSIPLAAELLRDNGELDHDCFTQLHSAPDDTPCEGQAPPRIAPAELGQVDERNTTISSTIYLAAAADTVLAPVACACGKFKKKHFMFGPPPPPPPRPEAPKPPTPTPTYGPPVSTPAPEPKPPAPPVQEPEPEPFFHKHPKMKKFMHKKKPCPPLVDDDTTRPAAGGNEKGPKKLN >Et_3A_026221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5697277:5699897:-1 gene:Et_3A_026221 transcript:Et_3A_026221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLSPPFLATRFTPSRAATRRRNRARLFSPSAQARDPCFKRPYTSVLIVPTGVGAAIGGFAGDALPVARALAAVSDCVISHPNVLNAAMLYWPMPNTLYVEGYALDRFAEGSWVLQPVHQNKVGLVLDSGIEEDLRLRHLQVADAARASLGLPVVEYIVTDAPLEISTWFDPKCGKSTGSVGNSDSLLRAVDALVKHSDVNAVAVVARFPDDDPEDTDCYREGKGVDLLAGVEAIISHLIVKEFKIPAAHAPAVLPPPLSSIVCPRSAAEEIGYTFLPCVLSGLSNAPQYVMRRQGTLDNGCIVASDVDSVILPRDSCGGDGTLAFARTVRKNKPLIITVQENETVLDDTPDKFGIQTLNVKNYWEAIGVIAAHKAGVDPNALRRQGIDRLKSPLQVYSEHSSGPRPSANSTMHERVHMQELVRQI >Et_4A_033091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18342862:18343625:-1 gene:Et_4A_033091 transcript:Et_4A_033091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDQRWYLHRRHAVFHQGALYVHCENDFTMRLSLANNKYQVIKPPAHLCEGPEFHLGKSEKGVYYALLDDECRLRVWTLAESCGKMEWDLNHDSVRGLLLLNCFPHVRGMERLLWNKNLSGILTTKIFYATKIVKKTAKMKYLEILAFHPYKEILFLHRSMSRGIAYHLNSSKLEDLGNLSPDDTYQDIGTSFPYTPCRLGEFPESWKLKE >Et_4A_031787.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:14838893:14839492:1 gene:Et_4A_031787 transcript:Et_4A_031787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTAHDDPSDDEMPDEEVSIVASTVPPPQYSMYVVLPDAPDGLWSLQEKIGSSPDFLRDHLPTRRVKVGRFLVPKFKLSFYASLNRVLQNLGIHDVFSARADLGDMLESDGAREPLFLSNVLHKAVIEVNEDGTEAAAATACMMRGCGLLNNRPAPVDFVADHPFAFFVVEEVSDAIVFAGHVLDPTQPESNDGAENM >Et_5A_041912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4158207:4159505:1 gene:Et_5A_041912 transcript:Et_5A_041912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILSDLYSPARQTVTAGDLWADSGKSKNGKNRKGKSSWEFDEDDSDDFEADFEEFQDGDSEEEVDFVHQDKEIHIKNSKFGSRKRKNQYRGIRRRPWGKWAAEIRDPRKGVRVWLGTFNTPEDAARAYDLEARRIRGKKAKVNFPDTISKNKRRHPGPVARTAEKSINSSYHLSPAGSSTDLTVVKLELSESVPLPISGAGLDALDLSQLDGLRYLEDAGKDDAAGEFDGEAGEEDMVCANAEVKLADDFAYYEAYSNYMQLPYLEGNSYENIDALFDGEAVQDGVNVGGLWSFDDMPMDLTFY >Et_4A_035008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8783071:8786218:-1 gene:Et_4A_035008 transcript:Et_4A_035008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVATHASLLLKAAAAAPAHLHPKPFFSPRAAAAARIPTPPARPALACRRPPTTTTTAAAAGTAAAAGRWFRWPPAAASARGLCAAPHSGGDGMGSDGVAARRRPAVNGVAKDVTAVNGVSKEDRPSAAPPRLLTLPTVLTIGRVAAVPLLMSTFYMEGPWAATATTGIFLAAAITDWLDGYIARKMQLGTPFGAFLDPVADKLMVAATLVLLCTKPLETSLLMDGPWLLTVPSIAIIGREITMSAVREWAASQNGKVLEAVAVNNLGKWKTATQMTALTLLLASRDPSLPAQSVLVAPGVALLYVSAGLAIWSLVVYMRKIWRILLK >Et_2B_020986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25523868:25531210:1 gene:Et_2B_020986 transcript:Et_2B_020986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQLSAVAAGGRPAAAGGRADDMEDVALLDSYDEEMGAPPLAGGGADDGEECAEAHVRVTGMTCSACTSAVEAAVSARRGVRRVAVSLLQNRAHVVFDPALAKVEDIIEAIEDAGFDAEIIPDSAVSQPKSQKTLSAQFRIGGMTCANCVNSVEGILKKLPGVKGAVVALATSLGEVEYVPSAISKDEIVQAIEDAGFEAAFLQSSEQDKILLGLIGLHTERDVDLLHEVLKKMDGLRQFNVNTVLSEVEITFDPEAVGLRSIVDTVEIGSNGRLKAHVQNPYTRGASNDAQEASKMFNLLRSSLFLSIPVFFIRMICPSIPFINTLLSMHCGPFLMRDLLNWALVSIVQFVIGKRFYVAAYRAVRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGYRSPVYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELVPATALLLLKDKEGKYVGEREIDALLVQPGDVLKVLPGSKVPADGVVVWGTSHVNESMITGESAPILKEVSSVVIGGTMNLHGILHIQATKVGTGTVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITLSAITFLCGWFGAYPNSWVAENSNCFVFSLMFAISVVVIACPCALGLATPTAVMVATGIGANHGVLVKGGDALERAQNVNYVVFDKTGTLTQGKAVVTTAKVFSGMDLGDFLTLVASAEASSEHPLAKAVLDYAFHFHFFGKLPSSKDGIEQRKEEVLSQWLLEVEDFSAIPGKGVKCLINGKHVLVGNRTLIIENGVTIPPEAESFLVDLELNAKTGILVAYDSNFVGLMGITDPLKREAAVVVEGLKKLGVHPVMLTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVVRSLQKDGSIVAMVGDGINDSPALAAADVGMAIGGGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNVVAIPVAAGALFPFTGLQMPPWLAGACMAFSSITGINTAAVNNEIIKN >Et_5A_041910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4077262:4089154:-1 gene:Et_5A_041910 transcript:Et_5A_041910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLAAPPTPLPPPASGKRVTVLTIDGGGIRGLIPGTILAFLESKLQELDGPNARLADYFDYIAGTSTGGLITAMIAAPNKDKRPLFAAKDINKFYLENGPQIFPQRPGFLNTVIELMGPKFNGKFLRSKIQSLLGATKIRDTLTNVVIPTFDVKNLQPTIFSTFDAQTRPLKDALLSDVCIATSAAPTYLPAHFFQTRDITTGNTRDFNLIDGGVAANNPTMVTINQISRKMIENKEQFFPGGPTDYEKFLVISIGTGSAKNAKMYTAKEAAGWGILSWLHKDRYTPIIDMFSYSSAAVVDLNGPKFDGKFLHSKIQSLLGATKMRDTLTNVVIPTFDVKNLQPTIFSTFDAQNLPLKNALLSDVCMATSAAPTYLPAHFFQTRDDSSGNTRDFNLIDGGVAANNPTMVTINQISRKMIVNKEEFFPGGPTDYDKFLVISIGTGSAKNAAIYTAKEAADWGILSWLHNKDGYTPIIDMFSYSSAAVVDLNALRSEKNYLRIQDDSLKGTAATVDVATKENMAELIRIGEKMLGDPLSRVDMETGKPVPVPNGGTNSDALARFAKLLSEERKARMQSQVTRPKSA >Et_3A_024526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21646336:21651376:-1 gene:Et_3A_024526 transcript:Et_3A_024526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWGSSRMARCGPWGGGGSALERELSRDGSHYSISSGILPSLGARSNRRIKLRPFIVSPYDRRYRFWETFLIILVVYSAWVSPFEFGFVRKPEGALSIIDNVVNAFFAVDIILTFFVAYLDRMTYLLEDDPKRIAWRYTTSWFVLDVASTIPTEIARRILPPNLRSYGFFNMLRLWRLRRVSSLFARLEKDRHFNYFWVRCAKLICVTLFAVHCSACFYYLLADRYPEPTHTWIGNSIPDFHQRSLWIRYVTSMYWSITTLTTVGYGDLHAENTREMIFNIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRKYRDTIQAATSFALRNQLPHRLQDQMISHLSLKFRTDSEGLQQQETLDALPKAIRSSISQYLFFNLVQKVYLFEGVSNDLIFQLVSEMKAEYFPPREDVILQNEAPTDFYILVSGSAELIELQNGTEQVASVAKSGDVVGEIGVLCYRPQLFTVRTKSLCQLLRMNRTAFLSIVQSNVGDGTIIMNNLIQLLKEQKDNSVMVGVLKEIESMLARGRLDLPITLCFAVNRGDDFLLHQLLKRGLDPNESDNNGHTALHIAASKGNDQCVRLLLEYGADPNARDSEGKVPLWEAMCEKHDAVVQLLIENGADLSSGDTALYACIAIEENNSELLKDIIRYGGDVNRSLKDGTTPLHRAVCDGNVQMVEFLLEQGADIDKQDNNGWTPRALAEQQGHDDIQILFKSRGKAPKHHAPNSRVAPLFIGRFNSEPSMQNVEHEDIGVPNKVLPKKLLNRRVSFQNSLFGVISSSNASRDTGPLQSRGPAATGLPNCNNNPLIRVIISCPEKGNSAGKLAVLPRSMKELLDLGAKKFDFMPTKVLTIEGAEVDELELIRDGDHLVLVSDEWVPEVEQNKL >Et_4A_034546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4208284:4210150:1 gene:Et_4A_034546 transcript:Et_4A_034546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSYGSREGLTVRPGASSSSSEISLQIDPINADLDDHILGLRGQIHKLRGVAQEINSEAKYQNDFVSQLQMTLTKAQAGVKNNMRRMNKRIIQNGSNHLIHVVLFALGCFVLVYLVSKFSRR >Et_10A_001038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20425710:20429907:1 gene:Et_10A_001038 transcript:Et_10A_001038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPEKTVIVCGVCGDIGFKHLLIYCRDCKGSAAHQYCLDKVIFDGSLADWLCDECQQKSVEVTKNDNGENQQVDNENPDHSLSFKQPTPLIVNCLGYTLGDKAMELFGRDSKKPPKSLRPSSKGLFKKKKNKILGSKRKTSSSATVHGNSVVEKGGAHLSSKHTDLDNQANHLALVGCKTTEVMYGKDNKKVTGAHGDSDTIRFQLDYKERSMAANVPQFSTLQDDVTDKVMPCSLNTSILVKENSCLPPEHIENENLQKNQREPSNLPSERTVNENQKENQNLSNSSPSNGEPVKKRRRYIDANEDGDEEAAMDVVTASSAPNDDASCAQNDGADLELQTAVADHPLESIVACNSGTAGQQYCIYSRPVDEPVWSGVFKINNEVFGELDAHLSNKACQKVWDLSRMLQPEVEVRKLPRLQAWPKRWTSAAPTDDCIGLFFFPRSSRPNEVSNKLVNEIIESDDALKGKHYLWGVFKQRKDKSDRGGLAVEQDASACATEESKLHEQHLLDKQDAASCESSGQETSAVKNVVHVENELMVDHNFVAQKVALKIAEREGITLMDNSLFYGKPNSPKAVSNCFLHPRTDPAHEVNQHREDIRVSPVLNATSVTKPTNTGVTKPTTECDHGQCNSGSEPSTTKLFGLVAVRTPRAQQLIQEMVSEGALIFSVPEQTVTTESCTGSSVEVESVLNHDTEYRHLREHPQSFDFISASHHDVPDVASEACLELFPVQEEKIGWAPRAEATKEVDLDLSLGTRSQAPSVKL >Et_1B_013695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12816127:12820862:-1 gene:Et_1B_013695 transcript:Et_1B_013695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPETVAAAVPTFSPPLLTAAVFIPTRPLPPTNSRSRNLYLRLRFTAAAATASTSRWVNPRIASPRRRGGVVGGAPANQRLHHLLRLGDLDAALLLVDSMREEPPAVIPCTLLIKKLCAAGRLADAERVLGASEAAGTADAVARNTLVAGYCRAGRLADAERKLASLAASGASDVVTYNTLVAGYCREGRLEDARRLVAAMPLAPKSYTNTTLLKGMCDAKRWDDAEELVAEMIRSDCPPNDLTFGMVIHSFCRNGLVDRAMAVLDQMSKCGCRPGVIIYNELISCFAELGRVNEALELFDSMPCKPDSFSYNALMKGLCRAERWEDAGELIGEMVRKGCPPDEVTFNTMISYLCHNRLVEDAIEVAEEMPKYGCEPDNFTYSALVNAFSENGRVDAAIELLRSMPCKPNTVCYRSVLKGLCRADRWEDVGELLAEMVRNSMSLDEATFGLIIECLCQKGLVDCAAEVFGEMSNYACSPDVIMCNSLINGFAECGRVDDALKIFKGMSCQPNIVTYNYMLKGLCRADKWEDTGVLIVEMVREECLPNEVTFSILVSSLCQKGLVECAVEVFEKMPKYNCTPNIIIYNTLINGLSEQDRVDDALKVLNSMPCKADTICYSAALKGLCRAERWEDAGELVLEMIRTNCPPDEVTFSILISNLCHKGFVEYAAEVSRLMLKYECKPNIVVYSSLINGFFERNRVEDALKLLRSMPCPPDTICYSVVLKGLCRAKRWEDVRELTAEIFREEASYGRPVSSPPST >Et_5B_044132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20352064:20392943:1 gene:Et_5B_044132 transcript:Et_5B_044132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYYYYSSKAAWFALAFAMAAVVAPPPCAAQNSAQDFLNPHNAARANVSVVAASWNDTYHSSKVAWFALAFAMAAAVVTAQNSAQDFVNLHNAARSAVGVGPVSWDNTVAAYAQNYAAQRQGDCALKHSSNSPYGENIFVGGAGKAWSASDALACFALALAVAAVSCAAQNSQQDYLDPHNAARSDVGVGPVSWDDTVAAYAQSYAAQRQGDCKLQHSGGPYGENIFWGSAGGDWSAADAVGSWVSEKQFYDHDSNSCAAGQVCGHYTQVVWRDSTAIGCARVVCDNDAGVFITCNYNPPGNLAFLALAFAAALVAPCAAQNSAQEQEDFVSLHNASREAVGVGPVSWDNDVAAYAESYAAQRQGGCALTHPSAAPASTAATAASSSSAATTRGAIGKDRAPTMASSSSKLVCIAMALTAAAVLVAPCAAQISQQGYLDQHNAARSEVGVDPVSWDDTLADYAQSYAEQRQGDCELVHSTNSPYGENLFWGSAGFEWSAADAVGSWLACLALVLVAAAAAALVAPCAAQNEPQDFVNLHNAARKAVGVGLAPLACFALVLVAAAAAALVAPCAAQNEPQDFVTLHNAAREAVGVGPVWWDDTVAAYAESYAAERQGDCALVHSGGQYGENIFRGGAGADWSAADAMESWVSEQQFYDHDSNTCSAPPDKSCGHYTQIVWRDSTTIGCARAVCDDGGLAFLALAFAAALVAPCAAQNSAQEQEDFVSLHNASREAVGVGPVSWDNDVAAYAESYAAQRQGGCALTHPSAAPASTAATAASSSSAATTRGAIGKDRAPCLALALAAATVFAPCEGTWQQDMVDQHNKARAAVGVGKVHWDNKVAAYAQSYAARRQGDCALQHSTNSPYGENIFWGGAGKSGSAADVVGSWVSEKQYYHHGSNTWGRPPDYCGHYTQVVWRDSTAIGCARVVCANNRGVFVTCNYNPPGNYRGKRPY >Et_4A_033530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24096762:24101315:1 gene:Et_4A_033530 transcript:Et_4A_033530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGRLLRGLTGANKVGQLKGTVVLTRKAVLGRNDWSAAVRDSLSEFRGNGVTCQLISSTLVDPNNGNRGRVGAEASLEQWKTSEPSLATGESQFGVTFDWEVEKLGVPGAVIVKNYHSTEFLLKTLTLDNVPGHGALSFVANSWVYPVAKYRYNRVFFSNDAYLPSQMPEALKPYRDDELRNLRGDDQQGPYEEHDRVYRYDVYNDLGSPDNSNPRPVLGGSDEHPYPRRCRTGRKPTKTDPSSESRLPLVQQLYVPRDERFGHLKMADFLGYSVRAIAKGIVPSLRTYVDTTPGEFDSFRDILSMYKDGLKLPETSSALQEMPAVEDIGGDYLLKLPIPQIIKGTARIPLLRVQDRDAWRTDEEFAREVLAGVNPMMITRLTEFPPKSTLDLSKYGDQTSTITAAHVEKNLEGLTVQQALDGNRLYILDHHDRFLPFLNQINSLDNTFVYATRTLFFLRGDGRLTPLAIELSEPHVQGDLTVAKSKVYTPASSGVEAWVWQLAKAYVAVNDSGWHQLVSHWLNTHAVMEPFVIATHRQLSVTHPVHKLLHPHYRDTMTINALARQTLINGGGIFEMTVFPAKYALAMSSVVYKNWNFTEQALPADLVKRGVAVEDPSSPYKVRLLIEDYPYATDGLAIWHAIEQWVNEYLAIYYPSDAVLQGDAELQAWWKEVREVGHGDLKDAPWWPKMDTVQELARSCTTVIWTASALHAAVNFGQYSYAGYLPNRPTVSRRRMPEPGTKEYAELERDPELAFIHTVTSQIQTIIGVSLLEVLSKHSSDEVYLGQRKTREWTSDARALEAFERFRDRLLEIESKVLRENRDPQLKNRNGPAKFPYMLLYPNTSDVDGKKGEGLTGKGIPNSISI >Et_2A_018263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20687836:20690852:-1 gene:Et_2A_018263 transcript:Et_2A_018263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYPSDAIIFDEAWSQSTWSVPVIGPDDFLCGSCNGLICLYSKRTKMKIANPATSECLHLDKPVKSSRGDHFSFYKFGFHPDTKEYKIAHFLGDRRKYSRGTFSVIQVFTLGSEKWRDIRTPEVLSLSCVKKYGAVIVDGTMYWLTEDNRASWKHAVITFDLSEETFAQIQLPAVPLGDSNNRWYWITELDGNVCIATAEVNRHLPKLLAGELRIWTLDKKVQKMWSQNYIIQHAPSYIPGPHFFHRDKIMMQNHAYRNVGTLPRPKQREGWELKKWEVWEREFRKIEDLWCSVYQLEKNTLCLGTSGMRTKEILQQLPDDVVCQRISMEISQILQSLPDCPYQIEFSNILAIKRKH >Et_7A_051260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16202077:16206678:-1 gene:Et_7A_051260 transcript:Et_7A_051260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGETDGQLAAAAAPSPRAETIAAAHAHLSAGSDDDDDCDDLYGDVNVGFLPLPPLSPSPPKTPSPGRSPSPSPPPQRAPAPEPPPVHQPEPQPKPSAPPQYQPPPPRPAPPPPAPPRHQMSQRAPRGGGDAPSSASPPGSAVYISELPWWATDAEVETALAAHPLRGSLHFYADNPAAAASAAAALHGRAFHGRHCVASLSRPAALNRLGDDSYPEPVQPAPNPSRGSGNPGLGRGAGNATPVRGNVGPILGDRPAPAPRPPPPMDPRPRGPPFGGPVVGGGGFGGFQALGQFNSGMGAGMMPSAVAPHVNSAFLGAGGMGMGVPGMWHDQAMAGGLWDAQLPWNFRGVQMPWQQQAPPMQVQHADGEYGQGRGMRRGRPGRSDERGIGNVRSYPERRQSDHDRGDWYKDHDREERGRRQERGPDKEREPDRHWDDGDRRRGDKRRYQEYTENDNVDRRARGRARSQSRDDDYDDHPRKRSATVNLRAHRQWHFDDSVPKFAVSDDMKTVGKSRRRGK >Et_7B_054959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:598439:602004:-1 gene:Et_7B_054959 transcript:Et_7B_054959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKDFLYIRLTICQGESSSVFETLTPSVCNTSNREKKTPRRNRRRSRRRAADQDNMVHGKLEVLLIGAKGLEDTDFLNNMDPYVILTCRSQDQKSSVAKGSEPEWNETFVFTVSDDTPQLIVKIMDSDALTDDDFVGEATIPLEPVLQEGSLPPTVHRVVKDEKYCGEIKLALTFTPAQVASCLMQYPSSNIYNCNANLLSILHQETCRHDNEEGTYNSWNVQSIDRELVSSSGNRNTRHLRLQVNSPSSQQRLWISMGVEASSQLNAFPSIEANVNDVKKKLGAHNLAIARMEKANSSKLQVDDGKPITIDGKIPT >Et_6A_047239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2809683:2810362:1 gene:Et_6A_047239 transcript:Et_6A_047239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHTEWAALHVLTEPWFLCVSLQVARTTKKAPATTPVSMAMHVAPPSAEAMAAAAASPPATPPQPGFLYTAAPPAVPVPYVWGSWPPTAGYEHGSPPVSAPLCLPPCAWYYPVVADPRGSPTAYPPPFQDPRAAAAAATEPTSPAEEDTDDDPCSLTLAIDVDKRSAPIAIGSSSATEPRQLLLQGERDKAATAAEARKRRKELTKLKHMHAAGRPGAGEQW >Et_5B_044516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3031719:3034649:1 gene:Et_5B_044516 transcript:Et_5B_044516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKNPRRIFEGEALLRRMNRYGLLGEGQNKLDYVLALTVENFLQRRLQTIVFKNGMAKSIHHARVLIRQRHIRVGRQLVNIPSFMVRLESEKHIDFSLTSPLGGGPAGRVKRKNQKKASGGGGDGEEDEE >Et_3A_025593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30980588:30989130:-1 gene:Et_3A_025593 transcript:Et_3A_025593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHTSLDVFSIELEEKLPRRRRSKRLRETYKSEYVNNKTSEDKDTSLSISDDDHDNLGPRRRTKRFGNKLGTQTIVTDDEDYINTVYYQRKVIPCRMSKRLQEKRKANHISDESCVEASTTLSGSSSSDDELLHNSVKASTGVSDGPICSLCKSGTARSHIQCQNSNCSSSFHTFCQNPPLQDYIQTSECSMCKINQVALANLTEEHSMKKIEKIVGHRMKTVQECNLQYQFLVKWHSLSHRHDCWVPLEWLQVFDRLRVQSYLNKNTLLKEVYSEDQRKPEWNEIDRAIACRRNPICQDGVIPEEVQNGALFDYQLQGLQWMLDNFNTKRNVILADETGLGKTVQVVCFLDHIIRRNLATFPALEFGRWASSLNVVVYQGDKESRKCIQGHEMYSFEGKILFDALVTSYEFVQIDKAVLQKFKWSTIVIDEAHRMKKLDCNLATCLKRYRSDFRLLLTGTPLQNNMLELFSLLHYIDPDEFSDPNADGLFAPIESGRELTMEDKIARIHDILKPRMLRRMKSDVLTDSIPTKKWVEVPCALTDSQRELYIAILEKNYSKLNGAIKNGRKFALNNILMQLRKCCNHPYLFPGQETNQQKDASNSLVAASGKLQLLHKLLPRLKERGNRVLIFSQMTMMLDILEEFLDDLGYNYARVIIYDPDFNPFMDLQAQSRAHRIGQTRPVVVYQLITKCSVEEKILQKSKQKLAIENILMNSSKKPDADELQSILLHGAKAIIDRKKVIATSIQYDDEAIENLLKLDPSAEEKCSTDDNGYIGSIVSFAHGAEDKEPGSPKVEDLKVLKPVTPKVDLGRGKRQRRAVNYADAVGNSDSDDMYAPEGSSSSSSSSDDDDDHDKDEPDILMSGLVVPVLEGPTGLLDAEPYNAIVAPVYPVLEAPKDSSSSSSSSDDEKGANIVIPALEAPRPLSSSSSSSSDDDDPGSPSVAAEAEVVSFLSA >Et_9A_062047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19178654:19179601:-1 gene:Et_9A_062047 transcript:Et_9A_062047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLIQWAEAEAKVGTATHTCLLAEPADPRQANQTGTPIPRLPAPASSPASQSHSPRISIASPPMWPSFVKTRTKSSSSSEPAASTSTALVRAAASPRLSFPSTSLKDLQSLLVPDSAAAPSSSPRVFHRIRVAASALRVLRSLQPSSSPTAPAGAAVVLYFTSLRVVRRTYEDCRAVRAILRGLRAAVDERDLSMDPGFLPELAALLPHRRRVTLPQVFVGGRHLGGAEEVRRLHESGELRRVIAPAPVSAALTCSRCGGERYVLCGSCDGSHKRYSLKGGGGFRACADCNENGLVRCPACGA >Et_9A_060901.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:15003695:15004699:1 gene:Et_9A_060901 transcript:Et_9A_060901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARALHHRGHAVLLATSAAAARTKNECDAGDKAALLAVKAAFGNASYFQSWTPDFPCCEWIGAFCDDAADPYTARRVVALSFLRDATLVGTLPGDAIAGLTALQQLLLVHVPGVTGPIPRALARLSSLNFLDIEFTGMSGPVPAFLSELTALTYVRLAFSDFTGEIPASLADLPNLSFLDLGRNRLTGRIPPRILSKTSDTALLCLFHNNLTGGIPADFAAVRFWSLDLSHNAFAGDASLLSGADKLLEVLDLSRNAFSFNLSAVQLPEKLGTFDISHNDIYSVLPPQVVNMQNLNVSYNRLSGTVPTGGNMHWFDQFCFQHNKGLCGTPLPPCK >Et_3B_031202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20627801:20630562:1 gene:Et_3B_031202 transcript:Et_3B_031202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSLALPVEANDVQSPAPTVEAAQPAPSPAVEGTPKPTLAPRVKGRVILFGIDIGLGVQKRTRSVT >Et_5B_043889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17129802:17139857:-1 gene:Et_5B_043889 transcript:Et_5B_043889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYSQGFSPARTLSPQIRSNPDADSQYLAELLAEHQKLGPFMQVLPICSRLLNQEIMRVSSMVHDHGFSDFDRRRFRSPSPMSSPIVRPGNGFGPWNGIHQERLGFPPPGTSMDWQGAPPSPGSYIVKKIIRLEVPVDSYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDTDKEEKLKGKPGYEHLNEPLHVLIEAELPANIIDTRLRQAQEIMEELLKPVDESQDFYKRQQLRELAMLNSTMREDSPHPGSVSPFSNGGMKRAKPSQVSNHPQLQKSAERSFRLVQIGGGCSGRREVLQARPASSAGGVRRAGTRPPQPDRFLRFPDMSAHTGSGTNGAGEEEGAATSFSVAGGVSAGGCGCFLSCCGGRGGGGGRGGRGAAAGRCAGGGGGSGGLAEGRLVAVLGPRRRRHAAS >Et_5A_041471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23310153:23316959:1 gene:Et_5A_041471 transcript:Et_5A_041471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLILRCSLQAHQEGQIMHWHEVRDGHLQCDYLQPRVMEWQIIVEILHHLLHVWPHISKWVVSRRNVPKANTSVRGDAFPEDTNSGAKYPMVPTKCVKNVACLNITVNDNLVPLLVKALWSVISTASVSTPLCQRSKTQSTNINWPSGEVCSMGFRPQVTSRTNIPKEKTSVSGVGLLVRANSGAR >Et_5B_043018.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:13457445:13463685:-1 gene:Et_5B_043018 transcript:Et_5B_043018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEALRAKDLAAIKLQGGDYVSAKRIALKAQQLFPGLENIFQLLTVCDVHCCAALKINGETDWYGILQVKTTADDTLLKKQYRKLALLLHPDKNKYAGAEAAFKLIGEAHMLLTDQVKRSLHDSKRKSVVATSSSLPKKRGRPRNKADNTTRKANKENFNAPDLRNKPQQHTGVFDGSNFWTICLTCGTKYQYPCSLLMKVLLCRICSRSFLAYDLSKKAPSCNGLGKKQQMFTPSQQGHTTNQQHNYLHVPGQQNPVNSHQIPFTGFGMHQQMFPPSQKTHATNQSHKHVHGQQNPSTAFGTQQQMVPPNQQPQPQNVPDKQTQLQMVPPNQQPQPRNVPDKQTHQQMVPPSQQPQPQNVPDKQTQQQMVPPNQQPHPHNVPDKQTSVISQQQRHQKFHFNSGSENVVNSQDAGGPDINRIASSNVRQARACNSAEVARPSFDEHNLEDRSKPPLGDCDEVSLVDKQKRRETAAESGYSVVRDCSEVMKDGITAEDADTISGHNPDTASQHENNATVEDGSFVRNKGSDHLPDSPPKKKMRQEYATCNANKSGETTGNEGASVCSQQCSIPSTKKTPDENGEVINGLYHNEVQGRREEEEMPHFGSDATSRSVNNMSCNATVSCPDSDFYDFENSMQEDLFRADQIWAVYDDHDCMPRYYARIKQVYSPNFMLRFAWLELDPSNDAEKAWSCKELPVACGNFRIGKTLLTENIKMFSHVVSWIKGKKRCSYEIYPRKGEVWALFKGWGMDWCSDSKDRRPYNYDIVEITSDFARGTGTYVIPLVKVKGFVSIFVRPSKEGPYLIPDGDTPRFSHSIPFHRLSETDSQCIPNGALELDPAALPSDLEKAFTSVDLDSNLMGTRGGNISSDVSSTRSSCRGETIVGKTEQSQDVTAKHTHDGTMKPNTNTQHKQDHTSEASVIDGHCANEWNDSSEPESPTSFDYPDAVFCSFTDLRSFDKFQNGQIWALYCDTDILPKYYGFIKSVNRDDRSIHIKWLEHCPCEEMDKCLSQDSYPVVCGTFKVSRQTESYDGTGVFSHKMDVTTISKGKKYEILPRVGQVWAIYKNWSRSWSFEDYKRCGYVFVEVLEISNASIKVSSLTKVDGFSTVFMPGGTCESRDAMNILKKDLMVFSHQIPAYRLTNEDANLCGRWELDPQSVPESFLVRKSN >Et_2A_018572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33379410:33380302:-1 gene:Et_2A_018572 transcript:Et_2A_018572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEYDITRPATSPPAGGVEKRGKGGGSGRHPSYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDAAALVVKGPNAVLNFPDMAASLPRPASSSPRDVQAAAARAAAMHDHDHVVGATMPAAPPEQRQASSFDDDNEELEEIVELPQVDEDLTGDLMFRTSFHDSATEPWYEQQSWTLAGIAAHDEMIVPGLEQDHLWTQPADGTVSSDFGHCGF >Et_3A_023199.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:183591:183818:1 gene:Et_3A_023199 transcript:Et_3A_023199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGGWTLKVERNAEGSAQRPSPHMRPEATTTESMVAACLSALLHKMSMRLPTLMSMAKVGPRKKGIVMAMTFGM >Et_1B_013805.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17357804:17358364:1 gene:Et_1B_013805 transcript:Et_1B_013805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSAPHGATAAPLLLLLFSVLIFLSSASAAEASGYGERKTHLHFYFHEIFSGGPNGTTANIAKPHGGGTNNSSLFGMAGVLDDMLREGADPSSPLIGRAQGFAAATSLSDGSLLTLLNFVFTDGPYNGSSLQVFGHALLGTVMERAIVGGTGVFRMARGYTLSKMLKSPDPNNLLILEYDAYIWH >Et_9B_065417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4815228:4818942:-1 gene:Et_9B_065417 transcript:Et_9B_065417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRKRIDDDREWFEVVYINGYTVFMGYLMMGVRGLGVLVITWTTVVLLGGFVSVLGKKDFWCLTGILLVETAGVFNVLLKEKISDLVPSFGGLFASALAMVVRIAFRKGKGNLATLVLSMFLAFVLAVMQALVLAIILCPVAALYMFGMCISAGISLWRLIEHDFSNAEGAANLEPALEILYSLAVAQGALFGYKHIHAFGAKMGIVKYVADTCSVNEKLVADYLEDTLVGCDKDPSFANGRNLVTYTVDLMMEAKSNGSFIAGIRALSTVTEGFDQAELAKHLLTIFLEPHDPQLLEILGPTSQYSRDIREHAAWIVALVAGGIHLEEHPGWIHCISSLLAPLDEYNWVPEEYQRDSKVDGKRTDYTVDRNSRETDSNILQDHYPLVCEGFNILNQLAAHEGNCRVIIITEGLLPKITAPLVSDLLHRDHHDQWSITVTKKSLNLMSLLAAIPGDTRTKLRSEISGSEEAIISTLEVVLQCLECGVWLKRRTVAVLLDLFVDMPSITFNGSSSRIFIWMLLLVFLLADDILAEICPTAHHQMKKRRDITRLAGQMLMDMLSSNQELSTRLTLQLDEGNARGMLQSVRVVLGDLTGSLLDAGNISIRLHAAEILGHLCGNYTKDDEYLKELKKAMVNVMPQVLTEVLGYESKREVDLESGEANAHQNTSSSQQQKDEQPGNKNCGKNWYPCARQYTAFGMRRIQIFMREPQIQI >Et_2A_015498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1457594:1461506:1 gene:Et_2A_015498 transcript:Et_2A_015498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVWLTAFFLVVALIVLVIYQLMCLADLEFDYINPFDSSSRINKVVIPEFVLQALLSVLFLVSGHWAMFLLSVPMVYYNYTLYQRRQHLVDVTEIFNQLGREKKRRLFKIISLIILLFLSLFWMIWSVLSEEDESPTVRLVCPALLFGFNEAPTVVLHHVNLEPLDSYQNAASPSSTGQYTGHQTRSALQTLGIQGLVRLHWSPEVCTGVNCRVAQLFFDPEQLQSQTDDVSVGSTQHDYLMLAEKQYRGQTNGEVSNE >Et_6A_047964.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:26370016:26371174:-1 gene:Et_6A_047964 transcript:Et_6A_047964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEAAVVGLLVLDVVCWLPSSSEAASQELGIYDWVTQQPAAATGCAEKKDAALSPAERIHINNVIDPSDSDELSYKTIGESVANIPDGSTKRYVLTLMPGVVYREKVLIGKSKPFVTIRSRDPYNPAVIVWNDTAATLAKDGKPLGVDGSSTVTVESDYFIAYGVIFRNDAPPSSNKKAAAWAKNGEVPALRLLGTKATIYNCTIEGGQGALYDQKGLHYFKYSTIKGTVDFIFGLAKSLYHDCNIVATVDSTAPDLRWRRSPWAMP >Et_3A_027043.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30409026:30409997:-1 gene:Et_3A_027043 transcript:Et_3A_027043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPYAPPRPPSLLVDRRYKQGGEVAPNCPRCDSPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRSKSSVRSAAESFSGGRDAAAFGNRFPGPVRPDMVLEGMVGNPANPGQAMHDVPASGDGSSIDLAMLYAKFLNHPPGDAGVLGAATPPESAGQMVDEAFDTFSASSDLSPGGVLAAPAQFDPCHDGFGEWSGPAVSSTDPTSTSSTTTTTTTMLCNDASVQAALGELNFAMDQSCFDSLGLPADVGNLSSWCSMVPSLSTLEDPKYDSLDSFPDDALSLHDGMINGPDHDWSVDCQGLEALYMP >Et_1A_005157.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:3042855:3042977:1 gene:Et_1A_005157 transcript:Et_1A_005157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRMMFILHSRLTYNTPLRHHCRSRLVSSLLNHSLLNSI >Et_5B_045001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8234293:8236239:1 gene:Et_5B_045001 transcript:Et_5B_045001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGKVYATVVLIRLIYAGMHILTKAAFNEGTSTTVFVFYRHAVAAIFLLPFAFFLEIRKRPAPPLNFKLTLKIFVHAFYGMAGTINLYSIGLNYASATSSSAIFNIVPVVAFILAVMFRMETLNLRSAHGMAKASGILLCVGGVIILALYQGPALKSLNQHQLLSHHSSAAAAAQAHSKKDWALGILLMTTSMVIWSYWTVQQGPLLLEYPSKLLNTTLQCVFASVQSFVIALVMERDLSRWKLAGAVSLASVLFTGIVVAAISYYLQIWVIEKKGPVFLSMSMPLSLVFTMAIASFLLGEDVSLGSIIGSVLLVAGLYNVLWGKIREDGQVANHETRSSSVVDDDGDGHDDVEKRATPLPAIAGAGGPCDAAAKV >Et_9A_062881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6157152:6158730:-1 gene:Et_9A_062881 transcript:Et_9A_062881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMVFGAGDETVVLAHGYGGTRFIWDDVVPALAARFRVVVFDWSFSGAADGKRYCCSYSGLADELVALMDELGVRGATFVGHSMAGMIGCIASVARPDLFSHLVLVGASPRYINEDGYEGGFEPGEVDAMLAAVEADFAAWAPCFAEAVVGPEHPAAVAKFAAQLAAMRPDAALRVLRAVLTSDLRRVLPDVEARCTIVHCARDAVAPLAVARYMQRAVGSGAAADTVVIEYSGHFPQLTAPEEFVRVMEAVMLVDH >Et_8A_056165.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:11175811:11175900:-1 gene:Et_8A_056165 transcript:Et_8A_056165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHNWRNVLHILLCGVRRFPLCQEDSCS >Et_6A_047990.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2885376:2885825:-1 gene:Et_6A_047990 transcript:Et_6A_047990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAVVESPSRQRHRRRTSLGNGDFELRHWRPVKRASGGMRGRWAPPEIEIPKGGGGGVRGYTSLRDIMSSPEYAAAAAKASSPGEAGATASCGDVHMIRHPLVKHAAYAYLQLTPSAREEARRRRRRRGPLCRLLMGCLGFIGAFFGR >Et_4A_031919.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29615934:29617258:-1 gene:Et_4A_031919 transcript:Et_4A_031919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTASWSRYGAVPTSPPPPSSPPLKPEGEVVLVTDGAGDAGASSSAATTAAEAGVAFFSRARAVAGAAAGRPRAWREVLDTTAFSRPDSCGEARARARRNLAYFRANYALVALVLVFVGLIYRPVSMLVFLALFVAWLGLYFGRGEGEPLVCLRREVDDRVVLAVLSAATVLAVALTRAGLNLLVSLVVASAIIGVHAAFRMNYYIDERDAFDGAGASFTDSGYGHTLPR >Et_6B_048715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1351659:1354798:1 gene:Et_6B_048715 transcript:Et_6B_048715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDEDAAPAAADAPDTTAGESPPRSPTRFPIRRRLTPRRRAISDTSSLLSGSSDNEITVEEASFVHTEPPQDGTAPPVVTSDMEVLHDKVKKQVIKEGHGKKPLKFATCFVHYRAWVQGSLHKFDDTWQEQHPIELVLGKEKKAMAGLGIGVGNMRSGERALLYVGWELGYGKEGSFSFPNVPPMADLVYEVELIGFDDVKEGKARSDMTVEERIAAADRRKVEGNECFKEKKLEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLKRFDEAIAQCSIVLSEDESNVKALFRRGKAKSELGQTESAREDFLKAKKYSPEDKDILRELRLLAEQDKVLYQKQKELYKGLFGPRPEAKPKKANYLVRFWLWFVSLISYIVKLFKRKNE >Et_9B_066011.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:20328515:20328763:1 gene:Et_9B_066011 transcript:Et_9B_066011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRPARDIWIELIGASVLVVSAVSEPAVQAGYALAGFAIWLLGVALLLFGFGQRTSPRAVGKLTGFPSARLDPVSTPSLA >Et_6A_046920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22279210:22291125:-1 gene:Et_6A_046920 transcript:Et_6A_046920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNVFFILKMTYLVESCGALLVVCRKAYLRLKGGRKYEAVEAEKEYVFEADLGQSQWSKMATLGDNQVLFLRRRCCRSVCVSHNEMPGDHIFFMENDEESHCWYPRDSSSSCIVYDMRDGKVSTPLPMVSWKPGPVSPPRRPGPPSVACTRRPRALRSCMSPVAISCTEDSSAPAAAAARATRRHRVQSPGKQTFPPPWLRRLDGSLWQLVVLRTRGRLLLAGSFHQRHLDTSCFDPHVRDEPVDERGLAWMEMDGEEPELCKVMFCSPQLIAALVWLREGTRVGVCRPGASWWSVCMDDHEPRFVDLAFHQGQLYVLNSVATLFAVDIGVDERMSNPWVSQIRPVISNLLDEPHFVSKGFLVLNMTYLVESRGALLVVCRKVYIRLQPGPGAYKIAGVEQNKFKVFEADFGQSRWLKMTTLGDNQVLFLRRCCSRSVCASHNKMPGDRIFFMDNEEENHRWYPNNSLSSCSVYDMRDGKVSTPLPMVSWMAEPVLDSLMAKTRALQSWSDIPLELAGLVLRLLPAHVDRVRFAAVCPQWRVAAREVSLPPPMPLLALPDGTVYSLPGSKPFRLPACEGYTDASAGWLFFSCEDACFLKDPLSNATVTLPALSRIRVRHVGDELGSPWMESQNADDLTLHHVKFCSPHLIAAFISFKGNSRIAVCQAGATSWWSMHLVGGHPIFSDIAFNQGKLYVLTSASGNPWVSQIRRVICGVFNQRRIAITPSHAVIKMLYLVELCGQLLIVQRSMYRRWKAVLSIGMVPRTWMNEFEVFEPDFEQSQWSKSLPLGMTKYTMPGDRIFFLENGDKDDIRNSDEDDIRNGDEYDNQYCQERYGPCRVFDMRDGKVNDALPSVSPAQPMAETAPLSWSDIPLDLAGLVLDRLPAHVDRVRFAAVCPQWRVAAREAPPLPLLALPDGTVYSLPGSEPFRIPACAGYKNACGDNWLPFSGEDGCFLRDPFSNATVTLPALSRVRIRYVDDELGTPWVERQDARNLTVRQHASLFKVPVGLQCASQGPSPGGHVDNQSPIFLNITFHNGKLYALNHSGGALFSIDISIDHNTCDPLVSRVRQVIDGFNGFEDAENVFGHDYATFKKRFLVELHGRLLMVERVMHHRLKRTGSFKPVPRAWKNEFQVFEANFEQSQWSKVTTIGDNQVLFLQSQCCRFICVSQYKMLGDLIFFLENGSRGAIWDGDEDDMVNGDEDDNRYCEEGLGACRVYDMRDGKVSDPLPTVPWNHTATAPLSWSDIPLELAGLVLCRLPARVDRVRFAAGCPQWCVAAWEVSLPPPLPLLAFPDGTVYSLPGREPFCIPACAGYTEACGNWLIFSREDECFLRDPFSNATVTLPALSRIRVRYVGDKLSPSSMERQYAKHLTVSDVDYFARQTS >Et_8B_060070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5707854:5709872:1 gene:Et_8B_060070 transcript:Et_8B_060070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSGRAPETTRVDDGYRLPDHSPPHAQMTADALRRELVKERIRQELIVAELAGQRELGPKELREFRLENAGPLGARADFQLTTLPHHDTNLSRKHCLCSYQSRCSKKTLSGAKRKRTTGPSAPNKERSYEQWTCALCHVNTSSELCFKEHCAGQQHLSNVVDLEWTKGMSGLKKIATAESYHGMQHNPWNCSSCQVKCSGELDLKNHMNGRRHQESIEALWEKARKLKETASYRKQSRMKRKRRSLLTRTRDLHQDGLVASVRLTSPPREKALAKCKRPICRRQRHSSGLTCWWEETAS >Et_3A_023302.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:19726248:19727212:-1 gene:Et_3A_023302 transcript:Et_3A_023302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVDTRGRGTFGYMAPEMLVNAVSTKSDVFSYGMMLLELVGGRRNFEPWSSTSETPDFTRDYYPCIVREKMAQGELMEVVDAALPLVDEAEVEAVVKVALCCIQRHRDMRPSMLTVVDMLEGRVTADLPPESRPPSVVNSSEPSSSTLSSKDR >Et_5A_040680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11055252:11059595:1 gene:Et_5A_040680 transcript:Et_5A_040680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSDLDSAALWAAVDSAAARASTVRRVATDDDHRGEVLQPARPFKSPRLALAASHATLPPPSRTPSTPHASPYATPDAAAAARGRMVVVESPAPEPWAVSMGSPVAAAAASDGCLLPSLSVANFRKYQEVALSILEKNDYISISGNPYIKKSGWRKISCFYNISFEIKDHSIEFDENRNVNRAEFLVRASMIGGRFSDGWGSCDRREKRFNKPNHDIPSTAETRAKNKACQIPGAVCPVSSRQNVVYEDTSKVIKDVQEGPAPPRRQDQVQKRKPGAPPAGCEHDIDGPFKSSSSTVIPAARTAMTLCSESRPNTVSKAASCCWIRSAPSSSFRSAN >Et_2A_016620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26721512:26724083:1 gene:Et_2A_016620 transcript:Et_2A_016620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVDSIECVSSMDEDDAVSSSHLPRPFLKTSSAAAAAVAAASIGVVPGGGGGSGGGSAAATAGAGGGIPGALISPATSVHELLECPVCTNSMYPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYFSLGCPEIFPYYSKLKHESQCNFRPYNCPYAGSECSVVGDIPFLVSHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYSYSLEVGANGRKMIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDTGACIPNIFS >Et_1B_010040.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:19826089:19826151:1 gene:Et_1B_010040 transcript:Et_1B_010040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDVMTSLTSVDALLFWNL >Et_1A_006682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26270685:26280886:1 gene:Et_1A_006682 transcript:Et_1A_006682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSNECVLMLVVLVAFATLQPSVAIRAQVAATTPAPAPGSSKYWPQFFFPCIPGLPRLFPCYQLPPSPPLRDPPECRTPLMKMISCAGYLTNSSVLEPPCECCKGYDAISKAREGICYCHLANGDFNQLLPTPMITARMFNITPASNLYVKMETYVKFCNTIGGDQSPGTSRRTCTRNQVIDMAPVLFPFPCIPGPFHDFSMLQNAAVATASRSTGVPDSVDEDDAVRGLPHQHQCLGASMRLLQRLRFDRQSTRRHLLLPPRQWRLQQASASAYGFRSHCVLVLAVLLAFATLQPSAAIRAQVAAAAPAPAPTRASKWPHGFSEIANLGYNLPPSPPLRDPPECRTPLMKMISCAGYLTNSSVLEPPCECCKGYDAIGNAREGICYCHLVNGDFNQLLPTPMITARMFNLTPACGLYLKMETYAKLCNSKSYSLLLTFILN >Et_2A_015810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18067665:18068624:1 gene:Et_2A_015810 transcript:Et_2A_015810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMRAPHEMMMRAPPPPPPEPELDASDFDWIGDLGEGGFARVIKVRHRRTGDVFALKEAFYPTPDEEEEAEVLRRAAWGPSPHVVRCHALFPGPHHGGPASLLEFMDAGSLRDVLRRRGWRGFPEPALAEAASRCLLGLAQLHSRGVAHLDVKPDNFLANARGDVKINDFNVSRIVSGRPDGERVVVETTMGTAPYFSPERFAPRAHADARGAMAADVWGLGLTVLELFSGRPSIVPDAEEPKAEDWKEEICDREPPSFVAACLHKDPTRRARVPHLLKHPFITQRDVEASSRALHQLIVENMYSV >Et_9B_064598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16783508:16789379:-1 gene:Et_9B_064598 transcript:Et_9B_064598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLCPAAAPLVKGKRAEKERILPGHLKRSFPSLIPKRRYLPCTLCPSMDLVTLPLGSSTKRRSGRSLKGSAETAPQLKSTHHSRPPKLSTSSMFSRRASGSGDGRPTSVPLSCLREKAAHGEGPLLPAVLLADLRNGVPFRRPVRALGPREEAKRLARHGLLRAVHPREAEHLPAERIADDARDVGACPRGGALAAADGIRGAAVHEVEEEESVAARGAGDAADGAQLDADVAVGAGAGIHEVGGDEEVGDSRGRDGMERRALRRRPDKRGLVEHRPRRARGRRGGQGDGADVGVGHGGEEGAVERELHLPIVICMTLEFMGSTTPMRGLWPCHECTPSTQRRLRATRCSSVQELPSLSRTNELRKKGSSWVTSA >Et_7A_052811.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:17038200:17038802:1 gene:Et_7A_052811 transcript:Et_7A_052811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAIERRLEGKVAVITGGASGIGERTARLFVAHGARVVVADVQDEAGARLCAELGAGAATYVHCDVAVAAAVDQAVATFGALDVMFNNAGVGEDGDASQGIRDTSRADVDPVLAVNVAGPFLGAKHAARAMVAAHRGGCIVSTASVAASVAGMAKHAYTCSKHALVGLKQSLAAELGRHGIRVNCVSPAGVATPLALNRS >Et_5A_040214.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:23367932:23369065:1 gene:Et_5A_040214 transcript:Et_5A_040214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPRRSGIKFIENEKDRHLTYFKRRAGLIKSSADLSTVTGGKSVVVLESERGKKSAFGTPSANAIIDSFLSEHNPYLDEGETAKITHMQDELFWLEKGKAVEDKKKRECKTHLKEIQDTSIDVEDLGATEVIELFQRLEHLQNGINNRTNAGKQLEVSGQTGPLPPHPLYSSLCHSQINMPPRSLPWVSLQESSQYSSLNKATIHSSSSNLSSIITSTTDTSTVHQQAHMTLPVTNEAHQPIHYFPQPQPSLLSLQAELLFNPTAQPDPNHGYTDNFSLNPVAPPHQNHEYTHNFNFNSVAPLVQDYACHSFNYNPDHANSKNLSASQQSASYEWHPVTTSIEPYSD >Et_5A_041074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17811804:17820404:-1 gene:Et_5A_041074 transcript:Et_5A_041074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRAMLLLAIAILSVAASSDPHPYPLDPLSAAELTAVSAAVFATPLVTTRPLSIHYVGLEEPDKADVLAYAYGASSSSSSNSGAGVAVLPRRAFVIARAGGETHELRVDVTTNASAAAASVTSHAVHRGGGFPTFTTEELVAAGRLPRAYPPFAESLRRRGLNLSDVGCGVFSKGWFGARDEARWGRARVAKMQSFVATAATANVYARPLEGVTLVVDIERMAVLAYRDRVVVPVPKAEGSDYRADKVGPPFTGPATVPGVVVQPEGKGFKIDGHLVRWANWEFHVGFDMRAGTVVSLATVHDADAGVRRRVLYRGFVSELFVPYMDTEEEWYFHTFMDAGEYGLGTSAFPLRPGGDCPANAEYLDGYYANQAGEPVKAENIICVFERYSGDVAWRHTEAAFPDLVRPDVTLVVRMVVTVGNYDYLLDWEFKTTGAIKVVTSLTGILEMKATSYTHTDQIKEDAHGTLIAENTIGVYHDHFITYHLDLDVDVTNNSFVKNTITPMRNTGADTPRRSYWTLRREVAETEADGQVRLNDAPADLLFVNPSKRTKIGNEVGYRLLPGGATATSLLADDDYPQRRASYTKKQVWVTPYNRSEKWASGLYAEQSTGDDTLAVWSRKNRRIKDEDIVLWYTVGLHHVPCQEDFPVMPTISGAFELRPFNFFESNQLIRTRPPAQGQSANCSCGSS >Et_2B_020820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2418839:2422941:1 gene:Et_2B_020820 transcript:Et_2B_020820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPRRLVALALAATLLAMALVVSAARLDLDDDDDSGVLDELLAVDEEAERGGLEDDGGGAEAVRRAQSMVLVLDNDNARRAVEDHAELLLLGYAPWCERSAALMPRFAEAAAALRGMGSAVAFAKLDGERYPKAAAAVGVKGFPTVLLFVNGTEHAYTGLHTKDALVTWVRKKTGAPVIRLQSRDSAEEFLKKDQTFVIGFFKNFEGAEYEEFVKAAITDNEVQFVETTDRHIAKMLFPGISSEEQFLGLVKSEPEKFEKFDGTFEEKAILQFVDLHKFPLITVFTELNSAKVYSSPIKMQVFTFSEAYDFEDLESMIEEVARAFKTKIMFIYVDTAEENLAKPFLTLYGLESDKRPEFCLGLLDGTLRLYQKSEPIPEEKGIVEKVVGRTFESSVLESPQHVFLEVHTPWCVDCEAISKNVEKLAKHFSGLDNLKFARIDASVNEHPKLQVNDYPTLLLYPAEDKSNPIKLSKKASVKNLAKLIKEKLQISDVETVAAAAPAAPAADGVKDEL >Et_1B_010903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15184816:15191344:-1 gene:Et_1B_010903 transcript:Et_1B_010903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGQKDLLVFDAVEEAFGSMPSLPQLEAAYGDVLVWQQAISTLSGKLCLLAGFSSSMVEVWVLEDYHRARWRLTQRFDLELFSPLHFHYPSSYLGNVGLVASTGGERVEKVVFHDWARKVYDARSGRKTVRVDVCFRQRAGDSQGEPRAAGRSLRSSAAGSRRRLLINSFSKTRAPDREEIEKTKGRQHNNNELL >Et_2A_017387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33926404:33928506:1 gene:Et_2A_017387 transcript:Et_2A_017387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EMAMMVDPPNGIGNQGKHYYTMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNEKVAIKKINNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSSQPLSNDHCQYFLFQLLRGLKYLHSAGILHRDLKPGNLLVNANCDLKICDFGLARTNNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSEADLEFIDNPKARKYIKTLPYTPGIPLTSMYPQAHPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENLGVEMIREMMWQEMLHYHPEVVTGMSM >Et_7B_055693.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:22156450:22157472:1 gene:Et_7B_055693 transcript:Et_7B_055693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRDSIPLVSLAVAAAALYARAASTRLRPGLPRLAALAPVVAFLAVVPLAFTASAILRGVAGFFFAWLAAFKVSLLAAGRGPLDPALPAALPFVFTAALPVKLTRRPSATGATASKAKLVASLVSCAVKVAAIAGLLRLYQFKDQLHLYVRLALYGVHMYCFLDLLLPCIAAAGRALGMEMEPQFDRPYLASSLRDFWGRRWNLMVSAVLRPSVYDPVRARAGKAAGVLATFLVSGLMHEAMVYYMTLRPPTGEMLAFFLLHGACCVAEAWCARRWRRTPPLPRAVATPLVAVFVAGTAFWLFFPPICRDGGEDVLLEEWAAVARFFVGAGRKLLQHI >Et_5B_045058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:917403:921849:-1 gene:Et_5B_045058 transcript:Et_5B_045058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRLPLPLLCVVVLLLLVSLAPRWPGASPAAAARSAPRIAPLPTAALRRLYDTSNYGKLQLYNGLALTPQMGWNSWNFFACNINETVIRETDDCWSSPKRGKKDQLLPDPKTFPSGIKALADYVHGKGLKLGIYSDAGVATCQVRPGSLYHENDDAALFASWGVDYLKYDNCYNLGIKPEKRYPPMRDALNSTGRQIFYSLCEWGQDDPALWAGKVGNSWRTTDDIVDTWKSMTDIADKNNKWASYAGPGGWNDPDMLEVGNDGMTFAEYRSHFSIWALMKAPLLIGCDVRNMTSETLEILSNKEVIQVNQDPLGVQGRRILGQGKYGCREVWAGPLSGNRLAVALWNRCSETANITMKLPEVGLDGSSAYSVRDLWKQETLSENVIGTFGAQVDVHDCKMYIFTPAVSISSI >Et_7A_051413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18166636:18170452:1 gene:Et_7A_051413 transcript:Et_7A_051413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNLGPSSPSPPVTMASSGDRVAVVRAKQLKVLMPPSFHKLRISDELAGCFDGDGPHGGATALVVSPFGKVWPVEVGRDGGGAFLGRGWPEFLSAHGVGIGWFVVLRHEGGGALTVKAFDTSFCIREFVAPAAVLAPRSSKEVSHKPQFIRVLHQDFMEKMMMPSKFLKRYVSEGYMNSPFKVFGLDGVQKDLKNQKTGIQQSTDILEQQESPCRMRKRKSNSEESSREEKKRPKSSLTSLNKTSSQKESDYHIGPPSWIKKKITSYMLKNLLSLSVKFCHSVGFRKACVITLKTEMESTKSWQVRGLAYQKVCYLLGEGWVRFCQDNKISKDDMCTFNVIETTLWHVVIMRYTQEQKESPCSSFSRKGESKNNMPISGRDNTQKGSISDLIKASSTYTRSVYEIGPPSWIQKKITPSSLKKYYLCLAHAFCSAIGMREPCTLIKLKTSIKSTRSWQVCGLMQKDSSYHLGSGWKKFVEENKLKVGDVCTFNIIEATLWHVVITR >Et_7B_054949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5911331:5913178:-1 gene:Et_7B_054949 transcript:Et_7B_054949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKGNADASFRAAGKRKAAGGVGRPKRQLTPFFAFLAEFRPKYQEEHPDVKGVAAVTKAAGEKWRSMSDEEKAKYGASKKQDDKPSKPANKKKEGPSSKKAKTDGKEEEEAEGSDKSKSEVEDEDEQDGNE >Et_7A_050871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11900589:11927348:1 gene:Et_7A_050871 transcript:Et_7A_050871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEKSRSKAMALVARADGVSSMGVTGDGRDRLEVVGDGVDTVCLVQCLRKKLGHAEILQVEEVKEKKPEEKKPEEPKVLQLPYYCHPGYYHYHQQWCDEGKHKAGTVLCLLLDFRALCFTFGHTFRVLKLIVQKIVIKASMPCEKSRSKAMALTARANGVISVGITGEAKDRLEVVGDGVDSVCLASCLRRKLGHAEILQVEEVKDKKPEEKKPEEKKPEEAKVILHQQPYCYHPGGYYHHPPHPTMVQKIVIRVSMPCERSRSKAMALAARAYGVISVSITGDAKDRLEVVGDSVDPVCLAGCLRRKLGHAEILQVEEVKDKKPEEKKPEEAKIVVHQQPYYYHPYYHHPPPPRMQKIVIKVHMTCDKCRKKALGIAAAAHGVESMGIEGDYRDQLVVVGDGVDAINLTSCLRRKVGSAEIVTVEAVDDGAKEAEKPAEEAEAVWPQQWCPAPAGYYYARPAAVYPTARQKIVIKVHVTSDKCRRKALGIAATANGKEYLQVTYMSGSVYPHQFSNSITIDGDDYICQLACAVVNAGVQSMGIEGEYKDQLVVIGDGVDAINLTSCLRRKVGGAEIVTVEAVGGAEEKTKPAAEAEAAAIDVTEWPQPQLWYPADYHYYYYYYYSRPPVKEIIIRVKTGSEKGAHGKAIKAAAGIQGVESVTIAGEDRNLLLVIGVGVDSNKITKKLRQKVGHAEVVELRTVTAGADELGRGIVSGEHAFRYHPSPSPYKHQQAAVARDHYYAGGGSYPRDNYYGGGGSTYLPATMATGRDYYYGSGGGYPAPYQQQQQHYYQAPAANTHTVVHHGYANDPNSCSIM >Et_1A_008105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4430725:4432984:1 gene:Et_1A_008105 transcript:Et_1A_008105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWDSLLNWLRRFVPGFLRFTFSILFRSKDASFGGITFTPVSDLEPGGLNSVNTELNLSNEMELSLVGLQNAGKTSLVNAVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAILYVVDAADRDSVPIAKSELHDLLTKQSLAGIPLLVLGNKIDKSEALSKQALVDQLGLEYIKDREVCCYMISCKDSVNIDVVIDWLIKHSKTAK >Et_1A_008707.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:10041995:10042957:-1 gene:Et_1A_008707 transcript:Et_1A_008707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAANAHLYGHVHAHHHHHHHRSKRAVAADDDGDAPSPKGAARYRGVRRRPWGRFAAEIRDPMSKERRWLGTFDTAEQAACAYDVAARAMRGNKARTNFPLHHATAAGCWPWGPAAPPQQLAAAVEPDHPLRPFILHNLLMAGSSNSATNGCRLVPHAVGHGQGGQFRQAPGRAPPAPPRPLRSTGAKTEAKPAAALATLAVAASLPRAVEDDDDDDVWCGLLQSEPPGAGLLQDALHDFYPSTRPPRGTSCHHKPDSDTGIAAAAFHPNDADGFDLEDGGGDYTMTTPQGLLGDVIQFPAFVQLVSGPSAAALCGRRG >Et_2A_017976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8514338:8520156:-1 gene:Et_2A_017976 transcript:Et_2A_017976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGPAWSWPSWYEPPAPVWFLSLVLLGGVYTAALSFRLLAYLALCLRQPMDLRRRYGAWAVVTGPTFGIGRSMAMELARRGLNLVLLDLDAANLRETSDAIQALHGVETKTVVFDLSLVGTAQGDEAMRRLRDAVDGVDVGVLVNNAGVARPYAMYLHEADVEAWVRMIRVNLWALTEVTAAVMPGMVARRRGAVVNMGSASSEAVPSFPLSTMYAATKRYIARFSRSLYVEYKSKGIDVQCQAPFFVATRMVTGIELVSWLAPFVPTADDYARAAARWIGHGPLCFPTISNQLLWCITAVVPDAALDWLLLNGGRRQRAAFWKARALWEASALPLVRFVSQFSRSLYVEYKGKGIDVQCQAPFFVATRMVSRGALSGWLAPFVPTADAYARGAARWIGHGPLCSPTLGHQLLWYLATSVVPGAVLDWLLLRTNQAQRVAFQKIRAAKAAAAAASRSSHSIKQWDPKKKGK >Et_1B_010238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31475216:31476305:-1 gene:Et_1B_010238 transcript:Et_1B_010238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAIPSWQTSGFVEYIPSGKKQGDMLLVVPEGAYAVRLGNEASIRQRLRAEQLNVSASGQWAVLPMQTMYSSNGWDSYAWAWDADAADVDLVIHNPGVTEDPACGPLIDSVAVKTLYPPRRTNKNLVKNGDFEEGPYIIPGTKWGVLIPSRVVDDHSPLPGWMVESLKAIKYIDAGSFAVPRGRRAVELLAGKESAISQVVRTVPGRQYALSFAVGDASNACRGSLMVEAYAGRESTKVAYESAGKGGVKRAVLPFRAASTRTRLVFFSSFYSTRSDDLSSLCGPVLDDVAVVSVRAPKRG >Et_1A_006272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:188559:192449:1 gene:Et_1A_006272 transcript:Et_1A_006272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPSAGILPSSHPSAANPPSTSYFLPTLFPIRRRRWVKAAFPPDRPTPLSTNDSSSDPIPSTAAVPFTGTYRGGDDPLISKLRTQLGVIHPLPAPPINRSVLGLFALFFFVGAAFDKLWTLRKRRRAEREVKVNGSWPQVPTSSFSLFLDSKDLQRKESVEWVNMVLGKLWKVYRTGIENWIVGLLQPVIDNIHKPDYVKRVEIRQFYLGEEPLSVRNVERRTSRRANDLQYQIGIRYAGGARMALALSLKFSAVPIVVPVWVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKITFLTKLLTEDLPRLFVRPKKIVLDFQQGRAMGPVPGSVASDIIQNVASDIIQDGNKDYVGELSVTLVDARKLSFVLFGKTDPYVIMTLGDQVIKSKKNSQTTVIGLPGEPIWNQDFHLLVTNPHKQKLTIQVKDSIGLTDITIGTGEVELGSLKDTVPTDKVVTLYGGWGLFGKRTAGEVLLRLTYKAYVEDEEDEWVKTESTSGYVSDEDVLDYVQRDMTSGSDFMGKERETFMDLLAALLVSEEFQGIVSSETGSSRGQEASRSKASASVVTGTANAEALSSSSTDTALVWLAAITSVMVLVSWNIGASGYFNP >Et_10A_001684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6841802:6848101:1 gene:Et_10A_001684 transcript:Et_10A_001684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEMDVDLDAADEIDSPSSSSGTPAASGSVSAVLSELAALHRRASSSAAASPPLSLPSLTYLSSSASAAASLFPRLAAGGIPASSLLPPLAASLTAHPLPAAAAYLRLLLAPASPLLTLFSPLPFLSLLLALRKAASAAGAHGGANPNPGSGGGNPRKRKSQQERQPAVATRGPPSLLPLALSLLADAAGRLPCGDHADARRSLVDTAAELAAFDVLAAVLGSDYHADAMQDVIRALAPLVLSATKSPVRVAAVQFLVKNLVPLGAEDGDEAVKKAVGYLPRYLAVKAPDKAEARGLAVEAIVEVVRALGEEEREGFAGYVVAMTKGKAKGRLLAVDLARAMLPMLLPSNEDDSGIEEGSWGLKFLRVLVERCSDIVGGVRARALTNAAQALDVLSERGVEVARLQEVMKIDNMGLGELLRRRCADDKAAVRKAALVLTTKAIGLIGRPVDESLLCAMGAACSDSLVSIRKAALAAISEVFRRFPDERVTKEWLQAVPPLVIDSETSIQEECENLFLELVLNRICRVANLNLDDDSVTLEEVFPEGTLDLLKSICDGEVAPCIKKICASLGKKKKLKPLLASSLQNIITVSESLWLRSSKPIEKWTAPTGSWWLLSEVSSFAPKSVNWKFLSHHWKLLDNVGQDGRSKACSDEESNSALWAVNRASLLQTISNVSMELPVEPAAELAHSLLTRIENFDMNLSEVDAHVKSLKILCKRKAKKANEADALVLKWAQQLIHGAVGILEEYIKDTSDARGRSFVTPLSSKHKGMKGASMPKSTSRAVIAVFTVGSLILACPNASVKDITPLLHTIITSANSEPRPEKLVGGTVSFKELAPSLYIQSWDTLAKICLVDDKLAKRYIPIFVQELEKSDLATLRNNIMIAMADFYVRYTALVDCYVSKITKSLRDPCEVVRRQTFILLAKLLQVRDYVKWRGVLFLRFLPSLVDESEKIRHLADYLFGNILKAKAPLLAYNSFIEAIYVLNGCTGHGAYSESQGSQDRGPSLFSIRGTNERSRSKRMHIYVSLLKQMAPEHLLATSAKLCAEILAAACDGLLNVDDAAGRAVLQDALQILACKEMRIHPSICTDNSEMDEESGEGGGTASALLAAKGRAVAQVAKKNLIQIAVPIFIELKRLLESKNSPLTGCLMECLRALLKDYKNEIEEILAADKQLQRELLYDMQKYEAGKGKAKATSEAGPSSTSKSPSKQTPSGRAGAPAPSVDASARATVRSVLKEVNQNMPTPPLHSMSVPKVKSILGTAGPGSRPPSILESVRRLHPFESDDEK >Et_4B_036953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12947013:12955796:1 gene:Et_4B_036953 transcript:Et_4B_036953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTLEERNLLLASDTGGGPKLERAANGPGPNFARSGLGLGQNRPKIKQRFDTSRSSIVEDSQAPNPVSTVRTDALAIQLFCLIITQASLADQAGHLREFSRSRKKVQHDQLDRDIIMSCNIDHPIYGRPQDRKYVQGKQDATPKDGTSPSAHRDPINNLREQDKITSLPGQPEVAEFDQYAGYVTVDAKAGRALFYYFVEAPQDPLNKPLVLWLNGGPGCSSFGSGAMLELGPFSVRSDNKTLYKKRHAWNRVANMLFIEIPAGVGYSYSNTTSDYYNTGDQRTTDDAYTFLVNWLKKFPEYQDRDFFITGESYAGHYIPELANLIISNNKATNAFKVKLKGVAIGNADLDDNMTLRASYDYYWMHAMISAKTYRDIQDKCGFNGTYTKDCLNTMDLASQEKGNVDDYDIYAPTCHDASNPSKLSDSLVFGDPCTSHYVYSYLNRHEVQRALHANTTGLSYPWMDCSQHIFDNWKDSPETMLPSINKLISSGTRIWLYSGDLDAVCSVTSTQYVLDILRLPIEKAWRPWRVDNEVAGYVVGYKGLVFATVRGAGHMVPYYQPRRALELFSSFLEGKLPPE >Et_2A_018778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7375916:7379420:1 gene:Et_2A_018778 transcript:Et_2A_018778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWSSPKDPALEAALRRNRRWVVNNQIKRILLRFPSRTAPVRFLQSRFKTLDLMGRAANWLRKYPSCFEIFSADAETGGGEQEPHFGFTKRMAALVEAEEAAVAASEPAMADRLARVLMHARGRRLQVSKLNALRSPLGLPDDYLLRLLPAHTDLFRLTNPYPHRRNASELELIRWAPSLAVSSVEAAAAATDSAPRFTCSLPASWAISHAKMEELNSTPYISPYSEVWPVPGSEAEAEKRAVAVVHELLSLTLWKKMSILKLEHFRREFGLPEDTARMLLRHPCLFYISNRYKIHTVVLREGYEGSELKVKDPVVMAKDMLGELMQEGLHEYNRRRQAANLEKKRRRGEIEVKKEEQELEDEEKARLVSAEKREERRRFYKVLFGDGSRVRPTWSLGRPVRAARPVRRRRFLRRCVAGLLDDRRRRFLRRCVATGLLDDRRRRFLGRCVATGLLDGRRCCRLRRLDRLHLLQQRKAVVGWAERPPLVLEDHQRLVHSEMLKGTRREHEASSAVEIPLHLEALEVNDLAIRDVAPSFHHAVAHLQHPRVVPLPPVSTQKQRIENSLRIENRIKKKLFRQD >Et_2B_022763.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:4629930:4630373:1 gene:Et_2B_022763 transcript:Et_2B_022763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLSSWYYENIRWKYLQSATATGEVVSVYQPSHEEHEFSGKTTHDMKALAEMYLLGATDAIVTSGWSTFGYVGHGLGGLTPWVMFKPENLTTPDPPCRRARSMEPCMHGPPFYDCRAKHGADTGKLVPHVRHCEDMSWGLKLVHPE >Et_7A_051345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17097165:17099803:-1 gene:Et_7A_051345 transcript:Et_7A_051345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRRQTKAGGASRRRTKNREEAAPAAMDPVLPPLPDEVAEDIFLRLPVKSLAVSRSWNGFISSPAFARLHREAATARACVAPWFVVCVPLDTDGGHTWPGQTCVGCPRVFSGAGRACHGVVLVGWLCAGEFSVLNPSTGGELRLPPRRPARHIHSAGLGYDDGEGRHKAVLLEGDGDLWVRCIVFTVSGAGVQWRWRAPRCRRKICDDAIVSANTDPVFADGRLHWMLVSPEKEENGLRAVQNGVLSFEMGSESFRHLPLPPFADENLPRPEYATMAEVDGRLCCLVRDLRRPHTAVALDRRIDLTPHIGGHVLTTPWEGNLFVVCYAGGRQSSGVSRKILLLTTGGASQRAYTCHPDTGELRSVVGTNDYGVQQHLRLVLSQESMLQVDGMLYLDNDFKFEVLYTMGIQVAYFLSNTIEIITVALFILWVQASSGAACSCGGAEHRGLEVISKDGSCRGHVEVTTANCHVQYQLQDARERPAARWWRSAAEGQATWWLSPAGLGVWVAGGVGGSEELHFRRL >Et_6B_050025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3942794:3943964:-1 gene:Et_6B_050025 transcript:Et_6B_050025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEAGRPKSSSDPLKRLVDQVMHLTERYHEITGKYTIEYGYRRLVRWWLEYDEWKVKNGGPTADLPEERPEERLLNTYVLLQAYVLMAVKGLGYLALTWSTVVLLGGFVTSLGREDFWCLTVISMIQAASIPWPALSFGIFSHQSYNSGFYVWST >Et_1B_011049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1751806:1755186:1 gene:Et_1B_011049 transcript:Et_1B_011049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRFTTWPASLLLLLALAVLISHAAAAGTVRVGVILEQASVTGTRFQTSIQMAIEDYNSAHPNSTTRVELHFRDSTGDAVGAVSAAVDLINNAQVQAIIGPHPAAAAEFVAHIGDRTRVPVLSFSATSPPLPPSTAPYLACTYADDSFKAAPIAAILRRFAWRAVVLLHEDSPFGAGLVPALDDALRGVDAAVAHRAAVPADASDDRLDAVLYRAKAMTTTRVYVVHVSPPLALRLFRRAKDAGMMSDGFVWIATAGVGDDEDDTMSPEDMEAMQGVISVQPYVQPTSQVDDFAERFKARLLQANAGAGGSQDIRDPTVPTLWAYDTAWALGVSATGAAFLNAVLGTTFDGLAGKFRLVNGRLQTPPVYEIVNFAGEGVATTVGFWTGKSGISQELDPSIGEGLKEIVWPGAGQSDVQIPRGWAFSPVAQELVVAVPVKHGFHQFVQVYNDSITNQTMITGYCIDVFDAAIKALPYPVYYRYEPYYGIGADNDSYDQLVDLVARQKADAVVGDVAITVNRMAAVDFTLPFTESGWSMVVRVQSQTSTSMFFFLKPLTPGLWFVSLAAFIFTGFVIWVIEHRINPEFRGTPMQQFGTIFHYAFSTLVFAHRENVQSNLSKFLMVIWVFAVLILTSSYTASLTTLLTVQKLNPSVTDINDLLNNGDYVGYQEGSFVADELVRMNFDQSKLRSYSTPDEYADALSRGSGNGGVTAVFDEVPYLKLFLSQYCDGYTMAGPVYKGTGLGFVFPNGSPMVPEVSRAIVGLTEGDDMGLIERKWFGAPGNCGENVDSSSASLTLWNFSGLFLITGVASSLMLFIYIVRFVYQERHELKTAEPGSGSVSLKRLRAWMQHYDQKDMSAPQFKQQSWGDSPSTASRGSSHGKQRERAEQEEATPMRDFGGPGASPLSDHSRMQSSSSLERKLSGEFRTPFEQRMGETGSASADKRSSTPERRQSFKLPKNEERKELPLSP >Et_6A_047880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22409768:22417115:1 gene:Et_6A_047880 transcript:Et_6A_047880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRHRLRGRCLLLPKLRPFSTTTSAPAPPAPTSSNAASPDPAALAPDDAIAMLPSLADTAGSAAALALFRRLASRADMRRLMRLYATAATTFVARGNLPMAHEAMRTMVAAFAEAGRLQEAADMVLEMRSHGLPLCVETANWVLRVGLAHQGSFPLARRVFDGMAARGGDGEVRPDTRSFRALVLGCCREGPVEEVDALLSAMWGRGFCLDHATCTVVVRAFCRQGRFKDVAELFRRMSAAGTPPNMVNYTAWIDGLCKRGYVKQAFHVLEEMVRKGLKPNVYTHTSLIDGLCKIGWTERAFRLFLKLIKSSSYKPNVHTYTVMIGGYCKEGKLARAEMLLGRMVEQGLAPNTNTYTMLIDGHCKGGSFGRAFELLNKMKQEGFLPNIYTYNVIIGGFCKKGQIQEAYKVLRMATSQGLSLDKVTYTILITEHCKQGQITYALDLFNRMAENGCHPDIDTYTTIIAMYCQQRQMEESQRLFEKCLATGRQKTDIAVSILERLDKRQQTHTADALVRKLSTLGNLDAACLFLQNVLDKNYAVDHNENVRSSITGQKFAHTILQWTGANCLVTGVSFSTNFERRKKGNNSSASRQLNGFLDIQRLFTEAEQFALLPHLMLLPSVELSQLPLLPALFRDKISFQHLHNLRHCGASIRFQLGAEECYLGYLLQLFEDIGSLDITVYDLGIAVLMKVFQATGSTKSNELKHAERVLNLFA >Et_5A_042437.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:10682714:10683790:-1 gene:Et_5A_042437 transcript:Et_5A_042437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGHFFTPSNDRLLDGYLRARIDVGNISDHAMASLFHDADVCSARPHDLVREYTPARVPCRDAGGGLQWFFFSPTRFDGKSTTRRSRAVDGTSSKESWHSEGSPKPVEGSDGGFVQKFSYHVRTATVTVEKPGWIMAEYTFKDTRPGDVVLCKVYRSPRGPGRSNASSSSTTSTSANSSGIKRKAANHGEDTTPSTRPRLAHEDDDVVMFERDLLSHDDHDDTAPVEFKDTTQNVEDPEIAPASSVHGIKTLQEMETFMMTDQEDDGTMLRVPDGEHPEDFYWRFIDLLGDDQQQEAAIGHEQQQDADIQTVHGLRSEYDVIMALATGVTVDELLLDGPSMSRLQLHPGGVLGVLCS >Et_8A_057793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7295025:7297761:1 gene:Et_8A_057793 transcript:Et_8A_057793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPAGATASPKLANGEATSGAHAARVEEEDTLPAVLRGFVDAVLAGGDEPLLRRLRAASCEAAPRVREASRNSARDLLEWTRRGSGLRAILVISVGTITLIALTGLLIFMSFLLVATANAVVVSVLMSLAAAGGFLALFFACLVAVYVGAVSIATFAISTTVISAIVAVLIATGWLGFFWMIWFAARKSMDLTKHTIGMTSSAIHSYSASRHVNQKPVE >Et_2A_015326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11053791:11068868:1 gene:Et_2A_015326 transcript:Et_2A_015326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKLVAGVLALEALIAGFHVVSRAALDMGVSKMAFLVYRNVSALAVVAPFAYFLENIWVQLKTNITRISLQYYFHPGFYILGLDYLSPTYVAVIQNSIPAITFMLASALRLEQVNLKGGYGLAKIVGTMLTIAGATTITLHKGTPLTIHLVGSHKLKASVIISITDFSWIAGCLLILANCLGLSGWMVLQVPVLKKYPAKLSLFSIILALGLIQLLAVAPFFENDLDMWKVHSGGELLTIFYAGVVATGLAWFLKIWCINKGGPLFVAVFQPLQTVMVALMAAIFLGDQLYTGGVMGAVIIVFGLYCVLWAKSMEKKGAGDGETEASMTRHLLHEECTRENP >Et_2B_022689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30408022:30410429:-1 gene:Et_2B_022689 transcript:Et_2B_022689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGGGGGGGNTRELDQTPTWAVASVCGVIVLISILLEKGLHHVGEFFSHRKKKAMVEALEKVKAELMVLGFISLLLVFGQNYIIKICITEQAADTMLPCKLKAATIEPAAKGEHHSKPTIEHLAGLLHVHGRGRLLAEANMKTKCPEGKVSLISINALHQLHIFIFFLAVFHVTYSAITMALGRAKIRGWKEWEKEAAGQDYEFSNDPTRFRFTHETSFVRQHMNVLNKTPASFYISNFFRQFFRSVRRADYCALRHSFVNVSPTRWHTMLWISIMPVVIILSVGTKLQGIICRMAIDITERHAVIQGIPLVQVSDSYFWFARPTFVLFLIHFTLFQNGFQIIYFLWILFLCSYVTLPLYALVSQMGSHMKQSIFDDQTSKALKNWRAGAKKKAPNSKHGGPGSPSAGGSPTKSGSPTRASSTDGVALTQRKPATADGAQPADDFIKIDD >Et_5B_044642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4207025:4211337:-1 gene:Et_5B_044642 transcript:Et_5B_044642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQYNPRTVEEVFRDFKGRRAGIIRALTTDAEDFFQQCDPEKENLCLYGFPNENWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSMAAVHSDAWLLSVAFYFGARFGFDKNDRKRLFGMINELPTVFEVFSGKSKIKTPSSNNHSSNKSKSSNKTKSSEPKVKQPKPPPPPQVKEENPAPAEEEGPADMEDGGGGASEGEHGETLCGSCGENYGPDEFWICCDICEKWFHGKCVKITAAKAEHIKQYKCPSCTGGGGGGGSKRARPS >Et_5A_041722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26294990:26295730:-1 gene:Et_5A_041722 transcript:Et_5A_041722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDAENLSATELRLGLPGTSSSEDRLKKPSPSVGTKRARDETTSEASGTGSAAGDDQDTTTPAKAQVVGWPPVRAYRKNTFQAAAAKKAEQPGGLYVKVSMDGAPYLRKVDLRMYKGYRELRDALDALFTKSFSADKDGDHQYAIAYEDKDGDLMLVGDVPWDMFISSCKKLRIMKGSEAR >Et_6B_049315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3108276:3109433:-1 gene:Et_6B_049315 transcript:Et_6B_049315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAADENEKEGKKWPASLPRRAVLTMSALSLLFAWLAAPALRRSDQEAAFSFSTVAWRLSPLICPYLFFWTAMTLSGTRKAAAAVFPRASFLLLLADAAAKLVGPNAGAAVMFVATVYSAMASGRAVAGRRLSAGTERSADAAALSTPCYRSRAEHKYQRGLVHLFVFVVVFLVGMFFFIMSLHAWLAPEGMRATTGEANLGVLVFFSAPGLLVTRMLLLHGRPFDPAVPQACWLAIVAGVFGWVVVAVLVGVLFGEDAMMGFAWVVSMGLASLLGYGQGVRARYEQLMDIKRSQPRMAQDASGLLVGRDKEDVCHAHI >Et_3A_023281.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:17667973:17668299:1 gene:Et_3A_023281 transcript:Et_3A_023281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLVRTAPDVELLRRRGILDNLLADDDEAAWFFSHLGDGGAMNYDNQVFAELRDDVRRYCGSWWHRNRAALRRDYFGSPWSAISFVVAGLVVALTATQTYFTVFPRK >Et_1B_013285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:785728:786988:-1 gene:Et_1B_013285 transcript:Et_1B_013285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAKPPQPLPLRRPPPPPFLNRRRSRLNRIAASQDPLTALARALWGRALPPAPLVLAVRHSWTTAWRVLMRQLAPSDPETGAFTRSASRFPTVVGTPSPRVHLYVGLPCPWAHRALLVRALLGLEDRLPVSVAVPGDDGAWSFTPDSPDALYGKRKLREVYAVRSGGFEGRASVPMLWDVERREVVCNESIEIAKFLCDLAEGGDSLDLWPQELRQEIDRWYSAIYPSVNNGVYRCGFAQSQEAYEAAASELFGALDKLEAHLAGSRYLCGDRLTLADVCLFTTLIRFDAVYNPLFRCTRRKLAEYPSLHAYTRDIYQMPKVADTCDMEAIMEGYFKTLFPLNPSGIQPLAPASCDRETLLRPHGREALSSAAGRQIEAAGVS >Et_8A_057315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23506102:23509130:-1 gene:Et_8A_057315 transcript:Et_8A_057315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILTGLMESFSPRTLLNSISRLGVLTSDGSTARPKPIQKYCQNVYDISSIVNPLVEDLCKSPEDQLNEVLKELDTAINEASRLIGNWHQTTSKICFIWQIESVISDIQGCSLQLCQLANSLLPSLTSRACTSIEVMDSSFFSFIAVIHPNFFGSNSSVKLQDVNYEHMFGLVKEIAMELSQTSAVSPENLSKLSSSLSLSTNMELYMESVSLENLRTRALRSENREELDLAEQMIPLVNYMHEDFLRETQLLSINGVPIPADFCCPLSLELMSDPVIVASGQTYERVYIKVWLDEGFTICPKTRQRLSHSNLIPNYTVKALIANWCESHDIKLPDPMKSLKVNFPSAVSSLQDLSAAGSSPLHPNVVSKANIPGSPEADLYLRNLNGASPPRGVPPQQNSHAHANRSVHDVSTSQPSENANGSAPDVSRLSLSNSEAKENSVEGRHAGLNGQASEQSTEEAFQSSNLDRDLQDHVAGSSVNGSLPNSGQLDGVTRVPSDRTNYSSDASGEVTDGGAPVASSAPQRELLIPSRLGDPRIRGQFVRRLSSDRGFPRISSSSVDARSDLSAIESQVRKLIEDLKSDSVDIQRAAASELRLLAKHNMENRIVIANCGAVNLLVGLLHSPDAKTQEHAVTALLNLSINDNNKIAIASADAVDPLIHVLETGNPEAKENSAATLFSLSVIEENKVRIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSILHENKARIVQADAVRHLVELMDPAAGMVDKAVAVLANLATIPEGRTAIGQARGIPALVEVVELGSARGKENAAAALLQLCTNSSRFCSIVLQEGAVPPLVALSQSGTPRAREKAQALLSYFRSQRHGNSARR >Et_8A_057572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4592090:4594122:-1 gene:Et_8A_057572 transcript:Et_8A_057572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTAHGRQHHAFEKSPGHMRNLDRKLQEAMSHAAGSKYMQRIYPLGIQRSSSNLTLSSLSLSQNSNDSSLSSSNSSWEPKVPLLYGGTFSPWGDVLVSLERRREDDKVSDHDVEGGEEEFDCSEPGSLHRCSWITKNSDEAYVQFHDECWGVPVYNDNRLFELLALSGMLIDHNWTEILKRREMYRKVFADFDPSVVAKMDENDIVEISGDKELKLAECRVAKEFGSFSGYMWGHVNHRPVVGKYKHHKYIPFRTPKSEAVSKDLVRRGFRLVGPVIVYSFMQAAGMVIDHLVDCFRFPECVRLAERSWGITNVAA >Et_6A_047269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3100958:3108500:-1 gene:Et_6A_047269 transcript:Et_6A_047269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGNDCGSHGEDDIKDKCRRLLCLPFGLAIIIGFIALVVYLVLRPTHPRFYLHDATLKQLDLANVSGVLSTAVQVTIASRNPNSRVGVYYDRLDVYASYKYQQVTLGASLPPVYQGHGDVDVWSPVLSGPNVPFAPYLADALAMDVANGYLIMEVKIDGRVRWKVGSWTSGHYHIFVTCPAFFITSGGNGVQGANGLKFQTATYCHVEVGTWISGRYNIFVTCPAFFITSGGSNGVQRANGLRFQTATYCRVEV >Et_8A_056308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21346503:21348719:-1 gene:Et_8A_056308 transcript:Et_8A_056308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALTFLPCTAQVIQAGHSDKQVLLELRKFLQAQNPVNRGAYDGWSESDNLPCHWAGVGCDGAGRISFLDLSSSNISGPIPPEYGRLARLQILDLSYNSLSGRIPPEIGNLTSLLLFLLVGNQLSGQIPKEIGNCTSLLWFNVAENQLFGEIPPEIANMLQLDALNISYNSFSGDIPSEIGYMDSLESLDLSWNNFSGALPSSLNQLTRLTKFNVSYNRLLSGNIPRTGQLSTFDDQSFLGDPLLLSPSSSSSNSTPSGWSSYDAEEKDEIVVAGVAFVAFLSITFVIRELQIFIYLYTIVSRKLC >Et_7B_055597.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:16092686:16093582:-1 gene:Et_7B_055597 transcript:Et_7B_055597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDKTAALVALAVTFCVLLSSAGTVAAAGKTGRITVYWGQTGSEGSLRKACESNLYSTVIISFLTNFGGGNYKLDLTGHSWSAVGPDVKYCQSKNILVLLAIGGGFGKYSLSSKADAKAVADHLWDLYLGGSSKSRPFGNAVLDGIDFDIEHGSNKHYDDLARYLKDYSKKGKKVWLTAAPQCPFPDRMLGEALRTGLFDRVHVQFYNNPVCSYRTDNVAEFTQAWKKWTSSLPQSSVYLGLPAALRAAGSGHVKPATLISKVLPIVQRSENYGGIMLWSRYWDLQTGYSQAVKRVV >Et_2B_019401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27440470:27441474:-1 gene:Et_2B_019401 transcript:Et_2B_019401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSASSPLLNCARALAEHGGAGAGHLTVLSPSSSATRQGAAALCRAMSDGDLAAAGPLAPAVRSASSSASFSVEEDEGEGEYDDDVATAPVPLRRLLTSTGLESSAAAAAAAVALVEDDIAGGGGGGRKALGGGGQDGGDSRAAADAHYRRMIESDPGNSLLLINYARFLSEVEGDAARAQEYCERAILASPGDAEALALYAGLVWETSRDADRADAYYTRAVQAAPDDCYVLGSYAGFLWDAEEDDDEESNNDAELRPPPPSPFLDAAQPPSIAAAS >Et_5B_045013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8420489:8426664:1 gene:Et_5B_045013 transcript:Et_5B_045013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSKSGPRPHPRSPNTAQPPPPSTPGAAGGGGAPPPAPGATPSKNAAMAELKSRVLASLAKLSDRDTHHIAVEDLDRIIRAPPSPDAIPMLLNALASESPGLASPARRESLRLLATLCAAHPEAAAPHLHKVLAHLAKRLKDPASDTSVRDACRDTAGQLAAVYLRPLAASGAAEAGNTTVTQFVKPLFEVMGEQSKAVQAGAAACLAKTVEGAGPGPGVMGMFGKLGPRVCKLLGGQSVQAKGALLGVIGSLSQVGAISAQNMQQTLQSIRDCLENSDWATRKAAADTLCVVATHSGHLVGDGTAPTIAALEACRFDKVRPVRDSMIDAVQLWKKLTGEDAADGKNKETADSEGKLDSKRSMQNSGKTEQFNDSSPDSPTCNEKAAGGSMAEKAAVLLKKRSTFTDRGLNPEFFQKLETRSGDDLPVEVVFPRRTLRSHLRNKDESEEDGDPVGPANSNGSADDEGNLTQMRASSNFQNIRDKWGGQRGNRNRDTKARTLDVEDRSEDSSAAMNSPGEGPSMNNKTNWLAIQKQLALLERQQASLMNMLQDFMGGSHDSMITLENRVRGLERVVEEMARDISLSSGRRGGCFDSSPGRSSGKYNGYHEYPNSKFGRSGDGRMGFAERYFSADGMSSGLRSSSWRPDSEPWDSYTYSGSRSNMNTRRGLDSVSSDSRMPRNERGNDQAGPRRGWDKGPGPFRFGEGPSARSAWRASKDEATLEAIRVAGEENGSSRAAARVAVPELDGEGLNGDNQGDERGPLWESWTRTMDAVHVGDMDSAYAELLSTGDAELLVKLMEQTGPVVDQLSNEVANEILNAVGQFLVEESFYDIALSWLQQLTDLVMENGSDYLGIPLDAKQDLLLGLHEATAIELPDDWEGATPVQIMKQLASSWRINMQQLIN >Et_7B_053763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1245042:1249503:-1 gene:Et_7B_053763 transcript:Et_7B_053763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDATVFAENEGQTGGVEEGRDKGGRGGARPARKPTPFESAGHRCDPQPPHSPRAQGDVARKTGRSRASSLARLGAALPRARPRVAARVLQPGRWDAAAFGASRRAALNAYRCQVHSDVKVAPTSDLNDEQNSSKGWRIKMLYDGDCPLCMREVNMLRERNKSYGAIKFVDISSKDYSPEENQGLDYETVMGRIHAIQSDGTVVTDVEAFRRLYEEVGLGWVYAVTKYEPVATIANAVYGVWAKYRMQITGRPPLEEIMASRKNAGECKDEKVCKM >Et_3A_024049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16712759:16716713:1 gene:Et_3A_024049 transcript:Et_3A_024049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGGRGRKIGSSSGQQKWSAKPHPQTFWINKHVLVTTYLLMAVTGLGYLALTWSTVVLLGGFVSSLQREDFWCLTLISMMQAARIFNDLGEHLIPNFVNIVTTLFCHNIALGRRTFRDVTHTFRQRRFLAVVTSRYTWSKVASLLFTGTIALPFWTLCHVPAFLYGYGPVACIVLASYRIVKHDYGSTDGDASKSNLMPALVMFYVLILCQGALYLAWLFLDYVGVRTFIYDFHDDCKLPNKGWCRMSLVDYLLDTRARCWRDPASIRGRTVLDFAVDLLDSGSWEDNFSGARLLDAFIRQGVDVRSLLLPSRPKIQKMIDTLGWRRGPTAGATARETKEVAARIMAHLASDIHLAQFPGAIQCISSLLQDEISQMYWNSNQQQGESHIQTQSPPEKNAMLGLFQSLRKWSMENVVDNQGDDVHNELTMQGLTILERLASNHQNCDDICKAPGLLSKITAPLYTTTLIHDIEVSSAWADVVNGSFKVIHQLIRGNGNACRSLSQEISSNKQALTNLDGILYQGNRASQELQMGAMAILTRLAKDRTVDLAMEIKEGLIKKQLQIFLDYEEEEEPDIVLKPFKALAGRTLAFLSSNSVTNSAIIMGASDGTVGRLTKMLHAQNNITYRIIAGKILENLCTHCKSDEEMDKIQETLLPLVLRELLFGTKKSHQSNSAQGEPEPGKDAEHQGNSGDEENQNNSVQGDENAIQDSSSLQDQLSDGGGNEEETDAKELQEAVLSLALVICDKLSAEDFHNAVKKNTQEHVFVAKLKTIVDKNCQATANSLRIVKLCGQIAVLIMRCNQYTAHFKDQEFMKSFSEASKIMSNLESCMLFAGKSARPLLADLEKKALELIVFLDEGDGIWAREGEVDGWDGEEVRGRRKLRVCSGHDEQRRHCGDAGLDEAADAAEPLVERGQSGGGI >Et_10A_000405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14177:18968:1 gene:Et_10A_000405 transcript:Et_10A_000405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRPQPAAVAPPARKVPLRKLLRAASVACGVQFGWALQLSLLTPYVQELGIPHAFASLVWLCGPLSGLLVQPLVGHLSDRIAPASPLGRRRPFIAAGAASIAAAVLTVGFSADLGRLFGDDITPGSTRLGAILVYLVGFWLLDVGNNATQGPCRAFLADLTENDPRRTRIANAYFSLFMALGNILGYATGAYSGWYRIFPFTVTPSCGISCANLKSAFLLDIIILVVTTYITVASVQEPQSFGSDEAERPSHEEEAFLWELFGSFRYFTLPVYMVLIVTALTWIGWFPFILFDTDWMGREIYRGSPDIPEETQRYHDGVRMGSFGLMLNSVLLGFTSVVLEKLCRKWGAGLVWGVSNILMALCFVAMLVITYVAKNMDYLPNGEPPIGIVIASLVVFTILGAPLAITYSIPYAMAASRVENLGLGQGLAMGILNLAIVIPQVIVSLGSGPWDQLFGGGNAPAFAVAAGASFIGGLVAILGLPRARIASSRRRGGTNR >Et_9B_066013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20473801:20479101:-1 gene:Et_9B_066013 transcript:Et_9B_066013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLRSQGKQRNGGGGLSRLLKEQKARLYIIRRCVDLLAPDVVDGEDGADQLHGRDDVDGSGHELLHDEAVGALGGSWETMVQRPMMPLNLPWPRHASVAVAKPYALANSLWMRCVFTPTNTSPPSCAFSMDRSRGLYISAARCSCRPGCAGPARPGRSRSSPRPVPARGARPGRRASIMHFASLLISRCESVAVPHTNPSM >Et_10A_001686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6820549:6830430:-1 gene:Et_10A_001686 transcript:Et_10A_001686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METMAVLARTSPPLPGTGRRPSAALRPSASLSFAAASSSSRPRAALELRAAGGARAAGARRAVPGRIVASSDVEQSYIMIKPDGVQRGLVGEIITRFEKKGFVLKGLKLFQCPKDLAQEHYKDLKDKPFFPKLIDYITSGPVVCMAWEGDGVIASARKLIGATNPLQAEPGTIRGDLAVQTGRNVVHGSDSPDNGKREIALWFKEGELCQWESVQTPWLIELDDYILRHVADHYFLQ >Et_8B_059682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20608953:20610053:-1 gene:Et_8B_059682 transcript:Et_8B_059682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKIKKSSSSVAAAPGVKREQVHEGDLNCLELRLGISSTDGSSSPWGVDPWSLAARQEKASLEQAHRRPDECDLQRENRPEPPVGWPPVRAFRKNQLSSTTTKRSAEEPAMSNKQAKQNGSDGGSMFVKVNLEGCAVGRKVDLQAHRGYASLSRALQAMFCQALLHDADDEDDEQVETTKGQSPKAKTYILLYEDNEGDRMLVGDVPWELFVASVKRLYIAQDPRTRATS >Et_7A_052330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:769840:774441:1 gene:Et_7A_052330 transcript:Et_7A_052330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFVQPIPVRPYRHNEYERFITSAYPYYVASFSTVPVLFRGIGHADNPSNSLVSHHLICMTISLLLSEAFYGFLIVLYFIPISGHSEVLKVLAASPSAYSANPKTKLASPPSLTGSAISLNPEAMVIDRINYAVTDVDGDHTLISGDDLIQSNVLKVLSASPTAYSANPKTKLASPPSLTGSAISLVSVMQGWDFTLFATMKVHIMAGAITRYEEYIDFLKKFCGISQFLLDAVAKIVGFYSNLK >Et_3B_031750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9625723:9629990:-1 gene:Et_3B_031750 transcript:Et_3B_031750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRFVLPRGENKLRWNHGMEVPFHQRMRLHPTVARLASTATRLPPIPSPGLGAAVRLPTVAKPTPFPSKLETPRAPLNPRHKQRRQPHQRSRIRPSQCRTHQGEEKMEKTSVGGGSGKPATRLGFGFSWADEVEREEQQQQEEEEEGREAKKEQPTRTDPFGAARPREVVLAEKGVDWRARDRELDAAATAARTRAAEAAASSRARTVPAPREAGRAGRTPHPRRQAAAESTPRAKDAPPPVTSSAWGGGKRKCAGEVPVPATVRPAGDQGRRRVFSELNVGEGSGSTFRSAAKKICNSGGRETEKGSNASEAAVADDGGSSGCSAAAATAAEDESAMLLVDCVS >Et_8B_060805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7889227:7890621:-1 gene:Et_8B_060805 transcript:Et_8B_060805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPMTKKRDGVRNAGGGIVGQRQHEDGAERDRRRRADLHQHDPAGVGEPPREGSPTRPPRDAEEGGEREQHAEGTAQDGDQDDLIRGVEGLLSTVARMNEAQNVNTAGRSRPLLMLRSEGEVDNDDNEETSE >Et_10B_002665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5290687:5290830:-1 gene:Et_10B_002665 transcript:Et_10B_002665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASVLRDKDLECVPPIQMDLQTRKEVPKRQRFLQ >Et_3B_031697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7996666:8000163:-1 gene:Et_3B_031697 transcript:Et_3B_031697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAGRCLLLSRPSPLRLRLLRAALTTAAPTLTTSAPPPPPRHELLLERLRMRHLKDAAPGMPKPTREKGKGGERGSQHLQQGRRAEAVESFEELGLGEEVMAALGEMGISKPTEIQCVGVPAVLDGTSVVLGSHTGSGKTLAYLLPLVQLLRHDEAMLGMSMKPRRPRAVVLCPTRELTEQVYRVAKSISHHARFRSTMVTGGTRTRPQEDSLNMPVDMVVGTPGRILDHIKDGNMVYGDIKYLVLDEADTMFDQGFGPDIRKFLAPLKNRAAKPGDKGFQTVLVTATMTKAVQKLIDEEFEGIVHLRTSTFQKRVSTARHDFIKLSGSENKLEALLQVLEPSLRKGNKVMVFCNTLNSSRAVDHFLTENQISTVNYHGEVPAEERVENLNKFRNEEGDCPTLVCSDLAARGLDLEVDHVIMFDFPSNSIDYLHRTGRTARMGAKGKVTSLVAKKDVPLATRIEEAIKKNESLEALTSSNVRRPINPQNASTKVRTSSRVVKSSSALKVTDQKGRRGVVLSSKSSRTPKDTTSTRRRSPPKIQPKSKKSASPAGKAKLVKSAKTSVKVSKKQSKPVGRKGDILNKIGTKLSVVGFRGRSSGKSAQAS >Et_5B_044575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3705703:3709985:1 gene:Et_5B_044575 transcript:Et_5B_044575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDHIVFRDYRGEVPKGSAEIFFRKVKFWNDDEAEEAPPVFNVDGVNYIHVKVAGLFFVVTTMVNVSPSLLLELLQRIARVTKDYLGVLNEDSLRKNFILVYELLDEVIDFGYPQTTSTEVLKSYIFNEPIMVDAGRLPPLGPAAMFMQGTKRMPGTAVTKSVVATEPGGKKREEIFVDIIERISVTFSSSGYILTSEIDGTIQMKSYLSGNPEIRLALNEDLSIGRTGSSTYDYRSSSGGGTVVLDDCNFHESVHLDSFDIDRTLTLIPPDGEFPVMNYRMTQEFKPPFRVTALIEEAGPSRAEVLLKIRADFSANVTANTITVQMPVPSYTMRASFELEAGAVGQTTDFKEGLLVVLNIPFVRSLHFPRRNLTKEAGPVNMNFTIPMYNASKLQVRYLQIAKKSKTYNPYRWVRYVTQGNSYVARL >Et_6B_048815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1465231:1465955:-1 gene:Et_6B_048815 transcript:Et_6B_048815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKHLCLVRFREGVVVDDLLKGMTDLVSGMDMVKSFEWGQDVLNQEMLTQGFTHVFSLTFASAEDLTSYMSHERHAAFAGTFMAALEKVVVIDFPVVLAKPPPPPPSA >Et_5B_043247.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:2026212:2026331:-1 gene:Et_5B_043247 transcript:Et_5B_043247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFSMGLIPLCRGAKLGLFMNSPCCYIGRKNSVFPSVV >Et_9B_065383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4401993:4409354:-1 gene:Et_9B_065383 transcript:Et_9B_065383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRASPDMEAVAAMGLVVAAAMLLSPAAVAAAGNFSREVRALRAVKAALRDPGHVLGDWDVDKSGHNPCNWTTVYCHHGRVHGLNLKQMNLSGRLSPAIGRLTTLRFLYLSHNAISGPIPETIGGMDLLQRLDLSNNRFNGSIPSTLGGLLHLQYLALNNNSLSGPIPDSLATARKIFRMDLSFNNLSGPRPMFQASFVTLDGNPLLSGVNCGKGEPANSDDGEDESIYIVYIILLCTSVVCLATALITGAVLLLYHRRQPQQVFAVVDGQKGPVGHLGHLKQYKLDEIKKATGNFCQENILGEGAFGIVYKGGLPDGTIVAVKRLKGRVSDVGDEQFHTEVEVISLIVHRNLLRLIGFCTTDIERILVYPYMPNGTVASKLQEQVDGEPALDWPRRKMIALGTAQGLLYLHEQCDPKIIHRDIKASNVLLDEYLEAVVADFGLAKLVDHGVSHIVTLVRGTIGRIPPEAVMVGHSSEKTDIFGFGLLLMEIVTGRKTLELHENEYEEGGILDLAKELLEQNQLNSFVDRRLRDNYDSAELKEMVQIAMLCTMYNPDHRPRMSEVVRMLKGGGGVSEKWEALKNVEESIPPLPEFPLDAIDYDSDQHSSVEMQACELSGPSLPSGLELGIDGNALR >Et_8B_058523.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:12337030:12339255:1 gene:Et_8B_058523 transcript:Et_8B_058523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAPAPLPRLLAAISAAASSPADLRRLSHLLLSPSAPLPPIRCLNTLLMALARHRMLPDMESLAIRMPARNLRTYTTLINAYCLVGDLPAAKRHLSALLRAGLEPDSHAYTSFVLGYCRVGLLAHACRVFVLMPLRGCARTAFTYTALLQGLCGAGMLREALAVFNGMRADGCEPDSHVYSTIVHGLCGAGRPWEADSLLEEAMEEGFKPNVVVYNALIDGYCSTGDLNLAIEVFERMGSKGCLPNVRTYTELICGFCKSGKVERAMVLYSRMIEAGLSANVVTYTALIQGQCNEGHLECAFRLLDSMDADGLVPNEWTCSVLIDALCKKGKIEEAQLFLSSFVQKGIKMNEIVCTSMIDGLCKAGKFSDAHDLMQKMVSEGLVPDVHLYCSLIDGLCGEKKLSEAMLLLEDMMQNGVQANAVTYTILIDNLLREFGPEGPKKILDKMVLEGIKPDVFSYTVFIRSYCQEGRMEDAESMIVQMIDHGVCPSLVTYNTLIKGYANLGQVNQAFTSFKRMVDNGCKPNEESYTVLLRLLLNKNASHNIVAGSAHIWKVADMNVLQGLIEEVIKLQRTPDTCVYDCFIRCLCRFDRFEEAKTFFIRMQSGNLVPSEDVYTYMIECSCRLKLLKEALTFLDSMAKSGYLPHLESYRFIICALCEEGSLHTAKQIFGGILSKGYNCDEIVGKILIDGLLQKGNTADCSRLLAFMEERNCRPSATIYARLPSQITVASEVHEIAR >Et_1A_006790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27666808:27670253:1 gene:Et_1A_006790 transcript:Et_1A_006790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQNRRRSSDRLGERVEFRFSNLRAVQVPVVSDRLLLSIISVDTGKTIAKSSKAAARNGICQWPDSILESIWFSQDEESKEFQDCQCKIVVSMGSTKTGILGEIFLNLTNYMSSLDSTAISLPLKKCNSGTVLQLKIQCLGTKSNSSAMKQSSTNDEMGSKSDSSDSMYGKSARSSSGNHLGGVHRNEARNRDARFSASGPHQSLISEDSTRERLNMSPRDDSEGGLYMERQGSASMYNFSASHGYWFLDLMIYVSVL >Et_1B_014094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30506568:30512500:1 gene:Et_1B_014094 transcript:Et_1B_014094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAPPPPCSKTTGAPGSKYCLCAPTTHPGSFRCRLHRSPVAAKVTAPPSSGSEAVKEAAAAAAARALLARVARKPRQPGASGIIRLGPSRLGVRDEQEEGADLINDHQKKSRNYAT >Et_9B_065931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18052368:18053779:1 gene:Et_9B_065931 transcript:Et_9B_065931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAALLVLLLSAFAAASAMAQLDEKFYSQSCPSVEDVVRKEMVRALSLAPSLAGPLLRMHFHDCFVRGCDGSVLLDDPTGNKTAEKDATPNQTLRGFDFIERVKAAVEKACPGTVSCADVLALIARDAVWLSKGPFWAVPLGRRDGRVSIANETDQLPPPTANFTVLTQMFANKSLDTKDLVVLSAGHTIGTSHCFSFNDRLFNFTGMDNARDTDPTLDPQYMAKLRIKCPNLDDNMTLVEMDPGSFKTFDLSYFTHVSKRRGLFHSDGALLTDAFTRAYVMRHATGAYKEEFFADFAASMVKMGNVDVLTGSQGEIRKKCNVVN >Et_8A_057655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5772730:5775060:1 gene:Et_8A_057655 transcript:Et_8A_057655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPLLAALLLPALLLSTASAADSKNNPADQLVSLINSNRTASKASSLADNQGLGCIALQYIKAFEGQCNQVGKNMKPVESSFTDKFAPDCGVQAATLSKITGRLLSCQPKYAFPNEAFDILIDDAKSLEVLHSKNHTEVGAAISGTDGGGPYFWCVLFSNGKPNTSFKVDGGEVPKTAMHPGCFSGNNDDCMGPKNGAISTNMVTSKLVAALLFALACAFAL >Et_9B_064445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15257574:15258176:1 gene:Et_9B_064445 transcript:Et_9B_064445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGSHNNRDRASSDLCLERTGERVPRHRWRSPLESAADRPARQHNDEHREHAHFALPPIKTPSTRSRRSSETSTLTRLTSSPSKERIHSAKCSAGPRGRNTEHVRNFASSMINMRNISPLTGKDGEIKKSCRR >Et_10B_003384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19319174:19322651:1 gene:Et_10B_003384 transcript:Et_10B_003384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQFTFTRRLTDSQHSSASSSPSIPQPRAGKWWPCPEKVAAPDGAREVSAVLWRRGGEGESGSGGKKMLKKLLPKTKSKKKKEAGSSALPTLDRLHETLEMLEKKERFLQKKSSAEIEKAKDYTKAKNKNAAIQCLKKKKLYETQIEQLSNFQLRVHDQIIMLESAKATTDTVDALRSGSSAVKAIQHSLNIDDIENAIEEANEQTENMKQIQEALATPVGASADFDEDELESELEDLEEEELDELPEPPVRHSVPVQSSAEGTSSSKQASDLNELTKLQAEMAL >Et_2A_018616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35101961:35103172:1 gene:Et_2A_018616 transcript:Et_2A_018616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRWRGRLVYHAAALDGGDVLVFARGVNLQQGGVVRCLYYYDHHHGGIDGFLPAVTSAQQVFRCPPPPSSDLPGVIILAVDGEEEPVPSMATFYRRRRSSPAPAPSKKKKKKKKSVICACTMVRDVAKFLREWVLYHAAVDVDRFFLYDNSSADDLQAQVAHLRSAGGGYDISVQPWPWPKTQEAGFSYAAAAHRDSCEWMAFIDVGELIVSPAWAKSRKPNPRMLRSIVAAVDPDVGQLTLGCKDFGPSGRTTHPEEGVTQGYTCRRRAGERHKSLVRLHAERSGGRRALRVNHYKYQAWDEFKLKFRRRVSTYAVDWTDTANPGSKDRTPGLGFEPIQPAGWPNNFCDLNDTLLRDLTRRWFGPGLAAFTNNKLPSSES >Et_1B_012636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3444997:3449648:1 gene:Et_1B_012636 transcript:Et_1B_012636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFCGTTVSETSISRGELDALSEIEDFTSIWPRMEDAYLKSKEGGCEKEQKPECGSVESCTNMLGRRVGGPEEEALTFEQLEALSDIEDFTNIWPQIEYVYQKNKEGGCKKGSKLECGRVKRGKKMLQRQVGGLDKKALTFEQVALHFNMPIKQAARELNVGLTVLKKRCRELHIPRWPHRKVKSLQTLINNVQVLLFCSTTTGIVTCPTDEQNTIDVRAVQELGHEEGHLTRTVVEKLQQTKKLMEESPAMMLDAETKVLRQACFKENFKRKRLMAATSRLESFWDPMEGAYGKSTEEECAEAQITLESCSAEKGAKMEGHTGHGSLEEKALTFELVSRHFGMPITQAAKELNVGLTALKWRCRMLAIPRWPYRKLKGLQMLIDDVQELGKETEQFDGHRTRRVVELLQQTKKLIEERPEERLDQKTRELKQACSKLRSKRKRLMEHDAGDGLKGRKSDDSAC >Et_9A_062492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23506894:23508233:1 gene:Et_9A_062492 transcript:Et_9A_062492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSVALPPWSELLGRIAARCTKPVDRASFRAVCRSWHLAVRHHCPRMPLTPWVLLPNGSFLTLADGHRDLPSKATSYGLFVKPSSRDGLRRLPLPENTSCVGYSNGWLALQHRSSSLLLHDLFSDTTVPLPEVDAISAKAPWPHVFDVLKVLMRSTADDVVVFMSDNIHCPLVLSLPGKSASAPEPQAPPFAYIIDIAFMGDRLYGITKAEDLFSFDLALREDQVPAINSCTRVIRRPLLQDPNGYDYPLQWSDVDEEEEYDSEDGGSEEEEEKEALSDSENASQDSDEYDEYDSENEALLRNQVPISVDIWFDEDEQPPVRTTTIRYLVESRGRLIMVRRQLRMPEGLPRYTRKVEVFEADTEVGAWVPVAGGLGDGGQALFLAKRFSKSVAASRRLEEDAIYFMDTAEVFHMRTGGISPALWCLDFWDPTWAFPPDLS >Et_4B_036276.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:14471467:14471598:-1 gene:Et_4B_036276 transcript:Et_4B_036276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERAQSTDFTGGRADGDSVAAKDARGGHDLGACRSESTCQAA >Et_6A_047200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26705791:26716888:1 gene:Et_6A_047200 transcript:Et_6A_047200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAVLWFFLSSTLLLITLPHLAAAAVANKAPAVPPISKPGCPDRCGNVVIPYPFGIGDRCAAGWPELTSSNITCDHSFSPPKPFSGDFEILDITVETGEMSVVSPVSYLCYKSSDTIESNVTGWNISLSNSFLISNRRNTFTAIGCGTVARLEGSGYKSFLNGCITLCLSLDDAAQDGEECAGLGCCQMAIPGNLNNVVVDWGNRTGTVNPAWEFSPCSYAFVAEKGRYQFYRDDLTREGNKSFITSQNATTLPLVLDWAIDTDASCHVGSGTSATSACASKNSLCVHATQGNGFLCNCSQGFEGNPYLSNGCININECELRKSNPDLYPEHYPCSRGSKCHDTSGSYECKCKFGHRGNATSEEGCQPIIPGFAVAIVVTIVAFILLVALILVVRTERKRRQRKGFFDQNGGKVLKGADIQIYTEDELKMITKQYSKAIGEGKFGKVYMGITKDSQQVAVKRSIVQDSRKKWEGGDFVDEITFQLEMRHKYLVRLVGCCLETDVPMLVFEFVPNGSLADVLHGDAETRRPLSLLQRLDIAIGSAEALMYMHSSHTGGQHKRIHGDVKSANILLDNDLSPKVSDFGSSKVMSTSSGYVRLVASDRTYVDPVYIKTDRFTEKSDVYSFGVVLLEIITRKAARYDGDKSLPTDFVKAGKVEGNRRKMYDEDISTDGYMECLDRIGELAVRCLKEDDDERPTMAEVLEELQQEGGEFVDETNFQFEMRHKNLVRLVGCCLETNVPMLVFEFVPNGSLSDVLHSASTRHPLSVLQRLDIAICSAEALNKYKMHYLHIGGHHKRIHGDIKSSNILLDNDLSPKVSDFGSSKVMLMDSRYVRSVASDMNYLDPMYYRTGRFTEKSDVYSFGVVLLEIITMKPAKYDGGNSLPIDFIKTCKVEGNGRMIYGMLEYRTQREAHMSVTMSPCMSLKAGDPDSDIEDPLAGEAGRRGPCGLCSSRICLLLQERCWSSTRRD >Et_9A_061067.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:13919892:13920182:1 gene:Et_9A_061067 transcript:Et_9A_061067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASDLLLRKFRLASDCAAAVKNIQGDGMGKYGHITREIQARKMDFVMVEFVHENQKSNQDAHVLAKGSIYRHFGRHVWFVMPPESVCNTYSIVD >Et_6A_045797.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:11777173:11779618:1 gene:Et_6A_045797 transcript:Et_6A_045797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSPSTVLTERKKQEHVLPAPTSDATVQRAPAEKPPFTLGDIKKAIPPHCFQRSAIKSFSYLVLDLVIAAGLLYFALVSIPTLPRTLRFAAWPLYWAAQGSILTGVWVIGHECGHHAFSDYLLLDNIVGFILHSALLTPFFSWKYSHRRHHANTGSMETDEVYVAKKKSQLPWYTPYVFGNPVGRVVYIVLQLTLAWPLYLAFNLSGQKYPRFTCHYDPYSPLYNDKERVPVLVSDAGILAALFALYKLASSYGFWFVVRVYGVPVLIVSGLFVLITYLHHTHLALPHYDSREWDWLRGALATMDRDYGILNRVLHNVTDTHVLHHIFPNMPHYHAMEATKAMRPVLGDYYKIDSTPIIKATLREAKECIYVEQGDRKGVYWYSSKF >Et_6A_048187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:942154:946720:-1 gene:Et_6A_048187 transcript:Et_6A_048187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWWGTRGSGAGASEGDGILAAISRSQVAAHGREAAAMGKKLLKSTGKAAWIAGTTFLVLVVPLIIEMDREQQLNDLELQQHTLLGGPPSVPDAVVKKATGSITSHENSQNVRLVPEEQQDAGVVHGPRERLGLGVRAGRAGLDAGVEQVVVPHHLFLPVPQALLERLDAHEAHEPARLRRRDFELRVGLGRAPRRRRKRRGEGARVEEEGVSRGGGGGVEEGRGRRAAEEEAGGGRAPHGGGGGGGPALGGSGWLSCAHHDGLNWGGVVGQPVSVRAVEGEVESWRASMAWICSLSFLTSTHNNVSPDMTYVTERIEDDARR >Et_7A_050647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24231:25766:-1 gene:Et_7A_050647 transcript:Et_7A_050647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGLLRCLVSPAGGVAAWLPCHELLASPSSAWQHWLSSLPLPRLRPPCADGFKLLLVLLLVSAAIAEVRFIASSSMAPTLRPGDRAVAEKVTYLFRRPSIGDIVFFKVPTALQNYGLNKDVVFIKRVLATPGDFIEVRQGQLIINGVAQIEHYTSTHAAYTVEAMRLPEGHVFVMGDNRNNSCDSRAWGPLPIRNIVGRYMTSFTRSSFQ >Et_2A_015724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17183362:17198131:-1 gene:Et_2A_015724 transcript:Et_2A_015724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPPAAAAVVAAVAVVVVVAAICAPAAASPAGGPHMADLSVLLPPRMTKSVEYRLIGGDGCFSWSWDHHDIIFVQPEYNDTSRCSTSARLSSIAPYNGRRETSVYATDTISGITIHCKVFVDKISRIRIFHHAVKIDLDEVATLRVHAFDDEENVFSSLVGLQFLWQLMPRMLDNSSHHLVHIPLKETHLSDCSGFCGDMNVRFELEDKNLGSDLFVVKGIEIGQEVVSAQLFEPQFEHVIDTITLTVAEAMSLEPPSPVLVTVGVLVKFKLKVFRQKVAQVVNLPSQYHRWHAKNSSVAEVDHSLGILHALNLGFTEVVVEDTRVSGHEQVSSVHVVIPRTLFLYLIPILDDSTHLHGRTHIPSSKVWYVFPGQKYKVLAKAFAEGFDAREISITEENELKIESSTVEFWNLSRIAEDSIDIYEALTSRLLSPFSQGKGNLYASLTYRREAFGPPKVLSLLQEINVCSKVKAFWDEGSENSNVIHLPWVPGVYQEIELKAIGGCGKMQDDYKLFSSDERVVSVSDSRVVRAKKPGQAVIRVVSVFDFLNFDEIIVEVSAPSVLSILPVFPVEVPVGTRLHAAVALKTSNGHSFSRCDHFNAFIRWSLLSENESFQILNNAEPSSINDLKHIAGSLGQTGNPCAWISLNASTAGQATVVATFSFDSDPDFETFTGPILLKATSKIAAFYPLVVIQGGNGNQFGGYWFDLSGMHGRFPNMDSNAPKELYLVPGSTMDVFLVGGPERWDQAIDFIETVDVVGDSKKQIIGSAVQKLSGGLYQVSCRSKVSYKLLFTRGNMIGKDHPVPAIAKSEFAVVCDFPSVITVIANENENRLDILEAASKVDRGPDRLQSSPVVISNGRSIRLAAVGIHGNGNCFANSSSLLLKWQVTGCEGLAYFDEAKGVGMLDGSAWERFLVLQNSTGMCIVRATVTGFSAKLASLTRAEEYTFLQTVHDSLTDAIQLQWSDIHFIQIVSSLRVTPEFVLLVFHPEAEVRVANVDWIQIIAEDHISLMEGSTKDFQIMAGTQDGQIFGDSQYKYMSIELHLGDEILELLSPLDLMDGPKFSIKAAKAGMTSLYVSTKQHSGQRVLSQVVKVEVYKPLQIHPEYIYLTPGASFVLSVKGGPKVGVAIEYSSLNMEIAEVQNATGKLSAKAAGNSGDLFSFYESCQSYSWKIADEKVVTFQSAKSWKYKVNQGLYLEGKDYPWFSNGSTSAFVNHVIGRSAGKTKISILITCDFVLPGTSGSVVSYNVSKTILVVPDPPLALGIPITLLLPPFYTTSSLLPGSANSLGEPDPHELENSIGYSLLRGSGSVIQDASIIDGSKIRTGESNAVDCIQAKDHSTGRTEIASCLRVAEVAQVRVAASGSSFQIAYLSVNDRIELDVKYADELGYIFSEAHGVAPVKIETNYPDVVSILTPKDGNGTYGSHERFVIQARSHGTALIRLHMNHIPKKADFILVSVGAQLYPRDVVLHSGQHLNFTIVGDSTDIRGSGHWLSSNENVVHVNRITGEAHARGDGVAEVIFKGSSLKLQTSVTVLKVNQIVIDAPSETLTNAAGPPDGYKFAVRFSDSTGHGAGSSVNVPFDCRVEPSFVGFVEPWCDHAAKKSYCLFHPYSPAQLLPAKLNSKEGFLHILVRANLKEDPKVTGSVHALFIKGFYIKEPAKLNLTPSSNHSVITIGGNADVELFWSAKDLLSISRIDTNENEGLPSQIVYRVVALKNQHFSDKVTIVLPATGQTEEVVISYDTGEKTEPSSSSLTTLAIILTCIVVPVVTVWLFMKLLEKPTRQAPSRHMAPTTPSPAGRPAAMTDPASPANGELSPRTPQPFMEYVRRTIDDTPYYKRDARRRFNPQKTY >Et_10B_002896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13146140:13150650:-1 gene:Et_10B_002896 transcript:Et_10B_002896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLQLYLALVVLLVIPLALLASTRHGGGARLPPQPWSLPVIGHLHHLAGALPHRALRDLARRHGPLMMLRFGEVPTVVASSAAAAREVMRTLDPAFATRPSPPMVRLWHRGSQGVSFEPYGDAWRQVRKICALELLSARRVHSFRPLRRDELGRLLRSVADAAAQGQPVNLSSQISAFVADSAVRAIIGSRVKDREGFLQLMREGLENLPGTTLPDFFPSSRLALLVSRMPGWIERRRERTRVFMDRIIQEHQESRARGDDREEDLLDVLLRLQKEADSQYPLTTENIKNILLDMFGGGTDTAATTLLWAMSELMRNQRVRTKAQQEVRGALEGRATVEEDYLPGLHYLHLVIKETLRLHPPAPLLLPRECRTPCQVLGYDIPQGMQVIVNGWAISRDPAYWDEPDEFVPERFEDNEISFKGTNFEFIPFGAGRRMCPGMAFGLAHVELALAALLFHFDWELPNGMAPEELDMTETFGITTQPRSDLLLLAVPRVPTVKFGIINNSQTIA >Et_8B_059004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12083815:12095762:1 gene:Et_8B_059004 transcript:Et_8B_059004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALGPPPSLGSMWGPPRAAASSPSTETSHHPRRGGAAVSSALYGLRGRHYLCSVQFMDALRSSLQMEANSALSPKSLTATRSDDSSVTCKGFCTISWNLKADVQDGYLIFVTGDPVTLGCWESDMAVQLTPFQSNNVWKAEIKVPYGVHFRYNYFIREEKGSSDIIWRPGPEYSLSIPSVGRKKHVIIVQDLWMKTSLAGLPSPSWGSWLMEDASSEGQLFASEKHKSTVKVHSSRDTMDHSVSIGEHIILKLGNGTPLHAKLLSKRPSTSMQSHATVTDKPDSSDVNQCGMTQLVEEPWILESVLVAKKPVARVKDQKNTKKFASKNNALSGISESISQQDQPVEEPWLFQSIVEATGALVHADGNIEAKDIIKKLRKKEKPPAPLDDNKTTSGEPSSRVILINSSVCSMQRIAVLEDGKLVELLLQPIKNNVQCDSIYLGIVTKLVPHMGGAFVDIGISRPSLMSIKQNRDPFVYPQVVKNSEADPTDDSYDNDENLPTYDDDDDDDDDDDASDDEFADEETVDRSSTSPAENIMDNDEGMDFMPDSKINIADSAEFEGDISYDEEKDDENDHMETEDLLQGDQSDISDDLKTLSSIQHALRESSDDTHGSKWSQVRKGTKILVQVVKEGLGTKGPTLSPFPCLRSRFWILVSRGNKVGVSKKITGAERTRLKGITKLLRPPGFTLTARTVAAGHSWEELQKDLDGLLSTWKGITEHAQSAALAAEEGVEGAVPVMLHRAKAQALSIVQDDFNEKVKRLVVDSPRTLQAISKKSHLSFAVEVPLHNGGSLVIEQTEALVSIDVNGGHSMFGQGTSQEKAILEVNLEAAKQIARELRLRDIGGIIVVDFIDMTDDSNRRLVYEEMKKAVEKDRSTVGVSELSKLGLMEITRKRVRPSVTFMISEPCPCCHGTGRVEALDTSFSKIEREICRRLAASRQKSDPEKPKSWPRFVLRVDHEMCTYLTSGKKTKLGVLSSSLKVWILLKIARGFSRGAFELLPYSDEKESDGQKEASSESKEAGSPKLSVFPIKKWMSRAKRANQDDTTA >Et_7B_055889.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6844925:6845311:1 gene:Et_7B_055889 transcript:Et_7B_055889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRACVAAAAFQYNAAHSVAEVSEADYKSCSASNALGSYTDQSTSIPLTKPGTRYFICGAAGHCAAGMKLAITVSDGSSSAPATTTASPTARSTNSLGSDTSGATGSAAHLVTGLLFGAAGFAALMG >Et_8B_059672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20507407:20511793:1 gene:Et_8B_059672 transcript:Et_8B_059672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IIAARFRHNQCIYFTKMGSSELEQPKALSHMDCSQPPSRTWQRKFDDEGKKIAMFTMTMNDIISIGPLLLRVLRLHIEETAKGVLLQLPQKNKQKLLYHVTEFANNILHFDPQATVYDPLRKWMDNCYRGVPLGGIGSGSVGRSYRGYFQHFQIFPMIYEEKPILTNQFSAFVSRPNGKKYSTVLSAPCADVLKGIDKAGVGSWDWKLKEENCTYHGLFPRSWTVYDGEPDPEIKITCRQISPFIPHNYKESSFPVAVFTFTVHNSGSTPADVTLLFTWANSVGGRSELTGNHKNSKMTARDGVHGVRLHHRTADGHPPVTFAIASQETDDVRVTVCPSFTMGPSSSSGEFTAKNMWDEVKKNGSFSAGAGGDAPTAAASRPGSSVGAAVAASTAVPAGETRVVSFALSWACPEVKFPSGKMYHRRFTKFYGLDGAAAAENLAHDALLEHIKWEAQIDEWQEPILQDKSLPDWYPVGLFNELYYLNAGGTVWTDGEPPQNSSFADSGAGLFSLDTFGTTSASATTSSSSSTTAVDGVLRAMSSATEHHHLSTASFGTSLLQDSENVGQFLYLEGMEYAMWNTYDVHFYASFALLSLFPSLELSLQRDFARAVLLHDPRLRRTLDGKTVRRKSLGAVPHDMGLNDPWFETNGYMLHDAARWKDLNPKFVLQVYRDAVATGDVAFAEAAWPAVYMAMAYMDQFDRDGDGMIENEGIPDQTYDIWSVKGVSAYTGGLWVAALQTAAAMARVVGDRAAESYFRERHRKAKQVYDAELWNGSYFDYDNSGGANSKSIMADQLAGQWYARACGLEDDQLVVDDESKARSALATVLDYNVMRFKGGAVGAVNGMRPDGGVDESSTQSKEVWPGVTYAVAAAMAHEGMTEAAFRTAKGAHDAAWGKDGFGYAFQTPEAWTAEGGYRSLHYMRPLGIWAMQWALSPPKLHTDLLRVDSPCCCSPADAALGEAQFRKVAAMLRLPEERQPKGYIWAIYQLVKRMVLPDQ >Et_9A_063351.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21050035:21052587:-1 gene:Et_9A_063351 transcript:Et_9A_063351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAISVSLPTPPPTHHHHQAKPLPPHHARGPPPPPRLLKTTSLSASLRGVDSFRDGRLLVSLLRQCADLLHREEDAESVTLARRLAPQLHSLVVRSGRSRDPHVACALVDLLARLGRGASGRRLLHEAEEEAKDAVLWNKHVAMLAEAEEWGEALAVFREMQARGVAADGYTCARVLHACGRAGALREGKAVHAHAVKAGSDAHALVPGFLAGMYAENADVAAARLVLEAAAPESVVPWNAVVACCARLGLVDDALDIADRMARAGPDPNMATWNTVLSGCSRNGRDLEALGVVRSMLEQGLRPDATTVSSLLKSVANSDLVAHGMEVHSFFLRHQLEPDVYTGTAFVDMYAKCGRLDYAQKVFDALELRNLTTWNSLIAGYANAGQFDRALELVEAMKRNRLDPDISTWNGLITGYSMSGQSSQAVLLIRQIKALGVTPNVVSWTSLISGTCHNGEYEDSFYFFGEMLKDGVQPSSVTMSVMLRACAGLALLKKGKELHSFALRRAYDDDMVVRTALIDMYSKAGGLTSAKRIFEGIQKNNLVSCNAMLTGLAVHGQGHEAIKLFHDMCSSGLKPDSITFTALLTACRSLELITEGWEYFDSMENRYGVTPTVENYACMVDLLARCGYLDEAMDFIKRSPVKAASLWGALLTGCSIQGNLALAEVAARKLFKLEPYNSANYLQMVSLYEHEQMYDEAESLKYAMKAREVDTRPGWSWIQIGPSIHVFEVDGKPHSDTAEIYEELLRLIFQIRKAGYVPDTSCISYNVPEEEKEKLLLSHTEKLAITYGLIHSDASRVPIRVIKNTRMCNDCHEVAKHISALCDQQIILRDADRFHHFADGKCSCNDCW >Et_4A_034490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3728199:3734938:-1 gene:Et_4A_034490 transcript:Et_4A_034490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFSLMRVRPPIPRGNPLSLQRLRPIHCRRSASASSLSRRLHLPQLPARGALAGNPRATADPSASSAVDAAAEAEGAGPATVLLDVSGMMCGGCAARVRAILAADARVETAAVNLLAESAAVRLRAPEPGAGEELAARLTDCGFPTTARRGGADAGAGESARKWREMAARKEELLARSRGRVVFAWTLVALCCGSHASHLLHSLGIHVGHGTFLELLHNSYVKCGIAVAALFGPGRDILFDGFRAFKQGSPNMNSLVGFGSTAAFAISTVSLLNPEWEWNSTFFDEPGNVIGGSSFVDESMLTGESLPVAKEIGLPVFAGTVNWDGPLKIRATCTGPSYYIGTHLFPDVLLNDIAGPDGDSLLLSLKLAVDVLVVSCPCALGLATPTAILIGTSLGAKRGLLIRGGDVLERLAGIDTLVLDKTGTLTEGKPVVTSIASLAYKETEILRLAAAVEKTALHPIANAIMNKAELFKLDIPMTSGQLTEPGFGCLAEVDGCLVAVGTLDWVHNRFETKAAPSELRDLRNHLEFVLSSEASSSNHSKSIAYVGREGEGIIGAISISDVLREDAELTVDRLQQENITAFLLSGDREEAVASIGSTVGIKKENMKSSLTPQEKAGVISTLQGEGHRVAMVGDGINDAPSLAAADVGIAMRTHSKENAASDAASVVLLGNRLSQVIDALSLSKATMAKVHQNLAWAVAYNIVAIPVAAGACMGRFRRQKKTQGDDKLIWFVPVVEYVQVIKMHSSNPSFLAQCTFLPLLLLITFSSTAFTPASAAVADSACTRAIFLPRFLATAAFSVSTSWYSLAHRRLTTHVAASATAWVRNIASKSTSSRNASTNCSLRSLVDPRSRRLWSWRRKKARDASAWPESAASASPVCAATQRWNAASAESTVVACTATSAQPKGVGWGRRRRTGPSAAAARTRRERLRSQTSRFGREQELLRFELLPPVADAIVLSGLMWPACIEGFLRKSRGACAGEWMEPPGSARGEEV >Et_7B_055355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9660784:9666006:1 gene:Et_7B_055355 transcript:Et_7B_055355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EHRQSYYQHRYLEFYRSDLPFPSLSFFWDQKKIIFYVDILKWRRAPPTPLQVVGQFPRGQTKRAHCPSRARFWIGPATSHNGHHGSSDLTPKMAGGNDLRILAAVAAAATAAMGYARFAARRLRPGLPRLGAFLPVLAALPFLPLAFRALHPRLISGFFLAWVAEFRLLLLAAGQGPLHPSLPLPAFVGVATFPVTLRDPKPGGAPRSGIGLVAAAVMAGLLAAVVSMYQYREQMSEYLLLTLYSLHVYLALELVLAATAATARAALGLDLEPQFDRPYLSASLRDFWGRRWNRSVSALLRQCVHRPVRARLGGSAAIGMLASFVVSGLMHEAMFSYATLRPPTGEATAFFALHGVCAVAEGWWAARGGSWPRAPRAVATPLTLAFVLVTAFWLFFPPITRPGADKQVVAESEAVVAFVRDAVSWAWAVASPRLPSSVSPEGLLINTARHVTSWRWPRRARSLLGLDLDQAPQFDRSYLSASLRDFWDRRWVSVLLRQCVHRPVRARLGVAAGMLPLFAVSGPMHEAMFSYATLRPPTGEAAAFFALHGACAVVEGWPRPPRLVATTLTLAFVLVAAFWLFFPPITRPGADKQVVAESEAVVAFVRDAVSWAWAVASPRSLLSSRS >Et_6A_047621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8634118:8641534:1 gene:Et_6A_047621 transcript:Et_6A_047621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGEGEETAVPLLEKKPPLLYSEGCPGCAIDRRKAEFKGIPYMFFFHIWSINLVSSLPILTIYPFLYFMIKDLHIAKRVEDIGYFAGLVGASYMFGRTLTSVFWGVVADRIGRKPVVVFGIASTIVFNTWFGLSTHYWIALSTRFLLGSLNGTLGPIKAYAIEVCRPEHQAIGLSLVGTSWAMGLVIGPAIGGYLAQPADKYPILFPVNSFFGRYPYFLPTLCISVLCLVILVSCIWLPETLHMHTLVKQGDQENESFTEHSATDSVEFVEQQISSTTNKNIFKNWPLMSSIILYCIVCFEDMAYSEIFPLWAESDRSYGGLSMSSEDVGQVLAITGASILLYQTFIYPHKVKVLGPINACRVMSFLSMMVLFTFPSMVHLSRSSLSIVLTIASALKANAVITVVTSSFILHNNSVTQDQRGTANGLANTLMSFSKALAPAGAGVLFSWAQKRQHSFLFPGDQMVFFSLGIVVFIEFIWTFKPFLAVTGTLPSS >Et_2B_022031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8326018:8329016:1 gene:Et_2B_022031 transcript:Et_2B_022031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWWEAMAVAVAAAGTGGSSATVVSICVFTAVLCLCLVVGHLLEENKWVNESITALIIGCIIGAIIFLVSKGKNSHIVRFDEQLFFIYVLPPIIFNAGFQVKKKQFFHNFMTIMSFGVFGVFISVGIVSAGCYWLFPKVGFGNLSAVDYLALGTIFSSTDTVCTLQVINQDETPRLYSLVFGEGVVNDATSVVLFNAIKNLDINKLKGGVVLKVISDFLYLFATSTILGISMGLCTAYVLKALYFGRHSTDREVALMALMAYLSYMLAELLNLSGILTVFFCGIVMSHYAWHNVTESSRITTRHIFATLSFIAETFIFLYVGMDALDMDKWKTSEASFKTSIGIFGVVLLVMLLGRAAFVFPISILSNKMSGSSEKTPITFKHQVVIWWAGLMRGAVSIALAYNQFTFSGVTSDPVHATIITSTIIIVFFTTLVFGFLTRPLISAMIPPRRAARTLSRGLSTGSNSPKDDFSLPFLSNEDDASGSGIVLEQAKRSISLLLERPVHTVHIYWRKFDDKFMRPIFGGPQSH >Et_4B_036516.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27864182:27864307:1 gene:Et_4B_036516 transcript:Et_4B_036516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANDENDWTSTLFFAEVKMMHGVKYYFCSPLELTDHGMCY >Et_7B_053322.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7375124:7376406:1 gene:Et_7B_053322 transcript:Et_7B_053322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMQPFPSEAEQEADAEWGTNQELYQHFTKLVSSLPSSNGISYLPLYHHEQGWNSSQVTMVGSMVADSCFTARHTDIIVATLPKSGTTWIKSLLYTIVHRRQHPVDAADHPLNSIGPHECINFFEYQLYTRNKIPDLDKLPDPRFFATHVPFVSLPRTIAMSGCKIVYVCRDPKDHLISQWDFSNMFMVREGHKPLSLETAVELFCNGLSPFGPYWDHVLGYWHAHLKHPDKVLFFRYEQIQSDPAAHVRRLAKFTGHPFSDGEEKDGVVDAVVRMCSFEHMKGLEATKGGKTKLVIGEVDNSSFFRRGVVGDWANLSPEMARRIDAISEAKFKGSGLVV >Et_6A_048194.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:9299810:9300061:1 gene:Et_6A_048194 transcript:Et_6A_048194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLGCFGVAGGERKVSPAVKQSGEGPEAKEQRRMEKEEKVKKGGEASKQVAAGRKEKKRGDQKAAIMMQHHFPFHSRPGLL >Et_1B_011253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19393345:19396253:-1 gene:Et_1B_011253 transcript:Et_1B_011253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLFSSPSVSSFSWFGPNPTASMLRRSALAVLLLPVLLFVASAAEVEEKALPVEPIELYFSPAELARIAGYGEEPVSSVTVSGQVTCELCLRAGSDLLALELPGAKVAVICESEGHNQVASSAFATTDEYGNFTIDLPSQLHATPNLEKACTVKVLQFPVESSCQFSYHTRSTYGLRLSSMEDGVRTYTTGVIRLQHSNISSDKCVNIENRSEIR >Et_4B_038549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29795869:29797734:1 gene:Et_4B_038549 transcript:Et_4B_038549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVMSPVASKKTPASRFRRVCVFCGSSPGKKAAYQVAAIQLGHQLVERGIDLVYGGGSVGLMGLISRAVHNGGGHVLGVVPKAVLPREVIGETPGEVKAVTGMHQRKAEMARHSDAFIALPGGYGTLEELLEVITWAQLGIHSKPVGLLNVDGYYDSLLSFIDKAVEEGFVTPAARHIIVSASTAHELLAKLEDYVPMPDASSVKLSWEMEQQIAGIYYSPKPDMAR >Et_8B_060737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5858990:5863645:-1 gene:Et_8B_060737 transcript:Et_8B_060737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNNPFRRHPRLLLTSQVHAIVFHTDKSQPAILRCHNNALWLLVRIGRINHGQATPTLDQVIFENSFSLIKAEVECISTEDTGSCLDEAIELNYGWRAQCASLRRILAHPPEKLAVILHEVSHEDHTKWATVAVEVVTAVRAAEHSCGVVDIGIGLGHGLLVAGDWVPEDELLSSRPEGVEQHAPVTAFEGPPVAAVEHRRGEGGGGGGGDESKEGVLVVDVPEEAEKGRRSVELAVAAEEGRVGEESAPGFADKGGAHETRGLVRREPEEDLSDGIVDQLRQRHGVLVGGGTRRRRGDLGGDWGKRGGRESSGEERPSRQPGNCCGLAV >Et_4B_037154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15538489:15555060:-1 gene:Et_4B_037154 transcript:Et_4B_037154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDAERVDDSALFRRVEELQRELDEKVDLVQVLTRRVEELQRERDELKKDIEQLCMQQAGPGYISVATRMLSQRTAALEQDIENLQKKLSGCLRENQNLQEELSEAYRVKSQLAELHGAELSKSKDLEKQIRFFQSSVAQAFAERDNSLMEREKAKEREEAVLRRFDDFEERTREYQSAIDDQKHLNDGLQKELTELKAQTESSLKVVLKFYELRCRDFECPLNISFEEKCSILLDDSAETWSFSSDGGASTLKYITSLEEEKDSLKAKIAKLQSNLRMGLEIEQHLQRNARILEKRQAMYDDFLRSGLSELKKFYEDQRTEIMKILEEESSWLSIIVAEIQEKLSKICMSPENSENPVDKLQCCDSSCKDVHVTTDIEPNTVTIPKGDSPAVSNCVSLDESKALAQALREKMEVLMLFSQEQERYLFEKQRNQIIIDDLQKNLSQVKDEKVKVLMELAKVKEECMSLKGSAVKEGHGISDSLKVVPGHDQQGMLKTMLKRTSLSHWIRKDTSNIRHGSSGGNDNAVCKEHSVDIARLKVENATLLESVATVERLTSSVHRLHIVLLKAYDDVKSAGSLESTYEALNSLITEANLMKTALGVALPVSWSGDSSDAATYEALYDSSDSPKSKSERVDPLSSAGIEMVELLIFAAEILKESFL >Et_1A_008993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2395594:2396764:1 gene:Et_1A_008993 transcript:Et_1A_008993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDKEPCFEPPLKNKAPNKRKQLPLTNEDASTQSTQRCSQQSLVDLITGFSDEKKEALKEIGFGSLLKFHPIRMDRVAILEIADTFDVKTGEYTIRGQKLKMTLPEVHHILGLPAKGPEIVQLPKIKDDSLFHKLKWGNATNITSTWLKTYLKNTKKADDQFKQMFVMYTIGFYLCPTYQPNVKSDYLGLVENVEQIKDLHWCSLTLNGLNEQLVDYHVNSRANLAGNVALLQIWVWEKLSLSHIYPALRHNGSEKPLMQYWDQGRANRRNIEEVDMSAKKVIVKFIHHSYA >Et_6A_045950.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:13120743:13121261:-1 gene:Et_6A_045950 transcript:Et_6A_045950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASFCSSSSCLVLGRRGWLCGGGPTSSSLGGGATQQSRLLFELCAPVVPPAVGGRCRGEAAGAAAPRDAGGRGVHVVGRVHGAGALRLGHLHPGDPTALFPAAGLLGVPSWLLWLGWIQCVRRKKASGDFVWGRASAPCFWSFLLRFRGGCGIVLMSISEVKLGDFIVFDRWR >Et_6A_047117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25504665:25506300:1 gene:Et_6A_047117 transcript:Et_6A_047117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKRLHFSEGRPKSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAEPGRLGAYIRKIENRRLGDEGTSRPTVGRQGDKGAVRGVWGPVARPSGDKGSNNPLNERQGARGPCGGPSRPTVGRLAPTPINGRISASDRPRTEEEKGKKRREEKRKKERRGEERGGGCYPGVLQLRIFK >Et_9B_064234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13069014:13076594:1 gene:Et_9B_064234 transcript:Et_9B_064234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSVLQYLKLFLLLALGGVTTTQVPDHDAPASLGALLDGHFSFHDLSAAARDFGNLSSFMPSTVLHPGSVDDIAKTVRHVFLMGANSTLTVAARGHGHSLQGQSQAAGGIVIKMESLQSVRMQVHSGSSPYVDASGGELWINVLHETLKYGLAPKSWTDYLHLTIGGTLSNAGVSGQAFRHGPQISNVNELDIVTGRGDIVTCSPEQNSDLFHAVLGGLGQFGIITRARIALEPAPKMVRWIRVLYSDFTSFTGDQEMLISAESTFDYIEGFVIINRKGILNNWRASFNPQDPVWSSHFESNGMVLFCLEMTKNYNPEEADNMEQEVKNILSKLRYMRASLFHTDVTLNVCNGEQMRYGGAGPGDSGSKEGRLVSKSAFTQCSKECCPSRDWKAREAQHHGATASAGGRRASFGCH >Et_6A_046057.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21075678:21075731:1 gene:Et_6A_046057 transcript:Et_6A_046057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALAPHPAPLARRRG >Et_5B_044583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3763548:3767656:-1 gene:Et_5B_044583 transcript:Et_5B_044583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTIQTTLGLSRRFLNLIVAGEHNHAAKSLHCVDLARHELFHHPTTPPPPHPMTLTTMVERMKLPRPNFTFRATRSSPTDFNKAKIHCFPLDDQVVVCADHLGRAFLHDARARLGGTMPSLSQPKRRPVAIFVPKPHVDADFDTMSGGSSLLVMERAPEPEDNQYLEAFVFGRTTTGASIAKSWQCRRVPPPPYVDRDRRCPEISSYAVLDGGARVCVSADGAGTFFLDTENWTWTEAGEWTLPFHGKVEYVPELKLWFGLSAESSGHLAAADLSAEMDMDAQPRLMGEWKELDFPEEWTECKDSQLVNLGAGRFCVARFFETSRTALKRELIGGDELINEDFTVLTGVEVVPRARDASSSGNAGDGCNGKDDQLRVVPHKSRRVERTTIEALSLCCVDLSRQKFFHPLQSTSTIRGGSESVAAQALPAADTGNPWNKQAEAAASMMEKFRLRDPAFIFKTEGVAHDWKIQCLPVADRKVVCSDQSGRAFLLDNVSRHMVTMPNLHKPKRMPISLFVPSAGANADQGRLYVMESVPRPEAGCSTLPSDQFEAFFYRKPDEFWRCEFFPPPPFVRDPKYRQTIHKIESYAVVNGGSHVCVSTMEAGTYCLDTANHTWSKVGEWTLPFHGKVEYVPELKLWFGITGESRATKHLAAADLSTIFTMDSQPQLVDEWRELDRRVGWIENQYPQLVNLGSGRFCIARFFETTEIGDPCGFESDEEMVVLTCVEVKRAHDGNCSGSENQKVKLGITVFKPRCHMCEGTEITHVF >Et_3B_030037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3002025:3006788:-1 gene:Et_3B_030037 transcript:Et_3B_030037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNLKFHLPLLASSDSATAAPRYSTKLATVHYKKASHPKPIRHTGKERDSLDHTSDTTATMASAVSCHSPSRIAISCSYSAPGRGGRPLRVAVVGGGPAGASAAEELASAGAQAFLVERSPAGAKPCGGAIPLCMLEEFAIPPELIDRRVTRMHVLSPSNLLADFSRTLPPGAHVPMVRREVLDSFLRRRAADAGATLVPGLVTSLSVPTGPADPYLIHYISSSEEGKGQGRCVLEVDAVVGADGANSRVAREVGAGEYATAIAFQERIQLPDAAMAHYEDLAEMYVGGDLTPDFYAWVFPKRDHVAVGTFTVGARRDIKRLQAGIRARAAPKIAGGRVVKVEAHPIPDHPRPRRVVGRVALVGDAAGYVARCTGEGIYFAAKSGRLCGRAMAEEWERTGAVTEAGLKKNYLRRWDDEFTLMFRFLDLLQRVFYGGNAGREALVEMCADEYVQRRTFESYLYKQMVAGEPLGDLRLLGRTVASMVRCGILGREQTTLDSRGSELQAIVRIWGEASKGRGMGEHIVKEINAMRMAFTSVDIVHEGRKSLLAQTMVTMGAATCHSPARFAVSCSSTSSAAPGRPLRVAVVGGGPAGASAAEALASAGAQAFLVERSPAGAKPCGGAIPLCMLDEFAIPRELVDRRVTRMRVLSPSNLAADFSRALPPGAHIPMLRREVLDSFLRRRAADAGATLVPGLVTSLSLPSGPADPYRVHYISSSERGVLEVDAVVGADGANSRVAREVGAGDYTTAIAFQERIRLPDAAMGYYDDLAEMYVGGDVSPDFYGWVFPKCDHVAVGTGTVCAKPEIKQLQTAIRARAGPKIAGGRVIKVEAHPIPEHPRPRRVVGRVALVGDAAGYVTRCSGEGIYFAAKSGRLCGRAMAEEWARTGAVTEAGLKRGYLRRWDDEYLLMFRFLDLLQQVFYGGNAGREALVEMCADEYVQRRTFESYLYKRMVPGEPLSELRLLWRTVSSMMRCGMLGREVERLRRIERQARELRV >Et_3B_031517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3491138:3495531:-1 gene:Et_3B_031517 transcript:Et_3B_031517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERAEVRRNRYKVAVDAEEGRRRREDNMVEIRKSRREESLLKKRREGLQAQVPVPASGVEKKLESLPAMVSGVYSDDNSFQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVQFLTREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVQLLASASDDVREQAVWALGNVAGDSPKCRDLVLASGALMPLLAQLNENAKLSMLRNATWTLSNFCRGKPQPSFDQTKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDLQTQCIIDHQALPCLLNLLTQNHKKSIKKEACWTISNITAGNKDQIQAVMNAGIIGPLLHLLQTAEFDIKKEAAWAISNATSGGSPDQIKYLVSEGCIKPLCDLLVCPDSRIVTVCLEGLENILKVGEQDKTSGATGEVNIFAQMIDEAEGLEKIENLQSHDNNEIYEKAVKLLEAYWLDEEDDAMATAGDAPPGIFDFGQGGNPPAGGGLNFS >Et_2B_020393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19688385:19704109:-1 gene:Et_2B_020393 transcript:Et_2B_020393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVTWIFPWNRGLGWLIDKFNPERNTTTAMLDLVSTFAEDRALAEDLQFQEVLRFSTQSERACALCKQMFPSLEASWKPDNCDHAICIACFCQYAPEIEATGVPRCAVASCESLRISETHQGADVYHDALSSFEDMDGRKGKEPLDGMLQEFGECSRGADVMTGSNFYCTICMESVHIRELFLIAGCTHTFCVGCVGQYIAAKVEENVVSIGCPDPGCKDGTLHPEECRDVIPFQVFQRWGAALCDSALGALKFYCPFKDCSAMLVDDHGQGEAAITQAKCPHCSRMFCAQCKVAWHEGVSCAEFQRLGSDERGKDDLLLRKVALNSKWQRCPKCKMYVERVDGCVYIAMETSNAAAAAGGASRIPIYISSDEEDQDAPLVGSFVPADGGGSHIPIYISDDEEDEHAPFVDSYSPDDIEIQEAILHSIGSSHVAATASSSAPINVSITLESPPDRKGKSKLSSQDDTSTSRRKRRSNRDRHFDCGICFEKVQVWEKFPVSNCAHAFCNSCVGGYVAAKISENVAVIRCPDPECKMGSVEIQLCRGIIPSELFDRWSVVLCEHVVGGNKFYCPFKDCSALLINDGEEEIRETDCPHSRRPFCVRCRVPWHNGIECEEFEKLGDDEKGADALTLKNLANKKKWQRCPKCKMYVEKVDGCDFIFCRYCFPAGAGSNSATAVDPQRDTIPAASATIAVGGGSPIPIYISSDDEDDGGGWHIPIYISDDEEDEHRDDIEIQEPILHSTFASASSSSAAVSTALESSPDRKGKRNISSQACSNRTQCLVDGRSNFRKRRSIRDRHFDCAICFEKVQVWEKFPVSNCAHAFCNSCVRGYVAAQISENIAMIKCPDPECNKGSVEIELCRGIIPSELFDRWSIALCEDVVGGDKVYCPFKDCSALLINDGAAKIRKTECPHCHRSFCASCRVPWHRGMKCKEFKKLGDDEKGEDDLMNLATTNKWQRCPKCKVYVERVAGCVYIACRCGFRFCYLCGSPMTKGNHHCRKCKNLTSSAATAVGGGSHFPFYVPDSDEEDEWAAFVDSYSPDDIEIQEAILISIDASRAALIAASAPGSSHRPTNNASAALEPSSRDRKGKRKISTEVNARSVPSAITSTAQSVSEKFPVTNCPHAFCNSCVGRYVAAKIAENVARIGCPDPECKKGSVEIDHCQDIIPSELFDRWSVTLCEDVVGGDKVYCPFKDCSVLLINDGAVKIRETECPHCHRLFCARCRVPWHPGIKCKEFRKLGDDEEGKDDIDLTLKNLANKKKWQRCPKCKMYVSRMSGCLLIHCRCDQYFCYNCAAPMKS >Et_10A_001217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22243656:22252755:1 gene:Et_10A_001217 transcript:Et_10A_001217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTKQRKIMERSPPTSQPSAPPVCSPSSPSSSNSSSISVEIPPPCKRVKRTHESAKQQGTKAKRPRKDHTKDEPPSAADPSPNAAAAAVGKRSSIYRGVTSGFLLSTGAYDSEEAAARAYDLAALKYWGPETVLNFHVEDYASERSEMEGVSREEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTFEPDSSEAKTPEDIAETLTTVVDGIEESLWSPCMNYELDTMSRSTFGSSINLSD >Et_1A_008514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8346369:8349449:1 gene:Et_1A_008514 transcript:Et_1A_008514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRETYAVGLGGDAWFCDVRAAGCLHEFLAARQVVVGPTSAVGEFSELQIGGTSGYCCRKVGGDCLSDIVVISSLSAIACREDNRCRSASRARLFKEYKEVQREKSADPEIQLICDESNIFKWTALIKGPSETAYEGGVFQLAFTIPEQYPLLPPQVRFLTKIFHPNVHYKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGHIRGYQSMARMYTRLTATPRKGAHKNSIRDAGQCAV >Et_1B_012110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29062734:29065747:1 gene:Et_1B_012110 transcript:Et_1B_012110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGDALRQAFLMPRRAYAALRDDEGRALPRLRRPLTAAAAACAAVAVAVAACVSLGIVFPAEPAERPFCRERRMLEALPAAASSREEEPEAYRYRGGAFYMTTAEAADFYWMVVFVPTSVLFGASFTYLLAGMSVAYAAPRRHPMICVVENNFCASRRGGVRCLAILTAVFAVIFGLMAIILGSTLLALGSTCSVPLFWCYEIMAWGLVILYGGTAFFLRRKAAIVLDEGDYAAHNVGLEMLETNIEVTPEMQRRINDGFETWMGSSLLSSDDEDEASDDYIEHNVPSPTAPAPHRQEMDAES >Et_7B_054845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4909388:4909920:1 gene:Et_7B_054845 transcript:Et_7B_054845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNTIYPGEDGTTTSFAESQPMQLLAGKSETSPNKLYLLHTSTNGCLQVVCKCRKSYNGNACRIGSGVAKHKQGLSWGATKTTEIVLGHTPIQRNRRIFKGIHKDYLQELLAKEEIDYHKWATQRSGSNQAMILDTG >Et_10B_002897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13185266:13201331:1 gene:Et_10B_002897 transcript:Et_10B_002897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIGEGGGPWLRSRSNFLGRQVWEFVPDAGTVDEHAEVERLRREFTEHRFERKSRDLFLRMQYPKQTHLQKDQAINLVQTSQGTEDVILTSLRRALNQHAALQAEDGHWPCDYSGVMFVMPIMVFALYVTGSLNTNKDGGWANHELGPSTMFGSCLHYVTLRLLGEASTQDALTKGRAWILSHGSAAAMPQWGKIWLSLIGLYDWSGNNSVIPELWVVPNFLPIHPGQFWCFTRMVYMPMAYLYGRKFVGPITPTIAAIRNEIYDVPYSEVDWTKARDTCAKEDLRYPRSLVQNALWTCVNNIVEPILNSWPANKLRDVAMRGIMEHIHYEDESTNYICICPINKALNMICCWIENPNSDAFKMHLPRIYDYLWIAEDGMKAKVYDGTQTWETAFIVQAYYSTKLVNEFSPTLKKAHEFIKRSQVCENHPDYENYYRQRSKGSWTLSTVDNGWCVSDCTAEALKALFLLSKLSPNIVGDPIEKEKLYEAVDCLLCFKNKDGTFSAYECKRTTSLLEVLNPSESFGNIIADYPTVECTSSVLQALKMFRDLYPGYRNEEIRKCIENASKFIETKQQKDGSWFGTWGICFTYGTFFAVKGLIAAGRTYENSSSIRKACKFLLSKQLSTGGWGESYLSSETKVYVEASGPHAVNTAWAMLALTFAGQVERDPKPLYRAAKELINMQLESGEFPQQEHVGSYYSNFYFNYGNYGNLYPVWALGEFLNRLHPEKERNQSA >Et_5B_044522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3156586:3157483:1 gene:Et_5B_044522 transcript:Et_5B_044522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKIHAAKAERRREGSDQNAQCQPVEERYKRRNKLPHCETKPSGRDRWGHRPIEKPECHVVDIASATAEDNGPLQWVAIRCRDHRVVCPKYMMPSPFFYRGNCCCIYRTCSRVVRQTYTKSHFEDKKVYEETKKAWKGIENPFYKLVVNTSESLRGLVSVTIASLIGAICWGTLISNCPVDRLDEESYLQIHQGN >Et_1B_011721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25315420:25322271:-1 gene:Et_1B_011721 transcript:Et_1B_011721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASPYVLRAKLALSLKGISYEYVEEDLKNKSELLLKSNPVHSKVPVLIHNGKAVCESSVILQYIDEAFAGTGPSLLPSDPYERALARFWAAYIDDKLLAAWNQSSRGKTEEERAEAKQQSVIAVENVEEALRLLGAWASPYSLRVELALRFKGLSYENVEEDLKSKSELLLTSNPVHKKIPVLIHNGKPVCESQIIVQYIDEAFAGTGPTLLPADPYERAIARFWAAYIDDKGKPFFGGDSVGYLDVTLGCLIAWARAGEKLFGFNAFDAARSPLLHAWVERFGALDAAKAVLPDADRLVDFMEDAYLTDGGSITSIYSSLLTSYQQHNHARTWQSGKRVNMAGGSDELKLLGMWASPFVLRVRLALSFKGLTYEYIEEDLRNKSDHLITSNPVHKKVPVLIHNGKPVCESQIIIQYIDEAFSATSTALLPADPYERALARFWAAFIDDKLLASWLEASRAKTEEEKAEKLKKTFAAFETLEAAFKESSNGKPFFGGDNVGYLDVTLGGLVAWMRASEARHGIRFVDESRCPLLATWVECFGELEATQAVMPDINKLVEYANMREAKAAATTVDAGN >Et_9A_063016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8175652:8177252:-1 gene:Et_9A_063016 transcript:Et_9A_063016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVLVAAKNDKSAPRVRLLWSLLVRSPTVASPSTLLWHLHAAHGPVITLRTGGSKPAIFIAGHDLAHRTLVRMGAAFAHRPAPPSWWPWRDGGVNGHAINSAAYGGRWALLRRNLGAHLAAADLGGALHSSIDRMVRGLELEGGDNGVVVPSEMIRDAVFRLLAALCFGEEEDTTLARLRGLHAEIPLARRRARRLAHYFPRWSKLFGAHKRHHAIVTALIDARRRRREEVDVSGFDRAAEERRCYVDTLLKLGLGDNEMVSLCWEFMNATAKTTTTALEWIMARLVLHQDIQQKLWDDMVRRSAGSGNCSDRRPFVEAVVLEALRRHPPAHYLLAHTTDKDVAIDGYVIHKGSVVNYCVAEIGRDAKLWTDPDVFRPERFLEGGEGTCAVVPGLSCGSREPTATTKMMPFGAGRRACPGAAVAVKVLQAFTEDLIRRFKWIPVVSGQGEEPAVNMAEKAGLVTEMRMPLRARLDRRHHEFSSDKQHSV >Et_10A_000378.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:6761566:6761739:-1 gene:Et_10A_000378 transcript:Et_10A_000378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GEETNPAVWTSVISVRHQKSFFPRTETKPPRRTTVVQVMTNKPNPLTPINRYPMNTG >Et_4B_037575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21370263:21371403:1 gene:Et_4B_037575 transcript:Et_4B_037575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSLFMQWAMNTLHHEQPAAGVVDDDCGEATFPSLQALREASHAAEMVQELIGNARPTNSWSSGDGDTTDGSSAGNYLPPATMDHDVWPVSPNSARRPVRSRSGGAAAGTNPPVSWNFSAASAQPGGDGGMVPEPAATRGGAPPELVQYGSPPTRRAGLKSPGSVVAPYAQDHIIAERKRREKINQRFIELSTVIPGLKKMDKATILSDATRYVKELQEKLKDMEAAGGNSSRSIETVVVVNKRPCLHGAASAAPNDEDGSPLSASSGTPAASKQLPEIEARFSDKSVMVRIHCGNGKSVAVKVLAEVEELHLDIVHANVMPFSACTLIITITAKAKEIQPPF >Et_2A_017338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33317096:33318760:-1 gene:Et_2A_017338 transcript:Et_2A_017338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKASTAITLRTRKFMTNRLLSRKQFVLEVIHPGRANVSKAELKERLAKVYEVKDPNCIFVFKFRTHFGGGKSTGFGLIYDNLEAAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKGKILKLTVLSLSTFGLF >Et_7B_054939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5885686:5887785:1 gene:Et_7B_054939 transcript:Et_7B_054939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAGEAPGRLSSCFSTAGSDVWESSQATMHAPFPSWSTHAAGAADSALASQPWAAAADSRVPSHRVPGDAWIQSVPGGGGMHGFLSLLEARNVTPEMFDDVPAADDYPTGSGAASNMAGSVAATGYGLAGVDGRYTVASPIKPETAASQLTCSEDRAVQGNMGSMACYDHELERDNRQREFGAASVASFLQEMIPCRVEKQSGLGYSAIVGAERLMESYAGSSSLSDYRSTGEFVSNNRQQQDITPEMASGSSGNGSTRRKSEERAGGSSKKSKKEASKTSPPMPQASNVKLGEKITALQQIVSPFGKTDRASVLLETTKYIEFLHEQIQLFSEPYMTKSAHKGRIQFGVGEEKAGTQHSLRRSGLCLIPVSWTSQVYRDFSDDTLPDCWTPAYRSCLYQ >Et_4B_037671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22341381:22349975:-1 gene:Et_4B_037671 transcript:Et_4B_037671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGGGDEEVPDGIGMARLQWTRLPTTEADGASTSAAADDELFSGAAVESLDYEVIENYAYREEQAQRSKFWVPYYIMLKWLFSLLIGVGTGLAAIFINLAVENFSGWKYTATFAIIKHSYFVGFVVYTLFNLALVFSSVYIVTNFAPAAAGSGIPEIKGYLNGVDTHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLSSRWVRIFESDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSHLMWRVFFTSAVVAVVVRSAMNWCNSGKCGHFGAGGFIIWDISGKITLTRNCFQWRLSVLLVDYLVFEACLISLVTSTISFVLPLMRQCSPCPEVDANSGIECPRPPGTDGNFVNFYCSRDKEYNDLATIFFNTQDDAIRNLFSAKTFHEYSAKSLITFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKFYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLVSKAVGDFFNEGLYEEQAQLRGIPLLDSRPKQIMRNLNARDACKNQKVVCLPRVSRVVDIVSVLRTNKHNGFPVVDRGQNGESLVIGLILRSHLLVLLQAKVDFQNSPFPCGPGVLNRHNFSDFVKPASSKGKSIDDIHLTEDELGLYLDLAPFLNPSPYIVPEDMSLAKVYNLFRQLGLRHIFVVPRPSRVVGLITRKDLLLEEDSNTATTELQSTSVRGLLNGKTLGGNAHLGRPLLDNLVI >Et_1A_007381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3407029:3411484:-1 gene:Et_1A_007381 transcript:Et_1A_007381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPSLGSKAAHFVSDLTTVILNPVSERETSHLPEVDKVQKSSEDDKDSEHGSDIQDGPDTSSFRAFLISFLSSSSSNNDSMEILPEQNGDTGYPTLTPVGKGSKGKTWLISRGKYSIGKIINKAARFGGFKHATAEPKMDKETVTHTDSVIHRESVAPVLDLKESKEVAFFETLPTMSEPSVLLSEMMQLIIYTSLPVLAQGRNWVLLYSTWRHGISLSTLYRRSMLCPGFSLLVVGDKNGTVFGGLVESPLQPTSAKKYQGTNNCFVFTNVHNRPMIYRPTGANNYFTMCSTDYLALGGGGHFALYLDGDLLTGSSSSSETFNNVGLSHSPDFAVKDVELWGFVYPSKYEEMLTICRTEKPGVCRW >Et_5B_043372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9891676:9892887:1 gene:Et_5B_043372 transcript:Et_5B_043372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHSQKNALVNGKTSNTEIAVDLSPWLLKYKDGRVERLVANTFVPASEAPGATGVATRDCHRPFHWHVGAAVPGRKLPLIVYFHGGSFCTRSAFYKLFHRYATSLSARAGALVVSVDYRLAPEHQIPAAYEDAWLADHADPKRMFVAGESCGANIAHNVAARAALPVPDGNEIDIEGLILLQPYFWGTERLPSETDGPEGSMFTAEKVDNLWPLVTAGAADNDDPRVNPPAHLVATLPCRRALVAVASKDVIRERGCRYAQLLRKGGKCREVKLVESQGEDHGFHLYRTTRASAVELMNNVVDFINRSEPAPPIVGAEIEDLFHVASRRHVQGRDASNKVCRAMLGRAKPPIPHFGLILGRPTSFKKGPGVAPAALGRTMMSQGTWVAL >Et_7B_055871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6440636:6444942:-1 gene:Et_7B_055871 transcript:Et_7B_055871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSKDTNPPAKPQSGGGGYSAPSSASDGWDPWARRPTYPETPRETRLMIAELASGKLKPTPEILEKLRQYEEEGARRDYEKYPWDAARARAQAAGGRGGRVTMVPYYGYDYGCGYDGEAFQDYARMPRKRARAEASASASAAGRGGGLVGTGCLHHQARDKSVRQAKEFVQIDGGGLAKPVLGLGTQLPDRSRFRTKQLPSVHACSQIMSEVPEKMIHYEEGLHQDYVNVHQLQQPRQEASAGAMGEGWREHERMMLYEEALQELTEFERLHKACFSATAGVGGLDRVGGVTDQKMTGRLVRQAASVQGMVQALTPYVFSKEFVYAPLLEFVGRYPKWLGANRDKLSKQEYEQYERQLELMVNLTVIYENEPQNFSKIVNIVQKIQESGVSQWYPLMKLLPRKGRAAK >Et_8B_058776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3549569:3549805:-1 gene:Et_8B_058776 transcript:Et_8B_058776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSYDLPQSSGCSSLGLISLVIMFSSSPGYLCKKGSSAALLWSSNMSSTTRHVSCARLHMNPLII >Et_10A_002226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4869850:4872263:1 gene:Et_10A_002226 transcript:Et_10A_002226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASRHGAVASPGYEVSSYHASGSLSASASASIWSRPVRLESFDGLIAGEDDDDERRRAPPPPPPARRRVAGEQAAAGWPSWLSAVAAEAVEGWVPLRAEGFEKLEKVGQGTYSSVFRARELATGRSVALKKVRFDSVEPESVRFMAREVVVLRRLQRHPNVVGLEGIITSRSSAAVYLVFEYMEHDLAGLTSSPDVAFTEPQIKCYMRQLLEGLAHCHARGVMHRDIKCANLLVSNAGELKVADFGLANTFSSPTSPSSSSTPAPPLTSRVVTLWYRPPELLLGSTAYDPTVDLWSAGCVFAELHARRPVLQGRTEVEQIHKVFKLCGSPPEEFWRRPGVAHAAVFRPQHAYPSRLRETFGAAGGMMPDHALRLLETLLALDPAARGTAAGALGSEYFWTAPFACDPASLPKYDAPNKEMDAKFREDSRRRSNGRGHGGENAAKRLSRGHKSMVLTQDTSNHQLRHGHGHVHAEESLSVSATGDDGLTAAAAARRRDGGGDPARLLVDLQPAPAIVSKRHDQLAAPPCAGPMSRSFKEAAPARPAPLLSDGGPVQLAASTGFAWAKKPRPDAVAAAATMTKRSSSSKGARTNNNGEADAAATTAPYEVEKQEMIKQWTQVADPFSSSEAHNIRFRQTLDVKQLKTGKKYKGKVDRVDFSGPLLSQPRRIDELLENHEQQIRRAGRRSWFRKG >Et_1A_009156.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31184213:31184410:1 gene:Et_1A_009156 transcript:Et_1A_009156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEWLTYEALTELTLHAPWFVGEEDALGDFVSSCCRRLRKFDVSWPKVLSRHILRTEALEELRL >Et_1A_007792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38321513:38322776:1 gene:Et_1A_007792 transcript:Et_1A_007792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDTTNANQSSNSLNMEPSSVNPPPELLKAAKRGDWSKLGDIISEEGALVPEAIVDIDGEPPVKRKHTNRPDSVLHAIASGGDDDKFMMSATVICSKAKHLLSMCDAKGDTPFHCAARSGSVKMLSHLLDLARRDDDVGASRLQVALRKQNDQGETALHEAIRWRDDKMVDVLMSADAELARFSRNDAASPLYLAILLGHDDIAEKLYQKDNQLSFSGPDGQNALHVAVLRSERMTRQLLAWNKNLTKQGDGSKGRTPLHYAASWGRDYRRGERTMEIRQVRRSAILLLEADESSAYQPDNQGSFPIHVAARGRNLSVIRVLLKKCPDCTQLRDAQRRTFLHIAVSSFRGSSIFASICFDLMPRRPSLCW >Et_8A_057304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23327564:23330823:-1 gene:Et_8A_057304 transcript:Et_8A_057304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVDKKIFQGLEARDVDAARDEIIDLVRRTTNGGTMYFNGWTSFGTAAVLKSIAQKLRSMKDPPPELRFGRIIYIDCSTWKSKREMQRRIAEELKLDRKTMDMFDKEDEEDDFSGVDPASRDETRDVGVETGRIIRMSRSMIIFLNGSDKEVVLNRFGVPEYSDNIIIWTFRRRFPTKRFLSLHLRWGEEITSNLRYTNIFISSFIFGVGEVTRSQLSALVREEAATVLARHPCMQEDDLAMVIDCYLYVLFLHHTGFYKGTRWLWANHGANYWMCDGIIQGDRTWEISKALYQEISFDCDDASFDSNAFKQKTIHRKSSYYLVYGDNGDFSSRIIGKRPARWISITSKKKIVGKKMKSILANASSIILAFESTDSPHGLPNDLIKQCTNLRTLRFLGLDHCKNNNTTELETIEHTSAKWACLHSLWVLDLRYTDWCEILSEENMNLMGNIMELNIEGFMCHQFTSQVEGRLPNLERLRIVKPLRPAEASKDIDNSFVGKAKLEVLDLSGNNDMENLPTSLSKANNLQVLVLDGCDELQNVVLSNPLLRSFSFDGCGPASNWSSMVELPPESSRPKCPSNAGLKMDVKTSVISLEGCTQLENLFLRGLPNLEELDLSRCAIKILDLETMVMDVPRLKRLFLLGCEHLRAIRWGKRLELEWLCIDTRPGSLPGCARPSVTRSVSFRLQVRVITADARLARSLWIPLKQKRLNDVFFDIRITSSNVCGERSSEAEISKLPCDQKHHVVATARLYNDVFAEVVPNSGDALTPMEAFPEPLTSQLDRYLEIGEGSRNVGSEVQVVVSSVGSLPDLMNRFVESLHVHDTLASTAIAPMYFLALKWCRVERCPRLDSVFPRRAYPKVLKTIWASHLLMARCIWSKGPTKGGYNFARLRHLHLRFCPSLQFALPVWTTSFDDLETLHVIHCGSLRHVFELNDELDENYNNIVVLFPKLTTIHLHDVPGLRRICEVKMHAPVARDHHDQGMLEPTPAAGIGGPEARTEKAGRGDGEGRVGRAGVGWPGRWAPPLALPCASALAPLQEAASSQGHQPQVPTISADCSSSDYIQQLFL >Et_2B_019561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10132018:10134033:-1 gene:Et_2B_019561 transcript:Et_2B_019561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KAHPDRGTRTGERLCGRRHNPDAHRPRASHRGDAVLGDTREDARGHHPSAAWTEARSGSITSRKRKRRRGRMEASGRELREGEGKRLGKGEGEKPAYLRTRIQALRIETASSGCKEKAQPMGKHQSSPSGRRKISKRNRGMELLCAIARPPQERWSIRERINFRIALGRFGQDWPRVAHFISSKTTDQICVYAHEYFQKQQPKDNLAKKLPSDVQLALTPREQHFQQQ >Et_6B_048343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14200763:14203618:-1 gene:Et_6B_048343 transcript:Et_6B_048343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSAFYCWTCNISHQCASWIFSRLSFPDSPFSPKSSPQHAILGWIRSRASYLSSEIERGSSRRRGNGGVHRVFELELRGGGGDVWWWRRDPDLGRGGGEGEEVVGFAGAGRRRRGGGGGLRLPPGGGRAGPCPRLRRVPRPRRRRAARGGVAARGAGAAGRALRGVRPAPVRRRAVARGGARRHGGRRGGGGGRHAGVAGQPLRRRGDPLLRGPRRAGPGAGGARPRRPRRGGRRREARRRVGGARGRPLEALRRHGDGVEGVRGGARRRGAGRRVRRRRRRPGPSPRRARGPRRAAGSARRDGRRQGLARRGAGAAVPAERGVRRPGGGAGRGGRRAEARAHDERVREEDRAVESGRARRLRRRERPVRQRRGLHLRRVGRREDGAGAGVRSPPRARVQAGPLGARRAALPPAELPRAGRPPRRRGRRRRRRRLAGRRQQEPRRHRGRRHRQDPQGARPRHPLSRHHRQRREREGLVGRPGRAGAAPPRLRPPLRHHDPRRRHHAARRRPPAREGHARVRISRGHGGAQERRGGRRRRGAGPRPRRRGHVGARRRARRAPAGDARRAAPGGGVGGQGRPGAARQPGARAPARRQPGAARRGGGRARRRRGEAARGQQLLRAGADPRGRPRARRRGLLEVRRFGEDAVEAPRADAPPHLRRLVSLSGRRARRARGAREARVRAEVRQAGARRRPQRVPSVRPQDRVRPGRPPRRARRGRARHRRIRRRRAHLGGVPRPLQVRGASCSRRAAAVGAGALRDAPRAAARRARRGRLRGVRRGAPAAPRGHRRRAQGRGPVPWRHRQQRQRQSGPGPGPERVRGRGAGAGGAPHGAGADHDAGGGEGDRGGPLPRGDRHPGGGLRRRAPGHPGGQSVPGAGREAAAASSSSSLLVGRLGCGIRRGLVIRAKSFGKERSNLAVLL >Et_6B_050000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:330657:336713:1 gene:Et_6B_050000 transcript:Et_6B_050000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKRESGGAGEVELEEEFADPEDSMEDASAEFAKPVYLVAVREDERAAYCVIEIDAAAAAGGNERHRARTVAGLPGTERGMSFVAAHSKHGSWIVGVGGGLRAGTIIFDPVTLETYQGPRLSKPKDRPILISHGGEVYAISRRPRLVPYFDTEPWFQSLSFNNGIPSKELGVLASWRSLPPPPFFPSLVDPFEFRNPPQISVTSYAAVGSHILISAEQVHPQHQELNTGTYAFHLGNKTWEKAHNNNLPFVGQAVPLGGNLFAAYPVSSNGTDSVFHISIKVSPSTPMAAMSTPFVSIQEFPVAAVGDKIPWPLFCPLGKDSFCSIRLGSICRSRRKANSLKEIQVILTAFQLERQSIEAIMTACQSHGAEVKDLMDVVQVKEQSHTYKSKGRSQRLMDSDMPVVAAVSMDRDSLELFDGGRTETRASPDGGNTIFVAPGKGQNPWELEISKNCSSWVEITISGFEASSTSASSRSEGFSI >Et_4A_034259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31193799:31196525:-1 gene:Et_4A_034259 transcript:Et_4A_034259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPVKRERSPPPPPPPGRCHHWLPNKRRHCANSPLPSSEYCGNHLPATPAGAGRRVPCPLDPSHTVLEENLEAHVGKCPLKKQAAALAAQPYYSKGINSGGGEGGRGVTSAEKRAIVYRLTEEEFRGLLGKIRSAHEAAAVAMRESYLITDACDKWMSGQVDRRVPYQEKHVAQQASIVGNMEAFGLLRRGDAEAVDGEDATVGAQAVVEFGAGRGYLTQVLVDCYGIRNVFLVERRAYKLKADRSLRQNEAVTLKRLRIDNKSFLSRLGITEDEFHAMTWLSSWAVDGDHSSQDSSVDVEDTSCEIREPERPDAEAVGIERIIRTMPAGERASLGFKCKDIIDTGRLLWLRQKGLMADLVSYVPSNISPENRLLIAKCKS >Et_1A_008178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:564380:565192:1 gene:Et_1A_008178 transcript:Et_1A_008178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAANGGGCAAAAAAAAGGAPGAGSPCGACKFLRRRCVPECVFAPYFSSDQGAARFAAIHKVFGASNASKLLSHLPVADRCEAVVTITYEAQARLRDPVYGCVAQIFALQQQVAILQAQLMQAKAQLACGGGVSPVSHHQWSSDNSSSIGSLLRSQDNACSFPGAAISPDLMASFRDDVAMQQHCAKADAGDLQYLAQAIMRSPNYSM >Et_3A_023195.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:9198795:9199106:-1 gene:Et_3A_023195 transcript:Et_3A_023195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVMSTGTESSAGIIRSIILSEISSSVSSSLSPLMSTSMVSRGGSRGPSPSRVLLFLFSCMIPCISLAILFLAWSRVRAHHRSLSSRKNKESRILMLCFSLI >Et_8B_060381.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1279712:1279957:1 gene:Et_8B_060381 transcript:Et_8B_060381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHSSAGGTTISAAANEVKVAAPAGECFEFVVTEHNEVAEVLDGGVLGSESVFDADTGTREHLVDVQGRGEAMLLLVSIA >Et_3A_024890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25060618:25061317:-1 gene:Et_3A_024890 transcript:Et_3A_024890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVRATMSCHRCPNPIRSFTSNPIHSYILEKRSVREVPSSQSGSDFMAPNGALRSSAVYKLIMSATDPGCDFENFIWKNKASPKLWKHRNEVAFRSADPSLPRLLYTCREEARLWRCRLPRDNEEIATSWCNLFNPI >Et_8B_059890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3800099:3812704:1 gene:Et_8B_059890 transcript:Et_8B_059890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILTYLRSGGKEPLALATTATNLPSPGAAPATSPFPAIPSHEIRDPAPSPTPPSSPTNALVNILLRTRPSARRRLRLVCKHWRDVIDKRLPALRAYAKVLLFVSDPRSSDDYRPRARNRDRAHAYVLDDLRERRVIRELDLQGCGEGFDVSMVGSCSGLIFLRRDYGGDLVVVKPETREKLAIPPATSASSCRQVYTYGGVDSRQFRIDYSFAFHPETGQYKIVHVSAPEAGVFDAVHVFTLGGDASWQEMPVPGCSGRHEFGLVSVGGNRDGRVLEHPRRRLHGGPAAPPAELPTAARLVCRRWSKVIDDRTPELIKSRAVPLAFVVNDEKSSAHLVGVGGRPGAALWTANAKRRPDEKRWTYWGYDRGAYCYFDTVMVGTCNGLLCLCDNTKRGGAITLANPATKETLAVPRLPGSTLLDKKLTSRWNEAYSFAYHPTTRRYKVVHVPCYFDRTGQFDVVQVFTLGEASWRDFPVLDGARCCCLKFGVVSVDGATHWVNKDTERILLRLPPSSRRRLRLVCRHWREVIDERAPEKRSRPKALAFVSENYSLSAYVIDDLLEGPCRKVWTDGVVPGTDRQLEPVGTCNGLLCLYEYDKINPSGVISLVNPVTGETLAVPRLPISGVDIPRYYWRYQAYSFGYVPTTGLYKIMHIPCSLDDTAQFIVAQVITLGEASWRNISAPAGASCCLGSGIIVVDGTAHWLTKDTVRLASFDIADESFASTAPLPVPTGPGYTTRLTEVRGRLGVTSSISKAMPAKIEVWVLGDGGRKDQQGWSRRYRVQVRGGVERFLPRPHFAHGDYVLTAESKNYTDVVVYGHTRSGASRLQCHFARISEQKPVACIKGNLRCMLAYIETTEPLGGTALGALWDKKLTSRWNEAYSFEYHPTTRRYKVVHVPCYFDWTGQFNKVPFGIISVDGLHDERVASTVPRCDRYLTEVRGRLGVVDIGSPPATTQVWVLGDDNGRDLQAWTRRYSVQVHKVPQLLARARPRFAHGQHALTCTRKGNVTVYGHKLQSDSGRVQQCRDVRISEQRTGVALANMKSHRVQIFNCVATTEPLSGWDLPTDAFVDILLRLPSSTRRRLRLVCRHWREVIDERAPERRSRPKALAFVSESYSVSAYVIDDLPEGPCRKVWTDGVVPGTDRAAFRIEMVGTCNGLLCLCDMTKPGGAISLVNPATGEAPLAVPPLPHSDAVPRSYRRSEAYSFGFVPTTGLYKIIHFPCYLDNTEQFIVAQVVTLGDASWRDVPAPAGASCCHDSGIIIVDGTAHWVTKDTARLASFDLADERFTSTAALPVRAGPGYITRLTEVRGRLGIIASVDEGVPAKTEVWVLGDGGRKDQQGWSCRYRVQVHGVRRYLPRPHFAHGDYLLTTGYKNYSELLVFGHTQSGAGRLQCHDVRISERKPAGTAVACIKGYLSGMFAYIETTEPLGLYRLRD >Et_3B_028199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11641611:11651533:-1 gene:Et_3B_028199 transcript:Et_3B_028199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEHAPQISRPLLAINQPPSRSPTQQHASREERRLGESQATHATYYFLGSPPFSISPFSIAQLPDPPMSTRPWLLTTAVEAACEPPPPSSAGVGEWAGFLFLSACSQRALLSAASAAFLLALLCLAAAKLVSRWREKRKHEAGEPNGADKPLLDQRRRDDAAQVRVGAGFVVALAASALLAAFYAVLLVLSAVARGLVGGEAAFLALQCLAHAAAAAVVARFRAAAHPLTLRLYWLAAPALTALLAASAIARLASGAAVLVPDDALAVAALALSLPLPLLAVSGAAVDVPAGDGLATKPEKNVTPYATASWLSRATWAWMNPLISRGHAAALALSDVPTLAPPHRPERMHSLFLSHWPTSSTTHPVGRTLLRCFWPLLLLNASLAVLRLTVMYVGPTLIQSFIDYTSDAAATGDRPLGVGARLVGTLLAAKAAEALASHQYNFHCQKLGMQIRGALIVALYRKGLRLSCSARQKHGLGMIVNYMAVDAQQLSDMMLQINYLWLMPLQVGVALALLYTYLGPPVTAALVGIAGVTVFILLGSRRNNRYQFRLMGQRDKRMKATNEMLSYMRVIKFQAWEDHFAARIGRFRRLEFGWLSRFMYSISGNLIALWSAPVVVSALVFATCVLTGVHLDAGLVFTATSFFKILQEPMRNFPQAMIQASQAMISLQRLDSYMTSAELDEAAVEREPAVAAGCDGVAVQVKDGVFAWDDEVDAGQEVLRGVDVEVRAGALAAVVGMVGSGKSSLLGCVLGEMRKISGKVKVCGSTAYVAQTAWIQNGTIEENILFGQPMHRERYKEVIRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGTEIFKECVRGALKNKTVLLVTHQVDFLHNADIIYVMKDGAIVQTGKYDELLQAGTDFAALVAAHDSSMELVESAANEGDHRELPLSRQPSSNNKQNSSGNGDSSSSSSIVAPIKAAAEKASARLIKEEERASGHVSLAVYKQYMTEAWGWWGPVLVLAVSAVWQGSLMASDYWLADQTSDENAASFRPSLFINVYAAIAGASVVLVAARSFLVAFIGLQTADKFFKQILNSILHAPMSFFDTTPHRRIRPTLTSSCHSSSR >Et_9B_065370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4317219:4319670:1 gene:Et_9B_065370 transcript:Et_9B_065370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAIRIHELGGPEVMKLEEVQVGEPREGEIRIRATAIGVNFIDVNHRKGAYPVPALPFTPGMEAVGVVTAVGPGLTGRKVGDVVAYAGNPMGAYAEEQIIPASVAVPVPPSVDHKVAASVLLKGMTAHMLVRRVFKVESGHTVLVHAAAGGCGSLLCQWANALGATVIGTVSNEEKAAQATEDGCHHVIIYTEEDVVTRVKEITSGKGVNVVYDSVGKDTYKASVECLASRGFLASFGQSSGRLDSIPLSDLASKSLFLTRPSMMHYTATREELLESAGEVFANVANGVLRPRVNHTYLLSEADRAHADLEGRKTSGSIVLVPDS >Et_6A_046341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13360347:13361728:-1 gene:Et_6A_046341 transcript:Et_6A_046341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLNPVEEINGLGKPHGSGQATIMGMGKALPENGYHGYQKSFPDYYFDLTGSNDMMDLKARFSKICEKTTTEKRHFYMTKEWLESNPSATAYKSPSLTLRQEITDEGVPRLGAEAARAAIRDWGKEASDVTHLVVATTSSGCLPGADWELVKLLGLPASCHSGGSALRVAKDLAENNPGARVLVVCCEVYALSLRGPSESHVGNLVGQAIFGDAAGAVVVGSNPGADERAVFELVSTSQEIIPGTGEAIVSKLRDEGIVFTLHPDVPKHVSGAVGRAVERALRKTSTAPDLNDEVFWVLHAGGRQILDKVETTLGLRTEKLAASRHVIRQYGNTRSSSVILVMEEMRRRSKEQGLLTAGEGLQWGLLVGFGPGITVETILLRAPPHN >Et_3B_028854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19926392:19931102:1 gene:Et_3B_028854 transcript:Et_3B_028854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRNSSPPVCPRPTLYKHTNIIAIRPKTAIEATRALGEASTASISQPPLCSIVHLGVRFTGALDANTCPHPTRRTGEGGGSERLMERLNIGVIRQEKSLRSRCFGGRVAGTAQCVLTPDASAETLVPVGGCYRLIDIPMSNCLNSGINKIFVMTQYNSTSLNRHIHRTYLGSGINFTDGSVQVLAARQMPEEPAGWFQGTADAIRKFIWVLEDYYIHKDIEHIVILCGDQLYRMNYMELVQKHVDDNADITISCAPVDESRASDYGLVKFDDTGRILQFFEKPVGVDLESMRVDTSFLGSAIGDTQKYPYIASMGVYVFKRDALLDILRSKYSQLHDFGSEILPRAVLEHNVQPSRFEFYDPKTPFFTSPRYLPPTQLEKCKIKDAFISDGCFLSECRIEHSVIGICSRLNFGCELKDTVMMGADLYETKEEISALLLSRKVPIGIGENTKIRNCIIDMNARIGRNVVIANSKGIQEADHPEEGYYIRSGIVVILKNATIKDGTVI >Et_8B_059374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17208802:17212342:1 gene:Et_8B_059374 transcript:Et_8B_059374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVMRTAAKVGIAGGAAAAAAAKGGRFRHGAPAFATAPSAAEAAPLVSAAAGEVPSAAAAGGQWSSWEVDDWEFADWRDDAAAVAVVEETAAVKPRLVFAPPSREEAEEATTELRDAIERGYFNEAPVDVVKGQDKELTKLAADAIIPAMPGHVVQAFTLLKSSPEAQSVVASLASDRNVWDAVMKNDRVMEFYRTHQTTLVQTFPEDTTTAESPEKFEDSSLDEQLTGSPFMDFVDNATKTVRELVNNITHFFQDMFRNTEEAQSSAEKGPSLAEMAVGGSFMALTIAVILVVLFKRA >Et_7B_055403.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:62885:63406:-1 gene:Et_7B_055403 transcript:Et_7B_055403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQRYHFLAAGVLLLTELILHPGVAGAGLPLVQSTCNSTAFYDLCVAALAADPSSSTADVPGLCAIAVSAAAANASATASFLTAANASSACAAKYANAREALLAARVSLAEEAYDYAMVHVSAAAEYPAVCRTLFRRRYPPELAIREEGLRHLCTIALNIISLLQTMHGHS >Et_5A_042454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11930389:11931071:1 gene:Et_5A_042454 transcript:Et_5A_042454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDQIFFLDDILENAIEEYTFEEEISSVSAYNMRTGEVSSPLPMVWSHKMITAACLIYEE >Et_5A_041718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26213557:26216723:-1 gene:Et_5A_041718 transcript:Et_5A_041718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALKAAASVSASPAAGSASSGSFAAAVPRRRAMAAGRVSFRGAAGPVVAVRAAVAPAAAAVAEDKRSISGTFAELREQGKVALVPFITAGDPDLATTAKAMKILDACGSDVIELGVPYSDPLADGPVIQASATRALAKGTTFEDVISMVKEVVPELSCPVALFTYYNPILKRGIPKFMTIVKEAGVHGLVVPDVPLEETDILRSEAAKNNLELVLLTTPTTPTERMEKIAKASEGFIYLVSTVGVTGTRTNVSTKVQSLLQDIKQVTEKPVAVGFGVSTPEHVKQIAGWGADGVIVGSAMVRLLGEASSPEEGLKKLEELAKNLKSALP >Et_9B_064981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20109504:20110023:-1 gene:Et_9B_064981 transcript:Et_9B_064981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAKTLITAFSSSQVTLHRAWTLHELINLFDSVWAVIVHYQSYMNIVKLVLVLDDAQIPDCHQLLDDFAESLKLIRQAASTR >Et_1B_011533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23381405:23388063:1 gene:Et_1B_011533 transcript:Et_1B_011533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSAPAAAGQVARRVQLLLLARQEDRRRPRHAHREHVRPPGTFVAGAGGNGTAAGTARRAHLARSTSSVAATRAATTPIDVLAGTDAAISDGADVLSLSLGGSSSQAVLERPDRHRRVRRHGQGRLRFLAPRVTRARTRALGRQARQWPVVCWRVGLPAPNNALPPTRRSPCTCLDRVQTGILVKNAGGVGVLLTGVKTDGNKAFADAHVLPASYRSAGVVHRRARARASSSREPDAPAVPGRRDERSAAGQVARRAQLRLGRRPNDLPPPRAQGGLLHEVTSREATAGNFVAGAGVMGSPRGLRRRHELRRRRRALAVAGRQLLQAVLRRPDRYISARSAPWRRASSLACSAGNEGPDPSSLGNDVPRIVCTAPAVKLGNGLSFVGESAYQPNNAMPPTPLPPLWFTDYTRRTRRTSRGSIRSAGDVKGAWVTACKGEGVVIAVLHTTVSPTHMPFRDDGMGAPPPAKWRGECSFFLDGGRSAPACNKNIVGGRTVSGHRAIKGGHGTQTASTAAVNFVAGAGVLCRGKGTARPRARHRARTWRSSRSAAATRAETPPTSSRPFWNDPIAIGAFDAMAKGVLSLACSAGNPSSVGNDAPWILTVGASTMDRRMHAVVKFGNNGLSFLGESGYQPTDQRLASDTTDYSYRAHHRR >Et_3A_027133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3405636:3407736:-1 gene:Et_3A_027133 transcript:Et_3A_027133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEGVRHRTMEANGVRLHVAEAGPEGGAVVLLVHGFPDLWYGWRHQMAALAARGYRAVAPDLRGFGDSAAPPDASSYTTFHAVGDLVALIADLGSATETQIPFESSRRAVNSVLIMILDRVLCSARRPAPQVFVVGHDWGAMVAWQLCLLRPDLVRALVNLSIAYHPRGPERSPLQAIKALCGEDHYMCFFQKPGVAEAEFAKNDLKYAFKKIFGMRKPAPLIIAKDKSFFDSIDSDGTCPAWLSEEDVSYYADKYAKTGFTGGLNYYRCMNLNWDLSAPWTGVPVKVPTKFIVGDLDLTYHTPGVKDFIQKGGLKACVPNLEDAVVMEGVGHFINQEKPKEISDHICEFFSKF >Et_2B_021569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3126500:3132006:1 gene:Et_2B_021569 transcript:Et_2B_021569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATQFETQRSRRLLIRPAVRYTTTAAARGCLIETDPGDTAGARRDERSESSARVRHELLLEGGHENLSGTPPRTPPPVPHRRFRDSVRVCRRSQRERESGNRVGKRKRPRESRALILFRRLGGRGGARRREVSPRSSEARRRMASRGGGGGGGLSAASSTAGVGDPSSPSARGWGDDDAGAGKVKLMCSFGGRIAPRPGDGALRYVGGQTRLISVPRTASFGELLRKVEAVDEAAAAAGGGVLVRYQLPGEDLDSLISVSGPEDYENMMEEYEKLAADGSAKLRVFLFPASGGSDGSGAHGSGSGSHLAAVDEPGQRYIDAINCVSAEAVAAAMRRKDSVASAASSAHNSEASEYSGLAEGMSPRSGPPTTSAPSEFSYSGGAQYHNAFPESMSQFVPSIPVTNSSSVAQVSTLRPVSGGVEPVLDNMHFTRPAQVDQNYRVLQAPMSQLPPMPSMHLQASDAQRYGVQPMVTSNISTPVVTNSGAIPVVVSSVTPPTLRYDDCTMCQKALPHAHSDNMIQDQGIPRAISNPESAPIFYSLHQDSASSNPSPSASSGTPANYVAEPRAENTVGMAQFEPTLPARQPVVQATTSPDAGVLVQPTMVTLPVSTAPAPNVVFVGHPPQTRAEDPFRYQQQPYSYGMQPSQAIDASAYQNSNHQVAEPLKEYARDLPYDYTRAIDARMQAVHLGPIAPPESGLQGKPASPHGAIDDGKVEKPPVNIDGIYKSQAGGYHMGITNAFTAPDLIQEDSLARHNEQPPSALDIGARIVHPEMSQHPLNVPVPNNHKVPVEPPVSSERFPVRPPYLGAQVPAGPPPQHPSEMLNHLVSAPPNGSSKFPLQATSGIDRVEATREPAYTGSLFSNQDPWTAVGNASVVPPRPNKLVKEPVMDGHVADINSSNAATLLEEGNLSHLRGPSFKDIHTVKPNKGFGEENIKQQLQAVAEGVAASVLQSPFLEKPAVFSGDHGDSHGALADAKVQDEGKNQFDKTSQGVQVLDDIENLQIIKNSDLEELRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKASEQERMRTDFWNEAAKLASLHHPNVVAFYGVVVDGPGGSVATVTEYMANGSLRQALQRNEKIFDRRRRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYAELHYGAIIGGIVNNTLRPPVPEFCDPQWRSLMEQCWSSEPSERPSFTEVGQRLRAMAASPTKAQQQK >Et_2A_017098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30970074:30980762:1 gene:Et_2A_017098 transcript:Et_2A_017098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASVGGGQTLGRSSFSRAAANPLASSSGTAGVKLGPNGAAFVSSGIPDLDRILGGGFLLGSVVMIMEDADAPHHLLLLRCFMAQGAMHKQPLLFAGPMKEPRLFLGTLPTPVSSSKEDGRHRAMGGAASSDGRASEEGLRIAWQYKKYFGDEKTSHGEHRDNKQEFSNNFDLRKPLERHILNGQHIECVSTQDTDTLSDLQDRCSTFLSKFPRMVEVLLLDEFLYNHYVHHSDWEMVSFIRSLKAMVRSSNAVAVITFPSTVLSDSFCKRWQHLADTLLSIKAIPDEDKDLAKLLTGYQDMVGFLHVHKVAQTNSQVPVILEASTLSLKLHKRRSLVLERLNQAPVDGSSGPSYAASGSCSSSSQEGVTPSPKNMFATGGVADGHLSGRRRCWQPFDSWASR >Et_10A_002155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2483177:2485304:1 gene:Et_10A_002155 transcript:Et_10A_002155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLVLLLSLLLSATFAAAAGGDGGGGCNRQCNDTVVPYPFGFSGDCPILLTCNASISSMPLLPHSTATAPYPILSFNSTASTFLALIDPSCNRTVAAAAASLAGAGYGVSSRTGLFLRGGACRAPASGSTNCTVPADLMARLLSTAQCGGAGAGNDTAWTCGAAAAGGRGQFMAWEAVHASGCEDALTAAVYGDTPQLGVTSLQYGVAELGWWLDGDCTAGGHRCAANATCRDVQTPSGAWGHQCACPFGMSGDGFPAGDGCHYGPPAAASSKRGMVAAVAAGVCSALLLSIGLAVWFLLRHRKRQAKTTSKQAPKGARFFRGIPVDDDFEQGETGPRQFCYEELAAATENFAADRRLGRGGFGSVYSGFLTDTNRYVAVKRVSETSRQGWKEFAAEVRIISRLRHRNLVQLIGWCHGGDDELLLVYDLMPNGSLDAHLYNKSSDQRALAWPARRGVALGVGAALLYLHEDAERRVVHRDVKPSNVMLDASFNAKLGDFGLARLVDDGRRSYTTGVGGTFGYMDPECALSGRASVESDVYSFGVLLLEVACGRRPAVRVGDDDDDGAYVHLVQWVWEAYGGGSVLDAADARMGGKFDAWEVACTMLVGLWCAHPDRSLRPTIRQAVNVLRFEAPPPSLPAKMPVATYGPPACSSGSAATSSAEATGTGRSTTTEDST >Et_2A_016110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21101984:21106821:1 gene:Et_2A_016110 transcript:Et_2A_016110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEARKDDSRPAAARSSKTTTMHRLLVALNCGMLALGATGGPLLSRLYFSKGGHRQWLSAWLETGGWPLLLLPVAASYAARRARHGGARAPVLLTPPRILLAAAGLGLATGADDFIYAYGLAYLPVSTSAILISTQLAFTVFFAYLVVRQRLTAATVNAVALLTVGAVVLGLHVSSDRPPGVTRGQYWLGFALTLGAAALYGLILPLVELAYKRAAGGGRAVTYALVMEVQLVMGFFATAFCTVGMVVNKDFQAIPREARNFELGEARYYTILVWAAVMWQFFFLGAVGVIFCVHTLLAGILIAVFIPVTEVAAVIFLHERFSSEKGVALVLSLWGLASYSYGEWSEAKAKKMEAAAAQASSHTRAHASSQQPCKEGDAAQLGGLCAVTRPLRNPLLIVNFILMVIGMAGSPLCLRAYFLYGGARKWFSCFVLTAGLPLLLVPLYFSFRRRCRNSVVIHDDDGASSTMTTARPPRNPAPARVSSSCRRGSWRRPRPSAC >Et_4A_032258.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:26841380:26841754:1 gene:Et_4A_032258 transcript:Et_4A_032258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAVSCECCGLEEECTGDYIGGVRAYFGGRWLCGLCSEAVKYEAGKCSGAGAAADVEEAVRAHMAICRTLKSGGPAGRVAAGMRQMLRTASVKRTNSSSTSSSSSPSPRGREHRASPVSVGL >Et_2B_020283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18589665:18598415:-1 gene:Et_2B_020283 transcript:Et_2B_020283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGVPLLVLLQLLLLLSAAGAAPRPDGGFSFKATLRHVDANKGKARAAALQSLATTTSPPGEAITAARILVRAGDGEYLMDMGIGTPARYYSAILDTGSDLIWTQCAPCLLCVEQPTPYFEPTQSATYRALPCSASACNALYYPLCYQKQCVYQYFYGDTANTAGVLANETFTFGTNATRVAVPGLAFGCGNLNAGSLANGSGMVGFGRGSLSLVSQLGSPRFSYCLTSFQSPTPSRLYFGAYATLNSTNTSSSGPVQTTPFIVNPALPTMYFLNLTGISVGGYPLPIDPAVFAINEDDGTGGVIIDSGTTLTYLAQPAYDAVRAAFRAQIKLPLVNGTWADVLHTCFRWPPPPRQSITLPVVVFHFDGADMELPLQNYMLVDAASGGLCLAMAPSEDGSIIGSFQHQNFHVLYDLENSFLSFSSTKAGKPQRNMYSFFCATLASMTTVLMGYNIAVMSGAQLFIREDLGLSDSQIEVLAGSINVFMLVSILAAGWTADVLGRRGTLLLANAFFMAGALAMSLGGSYATLMAARFVTSVGSGFSVVVTSVYNAEISPASMRGLLTSILEIFINVGLLLGYVSNYAFAGLPVHLGWRVMIGVGVLPPALLAAGVLAMPESPRWLAMRGRHDDARVVLMRTSDNPVEAELRLKEIKLAVDEAPPVSKGGNSVWTDLLVRPSASVRRILICVVGVHFFQQASGIDAIVLYSPLVFKEAGLSSNSTALVATVAIGVFKTGFILVATLFSDHLGRRPLLLASMAGVGVSMLSLAITLSVGTSSSLSAAAGVTSVLGFVAAFSVGIGPLASTYGAEIIPLRLRAQGTSLGIAANRLTSGMVSMTFISLAYWITMPGCFFLYAGVAMAGCVFLYLRLPETKGRSLEDMDIGLVAFVLVAASAYASPAASAFIGDVRVSLRHVDAGKQLSKLELLRRATQRSKARAAALSVARNGGGRRFSGESDPHQQQPGGMPVRPSGDLEYVLDLAVGTPPQPVSALLDTGSGLIWTQCAPCGNCLAQPDPLFAPGQSASYEPMRCSGQLCSDILHHSCQRPDTCTYRYSYGDGTMTMGVFATERFTFTSSSGDERLSAPLGFGCGSMNVGSLNNGSGIVGFGRDPLSLVSQLSIRRFSYCLTPYNSARRSTLLFGSLTDHGDAAGDGAPVQTTPLLQSPQNPTFYYVRLVGLTVGARRLRIPESAFALRPDGSGGVIVDSGTALTLLPGAVIAEVARAFRAQLRLPLANDRSADDGVCFVVPPARRRAATSQVAVPRLVLHFQGADLDLPRRNYVLDDNRKGRLCLLLADSGDEGSTIGNMLQQDMRVLYDLEAETLSFAPAQC >Et_1A_006756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27141846:27159421:1 gene:Et_1A_006756 transcript:Et_1A_006756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFNSAVAAEVRALIQGADDATSDSIYRELCQLADCGPDGCVLLLQVCVDEVLMNARGANNSQLKDLLSIIFRYCMDKPYFSTSFCEALRAVSVDDGFLETVSNELELSPAERVGMGLALSDSEDMDLSLKGKTFSMAQIEELCSNRAHSVSNDRIQEIAVFLHQTDGLSKHVDSFTNIVSLFNVKESPFYVPAPLQHPELCIGSLDDDFDSLLSEIGKEISMADIITELGYGCASDIAHCKELLSLFEPLDDMKISKLIGAVVCTHTGLGEAHNIYSTFLTAFGSNPTIDSSHLTTWNIDVLVDSINEAARGTNWTRVIENLDHEGFNIPDEAAFNLLMSIYSRSCKDPFPLHAICGSLWRNTEGQLSFLKHAVASPTDTFTFAHCTRKMAFPDVGNVIHGNHAWYCLDLLEVLCQLAELGYAKPVWSILDYPLSHCPEVLLLGVSHINTTYNLLQHEVVSCVFPAVLKDTQQSRLMNYLWHVNPYLTLRGFVDAHSDINCLLRTVEICEDLKILSTVLDSTPFVFSIRLATLAFRKDHSSLEKWLTEKLGAQREILLEECVQFLKEIMTNTNRDPAEGVIQHPHAAIMSIFQDSCPVFIKVIHSHSGQLLSKELMDELRRVEAVHESRNHIAVGRDMATSDGGSEDIEAQANSYFQQMFAGHISIDAMIQMLARFKESKDKREVSIFNCMISNLFEEYKFFPKYPETQLKLAAVLFGSVIKHQLVSHLTLGIALRGVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQIAHLRGTHSELVLAIEQALNRISLSQNEPNFNTVISGDQRGSVTQSIENPEASESSWLNSTPAQSDRTTSSFALQQRHQSFLGDRSKVSTSIPQTKTVVPIGQPPLASTSNDSVVIPKVPATVPSQPSPHHSSAVSAPSHPSGFPRSRSAAPSGILRQSSYTTGFGSALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTSNMETKAKEFNEVLPEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEIMKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKVLIVEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLVEIYNLPNLKMNLKFDIEYATPIRLPPNSMVEDDKAAIIMPEQVTSHSLTQVAPPQAPSPSPFSLSQLMAAIPRADIYFRINEKLNALGPQLQYSKIMDVALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMESDDGAISRSAHLMVGTLAGSLAHVTSKEPLRVALLSHLRSLVQNLISNSETTEQIVLLLINDNLDLGCALIETVATRKAVEMIDGDIKQPFSQLRRQKELHGSAYYDVFPYTQGLTRVPDALRPKPSGNLSAAQRRVYEDFITVWHSQSSQNAGATASGTSASLTPTDSSIAPVHGPILAPSTSTSFSTLQFSSFTSAGQSKETVPDKADPGTTQISRYGYLAIPISSYLSVRAPCSEVSNVNFYSLSTPFSTTDTSSQVSGTTNLAAVFPPMASGDLLGEPATTKDIGAAAPLSPTATINRPGSAFSELLNTGDALDRYQQVFQKLEALIANNGKDVEIQSVIAEVPDILLRCVSRDEAAVAIAQKVFRSLYENASKSTTVTWLLATLVAVRDVCKLVVKELTSWVIYSDEEKKFNIDITIGLIRFELINVGEYNAHLAKLIDGGRHKTATEFAVSLIQTLVTQESNSVSELFSVVDALSKLAIRPGSPDSLQHLVDIARSSFSNNGSYAASKDEKVIQSRDKKVLSGRPLMNNEDNNADGIALANASEFQDKVAVLFSEWCQICDHPAMGDSMYSHYILQLQQNGLLRGDDLMDRFFFTLTELAVAHSVVSEQPIAPNPMSQQPQISYFSIDSYSKLVALLVKHLGDLGPNKGSLLPKILSVTTRIIQKDAEEKKASFNPRPYFRLFINWLSELTTSDLHHDSANFQILLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISVAPRIMTDVDGALKTKQMKAQVDEYLKRPEGSLFLAELKQKLLLPQSEASVAGTRYNVPLVNSLVLYVGMQAVQQLQQNKANASVQINQGSQADIFQIETATELFRNLIMTMDTEGRYLILNAIANQLRYPNSHTHYFSFIILYLFAEATQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWGRSFTRCAPEIEKLFESVARSCGGKAADDGISLPDGGH >Et_4A_033736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26311181:26315838:1 gene:Et_4A_033736 transcript:Et_4A_033736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFRTSTHRERWIFQPQDLMERWAAANQRAAETLAQYGTTRLKVDPVDGSLSYPEPAPGHVEGSTDIKPLSYEEEQLTRVFYEQKIQEVCAAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCVYTSCKVEENHVSAEELGKGIQQDHQIILNNEMILLKSLDFDLIVYAPYRSIEGFIDDLEDFCRAGNGAFQRLKLALAALYKSNDVHRVLNFERYLESIFSRQHSDCPVEQFIQSMDAINYLVDQLKISTVKDMRHIDRKLKHCLDPSSHDEHKKKEKKSKHKSKRTANDAQLRSKISKPNSECSLQQSGDMPSFFPHQDGYI >Et_3B_028213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11887738:11890323:-1 gene:Et_3B_028213 transcript:Et_3B_028213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSRVKPGFNGGSVDGSGRRAGYMSGGGSGGRRTPVGDACSVALRAFVAAATLVSAVVMGVDRQTRTIQVTIADTLPPLQVPVTAKWSYSSAFVYFVVANAMVCAFSALALAACRRRGAVVAVMVGDLVALALLFSAVGAAAEFGILGERGNSHVRWAKVCNVYGRFCERAMAAVIVSLLAAFANLVLLMLAILDIHKNSSYY >Et_6B_050150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7200243:7206212:1 gene:Et_6B_050150 transcript:Et_6B_050150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVQSIIVPTDAELLQAQADLWRHSLYYLKSMALKCAVELGIPTAIHRLGGTASLPDLISALSFPAGKQQFLGRLMRMLASSGVFAKVDSTEPIYSLTPLSYLLVDGIAADGHINHAPFLLAVTAKHYIDLAMGLADWFKKEEKTPPFDHVHGASLFEESMERIDPEFHQMSIKGLLVHDNFGVDIALREFRDIFQGITSLIDCCYHGDGTTAKAIAKAFPNMKVTHMDLPQEIRKIPADGIVNYVKGDMFKSIPPAQVVMLKMVLHHWSDEDCVKILANCKKAIPSRENGGKVLVGDIVLDPNSGPVMYETQLLMDVCMMLMKGGRQRDLNDWRELFMKAGFSDSKLISKFGARGVLEAYPRCRRSISLNNLSVFVNKELGEVPFDTVTKEATFLRLQKLVQRRSILAIHVDLEDTGNTLNAPGQQIGCKEMQENFINIEANSESIPINANFVDGRQ >Et_10B_003006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15236952:15247509:-1 gene:Et_10B_003006 transcript:Et_10B_003006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KSYETKQYKKGLKAADSILKKFPEHGETLSMKGLTLNCMDRKSEAYELVRRGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLSGFVETRQQLLSLKPNHRMNWIGFAVAHHLNSNSSKAIEVLDAYEGTLEDDYPPDNERYEHSEMLLYKISLFEESGMLDRALEEMQKKESKIVDKLSFKEQMATILFKLGRFDESERIYRSLLFMNPDNYKYFIAVQKCLGLYSDNGQYSADDVERLRALYESLKKDYAWSSAVKRIPLDFLEGEKFQEAADSYVRPLLTKGVPSLFSDLSPLYEHPGKANILEKLFLKLEDSIRTSGCFPGSPQKEPPSTLLWTLFLISQHYDRRGQYEVALNKIDEAISHTPTVIDLYSVKGKILQHAGNFAAAAALADEARSMDLADRYLNSECVMQMLQADQVGLAEKTAVLFTKDGDQHNNLHDMQYELASGESYYRQGDLGRALKNFLAVEKHYADMTEDQFDFHSYCLRKMTLRAYVSMLKFQDRLHAHEYFHKAAAGAIRCYMKLHDSPPKSSTEENDEMSKLPAAQRKKLRQKQKKAEARAKREAEEKQEDEVASSNSSKSGKKPARPVDLDPHGEKLVQIEDPLAEATKYLKLLQNNSSGSLETHLLSFELNMRKQKVLLAFQAVKQLIKLDENNPDSHRCLIKFFHKINSLPAPGTDSEKLIWNVLEAERPDIRQLHGKSLIEVNRSFLERHSGSLMHRAAAAEMMYLLEPDKKTEAIKLIEDSTIGTAPGNNVLGPVKEWNIQDCIDVHKLLEIVFGDKEVANRWKVRCAEYFPYSTYFEGIKSAIAAYSVDNSPENSSENGTGPNPQLKPDEGEASILNGTVHIVDDVSSLSIR >Et_4A_032178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22493272:22493931:1 gene:Et_4A_032178 transcript:Et_4A_032178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGMVDLVPTGPRELLMAYGAIGLEIFYYTTAHGPRIIVQWNVDDNDEIEGYRRTISPGPGRNLEITYLVIPTAIQTSVEVRLKLKDLGSRSRAVYGTIKANAIDYRNKRVHLFSCDRGRRLSLPSGTTSILPLSLSKIALPCSRLLKFHIEVDLTVITTCESHEGDKNLKFREVDDDQVQVEIKYASEY >Et_9B_065497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5918447:5921271:1 gene:Et_9B_065497 transcript:Et_9B_065497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEHAALLKEHAQALREYGALMKDQGALVEKSAGQVAEVGRVLGHAGAALKERGGKLEAEAARIETQLQPPRPQAATGFWRTVKPFVNGGASGMLATCLIQPIDMVKVLHFGLSLSRWLPDSMCVRAILQGWGILGDLCSRIRMDFEYLPVPAIFSRKIGQSLEIYSVRIQLGEGSAAQVTKNMLANDGLSFFYKGLSAGLLRQVTYTTAGLGSLSFLTNKELEKNEGKPLPLVQKVFIGLTAGAIGGFVGSPADLALIRMQADSTLPVAQRRHYNSMGSTVLLLMRGCLHFGRVLAPLW >Et_1A_005871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1577795:1580456:1 gene:Et_1A_005871 transcript:Et_1A_005871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KGKKHTTHPPPPVTPSSIFFLHGVALLDGVDVEALELDDGLDVALERVQLPEPRDLGALGGLLRELRELAVPVVVAEDLGGGAGLVEAVQRPLGRGPLRLALALAVVVPVDEGRLVVDVAPGLAAERVAGDELEHVQRHVGDDAEGPDGGAPAPADALHLAELPVGVHGDAGGHQLRREEGEEEQQPGALHEGPAPGTRHEDERLADDAHLEDGDDEHHEGCEVELPEQRDEHEAQHDTDGDGDGVDGVVLHALEDGAAGEHGADDDAEPRLGEHDVGRAPRRVRGVVDGDADVRLLERRRVVDAVPGHAADVLPVLQPLDDLVLVLREDAREAVGLLDELVHGEPAHLAGLLVPEQAGGRVEVVAHAEAARRLLADGQLVPRDHLHVDAQVQRAADGLGAVVPGRVEQRQEADELPRVARAVLALLGHLLVRHAQGAEAPVGVAVDERVDPLLGVLAHPAQLDDLLGRALADPVPVAVAVHVMAVRFSTGLKGRKCTSLIPARASLGSASMPTMHVSMASWFSTRDARAAYRSTISLSTPLPHTSMSVLSTVSLLSVSVPVLSLHSTSMPAISSMAVMRLVMAPCCERRCDPMAMVTDSTVGMAMGMPPMSKTRRLSMPSRYARCWIGYMKMTSTSMPTAMEMMQKLPMAVSTSWKWPTLLVLSTRCAALPKKVCTPVAITTASISPCFTVEPEKTPCPGCLLTGSDSPVSAAWSILRGSPSSSRASAGTMSPSLMLMMSPGTRMEASSSFHLPSLRTLVLGASPAMSAAAALPALFSSMKLM >Et_4B_038462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29180834:29181983:-1 gene:Et_4B_038462 transcript:Et_4B_038462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGALRAVDNPSEQLAVLMSMLQAECKPDVITMNTVIHGFCNAGRVQEARRILNDMLSGKFSSPDVVTFTTLISGYLPECRRRCSPTIVTYNCTIKELFALQKVDTAMQVLEEMKANNVTSDSVTHAVVIKGFAIFRGLYKQGKLEQACDFLYELADCGVVPSVVCYNILIDAACQKRLKKMTYQMVKEMRRNGLAPDAVTWRILGKLHHCDKEEEEESHPLLSFNVVKHSTDGVEHPVSTENVMPSLSSSISLHELNVYNNKANIKDEVNVDGEGNWIKITEEPADNTELAKEQEGSLIDSRCETTTDEGSTTQEDGLTKPNEQPVIRLPLSRVARK >Et_3A_025228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28021952:28024149:-1 gene:Et_3A_025228 transcript:Et_3A_025228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGLVTEEVTIGRLHRIRRTVMQMLRDRGYLVLEHELGMSRRDFIRKFGESFHREDLLVSKYKKNDPSDQIYVFFPNDDKVGMKHIKKYVEMMKAENVSRAVLVTQQNLTPFAKSFLIELEPKIHLEVFQEAELLINIKEHVLVPEHQVLTIEEKKTLLERYTLKETQITDPIARYYGLRRGQVVKIIRPSETAGRYVTYRYVV >Et_5B_043870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1744309:1747993:1 gene:Et_5B_043870 transcript:Et_5B_043870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDRWVPKRCPYSSAWIGGHCTPSRARKAPGTTLNGLATDHRHLNRAVYRSGSPRSDWDWRRGRKRCAARIGESRVWWGGFEASGGGGRGTCGWSRRCGGGVLFLLLMGRSFRDSLKVLEADIQHANSLASEFRREYDGACLQMRMSYCPAAHFFLFLVQWTDCSLAGALGLLRVLIYKVYADGTTTMSTHERKASIREFYAVIFPSLMQLNEGINEVEDRKQKAICTERYRRRDEDQKMVISEIDDNIEEECGICMEVNNKVVLPTCSHAMCIKCYRDWRSRSQSCPFCRDSLKRVNSADLWIYTDNRDIVDMATVRRENMKRLFMYIDKLPTVIPESVFDVYDSHVK >Et_10A_000208.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:17853564:17854175:-1 gene:Et_10A_000208 transcript:Et_10A_000208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QRGEPAAAHHLLELLEVDAAVAVGVHLADHLAAVLGGAALLEAEAGQHGPQLVDGDVAVAVLVEDVERLAHVLVLVVLTGGAAAVGAVVDDGAVEPAELLDVDAAVAVDVDLVHGGSELVLGDEDAEVLERLAQLLLGDLTVAVLVEHLENLLQLRRVHAAAHAGLLHRSRFLHVLPPVDFCLEFLIRAVHNCREWLGRINCW >Et_5B_043310.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:23984487:23984639:1 gene:Et_5B_043310 transcript:Et_5B_043310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGSWFDALAPASANARHKGLRSLIILVIWQQSGVPTRSERCGSTDNQN >Et_6B_048271.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:4811698:4814073:1 gene:Et_6B_048271 transcript:Et_6B_048271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAAAATARPSSRTMSSASKPRKPSRSPSPATAPKPAKNSVGSARRRSPLSDLNSGDAGSAARPGCFRFLLSSAASGTRSRSASTPRTPARPDPKPRPAEARRRDRVPDQESRTRAERCAAQEPRRRGPDPIGAQIRRKEPAAKKHWPAREQPFEALTPEKKADSGSAPASSGATPPVHASISPEVAACGSATPACFAAGHHVVPGVGDRRKCRPRGILALAGEGLVSEDLDDGGEPSRASIHWLSSPSGQGAGTCSTKCGTEEEASVNWLVSPRNNGAVDFLDDEIIVPRCSLEDAFWHFSPDCTGLLGSPLLSGLPDFGTPASDLSGTTPLSGFLPVEKTPSSGDSISPFSLIVKRASASSRVSSLCSQQVLTSSYRHGSAADPTPISGEESWHQSVSNGTRSGLTRTSSRLMKVMDPVVECLEMMSLSPQPGDFDYDGNGALPAPLPELSFQFAGAPAPLESIDLTTFKRSPRDIELKGKEASFQKLELAETRISWREGLVSRMFDMGDLDCCKWLSDDEEAPVLSHHVEALADTKFQPSCGYSPQKQGGDHLVGGGFGSVEFSCIGDELNNDCKACPKPVAVAESMRAEGFELVSSDDSDWTLFYKNDLFET >Et_1A_007398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34161229:34166646:1 gene:Et_1A_007398 transcript:Et_1A_007398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASSAAALLPRPVGGAPHLATSYASASSHRRRLLAVRCQSTSVDKQEPKAKRGLIDNASNLLTNLLSGGGLGNMPVAEGAVTDLFGKPLFFSLYDWFLEHGSVYKLAFGPKSFVVVSDPIVARHILRENAFCYDKGVLAEILKPIMGKGLIPADLDTWKQRRKVITPAFHALFIEAMVRIFTKCSERTILKLEQLTETEDGEHKSAIVDLEAEFSNLALDIIGLGVFNYDFDSVTKESPVIKAVYGTLFEAEHRSTFYIPYWNLPLAKWIVPRQRKFHSDLKVINDCLDNLIKNAKETRQEADVEKLQQRDYSSLKDVSLLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWSVFLLAQNPTKMRKAQAEVDSVLSNKTFNVEDLKKLEYIKSIIVESLRLYPQPPLLIRRSLRPDKLPGGYNGAKEGYEIPAGTDIFISIYNLHRSPSFWDRPNEFEPERFSVPKKDEDIEGWSGFDPGRSPGAMYPNEIIADFAFLPFGGGPRKCVGDQFALLESTVALALLLQKFDVELRGSPGEVEMVTGATIHTKNGLWCRRDACSAGNKMPTGINNHI >Et_2B_021336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28838305:28847210:1 gene:Et_2B_021336 transcript:Et_2B_021336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLETISILTLPATMATSRAFALVFLFCALSASCHVAVSAQAVPKDKDFLSCLTRDIPPRLLYAKSSPAYGAVWSSTVRNIKFLSDKTVKPLYIVTPTDASHIQTAVVCGRRYGMRIRVRSGGHDYEGLSYRSEKPEPFAVVDLSKMRTVRIDGKQATAWVDSGAQLGDLYYAVAKATPNLGFPAGVCATIGVGGHFSGGGFGMMLRKYGTAADNVIDVKVVDANGKLLDRKSMGEDYFWAVRGGGGESFGIVVSWQVKLVPVPPTVTVFQIHKELKDGAIDLITKWQQVAPALPDDLMIRIMAMGQEAMFEALFLGTCKDLIPLMNARFPELGVNPSHCKEMSWIESVPYIPLGPKGTVKDLLNRTSNIRAFGKYKSDYVREPIPKSEWEKIFTWLVKPGAGVMIMDPYGGGIANLPEAATPFPHRKGVLFNIQYVVYWFGEGASALPTQWTRDMYAFMEPYVSKNPREAYCNYRDLDLGVNQVVGNISSYASGKVWGEKYFKGNFDRLARIKGKVDPDDYFRNEQSIPPLCDNFTLGLLAAFAAIAHQAGSITEAAETAATASDATGFLQCLAVDLPPGIVYSNASHSYTTVLQSSIKNLLFVTPATPTPLAIVTVTDASHVQAAVRCGARHGVRVRPRSGGHDYEGLSYRSLRASRPFAVVDLAALRTVRVDVRRETAWVGSGATLGELYYAVANRSKRLGFPGGLGPTVGVGGHLSGGGFGTMLRKHGLAADHVVDAVVVEAKGRLLDKASMGEDMFWAIRGGGGGSFGIVLSWKLRLVRVPATVTVFTIHRPRNQSATALLTKWQQLAPSLPSDVFLRVVLQNQDAQFQSLYLGKRNGLLATLSQSFPELGVTPDDCIEMTWIQSVLYFAFYGTGKPAELLLDRGAKPERFFKAKSDYVSAPIPSHVWESTWSWFLEDGAGLLILDPYGGRMSGISPSATPFPHRHELYNLQYYGFWFENGTEASDKHMAWIRDLHAFMEPYVSNNPRGAYVNYRDLDLGVNGGGDEDGVTSYEEARVWGEMYFKANFERLAAVKAKVDPDDFFRNEQSIPPLPISISSAFSATDEFLSCLAADIPTHLVQTPASPSYSSVLLSTVRNLRFAAPGTPQPFAIVAAAEPAHAQGGVRCGRRHGVRLRLRSGGHDYEGLSYASLDRRERFAVLDLAALRDVRVDAARAEAWVGSGATVGELYYAVGAASRTLAFPAGVCPTVGVGGHLSGGGFGTLMRRYGLAADNVLDALLVDADGRLLNRSTMGEDLFWAIRGGGGESFGVVLSWKLRLVRVPEKVAVFTVRRSRNQSASDLITKWQEISPALPRDVILRVTVQRQHAQFAAMFLGRCSRLLRLMRAHFPDLGVTRPDCEEISWVQSTVYFAFRSSSKPLELLLDRGKPDEFVKATSDYVQEPIPRHIWERTWSWLEMPEAGLLILDPYGGMMGSISPSATPFPHREGNLYNLQYYSSWSENGTAALEEHISWVRGLYKEMEPYVSRNPRTGYVNYRDLDLGTNELEGGVTSYTKAKVWGEKYFKGNFERLAAVKAMVDPDDFFRNEQSIPPLPAAKGWNSV >Et_9A_062786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:480821:485396:1 gene:Et_9A_062786 transcript:Et_9A_062786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFGGRILPRPGDRQLRYVGGETRIVAFPHAAASFATLVAALAKVAPALFPRSSTIPHLRLLLARQGGSVLSGTGGEAASDQLFVDTLNAPAPHPIERGRGSPSLPNPSNCGAWRRHRRGASSGLPPRRGLTLARVYRWQIYDDPVLMAQSYLNLINWIIARLEERARQDGLEPTETHQFQGQEYTYRLTARSGFFIILVVPPVDYTDNGQDLALAFSFKNLYYLLGFFDADDGVWRLFNDTDLNGTGDKKDGPAHGMLEFGGGYSDNVFTETMLSFKTLVQSYETLMNNASRGNREIRTALLVFVYVISEGLRFTEWKNHLHLLFQDGGREEAPDSGRTFSFLFQKWERISKRAQNGPDNFRVKRDEFRDFGVLLRNLCVALRKVNEDRSNLCFVIEEAKKIRKTLSDVKLLGIKGQQNSIAYELAQLAKHTTHTVVWRGQTCSCIERIIAQ >Et_1B_014008.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27218347:27219417:1 gene:Et_1B_014008 transcript:Et_1B_014008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTLQAPPLCPPRRAGGARTPPARPLRITLNPACVSRPPAADAAPSTSPAAADRTPPARALRVSQNPACVSRPSAAAAAAAKKPKRPVSDRDEDPATTKGSTAAGDGKENKIAPTGTAPKIRIVLRPSSRVGEAPTLPIGNTTARPRGTTGASAAPVPAAKLKATPADSEPAVLRPCSRVAAAPTLPSGNTTTRPRGTAAEGHAGASAATAPAAKRKATPLDSELAVLRPCRRVDAASRSPLSSTARLHVGAADGHAAPAPAAKRTATPPGSEQVKKRASPATATTSAASASPSPGTPETGGTVRALLQTARTATDAIRRREIERLRAQARRELDRVVRTVDFNDPFISPQDVLR >Et_4A_035932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8714596:8718049:-1 gene:Et_4A_035932 transcript:Et_4A_035932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMKIPAEVLQKRRKALQLKQKIAEDKPGSESIDAKKGDDACAICDNGGDVTCCDGGCKRKAENKDDEDMQFAVCRRCLTTYHRKCLPKEILFKTKKGPNGSMRRAWEDVLPDRVLIYCMKHDIVRKLRTPRRDHVVFPDAEKPAYRTDRMLDLITILRIYPTTLSSVCWTHRSAT >Et_10A_001809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8493334:8494054:-1 gene:Et_10A_001809 transcript:Et_10A_001809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKPQPQPGFGRFHPTDGQLVEFLRRRGSAPRSPPASTSPTSASSRTTPPTSCPQGRCFFTVREAKYKKGGRANRTTDSGYWRNSGKEKPVTVTFAGDGGQAVLVGMKRALVFHRGRLPTGTKTDWVMHEYRLAGAGLSPWRGAAPAEGWLLCRVFRQNGSLIPAASPEEEHGPGADAEEEGGRGRGGGGRSSGVWIHRLLRP >Et_3A_023028.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:19297111:19300158:-1 gene:Et_3A_023028 transcript:Et_3A_023028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCFGFSWPKLKAPKNQHSKIQTFPFHLLQRATNNFDEERVVGKGGFGKVYWGVLEDGTRVAVKRKDPGSSQGLMEFLVEIELLQELNHPNLVSLIGYCDEGNEMILIYEYMENGTLTSHLYGSDEPSLDWKQRLEAVVGAAKGLQYLHAEHYVHTGSAKAIIHRDVKSANILLDYKLRAKVGDFGISKTGPELDQTHVTTLVKGSFGYLDLEYYKTQQLTEKSDIYSFGVVLLEVLCGRLAIDRKLPREKVNLAEWGMRMLNEGKLEQIVDQKISSTIKPCSLDLFGKIVAKCLAEERKERPSMEDVLRDLENVLSKEDKNPFKRSVGKMCLRASNVPDDLSAPSTSRVSPVLDEGISVAGHGVSSQLIQAGVRKPLARSLAFRRDDLSGSSRSQVSPVLDEGISAAVN >Et_3B_029352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24303589:24309587:1 gene:Et_3B_029352 transcript:Et_3B_029352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVNTPSQELALTNLAFVSAADVRRFPGSIALVGDTLRRQAKVSAGDSVAVSSFIPPDDFKLALLTLELSFVKAKANQEQLDAVLLAQQLRKRFLDQVMTAGQKVTFEFCGTNCIFTVNQALLEGQESSTPLDRGFLSSDTYIIFEAASNSGIKVINQKEAASSKLFKHKEFNLEKLGIGGLSAEFTDIFRRAFASRVFPPHVVNKLGIKHVKGILLYGPPGTGKTLMARQIGKLLNGKDPKIVNGPEVLSKFVGETEKNVRDLFADAENEQRARGDESDLHVIIFDEIDAICKSRGSSRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLRPGRLEVHIEINLPDENGRFQILQIHTNKMKENSFLSPDVNLQELAARTKNYSGAELEGVVKSAVSYALNRQISMDDLTKPLDEESIKVTMDDFVNALHEITPAFGASTDNLERCRLRGIVDCGNPHKHIYQRAMLLVEQVKVSRGSPLVTCLLEGPAGSGKSAMAATVGIDSDFAYVKVISAETMIGFSESSKCAQICKVFEDAYKSQLSIIILDDIERLLEYVAIGPRFSNLISQTLLVLLKRVPPKGKNLLVIGTTSEVSFLDSIGMCDVFSVTYHVPKLKKDDAKKVLHHLNVFREGDIDAAAEALDDMPLKKVYTLVEMAAQGRSGGSAEAIYAGKEKIDIDHFFSILGDIIRY >Et_9B_064398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14822800:14825028:1 gene:Et_9B_064398 transcript:Et_9B_064398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHVSLPTTCSAFCLRGMELPDPCRPGTNARAASLAGSCPRFSRAPRGRLVLAGRARAQPNESKAVQLVLGGRLPGDYDSDSESSDDEEGGGEEARMTDAERRTLRRKIREMMDKVPETAELTDPEERKAKMRELMTKYELVVEEEDPNWPEDAEDGMGFSLGQFFDNITIKPEKKDEDDDEADDGKEIVWEDDNYIKPIRDVKTKDWDASVFTDFGPMIVLVHNRYKRPKENEMARDELVKAIEMFWEHNLPSPRCVAVDACAEPDLVDALKVSGFPEVLFTNAGRIIHRDKVVRSADEWSRMMAFFYYKAARPPFLSEADGQGQEKIAFALLRPWKCKSGSGHLSSDSVARASLAARPRTSARVDPAGASELPWIRLDPGGSPSNLTAPTGKRRCVDTPISSHR >Et_9B_063973.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:7829620:7829724:-1 gene:Et_9B_063973 transcript:Et_9B_063973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbM MEVNILAFIATALFILVPTAFLLIIYVKTASQND >Et_5A_040591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10064071:10065313:1 gene:Et_5A_040591 transcript:Et_5A_040591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPKKEIKLMTYNVWSREDVVVYKRMRAIADLVQTHDPDVIFFQEITPYIHSIFEKTAWWNKYHNSLEYPEEQATIKQQDFCLLLSKAPLENFARREFPNSPTSRGYLEADINPEPGTAMKPLRLATTQLERPIPPASMNCLERQAQAKHAIKSLSCAENVVFGGDMSWDDDTDGPFPRAAGWLDAWTQLKPHAYPDLDARRDLERRGGGVQRLRPRLRPHEEAIGPLKDYKPSSIERIGDRGLGFHNYTRSYRSSKYQYSTNIYLKPSCHRGLVLTIVPA >Et_8B_060045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5398450:5407075:1 gene:Et_8B_060045 transcript:Et_8B_060045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIQSTSRQNATLLAVLCGKFAEKQRTPARFGPEAKRVRLSYPFPELVSSGRLEVHTLINPTVEQFREAQRMVQPNLMYIQGQQLENEKEIGSLVWGDTDLSDPQEFGSLISPPFPTIVYLEVPIGEKLAQSLNSKGIPYVIYWRNSFSSYSASHFRHALMSVIQSSCSHAWDAFQLAHASFRLYCVRNNHVQRVKLGPRLLGDAPKVNIIPPENEMGEEEGFSEAFPAIKVYDEDVNMKFLVCGLACTLDASLLGSLEDGLNALLNIEIRGSKLHNRVSAPPPPLEAETLPHGVVTMRCDIITCCSSHVSLLVSGSAQTCFDDQLLESHIKNEIIEKRQLVRALSSEDNKPSSPGPLTSMCMACGASTFEVWMTLPKWASQVLKHLALELSYKSLVALGIACINGTPVSSFDRQDADRLLFFCSNQCKDKTFENGPYARLPRWSASLTKDRMRGSLESKQISFGTNGVGEYKNCLTEGSSQPSLKPMLKPATMRPLPHSPKRQLHPFIGFPQSIIHDMSQVKPSLPAPPSVKHSVVPVAPAAQRKSSSKQSRAQPSIPLNPLPLKKHGCNRLPVQVCSEEDFLKDVMQFLLQRGHTRLIPQGGIAEFPDAVLNSKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMRNHTVTNKMTGVGNTLKRHYETYLLEYELAHDDVDRECCLLCQSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPNCSVAKSKKPPPHIRRARRSAASTSSMWFSGIQHPVLGSLIHDQSSFFVACSAAAAGDSLALTATFTGSFTATASAAAAYVLRPQLPATRPASREERIARAAIGVVARSGSGDHGKSLQCKRDGCTGDARVYGGGCACGCR >Et_7A_051696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2333715:2341051:1 gene:Et_7A_051696 transcript:Et_7A_051696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMRGAYGGSYDNGNDSRPLQGAAAAAPAPAEIAGMEVHKVLPPPPQSTASKLKGRVKETLFPDDPFRGFKGHPLGVQWLMAVKYLFPILDWLPSYSFSLFNYAKLASLPPIIGLYSSFVPPMVYAVLGSSRDLAVGPVSIASLIMGSMLRQAVSPSAEPMLFLQLAFTSTLFAGIVQASLGILRLGFIIDFLSKATLVGFMAGAAIIVSLQQLKALLGIVHFTTEMGIVPVMASVFHHTNEWSWPTILMGACFLVFLLAARHVSLRWPRLFWVSACAPLASVIISTLVVFLFKAQNHGISIIGQLKCGLNRPSWDKLLFDTTYLGLTMKTGLVTGIISLTEGIAVGRTFASLKDYQVDGNKEMMAIGLMNVVGSCTSCYVTTGAFSRSAVNHNAGCKTAMSNVIMALTVMVTLLFLMPLFVYTPNVVLGAIIIAAVIGLIDIPAAYHIWKMDKMDFLVCVCAFAGVIFISVQEGLAIAVGISVFRVLLQITRPKMMIQGNIKGTDIYRNLHQYKEAQRIPGFLILAIEAPINFANSNYLNERIKRWIEEESSAQSKQTELRFIILDLSAVPEIDTSGVAFLIDIKKSIEKHGMELVLVNPTGEVMEKIQRANEAHSHFRSDCLYLTTGEAVASLSSLAKMQIDAGSMAWVNIWLLALVNI >Et_3A_024468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21058616:21068852:1 gene:Et_3A_024468 transcript:Et_3A_024468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKGGHMEQSPIKAMCRSLWPSNQVTNKTTTADRLQLRSMAISAVQELLFVLGGAVALWFAWRALEWGWLSPRRLGRALRAQGLRGTTYRFPDGDLKEEERLVAAERAKPMPLWSHGISARVQPLVHNVVKEHGKISMVWNGPMPSVILGEPKLVREVMSTQFRHFRKSLLSYKFMKLVGLGLLTHEGEKWEVHRKIIKQAFLLEKLKKMGPAFIACTSELVSRWEDSMRSGNEKEIDVWPELQDLTGDVISRAAFGSSLSEGRRIFQIQSEQVQLATQMSSNLYIPGYTFLPTKLNRRIKANELEVEMLLKGIVTKKERAMKNGHADESDMLGLLLQSNAKDSQENGSSKPTMTMDDIIGELKLFYFVGMDTTSVLLTWTMIVLSMHPEWQDRAREEVMRVFGKNKPDPDSTNQLKIVTMILYEVLRLYPPVTLLERETYKEMELGGVKYPAGVKLMLPIVSIHHDPVLWGEDVDDFKPERFAEGISKASKDAPAFFPFGWGPRICIGQNFALLEAKIALSMILQRFEFELSPAYTHAPCPASTTMAIVDALEELLYGLGGLVALWFAWRALEWGWLSPRRLGRALRAQGIRGTPYRFPAGDLTEGARRLAAERAKSMPLQSHDISARVEPIVHDTVKEHGKTSMIWIGPTPAVILSDPKLVREVLSNKFGHFKKADLPSGFNKLIGMGLASHKGEKWAVHRKIINHAFLLEKLKKMLPAFTTCTTELVNRWEESMVPGMEREIDVWPELQDLTGDVISRAAFGSSLSEGRRIFRIQSEQIQIATNMTNLYIPGYTFLPTKLNRKVKANAREVETLLKGIITKRELSMKNGHADESDMLGMLLHSNAKESQESGSSKPMMTMDDIIGELKLFYFAGMETTAVLLTWTMIVLSMHSEWQDRAREEVLRVFGKKQPDLDGANHLKIVTVVLYEVLRLYPPVGLLQRETYKEMELGGITYPAGVKLFLPILSIHHDPALWGEDADDFRPERFTEGISKASKDTSAFFPFGWGPRICIGQNFALLEAKIALSMILQRFEFELSPAYTHAPFPPWSWLCFLGPFLALWWAWRVLESTWIIPRRLGPALQAQGLRGTAYRFPFGDLKEFARLAAAARAKPMPLSHDITPRLNRLYYDTIREHGKISVTWFGPTPRVIVNDPKLVREILANKFGHFQKRKNTGIVRRLANGLVSHEGEKWAAHRKIINPAFHVEKLKKMLPAFAACSNELITRWVGYVESDGRKEIDVWPEFQNLTGDVISRSAFGSSFSEGRRIFQLQSEQARNVVKLINTLYLPGFRFLPTKLHRTIKANAREVEALLRGIVGKRETAMKEGRASNDDLLGLLMESNAAESKQAGNSKPIMTMNDIIGELKLFYFAGMDTTAVLLTWTMVVLSMHPEWQDSAREEVLRVFGQNQPDFDGISQLKIVTMILYEVLRLYPPVVQLDRQTYKEMELGGVTYPPGVILSLPIVFIHHDKDLWGQDADEFRPERFADGISRASKDSPAFFPFGWGPRICVGQNFALVEAKLALSSILQHFSFGLSPSYAHAPFPVSTLQPDHGAQIVLSKL >Et_2A_018855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9337965:9340591:1 gene:Et_2A_018855 transcript:Et_2A_018855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPPSGSTMSAAATRTGSHVLKVEGYSRTKRLVSTGYCIKSAKFKAVGYTWRIRYYPNGCSTTQSAPGCFSLCLELASETTSNVHANFRFSLVRSGKMPADTPSGGGRQATFSCNNPLWISWELATKEEQKKLEAEYLEDDSFLVRCDITVMNKPVVRRHGLEQLGLICNRNDDKSKASGKQQSRLVVVVKKASDRLFGLQGDGIDPSPYLENATVGETKDVPGHLAFSAGQQCCSANTKLVPTVVKSTRLHNFSSHIFFSPSNLYLSAAAMRPSAIPTMASSSSSILGSVSVYTLGSMSLICM >Et_4A_034890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7651563:7651891:1 gene:Et_4A_034890 transcript:Et_4A_034890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFWLPSATPEATIKGQEKHRLKSLFPISFTEEKSDQKSKGSVEKTYMSLVAISTCGHVFCKKCYDKFLVADKVYLVCSKPFKERNLVPLEKGGT >Et_9A_061646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14852489:14859462:1 gene:Et_9A_061646 transcript:Et_9A_061646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDLYRPTTSLAAGRLSPLMPYDTPMDSTPAQLGPIGQTSSASTLILPLPIDEPSAEATMFDGRARTYHTVSAYCEGPECVTVVWRLELASVEADGVDALHVGVEKSPVLLDTALLQVLVKNGEEVRLDGAGMPRRSAADVVDQVLVVDGRVLRRRCDAAGGRPPALRHNQRHPGAAVFAHRHVKRVHSGVEEVLILVVAVLHDGARSREERVADVHGARVKYGYTLAMSGAPVRARKSSTGLMNPCASSVKSASDGGLYPPRIACDTDVVTLMLSSPAASSAARTFSMAGTRFCVALPSTSFPTQTHLKETVGYASMLLRTQDAAATGLAARVESTSLGAPTTTRMPVPPTA >Et_9A_063146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11881254:11891107:-1 gene:Et_9A_063146 transcript:Et_9A_063146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATVQIVCILVKNREVGGGALCPGRRACRYRRSRAVSFGTAIRVNLSNDKYRVIKLPPGINSGWYDDLHLGKSKQGVYGAFLHGRCRLQVLFLNESGDEAEWVLKHDIDMEAVSHISPYQDPWILHDGNLDEGNNTGDIMDENFRWDSDNDDVLDIEEMGAKYHYGDLSIFGFHPYKAIVFLYHPQMLPCMRDSQSWYRGRCTLLLLGFGTNKRWRLGRTQDIIVNFTQPDPERRPLSNWVCRSGRKCWSSYYPNTEKLNAFTGSINSRWENGEEDHVYTGTVPSKEQAMLLIRRCPFSTMVRIPSEKPQPFVKTIVKYF >Et_1A_007158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31475425:31477478:-1 gene:Et_1A_007158 transcript:Et_1A_007158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGYDDVDRFLLHSPLPEPHTAVALDQPPVPAATHVVVGPQDVPADDHALQEAPMMLDPAPTMMLDPAPATGFHAPGAVDAVERYHAVTTMEIQSPQLVAPAPEQAAYGFPEAPAPPLAATATGVDDALSLFEGMLHGDPVGQALYQQFMSGEDDLPAFDVGGDATGDAAAATGVDDAPGSQPLNDQFMNDGDQDGLAAIFRATDVGNAGGGAAVGPDDVRPVEEHPVFMPFVPGQLDCTNCHTVWESLHESANHRHYLVVHGTGLGTFHHLITDRTYIGDDGQSTTSEQLMHYLDLEQRTHDWVQRFIANLVKALSDHSSGPVNHSWSTSCAAASASSSTTPPVDDDKPRPLEFDMLKHILNAPATNEEAVAVPQTDPDQAPHQPIIQQAVENSQDDDIFHGTSWHGLDPPTMDSSNLSVQDGESSAAEYYSLLAEQRKRLSSMSMADVIKLLHLSKEDAAKQLKISASSLQRLCRKNEAGRWPSRRVYMQRICIFMCAIWLFPQINALSSKIKKLEKAAVRNVGTTGLFSIQEQIDKLMNPS >Et_7A_050875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11950761:11959197:-1 gene:Et_7A_050875 transcript:Et_7A_050875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNLIVTSDSDEEDGEGTATTASAVVSVASGSVGRSSAPNPSPLPIPFPSLSPPSDTVVISDDDEEEEIEEILDSDGDSPFVDASEDVPPPPPPPAVGTPTSVRAPTPTPPPAGTLTPARTSTPTPAPTRTPTPSPAPAPTPTPTTTPVPAWTPTSTPPPAGAPSPAAHPRSTPPSSALNGRLRPVDGFLRGLGLRLRPEWLESCAAGVPGFDSLGGAEAQARRCFEQFLFADMNTCGSGVLPEGVGSMHAAVLHGPFVLQVDEIVNISAPLRERYRDTHAGPKRCLKLSMTDGIQRIFGMEYRPIKDLEVLAPAGLKIVLRNVHIRRGLLMLVPEVIQFLGGVVDELEEARGRLVSEVNKPPRGKRKQGGLPLSSRATLAAWPCSTNVTNGGGQAISAPQTVNPSHPRGLGNAFQVGRTSETLVEEHISPPDLSTSLTRNNTETSAIPQYDHTQNTVGQSRHVQDINMKDLSTSLTQNNTETTAILNEYGRTQTIQQQSQRVQETNMQDLSASLTQNNTKTFASIPHNYDHKQTMTEEYVDPYIIANNSHEQAQSVHEVGRSSNVDDGINNMEHSISLSGENEKPFTYMISMLADWGAQQDTKAYIQGKIKGLITCVKSFQYKRRTQYELYVYIDDGSYISEALLDHKIVENWIGLSPGEVTAVLSGESMLASASAMKQTLRGLQSFLVKFEGMMLIEFNKNSALPIIRELNEGCMSSDAWLLLGRVKRFLSQSHSIVRPAGPHSSLISGDRSDGGCTTRRTPGKSTSHFPSVSVLDAPTLSTVRRREFQARTSGRQARRRYTPMYHLGSNTYLAPRHTSRTTVLAKSPTRSAGVGPRIAWSSTTMILLIIINDSSRVES >Et_9A_061384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10947685:10950379:1 gene:Et_9A_061384 transcript:Et_9A_061384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEVEEEGPREPLVPLRWESTGDQWWYATPIDMAAASGHYDVVRELLRLDANLLVKLTSLRRIRRLESVWDDDARLADAAANRAAVARRLLADCGAPRLVRAGYGGWLLYTAAAAGDAGFARDLLAAHPMLVFGEGEYGVTDVLYAAARGGNAEVFRLLLDAVLSPAASGVIGRQDGEEFRREMMNRAVHAAARGGSLEMLRELLRGCSDAAVYRDAQGSTILQAAAARGQLEVVKDLIASFDIVNTVDDQGNTALHIAAFRGHLSVVKALITASSSLIPATNEAGDTFFHMALTGFGTPGFRRLDRQIELVRQLISGSIMDISSIINVQNDDGKTVLHLAVVGNLHSNLVELLMSVPSIDLNIRDNNGMTPLDLLRKQPRTASSEILIKQLILAGGITNSRNLETRSAIASQLKMHCIVGSPGTSFKISDAEMFLHAGIDASSISERTESFSSIRRGNSEIQGPNLKKLNSFQDAAKHLKDLLRWPRRKGKKSAGVQKELDDDASSVDSIKSWSQGDTPTPLRQRYSRVSALFNNKRTFAAKISPKGATKMNGVIQTESPSASASWSSSSFIDKIEAVHLDKDQPSPNSSGRIKLTPKKYGSLNSRLMTQYLRLGSQGVTSRDATSGQQPNQILRPSHLSVA >Et_2A_015616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1661388:1674432:-1 gene:Et_2A_015616 transcript:Et_2A_015616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPRAQSERVTARARATNSDSDTDTETDMAPRRQEWRFTVPYDDCPVVSVKEGSDRKYYPSHPLLHGPYNTLEDFYGAAFEVLRSRPILLTPDIHHGHSAAPPLRGDGHPTQLPHHSAPGLLGLRMKQRLEKRMVDVAESASALTLQDPELHNTIDNNLPSAQHYPATSGPTIWVRRPPDWYLSFCIRMDRNQSFHVYPDLGRPFQSLHEAENAIDRYVNGLPRPARDTGAADHLFFAELSQHERVGDSVVSCCCMIKHNDNGISYPKNTDAYTGGHIDYDYLTYGGDPCSDSDDDEDTQVAKLWMKLENLVPAVADADRVCICTKSPQEPETSSSEECDEQDGATSTESQIRCTLYYPDGTPKRGPNSPGRKNPNYRERCLVQVVLDQYNDDHNRFGDHAYQLNGDVKFYWLDEDERSYYHFNFMAKTKVAGETDNLFFAELSQKQRFGDSVVSCCCIIEPKDKVLVALENHVWLLSQPSPETSGLCFGCIGAESPRMKHPNNTDAYAGGHEKYTDLTYGGDPCSDSDDDEGTQVAKLWMKLE >Et_2B_022217.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:14173310:14174083:-1 gene:Et_2B_022217 transcript:Et_2B_022217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYAPYASYLCSHPAATAITGDLGAGDFDRGGGAGDIQHLLDALIHDMDDHCSEGSFSDDSAASSSSEEQSQSSSSLASSSAGDHHHHHHHQQEEEKTKKQRAAFIGVRKRPWGKFAAEIRDSTRKGARVWLGTFDSPEAAALAYDQAAFSVRGAAATLNFPVDRVQDSLRALALSGSSAATAGAAGSPVLALKSRHSLRKRSPNKNKSKQATPQPEAAFAMQSSPPQQQQTPGGVVELEDLGADYLDELLRLSSY >Et_4B_038324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28060087:28075925:-1 gene:Et_4B_038324 transcript:Et_4B_038324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGKPQHGNGRSRSPFLTSYALTLAFITFVSVLYFKDFSSTLHQPFLHRPPRHHRRQISRPHGHHVSRRRRRSPPVRINLYSVLSSQQLPFAVGAAPAGCDVAQGEWVYDEAARPWYQEEECPYIQPQLTCQAHGRPDKAYQHWRWQPRGCALPSFNATLMLETLRGKRMLFVGDSLNRGQYVSLVCLLHRAIPESDKSMETFDSLTVFRAKNYNATIEFYWAPFLAESNSDDAVVHRIADRIVRGTSIEKHAKFWKGSDILVFNTYLWWMTGQKMKILRNSFEDKSKDIIEMETEEAYGMVLNAVLKWVENNMDPKKSRVFFVTMSPTHTRSKDWGEDTDGNCYNQTTPIKDLSYWGPGTSKGLMRVIGEVFSTSKIPVGVDSRTPGTSCSTRSSFSPELRWGIWESLLLAVLALVAAAAPFLLVAGQQGNGAGPLPFAVGAAPAGCDIAQGEWVYDEAARPWYQEWECPYIQPQLTCQAHGRPDKGYQHRRWQPRGCSLPSFNATMMLEMLRGKRMLFVGDSLNRGQYISLICLLHRAIPDGSKSFESIDSLSIFRAKDYDATIEFYWAPMLAERGADYDMSKDIVEMQAEEAYRLVLYQVVRWLEHNVDPKNSRVFFVTASPTHTEEWGDETEGGNCYNRTSPIGDASYWGSTSKAMLRVTGEVLAESRVPVGVVNVTQLSEYRADAHTQTYKKLWAEPTPEQRADPRSYADCTHWCLPGVPDTWNELLYWKLFFPANDQMTGSTPPRKGRGTAGAGGGDGLDDAWLVAEAAKRSHARAAVWGVSVRLRGHFNTFLLLVLVLLAFLAVSIGSRSGNGGAPQAPSTLSVTTKKVNGPGLQAPSTLSVIATNKVNGVEPVATTTLSATKKKVNDVELQAPTPTSSFSIKKGNGAETKAPVTLSATKKKGNDDVELLLPLTPLPLVVTTKKGKGAEPREATTVLPPSNGTGGRGDPGECDMSSGRWVYDDKAYPLYKESACKFMSDQSACQKFGRTDLRYQHWRWQPHGCDLPRFDAVKLLRRLRNKRLAFVGDSLNRNQWVSLVCLIDTATPTLHKYMSGNGSLVAFRIHEYNASVEFYWSPLLVESNSDHPVHHRIADRVVRAGSIAKHARRWNDADVLVFNSYLWWRRPSMKILWGSSFEAAAGHSRAVYEVTDGLRAFELAINTWAEWLERHVDRARTRLFFTSMSPTHLHSDEWAGPASGGAANHRCLGETEPIPADPQRQYRGRDTDPAFARAVEAQVRRLGARGVAVRVLNVTQLSEHRKDAHPSVHRRQWDPPTEARERDPSSDADCIHWCLPGVPDVWNQMLYAHLVP >Et_8B_058685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16186619:16187143:-1 gene:Et_8B_058685 transcript:Et_8B_058685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSVCYCVILPQPLILAVLLCLSSLGLAAPPAADDHPAYAAAAVPPAAPDLWALPTPAGVAPPPHPPAPAAVKALLPAVRYADLRRGAAAATCPAVCAVCLGALEARHRVRELGNCAHAFHKACIDKWVDKGHATCPLCRAILLPSGAGDLVAASSSSSFTF >Et_9B_065866.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15825408:15826655:-1 gene:Et_9B_065866 transcript:Et_9B_065866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPREDGEMEWPSSQETSAPRVHSRGPSDPSSSHRSRHGPPYAPRDDSISKRRRRGGGGSYDDEDAASSYGGSSRGGHPSPRRHEHTGGRLTPSMHEGLLGPRPRSRQRRRPQRPYGDYNPGQDQRMQQGYRGREQPQQGGFRGQERPQSGHQGYHGSRGNALPNFHMQQNSSSSSYRPLPGPPSRDDAYHSRKNQAQGPPKTGGYQQRDAQPFSGPHGREDAYHRRQNQVHEPLKTGGGYQHRDDDAPPPFSGTQGGRGDSYGSSRQSPVHEPRYIGGNRQHSREPSFRSLPPRLHGRVGSYVGRPNPAAREPGANTNGGYQQREAPHRRGHVPVRPYHPYARDTGDFDGADAGNQARHESRPNARDAGAFNSGNQQARHESRPNYQNQHDNTRNKNGGPVRSHHRPYGEVQK >Et_5A_042014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5280430:5282474:1 gene:Et_5A_042014 transcript:Et_5A_042014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGFIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVSLLTENGNTKDDLRLPTDENLLGQIKSGFADGKDLVVTVQSSMGEEQICALKDIGPK >Et_9B_065140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21492512:21498684:-1 gene:Et_9B_065140 transcript:Et_9B_065140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAAGGQRSSSSGNRTRMPTSSPFTNDGGGAGSPNRIERMLRERRDLFASPDAMDTDAAFASAGAFATDGLQSPANMEDTGGASAGNAARPPLAGHRSGFRRLGLRGMKQRLLVVANRLPVSANRRGEDQWSLEISAGGLVSALLGVKDVDAKWIGWAGVNVPDEVGQRALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRNFESQFDAYKRANQMFADVVYQHYQEGDVIWCHDYHLMFLPKCLKDHDINMKVGWFLHTPFPSSEIYRTLPSRLELLRSVICADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFKRAIELPAVKRHIDELKQRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWNDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEYVACQGSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIHHALTMASDEREKRHRHNYAHVTTHTAQDWAETFVCELNDTVAEAQLRTRQVPPSLPSQSAIQQYLRSKNRLLILGFNSTLTEPVESSGRRGGDQIKEMELKLHPDLKGPLKALCEDENTTVIVLSGSDRSVLDENFGEFNMWLAAEHGMFLRPTDGEWMTTMPEHLNMDWVDSVKLVFEYFTERTPRSHFEHRETSFVWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSESMVTPIDYVLCIGHFLGKDEDIYVFFDPEYTTETKVKPEGGSASLDRRPNGRSSNGRSNSRNSQSRVQKAQAASEKSSSSGHSATSSNHDWREGSSVLDLKGENYFSCAVGRKRSNARYLLNSSDEVVSFLKELATATAGNQSTSADYMFLDRQ >Et_3B_031720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8911496:8914909:-1 gene:Et_3B_031720 transcript:Et_3B_031720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSPAAAAGGQGWSTLPADLLLIAMSSMEVPDVVRSGAVCASWRTAYAAFRLPTPRQAPCLLYYARDACGGRATLYSPSTNATFRVPLLDEPSFAGGVICSAAHGWLLVADGAANPSLLNPLTGARAALPPVTTLERVKGSSVDEDGGVVYDVDHSLCPGKPETRQVTARRAQGWMYHRAAVSIPGADRDSVVVLLVHMPYKELSFARSGDDRWTSLSDLRPIDRHRGGHFDSVAYSHNDGRFYALQTTGAVHVLDLSRRPLPPAWLLACTLTGYWSKCTPYLVPAPCGGDLLVVTRRWRRSGESPADRGSDADKYVTTTDIQIHKIDAVSFRMEKLAPGPGDRALFLGRNSALCLPIERLPAPLSKRDCAYLTDDADSDTFSPMRRRDIGVWDFTSGTMEKLSDIGNGRDSYIMNEPVGSRQHSTPADGLSSKIDGILFHFIVRSCREGLIASSADQDTISF >Et_7A_052847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19810976:19820394:1 gene:Et_7A_052847 transcript:Et_7A_052847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSSRRKRKLKRIPASRFHPLSRLLFVVRSQAGASGSASRGRRRRRGSSPHAAAASRTSATPRGGDLRGSSPHAAAASRAPAAPFRVDLRGSPPHAAATSRTSAASRCVNLRGSSPHAAASRFSTAPRCDGLRGSSPHAAAASRTSAAPRCVDLRGSSRHAAAASRALCDDVLGDILLRLPSLAAVARAALVCKQWRRVATSSVFLARFRALHRSPLLLGSFVSAGLPYFGNVVSTDPSAVRGVFRGAQIRASGDRDIAAAAGGGDFSLEAAGLKRLRWRIMDCRDGVLLLATRDQFTLFDPVSRRRIINLRRPPSCPRSNMRAYHCCLLPPSRGDGEDARPPLPSFRVVLLEESFSDLVRAHAYSSDTGEWDSHHAPWARRIGMLACEASMHDADGRVYWKYASAGSGMLCLDTGSMEFSNVALPPVPNLHRLDAALYAVGDTEDGACCLLCVTRLAHHDTYALQVWLRNKEAGSWEPHKQVHGSLYLMTMTAEGGLSLYHVNQVCAVTAGIVLLKLLVSSGRSRYVAFSLKSLIAGLGCSSAFRLPLKADFVISPELKNQLGAQALAYPYIMAWPPSSMLQQQPPHRLIEMRTDQKLQCDKEWVEQVAERRAPSSQQSKRRKRNQGEAAAARAPVELLCDDLLKCILLRLPSVPALARAALVCTRWHGLPTEDDFLARFRERRLPPLLLGCFVTADDPLRIVFHRAQNQICPDRDLAAAVRGFLPADLEDDLQWEIQDCRQGVRLIANSCTAEFALFNPVSGRLVQLGRPPSCTSYAMNELHICLLPSCGGGGGHDALPVSFRVLLLEESYRDDQEVRARVYSSDTGEWNSHPWAPPSIDGPSMVFSNEAHPPGPDFLQGFDTEQYVVGDTEDGACCFLGVRPLSSGSSGRVLQVWLRNEKTGSWELHKEVDHFLYLMAEEGDLRLSPTVHET >Et_7B_053289.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:22237721:22238596:1 gene:Et_7B_053289 transcript:Et_7B_053289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYNFGSATVLLFLAFMCFAATLPPPANARDFPREDSTSAISDSKFSLSWLFGNTGDGHGSGTGHGFGYTISHVGSNTNIGFGGGLGGGTGTTDAGGSSAGGGVGVGVDVNINKGGVDVGIGVGGAGAASAHNGGISVGAEGGEGIGIHIGSGGVTVTHGGSFSVGSGNGGGASGSGVGRSGSAVGSGQGSGNATGATGSGTGNGYGAGQGGYGGGGGRGTGSGSHP >Et_1A_006509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23446049:23447041:1 gene:Et_1A_006509 transcript:Et_1A_006509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAGDGARACCGSGGLKNRGTGRFARKLVWLLKWIYISVFLIGAQRHSPEEKLYHQLLLMRLWLMQLVNHIAEHFTWGSAIYFLWHQSEHDDDLNLDKRVAHIIAEINDFEGPLQCTPTKRSLHLKVRERLLETPSTSSLDLDPRVDPTQLTQSTPTKERLTPPKRELLPPKRKLHPAKVKKKSKTQSYDDGSVGVDEEEGKYSNNNSLVAMSDNKYDTDLVVSFDSDIIDSSDFEYDPDDEIVDENDDDITHSYMMLTIHVLMWV >Et_8B_059053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1333143:1344961:1 gene:Et_8B_059053 transcript:Et_8B_059053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEELLERIRALEAGQAELKREVSELMRDCHGGAVRSRRPTPASRTHQRVGRAGLSVRHHRLIMQSLGQAVDVLDLQGKVLYWNRYAEHLYGCSASEATGQDITKLIINPWDIRALNTIIENIFKGKCWRGRFPVRNKPGHRFYVAVDATPLYDDDGSLMAEEELLKKVRALEEGQAELRQQVTKLRLPDRRSALRQPICSSSRRLRPALSHRHHLMVLNCLGQAVHILDPQGKVYWNRCAELLYGYPASEAIGQNVTKLLVHHSDIVAASSIIGDIFTGKRWRGKFPVKNKSGERFSIVTDGTPLYDEDGTLIGLICEELRKKLRALEAAQAELKREVSKIVRTETTGALCQQFVAALPRPAPRSSSRTNHQRLGRAGLSVRHHKLILQSLGQAVHVLDLQGNIIYWNRNAEHLYGYSASEAIGQNVAKLLVDARDIRALSTIMEDIFIGKCWRGKFPVKKKSGERFFVVADGTPLYDDDGSLIGLICLSADLRVLKEIIGFSPFIHRHVPDMACRYECSKTTGA >Et_3B_028284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12902107:12906475:1 gene:Et_3B_028284 transcript:Et_3B_028284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTLFGKFIEDRAWIQRSNKTKHASKKVVAANNPVLTSIATSLGDNSSGQSVLPKSTDESRLRENNDLFGWSIREELCAKFYEIEQ >Et_3B_027759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17730589:17731725:1 gene:Et_3B_027759 transcript:Et_3B_027759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDDCREGEEECQKTRFKRTRAELELRRDGGGTGRDEPTAPFLSLCLGTTISAIGATSRKRGEVNCATARARAGDASTVSLGLALGLRSYADGEPVGAAEAGSKKQRTSDSGDDSANGGKNAPPLARPGRVSFRARCSAATINDGCQWRKYGQKVAKGNPCPRAYYRCTGSPDCPVRKKLQRCARDASVLISTYDGVHNHPLTPYAAAMAEAMAASAAASSSSSSSSSSQDDAPLTAVPVAMRPPLPKGYYASGSSAVAISGSGAPPTASLSRNPMAGVVEKAVADPKFRAAVMAAVASYVGEQCSGKIGDLLTMAPPYAD >Et_5B_045532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3741707:3743767:-1 gene:Et_5B_045532 transcript:Et_5B_045532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDSKDMLKNVDWKTVGGAVTTESSQPVIKKRLPKKIRQRERGCVFLEKRKIFVMDLMARQPAQ >Et_2A_016139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21351446:21352165:-1 gene:Et_2A_016139 transcript:Et_2A_016139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPEIIHPLPAGYEDSFRAPKWVVSGGGGDPGLSQHGDLGRVFLAGDSAGGNIAHNVAMMAGRLEGAGEGEGSDVAARIEGAALLHAAFGGREAVDGETPEAVALSEKLWRAVCPEAADGADD >Et_7B_054822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4649276:4651948:-1 gene:Et_7B_054822 transcript:Et_7B_054822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDYFLQRMDGDQQAAAGDLTDIVRAGGAAMPGNAELPSTAAAEWQLQGEPLLFPPPPSSSGADVFGDPFSGLGDPFSSDYSSGADFLDAMPDAMAKVGFDTGIGGGQLLDMSRKPPLLAPRGVQMPSAVGFQLGPSSRVMPSPLSPRAIRPYPAAMAAGDMVKLGITAGQVAGCAIDAAVVGMQMSSSSPPPRSAAGGIKRRKNQARKVVCIPAPTAAGGRPTGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRNHHGKNGSGSGSKSSQNEKQKQQNVKEESKDQATTTATSTITTTTSTSPVAAVKEEALAGSEALGRPMDTTGAVNHDNIGLMDHVFSESYKPMIPEAGQPDDFFSDLAELESDPMNLIFSKEYMEAKPGGVDHAKEKAITKDLDPFEMLDWSNTSSAGSSFEQGKIG >Et_3A_025977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34387345:34388483:1 gene:Et_3A_025977 transcript:Et_3A_025977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AFSEDGELWEYFPCPFCYIEVEVPFICNHLQEEHCFDTKNAVCPICANNLGKDMAAHFRVQHSHLLKRRKPAKPSSWPAAANNTAPGLGAYEVNPYFEEPQHYRMSSRPYQDPAPDPLLSQFICSIEQSKDVISGIVNNESGVATNPDEQRLNNGVSYDTSKLGLEERLQRIEFLTEILMSTIL >Et_4B_037574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21355499:21356137:1 gene:Et_4B_037574 transcript:Et_4B_037574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVEYRCFVGGLAWATDDRSLEQAFSQYGEVLDSKIINDRETGRSRGFGFVTFSTEQAMNDAIENMNGKELDGRNITVNQAQSRGGGGGGGGYGGGRREGGYGGGGGYGGRREGGGGYGGGGGGYGGGNRGYGGGGGGYGGSRGDSGGNWRN >Et_4A_035716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4255609:4257021:1 gene:Et_4A_035716 transcript:Et_4A_035716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQSKRPTRSHESTEQVGNNKKKCSTEVTEPCGHVAGDKLAFADEEPLTARNMDHDDQAEMDDVDDDDDEVAGGRAAPSSCGLGEKKRRLALDQVRALERCFETDNKLDPDRKSRIARDLGLQPRQVAVWFQNRRARWKTKQLERDFNALRSRHDALRADCDALRRDKDALAAEIRELRQKLSKPETTVKLEAAGGNGNDAAEERLQATLGASATAVYKDGSSDSDSSVVFNDVEASPYSGAVLEHPPPPQPQPGFVGFGGLSLMDSSAAAIGCSPLPSFGTKWPHGSTYPSDSYKCGGSYGFTEEWLAGSDVIGNVDGAAGFFPDEHASSLNFGWCASGAEGWD >Et_5B_043601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12370796:12397933:1 gene:Et_5B_043601 transcript:Et_5B_043601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKGETALLASPTDFPVVGSGGSAAERRELGPAPTKSFFPSKVTKLPRRTTVDQELNDVLLELRATYDALLQKSSDTHRQIRKDGTYPFPARCRHALNVTSSAPQSSATRSDMMAWAAAGDEVPPPPPPPGSPPHHMPPPSSPGRRPHQMPPPPPPVRPPVEPRPPVIMPIIHSSWPQDCETVIALPSPQPLFPRFYLAINLQLLDALNSADIPFYIAQDNPGLYSVLLDCYKSPSPYIGFFLVKDRDALTSSDSEKNNNNLNGKEQLKALYRTGTLSEVLEYLTKFLPSIRGDYVVLKGCQRIRITGMVPDVDPLQVKVNSIEETPYDKDDNTIKATHGEVIETVRDVLGANDIQTYTQCGLVTKCGSRSVPVEKRQQHGERERERERERGDGHVYAQRDGADPRGHKKGRASPPFHAGGDVPLTPREAAAPALCVSAAALAFMPVLGHNINIFGCFIEEFQHDGNKIAHALAAIGFVASTEDNTIVDVLPHCIRVLVANVYCGTLVYKRLKLSHELVKKYVENRHRKEEEQHPREEEVELLYRTMDRAFADKILRRIEPKKEKYPFHVLQVIEEQLTKLQFTNMNETGRLYNYLDWLTVFPWGDYSDDIYDVCRAEHILKEDHYGLADVKERILQFIAVGQLRRSPPGKIICLSGPPGVGKTSIVRSIARALNRKYYRLSLGGLHDVENIKGSRRVYVGATPGKIVECLKLLGTTNPLILLDEIDKNDSFHDYYLDIPIDLSKLHIARDYLKNSTLEVCGLKPEQVDVKDDAPVSLIENYCPEAGVRNLQKHIEKVFRKVALNLVRQQNLNEPSQGSALMEANEEPSNTDARTDVEDPKSKDCPAEDGSVDANGASTRRSMEVFRDKGAEKVVIDASNLVDFVGEPEFQAELRYGDETPVGVAMGLAWNDTGGLIFYIEAVKVEGRAEKGALMVTGKIGNVMKESAQIAHTVGTAVLHQKEPNNTFFANSLLHLHVPEVGPLKDGPSAGCVMVTSMLSLAIGKPVEKHLAMTGELSLTGRILRVGKVKEKIIAAKRGGANIIILPSSNRMDVEKLSSNDVEGLKFHFVEKYNEVYDIAFACDDPTTMGRHSSEETQRSPSPPRKVFWLCDGEERYKVVGFVDNDRTDRKRKII >Et_6B_048322.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:12433509:12433721:-1 gene:Et_6B_048322 transcript:Et_6B_048322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPWPRRSAGYSTTRWPSRSSRYGRARVEGGRRGGATATAAMHVPEEDASYRRRRSCRRREARSPICPRR >Et_8A_057684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:680559:685007:-1 gene:Et_8A_057684 transcript:Et_8A_057684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLALTSPSLPAAGPAATTGQRRRFQRVRATAVNDEPKLNKYSARITEPKSQGASQAVLYGVGLTDADLRKPQVGISSVWYEGNTCNMHLLQLAEAVREGVREAGMVGFRFNTVGVSDAISMGTRGMCYSLQSRDLIADSIETVMGAQHYDANISIPGCDKNMPGTIMAMGRLNRPSIMIYGGTIKCYGEYVTGSITEEQRKNVVRNSCPGAGACGGMYTANTMASAIETMGMSLPYSSSTPAEDPLKLEECRLAGKYLLELLKMDLKPRDIITEKSLRNAMVIVMALGGSTNAVLHLIAIARSVGLHLTLDDFQKVSDQVPFLADLKPSGKYVMEDLHKIGGTPAVIHYLLEQGLLDGDCMTVTGKTLAENAKIFPPLSEGQQIIRPLDNPIKSTGHIQILYGNLAPKGSVAKITGKEGLFFSGPALVFEGEESMITAISENPSTFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLVQNGDKITIDVGKRVIDVDLTEEQLDERRRQWSPPAYKTNRGALWKYIKLVAPASRGCVTDE >Et_8B_060554.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20849457:20850704:-1 gene:Et_8B_060554 transcript:Et_8B_060554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLATAQELGKYYKRRRLPKYSKRRDLPDQLEARAHRLLKDAGWSITPRVRNDRPKMAYYSAAPQREVVVTSLAQAWKFCGHRLHAASGGSGWGKFPMEWSDVDQFWKDLVTAMDYVGKMTAEGKKPIPILRQWHILDPFVAVVFIDKRITALQKQKTIVAVDSSTRVVHDSNNRSSGDSCNGHKDVQYLGNRQETHVKPEDCCTQNIDPEVDSLRENRFQSNCEEGHICSRVNLGNNLVKRVRKKSRWLSDFESTGLNGLYAQSFTQPTIGSNQSGSCSTKSNMSKKHVKAENESGKLGGEKGDLLLRLAHERTNDTRGEGTTEVLVESNGCSEIKYDVTKLGAKKFVTAKRKQSRTLKKPSVRGSSKDLVTVSNGSNIVAELGHKRDATLVECSTSLEAQSQGRTVIKRKSQY >Et_10B_002795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11273935:11281213:1 gene:Et_10B_002795 transcript:Et_10B_002795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHYQAATLIASPCYPDAIAWSSENLVAVAPCHYPDALEGPREVVVLHRNDPFPIGVVNREDLFEPCLVPTCLARDNEPCVQSISWSQQGFAPNSGCLLSVCTVDGHVKLYRSPICEFCDEWVEVADYYKNINFGHDDISHLTSQKSVNAEHTEVLGCTHELQEPLSRRGPGRRAQKPSRVDGYIYHDNEDDLHATKDADFSLKPHTKVVKHGQGHVNGQGRYQSSKAPLSSNKANKSLPHITAKQYACRDALLSSLVVALSPVLSSSDRISCLSRDWCILAVGSKSGNVSSWKVCKPDYYTIDVGMVSREPMLIGVLQAHKSWVSAINWEASSASSSKLSLLLATGCSDGSVKIWSGNIEGLCQCTGAEEVPFICVAKITTNLPAPVSSMSLSAPARLQPEVNLAIGRVSGSLETWIWNTCSNKIENTSACQAHDQVDNSVRCWISHGNNLEQIPMHTNFPELKGSTDLSEVSDRCFGLTLAPGEQMIAVVCLRLLTQKAVVEFIWIGGRFLGTPIEQSSHICGQESLSLSVTNLWGSDILWSLKKFETGENAVVLWDVIAALQRLNKSAPAYLGTLMHKWVSDLFSDGSHHVSINASSYSRHDAVSKVSLWKLHLLNIICRKVMLRDHDKEPGAENSNATTDFWNELLVTSEREVREGLVSFTFHVVLKRMSYFLEGASVENNWFPVGVAQMDSWVSMTDGEMHKQLRSLRRRIQNLGSRISSVCEYSVEEACTYCSAHIPFESPDVAACSGNNPAGRHMLIRCRAFMRLCSVLQPVWHCVCCGGMVDKLLPESFFTMPASPLDANYDDSLDLSAPAVPLCPFCGILLQRSKPEFLMSVSPV >Et_10A_001397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2662894:2667134:-1 gene:Et_10A_001397 transcript:Et_10A_001397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGEGGPPPAAATLKDQGNEQFKSGNYLKAAALYTQAIKLDPDNAALYSNRAAAFLQLVKLSKALADAETTVKLKPQWEKGYFRKGCVLEAMERYEEAISAFQVALQHNPQNTEVLRKIKRLTQLAREKKRALDVESMRSNVDIGKNLESLKTELVAKYGDAGTGQTVFSFVVNVIESAIKDWHDTGKVDPKVNFILDDQNTDTEKYAPVVNIDKAFESPHTHSNCFTFLWQYSEDSFAKAACMVAPKSIISYPQVWKGQGSRKWKLDQSDGFFVQFESPVLRKIWFVPCTTEKGRTLCRSPETLDISVHEVFPRLFKETA >Et_8B_059051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12981632:12988381:-1 gene:Et_8B_059051 transcript:Et_8B_059051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALVAVVPSIEHRPTNKDVKLAVRVGSFWSLPDGAPKTYLRRRKLPLIDVAMNYELIQVVDFVVEHYMWGSKQYLSLWRDDDNSYCAAIKSDEQLHEWFQVNIESGVVPIYYQINDFEVSPTKRRFHPTVRNKVPINEGDTSKPATPTKERAPSKSATPTKERATSKSATKKRVKKSKRKGCDDEEPIGVDDEGIYDDTKVLSDSSYDSDLAASSDSDDGEYDPDDEIVDEDGEDDIPAFSCDVDNPCIDVGVLFCDTNECQSAVTQWCIVHDHAFERVNKDNTRFTAKCKRQGLQVEVLCIYGKEVNGCKVKISGDTMASNKWVTDRAVDLLLETPSMKPKGVVRGRERALDIIHGSTAGRTTTYDKKKGGGEEDSKKEEEDNKQVEEDVEEGEVEGGLVHEGLYGSMHQQKTSEARRTARLEVAAPVT >Et_7A_052657.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1122446:1123273:-1 gene:Et_7A_052657 transcript:Et_7A_052657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTYAPRHRMSATTLLAAKVGFASAALAAAASLARLAVPQLVSVGGAVFPRVWAVARFWLAPPYLFVTVHLIILVIWKLSDHKHFQAQAHHKDPWPVTAQQQQHAAAPAVHAVEEEAVVKAKVEEEFGAYGAGGYLELEPESSPDSGGADSCVTTESDEDNGASSAAPTSYSVEARRHRVAPVHEEHAALQRELSLPAPQDCEGGGGGDDDLDATWNAIMQKTRSAPAAPPPPAQQQSPARPPPRARDPSVGAEEMNRRFDDFIKKNRNSFGRQ >Et_8B_059655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20282663:20283967:-1 gene:Et_8B_059655 transcript:Et_8B_059655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCFAARAATPRPRQQRQRQRSASTIVRCAATAPAPMGEKTEYRDGPLERAFMGLFARKMEKKQQAENEKKRSVWDWDYESFVDVSRRVMVGRTRAQQQAAVREVLLSMLPPGAPDQFRKLFPATRWACEFNAALTVPFFRWLVGPSEVVEVEVDGVKQRSGVLIKKCRYLENSGCVGMCVNMCKIPTQDFFTNEFGLPLTMNPNFEDMSCEMIYGQVPPPIEDDPVSKQPCYPNLCSMSTPSAPADLSNSNALSFAITF >Et_2B_022875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7033622:7037806:-1 gene:Et_2B_022875 transcript:Et_2B_022875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPPNPLGIPMVGPGRDYACLPAGTEDKGAAWSLLVGFSSISSATDDHGFLGLHRLRVAGSGRVLGLSEDMLEVLTQDTLLPPHISGATPVSKTDDDDGRSALCLFISEKPVAADDPAVRRLRPLHLHLDLNSEKLRVTVSDTMPHVPLEPLMHQFNVCHK >Et_5A_041997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:546439:549482:1 gene:Et_5A_041997 transcript:Et_5A_041997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRARVAAQLPCPSPSPSSAPATSLARFARLSTVALAPPASAPPPARARAPPPFSLSARWGPPMRPCGSVGNSRPTTRVFCTATSSVPREGKELLVQHLLVGEKDVRLLVDLEKSIITGGADLSDLAVEHSMCPSKENGGMLGWVRRGQMVPEFEEAAFGAPLNKVVRCKTKFGWHLLQVLAERDQCILQDIAPEVLHEKMQDPSFIEEAQLIDVREPDEVAKSSLPGFKVLPLRQFGTWGPVMTDEFDPEKDTYVLCHHGMRSMQVAKWLQSQGFRKVYNVAGGIHAYAVKADSSIPTY >Et_2A_015507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1461044:1465038:-1 gene:Et_2A_015507 transcript:Et_2A_015507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTISSVKARQIFDSRGNPTVEVDIGLSDGSFARGAVPSGASTGIYEALELRDGGSDYLGKGVLKAVNNVNSIIGPAIIGKDPTEQAEIDNFMVQQLDGTSNDWGWCKQKLGANAILAVSLAVCKAGATVKKIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGVEVYHHLKSIIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKSAIEKAGYTGKVVIGMDVAASEFFSEKDKTYDLNFKEDNNDGSHKISGDSLKDLYKSFVSEYPIESIEDPFDQDDWATYAKLTEEIGQKVQIVGDDLLVTNPTRVAKAISEKTCNALLLKVNQIGSVTESIEAVRMSKRAGWGVMTSHRSGETEDTFIADLAVGSNQDRSSLPLLRIEEELGDAAVYAGANFRAPVEPY >Et_3A_024988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25909914:25913457:-1 gene:Et_3A_024988 transcript:Et_3A_024988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMEVHKNRWIEEWNAGRENLEFNFRWTRRSLAVVGLFGLAVPILVYKGIVPYAGRGRRASVQEVPLSGSPPPDVYLSGLAAKRRASVRLSAVCYMRWRTWKDFVNGCLMVLVPDG >Et_3B_030650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5957273:5960442:-1 gene:Et_3B_030650 transcript:Et_3B_030650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSVNTSRRLADGGLPSVGGLLHTKSRSPPVLTIALVVLGVIILIAYFNSGSGVTVTNREAVSRSEGSCTSEVMQALPYLKKAYGHAMQKVLHVGPDSCTVVSNLLKEGKVEAWGVEPYDMEDADGSCKSLVRKGFVRMSDIKFPLPYRPDSFNLVVVSDALDYLTPKYLNKTLPDLARVSTDGLVIFTGNPGQQKAKVSELPKFGRPAKLRSSSWWTRYFVQTGLTENEGPLKKFEEAASKDNYKPDCQIFHLSS >Et_5A_042244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:839508:840621:-1 gene:Et_5A_042244 transcript:Et_5A_042244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKVSTLILEVDLQCEKCYKKIQKVLCKLQPKENITKIDYDNKKNTVTISGPFDPQKLSKKLRCKACDVIKDIKTPEPKKPEEKKPEQKKPEDKKPEVKKPEEKKPEEKKPEKKPEEKKPEKKPEEKKPAVEDKKPKEDTKPTAAAATPPWSSTTTVNLQFMNIGCGSCRGIHGWPVVLPPPQPRCCPGPSACRGCNGCKIVHESKFSYEEYPNSACAIM >Et_5B_045007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8282144:8297786:1 gene:Et_5B_045007 transcript:Et_5B_045007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAASIKPSAASAAAATSKPAFMPLHLPPLPAAGTRPLSLSVSARPLYRQEPLFLAAAARNDRAAPPAATADGGRSVDVAAPAAPEETARRAKIGVYFATWWALNVIFNIYNKKVLNAFPYPWLTSALALAAGSAIMLVSWATRIAEVPDVDLDFWKALTPVAIAHTIGHVAATVSMAKVAVSFTHIIKSGEPAFTVLVSRFFLGEHFPAPVYFSLLPIIGGCALAAVTELNFNMIGFMGAMISNLAFVFRNIFSKKGMNGKSVSGMNYYACLSMLSLVILLPFAIAMEGPKVWAAGWQKAVAEIGPNFVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVASIIIFQTPVQPINALGAAIAILGTFMYSQPSAASAAAKLTFKPFHLPPLPAAVTRPLSLSVSARPLYRRESLFLAAAARNDRAAPPSATADGARPVGVAAPEETARRAKIGVYFATWWALNVIFNIYNKKVLNAFPYPWLTSALALAAGSAIMLVSWATRIAEVPDVDLDFWKALSPVAIAHTIGHVAATVSMAKVAVSFTHIIKSGEPAFTVLVSRFFLGEHFPAPVYFSLLPIIGGCALAAVTELNFNMIGFMGAMISNLAFVFRNIFSKKGMNGKSVSGMNYYACLSMMSLVILLPFAFAMEGPKVWAAGWQKAVAEIGPNFVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVASIIIFHTPVQPINALGAAIAILGTFIYSQPSAATAAATTKLAFKPLHLPPLPAAAAGPRPLSISVSARPLYRQEPLFLAAAARNDRAAPPAATADGARPVGVAAPEETARRAKIGVYFATWWALNVIFNIYNKKVLNAFPYPWLTSALALAAGSAIMLVSWATRIAEVPEVDLDFWKALSPVAIAHTIGHVAATVSMAKVAVSFTHIIKSGEPAFTVLVSRFFLGEHFPAPVYFSLLPIIGGCALAAVTELNFNMIGFMGAMISNLAFVFRNIFSKKGMKGKSISGMNYYACLSMLSLVILLPFAFAMEGPKVWAAGWQKAVADIGPNFVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVASIIIFHTPVQPINALGAAIAILGTFIYSQVVSHVSLKSVMPTKYRFIT >Et_1B_011196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18600430:18605260:-1 gene:Et_1B_011196 transcript:Et_1B_011196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAGAKKLPLLALITVLLLLLLQPCAAARPIAAETTTGTIDGSRSQHLNLKGSLLRGPESVAFDGDGAGPYSGVSDGRVLKWNGDARGWSTYAYSPGYYNAKACTASRRRPAEITESQCGRPLGLRFHYKSGNLYIADAYKGLMRVGPGGGQAKVLVTKADGVPLRFTNGVDVDQVTGEVFFTDSSTKYRRSQHERVTATGDSTGRLMRYDPQTNTVTVLQSGITYPNGLALSSDRTHLVVALTGPCKLMRHWIKGPNAGKSEPLAELPGYPDNVRADGRGGYWVALHREKNELPFGPDNHMLAVRINTDGKIVQVMRGPKSVRPTEVMERKDGKLYMGSVELPYVEVTTEIASADKKKLRNDESQHEGAQDDDSCFAGNMVQHGQKIPHHCEYPQRVRFLLSGIAMEVLSDLFHCSKSRLFLAPDLFNPQFCFLQKITHSCEELLALGALLLQQEDRLDLPKTTFKL >Et_5A_040123.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:12956179:12956702:-1 gene:Et_5A_040123 transcript:Et_5A_040123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPIWAVSLLTLFGCVDPINTYIGLDYKGPLSKIIFQLCLYCGYVLLMSISTISSVAGNLAIGILSAITFIKGFHRSMALVLPSLIREEIGDFNSKESGALVGNGEYLKVCLFGYIAERTGGVKKMIPASVKMAEIHSSCNHMGERWSEVTAKDKATIEDVCLAYSLSHLL >Et_8A_058185.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23032023:23033321:-1 gene:Et_8A_058185 transcript:Et_8A_058185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSSGSLAMVLSLLLLLSRPGSVAAYGQAPSKPVVTPIVKDASTSLYFFPAIVSNSQLMVDLAGPLVWSTSCQQGHRTIPCKSSVCKVANRDRPTGCASAANGGEPGNADPHCACTTYPYNPVSGHCGNGDLTVFPLSPTITDGEHGLSQVSFNGFGSCAPDGLLGSSLPPYFTGVAGLSRQPLSLPSQVASKLKLPNQFALCLPSDQLYTSVALFGGGPFVLQSAAGPVDVAHEVQASQLPLLKNRKNAGAYYFRVHGIAVNQELVPIAPGALDLNTHTGRGGVVFSTVTRYTTLRSDIFGALLAAFDRATSGIQWVKPPPPHFRCFAASSFPSTRLGPGVANIDFMLDNGQNWTLPGASSLVQVNEGTLCFAFQNMASEAPDAPAIIFGTHQMENNLVQFDLDKSTFGFSGLLLGRGTHCGNFGSMKS >Et_8A_058176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22938329:22940894:-1 gene:Et_8A_058176 transcript:Et_8A_058176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQGPHAAHELQPGQEVSVNQSLFCFQPNPGATTSSGVFAGDDPLKFYFPLLLYHVCIVFALSRGIHAVLRRAHFPLVISQILAGVLLGPSFVGKLLPHVGELFATPEGWIQINTVGGYAFMLQIFAIGVKTDLGMIAKSGKKAIAIAVLGTASPHVAMYAAGAALGDRVPEAWTRTLMLTNLNAWWSLTAFIVVCCTLDDLHLLSSKIGRLAMSSALIGDFANTFCIAGVTSYILASSPSEKLQRIGFASSLAFAGFIAFMALVARPAILRIIRDVPEGALLTEARLVAVLLITITCSLAGEILGLHATYGPFMLGLMLPGGAPLGVTMAERLDRLVAGVLMPLLFAQCGMRLDVYKIRDASTCILLEVFLVVGVVAKFVACVLPCLYCRMPVREAVILGLMMNFKGITESVFASAFMDSKVLDEQAYAVLMLTVLALGAATACAVKFMYHPEEKYVAHRRRTVQHKRPGEELRVLACVHSQADVSPMLAFLDASGPSPACPVAVYLLHLAPLAGLTTSVLRPFKPGGHRNSVPSGATDSARIVNAFHFFVQQRPPGSASLLPFVCIAPYATMHDDVCAVALDKRAMLIVVPFHKRLAIDGSVESTTASAGAVQAANTNILNYSPCSVAILVDRGSLSAAAPDGGFPHRVALYFLGGPDDREALALATHMAEDALIGLTVRAAAGVVQARRRRGPPLDEEAMEEYARRWVDDHRLMYSENLVNGSDEMVAVIRKTSPEFNLLMVGRRAESSESPLTAGISDWSEHLELGVLGDLLTSTDFGCRISTLVVQQQTRAAAGETGRSPEKQGQQRSDAHV >Et_7B_054075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1519197:1520392:-1 gene:Et_7B_054075 transcript:Et_7B_054075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANEGSPGPLADEFETEESGGSGSSPTKRRKIETTTELAAGSNTKTGAQTQPGITSAAASGDGSSNASPAASTMNNPPTRLRPPPFPEGGTSQEVHKWSKECNKIYKILAKDPRRKLPTQRKKPKDPGTAAAVQTSRDKAMIRGAAQSVVSVSSVTHDGSREQQCSGIVMGQRESNGRQHTVIVTSARTVCVRLGELLDPVPKLSVRLPNKAVVDGQLLFFDDHYGIARLLLDYARTMVTRDDDSSLMVRDGEILWSEESFLLRSHQMKLSCKIPPGGDGGSVIDHDGNVTGMALRSGHDSNFKFGRIARPVHGLELRTFELLDVSLQEEIARDHGIDGGFIVDSVSYDSAAESLGILRGDVIVSIDGQPDLTLPQA >Et_1B_010003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17698332:17699130:-1 gene:Et_1B_010003 transcript:Et_1B_010003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIADLIPAKKPLPAKKRLRRDLDDDNDDDFEAAFEKFEGDSEEEDECKTDDEEREVVVLLPFGRKPLSPRERHARTVTRRGSRQYHGVRQRPWGKWAAEIRDSVRGVRVSGSAPSPPPTPPPAPTTPPLAASAAPRPSSTFRPLLLRRLITARDAAPTPPAQQARRPRLLHSCLRPFSSKRASARSCLQEKPRWRCLCYKPMLPGAPGSQRWWTRTISTAS >Et_3A_025852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33297525:33303519:-1 gene:Et_3A_025852 transcript:Et_3A_025852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLYPVSFSAIASCAPDSLLANLPAAAVGVAGLASSRLALPAQVARTQQVANKFLLCLPRRETEGVAIFGESPFFTVPSGPVDLTASLVYTSLHTKLNSPAFYISATAIAVGKAQVRLPADTLATGGVVFSTRAPYTALRPDVYRPVVDAFDKASGWNDLKVPAVPPFELCYNASRLPNTIIGRLAPDIDIMLGDSKNYSFGSFESIVEVQEPRASCFAFVKMEVDKVGYGMAPAMEIGGFQMENNLLQFDLEKMRLGFAKVPFWTACALFNFTQVASPTMARSRSVLLLAVSLCYLSTSPWCTLAADGGKPLVTAITKDATTSLYTAPLKDRRPLVLDLSGPLIWTTCDDASHQTFECHHTECAHAHSYHPPSCRRTGYGVADEKDRFRCKCTAHPYNPISGKAGSGDLTRVALSANATDGKSPLYPVSFPAVASCAPHSLLAKLPAGAVGVAGLARTKLALQAQVARKQNVANKFALCLPSGSGQGVAIFGGGSLFLLPPGRPDVTEGLVGFQTPLLRRNNPEFPGYFVSAKGLAVNQEQVPLSSQGPLVVLLSSRIPYTVLRPDVYEPFVAAFDNATAERKRAPKVGPFELCYDSRELGSTRMGYAVPQVDLMLEGGGGGNWTVFGGNSMVQVNDNTACFGFLKMKVDNYKGGRSGYGAAAPAVVIGGFQMENNLLVMSLHCSSLVLVLWLLLVSPPTTQAAGYNPPSKPILARLTKDKSTSLYSTTIKHGGLPLAIDLSGPLLWSRCPPAHRTIPCHDSVCRTINQNLPVPASCAYASGGGQQPGSTTDPNNCVCTTSPYNPVSGRCAHADASTFTLSPEATDGKNPLFPVTFMASGSCAPEELFASLPAGSWGVAGLSRLPQSLPTQFASILKVAKQFALCLPGGTGTGAAIFGGGPFQLLAAPPVELAEGLRQNQLPFLKNPKNGAYYFRVTGVSVNTVPVALPPGAFDLDAGGSGTGGVVFSTVTPYTTLRSDIYRPLLNAFDAATSGIARAPPVKPFEMCYQASALGVTRLGFAVANIEMFLDGGRSWLLPGGSSLVQVDDQTVCFAFLEMSSATALLPGSPAVIFGGFQLEDHLLLFDLDKETFAFSGPLAGIRTTCSNFNFTTGSS >Et_1A_008688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9928527:9931333:1 gene:Et_1A_008688 transcript:Et_1A_008688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYWLTNGRGIAKKIRYAAHCANRQISELGAEAQRECPNCKHYIDNSDVAMQWPGLPAGVKFDPSDLQLLEHLETKIGLGGSEPHMFIDEFIATVENDEGICYSHPENLPGMKKDGSVVHFFHTTSKAYGCGQPKRRRVITCSDRTVSDKHLRWHMTGKPKPVYDNGVKKGWKKIMVLYKTLRGGKPERAPWVMHQYHLGEDKDEKDGELVVSKIFYQSLAKHMENAETEADDEEPDAFAAGIGPKTPKTNTPQPRRANNSPCETEPNASILLDQDEELTIPIAGDDDAGSLVWCAEEREQQAVGEASRAQPGSDESLLCLEDPASLNDVTLLPMNYPILSQCRNEMLDSNLNAFYGLADLSNVDLGTPPDLQLSDIQFGSQESLGSWLDRI >Et_6B_049393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4292939:4296176:1 gene:Et_6B_049393 transcript:Et_6B_049393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VYYHAFFNGTWRNANLSPYILYESTETSTGLQQWSAEPVLSLCLISSHVLTRRACEAPPHASAHGLRLPPGPCLQHIVVGQLPDLARRHGPVMLLRIGEGPTVAHDMLKTHDTAFASRLDAITLCVITLLHNPTHLHQMEIQYYLYLGLALLSLLVVLTKRRHNRASHGLRLPPGPWQLPIIGSVHHIVGHLPHRAMRDLARRHGPVMLLRIGEVPTVVVSSREAAREVMKTHDTAFASRPLSPTLDAITNGGRDIICAPYGEHWRQLRKIAVTELLSARRVLSFRRVREEDVAAMLRDIAESSSRPVQLHKRLCTLLSDITVRAVVGDRPWSERDLFLRQLERVNELGAGFNPADLWPSSRIVRRLSGATRRAEECRKTMFGTLEGIIREHLESLDSGGDGCDAPDLVDVLLKIQRDGGLPIPLDMDVIKGVIFDMFAGGSETAATAGEWVMAELIRNPKAMQRATAEVRNMFNARGTVPEQGLSELRYLHLVIRETFRLHPPAPLLLPRVASEPCRVLGYDVLPGTTVVVNAWALGRDEGYWPEEPEEFRPERFEDSEVDYKGNDFELLPFGAGRRMCPGMAFGLANIELSIANLLFHFDWEVPGVADPAKLDMTEAFGVTVRRKTGLLIRPIVRVPLPDAEQRCCTKGFLA >Et_1A_008906.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:1862087:1863397:-1 gene:Et_1A_008906 transcript:Et_1A_008906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLEDELFPSTPGKVKIERAGGAMNRQLHRCFASTSTMFLWALFLVAMTASYLSFQSFVDTSHKYFAASWGGLHWERQIRASAAPRRPSGAGMSVLVTGAAGFVGTHCSLALRKRGDGVVGIDNFNAYYDPSLKKARKSLLASHGVFVVEGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNIAGLVTLLEACKDADPQPAIVWASSSSVYGLNDKVPFSERDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKNHVDLARDFTYIDDIVKGCLGSLDTAGKSTGTGGKKRGPAPYRIFNLGNTSPVTVPTLVSILEKHLRVKAKKNVIEMPGNGDVPFTHANITLAREQFGYKPTTNLDAGLKKFVKWYLSYYGYTRGSKNLRQ >Et_3B_029662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26903214:26905241:-1 gene:Et_3B_029662 transcript:Et_3B_029662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLFVEKLQAGLFASVVVAIAVSKLRGRKLRLPPGPVPVPIFGNWLQVGDDLNHRNLAALARKFGDVFLLRMGQRNLVVVSSPPLAREVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVQQYRAGWEAEAAAVVDDVRADPVAATEGVVLRRRLQLMMYNNMYRIMFDRRFESVDDPLFLRLRALNGERSRLAQSFEYNYGDFIPILRPFLRGYLRICKEVKETRLKLFKDFFLEERKKLASTKPMDNNGLKCAIDHILEAQQKGEINEDNVLYIIENINVAAIETTLWSIEWAIAELVNHPEIQQKLRQELDSVLGRGHQITEPDTHKLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLGGFDIPAESKVLVNAWYLANNPDSWKRPEEFRPERFLEEEKHVEPNGNDFRYLPFGVGRRSCPGIILALPILGITIGRLVQNFELLPPPGQDKLDTTEKGGQFSLHILKHSTIVCKPRAL >Et_6B_049093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17735108:17737848:-1 gene:Et_6B_049093 transcript:Et_6B_049093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHSNGRLAAAVLLLAACLSSCAVQAVTSAEASYIAHRQMLAMKQAEANNAGGDLLPSEKADFEFDDRVFGSGAGGGVATFPNPRLRRAYIALQAWRRAFYSDPRGFTSNWAGQDVCAYAGIVCVPALDDPSVTVVAGVDLNGADIAGYLPPELGLLTDLAFFHINTNRFCGIIPKSMSRLSILHEFDVSNNRFVGPFPFVALDMAALKYLDIRFNDFEGELPPALFDKDLDAVFVNHNRFVGPIPENMGDSTASVVVFADNALVGCIPKSIGRMAGTLDEITFRNNKLDGCLPLELGELKNTTVVDVSGNALVGALPEQISNLAKLEQLDVSRNVFTGVVHEAICELPALVNFSFAFNYFNSEAVPCMPSDKAEVSLNDAGNCLGALRPAQKTALQCAPVLVRPVDCSKHACAGYPTPSKPPTLPGKPPMSPTDSPKGPEVPAPAPEPPFGPGPIEPKVPAPAPVPGHVPVKSPPAGYAAPPPPTSDWLPTAPERPKAPPPQVQPPVVVSSPPPVPVNSPPPPVKSPPPPAPVTASPPPPVKSSPPPAHVASPPPPVKAPSPPPAPVASPPPPVKVASPPPTPVASPPPPVKSPPPPAPVAAPPPPVKSTPPPAPVSSPPPPVKSLPPPAPVASPPPPVKSPPPPAPVTSPPPPVKSPSPPAPVSSPPPPVKSPPPPAPVKSPPPPEKSQPPPTPKVSPPPEEKPTPPPTPVKSPSPPEKSPPPSAPEKSPPPPEKSSPPPTPVKSPPPPENSQPPPTPKISPPPQNKPTPPSAPVKSPPTPEKLQPPPSPVETLPPPAKSSPPQLTTTTATKVFATTCSSKLTTSSHSCEFTTSPGEIATSTSQLTTSSCEVITTTDATKLTATTSKNTTSSSTRELTTTSSAVSPTGTS >Et_1A_008118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4546912:4550083:1 gene:Et_1A_008118 transcript:Et_1A_008118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATPSKRAKTAAFAAGTPDKLRKAAVADQILTPEKPAHGLAAATAAEQIWTPEKPEQRPMTRGRGVAFSVKGVRRAALGLRRPEKGPAEASPKEDELESLEKELGVGAGAVRSPVKRKAEVKLPESYEMLCEFFNCLESSTRLLRMKGSKATFPNLCASIQHLSERRFTYSHLAQLKYIMPELIVINKILLRDESTCCMKPDLQVNLLVGAVESVAKQKGETAYSALRRIFRQRIVDFFRDHPKGDDIPEHELPHPFNGTRLSVPQAAARIVPESASPIESSELNELQPAVMSHMSPSFKKRFSRPSRKASMISPVVKVESIVPSPLSRNTLYGSNASGSLSIDAKSNAKQVPEDDKDVSKSGAPEGTPAKFVSTPVRLMASTPDLKTPKRPISATGYDTPPLKMVKRSARAKLFTTPTRGTSSMDGASLSASASSVDSDDESLSFLPQSLLQSVKAKEQRALKEKETGFADQVKRQKLIASLPSTFDVIFLIYQSKQRSVMTKQELVHKIIASSPKIMDQNEVEDQLTLLEEFVPDWISEKTARSGDVLCCVDVTLSQTDLRQRLSG >Et_3B_028142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10892988:10896403:1 gene:Et_3B_028142 transcript:Et_3B_028142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRQLNMGAEKDRSEISGPLLLLQLWCWSHLPLGRPKNIVEKRKERDEQEEQVEDEETGLDYTPVVGAKWCARHQFFAPHNSNEISKLQKVKHAGGKTRNSEKLHGKYLQQYNNAEARMVQEDLPFNHASLQKYRQWFQQNGMYTVFFDSQCLGGLEDPIPYPRIHLNGLNTCLAGLREIKNAAWRIKCVITGGCNKLGKKFWDLNLEPRLHNMLHEAGLPTEVEDIPSDDDVSAVVHPPSPSREKSLELMDDWVYFGRGFTRDLNAGARAGDGVSITQDASQVTQDNLAASNRDHSTIPVEWGEKCI >Et_3A_024804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24452767:24454109:1 gene:Et_3A_024804 transcript:Et_3A_024804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPRGAICLMAASVVLCTAGLRSDASRSAAAIPFDDYEDEGGGGDDSSSFSFTSPETGSRGLAFGFYDETCPDAEEIVSSAVRRLYREDPNVAAALVRLFFHDCFIHGCDASVLLGRAADGRKSERDAAPNQSLRGFGAVEQIKARLEAACPETVSCADVLALAARDSLVLVGGPSYPVLTGRRDSARSFYDEVEAHFPGPNATYAVTLDAFARRGFTEREAVALLGAHSIGKVRCKFFRDRIYDFAGTGEPDDSIDPDMVGEMRAVCGGDAEAPLEMGYYRQGREVGFGAHYYAKLLEGRGILRSDQQLTAGSTVRWVRVYASGERGQEVFREDFAHAMVKLSALSPLTGSAGQIRITCAKPLNRNYRA >Et_8A_057234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22567006:22568729:-1 gene:Et_8A_057234 transcript:Et_8A_057234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALKMPLLPPILCLLLLLRAASGEQQQSSAGCGRLDRPLRALEPTRRVESEAGATELYDERDAQLSCAGVSAARIRIQRNGLMLPSYSNSPRLVYVLQGRGNVGVVIPGCPETYQQTMQMQEDDDDERRERRGEETESESEGRSRRGYEEQYAIRDEHQRITAVRQGDVVAIPAGSPFWVHNDGDQTLVAFAVYDVSNNANQLDKTFRHFRLAGGQTRTTQQQRQKQRYGESESEESESEQERGRSESYNILSGFDTELLAESIRVSRETARKLQGRDDRRGNIVRVRRAHLRVLHPKTTTTEETEQEKNGVDEALCSMKLRENVADAAKADVYTPNGGRITVLNSQKLPVLKIIQMSVNRGVMRPNAILAPHWNINAHALVYATSGRARLQIVSNAGRRVFDGELRRGQAVVVPQSFAVMARAGEEEDGFAWVSFQTTDNAMNAPIVGKTSALRGMPADVLANAFGVSQEEARRIKFGRGQEMAIFSPASGGGRSPSKRPRDVVLAAVA >Et_10A_000656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14746500:14753177:1 gene:Et_10A_000656 transcript:Et_10A_000656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLCCIAPVSLDHAADQLHRQPPRILAAAPSPSPAPAPQPQPPAAAVAGVLHKWVNYGKGWRSRWFVLEDGVLSYYKLRGGGVAGNAKAAPEAAAAATVIGREGGALRRAREEAAAAGKQWKPFGEIHLKVSSIRASKSDDKRLYIFSGTKTLHLRCDTEEDRNAWIDALLAAKDRFPRSLTANDFGPTADIVVSTEKLRARLLQEGLDETVVKECETIMMSELLELHNQIKSQQQQHSILIDRLRQMETEKVELERTVVHETKERETHGYANGRYSDFYSVVSGGSASESDPDTASQVAEVETDEDEVTYFDTKDFLSAESLRSVSCRRRELIANGCNGSEYAGESITYTVKSTQYPFVRRRDKLPEPKEKEKPIGLWSIIKENIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDEALQWGKQGDSLMRILHVAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPVVVACHCEGRGWKFWGDSNLKGKFWGRSIQLDPIGVLTLQFDDGETFQWSKVTTSIYNIIIGKIYCDHYGTMRIKGSGQYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGQKVAMLIGKWDEAMYYVLGDPSAKPKGYDPMSEAELLWERDKSINQTRYNLSPFAISLNELSHHLSKKLPPTDSRLRPDQRHLENGEYEMANAEKLRLEQLQRQARRLQEKGWQPRWFRKDDDDTYRYIGGYWEAREKGNWDGIPDIFGQNIVSPGPS >Et_1A_008076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4136614:4144619:-1 gene:Et_1A_008076 transcript:Et_1A_008076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLAPHFLLLPLPTASRHALSQTQTPAAARRRESEEYKSRRVAKLVAEVREFGEEVIDYNELAGIYDFPVDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFRDFRNTFGDHNVGLLTGDSAINKDAQILIMTTEILRNMLYQSVGMTTSEGRMFQVDVIVLDEVHYLSDISRGTVWEETVIYCPNEVQLICLSATVANPDELAGWISQIHGKTELVTSNKRPVPLNWHFSKKFALLPLLDGKGKKMNRKLRMSHLQNLSSAKSEFYYVKGKRKLRGNKNEQGNRSPLDISKQVQLSKHELSNMRRSQVPLIRDTLSQLWENDMLPAIWFIFSRRGCDAAVEYLEDCRLLHDFEASEVELELRKFKMQYPDAVRESAVKGLLRGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAVISSLSKRIDAGRQLLTTNELFQMAGRAGRRGIDTVGHAVLVQTPYEGPEECCDIIFAGLEPLVSQFTASYGMVLNLLAGSKVTHQKESDDAKVKRSGRTLEEARKLVEQSFGNYVGSNVMVAAKEELERIEKEIKHLYSEITDESIDRKCREELSEEDYSEISLLQKRLKEEKQIRNELKRRMELERMAAWKNRLEEFESGHLPFMCLQYKDKDSVQHTIPAVFIGNLNSFADQKIANMVEDGSFGSGKEKFDSGEQMYCPSYYVALSSDNSWYLFTEKWIKTVYKTGLPDVPSVEGGPLPRETLKQLLLREEMMWDKLAKSEYGSLLSMDGSLDTWSWSLNVPVLNSLSEDDEVERFSEEHQDAVECYKQQRRKVSQLKKTIKCTKGFKEFQKIIDMRNFTKEKIERLEARSRRLTRRLKQIEPTGWKEFLQISKVIQEARALDINTQVIYPLGETAAAIRGENELWLAMVLRNKVLLGLKPSQLAAVCGSLVSEGIKLRPWKNSSYVYEPSSVVTGVISYLEEQRHSLIDLQERHGVKVPCEIDAQFAGMVEAWASGLTWREIMMDSAMDDGDLARLLRRTIDLLAQTLTRFSRRTHKWLVASWTEYRLAS >Et_2B_020244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18275445:18276707:1 gene:Et_2B_020244 transcript:Et_2B_020244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTPRREEKGRAGAKADALKWVLANAAAGSDPWLSQYGDLSRLFLAGDSAGGNIAHNLALRAGQEGLDGGARIKGVALLDPYFQGRSPVGADAMDPGYLQSAARTWSFICAGRYPINHPYADPLVLPASSWQSLGCSRVLVTVSENDRLSPWQRAYYATLKGSGWPGDAELYETPGEGHVYFLTKRSTPQALAEMAKLVAFINRD >Et_2B_019970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15776033:15776755:1 gene:Et_2B_019970 transcript:Et_2B_019970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDMDDDEDIWANTTTSPSASPPQPACGFISTQLSLNSRLHLLSSAAAHGSPPDRSGGGDGIYAANGHHMGLGGGGGFRNAAASQASFFSYGLDAVPAPLDAGAGRGALEHEMGLGPAAAASWAGAVGGTDRRKKRMIKNRESAARSRARKQAYVRELEREVKHLQQENQSLRDKYEQLRVSVEVPVPLPVKKTLQRMPSAPF >Et_9A_061025.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:10662016:10662057:1 gene:Et_9A_061025 transcript:Et_9A_061025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREGVYLIVSSI >Et_4A_034454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3282795:3291173:-1 gene:Et_4A_034454 transcript:Et_4A_034454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSRSKRLAVPAALLLVMMAAAAAGVAAKADLEHATETGHKDEESWTEWAKEKISEGLGLKHADEEEAARKAGETVKSARETAQGAASEVGNKAGQAKDKATETVKGAAGEASSKAGYAKEKAKQAGQAASDQGAEARERSKQGKVKVEETAKEKAGQGYETLRQTTDAAAEKAGDAKDTAAEKAKAAKDAAAEKAAAAANKAGNAKDTAAEQAKAAKDAAAEKAAAAANKAGNAKDAAAEKAGNAKETAAEKAKAAKDAAAEKAAAAANKAGEAKDAAWEKAADTAGATKDAAWETAEKVGEKARQSKDCAKGKATGAWETAEKAGEMASEGYEKVKEVARETADAAKERIEDVKDRVTGADGEKKHHHRDAPGKHRTVDELAMAIVSRSKRLAVPAALLLVTVVVVAAAAGVAAKADLEHATEVGHKDEESWTEWAKEKISEGLGFMHADGEEASRKAGETVKSARETAQGAASVVGKKAGDAKEKAGEAATGSSNTAGQAKDKATETVKGAAGEASSKAGYAKEKAKEAGQAASDQGAEARKRSKQGKAKVEETAKEKAGQGYDTLRQTTDATSEKAGDAKDTAAEKTKAAKDAAAEKAADTAGATKDAAWENAEKVGTKAQQTKDCAKGKATGAWETAEKAGEMANEGYEKVKEMARETEGEGRVREGEKAGEKASEGYNKVKGVARETTDMAKERL >Et_8A_057747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6769883:6771522:-1 gene:Et_8A_057747 transcript:Et_8A_057747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDVVETTLVAPSEATPRRALWLSNLDLFVPHKHTPLVYYYPAPTATEEEGGFFAPERLKSALAKALVPFYPLAGRLGVGEGGRLQIDCNGDGALFVVARADFTGEEVFEDYRPSPEIRRMFVPSTAPSGELAMFQVTFLQCGGVVLGTGIHHVPIDGIGSFHFIQTWTRLSRGLLLDAAAAGDLPVPPFHDRTLLRARSPPSPTQDHPVYSLALLLDASEPPRRSVARAYPVSPKLLADLKSRCAPAARGASTYCAVAAHLWRCVCVARSLQASPGSGSGHVTRLRMTTNTRARVRPPLPRGYSGNAIMRDLVAAPVADVLARPLGFVAQAIRDAVDRVDDAYVRSAVDHLEELLLESDSNNKGIMAAHGQAGQLMPESDLWVISWLGMPMYDADFGWGAPRLVAPAQTFGSGTGYVMQRGASTKDDGVVVIFALEPEYQQCFQKLFYGEQ >Et_3A_025701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3201653:3206257:-1 gene:Et_3A_025701 transcript:Et_3A_025701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTAHTLLSQPVRYSAGGSSLRLPKPAVVSFPSYAPAGKKTPRSICHYADPRSIDHPSNIPPAALVHPVMPPTPTTTALWKIKEDDKKVELTFFSMPEEAKPSDFQVAVEGDVLEIRRPPADQQQWKPDDVSFHVRLHLPELYDKEKITAELERRNLVVIIPKVQNLQDGSPGKPFYKKPVRYSYSAGGSSLRLPKAAIVSFPSYSPAGKKTPRSICHYVDPRSIDHPSNIPPAALVHPVPTTTAKWKIKEDDMKVALTFFTMPEGVAPGNFQVAITGEVLEIKKQPADQNDDVSFHVRLLVPEVYDKNGISVMLDGRNLVVTIPKVPKSELDGSTGKPFYKELKVVEPK >Et_4A_032896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15145408:15150230:1 gene:Et_4A_032896 transcript:Et_4A_032896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RWKRNRTGTICTVTPKRLGASLPKSETKPPLLVGVVLPKSKPKQTLTLSPAMALTTRGGGGAGGNPAKPPSASDPSLGFLTKRDTEVKLPRATRVKNKTPAPIQITAEQILREARERQEPEIRPPKQKITDTHELAEYRLRKRKEFEDVIRRVRWSVSAWVKYARWEEQQRDFARARSVYERALDVAHRDHTLWLKYAEFEMRNRFVNHARNVWDRAVSLLPRVDQLWYKYIHMEELLGAVANARQVFERWMAWRPDTAGWNSYIKFELRYGEVERARAIYERFVAEHPRPDTFIRYAKFEMKRGEVERARRVYERAADLLADDEDAEVLFVAFAEFEERCREVERARAIYKYALDRVPKGRAEELYRKFLAFEKQFGDREGVEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKERIREVYERAIANVPPADEKRYWQRYIYLWINYALYEELDGQDVERTREVYKECLKLIPHKKLTFAKIWLMAAQFEIRQRNLKSARQILGNAIGMAPKGKIFKKYIEIELYLGNFDRCRTLYEKYIEWSPANCYAWRKYAELEKNLSETDRARSIYELAIAQPALDTPEVLWKEYLQFEIDESEFDRTRELYERLLDRTKHLKVWISYAEFEASAGLSEDSDSEEKKSEVGCQEQQLERVRKCRAVFERAFDYLRTSAPELKEERAMLLEEWLKKEVSFGDLGDVTLVQKKAPRKVKRKRPIPTEDGSTLAYEEYIDYIFPDEVTLAPNLKILEAAYKWKKQKTGDDDDLDLFAELTAAE >Et_9A_063563.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:7455394:7456908:-1 gene:Et_9A_063563 transcript:Et_9A_063563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METMMASAPPQPKTGGIGRRLARLLRRKRSPSGGGMAYSVAGDEFDPQSLDSSISSLSKLKLSGNLAAAYSFDALFRNAAAEKKVAEQAAAAADAGKHAFAAGLFAGASAVKAAYAQLQLAQHPYDAAAIQAADAGVVAELTKLSELKRRYARDPAAAAAARSPASSALAAQADEQRHLLRTYEITMRKLEADLKERDAAAEQLAGELRAALEERPGRALAALDGLHLSGLNATHFLTALRHAVKSVRAFAKTMLASMSSAGWDPVAAAAAVHPCVRLRHAGDARFAVESFVALKMFANFQRKDFGLRSNHGGGSHVDRRRFFEEFAELKSAPASSFLDAGDASGRRWGAFREFLRERYLSLVHERMETAFFGRADQRAALMAGAIAGEAASSSFPRTAWFGEFAEMARRVWLLHRLFCAFDGAASVFQARPGSRFSEVYMESVTGDDDGICATATPSPDAGRLAVGFTVVPGFKVGQTVIQCRVYLSRPDHGRPGRPDRGEAC >Et_8B_060631.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3772745:3773206:1 gene:Et_8B_060631 transcript:Et_8B_060631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQIVDDAQGGLDQPRHRRNDGLPPVPSTSWDHLGQQLMSQQYGFGYHPLTGQYKYKVVHIPCLQNQEVNSVQVFTLGSDTSSSSWRDVPVLDPAGASYDPYHPVVSVDGTTYWLTATADRVMALDLKDATGIFFIFNRFFKYIFINNRPFS >Et_1B_012188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29745319:29748672:-1 gene:Et_1B_012188 transcript:Et_1B_012188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDDESLIGSDVGLTGHANTHFQTIDSEYERKLSDFGGRIPDMEPSSQPQPVMGVTTGTQGYPAATAAYPPPAMADEDVRMISAEAPVVFAKACEVFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIIPRDEMKEEGLGLPRVGLPPAMGAPADTYPPYYYVPAQQVAGAGMMYGGQQGHPVTYVWPQQPQGQEEEPPEEQQQSLPENS >Et_9A_061412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11204419:11208002:1 gene:Et_9A_061412 transcript:Et_9A_061412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPFCLWDRHKAPPTPENHVAVHGTVVVSCHFGLSVPGKTTTLRLFSGTQIDQNTGKGRLSLEFPLRGGKKTKHGAGKTSTMTYQVTFFVDPDFGTPGAVVVKNGLKNDQFFLRHVQLQLAEDRSLHLECNSWVYPYRKTNSDRVFFVNTSYLPDKTPEALRLLRDEELRSLRGNGRGERKDWERVYDYDIYNDLGNPDKDGHARPPLGGNGAILPYPRRCRTGRPLFKSDGVTETRKHAVVNLDLYIPPDERFSPTKLAEVLTLAVQAVTHFVVPEAKALLHGGDAVNSFASVEQLRRDLYGKAATPEKPQQPPAADGRVMEKLRESVPSQKTYKQVNKMVKETPVRFPVPQVIEHDQEAWRTDEEFAREMLAGLNPVVIKRLEVFPPVSSGGKTSTITTRHIHGRLEGLTVEKALVQNRLYILDHHDYLMPFLKRINTLGVCIYASRTLLFLKDDGTLKPLVIELSLPGDGVADDDISRIFLPATQGIDGHLWQLAKAHVSVNDSGYHQLISHWLFTHATVEPFIIATKRQLSAMHPIHKLLEPHFKDNMQINTLARSILLSAGGILERTMYPGKYAMEMSSAIYSQWRFTEQSLPNELIKRGMAYRDGDGGLSLHVADYPYAVDGLDVWRAIEGWVRGYCAHFYHSDAAVAGDRELQAWWDDVRHVGHGDRRGDAAACWLELDTVAHLADSLATLIWVASALHAAVNFGQYGYAGFMPNRPTRCRRFVPLPGSPEMAQMEADPEKFFLDTVPDRFTTTLGIALIEVLSNHTSDELYLGQRASSAWTDDGEVLLLLDRFREELRRVEKKVNERNADPRLKNRRGPAKVPYTLLFPDVGNVGGKEKGVTGKGIPNSVSI >Et_8B_059430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17904188:17907781:1 gene:Et_8B_059430 transcript:Et_8B_059430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLGANKANSSPLTPLGFLERAATVFGSSPSVVYHDTVFTWSETLRRCLRLASALVSLGFSRRDVLRWLACCSFYFLTSQMPVSVLLPNVPAMYEMHFGVPMSGAVLNTINTRLDARTVAVLLRHSGSKLVFVDPASLPLLRDALRLLPRGHPAPRVIPVEDPHAPEKFPAAAPTDTLTYERLLEKHGDPKFAWVWPVNEWDPMVLNYTSGTTSAPKGVVHCHRALFLVTLDSLVEWAVPPRPIFLWTLPMFHTNGWSFPWGMAAVGSINVCLRRVDAAEVHAAIAARGVTHLCGAPVVLNMLANAAPAPDQGQPLPRRKVHVLTAGAPPPAAVLHRAEAAGFDVTHGYGLTETAAVVVCCTWKGEWNKYPASERARLKARQGVRTAGTAQLDVVDSTGHGVPRDGVTTGEVVSGGGCVMLGYLNNDAATKAAIREDGWFYTGDVGVIHPDGYLEIRDRSKDVIISGGENISSVVLESVLYAHPAVSEAAAVARPDEFWGETPCAFVSLKEGTAGTVTADDIIAWCRKNMPHYMTPKMARSRSTCFEMSPRKWGPPAAQTPARCSGRGCPMYCFLGSCLLFLCIHVISFFHGYVLTKKMF >Et_7A_052291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6597547:6606424:1 gene:Et_7A_052291 transcript:Et_7A_052291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASSLSSLLATLRVDGPWTPPGSWETITPESGATRISDHGGRPRQEPIYELASVTDDALVRLALHALCGVKSSLDEIEELSVLFASNPADRTSNRVANVWSRSSSTTSVGRILKSIRSTGLAVFFLCKFVHFYLIQSREINRTSREQQEHADDKDSEQHGPYTLVNQAFAAAVEKVLEGYFCSLNTLPASVKLRRSVGHSAIPSNRASCNSTSEVTLLEVYLHTEELRRHLKSLGNICFPKFAGLTLCEEGLNTDANMEFENFPRGTDLLSYLYVHLRDSDPVHYGLLKYLFIRSCEPYLNFIKSWIYRACIDDPYEEFLIMQDKDKQNQGDSYHSLDDFTLLSLKVIPFDAASDFLHVRTDHLETSVSDAELFYGDSDAALACNMAADEKDNDASSTSQESSDKVDPLESSECSSYSSMDDIEVESATACDNLSSSMFSSYCTGEGKWSLVTRKLLSSQTSPVRHGINPSNPIDEHQKDLSCRDVPRQSQDFKHSMVPDALELDYHLANLPFERFLKNPFSSSFKEMYSSGEVLYADNKNVKEQVLHDNTVYPFYSESGPPRIENSKDYQKSSKINQPWSTSIPYNFNLNPILKNAACRFAESDLHGNSKNRALGSFDFESVKDPCEVYCGRSTSYPDESVSGAATVVQSSSHTSSEPDCSSKLAKARSKADLASSGEMAARENLQEEGSGGALWEKSLEYTAKSKEKIVGDRYSQSDMPLDIVIDNFTMKLLEEGFDLRGHLLALRRYHFMELADWADTFIISIYRKKWSFVKSEQKRVEIQGLMDLALQRSSCDSDPYKERLFIHMRQPAVSFHASASGIDILDDILLGYKADWPVNIVITDGTLKIYAEIFCYLLQVRFAVFSLTEVWRLLKELTQLTSRSRHCRPDILKKLNFVIKVRHQVYHFLSTLQQYLHCHLSDISWCRFQQSLKDQVRDMLDLEYVHMCYVTDALHICFLSNETKPVAAIIKSILQQASELRSCFQSLGGISELSENQLSDLHSLINFSQVDAIRMKFESNIKDLFILHSKSSKYGELGLSRFWSYLNYNEYHSTTQNRSSTPLTSNTAGLTGRPENTTWWRTNANGTTGPCRRRPGPGRASARDSDEAKQKPLQRLGGVVEERVDAVAFPVPQQATASRPGGRGPCRRGRKTSPPLASCAQYRVGRASSGFTVVGQYPSG >Et_1B_013060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5100343:5104338:1 gene:Et_1B_013060 transcript:Et_1B_013060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGERISCDYKAMAGEADGNIQTGSRSAPAALNERILSSMSQKHVAAHPWHDLEIGPGAPEIFNCVVEIPRGSKVKYELDKSTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDSDPMDVLVLMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCAEDPEFRHYKDIKDLPPHRLQEIRRFFEDYKKNENKEVTVNEFLPAKDAINAIKHSMDLYGSYIIESLRK >Et_2A_016754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27930614:27933680:1 gene:Et_2A_016754 transcript:Et_2A_016754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPTVSPYTAAFLGDDVDPEAITDDDDGRREDSLIGGDHGDSSSVDCMHGSFGSSLSLHGVRVDEPSVLDNCSRPSSPFDILTAQDVLPIEMARSRFLNLIVDHFIAEHVIERVECSASDCSQANDKSNKRKQHEVHYEGDPLFALPLMYIANLYETLVSDVNARLASMIGFREKTIGLALEASGGLYRKLTQKFPKKGTCSFRRRELATSRSTRTQFPELVVHEEKRVRFVVINGLEIIERPNNMGMEDADWFKRLTGRGEVAVSSRDFKFYSPRHKFRHSPQPGFDIPGTSIQNQHQSTSKRHTEQLENQPYLHLFHQAEGDTVQQVQHSAPFPPIHQCTCAPHISDNPQHQQQSYLSPHVPCLQTGQVHLGGRMNILSTSPAKFCDECGSPYLRATSKFCSECGTKRLGI >Et_9B_063987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:71517:75258:-1 gene:Et_9B_063987 transcript:Et_9B_063987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTASSPAPQPIATPTDTSASPPSLSSPPPAASPRLPPPLVANSPPPTQPRTLAPPPRPLSSSPPPPPVLSPPPTPPPSTAPSKPRTPPSKPRTPAARSPVPSASPPTSDASSSPAAVPSPPRHHKPLPTPATAADPAHPNNPKKSLSPSSRSAPSAGGGVIIAIGAVLAVLVLSLIAAAVLYTNNRRRKRETNGYRAGFMSPPFSSQQPSGGSENLGSSVDPPVQTSYGSGTPRLQACVSDISMGNTRFFSYEELHQITDGFSVQKLLGEGGFGSVYKGCLPDGKVVAVKQLKDGDGQGEREFQAEVEIISRVHHRHLVSLVGYCISSNQRLLVYDFVSNNTLHYHLHGHERPVLEWSARIKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAKVADFGLARLALDAVTHVTTRVMGTFGYMAPEYASSGKLTERSDVFSFGVVLLEIITGRRPVDASRPSGNESLVEWARPLLSRALDTGYLEGLIDPRLEKNFNEVEMFRMIEAAAACIRHSASRRPRMSQVVRVLDSLADIDLTNGVQPGQSELFNVANTAEIRMFQRMVAGAQDESSNLSQYGWSSHSRGGTDAAPSSRIL >Et_2B_020012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16076271:16086497:-1 gene:Et_2B_020012 transcript:Et_2B_020012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAPEVAPVVNTTNPAMVLSHVFPEVRGVPCPSLHLVLVLTGLVLLAELLCIVQVKFAYDERDVALYALAAGACSADAADKEELQLVYQRDGRSSVKVLPTFVSLFTAKNNNALVMDLPGLHFEPKFLLLGQQYVEIYRPIPSQANVTNKIKVAGLHDRGKAAVLELETLAYLEDSCEVLCMNRSTFYLRGAGGFSDSPRPFSYATYPANEVSRVTFPDSTPSAALLCGICSYFDPLHSDPIAAQAAGFSRPILPGLGTLGFAIRAVMRTFCNMESANVKSILCRFLHHVYPGETIVTEMWLQGQRVYYKTKVKKRGRVVLSGYVLLNHIPSSFREVWFRLLNPFCFKHIAPVAYIFMPMATTSKLGAAVDPEVVLAHKFPEVSFAYDEREVALYALGVGACGSDAVDGKELHFVYHRDGQPHIKVLPTFVSLFPNKNSNGLGFVDVVNKVKVAGLHDKGKATVLELETTTSLKDSGEILCMNRSTIYLRGAGGFSDSSRPYSYVNYPANQVSRISIPNSPPSAALLYRLSGDYNPLHSDPEIAQVAGFTRPILHGLCTLGFAARAVIKSFCNGEPTAVKSIFGRFLLHVYPGETLTTEMWLDGQKVHYQTKVKERNRAVLSGYVVLKHIPSSL >Et_6B_049099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17856045:17856267:1 gene:Et_6B_049099 transcript:Et_6B_049099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHGFHWPDADFLAAEVPFYHLVSQKKALISPWCLDVCNDQGILCLSKAVEQFPEHRIK >Et_7A_050905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12250532:12253265:1 gene:Et_7A_050905 transcript:Et_7A_050905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRSGIAVAAAVALVLLLGSGFAAGETAAEDKISGLPGQPPVGFAQYSGYITVDAARKRSLFYYFAEAEAGPAAKPLVLWLNGGPGCSSVGVGAFSENGPFRPSGNVLVRNEYSWNKEANMLYLESPAGVGFSYSTDPSFYGGVGDSMTAGDNLKFLQGWFDKFPQYKGRDLYITGESYAGHYVPQLAQRMVDFNKKEKLFNLKGIALGNPVLEFSTDFNSRAEFFWSHGLISDSTYNIFTTVCNYSRYVSEYYHGSLSTSCDRVMSQVTRETSRFVDKYDVTLDVCISSVFMQSTVLTPQASRELDVCVEDETMSYLNRKDVQQAMHARLNGVPKWTVCSSVLEYKQLDLQIPTINIVGALVKSGVPVLVYSGDQDSVIPLTGSRTLVRQLAGRLRLNTTAPYRAWFQGKQVGGWTQVFGGGALSFATVRGASHEAPFSQPERSLGLFRAFLAGRPLPESFE >Et_1B_014061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29397948:29400017:-1 gene:Et_1B_014061 transcript:Et_1B_014061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRRPSSRRVVMPMPMPVVALGVAVVVLASSATVARGQLKMGFYAASCPGVEQMVGDYVRQHVRRVPTVAAALLRLHFHDCFVRGCDASVLLNSTGGNVAEKDAPPNLSLRGFDLVDRVKALVEEACPGVVSCADVLALAARDAVAAIGGPSWRVPTGRRDGTVSRMVEALDEIPRPTMTFHELADLFASKGLGVRDLVWLSGAHTIGIAHCSSFADRLYGYAGASNGTDPSLDATYAANLRRRKCRAPAAGGGSYAAGDAVVEMDPGSHLTFDLGYYREVLRHRGLFQSDAALLTDAAARADVAGVAAGPEEVFFQVFARSMAKMGAVQVKTGAEGEIRRNCAVVNGD >Et_2A_017768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6009453:6013213:1 gene:Et_2A_017768 transcript:Et_2A_017768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAPPTFFLALASASSPGGRRRARVVGSSPKPFRNGGSLNLRFWAPPRAPWRAAVVRAEADVGGEEAAAPELTGDGARQQTRARRGGAGSAVAKRKKPVQPVGRYGDAEEYAPTPAPGKETAGRLTGQNGALVGDDDDCVVTTPVLSIVKFPPPGSGVLLPSGDVAPGTTTAPTTTPAPPPPSSSASNFVSPPYVPKFDTADNVEFAENSTATVVDDVPAPKAPSPPVPEAQEALWDFKTYIGFDEPAEAKDGDWAVADGAGSFENFQDNDSGPLAGENVMNVIMVGAECGLGDVMGSLPKALARRGHRVMDLEVNYFHAYIDGVDFVFIDAPLFRHRQDDIYGGNRQEIMKRMILFCKVAVEVPWHVPCGGVPYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMQYARSVLVIHNIAHQGRGPVAEYPYMDLPEHYLQHFELYDPVGGEHANIFAAGLKMADRVVTVSRGYLWELKTVEGGWGLHDIVRSNDWKINGIVNGIDHQEWNPEVDVHLRSDGYTNYSLSTLDTGKRQCKAALQRELGLEVRDDVPLLGFIGRLDGQKGVDIIGDAMPWLAGQDVQLVMLGSGRGDLERMLEHLERTHHDKVRGWVGFSVPMAHRITAGADVLVMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVTPFDPFADAGLGWTFDRAEANKLIEALGHCLDTYRNYGESWRRLQERGMSQDLSWDHAAQLYEEVLVKAKYQW >Et_3A_025564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30818884:30820967:1 gene:Et_3A_025564 transcript:Et_3A_025564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPGHTVYIGNMDEKISERVLYEILIQAGHVVDLYIPCDKETNRPRGYAFAEYETEETAQYAVRLFSGLVRIKDKLLKFTIAGHDKPASNGNHPVIPKLNPIPLPKQSQFVHCSDLTVSHNPACPVVSGGNLGYGSTGHDKPTSNGNHPVIPKLNPIPLPKQTVSHNPAYPVVNGRNLGYGSTPNLNPYGIHPQGTPMHSHGQLSNGMYHYSGHAFGSALNVAYRGPVLKVYAHGAAQQPIMHPSY >Et_1A_006342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2084943:2093369:-1 gene:Et_1A_006342 transcript:Et_1A_006342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITFHSQSNDTLLGNGVVGILAETVNMWERRAPLTPSHCARLLLGGGKGRTGVKRIIVQPSTKRIHHDSQYEDAGCEISEDLSECGLIIGIKQPKLKMVLPDRAYAFFSHTHKAQKENMPLLDKILEERVSLFDYELIVGDDGKRLIAFGKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAVGEEIATFGLPSGICPIVFVFTGDGNVSQGAQEIFKLLPHTFIEAEKLPEISAARNLSKQSQSTKRTFQLYGCIVTSRDMVSHKDPTKQFDKVDYYAHPEHYNPVFHERIAPYASVIVNCMYWERRFPRLLSIDHLKQLMKNGCPLVGVSDITCDVGGSVEFVNKSTSIERPFFRYNPSTNSYHDDMEGDGVICLAVDILPTEFSKEASQHFGDILSKFVASLASAKGLVELPSYLRRACIAHAGRLTSLYEYIPRMRKTMIDLAPTKEIPFPDKKYNTLFLINEALDMIEKAGGSFRLVRCDVGQSIDDMSYSELEVGADDTANLDKIIDSLTSLAKAHDGDPNARKQNELSLEVGKVNGCGTGDIMDKRGPKVLILGAGRVCRPAAEFLASYPNIYTCGGNDNNTCQIHVIVASLYQKDSEEIVDGIKNAIATQLDVADTKSLSALVSQVEVVVSLLPASCHASIARVCIELKKHLVTASYVDESMSKLSQAAEGAGVTILCEMGLDPGIDHMMSMKMIDEAHARQGKIKAFTSFCGGLPSPAAANNPLAYKFSWSPAGAVRAGKNPAVFKFLGEIIHVDGNSLYDSAKRLRLPELPAFALEHLPNRNSLMYGDLYGISKEASTVYRATLRYEGFSEIMAILSEIGFFDTVDHPLLQDINRPTYRRFLHGLINANSISTTTTNDEASGGQDDELISRLLILGHCKEKEIAVNTDMVLLHHEIEVEYADGRPTEKHQATLLEFGKVENGRSTTAMALTVGIPAAVGALLLLQNKVQRKGVIRPLEPEVYIPALEILESSGIKLKERVET >Et_3B_030298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32077357:32078785:-1 gene:Et_3B_030298 transcript:Et_3B_030298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTVVGRAVLQAYRQAIVNANKTGAAQEAINGIKRASKTMTEQEARQILGLSEKSTWEEIVQKYDTMFERNAKNGSFYLQSKVHRAKECLEATYQKPDVPN >Et_7B_054984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6167160:6170924:1 gene:Et_7B_054984 transcript:Et_7B_054984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHLGAVVRVASPVSGRGPVSRIVKHSPQRAVFPTHRGLVARLRRRGSTMTTAAYTTGTPDLVDFDWDSLEFQQVPTDFMFVMRCSSDGVFQKGELMPYGPIELNPAAAVLNYGQGLIEGLRGHRKEDGSILLFRPDENASRMRIGADRLCMPAPSIEQFLEAIKLTVLANKRWVPPAGKGSLYIRPLLIGSGAILGVAPSPQYTFVVFVCPVGHYFKGGLAPISLITEEEYHRAAPGGTGDIKTIGNYASVVSAQRRAKEKGHSDVLYLDPVHNKFVEEVSSCNVFMVKDNVISTPLLTGTILPGITRKSVIGIAQNLGFQVEERHITIDELLCADEVFCTGTAVVLSPVGSISHRGRRVEYGKDQKAGVVSQQLYATFTAIQKGLAEDIRGFKLSETIVQFTTFPTL >Et_5A_042859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5759766:5760757:1 gene:Et_5A_042859 transcript:Et_5A_042859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCSPCPAGQNGTATTPPRAAASASDKYTAVRRSGLGGMTLSAEGVWNVSAGQACMVACRGTGNTACHFRAPCSDRKHNQHQRISRWAAQSSLSFQLGLALPLYWGWDGERLVFTYNYTKVKQAGEVLRRGESPFDLRKIISMSLPLRYPKADNGNGDHTASLAYLTDELTLWFMNKPRMFLPEWMEQPAVLNLEIIFLGQVSDRSVLKGVSKVSTRVASTGPAPEWQSLPINVSAVRQSSPINVSAELTAVGYPRVTVSVMSLEGVYNPQDGRMYLVGCQDVRLPWRNLSMHRDLEDGMDGSIEVQVEYPPTSTH >Et_6B_049663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8159321:8161852:1 gene:Et_6B_049663 transcript:Et_6B_049663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTVVLRVGMSCEGCVGAVKRVLGKMEGVESFDVDIKEQKVTVKGNVTPDAVLQTVSKTGKKTSFWEAEPAAPAEATAAAS >Et_5B_044973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7881635:7920228:-1 gene:Et_5B_044973 transcript:Et_5B_044973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYASDGLLSIKSDVFSFGVLLLEIITGKRSSGFQHHGEFYNLLEYAWELWKDSRWNEFFDQSSGGEYEPRELKKYLTVALICVQEKATDRPTMPDVVAMLNSDGITLPEPGQPAYTYMTLDVSVNIIALTSNIKVTTTVCGNTKCGKSVEDGRRQPGIFTLKEATDNFSAHNKLGQGGFGLVYKGRLSNGLKIAVKRLENCSLQGSLEFQSENNVKGQQLNWSKRLHIINGIAQGLLYLHNYSRLCVVHRDLKASNILLDSEMNPKISDFGMARIFCSNMTESYTTRIVGTHGYIPPEYAVHGICSIKSDVFSFGVLVLEIISSKRTAHFYEYNGILYNLISYAWKLWSDGRWGELIYSPPDIEHQELERCIHVAILCVQERAEHRPDTERVVTTLNNKDVSLPRPTQPAYFHVNPSEEEVSSCSITMTPMSHIMLLVSLLLLRSSPKMFAEGQSLCSNANMLCMPSSTYMSNVTSLARLLISKVRDSHFYSATGTVEMGPEKVYGAVLCRGDTAPGNDCANRLSEVLDAAINNSAGSSCSSQKSISLFQDGYQAQMTFSDQNFISSFSNAPDCIVRANLNPPPVSDADSEQFDHLVSKLMIKLTDTMTSKMARYLTGQSWLTETRQTVYGLVQCTEDMSKEHCQDCLNGIIANRTQMVGYGQLGGAILGMRCSLWYQTDIKFFKGEPMWSIKEATGNFSIENKLGQGGFGTVYKGLLPGGLEVAVKRLAACSVQGLLEFKNEIQLIAKLQHKNLVKLLGCYSKKRDNLNWPMCLHIIDGIAQGIVYLHKHSRLCVVHRDLKASNILLDTDMTPKISDFGIARIFNSNMTEANTTRIVGTHGYISPEYAFDGVCSVKSDVFSFGVLVLEIISGKRTAGFYPYDGKLYNLISYAWKLWIVGEWRQLACFHIGSEHQEIERYIQVALLCVQDRAEDRPVMDHVITMLSSDNVNLPKPKQPAYFYVRCCEPEISSNDMTVVMLVLFLVLLATASAPSPAAGAVATPVVNPFSYFCNSTAVRRTFLPNSTFAANFATLSAALPRNASTGGGVFSAGALGSGPDTAWGLVLCRGDLTGAACAACLEARFRDAETHCPYSRDVTVYHDQCQLRFADRDFLAGAGAGESNAPESVAWNMNNVSSDTRNAAAFGDLVVGLLGAVAGAAANTTGRYRTGQAGFARERMNVYALAQCTPDLTPAQCRGCLDGLIGQVPTFLGGRVGGRILGVRCDIRYENEVFFARSRDMVTFTPLVDTSKGSDNKLWIVAIVVPVTVLLACFLACFLWIRKRRRRARLISAVISVSGKVSMPTMSMEIEQVLKLWKVEESDSEFSLYEFDQIADATNNFSDDNKLGQGGFGPVYKGELPDGIEIAIKRLSSCSVQGLMEFKTEIQLIAKLQHTNLVRLMGCCIQADEKMLIYEYMHNKSLDFFIFDSDKGAVLTWERRFRIIDGVAQGLLYLHKHSRVRIIHRDLKASNILLDKDLNPKISDFGMARIFSSNVTEANTTRVVGTHGYIAPEYASEGLFSIKSDVFSFGVLLLEIISGKRTAGFYQYGKFFNLTGYAYQLWKDAKWHELVDPALGNDFPVSEVMKCIQTALLCVQDSADERPNMPPDAAAAYAEYSCNGTAAGNFTAGSAFASNLDLLVAALPGNASSSPSLFATASVGDNNATAYGLALCRGDMTNQSACFSCLADAFARLRRLCSASARDATFYHDLCMARYSGSDFLSRPDDSNEPVINGMDATNATTYAGWDPANATTRSFFLSLVGTLFGEMSMYAAYNASATRRFASAVMYINPTLPTVYGLAQCTPDLAPAQCWSCLHGVGELTKRWYDGREGGRIMGVRCNFRYEGYQFYAGAPDVRIGLPAEPSPSSPPANGSNGGFGPVYKGKLDDGAEIAVKRLAAHSGQGLEEFKNEIQLIAKLQHTNLVRLVGCCVQEEEKMLITGVPMISHKLSSYLSITILLPAFLTPLASGDILWKECVPDWNYTANSTYESNLNRLSTTLFAVDSAGTVPDAVYALTLCRGDANASACAACVANAFRNARQVCGNTMDVTIYYDPCYLRFSNQNFLASIVNNKQHIMPNGENATSPAAAFNAAVGVLLKSVVDYVVQNSSARFGTGVEDFDTSNPKIYAMAQCTPDLSPADCRACLDQIVSVMPKHFGGRQGGRILGLRCNYRFELYPPLSGSPLLRLSAPGPIDTPSVNGGRTRIKSSTILAIALAIVSFALAFTLVCFCVWSRRKPAGSLPYLSRMESMQSINLPVLDLSILKEATENFTEKNKLGEGGFGAVYKGALPDGQQIAVKTLSQGSTQGIGELKNELVLIAKLHHKNLVRLVGVCLEVQEKLVVYEYMPNRSLDTILFDPEQSKNLDWTKRFKIINGVARGLQYVHEDSQLKIIHRDLKTSNVLLDFELNPKISDFGLARLFEEDQTKDVTNRVVGTFGYMAPEYVVRGHYSTKSDVFSFGVLVLEIITGRRNCGSYNSEMSVDLLTFIWEHWIRGKILDIVDPLLSSFSKDQVLTCVHVGLLCVQESPLDRPTMSAVNVTLSTDSSTLQTPSKPAFCIGSINNDSEPCQGAYGATGRKQAVMSPNEVSLTELEPR >Et_3B_027590.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:30033149:30033598:-1 gene:Et_3B_027590 transcript:Et_3B_027590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPAGAPGHRYVVEPLPFESSYQRRGLWVDFSTLSFGRSVIFEIYTTPRDFPNNAGGTVTLKENLARICVLFPEAMRFPVVRRFLVLNLKLMRRVTVNEHRWMFNVWGRVCRAIRLGPDVFEPIEGTNLDSYEKVVEFVSVILYTVAA >Et_4B_038317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28083262:28088267:1 gene:Et_4B_038317 transcript:Et_4B_038317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHARPPLLLLPFLVGALLAAAPLPSAAGADAFDVRRHLSTVTRYDAARGSNSAVSSPSMSDGCRVIHLNLVARHGTRAPTKKRIKELDRLAFRLDALINEAKQSPESDSLDKIPSWIKGWESRWKGRTKGGELVSEGEEELYNLGTRVKERFQDLFEEEYHPDVYSIRATQVPRASASAVAFGLGLLSGKGKLGPGKNRAFSVLSESRASDICLRFFDSCETYKAYRKRKEPDVDKQKEPILEHVTAALVNRYHLNFTTQDVSSLWFLCKQEASLLNITNQACGLFNEAEVYFLEWTDDLEGFVLKGYGESINYRMGLPLLKDVVQSMEEAILAKEENRPDGTYEKARLRFAHAETVVPFSCLLGLFLEGSDFEKIQREEALDLPPVPPHGRNWKGSVVAPFAGNNMLVLYQCPGKTSDDSTTSGGQNNSYFIQVLHNEVPVSMPGCGNKDFCPFEEFKEKIVKPHLKHDYNMICKIKPPVAKEEPASFSSMVSKYFFGLFSGYRVVSAEEIESEL >Et_8B_059208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15306419:15307998:1 gene:Et_8B_059208 transcript:Et_8B_059208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHTVAVYVVKRRDLYRGETLVAVRVVDLFERHSGGSRTISIFAKESPTKSISAAAVNLSSRTRVCQPPVGNDVSSSEGLPLGSPRLPRREISQLLSLIRRPHPAGHGAFFTLPSTTTPVYWELPARAPAVNGSSTAPPPRAGSGIATTTSRCAPETAQGRHVFEIAGYSLLRGLGVGECVQSATFSVGGYDWCVQFYPDGKSEDDNGKSKDDYYNCCSSCSCKRYSDSDSDEDESDGSDDDDDDEGHVSVFVTLMSKDTHVRALCNLSLVNPATGLSPWTRSGKKKPKVFNGEGSSWGFGKFKQRSNLEGSDYLQDDRLQIQCDVKVITGTPVLQTCNHQRCSAKSRNF >Et_1A_006650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25720575:25724294:1 gene:Et_1A_006650 transcript:Et_1A_006650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAFADKNAIFRKLRAKSDNKMCFDCNAKNPTWASVTYGVFLCIDCSAVHRSLGVHISFVRSTNLDSWTQEQLKMMVYGGNNRAQAFFKQHGWTEGGKIEAKYTSRAADLYRQLLAKEVAKSSTEDGNNSWPSSPVAGLQASNQVAAFSDPKLTEALKENPSESLYEQKPEEPAPVLPPVEESNEARQKFSNAKSISSSQFFGDQTSFEKEAQLSLQKFSGSSSISSADLFGQQPNNSNMDINASDLINRISFQATQDLSSLKNMAGETGKKLTSLASNIITDLQDRML >Et_4A_035749.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:575317:575667:-1 gene:Et_4A_035749 transcript:Et_4A_035749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPSMSPFSPAVGRTTPVVSQHGQPSPISSRPPEVQQQRVDDVPAAAGGSSAPASGGFDHEGPSAAAGDESERGGSAGNRWPRQETLALLKIRSEMDAAFREAALKGPLWEDVSR >Et_8A_056135.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:24010048:24010923:-1 gene:Et_8A_056135 transcript:Et_8A_056135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EGDQKSDVYDAGCCSVELEVSLASAGVGSVAALSVVDGEEGGAPDAEVVVAGDERPGLPPDGGDEADGHQQVPRRLVLRQRLREVRRQRHVGRRKKRRPDGGVEVALVGDGQRRGHRDLQPPVLGEHVGAVVVDAHPRVRVASGHRRLHRHRQVRAAAHVDAHDGHVVQVEPGLRRTQHQPHDQHDQQHQQDHRHQRRAATTVHPLTLAVLVALAVLDRHLLPPILSFSFLFFSFLFFSFLFFRRAEEELTGLEQRKQRKRTRNKQCGASLVYIYKPAARAWPGLLISQHN >Et_5A_042352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:948093:950018:-1 gene:Et_5A_042352 transcript:Et_5A_042352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQTSSLTAALAQGPNLCPVKIFLPMGPPDVVSSENVEYDFSDVFGSTPVYNDPVVIIKRSHSLVGPTSLVSRSLRLSKLTLEKREGSSELVNCSSHEIEKNLGQLSDEEFDNATTENEGVGLDDFEILKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAEKEILTKVDHPFIVQLRYSFQTKYRLYLVLDFINGGHLFFQLYKQGLFREELARIYTAEIVSAVAHLHANGIMHRDLKPENILLGADGHVTLTDFGLAKEFREDTRSNSMCGTLEYMAPEILLGRGHDKAADWWSVGILLFEMLTGKAPYVGNRDKVQQKIIKEKLKLPTFLSSEVHSLLKGLLHKEANKRLGSGPSGSDEIKNHKWFKPINWRKLEARQIQPSFRPNVAGLTCIANFDECWTRTPVLDSPVTTPASDGHNNFVGFTYVRPAPILEEVQPSSSRLED >Et_1B_014141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32539542:32542148:1 gene:Et_1B_014141 transcript:Et_1B_014141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLGGGVVHPLKVDAPAPVGEAQPQGGINGLPVLAGTPLSLALRFLQMGVATVALTVLVSADEFPTIRSLSSQAASSSSLRQPCQKELSSTSTRICAGHPCARFQIVLAISFFGCFLLVSTFFLSGWALASRWLAGILQPVDRNTSGKTLASSAGRLLPWRAPPVILQKPLLIHEALLPKYVHQSVGNRTLSARNSALTAEYVIALDLARVDALLP >Et_9B_065194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2276575:2287800:1 gene:Et_9B_065194 transcript:Et_9B_065194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVREVEGRKLVVDCTGEGVLFVEADADVRLKEVLEAADGLIRPPFPCLDQLLLDVQGSNGGVLFHSPLLLIQVTRLLCGGFVLAIRLNHTICDAIGLGQFLSAVAKLARGLPSPTGSPPAWSRDILEARTPHPEVMVGVPMPPPHGDMVMRTFTFSSDDVNTIKKNGLPPHLRDTATSFEALTAALWRARTAALELPPDDEVQLAILVNIRRLPELALPAGYYGNACVTPAVVTTAGALLAGRSTTLGDAVEMVRKAKAAVTAEYVLSMLGQPHVAQANTFVVTDHRHAGFHRVDLGWGEPEYGGVANAAFFGMSSLVSVKNGDGEDAVGAVIMLPQPAMDRFASEVQMLLMKDYYTTGTATASSPPPLFLTATRKLAANSASAGPPYTGAPHPKSTRWNPAWRLSDTRNRLASATHGRPRSTIRSSVDRTRTSSSGGSSSAAVRARHSAAVSASNDVALSRSTASLRRTAKALAWSLMSAMAGGGSADTGTRPSPFASGTAPVHAPWRKELVELPAGYYGNACVFPTALTTAGELAGRRGGAGEDPSGQPGMELANVFGVSDVRHVGFHRVDFGWGEPVLYGGPTDAAFMATYVKDSAVAVPVVLPQPAMDRFASEIDNLLKRASCMTPPLTFAVRRSQQPLLVGPAAPTPRDTKRLSDIDDQEVLRGQVRFIFFYRGGRGVRADDTDPASVIRRALGEALVPYYPLAGRLREVEERKLVVECTGEGVLFVEADADVRLEELEAAGLRAPFPCLDQLLFDVEGSSRVLHCPLLLIQVTRLLCGGFVFALRINHTMCDGTGVAQFMSAVAEHARGGLPAPATLVAPAWSRHLLEARTPPKPTFPPREYDDLPAPLPPPGDMVMRTFTFSPADVAAIKNGLPPNIRDTVTSFEALTAALWRARTAALELPPDEVVRLVVTVNFRSRVLPELALPAGYYGNACVFPTALTTAGELLAAGSSLGDAVRLVQAAKAAVTAEFVRSTLHLLVLRGRPPVTTANTFLVSDNRHAGFHRVAFGWGEPAYGGAAGAAFGRSFVVAVNNGAVAVPIVLPRPAMDRFASEVEIKLA >Et_3B_028189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11508044:11512836:-1 gene:Et_3B_028189 transcript:Et_3B_028189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLLRCDLAKGIARTHQSTQSIQEEQLRQRTLNSTAIDILTLLLFTTGTIKQAKHSSEAMEFATGALCHLLPKLYQLLKEEYNHQKGVKKTIRFLSWELESMHAALLKVSEVPRDQLDEQVKLLARDVRELSYDMEDAVDTFMLRVAGRESAHPSNVFKKFGKMATNLFKKGSQIADTIEEIKAQVQDVANRRDRYKIDNIAANPVATSIDPRLSALYRNDRELIGIQEARDELIKMLIEGVDQLRTISIVGFGGLGKTTLAKAVYDKLRTLFDCRAFVAAGRNPDLKKVFRDILIDIDKKKYMNVNATILDERQLINELRELLHDKRYLIIIDDIWDTSAWTMIINAMVDCDCGSRIITTTRISQVAAEANNKHVKNTREILSFSYYDLPSHLKTCLLYLSVFPEDYFIDKDPLIWKWVAEGFVLEKHGTAGLFEIGEGYFNELVNRSMIQAEVQDEAIVIGCRVHDMMLDLIRSLSSEHNFVAVLEGEDQWQSVSGSNVRRLAIQKTSMEYNSLEAGSMSQMRSLVTSLCDISTILPLSRFQVLRVLALEYCEFTVEGHYHHLKHLGSLPHLRYLGLNHTPTVELPEEIGRLKHLQTLDLQKTGIEQLPSSIRKLTQLMCLRGDYTTGAPADGIISKLTSLEELWIFPASADRYESFVKELGNLCELRTLRTRIVAEKDKDAQEGALMDCLRSLRKLRSVKILCTRREWNSGDAMEKAGAGYFHKLRSCCVRNASRRACCNPSPR >Et_2B_021840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:650208:653209:1 gene:Et_2B_021840 transcript:Et_2B_021840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGRGLSDLFRNTSEEIFLKAVMENSMGAAAAPSMEMLGFRNMSQSFRQDSEELFNSWLMNGEARIPGFSSVNHRSRQPSRLSSEAAGLPNQQQDTAQQFFFTDNSIPQSAEIPSEYPDNHNQQPLKYCTLCTNIIFCLRSNLTILTSPSAIITGMQQRKECRPAWFHSTQPMTRSRSSELRQEFQFLYWYLFPDEIYDSFFKSPIFCNVCRRRYAAMQTHVTPITTGSIEATNQLKQDYTNTNTANSTPMSCTPVQTPKFASPSSSSTSPLDNTHMGAQDTIISVVNMLKDTLERKKLGSHTNRDASVGNSFGLYDTQQFQQNIIGGNGIFPLVTTVQVQDSMMLPKVDRPMEANNGNFIAPANQVWLGAVSREPSQSGSSTAMTGHSAGYEMCDDLPSMGQAMSVCESTKKNIANGTAEGRSKGKEYRDRVLKDNFKDDRKKGVLTRMGSISSEQAADKRDPTKKRRVERSRKMAEAKERSSTPVIPSDMQAVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNEDLTEEKDRLLEEIERIVSDTNT >Et_3A_025208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27906114:27914484:-1 gene:Et_3A_025208 transcript:Et_3A_025208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGSAVYHVVEAMAPLYTAAVLGYASVRWLKAFSDEQCAGINHFVALYAVPVLIFHMVSTNDPYHMNERLLAADTLQKVVMLLALMAWALWARFRCRRRGTDGKPAAAETASPLKWVVTNFSVASLPNTIIMGVPLLDGMYGAVSGGLMKQIVVMQFCIWYNVVIFLYEYMAAREGISAKINPADQNAARLGNQESGGVPADRSRRHEVAVNIEITEVAAPAARGDGAAADETQNGKELGSVGGVTTTEAAAPEVTPPAVPVMRVVCKAVKKLLMIPNTYASFLGLLWSLMAFKVGISMPKIVEDSLSIIYTTAVGLSMFASGTFIARQSRFVPCGYTIASLSMVLKFLIGPVIMLLASYAIGLHGTLLHIAVVQAALPLAVTSFVYAEEYKVHADIMSTGVILGIFISLPVTIYMSPQDDNVKINPGSLATVAENIEAMEAAVATASSATHDSTTREMTTESKETAAEEAVDVAGPEPAHAPSVMLVLRMAGKKLYKIPNTYATVLGLLWSLIAFRLGISLPKIVDDSLSIISTTAVGLSMFASGTFMARQPRFVPCGYAIASLSMLLKFLVGPVVMWFTSLAMGLRGTLLHIAVVQAALPLAVISFVYAEEYKVHADIMSTGVILGIFISLPVTIVYYILLG >Et_2A_015313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10920884:10922121:-1 gene:Et_2A_015313 transcript:Et_2A_015313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFRLAFLCALLAAARRGAVVVDAVIVDGLQVGFYSKTCPEAENVVRDVVNSEAAIDRSIPPGLIRLFFHDCFITGCDASILLDESPAGDVPEKESSANGFTLIGLNTVDTAKSTLESMCPRQVSCADILAFAARDAAVAAGLPSYPVAAGRRDGERSNMDDLPGHFPVPGHHVPRLTELFAQRGLSQEDLVALSGAHSIGGAHCFMFANRIYGFSPNADVDPSMDPGLAARLRQACPRPTPSDEPERAPKVPFDPPSMGRLDNSYYSELLARRGLLTSDNALVEDPETRAVVEAFAADGALWQSRFAEAMRKVGALDVILGEGRGQVRTQCRMVNRPGPQQQQWPQQPMFPWRPRHRFPQRRPFPRHPLADMINGFFRGFH >Et_2A_016464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2531471:2533232:-1 gene:Et_2A_016464 transcript:Et_2A_016464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGSAGGGDGSIHLLLSILADGEEQARLLGELPDDPRLGAERYRGAARMLQCTLGKAVTVAKAIEAAASRAADSPRSADEGSGGTSAAVEAQDRPGVCKRRKGLPRWTAKFRVPDANLEATPDDGFSWRKYGQKDILGAKFPRGYYRCTYRASQSCPATKQVQRSDSDLCVFDVTYQGHHTCHQKQRHAAAAAVSPHETGQSPPPPDPNTELLAGFNDRLKVEASSHIDQDHAAGAAHFSCFPSVPSHAGAAADAACFSSSPAGSSYYAVPHCLLVPGSYDVDGYGYDLPARGAESELGEVVSRATTTTAASAVADRGSDYSLYYQYHAEFDPHLPFAPSGGARH >Et_6B_049577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6873985:6883729:1 gene:Et_6B_049577 transcript:Et_6B_049577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRRPLLLLALLAAVLSFSLASAFQSDELVLNDDDEFEGVGARPASPSPPAAPAVSSRRRSADASSAGHGESNTVQFTLEHDLGDGKGFVPAGSFSARLKSSAHGSQTLTKLRFTRNELTGDDKDAFKKLLQEDGFYTIRLPSNVLDPKRKDYVVSSIKARCIPRDSLDEHIVIHMDGVNILAINYGSVGGCQYPRQMKFPSKWTFNSYTVLKTAEQAPRTPSFADQLIEADNGLGEVMKPPEKSFWAKYQQTYQRSKLQGRAKQEHNGHLLLLLGEDERFVYGLQAIGRMERMHPIAFTLPDVSLAL >Et_2B_020152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17325542:17329667:-1 gene:Et_2B_020152 transcript:Et_2B_020152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTGFAASKVLILVGAGMTGSILLRNGRLSDVLGELQEIVKGVNQGQASGPYDIALIQAQIRNLAQEVRDLTLSKPITILSGNSDSGSSLSSYILPAAAVGAMGYCYMWLKGLSLSDVMFVTKRNMANAVQSMSKQLEQVSSALAATKRHLTQRLENLDGKMDEQVEISKTIRNEVNEVKDDLSQIGFDIETILQMVAGLEGKIELLEEKQDMANAGIRYLCQFAGGIKDGINAKFFQEASEKPKLSYPAQPENKPVKGLEWFLESTKEQKVIDTKPNKVTSDAEKPMKITAVKSTAVHRSTKFSFRKEGLAL >Et_5A_040488.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:25424990:25425340:1 gene:Et_5A_040488 transcript:Et_5A_040488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVDCESNCAPPLCYLPCLPKSKEDAGSDPVPESLSPAAVAEEKPPLVQKIEAVAASVDDEEGCKEVPVAPKSSLKRSDCVDSRNVVKGHVKWMDLLGKDLTQVKEFEPRYVCY >Et_1B_013160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:680593:682061:1 gene:Et_1B_013160 transcript:Et_1B_013160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQHPWRTGAAASRLSYKNATIAVCAFNLLAVAFLLHNYFSSWPRIAGGDQFDSAQLQFIWESEELRRLMEPVDLIRRVKEIEQEAYGEHGMTTREDPKQTAAVDLSKRLKDLRAGNDGSSQKALEEWRKRKVERARQRAIEKNGTTSGAKTQ >Et_9A_062010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18830092:18831432:-1 gene:Et_9A_062010 transcript:Et_9A_062010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Et_4A_033093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18377693:18382737:-1 gene:Et_4A_033093 transcript:Et_4A_033093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDESFLRFSQFNRIVQLALFSSLRFLVPLGPCTAEGAQLLLPQPLLDGADPALASSLSRTQSSLREARPGISIGFGSARSAREALSRIQLPLDDARPSFNADGVAEAGRGAARR >Et_7A_051848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25549254:25554001:-1 gene:Et_7A_051848 transcript:Et_7A_051848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPRLNPPCGNRSRADVQVNQLPLSSPLEPPHPPRDKTDGFSPPPPALSLSAPHHHHEAGGAAPPAAAGRAGGGGAALLPPPGAAVHRPPLPRLPPPTPLPGLALRRQAQAPLPPRGQSNMAGRGVAPSPLPPPFRPHPRVLRLAASRRWVTAAPPLHADIDTHKACGLGPAMPFAHRILLASSDLVLGLVPSAVGGTRIWMWDRGQPLYEAAVARARAAVDAGGGTLAAVLWFQGESDTIELDDATAYGGRMERLVNDFRADLGVPNLLIIQVGLASGEGNYTDIVREAQRNIKLPNVILVDAMGLPLRDDRLHLSTEAQLQLGDMLAQAYLKFNSSTDSRQ >Et_3A_027335.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:852466:852726:1 gene:Et_3A_027335 transcript:Et_3A_027335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSRLPEMTIPPAPRPAVDGGAVDAASKAAGKEPISPGTPSSAAAGRSGKERRAEGSIPLPGWKLDALCQEHGPSPARERFLYF >Et_8B_059800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2917211:2919315:1 gene:Et_8B_059800 transcript:Et_8B_059800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVDAAAFGSVDGVVGEIMRLHRSLPARPSLEEVEAAEALARAADREERARLDAVARLRRPPAVPDELFGIALEMHRALAGFDCREQKRDAMRLLELDALHGLFDDLIQRASQCVPSSSTRAAPRIPSAAATTSAAAASSSASSSAAVAAGSGSDRYSSVNTNGFGAQRTAARTTGRVSMDDSYVKKSKAPVWDGGAVAASSNVPRGAAAANSVVAQMDSGYGDGDEKLNHIKLASMIEVAAKKGTLELNLQGKLMNQIEWLPDSIGKLTGLVTLDISENRILALPEEIGRLSSLTKLDLHANRIAQLPESMGDLCNLIYLDLRGNQIASLPSSIGRLVNLEELDVSANYLASLPDSLGSLARLKKLIVETNNLDELPYTIGHCVSLVELRAGYNHLKALPEAVGKLESLEILSVRYNSIRGLPTTMASLTKLKEVDASFNELESIPENFCFVTSLVKLNVGNNFADMRSLPRSIGNLEMLEELDISNNQIRVLPDSFGNLQRLRVLRAEENPLQVPPRDIALKGAQAAVQYMSDYVAKKATKSQPTTKTKKTWAQFCFFSRPNKRKHDRIDTAT >Et_3B_028422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14979987:14991681:-1 gene:Et_3B_028422 transcript:Et_3B_028422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKEMAPSWLELLLVTQFFFTCTNHLRSSRNECNLLLTVIFCYYCKSRHHSTHRVIQIRRSSYHDVVRVSEVEDINDICNVQTYVINGAKVVFLNERPQVRGCGASIGKALPSSSHKCETCCRALLDEFRFCSLGCNDTEMRILCDNGPSDQAETEDVSGSGNTISNAKSKKENSSDNNEEEPPAKKKGNSSACTILLNQSSCRQQKEEMVTKEVEKSWCMDKIVYISQYCGKPLEHGYHCGSYTVPALGQKEMAPSWLELLLVTQFFSTCTNHLRLSRNECNLFCIDCEEQPIAFCYYCKSRHHSFHCLTQIRRSSCHDVVRVLEVEDILDISNVQTYVINGAKVVFLNERPQVRGCGVSFGKALSSSSHKCETCFRALLDEFRFCSLGCNGHEKGCGVTKHK >Et_1B_011882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26815560:26857703:-1 gene:Et_1B_011882 transcript:Et_1B_011882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQLPAVASLLALAATAGAVTFDATNTASDTAGGQRFNQDYGLSYAEQVLSDASTFIWNTFNQPSDADRKPVDTVTLVVENITGIAYTIGNGIHLSAQYVAGITGDVKTEVTGVLYHEATHVWQWGLQDYGAHPGVFEGIADYVRLKAGHVPGHWVQPGQGDRWDQGYDVTARFLDYCDSLSPGFVAVLNSKLKDGYSDDYFMQILGKNVQQLWQDYKAKFLAWSNAKMKDGYSDDFFAQILGKNVQQLWQDYKANGINFILKAVENSTFKLESTWSDHDVLDWDNTKQSKMKLQLAAVASLLALAATAGAVTFDATNTASNTAGGQRFDQAVGLNYAKQVLSDASTFIWNTFNQRSAADRKPVDTVTLVVEDIGGVAFTSNNGIHLSAQYVGGYSGDVKTEVTGVLYHETTHVWQWDGQGRANGGLIEGIADYVRLKAGYAPGHWVKPGQGDSWDQGYDVTARFLDYCDSLKPGFVALLNAKMKDGYTDDFFAQILGKNMIARATVVLGLAAVLAVAATADAVTFSARNAAPSTAGGKRFDQTVGVKYARKVLSDASAANWRIFNQRNASRRKPVAAVSLVVEEMDGVAFTSGNGIHLSAKYVGGYSGDVKKEVTLLTQRDGGALPRGDARVAVERAGPGERRPHRGHRRLSAAQGWVRAGPLGEAGARGQVGSGVRRHGEVPRLLRLSETRLRRAAQRQDEGGYSDDFFAQILGKNVRQLWQDYKANARNAAPSTAGGKRFDQAVGVAYARQVLSDASAANWRIFNQRNAGSRKPVAAVTLVVEEIDGVAFTSGNSIHLSAKYVGSYSGDVKREVTGVLYHEVTHVWQWNGQGRANGGLIEGIADYVRLKAGYALGHWVEPWQRDSWDQGYDVTARFLDYCETLKPGFVALLNAKMKDGYSDDFFAQTLGKNVRQLWQDYKANARNAAPSTAGGKRFDQAVGVAYARQVLSDASAANWRIFNQRNAGSRKPVAAVTLVVEEIDGVAFTSGNSIHLSAKYVGSYSGDVKREVTGVLYHEVTHVWQWNGQGRANGGLIEGIADYVRLKAGYALGHWVEPWQRDSWDQGYDVTARFLDYCETLKPGFVALLNAKMKDGYSDDFFAQTLGKNMKVGAATIASLLLAVAVVTANAVTFDVKNNATATLGGQRFDLDYGLDYAGQVLSAAAFFTWGVFNQTSPADRRPVDRVSLVVTDADGIAFTDGSTIVLNAGYVYNYTGDVKTEVTGVLFHESVHVWQWGLQDYDAHWWIFEGVADFVRLKAGYAPAHWVQPGQGSSWDKGYDVTARFLDYCDSLSPGFVAVLNAKLKYGYSDDYFVQILGKCVQELWQDYKAKYGQ >Et_2B_020785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23659631:23661944:1 gene:Et_2B_020785 transcript:Et_2B_020785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKKTMRALQYDKYGGGAEGLKHVEVPIPSPKKGEVLLKMEAASINPIDWKIQKGMLRPFLPKKFPLIPVGDISGEVVELGSGVTDFKQGDKVIAISFPNGGGLAEYAVAPASLTVARPPEVSAAEGACLPTAASTALQQLKAAGFSSFDGSGGDPAATSKNVLVTAASGGVGHYAVQLAKLAGLHVTATCGARNLGFVRGLGADEALDYKTPEGARLQSPSGRRYDAVVHCATGTPWSVFRPVLADTSTVVDVTPGIAATAKSFLQKVTHAKKRLVPLVLVPKKEEMEWLVDMTRQGKLKTVIDSRYPLSRAQEAWAKSIEGHATGKIVVEMGGGEE >Et_9B_064010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1064326:1069155:1 gene:Et_9B_064010 transcript:Et_9B_064010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRFRLWPACSDVAVTPAGLKPRAAAPETRPAPPPLRPTVQNPPCTSSAGERSGGSGNEGPARTAAEEESRETRAADPAEAMPRAGGGGGSRASAKPHHRPRLRPRLPSPPAPSLRASAAAGAAAPEESKEASALEATVVSSVEETSFTFEFKRGFKRAKKEDSLSRDAPRGEDNSTEGLSNRNAIAVKTPTAKQGPEKVELTHCAPSIVARLMGLDTMPRPKKVLDRCQSDIRANRQRHLSGGVQEVARTSSGGQTRNASANELPALKDVFEVSEMENMAMHELSRSGNKKPDLRSSEADLEFVRQKFMDVKRLSADEAQRNSKEFSEALEVLHSKKDVFLEILQEKRTAVSGFSGNILNHSGLQDSHASSAATAAARSFEQEILCSMEDGGEGVFDAATDSEEPISNVLLKETSVTPFEPLEGDGCKRGSGHRSPIVVLKPNLRRKSFTPVLSSQEASQYDWRNGKQYVKSRKPGVMHSAPSNEASERGDIMRQRARKQTPKSCSRRRSSKEECKLAQDSERVKVASTSNDDTIPICSSTGSSMGRKARKHLSERWQTACQFGSENSNPRDIKTLGEILELSDRDATKKASSDPKSNHDNSRQVPASPLGISSKDGWKKGISCEDHSRGGISRNFPRSKSLPASSTSSTKLSGRRQSASTCRLPILKDILNTPTDESEHARIKKRSSIRNAKQKNGKAIVHAGKENMLPEKEIHVTSEKERHSICISDLPRAANTYTEYPGDVIRCRDHQASEFAVQHEQQNFKVHIGCSDRELTTSFPATEDNPIYHQDIIALKEGRNPSIEIDVGEDDTEAIESTCIASTEGCECSSPTALSQQSSCEYMSYSGFFNRVNVGIQGLREQLKMLKREDQDDTCGYYSDTFSSDECSNMNTSTYSVMEEQVPLFKDEEDRDFSYVQDMLDSVCDFPAYPEDWQVSSDMFLWLENKYNKLLLWSKSDRKLLFDLVNSVVADMTAPSSSLHSKILVRCWSELDRGHFAAYVWQMVQKLRYYEQVSWDCILPLPLDHHSELELIKMEVLKMIHDDIIEESIAEFMSKEK >Et_1B_013922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2414109:2415671:1 gene:Et_1B_013922 transcript:Et_1B_013922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLPLAVLLAAALPLLFVCPAGGIYSPIGVADAGTVGINWGRVANDLPQPAAVVQLLKQQGITQVKLYDAEPTVLRALANTGIKVVVTMPNQALAAAATRPSFALAWVRRNVAAYYPATQIQAVAVGNEVFATAKNATAQLVPAMANVHAALARLGIDKAVKVSSPVALVALASSYPPSAGAFKEDLAQAVMKPMLDFLAQTGSYLMVNAYPFFAYSGNTDVVSLDYALFRPNAGVLDPGSGLKYYSLLDAQLDAVFAAVSKLGPNYNAVRVVVSETGWPSKGDADEAGAGAANAAAYNGNLVRRVLSGNAGTPRRPDADIDVYLFALFNENQKPGPTSERNYGVFYPNQQKVYDVEFVLGGSGHAGGNGGLGWQENGGPSPSDPTSGGGGGVKATKTGEAWCVANAMAGQQKLQVALDYACGEGGADCKAIQPGAACYEPNTMVAHASYAFNDYFQRKGRAIGTCYFGGAAYIVNQAPSKPTAAHLLLVPFSFIQFQVS >Et_4A_034043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29392755:29400510:1 gene:Et_4A_034043 transcript:Et_4A_034043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLADRYPLTVSDAEEEEPVELEPGAFVPVDLRRPNPNGLEFDNLYLDMNGIIHPCFHPEGRPAPTTYDEVFKSIFDYIDHLFSLVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEDRLRKEFEEEGRILTEKVKSEAIDSNVITPGTQFMFVLSSALQYYIQLRLNHTLGWQSVKVILSDSNVPGEGEHKIMSYIRLQRNLPGFDPNTRHVLYGLDADLIMLALATHEIHFSILREVISMPGHQEKCFLCGQPGHLAAECRGPSPADKTVELPPIHKKKYQFLNIWVLREYLAKDLEVVDPPFKINFERLVDDFVFMCFFVGNDFLPHMPTLEIREGAINLLMSIYRTEFTSMGGYLTDRGEVLLDRVEHFIQSVAVHEEQIFRKRARIQQARANNEERNKTQRENSEDNQYEDTVKLGEPGYKERYYAEKFKEEAESKPIDQVRRDVVQKFVEGLCWVMRYYYQGVCSWQWFYPYHYAPFASDLKGLAELEITFFLGQPFKPFDQLMGTLPAASSNALPKYYGDLMTDPDSPLKSFYPKDFEIDMNGKRFAWQGIAKLPFIDERRLLAETKKLEDTLTEEEKFRNRTMFDILYVRETHPLTAQIAFLYQFLAQSSTTDPYVIPIDPAASGGMNGYLCLSERNWYSIAVMSPVKGFNGITHNRVVNATYLNPQYHPHIPEPPEGAIIPAKILKPSDFKPFPVLWHEDNSRRQARERPQVSGALSGSVLGAAAHRLVKNSLQMKSGNSAVLLDMPYRGAPYGPGMRPRPAGPLGYERGFVDNPYHGHMSRSVPNPRPQFFGGAQANRQPVRIMERPNSQTHNIDIHSSMSKLTIKEGPRPHQSNRMQNSGYWPNQPHPNQYAGFPPQRPMQNNRFQPQRPVQAAGFPHQRPVNGVPPPLPPSNWIGKQPSGGHAGVPAKQDPRTALDRQPKQENSRSQHDKRQQATKVVYRVKTQAANGNGLSESGNQEEPTA >Et_1A_006896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28881737:28882320:1 gene:Et_1A_006896 transcript:Et_1A_006896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RQAFIAQQSGDKPPYKTQSTAGSKRPEKKPLIPSRAAIEFPEPPHNKETLPLGDGLIAPMCLCSTPCKLVKSLILGDDYGKRLWMCNNYQYELPLQRLHFSDGRPKSPPSLCEFIEYIDTEQTPENIAHLYRVAKRAKRHWFDMEAEERREEERRKMRQKEKERRREYEA >Et_1A_009459.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:666630:667241:-1 gene:Et_1A_009459 transcript:Et_1A_009459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSGSNGGGGDQGAKEFVLKVATHCHCDGCTDKIRGAVKDLTRLEGIQSWDQSALESKGELRLLATADPEKLRHRLHKATRKNVDLLFPKPADKQENNKSSVVDKHAAAKDVAMLLNALQQQQQQQAQFGGAAWANQLLAGGGGAGYVGYAAPQAYPWAAQQPDPYAAAYSGAYPAAAGAWGAYAYPPAPQQGYGWHGHGY >Et_1B_013553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9460654:9464203:1 gene:Et_1B_013553 transcript:Et_1B_013553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRPLKFLLLRRSLEHDALELELARGSFLCDKMVEDTSSSPDTAELSGHVAHKNVFYDKDVVEIKFADTIDSEKYGGYFVKDVCIDEGTLFHQKISGEKLIDRRSSPNFGCQMTEANNELMYRKKDDATKPEAIVPVDLSPEKQYSIGKEYHDHDGGIFTDYIAGDPGEKKISLQELLLLESAEESRLASTIKCESSEKQHHCDEDTISQASKNDSHEFQTVVPETSEHVSSDASSMMSKDHGAALDLKRPNQIDRHNPFIDHRSLVVEDTLEPECSVPAITDDAFTEPICTGNETDSFSNVISGSTGLNEVGTADSGVDSAISINSDIQSSEKSKIDTENLASASEADIMAVDEAAAIASSSPNNVEPSDLNGENQEKSDVNGVSDVHDFNQIVEENGMEPDNAVNKSSTLVHAGSAVMQTLPEGSKSTFCTSNDDPYESNFFGPSIMSAPVSNSGHIAYSGNISLRSDSSTTSTRSFAFPVLQREWISSPVRMAKAERRHVRRRLGWRKGLICCKF >Et_4B_036094.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2360063:2361373:-1 gene:Et_4B_036094 transcript:Et_4B_036094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVILMFGTIPAALTYYWRMKMPETARYTALVARNTKQAAADMSMVLQKEIHEDEQVERQVIASGETWGLFSTQFLRRHGLHLLATSSTWLLLDIAFYSQNLFQKDIFTKVGWIPPARTMNAIEEVFRIARAQALIALCGTVPGYWFTVAFIDIVGRFWIQIMGFLMMTVFMIALAVPYEHWTEPAHHTGFVVMYGLTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQDP >Et_1A_009422.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5071161:5071703:-1 gene:Et_1A_009422 transcript:Et_1A_009422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILENIQKARFLPTRPLKDELPTFQGGADKESHLMGLRKRLSSFSGKIQPISSASAEWAFRRSKSAPALAGAFATGHLKRWWEWVGWLLSKKPGFAGDLEMNEEEAAAIGRQSRGSWGHILYKVRSSVRRLVTSTHSLPTTQKHSLPSAVPKSVQCKPAAFAYAPRQSFHHTGHAMAH >Et_5A_041569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24517908:24520173:-1 gene:Et_5A_041569 transcript:Et_5A_041569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYQEHRINFVQDAAAKFPIISAGGSYLGKELAFHEASAPPTFAYPAWQEYNGCFELALGQSMVCNVDQKQSYGVCSTYGAQVMKAGHLPDAQHSVKLHIHHSSNIAVRIAKHHGRRKVLLQPAIAVDEPVYVNAKQVKGILRRRLARAKAARERRVTMKRKPYLHESRHLHALRRARGTGGRFLNTRSLAGEQGGPGPLTAAGSKNLGNTTESTTTARQMEDAVEEGDFLCTTERGEAKWMTPTSSGPGDMLRV >Et_2A_015693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1721723:1732072:-1 gene:Et_2A_015693 transcript:Et_2A_015693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRSTASSCPTPPSLPRRRWKEEDAGDMYRRSFDGLVAFLTTLFPYLPRREATAYLDAAGLDPLVVIDRRGMRRFGFCSGATVAAVETALRCAAAAARHPDPQRLVHGWKLLAPHLKNLVSELSSSTSQSRTGRPDPDDAVVRRALTTMYCPAGAATPSFQLEQSWELASSRRANTRGVVPNKSLPPVRGAAKRMLLATIHGFYLRALATFPTAELRARYHRGLLMGGYCYGPLDPVSNIVVNAVWHQHNFFLPTATNSKQATLRMISTACLWRAAVNQGKGRIVHPSVESFHGRAIEFEKVLRGQLFGGSGTQQYSNDDIIEAQTDDDRVCIQALEDDAIYFMDDDVATDDEEEWNTDDEGEHVDADNTTTTIRRSLAGLVAFLTRLFPYLPVAEATAYLDATGGDPLVAALLIIARRGVRAGYFDFSSSGAAAAAVEAALRCAAAAARHPDPRRLVIGWKLLSPHLKNLVTELSRSRATPDAVVRRVLAKMKDTENLPPPVHPYLHLDQSWELASSRRARRRRSIPNEALPPARAAMKRMLIATIHGFYLHALARLPTAEVRARYHRSMLKGGNCYGPLSPVSNIIVNTLWYELNFPVTDSEQVTLRMISTNCLRRAAGRSLYGLVSVLCTRYPSLTPDQALQSLLAAGADLRVADPNLFDPTRRDNKKLSSCSTCLPVGWCRSRPSASAVEAYASAANAALHPDPPAQQQLLGSPDSVHRLKTETEMLLQDGRPLTSELLVLLSKTLLHCPSSVQQQEQAPRTKVSRGFYAHFSACQDTFWCQHKRVCSKVNAALDAYNKGRVIPCSFSKLTLFMLMVEEPGAVPQFRLHVICAVNELVSGPEFSTDPAVKGYNPWAPFKYHHCHINFLATCEGLRGPHDGAPLRVLFFAECSNHGIHKESWCVPVIPPHLDAGQIRCIYCEHEGSMIVHPAMKSFHGRDTEFENVSGGKGPFHGSNSEHYTNDHNITNKQIYIDWVHWLEDDVNVYNSYS >Et_1B_012465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32230546:32234740:1 gene:Et_1B_012465 transcript:Et_1B_012465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSIDVERISFGGKEHHIQTNHGPVSVAVYGDHDKPALVTYPDVALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAASISSNVPVPSVDELADQVVDVLDFFGLGAVMCFGVTAGAYILTLFATKYRERVLGLILVSPLCKAPTWTEWLYSKVMSNLLYYYGMCGLVKDSLLQRYFSKEVRGCSELPESDIVQACRSLLDQRQSMNVWRFVQTMNERYDLTEQLKQLQCRTLIFVGENSQFHTEAVHMTSKLDRRYCALVEVQACGSLVTEEQPHAMLIPMEYFFMGYGLYRPSQLDCSPRSTLNPFCISPELLSPESMGVKLKPIKTRIRLEV >Et_9B_063625.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:12100971:12101261:1 gene:Et_9B_063625 transcript:Et_9B_063625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLEAKKHCLFPGRRMEKCERAVAKSARMYIPWGARRRSILSTDMKLSKPINLLYIHGVSYFFYFMIKSCQVTSFRRTRAWRTCIFTSQPLKIKI >Et_5B_044983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:868700:872939:1 gene:Et_5B_044983 transcript:Et_5B_044983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPAWWCALLLVVLAITTSPAAARFVVEKNSLRVTSPAELRGVYECAIGNFGMPQYGGTMHGVVVYPKANQKACKPFDDFGLSFKPRPGGLPVFLLVDRGDCYFTTKGWNAQNAGAAAVLVADDKVEPLITMDTPESSGTEHIENITIPSALISKRSGDDLRKALENGDMVNVLLDWRESLPHPDERVEYEFWTNSNDECGPKCDMQMNFVRSFRGIAQVLEKRGYTQFTPHYITWYCPEAFVLSKQCKSQCINHGRYCAPDPEQDFSTGYDGKDVVLQNLVQICVFRVANESRKPWMWWDYVHDFAVRCPMKEKKYTRECANGVIKSLGLDIEKINKCVGDPDADEENPVLKAEQDAQIGRGARGDVTILPTLVVNNRQYRGKLGKSAVLKAVCSGFEETTEPDVCLREDIETNECLENNGGCWSDKSGNVTACKDTFRGRVCECPIVNGVKFVGDGYGHCEASGVGRCQINNGGCWKESRNGKTVSACSNEESKGCKCPPGFRGDGVNSCEDIDECKENLFCQCKNCACENTWGSYECGCGGNNMLYIREHDTCLSKDTTSSVGWSFLWVIFFGLALAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLENHETPSQQRPVEHAEI >Et_1B_010822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14454306:14457575:-1 gene:Et_1B_010822 transcript:Et_1B_010822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFTKLQTREKSIGKKKELPPNGKESSDDAPSSATKQRVAAAKQYIEKHYKEQMKHLQDRKERRCTLEKKLADANVSEEEQHNILKQFEKKETEYMRLQRHKMSVDDFDLLTMIGKGAFGEVRVCREKATGNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDHHCIVKLYCSFQDSEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIEAIHKHNYIHRDIKPDNLLLDKYGHLRLSDFGLCKPLDYSNFPDLNEKDVTPTKTGSSHGDGRQQSMPKRSQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMTTCRKIVNWRTHLKFPEEARLTADAKDLISKLLCNVDQRLGTKGAEEIKEHSWFNEVEWDKLYEIEAAYLPQVTDELDTQNFEKFEESSDSAQCSAKTGPWRKMLSSKDLNFVGYTYKNFELVNDHDVPGMAELKKKEKAKRPSVKSLFDSPEGEEQQSDESAEGSVRKRESELSTSFSSLSPRDSTFNSGSQEFRTSTS >Et_1A_007452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34729096:34731419:-1 gene:Et_1A_007452 transcript:Et_1A_007452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGQQEPQLSLAAVRDALVREEDSVVFALIERARHPRNAPAYASPAGGGQSLVEFFAGRYQKPEDVPFFPQDLPSHLFPTKSYPKVLHPFASSVSVNDAIWKMYFIELLPLFTVDGDDGSYAETVALDFACLQVLSRRIHCGKYVAEVKFKDAPQDYSPAIQAKDTDTLMNLLTFKAVEEKVKKRVEKKARTFGQNVTLEDNAGKQDNIADGSECKVDPKVLSKLYDQWVMPLTKDVEVEYLLRRLD >Et_10A_000879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18565316:18568594:-1 gene:Et_10A_000879 transcript:Et_10A_000879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSEGEKHFIRGGIAQDIRTDGRRRLQFRTLSVETGVIPQANGSARVRLGGTEVIASVKAELGKPSILHPDKGKVNIFVDCSPTAAPMFEGRGSEELSAELSVSLQRCLLGGKSGAGAAIDLSSLIVVDGKVCWDLYIDGLVISSDGNLLDALAAAMKVALSDTGIPKVNVSLSAASDDEPEVDVSDEEFLQFDTSSVPVIITLTKVGRQYIVDATSEEESQMSSAVSVSVNRHGNICGLTKRGGAGLDPSVIFDMISVAKHVSQQFISLLDSEIAAAQADDE >Et_2A_018575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33414874:33420568:-1 gene:Et_2A_018575 transcript:Et_2A_018575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGAGGSGGGGGGLGGTRVPTWRERENNRRRERRRRAIAAKIFAGLRAYGNYNLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKLAAEQWLRSRLPFWPPGDRLRFRCSAEPKEESRPRLGCKPPPAERHDPIGRSASPSPCSSYQPSPRASYNPSPASSSFPSSGSSSHITLGGNNYIGGVEGNSLIPWLKNLSSSSSFASSSKFPQLQHLYFNGGSISAPVTPPSSSPTRTPRIKTDWENPSVMPPWAGANYASLPNSQPPSPGHQVAPDPAWLAGFQISSAGPSSPTYSLVAPNPFGIFKETVASSSRMCTPGQSGTCSPVMGGVPAHHDVQMVDGTPDDFAFGSSSNGNNESPGLVKAWEGERIHEECASDELELTLGSSKTQREKGFRDHIMVLSSCSTSVLIIEILVMSKFEGRQHNHHHQHENQPPLLVQDEQQKH >Et_1A_005965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16082827:16087171:1 gene:Et_1A_005965 transcript:Et_1A_005965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPGLFTEWPWKKLGSFKYLVLAPWVAHGAYLAATKGWREADLGYLAIMPSLLLRMLHNQAWITVSRLQNARGGRQIVERGIEFEQVDRERNWDDQIILTGILFYLGALHLPGGQHLPLWRADGALLIALLHAGPVEFLYYWFHRALHHHFLYTRYHSHHHQSIVTEPITSVIHPFAELVSYELLFSIPLVACALTGTASIIAFEMYIIYIDFMNNVGHCNFELVPSWLFKWFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDTLYEKSLKGKEEEVDVVHLTHLTSLQSIYHMRPGFAEYASKPYASKWYMRMMWPVSWLSVVLTWTYGSSFTVERNVMKKLRMQSWAIPRYSFHYRLTWEKEAINNLIEKAICEADKKGAKVVSLGLLNQEHNLNGNGELYLQKYPKLGVRLVDGTSLAAAVVVNSIPQGTDQVVLAGNLSKVARAVAAALCKKNVNVIMTNKQDYHFLKPNLPEDAAENLLFSKTGTAKVWLIGEGLDASEQFKAQKGTWFIPCSQFPPRTVRKDSCTYLTTPAMAVPKTLQNVHSCENWLPRRVMSAWRIAGMVHALEGWNEHECGDIVMDMEKVWSAALLHGFRPVSQV >Et_2A_015142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32262370:32262798:-1 gene:Et_2A_015142 transcript:Et_2A_015142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSATTFRRSGSSGLVWDERFLTEAEAEAKAGGDGAVEEPQPELRHSRSVGSIGMLRRGGRSDAGDDKKAKHKKQNNQQQVFRTKDVAPDVDPPSPRVSGCILCSIFGSSGSGSGSGTARRRSKPRKK >Et_4A_034598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4748379:4751798:1 gene:Et_4A_034598 transcript:Et_4A_034598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHIRLRRSGSEREAMILLCAASLLCCTGGARAADGDSTLTWCTGSLRDPGAGHSKFAYTADLAINATVAASDRSAVAGTGAEVKLGLAPDFALTQCRPDVTAEQCSRCLRVHRDGLLDANGYVCGTGGARDGVCLLRYDVEEFGGVFDPDERMGTVYGAARAQTAGLTVDVVRSLLDQVAAKANLTLASSASNGSRTVAGIADYRPDGTVYGLAQCIPQLSAADCNRCLRDALFRLSEQFNSSAGMQVLRPSCMLQYNSSLFFNASLLPVIHVSIPDAAASSPSPSSSQAPSSKHGSGNFRYEALVAATSNFSEENKLGSGGCGVVYKGVLENGQEIAVKKLTNKGLRESEREVSLVAQLQHENLVKFLGHCFQEGGMLLIYEYLTNKDLGSYFKDSNDRKKLEWPKWFNIIEGIARGLTYLHRDSGKNIIHRDLKPCNILLDSNFAAKIADFDLAREYNRDKSHESTQKTAGTFGYIAPECILGQQFSTKSDVYSYGVVVLSIIVGNSVHAFETESSTNLVEYVWLRWDGGRVQEVLDRDHLGIVTDEQMQQALRCVHVALLCVQKAKQSRPAMEDVVRCLNAETRLADRPSAPGFFSPDDHGGMGYSVNGLTISSQEPRS >Et_6B_048462.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:7249525:7250064:-1 gene:Et_6B_048462 transcript:Et_6B_048462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IFQRDLKPSNVLIDSNGSNVVGKICDFGLATYYDQAVATWCRTSRGTYGYMAPEVHKVRSSCTFESDMWSLGAIMYKVITGSPLIKGRDPADMTTCMRSLFGTLSNPAHTLSNEVCAGLEATDGPRASPEWTTHDTLIRRQFSSQWLKVLEGLLKLDPLERLSALDVLDMDWFVNHAPA >Et_5A_041065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17644383:17653258:-1 gene:Et_5A_041065 transcript:Et_5A_041065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHVGQGELGFQELLRFRRHERSQQFRSHTAAAGPRRTKSRAEHTAAEERAPTSMALAGARASLSFFPCSAAAAATCSPRPRLLALPAPRRHYVSLASSPAAASGWRTRRRRFLVRAARMESTGVSVGFRAPEFELPEPLTGRLWTLDDFEGNPALLVMFICNHCPFVKHLKKDIAKLTSFYMEKGLGAVAISSNSVVTHPQDGPDRMAEDAKLFKYPFPYLYDESQEVAKAFGAVCTPEFYLFKKDGRRPFELFYHGQFDDSRPSNNVPVTGRDLSRAIDCALSGQELSFVQKPSVGCSIKWHP >Et_3A_023407.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:26065417:26065698:-1 gene:Et_3A_023407 transcript:Et_3A_023407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFGIVLCLVLVIVGAALVASPAEARVVWGGYAAPSTDEMAGGPAVAAIGVGKPGGIRRARWNWNVRGRSLQGGDGTHKREVPGGPDPQHH >Et_8A_056749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16273490:16276524:1 gene:Et_8A_056749 transcript:Et_8A_056749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAGTRRSASVFMTKAPKQPLQDQIDPATWVLRSGKRLAADRICWDDKDAVTFHVDDHDDCRHHQQQLQPEKEAPKPKLPPREKDFRFVYSRKRRLQRQPAELHAEVVYTRRGKRPKITSIRREPEPDAPSDLAAVIPCSSQEFVSRTGYLDAQFSALVEDVAPRAGAVTLVVLVDTSCSRSSQRLMCLLLRWMRRSRQGTVQSLASFVSSLSVAPVIALQGLHFIKLQRHRGSALLHRTLVHCGWCVLNGAKKSGPLLSVNFSALPSYFQRLHSAVAFGSMYLPAVIRESRLLVGAPEQMHPHIPLDVNSGSQCTGIAEPTADLGSNKTHKVVLQDFVPLEQVAGVAVHGPSLKKHERKRSSMRHPRNRRQPTAKLSDIAIGMKMATVHTQREVKLPSTWQATMEPVQPKPTLKISLDLIESLDESDVSTPMGSARKQKRSSLKSPDDRINEKLSLAEVRQNIDFVRCKANLLVLRDDRGWREEGAEVMLELSDANEWCIVVKIQGTTRYTFKPSDTRFNVINRYTHAYMWAIDDAWKLEFSDKRDWLLFRELHIQGRERNSQGKTIPIPCVHDVPDDMEGVITSTFSRPVPDYIRMVGDEIERALSKESFYDMDSEDERWLIELNSADSYQNNCQWISYEDFEKIITAFEKDAYSNPEKPHDLGQLLSRNPSFGKDDNMLAVYKYWTNKRSKRDNAEEAVALQRLAQAEAAAKQAVEKAARLRSRAHSLMANAELATYKSIMALRIAEAARISGSSRDVVSAILG >Et_7A_050728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10522223:10527353:1 gene:Et_7A_050728 transcript:Et_7A_050728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDTNNLASDVVDGFELPPNAAAASGFKPPDADDVRAYLHKSVDFIYDYYKSVESLPGLPDVEPGYLRRPPPFYVAVKERREAIVPGMTHWASPNFFAFFPATNGGDLIASAMNTVGACPAATELEALALDSRLARAAPAPADELHEPRRRQRWPRYTALRQSGSRGVAGIARLTVYASDQTHSTFFKANMRSVPTGADTGYGLDPARLLEAMRAADADAGLVPTYVCATVGTTSSNAVDPVGAVADAAARFGAYVGARGRRVRRQRLRHHLDGVERADSVSVSPHKWLLTCLDCTCLWVRHARRLTDACGAETMECLRNDASDSGDMQVGVGRRFRGLKLWMVMRKHIRSDVAMAKSFEDAVRADGQFEVVAPRNFALVCFRIDGDGDEEEGAYCREENRELMERLNRSGKAFLTHTVVGGKFAVGSSLQERHVRSAWELIKATAGHGDHGEEADDFFHVACHDFYAAGAVTDLPRTYMHVGVERRFCGLWMVMRTYGAAKLQECVRSDFAMAKMFEDSMRAESPTTASSWRLRARSSPASTTRGCLQGGQPYGSGSAYLTHTVVASRRLAAGSRRHVRRKPKITTLPRPRKR >Et_4A_033672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25714609:25718616:-1 gene:Et_4A_033672 transcript:Et_4A_033672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGSGEGKRRRRRLLGAACLPRRGCFTVSAAGDEEGTSPASGAGEGESRPKPTHLVVTVNGIVGSAENWRFAAKHFIKKHPEDVLVHCSGCNSAARTLDGVDVMGRRLAEEVISVVESRPELCKISFVAHSLGGLIARYAIALLYESDTQKDSHEECEKHDVDYSSNQHTIHGKIAGLEPINFITFATPHLGTRSHKQIPLLRGSNKLEKMAFRLAWIAGRSGKHLFLKDMEDEKPPLLLQMVTDYGDLHFMSALRSFKRRVAYSNLSLAGEHLQYAVNMSFPRYPHIVYVEKPKAQDVEFSDAMIYRAKTTSEMEEVMLKGLNRLAWERVDVSFKKSKQRILAHSTIQVKNYFLNSDGADVIFHMVDHFLY >Et_9A_063114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10662580:10666540:1 gene:Et_9A_063114 transcript:Et_9A_063114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNVSTVYISVIDDVIAKVREDFISYGVGDAVLNELQALWEMKMLHCGAISGTIDRSKSTAAASAGGAAGATPPVHDLNVPYEATSEEYATPTADMLFPPTPLQTPIQTPLPGTDAGMYNIPTGPSDYAPSPISDARNGMAMNGADPKSGRPSPYMPPPSPWMNQRPLGVDVNVAYVEGREDPDRTVQPQPLTQDFLMMSSGKRKRDEYPGQLPSGSFVPQQDGSADQIVEFVVSKQFFFPGVPTEDYNNNTPGESAYDPIGNMLPDSDT >Et_2B_019110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10455788:10456867:1 gene:Et_2B_019110 transcript:Et_2B_019110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATTAATTPLRLLSKPLLRSRPHRLTLSSPISFERLTARSAASPTPSTASSSSSPGSVYPAQLPPALGEIAARLPPMDPALKTEANRVRGCVSQVWVHAEPEDGGARVRFHADSDAQLTKGLAALLVLGLSGAPAKDVARVPVEFIELLGIRQSLSPSRNSGLLNMLSLMKRKALEIAGSGGDAGASQESVQEIAKPTDMENKEPEFAAFDVQEEAKSEAETPDEEEQLDVLPADVVDGNGASLGGGRKERIRESLERALSPVELEIEDISHLHKGHAGVAGSNGETHFNVRVVSKEFEGKSMLKRHRAIYDLLQDELKTGLHALSIDAKTPSEV >Et_1B_010852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14836548:14837856:1 gene:Et_1B_010852 transcript:Et_1B_010852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARGFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVNGRIIRKRIHVRVEHVQPSRCTEEFRLRKIKNDQLKAEAKSRGEVISTKRQPEGPKPGFMVVEGALLETVTPIPYDVVNDLKGGCNPEFPRMLYTVMFASEKED >Et_4B_039265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9258620:9261048:-1 gene:Et_4B_039265 transcript:Et_4B_039265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPSSAPEKKRKWLLSNRKVSLGSPPPCATSIAGFRCPLGPREVFLVLFLGYCTNAKVVALTWQRSCLVLVAKCQGIQEPFGSLKLAVIDKYLREARAILASAPENGGGDAVAALGLVDAALELSPRMEAALELRARALLSLRRYKEVAEMLRDYIPSCGKSCSGDDTSSSSSASLLTSGSGDLGTISRAKLLSPERHRSDDAEPGAGSVRSFRCFDISELKRRVLAGLSKNPNTDTQWRYLVLGQACFHLGLIEDAMVLLQTGRRLASAAFRRESVCWSDDSFSSSSAAAAVASVPSGKTSKSGSAFIIPAMESEAVSQLLAHVKLLLRRRAAAMAALDAGLPAEAVRHFSKILEARRGVLPHPFAAACLVGRAAAFQAGGRPADAIADCNRALALDPAYIPALRARADLLQSVGALADCLRDLDHLKLLYDAALRDGKLPGPRWRPQGGVRYREIAGAHRKLTARIQGLRGRVAAGEACNVDYYLLLGVRRGCTRSELERAHLLLSLKLKPDRAVVFGERLELVDEHRDIEAVRDQARMSALLLYRMLQKGYSFIMSAVLDEEAAERQRAKEAAAAAAAAAAAALAAKQEAAALAAKEEAAKQEPPRPKSPSEKLRKTVPTGARPRSPPEKSRKTVPTGGRPRIPPPSRVQASKPKAKTAAPVPAMSKAPAAVTSTAPVYQGVFCRDMAVVGTLLSRGIPVKCEAMSC >Et_7A_050230.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1407198:1407485:1 gene:Et_7A_050230 transcript:Et_7A_050230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FPACLPPLLSHLSLSTARARRAAWLRGREAPVWGRRRRRGVSPATTGFGYERGYSCGADHNQGHLRRLHGRPPHLRLLPLASYTWRPRQRAINRL >Et_5A_040924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1537054:1549816:1 gene:Et_5A_040924 transcript:Et_5A_040924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQTRSGMRRISLTNVADTSSSSSSSGSSSLSSSATSSSSSPPDVVIAATAITSPVLMGYMANDAAATMSPAPGYPVDNEMDNGMSDDDEDSLEEFPYFDIIKDFVNPSPWEQYSSRPYQGHQLLAFMPVAFWTYFCKLQWCLLSGAHHRKECPSSYHGERACYRDPHMHASLPPRACPDSLACKHNLDYSFSWPHQGHRLWASLPVVFWVHFYKVQRCPWLGSHDWKECPYWHYGERARRRDPRTHLYLPELCPDYLASYEYHKTHHTGRAPTCVRGHTCSYAHGIFELWMHPDFFRTFMCDAGPQCGRKICFFAHFFWERRYPGDMVPFVDLQLLMSWWPLCAPPHPLPPHPRPTRQRGISNVMLQTRSAMRRIPLTDEATASSFSCSSFSAGSSSSTSSGALLSSSPPDVAIAAAATTSPMLGYPADEAAASMLPEVGYPADDEMDNGMSDDEDSELGEFPYYDIIKDFCVLMPRTNRILYTVTLVG >Et_4A_034674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5325587:5328286:1 gene:Et_4A_034674 transcript:Et_4A_034674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQVEYATKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMMLEGSNRRIHSVHRHSGLAVAGLAADGRQIVSRAKSEAASYEKVYGEPISVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGVSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKAFELELSWICDESNRQHQKVPNDLLEQAKAAAQAALEEMDAD >Et_3A_023789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12331083:12331718:1 gene:Et_3A_023789 transcript:Et_3A_023789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKNKVSNSRDNVVDSSNIRKHMVTIKCCKWQPPPNGWVKLNVASFQAETGEASIGVVVLCVIKMEDIFSRHVVFFWSVRALKRRKVAHELAQLARRNMTCAVWRLEAPSCTREQWRSQQRRQGGGGDEQ >Et_10B_003168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17226144:17230259:1 gene:Et_10B_003168 transcript:Et_10B_003168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAASAAPPNRRTRSRPPSASSRKSDDPSAAAAANGNGNGKRTVKKLRLSKALTIPEGTTVSEACRRMAARRVDAVLLTDAQGLLSGIVTDKDIATRVIAEGLRVEQTIMSKIMTRNPTYVMSDTLAIEALQKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAISRLEKAAEQGSAIAAAVEGVERQLGGNFSAPSALIETLRERMFKPSLSTVVTETTKVAIVSPTDPVRVATQKMREFRVNSVIVATGNTLQGIFTSKDVLMRVVAQNLSPELTLVEKVMTANPDCATLDTTILDALHIMHDGKFLHIPVIDGDGQIAACLDVLQLTHATIQLVEGGNGTANDVANSVMQRFWDSALALEPPDEEFDSHSEVSLLMASETGDGKSSVYPPVVGNSFAFKLQDRKGRVHRFTSGTESLDELMSSVRQRLNITDEKETVQLLYDDDEGDRVLLTTDGDLAGAVLHAKSSGLKVLKLHIEDPGLSTEVTKPSQELAPPPRSSGLSPVHIGLMAGVVALSGTAVMVYLKRSQL >Et_2B_021450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29828323:29832762:1 gene:Et_2B_021450 transcript:Et_2B_021450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNHGPSLASLSTPLLSDSIAPTRATNGHANHGHDHHDAASTCDAGGDPFAFLSEDRPPRDRGPSPADPFRNATPAWGGGLYGWLRTLLCAPVAVVRLVLFGLAIAVGYAATWVALRGWADVQERPREGAGPMPAWRRRLMWITRISARCILFSFGYHWIRKKGRPAPREIAPIVVSNHVSYIEPIFFFYELFPTIVSSDSHDALPFVGTIIRAMQVIYVDRFSPASRKAAVNEIKRKAACNSFPRVLLFPEGTTTNGRFLISFQHGAFIPGYPVQPVVVRYPHVHFDQSWGNISLLKLMFKMFTQFHNFMEVEYLPVVYPPEIKQENALHFAENTSYAMAQALNVLPTSYSYGDSMIMARAVEAGKENCSNYMVEMAWVKDIYGVSTAEAMELLEHFLAMNPDSNGRVKAQDFWALFGLDCSPLCKKIFHYFDFDNKESITFRQLLIGCAHLRTQPLFQGACGTAFEKCRDPETSDISRAQLTDVLRLSMLLPADDGILKLFKTFDIDDDEKISRDDFISCLSKFPFLIALFAGPINGEVYIEIV >Et_7B_055387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9826831:9832205:-1 gene:Et_7B_055387 transcript:Et_7B_055387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPMEVSFSVPPPPPDAAPAAPAPAPSPSPSAPPLVPAAAVSCSPQPQSQPAAAAVAPSQADDRVRVSVEVLLHATSVARHEEIQAAVERMLEARSLSYVDGPVPIPTDDPLLFENMSGLRITKFCCFGKSSPLFMCSSLLYEVGLKQRLLRYAASALLFTEKGVDPCLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFKSRYSMCQLVEVNAHSLFSKWFSESGKLVAKLFQKIHEMVEEESNLVFVLIDIAFVDRADIKAYVGPPTLQARYEILRSCIQELLRVGILTYRQGGSMPCILNYSTLKEKHCLEAAEPNGAIHLSSLLHQAAELCEGLSGRSLRKLPFLAHASAANATCCDATTFMHTLIQTARREISESRG >Et_9A_062643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2775253:2782620:1 gene:Et_9A_062643 transcript:Et_9A_062643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGKGAWVVPAPAYRKVEGWEGVGEDNPGFRCGHSLTAIAPTKGHGPRLILFGGATAIEAGASSGLPGIRLAGVTNSVHSYDVEKRKWTRIHPAGEPPSPRAAHAAACVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGPGPGPRYGHCMDLVAQRYLVTVSGNDGKRVLSDSWALDTAQKPYRWQKLNPEGDRPSARMYATASSRSDGMLLLCGGRDASGTPLSDAYGLLMHTNGQWEWTLAPGISPSPRYQHAAVFVGARLHVTGGVLRGGRAIEGEGAIAVLDTAAGVWLDRNGIVTSRTLKSSNEHDASSDLLRRCRHAAASVGSQIYIYGGLRGDILLDDFLIAENAPSQSEITSSMYSADRVSRAENPNRNQINSGSPVQQSPSNRQEIASAEAVSAVWLAAKEASAASSEDSLSEGIGSESPLSETSPMPEDFDDGGSQEPDVKLHSRAVVVAKEAVGDLGCMVRQLSLDQFENESRRMHPSNNDQSYPNRKALNRQKSPQGLHKKVISYLLKPRNWRAPADRTFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPTKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRIECIERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTVEQIEKLERPITMDVGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNKLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPVNSPESSPERGDAAWMQELNIQRPPTPTRGRPHAASDRNSLAYI >Et_1A_005029.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22342041:22342649:-1 gene:Et_1A_005029 transcript:Et_1A_005029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LNTRSEEHEHGNGNNNNHHHGYEWKFPAALSANTTSVHVTALDGVVNVNSLFTVAVFVGLSLATPGQLRSLAGDPACDAGPGVARSLLVLEVVAFSSFLFSSLVAQGLKLALNLINSKDPHDALRAHIDARVLRLGMLASAVGSVVGCVFLMVSMVMVVQIRLGTLGCASNRAAAKAAAGLVGLVTTALAVYIGTVFYTFTH >Et_4B_040099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9735204:9736677:-1 gene:Et_4B_040099 transcript:Et_4B_040099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPCLLPVLLAVTAAAAAFSSLPGGAHAKSKLAKKSDDIVNGPLLTEKLKAKRTLIVGPDEEFKTVQAAIDAVPAGNSEWVIVHLRSGVHNGKVVIPETKPFIFVRGNGKGRTSITHESASIDNAESAAFTVNADNVIVFGISFRNSARAGLIANSEIRTVATMVAGDKVAFYHCAFYSPHHTLFDSAGRHYYESCYIQGNIDFIFGNGQSMFQASALNCSCNPNTCPEIFVKPDRRTEIQGSITAQNRKEEDSGGFVFLKGKVYGVGEVYLGRVTDPYSRVLFSDMYLSKTINPAGWTSYGYPGSTANVMLAEYNCTGPGAEASKRVPWSRRLTADEAAKYLTVDFINGKEWLPAYYY >Et_10B_003344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1914744:1915927:-1 gene:Et_10B_003344 transcript:Et_10B_003344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELRPRKRAAADMETKEEDDDADDQLVDVDVVEGSKHGDGSIYQLDAHYLHRLYKLADTRETRLEPMRLSDPTKDCYPCWTACRQHAGCAMLQIFSLKLRNLPATAAGCSRIELYGFIALRDLLDPLRNYVFNYTRDDPYIIQDVNSDPFIYLSGPKRGVYLQCRVLMEYDIRIKKGATRQDDLQLVDGAATFNELACSQRVCTSRIRGDRGARLEISRALFRDAVEATVEIWITKLSANGSQDHGLDLSISGYISSITEEFKLFRGPVKKPCALDRFVVALRLDAYLFLHFKVPSCGSSNSQLDWFAFRVTNHGSIIGTREFHFGTVEVRVTWSSLV >Et_10B_003481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19999142:20002635:1 gene:Et_10B_003481 transcript:Et_10B_003481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTQQPAMMSSPTLQRRRPDQEAAAKATEDSQFFDAGKPPPFRIGDIRAAIPAHCWVKSPLRSLSFVARDLVVVLVLAAAAARLDSWLVWPLYWAAQGTMFWALFVLGHDCGHGSFSDNATLNSVVGHLLHSFILVPYHGWRISHRTHHQNHGHIERDESWHPITEKVYRELEPRTKKLRFTPPFPLLAFPVYLLYRSPGKNGSHFHPSSDLFSPKERGDVVVSTTCWCIMLASLLGMACVFVMWLDLVTYLHHHGHQDLPWYRGEEWSYLRGGLTTVDRDYGWINKIHHDIGTHVIHHLFPQIPHYHLVEATKAARPVLGRYYREPEKSGPLPLHLFGILLRSLRMDHFVSDHGDVVYYQTDPTLNAAGDWAETDKLKK >Et_1A_008456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7882008:7886821:1 gene:Et_1A_008456 transcript:Et_1A_008456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAVPTPSPFVASTRRFAPRLRSLVSTAAMSPSSSAAAPVPSAGAGEEGAPPLPHSTLEVAGARKGLLSGFASLRAPYRPFPVLASNRHVETIFAAFARSLPDVRLRRECLRAPDDGAITLDWVSGDDRALPRDAPVLILLPGLTGGSDDTYVRHMLLRARNKGWRVVVFNSRGCADSPVTTPKFYSASFTGDLRQVIEHVRGRYPQANVYAAGWSLGANILVRYLGEETDKCPLSGAVSLCNPFNLVIADEDFHKGFNNIYDKALARALRTIFKKHALLFEELEGEYDIPKAANARTVRDFDEGLTRVSFGFKSVDDYYSNSSSSDSIKNADNDPIAPSRGIPREDIKANPNCLLIVTPQGGHLGWVAGDEAPFGCPWTDPIVMEYLEYLQNDKNSSTKNNISYEQQNVPQTSASHVTVHLIDLQHYSTMTK >Et_4B_038557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29828515:29830053:-1 gene:Et_4B_038557 transcript:Et_4B_038557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPLESWLTKVRSAVSSAAKSSGAPPGPKKSKSSVGILAFEVASLMSKLLHMWRAVGDAAIARLRHETINLDGVRKVVSDDDDFLLGLACAELVDALRAASESVAALAARCADPSLREFGDAFLEFADTGGRDRHRWAAASSSWKEMDTRARKMEKLVGSTTALRRAMEELAEAEHGLRKVLIQQQPSGRHRRSLSASKISEVKHLKQTSIWGCTFDAVVALLARAAFTILARIKLVFFDQHPREEEEEAEERHPPLYRSLTFSSAIHPSSDQVVTPRRRLSMEDSSSMLLLPSSTAPPPKRGGSLISSAAALTTPPPGTLGADALAPRYAGVVISIERMARSPRTVGPDERDELYGMLPASVRAQLRARLRGAVAAADPGLAGQWRAALAGILDWLAPMAHATMRWQAERSFFFQRTNNTTKDPNVLLLQTLHFADRDKVEAAVAELLVGLNYVWRFDNEMSHRALFAVHRQQLLMDDTLIAAVNDANGTVSSCA >Et_4B_037830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23901440:23906417:1 gene:Et_4B_037830 transcript:Et_4B_037830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPPEDKSDRPGAGGGGGGRSAWMEQDRRPSKAWGIIIFGLIGATTATFAITQVRRSVDWFYTQLSKVQTTSSWRKTNNSSSRGSFSEEARKRYYQRMQQEYEEEQERVQRIRHMQSVFNRERNKFRRSYESWRENGPPGGYNYIPRDDWYWQADTSHSEHRNSRTYTPAGHRVYPMSHHYAVLGLDRSRATPYTDAEVKTAFRAKAMEVHPDQNQDDREAAEERFKEIVKSYEAIKLERKNGKYAECSVSYPLNWEQNLEEHSSHKIRYLPMNGIQAYTNLIPSLPHLYQIDNASRLRLRVGHW >Et_3A_025216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2800492:2808095:1 gene:Et_3A_025216 transcript:Et_3A_025216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDRGEPLLVKNRARYHPGCPGCRVDRLKEEREGVFPLPDLFRIWLVTVCSTLPIQSLFPFLYFMIRDLHIAKEEEDIGFYAGFVGASYMLGRALSSIIWGIIADKYGRKLVIVITLISILIFNTLFGLSTSYWMALSTRGLLGFMCGMLGPIKAYATEVCRKEYNHMALAVVSSSRGIGLIVGPAIGGYLAQPADKYPGIFSEKFPYFLPCLAISLLSFTALVSCFWLPETLHKHTKDTILDDSVENVEESLAGTDDQENGGGCLQLFTNWPLMSAITVYCIFSLQDVAYAEVFSLWAVSDRSYGGLSFSSTDLGNVLSISGLLLLIYQILIYPSVAKAVDPITLVRAVAIVTIPLLASYAFMPALSGFSLQLVVNCASFLKNSFSVTTITVFNILMNEAVTQNVRGAANGVAVTLMSIAKTIAPAAAGAMFSWAQRRQTASFLPGDHLVFFMLNVFTVIGLVFTFKPFYVRSNTKH >Et_7B_053749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11973960:11980948:1 gene:Et_7B_053749 transcript:Et_7B_053749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAPAASANGSHAAAAARVGAPTNPMATALLTDQYQFSMAYAYWKAGKHADRAVFDLYFRKNPFGGEFTVFAGLEECVKFIANFKFTEDDITFLQSVMPMCEGAFFDYLREIDCSDVEVYAIPEGSVVFPKVPLIRVEGPVAVVQLLETPFVNLINYASLVTTNAARHRHVAGKSKVLLEFGLRRAQGPDGAISASKYCFMGGFDATSNVLAGNLFGIPLRGTHSHAFVSSYMSLDDIPDKTLKSKDGSRVCNDFVSLVKECLQKIQVADSLAGVFGETNQSELAAFASYALAFPSNFLALVDTYDASGIRLDSGDLAYLSIEARKVFCAIEKDFNVSGFGKMIITASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYSQAALGCVFKLVEINSKPRIKLSEDVAKVSIPCKKRCFRLYGKEGYPLVDIMIRESEPSPKAGERILCRHPFIESKRAYVVPQHVEELLQCYWPGKSNKPRAELPSLEKIRSRCMQQLEKLRPDHIRRLNPTPYKVSVSAKLYDFIHYLWLNEAPVGELQ >Et_5A_041863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3600939:3605002:1 gene:Et_5A_041863 transcript:Et_5A_041863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYLGDDLEFLEDDDLDLDFAAGDAPRPNKQTNDTSAVEYRNGKDMQGIPWERLNYSRDQYRQMRLKEYQNYESLPRPREGLDMEYKQVDKSSTFYDFRFNTRLVKSTIVHFQLRDLVWATSKHDVYMPQNYSVMHWSSLLKRGKEVLNVADHVFPKKKVRGARPLSRMQISTMAVKNSLMVAGGFRGELICKYVDQPGVAFCTKVSGDDDSITNAVDVYESANGSTRVTAANNDCVVRVFETDRFMQLHRFTMPWPVNNTSVSPDGKLLAVLGDSSDCLLADPQSGKEIAVLKGHLDYSFASAWHPDGRVLATGNQDTTCRLWDVRNPSRSFAALKGRIGAVRGLRFSPDGRFLAAAEAADFVRVYDAGAGYAAAQEVDIFGEIAGVAFSPDDAEALFVGVADRTYGSLIEFRRRRAYGYLDSYL >Et_9B_065316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3780120:3783707:-1 gene:Et_9B_065316 transcript:Et_9B_065316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPVQPPPLLASHAAVRAAASRVASSSAVRGGLAGDHPPQVAALRRGDWVKLICGASFEDAADIRNLSLVYTLAGVDCIDCAADSSVVGAVNEGIDVAASIVPAGQRPWVMVSVNDDCRDLHFRKAEFDPEDCPPNCSKPCEKVCPADAISLQSAMVGGEQTQSDSLLGKLQGGVITERCYGCGRCLPVCPYDRIRAVSYIRDPTTTAELLKRNDVDAIEIHTTGMGTYMFNVLWNSLTESINNVKLVAVSLPDIGESTLDFMNAIYTIMERDLQGYNLWQLDGRPMSGDIGRGATRETISFAVHMASMSDRPPGFYQLAGGTNSYTIDSLKKAGLFQSPTFSGNSGIAASEMTSSQQAFIGGIAYGGYARKIVGRTLRKIPAQFGRVRIEDHPDFLLEALQEAMSLVAPVKGYPSPFKPIK >Et_7A_052866.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2133893:2134363:1 gene:Et_7A_052866 transcript:Et_7A_052866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVTLLAVQHLASGAATTTAQHAHLSPAAIVILAVAVTLAVAAIAAFGCAQGAKKPPRHNNVYYYGQGYPPPPPAGAYGYPAQQPAGYAYPPQQQSAGRTGRSGLGAGAGLAVGAVGGLAAGAVIGSALNSGGGCGGGCGGGGGCGGGCGGGCGG >Et_5B_044496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2908492:2909703:-1 gene:Et_5B_044496 transcript:Et_5B_044496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTARGHLPWPLAARASRSSGRTSTGVRAASSSAARAWTGRRPPPPPRRAVAARAGAAEVRPSSSPDAVTYTASISTDVPLYEPPGVSFDEYLQDRPRVFRAMFPDESRSQQLSDGEWRIQMLPLQFLLLTVRPVVVMQLRHRGAGLDLRITEWELRGLDSGYAPASFDLGVRGSLYADRSRGRRASRMKGHLEIAITCVLPPALRLVPEAVLRGVAKSVLSRLAEKMKRDVDVGLVADFQRFRREKAAATSGAIAPAVDATTAD >Et_2A_016944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29733239:29740462:-1 gene:Et_2A_016944 transcript:Et_2A_016944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSKAEFLEQFGADYGYPDAPRGIDELRAAEFKRLEGTVYLDHAGATLYSEAQMADVAKDLTSNIYGNPHSQSDSSLASSDLVTSARNQVLKYFNASPRDYKCVFTSGATSALKLVGECFPWSRDSCYAYTMENHNSVLGIREYALGKGANVLAVDVEEVGDPPKNHGSDSLFKISRHSNQRRGDDALLHNHQNGCLTASSGQWMVLIDAAKGCTTEPPNLTVYPADFVVCSFYKIFGYPTGLGALIVKNEASSLLNKTYFSGGTVAASIADIDFVRRRKSIEQVLEDGTVSFLSISSLRYGFNIINTLTISAIARHTASLATYVRTKMLDLKHSNGKSVCIIYGQQDSKVNDLKMGPTITFNLKREDGTWFGYREVEKLASLSGIHLRTGCFCNPGACAKYLGLSHSDLVSNFEAGHVCWDDNDIINGKPTGAVRISFGYMSTYEDVEEFLKFLRSSFVSKAIELNNGQMLNVNALNLADNWSPHAVSDIHLKSITIYPVKSCQGFSVKSWPLTTGGLKYDREWLLQGLGGEILTQKKVPELSSIHTLIDLELGKLFIESPKCKDKLQISLEHLTHLSAELDLYGQRYEVQSYSDRINTWFSEAIGRPCSFMRCSSSKYRSCTITGGRDNLCRDTRSKLSFVNEGQLLLISEESVSDLNSRIHSSNANGKQRLVIDAMRFRPNIVTSGSTPYNEDNWKRLRIGDAYFTSMGGCNRCQMINLYQKSGQVVKAKEPLATLASYRRQKGKILFGVLLNYEDGMDGEDDTVVERWLQVGKEVHPCTD >Et_8A_058274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:446355:447561:1 gene:Et_8A_058274 transcript:Et_8A_058274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAVVAAAMAAVLVAALLSAAPAIAVEFGEADLASEESLWALYERWRGVHAVARDLGDKARRFNVFRENVRLIHEFNKGDAPYKLRLNRFGDMTADEFRRAYAGSRIAHHRMFRGDKKQGAGFRYAGARDLPPSVDWRQKGAVTDVKDQGQCDIYILTIANNKLGSCWAFSTIAAVEGINAIKTKNLTSLSEQQLVDCDTTSNAGCNGGLMDYAFQYITKHGGVAAEDAYPYRARQGKCNKSGAAPAVVTIDGYEDVPANDEQALKKAVAHQPVAVAIEASGAHFQFYHEGVFTGKCGTELDHGVAAVGYGVTADGTKYWVVKNSWGTEWGEKGYIRMARDVGAKEGLCGIAMEPSYPVKTSPNPTKIRSAAEEPADHDEL >Et_7A_052913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25046467:25047701:1 gene:Et_7A_052913 transcript:Et_7A_052913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWKEGYKDELKKPPEYSVTITGVSGLPASTGNVVINPEFNLSIGVASQSRLAGGCILAGTSVMVSYSNGRLPLASGRAPDICAFPLQSSEKRAVARGFAVAVPGFLVNSLVEDVVQGEATFEVTIKTLLPDDYWMVVTCWPRLVPDGTKAKAPCSMQRQHIRYYVARPEEPAGVVYVVPQQPAVPQWDAGIAAPRSPVLAI >Et_4B_037483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20254499:20257873:1 gene:Et_4B_037483 transcript:Et_4B_037483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRSMNNVKSGEAREEQKSKLAWLRKMDEPNDVKVFFLPYSGMLIELVDACRLVFTDARIPPTDDDISFVSGFMDKIGMNDVGLMDEARYFYIMSMRQGPPMLTCKIIYECANFRVMVVYLPKGVAMPIHNHPSMTVIRKLLVGSAHIEAYNFVSPSVSAAGSGSVMLAEKVIDQDVMAPSRASVQSRDYMHSFMAGQDGPCCVFLQVHVMLNLPAVQRCGAFPNKFHPSKNQQAHGLIFQLLFFAFIALLHCLFSDEVACLLLSCSWTDIVSREVTEEQKSRLVRLRKIDEPKDVK >Et_3B_031602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5788347:5790935:-1 gene:Et_3B_031602 transcript:Et_3B_031602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMHIRILEVKTALPTRKILDSGLLPARSPTLMNTVVDASHPWVSTRILQETGALSRGMKGLFCRLCPSVLPFGVRVVRRLLVLVPAGDDEEAEHGEQPEEVVEVADVEVVRDPPELAVPGGDARHDGHQHRAEVAAERHGGERQGRAHAPHGVGRLVVEELQLPDEGEDLRRADDEVLRHLPEDGDGHDVLVAVQPVPRDGA >Et_1B_010565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11684422:11691383:1 gene:Et_1B_010565 transcript:Et_1B_010565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAGDPAGAAAAAAAAAAATPSGRAPRLPRWTRQEILVLIEGKRMVEGRGGRGGRGRVAAAAAAAGGAGEAAALEPKWAAVAEYCRRHGVERGAVQCRKRWSNLAGDYKKIKEWERTAAAAREPSFWAMRNDARRERRLPGFFDREVYDILEGRGRAILGGRSGGNATEEEEEAVPVEEEKEAGAAEPVFDSGRPATEEALFSEEEEEEEEAPAPAPPPPPPSVIALPISEKPEASRQQQSAEQGTSKDKQPEQSTEKDAPAQQGGQKRPRTDEDTGEGTTDLQSKLIEILDRNSRMVATQLEAQNLNCQLDREQRKDQANSLVLVLGRLADALGRIADKL >Et_1A_007763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3860738:3868268:-1 gene:Et_1A_007763 transcript:Et_1A_007763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLKKLHIGEGSSDGGSSPPPPPSRKGGSGGGGVHHHPHNDQRQQPSSVSSWLDNVPTRPPPPIPAEVERPTSVSSVGAGAKERTPRQQSGAVERPTSVSSVGAGAEDRFSRQQSAALERRRSQEQERRRSQEEEMERERRLSQAEDEVEERVIRESSEAEERKREREKEEDELEAYQLQLVLEMSARDNPEEMEIEVAKQISLGFCPPQSSPAEVLAVRYWNFNALSYDDKISDGFYDLYFIGNGPASVSMPSLVELRAHPFSHKVNWEAVLVHRGEDPELMKLEQKALIMALELRSRTSEFVGEALVQRLASLVASHMGGLVFDPESMSVKYQSMISSLRTNIGSVVVPLGQLKVGLARHRALLFKVLADGIDVPCRLLKGRQYTGSDDGAMSFVKFKDGREFIVDLVADPGTLIPSDGAVPSTQFKDNFVSDGDHFSKDDTADQFGSSLSGMSSSACGSFEYELLDGRSTSINVGSSDTNGATTSQTSNQQNMLSSSFEKLSVSTFSSENRPVTNESANTDYIMVAKNKEKLIAANDSSSTSPSTSEVSSTPAVRRMKVKDISEYMINAAKENPQLARKIHEVLLENGVVAPPDLFSEDSMEEPKDLIVYDTTLFESKDEMKRTMNEFGSREYADRGHGPLLPPHPAHELHSKVAPHRIALDSLKPVEGLGIYHPPDKGKDDFWDKQHIEFDHGQDNTLDQEVPQEAERTSDKSSGTESAKSDITLEEIAEFEMQWEEIDIGERIGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALEEFKTEVRIMKRLRHPNVVLFMGAITRVPHLSIVTEFLPRGSLFRLIHRPNNQLDERKRLRMALDVARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNNTFLSSRSTAGTAEWMAPEVLKNEPSDEKCDVFSYGVVLWELCTLLQPWEGMNAMQVVGAVGFQNRRLDIPDNIDPVIAEIIMKCWHTDPKLRPSFADIMGTLKPLLKNMTSNQAPR >Et_3B_031265.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23128730:23132107:-1 gene:Et_3B_031265 transcript:Et_3B_031265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPWLVTVLALFVAAVAVAADDAQLLDQFKAAVPSQPELRGWSAGDGACKFPGAGCRGGRLTSLSLAGVPLNADFRAVAATLLQLAGLEALSLRGANVSGALALPPGGARCGAKLQTLDLSGNAGLRGSVADVEALAAACSGLRALNLSGDGVGVPAAAAKSGSSTGGGSGFAALDALDLSSNKITGNGDLRWMVGAGVGAVRRLDLSGNKISGALPEFTNCSGLELLDLSGNAIGGEVPGGSLSDCRGLKALNLSGNRLVGAFPPDVAGLASLVALNLSNNNFSGDLPADAFAKLQQLNVLSLSFNHFNGTIPDSLAALPELDVLDLSSNTFSGTIPSSLCQDPESSLRMLYLQNNYLSGAIPDSVSNCTNLESLDLSLNLFNGSLPASLGELGNLRDLILWQNELEGEIPPSLASMHKLEHLILDYNGLTGSIPPELAKCKELNWISLASNRLSGPIPSWFGQLSNLAILKLSNNSFSGPIPAELGDCQSLVWLDLNSNLLNGSIPAELAKQSGKMNVGLVIGRPYVYLRNDELSSECRGKGSLLEFTSIRSEDLGRMPSKKLCNFTRMYMGNTEYTFNKNGSMIFLDLSFNQLDSEIPKELGNMYYLMIMNLGHNLLSGVIPPELAGAKKLAVLDLSHNQLEGPIPNSFSTLSLSEINLSNNLLNGSIPELGSLATFPKTQYENNSGLCGFPLPPCDHNAGRSSSDDGQSHRRKGTLVGTIAMGILFSLFCIFGLLIIFIESKKRRKQNEEASTSRDIYIDSRSHSGTMISNWRLSGTNALSINLAAFEKPLQKLTLADLVEATNGFHNDSLIGSGGFGDVYKAQLKDGKIVAIKKLIHVSGQGDREFTAEMETIGKIKHRNLVPLLGYCKVGEERLLVYDYMKFGSLEDVLHDRKKIGIKLNWTARRKIAVGAARGLAFLHHNCIPHIIHRDMKSSNVLIDEQLEARVSDFGMARMMSVVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGKPPTDSTDFGEDNNLVGWVKQHTKMKITDVFDPELLQEDPTLELELLEHLKIACACLDDRPSKRPTMLKVMAMFKEIQAGSTVDSKTSSACTGSIDDGGFGIIDMTLKEDKEEKD >Et_3B_030015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29948366:29951584:1 gene:Et_3B_030015 transcript:Et_3B_030015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLTPSHGGMPPPGQGLARYGSAPGSFLAAIADSVIRGDPAPPPPPPVSRFFSGESSGLTSCESSGRTDGAARPPLQRAYGGSGEIRVPPPPQQPPVSAQMGHHGGGAAPEVSTLFRHSSSPAGLLSRLMADHHGVAATTGMGSYSHAGTDAMTHGHRRLSSQWSFSRQDLPQISEMGMIPDIGESMVAGGCNSSSDGGAHSSSYLSRNFSMNSWDDTNSIMFSSPGKKAKVDAADDMVTSFSNIDSQFSLSKSSLEMSGMDDFLQLQQDSVACRVRAKRGCATHPRSIAERERRTRISKRLKKLQDLVPNMDKQTNTSEMLDIAVEYIKELQGQVEFLFIKNANPACEHGYFWQLNIYRCSQL >Et_8B_059359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17064400:17067786:1 gene:Et_8B_059359 transcript:Et_8B_059359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSELQARARFVQSSAANDGVHFDEDRWLSHVRQSLEREAADALGAAAKVFDVPRVLKATRPEAYLPQHFALGPYHHHRPELRDMERYKLAAAKRVTKLFVDGHKFDHLVQRLLDAQDKIRAPYHRFLELSDQTLAWMMAIDTCFLLDFLESYHRDEATDMVSSATTWINATVRDAMMLENQLPLFLFAQALALRHASEQAAAEALHGVLDRFIKEVSPIKTAAELVVADVARHAHMLELLYHFLVPAAAVFEEDGREPPPMVPDELTLDMLDPAQQLPDYDKVKQACVSVSSLDVAPVRFIRQNLIARPMSMASSLPGQIMRKVPVLSALAPLVTKLMASTDVEARLKGVNLGSIINSPLAQEIMIPSVAQLAGWGVRFAPAPEGIAGISFDAATATLSLPIITLDANTEVVLRNLVAYEAVAVRGPMVLARYTELMNGIIDTPKDIKILRQSGVVVNQLKSDKEAADMWNAMCRATRLSKVPRLDAVIREVNAHRSRRAAARAQRLLKKYVVEDPHAARRSRAAAHDGFADVLLRIPVPKLVRIRVAAALKKARSQEQDVKTQHQKELVESRRLMRGMYRQLDHLAAAMHELLPNDDDKDDDAVGGTSSSSSLR >Et_10B_003055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1655262:1656701:1 gene:Et_10B_003055 transcript:Et_10B_003055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRASPYSYAVLEPLLRVHFPFPRRLLQVHAILLTSGALLSDPHSAAAFPYNCLAHAHLRIPAASTSAPPPAPLRLFSAMLAHGVRPNRHTFPSLLKSAAASGSFAAANALHAQCLRRGLAADRFVACSLISAYGRSGHPARDARKVFDEMYGSPDLASCNALLDALCLSGDLAAAESFFESMAVRDAGLAAGMAVHAYVVRHKRELTAFLGTALVDMYGKHGKLGCCNSAFEIVCKKETCTWNALLSALANHGMETEALVKFDMMRAEGFLPNQITFVALLTACARAGLVEIGLYLFEAMVAEYKVTPLMVHYGCVVDLLGRAGRFVEDVQVIERMPFTADASVWGALLGACKLHGNVELAAEVGQKLMALGPQQSGRYVTIRNVYLEDGNWYAATRMGEAMQEAGIKKTVGQSSVVFHGSAIT >Et_5A_040871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13818241:13827002:1 gene:Et_5A_040871 transcript:Et_5A_040871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRATAAAPKARRRNPSPNPKLKPSFLSPLAAPSPAARTRARTRAAASSPALSSSPGSSSSPADLSFLSSPGSSASPEPKPKPRARARAKAAPRSPLVVATPRAAASPSPAASPARASAAASASNVGDLRSAVTSQLEALKRRLDALHSRAHADLDASLSRVSKRVKTQNQACQQLTDEVDKEYKKMSDYIKESSEKVKSKYKQIIAESQSSTTRVCKVTIPEMTKTVEKAIEDVNNSRIALQGWLFMSPPELMVLRLLPFLQPRAGPLQELSHAYDAAIQQKEAFRPSARPQEEWAPRPKCRGAVAAAIEAPALHWSRYDHHPAPAGHATVAAARHGAGTPTPHAEVRANRLGLRLRLRLRLRQDFILLLGRQSRRQRAGDGDGNPPSHQLDEEVCRVARILQAAVESAGPLQARLPDCLLQIAPPWAERRLEGDAEVDPPIEIRRLGDPLEPALELQHRLAIPIAPRHDGEAVLVRARCRQPRVVLGQRLADLQAAVDLVLLDPGELCAEGGEARVMARPDVGAELGDEAAGGGVDEHRRKFNDLLRIYASVFLAGRLEIDDDKVICHRRRRAEALGFR >Et_8A_056824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17450500:17478416:-1 gene:Et_8A_056824 transcript:Et_8A_056824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVEIQRVASMRRGGSGSVWQRRDDVFSRSSREEDDEEALRWAALERLPTHDRVRRAIVPHGLGDEAAPAAKGVVDVDVLSLGPRERRALLERLVHDADEDNERFLRKLKDRIDSSGLPTLLNSVINTVEEAANALHILPSRKRTMPVLHDVSGIIKPRRLTLLLGPPGSGKTTLLLALAGRLPKDLKVTGKVTYNGHEMTEFVPQRTSAYISQHDLHIGEMTVRETLAFSARCQGVGSSFDMLAELLRREKAANIKPDVDIDAFMKATMGGQANVVTDYILKILGLDICADTMVGDEMLRGISGGQKKRLTTGEMLVGPARALFMDEISTGLDTSTTFQIVNSLKQSVHILGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPREEVVEFFDSMGFRCPERKGVADFLQEVTSKKDQKQYWALHDEPYRFISVKEFATAFKSFRTGQRIANELAVPFDKSKSHPAALTTTRYGVSGKELLKANIDREILLMKRNSFVYIFRTFQLVLTSFITMTLFFRTKMKRDSVADGGIYAGALFFGVLMIMFNGFTELTLVVIKLPVFFKQRDLLFFPAWSYTIPSWILKIPVSFIEVGGYVFLTYYVIGFDPSVSRFFKQYLLLLVVNQMSSAIFRFLGGAARNMIVANVFGSTMMLVAMVLGGFILIREKVKKWWIWGYWISPMMYAQNAITVNEMLGTKWDKILNVTASNETLGVQVLKSRGIFPEAKWYWIGFGAMIGFTIFFNAIFTLALTYLKPYGNSRPSVSEAELEEKHTNVNGEVKDGNHMAEARSTRISEEADLTTTKKGMILPFAPLSLTFDNIRYSVDMPQEMKARGVDEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGDISISGYPKKQETFARVSGYCEQNDIHSPQLTVYESLLFSAWLRLPSDVDSNTRQIFIEEVMELVELNSLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYAGPLGRNSCDLIKYFEEIDGVSKIKHGYNPATWMLEVTTISQEQILGVDFSDIYKRSELYQSNKALIKELSQPAPGSSDLHFPSKYSRSSFTQCMACLWKQSQSYWRNPPYNTVRFFFTTIIALLLGTIFWDLGRKVETSQDLLNAMGSIYVAVLFIGIQNCQSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIELPYALLQSILYGVIVYAMIGFEWTVEKFFWYLFFAYFTLLYFTFYGMMAVGLTPNSNIAVIVASAFYTIWNLFSGFIIPRPKMPIWWRWYSWICPVAWTLYGLVVSQYGDVMTTMSNGRSVKEFVENDFGFKHSWLGYVATVVVAFGLGEMDAAVEIQRVASMRRGGSGSVCGAGTTCSRARPGTRTTRRRCGRQRWRGCPRTTASAAPSSRTASATRRRLAKTSWTSTAKASERRALLERLVRDADEDNEQFLRTLKDRIDRYHLNAEAEVRVGSSGLPTLLNSVINTVEEAANALHILPSRKRTMPVLHDVSGIIKPRRLTLLLGPPGLGKTTLLLALAGRLPKDLKVTGKVTYNGHEMTEFVPQRTSAYISQHDLHIGEMTVRETLAFSARCQGVGTSWYDYELLISHMKNMLAELLRREKAANIKPDVDIDAFMKASIHKLRLDIHLYSKDLVCFFLVNASAVGGQANVVTDYILKILGLDICADTMVGDEMLRGISGGQKKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLKQSVHILGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPREEVVEFFDSMGFRCPERKGVADFLQEVTSKKDQKQYWALHDEPYRFVSVKEFATAFKSFLTGQRIANELAVPFDKSKSHPAALTTKRYGVSSKELLKANIDREILLMKRNSFVYIFRTFQLVLTSLIAMTLFFRTKMKRDSVADGGINAGALFFGVLMIMFNGFTELSLVVVKLPVFFKQRDLLFFPAWSYTIPSWILKIPVTFIEVGGYVFLTYYVIGFDPSVSRFFKQYLLLLVVNQMSSALFRFLGGAARNIIVANGFGSFMLLVVMVLGGFILIRASSSVVMSYLKLVEQVKKWWIWGYWISPMMYAQNAITVNEMLGTKWDKILNATASNETLGVQVLKSRGIFSEAKWYWIGFGAMIGFIIFFNAIFTLARTYLSPSNHFILFTAYRNSRPSVSEKELEEKRANVNGEVQDGNHMEEARSTRISDEADSTPTKKGMILPFAPLSLTFDNIRYSVDMPQVKRRNLFL >Et_2B_019906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15053415:15058613:-1 gene:Et_2B_019906 transcript:Et_2B_019906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSRVQDEVEMQRRTNNSIYRIFPDERQNHSKPLYQVARADRFGANRTDVKNPEKLKVLSEGTPWHRRILDPGSSVVLTWNRVFLVSCLFALFIDPFFYYVPLVRQDKVNNSACVAKDQRLSIWITVLRTLADLFYMLNIAIKFHTAYVDPKSRVLGKGELVMDINKIQHRYIRTDFVIDILAAVPLPQVTVWLIMPAIRSSDYNIRNTTFALIIVIQYVIRMYLIVPLSNQIIKAAGVVAKSAWGGAAYNLLLYMLASHITGAIYYLLSVERQVTCWDQQCLAEANTTSCTYGFISCENTGSSDYAIWKNNTQIFKNCNATDTNISFNYGMFISALGKGAVSTSFLEKFFFCLWWGLLQLSSSGNPLQTSAFILENAFAITIGALSLILFAQLIGNMQTYLQSISKRLEEWRLRQRDMDEWMRHHQLPPHLQERVRRFVQVKWLATRGVEEESILQALPADIRRDVQRHLCLDLVRRVPFFSEMDDQLLDAICERLVSFLCPENTYISREGDPVNEMLFIIRGKLESSTTNGGRSNFFNSIILRPGDFAGEELLTWALLPKTNVHFPLSTRTVRSLTEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRQHQRRKLAESLSRLESYSWWSEDHPAVDKPKPESSSSSTPRIVAEEGSAQMILRFAGCRSLMSLTSRQTILTEVEALLREIHVVQLQPSYPN >Et_9A_063328.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:20210059:20210439:1 gene:Et_9A_063328 transcript:Et_9A_063328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARASSLRLYPIFHTSTTSRLLTGWSAVMGRVSIGTPAYTASSVEFMPQWVKNPPTEGWHSTLSWSVHGTTTTASSRPWTRPFTRERVTASCTVHRILVLLSARPAAISSSCADETERKLPWET >Et_4B_037733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22985119:22988446:-1 gene:Et_4B_037733 transcript:Et_4B_037733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREREKELEAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNALYQSPHEARLLFGRGFLAGIDRREQKKAAAAHEKETRLELRRKAGEDYRPEDDAADKKKAAAADMYDAFDMRVDRHWSEKALEEMTERDWRIFREDFNISYKGSRIPRPMRKWAESKLGSELLRAIEKAGYEKPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLSYITRLPPISEENEAEGPYAVVMAPTRELAQQIEEETVKFATYLGIKVVSIVGGQSIEEQGFKIRQGCEIVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDEKRIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQNVIMVKESEKMSRLQKILTDLGDKTAIVFCNTKKTADMRAKDLDKQGFRVTTLHGGKSQDQREISLEGFRNRRFNVLVATDVAGRGIDIPDVAHVINYEMPSSIDTYTHRIGRTGRAGKKGVATSFLTLENTDIFFDLKQMLIQSNSPVPPELARHEASKFKPGSIPDRPPRRNDTRKERHESEQSQFQL >Et_8A_058182.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:2323652:2324764:-1 gene:Et_8A_058182 transcript:Et_8A_058182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSDDMVTEIILRLPVKSAARSMCVSKNWRATISDDYVRRRLPLHMSLVYFPDDPARGKAPCFACAAAGGAGDGRLEDCDLGFFPFRDDAVACDASNGLLLLRCPVAPRFYVVDPVARRWAALPPPPTEPLLSVLAFDPFGPGSPRYRVINFTGWRGRVGEVQVFSSETAEWAARDADFGVPAGALTGAMHFHGGAVYVLAADPDCIVRMDVAGGELACAVIELPEPTDGEGPVTHSGGVLHYVTSDRDRFNVWALDESSSSSTHQWRLKHAVKVDDVVEGGCGAGEVRFLAMHPEKDAVYMWSPWKVVEYDLTRQEVTGAWEFVDEDRKIEKNRVFKTWLVPSSFYLSDCLADGGQTCGASSLAKFS >Et_7B_053391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14799795:14800882:-1 gene:Et_7B_053391 transcript:Et_7B_053391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIVEEILLRLPPDDPAALARAALTPASAAGTGRPIPWNWHAAVLAAGDAACDDGRIDPFLVVFVGAAGTRSFACVYSSEAGEWSEPPTSVEHFERDHFRLAPAALAGNALQFMFHESTQILKYDLATRGISITNLPNTFSNLRRIVLTTAEDGGLGFATVEDSWLYLWSRETDPKAIVGWAPSRAVDLRALLPADALRTSPEVVAVADGNGAILLGTDEGLFAIDLKSGSATKVGEVSGGYYDAFPYACFCMPGTPLFFFF >Et_2A_016195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2177855:2178620:1 gene:Et_2A_016195 transcript:Et_2A_016195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYRRSRAYIPPVSGFITHANTLATASSIHRRGRSEVKGLCLVLDLRRCIQETELLILSPPAGSTREPVERIPLRVRAAAKALRILGLAAAALALFGMTLPVNPVDGHDSAAAATTTRAWSAWLLLSATAQVLAAELALVVPKRLLAWFACMVALRTGYHASALILSIVTGHGQLQGALNLYLWMVLQASLQIAPGACRVRCRRHLWRGVVSYRDALLHTPMHVFILRRN >Et_3A_026177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5164454:5177911:1 gene:Et_3A_026177 transcript:Et_3A_026177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASFSSWTCRRRFAPGHRAAANQGDVAHVFRLWRCGSSGAAARRRGLSQQGLKQEAEARQQATSGATAAMAAVEAEAPRAEVVVRMDKAKVDCPLCNRPLKPPIFQVIDKTYSSTVALVHPSNLRSSISYRRNLCSANKGTWLASAAVATPRSRRTSATRAASTAPTAATTPWKILSVKTPCPYDIYGCGSYVAYCEADDHQRACPFAPCFCPEKGCPFVGSPTMLIDHIAAQHPHHGPLVRVRYGHELKLVVTPALRWHALLGQDRSLFLVSLGAIGQVSGAKLVCVRANAGAAPEYRCRLGVEVSRGEVVVMESKVPSSALPGEGPAPGEPAFLGLYQPLGDMVILSIRIDQLQRAGAAAAGTTSTGMSTPPTPGTRSSSRKVEMDGGGGGSESAMVAVAAAEDSNTSRARISAWVDKAKMDCPLCTLPLKPPIFLCDAGHKACIGCRVHALLSQNKCYACGLDGSYGRNPAFEEILLSVKVPCPYDCGSHVAYCELADHQRCCPCAPCRCPEKGCPFVGSPPTLIDHIAAQHSHHRAVVAVRYGQELELAVTPALRWRALVGQEDRTLFLVSLGAIGQVYAASLVCVRANAGAGAEYRCRLGVEVSRGEVAVMEAKVPSSGCPGEAPALGERAFLGLYQPVDDTVTLIIRIDQLQPAASAGAALASTATGILYQQMENGDRHTSSSKKARTEPTVAQVKQEMEETEQTGSGALVAVEGAAPRGDVTVALERAALHCPVCTLPFAPPIYQPGTWSATHAMAIGQLQCYACGRASVYSRNTALENVVGAAKIPCPYTIYGCRSSVTYHQAGGHMLECPYAPCSCLEHGCSFLGSPSMLVAHLKVAHSLPAASISDGHAFHIRLSHSEPRRLLVAEDGQVFLVAVSAHGARRAVSVVCVRATAAAGPHYVCKLSATGNPGAVTGRLQTATVEVDNRAHRSSSKKARMEFPGGQVKQEETEEPEAAAGGGAPVAAEGAVAMAEDLTVTLRRSTLHCPVCNLPFKPPIFQCEAGHLACSTCRGGDPINRCHSCGRAVCWVRNTALANVVRTVKIQCPYHVYGCESTVAYHAAGDHARECPCAPCRCAESGCDFAGSPPMLLGHLEDSHSWPVQNVRYGKAYHLRLSESSEPRRLLAAEDGAVFLAAVGAHGARHGAAVVCVRANAAAAPQYTCKMEAIGNPGAITCRVETVTAEVDVPSSSVPAGDAFTAAEAAPLSVRRTMLHGQFEEMHLRILMAEQQHKRVSSVGENGEQSSSGKKLKAPAMVLPTAVVKQEPPEVQQRQEEGEVSPSSGAVAVVAAAESVDEPQISLMFGVSRFHCLACLRPVKPPTCKMEIFNISFCLLYLRGGRLRPWPQGEAGHVVCGSGTCRAGHAQACSRAAAYAACPEVDAIGRDAKLPCPFTEFGCTRCPVYYQAADHERACPWAPCYCPALGCETFTSPARLVDHFRAAHAAWPVTEVCYAKPCLLAMPPVGGLVEGVHVLVGKEDGRVFLVSASPLGAAVVAVSLVCVRANGGGDAAGVPQYNRRAAAWVTFAVASKDMSGGFVAAEHRSFLGVMPDLLHDALGGTPVLKVRIDKIGGGGGGGAAAAPARSPTPPASCSGRLP >Et_3A_027100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32938045:32940908:-1 gene:Et_3A_027100 transcript:Et_3A_027100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGWEEEYRRSESSKSSLSASSDTTASSTASKQQALRFAEDLSLPSVQVVVMSANMGCSHCRQRVANVVSKMNGLLDYMVDFGKKEVTVRGKVHTRKKKHKYSFAGWEKNSPSSSPCNGRTLSWFLGCYGS >Et_1B_012175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29719383:29722771:1 gene:Et_1B_012175 transcript:Et_1B_012175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYLLAPTSATTAAFSSLLSKDAVKGSLPSGTLRLRALGSRSSKAPPIMAVASQQSAPPAKYPKVAAPTTGPIPAAELLGVIQEAAKAGADVIMEAVNKPRNIQYKGVADLVTDTDKLSESVILEVVTKNFKDHLIHGEEGGLIGDSLSEYLWCIDPLDGTTNFAHGYPSFSVSIGVLFRGKPAASTVVEFCGGPMCWSTRTISASSGGGAYCNGQKIHVSQTDKVEQSLLVTGFGYDHDDAWTTNINLFKEFTDISRGVRRLGSAAADMSHVGLGITEAYWEYRLKPWDMAAGVLIVEEAGGVVTRMDGGEFTVFDRSVLVSNGVVHGQLLDRIGPPTEELKKKGIDFSLWFKPDKYPTDF >Et_4A_032347.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31160113:31160250:-1 gene:Et_4A_032347 transcript:Et_4A_032347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWISVLQNHVMAANYYFIITDNNGTKRASISTINSSVCFFNCL >Et_4A_033288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20986806:20993848:-1 gene:Et_4A_033288 transcript:Et_4A_033288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRPPRSSSGGVEPKIRQVGFFTPDASGPSEPPAAAAPAAAAAPQQQTGPAAESPPSSDLSPGRLSPVMIPPPRHADLLALGSPSPAGSDAVLATSAPVASSARFDAASAVGDDDSRSRAPSAAELEENRRGLNEGAPASIPQKQKTSKAERRALQEAQRLPGKSVGTASVAGPATSKQAKSIKAAQKKDLPQAAGSVASEKKTTERLPERERKKDAPHPRMQFDDVHKVEKAKKRAVVNQSEARNRVELFRHLPQYVHGTQLPDLESKFFQLDPMHPSVYKVGLQYLSGEVSGGNGRCIAMLLAFREAIKDYSTPPNKTLSRDLTAKISSYVSFLIECRPLSISMGNAIRFLKNRIAKLPHTLSESEAKASLQSDIDRFISEKIVLADKAIVSHAITKVRDNDVLLTYGSSSVVEMILDHAHELGRKFRVVVVDSRPKLEGQGLLRRLVAKGIDCTYTHINAISYIMHEVTRVFLGASSILSNGTVYSRVGTSSVAMVAHAFGIPVLVCCEAYKFHERVQLDSICANELGDPDVILKVPGKADLVHLKDWVDNANLQLLNLTYDATPSDYVSMIITDYGMLPPTSVPVIVREYRKEQLWDVIGADFLRCGTMANAEL >Et_2A_017025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30232764:30237084:1 gene:Et_2A_017025 transcript:Et_2A_017025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAPPPPAAAVGEEESTCRGVFAEFMTKVARFEEQVEAGKRLLSRLHQELEYFRRPLIPEKWDVMSEILRANCTGRMKSYLKAGCNLQSRNISNINQLRLCEDGLMIQINEVKTLLKELESLVKDVHAITLTASLSVLKVLESPLADNELNNECSFMEDKLAACNNDLKCKPANIVFFTFKDEKRADLDNDVSFVTVMIIVHNMLKLDYTMQEKIVNALSLKTPSSELEGYCLMWDLWPYIDDNVMHLAWKMCSYSNSDGFLHCHGFAGVKQTRIWLSSLSGQDAGTPSEGGNMSKVLVDELCGENELGKARMFWS >Et_1B_013445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8438097:8439544:-1 gene:Et_1B_013445 transcript:Et_1B_013445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILSLRWHTEENTGRGHCSAREGYYSSCYASWNKRTKQQPEPWPGVTSTRRRSTGRPSRGGEPLHVPADPSKRPRPRARTKPTTIPPAPPPPPPRIPPVTLSLPREPLPRDRGRLPVSDVRELSERGMDAAAEQKPAALGGYWGAAVGGARPCDACAAEPARLHCRSDGAYLCPGCDARAHGPGSRHARVWLCEVCEHAPAAVTCRADAAALCAACDADIHSANPLARRHERAPVAPFFGALADAPQPFPSPAFAAATTEGAAAADDDDGSSEAEAASWLLPEPDNSHGDSAAADAFFADSDAYLGVDLDFARSMDGIKAIGVPVAPPEMDLAGGGGGFYYPEHSMNHSMSSSEVAVVPDALAAAAPAVASKGKEREARLMRYREKRKNRRFDKTIRYASRKAYAETRPRIKGRFAKRCSDDDAALDHGSPAVSVPAASDGVVPSF >Et_2A_018733.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:6278817:6279761:-1 gene:Et_2A_018733 transcript:Et_2A_018733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAENSATDVLRDPFRLGAWLRYLSDCGAAPLSTRYAIYERALRALPGSYKLWHAYLTELASAARAQPVTDRAHGALNAAFERALAAGMSWMPRVWHMYASALLDQRLLTRARRALDRALRALPPTQHHRVWPLLLRLASLPGCPAPTAARVLGRYVQFDPAYTEDLVTHLVSAGRFREAAERLAASVNDEGFRSAKGTTKRQMLLDLCDLLAKHPDDVAGMPVEAILRGAVREFPEEAGVLWTTLAGYYARKGIHDKARDVFEEGATTAAAVKDFRLAFEAYLHFEHALVSAEAEHDEGREEDNSVALVQRC >Et_4A_033298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21152349:21154550:-1 gene:Et_4A_033298 transcript:Et_4A_033298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVKDHVTSVQKQQEASSIANASSSSPGTQNIAHDTIDTLPPSECCLSSSPVPHVFARRELEWLDFQGFFHTYPNLGGPYQNLQEADKAIDRYYEERRDPRMSREQDDDSPIDTIMRRCLYWPDGTSKRRSKSRGMENGRDKIRRLVLALLEKYNEDHHLVEDLAYEIKDVLNFQSIYEENSWYYHLNFIATSKAGGGQEVFAEVKHLKQGGHLEMIVCYFCMVDPTDKVLAMCSLFDYLAINPLLVDEGQHCKGCTLIGNVDMKHPDSSVELAAGHSDPRCCQLVRGESDSEDEDTFAKAKEAELRHRYKVMTYLVPFCGMVPFALTSCWLLQWL >Et_3B_031494.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:31965771:31966892:1 gene:Et_3B_031494 transcript:Et_3B_031494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPDWTSLPDNLVRQIGDCFMATNDLDYYMDFRAVCQDWRSNTDNPKDKKKDDPEDPRFHPSKWVLLERHEDVDAITLVNVDTGRFLCKNIALLLRYIFLGATGGGLILLGEKEFPFKACVLNPFTGSITNFKARMPLGGIRTVVVTTSPMMVFVSHKADGCIMWADQNSEYFQEFRARYPDEPMCMTSFADNVYFTNRQGSILSFVVDGATKERSAQTISLTTIIPSPNPTQHSYYLVESGGELLRVTGPGYHTTGQFMVHKVDTMRMMLEPVNRISNHALFVSHIKCFSVTANEFHTTVDGNCIYFADEFTMEHSKAIQIGGCLRPFTLPRVFVDYCKTIQTSERSLMLDSEGEDYSYSETDESSSDNE >Et_2A_017923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:792887:795045:-1 gene:Et_2A_017923 transcript:Et_2A_017923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPASSAAAMGMGGYPHQPQPRGAAVFTAAQWAELEQQALIYKYLMAGVPVPPDLLLPIRPHSAATFSFGPAAGAASSFYHHHPSLSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKSASPAHQSQPQLSTVTTATRETAPLQSLTVGAKTHGLSLGGAGSSQLHVDASSYGSKYSLGAKSDVGELSFFSGASGNSRGFTIDSPTDSSWQSLSSNVPQYTLSKTRDSGLLSGTYNYSNLEPAQELGQVTIASLSQEQERNSFNGGAGGLLGNVKHENQPLRPFFDEWPATRDSWSEMDDARSNRTSFSTTQLSISIPRCESLNRQLLT >Et_5B_043805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16287803:16293958:-1 gene:Et_5B_043805 transcript:Et_5B_043805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALPKAVAAVAQPPAPSVSRAPSIVPSPRLGSPAPLNCSWDRRNRSPRGPLATAADGPRGCTPLPGPEERDPLLVAALCAAHLRDQESRRPDPLFIDPYAAVLLSHDEAHQDMGYLVPHAVPCPDHYRLATRYIDDKLQNLINNSDDMRQIVLLTDGMDTRPYRLTWPRLSVVHDVSPGMVFNTATQQLRGTGAKVSRNCVLLHTPSEYPDLQEGLCKNGFNGNRLSLWVLQGLPLCTLASLGDLLLVISNLAMKGSVIIGELPRFSDWTAPIDMGSEQDRLEKLFFTQGFRVSFVPYENIAIDIGLDLAPVRDKHGGLLFVAEQLRFSDAQMESFRMHFERMEEDADEEGFEEL >Et_10A_002037.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:2037320:2038519:-1 gene:Et_10A_002037 transcript:Et_10A_002037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRRHRNNEKARSDGVPATSVDDVPDDLLERILLRLDSPIRLIRVASTCKRWRGTIAGDDDGVAFLRRAPSLHPPTIVGHYHKPVPVQWNTPIEFIPTSPPVLPIADIRFSLGKFSMWQVVDVHDGLVLLRQRGLPLSLVVYDPLTRRSQKTSIAACSVLRDGFLLDGEDGNISVSNFRVLCRFHDGRCVQVLSSTNESEDWRLLSAASRVNHDVMSTGRFAGRVDGSLYMGSPSGSLVVLDKASLEVSMVDLPGRAKTEHVPSKFLIVPCAGDESTSPRIVHTNGEVLEVFRHVRNGGDGEWALEHNISRLSTRLPGYPEEHGRVGDFVTEGIESLLVVANDIGKGRLWCFSVSLNTMELTVVSESIYQRLKKAAFAYTLPWPKFMRACLGPRSLG >Et_3B_027897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25485895:25486399:-1 gene:Et_3B_027897 transcript:Et_3B_027897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSAATATTVVARATLARSIAGLPQLRARSDRVRCSYSKDAKSAAPAVSIKGAGASLLAAAGAVTASAAPALALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTVYTSDLDEDEESGGLSL >Et_4B_036644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10032474:10034018:1 gene:Et_4B_036644 transcript:Et_4B_036644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Et_5B_043820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16505322:16507988:1 gene:Et_5B_043820 transcript:Et_5B_043820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTVVDGLVSSYTLPCRARAWWQEVVVGTSGRASVQSPTHLAMGSVGGTVTGVRRRRRVLFFPLPYKGHINPMFQLAGLLHARGLAVTVFHTDFNAPDPSRHPADYDFVPVPGVDVADGVDSDDGTAQATLERILAMNLACEAPFRERLTALLEEEEQDEVACLVADARLLTLMDSTHTMATSFIFFPLTLFRRAAESQLDAPVTELPPYRVRDLLSTSSAVHGVIRELITRITTATASSSGLILNTLDALDALEAAGVRPWRESVSVTRVLARVVSVLRWRVSSCSRWSPMHRSRIWEARRRERRGKERGAVVSWLPQEEVLAHPAVGGFWTHCGWNSTL >Et_10B_003157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17094007:17097240:-1 gene:Et_10B_003157 transcript:Et_10B_003157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DPKNAFLTQKLDHYVSVLNLTEHVAPFHSLRKYYVLVLIMHPTSFTETVPTRSSAAERDDGPDRGRHKKRPGGVLGSERSAEARRGLVRRRRLVIMRGAVVISVAMVFALPRPKRKRWPWSTARQTGSMSSRSCLARSSDEAPDTMNNKFWPVVYVADVVDALLLVYEKAESSGEGDASARWNKWTTVDVDHKVAMSSDKPRSLWWKPRSVEETLVDGLEYLEKAGLLKEEPSRLPHFYRRSHAASGQADACRK >Et_8A_057722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6396150:6398427:-1 gene:Et_8A_057722 transcript:Et_8A_057722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRKNATTNNAGAEPKPKRARKCAARESSSSSSQHQQQQQRTSAYRGVTRHRWTGRFEAHLWDKDAWNHDEARTKKKKGKQGAYDEEEAAARAHDLAALKYWGPGTVLNFPLCSYDEELKEMEGQTREEYIGSVRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVLGNKYLYLGTYSTQEEAAVAYDIAAIEHRGLTAVTNFDISHYVNWHRRRRFLGEDDGLDATEMGPIQLPNNDITEAAPVAISLDETVVAAAAFHDGERGQYHDADSYIAQLPGDQLVRTPGHKSALDLLLQSPKFKEMMEQVSAAAAAATESNISSPSPSPSTSSSSPSLSQPSPEERQPELSGGASSAPCSFPDDVQTYFECDDGMSFTFAEVDTFLFGDLGAYAAPMFQCDMDAV >Et_1A_006330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20833359:20835525:1 gene:Et_1A_006330 transcript:Et_1A_006330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFMEKLVLSLLWVALFQGCMVQSVEYDHTASIEASVVQSRKNKSNNCRRDPMKPLYNGGIIQNSEFNSGLMGWSTYRDIKAGVSSSPSGNMFAVVHGAGGALSSSGNLLPSHSVYQRLQMQSDTHYSLSGTRHETHLVRKREYIHKFSPWLQVSAGTAHVQAFVKAPNGERIVAGAVSAQSGCWSMLKGGMTAYSSGPGEIYFESDAPVDIWVDSVSLQPFTFDEWDAHTRSSAAMSRRRTVRLVAKGADDKPMANASVSIELLRLGFPFGNTMTKEILDLPAYEKWFTSRFTHATFENEMKWYSTEWAQNQENYAVADAMLKLAQKHGIKVRGHNVFWDDQNSQMKWVRPLGLDQLKAAMQKRLKNVVTRYAGKLIHWDVVNENLHFSFFESKLGPSASAQIYQQVGQLDKTPILFMNEFNVLEQPGDPNAVPSKYVAKMNQIRSYPGNDGLKLGVGLESHFSTPNIPYMRSTLDTLAKLKLPMWLTEVDVVKNPNQVKYLEQVLREGYGHPNVDGMIMWAAWHANGCYVMCLTDNSFKNLPVGDLVDKLIAEWKTHRTTATTDANGAAEVDLPLGEYKFTVSHPSLHGTVVDHMTVDTASSESRHMIRVKA >Et_7B_053365.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:13589364:13589414:1 gene:Et_7B_053365 transcript:Et_7B_053365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPIVPRTAVVTWVSL >Et_1A_006530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23952475:23966346:-1 gene:Et_1A_006530 transcript:Et_1A_006530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPRAFSKVFLPVLPSPPLPPLLLRRRRWTAQGAGAGAGAAGMAAAAPAPRKWEGVVDEALERDVVGACLDQAPERRRVREAFKDVQLNIDHCLFKGQYNGVISKESYERNSRGVEIFTNCWFPENHRMKAIICLCHGYGDTCTFFLDGIARKIASAGYGVFALDYPGFGLSEGLHGYIPSFDALVDDVAEHFTKVKGNPEYTGLPSFLFGQSMGGAVALKVHFKQPNEWNGAILVAPMCKIADDVVPPWPVQQVLIFLARILPREKLVPQKDLGDLAFREKKKREQASYNVIAYKDKPRLRTALEMLKTTQEIERRLEEVSLPMIILHGEADLVTDPAVSKALYEKARSSDKKLCIYNDSYHAILEGEPDETIFQALDDIISWLDQHSTKEIPISKWEGVVDEALEREVLGACLDHAPERRRIRELFKDVQLNIDHCLFKSYERNSRGVEIFEKCWFPKNQGIKAIICICHGYGDTCTFFLDGIARRMALAGYGVFALDYPGFGLSEGLHGYIPNFSTLVDDVAEHFAKVKGNYEYRGLPSFLFGQSMGGAVAMKVHLKQPSEWDGAILVAPMCKIADDVVPPWPIQQVMSLMATLLPKYKLVPQKDLAELAFRETKKREQTSYNVICYKDKPRLRTALEMLKTTQEIERRLSEVSLPMIILHGEADSVTDPGVSKALYEKAKSSDKKLFLYEGAYHSLLEGEPDETIFKALNDITNWLDQHSTNKVPLS >Et_1B_011830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26384245:26384965:1 gene:Et_1B_011830 transcript:Et_1B_011830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQLRPLGAGWIALSANATAPGTLAPRTHATCSADCCSKKGASKSALSTVSSTLSPVKTPRTSSHSSTVLPDRASRRWLRVCTPTALSSAAVVRMERVEAISFNDLLKSLCERKKVGEAMDIVFRRMLELGCMPDVFSYTILMKGLCAEGRSEDALQLLHVMDDGRGSCLPNVVSYNTIIDGLLKEGKVDKAYNIFLEMQDRGISPDVFTYSSIIDGLCKA >Et_1B_011040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16935010:16937787:-1 gene:Et_1B_011040 transcript:Et_1B_011040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSISYPPDDYLPAVEDNMGRLFIRSLSFDDMEDAVESPSALLPALGSGKLIIEGSLSFKRREADNVQMETTLSIRSPKPETECCNTTPSAATAAPSRFGPTNDYPPDSPVIEMHSPRHQAAAVRLQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLKRNSVSFFDIEKPETAVSKWSRARIRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYHRWLHCQSKQPFFYWLDVGEGKDVSLENCPRWKLHKQCIKYLGPKEREAYEVIVEDGRLMYKLSRQIVDTAGSNKGTKWIFVLSTCKNLYIGQKQKGVFQHSSFLAGGATSAAGRLVVEDGILKAVWPHSGHYRPTEQNFQEFMNFLKDRSVDLTNVKLSPSEGEEDADFSLKGGQSQLDLNELCEAEDEQEVEAAQDHGEDEVEACSSSPWNGVATVPSTEIETSTSTMITKIPAASRLQGKRPPRLQINSNNRIPMPPTSHSNTRSSPGAKDIVPDSATFGECLDFCKRNLFVEDGYEDDDRVEVPEELILNRINSKRSMRSYQLGKQLSFQWSTGAGPRIGCVRDYPSELQFLALEEVSLSPRDSRAMRFTSPRPSALTPNSIPTTQAINMNISLNPRQRSVTWAAF >Et_4A_034428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32454055:32464208:-1 gene:Et_4A_034428 transcript:Et_4A_034428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKLRDLSQPIDVPLLDATVAAFYGTGSKEERNAADQILRELQNNPDMWLQVVHILQNSQNLNTKFFALQVLESVIKYRWNALPVEQRDGIKNYISDLSSNEVSFRQERLYVNKLNIILVQLLSEEIFDFSRGEMTQQKIKELKSSLNSEFRLIHELCLYVLSATQRPELIRATLATLHAFLSWIPVGFIFESPLLETLLKFFPVAAYRNLTLQCLTEVAALQFGDFYNMQYVKMYTIFMMQLQTILPAESIPDAYANGSSEEQAFIQNLALFFTSFFKNHMRILEITPENRAALLLGLEYLIGISYVDDTEVFKVCLDYWNVFVLELFEAHNQMEPAAAVSMMGLQAQMVPGIVDGTGSAVQQRRQLYSGSLSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIVQKCKRKFVTQQVGENEPFVSELLTNLATTIADLEPHQIHTFYESVGHMIQAESDNVKRDEYLKRLMSLPNQKWAEIIGQASQSIDVLKNQDVIRSVLNILQTNTSVASSLGPHFFPQISLIFLDMLTVYRMYSELVSSTIAEGGPFASRTSFVKLLRSVKRETLKLIETFVDKAEDLPHMGKQFVPPMMDPVLGDYARNVPDARESEVLSLYATIINKYKSEMLEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSPQLKLVIDSINWAFRHTERNIAETGLSLLLEILKNFQASGFQNQFYKTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCVVDGLTEPLWDASSAPYQFTDNAMFVRDYTIKLLGTSFPNMTTAEVTKFVDGLLSSKHDLPSFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQKERERQRMLAIPGLIAPSELQDEMVDS >Et_9B_064616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1766534:1771685:-1 gene:Et_9B_064616 transcript:Et_9B_064616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRAGATSEASGVAGSPPAPAPPPPPPADLTELVKAQIAGHPRYPTLLSAYIECRKVGAPPEVAALLEEIGRERCAAASAAGEVGLDPELDEFMDAYCRVLERYKEELTRPFDEAASFLSSVRTQLTSLCGGAASLSGSSEDEPCSGDTDATDLGQEHSSRLADRELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARSALMDWWNTHYRWPYPTEEDKVRLAAMTGLDPKQINNWFINQRKRHWKPSEDMRFALMEGVTGGGSSSGTTLYFDTGTIGP >Et_4B_038877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5552687:5573696:-1 gene:Et_4B_038877 transcript:Et_4B_038877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATLGTLAALLLLTVIAPAQSEAANKSMALPGCQATCGGVDIPYPFGIGANCSRDAGFEIACNNGKTPVLIPKKYEFVSLSVEPSVASVRLPIAYQCYNATSYTSWDYGAATFNDKGVYRISDTLNELVVLGCNTVAYISSKPSANASKTGYNYDVYTGCISYCTSIESTVDGQCKGLGCCRVDIPPGLTDNYITFSGFGHENIYQFNPCSFSFLVDRGSFNYSRANLNMPDYENKKMPVWLDWAIRPPNGTDTLTCADAMKNRTSYACKSQNSNCTNAVNGPGYSCRCAHGYEGNPYIDGDGGCTNINECANLDKYNLKCYGVCRDTEGSYECKCPRGSHGKPKEAPCEPNFPRVAQITIGVMCGIAFIIILAIFTLMVHQHRKLKAFFKRNGGPMLENINNIKIFTKEQLNQITKNYSIVLGKGGFGEVYMGTIDNKSQVAVKRSISVDEARKKEFANEVIIQSRISHRNVVRLVGCCLEVDVPMLVYEFAPRGSLQDVLHGTKDNAKTSLPLGTRLDIAVDSAEALSYMHSSTTQKILHGDVKSGNILLDENFMPKVSDFGTSRLLSIEKKHTILVIGDMNYIDPVYMKTGRLDEKSDVYSFGVVLLELITRKKPRYDGNSSLLINFIKSYTSNEKAREMYDAEIASPENMEFLEKVGSIAIACLKDDMDERPNMKQVAENLQFVRREWKQRQGTYGDQVADEISLESPSISLTMNATSAETPDCCLSCLSSRLPVTHAVAWRGPPLTFQRRRPLLPRRHHTPLLAGKGYRVLKLSIAPSEALVELPIGWVANSRSCVTVNASKAHQLAFNLRVATMSATKGIGTLPSVAALLLLVVIAPALSEAANKSMALPGCQATCGGVVIPYPFGIGANCSLDGFEIACSNGTPVLTAKNSKIYEINSLSVEPSVASVQLPIAYQCYNESGYTNWTYGDVTFNDKGVYRISDTLNELVVLGCNTFAYITSTPSANASKTGYSYDVYTGCNSYCTSIESTMDGQCKGNGCCRVDVPPGLTDNSITFYGWSHSKIYQFNPCSFSFLVDRGSFNYSKANLNMPDYENKKMPVWLDWAIRPPNGTDTLTCADAKKNGTSYACKSRNSNCTESANGPGYSCHCVDGYEGNPYIDGGCTNINECLNQTKYTCHGHCEDTEGSYECRCPRGSHGNPKEAPCQPNFPRVAQITIDNNHQVAVKRSISVDEARKKEFANEVIIQSRISHRNVVRLVGCCLEVEVPMLVYEFAQRGSLYDVLHGTTDGTKTPLPLGTRLDIAVDSAEALSYMHTSATQKILHGDVKSGNILLDVNFTPKVSDFGTSRLLSIEKKHTILVIGDMNYIDPVYMKTGRLDEKSDVYSFGVVLLELITRKKPRYDGNNSLLINFVKSYTSDERAREMFDAEIASPENIEFLEKVGSIAIACLKEEMDERPNMKQVAENLQLVRREWKQRQGMHGDQVADEISMESPVSLTMNATGAETPGYSPLLKTMIATIGTLPFPAALLLLVVIAPAPTSEATKSIALPGCQATCGGVDIPYPFGVGEKCYRDEGFAITCQNGTTPFLAGTGYQVLNLSVTSSEARVRLPIAITETAGEPVSFNQKGVYRVSNIRSEFVVLGCNAMAYIRNRAKDGAPYDYDIYTGCFSYCPTIESTVDGRCKGAGCCTVDIPPGLSENSIRFQEYNQSYYYKVTPCSYSFLVDREHYHFSKADLRMKKNMMMPVWLDWAIRPPNGTDTLTCADAKMNRTSYACQGRNSTCTDSVNGPGYVCSCPDGYEGNPYTDSSGGCTNINECENPEKYKCYGHCEDTDGSYECKCPRGRHGKPKEAPCEPILSRVARILIGAMGGIAFIAILALVMLMMHQKRKLRAFFKRNGGLMLENINNIKIFTKEEMNQITENYGIVLGKGGFGQVYMGTVDNKQQVAVKRSISVDEARKKEFANEVIIQSRISHRNVIRLVGCCLEMDVPMLVYEFAPRGSLHDVLHGAKDGAKASLPLGTRLDIAVESAEGLSYMHSSTSQKILHGDVKSGNILLDENFVPKVSDFGTSRLLSIEKKHTILVIGDMNYIDPVYMKTGRLDEKSDVYSFGVVLLELITRKKPRYDGNNSLLINFIKSYMSDERARDMYDVDIASPENIEFLEKVSSIAVACLKEDMDERPNMKQVVENLQLVRREWKQRQGEYGHQVANEISLEHSPDSLSINATVAETPGYSPLLKIGSPHMYDAEIASPENIEFLEKVGSIAVACLKEDMSERPNMKQVAEHLQLVRKEWKQTAGDAWLTMDATGSEIPGNYPLIKLNGN >Et_1B_010304.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:4022282:4022680:-1 gene:Et_1B_010304 transcript:Et_1B_010304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAGAEAIMLLLLTLPGLDGLRRGMITVVRNALKPMLSVVPFCLFLLMDIYWKYETRPTCSDEHACTPSEHLRHQKSIMKSQRNALLIAAALLLYWILFSVTHLVVKLDTLQQRVEKMKKRDD >Et_10B_003635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4073220:4075960:-1 gene:Et_10B_003635 transcript:Et_10B_003635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVARLLVMTEEVVKEVVTSSPAPAAPRSQDQLMSAPDTVEGNSVPPDIKRKEKPVPHYLRASTRSCHDNCKFGTHHSPESKKHWPVLRAQLRRASTGKHETGRVEIILPKRAGPRKDHKLTISHVKDGHATAPAKPEPTTFNEPQEIVHDHSESIPCIEDLPAEASEPGNQESVAECFVISHDDVADIGDGELSDGAESIELEMPLAIQDSDDSEEQIEDVISPSQDVCEAKKQSPMNDVYDQTANECVISEKMESQNVMTPKKHEQADPETKSKRSASKAAKPMVKGTSTVGRNTALRQRSERTSHPKAAGAAVKISTESKPMSARTDANVTTTTKSSRQKKISPTVTPAVPKLKEIKVPSPSNATDLSAKPARLAKPKISTAKNALSPSLSLEKQTDRKITVKAVPKNAQVLQKQREEKVTPRPLKLSRSLNMPAKSISSVKVRTVRREKIAPPIKTSKKVSGTENSAADAKEKFVKMASPKVQKPDVTNKHGRPGKEKTDTPTPRTRTTRRSKPATITSTTTQSPRKLTFRRGKVLNPDEGSSSITPRRLRFRPAMAAADAAVRNRGGRVTGKGTGRAAAGARDAGTSRAEVVVLRRRQGGVTGDGAKRQEQVLLNNVIEATASRLVAEARKSKVKALVGAFETVISLQEGGRAGPPAAASAAVAQ >Et_1B_010416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10324237:10325163:1 gene:Et_1B_010416 transcript:Et_1B_010416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPAQYMLSASGCIFLRSKPQASLVRGSLAGIGGVSSSRQFLLTCNASSSPSPSSPPPAPPQDSDCNEEECAPEKEVGSVSAEWLAEERTQVVGTFPPKKKFTGLVEKDTAGQTNIYSVEPTVYVAESAISSGTAGSSSEGAENTAAIAGGLVLITVAAASSILIQVSKNQPQVPAANYSGPPLSYYIAKFQPEPVAAPPVEAPAPDAPVEVQDSPAAEASAAPAESEPLSS >Et_4B_037253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17236123:17238154:1 gene:Et_4B_037253 transcript:Et_4B_037253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWALALHGGAGDIPRSLAPELREARLATLRRCLDVGTAALRDGRPALDVVELVVRELEDCPHFNAGRGSVLTADGTVEMEACVMDGATLRCGAVAGLSTVANAVSLARLVMDRTPHIFLAFHGAEAFAREQGVETKDPSHFITENNIERLRQAKEANRVQIDYTQPMKSQAPTDDNSQLGTVGCVAIDAAGNIATATSTGGLVNKMAGRLGDTPLVGAGTYANSLCAVSATGKGESIIRHTVARDVASLMEHRGLTLRDAAARVVGGAPRGDVGLVAVSAAGEVCMAHNTTGMFRACATEGGHTEVGIWADADAGAGGESVSFAL >Et_1B_013940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24910596:24912722:-1 gene:Et_1B_013940 transcript:Et_1B_013940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVEPETPPGSSGGGTTPVGGKPPRHHLTSIRHCASSARIAAASAEFVTTPAITRAHCPAFCPEALLTELDSGTLSLISPTDIRPSFLPVFRSGSCADIGPKSYMEDEHVCVDNLIEHLGMRAPGIPAPGAFYGVFDGHGGTDAACFVRKNILKYITEDCHFPSSMENAIRSAFVKADHAIADSQSLDRNSGTTALTVLIFGRNLLVANAGDCRAVLGKRGRAVELSRDHKPSCTVERLRIENLGGTVFDGYLNGQLSVARAIGDWHMKGLKGSACPLTPEPEFREVRLTEEDEFLIIGCDGLWDVMSSQCAVSMVRKELMAHNDPERCSQELVKEALKRDCCDNLTVVVVCFSADPPPQIEVPRFRVRRSISMEGLHMLKGALDQ >Et_2B_021857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6091081:6095743:-1 gene:Et_2B_021857 transcript:Et_2B_021857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGGEEGNAAAPRGPSRRRGPVRASLDADEFIALMHGSDPVRVELTRLENELRDKERELGEAQAEIRALRLSERAREKAVEELTDELEKMDEKLKLTESNLENKNLEVKKINDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEKREYISMLEDDNLTLFFQIAKLQDDNRALDRLTKQKEAALLEAERTVEIAMAKAAMVDDLQNKNQELIKQIEICHEENKILDKLHRQKVAEVEKLSQTVRDLEEAVLRGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDSNDKVMPVKQWLEERRFLQGEMLQLRDKLAVAERTARSEAQLKEKYQLRLKVLEDGLRGPPSGSTRPPTEGKIFNNGSSRRLSLGGADNMSKLSPNGLLSRRSPSFHSRSSLSSSSSLVLKHAKGTSKSFDGGTRSLDRGKVHGNGAHLLNRSTDAVRDKEANDSWKGSTDEKTNDSVNGNADEKNNETVNNNTAETVSGFLYDMLQKEVISLRKLCHEKDQSLKDKDDAIEMLAKKVDTLNKAMEVEAKKMRREVAAMEKEVAAMRVDKEQEIRAKRLGNSKGSGSSQMLHGRFVLRTAKKRTTKRVDTQLPITAALTSGSILG >Et_1B_013638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10446894:10454101:1 gene:Et_1B_013638 transcript:Et_1B_013638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEPAAASAVSHAEVDTSRPFQSVREAVEVFGERYAGGGSSSNASSESSVKLGAVPPAASSVMLDCLQKLEADLAEAKDELVQLKHRQSQMEMNVSSLSAQFSKGLAVFSGLNKGKELVVVDAPAIGAEDDDGNCRVRSDRWDESRAEEWMANLEYLPSLSEALSIKMIEDDLGQRKERKVKGKKASRKKHKKQRSGISLVGGIFSKKTKSRNTPSSIHDAPCSVSNKTTSKPKKQERIKFNQGSNALRIEVTFLNT >Et_4B_036169.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:4302992:4303285:-1 gene:Et_4B_036169 transcript:Et_4B_036169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMREGRELQRVHATDTDRAGSMSALNLGLQAAHSNEQPRWFLHPDLIRPSRPLHGPAYGLDANGARSSLPPVSSWGGMQNSSFRSDVDVDTSLHL >Et_2B_019752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13559822:13562418:1 gene:Et_2B_019752 transcript:Et_2B_019752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDSRTVELEEGWRFLASSLAKIRRAVDGDGEGLSVEENMQAFTTVYCMCKQAAPHNYSQQLYQRYKDDLEDYIESTVLPKLKEMHGEVLLRGLVDRWRKHSRILDSEMKLFRYLHRYYISKTSLPPLKELGSTSFRDLMMIMKTFRAFGIAQIDDERDDQLIDQELLKNALDIYVQICSRKLDVYEADFEQPFLEGTRNYYSRKAQAWILEYSDPEYMLKDLDPWCLHHASKN >Et_6B_049420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4675880:4681458:1 gene:Et_6B_049420 transcript:Et_6B_049420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYQASDEERIVTDRIRRKLEEVNVAAQQHLAGVQDHVNFAMQQAYFKCAYECFDRRRSQEGINSCVENCSVPVLTANNVVETEMAKFQERLNRSLMVCQDKFETARLQKMKTDATQELEACVNRSIDDSIRVLPHLVDQIKSSLSIN >Et_3B_030574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5004648:5009912:1 gene:Et_3B_030574 transcript:Et_3B_030574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQHRENRDEQFVWPWMGVLVNVPTERKNGRQVGESGNRLKEQLSQFCPQKVIPLWNHRGHTGNAIVEFAKDWSGFKNALAFENHFEAECLGKRDWRVTRHPRSEMYGWVARADDHRCPGPIGDHLRRNGDLKTIADLESEGTRKTDKLVANLANQIDVKNRHVQELEIKCNETTASLERVMEQKDQILHNYNEEIRKIQQIARRHSQKIMDENQKLRSELESKMQELDLRSRELDELASRSDYDRRNMEQEKEKNKMKTKHLMMATREQQRADENVLKLVEEQKREKEAALDKILKLEQQLNAKQKLELEIQQLQGKLEVMKHMPGEEDSESKKKIDELSEELQEKYDEMDAMESLNQTLVVKERKSNDELQHARKELIAGFEHLTVGRPNISVKRMGELDVKAFATACAKRLSKGDAQAASVMLCSKWEAEIRNPNWYPFRVVMVEGKETLILSEDDEKLQKLKEEHGEEIYALVTKALIEINEYNPSGRYPVPELWNNKEGRKATLKEAVQHVIKQWKTNKRKLVDVSRLRRDVEGVVLVGVHQLERHDHRGDEPRRHLDDVLPRLLVVRHRHDGPDRVLVDVALDGVVELRHAVGEGLQVEELLHLDGL >Et_3B_029455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25169783:25178330:1 gene:Et_3B_029455 transcript:Et_3B_029455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHMSCLPVLPNLLRRPLPYNAAPPSRSRFSFGSPQQRGVDEATAAATRHRQRRAARAAPNEAIGEDERMGRRGRKGKGAAARSGAGGSGGGGISEETLVRVNGVLQEFRSSDLEVYTFEPGLSRQERAAIHQMCTKMGMKSKSSGKKECRRLSVYKCKKKQKPDTEEGPSQLGFSEEARHVLQDLFTHYPPDDAELNGDAVKNSSAKAANIRWKDSAFCRPAMRRPDIEKKVEMLTSKMNESPQLKKVIVEDRSKLPISSFKDVICSTLEKHQVVLISGETGCGKTTQVPQYILDHMWGKGESCKIICTQPRRISAISVAERISAERGEPVGDTVGYKIRLESKGGKNSSIMFCTNGVLLRVLIGRGTNTSSNKQNKRSSDDAILGITHIIVDEIHERDRFSDFMLTILRDLLPLHRHLRIVLMSATIDAERFSKYFNGCPVIQVPGFTYPVKTFYLEDVLSILHSAGDNHLDSASSDTKQNSALTDDLKSSMDDSINLALVNDEFDPLLELISAEQNLDIYNYQHSESGVTPLMVFAAKGQLGDVCMLLSFGVDCSAQDQDGKSALDWAQQENQNEVCEVIRRHMECSSAQSTEDNELLNKYMATINPEHIDTVLIERLLGKICVDSSEGAILVFLPGWEDINQTRERLLASSFFRDTSKFLVMSLHSMIPSLEQKKVFKRPPTGVRKIILSTNIAETAVTIDDVVFVIDTGRMKEKSYDPYNNVSTLHASWVSKASARQREGRAGRCQPGTCYHLYSRFRAASMPDYQIPEIKRMPIEELCLQIKLLDPNCRIEDFLNKTLDPPVPETVKNAITVLQDLGALTQDEELTELGEKLGSLPVHPSTTKMLLFAIIMNCLDPALTLACAADFRDPFLLPVAPDERKRADAAKVKLASLYGGFSDQLAVVGAFDCWRRAKDRGQESQFCTKYFVSSNIMNMLSNMRKQLQNELSQRGFVPADTSACSLNSKDPGIMRAILMAGAYPMVGRLLPPRKNARKVVVETADGAKVRLHPHSCNFNLSFSKPSGNPLVIYDEITRGDNGMYIKNCSVVGSYPLLLLATEMVVAPPDDSDEEDEDSSEDEAAKNTSVQNNEEIMSSPDNTVSVVVDWWLRFDATALDVAQIYCLRERLASATLFKVKHPQDVLPPALGASMYAIACILSYDGLPAMVPSNDLSSDQASNRNSAEASRFSQGKRVGYIPPDGFLMSLLADKPHDGPPFQEPSNHPRGASGHARPSRPAVGRYDQSQRPVRNYGPGSSTSRSFKRQRGAAR >Et_3B_031532.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:3706462:3707544:1 gene:Et_3B_031532 transcript:Et_3B_031532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATNRRVILKDYVEGYPREEHMELLPGVEIPLRLGADDPAGSVLVKNLYLSCDPYMRPKMSRPVRQSYTAAFVPGSVITGYGVARVVDSTDPRLAPGDLVWGITGWEDYSVVKPPVSKLLSKIRHHGEGGIPLSYYTGVLGMPGLTAYVGFHEICAPKPGEKVFVSAASGAVGQLVGQFAKLAGCYVVGSAGSDEKVSLLKSRFGYDDAFNYKDPNESADLAAALARRFPDGIDIYFENVGGAMLDAALRNMRVHGRIAVCGLISQYNKAEADKDAVRSLDAIIIKRLRIQGFIEPDHKHLYPKYEEWVVPRIRDGTVAYVEDVAEGLESAPAALIGLFHGRNVGKQLVRVASEDDE >Et_4A_032176.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22403402:22403428:1 gene:Et_4A_032176 transcript:Et_4A_032176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCPGRR >Et_4A_035430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24529235:24533660:1 gene:Et_4A_035430 transcript:Et_4A_035430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METRDNFLRPPTPSPDEAGAGKSLRIPTAGDTAATNLTSSSGSSSSLTLSPPNFLHQVHAAVKRQRPLGAVQSNNQRATRVLVPRAERVKKGSASPSAQPNPAGKVMQPQRGLLGPSRLQNATPGQQKVSSSVKLGPTTPDQFMLATASMLGNLTDSCDPSAGQKCQKKSDDMLVDKGLKSPLEVSASRLASQDALLGESFKKEQFYSVGQQLTSQTGDDFPANQGEHDHRVNSQELNIADAAVDMDMKYDAVNSSQRGTEEARNQNQGEPTTRCSAIGSSITAVSIHSGPTVQSSQASQRDQYASSVQMPESAVEASGGVPGHGPQKPPTGVTGVGDWNPHNQQVHNSGTCANDNAVPGSRSRLPSEGLSANDQSTSGRDGGGSKANKGEKERHKKSYDPNVFFKVNGKLYQKLGKIGSGGSSEVHKVISAECVIYALKKIKLRGRDYPTAYGFCQEIEYLNKLRGKSNIIQLIDYEVTDKSLLQEGSMSPRDGRIKDDHYIYMVLEYGEIDLAHMVSQKWKERNNSNMKIDENWLRFYWQQMLEAVNTIHEERIVHSDLKPANFMLVRGSLKLIDFGIAKAIMNDTTNIQRDAQVGTLNYMSPEAFMCNDQDSGGNIIKCGRPSDIWSLGCILYQMVYGKTPFADYKTFWAKYKEVTNRNHKIKYEPVDNPWLIDLMKRCLAWDRDERWRIPQLLQHPFLNPPGPPRDLPPVDYDTCKLLMERARAHWANPEVSRRLSEFCFELSSLIEKLEKDQ >Et_8B_059661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20383504:20384420:-1 gene:Et_8B_059661 transcript:Et_8B_059661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNILKQNGVNAEGCLFDQHARTALAFVTLKKNGEREFMFYRNPSADMLLTEAELNLDLIRRAKIFHYGSISLIAEPCRSAHLAAMRAAKAAGILCSYDPNVRLPLWPSEEAARSGILSIWKEADFIKVSDDEVAFLTQGDANDEKNVLSLWFDGLKLLIVTDGDKGCRYFTKDFKGSVPGYKVNTIDTTGAGDAFVGSLLVNVAKDDAIFHNEEKLREALKLSNACGAICTTKKGAIPALPTVADAHELINKGN >Et_6A_047353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4308561:4315700:-1 gene:Et_6A_047353 transcript:Et_6A_047353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QGLASQAEDPDDQRPRHFTSAFSSAEQRPAPPHLESSWRRRREVPPRIASFAKMTMKGIRVACFLIFVQQMVLGTHDVYIVTMEGDPVVSYRGDVEGFPATAMDLDDEMDVTSEAVTSYSLHLRRHHDKLLESLFVEGSYEKLYSYHNVINGFAVHMTSLQAEILSKASGVKHVERDMKIQKLTTHTPQFLGLPTEVWPTGGGFDRAGEDVVIGFVDSGIYPQHPSFAAHKTDPYGPVPRYKGKCEMDPVTQRSYCNGKIIGAQHFAKAAMAAGALNPDIEFASPLDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKVLYRLFGGYVADVVAAIDQAVQDGVDILNLSVGPNSPPTATRTTFLNPFDAALLSAVKAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAGVDDRRYKNHLTLGNGNLLSGLGVSPATHRNKSFSLISAADALLGSPATKYSALDCQRPELLNKRKVQGKILLCGYSFNYISGTASIKKVSQTARSLGAAGFVVAVENSYPGTKFDPVPVSIPGILITDVSKTKDLIDYYNSSTIRDWAGRASAFKATAGIANGLAPTLYNSAPQVAIFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWAPNGTDELNYAGEGFAMVSGTSMAAPHIAGIAALIKQKNPKWSPSAIKSALMTTANTLDKGSHPLRAQQYSASEMMTLSRATPFDCGSGAVNPKAALDPGLVLDATHEDYITFLCSIPDVSHSEVANIAGSTCNSSSKEQRPYDLNIPSITISQLRGTQTVKRTVTSVADETETYTIMTRMPSKIALEVTPPALTVLPGASRVITVTLTARSLTGTYSFGEITMKGDRGHVVRIPVVAMGFK >Et_2A_018513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31197134:31199994:1 gene:Et_2A_018513 transcript:Et_2A_018513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTDLSVAAELAVADRSGSTEPLPFVNDKHGGVIIEMTNPMDPQVFSASLKASLAKWREQGIRGVWIKLPIGLANLIQAAVEVLAVQEKSGVLRGMGVWKFPTGVVEPGEDINIGAVREVKEETGIDAEFVEVLAFRQSHKAFFDKSDLFFVCLLRPLSFDITKQDSEIEACQWLPIEEFAAQPFVQKHELVKYILEVGLAKVDKDYAGFSPISIKSAFTDKESFFYFNRRDVDRAKSGSIDFKEYTHTEGPHAVLANLDGWDPQGHKPCKRA >Et_4A_035775.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:5621011:5621379:-1 gene:Et_4A_035775 transcript:Et_4A_035775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGMLTIGTNPHVSQGHRRRRSGAVARPRSIFLCQSSQPTKPPAGGDGGGENKSGRWAARAEKLYGNVVKAGMAARESLSPKQKGDWKDVTLMSFSFAVYVYISQKLVCTYCAWLSMINH >Et_6B_048982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16315019:16327827:-1 gene:Et_6B_048982 transcript:Et_6B_048982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAPSPFMPQCNMPHLAKSLALYFFARLADPVPMEMMMKFLYSMDSTHGFITAVDDAAVDLMLVIGCSGQLLAESEHLLVENGHSSHGWVVGHDATVDQVVLVGLAVGIPCCERYWRKVGGCRRRANADIGKERVLVDLLLGLKRGEDDWLHFVDVERWHNDVGQCVRHLDFFGQDLLDLFVQGFTVNRRHSGIHGVLPWRENFWAVVKGSIVLPGCRQHRRDKPACARPGDYVEVVGNPCVWPVQLLNQTIEQATYVKWIISQKDVFQCGGCARTYLELFLEVAEDGAGDETADAAAVNAQNREDPSLMRRRELHEGYRRKVRGRRRRADANVGEGRVLLGLLLGLERGEDDRLHFLDVERRHDDVGQRVRHLDFFGEELPDLFVFAVVLGAHRRVRKFRKHLLVPPGSAEHHESIYSGRGHNGILAVLPLRENIWAVVKVELVDVPGGEKRPVVLAGSRQHCRDQPAGASPGDHVEVVGEPCVRSVQLLQPNGQVVAEDGGGDETADATTVNAQNGEEPPLMQLRDRRHQRLHRRRWHDDEFGREFKTW >Et_1A_005799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14015913:14016793:-1 gene:Et_1A_005799 transcript:Et_1A_005799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITMDDLVSRVRAVSGDGREAAAADNQQLVTAPTLRAAMASMLNCRTGHARFRRGPVVVAQHHPASPSRDQPSGTAKLDAARSCDEGFSASASASSSLPSTMTCVTAGEGSVSNGGRARQVQFPPASGHSAGETRCFDRRALFANDAGDGKPHCSSKKRKARVNTAIRVPAISSRNADIPPDDHSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERDPREPAMLIVTYEGDHRHDVRPDHGAGASANI >Et_4B_039793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29865598:29869942:-1 gene:Et_4B_039793 transcript:Et_4B_039793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPTTDSALLLGSSSALYKRAAGRSGRASSARLPGAGRRRPQAVVRASAKDIAFDQNSRASLQAGVEKLAAAVGVTLGPRGRNVVLDEFGTPKVVNDGVTIARAIELADPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGMLSVTSGANPVSIKKGIDKTVQKLVEELEKKSRRVKGSGDIKAVAAISAGNDEFVGTMIAEAIEKVGPDGVLSIESSSSFETRVEVEEGMEIDRGYISPQFVTNPEKSTVEFENARILVTDQKISSIKEILPLLEQTTQLRAPLLIIAEDVSGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEYQSKDLGLLVENTTVDQLGIARKVTISSSSTTIIADAASKDDIQARIAQLKRELSQTDSTYDSEKLAERIAKLSGGVAVIKVGASTEAELEDRKLRIEDAKNATFAAIEEGIVPGGGAAFVHLSTFVPAIKETLDPEERLGADIIQKALVAPAALIAHNAGVEGELLLVILYRSHGNLVPKAREEYLSGDSSYGFGRGASGRGPDAAESGQTGRALGARPEASSRLRLPAKGRG >Et_4B_039849.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:432531:432812:-1 gene:Et_4B_039849 transcript:Et_4B_039849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSVALVVAVAVVALLAAGSREASASSCNAKQLSACVPALTTGVSPTAACCSGMTAQQGCLCQFAKDPAYARYRGPNARRTIAACGVSLPHC >Et_3A_023505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31525562:31525812:1 gene:Et_3A_023505 transcript:Et_3A_023505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEERREEERKKMKQKEEERRRQYEAERKAREAERERMRERARRAREAGPDALRKGKYPRCTQ >Et_2B_020551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21239775:21242067:-1 gene:Et_2B_020551 transcript:Et_2B_020551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRARQASSLLSAINPHHVHGAAAHKGNSATTPPTPPKPHSTPLRRRASNLRPSSGEMHIFVKTLTGKTVTLEVESSDTIANVKAKIQDKEGIPPDQQRLIFAGKQLEDERTLADYSIQKESTLHLVLRLRGGGQGKGGTYPLIDPGLLQLALKFRQFKMICRDCYARNPIRSKNCRKKKCGHSNELRKKKKMV >Et_4A_032277.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27816719:27816832:-1 gene:Et_4A_032277 transcript:Et_4A_032277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGTFGRSGISVPLSRQPRQFSRLHCLPKKTSTHTA >Et_2B_020653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22415058:22422510:1 gene:Et_2B_020653 transcript:Et_2B_020653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRNKRGYHYDQDSPPPRSKPRFDRRNQNPNSNYHRRGPPGGGGGSDRRGGFIPADAAPPPPPPPPPPPSMAAAGPGGASAASTTTSFRILCPESKAYGFAPGFVSKVRDDTGAFVNVHPPFAGDHVRVIETVDGARREADGRPPTYSPAQEALIMVHRRILETDPDDGDEDGEYGPRGKDPRDRGKTTTRLIVPRLHVGCLLGKGGKIIEQMRMETKTHIRILPRDQNTPRCVSISEEVVQVVGDGNCVKKAVVIISDRLKESLHRDRGHFRGRMNSPERRISPEDGYLGGVQQMPVYEEPYGRPEQTRNNTGMELPGYEYDANGSQVIEHPEFQSDDIVFRILCPNDKANSLIGTRDGIIEMLQTDVGVDVRLTDIIAGSDERVVIITSREGPDHELFPAQEALLHIQTHIVDLGPDKDNVITTRLLVPSSEIACFEGRDGSLSDIQRLTSANVQILPREDRPSCALESDELIQIVGEIRAARNALMQVTTKLRSYLYREISGPIQVGNINVHGTISPANGSSRGPYQGNDLPMAAYHQAPQMAASWHSKDSGGSASGSFEQGSNINDDIRQSTTKRFAVPLVTRSTLEVVIPRSAAASLTMRSGSKLAQISEMSGASVTLADDRPDVVEKVVRISGTPEQADKAQSLLQGFILSIQDDMPS >Et_1A_006215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19294798:19297612:1 gene:Et_1A_006215 transcript:Et_1A_006215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGSIKGRARFAAACGVLSRYVKATEKAAAAMEAAPRPAATVVALPLMPGADVSSSAQEKRQPAPSPAAPQLTIFYGGRVVVLDDVLADRAAEVFRLAAAAKEAPRQHAVLPVERKASLQRFMEKRRSRVAARAAPYSRPDGHDACPDSLALSLASPAMAAAATSTAATTTSRFAAACGALSQYVKAAEKARARPVRPLALMPGADVDAGAPEGQEDSEAGPAQAQQLTIVYGGQALVLDAVPADTAADLLRLAASAATAKEPRRSAVAAGGVRVAAADIPVARKASLQRFMEKRKGRVAAARAAPYLRAGACADRDELTLAL >Et_3A_025124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27055938:27058248:-1 gene:Et_3A_025124 transcript:Et_3A_025124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESTRVVPLLIVSEGGRVHEIQTFAHYVANQIGFDDLNECPHLHSMAYDYLKKNEGYEQNLLAFFHNKMNPDALLVKLIEELDKCILGYFSFHWKWATHVITQVLTSEQPRRRKLRRMVMEATRKMRFERVTRELKVTRLFSTLMEELKAIGISCHDHRPGGTEVMVPAAHSDRSPVLLLMGGGMGAGKSTVLKQIMKEVFWSGAAANAVVVEADAFKESDVIYQAISSRGHHNDMLQTAELVHQSSTDAAASLLVTALNEGRDVIMDGTLSWEPFVLQTIAMARSVHRQRYRMGVGYKVADDGTTVVENYWEPQKQQHGGARKPYRIELVGIICDAYLAVVRGIRRAIISGRAVRVNSQLKSHKRFAGAFRKYCELVDSARLYSTNTIAGAKLIAWKDKDSRLLVDVDEIGLLDRVSRINEEANCVHELYPDGHPTDGAGSVWEDLVGSPLRASIQRELKEAILDSEACFPSP >Et_4A_032630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1273241:1275425:-1 gene:Et_4A_032630 transcript:Et_4A_032630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HATRELYHLGGWIFRGSGVAESDAHTTYSGAAAGDHMDSMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQVHCGMGYDEQNEWYFFSYKDRKYPTGTRTNRATMAGFWKATGRDKAVHDKNKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETDENAPPQARSLISAIYLLPCTYYYHLCCWVVCRAFKKRTAYPARSMALPWNPSYAYLEPNVMGAEAATAAFADPNAAYAQIRRQSKNARFKQEADQLDGAAAFLHYSSHLVELPQLESPSAPLAPTNTSTEEEDAHGAGRRGKKKARAAEEVATDWRALDKFVASQLSPAAEGGGALEAAAAASAAGGVASPQMDHGDDDMAALLFLSSDGRDEVERWTGLLGPAGGDGDLGICVFEK >Et_2A_015969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19812101:19812990:1 gene:Et_2A_015969 transcript:Et_2A_015969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVARLLFPGAICTGSFGALHSAQAPAPAPDRASAAAVRYDVRQHHDRRGGVSREFAGVGAERVEELMELDSSADLSPDAVRERFVRESIDGLLPRPLRELVGDVRGLKSVYDADRGVPHQDTRRCLVGVRWDVPAVHGCAVGVPRFRAALRLLYRLSVMAADVRRRGFAPDFISSGLNFKHIAVITIVMLVSGFNKVTFLEYVVANPD >Et_7B_054459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21531355:21532104:-1 gene:Et_7B_054459 transcript:Et_7B_054459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPHDTPRPAGIGKGSRNQQPSPCRSPLAILLASRLTKALQQQIVNYITDNQLLVSTLQRNNFITDPGDWRLRPLLYELISNNSATTFQVHKISRNHNRVAHNLACQASLSSDLADCAYTCNGNGQNARGLCLLSPVPAPVPVIRNGRRTLPVSAIRGYPLLAGMPAARRGVRRAPAERAKR >Et_10A_000318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22969084:22969533:-1 gene:Et_10A_000318 transcript:Et_10A_000318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKMERMSSSVQAWVEEHKLATIGSSETAMINTGGVWATAVGASVAYSRKRTPQRIHAQALTLAVLGGAALAHHYYNGKNKKSKEDLDYDFYSQLPSATDADGNENERWSW >Et_2B_020539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21135502:21139489:-1 gene:Et_2B_020539 transcript:Et_2B_020539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRDPKRKPEPGSRKRKGPSFSGEFLPSWGFRSAARASKDMGQALGLAQVDQSTVAIKETFGKFNEVLEPGCHLLPWCIGQRIAGYLSLRVRQLDVRCETKTKDNVFVTVVASVQYRALADKASDAFYKLSNTREQIQSYTLIVDIEPDDRVKRAMNEINAGKMRLAANEKAEAEKIVQIKKAEGEAESKYLAGVGIARQRQAIVDGLRDSVLAFSENVPGTTAKDIMDMVLVTQYFDTMKEIGASSKSSAVFIPHGPGAVKDVAAQIRDGLLQSNMH >Et_7B_054109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15508322:15513367:-1 gene:Et_7B_054109 transcript:Et_7B_054109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRHTSGMPRDLKFQHLEAITNNFSEDHNIGSGGYGEVYKGILNTGEAIAVKKLYHIQEIEDTKFEKEFSNLMMVRHKNIIRLVGYCYETRHKSIEVQGKYHLAQISERALCFEFLQGGSLDKHLSDETSGLDWRTCYNIIKGICEGLNYLHNGSKDNNHIYHLDLKPANILLDENLIPKIADFGLSRLFGGTQTHITKNFAGTLGYVPPEFINQRQISNKYDVFSLGVIMIEIMAGLLGHSRSAEMSPRQFIELVHENWQNRSHATSRHISQEADRLEVKMCIEMALSCIEPDRTRRPTIADVIDKLNEIETLKMSLLLQSSDSKVHVDVGIDPLEVRFPFELNKEISCVLQLTNKSDDFVAFVAKANQSKYYSRPDKGIMSPWSKHYVVVTMRAQENEPSAMQCNDIFVMESAIVSEGGVPPSDTADHLFKEIMAGKVVVDKVKLPIVYVALHQHASASMT >Et_8A_058489.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:9235604:9236257:-1 gene:Et_8A_058489 transcript:Et_8A_058489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAQPTTTVPVHSPLITEVTTHQRPVAFRSTSPLDDARRPDGCMDPLLNIGSQHCNGRSINAAVLPRGLSIVPIPTTAPGPAAPPPPAPGTSPMPAPPPSTTMTSSSAGTAASHAPHHLHISTPTAAAASPSSNSAHPSPRGGNGSNGVGSPSSSQQNQACAACKYQRRKCNADCPLAPYFPADQQRRFLHAHRLFGVSNILKTLRRLRPDLCAA >Et_6B_048208.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:10454321:10456334:1 gene:Et_6B_048208 transcript:Et_6B_048208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPAPPTPAAAAHSAPPRIGLAGLATMGQNLALNIAEKGFPISVYNRSPAKVDSTLSRAQAEGGLPVLGHRDPRGFVLSLARPRTVVLLVQAGPAVDATIEALSPYLDEGDAIVDGGNEWYQNTERRIQEAAARGILYLGMGVSGGEEGARNGPSLMPGGHVQAYDNIRDILQKAAAQTEDGACVTFVGPGGAGNFVKMVHNGIEYGDMQLIAEAYDVLRRVGGLSNSEIADVFAEWNQGELESFLVEITADIFTVADPLGGSSGGALVDKILDKTGMKGTGKWTVQQAAELAVAAPTIAASLDGRYLSGLKDERVAAAGVLEEEGMPAGLLETVTVDKKLLVDRVRQALYASKICSYAQGMNLIRAKSVEKGWNLNLAELARIWKGGCIIRARFLDRIKRAYDRNPELANLIVDREFAREMVQRQNAWRWVVARAVEAGISTPGMSASLSYFDTYRCSRLPANLIQAQRDLFGAHTYERTDRPGSFHTEWTKLARRN >Et_2A_017508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34996237:34998823:1 gene:Et_2A_017508 transcript:Et_2A_017508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTRLTTRAFMASSNPMVKPLVILGSCCELHEVDGRGILGRAIVEGLGGEKGGPLGGVVAIPENEVVAVGAERGVGHAVCLGVEPAAAAARVGDVGVPGSLGIGEGVDREAVVVAEGVDEPRQLLIRPGEACVEGGVEAGGEGVEAVAARSGCRVEHAHRGNAAEQPHVDDGEPPRAHLVQPLDGARVPGDEAAVERRDVCSC >Et_4B_038023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25547310:25550261:-1 gene:Et_4B_038023 transcript:Et_4B_038023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAWEGPTAAELKAAGAEAIPGGVQAKGWVIQSHKGPILNAASVQNVEDELQTTHLPEMVFGESFLSLQHLQTGIKLHFNALDALKAWKKEALPPVEVPAAAKWKFRCKPSDQVILDYDYTFTTPYCGSDDVVLKPGNMQAGSDGCNTLHWEDTDERIDLVALSAKEPILFYDEVILYEDELADSGISFLTVRVRVMPTGWFLLLRFWLRVDGVLMRLRDTRLYCSFGNGDEAKPVVLRECCWREATFASLSAKGYPSDSAAYSDPNLIAQKLPIFIAELTKNVIHSWTRCTLYTLPPRKQPWHRQPQPVPLQTINTITVEGLDHSKQPVPRTSASVSLGPFRLPIPNGDMNNPSDPPPPPPLEPFRHQLGRLLPHRAWDVVASDLAVCRCIEQPRCSRVELERLGDE >Et_2B_020363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19291038:19299927:-1 gene:Et_2B_020363 transcript:Et_2B_020363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLIRPQRFLYPLPAAAPGEPRLRRTLDASRIFSSPRPPGRRPRPRPASASTDLRRLTARIVDLTRRRQLAQIMEEVEAARRRARWRGGGALNIIVMNAVLEACVCCGDVDRALRLFEDMRGPRGCGVDEVSYGILLKGLGIARRIDEAFEVLESIEKAAIGSPRLSPHLICGFLNALIEAGDMRRANALVARFRKVLYEDHSVLLYNLLMKGYIRSNFPLGALTVKDEILRQGLKPDRLTYNTIISACVKSAEIDKAIQFLEDMKEEANRDNNPALLPDAVTYTTILKGLGNSRDLYSVLKIVVEMKSSVLSLDRTAYTAMIDALLACGCIDGALCIFGEIMKQAGDNKDLRPKPHLYLSIMRAFASRGDFEMVNRLNKRMWPDTVGSISRAAKEEAHELLMEAAVNSNQLDLARELLRRIVNEKECFSWTSRVGLVAVKVESLSGFTNSLLRPHIILNDPVEKYMIPFQESRPLPSDLILRKAVMRFMEDRVVPLVDDWGGCVGIVHRDDCNKLDAPLLSMARGPPLCVPTSTSVEHVIDLLLREKTEMVVVVKSCNMYEGSYVSSSRPLGVFSLSILWKFIDDSSSDINDVGLSRVTLEQDAEPYNCG >Et_10B_003927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7686295:7693735:1 gene:Et_10B_003927 transcript:Et_10B_003927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFSSRTGRWEDRVFARDGSPAGMVKDMWMDRAEPTWLGPRRRYAVYFQGALYVHCRGNFVARLFLSDRKYQVINIPKKIERTKPYLGRSEKGVYFGIVDECQIQVWIMTESCGNMEWVLKYQDDLRYFAICIRSFYKLGPWTVQEDSTESDDNAESLEWDSDNDDILTQKIEDDEYYGEDLEILGFHPYKEVVYLARPFGVVAYHLNRSKAQYLGNSRPHCYGRNYTNGIYDLASAMLVCKAWHAFVNAHAHALLAPREVRGIFINYVDHRRAHLFSRPTTSPATVDGLLGFLPDDLTRRLWSVLDHCHGLLLCHVRWETDLCVCNPATRRWMLLPGNPTDGASRYAGAYLAFDPAVSPHYEVVLIPDFVLEKPPKTEEERLRSMTRRSAIGTSFWIGEQPDYYLRDDGVDPIHDTAFGMMEWPPKTWNMNVFSSRTGRWEERAFVRDGDPAGIVKDMYVDRAEPIWFGPRRRYAVYFAGALYVHCRGPFIARLLLSDGKYQWVLKYQDDLWFFARYIGSLYKNGKPMEGPWAVEEGSTVNDGNAEERKRLEWDSDSDDILSLKIKGEKYCWEHLQILGFHPYKEVVYLASPFTVAAYHFSSSKAQYLGNSRPDCYYHGHSNGIYESFVYTPSMIGELHGGNTSD >Et_2A_018243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1997250:2000706:-1 gene:Et_2A_018243 transcript:Et_2A_018243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRPFDLSTLAEHRRSGSQSDCLGNHPERSKEKGGHMRIGAYVNPVADDFLPINVEAPKGASNYCDKKLASKSSMHSRSSATDTCTAPSGAPSSATNSFKITHTSSTRVGAPHPKSVSYAMNRWDDPICATLSGGRDRRSCSHRSASASGSSRYTWNASLTAAAARARAPPSSFPPPPPRSSPTVALA >Et_3B_028132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10657786:10662271:-1 gene:Et_3B_028132 transcript:Et_3B_028132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCSGVLLVLTLAAVLLLFTPSPAPTPPPATAPAVPVAHLLPSLPGLSVLYPPPANSSAHLSWRLLRPLLLRSDALPGTAEGVLEAASAWRNLTLALAEEGQRTHGSLGRGASCPASVEGDLRAGSARIPCGFVEGSAVTVVGVPREGAARFVVELVGAANEVVVRVNVSLAATGMVVEQSSWTPRAGWGEWERCPPVVDGLVRCNEKAGPSTIGDGNNTMVNVTQHQPDNEKRPRGREHVSGGFAIVEGEPFTATLWTGVEGFHMTVNGKHETSFAYRERLEPWSVAEVKVSGDLELLSFLVNGLPASEDVDMASVEVLKAPPLLKKRTFLMVGVFSTGNNFKRRMALRRTWMQYEAVRSGDVVVRFFTGLTHIVPAKYIMKTDDDAFVRIDEVISSLKKSKSSGLLYGLISFQSSPHRDEKSKWFISQKEWPFEMYPPWAHGPGYVISRDIAKFIVRGHQERTLQLFKLEDVAMGIWIQQYKNSGQQVNYVTDDRFYNEGCDADYVLAHYQSPRLLMCLWEKLTTEYQPVCCE >Et_4A_033094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18382789:18384048:-1 gene:Et_4A_033094 transcript:Et_4A_033094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GKFHSGKCHNIEEYTYHSRVACWNFLGINWDHEPQFFDTIQKAKTWEIWKQRNAKNFRAAVPSSGLEGLLHQVKLQLYRPKAEDRLLAQHWLDTLVNTPIWKRCWQRLGFRRNLNQEFFIMMMDAQKATPHDFFIVGAWQIWKQRNSDIFQRSPPDF >Et_6B_048703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12809042:12809470:1 gene:Et_6B_048703 transcript:Et_6B_048703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVISKACIENSNHGFKKAMCTPYQMKNSKIQMIFPNTVSNSSNTDMLKEIYLSGATSYSGPVEETMTQFGLSKIRDIFLLIIE >Et_5B_045426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22010671:22017359:1 gene:Et_5B_045426 transcript:Et_5B_045426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDKESTKLKEEEKKKDEVHLKMKSKEKSAGDEDEKKEVEIEIEAKFVEKEKVKIDESDSAGSAGKGKDAKKDKEKKEKKKEDEDGDDEKAVKKDKKKEKFDAKDDGKKKEKDGEEDADGKKKDKEKKEKKKDKSDVKEGGKKKEKDEEEDIEGKKNDKEKKEKKKDKSDTKERKIEDVNEDDEGKEKDEGKKEKDKKKEKNGEEVEGKKKDKDKKEKKKDKDTKDKTTDPAKLKAKLEKIDTKLQDLQAKKEDILRQLKELEESGKGNTSEEKPSQILKEVELEDSGKLETLKGSGKGEPTEEKPEQGGEHKATEENPSSFTTGMMAGTSRSKWECEDPSPEQWSSFLAALIASRVAQCTEAARSKGFKVPSANG >Et_10A_002104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22720025:22723514:1 gene:Et_10A_002104 transcript:Et_10A_002104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRSFPLVSRCGRVRKMVIDSKDPDLSRLELVNVPGGAFAFELAAKFCYGSNFEITAENVAHLRCVAEYLEMTEDYQAENLVVRTEIYLDEIVFKNLDKSLEVLCACDGLDPMVEEVGLVDRCVDAIALNACKEQLVSGLAHLECDGGSGKLRMHCQDWWIEDLSALRIDYYRRVIAAMRRTGVRPESIGTSIVHYAQTSLKGIERHRVWDSGPLVGDNQRVIVETLIDLLATENITTVTLSFLFGMLRMAIEVDAGLKYRIEVEKRIGLQLEMASLDDLLIPAVQTSDSMFDIDTVHRILVNFLQRIDEDNSGDLSPCGYDSDGLKSPSHSSVLKVGRLMDGYLAEIAPDLYLKLQKFMALIELLPDYARIVDDGLYRAIDIYLKAHPSLTDSECKKLCKLIDCQKLSQDASSHAAQNDRLPVQMVVRVLYFEQLRLKQSSFSGDGSMSQRMMMCSGSGVPSSCVSPRGDNYASLRRENRELKLEISRMRVRLTELEREQGIMKQQGMRDGRAGEHGRAFLASLSRGIGRITMLRPAAAAERRRKKSSSQGLEGKSRRRQQKASFAYD >Et_4B_039796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:366969:368899:1 gene:Et_4B_039796 transcript:Et_4B_039796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGNTEGTRQPSVAPAPSELPEGPVASSSRSQPGQSEEQGTGQDETAEGNTSYKASEIAGRFIDPKSHVDTAAPIDSVKGAVTKFGGILDWRERRKEIQDELDKVKVEVAKYQKWSQQAEAGKARALRELESSARAADELRQSLEKAQARQDLRELQRGASESAAAKAELDAVRDRRDAASAELRSELASLEKELAALKRELESSHAAHGEAEEKRLAVAAALERDRARWQGELEEGEAEAKRLREELVAACDVETKAESAAELLTNLKAELLACAVDGPSSSDNAVPVRLEKTRKELEEVKESIDRAKGEAYHLRFAAASMRDDLERQKAELAALMAASITSLDSSAKDDSKMEPEQIDEARREAEQAKEKARSAREEMDKAREDAAVAKAAVAAAEARLEAVTREIIAAHTAEETATASANALLLLQTSETSEEPSENHQDGVVTLSVEEYEELSRRAREMEDAAGKRVMEAVKQIKEAKDAEVRSLEKLAKAGRQTEQRRQALLAATEEAEEAEFAKLSAERELRQWRADHNQQGSVAGSSRAAGLAEISALDDPAAGNPHILSPRGGYMVPRPDADKQRKTLFPRMVMFLARKKAQTWK >Et_3A_024908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25259397:25260263:1 gene:Et_3A_024908 transcript:Et_3A_024908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSECKAQLLQLHAADQQQEQQAGAVAPFVAKTFHMVSDPATDAVVRWGVASNTFLVLDPAAFSEYLLPSYFKHRNFASFVRQLNTYGFRKVDTDRWEFAHESFLRRQTQLLPLIVRKKKKSGCRDLCEEGEEVRGTIRAVQRLREEQRGMEEELQAMDRRLQAAESRPGQMMAFLAKLADEPGVVLRAMLAKKEELAATAGGGIVKGSPPAVEAPGKRRRIGAEVDCGGVAAGDAAEMAAAQGRGAVQFPFSVLGQVFY >Et_2B_019821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14281728:14294548:1 gene:Et_2B_019821 transcript:Et_2B_019821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTKEPFGWVTVDNAMGLVRMEENECRANVRSDMGAQLPIKRLRIVFASQAVIQASIGHVFIHKSLSILACSYKSNEVWMSRLAENLHLPPLQDQGAPFCHTGNISGLGLPTLQHTQEAIPLQKHQVSHPRLHCPMLYPPHSEGLGGPFQLANAAVRLQRRTRNSKIPKLAYKVSVKENIVRLQITMCDAFWLVGVEEDKCCADIGSNTDACSPWEWLGVMLALETVLKASIWQEFINQRFWIPAHSYKSDKLTIEAGAGDASILSQSSGLDLEDPPLLGRLEFGEQFIGVIWIECCAIGGIVSTLFASSPGWGLIPSASKRLFANEEDLAVRLVDMQQDKVEITQKPEAPSCTEVGLAILEVLAHRHIKLPTAIIDMRGLLVDVSEAGRPAASPG >Et_4B_037190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16226295:16233290:1 gene:Et_4B_037190 transcript:Et_4B_037190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSAPVSGVVPRNLKRHSWMVILLVAVLAHGAAASPPPEPVQCRSNGGGGCTVSSAYGVFPDRTTCRAAAVAYPSSEDELVRAVAGAAASGTKVKVATRYSHSMPPLACPGSGDGAGLVISTRRLDRVVAADAATRLVTVESGVTLRELVAEAAKAGLALPYAPYWWGLTVGGMLGTGAHGSSLWGKGSAVHEYVVGMRIVTTAPAEEGYAKVRVLTAADPEMDAAKVSLGVLGVISQVTLALQPLFKRSVTFTERDDADLAEQVARFGYQHEFADIAWYPGHGRAVYRVDDRLPMNASGEGVLDFIGFRATPTVAIQANRLAEDLFERAGNGSGKCLTSRLTHAALSSAGYGLARRAGAPFAGYPVVGPQHRMQASGGCVTGPEDALLTACPWDPRVRASSFFHQTTFSLPLARAVAFLRDVRRLRDLNPKALCGVELYDGILMRYVRASTAYLGKHAGGDEEEEDMVDFDMTYYRSRDPVRPRLFEDVMEEIEQMGIFKYGGLPHWGKNRNLAFVGAAAKYPDMPRFLRVKDAFDPDGLFSSDWSDTMLGVGGGSPTTDAPGCALEGMCVCSRDDHCAPQQGYVCRPGKIYKDAR >Et_3A_026088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4161682:4166356:1 gene:Et_3A_026088 transcript:Et_3A_026088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPHRHGHGHHRDDDDYDRRDPPPPAYGRPAAEPYGQPPPPAYGRGDDVDPYARPAHGDAYGRHPPPPAYGRDEPDPYARPPPPAYGRDDAEPYGRAPAPAYGGGYGNVVHVAHEGGDERPRYGGGGDRPHYGGGSVGGAYGGGGPGYGQESRPHGGGGGSGYGHETRPHHGGGAEPTARKPTYRIFCKAGDDQYSLAVRDGSVCLVRSDRNDDSQQWIKDLKYSTRVKDEEGYPAMVLVNKATGEALKHSLGQSHPVRLASYNPDYMDESVLWTESRDVGDGFRCIRMVNNIYLNFDALNGDKDHGGVRDGTTLVLWEWCEGDNQRWKIVPWCKSLDFHPYIDYDRFTEISSHLKF >Et_10B_002521.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:12898751:12898942:1 gene:Et_10B_002521 transcript:Et_10B_002521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNGMVWRFQKERSRCCLPLSERRLEGWTRMLCLNGLRLLLVGQKSMLMEHTRQTPGKQAPE >Et_9B_064720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1878235:1880631:-1 gene:Et_9B_064720 transcript:Et_9B_064720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VNQIYTSIGNPFKQEFVQRIRSFCHSMASAGVVRPSVGFHNDTSSSSDADRLRNEMGNMNIRDDKDIEDIVVNGNGTEPGHIIVTNIDGRNGQAKQTISYMAERVVGHGSFGTVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRVLDHPNVVSLKHCFFSKTEKEELYLNLVLEYVPETAHRVIKHYNKMNQRMPLIYAKLYMYQICRALAYIHNCIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPLFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPAEAVDLVSRLLQYSPNLRSTALETLIHPFFDELRDPNTRLPNGRFLPPLFNFKPHELKNMPMEFLVKLIPEHARKQCAFVGW >Et_8B_060527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2075377:2077410:-1 gene:Et_8B_060527 transcript:Et_8B_060527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDHASRPLWACPNGRIFLETFSPLYKQACDFLIAIAEPACRPESLHEYNLTPHSLYAAVSVGLETSTIIGVLSKLSKTKLPEEITDFIRASTANYGKVKLVLKKNRYFVESPLPEVLKNLLKDEVISRARISPEDSLGGASFSVSKTAGEIVENVKQRCLPNALNLPMLEEYDFRNDTVNPDLDMELKPEARPRPYQEKSLSMMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNDVSVDQWASQFKLWSTIKDDQISRFTSDNKEEFKGMSSVVVTTYNMVAFGGKRSENSEKIIEEIRNREWGLLLMDEKYWCPMTQEFFAEYLKKENSKKKQVLYAMNPNKFRACEFLIKFHEEQRGDKIIVFADNLFALTEYATKLHKPVIYGATSHAEKTRILDQFKNSSKVNTIFLSKVGDNSIDIPEANVIIQVSFHAGSRRQEAQRLGRILRAKGKHQDRMVGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLVDQGYSFKVITSLPPPHVETKLSFDTLDEQLELLRKALNAGNNMIGVEHLEMDADDKALLKSRRSAGTKRHHHHLFKNRYV >Et_10A_000074.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22061683:22063744:1 gene:Et_10A_000074 transcript:Et_10A_000074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LTGQEEDEAAAPPAPAFLRQQPHRPLHRPRLPPRRCQAGRRRGHHRRYPGERLRRPVSSGPARRSRRRRRRQDGDLRVPGDGRRPSGHREHLRGQGRVLAAHPCHCHGRVRDAAGPGSPHPGELAGCHRQRPALLLEHGYRRRPWRAVRDFPRHGDLPDPGHAQHVHGGAWWRRGRRRRGGSYSWLPAPEIRIPVTELPKDLFFRSQQQEQHSFGIRSALGRSFGLAVNTFLDLERDYCGLYVADGIVKRAYFLGPLLLPLLLPQSSAPNNNSRWCIDWLDKKPNNSVLYLCFGSFAPLSDAQIRELALGLEASGKPFLWVVKSDSWKTPPKGWVERVGDRGLVVTDWAPQTAILAHPAVGAFVTHCGWNSVLETVVAGVPVLTWPLIGEAVYQREVCDAGFGDWGAPLSGGFRDSAEREAPREGSGPCRGGGASGDGIHGAWRGRRHREGKGQEALCQGSGGHGGGRLLSSRSTPLH >Et_5B_043966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18413433:18415685:1 gene:Et_5B_043966 transcript:Et_5B_043966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPEESVEPFSPSLFLDLPPTPRPDGGGGGDPASSDDLVLPYISRMLMEDDIDDKFFYQYPDHPVLLQAQEPYAKILSDAATGSSSSSSGSATTNADGGSGSSTLSPSSSSGAAASGGAIWPDDPIELAQLLRSPPCPEMKVGLNGFTAEDVSSFFPAQDGATEGLQHSSIQLGNASSAGDNRVHSPATSEEEMKTKSTANLLSADGRKNRQDWDNLEAETGRNSKLMMREPEESGEIVDKIVFNGYELCLKEMQGLRIAMGSDAKKNTRKGDRKSGKGRQSTSQVVDLHNLCIYCAQAVATGDRRSAIELLRQIKQHSSPSGDATQRLAHCFAEGLEARLAGTGSEVYKSLMSKRTSVMEYLKAYQLYLAACCFRMMAFKFSNKTIFNLIAGRKKVHIVDYGMHYGFQWPNLLRSLADREGGPPEVRITGIDLPQPGFRPAARLEETGRRLSSRARQFGVPFKFHSIAAKWEMVRADDLNIDPDEVLIVNGIVHLANLLDEGNDLDSLSPRDVVLNNIQKMRPDAFILFVMNGSHNSPFFVTRFREAMFYYSAMFDIMDATTPRDNEQRLLVERDLFGRAALNVIACEGLDRVERPETYKQWHVRNLRAGLRPLPLDLEAVKHVRETVRSQYHKDFVIDMDRQWLLEGWKGRVLYAMSAWVADDSKNFS >Et_5A_042636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23212693:23224074:1 gene:Et_5A_042636 transcript:Et_5A_042636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSFGNLGGSSSGGSNSKAAAPASSSSFLQLPLSSAAATGGGGGVAYYGAPLALLHHAAGPSSSSSLYGRHAEISPAEADAIKAKIAAHPQYSALLAAYLDCQKVGAPPDVMEKLTAMAAKLDARPPSRHEPRDPELDQFMEAYCTMLVKYREELTRPIEEAMDFLKRVEAQLDTISGAAAVGGGGGAARLLLTDGKSEGVGSSEDDMDTSGRENEPPEIDPRAEDKELKYQLLKKYSGYLSSLRQEFSKKKKKGKLPKEARQKLLHWWELHYKWPYPSETEKIALAESTGLDQKQINNWFINQRKRHWKPSEDMPFVMMEGFHPQNAAALYMDGPFMADGMKGVLHRGSSKLPLPKRNARLPLFATKQRSPAISTWGPRAYSLPRWSTRTEEREAARELGLGDLGAGAPTRGEGKWRQPQMRKPSAAAAGDVVACARGGSGATRGGGVRGRRANPHAPPLLTSFDWNEVELVVDRHHQIRREIGERRGEDAEGRAESMEIAKVDLRGVEPGGPGWDAARAVVTASLVGVARLCCSSAHGLDGGLAGLPEEGPAARGWAARGGGRGRGARRWRASAGPGRVRGGARRRGGAEGRRVRKKGKRKEE >Et_3B_029610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26481345:26482928:-1 gene:Et_3B_029610 transcript:Et_3B_029610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDDDSTFLDELMWSSSAPWQAYPGGGMNYLSPPFQGHPPPLPHPHEEFNSFDCLSEVYCNPYTSSSVAVPENPTAGGQTLTPLHDAATMAEEETNCDKGGGIFLSPTFVFGADVGQSSEMAAASIRGGYGDAHHSSKVHGAPSKNLMAERRRRKRLNDRLYMLRSIVPKISKMDRTSILGDTIDYVKELTERIKVLEEEIGASPEDMNLLNTLYDSSNNNNQMMVRNSTKFDVQKRGNGSTRIEIFCPANPGVLLSTVTALEEDGKRQVISTDEIKQALLKSAGYGGRYL >Et_4A_032141.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:20617900:20618445:1 gene:Et_4A_032141 transcript:Et_4A_032141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LAGGSSEASYVGVVVLIVARISLGVVEGELDLREHQVVGLVVGGVRAEYHLLDGVVLAGRLDAVGEPLHGEGGPLERVSDDEVVEERRVLLPDLVLLVDEALLHLGAEFLLVRRAAAAGLRHLVGRSARVRVSARGEDLGRGAGVKWREGAWGCGSAGAGAKFSQTRWSPFVGKKRRRGWA >Et_7B_053663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10905210:10911169:-1 gene:Et_7B_053663 transcript:Et_7B_053663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEGLFYKSNSDHSISSDEEDTLVRSYSNLNVSFGYHCDSYRSLYPENDHGNGISPKKKFGTSTMMGSRNGSFTCLSGAAISANFTLANTNICKGLIGEEILPELDSPNSFRKIVSSPSMSRLDSLSNSIGSPASPESSIFEISKNIWRSSAPTTVSSNFLTSTEVKMAGGAAGEDRVQAVCSEKNGWLICGIYDGFNGRDAADFLAVTLYDNIVYYLYLLECRIKQQNGLDTSPENSLNEIKSELTLAMKIAENEDIKHSEAFRSGLLNCLAAAVEQAENDFLSMVEQEMDDRPDLVSVGSCVLVVLLHGKDLCILNIGDSRAVLASMPYAENGTLKATQLTEIHSLENPLEHQKLLADHPNDASVVMGNKIKGKLKVTRAFGVGYLKQKKFNDALMGILRVRNMCSPPYVYTHPHTLSHKVADEDLFVVLGSDGLFDFFSNDEVVQLVYQFMHDNPIGDPAKYLIEQLLLKAAKEAALTAEELMRIPVGSRRKYHDDVTVIVIILGNAQRTMTASTFLMDVFIHEDYVKKRNEVKRRQQQLRMLQVEGNPGASRPAPAPRESPRVPSQCVTPTGASPHSIMSPTASAAAGEAAAWPSEHPLFECLKPY >Et_2A_016654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2780935:2783328:1 gene:Et_2A_016654 transcript:Et_2A_016654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKSESDVTSLAASSPPRSPKRGAAAGTYYVQSPSRESHEGGYKSSSMQATPVYNSPNESPSHPSYGRHSRSSSVSRFSGNLRKGGERKPLNDKGWPECSVIEEEGPYEDLTGDSGLSRRCQIILGFLTFVLLFTTFCLIIWGAARQYEPEVIVKSLVMDDFYAGEGTDHSGVPTKLVTVNCSLHIAVYNPAAMFGIHVTSGPIHMIYSDISIGVGQLRRYYQPRKSHRVATAVIHGEKVPLYGAGDGLMLSSTGGSVPLKLDFDLTSRGYVIGKLVRVTHKVHVTCPVVVDAKKTKPIRFNKKACAVYKI >Et_1A_009104.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:29387768:29389162:1 gene:Et_1A_009104 transcript:Et_1A_009104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRLGCSSTGRPTSPSVRTPQPLSPRHDGHDIQVTICPRRPPHVSYLSVYSRDAEMPIEPTNVATEEDLVVLCVTVSCQKDVMKNIDYYVYQATGGVADGKPSLTLLKRPRAPYNSFYAEHTGVLLYGTSHQPALSGRTGISLRPHVHGVQRHYIIAALKPTPWEMQEEFPQGNFILSLYNSKKEDWTVNFISLNKEQRQQYGHDFEHANSKVITTGGDAGTMGFVNLWRGILFCDLLSLKGEVIPSQLRYIELPLTCRNSLFRGDARLARDIAVINGQLKFVELQMHWKESRVYKGDFFEDGWMATTWSRPSSCLSGHWSREYKADSKDMNFKDSPYVNLLPRVLSDEDVLLPPFKRIDICQPTLSLKDDGSCVVYFMTKVDRRDKDAWVVAVDMQRNTLQGVAPFVAARNPLTPFAYMSSRISTHLLKPAPGPHGHLKRPRVLSSIVRLIVIHVSLIRYFL >Et_4B_037515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20776129:20777869:-1 gene:Et_4B_037515 transcript:Et_4B_037515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVETTSGSAGAGDVKRRRREAAGGRSGSGVTVRRRRTIRLRVVPADAASRQTPLRRLLAACRLAFGPPGTVPAPADASLVKGMLDKIGLEDVHLSAEHKFPGADTDGSCRQRHPIITRTTMYSCRNFSIEVFVLPQGAVIPLHNHPGMTVFSKLLRGSIHVTSYDWAGPPVVVNSTTDDRPSRLAKLVLDADLNAPCDALVLHPDSGGNMHRFAAVTPSVLIDVLGPPYSQKRDCTYYQDIPCSNNDPNDIGDVDAADDQKVRLAWLKETCKPKNLKMYEVPYRGSPIF >Et_6A_047875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22116799:22120211:1 gene:Et_6A_047875 transcript:Et_6A_047875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSGSLLKVVAKNFDVLAGYASVKAIETKSSVDDQQWLTYWVLYSLITLFEITFASIIQCLCVPKLCETCLCEEPDGQHLKKGHFSKSDDFLTALDKFVEENGTDALKKLANKAGKPFKQSGKTSKDSKESKSSKESKVPKPSKDAKHPKSPKDTKEQKAPKDSKPSKDSKEQKKALKDSKELKKALKDSKEQKKAMEDFIELKKALKDSKEHESLNDPSEPKPKSNKRVTFAEVESEKELKASNSDWRPSSDYHSMYPEQNSWTSSFMIFEDENSYWNQAH >Et_3B_031621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6245437:6248316:1 gene:Et_3B_031621 transcript:Et_3B_031621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPLLTSLSMENSNNHPCTLLSMDPAGSHPASAESTGGGGTNGVGNGGDRELFIIPWREPAHPCPPDINLPLSADPSPPPPSWSHDPFDMLDVNLGTHTYESEVALTLPKSTGNGSVAVGVAARKCAKRGDSIWGAWFFFSHYFKPTLVEKPKGKVTRDASGSILSFDKSDLRLEDFLVQHDMENMYMWVFKERPDNALGKMQLRSFMNGHSKHGEPSFPFSADKGFARSHRMQRKHYRGLSNPQCLHGIEVVSSPNLSAVPEADLKRWAELTGRELNFSIPSEASDFESWRNLPSTDFELDRPHPPSSKSVPHSSHNHKKGLNGSGLNLSTPPSSDDGMDLSPKCAKRRKDLFGHSADEDCAMANNSCSDREQEVEVHAGEPSWMHEFTGVAKHASGPVTAAKTIYEDDEGYLIVVSMLFSDPHSVKVSWRNTLTHGIVKITCVSTARMPFIKRHDRTFRLTDPFPEHCPPGEFVREIPLATRIPEDAKIEAYYDETGTGLEIMVPKHRVGPEEHEVQERVGCPLEDSEPELACLVPAAGFTF >Et_6A_047511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6780280:6789493:1 gene:Et_6A_047511 transcript:Et_6A_047511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSREAKRRKKEDRLSELHNDLLQKVMSFLPSHEAVQVSMLARRYLYQWKPVRALRVMDSTDYSSANEWNRFVNTMLLLRDPSQLDEVEIKSYHDAEDEDESFRYIEQWVRYAFLRQVKALRLCILDSDILAEEDDIVHWPLPNTLLASNTLVKLELVRVETHGLSLDFASCPLLKDLKMEFCNLHIHKITSPSVTHLSITYCEFLSEARTRISMPSLVSLQLVDCKGRTPVLESMAKLVSAFVRLRYCSDYCDKSYETGYCGDNSCKGCRGIITGNNPSILLEGLSGAAKLELTAETTVFIFRSDLRCCPVFSNLKTLLLNDWCLTVNLDALVCFLLHSPTLEKLVLQLSEVRIFHISNYWNLACMVPKDLVKKVGIYGVNEQPVTMKNLTVQVKCYKRDERVDQIVEILGSRGVPLGQIEILKPPEQVTCRIDEFWPPGSNEDARHLFDEMPPGSEVTGSKGLDATGGAGRFSELSNKALQRILSFLPSEEAVQMSMLSRQWLDMWKDARSLRITNPGASPSANELNFFVNMFLLFSNPQPLDVVEISNYPCRSDRNAEESLRYLELWVRNCVQRKAQILKLHNKTASVHWQLDLGLLSSVHLRVVELSHVKINGVLNNSRNHCLDFSSCPTLEDLVMKNCEIEATLIVSSSLKHLTLKCCSLSQDARAQISVPELLFLILASCVGRTPIFEKIPRLVSGFVRLQADMDFCDSNYETGGCSSCDGCCHCIIGRNTSVLLSALSGAAHLELTSVQKEFIFRKDLTLCPQFNMLKTLLLNEWCVTTSLDALRCLLQQCPILEKLTIQFPKAHVCSDNILEELIDVGAIYNMATEPIQLKHLKVEVICPLEVELAISISKILGLLHSFGVPPGQIMIHQPTLLIESNSEDTYEDIQDYNDHGKPWPSAHWVKPMWDGIRFLAEGREHNTLVGHRQWGGRETGGKWRLWPPMSKHTETAARGVTDE >Et_2B_019679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12177483:12180684:-1 gene:Et_2B_019679 transcript:Et_2B_019679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHLAQCGGMGMAKGVVAMGMAKGVVAMGMCVSPEFMMVYKSGRNRAGTYLGYRDAFPSDLLTLWSTYNCQMMNIGCTSVKELSTSPSAITQQFQETESQLMQPVYETPNNNNDIGVPDTDPAAHRRSHYAEITSIKPLTLSSSKELMTDDNSLQKGRKRKATTLAIQRRRNGHHEIQSTHPTEQPLPDYISWYHKDKLLGKITCMVCGEEGHYTCDCPMKDRDNKVICILCNKVGHCYLWCCRQHVSENRACRRCALTEISRRAVTPVKICGETEPHDDSHECQFKRNVEGEMVLKVSNFGHSGQGHCERKSAISKKKKKRPAELELCDVTCFYCHNKGHFSYNCPTNRPPGVLELHDVTCINFDANTCDRTKPPEELLCHVICFKCHDKGHYAYSCPSNKPQKRGPKNKRKMINNMLRKTSGSCSVVTL >Et_3A_026638.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:11108973:11109335:-1 gene:Et_3A_026638 transcript:Et_3A_026638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYEMDVDDVVATGGADIDGAGWSVVTSLGDHSLFLGANFPFLARVNERDDGELLRQNRVYQTDGRLSEASFGLEYCFHVCDLEDKTCKPYREFYAKHKGSYQTPIWFRPTLKNFLRAS >Et_5B_045162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10368484:10371061:1 gene:Et_5B_045162 transcript:Et_5B_045162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATPRARQPPSWAEIQQDLAGKVLSLLPTHADRVRFAAVCPQWRAAARQLRLPRPLPVLALPDGTVYSLPDGKPLHFPGLDLAGFKTACGSWLVFRRDDGCFLVDPFTGTKVTLPALSCVRLIPPDAVARYIRRGIISMFHPYATWMHIIEPNKTPAINKLIMCSPNLVAAIIGSTMARPAKTSQILVCRPVASSWSVLANDPCLMFEHMALYQGKLYAIARDENLRVVNISEDPSTGDPQVSQIQQVIKGDPFSTGIDSVVKKKIYLVESCGTLLMVRRKVFCRQEDVGLVAGQSVFEVFKADLEQSQWVNVTTIGDDQMLFLGRPCSRAVSTSQYGMSGDQIFFLDDVMENAFEGYTFQEENTSVSAYDMRTGQVSSPQPMAWDRKMIPPACSRNSSTTIAIDASILRHGHIILPRRRPDYVSCATSVSSCKECCLLVLDVK >Et_3B_027665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11530395:11530583:1 gene:Et_3B_027665 transcript:Et_3B_027665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFSVAVRESTRTWKASVMRKVPRLLLLKALQLMMLALLHRLRFSPKAM >Et_1A_009431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5224280:5230116:1 gene:Et_1A_009431 transcript:Et_1A_009431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSDQTGTPLRLPTPTAQGTKVSATSAAGGAPSRPSSRRSKGASISEAMASRKPGWSAPRPLPCPVGTTLGMARRRKPAAAAAEEEEARSRPSHSSVTTKVTSAPRAARRRLRFIMAFTWPRPGAGTATTWQPRRHGSSAAAVAAISAASLDRMYEVTWRWQIGITIIADGLGAKGIIPHGLRDVSGQRHESLPRPRVHDHAGRGRHQTKPSFFIPPPRQLG >Et_1B_013284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:774571:776024:-1 gene:Et_1B_013284 transcript:Et_1B_013284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMEFNRRPSDNAVDLEFNPVCRGLRAAARGSVAGTRGAADGGWHGLARRAVARARRQRGVRKQAWARGGTCAAWLCSLDDFRQSTSHSTTSLPPSRPRKLHGVHAARSTLTAPAVLTSPLLPTTDSWAHVPVQAVYPPWSLLVRTTSLISSRRDVGGRRSRRRLLDVVAAAVPSPSSRRRTCTAAASAAAWADHHQAHKLRTDVDRAGGAAPTWNDRFLFRVDDAFLRSETAAVTVEVRGGARSLVGADPVLGVARIVVSTFLQPSAHGRQVAALMLRRPRSLRPQGVVNVAVSLLDDTRAARTVPLYDAPESPDAFAVKDLATHRPASSKVVDDGHEPDDEDRKPPPSFVDHSGRLDPRSAAVEQKKLVQTLEKWKADLSPTRRAHGRRGAWRSFRRISCFGGSGHWDR >Et_1B_013729.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:14523445:14530658:-1 gene:Et_1B_013729 transcript:Et_1B_013729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLGAFVPDTAVRWRGVVTGDVARRMGVTAEAKKLAARLERVGAAARDAEARAARGDEAAARWLANVRAVAYEADGAVDRCRVAARRLKAREQQKQEQHHHHQARSMITNLSET >Et_9B_065570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7184941:7188483:1 gene:Et_9B_065570 transcript:Et_9B_065570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLAVLVYFAFRNIRKIHFPANTTTVRTMALRRHLTLPYCLAAAAILVAFLQAPLAAAQPLPWQECNETAGNYMEGSAYQVNIRRLAAALPANASSSPTLFATGAAGAAPDAVFALALCRGDTTNASSCASCVERAFQNAQQLCALNRGATMYDDPCILRYADWDFLANTTDNRGKNVAWSFDNVTATAAAAFDAASGRLVNATAEFAAADPVKRFGTGEEAFDQTYPKIYSLAQCTPDMSETECRNCLGDIIRTITPKYFKGKHGGRVFGIRCSFRFETNLFFSGAPLLQLPGPPGPPPANIAAPATGRILAIALPLAAATLLALAVAWYCFRNRRTRARKTSGISYSTKQDEIPSVDSLLFDLSALRAATDNFSESNKLGEGGFGSVYKGVLSEGLEIAVKRLALGSGQGLEELKTELVLVANLQHKNLVRLIGVCLEKDEKLLVYEYLPNRSLDTILFDSQKNKNLDWGKRLKIVNGVARGLQYLHEESQLKIIHRDLKPSNVLLDFDYNPKISDFGLAKLFDMDQSQGVTSHIAGTYGYMAPEYAMRGQYSAKSDVFSLGVLILEIITGRKNSSSFATSEESVDLLSLVWEHWSMGTVEEMLDPLLVRQAPQDQMVKLVNIGLLCVQDSPADRPTMSSVNVMLSSNTSTSKSKATASPNEVSLTELEPR >Et_8A_057087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2110261:2112428:1 gene:Et_8A_057087 transcript:Et_8A_057087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASPLFSSLAGDLPDAAAAPKRGVRTPVPAKKKAEKVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLASTLFKLFLKYRPEDKAAKKERLLKRAQAENEGKTVEAKKPIVVKYGLNHVTYLIEQGKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKYDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >Et_9A_061335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10060933:10070264:-1 gene:Et_9A_061335 transcript:Et_9A_061335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDFLPEGGKLPELKLDARQAQGFISFFRRLPQDARAVRLFHRRDYYTAHGENATFIARTYYHTMSALRQLGSSSDGLSSVSVSKAMFETIARNILLDRTDRTLELYEGSGSSWRLTKSGTPGNIGSFEDILFANNDMQDSPVIVALFPVFRESQLHVGLSFLDMTNRKLGLAEFPEDSRFTNVESALVALGCKECLLPADCDKSIDLHPLQEAISNCNILLTERKKSDFKSRDLVQDLGRIIRGSIEPVRDLLSQFDYTLGALGALLTYAELLADDTNYGNYTIEKYSLDHYMRLDSAAVRALNIAEGKTDINKNFSLFGLMNRTCTVGMGKRLLNRWLKQPLLDVNEINNRLDMVQAFMDDPELRQGLRQKLKRISDIDRLTHSLRRKSANLQPVVKLYQSCMQVSYMKSVLQQYDGQFSELIRTKFLDPLEELLSKNRLGRFATLCETAIDLDQVENGEYRISPSYSSDLAVLKDELSVVEDHINNLHQHTAADLDLSVDKQLKLEKGPLGHVFRISKKEEQKVRKKLNSNYIIIETRKDGVKFSTPKLKRLGDQYQELFNEYTSCQKKVVDQVVQVSDTFSEVFENVAALVSELDVLQSFADLATSCPVPYVRPDITASDEGDIILKGSRHPCLEAQDGVNFIPNDCTLVRGKSWFQIITGPNMGGKSTFIRQVGVNVLMAQVGSFVPCDEASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGASDKSLIIIDELGRGTSTYDGFGLAWAICEHLVEVTQAPTLFATHFHELTALAHKNVDEHRHVPDVGIANYHVGAHIDPSSRKLTMLYKVEPGACDQSFGIHVAEFANFPEAVVALAKSKAEELEDFSTTPTFPDDSNDEVGAKRKRVFSPDDVTRGAARARLFLEEFAALPLDEMDGSKTVEMFTKLRSDLQKDAADNPWLQQFF >Et_10A_000367.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5894341:5894484:1 gene:Et_10A_000367 transcript:Et_10A_000367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSNVYSFGVVLLELLTRAGARRRPSPRRRRSAASPRASSAGSTHW >Et_4B_039526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20209605:20212879:-1 gene:Et_4B_039526 transcript:Et_4B_039526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARMMRWPRPPAARKFRVRLVVRRAEGLPPPPPPAAEPASPDGAEVDCKPQRVAAEVRWKGPRASPLGSLRRGPVVRRNRTREAETTATVAWEEEEFESVVTLAAASQRDGAAFQPWELAFCVFRDANKGPKNKPSILGAASLNLADYASTAAEEIEIILPLCVPEGASQSAPSLHLTLSMVELRAIQEISDASQRAASTSPLSPSSGDSFPGGKDEVSVIKAGLRKVKNLTDLVSIRRSKKNCQDGEGSEDKKSDVGYHANEVPSSTTEETMLPTVKRSILPWRKRKLSLRSLKAKGEPLLKKAYGEDGGDDIDYDRRLLTFSDGSVSEGSRGEDGSFNGMVSEFGDDNFVVGNWESKVIVSRDGHMKLSSQVFFASIDQRSERAAGESACTALVAVIADWFQANQNMMPIQSQFDSLIREGSLEWRTLCENETYRDLFPDKHFDLETVLHAKIRPLRVCPSKSFIGFFQPEVDDDDMGGFDFLDCAMSFDNIWDEITKAAEFSSDDNPNLYIVSWNDHFFLLKVERDAYYIIDTLGERLYEGCSQAYILKFDNDTTIHMVPAEKSSPDSSGPLTDSSGSEISTIEQDNDNGIEESVLVSKGKESCKEYIKSFLAAIPIRELQVDIKKGMMASIPLHQRLQIEFHYTQSSPKEIVPASQLRSMDDHFEFSWPEPAPTTEVLLTPAISVV >Et_2B_020969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25291022:25294933:-1 gene:Et_2B_020969 transcript:Et_2B_020969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GKGKLHVSLGTYRREKRRGAGLMPLVLAQRLQKATLLLAYQSFGVVYGDLCISPVYVYKNTFSGKLRLHEEDEEILGVLSLVLWSLTLIPLLKYIILVLGADDNGEGGTFALYSLMCRRSRMGLLNNIHADHVSLSPYNHEGPREEPKSSLAIKGFIEKHYSLRVVLLLFVLMGTSMVIGDGVFTPTMSVLSAVSGLRIKFPELHENYTVLIACVVLVGLFALQHYGTHRVGFLFAPILLAWLGCIGGIGIYNISKWNPRVVRALSPYYIYNFFRKAGKDGWSSLGGIVLCITGAEAMFADLGHFSKLSLRLGFTIVVYPCLVLAYMGEAAYLSKHREDLQSSFYKALPDNVFWPVLTIATLATVVGSQAIISATLSIISQCRAFGCFPRIKVVHTSSHVHGQIYIPEVNWVLMFLCLAVTVGFRDTEMIGNAYGLAVILVMFATTCLMFLVITTVWNRNVVWALLFTVGFGSIELTYLSACLAKVPHGGWLPLLLSLVMLLTMSTWHYGTKKKEEFELQNKVCLDRFLNLSSGIGLVRVPGVGFVYSSAANGVPSMFAHFVTNFPAFHRVLIFVSLQTLTVPKVRPGERFLVGRVGAPENLLFRCVVRYGYKEGRWDHFNFENQLLMKLLSVDDVDAAPMASYSSASPPEIDAGVFDRRARFVEPWGAGDGDDEEMKSSEVKTLLEERESGVSYMIGHTCVLAHESSSAVKKFAVNIVYGFLRRNSRRPAVELGVPHASLIEVGMTYRV >Et_3A_024563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22068385:22070554:1 gene:Et_3A_024563 transcript:Et_3A_024563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFLDTEELVVTLAPLAVYWVYSGIYEVLLRRTTVLDRYRLHSTMEEKTKNIASRKDVVKGVLLQQAIQAAISVALTSGEKGGGASTTSPAPAPAPEPFLVSAARVGVAMVVLDAWQYFMHRLMHSSPYMYRRFHSWHHRYGHPVDGVLTETLSGAAAYLASGMSPRLAAAFFAFATVKGVDDHCGVAAPWNPLHAAFANNTAYHDVHHQRGGGRRNFSQPFFVVWDRLLGTHAAYDVRRTHGGGGGLEVKVFKNQMRA >Et_5A_040124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13075336:13075763:-1 gene:Et_5A_040124 transcript:Et_5A_040124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPCAGFVAQNATDQEPSDECCDGFGSIADDGATVCFCLAAVGDFGHVMPAPVSQKRMFELPGACDLPDLKLQPFAKCNMKYVPPIDLPSPPPSDDEDTD >Et_3A_024296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19275057:19279464:1 gene:Et_3A_024296 transcript:Et_3A_024296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSELPQTSTESIAQKMGFFRVPDLLVKLSTKCLIELDAVRSPTSPLDLKFFTGLGTKSPRSSSVDANQNQKVGLGLVDTLSDENPTPLGSRKVLLGSEMRITDNLTRKSSSTSPVEAGEVEQKDEIMSDGLKSSIMSLDDIVNSEDYTCVVSRGPNPRTTHIFGDRVFEFEVEQLMPDESKGEEIMAPLVKEGVMSFCCFCHEKLKEGKDIYIYQGDKAFCSMECRENFMEDEMEEGEPVMYHPEPPSDSLFDCNEMNHRNMLHTFPETSVLRPDLEPEQPGEEEGPPEVVDELADLLRLHGDEALVAALLAILLLEDDGGDAPGLALLGGDVPPRGDPRHGEDHLVVLGVRPRVAGEVVVRRGEEVRLVEVRRGDDRLRHGSGEVAAEEGEGQGLEGSPEQHGWAA >Et_2A_015591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15583575:15587134:-1 gene:Et_2A_015591 transcript:Et_2A_015591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEACWYYLSMAQADKGMTIVPLSKRKDAANAARITISRESEESNSMMARMEAFKSQMPREHMLQKKGASSSQIKAKINKLLKKDKPKKKKKRTIMNPILGAALKYHIDDDMDPEAPGTTESAA >Et_10A_001297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23062496:23065281:-1 gene:Et_10A_001297 transcript:Et_10A_001297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METIFKREEEGTNAYAEEVKRAGNEEYRKGCFEEALRLYDRALALCPDNAACRGNRAAALTGLGRVAEAVNDCHEALRIDPSYGRAHQRLTSLHIRLGHIEDAMKHLSLASPQPDLLELQKLQTVEKHLGRCLDARKAGEWKTVLRECDAAIASGADSSALLLAAKAEALLPLNLLDEADSAISSASKLDYPFSCSSDTKFWGFLANAYLFYVHAQVDMAMGRFDDAVSSIDKARTKDPGNTEVITMHNKVKAVARARPKGNELFNSGKFSEACLAYGKGLKQHPTNKVLYSNRAACWFKLGQWEESVEDCNKALKIHPNYTKALLRRAACYGKSLQNLQVIPFVALLLVRWSNGQKFPPRRPKTTLTCTEDIDESSAEGLCGAVSYAKANAMLIQVPVLGTTKKFWRLSDNALRISRKLALILKSHHAVCKYLTAPLQVSNVWIGSTGIVRLRGISFTSKQFSIERMRDDYKHLSRVLLVLISISGGDITKLPPDYEEFILLLRRSTLTVQDEFLIVNNSALLPMKNRTEVFLMLCDKINKNLGRTKDGQAKRKRILSKLPYEKEWLDTAVANTQINQWVVNVENKYERTQHDQLRLNRNVRSHMHDYNDDDIEEILYCEWPELLMEMVKALHAEGELVCTDIQNKFG >Et_1A_005123.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28007695:28007871:1 gene:Et_1A_005123 transcript:Et_1A_005123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSVSCVSETWKQQAIYFVNAIWLDRSGQRSTIGFKHWNSTHRHGHLRKLFKTGSPQ >Et_2A_016688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27283839:27284262:1 gene:Et_2A_016688 transcript:Et_2A_016688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTMAPLPTTQLANTILVVSPKSPALKSSSILSLGTKCDTRRAFLGGLIAAGAGAMLGPDVASAASKRRPPPPETTEEKKDPNISGVQAKVLASRKRKEAMKEAVAKMREKGKTVDK >Et_9A_061028.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:11276173:11276178:1 gene:Et_9A_061028 transcript:Et_9A_061028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding M >Et_3A_024478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21171314:21174340:1 gene:Et_3A_024478 transcript:Et_3A_024478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRTCTTICIILSFLSVSTMLASADNGGLIRIPLKKRPIMESIYGHLMVESSVDDQPAAWREVDPVRDAISQARAQQQRIIMEAEAMEQRRKYYWSYRRSRENNSLTDYNQDDIVALKNFMNAQYFGQIGVGCPPQNFTVVFDTGSSNLWVPSAKCIFSLACYFHPKYESRRSSTYKENGTPASIHYGTGAIYGYYSEDQVTVGNLVVQNQEFIEATYEPGFTFLTAKFDGILGLGFKEISVEGSTPVWYNMVQQGLVKEPIFSFWLNRNANERDGGEIVFGGADKSHYKGSHTYTRVTRKGYWHFEMGDFLIGGRSTGICVDGCAAIADSGTSLIAGPLVAIAQINERIGAAGVVNQECKQVVAGHGLEMLELLNAKTPPADVCSKIGLCTYNGTHGVSAGIESVAGSVDGISEATCNACEMAVIWMQSELSQNKTKEGTLEYVDRLCENMPSPVGSHVDCRHIDSLQSVGFSIGGRTFELQPEQYILKVGEGFMTQCISGFTALDIPPPIGPLWILGDVFMGAYHTIFDYGNLRVGFADSA >Et_7A_051619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21425425:21429976:1 gene:Et_7A_051619 transcript:Et_7A_051619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAVSLLSSSACALFRRLSSTQHVSRSARFQVSPFIARRNVDVVGTEGGAGQQPGPAPRDRTRHQGMFRVKDPKVSLDFYTRVMGMSLLKRLDFAELKFSLYFLGYEDVSSAPTDHIERTNWTFRQKATLELTHNWGTENDAEFKGYHNGNSDPRGFGHIGVTVDDVYKACERFERLGVEFVKKPNDGSIKGIAFIKDPDGYWIEIFDHNIGAVTASAS >Et_2A_016153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21530677:21534916:-1 gene:Et_2A_016153 transcript:Et_2A_016153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEMATAAAGQPAKAGGRGGGGGPAPFLTKTHQMVEERATDEVISWAEQGRSFVVWKPVEFARDLLPLHFKHSNFSSFVRQLNTYGFRKVVPDRWEFANENFRRGEQGLLSGIRRRKSMTPQPSKSGGSGAVNVAFPPPLPPLPPASATTSGGNERSSSSASSPPRADLTVENEQLKKDNHTLAAELAQARRQCEELLGFLSRFLDVRQLDLRMLMQEDMRAAGDGQQCRAVADPRERGGEEKCIKLFGVLLKDAARKRARCEEAAASERPIKMIRVGEPWVVVPSSGPGRYGGEN >Et_10B_004031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9508165:9510529:1 gene:Et_10B_004031 transcript:Et_10B_004031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAAAAAARAVAAADIQSFARRFPQRLLAAHAAPTAAWTRPHLSYLGAGSPLRRLLRRGLATVTEDNPPGCEPMPWIDPEAPGTQTFAIPSGFHHDPVPGFDYDEKDLASEEAMWAMYERWCAYHEVQRSRDDMLRRFGLFKERARQIHEFNQSGASFTQGLNIFGDQTAEERAKKLRELGRCQTVSALALTSLDNSTSPQLQFMDRNGDKMNYTCSVQTRVLVLKRHQKKRKDG >Et_1A_007958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39733812:39737027:-1 gene:Et_1A_007958 transcript:Et_1A_007958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TTPVDAPTRPQPLDSTPHTPPSSPTHPSSLSHDTRPHPSQPPPLHGSPSPRPPLPIRPPPHGSQRHGPVMRRRCGRWAQYEKTEKIGEGTYGVVYKGLDRQTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHRNIVRLQDVVHNEKCIYLVFEYLDLDLKKHMDSSSDFKNHRIVKSFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRNNVLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARHYSTPVDIWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRIMGTPTEESWPGVASLPDYKSTFPKWTPVEIASVVPTLEPAGIDLLSKMLCLDPTKRISARAALEHEYFKDLEVA >Et_2A_014712.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:9028365:9028679:-1 gene:Et_2A_014712 transcript:Et_2A_014712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCDKCWSKAMSWWPRRAASTRCPSPATPRTRWWWWVTAGTPSNCPALCARRGWAGAAAASRRSRPEERGGEETDHHSTCYQANRSVTDPNRSVFQFLNLKFDF >Et_1B_010139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25598405:25600024:1 gene:Et_1B_010139 transcript:Et_1B_010139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGGGGAWWGAVAAVAYYAAAAVVALRLVLSHKSVAHAARRAWRWADEWAQAYQYYEVPRYGGDGSENPLFRKAAAYVASLPSLEDADAARVLSSAAKSNDFSLQLGPGHTARDAFLGAGAGGEERLVLRVRRHDRTRVLRPYLQHLESVADEMEMRRRELRLYANTGGGAAAQQRWASAPFTHPATLDTVAMDPELKSCVRADLESFLKGRAYYLRLGRVWRRSYLLYGPPGTGKSTFAAAMARFLGYDVYDIDLSRGGGGNGDDLRSLLLDTTPRSLILVEDLDRYLRGGDGETAAARTARVLSFMDGLSSCCGEERVMVFTMSGAKDSVDPAVLRPGRLDVHIQFTMCDFEGFKALASNYLGLKDHKLYPQVEEGFHGGARLSPAELGEIMLANRGSPSRALRTVISALQHVGPPPPPPSQPRANSSTARPPRLTSRWSGHLDDASSAAGGFAKDAPIREFKKLYGLIKYRSRKDMGVVPVDDTPSPNGRGSDVSLEKDR >Et_2A_015511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14210020:14210421:1 gene:Et_2A_015511 transcript:Et_2A_015511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNLQRVDFLLLQQKGISRHH >Et_6A_047476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6205383:6207427:-1 gene:Et_6A_047476 transcript:Et_6A_047476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMAFFGNERWPLTRATGSLTPRTMRSIAPHLMVDLGWKLKCMRSRTHKLLSWNERYAVCNRKKEKGAVNWPEKHQAYIALWENRVNLRVHPTSGPRHLRAAFKEYLKWLHEATQMGRLSNEAAHAFRYPQGSAEKLSALRSFAHRVRKSCRRLALKLNCIQHQDVVQGQGHEQAPTPSRSRPSTRTRASTPRNVATSSRAHASLESKDDGSSDEDDSAYQGHDEIGLSQLPDALGPSQTSPRRGPPRRRKDVESANVLPTASGRKRKKRKPYTGGRAQT >Et_1A_005426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10232673:10237599:-1 gene:Et_1A_005426 transcript:Et_1A_005426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKIGLPPKPSLRGATWVVDASHCQGCSAQFSLFNRKHHCQRCGGLFCSSCTQQRMVLRGQGDSPVRICDPCKKLEEAARFELRYGHKNRAGKANAKAASKPEDEILSEILGSDGAQTQLSRRESLNSELPGRSMSAASASSSSSRRTSATFSMDGNGDDSLSAEAHNYELNNTASIFTPDELRQQAVEEKKKYKTLKSEGKPEEALRAFKRGKELERQAVALELELRKSKRMATKAPNVTDVVSTQLADGPDEAETKRASAGKRVKKEKNDLASELRELGWSDADLRDETKAAPLSVEGELSQLLREVAPRSSEVKKTGGIDKSQVNALKRQALLLKREGRLAEAKEELKKAKILEKQLEEQEILGDAGESDDDLAAIIRNMDDDNHEDILLDNPGFPALNFEQILGASEDLAFDGNFDVTNDDLNDPDMAAALKSFGWSEEDDEQQENHGSVSSMDQEALKEQVRALKREAVMNRRCGNVAEAMSLLKKAKLLEKDLETENPDLKVASPGQNEDVTVAEVNTRPVSAPKSKLAIQRELLALKKKALALRREGKVQEADEELKKGSVLEKQLEELDNTSKPVAKETRNIGSVPPYKVEPLSLDLPDEGCEPEVTDNDMQDPVLLSVLKNMGWEDDTDSVKRTDTPSTSHVAAQKSSKTKGQIQKELLGIKRKALAFRREGKNTEAEEELEKAKVLEQQLAEIEESTNMAASQQAVSAGGHQTRENKSDVQQLPSIDASVPPSSISSTMKGGDLLPVHLDEPGTSRDTLVSSSKPQVETIILKQGHAEQGSSDGTTSALLRPAFADTLGSTKGSHSPSDHKEAQKEHGDDTLKDEILLHKRKAVAFKREGKMAEAREELKLAKLLEKRLEGAQQNSGDGADGSASVVQQSNLMQQPASTSNYTDTLAFAPPAQANKSTQPPKAMSSRDRLKIQRESLAHKRNALKLRREGKTAEADAEFELAKELESQLEEADNQGSSSVGLSSEPNDVGVENLLDPQIMSALKSIGWSDADLSMQSSNAPPPKKAEAKPAVTVTSRPQAEVKPAVAATSKPQSERTQLEEQIKAEKLKALTLKREGKQGEALEALRSAKRLEKTLVSLG >Et_7B_055366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9712409:9714055:1 gene:Et_7B_055366 transcript:Et_7B_055366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDMTNLARWLANRGPCTTPTQPQPPVHALRDGDIEAAKPVQRQGRRGPRLESHLRFVSLPTVSFRVVKPGSVAPASWISETACGGRQTDMRQQALGSSHEHHEVLPRMQAPFLFWGMHAAKYTVSKGRQGEQNSSLCLQELRQSGGVGHQFGVSESGEPRRRRVHASSLAGRRLRPDPAPHQVSPLRRLRPRRGCLLPGDGAGRGRHDTLLLAAIGGKTDVVMQPAGCQLANNVMERMIC >Et_5B_044076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19680573:19698715:-1 gene:Et_5B_044076 transcript:Et_5B_044076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGSAGKSNYLHLWTKATGISESSSCASLPPPPPDDPASLFRAALVCNPWCRVVCDWGFRRRFREFHGTPPVLGVLCNHEGEHFDRVDEVRFIPTASSSFPRARANINHRAIDVRHGRVLLRRMLWEDDDLLDGKFVVWDPVTHSERVQPSLTRYPDSWNAAVLCAAKPNGTCDHLDCHGPFLVVVVLTEAWDMFVHPPIPLCSGEPQHGGATALVEDILLRFPSDDPASLVRAALVCKEWCRIVSGPGFRRRFGELHRKAPMLGVLCNLTDDEGYYLSRFFPIASSCPPHADHRRLRVHVYSSEADAWSEPIYGPQTLTYGIEMMPTALVGNALYFLIDASYSILKYELATANISVIQLPPDFISDFAVLTTAENGGLGFARLEHSGLYLWSMVTGPEGDAGWTQIAVIQIQPLLPVNVEIAYHFIGFAHCRCPFRGNK >Et_2B_021573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3145215:3145509:-1 gene:Et_2B_021573 transcript:Et_2B_021573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCLVPEQPSHKTFMIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >Et_4B_039935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6323461:6326434:1 gene:Et_4B_039935 transcript:Et_4B_039935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDSDGSKDPGAGGSNPPEPPFPNRELTLSSYLCDKPPLTSAAANAAAAGAGPSSPPNSASAAAAAAADDAAAAKLCVERDFLHLSAPKRGDPPGDDSSVVGGKKPRLDSLQLSLSLPSDAPAAGTSSQPPPSLLPSAAAPPPADADPRGAAAAAPPPRRTYSATTGRTMSINSDDMSYSYSVFSHNPSCSLTHNSTDIYAAGEGTNGSVHSRFNFRPMGDGSVAFATAPMKEGTSSFFPTELPARMGPAAPMPSAGGSFDGSRGGLHSSRPERILREIVSDSVPTMAQVLQDLPSETLEVLREAVRSMIDAPEKRDELASLQRKLERRSDLTAEVLGRGNKTQLEIMMAIKTGMAAFVTGKGRVSSSELVEMFLMTRCRNLNCKSALPVDDCECKICSANKGFCSACMCPVCYKFDCAANTCSWVGCDVCAHWCHAACALERNLIRPGPTLKGAMGTTEMQFQCVGCNHACEMFGFVKEVFTCCAENWSAETLVKELDFVRKIFAASEDFEGKGLHAKAEEVLSMLVKKIVSPSDATNSMLQFFKYGVTDYSVTGSKSKGILAAQTSKSGDMLHLQAPTITPPKSSFNFKPSTSILDTQLDALKASASPKPRSIEPHFSSTSKDDDSSSLETIVKCKEAEAKLFQKLADDARKEVESYRQIVRAKTQKLEEEYATKLAKLSFQETEEKRRKKMEELKALENSHYDYHKMKLRMQTEIQGLLERMEATKKMWV >Et_10A_001084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2139811:2141801:1 gene:Et_10A_001084 transcript:Et_10A_001084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PGSLSSLEAQFADVLMGVWLAIYNESMKNVWDGVLFDHVMDYCDVQLQRSCQLSLPSTVTSATPDIICSQETSRKAFDAPECDIDFPPGFGPFRDVKSSHSSPLAQAQTMLANQLYVASKQSISQHFEELIAEELTNCLCVGVSSSTNQEQTSNCLDSGYIKHNVEPLPKPLCELVERKKVEPLIPCSESDGCARASISRWEWHNWVKNASPSERAHLRGHRIHTIVSAKNVLKRSQALSVRSNRVRLRNLLAASKGAEMLRNIQIEGRKKTLRFERSKIHRWGLFAVESIGAQDFISDIREVQYEKSGIGSSYLFRLDDDFVPNCCTKVVAVQGKKKIFIYAKRHIRAGEEVTYDYKFPIEEKKIPCHCGSR >Et_4A_034303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31495852:31498520:-1 gene:Et_4A_034303 transcript:Et_4A_034303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAKAKAKAKAGGGKRGGAAKDPADALLSDKRRRGMDDSDNEIDSDMKEIVTLLRQIKDKAHKDGQKKTEQAISSVAAEIQTIIQDTKTKCEKERQNFLKALSKTSKECEGLLKNEYTKFQAMHDKFCKDKAAHIQNFKEKIANAEESLKKMKQDDKSIHILRKSIGSFLDDGPDDQFGQDDD >Et_10A_001024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20212935:20215169:-1 gene:Et_10A_001024 transcript:Et_10A_001024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVCGTSSNTDHRIYGPSYGVQYPSRGEQAECKSRLLAKIHAVYCKALERLAVDVKARARKPHDVAAARVSPTSSPTPSSSHPITTAAAELVEDLERRSLIGLVTFLTRFFPYLADCEAVSYLLVADRRMARFGASGLAVAEALEMALRCAALAAGHPDTERLVGAWLAVSARLDDAVALLAKLRRRPASSSSRLRRSLGKLVALAASRRPPRPRRRVPHQSISTTPLKRVLLDAVHGYYLAALARLPGGELRRRLHRSLLVAGHCYGPLDDPVANVVVNAVWHDAAFPPRTHELHMDMVSTLSLHRVAARSMYGLVSFLCTRYHRLDFRHAVRILDESDANLLLADPNLDAKAASVVRNERRRTRFHSPWSVAMMSSSSRNNALLGGGAPATGVEEAFKAAAIAAHHPNPEAPRFVQTSAGPFLLTSADVQQLATLLCPEPPPRIDERPLPPFPLKEYLRAHTRIVGKVVAALNARAPNYELHVVCGVNENVSGPVYCLGGSSFAPHKCYRCHVSFLATPREGAAGDDRAPVLFFAELSNDGDDDGGASEAPPLCCPVSVPPPCAEQVRCLYCDYMGIRIVHPIGTSFHGRETEFEKMVCGKDPCDENFNPALMQLYYTNTDIIRHSRLIAEGMHSLKEDCLYDEQKSDDEEDEGDQGVVYGDDYDIYE >Et_2B_019946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15525686:15532742:1 gene:Et_2B_019946 transcript:Et_2B_019946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGPMEAASFLCGAVYQVAGGLRIRPLTSDTTRLDELVRTREGAEMELIRSFMPGRNESVGWSKLCHKVVPFGDRTTRLPVFRSTAAAELVAPVMLVFQRTRPSALDKTRLEVLVRDNAGAEDVLFVAGASRLATHTTVSLGMSLYHMPRYSSAGVELLTEKKPMAKLPPSGTMVDLVDSGFPRTSRSSEKLKGSNRKRTAMPMWLQVGAAGRSIDHSTWC >Et_7B_053237.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:13734392:13734736:1 gene:Et_7B_053237 transcript:Et_7B_053237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCVLDTTVCWYASSVTAAPTHPIRNPLRFRSMPPLLLASSLSLRSSSCSDAIGCVCRAIRDGGWGRGIKAAKSTALVLMRGQEVSLWMVWKAGVPSSDLGSVGSEWDFVNNFV >Et_2B_019993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1610854:1611521:-1 gene:Et_2B_019993 transcript:Et_2B_019993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTLRSVLGRVVVVLLLAGAGSCAALVRKSIESDNGDVIDCVDYDQQPALKRATPAGNREIITNKMLVLASLAKPERSMKDIAAAAKASKLPPDVAKTGELPGGNRPDPESLEPRQPGSGCRAAGSPVLAAVWAPW >Et_7A_051449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18783620:18784018:-1 gene:Et_7A_051449 transcript:Et_7A_051449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRRTLSAAAMVFFLIMASEMIAPAQAKCTRLSAHFHGWCFNSHHCNRVCLGEGNGNTGGYCATNAFKCYCTYPCGRALVLAPSSDTANWSAGPNMGHE >Et_9B_064416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1530152:1540299:1 gene:Et_9B_064416 transcript:Et_9B_064416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLASTCKDKLAYFRIKELKDILHQLGLPKQGKKQDLVDRVLALLSDDQGQRHHGWGRKNAFTKEAVAKIVDDTYRKMQVQPSPDLASRSHSGSDFSHFRPKEEANDFYHTETKVRCLCNSTMLNDNMIKCEDAKCQVWQHMSCVLIPDKPTESASPDVPLHFYCELCRLSRADPFWVTTGNPLLPVKFMSSGVGHDGTSVTQSVEKTFQLSRQERETIQRAEYDLQAWCILINDKVQFRMQWPQYAELQVNGYPVRVVARPGSQLLGINGRDDGPLITAVSRDGINKICLSRVDTRTFCFGVRIVRRRTVAQVLNLIPKEGEGESFQDALARVRRCLGGGGATDNADSDSDLEVNSGSRMKIAGRFKPCVHMGCFDLETFVELNQRSRKWQCPICLKNYSLENLMIDPYFNRITSLLRNCSEDVNELDVKPDGSWRVKGDAANKELTQWHMPDGTLSASKEDTNHGGENVNELKREGTSDGHKSLKLGIKRNPNGVWEVSSKAEDKKPTMVGIHTQNNAGFRPPNIVPMSNSPTGSCRDGEDASVNQEGSMHFDLSLNQELDSFAHNFGQTFNTEDRAQQPQSAADVIVLSDSDEENDNGGAPAAYNSAANGNGFPFATNGAGYSDRYQEDAGVGTSGLGLLSSNAADFEMNNWQIHSYPQQEQGFQFFGADTDVANPFVSSNNSFNVATDDFSLNCNVGIEEASVSHDLSVCQNANEMHGSLVDNPLALAGDDPSLQIFLPSQPSAVPLQEELSERANAPNGVHSDDWISLTLAAGGGGNEEPTSVNGLKSQPKVSPKEATAEPLIDSACALPSTNNDRCSGGNLNPRSIENIFSHPRQPRSVRPRLCLSLDTDSE >Et_4A_032993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16596026:16596776:-1 gene:Et_4A_032993 transcript:Et_4A_032993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTAASTGRHVGKRSGILNPLVRITPALSADRNSSAFFCTFPNDARATILFVKSWNITLRSTGVDGPATRILSTSLSIYISYSPCMRATHLLFPDVAKREHTLGAEDLGGAKLPELAPVFAGRGERYVGEAVEHDLAAEELGPGGEVGVVRLEDLACHLLGRHDDQRRLAELEHHERTVAAREVPEGAVREHVHQMVHAADDRQLPRARRKPLLWPDQKGPQYPD >Et_2A_018108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11323160:11326464:-1 gene:Et_2A_018108 transcript:Et_2A_018108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPCMASKRIQKELMDLQKDPPTSCSAGPTGEDLFHWQATIMGPTDSPYAGGVFFVNIHFPPDYPFKPPKVNFQTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHMYKNQRQRYEETARGWTQKYAMG >Et_2B_020558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21292824:21318147:-1 gene:Et_2B_020558 transcript:Et_2B_020558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATYGGQKNRYNGIDYVPDDPYVDSGENHKVSPAYQSEDPERYRTLRSFPYGKRNCYTLPTVAGTKYLVRTEVFYGNYDGRNSSTDEFDLYLGPNYWATVGVDSIVTYEVIFIAWASWVPVCLVNTGRGTPFVSGLDLRPLGPALYPSVTPGLSMTMYYRLKMGNTSALTTRYPDDPFDRFWWPSDVVNSQWTNRSTKLTIQPDPNFAEPLVVLQTAIEASGNGTVLTPVTWIDSKSTLNFLVFLHFADFQNAQLRQFDIYFNNEKLQQSSFTPSSLVASCIYGSTPITATDKTYNITLVATAKSVLPPMVNAIEIYTLHPHTSPMTFSKDFDAIMAIKFEYGVKKNWTGDPCFPDYWNGVKCSNRTAQYLILFQVCLPYENLSGNHLSGNSLCKRNTGSLILRYDKNMCNQTLKSSGKRAVVLITSSVVVSVLVMAALFLAYFIRRAKRKPTVSVDDHAQIENVTRSRKKQEDHLQDTENRRFTYMDLQKFTDNFKRFIGKGGFGLVYHGYFDDGTEVAVKMRSESSSHGLDEFLAEVQSLTKVHHRNIVSLVGYCWEKDHLALVYEYMSEGNLTDHLRGKNGAAETLTWGTRLRVVLEAAQGLDYLHKGCSPPIIHRDVKSSNILLDRNLQAKIADLGLSRTYLSDAQTHISATAAGTPGFMDPEYYLTGRLTESSDVFSFGVVLLEVVTGEPPMVPGQGHIILRVKQRIATGEIDSIADPRLGGAYDVNSMWKVVDTALMCTENTGAGRPTMSDVVAQLKDSLALEAARGGDCSIPASLAAKLGFDVRIWSNGEDFVCATLDWWPPEKCNYGACTWGGASLLNLDKVVYGTADLGRPCTPFVKNASETFGFTEGCLRRWDELNAFFRKSGAKVVFGLNALNGRVLLPDGSLGGPWDYTNAASLIRYTVNKGYKIHGWELGNELSGKGGARVGANQYSADVISLKSMVDKIYKGSPSKPLVLAPGGFFDTAWFTKLIAKTKPNQLNVITHHIYNLGAGRTHTSSNGSSIHLILTKRAKTFSNLQGLLNSVGTSTVAWVGEAGGAYKGGRHLVTDSFVFSFWFLDQLGMSAKQSLIGGNYGLLNRTTFQPNPDYYSALLWHRLMGTNVLATTFGGTNKIRAYAHCAKDSTGITLLPINLSGKTTTQVSVTSEAAATAHKHLLPYWCLPRRVVSSARSQVPDVSDSKHRSIDDARPCPAPKFPLIVSSGFRSIDCGLDARSGGYKDAFTGINYVPDGAFVESGENHVVGPEYQGQAVPRSYLTLRSFPSARRNCYALPTVAGAKYLVRMEFFYGNYDGRNSDPKTIQFELYLGPNYWDTVSLNLEGTVSEAIFIAWASWVPVCLVNTDRGTPFVSALELRPLGPALYPSVAPGLTMTMYNRRNMGSTSFTRYQDDPFDRSWWGMADSRWTNRLTRSAIQPDPKFVEPLPVLQTAVEASGNDTVLTPLTWKDARTTLSFMVFLHFADFQNAQLRQFDIYFNSEKLQESSYTPSFLVASCIYSSTPVTATDLTYNITLVATAKSVLPPMVSAIEVYTLHPHTSPTTFSKDFDAIMAIKFEYGVKKNWTGDPCFPDYWNGVKCSNRSDNTTRITSLDLSNSNLSGMISTNFTLLTALENLDLSYNTLSGSIPDSLPSLPSLRVLNLSGNHLSGSSLCKRNTGSLILRYDSNENMCNQTLKSSRKRAAVLITSVVVSVFVMAAPFLAYFIRRAKRKPTVSVDDHAQIENVTISRKKQEDHLQDTENRRFTYEDLEKFTDNFKQFIGKGGFGLVYYGCLDDGTEVAVKLRSESSSHGLDEFLAEVQSLTKVHHRNLVSLVGYCWEKDHLGLVYEYMSQGNLTDHLRGKNDAAETLTWGTRLRVVLEAAQGLDYLHKGCSPPIIHRDVKSSNILLDRNLQAKIADLGLSRTYLSDGQTHISATAAGTPGFMDPEYYLTGRLTESSDVYSFGVVLLEAVTGEPLMVPGQGHIIQRVKQRITTGDIDSVADPRLGGAYDVNSMWKVVDTALMCTADTGAGRPTMSDVVAQLKDCLALEEARESECSIPASAASASTALISTFGPMAR >Et_1A_009366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4151270:4161423:1 gene:Et_1A_009366 transcript:Et_1A_009366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEELVELSESMRQAASLLADDDPSDETATRRPSTFLNAVVLGNVVSAHPASLNPPPAPATRSDPGAGKSAVLNSLIGHPVLIEVVVAMVLQPTGENGATRAPIVVDLQREPGLSSKSIVLQIDSKSQQVSASSLRHSLQDRLSKGASSGSGRSRSNEIYLKLRTSTAPPLKLIDLPGIDQRVMDDSTISEYAGHNDAILIVVIPAMQAADVASSRALRLAKDIDPDGTRTIGVLSKIDQASTDAKTVSCVQAILSNKGAPRAAADIEWVALIGQSVAIASAQSGSVGSDNSLETAWQAEAETLKSILTGAPQSKLGRIALVDTIAKQIRKRMKGKSQIVQDELAKLGEQMVQSAEGTRAVALELCREFEDKFLAHIASGEGAGWKIVASFEGKFPDRIKQLPLDRHFDMNNVKRIVLEADGYQPYLISPEKGLRSLIKGVLEMAKEPSRLCVEEVHRVLLDIVNAAANATPGLGRYPPFKREVIAIASNALDAFKSEAKNMVVALVDMERAFVPPQHFIRLLQRRMERQRREDEVKNRSSKKGQDAEKSMLNRASSPQTGSDDAGGNLKSMKDKSNQQDKDSKEGPSLQVAGPGGEITAGYLLKKSAKNNDWSRRWFVLNEKSGKECNLEEIEEEELSKSSKDSKKANGPEKGPSLLFKITNRVAYKTVLKAHSAVILKAESMADKIEWMKKIRGVILSKGGSVKGPNAPEGGSMRQSHSDGSLDTMARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIVLCQVEKSKEDMLNQLYSSISAQSNAKIEELLQEDHNAKRKREKYQKQSSLLSKLTRQLSIHDNRAASYSNDTSGAESSPRSPGHTGEDWKSAFDSAANGSVDRSSSQQETRSRSADSRGRRYENGDANQGSRRTPNRLPPAPPQSVLKLDGSLAIQCDYTNESYMDFFLFSWLKMNFLEHLLLRWVRDIKGCMPIEALVKYLQLLDSVTRHSLTATGGFCRVHHHYQKTGEP >Et_3A_025359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29172021:29174695:-1 gene:Et_3A_025359 transcript:Et_3A_025359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNKSATLFPDPAGGSNDFVENVHFRIHLPSPNATCLKNSGYKYSVPCTLHPQRQAKANSQLSPSPPPPAQVTGSRSSSRTLQRSASEGRKEGSRVQRSNGVRLPLQWLRQQQGEEAATQEGAAQAADCQDPEQPRGAGRRQEQGAQLREIIKQHEAARPVEMYDGVVPDMEVLQTDELSFLFGSWSYVPLLCVVLLWLTWRFRSRIAELCSFISQRNVPEKNSAYKYSLPSSSQGKPGSSHAFTTAAHGHRREAN >Et_1A_007833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38659315:38661454:-1 gene:Et_1A_007833 transcript:Et_1A_007833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGKPRVVVVGGGVAGSLLAKTMQGHADVVLLDPKDYMEIPWAELRSMVEPSFAERSLIYHRDYLTDATIVTSSAVNITESAVLTADGQSLAYDYLVVATGHAVNSPGTRAERIKEFQRDKGKIESSESVLIIGGGPTGVELAGEIVVDHPEKKVTLVHRGPRLLEFIGDKASKKCLDWLTSKKVDVLLQQSVDLGSLSDSEKSYKTSGGETVMADSHFVCIGKPLSSSWLHGTILKESLDNKGRVMVEKDLRVKGYNNIFAIGDITDIPEIKQGYLAQKHALLVAKNLKLLIKGSPVSKLTTYSTGYPVALISLGRNEGIAQLPFLTLSGCLPGRIKSRDLFIGKTRKEMGLNA >Et_9B_064581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16746938:16747545:1 gene:Et_9B_064581 transcript:Et_9B_064581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFVPTPASACEILDPPPIHRSAPHATSPIRGIRPLLLIQPVHSHRIERQAPPSSHLSPPFKPLPLFPHFPLQAPPPAPHQSHLRIPHHLRGISSRQSCRAAAREARGSARAAPSVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENTVTAMDVVYALKRQGRTLYGFGG >Et_3B_030741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6931686:6934364:-1 gene:Et_3B_030741 transcript:Et_3B_030741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFATTLTSWHLLVTFCSLHVALCLKLFEHKPFDARTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRNIKLSLSVLLVGVGVATVTDLQLNAVGSVLSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQALTLFLVGPFLDGFLTNQNVFAYDYTPQVLVKESESSPLISDSLSTAENGGSTGDDEPLKVPMWSSKYSKA >Et_10A_000584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13120605:13122271:1 gene:Et_10A_000584 transcript:Et_10A_000584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATSSVMQLSTFTKVTSIPREEKYHDFPVTVRLKAPDMTVHQHAPVDIVAAIDVSGSMESTNRLGLVKQAMAKVIKNLGGAENRLAVVAFNHKVDKFTPLVALTDEGQKTVLEMVNGLKPWGSTNFSPALNESAKILSERGAAEKDRLAFIIFLSDGDSIFSKDCIQPKYPIHAFGFSKQHNASALEAMADITGGSYTPINQDLEKITEKLDQLSDKLTSIIAIDTRINLKSLQLGVSISKIESSANDDAGDSYNSQISDDKQSGDIFLGAISSGKEREFTIYLEVPEGMGNGSDGAMQLLMVGGSYKQSWDQRKVALGESIVIIERPGSSSRCDCKELDWIEERLQYWCKVKQDLLTMYDKAEVEAKAPAAGSTDVTKALREASLQAINRAMHHDIYTAVLHAIQLKNCHSGSAAGGV >Et_3B_031588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5504495:5505327:1 gene:Et_3B_031588 transcript:Et_3B_031588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLLFYLSPVWAALSLPLMMLGVAADGEGGRCPPVLCGNVNISFPFRIVPEQATECGLLGFQVQCSNNTPYLGFYGADYGFQILNIFYGNGSMLVADVHMPHDFSISDPKGCHAPTSNSTNKLSDPFSISPANQNLIIYNCTRAPPTAERGRLVETVCHNNTFVRVAESFDESGGYSSYFLAGCDAVSVPVLGGYDKVNARSYKELISDGFLLTWQLPSSPPLPPLTSEHGFSKRNFRQQDEAKPITDRSTRSLEHVS >Et_2B_022767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4708235:4710925:1 gene:Et_2B_022767 transcript:Et_2B_022767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRMAPASPPPAKDFRLDSAATSPYATAPSSPHGRTGTMSTGAPFLTAPPSPNPFDLLPPSTPRLTGAGANPFDRFQHFTSAPASPRRAAAIYAHFAEVGGSDAGGGRRDGDGEDDDDGDEEFQPRGSYATSVPFEWEERPGTPKARYGGGGGDANDAAWDTDFEFGTAADKAAPAQELTAADELFEKGKIRPLKSLQRTADEPGKIRPLKPPPGLLDGGSVASSPRSPFARGGGGGGMWSPRRRSRVGSGVDFDPFTAALLEATKAPSPLGGGKEGANCGAAASGSPPKKPSPLRPASRSAGWRRWRLSDLLLFRSSSDAGRVNKDPIFKCSPAQQPDAAPVKKAIAAQATTTAKKASVNGNGYDMSKAKKQQGNRSAAAAADSVAGCARLSPLQRLAKGLGAYSWHHGRGMAAAPGTKCITKSATEP >Et_2A_016204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2208715:2212165:-1 gene:Et_2A_016204 transcript:Et_2A_016204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHRLPPAAPAGDPYYVYAPPPPHSDPKRQGVLTLFVAGLPDDVKPREIHNLFSHRPDFDHCLLEYTGRGNQAVAFVSFFTHQAALSAMAALNGTVFDPETGDRLHIELAKSTSRRPRGGSDVYRVIDKRVNRTEGNDDHGNIGDEGDREVWEEDDDVMEDLMNHRAQKMKFPAIRMNCLRITGRTHFTLHIIGDDGEPDKSSGDIPPCSTLFIANLGHACTEEELKEVLSRQPGFHVLKMRRHGGMPVAFADFTDIESSTAALNNLQGTVLSSSDNE >Et_3B_029186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22821597:22822372:-1 gene:Et_3B_029186 transcript:Et_3B_029186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GNKRGCDFQEFIHRPRSHYPDTDSLPDDVLYGEKLPCWYPPPWLCQCGVPARQGVVPSELGYGHYYGNTVGEDDEWVSDKNSIFVLMSYYGMIFIGVKNVDTRRCDWETFEGNEDFLMKGPEYFKKALATRRSNIRHKYLTVPPSFIYNTICSEFKVKRECPFWEGPEADVVIPYWRRNRDKYPPKSSWELLDPPMDCSSTLQKNAWTGVWQKMKQLCDHVYVAEKRKKEEEERKKHAQEELAARQKDPNC >Et_9A_062374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22229377:22234128:-1 gene:Et_9A_062374 transcript:Et_9A_062374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIDLNDTPRPGAAVCLELWHACAGPVAPLPRKGSVVVYLPQGHLERLGDAASGGGGGGGAPVPAAVPAHLFCRVVDVTLHADAATDEVYAQLALVAENEEMARRLCGGSEDGSGGDADEGDAVKQRFARMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGTEWRFRHIYRGDDGELRLGVRRAAQLKNGSAFPALYNQCSNLGTLANVAHAVATKSEFHIYYNPRLSHCEFIIPYSKFMRSLSQPFSAGMRFKMRYESEDATERRYTGIITGISEADPTWRASKWKCLMVRWDDDVDFRRSNRVSPWEVELTGSVTGSHLSTPNSKRLKPCLPNANADFLVPHGSSCHDFAESAQFHKVLQGQELHSYRTHNSARAPLGSPGFSYHCSGFGESQRFQKVLQGQEVLRPYRGAQVDACMRTGSFHQQDGPLVPSVVNKWHTQLNGCVYRGPPASLLPSQTSSPPSVQMFQQSLSKMSQFEFGNGHMDKHGDDRSAMFGHVEGIGRTEQMLMPQPHNVYGEVANGHLTIERLHSAIGIGKDVPDNREVRTNSCKLFGISLTEKVPARKEMDCDDANFPSPFQSLKQQVPKSLGNSCATVHEQRPVVGRGLISCGVSGCLQMMIGSDDDVDESRLLIKLPQPQLFVS >Et_8B_060700.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5090277:5091059:-1 gene:Et_8B_060700 transcript:Et_8B_060700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKDLPEEISHPFHKKGEHKLKLVSLSDEPFVCDGCNEPGMGPRYTCQSGCSSFDLHTCCALIKETLIHPLFGENCVFEFLRKPPPPVETTICDACGEPAHKFVYHCHERDLDIHPCCATLEGCISRNGHTFKLQKAPRHGRCGASCREDGRRRKFWAYLSYYDGRCVYLHVSCIKEMSRRAWDAAYQERIGGGGGIIQASTPIMEGILQNLPRKTRGNSRFDRFMRIVGAVTKIIIAVISGNPMAMIAAVAGPDGFLRG >Et_9A_063012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8062248:8062920:-1 gene:Et_9A_063012 transcript:Et_9A_063012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSLGIEEWNEWTYLCDLLDQVHLSNENDSVKLIEELYALALLENRNKRNVKMFVLLGHMLLCPSPQSIIHRMISMLQRWRVLWEQCEKEEADVIIAQIKLKLES >Et_4A_032108.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:18344923:18345054:1 gene:Et_4A_032108 transcript:Et_4A_032108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIITVAAWIIWTHKNSIIFYGGQLSFPRWKMEFRLIRFLFLF >Et_9A_061265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3462428:3464128:-1 gene:Et_9A_061265 transcript:Et_9A_061265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPETKGLISLTYFESSEEAYYKYNLLNESSHLFFLQDTFGGQVKLIVWSQAQQSWQTKFAHPASPCTAYATCGPFTVCNGISSPFCKCMDTYSYSNNKCSVWHGELLHVKQNDGIENNSEDVLYLRLAAKDLPSSLRLLMIMLLLLIIWRNKLQWFGASVHGTPGSDGIVAFKYNDLAHATKNFSEQLGAGGFGSVFKGGLSDSTIAVKKLNEAVHGEKQFRAEKLIGFCCEGDNRLLVYEHMLNGSLDAHLFVESSASVLNWSTRYQIIVGVRGTIGYLAPEWLSGVAITPKVDVYSFGMVLFEIISGRRNTPDQHVGYFPVQAIRKLHEGDVQSFVDPHLHGDFDFEEAERVCKVACWCIQDDELNRPTMGEVARVLEGVQMVGMPPMPRLLAVMTEHSDAAAV >Et_3B_030326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32259513:32262621:1 gene:Et_3B_030326 transcript:Et_3B_030326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLQPRAFLLVLVLFSYEFNLQGRNGVEATQRVFLYPQSPKVSSIVSSKYRTAYHFQPPKNWINGPMYYNGIYHQFYQYNPNGSVWGNIVWGHSVSRDLINWIRLEPAIERTSPSDINGCWTGSATILTGDKPAIIYTGADPEKRQVQNIVFPKNLSDPYLREWIKSDKNPLIQPVGPGLNSGQFRDPTTGWIGPDGLWRIAIGAELNGYSAALLYKSEDFVSWTRVDHPLYSSNASTMWECPDFFAVLPGKNSGLDLSAAIPNGAKHVLKMSLDNCDKYMIGVYDLKTDTFVPDTIPDDRRLWARIDYGNYYASKSFFDSKRGRRVIWGWTNETDSSSNDVAKGWSGIHAIPRTIWLDSNSKQLLQWPVEEIESLRKNEVSHQDIELKEGDLFEIKDIDTSQASFSCTHSLACSSKYLLSSIKTVAHLAHRILKQADVEIDFELAALDTADNFDSSRLLDIEKLCREGDASVHSGVGPFGLVVLASDNMEEHTTVHFRVYKSQQKYMILMCSDLRRSSLRPELYTPAYGGFFEFDLEKEKKISLRTLLNCIGRIFFPLYFETNDLNGRNTCTNVQVDRSAVESFGGGGRVCIVGRVYPVALVDGGTRMYVFNNGTSTVKVPQLKAWSMRKAQVNVKKE >Et_9B_063872.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18701944:18702087:1 gene:Et_9B_063872 transcript:Et_9B_063872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNFNSVLFSYVPRTCNECAHELARHGLSRDPEQPSIWLDPSLSLY >Et_1A_007851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38848966:38863654:-1 gene:Et_1A_007851 transcript:Et_1A_007851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GHENQKIQNNGPEAHSERFGKPTIGSHPHHHHRPFVLKQPQIGRNIPPPRRPKPNKTLLPAAAAAADDDGDGEGAMVTPAPRRIVGAEVPIPGSDRIRWIDLTVPSSSPGLANPSDPFVCLPPRPASGCHTISSGESQHYLAWRLHEEHQNVIEVIELCASKEFPGSGLRLVFQEVLCPFAYLCEREGGRRGELVYLLYVVTVSGVALLCHLRSPSSYVSGSILHQHDTVEFNLQTHTQSSKVTAVTAKPGCLVVGRQDGSICSYSFSNELRDDAGIGRLWTLMSRTKAVGPVQDIVATIVNEMSLLFVLHLDGNLRVWDTSSHTKLLNYNVNSNVVEGHPSRLWVGEADDEQELISLAVLHQGTVVQDHDHIAVYGFSFSAGERFLFSLEPSVSSIPLLEGKLVDLKIGTSKFWILKEIGTMLYEILQYDPDTERICSYVLQEDAISEQLFQSSDNALDDLVWTADSMFSSVKEQAFNFISSMFSRRLLQPGVNHCSALRDTLLEHKRFLSDSEFQSLTANGLRKEMLSIIEQEGTSQTASATAYHWKKFSARYLHNWCWNNRPYGLLLDNNRGVFGLIRKGSISMFRCLESVELLIYGSSDELRNLDGLAMSLLDDVSDFESLDEVLRCMGHIHHLLGRSSTAIYYESLATSQVARTMFECAFDLFLFLSYLVDVGGQVSLLQSDVARIRLKLFPMIHCIMGQWIAIHFVAISPTTAPSIEDFSYQLSSLQLGKGDELSLHKKLGCSDFTLACLLDFAESPERDVLPSPVEIISLVRRFSCSIMCGRNCEYVHPLFGSIINLSAILVHHGQHEAALNLLGILETYLNYEKVSQSGQDADIACAAYLHLNGFCLLMLAHDESNIILRESKVHDAIRCFFRAASGHEAPKALQKFSLETGFQVSGNSRSISLWRLHYYEWAMQMFEQHSMSEGACQFALAALEQVDAIVDLDNGNETEGLPETATMIKGRLWANVFKYSLDLKYFRDAYCAIVSNPDEDSKYVCLRRFIIVLCELGETKVLCNGETPFTALVEKVEQELFWKAERSDLSSRPNMYKVLYSFEAYRNNWRKAAAYMYRYFVRLNREGNAGASRQLSHELQERLHALSAAINALQLVDPPFAWLDSVSEADDQFSLSKRPPAFSTDSEISRLQFCVDIEILEKEYTLTEALYMLSTLNPGFIFSESQSIEALVDILINENLYDMAFTIVLKFQKESELQRELERVFSTIAQQCCPCRAGKSGRNLTDSGQLLLLPSSEDDAWDVKSKSIHVAHQLQGTCHWETLELYLEKYKDLHPRLPVTVAETLLYTDPEIELPLWLVQMFKTSKTANKTISWGMSGKEADPAALFRLYINYGRHAEATNFLVEYLESFASTRPVEVLHRKKMSAAWFPYTAIERLWCQLEEMQRAGHSVDQCDRLKKLLHGALMSHLQQVVVDSEDVLSSVGGQGVESQSS >Et_1A_005866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14922409:14923174:1 gene:Et_1A_005866 transcript:Et_1A_005866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRTWYPFHDTDKKSSFIHRMSKLSQKTDSYMQGFKEHCKNSTILLFHKINSGTIKTIRGKLSFGAMVLQAGGIDNVFREYFAVEKEKKLVKAFQSYLSTIAGPIARMLFISTEKIAFHNDRPLNLASPKGRSTRMPYKVLIPAKTIKSASVRGNLYSPDEKYIDVVTVDGFDFWFMGFVSYEMSFSMQFLS >Et_1A_005096.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:26743141:26744718:-1 gene:Et_1A_005096 transcript:Et_1A_005096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRRGRLEPEHPGALHVGAPDVGPLVREQPGHAAAGVGAERPAGPREAVEQVQVGLQVVAAGRPGVGAQARRVAAVQPELGARVHVPPLPPPRDHRRHARHHVPRVHHAQHVHAALALEPVHERAVPGLGPAERDHGDRRRLTISVVTGEAGAELVGGEERQRRAEAVADDRDAELLARVHLHEALHLLHHGVREARHVVARRLVQAQEPLGHLRRRRRVVAAVAVLCVERHEAGPQVLGPLGARRGAAQHDDDVAAAHAPHLPLAGADGHVAHEAGPLREPPAGPRPTPRRDGQRRRREQAARRAVRPVGEHQRAALLRLRRRRRHGVERRVGGLHEVAVPEELAPQVRVERVKQPCPPAGAVVAAPLAGGEGALRRLRPRRQREKALQVGQAARGHLVRGGLAGEGQQRGQVQRVQKPAAAAAAVVVRGGFAIGAGLLDRRLLRLEQRERERRRPDEEAVQVGEVASRGDGVGLAADGARRRRRPRVRVERGRTAVARRRRHRGGHDRRLAVSLAVRGI >Et_5B_045639.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5910361:5910939:1 gene:Et_5B_045639 transcript:Et_5B_045639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAPRLLSRRRRSARQVEPLVGVAHRHASLPLVARAQASLARRLRVHALLAVIARKASLARRHRGQAWLAAFRVSRASLIALGSAEASLGGLVRAPTTSKHKPRPRANDVHAQALSRAEPSLEDVVVPDSEEDDNVWLCKLCCEVHGVKDIEECRRVHREQSRCKRCGLVHKDYDDSARIIHGFDKFYCEW >Et_10A_000324.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:23096937:23097026:1 gene:Et_10A_000324 transcript:Et_10A_000324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNVNRRSYILPGTFGKSVAAGCSTTRP >Et_8B_059057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1311867:1314466:-1 gene:Et_8B_059057 transcript:Et_8B_059057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAASEDAGPAPSAAKESRGGADTKRNEGGGGGNRWQKRKRKEVFIYGNYRNYYGYRIDRNVGEDPRLKAFKKEWFEGKDCLDIGCNQGVVTIGLATKFACRSIIGVDIDKGLIETAKWNLRRISRADKVDSENIKAQESSNSPSQSPQEEVASDTSNGNTCNHKHQNIFEIVSFRCENFVESLDRCSERLSVTKWIHLNWGDDGLITLFVKIWRLLRPGGLFIMEPQPWTSYKRNRQVSEVAKENFELICIYPEKFREILLDKVGFRSVEVIVDKLAGTVTGFDRPIEVYRK >Et_10B_004127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14657623:14660418:-1 gene:Et_10B_004127 transcript:Et_10B_004127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDLVLKIAATRRRWCAAPPRARTCTAASPTPPTKAASASTTSTAFSSPPSCVATWSGTRSGIFYLSTKQDTKTHHYKILPEQLENSSH >Et_5A_040497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26356010:26356911:1 gene:Et_5A_040497 transcript:Et_5A_040497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEEAAAAAAEVPATEVEAPAPPAAEKAPEEKKAPKEKKAPKEKKPAAPRKPAAHPSYAEMITEAIAALKERTGSSSVAIAKYVEEKHGANLPPNFRKLLSGQLKKLAAAGKLAKVKNSFKLPSADKPKPAPKPAASAAVKPKPKASPKAKAKTAAKPKLPASPKPKAKTAAPKPRGRPPKAAKTSAKDFPPGAKKPATPKKEKAAAKAAPKPRGRPPKAAAGAAASRKGAARSAKK >Et_7A_052946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3145695:3146345:1 gene:Et_7A_052946 transcript:Et_7A_052946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHKEKEEEDKFQESSAQPLKEEAEEGDTSEESRGQQPVACKERRGSEEEEEEEEEEEEEVTVQLKVVESGAEEEASSSPFTHPCSLLRYLFRFCAGCWGLHGAFGDDPKPQPQAAGVTPEAVAPANSSEKGEGGEEKANGEVVTRVWAVRRGRPPGAPGHPREGSGGYGGHHN >Et_9A_060949.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:20597693:20599200:1 gene:Et_9A_060949 transcript:Et_9A_060949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFLPERRLLLLGLACGGLGGCALEAGSAGLGDLVEEAGVLFCSRKGNSGADGIAITIITMRRRGGSSMLLLLLVVVVPDVVCLLVEMSSSCRRREDVRRRGGGGVVGGGDLDDGRALEDGLDGGLAHVPVPRPEQADGAVDGVDDAAAGLVEERPEDGRPDALRAGVDEVHQPRAAVELGQEHRRVRLRVGALDPLQAGPDGARVAAPLAEHAAAVAAQPHLFSSFSSLPFLLGDSGCTLQTAVQCSQREDWRWR >Et_8A_057224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22521147:22521502:1 gene:Et_8A_057224 transcript:Et_8A_057224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRELLASSYIGDEDDRLTVECDVVQEARLAPTTDLGQLLRTCGPEGADCARGTIAGVQGGALWDGSPSPPPANQEDTMEIVTVHDMRPPVFKALLHFIYTDTATPY >Et_5B_043384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:115352:117610:1 gene:Et_5B_043384 transcript:Et_5B_043384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKDGGGGGGGKGRLFTVGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMAACSLFSYAAIAWLRIVPMQLPRSRLQLAKIATLSAVFCTSVVSGNVSLRYLPVSFNQAIGATTPFFTAVFAYIMTVKRESFLTYLALVPVVTGVIIASGGEPSFHLFGFIMCVGATAARALKTVLQGILMSSEGEKINSMNLLMYMAPIAVILLVPATIFMEDNVIAVTMELAKKDFNIVWYLLFNSSLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVISILIFRNPVSVTGMLGYTLTVIGVVLYSEAKKRTKQ >Et_7A_052188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5389251:5398010:-1 gene:Et_7A_052188 transcript:Et_7A_052188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKSSSKGRGAAAHGLAGTAPTPKPLLKAVDPNPSPAAELPHASAAADVLMAPDAETYAAAEALERLQVSAPADDAPPEEPPPPEPEAPSAPHPPAEASSSGRAAVGWSREEEAVMKLHELAGVAGEDVELTEEEVRANDQRQEDEICALESIFGEAVVFLNKKGGQRSFQVHVHIEIPDAIDVSSRLSYGDGTLKYGATSDGGADDLVYKFRVEHLPPILLTCLLPSSYPSHRPPIFTLSTEWLDKGMISSLCRMLDMIWEEQHGVEVTYQWVQWLQSSALSHLGFDNEIVLSKGDVTCDEEGGDKRACADNAPPDIIIPRMMRYNDNKHHEGFLNAIHSCMICFSEFPGLLLQRTLDAMSDVVYCPRCQTACLEDVGNEAVCSNCLFSFCTLCRSRRHVGEQCLSAEERLLILEARQKSGQMQGKQQEILNELYSLKEIMKDSKQCPKCKMAISKTEGCNKMVCWNCNEYFCYQCNRAITGYEHFRGSCVLFPQEEIDRWEMQMNQRVQRQVVAQAHAEMYAQQGQGHPCPTCRQPSPKIGNNNHVFCWACQKHFCALCRKPVMLRARREGEEPQVADEQLRANDQLQQDEMLALEAIYGEKIGIFGEIAGLRCFQIHVHCEILGGISVSAELFQGVVEATDSLIHTFNVQYLAPISLTCLMPQSYPSHHPPYFTLSVQWLGREKVSSLCQMLDSIWVQQPGQEVIYEWVQWLQRSALSHLGFDDRIVIQEPDCVMGPTDLRAVVQVMPLESIIQWLISYNEEQCHEFFLSGLHDCLICLSEYAGIDFIKLPCLHYYCRRCMESYSRMHVKEGTVQKLLCPHDKCKGAIPPNLLKRLLGDADFERWERLILQKTLDSMVDVSYCPRCQTACLEDEENNAQCSKCFFSFCTRCRERRHIGDKCMTPAEKLLSLQVSNAAIMLCCCKFMQNNLFFSCIQLPSSIRGWRSTCLTEFKIAEEKENREKARPASISKGINLVHELYSIKEILRDSVQCRHCRTAISRVSGCDHMVCRNCGTPFCYGCGKARDRNHTSGTTPETMLLFESEQCRLDQEQLVVRQRDVIKKVQKELVAQHVRTYPCTSCRQRHPKIGNNNHIFAGRAKFITALCARRWCGNARSTMVPEVASSTPSTRRSLR >Et_9B_064597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16782913:16783483:-1 gene:Et_9B_064597 transcript:Et_9B_064597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYLASTRSLSCCSVITLPLGSSTNWRSGRSLNGSAEMAPQLTSTHHSRPAKATTSWSLQRICRVGGNGDGKPISVSHSPVSEKNRRISKLRVADECSDGVPGSRLLRAFRLGEDAGIRAKPTLLRSVDVRDCRRSASELEEEEAMAARGLDNAVEE >Et_4A_033623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25059526:25062417:-1 gene:Et_4A_033623 transcript:Et_4A_033623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLADLVNLDLSDCTDKIIAEYIWVGGTGMDVRSKARTLFGPVDDPSKLPKWNFDGSSTGQATGDDSEVILRPQAIFRDPFRKGKNILVMCDCYAPNGEPIPSNKRYGAAKIFNHPDVKAEEPWFGIEQEYTLLQKDTNWPIGWPLGGYPGPQGPYYCAAGADKTYGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPSVGVSAGDEIWVARYILERITEIAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMRSEGGYEVIKKAIKKLGMRHREHIAAYGDGNERRLTGRHETADINTFNWGVANRGASVRVGRDTEKQGKGYFEDRRPASNMDPYVVTKLIAETTILWEPSHSNGVAAP >Et_3B_030181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31149865:31153987:-1 gene:Et_3B_030181 transcript:Et_3B_030181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTADDAGTGGRRGLTRRRPLRGLLPGAQRESPLRLRLVTDRDFLHRLWPEQDLLLGFFLNSPKKRRGRTHNHASSSPLTFVPTPTSPIDSVDGARRVSFPDNGGRICYSAELLASRRGVLMFQFVPCRFDEEERRTRFLFGLHDPVTGAHEFLPELECARTPRHVHNGYAILTAADFDVPLFVLTCDLHRSPRRRLPLAPPHVLRRHAKLERAHHLHARRPGLVDGGTSRRCAPRRGTLAVHRRPCWPPLDPRDLYKLSVDAGRGRVSLTKLPMRFVRRDDQRLSVACVQEFSVDVWTQQGEDDPAAWLRARVIKIPMPMKWVQRNKGTMLRTYAADKNNVFALDLETGAIEKVMDLFQCPRRDADYYKYMAYKRDLSEFFVKQLARLSEMIEAVIAAEGCIAALPEDILVEVFSRVRDVKALFRCAVTCRRWLRLLPDPDCLRRIWPQQDLLLGFFLNNGPTKKRGPSRQHKHASSSSLTFVPSPMSPINGSTDRVRFSLVPDDSIICYSMVHLASRCGVLLVEFVPCQFVASGSGRRTRFLFGVCDPITGAHDVLPHLECAGHGKLENGYAILTAADVDIDRGEHSSSFSQLLLIDRHDLDGRWHMHTYSAATRSWSATAMFPQAQQIRRCPPRRTGAPDGVTDLPDDVLVEVFSRLHDVKALFRCAATCRRWLRLFTDPDFLPRLWPETDHHARLRGLFLNSRRVFLPRLEESQPLVSYGYRDRITSSLVDEGRNLLGSRGGVILGLLEHSIGNIIHLTTDVVLFNPVTGARDKFAPPPECDCLDHGVSSHAIFTPADGGTDPERPTRRHSTTTFSHLFLIGFHRDDLGLHQHLHSYSGVTGSWCARPQRSEIRRRSPRRGALAVPRRNDRCLFAPR >Et_4B_039548.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21553236:21553715:-1 gene:Et_4B_039548 transcript:Et_4B_039548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLVGLGPNDIVNHHPIQSLAAASPQEIEGAYTYAGAADVDERRLRRRISNRKSARRSRARKQRRLHELRDDVERLEGGRRELAARVEAARGRLAVARLANAGMRAEAAALTRRLAAARRALVLRHLYAAAAATATGGSSGCGVGLDIEQTIASLIA >Et_5A_041581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24697223:24698946:1 gene:Et_5A_041581 transcript:Et_5A_041581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFELVVTPRRRRVFLHAHVLGLHAVRQPPREPLHQGRHAGGDHPHGEAVRRAHPPPRAERQQLEVLPAHVHVGGGAAVQEPLGPERVGLVGPRRRVARHGPRVDQHAGPRGDVVAGAGDRRGLARVVRDEERAHRVQPQRLLHDGVDVAEAWQVALVHPAPAAHQAVELGRRGGHGVRTAEELRHGPLHGHSRALGPAGDHVLDDRLHAVAREPRLRRRLIRPGGVLLRELQEHVDQVPLRRVEKLSVAGGFPPPPPPLLLVLVEDLLVEPVEQLAHPLHPPDVALHVEPAEPRDPLADAAHGARHGERLVQRARERLALRAAVAPGPLLPDRHAEDVPQRRARQVVPDGDHDSGAGSAIAGGSELEQAARQRAHLLRADALERVHAARGEELGGADAARHAPVGAVRGVHDAAPYEAIAPAAVGGRSAKARSWVLRMSRAASGDEATTTSREPRRRCISGPWRAASAASARCGGSGPVSAARLPNTGQPRGPGGSLSAFFRPRCETAEPRMAITKMTSNAVAMDIVGVSVSSIGGNGTLGSGTWSVQVQ >Et_10A_001427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3005160:3007120:1 gene:Et_10A_001427 transcript:Et_10A_001427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSALAIEGRRPRLRAPALAVELRRPCICSRGITRLPRPARRRGHDHPGPSANHGRRMYDRMALNYPRGGRYLKQQPPPTPNRAENRSAAPLHNDASTPAALSDMVVSLRGIGGGPAADMLAFCNLDTTKWSPPGTPGVRGPGHPPGNAGVPLRAIYMPTRPVFGKKATKVELGFTTIGMEEEEGEHREARHSTPFGGNGSTSVQEARQH >Et_7A_051783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24678323:24678943:1 gene:Et_7A_051783 transcript:Et_7A_051783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSATGGPSNNLISHQSSGRRHGRLAPPPPAAATTEAAGQDQRRRHDQLHRAPPTGPPRGDPSPPHLPRPDRLCIGRPPRRSRPHAGAGHEAWLVLPSTASEEKATIVSIADGMRPAAVRTCDPTMRGGNVVVGYSQGWLVTADARGALRLANPVTGAQAELSTGMWYLLDGEAFARLRFGGPPPYDSDATWGPTR >Et_5A_041340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21361217:21361941:-1 gene:Et_5A_041340 transcript:Et_5A_041340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKLAELGERLVSETLRELADRIVSTVFGRYDALASVDDELERLDMLITRVHSAVEAADGVHIRNWWLRQLHWKLRDAALDGNKVLSAFRQWRHAANEANAGVMQWRNTARRVFRSAKSLQLLRGDDNGVDRLRCTVATLEEVSTGIGDFLELLDLEICARVANVVFGGLPDIVLAGRRPAGTPRIAAHHGPQNR >Et_4B_036020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13914278:13915810:1 gene:Et_4B_036020 transcript:Et_4B_036020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRHASLPSPGGGTPSAATDIPASASSSRRSSPSRPLNAAAVDSRNRHSSSSLAANAEVSPPPASSSESTTSSGFSARTFRRTSARSSAAAWPSRNWRQTTSASSSPRGAAAARSSRTESRDAARPRSRFCEKSYPGGDAAKGAGTAVASPPAATTVRRTDGGAARCCRRVSDSSSVGHCTPCETPRSTTWSGRLGAGCSPASRAAMAALASALGSANQGLTSWNLIIAARNRRGSAPAKDAAGGSHGGTRTDAAAAGPTAAGRRRARARRPCAARRWLASPEKEASSPRT >Et_7A_051025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13767455:13768070:-1 gene:Et_7A_051025 transcript:Et_7A_051025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGTWTSWYAENELPPIPSRDSLLGYEPAVFCNCIPVRKATLWISWSDEDTGRCYVQCAKASEGGCGFIGWYEGPHDPFVQTLLIDLRDAVRTLRSQKAVLRQAVNEFIEDVNQKENELAEVKAEVARLDPIEGEKEYLKGKVKDLQLEKMVMRVVGGFLASAIAYLLFR >Et_2B_020709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22953240:22955817:-1 gene:Et_2B_020709 transcript:Et_2B_020709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVDAGVYEEMLRVVEACAARIRWRLRPPSKRRLLNDILFLCTGLRYVVLMDYGGTMPQLQVNLCSLLHHARQESSILKPLKVMVIKDMLYLIHVVGLAEHASQNSRSQHQLAFVDLEKSCCKLFSNTEVNDNLMEFVSIQDLFSAKFPVEAYVNLPVGRPGIPKQKSECPETSVACIDIHISDGNLLVDLSDYLGATQIALPSLNGWLLGYPVTYLFCNGSAEVATQNLSKHSLHIYRVYVRRSCQSSAKQSEEELMCFSVPCEVSTKRSEEPWAKSFVARMNEKLRRSNQVWTSMRLEIEFFQSQSQVIVL >Et_2A_015177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34293130:34294126:-1 gene:Et_2A_015177 transcript:Et_2A_015177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPSQLNPDASPFVPFSMSSFADKAPEKQAGFCISAESSSKGDLSGNILDPSQYEEIDMDSAALVKSVFSMFPNVSTDFIDELLKANEFDIHLTIDMLHDLNSQDMLHDDAELGFPTFADTNNLHEGQGLPGGDKHGAEVSESSSNPNQVLQNEKPATTSDVKSALPASPKSNPLHNDLVLADDTKPEGTSVAN >Et_1A_006803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27741664:27742794:-1 gene:Et_1A_006803 transcript:Et_1A_006803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSDFPAAQAALLLSCMLPLLMLHPCSAEQPPSPGYYPSAMYRSVAFSEDYRTLWGAQHQTLSPDGKSLTLWMDSSSGSGFKSTRAYRNGYFGASIKVQPGYTAGVNTAFYLSNSEQYPGYHDEIDMELLGTVPGEPYTLQTNVYMRGSGDGTIVGREMRFHLWFDPTADFHHYAIIWNPDQILFLVDDVPVRRYERKTEATFPEREMWAYGSIWDASDWATETLRTNGYQYLLRSMRSNGCQYTNFRFPQHQIMVQKEQINASCYC >Et_5B_045529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3699387:3702146:1 gene:Et_5B_045529 transcript:Et_5B_045529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAAGRRPEPARWLEIAGKLLAARDLIGCKRLTERAVEADPHLPGADELLAVADVLLASQRQLPSGRPDPVAVLLLQPDSDAAAVKRSFSRLSELLSSPRNPHPAAATALRFVQEAFGDLPDNLKNAPADPPPALASGDASAAADAFWTACPYCCHVYQYQRALMGRALRCQSAGCRRAFLATEIPTVPPIVPGTDMYYCAWGFVPMGFPKAADLSTNWKPFCPMFPSNQSSPQPASAPRQESAPQSASAGTGKVDVQRVENNGGPIHANATPANAQPVKNSGASGNAVGPPRAKVKKTARKKVGAALKKNASGGVESGIEPPMLGSLPLNSHADNGQTAVTRGININEVAKPAEGSTILNFGSGEDIGFDLDVDATDAILGNLQHLPFLREDDNNRRILFAFTSTEMNR >Et_6B_049395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4311488:4311900:1 gene:Et_6B_049395 transcript:Et_6B_049395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIQVETDAMMVKDALLSNTYHRAPEGAHELAALGCVATDEVDPVLENLRNCIRVMVARDSAAPPV >Et_1A_006206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19168437:19174870:1 gene:Et_1A_006206 transcript:Et_1A_006206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSLRLTAPSGFAVPKNRAAAAGGLVAFSSSSCRAAAGGGPITVAGDPPTVVSAPGRRIVAIGDVHGDLYQTRDALKMAGVLSVEADAHVWTGGRTVLVQVGDVLDRGEDEIAILSLLSSLNVQAKSQGGAVFQIIGNHETMNVEGDFRFVDPGAFDECTRFLEYLDECNGNWDDAFLNWVNVAERRKTQNGAVPNGDWSPWNFVKKQKGFDSRTSLFKRGGPLACELARHPVVLRVNDWIFCHGGLLPHHVEYGIQRMNREVSMWMKCPGEHSDDETDIPFIATRGYDSVVWSRMYSQDPAERTPRHVMLSSFIAEQTLKTVGAKGMVVGHTPQIRGVNCKCDGKVWCVDVGMSYGVLHSKPEVLEIINDRPRVLKKHRDDSYDEMEVTWRFLLC >Et_2B_022418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2144606:2145061:-1 gene:Et_2B_022418 transcript:Et_2B_022418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRMLAPMLGSVQVESAQFFPAISNDAVAGGEESYHSWSSASSTSDSSARFSPVSLSFSSDGDLFFSGRGNADPDGVQELRDIALQMVHDGYMKGLIRAFNGVSLSSPHQEVSSAVLSSDPDELLLRG >Et_2A_014851.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18674772:18675194:-1 gene:Et_2A_014851 transcript:Et_2A_014851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLQQPAKAVAAPGPSRRAASPPPDYDFTADEVYAADVLVFLREGSTSTGGTPVVGEGPSVGSAVSGSSSPRSVNAPPAPAPAPPQPALPRGAGAGGDAEEEEDEQEVPGSPRRIKRCRPIAEIYGATRRIGRRNFNME >Et_8A_058315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4783435:4784523:-1 gene:Et_8A_058315 transcript:Et_8A_058315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPSSSRTGGGGGLLSVVQSGEFWFCSVRGDISCGVFVSPNLFSEVLEADGDVGFCSVCADDGFGGGVAVEEAEADEDFVMPGGGGGGEKKRRLSTEQVRALERSFETENKLEPERKARLARDLGLQPRQVAVWFQNRRARWKTKQMERDYVALRHSYDALRADHDALRRDKDALLAEIKELKAKLGDEDAAASFSSVKEEPVASDVEPPAAAATAAQGASESDSSGVVNDAEPAAPEKVAPAAAVATAETEAEAEAVVPGAAALHGGVFFHGQLLKVEDEEAAFLGDDDAACGGFFADLPPPTLPWWTEPMEHWA >Et_2A_015057.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27992485:27992847:1 gene:Et_2A_015057 transcript:Et_2A_015057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPAGAAAEAHQSRLLYELCALLLTIIRSPEDGAGARPRVLPRQVTPAGVASMLLGASMALMLCGSVTFMLGFFLMPWVLGLGCVFLFVGFVTNLSGIGKAILLWTSSDTSPKEACPCT >Et_6A_047084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2551019:2554599:-1 gene:Et_6A_047084 transcript:Et_6A_047084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFGGDATSSVMRPVLHLMVGLVLYGVAEEMTVPVLVDRVTAALCPTDGRSCPEAIYLTGLQSSVGGIFKIIGFPLMGQLADEYGRKPLLLLTASTSIIPFAVLAWNSSRTAVYAYLILRTFSFLLGQGTISFLSVAYTADVVEPSKRAAAIGFLTGIISASHALGDAFSRFLPKNWIFQVSIVLLICSVLYIKIYLVETLERAPSSPCEHLSLASLVVRVTQQRWESIKENINIFKNSESLRRIAYVCFFYKLGMSGISDVRLYYLKSVFGFDKDQFSEILMVVGIGSIFSQIVVLPLITHIIGEKGILCASILASIVYALLYGVAWSRWVPYLSSSLGVIYVLEKPAIYAIISGEVLSTDQGKAQGFIATVQSAAISLAPLFMSPLTSYFISPDAAFNCKGFSFLVPSFFLAISLYFAWTIYPKGRDRCTEVAVSDQSDEEALQAPLLSQRPKP >Et_3B_030072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30283072:30286589:1 gene:Et_3B_030072 transcript:Et_3B_030072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGAPDLTDFMNDWFFGTVGARHSGGGYDLTGESSKRPASPAGKKQGKSGGSSASRQTQEWLEEAKRMVGAVSPGRVGSPSRQVPRFAGGSGTEPTPTLDRRDPMSRSARRHRQPGGIGDEILQRASVTSSPPRSDPFASEPPSPSPSLPPYPSRRKSRFHDASTPDSPQSHSRSASNATSPTYAVHSRHRRHASASSAPTAADGFDDGVARLNSFLRRQRAVVADLAAGDRLASRSTKIVLSDASKSASSIVAAICYAWILATKGDGQAAVPVVNMRRSRMARCRQAAWLLYHVGIDTSALLFADEVNMEGLIMDQRVSLFVVGQDVLKPKAEVGSVCTVLTNSYCEDAYSLLQSLDIKKLLLAGILLDTNNLSRKCSNRDSEAVQLLSVGTSERTRHELFQQLMLDHNDHSFVEYLKNTYRNSTTDGNEDGPPEQKHPVSASGSSQDTKNSKPVDQRPAHGNGGKTSDEAPRGKNKFFLSKWFGFGPK >Et_10B_003350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19042688:19044849:1 gene:Et_10B_003350 transcript:Et_10B_003350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIIGHIHALMPMRDAARAACISRGFLRSWRCYPRLDFDMDTLGFLKLEDPFFDDDDPTRIEEVSPIIEDQIRRGIITTVEEYFKMNNPLIREFAARVDHIMQHHSGTGLKTFRVDRPPHGFYISPAIIDRWVRAAITLRTITAFELLLDMGVDIEEDLEIVGRYYSFPCSLLTSKTITSFSLAGCILNSVHTAGCLPSLTRLRLQNVRVTSEELGRFLSSTCPALEKLEISVCDDMVSLKVPRLLSRLKLLRVRSCGCSLVLSRLKLLRVRTSPPLTPQNINNSCLQMVDCDAPKLKSFGYVGTPTIHVSLGPSVREMQMTCGMDDGPAGGMLFYATTKLPSIVPNLRSLYLASCFEVADTPMSLDKFRRLKSLEIHLDRPTRCSDYDFYSLVSFLDASPALDTFILRMPDASMPESIPYGDSTTHKRQCHCKLKKVVISGFYLAKGMVELTSCILENAASLKHLILDTTYACDRKHCKTGGCLPFTVAGLLEARKAVDVIRRYIKGKVPSSVKFDVIEPCIKCHTDAACRPIG >Et_6B_048798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13822065:13826028:-1 gene:Et_6B_048798 transcript:Et_6B_048798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEAEEEVRLELEAMEAVYGDDCRVLRDLPPHLVVHVRPRTADDSSQQFVELFLGIEASSQYPEEPPLIYAVESKGLDENRQTYLISTIKNKAKELSNYPMLVTLCEEAGEILSNMNHPAGDCPLCLYPLVREDTDGSALPFMKLMSCYHCFHSSGKHYNVNQHKGFCPVCRKVFDEKDIEHVSNLLGSNTSHLVSLTIDLGEDEKELLLSEAEQNRRKRIESLVSLQQECNGLIEPKKDLAIQPGMYVSLPPSEPATAAGDNESCEDTTTSTSEMAPQDLANNASTNKTKNSGHRRRNRANAPRRQPHGQPGRQQWQRKEADTSHQ >Et_7B_055599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16520995:16522607:1 gene:Et_7B_055599 transcript:Et_7B_055599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVTVPRMKLGSQGLEVSAQGLGCMGMSAYYGPPKPEPDMIALIHHAIASGITLLDTSDVYGPHTNEILLGKALQGGVREKVQLATKFGIHLDADGTREIRGDPAYVRAACEGSLKRLGVNCIDLYYQHRIDTRVPIEVGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQMEWSLWSRDVEADIIPTCRELGIGIVAYSPLGRGFLSSGPKLVDTLSDQDFRKNLPRFQPENIEKNARIFEQVNAMAARKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFNNNVAALSVKLTPEDMAELESYASADVQGDRYHDFLNTWKDSETPPLSSWKAQ >Et_2B_020872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24472051:24473054:-1 gene:Et_2B_020872 transcript:Et_2B_020872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFSPNLRQAAAGATSSPLAATLRKNSPSPPTHGRLSFSHTSLQTPNHRGNRAGWAVRVLPLTEENVEKVLDEVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPDILEVEQIMDTETGLELNTENVEKVLDEIRPYLSGTGGGSLELIQIDEYVVKIRISGPAAGVMTVRVAVTQKLREKIPSILAVQLTE >Et_9A_062294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21629018:21642788:1 gene:Et_9A_062294 transcript:Et_9A_062294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGRAKAPPPPPSPPPPPKESKVLVPPLFDYPPIAARTRMSVPSYEVMFGKLPLHNLFEDYFDQVENLTARIILKALEDPHVDLTATVSYQTRADVAADIMVRSEDYGVMGIRYGSENLSIRASFVPFNLSGEVPAGAWLVGRKGNLSAGVQYKPLRGSKHPMPFSDPENWNCAVSYSVGSTSPLSPSSIFTLELARSTQLTTSFYHHMVFQRGVKNPSEDKHVVEITNYVDIGLEFTARTDKDKPTENDNSLFQLALSWQANKNFLLKGKLGPSKSSVALALKSWWKPSITFSIAALIDHSKRTASYGFGIRTEDLRQPSYQRDDSNYVMLTPRVEQTPGERPVFQAHTDYDHLPTDLRPVDTTLKPEPPPPMVLVPPLFDYPPIAARTRMSVPAYELMFGKLSLHNLFEDYFDQAGSVGSRIMLKPLEDPHVDLIASVSAAGDKTGTEVKGDALFRWQKELDDPHTFVDLLVSTSNPVLQLRSCAYDPNYRIGAFGTLPLLMGNRVNSEDYGVMGVRYGSENLSLGASFVPFPLTGEVPVGAWLVGRKGSLTAGVQYKPLKGGSKHSMPFTDLENWNCAISYGVGSTSPLSPSFIFALELVRNTQLTASFYQHLVVQRRVKNPFEDDEVVGITNYIDFGLELAARVDKDKKTEGSNSFQLAASWQANKNFLFKGKLGPSMSSVAVAFKSWWKPSFTFSVTAVNDHLKGTTSYGFGICVEDLRQPSYQRADPNYVMLTPSKEHLAPGVLREYGKRPMFQTQIDSGNYDHLPTELKPIGKIF >Et_3B_030646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5937131:5940682:-1 gene:Et_3B_030646 transcript:Et_3B_030646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLFALRSIEMALELLKSLIKISSSTSTPSCSTSQDDMEELKQLERTMLRIRTHLRDAEEQWNIHEESSKLRLEELKEVAYDMEDVVGEYEYEVNRRKVEAFQRSGGVEQDEGKMDLRKRRRLLQENQDFLMDTGLVPVPEGLVHRARKVNMRLDEIIDYSNHFTLSTTLAKLVYNDMRVRQSFDKFAWVYVSENFDIIKTTSNIITSLTKHICKHTDFGDLRRKLTQEIKDKRVLIVLDDVWNDEHWELLCAPLSATRKCQIIVTTRSEAVAMLVQTTPFYRPSCLSFDDSWSLFKQVAFPGDQEQNSSTELVRIGKTIVRKCKGLALAIKTLGSMLRYEMDEERWVNVLKSELWDLKQPRREILPALELSYKHMPIYLRRCFHALSLFKKVNSISKDEVMKLWKVLDLLDCDGSDEDKYKAERMCFTELVERSILVHDHDERYCLHDLIHDLTCFLAGETFYRFEAGTSTEIPENVQYMSISVPASVKYREFPITPCSLRAIVGPEGSGNFRNAGPLFLKSKKLRAFDLPHIFVEDFSDSLLGSLKQLRHISLTTWLFKRFTLPSSIFNLYNLRTVDLKCNYDKVSLCGIGRLINLHTLPVFHIRRCGCGYNIRELRNINKIRDLDIYGLDNVFCVEDANEARLQSKKHLRSLELDFSSVRKICKCTLLPTPGSIPHDQVLERLQPHHNLTELKIRHYKSHVYPYWLGCDSYSKLFRIELLDCEFQEIGILGGLPSLKYLMLDTMNSMERIGSEIFRRSAGYKGFPSLTELSFRKMSVWSEWYVHDGEFPCLSLFHLYCFCLYQNSLTCNDNISVFPASPNLRTLYLHGCSNLSSIGFAHALSTAHLRHSSGSTSEEVSTLPKLTTLSLSQCPNLTAVVPLPSLTTLYLRQYLRDEILHRMLNDQTSLETLHISDLEVADIALEQQSLPSLTELRLAWCDCLQYCDLTGLTSLQHLEVLECPKLTIHDVHSLKLKTLSITNNFGDESEDNKN >Et_8A_057997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11535997:11536493:1 gene:Et_8A_057997 transcript:Et_8A_057997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVGDFDWISALPDGLLHRILAAVGDVVAVSRTAVLSKRWRHVWMQADRLLLVDWKAIGPGRFGDFVDWVFAQRGDADLRSLCIEYPGASFTPGRLNLCLRYAMQCVVKSLDIPAMGSFGTLLNSDEPPVELPGHGKMSSSDGCDGEIRSID >Et_1B_013233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6605355:6607682:1 gene:Et_1B_013233 transcript:Et_1B_013233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTNSGAAATAAAAAEGGDDLDQLLDSALDDFTSLDLSASAAPKSSGGEASGSASASGSGAKGPVKGLGMGLPDPKAPRRRAAKQPAPPPRGAYASEALEKLTRETREAVRGLETATGGGGIPGLDDDAMMEEFVKQFEEFAGAQDMDSIVETMMQQLLSKEILHEPMKDIVEKYPKWLEDNKDKISKEDYDRYNKQLELMTKLIEVYENDPENMTKIFDIMQNMQECGQPPSDLVQDIVPDLDLNKLGQL >Et_9A_061926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1828431:1830412:-1 gene:Et_9A_061926 transcript:Et_9A_061926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFALDFLRRLLCAHTTGNAGGGVAAARRDAPGHLSAPEPEGAGKSPCIVARLMGLDAMPAAEAPHATPQPRPLRRSRSASSAEGGRSPSPSGLGDSATAAPAAAVRASASLREKPAYLRAENDMFLLLSFSPEDRGAETAVLLAGDDDEAGRRESGASNKQRRGRRRKLRFGEDDGDEAELSALALSGRRDCNAQGSSPVSVLEARDAHEESSTTTTTTSSSLEDVEPCSATSGEAQFALEQQSTREKSQPDLDQLDELSPARSSVHASRCSDRERRHKGMANQCEVSTSYVSGIWPPVCRVVEEGIRNMEWVPRDGANFVAEMESDILDQLICEATVEMVQLTSDVYALPLPSPFLQKCSGKTSNWRYPSPRQVQERQAVGGY >Et_7A_051799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24879949:24880660:-1 gene:Et_7A_051799 transcript:Et_7A_051799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDIAVVQGYIKPSDNWTVATWSWKINPDRCWEGEWRKDYEHDTSHISGSLSDLLRPGCVDIGREEASGKARDNVAPPLEEATAPPPALLGLSTGHPAIGFDEGDVVLLLAEAHLPDEAFVLAVDMRTGTLQGAHYFSCERIPTMSSYVYTQSRIYIYKGQCRFDHHFEA >Et_1A_004555.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:16882508:16883249:-1 gene:Et_1A_004555 transcript:Et_1A_004555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTNLVALGCLVLLSIGVCTAARVQRYSTAKGNGEGGGEGAGYQNGAGSGSGSGSASGESSEVGGFGRIGKAHAQAGGGGRGSSSAQQGGDGYGSGSGSGTSSSSSDPRLRGWLSDAYTNAGGSGSGGGSGQGDGSSGSGGHGYGSGSGSGSGTHSDEGNYYGGHYEGVGGGNGNGGGNGQKGGYGNGEGNGQGYGNSGYGSPP >Et_7A_052615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9869864:9872210:-1 gene:Et_7A_052615 transcript:Et_7A_052615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRVLISVVLVLLASYEARSSSLVELGANDTDLLSLLEFKRGITNDPTGAMNAWNTSVHFCSWKGVTCGGGHGHARVVALDLAGLPLAGRISPSIGNLTRLASLTLSANKFSGELPHLGRVRRLEFLDLSENFSCKAIAGALLFPVS >Et_4B_037762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23179910:23186978:1 gene:Et_4B_037762 transcript:Et_4B_037762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRLCSPSPPAAIIPPRPRRHRHRPTTPFPSIPSHSRSLHHHQRLTPLLASCVDQRQHVGGPAMVLFTVTKKATTPFEGQKPGTSGLRKKVTVFQQPNYLQNFVQATFNALPADQVKGATIVVSGDGRYFSKDAVQIITKMAAANGVRRVWVGQNSLMSTPAVSCVIRERIGADGSKATGAFILTASHNPGGPTEDFGIKYNMGNGGPAPESVTDKIFSNTTTINEYLIAEDLPDVDISVVGVTSFSGPEGPFDVDVFDSSVDYIKLMKSIFDFEAIKKLLTSPKFTFCYDALHGVAGAYAKRIFVEELGADESSLLNCVPKEDFGGGHPDPNLTYAKELVERMGLGKSTSNVEPPEFGAAADGDADRNMILGKRSMPTSAALDVVAKNLNLKFFEVPTGWKFFGNLMDAGMCSICGEESFGTGSDHIREKDGIWAVLAWLSILAFKNKDNLGGDKLVSVEDIVRQHWATYGRHYYTRYDYENVDAGAAKELMSNLVSMQSSLSDVNKLIKEIRSDVSDVVAADEFEYKDPVDGSVSKHQGIRYLFGDGSRLVFRLSGTGSVGATIRVYIEQYEKDPSKIGRESQDALAPLVDVALKLSKMQEYTGRSAPTPNGSVSEEVAISQPDGRTGSITK >Et_1A_005740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13302202:13304715:1 gene:Et_1A_005740 transcript:Et_1A_005740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQFFLLAILALSVARVFASDPSQLQDFCVADKMSQVRVNGFTCKDSTAAVAEDFFFSGLHMAGNTSNKQGSAVTAVNVALIAGLNTMGISLARIDYAPYGLNPPHIHPRGTEILTVLEGSLYVGFVTSNPDNKLFTKVLNKGDVFVFPQGLIHFQFNYGTNKAVALAALSSQNPGVITVGNAVFGSKPSISDGVLAKAFQVDKNTINRIQAHEKLPTPPKRQSEERFACY >Et_6B_049642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7811081:7818226:1 gene:Et_6B_049642 transcript:Et_6B_049642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAIDTCPSPKLHTRLRLWEFADRYVFEPVDGLADLFLSVNRTNGSMNLVEELPLRPPSANPKVQIVFGVIGVLKLAFGSYFIVITDRDCVGSHLGHAIFKVTGLKVLPCNNSQSTSAEQKKMETEFSELLDAAERTIGLYFSYDANLTVTSQRLHELGDEFKSLPLWRQSEPRFLWNGFLLEPLIENKLHQYLLPIIHAEVRSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMQSKGFTASYVQVRGSMPFLWEQIVDLTYKPSFDIVRVDEAPRILERHFHDLQKKYGAVVAVDLVNTHGGEGRLYERYAKSIEPILSEDIRFIHFDFHKICGHIHFERLSQLYDQLEDYLKKHRYYLLSDKGEKMEQQTGTVRTNCVDCLDRTNVTQSMVGRKLLESQLQQLGVFGANETISNYPDFDANYKVLWANHGDAISTQYSGTPALKGDFVRYGKRTTQGILNDLWNALARYYLNNFADGTKQDAMDLLQGHYISTVSRDMAAPSKGLVENYASFRLASALILAAVMFMMMSLKQARNDGRHLVLSLMWAGLCVGLTRYVKTNGKAFTNRPRFYQSRH >Et_4B_036208.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:10733844:10734065:1 gene:Et_4B_036208 transcript:Et_4B_036208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRPLNDGFCHVKGYPKSCVQGSKASLSWSLGDSVCVGRGATRESRSSKPPAGRFGSKDHERCAPVDHREVL >Et_1B_014123.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31498428:31499393:-1 gene:Et_1B_014123 transcript:Et_1B_014123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAEPALATATAATPPPPAPHFVIVPLVAPGHIIPLVDLARLLAARGARACACVVTTPLNAARLHGVADQAERNGLPLEFVELPFPPPGSGLPADCQNFDMIPSQKKKELRHDPRHFPVPRVRRRAARARRPVRGLRARAGARPSCIISDWCNPWTAIVATSLGIPRLSFHGPSFFFSLCDLMADEHGLTERIAAGDEQEEHVVPGLPVTVKKVTKDTPTGFFNEPGSEKLCQVAMEAMRTADGVVVNTFPGDEAVPVARGDVVRAVSALMGGGEEADERRRKAREYAEKARRAMEKGGSSYEDLTRLVESFKRSGVKEH >Et_6A_047952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25443576:25448478:1 gene:Et_6A_047952 transcript:Et_6A_047952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAEKLPAERPKWKLEFLVPPKFPIITGENIRDATDNLLEVVLLDTDTGQPSLAPPNNLLEIELVPLLSHFPRDCWDADDFQKGIVDYEDNVGNRHPVLGGDCRLQMWDGRATVSKVMFRYSSQLCGCLFRIGVRVVPDFYRGTRILKGVTEAFIVRDRDDYELDHSENYKWQLVFQSQPRRPIYIGCQIRDVIGSPLEVILVDAKTGLPSALPVTELHIELVTIMHIVRSRSCFNTKLNTEVVSSRAYLSGDVSLTMKDDGRVTVNELQFTSSPSDNERPSKSAFCEIGVRVVWGSCKGHKKIRGGVTGFFIVEDMRMKRFPPKLGDEVWRLKGISWGGVFHRRLAQSSVRNVQDFLTMLEVKPDELRTVGLIELPT >Et_2A_017163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31540020:31542418:-1 gene:Et_2A_017163 transcript:Et_2A_017163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLPLFLLLLLYGVGSSSGIRLGNGGYEDWRLGTATYIKEFQPHPLNDGGGACGYGDLDIFRYGRYTAGLSAALFGRGSGCGGCYELRCVNHIRWCLRGSPTVVVTATDYCPANMGAVDEAGGWCNFPREHLELSEAAFLRVAKAKADIVPVQFRRVSCDRAGGMRFTITGSAHFLQVLITNVAADGEVAAVKVKGSRTGWIPMGRNWGQNWQCDADLRGQPLSFEVTGGRGRTVTAYSVAPADWMFAQTFEGKQFVE >Et_10A_000622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1388200:1390850:1 gene:Et_10A_000622 transcript:Et_10A_000622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRKRSRDDEFVAAEAAPRGCPLLPAELHLEIVAHSDAATVVRCAASSRSLRREILHPAFIHRICCNDGGAVPPRLLGFLGLTTMPQGYNPMPPPSFSLAYPATRAAASLSDTHLAPFLSRDEDRLSSYATLMSRNGLVLLRHSRWVQEVTLCVYDAMTGKGTFFPGPPKANTGHGNGSYLSDDKFVLLTAADGVGCPFLVFAADFSRLWDGSRSIIVRTFSPPSDSDAGGEWSPATFASHCRPPQYANKQPCDNVAVLRGGLIHWLIRDDLQGYYIFTYNVLTAASGWIDLPAECRDVGKLHLLASSPPSGTRLSLLVVDKFKVSVWLLLSAADDEGAARWARQAVIDTERSASLKGVHPFWARTGVDIVGSGARCSAVILMWHSKYSRSWSELFEKAEKGLIVLDLETKAMREVSKKKDARSRPAELVAALQAEEATAGCPLPADLFLEIVARSDAATLVRCAATCKPLRREIRRPTFIRRACHDGPGAAVPPRLLGFLHLDLRHVPMPPPPSFSLAYPATPAAASLSEMYLAPFVSRSAGADAGRLAGYQTLMSRDGLVLLSRSWVCMTREVTLCVYDAMTGKGTFFPGPPDTGARHGYDSCFFYDKFVLLTAADGVGCPFLVFSADFSGLRTGSCSVIVRTFSPSD >Et_1A_005726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13155698:13163841:1 gene:Et_1A_005726 transcript:Et_1A_005726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGFEQMLRESHGINLCFQTSSMPFHMDSSIDLGAGNVMPPVTSSQAVQDDAGAVEGVDTNGKSVHKPKRKKHRPKVIKESTKSQKPKTPNPPKEKVNQSTGKRKYVWRKGPNAPANQSPTGGIDIQARAEPEESQRCLNFDREDPHGNTNLVSQTQVTQMPTCPGDTLTSISGVDRSNVQVPCHWGDTSNFFSSVDRMADLQKQRVDSMPKRTIFDLNNSVINQMPISCSNPMDSSVQSFQHGSRAKVQTNWLLDSHSGMQVRSFTHLSNSVNQMQNSSSNLGQYIGTSQSSIAPRHDHMLHRFQMLENPAAPHLQLNPEASTRAGVMINQMGQFCRPQYSPSAPPRHIERDAMNGDLNEFSAKNNYLNCGNDNNYQIGAGFGFSDAPDFSGVLATGRKRDYNAINGHQISFGMDFDNVNRARQFCNDHHSSSSQTSYFPETCKRMRPDNINNQLNIAMGNVSSSSMFSGNWKVSAIKPGACTLADVQRLMAREKSRASQQMIDFGNSGSNMVRQHIVHNDYIALPGKEYGSFTMQHVQLPGSTTNPLGERNILRNGIYQPQSCEIGPSQHHSSDNFCLPDKWSTYLSTGHKQLPIGTENPSIESYIQKNANHQQHSLENVAVEGSILFSEPPKTCTQDDTVNNDNTAANTDSQVRTTNAEAVRPPSQPPSKSTEDGSCHLESSKLSAESTEKRKVRGRPRKDANTNGTPKDRNTTGNKNVGRAKKRASSKGANSEVLKTDGITSASDPSTGTIPKMATTESEQYGEKNSNVLKTSDHHNYSGTSKETQGGCISQATSLSVDSLDAIIQKIMVLSINRPDEIAAEVPKNALVPYEGGFGALVPFEGKVKKSRSRAKVDIDPVTTLMWNLLMGPDASDGAEGLDKDKEKWLEEERRVFRGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMAVAAKFPAKSEVPENPVSDISDTPREQNDNCSGLFGDSIKLQGKLFVEDISDIRSLITTEDNEESNSNELVGSSSACGRNRVAEGCSVSHRKSLTISHENGTPGSVFPTLGFSCAVEAEDGSLEDVISSQNSVVSSQNSPDHLFHRTDPLGSNSVQSFTDEGYIMRNMSNGIGTSTEYTEVPSMQDPKIMSNRNAGSSEYHEGNFPVSGVNKGVLLDLNRSYQPVHSSISYVQNGQSNFTGVSCLNTMGQSFYTGPDRVTLSSVAQSETSQPASRGNKNKTKISDPSSHLLYRINGSVSQERTSCPSERTQQGDFSPIIQQNFQTSISTEDLSFSKDHSFYGNHFVRNKTETSFVEPHNYSNLQEVYTITTNSIGGEQLQSGCNQLDNDVRVQTTEHENYCSSNLCENQNSHSNVLEDVASGSTQKFRDTQKGPLEAPMEGSKATNARGRPKKKAYDWDSLRKEVLSKCGDKQRSHNAKDTVDWEAVRQADVRKISETIRERGMNNMLAERIKEFLNRLVRDHGSIDLEWLRDVQPDKAKDYLLSIRGLGLKSVECVRLLTLHHMAFPCTYSLILKLCMLIPQVDTNVGRICVRLGWVPLQPLPESLQLHLLEMYPMLEQIQKYLWPRLCKLDQRTLYELHYQMITFGKEPPSPEPEPESAETREGAIEDFSFEDPDEIPTIDLNIEKFTQNLKSYMQANNLEIEHADMSKALVAITPEAASLPTPKLKNVSRLRTEHHVYELPNEHPLLEGVSSQQLSTSVRFRVEYYSKADLFVSVQLDPREPDDPCPYLLSIWTPGKIIFLNLCSFTCRIEQVRLHNRLTHPRRSATRRKLGNYVEVQCALAVIIYEKRKLR >Et_1A_005930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15694509:15705168:-1 gene:Et_1A_005930 transcript:Et_1A_005930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQATATVKEALAALYHHPDDAIRTAADRWLQDFQHTLDAWQVADSLLHDESSNLETLIFCSQTLRSKVQRDFEELPSEAFRPLQDSLYVLLKKFNKGPPKVRTQICIAIAALAVHVPVEDWGAGGIVNWLSDEMKAQSEFIPGFLELLIILPQETSSYKIAARPERRRQFEGDLRSSANVAISLLTACLVFDHLKEQVLEGFASWLRFCHGISASELASHPLVHTALSSLNSDQFLEAAVNVTSELIQVTVSRGPKGIAEQMPLIQILIPHVMGLKEQLKDASKDEEDVKAIARLYADMGESYVELIATGSDDSMQIVNALLEVTSHPEFDISSMTFNFWHRLKRNLTRRETYVSYGSEAAIEDEKNRRLQIFRPPFEILVSLVSFRVEYPEDYHTFSEEDRRDFRHARYAVSDVLLDATAVLGGNSTLKVLSSKLAQAYGSCSNEQKPKWQPVEAALFCIQAIAKSVSIEEREILPQVMSLLPSLPHHEQLLQTVCSTIRAFSKWIDAAPTELSILPPLVDVLNKGMATSEDTAAAASIAFKHICEDCRRKFSGSLDALFQIYHIAISGVGGYKVSSDDSLHLVEALSVVITTLPPEPAKKALELICQPVINPLQELIQQGDQVLQQVPARQLTTHIDRLSSIFSNVKHPEVVAEAVNRYWPTLKSIFDQRAWDTRTMESLCRSCKFAVRTCGRFMGITIGAMLEEIQTLYQQHNQSCFLYLSSEVIKIFGSDPSCAIHLTSLIQILFSHTMQLLRTIQDFTTRPDIADDCFLLASRCIRYCPDLFVPTEMFQRLVDCAMAGITIQHREACKSILNFLSDIFDLPNSSEGKYRELINAVVLQRGATLTRIMIAALTGALPAGRLEEVSYVLLSLSRAFGENMLHWARESINLIPQQALTDAERSCFLQIMSDASSGSSLDTITDRFGEISDVCRRNKTVQDMVQSALRPHDLTFPMVPQHSS >Et_8B_058832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:67805:70859:1 gene:Et_8B_058832 transcript:Et_8B_058832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GIQHLCSELLEIKKASEQDFRTNVYISYLSFLSTSSRYLLVTRMFQEAGDLEKDLHGHVLAHRRLVDHLSNNLYSPSIAQSSWSSSSSKDAAIPDPEDELELLELDVLLSEHRMEQALELLKLQGQRMQQIATSAEAMAALSAGKARVADRFASVADNPRTPRGELLEALSGLCKLGEAQRANHLLFKFHRPKTKLSSSIKDLACMVFSSIVEASRSFIALHGHPSPHTPQLIRWAKEEVEDFGVAFSEYVKVAGQHISVVPALEAAKCALSYCFLLRPLHAVSEQDLMDLIVPCLQELLTMHARHLKDVTRLFVASDVWVLGRFPVSGILFRAGSPATAGLHNIDYCLLTTSGRKFVTLIQEVVEDVYPLLDLRMNNSVLQLLAELFREYMHSIVELIPIKEGATAKDQQYMWQLSILINCTTLVSLFPIIAQGIVKSGSPSVADVSTQGELDRMILLINESSRQVWTCFCQQFIRDTVSGLQVPFLVPSLIRPIGSGSSNMFNRVRQLNNLYGTILTGKDGSMKKLLQELMKAMIFWLSNNLDSWIHHAQDVPRDTLLQQIQLDVHFILEFAQFGGFYCEDIKTSALDLLTKAEEKVPHDNRNSGNRQEVCITDAAKHAVGLLMATMSSPDQEARVQNIVVAQEGEEVNLDVVANSEEGHKDVLDTICISAPDEDHERGACDGGKSSDEFVSIEEDDEDGIMSSEELKHGSDSSHQAAPDEVSEIDPTAEVWEDHQRGADDNAEADDSESGSSAGMCRELDAIAVEEEELIGDHDGSICELVLHYNTMIQGTTVGGGGGIIRRQRQTNTAPLPRSAGAASAAEKGARSSRKKQETMSRSSRPRWQ >Et_5B_043476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1132682:1133271:-1 gene:Et_5B_043476 transcript:Et_5B_043476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVLLLAAAVVAAACALGTAEANLGKLGKLGKLGRLVVTGVVPCNTGSLIDIATSPAFPNADVELRCMGKLVAGATTNTNGSFTIEADMTNALMAFIGECRLVVDTPLIKCNADLKDVGTLVSFLQGPLTRLIGGIFHLFPAGFTFHAHHD >Et_4B_039039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7278997:7282225:1 gene:Et_4B_039039 transcript:Et_4B_039039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHATPYTKASPRETSRRAAEASISLPHTQTYAAILSYRSNDRSMGGHRDHHQEVGVLVDDDDDELEQQQQQGRGACGGAASGVVEQGVGDGQEAGGMVFEASSSVGSVSATMASSQILCWPEPPQQQQQLHHHNNIQQGSFFPLLPPLPPPQPPPPPPFFADFYARRALQFAYDHSGGGGASSSSDPLGLYMGHHHGGGVGSGMMMPPPFGIGSSPFGDFGRMTAQEIMDAKALAASKSHSEAERRRRERINAHLARLRSLLPNTTKTDKASLLAEVIQHVKELKRQTSEITEDACPLPTESDELTVDASSDEDGRLVVRASLCCDDRADLLPDLIRALKALRLRALKAEITTLGGRVKNVLVVTGDDAAAGCGDGDDEQQQEQQPPMSPQHTVASIQDALRAVMERTASSSASAAAEETGAASSGGGLKRQRTTSLSAILENRISLALRDCAWWRPLAGSSDARHPSQPQVSKIGRLSVKPSEAHLTGLRANMPFE >Et_4B_039003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6941090:6941736:1 gene:Et_4B_039003 transcript:Et_4B_039003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWDKGTKSVVGEIPLLSIRAGPRDGEAWRQRLKEEYRALIAYTSVNKAKDNDWFRISAANPEGTRWEGTCWYVHNLRRYEFPLQFDIPVAYPQVAPEIELPTLDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGIAQALCLGLAPWLAAEVPILVDSGMVKHKDDEAAPAEASGSASAAAS >Et_1A_005355.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:9252253:9252297:1 gene:Et_1A_005355 transcript:Et_1A_005355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIASRTHGVISFV >Et_2B_022041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8363464:8363969:-1 gene:Et_2B_022041 transcript:Et_2B_022041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEKVDATLADFDAHFERLFGPSASDDGKVKLLLFLADREPSSTLTWCPDCNVAEPVIYEKLEALQGRDAVLLRAYVGDKPTWRDPAHPWRVDPRFGLKGVPTLIRWEKGAAAARLEDDEAHLGDKVDAVLGAAGN >Et_8A_057461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3282296:3284410:1 gene:Et_8A_057461 transcript:Et_8A_057461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAKHVKMLAEFLGVPFTDDEVCGGVVEEVVKLCSFEKPKGLPVNIYGAADRIALHHPHQFAMAQPHSDAKHDSPPEEAESLSSTIPPREGWWRPLFLLRSTWLTPQVHKSATLVQAQFQPRADDIILATYPKSGTTWLKALAFTLVNRSQHAVTGDKHPLLVQHPQDLVPFLELPDRALHPVTELEALPSPRLLCTHLPYVWLPSGTSSLGCQFDKAFELFAEGVSFYGPIWEHYLSYWKQHVRESDRVLFLKYDEMMVDPTKHVKMLAEFLCVPFTDEEESANLPEEVVRLCSFENLKGLHSAGKSDRVGGLPMDNSSFFRSGKVGDWRNHLTEEMAKKMDRIIEEKLQGSDQR >Et_1B_010741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13543879:13549659:1 gene:Et_1B_010741 transcript:Et_1B_010741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVIWHCIRHNHCSFMAKIETGIFCRNPYNATGICNRSSCPLANSRYATIRDHDGVFYLYMKTAERAHLPKKLWERVKLPRNYEKAMDVINKHLEFWPKLLVHKIKQRLTKMTQYRIRMRKLQLKVREKIVTVPRKKEQRIERRLEKAEKAAQLEKNIENELKERLKKGVYGDIYNIDFKKFEDILEMEGDEEIPEEEEEPETEYVEGDDMEEMGDMEDFEGLSDGGSDEDDLLDEPVTNKPKGSGSDLKRNVGKRSRKVLTEVERDEETNTRQRMRIVAAMNRRFYKFLLNTIGDGEIFSTTP >Et_2B_021836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:603464:604372:1 gene:Et_2B_021836 transcript:Et_2B_021836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFDSSPLPLIRSRKRYLTIRSQSCWATANRHFFDVIGGLMANPWPPSPQTWSLVADALRGRRWIRDIRGPHTVQVILDFFAVLAIVSQVALSTTPDQFRLWGERNKRVHEFQALMPVVLAQEIIDDAHLWASARFSKFRKLLVPRL >Et_7A_050774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10923134:10926628:-1 gene:Et_7A_050774 transcript:Et_7A_050774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMRRHSVDVPLSRTLVQLKRVRSLRDPATNSMSKYASPSDHMIWETASSNGVTLDLGRSAHHQFIEEDEDLGAEATMGSERSFRAPNARTASYRKSSAVKIRGLNPPRNKQVHRVRQDGHRKSLDSNHSNHSSIRQLANNMVNNVDAEKEEDEEVNSYERPNLALQEKADEEVKMPSYSKFRNKSKSSAAMSRVGSPCLSTSEARSVASRRTEETRLRSNDVVGSNFSGCGISYCWSGASKYQDLYSDSDGPEQPLLSTDGTEAAFQSNVPYTETPRCLSQKFRPRAFSELIGLNVVAQSLLYSSCKGKVAPMYLFHGPRGTGKTSTARIFAAALNCISVEEQRPCGFCKECVILFSGKSRDVKELDAAKMDRLGRVKALLKSASLVPYSSRFKVFIIDECHLLQEDAWLAIMKSLDEPYRHTVYIMITSDLDSLPRTSITHCQKFHFPKIKVADIVYRLERICIEEGLEFDHDGLYFIAVKSNGSLRDAEIMLDQLSLLGKKITISLVHELVGMVSDDELIDLLDLALSSDTTNTVRRARELMGSAIDPLQLVSQLANLIVDILSGRCQSAVTEVSKSFLGRYALSDIGIKKLRNALKILSDTEKQLRTSRNQATWVTVALLQFGTNESSLVTETNDLHAQSVVTGYTDDWVSKVNSNSHFCHACNSNKSNCSERHCRRLKLENIWKRAIGKCRSRSAKSFLRKEGFLSSVHVTEELAIAEVGFGHPDHLSRAEKMQSLIECSLQHVLGCNVEIRFKLVPGPVTKDAGLKRQSFSFFSCSGRKQELSDSVVTDEDDAVRPGARETPLKGYPPSQQQSPYMIQRLDSKPTVHGYEDDARSTLTSNRSMTDDLTRTCRSETNCSKGVSEQGRFDSIHEPDLQPNCFSRTLKLQKKLLSSGAAHTICLRIQPHNKMDFLPKKEFDTYFCTYEPYEQCPRSNSRATYSSRDDDLWSKNSRFGSNMLCWRAPKESI >Et_4A_035374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22069143:22070650:1 gene:Et_4A_035374 transcript:Et_4A_035374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESVKCECCGLREDCTQDYIASVRASFHGQWLCGLCCEAVRDEACRKKAQPGVEEAVRAHMAFCNMFKSNPAVRVADGMRQMLRRRSGDMSKPESAKKYSTSQLSHKRVELEDAMGSSLARKAITVLLDAPRLVSQ >Et_2A_015056.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28058617:28058808:-1 gene:Et_2A_015056 transcript:Et_2A_015056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEKEQLMAKEMIRKLTSKCWDKCITGAPGSKFSSGEAACLSDCPRRFFDMSMILAKRFQMQ >Et_3B_029872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28768404:28770406:1 gene:Et_3B_029872 transcript:Et_3B_029872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQAAALGPRRKAAAPSREWLVVPAAGEAHTAEFGKHQIMEMTGLPTRDLRVLDPLLSYPSTIMGRDHAVVVNLEHVKVIVTADEALVPDPGNPLIRPFLVDLHARLALPDVSSTSPATDRGNDTDQSEGNGPASARCRAETGKILPFEFKVLEVCLEYTCKCLEFETLALEKEAYPALDELTSKVSTLNLEHVRQIKSRLVALSGRVQKVRDELEHLLDDDTDMSEMYLTRKLMFQGFHDSNNDASTDQVEEKEEEDCDDETETGRESSAYVKPNIEELEMLLEAYFVQVDGTLNKLYNLREYVDDTEDYINIMLDEKQNQLLQMGVMLTTATVVATAGIAVVSLFGMNIHIDLMKDPETPEEARIKNMKFWETTWGTVAGCVAIYLIAIYAGKKSKILQ >Et_4B_037492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20351137:20357146:1 gene:Et_4B_037492 transcript:Et_4B_037492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSKKSKSKRVTLKQKHKVLRKVKEHHRKKRKEAEKEGRAGGRKKVEKDPGIPNEWPFKEQELKALETRRAQALQELEAKKEARKERARKRKLGLLEDEDIANLASAASAQGTQFAEKDAAKENAPLALAKSHDHSERSFYKELVKVIEASDVILEVLDARDPLGTRCIDMEKMVRKADPSKRIVLLLNKIEQRTKLGWKSSKLDKTSNIPQSSDCLGAENLIKLLKNYSRSHELKLAITVGIVGLPNVGKSSLINSLKRSRVVNVGSTPGVTRSMQEVHLDKKVKLLDCPGVVMLKSSDSGVSVALRNCKRVEKMDDLISPVKEILSLCPHEKLLSLYKLPSFSSVDDFLQKVATLRGKLKKGGIVDVEAAARIVLHDWNEGKIPYYTLPPKRDAVEESDAVIISESGKEFNIEEIYKAESSYIGGLKSIEDFHHIEIPPNAPPGIDEEMIEDGKKPSEPVEENREESMSDVNDHEGSRATSGSTQNDKLFTAEGILDPRKRKAEKKRRKANKFSVLNDMDEDYDFKVDYQMKDAPADDEDENRVGDAHEDNGPMTGFLTYRTAYRALGLG >Et_1A_006157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18638791:18643000:1 gene:Et_1A_006157 transcript:Et_1A_006157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VFITNFPLPKCLCSSSSRRVRFRHPTTRPPPLSKRYEKAPAKAAAARARTHSTFPLDACVRSKQGTRARAPPMSAWTAPALAAAWALRAAVWACLVSSAMLVAEAACMSVASLVAVVGPPRLRPRRKYRWEPMPGCGGGGGDVEAAAEAEFPMVLVQIPMFNEREVYKLSIGAACALTWPPDRIIIQVLDDSTDPIIKELVELECQDWANKKINIKYEIRNNRKGYKAGALKKGMEHIYAQQCDFVAIFDADFQPESDFLLKTIPFLVHNPKIALVQARWEFVNYNVCLMTRIQKMSLDYHFKVEQESGSFMYAFFGFNGTAGVWRVSAINESGGWKDRTTVEDMDLAVRVGLKGWEFLYVRDMRVKSELPSTFKAYRHQQHRWTCGAANLFRKMAWEIITNKEVSIWKKHHLIYSFFFVRRVIAPLVTFLFYCVVIPLSAIVPGVKIPIWGLVYIPTAITIMNAIRNPGSLHLMPFWILFENVMSMHRMRAALTGLFETARANDWIVTEKVGDLMKDDLDVPLLQPAFAYVVMGFGFVGTKTP >Et_8A_057051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20505085:20506813:-1 gene:Et_8A_057051 transcript:Et_8A_057051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCAILMSVSGMATGSEAVLEWNKQDKKRMLHAVYRVGDLDRTIKCYTECFGMKLLRKRDVPAEKYTNAFLGFGPEDKNFALELTYNYGVDKYEIGEGFGHFAIATEDVYKMAETVKSSCCCKITREPGPVKGGSTVIAFAQDPDGYMFELIQRGPTPEPLCQVMLRVGDLERSIKFYEKALGMRLLRKKDVPEYKYTIAMLGYDDEDKTTVIELTYNYGVTAYSKGNAYAQVAIGTNDVYKSAEAVDLATKELGGKILRQPGPLPGINTKIASFVDPDGWKVVLVDHADFLKELQ >Et_2B_019130.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:12412003:12412089:-1 gene:Et_2B_019130 transcript:Et_2B_019130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWVYHFLPNGRKFHIVGLAGICWEYGT >Et_2A_018316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22708497:22713840:-1 gene:Et_2A_018316 transcript:Et_2A_018316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRAVRSLSLLFFLGLVSLLPTSRGQQQPLPAAEAKQTVTVGLIIEADSPVGRIANTTIPMALEDFYAANPNSSARLQVLMHDTGGDVVAAASAALQLMTTQGARAILGPQSSAESAFVADLATRAEVPVVSFSATNPSVSPSSAAGGRFFVRAAVSDAAQAGAIAALAVYFGWRRVVPVYQDDDYGAAFVPYLVDALMAAGAEVPYRCALPASADAPSLDAAAYRLESEQTRAFVVHARPALAERVLAAAEAAGMMAPGYAWVITDGLTGLLGSVRPPQGVIGLAPYVPTTPRLRGVRRRWAHRFMRDHRDADPAEAEMGCYALWAYDAAWAVAAAAERLGPGDLSSSPGLVGGRSGGPTDFSGLGKSSSGNKFLAEITNTTFDGLSGRFRLVDGELTVPAFRVVNIVDNARERSIGFWTPKRGLVRRLGVDAAGESNAGLGPVIWPGDSTVVPSGWVQPTSGRKLRVAVPGSKVDPGYRPIIHVDVDPATNRTVAGGYVIEVFEAAVRLLPYALPFEYVPVPSMLYDSLIKNVSRGVYDAVVADMTITANRSQLVDFTLPYMSSGISMVVPYRDQRSKRAWVFLKPLRYDLWLVSFGFLLFTGFAVWAVEHRVNEEFRGPPSYQIGTLLYFGFSTLVFAHRENLRSNLSRFAVVVWVFVVLILQSSYTASLTSMLTVPQLEPTIADYGALWRGTEKVGIMNNSFMRAYMTRSGFPPSRLERYSATQSFHEALLNGTIGAVVDETPYLRLFLKAYCDNFTEITHANRTGGFGFVFPKGSPYVADLSRAILNLTESDELSQIERKWFGDAEGCAALGSPFTSDSLSFRSFWGLFLITGTTSLLCCAVHLATFVVANRRSILELATSASHMSWRGKFRRFLKLFDDKDLSSHTFRTKDGAAGGGSVAGRNSVDAGAASPAVTHDAGGSPLSVSNHTYMSDWSSLGTASPAPAGAGEIIELAAAGPAEDEVTAARNPDGSGDQNDSVHQANNSSAANS >Et_5A_041537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2463476:2469540:-1 gene:Et_5A_041537 transcript:Et_5A_041537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRLGQSLIRRLHRPAYLQPSPPSPLMDHCAAVARSLAPMQAGTCVRGLASLSHNSSSLIGHKLAGPSPVHIVKVLDLVVHLNHTKPMPTATASKVPLGARKVGMKVVMMSPGFVYEPYSPREHIPFWKRWFTLSGWRRTKEDVILEMKNAYAVSRLRKKTGYTKKEFYDQAFKIYKEVNTLMAQGDTSALRKILTERMHSTIKNELKKRQSKWSSVHWELVEPAVSIRTLRARMIGLDKKDLDKAFIQLTLEFVTKQKFEAYNSKGEVVSGDKSKEVLVKDIWVFERSLFHPGAYWRVCGRITLWTAAS >Et_1A_006084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17568408:17574224:1 gene:Et_1A_006084 transcript:Et_1A_006084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQNTFEIEGRWGRGGSADGSRRRRGHLVGAVYGSDGGRIRKGRATSFGANRGGVLTMGFDNEYILNIQSLSGEYFCPVCRTLVYPNEALQAQCTHLYCKPCLAYVVATTKACPYDGYLVTETDSKPLMESNKLLAETIGKVTVHCLYNKSGCQWQGTLSACTTHGDTCLYGNSPVVCNRCGAQIVHRQVQEHAQLCPTQQHQVQSQPQSQPNLPHLQAPAGQTQAQQPMQPAYQVPPMQQQSQVPLQLPAPQAQPTVHQPVSTQVGNQQFGVPSTQATQHQMQPPVQAQSPQLQALPPQQNQHLQPHMQLNSQIQHHSTQVQLQSYQQSQAYPQPTPLMHPQNTSYPQQQMPPGAPQHPAHASHHQGLVSQHPAPMRPPMPSQQPAMLPPQGILHKPQHQQNAGYHAQRPPMHSSNHSQAPQQGLPPHSSVSSQAGQPQKNKFGSGSKDGQTVIALDSADTSIGKDGIAGQAGNCQGPGVQGGKEHKASDTYDNLEKGGSAQQASQRNAKPPGSFAPLGMGPQHPLGPDRVFPQHMMNSGDMPYNMQGRPNQTSRPPKHSLGDNIRPPLEQPYGSFNSGTAQRTFVENHIPSPMPQPAGIRRGEGMVRPPIAGPFPGHHDTMPPFVPEPLGRPHPPGNTLHQWQILLFFYCIYTDQICINSGMSKSNGVGGGPFGSGRAFHEEGFNASGEHLRSFAAYPGRHNFHHKDIEDLKQFPGPAHLDVHGLQMGPTSFDRTLGRPDGFPERPVFENQKGQFPVALHDDFSRKPNATGRPDFLSPGTEFDHHGSDEMSNLRNPGPFFQGMSAGPGSVHKDYLGPVNAFDGPVFPHTRFHPGHMHPDDPNLVADYSRHGFQKGPGHFGLTGVMRNAGWCRICLFHCGSAQNLDLHVETREHQQCAMDLVLKMKQDVAKRQKLNHGGPKSFHNKKVAGKVHFRGNR >Et_10A_001103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21152616:21153501:1 gene:Et_10A_001103 transcript:Et_10A_001103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSRPHRLLRPLLRGFHASAQALARAEPHEFSKPSGYLGSWEPAGDPREAWARLERLRKGYARDVRELRRQYAYEVQLMEAERQRKAEARAEAARVANEERKAAKAAAAQTRAAERRAFEQDFRQALMKERAEKLESWRRKEKLNAQKKAEQRELLRKKSGMWVSEDKLEEKILDAIVHTTPL >Et_5B_045495.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:3084551:3085018:1 gene:Et_5B_045495 transcript:Et_5B_045495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHRHRVAEPADEAPSPRPSAAGCYAFLRSASTRHHGAGGYRRLDSAAALNKGADVDAVVRVEVGTAAKKKERSVFHVDAAVLDAGPVRRLVAAAGRRLAGGGVAVAVDALLFEHLLWLATTAGGGDAGADDLSEIVEFYSQDDDDEEEEHRRF >Et_1A_008120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4565819:4567467:1 gene:Et_1A_008120 transcript:Et_1A_008120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMSVSATLPPPPPKATPRSSPRRAPANAAAAVSTALLAATPAAHAAAISKEDVAGSINKVVDTVDTVIDVGGKVAEQSAGVLKALSEAVKPALPVLKSAGDEALKLAAPVVSGASKQATEALQGAGVDPAPVVSAAKTAAEQGTKVIDAAKPIASATVETITSLAPEDYVVAAGAAFLAYILLPPVWSIVSSGLRGYKGSGVVLLAVNNMVLSLFCVVLLITTLLHCVSRKGDLTPAQALDKITTQDYVLIDVRSDKDKAKAGVPQLPSNAKNKIVSVPLEDLPSKLKGMVRNAKRAEAEITAMKISYLKRIGKGSNVIIMDSYNDNSKIVARTLSSVGFKNCWVMSGGFSGRKGWAQSRLGTDSYNLSVVEVVTPSRVIPAALGRIGTTSSTSRTTARKLLPGSVDV >Et_6B_048841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14345609:14347454:-1 gene:Et_6B_048841 transcript:Et_6B_048841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILSNVKITKFIKQRKKYHEALLDIIGPRCGPIGVLPAIEHREAPGPSIPRQNQKSRAGAVGIFSDLPRSATRGGERSCRYRRTSNEVSNLLLSRFLWRDGYLGGGFIVFLSRSADAGHLFDEMADGSEDARGKRAVQAAGEDRLSALSDDLLQQLMTFLPSRSAVQTCVLARRWREQWKSVPAIRITRDDAKRYWGPNALNRFVNCLLIFRNKLPLDEAELNSVEDPDDFEEAVRYLEPWVQYCLSREVRKLCMSSNDQGLRWLMPKGLITSASQHLTTLELSRVQSNHDLDFSACVKLEDLKIEFSDILGNKMSSPSLRRLSVKICAFPECVRYQICVPNLTSLLMVDCTGLTPLLQRMPMLVDAFVRLQHCDDCCLNNYEVGDCGDESCKGCRGVNYGKSSMILEALSGATMLELPAAQEVFIFRRDLTQCPVFSKLKTLLLNEWCLTSNHGALICFLQHTPVLEKLILQFPLNHGNFVEMGATYNLKKQSLILKDLTVEVKCYEVDERINRVLEVLCSYGLLLEKVNIKGLPMINEFQFMDSWAS >Et_7A_051983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3468078:3469372:1 gene:Et_7A_051983 transcript:Et_7A_051983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQQQRGVGNSKVVKVQNEEAWELFTNQASNEGRPVVAHFGASWCVTSLSMNYKFEELAQTHPEALFLYVDVDDVQSVSSKYGVKAMPTFVLIKNKEVVNKIIGANPDELKKLVESSTDPVPYETQIVVE >Et_3A_027225.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:5158599:5159287:-1 gene:Et_3A_027225 transcript:Et_3A_027225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDSESECMMCREPETATRCRAMERVLDGLSVPCAFRQNGCTAMIRYAGKKAHAASCDYASCYCPIAGCAGDYVGATLREHVALDHAAVRHGRVTPGCLTALKMRAGETAQVVRLFNAGRTTAEFLLVVGRGVPSGRALSVIHIGGGAPDKQEKFKYRIEVVGEAGVLSLSGQAKGVERLTKEYEASAFLFVPDAIWDAAPEEVPVFIELK >Et_2B_022430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21615136:21617657:-1 gene:Et_2B_022430 transcript:Et_2B_022430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAKQKCTKVIVTTGSEKVAELTSTVSSYKLGCIPEDDSWAIFSEKAFVNGNAVADSQYTEIGKDIVKRCKGFPLLAQSLGSLVRNQGLDTWLAARDEDLWKLEERLEPDAKLFTSFKKIYYSMPLELKDLVVLEYGGNAQDKSSATLQFRYAVLSNSYRLSSVHKDLIVRSKAVHFRNCKETKQIADAFSLLGHSRIINLSGCPIAELPASIGKLRYLDVSDSGIETLPNEKLKYFNLHGCEKIEKLPPTLGSLKKLEHLNLSCCTGIGELPVSLCSLLQLRLLDLSGCTKLQELPDELGK >Et_3A_025657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31682517:31685560:-1 gene:Et_3A_025657 transcript:Et_3A_025657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWISVVLGVLVVMIHLKLVMWRRWVCCNCQVDESDRRENGHVNATTNNVDGMTKGMKDSATGKVKLPSSAPSINIPVLSLDELIEKTDDFGSTALIGEGSYGRVYYAVLDNGTKLAVKKLDCNENEPTTEFLTQVSLVSRLKHENFIDMLGYCTERDLRIVAYEFATMGSLHDVLHGRKGVQGAQPGPALDWMQRAKIAVDAAKGLEFLHEKVQPSIVHRDIRSSNILLFEDFRAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLATPRLTEDKVKQCVDPRLMGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLVNKQSPAPARPPAPEN >Et_3B_029807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28104389:28118701:1 gene:Et_3B_029807 transcript:Et_3B_029807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQCPIRPGGTYTYRFEVAGQEGTLWWHAHSSYMRATVYGALIIRPRGAYPFPTPDGEKVVLLGDQWNDDTVLLEQQAFLSGTSIRSADAHTINGNPGDFYDCNATTNRISKFEVRRNSTYLLRIINAALNTQFFFKVAGHTFTVVAADASYTTPYATDVIVIAPGQTVDALMAADASPGGYYMAVSSYESAPLPLRPGSFNGNLTTAVVEYVDGAAPSDHQQAPALPSMPELTDTPTAFRFYTNLTALLRPGEEQPAVPLAVDTRMFVTVGLGFSACSPAQTSCNRSAPVVAATMNNASFALPTTVSMLDARFRNATAGVYTRDFPDRPPVEFDYANATGGLLGRVAGALLSTGGPPATRVKAVRYNATVEVVLQNTALVGRESHPMHLHGFNFFLLAQGFGNYDAAAAAAKFNLVNPQERNTVAVPTGGWAVIRFVANNPGMWFMHCHIDAHLSIGLAMAFEVEDGPTPDTKLPPPPRDLPKCYFCWRLPFFLLDRWPMRRLRNTHSQHGVLQLLTPWADGPSMVTQCPIQPNSSFTYRFSVPGQEGTLWWHAHSSFLRATVYGAFIVRPRPGNSYPFPAPDKEVPIVLGSEWWNQNVVDVESDAILAGQLPVQSDAFTVNGKTGLLYQCANETFTVDVEPSKTVLLRVINAGLNAHLFFKLAGHNFTVVAVDAGYTSNLNTDTLVLAPGQTVDALVTTNAAPGSYYMAVQEHDTLTPSTMAASDATTASAILRYNGTSATATPAMPTMPNSSDAATANAFYFGLRGLATAAPAVPATVDVSMTIELGLGQIPCDPSQTKCTGNAVAAAMNGVSFRLPSEASLLGAHLTGSTGVFTADFPDGPPPSGTAMTVATKVKKIPYNSVVEVVLQNPTAVATENHPIHLHGFNFFVLAQGVGNFTPGVSYNLVDPVSRNTIAVPGGGWAVIRFVANNPGMWFFHCHLDPHVPMGLGMVFHVEDGTAPGTALPSPPAEWVGQCDAQHYAAAALAAAAAPAEAPAQAPAQAPGAAAESPAEQPRPMEPPGSVEHRPSGTLPQHKGHTVPSDSAGGPKTVGGMKISQLCKESVIYTANQQMPGPTIEVNEGDTVVVHVVNDSPYPLSLHWHGIFQLRNGWADGANMITQCPIQPSGKFTYQFNVTGQEGTLWWHAHSSLLRSTIYGALIIKPRNGASGYPFPAPYAEIPILLGEWWHKNVDDVEKDAFLTGLGPELSDALTINGLPGDHNPCNAGAGAYEVEVEYGKTYLLRIINAAVNAELFFKVAGHAFTVVAADASYTEPYATDVIVIAPGQTVDALMTASAAAPAGGRYYMAAHVLETKTVPIPFLNFTATAVVKYAGAPDDAGRPAAMPALPAHTDVATAGRFYWSLKGLVRPGAPAVPRAVDQSLVVGFGLDQAPCAPDQTKCQRFSLVADMNRHSFQFPKSVSLLEALFRGTPGVYAEDFPGSPPPPLPMARKTTSVRKVNFNDVVEVVLQSQGYSSALGTENHPIHLHGFDFFVLAQGLGRFDPSMRSKFNLVNPQVRNTIAVPAGGWAVIRFTANNPGMWFMHCHLDAHLPLGLAMVFEVLNGPAPNLLPPPPADYP >Et_1A_008702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:115957:118656:-1 gene:Et_1A_008702 transcript:Et_1A_008702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNVYKKGKPTTELEEANHRKVVHQTFGTKGKLCRGGGDYIKRKGLLVTCLTHECGESSLESEHVDKSLARRREPGHGKVQRPDGRAVGELLLLHDDDHELDALSLDKAVLVLHGHEHTAEAVEEVLEHLLLVGGLWVPGLVHCDGLQQGVDPVALAQALLVGGAVLEEDGEDLGGVLLVGIKVADLEAREEPAEDPGALADGVGEVGAEVEEVLEDGEAVVDGLGLVGVGLGEAGEVVDEGVEGVGVDEGVGRVVGVGEVGEEGGGGGGEVGIVVDEEGGEGLGEAEGDEVGEELGGAGEEAEALGLGVEGDFGVGEAGGVVGVLDARLEVVAQAALEAQQEALGGAEVEHLHGIGGGEVDLLVLVGPLALQGGGGVVDVHGWLARACPSQVAQSLTSNGLSQHHIISTPHNAKKVKNNISHQDSGARLISS >Et_1A_007948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39746596:39748676:1 gene:Et_1A_007948 transcript:Et_1A_007948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFCGNLDYDVRISEIERLFGKYGRVERVDLKTGFAFVYMEDERDADDAIRRLDGIEFGRKGRRIRVEWTKEDRSAGRKGGSRRSPNSARPTKTLFVINFDPMNTRTRDIERHFDKYGRIANVRIKKNFAFVQFEAQEDATRALEGTNGSAFMDRVISVEYALRDDDEKGNGYSPDRRGRDRSPGRRRSPSPYGRGRERGSPDYGRGRERGSPDYGRGGDRRSPNYGREASPNGRARGKLVLVGSAGKLVLAMTGPQ >Et_10A_000573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1368536:1374485:1 gene:Et_10A_000573 transcript:Et_10A_000573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVRALMRRKQVDSERARAAGANQLRKELSITQLVAIGVGSTIGAGVYVLVGTVAREHAGPALTLSFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLALFFGGPDSLPWVLARHEIPWLDVVVDPCAAFLVFLVTGLLCVGIKESSFVQGVVTVLNCFVMLFVIIAGSYIGFQTGWVGYKVSGGFFPYGINGMLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPVGIATALSICCSLYMLVSVVIVGLVPYFAMDPDTPISSAFAKHGMHWAMYLVTSGAVLALCSTLMGSILPQPRILMAMARDGLLPSFFCDVNKKTQVPVKSTIVTGICAATLAFFMDVSQLAGMVSVGTLLAYVPPDEVPLPSSLQASFRLSQENDEEKVTNPLGAENHGPGTSEISDVIVVESIKDPLIKKHLYASKLDEAKRRKTAAFSIASVCVGVLVLTSSASATFLPFLVQCSFCVIGGLLLLTGLGVLCWIDQDDGRHSFGHSGGFICPLVPLLPVMCILINTYLLINLGGGTWMRVGVWLVMGVFVYIFYGRTHSSLTDAVYVPVAQANEIYEYSSSSVYEFSFVDHLLAIISAEQYKPSNKRSIKIDLTK >Et_6A_047260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3022651:3042120:1 gene:Et_6A_047260 transcript:Et_6A_047260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GTATEPQHLPSLGPHRRPISFKFLLKRRLLAERGFELFLGRDPCPICFPFCLTPASDTGLICSSCFSFCLTPASDSTGLICSSCRSTTRAWGLSDGDGSTHRIASFIVALSSSLIVLEAAYSMSSGSNILKFRATHSFKSMPSTSVFVFAFNTVDSSGVRYPRTYAADSTLQLAASVIRRDRPKQWHLPFLTLTDLLQLPQHLPSHGPQRRRRVHALQRQLQRVREFVPDSARGGVPHELRVEHFAIPLLDNRRHPLRQVHASVSVRSGLARQELQDDDAQAVHVRLRVRLLNLGQLWHAVPLLAHGRQHIGVSVGRHQAEQTEVGDLGVALLVQEDEGVEAALGHVIEDEHPLSLGLRLQVVGPECQKIGVPDLAQRRRRRLEHLIAFGDLRPQHLHRHQA >Et_2A_015128.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31349475:31349645:-1 gene:Et_2A_015128 transcript:Et_2A_015128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LAWRQRVQVALDVADGLNYLHNYASPPYVHKNLKSSNVLLDAGLRAKVSNFGLARR >Et_1A_007465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34905620:34908065:1 gene:Et_1A_007465 transcript:Et_1A_007465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAMIVPAPRATHLVLLFLVSVPLFATDASAAAWWWGTDSSFVLAAAQTHRQDPLDGFSYYTGGWNISDEHYWASVGFTAAPLFAAAGVWFVMFGIVLFLAACCFCCCPGRAGSYSRSCLAVSLVLLLAVTAAAAVGCAVLYDGQGRFHGSTTATLDYVVGQSGDTVDNLRAFTGFLQTAKAAGVGPFTLPDKVKGTIDEVVGKVRVASDELAARTASNAAKIRSALETVRKVLIVVAAALLILAFLGLGELLSNFPQKYLVEMLVFIGWILVAGTFVLCGTFLLLHNVVGDTCVAMGEWVQHPQAHTALDDILPCVDTAAATEALDRSKEVNYNLVNVLNGALANVSNANPPPQVPPPLNYNQSGPPAPLLCNPYTAPPELRDRACAAGEVTAADAPRAWQEHVCQTAAATEVCATAGRVTPSMYAQLAGAANVSYGLARYGPALAALADCTFVREAFRSVGDDHCPGLRRYSGRVFRGMLGAAVALMLAVLVWMVHAREQRRRSQARELVMAPATPYKFHHVEERALLNGAR >Et_2B_021028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25748110:25750834:-1 gene:Et_2B_021028 transcript:Et_2B_021028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFLGRRAGMAAAAAALIAFATFGPVSSGSSPKSFVKSTVKAHDVVIFSKSYCPRAKAVFQELHLKKQPYVVELDQREDGGEIQDALLDIVGKRTVPQVFINGKHLGGSDDTVEAYESGKLAKLLNMDVKEDL >Et_3A_026600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10193791:10194969:-1 gene:Et_3A_026600 transcript:Et_3A_026600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPKRNRQTSTKGSVAMMTSTQFESRLLLDEPGKYILPPERLPEPLCSLMDPKFLKNLRYSRKHNQKSGEAQAEE >Et_5A_041194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19221536:19232046:-1 gene:Et_5A_041194 transcript:Et_5A_041194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILIRDAHRFFVLTLQDQSAFCRIQVRPCDIMLKNASLIFLLGGGYRLQSQTLPAVCSENVLPLIRRYFSVPIEGITNRKGDGEVRGQDAAVMSPDNSDSNSEVRNANSTTTASALIKECEKLGPKKLLETKANTPVPRASQEVLYHGKKLTRKASQPCLLQVVLDTHTSPLRTVLDKWVEDGNCLEGNEAQLVYLHLRKHRMNRKAFKFVEWIGRGELLNFEEHDYAYHLDSLVRYYGIEAAQKYIEGVPKSFRNGVLYETLLASCVHLTDVQKANEVFKEIRNLSLPLTVSACNKMLLLYKKVYKRVARKQIACKKVADIVMLMEKENVKPSPLTYKLLIVLKWRLNDTSDMELVLDMMKANGVQPDFTTQTIVAKFYISRGLTEKAEEAIRAMEVYISKRQHSIKSLLDLYAILGRPEDVSRVWKSCREPRLDEYLAAIEAWGKLGCNEEVEKTFEALLQASPKVTSKYYYAIQNLYAKNELLSKAKEFLERMCSSGCPSGRLIWDAVVNLYVNSGEVGKADSFLLKVEEEHQYPLLCSYKKLLKAYAEKGDIDNAGKILDRLQKRHGRRTWPYVLLLEAYVNANKAPAHRVIERMRANNVPSETMNKLLRNASLKFLIEEGYQLSPRTLPVRFSENVLPVLRKFCSATIESLPKGKVNGEFPGQDAAGMSHIDTQTSHGGGSVRAIRKVVKKQGSKNRHGTKDNVSWPQASHYHEKELTGRAFQPYLFQIVLDTPRNVLVTVLDKWIQDGNRLEKNEVLLALFHLRKQRLYSKALQFMEWIERDKMLTLEEHDYACHLDLIARNHGIDAAQKYIERVPKPLRNEVLYESLLVNCVCLNNVQKAEEVFKEIRNLSLPLTVSACNQMLLLYKRVARTKHAIRSLLDLYAILGRPDDVARTWKSCTEPKLDDYLAAIGAWGKLGHIEKVEETYEALVQTSPKLSSKYLNAMLNVYAENKLLSKGKEFLERMCSAGCPSGPLTWDALVNLYVNSGEVEKADSFLLKVTEENPDRYPLFRSHVKLLKAYAEKGDIHNAEKIFDRLKKVRYPGRTPPYDFLLKAYVNAEVPAYGFRERMSGDNVRPKNNVMEQLKCLDNLKQFRP >Et_2B_021611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3571787:3576396:-1 gene:Et_2B_021611 transcript:Et_2B_021611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKARAHALTRPAPCLIITFVVVIFLLLGTAEAAATVPVHVGVILDLATALGKKSLLTMEMALEDFYAAHLNFTTRLSLRVRDSDRDVVTAASAELTILSLAAVDLISNEKVSVIIGPQSTLQAEFVTYLANKTNIPAISFSATGDAITKYHVPYFLRACVKDSFQSASIAAFVGAYGWKNVFIVYEDNIYGVGILPSIIGALQDVDTNVIYRSAIPASSPNNLIDEELYKP >Et_3B_029232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23265783:23271894:1 gene:Et_3B_029232 transcript:Et_3B_029232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGSKVIATSRSESIVELGTTGPIRLKHLPQEAYWYFFKVMASGRTNPDDHPELASIIAMETAADLNGSFLGANFVIRMLRANFHSQFWRKILELQRDNVQRHLLFGEQPPTLYMKKQPVYLWSMSDDSVCIKVHSCGKHYHQNEVAKIKMHDVMTGSAEAHGKFEVVVLKSCIPPCRSFIMSCEEQRHMMTEKKQPNSVVMETIVSAVLGDLLTRSISFIIDKYCRQQPDAEEIQQQLCCMLLRIQAIVEEAEGRHITSQAMLRQLQMLRVAMYQGNYLLDTVRYRIRQQESSRDKEGAGSSTLTRFSSVKRLCFSSRRMNKEFHGDGVNEVPTMLRSLHNTITDMAEFIIFLKSNPPNSREPYNKYLFMETCMFGRQTEMENIISFLLQPEAPGSNSLQVLPIIGPPRVGKSTLVEHVCHDERVRGHFSSIILCRGDPTAPEASRVVKNQTHGSHGSSLIVMELADDLVRDERQCRELLTSRSYMPPGSKVIVTSRSENIAKLGTAGVVKLNFLPHEAYWYFFKVMAFGSTNPEEHPELASIAMELAAELDGSFLAANIISSLLRADIQTRSWRKILELHRNYVERNIDIFGEHPHKLLYRKNQVAYAWCLSGISIRLKVHFCEKLYHPNEFPKMTLPDVMAGSAKAHGKFDVVACKSCIPPYINHVMSCEIEAPQDMKAKKKRPHFIVRLYSSAASRIGHGSKIIITRRPEKIVALHQDVGLRKCEPR >Et_1B_009992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17000048:17001289:-1 gene:Et_1B_009992 transcript:Et_1B_009992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQPRVGGGKVVTFEEAIIGRRRNGALSAYHDVGRGFDAGRAARPLQPQQGAVSRRRTYADGGELDVFAAERYFKGAMDGVQHRKEVDGAAVVALPAAPLEMTSARPSTVAVAKKKPAGSRASAASLRGGRLRRGDRDKKCCVQVGVLMRSCSGKRAVRVDGGAAKEEENKEGRPCAGEPAASMIDWYRELRMQKAALGVVAGDGAAAGLPPSLSHLGHGTAKVAAIGREVILEEKAAEFTSSSSRATAPPASGGRVGVAAGEHEDDDDGAGSESSSDLFEIKSLMIDDCPYEPSEASIQWSVATASAADATSERVSARWIGGGGRGSGGRPHRERPAGLLSGCVSHRAVDVSAAPTNAPRRRGEGFQKARNRA >Et_3B_031745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9551433:9552201:1 gene:Et_3B_031745 transcript:Et_3B_031745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRVGGAASEASIVGVGSGPSSADLTELMKAQIASHPRYPSLLSAYIECRKVGAPPEVASLLEEVSRERRAGGGGAGAGEIGVDPELDEFMDTYCRVLVRYKEELSRPFDEAASFLSSIQAQLSTLCSGGSSPAATATHSGNETNQFSTTQLHI >Et_9A_062939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7034195:7036922:1 gene:Et_9A_062939 transcript:Et_9A_062939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGFRRHVGQFLGNGNELMAASLSTVSCADEMAKAEGDGCRDDEAALQLKTVAMAAILVAGVLGVGLPLAGRKRRALRTDSAAFVAAKAFAAGVILATGFVHMLHDAEHALSSPCLPATPWRRFPFPGFVAMGAALATLVLDFLATRFYESKHRDEAAHVKAAAAAALAASSASDEDITVVTVVEDDHKAPLLQTNCHPHSHAHDHNHHLAHSHELSQLEGSDREVSEHVRSVVVSQILEMGIVSHSVIIGLSLGVSQSPCTIRPLVAALSFHQFFEGFALGGCIAQAQFKTLSAALMACFFAITTPAGIAAGAGLASFYNPNSPPRALVVEGILDSISAGILIYMSLVDLIAADFLGGKMTGSLRQQVMAYITLFLGALSMSSLAIWA >Et_9B_064912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19785618:19789984:1 gene:Et_9B_064912 transcript:Et_9B_064912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKAAAKSKRSHTVHGRRAHQTPAAAAAHKQKRAAAASSGPRRPNLPSNWDRYDAEGEAEDPAGAAEWTGEAAPRSKGADFGFLLEQARAQPREARDISAPLLPSQDSPFDFMQASTSMLEAKGEEILSWCDDDNFILEDDLAPNFEVPFFSMDLQALAKQLLKVKLSQRLFIEEHLLPEDLAGASEDNEVLTECDTASKTDAKSSPVHHNLKNIQPREDVTHHEHARRTYSENQTETEDKSQCLEQKASTSPKISAEVAQSDGEEDKRYKRITDTVPGTSHREELKFEVASAEEELDMLLNSFSSTHLSVSNLDGPFGHNSAMQGMKSSESSEKVTSTISSKPLVLSPVDDDLDDLLSETSLSFQKEGFTASNITSQPTLKSDHNIELKYVKKIDVTSIDDSVDELLEDTSSCLNEQKQTTSVALGENNTSSGSAPLSGPSNDHLGSKGGTSSVEKSPLQNWSSDGSLLEIFIRFGNKSAYEFL >Et_8B_059455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18001923:18008946:-1 gene:Et_8B_059455 transcript:Et_8B_059455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERREEKVIIRSCRLSNEVARIGKEGAAGGGRCKSCWDTGSIARGWRSTDTIPHGEGDASLRSLSRFLIKDCYLQGMELQQYRRAPPASRIWPRATTTSHRRGTVPRRRRHWDLWRSASGNSSALGLGYSGSKDPPLGVGWFVGFLTSKIELDFFSLFSLVVKDRLAEASVRLRQNGSDSSAQIGGSFFRGFNDFDSPKMACCFICGTDMKQNIGCEGVNKVKVFSYNEMRKATHGFSGASKIGEGGFGSVFRGRLKDGTIVAVKVLSANSRQGIREFINELAAISDIVHENLITLIGCCAEGSHRILVYNYLENNSLAYTLLGSGRSNIRFNWRARIKIAVGVARGLAYLHEEVRPPIIHRDIKASNILLDKDLTPKISDFGMARLLPPNATHVSTRVAGTIGYLAPEYALRGQVTKKSDIYSFGVLLLEIVSGRCNHNARLPSEDQFLLERTWTNYEQGKLEEVVDIDIGDDLDMEEACRFVKVGLLCTQDAMKLRPNMTNVVQMLIGEKSVSMEKVVKPALKANNEQRPTDALSPATKSFATTDLSASSE >Et_8B_060224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7700990:7704935:1 gene:Et_8B_060224 transcript:Et_8B_060224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKAIDDSEPGPAPSRGVDRFGFIKPEQSSNSPEGISKSRSLHEREKEERRIRKWRKMIGVGGSDWKHYVRRNPHVVKRRVRKGIPDCLRGLVWQLISGSRDLLLMNPGVYETLVIYETSASELEIIRDISRTFPSHIFFQQRHGPGQRSLYNILKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLFQFEKLVQEHMPKLGQHFIEEMINPSMYASQWFITVFSYSFPFHLTLRVWDVFLYEGIKVVFQVGLALLRFCHDDLVSSRLEELQKEYQKKMEGSNESSSNKRLQPLKSKTMARAISRVVSNATLDKK >Et_2A_018410.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27048872:27050002:-1 gene:Et_2A_018410 transcript:Et_2A_018410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEVDEAHTRPPRDDLKSLAVAAATTAATLVSILCCLSLLLYPAPSYTLPASLVYPSFAESAVKRAATITAVVSSDDYTATAALGNGTFGRVVQARHRDDDDVVAIKSLKGSDANRSYDAGAAEVLTEARILAVAGGHPSVVALRAIAVHPSTGELSLVMEHAGHTLYRELYLHRGGDPFPERDARRVMRRLLAGVEHLHSRGVVHRDIKPENVLVPDGDADYELTSVKICDLGIAVPADAAKKGRAGTLNYMAPEVLAGKERYSEKVDMWSLGAVMAEILGGTPLFDVEKEDDAAQLRVIFDVLGPPCPGHNDKVPTSRLRYFFPKYRLSDEGFDVLKGLLSCDADRRLSATNALRLPWFADDVGEAPSVHSR >Et_7A_050887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11990428:11990950:1 gene:Et_7A_050887 transcript:Et_7A_050887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRESVLLLAPARMLDRVQDKLLHGRFFNDSSKLILLFADTHNLQCNITELYLQAWPEIYSPCF >Et_2A_015201.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4718779:4718973:1 gene:Et_2A_015201 transcript:Et_2A_015201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLLWIGGCGRERGCRRRDAKVFDTFVILVAWKLGRKETKCTSQFQAVQPVALAQEIIDEAAV >Et_10A_002344.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:9839010:9840653:-1 gene:Et_10A_002344 transcript:Et_10A_002344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPLPPLLAGLTRRATTPAAARQLHAQLLLRGLPLPARAAVALIASSPCPRHAHAVFDIAVVTEPDNVYLWTATIAAYARHASTVAAESLVLFRLMLRRGPRPNAFTATSVIKCCSTLRAVREGLQVHAFVGKAGLGRYAHVGGALLDMYGNLGRVGDARRLFDEMPVRNVVQGNTMVACYVRAGDVEAAREVFDGMAERDPISWNTLMSAYLSRGEACVVMELFDQMPERNVNSWNMMISACSAEGSWADAIGTFNQMRSAGFQPDPMTMAVLMSACAQLGSLSVARQVHGFLKKGCVEMNCHVKNALTDMYAKCGSVSQAHILFLETHPKDTVSYNVMIVALAHHGLGRHSLELFNKMAKEGLQPDQVTFLGVLSACAHAGLVHEGKRYFEAMRTTYAIEQSPDHYACMVDLYGRAGLIEEARCLVQTMPIKPHAGVWGALLNACRKHCHVEVGKIAARELVKIEPRNPGNYVLLANTLARNMRWDAVETIWQSMRGKGIDKTAGCSWVDVDSVVHEFLMGDFSHPNCDEIYGVLEHLYLELT >Et_2A_014495.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:16583520:16583910:-1 gene:Et_2A_014495 transcript:Et_2A_014495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCQPHQPRRRRLARRWTSSTRRWNSSTASSTSKATSLVSRSQSRSSSEARPRRWRSAPRTSACR >Et_4B_036668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10154692:10156085:-1 gene:Et_4B_036668 transcript:Et_4B_036668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQDRREATAEANARRAAEELARARDERVAQAEVDARTAADEIARARADRGTAADYNRGGGGGILEGAKNFMSAVGRTFGGATDAAADDKTAHATGDKMAEYRDYTADKARETNDSVARKTSETAEATRNKLGEYKDAAVEKARETKDAVAQKAGEATEATKNKMAEMRDGAAQKAYEAKDATARKAYETKDATRETAQETRDRAGAHDVDRYVHMRRCKHIVDARSFFTSQGPGLFGALGNVTGAIKDKLTMGGGGTQQHHETASSRLYRTCNSWGCRVTLFHSVRGREPL >Et_9A_061899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17628177:17640222:1 gene:Et_9A_061899 transcript:Et_9A_061899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVVALIAGALYLPGAPLNRPVSRNVVADGHYYLKCHVFTRAAWESLVATALGITSYIMLTRAPGQAAAAAPNTTAATGTEQKPGGPYPPDQGMETGAIIVSVVVGLFGVASAILGFVAEAKKLTPHDIDLSRSDCVYPANPAFALAACALLLLVVAQIIASAAGGCCGCCRPKTGASTTYRVVGIVASVLSWVAALIAGASYQQGAAWNAATTRHPVQGRCYILKGGVFTRAAVLSLVAAALGIVSYILLTREPAPADTLTTAAAGTHPKASDGLNPPAVGLPQWPAQGHGQAPNPHHTEGVVVTGEIVLSVAVALLGVASAVLGFIAEATKLTPDDINVSRGGCVYPATPAFPLALCAVLLLLIAQLIASFAGGCCGCCKRHTGASRSRRLIGILASVFSWIAALIAASFFLQGAAWNAPATRDARNGCYFLKSGVFTRAAMLSLVAAALGIVSYLMLTRPARASSMAAGAGPKPGGPYPPSSVGMPQWPAQGQQGYGQAAQGPYPPPAQQGYGQAPHQQQFGQAQPYPPPAQEYGQAPNPQFAPPGQGHAHV >Et_1A_005442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10443411:10447052:1 gene:Et_1A_005442 transcript:Et_1A_005442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPASASSCGEGVNPSPPAAAAAAVAVGAGSAGAAPWPRRHCRDVFWLVVFLLHLLVFGAALALFGLNRFRQADRLNMGRFTNQTALPWGTTAGAPEPAPAPPPPSVYRAEDPSAPASELTETYWKYYGAAGAVGAALAWAWLATAAARKDGGKVLMRTAVHSLTAYLAVVSVLCFWGKHFFWGVALGVGAGLHFLYVMSVLDRFPFTLLVLQKAVRMVWELPDVMRVAYAFVLVMLCWMALWSFGVSGIVAFGIPNGGQWWLLLIFSVSLFWTGAVLSNTVHVIVSGMVFLALIHGGPAAASMPPKPLLKSLQHAVTTSFGSICYGSLFTAAIRTLRWEIRGIRSKIGSNECLLCCIDFVFHIVETLVRFFNKYAYVQIAINGQSFNRSARDAWELFQSTGIEALVAYDCSGAVLLMSIILGGLITGTCTGVWVYFKQSDKAVMVGSTSMLMGMILVGLTVVVVESAVTSIYICYAEDPLLIQRWDPEFFEQISEALHQRLQYRSSRARRVLNGRLDHLPHSSSI >Et_1B_011161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18156820:18161181:1 gene:Et_1B_011161 transcript:Et_1B_011161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSAYATIGTLLPTAFLATVFWRLCRRRPPAAGRSDVAESSKSKVARVTDPGDGPATDVIVVGAGVAGSALAYVLGKDGRRVRVIERELSEPHRIVGELLQPGGYLKLIELGLQDCVEEIDAQRVFGLALFKDGNNTKLPYPLENFHSDVSGRSFHNGRFIRRMREKASSLPNVKLEQGTVVSLVEESGTIRGVRYKTKSGESLEALAPLTIVCDGCFSNLRRALCSPKVDVSSSFVGLILENCQLPFPNHGHIIMANPSPILFYPISSTELRCLVDIPGQKVPSIASGMTVALSDIVVLRNLLRPLQNFHDSSSLCEYLESFYTLRKPVASTINTLAGALYKVFCTSSDAARNEMRQACFDYLSLGVVFSGGPISLLSGLNPCPLSLVLHFFAVAIYGAGRLMMPIPSPKRMWISIRLISGACGIIIPIIKAEGIRQMFFPATVRAYYRAPPLAS >Et_8B_058697.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:16926106:16926540:1 gene:Et_8B_058697 transcript:Et_8B_058697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VTVRKTLLFLVRLRLGASLPANDTDARVNTLLDELSLRRVAGTTPPGSRISPAAGVHRHGCRPRPGGADRSWTYEPISGLDSASIGAPDRGRRRAGGRTVVLSIHRAGARVLKMFAAVLLTGGTVDQLLSMPPHVNFFLFLKPF >Et_5B_044938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7505460:7507466:1 gene:Et_5B_044938 transcript:Et_5B_044938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFSLRLVPRLAAPPPGRRMGGGGGGGGGAAGSRALVSKKPNKQHHLWIRKETAGSGKKALRLVDTVSKLPNERETIYGALDKWSAFEPEFPTIAAAKALGMLKRRRQWLRIIQVTKWLMSKGQVLTWTTYDTLLMALFMDGRIDEAESIWSTIIQTHTRSVPKRLFSRMILMYDICNRPDKVLEIYADMEELGVRPDEDTTRRIGKAFASLGQEDKQQFVQGKYLKKWKYIHFNGERVRVRRDGPLA >Et_9B_063852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17494238:17496118:-1 gene:Et_9B_063852 transcript:Et_9B_063852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKKEKETEKKALDILAGSDDEPEAGAEDLSKIQINEEYARRFEHNKRRELMQRHEERKKRGLVADSDDEEEESEEDDEEEDEDVIASRRDEDGEEEEPKQGKAKKEKPLYLKDVNARHLLEEGPEFGEQSTRSSKYDRIAYDEHQKKGLQAFLEAQKEVSAVDDEDDFFHEKPKDRAGDEDEEEDEEEKQTKELAGEIFGKDEELDENDKFLKDFFLKRPYLEKENKSYLDDIHELSEEEELEKQEQYEASYNFRHEEAAAAGDVVPDRVLGHSRVVEGSVRKKESSRKQQRKSKEERLARAKQEQAEELKQLKNLKKKEIAEKLERIRMIAGIGGDAACKKMQEMFNDSYYEADDVDPEFGNGEEMDLDKPDFDKEDELLGLPKGWAIDQSEEGSSAADEKAPKAKKISLKDKVELEKEMEEYYKLDYEDTIGDLKTRFKYKQVQPNSFGLSTVEILQSDDRDLNQYVSMKKLAPYRESEWKVTHHKKLGKDLILGGQKKEGKDKTGKKSKSEEGPSSSGPEKDKLPNEQEETAVKRKSSRSERRKKRKKDLKMSADRRGAYGMTDSKRQKTH >Et_1B_010670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12962572:12966039:1 gene:Et_1B_010670 transcript:Et_1B_010670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLATVLASSPIRSPDRGAVTAGTTHSTSVPRSRRDRSKKLTPEPTAPPYLLPRSSQGTWLVPCLHALVAAALALSLSDPLLPPAAHASSSVGRRSPGDAAAYPCEDVQRYYAGLEGLAGDELRTKLAAVVSPHAALRYEDVWDALKILDAADPEHPEASSEVIEIYAQRAVPKLLAGKPDGWNREHLWPRSYGLTSGPSLTDLHNLRPADVNVNSSRGNKYYGECTATSLRCVRPANHEAAPDTETDNEKWAPPLQVRGDVARSLMYMAVSYGYGQKDGTPHLELSDSPSIQRRNMGLLSALLQWNELDPPSRSEQLRNNRVCSLYQHNRNPFIDHPEYANLIWGGPPTKSSSSIGKPQMAWVNEFHYENKGKDNNEFVELVIHASLDAKDLMLVLYNGTNGSIYRSINLADREAITVIEGGSGYLLYTVFTPLQNGPADGIALVYCRDTSKAEVLEFLSYEGSLRARDGPAKGMVSTDIMLKETDQSSDQDSLGLTGLKVGEFVWRKMAKNGTPGKLNAGQMF >Et_9A_063559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7433852:7435639:1 gene:Et_9A_063559 transcript:Et_9A_063559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSHAVIVIVSLILLASSQSLIHARMMPGDLQLVHAGETGATSSSTPQDSHKQVFMAPPALSRKLEIAAVDRRQIIQVDGSSPEISFLRVASKLLTVYLQDRKRFLHVKLVVGL >Et_1A_008128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4633429:4635126:1 gene:Et_1A_008128 transcript:Et_1A_008128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYQNVVGGRLKLKGKALDVKEGGVKKKKKKKQNREESSQIGHDELHEGGSSELPTDPNNELIEADKIAEEEREQEERERHPDYDHLTPAERRYMEQKQKIDMQKLAKVANKSHRDRIQDFNQYLANLSEHYDIPKVGPG >Et_5A_041237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:235660:239985:-1 gene:Et_5A_041237 transcript:Et_5A_041237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLRLLRRGLLSMLPFKRSADEGAPQSAKRAKLTDAANRNGAPPPEIDEDLHSRQLAVYGRETMRRLFASDVLVSGLNGLGAEIAKNLALAGVKSVTLHDVKNVDMWDLCGNFFLSEQDIGNNRAAACVSKLQELNNAVLVSALTEELTKDHLSRFQAVVFTDISLDKAYEFDDYCRSHQPPISFIKAEVCGLFGSVFCDFGPEFTVLDVDGEDPHTGIIASISNDNPAMVSCVDDERLEFQDGDLVVFSEVQGMSELNDGKPRKIKNARPFSFTIEEDTSSYGTYARGGIVTQVKEPKVLRFKALRDAMRDPGDFLLSDFFKFERSPVLHLAFQALDKFKKDHGRYPAAGCEQDAKIFLKFASDINEASVDSKLERLDEKLLKHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPTYPLDPSELKPSNSRYDAQISVFGSKLQKKLQDANIFIVGSGALGCEFLKNLALMGVSCDSKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAAAAAGAINPNIRIDALQNRACPDTENVFHDTFWEGLDVVINALDNVTARMYMDMRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKHAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTLNEVNSFMSNPSQYAAAMRKAGDAQARELLERVSECLGKERCNTFEDCITWARLKFEDYFSSRVKQLTFTFPEDAATSTGAPFWSAPKRFPLPLQFSATDSSHIHFIMSASILRAESFGIVIPDWAKNTTKLVDAVNKVAVPQFEPKKGVNIVTDEKATNLSSASVDDASVIDDLLAKLEECAKNLPPGFQMKPIQFEKDDDSNFHMDLISGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVIAGQHPIEDYRNTFANLALPLFSMAEPVPAKVMKHQDLKWTVWDRWSIKGNLTIAELLRWFSDKGLTAYSISCGTSLLYNSMFARHKERLQKKVVDVAREVAKVEVPEYRRHIDIVVACEDDDEKDIDIPLVSVYFR >Et_1A_006046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17019795:17030360:-1 gene:Et_1A_006046 transcript:Et_1A_006046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAARWAGAAALLALVLSAAGQAEPVVVASYGQGRLWLKPYDWTYLRVELPASFSSVTMNFDTDMDIQREQLKGLPRSELAIICLMNSNPPIPDISDSYLDTLLSNFLTAGPFGNIINQSNKAHCVPFQKNTTVVLTNDQIPAGVWYIGYFHGLGPARTQSKMISRGKARLVSTSIFVKGCPTSALWGPYCNQTVEMIGCSQPSIYNNSRNLLDLSVEKSTNLNTREHSRRTNILSHRNHLVEKDIGSNTTVFGRMENLITCAISNDSLCLRQGDMKFYFLDVVSLTLQFEIMAKNGRVQGLSLICYLRYNAFPQRDLHDYSGDISHDHLVVKSPNIGRWYIAVETVNKTQMNNTASAPMLDTGCFSLEWQLTRCSNGKAGTNCSWEAYGLQRVPKRSPSVPFESYYVLADGRTSLEDSHFLLEQFLSNSSIEQFAWTYFFLDVPQGSAGALIHVQLKSDKELNYELYLKYGGLPSNESWDYYASRTSSSNGSIFFSLQNSTNSDMDLSILYAKEGTWCLGVKHPSYIANSQTYISVSLQGCHSNCNQKGACHSSVDESGLTFYSFCTCDRDHGGFDCSDELVSPNGHIWQSVFLIASNAAAIFPAFWALRQKAFAEWILYTSSGISSALYHSCDVGTWCILSFRVLQFLDFWLSFMAVVGTFIYMATIDEASKRGMHTAVFILTALLAATGATRSANIGIVIAIGSLGLLMGWLLEFSTARRFLCWSWRTNLNVPQRWPNFRALLQKTLEILNKRFRWLYLLLGFITLSFAATSWKLESNSSYWVWHSLWHITIYTSSFFFLCSMRVNTMNHSPEPNYELTRQDSLPRSESRETPT >Et_1B_013416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8271465:8280818:1 gene:Et_1B_013416 transcript:Et_1B_013416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNTPAAAAAIAAAATTDDPSPSPSESVLETFTVERRGDASASCRWTLPDFPRTRARTFYSRYFEVGGFDCRLLLYPRGDTQALPGHLSLYLQVLDPKTPVSSSSSTTTTSSSKWDCFLSYRLSVVHPTDPAKSMGRDSWHRFSSKKRSHGWCDFAPSYSSAFLFQPHDALVIAADISVLSETSSFADADGRFTWKVLNFGLFREMIRTQKIMSPAFFPAAAVAGGSDCGLRISIYQSNVSGSDHLSVCLESKDSVVQAASGSSVQAAAASSAGSGVPDGDRGCWCIFRVSILNQRSGGSHIHKDSYGRFGADNASLGWGDYIKMDEFLAADSGYLVDGAVMFSASVHVIKESNSFTRSLPMVTGIGGAGVGRAGARKSDGHYGKFVWRIESFTKLKELLKKRKITGLCIKSRRFQVGNRDCRLIVYPRGQSQPPCHLSVFLEVTDPRSTTSEWSCFVSHRLSVINQKAEEKSIMKESQNRYSKSAKDWGWREFVTLTSLFDQDAGFLVQDTVVFSAEVLILKETATMQDHSGEDPEICSSSSGCQIEASPKRPSFTWKVENFLSFKDIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSSGSDPDKNFWVHYKMAIVNQKNSAKTVWKESSICTKTWNNSVLQFMKVSDMLDTDAGFLVRDTVVFVCDIIDCCPWFDFSDLEVLASDDDRDELSTDPDELIDSEDSEDMSGDEEDMFRNLLSRAGFSVTYGDNYTQPQVTLREKILTDASAIAGFLTGLRVYLDNPAKAKRMLLPTKVSTKSGGKKDASKPDSSSTSLISLLMGVSALKQAIIDLLLDIMVECCQPSEERSTYGSSASTKTSPDSNGASSPPELSVEGELTDCACSNVYETAEPDSDVIRDNPASQDADLAINDITANTMEHSCFPPETSSTDLPADEDSELASRSKWPEQSEELLGLIVDSLRALDSAVPHGCSEPRRRPQALQKIALVLEKSPKQFQPDLIALVPKLIDVSEHSSAACALLDHLQKPDAEPSLRLPVFGALSELEFESDIWKRASVHALELLSDSNDESLVAAITYVLKVASQCQHLSEAVKSVRWRLKDLGTEVPHCVLDLLSKTIQSQPDVAETILKDIDSDCEPDSDCISSSSSSCTTDGLSAEGMHSWQEQAVHGRNHLSDVFVLVEMLSIPRLLVEVAQIFERALLRGTFSLQLVAMVLERRHSHRSSLKSGSVVNDSQGKQVLLDGQFEPLAVQEDDFTSVLALGEVLSLSRETKIQDFVRMLYAIMYKIYADDHYRYRILKGLIERATNTSDNCRAVDIDMDVLVFLVKEEYGIARPMLNMMREVAEVAQADRANLWHQICATEDENIRLREEMEMEQTNFTNEKAALNQRLTESEATIARLRSELKAERDRFTREKKKLSDQTVEMEKQVEWVRSEKDEQISKLSADKKSLQHRLNDAESQLSMVKARKREELKKLTKEKNTVAERLKSAEASRKRFDEELKRYAAETLTREEIRKSLENEVRRLTQTVGQTEGEKKEKEEQVVRCEAYIDGMESKLQVCQQYIRTLETSLQDEMARHAPLYGVGVEALSLGELEALANIHEQSLRQIHAIQQRKDSSHFLGGTSLAHIPGLFSSPPSVAVGRPSSLIPTSPIAPNGAGICGNGRVNGAVNPWFNPT >Et_10B_004347.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4531232:4532896:-1 gene:Et_10B_004347 transcript:Et_10B_004347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCPGPAVIRAGRCLLSLAFPACFVVWTLLFLAPLPPLPDDLAAAGRRGSFTVSVGENIVGTVGRWDDDAPPATGSEEVVGTSTSPEPEREEVVDMPPPEEPQARAEPPPPPLPSPPPRPEPAREEEPEETTDPCAGRYIHILDLPSRFNADLLRDCRALSRWGDMCRYVANDGMGEPLAVNATGGGGGVLAPPTGCWYDTHQWMLEPIFHARMLRYGCLTRDPARADAVYVPYYAGLDVGRHLFGPFGNAARDALAEDLARWLRSSSSSAAAWRARGGRDHFLVCGRIEWDLRRAEGGQWGSRLLHLPEARNMTVTVIETAWGGGGGGVAASLMYPTCFHPSRDGELGAWQREVRRARRPRLFAFAGAPRPGGGDAGALRDALMAQCARSPKCELMACGGAAGTRNDCSAPGNVMRLFKSARFCLQPPGDSYTRRSAFDALLAGCVPVFFHPMSAHAQYAWHLPPDHASYSVLVPGDAVRNGSVRVEDVLRRFGPEQVAAMREQVIRLIPRVIYKDPRAGPGGFRDAVDIAVDGVLERVARIKRGLPPREE >Et_5A_041245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2014502:2016154:-1 gene:Et_5A_041245 transcript:Et_5A_041245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTYIDDCHAEVIDPPKNEEMLDVTELIGDHIQHSPKPNVTSYGNVRELLECPVCLSAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCLGIYPYYCKLKHESQCQYRPYNCPYAGSECTVAGDIAYLVNHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVRGCGRKMTWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKL >Et_3B_029271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23653554:23657603:1 gene:Et_3B_029271 transcript:Et_3B_029271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFEAWEGVQRHGQDLADRLAQGFTGLLHAPPQFPWPPVAHKRMPFEIDLPVVPFGAGRGGGAGKEFPFPAAAVSSVIDIGGRLGQAGVEIGASVGGAVQHVVRQLPLPVPAAVDRCPLEAAAAAAAAATGSAAASSVSGVAGGDDLDEDDEGFGCEIGTFGNFKKAKGTVNVSATYSTRSQDIESSVVARGDLWRLEASRSGSTSGNDTSPLYLVQLGPLLFVRDSTLLLPVHLSKQHLLWYGYDRKNGVHSLCPAIWSKHRRWLMMSMMCLNPVTCSFMDLQFPNGQLTYVAGEGISASGFLPLFGGLLQAQGKYPGESRVSFSCKNKHGTRFTPMFQWPDKSVSLGVTQALAWKRSGLMVRPSVQVSVCPTFGGSDPGVRAEVAHSLKEELNLMCGVSCSRHPSAFTALAIGRSKWNGQLGSSGVVITLETPLNNIGRPSLSVQLNGGFEL >Et_7A_050427.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14861125:14861415:-1 gene:Et_7A_050427 transcript:Et_7A_050427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHGRRKVHVVRLGGGGARAHDKARGGRGLRLRRWLSRAVWRLAELCVAALSSPGGGHPAVPASSSPPPWSGIEPCFAAPFVPVATIVKRARPQD >Et_5A_042682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25770473:25776217:-1 gene:Et_5A_042682 transcript:Et_5A_042682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATAVRFQAAAGARHVATTRRARLAVFRAQSAPAATALTQDDLKRLAAVRAVEQVQSGMVLGLGTGSTAAFAVAEIGALLAAGKLSGIVGVPTSKRTYEQAKSLGIPLSTLDDHPRIDLAIDGSDEVDPDLNLVKGRGGALLREKMVEAASDKFIVIVDETKLVSGLGGSGLAMPVEVVQFCWKYNLVRLQELFKEEGVEAKLRLEGDKPYVTDNSNYIVDLYFKTPIKDALAAGKEISALEGVVEHGLFLDMASSVIIAGSDGVSVKTKLESSHLIDAAAWTSKELSQHDSTKRSKSEDRQMNMVKSHTKRPYIRLGTVWNISQKFRAHDR >Et_2B_021442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29751579:29753501:1 gene:Et_2B_021442 transcript:Et_2B_021442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVDLPATASVAADGKSRPECINSSNPFHECSDYCLRKIAEARQRLDDEVPDSWKRPPEERTVHPDCINASNPYHDCSEYCFKRIADAKAGSERAEQEPPAIDAGKSDAADQQSDDNEAEKPDGYPQMTEKQKKLFELQLKMNEARKANQQAMVAEKTRMEPRGESRGVSKQKWLEDRKKKIGKLLDSNGLDMSKAYMLDTQETAEAKYKKWEKEPAPHGWDVFNQKTLYDAYKKRTKNIEIDMEAYNKAKESDPEFYRDASSLQYGKVSKVPEENIDKMVKELKEREEKRKSFSRRRKFNEDKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >Et_3B_030132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30754713:30759817:-1 gene:Et_3B_030132 transcript:Et_3B_030132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDAKPASPAAADPPADDAKDAEPEPETNAEAEAEGGEKQEEQKTPQQPEKKRGRRKKGEAQADKKTPPPKKATPGIERPSRERKTVERYSELAPRVTTVKKSPAILQGSGTKLKDIPNVSFKLSKRKADENLQSLHTLMYGKKSNVHFLKRNIFQFSGFVWTDNQEKHRNRIKDKLDKFNKEKLLDFCDILDIHVSKATTKKEEVSTKLLEFLESPCITRDVVLTDDKKKRRRRSKGNGEVATEGAPSEKERKRGQKEAAEVEKDNGDEDDAGSEDASMEEGDGDAEVNDHAVSDEESDEPPAKKKSTEVKQEKKEAGSKAKEKDTPKKSSTKPAKGASKASEDIKDEPDVESKKVGKRAKTSKESDVPVDSNKGNKKFSKSKKDETQNSKAGVKDGAKLSNKSKGKGKSGADAGSAPTTEQLHAVISTILKEVDFNTATLADILRQLGTHFKMDLMDRKAEVKRIIEEVINSMSDDEDGDDNSEDEAEGNGTAENSKDDPKGGEEK >Et_2A_017256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32548740:32552370:1 gene:Et_2A_017256 transcript:Et_2A_017256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQPRLFVAVAAVVVLLLLMVRAGAALSPDGKALLSLLPSAPSPVLPSWDPKASTPCSWQGVTCSPQSRVVSLSLPNTFLNLSSLPPSLASLSSLQLLNLSTCNISGAIPPSYTSLSALRVLDLSSNALFGDIPGELGALSGLQFLLLNSNRLTGGIPRSLANLSALQVLCVQDNLLNGTIPSSLGALAALQQFRVGGNPALSGPIPPSLGALSNLTVFGAAATALSGPIPEELGSLVNLQTLALYDTSVSGSIPAALGGCVELRNLYLHMNKLTGPIPPELGRLQKLTSLLLWGNALSGMVPPELSNCSALVVLDLSGNRLTGEVPGELGRLAALEQLHLSDNQLTGRIPPELSKLSTLTALQLDKNGFTGAIPPQLGELKALQVLFLWGNELSGAIPPSLGNCTELYALDLSKNRLTGGIPDEVFGLQKLSKLLLLGNALSGPLPPSVADCVSLVRLRLGENLLAGEIPREIGKLQNLVFLDLYSNRFTGTLPAELANITVLELLDVHNNSFSGGIPPQFGELMNLEQLDLSMNNLTGEIPPSFGNFSYLNKLILSGNNLSGPLPKSIRNLQKLTMLDLSNNSFSGPIPPEIGALSSLSISLDLSSNSFAGELPDEMSGLTQLQSLNLASNGLYGSISVLSALTSLTSLNISNNNFSGAIPVTPFFKTLSSSSYIGNDHLCESYDGHTCASDMVRRSALKTVKTVILVCAVLGSVTLLLVVVWLLINRNRKFAGEKSMSLSGAGGDDFSNPWTFTPFQKLNFSIDNILACLKDENVIGKGCSGVVYRAEMPNGEIIAVKKLWKAGKDEPIDAFAAEIQILGHIRHRNIVKLLGYCSNRSTKLLLYNYIPNGNLLELLKENRSLDWDTRYKIAVGTAQGLAYLHHDCVPAILHRDVKCNNILLDSKYEAYLADFGLAKLMNSTNYHHAMSRIAGSYGYIAPEYGYTSNITEKSDVYSYGVVLLEILSGRSAIEPMVGDSLHIVEWAKKKMGSYEPAVNILDPKLRGMPDQLVQEMLQTLGIAVFCVNAAPAERPTMKEVVALLKEVKSPPEEWAKTSQQPLIKPGSQQG >Et_6B_048810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1455366:1456888:1 gene:Et_6B_048810 transcript:Et_6B_048810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGPKWTGIGPERETGVPLTISDISPRPPTPRHLGLLGTRAPTTQHDLLLRRKNYTSNSSAAALCPCLPSQAVVTGTAYRAAAAAAAAYRTGSLQGSGGVHHLISYLKMALFSNSNTTSFRMPPLFDDIPQDQDPHVASRSFERVICELGFVSSIIVGVCIQRYGIINQTNPMADIAKMIELVAGAWTCGENTAYLFYDLIFAPKY >Et_6B_049214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:270811:275799:1 gene:Et_6B_049214 transcript:Et_6B_049214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKVQRSIVIPTQPSEEVPFTVFDLVAPPAPLRLHRAQPHQRRPPRRARRHPAALPAPHRPHRPSLQPPVLRHGQRRRGRTRRGGRGGHAARAVAVPGAPARDASSGNPPDQPVIRVAPSEITNLTLHYTREFVAGLKAAAGHGKYTTFETVSAHLWRKITAARLRLRITPPDVAERVRERGWAPPPCRAGSSATSTDKAGPTTAGDLVRGSLADAAAKVRAGVRAVDRRYFQSFIDFGALHLHGGEEEEELEPNVADEDYALLPDVAADSWLHLDLHKLDFGRGGRLVGVLPGTVPLDGVLVLMPDLQKTPACQMLYRMLFVYRHAVIAAFRDPVFFNKQDESEAASVSLDKLFHLVSPKVVESIRLVKIVQFHTAAAECLLELSKLYRDFPLIDRREAKFEDDLTELCESEKSEQAKALLKQCLAILKNLPGVADGFYNNVPKGTTQVWIKSYLNVSVSFPFNCMSA >Et_1B_010523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11210190:11211589:-1 gene:Et_1B_010523 transcript:Et_1B_010523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLALVYRQLQAPPPKIPGTPGGPPVTSPRIRLRDGRHLAYYESGVPKDQAKHKVIFVHGFDSCRYDVLRVSPELAQELGIYLLSFDRPGYGESDPHPGRTVDSIAFDIEELADGLELGDKFYLTGFSMGGEITWSCLKNIPHRLSGVAILGPVGNYWWSGFPANVSRAAWNVQIPQDQWAVRVAHYAPWLTYWWNTQKFFPASSVISFNPAIISREDMAIIPKFATRPYAYQVRQQGEHESLHRDMMVGFGKWSWSPLEMENPFPNGEAKVVLWHGAEDLIVPVELSRYIAETLPWVQYHELPTAGHLFPVYDGMADVILKSLLLGDE >Et_7B_055312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9256974:9259612:1 gene:Et_7B_055312 transcript:Et_7B_055312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRRGAALAARSIRAAAVSSASTTVHRLPAGGSLAGAGEHAPAQPFLLEARRGFAKGKKSKDDGRGDTVQSAPDIGPTVKSAATAQMDAAVVALSRELSKLRTGRATPGMLDHIMVETADVKVGLNRIAVVSVLDAHTLSVMPYDPSSMKSIENAIISSPLGINPRPDGNRIIASIPPLTKENIQALCKVVTKSGEEFKQSIRRARQKALDTIKKSSSSMPKDDVKRLEKEVEEVTKKFIKSADDMCKAKEKEISGS >Et_1B_010666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12887022:12893201:1 gene:Et_1B_010666 transcript:Et_1B_010666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPVPGERRRRQSSAQMGLRGLRFLDGSSGGQEGWNAAQRRFDEMNNGGRLHKESFGKCIGMGESREFAEELFVAMARQRNIEPEDGITKEQLKEFWEEMTDQNFDSRLGIFFSMCDKNGDGCLTEDEVKEVIILSASANKLTKLKAHAATYASLIMEELDPDDRGYIEIWQVETLLRGMGGGQVTERTTSSLAWAMIPSRYRSPLKRHFYKTVDLIHETWKRIWLVSLCLALNLGLFMYKFQQYKRRAAFQVMGYCVCVAKGAAEALKLDMAFILLPVCRNTLTRLRSTALSRVVPFDDNINLHKLLALLIALATAVHTLAHVACNFPRLTACPTDRFMAALGPSFRYKKPTYAELLLESAPGITGILMVIVMSFSFTLATHSFRRSVVKLPSPLHRLAGFNAFWYAHHLLVLSYVLLVVHPYRFLTREWYKNTTWMYLVVPVLFYACERSIRKVRENNYRVSVIKAAIYPGNVLSIHMKKPPGFKYKSGMYLFVKCTDVSSFEWYHFIVDAYMSKEQFICAYRQSSNVRRHPFSITSAPGDDYLSVHVRTLGDWTSELRSIFGKVCEAQVTSKQATLRRLETTVVADGQTEDTRFPRLLIDGPYGAPAQNYKKYDILLLIGLGIGATPFISILKDLLNNLKSNEEVESIRGSEIGSFGNNFPTRAYFYWVTREQGSFEWFRGVMNDVAESDRANVIEMHNYLTSVYEEGDARSALIAMIQSLQHAKNGVDIVSGSRIRTHFARPNWRKVFSELANVHRSSRIGVFYCGSPTLTQQLKDLSKEFSQTTTTRRSRESNSLALISVVSLVRAPKGVSRFKDYRSRD >Et_7A_052235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5910788:5913137:-1 gene:Et_7A_052235 transcript:Et_7A_052235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVNTTEEEPMLAVVRFTAELAWADAGPEVAEPEVARLCLEAQEHILAGRWVDMASLMLASADLLLKSSRCVLSVICSLVAKAGSEAEALQIAKSICSKLTHEPDDKPALRLKILFSLYNQLPSPHGKAFVYKKALELATAGKAAEYIIPSFKNIDSFVSEWGIDNLEQRELFLAVARILKDQKGMNKEYANFLNKYLATFEGAEEDTDAIGAAKEEAVAAIFEFVKSSNLFQCDLLNMPAVAQLEKDEKYGLVYELLKIFLTQRLDSYLDFQTANSTLLKDHGLVHEECITKMRLMSLLDLSSRCSGEIPYSSIIDALKINEDEVECWIVKAIALKILDCKVDQLNQTVIVSRHTERIFGMPQWQALRTKLGVWRGNIASAISTIQANKITEDRTQGMQGLMIR >Et_3A_026316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6715363:6719820:-1 gene:Et_3A_026316 transcript:Et_3A_026316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQELRKTCERFLRVVLIKYHPSGLLEGQHQMAQLLQHQESALYGNELHGRRWGILQFFGFRRRLRSTKMLSDKKHGNGKGSGGSRRQSSYAPLENEDSGIMDSEKNDEVPKKQKTSRKNSGKASLRSLILKKLYGKEGQKEKMLPVAPKLLRTISIHYLESNEYVLDGEAMTRGDGSSHGSILSIRDATNTDLQHGTSNIVGGCDNNTSSLLSLNRADNHVKRKSHRSISMDGVLHKVPYGKKVSDDVISEVLPRSASATYDRDGPRPYIGISTKRHVNQGFRRSRSLTESLESYSRLLDSIASSESKRMLTSSKSTRDRSLDGPSVMSSLPRASNSEFRSQKTLEDYLTTHDNDAAKTNVHGDKEVGMDDRSSDEINGYAENLSLPEEYISDKKYDVAAVSTELGSCNDPSPSEVVDISKDQAQTGDDNEHVYSPTGVDLCGTSSAPEEVDTLNQRAEISDVDETQSSQQVDSYLSSLDATIAEEHTTHSHDNQVHSFQNSKPIEGTFCVPDPGPEFEADISLSCEQETKSPISVLDVVFSDDPASPVKRTKLDDTLLKPTILHLNETDSDDLMGLQQSDSDDLMGLQVDPTHEDEFQYVKEVFKKSSFSSEILYDEWYSQNIITLQEADCQHYEAAAAAFNFTDMSADQLLLFDLTNEAFLDIYNKYSVCKSKLSWLSSFDRPKPVGHLVLKELWSQVSCQLDKQPQSTIEVDTILSSDLAKSDRWINFQRDADNIGNDLADFVFDKLLTELNLELAGF >Et_1A_007503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35256187:35273025:-1 gene:Et_1A_007503 transcript:Et_1A_007503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDGPPAAAKSAPVMRAFASVFMHADAADVVLMVLGLIGAMGDGLSTPVMLLITSRIFNDLGSGPDLMTQFSSKINENARNLLFLALASWVMAFLEGYCWARTAERQASRMRARYLRAVLRQDVEYFDLKVGSTSEVVTSVSNDSLVVQDVLSEKVPNFVMNCAMFLGSYAVGFALLWRLTLVALPSVLLLIIPGFMYGRILIGLARKIREQYTRPGAIAEQAISSVRTVYSFVAERSTMARFSAALEESARLGLKQGLAKGIAVGSNGITFTIWAFNVWYGSRLVMYHGYQGGTVFAVSAAIVVGGLALGSGLSNVKYFSEASSAAERVQEVIQRVPKIDSESHAGEELANVTGEVEFKNVEFCYPSRPESPIFVSFNLRVPAGRTVALVGSSGSGKSTVIALLERFYDPSGGEVTLDGVDIRRLRLKWLRSQMGLVSQEPALFATSIRENILFGKEDATDEEVVAAAKAANAHNFISQLPQGYDTQVGERGVQMSGGQKQRIAISRAILKSPKILLLDEATSALDTESERVVQEALDLASVGRTTIVVAHRLSTIRNADIIAVMQSGQVKELGSHEDLIADENGLYSSLIRLQQTRDSREAGEVSGTGSTSGMGQSSSHSMSRRFSAASRSSSARSIDDAGEDENIQKKKLPVPSFRRLLMLNAPEWKQAIMGSFSAIVFGGIQPAYAYAMGSMISVYFLTDHDEIKDKTRTYALIFVGLAVLSFLINIGQHYNFGAMGEYLTKRVREQMLEKILTFEIGWFDRDENSSGAICSQLAKDANVVRSLVGDRMALVIQTVSAVLIACTMGLVIAWRLALVMIAVQPLIIVCFYARRVLLKSMSKKSIQSQSESSKLAAEAVSNLRTITAFSSQDRILSLFEQAQDGPRKESIRQSWFAGLGLGTSMSLMTCTWALDFWYGGRLMAEHHITAKALFQTFMILVSTGRVIADAGSMTTDLAKGADAVASVFAVLDRETEIDPDNPEGYKPDKLKGEVDIRGVDFVYPSRPDVIIFKGFTLSIQPGKSTALVGQSGSGKSTIIGLIERFYDPLRGVVKIDGRDIKTYNLRALRRHIGLVSQEPTLFAGTIRENIVYGTETASEAEIENAARSANAHDFISNLKDGYDTYCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMVGRTSVVVAHRLSTIQNCDLITVLDKGIIVEKGTHASLMAKGPSGTYFDAVDVALMALGLLGAMGDGMSTPLMLVIGSRIFNDIGTGPGLIQQFGSKGYCWTRTAERQASRMRARYLKAVLRQDVEYFDLKAGSTSEVITSVSNDSLSVQDALSEKVPNFVMNVTMFVGSYAVGFALMWRLTLVALPSVLLLVVPGFLYGRMLIGIARRIREQYTRPGDIAQQAVSSARTVYSFVAERSTMARFSAALEESARLGVKQGLAKGVAIGSSGISFAIHGFNIWYGSHLVMYHGYKGGTVYAVSSEARTLTDSTPPALGSALFATTIRENILFGKEDATEEEVVAAAKAANAHSFISQLPQGYDTQVGEHGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDTSSERVVQEALDLAAMHRTTIVIAHRLSTIRNADMIAVMQSGEVKELGSHDELIAYENGLYSSLVHLQQTKDSMEADETGGTRITSAFWQLSSNSTSRRISAASRSSSARSIDSAEDDNNTEQPKLLVPSFKRLLMLNAPEWKHALTGSICAIVFGGIQPVYAYALGNMISIYFLTNHDEIKDKTRTYALLFVALAVLSFLINIGQHYNFGAMGEYLTKRVREQMLAKILTFEIGWFDRDVNSSGTICSQLAKDASVVRSLVGDRLSLVIQTVSAVLIACIMGLVIAWRLALVMIAVQPLIIVCFYSHRVLLKRMSKKSIQAQSECSKLAAEAVSNLRTITAFSSQDRILGLFEQPQDGPRKESIRQSWFAGLGLGTSMSLLRCTWALDFWYGGRLMAENLITASALLQTFMILVSTGRVIADAGSMTTDLVKGADAVASVFAVLDRETEIDPDNPDGYKPEKLNGEVDIRGVDFAYPSRPDVIIFKGFSLSIQSGKSTALVGQSGSGKSTIIGLIERFYDPLRGLVEIDGRDIKTYNLQALRRHIGLVSQEPTLFAGTIRENIVYGTETASEEEVERAARSANAHDFICNLKDGYGTLCGERGIQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSERWCKKRWTE >Et_4B_037828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23870905:23871439:-1 gene:Et_4B_037828 transcript:Et_4B_037828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRVADETPQLLSKKAACNSHGQDSSYFLGWQEYEKNPYDPVSNPNGIIQMGLAENQLSFDMLEAWLEANPDALGLRRDGASVFRELALFQDYHGMPEFKNLPLGTCLPIESPRTRTDGLVKQESPSD >Et_2A_015784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17894125:17896053:1 gene:Et_2A_015784 transcript:Et_2A_015784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPAAAVASRHLIAINELLRQCRSLQQLNQLHAHILVHGSSALASVASQLLASYCAVSSQSQNGALCYARYLFDGIPDPDRVMCNNLTRAYSNSSCPQEAIRLHCCMLRRGILPNEFTLPFVLKACTRAQVWEHALAVHAVIVKLGFVQQVFVGNALLHSYASAGLLADSRRFFDEMVDRNINVVSWTSMLCAQAKHGSVDAAREWFDRMPKRNIVSWNAMISCYVQVGRCHEALDLYNLMQSLGFTPDEVTLVAALSACGHIGDLTLGKAINSYIRDSFSPRVALVNSLMDMYAKCGQVDTAVGLFNEMHHKNTISWNVIIGGLAMHGRAQDTIMFFRSMVRDSFSPDEITFVGLLSACSHGGLLEDGQYYFEAMRYVYNVKHEIEHYACMVDLLGRRGHLEKAVDLIKDMPMKPDVVVWGALLGACRIHGNVEIGKQVIKQVLELEGISGGMFVLISNLLYETHHWEDMKRLRKLMKECATKKDMGVSSIEVDNSIHEFGVEDTRHEISTDIYAAVDQLSCHLVSLHISALQAEEFFVEE >Et_4B_039684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26467901:26470606:-1 gene:Et_4B_039684 transcript:Et_4B_039684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNCSLTRRNISWMEVEFPTKVDAIFSPLVLGLLADDVEDGVDELGALGVVALGPVVAGAGLAEDEVVGAEDLAEGPRAHRVHGPGLEVHEHGARDVAAAAGLVVVDIDALELEVRVPRVAARRVDAVLVADHLPELGPDLVPALTALDVEDLPHG >Et_1A_006224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19365331:19365906:-1 gene:Et_1A_006224 transcript:Et_1A_006224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRCYCGSSSAVIIPRKNSPRTIIRASMDRCPSDVAKAVTNSVRVTTKVNKVYEDKNMGIHCYTDENGELVCEGLDEGPRLTWQDMEKLRREKKRDEEDLRLRRLPVAGGIDWSKLQAAVSMGKN >Et_1A_005730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13120326:13122806:-1 gene:Et_1A_005730 transcript:Et_1A_005730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGAELERSNRFAIRDRPDHRRNGPAQQRKQSIPLPPPESQPNGSIQTFTGLSFDETAAAEQSRVCRDAAARGIGAPRPAERAAGGRPPHHPLLPPGAGGGADVRAGAVVAVPLALRPCIAIDQRDFGVRARTRDKPNWTRLERFADILLSRRCGSVSLDRFRLFAAGHEDPVCDWIRRGIECRPAVLDISTPFFAGYAACTFDFPHLGSPLYYSRLRKLRLEEIELDVNFTDLVSSCPALVVLELVRCFYYFSHIASDTLENVVLDSCYDCTFPGQTVVITAPSLTLLELDIDEETFLGGVSVCNAPSLVRACICRRKGEYFHEKEHARMLLGSLFNVTSLELKGFNTLVRPSLDPDMLYLNAPCLEKLTLRRCMVRTRILLDLLPYLSVFGLSYSMSFLLPPNPGMKREAEWKSITLQRQSERTLTCPKLKWIEFMYKNDGLPVQLLLFVARSLPDARIRKKMLIGFANRHQGLPWVLMVQRALSETCGYIVVHIDEPERKRLHN >Et_3A_024281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19118317:19124392:1 gene:Et_3A_024281 transcript:Et_3A_024281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQRWTAEEEAALKAGVAKHGPGKWRTILRDPEFSALLRLRSNVDLKDKWRNLSVTAGGYGSREKARLALKKGRRVPKVTAAPMDVDEVMDNAHNAVFDAQPLAMVVEPLQLEDSPDKSVARLDDLILEAIKTIKEASGSNKAAIAAYIEEQYWPPADFQRLLSTKLKALVSTGKLIKVSLPSSFLPSYLNEGRLLKMNGHDNVKQLTKPQVDAELDKMKCMTKEEAAAFAARAVAEAEIAIAEAEEAARVAEAAETDAEAAKAFLEAVTATIKNRNAATTNNNCTDICRYINHAADSTFINADASSLLILYPCRLDGFSQLNLLRQHGNSGDPAATGNVICIFLAAKRHHTNLAAALVVLHQPLVVSATARLETSQLLCRNAPQDAILVGQTRMQKRGPCNCVWRKWHVPEQAIRLFQPPCTAEEINGTDVVVKCPLHTMLLGHGVKVAQPLRDQPSVTTGCQDGNEGVAVIHFLCQRTFCNRHGLPPKAILAKPLDHCVPRYNVSHCHFIEHLLQIKYHATAAVHADQRIADKDIALIEAILDNACMDLPPKLEQGKN >Et_2A_015254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:153230:155578:-1 gene:Et_2A_015254 transcript:Et_2A_015254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSPPPLYLRLPAIAAALLFLLAVVPCVLADFLAPTSPRRRPTTRFYSFDQVHEYPHDHHAFTEGLLYGGKDTLFESTGLYNQSSVRKVDLQTGKVLVQEKMDGRMFGEGLTLLGNKLFQLTWMSDVGFIYDRHDFSKRESFSHKMSDGWGLATDGKVLFGSDGTSTLYQLDRKSLEVVKMVTVKYQGNEVSYLNELEYVNGEVWANVFPTDCIVRVSPDDGQVVGWIFLHELKYAPHHKEKRADIDVLNGIAWDGKNHRVFGEFSVFAIHCLCSEFLFNRSLIVTGKLWPKLYEIRLRPVNGPPNGSVEELCPKLWWTSAQHQHAASPL >Et_10B_003271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18396075:18398393:1 gene:Et_10B_003271 transcript:Et_10B_003271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMIFRVPSFLADSTGSKHSKASIDWLRAMFEARHALESGNHRASSNIWNRNGKPCKLLVIENKRSLLPYDGRTQRTKHVLRKNPTDYEVG >Et_7A_050615.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6951068:6951169:-1 gene:Et_7A_050615 transcript:Et_7A_050615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQISLSASPFHCEMFGICLSSSSMAERKRRNT >Et_10A_000286.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21288918:21289139:-1 gene:Et_10A_000286 transcript:Et_10A_000286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLRDYEIHDGMGLELYYN >Et_1B_010448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10599121:10604465:-1 gene:Et_1B_010448 transcript:Et_1B_010448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPRATPLTSPSLIPSRADSSSAAENRAVTLRSATDEYTVRTDEAACSAMAPGRVYCSLIRRARPVRTRPYTNPGTRSSSAIGTTTSVSRHMSENAATYVPTNVEAFIKKFGSLSPSASCTARQSLETLVTTSDVAVPANAKTQAAHPNKTTSLAF >Et_3A_023563.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:4280086:4280166:1 gene:Et_3A_023563 transcript:Et_3A_023563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERARRARAAGPDAFKKEKYPRCTQ >Et_1B_014449.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:916218:916490:-1 gene:Et_1B_014449 transcript:Et_1B_014449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQWAARPSAAVLLLLLCAFLLLVVSVARQGDEAVRWPAAATSSVGGRRVLLHASARSRRFRPRRWNSAGFDDNKHEVPSGPNPDSNR >Et_4B_039776.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29087211:29087594:-1 gene:Et_4B_039776 transcript:Et_4B_039776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDESFKRPGSIPFLWEVQPGIPKQQAADDRGATATAVLPPTTPRLALPPAARVSKLASASCRRSSVSSAPLSPPPTPPPPSSSHRRSMSARFATSLVLPFTRRTRRGRAKDDDADYCVLYSENIA >Et_3B_030166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31036018:31037253:1 gene:Et_3B_030166 transcript:Et_3B_030166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAMLASSLAVLLLSLPAADASRNDSTMNPLLEDLDLERSWGTLSVDFYAQSCPELELLGVDMIFRSLQADPSLASGLIRLYFNDCFLQGCDASILLKGPWSEERFPANQGMNQKAMQLIETVRVAVHHACGPVVSCADILAVAAREAVNAAGGVPPVMPLGRLDSLAPAIFRDASILPPPGTEQAAVVLDAFAKRGYFNKAQDVVALLGGHSVGKAHCQSFNDRFGRPGDAFGQELRDLFWLQPLDETTPNDLDAAYFVNLRNGKGVLTYEATLMNNPETAAAVRLYSVNQQQFLRDFCRTDQRQGQHPRAWRDSKVWLQQDQLRKFHFHPRPGGFVLISQNISSPHLLLGSFASLLVFDQIKRNNKTA >Et_8A_058113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20553081:20555705:1 gene:Et_8A_058113 transcript:Et_8A_058113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAVPMAPPPRPPPPVGEELLDLEEGTGESSPPPSCWGLHEVQGRIHERLRLTGRHGEALADPAFFQRRLARHLQRMPMRYIADVDMDGKAEDVLLHCRIIDECADPDKRPVFHARHVKSITFRADCDSGDEELDQPGQRLMEDLSLEGKTVHGNNAVAELLDEVGLHIREGHLYCTIDGFCLAIFSVDGWETECGQRKENSVFHKKLRIAPLEDKTEF >Et_1A_007188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31822758:31825518:-1 gene:Et_1A_007188 transcript:Et_1A_007188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKHAGGGWFASVRKVFRPSSVASSKDKDATQHGKKDGAGEEDEAAAGAAQEPEVLLLEHFPASETSAEASNECGSATVREEDDDDVDEDAAAVADDDMERARALAAAAEAAVAAAEAAARVVRMAAARRASREECAAVRIQAYYRGYLARRALRALRGLVRLQALVRGHQVRRQVHLTMRCMQALVRAQARVRARRVTRFPLLLLLLPPQPTPPSPATTTSRSTAASPLPGAATGRLPRHPSLELALRRDRDVGDDHDDDEAVLLLRQQRSRSRGRLFCRAEDNGGRIPASGGWDGSSRTLEDARAEGARRHDAAARRERALAYALAYQQRKEEEKAGGLGFQWLERWMAAQAAAPPDHHHAAAGGGARTSTAAAASLAGVPEKTVEVVDASFRSPVNPASAAHGGRPPAIPGYMAATRSARAKARAASPAVSATPTHGRSRSGGGRDASSGSQNAGYSPSPDSSCTGDWTPPRFGTSTRPARVAYTT >Et_7A_050523.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:21932043:21932543:1 gene:Et_7A_050523 transcript:Et_7A_050523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHIISASKSEMKCDSMNISEGNTCENYNIQFGTISNIVNDCKKEKFQSACDAMISNIFIGANLCKKENNRDKKPYIQIGSMLVEIEEAKDHIQVAKTFPRAWHTFLSSSTWPHVNRITKLQDMEIPIQFGLGLVQPDRHGQEIPYLPHPESDEGELVLDGKIIS >Et_4A_035959.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9518059:9519180:1 gene:Et_4A_035959 transcript:Et_4A_035959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVARLSGFFSAAMLMVVLSPSLQSFPPAEAIRSSQFDGSVRFPGQIAGGARGIAFRRAPSFRNAADCAAAGGGGNATNACDPSLVHIAITLDEEYLRGSVAAVHSVVQHARCPESVFFHFLVSDPGLGDLVRAVFPQLRFRVYYFDPDRVRGLISTSVRQALEQPLNYARNYLAGLLEPCVRRVIYLDSDLVLVDDVAKLWRTDLGGCTVGAPEYCHANFTKYFTARFWSDPRFAGTFAGRSPCYFNTGVMVLDLERWRDAGFTSRIERWMEIQKSPAGRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVFGSCRDLHPGPVSLLHWSGSGKPWARLGAGRPCPLDALWAPFDLYGPAGAGADKSR >Et_7A_052051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4039001:4040149:-1 gene:Et_7A_052051 transcript:Et_7A_052051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRAARRTTPPTRRSRSAEFHNFSERRRRDKINEKLKALQELLPNCTKTDKVSMLDEAIDYLKSLQLQLQMLVMGKGMAPVVPPELQQYMHYITADPAQMPPLRPSQQPRPFQITQADPQRQSNVESDFLSQMQNLHSSEPPQNFLRPPKLQLYTPEHRGGLASTSHHGGWISERSASYNFME >Et_1A_005544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11275438:11277231:-1 gene:Et_1A_005544 transcript:Et_1A_005544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLPPFAVHPAPCPARPAAAAAVGISVSDVIPVLERAISSGDVLRLGSSVHALLVKTALTCHTLLSNRLIELYSQLPSPAASVAAFDDLQHKNAHSYNTLLAALSRGPDNLCDALQLFDEMPADIRNVVSYNTVISNLEHHGRQKEALHMCARMARDRFLGAGLAIDRFTVVSVAAACAGLGAARPLREMHGAVIVKCGDMTAAMAVFEQMPERDFISWNSMVTGFSHNGLGKQSLDMFERMLVSGVRPTHVTFLAVLTACSHSSLVSDGRLILESMEDHGVEPRAEHYAAFIDALGRNRQLEEASEFIKSLSSRVGPGTAGSWGALLGACRVHGNIELAEEVAEFLFKLEPGNSGRYVMLSNIYAAAGQWDDARRIRGLMKEKGLKKDQAYSWIEVRSAKHVFIADDMSHRETDEIYEMLGKLFDHMRIAVDPNEHQLELC >Et_7A_051814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25024379:25027593:1 gene:Et_7A_051814 transcript:Et_7A_051814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARGSHLLQGPLLGAGHAADSASQHRWSSRRCTAQCIAAALLVVAGVALLQSSAGVDDDEQRRLSGSGGARQEVKSDVGAVAADDGRCSEVGAAALRAGGHAVDAAVATAICLGVVHPMSSGVGGGAFIVVREAASGKAVAVNARETAPAAATPDMYAGKPSSKSKGALAMGVPGELAGLHAAWSHYGRLPWKDLFAPAIRLAREGYAVVPYVAKAIKVTEKDILADPGLRAVFAPEGRVLTEGETCRNLALADALEAVANEGVKAFYNGHVGEQLVRDTRAAGGVVTMDDLRRYKVEVTAATSADAMGYTVLGMPPPASGTVGMGLILNILGGYKSLEFLKGFLGLHRLIEALKHMLAIRMDLGDPDYVTVSDNVSKMLSPLFADKIRQMIVDNTTFPPGYYFPKWSQLRDHGTSHFCVVDADRNAVSMTTTVNYYFGARMLSPSTGIVVNNEMDDFSVPVEHTPDGRLPPAPANFIAPGKRPLSSMTPLIILKNGQLVGVIGGSGGTNIIATVAQVFLNHFIVGIIFMLSHQLEPNVVLYENMTMVKDETIELSAEARRFLEQRGHRLRSTVWVAVCQFIVHDVLDPTALGSDGIFRGRLTAVSDPRKGGNPAGL >Et_6B_048860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14707886:14710368:1 gene:Et_6B_048860 transcript:Et_6B_048860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWMSRVWFLMFPAREYKLVVVGLDNAGKTTTLYKLHLGEAVTAAPTIGSNVEEVVFKNLRFEVWDLGGQESLRTSWATYYRGTHAVIVVIDSTDRARINIIKDELFRLLQHGDLENAVVLVFANKQDLKDAMSPAEITDALSLHSIKNHDWHIQASCAITGEGLYDGLGWIAQKVAGKATAS >Et_1A_005800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14019846:14021346:-1 gene:Et_1A_005800 transcript:Et_1A_005800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDIFVTQGGVRRDDRGELCPQIFPGQALQAGVGYAAASAGERLPTEAEEAWAAGGSSEADNASASAAATSSWRHGISHVPLELSGSKDGGAVASVMSFLLRSRRQRGTATERVVLAATAPQAREQMGEEASTVAKGGIGRRPHAGTVVVHYQRLTIEEQRVGKEKETVAAGIRAQRLDLLRPKGYLASKKKAETHLAGIAHRHQPTMPQKQAAPSTGSSILDTVPLFVVVLLAVHVLALVYWMYKLASDKPPPRRKTQ >Et_3B_030992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9827715:9831594:1 gene:Et_3B_030992 transcript:Et_3B_030992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDDGGNKPHPAATNPGTPCDEGLPGRPGWINVLPNGDRPRTIARQQQQVREPESYAVAGKLLLAAAGALAGVLLALVALYLYNSARRRRHGGVSSGGGGGRRLDRSLAIIGGGGEDSLRRDGASAPSPRGLDPAVLRALPVVAAGAGAGDCAVCLAELEPGEKARALPRCGHSFHAECIDAWFRGNATCPLCRADVVAPADEAPSAPPEVRVDVAALDAAAAGKAPAMGRLPSGTDLAKTRRVFASTRSSSISYGDTDTGPDAAAATVTTNTGAASVIRWAPHGRALTACLIAVNVALVALVYLYFWRLFSRKRSSSSAAASAADEDEEDAVSSTGSTPGPPPSPPRTQDEQREHDRLMASLPPTFVVRVVAGGGEKAECAVCIAELRDGDEARALPRCGHRFHAACVDAWLRRRHTTCPLCRASVLVAVVVVVVA >Et_3A_027201.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:4662086:4662781:-1 gene:Et_3A_027201 transcript:Et_3A_027201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLVLQLADADRATTTSSHAGAAPLLLLLVAACAVATVVVSLCTSSKNAKPSKHQRGGSSPPAPQPEEEEQSGGGGGVVSGKKQLLASLSGIGGSGKKKLLASLSGVGKKQLLASLSGIGGKAAAVAKMVSWNRRSRSGAGDDDWSSSGASDQGAAAVVYVGTGEEEEEEALWKKTIIMGDKCRPLEFSGHIAHDSDGNQLPQAPPPPPAAAAESIKKKSAAGDDSAER >Et_9A_062653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2831727:2836591:-1 gene:Et_9A_062653 transcript:Et_9A_062653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVKNSLLLQGWLRHTAASLTSRRGAATSTEEYKRRNYAENSSEYNTVIGSLIAQRRPYLLRDAYDDMILDGVQPVRDTFHSLIVGTMKGSRLQDALYFRDQMKEMGLQPDVNIYNFLISTCGKSKNSDAAIMLVEEMKAHGVKLKAETYISLLNALAATGRTDQVYATVSDMSAAGLGLDKFCYAGLITAFKNKTPTTEETMAKIIDFVEQSKGWQYVERISKDSAENIMMNVSDEELYNMPTAEYVNRRGGFVVKPFTVYHVAIHACAELRNKETLETLLDMFKRDNKEGATYDAFIVMQAMRCYLRCGDIDSAIKMFEDYSSSRTPPAELFVTLAEGAMIGYTPRGMQVAQETLEKMVARNFFLNPRMGTDLLLAAAGEKDGGYTTANYVWDILQSRNITPGLPAVEAYHKGLKEREIPSDDPRLLHVSRVLDNLSLRSGPRRNTQ >Et_8B_059910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:392399:393699:-1 gene:Et_8B_059910 transcript:Et_8B_059910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSTPSFTNLLLSSNSSLDGENTRSSQQTSQQQPSFPPSYPICYSWGFGGSGGSRADECSPISSPVVPAENTNTADVIEEFSDVDSDEGKKAGRKVWSKDDNKRLIQAWLSNSVDPIDGNSKKGVHYWKDVAAEYNMSAPKGHKRTATQLKNHWNTHSTIVAKFHGAWTTMKNTYASGQSDKQLMDKAQAEYMAIMQTDKPFKFDYWWEAVKDEPKWLNRDVFSDMNKRNKVSASGEYTSSSNRDTDEGSVADRPRPPGQKQAKAQKKGKGKGKSTLTDENIGQFNVLQERKSEAIETMAAAAREHAQAIASKAAAEKERVKLEKMKHLHELMKTDTSTYSQIQMSIHEKMMLSLSDEIYQED >Et_4B_036722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1126484:1128170:1 gene:Et_4B_036722 transcript:Et_4B_036722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQASSERGAGSLWVPGMSPGLMDAGSARAQEIARRREEMLGMLHDLPESEYELSLTDLVEKAGADAATEAGKEPGPAGQSASGRQQQQPTPARPERRASSRRRDGASGGSSFRSSSDGVLLNFYMPRSLTRSFTAPRPTRTPSVSGGRTPSVASDCNKRDEDAGAVKCWSLPWDRPWRKSSRRDPAAPPNDNDSTVRIAILKAAKHSASPAKV >Et_3A_026601.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:10273845:10274582:1 gene:Et_3A_026601 transcript:Et_3A_026601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQEQQQQQPTRPPTNPAPTMSSYSDDMAAFYAAWAEREEELAAALAAGLGRRDNAAAALVDAAVGHVAAYYERKSALADRDVVAALDPRWLNPLERTFLWAWGWKPALVFRFLDADSAPEQQRRALEELRAATAAAEREVDREVAAVQESLAGPRVLAALRRQSAARNGEAADEAVAAVGRTLRVLLGTADALRERTVRAVVALLAPDQAAAFVAAMLGFHISVRRAGRDWPSAGHGGARRGV >Et_9B_066109.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:3958461:3958715:-1 gene:Et_9B_066109 transcript:Et_9B_066109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASSSSEEAPLLHLHQEEDTVRLPLSPDDLRFAKVYRFVGDLFGSGAPRPVEAQLQRLHGMDPVVAETILLVLWNLEDNLCA >Et_1B_013661.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11256084:11256563:-1 gene:Et_1B_013661 transcript:Et_1B_013661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQQRWQDGHRLCANNCGFFGSPATLDLCSKCFRDRQQQQQQQSPPAAAAPAAFQAQPSSSLAATESGVSVAAAASSEPTTPSPAAGAKAAEKSGRCACCRKRVGLTGFACRCGATFCGVHRYPERHACAFDFRAAGRHAIARANPVVKGDKLKDKV >Et_2B_019632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11445108:11448149:-1 gene:Et_2B_019632 transcript:Et_2B_019632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QESIGERDRGGRRDMADFSKESCPSVKNILLLDSEGKRVAVKYFSDDWPSNSSKLAYEKSVFNKTLKTNARTEAEITLFDGYIVVYKFVHDLHFFVTAGDDENELIIANVLQGFADSVGLLLRGDVEKRTALENLDLILLCIDEIVDGGIILETDAHNIAGKVATNAVDGSVPFSEQTISQALATAREHFARSLLK >Et_1A_008144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4769966:4771891:1 gene:Et_1A_008144 transcript:Et_1A_008144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETCNTLTEQCLFVDSVEELTHITSRSNGKGLSQSLKTGGKASSFSKGDASYGKAYSAGKGGKASASNAVPTKSNAELKLELDIPTNSRMLMNCEAAELLQEIHEHMAILSEDPKIKIPESFDKAFQYAKEGTHFTTANAVKQALEPLKKCEVNDGEICMIANIGPETIEEVYALMPSLKANKSVNKGPITEALAALANIKRAN >Et_3B_029730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27430044:27434927:-1 gene:Et_3B_029730 transcript:Et_3B_029730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVYSPTAAAAAQHQQRGKAASQAWQAVVGWIGFLLQVLLQILRGTPSCAQLLSFVGFRYPLLSASAATEPSPEVAFMPLRSEIPADTAPVPASPPEPLDRLTVVLDLDETLVSAYESSSLPAIVRTQAVEAGLHCFDMECISSDKDIEGKHKVNHVTVFERPGLHEFLQKTSEFADLILFTAGLEGYARPLVDRIDVHNRFRLRLYRPSTVTTEYREHVKDLSGLSKDFCRIVIVDNNPFSFILQPLNGIPCVPFSAGQHSDDQLMTVIFPLLKHLSLQKDVRPVLHERFHMPEWFQKHGIPRTDQTSKGTGILSFSRHQGTGTWSFSSVSEHASSNEIKLPLVDAWVMAEFVNQLLQRLEILLSTKQADHLEVHPTQPSSLIALYSAAALSNSFSLPKAFIAVAIVSESGNWPNFLISSKTSTTLRCLRFFANKSIIALCTEESLISPLLAARRNSQAASSRRPALAKILIADRVDLCAGFPQLVKQLRGTIKFTSLHPYGQDGGVSDGIGLDAVGLHILHQAPGFLVLQRLAIDGNHGVVGDNVRPDVATIAIGSAAHEAEQVNRLPEQPGVAVHAEHGVVGHHARRHAGAAHGPEEPVGLAREVELPVRVEHDVEHREVRLDARHGAHVREERHGGEVPPASRQRGEDRGVGLGVGRDAVGGHVVEQHPLGVAQQVGLAVGGDGGVVGLEVWPDPRAAQPGEESERLGRVPRAEGEVDEVGEEDEVRGHGVVLHERQEGERVVEVARARERREEGGVREGVRGDAPVAHLEEEARGEAELPRAARGAEEKVVGDEERGGRDVGREEVEQRDAAARVGEAGEERAEEAPGDRAVRGDCRGQVGRSARGGELGHEGGDVEGAVLGLALLELGGLVALVKGVGAGELGVQPD >Et_4A_033856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27457170:27459116:1 gene:Et_4A_033856 transcript:Et_4A_033856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPVTPRRTTRSSAGSASDPASPTSPPKSRPKPSLRRQLLAAGAAAKEEEGEGKVKGKRPFDALLEALPGRRAQATDLLRLLAPAPALPLLLYGGAATGKTRALLLALRHVQPRPRRVAYAALRSLPSARALFASLLSQLSPASSSSASSRQRVPDKPSDFVAALRDALTGLSAPGEAVYLVFDNLEVVRSWDKGAQLLALLLRLHDLLRLPHVVLVYVSNATPDAYYSMTGSIEPNHIYFPDYTADEVRDILMRGHLNPKLYSSFLSVALKPLFRVTKKVDELAATLEPLFRKYYEPLGDLKAVPDEGMKRKLFEHIQPHLAVALNETFSVPLRTSMEECKDRNSGVKASAKRQFGSRDSLLTELEFHMSVSAKYLLLSAFLASRNPATLDAALFDSTGGSDNHKRKRKSSQASVAMKDNLVEEMLMKGPGTFPLERLLAIFQCITSVSEDALSDVECPDSTMNGSGMAGLMSDVLLQLSTLCNSNFLSKSRSCPLEGSARYRSNIDEDLALKVARSVGFPLSKYIYRR >Et_1B_010111.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:24356537:24356722:1 gene:Et_1B_010111 transcript:Et_1B_010111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METEAEAYLEVVGLAAEWVRQPAIMETDCSLLIDALNSSIKQELISQTTTIWSHVAKNHNF >Et_3A_024769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24020452:24025470:1 gene:Et_3A_024769 transcript:Et_3A_024769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAAPCPARLLLASPRSLPALLRPRHAGRRSVGLVRRDGSARIRLFRCWSADEEKAAEAATEDPAPGRDLVTLAACLVGLLTGVSVVIFNLSVHEIRDIFWDGIPLRGATWLREETIGEVWQRVILVPVSGGIIVGGLNALRNSIKTSSSGPESRIKSAFRPFLKAVAASFTLGTGNSLGPEGPSVEIGSAIAKGFGNVFEWEGGKKLSLVAAGSAAGISSGFNAAVAGCFFAVESVLWPSSTDSSSLANSTPMVILSAVIASVVSEIGLGSDPAFTVPEYDFRSPTELPLYLLLGVFCGLVSIALSRSTSLAMETVGSLQKAGLPKAASPALGGLIVGLLALVYPEVLYWGFENVDVLLESRPFTSGLTATILVQLIGVKILATSLCRAFGLVGGYYAPSLFIGAATGMAYGKFMRFTFTGPEPLLHIPFLDVASPQAYGLVGMAATLAGVCKVPLTSVLLLFELTQDYRIVLPLLGAVGLSSWIASPQRFSKNTRSNLNLQEEKSSITQKGENVPSQNKQVSSMDTADSSQELCEIESSLCVYDAKDENMLGNLTVAEAMKTNYFSVSVATPLVEALDLMLAQKQSFVVITESDTSLIGLLELKDIQDFCRSAKTLRVQAEVHELLVSHVCHAGKCKWWPVTPQMPLATAEKIMDSRGVDHLPVISEHANCQDRGLLIGFLDRECITIARRALATKELFGVISEIRKKGR >Et_3B_031550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4247066:4249769:-1 gene:Et_3B_031550 transcript:Et_3B_031550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGLLVLGVVLLLALRLHGCSAQGGGDDDDGGSSGSAATAPMEEKERRALFAAIESFVGKGWNGSGLYPDPCGWSPIQGVSCDIFNGLWYPTVISIGPVLDNSLQCAPDAKFSPQLFELRHLRSLSFYSCFPASNPTAIPATSWDKLAGSLETLEFRTNPGLAGAIPASLGRLASLQSLVLVENNLTGAVPPELGALMKLRRLVLSGNGLSGPIPATLGNNNARHRHGDDDAPLLIVDLSKNDLTGSLPPSLGALRGLLKLDLSNNRLQGPVPPELGGLRSLTLLDLRNNSLTGGMPQFVQSMASLQDLLLSNNPLGGSLAQSGWEKLSGLATLDLSNLGLVGTIPESMASLTGLRFLALDHNRLTGKVPAKLAELPNIGAMYLNGNNLTGTLEFSPGFYQRMGRRFASWDNPGLCYNLAAVDAAHAPSGVVVCKDLQEPSVGAKEKAGGRKPEASSSLMTSSSSLGLSATKVNGFWRQLAAASSLVLMLLV >Et_2A_017154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31402662:31406171:-1 gene:Et_2A_017154 transcript:Et_2A_017154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPGRKMFFLWLSLVLLRQPCFCSCSQVYVVYMGKGLQTISRRQHDILRLHYQMLASVHEGSLEKAQASHVYTYSNGFQGFAAKLNKDQAMSLAEMPGVVSVFPNTKRNLHTTHSWDFMGLSTNAEAEIPGLSTKNQENVIVGFIDTGIWPESPSFSDHGMPLVPKRWRGQCQTGEANSPSNFTCNRKIIGGRYYLNGFQTEEGGSGKSAVKFISPRDSSGHGSHTASIAAGRFVRNMNLGGLGTGGGRGGAPMARIAVYKACWDSGCYDADILAAFDDAISDGVDIISVSLGPDYPQGGYFSDAISVGSFHATRNGILVVSSAGNAGRQGSATNLAPWMLTVAAGTTDRSFASYIRLENGTFVMGESLNTFHMKTSIRTIAASDANAGYFTPYQSSFCVDSSLNRTKVRGKILVCRHAEDSFESRVSQSIIVKKAGAAGMILIDEMGDHVANHFVLPGTSVGKAMGNRILSYIKSTRHASTMILPAKTILGFRDAPQVAAFSSRGPNSLTPEILKPDVAAPGLNILAAWSPAKNNMHFNILSGTSMACPHVTGIAALVKSVYPSWSPSAIKSAIVTTAIVLDKKGKTITTDPDGKTATPFDLGSGFMNPIKALNPGIIFDAQPEDYKSFLCAIGYDDHSLHLMTGDNSTCTHRASTTASALNYPSITIPYLKKNYLVSRTVTNVGNPRSAYRAVVSAPHGINVTVNPEVIVFEKYGVKKTFTVSFHVDVPPQGYAFGSLSWHGREARLTVPLVVKVQTGNC >Et_3A_026309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6728498:6732170:1 gene:Et_3A_026309 transcript:Et_3A_026309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPGFAGFARTHHVAFFDAMATELPEDYASQEVNHLTLAYFAVAGLSLLRELDRVNKDEIAKWVLSFQVHPESDDDVDNGQFFGFCGSRSTQFPLANSKDPCYNGSHLASTYSALAILKIVGYDLASIDSKALLSSMRKLQQPDGSFMPTHIGAETDLRFVYCAAAICTMLDDWTGMDKLKAQEYILNCQSYDGGFGMVPGSESHGGGTFCAVAALQLMGFVHIDLASNLRESASIDVHMLLEWCVQRQVTDGGFQGRRNKDSDTCYAFWVGGVLKIIGAYNLLDHHALREFLLTCQSPYGGFTKFPRDRIPDIYHSYYGLAAFSLLEEEGLEPLRVELGILSAALS >Et_8A_056984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19831921:19833791:-1 gene:Et_8A_056984 transcript:Et_8A_056984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEANGSGAAVRVLAVSRVAPSPAPAPEKAAGEGQRVKLSFFDTPWIVLPPIQRVFLYELPGDDESEFPAAVARLKKSLAATLALYLPLAGKLAYVAETEDVAVDCADDPGVAFAEAEVDGDGFDLRRLAGDEAHDIPAFLALVPELDARALPAPVLSVQATRLPRGGLALGVSVHHAVADGQAVWRFVGAWAAAAREGSPVTKALPPPHYSRDVIRVPGGDEFARQMLKMVAPNLPVIYDHRFKQCYLFWLPQTYKACHSLLTSSACRAANATEYDFRQRFRLARRTFHLTADDIAALKRRIDALAAADDDKNNTNTNTSKSKPVSTFVALSALGWTAFVRSKGLAAGDDTYLIFLADLRARLDPPVGDGYLGNCIKGCLATADAGDLLRGGAGGLLAAARAVQAAVAAMAAVPMAGTERWIERVMQLPFARLANVAASPRFRVYDAADFGFGKPERVELVSMNHDGEMVLVGGRREGEVQLSVSLDPARMDAFKKEVLAVD >Et_9A_062158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20131727:20139008:-1 gene:Et_9A_062158 transcript:Et_9A_062158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLISILVAFLSLLSLCATTTNGDDPIHVDCPSDTNYTRGGAFDANLAALLSPLPAASAASSGFAKNSTGATPDQAFALAQCRADLNASSCRACLDAAFRNITGRCPGQRTALIIYDTCLLRYSDTSFFGAADTSWYRYICNPKTAQPLLFTSRLAAMLSNLTGTAARVAPRMFAAGAAEVTPYVRLYGMAQCTRDLAADDCTACLSDAVKTITKNCDGKQGGRMYHRSCSLRYEVYPFFDAKAVEVAMSPVPAPGARPVNGGDLTNPGTNGESTSPLLCISARGTETESYRTQIAIVSKFFLTEVTKHWWSGSNAVRKRHVSVVSLQTDANAASGSTNTGTFAKKRSTRTVKTAILVSIPVAVTVLVLLFVAIYICKNRKRHKHLSFSHVATNGHEIEEIVSLESLQYDLSTLRAATDNFSEQNRLGQGAFGPVYKGKLQNGQEIAVKRLSKTSQQGAVEMKNEVVLVAKLQHKNLVRLLGYCIEKHEMLLVYEFLSNKSLDKLLYGPAKQWELSWEQRYKIIDGIGRGLMYLHEDSRLTIIHRDLKPGNILLDADMNPKISDFGLAKLFKIDDLSVANTNHVAGTRGYMAPEYVLQGIFSAKSDVFSYGILVLEIITGRCPSEDLLKFVWKHWSEGNMLPLLDSCPAEDHGKQEEMLRCIHIGLLCVQDGAQLRPHMADVVLMLKSRSMTLASPTEPVFAVPSERPMAAVLEASINEATISHLEPHMSSLLTLLLLCSLILAAPANPGEIIFFDGNDGQLFIDCPSNTNYTSGSAFQANLGALLSSIPGVAAASSGFAENVTGAAPDKAYGLAQCRGDVTAFHCQACLDSLAQYMATWCPGQKSATLVYDNCLLRHSNVSFFGAIDTSEFWYLSNSQNATQPAQFTSALDALMKNLTATAAYASPRKFAAGSAALAPFENIYGIAQCTLDVAADDCYRCFLSAVAAIPSCCNGKQGGRVIYRSCAIRFQEYPFYNVSAAEAAMSPTPSPGAGPINGRDHFVPTVVGAGSKRTVRMALLVTIPSAVSLLVVLIVALYIRKRIRKPLKHAQTMASVKGHGADEEMRSSQLLLYDLSTLRAATDNFSEENKLGEAGFGPVYKGVLRNGQEIAVKRLSNTSQQGLVEMKNEVVLVAKLQHRNLVRLLGCCIEKQEKLLVYEFLSNKSLDKILYGPARQSELSWEQKYKVIYGIGRGLMYLHEDSRMTIIHRDLKPGNILLDTDMNPKISDFGLAKLFNFDDLSVANTNHLAGTRGYMAPEYVFQGIFSTKSDVFSYGVLVLEIITGRRPSKDLLKFVSLCIVSQLPQWRVNSHHARRYGQVWRHWSQGNVSPLLDGSPPDDHWKQEMLRCIHIGLLCVQDDPQLRPRMAAVVLMLNSHSMTLAVPTEPVFTAPGKRPWVAALEPSTNEASISYLEPR >Et_3B_031026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10411959:10414099:1 gene:Et_3B_031026 transcript:Et_3B_031026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPLKEACAVYKAEDKEKRSFSFMHCWTMLRFEPKWHEKMNQLAANKASQKKQKASEDPIHDLTGNGIDDILNASPDGDAPKRPMGRKKAKQLQRQGGGDACIIASDNMWEKKEVADANKEERKDARFNKSLEIEKERLQIDQVRAAAEQERAAAEKSRAVAEQEKVQLKRMLEEERIMTMGISAMDADTQLYYKSLKCAIPYHTIWDKYLTQPTYNTSTTIV >Et_2A_015774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17714046:17715129:-1 gene:Et_2A_015774 transcript:Et_2A_015774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRCCTFLEILLAIILPPLGVFLRFGCCSMEFCICLLLTILGYVPGIIYAIYVLIALGSDHDSDRDYYDEVA >Et_9A_062440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2294336:2296776:1 gene:Et_9A_062440 transcript:Et_9A_062440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVKAAPDPLLAMNGRAAGVLSPLSEEMAGLDTFLFTSESVNEGHPDKLCDQVSDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRETCRNIGFVSNDVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYRNEGGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYVARQAAKSIVANGLARRAIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKIVKENFDFRPGMIIINLDLKKGGNGRYLKTAAYGHFGRDDADFTWEVVKPLKWEKPSA >Et_9A_062286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21565594:21567462:1 gene:Et_9A_062286 transcript:Et_9A_062286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPTTETRARAFPSPSLVPRCKVLPSLSPASRRRPSLLLPATKCTAPHRESAVRHRIYSSPAPAAPFEAFPGRGRAKGDAVAPSPPPPDELASAPTSSLSAPLRPKILPPRTSAPTSDAACCPRVPPRRLDAPIPFDRMPAQNRGKEDEGAPVDTCEEDPIGALPDALLHQVLSFLPAEDAVRTCVLAKRWPTSGTPGLRIGCLRNDEPVSVTALRRFVNRLFSLRGASPLNTCKLRIGDFSKEGDEDRVHLWFWHVVASKVREFKLHVEDNDYMDPWLLLDDRALVSHHLTRLKLRAVRCHNNFLDLASCSALEHLELEYCDISLATKISSESIKSLSITNSLFLNNSRLRIYAPNLVSLHLDEFWRKAPILENMPSLVEAFVQVTADCSDRCNKLHHTGKACNCEYCDSGNVGNGSSVLLKGLSKARKLVLISKPEMLTLPLRGLSHHFALQLVQFIFKKDLRCCPTFSLLKTLVLDGYWCARDDFSALACMLEHSPVLEKLTLELFSEEPDYIFEMKGTFSSMKRSSAISEHLKIVEIKSEDFDKRVFKVLKFLCTFNL >Et_2A_014906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21359174:21360019:1 gene:Et_2A_014906 transcript:Et_2A_014906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GQQRLRAAVPPELPRQRPGARAGLLPRRRVRGGVGVHAHLPRVPELARRQGRGGGRVGELPPRAGEPTPGGVRRLVERAQVGAGELRGGLGPVAVPVRRPLPPLPRRRQRGRQHRAQPGIARGAGGPGRRRADQGRGAAGPLLPGPQRPPVRGAHLELHLRGAVPDQPPLRGPARAAGRLLAAPRLLPRAGHRVGERPAQPLAARVLRHAQGQRMAGGGRAVRDPRRGPRLLPHQGQHAAGARGDGQARRIHQPRLAAAAAARTSTCRGEQ >Et_4A_035915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8529239:8530545:1 gene:Et_4A_035915 transcript:Et_4A_035915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVSPSCEEWKAAPCIASNTVARQPGSTDRGRPSCTSPSIEDQGSPCSSFVSFTSKNCSECQLVCFVANSSASDSANVIRSLQGPGLGKYGHIVQEVRAIQSNFSVVDFTHERRGSNVDADRLAKSCIYESLGRHVCFLSPPVGVCTSYDLYSGVND >Et_4B_038587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3064239:3067514:-1 gene:Et_4B_038587 transcript:Et_4B_038587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRPRFWLVAACVVVLLWASVAQLVTISRLLLFGIAGDVDPSPPPSTLPPPRVYKSNGYLKISCNGGLNQMRSEICDMVAVARLLNLTMVVPELDKRSFWADQSNFGDIFDVRHFIDSLRDEVHIINRLPERLIPTDSDIILQMPPVSWSDEKYYLHQGSFVALHLRYEMDMLAFSGCNHGLSVEEAEELKKLRYAYPWWRDKEIDSQAKRLQGLCPLTPEETSLVLKALGFQKDALIYIAAGEIYGGEKRLEALQTAFPKLVRKEMLLDTEVLRQFQNHSSQMAALDFIVSTASDVFIPTFDGNMAKLVEGHRRFLGFRRSVLLDRRKLVGLLDLYTNKTISWDSFVSSVQEAHKSRVAQPSCRQKLENKPKEEDYFYANPHECLANSSLCI >Et_5A_041485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23497603:23499704:1 gene:Et_5A_041485 transcript:Et_5A_041485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMMLLDANPAALYQADNSGISPIHVAASVGADITITRLIDYCPSSAALRDTRGRTFLHIAAADSSGWRTVAFACRTPSLAWILNMQDTDGNTALHLSITQKCVQYLLVSHIYSLVTFQNNVAVIHMALSHCGARYGGCRWDHFMEAYTNEKGKEFRSQELEKLKDSTQTLCIGSVLIAMVAFTATFTLPGGYRADDTNGGTPILAGRYTFDAFVIAITLAFICSSVGTIGLMFSGSPMIDFKSRRVYYVVSVVFVSSSVTSLTAAFALGAYMVLAPVARKTAVAISVMSPLVVMCIHVESFLKWALLARPLCSRIGLIPALIIISDEIIGRLLLFCWPILVIFGWPAIARNH >Et_5A_041179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1962948:1966995:-1 gene:Et_5A_041179 transcript:Et_5A_041179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLRSVELIARAFARPILQDSSETDETSRHRAQGRSKEAAAMDTERKVATEEKMREWCVALPKVELHAHLNGSVRNSTLLELAKQLGDKGVIVFKDVKDVIMKNSRSLPECFKLFDLFHILTTDHDTVTRIAKEVVEDFAAENVVYLEIRTTPKNNVAKGMTKMSYINAVIKGLKAVEAVDVVLFDSNLRTNETLSCTPMIELDDDTKKKKIYVGLLLSIDRRETTSAALDTVNLAMEMKDQGVIGIDLSGNPVVGEWETYLPALQHAKELGIPITIHCGEVPNRKEIQAVLDFFPQRVGHVCCLDDEEWKQLKSLTIPVEICLTSNVMTGGAPSLELHHFADLYNAKHPLSLCTDDSGLFSTSLSNEYYLVASTFGLSKSELFQLAQDAVQFVFADDEVKKSLRRIFKVAEKRLMLPAEFAAPNALVSIK >Et_6A_047589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7825976:7833838:1 gene:Et_6A_047589 transcript:Et_6A_047589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRAAALCCLLIVLLSGHQQQVAGMSQFCECYKLCYPECRKHLPTWLCKLKCMDDCSPNESVVAPTSAGDCDRFCRPLSLCGTTESGQANVEACMDDCTKSHGAYAPTTGNLH >Et_2A_018416.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27316312:27317184:1 gene:Et_2A_018416 transcript:Et_2A_018416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNAGQTAVEAAATSGAERGGHVVLLPYPSQGHVHPMLQFGKRLAFHGLRPTLAVTRFILSTCTPDNAGAVRVAAIFDGFDRGGFGECSDVTAYLASLEAAGSETLAELLRDEAAPVRAVVYDAFLPWAQGVARAHGAAAAAFFTQPCAVNVAYGHVWAGRLRAPVEDGAVVKLPGLPPLGPEGLPWFLRVGPGPYPAYLELVLRQFMGLEQADDVLVNSFYELEPEVSRRETHVVAGGRGRRHDSLSVPVAVTCVPHSIVQYSCTHHICFIASIPNLAPITGRFQPFI >Et_8A_057149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21627652:21628601:1 gene:Et_8A_057149 transcript:Et_8A_057149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGDLKIEGADMAVKQSMDTGTPVRVIHEIQTQPGDSIAPSTTSYIYGGLYLVDKLCIEKVSEDQCVSTFQLRRMAGQKHIDIYDVLKTTSREPFDGIVVGDISRGLEKMPVSAIDTISNEYPMALLYMSQIQYPLNKKNGGMLPFSPMGLLEDRLLIYECGPTCKCPPTCCNRVSQHGMKFRLQVFKTKTMGWGVRCLDFIPSGSFVCEYIGEILEDQEAQERMSDEYLFTAGNNYYDVHRWEDLCKKIPSLQNGPTKDGEIVFTLDAENRGNFARFINHSCRPNLFA >Et_10A_000266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20717521:20718156:1 gene:Et_10A_000266 transcript:Et_10A_000266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVARVHLAMANAALPGQLPTPPKSAMTPSLVAACIPILLPAKSPSNKAMPGRADAAERWDAHKTKPGSASPSPSSPSSSSSTSPGRASSCDRWDSNKNKCPSSTSPSASSHQAAKSGGSRSSPAERWDLHKKPRSLQARSEDKETMDKLPKAIYAGTGFPTSPEPCMLPVPTFMVRAR >Et_3A_026484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8671971:8674818:1 gene:Et_3A_026484 transcript:Et_3A_026484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVFLDTLVEYFNKGDRCQDGWKPHVYTAAVKNVREKCNVNITKSNIDSRSKTFDKHYHIIYGLLSTSGFGWDWDKNKLKVDRDSVWDDYVEKTKERKAISMKSASMHGTDGFETEQIGIEDREKLLRKSRFFTQTGKLRASLRQIWKDKNVVEKRSQ >Et_7A_052110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4718083:4724122:-1 gene:Et_7A_052110 transcript:Et_7A_052110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPKRQLPPPSVDDRSTTTTVVVVVILCLVTTVAVGTTVVGFIASSTPDPRLFVRLVAVQGLVDHDQQAPPVFELAVYVDTIPEKTFYRGPLDDGMPDMIRAEVRALGKAEFDVDGEVPGMGGRLHCKTYLFAAGEPTEAVPPCWVQKAPGY >Et_8A_057371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:24057516:24062607:1 gene:Et_8A_057371 transcript:Et_8A_057371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGGPLGAIIGRYPSAVAAGEEDPGRDIIRHDRKCRDIPFLALFAAFWVAMIVNSSFGFNQGNPLRLTYGLDYKGNICGSRHGDPDLRELDVRYWMNPDQVYQSGLKNNKINLPDAKAICLMECPLPAEDGLNFVCDYPEGDIRLSVDDWIDRDYDYFEYLTPEMRNSSLQLQGPCYPVIFPSVNVYWSCQFIERPSNVSLKHWRQMGGVSIDENILIDKTIHNAINSKSTVLKRYVADIGKSWPVLIVCGGIVPLFLSVIWLLMIRYFVAGMPWITVIVFNALEINHLHVAAFFMTAIMIIAFLTSIAIARRILRATSVLKVAAKVTGEVQALIIFPIVPYFILAIFYIFWFSATLHLFSAGQILQNDCNTNCCSYDLKLGKVNCDSCCGYSIHYTPHISIAILFHFFGCYWATQFFIASSSTVIAGSVASYYWARGEISQDIPFHTVVSSLKRLLCYSLGSVALGSLVVSIIEWLRFILESLRRRLKFIDSSHESCFGNAMSSSSHCCLGCIDWTIKSVNRNAYIMIAITGKGFCKASVLATGLIMNNILRIGKVNVIGDVILFLGKLCISLFCALFAFLMLDEHKYRSAHNKISSPLVPVLLSWALGYIVAKLFFAVVEMSIDTIILSFCQDAEEHQGNAQYAPPLLMETLDEQSELQRLTQGP >Et_1A_007898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39298813:39299710:1 gene:Et_1A_007898 transcript:Et_1A_007898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAGLPTDILVEVTASIATRSATPLIDIVNLRRSCKVFRDATTARKVGRCMAVHREWNLHWWDKARFLSVLRRCAASGNPEASYILGLEEFCNRRRKKSGLQYLRRAMEHGHAPAAYMIGMITLHDSRSPDGTEQVLERLEWLSAPSSTGPRTRRRIASIRGEAVSVMRRLTMRRWREAEPPSPCTNRWCGKVETKTAEAWDDGDDEERRFCSRTCRWKREYCKFIERI >Et_10A_000244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19781893:19782463:1 gene:Et_10A_000244 transcript:Et_10A_000244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSSKYTVADVATLRKCVKCRGSFCISCKVPWHDGMTCCDYKKRYPHARPEDARLQNLARQQLWRQCVKCKHMIELAEGCYHMTCVCGYEFCYTCGKEWKDKKATCSCPLWDEHNIIEDSDDDDDDYEDDADGVY >Et_3A_024484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21239992:21243099:1 gene:Et_3A_024484 transcript:Et_3A_024484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSMSSARSQWTPKQNKLFEQALAVYDKETPDRWDNIARAVGGKSADEVKSYYKLLEHDVHRIENGQVAFPAYRCPGAGMGAYEADRCTRDLLNKHPVCHPAYKAVKLACACAADHRVYCMQAEAFEDLGGMTIRFEHHFLPINVKASKRASN >Et_1B_014033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28022298:28026281:1 gene:Et_1B_014033 transcript:Et_1B_014033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRKRHEPPAATAASAVASVFGSRDLFHEIILCLDVLADLVCAALVCKHWFRLVSDAVFLCRYRRRHPLRPLGFFAVSSAHLSMRFVPMRRPSALARIHNGFKASVGKDTTLSVSGCRNGRLIVATKRGRDGVRKFAVFSPLYHARGTPALKPLPPAALIEREHCFRHVLLPEHGGDGMSCTAVTVMFDDLHYSRVLVHVSELQAGAWGEERVSNILELPQLWGWISQPQFLLASGKIYILGAKEKLGGTADMIKPSELE >Et_7B_055905.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7184849:7185187:1 gene:Et_7B_055905 transcript:Et_7B_055905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWTRNGMLNFSQPSSPSPFGGFYGPGQGASSSSRRSHGCRGQDKEQRNLEKNLTKVRKEWMQVKEEMGYARLLSEHLTETVTEADRKVAAMLEELDRTDKYMQDILSQQK >Et_2A_017302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3330346:3335337:-1 gene:Et_2A_017302 transcript:Et_2A_017302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSDAGARAGDQSAAASKQVDNHGIETSLMDDLINASREFFRQPLDEKQKCSNVVDGKHPQVEGYGNDQVRFEDQTLDWNDRLHLKVEPEDERNLALWPKHPKSFRAVLQEYTMNCKRIKDCILRTMAKTLGLHEDYFVSQFSGKAPIFARFNYYPPCTRPDLVFGIKPHSDAGVLTILLMDKDVGGLQILKDDKWYDVPTSPHRLLVNLGDYTEEARPDLAVATMPEPIPIVDLSRLSAASDGHGPDDEVDKLRSALQSWGLFLAVGHGMEPNFLAKVMEVTRGFYNLPMEEKQKYSNLVNGKEFRVEGYGNDMVVSENQTLDWCDRFYLIVEPESRKVHSLWPLQPPDFCDVLREYTARCREAVDLVLANIAKLLGLNEGYFLDMMAEDAVTYARFCYYPRCPKPDQVYGLKPHSDGSIITVVFVDDTVSGLQVQDDAGVWYDVPIVPNTLIINVGDAIEIMSNGLLKSPVHRVVTNMERERVSLVMFYTMDPEKEIEPAPELVDEERPRRYAKMKTKDYIAEIFETLARGTRAIDNVKI >Et_5A_041922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4302017:4312704:1 gene:Et_5A_041922 transcript:Et_5A_041922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGPLCTWLVAACLSATCDADDHKQKHCFPGGDGAMFGQRRRPGARRRGAARSGVTMAVALQAERGVIEKKKPDIKQRRVVVTGMGVVTPLGHDPDVFYNNLLDGVSGISEIERFDCSNFPTRIAGEIKSFSTDGWVVPKLAKRMDKFMLYLITAGKKALENGGLTEEIMNELDKTRCGVLVGSAMGGMKVFNDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRRGEADVMLCGGSDAPLIPIGLGGFVACRALSQRNSDPTKASRPWDVDRDGFVMGEGAGVLVLEELEHAKERGAKIYAEFLGGSFTCDAYHMTEPHPEGRGITLCIEKALDDAGVTREEINYVNAHATSTQSGDLKEYEAIVRCFGQNPQLRVNSTKSMTGHLIGAAGGIEAVASIQAIRTGWVHPNLNLENPEKAVDVGVLVGSKKERCEVNVALSNSFGFGVTMAIALQAERGVIEKKKPDIKQRRVVVTGMGVVAPLIRTCFTTTFWVSDAYASSAIWSNILMEWLTFGLDNTENCRGDKNPFLQMVGLYLRLLKDGQVYAVYLITAGKKALENGGLTEEIMNELDKTRCGALRVSYKKMNPFCVLFATTNMGSAILAMDLDVMLCGGSDAPLIPIGMWLYFVFPTTFQCFNYYVRAGLGGFVVCRALSQRNNDPIKASQPSDVDRDGFTMGGGAGVLVLEELEHAKERGAKIYGEFLGGRFICDAYHMIEPHPEGRGSTLCIEKVLDDAGVAREEINYVNVHATSTQSGDLECEAIVRCFGQNLLRVNSTKSMTGHLIGAAGGIEAVASIQIYPVKSYLEVYLCMNIARQAQAKQHKLVGVRLLATKLLPARKAQMRCFETPTNCKLWQWHC >Et_4A_033620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24991296:25002667:-1 gene:Et_4A_033620 transcript:Et_4A_033620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSDSSSPDGSNSPRTPTSAPTSATAASPASSSSSRSDKKKTKSETPRKRDKLFGSASVAAAAAPRSAASSASSSPAGKGPSAAQLRDAGGGASAAALSPILASSLGLNRIKTRSGPLPQEGQRIAAALGSSNLSRGQAQAQAEPSAASAGGRKGGSSWADSSTSSSSRGKGKVPELPMRGASGASLGTEGKSAVKAKSSALRNHSGDLRTPTHIPEAACAYDPCETPKESESPRFKAIMQATSAPRKRGPGDIKSFSHELNSKGVRPFPFWKPRGIYNLKEVIKVIQVRFEKAKEEVNSDLAIFAGDLVSIMEKYADSHPEWKETLEDLLILARSCCVMTPGEFWLQCEGIVQDLDDHRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVVIDQRDKIIQSADRQILPQPDDTNRACKSEARKSYSQEQHNLKWKRSQEIKPVKLLPPLETDVKKEVDSPPKERISSWKPFPSPVTKSPKELTPTKGESSNKKTDAVSTVSSRVELTSPVESTPQHLPPKHQHKTSWGHWSDQPNISEEGSIMCRICEEYVPTQYVEDHSRVCAVADRCDQKGVSCDERLIRVAETLEKMVDSYSQKDIPNAAGSPDVAKISNSSINEESDGPSPKLSDWSRRGSADMLDYLQEADSTISLDDIKNLPSMTCKTRFGPKSDQGMATSSAGSMTPRSPLTTPRSNHIDMLLAGKNSINESDDLPQIVELADIARCIANTPLDEESALSQMVTCIEDLQEIVNRRKHEALTVQTFGTRIEKLHREKYLQLCDSVDMDKIDSASTIMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYLAEVVLALEYLHSMQIVHRDLKPDNLLIAHDGHVKLTDFGLSKVGLINSTVTDDLSGPAVSGASFYGDDEAQMSEVEQIDHRERRQNRSAVGTPDYLAPEILLGTGHGASADWWSVGVILFELIVGIPPFNAEHPQIIFDNILNRKIPWPHVPEEMSFEAQDLIDKLLTEDPHQRLGANGASEVKQHPFFKDISWDTLARQKAAFVPSSDSALDTSYFTSRYSWNTTDENLYETYEMEDSSDNGSLSGSSSCVSNRQDDMGEDSGGLNEFESSANVNYSFSNFSFKNLSQLASINYDLLTKGLKDDPPPKSET >Et_9B_064593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16808838:16816343:1 gene:Et_9B_064593 transcript:Et_9B_064593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLVKRRRVGVSDGRLRYAEVSAEEPFLIKLFTLDEESGCWTLDHQVQFADLFSRGGFTPAPLFGAIDPLNADLVRVSIDKELTLVVDMRNKEIVGSSPLGNGTKLINSTAPRAFLELAEPPCFSKLVVPGHFIDPKPRIGSDRASRGIFGGMVRATSGDGHLLLKFSDGPATAADAAKVRAARKGELIRRHIDPDITRFVCNALTGEMFRLPDVDGTKKVRTWYPKGLLTQSDRGDGTPSRSSAMKVKGPSGPSSCGGSSRPRRIDLDHDVIAFAGRLWWVDLTWGAISADPFSDRPQLRFVELPWGSVRPVPGPGPNEKFPAQAVYRRLGISEGRLRYVEVSQKEPFILSSFTLDDDGGGWTLQHQAALSRIWADAGKQEGTPRIGVIDPLNAHTMCVIIGNYALVVDMDMGKVLSCLHIGDRGGPLAMFSAFLKPCLLPPWLGTSRLPFAGKEPFHVACVGCTESKNMYAPGDWHSGNRLVHSSPISTKPVPQQPSPVWLKPATHRQHKLTSSAASVDTTRSNLLVFSLICY >Et_4B_036607.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9803313:9803408:1 gene:Et_4B_036607 transcript:Et_4B_036607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIFIKEEKSQVSKIVPSRDSHNRIEHGNK >Et_4A_032854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14695265:14698009:1 gene:Et_4A_032854 transcript:Et_4A_032854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIMMRVDLECPKCHKKIRKVLCKIQDKVSIRTINYDERSKTVTISGPFDADDVADRLTSDARKVITDIHVVGGSQKHVVKGSKPGKSDGHGHGHNHGGGGGKADKGHGGHGGGGKQEIMGYGGGGGGKQEMMMHGGYGGGGGGKADKGHSGYGGGGGKQDKGHGGYGGGGKQDKGHGGGKPDKKQVKFDFSDTDDDDFFDDEPDHHHGGGHGGKPKIITTTSTPIAARLDAPRTGPSMAMSAAAPMRMPPGMGPQMQMQPQPQAMAVPSIWPAPAAPEWGYSAPQYGSHPAGGGYYGVPAAAYGHGGYGAPAYGYGRNPYGPPQYYDEEPSAGCSVIQNNFQCEK >Et_3B_031136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17671705:17672672:1 gene:Et_3B_031136 transcript:Et_3B_031136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWCVGRRVAGYLSLRVQQLDVRCETKSKDNVFVTVVASVQYRALADKAYDAFYRLSNAREQIQSYVFDVIRASVPNMNLDQVFEQKDVVTRAVEEELAKAMTMYGYEIVQTLIVDIEPDEVVKRAMNDINAAARLRVAAAERAEADKRAEGEAEAKYLAGVGVARQRQAIVDGLRRFVPDEKSVMDMVLATQYFDTIRDIGAASRAATVFIPHGPGAGAAR >Et_7A_051008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13554129:13555697:-1 gene:Et_7A_051008 transcript:Et_7A_051008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALAQEKGQVRSVPMEEDQDGRNKETPSWVVEIESLLNDDGVADPAAEAAVWRKHSIYRVPAHVKNGKKHTSPYGPQLVSLGPFHRDDPALAPMEEHKRRALLHLLRRTGAAARELVAALETVADRLEDAYMDLHDGDERWRGRGGRDAFLRVIRAAEAGGAPGDYADNDPIFSRHGELYMFPYVRRDMLMMENQLPLLVLQRLVAVVYGPDAATDDAINNMVLQFVSLTPDPPELHGGGLALHPIDVCHRSLLHGTPSQVCKGRRDEFVPSATELDQSGIHFARSLTRSLHDIHFLRGVLYIPELAVDEATEHKLLSLMAFERLHAGAGASNNEVTAYVFFMDNVIKSAADAKLLSSKGVLWNGLGDDKAVAKMFNRLARRAVLDKRSPLRAVHGEVNAYRETRWNEWRASLIQNHAGNPWAIISLVAAVFLLVLTIVQTVYTVLPYYDQQRPNAWSHNFHDEL >Et_6B_048908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15323188:15329031:-1 gene:Et_6B_048908 transcript:Et_6B_048908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHIGIPQETIHLKGKTVFNAEKRRRRQVMIQPSSNLSTTQLIIFHSFVNSVYYCLRATLGSLSMLVTTELARSCGGDWLALVSFLEWRRSRAVLPGCFHPFGYNVLTTSAAIMDHEEATRKNMSGGEVPAVLEISKLSFQSHPHHESSSSEPRGYRVDSGYKSFYLDKSYEVPTGSQSILHISFSHLDYNLLHKTVPKSEVHAADESSQTTVNDTELSSPPINVNDLDMKWKNVQIDPDSDGSIATSEAS >Et_3B_028402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14775316:14776066:1 gene:Et_3B_028402 transcript:Et_3B_028402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTTVLPVSARFRTVLITIEAARASSPEVGSSMKIMEGLASSSTAMVNLFRCSFERPFPPACPTCVACKDVSSKSSMTSSTNICIHRDGNQLLCNSCIISVSRMYRDQTNTSISVVLPAPLMPINAVRTPGLKAPLTPFRISKRSLVSP >Et_3A_023212.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:11646347:11646979:1 gene:Et_3A_023212 transcript:Et_3A_023212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPEWCTPALHDARRSIACPRRCSCTAVRGARRARRLGRRPLRAAGVVKLQLVGRLQHPDSTGDRSPPRGRNRVVAFLSTRRCRRPHTRRRRRGSRGVVAEAVLLVPGARDGLPRAAVGDGEGEGGGAEKRDDTHECPSGGCVAMSTSRGTDDDMALVRELPDDVLVDVLRYLPPRSLAASRRVCRAWRDAVDAHGLLHADLLPLAVR >Et_9B_064088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11086181:11104143:1 gene:Et_9B_064088 transcript:Et_9B_064088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIGSRQLTVLREFRPHGLAVEEADGEGAPGARPPPQDQGYDYFLFDPAVAASPIPDPAEASSVSGSDGDHELFIRGNRIIWSNGSRVHKRYVSPNTVIMACWCRMNAICDALLCVLQVDTLSIYNVTGEVVSIPLPYAVSSIWPLPFGLLLQKSTDGGHIVPSSSSLLHARDLIRPNKEYGFNFNVLYQGSTLEVDSKADGAIMSSHLILKHPLEEPQAAYVDERDRLTIMKDFDENIIWTSDTIPLVASYHKGKFQHSIWQIQGTSYQEAVNENTVLPASCDISSHKCAFRKIWLGKCSQSSASKVFLATDIDGTPIICFLLHEQKTLLAVRIQVDETTDETSGDIQHHMSWNIHAFAAAPVIVTRPRVRIGVLPFADILILSSDNDLLLYSGKQCLCRYTLPIVLGKGIFLNGDVNSSNIYRDLKITSIADAVEDRINVTCSNGLMLRCSLRKKPSSSLVNDCITAMAEGLQSCFYNHFVSLFWSVSGAAYQYSSSHTDSEWESFSYEIGKVCTKYGQTFPTMSPTSPSNAWDFLINSKYHAQYCRRTPTSSSSLLPVSYKPVLQDEHSSDDQHSSDASFCIQFMRETLETLHALYENLKLNILRKEDLGLLASLLCMVASSLGEHSYVDYYCRDFTLDLIQFHSLAASSDLKTPPSLFRWFENCLRHGCDSANLEDIPALMCKQKGSAMSWGRKVVSFYSLLLGAERNGRFLSSGVYCEVASGSARNTEELTVLAMVAEKFGRQQLDLLPVGVSLVLRHALDKCRDSPPDDWPAPAYVLVGREDLATTKIGLSVKKEKAFWNNDNLTSMSVPYMLHLQPVTIPSTASDIPTSEVLNSEDSDSVYRSVEDGMEHIFTSTTQLRFGHDLRLNEVRRLLCSARPVAIQTPTNPSVSDQDLQQQQLWNFAQRTTALPFGRGAFTLATTYTLLTEALVFPKLVLAGRLPAQQNATVNLDLSSRSVSEFKSWAEFHNGVAAGLRLAPFQEKMLRTWIQYNRPSEPNFTHAGLLLAFGLHEHLRVLTMTDAYRYLSQEHDITTLGLLLGLAASHRGTMDPAISKMLYFHVPSRHPSSTPELELPTLLQSAAVMGIGLLYEGSAHALTMKILLGEIGRRSGGDNVLEREGYAVAAGSALGFVALGHGSDAFGCMDTFLDRLFEYIGSKEVYHEKNLNATIDDQTGNTGQMMDGAQINVDVTAPGAIIALGLIFLKAESEEIAARLSVPNTHFGLQYVRPDFIMLRIIARNLIMWSRIQPTKDWIDSQIPETVKFGVSNMSEGGVNSDEFDTEALFQAYIKHIPVRTASMLPKGLLQHVDRGTLELCLHLIVLSLSLVMAGSGNLQTFRLLRYLRGRISAEGQVNYGLQMAVSMAIGFLFLGGGTHTFSTRNSAIAALLIALYPRLPTGPNDNRCHLQAFRHLYVLATEPRWVQTVDVDTGLPVYCPLEVTVAETEFYDETNYSEVTPCLLPERTVLKSIRVCGPRYWPQVIKLTPEDKPWWRSRDRTDPFNGGVLYIKRKVGSCSYSDDPIGCQSLISRAMHEVGDTPAASCSTQSNSSNHSSFRVDQLVSTFSANPSLIAFAKLWNGNFREFCSQVLYECMSKDRPSLLQVYISFYTIIESMGEHLKTGHFPFYGSLFLPNLKVALAYNEALVDGRITNGGVIQSTFLESLLKRVGDMFAELPNLKDSFCSYLSTGKWPDAQKDAVILSWYLQWYSIPPPHVVSSVIEKVEPRVPAGISMLPLLRLLLPNTHLVGLMQIEKAHIAMKSEGLALQMQIQ >Et_4B_039389.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1385626:1385955:-1 gene:Et_4B_039389 transcript:Et_4B_039389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAGVQLGTSKPQIATQAEMAEARVPLPYRDQCAHLLIPLNKCRVAEYYLPWKCEPERHAYEKCQYELVMERMLQMQKIREAQEAKAKGGASIGLIPAATAKLA >Et_7B_055745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3232931:3233589:-1 gene:Et_7B_055745 transcript:Et_7B_055745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRPATAQQREHGQLRRRGALPRVHHHTNVDRIWYIWNRLRPSNTDIADLDWLSAAFIFYEEDARLVRVRVRDCLSASTPPRCLDVDLASLNARPSMEAGDAEGGRVGEHDAAPDVEEPQGEGGGGGGAGGARDRGPRPLQVRQVRRDGERERSAAAAPLVRADSTTMGSVRTTARFGITDLMDNIGDAGDSLIVSRSFAGEMVTAGGVSIEYVN >Et_10A_001105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21158058:21162539:1 gene:Et_10A_001105 transcript:Et_10A_001105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTNLLLEEPIRMASVLEPSKISFFPAMTKIVGTLGPKSRSVDTISSCLKAGMSVARFDFSWGDAAYHQETLENLKLAIKATKKLCAVMLDTVGPELQVVNKNETPISLEENGTVVLTPHQGQEATNSLLPINFSGLAKALTPGATIFVGQYLFTGSETTSVWLEVSEIKGDDVVCVIKNTATLAGSLFTLHCSQIHIDLPTLSDEDKDVIKKWGAPNKIDFLSLSYTRHAEDVRQAREYLSTLGDLSQTQIFAKIENVEGLNHFDEILEAADGIILSRGNLGIDLPPEKGVMPFSLVLRLFVAEKVFNQDLYYKRTVKHVGEPMSHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPNMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTNATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDSSVVKIIELDD >Et_1A_008008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40007230:40010942:-1 gene:Et_1A_008008 transcript:Et_1A_008008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRAAVHRSSALVLLAIILAVAISASAIGDKITSCNAELEIGLSNEKPRNHLDLRNRLNSKGQREGKVIDYRVSELRVVELLDGLCDKMQDYTLQKLESGEKGWVKVRDWNSFQTEKKAAARAHSKNLSTFCGRLLEETEDELAEWIKTSSAESGSVSKALCEDISKQCRSTRVPISVAFTFDLYPTN >Et_2B_020146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17330127:17333781:1 gene:Et_2B_020146 transcript:Et_2B_020146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPRLHRILVAVALLLATAASSAGAGTPHISAVISQSGLDFAKDLLLSRVLETLTPLTVPDIEKSVSIPLVGTVRIAATGIVLHDLAVDNSTIAVGDTGVVVAASLASANLSMEWSYSYSAWVVTISDSGNASIQVEGMEVGISMGMKSQNGSLKLFAMECGCYMKDLDITLNGGASWFYQVFIDAFSNHIRSSVENAIVNKIMDGASKLDSFLGNLPKKIDLDSVAAMNVTFVNDPLFKSSSLEFDIDGLFISSDGSSVPRHMLLGDIGSVPPLGSSSKMLWISLDEDVFNSVSVLYFKAGLLQHTVDKVPDQFLLNTASWRFLIPRLYRKYPDDDILLNISAISPPSVRITVGRLDATVDLNVVVNVLDSGEIVPVACISVSVTVSGAAAVSGNNLVGRVELDYFSFTLKWSKVGKLHTVLVQTVLRIVLRSLFVPYVNSYLEQGFSLPIIKGFSIRDAYILTSYSKMMVSCDVAFIEPKALYPV >Et_3A_026219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5685854:5690714:1 gene:Et_3A_026219 transcript:Et_3A_026219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LHSFVASSVHAGGNLTHHSAPSLCHPDQATALLKLKQSFLFDLNSITTLPSWQAETDCCLWEGVGCSNSSGHVTALNFSGFGLRSLGIDPVLFNLTSLRLLDLSMNSFYDAEYGIPSAIDLERLTLLTHLNLSNSGISGQIPTGIHKLTNLISLDVSTYGYKYEDAYSYGGYPYSGGDLWEDYFPTLVANLINLRELKLDGVGIAARAEDCFKALAKSVPCLRVLSLEGCSLQGHMDQSLSRLYFLEDINLSYNDGMNPGPFPEFFMHFLNLSVLQLAGTNLEGWFPRTTFQSKDLTVLDLSDNLNLSGSVPKFSRADSLQILMLHMTNFSYVKPSYFSTFKSLMELGLDAKLISMDSHTTFGMRKSLRSLVLTRLHLLRELERIENIKNLTSLRFLEVSRSNFTTETLSIVASLNNLKSFSIFECDSLGQLPSAIGNTSNLESLDIFDCVYGPIPREVGAIHKLTSLRLSSGGLFGRIPSSNINLTRLTQLELDDNYLSGEIPSSIGGLTQLTQLNLGSNYLRGEIPSSLFTLPVLQILDLSRNQLSGPIHEFDMASSHLEEVTLGMNELVGQIPRSLLALTNLRSLDIGSNNLMGLVDLASLWRLKNLVFLGLSYNRLSVTDVEGSNSSSTYLSWLQGLELASCNITKIPNLLARLNHMVSLDLSGNKISGKIPKFIWERWNGSLRLLNLSHNMFTGMELTSYVIPSRVLFNIFDLSYNKLQGQIPMPSSSAEFYDFSNNAFSSVLPNFTLYLYATGYLSMSNNSISGYLPHSICDSTLNVLDLSYNKFRGPMPPCLIEHGSINVLNLRENQFEGALPSNISRILPPEWLETLKSMERSNNTGEIIGQPILRYGGFYKDTTSISYKGLYAPFGTILTTFSAIDLSDNSLEGIIPASIGKLVSLHVLNLSQNAFIGEVPPQLGGITALESLDLSSNMLSGEIPQELINLTFLSVLNLSNNQLEGKIPRSRQFATFQSSSFDGNAGLCGLPLSIQCGTPDSPNDTHMASSSGSIDIVLFLFVGVGFGIGFAAAILVRPSWIKKWFRHVTLANMT >Et_4A_034216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30920852:30924835:-1 gene:Et_4A_034216 transcript:Et_4A_034216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSTATVASSSYHCDLLLFPTSRRSWRGPRSRGAAGAGARLAVLERASTAGAGAGSRLALLERAGAAAAAARREELVPAAAAGGRNSYEVESLIERLGNLPPRGSIARCLETARHRLTLQDFAAVYREFSRRGDWQRSLRLFKYMQRQSWCRPDEHIHAIVIGVLGRQGPALLDKCLEVFEDLPAESRTALSYTSLIAAYARNALHEEARALLDQMKSAGVAPTAATYNTVLAACARATDPPVPFDMLLGLFAEMRHDVSPSVRPDLTTYNTLLAAAAVRSLADQSEMLLRTMLEAGVSPDTVSYRHIVDAFAGAGNLPRVAELFSEMAATGHTPDASAYLGLMEAHTRVGDTAEAVAVLRQMQADGCAPTAATYRVLLDLYGKQGRFDGVRELFREMRTSVPPDTATYNVLFRVFGDGGFFKEVVELFHDMLGSGIEPDMETCEGVMAACGRGGLHEDARGVLDYMTREGMLPTAAAYTGLVEALGHAAMYEEAYVAFNMMTEIGSLPTLETYNFLADAYAKGGLFQEVEAIFSRMSNNAGIQKNKDSYDALIEAYCQGAQLDDAVKAYMEMRKSRFNPDERSLEGVLNAYCIAGVIDESKEQFEELQSTVTEPSIIAYCMMLSLFARNDRWTDAYGLLEEMKSNRSSSTLQVIASLIKGEYDDSSNWQMVEYALESSALEGCDYSLRFFNALLDVLWWFGQKARAARVLDEAVKCGLFPELFRDTKLVWSVDVHRMSVGGALVAVSVWLNKLYDRLQGDEELPQLASVVVLRGQMEKSTITRGLPIAKVVYSYLNDTLSASFHYPKWNKGRIVCLKSQLKKLQSAIDSSNGSAMAGFVPMSNTDLPSPGSKIYTRQAQADNGTGHLPDEPLVEEKESELLPL >Et_5A_042511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17442185:17443780:-1 gene:Et_5A_042511 transcript:Et_5A_042511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSELATLCGVDACVVTYGKGEAQPEVWPPSVADAARNHIQCVNKAIDKLHLQGFGGELGALVYGSFGTKAGFGGSFHDAGHMPHLGNMGAGFAWPDHHPGEKHLVSINQMDMHRGDDRWKIDDPGERMPTRKKNRGCIVGCREEALTLEGKHVCRLVLRLPVRAYILELWLIKL >Et_2A_015480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13579209:13584138:-1 gene:Et_2A_015480 transcript:Et_2A_015480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLRSSRQPEGTRSKQLWRCQGSAGQGTVVAGGRKKKKRRTEWPASSEINSASPAKHLFSRHTPVFDQLVRSQSTKQLRSPANTGDWYHTRLVKSFIGEPRLSVSCKNDGENIGVMNSLLGKLTDLMGQEYAKIKGLRKEVRFISDELSSMNDLLERLADIEEIKALVRETSERRERYKLDECIPTLSHAAIDQRIVALYEKAANLVGMEEPMNELINRLMDEEQLLKVVSIVGFGGLGKTTLVNQVYNKLGAEFQCRAFVSVSQKPDITKLLSSLLSQLQRHRQPSFYDYELHVLLNTIREKLQDKRYLIIIDDIWDVKAWDVIKCAFPENHRGSRLIVTTRILSVANACCSNCNHDVFNMKPLGGEDSRTLFFGRIFGSEEACSPKYKEFSVEILKKCGGLPLAIISIASLLASEGNKMKIWEHVQNSLRSMSGANLNLQGMREILNLSYRNLPSHLKTCLLYLGMYPEDYSIRKSKLLRQWIAEGFVTKSNGGDVWEDASRYFNELVNRSLVQPLYIDDDGSVRKCKVHDMMLDLILCKSAEENFITSVYDQSGITGLNQKARRLSLCGIGEDNSTILAGDIGLLPKNISLSQLRTFAIHQEMKYIPPLSGFKFLRVLEVSIYPGGTIENIKIDLTELCKLHLLRYIKIRGCQSCQMSTQIRELQHLETYDIDGDMIPSDIVHLPCLSYLRAGQGAKELPDGISNMKSLRYLWKFDLLDNSKESIRGLGELINLTFLKLTNNNWSDEQGTHELLFSSLGKLCNLKHLAVEHVLGGHRFDGLSTLSPCLETLHLTSCWFSRVPNWMSGLRNLCELSIGVGNLHESDALASLAELPSLFNLTLYIGSSKEMIVIYPSAFPVLKSFAFYCARSWSSYAHLTFQVGAMPKLRRLHLDFSVGGWNKHQALPMGTEHLSTLERISVCIECFGMDVREAEREEVESALKSAASSLPCSPPVSVHWDDYDFEFWGHESYAGPWTFMTCS >Et_8B_060322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9476089:9476902:1 gene:Et_8B_060322 transcript:Et_8B_060322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLYKDQWRGDSECRHTSPCFVDAKQRRGLPMHGKKGRHGLPQHVVCCRGHDRLFLQQLSLHLEVRLRKGIRAGECSRLDAPPGTGVLRRINNETMPNIQTFHPASWLQNNRGAFSFTIWI >Et_4A_033041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17491391:17494678:-1 gene:Et_4A_033041 transcript:Et_4A_033041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISSYLAVGGWFIQVIFDKYLSYQLRKWAADCGIEHELDRICVALLRTQSVIHGTELVQALPYSSLPWMQELRDVMYDAEDLLDKLEYNRLHNEMEESSGNESSSSPISAFVHSRFRNQGVPSSVAEPSWDRSAKVKNKLVNLLERIEQVASGVSEALSLSRNIKSNNRYTMTSSIAFGKIIGRDSEAERLVKTLLSYQDDNPVSILSVFGVGGIGKTALAQHVYNNTRIKENFDVRMWICVTDLFDELRITKEMLESASSSRFRHDGTTNFNRLQVALKARLASKRFFLVLDDVWKNDNITMAIEQENWHKLLAPLQASAKGSKILMTTRFKMVAVMLQSSNIITLEPLLVNDCWSLMKASMFDETNHTIDSQFEDIGLKIAHTVSGLPLAAKVVAGHLRCKYSLDEWKKVLLRNAVWEEIMPILRTSYEKLPPHLKKCFAYCSIFPKGWEFESEQLILMWIAQGFVQPDGCKKMEDIGKEYIQDLCNQSFFTIQKKEFVTYYLMPPVIYELAISVGTEECFRIGVRHLTVHLDSLSLLDETIPYNNLRSLIFLTSRTVAPVNVSIPTVVLRNIKRLRVLDLSACKMERLPDSIRQCVHLRYLNIASSTIKTLPESLCKLFHLQVLNVSGCRLENLPYKMNNLVNLRNLTAANQIVSTIRDIGRLKYLQTLPSFKVSKEETNSIVQLGNLLELQGSLQIRNLENIDTPNEAKEAMLCKKGQLSMLQLMWASGRSEINAKREEDVLEALQPHQNLKRLDIMGWMGIKSPSWLESKWLSSLELIFLSGCNAWEQLPPLGQLPSVRTIWLQRLRMLKQIGPEAYGSGSLQMPFQSLEELVLDEMPEFNQWLWRGQTMRNLRNVVIKDCQKLTGLPPLPPNLTEITIARKGYWVPYHHDVKLAHSSNSRLNVSSLCIFNCPTLLARLSSQMTSEIIASFGSLRSIITDQTTILKWPLLRDRLEHIESLDIQDCSEITSFSADDGDALRQLKSLQSLCISGCSTLRSLPSTLSNIESLEKLVLWNCPELDSLPEEPLPVSLRKIEVALCHPQLKERLIKEHGADWPKIAHIPWIEMDGEILQRLQIEQ >Et_9A_062237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2148902:2159839:-1 gene:Et_9A_062237 transcript:Et_9A_062237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSIMKPLHADFAIAGAQEIEGAAGPREASPASAGDTRRLSSSAISMATACNLALLLVALVAAALSTTSVAALQNGFYRSSCPQAEATVRNVTEAIITKDRTMGAAFMRLFFHDCFVRGCDASILLDPSSSNPSVEKKAIALRGYDAVNTIKTAVEKACPGVVSCADILAFAARDAAVIQGGFPSFAMPSGRRDGVVSKFFDVFNSLPSPVMQLKDIVANFAAKGLNADDLVVLSGAHSFGQAHCSFVNGRLYPTLDPTMNATYGAALQAVCPPPGSDAGDPVLNNNRVLFVSDQQLLNNTDTAGRVANNSADPAAWMGKFAAALVKMGGIQVLTGTNGQVRKYCNAVNNVVSCADILAFAARDTAAIQGGFTFAMPGGRRDGLVSSATDVIQFIPSLAMNADQLIQSFGAKGLSALDLVALSGAHSFGVTHCSFVTPRLYPTVDPTMDVTFAANLKNMCPINSGGGRVLNMNSVSADPNVLSNQYFSNVLAGKVMFTSDQTLASSGATADLVKQNAGGDPVPWMARFAAALVKMGNIECNIICPALGFDYKVALITVCGNG >Et_2A_018578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33668499:33672288:1 gene:Et_2A_018578 transcript:Et_2A_018578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKHHSIDAQLRLLAPGKVSEDDKLVEYDAFLIDRFLDIVQSIHGADLRELVQECYEMSAEYDVKRDASRLDELGAKLAGLDPADAIIVASSFSHMLNLANIAEEVQIANRRRNKLKRGDFSDEGNATTESDIEETLKRLVTEIGKKPEEVFEALKSQTVELVLTAHPTQSLRRSLLQKHTKIRNNLTQLYAKDITEDEKKELDEALQAEIQAAFRTDEIRRSQPTPQDEMRYGMSYFQENIWKGVPKFLRRVDTALKSIGINERLPYNAPLIKFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYVSEIEDLMFELSMWRCNDELRARADELLSAPRKASKHYIEFWRAIPSTEPYRVLLGDLRDKLYNTSERWKDLLATGFSEIPERATIKSVEEFLEPLEVCYRSLVEVGDKTIADGCLLDFMRQVSTFGLTLAKLDIRQESERHTDAIDAITTHLGIGSYREWSEEKRQEWLLSELQGKRPLLVDDLPVSEEVADVLGCFRVLAELPADSFGPYIISMATAPSDVLAVELLQRECHIRNPLPVVPLFERLADLQNAPASVERLFSIDWYLNRIGGKQQIMVGYSDSGKDAGRLSAAWQLYQAQAEVAKVAKKYDVKLTFFHGRGGTVGRGGGPTHLAILSQPPDTINGSLRVTIQGEVIEHSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWSKLMDEMAVVATDAYRSVVVKEPRFVEYFRSATPETEYGRMNIGSRPAKRRPGGGITTLRAIPWIFSWTQTRFHLPVWLGVGTAFKSAIDKDIKNFQLLKEMYNEWPFFRVTLDLLEMVFAKGDPGIAALYDKLLVADDLKPFGEQLRSKYLETEQLLLQIAGHKEILEGDPYLKQRLRLRDPYITTLNVFQAYTLKQIRDPNFKVKTQPPLNKEPADVVKLNPASEYAPGLEDTLIITMKGIAAGMQNTG >Et_2A_017373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33677541:33679673:-1 gene:Et_2A_017373 transcript:Et_2A_017373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRHFAGLGSPARGATTGTAASTCFLRRYTPNFCTFADLRPAKPTAAARLHAEKPLQHQHQEEKAAPCQDDERHEPPTQALRVGIVGFGNFGQFIAAGVQRQGHAVLAASRSDYSAYCAQHGIRFFRSVDALCEEQPDVLLICSSILSTEAVVRAIPFHKLRPDTIVADVLSVKQFPRNLLLEVLPPGFGIVCTHPMFGPESGKHGWGTLPFVYDKVRVAEDGDQAAKCDQFLSIFEQEGCRMVEMSCAEHDRYAAGSQFITHTIGRVLSQLNLKSTPINTKGYETLLQLTENTVSDSFDLYYGLFMYNLDNLERAFEKVRQMLYGRLHDLLRKQIVERVPATGAFSGKSKDGMLSSATHSLVSPTTAEEKLHLSPVAVVTPPPALQSVASDPRKC >Et_3B_031713.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:8682656:8683576:1 gene:Et_3B_031713 transcript:Et_3B_031713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAAFLSTLAGSAATVSLSNTTPSAGKAVRFSPAQISNRRRGWRAVPQAAASGTEKQAASGKGEKKAAASADERVVQVHSIEEFDGALKAARSRLVVVEFAASHSASSSRIYPTMVQLSRTCGDVDFLLVMGDESEATRALCAREGVVQVPHFTFYKCARKVHEEEGIGPDQLAGDVLYYGDNHQAVVQLGSRAEVEALVDEHRGEGGKLVVLDVGLKHCGPCVKVYPTVVKLSRTMADTTVFARMNGDENDGCMEFLRDMDVVEVPTFLFIRDGKIVGRYIGSGKGELVGEILRYNGVRVTY >Et_4B_038029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25604677:25607535:1 gene:Et_4B_038029 transcript:Et_4B_038029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAALRAVELRLLLLARSGIGGEAVIPSLASRCRPASTAAPVDGELAGKNAYEVLRVGETSSYAEIKASFHRLAKETHPDVATAAGSRFLQILAAYEILSDSQKRAHYDSYLRSQRRVVQKHPRPSQYVYPSGSGSGIAVARESNVVEWLKWYRLTIDDIVTKKRVATCSGYFDRLESELYTAIHAAYYGPDVDSLDVLPDCFEAEERSVYETSELLHLVSGRDLFGVVTVADSVLELMDVCHEKLAPSGVTPSGVTLKVSRNVNKDSVFIDPVIRKKEMEYDGIPPSDAYKDIELHICGKVVAAASRSHKCNCIDNSDGEDHIHVYLVPDVVVGSDSMQGSVLLGTITGLATSGEEGSCCVYNGHGAKTHVIMKHRTLMVKHMHWYQVGDEVSPCECRCSRARLPPSKYWLFEPRCYMHDTGGWYIETFGRDKKGRTIPSPRQWDGFSEYSEKRLHPAMYLAAIAYRSLDLEDARRRHWSISNFLQSQLTSIHQLCKKLLNGDKEELMAGLQSISSDAAGPYA >Et_3B_028570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16916919:16926691:-1 gene:Et_3B_028570 transcript:Et_3B_028570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGGGGKGEEAGKVMGPLFPRLHVSDASRSGGPRAPPRNKMALYEQFTVPSSRFSSSASAHAGGGGGLGPSTSASQVYGYDRPMFQPFNVPSSEPAHSSKKVNGNSMNHQISSSRNESGRLSSQTNNNGIYAAESTAECTSQHRGKNVTKNSSRKMLANDDEFMVPSICSPRRPQQSTQEHVEVQEKCHNAVNKHLQRINVCDIRFMRSPKAKEKEPAQALKVVEVEGPSVQVSKDRFENKDAKACSKRDKVSIINSSGKPHLGNSGQEARRRNGSAMRTQNPSITGDTASCNPCTSIENTNMNSDLPKESFKEAGSKRKRSPGHHEKEQNDDLTDSVECIPGWEISPDEIVSAIGQKHFWKARRAIQNQQRVFAVQVFELHKLIKVQKFIAASPHLLIEGDPCLGNALVGKKNKLPEETLKAQTLSIANKVDIHPSLDQSDLSKENTEGNPPSSSRDDGFDGNHHGQVTTNGDLTSNPTAMPAAPDNEQNNWGVNPPQNQWLVPVMSPSEGLIYKPYAGPCPPAGSLLAPFYANFTPLSLPSTAYGVPMPHQQQHMAPPGAPAMPMNYFPPFSLPSMNPAAPASAVDQGSHAAVSQPNGDVQSRISCNMSHPSAIRKFYASRDSEPQASSASSPSYRLQGDGSGPVSFFPATSVPNAQPQPSSGSWEKQSHVIRVVPHNAQTASESAARIFRSIQMERQQNDLGGGGGKGEEAGKVMGPLFPRLHVSDAGKGGGPRAPPRNKMALYEQFTVPSSRFSSSASAAGLGPSTSASQVHAYDRPMFQPFNTPSKEPAPSSKKINGNSMNRQSSSTRNESGRLSLQTNNNDVYAAESTAACTSQLRVENVTKDSSGEKMANDDEFMVPSICPQQSTQEHEEVQEKCYNALNKHSQRINVSDVRSIRPPRAKEKEPVQALKIVEVEEKGSSVQVSKDRSGNKDAKVCLKRDKVSIINHSSKTQLGNSERQARSMNGSSLKTQKPTITEDTVSCSPCTDIEATNMNSVLPKETFKEAGSKRKRSLGHRDTGQNDDLSDSGESIPDWEISPDEIVGTIGQKNFWKARRAIQNQQRVFAIQVFELHRLIKVQKFIAASPHLLIEGDPCLGNALVGKKNKLPEETMKAQTLSIANKVGIHPSLDQPDSLKENTEGNLQCSSPDDGLDDNHQSQVRTNGPLTSNPTATPAAPDNKQNNWCVNPPQNQWLVPVMSPSEGLIYKPYTGPCPPAGSLLAPFYANFTPTAYGVPMPHQQQHITPPGAPTMPLNYFPPFSLPVMNPAAPASAVEQGSHAAVSQPNGNVQSRISSNMSHPSGIRKFYASRDSEPQASSASSPSNRLQGDGSGPMSFFPAASVQNAQPQLSSGSRDKQSHVIRVVPHNAKTASASAARIFRSIQIERQQNDL >Et_5A_042386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9407270:9407838:-1 gene:Et_5A_042386 transcript:Et_5A_042386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYYPMEAEEVHRGLHQWQRQWRMLALLSSGTALSPATAPPPRRQPNHVNWEETAAAHLFSANLPAVPRGVDTLSIVLGVRKEEIRVEVEDARYLVIRTELDVAAQEEERKSFARKFRLPGMVDADGISAEYAHGVLTVTVPRMHTRLRPAVGLLGAVPDRDSAAPAA >Et_7B_054741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:418560:423881:1 gene:Et_7B_054741 transcript:Et_7B_054741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKDCGGHKGCECERERLYRKCCAGLLALILLVLFVVLIVWLVLRPHKPRFYLQDLSVLCLNVTPPASTYLFTTMQATVASRNVNDKVGVYYDKVDVYAQYKDVAITVPTQLPVQYQGHSDQSVWSPYLQSMDHVQLPPELAVALAQDETAGYVLIDIRVDGQVRWKVGTWISGHYHLRVNCPALLTVNEGKGSYGLNAGGGNGYFRFQQAAACAVDIQITSPHRRRRRRRRRRRRRRRRRRRRRRRRRPEEDGFREPRRVVPVLPCLACGLFSCLCSILVSLAVTLGVLALILYLIFKPHMIAATVDSASLAQFDLSTNSALAYNLSVDITVRNPNKRVGLYYDDVESLALFQDQRFGYVQLDAFYQPTEASTKVSPQFHGQQPIQGGVTAANFRAQQSAGKFDVDVKLNANLRVKVWAFKVRGPKAKITCPLSLPAPGSNAAPFQTTDCRVGF >Et_8A_056302.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:20940563:20940739:1 gene:Et_8A_056302 transcript:Et_8A_056302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSRPEMHAARLATGGELFTFAWLLMLHNELGDSGTKRIELCGNQGNVAYVYHVDS >Et_1A_008587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:942860:943227:1 gene:Et_1A_008587 transcript:Et_1A_008587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFPEVSRKMPSILALVVAALLVSSCYASRQSPAGAGPKGIVIQDDPKCEVMLPCGRTNCVPYCQSIGLKGNAWCTSMPDMQIYCCCRVGA >Et_10B_002768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10852120:10862275:-1 gene:Et_10B_002768 transcript:Et_10B_002768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRPLDPSLAVAASARPRRGLGPSAYGGGSAGALRRRGAVAAPGRRVLSCRAGSAASAAERTKEKSRVDYSKQGIVQLRVCLEHQVKFGEHVGIIGSTKELGSWKNQVEMEWTPNGWVCQLEEPGETHLEFKFVIFSEGVKEKIWEDGDNRVVDLPKDGTFDIVCHWNRTNEPLDLSGTPKVKLAGEAAKKISEDATVSGNIFSEEIGNVSVAADGYPTPESESSKFGGLWQGSETVFMRSNEHRSKESDRKWDTTGLDALALKLVEGDKASRNWWRKLELVRGLLSESADDQNRLEALTYSAIYLKWIYTGQIPCFEDGGHHRPNKHAEISRQIFREIEKIYSGKNTSAQEMLVIRKIHPCLPSFKSEFTASVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRNAGPEDLVATEAMLARITKTPGEYSEAFVEQFKIFYGELKDFFNAGSLLEQVESIKESLSESGLEVLSSFVKAKKSLDQLEVAKHLDRNGSIKVLIETLQALSSLRSFLSKGLESGLRNDASDTAIAMRQKWRLCEIGLEDYSFVLLSRYINALEALGGSASLAQGGVSNGSIWDDALDALIIGIDQVSFSGWKAEECIAIGNELRSWKNKGNEDEKDVWVLRLKATLDRARRLTEEYSEALLSIFPEKVEALGKSLGIPENSVSKLCTVLLKASRAVLGSSVWDVLVPGVVHGALIQVERIAPGLVPSSIKEPVVLVVNKADGDEEVKAAGDNIVGVILLQELPHLSHLGVRARQEKVVFVTCEDDDTIAGVKLLEGKHVRLGASSNNVDLSVVSDKYDSAISSEQSTGGNLLAQELPKEFSLPLATDLDVSKAKNYASGVSGMSGVLELSEASIESSGAKAAACGTLSVLASLSSKVYSDQGIPAAFGVPAGAVIPFGSMEDALKNSGSLDSYASLLERIEIAKIENGELDSLSSELQAIVSLLSPSEEIIESLKNIFDPNARLIVRSSANVEDLAGMSAAGLYESIPNVSLCEPNAFGVAVGQVWASLYTRRAILSRRAAGVPQRDAKMAILVQEMLQPDLSFVLHTISPSDHDPKVVEAEVAPGLGETLASGTRGTPWRLSCDKFDGRVTTVAFANFSEEMVVLNSGPANGEVVRLTVDYSKKPLSIDATFRKQFGQRLAAIGQFLEQKFGNAQDVEGCLVGKDIFIVQSRPQP >Et_1B_009695.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:16060279:16061370:-1 gene:Et_1B_009695 transcript:Et_1B_009695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTERVMRGCFPPVRRPALPSPSLQGSKSTGSRPTSASMPPPAAAAFVDDDVLYPSEAEPEADAARLSTAIASRRFFFAAPGRSNSIVDSAGQARPRGGVSHQLLPAAASAGPNTDNNSNNARALRRAAANAFPASKSARRATPPSSGRLLRYDEILPVQVASGAPHADFLESMVEMAAAMGLDPRRGAADVAALQELLLCYIAVNEHSAIGAIVGAYGDLLCLFDDGGGEGRDADAEDAPRQMPSA >Et_5B_045212.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1204260:1204598:1 gene:Et_5B_045212 transcript:Et_5B_045212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLSAVAAPLSVAGLRKPASSAFQPLQTRARPAARMSVRASASMKEKAAAGITAAAMAAALVLPDVAEAATLTPSLKNFLLSIVSGGVVLVAIVGAVVAVSNFDPVKRA >Et_2A_017928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:843382:847063:-1 gene:Et_2A_017928 transcript:Et_2A_017928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPRQEQGREEEEEEERRRRHDDDDNDQITSPLLPSTSRGSSSPEEEEEENSPIEQVALTVPVGDDPETPALTFRMWVLGTASCALLSFLNQFFWYRKEPLTITAISAQIAVVPLGRLMAAALPERAFLRGTRWEFSLNPGPFNVKEHVLITIFANSGAGTVYAIHIITAVRVFYGKHISFFVSLLVILTTQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKEQRVKGGLTRNQFFLVAFMCSFAYYVFPGYLFQMLTSLSWICWVFPHSVFAQQLGSGLSGLGIGAIGLDWATVSSYLGSPLASPWFATANVAAGFFIIMYIITPIAYWFNFYKAQNFPIFSDGLFTSTGQKYNISSIVDSNFHFDTKAYEKNGPLYLSTFFAVTYGVGFASLTATIVHVLLFHGSEILQLSKSAFQDKKMDIHTKLMRRYKQVPEWWFICILVANIAVTIFACEYYIDQLQLPWWGVLLACALAFFFTLPIGIITATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYISMSQALTFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYIGTAWWLMETVPNICITELLPADSPWTCPGDHVFYDASVIWGLISPRRIFGDLGTYSAVNWFFLGGAIAPLLVWLAHKAFPGQNWILLINMPVLIGATGQMPPATAVNYTTWIFVGFLSGYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLIYLCLGLENISLNWWGNDLDGCPLASCPTAKGVIVEGCPVYT >Et_2A_017074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30689275:30691074:-1 gene:Et_2A_017074 transcript:Et_2A_017074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSLSLARLSLPRAAPLAAVSTGARTQPSASLAFPAKSFFGAPLAVSAPAAASPLARKPATSRSLAVVAAGKKGYKMKTHKASAKRFRVTGRGKIVRRCAGKQHLLGKKNTKRKKRLSKMVQVNKSDYDNVTGALPYLKVNRKAE >Et_6A_047610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8014731:8028387:-1 gene:Et_6A_047610 transcript:Et_6A_047610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRQGRSSRSDAAAGLTAFALRLTKNLSAADGKGADGGPKNVVFSPLSIYAALALIAAGESGDTLQDLLDALGAGSRGELAAFVRRVAERALADRSRSGGPAIAFACGMWHDAASPPRPGLREAAAASYKAVVRAVDFRKRPAKAVTEINRWVAAATNNLIDSILEPSSLSPDTTMVGTNAIYFNGKWEEPFTETSTIVDKFYGIYGTTTDARFMRSKTKQFIAVHDGYKVLKLPYRSQVQPQQGSSRTGTGNIPQHSMCVFLPDRNDGLPDLVDRISSSLEFRRDHLPNKRVPVGDFRLPKFKVFFSGKMNRVLGEDLGIKDMFRTSNTDLCDTAKGSDTTSMPLFVCDVLHKAVLEVNEEGTVAAAATACVRRSMMSKPGSRSLPRTVNFVADHPFVFFVIEESSGAISMARKRKRPIKKETDQKPPELNVDKQLTPLALRLAKHLSAKRATAGGDGANVAFSPFSLRGGRTRREILKALSVRSCKHLAKSVRKLRNACSRTSGPRIASACGLWHDETWPLLPDFQKTVAASYKADARAVDFRNKCLNSVPLQQDDAVGDINTWVATATNKHIDSVVSPSSVGQDTSLLLASAIYFRGNGDLGFAKDQTTVQQFYRLDGSTVDTPFMRSKKSQMISVHHGYKVLKLQYRWPPAPNEIEVGSSEPPHQEEEEEEEPSPTETEEASPPQYSMCIFLPDAPDGLPELIDRITSSGSAFPGDLLPDKRVLVGEFRLPKFNLAFSESIREVLRDGLGIEAAFDEEEADFSNMADDEGSGTPLFLGDIRHKAVIQVNEKGSMGCEGTTFGMRFLAGVRAGNPPKAVDFVADHPFMFFVMDEESGAIIVAGHAAEVRRQRPHGPGAPPVKAPRLLAVVRIRGARASVSSWPRWARGPAASSPSSSAASRIERSRTGRWTCGRCCRSTATRPPNPTRPWLAPSISASSRRRPLRRSTAVAAATNNLIPSILDETSVGPDTNLVLANAIYFNGRWETPFLKAHTKVDKFYRHDGGAVDAPFMSSGRRQFIAVRNGFKVLKLPYNRLRLVTALIPAEQTMS >Et_10B_002758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10611045:10618783:1 gene:Et_10B_002758 transcript:Et_10B_002758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGAGGGGVGGDGGSSSPPAGGAIGPHHDGSLEGEHRSSAYGLAFCSPLPKWLAPGPRRGGAVLAVQCRERAVGRLRFPWGSVQLGAHEAMWQMTLGGGESLEPGPYPERIGEPDCSYYMRTGLCRFGMTCKFNHPPNRKLAVAAARMKGEYPYRAGQPECQYYLRTGTCKFGATCKFHHPREKAAVTTHVQLNVLGYPLRPNEKECAYYLRTGQCKFASTCKFHHPQPSNTGAALHGSVYSPGQSATSPGQHTYPGAVSNWAMSRSASYIANPRWPGHSAYAQVIVPQSLVQVPGWNPYAAQMGSTSPDHQQRTPGTIQYYQGTYQSETTGMGEHGMFPSYQGGSVPVGHYAAQGENIFPERPDQPECQFYMKTGDCKFGAVCKFNHPKERLIPAPNCALNPLGLPLRPGEPICTFYSRYAICKFGPNCKFDHPMGTLMYGTATSPTDDAPTMNYQLEPSPRQSERYLDGGSGRSHRISQSDSQQIPSENGSTEREAS >Et_2A_018088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1080206:1082222:1 gene:Et_2A_018088 transcript:Et_2A_018088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVVTSLIFLLAGFVASLFTLLCCNRGPSTNLYARFSHLPLSLPNLDPGWTPTMIPFDLGDYGCNLCLDDVERDLPHLHQPLELTLVLDLTGLLLGHALGLCNLQLLPDLAYLGGVRGVGLLQLLPELADLSSVRLLKPSDVSPHPEEERRACGGHRLAPAGAHCRGQSTQR >Et_5B_045525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3621453:3629357:-1 gene:Et_5B_045525 transcript:Et_5B_045525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSLSASSMRCSTSLSSTTPFRFLSARRMANRALSPANRAPRRRMASWSSFLLIWPSRLANKFVDPSGHSPWKTRRKEMHLACQAESTYHAKDREETPCALDVYHVDIFMPRSFCANATRFSISVSSTKPLLFLSAWRRARPLLMVVNQPPQRRRASRSSFRLIRPSPSASNCLSHSLNSSTDMWSREEWMPLIAMAGWLVLASSQDDKILS >Et_2B_019282.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:21133713:21133898:1 gene:Et_2B_019282 transcript:Et_2B_019282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRLCRAKRGVEKGVSGCLEPVWIVLNTCGATPVSYLLNQKFAKFVPLTVLMSSVAQLPL >Et_1B_014337.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6273409:6274476:-1 gene:Et_1B_014337 transcript:Et_1B_014337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGWSDLPAELLMDIAGGITELADIARFRAVCSSWRAAAADAAAAPPPQPPWLLLPSAPSRLFFSPREDRIYPDLLLPHRAAEARRRRLYASPHGWTLAIDPTDLSAALLHPFTGAARSLPTLPAFFKETDDLAWDWSPQGIMASCGEGLLFCASDPPSDSWVPIPALADCNPSSINYADGEFFVFEEDVCRTTIVDAVTLAIAGVIPAPAVELPSEARLVVAGDELFLLVKSKWMYLFGDDIDFSKTFRVNHRSFEPAWQELDGIGDRALFVDSLHGFAVPTAGFHNLESNTVYSVTCKEVNSRRPSTVNYSVSAFNLGSRSSKKLPCRLNSQKMAMRGEAPSWIIPSLNEG >Et_2A_016516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25532672:25536529:1 gene:Et_2A_016516 transcript:Et_2A_016516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRHLRAAARQRSHRRPDAAGDVFSVKPDAEVIRRNKAITAHMRAGRVLDAEHLFTAMPRRSTSTYNAMLAGYTANGRLPLARSFFRSIPKPDSFSYNTLLHALAVSSSLADARALFEEMPVKDSVSYNVMISSHANHGLVSLARHYFDLAPEKDAVSWNGMLAAYVRNGRIREARGLFDSRTEWDSISWNALMAGYVQWGQMAEAQEMFNRMPQRDVVSWNTMVSAYARRGDMAEARRFFDAAPVRDVFTWTAVVSGYAQNGMLEEARRVFDAMPEKNAVSWNAMMAAYVQKRMMPEAKELFDAMPCRDVASWNTMLTGYAQAGMLEEARAIFDMMPQKDAVSWAAMLAAYSQGGFSEETLQLFKEMGRCGEWVNRSAFACVLSTCSDIAALECGMQLHGRLIKAGYGVGCFVGNALLAMYFKCGNMEDARNAFEEMEERDVVSWNTMVAGYARHGFGMQALEIFDKMRTTSTKPDDITLVGVLAACSHSGLVEKGISYFYSMHCNFGVTAKPEHYTCMIDLLGRAGRLDEALNLMKDMPFEPDSTMWGALLGASRIHRNSELGKSAAEKIFELEPENAGMYVLLSNIYASYGKWRDVDKMRVMMHERGVKKVPGFSWIEVQNKVHTFSVGDCVHPEKESIYAFLEDLDIRMKKAGYVSATDMVLHDVEEEEKEHMLKYHSEKLAVAYGILKIPPGRPIRVIKNLRVCQDCHTALKYISAIEGRLIILRDSNRFHHFRDGSCSCGDYCLGEASETEMLIHESGGTKQVGASVKDGRLSRGRMCAARYNSARQGRAGS >Et_4A_034415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32338389:32340046:-1 gene:Et_4A_034415 transcript:Et_4A_034415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRRQVVAVVLVLAAARASVGLDVPTMAFEEGFSPLFGEANMEQSPDGRTVRLTLNRYSGAGFISSDYYHHGFFSANIKLPKDHTAGVVVAFYVSNRIRSSFLSTTRSMKQSALSNGDVFEKNHDELDFEFLGNRRRHEWRLQTNVYGNGSTDRGREERYLMPFDPTAGEPHRFSILWSSRVVIFYVDGVAIREVPRSPEMGGDYPSKPMAVYATIWDGSTWATDNGKYKVNYKRGPFTAEFSDLVLRGCPAQTKSRDLLMLGSSEQREMCAGAEFELMTAEYAIMNARKRMAMRQWRQRQMLYTVCYDTNRYPQPFPECDVNIAERQKFWEWGESKVVRPRVRGRSSSRRRAPQLPPPALVSLQQAD >Et_5B_045712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7990940:7993245:-1 gene:Et_5B_045712 transcript:Et_5B_045712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALTEQTGFGPTKETLSAEMLQVLTTGNAARLDEELLSPEGQQVNGGHVAINLHAIAATPPDPGRGTHSCLLGVTSNGNTALHLVASRGHAKLAALICDRAPSLVATRNRGLDTPLHCRREAGTGSRRGAEEAAALRATNCLGATALHEAIRHSREEVVDLFMAEAPELASVTSDDGISPLYLAATTRSVQMVRLLLRPSPDGTPSPASSAGPKGRTALHATAISCKAMIRSNDDDVDNSGDESLPNGASKRKLSKEERLAILLKGDKSDEE >Et_7A_051381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17735473:17745486:-1 gene:Et_7A_051381 transcript:Et_7A_051381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLVVRKSAKQCKARWYEWLDPSIKKPQYPREPLTSPIPHPQSSRRNPRTQAASRRRRAGPVGGSRVGARRPPPPPPPRPLRRRNRGGGGRAPSGRGGKMRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPNDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRKRNRKRKGIDYNAEIPFEKRPPSGFYDTVGEDKPPEHLQFPTTIEELEGKRRVDIEAQLRKQDIARNKILQRQDAPAAIMQANKLNDPEAVTKRSKLMLPPPQISDHELEEIAKMGSAGDPGLVEELGEGSTATRTLLSSYSQTPRLGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGDNPDLHPSDFSGVTPRKKEIQTPNPMATPLASPGPGATPRIGMTPSRDGNSFGLTPKATPFRDELRINEEVDMQESAKLELHRQAELRKSLRSGFASIPQPKNEYQIVMPPITEDEKEETEEKIEEDMSDRLARERAEEQARQEALLRKRSKVLQRSLPRPPAASVEVLRQSLIKAGESRNRSTFASSMVEEEIRYLRVAMGHENEPFEDFVKAHDACQEDLMYFPTNNGYGLASVAGNIDKITAFQNEFEIVKSRMDDEAKKASRLEQKIKLLTQGYQVRAGKLWSQIQDTFKQMDTAATELECFQELQKQEQMAASYRVRNLTEEVNKQKALERTLQSRYGDLLSSYQRIQEQLEERRSQLKIQEAVEAENRAREEVAAQNHAAGEENEMNCNVEEEKGQMNSVAHEEPAGSKQINEDQMDVDNSNANGEFVGPIPPAPEHAQENNGEASVQENSSMTQGGDYGAGNQEDEKNKLPTVGASISEGNTALSSDQTVISEEKDMVSE >Et_6B_049137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18554758:18555253:1 gene:Et_6B_049137 transcript:Et_6B_049137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKGGQKDQQLATNWLGALKDAMYEADDIIDFVHHHQETLLHIPASDKLPIVARLPASNPTWVSGSRGRQLDQVQFGLRRNLSRTRSIGRPLGTPWWSRTPGLDVARAAVEAYLETRAMADRHGPCSTLLFQGVTQSHCPLGQCNKARSPWRP >Et_4A_034587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4608547:4618962:1 gene:Et_4A_034587 transcript:Et_4A_034587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSILLGYDISVMSGAQLFMKEDLKITDTQIEVLAGIINIYSLVGSLAAGRTSDWIGRRYTMVLAAAIFFTGALIMGLAPSYAILMLGRFVAGVGVGYALMIAPVYTAEVSPTSARGLLTSFPEVFINTGVLLGYVSNYAFHRLPVHLSWRVMFLVGAVPPVFLAAGVLAMPESPRWLVMQGRVGDARRVLAKTSDSAAEAEERLADIKNAVGIPDGNDDDVGVWRDLVFRPTPPVRRILIACLCLQFFQQASGIDSVVLYSPRVFEKAGLHSDSNSLGATMAVGACKTLFILVATFFLDRVGRRPLLLTSAGGMVVSLVTLASALHAIDRLPAGSASATPLAGVSIAAVLAFVASFSIGMGPIAWVYSSEIFPIRLRAQGCALGTAMNRIMSGAITMSFISLYKAITFAGSFYVYAGIAAAGWVFMFFFLPETRGRSLEDTEKLFGGGGDADGLDKEDGHDQHKRSTEVTRRGKITYIEEGSSEQSSLEVERGHGSHIQSYPNATRQRLRIYAISSSEGINADGPMHPRVQAYSSVSDLLKGFELEVLRLGFSLAHDAPRRGRARHAGVAAVARHAGPHRRRAPRARQELKNAVKAEERLADIKNAVGIAEGVGDDDDVVVMPRKNNNKGTHGEGVWRDLVVLLVTLASALHAIDRLPAGSATPLASVSIAAVLAFVASFSIGMGTIAWVYSSEIFPIRLRAQGCALRTAMNRIMSGAITMSFISLYKAITFAGSFYVYAGIAAAGWVFMFFFLPETRGRSLEDTEKLFGGGGDGRDKEDGHDQHNKSTDVTTQQ >Et_4B_037820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23767547:23771978:-1 gene:Et_4B_037820 transcript:Et_4B_037820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAADGASSSPGYILRATLTGHRRAVSTVKFSPDGRLLASASADKLLRVWSSSDLSPVAELAGHGEGVSDLSFSPDGRLLASASDDRTVRIWDLGAGGGARLIKTLTGHTNYAFCVSFSPHGNVLASGSFDETVRVWEVRSGRCLRVLPAHSEPVTAVDFDRDGTMIVSGSYDGLCRVWDSATGHCVKTLIDDESPPVSFAKFSPNGKFVLAATLDSTLRLWNFQAGKFLKTYTGHVNTKYCIPAAFSITNGKYIVSGSEDKCVYLWDVQTRKILQKLEGHTDTVIAVSCHPKENMIASGALDNDKTVKVWVQKEEE >Et_4B_036801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11668231:11671475:1 gene:Et_4B_036801 transcript:Et_4B_036801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPRPQPPAPTPPMAPLPIPVHPPIAPIPVPPPRAPAPSSAAAVASTSAAGGGGDAEYEVSDDHRAARERHERAVQELLQRRRAYAMAVPTNDSAVRARLRRLGEPITLFGEREMERRDRLRALMVRLEAEGQVDRLLRAQEDDQAAAGGAVEEEEEQIQYPFFTEGTQELLKARVDIAQYSLPRAKARIERAKRRHDDPDEDPEAEADLVVKQAGEFVLECSEIGDDRPLSGCSFSRDGSMLATSSWSGMIKVWSMPQITKVATLKGHTERATDVAFSPTDDCLATASADKTAKLWKPDGSLLTSFDGHLDRLARLAFHPSGKYLGTASFDKTWRLWDLNTGKELLLQEGHSRSVYGVSFHPDGSLAASCGLDAYARVWDLRSGRLFFTLKGHVKPVLGVSFSPNGYLVATGSEDNFCRIWDLRKKEMLYSIPAHKSLISHVKFEPQEGYYLATSSYDTKAALWSARDYKPIKSLVGHESKVTSLDISGDGQQIVTVSHDRTIKIWSCKSNTQDNAMELD >Et_4B_038893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5711397:5714378:-1 gene:Et_4B_038893 transcript:Et_4B_038893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLQVGLGTTVLKLDGRVQAQRLQHHRLGQSHPLERRKSNLAAGFGHVAADLGCQLLVELPASVGDVRQDPREQHLEAAVAVHMVANSRLLQASSRDRPRLSAVSSACDPAVEKYLYSALYSPLTRNGGRGNVWRSDSWMIMGMPSETRGWIVQNSHLAALAGPSLPRSVAEGRTPKKGSPMKSNEVASLSLLNSALPPACDLRLDGVEELGEVGAEGRHDGVLAGEQHGEEQLLHLAVGGVGRVEVGADAVATREGGGWRVRAREDVLLDLGEVEKHREALGVEERHHARVARHEGPRRHVDAHDGGAVAVDAGLEVLVLALEVVEVEPVAHGADHRQPVAARHGPRGAGVLGARHRASLDSSGYMAAIELESFALLNNVLPFWTEELPNKLLEEGELLSLNHLSCTDTGDSTAPLVVSCTTAEFTAGAAASVRFLPVPHDLSVARVEGAEEQIDDVVDMNAASSADGAHPLSCICSSSSATSAMDGLISLW >Et_3A_026883.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2524654:2525169:-1 gene:Et_3A_026883 transcript:Et_3A_026883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQGQGDVGEAPLYKDASAPVEARVRDLLRRMTLREKVAQMAQIERTVASPRALAELGAGSVLNGGGSAPLERASPVDWAGMVDGMQRLALSSRLGVPILFGTDAVHGHSNVYGATIFPHNVGLGASRHDRISHVRAGIPLTKLNHLTVDLAGQSFALLTLQGRGAGT >Et_3A_027159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3654904:3656356:1 gene:Et_3A_027159 transcript:Et_3A_027159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNRRFKTTVDPALAASAAYAAFGAAAAYPSTAPTATALAFALGYGALLFLLPFSVYALVFLLAPHTLCQTSKSMVVCAAATPVALLAAVLAVATTGAGAGDVVALAAGAVWAVDLAAVAALAWCLTNGGYTPIGWRRCDQYANYMDALRRSPELGRPMMILGDPKAAKRDAVRFLFAVSAACAVAGGAAVGVLSSAAGGLAAGAAAAVFLFALPVCHLYVPEYAVAPYPAMEGVLQRNPMAAWYVLLAPTALALCRLAAAVVEAAGTASWDDGAFVAVAAGAGAMWALDAATAVCLGRRIAWEIEKLPQHLYRAVKRRYGTENLLPSNYSYIKKEARPFLGRN >Et_8A_056742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16088584:16091801:-1 gene:Et_8A_056742 transcript:Et_8A_056742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGMMGDSFGCSATGERLVSAARDGDIQEARALLELNPRLARYSTFGIRNSPLHYSAAKGHHEIVSLLIESGVNINLRNCRGQTALMQACLYGHWKVVQILVLFKANIHRRDCFSGATAIHFAALKGHTRCIRLLVADYVPSLAEFWSIMRRKALRRIINAKSDGGVTPLHLAALHGHAESVQLLLDFGASVSEVTINDGSTIDLIGSGSTPLHYAACGGSAVCCQLLIAAGANMGAVNSNGLTPLMVARSWHKSSVEGILSKQPEGRIRILPSPYLCLPLMSIVKIARECGWRKTSASSTCQDPCVICLEVECTVAAEGCGHEFCTKCALYLCSTASSSTSIRGVPGSISCPLCRHTIVSFMKLTSTTPIKELPWTSKSLALCAAGANTSSNCASSLHRRSDMRRLRSSSVQLGCSSFRSIGSGKLSSIKLNCMGADEAIPCLVSCLRPDVPRSSSYRERIRRYSEF >Et_6A_047379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4817884:4820512:1 gene:Et_6A_047379 transcript:Et_6A_047379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQAPNKKNRGSAPALHTTTPSSPPRHLEGAPEEKAWTRRSTPEKSTTTTAAPAPPLVAAPEEKPWTRRSTPEKGTTTTAAPAPPVVAAPEENPWTRRSTPEKGTTTTAPAPPSRRAVLGETAFFSAPPGTGIATATPNAATNGTPPYSAAFTAAPTFDPLDPFTDVPRRALTEEEKWRCEVALQVFERKLTQPSEIKDEFKLLPQRREVLKSTELFTVARAHWPRNRHHDVLPFDKNRVRLYTSTHDYINASYIESQGKDQRKFISTQGPQPNMFEDFWQMVYENRCPVIVMVTPVEDKKCHEYLPLNDKGQKDYGKFNVEITKTRHDGQLELRSLKIQSKEADEVHSVLHIRYPSWPDHGVPDGSIFVRKIISRLYHIDPMEQPPIVVHCSAGIGRAGSTITILNTIERILLGEWSALELSETVRKFRYQRVGMVERETQYEFCYAAIVDELKELMCI >Et_5B_044241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21883675:21901595:-1 gene:Et_5B_044241 transcript:Et_5B_044241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRRPPFNSSLSSSALWKGRPEPRLADAWAADVEQRLAAGDNLGHGAAKRPDVHALVVHGQLERDLRRQVPLRAARFVQRRIGSGHGQPEVAGLDGAEVVGDEGVLGLDVAVDDAAVMHPCGPPATPRRAAWAPAAAEEVVHARAYALHDKAEVPGGRGADEAVEADDEGARLEELRHPQQLRLWVAVLVAGWIEAFDGEGPQTLGHDLWMRSRSTLSWCWSSPVIQSLTNDVDKNYGHAYSSDQEKDTKSVGVSSTPLFIGHWPCLHISLVDLVVHFVVAMSSSSSASTVAGSDQTPHVVEDCLGVVQLLSDGTVRRSTDYSSLPLLGDVPPDLPVQWKDVVYDASHDLRLRVYRPTTDAGAGEEEEGKKKKLPVLVYFHGGGFCVASFEVPNFHAGALRLAAELPALVLSADYRLAPEHRLPAAHDDAESVLAWLRAQVAAASAAGDPRLAATADFDRVFVCGDSAGGNIAHHVAIQHVLGRLALAPARLAGCVMLWPYFAGEERTPSEAASLGDAGEFMGAVLFEQMWRLAPPLGATRDHPAANPFGPGSVSLDEAADFPPALVVDPDRDVLHDRIVDYAARLKAAGKPVELVVFAGHGHGFFVFDPWGEASDELIRLPSSQDCAIAPSLLHLSDIAMSSTASPASGVPGDASPHVVEDCLGVVQLLSDGTVKRAPPPPLVLPDGAPLPEDAPVKWKDLPAVVLSADYRLAPEHRLPAAQDDADSLLSWLRAQTAAGSSTAITDPWLAESADFGRVFLSGDSAGANIAHHAAVRVASSGGAVAPARVAGCVLLWPYFGGERRTASEAACPADAFLTLALSDQMWRMALPPATTRDHPAANPFGPDSPALDAVGLPPLLVATGDRDMLVDRIRDYVARLKATGKQVELVEFAGQGHAFAIFKPETEDAGELVRVVRRKFHKLTTIYVPIWPNAFGGSVPRRDAFMTLVLYDQKRRMVLPAGATRDHPAANPFGPDSPALDAVDLPLLLVATGDRDILVDRIRDCVAIRRAGRRVRDLQAGNRGRWVACAHANHHGGAARGGARRPRPGAADERRHGAARRRAGDDDDVPPGGRRRQRRGVEGRDVAAGDGPRGAPVPAAPPRRGNEARIPVVVYFHGVGFFCLGSCRWSGTHAWCLRLAAELPAVVASFDYRLAPEHRLPAAQDDGARAMAWLRAAAADFARVFVAGDSAGGNIAHHVAVAFGKTGLSPPLRLRGHVLVTPAMAGATPTRAETEERPPDASLTAEIVDGYARLFLPDGATKDHLAINLAGPAAPPLEAVPMAPVLVVAAERDVLRERHEGYARRMKEEWGKDVEYVELAGVGHGFIDTDPWSERADELVKLVRRFVVQHMDS >Et_9B_065208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2419382:2426357:-1 gene:Et_9B_065208 transcript:Et_9B_065208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVPWKRLELAALVLYALAFYFIVIQRSLRLSHDFSGRLYGLRAGSFAGRLNDLSDAQWRNFRGNLPILSAVMGAFLIVFNSLRYCYGLKGRGTAVLWLILSLSYLLYLHGACVVFILLISWINYVIVKLFARYKYCTGLIWSFNLSILILNRVYEGYSFSLFGQNLAFLDSYRGTFRWHICFNFVVLRMISFGCDYCWTLHSSHFDHKKHMQRCQICYSGKTCYLALQERGLNVDKYTFLTYLCYLTYAPLYIAGPVVGYNAFAAQLDTPQKNYSIAQISWYGLRWILSFLLMEAMTHFFHYNAFVVSRLWQKLSPFEIFIISYGVGGIETPENMPRCINNCHDLESFWKSWHASFNRWLVRYLYIPLGGSRRKLLSIWVVFTFVAVWHDLEWKLISWAWLTCLFFVPEILVKSLSNKFQARSSLGMFIHRELGAVAGAVTISCLMIANLVGYVVGPSGIKILISKMLGKDAFSVLAFIFTSFYVGVKLMFHVRDARKNHE >Et_5B_044079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19717854:19719106:-1 gene:Et_5B_044079 transcript:Et_5B_044079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIEAMVEEILLRFPPEDPASLVRAALVCKPWCRLVAGHGFRRRYRDFHRTPPVLGLLCNSRDKGHLEEDAVRFVPTAASFPRTDRVHLNRRAVDARHGRVLLRDMVWDYGDLLYGDFVVWHPVTREQRVLPPLPRYPDDWNAAVLCAATASGGTCDHLDCSRGPFLVVVVDTEAGHMFVHVYSSESGRWSEQRIRGPNKPKPAHWLWPSVLVGNTEDGRLGFATVGKSRLYLWSREDGPGDNVGWVESRVIKLGRLLPVGAHLSSAAVVGFAAGVGTVFMRTNVGVFSIELKSQQVRKCMIVVGRTLFPTSVSTLQASSLGLARMSSP >Et_9B_064925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19884363:19889685:-1 gene:Et_9B_064925 transcript:Et_9B_064925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLFEVKPKWDPSWEEKALKLKYSLFYTARASSPIAEGISSCGSGKTENVDMSCAVGDDDCDKAALKERMRLWQQNYDEKWGSEELTWEEKVVEVLNIVRCREFTEYDPKKREFVPTRLCRFNIAFFDFEKESTAAFGPPLSELKRSAWRSLDASVNVISLKITESDVGYPICVFGTVLARDLLDYKCVYLFKRDRDNPQVITSPNDMLTLTGPYRILAVTDRMFFEINLKIKHTMDWLEASWLSTVEFVCAPVKLAVEATLAVNIIKGPRHFTGKVIAWTARNSKSRVILYDSEAAGTITEVGDAASVMLSRRAVAVSLGEKLIVNICVSGGDDFRLKLGQQNDKQIWNVGSYDLQVKVTWTSNLNSRRKKAFKHLDSSILLW >Et_3B_029876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28740252:28751009:-1 gene:Et_3B_029876 transcript:Et_3B_029876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEYHSRWSEWRDGMADLSMWIMYDVRSAPAATGAREKAQRRMHELTSSGSDGSGGRACSAPCAMDASHRDMGSYVSRCFPGQNAAGPSLRRPAGRHSRAKWVKCKAGQVARSAALERLRAIRGGGARAAAAVQVKIDAPIYDTVAEEEYAALVARRRKDAGAFIVDDDGLGYVEDGREEDWSHRALPSSSDEGSEGEEGAPRKRKQPRPQSKRPPQQSAAAASLSAAAAMMGKQRLSSMFTSAVFKKPGSDRSKGSSLAADSIVDDVIAEFAPDENDREERRRRVGRVCAQQTPPPVAHIKSQEAVLGVEMPDAEMEPKLEGATGSGAELVTENKSVEEPKQEANGEVKIEKVHRLNAKIKVEENRNGDVTSAAAGWMKICGDGGNGEGEGGVSADCNTVVDESSEFELKDGSLPFYILDAYEEPFGANSGTVYLFGKVEVGKRFHSCCVIVKNIQRCIYAIPNSSVFTMESISSIERNSTSADYLPSLRATLHELASGLKSEIADKLSDLNVSNFIMTPVKRNYAFERTDLPNGEQYVLKINYPYKDRALPADLRGEHFHALLGTNNSALELFLIKRKIKGPSWLSVSKFATCPSTQRVSWCKFEVTVDCPKDISVLTTSTSLEVPPVVVAAVNLKTVINEKHNVHEIVSASVICCHRVKIDGPMRPEDWQKRGMLSHFTVMRKLEGSIFPVGLNKEASDRNHKAGSNVLALESSERALLNRLMIELSKLDCDVLVGHNISGFDLDVLLHRAQACKVPSSMWSKIGRLKRSMIPRLTKGNTIYGSGASPGIMSCIAGRLLCDTYLCSRDLLKEVSYSLTQLAETQLKKDRREISPHDIPPMFQSSGELLKLVEYGETDAWLSLELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHAFHAKKFIVPDKFARSKELNSTKRKMNADTEGANADDGPADTSIDDEVHHSDQGKARKGPSYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEYNICFTTVERSSDGNVPNLPASKATGVLPELLRSLVERRRMVKSWLKTASGLKRQQFDIQQQALKLTANRFYAKPLAELITLQGREILQNTVDLVQNNLNLEVIYGDTDSIMIHTGLDDISRAKAIAGKVIQEVNKKYRCLEIDLDGIYKRMLLLKKKKYAAVKVALDGSLREVQEQMRSGQIELEKYVINKSLTKAPADYPDAKNQPHVALRLRQNGYSGCSAGDTVPYIICSPQDSDNSHAGGVAQRARHPDELKRDPDKWMIDIEYYLSQQIHPVVSRLCASIQGTSPARLAECLGLDSSKFQSRLTESNHQDTSTMLLSVIDDEDERYRGCEPLHLSCPSCSSTFDCPPVSSLITSSSSADISDQNEGKEAAANFWRRMRCPRCPDNVDDSRISPHVLANQMKRQADNFINLYYKGLLMCDDEGCKYSTHSVNLRVMGDSERGTICPNYPRCNGRLVRQYTEADLYRQLSYFCYVLDATRCLDKLDQKTRLPFEKEFSAVSQSINLALMEIQKIRDRCAFGWVQLQDLAISI >Et_6B_048770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13527090:13529926:1 gene:Et_6B_048770 transcript:Et_6B_048770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSAPASASGGELVLPGNPVPLPPTTSLFLPFMDHLESSSFLWSEQLTSSYNMEANVPAVLAPNSNAAADYTSHFGRDHDILQLYPPASHYLAAGNPYSHFSGSTFLPTPQEYYFPTLLEENMASFGALPHAQLGINYSGYRTYYFPTRGGYAYGNHPPRCQVEGCTADLSKAKRYHRRHRVCEHHSKAPVVITAGAIMPQRSCQQCSRFHEVDEFDDAKKSCRQRLADHNRRRRKPKLFGTDVLLKKRAHTKKPATANDKRSNSKSMGTGDVLVGNASKDHGQGISNGEVVQAPVDPMGKSPTKQQARIPQQSLQEGFPFLLPPGSGTCLHQSQLISSGSTSNIGQVQEPCVAFHQNPQHGNILQLGQSVFDLDFDH >Et_7A_051959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3232925:3235898:1 gene:Et_7A_051959 transcript:Et_7A_051959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDDEVAQPPPKRTRRDPQAEEDAEPTPRVELNPADCDLDFDVRGGGLQGQALHEGGFAYCWSGARAVVGVRGGGKYCFGCRVVAEQPVEMDDTDADQQHLCRIGVSRGDDPVGALGESGHSFGFGGTGKFSHQRRFADYGVKFGVGDTVVCAVDLDSKPMASIGFARNGEWLGVAKHFDAGGKGLGLVDAPMSPVQWESALFPHVLLKNVVVEMQFSREHGLEPVDGYEPWASAFADGNAVFGPAFEQSECEVMMMVGLPASGKSTWAEKWVKEHPEKRSILLGTNLALDQMKVPGLLRKNNYGERFDRLMDRATAIFNTLLTRAANIPRNYIIDQTNVYKSARIRKLRPFSNYRKTAVVVFPLPSELKSRAAKRFSEMGKDVPAEAVNDMTANFVLPLSKEMPGSKEPFDEVIFVELSRDDAQRNLDEMKRMLPRASTPSHGNFINQNATSTYAGTVSSVDTRAGASMAGPNPPIDYSYGSCAVPGSAANYGRGAHIPVNMMPQQASGGVHSFQGPTGNQYQTYLGYPNAPDQYQTPSSYPSNPNQYQVHASYQSTPLPGYGQSTYGSYGSPSTYNLNNPYNTERQQSIQAPMSGRNLFQTPGSAQAYGGSGYAATNLIGMPPQVHPSTHPMYGSYPVDQQVTYQGSSSSWSSDNYGPYGQHSHGVHNSGPQYAPPVSAPRLPHGAALININPLPTPWQM >Et_8B_059232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15674223:15675964:-1 gene:Et_8B_059232 transcript:Et_8B_059232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHTCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTAEEEKLIISLHAIVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPASTTMTSSASPPCSTTTAAAAADAANGHLQTSFSVADHQLDAFINQSLALPPPKLAATTGGQDSPPAPLPQHCPFFMFDTTSISPPSSLSPPAAAQLHHPFLTFTTAAMDVPSFQLLPPLVDGMSMGMAALDCSSLGEESRGHDHDGGNNGQAAAGIHEQWDDESAQHLLMWDDDQDLTPSNLEVMQSGAHSLLFMGPNDHA >Et_5A_041411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22206717:22209936:-1 gene:Et_5A_041411 transcript:Et_5A_041411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSNGEQAAKRSNPAAGTGDGGEDRLSALPDDVLLLILRRVGGAAEAGRTSVLSRCWLHFWTLLPALHFHLLPAREPSRIASALRAHQAGSLRTLVVLELDAAASSVAAWLSLAADRLAGDMLIYNAAAAVDADERGAFQLPSFGNATAVALHLGFLGAALPAAGVFARLVELFLERVWFHGPGDLGDVVSSPRCPSLKKLHVSEARGLAHLAIHSVSLLKVDLWIIHGLQRLAITAPALEGLELHRCFNAADRPPVVEISAPQLLSLVWKDPYDQRTVRIIGDLGRPVRSLVTDVVRVYGQEDSRAHNQHHLVELLRVFRSVETLRITLDYPQDIGELQYLMEDITRLPRVTFLELVILGKGHAFGASSFHVLRLCTGIRKLTLVLRDLEAHECPSGCPCDELANWKADELSLNCLNEVELTNLKGAEYEVTFVKQLFRWATVLQKMRITFANLVSESMASKLRERLLTFSRPE >Et_1B_009715.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:19833174:19835137:1 gene:Et_1B_009715 transcript:Et_1B_009715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSLKHSLLCLLPFLLLAAVAEVVAGDELTTFIVHVQPHEKHVVANADDRKEWYMSFLPEKGRLVHAYHHVATGFAARLTLEELDEVSAMPGFVAAVPDRMYRLQTTHTPQFLGLNMNSPPRGNSSYGADRGAGVIIGVLDTGVFPFHPSFSNHGMPPPPAKWKGRCEFNVSAACNNKLIGARSFVSMPGDANDTSPLDEHGHGTHTAATAAGSPVRGANVLGQAAGVAVGMAPRAHVAVYKVCNASGVCPSSDILAGADAAVADGCDVISVSLGGDSAPFHGDALAVAAFGAAEKGVLVSMAAGNDGPVVGSVTNEAPWALTVAASTMDRAIRTTVVLGNGASFHGESIYQPPRSRSSSTATMMRPLVYAGASRQPLAALCGDGSLDGLDVRGKIVVCEFGGGPDQNITAVVKGEVVRRAGGAGMILPNFFSLGYTTLAQAHVLPASNVDYAAGLAIMSYILSAANPVARIVFGGTVLGTRPAPAMAFFSSRGPSLQNPGILKPDVTGPGVNVLAAWPSPVGPPSAASSSGPAFFNIISGTSMSTPHLSGIAAAIKSKHPGWSPAAIKSAIMTTADATDRSGGPILDEQH >Et_4A_032639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12084956:12095943:1 gene:Et_4A_032639 transcript:Et_4A_032639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCERAARLGSRRVSPMPGPEDPDAAPSCSGAAEPDGQELERVLPNGDLYRGQWRGAVPHGAGKYLWVDGCMYEGEWRRGKATGRGRFSWPSGATYEGEFLDGFMHGAGTYVGAAGDTYRGAWAKNLEHGAGEKRHANGDRYDGEWRAGLADGCGRYAWRDGTEYAGGWRAGLIHGRGALVWANGNRYDGGWEGGRPRGQGTFRWADGSLYVGFWGREAPGGSVHQKGVYYPSPAAAGESPRARDPREVFALELPECVRTGTEGQSALTSLRTLRKLFKVDPADYMLSLCGDDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKEKIMDYSLLVGVHFRGAIDIDGDIPATPRLSRWDRDHFLSDPNSSAGRAANGRASSASWRSKTSGAGEERETLKRSDFAGGGGLDGGNTCGIAEEGTSRRRVEDAGDGRGLPPPSPATGPHASGRSRGARRQGETIAKGHKNYELMLNLQLGIRYAVGKQGPITLDLKSSAFDPKEKVWTRFPPEGSKYTPPHSSCDFKWKDYCPQVFRTLRKLFKVDAADYMLSLCGDQALRELSSPGKSGSFFYLTSNDQYMIKTMKKAEVKVRFVIMGNLFCSDYSIHRRFDLKGSSLGRTTDKPQTEIDEYTTLKDLDLNFIFRLQKPWYQEFQRQVDRDCEFLEQENIMDYSLLVGVHFRDKRDKLLTGGSFDSDSSRGSSPCLSRGDTDPSRLAKIKLGSNMPTRAELTVRKTECELQIMGEPTGEFYDVILYFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPKQYSRRFRDFVFKAFQEEKLDF >Et_9B_065840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14716417:14717308:-1 gene:Et_9B_065840 transcript:Et_9B_065840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGENASAPASASLKLLGSWASSYTHRVQLALRLKGLEFEYAEEDLGNKSEELLRLNPVHKKVPVLVHGGRALPESVVILQYLDDAWPQTRPLLPADAFDRALARFWCHFADDKLGPAVGAVFASTGEEQEGAVRQVHENLALLEAELRDGAFKGRRFFGGDEPGLLDVVLGCGSYWLAVFEEVTGVRLVDADAFPLFHAWLRGFEALDEVRDTIPAFDRLLDYARGVRHMLLGLASEAPSAVAPPAAADIAVDI >Et_8A_058215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2588090:2588709:-1 gene:Et_8A_058215 transcript:Et_8A_058215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARSKRQLRYVLLYSRLLLVAALISGSLSNPSFSARISANHNRSIASLIICDVVGAAGPSSPPTSLMQAEQLQAQGLKRSVEAPPGDWTAALKEQAAAGRRLLIGSRAPTCTYNECRGCRHRCSVQEVPIDASDPINSAYHYKCICHI >Et_8A_058103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:243958:246493:-1 gene:Et_8A_058103 transcript:Et_8A_058103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAELIPCGLPLPDDLLAPRFLAWSRCVCKAWRDAVDSRRLLRADLLPHTLAGILLHLNVDVDYAFPPFFFRPSSSATVVSDNLEDLMDHTTRSYCFSQLRDHCNGLLLFRGHVVNPATMQRARLPPPPPPPPPPPLPHLDLYHGSFLVFDPAVSPHYEVFLIPHVPSRPLLSNWKSAEWPPSPFTLRVFSSRTNHWEERSFLRQGPPMGTLAQVHAQRQAATRFHCYSAYHRGRLYVQWSNGFVTRLDTSGDGSSTYCVVAPPVPGDPSKLPQFQIGRSENGVYCAAFDYHARLQVWYLDESHGQRHPEWVLRCDNNMEPLLPCWGCYSDDVEGPWLIRYYYYDYGGMGNNNNNNGALALALAEEERSSTNDDHDDIVSTYCQSHDDDDDWSSDFEDAPGSEYEVTCCNGHTASKSYYSQYIHDFLGFHPYKEALFLRDPFQRVWCTMCMYAAPGKWKLRLHNFTVPAPYPLALRSTSAPPYSSSLSVTACSYQTGVKVAFAVAGHRRFGPPPAARAIRASSFRQQPGREGGGRGGGPGLTNRHRPGSGGGGCCAAGCRDDTELYGKLGRAGVQEVVAREISWRTSLPPAGAPLYIVSPPPPPSSNLHLHRGHRSLQLADALRRLLLAARELVGDRVENATEGVLVLGDIALEVFADQTLAAAFPGGASRVMETGGRGAVIRGISSFRFFVVVF >Et_10B_004048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9816545:9818206:1 gene:Et_10B_004048 transcript:Et_10B_004048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEHSYVPMALPSWATFVSVVLATVLFLVTLRRRSHGIRKTNFPPGPRPWPIIGNLNLIGPLPHHSFRKLSARYGPLMSIRLGSVHVVVGSSIHAAKFFLKTHDLVFLDRPRTASGRYTLYNYSDMVWAPYGPYWRQARKLWQVELFSTSRLKSMQPIRNEEMHIFLRDVSTAATAGRVVKFRESMLMLSLNIISRMVLGKKYIVEDSAGSPTTPEEFTWMIEELVYLNGAPNIGDLIPWLNWLDAQGYIKRMKRLHAKFDKFLDHVLKEHEERRRREDEAFVVADMVDLLLQLADDPSLEVPINRDGVKAFTLDLIAGTDSTAVTIEWVMSELLRNPGTLAKVTEELDRVIGCKRLVIDEDIPSLPYLEAAVKEAMRLHPVGPLLTPRLSREDTTFDWYVIPAGTREFRPERFIGSSMDVKGHDYELLPFGSGRRMCPGISLGLKMVHVTLANLLHGFGWRLPDGMTAEELSMEDTYGLSMPRKIPLEVVPKAKLQAHLLYD >Et_7A_052785.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16055066:16055494:-1 gene:Et_7A_052785 transcript:Et_7A_052785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALAGAHTVGFSHCAEFAGRLHGFPHGADGYDPRLNPEFALALRRSCAGYRSDPTVSIFNDVVTPRDFDEAYYKNLPRGLGLLASDAALWEYPPTRVFVQRYADNRTAFFEDFAAAMQRLGAVGVKTGRQGVIRKRCDSLD >Et_1B_013303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7106627:7108159:-1 gene:Et_1B_013303 transcript:Et_1B_013303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPTICLAAFLLLLHVGVAPGRAIAAPGTFVYAGCSPSRYAPNTAFESNLHSLLASMASTASSGATYNTFTAGGLGQEAAAVDGAAAPPSAAAYGLYQCRGDLSAGDCTACVRDTVARLGSVCANAYAASLQSDGCLVRYGARDLVDRAGADDASVAYRKCSSGSSDDAAFLKSRDAVLAQLQGEAATSSASAAASGYYKVSTSGAVQGVAQCLGGIPAADCAACLGQAVGQVKGTCGDALAADVYLAQCSVRYWANGDYFRASQGGSEDDVGRTVAIIIGILAGLALFVVFISFLRKTCG >Et_3B_030637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5758710:5759932:-1 gene:Et_3B_030637 transcript:Et_3B_030637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDAYMEVLPPMDHYASRGNWFLGAPRSWTQEENKQFERALAALDMRCPDWEKVARAIPGRTVHEVVNHYKSLEVDVLQIEAGVVPLPAYGGGGGANSFTFQWDGNGGHGAGDFRHAYRFAGGCGKRHPGRTAEQERKKGVPWTEEEHRLFLLGLKKYGKGDWRNISRNFVHTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTNNLNDDQPPSPSQSSLITNQSNTSAPTAAVGQFSLTSDTKPHSAVKLPFNSPSRTPGMPAYGMGLHDQGLQCGPLHDQLVANQSILF >Et_3A_026542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9398620:9400937:-1 gene:Et_3A_026542 transcript:Et_3A_026542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSLYAWCRSDGNEFAELLWENGQAVVHGRRKQPQTAFPPFTCGGSSSSKAQERHPGSGDPVALLKTGGVYGTGGMAPSAHDFCSGLDATRGNGDLDDTVPWIHYPIMEDDDSAAPALAGSYSPDFFSELQAAAAANLTSLPPSMHQTANNRSNGVATSTREQEPSKESHRLSTPATGAEPHAEFTATKQPRLGGSGEALMNFSLFSRPAAMARASLQQSVQRPPPPLGTDKPSNVTVTGSTRVESTVVQASSGLRTAPVSADQRTAWPQAKEVRFACAAAPTTTARVQQQELHRDRIGNNMTLQRKGEARKAPEAAVAASSVCSGNGAGTGNDESWRQQKRKSPTECSASQDDDLDDESGGLRRSGSRGTKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLDEAIEYLKTLQLQVQMMSMGGGLCVPPMLLPPTMQHMQIPTMAHFPHLGMGLGYGMGVFDMNSAATVPIPPMPGAHFPCSMIPGAAAQGLGIPGRNTLPMFGVPGQAIHPSVPSVQPFPSLASLPVRPNLNPQVSGTMANMVQEQQQVANQQQQSLKNEGTQGANTGDPQLQTILQVENQHFSVPSSTQSESSQFLDGGSNRTNTAERTGAES >Et_2B_020033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16251037:16254285:-1 gene:Et_2B_020033 transcript:Et_2B_020033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFGDGYVGTAQDAVKIRRLEKQREAERRKIEELKNKSADGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIRTKIEEEEKEKLQKLQQEEEELQMQKRKKRRVRGDPRLSFCDDIENGSDEDDFESQEPQKKNGPVKLGKDPTVETSFLPDREREAEEQAERERLKKQWLREQELIKNEPLSITYSYWDGTGHRRNIQVRKGDTIGEFLRAVQQQLAPEFREVRTTSVENLLYVKEDLIIPHQHSFYELIINKARGKSGPLFHFDVHEDVRTIADATKEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >Et_4A_033123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18872046:18875338:1 gene:Et_4A_033123 transcript:Et_4A_033123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVAVVGLVLGLVLASSLLLRWNELRYSRRRGLPPGTMGWPLFGETTEFLKQGPSFMKARRLRYGSLFRTHILGCPTVVCMDPELNRRMLASEGAGFVPGYPQSMLDILGPNNIAAVHGPLHRAMRGAMLALVRPIMIRTNLLSKIDDFMRSHLHAWAGTRVDIQEKTKEMALLSALRQIAGISAGPLSDALKTELYTLVLGTFSLPINIPGTNYNKGLQARKRLVAMLQQMIADRRSSGCNKDDILDALLSGNEGTREKLSDEQIIDLLITLIYSGYETVSTTTMMAVKYLSDNPKALEQIRKEHLDIRKGKGPEDALDWNDYKSMTFTRAVIYETLRLATVVNGLLRKTTQDVEMNGYVIPKGWRIYVYTREINYDPFLYPEPMVFNPWRWLEKNLESHPHFMLFGGGPRMCPGKEVGTVEISTFLHYFLTRYRWEEEGTNTISKFPRVAAPNGLHIRVKDY >Et_5A_041928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4410517:4413009:1 gene:Et_5A_041928 transcript:Et_5A_041928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFAVNSEAGLKKLDEYLLTRSYITGYQASKDDLAVYSSFSSAPSSKYINVARWFSHIDALVRLSGITEEGQGVKVESSAVQASTPDVADAKAPAADDDDDDDVDLFGEETEEEKAAAEARAAAVKASGKKKESGKSSVLLDVKPWDDETDMAKLEEAVRSVQMDGLLWAKLVPVGYGIKKLQIMMTIVDDLVSVDTLIEDHLCEPPVNEYVQSCDIVAFNKICNFVFLFEPLATSDLCFAQAVDMLSCVVRDITAVSTAFELLVLSGVDRDCCLSSRRTEFCQHGRDS >Et_3B_030044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30017085:30028360:1 gene:Et_3B_030044 transcript:Et_3B_030044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRRRERQVIALAGAAALVAVGLNIAFSAVAAHRRRKRRELPGFTAQVNLSAPEIKRLAERIIAKSKTTYDSVAAVALDKVSFSNVIAPLAELDALQFPLVQACVLPRMVSTSEDVRRASAEAEKQLDSHFLLCRQREDVYRVVKAFTVKGERIGPEATRFVQCLVKEFERNGVKLTQSKRKEMERLRSCINELNLKYIQNMNDLTKFLLLTEDELAGMPIEFLKDLEKEDGKLKVLLTIYHVTPILEYCKVGSTRRKVAVAYGQKGGKENLEILQNLVQLRHRLARLLGYPNYSDFAIEPRMPRTSRKVLEFLEEMSEQLSDIANRELGILKDLKMKEEGNAQFGMEDLSYYMKRVEELKVDFDIGEIKQYFPVNLVLSGMLKMFQDLFALRFEEIKDLEVWHRTVRVFSVWDASSCDLMGYFFLDIFAREGKYAHTCVVTLQNGCLFSNGTRKVPAAVLLSQCPKEFDGSSVLLRFPEVVRLFHEFSHVVHHISNRATFSRFSGLRLEGDFVEIPSLLLENWCYESISLKMMSGFHQDITKSITSEACQSLKTRRDLFAGLKLKQEILLCLIDQIIHSSEDVDIDDLIKDLHPKVMLGLPLLEGTSPASCFPRIAVGYDAVCYSYIWSEVFAADLFVSKFKDDLFNQHAGMRFRNKVLAPGDSKDPLDIITDYLGREPSLQPFIQSRTRNILQETKHALSLMLDITACFGRRKTEH >Et_1A_005909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15353189:15353682:-1 gene:Et_1A_005909 transcript:Et_1A_005909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIFLAGRPGAKSFTSSAVNSTSTDESKISVIFCIPGTCDYFGHGNQDCYCCRDVRKENCHTTMAECRAACRICMPDCSKPPSLESAMEDRPTEVLHKIVTSLSSAVNVRNVASMKRLFGMDVMRL >Et_5A_041174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1984303:1987345:1 gene:Et_5A_041174 transcript:Et_5A_041174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPAASVASLLPQLWHRPFASPSLIPRALSSFSPLFTAHRAPRRRSLLSHATPLTAAAAVSTAAAVEAPTTPAYPVYDRLLPCPPVDDPPRIEHLVAREDELAGDFISKSLDLPPLYVADLIKFGAVYYALVAPQPPPYSSPEHFRIFKEVTQPSVLRRRASIKGKTVREAQKTFRVTDPNQQLEAGTYLRVHVHPKRFPRCYEIDWKSRVIAVTDDYVVLNKPAATSVGGATDNIEESCAVFTSRALGLETPLLTTHQIDNCSEGCVILSKTKEFCSVFHGLIREKQVKKLYLALTTAPVSTGIITHYMRPLNRAPRLVSEDHIGGWYLCQMEILDCTKIPWPSSLIRKAHKVNDCGWPEQEAAYECKINLITGKTHQIRAQLAAMGTPIIGDSAYMTAAMAAMANPSINPFGRERLNYDIEEENEVAVEAWIASHGKEPKSVIGLQASEISWDYEGEHHYYKAGVPWWRQDSMESDLA >Et_1A_005557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11407732:11408205:-1 gene:Et_1A_005557 transcript:Et_1A_005557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKWASESKVEDAHSSELLYARVLTGLASRVRNQYMRPIAVTEQAVSSARTVYSFVAKKRTLARYTATLEESARLGIKQGLAKGIVLGINGITYAIYAFNIWYGSRFVMYHGYRGDTVFVVTALVVVGNES >Et_4B_037225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16956394:16957832:1 gene:Et_4B_037225 transcript:Et_4B_037225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSCSRLSAAGTGAAFRRPARRGVVVTCRSTSTSSVRTAAAATATATPAAVEQQNKEVDSLPTWAEFELGRAPVYWKTYNGLPPSPGEGLTLFYNPAAAKLAPNDVFGVAFNGGFNQPIMCGGEPRQMTLQVRGKADPPIYTIRIRVPQHALSLVFSFTNGTDWDGTYTLKFRVPKPWQNKPPSFFNEGLADELSREGACDRAIYPDENIVITSCAIGGYYEEGGDRCKLDIVSGCTDPSSHLFDPMATVDDGSCPLESDAEE >Et_7B_056009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9168802:9174603:-1 gene:Et_7B_056009 transcript:Et_7B_056009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTLPMAAVLALLLLVAPSTAHVGHHAPAPQHHGADAPSPHHRHHGHHAPAPEPAHAPAPHHHQAGGHHAPAPAPVDENHIAHASAPHHHGGHHASSPAPSAHAPAPHHHGGHHASAPSPHHHGHHASAPSPHHHGHHGSAPSPHHHGHHASAPAPHHHAPSAPTPSLGHDAPAQETAPASAPAAHVVEEAPTPSYYARPPAGYYYPSSAPAPAPESSAATVADGSAGLLGAVGAYFFNRATRFEVYFKILLSK >Et_9B_064683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17625520:17626271:1 gene:Et_9B_064683 transcript:Et_9B_064683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALLPARFMVSTTEERVTSLTENEKTPRFALADNKSQQGEGADASRRRTRPALHCRRRRAPHQLTLPYDCPSRFSDDAFGIPLAWMFFFHGGRRAHERHRRRADVNASEWRACLDASARSVATMHASVCPGQLKADHAVIGTEVSRALARGVPYAAFLVRLLISALSRDMNFSGCVAFCEFQYHTTNDVSAAPKKLSLECRSRQDS >Et_1A_004600.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21998226:21998552:1 gene:Et_1A_004600 transcript:Et_1A_004600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FERAKGGRERRTVPNLLIGKGFDALILAYERVNQLDLLAANGTFLSQPMVRKAMVVIEDQIREYPRFRLFPRFRNGRFRLLATSLLLLLRTLLNRYVSPQSSSCVEVD >Et_8B_058948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11370773:11374755:-1 gene:Et_8B_058948 transcript:Et_8B_058948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARLGRTSARYGPATTFTGPVRKWRKEWVPVAAPAAAANGAAASSTGTGSGSGSRANNLLLFKWTPANGANGGGDGDQAAAEPAPRRRRYVPVSVVEEQSPESPKSDDENKANDDDPSSEPSNGKTDINDTPMDESQASDEDARDSGKNGGTDLNLNLGLKDPDGDNDGGIGEQDEAANNPQTENRFKTKSVTPDLEMRIIEAASYLFNTKVVFINISSTRKTTSRAKLMDADIKMAH >Et_4A_033430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22734960:22736197:-1 gene:Et_4A_033430 transcript:Et_4A_033430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPLPELLKEHGLPAGLFPCEAINYKLLDPGTRRLTVHILPEIAYRDGSELRFYTTVTAARQRMRVVRFNLVDICFCVSLSEISEGELGQQGSPLLWPMPPKVQFTAGIKGSRTREAYEVVRGGITVD >Et_3A_025422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29781080:29782161:-1 gene:Et_3A_025422 transcript:Et_3A_025422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRSGVAMDFSPSSKKALRWATDNLVRKGDTLVLLHIRHHGREEAKNVLWSHTGSPLIPLEELMEPSVRQRYDMPEDPDVFDMLNNVSRKKELCVVVKMYWGDPREKVCDAVGELHLESLVMGSRGLGQIQRILLGSVTNYVLSNATCPVTVVKSK >Et_7B_055167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:818605:827728:1 gene:Et_7B_055167 transcript:Et_7B_055167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNTKRAYKLRILINLLCYFAIFLKFIFGGEEFVAHTSNVNCLKIGRKTSRVLVTGGEDHKVNLWAIGKPNSILSLSGHTSAVESVGFDSTEVFVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCMSVDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKVWDLTAGKLLQDFKSHEGSADKTVKFWDLETFELIGSAGPETTGVRSMTFNPDGRSLLCGLHESLKRLEPYATGTSTKLNGHSELKTLPSVGMPLQNDSGSRANIGRSSVLQNSENNLKASSGRLSVSQNPDSTLKETKSTASSVVAPSTPQRAGIGSNMKSVGNSAFASGGTTLKRSSLKSNNASNLHNFNKADVVPVIVPRTSSGGELATDSRSDDADLASVLSKTTRRVEHTTDSKKESSNVEPVITRASSRVDVASDSASVVSKAGRRLESATDSKKESTDATPVVIPRAASMASDSVPVLSKASGRAESAPDSRKESSDVAPVIVPRTSRTEMASDSRREPSAGRVSPFRIQSRYAELRKLTHAKVDAGSKNTDTDDFNCQIFVPRRNGAIQTMNSEESREDVKHGAVDRMGFFNSAESNRNLRSENYVSRMRKPRDNCYIEVSRAGRARSSVSNWEGRDQSPSHEEPTTSSSSLAPVGRLYSSRGSNQATETPMIATDEDVLSLLMEQHELFLSSTRSRLTKLQIIHQMWHRNDIRGVISAMEKMCDHAVSADMASVLMEKSETITLDLCTSILPVVTDLLESKTDRHLAVSLEFLVKLIRTFGPVIHSTVSAGPSSVGVDLQAEQRRERCNLCFIELEKVKNKLPSLTSLRLCLPCGIEECHRLPLLNKTIHTVGTQDLEHLAAHLLCAESVHPLPQMIFFLWHSSLVRQLLACFSPSLLLLLPSPSLLCSPLLLPPDDELWSSPCVATLEPSADSRLIMGSPIRPMALTVRLSWRCSSSGDAGEAALAVTASARRSTDTAKQRERGIVAAVAISLF >Et_8B_060868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9874986:9877498:1 gene:Et_8B_060868 transcript:Et_8B_060868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRTAAPAALPDNDDLLCEFLLRLLPQPSSLLRASLVCKRWRRLVTDPGFRRRFRARHRKPPLIGVFEDRFGYPLFRSVMDPPDLIPAERFLPPLGDDSRDGLNLDQWSIFGCRHECVLLFIRMRNEIVLWDPLTGDHRAVAVPVEFVDEVKMIWNGAVLCAAAGDRDHVHGGFSSCPFKVVLVGITSDNTQVFTGSYSWETGQWNVVSTGVPFLVYYFRDPGILVGNALYWMPLGPEHGGILEFDLDKRTLAVMEWPPGADGPETHCQILRTEDGSLGFAILSTQRLQLWERKVSSEGVAEWVLWKTEKLYKILGMQSETEVGPPVMLGYSEDANVSRVYLLLRFRAGSLMQNLVPL >Et_9B_063637.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14629982:14631203:1 gene:Et_9B_063637 transcript:Et_9B_063637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGPTSATLRCLLGAGNASFPTAPFPRARSRSLRCCGASHGWIVASDESSNLVLYNPFAPPSAANFIPLPPVSDFDDCLLTSYSSDGDGRVVAYVHDGHSGWGAESLGSSFYQKAILSCAPSTASAHGAAYTAAVIHCHSRSLSFAKAGDTEWRQAWTIGEEETVEVPISFWENGWHINTKVREHDKYCDIAHHDGRFYTVTKHGTVESWETSATNMEPGTTEVIGRKFGYSVDEIVLSRHLVSTPWGDLLQIRALRVRNLENYPQGVRVKFGKIIPMDIEWWSCGRQRRCEGMPCS >Et_6B_048750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13244607:13252069:1 gene:Et_6B_048750 transcript:Et_6B_048750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAKAAELAGPLRDLLPPVDFCCTYGSTLLHARPDPSSMVDYILGVADPVQWHSENLQRNPGHYSSWMARLGAGAVTGLADHVGVGVHFNPFVEWRDKRIKYGVVSMKDLALDVLTWDKFYLSGRLQKPVNVLVDNWDIRKVNTVNLEMTTSASLLLLPAEFTEHDLYAKICSLSYMGDLRMLFAEDKDKVKKIVEGSFQSFQLMYRPLLQEYISEGLLKTSSHRQRQVFQQDCSPSATNELFSVLPWTIQRQVQGKHTLSGKVSSKDMAANLVRRALQRRVMVSSARQAVSALLASGGAVAAQYLGKKMAKAWKSRTLQCTAIYTFNIPNAVAFFADDDVHVSKKITTVKNGTDGESAR >Et_3B_029241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23377011:23390530:1 gene:Et_3B_029241 transcript:Et_3B_029241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVMMLPLVPADPQARHVRGRTRRRPGAAWYLRRLEEAPRHSGDAGWPDQGDVHGRDLHRPRQLHRLPDLQCIQQIVHLDLGEATVMASLLQPAPVVFELFDDFVLLSEGQIVYQGPREHVLDFFESCGFRCPERKGVADFLEEVRHSRFRTSVPFDKSHKSAMVFSEQSVSTLELLKTSWSKDWLLMKRNSFVYVFKTVQLSYLLKGILLALVASTIFLRTRCHTKNEEDGQIYIGALLFVMIVNIVPASLFESIVWVVITYHAIGFAPQASRCPIFSSRNISDLILFFCCSGLDNGMFFKHVIVVFFIQQTAAGLFRLVSGVCRTVVITNTAGSLAVLVMFVLGGFILPKDAIPKWWIWRYWCSALTYAYIAPMKCILQGGWTKLRLGASVLENSGLFTNNKWYWIATAALLEFTILFNGMLALGKPHAILPEETNINLEDTQEGKKIRDMAQLDKVLEQLHGHSPNTYDRSHMNASVRIVPGRGMILPFEPLSMSFSDINYYVDMPLDSGTKSQGITADKLQLLSGISGAFRPGVLAALMGVSGSGKTTFMDTSGYIEGEIYISGYRKNQATFARISGYCEQNDINSPQITIRESLLFSGFLRLPKEIFVDEVMELVELNGLKDSIVGFPGVNRFSTEQRRRLTIAVELVVNPLIIFMDEPTSGLDARAAAIVMRTVRNTVNTGHLLLLLKRGGQVIYSGPLGRNSHKVVEYFEYVCYNGIKPGNSWSPKDRREVHPAAWMFDVSSAAAEVRFKIDFVEHYKASAMYQQNKGLVQELSKPPPGSTDIYFTTQYSQSTFDQFRLCFWKQWLTYWRSHDYNLVRVFFALFTAVVLGAIFWRVGNKMSSTDLLVIIGSMYAAVLFVGIENCVTVQPVVAVERTVFYRERAAEMYSAIPCAFAQVIVEIPYVFVEFASIPLLCTR >Et_3B_029420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24933663:24944675:1 gene:Et_3B_029420 transcript:Et_3B_029420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLVAPPRPAGARLPTLPRGKTPMLPPHDGPGSGRLLLGRHAGYAPACIATGLAARPAASRALSCATLPLVLGGRSHGRANVRKRFCGGNWPEPGGRRTQTLPRDAMHIHDKYGDLLPSGLPGTSQLSAIPRKFEFVHTFAMSRVKLLKHQSSLSHKSMATTRRSIGLLIVCLFLSCQGSIAQLSGQGTSSWQSPRRGNPRECRFDRLQAFEPLRSARSQAGTTEYYDVSNEMFQCTGVSIIRRVIEPKGLLLPHYTNAPTLAYIIQGRGITGPTFPGCPETYQQQFQQSWPGQMFEGKSQSYEFRDEHQKIHRFSQGDVLALPAGIAHWCYNDGKVPVVAIYISDVNNGANQLDPRQRDFMLAGNKRGQQTYKPEAQEHSQNIFSGFSIELLSEALGISTGMARQLQGQNDQRGEIVHAESGLTLVQPYASPEKQVQEREYYHLRPSRQQQHGSGCSNGLDENFCTMRVRQNIENPNLADTYNPRAGRITQLNSQKFPILDLVQMSAVKVNLYQNALLSPFWNINAHSVVYITQGRSRVQVVNNRGRTVFNGELRRGQLLIIPQHHVVLNKAQREGCAYIAIKTNPNPMVSRIAGKSSIFRALPNDVVATAYRVSREEARRLKHSRGNEFGVFAPSHTYRSNEEVSVATLDSLCRTIPFGSSLSTTGSTYYTCNKVASRIEKLYGTKGSPSNLNIQESHSDPEQGSRHADLEELNQEEVCPTLPVVPTTAETAAKAANFSALHGLLAAGGGGEEGSPVAEASEAAAAAEAEAGEDEAAGAAGEAVEKESAEILGRRREVAMRDMAWLVKRSLLMRRPRLRGFWFNSVRASSAEGLRRRPSPRKVRSDIEGARWIAGRGDGRWRRWKAREELSEDPRVRVDKWAGRCPRTMANSPILEYGRCPDDFQRHAVSELTDPTIPLLVSLIDHLGETIVSFV >Et_5A_040917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14951518:14954726:-1 gene:Et_5A_040917 transcript:Et_5A_040917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDGIVTVAVLLLLPILIGLTLRRRTRRSSPTLPGPMAFPIIGHLHLFKKPLHRTLARLAKRYGDIFGLRFGSRNVVVVSSAAAAKECLCERDVVFANRPRLPSGKILSYDWSTMGTANYGPYWRNARRIAVSEIFAAHRVKHFSDVHERETGSMARRLYNAAQRSSGAGSIKVELRSWLFELLMNTVMGMLCARTYYGKDGEETAEVSKEALWFREMIEETMALSGASMVWDFLPELARWLDVGGIGQRLWRLRESRTRFLQRLIDNERKEMLNGSSTRRTMIGVLLDLQNKDPETCPDQLIHTLCISTLQAGTTAPADTVEWAISLLLNNPHVMMKARHEIDAYIGKPIRLLKPTDLPDLPYLSCIIMETLRMYPPTPLLVPHESSTDCTVGGYHIPKGTMLLVNTFAFHRDPELWDKPENFIPERFEDVDLEGRMLIPFGSGRRRCPAENLGMQLVGLVLGTMIQCFNWERPGDELVEMAEGSGLTMPKKVPLEALCEPRACVNNFFSNI >Et_8B_058651.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:13638970:13639407:1 gene:Et_8B_058651 transcript:Et_8B_058651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENRRFLTGAILYVWWNVWKREIGEPLRVAAQRRCTSLCSPKKKLICTRWPLGGTKPRCKKDQQLQINNQKSCLLVDYILLALFYFPGRLSNQMWGGHVSATCCVRLFFLLLLLNALAVHLLVLLKKIEQWRTKISGDFCWKT >Et_3B_031246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22592524:22593932:-1 gene:Et_3B_031246 transcript:Et_3B_031246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFGDDAVGGDGTRDLFPNPDPYSTGASCLDLGRIDLNAEGFAPPGGFVGTGYLAGPSGFAIGSSFGYAAPPPPMFGAPTAAAMAVLLLVPAVFLPMGLLVVGMETVELLVAAVFLPMGLLVVAMDLLSLLVVVPFLLLGAVEVVVLGVLMLVVVGGLISVLLAVAKLLQAVVVGLQAVAVPVVPPKTTTRLTTQTVKMTNTWFSHGGPIGSHWDDEKTEILLDIMMEAKIKGYYLNGNMRPRGYAYLWVQFYERTNIKQSKLQMRNRLTQLKVVYCVCTKLHDQTGRGCHANGWPKASNKWWRETLQGRNLAELQNLKHRGPPYYDKLKERKEEEEEEEEEEEEEEEEEEEEEEEEEEEEPTALRSPYGSPRGF >Et_4A_032548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11230387:11232896:1 gene:Et_4A_032548 transcript:Et_4A_032548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFDSDSLDNMAFGFLQFKSEDGSFHAALTNDPRGLLSLYNAAHLLTHGHLISRHHLESIANKLQSPLAEQVKRALQIPLPRKLKRVEALQYISEYKEEEAYNKLLLELAKLDFNILQRFHLKELKVVSEWYKDLYGEVRLNYARDRMFYFWSNAVYYEQEHTRARIMLAKIFALTSLLDDTYDSYATLEDSQKLNQAIQRWDLKYASILPEYLRKYYAKLISTFREVEDELEADEKFRVAYIRKAFQTLSARYCREAEWFHNNYIPSFKEHMDGSVITSGAPMLCVALLVGMGDVATREAFEWAIGCTDAVKASGEVTRFVDDLAAFKCYITDHKVTDEVAIAKIESLNQDAWKTVNQAYFERPALLPLVKRVANLAMSMEFLFLNKRDAYTFSKYNKETIEEVFVKPIPL >Et_4B_038529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29629874:29634378:-1 gene:Et_4B_038529 transcript:Et_4B_038529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTLRHPAATAAGPGKSFSPAAPVVQSLRLPRRCPAAPAAVSATAAAEVDSSADRVSALSQVAGVLGSQWGDEGKGKLVDVLAPRFDIVARCQGGANAGHTIYNSEGKKFALHLVPSGILHEGTLCVVGNGAVIHVPGFFGEIDGLESNGVRCNGRILVSDRAHLLFDLHQTVDGLRETELANSFIGTTKRGIGPCYSSKVTRNGLRVCDLRHMDTFGDKLDVLFKDAASRFQGFKYSTSMLKEEVERYKRFAERLEPFIADTVLVLNESIQQNKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVIGDLIGVVKAYTTRVGSGPFPTELFGEAGDLLRNAGMEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLSGLPEIKLGVSYNKIDGDKLQSFPGDLDTLEQVQVQYEVLPGWQTDISSVRSYNELPQAARRYVERIEELVGVPVHYIGVGPGRDALIYKQTTSFTCNSGANNPWPHKDEGKKASRRLAATMDGGIDQ >Et_3B_029115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22204602:22214978:1 gene:Et_3B_029115 transcript:Et_3B_029115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMQSWRKAYGALKDTTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKIVAATCIARPRADVAYCIHALARRLAKTRNWIVALKTLVVIHRLLREGDPTFRDEFLNFTQRVRILHLANFKDDSSPIAWDYSSWVRSYGLFLEEKLECFRVLKYDVEAERLSKQGLGPEKGHSRTRELESQDLLEHLPALQQLLYRLIGCRPEGAANNNYLVQYALALVLKESFKIYCSINDGIINLVDKFFEMPRHEALKALDIYRRAGQQAGSLSEFYENFRGLELARNFQFPTLREPPQTFLSTMEEYVREAPRMVPVKEPLELPERLLLTYKPEESEEVTEPVPIVEEKTEIVEEAAPVPSSSEVVSPPPKPEVADTVGVDNSATSTATQQDKDFDPTGWELALVATPSNNINSLAMDNNLGGGLDKLTLDSLYDEGTYRQMQQQQLYGSAAPNPFMASDPFSVSNQIQPPPSVQMAAMAQQPQQLPTMMQPNPFGLPLEPQHVGMAPAANPFLDTGFGAFPAANGMHPQANPFGAAQLL >Et_9B_065939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18193026:18196447:-1 gene:Et_9B_065939 transcript:Et_9B_065939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPKPPSPSPPPRPLPDSDPGPAFDPVEEWLIDFDPTMSAELEAKSLGPAEEAALLPLQEATTCGDAGKVSDGSAAPNSCEFGVTEAPAEEDRSADGSVAICGEEIDRKADIVSGGLDELLAPDQLLAAGIGDLAVNEEASEGAVAMEMAATPADAEMNTAVSVEEKGVGDKEAQENSKEEAESSEEEDESSEASSSSEEEESEEDESSEASSSRDEEELRAKKLGGALGAKGDSMEVLLEEGELMIGSDEEDDGPKGPIKSKNEAEIMGERVIVEGSVQHSPLNEGSILWITESRMPLGIVDELFGPVKNPYYLVRYNSEEEVPAGISAGTSVSFVAEFADHILNMKELYAKGYDASGDNDEELSDEPEFSDDEQEAEYKRSLRQAKRQSERQHEPKKSSGDKKRSQPRGGAGFRKDMPPRNRDPPTPGCQSQHRFPRPDMASASAEKAAHLFGPQNMLMGGPTMMPPVQMNSSMPSPVHVANQMGGSFINPAQQFLPPQPNMVWPGGIPPMALPNMGAEGAALAANIMQNILAGASQFQQQFQNQNFGGFPNQMQMPFPQFMPQIGMPANPMPFGGPPMNSPFGASPQLPMGQGNFGQPPNMGGERHQQGHPPGLHNSQGFGNPTPPHGDGVDQQPTQFSSGQFNQGSSSFRGGRRPHQRGGRHSPGRGGGRGGRRGR >Et_10B_004194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18233580:18234065:-1 gene:Et_10B_004194 transcript:Et_10B_004194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAIEDTVAAGVGPRRAAGPEAGRRGVRSRARSDVLGGGRRAGGRGDHASCVRHRRRRRRRRRVRRPAGVSRGGGRVEEGAAGGDGAAAKGQCSGVTGCAVCSDEFKDGEEVTVMPCDRGHGFHPGCITQWLGRSNVCPLCRHPLPTASCGDDMSH >Et_1B_012637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3454151:3456632:1 gene:Et_1B_012637 transcript:Et_1B_012637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAIASSSSAFLLLVGSSSPRRRRSSRVSAALRSCSYGGAELGLHYCARRDGSAVVRAASAPAGDDGEDSAAPSASSTSKGVAVQGSKAKVAEAKDSASSTKPAASTSLRAANQNGALGSSRAPNSAAPVSEPRAEPALDDEEVADVAEPAEVKAEAAPVTDAASSVDDSEGKESGPLAGPNVMNIIVVASECSPFCKTGGLGDVVGALPKALARRGHRVMVVIPRYGEYAEAKDLGVRRRYKVAGQDSEVTYFHSYIDGVDFVFLEAPPFRHRHNDIYGGQRMDVLKRMILFCKAAVEVPWYAPCGGTVYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMQYARSVLVIHNIAHQVLHPTSE >Et_2B_020896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24627361:24630600:-1 gene:Et_2B_020896 transcript:Et_2B_020896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASPCFFRSKKIPASAFSVERNGGFRRGHPKQLGRRRRLHAGARLNLHSPHLRRRKTLNPAVTMRRLPSIPNPAAASTSTPDVVTELGRILSTRRWNKGRAFKRLAPSVTAAHVADLFRASNGAAPPDPATALAFFEWVARRPGFRHTTASHAALLQLLARCRARANYEKLVVSMIKCSDTAEDIRASVDAIEALRRTGGARLALSPKCYNFALKCLSQYGMTEYMGKVYSQLVEDGLLPDTVTYNTMIKAYCKEGCLATAHRYFQLLRESGMEPDTFTCNALVMGYCRSGDLRKACWLLLMMPLMGCRRNEYSYTILIQGLCEAQRVWEAVVLLFMMQQDGCSPNLHTYTILINGLCKDGRVRDARVLLDEMPRKGVVPSVWTYNAMVDGYCKSGKIEDALGIKELMELNGCNPDDWTYNSLIHGLCAGKIDEAEELLNSAIARGFKPTVVTFTNLINGYCKAERIDDALRVKNLMVSSKCRLDLHAYGMLVHSLIKKDRLNEAKETLKEISANGFVPNVVIYTSVIDGYCKRNMVDAALEVFKLMECEGCRPNAWTYNSLMYGLIQDQKLHKAMALITKMQEDGIPAGVITYTTLIQGQCNKHEFDNAFRLFEMMERSGLTPDEQAYNVLTDALCKSGRTEEAYSFLVRKDVALTKVIYTSLIDGFSKAGNTETAAALIEKMVSEGCKPDSYTYSVLLHALCKQKRLQEALPILDQMSLKGIKCNTVAYTVLINEMIKEGKHDHAKRMFKEMISSGHKPSAPTYTVFINSYCKEGRLEDAENLIADMEREGVQPDAVTYNIFIDGCGHMGYIDRAFSTLKRMMDASCEPNYWTYCILLKHFLKVSLVNVHYVDTSGLWNMIKLDTVWQLFEVMVKHGLNPTEVTYSSIITGFCKAMRLEEACELLDHMCGKGISPNEEIYTTLIKCCCDTKFFKKAISFVSDMIECGFQPHLESYQYLIVGLCDEGDFDKAESLLCDLLGMDYNHDEVAWKILNDGLLKAGHVDICSQLLSTMENRLCRVNSETYAMVTKNMHEASGSVVSELREAT >Et_10B_004496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9722961:9727657:1 gene:Et_10B_004496 transcript:Et_10B_004496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSQPPPPSSPPAKRAAPPSSTSILDLGDDLLLAIFLRLPSLPSLVRAAISCRAFLAAVRSSPAFRRQFRALHPPPLLGVFFDYNGRESPCFTPVYRRSDPDHAAALRGADVFLTRVPHLDDAFPGWRIEEFRGGCLLLLNFSTEQIAVYNPLSRALDLVPIWPNEISRGRRGKVHITSFFLIASDEAPGSFRVVCFCHDKSRMRAAVYSSSTGEWQILPWSVPAPGRPARDKYWLLGGRQVNGRLYWAHSREAYMVVMDTATLQFSFIDLPEHLKGQGHLYAAGEAKDGELCIVSASEFILFVWFRRADADGVMQWMIDDVISLEEELLRATKGSSGDHDQLKVLKVLDGIVYLCTFETFLDPERPSYRRMVTRVISFVMAS >Et_7B_055825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5418339:5423022:-1 gene:Et_7B_055825 transcript:Et_7B_055825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSVMRRIRQEWYIPAVLDGHDAGFPQGNLLECLDGEEEMVVGRVAVAAGVCREAIVGGAEVGCRDDDGGAGEAVLEILHALHLVAAAARRAALEQRRAQPHRRHSVPVLPQIPEPARPTCTPHRRA >Et_5A_042191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7199452:7203821:-1 gene:Et_5A_042191 transcript:Et_5A_042191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQPRTEVLAESARVNGAAAEATLSDGELAWRPRDGGEERRLELESEVLGYRVDGRKLKLDTFVSCGGGDGKKRRRRGEVVVEMESEDAAARWGDSVRDRLASFGRPKRLFIIVNPYGGKRSGRSIFQTEVLPLIEAAGILYTMQETKHRLHGQEISHSLDLRKYDGIICVSGDGVMVEVVNGLLQREDWETAIKIPLGIIPAGTGNGMAQSLLHAAGESFSISNAVFAIIRGHKRALDVTSVVQGKTRFFSILMLTWGLVADVDIESEKYRWMGSARLEFYFLLRVLNLRRYNGRILFVPAPGYENVGDPVEASTSCESNRANAGVDEAEANGSKGETCGYPGPSMEESDLHWRSLNGPFVSVWLGNVPFASEDAMAAPKAEFSDGYLDAAIIRDCPRWDVLGLMFQMKDGAYIESPYVEYFKVKTIRIEPGLRIGSGKGGIIDSDGEVLARGDGSRAHDEPEHLMSYGSIQLTVDRGLATIFSPR >Et_8A_057991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11185600:11188494:-1 gene:Et_8A_057991 transcript:Et_8A_057991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELEKKRLAIEEKRLQLEAEKKEREAQKKEKEEDERILAIDLDKCQPREHERLVMSARANVIK >Et_1A_008393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7200936:7204567:-1 gene:Et_1A_008393 transcript:Et_1A_008393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDVVGPGVDILTAVPRSAHGPSFVSLSGTSMSAPHLSGVAALVKSAHPTWSPAAIKSAIMTTADTSVTDETTGATASYFAMGAGVVNQAKVVDPGLVYDISPEEYIPYLCGQGYTDDQVNRIIFPAPAVQCAGMENTEAKDLNSPSIMVELTEERPAVTVSRTVTNVGAARSVYRVEMGAPEGLSVTVSPGELQFSEVNEKASVTVTMERALGSASVPGVWGAQFAWVSEEHVVRKRLAPPLLSLLRTAFVILLPLLLQATTAVAHNDHGVHKNYLIIVRTPYEYDRNVYKTVSSWHASLLSSVCDKAEEELTMDPAAMDRLIYSYRNVVNGFAARVTEEELAEMGTKDWFVTAMPERTYHLMTTHTPQMLGLTGSNAFRGGGGLWNRSNMGEGMIIGVLDDGISPGHPSFDATGMPPPPKKWKGRCDLGSSVCNNKLIGARSFRESAKWKLDDPVLPVEEGSHGTHTSSTAAGAFVPGANVMGNGIGTASGMAPRAHIALYQVCFQDIGCDRDDILAALDDAVEDGVDVLSLSLGDDAAGDFAYDPISIGGYTAIMKGVFVSAAGGNMGPDPATVANEAPWLLTVAAATTDRRFVATVKLGNGVELDGESLYQPKNFLSVPRPLVWDMKDWSCSDETVLTPERIGGKIVICEGGGKLTALKMGAVLHKGGAAGMIVTTIELFGSVIQPKAHILPASQVDYAAGQKIKAYMNSTDTPTAELVLRGTVLGNRHSPVVAPFSSRGPSKQNQGILKPDLTGPGVNIIAGVAKPAGLMTPPNALATKFDIMSGTSMATPHISGIGAVIKKAHPTWSPAAIKSALMTTADTLDHGPKPIADQSGYTANLLALGAGFVNPMRALSPGLVYNLTATDYIPYLCGLGYNDHEINSIIHPLPPVSCKQMPVVEQKDLNYPSITVFLDQEPYVVNVSRAVTNVGRGKAVYVAKVEVPSTLSVTVTPNTLRFKKVNQVKPFTVTIRSVVGKMKPGIFEGQLQWISGRNVVRSPILVSSQKFVRDINSTSSAHINH >Et_10A_001075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20924221:20925247:1 gene:Et_10A_001075 transcript:Et_10A_001075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTKWTWPCFAVPTDWTHCYIIIPDEKQTKVAPRFTMQIGNQQETNETILPNFVHDGARTLHVHDCLAIKCIPGLAPAAVMTWEHLVWCRLERCPNLEGTVFSTYLPKMDSIFENLKTFWASQLLKARYIWDWSTSLLLPAIFSFADLTFLHLDFCPNLVHVLPLYTSNPFGCNALEVLEIVCCGNLIEVFPLDSGVLHEKEPRKFCNLKHIHMDELPMLQKIYWWDGLEWDGEEAGHHPSLYEPNHSKFCMKTLLRRTAL >Et_2A_016945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29787171:29806942:1 gene:Et_2A_016945 transcript:Et_2A_016945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPPRGRQPCSVQSACVNHTETTRSVQLFKITGLSAACSVASARTRVGRYDVAVEFHVAGAMHTGYGIKFRATLTSDDAATDVSMALTCRVRDQLGPSPAVTSSGALRNRQGEDVVFVSGTLLADPRYVKDDDSIVVECVIATVVKVRDAGAAADASPTTMISAAAPSSDLHAHFGELLRSRKGSDVTFVVAGEHVAAHKCVLAARSPVFMAKLLGDDDMSEWENASQHVKIEDMEPDVFRALLEFIYTDTLPELDSQEEGARTLARDLLAAADRYGMGRLKLLCEDKMCARISVATAASALVLAEKHGCPKLKARCMEFIVADPADLRAVVATDGYKHLMATCPSVLSDLLLASFHERLGFIKSRWKVDGHEWEIHLYPSYPVYHESWVALKLFILGEARPNNLRASLGCRLVDPSGRHKPSEEKSASLTFRSISSSSDVYLVKRSEVPSSGYLWRDSLTVECAITVLKEQKDIITFPATTDVPLPVPSSNMHQQFGKLLQSQIGADVTFTVAGESFPAHKTILAARSPVFMAQFFGRMQERRSSSVQVHGVASPGYKHLVASCPLVLTELLKAKTRTWSAMQGPCIDLTAAARSVQLFKINGHSAIKEKPIGTRMASARTRVGGFDWVIDFYPAVYSSNDYWIKFCITLDSDVATGVVASFACRMVDQTGKLEPSPEARAGGTLRKGQSKEILAMSRGRLATSGYVHDDSYVVECVITVLLDEPDHDQVAPAARVAGASPAFSAAAPFYDLHKHFGELFRSQKGADVTFVVGGESVTAHKCVLAARSPVFMAQLFGDMKGKNASQWQRVEVEDMEADVFRALVEFIYTDTAPELDDGQGQEEDLEEDAKAMAQHLLAAADRYGMERLKLICEDKVCGDISVGTAAAALVLAEQHGCPKLKAKCMEFIVATPASLRAVVATEGYKHLMASCPSVLSDLLEAVVQRYKWNVGGCDWEVHVLPKESFCGIPYITLDLYLCSEVSTDCIRSVKAKFSCWLIDPSGKLRPSQEKTESRDKIMRSGDGIYCVFLISIGDLQASGYLKDDSFTIKCTLTVLKELAEKHRPAHDLVPSSSLHHRLGELLQNATGADVTFIVSGVSFPAHKAILASASPVFMAEFFGHMKERRSQRVEIKDMEAALVLLGAPRRTDVKASLKCRLLPHSFSSSDQRRGLCFRDASGDVEGQVSHAFKRADESSGWARLRKRNVLMDSCPSVLNDLLKAMRGGMNFGTEVIPYPSMGGVFSSNLTEAACAVHLFKINGYSATKAMGRTGSLPSKPLAVGGYDWEVRYTPSLVADGSYSIAFKLVILGAPRRSDVKVALRCRLMSPAPSSSSQQCRDDVVGHTSHAFKQAKESSGWSLLCKRSILDTSGIIKDDSFTVECTITVIAEPAATSHVLLPTSGLQHHLGELLRSGTGADVSFAVSGSFFAAHKAVLASSSSDASRIEDMEPEIFREMLHFIYTGTVPELDREDANVNSAVVAQHLLAAADRYGLDRLKLICEYKLCDGISVNTAATTLALAEQHNCLHLKAKCVELIAANLDGVMASEGYRHLMASCPAVMNDLLRAAACAVHLFKINGYSATRAMDRTDSLPSKRLAVGGYDWEVHYTPSQVAVADRSYLVAFKLVILAAPRRGDVKAALRCRLVSPASNQQRRGATSRDEVGQMSHAFKQAKESSAWVVLCKRSVLEASGAIKDDTFTVECTITVIAEQADAAATNDVLLPSSGLHHHLGELLQSGTGADVTFAVSGEVFPAHKVILASRSPVFMAQFFGRMKEERAQCVEVEDIEPVIFKAMLHFIYTDSAPELDGPNGTVVAQHLLAAADRYGLDRLKLMCEYKLYDGISVNTVATTLALAEQHNCLHLKAKCVELIAANLDAVMASEGYRHLMASCPAVMNDLLRAVHTTCINLTEAARSVQLFKINGFTATKERRSIASRIWTVGGRDWQIDYIANSGNVYPYGNDGWIKFRLSLVSAASRVAASFSCRLVHPEPSSYYRDRPSSQEKMASSVFHQNSSVDVALMNRKDLESSGYVKDESFVVQCAINVLLGEPKYAATVGAVTEPCSNLHKDFGELLRSQKGTDITFLVSGESIAAHRCVLAARSPVFKAGILAEELRASRCVEIKTMEADGACINLTEAARSVQMFKINAFTATKDLHGYTESTSNQTEATALTAATTGLRVSLISNASPVAASFSCFLVDPSTMQRPSQSKEITVSSTFSQHSSQEVCLTTRGDLNGSRYLKDDQIIVQCAITVLHDLPKGAPVPRITPRRVAPSPSSDLQKHFGELLRSQDAADVTFLVSGESVPAHKCVLAARSPVFAAQFFGDMKENASPSVEIQDMDAEVFRAMLRYIYTDKSPELEEQEGEQATLMAQHLLDAADRYGLERLKGMCVDKVCSGISVETVATTLALAEMHVCSKLKDRCMEFVLSTTANFYAVAATEGYKHLEASCPSVLTDLLKLVAQGH >Et_8B_060199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7155241:7178412:-1 gene:Et_8B_060199 transcript:Et_8B_060199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLMRIKDAMDLRILGFEEERREYQSCCQCQGKLWFKMGSYAKPSKRYEKILGFEEERREYQSCCQCQGKLWFKMGSYAKPSKRYEKVLLGIGIADRPRRGLSVLLRESRAVDMESLNLIDAANIATLAALSTFRRPECTVGGEDGQQVTVHDPEGSAPSNNIHHLPIAVTFAYFGEGNIVVDPTCKEEAIMGGRMTVTVNSNG >Et_4A_033720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26104261:26106110:-1 gene:Et_4A_033720 transcript:Et_4A_033720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGSVCFHLSMGEPNSNESAMMHVGSEIVDGNGVIHEDNNMVHDNEMIQGEMGHVDGLLHDGMLQGNEMVAVNELMHGNDIGQVNDMVNGDEFHGNELISAEMTPQTTSRRRRKKSLVWEHFTIENMPGGNARACCNLCKQTFAYSSGTKIAGTSHLKRHITLGSCPVMKSDVRKRALPSAGGTDNDGEGTVERPSKRRYRYTGFANATFDQDRSCSYLAKTIILHDYPLHIVQ >Et_2A_015823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18095555:18097975:-1 gene:Et_2A_015823 transcript:Et_2A_015823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSAIIRYAITFLLLVPRLLPPCASDDRLLPGKLLSPGATIVSDGGSFALGFFSPTNSTPAKLYLGIWYNDIPGLTVVWVANRETPATNSTSSSPALFLTNTSNLVLSDGDGRVLWTTNITSATSSAAVLLNLGNLVVRAPNGTVLWQSFEHPTDTWLPGMKIRIRYRTRAGDRLVSWRGPDDPAPGPFSYGGDPDTFLQVFVWNGTRPVTRSAPYTGFMVDSEYQTNASSFTYKAVVATEDGIYMTYTLSDGAPHTRYVLAYSGELMLQMWSSNSSAWVVVRKYPYSKCNQYGYCGQYGYCDNTMAVRTCKCLDGFEPMNLEDWNRGNFSQGCRRKEALQCSDGFLAVPGMKAPDKFVHILNRTSQQCAVECTKNCSCVAYAYADLGQNISKSTMDGTRCLVWAGELIDTEKMSDTTGRDTLYVRMAGLHAGARPKTNAPRIVLPTVLISGILILAGITLAWLKLKGKKRGREGHKKPFLGGSFEELGERNLSQDFELPFVKFEDIVAATQNFSESYKIGQGGFGKVYKGMLGGQEVAIKRLSKDSQQGTNEFRNEVILIAKLQHRNLVRLLGCSVEDDEKILIYEYLPNKSLDATLFDSSRKMQLVWPIRFNIIKGIARGLLYLHQDSRLTIIHRDLKAANVLLDGEMRPKIADFGMARIFNDSQKNANTQRVVGT >Et_3B_031597.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:5720042:5721106:-1 gene:Et_3B_031597 transcript:Et_3B_031597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSELEHKAREAFLDDDFALAAALYTQAIAAVPQPAAALYADRAQAYIKMGDFAAAAADAARAAELDPAMPRAHLRRAHACVKLEQYDAARAAVEAGAALAPGDARFAQLMKGIDDKAPKPPAKVEPSAAAAAVAVAAAPVATMQVVDKPKYRHDYYNSAAEVVVTVFAKGVAPEHVSVEFGEQTLSLSVEVPGEEAYHLQPRLFGKIVPDKCRFAVLSTKIEVHLAKAEPGTTWTSLEFTNKPKLIVAATNGSGAGAQRPSYPSSKGKKDWDKIEAEVKKAEKEKLDGDAASNRFFQDIFGQADEDARRAMTKSFVESNGTVLSMDWKDVGSKKIEPSAPDGMELHKWEY >Et_7A_052473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8477673:8478388:-1 gene:Et_7A_052473 transcript:Et_7A_052473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRAQEDTWYVRGEGAEYVERDDDDAAACGRELFSRGGSRAGPAPRRGRSRTARAWPGPAAGARTYAPPAILLRPLRLFGSEKLKQELNDPEHLTEERLNELVATPARGWPTDFSVYKVAKAALNAYSRVLARRHPELRVNCVDPGYVETAITWNSGYLTPEEEGGSRAAAVALLAAGWPTGALFDDDGKETSFV >Et_1B_013075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5220833:5223662:-1 gene:Et_1B_013075 transcript:Et_1B_013075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPALLHSHSALLPSSTSQARRHRRPASPPASVSTRRRGVVIAASSTAQATPAPAGLKEGIAGLYDESSGVWESIWGDHMHHGFYDSGEAASMADHRRAQIRMIEEALAFAAVPDDPAKKPKAIVDVGCGIGGSSRYLANKYGAQCSGITLSPVQAERGNALAKAQGLSDKVSLQVADALEQPFPDGQFDLVWSMESGEHMPDKRKFVSELARVAAPGGTIIIVTWCHRNLEKSETSLKPDELNLLKRICDAYYLPDWCSPTDYVNIAKSLSLEDIKTADWSENVAPFWPAVIRSALTWKGLTSLLRSGWKTIRGALVMPLMIEGYKKGLIKFAIITCRKPQAA >Et_9A_061852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17187175:17189560:1 gene:Et_9A_061852 transcript:Et_9A_061852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKTVRRSPCQKGKLCDSPIDKDDPEIDPSYKLFLENMQMETKKTLSRSPSQKGKLCDILVDKDDSEIDLSFFEQEIDPSYKLFLENLTIDRDTYILDLPNGDHGKPVYVRYEEEDTTYSNVKDKNNTDFHNSSLSRSRGPPNGKKLRETSGKVTGGKVGHSFSPWTSSMKKKMKTSPMDESYALFLSLVKFKDGHMVIEPEPGVTIVYEQEEDMPAQCELRAGSSTNGTEPLISPSENMEENAMRASEDSDLEDLNGQDVICTDEHGHVLYAEHSDLNAQTCKDDQGEQVAHICASSSTFDEKLKAILNRPYDQNEYEELWWKATDRKPVIKQRHLRSASKRYVTKAIGLSYLDHYPDLASQIDSADSDERLSLLRKFFFWLENLCHKGAYMPWISKPLAGDPISADEEHEPTPRGEQ >Et_4B_038934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6075171:6081849:-1 gene:Et_4B_038934 transcript:Et_4B_038934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDPEASPAPQPPAGPRRSSRKRVKTVAAREAEAAEINRQRRAAAKETGDAEAQAPELAEGELGARPRRKRQSGAAKPGARKRAAKEKPELDGSAPAGETIGAADDDVCAEEPDSEEMAMEEEEEAAAVLEAEEARKVGAKKRVARPRTERRANASEDHFVGEPVPDDEARRRWPDRYKSKVRHFFTVSGEDEEIKARCHYRSAKVDDLLYQLNDDVYVKAGPDEENYIGRITEFFEGIDHGYYFSCQWFFRAADTVISPNLLQAHDHRHDNKRVFLSEEKNDNMIECIISKIKITHVDPNAVPEVKAQLASDCDLYYDMSYSFAYSTFANLPADNDGASTSAASNISSDDAVDSSKEKLACGFEASPDAHTETLSLLDLYSGCGAMSTGLCLGAALSGLKLETQWAVDINTHACDSLKHNHPRSKVRNEKAENFLALLQEWDALCKKYVVHKSNTLASDASQSLSDDEDEPLPGDTYEVEKLVDICYGDPNSTENVGLWDCPERIKEFVERGYRENILPLPGTVDVVCGGPPCQGISGFNRFRKRDDPLKDEKNKQMVVFMDIVDYLRPKYILMENVVDILKFANGFLGRYALSRLVAMNYQARLGMMIAGCYGLPQFRMRVFLWGALPSMVLPKFPLPTHDAVKRGVVPNEFEQCVVAYDETEVPRLRKALLLADAISDLPEVENHQPKDALEYTVGPKTEFQRYIRLNRKAMKDYSFDGELVADESKLFDHQPLRLNDDDYERVRAIPLRKGANFRDLKGVQVGINNTVEWDPSIPRVLLPSGKPLVPDYAMSFIKGKSTKPFGRLWWDETVPTVVTRAEPHNQIILHPSQARVLTIRENARLQGFPDYYRLLGPIKQKYMQVGNAVAVPVARALGYSLGMAYMGRLDGDRPLFKLPKNFISVDQETVARTPALVSGDDDVESEEACLTYRNPHKNAVNQPRS >Et_10A_001119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21220735:21229883:-1 gene:Et_10A_001119 transcript:Et_10A_001119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSKGCLLLHHGGEELPMSAFCRTSVGEWDAFRSIDMDTEARLMQHMKQSSEKQKMTHVDEDEMSALNAWYRIDRQTRAAMKRNFLPELLEIYEVLPSLLIVVLLK >Et_3B_027634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7354883:7355742:1 gene:Et_3B_027634 transcript:Et_3B_027634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVPLRPVAVDAAHLLAAQKLQAGDLAFAIPIRAGIRWCKAAAAPDREHTDRRRRGAPPHQLRRLVAYLCSRARGEGAIHVRFMARSASCSRLHHRLGVNEVALVPVAAGPRVPELEAHLAGVVVVDLPAAAAAAPVLVRDDEPAPPGAGGKGKRRAQLRLPVRERALVQVQAPPGRLEFLAQLGLEEHLLRRLRDDEVPQAPRPRRHPRLLQLPALGVVTREVLRGGRLPRRPR >Et_8A_058016.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:13306612:13307394:-1 gene:Et_8A_058016 transcript:Et_8A_058016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGAGGFMLSLRSLAARPDAALSSANAFTALPSPRVFGRRDQTLVVKRGRNYTARDVPAFLAAIGAPPLAVTLYETRALPVAMNFRAPLVPTTCVNGVGVPTTEKLVYWDGDFRKEPEIVYGDGDGLIGIESILALDTLIGEDPRQVDYKLIRLANTSHAGVICDRTALERVIGEILEDL >Et_7B_054929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5759664:5761973:1 gene:Et_7B_054929 transcript:Et_7B_054929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QQKQYCNTQPYKSTNKVGLGLVKPMEDMLTAANAGANPSQGGLTPSQQSSAPPAVRGGAPAPAPAAKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSSSAPASSASTSSPMAAAKNPKLTHEGAQDLNLAFPHHHHALQAPGGEFAAFPSLESSSVCNNPMTSNGGRGSGPAVGALSAMELLRSTGCYMPLPGMAMQMPGDYAATGFSFGEFRAPAPPPSQSVLGFSLDAHGSASGAATAAAGYGSGNAGLQGES >Et_8B_059596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19770229:19772009:-1 gene:Et_8B_059596 transcript:Et_8B_059596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALKMPLGLCLCLLLLLLRAASGEQQQQSSAGCGRLDRPLRALEPTRRVDSEAGATEFYDERDAQLSCAGVSAARIRIQRNGLMLPSYSNSPRLVYVLQGRGNVGVVIPGCPETYQQTAAQMQAQEDDDDEWRGRRGEGRESEEEESESEGRSRRGYEQYAIRDEHQRITAVRQGDVVAIPAGSPFWVHNDGDQTLVAFAVYDVSNNANQLDKTFRHFRLAGGQTSTQHQRQKQRYGESESEESESSESEQEQERNESYNILSGFDTELLAESIRVSRETARKLQGRDDRRGNIVRVRRGHLRVLRPKTEEMEETTRRNGVDEALCSMKLRENVADAGKADMYTPNGGRITVLNSQKLPVLNIIQMSVNRGVMRPNAILAPHWNINAHALVYATAGRARLQIVSNAGRRVFDGELRRGQAVVVPQSFAVMARAGEEQEGFAWVSFQTTDNAMNALRGMPADVLANAFGVSQEEARRIKFGRTRAEQAPTRRRARRRRVRCTCAPWVTRAKLCTVLCTSCWQLRPK >Et_7B_054701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3652732:3653260:-1 gene:Et_7B_054701 transcript:Et_7B_054701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPEATMKSVRGESERHRRSRSADLISDLGDDVLLRILSLLPDEGDASAFSAPGDAAAAARYVDFIDGALAALQADSAVEHLAISFKTTDDYHDDREFEHLVPPWIDAAQDWIQYAVRHAVRSFDLELVLPPRDYIARRALILDEYDIVN >Et_1A_007273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3317882:3327319:1 gene:Et_1A_007273 transcript:Et_1A_007273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKAQPHAVLIPQPAQGHVTPMLHLAKALHARGFCVTYVNSEYNHRRLLRSRGPGSLAGADGFRFEAVPDGLPQSDNDDVTQDIAALCLSTTQHSAAPFRDLLVRLSSTPGTPPVSCVIADGVMSFAQRVAEEMGILALVFWTTSACGFMGYLHFAELTRRGYVPLKDESDLTNGHLDTAIDWIPGMPDIRLKDIPSFIRTTDPDDVMLNFDGGEAQNARKARGVILNTYDALEQDVVDALRREFPRVYTVGPLATFARGELGAIGGNLWKEDTGCLGWLDAQPPGSVVYVNFGSITVMTPAQLAEFAWGLARCGRPFLWVIRPDLVSGENAMLPEEFVRDTGERGVLASWCPQELVLSHPSVGLFLTHCGWNSTLESICAGVPMLCWPFFAEQPTNCRYVCAKWGIGMEIDNDVSRDEVARLVREAMDGETGKAMRVKAAVWKEKAKEAVEGNGSYSRPHAVLIPYPAQGHVTPLLQLAKVLHSRGFFITYVNSEYNHRRLLRAAALEGLEDFRFETIPDGLPPSENDDVTQDIPTLCTSLSRNGAAPFRELLARLNSEPGRPPVTCVIPDGVMTFAQRVANEMGILALVFWTTSACGFMGYLHFKELMERGYVPLKDESSLTNGYLDTVLDWIPGMPDIRLRDIPSFVRTTDPNDIMVHFDSGEAQNAHHAQGLILNTFDAVEQDVVDALRRIFPRVYTIGPLLTFAKAVARPEVAAIGGNLWKEDASCLPWLDAREPGSVVYVNFGSITVMSSAQLAEFAWGLARCGRPFLWVIRPDLVTGENAMQPEEFFAETKKRGLFLSWCPQEQVLSHPSIGLFLTHSGWNSTLESICAGVPMVCWPFFSEQMTNCRYACTKWGIGLEIDSNVKREDVARLVGEAMDGEKGKETRAKAVMWKEKAMAATEEGGTSSVNIHQLVEFLLEGTLAPMPFSSRPPRRAMSRPCFSSPRCCTPVASSSPTSTPSTNHRRMLRSRGAGALAGLDDFRFESIPDGLPPSDTEDVTQDIPTLCASLSRNGPALFRDLIGRLNSAPKQPPVSCVILDGVMSFALSVANEMGILALEFWTMSACGFMGYLHYAELIDRGYVPLKDESYLTNGYLDPVLDWIPGMPDIRLRDIPSFIRTTDPNDFMLHFESHEAQSAHSAQGLILNTFDAMEQDVVDALRRIFPRVYTIGPLLRFAETTARPEVATIGSNLWKEDASCLPWLDAREPGSVVYVNFGSITVMSSAQLAEFAWGLARCGRPFLWVIRPDLVTGEKAMLPEEFYAETKERGLFLGWCPQGQVLSHPSIGLFLTHSGWNSTLESICAGVPMICWPFFAEQMTNCRYACTKWGIGLEIDSNVRREDVARLVSEAMDGKDMRENARMWKKKAVAATEEGGASSINIHRLVEFLLEGSDTASKT >Et_1A_005670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12632477:12635663:1 gene:Et_1A_005670 transcript:Et_1A_005670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METKPPAPAAGEPAIGVPYHPAEAQGHYYYAPPNPYAAGMPPPNAIYAGAPKGVPLQQTMFRDTPAPFHCQACGDATVSSVRSKPSLASVVACMMPFMMGVCFLCPSMDCLWHKYHYCPSCGEKVAEFKKSDPCLVVDPTRWSEPSFAETGMVSNV >Et_1B_013288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7034588:7038022:1 gene:Et_1B_013288 transcript:Et_1B_013288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAEERALGYGGLVSDLREVYESGRTKDLEWRQSQLRGLLRLLREKEEEIFDVLQEDLGKHRGESFRDEAATPLVAFPATAFVMPEPLGVVLIFSCWNLPIGLAFEPLSGALAAGNAVVVKPSELAPSTAAFLAANLPKYLDSKAVKVVEGGPEVGERLMEHRWDKVLFTGSSRVGRLIMTKAAKHLTPVALELGSKCPCIVDWLDSNRDSQVAVNRIIAAKWGTCAGQACIAIDYVLVEEEFAPILIELFKSTLQRFFTKPEFMSRILNKKHFQRLNDLLDDPKVASTVVHGGYFNAKTLTIEPTILLNPPLDSDIMTEEIFGPLLPIITVKKIEDSIKFLKSRPKPLAIYGFTRNEKLKLRIIEETSSGSVTFNDAIVQYGLDSIPFGGVGTSGFGQYHGKYSFEMFSHKKAVLKRSFLIEFMFRYPPWDDSKIGMLRHVFSYDYLSLFLALLGLRR >Et_4A_032289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28515664:28516224:-1 gene:Et_4A_032289 transcript:Et_4A_032289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKLSVVLGLLFLCVGSGQAVRLLHDGDAGREFAFGAKAAAETEPLDPTFDDDYENEISHVEFEPELGSAPPATVTAASSSGPAPGPVPVKARNAAAGRSMKWWLPPSTMPSFPLFPNPGGMPGIPGLPMPGLPFHPIGGWGAPAPPRQAQPSPPASGSGSGGNGNDPNTNGGAN >Et_7A_050729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10530182:10545331:1 gene:Et_7A_050729 transcript:Et_7A_050729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVVYVDWRGNAVDERSHGGIRATIFLYVLFMLRSCPSSSNFSIVAYFHGTLHLDIVTSSTLIGYLVGAVLISAALMNFISDAYIKRTTAIFVFGPCVVLGYMLLALQAQLPSLHPPVCAINKEPNNCEPAQGWNFALLYLSLLIFGVGEGCMRSCIPSLGGDQFGNDDPQKPQLKRTFFIWLKFANSIGAIIGLVFLVWMENNLGWNIGFMMCALIVLTGLLVAASGTSFYRIQKPNGSPLTRALQVLVISSKKRQAVVDVIELQETNGEDFFDKHSTTQVEETKSIIQMLPIFISCLLIYLPYTLLMTLTIQVGSTMDTGAGKIQIPSASLIAIPTAFHMLMQPCYKRVLTPLMRTITGHAHGITPLQSIGAGSVCGTAAAFVATLVETKRLKVAEQHELISTGTGVPMPVLWLVIQFFLLSIMDITSFGGLVEFIKSDTPLWMKPIEPTVQSFLAGLAAWSACAFIQLVNGVTRHSNGGKGWLDGANFNRTRLDRFFLLLTAFELMAFINYTFWATRYTMKQQPISYVDWRGRPINRKMHGGIRAAWFLYFLTFVSSMVNVPNLLNLVTYVRGTLHMGVSGSATTITNYVGATSGFALIGAFLSDSYITRSRTILLFGPLEFLVFVVAFRNRRCELPEKLEEAQENSAEKDSINVPSQTNILNAFGEGCVRACLPSLGADQFDHEDPTESRQQSSFFNWFTFGISIGGLLGLILIVWLENYRGWDIGLGLCSILILIGLLVVAAGLPFYRNQLPEGSPLTRILQVLVVAFRNRRVELSEKLEEAQETSAGSGTVEVHPQTNNLKFLDKACINRGKDGAWSLCSVAKVDETKIVLRLLPLFLSSMIGYVSNPIVLTFTVQQGGMTNTRLGKIHVSPATLFIIPITFQTVMLALYDRFIVPFLRRRTGYAGGITHLQRISIGFISMILASVIAAVVERKRKEAAVQMSLFWLTPQFFLLGVSDVTSFPGLLEFFNSEAPRGMKSIAAALFWCEIGLASLLATFLVQVVNKATRHGHQGGWLEGTSLNNSHLDRFYWVVTVVGLLAFLNYLYWAKRYVYRQDPRIVDELPVDQDSL >Et_10A_001097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21046702:21052822:-1 gene:Et_10A_001097 transcript:Et_10A_001097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAQPCTLQSTGYSNVRAFAEFAPFPRVLRLRPSKVPMDSALNQVSKYQHGSSLPATSSGNGLYSARPRSTAAGESTDALLFLAGPAGWFIRLVAFLGERAASVILSLVFPVAALFGELRAVPSLITSNIRRAAFGLLAAACTFAFLVSALFVSALLGFVLVRHWVEEPVTVRQPLYFDYTEVQPSAAVALGGARGVVLPAGHTVKVTLALLLPDSYHNREVGMFQIKAEAVSVTGLTTATATQPYMLRYKSAPVRLAQSALMCVPLTLGMRSETQTANLKVLQYREGHGRHKRTGLIRILLQPKAMTVQLPQVYKAEVVVQSTLPWTKGLARSLKWTLYVWVSSSVYVILVVLAICLVRPLVSARNRRLLELQADRKSVSDLGRGDLSESPSKELSGGDTTKRRERRNKRKGQFQMQSHGDRVELEFAEGSTSGVAMVKTAEISENFELLQSMSHSSYTSGEEGKFNLLSSLVTAVCLYLETEFAYSQECELTSRKGRRWQTDKVGCHSDHVSEAFGLAPCSCANMRSAFVAAAACGPRFFLPNYS >Et_4B_037426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19671342:19690341:-1 gene:Et_4B_037426 transcript:Et_4B_037426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTKHTTPTLPAPFAVAPPPPSYPASYAASASEDGDDLYGHLKSQQRKLEFIEIQEEYVKDELRTLNREELRAQVEVTRARSTPLAIGQFLEMVDARSCIVSSTSGGTYYVPILSTIDRELLKPSASVALHRHSNALVDVLPPEADSSVSLLGSTEKPNVTYSDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVNGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAADITAICQEAGMHAVRKNRYVILAKDFEKGYRTNLSAPRPRRMEACTGTASPRTMISAGVDKLSYEIFSLLESKFLFGDCLSGPGTPANEFLGGGRVRVLSIDGCGAAADDALLAAAALARLEAGLRKQAGDPDARVAEFFDVAAGAGAGGVLAAMLFLKGADGRPRYSAEEALAFVKENVGRKEDWAGGRRSGRWANLFRGARGCGGDKSLRRVFGDATLRDTVAPLLVPCYDLATAAPFMFSRADAVESDTFDFRLHDVCAASATPVRSVDGLTAISAASAGVAGMGNPTAATITHVLHNKQEFPLATGVEDLLVLSIGGGASSSAVTVSGRSNTPVATRSPSPRELARVTAESVADTVDESVAMAFGHACASNYVRIQAGKAPTPLHADTATAAAGAMLAQRNVESVLFRGRRLSERTNADKVDALATELVKEQERRRRSQLPNVAVKEVGTPSPRLSSATTASSITTTRTASTMPSPLSCHSRRKMEACTGMTSPRTTIAADVDKLRYEIFSLLESKFLFGPGSDCLSGAGTPEKGFLVGGSVRVLSIDGCGAAAEDALLAAAALAGLEARLRKQARDPDARVADFFDVAAGSGAGGVLAAMLFSRGADRRPRCSAEEALAFVTKNAGRKDWGGWRGRHQQKSFVTENAGRKLFRGARTGDPSLRRVFGDATLRDTVAPLLVPCYDLATAAPFMFSRADAVETDSFDFRLRDAARPVKSSVDGLTAISAGVAGMGDSTAAAITHVLHNKQEFPLASGVEDLLVLSIGSGASSSAATVSGGSNTPMPTRSPSPRELARVAATVDESVAMALCGSNHVRIQARKSPAPVHADTAAGAMLAQRNVESVLFCGRRLSERTNAEKVDALVAELVMEQERRRRRPLPNVDIKQVGGPSPRLSSATSASSVTTMRTASTMPSPLSCHSRR >Et_8B_059578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19467198:19470369:-1 gene:Et_8B_059578 transcript:Et_8B_059578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDGSDLPAAAGDHPPETVGDGGAEGEQVAPRPERCEALAAAIAGVLGGALREHEEQATATARSQDELTAVIDRLNGELDKLLENAPSLVIMQHAARISSIRKRISALNMLLKSIQRRIDNVDRIISTGLFCPVHGTPPTFIPKTGQIVQEV >Et_7B_054238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17982839:17989751:1 gene:Et_7B_054238 transcript:Et_7B_054238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWRLRRVGAAALAASSAVAATTAAWPYASASDPSASALEAARQRVAQPGAVPPPRAAQRAALAGSTPADPLDVLVIGGGATGCGVALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALKERKQVIENAPHLCHALPCMTPCFNWFEVVYYWFGLKFYDLVAGRRLLHLSRYYSVDESVELFPTLAINGHDRSLRGTVVYYDGQMNDSRLNVGLACTAAVVGAAVLNYAEVVSLIKDESGERIIGARVRDTLSGNEFETFAKVVVNAAGPFCDSVRKMANGDVMPMICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTAITMLPEPHEDEIQFILDAISDYLNVQVRRSDVLSAWSGIRPLAMDPSAKNTESVSRDHVVLEDYPGLITITGGKWTTYRSMAEDAVNAAVRSGNLKPANSCVTDSLHIVGAYRWDPASFTVLAQNYRRMKRTYGGKIIPAVMDSAVSKHLSHAYGTLAEKVATIAQNEGLGKRLAHGYPFLEAEVAYCARHEYCESAIDFIARRCRLAFLDTDAAGRALPRIIEILASEHKWDKARQKIELQKGKEFLETFKSSKNAQFCDGKHNGKPL >Et_4B_038869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5515428:5518382:1 gene:Et_4B_038869 transcript:Et_4B_038869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HLSSVYQRSNFYVMISSCNFILLRTVTITWSGLMIYTIITKRTIGTHRGIVTDEPLEGVAQVEQPPGRGGGEVAAPELEHAQAREGYERERRRVAAGPRCDDLERGEEAQDPAELLERAVVAEVGDVGAEVVDGRAGADGLELPQRLEAGGDVVEPDGVEAEGDGAVEQRARVLLHEADEQVEVAVAGDRRRRPAPRPRRGHRDRDGRLGLGGGSAPAHRRAAREGRGEGAGGWRRGKREAAASRWYV >Et_10B_004055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:55969:60144:-1 gene:Et_10B_004055 transcript:Et_10B_004055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGGVSANNPAAHDEDDANTAPFPETVQVGGSPTYKVDRKLGKGGFGHVFVGRRLTAAGRASGPAAQEVAIKFEHTSSKGCNYGPPGEWQIMDMLGPSLWDSWNSLGQSYVHGDVKPENFLLGQPSTPQEKKLYLVDLGLATKWRDSASGQHVGYDQRPDAFRGTVRYASVHAHLGRTASRRDDLESLAYTLVFLHRGRLPWQGYQGDNKSFLVCKRKMVTSPENLCGICPQPFKQFLESVVNMKFDEEPNYSKLISLFDCLVGPNPSSRPINTDGAQKVGQKRARLLNDDDGHAKKKIRLGTPYTQQSYKVSDSFPFKWINKKWKEGFHVTAMATSGSRWAIVMSRNAGFTDQVVELDFLYPSEGIHRRWDNGYRITSMAATMDQSALILSKPRRRPRDETQETLRTTQFPSQHVKEKWAKNLYLAGICYGRTVA >Et_6B_048988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16462302:16469336:1 gene:Et_6B_048988 transcript:Et_6B_048988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGKVGSLISRSVYTVSGPFHPFGGAVDIVVVQQQDGSFKSSPWYVRFGKFQGVLKTREKVVNISVNGVEAGFHMYLDSNGEAYFLRDADPNAEEGEFMLSPASSGDEQEAPAQLRKSKSTSCDSSTMEADVGEGKILARTTTSRRTTILGRMFGRRAVKDNAHAMDRVSSLERAEIAAELLDTKWSTNLSRGSKSRDSDDQPSKSIVADAGNSDQMETSETVLPEHSFDHGKESDCNNADCNSGSPHGGRSSSANETDHCVQTTSVKEEVVEVCTHDQPSKSIVADAGYSDQMETSEKVLPEHCFNHGNEMESNCENADCNSGSPHGGRSSLANETDSCVQITSVKEEVVEIYTHDTSEFNITSTTNKDLGTDNSIHESVDTLGGLAQNFEDVTGREIHTEFLSDGVFEIHAVENETTNGNTELISQFVTGNSDGANQNYTDTNLPTYGTIDVSTERHDVSSNSPAQDIVEDKMVIISTTETVDSYAMSSTLTNKVLDAADILLTDGVHLKDHSIASDGTIEHLISEEMAISEDKSNVVQDHADSVLEDFQANGPDMENSEGTILDYHGTDTAHDLACKYDDVCPDASSNVVEMLRHVPENILEDVTKDSTVEKEAYSGEPDVSVTQASPMGEGSGECIAQLVNFSNNVEMEEGLLSITDEIEAQNTEIEDANRPIPTSGDEIGFLQETTAEPKEETQAVVSFAEHIEEIQFQFTDTESFADTKVMDEMRTRKTADEGEYDESDSDPEEQQGCHTDSANDLENSSALMPETSPLPIPGSELHSGDSIIGAKSLPNLRSHIHDLERSDSVQLSCSLRSNAENNGVEPVKNTSFPEQEQEATVDPEENSKAPELPSNSMPENKHTDDLKVDSFNPVAELSLCRHLLSEGMGEDAARRAFDSEKVSLEKFRTMKQSLTRNNKLVVRIAGRYFPWEAAAPIVLGMISFSEEQVFEPQGMIKVERVETSLAPGGGWRIWPFSFRRTRAISTIETVCESIVETPLSTPVKEAGREWSKARAKKVRSLTPTSEELASLDLREGRNVVTFTFSTAMLGKQQVDCRIYLWKWNTRIVISDVDGTITKSDVLGQFMPLVGVDWSQNGVAHLFSAIKENGYQLLFLSARAISQAHLTRQFLFNLKQLSEELLMNSRFHAIRALFPPDSNPNPFYAGFGNRDTDELSYLKVGIPMGKIFIINPKGEVAVNRRVDTKSYTSLHALVNGMFPPISSSPEQVYVASAHRPQHRANFMLHGSGLEMVDHGPEVLKGRTYSRMECIYWY >Et_8A_056271.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19383287:19383430:1 gene:Et_8A_056271 transcript:Et_8A_056271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISNTGLRSTRIKTFFLPITFLCSIGTKIGLGIVTRFPFYLTNTN >Et_9A_062547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23953073:23957413:-1 gene:Et_9A_062547 transcript:Et_9A_062547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAAVGTTVVVCAAVGVAVVLARRRKRRDAELLGSAAAERKRRAAAVIEEVERSLATPTALLRSIADAMVVEMERGLRGDIHACLKMLISYVDNLPTGDEHGLFYALDLGGTNFRVLRVQLGGREKRVVKQQYEEVSIPPHLMVGTSMELFDFIAAALAKFVDTEGEDFHLPEGRQRELGFTFSFPVNQTSISSGTLIKWTKGFSINGTVGEDVVAELSRAMERQGLDMKVTALVNDTVGTLAGGRYMDNDVVAAVILGTGTNAAYVEHANAIPKWTGLLPKSGNMVINTEWGSFKSDKLPLSEYDKAMDFESLNPGEQIYEKMISGMYLGEIVRRILLKLAHDASLFGDVVPPKLEQPFVLRTPDMSAMHHDSSHDLKILGAKLKDIVGGADTSLEVRYITRHICDIVAERGARLAAAGIYSILKKIGRDKVPSDGGKLPRTVVALDGGLYEHYKKFSSCVETTLTDLLGEEASSSVVAKLANDGSGIGAALLAASHSQYSETD >Et_2A_016399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24240970:24242609:-1 gene:Et_2A_016399 transcript:Et_2A_016399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVRVVNVTRVHPAAQTGDQSPPCHGEYKLSFLDLVHIGKRPIQRLFFFDGPDLPPFPSIVSTLRSSLAATLAVFLPLAGKLAFRAGASEGGDVVVDCSPDAVSSGVRFVEAELFAADDMRRLARNAEHDTDAFEQLVPELDAARLPAPVLAVQVTGDGRGGAVALGVAIHHAVADGHAVWQFMRAWSTVAREGSLAAGAVAGLLPTPTFDRAGVRHPKGGELARTVLRLFAPELPLVICFRSSSDLRRPPPSSAAPTHDTAQQSRRTFVLRATEIQTLKQRILQQQTGALTGSEEEPSKPPSTYVAVTSLVWTSLVRAKAKPAPQHHDAAEGEDDDASCYFMVSADCRRRLRPPLGDGFFGNCVTAFYARARRGDLRERGAAGLARAAKAIQDAVREHLEEDLGGDHPLSDFERFLTVQYRAIPRERVSAVGSSHRFAAYETDFGWCAPTRVELVSLFARELVTLLGAREEGAVQVSVALDRAVMEDFAACFVVPSPASSDGE >Et_3A_025127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27160686:27165876:1 gene:Et_3A_025127 transcript:Et_3A_025127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAEVAVAVLLAVAAAVAAAAPLPAALRLERALPHKGVSMDHLMERDRARHARRGLLGGSSSVAGVVDFPVEGSANPYMVGLYFTHVKLGNPARIFFVQIDTGSDILWVTCSPCTGCPTSSGLNIPLDSFNPDASSTSSRITCSDDRCTAALQTGEAVCQTSDSPSSPCGYTFTYGDGSGTSGYYVSDTMYFDTVMGNEQTANSSASIVFGCSNSQSGDLTKADRAVDGIFGFGQHQLSVISQLNSLGVSPKVFSHCLKGSDNGGGILVLGEIVEPGLVYTSLVPSQPHYNLNLESIAVNGQKLPIDDSLFATSNTQGTIVDSGTTLAYLADGAYDPFVSAIAAAVSPSVRSLVSKGNQCFITSSSVDSSFPTVTLYFMGNVAMTVKPENYLLQQASVDNNVLWCIGWQRNQGQEITILGDLVLKDKIFVYDLANMRMGWADYDCSMSVNVTTSSGKNQYVNTGQYDVNGTLQRASYWGLIPTGVAVILVHMLIFAPRR >Et_8B_059900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3920561:3922546:1 gene:Et_8B_059900 transcript:Et_8B_059900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRTADVTGRKGTTSATSCAAVLSAYRTGGAVPTCSRRGRPPCERTERPPETLCHSVWKHPATLCGVFVAMLCLASTLAQAQVLFQGLNWESWRKQGGWYNFLREHRRDPRLAPSAVALRLAAGLHARPALRPRRVKYGTDAELRSLIEAFHNKSVECLADIGGTRDARLDWGPHTVCSDESPTTRTWKKGGGRWVVVRRAAGTPPAAVVVVGGGGAGALGRTAVDGPGGGWVQGGRRGGGVLLNWVRDVGAPAAAFDFTTKGVLQVAVQGELWRMHDVNGKAPGLVGWMPEAEKAVTYVDNHDTGSTQSLWPFPSDKVMQGYAYILTRPGIPCIFYDHVFDWNLKQEITILAEIRSRNGIHPGSTLEILKAESDVYVEDDRRHGDHQDRTEVRHRQPDPVGLPSRGSLQQLLRQGEVRTQRPCWQKALLVA >Et_4B_036360.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:241427:241753:-1 gene:Et_4B_036360 transcript:Et_4B_036360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGPLSGLVGSILQMAPQDCFMGRNSSEPLGLMSTATTSPSPATYLTSRARYMSLEPFSTWKAILVSDGYSHLTRRNCSVSSPALRSLSSSPFLAMAPKALPERSKW >Et_4A_032708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12852865:12857892:1 gene:Et_4A_032708 transcript:Et_4A_032708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYKLYYLVRLRYMIEQRSTMNSAGGAFGSSIGFTKLLAVYKPAAKSVSRIVSTLHTVTDTVLQTPRTLIRLPFTTAAAAAAVTAMAGRGGGGGRKPRNFATFRLFPRAGAADPNDRVFVRVDNNDYSVPGFADEEAFDSDLTADLHSSASGPLPETVRREILELGLPDDGYNYLSHLRELRPSAGAASSFVPSSTARPEPLPLDVKAYDASRVRVAPSADELDEGKTMCKVAAKTAPLRKVQRAVDPDVARLLDESDASHAGSEDEVLEEDFVIMANQAEGDEEVEEEEEELGNGVFSDIEEEEEFEFEDDPKPRVRRLLDEQFDLLALEEYGDSDDEDRGGRDGDYELPSEAIDELKLFHNQNVCVDDEYRTPADFVRGKLESITADEVDESADVIRKCAEYAERYLNEPAEEVEVVLVSESSDESEVWDCETIVSTYSNLDNHPGKIETPGNPRKRLPKVFPGETATTNDIIKLHGKEKLPIDYLPQRKRNTEKEKKVKSAEALSAEKFKKEAQKETKEEKKARKAAVKEEKREARKAKKELKGLYKSETQKAQKVAAVTGPSSIRLIVSDRCCSVVLGGDPDHYHAQRFWTFIGLQ >Et_4B_037951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25024012:25027356:1 gene:Et_4B_037951 transcript:Et_4B_037951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRSGGRDDGDRNAKRRRQQHLYLLVDDWAWGYSIRKIDLPITDSAADPGEQVARLPHAVFRFEARPNQLKMAAVGTRIIHWPGLPCTSSLSQVTAFDVRSRSLAVAPPQPEFRGGAVLIPVGGDRLFVLSAYSFQVLNDVQCSSPFWEELPVPPLEADHCFASSAVHPDGRTIFVSVKSTRVGPITSTLATYAFVTAAPRSSGARWTKLGDWALPFTSRSHFDADLDAWIGLTGNMNRPRHVCACDVVPVANANSEDGGGRPCPSWKMLEEAPFSAGPACLVPMGRRRSEFCLVHCVDGDVGGSSSFYPRPISERCKFRLVTFSLEYDKNGDLTAGNTRRVRHYRCPEAGGYALLDPAAFWLSQITAHRSGPERFRVKNPAACPSRRRRHFYILPRLRPLLVLIERRVDRGTRKRRASKQGWMPTKRRPQHLYLLVDDWPWGHSVRKIDLSIADSADPGEEVAKPQRLPPAARRDRLNTTAVGTKIITMSGHVTAFDVRSRALAVLPPPKFAGHVFIPVGDRLFVLTADSFQVLNDLQSSSPFWEELPVPPLEAKHYFSSYVVHPDGTTIFVSVKPTCVRYRGAIQATYAFDTAALVGRRGDWALPFNGRAHYDADLDAWVGLAGDMECFRHICACDVVTSSLAGGGGEQQPRCPSWNMLSDAEAPFSAEPNEEHVGACLVSCRGGPSRGEFCLVQTVDDDVQGRSCGSMRQERRPDHRRHPPVRYYRAPDAVGYSLLRPEAFWL >Et_9B_065110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21344182:21346157:1 gene:Et_9B_065110 transcript:Et_9B_065110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASIERAPPAPQLLNLIRDEWKVREADDEAGSRNTSADAEDTKLELKLGLPGVQEEERAAGPGEKIEQHEESYTALSLSSFPTHSKLTTNTATNTGAKRGFLATVDAKTEGCNQRRRDREGCENVLRLGGEDMAGERKKGCCPPSSSHDSAAGPVHSSSNPQGRGTILPVVGWPPVRSFRRNLTNGSSSKQSPERQNDEAGDKAKLECKRSPLVKINMDGIPIGRKINLAAYDSFHKLTSAVEDLFRGFLQAQKDLACTGSGEQEQEKIFSGLLDGSGEYTLVYEANGGGRMLAGDISWSVFVSTAKRLRVMRSSELPHGLVSTVRVQAPFFSCS >Et_7A_052005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3655234:3661342:-1 gene:Et_7A_052005 transcript:Et_7A_052005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRKAKPPPPPSPPKAAAPSLGEALLLATVCMVGLPVEVQVRDGSAYAGVLHTACVDAGYGVVLKKARKIANGKGQANLPIGAFVDTLVVQPDDLVQVIAKDLSLPIKGLGKAPDSSVVADSGSLNPQNAHADNPKMAKSQNIPPLKQVQKCNTDGQESDNINKNAQTNGNAMGGSASTSDVNRSRPVNNSATKIVTSSKTSSKEFKLNPSAKVFSPSFTSSRHVLAAPTPVNSNYISPSATEVPMGIPVFESKSVPGGSSLSSKVQYNLSHTNCAISPQYVQSMVGHNAARLDPAKVGTPYHPMKVGATYASPSPQPVVAGKFSPVVYVHPVSQEAMHGTPVISQGWPHPVLLNTYQANLQKFQGNAPVYVAPPVMATGSLPFVVPSPAPLGQPFQAIHPIMVPAASSMVPGKYIRFPNPIGYLNIKRLPFPYIGKKEANHAMLVELSFDPRVANKLDLDLENS >Et_6B_048636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1205825:1206425:1 gene:Et_6B_048636 transcript:Et_6B_048636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAGWYLKIAAVGASIGAAMELFMIHTGFYDKVTVLESEKRAWESSPEAQAMREALNPWRKQDEQQEK >Et_2A_015992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1996068:2007184:1 gene:Et_2A_015992 transcript:Et_2A_015992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCVRALGVAYVQPDQGADPPSQKAIKLSLFDTMFIPFAPVQRLFFYEGNDDLPPFPALSSSLRSSLAATLAIFAPLAGKLTASSSGEVFIDCSPGAGVRFVEAEYAGDMRRLAADAEHDVEAFRQLVPEFPVGRLPAPLLAVQVTRPAADAGVVAVHHAAVDGKGLWQFLRAWAAMSRGGSLAGSGIRPPTTFDRAVVNQHTKAEELARKFVRILAPALPMVSADQTSLIFNVSRQAVRSSMIDCPFPEADIKQQRRRTYLLRLRADQIRSLKRRISLVQNSSRGAKDEPAVDVEPPSTFVSIASLAWTSIVRAKNWSNHAGDDVYLMFAADCRARLRPPLDDAFFGNCVRSCYARATVGELRGGGGGNDDGGALARAAAAVREAFHVYLEDPLADAERWLQLRRSLPPERVAQIGSSHRFMAYETDFGWGAPTRVELVWVILKEFVALLGAPDGAVQVSVALDRECMDDFEASFFMSSRVRVLNVTNVLPNQDQGADYSPPPPPLPDDGLIKLSFMDALFIDRAPMQRLFFYEGPDVPPFPSLVHSLQTSLAAVLAVFTPLAGKLTYRPSTGDVVVDCSREAVASGVKFVEAEYSGSIDDMRRLAVGDEHDTEALMQLGPVLDAGRLPAPALAVQVTRPGVVGDGHSAVVGVAIHQAVADGLSVWRFMRAWSAVSRAGWQAASGLESPTFDRTVIRYPGAEEAARKILHAIAPALPVTRSPSLSIPPDQRRRSFLLRADEIQSVKQQILAQSDAMGETMDTHPSTFVAVSSLVWTSIVRAKNLDHAADAYYLVPVDLRRRLTPPVDERYFGNCVAPCFARAAVRDLREDGTGLARAAAAVRAAWTDGSRRSPRFTRTGSSHRFMAYETDFGWGAPSRVELASVFQKEMVTLLGAREEGAVQVSVALDRAVMEAFAGCFVVPSFTSRDGDSESPSSCTPPDQRRRSFRLHADEILSVKQLILTQSEAIGEKLDTHPMIQAPLSRCRRWCGRPSARRESGSHRRRLLPGARGPAPPPHPAVRRALLRQLRRAVLRQGRRARPVRRRRRPRLRRSGRPLRGVDDWLKTFAAVLRERFTRTGSSSRFILLRAPSRVELMSVFVRELVLLLGAENGGGDELISTFLRLFASTMPMWLNRADNNKFANSVISRNVYIQLRPPSSAPTLHTTQQSRRTFVLRADEIQTLKQQILQQMRALAGTEPAIQEAIRVYLEELGDDPLSDIERCMAVHRGIPRGRLARVGSSNRFMACETDFGWGAPTRVELVSLVETDLVTLLGAREPCAVQVSVVLDRAAMEAFAACLVVPASTSSGDE >Et_8A_057417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2844409:2855284:-1 gene:Et_8A_057417 transcript:Et_8A_057417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTTVARFFTNYAELGVLSPLLAKLTTLMGDEFKNLKGVRKQVSFLKDELSIMNAFLEKLEHMDEHELDPMVKNWRSNIREMVYDIEDCIDDFMHHLGDADPKKGFIKKTARRLKTLWRRHQISHQIDELKARVLEASERRTRHRLDDLICNSGSVAIDPRVKALYAETTKLVGIDDPREKLVKRLLDAEQQLKVMSIVGFGGLGKTTLAKEVYHSIEEHFEDKAIVSVSQRPNIIRLLNNIQSKFGIVVSSGADEVHDIIDNIRGYLKHKRYFIVVDDLWNVETWDITSCAFPENGNGSRIIVTTRVEDVASRACQHRHECIYRMMPLDWQDARRLFFKRIFGCEDGCPSQFEEVSAEILKRCSGLPLAIISIASLLANRPARVSKEWENIKISIETQSGLNPTLEGMWQILELSFKDLPSPLKTCMLYLGLYPEDHVIKRDDLVRQWIAEGFVSTNLHGQDLEGVGRSYFNELVNRSLIQPEDTEYEEVISCRVHDMMLDFILCKGTEENFSSVAYSSGDMASLHDRKVRRLSLDFRVGSAPNDLISWTTNTSLSQVRSLAWFGRRVTVPPLFFRHLRVLIVDYVCSDGGTCNLTAVSQLFQLRYLKVITFAYPLKALELPEIQGLRYLQTLEIDCPRFMTLVSIPSEVVRLPRLAHLIMPHFIMLDGIGNMKFLRSLVMFCVRNTEDIKAIGQLTSLRNLVDLRCLDVCKSTIRFPIISDEENQLGSVYHPPRQIERLKLRGWKLLGIPKWISSGLQNLCHLELSVLTTSTEEVRALGELPSLNHLELCVERGPSTGSIMFSKARSFPDLESLSCGGDAMSYLGFDAAVMPKLQRLYLEVNNSEWGGTAAIGMEHLLSLQKIEVNIHYGDACIPRNYETSSVARFFTNYADQVHPSRPSICFSAVRNPLPKMG >Et_4B_036824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11842974:11845541:1 gene:Et_4B_036824 transcript:Et_4B_036824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWNWDALPGPGRLYARTKQPLKVSRELGLSDSLERHQPRHGQSKLLFHAKLLWCHTVGEPPRERVNQVGQGHLHHPEPEPEARAHPSSGPKRQQLEVVSLHVDAAPDEPLGPELSGGVPHGGVAADGPDVDEHARARRDVVAGEGRGLAGKARRQQRRHRVQPHRLLHDGLQVGQARDVALGDETVAADDTVQLLGGLGEDLGVSKELRHGPLDGHRGGVRATGDEPLHPPDVPLRVQPAHPRDHVRGVERAVEEEVLVDHPPELLRRRRRRRAAGPIPFRDVLPAQHHARDHVQVQHVELLPERHDLPDGAVQLHLLGADVLQRREAPRVHELGLPQLAALAPVRAVRGPQHAGVVVRRVPSRRRPRAVDERLVPRLEEEPGRVHGRAHHDGERAEPEGHERAVPAREVVDGVVRQRADQVQVADHGPRTRARWELVLVQVALAEEAKNGCEEQRGGEDYGSQGRSR >Et_8B_060779.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:7220486:7221289:-1 gene:Et_8B_060779 transcript:Et_8B_060779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVVYPTSFGNLSSSPSSLSSVSGGSTTALEFVSCEISDQWLGLGGDDDVDVLWGNGAAPADNTDLLLQKSGEPPAPPAAKRRVRKPAGSRAGGVGHVEAERQRRDKLNRRFCNLRAAVPKVTRMDKASLLADATAYIAELRGRVEELEAGAKQQQQQEAAGVGAGAAPPMAAAAAAPEEKLVVRMLGREAASLRLTSAARHGPARLMEALRQMDLPLQRTCVCRAGGVTVQEAVVDVPAGPLQDEAWLRAALLHRLQGSGCLSFL >Et_10A_002169.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:300664:302121:1 gene:Et_10A_002169 transcript:Et_10A_002169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGICHVAGALLLLALLVLVVQQGEAATAHSRYTHRGVTSTYKRKLEASEDMPLDADVFAVPPGQNAPQQVHITLGDQTGTAMTVSWVTVEVASSTVRYGRAGKGALELTAEGAYTRYSYYNYTSGFIHHCTLQNLAHATKYYYAVGSGDDAVRTFWFTTPPAPGPDVPVRFGLIGDLGQTADSNSTLAHYETHPGDAVIFVGDLSYADKHPYHDNRRWDTWGRFAERSVAYQPWIWTAGNHEIDYAPELGEGSPAFKPFTHRYPTPYRASGSSEPLWYSVKIGPAHIIVLSSYSGFTKYSPQFKWLEAELKSVDRTLTPWLFISTHVPWYNSNNFHYMEGEPFRVQLEQMAVDARVDIVFAGHVHAYERSHRFSNIKYNITDAKCTPVADRRAPVYITIGDGGNVEGLADELTWPQPAYSAFREYSFGHAVLDIKNRTHAYYAWYRNHDGNKVAADTMWFTNRYHMPNHDETLIVHDSPTVAYA >Et_8A_058111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20345641:20349546:1 gene:Et_8A_058111 transcript:Et_8A_058111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYALSPRCQLPPLRRSSPAPCQAATSTPSLSTTPSRAGPDELRSTWPQRAWTLAGSAAILSSLSTSASLVTAGSAAEPLAAALAAYSLADLATGFYHWFVDNYGDASTPVFGAQIAAFQGHHRHPSTITFRDPCNNLHALARAAAVALLPADAAISAAGGSAAAHAFAGAFAAFVVLSQQFHSWAHEKRRRLPPGVEALQDAGVLVSRAQHAAHHRQPYNTNYCIVSGMWNGVLDRYRVFEALEMVVYLRTGVRPRSWDETDASWKEVPAVDPAAAAITDDDDVPLYDLKMEAYIVHSLCRYLSTSSATSSSDRGSPRTSSLLLPPTPNSLASAQSPPAAAATPSQGRSRRPGPKGENRVGSRNPMGLHAGSVSEYEYRKSKGRSELAGSSGLILLAPAFGFGGGEGGRGGWGLGIRVNGGSSSMSEGTVERRWRRARACAWVGRRHKGSLRSIWWRGGEGARGGRRLTSDLG >Et_3B_028433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15380310:15386309:1 gene:Et_3B_028433 transcript:Et_3B_028433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEFVDNVIAVIKESVKTFTYEALNNIARFINGISALLLTLLPGKRNILEGISGWELKPALRGPRLPRWMESGVSSFNEFIHELSVDSDAESVADSIPGDEDNEEFVCPPSPLSQSSRLSHASSFGRRDRRMRRHVRYAVSWILWPLRFFLSLLFVLFNAIKYWMVRTPAKSTESAGSPHLSRTGPAKRSFHIRDQFLQRTTDRRRGVFEDLHLAIEIFIESVFDIVHKGAHYVFSPSEVWQKLFSWIHGSGRESSSVVDVPTANVGSDNPVPTEKKAVYRHSLNTDSRTCEDVITELGYPFEAIKVVTSDGYVVLLERIPRRDSRKVVLLQHGILDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNLRGLVSREHIDKNISSYKYWGYSVNEHGTKDMPAIIEEIHKIKTSELGKSQTLSGEETEVQNGTKKNLEAQASQENRTEDQPYKLCAVCHSLGGAVMLMYVVTSRIFQKPHRLSRLILLSPAGFHEDSNLVFSMVEKLVLLVGPVLAPLIPGLYIPTRFFRMLLNKLARDFHNYPALGGLVQTFMGYIVGGDSSNWVGVLGLPHYNMDDMPGVSFRVALHLAQIKRTKKFQMYDYGSAAANMEAYGSPEPLDLGAHYGLIDIPVDLVAGQRDRVISPTMVKKHYKLMRKAGVEVSYNEFEYAHLDFTFSHREELLSYVMSRLLLVSDPGKVRVKQTTMRLRKPKKVQSEIEDDCDRGEGKEELNEPRGDSA >Et_7A_051874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25935117:25940998:-1 gene:Et_7A_051874 transcript:Et_7A_051874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CPFSAHILPLLGFFPNQSVSTAGGRKKKKKKKNQIHGELIQHQRWRQGQAKDSEPSFKEGDMVLAYHGPRLYEAKTVLRNAHYPADEPRLVPFVNSGAPRLLGLDEWAASDRLLKVTEENVQKQQELEKNLVVDKTFKSGRSAQHKPETSNVKGKKSKIQPGTEEKEKKIIRESPSVTVSFDTKGIGSLTVVPCMNVVKLPRPPNVDEILKKYLEHRTKKDNKLNDSSAEILKGLRYYFNKALPEMLL >Et_1A_005701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12964491:12967520:-1 gene:Et_1A_005701 transcript:Et_1A_005701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLPPHKRHPDATASTPIPSPNPPPSSLTSSFRGLSLSSPRGRHRGSGGRHPRPNNKIIHATGCVSRWSPFPPFTPGPNDGDGETLRLEPFPCGPIERKTGAKPLALVASSSEQGPSDNAAAAIAEKFLPDLLAAAERAMAGDAPKEQELVKLSLVARVGKVLFQCQPGGSPVSMDTLREAVKAGEDGTKSQLHKTFYTSVPNECLDDTEQSAVKMKRMGLEFDSSKEHYHVKVFDKHRSDSTISCKCTVQEDGTLVIHKVELNQVRHLVEDISCVSKELDLRLMLCTKRILKILDSEVESAIKSLVSSAVIDPNVKGGLRWSLGKESIGERFSIVGVWHTNYKAFRSETLRLKLRHADRFDHRSSTGEVANEITFKLIGMSRRLEVGDQDENTLKEMLESAVQMVWDNALNYKIAA >Et_3B_029999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29780692:29784932:-1 gene:Et_3B_029999 transcript:Et_3B_029999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAMLLPVCSAAPSCSPLCPVTASRVARRVDVSAALRSHADPLVAQVLPDRPLLADSSILSPYAAAPDDIARGFVGAAELPGAALCCTGTDPSLAAVADLAAPTDQTAAAASAVYSAASDATERALSDAPFPTTFPADASEVEDSVARFIDKLGKQVFQAEDALTEAYDKLRLSAYDALGAWRKTVRGAIGGLKASVDASKQQATGGVTDASGAFQEKVAGAGAVAVDVVRKAIVTAEDSLGSAATFVVSSYGTAKSSLPPDVRELLSSSEEKASLVLRPIGNALQQVYVVVEGVEKNVGLDPSDPIVQLAVLLGGSATVGISFWLFAYGGYSGDLTPELTLELLKNDDKAVLVDDLREKDGVPDLRRGARSKYASVASPEVKDSIKKMLKGGRDVEDALFAVVIRNLKLVKGDSKVIIMDANGSRSKSIARLLKKLGRPYLVKGGFQAWAKNLRVKELKPETALTVINEDAEEILEQIKPTPTFVLGSLLGLSAASYALLEWETTLQYIGVLGVFLTIYVRFSTYEGSEDFEQDLKLLLSPVKVGAQAVSWAAKRIEPNKVGLATSPSTSAVKDRVLQAAAKHESQPSDGDESSAQAAEA >Et_1B_014109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3168837:3171113:-1 gene:Et_1B_014109 transcript:Et_1B_014109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRGSNGVATDLLVCFPSRAHLALMPPKAICSPSRPSASEPVKRRHSTSRAGPPPPSALSRSVRNPSRRAADVPVDDEPSSPKVTCVGQIKARPSKPKNLGDGGGKRKKATWMQALGIKKDAMHFLDALHGAFRFNVRGCFGSFPGAVEYTSGEDDDEEEEEERAEKETEHGAALARWFMVLEEGKKVSSKKQVPELQQKQDDMEEEAAPPANALMLMRCRSAPAKGLVRRLGGEAEEDKDVKSTKKIPEEDTEKEESLVLMTYSPDFFKVSLDIAKETWIVGGDDAGVAGMEVAAELASRSSDPARRRTDEVPIDRRCSTIILHYHRREAR >Et_5B_043930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17911087:17912070:-1 gene:Et_5B_043930 transcript:Et_5B_043930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRQAKEEAEREIAEYRAQMEAEFQRKVAESSGDSGANVKRLEEETAAKIEQLSQQAASISPEVIQMLLRHVTTVKN >Et_4A_034784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6545160:6555682:1 gene:Et_4A_034784 transcript:Et_4A_034784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQSPRTPVRMLDTKLGFPPVAPSSPASDEAAPEPSPKRRRVGETTEPVPEMREEALERLRGVVRDSVGKHLYASAIFLADKVAAATGDPADVYMLAQALFLGRHFRRALHLLNNSRLLRDLRFRFLAAKCLEELKEWHQCLLMLGDAKVDEHGNLLDQDDGSDIYFDKDAEDHEINIKAAICFLRGKAYEALDNRDLARQWYKAAIKADPLCYEALECLVDNYMLTCEEESELLSSLQFGEEDGWLSSFYSCLIRKHEKEYVVEEKFKEFERECCSISSSNSGETLKNNIDILACKAEYYHQNGEYEKCFKLTSSLLERDPFHLKCTLVHLAAAIELGHSNDLYLLSCNLVKDYPQKALSWFAVGCYYYCIKKYDQARRYFGKATGLDGTFPPAWIGTGIAYAAQEEGDQAMAAFRTAARLFPGCHLPTLYMGMQYVRMHNFKLAEQFFMQAKSICPSDPLIYNELGVVAYNMKEYQKAVQWFELTLDHTSSSMNEMWEPTLVNLGHALRKLKEYQKAVTYYEKALTFPTKTLSAFAGLAYTYHLMDNFEAAINYYHKALWLKPDDQFCTDMLTLALESSCQTIVYRR >Et_2B_019584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10359052:10362885:-1 gene:Et_2B_019584 transcript:Et_2B_019584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAASGLQMLAVRPGIPACKQMFGSTSAVSAFGRALCTRTAFATRARTTTAGPLISSSHNRFMVRAMSQSGAQGLPIDLKGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEIAKVYPLDAVYDTIEDVPEDVKTNKRYAGASNWTVKEVAETVKNDFGSIDILVHSLANGPEVTKPLLETSRKGYLAAISASSYSFVSLLQHFLPIMNPGGASISLTYIASERIIPGYGGGMSSAKAALESDTRVLAYEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIDYSYVNAPLQKELSADEVGNTAAFLVSPLASAITGSTIYVDNGLNTMGLALDSPTLST >Et_7B_053901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13123571:13127217:1 gene:Et_7B_053901 transcript:Et_7B_053901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCASNGSIAKERTGDDAEVAHDFSPLLVVYKSGRLERPLILPLVPPGHDDATGVASRDVPLSASSFARLYLPPEAAAGAGEKKDVPKLPVLVYFHGGGFVIGSAATCVYHRFLNDLAAACPAVAVSVGYRLAPEHLLPAAYEDSLAALRWVLSAADPWLAAHGDLGRVFLAGDSAGGNICHHLAMHPDVAAAGVKGIALIHPWFWGKEPIAGELEHRGPPGRSSRTAKKKISLWEFVCPDAADGVDDPRMNPMAPGAPGLENLACEKVMVCVAEGDHLRWRGKAYAEAAARAKAGRPVELLESVGVGHVFYLLDPDMDEARELLARVAGFVNPKLPSERAAASLTRIE >Et_10A_002206.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:4469351:4469860:1 gene:Et_10A_002206 transcript:Et_10A_002206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKPKLEQARTSLASFSAAYASDLNMRSLVVLLLLLLTLLLTVHTARADEKSGAKEHGPNSKYGSSQTPKKDDGEKNTNKGDGEKDNSDGVGPVKKPHCKKPLKGKSPLGDEHGPPKKDEECDDGRETPSPREADGPPSYTPSSSPPSLASPPATTPATPPSQSPPPS >Et_6B_049123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18421575:18422122:1 gene:Et_6B_049123 transcript:Et_6B_049123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFVAITSLTAIDSIGPDQAGRYPRKTMHRHVRLPHQRLEPRRPRRRCFCRGAGPRPLMLTAVLVAACSGHAPLLYGILFGLRRHPTLYNLGAVTRQPRRRVPAQRASRGELYDADKACVGGECFRMARRSSSSPPSRWPAGALVSLVLVWWTREFYRGDTYAKVQGRGG >Et_9B_066266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9187329:9189665:-1 gene:Et_9B_066266 transcript:Et_9B_066266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLASLAAEERWLYPSFLAMYAAIYCVGHLVFRRWELRRRLDGASCLISLFHGSPAALAAAAAVLALSPSARGFAAPNARLHDHILDYSVAYFTMDLLHYLAFLPGDILFIAHHLATLFVFVTCRYLVRHGAFALLVLLVLAEVTSLLQNIWTLAGIWRDQSPAAARVYGALSPPFYVLYTLVRGVAGPLFFLKMSVFYLSGQAVDVIPWWVRISWIIVVGTAIAVSNLWIWNLWKELFRERKQAVVKKDTYHLFVENKV >Et_10B_004341.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4384107:4386212:1 gene:Et_10B_004341 transcript:Et_10B_004341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSNAKPNPPVFFLFLLLLASLAASQEFTYNGFHPGGNPNLTLNGVTELRPDGILRLTNETSRLIGHAFYPSPFRLVGRDGAAASFSTAFAFAVVPEYPKLGGHGLAFVAAPDPRLRGALPSQYLGLLSAADLGNASNHVFAVEFDTVQDFEFGDVNDNHVGVDLNSLVSNASASAAPVNLKSGETVLAWVDYDGAARLLNVSISTTGSKPASPLISFRVDLGRVFREEMYVGFSASTGLLASSHYLMGWTFRLGDGGAPLALDLSSLPSLQLPATEGTNKNNNRTASVALASAFSAFVAVVALAGAGAYAAHRYKTRDAVEPWELEDYGPRRFAYAELRRATRGFRDRELLGAGGFGKVYRGVLPNSGEVVAVKRVSHESRQGVREFVAEIASIGRLRHRNLVQLQGWCRRRADLLLVYDYMPNGSLDRHLFAPSPSPALTWPVRMRVLRDVAAALLYLHEGWDHVVLHRDVKASNVLLDADMSARLGDFGLAKLHERGANPTTTRVVGTLGYLAPELTRTGKATAAADVFAFGALALETVAGRRPVEARAAPPEELVLAEWAWERYAAGEVEKVVDERLAAGEYDAGELAAVVKVALWCSHPSPAARPTMREVVRYLDGGGGDAAGEVPEPPPPPPHPPACSGEVGFDDFVHSYYPSSSFERAAGAAVGGGNSFGTHASSVATFPYSPLSMRSSHVSV >Et_10B_002880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1323859:1324245:1 gene:Et_10B_002880 transcript:Et_10B_002880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRPEELHPHLVAACVDGTAPGQDKLKLKLKLERQDGDASVRIGSRDGRVLLDTGKALGYYDTRSRTLETVYSLEPQHRGDNAFFIASVCEDSLLGPYNQKCKAW >Et_2A_018763.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:699742:701319:1 gene:Et_2A_018763 transcript:Et_2A_018763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPPPPQQQQQLHGRKFHRHGQGRPKPDAASSPSSSSLRCGRLPVDARGLRALVKALSASAAAAHEAKAVHAHAAKTGLDCEPTVRNGLIALYLASGDRAAARALFAGFPDGQGRDVVSWTAMVTGHARLGLADEAAALFFAAMEADGPCVAVDAVAAAAGFAACAAVGDLALAREAHRRVAARNVALDVVAWNALLDMYAKCGDLATARRLFGRMPARDKNVVTWNTMISASSRAGEHGEALALFREMQREGRVRPDDATLVAVLGACARLGALDSGRWVHAYYTRDRRGRAADGGVVGNALVDMYAKCGAVDDALAVFDGMAQQRRDVYSYSSMITGLATHGRAEDALALFAGMRRAGVRPNGVALLGVLSACCHAGRVDEGLRHLRAMAEEHGVAPGVEHYGCAVDMLGRAGRLDEAEELVAAMPVPPDAMIRGSLLAACRARGDVERAERVMRRMEDGGADAGDHVLMSNMYASRGRHGRAVRVRKQMRKKRIDKDPGCSLIEIDGVVHEFRAVPANSIR >Et_7B_053300.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:23113215:23114040:1 gene:Et_7B_053300 transcript:Et_7B_053300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFTVVLSLLLLACMAAPSLLSSEASAAAAAPRVPRGVATAVAFLSAINEARANVSVLPVSWNATVARAAKAQVSWLRSPAGGCDLAQKDKSPVPLLWWTTFYTSPVRRTPADAVRSWVSERQWYDHGTNTCTPGKQCGDYTIVVKRAWQEVGCAVVACAAGGTVMACDYSPGTNRMPDPKEPPY >Et_6A_046793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20674697:20677925:1 gene:Et_6A_046793 transcript:Et_6A_046793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIANPWVMKKAKLEVRHVLAVQERVNEADLSDLQYLRAVIRENLRLHPPTSLVARVCLQEDQKIQGNNVPYATIIVTNVSAMSRYPKYWEDSESFIPERFKGSDFEYMPFGAGRRICPGITFAQANIEIALASLLDHFDRELPPGLEPEDVDMTEVFRITVKRKSEILLHPVPRIRGVKELVRTTTIGCLRMVWMFIHW >Et_7B_055404.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:65252:66250:-1 gene:Et_7B_055404 transcript:Et_7B_055404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKASSVKMSSTVVVVVVVGMLVVAWDVGLVSGELSMDYYYMQGCPMAEMIVRDVMGDAIMKDPTLAASILRLHFHDCWIQGCDASLLLDSESSEKATEKDAPPNRTLRGFEVIDAIKEALEAQCPSVVSCADVLALAARDAVVMAGGPYYDVPTGRRDGFRSVAADADALPAATLNASALVELFVSRGFTVPELVALSGGHTLGLAHCANFKNRLVSNNNEVVDPSLEPGLAASLASTCGSGGDGATATFDTTSEAFDTDYFRGLQQRRGLLTSDQTLAESPDTRMLVNMFAWSPRRFFYTFTQGMHKMGHLDLKDETQGDVRASCRRLN >Et_5B_045671.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6630660:6630887:-1 gene:Et_5B_045671 transcript:Et_5B_045671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSRVWAAATVAAVRSQRDRVPAAAGSRGRLAGLVPQAAALAAARAAADDGRRLAGADDSLRRAMYLSCWGPS >Et_4B_038482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29340857:29343456:-1 gene:Et_4B_038482 transcript:Et_4B_038482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASLKPGPSLAAFSVSTRQPARALSFPLRVNQRAHLCAAATAEGAGTAATQSDASSSAAAAAAPIDEARLAQFAADWQAARAEKEQGNVLTLPVLRANTGGLIVRFNSLQGFVPNPLLSPAHWCKDPKRPIQDITKELVGSSISVKVSEVNEEEKKLVFSEKDASWSTYSPQIEIGGIYDGIVGSVFPYGAFVHLRFPDGYYHLTGLVHISEVSWDLVQDVQDFLNEGDAVKVIVVSIDTAKSRIALSIRQLEEDPLLETLDKVIPLDADRSPNASAASSPLEIELLPGLDGICNELLQEDGITDVQFGRQASEKRVVSQDLELWLSNVPVKDNKFKLLARAGRQVQEVYLTTSLDQEGIKKAVQRVLGRVP >Et_5B_045023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8473193:8474896:-1 gene:Et_5B_045023 transcript:Et_5B_045023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFTIVSRRNDASSVVSQSAPTSSSSPDESEQSAESSTPPLAFQDTRRIDTVSAANRPVDGAPSRRHLPLGPDAEAKKSYTMCSTEAEDVETVDSMMIDVSTLRAATGDFDESNKLGEGGFGAVYKGVLPDGDEIAVKRLSDSSTQGEDELKNELALVAKLKHRNLVRLVGVCLEQQERLLVYEYVPNRSLDLILFDTQNENRELDWGQRYRIINGIARGLQYLHEDSQLKVVHRDLKASNILLDANMNPKISDFGLARIFDRDQKQGKTRRIVGTYGYMAPEYVMRGNYSVKSDVFSFGVMVLEIVTGRKNNDSFNSKQSGNLLNTVWEHWEAGTVMELVDLSMNGSFPDGDVLRCFHIGLMCVQGDPAARPLMSSVVMMLGTDTISLQAPFKPGFFARNNSQNTAVPTTSLQG >Et_4A_032712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12968536:12970000:1 gene:Et_4A_032712 transcript:Et_4A_032712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSETSRPSEFAKIVQSKQTKQARVCRADTRLLESLAGRDDAEVMLAIPNDQLEHIAEFQEEADLWVIAHVARFLPSTRITHVLAGDDVVIASPGDAYFLVPAMLRLRSALAAAGLDGRVRVSTALSAEALAAPAWSDVAAHLLRFLKATGAPLFVKKSRPSSSEDGDVDAALGAMRALGVPGVPVIAGGEVGAAGGEVAPLYSYGYYPRGSGGGKRRSLATGTFCVALQNADPTALQTGLNWACGPGHADCSAIQPGGPCYKQNDLAALASYAYNDYYQKQSSTGATCSFNGTATTTTNDPSSGSCVFSGSSTAGGSNSTTPVGASPPTSFTPPTGFTPPFGSSPPSSDFSPPAFGTTPPTGFTPPAGGGFGPPSGGFGSPPGTLNGSGSFGPSGTLNPYGAGCRGAVSSAGLTALSAVAVAVLLVSIDIV >Et_6B_048688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12617572:12619459:-1 gene:Et_6B_048688 transcript:Et_6B_048688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPAGQIGARLRCDNPQGPPPSRSPARSAPVAAQAPPSQATIGQTWLQTPSVFVPNFSSSVVHGTDRNAICTPIEEDWYDYCIEISNGMDSRPAGGFVNYLQQSSSYPFSQDIRHMPPMFRNFPYFSGSASYAPSEEPKATESEANTFQPIAEPDITHSSPDPHATTIVDVDSGQDNRRSRSSFSKKHGVGSRGGRRMTWTIDETVRLSDEQVMDNAMKFYEQDFEEGQFKHIASWKILRDQPKWHTYNEDLNGSNKRKYSDSEIHEPVDLTSSPDEFTDLPRPGGCKKAKEGLSFGRAQTALYFLSEERNDFKMGINGELGGYISFRSSILRPPGSFLPPSPG >Et_4B_038663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3828863:3831456:1 gene:Et_4B_038663 transcript:Et_4B_038663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRFPLFGSQQQPQQQPDPNFQDIPTQSWYPPSVVGSSSRPSTPGSSNASPHQRASDHPQPSSRGQPSPAEAAGIIARLKDKSIEELQRLLKDKEAYNAFFNSLDQVKTQNNLRDELRKETMQLARENLEKEQRIFELRNQCTIIRTTELAAAQDRLSDLERQKDEIMRSYSPAALLDKLKASMAELDQESEELHQKFLEKDIDLPTFVQKYKKLRTAYHKQALLHLAGKTSLQ >Et_9B_065465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5415377:5419027:1 gene:Et_9B_065465 transcript:Et_9B_065465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ICYLLLDLILQKAQLMRSETLSIFTAYEFVNCDIQRLDAHVGEADPEPSWLRAVARRPGRDVELGLADDAAPQPELPVDGVLVEQRPHVRPHEEPRVAAAEAGDPRAAEPRDDAGAPLPEAVAVRAEVGRREARVGEHRGERALRLRRHQREAGHAPGRGHHGVVARVDGAHAEAREAQVLGEAVHHVHARGGDVEAHVVDELGDADEAVRVGVVGEDGAGVDLVGDEVDVVAGDEVHDALQDGALDRRAQRVGRVRQEDGPDARPGGRGRVVRRLQRAGGELEPGGAAAVHRHDVHALWGRDKERVARVGQNESQQLVGGRRAGSEHDAVRVKLHLAAPYRLHEARHCLTATNITRSMSSPASNKASAQPESGWFQRFEAVVVLKQGVLSEPDAAVGRLRVLPEGQYGRLNMHDQ >Et_1B_010820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14430679:14441160:-1 gene:Et_1B_010820 transcript:Et_1B_010820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETARGDATALDPELLQLPELAPGALRENSTIAEALYSQWLTLPETAKLVKSLIEDAKAGATLNVAGSSASTNAASSSSLPSMFPAGSAPPLSPRSTSGSPRVMRRSPGPSSLHTSPLKLVSEPVREVIPQFYFKNGRPPPKDLKEQCLSRIDHLFFGGEGLQIQEFRSVTKDICKIPSFFSSVLFKKIDVAGTGTVSRDAFVDYWINGNKITMDMASQIFEILRKPGYDYLTQEDFKPVLKELLATHPGLEFLQGTPEFQERYAETVIYRIFYSINRAGNGHLTLRELKRGNLIAALQQLDEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHSLTYRIVDRIFSQVPRKFTSMTEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGILTSNEMQFFYEEQLHRMECMAQEPVLFEDILCQMIDMIGPENESYFTLRDLKRCKLSGNIFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDAVYASRLNLENLT >Et_9B_065152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21661477:21664106:1 gene:Et_9B_065152 transcript:Et_9B_065152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPWHRGGRRVAGLSTRLAGCLLTLAVAAVLLCSTSDSRRHHEEAVSRQILPGDAPPRITVFSAPLPPPEGSPARQEELAVRSWLALPGNVSVVLLGASPAAVARLGSRVTVEAAVDSSFTGTPFFHSMLARAQAAADSDICVLVDARIVLLPEFVDALTRLSKVDRDWFLIAMPRNVTNFRFHLADSGSHWVTQDGQQVSFNKEVPAEKWVPGSSDKGIIMAWNNPSGPLHAGVLPSFLYGKGVHNSWLAHEVLSSEMRLVFDASSLVLGLYPERFSLMHHMSSTTNDRLPAGSWEYTVNRHLAAVYGSYCYQLRLMNSGMLYKVVKRSEDYMLSKVDDLTLSNFVTRIQQSVHAEGGPLRRKENICLFGQDHSYNFEASAPVHLPYSLSTLLELVADKNRSVVLGIAGASYRDMLMSWACRLRHLGVTNFIVCALDHETYEFSVLQGLPVFRDQLSPKNVSFDDCHFGTKCFQQVTKVKSRIVLEILRLGYNVLLSDVDVYWFRNPVPFLYSLGPATFGAQSDEYNETGPINLPRRLNSGFYFARSDNITITAIEMVVKHATNSGLSEQPSFYDVLCGKDGANRIGDDKCLEPNTGLAIVFLDRDLFPNGAYKGLWEKHDVQYICKELGCFILHNNWINGRKKKLQRQMSSGLWDYDPGSRLCLQDWNDKSSFFIKKKKQK >Et_2A_016312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23195850:23201655:1 gene:Et_2A_016312 transcript:Et_2A_016312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCESKNPDDIWYKKMKACVTPLPDVKDQIEVAGGAIKPLPGRLNAVPPRIANGLIPGVSSQDFQKDNNMWKKHVKAYSTVNKFLLTGRYRNIMDMNAGFWGFAAAIESPKSWVMNVVPTIAKISTLGAVYERGLIGIYHDWCEAFSTYPRTYDLIHASGLFTLYKNKCSMEDILLEMDRILRPEGAVIMRDDVVVLSKVNSLARRMRWNTKLVDHEDGPLVREKILYAVKQYWSQWKSGWPVDVTQRVPHPAIGIHVLWCCDNLCDWKKKNTATYATTKTLHKHSKSTAIGSRGEESNHQSARNPTPASIRNHIAPTKRETRRWDGLDRTNHGTKRKRDGSIFRGRGEIDPEGGRGRTVQRGRRVGDGTRWGGGVPAACDGETIGGGSSALPSAPASGVFRRFADRFERLTLGRGGVAEAGGTEVEWWFQVAGRKVGSAAWTRRMDRGRNGVGGVSLVCRGFDSAHERVHGFATRQPFASI >Et_8B_060497.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:18299241:18299474:-1 gene:Et_8B_060497 transcript:Et_8B_060497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSKAITCAVLLILHVDGGAGVHGQEPAPLVPVRLRPHLQQPVRQPEGLLAKLADPPAGELIICTTPRRTIDVPS >Et_5A_042743.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:3326190:3326681:-1 gene:Et_5A_042743 transcript:Et_5A_042743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKSKGAGAKRRRDDDVGGVDAGRATSFMAVATVAVVVLLLAASALLFLLPPRAPASGRNDKGPREPVELAIGVAGHERWIDAIRAWAKLACFRLRLAGEPGRPASVKAAAKKSLEMGMEAVEHTAESAARATEEAVERAAETVKRKVSHSPSARRRDGDL >Et_3B_031314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25445187:25448640:1 gene:Et_3B_031314 transcript:Et_3B_031314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSITRALAQMSNATVIDEKVLNDCLNEISRALLQADVQFKMVRDMQTNIKRIVNLEALAAGTNKRRIMQQAVFTELCNMLDPGKPSFTPKKGKPSVVMFVGLQGSGKTTTCTKYAYYHQRKGFKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVSVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHIDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPTDQQPELLQKLSEGSFTLRLMYEQFQNILKMGPIGQVFSMLPGFSSELMPKGHEKESQAKIKRYMTMMDSMTDAELDSTNPKLMTESRIIRIARGSGRPVRDVMDMLEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNVQHMSKVLPPQMLKQIGGMGGLQSLMKQMGSKEMGGMFGGMGGDR >Et_4A_034195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30668476:30672628:-1 gene:Et_4A_034195 transcript:Et_4A_034195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPTGRRWVRRSCRTGGGAGGVVRRTVAAPSPAPASGVSTARRRTEGFAHVDKQRRVHGLSSDFWCSTGSTAQDDTISQDVGELKHKSSYRYSCLPGALKNVTEICHLGPSVMAELHDFDGASGVRVNSMSGPRKNTISMSKELLHTRSTVSGLPTQPKYYLINRSNRAKNHPAVKSCSTTSDFRHQLVRSAERSTSANSSLSEKMSMLRQHQNGCNHQSQNRIGALNRRHRIMNSRAENDLLSKEKVHDQLEFSLGRYSQAIFDNGLVRQNQQCCSEALSQKTFEQLWSSTCSSTSGDSIDDFQVSSSSDTSDNSNLSSLGVIAKDQWKMTFKKVYCPLAVRLDSTSVIHRKAIGQASPVSVLEPLSEDCSDSEHIMPAPADPYDLQLRLELVKFAPMVTTAEASGIGGTSDLLSSEMESGNDEPIELVEDILEEFEDEEERDFSYLLDILIASGIHGTAEDQLYKVCQSLDCPAGYDVFEKLENKYTKVVQWSRSDRRLLFDMVNTILSQILAPCLNMHPWVNTSRNLAPLWGSEGLLEKVLQVLTQRREELALGQPKKPEKKAFDEKLPDLTDWIDRAGRDIEKMIKDDLLEELLLELLSTLNGSLISQQNWSSVRHVMPIRPNYPFLCPHEQANITDDAITLPSHDRMQNAKIHKVQIHTASSSLE >Et_3B_028971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2136610:2139338:-1 gene:Et_3B_028971 transcript:Et_3B_028971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRPAVCASSTLAPALAHLPNGTGRAALRSASVWGLPALRLGGRRVPGAVVVRAAAAEGAVELQAEVTSKCFFDVEIGGEPAGRVVFGLFGDVVPATVENFRALCTGEKGYGYKGCSFHRIIKDFMIQGGDFEENNGTGGRSIYGERFEDENFTLKHVGPGVLSMANAGPDTNGSQFFICTVKTPWLDNRHVVFGHVVEGMDVVKKLESQETSRSDVPKQPCRIVSCGELPLDG >Et_5A_040950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15551544:15560820:1 gene:Et_5A_040950 transcript:Et_5A_040950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWGEGQLSPSRQNNRRGRSTSFNGHADLVHASSGPSIPQPARTSPLSDLATCPVSTSDGLCQFVFFLEKTPSAFPILSFMEPPGRFGGPASPEGSQKKAPPRLMEQAGGGVAVIGADNVASSSVSNNCCPQGQCTSSSKMDNTECSETSSELSNSEITRPEGNKKASSIFDKKISIKKKLKLFSRMATLRDDGTVVVDIPTNLEAASLDLPPEDHHNVTLGGEPLDSSGPMQIVMLIVGTRGDDYGHRVRLATHANFKEFVTTAGLEFYPLGGDPKILAGYMVKNKGFLPSTPSEIPVQRKQIREIIFSLLPACKDPDIDTGDSFSADAIIANPAAYGHVHVAEALNVPIHIIFTMPWTPTSEFPHPFSRVKQPAGYRLSYQIVDSFVWLGIRDMVNDLRKRKLKLRPVTYLSGTHASNDVPHSYIWSPYLVPKPKDWGPKIDVVGFCFLDLASNYEPPEPLLRWLESGDKPIYIGFGSLPIPEPDKLTRTIVAALEITGQRGIINKGWGGLGNLEEDKGSVYVLDNIPHDWLFLQCKAVIHHGGAGTTAAGLKAACPTTIIPFFGDQFFWGNMVHARGLGAPPVPLEQVQLDLLVDAIKFMIDPKVKERAVELAKAIELEDGVDGAVKSFLRHLPQQRNPEAAPIVPPSTFMQPLLLPVKRCFGLAS >Et_5B_043298.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22865722:22865901:-1 gene:Et_5B_043298 transcript:Et_5B_043298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGTSKGVLEIAKFGVYVSVPVALTYLVATDSKTLKKLMGLVCPLLPSLPPPISGSG >Et_1B_010227.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30844306:30844536:-1 gene:Et_1B_010227 transcript:Et_1B_010227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSSSGGAGEDAAGYGVEKQRRVWPSDEDGRWPYVGERDVDNKAAVFIANFHRHQSGACEGCAAEQQAPAPAS >Et_4B_037984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25215020:25224117:-1 gene:Et_4B_037984 transcript:Et_4B_037984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRYRERRDAGRAAKRRGRQHLYLVLDDWPIRKIDLSSDHDSHDHDDVASIYATPDRESQRRLPPAVFRMEAPHGSPDCFTAAFGTKIMALHPWDESDMEDNAHPMVPRRVAPAFDVRTRAMTLHPRPKGSMSYIPVGASVLALSSSRSCQLLRPPVEQAGGESSSWCKISKPAPFKSHHVTAYAAHPDGRTLFVSTTRHKVAATYSLDVTAEGAEWKHRGDWALPFDGRGHYDRDLDAWVGLSRDPDTAGHVVCAVDAVVPADGDGRCIPSGKKLISNEKLFGDPAVERQVGASLVYMGGGSRFCLVQCIGILADEPWEEEEDLGMEPPQYYHFRLTTFSLKYDKNGDLTTGNSRRVRYYEVPDAATECAMPQHGGIARVGAASGRCRSPAARTLTSSWTPGVGFSRDPDSVGHICSCDAVPADSDAGDAQFRARKPSKEKLFSKDPVGATLYISTKDDDGYAEQKNDGCADDMDYTDDETDEFVDDELDDPKMEGQLPRPYLFRLTTFFLNYDKNGDLRTGYNSRRIRYCGVPEGVTESTMKYPVMYMEPE >Et_5B_043999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18809397:18810767:1 gene:Et_5B_043999 transcript:Et_5B_043999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCPLPEDVVAIVLHRLPPRDLAVSRSVCKAWRAAVDESRTLRPDLLPLALGGIFISAAGPLLPAVHGAAQDRRQAPELREDGRLVGSPDDHRQLQRPPVANPARQWAPVPLCPVGRDVYNHNGEVYFVFDPFVSPHYQVLFVQNPYDLLEEESEFPPSTYAMWVYSSGTGRWEEKPFVREGRWPCGNRGGGAISTSASLPSLHTTQPKYDYLLFFLSMCILITISDYKYQVIKLPAGVSASDIHLVKSKNGVYVALVADQCQLRVWFLNELCEWVLKYDTNLQAVPAHFSRDQHHLVDKPWILHYGKYNNREATAEGVSDWDSDNDNVIQIDDTEGKCDLSQIFCIFGFHPYKEVAFLYVPNNDRVVACHLDSLKIQDLGQLRLPCRSDAIDTTFVYTPCWMGQLSEND >Et_4A_034960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8259974:8273926:1 gene:Et_4A_034960 transcript:Et_4A_034960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSEVKCGGPAVGIDLGTTYSCVAVWRGNRGEVIANDQGNRLTPSCVAFTSTEGFVGDAAVNQAALNPANTIFEVKRLMGRRFNDESVQEDIKLWPFKVVAGHEDRPMIVVEHKGEEKQFSAEEISAMVLAKMRETAEVYLGTKVKNAVVTVPTYFSNSQRQATMDAGAIAVLNMPVANEGRNVLVFDLGGGTLDVSLLRIDPGFDIGMRVFEVKAVAGDTHLGGADFDNEMVRYYLREFLRKHQKIGIRTNNKALRRLRTACERAKRMLSSTSQTTIEVDCLHEGIDFYATITRTRFEELNKDLFSKCMKAVEKCLNDALMDKSSVHDVVLVGGSTRIPKVQSMLRDFFDRKEFCRSINPDEAVAYGAAIQASILSGETGDGTAADMLLLDVTPLSLGFEAVVGVKDDVMEVVIPRNTAIPTKIKAEGFKTARDNQKSVAINVYEGESSSIKNNNRLGNLKLYDIAPVPSDTSCIDVTFDIDANGVLNVSAQDTTSGRTNNISITNHSGRLHKDEIERMALEVERYMGNAVESREEITRPCSSPQSPTPPKPQLSGSLALKYCSSAFLAAAYLRTEMAAASSKGDGPAVGIDLGTTYSCVAVWRGDRGEVIPNDQGNRLTPSCVAFTCTEGFVGDAAVNQAALNPTNTIFEVKRLVGRRFSDKTVQEDIKLWPFKVVPGCEDRPMIMVQYKGEEKQFSAEEISSMVLAKLRKTAEVYLGTTVTNVVITVPVYFSNSQRQATIDAGAIAGLNVMRIINEPTAAAIAYGLEKMPVSNKARTVLIFDLGGGTLDVSLLNIDSGIDIDMGLFEVKAVSGDTHLGGADFDNEMVKFFLREFIRKHEKKDIGSNQKALRRLRTACERAKRMLSSTAQTTIEVDSLHDGIDFCTTITRSRFEELNKDLFSKCMKAVEKCLHDAKMDKSSVDDVVLVGGSTRIPKVQNMLQKFFDGKELRRNINPDEAVAYGAAIQASILNGGNGQGRLVDMLLRDVTPLSLGIQTADGLMKIFGMMTVLIPRNTAIPTRVEKGFTTSDDYQSMVAIRVFEGESAWVKDNNLLGEFTLRGIQLAPRRVPKINVRFDIDVNGIMEVSAEDETTGQKNKITVTTKRGRLNKQEIELMAQESHSRTSIARVPPFFSPPLPSGSPAFLAAAYLRTEMAPGSSKRDGPAVGIDLGTTYSCVAVWRGDRGEVIANDQGNRVTPSCVAFTGTETFVGEAAVNQAALNPANTIFEAKRLIGRRFSDKSVQEDIKLWPFKVVADQEDRPMIVVEYKGEKKQFSPEEISAMVLAKMRETAEVYLGTTVKNAVVTVPTYFSNSQRQATMDAGAIAGLNVMQIINEPTAAAIAYGLDKMPVANKGRNVLVFDLGGGTLDVSLLNIDPGIDIGMGIFDVKAVSGDTHLGGADFDNEMVKYSLREFIRKHNKMAIRTNQKALRRLRTACERAKRMLSSTAQTAIEVDSLHEGIDFYTTITRSRFEELNKDLFSRCMNALKKCLQDVKMDKGAIHDVVLVGGSTRIPKVQNMLREFFNAKEPSRTINPDEAVAYGAAIQASILNGGNDDGRVVDVLLRDVTPLSLGIETKEDYTMSVVMPRNTAIPTKKTKNFTTLYDNQVSVTIPVYEGESASTKDNNLLGEFTLSGIIPAPVGVPSIGVTFDIDANGVLNVSAEDRTTGRTNNITITNHSGRLGKDEIKRMALEVERYRGNAMKSREDKVAKRMKLGK >Et_3B_028666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17849308:17850983:-1 gene:Et_3B_028666 transcript:Et_3B_028666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKTDAAPRLDEADRTLYSTFCGAANSLSQLYTQAMAQQKLSFQAGERHGLEKLYQWILRKHEEEERLTVADIMSHIQHEMDYGGTDAQVSPRVHQHAQIANPFANSTVQPTTCLYGQTTAGFAPRPSLSDQSKNTIFSNALSSPVRRSLQNYHLSQGAGNGGQSTEANSAGANRETNPASSNDTTMDMVSDSAGNEFYQ >Et_3B_029016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21455912:21462476:1 gene:Et_3B_029016 transcript:Et_3B_029016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPNASMGLLNLGGCMGFIPTSQPNSSSSGGFLVPGRSVSVLPLRWGLATKRGRVLDSRTAGAEATGEVVSGSSELRHIEKELTFSPTFTDYVKIMESVKLDRSKNLQGSYSDSRNSRRRFTGDGDRRAGRRSGGARSKSFERKREPHMDRGNDREGDAENSLHRQGEVEEYVQRRIIRGDMRETGGNRHFTSHVKAKDTRGGMTVHLSVRDKQAEPGACKNFQEEIGTFAVSRTSSPPSTSTLLKKTDSVMGKERFTSRSSMKEQKFRYPGDTKVSNDEVSADSNFQRNQQRVENLGRNFVVHEFGQNDMDCKKSTVRNRYKHVETMPRQPNGRSGVSLKYDKAEIIHMQRGENVKVGKFARRDAKPTDIDDRAAFRTFEVFTDVRNRPRVLQMEMEERIQKLASRLNATDVNAPEWKFSKIIHDAKIKFSDHSILRIVQMLGRFGNWKRVLQVVEWLQSRERFKSYKSRYIYTTVLDVLGKAKRPFEALNIFYTMQNQLSSYPDMAAYHCIAVILGQAGLVKELFDVIDCMRSPPKKKFKLGPLQNWDPRLEPDLIVYNAVLNACVQQKQWEGAFWVLQQLKEKNIRPTNTTYGLVMEVMLVCGKYNLVYEFFNKVKKSSIPGALNYKVLINALWREGKIDEAVMAVEDMENRGIVGSASLYYDLARCLCSGGRCKEALLQVPKICKVANKPLVVTYTGLIQACIDNGSMKNAKYIFNEMCNYCSPNNVTCNIMLKSYVEHGMFEDAKDLLHSILNGRIRSRVDLSQNAIADKFTFNTFMEACAEAKRWDDFEYAFREMLSNGYHFDGRRHLRMVLDAYRSGKEQLLDDVWRYLCHYGRVPPAPLIMERFCLKLIQGDTMAAISCISSFEESKIRSASSISWLNLLTRNADRLKEENITKLVRELNNLVSSGSSSDCLYQNILSSCTTFLSGATVVEKAPSDRQMALHNS >Et_7A_052265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6369862:6377636:1 gene:Et_7A_052265 transcript:Et_7A_052265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNNNGNASSSGGEVQIQIPASKPEETAQDAPSGSSGVINWRRWFIVAIDILFLIVGQTSASLLGRYYYHQGGSGKWISTFVQTAGFPILFFGLFFFPSKSSSEQSETPIAKVALTYVVLGLIIAADDMMYSKGLHYLPVSTYSIICASQLAFSVVFTYVLNSQKLSGLIFNSVVLLTLSDLLVGVSEESEESISVSRGKYFLGFLLTSGASCTYALILSLMQLSFKNIIKRHSYSAVLNMQIYTSLVATFASLVGLFASGEYKSLKQEMDTFKSGEFSYLMTLVWTSISWQIASLGMVGLIFEVSSMFSNVISTFAIPIVPFFAVVIFHDKMNGVKIIAMLISVWGFVSYVYHHYLDDKKARKASIRGQEVEDDHGQRAEKAENGGACPATAVAPQPSTSKRLKWWALVLANIVFVLAGQCVATLLGRIYYDQGGKSLWMQTVVQSCGVPLAIPLLLYFRPKSSSPVASRPALVTVAAIYAGLGVLLAGDNLMYSYGLLYLPMSTYSIIQATQVSFNAVFSYFLNKERFRALTLNSVVLLTFSAALIGVSQGSDDNTGSAIPKGKFAAGFALTLSASALFSLYLSLSQLTFEKVLRSDTLYAVLELQFWSNAAAACVSVAGLFVSGEWSTIAGEMDGYGKGKVAYAMTLAWTAVAWQLCTLGLMGLVATVSSLFTNVISTVGTPLSPVLAVIFLGDRVNGVKLLAMLIAVWGLLSYVYQHYLDDRAKPKKIAEKSDDDEHHASKLCADMAHAQEVQLQIRGITEQEHDHEDSRDGQKKGATRGSVWWWMTVVVDMLTVLCGQTVATLLGRLYFNSGGKSMWMATLTQSGGAPLLAIPLLLTPPPSPEEHRPAPSKMAAIYAGLGVMIGFDNLMYSYALLYLPVSTFSLVAATQLGFNAVTSRLINAQRFTALIANSVVVLTFSAALLGVGSSSDDDTASNVPRDKYPVGFALTLAASAVFALLLSLFEATFEKVIRAQTLRWVLRMQLCTNMVASAVCVAGLFASGEWRAIPAEMASFKDGKARYVMNLVGTAVAWQAMAVGMVRLLSRVSSLFANVTATVALPLVPVLAVALFGDKMTGIKAVAMLMALWGFLSYVYQHYLDGRRAAAGRRVECRVCAARADGE >Et_1A_005353.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:8527907:8528131:1 gene:Et_1A_005353 transcript:Et_1A_005353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSWILALTLSMVSELSTSRVMVLPVRVLTKICICEMRRERSAMIDGEKGNGALDHQNKLGEQRSITMINEN >Et_1A_005200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32463850:32464917:1 gene:Et_1A_005200 transcript:Et_1A_005200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKRLNSPAAGREAKIEKTGPTSDAVSLVLSDGYFLGEILLRLGFPACLVRAALVCKRWLRAASDPAFLRRFRDLHPPRLLGFYLNTSSFRRLEFVPMLPQPPELAAVLRRGRFSLDAYQNSSSSSLDAGNGRLFFGTYRGGTFTYGVHSPLHPARQAGDGMSYFWFSFLCSERGKATAYFYTLQDGASSWDMNTSVKLQIPGWSRSKQALRSFLPVDDKIFVVATVKYVLVFDSTSSTFSTINFPDEMVFDGVEILLAQISNDAGFYLVHLKGLQLSIWLYRDTINGGMVKLAVVGFHLFAWYVC >Et_4A_034938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:831902:835304:-1 gene:Et_4A_034938 transcript:Et_4A_034938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRRLLAEAPPGDHHKRGGAPAPAWSEGYLNGWLSQPTGVFGLRLWVLIGIAVGAAIVLVLLLIFVCLSRRRRRREDVAANLYPADTKLLKQHLQQATPTKDIQEIPAVQLAKAEPTTPTTPQPQQQQRAQLPVLPTRKAPGSGMSATTSGGSLGTPRSTGSAGAGPEVSHLGWGHWFTLRELEEATDGLAEENVIGEGGYGIVYRGTLQNSTTIAVKNLLNNRGQAEKEFKVEVETIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDVGEVSPLTWDIRMNIMLATAKGLAYLHEGLEPKVVHRDIKSSNILLDQQWNAKVSDFGLAKLLCSERSYVTTRVMGTFGYVAPEYASTGMLTERSDVYSFGVLIMEIITGRSPVDYTRAPGEVNLVEWLKTMVAERRAEEVVDPKLPEKPSPKALKRALLVALRCVDPDANKRPKMGHVIHMLEMDDLLFRDDKKPGRDLQSQSSSDRYSSKEDGGFSRRENQRYR >Et_7B_054256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18592211:18598167:1 gene:Et_7B_054256 transcript:Et_7B_054256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSVSERSFLIESDEEDTAVEDGKRRGGHGEAAESDDEGGSDSSSPCDSPRVVAAQCSSRQPSSYTQQWPQSYRQSIDMYSSVHSPNLSFLGTPTLSRLSNSFLTNSFRGGKPPEIISSLIKPLLPTSTAAGSDEQQQREDVRKSSHYLPPSRKGSSLQRIPEDTKPQVGGHGHEVGPYRQCSYTQGVMNGVNVLCGVGILSTPYAIKQGGWLGLVILGVLGILAWYTGILLKRCLDSKEGLETYPDIGHAAFGTAGRIAISIILYMELYSDNLSNLFPNAHLTIGSLTLDSHVLFAILTALVVMPTTWLRDMSYLSFVSAGGVIASIVVVFCLFWVGLVDHVGTVKNEGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKKRNQFPSVLFTCIALSTVLFAGAAIMGYIMFGESTKSQFTLNLPPNLVASKIAVWTTELLPPNQQTYPNIVMLRSALVLSSLVVALSVPFFGLVMSLVGSFLTMFVAYILPCACFLAILRNKVAWYQVVLCVFIIIVGLCCAGVGTYSSLTKIIQKYQ >Et_2A_018070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9898416:9904516:1 gene:Et_2A_018070 transcript:Et_2A_018070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGQESLVRLIGRRRRSPLPASLAAALSSSSSAPAQANVEDSGSGEAAGPSSGGGAEWVACPVCGESIRGSDYCVNTHLGQSTNPLFILNGAVLNALPSFAGMENRYYLARIYSEMLATWRLKCRHDKKQSKANKLVCSSSHNEVFGCSSSCNVPSSESTPKQLFLILLLNLDHKQNHVNLTNLGSHICLTRGAKRKLTQSSLLNFSFNKKVAVEPTSKNLDNKVETETMNWIDKDSSSDQAFFSLDREIGSSKSNATISSTGYLDASLDTCDTFNIVAPPNIVSQNVKDAANDDAVENCSSKLLQTVATSSSTEPCADTNSISTLTVDTMIVGRKFHESIELQQGASITLQRDPQNAKDGDAIKVLYAASECEKMLGYLPRDLAKILAPLFDKHYVECKGSVVGLPEQQLGSVPIKITIQKCEANDRSNDDLWENFIGAVRKGNFQRPSIARYQINFNVMLVDVMANHGHVFSNEEKTFLGSFKALSNDGQRLFVRIYTRKGPWFRTSSISYSEISDLEYASMELKCYIDMLSCTDDPSEYDINEILEVLSVPEIKEILKELPKGNTSYTRRHELLSTLLSLYHDGTCAALPKTILKWTGACIRISKMAEDVLWRVQRLFFLNGDQDLSSFLLVEFGLVKFPDYACIVSHRIFRERSDLLDYEEAIRVAQVMDESLDDNNMEMVTRCIDLSENRLRTMTKQEIVASSKPLLSFFSRFSASWVYSKVLTLGVSAYERDRRYTDAIRILKILLSIVASDRRRGYWTLRLSVDLEHMGRPNESLSIAEGGVIDPWVRAGSKFALQRRVLRLSKPPRRWKVPNYADSVNIEGRPLNCETGAKNVFYDYDGELCGVEQLALQYYADEGGGWQGTHSEGGIWMTIFGLLMWDVMFSDMEDVFQSKFQTAPLDLETDDFYESRMDLVESQLKKIQDGMAEEMLISSWELHQGTSCRGVNWDRHSLMNLRAIVACIGGHRLASLLRHLAVDYRSWSSGMPDLLLWRFLDDRGGGEAKLVEVKGPRDQLSEQQRAWIFVLMDFGFDVEVCKVSQVAKRR >Et_3A_026577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9917976:9920990:1 gene:Et_3A_026577 transcript:Et_3A_026577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALWMAHQCDDDWSSPPAPAMSDDDAAAELRRGPWTVQKWTIVAPWMHVILHEMMAWELVCWRIIKLCPCPLEHPKESMIFKLSKQPEGLCVSDISQGSERIPICVVNTIDDTKREYLNTLLNSYIQVCMKKSLQKVVTARMAAESNDCVCAVKNGGKLPFNHNGEVIWVKPLVYDCGSSCRHPPKQIKGSQLMELSGVMWEDSSIIVVHQTSMHKMFSKTMMSMPHVMLFAAKDIPSLLELTYDYNYTIGEVRDENSVEKAPRMISRDPKIVLEYSI >Et_2A_017897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7642984:7643914:1 gene:Et_2A_017897 transcript:Et_2A_017897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSHRLLGAVNLATLLLSLPVVCTGVYFRTRGGATECDRALQVPVIALGCAAAALSLAGLVGACGRRVAAAPFLWAYVAAVSILTVAAFAFTVFTFVVTTTSRGAASGGSGFREYRLVDFSGWLQARINEPGTWRRVESCLAEARVCGRFDAYGGDAAIHAMDFYRRRLSPIQSGCCKPPTRCGFRFVNATFWEASRPGTPAAGDCRAWSNDRQALCFECDACKAGVLATVNKKWKVVSIFNVVILVVLVVAYTLGCCALRSNGGGRYGKGSGAEQT >Et_5A_040348.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:15957837:15957944:-1 gene:Et_5A_040348 transcript:Et_5A_040348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADESVANIILQNDSKILVDIWNSRNYEWSVRRG >Et_5B_044751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5374215:5375997:-1 gene:Et_5B_044751 transcript:Et_5B_044751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPLCCDPTLHCFPCHTRKWSLGHHQTTFPAQNRGERQAPTMASDELPAAVVPKKKGNVKFAFACAILASMTSILLGYDIGVMSGASLYIKNDLNISDVKLEVLMGILNVYSLVGSFAAGRTSDWIGRRYTIVLAAVIFFAGAFLMGFAVNYGMLMFGRFVAGIGVGYALMIAPVYTAEVSPASARGFLTSFPEVFINFGILLGYVSNFAFAHLPENLNWRVMLGIGAAPSVVLALMVLGMPESPRWLVMKGRLAEAKAVLDKTSDTPEEAAERLADIKAAAGIPAELDGDVVEVPQNSGGEEESRVWRELILRPSPAMRRILLTGLGIHFFQQASGIDAVVLYSPRVFKAAGITGTNPLLGTTCAVGVTKTLFILVATFLLDKVGRRPLLLSSVAGMILSLVLLGAGLTVVSQHPGTKVPWAIGLAISSTMAYVAFFSIGLGPITWVYSSEIFPLHVRALGCALGVATNRVTSGVVSMTFISLSNAITIGGGFFLYGGMAFLAFVFFFTYLPETRGRTLEGMGKLFGMTDDEVPKAEDATKAEKKQVEMAPAATN >Et_3A_027170.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3763632:3764837:-1 gene:Et_3A_027170 transcript:Et_3A_027170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMAPCSLLQHGAGSQSFKLSTPRRSTSLRHIPGPGKTLISIVRCASTHQAGTATSSIDIATGRHSKPYGGDSVVVNLSAHAKAVDDFDFERYLSAHAKAVDDALDAALTGLRCPARLAESMRYSVLGGGKRVRPVLAVAACELVGGPASAALPVACAAELIHASSLVHDDMPCMDDDALRRGRASCHAAFGEHTALLAGDALLALAFEHVARACIGVPADRALRAVAELAGAAGAGGVAAGQAADKDSEGDVVTTSLISLAELEYIHVHKTARLVEAAAVCGAVVGGAGDGEVGRVRRYARAVGLLFQVVDDVLDATRTTEQLGKTAGKDAAAGKATYPRLMGVDRARAYAAELRGGARRVRRRPSRAAAPPRALRRVQGQLTLIAAGTAHAGHEKATR >Et_6B_048430.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:3677913:3678164:-1 gene:Et_6B_048430 transcript:Et_6B_048430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLWMGRNKRKHGGAQIPMKQAIYWAGDTAFDLWQLNQKEKTNVSRSQVLRWSPPVEGWIKCNTDAAFWEYSHECGIRSGAV >Et_6B_049240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2174802:2176871:-1 gene:Et_6B_049240 transcript:Et_6B_049240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRGGGESKVAFACVVYADAEWGHVWKFWNKNCYKYVPGVQPSWMWSVKCVVLLASNIFLYNVATARILLGTVPTCFPRALMGSNGWPIIFYQLLNHYN >Et_1B_014409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:874575:876932:1 gene:Et_1B_014409 transcript:Et_1B_014409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKLQEEERMWKKEREKEKEREKEKKNKERLTKQPRRALAGKDKVLGGVSAAAEPAGVLKVDEPVPVTKSQWKLPRMPTRKFAVQRRALKDRIRDYEPKQPLSSDTGAVTDDEECAGLEPFFFDEAEAIADNERWARREKQNEKLMAAIDRIRAYDPKLVRIVYNRIHFVNLLAFDHDEESALGPMRDTEASIDMVGNIACKEGRKQFAQGDEVSSALSVKESSSDVREKLFHIVSSDVGFPIEVYGTVIARDSLDLKCVYLFRRDRDHSHIIVTKDEELILIGPKRGLALIDAIHFEIDLKIKGEGKRKKDKQLSKGYLTLDGVSRHFGEELEVESYPLDSMLSKVVVMCAIEKNAVEATIAIEVIQGKFYGEITNILDSIVLHDSKLTSEAMAGGVLPMLRCVVAVGLREKLIVTITRPCVCKAERQRTIKFTPRVNNGDEAEITCGSLKMCVKVTWSIIERMHLD >Et_4B_039907.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:5742332:5743336:1 gene:Et_4B_039907 transcript:Et_4B_039907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRQRRQLPHLTLPLDRFPLRLPPQPAAAPSTSASDARLSDYERLSVLGHGNGGTVYKARHRRSAAQPPLALKIFAAAGYPSAAREAEILALAADAPHVVGLHAVIPSASSAPGEAPAALALELTPGGSLDGLLRRLGRPMGERPIAAVARQALLGLAALHALRVVHRDLKPSNLLLGAGGEVKIADFGAGIVLRRRLDPCASYVGTAAYMSPERFDPEAYSGDYDPYAADVWSLGVAVLELYLGHFPLLPEGQRPDWAALMCAICFGEAPEPPAAASEEFRDFVVRCLENKAGQRASVAELLEHPFVAERDAADAQQALAALVAEAEQSDL >Et_9A_062166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20210741:20221249:-1 gene:Et_9A_062166 transcript:Et_9A_062166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAPCHVVAVPYPGRGHINAMLNLCRLLVAHDGRVSATVVVTEEWLGLLGAAAADLGPRVRLETIPNVIPSEHGRAGDMLGFVEAVYTKMTAPFEQLLDRLPPPAPAAIVADVFVPWAVDVGERRGVPTSVLCPISATMFAVQYNFHRLPSRTAAGGGASPVADVPDGTDPCLIENYIPGLKSIRLSDLGPALANEKMLKIIIEAYTFVRRAQCVLFTSFHEFEGDAIDIVRQDLHCPAYAVGPCIPFMALQEQGHEGNLDDGEGYLAWLDSQPAGSVLYLSLGSFLSVLSMQLDEIAAGLAESNARILWTVHNAAVRSRVQSLIKGGDGAGVVVVVPWTDQLRVLCHPSVGGFFTHCGMNSTLEAVYAGVPMLTLPITFDQLVNSRLVVEVWKIGYGLKEMARADGIIGREEIAAAVRRLMSLDTAEAEGMRRRAKLLKDAARATVEEGGSSWSREPAAMGSKAAAPCHIVAVPYPGRGHINAMLNLCRLLVAHDGRVSATVVVTEEWLALLGAIADLGPRVRFETIPNVIPSEHGRAADIAGFVEAVNTRMTAPFERLLQWLAPPAPAAIVADLVVVPWAVDVGERRGVPVCALCPLSATKFAVDYNFHRLPSALDSGGASLEADAAGNTSSSPPIQHMAWPANISSHRSGRSLMQMGLILA >Et_9A_063173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13797885:13799224:-1 gene:Et_9A_063173 transcript:Et_9A_063173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIKEVKAADLIDGSKKAFPKLLHFTLEDMPGLEEFNIEYYSDITKFTLREIDEFVILKCPKLRFQPCIPRAKILLISDCQQLISSSMNGGWGSGDGVEEDESSSISTSSSPGCPQLRNWCELEENRIKFSHITSFEEYSNKD >Et_1B_013654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1125231:1126125:1 gene:Et_1B_013654 transcript:Et_1B_013654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGKFHQHVEQQLVCLTDETQVLARFEGFPSKKLESLRMAAALYSKLDGAVSTLKGWKLAAAVSQQLDKVEGYFNKIKDDVDMIERNKDEEMKRFQSHGIQFDFGVLVRIKECMVDLSSNCMELALKECQDANAAAAESNRDTSLSRMLWRVFQLAFRVYNFAGGQDDRADRLTSILAQEIEAHPL >Et_3A_026867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24379512:24384719:1 gene:Et_3A_026867 transcript:Et_3A_026867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARRLANRALLRRLLAAPASTTSPVPAREISTLAPASAAGRRPRPRPRAHQYSQGREVSVAALQPSDTFPRRHNSIAPDEQGAMASACGFNSLDALIDATVPAAIRAPPMKFNGKFDAGFTESQMIDHMQRLASMNKAYKSFIGMGYYNTHVPGVILRNLMENPAWYTQYTPYQAEIAQGRLESLLNFQTMVADLTGLPMSNASLLDEATAAAEAMAMCLGILRGKKKTFLIASNCHPQTIDVCQTRAAGFGINVVVADPKDFDYSSGPHAAFLATSQEYKRLMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIADRVHGLAGTFAHGLKKLGTVTVQELPFFDTVKVKCADARAIAEEAVKNEMNLRVVDANTITVAFDETTTLEDVDKLFKVFSGGKPVNFTAESLAPEVSSVIPSSLVRDTPYLTHPIFNMYHTEHELLRYLHKLQSKDLSLCHSMIPLGSCTMKLNATVEMMPVTYPNFANMHPFAPTEQAAGYHEMFQDLGHLLCEITGFDSFSLQPNAGAAGEYAGLMVIRAYHQSRGDHHRDVCIIPVSAHGTNPASAAMCGMKIVAVGTDSKGNINIEELRKAAEANKDNLAALMVTYPSTHGVYEEGVDEICRIIHENGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVIPTGGFPLPEKTDPLGTISAAPWGSALILPISYTYIAMMGSKGLTEASKIAILNANYMAKRLENHYPVLFRGVNGTVAHEFIIDLRGFKAVGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDALISIRQEIAEIESGKADAQNNVLKVISYYFLLAMMVPCSYLWPDLAS >Et_10A_002039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20001303:20003169:-1 gene:Et_10A_002039 transcript:Et_10A_002039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAGGGGDEGPLPCVLGLPVALPLRDAVSSMDAGVPRRGRTGRQLPRPQPATWLAFRLPVPPPVKAKKPPSRAKKTPAKKKKARSPAAPAGEAGPVLGLMVPPSPPDLDAAAAAAGGGGSPDMEKPAKKARMCVQCGAAETPQWRSGPMGRSTLCNACGVRLRAAGALRETQVSPAPAEGKKAVETPPLVVAPAPAPESPASDSSSGSPTLQRLAPLEDVYLVRKPSTRERRPPRKASSSPPSPSPPASPAVYLVKKKKPSKKKCRPRNTGQKCQHCGTTSTPQWREGPMGRHTLCNACGVRWRQGRLLPEYRPAASPTFKPTEHASRHRDVLQLHHQQQTRWQPPSHLQLQEPPVADVVDGSGDAPGSDADDKNNVFLVRRQQPVKEYPPTPLHRPLLQPVNGDDDPRVGGNKDSAAGAGRGGGGDDATTTDAMDLLLVPSAPLIVDVSGWLDRATFLCLPIPRSVPDQMPTVSSYWTFTKSKKSVTTCLE >Et_7A_052434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8058669:8062084:1 gene:Et_7A_052434 transcript:Et_7A_052434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGAGETVRELRQAYESGKTRSLAWRQAQLRGLLRFLKEEEEAAFAALREDLGKHRTEAYRDEVGLLVKSAHEALRQLGKWTAPERVWVPLVAFPATAQIVPEPLGVILVFSCWNVPLGLSLEPMIGAIAAGNAVAVKPSELSPCTAKFIGDNIGRYVDASAVKVVQGGPEVGEQLMEHRWDKVLFTGSPRIGRAVMAAASRHLTPVALELGGKCPCIFDMMDSARELQIAVNRIIGAKWSSCAGQACLAIDYVLVEERFLPILLKVLKSTLKRFFCDPDHMARIVNARHFDRLSNLLKDKAVAPSVLHGGSMDAKNLYIEPTILLNPPLDSAIMTEEIFGPLLPIITVKKIEDSVDIVRAMPKPLAIYAFTGDAALRRRIVEETSSGTVVFNDALVQYAIDALPFGGVGQSGFGQYHGKYSFEMFSHKKAVMKRGYLIELTLRYPPWNERKINLMRHLYRFDYFGFVLSFLGLRR >Et_10A_001763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7968953:7971540:1 gene:Et_10A_001763 transcript:Et_10A_001763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPECPSAANGAGAAVAAKLRKGLWSPEEDEKLVAYMLRSGQGSWSDVARNAGLQRCGKSCRLRWINYLRPTSSVAPSHRRRRSSSSASMPSSETGGPRSLPAAGAHRQRDQELLEFHHQEAAQEQLGGLVAGGHRVRVAGAHHQPNNKLAGGGIDVSAAASCPDLAGLDHAMTATTGLGWWTRHLHLPPRPCRCEPAISSQQKLRRPPPPPDQLRGMAAAGADMPPSFFHGQRGTVQASSCFRIAWRLLWKLCSSTPWDGNGSWRRRSLWLCASLSRAHNGSSISTRATRPKTPNGIER >Et_1A_006023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16787174:16790040:1 gene:Et_1A_006023 transcript:Et_1A_006023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPADYTKTDIFFRFSFVFFSRGGGDQAAASITGGGAGSSHPAAARSSIAGIATTTPRTRSRSMCVTGTRSLATRSKRLSALSVPKNRITGGADNFFHCNTCGCCYSNILKDSHRCVERAMHHNCPVCFEYLFDSTKDISVLQCGHTIHLECMNEMRLHHHFSCPVCSRSACDMTDTWRKLDEEVAATPMPDIYQKKMIWILCNDCSATSNVRFHVLGHKCPGCSSYNTRETRGGPAPAACSRV >Et_2A_017153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31395555:31400832:-1 gene:Et_2A_017153 transcript:Et_2A_017153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGLGFLVAGSRREFIVLNVDDFAKQESSQGCPDYFCQICGDDIDVLQEENEYFVACNECAFPVCRTCYEYERQEGTQVCPRCKTRYKRHKGSPRVHGDEEEEGSDDIESEFASSIAGRSNVVHPYRVSVAESSMTSWDMDSISVTNSGASVHCYEEHVGSPTNHHALVVHPDTGEIMRYNPAYARPINPKRDLALYGYGSVAWKTRVEWKRKQQQKMQSISSDAEESDQNDFDSDHDVPRCAESKQQLSRKLPIPSSKINPYRIIIILRLAILALFIHYRILNPVHDAYGLWLTSVICEIWFAFSWILDQFPKWCPVKRETYLDRLSLRYEKEGKQSELASIDIFVSTVDPMKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALTETCLFARKWVPFCKKYKIEPRAPEWYFAQKIDYLREKVHPEFVRERRAMKREYEEFKVGINSVVANSRKVPEGGWSLPEGGPWQGNNVQDHAGMIQVITGHDCVMDDAGNKLPCLVYVSREKRPGFDHHKKAGALNALLRTSAILSNAPFILNVDCDHYMNNSKALREAMCFLMDPVLGGKICYVQFPQRFDGIDQHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRHALYGYDAPAAIKPPSKACNCWPMSCCMCSGPKRKCLKAKKKQEKQKKVKCRDASKQIHALEVAGKETASLVPQEKFEKRFGQSHAFLASTLQESGESRCFDMLKSIDDCIHVLSCGYENKTQWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCMPNRPAFKGSAPINLSDRLHQVLRWALGSVDIFLSKHCPIWYGYRCGLKPLERLSYINSVIYPWTSITLLVYCTLPASCLLSGKFIVPEMNIYASILFIALFVSIAATSILEMRWGGVGIDDWWRNEQFWVIGGVSSHFFALFQGLLKVLGGVDTKFTVTLKGGDDSEFSELYEFKWTWLLVPPMMLILINVVGVLAGISNAITVGYESWGPLLGKLFFSFWVLLHLYPFLKGVMGKQERVPTLVVVLSVVLAAIFSLLWVRVNPFTAKFDGPVLEVCGLECET >Et_3A_024228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18613309:18625825:-1 gene:Et_3A_024228 transcript:Et_3A_024228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRDTHFLDHSSELASSSENTKMMVTTALREAGRHSFGRKSLHAINGIPNPYEQAISIIGRTLSPLDDDNLIPCFRFGDASTHDHSVFSFYPDNRPCRGFEEVLVRYRQIVPHLNLSGPISFAPLIYAAISVVQNSNWQYHVLVIIADGRVT >Et_4B_037174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15872812:15878838:-1 gene:Et_4B_037174 transcript:Et_4B_037174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRPPPSPSPAGASSPPPTSPPPAEPVEKKPKLEEDGNDSGAKAQAGEPAAPAAGSDSETEDATAANLEYVLVHRHAPHPPLLAFLFYLRLRRLSFVMRVPDVASLTCVRPVHLVVVAISSAFGRRFFLARRDRQEFLGIWLGGGEAPGLVLLVCTSEIDRHTERGIIRKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALISALYPDIEKYEEEELAFNEEENDRNKQIQASIAEAFRKQSEVIGKKSTAKATAAAFVRRSRRNMRVNGQTTYFRVRGRDGSDDAALACSDDEDDGNGENCGKELSSAGESSPEKKQKRLPKWPTSCSSPARAACNEEIASDGKDDVGNVRENFSTSPLRAWGKNGTRSQSRHGNFGGSSGRMVKGGRMIKLVECLRNSDDDDSERDVHFSLLPLDGETTPNLDKSYLCCGPTLSIKQLCQFVASQTSRNDQEVEMYALKPSYCDIVSTSISSMGKARLAGEERLSDLRSSYTFPNGVMELVYAIKMAN >Et_1A_006468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22903558:22904161:1 gene:Et_1A_006468 transcript:Et_1A_006468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASSAPKIATSTSNGQVVISAKAPPRSRHKGGSVPVGVTIQLGAQRPKLCTRKCKYCGLKEGHNAPSCPHDPKNAERVDRAKNPVKRKRGRPPGSANKKPKIQEPSICRNLDFSDDDEDIAENCESIITDDE >Et_9B_064948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:242199:244962:-1 gene:Et_9B_064948 transcript:Et_9B_064948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEYDYLFKLLLIGDSSVGKSCLLLRFADDAYVDTYISTIGVDFKIRTVDLDGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDMESFNNIKQWLSEIDRYASDSVCKLLVGNKCDLVDSKVVDTEKAKAFADSLGIPFIETSAKESINVEEAFLTMSSEIKKRMATQPTVERKPTVRVHMKGQPIQQKSSCCSS >Et_4A_035172.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:10552477:10554390:1 gene:Et_4A_035172 transcript:Et_4A_035172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGGLMMDQSMVYTGVHNFVDLLQQNGGDKSLGFGQLMTQTSSGDQCVMGEGDLVDPPPDTFPDAGDDDSDDDMEDIEELERRMWRDRMKLKRLRELQQVRGKDQGPGGAGGEGSSKPRQSQEQARRKKMSRAQDGILKYMLKMMEVCRAQGFVYGIIPEKGKPVSGASDNLRAWWKEKVRFDRNGPAAIAKYQADNAIPGAESELASGGASLHSLQELQDTTLGSLLSALMQHCDPPQRRYPLEKGVPPPWWPTGDEEWWPELGIPKEQGPPPYKKPHDLKKAWKVSVLTAVIKHMSPDIEKIRRLVRQSKCLQDKMTAKEISTWLAVVKQEEELYLKLHPGARPPSSAGGIASAISFNANSSEYDVDVNDDCKGEDSGNQKPAIQDPTAFNLGAAILNDKFLMPAPMKEETADVDFIQKRNAPAAEPELMLNNRVYTCNNGQCPHSDYGYGFLDRNARNSHQYTCKYNDPVPQSAENKPPPPPAQVFPATFNQPNQALNNLDFSLPMDGQRSIAELMNMYDNNFMNKNMPSDSVTIMERPNAIPQRIQMDDGFFGQGNGMFDDVNSMMQQPQQTPVEPQQQQQFFIRDNSQFGNQMGDITGNSEFRFGSSFNMSSTVEYPGAAQQKNDGNNWFY >Et_10A_002165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2861955:2864457:1 gene:Et_10A_002165 transcript:Et_10A_002165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAMDGKSSSKSGKSLLLGKYELGRLLGRGTFAKVYLARPVAGGGEPVAVKVIDKASVSSMAPARVLREVSAMRRLRHHPHVLRLHEVLATRSRIYLVMELAPGGDLLSRLAALPRRRLPEGAARRAFAQLVAALAYCHARGVAHRDVKPQNVLLDAAGDLKLSDFGLTALVAAPDSGDSDDDDGGGIRLLRTACGTPAYAAPEVLRRKAYDGAKADAWSCGVILFVLLAGRLPFDDANIGDMLRKQQRREYELPEWVSPPARRLVARLLDPNPATRVAVEDLAAHHPWFKPRSLSLDSRLGGLLDGSPERAMELLRPAPAAKVNAFDIISMSPGLDLSGMFGKRGREKRFMTTASPEQTMEKLGRAAGKLGYVVVAGKKKGVDYCVPIGGQSAGIAAMSVEMSEVAPPLMLVEMRVEMDDGDGGDGEEKCFGWEELRQELGDTLKHE >Et_1A_004889.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14392659:14392880:1 gene:Et_1A_004889 transcript:Et_1A_004889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTAALLTLLVSLAAADTSITSYEQETHRMFVEWKASSGRITKASARRIAGTRCSRTPAAASTVPTGATSG >Et_3A_025553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30712472:30715153:1 gene:Et_3A_025553 transcript:Et_3A_025553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TNPAHDAGPTPLRLPTALFWFSSSPATARAQQGWPPSDSSASLPPPELAPGPISLPSHPQTLTPAQHPTSLSLSLSLLRPRLRPFREMAEEPQPAAAAAAPAAAEVVVTEAALAEVEKKAEVPAPAEAEAETEAEKKTDEAAAVADDAGAIEGTGSFKEESNLVADLPDPEKKALDEFKQLIAAALAAGEFKLPPPPPPPKAKAEEPPKEETKAEEPPKEESKAEEPSKEEAKTEEPAKTEVAAEAEAEKPETEEPKTEAPAEASTEEAEAKAPVEETKAEPVAEEAKPEPEENTVVVAEGEGTTKTVEAIEETVVPVAPEAEAQAAVPEAVFIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMAMLKSAVLWRKRFGIDALLEADLALPELENVVFYRGADREGHPVCYNVYGEFQDKDLYEKAFGDEEKRERFLKWRIQLLERGILSKLDFSPSGICSMVQVTDLKNSPPMLGKHRAVTRQAVALLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKSKFVFASPAKSAETLFRYIAPEQVPVQFGGLFKEDDPEFTTSDAVTELAIKAQSKETIEIPATENSTIVWELRVLGWEVSYGAEFTPDAEGGYTVIVQKTRKVPANEEPIMKGSFKVGEAGKLVLTINNAASKKKKLLYRSKVKSTSE >Et_8A_056252.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:18414633:18415163:-1 gene:Et_8A_056252 transcript:Et_8A_056252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANSHLVSSTSAPTTPSLDDPAAAPDPLDDDLARRFDALKSRAPAPAQKPAGAGSGGMEELAARFAALKGAAGPEKETRVKLEDLGGESSEDEEEEVDKLMRWAMDAARLDVATAGAGAGDKAKRAEEEEGEEAKDEKSSVSGEDDDDEERLELEMARKRKEMAKSKSKNKWFFF >Et_2B_020570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21487108:21488584:1 gene:Et_2B_020570 transcript:Et_2B_020570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILIHLMDRLGTHEHHIGELHIHQIPRRLRPHQHHIRELHIHSISHRLHQFHSKPPVLPKVLVPIVAVSVCATVGVLLWLWQKRRRNARQHEALKDSDYDEQHGEEADFEREVAGPRRYHYREVAAATGDFNDANRLRRGGFGSVYQGSLQSDGGYKQVAVKKFSSEKSSQGRKEFEAEVKIISWLRYRNLVQLLGWCDSAKGLLLVYELVPEGSLDKHIHNNPRLLTWPERYKIILGLGSALSYLHRDWEPSNIMLDLSYNTKLGDFGLARLVDHEAGPQLTKLVQGTVGYIDPEFVNTLRRSTQSDVYSFGIVILEIVSGRQPVDRSDPNFMLLKWVSSLYSQGKTIEAADARLRGGDDAGNRQIERALVVGLWCSQTDPSERPSVAEALHVLQTEDARLPSLPTKMYKMAASPSVVSTGESGTASSSSYSTGVRSSATAGTSPSSGSFVN >Et_2B_020446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20240652:20249809:-1 gene:Et_2B_020446 transcript:Et_2B_020446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGKAVLGWAARDASGHLSPYSFSRREQRDGDVTIKVLFCGICHTDLHIIKNEWGNAMYPIVPGHEIVGVVEDVGTGVTKFKAGDTVGVGYFVDSCRACESCSTGHENYCPDVVITSNGVDRDGVTTQGGFCDVVVVDQDYVVRVPESLPLDGAAPLLCAGVTVYSPMVQYGLNAKGKRLGVVGLGGLGHMAVKFGKAFGMTVTVISSSPGKREEAVERLGADAFLVSRDPEQMKAAAGTMDGIIDTVSAWHPIAPLLDLLKPMGQMVLVGVPSKPLELPAFAVCPSGKRLAGNGVGSVSDCQAMLDFAGEHGITADIEVVGMGNVNTAIERLERNDVRYRFVVDQGGKAALGWAARDASGHLSPYSFSRRAPKDDDVTIKVLFCGICHTDLHTIRNEFGNAMYPLVPGHEVVGTVTDVGAGVSKFKVGDTVGVGYFVDSCRTCESCRKGQESYCPDMQGSKTALGWAARDASGHLSPYSFLRRAPGDDDVTIKVLFCGICHTDLHTIKNEWGNAMYPVVPGHEVVGVVTDVGPGVTKFKAGETVGVGYFVDSCRSCECCSKGHENYCPQVVHASNGVDSDGAITQGGFSHVLVVRQEYVVRVPESLPLDGAAPLLCAGVTVYAPLTQYGLNAPGKHLGVVGLGGLGHMAVKFGKAFGMKVTVISSSPGKREEAVERLGADAFLLSRDPEQMKAATGTMDGIIDTVSAGHAIMPLLELLKPMGQMVVVGAPSKPFELPAYAIIPGGKRVAGNVVGSIADCQAMLDFAAEHGITADIEVVGMDYVNTAIERLEKNDVRYRFVIDVAGSLGAAA >Et_10B_002701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9006126:9006758:1 gene:Et_10B_002701 transcript:Et_10B_002701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFLVSSSTGVMNSLLCKLGTLLGDEYKLLKGVRKEIGTLRDELSSMNSLLQKLAEAGELDVQKKEWRNNVRELAYDIEDCIDIFMRQLCHSNGKGGFVRKAIRKIRKIQELKSRVMEESARRDRYKLDSPTSSIRLVDIDPRLPALYTEAKSLVGIDGPRDEIIQWLTNRNCKCCLVDQVNMVSIVGFGGVVKTTLAN >Et_2A_017832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6970927:6977705:-1 gene:Et_2A_017832 transcript:Et_2A_017832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEVEMVNPRAKKMRTEAHQTQEAGDLLFWLPQGTHPPPAAGLRPTSEAGAAGEPHGQERLPGAGEGEEGVDRISNLPDTILGEIIALLPTKDGARTQILASRWRHVWLSAPLNLSAHGLGVYEERLSSLISQILAAHQGPVRRFCIPLRDLKKSHTMINSWLRSPTLNNLQELEFDVKCSRHRRRCFSCSYDTFWERIPLSVSTFQFSATLLVATISTCRILDGTVETLQFPQLKQLGLERIEISEVSINSLIAACPVIECLLLIDICGSFQMPIRINSLSLKSIGFSFAWIELIIEDAPSLERLVEVGSNCRSHVSVISAPNLEIIGGISDRYYTELGLGSTIIQQLQDVTTVASNVKILAIHSYNLSLDMVICLIQSFACLEKLYIQTSNVPGDNNFWRCKHRALIKRLDIRLKTVVLKYYRGVKSQVNFATFFVLNAKMLEFMVFEGSPYDDIRKFLAEQKKLLQLEKRSSRGARIYYTTTRCYDYFPHISNVHDLSREDLFQWDGVDRISGLPDAVLGKIISLLPTKDGVRTQTLSTRWRHLWLSAPLNLDHSSFPVHACAQHDIISRILAAHPGPARRFSVPVYYLFYRHETFETCLGSAAPNNLQELELGLGAFRQLELEAVKISGDSLHKMIAGCPVLESLLLKTIYGFSTIRISSRSLICISFNISGYENETRRLIIEDAPLLERLLQLGTCSTQLETLGCLTDCDHNSELVLGTTIIQKFCVASFMAEIFSVKVLAINVHSPKSMDAVLDLMRCFPCLEKLYVQVTIPHW >Et_4B_037911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24706179:24708094:-1 gene:Et_4B_037911 transcript:Et_4B_037911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADVGTELRLGLPGGGAEAAKAGKRGFEETIDLKLTLPTAGMEEAAAVKTEPAAEKAKRPAEAAAADAEKPPAPKAQAVGWPPVRSYRRNVMTVQSVKSKQEEEPEKQQPTANAGSNSSAFVKVSMDGAPYLRKVDLNMYNSYKDLSIALKKLFSTFTTGNNMNEGKLVDPVSGADVVTTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKSSEAIGLAPRTKDKCKNKS >Et_2B_019033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2604073:2605134:1 gene:Et_2B_019033 transcript:Et_2B_019033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRIPGTSPLPRQPAVHRRRVVGREHRELALAVPRPLPDLGHREAVAFAAGADPLPLGGGGLGAGGVGAAGPSRPRRRPAIPPVDGHLQARLGEDVLVGAHGVAPPVVQAAAAAARLAGLPRDNAVADRRHRPGRVLELAEAHREHGVLLVLPPRLAERVVEQRGGVGTERAPAERARGVGEQPGVDAVDVERVPARRQQPEPVVAGELAQADGAVEWLLGAGADHLPVEQLLQLPLQRGLLTAGPRRRAGAAATLAVPAPAPEQEPKEEKQQRGEDHHGGQRHQDHHHRVVLLRARAILPGRRRRRRRRAVVLLRLDDFPGGEEAATRG >Et_9B_064927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19955232:19958624:1 gene:Et_9B_064927 transcript:Et_9B_064927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPAPLEARDYIGLGAAGSSSCSSCAGGEAHLALRLGLPGSESPGRGAEVEDVDAALTLGYPAPLPRSGGAKRGFADSQLDRSASRGADAAAAGGLKGEKGTAEAAAGAPPAAKAQVVGWPPVRNYRKNTLAATANATKAKAEDECRSETGCCYVKVSMDGAPYLRKVDLKTYSSYEDLSLALEKMFSCFITGKSSPCKSSRGDRLGDGSRADALQDQEYVLTYEDKDADWMLVGDLPWDTKMIETERGRNK >Et_5B_043859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16833215:16842553:-1 gene:Et_5B_043859 transcript:Et_5B_043859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPGWGMTASGEAGASKTAGWCGGCPSLPQGKRRPCLGWVQHFGHRSHVNIFDLKMTLRGTRQAFRFRCFASNGSGFDPDSANKIKIKGKKRKKDTGLEPRSALEKKKEQENKNYQAIDYDAPIESDKSTIGFGTRVGIGVAVVVFGLVFAFGDFLPNGSVSPSKESTVVKQNLSKEEEAKFKSELEGFEATLSKSPNDPTALEGAAVSLVELGEYEKVSTYLEKLVKVIPDKAEAYRLLGEVKFELKDYEGSSLSYKNALSSSDKIDFDVLRGLTNSLLAAKKPDQAVEVILSCRRKLNENSQTQGADPANESGAQKPQDIDPIQVDLLLGKAYSDWGHISDAVSVYDQLINEHPEDFRPYLAKGIILKENGKSGEAERMFIQTLSYLCSVILSGLGIFFIESCCKVTIEGLFRIQIFESNLNDILRIPKHSTSSKQCLE >Et_9B_065330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3966096:3967627:1 gene:Et_9B_065330 transcript:Et_9B_065330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSFAIREGVTGERYRLPGQAYRLSSRFLSTSEIVSVMTGSTPCSLCSCASIGRAAPEPKTSPTNAYTSAKRTSSGDNGRWLVSAAARPSLWALRKYFWAWLRICVAVLVPTNCSMVFQSRPKMNSAWTKSSCSSAVHLSRGLEIMYGFRGFFVPNA >Et_7B_054633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:343959:345600:-1 gene:Et_7B_054633 transcript:Et_7B_054633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDATEEEAPTTVGGYELRERLGGRPPSTSVWRGVSRSTAAPVAVKQVRLAGLPARLRDSLDCEVRFLAAVSHPNIIRLIDVIQSESFLYLVLELCEGGDLAAYIRRNGRVEERVARNFMKQIGDGLQVLRRHHVIHRDLKPQNILLSSPDSEAILKISDFGLSRVLRPGEYADTACGSCLYMAPEVMLFQKYDDKVDMWSIGAILFELLNGYPPFRGRSNVQLLQCINRSTSLPFSRPLVSSLHPDSVDICTRLLCSNPVKRLSFQEFFDHGFFRI >Et_7A_051429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18345874:18347683:-1 gene:Et_7A_051429 transcript:Et_7A_051429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIKRALSVSLLLALLVLLHPREVHGEDGLSRVESLIHEEVLPFAQGLGFPSVVSLHGDAISDMQDGVEDVVEAWYMDDDAEGDQRPPHRRQPHDPVPLTRLLDLGVIALRLDAENHEHDENLTIIRDQRGYMHMDIVELTPVKMPNYEAMIKRFFEEHLHADEEVRYCLEGSGYFDVRDEDDRWIRVSARKGGLIIMPAGIYHRFTLDTCNYIKVRVLRIYQGGPDWTAYNRPDDHLPA >Et_3A_025235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28132317:28134441:1 gene:Et_3A_025235 transcript:Et_3A_025235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRRAIALHIQTQAPPYPAAAALPPQNSLASSLLHFLKRPASFPFLLSLFVLLTWLSLRFHRPSPPPSINGRPAVVHEPQANLVRFPAELHPTPIAIDGRGWLLNPIAAASEAGLPGGALVCQLLHVGQIQPGGLRGNHRHHTCNETFVIWGAKTKFRLENANVDKGYGEAVIAADEVAIVASARSTAHALINMDVRPTFFLGCQDTPVNPNSSNTDYKVWKDL >Et_1A_009211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33614682:33617872:1 gene:Et_1A_009211 transcript:Et_1A_009211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKLFRISLLVLVCTAKATIPESEALLRWKSTLVAANFSWSPSSPTCSWFGVTCDTTGHVTKLRLSHAGVHGTLHSFYSPAFQNLTKLYLNGNELTGAIPANMSLLFTLKVLDLSYNNLNGTIPYQLGALPRIVKLNLECNFLSNPEYARCLPMPSLRSLSLGGNKHNGTFPQFIINCTSVGLRSLDLSGNTFFGPIPDSLPDMAPRLRHLDLSDNNGFSGPIPRSLSRLQNLQSLDLSNNKLTGGIPEELGMISGLHTLDLSSNLLGGTIPASLGKLQMLQNLFIREAGLASPLPPELGNLTNLEELDLSSNNLSGSLPPSFGKMQRVSHFAVGRNNLNGTIPLEMCTNWTKLRVLDLSYNSFRRSILPQIYKWKDLEILHLSGNNLFGSIPLSQLSELHLLDLSDNNLTGSIPTSFSNLSSMCRLELYRFEDEVVTLSRGRDSILRSFYYGELGRDSIISILRSFYYGELEIVWKGHDYTFRSEADLIGIDLSSNSLSGEIPPGLTNLRGLRLLNMSNNYLSGNIPEDIGKLTVLESLDLSQNKLSGPVPSSMAHLLSLSSLNFSNNNLSGEIPTDGAVPPDLPLGAVLDLHRPILAMDRANTWKNTSSGPAATPATSARAAASVSSAASDRNRPRCLSTSR >Et_2A_017273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32738441:32746044:1 gene:Et_2A_017273 transcript:Et_2A_017273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPTKRRNQPGGANPTRRKVVEEPFDPAAPPPAPASAAAPPSHLVGSIVEKGFSAAAPSFAPRPTVLPFPVARHRSHGPHWGPATKDAGMDGAEEEGDDMDEDDTDYLPVAAAYASGPVRRKEKKGMDFSRWREFVGDAPPKRMLGKPAQAKKQSTQKVDAGVVTSKVVAAAGKRELEGSGMQLDSGNVREVSSVAALVTDVASKKPTSQVQSRDEMKAGVVRGVALRGEDMELDWGQSSIEAEINAENMARLAEMSAGEIAEARADILNRMDPKLVEMLKRRGREKSVGKKGGDRDKGQKNSGPGKAAKATPGDWLTAGEHNRHSWKAWSDRVEQIRSCRFSLEGEILGFQSHQEQQDDSVAERDFLRTEGDPAAVGYTINEAVALTRSMVPGQRVLSLQLLASILNRALQNLHKMDLTDNGKEMNSDDKFDDWQAVWAYTLGPEPELVLSLRMALDDNHDSAILNCAKVINVMLSCEFNESYFDFLEKLTDQGKDICTSPVFRSKPDLDGGFLEGGFWKYNTKPSNILPHYGDNDEEEGDENHTIQDDVVVSGQDIAAGFVRMGILPRICFLLEMEPTPVLEDYLVSILVGLARHSPQSADAILNCPRLIESVTKLLTRQGSMDIHSSQIKGVTLLKVLSKFNRQTCLKFVNHGVMWHWYRNAYTLEDWARSGKEQCKLSSAMMVEQLRLWRTCISYGFCVTHFTDFFPVLCVWLSPPMFQKLSESNVLSEYSSVARESYLVLGALAQRLPLLHSVEQLLKQDAGFSASHVETWPWSHVVPMVELALSWLRLNDILCEFSLVNVQNLNRNHLLESNHLILVIASVLAMLNSVLERISPDGIPDDKRWLPWIPDFVPKIGLDIINNGFFSFSGTDNIGHDEHLLFSSASLVKKLCYMRCNGDVGVSLSSVSCLQRLVQLSLSIDRVIQSAMKKGSENLKDYKTGMAGKILDEGISSLWHNDLLDLLTSLSPIVSSQWSVLQNIEMFGRGGPAPGVGFGWGGCGGGFWSLKCLLAQLDSQLVLDLIKILSAAPRGPFAPSKDVNSDNATNTVSSASDRISSVLGVSFIAGPGQISMLEKAFDILFESSIMKSLKSSIHDFVSKKELQPAFEWDISEDEYLLFSSVLNSHFRSRWLAIKKKHKHAGNNSSVNVPKMPETLETIQEESELAEVVNQPCSTLVVEWAHQRLPVPIHWILSAVCGIDDPKGTSSASANYNLDVSRAGLIFLIGLEAISAAPCLHTPLVWKMHALSASIRSSMDLLQENRSRDIFHVLQELYGQHLNRLCLKYSVKKDDCAGIATLEEEKHSSSLEFLRFQENIHGSYSTFVESLVEQFAAVSYGDAVFGRQVAIYLHRRVEPAIRLAAWNALSTAYVLELLPPLDKCIGDNQGYLEPLEDDESILESYTKSWTSGVLDKAAQRDSMAFAAAKHHLSGFVFQCGTSSKTLRNKLVKSLLRCYAQKQHHEAMLKSLILQGIAQDSQSNAELDRRFEILKEACEMNSSLLTEVQRLKIALGQ >Et_2B_022069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8748575:8761705:1 gene:Et_2B_022069 transcript:Et_2B_022069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHIDSLVVGRVIGDVLDVFVPTVTVSVQFGTKDVTNGCEIRPSIAAAAPTVHIPSMMDDLFTVVMIDPDAPSPSEPTMREWLHWMVVNIPGGNDPSQVYMQHVK >Et_4A_031862.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24637813:24638568:1 gene:Et_4A_031862 transcript:Et_4A_031862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRRMRLSLDVARKFFALQDMLGFDKASKTVQWLLNTSKAAIQEIMADDVDASSECVEDGGSSSLSVDGKLNPAADDLLRDQQQQHKGNNGCGVDGKKPARARKAANAAKPPRKLANAHPVLDKETRAKARERARERTKEKHRMRWVKLASAIDVEAAAANMARDRPISTTHNLSHSPSVNMASAAAAAELEERCSSSTLNNGSGSMATEPSDMILAFGNGGGYGGGSNNFCQEQWELGGVVFANSSRFY >Et_10B_003476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2066127:2080892:1 gene:Et_10B_003476 transcript:Et_10B_003476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLVLLLSLLLRATYPSAAAGDGGGVCNRQCNATVVPYPFGFSGDCPILLTCNASISSMPLLPHSTANAPYPIFSFNTTTSTFLASTSLTGAGYGVGYGVSSRTGLLLRGGSCRPQATGSNCTIPAEIVTGLLRTAPCSGSDTALTCVAAPAPNSAAAVSGQGLFLQWEMVSASGCEEALTAMVYGDTQQQGAPSLGFGEAELGWWLDGTCACKDGLSGDGFVAGGGCYHDRPLPWSPNPKLKLPALAGGVTAGCVALLLMIGISACLLLRRRKRQNFMVTAKKTVKKTPKGARLFRGEPVEDDFAQGETGPRQFCYEELAAATENFSGDRRLGRGGFGSVYGGIMSDTNRYVAVKRVSETSRQGWKEFAAEVRIISRLRHRNLVQLIGWCHGGDDELLLVYELMPNGSLDAHLHGADALPLPWPRRREIVLGLGSALLYLHEDAERRVVHRDVKPSNVMLDASFTAKLGDFGLARLVDDGRCSYTTGVGGTFGYMDPECALSGRASVESDVYSFGVLLLEVTCGRSPAAVNVLRFEAPPPILPAKMPVATYGPPARCSGAATATSSAEATAGGGRTASERSTSTEQLTYSLAQFFKPVTPYTPYLPALCSTDGDFTAGETYQVNVDKLVHLFLDGAASNEGFFYTSFGDQQDMVFGLVMCYADKSWDKCLFCLDHAAAWVSTGCPYSRNASVNYDMCLLRYSDEPFFGSADLTLTASMRSNTTPTDSIGMREARGKLIGQLTREAAGSPRRYAYGERRYLDARGESQVMYALAQCRRDLDDGECNKCLNNVTGELEQEILFDTAGYLLGYSCYVRYSLTSPMEIIQPPPTGPPPPKDKWKLIKLIIVVAGGSIASNMALLLFLGVSRSYFLQWRKGRMKSAGSLIFFRGEVVEIVELEQKTGPKRFSYDELAFATDNFSDNRKLGEGGFGSVYRGFLEEENISIAVKRVSKSSRQGWKEFISEVKIISRLRHRNLVVLIGWCYDGVGDDLLLVYELMDNGSVDNHLYHRDPEKQLAWSTRYKIVLGLGSALVYLHHDTEQCVVHRDIKPSNVMLDASFGAKLGDFGLARVIDDDGRRSQTTTPAGTTGYMDPECVATGRTSVESDVYSFGVVLLEIACGRCPVVTLQNGSTVHLVQRMPAAAGDNGWFYKGTAGAAGGNDTVYGLIMCYADYNATECADCLAGAPAGIASVCPGSRNVSAAYDACLLRYSDTPFFSEANPSEAFYLYWPADAGIDKSALDDARSGLMDQLAKTAADSPLLIANRTAPYGGAPNAMQGMAQCTRDLTAGQCTWCLTKYGARLRDIFPNNTGGAIKGYSCYVRYNIGSFQVTLPPPPPSPSVSTGLVVGLSAAGSAAFLVVLGLAVRFLLHRRRRHARQQTTAAGREMEEGDNFFDGEPEMEEDEFEKGTGPKRFRYSELATATDNFSDERKLGEGGFGSVYQGFLKEMNNLAVAVKRVSKGSKQGRKEYAAEVRIISRLRHRNLVQLIGWCHGGGELLLVYEIMPNGSLDAHLHGIGVPPLPWSRRHEIVLGLGSALLYLHQEWEQCVVHRDIKPSNVMLDASFNAKLGDFGLARLVDHGRVSHTTVLAGTMGYMDPECMVTGKANAESDVYSFGVVLLEIACGLQPMVANGDDMVHLVKWVWESHYGRGALLDAADARLNGEFDASEMETVMVVGLWCAHPDRSFRPSIRQAVNVLRSEVPLPSLPPRMPVATFTPPPPDDFYYYTSSVATGGTSSSTGTGTTQSSTTETSTLLR >Et_2B_020579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21491209:21505925:-1 gene:Et_2B_020579 transcript:Et_2B_020579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDIPTGEGMAFFLAPYSKNDVLSSGDGGGLLGLFNDSNKYNATGGSKEVAVKFDQDVGIAVNAIGSVVYADTSQAGDQIILASNTMMTATINYDNRTKVLYVDLLDTHGALYHVNATVDLTNILPEKVAIGFSATTGLIPGGLFPELHQISSWSFKSSLEEKATPPAPALSHRISHPSSSSNPPVKQGSSTKLVLKFPHLLCRSVQLWVRSYGCGRSAEETHNSTKTAEEADFERGVAGPRRYQYRELVTATGDFNDENRLGRGGFGSPPERQQAGGREEVLGEKSSQGRKEFEAEVKIISRLRHRNLVQLLGWCDNARGLLLVYELVPEGCLDKHIHNNPRLLTWSERYKIIVGLGSALRYLHLEWDQCIVHGDIKPSNIMLDSSYNIKLGDFGLARLVDHGTGLQMTANVLGTAGYIDPDFVNTRRPSTESDVYSFGIVLLEIVSGRQPVDMAPPFVLLKWVWSLHGQGKIIDAADARLLVGDDAAGERQMERALVVGLWCAQLDPVERPSITQAMQALQSEDAKLPVLPPHMYKLAALPSVVSDSGVSGNSFSSGVRSSATTGTIHSSESTSPPRYRHAFLCIYYYTLVLCIHLPYASSFAFNFSTISMSPCGTDLVCWGNASFANQVIELTTNDISTGSSNSLGRVWYASPMPLWDAATAETASFTTTFSFKITPDKDYKNLDGSFNSGDGMTFFLAPYSTNHVGIDVNSIWSSASTDASTAGDKISLIANTMMTAKINYDNRTKLLAVDLDIDGVWYHINYTFDLRLFLPEKVAVGFSAATGLFADLHQISFWAFDSSLEEKMVPDPFHSKPPVKPEPTAPPYHQEIQSGSTDATDSTTKLLLEVLVPIVVVLVCATMGVLVWLWLKRRRKAQQIVAPNDSESSDEQHGKEADFERAVAGPRRYDYRELAAATGDFSDENMLGRGGFGSVYKGNLKGVGGDKQVAVKLLSSETSSQGRKQFEAEVKIIGQLRHRNLVQLLGWCDSPKGLLLVYELVPEGSLDKHIHSNPWLLTWPDRYRIIMGLGSALRYLHREWDQCVVHGDIKPSNIMLDSSYNTKLGDFGLARLVDHGTGPRTTMNVLGTPGYIDPEFVNTRRPSTESDVYSFGIVILEIVSGRQPLDRREPSFMLLKWVWSLYSQGKTIEAAELRGNEAEQRQMERALVVGLWCAHHDPGQRPSIVDAMLVLQSEDAKLPVLPPHIYKLVALPRVSTGGSGVSGSSFSSGVHSSATTGTPYSSQSLTYRSPIARSRLVIRTFLAFLTHMLLLHECFGYTSSSYVKLSSPTQVEL >Et_7A_050559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24591165:24591536:-1 gene:Et_7A_050559 transcript:Et_7A_050559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYHVPKGTKVLVNAFAISRDPRYWKTPEGTNFEFIPFGSGRRQCPVIMFATSTLEIALANLLYHFDWVLPYGVIPELEDMSEQYGMGVTKKLDLHLGPIPYVHSRFQ >Et_1B_010624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12152846:12155336:-1 gene:Et_1B_010624 transcript:Et_1B_010624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILWEKSAGWRWLVRRTRDSKPFFFTFAALCGVVPGVIGYGVMQLTSSRNEKLEAHLRSTARPETAMMGQVNRERLAEFLGELQRKEDTNDRYVAALRGETLTRKRYERIQPVPIDAQAAQENAKASTPEDAKAK >Et_7A_052481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8465490:8468972:-1 gene:Et_7A_052481 transcript:Et_7A_052481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYSGFLTTEEGASRVVAVALLPKGSTAGAFFEDFKESSFVIAVVTGGNKGIGLEVCRQLASNGIAVVLTARDENRGTTAVETLKKTGLSNVIFHQLEITDATSIARLADFLKAHFGKLDILVGDLLSSFLDILVSWMSDKFSEILA >Et_1A_009240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3515457:3516742:-1 gene:Et_1A_009240 transcript:Et_1A_009240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAERIGSGTTLGKRPRSKGMPRTASMVTVPSAAKQGRQEGVADVPSSSSLPVGSVGMGSGGGGDAPRGYFSGGYFAGAGTAAFLMVCGLCNRRLGPGCDTFIYRFEIKITSDFILSRGEVAFCSQECREQQIEYDERMEQTCSLTSIKEAPSVSGASGSDKSGSGGETVAAA >Et_2B_019719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1358197:1360021:1 gene:Et_2B_019719 transcript:Et_2B_019719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPCPVLQPSGIGRGFAATGDEISEISIQLKTIDTGEQITSPFSREKLLPGAVESSSSAPALQHMDVSSLEEGATSPEEAMTATRRARPSQSSLMPKILERSPKNWHQVYFIRMDRSGCFRMYPDLGGPFQSVDEADGAISRHLDELRRRAMLKDQDKLSQLDNVERMMHEHYYFYPDGTPKRGPEARKRQDTDFRQEYYLVHLSHTHINGYAHTSTRTWTSNVFLSSCILFKDLAHELERILDSVWIFENDTWFYHFNFTTKTKAGSGTLFFAEVSCMQGEDAWEVKCCCMIGSTDKGHCYGCTNYGCPGLQHPSDTSAYIAGHLDEYMPYGDDELSGSDEDVWTPLLPSVEAEEGRVRAIYKDLQDPDFLNKIYRLINEPMEGVESA >Et_3B_029165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22598850:22600103:-1 gene:Et_3B_029165 transcript:Et_3B_029165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMASLSAAAAGHFSSPREGGRTGRSGFNICMRAQKKTNTGDSGSGSGSGDGRGSSGRRVWRRRKLTKDDDMLRYKLDRIPFLEEKVRKVRENGKIICLDINQLMLSQENRFAFTMEVAEEANGYLEKNRHEYGLKKPILHVLSDRMNEAGFSRPEGYLYPYPIKPGPYFIKEEGN >Et_3B_028394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14624302:14629688:-1 gene:Et_3B_028394 transcript:Et_3B_028394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRRAAHAGSWYTNNARKLDEELDGWLRAAGLNKSSDVRGVIAPHAGYSYSGRCAAYAFGNIDPTNISRVFLLGPSHHYYTPKCALTRATVYSTPIGDLPVDQEVVPILVGALSSQNEAMYGQLLSKYVDDPKNFFSVSSDFCHWGSRFSYTYYDKKHGAIHKSIEALDHMGMEIIEAGDPDAFKQYLQEYENTICGRHPISVFLHSSQCKSMRDSSVSYASAAAKVDKSGQEGNKD >Et_6B_049281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2688210:2703459:1 gene:Et_6B_049281 transcript:Et_6B_049281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKASEGKVSFLLVGFPGAPEKHVWPEVMAAQGDSVLFQIFVGEQTQDHFVYSAGVAAGPKPPYYVTGKELARGYSLKRRPKQPVHRSLKPNATGLARRGEDEIVVAELQNVYVSDEDDKPWGELLRLRSGEWRVERPTIISHGWKKRKMEFWEANNVPALRDGLMCWVDIISGLLFCNVFDETPELRYVPLPVKCGDVCVTGGESTVKCVHISRRCCCGGKGATKCRRSRHAYTVKTWMLRMEDMVWVMDAMVDSTEIWTLNAYKDLPRVPLECPVVSLDDPHIICFEVCEEYAKKKGDPTLWLIMLDLRSKALLSACRCPNYNRGYGRSIERYMIVTGISDYFNLCPSSNGSSSMSKRQLNFVAPPAKKLRPNNGGSSGQSFCNTPNGPSIQVSEILKVLQEIPSYGFAHGDMLKMTNTSSCSSGPAAASPPWVLLYFYGEDEDDVSGSCSATDANTMAVVRTTAGHPIRVSFRFAPPPEVSRLRVRFPDGARKTQPVIMAAHGDSVLFRIVLEEHCTYTVDYFVYNAGTTAGPNPRPPTVSLLPSCHRFLGDHATGLLRRGQDELVIRHSFFHIFETVYSFFLLKSMYFLEFLSLFLEVLGIHPAPPVVVAELKKDQLLLLRYGEWTIERPTVTHVDGEVEELLSSWNTGTVLPVGDEMLCWVDVSHGLLFSNMFDESPVLRYVPLPVKPYEGNVCVAAGSSVVKFVNIFPRGLAAVAAVRE >Et_6A_046101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24257836:24260874:-1 gene:Et_6A_046101 transcript:Et_6A_046101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLDSLVGSCIKKLHNIITEEVVLLLGVKEELIELQRRMDQVRHFLSDAERRSIEESAVNSWLGRLRDVMYDADDTIDLARSKGSNLLQDRSSSFFRKSNACTSLSLSSCFPNLQAHHEVAVRIRNLNKRMENISKDKILLTLENTQPAGRNSESTPRKSSRLVEPRLVGKEVLHASRKIVDLVLSHKDRKSYKLAIVGTGGIGKTTLAQKIYNDHKIKGNFDKLAWVCVSREHSEVSILREVLRNIGVESAIKEKRFFLVLDDVWQSDTWTYLLRTPLHAAATGLIIVTTRNDNVAKEIGMDDTHRVDLMSVETGWELLWKSMNINEEREVHNMRDIGIKIVRKCGCLPLAIKLIARVLACKDQTENEWKKILSKKISGALYLSYEDLPHHLKQCFVYFATYPEDTTIRRDDIVRMWVAEGFIDEQEGQLLDNSIADKRCCRVHDLLWQLACYLSREECFIGDTGSLMGNIRSKTRRISVIGPKDQMLLLSMDKQKYKTFFRRFPCLRVLDLSNSLLQSVPNCIGSLIHLRLLNLNGTSISCLPESIGSLVNLQTLNLEKCTALRPLPLALTELHNLRRLGLENTPINGAPKGICKLKFLNDLYGLPIGDGWNLEELGPLSQLRRLYMINLEGAVPCNSNSLLMNKKYLKVLYLSCTESTYEPCFEVDIRYIEKIFEQLIPPHNLEELFIMGFFAKKCPSWLGNTHLSSVKQLHLINYKYCVYLPPIGQLPNLKYLRIQGATAVTKIGPEFSGSRVYSPGSTEIAVFPKLEWLVIEDMPNWEEWTFVFEEEEAKVACDKEGEYGATPTKQGGPSSGRLRLLPCLKILELKHCPKLKALPQQLGQEAINLKKLQLRFVGNIKLVEDFPFLSDWILIAFCEGLERVLNLPQVRELRAQGCPNLRCVERLDNLQQLWLTADMQESSALWVPGVQQHLQESRGEDLDIYTWTQ >Et_3B_030225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31508677:31509822:1 gene:Et_3B_030225 transcript:Et_3B_030225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKQGVASMLAVALVLGVFAAIPTGVESIGVCYGVNGDNLPSASDVVQLYKSKGIDSMRIYFADQNALNALSGSNIGVIMDIGNENLASFASDPNAAAAWVQANVQAFPGVSFRYIAVGNEVAGGDTGNILPAMQNVNSALSAAGLGNIKVSTAVQSGVTAGFPPSQGTFSASHMPPIAQYLASTGAPLLANVYPYFSYTGNEAQIDINYALFRSPGTVVSDNGNNYQNLFDALVDTFYSALENAGAGNVNIVVSESGWPSAGGDAATAGNAQTYNQNLINHVGQGTPKRPGAIEAYIFAMFNEDKKNGAETEKHFGLFNPDKSPAYPISF >Et_4A_034839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:710993:714831:-1 gene:Et_4A_034839 transcript:Et_4A_034839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGEVPAAAAAAVANGLDDGEETAPAPVSAEQLDVEAYAAQYSGRTRLSRLIFIADRCGVEAMKLEALRMAYDEIKRGEDTQLHRDVASKISGRLGPRYGLDQAWADTVTRRAEQRKEKLETELNGYRTNLIKESIRMGYNDMGDFFYAHGQLSEAFKSYIRTRDYCTTSKHIVQMCMNVILVSIELGQFAHVSNYVSKAEQTPDTLDPIVVAKLRAAAGLAYLETKKYKLAARKFVETGIELGNNYSEVIAPQDVAVYGALCALASFDRSDLKSKVIDNINFRNFLELVPEVRELARIDSHNKILYARHADQRNTTFQRVLQTGNEFERDVKSMLLRANLLKHDYVQRTGQRKM >Et_10B_003879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7105078:7106979:1 gene:Et_10B_003879 transcript:Et_10B_003879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLMKDIGGAIGLMGVALVLLGTWPVVLAVLERRGRLPQHTFLDFSITNFLAAVLVALTFGQIGPDAPETPNFLTQLTQVRENWPSILFAASGGVALSLGTLATQYGWAFVGLSVTEVMASSLKVVIGTTLNYFLDGRINKAEVLFPGVGCFLIAAILGSLVHSSNAADNQEKLAKSMANYSNNARNSVNGELTKHLLEKEVPKDPEAAEPDVAHATQNVEKVEAGTAEFLVDLEEKRSIKVLGSHTFLGLGIVVFAGVCYALFTPAFNLATNDQWHTLPTTTPHLVVYTAYFHFSLACFACSVCLNVWLLYRPMAGVPRSSLRAYLADNGGHGGARWLALLAGAVNGFGNAFTFMAGQAAGYAAADSVQALPLVSTFWGVVLFGEYRRSSRRTYTLLASMLLMFVVAMAVLMASSNHRRPL >Et_2A_015203.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:554991:555083:1 gene:Et_2A_015203 transcript:Et_2A_015203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKPEALCYDTENECKDVCVSCQPHCPPS >Et_3B_030251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31717237:31723679:-1 gene:Et_3B_030251 transcript:Et_3B_030251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQPPPPPQHPPPQSGGGGGEFYRGPPMRQLSAASSTNLPPDYAAHPGPPQQHQHQPPYDAYGDNFGAKRMRKPVQRRTVDYTSSVIRYVQARMWQRDARDRVALQPTPAAVLDMLPSVAYPDNPSTSFAAKFVHSSINKNRCSINSVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQPVRSMVWSNNENWMVTGDDGGAIKYWQSNMNNVKVNKTAHRESVRDLRTDLKFCSCSDDRTVKVWDFARCQEEKSLTGHGWDVKTVDWHPTKSLLVSGGKDYLVKLWDAKSGRELRSFHGHKNIVQCVKWNQNGNWILTASKDQIIKLYDIRSMKELQSFRGHTKDVTALAWHPFHEEYFVSGSFDGAIFHWLVGHEAPHVEINNAHDSSVWDLSWHPVGYLLCSGGNDHATKFWCRNRPGDLTRDRYNSGQTQGYGDQHSTFGARAMGGFQTESPITLGIPGVGTAMPLAGQSLDGSDQGEQRPQIPGSRPPLTQLPAMGGPSMPSPVNPPLPPMPHPTAMQGSQNQMMSQIPQHMMGRNQMHPGPVPPGNIPPMGGFPNGMGNIQGASGSSGMQNFPMGGIYNRPQGQMSSIPPGLSSYQGMGNVGLTPPLPPSQHPPPRGSTPQ >Et_4B_038257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27642530:27647450:1 gene:Et_4B_038257 transcript:Et_4B_038257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMKHLLVLALGLAMATTSSAVLYKVGDTNGWTILGNVNYSDWAGKKTFHVGDTIEFQYPKGIHNVLEVKKADYDSCTNSTPIATHTSGDDKIVIKSPGHRFFICGVPGHCAAGQKVNIRVLKPRSSDAPSPAPAPSKKASPAPAPARAATPPSEPSSASASPPATSTDSSPDATTSAPAPNANGAAASAGYKAVAAMALVAVASMAMLHFLGRFPADDKESCCEDSFPEIMHNPLYFHGKWICFFAGAAPVAFVYKMVKGGLTGHPLGGLQRQSAGSGRPSCCRLGQYGGTPD >Et_9B_064189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12442113:12460748:1 gene:Et_9B_064189 transcript:Et_9B_064189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPKLGSATPSRSVLDLNAPASMEIEPPEPEKPRKDVFLGQTRAIYGIFHEFSRRRAALIRAITTDVVVAWAYIGLESMCLHGHINGSWEVGVPELLGQPSLPEPTRGINLFRDKMERVQWLQEVAKHCDSWLISVSFFIGGYYSIISALLKLSMKPSLKVILIAACIVKKWSRAVVGDEENDDNDKYFCDICGDQYHANGFWICCDLCGGWSHGKCVKVTPEQSERVKHCVCPECISERTGHDSEEDPSRLPSGPAVGLNLLRDDVGRLLLLREIAIQCDAWLMCISFLISAHLMNANARWLLFSHISNLESVHDAFLESDTYRRLRREEVKSRAVVGDEDDDDNDAYFCDICGDQYHANGFWICCDLCGGWSHGRCVKVTPEQSERVKHYECPECISERRGHDSDRLKVDRLVFFVSLGRTLPPPQSSSPKNPPPSLPPERLAGKTIQPWGRRSE >Et_10B_004367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4938688:4940615:1 gene:Et_10B_004367 transcript:Et_10B_004367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLICTHLIEGQAARSVRLLKIDGCPPYVSYFEDLKLENNKYIASRWKVDGYEWEIRFYPMQLGIYEDGFYDMALELVFLSDACGNHVTANLICRLLDPRGICQPSAEKMILSKSFQRPSDSSGKVSIMPRHEAQESGYLSKNGSVTMECTITVFKDQEGNSMPSSNLQKDLGELLWSGCGADVTFNVSGESLAAHKNVLAARSPVFKAEFFGEMKEKTSRCIEIKDMEAAVFRGMLHFIYTDKVPELDEKKETATAMAQHLLVAADRYGLDQLKLICERKIALGIDTGTVATTLILAELYGCSHLKAKCVDFITGGSEENLDAVLATEGFKSLEASVLTELLKAAHAGPID >Et_1A_008257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5759881:5762222:-1 gene:Et_1A_008257 transcript:Et_1A_008257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGMERAGYGAAAAMGGVVLSRDPKPRLRWTPDLHERFVEAVTKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGKQNKKDTGLEASRGAFAAQGINFATPAPPSIPSAASNNTGETPLADALKYQIEVQRKLHEQLEVQKKLQMRIEAQGKYLQNILEKAQNNLSYDAGAANLESTRSQLTDFNLALSGFMDNVTQVCEQNNGELTKAISEDNLRASNLGFQLYHGVQHVEDVKCTPDDDLLLLDLNIRGGYGHRSSSDLKINHHMR >Et_9B_064516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16049084:16058086:-1 gene:Et_9B_064516 transcript:Et_9B_064516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGRGNPNILGNWSQLGSVRVPTLGCQNHAGTAAAGDGNPITLPALFSTCSTSTLNAMHEISAASQAAPSSSISFAAEQHAGSSMPPSWNFPRGCTQVPISIVVFHRRLTGRSSRPLSRSSMPAPAFHGVSDGGASIQLAGSNFLSLGRASNNVVGHVAMNPSQLAIPDTYNYEHASTHLGPNLLDDEATKTRNETEASQLQQSATTNCCVSHTNLESAIPAKTLETQVQHSQESTALLAEVSADDNMHMYQPMQKKPKIQISQSKHTPLSTPAVLKERTLTQIEMQIAGAEKTETFRNAETPAQKLKTRRKKHRPKVIREDRKSKVQKPVVDSTPDGKSPKQKVKRSYVRKKRNASSLQKCSGPVSNQSVSVGTGIAARRTASVRRSLHFGLEEQGVQGGHMSMANSHHHNIEKLVHAQSSFCSVAESEVQVGQGLQVDMENSPGRLAFGMSLKLNKLLDEYIHLPEATPKTTQEVSIGKELEKDNIGGAHEAGATSRSLPEMEPTINQMNKVSKVENHRHHKNGESSLIATQDSIIWRAAAEMLAFCQVGGIKKKRSARARRNSFISIMDLENDTLQASTRLPQPCMNALYEHSYIKINLDVHCKAPEESSTSTSTVPCNDHLQGVASKIKHLDLNTEQVHRTEMNLSLTAPALISFGGTYGLSNSLVPYGGGMVVPYERPWQVVKRQRPRAKVDLDFETTRVWNLLMGNTAEPVDGTDVEKERWWQQEREVFQGRANSFIARMRLVQGDRRFSPWKGSVVDSVVGVFLTQNVADHLSSSAFMALAATFPSRSINSNCKDDATTQDNEQTIDTSSLGEKSMFDLFHNGARPNPEANCEELSVNYEKIHMVPKDNTSINEFIEGEKFSFDYKLADEYVCNNQGTGMEHKAQRISDVSSVELTASTEVLQQTLYQNEISQSVTLETRQSRLPSSSGIPRNLAVGGCVASYQLLENNFGPVKTVTGNSTVTCEIERQILKTVSINDDGVGKPGIPSSSTMPFSSTIDSQQLDLRNEPKVSTSPNSSSGSATPYLKSSTDKNTNSHVAERSGDKTSSIGLNSPAGEDESKLRSGFSSYNGVPDTEAPASRRKKTRTTSKMNPENFDWDKLRREVCNEGRMKERSFERRDSVDWEAVRCADVQQISHAIRERGMNNILAERIQNFLNRLVRDHGSIDLEWLRDTPPDSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYPVLATIQKYLWPRLCKLDQQTLYELHYHMITFGKVFCTKSKPNCNACPMRSECKHFASAFASARLALPAPQDKSLVKSSDQFAFQNSSMHPLISTHLPRLEGSPHARDFLPKNSYPIIEEPESPREDECPETVENDIEDFDEHGEIPTIKLNMEAFAQNLENCVKESNKELQSDDIAKALVAISNEAASIPLPKLKNVHRLRTEHYVYELPDSHPLVQQLGLDQREPDDPSEINEISDAPKPCSGSQVEGGLCNNELCHNCSAERQNQSRYVRGTILVPCRTAMRGSFPLNGTYFQVNEVFADHKSSHNPIHIDREQLWNLHRRMVFFGTSVPTIFRGLTTEEIQHCFWRGFVCVRGFDMEFRAPRPLCPRLHMVARPRTRKTQATEQVH >Et_1A_007315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33193932:33195988:-1 gene:Et_1A_007315 transcript:Et_1A_007315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLRRHSLDKSGSHNSRSSSSFNHHQYHLDRAEQEDEMQPPLPHGRAAVAAGGPRSRLARDGPPSELDTMKEKFAKLLLGEDMSGTGKGVSSALALSNAVTNLAASVFGEHRKLEPMAPDTKERWKREVGWLLSVADHIVEFVPSRQTAENGTTMEVMSTAQRRDLAMNIPALRKLDAMLIGYMDNFVDQSEFWYEKGGDNKRDDDKWWMPTVKVPAEGLSDVTRKWLQYQKECVNQVLKAAMAINAQVLMEMEIPEIYIESLPKKGKTSLGDAIYRSITEETFDPLEFLEGMDLSTEHKVLDLKNRIEASTVIWKRKMQTKDTKSSWSSIISFEKREQFEERAETILHLLKLQFPGTPQSQLDISKIHYSRVLESLAYSVMSRIEDVLGADAAALNLTAAEANARRLLAEAAADPPRKLDAREELEKLNEAPASMTLFDFMGWHFDQDELQKRREDGTLDAEAEAKLLLKKAPSLAPKKFSYVDTLSSGGGMRSPSARH >Et_5A_041079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17893377:17893971:-1 gene:Et_5A_041079 transcript:Et_5A_041079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHEQCSKKHADRRRRVPTDTTRRATAWVAPGREVTGIDDPSAALLLVRVSSTAVAAVAVACVSGCACPHRGPLAAVNCCCCRRRCTNINQFTGETDRASAVGKETGICICTPQQ >Et_10A_000085.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22668907:22670032:1 gene:Et_10A_000085 transcript:Et_10A_000085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVAANGEDDAFLEKSATHWVDAALATDLEVLKLLNGATESISRTKSTNKAKTPSVVEPPRTSQPKKQSLGASAKIQSKVSPSPTVTGTWSNAEGMNETVELAKTLWREMHMWFLNFVNEALDVGFHLFEDQNVASRAKHSSHITMVLSQFKKISDWLDRVAKIAEEKTTKEKIECLKRKIYGFVISHMGSAFEGSVSISSRS >Et_3A_023821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12916241:12920244:1 gene:Et_3A_023821 transcript:Et_3A_023821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRECKPRLHTDIRYTGALELCSVEKVNLAPVKIFGPAMSPNVVRVLLCLEEVGVEYEVVNVDFAAGEHKGPENLTRNTSEVNLLRDGNLEESALVDVWLDVEAHQYTPAMHFSHRLPAISRADARGNSRSEAHRRMHGEDEESVGRARGTPIQVQVPSRRFRQPSRSQPFPVHIALHDSYPRVKAWWESLMSRPAVERAHVAASHMSPVKVFGSAAFTNVARVLVCLEEARAEYEVVDVDFHAKKHKGSEHLARN >Et_3A_024255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1972435:1973184:1 gene:Et_3A_024255 transcript:Et_3A_024255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVHLCVAAACAIVLALAAPSLAGDPDMLQDVCVADKESPVKLNGFPCKANVTADDFFFDGLRNPGNTNNPNGAVVTAANVDTFNGVNTLGVSLARIDYAPGGLNPPHTHPRATEIIFVLEGVLEVGFITTADKLFSKIITKGDVFVFPRGLVHFQQNRGHGPATVIAGFNSQLQGTQQVAMTLFGATPPVSSDILAKGFRIDNKLVDIIKARF >Et_5A_042339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8935613:8952795:1 gene:Et_5A_042339 transcript:Et_5A_042339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEMIFESSGPAHMMIKTNLDLTCDLRDSQEHRRCVAACLVKGVYVLQSDRSKRRLEQDQLAPKWWESFHFRLHKVLECKHLNCAYGAIFEYVPPDGAPRHHLAPRYIVAFRGTMIGDPAICGDAAIDIKIVLNRQHDCSRFSDARAKVLELLDSVVPRGGADSSGIWLAGHSLGASIALDVGRDMMTRDDGPRWNLPTFLFNPPQVSPAAAVDWLPEPLKKLTKSVMHPASNVVKAAVVTTFLRSHEEYKEELFKELKPWVPEMYVHEQDVICKGYIYYFEQRQEMLDGGSWLRQEIAKIAEKQSFRDMRVALHSNDGDEQRVQPHLLPSARLWTNSRDGYSHGLQQWWKPDSELTKCTYGAIFEFVPPDGAAHHPLAPHYIVAFPGTMPRDLAIRCDGPVDIKIVLNMQHDCSRFRDARAQVHKLLDSVVPRPSGGAVWLAGHSLGASIALDVGRDMMMTRDDGKLPTFLFNPPQVSLAPVIKMVHMAEQAKNDLYVTGCVAKAALAKTIRRSQEKSMSELFELLAPWVPELFVHERDYICLGYVDYFEQRQKVLDGRSCSFLPEIGKLATKQSLRDMCVALITSNDGDKQRVQPHLLPSARLWTNFSTDSDTHGLQQWDNDEHRRCVAACAVQGIYALENDQTERRARAWWESFHFRKRDVLKFRCECVLCRTNILNFASSKSSCCTYGAILEHAPPPGAGARRHPSATRYIVAFRGTLTRHATILADMHLNLKILVNRQHACGRFGHARDAVGSLLRSSIAGGKIRADDVWIAGHSLGASIALDVGRDTARGGCYLPTFLFNPPQVSLAPGMLPPVLNRVAKGITYPTSYAVKAALGKTVMRSLEKDMEELFEWLAPWAPDIYVHERDVICRGFIDYFEQRQKMLDRFRRVARVGMKLSFRDMHVHILHNSSNPASGEDLQVRPHLLPSARLWKTSSGDDPHGVAQWWQQNSKLNLIPTPYNSNLDLTCDLRTNQEHRRCVAACLVNGAYVLQSDRSKRRLEQDQLASKWWESFHFRLHQVLECKCIHCKIPGSRKCTYGAIFEYVPPGGAPRHQLAPRYIVAFRGTMIGDPDFFGDAAIDIKIVLNRQHDCSRFSDARTQVDKVLHSVVPRGGADSSGIWLAGHSLGASIALDVGRDMMTRDDGPRWNLPTFLFNPPQVSPAAAVDWLPEPLKKLTKSVIHPASNVVKAAVVKTFLRSHEEYKERLFEQLESWVPDLYVHEQDVICKGFIYYFEQRQKMLNGRFWLRQEIAKIAEKQSFRDMCVALHSDDGDKERVQPHLLPSARLWTNSRDGDSHGLQQWWKPDSELKLREANY >Et_2A_018692.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5215101:5215547:1 gene:Et_2A_018692 transcript:Et_2A_018692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRAGEQSLGLPIRGLLVVHPYFCGAADIGDEGTASGKAAKARADAFWRFLYPGSPGLDDPLSNPFSEAAGGSAALVAAERVLVCVAEKDDLRDRGVWYYESLKASGYPGEVELLESVGEGHVFYCINPKCDKAREMQERILSFLRK >Et_7B_056022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9447524:9454063:1 gene:Et_7B_056022 transcript:Et_7B_056022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLEGQGIHQSNLGWQPVYVESNLGVMSIGFMLPNQDDAVIWRGPRKNGLIKQFLKDVDWGDIDYLVVDAPPGTSDEHISIVQYLQIAGIDGAIIVTTPQQVSLIDVRKEINFCKKVGVPVLGVVENMSGLRQAISELRFVKPSESGETDATEWALNYIKKNAPELLLVVACSEVFDSSKGGAEKMCQEMGVPFLGKVPMDPQLCKAAEEGRSCFTDQRSPEAPRTIMERHPCSEGSSRSLDKELKLFLLDDGEDPAPDMTNRKSLFFLQGDT >Et_1A_007924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39480898:39484561:-1 gene:Et_1A_007924 transcript:Et_1A_007924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFRRIAGFLGISRDDADHPDSSSSAAAAEFPQDRAAAAAAAAAAAHGTRRGFSVQVPVPVERQGPGPVLVPCPQGDGGVQGFRWYTRRLRMDEDGDVADEFLDEIIPESSMNNGASPVGRFQVKYNTKPTTIALKKQIVAVDGDVRHSLEYQGQLQWTCSGTRINEF >Et_5A_042185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7146569:7150308:-1 gene:Et_5A_042185 transcript:Et_5A_042185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQGTYRRGGETGSGGGTSSIAKHVGIQNQVLNWLQDFSDRVEERAKGAAAEVNGLLDDVAALELDMKTAVKVSDEGNTNLKRRDSRQSSMQSQVPAQDYERDILPRYKEALHIGLASCKDHFRKKGRSTMSVFRAMSTYGPLPHIIGSEEYNHDNSCGLADDAQPLTDDFSWLRESQGDSLDSVAGDLFESQTLELQQGSGKGETDSLVFATREFRAMLEAALVNPYKFYDDVSTTTQNASTDNARASKVHDNTVNTFFIAMFLFCALYSASFVFLYVAEQDFFFMTKKSKATSCRNIFMPSLLLYWFFPHSERSTDADNAEETGLLASLQDPHSNAHDIYSALVREGLFDTGDEILSMEPVEPADTYVSDQVPGSANSAVADSAGNTLSTNETIPDKEEILVEEDDAVSSPEQKDGISGSSQD >Et_1A_005185.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31185968:31186132:-1 gene:Et_1A_005185 transcript:Et_1A_005185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAWWIWKERNTRGFNDKALTVPQLVQLIIEEVDVGVAAGAKNLARVVTRASD >Et_3B_028903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20226613:20227185:1 gene:Et_3B_028903 transcript:Et_3B_028903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGTPITNYDLGVWGWEPEKHGMYTVRSAYKLLENRCHDQDAEMPGSSSDDVWRKIWKLVVQLKMMHEFLPARLVLTRRHFKPIANCEACGDDEESIRHTKDLIGVKLPQFHPQSWAGDFLTNICSDRDSHYYLWYWSLWTMRNKRRHGGKAIPAVEWIKDTAFDLQH >Et_5B_043675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13923769:13926210:-1 gene:Et_5B_043675 transcript:Et_5B_043675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EPIIGVPGYDLVQGEPPACCIDLGSSDSVDAQSSIQEPTTARIASLKHFIIVSLKTDAHASSGAGTGDRRSRRGARTEKQVEVEAPTWFVLKITFLLLAVCFTAMLSLAFSSKNFVIVGHVLLLVTIGAVLFVLLNRFLAEVGLVPVEQQMQEIGIHKTEATDKDKRN >Et_7B_054958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:588129:591844:-1 gene:Et_7B_054958 transcript:Et_7B_054958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRNAGVLALFDVDGTLTAPRKVVTPEMLEFMKQLREHVTVGVVGGSDLVKITEQLGNSVLIDYDYVFSENGLVAHKNGELIGTQSLKSFLGEDKLKEFINFTLHYIADLEIPIKRGTFIEFRSGMINVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDHEIFESDRTIGHTVSPAGSGHNLRGYSNLHCFSHGAQSQVDQPNLPTEKDQKHQGLTHKSNLYQPLNQLGLIRTGIPAEMSDQQQLPLQ >Et_2B_021244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27865409:27866723:-1 gene:Et_2B_021244 transcript:Et_2B_021244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRNANSTLGLIDGVSPQEDSDNPLAYFAFYAATTAADGYHGFIATMDVYGFPLEKGQGSAAAVWIHDEGDGQLINLRSIMIGWDNDGFLSTGCMNMKCVGFQPEKGAKIAPGDVIDHVTFPRQKIKRNLNLKVIKDGPSGDWLVYCGLDQDPELIGRFPRSLFTGIFTEKATSISFGGVTIAPITKPTPMGSGYLPTDPKSAASISNIQFVDQNGHASPLTENLSKFETNSAAYAVSPIVNGQFFYGGHQKPTA >Et_10A_000082.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22559168:22561120:1 gene:Et_10A_000082 transcript:Et_10A_000082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKSVGSLLVGDRAKVGALKRLAQGSSKTAGRNSSGRITSFHRGGGAKRLHRNIDVKRGTSSVGVIDRVEYDPNRSSSIALVRWVQGVHFRRRRSNKPDHVEGISSSAADFSSTTANVSASFSLAAPFSSSAQPKVASSLLLSSLANNNNGDDATLTSPLPRIAVAGAKPTFFVAPPQGIISNGNGKQTFSLSEIHKWATDDALWAQRMKRQAALSWQNDLKKKKPSSLQSSLAPPSSNSSNQGSKAKVHHSVPVSYILASHQCTPGTTVMNCDPSKPPPKASRGSSANQYDVIDVNSKVGNCVPLANVRIGTWVHDIECRPGQGGKMVRAAGTFAKVVQEPGTHCVLRLPSGAEKVVDSKCRATIGIVSNPSHAARKLTKAGHSRWLGRRPVVRGVAMNPVDHPHGGGEGRTKGGRPSVSPWGKPTKAGYRSPTVASRKA >Et_4B_039860.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:4319814:4320305:-1 gene:Et_4B_039860 transcript:Et_4B_039860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAVVELELRMQLLGGGAYNINDNADLLAEILARLDGRSLAAAACVCRLWAAVARRDAVWEALCLRHVGPPAPAPGPATRAVVAALGGYRRLYRLCLGPALDRLGRVQAQARRAHLSLSLSLSLFSIDCYERLGGAPGGAAAGRQTPPSSLLFLCKPVDVS >Et_3B_030872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8125263:8128598:-1 gene:Et_3B_030872 transcript:Et_3B_030872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQGGYTGLMMEQIRNSQEETNWANIMEENMSYEGASRDSKLTELSKQNIFVQRNEETEPTMQRSEERRLSTQNDTAANLTTMIGHFQYIEQGKEVVNWENDFTSFQTEQAETSNSTAYETPFKETAPCSSRNTSNDNTNLHEESGNDGSSDEELTEEQIQDFLESERVAASEGNNAPIESRYVPQIGMKHIINSTSMAMLAGFEATISRVARTTSKKRNNEIYKVEIKCTKHGKGTEQTKPKENEEQQASASNQKNKGPKRRTNVLVRTYCPCVMVVTEKVVGVWTITRLVLDHNHELNSGSKSQGFGGHKNIFKKFQFELQLTANLSYEEIEEGKVFEVFQKKKTIYTKNTGTENTWFKLTLHQLHLLQVLEGWYPLFTYTENNSGNGHKLYTRKYIINRWRKKERKVNVQKYSENERTNDILRHNALSRRSCELT >Et_9B_065987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19309423:19310577:1 gene:Et_9B_065987 transcript:Et_9B_065987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGAAKYHGAMCESTWHLFRMWQYKAAPSAEQEEEVGFGAPGWHQDTNTNSVVGQHERRDGDWIRVNPASLVVMVGNALRAWTNDRLHAPSHRITISGDVTILRYPVLSPGLHDPGAP >Et_1A_007803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38460626:38465198:1 gene:Et_1A_007803 transcript:Et_1A_007803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVHFFPATSQTPCPTRLLKPSPKPPLPSPTLSTASFHCGGTCNAWRRPQLRLRRRVRSPAAPEDAPPVGPDGGGGGGSSGGGGGGEDEEEEGWKEEKKNGPLPEWMNVTTEDAKTVLAAVAISLAFRSFVAEPRFIPSLSMFPTFDVGDRIVAEKVTYYFRKPCVNDIIIFKSPPVLQEVGYTDNDVFIKRVVAREGDVVEICQYSNQSWVEDPTNKSMLYVRNRIRASLRNLSTEGTFLSGVHKLINACRLTRSCIDSMCSMLINQSVTILEVSPYALLRCEYGCAVIDLEKLDPINVGDLCLSQYLAYILQFVSQRHRPVRGRSARLLMNYIRSIPFKRFLNLMQAALTVAGCYLCAAPRSKGTKVLVCCSVDWMDSASVEMSYKCSYEEQPPPVPEVDQIVLEARLQSNYFIQKCSTIPFVYSKSSTDVLNKAKDLNIIDHFTFKNLCYLRTEENDKFIMKEQKHEEQDQEETISQDCNVLCLSPGETCHFMSRFLITWKAPEDVVSGICLHDTKEYISKNSVTNKDGSLVVRHMVDTDWLFLAEVSNTCSVEENLCDSKAYSSNSEINNVLQHTRYLQRSAQKALQILKSIPAAARRTLPVLTDSQGDIMCIPSIGFRSCPSLSIEAVFCPRVPLGGGYSSYI >Et_1B_013604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9928411:9929582:1 gene:Et_1B_013604 transcript:Et_1B_013604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMCTVAPSALPAGLGGGAAPSMCGHDEDYDAAAEMDVLLGDIDVVVHAHTGLTPDPSALPMSVKVAATTGSFAPLAVAHDDDDGPTTPVAELRAPFSYGDDDDDCCTCTSNFETEADPSEAYDGDIDATFRKMEKDPAEQPSGDYLWTTQEGKMTMADRAELVTWMYEFSRFGRFNLLPPGALHRAVSYIDRYLSANKIDFDAQDLRLLGAVAAFAAAKYEDCKTSWTRVNADTVARDVGRCTRRRDVVDLERDLVAALGYRLSGPTAYTFVDHFMRHDQDCSVVRSLAHHLADMTLLDYRCVPLMPSAVAAAAILVARRAVFDSAATLPQELWSEEMVKMTQPRTWPTSRTPSTRCTSWRACGQGALR >Et_4A_033884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27636272:27638890:-1 gene:Et_4A_033884 transcript:Et_4A_033884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSLVVPTDEDEHAAFDFMRSEAKRRLREEEEEEEELREEEEEEEEEEDRAAEKRAICAEINKIPWDDEAEEKYKEIVRHINRIYLPPIEGFDCDEDRIHKEIDHYLELLKGGLPGRDDLEFCVYKEPQRIELNQRLALYRIRAYEEELRNLEDAELRRKYPSLEDEHYFVLYENDFDWYFDPVYCKLASLQDYQRLVLRDHGEYEEWEYYRRTCNTLEGDQEFVQFWEDLQEETEYEARIAKIGGEVTEVTAHKLISDAVKKIILTHKTYYDYAKKKLDIAKEIGLIKPYESVHRVESGRVNDAR >Et_4B_038011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25433053:25436783:-1 gene:Et_4B_038011 transcript:Et_4B_038011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGDRPEGFGGWPFAAGADAFPEFSSVFAELGWPGGLAAGAGDLPMLDLPETAAPPAAELARPEEITAPTRSGDAGASSSSSGDGDGDQKPAAEAVSVKPAPATKKGQKRARQPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSEDPSVVITTYEGQHCHHIASFQRGAGAAHLHSAAAVALAEQLPFVPAQRRLYGLPSLRPQSSPSSETAITSALTALQHLNGSEELGRTSYNERVSMALSPSTPSSSSVPPAISVEKGLLDDIVPHGTYVPAYYLKSCPGRDRWMELKQIDQAVT >Et_10A_002029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19364133:19365793:-1 gene:Et_10A_002029 transcript:Et_10A_002029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHNSNGGSVSDEKRAVAPMEVSMEAGNAADADWLDDDGRPRRTGTLWTASSHIITAVIGSGVLSLAWAIAQLGWVAGPAAMLIFAFVTYYTATLLAECYRTGDPETGKRNYTYMDAVRSNLGGAKVTFCGVIQYANLVGVAIGYTIASSISMQAIRRAGCFHDKGHGVPCKSSSNPYMILFGLVQILFSQIPDFDQIWWLSIVAAVMSFTYSSIGLGLGIAQTISNGAIKGSLTGISVGATVTSTQKIWRSLQAFGDIAFAYSFSNILIEIQLINLAGD >Et_1A_007797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38378465:38381868:-1 gene:Et_1A_007797 transcript:Et_1A_007797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLALGDLHIPHRAADLPAKFKSMLVPGKIQHIICTGNLCNKEVHDYLKSLCPDLHITRGEYDEDARYPETKTLTIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTATMHA >Et_2B_019628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11381837:11389832:-1 gene:Et_2B_019628 transcript:Et_2B_019628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVLLTSSEAVLSALPSALLAEAQMLRDRELSRYRARGSLFGGSYRIGGRRLPADNQTVIDRAVGVTVGRRVISAAPGNSKVKDVEGTPLLDSDALEALIRLLQLAPPLSKGLLQRLLFNLCAHSVTRITLVGHLLNMLKPETVGVSKSECMPTYRLHGCQSNIVYAQPHCMNGLPPLVTRRLLEILTYLSSSHPSVADLLVHFNPSSCSNCLTSKENPALDIQPSSESYTPILLFLKLLNKPLFLRSRVYLEQLMCLLEVVVNNAASKVDYPPQPAQIANNSDVELVNGAPSEAQAEPSTLEQLHIQENDQNRDVQVPVSDARQDAKVHDILTQLPAIELQNLCNILALEGLPDKVYSLAAEVVKKLASVAASHRKFFSIELAGVAQRLSSSAIEELVTLKNTQMLGLNTCSMAGAAILRVLQVLSTLTRDVIDTGHEQDAGQEEQSVLWDLNVGLEPLWQQLSDCISATEAKLVHNSTFISPAPLVDALEVGASSSTSPPLPPGTQRLLPFIESFFVLCEKIHTNQAAVQSDNNVTATEVKEFSASSSSPSQKTGGICNVTFMRVAEKHRRLLNVFIRQNPSLLEKSLSMMLKVPRLIDFDNKRAYFRSRIRQQHDQHLSAPLRISVRRAYVLEDSYNQLRLRRSQDLKGRLTVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTIGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKAVFDGQLLDVHFTRSFYKHILGAKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDPDEEKHILYEKTEVTDYELKPGGRNIRVTEETKQEYVDLVAEHILTTAIRPQINAFLEGFTELVPRELISLFNDKELELLISGLPEIDLNDLKANAEYIGYSAASPVIQWFWEVVKAFSKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPDRLPSAHTCFNQLDLPEYTSKEQLEERLLLAIHEASEEWTKLMYNVFELASQLYILKAIVMVVTETHGSIDRADPVHHA >Et_9B_066142.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:4779657:4779950:1 gene:Et_9B_066142 transcript:Et_9B_066142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAASASVCSTAYHHLSTTTPAAADDDGGDNNCGAQQATPTAQQQQLARRRRKQAGGCAGLRRRCYAVLKQQRTRLYILRRCVTMLLCWHEHDLSD >Et_10A_001901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10494311:10498588:-1 gene:Et_10A_001901 transcript:Et_10A_001901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGEVAMCTPAFVRRVIQSRWFVVFASIIVMSASGSTYIFALYSKELRSRLGYNQETLNKLSFFKDLGTNVGIISGLVQQVAPTWAVLLIGAGMNLAGYLMIYLSLTGRVGKPSVGLMSFYICFGANALTFSNTGALVACVKNSPESRGIVIGLLKSFVGLSGAIYTQLYQAIYGDDATSLVLLVAWLPAAFNIFTVYTIRVLPYARRSGGGAAYNKPFYHFLYLSMALAGYLLVMIVVQKQVQFTHAAFVVTSTVLLIILFSPISVVVREEYKAASQLQQTLQQPPAIAVEQPTAAPEKQDAIDDESSPPLCGGGGGCGWLTNMFKPPALGEDYSIMQALVSVEMIMLFIISVFGIGGTLTAIDNMAQIGQSLGYPAKSINTFVSLISIWNYAGRAGAGYISEYLLARYRFPRPLALTAVLLVSCVGHLLIAFGVPQSLYAASVIIGFCFGAQWPLLFSIISEVFGLKYYSSLFNFGSAASPAGAYVLNVIVTGRMYDAEAARQHGGVAVVGDKICKGVMCFKHAFLIITGVTFGGVLVSLMLVWRTRNFYRGDIYAKFKVAPTATTDGSSSNGGVEMAKETEEKSKKKEVNIYKTISGTVSARANKIAFRQNP >Et_9A_063314.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19816768:19818627:-1 gene:Et_9A_063314 transcript:Et_9A_063314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAKREASCSIKKTLKYFIRVSWRCASEHRALISLALLLYLLYKSSPGVFAFLLTSSPVIICTTILLGALLSYGIKDLPEMNQGEKAPSDNSAAKFGCSSKNVHVESFERPSVPAVKENIIREASFGRRNSNKHFELDESVPLLKGGCQRDERVDAGHRLEKRLDSIPSTETLKQQVSMEVYMKADAEKESKDTFSSKHKEDEYANLVGDVHQNEADGKGTTVNLSQSGESEDISEHKAADGEAGKRRWGRAFSVRQRFPKLADTKVEAVNSAEDNQLDYSLYSQFTRAISHDCSSGFDPDNAERDSTDVSMANTVPVPDATETQPLLGADFSCSVPTNNDNSDNHSNVCSQDSETDSDSNDVADSSKAKEDGEEKKAPGNEPAFLWTADDEKNAMDLGYSEIERNRRLEILMAKRKSRKHMSFEVDGSDVSSFRPQALAISARRLNPFADDAEVPGSAPSILHSRKNPFAFLAEQSDNSGVLARDNINPQEFLPVSQQDTKFKRHETFNLGRPQRHVPRFKPCFVLEEFKFDEASTSNFQRQFSDRSVSKLSVVSECDTVSTVGDQEHNELIRNYIRGVRESPSLLRQDSDNVYAGSECSDGIVFGDNEALNAVIC >Et_9B_065639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8490181:8494344:1 gene:Et_9B_065639 transcript:Et_9B_065639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLEASNGPSCKHTKPERTKPTTTPTLPVDADSAASSPLPAQQQALPLPMAADWSWARRAWEKWVAKHVGPSGKPVRAALLLNYDPSGPSRLLPVIAEQEGTELKAIDMQPFLDFVKRGNLQMEFFPMGLNQYLVTSIHEHWFCARCVNSTKPGGEGVIPHKQWELLISFHGTLTGELTNLCLVYFNIQAPSAFLQIHTGLVLGGENSSSVYLLFKGVCSEERVVMVHAAAFHAAWSDRWEGVANAESTASS >Et_7B_055706.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:23119338:23119772:1 gene:Et_7B_055706 transcript:Et_7B_055706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPQSLFLLLPSPLHVPSVPRLSAPCASSLSALAARRFPRLAASISPPPLAASPPPPGGFGDGGIGGGGDVGGGKGPPDPGDGWRRWLDGLRPEHLVVLLMLLQSGAAAALAEALGAGGGDDPVVWEVRRGTRTPLVPDPTGT >Et_9B_064947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:223727:230733:-1 gene:Et_9B_064947 transcript:Et_9B_064947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKRGGGAGGSGESSGEPSGQRSERTQQHGGGRGWVPQQGGRGGGQYQGRGGYQGRGGPPSQHPGGGPPEYQPREYQGRGGPPSQHPGGGPPDYQPREYQGRGGPRPRGGGMPQPYYGGHRGGGAGQSVPPGPPRSVPELHQAPSVQYQPPMVSTPPSGAGSSSQPVAELSTGQVQQQFQQLAICGQSSTSQAIQAPPASSKSVRFPLRPGKGTYGDRCIVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMGELVRIYRQSHLNGRLPAYDGRKSLYTAGPLPFTSKTFEITLQDEEDSLSGAQGGQRRERVFKVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTARYSPVGRSFYSPNLGRRQRLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPVDDRGTVKTVVQYFLETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILQTVHHNAYHEDPYAQEFGIRIDERLASVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVSNWTCINFSRNVQDSAARNFCHELAFMCQISGMDFAPEPVLPPLSARPEHVERALKARYQEAMNILRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPQRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMATSRGPPGGARTSRVPGSVAVRPLPALKENVKRVIVLAAAEEIRSMRGSTAWRSTYGANGANDRDDEYGGTLEKRCRFALEVVAAVADEMLTKLLAHFLKS >Et_3B_030782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7302521:7305692:1 gene:Et_3B_030782 transcript:Et_3B_030782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTTGVEPARGGASPRVGGGALPLASLNHISVVCRSLASSLSFYRDVLGFVQIRRPGSLNFDGAWLFNHGIGIHLLQAEDPASMPPVKAEINPKDNHISFQAESMEAVQRRLKELGIKYEQRRVEEGGLFVDQIFFHDPDGFMIEVCTCDNLPVVPLLPEGYATLGMAQPAPAPACKRPAAAAALKQTPLPQLPAVPAAAPAPPAQCVPAMASGGSFVGEVETSIPACAMRSCPEHACMQV >Et_3A_023985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15694375:15699733:1 gene:Et_3A_023985 transcript:Et_3A_023985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSQTHQTTTSIIHAQVLAKTFIHPSLLELTLLSAPVQCQYLTSGTSLGRSVKTFTYEALNNIARFINGISALLLTLLPGKGNILEGISGWELKPALRGPRLPRWMESGVSSFNEFIHELSVDSDAESVADSMPGDEDNEEFVCPPSPLSQSSRLSHATSFGRRDRRMRRHVRYAVSWILWPLRFFLSLLFVLFNAIKYWIVRTPAKSTESAGSPHLSRTGPAKRSFHIRDQFLQRTTDRRRGVFEDLHLAIEIFIESVFDIVHKGAHYVFSPSEVWQKLFSWIHGSGRESSSVVDVPTANVGSDNPVPTEKKAVYRHSLNTDSRTCEDVITELGYPFEAIKVVTSDGYVVLLERIPRRDSRKVVLLQHGIMDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNLRGLVSREHIDKNISSYKYWGYSVNEHGTKDMPAIIEEIHKIKTSELGKSQTLSGEETEVQNGAKKNLEAQASQENRTEDQPYKLCAVCHSLGGAVMLMYVVTSRIFQKPHRLSRLILLSPAGFHEDSNLVFTMVEKLVLLVGPVLAPLIPGLYIPTRFFRMLLNKLARDFHNYPALGGLVQTFMGYIVGGDSSNWVGVLGLPHYNMDDMPGVSFRVALHLAQIKRTKKFQMYDYGTAAANMEAYGSPEPLDLGAHYGLIDIPVDLVAGQRDRVISPSMVKKHYKLMRKAGVEVSYNEFEYAHLDFTFSHREELLSYVMSRLLLVSDPGKGRVKQTTVRLRKPKKVQSEIEDDCDRGEGKEEPNEPTGDSA >Et_9B_066101.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:3746079:3747323:-1 gene:Et_9B_066101 transcript:Et_9B_066101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGTAAVEALIPVAALIGIAFAVVQWYVVSRVPVRSRDDDDDAAARGKGMLVGGRGGGSEVVEEEDDEEEGVDGRAAEARCAEIQEAISIGATSFLLTEYRYLAAFTAAFAAVIFVFLGSVNRFSPRGEPCAYDPTRECRPALANAAFSSVAFLLGALTSVLSGYLGMRVATFANARAALEARRGVGPAFAVAFRSGAAMGFLLASSALLVLYAAVNLFGLYYGDDWGGLYESVTGYGLGGSSVALFGRVGGGIYTKAADVGADLVGKVERGIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISSFGADHDFAAMMYPLLVSAVGVLVCVATTVVATDVNVVGDGDDVKAVGPALKRQILISTVLMTGAVAGVTFLALPKQFTIFDFGHVKVVKNW >Et_8B_060157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6542798:6544863:-1 gene:Et_8B_060157 transcript:Et_8B_060157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSPLCAAALALVMLLIGEASAAGNGGKGLDYRKALHSSLLYFEAQRSGHLPYNQRVRWRGHSGLADGLQEGVDLVGGYYDAGDNVKFGLPMAFTVTMLSWSAIEFGDEIAAAGERRHVLEAIKWGTDYFIKAHAEPDVLWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRDNPGSDLVGETAAAMAAASIVFRRSDPHYSHLLLYHAQQLFEFGDKYRGKYDSSVAEARRYYGSVSGYGDEMLWAALWLHRATGRAEYLDYAVDMADEFGGTGWAITEFSWDLLLEGNHRPEHQATLEQYKSKAEHYLCACLGKNGAAGNVNRTAGGMLFVRQWNNMQYVTNAAFLHTVYARYLSSNSGEPPLLNCPDGPARAGELLALARTQADYVLGANPAGVSYMVGHGRRFPRRVHHRAASIVSHRVDGRFIGCVQGYDHWYRRPGANPNVVVGAIVGGPDHRDRFRDQRGNYEQTEACTYNTAPMVGVFAHLHSEAAAARRLRRK >Et_3A_025149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27311956:27315424:-1 gene:Et_3A_025149 transcript:Et_3A_025149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGGAGAALFSEEELREMSGVRKGEDFVEMTCGCTSHRYGDAVGRLRVYATGELEVNCECTPGCHEDKLTPSAFEKHSGRETAGKWRNTVWVMVQGEKVPLSKTALLKYYNVAHKSTNGSHKGRNGRPTHRDEFIRCTSCCKERRFRLRSKEECRVYHDALAKVNWTCADLPTDWVSCGDEEERASRKVLRGCSRATSCSGCMKCVCFGCETCRFKDCGCQTCVDFYRNSKE >Et_3B_028427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15187695:15189557:1 gene:Et_3B_028427 transcript:Et_3B_028427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSARCRGIASACARTRQERTRVPVPTRGVSVGVGAGSGVGLLFLILGAAFLTRKIKHQRARLLKQKFFKQHRGHLLQQLVSRKADVAERMIIPLAELEKATNNFDNARELGGGGRPRCLIVYEFIPNGTLYHHLHVEGPTSLPWADRLRIATETARAISYLHMDVSFPIIHKDIKSHNILLNGSLTTNLSDFGASRCILGNWHNNCYPRNFRILRPNSDVYSFGVVLIELLTRKKPYSYRCRRGHRDVPSKGMLQDWLESGRRATNADLARSNLNPFFLLSILGCTGCINKYAVFKELTLNARETNFYF >Et_4B_039897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5488278:5492193:-1 gene:Et_4B_039897 transcript:Et_4B_039897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRIGKRWPDTSRGSPQKKGQTPANSGHRAIKAARIEISSAPPIVESMDDGNGKRFLGEHLSDAQIAFTECFVGNNSKERPDSSSNSELPASEPTCASEDNQLIVRKSGTVLVDVKLEPALEGHELDSSGSPQGTPLHPPKSFGLEGGTSILGCVSKNSENEMLHQNGDFVENAADICKSTEASSQDNHCERSICNYLGDYAYRSDMCRDSIEKALDEDAPGLLQILINKGIMAEEIKLYGAGEDDEMLPDSTENNFEDLENVITKLFPQRTSLLKLSVARHEKGEKAIYCLSCLLSLIEQSRYLQFRDCPVEWGWCRDLQSFIFVFRSHNRIVLERPEYGYATYFFEVVQSLPIEWQVRRLVIAMKLSGCGRTALIENKQLLVGEDLTEGEAQVLEEYGWIRNCGLGTMLNYRDRVVHDRWTERSVTDWRTKIGKLLMAGYSEGQTITTQLPKKLGDLLEDTGDIEIDVKLEDPF >Et_6A_047840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2066432:2067273:1 gene:Et_6A_047840 transcript:Et_6A_047840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKTTTTTTPKRLATLVGCNYARTPHELRGCINDVLGMRDLLVARFGFAPADVAVLTDDARGGAGVLPTGANIKRALARWGRARRTGTGHGTLVPPVMPEHRGRRGQDEAIVPCDFNLLTDVDFRAVVDRVPRSASLTMVSDSCHSGGLIDNEKEQIGPSSVADLAASNARTINRARFIPYGALVEHLAGASGVDASQHAADHLVALFVADASAKFHHKHHKHRHDSSPPAPRLDDSGILLSGCQTDETSAARRAARSATRFRPFWPRTRRR >Et_8A_056332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23271237:23271623:-1 gene:Et_8A_056332 transcript:Et_8A_056332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVSLNVQEGIGLIWKLRKGERRNAGRRGRKRKSVDVSTKRNVRHARPKGRGCARELAVLGQQVLMYLERENIPVALNRHLVVLVYMKFISVRRVRSIIVKVDYFIFM >Et_1B_013639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10404055:10406717:-1 gene:Et_1B_013639 transcript:Et_1B_013639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGFMVVVVVYGLEQRWSSHHWELRGLLKGESGESGRIHPLTKMLPYFDPEYENFNQRINPPRVCIDNSTCSDCTLVKVDSMNKNGILLEVLQVLSDLDLHISKAYITSDGGWFMDVFHVVDKQGQKVTDEKTIKYIEKALGPESNLLGANKGSGSPGRSVGLHSIGDHTAIELKGPDRTGLLSEIFAVLAELQCNVLAAEMWTHRTRVACVVYVNDVATGQAIGDPRRLSRIEDRLRHVLRGYGGGDALGALANFAVGTTSHVDRRLHQLMHADVDVDDGAAAQAGQGEGDRPEVTVEHCVEKSYSVVNVKCRDRSKLLYDIVCTLTDMEYVVFHAAVTSEASYGVQELYIRRQDGKTLFKDEAEKVIRCLEAAISRRVCEFKQRVCPALLCHNTFCSTDTCNNAMVQGFTLELCGRDRVGLLSDVTRVLREHGLTVTRADVTTVGGQAMNVFYVRDPSGKPVDMKTIEGLRGEVGQTVMLSVKSVPAATAKAPDPAAGMSKTSFFSFGSLFAKLRA >Et_5A_041788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2854325:2855072:-1 gene:Et_5A_041788 transcript:Et_5A_041788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTIGDTVPNLELDSTHGRIRIHDFIGDGYVIIFSHPADFTPVCTTEMAAMAAYAAEFEKRGVKLLGISCDDVESHKKWIKDIEAYKPGTKLTYPIMADPDHEEAKKLFPQGFTTTDLPSKKGYLRFTKV >Et_4B_038135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26587150:26590414:-1 gene:Et_4B_038135 transcript:Et_4B_038135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRAPPPPPLVGSARLGFGSGLRLAFHRVLKSRGLSTTRAMKSYRLSELSYAEVTGLKARPRIDFSSIFGTVNPIVEDVRVRGDAAVKDYTEKFDKVTLDDVVVRVSDLPDVELDPAVKEAFDVAYDNIYAFHVAQKLPESTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCHIIVLATPPSRDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVIAGDGVDLGAIEAEVSKQCNALPRGEFASKALSHSFTVFAKDMIEAISFSNLYAPEHLIINVNDAEKWEVLIENAGSVFLGPWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTEEGLRRLGPYVAKMAEVEGLEAHKRAVTLRLQEMEANVTV >Et_10A_000282.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21003115:21003261:-1 gene:Et_10A_000282 transcript:Et_10A_000282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSARAASQATQSFAIITTIACCSHATSARPAVATGPKVAPSATSQ >Et_7A_052255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6147196:6147838:-1 gene:Et_7A_052255 transcript:Et_7A_052255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYVLFSRGEEQMKTREAIVRCEEQEEEDIGCPSSGSSSGSTSASDEVDLADDASSSGSAAHFEMASLMTQLPIKRGLSRFFDGKSQSFASLAAVGGLEDLPKPPAKRLKTSRSCGVGLKDAHRGRLSAAGKKARLSSSAAAAAARRVVMRTRAPVTATPGAVAGQPLLFA >Et_9B_064118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11378545:11381034:-1 gene:Et_9B_064118 transcript:Et_9B_064118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHISSLLHGLARSLSVGKEKKGDGDGKGAAAAAVLRSSGTLCGEGSETFAAVCSRRGEKGINQDCSIVWEGFGCQDDTIFCGIFDGHGPDKAAKRLVECAVRAWRRKRRGIAVDDCSAVCLFFHSPPS >Et_3B_031712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8593008:8594987:1 gene:Et_3B_031712 transcript:Et_3B_031712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRSALPMLCRRSCGTSGRRLGIHPVFHPAAPRTPPMPPVVRPAAVRNLEVRRITSEVPFSIPVGSKRYVKNVMEADRAKSLCDLYYGK >Et_10B_004460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8255506:8256874:-1 gene:Et_10B_004460 transcript:Et_10B_004460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKESINYELTSMMTRAYEDGTSWGRDVGWVYNIATEDVVTGFRMHGQGWRSMYCSMEPAAIRGTAPINLTERLLQLLRWTAGSLEMFFSHNIAFLAGPRMHHLQRIAYLHISTFPIVTLFIIAYNLFSVMWLISEKFYMQRPFNTYMLYLITIIAMKLVIAIIEVKWAGFAMLDWFRNEQLYMIASTELQG >Et_3A_023402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25851145:25852350:-1 gene:Et_3A_023402 transcript:Et_3A_023402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNAALESGLARALAAYPEWAGRLGVDAAGNRAILLNDAGARLVEATADVTLHSVLPLRPTPEVTRLHPSAADDDGAAEEVMLVQLTRFACGSLAVGFTAHHLVSDGRATSNFFVAWSQATRGAAIDPVPVHDRASFFKPRVPPQVEFEHRGVEFKPARQAKKKKQADSRNDDDDVHFSREFISKLKAQASPPAGAHRPCSTVRCVTAHLWRCVTAARGLGGHVATSVSIAVDGRARMTPRVPDGYTGNVVLWARPTATARELVARPLRHAVELIDRELARINEAYFRSFVDFASSGAVEKEGLVPTADAAEMPSYMPVEGLLILVPSYFGDGSVDAYVPLFSRHMDAFKNCCYSLQD >Et_7B_054823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4676676:4681844:-1 gene:Et_7B_054823 transcript:Et_7B_054823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALEFLEAQRATRPELAEWYVALADLYQRKLWHQLTLKLDQFLALAVVQAGDALIQMYNHFISDFETKINLLKFAHFTVVISRQYLDKDAGINYLEGVISKLQDTQESRVEEPILYVNMQIATFHLEKGNQKECKKLLEEGKTALDSMVDVDPSVHASYFWICSQYHKACQDYSEFYKSALLYLAYTTVESLPEPFKQNLAFDLSFAALLGENIYNFGELLAHPIIHSLSGTQVEWIYHMLQAFNSGNLALYQELCKTHNAALSSQPALVQSKRRLHEKINVLCLMDIIFSRSSHDRTIPLSAIAERTRLSIEDVEYLLMKSLSVPLKSPIYTVPTAHNLSKMLRQARSPISSPRTRCLLDDNWKRVWGDADVAVHLQVRAVPVARGVRRDGLLRGGRETLKFNTGRLPVCQNTVTSWFRNQTAVVRVVPFDDNLNFHKVIAVGAGLHVTRLPPVHVPAAAPRQRRGVRTGVLWHAEPTLNNYVWPPV >Et_2A_017006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30055309:30058570:1 gene:Et_2A_017006 transcript:Et_2A_017006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFLSPSSPLRPRFLLLSNPPANLSFLAMSAAAPSSSSRPVRGAAVPVPYIGTDEAGAAAEAAFQRHTSPNLRRSGAGVAVVWFRNDLRVLDNDALLRAWASSEAVLPVYCVDPRVFGGSTHFFGFPKTGALRAQFLIECLGDLKQNLRKKGLDLLVRHGKPEEILPAIAKSVGAHSVYAHKETCSEELLVERLVFKGLERVVITQGGASDQKKPPSPKLQLIWGTTMYHIDDLPFTVNNLPDVYTQFRKAVESKSSVRNCSKLPPSLGPLPSSGLDEIGGWGTIPRLESLGLSVTKSEKGMHFIGGENAALGRVHEYFWKKDQLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRYICEEVKRYEKQRVANDSTYWVLFELIWRDYFRFLSKKYGNSIFHIGGPRKVVSKWSQDQALFESWRDGRTGYPLIDANMRELSATGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPASNYGNWTYGAGVGNDPREDRYFSIPKQAKTYDPEGEYVAYWLPELRSIVKERRNFPGASYIRQIIPLKFDSGHQKKDQQFNRQRRPNQMYRR >Et_1B_013547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9406148:9410935:1 gene:Et_1B_013547 transcript:Et_1B_013547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PKTSGSPGLRACINTQSRAFPQHTGRKEVSSPVPRSVPSPGHGRLCGASTARQPCAGLAEAVGRGGRRHRRVPTSAGPASSQLVLRPGIHRPFLVPSPSGRDASDGDEEEHHHDWRNLYDVRRLHLGVELAAHDPRDEGTADAWVKRSPSLIRLTGKHPFNGEPPTPLLMRHGFVTPAPLHYVRNHGAVPKADWSTWTVDVAGLVRRPAVLTMDDLVRGFPAVEIPVTLVCSSSRRKEQNMVRPTTGFNWGPGATSVWRGAWLRDVLRRCGVLPRSGGAMHVRFEGADELPGGGRGATYGTSIRREWAMDPTMDVMLAYMQNGAPLLPDHGFPVRVVVPGCTAGRMVKWLRQLLPLPGQPLPAVPRGRRARRRPRYRWWYKPEYVINEMNTNSVITTPAHDEILSINAITTQRTYTVKGFAYSGGGKKVTRVELTLDGGKTWLLCELNHPEKPNKYGKHWCWCFWSVDVEVSDLLGSKEMAVRAWDQTLNTQPENLTWNVMGMMTNCWFKVRMNVCRPRKGEIGLVFVHLVQPGNQPGGWMARQKHLEIAAEAAAPALGIRRSTSSATLTNAAANVDADKQRFTMSEVRTHASRDSAWIVVHGHVYDCTSYLKDHPGGADSILLNAGTDCSEELDAIHSDKAKALLDAYHIGDLHLDALPVGSYVDVKGPRGRVEYAGRRRPVVARRLAMVAGGSGITPVYQVIRAVLSDQPGDATEMHLVYANRSEDDILLRDELDRWAAEYPDRLKVWYVIGHVKRPEEGWKYSVGLVTEDVLREHLPEGGDGETLALVCGPPAMIEFAVSPNLEKMKYDRVQICHYLLSCIAIRSIACPNNAVRVSK >Et_10A_000541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12350709:12351505:1 gene:Et_10A_000541 transcript:Et_10A_000541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLLLQLADDPSLEVPINRDGVKAFTLDLIAGTDSTAVTIEWVMSELLKNPHTLGKVTEELDRVIGCRRLVIDEDIPSLPYLEAAVKEAMRLHPVGPLLMPRLSREDTTLDGYVIPAGTREFRPERFLGSSMDVKGQDFELLPFGSGRRMCPGISLGLKMVHVTLANLLHGFGWRLPNGMTAEELSMDTYGLSMPRKIPLEVVPKAKLQAHLLYD >Et_2A_014559.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:22797015:22798852:1 gene:Et_2A_014559 transcript:Et_2A_014559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTLPACVVAALATIPVLYAFQRYRRRLPPGPSGVYLIKYAWDFSWAFNRHRVLAKLAKDYGPIASFGTSMSRIIVVVSSPAAAREALAENDAGLADRLMPDSARALSHFSGSPLFLPSSDAKWRLYRSIIRDHITSGQSLGQSRHIRELHARQLAQHFRARSGQLVTVGVPMFGTVLNAMCSILFSRNDVVDLGEQGQQKLKELLVELTAVSTKSNISDALPFLADRDLFGLPRSFGDCLDKLYKFLDEKFIEPRLASGENHGDVLDAIREQYAMSRITRPDITKFFTVFLTPRTLIIKHVFTCSQLSVAV >Et_1B_010174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27966515:27966970:1 gene:Et_1B_010174 transcript:Et_1B_010174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSRSAERVLVSTLVLLLLATTGAAASNGDAASAGNVTAIVPAVGNGPDSYTCYVCAGRNIMMMKWCPIYWDECHLNCGSASSSATTTTTSPVPDAGRGLVSAVLPGGTLGDAGDDDDCYQSRVGTVQHINGLFLKN >Et_1A_008865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16534975:16538243:-1 gene:Et_1A_008865 transcript:Et_1A_008865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPPLPSGSSPAPAGEVDRSSSSAPRRLPSTLTLPPPPASRSRGARRPTAAGSGRRGGKRKAVAPVSADGSVGSAKDRLAEAVRVVGTDVDPGVAGADILELAMAKGAMFAWLSYWPEQGYPKEDHPSSSSSFGTLALITVKKENTWVCFAPLWSPEPETSQYTLTSEDGSDVGKGIG >Et_9B_064142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11711017:11715172:-1 gene:Et_9B_064142 transcript:Et_9B_064142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPSPSLARPAYCSCVTRSLTLDHPTAEQPLPSSDGAVAGGFGRAPATSSARTARATISAVQMREGRASPAPASLPDNDDMLLEILLRLPPLPSSLARASLVCKRWRRLLSDPQFIRRFRAHHRTPPLLGYFYEIFTEPFFVPMLDPPNHIPSARFSLPEPTSKSWTFLGCRHGLAAFLDVTLMEAVVWEPVTGSQCRIALPQEVKFDKDHYIFNGAVLSATGKYGDGYAHSNRQISPFKLVLLFNNGEENVACVSIYESESRKWGNVSSIAIPARHHLFDPAVLVGNALFWFLCWGGDILEFDLDTQSLAMIQRPENAHFTGESSFYVLGTDGGIRLASLWRRIANSNGTIRWALQKTVELDRLLSVRPSRTRILGFDEDNSVIFLLMDGDIFTIKLESMQFEKHPLKCCIARYYPYRSFYAAELVVAFQNSEIAKSNLFGVPGAVDRVGVIFSGGFGSRPTYM >Et_4B_036745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11154691:11165103:1 gene:Et_4B_036745 transcript:Et_4B_036745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPPPAVSASSLECVSSCRAASWKGGGRPYECSVLSCAWNAPRALTGALASTTQCSSCSHAEAGGGWRRRGRSRRSNNSLLHTMDEDINKGKLVYGPSAVYCGSFVRSWFTPVDLTWRAYCYSSSESFNISPETLWQDLKPAVSYLQPEELNYVYDALKLAYEAHNGQKRRSGEPFIIHPVEVARILGEHELDWESIAAGLLHDTVEDTDVVTFERIENEFGATVCRIVEGETKVSKLGKLQCKSEGSSKQDLKAEDLRQMFLAMTEEVRVVIVKLADRLHNMRTLSHMPQHKQYAIAMETLQVFAPLAKLLGMYRIKSELEYLSFMYVNPTGFVELRKRVEDLYKAHEQELEEANKILRQKIAEDQFLDLVSVETEVRSVYKELYSICKTTLKSKSSINELRIIIKPKSCNGVGPLCTSQQICYHVLGLVHGIWTPIPQAVKDYIATPKPNGYQSLHTTVIPFLNESMFHLEVQIRTEDMDLIAERGIAAHYSGRGLVSGPVRPGISSGRNSKGKVICLNNTGFALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPKGEIKNLPKGATVVDYAYLIHTEIGNKMIAAKVNGNLVSPVHVLANAEVVEIITYDKLSSKYAFQRHQQWLQHAKTRNAVNNFVADLEDESDSELSLPSTKNEDFNFNWEKILSSNKLSFVNNSNGFLPVNNVHPKVNGKQNKTMKELGIKINGHSTIRGDSFSQFMQTDNSTCKEVFPGLDHWKSGKISAWHNVEGNSIQWLCIACVDRKGMMAEVTSALTACGITICSCVAEVNKRRGMGVMLFHFEGSHENVVSACSSVDMILGVLGWSVGCSWCPLGVLEC >Et_4A_033707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2665612:2681756:-1 gene:Et_4A_033707 transcript:Et_4A_033707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYEYLEKTVEAFGAPANGAAPSGSEEKDTEKERSSRRRSSGSRGDEERDEVERRSKRSRSEEGRDRDRDKERHRDRERHRSSRERRYRDRDDKEKEREREKDKERKSRDREREKEKEREREKDRERRSRSRSERRRDDEERDRYRDRQYRDRDVRCRKEEAAEPEVDPERDQRTVFAYQLALKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQPLMVKPSEAEKNLVLSNASSSAAASGGARKLYVGNLHANINEEQLRQVFEPFGQVELVQLPLDPLTGLCKGFGFFARLEDAKAAQSLNGQLDIAGRVIKVSAVTDQAGVQVSGATTGDLDDDEGGGLALNASSRALLMQKLDRSGTATSLTGGMGVTGLSSPVAIPTVSVLGAPPAVAPALHPTVPGLSLIPGGTVPVTAQSIEVAPPSECLLLKNMFDPAVETDPDFDLDIRDDVQDECSKFGNLKHIFVDKDTAGFVYLRFDSITAAMGAQKALHGRWFAGKMITATFMSPQQYEMKFPKHKTINTI >Et_9A_061418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11357738:11363764:-1 gene:Et_9A_061418 transcript:Et_9A_061418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEGLVPITRAYLARYYDKYPLPPLPDAAVDLAARLRALSADLAAAVAPATPDEELMEQEAAGIPAHKIDENMWKNREQMEEILFLLNESRRPVALQQRSAPEDVEIVSILDDIENKLKDMLMKLEQFQLKNADNVFNTVMTYMPQDFRGTLIRQQRERSERNKQAEVDALVSAGGSIRDRYALLWKQQMDRRVQLAQLGSATGVYKTLVRYLVGVPQVLLDFIRQINDDNGPMEEQRERYGPALYTLTKLVLAIRLYLHVSLARYGQKKIQKDDIDVLQQAVVIYTEELEKFTKFIGEVFVNAPFFISAEDAGAGDARKSDEYKETIIPAGKTHEVILSVEAVNSYIAWDFSLQQGALSMVLDIGFHVEYISPSGEKTLILPYRRYEADQGNFCTVSAGSYKLVWDNSYSSFFKKSLRYKVDAVPPVVEPAVPAVEP >Et_3B_030259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31884089:31885925:1 gene:Et_3B_030259 transcript:Et_3B_030259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEDGTSREVAEVGDQSQTPLIGEKDELKGPKPDDAKKLIQFMETRYEEFVAGVQSFDEFYHAIFELIEMFCEERGQFQYKIPEKKTLLDAYNKHHKSQGELKKEEFVAITRDVVGLNSFTFGKAAVEFAMFLFGAPLCAVVAKRILPGLGWLSDDVVIPLATSGSVAYLIKSKRL >Et_4A_032251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26388170:26389288:1 gene:Et_4A_032251 transcript:Et_4A_032251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQRHLYLVLDDWECGYSIREVDLSSDDPGPLLIPAGDARDGAMSYTAEQPLPPPIFRFEAQRKMPFYFAAAFDSKIVALQPMPLAHLVPVFDVRQRSLIFGPRPMLDRSVPIYIPVGNMLFALFDGSFGMLGPPPHVVPNLEGWEWSWCEPPKPPFKIKHVASHAVHPNGGTIFVSRKKHSLYTTFTFDTITTKCGRAHFDHELNAWVGLPRHPGAFGHLCSCDVVSASSTFDEHGPAFKLTKEMLFSEDPKEVHVGATLVYMGGRSEFCLVECVRLKDGSVAEVSNDSADEMDEDVPQQVTLLRLTTFSLKYDKKGALTTGDSRRVWYYSVSNSVSVSILKHPVAFWM >Et_3B_030153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3101514:3106695:1 gene:Et_3B_030153 transcript:Et_3B_030153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPSSAAAAAAGGRGPAHHRTRLLLLLLAAVAASASTAGFLLRGALRDPCDARRDSAALTASSEAGSPLGFMRSKLVLLVSHELSLSGGPLLLMELAFLLRHVGSQVVWITNQRSEETNDVTHSLEHKMLSHGVQVLPARGQEAVDAARKADLVILNTAVAGKWLDPVLKDHVPEVLPKILWWIHEMRGHYFKLEYVKHLPFVAGSMIDSHTTAEYWKSRTSDRLKIQMPKTYVVHLGNSKELMEIAEDNVARRVLREHVRESLGVRSEDLLFAIINSVSRGKGQDLFLQAFYQSLQLIQQQKLKVPVMHAVVVGSDMNAQTKFETQLREFVVKNGIRDRVHFVNKTLAVAPYLAAIDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTTEIVVDGSTGLLHPAGKEGVSPLAKNIARLASHAEQRVTLGKKGYDRVKERFMEHHMAERIAAVLKEVLKKSRERSHS >Et_4A_034670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5294799:5295182:1 gene:Et_4A_034670 transcript:Et_4A_034670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGEVDVAGHLAARPSGGWRSHRCGGCRRCGESYNRVDIILCAWSRGWNHKARSIRRGVEDAGAAVGVRDAGARRHGGSGIGFIGAIFSSSSGVD >Et_3A_023675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10716247:10719288:-1 gene:Et_3A_023675 transcript:Et_3A_023675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEETTQGSKAAAPPTTIRLLDEVKRTRGERVEDGTAQRDKPLFQILQENKEKKDAEFNERFKHRPPKALDEDETEFLDKLASSRREYEQQVASEEAEQLRSFHEAVASRSNIVLEPEIPTVSGPEDSRPKPPMKRTQPALLKNIVIVKPQAKKAKVDAEAKPAPKQPPSSNGHDAEQKPPDQTKTTLGSLVAYDDEDSGEDED >Et_4A_033792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26952309:26956303:-1 gene:Et_4A_033792 transcript:Et_4A_033792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRFSPALQASDLNDFIAPSQDCIISLNKNTASSRRLQIKPKENAVSTKPQEEAVKISLKDCLACSGCITSAETVMLEKQSLGDFINRINSDKTVIVSVSPQSRASLAAFFGLSQSQVLKKLTALFKSMGVKAVYDTSSSRDLSLIEACNEFVSRYNKHQSSAGKEAGGHLPMLSSACPGWVCYAEKTLGSYILPYISSVKSPQQAIGAAIKHHVVQKLGTKPYNVYHVTVMPCYDKKLEAVRDDFIFSVDDKEVTEVDSVLTTGEVLDLIQSKSIDFKTLEEYSLDRLLTNVDEEGHLYGVSGGSGGYAETVFRHAARALYNREIKGPLDFKTLRNSDFREITLEEEGKPVLKFALCYGFRNLQNIVRKIKMGKCEYHFIEVMACPSGCLNGGGQIKPVQGQSAKELIQLLESVYTKDVLISDPFDNPITKRLYDEWLGQPGSESARRYLHTDYHPVVKSVASQLQNW >Et_2A_018406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2769618:2770743:-1 gene:Et_2A_018406 transcript:Et_2A_018406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISPTLSSLPSWSDLPSDLLGRVIAHLPFPADRARFRAVCRPWHSAARQHIAPFVPSATTASSSTGSPASQLLTTGAAATDGWLALDCTDGVFRRTSYWDKIAFGKEPPRSVVKHKHVYLLHNPFSGETVPLPELDSIFGHVPETFEIRKVLMRSSNPDDAVAVFTNNWKYNLVLCCRGKGKWVPPFGLRIFDVAFLGDRLYGINPEDELIAFDLGEDDNGMPIVDKYRRVIKQPLKDGEADLWSCLYCEDDSEEEEEEEEEEEEEEEEEEEEEEEEEEELNKEEEEDSFNDDSLHAPDGEEITEYETNKGHIVTTRHLVTSHDGRELLMVKHQMQVPPFTDPYTRKVEVFKADINAGNWVS >Et_4B_036861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12109433:12112953:1 gene:Et_4B_036861 transcript:Et_4B_036861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRGARAASTAAAGAGAARSVSIRLTPVAALSSSPSSGGRRKKGQRRGQPEPPAPAPPQPVPSHGEAPGKKKPSARPTEGKNNRAAGEARGPPRVDGQAGKGTQQRQPPPQEKPKRLVRWKCATGCGACCKLDKGPDFPTPDEIFADHPDHLEVYKSMIGEDGWCINYDKPTRTCNIYQDRPFFCRVEPKVFDEFFGVARNRFDREACSACVDNIKMVYGEESTELKNFKRVIREESNKAEASKNQDKLFGYYKSLPRLLVCYYDLVRSTSSFRFAIVMADAKISRSLGCSTFEIENNSN >Et_10A_002063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2136674:2137013:-1 gene:Et_10A_002063 transcript:Et_10A_002063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRRQRKRKKEAAGKDAPAPSFDDLSDDLLHLILYTPLWLIRAMSACTASGGAAFLRLARSLHPPTVVGRYLRNGGLVTFVHSSPLPPPVSDRFSLDFLSVNLSA >Et_4B_037508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20695681:20700152:-1 gene:Et_4B_037508 transcript:Et_4B_037508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAGRSGGGTGWGGGFRCLTRRKQVDSDRVRVEGQPQLARELKIPELVAIVLEYTIGGSAVARGISPNLALFFGGPDSLPWILARHQLPWFDIVVDPCAAALVFVVTVLLCVGIKESSFAQGLVTVLNACVMVFVIIAGTYIGFKIGWVGYKVTDGYFPFGVNGMLAGSATVFFAYIGFDTVASTAEEPRILMAMARDGLLPSFFSDVNKQTQVPVKSTIVTGVWAAALAFAMDVSQLAGMVSVGTLLAFIIVAISILILRYVPPDEVPLPPSMQESFRLNQERDEEKDSYPAGDESCNTSHTKDVIVVVESMKDPLIEKGLPRGTMEENRRRKIAALSIGSVCVGVLILTSSVSATWLTILPISVGCVIGVVLLLAGLGILSCIDQDDGRHSFGHSGGFMCPFVPLLPVMCILINTYLLINLGGDTWMRVGIWLLMGFFVYIFYGRTHSSLKDVVYVPVAQADKIYRTSSGYVS >Et_9A_062085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19634542:19636414:1 gene:Et_9A_062085 transcript:Et_9A_062085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSFGPEEQFVWPASVLAGIVMSAAVYDITREVSARCFKGYDGLTEMHKVEWNNRGFSTFHALVAAAVSFYLFVISDIYSEDAHSATVITHRKSWLSDAMFGVSLGYFLTDLVMILWHFPALGGKEYFLHHGLSMYSISLALLSGKGHIYILMVLFTEATTPFVNLRWYLDIAGRKGSKLYLYNGLALFVGWLFARIILFVYFFAHMYLHFDQVRTEFPVGFYSIMTVPPVLSLMNLLWFWKICKGMVRTLCKSKQSSSVKTD >Et_5B_045163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10396289:10397539:-1 gene:Et_5B_045163 transcript:Et_5B_045163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQLALLLLLALAGATTTTAAVHGAGGDDVDGGVIRQVTDGGFRAGRRSPGLLPEAQFAAFVRRHGRRYSGPEEYAARLRVFAANLARAAAHQALDPTARHGVTPFSDLTREEFEARLTGLHAGDDVHRLRKGMLAAEEPPATAEEVARLPASFDWRDKGAVMGVKMQGACGSCWAFSTTGAVEGANFLATGKLLDLSEQQLVDCDHTCGGAAEMQGCNNGCAGGLMTNAYAYLMRAGGLMEQAAYPYTGAPGPCRFDRAQVAVRVANFTAVPAGADEAQIRAALVRRGPLAVGLNAAFMQTYVGGVSCPLVCPRAFLNHGVLLVGYGARGFAALRLGYRPYWIIKNSWGDKWGEKGYYRLCRGRNTCGVDSMVSAVAVAPPPPS >Et_8B_059914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:434894:435186:-1 gene:Et_8B_059914 transcript:Et_8B_059914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAPTSRMRPDTTEYCDIQYLDFLGFHPYKEVLFLRDAHRRAFAYHFNCSKIQHMGDLILKGHIGSIDMPFPYAPCWIREFPENCY >Et_3B_031508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3321220:3330026:1 gene:Et_3B_031508 transcript:Et_3B_031508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGRRLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREDGDKFWRMPECYIRGNTIKYLRVPDEVIDKVQEETSKSRSDRKPPGVGRGRGRGDIGAKPGGRGIGRGQDDGGKGGGGRGRGGVGGKGGNKESMHHDISKLESPVKFTEKAVRLFI >Et_8A_057330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23688963:23695960:-1 gene:Et_8A_057330 transcript:Et_8A_057330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAADPDDPSTAATLEKFRLWETRARFYVIGSSREKRWFRVLKIDRSEPSELNVSEDPVWYSLQEVNSLLQRIDEGNRSTGGLNFVTKAYGIAGCIKFLESYYLILVTKRRQIGCICGHAIYCIDESQMITIPHSSVQTDVATSKNELRYKKLLASVDLTKDFFYSYTYPIMQSLQQNVTSLGMMKEMPYENLFVWNTFLTEPIRSRCRNTLWTVALVHGHFKQVKLSIFGREINVVLISRRSRHFAGTRYLKRGVNDHGKVANDVETEQIVFEEEAGSYKGRMSSVVQMRGSIPLFWSQEASRLSPKPDIFVQRYDPTYEATKLHFDDLAQRYGHPIIILNLIKTVEKRPREMMLRREYFNAVGYLNQNLPEERKLRFIHWDFHKFAKSKSANVLGVLGGVAGEALDLTGFYYSGKPKVQKRRITQLSRTSTARDGSLDIRASSGDLSRLSSNADALTSTFQDMKNEANKQEPLADAPCYQTGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLTDVPKIHPDSSIASALMEMYQSMGDALAHQYGGSAAHNTPQEGKPALWELDTDYYLHVTTAADDLTSVPGDNLLAGSGAALNPGSTLSPVPACKEDFSRMKLTSFDKLIERTCSLIRNVRLHCDAELRSSGGVGTSGMAPDAAEIQLKSPNWLFGQRKHTGTIATTKVIPIENPNEANKDDSNVSVCGELNWLSSTSDSCEEDIFRRYLAFTTADGAVMDPFEHDPEKERHYAEALSVDIDIINDARVEAEMQAALDDYQIIGSDLSIIPSCGALAEDPSQLTRWIIGDEKLRVGTAQ >Et_2A_017248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32424243:32426162:1 gene:Et_2A_017248 transcript:Et_2A_017248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFTVTKLSEGPVRPSAATPSETLPLAWVDRYPTHRGLVESTHVYRAAAAVVAANHHLPAPGCGEAEAVANSTKKARPKSPAAVVRGALADALVHYYPFAGRIVDDVPGRPAVRCSGEGVYFVEAAANCTLADVNFLERPLLLAKEQLVPYPTPEQWQVEPHNSLAMIQVRMLLLLLLLFFCPCHVTTFSCGGFVIGLRTNHAVADGTGAAQFLNAVGDLARGLPEPHVKPVWARDRFPDPDIKPGPLPELPALALEYIAFDFPVAYLDGVKAQYAEFTGGKLCSGFDIVISKLWQCRTRAIDAAPGTDVKLCFFASARHVLKLEPGYYGNAIFPVKVSAPAEKVAGSSVTEIVGMVREAKRRMAEECLSWAQGRTGGRDPFQMTFNYESVYVSDWSKLGFNDVDYGYGTPMAAGPLVNCDLIASVIVMRAPAPLAGTRLLASCVTKEHAGDFASRMREDLV >Et_6B_050016.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:3463337:3464017:-1 gene:Et_6B_050016 transcript:Et_6B_050016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQDASFVVADLVAMYPESKDRWCDNQGLREFDIHVLWSVSCKWMVFKNLHIHGANGGRDLYWWSTDAVVPYRSRFLLCVDYYRGIISADMAGPQKKPDLRYVPLPVNPPKEFSNSNEWDSTDGRKCPKASRSLCATRSGIKFVSVDRQRRSNFGVGYWKWTSTFRITTWSLRDDGVTWRKDARLYAEDLWALDPKNRFPHVQPKFPVINMENSDAICFVADDDR >Et_1B_014140.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:32243210:32244511:1 gene:Et_1B_014140 transcript:Et_1B_014140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQAQRLFLTASTSSPSLPTRPRRRAVVAPCRAALRVPNGLTTAASPADGGLGLKLEWVDPRVIPTAAADSSSSNNNKAVEKLRAVAEAAADRAEMHDIIGRQRDNWNQLLLHSSNSLALAASVMAALAPAAPSLVALKTSAGVLLATTAVTMAATSKIQPSQLAEEQRNATRLWRQLERDVRAKLESTTPVTDAGVRDAMDRVLALDAAYPLPLLPGMLDKFPEAVEPARWWPRRRSPQPQPQAKPTSAYANGGRSKSFGRRNGWSKELEDEMRGVLRVLRAKDEQQYLKFGKLVLNMNRGLAVAGPALAGTAAVAAAFIGSGEFGSWASGAAVLGGALAAAVNTVEHGGQVGMVFELFRNCAGFYRKVQEEIEACLGEADADARENGEVFQTKVALMLGRSASDLRQFRRMASASFKDEDINDFAGKLF >Et_9A_061670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15037237:15039791:-1 gene:Et_9A_061670 transcript:Et_9A_061670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLQTNLDQLQNSPKMAGDGMDAQIAQTSDEETETCIICFEETGVSNIHKVEGCEHRFCISCMKQHLKFKLLNGGGAVPGCPLGPCSTKLTVKESEKLLSPELLKIMEERIREEQIPLRQRIYCPYPKCSVLMSLTQLKARPKQLLFANFLKKLSCGLGDHVTLRKCVRCGGPFCVRCKVPWHDRLTKCSKYRLATSSPQDAMFQRLAEHQLWRQCAKCKRMIERTLGCDLMSLSEEDTRFFFVCQVRSR >Et_5A_041831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3259219:3260406:1 gene:Et_5A_041831 transcript:Et_5A_041831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNADRIRRARRRAACPVASGFIVQSAGSEDGQFDLVAALAVHGQRAVDELRPRLVHALVHLVQLRLAVRVAVALQVRLGPGPPRDGVPDAAQKVGVVLGRHDAGAGEDGGEVVLRLEREPGGEVGVLAQVGAGAVLDPLVAPELEAAAAVARQRRPALVQRLDQVADLPQLQLVAVVAELRPAPAAPPDHGEDAVVAAAAAAVDVVGPQPVDAELRRRLARVREAAAPLRVAERARPLRNASENSC >Et_1B_014054.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2915801:2917102:-1 gene:Et_1B_014054 transcript:Et_1B_014054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRHGAHAALLAALLASALAAASAQSPNDDYVSGDQQVHVSTAMIALLAAVIGVFLFIAFSTVYLRHCTGYGAARSDADGGGRVAVRLDHTSFVSRRQRRRPRGLAAEVVETFPTMKYAEAKALRVGKACGAALECAVCLSEFEDEERLRLLPKCSHAFHPECIGEWLASHVTCPVCRCNLDPDKETGSDDEPAVFPAPQPNSVSSEIASVRQEDGAPPVAVVIDVVTEEEERIREAMELQQIGTQRQRAMRSRSGRRPAAVATQLARSHSTGHSLAARLDRDLERFTLRLPEHVRREMLAAGEHSLQSLRSRRAGEGSSRGGGRSGPLGRAGRWQSLLARTFSGKVSVFSASRSTVSSDGGEVSSTSSSRLRGKRVAAVDVADVVPAKGSSIRPDRIGGSPSGAKAGTVPHEAAAAKEDEEKAVTQQVRT >Et_3A_023035.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:19843555:19845912:1 gene:Et_3A_023035 transcript:Et_3A_023035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYDKAVESYKKAVTTAASLAASAMLVRGVVNELMPYEVRDLLFSGLGYLRSRMSSQQTVVIEETEGWATNQLYDAARTYLATRINTDMQRLRVSRVDEGKSLMFSMEEGEEMADIHDGAEFKWRLVCRDNTSAGNSNGNGRGGNGNFRAEVRSFEMCFHRKHKEKALQSYLPHILEIAKKIKEQDKTLKIYMNEGESWFAIDLHHPSTFSTLAMDHKMKKSVMDDLERFVKRKEYYKRIGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDVYDLELTEVNWNSTLRRLLIGMTNRSILVIEDIDCTVDLQQREEGQDGNKSNPSEDKVRKLE >Et_3B_029609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26456533:26459626:-1 gene:Et_3B_029609 transcript:Et_3B_029609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGLPGFHNAPASRAVVVATALFSVTFGFRGRVYEKLQIWRLITSLFAFSSTPELIFGAALLYYFRVFERQIGSNKYAVFIVFSTTVSVLLQILILGYLKDPSLNPLTSGPYGLIFASYVPFFFDIPVSMKFRIFGLSLSDKSFVYLAGIQLLLSSGRRSIIPGVSGLLAGLLYRLNTFGIRRLKFPEFATSLFSRIPWPFPSNSYQGLPTTETDRSILSEPVRQTEGTRSTTQDPTESSIAALVSMGFDRASAIQALALTNYDVNLASNVLLEAQALQS >Et_2B_019614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11027346:11029357:1 gene:Et_2B_019614 transcript:Et_2B_019614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPEWVDIVKTARFKELPPYDPDWYYIRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQELQKMGIIDVDPKGGRLITSQGRRDLDQVAGREFHFNSQTGKPGNDVK >Et_3B_031516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3484133:3485693:-1 gene:Et_3B_031516 transcript:Et_3B_031516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDGADGGEADDHYFFFSAPASPVHYILRSPPSSTAPAAAAPDGDFFGAGAGDFEFAARAGGMSSAEDLFVAGRIRVGRLSPIRQADAALEDQDDADDEDDGRDARAAPRTRRARSASPPRSPRAGRVVADPSDPFASASSSSSSSSASSSSAKNTRRRISLRDLLTGTGCGDAGAENQRADAAGAGVTRSGFWPAAIWPSRSKKALLPCPAPQSGRRSTSSDRAAPAPAKKLPSGGGGARRTTSLPYRQGLVLGCLGFGARSYGLAKSMHPLYSRNDNLNRKVKGRISQSHNFTFIGTRNPSQIIVDN >Et_7B_054913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5551636:5555695:1 gene:Et_7B_054913 transcript:Et_7B_054913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCICRWEFPGIKHCIFLRFLVRDIQSIRIQTSDSSHHGIVENSPYRIPYDRHAEGGQLGASWYFSRKEIEENSLSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILLSYEIIHKKDPAAVHKIKQKEVYEQQKELILLGERVVLVTLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVAPPPSQGNDTEGSSASVANQRAPGKVPGAADEPPAHEHHQAPRQSSQQNMSGHHGYDHPHAEKQNSRIPQSEARDGTANSNEGPNMSSTMMDAMKKINKDKVKAALEKRRKSKGDMSRKVDVMDDDDLIERELEHGVELAAEDEKIKQERRQSWPHPENAEEGELSIDSQEYHSPELDNRKRKDLYEHRNYDRGERGHKRMRS >Et_3A_025837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33198676:33201349:-1 gene:Et_3A_025837 transcript:Et_3A_025837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGADGRDAIGSFPSARNTAREPEEFLQRQYNVVKPFMGSPPEMGNEDGNLQSVTGSSEPLPAPPAAKKKRSLPGTPVYSLHDARTNELIRPLKPDTNTDTIACMHDGDDGRNEDPSAEVIALSPKTLMATNRFVCEICHKGFQRDQNLQLHRRGHNLPWKLRQRAGGGGADGGGPPRKRVYVCPEASCVHHNPARALGDLTGIKKHFCRKHGEKKWKCERCAKRYAVHSDWKAHSKVCGTREYKCDCGTVFSRRDSFVTHRAFCDALAQENNKLEQPMNMATVASALQGQANHHLPLPPHQLGLGDDLDADDDADDFGLLDTKSPQLRMLPGSDDANQPLQLPPLTMAGCMQLSSLGARSFASPAFFSGSKMDLDGPSGDPGMGFSSAGSASMSATALLQKAAEMGATTSVYGAVGFTTGGFGPLMLGGPDRLPTMSPFAPVRAPFDGLSLPGQTQLVGFDVGGLHPGQLFRSDGAQSVGSMTRAIGSLMHSGQLVDHRRPDDKRVVDYMGVDDHSFNGVAPFGPHMGPWT >Et_9A_063405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23242708:23243848:1 gene:Et_9A_063405 transcript:Et_9A_063405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPGDLIWQIVRKNNSFLVKQFGNGNAKVQFTKEPNNLYNIHSYKYSGLANKKTVAIQPAAGKETAVVLTTTKTKKQNAPAKLSHKSVMRKDFRKMAKAVKNQVRDNYYRPDLTKPALARLSAVYRSLKVAKSGVKKKNRQPTKL >Et_2A_018826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8333256:8336021:-1 gene:Et_2A_018826 transcript:Et_2A_018826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVASHNPSEIDEPRASNKPGDDKPSGSTEYQLRKYLLLLATLVATVTYVAGLNLPGGAWQDTKDGHLAGDPILRDAHYHRYLAFYYCNATAFAASLVVSLLLLVLDGKNTGWEALLRVVMVLDLLGLMGAYAAGSCRDSFTTIYSAVLVCAVAAYIMLTFFVFTYYWGVAKVQTKKQEVDTEKQDGKQSKDTGRHEREELHEVLMLLATFAVTITYVAGLNPPGGFWGDTEDGQQVSDPVLQEHYRSRYQAFFVCNTTAFVASLLIIILLLDKRLSRKGISIRFVALYGLIIIALLGLVGAYAAGSCREVDDTTYVVSLIGGVLAYIFLQVAVTKIFKVKNPCCRFKVPAKFYDYDSNCLQNICKFRSQHKTKSNQTSTRKKQREAQLEKARSLVLLLATLVVSITYSAGLDPPGGLWSEDGDGYKNGDPILLTTHPTRYKVFFYSNSAAFVASLIVIIMVQSSFLLKRHTLEAAMILDLFGLISAYAAGSCRDEKTSFYVVALAGIVLIYVVIHIVFFTLDHKDNHRDADKMENRREVLLLLAILAATLTYQAGLTPPGGFWSANDNAGHHAGFPVLLDNYPRRYNAFFYCNAASFMASIALIVLLVNPNLYRPGIRCYALYVCMVVGMFGLMGAYAAGSSRNVRTSIYVLTLVIAVFAFIALEVVIFWIYPYLRKLLLKPSGSDTENGSSRQGNDAREQNTGSPNQGEIREVELQAEKPEENEELPESTEKNMSEYLMLLGVLAASVTYQTGLKPPGGLWQDNSNGHSAGNPILHDVNKRRYHAFFYSNSTSFMASIVVVILLLPQTLHKQIKLPIWPIHTAILLDMLGLLGAYAAGSARDWKTSRNVIYLVIPVLAYIAAYVAVSFFRKESRRHRGKPSGNDEEPK >Et_2A_014691.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3795159:3796403:1 gene:Et_2A_014691 transcript:Et_2A_014691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HEHEGAVLPRRVHHVHESAVVHERRAHERRRAPAEVGLVQRHPHPADGAEVRPRDAAPRQVHGVHALQVVHQRPRVVVALARHRQRAPRGVAHGVGHHLPGRGGDRGAHHRVAEVARRERRVQARAHVDHGVEPRLGVRRQRPRAAGALPHQRNHGAERGRARAPRLERGHLRLGHGDDGARVRGRRDGVHGLRLRLVLRVVVQHRARRAGREQRVVEARRHGRVRNFVTVAAGDAGPRLEEVRGAPRVDDRVVHRLAEHDAAAAQVGHLHEQQRARRVRRRRRGHEQVPHLAARHELVQQVVQHVVRLRGHEDGALAGAVDLDAPGAVEPEAAGERVERDQRPGEAVLDGLGGEESWLTVRAVEVHRGRVPAARGQVQVGQPDASRRRLLRRDDQLRRQQLHRRHGLLALLTS >Et_7A_051093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14286020:14291918:-1 gene:Et_7A_051093 transcript:Et_7A_051093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPAHRTKVVLRRLPPAIAQQAVVDQVDARFAGRYDWACFRPGNASQKNHRYSRLYLNFKRPEDVFDFAEFFNGHVFVNEKGAQFKALVEYAPSQQVPKSNIKKDGREGTILKDPEYLEFLERISKPTEHLPSAEIQLERKEAERAAAGKEAPVMTPLMIYVRQQRAAKSMAQRSGGRLGRKIAVAASSSSPAKRSSEKRRSSTSTQYVVRDNAASGGTSGSAQVIEGKRDKIVLLKGRARVDPNTSDSTIQQQSLAPAKNAPPSSSRQDQRLEASGRIIKTILSNKEARNANAYQHEQEGHMLNTEKDKRPPRVLNPRSTVKDHIVENAERSQYEEKPNHHHGSVPIGEKIERHARNRDRPDRGVWAPRKSASGGGAHNTSSEFPSHPGDNLSQQTDGHGERKTDPRSHGGIRGGPVENGNRHANRRGPPRGLKETETSATTSDGKTSKRGAASYGAHERQVWVQKSSSGS >Et_5A_041186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19160591:19173202:1 gene:Et_5A_041186 transcript:Et_5A_041186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHPTPLQNELKMDFFLTFLLITGWTGCDSLFYLMHSTNMTPKWSECPSNNYRELSSLENGEFKSAVIGTVPSYTNCSGLPTANTMCSLSAQKKDGNVYKRRKIDKDPNAPAASEEGKETMIQSCTTSKDHSSLLLPVVPSRKMTLSSTAGMKDPILDCGETAGVLVDPSSDMNDRCMVSSATPSFMTLEKKDAAECSSSNMCPTEPIAELSARDVCIGILKEDIFKTEQRTRITTEESTDNVANPLFACNTCGGKEYSLKMLICDSCEAAFHLSCCNPSIKELPCDDWYCKTCSLKKPKGLYGKLSEGKVKPSGNTNQRPHGISHVQYMLKDTEQYVSGARIGRDFQADVPEWSGQSSSTDGYFDQPSEFDPAELIQLNSRETSNQNRASIGNWIQCRETVNFGDSDKVCGKWRRVPLYVVQSDGWDCSCCLLWDPAFADCAVPQELKTSEVLKQLKYINMVYDPKEC >Et_8A_056067.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:15886869:15887225:1 gene:Et_8A_056067 transcript:Et_8A_056067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTAAVPFWRAAGMTYIGYSNICAALVRNCLKEPFKSEAASREKVHFSLAKWADGKQEKPSECRSFQIRLSPSCILCSWVDGIRSAWFVLGFERLGVDLLPYVDLIDYRYCIGDQR >Et_2B_022675.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3044775:3045503:1 gene:Et_2B_022675 transcript:Et_2B_022675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYAWLCKTGLDPDVALDYALLFARNELGAGDVRHLDHEVLASMGVAVAKHRLQILKLARRETSAAAGGRAVAAAITALPRRATRLLAAAVHRSARSVLGRLRSSTSRRDGDRDRAAVVVAAPRLPPPMPMMRRHRGGGGRVAHWTTRVAASSPLAAARGAKLPRPLPMLTHVSSKPPMLTNSGSKRRNSTAAAPASAAAFVGCLAAPEVCSCDDDDDDDAETDDGEDVEWESMFQDLKPT >Et_5B_044171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2153032:2156004:1 gene:Et_5B_044171 transcript:Et_5B_044171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSFFRGYTKLCKGLAVVLLLVHLLVQLFPSSLAFLALIPSRTIPFAWNLITAGYVEQTIPGVIISIIGLLLFGKLLEPLWGSTELSKFIFVVNFSTSACVFITAIALYYITQQETYLYTPLSGFYGVLSGMMVGIKQLLPDHELNLLVLKIKAKWIPSLVALISVAVSFFVKELVSHLPLLLFGIYISWIYLRYFQKRPETGLKGDPSDEFSFSSFFPEFLRPVLDPIASVLHKLLCGRFDRSDSRGQALDTSPLPGSDTIEANRRRERGQRALEQRLAEKLAAVRSSEGTSVDAADKV >Et_10B_004236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:266316:267891:-1 gene:Et_10B_004236 transcript:Et_10B_004236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLVSPLPISIPGTRIMAANVGESTSGSGSGGDAGGSFECNICFELPQEPIVTLCGHLFCWPCLYKWLRIHSHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKSVPGVEIPNRPAGQRPATAPQADPNNHFPNANQNPWFMGGGIPLANARWGNYTFSAAFGGLFPLLSFQVHGFPDATAYGQPAGFPYGYGHGHGHAFHGGHAGHAHAAPRHGPNGQQQQADVYLKALLILVGFLVIASLITF >Et_3B_028313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13194734:13199301:1 gene:Et_3B_028313 transcript:Et_3B_028313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSKRVGGDLPRNFCKDSKAFKNEGISGNLLPNKQYVWPGLKDGLKSYMFRSVASITLLNGDKNLFSCSGIAVEHQFFTKFLTTATLVRALNATNKYHRDLKIQVRLDSTKLYDGYIAECDLDNDFAVVRVYNVHDVQVGPFQCALESLPHGVVLAVGRDTSGDIMVKTVKLSGDSFDRRDLARKISKPWEGAPLLSVDGDMVGMILYLTKRRARFLPWGTTLKHYLTFIQKKTGLAQSKKMFCSPGAYTGEKSDSHPEIHGDLLNQEQLDLDSMGYPKLPSSMLGAGMILVNSFEDPFGDICGEGVWSEFSRRASILNRNVVALASFSGFFIEWNGSTMILTSASLVRDSGGENKIDENLRIGVMLYNQCKEGKLEHCNLHYNIALVSVKYRARRPLNTRFYLESTYRVAAVGRCFKSGTLMATSGRLVPWTGTLDCEFLKRSTCKITKAGIQGPLVDLDGNVIGMNFYDTRIGTPFLLWRSICEILASFETKSWPVPKPSWCHPEDVESDDDDKLAFDHMGRLQYSYILGQKVKLRSLTIPISVPIIEAKSTDEPAVDPFTQRKQKMVEKQGKNRLDNLKKAAKVGALPSHIQLVAKSLPITGTEADLLKKTRKEDLENGAGLGSATTIGGKFDEKLSGKKPQKHPGKHRQFLPVAEGKEMGNLGKKQNDKILKSLLARNSEQLDAITMYKVKKEKQRRKDREMSSESVKLKPQKKPFKKSSKKKA >Et_3B_029075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21945666:21947379:-1 gene:Et_3B_029075 transcript:Et_3B_029075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEMAQYLQTGQESIARIRVEHIIREQNILAAYEIVELFCEFVLARVPVVDAQKECPLDLREAISSIIFASGRCSDLPELMHLRNLFTTKYGKEFVAGAMELRPDSGVNRTIIEKLSVKAPSAESKLKVLKAIAQEYNIEWDSSNTEAEFNKTYEDLLDGSGSSVHQVHAPIIESSPVASDSRDKPPVTISSVKDVGKHQVRESPSSPVGISRAYAATKVIVETQEHLPPSEEISCTTPSSSDVLEKARAAIAAATRASAAARAAAELAKVKITSQ >Et_2A_015984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:226223:233484:1 gene:Et_2A_015984 transcript:Et_2A_015984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEFRIPYQQVSSSQPAENAGQYKICRCGEGDPNETADGTPTSCPNCQVLKSGHLLLSSKGIGWTSWKKRWFILTRASLVFFRSDPNVPPPRGAEPIVTLGGIDLNNSGSVVVKEERKLLTVLFPDGHDGRTFTLKAETTEDLNEWRSALENALAQAPSVATTVGQNPIFSTDVAEAAEAPAEQAEDNSVVGKPAEFALVDPDGNPSFMEKALKFIEDYGIKVEGILRQSADVEEVKRRLRDYEKGKNEFSPEEDAHVIGDCIKYILREMPSSAVPAACCTALVTAYRTDKSRRLDEISRVIYEVFPEPNRLLVQRILKMMQIVETHKAVNRMSQSALAACMAPLLLRPLLLGECEIDNDFSMGGDGSFQLLQAAAAANHAQAIVIVMLEEYDQIFDDLEEGSCSSDAYTESEDDDVEKEYSTDNDIHDEDGSYDSGEDDVEDLDDNSEHSSGGSEYDSKARTDEKVKKSIAGTAGNANNQAAQPPTKAAGTENGASREGIDQIQMEDASQMEINNLSEPKHDESNDSKDHIVKSNSRSSVSGAKSIEKSSSSTNKGKKTLWGRTSARKDLSTEEIECCSDDETLLDKLENNKADLQYKITKEVKENGILQVSLERRKEELHERRLALVKEVETLRDQLQKERNLRASLESGLMNMRRGQVSLPSTIDNKTKSDLEEVATAESDVMNLKQKASDLRGQVNSQQLFSSLLCESCNKRLLNTYKLSEYERNVSLSSEIGSDSVSNMADSPGSTEKHQQSANYSEQLRSQRAQRLLSSKGETAPFDGSSSTSRWTKAQRHCATSKLEESGNAPGASALIKLTNRLNFLKERRALLASEMQSLDLGRPQSQAQAQAGAAAAAATAPPPRRDPR >Et_10A_000274.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20844826:20844975:1 gene:Et_10A_000274 transcript:Et_10A_000274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRSKLREEELSIQLVWPSHSIMPTIQRDTIGFLLHFTAACSRQIAAN >Et_10B_003045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15895777:15898719:1 gene:Et_10B_003045 transcript:Et_10B_003045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASLNIEPSLKFRAKALGKNCLPGHLKSVVSYPVSQTFGGLSCPIRVEHRSYGSNLIVSALNTIVSATNGRLKVPDERVTVLVIGGGGREHALCYALERSPSCDAVFCAPGNPGIAQSGDATCIPDLDITNSDAVISFCHDWGVGLVVVGPEAPLVAGLANDLVEAGIPTFGPSSEAAALEGSKNFMKKLCDKYNIPTAKYQTFTDPAEAKQYIKDHGAPIVVKADGLAAGKGVVVATTLDEAFKAIDTMLVEGSFGSAGSRIIIEEFLEGEEASFFALVDGETALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEKLKQIVMERIILPTVKGMAAEGCRFVGVLYAGIIIEKKSGLPKLIEYNVRFGDPECQGTIIKNLDEAEQISPAVKIFHAGTTVDRDGNFIASGGRVLGVTAKGKDIEEARAKAYDAVDVVDWPEGFYRHDIGWRALKHRHMVAH >Et_6B_049646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7845867:7857344:-1 gene:Et_6B_049646 transcript:Et_6B_049646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSRLGSGEPLPNSGALPPDTLFEVLLRVPAKDLCRLRAVCRSWLALTSDPQFAAAHKSRHAEPLFVVTFRDGDVHGVSIVNLSGQVLRRVPVTSDGIRLFPAQLDRICVTRDCKPALGAWVAARRSCQTLAASNVGRWRRKPNPPGQLMWSINNTQIVAFIEVIYPLYEDVMEPASVEILPNLNKCLVIVENVSGAPSDLWFLMDSEANLWVRKYRVPVQHFGDYVHPFLILDNGRILFKTGFELLLSYDPKTDTSSRMQQMEIAGSISISAAPHRAHPQLRRASPDALFEVLLRVPAKDLCGLCAVCRSWLVLTTDPQFAAAHKSRHSEPLFAVTFRDGDVRGVSIVNLAGQVLRRVPVTSEGIKLFPAQLDRICIIRGCKPALGAWVVNPATGAVLSLPGRRPEELLGSCREMTHGWGHVVMHNCARVTYTLGQVASTGEYKVLRIRYRHDPEPLLCDVITVDGNNVGMWRRKSNPPGRPMWSMNEMESLALKGVIYHLYDDVLEPGSVGSFDLETEKWIRTIRGPELLHSTGFAPYASDRTLTLASLNGCLVIVDNVLGDATDLWFLMDSESSLWVRKYRLTFQQVGHYVHPLLLLDNGRILFKGFGMLESFDPTTGSSSRIQEMEIGDSESISLGKSP >Et_4B_039464.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:17572994:17573341:-1 gene:Et_4B_039464 transcript:Et_4B_039464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTGIDPQPQVATMTVAELDAAITALPDKKDALRKAFERLTACSPSPLPFTWEGLDSYISGLQSSISLRFRQLQVLEAARPARSVLAPANNLDNGKARIQDDYTSDEQEWEEWEK >Et_1A_005156.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:301147:301335:-1 gene:Et_1A_005156 transcript:Et_1A_005156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFPSSSLGLRTIREEAPSDFTVHELPPAKSPSQEVRDGDANKYAVSKRVVPQGPNPLHNR >Et_3A_025209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27924326:27925884:-1 gene:Et_3A_025209 transcript:Et_3A_025209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVLPGAILSIPNPLTRSVLYTNVPDATTMDGNDYAVSLMDEGNNRLDWDDDNDQSSPPEELLSVEQVTPTVRHGKRTKNFSEQEDEMLVLAWLNTDMDAVQGLDQHRSRYWTRIYDYFHANKKFSSERNQNSIMNRWCTIQYSVHRFTECLNCIEGKTPSDLSIEDKACNLFKSEDKNSYSFRFLHCWRLLRNQPKWIERISQIATHKSSHKRQKTTPNSSPCTSTPDDSEVRAPDYELPSRKGEKEKLQADAEKEPKKDGRCKQASALEEERIALEQARVANERKNLELKSKELELRSKELDLKIMLEEERIMAMDLRGMSGAQQQYYKYVQKEIINRRFKSSS >Et_1A_004832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10740885:10741751:-1 gene:Et_1A_004832 transcript:Et_1A_004832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKTRRRHDPLAVLSLRLAVVIGALTTVTAQAQVTTTGARTAPTTVVVVAIVAFFVLAVFCVLVNQWRWRGSSVDGGEGGQGSLRKRRRRGLDPAALAALPILPYAGGGELECAVCLAAFEDGEELRLLPQCSHAFHPDCIDPWLESHVTCPLCRADLEKPAPAPVVVAPPLSSPVAAVADDDEERKEEAAELERLRRARRAARCVCQAARMPRSNSEAAESTPRGDYERFTVRLPPHVCAELLKSRRLRHSTSLVGRLRAQSCEESLACGGGGD >Et_1B_010990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16122618:16127409:-1 gene:Et_1B_010990 transcript:Et_1B_010990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGASFVRLCLGPFTASGYHLLVVDGYSRIRDTTPNSYCIASRPFIVGGHRWIIQYYPNGKDPGDADSISVFVFLDDKLSWRPSRCTSISHLKDDCFTIRCDIVIAKDLIRDEEGGGASALMSVTPSDLHRHFGDLFRSMDGADVMFEVGREVFAAHRCVLAARSTVFRAQFFGSSKDGETSSIIRVDDMEAKVFKAMLKFIYTDSVSRARKGEGQEDDEAVEEAGAGQHEDKGLDDHDGKGNKLMWQNLLVAAERYNLGRLKLISGKNLCTFMDTSTVTDFLILAEQHRCQGLKEECLNFLQSPANLQKVMAMNGLEKVTQTCPTVLMELLAMFALQV >Et_2A_017376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33737456:33741595:1 gene:Et_2A_017376 transcript:Et_2A_017376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSLLPVLLLLLPALAAAAAAGGVGVSSGGQLWCVAKNNAEDGVLQSAIDWACGPDGGADCRAIQQGGACYDPPDIQAHASYAFNNYFLRAGGTANSAACDFSGAAALTALNPSHGSCVLPSSASPKNGSFTGTTTYGAMIIYAGEDAANCLLASWSGPEGPTLHRHNGQVEREDSHKSMQSQ >Et_6A_047899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23187693:23191265:1 gene:Et_6A_047899 transcript:Et_6A_047899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPQKMAAAAAAEQQQEEVEHGPFPIEQLQVRSRLAPPATPQTLTGFLVQFLLFPQLASARLRLSWAWENGGGFVAIRVSLGGVLDSWPRNRRFLIRSFPFVASRPSISWNFRFVRERIDTGFPSLVSNRIDGGKNSSLLASGIAALDVKKLKDAGLHTVESVVYTPRKDLLQIKGISEAKVDKIIEAASKIVPLGFTSASQLHAQRLEIIQVTTGSRELDKILDGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMIETRFALMVVDSATALYRTDFSGRGELSARQMHMAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAMFAGPQIKPIGGNIMAHASTTRLALRKGRGEERICKVISSPCLAEAEARFQIASEGVADVKD >Et_2A_016976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:333806:335414:1 gene:Et_2A_016976 transcript:Et_2A_016976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGDGLPVVVMLFLNMVAAVMVSLVKVAMDGGMNPLVLVTLQQLTASIFLTPIAYIKERKSRPKLTLEIFGYLFVSAALGAALRQYMIFVGLRYTTATFVTAFSNIAPVLTFLLAVATRSEALKLKSKTGMAKLVGTLISLGGAMVLTFYKGVALTHALPPHHSQHQQDTVSHAKWTLGTLAILGNCVCLSCWFLLHGRLAKKYPHVYSCNSLVSGLSFLQVAVVGLCTQRSISPWIIKSKFQILTVLYAGIVGCGVSFVLLTWCIEKRGPVFVAAFIPIVQIVVSVIDFCILHEPLYLGSVLGSVLVIGGLYLLLWAKRHEALHCPPKDAEDDKEQQQQQLQN >Et_3A_025669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31806894:31810413:1 gene:Et_3A_025669 transcript:Et_3A_025669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIAGGGCRRLLTLHRCAIFQHRRRQHPLFSSLSGGGGRGDAPHLPVLIVGAGPVGLVLSFLLTKFGIKCAVIEKNVEFTRHPRAHFINNRTMEIFRKLDSLAGDIERSQPPVDLWRKFVYCTSLSDFEKVISPISVAHFSQYKLVDLLLKKLEGIGFRTCFPDEICSSAQDVVLENKILMGHECISLQQTDEGILIGTSFKSGGRVRERKLHCGLLLATDGARSTVRELAGIPMEGERDLQKLVSVHFHSRVLGRYLSNERPGMLFFIFNSNAIGVLVAHDLEHGEFVLQIPFYPPQQMFEDFSSKVCEQIIVNLVGWEPADVQVLDIKPWAMHAEVAEKYIGCNNRVILVGDAAHRFPPAGGFGMNTGVQDAHNLAWKLCLLLNGVASPSIMQTYESERRPVAIFNTELSVENFKAAMSIPGALGLDPTVANSVHQVINRSLGSVIPRNLQKAILEGLFSIGRAQLSDYILNEKNPLGSLRLARLRSILDEGKSLQLQFPAEDLGFRYEEGALVPEDCPEAMQKAEKLKRSKRSSDYIPSAKVGSRLPHMLVRALSASNEGVFSTLDLVSGDKLEFVLITAPVKESYKLARATFKIAEEFKLSAKVCVMWPQGSADSEAVEGSRSELAPWTNYVDVEEIPRVHGNSWWDMCKIDWKSVVLVRPDEHIAWRTESDVVGDADAEVRRVFSQILCLSGH >Et_4B_037292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17737988:17739548:-1 gene:Et_4B_037292 transcript:Et_4B_037292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAGAWNASTPASAFRRGAAISRNAYVSRKAQSPRLRRRCCSGLLDAVVSFEWRSTPRTHPTARRAHSVFISALRRHIRLPSSSAPRHEKKCIRSQEYAAEHGLPILKNYMTSQSHINIDTTKFLPSFLDNVYGIDPYEVHIHIESIRVSDIPTYEDEVADWLIERFRLKDELLSDFSTLGHFPNEGTEGDLSTVKCLANLTAVVSVTTILTYLTLCTFLTFTTCYCIHFPHLIGSPEARIHAKKA >Et_8B_058630.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:11943270:11943476:1 gene:Et_8B_058630 transcript:Et_8B_058630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCDLKNEYTKRKYICRRGCLLCILAQGPNNLWYQLYVWFLGRHRGHQDQNHLIGHSFHYQVVCCLP >Et_7A_052515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8837782:8845637:1 gene:Et_7A_052515 transcript:Et_7A_052515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTSTKPHFVLVPWVGTITHILPMTDLGCLLASHGAPVTIVTTPVNAAVAQGRVDRAATPPHGATITVTAIPFPAAEAGLPEGRERMDLLRSQAEIPRFVDANNQFGEAVTRYFRSETPRRRRPSCIISGMCQTWTLGLARELGVPCYVFHGFGAFALLCIEYLYRHRPHEAAASADEPFDVPVLPPPFECRCRLTSRQLPPHFMPPTSVGGKALRGMRELDVAADGIVVNTFQELELGSAELLAAATGKTVLALGPVSPIFSPSLDPHAMQDDARRCMEWLDAAKESRSVVYVSFGSAGRLPPAQVMQLGMALVSCPWPVLWVLKGPDSLSDDVKQWLHENTDADGVADSKCLVVRGWAPQVAILAHPAVGGFVTHCGWGSTLESVAAGMPMATWPLSAEQFINERLIVDVLGVGVSVGVTKPVENVLTATNPGGGEAEAEAEVGMEQITKTLERLMDPGAERERRWNKAQELKAKAKGALEKDGSSYRNLEKLIQSEMEGTTEPHLVLVPWIGGISHIIPMTDIGCLLASHGAAVTIITTPANAPIVQSRVDNRATPPPPPGAGKITITAIPFPTAEAGLPEGCERLDLLASPAAVPRFFAANKQFGEAAARYIRDDMPRRRPISCVVAGMCHTWTLPLARGLGVPCYIFHGFGAFALLCIEHLYEHRPHEAAASPDERFDIPALPPFECRVTRRQLPPHFQPSTSMGGGMMQEVRDFNVALDGVVVNSFEELEHGAAARLAAASGKKVLAVGPVSLCRSPSLDPNAMSDDAKRCMSWLDAKQEPKSVVYVSFGSAGCMPPAQVLQLGMALVACPWPVLWVVKGADSLSDDVKKWLHENTDADGVAGSSKCLVVRGWAPQVAILAHRAVGGFVTHCGWGSTLEGVAAGVPMATWPLFAEQFLNERLVVDVLGVGVSVGVTKPTENILSASKPDGGKAEVEAEVGMEQVMAALEKLMDQGVEGEERRRKAKELKVKAEGALEKGGSSYVNLEKLIRSSLRTKNPGMEAILTSKPHIVVIPWPVTSHMIPIVDIGCLLAAHGAPVTIITPPGSAELVRSRMDRAGQGGAAAGITVATVPFPSAEAGLPEGCERLDHVPSPDLVPNFFYANKLFGDAVARRCRRLAAPPSCVVAGMCNTWARGLARELGAPCFIFHGFGAFALLCCEYLNTHRPHEAAASLDEVFDVPVLPPFEFRFARRQLPLQFLPSCAIKEHSLRELREFETAADGIVVNTFEELEHGSVARLGAAAAGKAVLAVGPVSLCGAPGVLDSRADADEARRCMAWLDAKTDKSLLYVSFGSAGRMPPAQLMELGAALVSCPWPVLWVIKGADSLPDDAKAWLQDNTDADGLTESQCLAVRGWAPQVAILEHPAVGGFLTHCGWGSTLESVAAGVPMAAWPFTAEQFLNERLIVDVLGIGVSVGVTMPMEGVLRGEKSRCGGEAKVEVGSEQVKRALEKLMDGGADGDGRRSKVQELKAKAKAALEDGGSSYMNLEKLIQFSD >Et_9B_064595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16818307:16825162:1 gene:Et_9B_064595 transcript:Et_9B_064595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAQRPPPPHLRPRLHASPLFSPRAPRCRSGRSRLLSALPSPSPSPPSRSQLASSTARLEREPGPAPSSEQQQQPRRDSALAAEIARLSAIRARLRGARTLNDKLRALDSEPRVAAFFGEESSGGVLGALEAREVLLLKCLVAAGQEHVLGDELDWSGFDHHEHHRNGVNGGSALREALYSLASLVGKWSSEAVVGGETASEEMELLRSLLKFLDEMEEFYDCIGGIIGYQIMALELLSASKDRMHRPSKDKFVDFHVPDGLNLLEDTEYASQAALWGIEGLPELGEIYPIGGAGDRLGLADSQTGESLPAALLPYCGRSLLEGLIRDLQAREFLHFKIFGNQCITPVAIMTSSVKNNHEHIVGICERLDWFGRGRENFRLFEQPLVPVVNAEDGKWLLSKSLFPVGKPGGHGAIWKLACDRGIFKWFHRCGRKGATVRQVSNVVAATDLTLMALAGIGLRCNKKLGFASCERRPGATEGVNVLIEKQNQDGLWSYGISCIEYTEFEKYGIPEPTVVTGGSQVSYPANTNILYVDLQAVEEIGSRKNASCLPGMVLNLKKAVSYVDHLGFECSTAGGRLECTMQNIADNFVNKYNYRCSKGIESELDTFIVYNERKRVTSSAKRKLKSEDRSLHQTPEGSLLDIMRNARDLLSSCSIEVPMVKDNSEYLRSGPPFLIFLHPALGPFWDIIRQKFIGGSISEGSELQIEMAEFLWKDVELDGSLIIQADNIMGSTKRNENGEQILHYDARCGRCRLQRVKIMNEGINWISPNNVYWKHDVERSESVKIILHGNAEFEAKDVVLKGNHIFEVPDGHRMRIIQDKAGFDIKLDPISVEMMDSGTWYWKYTVDGVHVKLHMVEL >Et_4B_038547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29782606:29784573:-1 gene:Et_4B_038547 transcript:Et_4B_038547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVWLSPPSRLPSLANTPRRFHSPSVRAPRACALPSLTLRRRAVVRRLRATEQQGQVQQQQDQDEVVDSNVLPYCSIDKKQKKTIGEMEQEFLQALQSFYYDQKAIMSNEEFDNLKEELMWEGSSVVMLTPDEQRLLEASMAYAAGNPIMSDAEFDELKLRLKQDGSDIVTEGPRCSLRSRKVYSDLSVDYLKMFLLNVPATVVALGLFFFFDELTGFEINVFQLPEPFGFIFTWFAALPLILFLAQSLTNAIIKDFLILKGPCPNCGAENLSFFGTILSVSSGGTTNNVKCADCGTDLVYDLKSRLITPAEASKA >Et_2A_016889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29102156:29106530:1 gene:Et_2A_016889 transcript:Et_2A_016889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFFFTSRAAARLAEGIARPGVSTAALLLTAASGGGLVAYADSAAENAPDSCQDAPRKKVLVLGTGWAGMSFLRNLDSSRYEVKVVSPRNYFAFTPLLPSVTCGTVEPRSIIEPIRRMFERKSKDVTFYEAECFKIDASNKTVHCRSAVGTNFDGNGDFMLGYDYLVVALGATVNTFNTPGVMEYCNFLKEVEDAQKIRRSVLDCFEKASLPNVSEEEKRKTLHFVIIGGGPTGVEFAAELHDFIVEDLVKLYPSVQEFVKITIIQSGEHILNMFDKRITAFAEEKFQRDGIEVCTGFRVVKVSDDLITMKSKTAVEVSVPYGMAVWSAGIGTRPVIMDFMHQIGQANRRALATNEWLRVRECDGVYAIGDCATVNQRKIMDDISMIFKMADKDSSGTLTLKEIRDVLEDIFIRYPQVEIYMKNMHMLDIEDLIKGAIGDSHKDSMVVDIEEFKKALCHVDSQVKNAPATAQVAAQQGSYLADCFNKMEECKQHPEGPLRMTGESGRHYFRPFRYKHLGQFAPLGGEQTAAELPGDWISMGHSTQWLWYSVYASKQVSWRTRMLVVSDWTRRFIFGRDSSRI >Et_2A_016049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20542623:20543058:-1 gene:Et_2A_016049 transcript:Et_2A_016049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARILASAAGAAAAASASLAPSWLFGLCVFVVSVWVVSFTVFICGHSNNGDDRPKKKPAPAKASRAAAIMAEAAVAATMAEAAAAAVAAEAAAAAVVVVAAAAAVRCCWHWQPGCTR >Et_10B_003503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2204288:2204550:1 gene:Et_10B_003503 transcript:Et_10B_003503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRLEEELRSLQINQDAPVSRQEVGGGVGYSTSIQRVVAVSAAATSASLGFELYFFHHLPFIHNHKLRKGYSHSQHGGVT >Et_2B_020748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23222741:23227057:1 gene:Et_2B_020748 transcript:Et_2B_020748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKTGARGQRPPRLLLPLLVLQTQATHHHARRDVTHRCRRGAGAPVRHGRTRTRAAPMAAEEMRRASATAAAAAATAEAAPSAPAGSRWARVWPPALRWIPTSTDRIIAAEKRLLSVVKTGYVQERVNIGSAPPGSKVRWFRSSSDEPRFINTVTFDSKENAPTLVMVHGYGASQGFFFRNFDALANRFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVAAKYALQHPEHVQHLILVGPAGFSSETEHSSEWLTKFRATWKGMLINHLWESNFTPQRIVRGLGPWGPGLVQRYTSARFGTHATGELLTDQESTLLTDYIYHTLAAKASGELCLKHIFAFGAFARKPLLQCASDWKVPTTFIYGQQDWMNYQGAQQARKDMKVPCEIIRVPQGGHFVFIDNPSGFHAAVFYACRKILSGDGEEGLTLPDGLISA >Et_1A_008966.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2273517:2273882:-1 gene:Et_1A_008966 transcript:Et_1A_008966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKPATGRVARKAILFRVPRRPVARAAGAVPPVPAGGRKRKMAVARLGGKRRFFGAIRRLRMRWLAALYRRTLRRLRAFYTKALVDLLEGAAVVGSLRGQAGADCSFGTAFAPVVTVAL >Et_10B_002386.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:16653899:16654474:1 gene:Et_10B_002386 transcript:Et_10B_002386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPQKQHPDQARPPGSACVWVVASLLLLCLLAGGGCLVLYLTLPPADAPQWLAAAGLSLVALPWAFWTVTCAYRCCCSSDAAAPAPAAGGSSFVERQPSSSRKSAVAPLPSSKNLKSALASVSSVSARRDGSTATGSTTTSGAKRRVRFGEATVLGEDHAVEKDDDDGGGSSVHSNESEAPLAPCMQSSS >Et_1A_007289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33006649:33010254:-1 gene:Et_1A_007289 transcript:Et_1A_007289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLHGAGADNKRRHRHCPSPSFFSSPAAAAADRQQLMKKPAVARTRWWWPPSRGSCATTRRAVCVAAALAVAVVVLFAAGGEPNGMPASLFLDDEQGLEHLSPSNLTADHLLDGLLTPEFSYESCRSRYDFASYHKKSSRKPSPYLIAKLRKQEALQKRCGPGTAAHEEARRRLEAGEGADADDNDNDDECRYLVYISYRGLGNRMLGIASAFLYAVLTDRVLLVDGGSGKDVGDLFCEPFPGTTWLLPRPSWWQFWSPLRGLKNYDEESKQSLGNMLQSGGAVAAASSGDDGSQLSWSSAPRTPPYVYLHLAGGYGYHDKLFFCGAHQRLLRTVPWLLMKTDNYLVPGLFLTPPFKDELEAMFPEKDAAFHHLGRYLFHPSNAVWHAVTTYHRENLAGAAGRRVVGVQIRVFQKDQPPQVVLDQVLNCVRNEKLLPEKKTAAAAAAATNATSYSVLVTSLSKWYYERIRDEYGGGVHQPSHEGRQRWYDAAHDMRALSEMYLLSTCDVLVTSGFSTFGYVAQGLAGLRPWVMPRAPMWAKDWREGLDLRGPPCLRMASVEPCFHTPSAYDCAAGRDIDLNKVTPYIRRCVDVRWGIKLVNESSSQW >Et_9B_064282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13535498:13538657:-1 gene:Et_9B_064282 transcript:Et_9B_064282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGQKRRIQAELSHQLLQAFTSLSIRRHLAVAELLHPRPHGRRPSSCRRRPFSHYSFSPFRGAEPSTRRRLLVHPHALLLELIRTGVTDPGVTDLYIVFISNEGKQVPLWHQKASHSDDGFICWDYHVICIQSRRNKGEAFDLVWDLDTDLPFPSPFLQYISNAIRPLSFGDSRYRRLFRVVHAPVFLRSFASDRSHMKDAMGNWMQLPPKYEPIVAEDGTTNNLNEYIAMSMDDVLDLESMVSGVYFKKYGVVVDEMALPKFFSRLPGQHP >Et_4B_036377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20678328:20681453:-1 gene:Et_4B_036377 transcript:Et_4B_036377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDGQGTACAYAVVDFDGQRRRTATRPRDLNPQWGERLEFLVHDPDAMAGETLELNLYNDKKAIAATGSGRRSGTFLGKVKVAGASFAKEGDEALVYYPLEKRSVFSQIKGEIGLKIWFVDEPPPTPPAAPAAEEKGAAASDAAAEGDKKEAAAAEGKEEKKADDAAAAKPAEEKKADAAPAEEKKAEEAKTEEKKPEAADKEEDKGDKKKSPEKGKKDGGEKPKEEGKAKLAPPPSPSKKELALAGVAGDLEIRPQSAADKSMAASGASASYDLVDRVPYLFVRLLKAKRHGGGDEARPYAGRVHVRVCLEGGYHVLDEAANVASDVRAASKHLSKPPVGMLEVGVRGAANLVPMKIAKDGASGSTDAYVVLKYGPKWARTRTILDQFNPRWNEQYAWDVFDPCTVLTIAVFDNVRYKLADGEKLPKDSRIGKLRIRLSTLDINRVYANTFALTAVHPVGVRKMGELELAIRFTCPSWLTLMQAYGSPLLPRMHYVKPLGPAQQDVLRHTAMRIVSGRLARSEPPLGPEVVQYLLDTDTHSWSMRRSKANWFRVVGCLSHVATAVKWAHRVRTWAHPPTTVLVHALLVAVVLCPEMILPTVCLYLFLVLLWRYRARPRGPTGMDPRLSHVDSVSPDELDEEFDGIPSARPADVVRMRYDRLRAVAARAQTLLGDVAAQGERLEALLSWRDPRATMLFAVACLLAALVLYAVPFKVMLLGMGFYYLRHPRFRGDMPSAGFNFFRRLPSHSDRVL >Et_1B_011507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2368370:2376991:-1 gene:Et_1B_011507 transcript:Et_1B_011507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPETSPSTTTNTAVHRDEPTPRTTSSSLFPLFPLAATTSAATAESQWLSNPSFSFDASSLNIPAATSSSLTPPLSPSSEEDAAPRPAPAKYELVPSSPSASDEDRGSRKKERGRRKRKREKERYDGAAASRKPGIRAWAGSDTKPARDYYVDAKGDHDNLAFGSLYRMDVARYKHQSMLGARDSNRFRFYNWGLGSSHMDLDSDLDGLDSKVRAGGRYYSAKYAVFERNKGFKHLKVFKSDAFSMPTEDFVPLDTVSLPVKSTNVEQEIEESWEDEILRRTREFNKMSRERPHDEKVWLAFAQFQDKVASTQPQKAARLQTTERKISILESAVDLNPDNEDLLLCLLKSYGERDSTESLLGKWEQILTKHPDSCKLWKEYLLLCQGEFSRFKVPEIRKSYVYAIQALSAACTKLCRQDYQNSDPKAPYPSLAQLELGLVDIFVNLCRFEWQTGHRELATGLFQAQIEFSLFSPPLYLTTSSKQRLFEHFWNSAGARVGEDGALGWSAWLAKDEESRQNMAMQESSQEPEVGGWSGWFDPSLRSSDTNDSSNKTLEPSSTDGNDAEDLDAEDTSAQDDVESLLKKLGIDVNAESSSEVKDAKTWNRWSLMELSRDNEQWMPLREKSESLHSGDAPSGEEDDHLSRVILFEDVTEFLFSLSSEEARFSLICQFIDFYGGKISRWTSTNSSSWLDRILSLEMITNDILEDLSTVSDLLKKDQDSSRYKLESLLGSMHDLSQRPGLVKFLRNAILLFLDVFPRNHILEEALLITTQMYTAQENSVSTPSNASRALAKNLLKKDRQDFLLCGIYARTEAMHGNIEQARKIFDMALLSTEAATEDLRRKVPILYFWYAEMEIAVSTSRNNSDSLHRAIYILYCLGSSVNFVPFVSPISRPQVLRARQGFKEQIRSLRSAFDCGDIKEETVAQICSASLFESMTSGYSSGLEVIEEIFPIAFSENSHSLEFEGLWVYYIKLLQKNRTQMSLSRVWPSISQGMQKYPYNPKSYSAMLILSCLYSVSNNLRLTLDKCSQRDPSVIALLFALSFEWDKVGSDNRIHSLFERALADDRLQKSVLLWRCYLAYEAEIACNASAARRVFFRAIHACPWSKRLWLDGFQKLSSILTLKELSDLQEVMREKELNIRTDIYEILLQDETDT >Et_4B_037047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1390054:1391032:-1 gene:Et_4B_037047 transcript:Et_4B_037047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPMSLGFHHHPTAGPVFGHFPATDASSGFLLDVPSELSDALFGFVYDPLDHASNAALDDILRLPAAVPRPVKEEGATAEQHCVKKLRVFSDVVDTSLLPRYGGGNRLQLPALPEFRTDFRLPMPVPPPQHLAFVRGSDAATDGGNGSQTKSVQSAAARERRKRITQKTAELSRLIPGAHKMTTAEMLHEGVRHVKLLQAQTGMLTLMHAVDGGSSEKEKTPITAQEQMRALLASGRVQERLAAEGKCLVPRKLVDAMARDKDVRSNPLVNRDLGRFIATLEKK >Et_10B_004140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15293004:15298050:1 gene:Et_10B_004140 transcript:Et_10B_004140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSHSSIIPMPQDRPVAATQLSADQWITAEKHLNYFAGAVALMERVGNCLGTLAFTWATVVVLGGFSTNLGQDFWYATAIVFLEAFRVFSRESRSDDELLFKTTGSLKLKRMKLARGIPYYLTVVIVIVCMYGTLEFFLRHHGYTPPPNLRLQYHILLLSVLLALASVAQAPTSAEYLKDNSWLQFLSSLVAVLALGAAMLWSHVPKHEVVVIIPPLFVGCLQTLSKPIGDCLKNSGYDLGLHQLRAWLSTLAALILPSWIVISVLIEFSSMGVLILLGTLMLGNIQIPVAVARIVLSSERLFTTYDSSEAAGNRHLEPALTIFYSMVLAQGILYAAACILESLLSSHFRRSLARSCKLNYKTGYDSINMYYEHAYNKRMEEGVLAQEDMNLVTFAFNSLDSNSNDKKLAAVQILYSLLQLHGPSKRRLVAQITTNTKVIASLAGDLRIVGIPGTMQMVSSLLDVDAKNHDANQDISNKTSDKKKGNTDDNQQRSHASSTTVDIQGEHGYHVPANEQPSSKQLEAGHKSELSYLKSKFLKRFNNVLRGPKEGKEPSKDVDLFPVLGMLILERLAHDLDNTAEITKATGLIPKIIGFIINTTDTSNKIMTSSFNLVAKLTNTEGEIGERIRQKILEKSFFLSNLVEILVDNSHQEQLKPMMVIIVKLMLEDEISKDVERFHVIIPKLTQAFFEQDESHDPDCDRSFQLVVGEALSKLAAENTSFCIDILHEKGYGLFGDLKNMLEHDEYTYVATSLLRNLCAHAQDKMDNRRLSEHLSSILRVVLAKMMDAEGKQLEVLIITILQLRNIIPRQFAYELESCTNEDKLVRKLVDALNSNKKMCYECPMIKKVIVEITISIVESCPRYSTIFKNKRMVEALGKEERTLAEVKKYGTLLGKAGEFFDSGLPPPDLVTKAKRIIGSETPSQEAQKHDSSLLDILELVHRREADTGTGMG >Et_4A_032879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1553640:1554615:-1 gene:Et_4A_032879 transcript:Et_4A_032879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGFHHHPTAGPVFGHLPAASGGGFLHDVHSSELSDALFGFVYDHASNAGLDDILRIPDAAAVPRRPVKEEGATAEQHYVKKLRACSDVFDTSLPPRYGGGNQLQLPEFRTDFLLPKPMPPPQQQHLAFVRGSDAAMDGGGDGSQTKSVQSAAARVRRKKITQKTAELSRLIPGAHRMTTAEMLHEGVRHVKLLQAQIGMLTLMRTVDAGSYEKDKTPIKAQEQMHALLASGRVQERLAAEGKCLVPRKLVDAMAKDKDVRSNPLVNRDLGRFIASLEKK >Et_5B_043901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17420574:17423837:-1 gene:Et_5B_043901 transcript:Et_5B_043901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVAPTQLPLPTTSPPRRRRRAQPPPAAAPGPSKALAAILRSRVIACIRAQDGETAMLAAHAAVRGGVTVLEIVMSTPGALEVVIEDLCRTYPSLTFGVGTVLNAADARKAIRAGAQFLMSPGTVAEILHDLEESQVLYIPGVLTPTEVLSACSAGAKVVKVYPVSVMGGEMYMSALKKPFPLIPMIASQGINIGSIRGYMEAGASAVVLSDAIFDKELMTIGKFSEISELASLATFEALQAMK >Et_6B_048848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14435237:14437757:-1 gene:Et_6B_048848 transcript:Et_6B_048848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPMYKYRAKHPANLLLLGLFTLCCSLMMAVTVSTTVGKVVLQAAILTAAAVIGLTLFTFWAAKKGYDFTFWLPFLSTSLNVLLVYLIIQVFLSTYIPFSRFILSLVAYLYLLCNPLDVPDLCTAGKCWHDHLRMHCIPGVLWLHHLRHQPLLKHYTYDEYVVAAVSLYLDIINLFMAQITLSSQ >Et_5B_044305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22436127:22444112:1 gene:Et_5B_044305 transcript:Et_5B_044305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLVHKLLALAAVVMALAAPAAGQLGPGTALSCTASLVTSFTPCFNFITNSSASPTADCCRSLGSLVNASAGCACLILTGSVPLGMPVNRSLAVTLPKTCNSTALPILCQDAATARTPAPSPVAEVAPALSPLPPVTPAAAPAPEAPAPAAPAVEPTAMPPVSQGQTRPAVMPSSAWRESSRVSTTAAFVLLLTVGGALHGVPVNRLLAVTLPRACNSTALPLQCQEADSSALFVRRQFRPKLQVPSQKLLPPCPHCMGLETTTKLLAAVAVAALASPASGQAPPAASCTTSLLTSFTPCFNFLTNSTNGAAPTADCCRSLAALMNASTGCACLILTGNVPLGVPVNRTLAVNLPKACNSMSVPLQCRDTSTQVPAPGPVPVAPGSSLPPLCKNIAQLKHELCPL >Et_4A_035182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10724395:10725937:-1 gene:Et_4A_035182 transcript:Et_4A_035182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFGHHGHHGGQNPPAYPPAAGGGHGVPHGGPQQPTFKIFCKADEGYCLTVRDGNVVLAPTNPRDQHQHWYKDMRFSGQVKDEEGCPAFALVNAATGLAIKHSLGQSQPVKLVPFNPEAQDESVLWTESKDVGHGFRCIRMVNNTRLNFDAFHGDKAHGGVHDGTTVVLWEWAKGDNQSWKILPWGDEAYAGGSAGNRGGEPTVRIFCKADDGFSVTVRSGTVCLAPTNPRDDYQHWIKDMRHSNSVTDEEGYPAFALVNRVTGEAIKHSTGEGQPVKLVPYNPNHQDESVLWTESKDVGHGFRCIRMVNNIYLNFDALHGDKDHGGVRDGTNIVLWKWCEGDNQRWKIVPWCKSLRHTPFSVVNLLNYVNDT >Et_2A_014489.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1490781:1492115:1 gene:Et_2A_014489 transcript:Et_2A_014489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCCFLPCPSPSVRSHAAAAASWAGPAVAFAAGDLDAVEEVVLELVGERRLLLVWVHRQWHRRRRRGGGVPGHALVRRRQLVRLLHVVDDGEALVADVPEAGGDDHDGSGCHERAGDRAADDLSLAAGEVDGEPRGGRRRRRRQEGAVEREDLEGAGEVEDGAGVADGDAGDGAGAAEHADAELAPAREQCDALDDVAAGGDLQDVRAQRGRAVAVDDHRRLRLVLGPGRPAPRAAHHHRRRRMVLSSRRRRHRSLLLLLLLLVRYAAGGLAAAVAPGVVDDVFGVVEMFLLRRRASSGHQLVVLRGEMRERRPVLPREVEREERRMRAEVVPTAMGSRRRHGIHQPRTTSNQSHTPPTSRCSGASRRWRSKGRRREGGLVLSGRRRAGGLRGERVDWGRGGGAHQGVGTGPTGFRGQSSLSDRGWGCWCWPRLWLTCLIARC >Et_1A_008630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9360415:9363978:1 gene:Et_1A_008630 transcript:Et_1A_008630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAKKMTRSISRQLSSGAARVWRQLSLDPHTPRRERAAAAGAGAGPTRFAIARQSSLDPTPRSEGGGSAHDLAVPENLDATMRLLFSACQGDAAGVEELLRSGVDVDSIDLDGRTALHIAACEGQGEVVRLLLDWKANINARDRWGSTPAADAKHYGHFEVYNVLRARGAKVPKTKKTPMAVSNPKEVPEYELNPLELEFRRGEEGDYVAKWYGSKVFVKILDKDSFSNADSINAFKHELTLLEKARHPNLVQFVGAVTQNVPMMIVSEYHQKGDLASYLVEKGRLKSHKAIRFALDIARGLNYLHECKPDPIIHGNLSPKNIVRDDEGKLKVSGFGSLNLNKVSDNKLQMTQPITKFDGAYIAPEIYRNEPFDRSVDVFAFGLILYEMIEGTAAFHPKPSEEAVKMICLEGLRPPFKNKAKYYPGDVKELIQECWDPTPSVRPTFAVIIDRLNRIHATCAKQTRWKDTFKLPWYISR >Et_3A_024541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21820561:21838057:-1 gene:Et_3A_024541 transcript:Et_3A_024541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRAFRPTSDKVVKIQLHPTHPWLVTADSNDRVSVWDWEHRQVIYELKAGGVDERRLVGAKLEKLAEGDDSKGKPTEAIRGGSVKQVSFYDDDVRFWQHWRNCSAAAEAPTAVSKQSSTFSAPAPSTRGRHFVVICCENKVIFLDLVTMRGRDVPKSELDNRSLLCMEFLSRSSSTDAPLVAFGSSDGVIRVLSMLTWKLVRRYTGGHKGSIACLMTFMSAAGEVHLVSGGSDGLLILWSADHIHDSRELVPKISLKAHDGGVVAVELSRVMGSAPQLITIGADKTLAIWDTVTFKEIRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLVPPQVLAQHKKLRVYCMVAHPLQPHLVATGTNIGIILSEFDPRALPAVAPLPSPTGSKEHSAVYIVERELKLLNFQLSNTANPSLGNAGVASDTGRSRNESIEQLVVKQNKKHISTPAPHDSYSVLSVSSSGKYVAVVWPDIPSFAVYKASDWSVVDSGTGKLFAWDTCRDRYALVESALAPRMPLVVKGGSSKKAKEAAAAAAQAAAAAASAASAATVQVRILLDDGTSHVLQRSIDGRSEPVIGLHGGALLGVTYRTSRRISPVTATAISTVQSMPLSGFGGSGSSFASDDPFSSKEGPPQNFQLYSWETYQPVSGLLAQPEWTVWDQTVEYCAFAYQQYIVISSLRPQFRYLGDVSIPFATGAVWHRRQLFVATPTTIECVFVDAGVAAIDIETKKRKEEMKAREAQSLAVAEHGDLALITVEAPQVTASEKISLRPPMLQVVRLASFQHAPSIPPFIVPKQSKLDGDDSVFQKELDDRRYAEVAVAGGGVSVAVTRFPPEQKRPIGPLVVVGVRDGVLWLVDRYMCAHALSLSHPGIRCRCLAAYGDPVSAVKWATRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALACLLTMSNSRDVGQETAETAAFDVTQILNLAVAKQAKQESLADAVQGIVKFVKEFFDLIDAADATGQSDIAREVLKRLAAAASVKGALHGQMLRGLALRLANHGELTRLSGLVSNLITAGHGREAAFAAAVLGDNALMEKAWQDTGMLAEAVLHAQAHGRPSLRNLVIAWNKMLQKEMDRTPTVKTDAAAAFLASLEDPKLTSLGETEKKPPIEILPPGMPPLSAPPIIIKKAAPKPGIPNVARDPNAPIGAPMAQGAPMVQGTTVPQGTPMVQGAPGAQEVAVNQGAPAASQSTDEAKPSEATAINTDSANSEAAVAPGTEEPKATPSNEETTAAPGNEEAPAAPVADAASSSDPATATPPPAPVSSSTDGPAVAPVEATTDAPSTEVPESADKLPSSEGSTPPPPSVPTV >Et_5B_045327.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:18446792:18447325:1 gene:Et_5B_045327 transcript:Et_5B_045327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASKRVLLAVRLLLYMHDIVGGPGQTSARLVRGPGPENPSMHPGNFFGDTVAVDDLLTSGPALGSSEPLGRAQGTYMIGSMRDPVFVVSVTLRLTAGQYNGSTLVVAGRDDTSQPVRELAVVGGTGRLRRAAGHVLWSTAKVESAMHAVLELDMRASVPVTSGDAPPARASVSSQ >Et_1B_010095.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:23700125:23700331:1 gene:Et_1B_010095 transcript:Et_1B_010095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAELKSLLASDFISGSLIARPRSCNSVAHALAALGCECPMEADHLIGSLPFCICELVDRDSATPLV >Et_1A_008581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:904477:907770:1 gene:Et_1A_008581 transcript:Et_1A_008581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAPGAVAMEEVLGLVRGFVDLLVLAGGRTSSGAAATWSCGEVKNALRWALFFEEVFKSLRDSGQYEDSARELDAALVELTSRPDFPKGLAGIRSETLSSARVLVIRHFLKTKTMSVENFGALLEAVVEMDIDGIDVTGAHAACQEYVKSILDMDLSSLTRTRSAFAVGLTSSSDEPYTKSTNHSQILVKEFLKGLESASCTSLAERGLGALLNSVKKNRSCDLRDKSYTLAIPKKSQMTDEFILWKQWRANGLSYLLDERTIRAISGASLIFDAPKEQWIRVFEPLKSFAESYQSGLVEIMELCFLGLVSRRWNMLIEGFMSHTFDFIPISRQYADLHQLLQGTCQDECQDMLLDLEENDILEYAQQSLRSKPYILWLLPPVLTAAAIRPRSALFEIYLAEIDRKFHEAAPADRGVGTERHREIADRIQCLYTFHIRHPLL >Et_3B_029033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21551344:21562350:1 gene:Et_3B_029033 transcript:Et_3B_029033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARPQNPQPSAYIRFLLILLAGVPAATATSYSLYSSLCHFPVPSTLDLTPDHQSHSSQSNLPRISSGHFTGGGDLHFAPDRSYLLRSFSFFPRSHVRTTDPTVLHVFATLILEGSGVGEHPRKRERSVAFELEGYYSTATATAELCMVGSGSYARDDGVDANALLSDVALRLHVPRPSSISRPFITGRLEGADFRAVTLVAYADDDADYAYAADDATSSCPPPPAPARDGRHVFDGGFSCPRLRALLRGSYSLDYGRAASGAPLRLPRHRRMHVNKMSCSAADGSVRAYIAFYADRPESFPSDHSVEWRDGSSVFLVGDEALVADGFWDASRSQLCLRACRVARADLAVLRECGVGVSLWFPALWSFRDRRTAAGLVWNASDGKTSSGVIAVSRTVRHTYTGDLSDIKYHYTRVEEATKHYDHSKPEVASRERKAGRFPGSGYSRRDFGFRFFSPKKRGLSGSAWPVTIGSALLEGDWLMEEDAFARRVAAEVNNQSLLSVSYYLEYQVRAVNSHADMSPEKMPREERRIIAEGVYDTQTGRMCMLACSQGSSDCEVLVTVQFAPIEAKSRDRAAGTISSLRNQSDPLFFEALDFVGGGMYRVQVLDLVSRMDAEGVMMEVTAVVSSVLTALQLRHAEKHRDALPATSVTMLAVLAIGNLVPLLFNFAPAVIAWHDLFYQLDLSRTGSFALRASTVLAFVLQLRLVQLTLSGRRSAEVGRDDGSASPSAAAAERNTVRVCLSLYFVGAILMLFANVDDGRGQLLLINATGPKLAEALAYYGGLILDAFLLPQVILNAFSEGKKTGALSPWFYVGGTVNRAGPHLYNAFWPAPHVFGVSWDVAVLCGAALLAALLFLQQRHGGAFPCRLMRRRSRMARPQSRGALACLSLLLHLLLPIATATSYSSLCRSPAPAADLPADQYRSRLFSGERGLPQISTGHFSGERNLHFAPDQSGIPRSFSFYPRRSARTTDPAVLHLSAALTLLGTHRRGYDRPYSVSFDLEGYYSTATSPAELCMVGSGSYAREDDGFGVVVLPDVVLRLHVPHPSNLSRPFVTGRLEGDDFGVVTLVAYGANGDYKFGDDTASCPAPPAPARDDARHAGFSRYRVKRLLRGSYSLEYGLGSHAASGFPLRLRHQRMYFNQVRFARNATRLRAYMVFETNNTSAVRRYNYTVQLPGGLSVGDEALVAEGVWNPSRREFCLRACRVGRSGPSRVDLAVRECGIGVSIWFPTVWSIRDRSVVAGMIWNASMASDHGGTGKTSGVISVSRTGSYRDHLSYIKYNYTRVEEAKKHQVSKPLLSNEKKGRFPGNYSYEDFAFGFYLNKHGSYGYASPVTIGSALVQGDRLMAYDAFYGNWTAEMKDHLLMNVSYDLQYHVAYRNSSGDISRRMFRQQPISAEGVYDTKTGSMVMVACQAVTNNGSPDCEILVTVQFAPVDTEARDRVVGTISSLRKQSDPLFFEALDFYAEGMYTVHIAVANSRMDMERIMLVVSMTLSCVFTALQLRHVRRHPEALQATSITMLVVLAVGYAVPLVLNLEAMLTNDSRHSDKHFVRLTSAGGSLELNEFMLRFSTMLAFVLQLRFLQLALSTRRHTEDGGKQGEDSSSDAEKSTLWTCLPLYLLGAVLVWIVHMSDGGHGPWDQPRAGALPASHALVDDLAAYAGLVLDGFLLPQVVWNAFAGSKARALSPWFYAGGSAVRAAPHVYDVFRRHNYVPSWTPSYVYASPRDDLFGVAWDVAVPCGAALLAALLFLQQRLGGAFLCCVGSRRPGEYEMVSACSG >Et_10A_000495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11379276:11382503:1 gene:Et_10A_000495 transcript:Et_10A_000495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHLRFLLSSLVLVFLLSHTNQATTHENCEASSRCKYIVRVRPPPNFFVDRSPVNLEIWYRSFLPPHLAQSKIQSPFIHTYREAIIGFAVSLTNDEVEYIKKKDGVLMVYQDRLIPLLTTHTPDFLGLRPNGGAWNSSGMGEGSIIGLLDTGIDFAHSSFFDDGMPSPPAKWHGSCNFPSVKCNNKLVGARSLIGGQDPNPPLDDVGHGTHTASTAAGRLVQGASVLGNGNGTAAGMAPRAHLSMYQVCNKQGCYGSDILAGVEAAISDGVDILSISLGGHAQPFHEDIIAIGTYSAMKKGIFVSCSAGNSGPSVRSLGNEAPWVLTVGASTMDRQMQAIVKLGNGQTFVGESAYQPSNLGPLPLLFDLDVSGNVTGKIVATLGLDVGIGEAVRDAGGAGMILLGSEVSGHTTFATAHVLPASYLNSPDAEEIKKYIKSSDKPTASILFNGTSLGTHPAPTVAYFSSRGPSTASPGILKPDIIGPGVNVIAAWPFKVGPQTGSEQDKTFNSISGTSMSAPHLSGIAAIVRSAHPDWSPAAIKSAIMTTAYVVYENSKPILDEKFNPAGHFSIGAGHVNPSKVINPGLVYDIDEGQYNLYLCGLGYTDSEVETITHQKGACETGKKITEAELNYPSIAVSASAGKLMVNRTVTNVGHAESSYTIDIDMPKEVTVSLSWNPSKTMHAEGNFKWVSDKQVVRSPIICHLENTLRIKCEHLENKIVPPFKIKCSTVKCSK >Et_4B_036342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18872991:18873794:-1 gene:Et_4B_036342 transcript:Et_4B_036342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGQLQANCKTDHNLATATMLASSGATAATTTPTAAEMASYLQQLQTAAAAATEANSNNNNGSARGEQCPRCASHDTKFCYYNNYNTSQPRHFCRACRRYWTLGGSLRNVPIGGSTRKRPRLAPTPPGLLGSLFALGAGAAPLLEGRGAGFDLGLGLPVPMAPAAGELGAQMQGLGLGLRGGLNGGPSAPMLWPAVLLDNDGVDAWKVPQSAPMWPEFSAAAAGGLLHGGAQLI >Et_7A_053117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7023733:7026869:-1 gene:Et_7A_053117 transcript:Et_7A_053117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRSSSPATAATTARRRMGSKARPYKAGFELAWHATFGAVPVLGDVCEERPSLRCGHGLDAWESPYGISPSLRMVLGMIKDETKLRERFCAHDKGEQEY >Et_5A_042808.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4713445:4713741:-1 gene:Et_5A_042808 transcript:Et_5A_042808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADANARIVCNFYHPQHLVASYSYSEESTCSCAACERILTGAGYRCEECDFNIHEKCYQELLLYVKFDQHQEHNLPLTLLGTSWCCSVCNESSHAGK >Et_7B_054601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2736213:2739161:1 gene:Et_7B_054601 transcript:Et_7B_054601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATARSLASPAWAWPRAAPSPRRTTRRRPRGCACAVAVETDLVFHPDVSRAAESLQAEFRAVDRALAINSSRVAAAFRHARVAPHHFGGSTGYGHDDGGGREALDSVFAEIVGAEAAIVRPQFFSGTHAIACALFALLRPGHELLAVAGPPYDTLEEVIGIRGSANVGSLKDFGVAYREVPMQNPGCMVMVDNCYGEFVETSEPAMVGADLIAGSLIKNPGGTIAPCGGYVAGKQDLVEAAAARLSAPGLGVEFGSTPGHVMRALFQGLFLAPQMVGEAIKGGLLISEVMSARGYRVQPLPRVPRHDIVQAVQLGNRERLIAFCEVVQQTCPVGSFIKPTAGETPGYASEVIFADGTFVDGSTSELSCDGPLRDPYAVFCQGGTHWTQWALVLSEVLKVWHNVK >Et_1A_007063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30530000:30534809:1 gene:Et_1A_007063 transcript:Et_1A_007063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDHYVELPVSDEEDDEEWDDDLDDEMEEDGEEEEGSASRKKKAEQHAEQLRRLQEKCGMHPVHSFTCTDPEFYRYLVECDKELLEFADDETKDDQETRDGGGDDDEPSSVRKEVLRQSVKPITMEMVDSWCDGAEDGKIGSIRSILTAFRKACHYGEDQGDNSAPKFSVMSGSVLDKVMHFVLKHMDRILRELLSAPSFGGKKETISELMMTKSWKKHGNLMRIYLGNALHMITEMTDEQMIAYTIHRVRASAVFLAAFPSLLRKYVKALLHTWARGRGAMPLVSFMFLRDLCIRLGSDCLDTCLKGIYKAYLVNCKLSKTISGSKLQHIQFLGNCVRELYSLDPQSAYQHAFVFIRQLAVILRGALTERGPKSAKDKRQKEISKSAKKQMEKSYQRVYDWQYIFCLELWTGVVCGCSSEEEFRPLAYPLTQIIHGMACLVPSARYFPVRLRCVKMLNCIAEATGTFIPVSSLLLDMLEMKELRGRPDGGVGKAVNLFSVKQVDKKTVKTRAFQEACIYSVVDELGKHMAHWSYSIAFFEMSYIPLVRLRSFCKTIKADRFRREMKDLIHQIEANVEFIKSKRAGISFSPNDPATEKEERSSPLSKYVATLHQRAQDRMDALDETSVIVGAESSTFSRRLSEAQKQHDEMDDDEGTIAFSKNLLAEKKKPKTVKEKNKKRDCEHDDDEDLVEDLVLSSDEEEDDEGNDQESDEDGSVRVEDDSDEDFVDPDSEYKKQKKAKLKRRNKRQPASKAPSKMKRKPHPKKKAKH >Et_6B_048755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13258772:13263854:-1 gene:Et_6B_048755 transcript:Et_6B_048755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSALLPERLKKAGESLSTELSIMWDALDELHYEALRSAALHSGHKINNGARAFFSLHYNPLLFKYMIGKRLEQIVEHLDDLVRHMNLFGFMKEQPMPMNERKFTHSYIDEQDVIGREGDRVKIVHALLGAKSEKISVFSIVGIGGLGKTTLARLIFNDAKIKNGFQKHMWVCVSEEFNVPDIVKKVIVASSGSDPGFKNDNMELLQQMLQKELRRQKCLLVLDDVWNKDAEKWDALRTFRRAFVPGLRESPELVSIGKNIVSKCHGVLLAVKSMGSLMSTKQGIRDWLNILETNTWSEETKILPALMLSYNNLPSYMKRCFAFCAVFPKDHEIDKMDLVHYWIANQFIPSKMASEPEATANDIFQQLVWRLFFQDVETKSSRWETYGYQDVTTFKLHDLIHDLAVDISGKECFCLHKVFEIQQIPQDICHLACPHPQKIESIMQHHETIRSVFAVHKDQKHVQCLNIPKSTLRILGVHIYGIKEFSFEPAFMKHLKYLDLSCSLLEVLHEGLTGLYNLEVLNLNYCSCLNYLPKDMKYMVSLRHVYLDGCRNLMCMPADLGQLSSLQTLTMYKVSKEPGRGIKELKNLKLGGKLQICDLIEVNDPLEAKEVDIESKIHIEQLELSWISHASSDSEFDLQADISEELLEALSPHSGLKVLKLNHYAGSRFPRWLTDNMMLYSLVELSIKHCLNCANLSTVCQLPCLEVLKLKQIKN >Et_1B_010088.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:23217835:23217939:-1 gene:Et_1B_010088 transcript:Et_1B_010088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACKEESFLWRSQFKQSEAHISDAWCSFFSINM >Et_10A_000249.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:19942478:19942831:-1 gene:Et_10A_000249 transcript:Et_10A_000249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSTVHRRRTCARALINQSSDHNQLLVTTLQERDFRANPAHWRLRPLLYDFQQNNIGINFTVNKIPRANNSAAHNLGQQALRHPSSGNCSFTCSHLAHHDQCPVLEALQLVSCLG >Et_6A_046615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18190240:18195452:-1 gene:Et_6A_046615 transcript:Et_6A_046615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNHRAGGDIVEMSAAQPQPERERVVPHSGPLSKKSGARKSARFAESVSAPLSAPPPRASPSSTTNDDDDYVEITLDVRDDSVAVHSELRRLASVNRRGGGPRGVDRSKSAAAHALKGLKFISRADGASGWPAVEHRFDELAQDGLLHRSKFGKCIGMKELEFAGELFDALARRRNISGDAISKAELLEFWDQISDTSFDSRLQTFFDMVDKDADGRITEEEVKEIITLSASANKLSKITEQAEEYARLIMEELDPSNLGYIDLYNLEMLLLQAPSQSMLSQNLRPTAEPNPLRRWYRRAQYFLEDNWQRVWVLLLWLSICAGLFTWKFLQYRRRAVFHVMGYCVCVAKGGAETLKFNMALILLPVCRNTITWVRNHTALGRAVPFDDNLNFHKVIAAGISVGAGLHIISHLTCDFPRLLHATDAEYVPMIPFFGLPRPNNYWWFVKGTEGWTGLVMLVLMAVAFVLATPWFRRGRLQLPGFLKRLTGFNAFWYSHHLFVVVYALLIVHGHYLYLTHKWYKKSTWMYLAVPMVMYACERLTRALRSSVRPVKILKVAVYPGNVLSLHFSKPQGFRYKSGQYIFVNCAAVSPFQWHPFSITSAPQDNYVSVHIRTLGDWTRELKNVFSKVCRPPTEGKSGLLRAEYDRDGGPMSNPSFPRVLIDGPYGAPAQDYKQYDIVLLVGLGIGATPMISIIKDIINNMKQLDGDLESGAASGGDASVHPSFRTRRAYFYWVTREQGSFEWFRGVMDEVAETDKKGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDVVSGTRVRTHFARPNWRNVYKRIALNHRDQRVGVFYCGAPVLTKELRELAQDFSRKTSTKFDFHKENF >Et_9A_061806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16697105:16699114:1 gene:Et_9A_061806 transcript:Et_9A_061806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVDEDVSPKKTKQGGFKTMPFILANEICDRFATAGFNANLITYLTQQLHLPLVEASNLLTNFNGTAAFTPVLGAIIADSFSGRYWAIAGGGALYQLGMLGLVVSALAPALRPAPCVAGASATAPPCQRATGGQLAMLYMSLLLTALGSGGIRPCVVAFGADQFGQRGRRPGGEQKWSYFNLYFFSMGLAVLLALTVVVYIQENVGWGWGFGIPAIAMFVSVLSFVVGYPLYVKVKPEGSPFKRLLQVVVAACKKRKEAVPEDAALLYRNKELDAPIAADGALLHTDQLSMWFVVYFGVRAPTSPKIRNTKKLCSCLPHVNRFLDRAAVVTTGDVAADSGGEPDLWRLSTVHRVEELKSIVRMLPLWAASITLIAAASHNFTFAIQQARTMDRRLTAGFQIPPASMIIFTPLTMLVSLALYDRAFVPLARRYTGRRSGVTYFQRMGAGFAVSVLGVIAGAFVEAKRRGVAAENGLLDKPTAVVPISVFWLVPQYALHGVSDALSTVGHMEFLYDQSPESMRSSAAALFWVAGSLGNYLGTVLVTVVQSASKGVWLQDNLNRGRLDYYYWLVTFLLVLNLVYYIVCFHFYTLKTFEVDTGDDAQRRRDSGEDVCESDKLTESRN >Et_7B_055124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7585970:7586871:1 gene:Et_7B_055124 transcript:Et_7B_055124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSGSGHVIGVPVMSKAYGIEEGCRDPSFRKVVDGDHLAVSLTHPSPYASFGYKHSSKGQVIHWVSKLGRRAQGFREHVTLGPKLSETVKGKLSLGARILQAGGIERVFRQAFSAEKGERLVKALQCYLYTTGGPIAGMLFVSTRKIAFRSDRPVTVTTSPGGGDTARVTYKVVVPLKRIGKVRPSENVDRPEEKYIHVATVDGFEFWFMGFVSYQRSCKYMQQAVSELQ >Et_1A_006086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17597728:17605367:1 gene:Et_1A_006086 transcript:Et_1A_006086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGKGGGGKKKKEVKKETKLGMAYKKDENFGEWFSEVVVNSEMIEYYDISGCYILRPWAMEIYDLMKEFFNAEVKKMKVKPYYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGKSDLEAPIAIRPTSETVMYPYFSKWIRSHRDLPLKCNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADEEVLHVLELYRRIYEEILAVPVSKGRKSEMEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFDITFENEKGSREMVWQNSWAYTTRSVGVMVMTHGDDKGLVLPPRVAPIQVIVIPVPYKDADTTAIKGACESTVYTLNQSGIRADLDTRENYSPGWKYSHWEMKGVPLRIEIGPKDLANKQVRMVRRDNGAKVDIPVNNLVEEVRALLDGIQENLFNTAKKKRDACIEVIKTWDEFITSLNNKKLILAPWCDEEEVEKEVKARTKGELGAAKTLCTPFDQPELPEDGCLV >Et_1A_009434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5304799:5308090:1 gene:Et_1A_009434 transcript:Et_1A_009434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGSASVASGSCSFIPDDILFFQILILLRVKCLVRLQSVCKVWRATITSTDFIRCHQKHSSRSRPSMVIMPRKYQEKSQKLGLQGVTFYKFDPGKSKVAELILEKKCPNGIPVFSMPLHCDGLILIPCQTGRIFMCNPSTREFVELPRGSPNVVGDHRVAFGFDPCSGKYKVARHFFRSYNEKPHSDGEGTVMQYSAGHEILTLGGGEEAWKWKATMDPPYAINPRTPICLPGFFYWSALHSSTGYGDTKDEMFTVHPNPPCRGFLSENDTLCELGSKLCYVHSASIWDVAVWLAEDGPNIAWSMRCRIRLPVPRRLNVFACASPDQDKAVRIQSDVGRITAVKVMISFSIQVDMISELSTGSLLHGSSGGGNAAGTSTSATGGGGGGFAGLVHAETSSARMTPEKAPRRCGRNRERTQNTSTPGGKERAYDFDKENGGCSSARTIRPTMWGSTLLSRTQEENTKESSQEPRQKLLHGSSSGGNAAGTSTSTTGSVGGGGGFASRLALRRGAREPRNRLASLAQSYHSSGPSACPAHTRPKMQDSKVSTSTATSTAKNVPMEPEPCDCGGGMALPAAEPW >Et_2A_017546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35378974:35379727:1 gene:Et_2A_017546 transcript:Et_2A_017546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAETFPSFHPKATLFTHNDGRAAHLLQADGTIPIRHAGESFNLPAVIWLPEPYLRSPPIVFLSPTRDMVVKPKHPLVDCSGLVANLRSLVFPSSNHPLLFTRNASTPPRILPTPTATRSPSPTYTATHAHRLESSFPSEVFKRNAITKLVDIAYADAATLRSTREAEAEMHHRGDGVRRMGDEKEALERSLQDVMMATDVIQSWVMEDNRRGDTHATDDAVQTADILSR >Et_4A_035351.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2167165:2169543:-1 gene:Et_4A_035351 transcript:Et_4A_035351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDDGAASSAPAAKKAANRLVVEEATIDDNSVCNLHPATLQKLSLFKGDIILLKGKRRRDTLCTVLPDEQCAEQALKINKSVRSNLRVRLADVVSVHECQNVGYGKRVHILPVDDTVEGLTGDLFEAYLKPYFVDAYRPVRKGDLFLVRGGMRSVEFKVMDIEPAGEYCVVAPDTEIFCDGEPVKREDEEKLDEVGYDDVGGMRKQLGQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKMAGESESNLRKAFEEAEKNAPAIIFIDEIDSIAPKRDKTNGEVEKRIVSQLLTLMDGLKARAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVNLEVVARDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAITNDHLKTALGGTNPSALRETVVEVPNVSWNDIGGLDGVKRELQETVQYPVEHPDMFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGGSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDSALLRPGRLDQLIYIPLPDEASRLQIFKACLRKSPVAKNVDLGALARFTAGFSGADITEICQRACKYAIREDIEKDIERQRKAKESTEDMEVDCAAEPAEIKAAHFEESMKFARRSVSDADVRKYRAFAQTLRQSRGFGSEFRFPAKSQAAEPASTAIDTDEDDLYN >Et_3B_031292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24500838:24504211:-1 gene:Et_3B_031292 transcript:Et_3B_031292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSRLALPPPPPSAGAPSKTTMPLVVGVSVGAVLLLGLAVGLICFFAGKKRRRRSPPPSQGFPAEFYDPRRPVSTPPHLSHAASSTPSSTPPMMHSWQSSRGPSEPPLNPSPLLAAGGTFAYDDLAAATDGFSEANLLGQGGFGHVYRGTVGGQAVAIKKLRAGSGQGDREFRAEVEIISRVHHKNLVSLVGYCFHGEERLLVYEYVPNKTLEFQLHGSGRPTLDWPRRWKIAVGSAKGLAYLHEDCHPKIIHRDIKAANILLDYNYEPKVADFGLAKYQAVEASVVSTRVMGTFGYLAPEYAASGKVSDRSDVFSFGVMLLELITGKKPLISSSDFQQETLVSWARPLLTRAIEEDNYEALIDPRLETNYDAHDMARLIACAAAAVRQTARSRPRMTHVRLLLRRTPAVSVSCTYRFKIVRYLEGELSAEDLNAGVNPGQSAMHRSSGGGTTDQIGRLRRMAFGPGAGEGTTGITEYTSSEFSEPTSEYGQNPSSEYTASSAGDTGEVAPTPQWSGGRRDTERMSRRTTISRQAGRGARQ >Et_2A_015641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16292312:16299935:-1 gene:Et_2A_015641 transcript:Et_2A_015641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSICRSHPLKEAWQLDRPLISLGRTSRRCLGLKSGTGREEGASLRRLMLFGFKNASVRRLLRELIVDSTGAAVLSLPCPVTSDAILPSTTKDALNMPCPVSAASPSTIDALNLHCPVISDAASPSTTKDAADVCDAEDLHIFLNETGGTAKRSIKPSQTESAAKRVHYQDIFTSADSHCESARGSADEGGSAWLQDVYDTRCLPPLLLEVADHSKHSTADNVGETPQRSSQQRDSPSGSYLGSEKSDLESAEKEVALSTMSILRPQAITLLKRSNKEKKPKHKKNEKFNASVRMASAHIPSDDCYKGVTVPTTIGKETNRNSSEKCVLGNSFRDMVKDGCANDDCTNNDSASKLDEIKVVVEDSFEDDYHIWGYSRFKLKGVHHHESDDACSRDLNENSKVPDDKVEGHPEPLECEVGVHDSPKPPDVVYDHEKGQYILSDSLLACLEEEFGGEDMSFPTNPNQCDSNVKQKQVEEQFKGPDTNCVKDGSSVSLDMSCHKDIENGLVDVRAQAQCRIGNENTTMPVECESNIYGHMPLKGELIASHHQPDQGYRYTKTPGRGSDHHLELVGCYRHPMPVLSIMLNTRNHGFLYVCVLCGFPDSCQRVLYVYTITPKGQQDAPPCFVGHTPLLLPSLEQSSTGNFSFGRSGLQLTSDGQFLVLLSSIRIPLCRMQSIDCSCYECKSGQCEDNSLKIVSVNSGYVSLKTKLMTYGIVSCFLMCEPNYIVAAEDSRNLHVWKMVTGWSRISEEYVIPSLGHVGPSILELRRMPKSSSLIIGHDGAGGFCLCSRLIKSMKVRDISKRSLLATFAAPGNIVFQILPVGFCSLQEDIIHASVVDIEKRLQEITVSDMSRKNDRESILIPQREDIAVWVLVSSASVAEYQHDLRAKEYNARWRLALLAKKGILMGNILDSRATAVDTSGNYGFAGTYGGLLYMWEVSSGRKLAGTHCINRGRVSCIAVDAESGAVAVGDDGCQLLLYTQKVQSDGGSIYSG >Et_5B_043686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1394993:1406610:-1 gene:Et_5B_043686 transcript:Et_5B_043686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPEPSTPGTPSPVLSVRIVTLDYYMAPPLPGFDFTRSPFHGEEVEEVPVIRIYGSTPAGQKTCLHIHRVLPYLYVPCPEELFHNIEQGHSYIAGFLSDLEKALQIRGPSKRRHVHGCSLVRAKKLYGYHSSEDLFLKIYLYYPYEVSRAATLLLGGAVLNRVFQTYESHISYLLHFLVDYNLYGMGHVHVKDFKFRPPLPDDFHPKSSLRRKAHLDNSDIKNAAVWTSSTVSHSSILISSPASYCFGGTSLGFANRQSSLMLEADSSVEGILNEKYKMYTSLSQTTTDTKMVQSLIAIWEELERLRILEETKHPDLGRPSREEVLKSFLHGIKYESALSMLFPQEGSHPKVSTIEESERLERCFKSLTDIVGTVTFSQDDCDNIGVGNSAGLQNEKENTSQSSSENAHTISPERNSQHLVSSSLAQRTLSQLSDEGEKHVDAEALGLLSWLASSQAADEPTTDDELINEVILSPLIAKKSIEVALKSAHLDFDNASQQECQDILDSVDPVSPAEERTHTSFLNSVMPDSSSSLGSTIPQADGSSDENPKVPQEYEKSKITKRTVGSPSYTSTKNSSKSTSKCAGTEHLWGSLPISSRKRPYRNADDPGSAMQSLIASHNSTTENSHDISGSTVKESSSFVGEDDSAGRSVRDLMRRRSIRPVQLEFDSAGTAPCTMDKESEAVKSGGLEFHDFASDNSSEMPNSHDEYLRMTFAQKPPFKNQSLESPSGTTKTGLADLPFFYQNMVENKQNESFEHTGRNAFSAGVLGVPTHFQNDGLALYLLTHALLPPSAVSVGHWITQQSCSSNLKDGEIVPADQVDEVSSTISPNSPTISTGKNYTTKFAVDAFEVESALSDKENKRLDDWFDFSQISAGGEKDKLTPLSQIGFRDPASAGGGQQLTTLSIEVLTESRGELRPDPRFDAINAVSLTIEDDADNSVETHVFIRDNNDKSHRRRNLEGKVDVFPEEKDLLDNLISAVCLTDPDILVGWEIQLGSLGFLAERAAYLGIGLLKRISRTPPHEMNHPPKNPVDESSQLLPEASSADDVVDDVRESNWSHTHASGIHVGGRIVLNLWRLMRAEVKLNNYSLEAVADEVLRQKIPLVPNKILNRWFATGPGQGRHRSIEYINNRSRLNLEIMNQLDLVNRTSELARVFGIDFFSVLSRGSQYRVESMLLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESAFYSDPVVVLDFQSLYPSMIIAYNLCYCTCLGKVFPSKSNVLGVSSYSADPHTIVDLKNQLLLTPNGVLYVQPEVRKGVLPRLLEEILSTRIMVKQAMKKLGPSQQVLQRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRRTLEIAISFVNQHPLWKARVVYGDTDSMFVLLKGRSKEEAFRIGKEIASSITAINPDPVTLKFEKVYHPCFLLTKKRYVGYSYESPEQKKPIFDAKGIETVRRDTCPAVAKILERSIRIMFEEQDLKMVRLYLERQWTRILSGKVSIRDFIFAKEVRLGTYSTRASSLPPAAIVATKAMLSDPRAEPRYGERVLYVVIHGEPGARLVDMVMDPYGLLEVGSPYRLNVLYYIVKQIIPALQRVFGLLGADLNKWFNEMPRPLRPALAKRQSASGPASSSHDGSLILLGLNKKASTRGGRIDTYYMSSHCSICGDIIQGSDMFCNNCLKNEAVVATVVTGRTSKLEREIQHLAAICGHCGGSDWVVESGVKCISLACPVFYERRKVQKELRVVAESAGEAGYYPFCCAELF >Et_8A_056636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14142708:14147343:1 gene:Et_8A_056636 transcript:Et_8A_056636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAILLLLSADCPAAAGGRRPLLPALPLGQRMASTNPCLRFRASVRASAAAAAPAVRGLPHHASVAGQSSGIYTVGDFMTKREELHVVKPTTSVDEALEMLVKHRITGFPVIDDDWNLVGVVSDYDLLALDSISGTGLAEADIFPEVDSTWKTFREIQRLLSKTNGKVIGDVMTSAPLVVRETTNLEDAARLLLETKYRRLPVVDSAGKLVGIITRGNVVRAALEIKKKVEDFNPRLCMLLPPVRRHRN >Et_7A_051064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14083512:14086351:1 gene:Et_7A_051064 transcript:Et_7A_051064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSGEVTREGLLLAPSKLMPLVGYPSRRGPPVRLPGRARRPPPSRATAPKCNASPLPPLLTRRTVSAASLLLAALPLPALLPQLVPIASATEVVAEVQEGSGGAAELQLDRYTDQEQGFTLLKPTSWPKVEKAGATALFQQEGKGSNNIGVVVNPVRLNSLPEFGTPQFVADRLLQAEKKKESTKSAEVISVGERSGHDGLKVYEIEYILDSTRGGMKRIFTAAFVASKKLYLLNIAHSDSQEKPLDSQTRTVLEQTGLSFRAKQDICLGICPRRKVLEVVKRA >Et_2A_018782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7398643:7402732:1 gene:Et_2A_018782 transcript:Et_2A_018782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPREDLDRPSAQWTLTACSSSKRRRRLTAPVSTVAPDVGTMAFSPWASMNGDLLSLIAWRVLAGDLRDYVCFRAVCPHWRSSTVCPRGRGVVDPRFHPRRWMMLPEGHGLYPSHGKLRGYIRFFNLDNGKFVRVKLPIFRDHCVLDSVNGVLVMYWDQDTAIRLFNPFTGDYVDLPPLVPLAQHVSEDLPGGSHPVHKLYYLGGVCASISVSATGVITVMLALHRMGRIAFATSNDRQWNLSTWRLDYHNPLSFEGKLYLVRMNFVSTHNSEILQVDPPQVGVGSASSLPTPKLIATLPTGKLTRPIFLAECGTKGNCTFGRNRANGEGRESGAMGKKGQLYNEKKACASGRSYIE >Et_8B_059730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:21088724:21090508:1 gene:Et_8B_059730 transcript:Et_8B_059730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARLKLGLRMDTVVSLSPLRHPAKLRRGMVGISSATAANPPLLKWGSSNNSTPGWSRLCSSSSSVSVSASGAATTPPKAETKKEKDLVFVAGATGRVGSRAVRELIKLGFRVRAAVRDARRASSLVQSVQQLKLDGAAASSPAEKLEIVECDLENQPQDGIVSAIGNAALVVCSIGASEKEIFDVTGPYRIDYLATNDLVQAATAAKVEHFILVTSLGTNKIGFPAFLLNLFWGVLYWKRRAEEALISSGIPYTIIRPGGMERPTDAFKETHNLVVAPEDTYVGGLVSNLQVAELIGFMAKNRKAAYCKVVEVIAETSAPLLPMDQLLSAIPSKRVGSVVVVVRQFDSLILRNATKTERPLSSYTTITIDHYHHILWT >Et_3A_024303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19325685:19326241:1 gene:Et_3A_024303 transcript:Et_3A_024303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGLKTVSLWFPRPYAGSKEDDEDSNERNGLLRSHLDQIVPVADAEEEAKALVVHVEPKTVALKVSMHCHGCARKVEKQVSKLQGVVSFKVDLESKKVTVVGNVSPTEVLESICKVMKRAEILAAPH >Et_1A_005221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33981836:33982273:-1 gene:Et_1A_005221 transcript:Et_1A_005221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLRKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGVGMRYFHKLRNKFYCPAVNVEQLWSMVLGKGRLPDKPIVVKAKLISKVAEKKIKAAGGAVVLTA >Et_7B_054825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4701786:4704938:1 gene:Et_7B_054825 transcript:Et_7B_054825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNAGPTVDVKLFIDKEKQKVLFAESDKEFVDVLFSFLTMPLGTIVRLLGKQSEIGCLDGIYKSVEDLSVDHFQTRACRDMLLRPHNAAAIQCDRLTVKVDDANPRAIYLCSTSCHQRTFSSIPNAICRHCNGERNLKRELPRYDHDAVHDDGVFIKSGPKMIITDDLQVAPASTSLGFSLLDKYELNEEANIEETFLHLNSNKITNLLKRTLICKQPLTGLCFDAAITPDSVNLNELPANLFPKQASDNAQKFDAIKIKLIQAADDSSVVYAEDFVDLVFGLLSIPLGSIIKAYGQWPPNGCAENLYRSLSGSARGCIKEGHGSLLLSPKLAPFFGCSINVLQVEEADRKIESYSFKCLKCIKMGQMHVNKDFYEPYITINEINPKSPSGENGTTRAYIRQGGLRNFIVTNDLRILHFSLANTLQLLRAAKIPKEKLAEKELTLDKTQIVKLLRAALFTKEALSRPFSGECIHVFKDPNYNSPKP >Et_1A_005679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12683567:12686599:-1 gene:Et_1A_005679 transcript:Et_1A_005679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLKAARVADVPSLDVVAPGLVVAADEASPAAVTTNRGAAAGRFSVIGHRGKGMNALASADRRLQEVRENTVRSFNDAAAFPVDYVEFDVQVTKDGCPIIFHDDFIYTEEDGNISKKRVTDLQLEDFLQYGPQKEQGKGGRPLLRRMKDGRMLNWNVQSEDALCTLQEAFEKVNPRLGFNVIFEYAKDRPVLFSSFQPDAAQLMRKLQSTYPVYFLTNGGTEIYTDVRRNSLEEAIKHCLASGLQGIVSEARGIFRHPAAIPKVKEANLSLLTYGTLNNVPEAVYMQHLMGVNGVIVDLVPEITEAVSELIAVPEPDTEVEGLSNQPAKVAATPNFSQREISFLLRLIPELVQ >Et_8B_060139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6307557:6315017:1 gene:Et_8B_060139 transcript:Et_8B_060139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLFFDQYQGSIGSKGAIDVHRSPVSIPVKGRQSDLMVLTASLVYLQIKFCNCTGRSSSSSLRNLNNTGISMTCKGCSGDSTADRGGPSCSNKLNRMALPRPINPEVRWNSVNRKQRTARRARTSFFGGDIMKDELKSFYAFGNAINREKSQKDAPVSDSEEHGVSILGRRFSDPLGNVPIKKRRFHMDCSPSPPPTPLPGDPYENMLRSCSGGIPLYEKRPKVKMLEDECKEEHRGPFDFDDFSGISILAAAACESEMDSDMLNGLCSKLGHPLEERKLEKTTSSTENLLHDMKGDKSNVLEASNCTYDVPVQSSYSAPDTKPLFATKPTSSDNQDESASAPKVNCSLYSALDSADKEEIASDTKSSSIAMLSDSGNPATSLACSQHGATQTECASATRDSRLHWDLNVAMEAWDTDCGSDDHGGPTVATASNHNDVKNDMNKPELPHDHDSIDAGDAPDHSADQIHVVDIPKDVNKMEEGDFTVGSSSQPLQHQSSQNLQVLKSESIGKDISEETLNLPDQQKSRFASAVVHLGSDPEPILVTEHFPSTANVEKNEVSHLSAASSKGLSHISYVNGHVGGNSTETSELGFTVRPLASRLVSEESTNLPTVTAFHGRITDVGWSDNKLEEASEQSISELKNQELLDDSGTSKMHQSISKKGEHAENLTHPEDNLGSSVCDMTHVHEDDGADAMINSKDCLVTCASSSSAETYYILGAVQTPALSSECTKPVVTDAGSIVDSQAAGHSYKNTYGNELRKVASDTCIEHCYETDASHYSKNAAGIGKVDAEEDDSQYEDGEVRESGDWAGDAYEEVKCGTWHYQTSDYKNESVTPDMPPLLIDSASKNVGIPVSYRTQSRKADDNVSPALSKHLVSTNCLDAGSGASGKAWCIHSRVTGQTEMYEINPGRETVGSAATVSQSEKCNDVRGDDLLSIRMKNTDWDMLSEERRHSQRDSRDRADSSNRYVSSSLDAAGGDGSLRRTGLSDRDVQRLERPRSFDRPLRNVPSRSDDGYASGSKAERAIDSHRSNVACDVPRRIPAGNRDEQQVENSKYPHSTRHKSPECYNYGSAGPRNAAEATVAKMESNGFVVARDGTLVRAVDAANVGHIARRMINTSSSYRPSSGRGSPVDRDGTCRLSRGPAQAREASSERQFGTNSNCSRRYGPQIEKEHTSHGNLSSVRCSLPSRQRGIPTGRASQNLSRAHSRSPSGSRSRSPHDWASSRNRRKIMANGGSTLRRPSRSPPNRMARVRVGSMTSPKRQPEYDDRAMRYSPPSRNHNYPHASAWVDGRNNSTVDLSDHTKRYSRRSPQRITSRNDRFDVVESQGRSRAGEFYHPAQGRLPYGYDRGNKHDRHGDDQREYGDRYQSHSVKPYDRNDVVKQFRNNTGDKFRARISAPRSPEHQRRASPRRFDRSFERKTSHAILRQTSENLLNLLQNVLLKLLRNARGLKPLMEHPDGLANCDLGKS >Et_3B_030569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:490077:491583:-1 gene:Et_3B_030569 transcript:Et_3B_030569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWLPFLLLAVALLLPAGRCSETPQYTTVHTESDFEVRQYRDIVWMSAPSDHSSFHVATKLGFHRLFQYLMGANLNSSRIRMTTPVLTSVVPGAGPLHSSAYFVRLYLPVKFQASPPVPLPELNLHPDRWPSHCVAVRSFSGYARDQNVVEEAEKLALSLSRSPWANSTNHPSKTAYSIAQYNNPFRIIGRVNEVWFDVNCKSTGIETY >Et_5B_045187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11282553:11285605:1 gene:Et_5B_045187 transcript:Et_5B_045187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITACRIAAPLGVAPPPVRAGAPSFAQCGEHTLFAVVSLSAAGAVVVTSSKVSRGVVAVRATSSSESSVETPEIVKVVQDAWDKVEDKYAVATLGVAGIVALWTAVGALKAIDKLPILPGVLEIVGIGYTGWFTYRNLVFQPDRSGRLPSWGSVEARWARLAWIGCGLTARPFIQAATLPPLHFGVSFPCPWPVLLLVIIC >Et_3B_028800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19227888:19228949:-1 gene:Et_3B_028800 transcript:Et_3B_028800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSKELLDLPSESRPPASFIESLLARREQPQQKQDKEGKRKAGPPSDPLPKSQVLGKVKDFLGEIAKANEKLQHEVQNKPPEEYDIEALTGNEKEYIEMDLLLGVADLHSEQAVEAAEATMNGFPPSGKSFTCSSSDSEDDSDDSDEDAGDQPNVSDKDKCKGPAEVEMGPTKGKKPCKRQKIVVLN >Et_3B_028160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11096566:11100649:1 gene:Et_3B_028160 transcript:Et_3B_028160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVADVAIPACAAVGIAFALWQWFLVSKVKVSAYAPPGNGHAVFRAEDDDEDVGMGGDRDEEEEGDGVVAVARCAEIQSAISVGANSFLYTQYKYLAAFTAIFAVVIFLFLGSVHRFSTADRPCQYTPGRTCKPALANAFFSTVAFLLGAFTSAVSGYLGMRVATYANARTTLEARRGVGPAFAAAFRAGAAMGFLLASLGLLVLYGAVRAFGAYYGDDWEGLYESVTGYGLGGSSVALFGRVGGGIYTKAADVGADLVGKVERGIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESTCAALFVASISSPGADHDFAAVCYPLLVSAAGLLVCLLTTLVATDLFKVKTVRGVAPALKMQLVVSTVLMTVAALVVTFVALPARFTLFDFGEVKQVRNWHLFFCVAIGLWAGLAIGFTTEYFTSNAYSPVRDVADSCRTGAATNVIFGLALGYKSVIVPVFAIAVSIYVGFTLASIYGIAIAALGMLSTVATGLAIDAYGPISDNAGGIAEMAGMSRRIRQRTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVADVNVLSPKVFAGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFATIPGLMEGRATPDYARCVRISTDASLREMMPPGALVLLAPLVAGTFFGVRTLAGLLAGALVSGVQIAISSSNSGGAWDNAKKYIEAGASDHAKSLGPKGSDAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLIFK >Et_6A_046112.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:25550772:25551269:-1 gene:Et_6A_046112 transcript:Et_6A_046112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKTEKKNNDCVTTVMVTGWCRPEVKEFWEWYQQTFCVMDYCTLQCIHWSMNTTFLVCLGTYACYVITIWCLKLVNDVVYLYLLLLIASEMLITVQMLILGWMEAPVLILVPYVYDPVNLLVLSLTVLYLQMLPLFFSLEYYMLGDKWLCHWSYYLQPTALFNG >Et_10A_001352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23664328:23667898:-1 gene:Et_10A_001352 transcript:Et_10A_001352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDVSTVPSPSTRQSEPFNKRYNKEKKHRQVEHFTPMHEKTSRNSSIVVIDTSTEDWEDSELLTRKARIFDVRDEEPLAIPKHMETPGKETQRADLASKPQVDRVDTLQETIIANSGDVINQLDDPLETQKKEAIIANSGDVINQLDDPLETQKKTYKIRTERQWDDIASSTLNDYTGCGNLNGRHGLLAAGSCSNRCRELMLRLFAERWTPSAALPEGSRFPDHCASHSTAFAVLHATLGPPGTVRIAGFCMKGCSSSSNAFLSTHFSILPRSGQYYHLPLGINAHCLVDELLVQERHTSLKAPSRSRLVGPQAVRLINNLSACFMVKFVFVRGLVEVEVSSKDLIRAFTGDDHLDPECLDLA >Et_6B_048937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15817602:15818881:1 gene:Et_6B_048937 transcript:Et_6B_048937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCCFFYVLGAWQRSVYGKGDAIAAAVGARRLAAELSRWSVRSGVVATRNSDRPRVPGGRPIQHVRPIYVGGLGHFLPRELEERRPRCPATEAAAPGTGCAPARPRAGPCPPPTERPPWLCGLQISKDDTRPSIFRRSQPSSPASHSSNNSECSEKKGQKKRAIETTLFPSNQNFLTAAFGKAKDML >Et_4A_034673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5319646:5323066:1 gene:Et_4A_034673 transcript:Et_4A_034673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVWSGCSTSRCSFGQELPLRSKRGGHGTRCRLQNGSRCRGDASFQVKAPSPTMITAGGCVCKAAPCVLESEVAGKEDADVGVWGLNGEVHGADGFDCGKIRGLRRRPVRPPAVVEKLEVSARNAPSVPASQLESKSEHRGSRLHFLEERDEGALSKRLIRLSQSNKVRSATELFDSMRASGLHPSAHACNSLLACFVRQNSFPDAMRMFEFMKGKGMATGHTYTLILKAVANTEGYVSALKMFSEIQEEEDSKKIIDAIVYNTMISACGRAKDWRQVEKLWRSLEENSLSGTLMTYDLLVSTFVQCGQSELAIAAYQEMLLKGLDPSEDIMKAIIASCTKEGKCEFALSTFSRMLNAGMKPNIILLNSMINALGKAGQDELAFRMYHMLTSSGLKPDQYTWSALLSALYRSGRCWDALELFQGLKAKHPSVLNDHLYNIALVSCERLGQWEHGLQLLWMMEKSGLKISAVSYNHVIRACEVACKPEVALKVYRRMTHQGCSPDTFTLLSVIRACIWGSLWHEVDDILEEVAPDSSIYNAVIHGLCLRGKTELANKVYTKMRSIGLTPDGKTRSFMLQHICYSSCMSSFHCWMNIPHASFIPERTMMIAARNQSMGQVDIGT >Et_3A_024649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2336719:2341465:1 gene:Et_3A_024649 transcript:Et_3A_024649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELGETAAMQRRPKTKIVCTLGPASRSVDMISRLLRAGMSVARFNFSHGSHEYHQETLDNLRAAMDRTGILCAVMLDTKGPEIRTGFLKDGKPIQLKKGQEITISTDYTIQGDEKKISMSYKKLAVDVKPGSNILCADGTITLTVLHCDKEQGLVRCRCENTSMLGERKNVNLPGVIVDLPTLTEKDKEDILKWGVPNKIDMIALSFVRKGSDLVEVRKVLGEHAKSIMLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFFAQKVMIFKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICVQAESCVDHAAVFKSIMASAPIPMSPLESLASSAVRTANAAKATLILVLTRGGTTARLVAKYRPSMPILSVVVPELKTDFFDWTCSDEGPARHSLIVRGVIPMLSAATAKAFDSEATDEAVESAIQNAKAMGLCNTGESVVALHRIGTASVIKLLTNRFWL >Et_10A_000354.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:502313:502414:-1 gene:Et_10A_000354 transcript:Et_10A_000354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDSVPGVAGLSLAESGGFGNVACAISRYPEY >Et_7A_051628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21507080:21509706:-1 gene:Et_7A_051628 transcript:Et_7A_051628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLDSLVGSCARKLQDFFQEEAILILGVKDEIVELKRVMTQIQCLLNDAKQRRTEGSAVNNWLSELKDAMYEADDIIDLAKLERNRLLDDHPPSSRNSSSFTGSSFCSCLPNIRRRHEIAVQIRNFNIKLEKISKLGERFLKIQNMQPEAVNSLVQKVKTWQLVEPNLVGKEILRDCRRLTEMIHRNKGKLYKLEGSEKTTLAQKIFNDCKIKGSFSKQAWICVSQDYSATNILKEHDQTVEELGTKLATAIQNRSYFLVLDDVWQHGVWTNLLRIPLDTAATGIVVITTRNDTVAQAIGVQHIHRVDLMSSEIGWELLWKSMNITEYTEVKNMKTTGFEIVRLCGGLPLAIKVTASVLSTKEINENEWRKVINKSAWLVNKLPIELGGALYLSYDELPRHLKQCFLYCALYPEDFTMHRDDHIRFWIAEGFVQEQGTQLPEDTAEEYYYELMNLLQPDPNFVDYSRCKMHDLIRKLAQHLLGEEFFCGGSQMLKAKYLPKLRHISIAMGKEFLVVPDAQKKHTRVRTLTIMCEELKVKNTIFKRFPKIRVLDLTDSIVKSIPDCIGSLIHLRSLDLDGTDIACLPESIGCLINLQILNLQRRLGLRNTPINHVPKGIGRLNFLSDLEGFPVGGACHNSTKMQDVWNLEELGDLCKLRKLVPGTTYSLLTDKTHLKKLHLQCTEHAKESFSKEDVSNIERTFMQLVPPHNLEELYISGFFGLKYPAWLGSSTYLSSLKYFNLINCKSCAHLLSIGQLPNLKYLKIKGATAVTKIGPEFVGCGLRNPRSAEVAAFSKLETLVIKDMPNWEKWTLAVEEEEATILACKTKQY >Et_2B_022383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19659784:19662008:-1 gene:Et_2B_022383 transcript:Et_2B_022383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQVRPRSRFLLAVLGLLAASPNELKMEVVSGHYVSIHILLIYAGKQLADDKTAKDYNIEGGSVLHLVLALRGEHFQDPSKSLLQLNYLYHSEATAWNMENSR >Et_10A_000221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18429527:18430474:1 gene:Et_10A_000221 transcript:Et_10A_000221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGTQGELPMAMHGGGGGSPFLGLHHEHQQREQQYQHQHHHHGANGRHASPPEEEKNRQALAVVPVSSSGGGGVRYRECLKNHAAAIGGSATDGCGEFMPAGEEGSLDALRCSACGCHRNFHRKEPPPGGAGDVGGRHLHLGHHHHPLAAGHHRGLLVAALPPAPTRMVMPLSAAMHHAGAGATSAESDDARGAGAAPPARKRFRTKFTAEQKARMLGFAEEAGWRLQKLEDAAVQRFCQEVGVKRRVLKVWMHNNKHTLARRHQPLAGDGDGGGGLPEHLPEPGRSPSRSPPQQQQPQLRLE >Et_4A_035664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3386211:3391992:-1 gene:Et_4A_035664 transcript:Et_4A_035664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRKRKIMESQEDLGPCDNRRRWKSVVPGDIMKRSKRGNERDSAAVPSDIRKRSKWGNERDSADVPGDIRKHSKRGNERDSAAVESRCPPKRTRPSSSRALAPAAEESEDTVSEDEVPLAKVCIRCNPKKVIDTIQMLTPEQHHQICVFGFEDFMKLTTGCLGSRELLVWLMDRTDPVDMCINLEGGRKLYFKPRDVHLVLGLPLGGDLPTPRSEDEINAQMEILRAHFNIPDKSVKIFYLDNLHHPITQASPHEFPRTKYYTTNMMQTIIKAARFIDASGNLKARGNTIYGVGLTDSEDDDSPAAHEGLCAFHLPSIRELVAPNLRALRPSLRIQALETLDKFDADALVITNKIAGEQRKIAGEHRKLQNKTIEVARSIAILFDRNAQTQETNAEQPRPLISLSPAAEEHVNDDQLVKSPSPAAEEHENDDQPVKSPSLAAEEHENDDQLVKSPSPAAEEHENDDQNTKGNSPADVTEKPHIENEKDADSTDADSSKADIHRDMSGTQTQIASGQIASGTGDDVAKSIAGFSTAPGTETEDAMGRRIITRPPHASRHEHFVPLQIPNMRRQAGEGVALCLHRCIIDSDSKYREALLMQYGETFFASGDFIRQSFDGGRCTDYEFLDAFVQCINADDKHLRPALLEERLIIKPAALVSANSDELPQLGRNRDFARQDLDRGSKFKDHHDPIGQKLMLRMQEALDEVSNGACKTFVDLRRVRFPCPTMVKPNDCSFLTMRFIEYYTADDGCLENVFKSLELRADYLHYLLFHEENGAVLPDEFKEYIVPGVPY >Et_8A_056482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10612522:10613983:1 gene:Et_8A_056482 transcript:Et_8A_056482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPADYYEILNVDRSATDDDLRRAYRRLAMRWHPDKNPAGKADAEARFKEITEAYNVRGPEILACGMLEDSTEFCTICFNFDLFAIYDKRLLRTDMFDHQVLSDAGKRAVYDQYGEEGLRGGAAPQPAGGADDIFAEFFGSTPFTYCNTAGGGGVGGNARAGRQPPPPPPAWNSGGFGRAYRGDQGAAGGGAASAVAPAVESRLACTLEELYVGVTKKMRISRNVVDASGRMKTESEILSIEVKPGWKKGTKITFPGKGNQQWSQLPADLVFVVDEKPHAVYRRDGNDLVAEARVTLAEALGGAVVVLTALDGRQLSVDVGGGDDAAPVVRPGYELVVPMEGMPMPREPGRRGSLRIRFDVVFPERLARRQRAQIKRALLEDG >Et_8B_060396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13112257:13113074:-1 gene:Et_8B_060396 transcript:Et_8B_060396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTLALNTSSRRAFSAASLYTRPYGAIHCSCLAAIAESSSVSSRAARTTELQRKARPRTATSEAFSGMAMVDSIRPVECFCLVELENQTHRRPDARTTQAAKDRRHDEADGAQARPLRPK >Et_4A_034767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6354894:6359915:-1 gene:Et_4A_034767 transcript:Et_4A_034767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMASITSELLFFLPFVLLALLTFYTTTVAKCHGWRRTKRKRPNLPPGAPGWPFVGETFGYLRPHPATSVGAFMEQHVARYGKIYRSSLFGERTVVSADAGLNRYILQNEGRLFECSYPRSIGGILGKWSMLVLVGDKHREMRSISLNFLSSVRLRAVLLPEVERHTLLVLRSWAPSDSDGACFSAQHEAKKFTFNLMAKNIMSMDPGEEETERLRREYITFMKGVVSAPLNFPGTAYWKALKSRAAILGVIERKMEERVQKMIKENSSAEEDDLLGWALKQSNLSKEQILDLLLSLLFAGHETSSMALALAIFFLEGCPKAVQELREEHLQIARRQRLRGDSKLSWEDYKEMVFTQCIINETLRLGNVVRFLHRKVIRDVHYNGYDIPRGWKILPVLAAVHLDSALYEDPNSFNPWRWKSNTPFSAQFGSFLPYGGGPRLCAGSELAKLEIAVFLHHLVLNFRWELAEPDQAFVYPFVDFPKGLPIRVQRIENEEGVNSDRT >Et_3A_024613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22585566:22589972:-1 gene:Et_3A_024613 transcript:Et_3A_024613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEMPDAGGKDRSVDSSSSHGYPLSAPPQHQQYGTFGPPSASGQFPQPAVGYPQPAPPPGLQHYPTPPPASYAVYPPPPQPYSGAATYYAQGYQAVQGYIPIVEGRPVRMRRLPCCGLGMGWFIIGFFLAAIPWYVGAFVLICVRVHDHREKPGYFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRSPCIQIIKTATVHFKLCKRDNTKQFHNAKIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >Et_3B_027422.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:15320538:15321557:-1 gene:Et_3B_027422 transcript:Et_3B_027422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSEAYDNSVQMGQCLISFLLHDLLLLENQIPFFVVYGIYELFSGEGECSKLADRIGECLEHFVGYAIPLHGPCRPNRFDHLLHFWHLYFRPTEEREEPQEHYRSFPLLPSYLKRTYEKLNIGCTPENGKIRKTIQSSRFIRSGNRLIRWHPAEQYYEAGVNFEKRVFSEHDRHSLLDIRFSNGQIEIPAFVIDQNTDSFFRNLIALEQTCPQYGNYFTSYCTFLSQVITKPADVTLLAKRGILVHHMRTDEEVSTLLTKLGKNVDFDINGDHYLKSLCIRMEEHYQSRVNRWMAWLWHNHFSNPWLTLAVLAAAIVLLCTILQSLFAFLAYINPAEA >Et_4B_036257.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13412213:13413553:1 gene:Et_4B_036257 transcript:Et_4B_036257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QLISRHTIRQPPLERVQQRRHHVRHDALRQRQAGALPPARPERQEIELLAPPEVHLLTRCRVHEPFRHELLGPLPQRLVPHDRPRVEQDRAALGHDVAADLDAVFDGLVRQQQRRHRVQTHGLLHHGLEVRQPGRLGLLDGFLIAAESSLDFFTRLRHDARVVEQLGHRPAHGARGGVAPGDEQLEHEGSHRVAVDLARGQEIQERVEEVVIVLILGGQPALDNSVQDAEHALHVTGHLAAPPLQVEPSEEGEEVGDVRLRDGVEEDLHLLVQPVHGRLADPDRVDGEEGARYQVEHAAEHGVADVHGFCSPVGGAFAEVAHQVAHLALAGAARRGEAARGDDVRRHDAAHRLPLRVGARLVQHRRAVQAQHAGRVGHVARGQAPVVLREGLPQRVAGGDHHRGGEPHPEAHDGAVRLREASQRVVEVATEIQQVADQREGSRPRR >Et_3B_028037.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:417918:418166:-1 gene:Et_3B_028037 transcript:Et_3B_028037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSRIHMTDRAPGGIVMEIKLMLRLDFMHVNVFHKSRTCNNVAHELAALGCVVAEEVDPVLDNLPDCLCVMVARDSATPPV >Et_5A_040824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13019403:13033288:1 gene:Et_5A_040824 transcript:Et_5A_040824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSGCCLVSQRPPRSEGRRRPRRYPSHGHGDGIDHISGLPDDLLLQVLARLRCARAAAHTSLVSRRWRGLWRQLPELTFREVAPNALRDALAQLPLLDIDVPKDLRFSPAGVAALLRTAARLAPLELSLIVWGRAKDRETAVEVPHFHRATSIKLSVWNLYLALPEQDSAFPVLERLSVQGCSVSFDALIPQCPKLRALEVLSFSAPVVENLYWSCGFDSMFQGIGELWSMGNMSLELEESVNLLCLDIDALESIKDANLMQKIVQFPDFSVMKLYLATRGHAYGAIVFNLLGLCTAIKRLKIITRQFKHLFRYAPMLKVIIVRLSNEASRRGCKKIYHMFKSCPSLKWSFYRSCGEQ >Et_4B_039768.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28998234:28998437:1 gene:Et_4B_039768 transcript:Et_4B_039768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTACTTEEAEKAYKAAEKCFLHGDIDGAIRWASPLSSSHRDLGNALAAYEVHAAAALFPSRGENW >Et_2B_022022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8178519:8188528:1 gene:Et_2B_022022 transcript:Et_2B_022022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGTRIYSLLGLLLLLAVAYLSWFPGRGPSPSGPGPGGLKVPVPWLQPRMSFAARAGARFVDADTGAPLYVNGWNSYWLLSARSPALAAEMLRRGRRMGLGVCRTWAFSDGGPGALQISPGRFSEPVFQAIVTRRNSYSGIRYCDEPAIFAWELMNEPRCVSNSSGPHLQAWIEEMAAYVKSLDSKHLVTVGTEGFYGPGRPERFDVNPGDWAASLCSDFIQNSAVKHIDFASVHAYPDTWLPKASMEEKIKYLSKWVDSHLNDSEYILRKPVIFSEIGFLQHAEANGTVDGDTLLKVVYDKIYMSAKKLQPGVGALIWQLMVDGMHTYHDDFSMVARDRPSTYKLMKEQSCRLQRLHLKEGYTTVL >Et_9A_063458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3036120:3037316:1 gene:Et_9A_063458 transcript:Et_9A_063458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSSSSSKPVKLYGWAVSPFVARALLALEEAGVDYDLVPMNPDAGDHRRPDHLARNPFGQVPVLEDGDLTLFESRAIASHVIRKHKPELLGVGDLERSAAVDMWLEVEAHQFHPPALAIVTECIAAPLRGRVRDQAVVDENVGKLRAVLLVYEARLGCHHYLAGDDVSLADLNHFTLMYYFMSTEYGAALVGPFRG >Et_5A_041141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18688533:18690603:1 gene:Et_5A_041141 transcript:Et_5A_041141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSPPTYKHTLKIFQCPGVLLVLAAMVNAVQEACSFDAVHGEMPRRRRVLMFPLPFQGHINPMLQLADVLHGRGLAVTVLHTHFNALDPARHPEFDFVPVPDGVPAEVAASENVIAIIEAMNAAMEANESAALRDVLESVLADQGQPPAVCIIFDANLLAVPMAAAALGLPTLVMRTASAACLGCFLAYPMLHEKGYLPPQESNLNLPVKELPPLRVRDLFYSSRSNHEKMQKLLARAGEATKNSTALVAWGLANSGHPFLWVLRPGLVQGSNGLALPDGFEDAVEGRGKVIQWAPQQVVLAHRAVGGFWTHNGWNSTLESISEGIPMICSPQVADQMMNTRYVEKRWGIGFELEGELERGKIAMAIRKLIKERDGYEMRERAKGLKEKVADCLKTGGSSHVAIDKLVQYIQSL >Et_7B_053463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21072911:21074215:-1 gene:Et_7B_053463 transcript:Et_7B_053463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYAVVSISGDPMTRQCTPPDHSGGRNPCWDMTLRFSVPASAAGGGGGWLHVLLRSERALGDRDVGEVVVPLAELLAGADGPAQQPPRLASYQVHTVHRGEPRGVLNVSYRLGPVVAPVKRADQQPIQTRNGSYYPAAPQFQPQNGSYYPAQQPFQPQNPAQPYNRPREAYPTPPRHEEDLSPRKTTGNNGHGPTQVCVAPHTQIILIAPAAAPPAPRSNTIPAKKADEPRPKPSYVPPQSNQHDNTQVNWPKVGPPKLAQEKTDHSRPKSFPQHHDTQVGARAPNWPNVSPPKLAQEKTHHSTPNAPQQDTQVGSYALNRPNMSPKKPDPSRHEALPPQQATQAREYASTQSNIHHTGKADSRPKEAYAPTRAANNTPGKTDQSGPKAALQHERMPTAAAR >Et_4B_037275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17523400:17527599:1 gene:Et_4B_037275 transcript:Et_4B_037275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSKKSKSKRVTLKQKHKVLRKVKEHHRKKRKEAKKEARAGGRKKVEKDPGIPNEWPFKEQELKALEARRAQALQELESKKEARKERARKRKLGLLEDEDIANLASAASAQGTQFAEKDAAKDNAPLAVAKSHERSFYKELIKVIEASDVILEVLDARDPLGTRCIDMEKMVRKADPSKRIVLLLNKIDLVPKEAVEKWLTYLREEMPTVAFKCNTQEQRTKLGWKSSKLDKTSNIPQSSDCLGAENLIKLLKNYSRSHELKLAITVGIVGLPNVGKSSLINSLKRSRVVNVGSTPGVTRSMQEVHLDKKVKLLDCPGVVMLKSSGSGVSVALRNCKRVEKMDDLISPVKEILTLCPHEKLLSLYKVPSFSSVDDFLQKVATLRGKLKKGGIVDVEAAAKIVLHDWNEGKIPYYTLPPKRDAVEESDAVIITEAGKEFNIDEIYKAESSYIGGLKSIEDFHHIEIPPNAPPGIDEGMLEDGKKPSEPVEESHEESMSDVNDREGSKATSASTQNDKLYNAEGILDPRKRKAEKKRRKANKFSVLNDMDEDYDFKVDYQMKDAPANDEDGSNDISTGDKDGSKTGDAPEDNDPMTGVDDA >Et_3A_023213.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:11639496:11639948:-1 gene:Et_3A_023213 transcript:Et_3A_023213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERTSHVLEDPQGYRIKRDALNNRLSDLITLDRVWQLAKPGGGIFAERRELRDLCLSYSLFKTLRRRLSGYPLAEAGSGEALEFVLRGMDSRPGVPCPRGRALVRKRLLLLVRPAVHHRRVVRRPQLPLLRPHRRRVRRRGMDLSVQKN >Et_5B_043535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11571612:11574250:-1 gene:Et_5B_043535 transcript:Et_5B_043535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIAPAAASRLHLLRSRSVGGGGGGGGAPPTFAVGINALTGLQLRSLSSSFSCACSPLPSPGDDGKDGAPNLFDESSILSPVIPWEIDDIWRTYAGYFFILHIPLSFGGLDVVAKLLHCSSLNPMTTVISTIILQLAQLTLALVLLQYTAIPGHDVRAYFVGKVSTQRNWIREAVLGFGLLMTLVLITSIVADKLIGPEDAYDPTLKNILSDSPISTLLCFVLYSVVAPLSEETIYRGFLLTALSSSMKMRNAIVVSSLVFSVAHLSGASFIQLFVIGCITGLAYCRTGTLVSSFTIHSLYNAAIFGKQSSH >Et_1A_006014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16690639:16692860:1 gene:Et_1A_006014 transcript:Et_1A_006014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAAARMLPKAVTFVTGNAKKLEEVRAILGGSVPFQSLKLDLPELQGEPEDISKEKARMAASKVNGPVLVEDTCLCFNALKGLPGLNNLLKAYEDKSAYAMCIFSLALGPGEEPITFVGKTPGIIVPARGPSDFGWDPVFQPDGFQQTYAEMPKSVKNQISHRGRALALVKEHFASANYTIQNDGSA >Et_1A_005308.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:39327208:39327540:-1 gene:Et_1A_005308 transcript:Et_1A_005308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACDEKQSVQALFEAKHGELVAEADGVAREFGVDVVTVAFRPGGDDAGAVVHEFRLARPEAAEQLVASDVAAMGPAELGQHTARLLALRAAVVRKLQEKDKIEEGHRL >Et_1A_005850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14714366:14719175:1 gene:Et_1A_005850 transcript:Et_1A_005850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLASASRGAMPSLLGKLDAFLSDSYKLDDGMRKDFRFLRAELDAMDTFLRRMSEVEEYSKQAQCHAVVVRDLSYDIEDRIDKLMLLDSGDKDEVITKEIRGLMKLVDEVKERHSKYNNQIDNELKAMISTPSKITADPRPRFLYADVSELVGIDVLMDDLIFMLTCGEGEALLPQRKVSIVGFGGSGKTTLAKQVYHELGPQFDCRAFVSISRKPNIWWTLRSMLCQISNDPCAYAETWDENQLIDEIMYFLQDKRYLIVVDDIWSTNVWENIECIFVENGCANRVITTTRKTDVAKHCATHELFVYKIGPLTAVGSQKLLFKRLGWEQACPAEMSEVSEGIIEKCGGLPLAIIALSSLLASNQNKDWWSQARGSIFSVVDTNHDIEIMRRVFHISYVDLPQHLKTCLLYMVIFPENDEILKVHLVNKWIAEGFICATNGEDPHELGESYLNELINRSLIQRVKTLYNGKVGSCKVIPIVHDFLKFISMEENFATSISSFNDLTVDNKVRRISVVQSNNEGNAIIFPSLITTHLRSLTVFGPILGILAHLSSFTFLRVLDLRDCSVLENHHLRNIGTASLLRYLNIGGTGVSELPRQIGDLQFLETLDASRSKVKELPTTIPRLGRLVHLHVPQGTRFPQGMACLQNLQELEHIDVFGHSASFLHELDQLTNLRKLSITLDYNKMKRVNGSHKDMLLSSLHRLETCNLDDLSIKLEFVNEGDISEDSWRCHGRGSLRDLEIHAESIFKVPKWVSSLGNITALLLAVNDMDRNDLYVIGSIPNLLHFSLVLRDPEPESSCIIIGSGHGFQKLTHFIFASRRRLVFGVRSMPKVTDLTLFIDASSCLRSACAIRNLSSLSNIGVLMNGVDPSEVIAASDAFKREVSIHPKRPTLEIYASAVRPEEDEEEDEEEEEEHRRRRRCISRSHSYNLPYRLADC >Et_6A_047462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6114070:6118507:1 gene:Et_6A_047462 transcript:Et_6A_047462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRNLQYVKDPGDAEKARLKQQKNIQNLVLDWCSSGKEELVSDKKQDLAVLETLEPPSEIKKLKINGYGGPHLPCWMRQNDDSYLRGIVIKQTSTPRFLCLTVLKLKNLPNLKHMQGILVFPSLKFLKLYKMPNLEELWTTIGSEIGQEELDEQYCFPVLSHLEIRDCAKLIVKPYFPPSLESLRLRDYNDQLLSPGSLLVSRFPPHADDPSSSSRVLAAVPHLKELELNRMTGSSYDWEFLQQLTGLESLRIWRCNDLKELPLSMKGLTSLQMLFIYQCSSLAKLPEWLLRHLSIIDCSALQSLPRSIQCLTALQGVFTDDCPDLAQRYKKGVGKTGTLCPIFLLFRYSIREHQASLASGLLKVAGDKLVSLIASEFAAVTGVKKDLSELQNRHGEITSWLSAVRDLSIENDPRFGWVTKLKDVAYDIDDLLYEVHLEAEKHKTPKSFLFQGKVAHKIKEIEAILAAITKQRSDMNAIWHNLPVNQAILSKNKATGAPSLLTNVEESQIPTRDMEKAKIICNILESNKGEDGWIVSVVGLGGSGKTTLAKHICNDSKIKEHFKDKIFWIHVSEEFDVKKLFGKLFEAISKKKSDLHTPQHMVDEISRKLTGDKFLLVLDDCWHQDKEDWKDFMLNLRTHTAGSTIMITTRDHYWEP >Et_4B_037782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23333267:23334805:1 gene:Et_4B_037782 transcript:Et_4B_037782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGIIGNYHHSVVASRVAMLAHVLFLTTAVLMLVWLLHYRGGINIQSQDPDQIFNVHPFVMSWGFILLIGEAILAYSTIPMDHRTQKMAHMLIHLVGLILAIFGVYAAFKFHDAAVTPDLTSLHSWLGIATLSLFALQWLFGFATFWLSGAAHEHTRAAAAPVHVMAGLAIFLLAVCTAQTGLVEKSAGAGSVGEARLINVTGIFILLYGVAVGSTVALRRAFL >Et_8A_058439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7839925:7844327:-1 gene:Et_8A_058439 transcript:Et_8A_058439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGKVVAKWDTFAAKIFNEICVEEVLAHNRPGQCLNSAGYANLVRKFYERTKRPYTDGQMKNRWDILKKKYAQWKTLNLRATGLGRDPVTGCIMADDQWWEEQNAAMPGCKCFKDAPIENEDQMRIMFDAVLKNPCRGARSVKMAEELEVLTIISVKMGQELRLYSLLCFTTHEATSEASIEKPWPLLMGWCPRCRRASRCLLTSRNCWV >Et_2A_016401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24251338:24255472:-1 gene:Et_2A_016401 transcript:Et_2A_016401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAHKPLSAITADDLAAAAPGSDAAALHAAVWRVLDSRGGDGPAAVWGELCRSVLRPAVPFVVHRMLYYGCFAGFPSSTPPAWTPDPEEAVLINVGRVLEMRGAELIGDAYKDPITSFSDLHKFSNENPEAYWRMLFEEMGIEFSVKPSCILRESDAYPGGEWLPGAVLNAAANCLTAKPGRNSNDVAIVWRDEGEDSDPLNYVTLEELRKKHSLVANALDALDLPKGSAIAIDMPMNVNAVVIYLAIVLAGYVVVSIADSFAAPAISTRLKISETKAIFTQDYILRDDKELPLYSRVVEANAPMAIVIPVRGSLPIKGLRVGDLSWPDFLGRVNHTKVDDYVAVEQAASAFTNVLFSSGTTGEPKAIPWSHISPLKAAADGWCHMDIRKGDVVAWPTNLGWMMGPWLVYASLLNGASMALYNGSPNSSGFAKFVQDAKVTMLGVVPSIVRTWKNTDCTAGFDWSTIRCFSSTGEASSVDDYLWLMGRACYKPVIEYCGGTEIGGGFVTGSLLQPQALSAFSTPAMGCNLFILDGIGNPLPPDVAGIGELALDPTLFGSSTTLLNADHHEVYFKGMPEKNGKILRRHGDEFERTSDGYYRAHGRADDTMNLGGIKVSSIEIERICNRVDDSILETAAIGVPPIGGGPEQLTIAVVLKDQSQTADLNQLKLAFNAALKKLNPLFKVSSVIVVPSLPRTASNKVMRRVLRKEFTQATQTKPSKI >Et_1B_010799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14192626:14204617:-1 gene:Et_1B_010799 transcript:Et_1B_010799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRSGSSDGGGGYDKGGVDSGKYVRYTPEQVEALERVYAECPKPTSARRQQLLRECPILANIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLSAMNKLLMEENERLQKQVSQLVHENAYMKQQLQNVQNPSFANDTSCESNVATPVNLKDASNPSGLLSIAEETLTEFVSKATGTAIDWVQMPGMKPGPDSFGIVSISHGCRGVAARACGLVNLEPTKIVEILKDRPSWFRDCRSLEVFTMLPAGNGGTIELVYMQMYAPTTLVPAQDFWTLRYTTTMEDGSLVVCERSLSGSGGGQGAASPQQFVRAEMLPSGYLVRPCEGGGSIVHIVDHLCLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFHDDGWSVLGGDGAEDVIIACNTKMIRNNHNAASAFGAPGGIICAKASMLLKGVPPAELIRLLREHRSEWADYNFDAYSASALKACPGSLPGLRPMRFSGNQIILPLAHTVENEEILEIVRLEGQALTHEDGFLSRDIHLLQLCTGTEEKSMGSCFQLVFAPIDELFPDDAPLISSGFRVIPLGIKTDGVPSGRTLDLASSLEVGSATQQTSADASQDDCNLRSVLTIAFQFPYEIHLQDSVVTMARQYVRSIVSAVQRVSITISPSRSGLITGNKIISGFPEAATLVRWICQSYQYHLGLELVPHSDEVGEALLRMFWDHQDAILCCSFKEKPVFTFGNQMGIDMLETTLIALQDLSLDKIFDEPGRRILYAQFPKLMEQGYVYLPAGVCLSGMGRHVSFEQAVVWKVLGEDTTVHCLGFCFVNWSIGAQAASLPTVAHWHNIHRRPILFRFWAIAQYSPRNPD >Et_9A_062861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:627224:631117:1 gene:Et_9A_062861 transcript:Et_9A_062861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLTPNAVAAISEHADGNGTLKPVLQVMDVRLVTNKANASERFRMVLSDGVHTLQSMLATAENQKIRDGSITKGTIIHLQEFTCSTIQHRRIIIIINLDILQSECAIIGSPVPYGSMNLPREQGPNVPAAAAQTNDGTYSGGPGLPGSSVASRASQVANNQGPNVSATAAQTYGGTCSGGPGLLGSSATPSASHVANNQGPNVPATAAQTYGGTYSGGPGLPGSSVAPRALQVANNQGPDVPATAAQTYGGTYTGGPGLPGSFVASRAPQVANNLSYGESYSGGQEMVGSSIGQKIEPVPNASSGGSYGTLSAHNTMNTNMMQPPPQQPSLNSQQNQRFTDPATTGGIGPPSNTYGRPAQPLYQQAPVYMNRGPATKNDATSPVVPLAQLNQYQSRWTVRVRVTAKTDIRPYTNARGSGKVFNFDLLDAQGGEIRATCFNAQADQFYNQIEIGKVYLISRGNLKPVKDRRYNHLNHECEVTLDYSTTIQSSVDDGSIPMQQYNFREIGELENMEVKAIVDLVGVVTSVSPSVPILRKDGTEVQKQILQLRDMSGRSVEITLWGKFCDAEGQQLQQLCDSGLNPVLALKNCQVNEFNGRSLSTISSTQLKINPEFPEAVKLRYWYENEGKTATCVSLSREKSSTGMNGVRKTVAQIKDEELGRHGKPEWITVKGSISHLKADNFFYPACTLEANGRQCNKKVTNNGDGSWYCDKCDKPTACEYRYLLMCQIQDHTGVTYATAFQEGGMEIIGRSAGELAALKEEDEAQFAEVMQGVRFHMYIFKIKVVEETFNDESRIKCNIVKAEKLDPLKESGYILREIDSILQGDGDVGAPPEVQGARAYSAGFNNSGHTVPTSDNAGAAHMGHGAMCGDSGNQFGQQTNTYGRAPTPVSATWNVPNCMTCGSSGHTAQNCPAGMCRPQPAASAASSYASSPGHAGSDLCYNCHQPGHHGNVCPVATSVPQPQSYGNASGGYSRQPYVGATNY >Et_10A_001721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7202085:7205708:-1 gene:Et_10A_001721 transcript:Et_10A_001721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPDAASAPAALPAAAAAEEAASAESSPSQTRKEELLPVGEKISELNQSQSELLGRLRGLKEDLQSWRSNLDTQELSDIKSVLNNEIEQLRSDFQELRTTLKKQQEDVTLSLKSLGLQDTTENDGSKGSGEEKGLSANLGNLKLDDSSENHEGGRDAKEDNTEAAAEDGAADKATKEGSASDE >Et_1A_005503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10916882:10918151:-1 gene:Et_1A_005503 transcript:Et_1A_005503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCAKVRTVDMKPEGSRLLLVVRSASSIGPDDDCLRSSDLAQWPVNLLAGSHVASAGANSNSLSRRSFFSSAERATRIVSLLMPIRRITRPAHDDCAALKAPSTMLYCASLCDHCGLKMLNSVAARKRGHKYTISG >Et_2B_022124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9455827:9462284:-1 gene:Et_2B_022124 transcript:Et_2B_022124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELKKKLQPLLFEDSDRDGLSTRVPVPEDTCDSYVVSDGGTVNLLSRSLGEYNINELGFHKRSAGPDEENSDEKAYRCASHEMHTFGAIGNGASSVVERAIFIPVHRLLALKKINIFEKEKRQQILNEMRTLCEACCYPGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIKVNKSIPEPVLAHMLQKVLLGLRYLHEVRHLVHRDIKPANVLVNLKGEAKITDFGISAGLDNTLAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLTVLECATGKFPYDVNEGPANLMLQILDDPSPTPPEDAFSSEFCSLINGCLQKDPDARPSCEQLLSHPFLKRYEKSDVDLEAYVRSVVNPRERLKQIAEILAVHYYLLFNGSDVIWHHMKTFYMEESTFSFSGNVYVGQNDIFDALSNIRKKLKGDRPREKIVHVVEKLHCRANGETGLAIRVSGSFIVGNQFLVCGEGLKAEGMPSLDELSIDIPSKRVGQFREQFIMEPGNLMSCYYILKQDLYIVQA >Et_9B_065756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10189242:10190399:1 gene:Et_9B_065756 transcript:Et_9B_065756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPYEQVMEDMLRGRDFAAKLQVLLRDSPKAGLLVDQILDTFSRAIDAAKAMAAEEGSEVQSEVTCAGGGGGKRKAAAGGAGGRASRRRTQQSSVNTVTTSNLEDGHAWRKYGQKDIQNSKHPKAYFRCTHKYDQNCTAQRQVQLCDDAPGTYRITYIGVHTCRDPATIAPVVVHQVAAAGSDVLPAGSRLISFAPNASGTTTSATTTGNTTNQLRADHQEGASLRSPGSGLPPLKLEGGVDQEEVLSSLTPAGSARNPLATTPGPDQGDVTSGLHYFGGGVDDMCYDDTFDLEDIFRY >Et_1A_007554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35870954:35872400:-1 gene:Et_1A_007554 transcript:Et_1A_007554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSASLAAFTNPFPSAFLTPRCRCPSEPVKIRSAGRRRASTLKAICRLSRRTAVSEMVALGAVASCIDLLALAMPAQAAMQEPDVIRYRKLDSGVKLEDVVEGEGPEAREGDLVEFNYVCRRANGYFVHSTVDQFSGESKPVTLPLGGKEMIRGLKDVLIGMKVGGKRRALIPPEVGYVDETLKPIPEEFGPRRSLLSHAKEPLVFEVQLLKVL >Et_2A_015039.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27264090:27264170:-1 gene:Et_2A_015039 transcript:Et_2A_015039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRCAAPSTCTPSASLTPRRQTS >Et_9A_063607.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:9635956:9636501:-1 gene:Et_9A_063607 transcript:Et_9A_063607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAYRRLVLLAAAGLLSAGLFPQALGKGRGGGPVNPQVASICAHARFPEVCTSTTGRHASKYPVIDYRAVLNMQVDAFAKKTAQARKHVSKTSRATPLLIPNLHFCDQMYEHTQDAIKAAQRAIPFKDKGTATIMLQLAVQDFESCDRPFISGGIPNPMGKFNTELSQIAQNCMALAQMI >Et_7A_053172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8802038:8811367:1 gene:Et_7A_053172 transcript:Et_7A_053172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATNHDAASSNGAEYGVEMTEASELRRRGKPGDRPGLDDGGAADAAGGGEDEAEEAASVERAFAGTAVPSWREQLTVRAFVVSFFLAVMFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRIWTKAIESVGWLKQPFTRQENTVIQTCVVAAYGLAFSGGFGHYILAMSSRIASQSTEANDAQNIKDPHLGWMIGFLFVVSFIGLFGVVALRKMMIIDYKLTYPSGTATAYLINGFHTPHGAKLAGKQVKKLGRYFVLSFVWGFFQWFYTATDECGFIQFPSLGLQAFKNTFYFDFSPTYVGVGMICSHIVNVSVLLGAILSWGIMWPLIEKKKGVWFDASLSDKSLHGMQGYRVFIAIALILGDGLYNFLKSFLLTVASIRSQLKKKNASTLPVSDDGIVTSTAAISYDEQRRNELFLKDQIPWYIALGGYVVIAAISIGTVPQIFPQLKWYHILVAYIFAPVLAFCNAYGCGLTDWSLVSTYGKLAIFIFGAWVGASHGGILAGLAACGVMMNIVGTAADLMQDFKTGYLTLASPRSMFVSQVIGTAMGCVIAPCVFWLFYKAFDNIGISGSEYPAPNALVFRSMAILGVDGFSSLPKNCLTLCYVFFAAAIVINLIRDLVPKKVSRFIPIPMAMAIPFYIGAYFAIDMFIGTVILFVWQRLDKANSEAFAPAVASGLICGDGIWVLPQSVLALAKVKPPICMKFLSRATNAKVDAFLNTIS >Et_1A_005667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12566983:12572558:-1 gene:Et_1A_005667 transcript:Et_1A_005667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQVMDQRRHLSPLNNPTMAASSFSEDLRLPTERQVGFWMPDSLPHHIGSKSLASSPLEKPQPIGTKIVARPELLQGYKSRDQKMAFSLEHQLFGQERHANLPPSLWRVDQDPNCQSDSSLKTEALFPDGRRTNPNEAFNENGLFSSSLSEIFDKKLKLASKNVLVRQPVEKVDLNHVDDEPFELSEEIEAQIIGNLLPDDEDLLSGVLAEVGRTGNANNGDDVDDDIFYTGGGMELETDENKKMTELNGGANDGHGLLNGSLNGEHPYGEHPSRTLFVRNINSNVEDSELKLLFEQYGEIRALYTACKHRGFVMISYYDIRSARNAMRALQNKPLRRRKLDIHYSIPKDNPSEKDINQGMLVVFNVDPTVTNDDLNQIFSDYGEIKEIRDTLQKGHHKIIEFYDVRAAEAAVRALNRSDLAGKKINLETSRLGGTRRLTQHTELGQEELGVFRLGSPSRNSPPISSFGSSNMATIISSGLENGSIHGLHSGHRTSMSPFREASFPGLSSTIPQSLSSPIGIASAATHSNQASLNELSHSLGRMNGHMNYGFQGMGAIHHSLPEVHNGATNGAAYNLNIMAPVGVNNSSRAEAVDSRHLHKVGSGNLNGHSFDRAGEAGFGFSRSGSGTSHGHQLMWNSSNNLHRHSNSPVLWQNAGSYVNNVPSRPPAQMHGVPRAPSHMLENVLPMHHHHVGSAPAINPSLWDRRNSYAAELAEAPNFHPGSVGSLGFPGSPQLHGLELNNMFSQTGGNRMDPTISPAQIGAPSPQQRAPMFHGRNPMVPLPSFDSPGERMRNRRNDSSANQSDNKRQYELDVERILRGDDTRTTLMIKNIPNKYTSKMLLAAIDENHKGTYDFIYLPIDFKNKCNVGYAFINMTNPRHIVPFYQTFNGKKWEKFNSEKVASLAYARIQGKSALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPMGTNIRARSGRSRTSSGEESHEIQTTLTNGDSSSNGADTSGPTKETE >Et_5A_040379.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:18305863:18305922:1 gene:Et_5A_040379 transcript:Et_5A_040379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHLYSGTINGLIMVNPW >Et_3A_025167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27565024:27567903:1 gene:Et_3A_025167 transcript:Et_3A_025167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVRRDADRSAAPEFVALDIRGGAESPETNSDLVNLVAHVIESAFAGKAVERERNGDTNSSSTGLYEKQTVAVHVDGSPREQLHPLTPTAGGAKRRRASRRAPGWRDPRKILFAFAALSSVGTLILLYFTLSMGKTTG >Et_2A_016769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2805352:2814639:-1 gene:Et_2A_016769 transcript:Et_2A_016769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAASAPHQPPGLQAPLNDQQQKALIVNAYRLKAISERIEAHLGGLAVLSTSELAHLVYAFARGIDFALSAGDVPVMAKEIPGLLRKVYELRKDPFIQSSIMVLIISCKNACFKEWFQPTDSTDIHGMANELSRNFCTSHDQAANDSTVLETILKVMPRYYPLMKFERLITSMEAKVGYDILITDFFIDRNIPRDEKIRLLVVQKDNLDASSCITNPPHVSILVNGKGVDKRTNIAMETGPQFPTDITKMLKFGANIIQAVGYFNANYIIAIAFINDSTPVGAPTLDDYAQPVSVGPADSDVLEGPSRVSLNCPISFRRIKTPIKGRLCKHYQILQETGDDVIDVLIYVDGSWKVDTAQADKSDRHTGNTIQQTGGNVETDSSSPQVIDLVNGNDAGDLPMDWTSASEDTKPLMNSQDLSVADYLPNLPINAPAQAEDPNRLNGTSGGSNIALTSRQNLLLPSTGGFNSSSFGTLESILPQNVLHPVITDAVSPSLETSTPTYGMQHASDIVQLQSQTGPLHVSEARRYPIPRNSRREPIGVQALPVPQQYPGSSRRLQPSTFNCPPPIPLSSPASSTPQAHHVTHLDSVTTPRNNGVGSLPRTPSVGPLPHRQSTMLDMRNTSSYLSSRVMGLAAPHYMGPRQSPAVAGHGGGANACRSGPPPDQFMLQNRMMNQSALAASGQNSAAAQFRPAQADIQSHLFPAQRSQTLRPQAGPQASTPQAVPRAPPHLQPPCVPTVALNTHQVGTSDDIPELPVDENWRPTGQMRGSLTGNAYSQAIDRYLGQPAQQQNQTRPPSTSDARPH >Et_3B_027911.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26201950:26202138:1 gene:Et_3B_027911 transcript:Et_3B_027911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VQIFSLKVAKIWGGLQWPLHVFGKVAVRDVVDHNRNMIFNRPRESCQILTQEVRIITNYSPP >Et_5B_044244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21963151:21972478:-1 gene:Et_5B_044244 transcript:Et_5B_044244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSPRVRNSRVWVCYHVLNMGYSCCLLVVMSVVPAEPAATVENQPVEDPPISRFTWTIENLSRVNSKKLYSEVFVVGGFKWRILIFPRGNNVEYLSMYLDVADSGVLPYGWTRYAQFSLSVVNQIHNKFTIRKETQHQFSARESDWGFTSFMPLSELYNPSRGYLVNDTCIVEAEVAVCKVVDYWSYDSKKETGFVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDLHDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDFKSTRKESFYDLQLDVKGCQDVYASFDKYVEVERLEGDNKYHAEQHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDDGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLTAQIGKDIYFDLIDHDKVPSFRIQKQMPFIQFKEEVAKEFGIPTNCQRFWLWAKRQNHTFRPNRPLTPQEEAHTLKELVNKAHNAELKLFLEIELGPDLKPLPLPDKTREDILLFFKLYDPEKEELRYVGRLFVKATGRPLEILPKLRKMAGFSLDEDVELYEEIKFEPNVMCEYIDNRLLFRSCQLEDGDIICFQKSPKRDSADQYRYPDVPSFLVYIRNRQVVHFRALEKPKDDDFCLEMSKVFTYDEVVEKVAEKLGIDDPSKIRLTSHNCYSQQPKPQPIKYRGVDRLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHATKDEVSVHSIRLPKNSTVGDVLNDIKSKVELSHPDAELRLLEVFYHKIYKIFAPSEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTQVQNFGEPFFMVIREDETLSSIKERIQKKLKVPDEDFSKWKFAYVTLGRPDYFEDSDTVATRFQRNMYGAWEQYLGLEHPDTAPRKAHTANQNRHSYERPVKIYN >Et_6B_049180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19107217:19107595:-1 gene:Et_6B_049180 transcript:Et_6B_049180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LDGFAVSGLLVVEPVPDHDAAVVGRLLHVGQQVESCLGDTMSFFPGRFAATNPRYTLLAGYLAVHLFHCCITPLTPASLYEHHASSKNRTCRRSKSPVASAFSTRQSGNPHRGVAVRCTGFFPPP >Et_7B_055367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9726409:9728674:1 gene:Et_7B_055367 transcript:Et_7B_055367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLAAASASPARCCLSPSYAALPRSLLHRLFLGPDSSRPPSLRRCIPFHRMAQFWTQPSLDKNKALVDYLKQYGVVSTDKVAEVMETIDRGLFVPEGTPYIDSPMPIGYNATISAPHMHATCLELLKDHLQPGMHALDVGSGSGYLTACFAMMVGPEGRAVGIEHIPELVASSIENVQRSAAAPLLKDGSLSFHVADGRLGFPDAAPYNAIHVGAAAPEIPKPLLEQLKPGGRMVIPVGSYMQELQVVDKKADGSISVRNDASVRYVPLTSRASQLQDP >Et_5B_043356.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:7524320:7524634:-1 gene:Et_5B_043356 transcript:Et_5B_043356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFAFVRRARRPPPSTSSPAPAPATAPEDAAGAPVEKRRRRPSSSGSAAWKPTLVAISEDAAVTAAAMAKAQPAKPAAAGKANKARPSPRAPAPRRASYDDFR >Et_9B_065514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:665051:669314:1 gene:Et_9B_065514 transcript:Et_9B_065514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSSSSAPAAHHLRLWWRRRGRAGAVVATFSVAFLAAALLLTLSYYASVPPSTSSSSSSPSSGRSPALVGLTLVRGAEEKGALCLDGSPPGYHLQRGSGSWCRNLRSCASRKKSMLGSSRYMEHQVEFTGILSDDKSQNPDFYSWNKVKIRYCDGASFSGDVNDELQNGTRLFFRGQRIWEAVMSELLLKGLRHAKQAFLTGCSAGGLATYIHCDAFRALLPKDSRVKCLADGGFFLDVEDISRKRTIRAFYNNVVLLQDIRKRFSNCDSNLEPGQCFFPREVVKNIVTPVFVLNPAYDAWQVQHVLAPVASDPQHSWLDCRLDISKCSSNQLEILQGFRKELHGAISELKEKRDWGMYINSCFVHCQSLNSFTWHSPSSPRVNNKSIAEAVGDWFFDRREVKEIDCEYPCNPTCHNLVFAKPFKA >Et_1B_014378.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7077853:7078422:1 gene:Et_1B_014378 transcript:Et_1B_014378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRANHTVVSPPMNATAAATTMVTSSPSPPRPPPPAGASTGGSGAWGPYASSRAFFSNVATIVIILACVSVLAFSLHAAARLLLRYLARRRATQAQAQALAPKAPSDAAGNADDASSEAAGAAAAGGVWAEAECAICLSELADGERVRVLPACGHGFHGACVEGWLAARASCPTCRAPSRPSRALGEP >Et_1A_007437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34583359:34587009:1 gene:Et_1A_007437 transcript:Et_1A_007437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPLTRHIMHVKKKIEEMHGKDTYPWGLQLLIFNGKVLKDESTLEENKVNEDGFLVVMLSKSKTSGSSGASAGQPSSTPSTRQAPPLDAPQQDIQTPVAPITTSQPDGPPAQAPSNAHDNAASNLLSGGNLETMINQLMEMGGGSWDRDKVQRALHAAYNNPERAVDYLYSGIPVTAEVAVPIGGQGVNMNDPAPTGEAGLSGIPNTAPLNLFPQGGSNGGGGAGGGPLDFLRNNQQFQALREMVHTNPQILPPMLQELGKQNPQILRVIEEHYDEFLQLLNEPFEGGAGDFLEQAEQDEMPHAINVTAEEQEAIERLEAMGFDRARVIEAFFACDRNEQLAANYLLEHAADED >Et_9A_061005.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:6788167:6788522:-1 gene:Et_9A_061005 transcript:Et_9A_061005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISKMLGVFCLLILVLWSSSFHHARAYQILDDTKYHLMHQCILYMEKDVGDVTPGYNSLCCKRVRSANVCNICDEFTPTEKSRIRLPRWVHITRECGNPLPIGFNCAG >Et_4A_035684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3698220:3699950:-1 gene:Et_4A_035684 transcript:Et_4A_035684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLIPTTSGGAHVCPSPPRPRRRRCRHVIAAASVPPPSEGVGRRAVSLVAAAAWLATTAGRKFPCAGRVFVHSGRAVPCVCVCLRTEIDMLSPLPTVAGANASPFDKYVKRKKLEPLETYVPAVLLARDQFVDLGPMHDILSYIRFIAEKSLKFEKPKYDESRSLLRSGPASSLRVNIRAVAQYASNNGQGKAASDAVDECLRALEDLDSLLLRASRNDPSTSVETMRSKISIALAALDNLLQTVPSAVMDKGKAIADAYRTPADDYVEQNAAELDPKLKQLEDIL >Et_1A_008145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4691518:4693740:-1 gene:Et_1A_008145 transcript:Et_1A_008145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRKHTLFLSLLLGLTVLGSESVPSLQDDWFDKWVLLQQRWYKKDAELVAAEAKRVNNEIDPTNDLGGENGYYTTISESIANIPDNSTKRYTLILKAGTVFREKVFLNRSKPFVTLKSDPTNPATIVWNDTATTLGKDGKPLGNVGSSTVTIESDYFTAYNVIFKNDAPKGQASALRVSGTKATFYNSTIEGGQGALYDQKGLHYFKACTIKGTVDFIFGLAKSLYEDCNIVSVNKDITAVSTAPPQQPLAGKKKKPLAGKPVKVAGKESGFSFKNCTINGDGQQIYLGRVSGGSPVVYSFSEIGKEIVPISWARRKIQKPESGIYYGDFKCYGPGFDAIKKASRSLALTEAQAKPFIGTSFISGDSWILDLPSS >Et_7B_055183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8092068:8094321:1 gene:Et_7B_055183 transcript:Et_7B_055183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTPPKSGDALFASVDRVNAELFTLTYGAMVRQLLTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSRCVDFKETADIIAKVFAFLALGFKMFLGVTATVTNWDAEGTTCSFILEDNPLVDFVELPDTCQGLQYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLIKQVPEEYPYKDDD >Et_5A_040233.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:25812193:25812659:1 gene:Et_5A_040233 transcript:Et_5A_040233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATSLFILFLFFFSLSAEHQPSQPFSRTTYRRRLLHRTSHPCATTGAGASCRRRGAGSPAAASHATPACRRRTSRSSLPPAPGRQRRPATLNQRARSGGDRQEDTRLAVVAGGEA >Et_4A_031924.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29905233:29905595:-1 gene:Et_4A_031924 transcript:Et_4A_031924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVDDGKNSALPMIVGRRMMSRADGEQRTLEDFKAEDPFQDSKRRVPNGPDPIHNRYCNLMFPTTSFEFGFRVGRFPCPFAFATSQKKLLSLWQYILHRELSKFKVSGLKMAFAKHVY >Et_3B_029461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25230567:25236358:1 gene:Et_3B_029461 transcript:Et_3B_029461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRGEEQRGAAAFLYAMAVLAAVLGGGAGVCAFEDGTAVYIVTMKQAPVFHRRLDLERFGSSRIANAGGSRGDTPSSSVLRKPRNSSPKPMNYGSYLVRLQNSLLKRTLRGEHYIKLYSYRYLINGFAIVITPQQADKLSRRKEVANVMLDYSVRTATTHTPEFLGLPQGAWVQEGGPQFAGQGVVVGLIDTGIDPTHPSFADDLSTDGYPVPSHYSGICEVTNDFPSGSCNRKLVGARHFAASAITRGVFNASQDLASPSDSDGHGTHTASIAAGNHGVPVVVAGHNFGNASGMAPRAHIAVYKALYKSFGGFAADVVAAIDQAAEDNVDIISLSITPNRRPPGLATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSYSPWIFTVGASAHDRVYSNYVVLGNNLTIPGVGLAPGTDGDGMYTLVAAPHALKNNATSPTEMSLGECQDSSRLDQDLIRGKILVCSYSIRFVLGLSSVKQALDTAKNVNAAGLIFYLDPFVLGFQLNPTPMPMPGLIIPSSDDSKVFLTYYNDSLVRDETSDRIVSFGGVAKILGGLEPNYGNSAPKVMFYSARGPDPEDNSLTNADILKPNLVAPGSSIWGAWSSRGLDSAEFAGESFAMLSGTSMAAPHVAGLAALVKQKFPSFSPAAIGSALSTTTTLSDRQGNPIMAQRTYSNPDSTQTPATPFDMGNGFANATAALDPGLIFDTSYDDFFSFLCGINGSAPVVTNYTGTNCLASTMTGADLNLPSITIAMLNQSRIVTRTVTNVAADESYRVSYSAPYGVAVSVAPTQFFIASGQKQIVTFVMNATMNSSAASFGNVGFYGDKGHRAIIPFSVISKIVYSS >Et_2A_018119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11820296:11821898:1 gene:Et_2A_018119 transcript:Et_2A_018119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRGWRERIGGEDTHSFGFLGRMGATGAECRPGHEALAVRLLKHLAAAAVSSSSSDVDNGAAGNLILSPLSIYAALALVAAGARGPTLEELLGVLGAPSRDALARFVAAAAKRALTDRSASGGPCVAFASGVWCDASRELKPAFLDAVVGSHNATTSAVDFRNKPEEACRRINAWVAEVTRNLITSVLNPTSVRPDSLIVLANAVYFKGQWQQPFDKKYTVDRKFFLLDGGSVTVPFMQSWEDQFIACYDDFKGLEMPYKNRDVVPVPDMDDPNFWELFMKRDDRATEDHPRFSMCVFLPTARDGLQSLVDRIASEEEGFLARHLPKMSFAVDEFRVPKFKLSFSGILVGILDRLGMRLPFNRRRADLSDMRPGC >Et_9B_064830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1896650:1900450:-1 gene:Et_9B_064830 transcript:Et_9B_064830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPAGPASAAPLTVASAVFHVQTALLDGRAANNEALLHATAPALLSRGDYDDVVTERTIYDLCGHPACPNPLPAAKEGTPRFHISLREHRVYDLEEARKFCSERCLVSSSAFAASLPTDRPFGVPPDRLEAVVALVEGGGGGGQELGFGAAADGKKENNGIKVEIKENEVAGPGEVTLQNWIGPSDAIEGYVPRRDRAAEGPKPEAKQNKVARSEQLRTMTLDSTNTGPGEDGTSVSSSSAGTHLSSEVIAKRMGDMVLSEKTKTQKKKATETQSKMFKEETGDMLSSCISDSIAKQLESVVLEEKKSSKKKKASKASSKAQKSKPTAKPAACDGHEVNFTSTIIIGDSLMNMDQGTMGRYKYMSSSILADNHPSSSQYAAEDSTQAQAEQLHEQFSRAVNIGKDETSDEKMTAALKSSLKVSGSKSGRQSVSWADEKGSILETTKAYDSHSNYIKQSDEGIDSSLRRASAEACAAALIEAAEAISSGTSEVDDAVSKAGIVILPDTLHSKQFKNENASGEDDEFEIDRGIVKWPKKTVLMDTDMFEVDDSWHDTPPEGFSLTLSPFATMWSALFGWISRSSLAYVYGLDGDSVEDLLVASGREYPDKIVLKDGQSSEIRRALDTCVCNAVPVLISNLRLQMPVSKLELTLGYLIDTMSFIDALPSLRARQWQLMVLVLLDALSIHQLPALAPVMSNSKLMQKMLNAAQVSREEYDSMVDLFLPFGRSIQMPIP >Et_9B_066143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4731217:4731778:-1 gene:Et_9B_066143 transcript:Et_9B_066143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSPAAAAPYFDGARSCSVGHGVPAELVARVEEQVVRLFERPAPEKTRASRRPGEPNGYDVGPYASHFDRRMWSEGYTFAPAAIRSEFRRVWPDGGDDYLRFWYFRSCITPSSSHLLFGAPVSCLVSRRHVVW >Et_3B_028428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15187067:15187621:1 gene:Et_3B_028428 transcript:Et_3B_028428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PSVRRSNTVSTDDSGSDGAGAASLLGGLRCSAAAEAELPDELRGCELGIGTGCHRPGFDLTCDKTQRLLLGDGTLQVVEISLANATVRAMNTAGTGTVSLTYDGLTGSSSQYVRRNQLVVTGCNIQDVASGNLITGCFSFCSISDRWSGTVLTTNSGDGACSGIGCCETPIPYYLKKKATQR >Et_1A_008840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15601227:15602125:1 gene:Et_1A_008840 transcript:Et_1A_008840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGGGATFRWLDAARYAVAAVLTVLIVAVIVNAIKAVLRPDSLRLWVVEGAVSSTPMPKEEVVTLELNLRAENPSGRVRMYFTDITAFLFNNKTVESTTEPEGDSIILFQPSDVAVAQQVAVDTITQVNGTNDPGFMDQFYFDLLYAERGVIRDAAMRVVGTLVTEVRSGINRTRPGTTYYCWPLVVGRTRAARRVGSPLFNTRPYCPHKTV >Et_1B_013995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26977603:26979116:1 gene:Et_1B_013995 transcript:Et_1B_013995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCDSASADVRGNEARILLSIDRYWDRKEEFPNGHCKESPPIKVGGCSWRFCFYPNGASSSSSDYISIFLALDGRVAKPVRARATFTLFNRAGKPVPDHSVDTGVREYSRVGFGYGCDEFARKDFLEASESERIPDGYVLIMCDVSVVDRPPAPRFCLHDRFGLRRDVTLLVGGETFTAHRHVLATRSPAFVAEIFGGDVTTGDFIRVDGMSAQVFEAFLHYVYGDSLPEMSEQEEPVMAEQLLAAADRFDMEELKLICEDILSSNIDENTAARMLELSVQHSCQTLNEACIEFLENHPALDSVMATDDGLVEHVAKSCPSLLRDFNTMLADKINFGNSGLTSIKSV >Et_2B_021385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29271790:29273246:1 gene:Et_2B_021385 transcript:Et_2B_021385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILGRIFSFLFDNFPRPSPGARDAHRRRLERLLGNIGSMVEEAEGRHITNQQLLAHLKALTDGMYRGRFALEVTDLDDVRNAAVGDDDAVNAGKQTSFALRSSFNAAKRARLTSLILRRGGGCGGDDNEKTERLAGVVEELETLTRDYMREFIMLVQGYPRKVHRPVTTTLYMDRCVFGRHVEKERVRPRAVVGAKKAGKTTLVKHACDDERVRDHFARIEWFETPDVVRAGGRPDQTVWESDGPEYLAGVRRILGDPRFAAGRSLLVFEDAWPVDESGWAQLASTPSALADGSKLLFTCRDADLGRIGTAEPVVLGHLREEEYWYYFKAFAFGGADPREHPRIAAVAREISEHLERTFLDARVLGTLLRANFDARFWRRVLAAIVSCERRPLHVGVLLELLPVSGRLQSYGYCRSPPKFTVQDVLSASAASSSGHGGGDSEEGFTIHLCRETLYMDHWYSITFKNDGQAPPRVVT >Et_9B_064310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13941478:13944511:1 gene:Et_9B_064310 transcript:Et_9B_064310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPVPAVLLILAAAGVLLLLLPLAAAAAQGFRGFSYLLDCGATSRTTDSRGLPWDPDAAYVSAGVPRALEPPLPGGLLDPTLATLRAFPFRPGAKYCYSFPVDRNRRYLLRPTFFYGAPSPSAPPPPVFDLIVDGTFWTAVNTTDDALAGAASSYEGVFPARGRNLTFCLGVNPAYTDAGPFISALQVIQLDDSVYNATDFGTSAMGLIARTKFGSAGDVERYPDDNFDRYWQPFPDSKHAITSTHNVTSADFWNLPPPDVFNTAFVAEKDAPLVLQWPPILLQNDSYYVALYFADTLPENSRIFDVYINDYLFYKDLNVTSAGLSVFATQWTLSGLTRVTLTSASPSAPALPPLINAGEVFGLFSLGRLTHPRDALALDSIKRSLKNVPEDWNGDPCMPTGYAWTGVTCDEGSKIRVISLNFSSMGLAGTLSPEVAKLTALTNISFANNILSGPIPNLSNLSRLERLHLQDNKLNGTVPQTLGTIKALSELFLQRNELKGTVPDNLLHKQGLNYQFLPGNNFTSKPPR >Et_2B_019117.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:11305185:11305256:-1 gene:Et_2B_019117 transcript:Et_2B_019117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLWKVNNSNLGKASMQQTQTIL >Et_6A_047184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26566625:26570092:1 gene:Et_6A_047184 transcript:Et_6A_047184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVGASSATMKSVLGKLGNLLAQEYDLITGVRGDIQYINDELSSMQAFLRDLSASPDDQDNRMKNWMKQIRDMAYDCEDCIDDFAHRLPDDSNFDGKCPFIVTRLYELWMWWPRREIASNIADLKVRAQQIAERRVRYGVDNPSKGDGSGAHASTYDIAEHQLASHQIIGTKEPVGMSTDMEKLEKWVAKPAEDVNQETAVLSLVGFGGVGKTTIATALYQNVRNEFDCRASVTVSQIYDEEAVLISILNQVMPQDTDHEQQGSKPGRLNDLEAQLKSTLKRIVPPIGRNREKGNNGKSYVKQTKIETMSRDQLIKELKEHLAEKRYLLLVDDIWSAKTWESIRTCLPLTNNKGSRIIVTTRFQAVGSTCSLRTGFDLLYPIDFLDSEKSQKLFHQSVSESKRSKDSKESDYPDRIWKICGGLPLAIVTMAGLVACNPSKTRDDWVKVCNSLPDPVATLTLEGVTRILNFCYNDLPGDLKTCSLYFSIFPKGWKISRKRLTRRWTAEGFVSEKQGLTEEEVAETYFNQLIRRKIIRPVEHSSNGRVKTFQVHDMVLEYIVSKSSEENFITVVGGHWMLPPPSNKVRRLSVQSSGSKHGNSTKGMNLSQVRSLTVFGSLSQLPLHSFNNAIIQVLDLEGWTSLKEKHLNDICKMLMLKYLGLRRTNIAKIPSEIEKLGYLETLDIRDTDVVELPRAVGLLKRISSILGGSKSPRKGLRMPQEKAKDQRKSKDTMKVLRVLSGIEITGESTAVAGLHQLTGLKKLAIYKLSIPVNSETFSELRSSIEYLCSCGLQNLAINDEGSHFVNSLDSMASPPRYLIALELSGKLKQPPQWISKLNTLNKLTLSVTVLRTDTFKLIRGLPLFSLTFSLSAVIQDQEIDDILEKNKSEFDGEIFVPGRFESLKLLRFFAPRVPKLCFSDNAMPALEMIDMQFETLEGLFGMDTLENLQEVHLRVSDQADEVTKCVLDDLKNNSGRLKMIVDHIVTA >Et_7A_050897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12119094:12130509:1 gene:Et_7A_050897 transcript:Et_7A_050897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLRKFRGFGLHHHHHKDRKDHRPPPAKLDELVYAAQEMEEMKNCYDSLLSAAAATTNSVYEFSEAMEEMGTCLLEKTALNYDDDDSGRVMMMLGKAQFELQKFVDSYRTNIINTITNPSESLLKELQVVEEMKDQCDEKRMEYEAMRATYSEKGRSRHSKIESLSSEQLQTSFLEYQEEAALFIFRLKSLKQGQFLSILTQAARHHAAQLSFFRRGLKYLEALEPYVKAVAEKQRIDYHFSGLDDDSDIDDYSSFHDNHSDGSELSFDYEINDRDKVLSASRSSMDLDQTHPTSSPKPVNDHEQDNINQITVNLEVPHLKPEIITQSAPIFAENVLDPSVKFRKMNRSSRNVHSYKLPTPADDKNFTGAVANTSPLSDKPESKSHVAVNLWHSSPLVKDFKSSSMYSGPVKLPSSNEGISAPLAYSYSTSDFKKMKREAFSGPIPSNAGLSKPFSARVMSVKPHGPGWQSSVPQKVTPRVTSLPTTSPRISELHELPRPPANVGTQRPGLVGYSGPLVSRPPIPNVPTRVSPPSHTASPLPRPPAAMTRSYSIPSNSQRTPIITVNKLLESRHSRESSEVSSPPLTPISLADISRKSRAEIAVDNKG >Et_4B_038992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6704183:6706268:-1 gene:Et_4B_038992 transcript:Et_4B_038992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPAGQIGARLRCNNPQGPPPSRSPARSAPAVAQAPPSQATLGQTWLQTPSVFVPNFSSSVVHGTDRNAMCTPIEEDWYDYCIEISNGMDSRPAGGFVNYLQQSSSYPFSQDISHMPPMFRNFPYFSGSASYAPSKEPKATESEANTFQPIAEPNITHSSPDPHATTIVDVDSGQDNRRSRSSFSKKHGVGSRGGRRMTWTIDETVRLSDEQVMDNAMKFYEQDFEEGQFKHIASWKILRDQPKWHTYNEDLNGSNKRKYSDSEIHEPVDFTSSPDEFTDLPRPGGCKKAKEERGSKGKGKATSTTMEEIDKLREGLAKAKEDHVEVLDKHQQIAADRKESARLNHLAAKEKREAKLLEKEGKMHDKESKMLEMYKSLISMDTSKLDDEMKAEHVIATKSLRQKIFANLS >Et_5A_042842.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:5456199:5457077:1 gene:Et_5A_042842 transcript:Et_5A_042842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTGTESHADADGSASDADTDDFEFCILPSGDLTQAAAAGAEKDMCVADELFSDGKLLPLRPSSAAGADGPTYVLLPRSESAASTAGFGSRSDSRSASSSGSSSGSVSRSQSSKSGGGGSSSDHAPAPPRRSLSSSVFYAHPSPSPQLRWARPRRSTGSAAPPPPPAAWGILRLGVVGAPEVYPPRAVELPKNAAAAAAAVARGGGGGSRSARFSAAVEKRLGLGGLLGDGLGCKCSPDAIEPVRLPAAAAKKKKKVRSGKKDGVKSGGVRRSRILDWFEELSVVTKEKK >Et_4A_032775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13689328:13690321:1 gene:Et_4A_032775 transcript:Et_4A_032775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSTLFMQWAMNTLQQEHPAPAVDDSALQDQMITGAHAANNWSSGGGGHIAAAVAMDILTTSSVSSAMNSNDPNSSGGKVAMSWNFSAATAAAGGFSEPPMRRAGLRRSSAAPYAHEHIMAERKRREKINQLFDELSAVIPGLTKMDKATILSNATSYVKGLQEDLKAREAARTSDLVETMVLFKKPCHAHATPVARKPLPDVKAHFSGKSLMVRIHCQNSKGVTAKVLTAIEELHLGIIDANIMSFSANTLIITITAKASPRFPLIVLTLTPHDKSTIHPFSFLFQVKNICYCILAFFFR >Et_3A_024828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24667338:24668160:1 gene:Et_3A_024828 transcript:Et_3A_024828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAGGQRSTASTGFFRMPGLFVRLSSKALSNNGVDPDSVWSPTSPLDLKGLRSSPPRVGLGLVDALTADASSLLFGGKASFLDSIRPFLELGLPKAKDAAARDELFAGDADSEEYTCVIARGANPRTTHILGGETKEVRAGGGVPRAGGKVVFTVEPFTDRPSGPAPALQAGVASGRCRCCMMRLPENRDIFIYKGEKAFCSNQCREGYIEEENEDAQEVVTMDHGSSTAFFLGEDW >Et_5A_040351.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:16014771:16014818:-1 gene:Et_5A_040351 transcript:Et_5A_040351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYLTGPHSMSKSL >Et_7A_052283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6452752:6454586:-1 gene:Et_7A_052283 transcript:Et_7A_052283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVMGDHARLVDSMRLAKELAASSTFFAKLSELFASDAAWAPRFRLAILLVLRDTFPEVVGAVEVVCPTVSTVERQALEELGCVVSASPTQCRPVHEPTLIFMPYADRVFLENLLILNWSAEQLGRIVFLGHSFSAMVKLLELSMSKQEKFGVTEQREKVRRVHAIQKYVREIKLCADFAGLFSNPLLGDGPDPFQERDEDPDEVIEDQCESSKCRCMHCVAHIERQTMITALPSCFSVHLFDLDAEIDMEDLVPDNCTTRIWTTVNIQMNYDAQLQGWHLDPSDAYIEDKNLKEAESIVKELRETMLEIRSSSLYTKFIDHLKENPSIRDRISSMLGAHECMELVVYGLGSFQFDVKSLYQLAFALLLKEDEIFPVGDFEIYDPALSPADVKACFDLGIRVLLVNEQCRRSVKKPTLFF >Et_4A_035139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9910047:9912651:-1 gene:Et_4A_035139 transcript:Et_4A_035139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLGRKGEKDFKRCMMMLMIIIQYLLRNQELSQKKVDISTWSESEDSNREEENIKSTTVVGVSGLKIVSSTNTEKGNPGDTSKTENPSSDLNLSSSGKHKISSHASSCRDHCLGKRQKVFTADLYWSNVGATRHVGANKCVAKIARILRAAKTNLEAAIVQKVNAEDNANVLLPAVNVIRNCCVSCGDGSLVESPARGDGYQCGNMKLLLKQQQRILLGRSDIASWGAFIKVMLVAGDHRAGIYAKEHIGFSEKP >Et_8B_060441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1601675:1605886:-1 gene:Et_8B_060441 transcript:Et_8B_060441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVARRRLGSGTVSGAPLPDSRSPPRRQVLSQLVQTLRPAAATTTARTYSAAAKEITVREALNSALDEEMSADPSVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYHGLRPIVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQALDSSFCLPIGKAKIERQGKDVTITAFSKMVGYALQAADILAKEGISAEVINLRSIRPLDRAAINASVRKTNRLVTVEEGFPQHGVGAEICMAVVEDSFEYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRAVPMAATA >Et_6B_049794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12133407:12139006:1 gene:Et_6B_049794 transcript:Et_6B_049794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWRVLAGVSAAIIIFLSTTPRAMAIVEHTFVVSQVNMTHLCKDTLVTVVNGQLPGPAIELTEGDSVAVHVVNKSPYNITVHWHGVRQWLNCWHDGVPMITQRPIQPNSEFTYRFNVTGQEGTLWWHTHVPFLRVTLHGALIIRPRDGPRSYPFPKPDKEVPIIIAEWWEKNLARVASNMTDGFFDDYSSASTINGKLGDLFNCSGVPEDNYVLDVEPGKTYLLRIINAALFSEYYLRIAGHKFTVVSADANYVNPYTTDTIAIAPGETVDALVVADAAPGRYYMAALPTQAPPPDTQTPEFTTRGMVQYSSKSRRDDVPVAPEMPSNHDTITSFHFHGNLTSLQHRQSSQVPKEADEHMFIVLGLGSICRNGGLQCLRNDDRNESILVANMNGVSFHLPASVAMPMLQAHYHHSGMGVPLQELADRPTLVFNFTDPGLIPVGPKELRLEATSRATLVRRFKNGATVDVVFQSTSILQGDSNPMHLHGHDMYVLAQGVGNYNAARDVASYNLVNPPRKNTVLVPNLGWAAVRFVANNPGVWFMHCHYEFHLSMGMAAVFIVEDGPTADTSLPPPPIDYSTYGFDSNLMQVDVAVK >Et_4A_034506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:426159:433000:1 gene:Et_4A_034506 transcript:Et_4A_034506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRGGSSDSGGFDKVPGMDSGKYVRYTPEQVEVLERLYIDCPKPSSSRRQQLLRECPILANIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAHMRQQLQSTSLANDTSCESNVTTPPNPMRDASNPSGLLSIAEETFTEFLSKATGTAIDWVQMPGMKPGPDSVGIVAISHGCRGVAARACGLVNLEPTKVIEILKDRPSWFRDCRSLEVFTVLPAGNGGTIELVYMQMYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSAASAQQFVRAEMLPSGYLVRPCDGGDSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTVALRHLRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSIMGGDGIEDVVVACNSTKKIRNNSNAGITFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNIDAYLASALKSSACSLPGLRPMRFSGGQMILPLAHTVENEEILEVVRLEGQSLTHDEALLSRDIHLLQLCTGIDEKSVGSSFQLVFAPIDEHFPDDAPLISSGFRVIPLDMKTDGVSSGRTLDLASSLDVGSAAAQASGDGSPDDCNLRSVLTIAFQFPYEMHLQDSVATMARQYVRSIVSAVQRVSMAISPSQSGLISGQRVLSGFPEAATLARWVCQSYHYHLGVELLTQSDEAGEALLKMLWHHPDAILCCSFKEKPMFTFANKAGLDMLETSLIALQDLTLDKIFDESGRKALFSDISKLMEQGYVYLPSGVCMSGMGRHVSFDQAVAWKVLGEDSNVHCLAFCFVNWSFV >Et_10A_001394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2587284:2592819:-1 gene:Et_10A_001394 transcript:Et_10A_001394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDIDWKTMFRDLRSSPDRDDVSFASTPSSAPRTRAGAAKGKRDEDEDWLPRANTRSGGLGRDGGAGKRGGRKVGGDVRRGTGSAKDVFAFGKIFALELHQWQEIGDDDAAGESLSRKWQSPANTRKKNYAQVLRLAIFSLLIHNYLLEIRMSEFSMQLEPNSGRSMKKFGSGKREPIPVDKMYSSRPCSTGHQQRVHAIDPEESDHARSPQSQNVVLLDDEDMQPEEIVDCGTPDKQIESKIYYPSRDDPEAVELSSSDITCLEPGVYLSSPVINYYIQYIKRTKLSKEEKFYIFNTYFYSKLEEALVRTSDFLKLRRWWKGVNIFHRAYIILPIHGIYLEEEWHHLKENPPPDTSISESIWEDLPRNIDTQIVQVPQQNNAYDCGVFMLYYVERFVREAPERFTRDNLGMFSRSWFNSEDASELRLRIHALLLEEFESARLDDALSEAATSDGSDIEDITEGGELEAVTPSSSSEMVIEGVQSGDGGKNDEGFKIAAAEQGSVESGSTGKSNADIKEVPALDDAPTDSTRHDVRTLVDCLLSEADTPTFSDEMKDEDPGKAYSDCSKAEEEKEEEFAIVSPDRLKNCVVNDSCDSDSDSVMILDVRNRRANRRNCLII >Et_2A_016663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27011172:27014026:1 gene:Et_2A_016663 transcript:Et_2A_016663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASCLVPPPPRLPGPTVRLPSPSPSRAAGRPSLGFGPRRAAPAKGRRLVHASCFRQDQDGLTTSDDRSGFKFIAESESSWSTEANKEEAESTTKNDVDDQNANEVGWFVRVQKIKDNLQDKIIRFQSERWMVPWTGETIAQVMILWIATFWLVGSWIVPFLAHAAGFSKETLTHRGQALYSLVTDITEGLAGIAILHQCLGRFRPLPPGWFEFNLKGKWYLDVALGCLLFPLVNLLSHINISLVPMSPSPVAGVSSVEQSIVARDPVAMALYAVVVTVCAPIWEEIVFRGFLLPSLTRYMPVPWSILVSAAAFALAHFNAQRVMPLIFLGVVMGGVFSRSRNLLASMVLHSLWNGFVFLDLMK >Et_2B_022289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1781327:1782051:1 gene:Et_2B_022289 transcript:Et_2B_022289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLIDAAFPFLPPAPEGFRDAMRVLLGRFRAHETPGHHRPACYLVCNPATAQCATVPCSSWYPHDAQLLVQRTYLLFDAAASPHRFHLLQFWEDYVDTFSSEEKGWTNRAEEWVDGGWKDWGRGMAPIQPGTGSAVDTDGTEDGPNNLVVLDEAGSGRWYSVFVARSQAAHHLGCMYLAMAPPPSSARPMHATLGRHRQPRLECPPPPTTQTRRRKVALAGGIVDQIRIHHA >Et_3A_023426.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:26845169:26845843:-1 gene:Et_3A_023426 transcript:Et_3A_023426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVVGKEYCDAEERVLTVRKTSHFSPGDGFAAYDHRTGGLAFRADTYGRGHGGGAASPGELALLDPTGEPLLTVRRRRPSLHQRWEGFLGARADGQRPLFSARRSSILGGAAAGAVVELASPVPSDVAPPLLLRVDGSFPRRCCRVVAVPRRDGEKEKIVAEIKRKVDVGARVVMGRDVFVLKVSPGFDAAFAMGIVLVLDQIAGDEAGVDAGEEALDAVAKT >Et_7B_055771.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:458822:459097:-1 gene:Et_7B_055771 transcript:Et_7B_055771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQGKRSMAGVEADSPASSCVSSDAEEEEEEVSVPPAKPMVVVGCPQCLMYVMLSGEEQQPKCPRCKSPVLLHFLHADDDAKKKQAGGRR >Et_10B_002920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13906427:13908827:-1 gene:Et_10B_002920 transcript:Et_10B_002920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFRGRDYFWVKQYLVGYAQQRANDGCAVLQDSISAFHDVLCTSMTTAPAETGNVVDDQQVVVAGAGDTVKPMVEHEEMPVASGQSQRNHDAVDEQEQQEICPIVRSRFSIQIKETLFQAEIMFRPLHNQGTFSLYMSNTKVS >Et_9A_063386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22452701:22459142:1 gene:Et_9A_063386 transcript:Et_9A_063386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWNGHRSFSEKGEYQEILYKESLAACLRILKFRGLGIMDLFATDSDCDSYSGTSDSEDQEECEFAYSDHAKNILSSLDESIGKIDNFLTFERGFLHGDIVCPVSDPSGQLGRVVGVAMFVDLETSSGDIIKDVNSKKLSRVRSFVSGDCVVMGPWIGRVIRAFDLVTVVFSDGAKCEMLLRDSEVLKPIPPILFEDAPYFYYPGQRVRIMHPSVSKSATWLCGSWRPSRDEGVVSHVDVGLVHVNWITSATNVWGDRSSNPSNYQDPKDLTLLSCFPYANWQLGDWCALTADVDGCLWMNSSKCGFLTEAQKCDSLMHMGFETYGSGYSQTYVVAKTKSTVDVLWQNGSISFGLEPQTLVPVSTLGDHDFWPGQFVLEKVTEDDAARCQRTGIVRDVDAIERTVNVKWTVPADTCTFKYESGPTVETVSAYELVEHPDFSFCTGEVVIRSAVNIDEAEAGLANASIATREPLVTSCDFLSCVGNVLGCKADAIEVQWASGVISKVQPFEIIGLDRLLDSSLESMTEEHTTGDLEDLSEQEEMHRDNTNNAQEYLTEDCTGSLCKATAFLFRKTAFDFLTNVAASLFGTHGAPPSRSVVVDSQYEIVKTAELQPERQPSVEEVWEEKQTLELVGQVEKLNLSSENDILKRFDIVTDHSDHHFLKDNGRNNVARGWVKKVQQEWEILQNDLPDGIHVRVYEERMDLLRACIVGAQGTPYHDNLFFFDIFFPPDYPHEPPHFEKFVKEHFTDRAPRILDACEAYLGGDLVGHARDSAYISEDGSKNCSTGFKIMLGKLLPKLVATFTEVGIISSQ >Et_10B_002605.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17959528:17960238:1 gene:Et_10B_002605 transcript:Et_10B_002605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARDLGGDPPESTQLRIGDDVAWSDINGVYDRDDSLKENTNPKCVLKQQAHPHHHAGGASSQRFSGNLKPTAAPIIGLPGKLGQGGGGGARRHHPPAIFPKKAKTGGGGRQAKPAVPEPGSPKVSCFGKVRSERERSLRRRPSRSPGPRPAGCCGGFGFLMRRSRSRNSAVECVDQSPPPPLPSAAAARRWEMKELETETEAPAPGLGGLRRFSSGRRAAEMEDDGRVAKSGPL >Et_1A_008266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5847507:5854123:-1 gene:Et_1A_008266 transcript:Et_1A_008266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPASASVSDSGMLGRRLALLPSAARVGTRRARMTLGCALVDHVAPQLTVASATLVGAREVIAAAAAASAGGSGAVHGAVASTLAQVAVTAVAIASGACLSTKVDFLWPRIEQLPETLIFEGVEVTGYQIFEDPKVQKAIEFASTAHLGQFRRTGDPYVTHCIHTGKILAALVPSTGERAVNTVVAGILHDVIDDTSESLKSIEEQFGDDVASLVSGVSKLSYINQLLRRHRQKNTGGSSLTSDEANNLRVMLVGMVDDPRVVLIKLADRLHNMRTIYALPASKAEAVAQETLAVWCSLASRLGVWALKSELEDLCFAVLQPQIFKKIQSELTSMWNRTSKARSLRRSSFRSELLASMKDAHMMSAHDLYNSCNQEKPNMKDLLQAVLPFDLFLDRKRRSYFLSNLNNTSEESILKPKIVDDAAVALASLAACEEELERELLISTSYIPGMEVTLSSRLKSLYSIYCKMKRKDKGIKQVYDARALRVIVGDKNGALHGPAVRSCYSILDIVHRLWTPIDGEFDDYIINPKGSGYQSLHTTVQASDSAPLEVQIRTQRMHEYAEYGLAAHWLYKESKAEYKSGISKRIGQTTSYSSSSSEDETSIQDIPSKYSTMKVGHPVLRIEGSHLLAAVIVSIDKGGKELLVAVSFSLEASEAVAERRSSFQLKRWEAYARLHKKVSEKWWCAPGHGDWSTNLERYILCQDGIFHKQDQFGRLLPTFIQIINLTEEEEEEYWMVVSAIFEGKEAFSLPSESGYADRSSSDPPSSTPLSDPINNKVHLLRTMLQWEEQVRRGASMAEQSLGVSTYTKPMLHEVAIIFWPNGKIMRMSTGSTAADAARRMGVEGKLIWVNGQLVLPQTELKDGDIVEVRV >Et_2B_019571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10247533:10249695:-1 gene:Et_2B_019571 transcript:Et_2B_019571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGSYGEVGQRIDYVFKVVLIGDSAVGKSQLLARFARNEFSLDSKATIGVEFQTRSLQIDARTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHVARWLEELRGHADKNIVIMLIGNKSDLGTLRVVPTEDAKEFAERENLFFMETSALEATNVENAFMSILTEIYRIVSKKNLVANEESNATGNSSLLKGTKIVVPGQETPPPAKAACCMSS >Et_5B_044032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19250286:19257869:1 gene:Et_5B_044032 transcript:Et_5B_044032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPELMDELVPPDDPATLAHAALVAKRWCRLVTTAAAFRRRYRLRHRAPPMLGFLFGSETEADHRGFHPTSSFHPIGADRRSLRVHDARHGQVLLHKYPYYHGAVSLVVWDPITGVWRALPRLPREATWCSWKATVLCAAAAAAGDCDHLDCHSGPFIVVFVGTDNDELFSCVFSSEAGTWSDLVSGEHPRHRLGWGRSAHVGNALYMLFQKKKKRILKYDLSTGQVSVMKVPPVRTTKVSKPYVPIELTAMEDGRLGFARVVRSRLFLWSRDDKDAGWELREVIELNDLLPLEDPLAAATPDLVGFAEGVGVIFLTVGFGTFALDVKSNRVEKASSSDDAASSPPPPSAASSTPRRRCFSPPRADHRSMRPHDARHGRVLFRNCPYASWRFFLFVWNPVTGERVELLPQSQYPCSWKATVLCAATNGACNHLDCHRGGADTSPSSLSARIERTQRRLSSAASSRPRLVCGPNLSLPSTRVVSVRNTTGNAIYLVLENVPDQTAQCADRPSIFTLCAD >Et_3A_026460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8330691:8333879:1 gene:Et_3A_026460 transcript:Et_3A_026460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAAPWESRSTGEDLALKKEKEKKEAQPPVSIWTVQMTANSQRCFSELVSLNSEDPDPASQQLPHDSRKEVETYKKQDPIQRLLILKALCEVRSEQGDAVWYVNDEMKKGTVISNFRKGKLGSGSNGTIYWYDGDSTLGHRLYTEDELEGTEWPFDKTNHQYSLGKTNLDEFLEISEKLCKKGPAESAVAEYLKTEVIPAVEKLQKKEMALKRQQNKDERLAFANSYQPRSLRERRRVSYTYGILLFKELLYLNAGKLKNTNHMRKEQKRNKDATYLSDLSSDDDEDGDSPGSDGDNNAYDPHKSDMEEEDAFVTQLSCWLAARMVNDKPRQGLRWSQRNVKNNEDTMEPGQLTPQAMTKKILRQRPTPVSKQPETAFSGSEDDRALTVADSEDYPARIVADSEDESE >Et_1B_012680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34316841:34317687:-1 gene:Et_1B_012680 transcript:Et_1B_012680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QITGWCSYFALAATLEPNLRVQWQRSVSLSILHLSDLGDQSKQKLPTQRKKIKRLILMLQDEGVFEENQYENIHKGILAERDARRYKVTSHSEYRVDDPEDIRRALYQLRRGGPLLAVLRISSNYYDCYESGFVYRFDPDRIVKDENEVAETLCRCRFFCNGGEDALSGVPRFPGREIW >Et_7A_050245.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14947190:14947561:-1 gene:Et_7A_050245 transcript:Et_7A_050245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHAVCLPYPAQAHITPMLNVAKLLHARGFDVTFVNTEYNHARLVRTRGAASVAGVPGFRFATIPDGLPPSEDDDVTQDIPSLCKSSTETCLGPFRRLLAELNDPA >Et_8A_058332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5154565:5156305:1 gene:Et_8A_058332 transcript:Et_8A_058332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWMPISPLLLLLLLLLLLLLLLLLLLLLLLLQQQQQQEYNRVLKKGQKKKKLEGYYGHFQSTSFYGCDLITDVSIPRCRTWREPELSVVQLHQVLQIVPELLPCFRPAVRPLLLPAFLAAAAHRRRQCVGLRGGRRAGVVVFVGRELLLGEREVELGARAAELDGGAVALAASSAVSKVPSHLRTARRGSRISAAHFPHGRCRTPRYLFFFFRRRRCGPPAVAAAATGAAFSAAAPSNATTAAASPTAKNSQPRHRSIPHTPQRAAAGKISGGGVV >Et_10A_001958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14548903:14551054:-1 gene:Et_10A_001958 transcript:Et_10A_001958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRHRQRRQDADRISSLTDDVLHRILLRLGSVPAAARTSVLSRRWRRVWAHLPELILCDNGLVRNRSLLNVVDGVITGNSAPTLRVLGISMFSLSHRVPSARVARWLRLASQRVAGDIYLCLPWRPEPVNQGQVVEEDLELPLCVRATAIQLSLGHGFQQLRLPLTGTFSALRILRIQQAKIDIRNLEKLVSSRCPCLLELQLLGVLLLTMSDVAIRSVSLKKLSFDVENSRRLVVDTPSIEELSLSKLEKVSVASLKLHEVSCNDANDLQRQQPPEVGRHLGRLVVNGSPQVMTVLMRHFTTINELQLDLLVSPGQGYKSFLRSTMKVAHCDVLTLNLTAEWHASAPSILHLLGKSFGLRKLVVYLPSTLGNPSRRRPPPASTPPLPSPPPPSPRPSLPLPRKGPPRRGCRLAAPALPVDGANEEAACARPRRRREPPIQAALLVDGVHEEAARSASTRWPRALLDRSRLPRPLLSRIRRRRSLLDDLLSMAAVPHDARL >Et_1A_007036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30271282:30274234:-1 gene:Et_1A_007036 transcript:Et_1A_007036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALRRSLPRCGSLRRALLSNPPPSTSAVAGGTFRRSFQSGEHPHRVSPSSFDFRNDESMEEFEQRLFGKTSPNEGPIYEKLDRVGNAGRRYGMGSGMGAFGNRSSSGTMDGFDSLNDGLSGMLGDAACNFQADDDEDEEDDEDFEFRPDVQFRRGSTYNTRDLDLTRPAAAKNPPRPQCETTTKEVLRKADFRNVRFLSNFLTEAGIIIKRSQTRISAKAQRKIAREIKTARAFGLMPFTTMGRRPFIFGRSAEEHHSEEEYGYDFVEKEGEPDVDNEDAVPAVDPV >Et_2B_020181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17761005:17763631:1 gene:Et_2B_020181 transcript:Et_2B_020181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGKSDKARRPARVRLWVARASTVLLWTCVVHYFTAFQELWAPSVLMIWPGCVTQPHVMQRPSEAVAVAASGSERAAAQAVALPPKRIYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKTSFWADPSEFQDIFDVDHFIASLRDEVRILRQLPPRLKRRVDMGFLRSMPPVSWSDISYYHYQILPLIRKYKVLHLNRTDARLANNGLPMEIQKLRCRVNYAALRFTPEIEKLGRRLVQVLRQNGPFIVLHLRYEMDMLAFSGCTHGCSNEEAEVLTRMRYAYPWWKEKVINSDIKRKDGLCPLTPEETAMVLKALGVDRNYQVYIAAGEIYGGQRRMDALTSAYPNVVRKETLLPSDLRLFQNHSSQMAALDYMVSLESDIFIPTYDGNMAKVVEGHRRYLGFKKTVLLDRKLIVALVDQYKNGTLSWTDFSSAVKASHTGRMGKPSRRLVIPDKPKEEDYFYANPHECLHQPDDLSGL >Et_7B_054648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3168990:3180425:1 gene:Et_7B_054648 transcript:Et_7B_054648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPVRHMPSMIGRNGVAAYGSSSALSLGQPNLLDNQQLQQALQQQHLLDQIPATTAESGDNMMRSRGSDPLGDEFDSKSGSENVDGVSVDDQDPNQRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERQENSQLRAENEKLRAENIRYREALSSASCPNCGGPAALGEMSFDEHHLRVENARLRDEIDRISAIAAKYVGKPMVSFPVLSSPLAAARAGVASPLDLGAVSAAYGAGAGDIFSGGAGELLRGAAAQSDADKPMIVELAVAAMEELLRMAQVDAPLWNAPGIDGSGETLNEEEYARMFPRGIGPKQYELKSEASRDSAVVIMTHANLVEILMDVNQYATVFSNIVSRAATLEVLSTGVAGNYNGALQVMSVEFQVPSPLVPTRESYFVRYCKQNSDGTWAVVDVSLDSLRPSSVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSVHNIYRLLVNSGLAFGARRWVGTLDRQCERLASVMASNIPTSDIGVITSSEGRKSMLKLAERMVMSFCGGVTASAAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDESSRSEACISLHPYDQTHQLFIHTNTKKFINTSCLISQWDILSNGGVVQEMAHIANGRDHGNCVSLLRVNSTNSNQSNMLILQESCTDSSGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPAGGSMQGDGGVGTGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVDRIKAAVSGESNNPHIVSRAATLEEVLSTGHTAKSGFPFLSSADEGAREEGEAPTSVAGPRSPRIRRSPVAREKRRKDDIISVEFQVPSSLVPTRKSYCKQNSDGTWVVVDVSLDSLRPSSVLKCRCRTSGCLIQEMPNGKSQMSVQPGHMRHRQETTTHITKFNNGCYEITQFEPTNMLNQAIKLGDPPNC >Et_4A_033790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26896278:26897130:-1 gene:Et_4A_033790 transcript:Et_4A_033790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHRPARIECPVVPDQPEPTDPGRVFDADPVAPRDAAEAFAEKLAHGGGPAGAVDETYDTKVKIGEALEASAREIADQPVKRSDAAAIRVAERCAVGDDVEAIPGGVAERAQSVADANARAARDEDKLTFGDVLTWETTMKLPTGKAVTSEVAAKAAEAEAAANEPGAATRPSGVSEALKRAAKHNCENERAS >Et_8A_058294.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4418017:4419051:-1 gene:Et_8A_058294 transcript:Et_8A_058294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPFSYGYLICLYVHAFNFVAGSRPELARSTSNCARTWPCTSREIQSEEAKPPLWHTAVWAYNPPTPSWRSFCACQQAPGGGSAWSASGGATSSTSARWSGKSAPRSSPSLSQPGSTGAQVFDDNDGHRRHAWTYPCSMDGDQIRMVGTCNGLLCLQENWKDSISVSYSTITVINPITNEKLVLPLAPPSCPWEQLRPHGKYSFSYHPITGKYKVVHIPWAPRRVVEAVHVFTLGDTLWRQVPVNIPGTTYQCSSGAVSVDGWSYWLTEFTDRVMALDLDDERVTSIGVPQAKRPPPIPADAGWQLTIVHARLGLVVMDLNMTTRVTRAEVWVLDGRGDQQPR >Et_3B_031596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5765914:5767370:1 gene:Et_3B_031596 transcript:Et_3B_031596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHWDVHGPSCTLGPAATAKLIELCKEEKRLRNFNRVGPTNLGWTHIHQGLQAAFPNQFDHRQVTNKIESLKRSYYKWLKLQRQSGLGHNRSTGGVTADDSFWDEHEETDEHDETEPSEEDHETHEPTLPQDRGTPPPFLDDLEFLYGRTPQDRGNLAQQHDDDSLRSVSNIMRVDGFMDGSEMFLNAAVLCQHRPTRVQFLDLKDRDARIEFINFRPKEDWRSRESLKIRDFTN >Et_5A_042294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8411486:8414545:1 gene:Et_5A_042294 transcript:Et_5A_042294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATTALSSLLPKLGTLLEDEYKLQKGLRGQIMFLKAEMEIMQAVLEKLSIQPADRIDNLDKIWMRNLKELSYDIEDSVDKFMVHVDAPAKPPSLNRFRRFIDKIGFLNKAKNRHKIAIDIEDIKRRIHEVDERRKMYNFNGATVQPVPMAMDPRMPALYEDVKMLVAIDGPAQKLITLLTEGNGVQKEKLRVVSIVGVGGLGKTTLANSVYKRLKGGFECQAFVSVSLRPNIEKIFSSILRQVSGKEYANAEAWSHTELIDKIRQIIEKKRYIIVIDDLWDVSAWRQINCALIDNDCGSRVIVTTRNLDVAKYSCSPINGSMYELAPLSHADSERLFYKRISSAEEGIYSEMKEVTTKILKKCGGVPLAIITIASVLANMRNKTKFEWYDVYNSMGFGLEKHKTLENMREILYLSYVDLPSYLKPCLLYLSIFPEDYLIGRDGLVRMWIAEGFVAEKQGINLYELGKGYFNELLNRSMIQPVNVDENGSARACRVHDMILDLIISVSSQENFVSISGLKAKFPECKVRRLSLHGSKVIHPETVDMSHVRSLIAFEDACRWIPPLSSSVLRVLSLKRSHGHNNSHMDLSTLYHLRYLELGGQLETGLLEDIGNLQHLKILDLSNAIIQLPASIVQLKQLECLILGEGVEIPAGNGILTFLQDLPMVFIQTLGDTLVELSKLTKARVLKIYTSGKKSLQKPSFDRLTNLGQQTLCDHQECSLDGRSGGLWPPEHIQCFINASGFTFSKVPQWFRIFSELSSLSITVNMLRQDGIQLLGALPLLRFLKLTAHNGTEGRLVIGINHPFCSLEEFRFDYQYAIGDFLVSGQGVMPKLQRLQLRIRPARRGRGGIHIGLENLTCLKHISFQVECSCVYFCMSEVEDLEAKARDVISTHPNHPTHDMSRCNED >Et_1A_005454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10496126:10497814:-1 gene:Et_1A_005454 transcript:Et_1A_005454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEAGLKKGPWTPEDDQKLLAYIEQHGHGCWRSLPAKAGLRRCGKSCRLRWTNYLRPDIKRGKFTLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPRADAPASAAASGAARYRAAAHLSHTAQWESARLEAEARLAREAKLRALASSPPPAPPAAGLESPTSTLSFSESALFANTAAHDMARQAPVQTPHSYVEAFAEQQHGFGEAAQQDGGFLARVLLDCSVAGAEQRFAAATSTDAGAGEQEEEEDKGYWNSILNMVNSSMSSSSSSLTSAEAVTDQAVYLPAAAEF >Et_9B_064647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17283619:17291283:-1 gene:Et_9B_064647 transcript:Et_9B_064647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFPPLMVAGPLAAAAAVLCISAVFMRLQRPRRVAEMFRRQGIDGPPPSSFLSGNLPEMQARVVAAAVGGVAEAGGRDFEKDGFDDYCKRIFPYFEKWRKAYGETYVYWLRRRPALYVSDPELIREIGRCVSLDMGKPTYLQKGQEPLFGRGVLKANGADWHRQRKLIAPEFYMAKIKGMVDLMVDAAQPLLASWEEQVAAAPGGVAEIEVDDDLRSFSFDVVSRACFGGDYSRGREIFLRLRALSGLMSETSVIFTIPSLRYLPTAKNRRIWKLTHEIRSLILQLASERRSASGSAATNNDEPADFLGSIIENSRDQPRADDFVVDNCKNIYFAGHETSAVTATWCLMLLAAHPEWQARARAEVLDVCGPNASSPDFDAISRLKTLHMVVLETLRLFPPSSFVVRETFRDMTLGPRLRRAPRGTYIFVPVSTMHHDAAAWGPTARRFDPGRFRDGVSAACKHPQASYMPFGLGARVCLGRNLALVEVKALVAVVLARFDLALSPAYRHAPAFRFIIEPEFGLRLLVRRLGHHHQDGVAADDTATRA >Et_9B_065333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3898097:3901445:-1 gene:Et_9B_065333 transcript:Et_9B_065333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LVGFLFVYPSHDAIVVRLQQLSFSILRATASHHPMDIDEHPELIFGEEFCFPATATYYPTLHMPTGINVPAEFYGHQTIPGFNNRGHHYMGHQAEGMSRMCYVVPGYTHPPHGPHPLLPFAIANGRTARTQNHHADSVEHPYYQQVYSSHYAVLPSAADMAPASTAQPLADSGLFSPCGPGQTVDAASERGVAHSTSLQSASVSSMKFQNRTVVPEGRLRGTAPWKRQLADRPRASRQSRQAAAPSVQSSSQTNFSYEDHVPNVGSHYCKMSAVNSQPCSETSSYSKRRLSFLNKYSICKPEKPIGPMPSEIVVKSYTSRLHIGNSEGKIILKTDQYNRDEFKVVYPNAKFFVIKSFDEADVHKSIKYGVWSTSSLGNKKLDRAFREAQAIAARGSTPCPVNECNCFCGVAEMVGPVDWQKDMDFWCKDKWFGSFPVKWHIIKDVHNSCLRGILLENNENKPVTSSRDTQEIHYTPGTTMLKIFKFDKGNSCLLDEFMMHEKEESRRSRGRRFQLRQAAPQFMPSSMHAHQTYNTLLPKSPQSMPASMHAHHTHNALLPKSPQPMPASMHAYHTCRNLLPKSQQFIPPSLHVQQTYNTLLPRSDSIVMDRITREINDLTGKLEGLNLDRRQGSWQQFGSLTSITSTTNVQNYGMQALENIVNATANQAHQPLISKVKPALNGVQQYWKNIEIAPTERPQPEAATSVSLTAPEEYGNEDQNALMHN >Et_2A_017762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:593843:601757:-1 gene:Et_2A_017762 transcript:Et_2A_017762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEAEAGTLCCISHAFERAAYRNPDNLAVIHAAASDGGGNEDRRFTCGDLLAAVGSLSRRIAAALGAPADCRHDCGRPGCRGGAAVPRVVGLYAWPSVEYVVAVLAVLRCGEAFLPLDPSWPEERVLSAVSASNAALVVSSVGSQESWLFQSCPCPVLHLDGNIRHGFTRDRNGGDGGEELAWPCKRERPRKFCYVMFTSGSTGKPKGVCGTEKGLLNRFLWMQRRNPLFSDDVLLFKTSISFVDHLQEFLSAVLTCTKLVIPPPSEWKANPASLANLIKVYHISRITLVPSLMAIVLPALAENLSGSCNPLRVVILSGELLSVSLWQRVYEVLPETTILNLYGTTEVSGDCTFFDCKDLPTILEQEELNSVPIGFPISKCEVCLITNDGIGDEGEISVSGACLFTGYLNDSMISNCSEDGETLPYYKTGDFARRLKGGGLIFLGRKDRTVKIYGQRFSLEEVESTLMEHPHVGTAAVTYEGNGSPDFEAYLVLKSNSGFREYSQHYRGANSYQDIMASIRSWLVMKLPPVMIPRHFLPMKSLPLTSSGKIDYVKLSSLECALEPCEIGSESSPVDPNMQVIKKAFSDALLVDEVSESDDFFALGGNSISAAHVAHKLEIDMRLIYIYPTPSKLLHTLPVGNRRVITPTSEFHNRKRLKVSASISSSFNTISANLDNNLHGKEQTDVEGIHDHITENYENETAGQLNSNMTSIDTYQEKDLCLDTCSNNGSFSGRPWIQNFYLQKKWSIGRCNKFMECSGKLQLEDVCSYVPNNKIGYILELWNIPLDSCVDASPLLVMNNEMMNIYIGSHSHLFLCIDVCSGTVRWSVKLEGRVECSATVTGDFSEVVVGCYKGKIYFLDMCTGIPSWTFQTDGEVKMQPVVDSMRKLIWCGSYDHHLYALNYKDHCCTSKISCGGSIYGSPAIDMSQSMIYVASTSGLVTAISCEGLAFRMVWQYEAGAPIFGSLARDCQSGKVICCLVNGQVIALNLQGTVVWKATVGGPIFAGASWSSTLPSQVLVPSRDGSLYSFDISSGALLWTYKVGDPITASAFVDELLISESLGPSERFACICTSSGKVHVIRIKADAKREKADEGLKCEELVQGLASIDLPGDIFSSPLMVGGRIFVGCRDDRLHCLTVTT >Et_8B_059240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15701762:15702274:-1 gene:Et_8B_059240 transcript:Et_8B_059240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLNHLPLEPPTALRPAPTASPPAATLEQWLEYCPGGKKKGACPICKHPRGAAHPPTRLFFQSTGACPTQACPSSQDDSEGADPEALARMEQKAASLGRALEEQRDGIKKLNAEASAADCFFRSVCWFLFRCDW >Et_2B_021601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3461511:3473420:-1 gene:Et_2B_021601 transcript:Et_2B_021601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLIPIIIIIIFHVGLVPIAVAAAVAAPPPVPVDDDRAALLAFLSNVLADPGGALADWGRSPEFCNWTGVMCGGPGRRRVTQLVLSGKGIRGVISPALGRLRFVTVLDLSGNALAGAIPSELGALSMLTQLSLMNNLLEGAIPASIGLLQKLYYLDFSGNRLSGGIPQTLFCNCSALQYLDLSNNTLTGDIPYADECRAPSLRFLLLWSNDLSGVIPPALANSSILEWVDFESNYLAGELPSQVFDRLPRLQFLYLSYNNLSSHGGNTDLDPFFRSLRNCTRLQELELAGNDLGGRLPPFIGALPRGLRQLHLEDNAISGPIPANISGLVNLTYLNLSNNLLNGSIPPAISRMQRLERLYLSNNLLSGEIPRSIGEILHLGLVDLSGNRLAGAIPDTFSNLTQLRRLMLHHNNLSGAIPPSLGDCLNLEILDLSYNGLQGPIPAYVAALSSLKLYLNLSNNHLDGRLPLELSKMDMILALDLSANRLAGPIPSQLGSCVALEYLNLSGNALRGALPASVAALPFLRVLDVSRNALSGPLPVESLQVSTSLREANFSYNDFSGAVPHAGVLANLSASAFQGNPGLCGYDVPGIAVCEPERGRRRRRRPLVPAVVGIVAAVSLMLCAVGCRSMATARAKRTGRRSMRLVDVEDQAEREYPRISYRELAEATGGFVEASLIGAGRFGRVYEGTLRDGARVAVKVLDPKGGGEVSGSFKRECEVLRRTRHKNLLRVITTCSTAGFNALVLPLMRNGSLEDRLHPHDDSDDAGGEGLDFSQIMAIVGDVAEGMAYMHHYAPVRVVHCDLKPSNVLLDEGMRAVISDFGIAKLVAGGVGEEASSTSDEAAPCNSITGLLQGSVGYIAPEYGLGGHPSTQGDVYSFGVLLLELITGKRPTDVIFQEGLTLHDWVKRHYPHDVAAVVAHAPWRARELPAAADMAVVELIELGLVCTQHSPALRPTMSDVCHEITLLKEDLSRHGADDVPHASMEVASMPAIVFTFFLFFFFPNGPSLTLSASWSDERSALLSFKSGVSNDPSGALGSWGSLDVCNWTGVACDMATRHVVKLVLRDQKLSGEVSPALGNLSYLNILNLSGNLFTGRVPLELGNLFRLNLLDISANSFAGRIPPELGNLSSLNSLDLSRNYFTGEVPPELGNLSKLKQLSIGSNNLEGPIPTELTRIRNLFYLNLGENNLSGHIPEAIFCNFSALQYIDLSSNSLDGKIPIRGDCPLPHLMFLVLWSNNLVGGIPSSISNSTKLRWLLLESNFLTGELPSDMFSNMRDLELLYLSYNYLESPENNTNLAPFFASLTNCTKLKELGVAGNEIAGTIPPLVGRLSGGLGQLHLEYNKIFGPIPANLSDLANLTALNLSHNLLNGSIPPGIAGMQRLERVYLSNNLLSGDIPPSLGMIPRLGLVDLSHNRLTGAVPATLSNLTQLRVLVLSHNRLSGAIPPSLAQCVNLQNFDLSHNALRGEIPADLSELSSLLYLNLSSNLLEGPIPVTISKMVMLQVLNLSSNRLSGAIPPQLGSCVALEYLNVSGNKLDGGLPDSVGALPFLQVLDVSYNGLTGALPLSLVTSASLRRVNFSYNGFSGEVPGAGAFASFPAEAFLGDAGLCGSVAGLARCGGGSAKHRRVLRDRRVVLPVVLTVVGFTLAIIGVVVRRAASAATSSAAEVRRDSRRSMLLTDAVDEPMERDHPRVSHRELAEATRGFEQASLIGAGRFGRVYAGTLRDGTRVAVKVLDPKSGGEVSRSFKRECEVLRRTRHRNLVRVVTTCSQPDFHALVLPLMRSGSLEGRLYPPDGRPGRGLDLAQLVAIAGDVAEGLAYLHHYAPVRVVHCDLKPSNVLLDDDMTAVVADFGIAQLVKDVGDSDFGGNTGSADPCNSITGLLQGSVGYIAPEYGLGGHPSTQGDVYSYGVLLLELITGKRPTDVIFQEGLTLHDWVKRHYPHDVGEIVARSWLTDAATAVADEALREDVMVELIDLGLVCTQHSPTARPTMVEVCHEITLLKEDLAKHRSAAPAMARDTRSVTMTASEQSCSTSDSSIQIVHPVLPPCTVHETSNLVCNVIHAGPHGSRELRACNAKLEKLHNDFHHLAVPRNWITQR >Et_2B_020077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16632951:16634168:-1 gene:Et_2B_020077 transcript:Et_2B_020077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELQSNDVAAKALEKTSATAETGLEAGVSVTSAAPPPWRKTVAMDAINAPLLEWAFARKFFLAAAINAVTYVPSVRRVVMADAPSGPYFFAAVFLICALSVTLLLVVFREKQPVNLLLLGVVTACFSVSIAIFSCIFTYFGIVVLYSMGLTVVGAMSVILFTFWAVMRNYDEYTYTGPFLFSWFIMLAVLFAIQGSMAHVGRIDMALFGCCVASLFSVFTTYDINKLICRHSYNEHVFAVITMYVPGLHQATDAPAQSMRPNSWYKYFIKLCISWFVSMELGIKRVVLSYR >Et_7A_050794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11020871:11022962:-1 gene:Et_7A_050794 transcript:Et_7A_050794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGGRPEATMASRSRQRQGPAAREEQVAAAAGGDGGGEATQRRPRGWRAIAFFIGVYAAATMATNAFATPLTIYLMERYNMKPNAATNVYNIFSGFLNFSPVVGAFVADAFWGRFRTMLFGIVFGLIAMAVITLSATVHQLKPPACSPTDQLAGTCVGPSALHRAVLYVGMALLVVSAGGTNPTSLPFGAVQFDASSERHKGGLSRYYAGYYAIAMLATFLALTVVACVQEKVSWGLGFAIPAALMLAAFAVFLAGAALGLYVYVPPEGSIFSSVARVAVAACRKRRLRLPHPGDVRLQEELLYSPPATGRRVVFKLPLTPELSFLNKAAIVTDAADEIRPDGTPARPWQLCSVQQVEEVKCLVKIVPVWVAGVMWFTLLTEMINYTLLQAQTMDLHIGRRFTIPQISIVAVFYLSVALFVPVYDLLVAGAAKARRGNGNGITLLQRQGAGLVVGAIAFVVAAAVERRRRRSALLGDGGVSPLSAFLLAPQLAVMGASGALNMVGQVEFYNTQFPDQMLTLANAAFYCAQGISSYLATLVVNIVNARTRSHGGGWVGDDFNASRLDYFYYAMAVLAAANFAYFLVCSHLYRYKGEQAGDALAVADPDEPARDSASTTGSEAALFKM >Et_9A_061280.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:5800116:5800367:-1 gene:Et_9A_061280 transcript:Et_9A_061280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TYFSSANLAPIAGNSLETPGITIFSSSRQFNWQSMNVNTNSSWWYLQLHPGKSRNRGMEKIGSYLSNLGRLISSTQSNNRCTN >Et_1B_010138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25677708:25678610:-1 gene:Et_1B_010138 transcript:Et_1B_010138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPGASAFGCSGFPAWVLIDTTAVLGRCDDETTAQGTTREGSPVEVSFVLVDPPALSRCVVHCPDLPASNTWSPALVTGADGAFLLIRLFFHRRHGDHFTDVFVYRAGSPSLIRIPEPYPVRFLSTRVGVLTCGDDREHCSVVVPAWRYDAYGRMSYVLNVFSTMTKSWSTKVARFNPSKVFSIGGVSLAWVDLREGILLCEQVEKDPVMRLIELPALMPTNVARYRLRSDGYGRSLDRIRDVTFSNGWFSFVEVESLEFVGSTEPSGWRVSVFKREAYSELAVVPRC >Et_2B_021859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6127073:6131706:-1 gene:Et_2B_021859 transcript:Et_2B_021859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLDLAPAVLPLLALAVLCALTPVRGGDDYTAFVYAGCSQGRYDPGSQYAADVDTALATLVNSAAYTAYNNYTSPASTALAAVYQCRSDLPAAVCGACVKSAASKLDQLCNAAAGAAVQLRACFVRYGNDSFLGKQDTTVLFKKCGGESAADTGVVASRDAALGALVAASSSAAASSGDGSYRAGAAGYVQAMSQCVGDLGAKMCTDCVSAASAQLKAGCGYASAGEVYLGKCYARFWSNAAGAVGAGNGVPAVGGGAGNGVAGGGGAGTNNGYAYGGFVPNTYTTHDESGKTLAIIIGLVAAVAIVIVFLSFVRRAGGVGDSLRARITESQALVGRAEGSLWLPLVNHAAQETGSVGLGQILIP >Et_1A_006007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16611289:16621145:1 gene:Et_1A_006007 transcript:Et_1A_006007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLGARSRGKATPTSRAPPPPQPGSPSAEKAMRKPGAVCRPCFFVVAAATLWVLTLYMRLLVPIAVSIRVAPAAAGSNDTCRGRYVYIHALPPRFNADILRDCGTAYAGWPKMCDDVSNGGLGRPLAGGALTGETGWYATHQFALDAIFHGRMRRYACLTNDSGAADAVFVPFYAGFEFAVHSWGLDAAARDAAWRDLLRWLVRRPEWRRSGGRDHFLVAGRTAWDFMRADPNSTWGNAFLLSPAAKNMTVVSVETATLGWGNDFAVPYPTYFHPRTDAEVLGWQQRVRSSERWWLMSFVGATRPGDRKSIRSQIVGQCAASPSCRQLGCKFGSAQCHYPGDIMVLFMSSTFCLQPMGDSSTRRSTFDAMVAGCIPVFFKPRSAYLQYRWHLPKDHATYSVLIPDDAVRSGNVSIEAELRKIPAAAVVKMREEIIKLVPRLLYADPRYKLRTVKDAFDVSMDGVLETVKKKIKGEVEYGATTARLPAVRHRARHARTPPYGMKRHHAGDLPLSAPAGDGVGRLRTVVEEEDPDKQGKYNKAQRSCSNICLLLLLAATVALLARHGYVSGRDGGVVLIETVPGPPPSARKIVPIARGGQSVPERSPPAPDASDESVLASDDGSGEPEFSKPSAGGGKKSSSGSSIAGSKSGSGDRALSKGSVSEAAHGGDHRVARALTAPDVKFDLCKGRHIYVQELPPRFNKDMCGRTANGGFGPPIVGGEGEGAFQETGWYDTDAHALDLIFHARIRRYECLTDDPSLAAAVFVPFYAGVDVARHLWGYNVSARDALALELADVLAARPEWRAMGGRDHFFVAGRVTWDFRRQGDGDAEWGNRLLLLPAVRNMTALVVEASPWHLNDAAVPYPTAFHPACDDDVFVWQDRVRNLTRPYFFSYAGARQGDGKSITGRLVEQCAASSACSLMECGGDKCDSPASVMRLFQSSTFCLVPRSSGASTSRSAFDAVLAGCIPVFFHPGSAYVQYNWHLPKNHTDYSVYISEDDVRKNVSVEETLRRIPAETIKTMREAVVGLIPKVVYTDPSSRLETTMVDAFDVAVAAVIDKVAKLRKGGAEEEKLEMYSWKYPLLREGHKAEDPHEWDALFAFS >Et_3B_029704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27214476:27224409:-1 gene:Et_3B_029704 transcript:Et_3B_029704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAADSAADPATADEDLETLPLDSSSSVAATTASTDPLLRPPPSPSSTSSSPTAGANHDAFMDDVEEDDVAPAPAPRAAVTKPREASPVFAEITVSEPKKHAEPGTGAAGVIPGSASYVSYLVTTKTVDGGEFLVRRRFRDVVALADRLAETHRGLFVPARPDKSIVEGQVMQRHDFVNQRCTAVQRYLRRLAAHPIVGRSTDLHAFLTEPRGIPTSEGESPRWRPAMSAATSTSATAPATPARSGRDLFGMFKDLKQTVTNGWAAVRPPPVEEETDTRYLAHKAKLEDLEQHLVTASQQAEALVKAYDDLRTTTGLLGLSFFKLAKFEKDQATCSSQKQRATDISIFANAVIRVSRSQTNVNAEIVKHLGIFHDHIETMASVHNAFADRSSALLRVQNLSAELYFLHNRVGKLESVSSRGMGEDRLRYQKIEELKETIRATEDAKSHALKDLDVIKENNINEIRRFNKERRQDLVEMLKGFVSEQVASSDHFASVWTKRMLQHAPCRTPGTIKFKPHLPTKPPLLTGSPSSRGSLCTAAAATRRNLLVLVPSLVAASTVLQSLPLTSSAATDDNPAPQPPAPAPAPTAPAPPPAPAAEAEPAALSRVYDATVIGEPLAVGKEARRRVWEKLMAARVVYLGEAELVPDRDDRVLELEIVRKLAAGCAETGRSISLALEAFPCDLQEQLNRFMDGSIDGNKLKLYTSHWAPERWQEYEPLLNYCRDNGVKLVACGTPLEVARTVQAEGIRGLSKTQRKLYAPPAGSGFISGFTSISGRSLLDKISSTQGSPFGPSSYLSAQARVVDDYTMSQTIMKEITAGDPSGMLVVVTGASHVMYGPRGIGVPARISKKMQKKNQVVILLDPERQGIRREGEIPIADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRREALPQDLQKGLDLGVVSPEILQNFFDLEKYPFMAELLHRFQGFRERLLADPKFLNRLAIEEGISITTTLLAQYEKRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISLLSVADDGSGESLELLKGLLGSLPDNAFQKGIMGQNWDMNQRFASVVMGGIKLASVGFISSIGAGVASDVLYGARKFLKPSASVEVVRKRSPIWKSAAVYSCFLGTSANLRYQVIAGLIEHRLGEYLMAYYNQPLLANLLSFVSRIINSYWGTQQWIDIARLSGVQSSKKELPSPEVLNPSEMPLLECGTTDVQNVDDSQNQSNDLT >Et_7A_050740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10632942:10639116:-1 gene:Et_7A_050740 transcript:Et_7A_050740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTATASSASAHPYRTASARASRSVLTMAAGSDDTRAAPARSVAVVGAGVSGLAAAYRLRKSGVNVTVFEAADMTGGKIRSNSEGGFLWDEGANTMTESELEVSRLIDDLGLQHRQQYPNSQHKRYIVKDGAPALIPSDPISLIKSNVLSTKSKVGLFLEPFIYKKPSTRNSGKVSDEHLSESVGSFFERHFGRELVDYLIDPFVAGTSGGDPESLSIRHAFPALWNLENKYGSVIVGAILSKLTAKRDPVKKTSDLSGKRRNRRVSFSFHGGMQSLVDALHKEVGDGNVKLGTEVLSLACSFDGVPAPGGWSISVDSKDASSKELAKNQTFDAVIMTAPLSNVQRMKFIKGGAPFVLDFLPKVDYLPLSLMVTAFKKEDVKRPLEGFGVLIPYKEQQKHGLKTLGTLFSSMMFPDRAPNDQHLYTTFVGGSHNRDLAGAPTAILKQLVTSDLRKLLGVEGQPTFVKHIYWRNAFPLYGRNNKDGLAVGSVIASGSKAADLAISYLESRTKHDNSF >Et_5A_042471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13934539:13935469:-1 gene:Et_5A_042471 transcript:Et_5A_042471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHPPVLLLIPHEVIQDTPSSRATTSDIPAKTRVFINAWAIGRDAVLLGETGGVPAGEVHAQYYSVPSTILARTSDSFRSVLGGGDALALHLRLGYQIRHNNGTDVPKLAFKRGNSKFT >Et_2B_019653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11824640:11826839:-1 gene:Et_2B_019653 transcript:Et_2B_019653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIMKGITVLSLVTMVCFQVPGAFAGCKKRRPSVPAMYVFGDGVLDVGNKTTCRLGIRTGLTTHTTVSTFLVGSLPEGSVTGTTLQTSLVSHAFYVPKTMGFEMSPPPYLSLTGAIQIDANFSGINYASADASIFDTPLDEVVIPLKKQLEYFEASIGQMETNLTKHQLSKLVSKSLFLLSIGTIDLQFVYRLSRFKSRSGNRLNVPFLLSSYGSAITTLYNKGARKFMIINVPPFGCVPWSRNFRSGGCNEAMNSFANQFNEGLKPLLAGLSSSLHGFQYSIADYYAFSNNTFTNPSALGFEDSHSACCDGPCSPPAYEYGDDSAPCGNRTRYWFWDQEFPTERAAKLTAAAFYNDDHARFIMPVNLKKLIDGN >Et_2B_020282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18669427:18672542:1 gene:Et_2B_020282 transcript:Et_2B_020282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GSENQQIWTEVGESEGEKNKVLLEIERECLEVYRKKVDDANRTRVQLHQSVAAKEAEVASLIATLGEHKLYLKKDKGVLPLKEQLAAVIPVLENLKCKKEERIKQFSDIRQQIEKIRYELSEYNDQGDNASSLHTEEHDLSTRKLNNYQAQLRALQKEKSERLHKVLEYINEVHSLCGVLGIDFGKTVNEVHPSLHQNGVEQSRNISNGTLEGLASTIYKLKEERKTRIYKMRETMESLCQLWKLMDSPEEEKRQFNKVMSILILPEEGITSLGIISEEIIEKMEAEVERLQN >Et_8A_057426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2971162:2973376:-1 gene:Et_8A_057426 transcript:Et_8A_057426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPNASTPATGDADTDAAVLATLHASRAAIVSVLAAAAEAEVDIDAVDDRLVDLLSGASPSTSHLQSQAVAARALRARIDRAVAPAETLLAAFRRVSALAGDAAPPADPGDAAGAAAFVGRVDQLRDAIEEAVARGDEAVRRVEEAVGFLGRTKAAGRGRVRRLTEAAAALRAVYEAEAEEMRFEGPLDEALLGLQDLFEAMLLRLKHPAPEDDGVEGDAAGYELGTDEEVEAAARMAKTLAGNDCLDICVDIYVNARYRRAAKAMMKLNPAYLKSYTPEAIDDMEWESLESAMALWSPHFHVAVASVLPAERRLCARVLEHLPPAVWPECFAKIAARIAAAFFRFADGVAAAAREPQRLFKLLDMLDAVAAERPRLDALFSSESSATLLAIRERTREVERALARAAVGVFFEFGLRIETHYVTGNGAAGAGDVPKVVRYAVNYLKCLASDDYRALMDAALRAELEHERDDDDDDGDGSGGEGGAPPLPLAEAASNVLEALRRHVEAARRVCADAVASRVMAMNAYWYIYMRARGTELARLVGEDAMRRRYKAAAEEAAWEYQDAAWHPLVRLVAGSSSGAPRAWPLEEAREKAAAFADMLEERVRRHGAEYKIPDGDLRAQIKAAAAKAVRGAYAGFVKANERALAGGRREILPVDVIEGMVGRVFDEMGDGVAASVGRTRSGNRSRRESRGSINLEGFDG >Et_7B_053762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1229211:1232775:-1 gene:Et_7B_053762 transcript:Et_7B_053762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDASPKKWTLKDQRETFLKWFSLADDDGDGRLTGNDALKFFAMSNLSRSDLKQVWAIADSKRQGYLGFDEFMTAMQLISLAQAGNEIGQDTIENADLERLQPPVMEACHSSESLITATWFNSKSGKKIPLKSVTSIIDGLKKSYIERLRPLEKTYQFHEFVSPLMTSSDFDAKPMVMLLGQYSTGKTTFIKHLLKTSYPGAHIGPEPTTDRFVVITSGPDERCIPGNTIAVQADMPYSGLSSFGTAFLSKFECSQMPHPLLEHVTFVDTPGVLSGEKQRTQRSYDFTGVTSWFATKCDLVLLLFDPHKLDISDEFKRVIGSLRGHDDKIRIVLNKADQVDAQQLMRVYGALLWSLGKVLNTPEVMRVYIGSFNDKPIKETAAGPLGMELFQKEQEDLLSDLNDIPKKACDRRINEFVKRARAAKVHAHIISHLKKEMPALMGKSKAQQKLLDSLDDQFATVQKELRLPAGDFPNVEEYRQILSAYNFDKFEKLRPKMVQGVDDMLAYDIPELLKKFRNPYD >Et_6A_046406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14516376:14518457:1 gene:Et_6A_046406 transcript:Et_6A_046406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSAPPLGGSSVVMAVRMTRVTRLCSTKSIVTVNGQYPGPTLLAREGDDVEVRVVNRSPYNMSIHWHGVRQLRSAWADGPAYVTQCPIRPGRSYVYRFTVAGQRGTLWWHAHVSWLRATVHGAIIVLPPAGVSYPFPAPHGEEVLVLGEWWRNDTEAVIAEALRTGAGTNLISDAFTINGLPGPLYNCSGSSHYGWLANISIYQPHISINAAAAETFKLKVKPGKTYLLRLINAALDDELFFSIANHTLTVVEADAGYVKPFAADTLVLVPGQTSNVLLDAKPSSSRPAATRYYYMEARSYTNTVVQGTYDNTTVAGVLEYDDGDLLSSNTIVSAPDLPPVNETNFVANFTAKLRSLASPSAVPQLVDRRFFFTVGLGTRPCGAATNGPCQGPNGTQWATSVNNVSFVLPTTTALLQAHYAGRSDNGVYSTDFPVVEPWSRRRRPSTTPPPSATTLT >Et_1B_009676.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:13585988:13588214:1 gene:Et_1B_009676 transcript:Et_1B_009676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HKAESSPPPLSSAPLHSPFPQTPKPSPPRPKFRLRARRRRLAFGVTMEDVSKALLHGPDFAIMDENMRWLTCDVFKALPKPPVATAAPLSNAVAARPPSDGVDRISRLPVEILRNIVSRLPAKDAARTTALAMRWRRVWHLAPLVLVDAHLLPASRLAPPPRTFSRGSLDAIWRGVTDGARHQTAVSRALAAHPGPFRSIYLVGTNMKEFKGMAAHWLDLLAAKRVKELVFVHPATKIEDKVHLPASIFRCTALTKLYIGTWWFPDTADLPRTAGFPYLQELGLCNLVMKEQDLAIVLDRCPVLEKLMIARSRWPVCLRIQSRSLRCVQVCMAFVPEITVADASRLERLLMWEAWGGGDLTNMSSKVKIGHAPMLRILGFLVPGMHQLEIGNTIIKVGSIHLHLFFSLRINMHSKFKLPV >Et_7B_054429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21264948:21270271:1 gene:Et_7B_054429 transcript:Et_7B_054429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGSGAGSGSPSGGSGSGGGGRGGGGGREGDWDCGSCGNRNYAFRSLCNRCKQPRLLVDPHTPRDSKWLPRAGDWICTGCSNNNYASRKNCKKCGLPKEEAAMPAMQMAGMAMPAYASYMARLQSLAASASGFKMNFGMAGNSALQQQLLASANWQYGMAGRYGMQPSGWPYGNSNTNQFQGVPKDWRDGDWLCSCGFHNYSSRTECKECNAPVPSGIPSTTMKSSTSDTSSTLGIKRLASEELANDWDNKRLNPGNSSYPLSTAGSDSLFLGIQQGAGSSNGQTAYSKFDSGNSMTLPSGQGLMGKGGKWREGDWMCSNCNNHNYASRAFCNRCKTQKEASVHPGVADDTDEHTTDERIG >Et_4A_032730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13143535:13148405:1 gene:Et_4A_032730 transcript:Et_4A_032730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGGGGQQFRYTQTPSKVLHLRNLPWECGEEELVELCKPFGRIVNAKCGVGANRNQAFVEFTDVNQAISMVSYFASSSEPAQIRGKTVYIQYSNRQEIINNRSPGETAGNVLLVTIEGVQASDVTIDVIHMVFSTFGYVHKIATFEKAAGFQALIQYTDAATASAAREALDGRSIPSYLLPEHVTYCCLRISFSAHKDLNIKFQSNRSRDYNNPYLPINHSAMDGSAFQPTIGADGRKVEAEGNVLLASIENMQYAVSVDVLHTVFSSFGTVQKIAIFEKNGATQALIQYPDVTTATVAKEALEGHCIYDGGYCKLHLSYSRHTDLNVKAHSDKSRDYTIPAGVIQGVPQPPGVPATSSGWQGNPQAAGAHGPPVGAQNHSTNGQVPNWNQGNSVYSPAPGAYPGQMYSSSVQYTASGGFPTPPAAPQHELNATQQMHPHHHGNQHFRPASGAPGTGQPPPPQYYR >Et_6A_046108.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:24991990:24992256:1 gene:Et_6A_046108 transcript:Et_6A_046108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNLCFQGGRWTGVKEVLAGICRTLRRWSTPCKATDKESRTMLITKMEMKVRSPLMLTWHQAQQEHRRSSLGSSETRVSESSNVNTT >Et_5A_040725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11636968:11637260:1 gene:Et_5A_040725 transcript:Et_5A_040725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCVRPQVHGLRMETRQSNRNGNHPLVVLSLTILSLVHNYFLDIEVASHPLVVTHCVDLFLHRHGRACLWTAFVASAPDSNFYTKA >Et_4A_032525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11001314:11002444:1 gene:Et_4A_032525 transcript:Et_4A_032525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLATTAWRCGGGSRTLKKPEAIKRGERWRCLLSKAGTSPFKIDDLWCVLFQQPQEHTYRESLQRFVRHAGLKARVAAEFRTLECVARARHSPAPPHYWNPGAAGFLPPHGYHHHHHLPTQPPPPDGSSDPALRAPPPVDQARPPVCKLETDELLKLFVLMGTPDAFLERAKQMFGDDKRKERGAAKERRKAKAADHSTTNAAAAKAADHAKAGAAASKASNNAKTSATATKSAGHARNGATTAAKAPDHARKVAAAAKAADRAAKAGAAASKAAKNATQGAMASKESGQKSGASAPVAAAAYGPFAAPKLLPGRLGFGQFAGSSSQPFKVKPSTSSASGKKNS >Et_7A_052939.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:310389:310952:-1 gene:Et_7A_052939 transcript:Et_7A_052939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEAAYIAGAGMVDVVTRDAAAQALGTVVQLHFDKTVEKKRAADAQKQELWRLFLAFFLFLALVLSAVAGSPPARLQCRHLWAPAGLLSLAHLAFYAAVAHHLRCLNGFRYQRRCHKLTLALAADRLRMLKSGGDVVAAADVEVPYQEPPESYLAKFKRSWAIHFAFLITTFAFSVAAAVSILCF >Et_9B_064913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19805142:19807067:1 gene:Et_9B_064913 transcript:Et_9B_064913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVNSRLSRAADEVSSDTEALVLVQKLEEMVLEMLDSYEDCVALAQAVKAVPESYQPSDQLTNFKGLIETEVVKIKEGSSASGQNHPLFRQFRESVWNVHHAGQPMPGDEQEDVVMTSTQTSIRNVNCPLTMKPVTELQNPVRCADCGHIYEKVPILHYIRHQNPPNCPIAACPAVLQISKVTCDPLLRIEIEEFRSTEPAAPNATNIEDFTDLNDDDEDD >Et_3A_023632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10113944:10117685:1 gene:Et_3A_023632 transcript:Et_3A_023632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRKAMWLYPKVVGFNPPERWGHSACFFEGVVYVFGGCCGGLHFSDVLTLNVETMAWSSLATTGQRPGTRDSHGAALVGHRMFVFGGTNAGKKVNDLHVLDLRTGEWARPQCKGAPPSPRESHTVAVVGGDRLVVFGGSGEGEGNYLGDVHVLDVPTMTWSSPEVQGNHAPAPRDSHGAVAVGGRLFVYGGDCGDRYHGEVDVLDVDTMAWSRFPVKGASPGVRAGHAAVGVGAKIYIIGGVGDKQYYSDVWILDVANHSWSQLEVCGQQPQGRFSHTAVMMNTDIAIYGGCGEDERPLNEMLILQLGSEHPNGRYNISMCKVLSNHWSQEKRKFLRAGHQKDASVGNGDAGQKPREAETEQRNQFLRGLENGRLKRRRTGDSRPNEAESEQEEHSLSLSQHSSPSQSDQEQNGAHKLSASPSTSISALQPFVRLSANGTLRAPGPGGISSRPLKTDFLRTFVPQHRPEVQFLSSDHKPQPRPSGPPLIGAEVHGTIDGAFDSGYLMTAVVNGQLFRGVLFAPGPGVTAPRPTPHHQILTSSAVPPQQQRPLLAHAIPVHARPLPQATGFVLPDCAHLARQGFPAKSVKSEPERGSSDLHDVVLTLGGPGGGGK >Et_5A_040177.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:19184711:19185070:-1 gene:Et_5A_040177 transcript:Et_5A_040177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HLLDAFQAEVVACLHGVQAATDLGIAHVVVETDAVMVQQAVTSDAYDLPAAGGLLVELKEIAAANFISFSVAYQPRECNKVVHALAALGSERLVGDNPIVDTLSSCIRSLVAGDLLAND >Et_7B_055795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4783865:4795420:-1 gene:Et_7B_055795 transcript:Et_7B_055795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSKTKSGSSEAKSNGKASEKKGTPPTPKSRKPAVPKASAAHGTPPSAPRAADKSPGSGDRKAPTPKTAARLATPPEKQGKAAKPPKEQQAVKPSQDLQTQLAAVQEELLKAKEQLMEKEKEKGKVIEELERAKKVADEANAKLQEALHSQKAPSIESEQADIESVQRKLESMQSQQEADAVALRSTVEQLEKARYELADAIDAKNEALNQADDATRAGKVKAEQVELLNAEITRLKELVDSKMDGKAKRTAERIQNLQSENSKLKLELGKAKAAEERVAELERMVEELNIDVADANNAGSKSNELADEWQKKAQMLEDRLEEAEQSNVLKGESLDSAIKELDTTSTLLRDRESEAAVLLDKVRLLEDEVARQKGDIDISGKKLDAAEKEAADLWREVEELRLKLRAAEEEKMEALSSDRISEIDALTEQNNQLAKELEASKDEVDKVKKAMEGLASALQEMSAESREAQEKYLSKQDEIERTQAQVEELNMSLTNTKENYEVMLDEANYDKVCLTKSVERMEAEARNAHEEWQSKELSFVNSIKKSEEEMVSIRAQMDKTLEEVKEKETENAELQKKMQQLEAQLIEANKIREEAKAETFQWKEKLLDKENELQNIKQENDDLQTKESAASEKIKELSSLLADAKDGMTADSNEHDDKEKGGSEEDDEPVVVVAKMWENSKYTDNDSSKDKENDGDSQADLESNKGDAALDSNGLPSTKENSGCTSPTKQHQPQQKKKPLLKRFGERLALIRYAGRSSCFNCNGSPSVLGCTYQKNNKRQKMELTLVTKQPETRQRDEQPTCENNELASASGSAGEGALLDKILYNGMKKKLSHENVMSLDQ >Et_4B_038954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6291881:6302596:1 gene:Et_4B_038954 transcript:Et_4B_038954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGSVVLGVLAVAALLCVPAAAELPRLQHYSAKNDGSLSLLVLGDWGRNGAYNQSRVAHQMGKVGEKLDIDFVISTGDNFYENGLKGVSDRQFQDSFSNIYTAPSLQTPWYLVLGNHDYRGNALAQLSPVLRKLDNRFICMRSFVVNSELVDFFFVDTTPFQLKYWTHPGEHHYDWRGVAPRRKYLANLLKDLDDALKKSTARWKIAVGHHTMRSVSEHGDTKELLKLLLPVLKDNDVDFYINGHDHCLEHISSRDSPIQYITSGGGSKAWRGIHNRNKDDVRFFYDGQGFMSLQLNQDEAQFIFYDVFGKILYQWSAAMAEVLAVLALAALLCAPAIAELPRLEHPAKDDGTLSLLVIGDWGRKGTFNQSRVAEQMGRVGEKLDIDFVISTGDNFYETGLTGVDDQAFEQSFTNIYTAESLQKPWYLVLGNHDYKGDVLAQLSPVLRKIDNRFICMRSFIVDAEIVDFFFIDTTPFQLKYWTHPKGDHYDWRDVAPRGKYIDNLLKDLDKEMKKSTATWKIAVGHHTMRSVSDHGDTTELLELLLPVLKDNGIDFYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGVFQPNEDKLKFFYDGQGFMSLQLHQDQADFTFYDVDGHILYQYSQNSMKKTHPLQYPRYTTEDIDFYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGFFQPNEDKLKFFHDGQGFMSLQLQQDQADFIFYDVNGNILYQYSQWSLRKTYLLQHPSYVNKKESAQGTFKKD >Et_8B_060725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5631277:5633389:1 gene:Et_8B_060725 transcript:Et_8B_060725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASDRFNINSQLEHLQAKYVGTGHADLTRFEWAVNIQRDGYASYIGHYPMLAYFAIAENESIGRERYNFMQLRWFASGANSSFALLPNGQ >Et_3B_027813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20417149:20418942:1 gene:Et_3B_027813 transcript:Et_3B_027813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPTGAPLPSCARALADLLVALSAARALPKGQQLHGHLLKAGHLPATASSHTLLGHHLLTFYSRCALPGLSHRAFLDLPTPPAPAAWSSLISSFSQNGLPVAAFDAFRTMAIFRLALEEAVPVNDFTVSCIIRVCAAATLFELGAQVHARAIKTSLDASPFVGSSLVSLYSKCGLVECAYRVFSESPERNLGIWNAVLIASAQHGHTDAAFGRFIEMQKDGHRPNHITFLCMLTACSHAGLVEEGKKYFSLMKEHGIEPQAEHYASMVDLLGRVGRIREAFDLIDTMPMEPPESVWGALLMACRMFKDADTAAVAAKRLFETGSRSSGAHMLLSNTYAAAGRHADAALARKAMRDAGVQKETGLSWLEAAGEVHTFVSNCRRHKRSEEIYNVLEKVGKKMEAAGYVADTSAVLKDVDGDEKRATVRYHSERLAVGLGLLIIPEGVPIRVMKNLRVCDDCHNAIKYLTKCTGRIVILRDNRRFHHFKDGACSCGDFW >Et_9A_062680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3051739:3057641:1 gene:Et_9A_062680 transcript:Et_9A_062680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPSPSQQRPIPKFPHPPNPKPKPKIPHPPSKSRVSVPLHRMSDPAAAGTIVPAGGGGTVWPDGSPRFGDMVWGKVKSHPWWPGHIYSIALTDNAEVHRGHRDGLILVAFFGDSSYGWFEPHEIVPFEEHFTEKASQGLITRSSFATAVAEAINEVARRAALGLLCSCRNPRAFRPHPFDSRYFLVDVPGFDSDADYHPDQIAAARDRFVPRKALDYLLDAASGSVSDSFYLSYVKLLHMMDLVHIVCLSCVEMLVATRPLTGKRKTPTEEAALAPAPGPGRRRGGAGAAARLMEKIVPGASAMKPKGTKKDQYLLKRRDQPPEPSHRPAPLPDAPPAQEDGPPGFGTDPPTPPHPGTTAEDEDFMLQRRAPPLEAPPPTAAQAGEGAAAADAAGPKKAAKPKKARKREREEAAGPGAAADAAAGGEPKKKKKKKKLTDLDGDAAPNAPAAAAGSGKAAAFPGPKLVGDLDALDLKQVMSDLGSLPLAPFHGADRRISDTARSFILAFRSKYFKKSHENDPPEEPKKSLSLDKPSAGADGQPPKKKKLAVRPGAGNDPTKAGVKRGPSDRQEEMAVKKKAKLEKIKTLSNEKKAAGLEQKLTGAAAAGGTATGAARPALKADAAARKKEPAPPPRMRTPSPTALMMKFPLKTTLPSVASLKARFARFGPLDIDGIRVYWKSNMCRVIYKFKSDAETALKFTRTNRMFGDVDAQFHIKEVERAAGEPPAPDAPPQRSDLRLMETAPFRPGSSGNGSPLPMSRAALTARPSPGQQPKSILKKNTEDGSVATANAMREVSRVKFMLDGGDSKLEPPALPTNGGSGNGADSGAPIRGAKSVGFAPQPLQPPARTLQPPMRPTQQQLQPPRTAVTQPLPPPPPLPYQARTSESVLSPQGQLPYPPRHTDGPVAFSSQPSQQLPYPPRHNDGLSALPGQPPLPYQPRSAGFPGQQQQQLYPPRAGDSPTILPGPLQQLPPRTSDADGVPAWKRSEKEFKDEVWRVMTGIAKMVEPLTDKNGFFPYHLFRAT >Et_5A_041902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4014354:4018703:1 gene:Et_5A_041902 transcript:Et_5A_041902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIGVVLLLLAATPFGANANTDSNDVDALHVFYTTMNSPPQLTNWVSQNGDPCGQSWLGITCSGSRVRTIKLSGMGLNGTLGYNMNQLTALVELDMSNNNLGGNDIPYNLPPNLESLNLAGNNFTGTVPYSISQMVALRNLDLGHNQLSNTNDMFSQLTNLTSMDLSYNTFSGNIPQSFNSLMSLKKLYLQNNRFSGTIDVLADLPLTDLNVENNQFNGWVPDKLKGIRNIQTSGNSFSNGPAPPSPPSPPSPYTRPPTSRPSVPSSTDGNNFPVEDHGKHSKLGGGAVAGIVICFLAFAALVAFLVIKRNSWRFSRGKDPEQNEPLSPLASGLKQMKSIKSIKIISTIGKEELQKTVSMSLKPPTKIDLHKSFDENDTTNKSIARKVSLSSITVPAYTVADLQVATGSFSPDSLIGEGSFGRVYKGKCTDQKVLAVKKINFSAFPSHPSDLFVELVANVSRLNHPNLAELAGYCSEHGQCLLAYEFYRNGSLHDFLHVKDEHSKPLSWNNRVKIALGSARALEYLHETCSPSIVHKNFKSSNILLDSELNPHLSDSGFADLIPNQEFQESDENSGYRAPEVSMSGQYSLKSDVYSFGVVMLELLTGRKPFDRSRPRSEQLLVRWATPQLHDIDALDSMVDPALQGLYPSKSLSRFADAIALCVQPEPEFRPPMSEVVQSLVRLVQRANMTRAHESHSRNFSESGDYEF >Et_6A_047466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6184946:6197580:1 gene:Et_6A_047466 transcript:Et_6A_047466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLDDKKLEQMAESGEDRISALPDELLHRVFYFLPLCDVVRTSVLSPRWRTVWKSMTALRITDAGRPGSAADLSSATITNYHVDMISRKFDDIKEVERYLDMWIRHVLLCKVQVLKVLGMSLPKCTVLNVPLISGHLRILKLWSVSLKVSCIDFSRCQKLEDLMMDDCRIYAEKMSFQSLRSLCLSGCEFQDDIVTQICVSRLTSLQLDGNSGMTPFLASMPLLVTASVRLRRTCEDHHGSEKCILLDGLSDATALELIAEPEVTIFEQDLKWCPAFNKLRTLVLNAPVVGFDVHVLILFLQLVPVLEKLTLELYDKRNYEMEMEESCSVVSVVQHMLQHKHLQSDAEAMVKCTCAHPSFDGMSGGGENKKLKPTSAESGEDHISVLPYKSLHDILAFLPAQEAVRTSVLSRRWRHVWKSMRILRATEIGDWVAARFNEFVNNLLFLRDLVPLYEFDFTTYHPDYSRLLRFEDLSGEDFRYVEMWIQYALMREVRVLRVLINSSGADYLFDETPSKRASKNRSGSAAENDEDRISELSDDLLRRILSLLPAHEAMRTCVVSRHWREVWKAVRVLCFSAAETWGTAARFNRFVNDVLSFRDPAPLEEFEVKTYMCFPPTSSYSLILPDRDSGGAG >Et_4B_037862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24121248:24123829:-1 gene:Et_4B_037862 transcript:Et_4B_037862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAAPPSQTTTYVSQPSKSSHSAATIPANSMSHSQDFHHHQGSSGVFGFSSSDGFDRPVDSSQHDQQEHHQHVAQQSRREKLRVPQQQQQQQGGGFDPSAAAGLLPIDGGGDEHAEPGGAMSYEHHEAAAAAAAGASNMLSEMFNFPAAPPPSGPSATELLASQMSAASYGRFGIRPGPGGGGLSGDWFGAGGMGSLGETSSSPKQQQGGGMAGIATDPAAAMQLFLMNPQQQQQSRSSPTSPDAQSSIQQQQHHEAAFQAFGAAAAPFGGGAVQVEGQGLSLSLSPSLQQLEMAKQQAEELRVRDGVLYFNRQQQQLPMALHSGGALAGYGPAGVAGVLRNSKYTRAAQELLEEFCSVGRGQIKGGRGGRGASSSNPNNASAKGGGGASSSGAAQSPSSASKEPPQLSPADRC >Et_10A_000426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1061760:1065157:-1 gene:Et_10A_000426 transcript:Et_10A_000426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVPWLTMQCMPAPPMPILAWPPFSQRVSKACLCKALSSKANTRGCTGVGSGWIRSQQLAAHRPAGRVLLIMLHNYVRLEGCNRLRASRPVLCDEVLEELPLPSLLLTGFTFHERRPSNPTGCMPATTTGAAARRWQDLPPDVLREISRRLGTAVDLVRYHAVCNPWRSTFDDGGFRALPWLLAPSSGGGAAAEQRCRCVFSRTSYLAPGICVCGNKQEDRLVNPLTAARLPGVSLGDSRRTNGWLDHALHAHRIVSGDGSILLYGFAPPELRGNYHHGFTGLILKPGHNEWRRVHVHAAVRSGRCCAVVADKGTVYVMDQAYCYVLWPSGNNCITGVSDRSSKKRLPDEPRNFRRRCSYILEFRGEMLLVSVLQVIDGWSSTDLSVSFHSMDLEAAESHDDDDDHEEAPTPWDDAVSLLGDDILFLGFPSSFTVEATRFGGGELSGGTAYFVLEPSAGPCDVYRHDFRIGKTTVVETLPPGWNDERCMWFLPQPNIAPIAAPHQPRSAPTASSGTHRRQKLTIYVGNLPPNVDNSRLREIFSEHGKVASAKVSYDKKGRSREFGFVTMATQEGYDKAIAVENSAVQLGP >Et_2B_022642.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28920275:28921027:1 gene:Et_2B_022642 transcript:Et_2B_022642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLVLDFCNNATVTGITLLNAKFFHMNIFQCKGVTVKDVTVTAPGDSPNTDGIHMGDSSDVTITGTTIGVGDDCISIGPGTSAVNITGVTCGPGHGISVGSLGRYKDEKDVTDINVKDCVLKKTTNGVRIKSYEDAASVLTASKLHYENIEMDDVANPIIIDMKYCPNKICTAKGSSKVTVKDVTFKNITGTSSTPEAVSLLCSDKIPCTGVTMDNVKVEYKGTNNKTMAVCTNAKGSATGTLKELSCL >Et_1A_007399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34174315:34175828:1 gene:Et_1A_007399 transcript:Et_1A_007399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RIANRRRRRGQEGRPLLRRSRRWPRVFAKLPRLHLQPNTFNRCGFDDGGDEGYCEDAHRWMHFLASRAAPVAAFDVHFKYMGLYDRWFAVLFRELSAPNTKYRECYPLPSPVYIRLRDAHHAGPLQLARQGSRPAHRPAGLAVRNVVASDADLRRVVSRCGGASLEHLATHDLEVSVNKAGRREAEPLLQLPSGLSSPGAFTIAWTVLRIANVSMPKRLAKKSHLLGLETLTLTLNHNNEALAPLVSCLLNSSPPSPRLKDLIIERTSRPACSASLSPSKLLIVLEQQLNAADCVLHHLSSFTFFMESLFEAHPCGGLCRFLVMNARSLQRMRIEYFRRSEVKPEHAAKRKANRNELNLWPRASPDLLVELSPIDRYPSY >Et_4A_033839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27214723:27219978:-1 gene:Et_4A_033839 transcript:Et_4A_033839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVLQRIENKISRQVTFAKRRNGLLKKAYELSILCDAEVALVLFSHAGRLYQFSSSSNLLKTLERYQRYIYASADAAVPSSDEMQNNYQEYVKLKTRVEVLQHSQRNLLGEDLAPLSTSELDQLESQVDKTLKKIRSRKTQVLLDELCDLKRKEQMLQDANRTLKRKLNEVDAEAAPSPQLPWQGAGAAMLSDEPPQPEHFFQALEGNTSLQPAFQTMDINEPPMPAPGSGYSPAWMS >Et_5A_042497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1636355:1640998:-1 gene:Et_5A_042497 transcript:Et_5A_042497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGRPATSSPAAVSTSRRRDHQPAAAVTQPQQEGVASSAAQAEAAPAEQTDEKPAQVKRERRSRSSRAAAALAAHTEVRLGGSFANKARGEQVAAGWPAWLSAVAGEAIEGWTPRRADSFEKIDKIGQGTYSNVYKARDSLSGKIVALKKVRFDNLEPESVRFMAREILILRRLNHPNVIKLDGLVTSRMSCSLYLVFDYMVHDLAGLAASPEIKFTLPQVKCYVHQLLSGLEHCHTRGVLHRDIKGSNLLLDNNGVLKIGDFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRIADTFKDFPQSALRLIETLLAIDPADRLTATSALKSDFFSTEPYACDPSSLPQYPPSKEMDAKRRDEEARRLRAAGGRANGDATRKARTRDRPRAVPAPEANAEIQANIDKRRLITHANAKSKSEKFPPPHQDGALGFPLGCSNHMEPAFEPPDPSSFSTVFPYEKGAVPAWSGPLVDSAAGNQKRKHKSGRSSKQPATARAR >Et_3B_028662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17788202:17791520:-1 gene:Et_3B_028662 transcript:Et_3B_028662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEVAVAAERSGGDGAVGAGAVAAAAAAVAAQAGSGGERRSRFRRICVYCGSAKGRKPSYQDAAVELGKELVERGIDLVYGGGSIGLMGLVSHAVHDGGRHVIGVIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPLLSFIDLAVNEGFIKEDARRIIISAPTAKELVLKLEEYVPEYEVGLVWEDQMPPPHGFAPELEPGITSS >Et_10A_000915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19023754:19024663:1 gene:Et_10A_000915 transcript:Et_10A_000915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDGLWELAIAAAAKVLAANGGAPPPPPPPEGSSYTGVRLQASGKWSAEIRDSPTSLVWLGTFDTAVEAASCGRCGRAAPGPGPTSPSRRRRRRRRARREAEVAALAAAGAPPPPASVYICLSVDYICCCAAGSQNAVVSTVPSPALPAAPAVNAPSAFRLAPASSTTNAPQAQQSLAALRSLAQLQAHGNLLPPRAIDNPPQPEPGAFQPPLAATAATSPAFTHRSAFRPYSSDVGSTRQDFRTTGGCTMANVPPAQFQQLYRRFVGGSGLGDGGGSEPDTLSGLKAPEQP >Et_1B_009988.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17023221:17023721:-1 gene:Et_1B_009988 transcript:Et_1B_009988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSICRFLYSNLLLDATQGLVIPVDRSFAAGASDGDVHLLLQVARLLGEVQGRRAVAEAEHRAIGFERVIGGQRRPDPLKEVGSGDGVLILAPAGTHGHVAHGAAGSPVPLAVLAEVARLVDVVVVEVAELGVHAVAPGARQHLVRLLQLLLLVGLVVAGLLRLQ >Et_3A_024648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2326350:2335171:1 gene:Et_3A_024648 transcript:Et_3A_024648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLLLAALILWTAAASKPPLDTLGIPPQGSPPDLSALARSSLLPRSPFCSYLDAALDLALSMSQMSRTTRAVDGSGKFTRDKLNDDFCDCPDGTDEPGTSACPEGKFYCKNAGHTPITIFSSRVNDGICDCCDGSDEYDSNVTCKNTCWEAGKAAREKLKKKVATYKSGVVIRKQEVEKAKLAYAKDEVELAKLKGEEKILQGLVDKLKGVRFITIFPYVEIRLSIYAEQKKLIEKAEEEERLRKEKEEKRLKEEAEKQAAGEKGAPDASQHVDSKETNEHVEEDESKVADQHDGLATEHDSHAPESGTSADQHDSEAETVDDAAAKESAAPTKEQDPSADNPEGLSREELGRLVASRWTGENVNEASKDDKKVHEDEPEIPEPVEEALEDELEVPEPAEENYGGYRSEIEDDRHKYDDEEFGNDSEDEYVDDHDEHVESYKSDDDQKGDDQSDLTASGKPSWRDKIQQTVQNVLQKFNFFKTPVDLSEASRIRKEYDDASSKLSKIQSKISTLTEKLKHDFGNEKEFYSFYDQCFESKEGKYTYKVCPYKKASQAEGHSSTNLGRWDKFEESYRVMQFSNGDKCWNGPDRSLKVRLRCGLSNEVNDVDEPSRCEYVAVLSTPALCVEERLKELQNKLDAMSSKQRGHDEL >Et_3A_023309.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:19829602:19830354:1 gene:Et_3A_023309 transcript:Et_3A_023309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESQGGEAEEIDGGLGHDGGELREADDAVAVGVGLAHHVGELGVADGVAHPRHGSRELCGGDEPVPVAVEGAERLGELRLVDGDGGAARPEEQRREGGRQLVELDGAVAVGVHGGDERVDLVARGGAEAERLEQGRDLQLGEAAVAVEVEAEEELPELAQLLVAEPRAPAGRRRRGEVPAGAGAREGHGRRPLRRRGGGGHGRARRGRRVGACACAAFSDAVFGAGALHLRAVAARAEMGSRRGRGGKI >Et_5B_043464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10887273:10888608:1 gene:Et_5B_043464 transcript:Et_5B_043464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPATVHEIRSAPRAQGPAAVLAIGTANPANCFLQDDYLDFYLRFTKCEHLTDVRCGTKKRFFHHTEELLSDYPEFLDPASASLDARLDIVSKAIPELAASAAKKAIAEWGKKASDITHLVVATSSGAHVPGVDFNLIPLLGLEPSVRRTMLYHNGCFAGGAAMRLAKDLAENNRGARVLVVCADLTIMLFRGPKDGCLQALIDQALFGDGAGAVIIGADPKPSVEYPLLEMVFASQNVIPNSAHVLNMRLTNAGISGFSSDTTLGMFIGDNIERFILDACGPLGINAEWNDLFWVVHPGAKIILDHIEVVLKLKPGKLAASRHVLTEYGNMFGASVIFVLDDLRRRMEKDMEETPEWGIMMAAGPGLTI >Et_7A_052432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:848700:855072:1 gene:Et_7A_052432 transcript:Et_7A_052432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVTGAIGSLGPKLLQLLGDEYKLQSGLRSQVKFIAEELDSVHAALRKVANVPWDQIDEPVKIWARQVRESSYDMEDVLDTFLVRVDSTKPTDPSKLKRAMKKMTNLFSKGKSRRDIAVAIEDIKKQLQIVSERRARYKVDDIVAKPVQTVDPRLASMYTKVTELVGIGKALGDIISMLETTKKISIVGIGGLGKTTLAKASYEKLSPKYDYTAFVSVGRSPNLAKVFKDILFDLGKGKYENIHNTGRGVHLLIRELQEFLENKRYLIVIDDVWETSTWDLIETALVMESNCDNRVITTTRIVDIAKKAGDVYNMEPLSEAYSKELFCIRMGIDQTDDQLASKATEKILKKCGGIPLSIITIASLLVDKPVAEWYMVYDSIGFGPEGKYQLVDDMRKILLFSYYDLPPHLKTCLLHLSIYPEDTEIAKEDVIWQWIGEGFINTEDGKVLFQVAETYFNQLINKSMIQPVYSDYDGFVYYCRVHDMVLDLMCILATEENFARKLDRVHQEHCSSPSQRSSAGCVRRLALHGGSNQGQNGNLQSAEVAHVRSINAFLSPITSMIPPLSSFQVLRVLSLKNCRQGCDLRHLGKLLQLRHLGLSWTPVDELPRDIRNLVHLQSLDVGGTGLKELPPTVGELSNLMHLHLDYGTEVLPWIGKLTSLQVLKHGMYRSGTVAELGKLTELRILWIYFKEVEESDVKALEESLHHLHKIEDVRCGGSSSLGPCGPLAWEPPRHIRQFAWRDTYLPRLPVWLNPKRVPHLSYLSLGMKAFEAQDMDILGSLPELRCLFLFIDMGSIVSWTFPGGRLFPNLKVMQLRGIHVENVHLLELKNVRVHHEKGEYYSGDKEEEDDDHDDHVKETSAIDQELQKQEEENDVTKLSLGGY >Et_4A_035168.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:10430722:10431855:1 gene:Et_4A_035168 transcript:Et_4A_035168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRHRIFAEDLLLAAEGEDHFDRIPDSLVLLIFNKLADARSLGRCSAVSRRFSALVPLVDDACLRIDRVIAADAADDALGLSGPRPRLSHLLKAMLLAVLKPFAHCDARAHAGAGGKHAQAQGQQQILQHHSPAQVLKNFSSIRNLRMELPVSDVGTDDGVLLRWKAVFGTTLQSCVILGGTKVDTGAGDQPHHAPSAAAIAASDPESGDDSGGIPESFYTNGGLKLRVVWTISSLIAAATRHYLLREIVKEHPTLERVALADAHGQGTLAMGREQIKEFRDKQLAAAAGAGAANRTQVPACNMKLRYAPMLELSDGTRIHGATLVVIKPVGEAGGVGAGRKELDEFVADTFDGPFREAVAMLSKRRTYLLEMNGF >Et_4B_036603.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9089296:9089715:-1 gene:Et_4B_036603 transcript:Et_4B_036603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQQNIVKALRGGHRHPQPHPGFAGGRLKTFLGRPWKEYSRTLYIQSEIGGFVDPQGWLPWLGDFGLSTCYYAEVENHGPGADMSKRAKWRGVKAVTYQQAQEKYTVERFIQGQQWLPKLGVPFIPGLLPQQQSGRIH >Et_5A_042561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20459226:20461303:-1 gene:Et_5A_042561 transcript:Et_5A_042561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKQKHQPTGSSFVDELFGPKDHRDAKPAPSGGFFSTVFPPLSVSHTTRNDGSREIHGGEMVREKRRRGTEVAEAMQQQGRRPSPPTLARPPCTTAAGTTYTSMATDSKTTSLIREPPHRAPKKNNDDSKQQPDGSAAARRDWWQGRLQIFIDQSSSTTYNIYNRSGRKGDITGAARMHIALEHGAFTISIGYKCRRIILEFDL >Et_2B_022495.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:23808587:23809018:1 gene:Et_2B_022495 transcript:Et_2B_022495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRWGDVYKVVTAMAPLYFALTLGYGSVRWWKLFTPDQCGAINRLVIYFAYLFFRFDLAARSGTFATSYRVLAADVVTKAGIAVGIAGYAAAGRVSSYSWCITAFSLVALNNAMLMGVPLLGAMYGGWAHDVAVQMAMMQIIV >Et_3B_030705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6680461:6684436:1 gene:Et_3B_030705 transcript:Et_3B_030705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVQSSASSRRREQAVAIGKERREALMRAKRVCRVPLSGSDEAAVEEGDMVIDEEKSDLEARTTQAVEDLKSALSNQGKGAQKKKTEVLRALRCLLSQSEVPPVEAAIKAGAVPLLVQYLSFGSSDEQLLEAAWCLTNIAAGEPEDTKSLLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGGELRNKLLAQGALLPLARLMLLNKGSTARTAAWALSNLIKGPDPKAANELINVDGVLNSIVRNLEKADEELATEVAWVVVYLSALSEKAISLITRSSVPQLLIGRLLASENLQLLIPVLRGLGNLVAGDGYMVDSVLVVGNSITDQALSSLIKCLKSENRVLKKEASWAMSNIAAGSFEHKKLIFASEAPPLLIHLLTTAQFDIRREAAYTLGNLCVVPAGNAVPPNIIVEHLVAIVNGGALPGFIHLVRSADIESARIGLQFLELVMRGYPNGQGPKLVEREDGIEAMERFQFHENEVMRNMANGLVDKYFGEDYGLE >Et_3A_026330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:707851:709741:1 gene:Et_3A_026330 transcript:Et_3A_026330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWPEFLASSGGREFLAGGVGGMAGVLAGHPLDTLRIRLQQPPPHVSPGITAARRAPAPAAAVLRGILRAEGPAALYRGMSAPLASVAFQNAMVFQVYAILSRSLDSERSGASEPPSYTSVALAGVGTGALQTLILSPVELVKIRLQLEAAGHKKFRRRGGDHHHGPMDTARDILRKEGLRGLYRGLTVTALRDAPAHGVYFWTYEYARERLHPGCRRRGAEDESLATMLVAGGLAGVASWVCCYPLDVVKSRLQAQTASTAASRYRGVADCFRKSVREEGLPVLWRGLGTAVARAFVVNGAIFSAYELALRFLASGNDQRLVMEEN >Et_8A_058224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2877038:2880338:-1 gene:Et_8A_058224 transcript:Et_8A_058224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMLQRGHLPMSGTAAWSRQSQAINSWDDILTIKIKYRSIQKFCNVEDNRWVMVLLPSVPERLQAMSTGLWYDQDEADKMAFNMVQLVCRDDGLFEAAAGTGNLEPEETRDWRRCRGTSCATSSTGSGTPTSSAARGSRADEPTLWRCIDLSFEEDELKYVNERVYLERLAMGRTAVDRSAGRCESFRGFADRHLLAYLAARAPSLRSLHVTSLWCVPKAFEDRVIGKLLMLEELVIFGGRLLQSNLRALLKHCPRVHRLETGQLCSSDTQLGYKLLRMCRSKIKVYNLPWTISAHCYSWSCRIEKRLHQERGGGVDKSHTLCSGIN >Et_3A_026305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6584922:6648736:-1 gene:Et_3A_026305 transcript:Et_3A_026305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGGDDGTDGRGDPRERRGVGQGVDGGGAGAGQNLSVEAGRRKRKSSELSRDSNNLVGNGGLEVECVESLRISMEIETLRSQLDGDVKELAYCEENEKLRIEIDLKDKEMQGLRKQIEELQTKYIKQNQKLEAKYEKKNEELESLYKKKIEELQAKHERHQKNVSFMRLEREVARLDTEIQIKMVKLGDTNAELHEIQGKLTAGMEDELNGRTALGFKRIVELDEKPFLNVCKRRYGNDDYLTKAAELIKNWQEEIKNPTWHPFKMVTQADGANKEVINDDDTKLQCLWNDFGNDVCNAVKTALMEVNKHNPKGRYRGAEVLEMAKIQGLKQEVKRGKHQYCREILTTWLGMEVECVDFLRMSMEVETLRSELDEDVKELGYCEENEKLRIEMDLKDKEMQCLRNQIEGLQPKYSKLDEELHAKYEKQHEELEGKYEKRNEELQGKYEKRNQKLEAKYEKKNEELHAKYERQHEELQGKYEKRNKELQGKYEKKNKELHAKYEKQHEELQGKHQKQNEELQVKYEKQNQKLEAEYEKTNEELESMHKERNNELQAKYEKQTEELHAKNVGLHRNVLNEVPTRRRGIGIKRMGELDLKPFQAACQRKYGANDRVCELACVWQEQVTNPSWHPFKITSFYTQLPEHVLESLLHRRLPPFPEVPELKHRRASTGVVLIPLNQHEDLNMPSDDSVRISKEIENLTSQLNKKKKELRYSQEVKKLKVELSLKAKDVECLMKQNEELKSKNESFRNNKRMGRVLANSLLVTSWVFVSLPGEEGWSCQSFISSGEAF >Et_7B_054921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5530298:5541248:-1 gene:Et_7B_054921 transcript:Et_7B_054921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLACAFFFDAEPVGESGAPALDSCALCAKQLRRDSDIFMYRGDTPFCSEDCRYEQMQLDAIHARKASRSAGRRQQYSAHQESTKSFGESGVPALDACALCAKRLGRDSDIFMYRGDTPFCSEECRYEQMQLDAIRARQAARSGRGRQQQYSSGTESRRAHQESRKSANSPRKQSQEMAHYHAISMDAYDDLEAGFSGHSASPLKPAASPRRPGRMFCDPCDDADELHGHHHYLDICFRCRKLLGGNRDIFMYRGDMPFCSEECRQEQIEIDEAREQQSKQTGRAEQQRQRQQKQSPHRIPVWAWVLAAAVEPKRARRGGELDHPCLQKHARITSSTGEPKTGCVFVRDEIRQALPFDLRKVGDGELLQP >Et_1A_008841.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:15608182:15609558:1 gene:Et_1A_008841 transcript:Et_1A_008841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEVNFLCEYYHSVVPVALVSPFFLVVNYFLVLLVVALLCLMAVILCGNGDTGYAFRSIGDDNYVLQFGFGKLAVCIFSKAIRSPSAFFSIVDLAITALLFVIFLYEEIWEFFVFLLSNWFMVSLLANYTSKPRWRQSSSFRFAFHCLVRMRSTLSHPSLSFRQFSALNLRWPLRLPFVPALSLGLQKELVPKDVKKSIMDYLVEHAHATDTANYTPLTNGRNALRRNGFDNLSWACDSSSVAEVILTWHIATSILEAEHEPQSKTEADLSRVASSLSKYCAYLVAFHPELLPDNPEKAEALVDSMKAELRGMLGRQDYYLSPLSKRVQKIMAMEVKTETTEATSGDQTGVVRNGAKLKRMLMAEHSTEAVWAWKVLADVWTELVVFVAPSSDEERVKAHGDVLVQGGEFITVLWALTTHTGVSRLANKATAEWGGHLKTLEDLFGGSMRAPCPAAS >Et_4B_036123.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26000005:26001650:1 gene:Et_4B_036123 transcript:Et_4B_036123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVAFSRRRRRWLLLAAAGAVTAVGAYKIYHHPAVAARRRRLVRLAAAVAAFADAAASSADAAALVASELADFVRSDSDEVPRSVAQLAKLAAAPEVSASVSALSEAVTAGVLRGAGASDSSTGSGGGVALSERLVDKLFSESGERLASAVAGSFARHLVLAYYSVPSPPGEASSPMMWVNVVTSGKCRKAISNWVEVFVGAAVREFIDKTIHINTYEQLFEGLTNPKHDAKVKELLVCVCNGAVETLVKTAHHVMYNTNNNLDSSVSGSASGNSNGGAGEGWVETVSTTLAVPSNRKFVLDVTGRVTFETVRSFLEFVLWKLQDGARKGGDSVVDSGLRVVRYMSDKSMVIATICITLCLHVLNGTRLLITA >Et_3B_031319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25847704:25850629:1 gene:Et_3B_031319 transcript:Et_3B_031319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPQANFPSTEVESTQQREIQDKNVFKHYLPYRKFCSESLTQTNQSAHNVKTAGLSKVKRQTFVRVRGIVIHRLILWHRVQLICCPCSIPANTCVIGEANNHNRANAVVHTIITNTPKPPFTALPRGPEPAAPHDHSGQAEALGLEAEALLHVVILDDVDLEGDLRGDERLRQVLRLGGGECVVVLLPLLLQLLLRGALCGGGVRAVGLVCDGDRDGAPVCAVEHRSGAHVEQHDGVPGAEVVLHGPPDRVGRLVGEVDGDGDAAVRGGRVGPRERGEEEARRGRLDRSRLRRRREPYRRGRGGGRVGAGGGVGRHEDGFGWPAGDGRDLR >Et_8B_059547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19007908:19032101:-1 gene:Et_8B_059547 transcript:Et_8B_059547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRALILVAMAAMVLESATATTYTVGAPDGLWDLQTNYAEWVAARTFHPGDKIMFKYTRAAHDVLEVSKADYDSCSGSSPIASFQTGDDTVPLTAGGVTRYFICGLPGHCAAGMKLAVKVEAGTSTGPNPAAPSPMPVAMAPRAAGPSAAVPPSSTSGASAGGVGSLAGLGLAAAVAAMASTSKALLFVTVAVAAMLGTAHGASYTVGAPAGSWDLRTNYANWASSINFRAGDQLVFKYASGAHDVLEVSKADYDSCSGSSPLATFQTGDDTIPLPAGGVTRYFICGVPGHCAAGMKLAVKVEAAASTSPNTGAPSPMPVARAPRAAGPSVAMPPSTSGASVGGVGAVLILAVAMTAVLGTAHGGSYTVGAPAGSWDLRTNYANWASSITFRAGDQLVFKYTSGAHDVLEVSKADYDSCSGSSPIDSFQTGDDTVPLPTGGVTRYFICGVPGHCAAGMKLAVKVEASTSTSPNPAAPSPMPVAMPPRAAGPSAAMPPSSTSGASAGSVGWLAGLGLAAFVAGLRRCSDQLTAPATPSVPRPGLGISGPTTPIGLQASSSAPATSSVCNSFHPKNHFEIDINYTVGAPAGSWDLRTNYTTWAPSINFRARDQLGASVCNSFRPKITLRSISTLTTVTRENFCYLVDTCSTYASFKYSRAIHNVLEVSKASVPDRDDTITLSAAGVTRCFICCMLRHCDARQSRSRRRRWPQRRCSVANGICDGSSCCRSAHADAHCLRRARLPRLVVFGTMARIQASLLAIATVVAAVAFTTASGATHTVGEPGGSWDLQTNLTAWASSIDFQSGDELVFKYSAATHDVVEVDKSGYRSCSAASPVSKFQTSDDTVQLGDVGARYFICSVPGHCAAGMKLEVRTTPRKPFCNSPPPPAAATNGQPGLDAGGICIGDGDSPTTIITPSYTSVSVAAGSSGSISYVLVIMLLLLGLAVLASLLAVAIVTAAMAFTTASSASYTVGEPGGSWDLQTNLTAWASSVELHSGDELVFKYSAAAHDVVEVTRSSYNSCSAASPISKFQTGNDTVQLGGVGIRYFICSVPGHCAAGMKLEVRTSPRKSSCNSPRRRRQVRRTGTARRGGACLGDDNSPTSKSAAPGSSGSVSSGLAIMLLLLGLTF >Et_1A_004976.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:20973294:20973413:1 gene:Et_1A_004976 transcript:Et_1A_004976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALATIQVWVAQLLHKFDFSPTVAGTEKVYRACLSRSS >Et_2B_021671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4196856:4203577:-1 gene:Et_2B_021671 transcript:Et_2B_021671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIGAHGVETLKRYKYSGEDRSVVAKYVLQPFWSRCITLTGFMFLVISALLGYVYSPHLDTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTSSSSPLGELFDHALALGSTLMCGRLTIFFWMVAAVPFYLATWEHFFTNTLILPEINGPTEGLMLIYVSHFFTFFTGAEWWAQDFRKSLPFVSWIPLPFPETPLYVIVLILMILFAVVPTVRSNVRNVGAVVETRKGSMELALAMILPFIALLFGVSIWCLLSPSDIMRNQPHLLVIGTGFNFGYLVGRMILAHLCDEPKGLKTAMSMSLVFLPLALANALIAKINDGNPLVDEVVFLILYCAYTVGLYMYLAVSVVHEIKDALGIYCFRITRKEA >Et_3A_027369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9159753:9161767:1 gene:Et_3A_027369 transcript:Et_3A_027369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEAVVYDGALDMAAPHPVVVDGLLDHPHHGRSFPTSSSSSSISLRSASLSCSPTSSAHVLGGGAPAMAAGAGGQYPEVSSHVPLPPPAAAAPYDHHHHQYANNAQLPAAKRGAFKSYARHLGPRRQQQKPGACGQKMFKTALSVLSKMDMAARYSQQQQEQQYYYQQQQASAEAAAPTVNQLQHMISERKRREKLNDSFHALKAVLPPGSKKDKTSILIRAREYIRSLESKASELEEKNNSLETRLAQRGSGGGDDDEKVQIEITRAAKEEEPPVDPRDICTLKIVVRSRCNMTDVVLRTLQCLKEQVGEDVNLVSMNTSSGAGAGPSRSCSSPRAVLTMQIKELFVKQRRTFVLFSDIFTLKLMSQSPGANWDEQPVKDAVAKVVADALTLPKSPPSARLLQQEADAN >Et_8B_060611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3286857:3288002:1 gene:Et_8B_060611 transcript:Et_8B_060611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKANILTVAMLAILLCSPAFAQKPKSPPAPAAPILPPSPAPAPGPHHVDLADLLSVAGPFHTFLDYLQKTNVLETFQNQANNTKEGITLFVPKDSAFAALKETTFANLTKDQLKSLILYHALPKFYSLAEFNKLSSQNPVPTFAGSQYTLNLTDNMGSIHVKSMWSNPKISSSVLSTYPVAVYEVDKVLLPMQIFKSEPPLAPAPAPAPADAKASDVAPSPTSAKSMSAKAKGEAKNSSHQVDVSIVNYLVLAVSSGLMMLLWSKIEVVNIMP >Et_3B_028127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10642146:10645156:1 gene:Et_3B_028127 transcript:Et_3B_028127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSAGEGAASETKSRVEDIWKKMNSGLPNKMPKPAMIKLSTAAKEKKNKPNNNWMTVLGLSPSKASTVDQGPQNGQQQRQREMSEDAKKLAANALAAVKDASAAALGRGKVEITEVRDFAGKDIEIKKLVDADSKEAIEKAKAAGASQSALDNILEQIRKKQKLSVLDKTKKDWGEYKEENKGVEDELDAYKKSSNQYLEKVSFLQRTDYREFERERDARLSMMAKRKASDMREGDM >Et_8B_059534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18959107:18959841:-1 gene:Et_8B_059534 transcript:Et_8B_059534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRNSRLVADAPRGRRWIRDVRGSRTVQVALSTTPDQFRLRGERTKRVHEFQALMLVALAQKIIDDAHLWASARFSRLWKLLVPRL >Et_8B_058669.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:15318503:15318685:-1 gene:Et_8B_058669 transcript:Et_8B_058669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVILATWSIWTHKNNTIFNGSAFSFPRPKQAFRDIFKLSMYRVKPSVVQDMESWLQSL >Et_7B_053287.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:21851519:21851974:1 gene:Et_7B_053287 transcript:Et_7B_053287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SHKYYPSVQSENTSIAYKGPLTRARARELHNKVNLFFSTLNYEINENNLLPNGCTLLVPNYEGLTSLEEEERDDNVAGFNLCLTAHIHDDGVMREEREAGSSCSRTLHGRGIHYINVQGNHTSIQT >Et_4B_036079.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21841267:21841593:1 gene:Et_4B_036079 transcript:Et_4B_036079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLPQDVLADVLGRLAPRSLAVSRSVCREWRAIADTGGKLLPTLLGGIFINLHEPEPPEFFARPSMAHKIAGELDEYVKSDDILWINIITCCNGLLLLDGFVVNPATR >Et_5B_043505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11243634:11246069:-1 gene:Et_5B_043505 transcript:Et_5B_043505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KNVFKSDENVIIGHIHTWQATEKQGLRGTNAIHLAPAGLCSPHTPNPPRAATRAISSFFIKSYYPASQPAIHNSHQPNLPRTMAPPFCAAACRISVAASPAAPSLRPRRGTVAVRAEAGGGINPAIRKEEDKVVDTVVTGELAKPLTAYCRCWRSATFPLCDGGHVKHNKATGDNVGPLLVKKLELLA >Et_8A_056733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1675447:1678390:-1 gene:Et_8A_056733 transcript:Et_8A_056733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLQYGQTRERLWTETETELLPLERILQQSHNGQVRFSGGEDKPSKQPHKPGFPLDHTNKDSRLQRSASAKQNSSLFFTLMPATPSLRRVMLERHASGLPPPAAAG >Et_1B_011771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25794118:25796116:-1 gene:Et_1B_011771 transcript:Et_1B_011771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAVRETPQLLAAVDDGCWHGPAVTELKRLLRLAGPTVASCFLQNAVNIMSLMFIGHLGKLPLAGASLAISITSATGLNIIVRTPFVLINLPAECINSPAWRPRWTLSAGKRSGTLVLTLAMTLLRHVWGHLYSNDKEVVSYYAKMLPVLGISFFVDGLHASLSGVLTGCGKQKIGAAINLGAFYLAGIPMALLLGFVFHMKGMVCICTPFAQHLPSNLEDAHLWASARFSKLWKLLGLWLGMMCGSIAKVLLFASVAWLMDWNKEAVKAKDRVFGSSLLVS >Et_6B_048632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11956808:11960652:-1 gene:Et_6B_048632 transcript:Et_6B_048632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGEDNGALFKVPEIRFTKLFINGCFVDAVSGRTFETTDPRTGDVIASIAEGDKEDVDLAVRAAREAFDHGEWPRMSGSDRGRIIARFADLVEQHADELAALESLDAGKVPAITKLAEVASAAGSLRYFAGAADKIHGDTLKMQPRFQGHTLREPVGVAGIIIPWNVPCVMFCLKVAPALAAGCAMVVKPAEQTPLSALYLANLAKQAGIPDGVVNVVPGFGATAGAALASHMHVDMVTFTGSTQVGRRIMEASARSNLKPVYLELGGKSPLIIFDDADLDMAVELAVVGILYNKGEICIAASRVYVQEGTYDQFEEELVARMKSWVVGDPFSGPHVNQGPQVNKTQYERVLGYIERAREKEHLFSQEESPVAIRQEDMIIAKEEIFGPVMCLMKFKTMEEAIERANNTAYGLGAGVVTKNIDVANRMVRSVRSGVVWVNCYMVMDRDCPFGGRKMSGFGKDEGMQALDKYLAVKSVITPLHGSPWL >Et_4A_032893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15136589:15137166:1 gene:Et_4A_032893 transcript:Et_4A_032893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LGRIQIPTQRRAVELDLLLEVRHPRPAPNLITEEESMVFLKLYNPEETQLRSALFFDYSFYVCFCNFH >Et_7B_053839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12625641:12630743:-1 gene:Et_7B_053839 transcript:Et_7B_053839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRQFSQTTRVVNLAIIEAANQAEAQEEAEAAAPPPTRTAEMDGHHSPPSNYHNEHSPPARPERGVSFNYDIESTDGAWRGAGADALLRYDDDGPREPLLRKRTTNTTSQIAIVGANVCPIESLDYEYLTAFLAYGGCNLVLAAAAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTWNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRGLIEFCRSGKCGLFGQGGLIMFDLSSTIPSYSTQDVVAIVILGVIGGVFGGLFNFLLDRILRAYSFINERGAPYKILLTITISIITSACSYGLPWLAPCTPCPVGAVEQCPTIGRSGNYKNFQCPPGHYNGLASLFFNTNDDAIRNLFSYGTENEFRMSTLFIFFIAIYCLGLVTYGIAVPSGLFIPVILAGASYGRIVGTLLGPLSDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLPMLPLVMLVLLISKTIADSFNKGVYDQIVVMKGLPFLEAHAEPYMRNLVASDVVSGPLISFSGVERVGNIVQALRITGHNGFPVVDEPPLSEAPELVGLVLRSHLLVLLSGKTFMKEKVKTSGSFVLRRFGAFDFAKAGSGKGPKIEDLDFTDDELDMYVDLHPITNTSPYTVVETMSLAKAAVLFRALGLRHMLVVPKTPGRPPIVGILTRHDFMPEHILGLFPNLRKSH >Et_9A_061796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16554021:16556308:-1 gene:Et_9A_061796 transcript:Et_9A_061796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGGKRAYSIIVPTYNERLNVALIVYLIFKHLPDMDFEIIIVDDGSPDGTQDIVRQLQKIYGEDRVLLRARPSKLGLGTAYLHGLKHASGEFVVIMDADLSHHPKYLPSFIRKQNETGADIVTGTRYVKNGGVHGWNLMRKLTSRGANVLAQTLLQPGASDLTGSFRLYKRDILEDLISSCVSKGYVFQMEMIVRATRKSYHIEEVPITFVDRVFGTSKLGGSEIVGYLKGLVYLLLTT >Et_2B_021775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5380691:5382576:1 gene:Et_2B_021775 transcript:Et_2B_021775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLSWRACCSTSSAALASIPYGLCLRVYSVNIIVKDALCLKVALNNLQELEFYLEYVVYYFRQVPTVLVPASAFRFSATLRIVTISECHISDDAVKGLSFPQLWHLGLTSSLAGGQNLWRRKHSHLVRSLDIRLKTDVFKNYRGIKSQVNFAKLFVLNAKMLELMRFEGRQCNDSQFIAKQHSLLELAKRASTGAQFRFTRSCQCIPHIKCATDLSKTDPFECGCFA >Et_8B_060751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6084559:6087175:-1 gene:Et_8B_060751 transcript:Et_8B_060751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGYIVGSLVGSFAIAYLCDTFVSDKKVFGGSTPHTVSEKEWWQATDTKFQAWPRTAGPPVVMNPISRQNFIVKDTHQSCNELLPVIPAGARSLTKMMKSPHIPEHNLPSSHLGDELGGLMAVVAHPEVLGHPDGGARRRSQQPKGDGQLLAMVTEDRRLLVVVNVMEHARRVRLVPLALHPLPERVHVRVHQRALRVRGLAGHEPVNHAVELDPAAPRLLADAPLLHPTPHLGRLLPQAGGGVDVAQEHVVARLVGLQLEQPLAGNLTELDVPVQAARSEQAAPSGHLLLSWLH >Et_4B_038332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28154953:28162110:-1 gene:Et_4B_038332 transcript:Et_4B_038332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRETNAPTKSYAFDPCSVPIFDPYISRKAIAHRNRRPSWKPTRRTWAARRGKRSQGRRRLHDRHGADLISGLCDDVLLRILGSVADARGVVRTGALSRRWRGLWTRVPVIYFNSLPGSTELAHDSEPFVTFVNDVLALRAQSESEAGLDHLEISFWMRYTHGEQKLAPRCIQVAEGWVRYAVQHAVRSFHFQLCPVLTLTKPQVDYQQQQQQEGRDEADNDDDDEEMEMEEEEQNSGDEEESDDDQEDETPVMALDNLTSSRKLETMILALEGARVRLPSTEVFASLANLTLESIELAADSGHLLGRLLSSACCPRLQKLRMWKIQLPAAQEELVLEAGALSELSLDKLDGMKSLELRTPNLLDLDIYWCNELEKLNVAAPRLKKLDCAFNPLEIVVHGDLRSVRNLMIDLCVYRDLDYGNNETGICLLQRCGLAGQLVVYLIENVSRAEDQEVDVIKDKIPQLPHITSLKVRPICERNSFGTGVANLLSSFNNLRYLILNFCEVVYLDMERREHRSISRWRWWWGMPNSKRTRLAPSADHTGGVDLISSIGNDVLLRILELVSNARAVVRTGALSWRWRGLWTRVPVLRFHATPRRHFPPTALLLIWILDASVRVSLQLQVCIELLSQK >Et_4B_038922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:679596:682922:-1 gene:Et_4B_038922 transcript:Et_4B_038922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRFLSEREDEVEEQDEFFDSREVMSPASVSSPASSGRHDDGWLFGETLLEVWVKDPCSVHERRQRFVKSLGLLDPSPYDARPDEEPCSRPDATEEILPASPSAQLLSAVPSFACRGGEPTASGNGDGGAGTEEELECVFKNLDDGTVFVVDEMGKDGSFRSLRERRSNRTVSAAEFEQTFGSSPFIRELMRRVEDSDEPSTPEKAATRRRRRRRLGWLRRLGIGACVVDAEEDDEMKSTSSSSCRSYSGKVDRVKVRPYKKRSKELSAVYKGQVIKAHEGAIVAMQFSSDGQYLATGGEDGVVRVWRVMEGERPDEHDFAEDDPSCVFFTVNENSELAPVNSCDGGKGKHKKSSKGAADPACVVIPHRTFALSEVPVHEFHGHDDVILDLSWSKNRELLSASMDKTARLWKVGCNSCLKVFTHNNYVTCVQFNPTNDNYFISGCIDGMVRIWDISRCQVVDWADSKEIVTAVCYQPDGKGAVVGTITGNCRYYDASENHLELESQVPLYGKKKSPLKRIIGFQYCPSDPKKLMVTSADSQIRILDGIHVVSNFKGLRSSSQVAAAFTPDGDHIVSASDDSSIYMWDYANQIAPVTSRVKTVWSYERFFCNDVSVAIPWNASPAKSSISLACNIPSSRQEVTDDLCNLQDPASYCQAEDSLDGDNLYQLPSGNFTLSKAFFAESAPRGSATWPEEQLPSNSVTASSTLRKSQYKFLKASCQSAATHAWGQVIVAAGWDGHIRSFQNYGLPVQV >Et_4A_034171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30430538:30433491:-1 gene:Et_4A_034171 transcript:Et_4A_034171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRGTNREASSSRGAPSPFPAAGNFPSRAAVSFPLQHHFPANSYGDDEVEEDAGSMDDESDDEEEAEPEDGTAGSSQQRGTSSSPGMGRAEMNGDNVARHIQEEQQWQQHSHVYSRGTERYGCTSSGGDEPGTVPRELMVENGYGTRGGPASSYWDLLRAHLSDPLTGVLMDDATILSCGHSYVNSGMEHIYKMPITEDSIRPNLALRLAVQALKREEESAKALKRRRECLEQDKCGREDPNPSDLSRGKGVQFPFAVFDWVIIKGNKRTPERFVGRLAVVTAICLNGWYVVKTLDNAESVKLQYRSLAKVADGDGGSTVVSNNAQSASLL >Et_4B_039567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22247129:22252525:-1 gene:Et_4B_039567 transcript:Et_4B_039567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRLLLLLAAAAVAATAAAGAASPNSAANDILPKYGLPKGLIPDCVASYTFDETTGRFEIHLARTCYVHFGSHLVYYEKTITGMISYGAISSLSGVQAKKLFLWVYVTGMVAHPDQGTIEFQAGFVSESLSASMFDEVPACGSGVGAQLRGAAGVIGELGLLPVEESWLIQHVPSSHFYAVSAPPLFFFLSPADIAPWAPTRPRRRRLRVHTSTLKGLGIDVGAVALFAFLYARESKAKDAHVARLAREERLSRLKLCVGEGRPFPLSELRGTARLVVVAGPTEFVAESFRCSWCGRAHRSRRVATPSSLEDIASLLVRTHGRARRRTSGPIRCWSGGSG >Et_9B_064525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16166855:16168724:-1 gene:Et_9B_064525 transcript:Et_9B_064525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRPARSDPHLSPEEAARVEAEVRGYFDSVAPKRPAKPPRSDPSEAAAAAEAGTEGGDLPELRKLQDLAAKPQKLVLDGAGDVDGVEEYVETRYYHGLNCIDKQHHTTGTGFIKVERPNGNSLNVMTTGYSSASFVRCTSNPATNDWIPSSETVIPVSNKPSRSDS >Et_3A_027363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:984710:987906:1 gene:Et_3A_027363 transcript:Et_3A_027363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGAAVVLAFAVCCLLVTPGPARRPLDLPAQLPDAVDREAAAEPLLPKLLEVADAEEANQATAIAVPEQEEEPHGQRSSSLLCLVFRCGAEPADAGGVAVARGSSDSWENTAAEEQGPYDSDSDCDSDSDDEDEGESQNTVGHYIKQTSANANNDVET >Et_2B_020489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20749365:20751284:1 gene:Et_2B_020489 transcript:Et_2B_020489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGLKMFNIVSAWNKRRRSRSLDQLNPWVYKPAELWQVKEQSPPPKKRNCTMVFTLKEMEEATNMFSDRNLVGKGGFGRVYRGVLKDGQIVAIKKMDLPTSKHADGEREFRVEIDILSRLDHPNLVRLIGYCADGKHRFVVYEFMPKGNLQDILNGIGEVKMDWPLRLRIALGAARGLAYLHSSTAVGVPVVHRDFKSSNILLTEHFEAKISDFGLAKLMPQDLDLNTTTRVLGTFGYFDPEYALTGKLTLQSDVYAFGVVLLELLTGRRAIDLSQGPQEQNLLVRIHQVVGDRKKLRKVVDRDMAKGSYTVESVSLFARLAARCVCFESAGRPSMQDCVKELQFIMYANMKI >Et_2A_018299.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:2195232:2195864:-1 gene:Et_2A_018299 transcript:Et_2A_018299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALPPPDGSTSTFGHDAIALSFFVACVAATILLVSSMCSACGRKPKTAAASDSGGAGSVSNGGHGDSHKAGAASEEEEEVVTLSPELGTHGPIAPVALPSSTSKRRLSMSMSKNFSMNIPDKLRLSRRERKGDHKVESEDTLWKKGIILGEKCKIPRERDGETSDTVDPADEVVVESFRRSSYSRPISRSSSFAMYQQQDTPGRASHS >Et_9A_062812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5271365:5275138:1 gene:Et_9A_062812 transcript:Et_9A_062812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPKPRAAGVETIGGKMPPEHCAKGPPLRGAWSSGGEMPPKRRGKGTGMREGEKAAAAVAGSSGDTALANLSNLMMGSSRPRRDAPSITGPLRRAGEKHTRVPRVSSLSGSRSSSPRVPSLPRARSNTPVSALAGARSITSSPRNSPLAGVKNNASSPRGSVLPGTRSNTPSPRGSSLRTVQLTSYCRSFASLSINEDEPPTSPSPDAHLGDTAACGSRDSTTHQTMEKSGGCLGAGEVRQRPRLTAKTGAGLSIETARKKQAVVGTIGEVPQKNPAVTGSNGETRQKNHAMRCSKGEMEKKHRVEKSGRDLKGETQQKNRAMRSSKQRGHIIKKSGEGFSGQMLQKNHAVTSLKGETQKEHGVKKSGGGSGGVMSQKNHTKKEAMQRKDLDGNVQRRLPKELMKIVQEADRVIQELSELGMGEDISSEDLQHYSEQLPCEPPRVDTSLKLDNEQLHKLYVRHILYRIKYYKVPSKFLSAITYDALRQEWHKNEPHNAELEEDEDDCSWRHVEKLNLLNFDEGELDENHVLDYLEKEGLLRHITSDSTFAWVFQYCTVAGLDDYQRIVLHNYGGCEYVNWDVYRKYFNKYETDLEYIDFWDELTKKLKWERILDRGASQAIKVAAGFSKITEDLAHAAYLVGSLTFRCGFDECLDSMAMDHYLCKELDGVYFEIWKRVTKLKKSFRAALGEVYNLDKFPLRQHRMKHALESDGSEMELEFNICTAGVTDEVSEDKARELIADAITKLEGRPKFYVHYIRRKLDIARDIGVISVASP >Et_3A_027103.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3360794:3361105:1 gene:Et_3A_027103 transcript:Et_3A_027103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALSGSSARAALQAALLAPRATRGYAASAATGAMRRAAAAVEGGAAAGEARREVGRGAGAAGEISWVPDPVTGHYRPSNFAAAVDPADLRAAHLARSYARA >Et_9B_064773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18488195:18489384:-1 gene:Et_9B_064773 transcript:Et_9B_064773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGTKQSAAAANQEFDPKYEWQENAADYVLRVHLSGFRKEDFRVQVDGAGRLTVRGQRADGAKQIRFHRVFQLPPTANLDGISGRFDAGVLALTVPKRPAPPPATIDESVKPAKEAAEAKPKEETKPKEAAKPKETAEPKEEAKKDETKTPPQVQAEKLRKDEAKKEELQHKEEINKPPKEKKAEQHKEETKKPIEKKAADEQQTKEDMEAKRSKPEQQQKTAPPAVRKEEDKTTKAEAAAVAAPAAEMKPPQAKAVDRESLLERVRRRAEEERAKAAAAAEEAERQRKQSACGGWKERVTGELQGLANSEWAEGVVDTVKKNKEVIATAVAAFSLGLFASRLFSRN >Et_3B_027512.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:2464636:2466018:-1 gene:Et_3B_027512 transcript:Et_3B_027512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRDSVPMVSLSVSAAALYTRFASARLPPGLPRFAALLPVIIFFAAAPLAFSSSILRFVAGFFLSWLGTFKAALLAAGRGPLDPALPVLPFLFIAAFPVKLKGRDGRRPAAASEGPISRAVEVALLAAILRLYPFIHTLHRYVRYCAYGLHLYCLLNLLLPCTAAAGRALAGMELERQFDRPYLASSLREFWGRRWNLMVSAILRSAVYDPVRARAGGNAAAGAMATFLVSGVMHEAMACYLLLRPPTGEMVVFFLIHGACCLAEDWCARRWKAKGWPPLPRPVTFLLLLSFMAGTMFWLFFPPICRDGAEEMPLEE >Et_5A_042921.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6937274:6938665:-1 gene:Et_5A_042921 transcript:Et_5A_042921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGSVLSRAPAATDAAAAMKAKRPASPGRDEEDDEEARRARGKRLQGLVVASAAEREGEEGTETRGLRLLTLLLRCAEAVAADHLPEARDLLPEIAELASPFGSSPERVAAYFGDALCARVLSSYLGAYSPLLPSSSSPPLLAASQSRRVAGAFGAYNALSPLVKFAHFTANQAILQALDGGGGEDRIHVVDLDIMQGLQWAGLFHILASRPRKPRSLRVTGLGASLDVLEATGRRLAGFAASLGLPFEFRPIEGKVADAAALLGGDHHGHRQRDGEATVVHWMHHCLYDVTGSDAGTVRLLRSLRPKLVTIVEQDLGHSADFLGRFVEALHYYSALFDALGDGATETDQAAEAERHAVERGLLGAEIRNIVAVGGPKRTGEVRVERWSDELRRAGFRPVSLAGSPATQARMLLGMHPLKGYTLVEEDWCLKLGWKDLSLLTASAWAPADDVAAARHDRHES >Et_2A_015981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19932204:19934061:-1 gene:Et_2A_015981 transcript:Et_2A_015981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGATVASHGLTCAPPAAVPLNLRARCASVSGGGHRSRRTRLLRSNLLPPTFLCRARSQASSSSNVNFGRGDDADKLLEDLLKQHGEVVYSSGGSPAVEADDDAECLSFAVSLAKAASEVKAADIRVLCVKRLVYWTRFFIILTAFSNAQIDAISSKMRDIGEKQFSKVASGDVVVHIFLPQQRAFYNLEEFYGNATPIELPFDTQWQ >Et_9A_061472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12372181:12374296:-1 gene:Et_9A_061472 transcript:Et_9A_061472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQASTHLLPSAAAASLLPRRVSQRLCFAGAGAVAGGRRGSRLAVVRAASAAAPAYTSDSLILYFKAEGTMEERAIPKITESLQAMEGVKDLEVLIEEGIASVVLTKETTVQATGVASNLVEAIQGAGFKLQTLSLSFDDFDETSAAIAATGAGGDDQPSE >Et_1B_012604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33636922:33637338:1 gene:Et_1B_012604 transcript:Et_1B_012604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFPLQHITCCANQTQTAQRKSFSGPTSPPSGSVKEMVKKPRLDDGGVGFPPFRFGGGGGGGGGGGSSSSGGFILFVIVLLLDYLKEFERNLQNGPRRGSDYDNGLAPQ >Et_8B_059090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13830311:13831985:-1 gene:Et_8B_059090 transcript:Et_8B_059090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCYTKWRRTSITYLPYLGPLHGQECEKRQVILEREMGFSSVLLWWEEWQLRLLVLSSLFLQYFLCFAAPQRKRSIPRSLRFFIWLAYLGSDAVAIYALATLFNRYKKPGAEGVSPGLEVFWAPVLLLHLAGQDSITAYNIEDNELWSRHVLTVISQVTVSIYVFCKSWSGENRLLRAAILLFIAGTIKCIDKPMALRSASIYSLVTSAPFQDHKPISNDQDEESLETYIQKAKGYFATSVVGNYNRFLENGGLELRVTPFWLFVDLPSTLCHRLKVLHFFLILGNEKGYNFLQTVLCGTYFRLYTKNSMLLSYFWLKNTGKALKSTYAHLNRLLAVSLTISAVALFHQSHKDGYNNSDVKVTYTLLWCTATLEVIALFSYNFFSWCNRVAQYNLISSFARYKEPTILLKLARCVGCKNYVDQHWYELVIEHVKAGWKDSICGVSTYWTFNDRRGQLTLQQEGCYNELCWALEVPFDESVLVWNITTDICFFFSKREGFSDFWAAWKHFMNIVVLGVKGLLTIMLPLVAQKSPTTWSTCWLLAQTC >Et_2B_021253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27964868:27969932:-1 gene:Et_2B_021253 transcript:Et_2B_021253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGNKNLMIVIPVFVTISSFCLATFIWRKRILAKTRLQSLGEHKKNITYEDIMKATDMFSSANLLGSGSFGAVYKGNLKHQEHQVAIKIFNLDIYGAERSFLAECEALRNVRHRNLVKIITLCSSVDMTGAEFKALVFPYMMNGNLDVWLHPKAQEICEIKVLNLSQRISIALDVASALDYLHNQSASPLIHCDLKPTNILLDLSMTAYVADFGLARFLHTRSRAHQDRSTSLACLKGSIGYIPPEYGMSEDISTKGDVYSFGVLLLEMLTGYRPTDEIFSNGTSLHEFVNMAFPNSIEEVVDPIVLQDGVRGTKVLHDCIIPLVKLGLACSLTSPTERPGMGQVSIEIVTIKHMLSNIHASLAITISNETEKDRQALLCFKAHLSSPAQVFSSWSKASLDFCNWNGVTCSTQPPQRVMELNLASQGIAGSIPPCIARLTSLTKLQLSNNSFHGGIPSELALLSQLSNLNLSMNSLEGFFKILTLATTSSKEVFRLLLRILELQTLVLSENRLSGTIPPSLCSSLSLKYVDLGRNALTGGIPETLVNSSSLEVLRLMSNNLEGKLPNALFNSTSLITICLQENKFIGYIPPMTATSPPVKQSPSREQQSHRNNTFLDREPFLPSYPCSSRK >Et_5B_043958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18273792:18281183:-1 gene:Et_5B_043958 transcript:Et_5B_043958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGVLGAVVCMLLVFAIFPLLLWRRRSDAATGDNHRLPPQPLEADRVVHRGAAARRMRRRPAAASSSAASTSRDVAEDDGESDEEEVEENSRKSFKKEKKRQERERQRQAEEAARDSRNTKKDRYEEMRRKKDEEREAQERLMEEEARARKAKEEEAAALEFEKWKDAFSVDAEGTTESETQDDGQGLLHNFVEYIKKQKCVPLEDLAAEFRMRTQDCINRIVTLESMDRLSGVMDDRGKFIYISTEEMKAVAEYIRKEGRVSISHLANNSNQFIDLEPKAQYSEEGSQQADSAAAGTEP >Et_2B_020314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18928139:18930776:-1 gene:Et_2B_020314 transcript:Et_2B_020314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLAMLPVQQLPGLVLLLFVVSSPAFADGGMATYIVYLNPELKPSPYATHLHWHHAHLASLSLDPSRHLLYSYTTAAPSAFAARLLPSHAAALRGHPAVASVHEDALLPLHTTRSPSFLHLPPYDAADADQGGSSDVIVGVLDTGVWPESPSFGDAGLGPVPARWRGSCETNATDFPSSMCNRKLIGARAFFRGFSAGGGGGSRASSSDAMSPRDRDGHGTHTASTAAGAVVPDASLLGYAPGTARGMAPGARVAAYKVCWRQGCFSSDILAGMEQAIDDGVDVLSLSLGGGALPLSRDPIAVGALAATRRGIVVSCSAGNSGPSPSSLVNTAPWIITVGAGTIDRNFPAYAELGNGETHAGMSLYAGDGLGDDKYSLVYNKGLRAGSNASKLCMTGTLDPAAVKGKVVLCDRGGNSRVEKGQIVKTAGGVGMVLANTAESGEEVVADSHLLPAVAVGAKSGDAIRRYVESDANAEVALTFAGTALDVRPAPVVAAFSSRGPNRQVPQLLKPDVIGPGVNILAGWTGSLGPTGLIADERRSPFNILSGERTRCFLCTTALPARNSDTTKFGDAGTSMSCPHISGLAAFVKAAHPEWSPSAIKSALMTTAYTVDNTDSPLLDAATNATATPWAFGAGHVDPVRALSPGLVYDASVDDYVAFLCTVGVSPRQIQAISAAAAAAAAPNVTCTRKLSSPGDLNYPSFSVVFSRKSSRSTVKYRRELTNVAEAGGTYTVKVTGPSGISVSVKPARLVFKSAGDKLRYTVTFRSANARGPMDPPAFGWLTWSSDEHEVRSPISYTWAM >Et_3B_027692.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:12944001:12944210:1 gene:Et_3B_027692 transcript:Et_3B_027692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSIRLAGEWIRQPAIVETDCFLLIDALKSSTKQRSSWEGILQDIHASAGLLPECLFSRIKREANKIAHL >Et_4B_039529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20649280:20653703:-1 gene:Et_4B_039529 transcript:Et_4B_039529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYKAATATLGYNVMIVRRPDPLSVHKEDVFMKVAMAKVEDKTMAKMTAYGLKNVKFLSKDQIKKISESPMPPSMPRFTPQQLLDAIWKGEPELAEESSTPNPTHSIYVHVQRFKVDLKRMAAEAIRANWNFVQEKGFLDILNELKVEDWFTTQNGWTAEGWNSIHRKFNQMFPFARYTKAHLKEKNKDLKATYKAIRDARKDSGAGLDPASGMVTGGPNVWDKIKMYPKKVVKFRKKGFLHYNSCESLYEGSIATGDLSFTSTDPVHQSFENFKEGHLEAARVGQEASLGAVAARMSSDAARVGQEASVGVVPASSTSVGAVAANYASVGAVAASSVGVGALAASSTGVPSAQEAGESSSTGVGAQEVSKDSGKKRKPGRVAAVLDDYLEHKKAQSGKTVEALMEKKMREEEYSIEKCLDTTDGMEELTDEDKAIASKVFEDDKNREMRLAEEARVIGGRDKHQEYATVDNTFDVHDHRHSIIIILLIFWDSFPAQFPVHPKCSQGTTTRGGALTPGRRGALTRVLLPCLPKGSLRVACWLSGMAASEVVDDGSRGGILYAVSNISNLVSVVSVAYYASFDLPITVLPAQLTVAYNLLIIQFDCTIV >Et_10A_000631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14043432:14044051:1 gene:Et_10A_000631 transcript:Et_10A_000631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVMTSTSVAPFQGLKSTAGLPMSRRSRSAGFGKVSNGGRIRCMQVWPVDNNKKFETLSYLPPLTPEDFLKQVEYLLRNNWIPCLEFSDVGFVFRENSRSPNYYDGRYWTMWKLPMFGCTDATQVLKELEEAKAAYPGSYIRIIGFDNVRQVQCISFIAYKPPGKE >Et_1B_013497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:944350:947828:-1 gene:Et_1B_013497 transcript:Et_1B_013497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVSKYAHSPAHLAVARRDHAALRKLVASLPRLPRAGEVSTEEESIAGEAVADAVSAAIDRRDVPRRETPLHLAVRLRDPVAADILMSAGADWSLQNADGWSALQEAVCTREEAIATIIARHYQPLAWAKWCRRLPRILANINRIRDFYMEISFHFESSVIPFIGRIAPSDTYRIWKRGSALRADMTLAGFDGFRIQRSDQTFLFLGDGARPEDAGGKELHPGSLIVLAHKDKEITDALEGAGVQPTESEVAHEVALMSKTNMYRPGIDVTQAELVPHLNWRRQERTEAVGNWKAKVYDMLNVLVTVKSRRVPGAMTDEELFAMDAEEKNGRGAELDAELDEVLTAEERKQLDSALRMGNQDEESEDRGEEADSGADHMEANGTAKDKKGWFGWGGKKGAKSDEKPSKVGSKDESGDTGKHKEKGSGKKKKGGSSGDPNKLESEYKKGLRPVLWLTPDFPLKTDELIPLLDVLANKVKAVRRLRELLTTKLPTGTFPVKIAIPIVPTIRVIVTFTKFEELQPLDEFATPPSSPTQSQDAKSKESEGSGSWYSWVKGGRGGQSNDSSDGRNWKDEIDPFHIPSDYTWVDAAEKKRRMKAKKAKSRRGTTRKQSSKNTSEGGHHPMMDGFE >Et_2B_019399.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27329651:27329725:1 gene:Et_2B_019399 transcript:Et_2B_019399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQISELPLHQFHSHIAEIPHLCI >Et_4A_035311.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:19226371:19227531:1 gene:Et_4A_035311 transcript:Et_4A_035311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRKSSPGMAVDVDDVSFEFNDVQRSPLHMSAAAQRGRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPRPAAAAAVAQQHNPSPPSALPSKVTNLLMLRLKKACSDNYLNASATTQQSLLAQQHQLGQQFGRGGHEDCSFQVDDFLSYDEHGAVVKHEEASSNCFSQETDGGGEEEEAPLDFGFMDADPSPPTREIGDTGLYSPFEMVAAELAGGGVVDADPTVYGGDSSTGEPVTTIHEVMKRMKYERKISASLYALTGVSECLRMRLSDSDDRAGAGGGHELAFSGLREACRKKHEQEQQAGDAGQEESSTSSSSSEVATSSPEAASASLEQANDADSDVLLWSSLDLPPIC >Et_5B_045160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10377275:10378945:-1 gene:Et_5B_045160 transcript:Et_5B_045160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSIVTGDLSFTSTDPVHQSFENLKEGHLEAARVGKEASLGAVGTRVSGTVAARMSSDAARVGQEASVGIVPASSTSVGAVAASSVGVGAFGCKFYRCADTEAANLRLSIKKNTIQDPKQKFRKTIK >Et_9B_066171.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:5626103:5626684:-1 gene:Et_9B_066171 transcript:Et_9B_066171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKYGTIPTSSSSAPPPLPPPGSSPLDYISRAKARGASALATRRPWREFADPRAASVPRGCGAAYRRARANLAHFAANYALAVLVVVFLSLLWEPVSLLVFLACMLAWLALYFLRDEPLACCGRAVGEGVVLAFLSTLTLLLLLLTGATVNIVTSLLVGLAVVLLHAVVHGAADSIDEEAGRWYTPVTPSTAY >Et_4A_034182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30496755:30509154:-1 gene:Et_4A_034182 transcript:Et_4A_034182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGGDGFDDSWLLAEAAKRSHARAAVWGISVSLLLPSAPLSPVTTKKGKGAAARAATSVPPPSNDTGGRGDHQAECDMSSGRWVHDDKAYPLYKESACKFMSDQSACQKFGRTDLRYQHWRWQPHGCDLPRYHTNNSMMYWQLTHAYAATGRFDAVKLLRTLRNKRLAFVGDSLNRNQWVSMVCLIDTATPTLHKYMSGNGSLVAFRIQEYNASVEFYWSPLLVESNSDHPVHHRIADRVVRAGSIGKHARRWNDADVLVFNSYLWWRRPSMKILWGSSFEAAAHSRAVYEVTDGLRAFELAIRTWSEWLERHVDRARTRLFFTSMSPTHLHSDEWAGPASGANHRCLGETEPIPGDRGHQYRGRDTDPAFARAVEAQVRRLGARGVAVRVLNVTQLSEHRKDAHPSVHRRQWEPPTEEQKRARERDPSSDADCIHWCLPGVPDVWNQMLYAHLVSRMTGSTPPRKGLDDAWLVAVWGVRLRGHFNTFLLLMLVPLAFLAVSIGTSWNGKQAPATLSVTTNKVNNGAELQAPTTLPLLATTKKAGKGTELGAPTTTPSNDDGGRAECDMSSGRWVYDDKAYPLYKERACKFMSDHNACQKFGRTDLRYQHWRWQPHGCDLPRVVEAVCYSWKRTSTVLFHNNSLATGRFDAVKLLRTLRNKRLAFVGDSLNRNQWVSMVCLIDTATPTLHKYKSDNGSLLIFKIQVQKANDTHHACIDLFVQEYNASVDFYWSPLLVESNSDHPVHHRIADRIVRPGSIGKHARHWNDADVLVFNSYLWWCRPSMKILWGSFEEAAQGAHKPAYEVTDGLRAFELAIKTWSEWLESHVDHARTRLFFTSMSPTHLHSDEWSVAGGESGGANNHRCLGETEPIPADRHHQYRGRDTDPVFARAVEAQVRRLGARGVAVRVLNVTQLSEYRKDAHPSVHRKEWEPPTEEQKRERERDPSIDADCIHWCLPGVPDIWNQMLYAHLVPS >Et_3A_024909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25274828:25276240:1 gene:Et_3A_024909 transcript:Et_3A_024909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQGDGDEAHALTSFPYFAVPSPPLVGPPASLSPDDQIQHGAFAAELQQPAAACNNNHLPLGGADQLMATTAAPAPMVLPAMVDWSSLLQQASLMSPAAAVPGMQEAVRVDQSGENDGGEAGGSGKEKAGKGGGDGRSSSSGKKKVSRPRFAFQTRSVNDILDDGYRWRKYGQKAVKNSAHPRSYYRCTHHTCNVKKQVQRLAKDTSIVVTTYEGVHNHPCEKLMEALSPILKQLQFLSQF >Et_7A_052269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6312075:6313689:-1 gene:Et_7A_052269 transcript:Et_7A_052269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSTAASLPPPLRRFPRGATLRASHGGAGGPNPHRPRLGRRALVCRADLQQDAPFVAAIGACVLASLALPPPTPRGEEDEEDEEGGVFGATDTRMAVMGIISFLPYFNWLSWIFAWLDSGKRRYLVYAAVYLAPYLRTNLSLSPDESWLPIASIFICILHIQLEAAVRSGDSESLKFVERAWNLFFPNAAKEKDARHGNRRDSIGTGRRPNKRIPSAHESRERLRNSDIFKRRLDDPVDEKRKKSDWD >Et_4A_033944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28194308:28196910:-1 gene:Et_4A_033944 transcript:Et_4A_033944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLARQAAQALRARQTAQLGPAASAMQGHLRTYMNAGAPKRFKEDEEKEQLAKEIAKDWNAVFERSINTLFLTEMVRGLMLTLKYFFERKVTINYPFEKGPLSPRFRGEHALRRYESGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >Et_3A_024033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16386942:16390986:-1 gene:Et_3A_024033 transcript:Et_3A_024033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVLKDDAVEEKGERARMASFIGAMAIADLVKTTLGPKGMDKILQSTGRGRNVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIAGYRMAAECARNALLQKTMDNKENTDKFRSDLMNIAMTTLSSKILSQDKEYFAELAVDAVLRLKGSTNLEAIQILKKPGGSLKDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMSKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGDIASTFDNPESVKLGHCKVIEEIMIGEDRLIHFSGVELGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVVFGGGWPEMVMAKEVDELARKTPGKKSHAIDAFSRALQAIPTIIADNAGLDSAELISQLRAEHHRENSTAGIDVITGSVGDMQKRGISESFKVKQAILLSATEAAEMILRVDEIITCAPRRREDRM >Et_2A_015586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15476900:15477339:-1 gene:Et_2A_015586 transcript:Et_2A_015586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILGMYQRSNYEETTGTPSGHLGQGSSSRSDSCAKMKMRHYCLLDVVGRNSCRHGNAAWSPYAAAKFVTKMVEDVLQINQIEERKERRRERWNPPAEGVLKLNTDGAFDGTTFSEGTGAIIRDSSGTMIRARDGMIIWKP >Et_3B_030454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3926722:3928027:1 gene:Et_3B_030454 transcript:Et_3B_030454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FVGTLDHVRSLRRMEDQQQLQQGRSGGADGLVLPPGFRFHPSDEEIITCYLKPKVQDDNYTAIAIGETDINKSEPWELPYKAKMGEKEWYFYCLKDRKYPTGFRANRATEAGYWKATGKDREIYLGASSVPVLLGMKKTLVFYKGRAPKGVKTNWVMHEYRLDGQGRVPCPAASSKTKSKKPCSSSKEEWVVCRVFDKSLGVVRKEPESALVSAPVSPPPYRTNMSIGDTDLRSMNFPMPTQFPMGIHDFNMNSNGLHSIMGDSSTSYYSVDRMGTSVPSPMLPSLLPMVGMGSMGHQMNNGYFGNLTATTEPEPFYQQVGTEASECGFMAELDIRSTLLQDVGLFPGQTDDADISSVVNPRHVTSSTEDMAN >Et_4A_035174.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:10590132:10590803:1 gene:Et_4A_035174 transcript:Et_4A_035174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLAGGGPAVRLASRLQLATYFTSASPLPSSRRGGRHGCTGPPSALTVNVRVVIRRHFPVHGPGGARIVEKVVEDIALRRRHSRQLREPERVDQALAKDVMPLVRHPFDRGAVVAAGKEICAHVAAACADPRIAHGGAHVLVMVDTFACPVVFRRPPPGKPMQRVVCAPPKTLVVVKNADPFMDIEATVPAEKPKPKPVGVIGDKRPKPAAEEMIKGWVPW >Et_2B_020204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17959230:17960894:-1 gene:Et_2B_020204 transcript:Et_2B_020204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTLPPTAAPGGQVSQEGLPDATKNPAGDAADPSGLDSGWVVLGKSDIVPADLAAAAAAAGHQRLGFTPLPMLPIWAQMMLGGVVYAVVPFYKRARKVEGETLDNVETAVEVVERVAQVTEKLAANAAKSLPKDGSLQKVAVEIEYIAELVDKDAHKVEAVIEKIEELSDKIDAAVEPVIEELEKDFKPNPASSSGSGAQI >Et_10B_002359.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:12373938:12374336:1 gene:Et_10B_002359 transcript:Et_10B_002359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFSMLEIPDLLRAGSVCSSWCSAYTSLASLGQNNKHQTPCLLYTSESAGENVACLYSLAEKRVYKLTLPDPPIGSRFIIGSSNGFLVTVGVSCEMHLINPVTGQQINLPSVTTIEQIKPIYDDSGSTNMYQ >Et_1B_012117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29141402:29141889:-1 gene:Et_1B_012117 transcript:Et_1B_012117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRPLVPTHRADPLLHQPTRVGAPRHPLQILGGHGLRAACGPAAPVGDKDRRRRSPWVRRSRSVGRVNRQNTRPICCCPPEPGQSCGFVAFAAAACIVLVNPVSH >Et_5A_042040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5569872:5573894:-1 gene:Et_5A_042040 transcript:Et_5A_042040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKKSKARGGGDDLLDSSDADSVASSSTAISDLSISYATEHVNSQEFVLDKYIDALYEKRGSTREATLSKLVDAFESFMLHGLVENKYATLLSQFNNSVKKGSTKEVCLASRAIGLLAITLGAGSSSHEIMEESHPQLSRVLQSWSDAAKMISALDCLAVITFVGASDLAETELSLKAMWDVIHPKSGSNVGTVRKPKPPLLAAALSAWAFLLTTVGSWRINTDNWKEPIAFLSTLLEAEDRAVRMAAGEALAVCFELNLLDVSSFEDADVNTGGTSGPKNKLFLDMQALKAKIAGLASNLSAEAGGKGADKKNLTDQRDLFQRILDFVKYGECPEESVKIAGKRDVLRVSSWCELIQLNFLKRFLGRGFLKHVQENGLLQDIFDIKTDTTETLSSNDKNDSYMGVKNKPNSSVAKSKLNNISPFVWGHGPCQLVARKNAAMLIE >Et_1A_006575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24682554:24685126:-1 gene:Et_1A_006575 transcript:Et_1A_006575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ENDNVGELEFINPLSMQKLAESLANELRGQPPQEQQEQQDNQQEQYCAYPNQSLTASSFVPDTENSTNGTTFGAGLRSNMFSFTNGLSGPLNFTEKECRQQSMIGSTTKKCCSPSTAERGGGRRSTSSVQEHVIAERKRREKMHHQFATLSSIIPDITKTDKVSLLGSTIEYVHKLRDRLKDLQNELQITGSSTGESPAFDSRCCIGDSMSNNGGDEAVMRPKIEVDVQGTTVLLRVVCREKKGVLVMVLTELEKHGIYIVNTNVVPFAESSLNITITAQVLHFFILSNKPFQIRLIKINHCTKEEASHPEIESFGVPMLAHNHAIGASDSIMEWIQRAKSNGEERETMREMGAGELPSAGKERARTCLWGQASLLSHLPFARHGC >Et_10B_003950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8324456:8329987:1 gene:Et_10B_003950 transcript:Et_10B_003950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRIGLIRPLYASWPSIAQGLKHSTEVSLTPTAALSLSPPGCSAAASPPAPRPVGELRDSFPTLLLANISNIFFPIRRGSGVLELLLDSGPNLGSAAAGLVGRVGWSGGVVFMAAELVGPRVYSCCNCRNHVCLHDDIVSKAFQGRNGRAFLFSHAMNVVLGPKEDRHLMTGLHTVADIYCHDCREVLGWKYERAYEETQKYKEGKFIFEKSKIVKENCWSAVDVAPVKVPRPPHQHQRHQHAAERHSSDDEEGVFEAHDPFADLVPDNGDAAVLPGRLGVVHAAGDDDVEHVGAGGAGGGAEVEERGVVLEPKHLGDDGEEQRPLRAEAKADDDRGGVERLRHAEGNEEVADAGDEEHGGERQRPREAVPGEEVFGDVPGAGAAGVVPDADERDEGVDALGRVAQRLADLGHVVDGRERAADAEDGDDEEHDHLHADQRLHDGVVLPERRRLEHVGEPAAAAAFAATQRRRGLVVGGVLLSSSGRAGWLFDGDGRRLLQRLLELGDGLVLLPDDDADGAEEDDEQHGGGDDEGGVREVDLLLDHDHDEEVPGEGDGEVEEVVERLVVGRATAAAPGVGEHPDAVDGEDVEGGGEPGDEEDERRGVVAVDGLVELRVDGAAEADEGLEEADDDAAALRRVLHAGHQRAGVGEGERVGAEADVEGHEPHRRLRHAPRQREVDHQVARQVHAGADEEHGPRRRHLLDQPGDDADVGAQVLEEGQLVQRLLVVAQPRPELLGVEREDVDPDAAITTIDANTTNHRLWITRETNAGVHIAISPPPPTAMAGVSCLIR >Et_4B_039944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6473260:6476276:-1 gene:Et_4B_039944 transcript:Et_4B_039944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYPNAQQSLYPQVEQSNPELNTAFHAAPGTAASSSLYPTVNPDELAENLFPETKEEDDAAPPPPTTEETLVSVPGAQLHLVDPDRSLDLGAGTLSVVRLRQGDHSVAVLARLVPEKRHQRRGLFRLFSSGGGRSSGDGTEQQEPVQWPLTRDAAAVKLDTAHYFFSLRVPHSDHDEDKDDAEENEAEAALSYGLTVAGKGQDNVLAELDRVLEEYTTFSVKQVEAAAKEKSEVMDTRAVAEITPEEAVGDKKELVEEQSAAFWTTIAPNVDDYSSSVARLIAMGSGQLVRGIIWCGDITAEGMRRGEEVVKTRVGPSAKPTQVKPSTLRRMKRARRVTKMSNNVANSILSGVLKVTGFVTSTVINSKPAQKFFKLMPGEVILASLDGFGKIWDAVEVSGKNVMQTSSVVTTSVVTHRYGEQAGEATHNYLHATGNALGAAWAVFKIRKALDPKGNLKKSSVVSQAAHAVAKESISRQKKK >Et_2B_022503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2437265:2446177:-1 gene:Et_2B_022503 transcript:Et_2B_022503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAAPAPHPPPGPPPPLNDQQQKALIVNAFRLKAIGNRIEAHLLGLGILPSSEFAHLVYAYARGIDFALSAGDVPVMAKEIPGLLRKIYELRKDPFIQSSIMVLIISCKNACFKEWFQPTDSTDIHGMANELSGKFCTSLGQAANDCTVLETILKVMPRYYPQLKFERLITLMEAKVGYDILMTDFFIDRNIPRDEKIRLLVVQKVNLDASSCITNPLHVSFLVNGKGVDKRTNIAMETGPQFPTDITKMLKFGANIIQAVGYFNANYIIAIAFINDTTLVGAPTLDDYAQPVSVGPADSDVLEGPSRVSLNCPISFRRIKTPIKGRLCKHYQILQETGDDVIDVLMYVDGSWKVDMAQADKSDRHTGNAIQQTGGNVEMDSSSPQVIDLINGNDAADLPMDWTPASEDTKPLMDSQDLSMADYLPNLPTNAPAQAADLNLGNGTSGGSNIALSSRQNLLLPSTGGFNSSSFGTLESILPQNVLHPVITDAVSPSLETSTSTSGVQHASDIIRLQPQTGPLHVSEARRYPIPRNPRREPIGVQALPVPQQYPGSSRRFQPNTFNCPPPIPLSSPSSTHQAHHVTNPDRNNGVGSIARTPSAGPLLHRQSTTLDMRNTSSHLSSRVIGLAAPHYMGPRQSPAVAGQAGGANAYRSGPLADQFMLQNRMMNQSALSASGQNSAGAAAQVRPAHADIQSHLFPAQQSQTLRPQAVP >Et_4B_038209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27105581:27109292:-1 gene:Et_4B_038209 transcript:Et_4B_038209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKHCKVRALELKASRSLRNRLINPPLLQAFICYSWGPVVAFTSPVNPNWVGAQPNWGLIGINSLRLSVSCSWIGKANRLVSCRIMKLKHNAAEISRENDTIFTQEGNLGMKSVKPNQPAIVQARCKWIIGDVTEVFDRNTWKLGKILKMSQNNYFVIRLADCIQLKEFHISSLRIPHASGAPHSKQLPTSVKASRAAQQNNGKKRKAAAEASRHLTKRAHPRNVATALNQNGAMADSYLQSPSQVRDEAECSVASCSVNDPDHFFSSVRGKRHAAAAGVVAFPDDDAMSSCPCTSGRVEEDDRDEAAAGVDVHELELAAYRSTMRALYASGPLTWEQESLLTNLRMSLNISNEEHLRQLRRLLSSS >Et_10B_004039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9544012:9546388:-1 gene:Et_10B_004039 transcript:Et_10B_004039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHFPGDEDVAHEAVCGGDVDPRDVGKLEHGIGGKENFFHCVKCGSCYSVALRDNHQCVENSMRQNCPICYEYLFDSLQGTRVLNCGHTMHMDCFSDMVQHNKYTCPICSKTALDMSHHWEALDQEIEATIMPHAYRYKIWVLCNDCNKVSEVNFHVIGHKCSHCNSYNTRSTSRPADSSGSSSPTTLFRQQPVENSSLR >Et_6B_049558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6550870:6555672:-1 gene:Et_6B_049558 transcript:Et_6B_049558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HTTELPRRPARTLLSRHVAAGRARTLLRNLVRPAVLPIRLGTSSKYLPPFLPNRSSCSFPKIRCPTLLFPRSVALPGSKIRRPLSSCRQSLVLIHQFAPNLRVLLWFLQTIPLVKIGLWGSDHGGSPHDVNPPPQRLMSWTVRSGDTINAIAYTYVDINGKERSAGLWGIVLGPDEYVREVSGTYGPFGLQDNMVTSLNIITNITIYSYGHAKGTNFSIPVENGKIVGFYARSGALLDAIGVYIRP >Et_1A_005088.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:25978825:25979034:1 gene:Et_1A_005088 transcript:Et_1A_005088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGLWCVHPDRRASGQPFGSPSTCFGSRRSRSCQRRCRSQPNCRRQAVLALQKRRQMRHQAAAIPCLL >Et_3A_024640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22912432:22917387:1 gene:Et_3A_024640 transcript:Et_3A_024640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLEPHDYIGLSAAAPPTPTSSSSSSSSPAPRLTLRLGLPGSESPDRDRDRVDDVAAALSLGPLSATPKAAAAATSKRAFPDSPSFAAAARAGEGKAPPVAPPAAKAQVVGWPPVRNYRKNTLAATASKKAAQDGASGGGPMYVKVSMDGAPYLRKVDLKMYSSYEDLSVALEKMFSCFITGQGGLRKSSNKERLTNSSKADALQDKEFVLTYEDKDADWMLVGDLPWDSEIVRADRSEQIALTKGLRLSKASKLAMKEEYHYACYQMALDSECIYIEMAPALRAVQLGRRSAGAAAVGFPLPAFRLRGRCRARALVVCSLPLGSRRRRRRLGGILVVGRLLSLTSRRLRRGRDRDLVTRLPVRRRHRRRTGALAVRFPSASRCRGGIGSLVVLCLLPLGWRRRARFVVVVGLRLASGGRRRSRLWFAGAEFRLARAAVHLAQDETSRRALVLVSATVVVFGFEAELQHEPPRPSPHAALLLHEGEALAVPDLAPAAEAVDDGLRFRLVVPPAGAGGDDAGLALPAAALAAQRGEEVPGPLAVLGDRRRELPGEVGGVGGVDVGLVDERAGLEAGGDPGAQVRFDELQIGGLGVEGRACWVVCRRGGANHEEGGAARSNPKQARKTGMESGAGFVLYAVWNYAFLTRPLRTRNRSEQYRLESDSLPTFPSRNRLSALGWNGLAARPFPFFFVDN >Et_8A_056080.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17228171:17228572:-1 gene:Et_8A_056080 transcript:Et_8A_056080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKIASCKIAVVSTDLRRSVRLKDKHKGFKPDSCVDKCCYACHMEPQGLSPSIIKDLGSKFCKVAPGELSTEALKKKRKAKTIASTSSKDDSQEKCKKKVISSKKKQVKAASSKEDSNSKDDDKATKKSRK >Et_1A_005798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13985181:13994388:-1 gene:Et_1A_005798 transcript:Et_1A_005798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSLKMATTTFPLRPWGQSCHLTAAASGPSAIPWRRRHAASSRSPRAHQSSAQTRHGHVDAVDDHDMSVGGFRPSIWGDFFLRHTGAASSDEQQTWMVKRAEVLKEEVRSNIKSSSATFSLHQRLHLVDTLQRLCLDYLFEEEINIVLAEVNNTACVSNCDLHTVALWFYLLRKHGYMVSPDVFLRFKDEEGWFLAHSPMDLLSLYNAASLRTHGEIILDEAALFARKCLETTLPHTKGSLAREIKCALEIPLPRRVSIYESKYHIFRCEEEAVVDEAVLQLAKLNFNIMQFHYQRELKIITRWWKDIHIESKLPFVRDRIVECYLWMLGVYFEPCYSRGRIILTMVIGITAIFDDIYDSYGTAEDCELFTKCIESWDLKAANNLPECMQFAIMKFFDAYKTMENKLAHEEKYRMSYLRNFIVDYVRGLYAEVKMRDCGYVPNSVEEHLQVSSRTSACHLLSCASIVGMGHIATKNSFDWVSSMPTMVPALCKIVRLLNDLQSYEPEQLAPHVASTIDSYIKEHHTTIEMAREKIHELKEKTWKDFNAEWLNPENSQPKQIVDRIFNLTRTMEFIYNKDDNFRNCHNMKDTIHSLFTWMVQRAEVLKEEVRRIIISSSTSFSLHQRLHLIDTLQRLCLDYLFEEEINNVLAEVNIANVSDCDLETVALWFYLLRKHGYRVSSDVFVRFKDEEGTFLAHSPMDLLNLYNAASLRTHGERILDEAALFARKCLETTLPHMKESLAREVKCTLEIPLPRRISIYESKYYISRCEEDAVVDEVLIQLAKLNSNIMQFHYQRELKIVTRKHVYVLLINILEANIDLDIESELPFARDRIVECYWWMLGVYFEPCYSRGRVILTIVIAIATIFDDIYDSFGTAEDCELFTKCIESWAAKEANDLPDPMKYALKKIFDSYQTIESELAHEERYRMSYLKNFTVDLVRGYNAEVKMREDGYVPKSVEEHLQVSLRTGACHLLSCTSMVGMGDIATKNSFEWVILQQVSCHVASTIDSYMKEHNISIEMAREKIHELKEETWKDFNAEWLNPKNSQPKQILDRIFNLTRTMEFFYNDDNFTNCQNIKDTIRLLVVEPIVVF >Et_3B_030788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7345564:7349538:-1 gene:Et_3B_030788 transcript:Et_3B_030788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLFRRLAGAHRGRVPLAAAACGGAALFYASSSPTVAHMEEKGEEDADKVALNPDKWLEFKLQEKAVVSHNSQLFRFSFDPTTKLGLDVASCLITRAPIGEEVEGRRKYVIRPYTPISDPDSKGYFDLLIKVYPDGKMSQHFASLKPGDVLEVKGPIEKLRYSPNMKRQIGMIAGGTGITPMLQVVRAILKNPDDNTQVSLIYANVSPDDILLKRELDRLASSYPNFKVFYTVDKPSNDWRGGVGFVSKDMILKGLPGPAEDSLVLVCGPPGMMNHISGDKAKDRSQGELSGLLKELGYTAEMVYKF >Et_10B_002668.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:5796366:5796581:1 gene:Et_10B_002668 transcript:Et_10B_002668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVDTFDDSNWEEVKFINGYATSMVYLSMGVRGLDVLVISWTTVVLLGGFVSTLGKKDFWCLTGITLVHG >Et_10B_003091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16482480:16487567:1 gene:Et_10B_003091 transcript:Et_10B_003091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPEGEASDGSTAAAGEARTPSPPPPPSPALPASTSGSIDASSTSQSPAPLPPPKQPAPAVEDDSMEQPKREGADSSAAADEALSPSPSPPPSPVLSAATSPKSRSASQQLPQKKLAPGAVIASGDTGILERVARDSTAAGAGPRSPAPPPSPAPPSTTPGFLHPAAAPTSNCAAPSQPPEQHQPSAEPVLAAMAKANGVAPDSSSTAADDAPTASTSSPSSFRSVVGASAASVPFAKSSSSSADPSAPQEQRASSGRHPVASTSRVADPSWPLEQHAFSASAACNVLVALEGDDIWSIVLAKFSRRRCIDFDVAAAPFLHPCSSKGVNSAEAPPLPNGGVVIDRELAADDPMEQPKRDAAGFTAAADQARFPTSPSPPSPALSAATSGSVHAWPTSRSPAQLLPPKKRARRAAIVGDDKGILERDATDSTEAGAGPRCSTPPPSPALPSPTTSFLHVAPNSSSAAPSQQPTEQHPASAEHERPPMAKANGVPGDSSSTAADDAPTAPASPSSSSPVVGAFAASGAFTESSSRAMEQEENEQKGKEAGLKRRENEQKGKEAGLKQGENEHKEKEAGLKQRDNGQKKEADLKHRDNESSSRAADRSRPQEQLAASGQTHEGDGIVAPHLPNGSVLVPSKVSVDADDFNGTSGPSTSGLLSPLVQDGLRPRNTLTNGNKEDVPLCFLEQFAFENKCLEETVNGLAAQMEKAENEQKQKEADLKQKEDALLLDRLLHRERKVKEQEAAARVQWLAQTWHRRCNSAGGISGATARADLAMLQLTHPLLQSTQQSPPTPNPDNEDAPAVSPTAAPMAEDDMWDKRQISYVFYLGLAVTLLILIRPLLPRVFDRIFLAVFAAIWGVGSIGLPLGIFGTSRFEKDCSRHIGRFIALCCSLMVIYAAYLLALMPLKADGTSPSPPPLPKVDEKVIIWRGVFGLIGLLVSASHVTSWIVMIDSNWKAQQEFNKRRLMGFVSGSSCGLKSTKVIYEG >Et_3A_022991.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:13709561:13712968:-1 gene:Et_3A_022991 transcript:Et_3A_022991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFVTLVQGHALHGEFSEAVELILRLRREGHEVNQFVLTTILKLVVAMEAPGIACAVHACACKLGHDRNAFVGSALIDAYSLCGVVGDARRVFNDIEGKDTVTWTAMVSCYSENECPEDALNVFSKMRMAGCKPNPFVLTSVLKAAVCLSSVVLGKGIHGCSVKTLYDTEPHVGGALLDMYAKCGDIEDARTVFEIIPHNDVILWSFMISRYAQSYQNEQAFELFLRMMRSAVVPNEFSLSGVLQACANVAFLDLGSQIHNLAVKLGHESDLFVGNALMDFYAKCRKMESSLGIFSSLPDANEVSWNTIIVGYCQSGFGEESLSVFHEMHAAQMPLTQVTFSSVLRACASTASVKHAVQIHSLIEKSTFNNDTVVSNSLIDTYAKCGCIQDALKVFATLKECDIISWNALISGYALHGHAADALELFDRMNKSNIKANDITFVALLSVCSNRGLVNQGLSLFDSMKFDHGIEPSMEHYTCIVRLLGRAGRLNDALKFIRDIPSEPSVMVWRALLSSCIVHKNVSLGRFSAERVLEIEPHDETTYVLLSNMYAAAGSLDEFALLRKSMRNIGVKKEPGLSWVEIKGEVHAFSVGSADHPDMRVINAMLEWLNLKASREGYVPDINVVLHDVDEAQKARMLWVHSERLALAYGLVMIPQGHPIRIMKNLRSCLDCHTIFKVISKIVQREIIVRDINRFHHFEKGMCSCGDYW >Et_6A_048170.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:8656841:8659264:-1 gene:Et_6A_048170 transcript:Et_6A_048170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRRLLLHARRRRLVLLLLLPLLLLLAPASALSTFALAKADNTTIVCGLLPSSASPALVDLNCTAAGGDHARQETYPSAHPFNAVAGGEDFLCAVGPSSGGNGNGSGGGEVDMVWWDLSRNGSNRSKRVYAGPPLKALDSGEYRVCGVLASGALHCWRWRGLAPPPAGLRFAAAVAVGDGFVCGIVDGGSTNSSIRCFGDDPADEAVAGAPRDGSFDVVVACGTRACALSMAGGILCWGRGAPDVGPDAAAGGFAALALGSAGLCGLRTNGTIHCFGSGVASPPGALAGAQYLSLEAQEGSFCGVLMANYSLVCWGGREFNAANRLVFGRVMPGPCVPVSSCKCGVLPGSANLCASEGLCVCVDCAFELNVAAPNASSAAVNPGGKSSRRTMWIAIAAAAGALALLVAMQVALLLWCRRRDAERRKESAAAAGDAQQPQPPFFRDGSVPGSVVERFTLESLAAATDGFSDARRIGSGSFGSVYRGTLPSGREVAIKRAEDTARPSSSAAARPARRRDREAAFNSELTALARANHKNIVCLLGCCCTDEDGERVLVYEFMANGTLHDQLHSRTPLAPPLASWRGRLSIALDAARGVEYMHVYAVPPIIHRDVKSANILLDDAWTAKVADFGLSSVLDDRASCSAAANSAGDSQVVYTGGTVGYMDPEYYRLQHLTDKSDVYSFGVVLLELLSGCRVVQRYAESVTPKNVVEFAVPLILADDVARVLDPRLPSPTPDEAEALAYVGYLAADCVGPVGCDRPSMTEVVDALERALGACSPPQVSRTFTGRRVLSRSGTDQFDLTDTD >Et_7B_055302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9121310:9123945:-1 gene:Et_7B_055302 transcript:Et_7B_055302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFLLLRRHTRGWWRQPPCSRPLWPSCDGGYVASSRQTMEAPAPTPSSPLNTSHKVFNRDAGFVGWLQICNRQTYRCIHTTRSVESGSQTMVETQQNPGAVGEQKPKRKKLKGKRAVTRFLKSLRWKKKKEIQRMTAEEKILYKLKLARKKEERLVAALKKIEPEYPAEPTHDPEVLTPEEHFYFLKMGQKCKNYVPVGRRGIYQGVILNMHLHWKKHQTLQVIVKTFTPDEVKEIASELARLSGGIVLDIQEGNTIIMYRGKNYAQPPPEIMSPKVSLSRKKALDKSKYRDRLRALRRYIPRLEQELEDLHAQMKLAGELNGQIGVNHVALISDSTNSMPARKEPSCSVRHKSVSDLLLPESVEGSERSEDGNNEDEDDSASESLSFSESEDLSDIFETESEEQEEDKGEQPLYLDRLDKFPSQNNDDEPDDFEEHLRKIASLSDKTDSPSKELKVSELDEIDKIFLRASSLLKKR >Et_8A_057889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8972139:8979725:1 gene:Et_8A_057889 transcript:Et_8A_057889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRFGRRFSSGDAWQSTLTAGERLCAVFFPLVAIAEAVFFSLTGCLAELCPSAAASRRSRPDASSSPAFYFASPGTRNCHGRTTMGCSSISIRQLARLADESLCFSVNEVEALFDLYKKISGSIINDGLIHKEELQLALFKTPSGENLFLDRVFDLFDEKKNGVIEFDEFIHSLCVFHPLAPLEDKIDFAFKLYDLRQTGFIEREEVMQMVISILLESGVKLPDDLLNTIIDKTFEDADVDRDGKISKEEWKEFVLRHPNLLKNMTLPLRDVTTAFPSFVFNTAVED >Et_2B_021301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28413772:28415608:1 gene:Et_2B_021301 transcript:Et_2B_021301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYRDGTCQTWLNASLQPGRRHHESPRSHGSRGGQERRAVLACLLPTPGGEELEFLTAFSSLLKPKRQQIHEYHYVQLIGWTPLVEMKNIARKSSVEDHYQPLCSNDAEERALISPGVTTLMEPTSGNLGIGLVFIAVQKGYRFIAVMPAKYSLDKQMLLRFLGAELILTNPAVGFKGMNDKVEELMKTIPNSHCLNQVSNPANPEAHFRWTGPEIWKDTAGKVDIFVSSVGSGGTLIGVGRYLKMKNPSVKIVCLEPSENAVISGGAPGPHKIQGRGACFIPEVLDTSVIDEVVTVSTEEAGDGEEAGEECRRLPEGRAETKAKMIVTMFPSSGEGHMNSDLFADVRKECSDMTF >Et_2A_018614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35036650:35037716:-1 gene:Et_2A_018614 transcript:Et_2A_018614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGAAELELPGFRFHPTEEELLEFYLKQVVQGKKLKFDIIPTVQLYRHDPWELPGLARIVGEREWYFFVPRGDHRPPKQQQQQRPSRTTERGFWKATGSDRAVRCAADPKRLIGLKKTLVYYEGRAPRGTKTDWVMNEYRLPPDNAAADSASSPKDQDMVLCKIYRKAVSLKELEQRVAMEELARATAATPSATTLSHSTGDSAISSSEEDLQLGTRPCVMKKEEVDTTAAVMRPATLSLPQLEVARPPPSQQLLGADWMMQDPFLTQLRSPWMETWSPYYASVLNF >Et_4A_033335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21656247:21659769:1 gene:Et_4A_033335 transcript:Et_4A_033335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHMDAEASMGYDILKRMLLDQSEEPKQLPLSLLEAITNNFSHERELGRGGIAVIYKGVLGITGTVAVKKLYRTIDIDDKQFNKTVQLLMKVRHKNILRFLGYCAHKKGEAADSGGKSIMADVQNRALCFEYLPNGSLRNYIAAASCGLEWSVRYQIIKGICEGLHYLYERSILHLDLEPANILIGKYTYCLCREKPWILCWESSCCLYIYKCLLHWKSFQKDTSGIFYRLIQLIGSAIEYLMQNEEDNNNLAYWLSNTSTLLFLLRSAGALGIRYEVAAKLTNLKYKVETLFFKDPLTPCVEKIYGIMRDNTKKKVSYFISLCIEDPTTMKASMLRNSGPLSGQSQSNHWQRIIEVLDNDLRILQDSH >Et_10B_003955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8395177:8397433:1 gene:Et_10B_003955 transcript:Et_10B_003955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREFLAVVARAVIQWAVATLLLANGAAFCLIAGVSERLRLGPPCILCARVHRLLCSSAAGGEGRDALRLLLCDAHLAAVADAGPEQLPRHVPDRSSAEGKEALDADDRDRVSGLETHRVVSIGSEICEQDQQDTNGIRNADRAGSAAVDGGTSPLVSLFELAPILAHPRDDGDGEHTMRRAKPPPELVTDDGGERLTVGQLLSLRAQRRELDALRAELDAERRARVAAEEHQRELEEQGELDREAARLAMELVHETEREKQDLQRQLHACRVGAQIIHGGQGQCGDSSNNYQSLVDCLPGTLYSSSPDLANLLKLYSEPGNGESRQREGYAPAVMAVAEEGEEEQVTVAVTDVTESSVSVDANVAVVGDPLHERTTSCHVEAVTEGA >Et_2B_021662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4159891:4164402:1 gene:Et_2B_021662 transcript:Et_2B_021662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NQNKKATPWRRQTGVQKESTKASILSVPVDIQRLILSKLPLKEVVRTNILSTKWQSVCTFYPKLRFDGITMCSSRSIPGSEQYNKEFIRNVDAVLQQQNGEFVEDFELKFDFNSELITHLDKWVRFAAASQAKNIAFDLVPAFLGRHDRYLLPHELLASQSAHRLQNLQLGFVSIKLPAKFSGFPNLRKLDLHSIDITAKELEDMLSRCSNLEWLSVVRCHVNDELKVDIPLPRLLYLCVAYCRITRIKFNAVKLKTFVCAGSLYPFDLTQSLELKDAHFFDSLTLDYALVTLPTVLPSVENLTLQATATLKTPALLENGCKFSQLKYLQLELFVTYEDASNISHSDLEPLKSLPLCPYNYLKTLYITGFTACTGELEFLLHVVENAPILVDLTLYPACIFDRGMTSQEIRVKVYLLAVARMHVELN >Et_10B_002513.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:12625807:12625986:-1 gene:Et_10B_002513 transcript:Et_10B_002513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPWELAEHIIAVTWLSLASWVMGCVAFADEVACALRRQDIAVRAVVSRSRRRPPLMY >Et_4A_033494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23834240:23838630:-1 gene:Et_4A_033494 transcript:Et_4A_033494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSRPSACVGKPHTPRSGDAAGRASGGGARRRRGRRGGKGRRKAPSRAASMETIQEAEVPGAATGPEAAADHRTYSNPAFQVSGSIEEAWYDSFAMSESDCEDDFHSVQDDAFSLNGFENEAALSMKDGNGGSFNGAGLSGEHHHRKPRSSELSRSSVSHEDVASVSGDDSAHGGRILDDCGLLPNNCLPCITSAAGVNDKKRALTSSPTHSMKMPSLKLSFKKKSGEAHPSSTLLSTKDFLERPLAGSQVQLCLLETKVLNSWSHVDPGTFRVRGSNYFRDKKKEFAPNYAAYYPFGVDVYLSPQKLNHISRFVQLPDIQLSSKLPPLLVVNVQVPLYPATLFQNETDGEGISFVLYFRLSEGYSKELPPSFIENIRRLVDDHIEKIKAFPMETTIPFRDRLKILGRVANIEDLPLSAAERKLMTAYNEKPVLSRPQHEFYLGDNYFEIDIDMHRFSYISRKGFETFLDRLKVCFLDVGLTIQGNKAEELPEQILCCVRLNGIDYTKYQRLMTHGA >Et_3A_026828.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:22415309:22417060:1 gene:Et_3A_026828 transcript:Et_3A_026828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEVGFVPYNPDGWGPPEAATAPPSLGGGSASVPFAPFSRSDKLGRIADWTRNPPGPGAFAASRDTVFDFAGLEDSAGLASADDASFRLVDGKPPPRHPRFGPRWRFQQRPQLPQRRDEEVEARRREAEKERARRDRHWQQNRRTHHQFNRFGNNSSAAKPSVDIQPEWSVKEQIPFSSFSKLSFNVADQPEDLLVCGAVEYYDRAYDRVTPRSERRLERFKSRNFFKVTTTDDPVIRRLAENDTATVFATDTILATLMCAPRSVQSWDIVIQRVGNKLFFDKRDGSQLDLLTVNETAQDPLPEAKEDINSAHSLAVEATYINQNFSQQVLQRNGEKVTFDEPNPFATEGEEAASVAYRYRRWKLDDETSLVARCEVHAVNVDPRGERQFLTLNALNEFDPKITGVDWRKKLETQRGAVLATELKNNANKLARWTAQALLGGADMMKLGYVSRLHPRDHYNHSILSVIGYKPRDFAAQINLNTANMWGIVKSIVDVCMKLGEGKYVLVKDPLKPQVRLYEVPNDAFENDYVEEPLPEEEQVRPFAENVDATAQEMDAVAEAEATGATEGVDGGAEKTAEAAV >Et_8B_058640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12251994:12252942:-1 gene:Et_8B_058640 transcript:Et_8B_058640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKTREFIETNGGPILEKVDNIKLLKKKDLKSIIQKHNVIGKGGFGENTTKLIGCCLEVDVPMLVYEFVPHGSLHDVLHENNKVSLSLDRRLSIAAGAAEGLAYMHSKTSTTILHGDIKPRNILLDHNFDAKISDFGISRHQAIDKTHKICGLLTKQSDVYSFGVTLMELLTRQKAAFGENSRLVKAFLDANAEENGAIELFDMEILVGSETEVLKKLARLTVECLKPDVDERPEMIDVAERLESMKRLHRIESGACCSTNT >Et_2A_017274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32748359:32752844:1 gene:Et_2A_017274 transcript:Et_2A_017274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSTTTSSGGGPQVAVAVRGDGRGSRRAARWANASLFPSAGRVALVHVIPPLAFVPTPSGERVPVEELERQAVEMYAEDRRAHAQEVFLPFRRLCGRRPVETVVLEGDVAEALVSYAAESGVRSLVLGSASLSWLRRMLRLRDVPATVLKTMSSSCNVFVVSRRKLTIKFANQAQTSKLNAIRIQSLSHNAFEEKQRDWFQDTQSLHNVVDGETPNHSGNTSLDSCSQACSSLSTSASAVKSSESHGRGLSGSLGRKTPGRDAIKDFGAIGQNVPYVTLSSVEECQPIDEVAKLRKELKDTLTMYGGTCNNLIHANEKVQLFSTECCEDAKRIHDALQREEVLKQTVADEKTKHVAAVGAVKRANESLTHEVYSMHKAETVANMVSIEKAKVVDALLSSGKSCRRYSRHEIEIATDNFSDAKKIGEGGYGNVYRCTLDHTEVAVKVIQQDSTDKIDEFLREVKILSQLHHPNLVLLLGFCPEIGCLVYEYMENGSLEDQLFNDKGQQPLHWFLRFQIIFEVACGLAFLHGTKPEPIVHRDLKPGNILLDKNYVSKIGDVGFAKLISDLVPEWLTEYRDTVVAGTMFYMDPEYQLTGTVRPKSDLYALGIIILQLLTGKRPFGLILSVEEAIKKGTLSDILDKSQTDWPIAEAEMLARLGLRCTALKCRDRPNLDMELLPELEHFLSKVTASHKLKGPNVATPSHFICPILQEVMEDPYIAADGHTYEQRAIKAWLRKHKISPVTKQKLPNLSIIPNHSLHRAIQQWKSRISQ >Et_5B_043308.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:23643585:23644091:1 gene:Et_5B_043308 transcript:Et_5B_043308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VAGRGSTTGTATASSPSPPFRTATRKLTPNSAPAGPPYTGRPHPKSTPMNPARRTSERSSRFASIGSTSRSAEDRTYVLRGRRRRLHVPHQLRRVAQQPALPQRAGGGGEHARVAVVAGWISTNGESTTTPSEPSTSNSSWSMLHGNGGVRISPSAASSSGSRTSASA >Et_1B_010490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1113667:1115580:-1 gene:Et_1B_010490 transcript:Et_1B_010490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVITRAGGPEVLEAQEVEDPLALGEGEVLVEVAAAGVNRADTLQRHGRHPPPAGASPYPGLECSGTIVSLGPNVPSRWSVGDKVCALLSGGGYAEKVVVPAGQLLPVPEGVSLTDAAGLPEVACTVWSTVFMISHLSPGESFLIHGGSSGIGTFAIQIAKHLGIKVFVTAGSEEKLAACKGLGADVCINYKTEDFVERVKQETNGKGVDVILDNIGGSYLQRNLNSLGVDGRLFIIGFQGGVVAEVNLQAVLARRLTIQAAGLRGRSLANKAQIVSAVEKNVWPAIAAGKVKPVIYKTFPLAEAAEAHKLMETSSHIGKILLIP >Et_5B_044861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6649050:6656485:1 gene:Et_5B_044861 transcript:Et_5B_044861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLHLTLPLPPYRLHVGVRRLLPAPPAYRARLSVRAMAVAVSTAETTELVYTLPFPTDRAVHHRELAAAADVVERACRLCVDVKKSLFSNRRNILEKNDETPVTIADFGVQALISLELQRLFPSIPLVAEEDSASLRRSEADDDCNYALVESIFSAVADKVSNSESPLTHDDVLRAIDRGGKDSVSFDSNPATYWVLDPIDGTKGFMKGDDALYVVGLALVVDGQLAVGVMGCPNWTDHPTDNEKDVNATAFSGRGLLVVSHVGCGTWSRRLTAEIGQLTTAQDIWTRCYVDTCSEVHMARYCLSDGQTWDMIPLSLLFSTTTDEHDPRDENKVLILPVFWGSLSKYLAVAAGRASVFVMRTKATVIRKSWDHAVGVICVQEAGGQTSDWSGEPLDFAADETSRRNIYPSGGFLASNGVLHDKLVEMISANSKYRLLSVPAPRHVRLSVRWARDPPGPWPHPARSALVLVLDFLSYRRRRRVFSAAFVLGRAAAGLSAAAEEYRLPFPSENASHHRELAAAVAAVERACRLCVDVKRSLFSDGRSILEKNDQTPVTIADFGVQALVSLAVVPVNTSGGRRGLGISRSSNTDDNSGDILVESIFSAVADKVSVSESPLTRDDVLRAIDRGGKDAVSFDSNPATYWVLDPIDGTKGFLRGDDALYVVGLALVVNGEVTVGVMGCPNWINDTIADKTDDSAAVPYSHGILMISHVGCGTWSRRLYGETGQYNIAREIWKRCFVDACSVAHMARYCIPDSQAWDMIPLSVLFSSTTDESDPRDENKILLVPVFCGSLCKYLTVASGRTSVFVLRARATTQIKSWDHAVGVICVQEAGGQISDWSGKPLDLAADLTSRRIIYPSGGVLVTNGALHDKLVEMISANYK >Et_3A_023166.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33862931:33863986:1 gene:Et_3A_023166 transcript:Et_3A_023166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGKTTLLRKINNHFLGVIKENCGFDLVIYVVASMACGMVQLQADIAEKIGLFIKPDSSMEVRASSMLSFLRTKKFLLLIDDLWDYLDLADAGIPHPNGLNKQKIIIATRDENVCGRMGSHRTICVECLDQENSWQLFKGNATEDAINSDARIENLAKEVAEECGGLPLALAALGRAMATKRTYHEWALALSYLKKSRIHEIPNMGNVVHIYTRLKLSYDYLQDKQIKECFLCCSLWPEGYSIWKVELIDCWMGMGLIEYDTIEEAYNKGYLIIEYLKNACLLETGYLEDTEVRVHDIIRDMALWISSDCSEDSTKWFVRAGVGLHDISNRDIENWRSANNISLVQLYQ >Et_10A_002330.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:9137342:9138016:1 gene:Et_10A_002330 transcript:Et_10A_002330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSSAISVPSPSVLANTLMLPSLSSIPDDVLELILLRLASPLHLIRAASTSKRWRRVVAGAGFLSRFASLNRPHLVAGSYYDSSIIPAVNRPCPVFVPSPSALIDGSRFSLDFLWSNDICDPCVWTISDSRGSLLLLTLDDYEEDCATWRLQAVVCEPFTRRYTIVAPLERSEIWAVHTGPFFLDAGDDLSSFGLVCVLRDRYYDRCRHRAVHVVGARIVARK >Et_3A_026304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6629522:6629922:1 gene:Et_3A_026304 transcript:Et_3A_026304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGKCVLLFLLAGAGHNLEAEVGSKQRKAEVMSTDSNNLVEKGEGLEVECEDHRRISLEIENLRSQLDKDVEELGYCEENEELRVEMDLMNTEMQYLRKHNEELQA >Et_2B_022826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5986432:6000226:-1 gene:Et_2B_022826 transcript:Et_2B_022826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRGVAAVSLMLLVLMAFMSTFGTTRPLGGDVWVLAREAVSSDGVVHILRQIALVKSVMPGDLATARASEHLGNVFGENHNCVGPFYSTPTLPAPLNRKCEEKKAGHKSD >Et_3B_029507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25566882:25570142:-1 gene:Et_3B_029507 transcript:Et_3B_029507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPRLYENVSVSDNDVRNIVLSYLMHNCFKETAETFLSSTGLNVPVDYNMDVDKRKAILTFVLEGNALKAIELTEELAPNLLENDMDLHFDLLSLHFIELIRSRKCTEALEFGQKKLTSFGKVPKYVEKLEDFMALLAYEEPEKSPMFHLLSPEYRQNVADGLNRAVLAHANLPAYSSLERVVQQATVVRQYLQQEVGKDSYPTFSLKAFLSK >Et_6B_049332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3370199:3389295:1 gene:Et_6B_049332 transcript:Et_6B_049332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANATDRPAQFAGALLLLILLPLALTQSHAATPARSSSSTAAFQLQGDVYPTGHYYVTMNIGDPAKPYFLDVDTGSDLTWLQCDAPCQSCNKVPHPLYRPAKNKLVPCADSLCTALHNGQGSNQKCPSPQQCDYRIKYTDSVSSTGVLITDNFTLPLRNSSNVRPSLTFGCGYDQQVGKNGALQAATDGLLGLGRGSISLLSQLKKQGITKNVLGHCLSTSGGGFLFFGDDLVPTSRVTWVSMARSTSGNYYSPGSATLYFDRRSLGVKPMEVVFDSGSTYTYFASQPYQAVVSALKGGLSKSLKQVSDPSLPLCWKGQKAFKSVFDVKKEFKSLFLSFANGKNAVMEIPPENYLIVTKNGIVCLGILDGTAAKLSFNVIGDITMQDQMVIYDNEKTQLGWVRGSCSRSAKSMWASVVGLLLLLPLLPSASSSSTVFTLDGNVYPDGHFYVTVNIGEKEKKPYFLDIDTGSNLSWLECDAGKGTCETCNKVPHPLYQMISRKLVPCAHQLCDAMHRDLGLTQNCMDSPRQCDYEIRYFDGASSLGVLVTDTFSFPMGHGVPSKPLDIAFGCGYDQAKKGQQKKVSVDGMLGLGRGSVDFVSQLKRQGLITKNLIHHCLSATGGGYLVLGEHEMPPAQMTWVPMAPKISGKPNHYSPGGAILQLDTKSVDKKPVQVTVAFDSGSTYTYLPPNLYTQLVSKVVPSFSKSLKLVRDDPVHPFCWKRPGGFKSLDDLKKEFKSVMSLKFDTGATMMIPPENYLVITPYFLSVDTGSGLAWLTCAASTGACDTCGYDQGVDSAGKATVVDGILGLGQSSIDLVSQLKDLKIITKNVIGHCFITKGGGYLFFGEDNVPSSDISWVPMVPRAPETPYHYSAGRATLQWDTESIGAKPMEVILDSGIPYTYFPDVLHSQLVSAETHAWVSWDDSNRCVLDRRCGYDQDGNPQKETVVDGILGLGRGKADLVSQLKQHKIIAKNVIGHCLGRRGGYLLTGEENVLSLPLNWVFSSKLLLANHSKRSLMMILNSLCWDCPGKFTSLDDIKKKFKSVMSLMFTQGVSMMTPPENYLIISKNQNACFGIFGTKKLGIYIIGDITMQDQLVIYDNENGRLAWKQSSCGNMPKSSHVIVSHI >Et_6A_045816.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:15036201:15036779:-1 gene:Et_6A_045816 transcript:Et_6A_045816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFSYDDPPWPAAAASAASLHLPALARIAWLAIASWTRSATWSLLTSASSRTPTPQTSQAHLQLVNWSWQPGQQTIGTPAATDSQVEFHPLCVRKQPTARWRRTCSCGHHVVTWHRPAAVSAANAGGSALTPPPGARSLSLMQKRNGWPLAARHPRELGDLLRRQLRAAAEVDVRTRRSAAASACPASTG >Et_9B_064779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18509028:18512798:-1 gene:Et_9B_064779 transcript:Et_9B_064779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGSSVERRNENPQDEERTGRRKRSGCWIRLCVPPSSSRSKVDNALSCAAPTQGEAKNDITQDKPVRQIEPRGTATSKAENSSASTIVADGLKVAFQLRKFTYGELKYATRNFRPECLLGEGGFGRVYKGWIEDSGDAPVRPGTRLTVAVKTLNHDGHQGHKEWVAEVNFLGNLHHPNLVKLIGYCIEDNQRQLVYEFMPRGSLEHHLFRKSVPLPWAIRMKIALGAARGLAFLHEEAERPVIYRDFKTSNVLLDADYNAKLSDFGLARDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLELMTGRRSMDKNRPVGEHNLVEWARPLLKQKQGFHSLMDPKLGGNISMKGAQKVTQLARYCLAKDPKNRPLMSQVVEVLKPLPNLNDMASSSSLYQSLQAQRAARLAYPRGSQSMKPQSSFAWNGQQAARSLSCGPHGHASPYRPQASPYRQSPRSNAK >Et_4B_037150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15476410:15479818:1 gene:Et_4B_037150 transcript:Et_4B_037150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKAMFESDDAGVKNGDMEATEDPPDWLPDGWIMESYLTEDGTINKYYTSPISDYTFTSQAEVLEYLFSGVDERILESKECATEMTLQKMHQWLPKGWVIEIRSGGENLDKMYKFYVLPRNGVRLLTKQDVLLYLEQSRISKCDTNGQCDTRSNGNIIAKVDLYPNGLPTGWVKELVFRKTKHGSVRRDPYYTDPSSGYTFRTLKLALGYLESGRIPKRAFIQKTSVHDIYSFDKCADMTFFEILLTLPNPAQYE >Et_9B_063940.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:3931497:3931790:-1 gene:Et_9B_063940 transcript:Et_9B_063940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAMTPLTKATRSLLATPRCDNTTISRLQLKFYLLNDYSDVIGPLVSEAVDTRTVKDLDLAIMDEKEPNDCTEEDMLQQASSVSSFFSAYHKTVLV >Et_1B_013869.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:21361717:21362166:-1 gene:Et_1B_013869 transcript:Et_1B_013869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDLLIFTRGGLVLWSLCQAAHGGGGGRGASHVVDALIRCCLLEERAAAAAGDGFNPDLVLFVGEALVGNDAVDHLAKFNQSLADLSSTARPIDGIVLTKFDTVDDKVGAALSMVYTSGAPVMFVGCGQSYTDLKKLDVKSIVKTLLM >Et_6B_048789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13733658:13736044:1 gene:Et_6B_048789 transcript:Et_6B_048789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRENDPGTIFFYIGCFWGLTFGFWVVSFVMFKKKWKNILLLPLGQSTRQSLRVCGFDLGQVTTAGRQQTCAN >Et_8B_059707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20925562:20927194:1 gene:Et_8B_059707 transcript:Et_8B_059707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASCSYSSHVWAALATPMDLNIAQLPGTHYRKIKRWWDDMLGPRQHQNAASRAQAIIYITWNLWKERCRRVFDNKALSADQLVTVIRQDLQAWHTAQHIWDDTGKVSFPQNSGLGTISLQISLHVPQTAAQATTSLREGQQLRRKTSPPALINYGRSIAQLTQRCDRFPPVMARQTRETESLTSKLRLDKLPKLSLTSRTMLRKDMSSDKHNQKFTKSPVVIKIFQLSLLPLHMQKIKPQITCGILQYIEREQCKRYTML >Et_5A_041986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4937338:4938752:1 gene:Et_5A_041986 transcript:Et_5A_041986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAAAVMAAAAAIPSGAAARPLVGGGGEDDRAPLQTSRPFNIAHRGSNGELPEETAAAYARAIDEGADFIEADVEATKDGHLVCFHDTTLDDVTDVADHPEFAGRRRTLEVQWANVTGFFITDFTLAELKTLKAKQRWEFRDKSHDGVSPIITFDEFIDIALNAKRVVGIYPEMKNPVFMNKHVKWADGKKYEDKFMATLKKYGYGGKYMSPAWQAKPVFIQSFAPTSLIRAAELTDSPLVFLVDDVTVRTEDTNQSYDEITSGEYLDYMKKYVVGIGPWKDTVVPPTKDNRLATPTDLVAMAHARGLQVHPYTYRNENKFLHFNFRQDPYAEYDYWLNDVGVDGLFTDFPASLRRFQEWTAKKRD >Et_10B_004100.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:12923266:12924330:1 gene:Et_10B_004100 transcript:Et_10B_004100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAEAGTVELVDAALAPYAHADLRWLVRRHVFAVLREFPSLSPSVDVYTADDGASSVLLNARGHLAVSAALPPLLLTVWLPREYPYRRPLVYAFPATTATPRAAAALLVPDHPFVDHRTGRVRATALPYLDGWRVPASSLAGLVRSLVAAFRMCHPLATLGGAVTRASPSPEEERDRLHKVLLDELVARLGADMAGFRTHVHEEIHGASSLQGCLRARADAMDGAIGELEDERMRLERAVTASLRHRSELIRWLGKTNRASEADKVLEPEMAAGDAKRWLESKASELALDDAMDALGHALENGALGFTEYIKRVKVLAREQFFYCYAASTSLNKASCRPQILTNSKYEHI >Et_6A_047828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18731016:18732361:1 gene:Et_6A_047828 transcript:Et_6A_047828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSGLVILEPHGGFVGSVPTRAMQYPHRSGMLYKIQYVASWSAGDDSSVAMNWINNLYDFMGKCVTKSSKESYVNFRDLDIGQNTVVNDVSTFDSGKVWGDKYFGSNFQRFAGEGGEPSNVEV >Et_10A_000119.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:6208617:6208902:1 gene:Et_10A_000119 transcript:Et_10A_000119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTKSLAFKIWNKTILITVLLTARRLHRRWFLTGIPRANYQDFGLSGHILGEMVYACLLPSETRSSW >Et_1B_011294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:228115:232152:-1 gene:Et_1B_011294 transcript:Et_1B_011294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGGGGLTAIRLPYRHLRDAEMELVNLNGSPRTGAPGDGPPKDQPPNDQVARSGRGISRARLVLACMVAAGVQFGWALQLSLLTPYIQTLGIDHAMASFIWLCGPITGFVVQPCVGVWSDQCRSKYGRRRPFILAGCLMICAAVTLIGFSADLGYMIGDTSEHCSTYKGTRYRAAIVFILGFWMLDLANNTVQGPARALLADLSGPDQCNSANAIFCSWMAVGNIVGFSSGASGNWHKWFPFLMTRACCEACGNLKAAFLVAVVFLLFCMSVTLYFAEEIPLEQKDAQGMSDSAPLLNGSRDDDSASHEPSEGRLPNGHADRNNISANSCAEESRNANSNSNNDDGEVFNDGPGAVLVNILTSMRHLPPGMHSVLIVMALTWLSWFPFFLFDTDWMGREVYHGDPNGDLSEKKAYDNGVREGAFGLLLNSVVLGVGSFLVDPLCRLIGARMVWALSNFTVFVCMMATTILSWISSDLYSSKLHHIIGANKTVKNAALIVFSLLGLPLSITYSVPFSVTAELTAGTGGGQGLATGVLNLAIVVPQIIVSLGAGPWDALFGGGNVPAFALASVFSLGAGVLAVLKLPKLSNSYRSVGFHIG >Et_3A_024164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1812703:1817886:-1 gene:Et_3A_024164 transcript:Et_3A_024164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCGRPSVTDDGRCRTTPPPAAKLSAAAAGVAAQQQHATRMGSRREEVLERRRAMMAMAAACQVRSPVPRAVEGEQVAAGWPPWLVAVAPEAVRGWVPRRAESFEKLDKIGQGTYSNVYRARDLEKEKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNIIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASFPGVKFTESQVKCYMQQLLRGLEHCHSRHILHRDIKGSNLLIDNRGILKIADFGLASFFDPEQRHPLTSRVVTLWYRPPELLLGSTNYGVAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWRKSKLPHATIFKPQQPYARRVSETFKDFPSPALGLVDVLLSVDPADRGTASSALQSEFFTTKPYACNPSSLPRYPPSKEFDAKRREEELRRQGAAGGKHHKHDPERQSRESRAVPAPDANAELVSSLQKRQAQANTRSRSEMFNPNKEDAASGFRIEPPRQTPVIESSEDSQRVYSTRTFHSGPLVNQNNPSKAGRGKSGELQVPGVANVPVVVSTRASLRADNSNRTIITQAEAFGHGRRLSESINEHFSNSGKYDQAFQQKDERNGRADRAIGYGSKGNKIHHSGPLTCPSGNVDEMLKENDRQIQEVFRRTRVEKSRARNGHHHPSDFGAIPVYPSSRSSYQAVQQ >Et_1A_008754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11805154:11812785:-1 gene:Et_1A_008754 transcript:Et_1A_008754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALTSVSSLCPCGLSRRLSALAPASASASVSATISCCAVATPSSGKGPHVSRTPRGRLRRTEGATKSLEDSVKRKMEQFYEGLDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDEFGVQKIIPDTTFIKKWSHKIEAVIITHGHEDHIGALPWVIPALDSTTPIYASSFTMELIKKRLKEFGIFLSSRLKTFRVKKRFQAGPFEVEPIRVTHSIPDCCGLVLRCDDGIIFHTGDWKIDESPVDGKIFDRQALEELSKEGVTLMMSDSTNVLSPGRSISESVVAGSLLRHVSEAKGRVITTQFASNIHRIGSIKAAADLTGRKLVFVGMSLRTYLEAAFKDGKAPLDPSTLVKAEDMDAYAPKDLLVVTTGSQGEPRAALNLASYGGSHALKLTKEDVLLYSAKVIPGNETRVMKMMNRLTDLGPKIEEVLQIVKPQHFLPVHGELLFLKEHELLGRSTGIRHTTVIKNGEMLGVSHLRNRRVLSNGFVSLGKQDFQLMYSDGDKAFGTSTDLCVDERLRIASDGIIFVSMEIFRPHREHASAQSGLKGKFKITTRCLWLDNGRLLDALYKAAHAALSSCPVNCPLSHMERMVSEILRKMVRKYSGKRPDVIAVATENTTVGFAENLESKSSGNIGPSSATSHLSRSPAQSLEGSHKTRPDGPEMETEETLPEEERTSPDDATTSSNGEVFFSSDLHKPKTLEHFWESFKSPTAVKIARIVNGQGNRPKVSKIGIMGKDSTQSALAPVTSPKKNKWKPEEIKSLIQMRGEMNERFQSVKGRMVLWEEISGSLLNQGISRTPAQCKSLWTSLVQKYEESKKDEESKKTWPHFSSMDRILSCEGEMATK >Et_3A_023861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13612634:13613003:-1 gene:Et_3A_023861 transcript:Et_3A_023861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSSGTYCKVAKTTARSVTSCRNRQLISLSTATSPRKFGRTSCPWQSRLLHAVWWKHLRSSLANDKREGMDTLVLLIFRHLWLERNARLFREKKMTSTQLTTKIILQASL >Et_8B_059033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12677563:12683665:1 gene:Et_8B_059033 transcript:Et_8B_059033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSHSSSSRVWRHLSPSYYLKRPTRLALLFLGFVAVTFAAWDRLSLVRDYEAEISRLDEEVNRLHDQLRNAGVYLAEDANIGNIIKKHHLEADPVSNTRREKVKEAMLHAWNSYVKYAWGQDELQPQSKNGVNSLGGLGATLVDSLDTLYIMGLKDEFQKAREVVGGLLSAYDLSADKIFLEKARDIADRLLPAWDTSSGIPYNRINLAQGRASNPRWNGGRSILADSGTEQLEFIALSQRTGDPKYQQKAENVIRQFQKIFPSDGLLPININPHSGTAESYSTITFGAMGDSFYEYLLKVWIQGNKSESVKHYRKMWETSMEGLLSLTKKTSPSNFYYVCEKNGNSLSDKMDELACFVPGMLALGASGYSPEKAEQIMDLAKEVLAWTCYNFYQSTPTKLAGENYYFSAGEDMVVGTSWNILRPETIESLVYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLKDVKTGTKDDMMQSFFLAETLKYLYLLFSPPSFISFDEWVFNTEAHPLKIVTRHDSEGAFGAIEGKGVADSVIQTLGRKHTKPL >Et_3B_031138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17715561:17716355:1 gene:Et_3B_031138 transcript:Et_3B_031138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRGSKTAGATSPSQQRSRRRQRNPASSSGYNVSVTPAASTRTSNIQAIHDVSKDAFTAAMSGFQPLSSDADTTAMLIRGSKTAGATLPSQQRSRRRQRNPAASYGSNVSVTPVASTRTSNIQAIYDVSKDAFAAATPGFQPLPSDVARLSSHKSPSTSQLPLLSASTLQFQTSKV >Et_3B_030338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32290311:32297290:1 gene:Et_3B_030338 transcript:Et_3B_030338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAACVLVLAALVAVCASVAAQPPAPAGQRPLPSNYHVITPGKFKRDQQLACDNPKDNKPSCNAKCDKRCPNQCICVTSTRCSCGDPCFTGGDGNNFYFHGKDQDFCIVSDKNLHINAHFIGKCNAAMSRDFTWIQALGIRFADHRLYMGAQKTAKWNDEVDRLELAFDGMPIDIPAEIGAVWESTAVPGLTIARTATANGVRVQLKGVFDIMANVVPISEEDSCIHNYGVSEDDSLAHFDLGFKFYDLADDVHGVLGQTYRVDYINQLSVSSKMPVMSGAPKYAVSDIFATDCSVARFAAGRTMAKSTTMGLQLVVVALALWCGAAAVHSKPQPKLVAGKAMIPSSGRFEMIRPGFHKRKYEVACEEEGKAKGGPPGCYVGCPPNCPNKCLVFCSYCMSFCMCDIFPGTSCGDPRFTGGDGNTFYFHGKRDENFCILSDTDLHINAHFIGNHNPDLKRDFTWVQALGVTFGDHRLYVGARRAVEPDYVNRLNISAKMPIMGGAPKYILPAGAGLFSTDCAVSRFQRRTNTTAAARRDAVVSYASSMAGVSVMLGLSLAMVCLAAFVVVQSSSLELERLSVVSRAGALGGQRNTKLTCSDTKKKRRGCTGSCPNRCPHKCLILCPTCKTFCLCDFYPGVSCGDPRFTGADGNNFYFHGKKDKDFCIVSDTNLHINAHFIGKHNPDRNRDFTWIQALGILFAHHRLHVGAVKTAKWNPTTDHLNIMFDDEDAINLPAHVGARWSPATVPTLSVTRTAQVNTVVVELKGVFRIMANVVPITVEDSRIHNYGVTDQDGDSLAHLDLGFKFYDFTDNVHGVLGQTYRSDYVNKLNVTSSMPVMGGAPDYLSSDLFSTDCVVARFGRQSDGIAMVTAKK >Et_2B_019704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12660877:12663136:-1 gene:Et_2B_019704 transcript:Et_2B_019704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCQRIEAALVHRPPPKHLLRSPGSEQDTGPVREWAQVLPVHRERTLVGGAAPWPPRPLHDAFKVPLVIQLTDDENFIWKNLTIEERKRLIARENAKNIIACGFDIERTFISNISYIQGANTLIVVALLKSTFGIQPEDPTGKLSFPPKQAAPAFASSFPHVFGANEKLPCLIPCAIEIRMTRDVAPIMGYKKPSLIEFRFFPALQVDVPIKYIKFFLEDDDELEHIKEGIMHYALAFFFTWCCTYVLKLGSVSTRKEGRMLTGEVNNDLLQLYRSWLLNIAELELK >Et_4A_032911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15497480:15506532:-1 gene:Et_4A_032911 transcript:Et_4A_032911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTAAAAAAPPPISSPPPSLPCPPSCRRYIPPPLLVTASPAGRHLAAPPPLLPTPPACRQPPLLPTPPACRHPPLLASASNPSRAAASGSWIRDKAARRADIAPPKPLDLHKIPGRASLSDSWVLDKAQPAPSSLPSKDGCGKRSCPPSAFKYGKKPSALNYYGDGEACFLHKKLSEVYTIRVSDTNRSRRLHSKKKRATNEEGKRPHLTPVILLRTFPSLELRLRLPPPRETCALLPLNAMPTASPTKLPGGDTSVCYERLRARAEARHLSDRVLRETAQRAREALAGGVSARLPDGGKRLRLRMEASLGELSNRGLGKLVCEIYGSIDPRAIAEYVQCKATLPHSASLEAATQRAGPVSVVATTSPEELFGNDLDVVEAETRQLLADAVADSGKELQLQGDVRGEAHELDRVVCEICGSGRNPHLIANCVRYCMQVVTFVIPDEWCCDGCKERLIGQPDP >Et_9B_066072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2554382:2555326:-1 gene:Et_9B_066072 transcript:Et_9B_066072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRAEKELDEKVAAALRERARSRTRTFRSMNSVTMRLPRFKEGLRDIKDVFEHYGSSSNEDSNGTIDNEELRSCLSKLQVQMSEKEADDVHRYCDVDRRNGIQFQEFVVLLCLMYLLYGPDVTRRVSEFESAKLNYVFDELIDAFLFFDKDGDGKMRRKDVTRRMNETSHQERTPTHITARLFSQYSPMHCNSSNLFGMLLPPTHLKFVEPWWRVFDCRGNGPEPEWEGEPERVPLLHDQMGGCRY >Et_4A_034856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7230830:7237375:1 gene:Et_4A_034856 transcript:Et_4A_034856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWDKGTKSVVGEIPLLSIRAGPRDGEAWRQRLKEEYRALIAYTSVNKAKDNDWFRISAANPEGTRWEGTCWYVHNLRRYEFPLQFDIPVAYPQVAPEIELPTLDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEVPILVDSGMVKHKDDEAAPAEASGSASAAASYMNRLLNYKEQHKMTDFQSQWLLTETKDQKNPECGSDLEATPRGKNC >Et_3B_029306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23917156:23921131:1 gene:Et_3B_029306 transcript:Et_3B_029306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMKVLDQTVREIKREVNLKVLKVPEIEQKVLDATSDEPWGPHGSDLADIARGTKRYGECQMIMNVLWQRLEDTGANWRHVYKALAVIEYLLGNGTERAVDDIIDNSSQIAKFTSFEYVEPNGKDFGLNVRKKAETVLAIIDDREKLQQVREKAAATRDKYFGLSSTGITYHSGAPSFASGSFSSGRHYGNTGSSWEADSLRDSYRSKEWGNSTKESVSDYSSSKQMSKENSSGATNYRSTKRQGHGRRNQNSLTSNTKPLSNLSATNGGPSSQNVNIEDDDDFNPRGSSSSGTTNVSSVHLDLFGPSLMDDLVNTTPTSTAMPNVETSAVPEVDLFANTAFQSANAPLETANGSHPEDNTDLFLGREFSVSYNPNKFSEQNFSLPTHTSGSAFDPSPPSFGMQFPSDNKVSVRDTPSKSSEVKCPTPDSATVFDPFAAIPLKSFDGTDSFGAFSSNTGSVPFDPLGGINSSDHSRSEELSFDAFASHSESTTASAMKPMNKSSPKVEPASMSAPKSDVKKGTFQVKSGVWADSLSRGLIDLNISAPKKADLSDVGVVGRLSDGSDDKGSVDTWYLETAMPGLGRSGFPSPGGSPNFQQRQEKIKAHRHGASYYSACDVHGKNSTRRLHFQNLFRLSLPFSLAPSSPALPCCPGRGSGSSASPDLFAFLSREKDRNVSSLDLAAATSRFKLAPAGLFGFSPRSGLP >Et_9A_062211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20723344:20729583:-1 gene:Et_9A_062211 transcript:Et_9A_062211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSAASPPLRLLSPLGSRCPLCSLGLPSSLRVDTILDKENFTLEELLDEDEIIQECKALNTRLINFLRDKAQVEQLLRYIVEEVPEDLEKKRSFKFPFIACEIFTCEIEIILRTLVDDDELMGLLFSFLKPDHPHSPLLAGYFSKVVICLMLRKTAPLMNYVQEHPDIVIQLVDLIGITSIMEVLMRLIGADETIYSNFADTLQWLENTDVLEMIVDKFSSSDSPEVHANAAEILSAVTRCAPPVLAAKISSPSFVGRLFRHALEGTRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGTLVTASPETVNGMLESLGDLLKLLDITSSENVLPTSYGNLRPPLGKHRLKIVEFISVLLTIGSETAERELINQSAIKRSIDLFFQYPYNNFLHHHVENIIISCLEVKRNHLIDHILNDCDLVGKVLAAEKNSTLSADSSGPTFLAEGKKPPRIGNIGHITRIANKLIQLGNCNIIIQSHLQENSEWVEWQADVLVKRNEVENVYHWACGRPNSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDIEEAQGSHERDDEDVYFDDESAEVVISSLRMGDDQDSSSLFTNSNWFTFDGDRGINDRLAASVPSSSPNSEETSLTMEDTDEVLTSEATGTDLQLDSVCLENGPAEETEELTVAKETEELTIAKHTETSTDGEKFLFTEEENSSEEPEASERPVDVQGDQVDAQAGDAAEVSCGEMGTESTVNESASSSEPDTPSANSVDIDNQSADPAGSNDPEHDSGTGLQVEGDSSVEGGDEKKPDAAIAKE >Et_2A_016324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23310968:23311800:-1 gene:Et_2A_016324 transcript:Et_2A_016324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASRLLLLLALLGLLLAVSMAEHEGHAKGSEEHDDNVYRVSKGGQGSLKIYQCSPQCARRCGNTQYHKACLTYCNKCCAKCLCVPSGYYGNKGECPCYNNWKTKEGGPKCP >Et_5A_040647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10876515:10879614:1 gene:Et_5A_040647 transcript:Et_5A_040647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVFDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGEAKAKDLGVMFIETSAKAGFNIKALFRKIAAALPGMETLSSAKQEDMVDVNLRSGNANSSQSQAQSGGCSC >Et_5B_045201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11719729:11722723:-1 gene:Et_5B_045201 transcript:Et_5B_045201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAQQRSPAACAAAAVPLLLLLLACCLAVAATDATPTPLATGGRRVLVANGLGLTPQMGWNSWNHFQGNINETVIRRTADAVVATGLAKAGYTYVNLDDFWADYQRNNKQGYMVANPKTFPSGIKALADYVHSKGLKLGLYSSAGTRTCSKQMPGSLGHEDTDAKTFASWGVDYLKYDNCNPDGTPETVRFPRMARALTNSGRSIFYSLCEWGYMDVAKWGGLYGNSWRTTGDIKDTWTSMLENIDMNDAFAQYAKPGGWNDPDMLEVGNGGMTHNEYVVHFSLWAIAKAPLIIGCDVTSISKETLRILSNSEVIAINQDRLGVQGKKARKYDDETEVWAGRLTRHRKAVLLLNRGSTRSRSITATWADVGIGLWVTVEARDVWKHKTLPGKFAGSLTAVVEPHSCKLFVLTPV >Et_7B_053764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1274137:1276142:-1 gene:Et_7B_053764 transcript:Et_7B_053764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVARFRHDPPHPKLVSFQRGSFEEGDTAMDKAMPRTDGHLGHCKDENATDPMENNFADVFIQLSLEEGSDDVMCGMSESVVRDVQKSAIELLAARAFTVSELRKKLRGKNYPDDAVDSVVAEFKSRGLLNDGFYAESFSRSRWLSSTWGPRRVPEAEVDQATRSVFQDGHGNANQTTYGISEASMDHLFAQASKQWQRGQSLTLENPRARVVRWLQYRGFNWAVTNAIVKKLEAKHPP >Et_6A_046376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1423892:1427268:1 gene:Et_6A_046376 transcript:Et_6A_046376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCERLVQAFISEYAVIDAKDAGKGSLLASLAEAAFLTGLERNSWNPDAIVFNSWQQYGTPSYWMQTFFRESSGALVHPITIISRYSDLMAASVITWKGTDNSFLRVKIINFGASAVNLTLSATGLQVGINTVKSRVTVLTSNNVMDENSFNRPNNVVPVTRELPGAGKEMQVLLGPYSLSSFDLALDQILIQLLGVKCVKCAVCSIYPEDARHGIFECDRAASIWRALGLNKENEALNATSSGAKMKVMKYQIAKSWF >Et_6B_049333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3291755:3296924:-1 gene:Et_6B_049333 transcript:Et_6B_049333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSRSRGRSGSATLKRHRGVGTGSGSTTQSLNDDTLRSVFSRLDDHFDLARCCAVCNSWNRIIKTAHIMRDLYYKRNPLARGSCSDISMKSYFEELAMDDHVSALSRGSSEVYQWTGHPMRATLCRMKSGSILTGVGDKILRLWSAESCKFMNEYNVPNAKTLVDFDFDENKIVGLTSSQLCIWRRSEPRSIFQSGGASWNHGLCMSYADPEVVIGCEDGRAFVYDMYSRSCSRIFRLHSSPVTCLTITDDLIVGGSRFGTIAVADHNSGQKLGVLKSTFAPLEIRCLCLSTNSQLIFAGSSAGYAHCWDLRTLRPLWEERVSPNVIYSAHHLPGDTATLAVGGIDGVLRLVCQRTGDTIRRLVVDADHQADSTSRSRNQIEKKRVRKVDPDARLDNIPRRLRPQITSLSVGMKKIVTTHGENYIRVWKFSPKSS >Et_1B_013336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7476186:7479824:-1 gene:Et_1B_013336 transcript:Et_1B_013336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQSLTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKETIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISANNIEIGIIRADREFKVLSPAEIKDFLEEVE >Et_2A_016472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25000797:25002553:-1 gene:Et_2A_016472 transcript:Et_2A_016472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIEVLTRVDVICNKYDKYDADKHRTDGAAGDPFSRLYAAIDEEIDAALEKSERAARENNRAAAVALNDDVRRTKERLQDEVVKLHRIAAKKVKGLSAEEKALRSDLIAALPHRIQSIPDGGAAEQHGGGSAGNARPGIKFNSSAETFDEGYYQTSEESEQFRREYEMRRLDFISEGLDTLKDLAEDMNEELDRQVPLMDEIDSKVDKANSELRKTNVRLKQTVNQANLGHLLAQFRSTRNFTIDIILICVILGIAAYLY >Et_4A_034226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3116322:3118737:-1 gene:Et_4A_034226 transcript:Et_4A_034226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEARATPGDCSRQFWPVLRHALSECCLIIMLFVTALVSYSATRLARICRLRSPCILCSRLDRLLHGKAWFSEELVCAAHRLEIARLSYCQIHQKLARSDDLCERCLRSCIGKPGNLKKISIDEKINARSRSRHKQRCSCCSVPFEKTSNAHRLSEIANGRLPDDDLSKEKKRRIAMTSVGHSSDDGSDHLPCEGYSKLKLRHDSESEIHISDDDDYDGNSIIHEARDQARDISSHDVQMPPLVSSNNGLSMLPSDNTVMKKSVKSTNTAKSGDRQSSDNKVGNVAKSSDRSIGHGLDEINWSEVNASDDMQWKAMPEKVCTELRKEKTFVVGIEEAGDTLEAVSGIPDDQATKGFAASVNAGTSSSADAHVNSNNSMKNASGSRGYPKSPRLSEIISARDTNSKTNEEVKTFLSQLSSARGFDGPWNDTVTSPRISAQIDEFRQYDSTGMASFLERNNSNLEPFDAASISEDEGESSLERLKKQTEHDKKKMSILYKELEAERSASAVAASEAMAMINRLQEEKAAMHMEALQYLRMMEEQADHDQEAIERLNDLLTEREKEVLDLEAELVSRNRFHDEPFDIGKFGATDGFMAFGALEDSDFIRDTMFDFEDEKAKILESLYRLEETLGMSSINRLDLGDTNDNILNGPLRDDPRRGTEYIEHPELGTSLLPLEQFNGESVSSKENDENQSVENQKSSDACSHLVDEKHPSMTNVKHEVSLLNTRLKALEADQNFLRQIISSLTCSSDGVQCVQEVTSHLRELRRIIAEQRDMAVS >Et_3A_024748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23931041:23934261:1 gene:Et_3A_024748 transcript:Et_3A_024748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPELPGVLAVIPDTLVKLHTTRSWDFLGLRLKEKATKAWSSANFGEDTIIGNIDTGVWPESRSFQDNTYGAVPSRWRGTCDAGSDPTFRCNRKLIGARFFSKGMKFLRKLEDDYDGGVQQPSKKDLSSPRDYAGHGAHTLSTAGGASVPGAGVFGHGNGSAAGGSPRARVAAYKACYEPAGCSSFDVLAAILAAVADGVDVLSLSLAGDVAGDYLTDPIAIGTFFAVQKGVAVVCSGGNTGPGPGTVSNVAPWMFTVGASTMDREFSAYVTFGGSTIKGQSLADSTLPVGNPYPMIRGEDANAAYVATANSSLCLPGSLDPAKVNGKIVVCVRGENARVEKGLVVKHAGGAGMVLCNDASTGEDVIADPHLIAAAHCSYSHCVQLFDYLESTDDPSGYITAMDATFDVKPAPAMADFSSRGPNPITPQILKPDITAPGVSVIAAYSEAVSQSGLPFDNRSVPYNIMSGTSMSCPHVAGIVGLLRTKYPWWSPAMFKSAIMTTASTEANDGNLIRDETGAAATPFGYGSGHVDPVRALDPGLVYDTTPVDYVNFLCSLKLTQDPLPNLPVPVNVPVNLPSVQPTLPLFDAAGNPCTCSQGSALRPEDLNYPSIAVPCLAGSATVKRRVKNVGAPSCRYTVTVAEPKGVKVNVLPNELSFGSNGEEKEFTVTLEVYDAAAAADYVFGSMEWSDGTRRVRSPIVAKTKCG >Et_6A_046291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12173527:12176270:-1 gene:Et_6A_046291 transcript:Et_6A_046291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDWGHVVMHNCARVTYTLGQVASTGEYKVLCISYPHGSEPLLCDIITLDRNNVGMWRRKPNPPARLMWSRKGTDCLALNGVIYLLYDDVLEPGSVGSFNLETEKWMPTLRGPKLSHSTAVVRDASEHTLTLAIDNVFPAATDLWFLMDSETSLWVRKYRLTVQQFASGDYVHPLLLLDNGRILFKGFRALKSYDPTTGTDVLPPAATPHCSPRLPSPLLCCAPATPLSAATLLLLPSPTPREEARRRYQSARGAFPSEAVASSRAGHVRRKPLAPCSWDGVVCAPQPDGRVVAINLVGKVLATRESSRRSCCCTSEPRLAVALLLLLCPTAAALLLLPPHKNHQGATAPD >Et_3A_024815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24494252:24497885:-1 gene:Et_3A_024815 transcript:Et_3A_024815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPPPGGDHRVGDAGDAALARDIVTLHKALSLDPSGRSSRRRSLPLPSPSPADKPRLKPSSSTRKLLPSTSSSSASTSSSTSSSSFWKKSLTAISHLGRRRLDCAFTLHVHSVDGLPASLNGSPLSVQFRRMSASASARPVAAALGAASFEDALTLRSPVYFSRGAKAAVKYEPRAFTVAVSASSLELGKHEVDLTRLLPLSFDDLEDGGDSGFGKWSTSFRLSGPARGARLNVTFSCTLVGVGGGGNQSKGREVAGLRHGSMARPVSVQASTPVPARSRDVRVLHEVLPSLRSAKALPFIADGVPDARKEEVPTLDCEDEGSPEAKHCTSVEIKKEDSVQPEGVCDPAEFDIMEEVLCNDDNVAEDQAVEVKTDEDFIDVAVQRENVGDVQDGIVKSASLPTAPKEAEGQLSADNELEVLESMFSTLSFAEPEDFDSPTVEDKLSRRLSWMGEIDGFKSASRKGRSRSMDASSDYVANEFLDMLGIEHSPFGQPSDSDSESPRERLWKQFEKEALASGNTILGLDIDDEMEEPICEEVVEDFDLSAIIQEAELELQNVSQPIDTRFRAKSLEDEETEALMRQFGLNEKSFQSSPPDSRSGFGSPIDVPPEPPHALPPLADGLGPFIQTEDGGFLRSMNPALFKNAKNNCSLVMQASSPIVLPAEMGSGIMDILHGLASVGIEKLSMQANKLMPLEDVNGKMMQQIAWEAAPALESAERYDLLDNHSIDAVGGVGNDTFGKKKKGKSADLSSSLGGENASEYVSLEDLAPLAMEKIEALSIEGLRIQSGMSEGEAPSNISAQPIGEFSSLQGKSAENTWSLGLEGTAGLQLLDVKQSGDEVDGLMGLSITLDEWMRLDSGVVSEEDQYSDRTSKVLAAHHAKSMELVAESRNGDKKSRRSGRRWGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERVFVPPKPKIYTTVSVKGNSEEDDEEPKTEEAPDKALVTEEKVEELEDSIPQFKVTEVHVAGFKSEPEKTKPWGNQTQQQTGSRWLLAAGMGKGNKHPLVKSKAIAKPTKEAAGHAGDTLWSISSRVHGAGTRWGELAGTKSHSRNPNILLKKDKRLW >Et_2A_015926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19170101:19170821:-1 gene:Et_2A_015926 transcript:Et_2A_015926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGNDLTELTLGPPGVSARKARRARNSGRPSSSATVQAFVKVSMDGTPYLRKVDVAAYDDYDELVEALNEMFCCASIGLMDGYGAWEHAVVYEDGDGDWMLVGDVPWEMFVASCKRMRVMRACEARGLSSNA >Et_6A_046681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19376366:19376612:1 gene:Et_6A_046681 transcript:Et_6A_046681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMRETSAKFLVLVTCSYLLASALHQPNPAGDNVTKSCIPREREALLTFKEGITIDGYNSEAKIAAGGLALPVAA >Et_7A_051258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16168773:16175260:-1 gene:Et_7A_051258 transcript:Et_7A_051258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLFGDNTSWLKEDLSNCTTSKRVQVLKEPLCAVTSASNLVALRSQDTLLQQVFVAANIPSGPEVAKKVDLRGVWYRDRKNLLHAASAKGHVDICRFLMEDEEGPGLHVNSRSATGDTPVLVAASEGHLPVLRYLLARGGDPGMPDALGLTPLHQAVHNEHCDAMRLLLSKGAPVDPLAGGRTPLLFAASNGHPQALGILLDHGADPNRIKDGIFSPLMMACGSRSLECIKLLLQALDDNLANIIKFLLEAGANPNIPDQNGKIPIVVAAVCGQRKLVEILFPWTKPIPSLPDWNVDAIIRTTKLKVKDAFSVELKEHLRNWKLKGNEAFTKGDYLAAVSFYDLALMINPLDATLLANRSVSYLRMGEGHAALVDAQRCRMMRPLWAKAWYRQGAALSLLKNYKEAAHAFEEALKLESTSDEIKKALRQMLLEAMQAMGSSEHDP >Et_3A_026478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8559557:8562709:-1 gene:Et_3A_026478 transcript:Et_3A_026478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQKQRRPAEVRGAVFAARFIPSPLSNFRSSCRRNLQFWSFAARYRGEADNVSLVCFAQVFWPKIVLKKWLNLRSKDLEFAADEDDDGSDIDDEENCDCENAGEERQRAGAGGDVNDENLESAPYKLRRRNSETLRAQYIDTKELRVCVGTWNAGGKPPPSDLDITEWLGTGGDAEPADIYVLGFQEVVPLNAGNVFGAEDGRPAAAWESLIRGALRGAQPSRPRYRCYSHPPSPSRFDPANDAAASDELLPGGTDTETDTDDDAPFGGVPVVAPQTDQPSVMEDSELINHGELDQPPPQLQQEEPEPEPAAQQRTLQRSLSRADRVGLVWPEQPLDLLPARTLSSAASFKASKSFRAYRSFRGSARVADSPAGDDELAMVPDLDVDADGALRRRSRSPFVRIVSKQMVGVFLTVWVWRGLRKCVQNLKVSTVGVGAMGYIGNKGAVSVSMSIYQTMFCFVCAHLASGEKPGDVQKRNADVQEIHRRTRFAAPGDMQLPRDIYDHERIFWLGDLNYRLDVSYQKAHELILSKDWSQLAEMDQLKRELKKGRAFDGWTEGILEFAPTYKYELNSAKYIGDDLKGGRRTPAWCDRILSFGKGLRLLSYRRSDLRLSDHRPVTATYAAEVEVFSSRKLQRALTLTDAEVEGGQVVPDLDF >Et_10B_003413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19575564:19581048:-1 gene:Et_10B_003413 transcript:Et_10B_003413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVILIFLSATIAGFFLIRGLNAEPDQFQDDDDKASESGSPRAPVPLHSKVGSAVKTGFWTMVDMASGRYLWRTLVAPPAKSESDKARFVSSAFGNAKTDFRQTPYLQTSRIGQHTDLTRRYHLRYIFKLQDDG >Et_7B_055023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6501113:6501884:-1 gene:Et_7B_055023 transcript:Et_7B_055023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSHAWERRLGAVRRFSHPSVQRHLLPPCRLSGVASTRDVVDEYHLFSAALLFDEMPMSSMEGYVSLEEIEEYNRMVHQTFRTDTKFNDFYNLYARCKEFSIEKRI >Et_1A_006209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19205204:19211511:1 gene:Et_1A_006209 transcript:Et_1A_006209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVQPLVSEFVLKLKRRKVEGSPAVARQTAELLRSVVSQHRMGSTNQAATLADAVRAVGQQLIAANPIELAVGNIVRRVLHIIKEEDISSTAVGIEGLSVTVDSDDEYDSEHDDRPTLSAAVLASHARNTLRAPSLQTLLDDIPVSTAISRTASSTGDSDGKTGDKLSTKKLKHDVIAAIGDLIDEIDNCYEPISEQAVELIHQNEVILTLGRSKTVKEFLCAAKEKKRSFRVFVAEGAPRYQGHTLAKELVEKGIQTTVITDSAVFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNELKSPSDLLDFGDFSDCMNFSTQDGSPLLNVVNPTFDYVPPKLVGLFITDTGGHSPSYMYRLIAEYYSADDLVVRRKCGKGPAIFAMEERDELELHSLSPQRHLLPLAHRWQPRSGQNHCRRGPCCHPQGFLVRFGKDLLAIEVASTSDDPDTPTVSCARRAWAGELR >Et_5A_042119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6432881:6435488:-1 gene:Et_5A_042119 transcript:Et_5A_042119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLQGVVKAELITLKVLDQLQALVDKYYAKAPEVSYGTGTFLFDGSIRVVGWKTLADRDLDDGDEIVFFEYSDGGGRGDDNQSQRLAKEAVQGGEINKEQQPIWFKQPNTA >Et_5B_045551.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:4265396:4265986:1 gene:Et_5B_045551 transcript:Et_5B_045551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLSLLHRALHLPRLPARRRHGFTTVVVRARLSSPEPGSQRQAAAGRLAVARPQEVIESAAATAAAETQQLLQLQEPAAAEDSSGRTCALPTWALIGGITAGVAAALALSAGPAHALGPEGPLVEEFWDNMRRYALYALTVSTGVAYTVLQPIVELLKNPITALLIIAFLAGTGFFVSQVLNAMVGNSEFIYRYE >Et_4B_037701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22699876:22701108:1 gene:Et_4B_037701 transcript:Et_4B_037701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSREKSVGFVQTEKCHFKTGSPPNPTQPYPAVAESLPQIPAAAQQGRRSRHLAAARQQARLYPPPPASTEAGVAGDGDKDGDISSRSGMKMGMGKGVFITRKRLAAVPGDPGGVALRGEGMGSLLGRAAAYGPLVQWTMVLSFRS >Et_9A_063291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19035748:19036385:-1 gene:Et_9A_063291 transcript:Et_9A_063291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDEKTRIKVVIVGHLSSGKSTTTGHLIHKLGGIDKQGMMRLAKDSAKVKKGSLKHAWVLDKLDTERERGITMGISMSRFETTKYLCTVSDTPGHHEFIDKVVTGTSEADCAVLIIDSTNGSFEDGMSGSGLTREVALIASAQGVKQMICCCNKLIIAVD >Et_3B_028524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16418442:16419487:-1 gene:Et_3B_028524 transcript:Et_3B_028524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQMNMMASRKPGDWSCRSCQYLNFCKRDACQRCGEAKLGVDRTDYGALAGDWDVKPGDWYCCVCGAHNYASRGSCFKCTTVKNDATAAVAQGWGYTVAGQAGMKSGDWICPRLGCNVQNYANRTECFRCNMPRSSYYGKLPLLCSIYMSVNSNGGISSTATDCSSVPTDLIFYPFLFRLI >Et_8B_059978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4657548:4664091:-1 gene:Et_8B_059978 transcript:Et_8B_059978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFSHSQGPQAAGPRRRRWGWLLPLLVGAAFLAEIAFLGRLDMAKNAEAVESWTTSFYRRSSAWGKDAAPGGRGDREDDEIRRCVERLEREDAVPYDRDFDKDPVLVGGAAKDFNKCYVGCEFGFSASKTPDATFGIAPDPSVDSILRSMESSQYYSENNINVARGRGYKIVMTTSLSSDVPVGYFSWAEYDIMAPVPPKTEEALAAAFISNCGARNFRLQALEMLENLEVKIDSYGSCHRNRDGKVDKVETLKRYKFSLAFENSNEEDYVTEKFFQSLVAGSIPVVVGAPNIQEFSPGEGAILHIKDLDDVASVASTMKKIASSPDMFNQSLRWKYDGPSDSFKALIDMAAVHSSCRLCVHIATKIHEKEEKNPKFMNRPCSCSSKKGTIYHLFVRERGRFKTESIYLRSGQLTLGALESAVLAKFRSLNHVPVWRDERPPSIRGGNELKVYRIYPMGLTQRQALYGFRFRDDSELEKYIIDHPCAKLEVIFV >Et_4B_038201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27081958:27084159:-1 gene:Et_4B_038201 transcript:Et_4B_038201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYQKAPDLEAGLGGSPVLYPGMTESPDLRWAFVRKIYVILAVQLAMTAAVSAFVVKVPAVSQFFVSSNAGIALYIFLIILPFIVLCPLHYYHQKHPVNLLLLGLFTVAISFAVGMTCAFTSGKVILEAAILTAVVVISLTAYTFWAAKKGYDFNFLGPFLFAALMVLLVFSLIQIFFPLGKISVMIYGGLASLIFCGYIIYDTDNIIKRYSYDEYIWAAVALYLDIINLFLALLELLRAADSN >Et_6A_047698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9911879:9914942:-1 gene:Et_6A_047698 transcript:Et_6A_047698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLPLLASSSKSTTTPFYLALNQRAITASSPPGEASTPPSDASDPSRQSNNEGGSEIIKAKIMSHPLYPALLRAFIDCRKVGAPPEIVGRLSALAGEVEMNSNDRQEQPEDPELDQFMEMYCHTLERYRQELTRPIHEADEFFRTMEAQIESFVLDDKSCELGGAGSSDEEEQETGVDTDGLPAETREEDKELKNRLLNKYSGYLSSLWRELSRKKKKGKLPRDARQKLLHWWQLHYRWPYPSELEKAALAESTGLDGKQINNWFINQRKRHWKPAPLPMLASPDYRLHPHGGASSSSANAGLRAEGHFFTGGSAYPRGL >Et_8B_059347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1722239:1724481:1 gene:Et_8B_059347 transcript:Et_8B_059347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPPPPLACFPPPRRRPARSVQPAAGGMAPKRGVRTPVPAKKKAEKVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLASTMFKFLLKYRPEDKAAKKERLLKRAQAENEGKTVEAKKPIVVKYGLNHVTYLIEQGKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEATKANFNDKYDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >Et_1A_009596.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:8901699:8902409:-1 gene:Et_1A_009596 transcript:Et_1A_009596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHAPGTERLLGSGDDGRSGWDVVKGFLWRILPGVLGALLALAIMLPLLYYPYLWSADNGKYPEYSVAVAGFSGLDPDADLLPPSRATLDPTFDLTLRIKEPRKYSAACVPGGTATVSYRGAQLARGPVQGFCGRSEGTAERGSVMAWGNAVVVPRFARGLLADELRRWEAVLDVALTTPPRYCQYCYQTVIECRPRLGGGEASPPCWVRTQYPTLPDDARTPVRRMLRFFGSKQ >Et_10B_003284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18473063:18473940:-1 gene:Et_10B_003284 transcript:Et_10B_003284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLDYMENLVRWIGKEDIPAPAPIEQRWTARSKSPVIPASSSEEDDVFSWVDIIMYLPTSDVHQRKLQRSSFITEHDTNKSLR >Et_5A_041848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3344708:3349137:-1 gene:Et_5A_041848 transcript:Et_5A_041848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILARALPLPLPPPAPLSSPRRRFLLPLRAARGPPVRLAACRAAKAMQVALDPTAAAAVGVGEELPAGYDQMMPTVEPARRRRAGVLLHPTSLRGPHGIGDLGDEALAFLHWLRDSGCTLWQVLPLVPPGRTSGEDGSPYSGQDANCGNTLLISLDELVKDGLLTKDELPDPVDIEYVEFDAVAKLKEPLIAKIEIFMAQQFLFQRQWQRIRTYAQKLGISIMGDMPIYVGYHSADVWANRKSFLLDKNGFPTFVSGVPPDAFSETGQLWNSPLYDWKAMEADGFTWWVKRIKCALDLYDEFRIDHFRGLAGFWAVPSDSKVALVGSWRAGPRNAFFDALFKAVGRINIIAEDLGVITEDVVELRKSIGAPGMAVLQFAFGGGSDNPHLPHNHELDQVVYTGTHDNDTVLGWWENLPEDEKEIVLRYLPATKETEISWALITTALSSVARTSMVTMQDILSLDSSARMNTPATQKGNWRWRIPSSVGFDCLSPEAAKLKELLALYNRL >Et_3A_026630.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:10817232:10818791:-1 gene:Et_3A_026630 transcript:Et_3A_026630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGVVGSAAMLGLDMHLAPQQMHPVAFQADHHHHVAGGFQLQQAAPAPVRQQQQQPPPSSFSPYSNSSSRVHDEEMAGNGKGGGGVQQQQQAGCPWTRMKWTDAMVRLLIRVVYSVGDDGEGGAAAGGGGGGGAKPKAGSSAAHAHAHAQQQKKGKWKSVSRAVMDSGFMVSPQQCEDKFNDLNKRYKRVVDLLGRGRACRVVENHALLDAIDELSPKAKDEARKLLSSKHLFFREMCAYHNSGASHGVSISHAPAQVGGDASAAAAAACLHHPPPQPMAAASSAARHAHPSPTGTKDSSADAADDDSEDGVSNNDDDDEDDYDEDDDGGAGHIYPNHQRHHHHRGSNGNGHGHHHHHHRNKRARGADDNSADFEDDADGSRGRGAPGEKVQQLQSELASATAADPSQARSWVRRRAVEVEREQVDLEWHAQQLETQRLRWERFRGNKEREMQRQRLRSDRAILDGQRMLLMLRQRDLELDIAEANSSSVEHHHPAQLAAGHHQMGSSPSTAGHPN >Et_1B_011341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20771470:20782100:1 gene:Et_1B_011341 transcript:Et_1B_011341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPSTEGFLDAGSCLPCTPEEERETVEALTREADENVKDGDLRYLVSQSWWVNWQRYVGLVKYEENDGEQLPHARNRPGEIDNSKLVSSETINCIDEPELQRTLREEQNYTLVPEEVWRRLYEWYKGGPEIPRKVYIDDPIRKSYIVDVYPLCFKLIDAKDSSERNIRICRKAKVRELYEMVCSLMSVEQSEIDIWDYFQRTKSKKLTNLDLALEEAQLVMDQELLLEMKADESGSDFSTISTNNELALIPIEPSTSSYSIAGGPFSNGYSSGFGSSFSQDNSFNSFLRDTEDGFGSFSNGTKDDTHGLSGLSNLGNTCFMNSAIQALVHTPQLVEYFLEDYSQEINTENPLGLQGELAIAFGELLRKLWSAGRTSIAPRAFRSKLTRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKKKPYIEAKDADGRPDDDVAEECWSYHRARNDSIIVDKFQGQYKSTLVCPVCNKISVTFDPFMHLTLPLPSTVTRMMTVTVFSGTGGFLPMPYTVTVEKNGICRDLIKALTDVCCLKGSEKLLLAEVNFILVSCSIDPKRLLHQTDFCIKPPTEWMVYDHRIYRYLTNPLEALYTIKDEDRLVAYKLPVDDEKLLRLEILHRKADRLTESNTGRKLIGCPLVTCIPKDSTGKSDIHAAVSAVLAPFVRAKVNGPDVSAVKLNGNGPSLDGIVLTDNGTTCEEGLSTSNEDENTANEEPLPFQLSLTDEKGNTRNTINKDSNHVFGLVMRVLMEWSDRELEMYNIDYMDDLPDVFKPGFMSKKTRQEAVNLFSCLDAFLKEEPLGPEDMYCPSCKEHRQASKKLDLWRLPEILVVHLKRFSYSRYMKNKLDTFVNFPIHDLNMSKYVKQTSRDDRPQVYELYAVINHYGGMGGGHYSAYAKLVEEDNWYHFDDSHVSSVNEDDIRTCAAYVLFYRRVGDSSTAAKDVLVDIDMVDSLET >Et_2A_017381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33789758:33792435:-1 gene:Et_2A_017381 transcript:Et_2A_017381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTIDRAQSSFDEEADDVREHLLDVNSLADEPKIRVRGLRRLSETTGDEILRGVDLDVPRGVVMGVIGPSGSGKSTLLRALNRLWEPAPGAVFLDGADICGIDVLALRRKVGMLFQLPAMFDGTVADNVRYGPQLRGKKLTEAEVKNLLSLADLDPALSSKPASELSVGQAQRVLLLDEPTSALDPISTQNIEEAIVRLKKTRGLTTVMVSHSVKQIQRIADLVCLVVAGEIVEVLAPSQLSDAKHPMAQRFLELS >Et_7B_054178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16598527:16603589:1 gene:Et_7B_054178 transcript:Et_7B_054178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAAGRRAGVGGGGRRRAGCGGQAGQQQVNQQQAQQQRLLAVAVAARFAEARTTTTASADAAAAGAGAGTCCIEFLECLLGALGVTAGAVTPAAPAQYRWAVRSIRRRRRGGGSASPRGASADGRRDGAPGRIAGNGASASAAASLYTMQGKKGVNQDAMVLVENFGSKDGTIFCGVFDGHGPNGHLVAKRVRDLLPVKLIANIGRDDYKETSTSSIMNGTANGGTTQHVGEGADAAHGNENGEYPEIFTALRASFLRAFYIMDRDLKMHKNIDSVLGTRDENNQLVAVQLTVDLKPNIPSEAERIRQRRGRIFSLPDEPNVARVWLPTFNSPGLAMARSFGDFCLKNYGIISMPDVSYHHITDKDEFVVLATDGVWDVLSNAEVVSIVNNAPSQALAARFLVESARRAWRTLYPTSKTDDCAAVCLFLNKETTNTSSSSETKVLAGDAESSSSKHSLTVKSSAGVPANLVTALIANEEWSILDGVSGPVTVPALPKPSSVAKESIKD >Et_1A_007938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39662725:39665947:1 gene:Et_1A_007938 transcript:Et_1A_007938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVLSAVARVLEQTTVWGAAWEMALLAGPLWAAAIIGLLLGWAWRPRWAAGLVATADGRHNAPDSSPPFASVEFWKAQLSARLRAPLSYAGGGATATAVQEKQADAAMQGSSEKTNDELAVGKDDLVNLWRLVEGRDGGPAWIKMMEKELPTFTYQAWRRDAQNGPPQYQSSTIFENATPEEVRDFFGDDEFRMSNKWDDMLIYHKTMERCQTTGTMKVHWVRKFPFFCSDREYIIARRIWNLGGAYYCVTKGIQCSSIPRRSKPRRVDLYYSSWCIRAVDSRRGNGAMTACEILLFHHEDMGIPYEIAKLGIRQGMWGCVKRIEPGLRAYQKARAIGEPLSESAMMAQINTKVGDNFVRSLESNSNESDIVEAEEKPARNHMARFLVLGGAVALACTLDQGLLTKALIFGVARKFVGQRKTL >Et_1B_011229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1970039:1973560:-1 gene:Et_1B_011229 transcript:Et_1B_011229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLNRRLQTERLNEEAEGDSGRDAASRVSRRDVRAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNLSVASIYAGDESVELKGSEVIADLKYLLNLLTLCWHFSKKPFPLFLEVTGYSAEDVLMQEPKAGILKPAFTILLDRDKQCILLLIRGTHSIRDTLTAATGAVVPFHHTIVQEGGVSDLVLGYAHFGMVAAARWIAKLAAPCLAQALHTHPDFKIKVVGHSLGGGTAALLTYILREQQEFASTTCVAFAPAACMTWELAQSGVHFITTVINGADLVPTFSAASVDDLRSEVTASAWLNDLRHQIEQTRILSTFYRSASALGSRLPSIANAKARVAGAGAILRPVSTGTQVVMRRARSVAQAAWTRPALQLSSWTCIGPRRRNNTSSTSTVTSEELRTSTSGGSESTSLLNETTEILASETIQYPSEEVQSSVAVAADAVGMLDDKVDSDEDITDHHVDEDRMTDAELWQQLESELYREREGEDDEIVEEMTESTIAEVGGESEDVLSETKEVHRFYPPGKIMHILTSSREEMVNEEESDVHEDDTNGESDSSMGIFLTPRSLYGKLRLSKMMINDHYMPIYRRNIEQLIAELEKDSSAPTDDSVNNTS >Et_7B_054319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:204815:206377:-1 gene:Et_7B_054319 transcript:Et_7B_054319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYEPVREIGAGNFGVAKLMRNKETRELVAMKFIERGNRIDENVFREIVNHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICEAGRFHEDEARYFFQQLVCGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSRPKSTVGTPAYIAPEVLSRREYDGKHADVWSCGVTLYVMLVGAYPFEDPKDPKNFRKTISVLLTLVFSASLPSFLVSQRFTERNANANAMQRIMSVQYKIPEYVHVSQICRHLLSRIFVANPYKYLAKTNKQPIVQTMQRITMSEIKSHPWFLKNLPRELKEEAQAAYYSRRGGGDAIAGASSNGSAIAGASSNGNINAIAAYSLQSVEEIMRIVQEAQTVPRPAKPVSGYGWGASDDDEEEQQEEEEDEEDDYDRTVRQVHASGELDMSKLQI >Et_8A_057471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3348315:3349620:-1 gene:Et_8A_057471 transcript:Et_8A_057471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARGWSRALGNTRSFVGNALGGVRGWNNLASWVVAGSLAYYLWVKPARELQKEQEERAALAAASDPYRYVEKRKPIPDPQDTGLIYGKKKEPTKSDD >Et_3A_026635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1141401:1142494:1 gene:Et_3A_026635 transcript:Et_3A_026635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAEALGLPLLPPSQAANQSFREGANFAVAGATALNRTFFVEDGDQSVTPYNISLSDQLGWFDAMKPSLCNSPQACKEYFAKALFFVGEFGWNDYGFMLLAGKSVDEIRSHTPQVVGMICAATEKLIKEGGKTVVVSGLTPMGCATGNLVLFGTQNEADYEPDTGCLKDLNSMSREHNLQLRRDLAQLNGRYPGARIIYADLYAPIIDFSLTPARFGFNGTDGALRCCCGGGGGRYNFNFTALCGMLSASACSDPSKYVNWDGVHLTEAANRHIADGWLNGPYAHPPILSTA >Et_3B_027896.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25271197:25271871:-1 gene:Et_3B_027896 transcript:Et_3B_027896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVGKEYCDAEERVLTVRKTSHFSPGDGFAAYDHRTGGLAFRADTYGRGHGGGAASPGELALLDPTGEPLLTVRRRRPSLHQRWEGFLGARADGQRPLFSARRSSILGGAAAGAVVELASPLPSVPPLLLRVDGSFPRRCCRVVAVPRGDGDQKEKIVAEIKRKVDVGARVVMGRDVFVLKVSPGFDAAFAMGIVLVLDQIAGDEAGVVDAGEDVLDAVAKI >Et_10A_000299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21965813:21967918:1 gene:Et_10A_000299 transcript:Et_10A_000299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GTSPDHISVGSAPKKSSSSSRGRQRNFSLSTCKDFLREFVDNELLTSSLEDWFSGHSEDCGFRKPTFDAPFDLTELQNFDYALEGITFQQLVRMPNALHASTSEVFEATAYLALEDFLHAGIKGLWETFWGEALFFALRVLLSRSLSRSSTVLRNSDCVYLLLVDSQFGGVVKVQGDLNKLDFNLNNVYECAAEWIKKHAKITVSSVDRVWNKLGNANWGDVGTLQVLLAIFHSMIQFCGEPKYSLDELATEHSSRLQSRRSERHLDRQANENGLFRFQQRSHSPEIVEVQEEPTVDVKPQETMKLEIGSIVLMEDSYCQKGFQINDILTDSDPPIYTCTPVEEPTKTYLLYVGSSPSHLEPAWEDMNSWYQVQRQTKVLTLMKQRGISSRYIPQMVASGRVAHPGPCNKTNSSGTCGHPWCSTPILVTSPVGETISNLIRNGLFGVEEALRCCHDCLSALAAAASAGIRHGDIRPENLIRVSNGSRHPYFVLNGWGHAILEDRDRPVMNLFFSSTFALQEGKLCTASDAESLIYLLYFSCGGVCPELDSVESALQWRETSWSRRVIQQKLGDVSAVLKAFADYVDSLCGTPYPMDYEIWLRRLRRTINEDHGKEIDTSS >Et_3A_026279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6430047:6430818:1 gene:Et_3A_026279 transcript:Et_3A_026279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRHTNHVRQRNTLKFRSHINSVTVVATIANCFEIITCKAMRAACSDPAVARRVALEKETATKWLDDDAASYDALVARLAAAGNPEACFVAGMALILAHRNARQGAAALDRAAAAGHRAAAYVLGLLLYRVDAARDAAKQYIAQVEGDGGGQAKKTNRECRRCRTQALVALRDATWKMAGPRVMAVTALPEDGHRCTARGCGLPEDWSGDAVFCSDVCRIRHEYVEFFSLVTLPASGSAS >Et_6A_046845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21313048:21316820:-1 gene:Et_6A_046845 transcript:Et_6A_046845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLTAIPQLQVVVWLHLLVLSPSLVLSRVPMLAAAAMGSKKGPPPHCPSKCGDVKIPYPFGIGEGCSWEKSFTIECNYSHNPPRPYYGVYEVMDIKLDTGEMRVVNEAVAHICYNSSNTISSSRPWRLDFTGSPFLISSRNEFTGIGCHTVALLGGGDWDWDADGSYLGGCVTTCKSLGDAAGDGQNCTGRGCCQMGTPSGLDIVQYGWSKTAIPNNPAWNYSSCSYAFVAEKGWYHFNQSDLNGSGEMAFHRSFPKNRAPLVLNWAILSNDTDPCISNHSERFNVSGGYRCTCSKGYAGNPYSTDTDQCKKIRGERQPILSTALIAVLATVGPIIMLAVIIWFGRKKYKRRMQRGFFNKNGGDILKRMNINTFTELQLEKITNNYNNPIGSGAFGKVFLGTTNDNRRVAVKRSIVEGENPWNDHELANEIAVQFWINHANLVRLVGCCLETDVPMLVYEYVSNGSLYNVLHGGVKPRALPLPARLDIAIGSAKALAHMHSHGGHNLVHGDVKTGNILLGDNLTPKVSDFGTSKLASIARHANWCVIGDMSYIDPAYIKTGRYTEKSDVYSFGVVLLELITRKKAKYDRDSSLPIEFVKTCKEKGNGREMYDADIFSDGNAQSRCYMECLDRIGALAVQCLKEDVDERPTMIEVVEELKLSKLSACEGSCSDASERLS >Et_4B_036450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24829807:24831237:-1 gene:Et_4B_036450 transcript:Et_4B_036450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRVFFLPFFARGHLIPLTDLACRLAAARPADVESTMVVTPANAALIEPTVSRAAAAGHAVRVLRYPFPDVGLGDGVPSHEALLRAHRPDAVVADVPFWWTTDVAAELGVPRVTSHPVGAFPQLAMNNLAVVRADIVGADAGAAVAVPGLPGTEEIAIPVSELPDFLVRDDHLSALWDRIKASQLAGFGVVVNTFVDLAYFVGPISQPSLSTLNRGGDGNVECLEWLSSKPSRSVVYACFGSWAHFSAAQMRELALGLEQSNQPFLWVENGWERRVAGRGRVVRGWAPQLAVLAHPSAASAGVPVLTWPLVFEQFINERLVTEVSGFGARVWDGGRRSARSEEAETVPAEAVARAVAGFMEGGARRERMEGRARELAERARAAVAENGSSWRDIHRLADDLVQARASGIQG >Et_3A_023550.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:34091020:34091256:1 gene:Et_3A_023550 transcript:Et_3A_023550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVIHVVLRVMMRRSFSRLQEVVGMAVEVGTALFVAVRISGLVFRRPRSSSISGSSTTYYYSPPAASLMGMSRIDRH >Et_1A_006402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21958424:21959925:1 gene:Et_1A_006402 transcript:Et_1A_006402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSPRAAELTTLLESRMTKFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGKGALSDHKRRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQQELIIGDRQTGKTAIAIDTILNQKQMNSRGTESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVADTASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGQRAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVISITDGQICLETELFYHGIRPAINVGLSVSRVGSAAQLKAMKQVCGSSKLELAQYREVAAFAQFGSDLDAATQALLNRGARLTEVPKQPQFEPLPIEKQIVVIYAAVNGFCDRMPLDRISQYEKAILSTINPELLKSFLENGGLTNERKMEPDASLKESALPYL >Et_8B_058773.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3207516:3207551:-1 gene:Et_8B_058773 transcript:Et_8B_058773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQSMVLEIP >Et_4B_038599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3182206:3187665:-1 gene:Et_4B_038599 transcript:Et_4B_038599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGEGELELSVGVRGGGGGGGAAELAEPPVPISLGRLILAGMVAGGVQYGWALQLSLLTPYVQTLGLSHALTSFMWLCGPIAGLVVQPCVGLYSDRCTSKWGRRRPFILTGCLLICVAVIIVGFSSDIGVALGDTKEDCHLYHGPRWHAAIVYVLGFWLLDFSNNTVQGPARALMADLSGRHGPSAANSIFCSWMALGNILGYSSGSTNNWHKWFPFLQTKACCEACANLKGAFLVAVLFLTLALVVTLIFAKEEPYKGNQNLPMKANGQVEAEPTGPLAVFKGFKNLPTGMPSVLLVTGLTWLSWFPFILYDTDWMGREIYHGDPKGTDAQIAAFNQGVRIGAFGLLLNSVVLGFSSFCIEPLCRKLGPRIVWVTSNFLVCVAMAATALISWWSLKDFHGYVQDAITANKDIKAVCLLLFAFLGVPLAILYSVPFAVTAQLAASKGGGQGLCTGVLNISIVIPQVIIALGAGPWDALFGKGNIPAFGLASGFALIGAIAGLFLLPKISKRQFRAVSGGGH >Et_2B_020984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25455657:25456845:-1 gene:Et_2B_020984 transcript:Et_2B_020984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVQVAMGRGRVELKRIENRVNRQVTFSKRRKGLLKKAHELAVLCDVQLAVFIFSERGKLFEYSNPPCSMSDLIRRYEIASNTQQLHEAHRHDQQLLMEMTRLTNEKEQLESNIRISTGEDLSLLSVDELNDLEQYLQSVLSKVRARKTQLLDDLRRKTDESSHDAAADGVETEGMAPSTTLSGSPFPMEPVSASSTALQLWPQPADSAGGGFGSSSPRGATSSCRTRASPGGCGLQLW >Et_6A_047970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26608608:26614830:-1 gene:Et_6A_047970 transcript:Et_6A_047970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEAAAAADSSGPRFAPDDPTLPAPWKGLIDGTTLYYWNPETNVTQYEKPAAVAVPPLPSGPPPANPAQVQEPAPGAFSQPNVQFGHAGHQSVYPQAGQVGQPQQQPQQPDQQPAFQHMPQHQASFPQAQQMQYQQQQQPPQMQQQPPQYPNAHPQHMPYQHGPYMQSQQQQPGSQYSYQAGQQPQMPQTAYNQVQQPPMPQAAYNQGPQPPVPQPSYNQGQKTAIPQSTFNQGQQPQMPHGAYNQGQQPPSVRIPQSQVQHPQQSPSFHQPAQASQLPQVSQSQVLKMPLQQVQTQHGLQFTHQHGKQPHHGHTGAQLSQMSHTQPGSLLKDDVVGGHEGKQSGFSLPHGQQRGQALLPNQQLPPSLQHPGVHTQLNISGVGGTSYPGKHLPGGSSPADNKNMSFMTPPAQMHQAGLDTNYRQQPVSGHAVPNHVGPSPIRPPMGFEIGKSEGQRDEAHSYGRFDGTNALQQQPKLASLPPPQIQLDMRNGPPYLRPENFAGYNMPPPHLVPNPHNHGPLPSEVSMRPPSRMLGTPDFPSIFSPDAYRQHHEVTAMGENVPAPFMTFEATGFPPEILREIHAAGFLNPTPIQAQTWPVALQNRDIVAIAKTGSGKTLGYLIPAFIHLRRCQNNPIMGPTVLVLAPTRELASQIQDEAVKFGRSSRVSCTCLYGGTSKGPQLRELERGADIVVATPGRLNDILEMKKISLHQVSLLVLDEADRMLDMGFEPQIRKIVDEIPPSRQTLMYTATWPKEVTRIAGDLLRDPVQVNIGSIDELVANKSITQYVEVIPPMDKQRRLEQILREHERGSKIIIFCSTKKMCDQLSHSIGRSFGAASIHGDKSQAERDHVLNQFRTGRAPILVATDVAARGLDVKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAGDLVKVLQGANQQVPPQLLDMAARCAPGAQRSQTSAMSRWDGPGSGRFEPGVGGPIAYGGIREAPGGFGSPGGPGFGGREGPGGFGGREGPGGFGGRDGPDGRFGGRDGPVGGYAGRDGPGGFGGRKGPGGFGGREGPGSSGFGGRGGRGSGGFGGRGGASSGGFGGRGGRGDSPGFGGRGRGDFSGFGGRGWGDSPGFGGRGRGDFSGGRGGRGRGFGGRGRSDRGPAITGAAPNPVSVHGAAVPPVSALTAVQSQADTSGMSLMSPGSLVQEAAPLVGGNNMAGPQAGQPF >Et_9A_062491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23500637:23502556:1 gene:Et_9A_062491 transcript:Et_9A_062491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQRNIVDVYKLSLGDDAWTIHLSLSLADMEDFAPIMNAVLVGNTICLLATVHGTTKLVLLDLGSSSLSLVELPEDVEDVGESFSQLSRVDDSIHLILVKGCRINVWTWQMDDGSWSLLNSFDLFETCGGLSKSPDMELCRGGAQAELVHVRINCYVYMLDCKRKIIDKTNHFLFIHYDTTAFDGKPLEIRLGKSVLIMELINNYALAYGQMNECPSARARVGSTGKDVLLFIDSIYRLTQVIQTLRRRLFLDVFPFLWYTSQPLPLILENCKDKITAMNKGSITSIQAINLPADELTDPAPATTFDHLDATTLLSRQVCPLSLNNTYILGVLDGRYDDLPEQ >Et_3A_025022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26120810:26125489:-1 gene:Et_3A_025022 transcript:Et_3A_025022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQAPPLDGVSPDPLVVADELHPPPPPPPPPLEAEDVLPSGTDEQTSPLDAVAPEPPTVAEELQRLEVEKDVLPIAADTVDAAASEVPEDGAAGGVVLTDELRDQIVKQVEYYFSDENLPTDEFMLKFVKKNKQGFVPIGVIASFRKMKKLVQDHSVIEAALRTSSKLVVSSDGKRVRRLHPLPHTESKDAKKSTVVVENLPPGFSLESLREKFGAAGKIVNITICDPHSVKESPTPKKTDFILSSKIHALVEYEAVEAAEKAVTTLNDERNWRTGMKVRLLAKQSVKGSGKYNQSSKESQDSVSKRNNQNHPCKEEQNTTSEKSSGAYSVEGSTDKENMNSVSTPEDELQLQKPNAKGGRKGRYKGQGKGQIQQNAKVQGGSGSESLNKPIPGPRMPDGTRGFTMGRGRPLPLQKSEKVEE >Et_5A_040511.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:2901519:2901683:1 gene:Et_5A_040511 transcript:Et_5A_040511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAWMIWKQRNSRVFEDQSATVQQLTDLILQEVTFWATARAKNLARLQAWVIG >Et_6B_049915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17693374:17695246:-1 gene:Et_6B_049915 transcript:Et_6B_049915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNRTKKPGPHAYTSAKKRALHAALHSQSYSQSAPALLSMGTSSPERWSAMILFVPPTRSPPSILASSLSIFRPRGSRSSSCTAVFTPKLAKRDVTEWHMLQLLVVNTTTARSEASFATRSIKGGFLLRDAMASIRDVAGRQRHGSWF >Et_1A_006877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28570452:28582772:1 gene:Et_1A_006877 transcript:Et_1A_006877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQAAHVHMATLLDKHAQAASIEHSNQHVELANQHECTVIAVLDAALAYMEWTPLCDPANLDIIQRKRSVEPGVAVSIMFPILMNVSHDHLRCMEPEYHGLDSLWPSLGYHTNVHAKTVDRLFELLSTILETCQDPSKPHSDRLLTCSTPMSQGDEISCTSVLENGTVVSADPLSDSDDYAGPTKLDGTVVSADPLSDSDDYAGPTKLDTSKEADYLVEENNTLSEAFIDVPSCPWYLTLRSSMIQNYTELLSSILFPLSKIWGQLEMHHLCDSQFRTSLHNVTVFFVEERGIYQEEKSNHSSLKALVPLILSMLLKLLQYVHSLDMEELEEAVDSYCIEATDGDELLESIRVWLIDIRRNGYKFIGMCAYLDTAFDEWLKSTLICGVLRKDIESMDFRHVTWIIEYAIIPLVEKCPPKLWTEWIDSLLQPLCHYCDYTLHASWCNILYNDTEHVNETFDDNCVSEEEGKNLRMGLFKLTREVSNLLAAVASPELNDGKTNEGSLISYLLHHDGLNDSIRRLIRNIFGYWTDNDAMMKAALFCRKLFHLASITRSDNLISCVKDDIIPNIIRYLALQPNLKSSSNMDDVLTQLCKDAYNCIHNQKLTEECTNDGSSSDTFKSWLRKQIKVTQYQNTSLDELEEFAWIWEIEEEFRGYLPTYTAMLHAVDKFGDGLKRKMSSLYWKRQNEQRLKFYCKLIKFKPYIKCTGSDENLQQLIENNSEEWPLLPRFHRDSACEVDIFYYINLSPSAKFLKITLISLLYQIFCRVLELWEPQFHPLIREGHMNVLTEIAEQLASGEYNDSLLPFQAVETDFLGHLQPYARSYIDEKNKETGRREKLLNDQDQLSLYYKRMESLLVNEKLRDSLQSLMSDLEAEEPAKNKELTGEFKEER >Et_7B_053748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11945545:11949251:1 gene:Et_7B_053748 transcript:Et_7B_053748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSIMGKSTNGHPLLFHSEVDRHHYSVAAVAVGLGIGVAGLFKALHSGFTIPWVSPRKFFSGSGRVYYIGGLQNLGNNCFLNVILQALASCDGFVSFLDYLIQIDDALPEEKAERMPLIFALSSLLEDLSIVRDERTTLNPKGVMHALTSYDASEAFLHLLISLRDEFSHCYVPHRSSLADITQSQSKVYKQREGNQPECKRWKQNLFGPFDGTIGSILSCRNCSSVLSMDFENFHCLPLAPVLNINGDIMSGCSLVDCLKYFTMVERLDNYRCDRCWHIAAAKYLSHKSEVDEGKVSRLHTCVDYSTCSCRLMFRPEEMPCLPSSRATKQLIISQCPKILCFHLLRASLGIDGEPIKQAGHISFPLLLNLSPFVGGALSTVQGSGPLTMNAQRDGQQALHLYRQLNMQMPLNVSPTGGNLPRQPYKEEVSNNSGCSIHTGNIDVACSLSSSSRSKLYGLTAIVEHYGVSGGGHYAVYRRVASNCDANDPGQTLRGLGRTWFYISDGHVSQVSEDDVLGAEATLLFYERL >Et_6A_047040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24569029:24573444:1 gene:Et_6A_047040 transcript:Et_6A_047040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEPGPVPTGGDRLSSLCDGVLGRILSFLPSDEAARAALLSRRWRHVFAAVDVISMKETAERPIPEWEDGDWSPSGYDRPDVDPFYVPSQPFVNRVNAAMLGRIRAPRAPIAPLRSLRVAFKEFEGTDARSATAVDGWLSYATIQAGDELHVDLRFDEEPFCESAYALRPPDDVDMMVDNHMEVLDHRDDGMEEEEEDTDDDDMEVAEEPATSEETEYVVPNFLFRCAALRTLRIGPCRLNPPASISLPSLDTLLLTDVSDQNAAIKRLVSGCPRLADLTLQACNNVTKLSVPRTTRLRRLALRCCHYLEVVAADLSELRAFEYRGGVPPPKFRRSNHSPSRITSCVLDFCGEEVSDSGNLVRLRNLFHLFPSATHLQLKSARLGAGVGHGVFSSAPAFPVLTSLRELELTGIVLDEDTTMIATVTRILERTPSLEILSLFFMPALVEFKNKTYNKEDIVNEHWLKYDRYATLVFPVGKKIRCLRRKTKEINLVHYQGALAQRTLANNIVDREVQLQLQDLCHIEILKKPPEG >Et_1A_008786.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12874604:12875092:-1 gene:Et_1A_008786 transcript:Et_1A_008786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQRGERELQLLLLPTTTPRGAGATRPPPASPSSDHPQLDLTLSISIGPPAPETAADDHQSKKSAEVQAVKRQAAEQARMASAERAYAERVMELARRELELAEREFARARAIWDRARGEVEKVERAKAAAAVRRIAAGSGAAAAALEITCHACMHRFHHAC >Et_3A_026614.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:10551201:10551563:1 gene:Et_3A_026614 transcript:Et_3A_026614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSCSAGASLVLVFLLLAAFLAACDGARGVPAEPEKPLQPQNVFGFGGFYPGPSVSWVFPGPNGVTPQIGFGGMPGSGAFPGFGGGAGVAPFTPGGGGGGVVGIHGGGGGAGGAAKKP >Et_8A_056199.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:14645220:14645471:1 gene:Et_8A_056199 transcript:Et_8A_056199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMTAACLGLAEETVTGEHKARGACPRCGGAVVATEVESVRRVLGCLPLCIKNKRKFSCSRCRRSLVALYADAANPIPSCS >Et_5A_040933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14984454:14994047:-1 gene:Et_5A_040933 transcript:Et_5A_040933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRKDVRSALQSAMRESSTSACASSAVPEYPIAGTVKPVLNYSIQTGEEFALEFMRDRAIPKKPIVPGMSQDQHATSAAGHKDSRGSLGAHRTRAETRFDAAIFLTSDNQETGVVERKPFVENENRSRYMSTMSVPRAPSGGGSSHGLSVGYASSEASDTSRRFKILCSFGGKILPRPSDGKLRYVGGETRIIRISRNISWQELKLKTSAIYNQPHVIKYQLPGEDLDALISVSNDEDLRNMMDECGFIDNGDGSQKLRIFLVSSIEFDDMSFSLGSMDSDSGIQYVAAINGMDGGSVKPSSGHGFANTSMEEFAQFINLNIDSGQASLSRDSSNLHPVNASNFVPPAMLSRPMASDLSGGNTANLHSYPSHEMQHLQSSDHSYPGSSERYDIEGQMSIPLSAPSDYRYTTQYAPYSGTASLRSFDQQSYPEGIAEGSSKEEKWAPAKLPDRNNESDYFQSLENLSANMTHHDSSVSNFMPSGLPTTASVEEALTSCLQPSDSAKSLETCSASRARSVTQGSEISEDDRQSSEAFVSGCSDFQVDMMEPSYKNPPPHPGRVFHSERIPREQAGFLNRLSKSDDSLNPQFLILQSQSGDANEHIAEHAAFDGNEKSNLGSQEINLNNPAVDDGLIEFEKEFVRSAPQTSSFSEQVLGEQRSSNDISRNEEKATHAAEKVIAKGKLNAETSYDIETENKLGSRSTMSHHADWDASKPALPTDVQHEPVVPSSTSSVDVSHKEPIIPSTQNKDNAVPSQTNVNSGIPPPPPTFPSTQNDNPPMMKNVEGFQVDNPYTNMHEMMPSLPEFEEPKVEEGRPVGPVMDASFKDNDFEYLQAHEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRKDKYLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPASCDPEWRRLMEQCWAPDPAHRPAFTEIAGRLRAMSVAANQSKGTK >Et_2B_019484.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6908286:6908534:1 gene:Et_2B_019484 transcript:Et_2B_019484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVLVCHADAAALCAICDAQVHSANPLAGRHQRMPVLPLPAAPIPAASVLAEAAAATTAVGEKEECTQSLLQRYVEARIAI >Et_4B_040058.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:8778036:8779460:1 gene:Et_4B_040058 transcript:Et_4B_040058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLRGKCIGRGAFGTVHLAVDRATGRAFAVKSVDTKRAPAAALACLESEIKILKRLNSPHVVAYLGDGASGTTRDLHMELVPGGTAAEAAAARAPGGIGERAARGVLRSVAAALRYLHDDAGVVHGDVKGRNVLLTGSGDGGAKLADFGAARMVSDDDAARGPRGTPAWMAPEVARGGAATPESDVWSLGCTALELLTGKRPWAAELGGASEVSELLFLIGFGGKRPALPASLSDSCRDFLDKCLRRDAGQRWSCDQLLRHPFLFCSDALDNDAGEAFPSPSPRAVLDWAAMSSDSDSEAALEDDAEPESEHEVMARAKGRVAELASNWPRASWEKLDWGTSTTWAADTWAPLPVSEAPRAAPSTSNSDAGNDSAGGPLASASAAAGRDQVVAGDGFLRVHDRPSCHCHCCDHKYEFGVGWPPLAIVFGLVPCTVVSSLIQYNQNFVSNQASCAFRVTFWLSSSLILIETAAD >Et_8B_059864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3425066:3432814:-1 gene:Et_8B_059864 transcript:Et_8B_059864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRHLITGQNNCALDGASSSNPFGALANAVLGQASKTQPIKELPGPAVGGPSTSDLGAAGPLSTIPGSENEFKQDQRPLTWGADFIRGGPANDWVESFRPPGHAEFGGAESRFAEFEQIYNNTGTTFGPPLDGPPQRVLSGVLHSFLSSGRAGVPFQPVPVPALGLSESDKQCIRDRSCIMARHILADQSEEYIQAQVSTLLHSLDIDNQMRGPMHGPYPEMEEYWKQSQSALRSGPTHNAADNWVTEFGRQNNNPEDWAHSFEQQFGPNGWASEFEQHQSQMATGQMGGANMANLAAMEQSRMLAQTLASNNDPKFQNSKFFQFVSKMSRGELIIEDNQVKQGSASQSSGWADEFQTQYNANANSWADQFAHEELSQGADKWVSEFSSEHNQGGLNENWIDEFSKLNVTDEWAEEFSGGGFGESSADPWADEFQEQLVAAKQSSGASRGVYVFSEMNPYVGHPNPMQEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGVTHAENDDDQQAIAAMMRAQEADPRNLEVLLALGVSHTNELEQGEALRYLYRWLQNHPKYGALAPQSVDSPYGPDVVRLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIASFKTALQLKPQDYSLWNKLGATQANSIQSADAILAYQQALDLKPNYVRAWANMGISYANQGLYEDSIRYYVRAVTMNPKADNAWQYLRISLANASRADMIAACDSRNLDALQKEFPL >Et_1B_011871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26693121:26696562:-1 gene:Et_1B_011871 transcript:Et_1B_011871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGNSRKRTHWNSDDGKRKRLNSRHDDVSLPSEPIETIYRILCPVKKIGGVLGRGGDIVKALREETKAKIRVADSIPGADERVIIIFNHRNQSERADKSAENISNLENVKPHCSAQDALLKIHDKIVEDEFAHQKSETIDDVVARILVPGNQVGCLLGKGGSIIQQLRSDTGAGIRVMPSENLPLCALKSDELVQVSGAPTLVRKALYEISTRLHQHPRKENPPLEEIIDASTQRKHEPPPSLSDGNPMLPYMHVDHPPPIALLDPYRNGPLQYPAAEAEEFSVRILCASELIGSVIGKNGANVRQVEQQTGARIKVQEVDKDASGERLIIVASKEIPADPVSPTIEALILLHEKVSAPSEKRHLSTRLVVPSSKVGCILGEGGKVIMEMRRRTGAEIRVYSKADKPKYLSFDDELVQVAGPPAIARGALIEISSRLRTRILRDAGSANNRPPVALFDGPPVDILPSREFTLYGRPATDAPYGRLAANPHGIPAIDPPYGRPNNDPPYGRLAIDPPYGRPAINSPYGRPVINPSYGRPANDPPYGRPSSNIPYGRLNESSPHDPSHAHPIDYFSRRECPNGSPPFVSNAPSSTCQRYAAPARLPTRDPLSFSLGSDYLPRHSYRDHVPTDGYSSKDARQLGVTKDGNTNAYDYSEATGQMHGLEDDRGLTNVTTTGFSSTIELRIPKSSLESIIGVGGVNLAEIRQISGARLRILETGAGSSETIVEIQGTLDQVKAAQSLLQGFISANTRSTQQPQSSHMPHYPSWG >Et_2B_020279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18605772:18612058:1 gene:Et_2B_020279 transcript:Et_2B_020279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPSLCEFIEYIDTEQTPEDIAHAFDMEAEERREEERKKMKQKEEERRHQYEAEPGRLGAYIRKIENRRLGDEGTSRPTVGRQGDKGAVRGVWGPVARPSGDKGSNNPLNERQGARGPCGGPSRPTVGRLAPTPINGRISASDRPRTEEEKGKKRREEKRKKERRGEERGGGCYPGVLQLRRAACSTPQGTSGVVAVRRSMDSELHTEGTGGRHRVVVTYGAVGATVDQALQDVVQETRPFTSEAYEEYLHWYIRRTRTRVTHNPTVPQSHLPTLRDTYPTHRDQSSSLATDLAQRIAVESGSVLQRMRQGFSLPHTRSPQR >Et_5B_044794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5936564:5936944:-1 gene:Et_5B_044794 transcript:Et_5B_044794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLQTLFSPLKKMWIRMHSAQRKKRGIYILYEDVKSCPCEDVQILWSILVESHPPPLRLKH >Et_6A_046530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16620787:16623316:-1 gene:Et_6A_046530 transcript:Et_6A_046530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGPSIPKKYSIGGKGRKRRSIIQEVAVFVPTVRIPVASDIVHPLRGLVSKDLVDRLSTLRDHVVALAEEIYYADVSAVSELQHALEEYLPVVLGLTMKESRLESSVQFRWKTLDDDQECCLASAWYEVLSVVHMMAMLALFEANLILIPKNGQSGGERKVSEDAKKDVVDSLLRASGCLDYSVHRILVQIPAQVKKSFPSYLQEGMLEAMSIQALAQLGLASECEKATLSVKRRLACEQVSYFSQAHYCLSGCDTSDSYGKKLLLFLKWKCMEAKAVAYYYHGLVLDKGGEAANHISAVCCLSAADDLLSESKRACLSFCLANPVTRVPPPWGVMKNMHKKIPDVAYKKFQMYGHLFEQDKNSALQTVPDLPEFALSLRPEGYELPSTDSVWENVNCQPQIQSLKEHLNDDEDQVHTK >Et_4A_034336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31887025:31891259:1 gene:Et_4A_034336 transcript:Et_4A_034336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQFLGRLPKKPSKSGDKDPVGRSSPLVSHQTSGPRGADRASNLSSQPPIISSSGLSYGSGMHVGNGNSRPNVNGNPPSAAFAALPSFKDVPNAEKHSLFLKKLNLCCTQFDFTDPTKNIKEKEIKRQTLVELVDYIASASGKFSEASMQEITNMVSANLFRTLTTPPRESKVEGFDLDEEEPVMDPAWSHLQIVYELFLRFIQSPETDAKLAKRYIDHSFVLRLLDLFDSEDPREREYLKMILHRVYGKFMVHRPYIRKAINNIFYQFIYETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCISMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEILEATQPAEFQKCMVPLFRQIARCLNSSHFQSLILPQVAERALFLWNNDHIENLIKQNSKVILPIIFPALERNTNGHWNQAVQSLTLNVRKLFSDYDPGLFTECVRRYEEEKAKEKETKLKQEATWKRLEEIASAKATSGAAVLVSRPLPRQSSICASLGKRSLTYEFLELSGVHQTLYRVELYIQ >Et_7A_052084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4513285:4517173:1 gene:Et_7A_052084 transcript:Et_7A_052084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDLLFNLRNLFYLGAYQAAINNSDVPGLDADAAAERDTIVFRSYIALGSYQLVISEIDSSAATSLQAVKLLALYLTGDKEGAISSLKEWLSDSAIGSNPVLRLIAGIIFMHEQDYNEALKHTHSGGTLDLHALNVQIFIKMHRSDYAEKQLKIMQQIDEDHTLTQLANAWLDIAVGGSKIREAYLIFQDFAEKYPMTGMVLNGKAVCCMHMGSFEEAETLLLEALNKDAKDPETLANLIVCNLHLGKPSSRYLSQLKLSHPDHVLVKTAASSEANFERALQAVA >Et_6B_049836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14492027:14496428:-1 gene:Et_6B_049836 transcript:Et_6B_049836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLGHPYYYCYAGFCVVVVVALVVHVVLRATSGAGGKKPRLPPGPWQLPLIGNIHHLLTASGALPHRTMRDLARRHGPLMLLRLCERAAVVVSSADAAREVLHRRDDDAFEQRPGSPGVDELYARHGMGIVFAPYGEHWRLLRRVLVAELLGARRVDAFRRVREGEAARLAASFFINAHTPSSLSSAPVNVDARLAEFVADSAVRAIAGDRLPDRAAFLKMMEHALDFSSVFDLRDLFPSSRLVRMLPRSRKAERNRCEAVRLMDDILKHHEERRKAAGDGDEDDEQSMVDVLPRIQKEGAMGASLTHGVIMAMLVDVFVAAIEATTSTLRWAMAELMANPRVMEKAQSEIRHVLAGQDQVHEAFLSELSYLKAVIKETIRLHPPIPLVPRACTEDRRIQGYDVPKGTIVLVNVWAISRDPKYWENPDKFMPERFEGEHAFNFLGSDFEFIPFGAGRRICPGIAFSQANIEIALASLLYHFDWELPAGVKPEEVDMTESPGLAVKRKAELLLHPIPHYIARMQLVVRTLRTLQQAEDSKNQTGYSDV >Et_8B_060032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5267181:5267677:1 gene:Et_8B_060032 transcript:Et_8B_060032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSASPELQQAYVELCNLTLCYLKSMALQCAVELGIPTLFTVTAVLPRSPTFSPPYQCPKAEGPTFLASLGILAHDTTAIGEGVYRLTPLSRLLVDDVLVNGCTSLVPFVLTHTCKHTVTAAMHLSEWFKGDDGPAALVPAEMPFKTGVWHEHVGGLA >Et_3B_030325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32254855:32256673:1 gene:Et_3B_030325 transcript:Et_3B_030325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTVEVSVLFWMVQACALYNNWWPMLAALMYVLVPMPCLFFGGGSTQFLTSRDGGGWINAAKFLTGASAMGSLAIPAILRHAGLIETGAMFIEFTSFFILVCTVLCFHRATLDEDW >Et_8A_056268.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19187202:19187336:1 gene:Et_8A_056268 transcript:Et_8A_056268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRHFHHFGCMDALEGHKQAHFQIPSSSTVALAQEIINEAAV >Et_1A_007916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39468461:39475454:1 gene:Et_1A_007916 transcript:Et_1A_007916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIPDDAWDDDAWDDNEFLTELFLVSDEAVASRNPNPTPATAPAPAPAPAPAHDPFAAAPTSYLPAASASVSYLPASPASVSYLPAASAASHPSPAPRFSPPQELTQRPPPPLPPAPSGDGDAVAVGRGFSPPRELSQRPTAEESHSAIVAVPGPTVRDRIMGTGGNVGAKREREARELEKLKREFNRVSKQMNDLKNECSDLRKDRAKKDIQIRAQEMEIQNLKKGNTGFTGKGICSGGMDIDQSVHFPANGALHAAGAWASTRADKSNGKETAPLERRSVQCKEIKAIGIQTDSTWNSEHLEHKKVLPERISSNLCALWGKPTNSLSGRHLISKIIVSCSEEILALLQCTRLPDKPDTSSEPRSSLNDAISQLYDIFVKMSNEKIPIQTFLEALLNLCSFENCTLRNNVSVEPYVAVHMENKHKDSSALLIRPGAEDLLRRRKMSLPFTFWSSVFTLMLQVGVNYSEESIRVDALSIMILIVRTTDPIEERENWQCCTLLTLLLLFRFEFTSVMERLHQLLQKDNGLPVKKHSVRLLFLLLNCPAMLKLLCSGGKDGSGLMESEGSGRDRTKRAISSVLEDLSECLTCEATCSQGIDLCRLIIILLAYIASSGELGYEVLIGSVTNRGANFLELIMEVLSSQMQYETQEFLKERCLLMREALILLNRLASHANFSKPTLEVLTGSKLCATLTVDVANQLPQSQMGSDLAELAQKFRSRVYAFLEEKPLTVDGPNPNALFKGYKFQEQKNSSVNHSNLR >Et_6A_047461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6091649:6107030:1 gene:Et_6A_047461 transcript:Et_6A_047461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRKAKKCEKASMPSGEDFLGALPNAVLQHVLSFLPSDEVVRTCVLSRHWRDLWKTTPALRVTHTDDKWKNAEDMNEFVNHLLVLRDRLPLDVCEINSYPEYMMDDSVDKPFRYIDLWIRYALSLKARVLRVLIYLEFEWLVLDAPLISQHLTVLELQGFKKGTLLLNEWSVAGDLHFLACLLQHSPVLEKLTLQLHEVPEHLVEMKENQNPKEQPHKSEHLKIVVVECQDVDDDMIHNYPQLLILLQLAYLPKGVPYVKKREKASIPSGEDFLGALPDAVLQHVLSFLPSDEVVRTCVLSRRWRPLWKTTPALRITHTDDKWKNAEDMNEFVNHLLLLRDRLPLDVCEINSYPEYMMWMTVLTSPRALRVLIYLEFERLVLDAPLISQHLTVLELQGVELVKHALDFSSCPVLMALKMDDCIIMARKISSQSLRHLIASGNIFAWHSRTRISIPSLVSLQLDGILGRTPVLRHMPLLVTASIDLDFDCHDCCDRKSSGDCGYDSCEGCHDNPDGSDKCVLLRGLSNATSLELLAEPDVFILKKDLNLCPTFGKLKTLLLNEWSLAGDLHSLVCLLQHSPVLEKLTLQLLECSYMVDLFSCFPVVPEHLVEMKENQYPKEQPHTSEHLKIVVVECLDVDGDRIHKFLKCLSLYVIPIDATKIEKPRNSYSSCKLAIDNPPQLLILLQLSYLPKGVRYLKLIAGYHFVLDACARWS >Et_8A_057945.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:52778:53332:-1 gene:Et_8A_057945 transcript:Et_8A_057945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRADAILALLTDGDRLKEARRRAVRVSHEVQHGIGSPTTSSSPSSASSSSSRTSRTWSFGSHYSDSPTMCLTSSGGSSDADYRHDKKFDAYTADDDWTKHATKWPATVDEQHHDDDDQDYHQLVHDAWDAHLDSSGSWSARFYSTMLGISSSNSSRASGFRSLSQPERRTNKKLQRQLSSDY >Et_4B_039391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13150166:13151570:-1 gene:Et_4B_039391 transcript:Et_4B_039391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKAAAQTRFRVFKHENGIAVRVIACFQPLQNCQAEYFRHLLKPVTSADNLYPPDAGPDDNNLVALPTYLNNYSYIYPGIVAPMPFLPGSVADRPIPVSSRFVTTLAPGLELSAVYPPHKRPLVLYQKENHTPTTAPLLAKRMLDPVPELQGSNETNVTDVGTEDTEGIHENTDEINVLLDSDSDEGYAKVNELGIATLSPAENDTLSVESVDSADDSAGAARPAKKRRLCSGSDKSAVDTASSARPDHSIEQKLLVNDCDAQSCCIGEVESDHKFALREGESAEGDCPDDLERRRERIQETVTALRKIVPGGIAKDATAVLDEAICYLQYLKLKVKTLGAVSL >Et_2A_015406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12019723:12021843:1 gene:Et_2A_015406 transcript:Et_2A_015406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLVARSVVVEASVIQAREKVDEQQYNGKAFDSVWLMIYLSIQIRTLTDKQQLVLSLERQYEGDIGVIAALFFNYVKLSPGEALYIYWCK >Et_4B_037392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19302258:19304580:-1 gene:Et_4B_037392 transcript:Et_4B_037392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVDSICSGSCLCSDLGVGVVWGLVPQGDGTEAQVTWEDQQNINRFGRLNNRLHELDEEIKLAKEANENLDDAGNELILSDEDVVRFQIGEVFAHMPRDDVETKLEQMKEDAAKKLERLEEEKESIVAQMAELKKILYGKFKDAINLEED >Et_1A_006358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21135586:21144363:-1 gene:Et_1A_006358 transcript:Et_1A_006358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHAPVVTNPAQAQDSKAPAPHALIIPYPAQGHVIPLLELAHALVDRGFTVTFANSEFNHARVVAAMSSEKKKDGCGGEQQLGRIRLAAVPDGMAPGEDRNNLVRLTVLMTEHMAPAVEQLVLRSRAGQAGGGGAGPITCMVTDYNVGSWALDVARRTGIKSAAIWPASAAVLATLLSIPKLMEDNIIDSRDGSALTQDTFQLSPDMPVMRTADLAWNCIGDHGDQSTLFKTLLDGVRAVEECDFILCNSFRDAERATFARFPKVLPVGPFLTGERRDKKALVGHFWRPEDDACLTWLDAQPARSVAYVAFGSFTMFDRRQFQELALGLELSGRRFLWVVRPDICLGGAVHDYPDGFLDRVTVSGGRGMVVAWSPQQRVLAHPAVGCFVSHCGWNSTMEGVRNGVPFLAWPYFADQFVNRDYICDVWKVGLRAEADEAGVITKEHIAGRVEELMGDAGMRDRAEALQKVAHESIKKGGPSYENFNMFGHVLPLLELAHRFADHGFAVTFVNTDHIHGHLVAASPELAEQDGVATPGRVRLISVPDGLPVEDARVDLGTLTSALQSTLPASVEAMIEKGRFCCMVVDYGMTWLFGIAKKAGLRTAALWPSCAAVMAAGLAVPQLIADGMLDKNGLPTDKEIPPVGDLQMNLAPLSWNAVGTDETQKHIFRCLKSILQVLGMADLLMSNTVKELEEGILSRYPTILPIGPLPTGLRQGKPLGNFWPEDASCMSWLDKQADRSVVYVAFGSIAVLDRKQFHELARGLELSGRPFLWVVRPGLADDLTFPDGFLETVAKRGKIVSWSPQHKVLAHPAIACFVSHCGWNSVMEGVRKGLPFLTWPYFADQFINESYVCDVWKTGMRLVKDDDSCLVTSSHIATQLEKLMNDAMTASRALELQQVAFKSISKDGTSQKNLDTLVNTLRG >Et_10A_001830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8952999:8956085:1 gene:Et_10A_001830 transcript:Et_10A_001830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKGKPVLMACQPPSGRITRSRARAMSAVAPSESLPVNTGLKQTANGKTKRGVVDENASANAATSAPQPKRRTVLKDVTNLCCGNASKKCTTPTKLQLRPSQKVGRSRSKNEQCAKKIATPLIPAAGRSSFVNDSKRADKTQRADLLIKKKVPTILHGKQVPPSLQEIKRNSDSVCHDAIIEARNAWHQSKSANSKSGDSAGSDIVDIDKDNGNPQMCVSYVAEIYTNLMASELIRRPSSNYMEALQQDITKDMRGILVDWLVAVSDDFKLVPDTLHLTVILIDQFLSQKTIHRQKLQLLGVTSMLIASKYEEICAPSVEQFCNITDNSYTNDEVLEMECQVLNVLGFHLSSPTPKMFVRRLLRAAQATCNARNTTLVHLANYLVEMTLIDYGFLKFLPSVVSASAVFLARWILNQLDRPWNSTLEHYTSYKSSDLRFCVCALWELQHNMGNCNLKTIHEKYMHSKVCCNRHIPAEPNNSLSIFVLTV >Et_4B_038949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6260503:6266028:1 gene:Et_4B_038949 transcript:Et_4B_038949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVVFPDHTFPLDATAFAQVAPNSWLLDLSTLSLAAAPRSAVVFLLPPAAAALPPGKAVAVYFQAAGNRPFAFLGALGPTRPSASFQLPEAGDEPEPPVGPAKLGVAVEDAAALPPPPDEQRAERVALRVGENLFNFMQSFCGADGGKLIVPTDILDRWFRKFQERAKKDPTLFCNCVQIPCGETEEFDGAAMQPWLERLGSEPLIEAKEDMLSSLEAVEKFSEYDRGVRSSGVALPAETRRGTGVMNPVPFPFGWWLLVLLLKLLIQYSQKK >Et_2A_017666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4924177:4924818:1 gene:Et_2A_017666 transcript:Et_2A_017666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGASFMDKVEAAMDDHGSGGVSREVDGVDEEGEMEPVEPLAEPPDDGGPVGWPMPEFCPLTIDGALKESFMETIRKDAAEAVRPPQEEEPEPEVLSPDSRPSSSKRHRAGTASPSSKSPYRNILQVFQQCRQDVVGEAQTRNL >Et_6B_049988.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:2717684:2718916:1 gene:Et_6B_049988 transcript:Et_6B_049988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRRQHRLGSSAAAAYPPWVMLSSRCFRKADGSWSCSIADVNTLVGDPIAVHLRLGTPPEGSHIRVNFPYTSAAVVAAHGDSVLIKIIKMDRCFKRFTTDYFVYTAGHAAGAKTTSTRPLLLPPPCSKALLDERWTGLFCRGDVFDESPELWYVPLPAEAVEPLCGPRSCRNLCATTSGTVKFIDIFHRCCCGGAGASRCQNSRDAYAIHTWTLRMDDMAWVMDGVIDCTEIWSLNAYAGFPRQRCYDPVASLDDPNTVCFMVSESFYNQSDDHAKWLIMVDIRSRTILSVHRYHEKHINGEPLLASRVSDCFNFCPSSSKQASSHEEALSYSTSKTCVEVSSHDSVKSCGKSSAVPMQVSWNDTTMAALERIPGLNRHEIEKSYDILSRDKSGRRYRFLLKLPENLRR >Et_7A_052968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3654119:3660318:1 gene:Et_7A_052968 transcript:Et_7A_052968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEGNKDIAPEAVSMEQSSTSGAKRKRGRPRKYEYPTYELPQRAQPIQSIPPLQSTQGGSNIRQDGVQANHTSGVTFQVLPAQQTQGNRLGRPKKTANLVKTSDNQSYSGKDDILGKHFVGKMTNKFPGFCLITVKVNDNQMLKGWIPDQNSLNPITPKDDLTPELPMLRPSQVQKQASTIPVQAAPPVPIHLEDVTLAKPLQMRRPVDKTIAKHAIPLASRPYMSSGVVAAVPVSVSPKDTQCMISQSSVAAVPITSVRPVFVSGKQTANQNELLGDKSFNDFEKDSDSSGGAKDSSVKVEQPNAALVNVAVKNSPGERQPLNVQVTDGVGESSGQNQHVNTTVNDEIKMASETSDELKIETIVLKGVDDSKSDASVNSSSTIPCSDPQMQLQINIEHTEVKWHSLYETGYIEPCWIEMNHLAQISTQIQDPLSATTLESGALPRPLMGSERS >Et_2A_017559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3576256:3591810:-1 gene:Et_2A_017559 transcript:Et_2A_017559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKAKSKCLHYFCVLFPLGGLGAFVVALLVASTAISWRTMNDLSEADAGAMDVAFRHVAGNMLHLLEANRSAFAIAGTMQPMTNTSASFSHVGHKMFVAFSMQPLLAQVSYAGLDEAAFSYYRADNGEPRALFTDVPHQKWFTQAVDPATGHLAGNATAVAPAELRLPNATRALLAGKNGSFASLGYGWARSGVEMLFFSAPVGDAGVVSAAVAIDDVLGHAATRIGFKNGMDVYYAIGDDTAGGVTEAAAYKPVLDRGQTGHDAVTRAGEMDLFSDVECAASTIDAPEAGQLRAVGHGYTYRIACTNFDLSGVQVGFRLVLHTWYGAEMIRLSCIAVFVFVGALLAAAALICVLAVRALRRVAKEKAVLKAELVRQKEALRQAERKSMNKSIAFVSASHDIRSALASITGLVELSRLETKTLPGVTETLKQIDICISKLLDILNSILDTSKVESGKMRLEEAEFNMVDVLEESVDMANVVGVSKGLEVVWDACDFSVLKCANVTGDSKRLKQILDNLLGNALKFTHEGHVILRVWANRPIARSCIGAPSRFACGSRLGCSFLSCLFGAKDDGDEYGSFSVAQNDPSLVEFYFEVVDTGIGIPREKWESVFENYVQVNHYQGGTGLGLGIVQSIVRLMGGEISIKTKEPEERGTCFGFNVLMKISEREVNEDIEEGPLNTLNDYNLRASVFQEATSFKGVHCVLYVHGAETRRIMQTWMESTGMKVWLVPQAGFIASTLEKVQCISMSPTRASSPTISFDATDRCFSSKDMVSQVLPMSLRNSSGRRRRSPGGHPSALLVVIDVSNEVEDIWQEMVNFSRIKHQTPCKVVMLADLKTASSDLRRFKELGCDLFLSKPMHGSRLYAMLRTLRDLQASDAQSSSQVGEVAVPSPQPELPRIVLHDVQETAAATEVIAQEQKTQDDKPLAGMHVLLAEDTLVLQMIQRKMLTQLGATVKVAVDGSEAVKLYKQALEQSSVSEEGTVVQLPYHVIFMDCQMPIMDGYEATKLIREEEKIYGIHTPIIALTAHTIEEDLQKAIDSGMDLHLTKPVKRMKMVEAVCHICKQISIKEKEAGESGTCFGFDVLLKISERQETEDIEQGPSSTLNDYNLRDIKGVHCVLYVHGAETRRIMQTWMESTGMKVWLVPQAEFIASMLEKVQSISMSPTKASSPTVSFDFTDRCFSSKDMVSQVLPMSLRNSTGQRRRSLGGHPSGLLVVIDVSNEVEDIWQEMVNFSRIKHQTPCKVVILADLKTASSDLRRFKELGYHLFLRKSMHGSCLYAMLRTLRDLQASDAQSSSQVGEFVVPSHQPELSGIVLHDVPETATATEVIAQEQKTKDDKPLWLGCIVSEEGTVVKLPYHVIFMYCQFNDCIYDIDIIPSLIWMNIMDGYEATKLIREEEKSYGIHTPIIALIAHTTEDLQKVMDAGMDLYLTKTVEKMKMACPEEHAASPRSQPLSRRHCKHNADHDQQRYRCFSSKDMVSQVLPMSLRNSTGQRRHSLGGHPSGLLVVIDVSNEVEDI >Et_7B_055453.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:11004900:11006162:1 gene:Et_7B_055453 transcript:Et_7B_055453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLIKAPIGQNPRLACHVPARSGGVVRCSLQGAVVGGRAEWQSSCAVLSSKVAALGSHSVNGQVAPAPAPAPEKNAGAVLDLVPVSSINGKNLPQPLRISDLSPAPMHGSQLRVAYQGVPGAYSEKAAGKAYPGCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKECLTRVISHPQALAQCEHTLTKMGLNVVREAFDDTAGAAEYVAANGLRDTAAIASSRAAELYGMEVLADGIQDDCGNVTRFVMLAREPIVPRTDRPFKTSIVFAHDKEGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYVDFQASLAEPRAQNALAEVQEFTSFLRVLGSYPMDMTPMTAGSSDSLSSPPSSS >Et_4B_037230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16966157:16976556:-1 gene:Et_4B_037230 transcript:Et_4B_037230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRPASAPHPSPFAPPPSYWRPSSSRSLLHSSSSSPSRRPVLAPSPPPSQPRPRRTASTAPPRAAGGRAELTPAAAPGRMEAAEMEAAQAAAAAAEQVISSRGGSVLGKKTILKSDHFPGCQNKRLSPQIDGAPNYRQAGSLRVHGVAMPTMEGIANVLNHIGAQKKGKQTRVLWHSLREEPVIYINGRPFVLRDVERPFSNLEYTGINRERVEQMELRLKEDILQEASRYGNKILVTDELPSGQMVDQWESVVSDTVKTPLEVYEELQHQGYLVDYERVPITDEKAPKEGDFDNLVRRISQVDLETEIVFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRTSSIGKVFHAGNDVDDYMPSTEEAILRGEYAVIRSLVRVLEGGVEGKRQVDKVIDKCDTMQNLREAIATYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYVHSVSSAHPTTFSDWMRARPELYSILRRLLRRDPMGALGYSSSKPPLTKIFESSNGRPHEMDVVAAMRNGEVLGRQTVLKGDHCPGTHNLNLPERVEGAPNFRKIHGFPVYGVANPTVDGIRAVIRRISTNKGGRPMLWHNMREEPVIYINGKPFVLREVERPCKNMLEYTGIDRDRVERMEARLKEDILREAEQYDGAIMVIHETESGEIFDTWENVDNDAVLTPLEVYKHLEREGLPIKYARVPITDGKAPKSSDFDTIALNVAAASVDTAFVFNCQMGRGRTTTGTVIACLLKLRIDHGRPIRIPACQNSQEDDDDAGYSSEIETTDHNGDLNSESWKPRTLTKLPSGLGINDILLLRTITRLFDNGIECRQTLDAVIDRCSALQNIRQAVLQYTKVINQQHVEPRVRRVALNRGAEYLERYLKLIAFSAYLGSEAFDGFCGQGEAKISFKGWLQQRPEIQTMKWSIRLRPGRFFTVPQEPKVTYPPSQGDQTMETVVKARNGSVLGKGSILKMYFFPGQKKSSNVNFRGAPHVFKVDGYPVYSMATPTIDGASDVLSYLGSKDTSGRSSAQKVILTDLREEVVVYIKGTPFVLRELDQPVDTLKHVGISGPMVEGIETRLKEDILSEIKKLGGRLLLHQEEFNAATNQSSVVGYWEHIDMEDVMTPAEVYKTLSNKGYCIDYKRIPLTREREALAADVDAIRSLIDESARYYLFISHTGYGGVAYAMAITCLGLGADAKFVMEQTAETHFVSTSLTKNVSIKTSTDIALRQGDYRDILNLTRVLVHGPKSKQEVDTVIDRCSGAGHLREDILRYRKALQDCSHDDDDDEERSYLSDMGTKALRRYFFLITYRSYLYSTPSREATFTSWMKARPELGHLCDNLKLDK >Et_5A_040459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24241914:24242222:1 gene:Et_5A_040459 transcript:Et_5A_040459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNAVVVFSASGCCMCHVVKRLLLGLGVGPTVYELDQMSSSTSMGREVQAPPVPVVFVGGRLLGGVEKVMACHISGTLVPLLKQAGALWL >Et_1B_013170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:688036:688956:-1 gene:Et_1B_013170 transcript:Et_1B_013170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRNLKIKTSTCKRIVKELRSYEKEVEKEAAKTADMKEKGADPYDLKQQENVLAESRMMVPDCHKRLEAALADLKATLAELKEANEQGAEIGEAESTITEVEAVVKPIED >Et_3B_028991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21157623:21159639:-1 gene:Et_3B_028991 transcript:Et_3B_028991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVADLLDMQSSPNGAVVAPACASPSVLKVARSHAWDWAALLLLVAVEVLLNIIEPFHRFVGADMLTDLRYPLKSNTVPIWAVPIYAVIGPIIIFTIVFIRKRNAYDLHHAILGIFFSVLITGVLTDAIKDGVGRPRPNFFWRCFPDGKAVFDKITTGVICHGDPSVIKEGHKSFPSGHSSWSFAGLGFLSWYLAGKITVFDRRGHIAKLCIVLMPLLLAVMVAISRVDDYWHHWQDVFAGGILGLVVASVCYLQFFPPPSDDKGTSISFKLTVQSDHMVTVCLYASQYEPCQKVLPILAIQFLFGLQPTICDKIGKLIYGHN >Et_9A_061662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1537076:1541861:-1 gene:Et_9A_061662 transcript:Et_9A_061662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRPVSEMRLPPHLAHLLAARRLTTAKDVLSLPEVELMAVLDAGLPTARAAVAHVSEVACPPCQTALGLLEERARLGGGGRLATTLVGLDEALGGGIPLGKLTEVVGPSGIGKTQFCLKLALLAALPECYGGLNGRVVYIDTESKFSSRRMIEIGQNSFPQIFRQEGLAQKMAGRILVMRPTSLADFTNSLEQMKVTLLQHDVKLLLVDSMAALTSLSIAEFSRIPVVVTNQVRSQSNDDGHHFSFEVDGKDDTNCAERFDSHLVAALGVQWAHAVTIRLVFESHSGHRFIKVAKSPMSPAVAFPFTVESSGITLLSDEGIDVTGPDITSIRCQDFHLNIRPVFEQMSGFLQGRHEEL >Et_9B_065999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19922874:19926233:1 gene:Et_9B_065999 transcript:Et_9B_065999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPFQKIGHGLDRFSFRRRRSASSSSPLSLASDDGDSCPMEAPAGAAPSSRRALSRSCGSKGRLLLDPPAPLAGGPSDKSAAASSSLPPPKPAPREGPPSGDDFSPGTSMSILFCCNLFGGMIPVGVADAEMVREKFSKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEQRRLQPMAAEQKVRWTTEIDWLLSVADHIVEFVPSQQVSPDGTSMEIMVTQQRQDLQMNIPALCKLDAMLLEYLDGFKGEQEFWYVKKDADESEKGNTPRQDDKWWLPTVRVPPKGLSESYRKWLQNQKDLVAQVLKAAMAINANVLMEMEVPEAYTESLPKNGKSSLGDSMYKLITDDYFDPEELLSSVDLTEEHNIVDLKNRIEASVVIWQKKMTHKDSKLSWGHGISHEKRGMFEGRAENVLLLIKHRFPGIAQSALDISKIQYNRDVGLAILESYSRTLESLAFTVMSRIEDVLNADSAAQDHMNVESMRIPILSTDETDKVVSDAKAEVEKFRRMEPVSPTLFDFVGPRDQDLNSYTSKEDTNGPKLTKVTSIATRRFSYLEKLEHLGGTRSPILPRGPFCGGSCGPFLIGRRSGDAFALT >Et_10A_000785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17146805:17151366:-1 gene:Et_10A_000785 transcript:Et_10A_000785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSGSPAPAPAPAPERRLAVLLSHFHPPAAGRLATAERLGTAAAAEVEAGAGLSASPCAAGDSEEGEGKPSGGSRCVFCNIVAGDLPAFKLYEDDVCLCILDAKPLTAGHSLIIPKSHYPSMQRTPPTVLAAICSKLPFLSTAIMKATQCDAFNVLINNGEKAGQVIFHTHIHIIPRSKDDNLWSSETYTRKPLTHNQETKNLVDSIKEVLSSSPIDYSTVTPSTPKEL >Et_9A_062265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21253953:21257263:-1 gene:Et_9A_062265 transcript:Et_9A_062265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQDDIPSRVQHSLPALSRALGSFCSRGPGQAQFIWLRPLSIQRQETTSVCTHKKEAREVRGTPRPREYRLVAAAASSFAPAITGVDARRTGEVRRPPLYTFSSSPLFVFCSSISSSHRLLSTSRVCTPASPLFDGMPPKKRARKDEGAAAASHPTEVSRDRISALPDDALQHVLSFLPAEDVVRTCVLARRWRYLWKSTRGLHIITPDSIAEIRDFVDHLLLVRAGSRIGTFELTTNQGLSEDDIPRVNLWIRNAVACKAQVIQLKTYGSCTLFELEDLHLVFASQHLTRLEFAYVDFNDTLLNFSCCPALQDLLIRNCSLVHAKRISSHSLKRLNITSCNSSDSFRTRIHAPNLVSLWLNEAFERAPVLESMLFLVEAVVTMICRWDSDCCSRSASGNCDDSDCQGCHDIQEEEGSCVLQGLSMAKNLSLLACPGTFIFRRGLNFCPTFRLLKNLLLNENWCVPNVDALACILEHSSALEKLTLELFSKGPKHNVEIKGSPYQKGTSAATLPHLKIVEVKCKVVDEKVLNVLKCLSKRNMRKLTNASTHACFIVGICFRMWQKLHRFLRLNDLGQMRMRFGLPPDRSISISISNMCGGGDYARRLLCALAQEVSAEDLRWVPMHSFRTTSSPRTAISYLNRLLHDRCRKFPLCLSLLAH >Et_7B_053999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14001524:14002659:-1 gene:Et_7B_053999 transcript:Et_7B_053999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPALLSLTPGVSRHPYLLFSPSYSPCLPPRRLRLAPPPFASSSSSSSASSPSPGPGVFLSPGALTQLGELAAFRYEHSFPHGHLTVRALSPGPADDAVAEALVRLLATSFSETVRWAPAQRYAQLLTFVIRRYLYERRGLAPHAAVLVGFYRPADADADTEEDGDEGEDEGEMACTAEVSFDAVGAPGAPPTPTPPLEFPYMCNMTVKTSLRRRGIAKQLLKACEDLVIKMDAKRRLHLHCRIIDQVPFNMYRKAGYNIVQTDSILVWLSLQKRKHLMSKELPQASVGSEVTTKIFDDNTTMTS >Et_5A_043004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9824912:9831376:-1 gene:Et_5A_043004 transcript:Et_5A_043004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPSPSPSPSPERHPPVRRRGGASQMLLHNHNHHRRRSPSPPPRRPLRPRRAAAASSRPLVDDFFPFPSSPSSSPSRPRQRRPSPEPSSSDSGDGGGASSASCRRRRKLKLVVKLSQLPPDQQHRRAPPPPPYSDDSDMEEEARKDVSGDEDQVKPPKKRRIEPRGGRSRHREVEHLAGLVVSLVFASSRSWLLKPDLLFVQVGGGRSDAASAPRTKRLPVPGTARTTPLPDRNTLEMILDKLQKKDTYGVFAEPVDPEELPDYHEVIEHPMDFSTVRRKLARNVYRSFEQFEDDIFLICSNAMQYNAPDTIYFRQAHSIQELARKKFQELRDEGIPTENQIQSEQKFRPNSCNIEPIKKSVLRYPDDDVGFVSRKEDVKRPNLKASEDIRFKGQVKKPISRKSQDESSLFHKERVKKPISRNSEEDLGSSFHKERPKKLVSRNSEDDLSSSFRKEPDTKVITRNSENDERSSFHKHQIKKTISYSSKDDTSSHKNHIRKPFCGNREDPYFSPCKEPVENSNCTNGEDSGVISTKRLPETPIGKNREEPGHSHQDSSKKHICRDGQHDVGYSRSEEAVTEPVRANNQGALGSDVSGATVASAGDGSNGLSMSQAHATEPAGCTETNGVLDKDISSPLNEIRTEKTDDISAKPCFKSIVVDETRRKTYDTSEEQLSLESDPVFDVFSAEPKELTNVGFHADHEYAYARSLARFAGSLGAQGWRIASERIRQVLPAEVKYGRGWVGEYEPPLPSVLVVNDQSRYLKSSERNEQMDASLPRDNERLKQTERHNKKDMSPSLLSRITTSNNVVGVPGPLESPEFKPRIFGVTAEPLHRNTHALSPHENHRVSGNVAKAKRRSNEQKRKCNSSNTHPLEMQPQRPENSKGSSGVRDIPSLNKVAGQPRSFFQPPESVRAQPISRSNIPIEMAPQRLECTKGASSDVHDRPSCTGQPKPFFQSQEATIPQPRNEATWVYHGRPGDGKVGTSNTSRPSTSVGFISNNQGVNAANFAMNMSGQNNFNDHTKSVGSTAISGQANVPNRGHEAPQNMFSAFPAAVRENQSISSAPVSQSWISFGASSENKPAIVSPTFLDNNSGWKMPFANVRPSDDAKISAVPQFFRQPVQVVRESPTQNKGLMIFPQLVPTEFSRPQGQLQWQGLAPHMPQKPNKDALRPDLNIGFPSPGSPPARQSSGINLEAQQPDLALQL >Et_6A_046843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21348469:21357259:1 gene:Et_6A_046843 transcript:Et_6A_046843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNKKCSKTKPARPSGTAPPPTNADWEDSTAAFRKEALRCRSDDGAAARLANRHPSSPLAHHVLGHARASAARAGDACARALAVDDPTDPALHAACSRGGLIASSPQARVAAARERILGVRTDAEALAAATGAGDAAAAPLPPLTPPCCCRHATARGAAATIGDDLQGLLTVSVADLTAHYVRISGGARGLVDAVEFAKATNAWAYWLCPVCDKVFLDADSFTSHVEGEYLDELQELQPLMPKRAAVDANELHISLRWTPFDIGGEDPDRRKVLDRIRDAFLSLCTFKALPAGLVDKLIKYARSRSKRPLPYCIPSCATSLDDRELKRLLETLDQLCELSYRNWEFIIGLGNQGKSKVCSYIISLVQDGCVLCLDAEEIASRSGECSSEVDMVTRFLFSTKLHEESVTSWNTLRQKCVHRGNEILKRICELSDILLKRSNLKYAPKERVHKGYFLTEADSIDVEMLLLNNEVDYLKKKLAEVCTFDYTAVVLPLIRAYIWDKLNNNSQGEDLEDGDDKDAANNEDGSGVLLDESFFDDKIPDTNSYVHCEICGTEECENSSLSLSDSSNSSTLETESASIDSEVGSIPHIASVEPHVLNVILRALWHLRQFYDRFLAVPLVLPHFTVEVHCIICLLRKLFNAWDNVKDNRVNTFSSDVRTAFSDILNERNICGKAGTNIASEVISAIFEMLHDSHKSLHPNSEILEYRGISTSTRSFDFVCLPHNVFGLPIREQKKCKCLKESCKEKEYTTFFHSVDVSAIQTNEIKSLGQLIRDGDTQFQCGNKIECSIQSAPPIFATVFCWPVHKEIHLNMSELLINIDTPSQFDVFYEVMPTLAAALLYARRPHEALDECPRALAVDDPTDPTLHAVACSRGGGIEASSPEARVAAARERILGVRADAEALAAAGTGAAAAAAAPLPPLTPPCCCRHATARGGAATSSDELQGLLTVSVADLAAHCGERGSACGLIGAVEFAKATNAWAYWLCPVCDKVFLDASSFTSHVEGEYLHELQELQPLIPKRAGLDANELHTSLRWTAIEIGGGDAERRKALDKIRDAFSSLCTFKALPSGLVDKLIKYARSRSKTPLPYCIPSCVTSLDDRELNRLMEKLKQLCEHSYRNWEFIMDLGNQGKSKGPSDIISLTQGGSMLCLDAEEIASRSGECSSKVDMVTRFLFSTKLQEESVSSWNSLRQKCVHHGNEILNRICEISDMLLKQSNLKCAPKERVHKGYFLTEADSIDVEMLLLNNEVDYLKKKLAEVCIFDYMSVVLPLIRAYIWDKLNNNSPEEDLDDGGDKDAADNGDGYDVLLGESCFANKVQDTDSYVRYEICGTEECENSSLSPSNSSNLSTLETESASTNSGSGSTPHITSEEPHFLNVILRALWHLRQFHDRFLAVPLVLPHFTVEVHCIICLLHKIVNAWNNEKDHGVNTFPSDVRTAFNDILNEHNMCGKAGTNIASEVISTIFEMLHDSHMSLHPDSETLEHQGISTSRNLDFVCLPHNVFGLPIREQNKCKCLKESCEGKEYTTFFHTVDFGAAVQAIEIESRHLMREGDMQVQNGAKTCQCGNKIERSLQSAPPIFSIDYCWPVDKEIHVNIENIDKPLQFGILYEVMREKDYTLSAAVCRVKDEHLCFARQKEKWVIYGSKTVEILGRSCSIDIATGVSDSKSFSSCQMAVSLIAVAEYE >Et_1A_008748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11606940:11608773:1 gene:Et_1A_008748 transcript:Et_1A_008748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYGLRIELWVPRANAQQPKLFVGMILILIFAEALALYGLLSASFCHLVPDNHEQTNYSCCYSDLMNMHSINQWCWVNRPQPESQQKPELLLQEVQRPDLELYHG >Et_9A_062175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20327162:20329816:1 gene:Et_9A_062175 transcript:Et_9A_062175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSYANGGGAAAALGLGTEAKLDELRRLLGKAEGDPLRVVGVGAGAWGSVFCALLQDAYGRHRDRVQVRVWRRAGRAVDRADAERLFEVINAREDVLRRLIRRCAYLKYVEARLGDRTLHADEILRDGFCLNMLDTPLCPLKVVTNLQEAVWDADVVVNGLPSTETREVFGEIGRYWKERVSPPIIISLAKGIEASLDPLPRIITPTQMISNATGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFSLCTSEMIYITHLLAKEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGTIQGVSAVNAFYELLSQGSLSVKHPETKKSVAPVELCPILKTLYKILIKRELETDSILQAIRDESMYDPRERIEMAQRQSLYRPSLLGLAKGDAKA >Et_9A_061243.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:2444778:2444819:-1 gene:Et_9A_061243 transcript:Et_9A_061243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQNVTLSVKPF >Et_3A_026796.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:21109543:21110043:-1 gene:Et_3A_026796 transcript:Et_3A_026796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHVQALYGDDGMRQQQLVRERGRRIKAAAELGLARSSRGRQWGRALGRRALVELPKDAGAAPAQQQQPLMKEATSLKEAAARGDEEEEEEEVVVEEKVEQLRHLVPGGEDMEVEGLLEETADYIAALKAQVGVMRALACMLSGAGLDALPEKAADGHHATPEK >Et_1A_005480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10703093:10704786:-1 gene:Et_1A_005480 transcript:Et_1A_005480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLIFLEAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDYKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Et_5A_042072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:676904:679360:1 gene:Et_5A_042072 transcript:Et_5A_042072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQQQQQLQSHNQHLSSRHSLPPEKQFLVQGGGDSGLILSTDAKPRLKWTPELHERFVDAVNQLGGPEKATPKTIMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQANAIHAKNVSGCRTATDKLCEGNGSPASHYNLEPEIHRSMHISEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQEALAKQTVDGDVLGLQDAASKMPEHEHAHRQHGDGSVDSCLTACEGGTQLQRDHDMLSIGLCTTQQSAGEEGRRPSTSCEEYMFLQEPSRGASPDDHCEIRRDDGFANAGLQTRELDLGINNTSSSRPRNCDKIDLNGSGWNN >Et_8B_060158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6555726:6557433:-1 gene:Et_8B_060158 transcript:Et_8B_060158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWPRYVASELPCHGFVSEFTGMENNALHEMHNKTVNYRVFAGTWNVGGVSPPDDLELEDLLDTKANCYDIYVLGFQEIVSLNARNVLGPKKRSASIKWNSLIGDALNNRGRRGGEAMSRCRGDESALHEQQGMFRCVMSKQMVGIFVSVWARSGLRRHVRHAAVSCVGAGVLGRLGNKGAVSVRFLLHGTSFCFVCCHLASGGEDGDAMLRNADAASVLSRTSFHGHGHGGASPPEDPELLPKKILDHDRVVLLGDLNYRIAMDAGDARQLVTAKKWSMLLESDELLLELSKGRQFDGWREGAVTFPPTYKYHRDSDKFYWCTDHGSATAGGDKHHRAPAWCDRVLWRGKGMKQVRYERCGGYRLSDHRPVRAVFHAVCEVPEGY >Et_3A_025948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34168334:34169453:-1 gene:Et_3A_025948 transcript:Et_3A_025948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWQPPEAERQQSRVDGVLGDDDGPGHGGARVARGAVFADLSLGAGEHVDLPHLDDGERELLEECLLVARELGDDVLGGVVGEEVLVGCEEALAVDEVDVVLVVEGVGRADVEHGPPVGALGRAGAPEAAGEGGVQGGVRVRKKAVLEGGAVAEADGVAAGERHQVGGVQVDLGQRRQQLRHGGGRRRQAAQDVLLRGEGRAVAAAQRHLVAGPADGDDGVAGREREDVGAGDDGAFAGPVEAGPEVVDGLEGGGAERQVGRRLLLAGARGGAVQEHGGVAALHEAVVEVQTEEARGKAHVPAHGLLHEATHDRLRLRAAPPVELQRQALRRGDAEQSNCDDHCQRAGDDSTSHLCYLCFGRWVSLSRQH >Et_10A_000958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19609175:19622531:1 gene:Et_10A_000958 transcript:Et_10A_000958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDGLRLNLAVCVLPTKSFVEAPRQRADRYRRRRSSIGSQLMEDGRPSPAAFLPDDLVTEILTRVPAKSLCRFNCVSRSWRALISDIAHRSSLTQAPSGFFFLSERDAPSGFFFVSLPKSSSSPPPIDPALFLTTSSDDGATELLDSCNGLLLLRCSSPSRNNGVAFYVVCNPATGERVTLPQPSAEPGSVNGDLTSFEHAVLAFDPAISPHDFHVFQLVEEEFEYNNYVTAAEIYSSATGTWVLRERPWKWGGPCGIFLGHSFYFNGFQHLCLGFGRIGLVDTTGQTWRTVNVKFPGCSNLGFLGHSQGRLHYIIHSIQGPDAWVIYVLEDHDRDEWTFKHRVSKQALFGTPSGNSRWQPVYSVAAIHPECDLIFFFDSRRRKLMSYDMSKGNVRVLCPLDEKLFDYYPFLPYVPFRRTDLASQLMEDGRPSQAVYLPDDLVTEILSRVPAKSLCRFNCVSRSWRTLISDIAHRASLTQAPSGLFFSCCYNPKSLGFVSMPSPSSIPPVDPTPCLTSSSDDGETELMDSCNGLLLLRCRSPSCNNGVAFYVVCNPATGERVTLPQPSAEPGSFNDRELTSFENAALAFDPATSPHDFHVFQLVEEENACDYHVTGAEIYSSATGTWVLSECAFRWGKHLGIFDGHTFFFNGFQHFSMCFGYIGLVNTTGAWRTMDVQFPGHSDLGGFFGHSQGRLLYIESLEWQDAWVIYVLEDHDRDEWTFKHRVSKQALFGTLSGDSGWRPIYSMAAIHPKCDLIFFFDRRRRKLMSYDMSKGNVRVLCTLDEELLYLYPFLRYHEERQCPAGNVPDDLIVEILARLPAKSLCRFKCVSRSWRALMDDPEHRCRLAQTLCGFFYSRYPDGTSSPSWGFMALSDPPPPGVDTTLSFLPRSCGEITLLDSCNGLLLLRCSGAAASPPPPQFYIVCNPATGEWVALPQPSHVPGYDDYNEKYPDGMMTEHAALGFDPSVSSQFHVFQFIEVQVTCNFEVQALEIYSSETNKWILRESRWPKGEWIIYLGHMTYLNGFLHFSIPFNAVASVDTNGESWRVTHVPSVGDDHRWSLLGASQGHLLYMDTKDPCDEQSIYVLEDQSSEQWTLKKTVTMLSIFGLRRNWRGRMIYEVAACHPDGDLIFFYDHLQDRLISYDVNNGDANAIYPLNKVGRSPLLPYVPLYTRALASCVSRSWHRLIIDPGNRHRLAQTLSGIFFKQPRVLAGQPLFVGLSSPTPPLINPSFSFLPSTYKDIELLDSCNGLFLFRSSLTPWFDLGPRLSPRFYVVCNPTTEEWVALPQPSNPPGVSSYSGEYMTNTETITAALGFDPAVSSHFHVFQLVEKEVQYHLLFDAMEIYSSETGKMGSEQNPIGQVDPISMTYFNGFLHFTIDDGRVASVDITGQTWKVTTVVADCKDYGYSHGFVGHSRGRLLYLDSVFDEDDTLAIYALEDHDKEWVLKQTVREMDLFGPNNSQYGWNVAAFHPHGDCIIFCDRPRRRLLSYDMNHKHVHVICTLEEVPNDHRPFKPYVPLYSRALLAAAQKIK >Et_1A_007939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39590833:39594877:-1 gene:Et_1A_007939 transcript:Et_1A_007939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAASPPPPQEAPDAGSEEEDPEEVEPWVPSDSEPEPDQPALEPSPMSEREQHQQAPPLPQTGAEALAAEKHGENARPRWPGWPGTSVFRLVVPAEKLRRLIGRRCVTIKRLCDETRARVRVLDAAHGASHQIVLVSATEEIEAEISPAMNAVIKIFKHINEIEGIDSDGTVSASAPEVCSVRLLVPSVQATHLIGKQGSRIKLIEETSGATIRIKDKDELLSCEIVDERIVDIRGASLKVHSALKSILEHLRKFLVDHGVLHLFERQHQAVTQAQDTYIENQVIDDYPLPVNEEFLLSDERSQNERDLSFSGSYSSDISHTTDSIITKVSCTSCYMFCCPACLIASKFQTLYPLSFALDYLFISLLSKSNMLKNHVFADYALIQYCGFQVTQTMQIPLACAEEIIGVKEQNIEYIRSVSGAFVILEENRHCLSEVLVTIKGSAAQVQTAQQLVQEVLSEYREPPPWRYHGVETSPRLLNSPHGTRAGRDFLPPYREDQLSRNHYGPSARPRPGL >Et_6B_049154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18816149:18818556:-1 gene:Et_6B_049154 transcript:Et_6B_049154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLQPRGSGAAAGASSTTACRPGRATPPPPPTRKMVKREAEDEEAGELRRGPWTVDEDLTLINYIAQHGDGRWNSLARAAGLKRTGKSCRLRWLNYLRPDVKRGDFTADEQLLILDLHSRWGNRWSKIAAQLPGRTDNEIKNYWRTRVQKHARQLGCDVNSRRFKDAMAYLWMPRLAERAAASQAQQQAAATCITKASCPDLSATTADCLAKNSSNYYEHSPGASGVVPSSSSSDSSLTSTESAHEEKSVQLQNSNNDGDCWATTMPGAEDHDEFWSAAAPALQQLVINNDAGGHHHQFQEDLTGWVQGFCDGIVSDAAADEQSLWSLDDIWRMQ >Et_6B_049978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2412316:2412914:1 gene:Et_6B_049978 transcript:Et_6B_049978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKHHHSLFHHKKDDEAVPGGEYGSAAVGYSETVATEVVTTGENEYEKFKKEEKHHKHKEHLGQAGALAAGAFALYEKHEAKKDPEHAHRHKITEEIAATAAVGAGGYAFHEHHEKKKDHKEAEEASGEKKHHHLFG >Et_9A_062597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24308932:24311513:-1 gene:Et_9A_062597 transcript:Et_9A_062597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGGGEAGAELSADNMKGIVLALLSSGFIGASFIIKKKGLRRAAVASGVRAGVGGYSYLMEPLWWVGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNERLHALGILGCVMCIAGSVVIVIHAPQEQEITSVREIWNMATQPAFLLYIASVIVVVFVLVFYFSPLYGQSNVLIYTAICSLMGSLSVMSVKALGTSLKLTFEGTNQLVYPETWFFMLVVATCVLTQMNYLNKALDTFNTAIVSPIYYVMFTTLTILASVIMFKDWSGQSLGSITSEICGLIVVLSGTILLHVTKDYERIPQSRSIYAPLSPSLSTRLNGELLQHVRDERNSDEEKALRRQEMY >Et_5A_042495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1670752:1671555:1 gene:Et_5A_042495 transcript:Et_5A_042495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPTFNMLVKIFTMGGLLVASDFADDMRIDLWFLEDYDGANNGRWECRHQVAIPSIDAQLRAARRASRLIYGMNIVIAGTDDGEYIILRATEGLLVVHNVKTKSSRVTDRPREQGSWRIVETRTAPPGRGYCVGNLACIIQGPLTTIH >Et_3A_026391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7530641:7532616:-1 gene:Et_3A_026391 transcript:Et_3A_026391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMHRMPTRKSHSWWWDSHISPKNSKWLAENLEEMDKQVKEMLKLIEDEGDSFAKKAEMYYQRRPLLVTHVENFYRMYRALAERYDNVTGELRKNIPSSLQSQGSGISETDSETQSTSPSPEPNMEQKKPKQKHKTRAAGFDVFLGSGGSSDISKKGSDGSSSSSSSESDSEVDEMGEENGNGISYALNERIIELEEELQEAREKLEALEEKNMHCHQCEKLEGSLNQVSSEKEELAAAVLANKKEVEKCKEELEQVSEKYFREKSTLETELGDLQEVVKNFEGGLAKVSQEKSQLEARVMELEQASHSLDDSSAEIVRLQEMILELQAKQESDSSEKRVLEERTMEFEQVHRQLEDSRAEVRELQSTIKNLKDELEKAMQEKSLLQDRVKDLEQAANYLSASVAHNQDNFLLEKSSLSAEIQKLSEANASLGERLTSAEAQLEQISAEKAEASFESEKQISELNQAIADLNTRLELLSSEKATVDNRVSALLIDVTTRDEKMEEMDSHLHQLHLEHVKLIEEADVARKSVSDLHVRVCELEEEVEKQKNMIFDSAEGKREAIRQLCFSLEHYRHGYQQLRELLQGHKRPLVMAT >Et_2A_018219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18769826:18783154:-1 gene:Et_2A_018219 transcript:Et_2A_018219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTLFISLSPFKCTPASTFDSLLLELSTLRQRITSLKEISLAKADSVLFVSQGVGEMKTELALVAKLKHKNLVSLVGVCLEEHERLLVYEYMPNKSLDTILFAGRIMIWMTDPHKRKDLDWGKGLKIISGVARGLLYLHEESRLKIVHRDLKPSNVLLDFDYTPKISDFGFAKLFDIDESRGVTLRIAGTFGYMAPEYARLGHYSVKSDVFNFGIMILEIITGKKNGSLNGGLSNSDDYVDLSKLVWEHSNLGKTEEILDPFLVDRAPQDQMVKLIQIGLHCVQDKPADRPTMSAVNIMICSDSISLQDPSRPTFCHQEVEDDLGVTSANEVLPITVAHEDMSMKAFGGLVEDVVCSLHVLAPFLFWYLTRISD >Et_8A_057098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21060056:21061527:-1 gene:Et_8A_057098 transcript:Et_8A_057098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TVKVLNPLDPPAGNPNPLPASERFRRRAVAVTGRISLRLSHPQAALRPPPPLCFQICLSVSDNSGSGGGMSEMIPAAAAAAARCVRALSEDVVVEILARVPDVVSLFRCAVVCKEWRRLVSDPAFLRRRPWPEGALDGFFVQPYPNPILVPAPGSALGPRRRFLTSFVRNDASALDHACLWRRLTASSSCTSRLHPKRTAPSACARDLLPPLDTASLLDKAFRGYAVLTAADHGAGLHRPADGYSTFFQVLVTGIPSLDGGVSIVKFSSDFASSRTWNWSYIPRLMEGIFGILPRGSCVAVVTRGIAHWLFLSEGPNGSSLLTLDASINSEHVGATEIPLDVIPDIIPDLLFGPSYIGLFPSINGRLSLFNLDTQGLRTWSQQDGDQGGTEVWCLTESILSEAELGLSKLDTLSCLSTACIGEKGSTILVRYDSDPDHAYVVHLRSRSTAMVAGWNRSFNYGKAVPCEMNWLEFFASRLGVQL >Et_6A_046952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23039667:23042390:1 gene:Et_6A_046952 transcript:Et_6A_046952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RGALLSFPQNPTAVTNGGPRNPSHHAGARFRLHEEKEEALQEAQAIDVTVDASLTGGRSAAAAPVVAYFPSGYDPLASGREPPSSRLFRNVKHPNWVDLVVRSPAGGPDFVGQSYAGEAAVPQLCGYALGVLDKASGTLKVVPIAGEKILRLEPHLEVQKPAHSEQSGVASEAGSAVATGDMKVQDLTGMYGAQKDKETDKKWRSLNEHRNDPSAYEDLDLGRQETNASDSQAPEIVRNIPPYDLTADTSETAYLFDEIIPKNIRSHLLEILGHLESGEFSSKGYGTFVTNRVHKLEKLEGEDKERLAWILSYITHLLTLLARNSSMSKRHRKENQATKAPAVPQAVYRKLLLRFTEEGSSALSMEKRELLVNYILVLSLFADDFRSDPTDICADLKMTRQMIKPYYDQLGCKVVTAGAFKPTFMTLPAPLKFPKEVTRKRRQRN >Et_1B_011613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24150597:24158448:1 gene:Et_1B_011613 transcript:Et_1B_011613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTNTKMAVLVAALFAVLFAHGAHGQLLNHTTSAGRRELRGKVGPWLPAKATWYGAPNGAGPDDNGGACGFKNTNQYPFMSMTSCGNQPLFQDGKGCGACYQIRCTSRNNPACSGQAKTVMITDMNYYPVAQYHFDLSGTAFGALAKPGLNDKLRHAGIIDMQFRRVPCNNRGLTVNFHVERGSNPVYLAVLVEFANKDGTVVQMDLMESNSRYWTPMRRSWGSIWRLDSNHRLQAPFSLRIRDESGRTRVARNVIPANWRPDTNYRSVVHSTAAMASVLSSKMAALVAIFSVLVMYASYAEAGPWLPAKATWYGAPEGAGPDDNGGACGFKHTNQYPYMSMTSCGNEPLFKDGKGCGSCYQIRCLNSSNPACSGKAKRIIITDMNYYPVAKYHFDLSGTAFGAMAKPGLNDKLRHAGIIDMEFRRVPCNYKGLNVNFHVEVGSNPNYLAVLVEYAGKDGAVLQMDLMETNKQSGKPTGVWKPMRRSWGAVWRMDSDRPLQAPFSLRIRSDSGKTLVANNAIPANWKPSTNYPSSVQFP >Et_8A_057479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3389561:3392711:-1 gene:Et_8A_057479 transcript:Et_8A_057479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDELELAADKHVRYIVTVEKKKDSFESLVMEHIRLNGAYWGLTTLDLLHKLHAVDAAEVVDWIMSCYHPESGGFGGNVGHDPHVLYTLSAVQVLCLFDRLDVLDVDKVADYVAGFQNEDGSFSGDIWGEIDTRFSYIALCTLSLLHRLHKIDVQKAVDFIVSCKNLDGGFGAMPGGESHAGQIFCCVGALAITGSLHHIDRDLLGWWLCERQCRDGGLNGRPEKLADVCYSWWVLSSLIMIDRVHWIDKEKLTKFILNCQDKENGGISDRPDNAVDIYHTYFGVAGLSLMEYPGVKPMDPAYALPLDVVNRIFLRKASQRKNSAEAQIGTLCQGGSSSPDSVKDE >Et_1A_005268.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:36807672:36807776:-1 gene:Et_1A_005268 transcript:Et_1A_005268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQFSKRKPSCRGSSARSDSVHLMVRLADCLSPG >Et_6B_048991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16452569:16453591:-1 gene:Et_6B_048991 transcript:Et_6B_048991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANLLRTFFISEEESKTCSKILDYFKGEESVIDVHYDRIVSKIPYCKMDQDHWKLRAQQNKYLMKILQPDSTLSSQVHPSIATDGGTQPPIDQQINSIGPEYSNSGDGLLNLMSNLQQNLNETRFGEVKLKEQQDNGEKGKERVVLFEHSQVQHMFDAAFPQVICRFLKAMHAENALPPQIFDDTTLSDL >Et_6B_049485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5512839:5516887:1 gene:Et_6B_049485 transcript:Et_6B_049485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQANNGMEGLRLERWVIAETDLFVTSWLGLSFSSVDFGSGRPMFFGRASTITKGLIYLVPVPDSHGQLNVVVAMEPERFSRFKKLFYEDVIHVASNMHVLIYPTPWSESSNCVVVTLRIIVIGITDIIAITHIRPRDPRGVEAALDNTALNDGAVAKHGQRTVVLRVPACAT >Et_8B_059223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15585213:15585830:1 gene:Et_8B_059223 transcript:Et_8B_059223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDVFKALMHFILEGLIIKDYTELIRHLLLSAAEYRQICPGQAEAQMCSIMSYLDTMAATSTEPSSAQTTSLPFGTVTCPASRMRKAYGQRVAW >Et_7B_054707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3741485:3745129:-1 gene:Et_7B_054707 transcript:Et_7B_054707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIEELIRAIELWLRIAKEQVPLVDPTLDPVLLVPGIAGSILEAVDDAGNKERVWVRILAAEHEFRDKLWSKFDASTGKTVSVNEKSHIVIPEDRYGLYAIDTLDPDMIIGDDTVYYYHDMIVEMVRWGYQEGKTLFGFGYDFRQSNRLSETLDRFSKKLESVYKASGGKKINLITHSMGGLLVKCFASLHSDVFEKYVKSWIAIAAPFQGAPGYINTSLLNGMSFVEGWESKFFISKWSMQQLLLECPSIYELLANSNFHWKDAPLLQIWREKLDDNGKKNSLLESYEPAEAIKMIEKALSEHEIVSNGMHIPLPLNSDILKWAKETHDILCSTKLPESVKFYNIYGIDYDTPHTVCYGSEHHPISNISHLLHAQGKYVYVDGDGSVPVESAKADGLDAVARVGVAADHRGIICNHQVFRIVQHWLHAGEPDPFYDPLTDYVILPTILEFEKYREKHGDRTSVREDWEIISPGDNEIMSPAELPPMVSKLSASREGKDGSLEEARATVIVHPENKGRQHVEVRAVGVSHDG >Et_1A_006603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25155198:25158654:1 gene:Et_1A_006603 transcript:Et_1A_006603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATRQSRELWCLVFIFLCCGLSSSSSSSTSRQINKGSSSRVITHLPGFDGPLPFHLQTGYVEVNESNGVRLFYYFVRSERSPADDPLILWLTGGPGCSVLTGLAYEIGPLSFDYSHVHRLPKLLYNRNSWTKVSNIIFLDSPVGAGFSYSETEQGYKSSDTKAVNQIIIFLSKWFDEHPEFLSNPLYIAGDSYSGKIVPTVTSQITRGIQVGSEPSLNLKGYLVGNPVTDSSFDTPSKIPFAHGMGLISDEIYEAYKKSCSVGEDRHQTIECANSLDDIDESIKDICTNHILEPLCTFASPHGNKPTPKINSSARETLQLLDHTEDAELHLSEITLKCRTAGYLMSRTWANNASVREALGIHKGTVPSWMRCNYDIPYTSDIRSTVQYHLDVTTKGYRSLVYSGDHDLIVPFIGTQAWIKSLNFSVVNKWRPWYVDGQVAGYTRSYSNNLTFATVKGGGHTAPEYMPKQCFAMFERWVSGAPL >Et_9B_064127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11579075:11579449:-1 gene:Et_9B_064127 transcript:Et_9B_064127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVASSIAAIFAQRRALSAAITVVEGSGKMVVEKAVKLGTVAKDATSALATTTEEKTAFWEPHPETGYYRPVTGTKEVDAADLRAEMIKQRMLQEL >Et_5A_042912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6856954:6857675:-1 gene:Et_5A_042912 transcript:Et_5A_042912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGERLYAVTHEWSDHRKSFSTPLEVLSWAHNVVEEGRELWDPTMVAWFWKKSELPPPPLLRTEKDVVSYAETTELRHHGLRHRQRRVDGPRGLDAAVPGAGILRRRPERVGRAPPRRGRGRLLLPRALAKWHSHAAAAVLGEKLCHRTEDDTKHWGSGRESATTITYLGGDGRFCLVENILRGEDARDGAVIHVTVFGLMYDQKGELQTKVRRVTRSYEVAKNTRIFSHAAFWI >Et_2B_022575.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26312243:26313205:-1 gene:Et_2B_022575 transcript:Et_2B_022575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASSSKLALLAVSFLLLLLVPSTAAARVGASITKTINAFQTQHLELPDMIYGPESVAFDGNGAGPYVSVNDGRILKYGGESTGWTTFAYSPSYVKNKCSAPPFSELPTVARESSCGRPLGPRFHRDSGYLYIADAYMGLMRVGPSGGEATVLATMADGVPLSFTNGVDVDQVTGDVYFTSSSTIYSRAQHEMVTATKDSTGRIMKYDPRTNEVTVLQSNVTYPNGISISADRTHLVVALTGPCKLMKYWLQGPKAKTFEIFADLPGYPDNVRPDEKGGYWVALHREMYELPFGKDGHLLAIRIGANGEKIQAMRGLRA >Et_8B_058549.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:16418219:16419454:1 gene:Et_8B_058549 transcript:Et_8B_058549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTATTILLLLSSFICCGGRSKDRRHPSDKRALLAIKAAFGNPNQLSSWTPDSAASCCDWHHVDCGDADGDDSTGRVVGLSVVGDASVAGAIPDEIAGLDRLQSLDLRRLPGLSGPIPSGLSAMTHLSSLTISRTAVSGPVPAFLGDLAALTELDLSYNSLSGSIPASLAALPNLWSVDLRHNRLTGTLPPLLFHHEQDDGDKDDQEEEAFYYLKNLRLSHNNLSGGVPPEWSTVQFGTVQLSRNALAGDASALFGRDKPLQLLDLSRNAFSFNLSAVDLPEQIGFLDLSRNDIYGGVPAQVAGLADLRHFNVSYNRLCGELPATGISGGGNMDASCFQATPASVALHSAPATANHR >Et_4A_033279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2161543:2165173:1 gene:Et_4A_033279 transcript:Et_4A_033279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAAVVVEVSSDDEDGWRTPGGKRKSTDGGWRTPGAGKQPPDGGWRTPGAGKDNKSPDSGLEWAEKLFSEDYDEIGDGLDDSAVMQELLKSLMDETNIVVEGNKSSVDELEEKNSLPDADDDDDDDCVILDGDPEQAIVVAKEAEPRQDAAEDELQIVAEKGELACRDFPHPRHLCASLAFNTSSHASYCSMCHCYVCDSPAPCAFWGKGTGINDHCHATDKDAKWKRLRQSSKRQSQQMPKGGGIQNLLQSTSTTSADVMIPSTGRFPVSRTVSQNQQVHPSIMVSQNTGQPRAPSSMRRTTTQPNRLKRARPAPPVYTPSNGNYLQAPVSNNSPMQPALSRGFQRAQIPLENTFWSCPSLRPPTAPTSPPSLSVPKGSQGYQRQPIPYPQAPPNTVVGTGVPLSRCTSMSIQGKRHSQGSAADAMRMMDAITYLAHELGVPDYNIEPPVCQQSASTPQTLRPNQLLAQAMASQGAEVNQNYIAGRGPLISRIPRHNLSNHTSVSIALSSGAVQTKQPLCQLNSERSLDQNETAPSTVVRSLPWNDSNRATTPASRRPRPHHRPKLTTAAALPATGAHDTRLRRLVMVDPFNSTAMGFEIASLRFRSASDPVSAFSGAGARRGSAAVCAVQGRGGEMVKRATLSS >Et_5B_044062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19629445:19639182:1 gene:Et_5B_044062 transcript:Et_5B_044062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLNLKGKPSSILPNKIVRSEIQCTNPTRCFPGPHLAANKAEADRHDGTPITPKAPPPPNQQIVMAPPSRHVPALIDDLVEEILLRFSPDDPASLLRAALVSKTWCRLVSGAGFRRRFRELHRTPPMLGFLCRSGNGAGPFCTRAEGVARFVPATSCRRLPHAVAPRWHAIAADLHGRLLCYDMAVVTSQTTELDFFVYRPFTGEVRRLPSLAPPAVHRWCAALLCAAPGCDDDCAGFRVVVVSTKPSTRLTSAYVYSSEQHAWSLQASIQHRDVCVWRRRSARIGDALYFKCEKNRFCVEYHIIKKQLSVISLPSDCGDKAITLMTAENGGLGLAMVGVYNLVTLSRGASMDGEVAWGNRRVIFLSKLLPAVTDRMHVAAVVDVLGVILIGTENGLYSIDMKSSKVRKLWEGNVSRHIGNCSPHSPCNHSMTLLRPQRESGSHFQLTTFPKLVLPIAAPIHRPHHSAMAPPPLMEEIVDEILRRLPPKMPATLFRASVVSRAWRSLVTDQAALRRYRQFHQVPPVLAFFQNTEGEEDPRPRFVPTTTSPPPFASPDFACQDWMALDCRHGRLLVHTVEPLALHVWNPITGHQVEVPLPFEPHLPYNHFTGAVLCTAVGCDHLNCAGAGGPFRVVFVATATEGVEQVVTWASSYSSETASWTEAITIHPGPMMPSPDVMGPSLLLGDALYFTLDLVDRRVFLKYHLGQNALSLVDGPPMGGRRIFLVEEGGGLGVATVEDYVLRTWSREVADGGAWVQSRSVSLGRVIPLAVGNAEMWVVGYAEGNQVAFVSANDGVSLVDLRSGYVEKMTNTLSHNPVFPYTTFYTHRWIINPQEGQEMSKFESTSNIKNHN >Et_3B_030617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5469410:5471628:1 gene:Et_3B_030617 transcript:Et_3B_030617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPVLLMLPIFASLHHLTAAASSITAEDTGCRPATCGNLTITYPFWLAGRDTSCGPPGFQLTCYSSAAGAFLIGSYIMVLDIDYGSRSLVAAHAQLAAFGACGNNLFNVSSALAIMNRFVISASNREIYVMYNCNGTLPLPGAVPVTNCSDKSSNFVYLGGSYGTAQPPANDGSCELAELLVLVSEAAGATTASYRRLIKAGFRLEWRPVGDCQSCRSSGGRCRYDNNTAAFACLCSDGSLRSSTCVSLSAAAVFGCICLPWLMYRHKNEYRSFAYRFYSTARSNEEQVLRKYGSLAPRRFSYSELKKITKSFKENLRQGGFGVVFRGRLSDGRVVAVKLLIVVSISQTSHVNIVSLLGFCLEGSKRARVYEYMPNGSLQNHIYSELATEWEMLLKTSIGIARGLEYLHQGCNTRIIHFDIKPHNILLDSEFCPKITDFGLAKICHLNGSILSTAEARGTVGFIAPEVFSRGFGVVSTKSYVYSYGMMLLEMVRGTKETKEHADNSSEAYFPH >Et_2B_021627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3701434:3710303:-1 gene:Et_2B_021627 transcript:Et_2B_021627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTAASAEVSQDSADPPQVSGALKGPSCVLCRLACALVPAGGFFGIAGGSHRGESSHPEVLAEIGVGGSAGLSLVRHPLDGSGRILVRVGDGRPVSIGKHENLMRKRMEYAHCHKDPICRKWRSNIELEDLPEDVLRRVFAKLPINEVVRTSVLSSKWNQMWTTSSKLSLNCTTICKQPRYFCDKQKYTQEFIDGVNTVLRLLQGKVVEDLKVKFEFDNMLVDPLNDWISFANLALDLVPAEFRGYKDRYVFPFELFNSASRSQIRHVQLSCVSFKPHSQFRGFPNLKKLDLHLFDTERKGLDEMLSGCSNLEWLSLIRCRMKDELKVKKELPRLLYLCIAHCSITKVDLCAENLRTFVFHGMQLSIELGQIKQLETADLHLYGTTLEYVFTVLPNVFRSVQNLTLNTYLPLEMPSFLENIRNFSQLKILQLFLFIGSDGTDNILSLASFMRASPLIEKLDIHFDIPCLIHVESGSLRSLPRCSYDYMRSVHITGFTGIRGQLEFLLHVVENTPALMVLTIDPIKKIGHCGCKDSDHFASRASIRSELEGKISPRTKPPYEFVGVKDRYMFPIELFDSANISRMQHVQLSCVSFRPSSPFRGFPKLKKLHLHLFDVSRMYFEGMLSDCANLEWLSFVRCHLDDDLNLKRPMSCLRYLRVAHCSITRVQLHAENLQSFVYHGKRLLIDLGQVKQLETAELCLYGCTIEYVLDVLPTLLLGVQNVTLQASYLPLDFSIFQATLLVEDISSFSWIKFPRLLLLVCYSDSSNILSLASFLRASPLIEELEMHFDASCLEGVGWGTRRSLPRFNGIKGQLEFLMHIVENAPSLKVFDYSSKKEVGSLS >Et_7A_050257.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16075057:16075374:-1 gene:Et_7A_050257 transcript:Et_7A_050257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRLGRRMLSVKTMAQTTLMVAVEPSDTVLDVKLKIHDMEGIPVVEQRLLFLGRQLSDKEILADCGIQEGSTVYLVVWCYLRAGYVVGPEYLAYVDFLRMLRIT >Et_6A_047612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8048863:8051245:-1 gene:Et_6A_047612 transcript:Et_6A_047612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTYVGRCASHRDGLYVPKEACKKINAWVAKVTRNLIKGIVGRVGLQDTIVILANAIYFKGQWRETFDRKHTKDGEFKLPNGGSVVVPFMQSWKDQLIACHDGFKVLQMGYKMQDDGANSRCWESDDDDSDGSDDDSGTEDSDVCEPPRKKLKPTTTASDMGNEVPVFSICVLLPDSRDGLGGLVDQITSDKGFLFDHLPKQHLSSSGDISKVLIELGLRLPFDAEKADLSGMVEGNNTDDPVFVRGVLHEAVMEVKEEGSRAAAVTVMHMISMGCPASYRKPPKRVDFVADHPFPFFIVEETSGTILFAGLVNDPSQDKPRSRSDAVAGLTAFTLCLSMNLSAADGMGAAVATAKKLVFALLSIYAAGERGDTLL >Et_1B_013927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24209776:24213092:1 gene:Et_1B_013927 transcript:Et_1B_013927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAPRRARLGGRGCFLGGRGAGAHELLREGSLERDLSLNLKQTLLARACARVERLVHIKKVSTQEYYYYTKQNLMPHELDHTAQRRPLSEDQPARTGAGMPRAVAVVGAGAAGLAAARALLREGLAVSVFEKSSRAGGTWAYDPRADADPLSRDPAAPGAVHGSLYASLRTNLPRELMGFSGFPLAGRVFAGDPRAFPGHEEVLAFLDAFAEESGVAARFRLRAEVLRVAPLGPGQGERWAVEWRGQDGAVAEEAFDAVVVCIGHNTVPVVPEIRGIDKWPGKQMHSHNYRTPEPFRDQSVVVVGFGASGIDIAREISNVAKEVHIASRYCEDRLGKIESYPNTWMHAEVQNSELSLLAAQLSFHHKLHPHMTICVNANALIDCVCDDGQVRFAEGSAVAADTILYCTGYRYYFPFLDLDGLTVDDNRVGPLYQHVFPPKHAPNLSFVGLPYKSIVFQLLDLQSKWVAAVLSGRVALPSEEDMLAAVLEDYRRMEEAGRPKRHTHTLWPEWVEYLDWLADQVGVPRLEPRHSEMYEKVIKCIWSLDESYRDRWDEEEQWS >Et_4A_034540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4080400:4082520:-1 gene:Et_4A_034540 transcript:Et_4A_034540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSHDGAAAAAASHRAGPPVWILLDNNAFIDDMQNASTATAWTSTGQPIRVTLAAAEPPRDSYFCVHCPCLKKRKHGDDNDDVFAWLSRPHPPSVVYSNGDLALLCVPLSPSPDHTTDYFIYRAGRRPSLRLLPGTYSGVEFVRHAPIGLVPVGGGDADHFVLAALGYTKQTSHELHVFRSDRGTWTTTPLVLGTRARVDATKAIALGGGDIGWVDLRRCILVCDVLSDDDPNPRLVPLPKLFPCNLHDKRRRLSREYRDVVVGADGSIACVEIEHCVRRVETRDVSTADALYDSELTPGLDDDDAPPYTNLIVTWNRAASSTCWRKRGLIHVDDIVDNDPGHTALLLPMAGGDGGQSLTVRHLAVTAQVPSLSIHGGDVVYIMVKANQSDKKIWMLAVDMAKKTLEEVAPISAEESGCPSYISCALLY >Et_2A_018786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7639016:7641142:1 gene:Et_2A_018786 transcript:Et_2A_018786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALMITLLVLVLSSVRRRQRKKALNLPPGPRGWPVLGSLGLLADALPPHRVLAKLAARHGPLMHLRLGSFHVVVASSEETARLVLKTHDHAFADRPPTSFGKIIGYGYQGIVQTPYGPYWRMARKLCATELFSTQRIDSFERARAQEMRALTRGLFERAGTVVHVKKHLLNLTMHNIVRMVLGEKWSPGSHSSEGDEAFQRCLKESFTVTGLLGNVGEWVPWLGWLDVQGWVRRMKRVYVLFDQFNEQILNEHQKDDRAAGAAGTSMDDMRFVDVLLQLAEDGRLTRDGVKAFLLDIIGGGTETAAATMEWAIVELLRHPAAAAAATAELDRVVGRGRWVAESDLPGLPYVEAVVKETMRLHTVAPLLIPHHAREDAVVAGYDVPAGTRLLVNMWAVGRDPASWPDEPDAFWPDRFLAGGSGEGVDVRGTHFRLLPFGSGRRMCPAYNLAMKEMVATVANLVHGFEWRLPDGVAPEDLSMEEFFGLNVCRKEPLLALISSSQIKDEHGFGTLHPMPLLHPYLISLFNLSLSPHTSLPSTVKLWPA >Et_3B_028541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16665117:16669711:1 gene:Et_3B_028541 transcript:Et_3B_028541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVRAEVVCSSPELGGRITIAAQGGTPASSLHRRTGASWRAREAAEERYGLRRRGAEESLLPCALHLGNVNDGADLQACAISRKLVFHPIKEERPQEIILFSDTNKSEKQRSYYWTYVLDGLMHLPDVQEGAGIWTCIRDALLYGCAQFGTVSKKNNNHSKIKVPDTVEQADGTVNVNTVNCQNVLMDVLRSEDFVLLCNVLCRTVHQDEERTRYFDFSVIDSRMKNGNYGHEPGLFMHDLKRVWEDLKIAGQHIIGLANSLSSLTETYYENLVGRESESSDSELKRAMVTSSGPTNLAGSNALVPSSSQGVDQFQHSDPVDLDQLDQLDVFDKQKDSTCNQCGKEARGRSVLTCTKCMGSCHISCIEPPVPSISPESWFCRTCSMEKPSEGDMILADYQPNCLHENCAACDRLEGRSSPKYEDPPNDNSRAVVIYRMGSEDSELPEIDTVGSCKICGDPEEEDKRFLICGHTHCPYKYYHIRCLKSKQIASDVQRDKPCWYCPSCLCRVCLSDKDDDLTILCDGCDEAYHLYCITPRRTSIPKGKWYCSSCNMERAKGGMRQYERRMLKLHRKVDTRLQSRNHDGINLLLSAAEQLSADEQLVGCTN >Et_9B_065646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8651778:8653301:1 gene:Et_9B_065646 transcript:Et_9B_065646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAPSLITFPVRRGERELVGPARPTPYEFKMLSDIDDQDVLRFYRSGIFFYRGNAAKAGLDPVKVIKSALSEALVHFYPLAGRFRELQPTRKLVVECTGEGVVFVEADADVRMDELGPSLAPPVPCYDKLLCEPERPTADVLDRPLLYVQVTRLTCGGFIFGFQICHCMADGTGIVQFLTALTEFTRNVPNAPTVRPVWDRELLTSPYPPAITHDHREYAPLPNPDKDAISPTDDAFATHAFSFGPGEIATLRSQAPPNLRATTSRFDLVGAFMWRCRTAALRFDAGNLVRLHIFVNARVRNRSGRRPVPRGYYGNAFAFAAAAAPAGELVGRPFGQYALKLLLEAKARAAEEGYVQSVASFNAAHRRPPFPKARTYLISDVTNAGLMAVDFGWGKPVYGGPATTMLATFHQEGRSEAGELGVLVPMRLPAPAMERLKLMVAKELAAADDHVDGFAKL >Et_3A_022994.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:14780413:14781870:1 gene:Et_3A_022994 transcript:Et_3A_022994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDGRAGVLVLLLRRGAGPGRTRSRCALLLLLVASRLAGGLLGVGRRGRALELGAPSARDAEEVGGVAVLGDVPDVAGGAGAERWRGGGLGLVLGGRGGDAHDGVALEHGLDGGLAGLPLARPEQAHGAVDRVHDAAAGLVEEGPEHGRAEVVGAGPRGRQEVQKPGPPVELGQEDGGVGLRLRGLDPLQARADRAGVAAALAQHPATVAAHPHLLLLGDGCSSSWRPSCWRWL >Et_9B_064002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:148643:152035:-1 gene:Et_9B_064002 transcript:Et_9B_064002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTRKRALEGTESLGGGWRSEEEGSLRGSRRRWQPPLMGEEDVPAGGGDCAGVGVDNKQIYYFLCQNALYMYALRYFRISSKSATASIPSDQEVQTPWRRDPSSPSSSPSAAGGAPFVVAHKKVSLSRPGPGVERLAVTLDIYNQGSTTAYDTSLNDDSWPREAFELVSGSTSKIVKKLDPGSTASRNFVLETKVQGQFQGSPAVIKYRVPTKAALQEAYSRPILPLDILAERPSQRKFQLVSYTHRIQFVLLTCWEVWPVGVCCLLCWSVGYLVARPSKSHGAKGSKKKR >Et_1A_009369.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4193700:4193915:-1 gene:Et_1A_009369 transcript:Et_1A_009369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLVTTSGVATLAAARALQGSRYVAEHPATTGGAPSSHWTGMHRGLLSLEESKLPGHSCKTNDVNIGCP >Et_1B_012878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3793862:3797990:1 gene:Et_1B_012878 transcript:Et_1B_012878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPLLAILLLAALAPLAAAQPQRNIQTRFPSTRRPAFATPPPPTILPPATAPPSPPGSPSAKRGDIAVAVVSTALSSFALSGLAFFVFLRHGKKKELTAGDGNGYPNGTQQGAAFAGKRPERSPKRPPRGAEGGGGIGMVDENGLDAIYWREFEKEGDGAGRGRKPATSWRPPQPPPPRQQRAERWPEPQESSSPSPPRRSRKNKIDQEPLIPRGSLDSASAVFDDSPRPPSAGTSSPFSVNAAEACARPPHQAITVSAVSRPSPPPAPAAPPSASPSLPPPPGRESPPPNPFIAAASSAPPPPPPPKAASPPPPPPPKAGPPPPPPPKGPPAPPPPRGGPPPPPPPGGKKGGPPPPPPKGGASSSSRPPTAPGMPSGAGEQQAKLKPLHWDKVNVQATEHSMVWDKITAGSFNLDEGIIEALFGTAAANRKPKSAGSKDASGESSASLGRSDTPEQIFLLEPRKSHNISIILRSLTVGRDEIIDALRDGHTELSAEVLEKLSRLSISKEEESTILKFSGNPDRLASAEAFLLRLLLDVPNPFARVNALLFKMNYGAEVAQLKQSLRTLEMASQELRTKGLFFKLLEAVLKAGNRMNAGTARGNAQAFNLTALRKLSDVKSTDGSTTLLHFVVEEVVRSEGKRLSINRNYSLRRSGSLAKSGHEGGSSSATQGPSRERREEKENEYMNLGLPMVGGLSTEFANVKKAAMVDYDSVVSECAILGSRLADMKRLLETCGDDGFASGLRGFVKAAEQELSALRGEQEKVLELVQKTTEYYHAGATKDKNAHPLQLFIVVRDFLGMVDQACVDIKRKLQQKKPPPPPSSSQPTTAVAAPAVAAAPAAAAAKEATDGQAAPAQRPPEEADSRRKRVMPRFPNLPAYFMKDNADSDSSSDEE >Et_9A_062824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5532480:5536956:1 gene:Et_9A_062824 transcript:Et_9A_062824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSTIRPQLAKAHEASPDLTEAPKQRKLQNSFRVPLPAPTPATTAQPVMAARRSTPTTPRCILSFLSLLLLGSSVVPLAAAYRPGDIVPMLRSGQYHASRSVWFDVVGRHCPAFAVNREVLMPIPKPTGFTGADPYKITFQIGHEKFHVPWLYVINRKSSEVPLIDFHLKYSGNDLLGVTAKVVDMPHHYVEVHPDIKKNFWDLQTWPKYVLVRYTWEEQSEIDVTGGFYVLFGSGLVLSFILAIYVLQSSQEKLTRISNSFGRTTNKIPSLLFGILHIYHLSLVDLQTPKKKDVEAQ >Et_3B_028804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19300164:19303123:1 gene:Et_3B_028804 transcript:Et_3B_028804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASVAGAAASAHAATAGRRRDGGRREPASMHAGIRRSRSEPHLRCSRRGGAAGAALTTSRSIGVFPFQFGAAPLRTPPLPDGGGDGSRLLTVADDDPEPGPGPEMPTARRSEAHWLERLLELRSRFHVPANRDVLEEDDCVDHDDDDDDLYRLDAGELEDDDGGCGVSYDDEEEEAAEDASWDRESFGKLLARAPPGEARLFAQLAFLCNMAYPEELKKHYGLVYKTSSLEKKAEAGLVNAKLDADSTRPRTAPAYEVASGPQPRRPIRSHLAYEVAASAASYVQARARGLLSLGGRRQQHSGQGRLYNSGVAAYMAASTVTAVVAAEDEARQEAARDLRSPLSSPCEWFACDEADGRTRCFAIQGSDSLASWQANLLFEPTEFEATGVLVHRGIYEAAKGIYEQVLPEVEAHVAAHGGAGGKARLRFTGHSLGGSLAVLVSLMLVSRGVVAPEALHPAVTFGSPAVSCGGHRALEALGVGEGHVRAVAMHRDIVPRAFSCRYPGHAVALLRRLNGVLRAHPCLNNQRMLYTPMGATYILQPDARASPRHPFLPEGAALFRLDPDDVAAERRPPRALVASALRAFLNSPHPLETLSDLSAYGAGGAILRDHESSNYFRALSALARPRRPKQPEIVWQLPGVERLQRYWWPGIAGTVVMPEPVAVRTTELVSEA >Et_5B_043511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11361886:11362436:1 gene:Et_5B_043511 transcript:Et_5B_043511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCMDYLTNTGGVTTPPSACCDGFRTLVSDAPICLRHGLNGDINKLHASAPGLHAHDVAPGDVPRGAAVTDAPQLDLCRRYCCLLRLVLSPLHLRHRVLSPLDLRVTTRKQVSFAGLI >Et_2A_015179.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34449932:34450162:-1 gene:Et_2A_015179 transcript:Et_2A_015179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSYKSVAMFMLLLSAIFFVQLTMPTHARKLEVRAPVISMHRSSTGRSVLQAPDMQTDSTTPGHSPSIGHNSPPN >Et_5A_041723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26316003:26342968:-1 gene:Et_5A_041723 transcript:Et_5A_041723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHYLDKIRVLKLSRCTFNFPSTPFRCCCHNLRFLWLDHCQVTEINISTTGGARTENSDDIRRCFQSLWVLDVRYTAGCSHILSAQMLDLMTHLRELNVIGAQGWDLGQLQGRLPNIRKLRVQKCRNISCSCSKDDLFSKANKMELLDFSENVTNDSMRSLCGPRVGNNNSSLETVIVHSSYGSGVRKISFRGCTNLKNILLHGSTSLNTIDISRTAVKTLDFTKQDISFLNELCLLDCEKLYAIMWPPEDKRSKDKPAKLHINTTQSAAQPSRCKEREESNSPGTSLSYIPVLHGNHPVSEFDWYISVRDARLLVSLEPVYSSSRKIYVEISSTNVATGVSEHERIVERGSNNLLPVIGAQQQKQPACALIYADVTVENLQQGDDESNGDTPRTVCMWPCPDAPHLPKERCYIYIQDQRGAITVPNFVIDCAMIILSIIILPSSARHGSEWYDLEWCRIERCPELECVFEPRHIGGQSFKLKTLWASEVLKARYIWNWSEPSDVYTSPQFHDLTYLHLDFCPRLVHILPFGTRMMETVKIRGCWSLRCLPTVQNVVKCDCEKEWWDSLQWEDASQKKLYKPDHPRYYKKATLLKGSIDAAAKEILILLNEDPNTDRSISSRNNVFYFDGWDGLGASAVLRAIARSGTATSNQEPAASAGSSVPTGLEFEQVLHIDCSLWESRRALQRVVAEQLGFPARVMYQDEEDDFRGVAQGSRLELQPVIREMSQHIQKLNRRFLVIKTDTIDAAAKRIIILLKEDPNTARSISSRNNVFYFDGWDGLGASVVLRTIAQRLTGSRTELQQVTKIIYQHMQMLNHRFLVIFHNGSTEEIDLSSFFGFPQSGYSTNKVLWTFQGRFRLKPRSKVDSTLKSAGTTDAFLSATHRLKGPHDLWSYLVHEEAEELIAAPKINTTPHDIISQHPNVVAECFVYMLELCRIRHQSIYYDLVTHSANYWICDGIIKQPPLGETDIRTYDHDDDGLWTTAEALQRDICPCIWQQRKPYWTSPTHGIFLLTPTTSRAIFQHPLDTLIRVVKLSRCTINFPSPPFLCCHNLRFLWLDHCQVVETCSGNNNAIGGAGTEDDDIHRCFQSLWVLDVRYTKGCGHILSSQMLDLMTQLRELNVMGAQGWDIGQLQGRLPNIRKLRVQKSTTIHCSCSEDDLFSMANKMELLEFSGNKTNDSFRSLCGPGAGSNNNCLETVIVDGCAGLKKISFRGCTNLKNVLLRGEVHNLYTLDISTTAVKTLDLTSIGTLFLDELYLLDCKKLYAIKWPQGIWHLIPSKLLISTTQSAQPTRCVEQKESSSIGTSLSYIPVLHGNKWVSEFDWYISLRDPRLLVSLEPMYYMSSRVICVEISSINVATGARKYETTIESGPRSLMSISPWKTYNRAMIRAIVMLLGLGVCGLIQMLHTFLNRAATCKYKISKPGHMRHQTIEYKLKIFCASQLLKPNYIWKWSEPSQIYRIFDNLTYFHLDFCPRLVHILPLGLLMIKPNYGFRCLETLQVMWCGDLREVFPLDLRTKQQQLQPQTPLPPILGRSPPQMPLRMPLPPPPPPPQSRMLPPLSPITVEFPKLKCIYLHDLPTLHSIWEPGTSISAPEIETVKIRGCWSLKRLPTVQKAVQCDCEKEWWDSLQWEDASQKKLYKPIHPKYYKKATQLRGSVIEASTIDAAAERILVLLKEDPNTTRSISSRNNIFYFDGWDGLGASAVLRAIARRLTTKLKEKIASAGSSVSTGLELLVIFQNGSSEEIDLASFCGFTLSGYSNNTVLWTLQGKFRLKPREKFYSTLKSAGTTDVFLSATQGKDLHEFFFEKDPHDLWPMLVHEEAKELVAATKNNTGPIGNIHQHAQVAAQCFLYMLELCRRNHQSIDYDLATHGASYWICDGIIKKPPPGEIDIGDYDDHDGLWRIAEDLKHEMQLDAEYHQNLLPLHLARCVEKKPYWTSPTRGIFLATPITRAIFQHPLDKLLSVVKLSRCTINFPSLPFLCCHNLRFLWLDHCQVTKTSNNNNTIGGPGAEDDDIRRCFQSLWVLDVRYTKGCGHILSAQMLDLMTQLRELNVMGAQGWDIGQLQGRLPNIRKLRVQKSTTIHCSCSEDDLFSMANKMELLEFSGNKTDDSMRSLCGPGAGNNNNFLKTIIVDGCDGLQKFSFRGCTNLKNVLLRGEFHNPYTLDISSTAVKILDLTSVGTWLIHELYLLDCKKLCAIKWPLVIRLLILSKLVISTTQSAQPTRCVEQEESSSIGTSLSYIPVLHGNHPVSEFDWYISVRDARLLVSLEPVYSSSRKIYVEISSSNVATGVSEHERIVERGSRSLLPVISAQQQKQPACALIYADVTVENLQQGDDESNGDAQGPCVCGLAQMLPTFLKRAATYTYKTNEVQLPYQTL >Et_8B_059142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14314205:14321280:1 gene:Et_8B_059142 transcript:Et_8B_059142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRPGMDAEVSYGFHSERKRNPDKFKNQMTNQIILFFSYYIFYLLGTYAKVGLKKGWFCASLSHPSSSICASRSIRSIVYLNLPSFSGGLNAWGTPGTSRAADVSFQREFTGLISLLASVMPVMDWYCLRLTDMALALYRLIIPPHKTDITIYPVVQARGIRFEFHKGAADHTFMRNEGPLGAVEAAPPEGRRQSLRRSPTSGRSPCCGKCALQVQECQRPFVAIAGPSQEWVDGKRKFGAAHTFKIPDEIDIAHTQLSSTIWRIGRFREAISDNNKERLDVGTEGQSSELALGSLQCHYLDIYGIVSQKQERMPKRFSLPSRATASNSEPPPSVFGRNHPALTPTLTFPIPASTKERHPPAARLPRTPAEENGRRRRPPRTRRRRSPCSIRHGRPRPALLATRGALFLILCPSNFQIRVLLHPLPLLNLRSVKGRNGGWLIEAILEVAAHDMILCMLGDMKTSFHDAMKSSKPLQLPQVTPPAEILAALDMIPDLARSDKLRSYGKLILSERLFQALMELPMELRKKWLL >Et_8A_058225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2979199:2986660:1 gene:Et_8A_058225 transcript:Et_8A_058225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRGRRRLGPWRLPAAALRGLSRLAPPAPADADPVVVRVDRNNVARLGAPKPGPRPRQLLSLPPFPGGVDPLPGRKVAPRRVTAVSWVKHYFADVPQEVVQAHFNKRMVFYECSDNEVSAESIQTQKHHLKKIRHNDVMRPGMRIHLPVSVAEGEIKKRYETIPTATLHPNKDEIEYLRRLVMHRDSAILVLNKPPKVPMKGHLPVHNSMDVLAAAALSYGNEEGPKLTWNKACEAYMQRYWALVIGTPKEREGVISAPISKVLLDDGKAERVILAHPSGIDGAQEAVTEYRVLGPTINGCSWLELRPLTGRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQNPQPDFEPFTGEPYKLRRPEGLEVQKGSVLSKVPLLHLHCREMVIPNVSKFLSNGEWHNDGAPWSKDKPNVLRFIAPMPPHMKISWNVMSSFLVGMSSSIRRKCRRRTVADADADAAATGDASSWASLPEDLIGVIAPRVMAGDLLDYVRLRAVCPHWRRSAPSPRGRGIVDPRFHPRRWIMLPEGHGLHPGHTRLRGYVRFFNLSTGAVVRVRLGPLFRHHCALDSVDGILLLQRDHDGAVRLLHPFTGDVAEFPPLATLRPYVNLVESDLWCHVRKICAASISVSSADEAVTVMMLLPVTTRAAFATSGDQRWRVSSWSVNQIFRPLAFQGKLYFFRQSSNPYSGAEVLEINPPQQEGTDLFLLPPKLIAKSPASSVIFLHHLVECDSEILVVTSDFAPDTKVSVYRLADLALEKTVPLTSIGANSLFVGWNSLCVSAMAFPAIVGDTIVFTQYERRYLLQYHLDSGSVSPATDEQRTHYVSGDTEGMAGEEKVATGDNASVLVHAEQLVIRPPPLPAGRLQQRFRARVEAALAECLEDDAVRGDDGLGLAVQPFEREREVPGEAALQVRVDEASVRDEVRRDAVAAHVVGREVEVPEHAHLGEGGGADVEGGEVRPEPGGHHLQERALQRLHLEVCREGEEVQVPEQVAGVAAAGEPSVEGERAELVVLLAGDVGGRDGADGARRDEEAGAFVPAVGGLHLWHGAGGGVRAEEGVAGGVVHAEAAAAHLQHGVLHARELAGGAEAGDGEWVEDPRRGGVTRRELPHGEEDLVEAAEARVRREEARRRGGEARRCGAVEELRQRDRASSKSPASARATMSAAVSPASEAVALRRGAAVARRRGGCACAGQR >Et_3A_025509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30371041:30376285:-1 gene:Et_3A_025509 transcript:Et_3A_025509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEVMEQIGRGAFGAAILVNHKIEKKKYVLKKIRLARQTERCRKSAHQEMALIARMQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKANGTYFPEEKLLKWFAQLVLAVDYLHSNFVLHRDLKCSNIFLTKEHDIRLGDFGLAKTLKADDLASSVVGTPNYMCPELLTDIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPTCYSSSMKALIKSLLRKSPEHRPTASEILKNPYLQPYVNQYRPFADILHPGRSPEKPITSSRSSQRSMSGSQCSSISGSDMDSLQSSERNTSGVASSSNNTIDTEGAENVQRSKGTPGPELERQDSSKSIHINQHPKNETKRPKIIKKILTTLREESKFRESNSPIRASRVKLNSPSNRERLSDDSKHSDNSSSSKSSEVTSHESAKVSREPVKRGQASPPLKHLSPIIEYSPKVKIKMDEPLHLDPSKQIMENVDVAVGKAKNKTPPNFIRCPSIPSRRQGAVSLSRQYTVTKRAHYKVIAEHVKSPSRPVHGPDDAHVEFPGFPMIPPSHLEGIHKKSGNSRAKSAPPKAVASKEDSNACSSSVVGHAETINQSETSEPDSPTYLVSSCRCSVPDTTMQKQGTTAKPISDVNSTNLQKEMASNDDISQSSTMEPCSDIIEQEFVCKDDMPSSKVGKCSDTITVQSDEDKFTVQELLSSVPDAAFFVPTTKSIPPDEGSNPLQLLQKSSAPHFDSPPVEDVIHVIRQNNFRASGEQTVKESTETVVQSTGVGDLLNMVREEMPVRSIQPNLSSSELTGPATVKPHASEASTIIQKPAITDVGTLPAIPEVNFCAPENNGYKEETAPAKEILDVTSFRQRAEALEGLLELSAELLEHSRLEELAVVLKPFGKAKVSPRETAIWLARSFKGMMSEEASRSST >Et_9B_066164.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:5334819:5336000:-1 gene:Et_9B_066164 transcript:Et_9B_066164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGEGAHAPPWQQQHSPASGGVDGDDAYALLAALRRYLPSNEAASYEDADEEEEAVAALDAYACDEFRMYEFKVRRCARGRSHDWTDCPFAHPGEKARRRDPRRYHYSGAACPDFRKGGCKRGDACEFAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPEQLRVLPPQHQHGSSSPRGAASSAPSPLAESYDGSPLRRQAFESYLTKSMMMSSSPTSTLVSPPRSPPSESPPMSPDALRRGSCWPGVGSPVNDVVASLRQLRLGGGSPRSAPPSGASFLAAGYPYGSPKSTSGLYSLPSTPTGPSPVTVTTTSGATVMTVERLNLGLLEEAEPVMERVESGRALREKVFERLSKDASGSSDTAAAAADGAAPAAAPDVGWVTDLIN >Et_5B_043872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1718069:1724527:-1 gene:Et_5B_043872 transcript:Et_5B_043872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTERDQFTDEEEEDNLDEEMEDDQDLGSEDEDGEGGAKRKRMLERLAVPGKRGVCYLSRVPPHMNPSHLRQMLSKYGEVLRIYLVPEGQGHRKHTTVKAKAYSEGWIEFAKKSVAKRVANLLNGEQIGGKKRSSFYYDIWNIKYLRKFKWDDLVGEMAEKTHIREQKLTLEIAAAKKQRDHYLSNVEKSRILKHIQERRKKTHSGEQKLTLEIAAAKKQRDHYLSNVEKSRKQKTEGTEPTDALETKTVRPISQKKPVGETGKKLNQIFQKTFWPECLVVHHDRLLCSDDMKLPLAKLNGREHNIRLHSLLWIFVDYYMTLRLEI >Et_2B_020806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23844520:23847816:1 gene:Et_2B_020806 transcript:Et_2B_020806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRANPPAGAAAAAEFEFCELCRRNHDQGRRHRYFPAHRAALAAALTRFRSKLTDLRRAVLRGASSSQPPRPRIWCLFCATDLVDLDSRSACTNAIYHLASNEHLKGVKDFLRKHGGGMDQVDSLRISEDELAKRVMELPIPEALLILLRMELLDCPSHLGDRSIHRSSRVCRLQIGFILLILKRKVRSSVKLPCHQNTSIRNGPNPLISCPVHVSSKANVHTGAPPPWLEASECDPKSSSLSSGGLPSSGKGRLKKLNPKRVGAAWAERRRAEMELEKRGEIVPETSDSSWLPNFGSVWHSGTRKESRKEFEKKHKLQDSKHENEPSLEIKPYISKRMRVGSDNVEQPDKLVEQ >Et_4A_032963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16116366:16116896:1 gene:Et_4A_032963 transcript:Et_4A_032963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYALEGVFSIKSDVYSFGVLLLELITGTRRSSITRIMGFPNLIVYAWNMWKDRRTKDLSDPSIIDTCLVDEVSLCCHVALLCVQDNPDDRPTMSSIVYALDNGSNTLTTSNRPAYFAQRSNEIETLSGEIQNSTNSFTITIVEG >Et_9B_063912.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21084808:21085593:1 gene:Et_9B_063912 transcript:Et_9B_063912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GHHPEPARRAPQPRGARPQLQLLLRRRPEPARRPRAAPEAGPQLQQPHRRRTELHHPPEKPHVPRHEQQRAPRPPPRGPLGPPRPAVPHHGEQPHGRRAAAVGARRHRAAAGDPARQLRLLGLHTGHARAADEPHHAVAGEQQPHGEDPRGAQPAQADVPPQPQQERAGRRRAIRRRVPQAARQEPRPQRQPGPLRHRPRRRARRRRRRLLRRRLPNIRRRWQLRRRKTTVPASRHEGFLAACNQAAAQARRYVAVLLPFAL >Et_6A_047209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26834047:26849669:1 gene:Et_6A_047209 transcript:Et_6A_047209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLIRLDPVLDDPAVVAALVEVPIPEHDAAVVARLLRHRQHLVTERLGVRVPRPGRRRRRRQADVDLGERVRRRPLGPLRGVEAGGAVRVVVEPDVDALEDARVERLLDAVVGERAVRRRRQVQQLPVAGADVVLRELQGEDVVVFRRRGAVEEHVDAVEPRVAERAGRVVEVAAEVGVPEVVEEGERRLVRRQRVTRAEAADGDGDRHAKELAALDGGVHAGGRVPGDVQVVLVAGAVDVQERHDHHAVLAGVAGLTERALVPVPAPEHRHLTGLALGGGVAGEEAAGHDGEKSEQAPTMLVLLAAVTISFLAAPASATLENKTGQVTVFWGRHKNEGSLREACDTGRYTTVIISFLDVYGVDGKYHLDLSGHPLGRVGAEIKHCQSKGVLVSLAVGGFGGDYSLPTRQSALDLSAYLWNAFLGGAKAGVRRPFGDARLDGVDLFLEHAAPGGEHYDVLAKELAKHKIRGGKRKPLRLTATPRCKFPDERVRKALDTGVFERVHVRFYGDDQDCRVYWQELWGNWTAAYPYSKVFFGLPASPEAGQGYVFPKEIYYSLLPVAQTAANYGGVMLWDRYYDKLNDLSSFHDAAVVGRLLHHRHHAVVEILHEYPVVSLLLRRQQAEEDPARRVRSRPLVPVLEHEYAAVGVVAIERHVDALEHARVQRLLRQTVRVRAVRRRRQVQRLLDCGVVLGELLGEHVVVLAGGHVLQEKVDAVEPRVAEGPAGVVQVAAQVGVPEVVEEIQRRLVRRQRVVASETANGERDEDALGLAVLDFVAHPGDRVVGDVEVVLAAAVDVEEGHDHHGVHAGVAGLAERAFVLVLAPEHGQLPVLDVVAVVAALVVVPVPEHDAAVVGRLLHSRHDAVVEVLGEDPVASLLHRRHQAEVNPAARVRRRPLIPTLAREYAAVRVAVEPHVDALEHARVQRLLHQPVRPPAVRRRSQVQRLLPPWDVALGELLGEDVVALAGGRVDAVEPGVAERAAHAGLGAAEEGVPEVVGEVQRRLFGREGVVAAEAADGEGDEDALVLAVLDVGVHAAERVPRDVEVVLAVAVDVEERHDHHGVHAGVAGLAEGALSLNTVSCPVLFTSSADAGLARKKVVTKMRTVAWARGVIGALLSSKLDMAASRRRSAGLLVSVALAVLLFLAGAATAKKTGQLTVFWGRNKDEGSLRETCDTGLYNTVIISFYSVFGHGRYWGDLSGHPLNGVGDDIKHCQSRNILVLLSIGGGGNEYSLPSTQAANDVADNLWFSHLGGRRNGVFRPFGDAEVDGIDFFIDAGKPDHYDEMAWRLRWYGRYGGGKKDVHLTATPRCGFPDWRVEKALATGLFERLHVRFYDDASCSYNHAGVNGVMQQWNKWTARYPASKVYLGLVAANLPGKNDMVSPKQLYLDLLPNVQKAANYGGVMIWNRFYDRQTGYGKTIKNWA >Et_3A_025382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29307983:29311973:-1 gene:Et_3A_025382 transcript:Et_3A_025382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAASGGGEGEELLLLSAMEAGNGAAPATAEQSWRLNFDGFRPPEAHQERPPPHALHHCLGVLAQGPGDVVAEYYQQQVEMLEGFNEMDTLTERGFLPGMSKEERDKVARSETLAIRLSNIANMVLFAAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYRYPIGKKRMQPLGILVFASVMATLGLQIILESVRSLVSEGDEFSLTNEQEKWVVDIMLSVTLVKLALVIYCRSFTNEIVKAYAQDHFFDVITNMIGLIAALLAKYIEGWIDPVGAIILAIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHDKAVRHIDTVRAYTFGSHYFVEVDIVLPSDMPLQEAHDIGEALQEKLERLPEIERAFVHLDYEFTHRPEHALSHEK >Et_3A_024949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25627337:25632639:1 gene:Et_3A_024949 transcript:Et_3A_024949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASFVTHVLRPRELPVLEFRAPPPSPVTGVLTGSSSGSSGYGESQDDDEIGRFLRCSARVPVLRLPDGPVPRRKKKKAAWAPPAIDMRLLDSPVAGGEPEVETLKSAAVAFGCFQVVSHGIDVDLVSAALRAAPTRKGSPTLEEMLEGIGGDAGGEELWWPPGEGDHNMAGNSTLSNGANQFRNRADDLFTQLEQISTKLMHALQQGSTEAADTTEPLGEADTNGSLLCIRKHHREQRNDSGVSGPLSQDDVLRMLVRESRYSRALALHLCPGASAFQVFSQRGCSRFRPLDGAAVVTVGNQLQELSGGLDKSVSGKPAYSSDDLQGGGSDNVISAEFFLSCSSASTAEAMNADAGKVVPLNLQIMLAAGLLLVYHFILSFLYATRMEIASIAIQATISTDILRNKRNDGDAQLKANGKLFAESDNSRVGTIIPDIPQAGSKHIHNLIFPNRVN >Et_1A_008139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4670359:4672907:-1 gene:Et_1A_008139 transcript:Et_1A_008139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWERARAFAGEAAKRSQELSAEAAKRSSALVSETAKKSKEIFSETASKSREIAAEATKQADLLAGQIKHLASDLPVPSIPPIPAIPPIPTAAASEPDAAELERYGITEDLRDFVKGMTISTFRDFPLQDEPEMADVPTVSNVRQDLNEWQARHATLVLSAVKEISKFRYELCPRYMKERKFWQVYFLLVNNYIAPFEKKYFEELKMKAEEEKKVSQKEASQTTQATTVEQTDTKVPSKNSTSLNPEHDLDVFLLGDLGSDDEGPEDGGGDGLDDDFDKIDGTSVSTHVPLRNKLAASTSYNHDLVAYSI >Et_1A_006615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25198387:25205093:-1 gene:Et_1A_006615 transcript:Et_1A_006615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASSSGVVYEGWMVRYGRRKIGRSYIHMRYFVLEPRLLSYYKRKPQHKDKVAGGGKLPIKSLHIDGNCRVEDRGLKMHHGHMLYVLCVYNKREKHHRITMAAFNIQEALIWKEKIEMAIDQQQGVVTADGNRAFTSSQQKAGIENGRKSSSSDHESQYSNEEEEEEEEENQRSLLRRTTIGNGPPESLYDWTRENDMGIVNQGSPEQVFSKRHWRLVRCLRMFEELQDVDYLARSCSRAMKAVGVVEASCEAIFQLVMSMDTTRFEWDCSFQYGSLVEEVDGHTAIIYHRLQLDWFPMFVWPRDLCYVRYWRRNDDGSYVVLFQSREHPNCGPQPGFVRAHIESGGFNISPLKSRNGRIRTQVQHLMQIDLKGWGVGYVPSFQQHCLIHMLNSVAGLREWFSQSDENQVLPRIPVMVNMAPPVPSKKGRKGQDNSMQTSLPMDQSRHSTMLEEESDEDEEFQLPESELEVDELHMFFLDSEIDLSGFSGNLRRDDRDNTRDCWRISDGNNFRVRSKNFVYDKSKVPAGKPLMELVAVDWFKDTKRMDHVSRRKGCAVQVAAEKGLFALAINLQVPGTTNYSMVFYFVTKKLIPNSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDITYIRGANYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQGNTYEELPERLIGAVRVSHIELSSAVVPVLED >Et_6B_049953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:234432:235344:-1 gene:Et_6B_049953 transcript:Et_6B_049953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKALNNTERMSLMMLLDRSIAKPPADKKDDTVSVSKAQGGPLLHTPLGYGLAGAYPQPGNVLPARPAQALLLVPTMVPMLLPDGRIFLEQS >Et_1A_004540.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14268393:14269043:1 gene:Et_1A_004540 transcript:Et_1A_004540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMFSKRLTKSDVGKLNRLLIPRKEAGRFFPTALGAMGADALPFLIFEDSTGVIWHFRYTFWQSSKTFVLTKGWIRFVKEKKLSNGDTVFFYQRAGEDSKTMHRYIYFKKQDQVSFVPHDVPPPSGTLNDSWLRKALISSSHYTTNMGWKHVSSGSMGATPANLPILPPSFVPQVPALGGGLGPAKKRLRLFGVVTPTFCNSIKMPRINKARSHK >Et_1A_009397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4738554:4740470:-1 gene:Et_1A_009397 transcript:Et_1A_009397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNAMPQTLPEIPSIPDLKSASPLEIPQTNSSLAAAQTVLPGKTNPSHKGESNQQKPGHHQKGSVDDASMKFPTELSDKMVVEHGSMNGSSTSFQICEGSSQAKVSANARLTDRSEIGDRGNGSRCRPSTSSDISDESSCSSMSSSTKPHKANDSRWEAIQIVRTRDGLLGLSHFRLLKRLGCGDIGSVYLSELSGTKSYFAMKVMDKASLASRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQKQPGKYFPEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIKSSNPDAEALRKNNQGYCVQPACVEPSCMIQPSCAAPTTCFGPRFFSKSKKDRKPKPEVATQINPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPEYPVVSFSARDLIRGLLVKEPQQRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPKPVEIERPSKLPASTSNTADTSTGAPQKGSDGYLEFDFF >Et_1B_012265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30253920:30259655:-1 gene:Et_1B_012265 transcript:Et_1B_012265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATPTSVKREPLRPRSTNSGAGSGAAPAAARRLGAVSAEKENQGPKNLGQAKEETEKKVAATVPLKLAKPATPSPPPLKPSSLQLRMMDESMSSSSSETAVFVGPRGRELLPPPQPPVSSSYEAWDLSDSESAPASSWATLPNRALLCRPLPLDVGRCTCVIVRETATGARGVALYSLYTNEGQGRQDRKLAVARHRRRRGRSEFIVAQNQDGIFCTSDKNFLGTVAANLVGSKYQIWGQGNRVDDLKNQSKRLLGVVAFAPTITTLTGSFRSIRAWIPKNNMQPKTNSAQIQHVSGLPNDWQEKKSSADQLCSRAPFYNHITKRYELDFRERAGRMGYKVQTSVKNFQMTLEENGRQTVLQLGRVGKSKYIMDFRYPLTGYQAFCICLASIDSKLCCTLGKEKVSKRLTGFEYLAVGHPE >Et_9B_065873.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:1626866:1629367:1 gene:Et_9B_065873 transcript:Et_9B_065873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALTPILLVLVPALLVSPFAAASTLAVSGAYPPTVCGVHKDNGTVLCAPLSGKSSSSVAPFLAFAEVSAGRGFVCGLQSGGAALFCWPPAAPSSQLRRVYNGPDAFTDLAVGAYHVAAYDAAARGIQWWRDGYRFPRPVRGKFRSLVSGDGFSCAVEEEATNSSNSTGAVRCWGPSGSAVQAAFANATGISYLAAGGSRLCGVETPSGAVRCSGSDAALASAAAPRDLFPYGLAVGDAHACGLRKPDSTAACWTLGGPTTTVYNPAFGTPFELLAADGNYTCGVTSADFSVLCWSASATELVATPAPLDGILPGVCVADEGSCGGCGIVPQSAQLCAASGGGVICNRLCLDASPPPAVSPPPAPSPPAASKGVSKRWVAFAVVGAVGAFAGLCSIVYCLVFGFCSNKRVHNSVQPNLPSAAGNNNGGGGGVLPTSSPYGSPNGSRARGGGGGLFRRQLSRAMTRQRSGPSSFKDPAEEFSFAALEAATKGFAPENRIGEGSFGTVYRGKLADGREVAIKRGELSSAGLPRARRFQEKESAFRSELAFLSRLHHKHLVGLVGYCEENDERLLVYEYMKNGALYDHLHPKSPSSSPVVSSWKLRIKILLDASRGIEYLHSYAVPPIIHRDIKSSNILLDAAWTARVSDFGLSLMGPESEAAEPETETTTTAHSQSQPHHLVVKAAGTVGYMDPEYYGLHHLTVKSDVYGFGVVMLESLTGKRAIFRDPAEGGSPVSVVDHAVPSIVAGELARVLDPRAPDPAPHEAEAVELVAHTAVHCVRLEGKDRPAMADIVANLETAFALCEGSGGGGGFGNSSSSASLSVTSMDRSGALA >Et_1B_012957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4339276:4342169:-1 gene:Et_1B_012957 transcript:Et_1B_012957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKAAAAAMGIKAADKLRCPSVAAAARSRLKWWMLRAMLTVLLWTGVLQLTAVGDSWAPRVLKGWPSCRTAREAAAAAAVTTTRLATPEPVVEKASLPPKRIYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKTSFWNDPSEFQDIFDVEHFITSLRDEVRILRELPPRVKRRVESRKFHSMPPISWSNISYYHKQILPLIRKHKVLHLNRTDARLANNGLPLEIQRLRCRVNYAALKFTSQIEELGRRVIRMLRRNGPFLVLHLRYEMDMLAFSGCTEGCTPEEAEELTRMRYAYPWWKEKVINSFVKRKDGLCPLTPEEIALVLRALDIDRSMQIYIAAGEIYGGKRRMASLTSAFPNVVRKETLLAPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRYMGFKKTILLDRKVIVELVDQYKSGSLRWDEFSSLIKAVHANRMGSATTRTVMPDRPKEEDYFYANPQECLQDPTMLQTS >Et_6A_047813.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:1784587:1785180:1 gene:Et_6A_047813 transcript:Et_6A_047813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKVQRSLVIPAPASQPSEEVPFTVFDLVTPPYHVTVLFAFTAPNPSNAALLDALAATLPRFPLLTARLVDGRRPFFVAGALVVEAEVATPLADHLPLAPSPCLDRLHAPLDDGGEEPAPHLLLVQINRFACGGLVVASSSHHQAADGYSMSTFFQAWVDAVRSPPQQHPGQSPVPYGPGALTPRRPPFFFLINPY >Et_4A_035333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20468342:20469292:1 gene:Et_4A_035333 transcript:Et_4A_035333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCFLLLLLVLAASTATVATMESHMARAPAPAPSSSAAMSFLHASCASVEAADACYNLLLPYVDSFHGSLAKVARISAGLAAGQLHALSVELARLNLSGTGAGRIPDMALADCFNTVEGSDMFANETLGRLDNLVAGMKSKKDFELQRFLVQNWLTSSDSILVDCTDGFHDAGDAAASSLVVKEAIAGCTTILILHVLTLVSQTSCLVGQY >Et_4B_038085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26168840:26170349:1 gene:Et_4B_038085 transcript:Et_4B_038085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILVGHGRPVYVRIRPGDSYVTNSWPNWTLCDSTNWIRRDLDFTIQPPFRLHHRPRPPVKPHQAASHVRRRVEQRRRPAACVPRERVGAIIRSIRAPLADVLVRLPPAAAASCTRRGRACWAPRSSPSSRPAPVLGPLRPPSLGFVPASSELSVLSSSRGLACCFSLADDAYFVCNPATACWAGVSSPPRRTWPHPAVVVLFDATAYNFRGDCALPPPAPTASRCSRPRPAGRRGGGRPSARRSGTTRPRAASTLPFAQVTVPTGRSAPSRTGSTAPCLTTATSWFAGSPMTEGAARVPVAEILQTWTPPEPVNEQDETESDEDAEEEEEAPRSVVAVANRVRRLNYDVRLLPFQGAELEVVVVVLAGRRVVALDTVKRRRREAARTAGSARTQGMERRGVHRAHQHARAGRASRARGTIGMLHLLEQILWSFHSMSNMNDASVR >Et_2A_015153.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33263704:33263805:1 gene:Et_2A_015153 transcript:Et_2A_015153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKPLCRSCWHRRSLMMLICGCLRGSPNFESS >Et_2A_016802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28241198:28245477:-1 gene:Et_2A_016802 transcript:Et_2A_016802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRDPLALSQVIGDVLDPFVKSAAMRINYGEKEITNGTGLRSSAVLNAPHVEIEGRDHTKLYTLVMVDPDAPSPSKPEYREYLHWLVTDIPESTDVRSGIEIVPYESPRPPAGIHRIVFVLFKQQARQAIYPPGWRQNFNVRDFSAIYNLGPPVAALYFNCQKESGVGGRR >Et_1B_011503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2308459:2310246:-1 gene:Et_1B_011503 transcript:Et_1B_011503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAPSPRALCVREAASAAATHPHASAACSTVGGAAAGRGLLCLWRGKGHRRRCERVRVDAYFWDVSNPVAMEEIDSMEKLDEALRWSVENKQPVIIDWMASWCRKCIYLKPRLEKIAGEYPGVRFYFVDVNKVPQAVVKRGNITLWKDGEWVAEVIGGHKAWLVMDEVREMIQKNKFQLIQCLLLRTQIPSLRRDAVDDSEGEVEGSSVPTGTSESGASTRLTLMDRIGRKLRDLSLLSLEKTLDELRRIR >Et_4B_037935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2489122:2492875:1 gene:Et_4B_037935 transcript:Et_4B_037935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSADSHVAVAGAGTPPSDSSGAGKKVGGAAVWNLPENAAVPIVVEVGNPIMDADSWPALPGLASPSPPPAAAAKASPKAAPPPSTGAVMLPVSLGSPGPLESNPDNEAPVRSPVARRVLVMPAGDALEKSAPSPEQSPVYVPNTRSNGGDHHQNGRFNSHPHGRGGSYGGGNRRGNGGGGGRRGHDHHGGFEGQRRGGGRRDGHGPAHQQRGHQSTYIRAPPPLAVVAGAPPPPPPFVGPATPPTPPYGSIGFPDIPQHVYYFPTHPIEGIQGIPLVHHPTSFIDPVRKELLEQIDYYFSDDNLCKDIFLRQHMDDQGWVPLSLIAGFPQVKKLTNNTQFILETVRLSTTVEVQGDKMRRRGTWENWLLPRYSSGTSPVTSSVDSLTSQFRSTRIEGGYYTNMHVLPNQALLTRSTTSGSLDYAPTLSGSGALFVPKSAKSLLRSDTF >Et_6A_047677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9497330:9498328:-1 gene:Et_6A_047677 transcript:Et_6A_047677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYNVCLLLGVLEGPEVSVDVCGLDAGKPSVLGELDKLPLVPLLPVGAVAQQADVDGDQPLHPVRGLQTHRQDALDVDELPVGRQRVVAVLEHLGACVIAVAVEHPLHEDGVGAGGNLLEHVAADVPHLHVRVGGRLGDDVGETPLNRGSVPAWSNQDAVSNARLNGLPPSRTASVMKLHACMKSLSLARRRNGASETPSSLGVSHLSTGAAAYRRVRSSPSSSTAQVRTL >Et_4A_033359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21928225:21936363:-1 gene:Et_4A_033359 transcript:Et_4A_033359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSILRLLPVLLLAGAGAATAATFTITNNCQFTVWAAAVPGGGQQLDNGQTWTLDVPAGTTGGRVWARTGCSFDGNGNGQCQTGDCGGVLQCAGYGQPPNTLAEFALNQFSNLDFFDISLIDGFNVPMDFLPAGDGNGCAKGGPRCDADVTAQCPAELRVSGGCDNACTVFKQDQYCCTGSAANNCGPTNYSRFFKGLCPDAYSYPKDDQTSTFTCPAGTNYNVVFCPRRKERKMASTTHLRLAVLLLAAAACADAVSIVITNKCGYTIHPAAIPGGGTQLNTGDSFFLDVPAGTRNGRIWGRTGCGFIINGTLGQCQTGDCGGTLVCTKVGAQPITLAEYSLGTGKGGQDYFDISLVHGFNAPMSFLPAAGSKCSRGGPSCPVQEITFNCPSEQRQKAGCSNPCDGKSNCGPNNGTEYFKKACPQTVTYPKDTRGTVYTCPAGTNYEITFCP >Et_4A_032014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11821810:11822499:-1 gene:Et_4A_032014 transcript:Et_4A_032014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISAVMRHGMVGMNREVDVRGRPNIFSDLEMEFGSGPWLLFRGQLPGHLSEDNAFDVFINGRRGVGMRRANIADYFVGPGLDDLIEQLTQNDRRGPPPAAQSSIDAMPSVKITQRHLSGDSHCPVCKEKFELGSEAREMPCKHLYHSDCIVPWLEQHNSCPVCRYELPTQGSSGSASSSSNSSGRNSGRQRRRNPFSFLWPFRSSSSSSSSR >Et_8A_057187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22089128:22091347:1 gene:Et_8A_057187 transcript:Et_8A_057187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSLAVINGEAVAEKDELREENHKLKVEKESLEHQMKRMTATPAYMHHPTMMPAPFPQAPLAPFHSQGPCRAEADDAHRRLPRVHDVAVHATF >Et_3A_024839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24746830:24753968:1 gene:Et_3A_024839 transcript:Et_3A_024839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWEMDIDGESSGALGQMDDLENYAFENESCGICGDIIIDRGVLDCCQHWFCYTCIDNWAAITNRCPLCKSEFQHITDDDDWYLQGESGALSFPSYYIDAEAVVCLDDGDCKVRSGLAAAKGDSTLDTSIACDACDKWYHALCVGFNPEIPTGNSWLCPRCMPTKVKQDADVICKQKFSEECAIGSDRSSTDASFSGRVSVSVADEGETALVVSMVGIHPEIKGGQAAEVGLKTAREAFNGTSCPSDSKDDLTHDTVADASLLRNTDVSSRSHNRSSEMNIFCTVSSETAERSLQFSPIRESATTLFSSEQGNMSNEQLGLPKLVSSYSFAENIKEAENRGQENAVHKHNNEISITRSPLASSPDAVQHMKTFQKLELPLRHDGHKSSDGKANKSIGSGNEVSHPAKRAKLEAQEQERNVIGKSSVSSTHSHATVSAKATIDDMPDSSKHNSVQDILSIVEGDDYGRDIGREQAKPVGRRAGDKSGLRVKKIFRKEGKESSEVVQKLQQEIREVARYTGTNILKSDGSFDEKLLKAFRAAIGKPVDGSDKKTNLSLIRAKRALLQKGRKRENLTKKLYGTSTGKRRSDWHRDMDIEFWKYRCSPGVNPEKIETLQSVLQLLRKSSEMDKESAQGKKEEKSNSILSRLYLADASVVPRKDDIKPLSVLSGCAPLDKDSQIKANNIKSPNKSATGTEATRINSPSSGEVSSSSTLNKEATSRRDNRISQPSKDQKQNADDVKHDKRKWALEILARKNASSAQLPADMRPQPTAGHNSKIPLSVRQAQLRRIAEHYLQKANLDVIRRCADTELAIADAVNVEKDIYERSNSKLVYVNLCSQAVRQTTKAKSNNEASDLAQKTESGCDLTPQTVTSEITKVSGSDMEDVVNRAFVSDEKSELGDDIVPEPTVSKHTFSFSSAEEALKEAGLFDSPPNSPEKKAMKAEDGSYKCTVDVDSEPTKTLQPIPGSILTDISSLEDNNSTVVSCGKPKANSEEHQKLTPGRETNDATSETNAVNLAEADRNTVHCEKTSGPGTEISVESNVPDGIGPVNNSRDMERAESRLPSQSPHGNDSARDGEVISKPKNLEPSREKSSSDNQSLNIKHPKGDKPSHRAERGGDSKKPNPDQLKKNSSDSSSSIYKKVEVFVKEHIRPLCKSGVITVDQYRWAVTKTTDKVMNFHQDAKSANFLIKEGDKVKKLALQYVEAAQQKVN >Et_1A_006301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20269188:20270136:-1 gene:Et_1A_006301 transcript:Et_1A_006301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DVFTGGTETTSATAEWVMSELIRNPEAMAKAQAEVRQAFANTNPCDNESCLAQLHYTKMAIKETLRLHPPLPLILPRICRETCDVAGFEVTKGTRVIINSWAIARSSECWEDAEEFRPERFEKRAIDYSGTQFEYTPFGSGRRMCPGNNFAIATLDVIVARLLYYFNWDLPAGMRPEDLNMDTTMGATARRKTQLHVVATPYEGYKQERTRPNKIYMR >Et_3A_026561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9699849:9704577:-1 gene:Et_3A_026561 transcript:Et_3A_026561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SALAVTSSIERQFFQPTFSHTFLLSYFGYGSLFFPAWGIKMSRRMKIACLQLLAVAAFQATLITMSSAGLQYNFYSSSCPSAEDIVRNKVYGMIDADKSTAAALIRLLFHDCFCQQKVSNGTLLLYYVNLFISIFFAIEQGCDASILLDSSKDNTQPEKTAIPLRGYDAIDKIKAAVEDACPGKVSCADILAFAARDSVARSAGFSFPIPSGRRDGNVSSAFAVFSSIPSPFFDAQQLVASFAAKGLSADDLVALSGAHSIGTARCSGFTNRLYPTVDPTLDAGYATTLRAACPSSGVPDNAVNNSPVDPDTLSNQYYRNALGGRVLFTSDAALLTRNDTAAAVGRNAADATGWMARFAAAMVRMAAVEVLTGAQGEKLRVPCALGGAVLPILLSCVVIFSVATTGAAQLQVGFYNSTCPTAERLIRNVVLAAIRRDPGNGPGLVRMFFHDCFVRGCDASVLLDTSPGINATSVEKASQANNPSLRGFSVINRAKRVLERRCRGTVSCADVLALAARDAADIMGGVRFAMPSGRRDGRVSNGSEVLNNLPPPFANASVLVGGFAAKNLTADDMVTLSGAHSFGRAHCSAVAFRLYPQVAADMNATYGRALRRRCPAATGRRDRVVDLDPNTKLLLDNQYYRNVRAGEVLFTSDATLLSQNDTAALVDLYAGNRKLWASRFAEAMVKMGNLDVLTGNQGEIRSVCNRVNYS >Et_3B_027678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12088318:12088678:-1 gene:Et_3B_027678 transcript:Et_3B_027678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMDASIAYRRSLSTSSTTFFFSSTGKRITFILLILSVNFELNSKTSFGEIDLLFGSLLRILYFAQEREWSRRITSSSERFSCVTISE >Et_9B_066083.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:2863113:2865398:-1 gene:Et_9B_066083 transcript:Et_9B_066083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPAGLGSSAPSLRPTAPRQPARSNLFSPSELAVTADPTEEMTEEEKKLHDKVELIRVKFLRLVYRLGATPEETVAAQVLYRLSLAEGIRHGRQTNRAFSLDNARKKALLLEAEGKEDLNFSCNILVLGKTGVGKSATINSIFGEEKSKTDAFSSATTSVREIVGDVDGVKIRIIDTPGLRPNVMDQGSNRKILAAVKKYTKKCPPDIVLYVDRLDSLSRDLNDLPLLKTITAVLDSSIWFNAIVALTHAASAPPEGLNGAPMTYEVLMAQRSHIIQQSIRQAAGDMRLMNPVALVENHPSCRKNREGQKVLPNGQSWRHQMLLLCYSSKILSEANSLLKLQDPNPGKLFGYRFRSPPLPFLLSSLLQSRAHPKLSPDQGGNEGDSDIDLDDYSDMEQDDDEEEYDQLPPFKPLTKAQLARLTNEQKNAYFDEYDYRVKLLQKKQWKDEIRRLKEMKKRGKTDMDDYGYANIAGENDQDPPPENVSVPLPDMVLPPSFDCDNPTYRYRFLEPTSTVLARPVLDAHGWDHDCGYDGVSVEETLAILNRFPANVAVQVTKDKKEFSIHLDSSVSAKHGENASSLAGFDIQTVGRQLAYILRGETKIKNIKKNKTTGGFSVTFLGDIVATGLKVEDQLSLGKRLALVASTGAMRAQGDTAYGANLEARLKDKDYPIGQSLSTLGLSLMKWRRDLALGANLQSQFSIGRGSKMAVRLGLNNKLSGQITVRTSTSEQVQIALLGLVPVAASIYRSFRPSEPSFAY >Et_9B_065206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2453440:2462244:1 gene:Et_9B_065206 transcript:Et_9B_065206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGKGAWVVPAPAYRKVEGWEGVGEDNPGFRCGHSLTAIAPTKGHGPRLILFGGATAIEAGASSGLPGIRLAGVTNSVHSYDVEKRKWTRIHPAGEPPSPRAAHAAACVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGPGPGPRYGHCMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYRWQKLNPEGDRPSARMYATASSRSDGMLLLCGGRDASGTPLSDAYGLLMHTNGQWEWTLAPGISPSPRYQHAAVFVGARLHVTGGVLRGGRAIEGEGAIAVLDTAAGVWLDRNGIVTSRTLKSSHEHDASSDLLRRCRHAAASVGSQIYIYGGLRGDILLDDFLIAENAPSQSEITSSMYSPDRVPKAENPNRNQINSAISNTDKKSIDMLIEASTAEAEAVSAVWRAAKEASAASSEDSLSEGIGSESPLSETSPMPEDFDDGGSQEPDVKLHSRAVVVAKEAVGDLGCMVRQLSLDQFENESRRMHPSNNDQSYPNRKALNRQKSPQGLHKKVISYLLKPRNWRAPADRTFFLDSYEVGELCYSAEQIFMQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRIECIERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTVEQIEKLERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNKLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPVNSPESSPERGDAAWMQELNIQRPPTPTRGRPHAARVAWGSVSRCFLGGTSTSRGAMGIRVQDGVRDG >Et_7B_055680.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:21202517:21203377:1 gene:Et_7B_055680 transcript:Et_7B_055680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQPGFNDVAINVLQALENKNLVLRKKVLNLVVSLLTPLNVDNVLLILRNKLEMAASADTPFEEYQMLEGAIRDCRFAYPDRIMPFKRDPKYIAFIDCIDYIKDIVDKNPMMCAQLLKGFLTVLRHVRSSPVCSAIVWGISVCSVHELENQGAIVAITNLFKDLLDQRKIEKLINDEAEVQHDYILHNNGNSAKEGDAHGMHQQHKMEMEELLFVHLGLAQQVNGDYAFASSSKSRADHDEFESLFVELELERTDNLARVVGSGDALLADFVLDVLSRLREMGTE >Et_3A_024722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23676018:23680461:1 gene:Et_3A_024722 transcript:Et_3A_024722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMQSWRKAYGALKDTTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKIVAATCIARPRADIAYCIHALARRLAKTRNWIVALKTLVVIHRLLREGDPTFRDEFLNFTQRVRILQLANFKDDSSPIAWDYSSWVRTYGLFLEEKLECFKVLKYDVEAERLSKQGLGPEKGHSRTRELESQDLLEHLPALQQLLYRLIGCRPEGAANNNYLVQYALALVLKESFKIYCSINDGIINLVDKFFEMPRHEALKALEIYRRAGQQAGSLSDFYENCRGLELARNFQFPTLREPPQTFLSTMEEYVREAPRMVPVKEPLELPERLLLTYKPEESEEVTEPVPVVEEKTEIGQEAASVPSSSEVVSPPPKPEVADTGDLLGLSDPSPGVSAIEDSNALALAIIPNGVDNSTTSASTQQDKDFDPTGWELALVATPSNNTNSLAMDYNLGGGFDKLILDSLYDEGTYRQMQQQQLYGSAAPNPFMASDPFSVSNQIAPPASVQMSAMAQQPQQLPTMMQPNPFGPTLQPQHVGMAPAANPFLDTGFGAFPAANGTQHPQANPFGTAQLL >Et_10A_001873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9435751:9445804:-1 gene:Et_10A_001873 transcript:Et_10A_001873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMYMELNILERTFKGVEEPSNIKLFLLKIITDNFSDDLKIGNGGCGVVYKGILRNGVIAVKRLFNSHTIEDRMFHQEVQSLMMVKHQNMVRFLGYCSHTEEKAIVMAGKIILAQIRERLLCFEYLHNGSLEDHITDELRGLEWHIRYQIIQGICEGLQHLHKEKHIIHMDLKPANILLDEHMMPKITDFGLSILDDKSRTLSAERLISLWKHRWNKSVKDTQLGYIQVAKCLDLAQRCRHADPTDRPNIWNIVSDLHKIDSTAVHSNDTTEYLELEDMLGIEPLEMHLPFKLNQELSCSIELTNDTDRYFAFRISTTSLWPYNIVPDRDIVPPRSKCIVAITLQALEKALPHNHWKDEFSVQSTTIDGSLRTMDITGDMHFSCSANVSIETSYQQIKLCIDIGLRCIQHDRRKRPTAGEIIEKLSTLENSHCHVRNDGRP >Et_3A_027299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7172271:7172596:1 gene:Et_3A_027299 transcript:Et_3A_027299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVVWNMKLVVRLQDGGDGLDFSDVNSLNMVTQLTPPWCPPSQRSSPESGSETGGGEEGDDMSDSNYASMGLYLQANDQCTREKLKLLKKDRRTL >Et_7A_050860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11722216:11727675:-1 gene:Et_7A_050860 transcript:Et_7A_050860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGENRGFKGTLTTEGLALLKARVSEKLRELMGDYSDDTLAAYATVLLKNGRTRDEVAKELHVFLGDDNDAFVSWLWDHLSSNSHLYFHPKAVSSNGGAKSTRSASRGLPVRSLTSSTQTDVEAEAETQNTTRTHQREWGGIIRDQAEAAPLRSVVAKVSYAEEKASHESRAEDKRFHKSHAASRTRSPDRHNNQRKRSREADERPTKRASHPVIDAPRRLLQFAVRDAVRPVQPMISRSESASKRLRSVVSTFASDSIIDDSHARLRRANSDLRIPGATRALRAAAEAAEDVLKDNFSGSVFNRLGVMSTINSTERSLVHREQDSDDGEYENAYIARAENQAEFGKRNEYGGGDAYMVDRETEEAAGSAPNINEYDSTSAVSYNGLVSHRSTVSPSGDRESLLVGCARGAAEVRSRRLVAQGTHAGSGSRSSEKILNASANTSTRQETRDAATFAPQVPVEKKVVDARKPNVALSHVYDATMTDKSKDLRHSSSMVEAQKASSVAAGSGSTGQPEGGNDSRTVFISNVHFGASKDALSRHFNKFGAVLKTLIVTDGVTGQPTGSAYIEFLHKESAEQALTLNGTSFMSRILKVVRRSCVEVPQQPVWPHASRGSPFASRLIRTAYPRPTFAGAMRGRLPLRGGTRSLQWKREAADSPDAGKPSQATPAAPGNQFVTPTTRSFTYTRTEPKPNDGAMV >Et_4B_039144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8165906:8171035:-1 gene:Et_4B_039144 transcript:Et_4B_039144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRSAVHRAVEASGGPSSLLTRTVRSSLGTVVHHAGQAVVGGARLITGNRTYKSVKLTAKRLEDAALSCRGEERVQLLRRSLIALKETQRAATETQEPQLGDDPDQAAALIDLYVDYESGSEPMNFHHVFLYSEALEMCLLGGKDVHSTILSSIKDLASLFSSYHDEVLAKRDELLQFTQGAISGLKINPEIARLDEDIVQLQKQINAMDARRASSARNPNKIYQTVTEGFKNAVAEARLCARMEELVDKLTILSESLANSSARAEKRIMENRLQREESLIFRVAKTKEVSGIEKELVAEISGLKKQRDQLEEELRKVNTKLNAVTVKLKKTREERDQFDEASNQIVLHLKTKSSNEELKEKQANDELDRCGVCFAKLIKHHVSACLEDLSTSIDHIKTCVDNLKIFDERSISAEDGDNGSSKQSNPRKYLEQEYLETEKKVVAAFSLADSVGALFCSDDEGRARRDDPEVKNLFANIDKLRIEFESVPRPVLQIEIKEQEERTRQPRSFKPSISPNHSRSDSPMAIAHQLRTRLPSESDSEMGKFDQDYKADDISGWEFDELEDEPRSGFP >Et_5B_043824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16555932:16563295:-1 gene:Et_5B_043824 transcript:Et_5B_043824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIHRHMLLCFKLWPSYDMNNQSVIITKRLLDFYESFEGVSTLGKSTTTFIVIMCLVIVGLNANLVTAAQCSCCKSARAKACCFGCIALGRSDTVCKNTCCFPCILADSAVAKMEEIGVLANMEEMGVLANMEEGQA >Et_6B_048560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10848612:10850318:1 gene:Et_6B_048560 transcript:Et_6B_048560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLYAQGALPRILKLDIVFSVRKTKDTYGDFDLGLENLHSVKDVTVRILCSDSRVREVDEADAAMQMANIMNPNHPSLDVFRHNEDEMIEDGLEQIIGEQTAGDDENDEMVLERMGPWGGDGECNCDITVMPWHLETVQICCGTVLDAISFSYRDRHGKRHRSSLWGGVGGSARTINLGPSEYVIEVSGTYGPFHAVPDIITSLIIGTPFRSRVKKNVRIVGFFARWTRLTNFVEAI >Et_6B_048320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12270173:12270704:-1 gene:Et_6B_048320 transcript:Et_6B_048320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAKIFVFLLLCCLVCAFAGNASAEQCKVSDLNVTQTAVPAHAVGGYQVYVVSVENRCVCTQTNVKMKCPGFNSSVPIDPEGLLSLDADGELCTLNGGRPILTGVEHAVTFYYAWSSQFSFEPVSSTLACSAAPAPAPL >Et_5B_043470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10970203:10971848:-1 gene:Et_5B_043470 transcript:Et_5B_043470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARTPTEDVQFTWSAVAAEGVIRAISELMANLYSLILLNEGWLRPVPRSVLYDSCPSFLNKLMASVTEFSTIIETTKFRRPQSMIRYRMLEEHALGLEHIYSTLTDLLEEVCQGHELLVNAEDIKKALTNRRITLFEKDMGMPDYMYSVGLDDLSRVVDLIHKTSSLVKEVLLGYS >Et_5A_042455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11932377:11935107:1 gene:Et_5A_042455 transcript:Et_5A_042455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATPRARQPPSWAEIQQDLAGKVLGLLPTHADRVRFAAVCPQWPAAARQLRLPRPLPVLALPDGTIYSLPDGKPLHFAGLDLAGFKSACGSWLVFRHDDGCFLVDPFTGTKVTLPALSCVRLIPPDAVARYIRRGIISMFHPYATWMHIIEPNKTPVTNKLIVCSPNLVAAIIGSTMAHAAKTSQILVCRPGASSWSVLANDPCLMFEDMALYQGKLYAIARDENLRVVNISEDPSTGDPQVSQIQQVIKGDPFSTGIDSVVKKKIYLVESCGTLLMVRRKVFCRQEDVGLVAGQSVFEVFKADLEQSQWVNVTTLGDDQMLFLGRPCSRTVSASQYGMSGDQIFFLDDVMENAFEGYTFQEENTSVSAYDMRTGQLSSPQPMAWDRKMIPPAWSSDREIRQPQ >Et_1A_006304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20302654:20305055:1 gene:Et_1A_006304 transcript:Et_1A_006304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSSPLREQTGDAGGGGSSTAREKERPRSFDEKTRAACWRKAAVVMGRHPERWRQDAAGNVVCRRFWSCHGCLCYEYDHIVPFSKGGDSTVENCQILQTRVNRSKSDKAWVKEAEMRGFSCDIKFTDKELDVIEMAVYGDVIRPGKQCRCRTVAEMLGHVKSKNKMAACELPGNDAS >Et_6B_049678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8537986:8543531:1 gene:Et_6B_049678 transcript:Et_6B_049678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHGIVIVGGGICGLATALALHRKGIASLVLEKSETLRADGVAIEVHANGWRVLEQLGAADELRGTANLITAYRNLWLQVNKRSLTPIRQELRYLKRKDLINVLAKNIPATAFRFGCHIASIHPDPRSHTTVLKTVNGATMKTKVMSPRASLIESRLHFTLPFLFLTTYPVLIGCDGANSVVAKYLGLSVPNHIPRMTLHIIEDMGILKNFVLDKLQDCPAQVIDVIQNSDPGSLHVATKLYYRHLCQLLFGSFQKGPVTVAGDAMHVMAPFIGQGGAAGLEDAIVLARLLGRVTPCGLDVDGAGSSGEPYAKMVSTAIREYIQARRPRVALLSLEAFVVGALMRAKTGVAKVCCIIVLAILGHKSSRHANYDCGRL >Et_2B_022527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24712600:24715691:1 gene:Et_2B_022527 transcript:Et_2B_022527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEMRGVLLVAVVSMLVVCHGADGSRSIPVSEDADPKFFVPLNEANPTPRLINQASAPQGSGADVTYVSSRHGVATAPDGYYGFIGTMDVYGFTLTQGQESAAAVWISDEGDFSPSNLKTIMIGWENNGFISTGCLNLKCLGFQPEKGATIAPGAVIEHTSSPKGANKRNLNLKIIKNGTSGDWLVHCGVDRNPQLIGRFPRSLFTGGFADKAVGITFGGVVIAPVTKPPPMGSGYLPTTDVRSSASISNIQLVDRDGHAWPVKGDLPKFETNRDAYAVTPLVNGTFFYEGTDRQQKQNNSTTQPHIRYGR >Et_8A_057363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2470561:2474099:-1 gene:Et_8A_057363 transcript:Et_8A_057363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFDMPPPGAEDMMGDDEMGDFGGDDGPVLKVGEEKEVGKQGLKKKLLKEGEGWETPEVGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFKLGQGQVIKGWDLGIKTMKKGENAVFTIPPELAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGIFKKILKEGEKWENPKDPDEVLVKYEARLEDGTVVSKSEGVEFTVKEGYFCPALAKAVKTMKKAEKVLLTVKPQYGFGEKGRPAAGEEGAVPPNSTLLIDLELISWKTVTEIGDDKKILKKVLKEGEGYERPNEGAVVNVKITGKLQDGTVFSKKGHDEEPFEFRTDEEEVIDGLDRAVLNMKKGEVALVTIPPEYAFGSTESKQDLAVVPPNSTVVYEVELVSFVKDKESWDLNNEEKIEAAGKKKEEGNALFKLGKYARASKRYEKAAKYVEYDSSFSEDEKKQSKQLKISCNLNNAACKLKLKDYKQAEKLCTKVLELDSRNVKALYRRVQAYIQLADLELAEADIKKALEIDPDNRDVKLEYKTLKDKIKEFNKKDAKFYSNMFAKMTK >Et_10B_004284.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:296451:296816:1 gene:Et_10B_004284 transcript:Et_10B_004284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLTASSSSSSSPRCAPFPCRRRSTRTRGLPAAHGGHHGCGRPLGRAAAFFFFASLERCSCVEVRTKEDDDVDSESVAPLMLRDAAASGSPTAAKPSGGRRNGKQGKRRGLGCCENDAID >Et_5A_041408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22218124:22225216:1 gene:Et_5A_041408 transcript:Et_5A_041408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATRDQRRRLEEEGDLISRLPDALLGEIISLLPTIDGACTQAVSSRWRHLWRSAPLNLHHRPTNTKEINFGDISGILSAHPGPGRRFASPALDNLEELEFHRGLPRRRVEPKFPPYRRLPASVRRFASTLRVASFGGCNLLDENNNGALHLPLLKQLSLLNVRISESSLCALLDCCPATLRSIGVHPGFQDIPFQQLIVEDAPCLERLILCGTGYLLHTKMVISVISAPNLCILGQLNHPRRDFGTTTFQEPHVVQSMTAVNSVKVLALTHIDLSLDTVINFMKCLPCLEKLYIKIMKKYKVTEQTPSAFFPPHAIAPILVQKIGRHHTGEPAETNVWCRKYQNITSTLDICLKKIVLTTYRGNNAHVNFVKFFVLNARALESMVLQVDVKNDNNEWIERQHRLLQITSRASRDSRFHFVSHLGRPKSNHSWYEKVHDLSMADPFVGFDKSRMVSGKYTIATILVLKIGCHSPCYMCILLFQPEEPAETNVWCRKYRNLTSSLDICLKEIVLTTYRGKKAHVNFAKFFVLSA >Et_4B_039845.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3946646:3947008:-1 gene:Et_4B_039845 transcript:Et_4B_039845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAVVAAILALLAVSAYAQGPAAAPTQAPRMAPLPPPPARSPATAPAPVATPPTAASPSPLASPPAPTADVPASAPSAVTPSAVSAPTGAPAENSGASFSSAASFFAVAGAVAAAVVF >Et_6A_047573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7588159:7589185:1 gene:Et_6A_047573 transcript:Et_6A_047573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDSGLQLQFPNEGPVVHGIANWDIRDFLIVCNIGSGSFGSVVKGIHRSTGVCVALKSLHDTSLSRFWHEAIIAFQRSGSSGIVQFFGVAYNRNCNTLFMVMELGGTSLEDAIRTGHAHGKAPLEYDVCVIMKSLLLGLKHMHEKGIIHRDIKPTNILIDSQSDCMVGEICDFGLATYYDEAVTTWCGIPHGTYGYMAPQVYGLKSSCTFKSDIWSLGAVMYEFITGCPLISGRDSTSTITRMCSLFGSPGEGVDLETTAHDEPNPADEEKNPTKKPKHTPIENEKFDMQFFIVGSLSNAPNFCRTVEFDPFERLSEAEALDMDWFANRGIV >Et_5A_042568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20610624:20614860:1 gene:Et_5A_042568 transcript:Et_5A_042568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPWLGKRRAPALLLRVLCLCSALAVARPANVTIGALFTFDSVIGRSARPAIELAVADVNADPTVLRGTNLSVLMQDTKCSGFVGTIQVNVHGGTTDEYCTAGGAALELMEKEVVAVVGPQSSSIAHVVSHVVTELRVPLVSFAATDPSLASSQYPYFVRAAHDDAFQMRAVADVVAHFGWREVTAVYVDDDYGRGGVDALGDALQAATRSRVAYKAAFPPGAGRAALADVLVRADMMESRVFVVHAGPDSGPDVFAVAHSLGMMAGGYVWIATDWLAAAIDDAAASRPAALLGLVQGVVTLRPYTPDSAAKRSLVSRFRVAGGKGNATAPAAGMNAYGLFAYDAVWAAARAVDAFLRAGGNVTFSADPRLVDANGSALRLSALRVFDQGDQLLQQVTLANFTGVTGRVSFVGGDRLLVDPAYEVLNVGGTGVRRVGYWTNATGLSIAAPDAGAGNASRGQQPQTQELYSVIWPGETTAPPRGWVFPNNGKPLRIGVPYRTTYKQFVSKDEGPDGVSGYCVDVFKAAVALLPYPVPVSFVLFGDGVKNPSYNDLVQKVADNYFDAAVGDISIVTNRTRIVDFTQPYVESGLVILSSVRTKNSNEWAFLKPFTLEMWAVTGAFFLFVGAVVWILEHRFNPEFRGSPRKQIVTIFWFSFSTMFFAHRENTVSTLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLSTGIQGLDSLLSSDQPIGYQIGSFAKSYMMQELGVPESRLRELAISDYAASLQRGPGNGGVAAIVDELPYVELFLSTNCQFRTVGQEFTKGGWGFAFQRDSPLAVDLSTAILTLSENGDLQRIHDKWLSAGTCASQDADVGADRLNLGSFWGLFLICGVACFVALLIYFARILCQYGEYHRDATGGGTDDPSFPGAERSVRRPARIKSIRELMTPFASLWAGHRSCRNPERKG >Et_6A_046032.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:19361374:19361421:1 gene:Et_6A_046032 transcript:Et_6A_046032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPRLLQVDWRYL >Et_6A_047334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4058264:4061482:-1 gene:Et_6A_047334 transcript:Et_6A_047334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSTYHFLFVLEVLAQYKLRGICSSYSCEISNLSKKDASPDGSSTKKTGAWNNTLNTILQQASVYGVAAGYCLSASLLSIINKWAIMKFPYPGALTALQYATSVAGVLLCGQLKLIEHDGLQLRTMWKFLPAAVMFYVSIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSFKTWLSLSTILGGSVMYVFTDNQFNVTAYSWAVAYLASMSIDFVYVKHVVMTIGLNTWGLVLYNNLEALLLFPLELLIMGEFDQMKVDSSKVSNWLSFDVILPVALSCLFGLSISFFGFSCRRSISATGFTVLGIVNKLLTVVINLLIWDKHASLVGTIGLLTCISGGVLYQRSTTKAPKVEPKEENDEEQQKLLQMQAGREGNSTQKQGSSAWQRCGARAGEV >Et_8B_059926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4079169:4082317:-1 gene:Et_8B_059926 transcript:Et_8B_059926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGWRGILGFDYGIVQAPLGPDISGPELAAAVANAGGIGLVRLPDWPAPDHVRELIRKTRSLTTRPFGAAIVLAFPHEENLRVVLEEKVAVLQVYWGEFPRERVEEANRAGVKVLHQIGSVEEAEKAKEAGVDGIIVQGREAGGHVIGQEGLLPLLPRVVDLVADSGIPVIAAGGIVDGRGYVAALALGAQGVCLGTRFLATEESFAHPLYKQRLVEMSCTDYTNVFGRARWPDAPHRVLETPFYAEWKKNLSDHETEENQPIIGHSIIHGVHKGIRRFAGTVPNATTTGDIASMAMYAGQGVGLITEIMPASEVVKKLVDEAKHVMREKLPGFQ >Et_3B_030228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31510125:31511732:-1 gene:Et_3B_030228 transcript:Et_3B_030228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWWHSLAAAGTLLAVFAAALALSAAGLRSPTEQYHGRPQLRFPSGGSFKVAIFADLHYGENAWTDWGPAQDAGSDRVMAAILDAEKPDFVVYLGDLVTANNLPIPNASLYWDRAISPTRGRGIPWATVFGNHDDMPFEWPPEWFSPAGVPPVQCPPASTSASGEDQGCSFRGTPRIDLMTAEIGKNRLSYSSSGPKDLWPGVSNYVLQVLSKTQDDPALLLYFLDSGGGSYPEVISCAQVSWFQSQSQFFNPNGTIPELIFWHIPSASYVKVAPKAKSEIRKPCVGSINKEDVAPQEAEWGMMDALAKRPSVKTIFVGHNHGLDWCCPYEKLWLCFARHTGYGGYGSWAKGARIIEMTENPFSIVSWIRMENGTKHSDVTLSS >Et_2B_021176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27155248:27166270:1 gene:Et_2B_021176 transcript:Et_2B_021176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EGEGVHEHPDGAVVAHGAGPRPPPQRLNPTDEPAWMDLMPPLQARRSSTGRCSREDEEFDWAMLYRSLKSQGGVGVSSASASTAGPFLEEVSLHDVRLDDDDAVYGRAQRTNLDYLLLLDVDRLVWSFRTQAGLPAPGKPYGGWEAPDMELRGHFVGHYLSATAKMWASTHDAALAGKMSAVVDALHECQRATGTGYLSAFPTEYFDRFEAIQRIWAPYYTIHKIMQGLLDQHVVAGNGKALQMVVGMADYFAGRVRNVIQKYSIERHWTSLNEETGGMNDVLYQLYTITKDQKHLVLAHLFDKPCFLGLLAVKADSLSGFHANTHIPVVIGGQMRYEVTGDPLYKEIATFFMDTVNSSHAYPTGGTSVSEFWYDPKRLVEGLSTETEESCTTYNMLKVSRHLFRWTKEIAYADYYERALINGVLSIQRGRDPGVMIYMLPQGPGKSKAVSYHGWGTPYDAFWCCYGTGIESFSKLGDSIYFEEKGERPALYIIQFIPSTFNWRIAGLTVTQQLKPLSSSDQFLQVSLSISAKTNGQFATLNVRMPSWTSLNGAKATLNDKDIELASPGTFVTISKQWSIGDHLSLQLPIHLRTEAIKDDRPEYASLQAVLFGPFLLAGLTTGDWDAKTGNSAAAASDWITPIPSSSNSQLVTLVQESGAKTFFLSNVNGSLTMQERPESSGGTDFAVHATFRVVPQGSGSATTNSTSATIEPFDLPGMVITDKLTVSAEKSPSSLFNVVPGLDGAPGSVSLELGARPGCFLVTPGGNGYADGAKVQVSCGSVRKHGDGGEGFRRAASFVRADEPLRRYHPISFAAKGVRRTFLLEPLFTLRDEFYTVFFNLGA >Et_5A_041564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24471641:24474204:-1 gene:Et_5A_041564 transcript:Et_5A_041564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAARAQALRALLARCSTKCQPCACSSSTAAASFSTLRGSAPSPASRFRPLLPVPRAAAAALVPTRSLASGTARGGAAGPGAVDDDEEEEEEEEEEEEEEEEDEAQEWAVEWEDSEDEGAEPEIGDGGDGGGVVLRDVKWGERALVAAKEVLAEHFSEDFAMFAFKLSPKGYVYVRLDKLTNMYGCPGVSSPGAERLLKVPDDLDRFKDMAMRVQYHVEGDNDLVPKQNLLKDGIFVLESVDVQAEHCTWKLADVKENRAEAGKGRPMNRKQKDWRLQTSFKAVKKATFKAQRLLLLRNLAGLNGARSTVFALPSRISSARAAPVAGPLRMPQQLCPVAT >Et_8B_059728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:21081984:21084067:1 gene:Et_8B_059728 transcript:Et_8B_059728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVVKCIHLRDQQPEYEIHREFRKPLIVMAPKNLLRLKDCKSNLSEFDDVEGHAGFDKQGTRFKRLIKDRNDHKQVEEGINRLVLCSGKVYYELDEERKRSERSDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYISPRLFTAMKALGRGSFEDIKYVGRAPSAATATGFQSVHVQDTRGEWGTRRRKGEVGKITKPIE >Et_7A_050513.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:20964972:20965193:1 gene:Et_7A_050513 transcript:Et_7A_050513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SATTTALSCGSTNYGDGRLTQAEGFKTKVFVWIRVTVVETDGAKLHLTAGTIKRSRTREAYEVVNGGITVDEF >Et_7B_053862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12884637:12886286:-1 gene:Et_7B_053862 transcript:Et_7B_053862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRHHALRSLRLPRRLRLRRLLHSYSTNTHPPLRPSPAPRLHAAEVWIAKGLAAAAVLRPNRLRPFRGLAPSPLAAAAALRFTPCADSTLCLFDALHSPPMSVPPSEQLYRHVTALLCRAGRHDDALRLFDQMTEQSGCIPDAGFLSFMAGSCASAGLLDGAAALLSKASHYGCRIEAYTYNRLMNSFISHGRAQDAVSLFESWIQGGVYTPDVWSFNVVIKGVCRVGDVQKVLELVERMEEFGCSPDTVTHNILVDGLCRAKEVNKGREVLRRLQKDGVCIPNVVTYTSVISGYCKAGRMKDAIAVYNDMIDYGTAPNSVTYNVLINGYGKDGDMDSAERMYQQMMLRRCPPDVVTFSSLIDGYCRCGQLESAMRIWKEMAQFHIQPNVYTFSIIIHSLCRQNRSEEALGLLRELNMRADIAPRAFIYNPVIHILCKGGKLEEANLVLKDMEEKGCLLKAGMPNEVDRIMHMASGRVSSFQKVSSHSHMSQNQDISVAV >Et_10B_002551.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:15633064:15633228:-1 gene:Et_10B_002551 transcript:Et_10B_002551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVKSKRGWLLAGDLHADDVCQAVSALGPNGLDVSSGISCPDGLQKQREYMRS >Et_7A_052578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9539025:9541368:1 gene:Et_7A_052578 transcript:Et_7A_052578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCKAVIPVPSMTIFFFFLSRDNLVSIGKSVAARCKGLPLAANAVGNVLSSAVDRNHWATVEQSDLWDNEVKHLKRCFSYCSLFLKEYIFRKDKLVRQWLAQGFVEVDNECHAEDIACKYVDDLVEKFFLQRSPYGEDRYVMHDLYHELTEYVSAKEFSRMEKSTWHDVDEDVRHISLAPSDDSNEIVQFCALQNQYHGQSRIPGLRTLLIVQKMNTKTKETFCT >Et_1A_009469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6271331:6272888:1 gene:Et_1A_009469 transcript:Et_1A_009469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKFDLSSDVYLAGITETPPGHIRRSLMDQISLVDGCFEPIVKKKGGDAGISVRSMSLPYNSITDVFGDKYIVYYKTSVGQMVFRKLGKDRSGKGEEPDSIRAMSPAESNDNVCLTF >Et_8B_058971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11777548:11778559:-1 gene:Et_8B_058971 transcript:Et_8B_058971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQARKEAAAATRDLLKVDAARVRKAGVALLRKVAAPPTRTAAATKDAAARMLERRSYGYDAATAARSITDGSCFFNGTDDDFFGVPGQSSQPWNHPSSDPATWYFLSILLNVADVNKGTNATPPGGFTNFMQPQLSQKFIFGGEPSHYAPFRPPRTTQENESEEGFSTPISAKDNNKYVNVDSGEEAPRTEKRIFWTQDEDVRMMSSWLINSTDSTCGADRKNEQYWAVVETTPSHRARNGKQIKDRLHK >Et_4A_033603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24908385:24914481:-1 gene:Et_4A_033603 transcript:Et_4A_033603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVGVCFLLVLICVEGDLRGRFSGAWPCSDFRMADLTDIGCCSCFSFLRNPSASVHQAQDADGVLSKDLLKHKITEDPDASFCTGDDLDGSYYNLDGSFYNGDDLDRSSYNGDDPNGSFYNGDGPDISFHDRENTDYFDGTDDGPPRKSSEDIIRSRAQNGFACREILVKETKKIFRSKDESGNKMINQYVHLGKIGSGSYGKVVRYRSMKDGKLYAVKVLNKPYMMKVRVVRSETAMTDVLRENIIHGDIKPDNLLVTSTGNVKIGDFSVSQDDDDMLWRSPGTPVFTAPECCQGLAYHGRASDTWAVGVTLYCMISGHYPFLGDTLQETYDKIVNDPVQIPDSMNPQLVDLLQRLLCKDPGDRITLQGAAEHPWVAGDRGPVPEYICRCGFGCRKRNDIREEVQ >Et_3A_024210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18449878:18456103:1 gene:Et_3A_024210 transcript:Et_3A_024210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNSCYATVNVTVGWMAVTDFDAQHLPSTATSEPLYSTATSEPERGETGNAEQEKGFSQAWGDAQVIIEHAFRKKNILLGLGSLDSRRSGKFGNEILDDGDLLHRRDGAPLSRHRVAPAFSSVLNFHPGPFPAVHIGHCLSNDDQGHQLAQWLQVLAAKGVRELALLGQGPPFPLPVDIFGCASLRRLFLGLLNLPGELLLCCSSVAEQDLLRLMGASPTLQTLELLAGSETIPSVSLTSASLRRALVWKVVAKLLIIADAPRLDQIVLWQVPSPPLPVGFRTRVEIRHAPELRLIGYLDPARNVLVIGNTVIKGGTKPTPKTMVPSVKVLGLKVRLGALKEAWMAHSFLRCFPGVQTLHIMCDEADATDDSNFKFWKEIYPIDCVSHIKKVTFYGVPWSKGNFEFLKFILEGAYLLEEMTISLPQGTYSGMHTIMIKLKLNSLTSLKWANKSCRMKIQYKGLDFTYDRASDSALEIPVEGLEQVNRFTRLAPVETVPVVNRWISVFPELLDSDHTL >Et_9A_063352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21052907:21053944:-1 gene:Et_9A_063352 transcript:Et_9A_063352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATAREARLYGPALAVRRWEYINAGLYVFATLLLASGLAALSAGGAVRAGLAVAAAALAVAAAVNAHDLWAHLAGVDWRFGLALYDVQLGLVELLVPAMHTVGCVLGAVGLATLVSQGEGAGYSYGKERHVANLVLAAALLWLVGSVLNACQVYERADGRAQLLQASVQVPLLLGSLFFLLAAVANRGRVLNLGRAPLLVVRSLVASAPPLYFSRSWVWLSLLGSVLWLAAALFNVLKVFMMHQSDALRLEKLRGGAQERLSRDREGRVPLNWEEAARRRATPTELR >Et_1A_006633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25465788:25468720:-1 gene:Et_1A_006633 transcript:Et_1A_006633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSGPNESPISRGRPSTPSSNHRPSTPSSNHRPSTPSSNHRPSTPGGSRRSSVGTPSTPRSRSNGGPLKSEPSSPPSGARPRLSFDRSPRSADSKPVVERRVPKIGTPPDKQPRRELELQARLECVQEDLKKAKDQLAFTLGERDRLVGELNEAKRVADETNEKLQDALMAKRWAEEATEIEKFRADELEQAGIDESQRREEEWQRELECVRGQHAADLETLVNTTEELERIRRDLAMANEAKKAALGHADDAMKIAEVNAEKVEILSNEVLRLKGLLDSSTASEESKHHETELIVKNLESEVSTLKGKLEEAKLIEERLADAEKMIEDLRSEIAEAQKTEADIRQQLEEWKEKTASLEVKLEEVTLSEKFKSDSLASTTEELGKIQSMLQDRESEIEVLKGKTTALEIEVARLLADVSDTSEHLDASQQEVFGLQTTIDVLRNKLEAAEQAASEALNNEKTANTKIEGLTEEKIKLISELNDAKDREEKEKKAVEDLTAALSKASCEAQEAHEMFQKKEDDYEHALAQIGDLKMALNSTKESYEVMLDDANHEITCLRDSIERLEAEVSKYREECESKELDIITANKQSEQEIAALKAESDQVAASLRSTEHELQAVNEEKERLQEKLTHLESAVAEANKDVQEEKTEKERLHEKLVCVESAVGEANMAAQEAKAEVEKLQEKLVCTESAVAEADKAVQEAKTESLQLKERLLDKENALQSITQENDELRVREAEAKKKIDELSALLAGAMTKKHPEEEEKLVVVDEAHISVREEAVAPSGQQNEDAEGDDDKKLKMEVDAVNGDSNEDLNHEEKDDSRFEKEMVKTEFALPESTKVVEKEAETDRKQETESSNEELDSKKEDSSTENANGTMTVSSTTVSSEETSKVTMSPTKPQQQQKKNKPLLKKFGSLLKKKNSK >Et_6A_046707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19652044:19662470:-1 gene:Et_6A_046707 transcript:Et_6A_046707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSDSVQWWEEWQLRLLALASLFTQCFLLVAAPMRKHRIPSLLRFSIWIAFLGSDAVAIYVLATIFNRHKKPDWMSAHRDRANLEALWAPILLLHLGGQYSITAYNIEDTELWRRHVVNGLSQDVLTGLVAPLALFQISVAIYVFRKSWSGDKKLLVAAMLVFVPGIIKCLQKPWAIKESSINNIMKSYHGCMNKKLNSLEDYVKAAVEYVQGGNKGIASGVIDVPTDTNLLFVELAYPYDDRLYNLKSMLEAKIYVQSCLRIALSRMFDSFYTWYKHRIYLRINMNDIRTASSVYLLFAAIALFHKSHREAYNDADVKITYILLSFTVGIEIGGAAMKQYVEELYVYRPWPDLVSQYNFIGYLVRNRNHRRLRGLASRVWCKDLLDQLWCMAPCKSSGNITELVHDHVTEGWKSYIKDVPSYHRFNDSRGQWTLQRHECDGKNMKPSLRGPFDESVLLWHLATDLCFYHRTDSSSSPPTCDAAHRSREISNYMVYLLFVNPDMLMPGSRSSMFNDVYQKLKGMVLDDGEAPKKNTWLSKAELAQKIIQKLKNDPEAPEGSDFIHDSWAIARELLDIGKEDGEAGGEKMWKVIQGVWVEMLCFSAARCRGYLHAKSMGRGGEYLTSVWLLLWMGMEPLSEKMQRTEVKDEGPNGGDAGTPASASQASTTIGED >Et_6A_048125.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:6991088:6992191:-1 gene:Et_6A_048125 transcript:Et_6A_048125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSSSTTPLLTPYKMGEFPLSHRVVLAPLTRQRSYGTVPQPHAAVYYSQRATAGGLLISEATGVSETAQGYPDTPGIWTAQQADAWRPIVDAVHAKEGAVFFCQLWHVGRVSTAALQPNGQPPVSSSDRPLAPQRNLDGRTDVFSPPRRLATAEVAGVVDDFRRAARNAVAAAGFDGVEVHGNSGFLLEQFLKDGVNDRDDMYGGSVPNRCRFALEVVAAVAEAVGGARRVGVRLSPFSDYMDCHDSDPEALTMYLVARLRDMGVLYCHMLEPRYALTGGLRHRLLLPFRKAFAGTFIVSGGYDKEAGDKVVADLVAYGRLFLANPDLPRRFQLDAPLNKYDNATFYTSDPVVGYTDYPFLSEEK >Et_3B_027919.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26547109:26547534:-1 gene:Et_3B_027919 transcript:Et_3B_027919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSPSTSASRTISSTSSSLSFSPRLAITCRSSAAEMRPFWSLSNTRNASRSSSSESVSFIFRAIRFRNSVKSIVPLPSASTSLIMSCSSASVGFWPRERITVPSSLVVMEPAQVRVRKYKFIAIIRDQYRTGSIYHRTML >Et_2B_021341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28885703:28900429:1 gene:Et_2B_021341 transcript:Et_2B_021341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTRSTAFLVLAVVTTVLGCASAQQLSPNFYSKSCPRLATIVRSGMAAAVRREKRMGASILRMFFHDCFVNGCDGSILLDDTPSFTGEKNAGPNANSVRGYEVIDAIKAQVEKSCRATVSCSDILALAARDGVNLLGGPTWTVPLGRKDSRTASQNAANTNLPGPGSSLATLIRMFGNKGLSARDMTALSGAHTIGRSQCQFFRNRIYTERNINSTFARLRQRTCPRSGGDANLAPFDVQTADGFDNAYYKNLVARQGLLHSDQELFNGGSQDALVRQYSTNAGQFNTDLVTAMIKMGNLRPASGTPTEVRLNCRKRDGEGMGKSSAVRSFVGLALLCMLLPCHAKLSTKFYAKSCPNVATIVRSLMAQAVAKEPRMGASIIRLFFHDCFVNVGHICSPVRLPCFPCVTSSECTETLTCAYAFVCLQGCDASILLDDTPTFTGEKNAGANANSVRGYEVIDAIKTQVEAACKATVSCADIVALASRDAVNLVSPTAENRVVHMDIHVKQTCPASLTLLLHLLELLGGPSWNVLLGRKDSRTASQSAANANLPGPGSSVASLTSAFAAKGLSARDMTALSGAHTVGRARCLFFRGRIYGEPNINATFAAARQKTCPQSGGDGNLAPFDDQTPDAFDNAYYKNLVAQSGLLHSDQELFNGGPQDALVRKYSGNGGIFFNDFAKAMVRMGGLAPAAGTPTEVRCLLTVAAVLASLLAGAAAGGPLSTGFYNIKCPNVQSIVRSEMAQAVAAEPRMGASILRMFFHDCFVNVSETLYLLSPCRRQAPQLAAVHGCDASILLDDTATFTGEKNAGPNANSVRGYEVVDAIKTQVEASCNATVSCADILALAARDAVNLLGGPTWTVYLGRRDALTASQSDANSNLPGPGSSLATLITMFGNKGLSARDMTALSGAHTIGQARCATFRGRIYGDANINASFAALRQQTCPQSGGDDTLAPIDAQTPAAFDNAYYQNLMSKQGLFHSDQELFNGGSQDALVKKYSANAGVFVADFTKAMVRMGAISPLTGPRGEVRLNCRK >Et_1B_014461.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9819127:9820443:-1 gene:Et_1B_014461 transcript:Et_1B_014461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLQSGSGGLMEEEEVAEWEDATEPQSDGDAAAKREAAPAGDERVRILEREVAVSKHTEMKMLESLIHQTKELEQAKIALEEAKLELATLRQQQGGGAAAAAEPAVPAAPQWSVMDLMFGGVDEEINGLKAKLRAAAVAEERSRKAADDLTAALSAVTMEAKQVKAWLSDAQTEAERANAEAARLKGMLQAAEAELWAATEQIDAVTREWKDAAAAWRAREKALLSRARAAEDDAAAARRENADLRRALERAVEEASAAGESLELATAENARLQDAVAEKETALEAARRENEALKASEAAALARAKELDGQLQLAISAGGKKATKLPVLEKWNAGEVVQGKLGASFLESGRLVAAGRKDRMFASLSNLTELKSAAAAAAAMDDYGYEFDHFDDVGQYGDPDHAVKHRKRRSMLRKFGDLFRRRSLYKSNLAPVLHHY >Et_2A_015394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11958551:11966515:-1 gene:Et_2A_015394 transcript:Et_2A_015394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATAASGLQMLAVRPGIPACKQMFGSASAMSAFERTLCTRTAFATRARTTIAGPLISSSHNRFAVRAMSQSGAQGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEITKVYPLDAVYDTIEDVPEDVKTNKRYAGASNWTVKEVAETVKNEFGSIDILVHSLANGPEVTKPLLETSRKGYLAAISASSYSFVSLLQHFLPIMNPGGASISLTYIASERIIPGYGGGMSSAKAALESDTRVLAYEAGRKGKIRVNAISAGPLGSRAAKAIGFIEKMIDYSYVNAPLQKELSADEVGNTAAFLVSPLASAITGSTIYVDNGLNTMGLALDSPTLST >Et_2B_021770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5274505:5275954:-1 gene:Et_2B_021770 transcript:Et_2B_021770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVSDELLGTFVPIAVYWLYSGLYIVLDGFGMDDYRLHPKGEESKNIVSKWTVVRGVLIQQAFQIAVSLLLFTVLGDESGTVRKQPHILVIVLQFIIAMFVMDTWQYFMHRYMHINKFLYKHVHSKHHTLVVPYAFGALYNHPLEGLILDTIGGALSFLIAGMTPRTGIFFFSFATIKTVDDHCGLWLPGNILHVFFSNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYTLETRKGGGFEARPVKLNKAEQTKAD >Et_3B_028307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13085332:13098596:-1 gene:Et_3B_028307 transcript:Et_3B_028307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRPPTAGASAWHPIGQLCKAYELEISNIQYAPVGKNGLTALGQRLMTLLLGSPGSGKTTLLKALAGKLEPKLKMSGKVTYNGHGKQDFILEKTAAYIMQNDLHVGDLTVRETLAFSARCQGAGKHYDLLMELMKKEVEENITPDQDINKFMKILGLQSCADTFVGDEMARGISGGQRKRVTIGEMLVGPARALFMDEISNGLDSSTAFQVVNFVRQLVHILGTTAVISLLQPTPETYDLFHDILLLSEGHVVYHGPKENVVEFFESLGFKCPCKKATADFLLENYAEIVRASHDLNFLAERLLQGKISISTCLATWNLIGTSGSMILELLLLKRNLYPYMVNVATLIILAFVASTTFWHSNMNHGSILDGEVYLGLLYFTITEIMFSTLCDVGGNVIKLTLFFKQREVFYPPWAYALTTYILKIPVTFVEVTIWIAMTYYAVGFDPHIGSLFKQYLVLLSVSQMSSSLFRLVGGITQNMVAAYNYGTTILLIFMLLSGFIISSENLNKFWIFGYWISPLMYAQNAISTNEFTTKRWSEIIAGSTESLGRSLLKSHGLFTGAKWYWIGVGGLVGYTFLFNGLYTMALTYMKCMLNILAELCQYCINVHLVTKCRDGEALTRYHGHLSGNVPADNHTRIVNRSQSSKTGTRLPFMPVLLTFENIRYFVEMPKEKVEHGTTKERLEILKGVSGCFRPGVLTALMGFSGAGKTTLLDVLAGRKTTGYTKGEITVSGYPKLQETFCRVLGYCEQSDIHSPHLTILESLLFSAWLRLPSQTDSATRKMFVEDIMELLELISLQNTLVGVPCMSGLSSEQRKRLTIAVELVANPSIIFMDEPTTGLDTRTAALGIQGLSMINDGYNPATWVLEVSSTVQEQKMGINFSEIYKNSDLYQQNKALLRDLSMPVCGSTDIQFSKEHHQSFFKQYIACLWKQNLSYWRNVHYTGGRYLFTTTIALLFGTIFWKLGMRRTKRQDLFNSIGSMYSSVLALGVKNAGAIQPIVAAERLVFYKERATGMYSALPHTLAHVAIELPHNLVQALIYGVSVYSMIGFEWTLTKFMWYIFFIYVTLLYFTFLGIMAVGLAPNERIAAIAISPFYGIWNLFSGYLIPLSTCPVAWTMYGLCVSQFGDVQDELETGETVAEFVRSFYGFRHEFLGVVAAGAVAFAIVFAF >Et_9A_061996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18685790:18688454:-1 gene:Et_9A_061996 transcript:Et_9A_061996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTPCVLVFAGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTDIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDKTIKINNKTEGGAEAPAATRKSSKKLLVVLMWLYLCLLPFFEATTLAVDVATAFAGSSCTLGFVIRSKTSPYSV >Et_7A_050958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12968230:12970617:-1 gene:Et_7A_050958 transcript:Et_7A_050958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADPAAASPTGSTAAAASSSSSLIFLGTGCSAALPDTRCLIKPPAPPCAVCSTALSLPPDQNPNYRTNTSLLIDYCHDDGTHKYILIDCGKTFREQVLRWFVHHKIPSVDSIILTHEHADAVFGLDEVWVVQPSNGSNGFERIPIFLTQFTMDSIAPRFPYLLNQKPEDGDEVARVSQLEWKIIDGDVDKPFVASGLEFVPLPVMHGEGYICLGFLFGRKTRVAYLSDVSRFLPSTEYVISKSGGGQLGLLIIEANNLDAVSLDAIKRICPKRALLIGMRHMFDHHRENQILVEWSLREGIPVELAHDSMRIFLDM >Et_2B_019063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28685277:28685856:1 gene:Et_2B_019063 transcript:Et_2B_019063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGSSKVAPLEVSSSGMLPWSTCTRNTTIFLSPSVFAPTSSKTPVSPTRSSLSMAKPRHAASSFLTLRSPQKLVVHDWPRQTRTAATRASERCRGNKSEAHTRTAGCHWHREKKVVKKGWSPETGVISQS >Et_7B_055752.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3522978:3524021:1 gene:Et_7B_055752 transcript:Et_7B_055752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTSSPDPFTFHPHLAPPADVDGDEFEFRVPAAAAALSAADELFSGGKLVPLLPPPQPLSPPCSPPPCLEEVEPPSEPASPRAPRCAGRRWRDLLLLVTKKAKPGRGGGDDKRCADGCLKRRETQHFRPLLSRDSSLSSSASSVDSGKTSRRPPPPSRSPLRTRSAPVASLLHLISRRPAGGDKIGAGADAPPPPKRQEPCAHHPLLTRASSSSSASSSDSYGRNSRAPSRPRYPARPRPAVAAESPRVSASGRVLFRGGLERCSSTPASAGIGPRRPRPRGMERSYSANVRVDPVINVFGGFGHLFMPSSPAKEKKADRERDGAGRRNRPEKLAMVLRDPQD >Et_7B_053689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11074970:11077894:-1 gene:Et_7B_053689 transcript:Et_7B_053689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPAPSRTVICVGDVHGYLSKLESLWSNLQSALPADAFATALVIFLGDYCDRGPSTPGVLDFLLALPARHPAQRHVFLCGNHDFAFAAFVGALPPPPEGSPFSATWDEYLHNEEHEGWFRGPGHEGMHVQGRRWGGAIKERWNPKKGLPYKGSIYDAQPTFESYGVAHGSPDLAKAVPEEHKRLLHDLVWIHEEEGVPIVTDEGQTVCKLIAVHAGLERSMDLNEQLRVLRTRDSRVPKVQMLHGRQDVWDIPKDLSGKQTVIVSGHHGKLHIDGLRFIIDEGGGYEDKPIAAIVFPSKTLIRSTE >Et_1A_008056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40401949:40403187:-1 gene:Et_1A_008056 transcript:Et_1A_008056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAVGNQAGKVMKKEKKKQAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKKQKKKEEQQRLDEEGAAIAEAVALHVLIGEDSDEPCHLLLNKHRRCNHWDPSATFDFSQGTDIYPSDGLICANHAHSPRGTWTNLEIGQPLPFWGEVRDFQAPCYQGAFHQSVTCPGFIAAQAVSLLQIREESSGITSPSKGAAAATVVNRMLVCCRTSAEPEIPFRSIARGGD >Et_5B_044608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:437519:439243:-1 gene:Et_5B_044608 transcript:Et_5B_044608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGRVDDIADADMDEGFFGGRASHVRRASSTGYVRGSPPGSPPRPHSPRMFVPQSPVTPLQKAAEVPPMFNQILMSGQQEDVYGPPQKKIPTLLTWALGGKSIYVEGSWDNWATKKPVEKSGKDHTILLMLSSGVHRYTFIVDGERRFIPDLPCETDNMGRVVNLVDVHDFIPESVESVSELLAPPSPDSSYGFHIPGEKEFAKEPPQLPAQLYLGVLNSPSSEEGCARPRHVVLDHLYIEKGWGGQPLVALGNTHRFRSKYVTCVLYKAIER >Et_2A_017935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8006257:8006633:1 gene:Et_2A_017935 transcript:Et_2A_017935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKRRDTRSHRAASNTSTCGKKVENSTEAADEITKIPVSILTRIMRHAMPLNTKINADTKEVMGQCVSEFDSRSRISRWAWPLPGRHRDGGLRGTCIS >Et_4A_032409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:83560:85931:1 gene:Et_4A_032409 transcript:Et_4A_032409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAEQPQLSLEQGQEEHPGRTMDGSVDWRGNRCLRDKSGGWLAGFLILLNQALVTLAANGVGTNLVRFMAAVMRLDNADAANHANNWNGTTYVFSIIGALVSDSCWGRYKACIIFQLIFLAGLVELSISAYLFLHKYCDFGEQRQANCRPPTKTEALVFYVAIYQIALGNGAYQPAITTLGADQFDEADIKERKSKTAFFGYFFVANNLGSMVSVTALAYIEDKGRWVLAFWISTGAAFIALLLFAVGTLRYRHFMPNGNAILSVCQVIVASIKNRHIKTPQQAEDLYEVEGTPSKSGGRKMLHTPEYRCLDKAAVIKDPRALLPGEHHSPQPWSLCAVTQVEEVKCILRLVPIWLCSILYSTAYSQMSSIFIEQAAAMDDSLSKFKIPPAGISVFEIVGVTAFVFIYEFGIVKLCSKMSRELTELQRMGIGLVISTVAMITSGLVEQQRLKHATGEAEMSSSLSILWQIPQYVLIGASEVFMYVTMTEFFNHELPDGLKSLGSALSVASMSAGNYASSLLVTLVMTITCKGGQPGWIPEDLNKGHVDRFFFVIAALNAMDLLVFVVLAKRYRRAPPMKTGADA >Et_1A_006563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24529443:24532037:1 gene:Et_1A_006563 transcript:Et_1A_006563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEERWNRPSGRQCAGSAKDFVASSCSVEGPSPATTTFDDTTTARGGYSFSVEVADGNISAVRSLLVTGGDIGRIDDVRKPPTPLLASNSGPYTGDGSLDSRGTPASRKHTGKWTACYSILGGEFCGAMAYYGVGTNLVSYLAKVQKQSNVNAASSIASWQGTCYLTPLLGAFLADSYWGRHRTIVIFLTIFTTGMVLLTLSAFLSANIHTMVTSTHDILPSLGLFLTALGLGGIWPCVPTFGADQFDDTDGAEKVQKELYYNWYFFAVNGGFFVASTLLVWIQENYGWVLGFGIPTLFSAMGIAGFLASRKFYRYQKPGGSALTRMFQVVVAAVCKFHVDVPNDSLLLYEIPGKESAIAGSRKLTHTNGLRFFDRAATITDSDEVSDEVPNPWKLCTVTQVEELKILTRMLPVLVAAIVFNTAEALFPLFIEQGQVMDNRIHRFSIPPASLTTFNCLCILVMAPAYNKIIMPIVSKITGMKRGLSELHRIGVGIFFAMLSLVAAAIVEMVRLDITKKRDRLHGSAPAPMSILWQAPQYFFIGVAKVFSVVGFLEFSYEQSPDAMRSLCQAFSLIMVTLGSYLVSLLLKFIDSVTVETRSIGWIPDNLNEGHLDRFFWLMAGLQLLNLLAFVYCAMRYKSKQAT >Et_2B_022596.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27251488:27251793:-1 gene:Et_2B_022596 transcript:Et_2B_022596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGCRHNDVTFLAVLSECAHGGLVDRGLRRFASMSSDCQEHSVVRKHGGNARRFFRQTRVCLPRDVGQRGQRAQMDRTETGKETLLICCTDKSHTCRKR >Et_2A_015336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11159922:11166252:-1 gene:Et_2A_015336 transcript:Et_2A_015336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMTESREDGLEQAPSPESASCPISRCPITSARRSRQRNVFHLLAQREVSARTKHQAKKHWTKSLRCDAGSVELEICSTDTRHDLFSCILKSLWTNGSLLPVVITLLGTIHCIQISLPVEVWIMKFVSGMLKHHIALEYTASVISLDNRPIASIAFHANGELLAVASDLSLNCEHAYQLFIWDYNKKGEAFNPPMILKTRRSLRAVHFHPHGAPYLLTAEVNNLDFGDSTLTLAKSSGYSNCRPAVIVANINSMHCPHLKSRLSSPCFLWPAHLRDGEIICPLCNDQGITSNVQPPLSSLPQNTAADAGNQEISQTVTPMDICPGEPGVANDNNSVSTPLYAGPELNTVNVQSDERVHRGLAARYERFSGRCNIIPNQEIVLQSRPSEPSGMPMEPFNASGGLPYVPNLEHVGALSSVRASTGRFGGPSRHHTSLRHLTASVPGVERLFLGTQIDEPGVRCVSISVGSEVPTSLFDGSGAELPCTVKLKIWRHNIKYPYAALDPGACCLTISHAVLCSEMGTHFSPCGRFLVACVACILPQTEGDHGTQLSMQYDSTGAGTSPTRHPLSSHQVVYELRVYSLEEATFGTVLASRAIRAAHCLTSIQFSPTSGHILLAYGRRHSSLRTIFLDGKTVVHLYTVLEVYRVSDMELVRVLPGASDEINVACFHPSPGVGLVYGTKVRKLRSTAQGSTNYALLILMVNDRKASLGFFNIMVLTWGQISSLSKIFSRRVGLLPK >Et_7A_050832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11347931:11351372:-1 gene:Et_7A_050832 transcript:Et_7A_050832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRDHTGLEVVSAGGPNWAPRSVDSIQLTSSRKKNGEKKRQRQHRAGRQNPTPSSTLPARALPLPSPLPTPSLPVAATGDAEASPKTSGSGTTGEKNPEEPRRAAPMASWCDNLAAPPRVLVAPRPCGAEGRGNVLSLRHPRSGDEAGYLFIDGQLHEINWFKERYGSWFLGNYVCEDGGLYYCTLVDPIFIFLPTFEAARMLNGKEPGKFRQLDEILYVEGYPAYQQLMHVAGQHMELVCEVKEVANMKFFRLDDSKVLSWLCCKVQNLKQVFPELGKNYAAQGEKELLKEAVQMIREYLKDEPWLKLDFNEIIDATTKTSEASFSAESSPAPARPSEVANGSAKSSKGRPAKKPKIEVGSKNIKDMFRRVTRSGTGS >Et_4A_031961.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:5589519:5590589:-1 gene:Et_4A_031961 transcript:Et_4A_031961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRGDVVSWTKRISALARSGRAAEAVAAFARMDAAPNELTLASVLPACAALRSLALGRAVHGYWLRRGGGHGANLILDNAVLDVYAKCGALGAARRLFDGMPRRDVRSWTTMVWGLARSGRLHDAVAMFRSMLSDGGAAPNEATVVSVLHAVASTGSLACGKVLHSFALKRGLTAEQVVGNALINAYAKCGDARMAFGAFEEVPEKDMVSWATVTRAMVVNGRCREALQLLSLMLRCGVRLDAAVFLALLSACCHAGQADQALLILDAMRRVYGVAPRKEHYTCALDACGRAGRLEKFGEFVTQMPVECDRQLLGVYCSHFREWRARNAAGRTAGERLWERFLEGEVDAGGAPMR >Et_7B_053883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1387403:1389202:-1 gene:Et_7B_053883 transcript:Et_7B_053883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTGYRDAHRDANHGCCLHPLFITLHSPPPPLRLLVRLFMGDDVANSRERPVVPLLDRFHGWMARHGRWYPSADEKLRRFAVYRRNVEYIEATNRDGGLGYELGENEFTELTAEEFAAGYTGADVEDNAMVITTRAGDVREGRCGTAANGVDGSTTVADAIPSSVDGSTVAYPPSSMDWRTKGVVTPAKNQMGCGGAGWAFAAVATVESIWGMQDGPREGGRRLGVDAGGGDALPEILDCPFNSTGCEGGDPATAFDWIAHGGIASESTYPYRGAKSDHCDADGAADVAAVITGFENFPACIQDALVVQVAGQPVAVAIDARGDKYKSGVYTGPCCLGPCSSTRANHHVALVGYGKTDDGVNYWIAKNSWGQTWGDKGFFLMQRGHNNDPGLCGVARDPIYPLP >Et_2B_021055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26026902:26027259:-1 gene:Et_2B_021055 transcript:Et_2B_021055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGCSTCLEVIFSVVLPPLGVFFRYGCCSSEFFISLLLTVLGYVPGIIYSLWVILRTPPEPPGIDGERPYYLLA >Et_7A_052483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8566885:8568984:1 gene:Et_7A_052483 transcript:Et_7A_052483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAVPVPVAGSASAYAARRSSCCAVPVAASSSSRSLRSPPLRAAAGIPGNRLGSSRRGASVVRAVQGQDATVQVPDVTKSTWQSLVMESELPVLVQFWAPWCGPCKMIDPVVVKLSKEYEGKLKCYKLNTDESPDIASQYGIRSIPTMMIFKNGEKKDAVIGAVPESTIVTSIERFVEM >Et_6A_047716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1055016:1057379:-1 gene:Et_6A_047716 transcript:Et_6A_047716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTRPPAGTVQCFGRKKTAVAVAYTKPGRGLIKVNGVPIELIRPEMLRLKAFEPIMLAGRTRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVAYYQKYVDEAAKKEVKDIFARYDRTLLVADPRRCEPKKFGGRGARARHSDNTAVAEHVPSFYANPKYM >Et_1A_008965.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2285073:2287430:1 gene:Et_1A_008965 transcript:Et_1A_008965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRCPRLAVLLALILAAATAATAADEARAQSTYIIHLAPGHPALSAARGGGGVNGGAAFLGRLLPRRLRAPRPRVLYSYEHAATGLAARLTPDQAEHLAARDGVLAVHPDQARQLHTTHTPAFLHLTEASGLLPAATGAASSVVVGVLDTGIYPVDRGSFKPVGGLGPAPASFTGGCVSTNSFNASIYCNNKLIGAKFFYKGYESALGHAIDETKESKSPLDTEGHGTHTASTAAGSAVAGAGFFDYAKGQAVGMAPGARVAAYKICWTSGCYDSDILAAFDEAVADGVDVISLSVGASGYAPSFSLDSIAIGAFHAISKGIVVSCSAGNSGPGEYTATNIAPWILTVGASTVDREFPADVVLGDGRVFGGVSLYSGEPLNSTKLPVVYAADCGSRLCIQGELDPKKVAGKIVLCERGSNARVAKGAAVKQAGGAGMILANTEESGEELIADSHLVPATMVGQTVGDKIKYYVKNDPSPTATILFRGTVIGKSPSAPRVASFSSRGPNYRAPEILKPDVIAPGVNILAAWTGAASPTDLDIDPRRVEFNIISGTSMSCPHVSGLAALLRQAHPGWSPAAIKSALMTTAYNLDNSGETIKDLATGVESTPFVRGAGHVDPNRALDPGLVYDAGVGDYVAFLCTLGYPASVISVFTNDGSVADCSKKFARSGDLNYPAFAVVFTSYKDSVTYHRVVRNVGSNASAVYEAKVHAPSGVDVTVSPSKLVFDDKHQSLAYEITLAVSGNPVIVDGKYSFGSITWSDGTHDVSSPIAVTWPTNGEAAAM >Et_1B_010540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11409695:11421986:-1 gene:Et_1B_010540 transcript:Et_1B_010540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQARYLGHAFPHDSRAIRPELDNAPVFLGGPLPTATAPQVVGSNTAFSDLTCNNNNNDGNGGCFVPRKRARVGDGLIMEGHGALLPPPVPMQQAFAPAVDVQSRVLCSGTASTSGRPDSVAPVVSQGLLSLLYRHGVEIDAILRIENERLRAGLEEARRRHVRALVSAAERAAARRLRDAEADLERAMARNAELEEKLRQATAEAQAWQGVARSHEAVAAGLRATLGQLLQSPPRAGACEGDVAEDAQSCCFEEQEDYDDRARTSRACKACGAADACVLLLPCRHLCLCTGCEAAADACPVCAAAKNASLHAQYLDTHAFRHDPRAIRPALEDDAKRASSVLLGDPATDSGHLLAQLLQPRGNTTVFSDPRSELTCNNNNNDNIGSGCFVPRKRARVGDAAACSLIMDGHGGALIPTVPMQQQAFAADVQSRAHGSGAASTSGRPLASGTAPVASQGLLSQLHRHGIEIDAIVRIENERLRAGLEEARRRHVRAVVSSLERAAARRLRAAEADLERALARSAELEEKLRQMVAEGQAWQGIASSHEAAAAGLRATLDQLLQPPPCAGAEGAGEAEDAQSCCYEQQEDDGTARTSRACKACGEAEACVLLLPCRHLCLCAGCEAAADACPVCAATKNATLHVILS >Et_3A_026965.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28191117:28191743:-1 gene:Et_3A_026965 transcript:Et_3A_026965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGINRCVACCLACCNCCWLLAIILIGVLVPAYGPVSPVRAAVKDASLSRFALANGTTALTYDLSLTVALRNRNWAMQAEHAAPFDAELRFAGRVLDAIRVPVDDGARRMDPHGGQEFPMWAVSSSAPQGVELGGDAAAEFVRQSVAGVFELELRLTGAFRYRPVHVGGRRKMDLTCPLKLRVGTAPATPGTHFMVPVFGEVVRCY >Et_1B_011470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22677344:22681398:-1 gene:Et_1B_011470 transcript:Et_1B_011470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGILKNSGSSRMPPQGGAGGKPPPGPTAAAPTVVFGRRTDSGRFISYSRDDLDSEISSVDFQDYHVHIPMTPDNMPMDDGKAEEQYVSGSLFTGGFNSVTRAHVMDKGGDGGGVGGRVGGKGASSCMVQGCDAKVMRDARGDDVVPCECEFRVCVDCFTDAVKAGGGVCPGCKEPYKNTEWEDIAGGAETTRALSLRRGPARADSKMERRMSLVKQTAGNQSGEFDHNRWLFETKGTYGYGNAIWPQDGVDDDGDGGAPGGHPKELLTKPWRPLTRKLKIPAAVISPYRLLVLIRLVALAFFLMWRIKHQNDDAIWLWGMSIICELWFAFSWVLDQLPKLCPINRATDLSVLKEKFETPTPNNPTGKSDLPGIDIFVSTADPEKEPVLVTANTILSILAAEYPVEKLACYLSDDGGALLTFEAMAEAASFANLWVPFCRKHDIEPRNPDSYFNLKRDPFKNKVKPDFVKDRRRIKREYDEFKVRVNGLPDAIRRRSDAYHAREEIQAMNLQREKMKAGGDEPFEPIKIPKATWMADGTHWPGTWLHPSQDHARGDHAGIIQVMLKPPSDMPIYGNINEKSPLDFSGVDTRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYVYNSKALREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRSKDHSPGFCSCCLPRRRKGLASNANPEETMALRMGDFDGDSMNLATFPKKFGNSSFLIDSIPVAEFQGRPLADHPSVKNGRPPGALTIPREMLDASIVAEAISVISCWYEEKTEWGVRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTQRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASSKMKVLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLTYLLIITITLCLLAMLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKQVGDDVEDEFAELYIVKWTSLMIPPLTIIMINLVAIAVGFSRTIYSTIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVYVWSGLVAITISLLWIAIKPPSAAANSQLG >Et_1A_008873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1689950:1691450:-1 gene:Et_1A_008873 transcript:Et_1A_008873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGGNDGAATRWPPHPGFVRGLCFPCGEEEEEASVVGHEMTTKGATAAGRCPPHPGFVHGLCFLCGATEEDSSAAGHEMMSKGATATAAGRCPPHPGFVRGLCFLYGATEEDAAEGAPGVADGHIHGGPAWPASETTTIPRASDLGTLLRARKLTLILDLDHTLLNSTGFNELSPIEKGNGFTRDTRSDPGTGLFRLDTYPLRMLTKLRPFVRGFLKQASAMFEMYVYTLGDHDYARAAAKLLDPDGAYLGQRIVSSDESTRWDKKSLDVIPGADPVAVVILDDSDYVWPEHQDNLILMDRYLYFASACRNFGYHTISLAERRRDERDCDGALAVALDVLKRIHQGFFESVLDGHCSDVREVTRAVRREVLRGCTVAFSRIIPLGVRAQDHHMWRLAEQLGAVCVVDVDATVTHVVSKDPATEKAQWAREDDKFLVSTKWINTASFRWYRPNEQEFPVVADIAYQPYVIVENYVKR >Et_4B_038342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28226503:28227515:-1 gene:Et_4B_038342 transcript:Et_4B_038342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEVAVDGVVFPPVVRPPGSGRSHFLAGAGVRGMEIGGNFIKFTAIGVYVEDTAVTALAKRWAGKTADELAADAAFFRDVVTGEFEKFTRVTMILPLTGEQYSDKVTENCVAYWKATGVYTDAEGVAVEKFKDAFKPETFAPGASILFTHSSAGVLTVAFSKDSSVPEAGVVAIENKPICEAVLESIIGEHGVSPAAKLSIATRVAELLKETSTTPGDAPQAEPVAVSS >Et_9B_064655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17430227:17433943:1 gene:Et_9B_064655 transcript:Et_9B_064655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFERSFFVGEHDFGRGSVHYSSDFKRRMAFSDDGKMKGCQPKLFGTKDKKVAKRTDRASCSTVKGGSSSSKSPSSSPFRKLSEVRSMRLSHFLAHTSNSTKSEHVRIFVSTWNVGGKAPTAELKLDDFLPADDRSDIYVLGFQEIVPLNAGNVLVIEDNEPAARWLALINRALNQPVDTDTDIFHHKASPSLDSTSSQSTSDLDASFSNRSRTASGSVIFQKSLKSIRKSYMPSQRKKLKFCNCSVEMTKKSYKDACFRCPQPYANEMDSSEEDETDDKLNDVYGRAVDGIASATSASRDQLKYNLVSCKQMVGIFVTVWAKKELVQHIGHLRTSCIGRGIMGYLGNKGCISVSMTLYQTSFCFICSHLASGEKEGDELRRNLDVLEILRLTQFRRICRRAGRRIPEKILDHDRAIWFGDLNYRISLSYEDTKKLLTENNWDALFEKDQLNIQRASGSAFKGWSEEKIYFAPTYKYSFNSDSYAGETATSKKKRRTPAWCDRILWHGDGIAQLSYFRGESKFSDHRPVCGSFVVEVEIADGKSKRRSSNTNIRIGAEELLPMDDSNKGICLLDYAFISAEICISLSKHCEVQCMLFARTKN >Et_1A_006055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17252547:17254872:-1 gene:Et_1A_006055 transcript:Et_1A_006055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAISSLCCCLLQPSCLAELPLPALLTSIVLLAWTNNKDTQSCRVRAGYTTSVDLHITLCLITGLISACFLRTGYDLHSLTGEGATSLERITDNEMEDYLEPSMLGSFISPWKCSRRSTRTRSKSWVVEASHLFVVRNTIMTEGEKCG >Et_4B_038821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5084013:5085091:1 gene:Et_4B_038821 transcript:Et_4B_038821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGMADLRLGDFEFFKILLPGMSKNKLRLPSKFEQVLGDRRDVKMRLAGAASMPLWDVELVSSGDDGVYLGRGWRQFARTYELREGHLLVFRYDTGDVLNVTVFDPSTCRKHYPLHAAGGGAGGAGAGKCLPHINEPSHFAVTLRKCNLGAKQNQYLNVPVEFQDAHGYARRRQVSLRMGGKSWTVNLKRGKRARGDRTAFKYGWHQFCVDNGLEVGDTCFFRVIRERSGIKEEDAEDEQWEWEEEEEDDEHVLKVEVRKKDGAFVA >Et_2B_021871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6294263:6298630:1 gene:Et_2B_021871 transcript:Et_2B_021871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGCGLEWVVCLGCTRWAWKRLTYIGAYDSESWPPAPPDDFEPVPRICRVVLANYDPDLNNPKFAPPGRGYADIDPKGVLKRATYKDVGTACPPYLVYVDHAHAEIVLAIRGLNLVLMDNKLGMQMFDGGYVHHGLLKAAKFILERETETLRELLRQNPGYKLVFAGHSLGSGIAALMTVLVVNNRKEFDNIPRNRIKCYALAPARCMSLNLAVKYADVINSVVLQDDFLPRTPTPLEYIFGSIFCLPCLLFLMCLRDTFKQDKRKIKDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVENRFEHVVLSCSTTSDHAIAWIERESQKALELMKEQENVLTAPPQQKMERLQSLKDEHKNALQRAKTLDIPHAADLSEEEIQEDASTPPFSDTHSETTTEPKSAGRTSWDDLLEKLFTKDEEGKFVVKKDAITRDVPIE >Et_5A_042672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25031958:25032556:1 gene:Et_5A_042672 transcript:Et_5A_042672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKQLAVVIVFAAVFVALAPPALAVELIVGDEHGWGLGFDYDKWVDGNEFIVGDTLVFRYAMGKHTVVEATAENFDACSKDSSLGSWSSGEDRVPLATAGPRWFFCGVADHCAQQGMKLNITVLPAVKLSTSGPTSSWTSSPSSPAPRTPGGGLAAALAAGVGVAAALVF >Et_10B_003460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19989062:19989611:-1 gene:Et_10B_003460 transcript:Et_10B_003460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSVPTSLFFQDQAGPSLREYYHLPLGINAHCLVDELLVQERHTSLKAPSRCRLVGPQAVTDLAAGFTVELAFVGGLVEVEVAAKDLIRAFAGDDHLDPERLDLA >Et_7B_055821.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5340919:5342175:-1 gene:Et_7B_055821 transcript:Et_7B_055821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQFSSSSSRFSKEEDEEEEPEHEEEEEEDDDEASPREIPFMTASSATAAAANPSASSSPQSASASASALRSSDGAGASGSGGGVEAIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDAAAGGNEKGLLLSFEDRAGKLWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFSRAAADDARDRLFIDWKRRADVVPHPHHRFAPRIPLPAAASPYGPWGAAGAGGFFMPPAPPVTLYEHHRLRQGLDFRNVNAAAPARQLLFFGSAGMPPPGPMLPPAPPTPTHHITTVLQPSPAATSAGQLPMVLDSLPLVNSPTATSKRVRLFGVNLDNPHPGGAAGESSSSHDANALSLRMPGWQRPAPALRFLELPPHQQQHGATGGVESSAASSPSSSSSSKREAHSSLDLDL >Et_2A_015251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:128276:130643:-1 gene:Et_2A_015251 transcript:Et_2A_015251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEETETAGELRYLSGLGNTWLYRIKPSVTHEPFHPRVPTNERLVGDFDRTTTVATPTQLRWRPAHVPAAPPLDFVDGLYTVCGAGSSFLRHGYAIHMYAANKSMDGCAFCNADGDFLIVPQQGRLSITTECGKMLVSPGEIVVIPQGFRFAVDLPDGPSRGYVSEIFGTHFQLPDLGPIGANGLASPRDFLCPTAWFEQAHRPGYVIVQKYGGELFTATQDFSPFNVVAWHGNYVPYKYDLSRFCPFNTVLFDHGDPSVNTVLTAPTDKPGVALLDFVIFPPRWLVAENTFRPPYYHRNCMSEFMGLIYGIYEAKADGFLPGGASLHSCMTPHGPDTRTYEATIRRADANEPLRLKGTLAFMFESSLIPRVCRWALDSPCRDLDYYQCWIGLKSHFSHDNGTAATSSESSGVDGKD >Et_2B_019754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13536696:13542081:-1 gene:Et_2B_019754 transcript:Et_2B_019754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPAASAPPAAAADEQAADLAAAAAQQQQAAAADAEDPFASNYGDVPDEEIQSKVISGRVWSNVGDLDAAVAGRSVLTRGFAHATRPVSKKMAFVVLRQGMSTVQCVLVANADAGVSTQMVKFATTLSKESIVDVEGFVSLPKEPLKSTTQQVEIQVRKIYCINRAIPTLPINLEDAARSEAEFEKAEQAGEKLVRVGQDTRLNNRSIDLRTPANQAIFRIQCQVENKFREFLLSKDFVGIHSPKLIGGSSEGGAAVFKLMYNGQPACLAQSPQLYKQMAICGGFERVFEVGPVFRAENSNTHRHLCEFVGLDAEMEIKEHYFEVCDIIDGLFVSIFKHLTENCKKELETINRQYPFEPLKYLDKTLKLTYEEGIQMLKDAGTEIEPMGDLNTEAEKKLGRLVREKYGTDFFILYRYPLAVRPFYTMPCYDNPAYSNSFDVFIRGEEIISGAQRVHTPELLAKRATECGIDVNTISSYIESFSYGAPPHGGFGVGLERVVMLFCALNNIRKTSLFPRDPQRLSP >Et_5B_043774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15940591:15946649:1 gene:Et_5B_043774 transcript:Et_5B_043774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMSFLAMLLLAIAILSVTGSAAVSSHSHPHPLDPLSAAELTAVSAAVLAWPLVTARPLAIHYVGLDEPDKNDVLSYAAYDPSSSSSRRRRPALPRRALVIARAGGESHELRVDVTNASAPSVTSHAVHRGGGFPTFTTEELVAAAALPPTYPPFVESVRRRGLNLSDVLCGMLSKGWYGGGEEARWGGARVAKLQCFVAGGTTANFYARPLEGVTLVIDVDRMVVVGYRDRVAQPVPKAEGTEYRADSVGPPFTGPVTVPGVVVQPEGKGFKMDGRVVTWANWEFHVGFDMRGGTVISLATVHDAESGLRRRVLYRGFVSEIFVPYMDPEEEWYFHTFLDAGEYGFSAVPLQPGSDCPANAEYLDGDYADQDGKPVKADKMICVFERYAGDIAWRHTELALGPLITEVRPHVTLVVRMSISVGNYDYVLDWEFKTTGTIKCVASLTGILEMKATSYTHTDQIKEDAHGTLIAKNTIGVYHDHFFTYHLDLDVDGTKNSFVKNTIIPVRNTGNPATGGADTPRRSYWTLRREVAETEADGQVRLSDAPTELLFVNPGKRTKIGNEVGYRLLPGGATATSLLAADDYPQRRASYTKKQVWVTPYNRSEKWASGLYAEESTGDDNLAVWSRKNRRIKDEDIVLWYTVGLHHVPCQEDFPVMPTISGAFELRPFNFFESNQLIRTRPPKQGHSPNCSCGST >Et_2A_017791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6253713:6254239:-1 gene:Et_2A_017791 transcript:Et_2A_017791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYQQASDPHSHKPSCTKHDHFLSKPVYSWLWPSSALSAALPPALSKLCSLLSSSTSTTLTPLSHRPIGGRSCNYLEGQWKGAQGYGQRYVQRHAVQREGKPQLHPQRAARHRLATLTGGDSRRPTGVRREVVPLG >Et_2B_020334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19059642:19063617:-1 gene:Et_2B_020334 transcript:Et_2B_020334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVSSPRAAAAAVAAAAAAGAAAIVSSPRRGSGAFQHHRRWPPAAVAPPYRACLVMVWVLGFALVFLWQSTSVGNVRLYTRPPMPLPKRPAASMGQWVASPPVYDLREFGGVGDGRTVNTAAFEAAVAAIAERGGGRLTVPVGRWLTAPFNLTSRMTLFLASGAEILGIQDERYWPLMSPLPSYGYGREHKGPRYGSLIHGQDLKDVTITGHNGTINGQGQSWWIKFRMKLLNHTRGPLVQLMRSSNIVISNITLQNSPFWTLHTYDCTNVTISETTILAPTTGAPNTDGIDPDSCQNVVIKNCYISVGDDGIAIKSGWDQYGIAYGRPSANITIQNVVIRSMVSAGVSIGSEMSGGVSNILVENVHIWDSRRGVRIKTAPGRGAYVSNIVYRNITFDKLRVGIVIKTDYNEHPDEGFDPKAVPTIENISYTSIHGQGVRVPVRIQGSAEIPVKNVTFHDMSVGIVDKKYHVFQCSFVQGQVIGSVFPMPCKNLDLYNERRELVKQSTLQNISDIDYSF >Et_2B_022963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9705408:9705866:-1 gene:Et_2B_022963 transcript:Et_2B_022963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLVQCNIIKAQKDDDDMIRSVSQTLCGDGFMDGTEMFLKALHLCKDRSNAPRGLPRALRPLLPRAFFGNCVKTCYARATVGGDAALARAAAAVRDTVREQLADPLADAERV >Et_5A_041283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20679181:20688777:1 gene:Et_5A_041283 transcript:Et_5A_041283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAILAVVATLAVQLAAAATDHPVNGDGAWDASGSNYNAWAAKQKFVQGDTVSFTYSPSHDVSEVTEAEYKACSGSNPVKTYSGGKTAVKLATPGKHYFICSIPGHCAAGMKLEVTVAAAGVSAPAPTKSKSKPRHQKSAAPAPAPAPDASSTEVSTPTAAPAPKASGAAATAAVGVKAVVGLAAGLAAAATDHPVNGDGAWDASGSNYNAWAAKQKFVQGDTVSPAAACFAHRPPRFRATSNTPSTTCTWSALCPNRPVESVYDKAGSPYRGPTCHARRLTNSCGSVRKFKLGVGCGPFEIRGNLRYALEGRAPLLSPRCPACLSLSPPPFPLRRPGYLPSSSPRESAMKGAKSKGAAKADAKLAVKSKGAEKPAARGRKGKADKDPNKPKRAPSAFFLVLVREGFRKEFKEKNPKNKSVAAVGKAAGDRWKSLSESDKAPYVAKANKLKAEYNKAIAAYNNGESTAAATKKAPAKEEEEEDEEESDKSKSEVNDEDDDEGTFKYAPSHDVTEVTKAGYDACPGGGSNAVKSYTGGATTVKLTAPGKRYFICSVPGHCVAGMKLEVTVAAAAVATAPAPAAPKSQPPRRQRSVAPAPAPEAPAVPPSSSDEGGLPTMSSPAAAPAPKSSDATSVGIIGAKAAVALAVGMALALAV >Et_1B_012851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35557753:35558682:-1 gene:Et_1B_012851 transcript:Et_1B_012851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRGGSSSSSDKHAGTLPMVDAEEACTLLSSATHQYLDVRMWEDYDKGHVAGARNVPYYLSVTPHGKEKNPHFVDQVAALYAKDDRLIVGCRSGIRSKLATADLLNAGFKNVRNLDGGYLSLLKTANQHPAA >Et_1B_011277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19983930:19986986:1 gene:Et_1B_011277 transcript:Et_1B_011277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPKPPHRRTGDARTRVEGAKVIGGSSNLGVSENQLVVRETAVGKDGLSEEMPEKLLRRDEKVGVISSCVGALHTNPGGKEKMRAPSRFPGRMTSTSVIRTRADAGMNAQASCSRQQLSPQCSTSVDGHAVASMDAHDNTTAQVWSKPATKHCSKEKAAACSSERMPERHRAKQTCLSGERPAHNKLRKGPSGEMPQKHQAKPRMASNSRRLIAKTGCPLDGNSTAKDCMEPRAKENTVVHAPESKDLELQEADDAIRRMNELGLGEDISPDEYMVYLKKLPVDPVINIYIKLDSEQMIPLYIRHARYRIRYYKLSQRASRVRQNCAVDLLEKEDFSDEFLREMGYFVKFEKDGTCDWSFHPDLCKIRDLDDYQRLVPHDSGDFGTYADWDKYHKTFHSYETELEYLSYCSEVSEKLKWMEDYVMIEAPSVKWGKISTRGVFQAMKIATKFSKITPGLAYTACYECVGNMRWKAMWLKNMVELYFEIWLLVTKLEKSFRCAMEEVYKSNKVPIMVFKLQKALEYDCSEMETEVERPKCYQHYIRKKIDIAQSIGLIPV >Et_3B_031312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25386253:25388304:1 gene:Et_3B_031312 transcript:Et_3B_031312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDAKPFPHVYDRNVCAIRQRRREDVPSIGFDIRESATELTRTRNARLNRAREMAPVLLGEELRTPVLVVPNPFTKDTTGFSHISHHGVLLHDPEDRTRMVMLYFRDTDGYYAGFQRCLVAEHDDGTKEENWGRSFFFDDMVERNEVPEFFAPVKLGVGSAHSDKGDYPGPGAVLALFNFLAGFEDHVHIHNNTEVRGLTPAMKDELQLHVGRIIIVFAEAMRLRTIYTNALGGLGGHQYSVALTLGEWNLLRSWGKISDYVLLLRKQTPREQMNLDHPLDPGNGDLATHGFLTMTLADLIGPNGELTLCKINVGRS >Et_6A_047867.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2223455:2223970:1 gene:Et_6A_047867 transcript:Et_6A_047867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPTRTPSGVPLLPVFFDGGVYLVEDPVEPEIDEDEEFRNPRFPMIPYLNDHYRAREEQEEDEAEEEHSYRCKRARVPATSKAIQGLQEVSASDTKHEECAVCLQDFGAEEKLRAMPCSHAFHQDCIFEWLRRNRVCPLCRRPLPTEEDDEEEFSFSMSQAWGFPELAQD >Et_9A_061812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16727460:16734548:-1 gene:Et_9A_061812 transcript:Et_9A_061812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAPTASGPRLSPFSIPRPYFLRASPSHILLAATASFPRPRRCGCGAVVRCAKRTGKRRYPSEKKLLDRRHKELLRQAAPEEGSAGRECGYWRLSKLTVPVSEDPGKDFAGVSPPLLQAIAKAIKFPVASMLPEEAFSVIRKSFDARKVLKEPQFVYTVDMDVKKLLDMEPRTWDFIARLEPKLGVVEYMPDEKLATDLVSILSANKKGSDDEPGIKRIINNGSIHSEPKKPKVSVIGSGPSGLFASLVLGELGAEVTLLERGQPVEQRGRDIGALAVRRILQSESNFCFGEGGAGTWSDGKLVTRIGKNTDGVQAVMKTLVQFGAPPNILVDGKPHLGTDKLVPLLRNFRQHLSELGVTIRFNARVDDLIVEDSRVKGIVVSNSELLPGSVNQKLPFDAVVLAVGHSARDTYNMLCKHSVDLSPKNFAVGLRIEHPQELINSIQYSELAAEVQKGRGRIPVADYKIAKSVGEGDAENAVDVTEQSRSCYSFCMCPGGQVVLTSTNPSELCINGMSFSRRSSKWANSALVVTVSSHDFEPFQSHGTLAGVEFQREFERRAAVMGGGNFVVPAQCVTDFINNKLSVTTLPPSSYRLGVRPSKLHELFPPYITEALQQSIIMIDKEMPGFVSKEALLHGVETRTSSPVQISRDGETYESTSLRGLYPIGEGAGYAGGILSAAVDGMYCGFALAKQLSLFDGDIESFLGKAQKQTAFVKY >Et_5B_044509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:344088:345983:-1 gene:Et_5B_044509 transcript:Et_5B_044509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAENISLPDFKSNPWVINFSKTESEAKQTLINPFVGSFFDANLLIEEGKRCLGCFGDWLLIFDEGTRECFLANIISYSKISLPPLSYPLDSLGNCAVSSRTPPDRTVMFACSLDERFVLYSRPGDREWTKYDIDFQNDYETLIGAIFCSKGKMYVKASWNGHCVVIDTASSAASIEKIIADPETSPSYRPNASYWVESNGGIFLVRFYLHCYQGLGVTNIEIHCLDTSKYVWRRVQSIGGATFFLGANCVAVSSQDAGTQANCIYLLQWCCDGIRLYSVRLDQRTISFNLLPACPVDPQNPSSWTSGWSETYWTIPQSFRQKPTKFSLDAISRQLSRSIVLMEEKEMVSPWSGLPVDLVELLVPRLSFIDYLHMRAVCKEWSLIAKPIQHARTYPMLMNIY >Et_1B_010056.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:21287841:21288089:1 gene:Et_1B_010056 transcript:Et_1B_010056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGHLFAGVALPELAGHLVHRPHALEAPGVLELGEVLVPQRHPEAVDLASGELAVGVEVGWLVRAHGNLCVPTSVQRSTAG >Et_10A_001855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9186893:9188177:1 gene:Et_10A_001855 transcript:Et_10A_001855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLEKEFETGAGPRRFRYRELAVATKFFSDDEKLGEGGFGSVYHGYLKDMDLHVAIKRVSKSSQQGRKEYISEVKIISRLRHRDLVHLIGWCHGGNELLLVYELMPNGSLNTHIHSQSNVMSWELRHDIVLGIGSALLYLHQQWEQCVLHRDIKPSNVMLDASFNAKLGDFGLARLVDHERESHTTALAGTMGYIDPECLFAGKASAASDVYSFGVVILEIASGRRPIVTVDDSKEYATVHLVQWMERVMVTGLWCAHPDHTVRPSIRQAVNVLRREAPLPNLPSKMPVAIFMPPVGRNSLSESGAGTGASGSRTTALTSVAREASTSRK >Et_5A_041523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2391259:2392658:1 gene:Et_5A_041523 transcript:Et_5A_041523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGARVHLKGWQQAAVAFGSAFGALLDPRRADLIAALGETTGKPAFERVLQRMKNSAEGREVLLERPRVVSSQVAHAWDMPQNTFGAAYAQFMGSRNFSPDDRPPVRFMDTDELAYVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFSEKQRSLFFQHYFPWATKAGLRCTDLMSVYYEKHFHEDLEDMRRNWGILPCPDPKKSHCN >Et_8B_059497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18471253:18476606:1 gene:Et_8B_059497 transcript:Et_8B_059497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVLEVRCAGCGDTLEVDRGLTEFACPSCATPQALPPELMPPPPPRPRRALPLGPPRGSVPSATAPARVPCEGCGAVLAVPRGLRRVACPLCGAEVDVGGSSGRLAAHSGVQVVLPPGIISVAATSSRLQEVRGWSCRIACFSWGKNANVVIVEEPISQAIPVGQVHVGRYNKPTHLENTGGPFPAYSARGEPSSSLRSNTRTAARVDQARSSHASQSIHIGESRINLLNESVDVNDIRKKCRPSVGHGSICINKERVEHPIKVIPRAREVPSYHLVHTEEAHGENQSCTIGRVGIQKVRHAAVSSIAEQEIEEPSGQIARAEQIEVDSCGHRIGWNRKRRRSSRTATGNHKAQSGGLSSSNEEVHLTGNHAQPGHARNMNPIQQPTPLDEDHFDPADIDGIIARLCPSSLPRKQVSQAKSNALDNIDANLPPISVNHGTPQGDYFSKGYKQYRAVATGSSANQGLNSAQVHEVPEESSNDVGPCYNGSQQPRQNPSPGVMHREHIQDPSDDSDSQRAQVESYRNKIVRHHKNTVNDSTYFSNEGTNIRDQPHGIRQQTTLVTACSNPPTALSPLLTATPLPLMSPSAVTSLFPFNSLDTSPTFFFNVQGAQDFGIGSINESSKKRRGRGPTKLIAPRREADRPVASTISALLKQWHPGSTFVPANQQTTEVHQEQLVLHWHQYSPDTRATIMAEFLQRYKWAPGQEALCLKLFDQKIVRQFTGLLCDEKRRARVKLAASRKAKETLEASKTRSKQANLDNKDDKLKQIRRDPAAVGHDDDDPLQWKPFPPEWMQPKWWEMLCEHWASEEVLQVSAQKRKNRYTGGSAQHTAGSRSIVMHRKLMIIENGGKPVSDIEVFNKTHKRDGGKGEFVSERAKKTVESFKRRLEEAGNAELDPHLLWAQEVGGRNRGRYYGLHGIIDKARIKAMSKCAPGRIDKKTQKEMFTQDQVQEMIRQAMQQLNETWEKRFESLEQKMHGMVSLEAPQDAPSPCPAKPAADEGSASHQDASDSSYEGTYQSATDDDEDYVDS >Et_3A_024103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17380716:17385272:-1 gene:Et_3A_024103 transcript:Et_3A_024103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGSVRPAPRYAPEDPSLPKPWRGLVDGTTGYLYYWNPETNVTQYERPLPPEDQLPPPPPLPPPPPHHRSRDRRDRSRSRSRSRTPPRRDHRDRDRDRRHDDYASSKSASSHHHPLPVAAVAPDDPSTEAYRRRHEITVTGDKVPAPITSFEAGGFPPEILKEIQRAGFASPTPIQAQSWPIAMQSQDVVAIAKTGSGKTLGYLLPGFMHIKRLQNSTRSGPTVLVLAPTRELATQILDEAVKFGRSARISCTCLYGGAPKGPQLRDLDRGVDVVVATPGRLNDILEMRRVSLKQVSYLVLDEADRMLDMGFEPQIRKIVKEIPHRRQTLMYTATWPKEVRRIADDLLVHPVQVTIGSVDELVANSAITQNIEVITPSEKLRRLEQILRSQDSGAKVLIFCTTKRMCDQLARTLSRQFGASAIHGDKSQSEREKVLNHFRSGRSPILVATDVAARGLDIKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGVAYTFFCEQDSKYASDLIKILEGANQKVPRDLLDMASRGGGRGRKRNRWGRSDRGSRSELDSRYSGRSESSRGGRGRYDDEADGRSRRSARGRSRSRSRSDNDRTKSRSRSRSRSHSRSRSYSRNRHASHSRSRSPVASRRYEKTDAVSGPARHDTVHQEHKSSPRAHTANDHVDHSRKEDHHLEDGKMERVDLDRSPSPPDDKSAPYSPVYNGKASRSMSPNGQPEVDAKAAEPAKKPDAASPVRSRKKREDEEEGVIDEDGEIAEDDPRASTAVQNGDDN >Et_1B_010037.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:19551341:19551655:-1 gene:Et_1B_010037 transcript:Et_1B_010037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPASGSTAPLAADAAPVPGVVAAAAVAGARAAVRSGAAVARARARRAPPLGRRALGRRHRRRVRRARLHDVLLLPLPRLHALTEDVTRGGRSSCRCCCFQYT >Et_1A_007173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31672050:31682636:1 gene:Et_1A_007173 transcript:Et_1A_007173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SMEDQHQKLRAFFSGTAPTVLEKTDNEFNVQCFTEEQIDHITDNYNSVLGKGGFSIVYNGKLHDGRPVAVKRYIFTAQKEFTKEVIIQSQFSHKNIVRLLGCCVEADTPMLVTEFVPNGNLSDLLHGKNGHRTLLSLDARLQIASDLVTRRKAIDDNKNFLAKTFGRAKSKSSRHELFDKKIATIENMKVLDDIVNLAMECVKFDLEERPEMRDVSECLRKIQRTREQKRQEIISSLQHTGFKRFVTKEEISKMSNPIECSMCNIYRGNSAKIPSLAIKMPFNVHGGVLKEFSHQMMLHSKINHSTVVRFEGCYLDGDVPILVYEFARANLHDILFGVGGKNWTVNSEERLNIALSIAKGLDYLHSLGIVHGDVRTANVLIVNDISKNEFLENVQIKVSGIGASVYLSMDKTAYHRIKAEENNGYMDPRFLESGVLTKKADVYSLGVVLLELFTGKRVSDNIRNCRLEELWDTNCHHIKVTRRIIFQCLDPDISRRPKLKEAIGRFHGDLIGILVDRPEVTIVRQEIMELPEWVDIVKTARFKELPPYDPDWYYIRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRRLRLPSFFTKFAGSIREKADSDLNIRCFTERQIKNITDNYNTVLGKGGFSVVYKGRLDDGRPVAVKKYIFTSKEKEFTKEVIIQSQFSHRNIVRLLGCCVEADAPMLVTEFVPNRNLSDLLHGSSAQGPLSLDKRLQIASDVAEALVYMHTSQNHPILHGDIKSENIFLDSNYMPKLSDFGISRFLSVSSDEYTGYVIGSMGYMDPEFCQTGRLSTKSDVYSFGVVLLELMTRIKAIDANKNLLVKTFGLASTISRRHDLFDKDIATTVNMDVLDEIVDLALECVKFELEKRPEMRDVSERLRRIQRPRKAKNSKQTGFKSSIAKFQRTPDEKRRLEVIYSLRQAGFERFITKEQINKMRENYNSSPTKCFMGEIYRGDMKGKHSVVAIIKMSFDVHISIAEGLDYLHSLGIVHGDVRTTNVLVVNDISKNEFLKNMQIKVSGIGASVYLSMDNNGYMDPRFLSMAKAADEIIKVDERSGYMDPIFLETGILTKMADVYSFGVVLLELFTGKMVSNHIRTCRLEELWDNTDCRHIEGTRTLTFRCLDPSLRRRPKLKEAIELFRNEMDGFISDRCDGLFCNDNCSARMDGCDRFR >Et_2A_015459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13191965:13210848:-1 gene:Et_2A_015459 transcript:Et_2A_015459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVVGRPGTRISLVLRVSQLVCAASSWLIIPSFSYSAFIYLACSMYLQMLWILVLACADIVCLATNTAFHDPSFVSVVLVGDWCIGILSFSAATASAGVVILFKRDTEFCRAFPPLACDQYELSAVLAFIAWLFIAASALSSFWFRAMANVVGRPGTKSSLALRVSQLVCAAASLVAIVTAYQLQRLLLLESFVLTCIDIVYLVTNSDLNDTIFGSIILICDWCIGILSFSAATASAGVVVLFKRDTEFCRAFPQLTCHQYELSVILAFMAWLFIAASALSLFWFRAMANVVGMPGTRGSLVLRVLQLVCAAASLVAIVTAYNYASYSAFFYLSFSMFLQLLESFILACIDIKCLATNTDLHDTIFVSIILICDWCFGILSFSAATASAGVVVLFKKDTKFCLAFHQLPCDQYEISVILAFMAWLFIALSALSLFWFRVSFVEPGTRGSLVLRVSQLVSAAAAMFTMAAAYGSESYSAFSYLGFSMFGQLFWSFILACTDIVCLVCKMEFNRYVLVSAVLGGDWFVGILSFSAATASAGVTVLFRRDTEFCRDFPQFTCGQYGLAVILAFVAWSFIAASALSSFWFWVSLLDVVGSPGTWSGLALRVSQCVSAGASMAVMATAYGFSNYTAFCYLIASMGLQLLWSFGLACLDIYSLKTKRDLHNPVLVSLFVVGDWVTAILSFAAASASAGVTILFERDVHFCRMYPQLSCGRYELSVILAFITWSFIATSAVSMFWLLPSL >Et_7A_051238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1650577:1666053:1 gene:Et_7A_051238 transcript:Et_7A_051238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPLAACAAVAFLLCLLASSSSATLSAGRDGRTAYHFQPAKNWQNGPMYYNGLYHFFYQYNPHGALWDIGNLSWGHSVSGDLVNWATLENGLNPTAPFDINGCWSGSATILPDGTPAILYTGIDANKSQVQNVAFPKNPADPLLREWVKPEYNPVIPLPADVPGDKFRDPSTAWLGRDGLWRIAVSAEVSGVASTLVYRSNQTTSESSDQLFRSWERGPAALHASNASGMVECPDLFPVKVKGEDGLDTSANGLGVKHVLKLSVMDTLQDYYMVGEYDDATDRFVPAEAERGDDVRNWRRLDYGHVYASKSFFDARQNRRVLWSWANESDSRDDDVARGWSGVQTFPRKVWLDKDGKTLLQWPIEEIESLRKTLIGLRKTILNAGALTEIAGVGGSQVDVEVSFKIQNLENAELISANALLDPQQLCGEKGASVPGGVGPFGLIVMASGDLQEHTAVFFRVFQYNATYKVLMCTDLTKSSTKAGVYKPPYGGFVDIDIDHSVVESFGAGGRACITARVYPEHTATNSSHLFVFNNGTDAVRVSKLRAWELAPASVNAGDGSLILPLLCSFTAAKPLTTLFSAFELARLIPRTYIPISPQDFPALDRAFLPQRHIPSDYNPHGPTWGTGKLSWGHSVSGDLVNWAFLGTALDPTDPFDADGCWSGSATVLPDGRPVIVYTGRDSDGLQVQNVAFPRNPSDPLFREWRKPSCNPVIPQPADVTGNNFRDPTTAWLGRDGLWRVAVAGEVGGGGSVLVYRTADFLRWERNPAPLHAAPVVTALECPDLFPLAVDGTEGLDTSANGPGVRHVLKLSEMGGEDYYMVGRYDDAEDTFAPAEEERGGDVRNWRRLDHGHLFGAKSFFDARGKRRVMWGWVDETDGHPDDVAKGWTGIMTFPRALWLDGEGKQLVQWPIEEIETLRTTKRVVLKGTGLGSGGLHEIASIQTLQADVEVVFEVPNLEEAEKLDPSWLQDPKKCEEQGASVQGGVGPFGLMVMASEDTEEHSSVYFRVFKHEDTYKVFMGADLTRKHSMLALIINDTYRSSTKQGVHKPIYGGFLDVDVEKDRRISLRTLVDHSIIESFGDGGRMCITARAYPEHVATGNSHLYVFNNGWSAVKVSKLEAWELRTAAVNVEDDKPLRHGRTAYHFQPAKNWMNGPLYHNGMYHLFYQYNPHGPLFDTGRLSWGHSVSGDLINWAFIGTTLDPTDPFDADGCWSGSATVLPDGRPVILYTGRDANTVQVQNVAFPKNPSDPLLREWHKPSCNPVIPQPADVTGNNFRDPTTAWLGRDGLWRFAVAAEVDGVGSTLIYRSADFLRWERNAAPLHASLDVPVWECPDLFPVAVDGTEGLDTSTASGPGVRHVLKLSKAADEDYYVVGRYDDEADTFVVPEGDEDVRNWRRLDHGHLFGAKSFFDARKNRRVLWGWVDDTDSRADDVAKGWTGIQTFPRALWLDSDGKQLVQWPIEEIETLRTTKRVVLKSTGLGSGGLHEIAGIQTLQADVEVVFEIPNLEEAETLDPSWLQDPKKLCSEQGASAQGGVGPFGLMVMASGDMEEHTSVFFRVFKHEGTYKVFMGTDLTRSSTKQGVQKPFYGGFVDVDVEKDRRISLRTLIDHSVIESFGGGGRTCITARVYPEHVATGSSHLYVFNNGWGAVKVSKLEAWELRTAAVNR >Et_9B_064107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11285136:11291730:1 gene:Et_9B_064107 transcript:Et_9B_064107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRTVRVDLLSPGKWAHAWVLYCRSRPRAPPSYPLKRPVRLFLCSPCRALPIEPTKSPVRLFLSSPPSSSPPQSQATNPRIRSYRESLDPNECGGAGKGEFDRNRRSMGRFEEDTQEGPEANERNAERSICLHAFSDLSHVPAATFMCLLKDCYVYGTHKASSKFRIVHQLVKMALHNAPQPGPFTYIVQCMYIVPFLGKAYAEGLSHMLTSSLRQLKSVESTQKDFREAKLLAARLVLDILASIVHHENRILVKILDTFDIELRDIAQALYGSEFNDDDLVKAREHLKLYVKCCMESESYAAAVAMITRFSIQCCDKSFLIKLIESNQFEVVEECAAFMGKEMVCFIIQKYLDMKMLKSANKLVKEHDLTEVFPDVNFLYKESLVKKLAEKGCWDIAEVRAKKETKLIEYLVYLAMEAGYMEKVDELCQRYSLEGYVDSLVREEIFCGSDYLDLKQLGSEEIVWVDDIDGLINATSYIEGCRIIGLDCEWKPNFEKNSKPNKVSIIQIASDKKAFIFDLIKLYEDDPKALDNCFRRIMCSNNILKLGYDVQCDLHQLSQSYGELECFQSYEMLLDIQKLFKGATGGLSGLSKKILGAGLNKARRNSNWELRPLSQNQKEYAALDAVVLVHIFHEHIGRQPQFGVSEGCKAEWKSHIVSRVNSMRRPLHL >Et_2B_019147.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:14008475:14008810:-1 gene:Et_2B_019147 transcript:Et_2B_019147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RGDGRRPDGGAQPRVEAGGPRAGPGPGVYVTASQKQGAPAMLLTMNVVLTAKTERQLGGRGGPERGHGARARARRRRRHRKVPHGNQVRTCSGGRQAGRARTPCSSSASPA >Et_1A_009003.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2504220:2505179:1 gene:Et_1A_009003 transcript:Et_1A_009003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHGSARIPPPRLNRGHQPSFSAALLDAIYHSLEADADADARSTSPTGAASPAQRTPGSSTRHRRSNTTPALSRSGSCSSARSPRLQRPPRPCRVRPDPQPNSLPLLPPPPAPHEATACRPAEKNKACRKKNRKTKAKVAPFACLLNALLCNRKQPAARSSSSSSIPNTPRAAAAAPTTMEPASARSILSSRASRRESTAATGGILTPARRAVRFSPVAVVVDDEHGRGIGTATRLRDVEMEVMSVKESAAEAERRVEELLRALGVAEERDRAKESSESSSDLFELESFPPNAFEDADPPRPRAVVGGAGLERPRPRV >Et_6B_048846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14417967:14421422:-1 gene:Et_6B_048846 transcript:Et_6B_048846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSIGTPPVEFPAIIDTGSSTILTHCGSSPQYDPSSSSSFTELPCSSSLRPELCNAASAKNPPSGCPCTVNQTYADGWGSGPVGVENFTFGSAPAVTVADIAFGCINESSPGWDASSGMVGLGRRNQSLVSQLGAGRFSYCLTPFKDANSTSTLFLGPSAKLDGTGVRSTPFVTDNPWAQKQYYYLNLTGISVGNTALDIPADAFALTSNGEGGIIIDSGWTTTSLVDVAYQKVRAEILNLVNLTTVDASNETKLELCFKLPSDASTPAMPDMTFHFDGADMVLPQENYMFLGSGVWCLCLESATASSGSVLGNYQQQNMHILFDVEKEMLSFAPADCSTHEHGLPRAVAEAQRHRRTFDAVRPDERRLRLWVDDDVACQRGLPESACRDSKPSHAADGRRIERDEAGPVFQLPSDASTPAMPDRTFPLRRRGHGADDGQHMFLSDSGVWCLRMHNATASDDSELGNYQQQNMHILYDVENEMLSFAPADCSTL >Et_10B_003969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8617321:8622481:-1 gene:Et_10B_003969 transcript:Et_10B_003969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPDGRRPAEEEEEAAPARKMQVGIDNRKDGVVREVVRMEREAVIPILKPKLVMRLAYLIEHEADRNEFLKLCKKVEYTIRAWYQLQFEDLMQLYSLFDPVTGGKRLEQQNLTQEEIETLEFNFMTYLFQVMEKSNFKLLSDEEYDVAQSGKYLLNLPIKVDESKLDKKLLTTYFKEHPHDNLPEFADKYIIFRRGIGIDRTTDYFIMEKIDVIISRAWRSLLRVTRIDRLFSRKPHVKPKKDTKKTDEINEDDEEPELFVERVRLEKIELSMRNLLSKMTIQEPTFDRIIMVYRRAGTKDKPDRGIFVKHFKHIPMADMEIVLPEKKNPTLTPMDWVKFLISAVIGLVTLVGSLEMPKADVWVVIAILSGVIGYCAKIYFTFQQNMTIYQNMITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIVSYYILMEQGKATEQDLDLRCEELIKEEFGAECNFDVHDAVKKLEKLGIVHRDSIGRILCVPLKRANEIIGTTTEEMVMRAQQTTAS >Et_1A_006540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24062618:24063879:-1 gene:Et_1A_006540 transcript:Et_1A_006540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVGLTNKRWMKGLRRIATPEELHEFVEMWMAVRDFNLTDVPDNIQCVWSEIESWAGITALPLPATRFKRFQTLSCNDGVKVVALIKQDIQNWRMAWDET >Et_5A_040335.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:15163862:15165241:-1 gene:Et_5A_040335 transcript:Et_5A_040335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHDLWLNRDRRIVGPIPGISVGDAFFFRMELCVLGLHGQVQAGIDYVTAGKSASGEPIATSIIVSGGYEDDEDRGDILVYTGHGGRDPNLHKHCIDQKLQGGNLALERSMAYGIEIRVIRAVKSRRSPVGKVYFYDGLYKVVDHWLDRGKAGFGVYKYKMMRIEGQEPMGTVNYQVAEQLKVDVFSVRPTGYLSFDISMGREIMPVALYNDVDDDRDPLLFEYLARPIFPTSAVQGKFAEGGGGCDCVENCSIGCNCAGRNGGEFAYDKTGALLRGKPLVYECGPHCKCPLSCPNRVSQKGLQHRLEVFRSRETGWGVRSLDLIKAGTFICEFSGIVLTHQQSEMSANGDCVVRPNRFPQRWSEWGDISDVYPEYVAPDHPAHPELNFAIDVSRARNVACYFSHSCSPNVFIQFVLFDHYNAAYPHLMIFAMENIPPLRELSIDYGMIDEWVGQLTM >Et_7A_050677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9997357:10000749:1 gene:Et_7A_050677 transcript:Et_7A_050677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AFTSTPSQFQVIFHIVFYQVSLDIMGEENAESAKSINVELRLYMHCDACERMVRRSVKKIEGVEAVEVDREENKVTVTGDFETEKLLKKIKKKTGKRAEILVPDENEEEGKGEEPEPPCEDLVQEQETYVHENQTYVVHEYQNRWQETRDLHYFDDENTEGCVVISLTGFLTCLCGCITLLCFSLVLSSLSFSFDKSGIHMVAVLLSYNLLQLEFLIKKIDKLFLHLFGKLWDLTGGTGSGSSPGAMDLRERGAGQSQVQGGHEGAEEDAATAAVAGEEEEENPFL >Et_10A_000645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14379652:14380050:-1 gene:Et_10A_000645 transcript:Et_10A_000645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSTSQGASGRARNMDTTQVTRSFEIPTTSGTRYSITTMQLNSWRYMWYASSSVLVFVVLGYIALAFFNFLFCENNVDNPTNPTEGQKSNDQEQGGDTEKQIDLATLKNANYLEEQGADIRK >Et_4A_033312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21360334:21368868:1 gene:Et_4A_033312 transcript:Et_4A_033312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSKSKRVTLKQKHKVLRKMKEHHRKKRKEAKKEARAGGRKKVEKDPGIPNEWPFKEQELKALETRRAQALQELEAKKEARKERARKRKLGLLEDEDIANLASAASAQGTQFAEKDAAKENAPLAVAKSHDHSERSFYKELVKVIEASDVILEVLDARDPLGTRCIDMEKMVRKADPSKRIVLLLNKIEQRTKLGWKSSKLDKTSNIPQRSDCLGAENLIKLLKNYSRSHELKLAITVGIVGLPNVGKSSLINSLKRSRVVKLLDCPGVVMLKSSDSGVSVALRNCKRVEKMDDLISPVKEILSLCPHEKLLSLYKLPSFSSVDGFLQKVATLRGKLKKGGIVDVKAAARIVLHDWNEEIYKAESSYIGGLKSIEDFHHIEIPPNAPLGIDEEMIEDGKKPSEPVKESREESMSDVNDHEGSKATSGSTQNDKLYTAEGILDPRKRKAEKKRRKANKFSVLNDFKVDYQMKDAPANEDENRVGDAPEDNDPMTEV >Et_6B_049136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18566286:18575369:1 gene:Et_6B_049136 transcript:Et_6B_049136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLELRCTECTDKPYSEENVSNIEKIYEQLIPPQNLEKLAIWNYFGRSFPTWLDIATHLSAVKILHLVDCESCLHLPPIGQLSSLKYLQIKGASAVTKIGPEFIGFGVGIPGSTEAVAFPKLEWLVIWDMPNWEDWTFVLEEEATTAGTDGEEYGVATKQKGEAPPPRMRLLPCLKDLDIDNCPKLRSLPWQLGQEATGSKKLRLRNAHSLKVVENLPFLSEMLLISNCEDLQRVSDVPQVRELRVEDCLNLRCVEKLGNLQRLGLDRSMLEVSSSWLPGLQQQCRGLRGEDLDVYNWKLQAITTEEAILILGVKEEIIELQRRMDQICLFLQDTERKRLEESADNNWLGQLRDAMYDADDMIDIARSKGTNLLPDNSFPVPGKSITCSGLSISSCFSNFRTRHEVAVKVRSLNKRIDNILKDKVFSSLTYIQPVGTVSAQEMRKSSNLVEPNLVGKEVTHACKKVVDLVLAHKGYKSYKLAIVGTGGVGKTTLAQKIYNDEKIKGIFEKQAWLCVSKENVSAVSVLKEVLRYVGVHQEQGESVGELQSNIASAIKDRSFFLVLDDVWQSNTWTDLLRTPLHSAASGILLVTTRHDTVAMEIGVNDMHRVDLMSVDVGWELLWKSMNINEEKEVQNLRDIGIEIVQKCGGLPLGIKLIARVLASKDQTENEWKKVLRKDAWSMNNLPSEIKGALYLSYDELPHYLKQCFVYCSLFPEDAVILRDDIVRMWVAEGFIDEKDGQLLEDTAEEYYYELIYRNLLQPVLLVADHARCRIHDLLRQLACYLSREECFIGDPESIRINILSKLRRISVVTEKDIVVLPSIDKEKYKVRSWIASYDKSLRVDNAIFTRLTYIRVLDLTDSLVRSIPFCIRGLIHLRLLDLDGTGISDLPESICRLINLQILNLNRCDALHSLPSGITRLCNLRRLGLAETPINQVPKGIGILKFLNDLGGYPVGSDNDNDAKMQDGWNLEELGTLLQLRILDLVKLERAAPCSSDSLLTDKKHLKELNLCCTEPEEDEPYSEEDVINIEKIFEMLIPPHNLKYLRIHCFFGQRFPTWLGSTTHLSSVKYLSLTGCQSFVYLPPIGQLPNLQFLRIRGTAAVTTIGSEFVGCGVGNPGSREVIAFPKLETLIIDNMPNWDKWTFVVEEEEATLAVNEGGEGGSVAEQKGEAPPPRMRLLPSLKKLELIRCPKLRALPAQLRQEATSLKKLQLRSMDSLRVMENLPFPLELLLIADCKGLHRVSDVPQVRELRVGGCPILWCVEKLGNLQRLGLHRSMLEVSSLWMPVLQQQCRELHVEDLDVYDWR >Et_8B_059002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12015047:12017991:-1 gene:Et_8B_059002 transcript:Et_8B_059002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAAASALPASAGSGENLVLILDFGSQYTHLITRRVRQLGVLSLCVSGTAPLASLEGLRPRAVVLSGGPHSVHAPGAPSFPKGFLDFAAGAGAHVLGVCYGMQLLVQSLGGAVESGEKQEYGKMDVEVTAGSSALYGGEAEVGKRQTVWMSHGDEVVRLPEGFEVVARSVQGAVAAIENREKRFYGLQYHPEVTHSPKGMETLRRFLFDICGIKADWKMQDVMDEEIKTIQGMVGPDEHVICALSGGVDSTVAATLVHKAIGDRLHCVFVDNGLLRYNERERVMSTFESDLHLPVTCVDASEQFLSQLRGVKDPEQKRKIIGREFIAVFDAFAQKLEQEIGKRPEYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDDVRKLGSILNVPESFLKRHPFPGPGLAVRVLGDVTEGNALETLRQVDEIFVQAIKDAGLYDKIWQAFAVFLPVQTVGVQGDQRTHSNAVTLRAITSEDGMTADWYYFERDFLVDVVNKICNNVRGINRVCLDITSKPPATVEWE >Et_3B_029208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23060475:23063731:1 gene:Et_3B_029208 transcript:Et_3B_029208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTALPATAASVLLQPSPRRFAAASPATSPRISSTSRRDGGSGVACSCSPGPPPAVPAERRGSGAGQATSPERTVRIVTVVGEGSVSPIKDTPWEEVMRHTADRLKWVDEGFEMLVFSCNSIDHGDLRKELSCCDMLVNVAVTSDESVRWLIENSKDISNVICFQSSPSLVNKLGGTYVNYAGEQDMFGQLASIGKPSGLKESAEVLKTVSNAWERHNSDDIRFCLLVVVNAYIRPVAMLKNLRAKGLSTLSCMIKNCGPQVLNCLFDPNCRKALLCLNSCSPTDQVCSYRCIASYESPHLEAFSLCVLQKNNCLDLNAEIPSKPNVMPLTMFREQKLSHEIAEDLFIGWLDSMDWSWRVAAGQNPAYDQFPCQYQLFYRGKAKGSFWYEPVFQVKTLEGKLVWRRRRYRVRRASSPGTFYFSVLDNGVVSKEFWTVVDVSDDFSWGLFHYHGAAQAAGQAYTGAVLVTPDGSYPDVENPRLASALEKCGIKKWELYMVDNCSCMGAPLGTPEGSKLHYQITPGKETDRNLQFTLQNR >Et_7B_055128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7542737:7546313:-1 gene:Et_7B_055128 transcript:Et_7B_055128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAAALLLPGRRAPAALAESRPRRAVARWTTRSRKGAAGVVRACFNPLGDERILREAMKEPVAFMGGVFAGLLRLDLNEDPLKEWLTRTVEASGITEENSEESSEGGQNDAPQQIEIDLVSYSSLSTVQD >Et_6B_048365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16243450:16244541:1 gene:Et_6B_048365 transcript:Et_6B_048365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKRAAAICVALEQDDERTGSCKRQRLWSTEDYVATRALGEGSFGNVVEARHRVTGEAVAVKALRAPPDAAAAAADEEVLREAAFLVACRGHPALVDLHALAINPSTADVALVMESVGPSLHSVLYDAIHRNGRPFREADVRSIMRQLLGGAKHMHSLKIMHRDIKPGNILVVKGSAIGSAISVKICDFGLAAAGTRSYMAPEVLLGKHDYDAMVDMWSLGCVMAELLTGKPLFEGEADDRDQVLRIFKVLGVPGPRAWPAFKSLPLAKTITMPFVRRHHNRLRDYVPKARLSEKGFNVLKRLLSCNVNKRISANAALRSPWFTDDADPFASVGAATKTNIV >Et_1B_011266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19850982:19857129:1 gene:Et_1B_011266 transcript:Et_1B_011266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDLVLKPACESCGSTSDLYGTGCKHSTLCNNCGKTMARSRARCLVCSAPITRPTREYNVRANPIITDKTYSIGRFVTGLPPFSKKKSAENKWSLHKEGLQGRQIPENMREKYNRKPWILEDETGQYQYQGQMEGSQSATATYYLLMMQGKEFNAIPAGSWYNFSKVAQYKQLTLEEAEEKMNKRKTSATGYERWMMKAAANGPAAFGSDMKKLEAANGGEKDTARPSKGKNEEGNGSDKGEEDEEEEAARKNKLGLTKKGMDDDEEGGKDLDFDLDDEIEKGDDWEHEETFTDDDEAVDIDPEERADLAPEIPAPPEIKQDDEENEEEGGLSKSGKELKKLLGRAAGQNDSDADEDEEEDDQEDESSPVLAPKQKDQVKDEPVDNSPAKPTPSGHARSTPPASKSKQKRKSVADDAKTSGSAASKKAKVEPDTKASTVKEETPSSAKSTSKASASSKSGANVSPVTEDEIRSVLLAVAPVTTQDLVSRFKSRLRGPEDKNAFAEILKKISKIQKTNGHNYVVLREDKK >Et_3A_023964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15209523:15214370:-1 gene:Et_3A_023964 transcript:Et_3A_023964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLGLFLVLALVVAVQADECEADGQGMKTECHKYASFLAEPKIPPSDACCNVWKNANISCVCKGVTKEVEKSWCMDKIVYIAQYCGKPLEHGYHCGINFHRSSTWPVKRRWSLEGEEAGIQCLCSILAGSLLAFVVAVRADECEADRQGMKTECHKYALFPAEPKIPPSDACCNVWKNANIPCLCKRVTKEVEKIWCMEKIVYIGQYCGKPMEHGYQCGSFTVPRLGQ >Et_1B_013184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6161617:6165466:-1 gene:Et_1B_013184 transcript:Et_1B_013184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVQAFPPADLNKNTEWFMYPGVWTTYILILFFSWLLVLSVFGCNPGTAWTVVNLFHFGITYHFFHWKKGTPFADDQGMYNQLTWWEQMDNGKQLTRNRKFLVVVPVVLYLIASHTTDYQHPMLFLNTVAVSVLVVAKLPNMHKVRIFGINAGN >Et_5A_040405.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:19801793:19801861:1 gene:Et_5A_040405 transcript:Et_5A_040405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHPCHLGRLETMEGAEQENL >Et_5B_043199.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:17143907:17144272:1 gene:Et_5B_043199 transcript:Et_5B_043199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLRNDICFQGHTWTGVKEIWRRTWSALRTWRKLVRGEALLQLHRYIDKIMKKSEEAPRLQYSEEGQENGGREGTNGVSDLRVEVIAPDTVALGVISGEAHQLSPPNNVTEMDSVYLVSD >Et_1A_008642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9418637:9419218:1 gene:Et_1A_008642 transcript:Et_1A_008642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEPLSPSSYSSSSSSSAIDIRLRRLGGDGSHIRPYLLAATSIPMRHRVASSSSTNRRRNRSSFCVLRLKVDHGASPHSPAGSVARGERPIGLWVITLLGATSSAWTPPRCLSVEHSGLQRQAKRATADAAAFRDERQLSGLFPCSARERSGGVASTAAGCFRSRSPPHALLYHGRAVDELLPRAPALL >Et_2B_020301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18816487:18825141:-1 gene:Et_2B_020301 transcript:Et_2B_020301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQQFAAGGPPLHDPPEDEDAVDAGVGAAAAPGTRHAIKALTAQIKDMALKASGAYRHCKPCAGSSAAASRRHHPYHHRGGSGFGGSDAGSASERFHYAYRRAGSSAASTPRLRSGVAALSSGDVTPSMSVRTDFPAGDEEEDDEMVSEGGGGGGGDGKEDDGKEWVAQVEPGVLITFVSLAQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNQSVPLPTTPKSEDESSKDDSPVTPPLDKERLPRTFIRPMSGGGAMGYSSSDSLEHHSNRYSNGHHHHHHGHQCCESMGLASTPKLSSISGAKTETSSMDASMRTSSSPEEVDRSGELLVSISNASDQEREWVEEDEPGVYITIRALAGGIRELRRVRFSREKFSEMHARLWWEENRARIHEQYL >Et_3B_030841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7904869:7912872:-1 gene:Et_3B_030841 transcript:Et_3B_030841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LSGVGTCICSAFGTTGAAPYIHHYSSKQLLDTPSSSVCLFPSRCLCTGVGVLSPVSMDPNARGDLDLNLSLQPPKPEPPGYFICTYCDKKFCSPQAFGGHQNAHKFERSVTKRARELAAARRHESGRGGERGSAADEEQVSIAAWGRAATLSPHLQVTVSPELEASRDNIAEDMDLDSAAIMEEELNLELTLQHRLPEPPGFFVCIYCDRKFCSSHGERWKPPYARTGRLPELASLHTTRGPGWTRSNKLKLRCLPC >Et_4B_037201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16430762:16431130:1 gene:Et_4B_037201 transcript:Et_4B_037201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSGPEADPLVQRPVILPPLEAGSDRCSVKPASRRSCHSRSREAQAFARVPTGHYQLGTGRSASAPTGPGTEPTPNIRTTLMPPLGLQALATELGN >Et_9A_060930.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:18607999:18611096:-1 gene:Et_9A_060930 transcript:Et_9A_060930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLLLCFSCLRASSICDYTVRLTVVVLFSDADAKRLIGRRFSDPSVQSDMKLWPFKVVAGPGDKPMIVVNYKGEEKQFSAEEISSMVLNKMKEIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKATSTGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKSTAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNALENYAYNMRNTIKDDKIASKLPADDKKKIEDAIDGAISWLDSNQLAEADEFEDKMKELEGICNPIIAKMYQGADADMAGGMDEDAPAGSGGAGPKIEEVD >Et_1B_010732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13470864:13471128:1 gene:Et_1B_010732 transcript:Et_1B_010732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQPAVLEIDSASCVVAMKIKLENIKIKRHRNASAHELAKFAHKENNSTVWIARVPGALEQLAMG >Et_7B_055945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7926950:7927693:1 gene:Et_7B_055945 transcript:Et_7B_055945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELVISIPAILLLIIVALGCYLFGRNRGRAEAAAPPQFAPPAPPPELKSLAKQDLRFTQLCDLSVSDKIKSKTKWLD >Et_7B_055100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7271298:7273000:-1 gene:Et_7B_055100 transcript:Et_7B_055100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEPMTEYEKQRLSRIRENEARLEALGLRGLAASLLPRNPSPSAAAKRKQKGRSADEDDEYVPSDEGGGEDEEEEEEGSSSESGQDDEVEGRSKSSSRSNAKGKKKKLLNSSKSSKSTAKKVSSSISDFVDDDTALQKAIALSLAASCERSVTTAEAESSSTGMEGNEGTPHKKHSIQDTAKNRKIKKMGKSKIQMSEADVVAFFFSFNEVGKGYITPWDLERMATINDFIWTDSEISNMIRCFDSDGDGKV >Et_9A_061492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12654260:12658502:-1 gene:Et_9A_061492 transcript:Et_9A_061492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPRFPASSQVRRSGPARLSLRMAAAASPGWWQRRNPYPAVCVSAGPPPGPLPFDSHKVDILLDSVKWDSKGLAVAIAQNVDTGAILMQGFANKEALEKTISTRKATFFSRSRSSLWTKGETSMNFINVHDIFLDCDCDSIIYLGKPDGPTCHTGAETCYYTSIYDTLQGSETTQERLVTTTLYSLEDTIRRRQEEAVTEGHKPSWTKKLLLDTQLLCSKIREEAGELIQTLLENEDKHRAASEMADLLYHAMVLLRVKGVKMEEVFEILRKRFSQSGIEEKSSRNKS >Et_6B_048990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16395930:16412062:-1 gene:Et_6B_048990 transcript:Et_6B_048990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWPSKGKAAPARSPPWSALTPKLLHLVLRRLSSVADRLGFTAVCRQWRRVARPSSSSPWSGLPAELACLVLRNLNRSYADRECFAAVCRHWRYVARQYSPPPPAALPWLCSSYGFYCSLSNAKSHVLRSSRRRDQLCYGSFDHWLLFNQIGNSRSLFAENRYSGAILRLPTRCEEPLYMSFDGSYHTATYKSSTSVSIHKIIVCTGKIVVALVTSRYNSSKVVACCRAGRSSWSASLCNRDHQYKDMTLHMGKLYTAANGGDLCVHEVTKHSDRGQPTVSRMEQAIAAPPPGTTCYLVHSLSGNLLLVRWYIPFWENIQDPARDLKVKVFEADFERSLWVQVERLDDQVLFVSSRCSKAMSASKDDDSLQANKIYIVEEDIMSFYFRENHNTCTCVYDMLSKIIQPISLGQQMIYRSEAACKGKAAAARSSLWSSLTPKLLLHILCRLSSDADRLRFAAVCRQWRRVARQASLSPWSGLPAELACLVLRRFNRSYADRECFAAVCRHWHYVERLYSPPAAAGSPMDLLQLWLLFLSNAKLHVLRSSRGRNQLCYGSFGRWLLFKQIGGNSSLFAENRYSRAILRLPTHCEEPLYMYFDGSYHRASYKSSTNVYIHKIIIYTAEIVIALVTYLHSLWSVSLCNQDHQYQDMTLHKGKLYTAANGRDLSVHEVTMHSKRGHPTVSRIKQAIPAPPPLDGYQVSEKASRRDPEKKEKVKVFEADFERCLWVEVQRLDDQVLFVSSRCSKAMSASNDEDYLHANKIYIVDEGIMSSYFRENHNTRTCVYDMCSKGKAAPTRSLPWSCLTPKLLRLVLRRLSSHADRLRFAGVCRHWRHVANQFRSGWSALPTAIADLIVRCLAAPSDRARFASVCRHWHGVTRKFSPPSSKPWSSLPPELAGLVLRRLNRSYADRDNFAAVCRHWRYVARNYSPPPPPALPWLCSGYGFCYSLSNAKSYVLCSSRGSLQLCHGFFGHWFLFQQIGGDRSFFVENRYTRAILMLPIRFPGPFDLYFADSDDDIPSYLTSTSVDIHKIVVCKEEVFVALVAYRYNSSKVVACCRPGMVSWSTGLRNGDNQYQDMVVHMDKLYAAANGGDLSVHEVTVHSYKGQPTVSRVGQVIPAPPQLDGFYQPLDRETKCYLVHSLSGKLLLVRWHIPRSCRIKNPAKDLKVKVFQADFERCLWVEVERLDDQVPFVSSRCSKALSASNDEDYLQANKIYIVDEDIMSSYFRDYHNTGTCVYDMCCKIIQPITLGEQMTSRSNAACSRRTMGAWPSKGNAASSSPWSALTPKPLYLVLRRLSSDADRLRLTGVCHHWRHVANQFRSGWSAIADLVVRRLPAPADRLRLTSSAGTGAASCGSSRRHGRRASRRSSSAWSSAA >Et_4B_039046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7357166:7358011:1 gene:Et_4B_039046 transcript:Et_4B_039046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSNGEHHGNGYHAGSSYPQRRPHYGYGGGSASFRGCCCCLFLLLVFLALLALAVALVVVLVVKPRKPQFDLNQVSVQYLLVATPSATPAPGAAPGAAYLSLNITLLFTAVNPNKVGIRYGATAFDVMYHGVPLGVAAVPGFEQPAHSTRMLQTRVIVDRFNVLQADAQDLVRDAVMYDRVDLRITGDVGAKILVLGFSSPKVQVSVDCAISISPRSQALKYKQCGVDGLSV >Et_9B_064752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18365828:18367467:1 gene:Et_9B_064752 transcript:Et_9B_064752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RTVAPTNQPTASFLPPSLSKIKNKTKGFFFAPLSSDKRLLPPSPTFSFPHSEIHSIHRLSSRRSEEERRLEEGGKSKNLKMENYDREFWQFSDQLRLQNNFSNLSIADSIWSNADRRNNADPLLPDLTNNSPGLIGSAKLAFGTTNHADRYNNFPAADKNNNNFFASGNKINNAAVDYSFNNANAVKSYFDKSVGRPANNNNTNNNFAVGKKHAAHDNKKKNSSSNNSNGNSAVDKRFKSLPASEALPRGEAIGGYIFVCNNDTMEENLKRQLFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGSNIDPTAWEDKKCPGESRFPAQVRVATRKICDPLEEDAFRPILHHYDGPKFRLELSVPEALSLLDIFAEKVFA >Et_6A_047687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9751478:9766574:1 gene:Et_6A_047687 transcript:Et_6A_047687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMELKRGCEAAINGKERDKEKVVMCMRVAKGRGAKGQRTKAFMRVLKYSNGGVLEVAAKVYKIKHLSKVEVVLNDPSGCTFLLGFDNMRSQSIAPPQWTMRNKDDRNRLLMCILNMCKEHLGTIPKVVGMDENTPVKVTKDSSKDGPIESIVLDAESQVTIEKDLVLQAEEEDIEDLLGKMKRELVALESANVYALMETESVVEEVLQGLEIATICVEDMDEWLGIFNIKLRHMREDIQSIEWRNNRLELQSDSNVALIEELDKMLVLLQIPPEYEASLTGGSFDEGNMVKNIEACEWLTSSIKNLEASNLDPIYVKLRAIREKRAEFVLLKCTFVRRASEFLRNYFPGLIDSMLNDKNNFSQRGHLQRPDHADMRYKCRTYARLLQHIKSLDKSCLTPLRKAYCHSLNLLIRREAREFSSELRTSSKASKSSTPLFEGPAGANHPATITDSSADAYSKMITTFIPLFVDEEDFYALVDWAFKLDPLSCISMHGITDRYLSGQKAEVTGYVHVLLDDLDTRITILFSRFIDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVSIMFVILEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARKIEELMYNMSPEEIPFQVGMSKVEFRKMLKSSLTGLDKTINAMYRKLQKNITAEELLPSLWEKCKKEFLDKYATFLKLISKVYPDEKVWTLKASISNSLPFIHLAPPRPPPARRRRPAVAPPRLPLRRCAPPRLRRRAALPPCRVQRGRSSGRHRGAGARDESLQGQPRLPAVRGGRGGTGAASAEKLRAGVTEEEKKESARRLRRSSAATGGWPARGGRRVRWLKSSAAAPRSPLLGHAANGCRKK >Et_10A_000090.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22855090:22857273:-1 gene:Et_10A_000090 transcript:Et_10A_000090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFLFLDAGSSQPLEQMESPRAARSARTPTTCNVPEFLVGFTKLCNLTKVCAAPELDNDEATTISGYDQRLILIRLFEAMATLKPAYIKLQRAHFPYDPAKIAFADEIIMSELDSVTALQCLCNSKGGSIGLLINERWALVQELEAEVRRRDSDIVLMKRELEGLQRENARLNKQIKNEMPSVKQHYNKCFSAPKKELGTTTPSAVVELFNVATTSVHEFAGLIVSSLASSDHCDWNRAPEAEQSRKRYSLEAHLWRTMIGDGTGRRRNGEEVYNAAHHFDRIMRSCDPLDALMQYPSSSFSRFCQTKYLAAVPSEMEAAMFRNLEQRAFVSRSGHPRTWFYRAFATMARSAWALRVAMAKYSASAGQNVSMFYARRGRGYQEEFMESVNAPAAGRREEKISVAFTLTPGLKIGDTVVACRVFLCDSEHSTPIFSD >Et_4A_034656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5171216:5174843:-1 gene:Et_4A_034656 transcript:Et_4A_034656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEQRVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMVHHPISACRRIPNLVQIYLVGFYEEREFALYVSSISNELRIPVRYLREDKPHGSAGGLYSFRDYIMEDSPSHIVLLNCDVCSSFPLPDMLEAHKKYGGIGTLLVNKVSAESANQFGELVADPETNELLHYTEKPETFVSDLINCGVYIFTPNIFSAIEDVLKQKKDRANLRRVSSFEALQSATKALPADYVRLDQDILSPLAGKKQLYTYQTLDFWEQIKTPGMSLRCSGLYLSQFRRTSPHLLASGDGKRSATIVGDVYIHPSAKVHPTSKIGPNVSISANARIGAGARLINCIVLDDVEIMENAVVIHSIVGWKSSIGKWSRGEGDHNAKLGITILGEAVDVEDEVVVVNSIVLPNKTLNVSVQEEIIL >Et_3A_023639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10178300:10179311:-1 gene:Et_3A_023639 transcript:Et_3A_023639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLDTRMLKDEVTSMDKRCLLDLGHPLLNRVADSFIRAAGVGAARAVTREAYFVTVDGLSGDSAGLDANIPKRSHFSSIRGDDGQNSLEAVVKSTGKEAFQWGLAAGVHSGITYGLREARGCHDWKNSAIAGAIAGVAVALTGDAGGHSDKIVHFAITGAALSSAANLLSGVF >Et_2A_015351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11494925:11509426:1 gene:Et_2A_015351 transcript:Et_2A_015351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALLYVSLFTTVIMAYSDADYSDNEFVNGNEDSDYDGEPVHVNGAANVVGNSEDEVDDATLLESLKILRRITKQIGSSIGRQGVTTRSSVHVPNAECGPNKPTTDVIVALNDHQKYVVSENGFGYLLEFDGCPMPRRFAQWIADHVDVIPLTALAVHNVLGTPLGGNDISETGDSGKIEFLKLFVSVFFSCIVHFCYLIQYSYDQLCSQRVVLIKKFEETCYANVPVTGDQYHVLKNALGERFAYLPENVRDGICGLYEGFLLHGFTAPDYSPDQLVLAIFQYLQESMDGPGNAENGKAHDEVNEDSKNGNYAENENEEDSHHSMNIDEGKEDSVTQKVESDGGQEQCGAQVNSSGNRKRKNNSSSPDSTNLVASRTRRRLALNLAKSSLSQPSKASSNVNPGSEGSKENPFVVDEGVSSANVSILEKSIKEACDEVAKKHEEYDRAKMLQSASGNVVSSKVPVEDVNEITKTEFNKTHVNDKISSKSHGVWSASKPPIGSFVKSSDVGKAEASCPEPIVDCHAKSVAADVQEKNKLTKKAPYFNSPSVPPFRFFDDEDDLENYVEDERNNGGVFVLKFLEVFEPCVNLYLKFSDSDVPNIRKKVVNDMLFAVKNEQVDAIELVKTYNPEDATAAAQEHDVMEPNYVQNTRTAAGKKGKHSHMKDSTWGLGERMSRKPVSLYEGGGSSGGPVRKDKIEHKLAPYVRKRKKLRISRTLAENFGIIEGPQAWLVYAWNHVLRISSSSTSLKDLNLAMDSGNKMIIKCYSSYHAGRLTNLMLQTDNPATATNFIRADEVEFFSLDHDPSRCLNINVLPSDVVEDNLPELQLMSRVVAEYHDVQPGPQNGLVIVSGSFDGNDLWLTVTESCRRNEDTADILCSSYATVDQNKILRFNKVKVLGFRDLAHDFGDLVQVDRPTDRAFLINEHNRRIEACSAEAANPKPSHDGPSHGSNGGSDEEDGSDGGPSDADEEAAADSPPAQPADD >Et_1B_012605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33639778:33651435:1 gene:Et_1B_012605 transcript:Et_1B_012605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQSSILVAEGAIKSVKLSLSTEEEIDILGTSSYLYPYTIRVIELRQLLSLVCLKCLRIKKGKVKRTNGKESVSATSCYYCRDLPALSLKEVLNVLKKIPDDTRKKLASRGYIVQSGYVMKYLPVPPNCLYIPEFTDGQSIMSYDISVALLKKVLQKIEQIKRSRSGSPNFESHDAESCDLQLAIGQYIHLRGTTREILQTKVGYKNDIKDRKVILFLNDCSCSKRFCKERAAIAVQGCLKRFTLADCATDICIEHQKHINLDETAQTAPTLLGHIHLDKISFKNAKKFLIDTQKRKDSFVTCLKRLRSLLGDPRIQEAKIIWVESDATTWVQNKEKVSKGEPALEIIVEKDEAVRNGDAWRTTIDACIPVLSLIDTQRSIPYGIQQVKELLGISCAFDQVVQRLSTTVKMVAKNVLKDHLILVANSMTCTGNLNGFNTGGYKATFRSLKVQVPFTESTLFTPMKCFEKAAEKCDSDSLGCVVSSCSWGKHAAVGTGSSFQILWNDNQLKNNKEYGWSNGAAAAANKPDQDNSCWDVPAAVEDNSSDWGGWGTEKPNDKKLVSGEPAKLDIWSDKGDKMESNGGDSNWEKKSSTPDELKKSVVEDPWGNTPASPLENTWDKRKVVGGDDAWEKQTASCKEQEVNVNQDSWSNKTTSPSRNTWDKRKSDGSRGDGEKKSSTCNEQSLNIHQGSGGNAWGEKKSDGDGQWEMQPSTYKRKKTNADHDSWSNTMPSSSNAWVAGEGDGRSNTKSDAWSIWGHNQGSVADIKKGKMETDDHSKLSNAPQGSWGNSAAGNNNRQEGSWDRMAVKATGKQQDSWDNVAMQNNSTQNDCWDSVAAKAQPSAAQDSWGSSAAHNNNKHEGSWDKMDVKDTSTQKNSWNDDSWGNPLPLDNSQNAALVSQGTTNSDGKQSDSWDAWNAAPAENSACAANEANDSGNNKGWKSDGWGAKTGNWRSERNNPGGGPRRADERGPPMPRQRFELTTEEKNILLEVEPIVLRVRRIFLASAQETSNPDSEGDAGILGQGPDPTPASPAVVPQETSKPESTGDAGILGKGPDPTPASPASTPQGTSNPEPTGDAGILGKGPDL >Et_1A_005563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11487554:11490487:-1 gene:Et_1A_005563 transcript:Et_1A_005563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPARRRHRWVKEWVPQDMVIAGGPCALYKWVREDRLASLKAKDKDQGAESAKPEPNTEVLFLCSYEGCGKIFIDAGALRKHAHVHGERQYICHYENCGKKFLDSSKLKRHFLIHTGEKNFVCPHEGCGKAFSLDFNLKAHMKTHSADNYHVCQYPECGRRFTQESKLRAHIRAQHEKNPGASTMNHNPPEHHHPKPVKSSATPPAPTAERPYVCPYGGCDKAYIHEYKLNLHLRKEHPNHDQDAGAQVAASSKGSMSKNSHRSKPNVAAKMPPPKIQKHKGGYTTPSPAINVPGEHQWPGKVLYQDDSEETEEEGDNVEDGWRYRAASSDDEETEDEE >Et_7A_052456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8266363:8269201:1 gene:Et_7A_052456 transcript:Et_7A_052456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSFCFGRSRVAKRMSERASMADGCVFCDIARRTPTSTTSLLYADDKVVAFRDINPSAFRHYLVIPIEHIPTVKSLQKTEDDHQLVSHMMKVGKDLLDRDAPNSEEHRFGFHPPPFNSVDHLHLHCLALPFMPSWRQVKYTPLGPLGGFIEAEKILEKINPQAEHTV >Et_7A_052549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9125439:9128790:-1 gene:Et_7A_052549 transcript:Et_7A_052549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGFQLGVIGSLALSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTFCTLHVAQRLHFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSESIKLSLLVLLLGVGIASVTDLNLNLLGSILSGLAIATTCVGQILTNTIQKKLKVSSTQLLYQSAPYQAAILFATGPFVDQLLTNRSVFAHKYSAPVLGFIVLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTLRNILGILIAIFGMALYSYFSVKESKKKSTNDALPVSQMPDKEAEPLLATKDSNDTKKANGLSHDC >Et_1B_010787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14050518:14055287:1 gene:Et_1B_010787 transcript:Et_1B_010787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDTLVAMPLAPHHHHHARLDALPQHLAPAPPQKAEPEPEQTVADKEEERDPPAERVVEAAPRPVTPPGVTAAAGEEADAYYARKMLQGVVLRPPPHLPQPEAPPGLTTTPGYSPAAEKEEDAREQRPVERSASANSAAVVDVASIGRFFRDRRDVLSSAITRRISSLKESSSDTYGGVQEIHLPNVKVTVRLKDAIAAEDDAALLAADEGYSFSGGHIKGRVSFFSRSGCRDCAAVRAFFRQSGLPYVEINLDVFPEREAELASRAGSAAARVPQIFLNEKLLGGLVVLNSLRNSGEFDRRVRDLAGRRCPDSAPRVPVYGFDDEAGKEEEQEDAMVGVVRVLRHRLPIQDRFVRVKLVKNCFSGADMVDGIVNHLGCGRAKAVEIGKELARKHFIHHVFRENDFEDGSQNLYRFLEHGPAVPKYYNFRGSTNDGEPKPAAEVGQRMTKIMLAILEAYASEDRRHLDYARIAASEEFRRYANLVQELQRMDMSLLPGEERLPFFLNLHNAMAIHAVIRVGQPGAVDQRPFYSDFQYVVGGHPYSLAVIRNGILRANRRQPYTLAKPFGSKDRRIELAQRRANPLVHFALCDATRSSPIVRFYSTQGVEPELRHAAREFFLHGGIEIDLESRTVHLTRIIKWYSADFGQDRDILRWVLNYLDPTKAGLLTHLLNDGGPITISYMNYDWSLNV >Et_8B_060193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:764102:766609:-1 gene:Et_8B_060193 transcript:Et_8B_060193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGYYVCPQLDPLVRTPIPGVALDMLFLVTIQALLVIVLGKFLHLFLRRHNQPSAVSQILAGVVVGGMGLRSAIVHVDVDNAEDMYGGYISAARLIYVFLVGLDLDLAALQNCARRCLAFTYAIVAASLLVAAIASSGLYGSMMHSPVKTPELLAATLMMALTNTSGITVSRIASELNLTVAENGRLVVASAIATNLICVFGDGVLSSTTLAKGKNLYRAGGGSPQVTKGFLALAVAGVAVWLVRPAVTRVNRRNVGRRHVATRDLIVMLMALWFVSNIPQQLGFDGAPTSLALGLAFPREGPAARTIADTLGPPVNGVVLPFYFATIGMRLDFNSMSGAIIVPGVLLSLLGLAGKAMGAAAASAILDISLSDALRYSMLLNVKGHVDTMTMKFAKSEGVWAEQALYAMIIGNMVSTIIAGPAAAAVLRREKEAYRTRHQAFESLSQEQELRVLACVHSAHAAPGMLSLVELLVAAPQAQPAIRVLHFFEVSADDWSARTTAYHQRENNDAVAQMNTVVDVFARATGVSFRQSDVVRRGAAKDAAVACRRAADAHARLLLLPCYKEQRYDGRMACRLDERRELNHHVLARAPCSVGLLVDRPYRSAGTSFQVPGSVAPETGRTVLHPCSDRAVTHLVAAVFFGGPDDREAVSFGARLAEHPTIGLTVFRFVKRSTYDTVTSSTSRAAASDEMDVALNEGDADERFLWRFYENYAAREMAMYVEKVVESPADVVETLQGMAGMFSLLVVGRGGRQPPELMAGLERWAEAGGELGPAAEILASIESLEMGSVLVMQQHKVAFAPPPSSCQQ >Et_3B_031003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9881409:9903491:-1 gene:Et_3B_031003 transcript:Et_3B_031003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYPILLLSLPLLLCLPSGWVLAAADFPLADRPGCQTKCGNVDIPYPFGIGDQCAIHHGFYINCANLSGTSTPFSGAFEVTKISVSDAKVWMKMGISWRCNGRDAPQVLRDRFAQNFSGSPFRFSDEDNKIFVIGCNTLGYMATFSHVIGCYSSCYRPTSKPTNGLCSGDGCCQADVPKNEVYFEAFFNEYYNNSNSCSYIVVMEKEAFNFNTTYVQNATAFWYAYKGQVPVVMSWMISTQTCQDAKADLSSYACVSNNSECVNTTNEPGYRCKCQDGYQGNPYIKDGCTDIDECHQDSTDPCAAIGGTCQNTEGHYTCSCPRGKENTNGTCTTNRKSSSWVIPVIIGTCVGAVVLVISITSAYLIQERRKLQHIKQRYFRQHGGRLLFEEINSRQGVAFKIFSEAELQEATDKFNEKQVLGHGGHGTVYKGLLKSNVEVAVKRCTSIDEQHKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFNLIHGNHDRHISLASRIQIAHQSAEALAYLHSWASPPILHGDVKSSNILIDWDYTVKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTRKKPFNLDGPEYEKSLAMRFVSMMKQGKIGEILDDQIKDENMEILEEIAELANQCLEMCGINRPSMMEVYEKLDSLRKVMQHPWAQQNTEEMYSLLGESSVTVTSEAVNTGNISIEMKAAKGLESEPMKYPILLLSVPLLLCLPPGRVLAAADFPLADRPGCQTKCGNVDIPYPFGIGDQCSIHRGFNIQCTPDVNGTSRPLIGPFEVTKISAPDAKVWMKMNISWSCYSQTVLQMKEYRLSQNFSNTPFWFSDEDNKIYVMGCNTLGYMTTFSYVIGCSSSCYRKEPKNGWCSGGGCCQADVPKNQVYIVVMEKDAFNFNTTYNYAATFWNAYKGQVPVVMNWMIRAQTCEDAKADLSSYACVSNNSECVNTTNEPGYRCKCQDGYQGNPYIKDGCTDIDECHQNSTDPCAAMGGTCVGVVVLVITITCAYLIQERRKLQKIKQRYFRQHGGRLLFEEINSRQGVAFKIFSEAELQEATDKFNEKHVLGHGGHGTVYKGLLKNNVEVAIKRCTSIDEQHKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPKGTLFNLIHGNHDRHISLSSRIQIAHQSAEALAYLHSWASPPVLHGDVKSSNILIDWDHTAKISDFGASILAPTDESQFVTFVQGTCGYLDPEYMQTCQLTEKSDVYSFGVVLLELLTRKKPFNLDGPEYEKSLAMRFVSLMKHGKIGEILDDQIKDENMEILEEIAELANQCLEMCGINRPSMMEVSEKLDSLRKVMQHPWAQQNTEEMESLLGESSITAASEAVNTGNLSIEMKAAKGLESGR >Et_5B_045016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8442347:8443743:1 gene:Et_5B_045016 transcript:Et_5B_045016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMECSPEADEASMSAWKGEVIKECPKDVLELTLASTRTRADPLPGAKQPPELSGWRGAYPSWQSQGSGARADEASVSAWEGEVIKECLEDELELTLGSARPARIAPGSTEIELSCNQATTDAVLTF >Et_4B_039057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7467384:7468448:1 gene:Et_4B_039057 transcript:Et_4B_039057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPSNGFVSFLNNPLNPHQYQHFSSHSINDHVKGTPSESQTLINLDSPKKNCNSTRTDKRLPWQKEEETNLVSAWLENSTDPINGNCKKTDRYWGDVTNAYNRQKRNATEKERRRGCPQPMEDILQQLTKIQGTPEDRNEMLETQKPVSSENLESARLNHLAAKENAKVAMLETYQTLIGKETSGLSDDVKAELVMALKCMRESIFPKT >Et_4B_036621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:116540:118286:1 gene:Et_4B_036621 transcript:Et_4B_036621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLSRRPLSAAVPAGNLLGVHLFQCPDTVGIVAKLSECIASRGGNIHSVDVFVPDDKPVFYSRRQVSDLVPSTPPPPFLHSSSAQSARSSTGCFICSEFTYNPRLWPRDVLHSDFLNLSNYFNAQRSTVRVPHLDPKYKISILASKQDHCLFDLLYRWQEGRLPLDINCVISNHDRPADNHVRRFLHRHGIPYHYLPTTPGNKREQEILELVQATDFVVLARYMQILSESFLKAYGKDIINIHHGLLPSFKGGHPSRQAFNAGVKLIGATSHFVTPELDAGPIIEQMVERVSHRDTLQSFVVKSENLEKQCLAEAIKSYCELRVLPYELKKTVVF >Et_10A_000951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19576019:19577648:1 gene:Et_10A_000951 transcript:Et_10A_000951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPNQPQRYPTMAPPPPPRVCVTGAGGFIASWVVKLLLSRGYAVHATVRDPGDPKSAFLTQLAGDSPETLRLFKADVLDSATLTAAFAGCEGVFHTASPVPAEKHVDPEKEMMAPAVDGTRNVLEACSAANVHKLVVVSSAATVCLNPTWPEDKIKDETCWSDKELCKRLGLWYCVAKIQAEEMALEYGERNGLHVVTVLPGMVVGPLLQNVALNTTMKVLRYIITGGVDTMNNKFWPLVHVHDVADALLLAYEKAESSGRYICALERMDIKDMVNLMKSKYPNYDYVDKTVDVDYKVAVSSDKLRSLGWKPRSVEETLVDGLEYLEKAGLLKEPCRLPYFYRVSADE >Et_6A_047460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6107693:6108246:1 gene:Et_6A_047460 transcript:Et_6A_047460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRLEGKGSSNKTSCPSGENRLGALQDALLQRVLSFLPSDEAVQTCSTPALRVTDADDRWENAEDINEFVNHLLLLRGRSPLDICEINSFTDSVGYTSDNKLFRYIELADALLISAHFSLWSFELVELGQLVLEISGNPALKALK >Et_1A_007519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35506849:35510848:1 gene:Et_1A_007519 transcript:Et_1A_007519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTATMTSPAVTASFGSTNSHPTPTAKSAPSPLSTAGAVKSEAPPTLTSTGSTAAAGAEDPSYIITVPSYSAWFSYDSIHDTERRLLPEFFEGEAAEASGCRGPDAYKYYRNTLVRRFRSRPGRRLTLTEARRGLVGDVGSVRRVFDFLEEWGLINYGALPSGSKQAKEKREEAAPQSSLSAGATAPRKLCTRCRTVCGLAYFACEKADISLCARCFVSNNYRPGLTAADFKRVEMTEDAKSDWTDKETLHLLEAVLHYGENWKKVSEHVGSRSEKDCIARFIRLPFGEQFMGHKEDKMGMAFANDDNVTNEPGADVSKRLRLTPLADASNPIMAQVAFLSAIVGSDAASAAAQAAISAQSRVDLNDGEIDSSMNSTRDEESSCINGLSVNDLLKEAAANAREQLEKERNSIEQSLSDIVDVQMKEIQDKICRFEQKEMLMEKERQQLHCLRNLLFADQLVVAQHQRRPPAVVSESKDDEKPKPVINIS >Et_2A_017740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5738348:5744809:1 gene:Et_2A_017740 transcript:Et_2A_017740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRMREGRAQEPPPWRAAAVEGTPDVDESRGQRLLDALVREREPQSLPRLHAVHGGLVMELVAVVFFRRGAVDEQGEVDAVHHGVTAEHAVARTDEGSSEGTILPSSALGSFKKYRALHQICMGSDASTTLHQQMSMRLHHLACRVHEPMDCARLLLEVEGGHRVTAVPDRGRVQLSKEPLCVLVQTQSASHTKLLLSEHSHTNACLLDGWPPRGFEIAPVGRVQVKWSLRRSTEDLWSYRQASRDPKAIFLLEDKKIRDIWVMAGRDAMEVEDMNLTSKFMMVNVDDDEADPDQNTAVYLFLTTTSRTQKPRVMGILLMNGVSIFHGNIHGLKTVHNATAKRHYKSLQDCVAVSCVKLPARFEGTVRHFKRIKWLTRKAATGFWMMDWMIFAKVSAKVVKINSQYALKNDSKFLCQVMLRLDTEENMLAPADIVVEGIRTKRSMDSTVVDEALSIDDASIRDYNGRMMALVCKIKLLILLVKPAKLFPILFNGWSLEYHRDAGGGRDINEAEPRKTGAKEYRSQNFELCMWRLSVSSGKQEPRIVWHLLKSGVEISQGNIHNLTPLYSTAAKRSYKALQDCIAVHNCFGSFVKLHLRFEAGLEVQNRSSAWPSTSAGYWYSVSSNFSAQVATIKSQCMKQDSMPMPQQGAASYENFIKQNHWQKVDKMRKKKPLSASHLPWNLFHCCSEYLSISPPEGNFSRATCVMNLVHGVHGYHMLRDTLHSTLGTCRMCVVPTSNGPATHIVHLPLLLHPKGDPVGSESDIQYPMSPLTTSITGDLPRDTDAQKVDACNQNVNSQQGDECSMSSDIQHNVDTVDNDRLLTPIFVKHISGELVLNVNVNQSS >Et_9B_063886.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19407791:19407994:-1 gene:Et_9B_063886 transcript:Et_9B_063886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKIIETVYVEADTADDFKSVVQRFTGKDAVAQPKASQAGRRTGEGKEGGARGDRQVGPSDTKQKG >Et_3A_025972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34308344:34317475:1 gene:Et_3A_025972 transcript:Et_3A_025972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFVLVLAALVAVCASVAAQPPAGQRPLPSYYHVITPGKFKRDQQLACDDPKDNKPSCNAKCDKRCPNQCIVLCPGCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDQDFCIVSDKNLHINAHFIGKRNAAMSHDFTWIQALGIRFADHRLYMGAQKTAKWNDEVDRLELAFDGTPIDIPIEIGAVWESTAVPGLTIARTATANGIRVQLKGVFDIMASVVPISEEDSRIHNYGVTEDDSLAHFDLGFKFYDLTDDVHGVLGQTYRTDYINLLDVSSKMPVMGGAPKYVVSDIFDTDCAVARFGARHAGISMQLVLVVVALLALWCGAAFSAAAVTTKPRKPVVGKAMSGMIPSSGRFEMIRPGFHKRKYEVACEEEGKAKGGPPGCYVGCPPNCPNKCLVFCKYCMSFCMCDIFPGTSCGDPRFTGGDGNTFYFHGKRDENFCILSDAALHINAHFIGNHNPDLKRDFTWVQALGVLFGEEQRHRLYIGARKAVEWDEEEDHIQIIFDGEPVEVDAVKNARWASKGGLSVTRLGAVNTVVVELDGVFSISANAVPITDEDDRIHKYGKKAASDSLVHLDLGFKFHAPLTAHVDGVLGQTYRPDYVNRLNISAKMPIMGGAPKYILPAGAGLFSTHCAVSRFHIHNRHRKPTTTTVPGGWVVGKRPTQHEAALTNASSCAQPARHSAVRRLASPHASCKIIITYLIPYSLINYIVLCDFYPGVSCGDPRFTGADGNNFYFHGKKDKDFCIRNLDRNRDFTWIQSLGILFAYHRLHVGAVKTVRWDPTSDNDEDGVINLPAHVGATVAALSVTRTAQVNTVVVELKGVFRIVATTTALAHLDLGFKFYDLTDDVHGMLGQTYRTDYVNKLNVTSSMPVMGGAPDYMSSDLFSTDCAVARFGRQSAGIAMVTHRQDMSLATSILIVAWIY >Et_1A_004523.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12499548:12499961:-1 gene:Et_1A_004523 transcript:Et_1A_004523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTSLQVQRSIASCLSRVCRYNNDAGATTLPRRAAAAVGQVGCGDPGPQQGGARVARDLRHRRGRRGRLRRRRPPVQRRQGQAQLPRARSRPHQPGRLPPRPRRSPASAAVPRPGEVRAAVAGRREQRQPRGWRGW >Et_4A_032483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10493734:10495116:-1 gene:Et_4A_032483 transcript:Et_4A_032483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQDRREATAEANARRAAEELARARDERVAQAEVDARTAADEIARARADRGGALSGAAYHDTTATDYNRGGGGGILEGAKNFMSAVGRTFGGATDAAADKTAQTAHVTGDKLGEYKDYTADKARETNDGVARKTSETAEATRNKLGEYKDAAVEKAVETKDAVAQKSSEATEATKNKIGEYKDAAAQKAQEARDAAAQKAQETKDATARKAQETKDATARKAYETRDATKQKAGQYADATRDTAHDVDRNQGPGLFGALGNVTGAIKDKLTMGAGQQQHKFPLHYLCTFLKSKC >Et_3B_027999.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:30608148:30608384:-1 gene:Et_3B_027999 transcript:Et_3B_027999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKSSELRMALLGLALVGLLLLSHQAAPVDAANNAGTGKRLNSFSFNSAGERQLKSFSMNHDAGDGENHGAKGGRGV >Et_8A_056180.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:13212387:13212779:-1 gene:Et_8A_056180 transcript:Et_8A_056180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ELHRARRTGVPGGDRGATAQRYSVRYQGAAGGHEFQGTAGADDCVNGVGVPTAEKLVYWDEDFSKEPEIVYGDGDGLIGTESIPALDTLIGEDPRQEYYKSVRLANTSHAGVISDSFALQRVTNEILGDA >Et_9B_064347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14222247:14223100:1 gene:Et_9B_064347 transcript:Et_9B_064347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGGGGARSMASGLLFLNLIMYVVVAIIAGWAINYSIDESYNSLKGASPPVRLFPIYFPIGNLATGFFVIFALLAGVVGVSTSITGLRDVTEGYPASMMSAAASAIVTWTLTLLAMGLACKEISVSWRPASLRTLEAFTIILTGTQLLCAGSLHAGAHAAILETPIGGRV >Et_2A_017783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6207684:6210361:1 gene:Et_2A_017783 transcript:Et_2A_017783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYVPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVMKKWLPELQHYAPGVPIVLAGTKLDLREDKHYLLDHPGAVPVTTAQGEELRKHIGATCYIECSSKTQQNVKAVFDAAIKVVIKPPTKTKQRERKKKKAKRGCSRIMHTRRLGCFK >Et_5B_045646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6166963:6169393:-1 gene:Et_5B_045646 transcript:Et_5B_045646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEFPNLGQHCSVGDCRQIDFLPFTCDRCDHVFCLQHRSYISHQCPNANVKDVTVLVCPLCAKGVRLNPNEDPNITWDSHVNTDCDPSNYQKVTKKKKCPVPGCRETLTFSNTIRCKDCTKEHCLKHRFGPDHKCPGPRKMDSSFSFSNMLRKSQKAETRSNSSKNTSSWWSSSLLSAASNFRTSAEAGMQKLSTATSQAFQKAKDGISPDSSSSSGDLVEQCIHCPARFSTVGALIEHVEKSHQMSSQSSRGRVTVDVCPKCHKAFRDPVLLVEHVERDHGGSSRA >Et_8A_056895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18668149:18670177:-1 gene:Et_8A_056895 transcript:Et_8A_056895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRTQLCVDSVYHIGQMMKRHTIGKKIYFLQMTCSNEAEHQWIENVKLGGAVPCLEPENCPNGWATPPGDSFMVRGPEYLTNKVKIPGGEYLLKPLGFDWIRSQTKICEILNDKSHRVRKAIDEEVSCGNHPFVWAFNLQLPKDNYSAVFYFVSLEPIPEGSLMDQFLKGDDAYRKSRLKLIANIVKGPWIVRTAVGEQAICILGRALSCKYIQGSNFIEIDVDIGSSIVANAIVHLAFGYITTLTVDLAFLIESQTELELPERLLGAVRFSELNPGSAGLYKKPSEEHLESSEVPPARFWHGFSQLLHNPSNPREASPSS >Et_2B_021302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28456848:28461044:1 gene:Et_2B_021302 transcript:Et_2B_021302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLRAPCLVYKRGQDAQVGKQASGGRRSILERERGGAARERERERGHVILTATRKQSAAAGMGDSGGSVVSVDVERISFGGKEHHIQTNHGSVSVAIYGDHDKPALITYPDIALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAAPILASTPVASVDDLADQVADVLDFFGLDSVMCLGVTAGAYILTLFATKYRERVLGLILVSPLCKSPSWTEWFYNKVMSNLLYYYGMCNVVKDILLQRYFGKGVRGCSTDPESDIVQACRSFLDQRQSMNVWRFIQTINERKDLTESLKQLQCRTLVFVGENSQFHAEAVHMTAKLDRRYSALVEVQACGSVVTEEQPHAMLIPMEYFLMGYGLYRPSQINCSPRSPLNPFCISPELLSPESMGVKLKPIKTRANLKL >Et_4A_033014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1772268:1775024:-1 gene:Et_4A_033014 transcript:Et_4A_033014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALALVLRRILHQHSNSSVGSYLHHQPRYYSNPHLDYHNLARARAFTFSPSCRPRGSSPPPALAPLLFSSLAGQGRKGKTEEEAEVLDMEAGTVRCAANYAPLTPISFIERAAAVYGGRAAVVYGERRRTWAETRDRCVRAAAALTTRLGVARGDVIGPAWDASQSHCLVISPFLPGPLY >Et_4B_038214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27202043:27203626:1 gene:Et_4B_038214 transcript:Et_4B_038214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEEANRAAVESCKKLVAVLSRSGDAFQPVPVAAETDDAVARFGKVVAVLSDRLGHARARVCKRNAPPVDASYLMDRPLKAPCHTSSIDRLVTAPAPPPPPVTSTTTMRSITLMSSQEAEAAPAVVTPRASVTLAPAKFDRNMFLETPLLDLNSCNVLSPNAVALQKNSAKVVAAVSAANPCATTTHIQFQPQQQQQQQQAKAQKSFLFDQAPSAEQFHIEVPVPASRGGGGAKEVISFSFDNNSVCTSSAATSFFNSINSHLISMSDAATSSAATGKKACGKRGEDGGVKCHCPKKKKPRDKRVVRVPAISDKNADIPADNYSWRKYGQKPIKGSPHPRGYYRCSSMKDCPARKHVERCRSDPAMLIVTYENDHNHAQPLDPAVLAANAETCV >Et_2B_020248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18313318:18321053:-1 gene:Et_2B_020248 transcript:Et_2B_020248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPGLSALVTGGASGIGKALCIALARKGVFVTVVDFSEENGRVVASLVQKESKQFHRGSSFPSAIFIKCDVTNGVALAAAFQKHVDTFGLLDICINCAGFVNRSLVYDDTFDGASTWRRAINVNLVAVIDGTRIATQVMRSRKKPGVIINIGSVAGLYPMSYEPVYSGTKGGVVMFTRSLAPLKRHGIRVNVLCPEFVQTSMGEQVNRILVDALGGFLKVEDVVNEDESKAGACLWISKRKGMVYWPTSKEENNYLVYSSKSKRKLANNNFPSIQTPEFFEKIHNFRNATRLERVSLRLPVGPHSALVKIVYAGVNASDVNFSSGRYFSGNAKEAAAHLPFDAGFEAVGIVASVGDSVKHIKVGTPVALMTFGSYAEFMLVPAKRLLQVPRPDPEVVAMLTSGLTASIALEKAGQMTSGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGDSKSALLASLGVDRVINYRKEKIKDVLKKEFPSGVDIIYESVGGEMFDLCLNALAVYGRLIVIGMISQYQGVDGWKPQNYTGLCEKILGKSQTVAGFFLIQYSRLWQDHLEKLFDLYAAGKLKISLDPMKFLGTASVPDAVEYLHSGRSVGKVVVCIDPSYSQTLAKL >Et_4A_034521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4006597:4007794:1 gene:Et_4A_034521 transcript:Et_4A_034521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GNRLLDTMSAGWTDERHMMYISSMEASFVDQLHNHGHQSRHANENGFKVLRGGVWEYIKYEKSNDYERRLDTVCLQALGSSILGHAIAVAMRKVMGWRILRVIMNRVLSQTGRGSLCLMEGKKKLVMEKTTFVVKQQRSPIRILPMKLEVDAESSTGCKKKRSSISSTELLNDQVNSRDQLP >Et_1A_006297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20124809:20131742:-1 gene:Et_1A_006297 transcript:Et_1A_006297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRIVGVPKACPALEFCDASSVPVRSDLILLAYFNTFPNRFDFGQKDDPDCFLLMEPGSSPGKTTGGPVIFQGRALGVHTGYDSQIGRAISMEGVNAAMKAWLQIPPDEADSMVDSWGIENPEVEDRFQGRFNANLLGRKIPGHDATVPHIGKEHVKPTQKEGRGAGGSSPSAECSVSMTRDSGGDSWPSLTTTKSVRWEVEGIMFSWISSWGAQ >Et_4B_036695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10764958:10767920:-1 gene:Et_4B_036695 transcript:Et_4B_036695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRHCVRVCNGSFHLTASLARPLEHRKERTQISPTQLCQPIPRSTGAAPMAKPKKPRAPASDPSHAAARLPWQPPAPPVPTALLISLAALLVRVLVSVGPYSGQGAAPKFGDYEAQRHWMELTLHLPSSDWYRNTSDNDLAYWGLDYPPLSAYQSLLHGRIINASLPDAVALRSSRGFESHESKLLMRWTVMSSDLLVFFPAALWFVWAYMKGGIGVSEEERREGWMWLLAMVLINPCLVLIDHGHFQYNCISLGLTLGAIAGVLSRNELVAAALFSLAINHKQMSLYFAPAFFSHLLGKCLKRKCPIVEVMKLAFVVLGTFAIVWWPFLHSSETALQVISRLAPFERGIYEDYVANFWCSTSVIIKWKKLFAIKPLKLMSLAATILAFLPSFVQQVKSPSNLGFLYSLMNSSFAFYLFSYQVHEKSILLPLLPASLLALKEPQLYGWFVYLALFSMYPLICRDQLLLQYIAMTALFFLIYYSPGGSHKKRLNIPSGIKLVLSLPLLCSFILHISYLQIEPPERYPFLFDALTMFTCFSQFVILTLYANYKQWMLNTHSRSIGIKKDL >Et_4A_035102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9438377:9441106:-1 gene:Et_4A_035102 transcript:Et_4A_035102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPKTDRCAVVVLFNFGWRCRLGVWVGDEVGLGVLEDGGDGLLGLGDLVLHLHARQQLVGGVHLHDAPAVGRGLHLDVGGRRLDGHADAPALEERRLALALFHVQELELFLHEVERHGCKTKATRYELAGTWNVPEVKLNCLTLPTPPSPSTGCALATISGASLGASVCQSNTAARNRRGAATGDAISTVQLEAIATMFDRRN >Et_9B_063905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20419654:20421699:1 gene:Et_9B_063905 transcript:Et_9B_063905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CEVYTLGGEHGDCWRPAFVGVPFRFCRAAELAISHAIWDKLLPVFANGFLHWLSSPLFIVKRPRAAILAFSVTDETFRWLWSPPFVAPGVRFEDVDGHLCMVRERVVSDCSMLEIWKLNDYSSGDLVEPQIIKVLGSFGNYGGSMKKVVIATSNRKVVVYDPVCKTLQTVLEIREAHSSYQTDHSALRVSLFRENLVTVHQTNEEIALSAPLAKAAREILLRCPGDYTVQFKLVCKQWLRLIENESFMHYYYAHNNMDRRPKIMLVGKGIGGLGFSFAPLHKLLQHAPNHDTWLDTNTEMEDYLYNPCTGYRRVYLTRGLFTHVTQNITGYDCSPKDHSFTVGNKNVGLGFNLLMQEHVIVKILYHWKDFKSRQYFVTCTISTCNIGSVKNITEPPLPVNDMPPTYLAGMLYWMSDPRLGQSYERAIVSLDIATNMFGVIHCPSSIATWNGSNSCEAFVAELEGVLCAVRADPVAEKLDIWKFEHDQWDRAYTLYFKAWPGYSLGTNVVVPLAVDPNDRRILLNTGRKLGLYDPARHLIESLYDVDEVLNIKSAEGSKEIASHHGQNPCFNKQKVFNKRRASGNNFACSSGMLEEIKPSHNDLIPLVPMLYEESLATYPRVRKPRCLRR >Et_7B_054209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17153442:17156299:-1 gene:Et_7B_054209 transcript:Et_7B_054209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRRLLLPGAALLLLVVVVLSAASLSSAEPDGEDPLIEQVVGGEDDNELELNAEAHFSSFVRRFGKAYRDDAERAHRLGVFKANLRRARRHQRLDPTAVHGITKFSDLTPAEFRRQFLGLRRSSSRDLLKGSANQAPILPTDGLPTDFDWREHGAVGPVKDQGSCGSCWSFSTSGALEGANYLATGKLEVLSEQQMVDCDHECDPSEPRSCDAGCNGGLMTTAFSYLMKAGGLESEKDYPYTGRDDTCKFDKSKIAAQVKNFSVVSVDEDQIAANLVKHGPLAIGINAVFMQTYIGGVSCPYICGKHLDHGVLLVGYGSAGYAPIRFKEKPYWIIKNSWGENWGENGYYKICRGPHVKDRCGVDSMVSTVTAIHTSKKE >Et_1A_006108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17958497:17961884:-1 gene:Et_1A_006108 transcript:Et_1A_006108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGFVAGTGFSVRDNGNCGKGHCAEVPGIIPTYKCECDSGWSQVFDFIPISPCIIPNRECGDVEATDAICQCMLRLPRVSALTVVASLTYVCLALFRTGSFGKDCTAQGLAPSPESPPPPAPSSPAPPGNHDSSGAPPAAPYASPKGNATALSLLQLLLRLSVAMYDGMLYRRVARCPLHACGCILLGVALEKPKGWSRFVPMRLRI >Et_8A_056330.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23166274:23166663:-1 gene:Et_8A_056330 transcript:Et_8A_056330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTQIMHSAASSSKAPPATTEISAESALLHLEKGFNKSPAAAGREKRRRAVVAAAIVDVCLAAAALAGAAVLTWWSIAFHPSFAHLWMVPVGLVLACTPIVVCAAIHFSGADTRAPPPPLSAVVVDKL >Et_1A_007153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31408727:31410773:1 gene:Et_1A_007153 transcript:Et_1A_007153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISRASYENIHKKWIPELRHYAPNVPIVLVGTKMGQAVLPGPPRGEELKRMIGAAAYIECSSKTQQNVKAVFDSAIKVVLCPPKPKKKSARKQRSCWIL >Et_9A_061953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18297409:18301685:1 gene:Et_9A_061953 transcript:Et_9A_061953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVTAVLAILFALAVAVQFAHGADLVCEELPAEVCAFAVSSGGARCVLDRTPEGASRCQTSAVRARAMLYGWVESDACVRACGADRNALGFPVVSDAAAEDRRLVRALCSAACRDGCPNVFDLYAILAAGEGVSLPAFCEAQRNVAGSGNRRMMAGMSPLGAPMAAPLMAVEAPSARGADLVCEELPAEVCAFAVSSAGARCVLERTPEDAPRCQTSAVHVRAHASGWVESDACVRACGADRNALGLPVVGDAAAEDRRLVRSLCSPACRDGCPNVFDLYATLAAGEGTSSCGDHRHRCELNLQSISRALG >Et_2B_022514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24326074:24326382:1 gene:Et_2B_022514 transcript:Et_2B_022514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKRHPREAVTTGPSLWTIRLRMPPRGVGAWTSCGGGDRVHVDAGVAALSQLRIDAHPSVYREPGRTAVDCTHWCIAGVPDSCWNQIMYAMLLQQK >Et_5A_040773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12218453:12220879:1 gene:Et_5A_040773 transcript:Et_5A_040773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGSGMKRSVLDELLQVSKALPLDFLNKITNQFSEENVIGSGGFGKVYKGVVKESLEVIAVKKLSETSPLARDKEFDKNMLNIMALNHENIVKLVGYCHETQKKVVEHNGRYVVADIQECMLCYEYLPMGSVYKNIFDTQVKVNWETRFKIIKGICKGLSYLHKVPIVHMDLKPENILLDKDMVPKIADFGLSRLFGQDQTRQKTQNVVGSYGYIAPEFLYRGEISAQSDIYSLGLMIIEITTQEKYIRDTNEPSARKFIDKVRDTWTEDYIPSNSVYSSEDADCLNQVKACIEISLKCVELERRSRPSIDDILKKLNGSNIQTSYRRQHYNLRYHSIFSNTPTFHPTMGEIEEEPPLEVEEEQRVAALTLHLQRLRL >Et_4B_039298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9700408:9709442:-1 gene:Et_4B_039298 transcript:Et_4B_039298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPENDTTASAASDEEDVDDLYADLDEQVAAALAAAGESGGSNARDSDPATDGEAEVPDSDANEAVDLGDGTADYSSTDEESDDGLHIVLNEDAGAPLPPPPPAGRGEGCLTEDEDGDDSGSRAKGASVNDGGWGKGKGLLERTTVPMIGQGDRGFQNVFQNDFNFFLPRNSTIFDINIEAFQLKPWRGHGIDLTDYFNFGLDEQGWRNYWLSMVFWSCYIFSKFLKQLHSFEMVVELSPFCAEANKTRSQAWSRLGITLNVYHFAYQERTYNLRFQESYKVLSTKVTPKAANYSGFEERNGLAKSKGRAIHVEGSVYERLPSADMCRPIQRDSDVVIQVNMMHSPSNQSTSDDCSNFNHKHVTTKRYAYIMVGLMTVIGYASVSQIDRCFGCLNCSERHMKDSGSLMGRAIDKEVPDRGSLKHTGNKVYIRDSACARDRSSSSGFSDALSEESKEDIYFKRENRHSDSRHFYEETKLKDEHVKSGLYCHSSKSKHRSSESDHRSRSYTPSPADDKHCKAAKHLWRDEDPFAREGRPVELDINCKNGRRVPKSGHNKAIKEPKRQPLDDGRCDMKYGQKISSSTSGRNNYRNAVHSELYAKEYQSRLERVAQRNDGHCFNDGSNHHRALSSWRDFSEDKDAMEGFSSAKEWQKHRDDGYHSLLKSEISNVNDGQMYSERYYQEMRRVKHGCNGDDEFLYYTDYRFRRSQSPQVRGKFSNRGTCAESNDERSRYHDHLQLYPQANLKNSQRDWPAAGLTSMSSRNRCIDNKRIHNAKMVRYHYDGYHQKNKHHDSSFDAHVMPLSDLYTDTIAETGRYILPVKRKPQADLGSMNPKDLAHLSLPKGRRLVHDQSVVSDRKFHAMKLHRSSKEFDTEATFYSNDMRNNITVSKVNVDARHELRNGDNILLNDRKIKFKRRGNQLIREVVNSSEEHLSAGDDLHGSKHEDTQWNMHGQNRGYSHSGNLEWKKLIYRKRQNEEDVEIEEGELIEQDQQDIVSRGKLSRPKKVALKSVIKTMSEDDACNDGATIECDDKHILEVMAKMQKRRERFKENITSQKGEDVENKEPLAVACSTEGIRNQRPARKRRWGGDAPKNKDCNLEKTGKTDTDKTDR >Et_6A_046387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14114753:14122183:1 gene:Et_6A_046387 transcript:Et_6A_046387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAPQFKWAPSPCAHAAHNHPSTSSSSRCSGLRVRCAITSAVVDTDRAGAALRLSYAGSDSASTLQRNFESTLASDVLLNEEAVITAAAAEAVALARAAAEAAQEVVRMVQKNSNKQPVARQKKAVDNYLANEILRTEMESSSLNEYGNDVLLEDLEAYGIIADEGELDADAQYTENIAVKSARQSERRARRTRAAIKAASSVRASQKTTASSKKKRAKGSSSSMNPLGSLWKMTGKRLLTAKEEVEFSNGIQDLLKLEAIQAELTEYNGGQPSFAQWATAAGIDEKTLRKRLDHGIYCKNRMVTSNVRLVISVAREFEGPGMDLYDLIQEGMQGLIRGAEKFDASKGFRFSTYSHWWIKQAMRKSVSEQSQIFRLPAHMVEASYRVKECTKRLKRKLQRRPTNEEIAMDTGMPIKRVEAAVNLPKYSVSLDSKIGSTDMTYQEVTADPSAETAEEMLNRMSMKKDVHQALDTLTAREKQVVVLRFGLDDGRIRTLQEIGNIMGVSRERIRQIESGAFRKLRSKKRVKALKDYLVPNVSFPDFFIKIINRTLGYLRNSDKELELTDPYSIYLLQEEACPC >Et_10B_002524.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:13058584:13058688:-1 gene:Et_10B_002524 transcript:Et_10B_002524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRAIARRVVIHMNRCTTLPLSVLLRTDSGRR >Et_10A_001343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23590564:23593379:1 gene:Et_10A_001343 transcript:Et_10A_001343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSSSSPPPSSVTHDHDADEFEDDFDEDDEEDDDYDDDDEPSSASPYDEARLEALLRRLTAEEVRIRVHEVAIRGCSRTRRAAVEAAVGPDLARAATVRDLVRAAAAARDRLRGLSAFDAVSITLDAAPPGIPGSAGAVVVLVDVSEARGRAAGELGVFGNTQTRSCSLEGSLKLKNLFGYCETWDASGALQLDHTAELSAGVEIPRIGALPTPLITRISFLSEDWLKSSLKEHLMSVSVGLLSTTNHNLAYNLTWRTLTDLACMSSDSILEQLGHRLMSSIKYTYKVDQRDSSIRPTRGFAFLSSSQVGGLAPGSKYPRFLRQECDLRVSLPLGVMNAAINTGVAAGVIHQLAKEPTESISPPPERFYLGGNRSLVCRLGGPSSLSVVLPLLLIKNGLGGDIAVTAFADLSFDIPLKPLRDLGIHGHAFVSAGNLASLTECDLRKFSLANFLQTFRSSVGFGVIVPTKLFRIEMNYCHILKQFDNDKAKTGIQFNFSSP >Et_10B_003154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17143137:17145963:1 gene:Et_10B_003154 transcript:Et_10B_003154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVLPVVLLVLLPPVAPSPPWQHCGSSGNYTAGSQYEANLQLLATTLPSNASSSPDLFAKAAAGEGPDQVFALALCRGDATAASCLDCETRAFRDARRLCPYSKEAAVYGDLCVAFFSGDDFLSSTANLGQIRLYNVSGRNSPTSAAGDEFVTLVRALLSYSVQWAVYHYEAVKWYTTVRMSVVTPALYSMMQCTPDMSGAECWQCLQDLVGNSTFNASMAGVRNIGARCGYRYEIYQFYSGKTMLNIGSLSQIDSPPPSPLAPSGKKTISKLLIVTILLPLIAAASVVISDKSKLQKQGTATREEVLKLWRIEESDSEFMLFDFDQIKEATCNFSERNKLGEGGFGSVYKGQLPSGLEIAVKRLAAHSTQGLVEFKNEIQLIAKLQHTNLVNLRGCCIEGAESILIYEYMKNKSLDSFIFDEKRTALLSWNRRQKIIEGITQGLLYLHKHSRLRVIHRDLKASNILLDDEMNPKISDFGLAKICSTDIPGNTKRVVGTYGYMAPEYASEGCFSVKSDVFSYGVLILEIISGKRNAGFHQCGDFFNLLGYAWQLWKDENWLELVAPSIASEGQMIEIKKCIKIALLCVQENAADRPTMSDVVTMLSSELQALPEPKQPAFFNVRTTCGELSTTTRSSVNDLTITLVNGR >Et_8B_059513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18698261:18714146:1 gene:Et_8B_059513 transcript:Et_8B_059513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYLQLATNIMLGLVRRACARKFPLFMQKEPSDDGEIVLAFDAVNCGNLARFVNHSCSPNLFSQNDITPLKELTYDYNYIKNAVYGADSSIKNDQCFCGSVECSGWFGGVPRWYEVTVPWRFGYARSETPEVSGSTVANSGLSGSWSDGDLGDEELHRDVGIGGEVSQGSSTGIGGDCSIGAAQPSNWQGFQLDGVADKSNLAGDGCRKRRETKMKSVPTKKRKTKKDGHHQAVTNCRIPLVTDVRTTLQEFRVIYKTLLEEEYTKWRDRRNGPMPGIAAFNVYKERFSVDRDNHRYVGSVPGVQIGSIFNSILELSVVGIHPISIVSYTQTFASANNLDLLLHRGSMMAAMGDLKIEGADMAVKQSMDTGTPVCVIHAIQTQPGDSTALSTTSYIYGGLYLVDKLGIEKVSEDQCVRWMAGQKHIDIYNILKTSTEPFDGVVVGDISGGLEKMPVSAINTKSNEYPMALLYMSQIQYPLKYHPGPPSGCDCVGGCSLSKKCVCAAKNGGMLPFIPMGLLEDKPLIYECGPSCKCPPTCCNRVSQHGMKFHLQVFKTKSMGWGVRCLDFIPSGRELLEDQEAQERMNDEYLFTAGNNYYDVPRWEDLCKKIPSLQIVFTLDAENRGNFASCGPNLFAQNVLYDHDDKRMPHIMFFAGKDIPPLKELSYDYNYLEDQVYDADGNIKTEECFCGSRVLLTPSGARRCKVAVPWRFGYVALETPEISRSTVARLFGGGVGIGGDSSLGVNASADEDSSSLPKDSNFVHPDDHEGLENKAAATEAVEADQPGNNLQGSGLDGGAAGKSNSAGKDFISEVSRSTVAGGGLRASWSDGELQSDVGIRGKVSKGGGVGIGDRGLGSASNAAADEGNSLHKDGYFIQSDEGVENNAAAAEPVGVDNLQGFQFNGAADKSNPSNKEFISEGFIQTGDGCHKGRKAVVPWRFGYKGKLMGSSENASMTTDGSKQQCDAAEAARTRLSRVKTSAERPISVRASAGRKRPSVEVQKETGPVPKKRKTYKKRKTNKGSRRQAVPNRRPRLVTGVMATLKEFRIIYKKLLEEEETKWRAGGRNGPIRPHVAAFNVYKERYRAEGADRGYVGSIRGIRPGTVFNSSMELSIVGMHRPLLAPVDYISKKDGTSVAVSIVSYTTTASASNNGLDLLLYMGSMAATGDQNIEATDLALKHSKDLGTPVRVIYAVLNEPGDNIARSQPFTSYVYGGLYLVEKLCKENVSEDQCVNTFQLRRMAWQKHIDISDILKTSSTEPFDGIFVGDISGGLEKVPISAINTKSNEYPMALQYMSQIQYPLKYQPGPPSGCDCVGGCSLAKKCACAAKNGGMFPFSPMGLLDDSPLIYECGPSCKCPPTCRNRVSQHGVKFRLQVFKTDVMGWGVRCLDSIPSGSFVCEYVGELLEDQEAQERTSDEYLFAAGHNYHDVSRWKGLCKKIPSLQNGPSEDGEIVFTFDAVNRGNFARFINHSCSPNLFPQNVLYDHDDKRMPHIMFFASEDIPPLKELSYDYNYTKDAVHDADGNVKTKECFCGSVEC >Et_9A_061647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14851606:14852470:1 gene:Et_9A_061647 transcript:Et_9A_061647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYVAVDLASVEADWVDALHVWVEESPVLLHAALLEVRLNSPWVARRPTADVVDEVLVVDGRVLRRRRDAAGGRPPALRDDQRHAGAAVFAHRDEERVHGGVEEVLVPVVAVPHDDGGVFRSREERVADVHGARVKYGYTLAMSGAPVRARNLRTPPMNPCASPVKSASDGGLYPPRMACDTDVVTRMLSSPAASSAARTFSMAGTRFCVALPATSLPTQTHLKDTVGYASMLLWTQDAAATGLAARSESTSLGAPTTTSMPVPPTACSAAWSG >Et_3B_028170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11249960:11256506:-1 gene:Et_3B_028170 transcript:Et_3B_028170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCWDIQISERRRKRTLSVSIERDEAAKIDMSGRLAERSGAVREPRAGRDGGAGDGAWSCCGRVVGEAWPSEGCCLLPCPAADVTTGRVAVLHGVAETVLATLFLGDGIGPEIAECLSLSSRYGIASIVDTSSIDDDGGEVTEDQEFQTTLSYLIELEPKLWVPVRLLEGRIRSKIKNNHASIREQAQRIPINAVSSYRIFEENYLMVKYEERITVKSFLMYQFLIANGVVVTLEKNQRDFVSSKRLMLLQFLLKSHLVVCGM >Et_1A_008765.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12147050:12147502:-1 gene:Et_1A_008765 transcript:Et_1A_008765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSDSEPCTQRPPASEQQQQPVTSDYQAPPPAETRPEPAAEAEKTKKAAEGSSSGGCCGEPSRRPEESARERLKRHRTEMAGRVRIPDMWGQERLLKDWVDCAVFDRPLAATAGLLNARDALVAECVAARRPAGHGATGRPLRVQNGCS >Et_6B_049319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3209042:3210803:1 gene:Et_6B_049319 transcript:Et_6B_049319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHADGLRAMLLGEEFDVRRGAGLGDPAGRRGAVRLREAAANVQLLVVDALGLGSELARAAVVEGRPRHGGRPRSRGRALSPSCSTRCRSGQWRRWLGCNEMRPPVLRRKGPSPCVVQLLLLAGADKCRHFISSTISFLIWPVDLNIVKSQTRGTCPYSHKNNSFEFGGGRVGGTVSFSERSLVKIVMRRRNPRHRAVRREVRVLAGRDIIGVRDWERPAATVLVLLLFLTLTWIPSALLYLFAVGAWNFRPCRRRWSTACTRFFAGRGVRRRGPSVGEVVEWRYRRLRETATNVQAIVGYATGIEERVHALLSWRVRTVALVAVAALAVVFYAVVPFRGGGVRGGGVRADQAAGDENEGAVSIVQLLPPAADQWRR >Et_1B_013022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4897484:4903081:1 gene:Et_1B_013022 transcript:Et_1B_013022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQGKGWTGWSTPAPANQRSGGPPAASAPLGKGKGRVAELEQELHEYQYNMGLLLIEKKEWEAKLVETSQLLTQKEEILRREQAAHLNAISEYERREESMRKALGVEKQCVADLEKALREIRAEIAEVKFTSEKKIMDAQSLEASLEEKSLEIEGKLHAADAKLAEANRKKSQADRDLEEVEARQRRLEKDKLYFETDRKAREVQLKQQEESLQEWEKKLKESQNRLVDLQRSINDREERANKNDQLFKTKQNELEEARKTLEATKVTLKVKEDDINKRLNELHSLEKDAESKRKTLEEQERKLAEREEKANVREKEGLQKLLEDHQMELESKRRQFESELERERKSFDEKMKQKASDLVQREKDVKSLEGKLSKSEQALNDEKKKLESLQNDLDIKSKALKKWEQTLKSDEKKLLEEKQKMDVEREQLVMYKSELERIKSALEAEKERIIEENKNLEVTKEERQKHTLLTEDLKKEIEEYRMRSNSLSDEIEDLRKQRQKFEEEWEQLDEKRTRLEEEAKNLNNERMRLESWRDSEEKRLTATKLEMEENHKEWQENFERKEKALNDDIEHQRSQIDEHLKRERADIERKLQLHRHELEMDMEQKRASKERELEDKENELNRKIDFVENKLRHAIELNESKIQKIISEKRELQTERKVLLEDRQKLDADKADIRRDIDSLHSLSKSLKLRREAYNKDMNNLINLIEKYKMCKNCGASISEGLDYLVTLKENAEIEHPILAVEGDDRSLNAKTLAQDTGNIVNSGGRLSLLQKCSRLFKFSPRDKDEQSSEQQAEKNIPFGARLEEASQSDGDYEPTPVYEVAHDSFDAEELPSDSGARENEQSERHDIADDVQMESSVGVADNSVDILGTQSFDGTSDMAVDATVASVDQNGKDSAPPAEADRQPETSKQGRRQQNKRGRGKGGVRRTRSVRAVVEDAKAILGENYDEKSDGQRDPVAGVGTRKRCLAGATISEQDEEGSEAHSESVSVGGQRRKRRQTVEAVTQGPGEQRYNLRRSRVANADAATTQADKKKAVKAGIQHTVEATADDTEGTSKIEEPATESKRASESADYGASQLHEFSQAEVGDAHASVEVAGEEDGDIVDGKDASPDVPMTPSGSELDDEEDDDSERQNKSIGKTLWSFFTT >Et_3A_025714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32035010:32036966:-1 gene:Et_3A_025714 transcript:Et_3A_025714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGESETEGRIRAEDRTTGEIGDGSGGDGGTAVEGHPVPARGAAAVPAPGAGAAGRHGHGSFAAGHGVHVAGAAGHGSHVAGAAGHDGQGAAAVGLHAPEAGAAGIGIHGAGATGHGGIEGGGGYLPQMGSFTAALAGVVRAPATMAFGSGAAPVPGAWPGLGVDPSPWRGGAPPNPAGYVSASTVAGSSSSAGAFSDWWPGTGPTPLNPDFSFSNPTYGVYGGGPSPTEYESQDSEDEVPVPPVRVSSTRRGRGGQRGVKGGKRGGGRAGRPPIPTAPTAPGSTAVTVKRTRAGLTNWQDDNNNRIVCELFANECNNGNRSSTHLNKVGFKNVIKHFKDKTGISYTRRQFKNRRDKLKESFGIWKQLCKQTGVGWDESGQNIVMPDEWWEKMNNVIKGANRFKEKGLEHEDKLETIQGSASCDGDDDEEEEEEEDDDDDSDADDLTPTSGTKRGRRSTGSNTSKKTKSTGSAMFFDKIDQLMELNERTTASCESLAAGRDKPGSSIEDVIALVTHCGATVGTNEHLIASTLFTDRYQRKMFLTIKTPEERFKWLTLC >Et_7B_054081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15059595:15060360:-1 gene:Et_7B_054081 transcript:Et_7B_054081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQAKGEIEFVKLGSLSSAVASAKMVSSLVLNLVAEREDIKLWGAMWTVTILRDARGLLKVPDDYRSKNAKAASSETSSTATRVSPPNDVVTKAPPPQPTRSTAADESTAPPRVSSPPQIEE >Et_1B_013708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13486385:13488191:-1 gene:Et_1B_013708 transcript:Et_1B_013708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARRSSFFAPKKKAAANPFDSDSDDGEKQQPQQRPARASSVPPPADQRGASPYGAGEGGGLFSSSSNSSAYAAPMSRHYRNDFRDAGGLENQSVQELEGYAAYKAEETTRRTQGCLRIAEEMRDTASKTLVTVHQQGQQIHRTHMMAIDIDQDLSRSEKLLGDLGGLFSKKWKPKKNGAIKGPMLTRDDSFIRKGSHLEQRQKLGLVDPPRSNARQFHSEPTSALEKVEVEKAKQDDALSDLSDILTELKGMAVDMGSEIERQTKAMGDAEKDYDELNYRVKGANTRARRLLGR >Et_7A_051722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23536277:23538890:-1 gene:Et_7A_051722 transcript:Et_7A_051722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGLLLLIGMVVCAAATHSTDGWKQIQDVNVSNIQDLGFWAVNHHNMKTSDHLVFKKVVSAREQITSKGFYHDLVISAVDHVNISHNYEAFLLIVDYIDKTDIILLSFNVTTAVERDYQVFLMSTVLDYHMVTNPSDMRICRLLVLIIATIDIFTMPSLAISADEWKHIKDINVSSIQNLGMWAVHQHIRNTEDHLVFDKVIRATQQTADEGLYYDLIINAQNKYCEAVVFIHDYVDKTEIHLLSFNVTTKPLN >Et_2B_021548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30519743:30521858:1 gene:Et_2B_021548 transcript:Et_2B_021548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAFDSPTSSPAAPAPFHDDAFLSFDGSAADVHVSGDAFPASPDPYAFRSDAPSPFGMPEANGGLHDDPFAADAASGPVLPPPTEMGREEGFLLREWRRQNAIHLEEKEKKEKELRSQIILDAEEFKKAFVEKRKLNVETSKGQNREREKLFLANQEKFHAGADKQPRLRQHQRQQQRSP >Et_3B_027563.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27697299:27697710:-1 gene:Et_3B_027563 transcript:Et_3B_027563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPVEEKGDKKPKAEKRVPGAGKEGGDKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Et_2A_018226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1956227:1960718:1 gene:Et_2A_018226 transcript:Et_2A_018226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNNKVDSLSYDVEAPPPAAGSDAPPPPPQQPAMHGHHHQQSQRREVLELHKVSVPEPRTTAKALRQRLAEVFFPDDPLHQFKNQSSARRLVLALHYFFPIFQWGSEYSPRLLRSDVVAGLTIASLAIPQAWPYHTCSGISYAKLANLPPIIGLYSSFVPPLIYSLLGSSRDLAVGPVSIASLVMGSMLREAVSPDEQPILYLQLAFTATFFAGVFQASLGFLRLGFIVDFLSKATLTGFMGGAAIIVSLQQLKGLLGIVHFTSHMGFVDVMTSVVKRHDEWEWQTITMGVAFLAILLLTRQISARNPKLFWVSAGAPLASVIISTILSFIWKSHSISVIGMLPKGVNPPSANLLTFSGSYVALSIKTGIMTGILSLTEGIAVGRTFASINNYQVDGNKEMMAIGIMNMAGSCASCYVTTGSFSRSAVNYSAGCKTALSNIVMASAVLVTLLFLMPLFHYTPNVILSAIIITAVIGLIDVRGAAKLWKVDKLDFLACMAAFLGVLLVSVQVGLAVAVGISLFKILLQVTRPNMVVKGLIPGTQSYRSVAQYREAVRVPSVLIVGVESAIYFANSMYLVERVMRFLRDEEEKALKASQSSIRCVVLDMSAVSAIDTSGLDALSELKKILDKRHIELVLANPVGSVAERMFNSAVGEAFGSDGLFFSVAEAVAASQCKPQA >Et_2A_017989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8719460:8722728:-1 gene:Et_2A_017989 transcript:Et_2A_017989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQIRGSVAPATARRWSAPPGARLLGFAPLATGTVPSSLRRGAFGGLAARVASKSWTTMCVKADYTSPVDPMISAEQTSNEEPTIVAPTNEETSIEQEVAPQQKSAIIHDFCLGIPFGGFLFSMGLIGFLFWRNPVSLTFGVAPGLAILALGVLSLKVWRSGKSSLPFILAQAALAAAVAWKHSQAYITTKKLLPWGFYASLSAAMICFYSYVLLAGGNPPPKKAVTA >Et_8A_058238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3272696:3277467:1 gene:Et_8A_058238 transcript:Et_8A_058238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVSNCSAKSLPQWLRENGFDEEAVARMSKRCKNLQNLDAGEASGVWEYLLNGVKIERRKLRHVVAKCPKVLTLSVDGKLVPTVQCLATLQAKPGEVAQAIAKFPQILFHSVEEKLCPLLAFFQTLGVSEKQLAKLLMVNPRLISYSIEAKFSQTVDFFVSLGMDKEGMIGKILTKEPYIMGYSVDKRLRPTAEFLKSVVGLQESDLRRVILNFPDILSRDVNKTLQPNLDFLRSCGFSNYQVRALVAGYPHVLIKSIKHCLEPRMTFLVEEMGRDKGEVIDYPQFFRHGLKKSLEYRHKVLKKMNSSCSLSEMLDCNQKKFAMKFEQASANYLGSPDVLITSTEIHRWIGISSSGKSKVNKWLRMKCIWMGKLSSQDIVDYYRLKNHIYQSLRRHCNGIEGSSCRQGTSERRNSMKMRKKGWTMSRNLHPAMACLQKHAGRYRGIDQMLLCRGKRSLSTSASSPGFSMAAELCFSHQEPANNEDEL >Et_5B_044005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18912195:18913715:1 gene:Et_5B_044005 transcript:Et_5B_044005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSKTARERNAEKNKPAKGSQLEANKKAMNIQCKICMQTFICTTSEAKCKEHAEARHPKNDLTQCFPHLKK >Et_3B_028626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17534567:17542653:1 gene:Et_3B_028626 transcript:Et_3B_028626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYFLDESLRLFAVPSVDDDDTETGSMLAVVSSSRRSEWCSDILLVWLIAYGIIGGIDQLMYDGIIGLFRSCN >Et_7B_054726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3927907:3933713:1 gene:Et_7B_054726 transcript:Et_7B_054726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLCVKNLPKGADERRLREVFSRKGEVTDAKVIRTKDGKSRQFAFIGFRTNEDAEEALKYFNNTYIDTCKITCEVARKIGDPDAPRPWSRHSLKKTENDSKGKDQGVVNAPAKGSKGQGASADIGDSKGSVANDPKFQEFLEVMQPRSKAKMWANDTAGALPTAAKDGTAVTKESNKPQKNVPTSKDDSASEDDSDEEMTDDSSSEDASEELQTKSKQDNDMTDMDFFKSKIKKDWSDSESEDEDSGDHSNTTSDDEKSSDESSDSDEKSQSVDLKGKLNKKNTGDNDTCVEHTDFQEMEDPDNKESEDLDDTQRETKYHEDKEEDDEAALISDEKKLALETGRLFICNLPYATTEEDLVELCSQYGDVQQTHIVVDKTTKLSTGRGYVLFSLPDSAVRALDELNNSSFQGRLLRVQAAKPLNNKKFESNHVTVEEKTSLKQQKLEQKKASEVGGDMRAWNSFYMRQDTVVENIARKNGISKSELLDREADDLAVRIALGETHVIAETKKYLSRSGVNVSALEELASKRNEKSKRSNHVILVKNLPFSSSEEELAAMFQKHGGLDKIILPPTRVFALVVFVEATEARHAFRKLLYTRYKDTPLYLEWAPENILSPSSTHVDDDENTAVGERIVTKAIVEQTVEGVSAEEIDPDRVESRSVFVKNLNFKTTDESLKQHFSTKLKSGSLKSVKVKKHVKKGKNVSMGFGFVEFDSIETATSVCKDLQGTVLDGHALILQLCHGRKDGQAAKKNDKDKSSTKLLVRNVAFEATEKDLRQLFSPFGQIKSLRLPMKFGSHRGFAFVEYVTKQEAQNALQALASTHLYGRHLVIERAKEGETLEELRARTAAQFVDEHTGFQRLSKKRKQNSLVDEGSVKFSRIVE >Et_4A_034928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7901699:7914008:-1 gene:Et_4A_034928 transcript:Et_4A_034928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADAAVGSGARRWSLRDMTALVTGGSRGIGRAVVEELAALGAAVHTCSRNEEELSERLKEWDAKGFRVTGSVCDVSVREQRERLLSEVADRFGGKLNVLVNNVGTNFTKPTTEYSADDYSFLMATNLESAYHLCQLAHPLLKASGSGSIVLISSVCGVVAVCTGSIYAMTKGAMNQLAKNLACEWAKDNIRTNSVAPWYIKTPLVEGDLSRADYVDNILRQTPLRRVGEPEEISSLVAFLCMPCSSYITGQTISVDGGMTVHGLDGSSSEMAAAEMTGKAIGAPRRWSLHGKTALVTGGTRGIGWAVVEELAALGAAVHTCSRKEAELGERLKEWEAKGFRVTGSVCDVSVREQRESILRDVANRFGGNLNIAPKLDYVNNVGTNVRKPTTEYSADEYSFIMATNLESAYHLCQLAHPLLKSSGSGSIVLLSSVAGVVGICSGTIYDMTKGAMNQLAKNLACEWAKKRQHKNQFCGTLDLAKKELMDSIMSPTPLRRVAEPEEVSSLVAFLCMPGSSYITGQTISVDATAVETSGAAIGAPGRWALHGKTALVTGGTRGIGRATVEELAALGAAVHTCSRNEAELGERLKDWEARGSRVTGSVCDLSMRDQRERLLSEVADRFGGKLDILVNNVGTNIRKPTTEFSAEEYSMLMGTNLESTYHLCQIAHPLLKLSGSGSIVFISSVCGVVAVSSGSAINQLTKNLACEWAKDKIRTNSVAPWYIRTSLTEGLLANKEFVDRIVSRTPLRRVGEPEEISSLVAFLCMPGSSYITGQTISIDGGMSVNGFYPTMD >Et_2B_019238.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19398490:19398579:1 gene:Et_2B_019238 transcript:Et_2B_019238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRQTAEYICILELKVTVLRRLSAIYGV >Et_4A_032195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2377277:2378554:-1 gene:Et_4A_032195 transcript:Et_4A_032195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNAVPLPLPSASSVAATSSTGTHVLKLNGYSQSKLLLDSGVYPNGASSDCRPGSMSLYLVLSGRSEDKVRADFRFSFVRHGKLTVTPHDCIIISKPVTFEGDMCTWGFDNVRTKELLEDAEYFKDDAIIIRCDITMLNKPVVKRRCPDMSELLCDCNDELCVNLHAADTKATAASRKPQSHFVPNHHAPPLPSASAIVVTASTGCHILHVTGYSQLNLLLGNGKYIESAKFKVAGHTWRIRCYPNGDREESTGRISVYLELAGESTNVRTYLQFSLVARGKLTKKPHDTASTRATFLSKNACWGFKDFMTNKELEESEYLNDDSFFVRCDLTVLKNAVRSKVRDLETLQLLCDCNNDLCNNFHVKDKEAAVASRKPSSLKLIRNLLLGCVPVRAQ >Et_7A_050808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11142864:11151506:-1 gene:Et_7A_050808 transcript:Et_7A_050808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLQANELLTGLLLVLVSLLLIKQLRLASSKRRSSSEPSLPCPRGFPVIGNLHQLGALPHNSLAELATRLGAPMMLLRLGSVPTLVVSTADALRAAFQPNDRAMSGRPATYAATRLSYGLQDIVFSHPEGAFWRAARRASLSELLGAPRVRSFRDVREGEAAALVAAIADASRTGSPVNLSAKVMATSNMIVRRVAFGDDGGESIDAGAVLDETQYLLGAFFVADYIPWLGWVDALRGLRRRLDRNFQELDAFYERVIDDHIKKGEVSKEKDLVDVLLRLHGDPAQGGTFSSRSQIKGILTDMFIAGTDTAAATVEWTMTELLRHPDVLVKAQHEVRRVAAGRDMVREADLPGLTYLKQVIREAMRLHPPVPLLVPRETIEPCTVYGCEIPAGTRVLVNAKAIGLDPAAWGADAARFVPERHEEIADLSDHKPWHDSFALVPFGVGRRSCPGVHFATAVVELLLGNLLLCFDWRAPLGEVDVEEEIGLTVHRKNPLMLVAERRCLLLANLLLCFDWRAPLGEVDVEKEIGLTVHRKNPLVLIAEQICMQ >Et_8B_058931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11097789:11121858:1 gene:Et_8B_058931 transcript:Et_8B_058931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGEGASLPSPAGGEDGRRRRVSYFYEPSIGDYYYGQGHPMKPHRIRMAHSLVVHYGLHRLLELSRPYPASEADIRRFHSDDYVSFLASATGNPGALDQRAVKRFNVGEDCPVFDGLFPFCQSSAGGSIGAAIKLNRGDADIAVNWAGGLHHAKKSEASGFCYVNDIVLAILELLKFHRRVLYVDIDVHHGDGVEEAFFTTNRVMTVSFHKYGDFFPGTGHITDVGAGEGKHYALNVPLSDGIDDATFRDLFQCIMKKVMEVYQPEVVVLQCGADSLAGDRLGCFNLSVKGHADCLRFLRSYNVPMMVLGGGGYTIRNVARCWAYETAVAVGVEPDNKLPYNDYYEYFGPDYTLHIQPKNVDNLNTAKDLENIKSMILEHLSRIEHVPSTQFHDRPSDPKAPDEQEEDMEKRPPQRSRLWSGGAYDSDTEDPDNLKSESNDLTANSHMQGRKMNSQRLYRNYSKEREV >Et_8A_056402.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8028589:8028696:-1 gene:Et_8A_056402 transcript:Et_8A_056402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMIDLVELSEEQDTVKWVFESSGKFSTSSICIST >Et_2A_017457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34541916:34547331:-1 gene:Et_2A_017457 transcript:Et_2A_017457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPPPQLPPPVPPPGAVADPQPQPQPAPDTEPREVMHRTRAVDFLGRRTPIVYQNDNGPCPLLAICNVLLLKNVISLNPDASEVSQQRLLSLVAERLIDSNNSLQDKDEEYVRNREQNVADSIDLLPRLTTGIDVNVMFRKIDDFEFTRERAIFDLLDIPLYHGWIVDPQDTETATAIGSKSYNALASGLAEFKSGKPTEESKHMEEETVDFAAATTAALKIPSPTVSRGRSFDELTLSEPAELQMRRGDREEEEELMRVLNLSKADAETPQSESFRSEAPEMVRETNKEEHGNHGVNDDGSMLQDTNGFANGSDIPGQPQEALTSKEPEDSGMNNMLPEDLLVPVQPSESTPASSLEPVAPSEQPAAPAIGEADKEIRRENSDVEIHGPSTDTEVTCGSSSAACEAAPSHATTVVDGKMDSLDDSETLSSSIQESEPIYQGEEHVLGTGNMAYENQEPVYEGEVVLAEQADKLGKTNDCLEDTATEQQWELIDNFLHSTANQLTVYGLFCLQEGLKERELCVFFRNNHFNTMFKYNGDLYLLATDQGFISQTDLVWQKLDEVNGDGVFLTSSFTPFKAETPRNDSWNEQQAMTSTADYLAQYDNSTLPHSSEKYGLLTCLFLQCFIVQAVYNVLSIDHCNHRSNAPPPQRSESKKEKCIVM >Et_2A_017238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32316476:32321921:1 gene:Et_2A_017238 transcript:Et_2A_017238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKVAVPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDTNPMLRNGETGDWIGTFQGHKGAVWSCCLDKNALRAASASADFSAKVWDALTGDELHSFEHKHIVRACAFSEDTHLLLTGGMEKTLRVFDMNRPDAAPRELDKSPGSVRTVAWLHSDQTILSSCTDMGGVRLWDVRSGKIVQTLETKASVTSAEVSPDGRFITTADGSSVKFWDANHFGLVKSYDMPCTVESASLEPKTGSKFVAGGEDLWVHVFDFFTGEEIACNKGHHGPVHCVRFAPGGESYASGSEDGTIRIWQLSPANADDNEVVNANGKPNAGMNEVTQKIEGFHIPKEEGQTEG >Et_1B_012750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34893926:34900301:1 gene:Et_1B_012750 transcript:Et_1B_012750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHLRLLSAGTSLPAYLPTPAPASLRLPPAPTVAARARLLARSLQARPLPARAVLCRSAVVAREGGDAKDRAAEDAEEAGAAGLWAQVQDIVVFAGPALGLWICGPLMSLIDTMVIGQTSSLQLAALGMFLFTKFFGTQVLTAFAGSGNSEIISSANIYAQIVAAFMMMQNLNNKGFRAFSLTIPSARELLQIFEIAAPVFVTMTSKVMLNILCMCTVWGEPLSQTAQSFMPELIYGANRNLMKARMLLKSLVIIGVVCGVTVGTVGTLVPWLLPSLFTNDHLVIHQMHRILIPYFSALVVTPSVHSLEGTLLAGRDLKYLSQSMGACFCIGTLLLMLLRNKFGSLLGCWWILVLFQWSRFGSALHRLTSPTGVLYNENFNQVEYAKVKAT >Et_9A_062000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18752565:18757933:-1 gene:Et_9A_062000 transcript:Et_9A_062000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVGRVGSLISQGVYSVATPFHPFGGAVDIIAVEQPDGSFRSTPWYVRFGKFQGVLKGAEKVVTITVNGVEANFHMLLDNSGQAYFMRELVPGSEDSTSGSVAEEVINEPEPPARSKSDGELYIGIGDRLGSQELNVEHQEKQTSEEFEAYGYGSLEEAEDVPKQDDGGNSEVVLVSVDGHVLTAPISSTEESMNNVQLSEPQFHLGPGESSSGDFSRSGEVWEAGIVGDIYISQEKVKFDSGHQSRVLEEREELLIEKHESHHISVDEDEALHVSVNENRVLQVSVNENEAHVVSANESEAQVSRSGNNDEGVQTQTFSSEGEYRVVAGNADYQPLTSEDEYRGVSFYNDSGYQTLTSEDESRGVSGNNDPGYQPLTNEDESVGISGDISGYQPLTNEHDNNDEDQQPLTNEDDYCESPVPEKANGCSSPTNKDEVCDLSNENTGMENVGASFSKYDTFKSCLDLTSQIDDGDSGNELFSPESDHQRDSELSFSNRSVVETDLEEDESKTACCDEEELLQEGMDVSTFTSEDNIAKSEGRSSHHGKEPNLSPEESHDKSKNITSAKTESGTNDSLQSSMACSDKDKLGSIPEHSEGEEEPDKEENSQLQKGLGVEISLCGNMLRPGMGRESAEEVFQQHLISEEDFKSSGLSILKNSNLIVKVDNNYFPWSKVSHIILGKAVFGSDFFIEPTDAIPIEQKETPSSRADSLGMSPSSRRWRLWNIPFRISRSLQRSNSDSSEDIFLDSETVLSPMDEQAPEQSKTQSPRKQFVRTLIPTSEQVASLNLKEGQNLVTFSFSTRVLGKQQVEAHIYLWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSQSGVARLFSAIKENGYQLIFLSARAIVQAYLTKNFLFNLKQDGKALPNGPVVISPDGLFPSLFREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELTYKKMGIPKGKIFIINPKGEVAVNSSVDVKSYTSLHTLVNDMFPPTTLVEQEDYNSWNYWKMPLPDVDL >Et_1A_008046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40352099:40358403:-1 gene:Et_1A_008046 transcript:Et_1A_008046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASSLSELGFAADGASPGFFRPVADGASTPTGHRRRLTKISVIGTGNVGMAIAQTILTRDLADEIALVDALADKLRGEMLDLQHAAAFLPRTRLVSDTDAAVTKGSDLVIITAGARQIPGETRLNLLQRNVSLFRKIVPPVAEHSPEALLLIVSNPVDILTYVAWKLSGFPASRVIGSGTNLDSSRFRFLLAEHLDVNAQDVQAYMVGEHGDSSVAVWSSVSVAGMPVLKSLQQSHHSFDEEALEGIRRAVVDSAYEVISLKGYTSWAIGYSVASLAASLLRDQRRIHPVSVLARGFHGIPAENDVFISLPARLGRAGVLGVAEMELTEEEAKKKATSLSELGFDADTASSGFFRAVDGGSTPSAHRRRLTKVSVIGAGNVGMAIAQTILTRDLADEIALVDALADKLRGEMLDLQHAAAFLPRTRLVSDTDPAVTKGSDLVIITAGARQIPGETRLNLLQRNVALFRKIVPPVAAHSPEALLLIVSNPVDILTYVAWKLSGFPVSRVIGSGTNLDSSRFRFLLAEHLEVNAQDVQAYMVIGLKGYTSWAIGYSVANIAATLLRDQRRIHPVSVLATGFHGIADDHEVFLSLPARLGRAGVLGVVDMELTDEETKRLRRSAKTLWENCNLLGL >Et_5B_045404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21195312:21202078:1 gene:Et_5B_045404 transcript:Et_5B_045404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHSGRDMWDVDECQSPRMGSVILGVDGGASNTVCVCIPAAMPFADPLPVLSRTVAGCSNHNSVGEERARETLDRVMTQALLKARRRRSNVCAVCLAVAGVNHPIDQQRMLDWLREIFPSHVKLFVENDAVAALASGTMGKLHGCVLIAGTGTIAYGFTGDGREARAAGAGPVLGDWGSGYGIAAQALTAVVRAYDGRGPETVLTNNILDFLGLASPDELIGWTYEDQSWARIADLLPVVVESAEGGDEVANKILHNSVGELASSVTAVVQRLELGGEDGKHPFPLVMVGKVLEANERWDIGKEVIDCVTKNYPGAYPIHPKVETAVGAALLAWNAIASELDGDLHTTLIHFCFHQIKVFCVTDLHICINEVPLQS >Et_3A_026262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6054082:6057322:-1 gene:Et_3A_026262 transcript:Et_3A_026262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVPPATAAGVGGPGPGPGYPESTESSPRSRGGDSWDDSFPSSAAAAAAAAAGGGRLRLMCSFGGRIVPRPTDKTLCYLGGETRIVAVDRHASLADVHARLSRSLLGGRPFTLKYQLPNEDLDSLISVSTDEDLDNLIDEYDRIAASSSGSGSSRTSRIRLFLFPAKPESSSSLGSLLDDSSKSENWFVDALNSAISGSLDGIPRGISTDSASVNCLLGLEDDSSVHSRTGVPNSAPSEDQRVNQQKLPAAAAAAAGRHPHDVQSVPDSPMLDKNSSFGSTSSAPSLSNLPPIRVRQEDRPQVPPAAVEDHFAQMGISEQQVPPAMAYMQPPQQVPIPAMGVPVAASMSPSEASSRVFSDDDKSDHGGAGRKPQPPKQEAPPVADPNRAVYYNDRSPPSELKRDMPMGTDAGSYRIPVSAPDAAAAVATQPPAGYVYQMHAPPPQQQPPPPQPQQQQQQPAPQQFVTAGNQHFIHNPATGTFIPIQSYYNHPVPQQAPQPVLQQQQQQQQPAYDPNTGMYYIPMRQNAPQQQYSMPPGAQVTLPPPTLVDTTPKPTVPIPQVAVKPELQQPGVYRTAAAAPAPAPNAAPGYAGMGYHHVIQSHHHPAQQSVANMQGNYGYEYADPTRAQVYYSQAAPPPTLPPQYQPMVAPDAGQADMKQNLRTCLDEPDFPDELQNSQAQRQRCAHRHA >Et_3B_031031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10640015:10641709:-1 gene:Et_3B_031031 transcript:Et_3B_031031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKQKHKHKKVKLAVLQFYKVDDASGKVTRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVFNKEADLVFLGFDMF >Et_2B_022559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2668890:2669365:1 gene:Et_2B_022559 transcript:Et_2B_022559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDVRLPPQVAYTDGDMLQLDAARRNFSHIEAHMADIGGVVDMLVADIDALAGHVRTLRRLRAQGLSAPAAADLVALLPQVEENFGRLAALVRRVQAIRGSIVEIGSARIGGGGGGEGVAVDALAAGLQRIRIDFIVKQM >Et_10B_003518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2284498:2290496:1 gene:Et_10B_003518 transcript:Et_10B_003518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGEGGAPPAAAALKDQGNEQFKSGNYLKAAALYTQAIKLDPDNATLYSNRAAAFLQLVKLSKALADAKTTVKLKPQWEKGYFRKGCVLEAMERYEEAISAFQVALQHNPQNTEVSRKIKRLTQLAREKKRALDVESMRSNVDIGKNLESLKTELVAKYGDAGTGQTVFSFVVNVIESAIKDCHDTGKVDPKVNFILDDQKTDTEKYAPVVNIDKAFESPHTHSNCFTFLRQYSEDSFAKAACMVAPKSIISYPQVWKGQGSRKWKLDQSDGFFVQFESPVLRKIWFVPCTTEKGRTLCSLEDKKLATEGGQLSTYNSRNNGILPALRQEFHHWKTRLIPPFVYSSGELNNSNLSVGVTGTPSSHSPSSSPLSILPSGDEKSSCIPCITSSYDSSRSCEVNWWYSTNCIRLWFSLVRLAFSCASRDFSARRASNCNLTCTRC >Et_10A_000325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23102776:23105163:1 gene:Et_10A_000325 transcript:Et_10A_000325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DARDVHSARVKIFDLIRRNRDKKVFYFHGWGGFGGAPVLRSIKSPPELCFDRIIYIDCSAWKSRREMQKKIAEELELDLETMAMFDKQDEEDDFNGVNHGSGDVLRSVSTVIDQTFVHQKLIMIFLNGGEDEVDARRFGINPEYRDHTILWTFKRQYLTIHNYIHQDKTKHKLRYTDFFVSTRWMADDLTCSEFCAMLREEAANIVARHPCMLDINDLTMVTECCLYELFMQYTFQKAIRFAWAAHAPNYWMCDGIIKDDGKREISRSILHQVFEKLMEDPGAPFSLVEDTTSFLKRSPCRWICVTSNIITGIHADIKARFGRATSLFVALNKSDAPPPCLPNGFLKHCCNLGVLALSHCAFSFLSPPFLLCHKLRFLGLDHCTHDKTSEAEDNTNWTCLQNLWVLDLRYTEWDDILSEEKMDNMANLSELNIEGSLCWQLTTRLHGRLPYLQRLRIIKPTRMAETSTEFSYSFMDKTELEILDLSGNRDMEKLSIRSSMARSLRMLILDGCDGLEDVVVPDGLLSSLRLVSFDGYGPATSWVLSFELLPLQSFEPERPRYYENRRVVKTSKTSLQGCKQLENLFVRGLTNLEELDLSGSAIKRLFLLGCEHLSAIKWGSFDLLSLKLLCIDTRHRRVPGFTRPSLTQHKSFSLQLHATLADARLVRSLYGLIEHYNYHKWGIYYFNIQVTSSIDHGGGVPLDTTGREMIQIQPSNMQCHVLVSLYGDVFSKIRDASMSVFPQPPTERLNRRDLW >Et_8A_056468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10519995:10521379:1 gene:Et_8A_056468 transcript:Et_8A_056468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASLLPASAAGALTRPATPSPRHQLSVPASSSRRDISRGCTLVGAGNRRAPTVRRNAAETTVTPYVPGSGKYLAPDYLVVRRATPPSLKFWRLLLPAREPDDRLCFLCSLLQKKVSAKELEELVRAERKVPLIVDFYATWCGPCVQMAQDIEMLAVEYEDNALFVKVDTDDEYEFARDMQVRGLPTLYFFSPDQSKDAIRTEGLIPIDMMRNIIDNEL >Et_6A_048044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4350629:4352301:1 gene:Et_6A_048044 transcript:Et_6A_048044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSMTQLMELNVAGEGPLDMDLVQHRWLHNIRKLREASCNLMATTEGRRKRYLGELRIDTTQQEGTTATSDNTAGREFDCHISVGDERILGSLESVKDYFGTNNAHVDISTPPNPCADVAASCKDDGRMTSSSGQQAIVARNLKLLEDNAIYADVPVSINNEGDCDAPPLVSAQGCYVHIEDQLRPKLQGHRTIAVQGFICDGAKTLHIHDSLHITSILAAPSPASATWNQLEWCRVERCLKLGCVFSMESDMEMFKKLRTAWVSHLPYARCIWRWGYQVSPLQNSTFANLTLLHLDCCPRLMRIMHFPTAGKDFTSLETLEIMWCGDLSMAFDTFGSSRRMFPKLKHIHLHELPKLQVIHRIGRWVGHWVWAAELETIKIRGCWNLRTLPVVDSKNLVECDCEKEWWERLEWESAEHASKYKPTHPRYYKKIMLKGSMLR >Et_6A_047910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2436549:2451376:-1 gene:Et_6A_047910 transcript:Et_6A_047910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDTDSLVALSDSSYDTDLAASSDSDIDSSDIEFDPDDEIIDDDDEENIPEFSYDVDDPCIDVGVIFPDSDQCKEAVTHHAILNDHAVRSIKKDNDRFRAVCMKADKGCKWQFFASGSKKKYTGLKAFFLVASKRSTMRRPLHKQYFPTTNAYGYKQREAWCRAIQIADEKAVRESRATCLPQSPASV >Et_5A_040427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21469446:21469859:1 gene:Et_5A_040427 transcript:Et_5A_040427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLGRAAVPVKRVWRGLSARLRRRRTTGLGRLRKDVRTCEYHDVHVMWEMLSSNGTGGSAAGKGAAAAARRARKGRKPAPWSRLVAYCCAF >Et_4A_033379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21999265:22000392:-1 gene:Et_4A_033379 transcript:Et_4A_033379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFKADNMRKFKASIFLILLVIAPATSAARDPYDANDDTSSLSAATHLDHAAPARTARRCHYYVSGFINWSGRKFSFDDAKFQIPGSTRLTFGGDYASLVGLDDGGSAWPNLIGLDLSRISIYIGRDKSPAQRFPHNRELVNKAWTIEGADSKLDAKGAFLIVNWKRISCALLIWSNNKDRWDSTEAKELATGMLKITTPEEAQAAIWPVLRAKTCSDDYVPATKTGKGRKPRMY >Et_3B_028465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15727969:15734597:1 gene:Et_3B_028465 transcript:Et_3B_028465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAEDATTPRPTPPPARGAAGGGRVMLQSPPPAFPLGSNDDQLERARARAAARAASVRRRSLAASIAPPSVAPQHNLLNRDQVMDLFHNCIKLASENKINQKNTWELGLIDHLSEIIQAGEEEDDETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGRGEEADVEAGGNAELSQEEGVSKKDADRRISPASTLESSFEALNVKKFDVAFTVDPLYHQTTAQFDEGGAKGLLLYNLGVYGSCHVLFDSFEAPDRFILSNMQTEKSEMIDISFAKEQIEEMVAQMPLCEDISPTLRDIVAQFDEENQRPSHEVSSGQMPMMEDQMVDDNGPENNDSMQPDAGTWDFGACDDHEDAYDDNCNLMDSNSANYPEEFNEYNVEIPPGPVIDERLEKIAGLLLLGMGSSKTNAWAGPEHWKFRKAKDLEAVPTSSGESEMPSKVKKKRGKDEPDIDFTKALEHELPNILAPPKNPKSLLLPANKAICSNKLPEDCHYRPESLVKLFILPDVLCLARRRRKSTDDPVDNNEDFVPSGSWDDDNFCADNVNEGHSYSDVEEPVSLINKPRQVNKIDIQYDKVSKQVDVHALKEVLWNHIHTSSETDDQEREKVEPSLRLSQVLHDLPSSNPDAAATEISPHLYFICLLHLANEHGLTLRDRPTLDEIDIYVPTSPLVK >Et_4A_034047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29454956:29457435:1 gene:Et_4A_034047 transcript:Et_4A_034047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDRSVAPSPEGLRQPGAAGDGSAAGLVDLFREARPEAVTVNLGGAAAMAYSSRDQSPLLPRLFGSVEDVFCLFRGTIENVAVLKQQYGLSKGANEVNIIIEAYRTLRDRGPYPADQVVRDLGGKFAFVLYDCSTKSAFMAADANEGGVPFYWGVDSDDRLVVSDDTEIVKKACGKSFAPFPRGFFFSTSGGLRSYEHPLNEVKPVPRVDSKGEVCGVTYTVDAKAKKDTNIPRVGSAADWSSQY >Et_7B_054182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16723463:16725081:1 gene:Et_7B_054182 transcript:Et_7B_054182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFRAGGYEGDVTREFFGAYSYADDGSRQHPSNEGASRLDLGVLDLNTDGYPPVGGVNDMYPGMPAFPRPSPWGRGSSSGYEGCEGVHHEPWRTMSGGGGASGGGGAHGYGVPAGAGGGGGCAGGLRGAGGSGHARGSGAGDADGGCLHRVGRGGGGSGGGGSGGGGSGGTGVGARGRRRSAGRGAAVGRRRGRDASVRAASSQDEDDEENVDSGEDNVGLAAWTDKRNGQFMNGQMVQQFFFEKTGLKRSKDQLRNKLCAIKGLYVLCKKVRSSTGLGCRPGNGWPTASDEWWNKMLKGQTWSEAQNLEHGPPPYLEQMHEVFHNTTVDGNTVYKLGQEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEGDDEDVAFAPHIDLDSRPATSGSRKRGSSTNTIGSSPAKRRKSKSPLINTLRDIASTNKTRCCEQVGLHGKTS >Et_6A_048156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:824059:825041:-1 gene:Et_6A_048156 transcript:Et_6A_048156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHHGVHNWKVIARYLPGRVGKQCRERWINHLRPDIKQSDFWTEDDDKMLIEAHIYHGNRWSVIAGHLPGRSENAIKNHRNATLRSLKAKRRQKKKKSEQTPPGRFSILESYIRSIYQLNDAVPAAPPPSSASPPHNLLRNPVVHIPTSSVPTNLEMSFHASNSVVGLSNPRMINLNMLPPPDMNAVNDPRL >Et_2B_022525.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24569902:24573084:-1 gene:Et_2B_022525 transcript:Et_2B_022525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLNLPCRSSTNTKPPVQFFVLAFVLLLSFASRTSSCTEQERSSLIDFLQGLLQDGDGGLRTSWLNNTDCCQWPGVSCSSNGVVTDVLLPAKGLKGSISPSLSNLKGLLHLNLSHNSLDGSLPMELVLSGSIIILDVSYNRLSGPLQERQSSNPSLTLQVLNISSNSFIGQLPSTTLEVMKNLVALNASNNSFTGPLPSSICNHAPSFAMLDLCYNAFSGIISPEFGNCSMLKVFKAGHNNLNGALPQELFSATSLEHLSFPNNGLQGILDGPSLVKLINLTVLDLGSTGISGEMPDSIGQLRRLEELHLDNNRISGELPSALGNCTSLRYITLRNNSFKGDLSIVNFSQMDLRIADFSVNNFTGTVPESIYACRNLIALRLAYNSFHGQISPRIGNLQSLSFLSITNNSFTNITYALKQLKNCKNLSSLLIGTNFKGETIPQDEAIDGFQKLQVLTIDACPLFGKIPLWISRLTKLELLDLSVNHLTGPIPSWINGLQLLFYLELSSNRLTGNIPTTLMEMPMLQSENNSARLDPKYLELPVFWTPSRQYRMLNAFPNVLNLGNNNFTGVIPPEIGQLKVLNVLNLSSNSFYGQIPPGISNLRSLQVLDLSNNQLTGEIPQALSGLHFLSIFNVSNNNLEGPVPHGGQFDTFTPSSYIGNPKLCGLNLSIHCGLDGTPSSHMKRGKKMTIVALALGVFFGGLAILFLLARLLWFIRNTKPASRNKGGNNRGIEATSFNSISGHRGDMIRGSILVMVPQGKGESNNLTFSDILKATNNFDQQNIIGCGGNGLVYRAELPCGSKLAIKKLNGEMCLMEREFTAEVEALSMAQHENLVPLWGYCIQGNSRLLIYSFMENGSLDDWLHNRDDANSFLDWPIRLKIAQGAGRGLSYIHNTCKPHIVHRDIKSSNILLDREFNAYVADFGLARLILPYDTHVTTELVGTLGYIPPEYGQAWVATLRGDIYSFGVVLLELLTGKRPVQVLTKSKELVQWVREMRSQGKEIDILDPLLRERGHEEQMLKVLEVACKCINQNPCLRPTIQDVVHCLENVHVNQQVQT >Et_4A_032325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30061719:30062398:1 gene:Et_4A_032325 transcript:Et_4A_032325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGESGVQIADAASSSSSAGKGAAQNVVTCLYQTQFSGRPCVISVTWCKSLMGQGLTVGVDDLSGHSLCKADIKPWLFSKKKGSKSLDVEDGKIEIFWDLSGAKFGAGPEPLEGFYVAVVFDLELVLLLGDMKKDAYRKTGANRPMLNAAFVARKEHIYGEAPSMEIQGKSDNSC >Et_10A_002259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5892332:5894160:-1 gene:Et_10A_002259 transcript:Et_10A_002259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDAVPWMIGKRCGSVAAWAAALALAVSVASFAPEAAFGGTVRVPLDGGGDHVCVPAQTYSRTAGVRGARRRRLGVSFGRSPSAVSMRSTSPSLGADRGLKRLAPDRGNHRASRRLVKRSHHVRSRGHKITTTVDIYET >Et_2A_016544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25888398:25889808:1 gene:Et_2A_016544 transcript:Et_2A_016544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYQSLTPNSQKPRSANRGGFVSKGACPWLVCACLSLAILHIFCCSPAGTQHAVFASVLQYMNNTYSFVSSVPGEGRRSCNYSEGQWVRAPGHARRYNGTLCNVKESHDCIRNGRPDTGYLDWRWQPAECPLPAFDAEAFLSATRGKHVAFIGDSMARNQANSLVCLLSTSSSSSSASYPYRLLHGGPDPNNQHFWRYAFPSHDVTVSFYWAPFLVRATGKVEDYSVRYNHVRLDAPGDRWAADAETIDVAVLAAGHWLLNGAVYYNGSEVVGAHNAPELNQTSVGYAWPLRMAYRKAVERLVISSGHRPRTVVLATVSPTHFEGRQMDSPTACTKMEPYKKGEKDLDWIYRELRDVVYEEAKAAKENKASSAATARIEVLDVTTLAAMRPDGHPGAYMNRDPFANGVPEKISSDCLHFCLPGPVDTFNEVFLQILLNKRR >Et_5A_042088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6094590:6100466:-1 gene:Et_5A_042088 transcript:Et_5A_042088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRREPRDQAGELRNPTVVVPTRHTVLDHHASWSCPRFRADPAPLPSKRLSSRKPEPNFRARCAGVCVSAEARRHGRKQRWPRERTAAGQGGEMIKNAVASLMVRVHLHHLPRAAPAPTYRRLLPVPRLRSTASASVPAAAMSTAAAQAVADQKRALRTEVRRALKALSPDQRASEDLAIQSTILNSSWFKASKRLCAYISCYQLREVDTSKILAEVLPPNPGQEEQAKDLYVPRVEDKNRNMRMLKITTMDDLVKNSMNILEPSPMDANGNGREDVLSASSPVDLFLLPGQAFDKTGRRLGRGGGYYDTFLMKYQELAKEKGWSQPLLVGLSYSVQILEDGSIPINSTDVPIDALVTSSGIIPISSSAFERMP >Et_9A_062742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:420542:425873:-1 gene:Et_9A_062742 transcript:Et_9A_062742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLSSSPSPLLRRRFLSLTATPYPLYYDLIVHRPADPKPPKSSSADAGADRPPAPDEQEQPLDRAKRRYLRKRRSRLLPDPDATTGTKPSSSSNEFVELRPEVVDFPRLHAREEALYFHDAFAMPWEKDKHYRMLYRLEKKYFPHQSLDNAFVPADAGPTSDADRGLVFFDDEKKDEDGEDRVAKKKDDDDSGKGEVLERKVEDFFRSLKKGPGEAQAKAKKTVGGEPPRQTKREIPREQERPQPYLVTRTTELPPRWDGPAGTVVLIDKPKGWTSFTVCGKLRRLVKVQKVGHAGTLDPMATGLLIVCVGKATKIVDSYQGMVKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIRKAVASFKGEIWQVPPMFSAIKVGGEKMYDKARRGETVELSPRRISIYKFDIERSLEDRQNLIFRVTCSKGTYIRSLCADLGKALGSCAHLTALRRDSIGEYSVNDAWNFNELEEQITKGYLHNRSHELDNSMIITTRGTSHFGIIEHKPLLDAGVIIPSNSPRTSSIAIVASTARFTTPHKTSTVLSLVAIDIVSTSHSIDGPLMPLIIEIPLLNCRSCP >Et_8B_060607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3126629:3127664:-1 gene:Et_8B_060607 transcript:Et_8B_060607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDKREQRSSSSKKARVESTQVQVKQEVEEGEGGLGGGALVAAEEAAAPREVVEAAAPREELAVKIYKDKLHCPVCTLPLKPPIFECESGHIVCGACHDQLPKDKKCYACGLDGAYRRNATLEAVVGWFRILCPYAEYGCRTHVTYHAAGDHLLACPWAPCRCPDPDCPFLGSPPMIRAHLAAAPHSWPVEEIRYGAAKNISLPASQPRCLLVAAAEEDDEGGRVFLLSTRALGSSSSYRGASVVCVRANAAGGPAYTCSMWATGRKSAVSGRAEVVMVQAMEVPSSAAPGEDDTAEEAMRLVVRTRICTGRPW >Et_4B_036614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:38388:42380:-1 gene:Et_4B_036614 transcript:Et_4B_036614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLLALFATAAAVQASSLPFIVLHGIGDQCANHGVAKFTELLAEWSAADGYCLEVGRGTWDSWVMPLQQQVKEMKELSNGYNIVGLSQGNLIGRAVVEYCDDGPPVKNFISLGGPHAGTASVPLCGVMVITFIDSEFSWNNLRYDELQAHLAPSGYLKIPTDMADYLKSCRFLPKLNNEIPGERNATYKERFTSIENLVLIMFQDDAVLIPRETAWFGYYPDGAFEPVLAPQKTKLYLEDWIGLKTLDEAGRVKFISVPGGHLGISKGDMKKYMVPYLTEKASTTIPRSISDVLGAARRALDVQESDAPLLGSWSW >Et_1B_009839.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:3279519:3279977:1 gene:Et_1B_009839 transcript:Et_1B_009839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEIWGRERPKSRSWAMRGVSVLSTLEQRRSGSLAIMAATSALERPMSARKARTASGLAGLLRCWICLDALKACAGVRPQLETRKSAATKPGREGVAAVVERRRWWREEAARTPERKWFRRCRSIAACGGRRRGRRVRVWQGRWADAAQSL >Et_5A_042158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6945788:6947827:1 gene:Et_5A_042158 transcript:Et_5A_042158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLPLAARPPAPSRDASHSCFPVAWGKSACCTGRLKQERSGRAAHFAVAAAAGTGRVAAPFLAAALLIAAAPPGLPASILPAFAQPASEGATLFRKACIGCHDMGGNILQPTEAGRRICCRNGVATEEELYNITYYGKGRMPGFGEKCTPRGQCTFGPRLSEDDIKLLASFVKSQAENGWPKIEGGED >Et_10A_001862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9235642:9236172:-1 gene:Et_10A_001862 transcript:Et_10A_001862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLTLFLLQKFASILGSSGLNAISTGLGKEASILLDHKYQDIFLLAKAHKKNAFEVEDIVDEYACLLRKIEASESIMWKQIRFSRNVKTWHRVASQLKHVKVRLQTLTIMKERYGIKISDYSQTYSAQNVSRHIVCLIYPTYMMMMQ >Et_7A_050198.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:10956687:10957697:1 gene:Et_7A_050198 transcript:Et_7A_050198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYARFAARRLRPGLPRLAAFLPVLAALPFLPLAFRALHPRLISGFFLAWVAEFRLLLLAAGRGPLHLSLPLPAFVGVATFPVTLRDPNPGGVKSGGPRSGIGPVAAAVMAALLAAVVSLYQYREGMSEYLLLTLYSLHVYLALELVLAAAAASARAALGLDLEPQFDRPYLSASLRDFWGRRWNRSVSALLRQCVHRPVRARLAGVGHAGAAAIGMLASFAVSGLMHEAMFSYATLRPPTGEAAAFFALHGACAASEGWWAAHAGWPRPPRAVATTLTLAFVLVTAFWLFFPPITRPGADKQVIAESEAVVAFVRDAVSWAWAVASPGSLVSSRS >Et_8A_057125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21392250:21393545:1 gene:Et_8A_057125 transcript:Et_8A_057125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKGVVLATAMVLAMAVAAAQGIVLTDKDVESEESLRSLYERWRGVHTVSLDLAEKESKFEAFKANARYIHDFNKKKDVPYKLGLNKFSDLTLEEFTSTYTGAKLEPDAATKRMAARGKQPPLVAAGDAPSAWDWRDNEAVTPVKDQGQCGSCWAFSMVGAVEGINAIATGNLLTLSEQQVLDCSGHGNCNGGYTYDSFYYVQNTGLTMDQCGNPPYYPGYQAEQQTCRFDPNKPPVVKIDAVYYGSYAYEADLKEEVFKQPVSVLIDATSSGFQSYREGVFTGPCEIGQNHAVLIVGYGATADGTKYWIVKNSWGEWWGENGYIRMLRDTDDMRGLCGIAMYPMYPIKNGAGAGAAMAAY >Et_4B_035988.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:10910158:10913617:1 gene:Et_4B_035988 transcript:Et_4B_035988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPCAAKICASSGRHVATKQVRQDSDNYSVATSVSNGVPPPNKDQASTGVAMDGSGIADAPSTEHDSKKSAMEVENTENKDHQNFEGHNGNSSQEGPADLAQSTANGAQLDYGNVESRSVEQCAEDSEARKESENEDSECRLNSSESNILSAEKSKVTIGIQDSEQKEAEETEHTVNKKSYLVRVQSRSCDLRASTNSLDFHSARISLQSQSFRASEPLQSKIMNSVDELKDDLSELFSKPSDCKPRAHPPHPKQDGHMPRPAITSSAPLAAYHPATRHSGHATRLSRSGHVAPRGLPLPRYRRRPEHRAYSGYPIEQVEMRPCRHDCCHSCRPPCYRSCKQETAMHMPPVKEIKRRPPPKHHRRPVLRGAPFVICSNCLKLVQLPTDFGVPTKGTRRLQCGSCSEVLSYSYRDPNRKKLQSPFGGDEYSTDDYEIQHATDDQKAGYSQADPVSYSEEYGLSFGVSYSTSTEDGQPLYVSRNSSFNTIDGRMANDGKLHRLMGYSSASELLRHSPDLFESFDGRTPDSRALDRKGKGVCNDSGEQDAGAVKRSKAKSGGLALQGILKKGIRLESLKLR >Et_3B_030321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32205259:32207570:1 gene:Et_3B_030321 transcript:Et_3B_030321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFSFSLDEPSSGSKRPYRWQRVLLKVSGEALAGDHTENIDPNVTMAIAREVASVTKLGVEVAIVVGGGNIFRGATRAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDADPKHNPNASLLETVSYHEVTSRDLSVMDMTAITLCQENNIPVVVFNLQKPGNIAKAIIGEKVGTFIGCTRNQEQNGTALGQERRLVNEV >Et_2A_016911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29347771:29351196:1 gene:Et_2A_016911 transcript:Et_2A_016911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMGLASLRLLAVVLASLTSSLLLVRGDDPYRFYNWNITFGDIYPLGVKQQGILINGQFPGPQIDAVTNDNIIVNVFNNLPVPFLLSWQGIQQRRSSWQDGVYGTNCPIPPGGNFTYNMQFKDQIGSFYYFPSLDFHKAAGGYGGIRVLSRPRIPVPFDPPAGDFTILTGDWFKLNHTDLKGILDIGNDLPMPDGLLINGQGWNGNRFTVDQGKTYRFRVSNVGIATSVNIRIQGHSMLLVEVEGSHSMQTTYTSIDIHLGQSYSFLVTADQPPADYSIIVSTRFTTPVLTSSAILHYSNANGASTVPLPPAPTVEIDYSLNQARSIRWNLTASGPRPNPQGSYHYGLVNTTRTIRLANSRATINGKLRYAVNSVSFIPADTPLKVADFYNIQGVFTLGSMPDNPSGGGAYLQTSVMAANMREYVEIIFENAENFVQSWHIDGYAFWVVGMDGGQWTPASRQGYNLRDAIARYTLQVYPQSWTAIYMPLDNVGMWNIRSESWARQYLGQQFYLRVYSPANSWRDENPIPKNALLCGRASGRRTRPL >Et_1B_013117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5621986:5624758:-1 gene:Et_1B_013117 transcript:Et_1B_013117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVDALCAAPSSDAALIYDTFHAASAASFLFDNAAAAAFCDAGIAVRPAPAQHAAEAPVVASAAAAAPGDVDATSSAPRRKRRRRTRSCKSKEEAESQRMTHIAVERNRRRQMNEYLAMLRSLMPETYVQRVRYTTTRRPAAARLSRSHSGDQASIVGGAIEFVKELEQQLQSLEAQKRTLVHQNKARSDATMPMHTSCANAAAAATAPACVDSATTTTTTSNCSSSVTEDASDAPPFAGFFTYPQYVWCHSPRDSTAATTMIPGESGGGGRSGVADVEVNLVETHASVRVMTRRRPGQLLRMVTGLQALRLTVLHLTVTTLDALALYSLSVKVEDECGLTTVEDIAAAVHHVLCIIDAEATAQQLLAVGQ >Et_6B_048783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13634759:13647348:1 gene:Et_6B_048783 transcript:Et_6B_048783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYTAPSSFLLLATCSFLLITHARSCIPHEKDALLDFKPGIASNNIKILASWRPEQDCCRWRGVTCSSGHVVKLDLNRSTLVGQISPSLLSLEYLEYLDLSETNLRGPYGSVPEFLGSMINLRHLDLSFIHFSGELPPWLGNLSKLEFLELSYTTLTGKVPPQIGNLSNLQYLGLGVMYKVYSTDISWLSQLQLLDMKGLQYIEVDGNSITGAIPSGIWNLTAFTYLDLSYNNITGAIPGGIWNLTGLEYLILNNNHLNGHVPGTVGLLHNLTYLDLSYNNLDGGITEQHFATLNSLTYIDISYNSFKVLVTSEWLPPFRLREGYFAFCQMGPLFPTWLKLLIHIRELDLSNTSIIDNIPDWFFITLQRAIYLDLSRNKISGTLPYNMRAMPLQELYINSNNITGPIPVLPTGLHKLDVSQNSLSGSLPLEFGHNSIVEMILYSNYFTGHIPRSICDLQHLLVLDLSNNSLDGELPPCFGMPKIVFLLLSKNNLSGTLPASLQSCSSLAFFDLAWNKFSGILTFWIGHLEYLRFLQLSHNMFYGDIPATIMNLKRLRHLSLADNILSGAIPWSLSNLSAMVHKHSRRPGIDMSVWYSDEIGKFTDVLSVVLKQQELMYGVGIFEMVGIDFSFNRLTGRIPEQITTLSGLLNLNLSWNQLDGSIPEKIGNMKYVESLDLSRNSLSGKIPQSLSDLTYLSYLDLSFNNLSGTIPSGRQLDTLYTENPNMYDGNSSLCGPPLRRNCPGNNAPEKGNQNTHEKDYDLLFYYVGIGSGYIGCLWVLFCILLFQKRWSVAYFGLIDQDTGVAAV >Et_6A_047384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4914914:4921662:1 gene:Et_6A_047384 transcript:Et_6A_047384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVDVLTEAKVRFCTCSFYFSKEQEEVNIPSKMGNDFSKEQEEFNIASEKQKQEEFNKFIRVLENPHATPMEVSLDFLKDITSDFSEEKVLGRGGFGVVYKGVLQNGKLVAVKRLKIVQTNLGDVEYQKELKNLFRLQHENIVQLKGYCAYSSQVPWLKSQSQGRDEWVMAESRERVLIFTHVSNKSLREHISDASCGREWHVRYEIIQGIFSGLHYLHANHAMAHMDLKPENILLDDNMVPKIADFGTSRLFVENESRIITTSRVGSLGYTAPEYTNQGLISKEADIFSLGVIIQELLTGSRFHDSQNENKAKFKKDVVGKWWIRLPDARCSQQVSTCISIALKCVDTNRKRRPTTENIIKQLNEFQDPTTSPLWMQATGNIATGVMALVLDVLMYPSSSWLWAVMILMVLSTVAVTFFGTGFAAVVVLTLYQQLCSSSIPWVILCAIGAVLALFLILLNPSSWPWVIVALKISTTAITLCGIGVAVVLVIFLLDPGSLWSWLVIMTQKKVSAVVLVTATVTLCGIGVVVVVLIALLQ >Et_1A_009506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6986440:6989541:1 gene:Et_1A_009506 transcript:Et_1A_009506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTNAAFCIAVRNYGPDSVIFPGYGIRGAAMINGEFYKNLVKEVDITNPWGSQDIIDLKKGYLKHAACDGTRFELVVHYMTFYVATCQGLIGGSIQSFLVFTHLE >Et_5B_043427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10245243:10256012:1 gene:Et_5B_043427 transcript:Et_5B_043427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCRHCRSEQKGCCAGGRLRRKRSRIDGVDRISALPDDLLLHILARLDCARAAAHTSLLARRWRGLWTLLPELDFHHIGPDEIDVALARSAHPSLSVLCIRDLCWHHNFLIESASLPCFDRVTSITLDVYRARFVLPPAGCLPALESLSLRNCDVDLEDLLPRCSRLRKLRIVPRAWEHESLKVRSPTLKELDVYIGKAYVKVRCVDIVTPKLEKLMIVACFTGADDGFTLSHSVPSVKEVHWERWGLSDACSFGVRWLLFHLTLKPAKTTPGNNHFELQQGPGAVHTLSLSIRHSSWSFGQDDKDFGLTMSQIPITKFQVLEMVIETDGHVYGAMVLYLLGMCTFIQKLTLKIHQAIIHLFVSKECSVKCPCDEPNNWRRQSISLVDLKEVEIHGFKGQGHEADLLKAIVRGPTMLDRVAVYLSNTISPSNNGCMDSISKENHSVKWPKEKKKGFNTLLILVCWAI >Et_2A_017085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30824857:30834748:-1 gene:Et_2A_017085 transcript:Et_2A_017085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVCEGKDYSFPAQELWVLDLWKKLDAFQEQLRRTEGGEEFVFYDGPPFATGLPHYGHILAGTIKDVVTRFRSMLGYHVARRFGWDCHGLPVEFEIDKALGITNRQQVLDLGIAKYNETCRSIVTKYVSEWEAVITRSGRWIDFKNDYKTMDISFMESIWWVFAQLWEKDLVYKGFKVMPYSTGCKTALSNFEAAMDYRTVPDPAVMVSFPIVGDPDNAALVAWTTTPWTLPSNLALCVNANLVYAKVKDKSSGAVYVVAESRLSQLPVKAKASGKKQQSSKGSNTEAVPDGLDKESYDLLAKSPGSSLVGLRYTPLFDFFLDFQDTAFRVIADNYVTDDSGTGVVHCAPAFGEDDHRVCLAAGIIEAARLVVAVDDDGCFTEKVSEFKGRHVKEADKDIISADKGRLVSKGSIEHSYPFCWRSGTPLIYRAVPSWFVKVEKIKDQLLECNKETYWVPDYVKEKRFHNWLEGARDWAISRSRFWGTPLPLWISQDGEEIVVMDSIEKLEKLSGVKVTDLHRHYVDDITIPSRRGPEYGVLKRVEDVFDCWFESGSMPYAYIHYPFENRELFEKNFPGNFVAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKKNYPSPTELLDEYGAVKDVFLPWYNAYRFLVQNAKRLEVEGLAVFSPIDQAALLKSSNVLDHWINSATESLVSFVRQEMDAYRLYTVVPYLVKYIDNLTNIYVRFNRKRLKGRTGEEDCRISLSTLYHALVTTCVTMAPFTPFFTEVLYQNLRKVSSNTEDSIHFCKFPSTTGERDERVEQSVNRMMTIIDLARNIRERHNKALKTPLKEMVVVHPDNEFLEDITGKLKEYVMEEMNVKTVTPCNDPLIYASLRAEPNFRLGKDMGKVSSEVKKMTQEQILSFEKSGEVSFFGHCLKLDDIKIVRQFKRPENVSEKEIDAAGDGDVLVILDLRADQSLIEAGVAREVVNRIQKLRKTAQLEPTDPVDVYYKSLDDNKDTLQEILKSQDQCIRNSMGSSLVPKEMASPDVVVICEESHSVNDMSFVIYIARSSPVLSSDILPYASGSSDHVEALRVYLMSRSISRLKAEFQAGNGMITVDCIEGYPPISLQLGKHVFLSAGDFYVARQS >Et_1A_006769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27438540:27440598:1 gene:Et_1A_006769 transcript:Et_1A_006769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASLRLAVVGAGAAGLAAARELRREGHAPVIFEHAAAVGGTWLYTPPATAADPLGAAATHSSLYASLRTNLPREVMGFLDFPFAVASRDYSADPRRFPGHEEVLRYLEAFAQRFDLHRLVRFETEVMRVRREHGGKWAVASRKLGDKGSGEEEVYDAVVVCNGHYTEPRVAVIPGVDSWPGKQMHSHNYREPEPFLDQVVIIIGASASAVDISRDIASVAKEVHIADRSSPASTCEKQPGYDNLWLHSMIDLAQVDGSVVFKDGSSVKADVIMHCTGYLYDFPFLGDDSTITVDDNRIDPLFKHVFPPEVAPQLSFIGIPWKVIPFPLFELQSKWVAGVLSGRIKLPSKDEMMEDVKAFYLSLEARGWPKRYTHNFSNYQFEYDDWLAEQCGHPPIEEWRKLMYAANAKNKAARPESYRDEWDDDHLVAEANEDLKKYL >Et_10A_002071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21357196:21357703:1 gene:Et_10A_002071 transcript:Et_10A_002071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVLTGLKGYIWRDGQITSTSARGCRYDGAWEDARPKGQGTFRWADGSMYYPSSGGPPVPASAHEPCDAFTALLRELEVSEGKTASLMPWQKVLTWHGRSSISSDVDGLVVEGEDGGEETRTDRSCLRTPSCMRTPPPKPGKKQGETTSKGHKN >Et_9B_065041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20777191:20778120:-1 gene:Et_9B_065041 transcript:Et_9B_065041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQWGSTWSTHRPDPCIEHNDQTCGPITRLRAPQPAVGTTDAAPRRAAPSQTAVGEEGSAMSSRFWRWYADRQFHKWEKTVLWDMVEPYRPPRSFAPLVGTYVAAFYTGVVGAAVTEQLYKEKYWEDHPGEAVPIMPPKFYWGPWRVVNGEVPRFIQQPDEAKAA >Et_3A_024261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1980432:1982296:-1 gene:Et_3A_024261 transcript:Et_3A_024261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTEAPHPPTIRDVIVGIDDFNDGYIVEEDEEDDEEEDEWDMSKRMSRLSMEGSDGGDADDENDGYRRHGCVDVEEEEEEDDEFEVRSDVNGATSYRPWPPSFDDDVGPAARDGLVPASASLPGTPDRGAQAASQSTSPWWPAGAGPSATKEYASETEARWPAGGGGGRRQRHHRRERMMREVWLERAWRMRKQRRQMHGEAAAVPVVVLGGGGGEASPASRVAVDMEEARACRDLGFDLPCDWTVEIPCYALSGVDTASSGGNSPASGSWRISSPGDDPKDVKARLKVWAQAVALASASRLGS >Et_4A_034868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7353933:7357035:-1 gene:Et_4A_034868 transcript:Et_4A_034868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRVHPNGEPAGDLEMGLGGGGHPPLPTQQGQQQQLGGRAVNSWPPMMPYPGMAPQGHGTLHLHHQQHPPPNNWAANDANTLLVVATLITTLTYQLGSNVPGGYWQDTLLAADGKKVLHLTGDPVMRDLHWQRYWLFMAASWMGFAASMLMTLSLLVRMPVNSRHVRWSFAVAYSSLVLTFVVSQCKSHLAIDVLIWAVCLAFLWVLISFRPEHRARIARCVCCGGDN >Et_3B_031616.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6046916:6047251:1 gene:Et_3B_031616 transcript:Et_3B_031616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHGACRRLKKAVCAASKLRQAAQGGPLGLATVSNAISLVAYEPPVGLGKNLYFLVLSGAFFAGAIETVAAVMLSDDPRRGHAAFRKAVYYASVVWLVATVGLSVASLFW >Et_7B_053390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14828347:14829723:1 gene:Et_7B_053390 transcript:Et_7B_053390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVDSKCYIQKPPGRNRSQGRFLCSHGRSAVLLNGGSSTLQCTHLASTILNETLAFLDVHAYVADRQNSTSASAITSDGAHVVRVTFCAAPPPLVSYICVWSSSGLLPKINNKIKIVSEPTVEGAGSDLLLLEVNFRGRRDANFFVYKASAGRGGPPSLRLIHLPKPCLREHYSIALLAHHGDVVPRGDDDDRCYYDYYVAVLNYHSGNAPDCVWKLWVFDSKEGQWSSRLVSLHCPLHHLPTKAIALGEEDGLLGFADPWRGILVCDLRRATEPDINLEWNWEVFTWSRSVTQLWEGDWHNDYNVRCPDISVDEDTENADLLPVLKDRQGATRPTLGTFYIAHPTLSLSDSHVVYVMAKVGHRDKKALVLSIDMKKPRLQGVAVFDAERMYGDTFSYAYTQPWIPKYFSDSKA >Et_4A_034916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7796993:7800659:-1 gene:Et_4A_034916 transcript:Et_4A_034916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQDYLEKHMLPRKIEEAVNAAVRAKAPDPVLFIAGHMRRAAPAVITKVRARQILDGHGTPAVEVELHTNKAVHRASVAGAGAPEGTAAGTVGDAERRKNLARAVADAVRVINDKVSEALVGLDPQQQGQIDQAIMDLDKARHKAELGANAMLAVSIAACKAGAAEREVPLYKHIADLVGKSATTLPVPAITVINGGRHAGNALPIQEIMILPVGAKNFEEAMQMGSETYHHLKDIILEKYGSESCNIGNDGGFAPNSSRQVVGDDLLMSDPERIKRAVNEYTCNALVLKANQIGTVTEAIEVVKQAKDAHWGVMVSHRSGDTEDSFIADLAVGAAAGQIKAGAPSRGECLAKYNQLLRIEDELGSESVYAGENWRTTSTS >Et_5A_041680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25802413:25808067:-1 gene:Et_5A_041680 transcript:Et_5A_041680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYQKNGDLESGATSAGPGVLYPGMQESPEMRWALIRKIYVILSLQLLLTAAVAAVVVKVRAIPHFFTTTNAGLGLYIFLIILPFIVLCPLYFYHEKHPVNLILLGLFTVAISFAVGMTCAFTSGKVILLSAILTTVVVFSLTAYTFWAARRGQDFAFLGPFLFASLIVLIVFAFIQILFPLGKISHMIYGALASLIFSGYIVYDTDNIIKRYTYDQYVWAAVSLYLDAGGAVPCPYMIESPQLRWAFIRKVYVIVAMQMLTTVAVAAAVYFVPAIRRFFAARTPAALVAFVVIIIAPLIVMFPMLFLRKRHPINMFLLALFTICMSFSVGLGCLSAKGIIIIEAASLTFMVVFGLTLYTFWAAKRGHDFSFLGPFLVAATLILMLYGLVQFLLPMGKVATTVYGCVTALVFSGFIIYDTDNLIKRHSYDEYVTAAISLYLDIINIFMSIVTCLSSSDS >Et_4B_039108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7938997:7956004:1 gene:Et_4B_039108 transcript:Et_4B_039108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAKMKETAEVYLGSTVKNAVITAPVYFNNSQRQATIDAGTIAGLNVMQIINEPTAAAIAYGLEKMPVSNKERTVLVFDLGGGTFDVSILNIDPGVNMGKGLFEVRAIAGDTHLGGADLDNEMLEYSLREFSRKHNKTDIRGNQKALRRLRTACERAKRMLSSTAQTTIEVDSLHEGIAFYTTITRSRFEELNKDLFSKCMKTVEKCLEDAKVDKSSVHDVVLVGGSTRIPKVQNMLREFFNGKELCSSILVPTKRTENFTTRYDNQLVVSFPVYEGESECTKDNNLLGTFMLTGIPPAPMGMAASKDDGPAVGIDLGTTYSCVAMWRGDRGEVIANDQGNRLTPSCVAFTDAERLVGEAAVNQAALNPSNTVFGENRTICPSISSLLCFREATIDAGTIAGLNVLRIINEPTAAAIAYGLEKMPVSNKGRTVLVFDLGGGTFDVSLLNIDPGVNMDKGVFDTTIEVDSLHEGIDFFTTITRSRFEELNKDLFSKCMKIVEKCLKDAKMDKNRVKDVVLVGGSIRIPKVQNMLREFFNGKELCRTINPDEAVAYGAAIQASILSGGNSEGRLVDMLLRDVTPLSLGIGCETDFELMSVVIPRNTTIPVKEMKNFSTMDDNQSGVSILVYEGESEYTEDNNLLGKFQLSGIPPAPSGVPIIEVTFDIDVNGVLKVSAEEQATGQTNNIMISYKSGRLSTEEIERMATMDAGAIAGLLWTILNIDPGVDMHMSIFKVKAAAGNTHLGGTDFDNELVKYCVREFLEKTKLVDIRSDKRALRRLRTACERAKRRLSSTAQTTIEVDSLHGGVDFHTTISRALFEKLNKNYFSECIQAVEKCLRDAEMDKSSVHDVVLVGGSTHIPKVQSLLKEFFDGKELCRNVNPEEAVAYGAAIQASILNGDNDDGMMQDMLLLDVTPLSLGVETIGGVMSVVIPRNTTIPTKKTKDFTTAYDNQLNVLEKVYEGESASTKDNNLLGEFILEGIQQAPRRVPRIDVTFDIDANGIMHVSAEDRLTRTKNMITITYGMGRLSTEEIERMVQEAERTTETN >Et_3B_030560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:499907:501979:1 gene:Et_3B_030560 transcript:Et_3B_030560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISSVECVSLPDAALGLGTLLAAAASRAYPKTSSSGGVHELLECPVCTNSMFPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEAQCSFRPYNCPYAGSECAAAGDIPYLVSHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTMVWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRITGRIWKEQQTPDGACIPNLCS >Et_8B_059553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19107645:19111128:-1 gene:Et_8B_059553 transcript:Et_8B_059553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSIAAPLQPTRKNPPRLPPIAVPSAAASASAAAARKPRARAEKEGNERERVGYFEEPVIPPLLPLMAKLGPGQGLGCEAAEGSLVPTRKREYKPCGKHTEGKRPLYAIGFNFVDARYYDVFATVGGNRVTTYRCLENGSFSLLQAYVDEDVRNPMLNVCVCLRKFDNGFSNASDVYLSQKDESFYTLSWARDHVDGSPLLVAAGSNGIIRVINCATEKLSKSFVGHGDSINEIRTQALKPSLIISASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDINRFASCGMDNTVRIWSMKEFWPYVDKSYSWTDLPSKFPTKYVQFPVLIASVHSNYVDCTRWLGDFILSKSVDNEIVLWEPKTKEQSPGEGTIDILQKYPVPECDIWFIKFSCDFHFNQLAIGNREGKIYVWEVQSSPPSLIARLTNQQCKSPIRQTAVSFDGSTILGAGEDGTIWRWDEVGGKN >Et_4A_033789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26985462:26987702:1 gene:Et_4A_033789 transcript:Et_4A_033789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMARSPAAATVACLLFALILAMSSMPAAAEGYVKYKDPKQPINERVEDLLSRMTLEEKIGQMSQIERANATTTVIQKYFVGSVLSGGGSVPSDKATASTWQKMVTQMQKAALKTRLGIPIIYGIDAVHGNNNVYNATIFPHNVGLGATRDPTLVKKIGEATAHEARATGIPYTFAPCVAVCRDPRWGRCYESYSEDTRLVQLMTSNMVTGLQGDVPAKHPKGVPFVGGSKKVAGCAKHFVGDGGTTRGLNENNTVLSFHDLMRIHMPPYDNAVIKGISTVMISYSSWNGIKMHENKFLITETLKNKMNFRGFVITDWQAVDRITTPPHQHYYHSIQETIHAGIDMVMIPYDYPEFAADLVSQVKKGQIKLDRINDAVSRILRVKFTMGLFEDPLPDPRLAKELGAQEHRAVAREAVRKSLVLLKNGKKGKPMLPLPKKAKKILVAGSHAHNLGYQCGGWTATWQGESGNNVTGVGKTILEAVKSAVDNDTAVEYDEKPDKESIEKAGDYEYAIVAVGEKPYAETAGDNQNLTIPEQGEKVIKEVCGLVKCVVLIVSGRPLVVEPYVDYMDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNYGDKRYDPLFPFGYGLTTKGTTSQN >Et_10A_001584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5401884:5404588:-1 gene:Et_10A_001584 transcript:Et_10A_001584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPLTRKGQVPNLLDLIGSHATKSLRVFAMGQVTPIIHMDLKPRNILLDDNMVPKIADFGLSRLFGAEKTRTHTLNCHGTLGYMPPEYVERGIITKKLDIFSLGVIIIEIMTGRKDYPEEPGTSSQEFIELVLKNWRHRLEKAPGCTSRQVDCLQIRRCIQIGLLCVERDRMKRPTIQQIIRMLHIPVLERDYVMKFEEAITPLEQALLRFAFNKPNISDEAREQVEFIHTQLKSAKEWVYMPDDGFYNDMPSLDNNNYDPRTDPAMHKMLSQKLNLKTITYLTRASLALHEMVASDGGQDPGGHIEKMSMLLKKIKDFVQTQNPEIGPPIASKLLLMDFNGEPRPVTIPDDFRCPISLELMKDPVIVVTGQTYERACIEKWLASGHHTCPTTQQRMENTTLTPNYVLRNLIAQWCESNGIEPPKRSLQPKKPTSACSSSEHAKIDAHLSKLCFPDPEEQRSAAAELRLLAKRNANNRICIAEAGAIPLLSSLLSSSDLRTQEHAVTALLNLSIHKDNKASIISSGAVPTIVHVLKNGSMEARENAAATLSSLSVIDEYRVTIGETGAIPALVVLLSEGSQRGKKDAAAALLNLCNCRSNKGRAIRAGLVPPIMRLVTNPIGALMNEALAILSMLSNHPEGNAAIGAADPALPIPVLVEVIGSGTMKNRENAADVMLQLCCGKYQGDHLDRALECEIVVPLRELALNGTERAKKAAVRLLERIVEHWPINPSCSTG >Et_9B_063909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20935998:20936321:-1 gene:Et_9B_063909 transcript:Et_9B_063909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRQVRAPEKLQWHTRKVEVFEADMDAPAWVPLTGGLGSDGGQALFISRCFSKCVSTCSFDTLEVFHMRSGTISPPLWSLDMHDPTWVFPPDL >Et_6A_045995.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:16442502:16442687:-1 gene:Et_6A_045995 transcript:Et_6A_045995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLILTVAMRWARFVILVLQLIMTRLLQLCVESRVALMATWNQRCIRQGALAPLNLTCGL >Et_3B_028117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10476539:10478287:-1 gene:Et_3B_028117 transcript:Et_3B_028117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEAWREVKPVVLELFYGTPYYPHLPPSKRLGWLIGWKPMRSLQLLRDSSRNAKAVPKNAFFIVTTRFAAQAQIMVRKIDHSIYLQGLDHKEFKDLFLDFVFGDGQAPLLQQKLSANRTTQLDLVHWTRVLESKEWEESDDKNDIMPALKLSYDYLPCQLQLCFSYCALFPQDYEFEGEEIINF >Et_2A_014567.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23398312:23400651:-1 gene:Et_2A_014567 transcript:Et_2A_014567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRGADRLARLPAALAGFISPRAARVSAPCSFSYGNALASCSASAGTHPALVQAFAEQLYCAAWKDGLSGDAYVCSAAIDQFAKASRLGDALRAFEDGGQTSAVCWNAAISGTVRNGEHALAVEMFRDMVRGSCEPNSFTYSGALSACAAGAEMRIGTAVHGMVVRCDPEYDIFIGTSIVNMYAKCGNMDAAMREFWRMPVRNVVSWTTAIAAFVQEEEPASAMLLFREMVRSGVKINKFTATSILLACSQMLMLREASQVHGMIIKAELYLDQVVKEALISTYAHVGDIQCCEKVFEEVGTVSNRSIWSAFISGVCSHNLERSIQLFRKMCLKGIRPNDKCYASIFSSVDSIELGKQLHSSVIKDGFVQGVLVGSALSTMYSKCDDLDDSYKVFEEMQERDGVSWTSMVAGFAAHGRSVDAFQLFRNMVLDGFTPDHVSLTAIISAFYGPQCLLKGKEIHGHVLRVYGETTSMTHCFVSMYSKCEDVKTARRIFDASPCKDQVLLSSMISGYAINDCSEEAISIIQLMVATGYHLDRFVCSSILSLCADMGKPLCGKLLHGYTTKVGILSDISVSSSLVKLYSKSGNLNDSHKVFDEISDPDLVTWTALIDGYAQHGNGHDALSMFDQMIRYGVKPDAVILVSVLSACSRNGLVEEGFNHFNSMRTVYGIEPTLHHYCCMVDLLGRSGRLADAKKFIDSMPVKPDLMVWSTLLAACRVHDDASLGLFVENKICEENYDSGYFAILSNIRANSGDWEAVEKIRKSVKGVKKEPGWSMV >Et_3B_030155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3145075:3148702:1 gene:Et_3B_030155 transcript:Et_3B_030155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCNMEALRNMNLPPGFGFHPSDAELISHYLKRKIHGQRIDYDIIPEVDIYKHEPWDLPSKCNLPIEDNKWHFFACRDRKYPTGAKSNRATRAGYWKSTGKDRAIKLNKRTLGTKKTLVFHKGRPPTGKRTEWIMHEYYIDENECQANPDMKDAFVLCRVTKREDWALENGNEVGNKNPHPNQLNYAATSVVIAEKQEDADTSGICSGEPNRVATPASAELSNNDATAECIPHSPYSESEMQKFLDDLFDFSSDTSVDNDCAGLSPIEQNSESLNLQNTNSMAPKIEPNQASPFQNGFDDTDFLRLEDFVDSKSIWGLDDAPATDQAYSMVGFGTLPNNFEGTWNENLQLHQGNHNTNLSNENADNGITVRRRNVTTSSASGLPSTRRIRLQVGVNKMVTSNSETINQTIQFAGNSGRMRSFRQSEETESSENPSNQRGIKNTFRSPAGFNILFACVCMIGVIAAVLKGYYHSGISLLNMWAPLQLALRGGRPE >Et_6A_047288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3327025:3333204:1 gene:Et_6A_047288 transcript:Et_6A_047288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPARGGGGAAEGVGESSSPPRGPAPEPAVSGGSDRSGGGAGAGVGGLRDVCREVFDRLVADGHAEVAGALGPELRARLEDHFARLPTSYQLDVNVDKAEDVLIHQRVLEEAKDPDKCTAFTVRFLRVMIFLDVLSVFVWVLVTSAKKDKNASVYPLLEEVNVDNTINSDAHEEGADAGETLSTRSVPSYTHIHEIVFSTTDKPKLLSELSALLSDIGLNIREAHVFSTTDGYSLDGSWSGSDPATSEGSLPFQEEDFESDIDTRLLKIVKKVASGSCGDMFLGTYDGEEVAVKILLNESAWNEFKQEICILREVDHPNIVRFIGSSTKPPQPYIVTECMSRGSLFDFLHNKHNVLTLPAALKFALHICRGMSYLHQKDIIHRDLKSANLLIDKDYVVKVADFGVARLQRQGGDMTAETGTYRWMAPEIPYADMNPVQAAMGVAEGASGESVQKQKEDDPGSKD >Et_3B_029381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24510110:24510429:-1 gene:Et_3B_029381 transcript:Et_3B_029381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMEVHKNRWIEEWNAGRENLEFNFRWTRRSLAVVGLFGLAVPILVYKGIVREFHMQDEDAGRPYRKFL >Et_7A_051369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17524817:17525049:1 gene:Et_7A_051369 transcript:Et_7A_051369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTCRMSEYTDDSVLRACVKLKAPDFERSVSLVLSDAFDDALLVYEALVAGACFLKHRFKLWTSLTGYR >Et_7B_054278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1934304:1939644:-1 gene:Et_7B_054278 transcript:Et_7B_054278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERESSRQAPAAGESQGSAGPMQRESSCQAPGGESQGRAGPMQGESSRQAPAGESQGHAGPMQRESSRQAPADKSQGRAGPQKRMHLEYDPESGPAAKKSKLFESVPEEALQKELFDPLGVIGQAPMEKGSGDLIGQAPCGEGSGDVIVQAPCGEGWGDVFGQATCGEGSGDVMFRAVSMGEGSGNVMFGRAFAAAFVAAAVALHAFGRKVKHEDVAAELMSGSKPVAETSTAEEAKGPAGPFLPPFPNLEVTPLVHSPQHLGLVGSLDDPLQERELVGSLDECLADEPDPVTAARPFPVMTLPPTPEWQPASAGSEQELPGPSKTAEAKLEQRWIVDSSVVLPTSERKLPVRCRPYPRDGTVDDIFEWKREMRKISDEAAEDPLNNIPILRMSENSDTADALISLKDKDMIQRVANGIVYVCSTADGKRTVHCTGIVMGSFKANEHATVLTCSQSVCDENGKLAGCKLIIRLKDGTTLEGKLLCFNHFYGFAILEVQADVPLCGPLFAAGPKCGDEVFVLSRNERSLLSTRSGTVLWHDQSYLDRNFYMFLSCKLAQCSTGGPVLNMDGQVMGMAFHLATKYPAVLPISIVVKCMKMFSKFRFICYVKLDIEVLRTVERLNMGCRDATLSHHKIDSGYLVDKVSSDSNAEKSGIRRGDVITSFDGMSSQTLQQLEHHLLSLGWEFLKMKMESGLAAMVYLELRVVNLHEHCETTIRLPVAFSCFQAVTRIAGDGTSVGQVAGAAEPEITEGQGSE >Et_4A_032155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21429058:21430272:1 gene:Et_4A_032155 transcript:Et_4A_032155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENEKMLVSMAEGEKMVDMYDGTEFKWDSSNNNNESQQEVCLFEVSFDKKHKDKALHSYLPFIVSTAKAIKDQERSLQLYMNQYSEWSPVDLRHPSTFDTLAMDQKLKQSIIDDLNRFIKRKEYYKRIGKAWKRGYLLYGPPGTGKSSLVAAIANHLRFDIYDLELTEVDSNSDLRRLLIGMNNRSILVVEDIDCTIEMKQREESGSDSTEEKGEHKVSKLGVRVTLSGLLNFIDGLWSTSGEGRIIIFTTNYKDQLDPALLRPGRMDMHIHMGYCTPESFRILANNYHLVEYHATYPKIEELIKDVMVTPAEVAEVLTRNDNTDVVLHDLVDFLESKMKDNEIDTGHKDENMQLDEKEI >Et_7A_050839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11499249:11505625:1 gene:Et_7A_050839 transcript:Et_7A_050839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLYALDFDGVLCDSCGESSLSAVKAAKVRWPWVFEQVDAAMEEWIVEQMYTLRPVVETGYENLLLVRLLVEIRIPSARKSSVADGLTVQEILENWLKLKPTIMAEWQEDRDSLVELFGRVRDDWIENDFSGWIGANRFYPGTADALKFSSSEVYIVTTKQSRFAEALLKELAGIDFPSERIYGLGTGPKVKVLQQLQQMPQHQGLTFHFVEDRLATLKNVINEPALDKWNLYLVTWGYNTHKEREEAEGVSRIQLVDLPDFSKKLKYIRLTNGMAGSGGGATHRVVPEEPVVAAEVRAEGEDLAPAGRAAGVDGGGGEHGEVVPVRGRVGALVPRGGRQHAAEGPPLRRAGALVGEGDDSKGEAGARVAEEEEAVSGRWKAPAAERVGAAEEERGEGWEEEEKKD >Et_2B_019302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22658427:22658954:1 gene:Et_2B_019302 transcript:Et_2B_019302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPSKGRQHIEIKPIANKAAKEVTCSKRKGGLLKKASELALLCGAHVAVIVFSSAGKVFCFGSPSVDAVLRRIAPLPPGEDGAPLPDDDGDADLAAVEATLRQVDDTRALVEADKARLAAVGKKFWWEADVEQLGEAELPEFTKALHRLRDNVQRHVRQQAAL >Et_9B_064682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17615876:17622395:1 gene:Et_9B_064682 transcript:Et_9B_064682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSLLPILFLASLLSPGGTRTNAADDPLYKIYTECPTDMNYTRGGAFDANLAALLASLPAAAAASPSGFAKNVTGASPDQAYGLAQCRADVNASVCRACLGAAAQDVAGKCPGQKGAVHFYEACLLRHSNASFFGADDTSYAIYLCNPQNATQPEQFMSRLGALMTNLTEKAAYGTPRLFAAGAADFTPFEKIYGMAQCTRDLPADDCKACLSSAVGYIPKLCGVKQGGRIVHRTCSVRFEEYPFYNPQAAEAAMSPPPSPAPVPSGAGPPVNGSNIPAPAPRGNHTARKTLLISIPAAATLVVLLLVVGYFCKRNRKPHNHASIASSRRKDEDEMRSSDFLLYDFSTLRTATGNFSEKNRLGEGGFGPVYKGTLSNGQEIAVKRLSATSQQGQEEMKNEVVLVAKLQHKNLVRLLGCCIEEKERLLVYELLSNKSLDKILFDPARQKELSWGQRFKIIEGIGRGLLYLHEDSRLKVIHRDLKASNILLDAEMNPKISDFGLAKLFNIDSSVANTSRIAGTYGYMAPEYVMHGMVSTKSDVFSYGVLVLEIVTGRRNAYTQDSGHLEDLLTFVWRRWNRGSVQQLVDGCPADGRQPQEILRCFHIGLLCVQEDPNLRPSMASVVSMLHSRSITMPAPKSPASLITARRGSSGREDQMSAAQEQWINDASVYDEESRVDGSARTTHSIPYVRQVEASWTVYVPAAFDRSCLRQSHDNERRQAGTYLRRLPEQHELLAQQRVPSQPRRAPLLPSPPLQSRLASGFAMKITSARGRARPGVGPRAVLRRRERVRVLRLPRRLGVGRGHRVGAQPGQKSAMLPCMFIYEACLLRYSNASFFIVVDYGPARARPRGRVDDYWNRCLSGVAAFMPYYSRKGWGWGECPDYLTELLLAMYQFYNGEAVEAAMSSVRRYRQEAVE >Et_1A_005755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13575498:13579447:-1 gene:Et_1A_005755 transcript:Et_1A_005755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCLYTQRRWAHRRGGFVTGGTGWSKPPPPGLGPAPKKSDWWAVDGEMHEIGDGVPHRERFAIPRDNLPNRRRKQMREQFMRRTRLVLKDSEHETWCKKYMELYQELRENWERLYWDEGYSKKIAEDHANYDSAEEDDEDFSPYSRRRRSNVEPNREFGFVANNQGETWERVTQIRDKFEYDRERRIRERAFAPMNMENNFGRHDQKFRSHDDSSFASTNMDRDFGPRVPSFGNHSDRSSQFDSSFKNGRDSNSHNDPSLRNHRYPDFRSHHDKRNHSFDD >Et_7B_055477.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:11303978:11304553:-1 gene:Et_7B_055477 transcript:Et_7B_055477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPIYYYQPLLSPTDDDDERRPSVRRGRFGRSASARRLSSCEEDKHAAGGSVRPGDRGSGSGGGRGRNGDHRTAGGDSAWFKRCQTAPGYVSFEDVIAGEGRRPPDHAPAGIVISDPLVRTASELYAREAATHHQPRHRRPSPGPLGTRRGGAMYRLVKKYVSPCLGFLAAAFFCGSADAPATSSPTAS >Et_3A_026120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4549649:4554012:1 gene:Et_3A_026120 transcript:Et_3A_026120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAAGTSAREHGAQGLKPRDVCIVGVARTPIGALLGSLSSLPATKLGSVAIQSALRRANVDPALVQEVFMGNVLSANLGQAPARQAALGAGLPDSVPCTTVNKVCSSGMKAVILAAQTIQLGINDVVVAGGMESMSNAPKYVAQARQGSRFGHDVLVDGMLKDGLWDVYNDFPMGMCAELCADQHSITREEQDSYSILSNERGIAARDNGAFAWEITPVEIPPGRGRPPVVVDKDESLAKFDPVKLKKLGPTFKTNGSVTAGNSSSISDGAAAIVLVSGEKAKNLGLQVIARIRGYADAAQAPELFTTAPALAIPKAISNAGLQTSQVDYYEINEAFSVVALANQRLLGIPSGKLNLSGGAVSLGHPIGCSGARIIVTLLGILRKKNGKFGVAGVCNGGGGASALVLELMRPSSHIHSSL >Et_1B_012707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34606887:34608237:1 gene:Et_1B_012707 transcript:Et_1B_012707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARVFRGCRFLMSPAGPAASGAKKPVAAAAAAAVQAAKAEAPKRKVNSGITKPVPVSDALGRFVGADHVSRSGAIKLIWDYIKAKGLQNPAKKTEINCDEKLKTIFGERDKIGMLEISKLLNPHFIKK >Et_9A_062968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7639960:7642018:1 gene:Et_9A_062968 transcript:Et_9A_062968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFTTQTILLVCSVPGMAATGTVKWFNDEKGFGFITPDDGGQDLFVHFKAILDDGFKSLKEGQKVEFVVEQGLKGSQAANVRVIG >Et_4B_038428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28948756:28949226:-1 gene:Et_4B_038428 transcript:Et_4B_038428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FKAYKTQSLKKEGKKKENHDTKRSRRQAETELQIHPDFFARRIVHLVTWNAVAATSGSTSCSSSGQMTTSGHFVLRPLYCIVVSSLISTSNLPVAFCAANSFTPAADSFTGLPTPPTLTLSVTVFLAACFSAGTVADSSKRVPLKEKATARGS >Et_1A_009239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3506151:3507707:-1 gene:Et_1A_009239 transcript:Et_1A_009239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPISSLSSLAASSPIPSPPPAQLHKPSRRALAVAPCNAAAPSTSSSAAAAPQAAPAGRRGLLALGAGFLASAALLGHAGDAEATRIEYYATVGDKLCDLNLVKSGLAYCDVEVGTGVQPPRGELINVSADRTVHYTARFPDGTVFDSTYKRGRPLTMRIGAGKILRGLEQGISGGGGVPPMLVGGKRKLMIPATLAYGPEPAGCFSGDCNIPGNSTLMYDIQLVGIYNFVDSIEGFRLKFYLADEKKHAYLKTDGENKRVAQASWKDRT >Et_6B_048344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14276671:14277037:-1 gene:Et_6B_048344 transcript:Et_6B_048344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ETTRRRPPAAWALAAVLAGDGRPAWHENNGRGNGSRRPLFVSNIAHLSVVEVNEMGTEAAAVTMSALYGCGPPPREKVEFFADHPFTFFIMEARSGVIVFAGQWHVLDPIN >Et_8A_057935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9584313:9587581:-1 gene:Et_8A_057935 transcript:Et_8A_057935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIKVWVLFMALMCFGAGNGEGDVATGGDEDLLRRGAPNYGDALAKAILFFEGQRSGRLQANQRVTWRGDSALTDGQPENVNLTGGYYDAGDNVKFGFPMAFTVTLLSWSALEYRDTVTAAGQLQYLRSAIQWGADFLLRARTSPTTLYTQVGDGNADHQCWERPEDMDTPRTLYKITASSPGSEAAGEASAALAAAYLVFRDDTDKFFATRLLAASRSLFDFANNYRGSFQSSCPFYCSYSGYQATRDAKYLDFLQNNQGSTGPANEFSWDNKNAGAQLLATQEYLGGRTALVNYKRSLDSFVCAVMPNSGNVQIRTTPGIDLNDLDKLKNFDSEQRIKKATFVKLRIYYLLYLTTGGLLFTRDSVNLQYTTTATLLLSIYSKTLKSSGSGGVQCSAATFTADQISSFAASQVNYILGQNPMGISYMVGYSSKFPRRIHHRGSSIPSIKVLPRKLTCNEGYSSWYPTSDPNPNIHVGAIVGGPDVSRPSILLVKWTIKVVNPRTSQRCPIHHSTHEKLPKPKIHCEN >Et_7B_055293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9011953:9017113:-1 gene:Et_7B_055293 transcript:Et_7B_055293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGARTGTLHNKENIPAEPAGKRLSTTAIRRQPIAAVSTDPAPPTEEAMAFASREDVETLLNEKMKGKNKMDYKGKSEQMMEYIKKLRAVSNGCLRGRMQTLIKSGSSVLTSRLQRHNTLRKLQNALRESKEIDQELQKQYASLQEALKKVEAEKTDAMRSLGDEKEAKRAVESKQNEILEDLNKAQLEEKRLNHQIEMLQVTNKNLQDYNVNLQTHICTLRTDAEKHCDKIAKLQQEKNTMFVTMTNQMGHADSVKIQLDLAKAAKQKNDLLKELEHVQKDRDNKSAQVDSLLNELGIYEETTRNSSVQLENAMARSTALEKTCSSQREMIETLEIKLAAATDRLKRSHLTAMEAITEYENQKKMLADLQSRLEESEQQNLDGEKLRKKLHNTILELKGNIRVFCRVRPVLSNESGAVTYPKSAENIGRGIELIHNAQEYPFTFDKVFDHSASQGDVFIEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGNQEVEEQKGMIPRSLEQIFQASQILNSQGWKYKIQASMLEIYNGTIRDLLSTNWVGTQDGGSSKYNIKHDANGNTHVSELTVVNVTSISEVSSLLRRATQRRSVGRTQMNEESSRSHCVFTLQIFGVNEGTDQQVQGVLNLIDLAGSERLNKNGAKGDRLKETQAINKSLSSLNDVISAIANKGEHTLMFVNLSPESSSTEESLRSLQFAARVNSCEVPRRQTH >Et_5B_044053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19391379:19394811:-1 gene:Et_5B_044053 transcript:Et_5B_044053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPNPNPIPPHGSEQRRAGRRQALEARRRRRRGPPDDVLLLILRRLGGAAEAGRTSVLSRRWSRLWTLLPDLHFHYLPARDQPSRIAAALRAHQGNLIRTLVVLELDAAAASVAAWLPLAAARLAGDMLIFNVAVGRPNAAAADADERGAFQLPCLGNATAVALHLGFLSVALPAAGVFARLAELFLEQVRFHGPGGDLGDVVSSPRCPSLKKLRVSEARGVVNLAIHSVSLLQLDLWIIHGLQRLAITAPALEGLELHRCFAADRPPVVEISAPQLLSLTWKDPYDQSTVRVIGDLGRPDRRLTTDAVYVYGQEESRAHNQHHLVELLRGFRAVETLRITIDYPQDLGELQYLMEDITRLPRVTFLELVVLCKGHAFGASLFHVLRLCTGIRKLSLVLRDLEAHECPSGCPCDELANWKADELSLNCLDEVELTNLKGAEYEVTFVKQLFSWATALQKMRITFANLVSESMASNLRERLLTFSRP >Et_8A_057662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5811395:5812510:-1 gene:Et_8A_057662 transcript:Et_8A_057662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAAEALAAAPGVPERMLRSLRSATTRSPRFPGLLSSPPSALLADSDFGRLTSSLPSSTPASPRATAAGASGAGFPPTSCVQGMLGGELLRLRHRRRRRYVVSISSVSSGVRYYLDAIAIGAFNVGFGGQWRSRRANRHQCGRPGWLPSAPGPWTQGRIHVGCSGCGRTQAKMQIVWINFSTNHPELIVSHVRRE >Et_2A_018604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34898077:34898907:1 gene:Et_2A_018604 transcript:Et_2A_018604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWQFQILPNYYNACTGNATSSCPYAVQYVSANTSSTGVLVEDVVHLSTASPPVVFGCGQLQTGLFLEGPASDGLLGLGMDKVSLPSVLQPRRRRTDPFRRHRQPGSERDALYRQHHKVKNLMSGLKVVFDRDRSVLGWQEFDCKHMHALVINRRSTDAFPNASTTEYN >Et_1A_004525.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12760155:12760574:-1 gene:Et_1A_004525 transcript:Et_1A_004525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRWPLAAANRLQAGDAVGSPCSISHMTSMKSSTAQGSSSGPSQPAKPYSVSAWSSSARNSGWLAHRARTTNRSFSGPTSTAKQPAGSDGRRSEVRHRCSVLARMFFVLSPPPSFMAAMLPRKLTVDTRNELNSLASFW >Et_9B_064928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19962269:19963315:1 gene:Et_9B_064928 transcript:Et_9B_064928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAQTGAGGGAARLKASPRALFSCGIFSTCTHPALSPTATPNNNAVPGSSAEKFAAGEVVAALRTVEREMEAAARAVPAGVVAGVVAAVREPATARLAAKVLLVVLLEEGNREAAVEAGAASAAVEAVAASGPAGATAERALAALELLCTAPGGAAAVRREALAAPALARAVEGMAGRGRECAIGVLAAIYGGGGGGEDGAASPPPPEVVKAVVAAMQGECSARGRRKGAQLLRALQEGGRLGLAWDGVGDH >Et_4A_032184.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22684380:22684451:-1 gene:Et_4A_032184 transcript:Et_4A_032184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRWQLCMVLSVQLNWERRTSF >Et_6A_045826.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:16078730:16079569:1 gene:Et_6A_045826 transcript:Et_6A_045826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RCRHRRFRRLSSPSPPSSPAPKRVCGGGAASPSTRMASPLPAPALSSAAPTLSPSLAAVDVVFKDGAHSPSRSSSSAAALGTTKHEIEFDNQKFETTVTKSLEAVDSFIKDVVGEHHEHLVVGLDTEWRKIWWMSKGRRNKTAIIQLCIDRRCLVFQVYRAGDVVLVRLKNFLECPHCKFIGADVHEDVLRLANDYGIFVKNSVDLQKIAEKKMGFEKKTSLKDLTRALLGPKIDKSKKYRHETWDREELTMEHITYAAIDAIASVELAKPMQIMPVIV >Et_6A_046708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19686895:19688481:-1 gene:Et_6A_046708 transcript:Et_6A_046708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSEAVQWWEEWQMRLLVLSSLILQYFLYITAVLRKHRTAPCFKSITWLAYLVSDAVAIYALATLFNRHKKQEWVLSTEGRTAGLELLWAPILLLHLGGQDGITAYSIEDNELWRRHFLTAASQITVAIYVFRKSWLGRDKRLLQAAILLFIPGILKCLEKPWALKRASISSMAISLGSRKDEDSEENSTGSTELIIDSLEEYVRAASEYVKVGNKKEVPDDHQVEAVPDNIFVDLPYPYSVRLKNIKYISQRRNKAHLLVQSSLSRMFNRLFTKYKVSWPLIRALLVVLTFVAIRLFHMSHREAYDVADVKVTYALLCCTAALECISVITMVCFVASPLNAGSSRSVPVNGHNSTSYKGHKLSLKVITLVPLKQRVAQRKSKDT >Et_4A_033705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2625969:2628243:-1 gene:Et_4A_033705 transcript:Et_4A_033705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSVPARSTGGLNNINNDNSSATDSKDLRAKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTIVKFEIWDTAGQERYAALAPLYYRGAAAAIVVYDITIPESFGKAQYWVKELQKHGTPGIVMVLVGNKADLHENRSVPSQLFFPTIA >Et_2B_019013.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24177787:24178086:-1 gene:Et_2B_019013 transcript:Et_2B_019013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREEAESLSEVKRISQVLQEEEEGVAAEEKEAAAEGLKVKIVLTRAELEWLMAQLKSGDQRLEDVLHHMHAVKARAAACDTKDAAGWRPRLESIVECPE >Et_3A_025168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27569259:27570555:1 gene:Et_3A_025168 transcript:Et_3A_025168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RTRGEPPRARSNTIIASQPLSSRSSRVTFVSQMDAAAAPKACKRIILVHGACLGGWSWFKVATPLRAAGYRVDTPDLAASGVDPRPLRDVPTFRDYTAPLLDLLAALPAGERVVLVGHSLGGVNVALAAEMFPDKVAAAVFLCAFMPDAVTRPSHVLEKFVEGKWLDWMDTEMKPQDAEGKLPTSMLFGPRIAREKFFQMCSPEDLTLGGALLRVGSMFVEDLQRQQPYTQERYGSVRKVYVVCKEDYAIVEEFQRWMVENNPVDEVKEIVADHMVMLSRPDEVVRCLEDIAEKYA >Et_9A_061197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21590675:21591376:1 gene:Et_9A_061197 transcript:Et_9A_061197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQCCPPPPTRRASSSSPSSAGTPPRAPPPRQTSSSRTAASGPSTRCSGAAASSATTTARLRRRRRRGSRASATRRSARPRPRPRRRRRGPWRRKTEAADSRARRRRATACGRPAARGRRRRRRPRGGRRGRAARRGPWGGGAASASSSSAAATATARRSSSSSPRQTTRPAIATSTSPTSPSSRPSRARRAAGRSRRPPRSTRWPRPTG >Et_10B_003512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2244334:2245492:-1 gene:Et_10B_003512 transcript:Et_10B_003512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGAVTGSRGMKRKETLSEMDDEEADDVTPASVIDGIGSKHGDGSIYRLEEHRLHRLYHLDDTRETSLEPMRLSLPTKYCYPCFTNCKRHIHDTMLQIYSLKLNLPAKATDGGPIHLYGFMAARDLLDPLRNYVFNRTRDDPFVIQDINSDPFIYLSGPKRGVYLQCPVLIEYDMWIKREGCENDDQSLIDGAFICSELTYVRGTFTNRIKGGFDGASVDITRALFRGAVEATVEVQIIDLAKRGDAGGSGLDLSVSGFVPPIKEEIKLFRGAVDKPCALNRFVVAVFSDSFLLVFFKVTGSPPDQTGKFAFRAVPHGSISSRQNFGFATVEVNVTWSGIC >Et_1B_010270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33887390:33889402:1 gene:Et_1B_010270 transcript:Et_1B_010270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDDDDRAAASLAAARRTLCAGIDKSRALDTALARAGPRLEEIQGALPSLEAAVRPIRAPRAELAAAGPHIDRAVGPAAAVLKVFDAVHGLEPPLLAPGAAAGDLPGYLAVLGRLEEALKFLSDNCGLAAQWLADIVEYLGDRDLADPRFLADLGVTLDELKTPSGDLDGGLLAAALDILEAEYCRLLADNSAPLAMQPPGTAAPSSVAPSRVPAAAVQKLTLILDRLVANGRQDRCVSAYVDARGSVVSASLRALGLEYLRDPAVDAQALGPAVELWGRHLEFVVRRLLESERQLCVKVFGKHKDCGAACFAEVASRAGVLDFLRQFGGKACAEIQSQTRDLVKLVVDGAVEIFEELIVQVELQRHMPPPVDGGVPRLVTFVVEYCNRLLGEKYRHVLGQVLTIHRSWRKEVFNDRMLVDAVLNIVKALEANFDVWSKAYDNAMLSYLFMMNTHWHFFKHMKATKLGEILGDVWLREHEQYKDYYLAVFIRESWGALSPLLNREGLILFSKGRATARDLVKQRLKTFNSSFDEIICRQSSWVIPDKDLREKTCDLVVQTIVPSYRSYMQNYGPLVEQEGNAGKYVKYTVDGLEKMLSALFVPRPRRAGSFQIKHSSGKITSEMTGLHRSVSAVK >Et_2B_022971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9983878:9985007:1 gene:Et_2B_022971 transcript:Et_2B_022971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSADEAVDAGGGQTSDPGAYAADLKRKLDLYCAAVAKTMEAKTQESSLDFSDSQASGTSQLIFQASLDGRGENGLNTDG >Et_3B_029004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21260275:21261255:-1 gene:Et_3B_029004 transcript:Et_3B_029004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPYSARPAGRNHRATTGGVAPHHQLSSSREPPTRPRLHLPISTSPTALQPATGPSSSSSTMAARIAVLAAFLALAVSPSALAQSPAPAAAPSGPPNITAVLEKGGQYTTFIKLMKSTQQDTQLNSQLNYSGSGSSGYTVFAPTDNAFNSLKPGTLNSLTQQQQVSLVQSHILPQFYTFDTFETASNPVRTQASGKDGPFTLNVTANTNNQLNVSTGVVDVTVNNALSAVKPLAVYSVDKVLLPMELFGAKAPAAAPAASKAPKKGGSSDAASGPAGSDDSSSDNSGAVSARAVGWSVAGLAAVLGYLL >Et_1B_012813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35313076:35313406:1 gene:Et_1B_012813 transcript:Et_1B_012813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHMKLHLAFLGCVLLMQAALCGTLDILRNMLLLLYLFHMLEKAEYGIDDGTTAEAETANWTCVCAAHPLGASNSNSSMLSNCSSSCHCQQGKDY >Et_7B_054533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22882915:22889304:-1 gene:Et_7B_054533 transcript:Et_7B_054533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDSDKLIFERLQKEFEAARAAQTEEIVVDDDQWNDGLLATVREKVHMEADRKAMASQVNVPADPQFHSKTTYRVKNKVIYCLDGARIGIQYETFFAGEPCEIFHCVLESKSFLEKMTVVEHTLPFFLPLREVESDLLSSNATKFIDHLEAILQAYIDRREQVRLIKELYGNQIGELFHSLPYNVIEFVLEDFEWQGSWLGHFARQGECPKGPVAPQLLSRFLFVFHMRRMHSRPCVYQKHMQTLCWTYPMRLRGFSLPKKVTEASDEEIGNAPSCRFTVDVVAFQLSIFVMFTNINGSLFLEDKMV >Et_4B_036821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11777767:11790212:1 gene:Et_4B_036821 transcript:Et_4B_036821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCERAARLGSRRVSPMPPAGEDPDAAPSCSGAEQDGQELERLLPNGDLYRGQWRGAVPHGAGKYLWVDGCMYEGEWRRGKATGRGRFSWPSGATYEGEFLDGFMHGAGTYVGAAGDTYRGAWAKNLEHGAGEKRHANGDRYDGEWRAGLPDGCGRYAWRDGTEYAGGWRAGLIHGRGALVWANGNRYDGGWEGGRPRGQGTFRWADGSLYVGFWGREAPGGAVHQKGVYYPSPAAAGESPRARDPREVFARELPECVRTGTEGQSALTSLRTLRKLFKVDPADYMLSLCGDDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKASRQGLRVPRAGKDYGLQSFGRCAIDIDGDIPATPRLSRWDRDHFLSDPNSERARELGVVAEQNFRGGRGERNFEKIRLCGGWRSGWGQHVRHCGGGDEQAETVRVEDAGDGRGLPPPSPATGPHASGRSRGARRQGETIAKGHKNYELMLNLQLGIRHAVGKQGPITLDLKSSAFDPKEKVWTRFPPEGSKYTPPHSSCDFKWKDYCPQVFRTLRKLFKVDAADYMLSLCGDQALRELSSPGKSGSFFYLTSNDQYMIKTMKKSEVKIFLKMLRAYYNHVRAFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDYSIHRRFDLKGSSLGRTTDKPQTEIDEYTTLKDLDLNFIFRLQKPWYQEFQRQVDRDCEFLEQENIMDYSLLVGVHFRDKRDRLLTGGSFDSDSSRGSSPCLSRGDTDPSRLAKIKLGSNMPTRAELTVRKTECELQIMGEPTGEFYDVILYFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPKQYSRRFRDFVFKAFQEEKLDF >Et_7B_054207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17096564:17113238:1 gene:Et_7B_054207 transcript:Et_7B_054207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFHGYGVAVLIVALHLGFPGAPPVQSVPDAATLAGCPKACGNLTFDYPFGIGAGCFRSPDFELICSNTTAPPKLFLRDGETEIIYNIDLYKYPSSACHIIRNADMAVIGVNFIRCDFDAYRLNNANSREFICSVTCPSEGITEAVARKQCNGTGCCIHSFKQSQGTISNLNLQFNRHGGRLKGNGTSLWEDRIYVTASMFLSWVFGDEANCTAALRNKTSYPLNVPGGCSRDRGYNPSPRKANCSRSCGNISVPFPFGLEEGCSARTEFQLDCTHKQPSTLLYESQPVSYINVNEGIMGIKDTSNISKGVNSRLFNTITLTKELQWAVANLTCQEAQQNTNTYACVSANSTCLGYNARYFYRYDWYVGYLCKCATGFEGNPYIPNGCQDIDECQTAPGICKGICQNTIGSYYCTECPPKTQYDFTLMRCTQQKRQSLLVGIMIGLGVGIGMLLIGLSSVFLLHRWRRDVQKKLRRKYFLENQGLLLEQLILSDENANDNTRIFSMDELNNATINFDETRILGRGGHGMVYKGILSDQRVVAIKKSNVIEQSEINQFINEVAILSQISHRNIVKLFGCCLETKVPLLVYDFVTNGSLYEFLHPSVSTVSLSWDDCLRIAAEAAGALSYLHSAASVSVFHRDVKSSNILLDANYTAKVADFGASRLVPIDQTNVETLVQGTFGYLDPEYYHTGKLNDKSDVYSFGVVLVELLTRRKPIFTFELGLKHNLSSYFLSELNSRPVEEIVAPQIREEATQEEISSVASLAEMGLKLRGEERPSMKQVEIALHTLRTKRLKYFKVASEKYSMAESDVGLPLCTDVGCILDQQSHGTTAWSKSS >Et_10A_001275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22958696:22961211:1 gene:Et_10A_001275 transcript:Et_10A_001275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTVLVSFSRCGNCCPYPYLRHHSSSLNPKPELPPLLSPIRRTCPPPLAPLPRRRRNVAAAYGDDDMDDDFGDFDPDDADGVGEDDDIDNEQDYDVDYDRLLAPVKPSPPSFSAEEGDIAMVAAESFVSTQESASDTVVDYSIDEDEFHKISLLHCDFFIRRVPDPDDDVFDFREMYVTPPDTDIYSIPRVLAPLPQKYVRCTKKNFGRYHVSEPPVERLRDPLYKTEREIMKVFLTKHYRNRRADDPDFFLDFEEIYVIDSKTKSITRAKVVVSVPEGKKRDRRNDLLLIRDGGESFRIIDKTKRDDATTVIQREEWAKSRQDVEKHFRKLRDFDYSNWF >Et_8A_056486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10641689:10649680:1 gene:Et_8A_056486 transcript:Et_8A_056486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLVTAATGALKPVLEKLTTFMGNEYKRFKGVHVQAKSMAQELEAMHAFLLEMSNEENPDAQDKIWTKGVRELSYDIEDTLDEFMHCADDKSASQNGSVDRFNNLLNRLTKIKIRHRIAKRIEDLKVQVKELGDKNKRYKIREAIMKPSTTTVDRRALVIFEDASKLVGINEPKEEIVELLSKANGTVPLRKPKVVSIVGSGGMGKTTLANQAYQELKGQFECLAFISVSRNPDIVKVLRNILCQVSNQCYHIIEVGDTPQLIREINNSLLDKRYLIVVDDIWGKDTWKTISCAFPKNIKDSRIVITTRIHDIAQSCCSSDGDSVYKIKRLSAQDSKRLFLNRIFGSADMCPLHLSELSDKILEKCEGLSLAIISLSGLLANKQNQEDEWDRVLCSVGRALGRDPNVEKDYTIHKKRLVSRWIAEGFIYSEERHTLYELGESCFNELINRSLIQPRNINKYGEVKACRVHDIILDFIVSKSAEENFYTVPTYGRDQKLAHDKVRRLSLHNCSQENIYLLTKMDLAHIRFLRVVDLEGCEKLEGHQLATICNAFQLKYLRLRDSGISELPEQISKLQYLETLILLNTEESCLQVLSDSQGWSIWLSAYMLGYQM >Et_2B_019948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15549586:15551114:1 gene:Et_2B_019948 transcript:Et_2B_019948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKINGENNKIRALRVLSAADEFGHEIPAKDLELPFLEYAEIVSATDNFSEASMIGKGGFGKVYKGVLGSSEVAVKRLSMGSKQGIVEFRNEVILIAKLQHRNLVRLVGCCMEGDEKLLIYEYLPNKSLDATIFNSARKSMLDWSMRFKIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDAAMNPKISDFGMARIFGDNEEQANTKRVVGTYGYMAPEYAMEGIFSVKSDVYSFGVLLLEIVSGVRISDTTIIDHSPNLIVYAWNLWNEDTAETMIDSSIVTGCILDEVLLCIHLALLCVQENLNDRPAMPQVVRILENGSKSLAAPNRPAYFAQRNNELEHTGSSKNSNNTVTLTVLEGR >Et_2A_018577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33507408:33536257:-1 gene:Et_2A_018577 transcript:Et_2A_018577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSESGETGQWIEHDPLKISVHTSYCLKEHEDLHVVGIDLGLDPGEPVEVALEILLAPDLAAGVAGDVADHLVDAEVEVPVVAVGLPGVLADVRLHERVHVPGPLRGQRRGALAEPVHHVLDVEEHALPVRERRRRLGEVRDAAAVWVHDHDAGAGLDEPGEDLLPLALGDGLPHVVRLVLAEGSDVGRPVQEVLHGALGSQGDVGHGLDPGHLLAVRWVHAQLREPGVHQRDEVLARAEEQGLEHGFLAHRHDPDHEVVGEGRRHLLPFRDEVDGAVLEFLVDLEHGHRGRDRDQLHLP >Et_9A_061870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17321812:17337328:1 gene:Et_9A_061870 transcript:Et_9A_061870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSRFTSLGLLLLAAAHCAVLLALTGAAHGHPPVAGDGLSSTFYDESCPNAYDIVRRVIQDARVTDPRIPASLIRLHFHDCFVQGCDGSLLLDDDLPAIQSEKNVPANDNSARGFPVVDDIKSALEHACPGIVSCADILALAAEISVELAGGPRWRVLLGRRDGTTTNIEIANNLPNFFDSLEILQEKFRNVNLDNTDLVALQGAHTFGKVQCQFTQQNCTGGQPEGALENLDQVTPNRFDNKYYGNLLQGRAQLESDQVVLSDPAAAATTAPIVHRFAGNQKDFFKNFAVSMVKMGNISPLTGSDGEIRQNCRRCMAASSLLGSLGLLLLAAAHCAVLLALVAAAHGHPPVAGDGLNSAFYDESCPNAYDIVRSVIQNARVTDPRIPASLIRLHFHDCFVQGCDGSLLLDDDLPAIQSEKNVPANDNSARGFPVVDDIKSALEHACPGIVSCADILALAAEISVELAGGPRWRVQLGRRDGTTTNIESANNLPNFFDPLETLQEKFRNVNLDDTDLVALQGAHTFGKVQCQFTRQNCTGGQDDGALENLDQVTPNRFDNKYYGNILQGRAQLESDQVMLSDPAAAATTAPIVHRFAGKQRDFFKNFAVSMVKMGNISPLTGGAAAHCAILLAFAGAAHGHPPVAGDALSSTFYDESCPSAYNIVRRVIQNARVTDPRIPASLIRLHFHDCFVQGCDGSLLLDDDLPAIQSEKNVPANDNSARGFPVVDDIKSALEHACPGIVSCADILALAAEISVELPSCTDQRALPSSSILFEISPPELTESWNLAQAGGPRWRVLLGRRDGTTTNIESANNLPNFFDPLNVLQEKFRNVNLDDTDLVALQGAHTFGKVQCQFTRQNCTDGQPEGALENLDQVTPNRFDNKYYGNLLQGRAQLESDQVMLSDPAAAATTAPIVHRFAGNQKDFFKNFAVSMIKMGNISPLTGSAGEIRNNCRRTT >Et_9A_062187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20551636:20554533:1 gene:Et_9A_062187 transcript:Et_9A_062187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARAWQSSSLLLILLQVAVGLVVAAPPEEASSGGVTLQVDSRQVVVDNGVVQVELSRPQGHITAVRYNGEQNLLSYNASQGNSGGYWDVVWNYPGSPWPTGAMDMLDGAELKVITSSEEQVELSFTSSYNQSRPNSVRLNVDKRFVMLRGSSGFYCYAIFEHPREYPALNISVARLAFKLNTAKFNYMAISDDIQRYMPSAVDRDAPRGVPLAYKEAVLLVDPVEPQFKGEVDDKYQYSMDNKDNTVHGWISNNSLGFWVITPSNEFKNGGPLKRELTSHTGPTSLSVFLGPHYIGRDMVIKFAEGEYWKKVLGPVFIYLNSRPGPNKQGELWEDAKVQAQAEVSKWPYSFPCSPDFAKARERGSVTGRLWVRERFLGKEDMPAAMAYIGLTSPGQPGSWATESKKYQFWTRTTSCDGSFNIGNVREGVYNLYAWVPGILGDYMYASPITVTPSSAINIGDLVFEPPRSGPTLWEIGIPDRTAAEFYIPDADPKYASKLFLQKDRYRQYGLWDRYAALYPENDLVFTIGKSNYSTDWFFAHVTRKEGNKVVPTTWQIRFHLEHVVVGSTYSLRIALAMSHMSTLQVRVNDGAGGGRVFTWPELLGDNNAIARHGIRGTQWSMDMAIQGDVLNEGANTIYITQTSVLNNLVGVMYDYIRLEGPSL >Et_9A_061666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15043182:15048454:1 gene:Et_9A_061666 transcript:Et_9A_061666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGAASSLLASPPPRRLPFPPTRKPLAAAPKTLTLSVPRRPPCPLASSAPGPSPPPEETGKPDPIKIAFARAAAYKKERDSPAPAPPPTPPPPPSPPTTSQPRASAEGSGSKEAFARALEYKNRNGGRPGTAGGDSGLLGGSPDFGQNALLGQDDTFSKVLNKNGGYEYDETDFLGLDFFEKKRYSGAPPGLAPVFEPSSNEDIPEVEIIIGDPSRFERSRRSTEAQPVGDSESEKLSRPTTSEPNEDDKVEQAPPSTVSEPDEDVDEEIYKPTVRSWGMFPRPQNISKAYGGGRNIRLGGETLSAEEKAAKDKRTKELIAAYRNRQNMVVDAKTKAECNEALREGDELMNTGRLKQALPYYEKVMQAVDFKTELHGRAALQWAICLDSLCRSREAMSMYSKLKNHPNSEISKKANMFVFSFQVSSTPVPKTTGFETYFTKFTGQKNYYASLDEPDLGLDQIIPYMLFLVSPIFLVAFVALRKSFQL >Et_10B_003380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19213282:19220521:-1 gene:Et_10B_003380 transcript:Et_10B_003380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVVGIATLLLLQLASSYPSVVLADAMLGRKAGIIDEPAENAPAGPGRYAVIFDAGSTGSRLHVFRFDRKMELVKPGLSSYAGRPQEAANSLLPLLKKAKSVVPPELRERTPLRLGAIAGLRLIGDEKREKILEAVRDLVRTKSKFQYNPDWINVLEGSQEGSYLWVALNYLLDKLGGDYSQMVGVVDLGGGSVQMAYAISAIAAAKAPVAADGKDPYVTREYLKGKDYNVYAYSYLHYGAFAARVEILQAKNRQFSSCMLRGFSGNYTYHGEQYDATAAAEGAVYEKCREEIINALNLNAPYRAAPSMVCGMGAVELVGIIDGKFPSAKTTPAAFRVAAKKVCRLSVKEAKAAYPNFPSTDVPYLCMDLTYQYTLLVDGLGLKSIKIITLVSKVKHGEYYIDATWSLAAMARLVALGAAVLLLLHLASADDMLLGRKGRIAIDVPAEKENAAYGSSAGRYAVIFDAGSTGSRVHVFKFDKKMDLVEIGDDIEFFAKGDRWSKINRRRKGRADSRSANFSTTLNGSQFLKDLKKALTCGYLLYGALAARAEILKAKNGPFSYCMLRGFTGNYSYNGEEYDATATPEGAMYDKCREEIISALNLNAPCETKNCSFNGVWNGGGGAGQDDLYLASFFYDKAAQFGFIDSEAPNAKSTPAAYKAAADKVCSLSAQEAKAAYPNVLDVPYICMDLLYQYTLLVDGFAARRHGLSVLPLRPSRQKRCTKLSNSKF >Et_5B_045516.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:3502960:3504054:1 gene:Et_5B_045516 transcript:Et_5B_045516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGEDHSFTPSNAHLVDRYLRAKIAGDQIDASSYLFHDADVCSARPHDLVRDRTFACVPCRDAGDGTQWYFFSRARCGGKSMTRRSRTIDGTNGKESWHSEGSAVAVEGTAGGGFVQKFSYHVRTEPSGAVEKPGWIMAEYSVVNDTTRAGDLVLCKVYRSPRGPGRSCRAPSSSCASSEAAKSVCKRKATGYDEEHLEAAKTLSTRPRLTDEDDVMQFAEDIERGLLSHDDHVAAPEFVETAQHVEESQPEPQIAPGAIDGNMSFEEMEAIMMAEVVDDETTLRVPDGEDPEAFYMGVLGLLDGDQQPQEQDAFIQTVHGPRSEADVIALLASRVTVDELLLDGPFVSCSHPGGALGHLCA >Et_7B_055961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8090478:8091227:1 gene:Et_7B_055961 transcript:Et_7B_055961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAILIDMFEAGTDTSYVTLEFPMAHLMRKPRLMTKLQDEVRMSVPKGQEMVTEDDLTNMAYLKAVIKETLRLHPPVPLLIPHFSREACTIGAYTIPTETRVIVNAWALGRHDSYWEHANEFIPERFMDGGSANGIDFKGKDFHFLPFGSGRRMCPGIHLATVTTETMLANLVYHFDWQLPAGLNKEGIDMTEVFGITVQRKQKLVLVPKIV >Et_2B_021669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4275766:4282841:1 gene:Et_2B_021669 transcript:Et_2B_021669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGARPSDKARAPTATIQSTIQSIKEVVGGHSDDDIFNALRESNMDPNETAQKLLNQDPFHEVKRKRDKKKEKSTQWMKPHGQRIENDQRRTPSQSQMSGPSREFRVVRDNRLPHGVMKNRPDVGQRGLLNVQTTDMSAAVVQSGRNRSPVTTSEGQVTHQIAKHNSDSDTQEKSDAQGTMQKHAKPYLTNSQNDQQIPASDPTHGPSNVRVGGGTVGPRRQVGVVNSTRQFAGRSGSHANIQRGLAGASGRQAAFMSRNTHPNQRPDAGFRGRPVGRPFVSQNANRYYQGPTSNQKEHLRVPEYEQTKLRFGSFTSGSDGDQAQASTSPDSEQTEHVQDPVHQVGEEDTLGAADDEVDEQARSSQHLSTSTAEISSPPSEDSDRMNGQVENDDGLGLVQSDTPIGVADGGNTQITSSLAAFSLYGLVETNVHPQVLASSSQGYPPDNPEADNAVQVFRMQESNAHSKVLPSTSEALNPQIVSSGPASHQQHMSQQQAAAQMYPQMHMQHYPNYMHYRQLYSPVYCPPMPVPNYSANVPYPSNGNNYLQMPGGGSHLTAGGMKYGVSQYKPVPTGNPSGYGNYTHPAGFIGSPGVIGPGVGVDDVNRMKYKDNNIYASTPQVETSDIWIQPPREIPTMQCPPYYNMPGQATPGAFVHNPANASFNATAQSSHAQFPGLYHPQQAPSIVSPHAMVHQQVPSAIGPNVGVGVAAPAPQVGAYQQPQLGHMNWRPSF >Et_3B_028144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10925726:10934244:1 gene:Et_3B_028144 transcript:Et_3B_028144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTSSAACRHGASPRPSGAPAAAARWYWGLPYRPLLPHRVYGLAINYVDHRRPHLFSRPPLRSPSSNSWGPKIDGLLGFLPKHQTSDGWWWGAMARLRKSTLVCAGPLQWPPSLCLSLGERAMRVQPRKAAVDGTLPPQRVAAGAGRDYAGAYLAFDPAVSPHYEVVTIPALPEAPSSSKDRWKFDEEIKIEIEDDGDPSRLMEWPPSTWRMSVFSSRTGQWEDRAFVRKGEPAGVVQGMRLDPFRPTCCGPRQRYAVYWQGVLYVHCRGSFILRLVLSKGKYQVFKTPMNYIKGVKPSHGRVKKIKTPTTEMKEAKPYLGRIKDSMYYGIVYNRELRIWMLDESCGHNEWLLKYEVNIGLYADHVRSPFDKNGRNLYGPWRVEEDIDDDDISDILHDKGIEWDSDNDVFFKFEVEGQDGHGRVDILGFHPSKKVVFLGNLFEVAAYHLDSRRRNLRICLTTTTTCSAACRRGASPRPSGTPASAARWYWGLPYRPLLPHRVYGLAINYIDHRRPHLFSRPPPSNSSIPKIDGLLGFLGEKRFSVLDHCNGLLLCAIGWERKLCVCNPATQRWTVLPPRRVAEGARRDYAGAYLAFDPAVSPHYEVVMVPALPEAPSSSKDRWKFDDEKADEDDPCRLMEWPPSRWRINVFSSKTGQWEDRAFVRKGDPAGIVQDMRLDRREPTWAGPRQRYAEYWQGVLYVHCRGSFILRVVLSKGKYQVFKTPVNYIKGVKPFHGRVKKIKTPTRDLKGAKPYLGRMKNSVYYGIVYGHELRIWMLNESCGHIEWFLKYEVDIGRYVDHAARPLVDKNGKKLNGPWRVEEDNSGDEGDISDTLLDKGIEWDSDNDDLFMFEVDGQDVYGRIDILGFHPCKKVVFLGDLFEVSAYHLDSKMFQYLGYSRPQCYYHNYTNGIFESFVYTPCMIGDLIDGDNTRQS >Et_5B_043124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7957789:7959770:-1 gene:Et_5B_043124 transcript:Et_5B_043124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIFPGDVPKVPSGRRVSSRQASELDGVALLLVRLVELQGRWRERHHHMLWRRDGDAVRLGLLRHGGHRASQCADVEDDHERRVVEPGGTAGERPGALDEVRHEQAHLLDRVVEGAEEVDVVALAGVVDEARVQGEVGTDVPGPGGERRRGPDAVAAAVGGAVVEDVVVHVVDRLGCRHRRRPYHGRRPGGVLLLDQRRDAGDVRGRHGRPRDDVVVGSPAGQWGVAGPGGKNVHSWCDDIRLEQLRGSRVGAPRREARHHRRPVLLDDRLTAEAEGRHRVRHRVHVGLELLVSVDAERETRKGEGEGPGTFPRQLGWVHSNHPCSTSVLQSNAFLISSVHAHHDLPHDLIGRPAIRFDLAAFGGH >Et_5A_040524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4787254:4788174:1 gene:Et_5A_040524 transcript:Et_5A_040524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLHTPKTMPFSIFVPSADANTNSDSSDSVAEYEDPEDYVEDGVPSEQFEAYVHGQSSETSFKAWDCKLLPPPPYIRDPSKRCPLVTSYGVVGDGGSHIVVSADGAGTYLMNTATHAWEEIGSWLLPFHGKVEYVPELNLWFGLSPKDQLVAADLSTMDSKPRLVAKWREEFEPPEEWQEAQAPQMVNLGSGRFCVARFFETVKTTRFGYDDQVIDREFAVFTGVEVVPRVRGSGNGNGRDNSNSGSDNGNGSDKGNNGNGKVKLRMITHVSRCHVSANGTTVESVF >Et_7B_054276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1918518:1919957:-1 gene:Et_7B_054276 transcript:Et_7B_054276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRSRSLKRLLSLGRRSNADESAEECIVVEPPPPPPEPYKPTWRCFSYDELHQATNGFHQDNVVGKGGYGEVYRGVLDGGRAVAVKRLAPTAAADEKKEKDFLTELGTVGHVRHPNVSALLGCCVDRGLHLVFEFSTRGSVSANLHDDKLPVMSWKQRHGIAVGTARGLRYLHKGCARRIIHRDIKASNILLTDDYQPQVISDFGLARWLPSEWTHHAIAPIEGTFGCLAPEYFTHGIVDEKTDVFAFGVFLLELISGRKPVDGSHMSLIAWAKPYLSDGVVQGLVDPRLGDGYDAAQLRRLMFVASLCVRAAAAWRPTMTQVRTETPQLSLRLQYALAILSLAKTYIID >Et_6B_048362.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:16042727:16043410:-1 gene:Et_6B_048362 transcript:Et_6B_048362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPASTPTPTRSNRNRGGLSVSITSRRPRQPSKAGVVASQFAKNPAQFTMAGGARNTEPTTAACDRERGGDGRQRRQASSKAPDADEPRQVDPVQEADGAAGPLRGAEAEQPGPDAAAGPGEEEADEDTKRLLFLAKAFYEMEERVPRKQERVRAELAAKGYVEVDDEWVKRRAEAHAVFDREWPKIQAKLDAMILEDEEFARQQRDSKASTRTTVAATTTRRKRTR >Et_10A_000390.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:7638536:7638634:-1 gene:Et_10A_000390 transcript:Et_10A_000390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHSLAALGVACPVSTDPLLDTLPNCIQEAI >Et_1B_010795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14156474:14158697:1 gene:Et_1B_010795 transcript:Et_1B_010795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LGCFTTQTYIPLEPGPSTHHAARNPLIRGGASPAKKARQISTTNSRVSPAAATVTAMISILAQERLLGFALGTVSMGGYVLHQRRSIYRSLAEAEGLAYSYQPSEITSRSTSTELAHVWNKAVDETLGRLVVYLSSRGW >Et_3A_023554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34428384:34428623:-1 gene:Et_3A_023554 transcript:Et_3A_023554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGDGSAATVQQQAGHGLSKKCVEAVKEHRARFYIVRRCVSMLVCWRDH >Et_3B_028867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:251136:255602:1 gene:Et_3B_028867 transcript:Et_3B_028867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPPAQPQAPPKPTRVSLSYEEISKLFSLPIAEAASILGVCTSVLKRICRSHGIVRWPYRKLVSGKAGDDTKNSEREKAKELLELSKAAKQKAFSLSGPSAMSSSTSQGAGKSQQGGSKAGGQVSPPGKQNALGGLALVSQTKGIPTYMDDFKYGFPSSGLSRETMKWWGASDNTDSAGPAKDMSHESAAHDASKGMTDDELDWGADEGEGEVDVTAEPSAQLCSLRRKAVDDGRKLLNGETRRGQQEFCRLNKRQKLVLAQVFGASLPREWSKLVVLYYSLRRTGTVAITNLIFFQREGREWTCNGIDVRIGGPQTAPSKSNHLWLLPCPWRAALGLCNLSMEYLKGHVQRFPRSLAGHGRLLRSALGLLACLGGGARDGLVRDLRRDEEDASGTLIQQGSPDGAEKLRRPAAAAAQLGQTKKEGRTQQERWAAKRPQREQEEDVVVSDGERKEMQAEQKAAGWQRRTHDEHMRRKPPFL >Et_2B_018977.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20536114:20536437:1 gene:Et_2B_018977 transcript:Et_2B_018977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFYYTLPCNKRTLRFQVQRLDWRRSAWVKETRLGTNRAFFVSLIDQLGASMPADKLGLKANCIYFINTNDKGLYVHDTEQGTATLVDPGPEIPDAMEPIVLLPAV >Et_10B_002842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12281016:12292953:1 gene:Et_10B_002842 transcript:Et_10B_002842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPAVDLPDWLRNLPVAPEFHPTPAEFADPIAYILKIEAEASRYGICKIVPPLPAPPFDATLGRLKASFSANAAAAGDAARGPTFPTRLQQVGLSARNRRAANRRVWESGERYTLEAFRAKALDFEFPRHAAPPKHATPLQLEALFWGACAARPFNVEYGNDMPGSGFVAPEEMGLEPGAGANAARDVGETEWNMRLAPRARGSLLGAMGRDVAGVTTPMLYVAMLYSWFAWHVEDHELHSLNYLHFGQPKTWYGVPRDAMLAFEDAVRVHGYADDVNAIMAFQTLNEKTTVLSPEVLLSAGVPCCRLVQNPGEFVITFPGAYHSGFSHGFNCGEATNIATPRWLQVAKEAAIRRASTNCGPMVSHYQLLYELALSLRPREPKNFHDVPRSSRLRDKKKNEGEIMIKQTFVGSVMENNKFLSILLGNGSCIVIPEIEFPLPSMAPEVTVKQGLVAGTCNIKQPKTEDMFDGDSIHKIKGVENMRESPSANATTCNRRKLYETKFGTVNSTAFCLSTPDIQSGVNGKSISHQGVGLLDQGRLPCVQCGILSFACVAIVQPKEAAVQFVISKEGLSSSATHGEIMKSDDITNRIEMVPQRGHASGTDDNMINSISLALVSDKCGELYSSTHECPSALGLLASAYDSSDSDEETAGNISIDSEKYDAADGSTNIQSSGGLVLQKSNVHCQEECEERITRSPMNPAENMSMLMTRASRETDISHFAVLGEPPTSYEQWSSNLDMDDAITASSVNASSDTSLITGKGSMVPDALAMYTKDSCKMHVFCLEHALETWTQLQEIGGANIMILCHPEYPRAESAAKVIAEEIGMKHVWKDVTFKKATEEEIGVIQLALQDEDTEPTSSDWAVKMGINIYYSAKQSKSPLYSKQVPYNSIIYKAFGQENPDSFTDDEGQRSGTTKKKVAGWWCGKVWMSNQVHPLLAREHEDQNHDMVYSKAMFSATSHDKIKEPSARSTALISRSLSKRLSGRKQKNSVEKSRARKKRFTASDEPNLHQSRIGMISEANQDQARNFDDYYKPDEGYESEEAPNTQQYQHHELQNMNKRLSSKKRKGDKRNNFHELHDENGDIDCKLDIDSIINTTIGDWNNSPPEFGVVKVKSGVKLQGSKGKSSKCKTGEDLSNGNKKLRKMEKKTSTKKQKNDKTNRQFRANDTEDLDLVHDGDEATQDSWDQMPQQKTDDAKVKSRGKIKNVKEKASKCQASRNKEGKFSCDPESNRGDGAITDNWDEIPKATTDDVKVKSRGKIQSGKQKAIKRGASDGLRHVNKGAKYACDIEGCDMSFRTEKDLSLHKRDICPVKGCGKKFFCHKYLLQHRKVHLDERPLMCTYEGCDKTFKWPWARTEHMRVHTGVRPYACTEPGCTQTFRFVSDFSRHKRKTGHSSDKKRKNSFFADDDLKGDANQIIASDSIDCGISPWDELFVANKVKSHNFLCLAREERKDGSAVATKALQSHNSNMSGCSGNEDTENLLDKKFNF >Et_4A_035298.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:17993495:17994262:1 gene:Et_4A_035298 transcript:Et_4A_035298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKAGGGGGDEQQEEVVKRAVVEAPERARRTAPAPDQESKPKPKLQGGNGRARSPSPSSAHRRQQQQHLQAPPPPGTRPRREPAVVSAFGCRSGRFSPSAARRAAAESAIRRSHSAREADMSLPAKRCLATAGVNGHGGGNAGGALISRRDPGERSGRRPESPTASKRPSPAPSPVHRQAGGATRRAAARENSLSSTPERSRPRVRDVAPDAGRGGDEQPATLAGGVPGERKKAEEGALGQNPSVAMECFIFL >Et_4A_035561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29322048:29323161:-1 gene:Et_4A_035561 transcript:Et_4A_035561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTIGRGIQKGWLLGFSVVGFSYDIPVFGFNPRISRSRVGVRPARFGGDRPAVEIDPWRGSPARRRCGGSSTCSPRTGNAVSRCTTSTRLPSSPRVQPATAVRVRLGRSGVEKIVGVDAHRHSTIIFDMRTAAVRAGPDLRHTKNMGAAWAEARGRLYLLDRSAPPPIFYEGSQDFLDLEAQTYDRHRDDWFWSPLPALRTGGPQMMSSFADGATMRVSMPAAARHYVANYGLWFGFSDQTASDQCAADLNVDAEPAHRHVWTDIDGLPEYATRFSDSSYLSYLGCGRFCVTRFFRSDDHNKNVAVVTALEAMPGAEAGVIQMVRKGFKCYSHDSFEISSGWAF >Et_2A_016873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2875210:2877960:-1 gene:Et_2A_016873 transcript:Et_2A_016873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKKRSLRSQPPELRASISSPPLELDGLTGSLKPCEVTTALMALAAHSSGVLYLILAAHTGLLVHTRLAMATRGIFSFTATLFFFLLVSSIARANDQSYLARGSSISTKHDTSCNKLKNPSKQTK >Et_4A_035648.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:32388952:32391036:1 gene:Et_4A_035648 transcript:Et_4A_035648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMPATTASAFLFLSPPRRRTHLKPKLRATSTPSLSEQLEPFSRTLLRDKPTPAPAADRPTPEPTWVNPSRPKPNVLSLNRHRRRTPSPHPSSAPLQPLLRAVRDLPDDADLAATLDTFFPEGSPPSPSDALLLLNYLHPSWRKSLSLLAWLRALPDGAFPLDTIFFNVALKSLRAARQWPHAERLALDMLDAGVPLDNITYSTLITAARRCRQFAKAVEWFERMYAADGVLPDEVTYSAVLDVYAQLAMKEEVLALFDRARGSGWKPDHVAFAVLAKMFGEAGDYDGIQFVFKEMREVGLKPNIFVYNALLEALGKTRKPGLARNLFEEMTAEGVEPNARTLTALAKIYGRARWGRDALQLWNQMREKKLPADNILCNTLLSMCADVGLVAEAEQLFNEMKDPACSDVPKPDKWSYTAMINIYGSDGEADRALQLFAEMVEAGIEPNIMSYTIVIQCLGKAQRIRHAVEVLEDGLKRGLKPDDRLCGCLLSVVALSSGDDVDVVLASLEKVNKNLVKLIRMLGEAQGGVDDIKAELKGVLNAAAPEVRRPYCNCLIDICQNHGFPSQRAREVFHLAQTYGLYSKLHSREDEEWSLDLRSLSIGAAKTAFDDWLKTISDRLVQQKTLPDSFSVYTGSSTHKFAQGLASAFAAHLEQLAVPFHPSESHVGSFISSRDDLVSWLQKSSSSAAIAG >Et_10A_000468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10804603:10809544:-1 gene:Et_10A_000468 transcript:Et_10A_000468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GSNKEVMKILCCDDQASQQTIGKQDCWQGNMISIMTFLAPIPTFYRICKSKSTEGFQSLPYVVALFSSMLWIYYAMIKSNEILLITVNAAGCLIETVYIAMYLAYAPRKGKVLTATIFLLLNVGVFGLVLLLTLLLSAGEKRVVCVGWVCVAFSISVFVAPLSIIWRVIRTSSVKYMPFFLSLSLTLSAIVWFVYGLLIKDKYVMLPNILGFTFGVIQMCLYVFYFCRKKMLVLAEGKEAGNKLPETAEDHVPKKSCDVHPAPEMAATAGPAAAETKAAADIVSHGIDIV >Et_1B_011707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25198393:25200997:-1 gene:Et_1B_011707 transcript:Et_1B_011707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSGGGAGGGGAQIKGMATHGGRYMLYNVYGNLFEVSSKYVPPIRPIGRGAYGIVCAAVNSETGEEIAVKKVGNAFDNHIDAKRTLREIKLLRHMDHENIIAIKDIIRPPTKENFNDVYLVFELMDTDLHQIIRSNQPLTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLFLNANCDLKIADFGLARTTSETDLMTEYVVTRWYRAPELLLNCSQYTAAIDVWSVGCILGEICTRQPLFPGRDYIQQLKLITELIGSPDDASMGFLRSDNARRYMRQLPQYPRQDFRARFRNMSAGAVDLLERMLVFDPSRRITVDEALHHPYLASLHDINEEPTCPAPFSFDFEQPSFTEAHIKELIWRESLAYNPDPPY >Et_1B_010536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11349814:11354276:-1 gene:Et_1B_010536 transcript:Et_1B_010536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCCCSTSPYSVLAGGGAGRRLAAPSAAARWGAAGGGRAVVLAHPPPRRPSAAAAARPGQRARARRSAIRAVFERFTERAVKAVVLSQREARGLGAAAVAPRHLLLGLIGEDRSPGGFLSSGISVERAREVCRGIGESDAAAPTKGKPSSGLDTDVPFSVESKQVFEVATVLSRNMGCSFISPEHLAIALFTLLDPTTTSLLRSLGADPNQLSSVAVARLQGELAKDGRDRARASAFKVPEKAPAGAGRSAFSKSLGKKKDKGALDQFCFDLTTQASGGFIDPIIGREEEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALRIANGDVPIYLVAKRILSLDVGLLIAGAKERGELESRVTSLIREVREAGDVILFIDEVHNLIGSGTVGKGKGAGLDIGNLLKPPLARGELQCIAATTLDEHRMHFEKDKALARRFQPVFVDEPTQEDAVKILLGLREKYEAYHKCKFTLEAINAAVYLSARYIPDRQLPDKAIDLIDEAGSRARMESFNKKKEGQSSVLSKSPDEYWQEIRAAQAMHEVVSSNKMKYSSNKNGQEDGSAIVAAPYGESTESTSTSSLSVDEQVVVGTEEIARVASLWSGIPVQQLTADDRKILVGLDDELRKRVIGQDDAVVAISRAVKRSRVGLSDPDRPIATLLFCGPTGVGKTELTKALAASYFGSESAMLRLDMSEYMERHTVSKLIGSPPGYIGYGETGTLTEAVRRKPFTVVLLDEVEKAHPDIFNILLQIFEDGHLTDSQGRRVSFKNTLIVMTSNVGSTSISNGRQSLGFLKEDSESSSYIAMKSLVTEELKSFFRPELLNRIDEMVVFRPLEKSQMLAILDIILKEVKGRLLALGIGLEVSDAMKDLICNEGYDKSYGARPLRRAVTHLIEDVISEAILFGEFKPGDTIRMDIDAEGKPCLSHLDEQIIKVSDPTRTY >Et_5A_042933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7243069:7244992:1 gene:Et_5A_042933 transcript:Et_5A_042933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARHLRGGVGGRASAVPLTVADYESEPRPCRALRRAHRRQGQLRLDQGQRRLLQDSRQAVLRHLLDVVTPVVFDNRQGALASDQGLADHPRPRNIVNAFASNQAAFFDQFSRSMVKMSNNRGAAGEIRRNSCFRPKAATQIASRLITIRGGAAGVGVHDGRDRDPRHLRRLRDGEFVGRVTERVEDLVSELLLSLYFASSGLKTNVATVRGAEAWAMLALVTATACASKIAGTFAVAMACGMSAKESIVLAVVMNTKGLVDLIVLNSGCERKHFSKRT >Et_8A_057564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4529117:4533016:-1 gene:Et_8A_057564 transcript:Et_8A_057564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALFMQAFERQDAAKAQMQQQVDSYSHTLASALLAAGHLPPPWLLPHPAPRAAVERRESVEARMRQQVDYYSKSLACTVVAAGYQPPPWLLPPCADVAAQGDLISPRGPLLCGLLRFNGLIPPQGRGCTVRKPSADLIDPKCAECVHHLSTELNQAKQTPLEAVGVKPGILNITSSAEVNQCHNGASEAPHPAVNYLLHNDAFHSVIADFYEVPHPISSPLSVKEKTHADETESLDVPGSMAAPMLNNEPHSAGKPYFLGGSDNVDASLTESDGIKSAENDSLDVPHSTVSPLFEKDTSHLDEASFHEETKSVASLLLEKQTTSANSLEKSCLMASPLLSEDVLHCVHPNSHERHFFMPSLLLDKNFSYAAESEFLEKPNSMANPLPEYSLSWSGNPKFLEGRDFIWNPMPEKDAIKPAENVYTKVQHCTTSVLIEDTPHSGEASSLEGTCPMAILLLERESTSTDTLEVRHGMPSRLCDEEAGYTSDTLIREGPFIFLSPKLEMDPVHTTEHTVNLRENDSILDKYINESSRILEQQLSGCHVQTTSFSESTLQPVQLANFTPEADNVRVWNNMDASAMSQALSSASSKSARLLPTAERNCKVSLDKCLTGAEQKVCCYGNVMEVNGDLCTTVGGKQTESPASSGHNLLSGLGSNEKFSSVKSDIQETNFNGSLLLEMEPGITDLLGVPHTMASPLLDKATLDCVKTDLIEEPYSGRSLQLDMLAGHTSESHSLKGPYLLVSSQLENDLLDTVEQAEKLRKTKGYLDRSGNGSSNIFEQQISGCHMTRAPFSEYSLQLAQLPDTTLEAPETSGMQQMKMLEDNYGRFSHPHENMPEDGCGGRLHSHPATFGRCSLARSAANLEKLLSKSSSDGDVHQSSIIPSGTIINQNDYSISTATNDFVYAESEPPEMQFSLDKTHLECAETVTAAAAYMLSVPLLDPIKFQEEQSCPAQAKSSIGRCAYDSSFSRNNMDKPAMVNSAA >Et_6A_046017.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:18784471:18784845:-1 gene:Et_6A_046017 transcript:Et_6A_046017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVTALLAGTAAPPAFSSAAVAPARHARPSVGFPLPGGARAALAVECSSRPQKKGTKHHMKTRPKKTAPWDVKRKPTQYKPLPPLPPDWTLVASSATVDAEGAPPAAAPVLELAAAAAPAAAD >Et_1A_004880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1432652:1433866:1 gene:Et_1A_004880 transcript:Et_1A_004880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEDFLKVLRALRDAARRVESGEDHGPALHALLALEAGADDLLAGDPNLSVLRRLLHRLRALWCSVDLCGTAARFRRCADRRGIARVAGAVAVEIQAWIDRETIARLVAALRRSDDGGADAARALLAEVEARLLSVGRFDPRLQRALLQHGAFGAVEAKLGDPAVGDGCAAAVLALVRFNKDVFVGPVLMGPAVGALVAAAAASPAPLRALNGLVAAIRSPLVDELHARGELPRLVALLCAPDPRVRALALEFALRLGYYGRKEVVDALLAEGLVKRLLCLQRSDQGGSLADTDLGCCSPPEKPDGIHSVIFACLPGWHWRMEDDGAAALASERPFVSAVARFAVQVEIGEGLSPREKRETKLDILRRVREAAVSPAEEATVLTEVLWGATL >Et_3B_030305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32154315:32157985:1 gene:Et_3B_030305 transcript:Et_3B_030305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFTATAPAALASPSPCRPTPVSSRSLAPRWTPLRCSPPAVGLRRGSTRPRRGATLLVEAKKQTFSSFDELLEKSEKPVLVDFYATWCGPCQYMVPILQEVSEKLSDKIQVVKIDTEKYASIASRYRIEALPTFIIFKDGKPCYRFAFPEFFELKFAFDFWIEPTETRASGSGVVAILHICTKL >Et_7A_051645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2252033:2253860:1 gene:Et_7A_051645 transcript:Et_7A_051645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALATTATPLAHLALTPPPISASSQSSLLLLPRRASPVPISLSTRSRLLAAVAAKEPELGGGGGSVGGGGAGGSGGGGSDARGGGQEGEGEEEGEKKMAEGLSMSQKLTLAYAALVGAGGAMGYMKSGSQKSLAAGGISALLLFFVHTQLPVRPVFASSIGLGISAALLSVMGSRFKKSGKIFPAGVVSLVSLVMVGGYFHGILRSSHT >Et_1A_007241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32466183:32468666:-1 gene:Et_1A_007241 transcript:Et_1A_007241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRLVLAFLLMTLLSCHALRTACSTTVPASAAAAKAPASSSSPSQMRVALDAAARSVGHALTALNAVPAVGRPFPAGFVSSSPAREDCAELLGESLRLLAGASAPGAARDDAVAWLSAALTHHDTCADGLAEAGVSVVYDEAAFPGLAAARAKVRDSLAMYASSTASPGNNKDDGGCGCTKNHTQQRDACVFPRWLPSTDLRLLLSPAASLKAAADLVVAADGTGTHATIGDAVRAAPECSARRTVIHVRAGRYDEVVRVGSKKTNLVFVGDGAGRTVVTGRRSAAGNFTTFQTPTFAASGSGFMMRDMTVENTAGPWRGQAVALRVSGDRAVVHNCTVRGHQDTLYAHAGRHFYRDCDVYGTVDFVFGNAAAVLQRCTLWSRPGKDENTVTAQGRCEPCQDTGLVLHHCRVLPAADDSKPAKTYLGRPWRPYARVVVMLSYIGPHVPPAGWMPWNNTAYALDTLYFGEYRNGGPGAAVGGRVPWRGHRVIADDAEAERFTVAQFIAGASWLPATGVTFGAGLSL >Et_9B_065191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21917071:21927413:-1 gene:Et_9B_065191 transcript:Et_9B_065191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGKGKQVATDTPGTSGSSGKRRKGSGDAGPSSSSAKRRRRSGVLQFVDDAAGVDDDYEEEDEGDESEEDLDDGFFTEKRVENVSHKRTERSHPLPFLVKEEELSGDELEEFVRKRYSSGVKYASDRNYHQQDDDDQMFPMDGALKEPTIWRVKCMVGRERQMAFCFMQKFVDLQRMGTRVPIVTAFALDHVRGFVFVEAEKACDVTEACKGFCSVYSSRITSVPAAEVPSLLSSRTKPFEIARGTWVRVKNGNYKGDLAQVVSADDRRKKVMIKLIPRVDLHAISKKFGGAISLKEAGIPALRLISSQELEFFRPHIEMKRDRQTGEVFEVLDGLMFKDGFLYKRVALSSLIYWGIQPTETELLKFSSSPSNKSSVDDLDWVSSIYGSKKRNLPVDGDMKASSSKTKSSKATNLKASTSIEIFDDNEQFYLHDLVLFGRKDFGVVIAVEKDGFKILKGGPEGCAVTVKKQEIKKSCVDKMFTAVDHHKKIISINDTVRVLEGPSQGKEGVVKHLYLGILFIFNENESMNCGFFCAQCGSCENIKKQKEMGSSTTGNLDNPIPMFSEASYEHTEQRAPERPYRSTREQLFSIGQMLRIRKGPLKGYLCRVVRIFRNDVTVKLDSLLKIVTVQAELLSVPSNRGDISSGAPTGNLGSQDTTLFGSEADKTSWDNGLPSFGSDSWQPFSSSTLQVQNTDGASESDPWGKKTVSTTEDDSDPWSKKSVPSADADSDPWGKKMGSTADDDSDPWGKKTSSAAEIWNSTATQKESSIDNAWGKQAGFGGSDAAGSSWDRPTVNKESEKSDNWGDVCRVEDEGTGANTDPWGSKVKVADTKENDSWVKASIPSDSKTEDANQGWGQPLGKSNDNQGKDQVSKDVDNSGSWDTRVGAWDKAEEVRGEDQNSTWSRPGSFGGGRGQGRGMGRESSDLDGRNDQGSWKSSWGGDNAGRPWRSDNQVDNEAGDSGGCRGRGRGRGQYGGRGRGRDNGSRNGDGSNSGFGRESDNSDEPKWGNTGSFDSDKGASNKGSWGGGGDWNSNPSSNQPWGSSGGSKSFGENQPSTWNDSEDNKPSAEQETDPWASKMSSTVDADDNNDSWNTKAKDTPSGDVEKADAWGSKGGNENSKKTDGWGAGSSGSSWDKPSFSLGDQEPAWSKQRFVAGNNGNGRGGFGRGNRGGGRGRSFRDGGSSWNGGNNNDESGGGRSEDQWNRSDFDGSRGRGRGRFGRGGRNQGNDFGSGDGGSWGSGRGNGGRGGRNQGNDFGSGDGGSWGSGRGNDGRGGYRNWSDNNERRPFGQGGGWSQSSDWNSNKGASEGDQGFSKSKPSWGNDNNDSWGARKPFGGDDQAGKNDVKSNEDGWNSSRGTGAAEKSWGGSVAAPKQGEGSGSQGGGGSSWDKADDAWNNNKGSDAGNGGW >Et_4B_036189.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9343462:9343842:1 gene:Et_4B_036189 transcript:Et_4B_036189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPSWNNFLRSLLHFVVVRSGHNEGLERICRLVFLFLRWQKEEEERHKKEVSPLCLQECWLSFVGLLFSSLLPGVCPCTPSVCLCSFLYQASYRFGVEILDYTRFLDDQVVFLCVCNLMMYSSMV >Et_9B_065327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3903136:3907782:1 gene:Et_9B_065327 transcript:Et_9B_065327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYITRPVYKCLVKALPGLFHIAIAEEEFFRGANDLSNSSTLRRSEANEKRTKKRSKKSKRGARDREMAMASLARRRAADALLLRRPQAAAWASALRAYAASGEESDVVVIGGGPGGYVAAIKAAQMGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKNSFAHHGVKFSNLEIDLPAMMSQKDKAVAGLTKGIEGLFKKNKVDYVKGFGKFVSPSEVSVDLIDGGSTTVKGKNIIIATGSDVKSLPGITIDEKKVVSSTGALALTEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEIRKQFQRMLEKQNMKFMLKTKVVGVDTSGSGVKLTVEPAAGGEQTVLEADIVLVSAGRTPYTAGLGLDAIGVETDKGGRILVDKRFMTNINGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKASGVPYQVGKFPLLANSRAKAIDDAEGLVKVVSEKETDRILGVHIMAPNAGEIIHEAVLALQYGASSEDVARTCHAHPTVSEALKEACMQTYLKAIHI >Et_8B_059902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3936045:3940420:-1 gene:Et_8B_059902 transcript:Et_8B_059902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRKELIFDGSIVNAGDKATHDFLSLYSAAKDSALPLLPESKKAPPSQGFFLKTHNFLQPLERPSSPSPQPQQQAAAEKPLHARQQALPGGVGTFSISRPVADLPGAVKQEPAFALWGQPEPRGHPWTLPFAARCVAVASARPQQQMQPERKAGGGFRDAGSGSSGGAGYDDDDGLATRREVSSSLKGELTVRVETKGGSCSGSAGTDQLPNTPRSKHSATEQRRRSKINDRFQILREILPQNDQKRDKASFLLEVIEYIRFLQEKVQKYEVSQQEWSQENAKVMPWSNIYFRSVWKNAQNKDEIDGDTTSDPSQVIKNGSSLGFPFAVKPGDCNKATAFEIASGGQELAEANEKGCVSYKEADTPSNVANNVASQQEAQLTKSSPTEDSAVNNEILKDPELAIDEGTISLSSQYSQGLLATLNHALQNSGVDLSQASISVQINLGKRAAKRPAPGADSTSKDLTSQVSHDQATWRQQNDDALEHSQATKRHKSDR >Et_3A_026524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9221223:9221953:1 gene:Et_3A_026524 transcript:Et_3A_026524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTREPSSPAGADAEPRRPRVLLAASGSVAAIKFEVLCRGVAEWADVRAVATQPALHFIDRSSLPAGVALYTDDDEWSRWKRIGDEVLHIELRKWADAMVIAPLSANTLAKVAGGLCDNLLTCVVRAWDFRKPVYVAPAMNTFMWDNPFTARHLDAARELGMTLIPPVTKRLACGDYGNGAMAEPAEICRTVKLFFGPQVSLKGT >Et_9A_063099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10245751:10247760:1 gene:Et_9A_063099 transcript:Et_9A_063099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNLGSGRRRCPCRAAHRGGKGGAGCRHFHVHYHLPRQVCAFSSLRLPAFFSLLPYLLIPPVLFFAVLAFLVCFGWFTLVYFVSSLLRKRNSHECLQSTKDDKELCRNEEQGGKKEERAEKRVAECTADFAMAEVCDEGEQIKEVFVDGFPDESWDMSRLDSIDDCTDDEEHPTCEKIVEAVIIFETNCKEFRESSYLDVSSEKEQLQDTPADCFAEEIGEFSTSCDTANTFHPQSVEEFMENKMEVSANTSPEIFELTDKHETGAIVVTSSDNSAYDGSEDEEKKEVEKEMYLKYRADLTDDIFGNKLPENIFEEQHAEEKTVTDHISAISDETNDLNVDDETVRLPFDSVCESKYSTVITSNASFHHTSSYEDNGHEEEVTENGMAKATDTTSLVSDFAEDHQAIIRDEISVASNIDNTPEVAAQENSVKERTEDEDENSCTAEGLIQLIVD >Et_4A_035823.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:6779756:6781060:-1 gene:Et_4A_035823 transcript:Et_4A_035823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGLSLVGDGKLPASSASAITVTDSGYHLLVVDGYSRIKEDTPNGEKVESRHFRVGGYRWFIEYYPNGECSEVADSISLCLVLDDESVTDTLDAQFQFSFVDKAQKQVPLDIRANKAHKFDSGGCWGHPNFMKIEDLEKSTHIKEDSLTIQCDIVVTKDVNAGAVTAPFVVVPASDMHQHLTSLLQSGEGTDVTFEVGCEAFVAHRCVLASRSTVFKEELFGSPMNQEGTTPSVIHIDDIEARVFKLLLGFIYSDSMPEIEKEEEDDVMWQHLLFAAHKYDLQRLKLICEQKLCAYINTSTVAAILVLAEQHHCRGLKDACLEFLNSHTNLQEVMVLGGLDYLGSSCPLVLKEVIAKLVSPVADANTGNTSATDDLHFVEVPTSDMHQHFIGLLQSGDISDVTFQVDGERFRHTDVCSPPDPECSGLSFLAQ >Et_1B_014051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2886821:2890407:1 gene:Et_1B_014051 transcript:Et_1B_014051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGYDCAASVLLCAEDNAAVLGLDEEGEESSWPAGATPLRDTAAGGVAVEGFLTDFPLQSDDCIEALVEKEEVHMPADGYLQKLHRRQGGLDLAAIRRDAIDWIWKEGKAWMAQLMAVACLSLASKMEETFVPLPLDLQVAETKFVFEGRTIKRMELLVLDTLKWRMQAVTACSFIDYFLHKLSDHGAPSMLARSRSSDLILSTAKGAEFLVFRPSEIAASVALAAIGELRSSVLEKAATGCKYLDKERVSRCYEMIQEKITLGNIVLKSAGSSMSSVPQSPIGVLDAAACLSQQSDGATVGSSPATSVNNWKPEAAIWMDIRNTCPGYSLARSNGAKYNI >Et_4B_037966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25096888:25097449:-1 gene:Et_4B_037966 transcript:Et_4B_037966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGARSAARRYYTDHQRRLHIYTQTANCWLRPLDGATSQAEWQGLTTELKNALSTSSEASLRSKHITYSITVASNGSVILPSSPANPNSSCDMARSSPKTAVPRYSKGTSNRLPSAEYTTKWPFPATNDVLHGDPSASFISAVDGISRFLARAAILCHFVAI >Et_2B_020471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20547409:20552018:1 gene:Et_2B_020471 transcript:Et_2B_020471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDDAGGGTGFHELFDSVRRSISFRPAAAAPTEPPAVPFGGGGGIGVRISSCLRKSRGMGLLGLISKSPSPPRRLLPPAPDESVSGGGGGGCLGEGRGEENPPIRWRKGELIGCGAFGQVYLGMNLDSGELLAVKQVLIGTSNATREKAQAHIKELEEEVKLLKNLSHPNIVRYLGTVREEDTLNILLEFVPGGSIQSLLGKLGSFPEPVIRKYTKQILQGLEYLHNNAIIHRDIKGANILVDNKGCIKLADFGASKQVAKLATVTAAKTMKGTPYWMAPEVIVGSGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVALLFHVGTTKSHPPIPEHLSPEAKDFLLKCLQKEPELRSTASDLLQHPFVTGESDNLQQTVNRAAHKFRPLQLIALVPRGLNHSSNWSTINSNGSSKVKPLWEGSCDDDDMCEFADKDDYPAVGSSYNPMSEPFDNWESKFDISPEQNSHQSTEFSGLAKHGESGIIENDFTFPCEGSCDDDDVLTESKIKTFLEEKALDLKKLQTPLYEEFYNTVNAGSSQGADQASKGKFTNSSKLPPRGKSPPSMKKGGVSPTCDHLNNTSPVSCSKQFPRSSVESSRILREIASPQLNEFGDKSHLDVPDSPSISFAERQKKWKEELDQELERERVMRLAGCGKTPSPNRGPSVKRAPC >Et_8B_059446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1870172:1870518:-1 gene:Et_8B_059446 transcript:Et_8B_059446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRVRELSKRWCGAAADAEDREEAGGAVQEASPGPLHMPQGNYLSTVDSVKWNHGRSTCAALMGSDLCLLEVVVSSAVMYLVALP >Et_5B_045510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3380821:3383807:1 gene:Et_5B_045510 transcript:Et_5B_045510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLLLHGTLDATIVEANHFNASVRKVEDGVQKQLKGPDRGNPLLYATVDLNRARLARTRLVDENQGNPQWNESFHIYCAHFSSHVVFSIKLSFLFDAFLVGRAYLPVRDVLDGQEVDRWLDVLNDDDKKPLPHGPKIRVRVRFTDVTRDRLCGWGNGVGDGKLFPGVPFTFFEQRLGCKVTLYQDAHSLETFKQKIELNGGLPYEPGRCWEDIFDAISNARHLVYITGWSVYTEITLVRDGNQQHSGGNVTIGELLKQKAREGVRVLMMAWDDSSSPFNLGIIRGSVGTHDIDTKAYFKGSDVHCVLCPRNPAEGNSFMQARETAWLMSHHQKSVIVDYDMKDGGRRRIVSFIGGLDLTDGRYDTQDHSLFRTLNTVHSNDFYQGSFEGPSIKMGGPREPWHDIHSKIEGPAAWDVLHNFEQRWRKQVDKDLLIDLKGMEDVIVPLSQVVVSPDDPETWNVQVFRSIDSSACAGYPETPEKAAQFGLVSGKGHIIDRSIQDAYIHAIRRAKHFIYIENQYFFGSSYGWRPEEGMKPEDIKCLHLIPRELSLKIVSKIEAGEPFAVYIVIPMWPEGQPATNRMQAMLYWQRKTMEMMYYDISVALEAKKVNANPRDYLSFFCLGNREAKLAGEYEPASHPWNGTDYARAQQARRSMIYVHSKMMIVDDEYIIVGSANLNQRSMDGARDSEIAMGAYQPFHLNTRDQFAKGQVHGFRMSLWCEHLGMLKGEFQNPGSLKCIQTVNKMANQFWELYASGNGAVEHDLPGHLLSYPIAVAKDGTLTELPGMKFFPDTKAPVLGTFHDDLLAPAILTT >Et_10B_002698.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:8986385:8986942:1 gene:Et_10B_002698 transcript:Et_10B_002698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GTVCSSAFKQKLLQALAFFKQSTDLHLLVQVWAPVKNGTVMCLPFLDNPLPLTITVTDLLHLREISLMYICAVDGNNARELGLPDRVCLQKVPEFTRNILYNSSAEYPQLNHAISNVHRRVALPVFDPYTQSCISVIELVTSKKFNYADEVDKICKALEVRIFVPCFLLSQSISLVRYTLNRPYI >Et_8A_058045.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1655259:1655441:1 gene:Et_8A_058045 transcript:Et_8A_058045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSVGKSVLDGAIGYAKSALAEEVALQLGVSGWAWFTRGANRSEPSIHLAAEGHHV >Et_10B_003752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5536677:5537085:-1 gene:Et_10B_003752 transcript:Et_10B_003752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKDVFSYVFKMVQEHMGNDNLKMAIINSGCKDSMPNKLPVFVFNFQQANFPLGPFTTPLAQGKVGVQNLYALGRSSSIKGSNPLVCLLHSILAINPITGSVTYTYRGLQCLEVS >Et_4A_034251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31161891:31164596:-1 gene:Et_4A_034251 transcript:Et_4A_034251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LFQVLLVFTTMAGTRWPNVSGLYCKDMSSSVYRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAQLFVPAGRWLTGSFSLISHLTLSLDKDAVIIGSPDSSHWPVIDPLPSYGRGRELPGNRHRSLIFGSNLTDVIITGANGTIDGQGAIWWGWFHNHTLNYTRPHLVELMYSTNVVISNLTFKNSPFWNIHPVYCSQVLVQHLTILAPLNSPNTDGIDPDSSTNVCIDHCYVRTGDDSIVIKSGWDEYGISFAHPSSNISISNITGENQGGAGIAFGSEMSGGISEVRAEGLRIVNSLHGIRIKTAPGRGGYVKNVYIADVSMDNVSMAIRITGNYGEHPDDKYDRNALPMISNITIKDVVGINIGVAGILEGIQGDNFSSICLTNVSLTVQSPHPWNCSLIQGYSNSVVPESCEQLRSNCEQTPVCYDGSSYSEMRTQAPTHKLNFSRLLNPLLKLATL >Et_3B_030712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6671166:6674066:-1 gene:Et_3B_030712 transcript:Et_3B_030712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSNVTVLATYIYQFLQATPLIEGLAVAAAALAGRYSIQAWHAYKLRPVVPRMRKFYEGGFQPTMNRREAALILGVRESANAEKVKEAHKKVMVANHPDAGGSHYLASKINEAKDVMLGKTKGSGSAF >Et_2A_014946.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:22775601:22775756:1 gene:Et_2A_014946 transcript:Et_2A_014946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRLRELKKVVPDAQDADVNMLLRQTAEYICILELKVTVLRSLSAIYGV >Et_6B_049177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19078742:19095023:-1 gene:Et_6B_049177 transcript:Et_6B_049177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVVYLPVSQASRSVPSFLFRPQNTVTCPVLFSRVADAGEERKHIVTAVKRTSIIGALQEQDKGGD >Et_5B_043956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18246071:18248626:-1 gene:Et_5B_043956 transcript:Et_5B_043956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELASSFAAAAASSSASGGNAAAALRGWWDDVNESPEWQDAAFFSLAAAYALVSAVALIQLIRIQRRVPEFGWTTQKVFHLMNFLVNGVRAVVFGFHVHVFLLPTRVYKLVLLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRPAYIAVNAVVYVIQVCIWIYLGINDNSTVELVSKIFIVAVSFVALLGFSVALSAFDPDVSLEVLDHPILDFFYYMLAEILPSALVLFILRKLPPKRVSAQYHPIN >Et_7A_053148.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:8205670:8206188:-1 gene:Et_7A_053148 transcript:Et_7A_053148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRQNRGFRLGRKLLGVWRWALCSRRRRRGGYLRLRTCSGGGAGGDCAERAARKLAPVLRWGRSLARRLRLGWRAGGGTRMLDGGEAAAAASTPKKGQVAVYVGGAAPGESMRYVVPVVYFNHPVFGELLKEAEEEFGFQHPGGITIPCPAARFERVAAVAAAGKKGFGRW >Et_4A_035898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8059982:8061367:-1 gene:Et_4A_035898 transcript:Et_4A_035898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDRCHKVQEPKFDCLLFDLDDTLYPMSSGIAGHVKKNIEGYMVEKLGIDESKIEALGNLLYKNYGTTMAGLRAIGYSFDYDEYHAFVHGRLPYDNIKPDPVLKHILKNLRIRKLIFTNGDMVHAVRALERLGLEDCFDGIICFETLNPPCPPCDGEPRIFDIAGHFAGSGTADELPKTPVMCKPNVDAMEEALRIANVNPHKAIFFDDSVRNIQAGKRIGLHTVLVGTSQRVKGADHALESIHNIREALPELWEEAEKAEDVLYADRVAIETSVTA >Et_3A_027132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3467875:3468888:1 gene:Et_3A_027132 transcript:Et_3A_027132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGTLKSFWGRSRREQEDGRRQEWWGRGSIGGPAGGRENPGGGGHAPAFAANNPDTLLVVATLITALSYQLGTNIPGGYWQDDNDKGKGYTAGDPIMRDKHWQRYWMFMIASWAGFGSSMLLTGVPARSRLVLYAFVVAYSSLVLAFVASQPHTWLAMDLGIGLGVMAALAVATKYMRLDKLNFWDARINGLEYL >Et_4A_033227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20093096:20094276:1 gene:Et_4A_033227 transcript:Et_4A_033227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGPGSPLSTSPRPLRVVIFPWLAFGHLLPYLELAERLALRGHRVSFVSTPRNLARLPPPRPAAAPGVDMVALPLPRVEGLPDGAESTNSVPSDKFPLLFQAFDALAAPFAEFLRAACAGGESTRPDWVVADTFHHWAPAVAAEHGVPCAMLLSSAALIAGWTVRPSVAASAFDESAAVDERPPDEHAAVRWLDAQPASSVVYVALGSEVPLRVELVHELALGLELAGARFLWVLRKPSGVLDADILPPGFEERTRELGMVTMGWRPVARRRGRVPDALFGHPLVMLPIFGDQGPNARLMEGKKVGLQVPRDEDDGSFDRHGVAKAVRAVMLEEEGGRADFVANARKAQEVVADKQLQERYVDEFVQPLRSYATSTYGNSTIPAET >Et_7B_054537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2297781:2300887:1 gene:Et_7B_054537 transcript:Et_7B_054537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEDVYVAAIDQGTTSTRFIIYDRHAKPVASHQLEFKQHYPEAGWVEHDPMEIIETVKVCMANAVDKATADGHNVGAGLKAIGITNQRETTVIWSKSTGLPLYNAIVWMDARTSPVCRRLESELSGGRTHFVDTCGLPISTYFSALKLLWLMENVDAVKDAVRTGDALFGTIDTWLIWNLTGGVGGGKHVTDCSNASRTMLMNLKTLDWDKPTLDQLGIPVAILPKIISNSEEIGVVADVFPLAGIPISGCLGDQHAAMLGQLCQKGEAKSTYGTGAFILLNTGEELTQSSHGLLSTIAYKLGPSAPTNYALEGSIAIAGAAVQWLRDSLGIIRTAAEIELLAETVQDSGGVYFVPAFNGLFAPWWRDDARGICIGITRFTNKGHIARAVLESMCFQVNDVLSSMHKDAGEAGEVKSAEGEFLLRVDGGATVNNLLMQIQADLLGSPVVRPADIETTALGAAYAAGLAAGVWTKEQVFAGLHKENTTVFRPKLDEAHRKKRTDSWYKAVSRSFDLADLSL >Et_4B_037656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22123804:22125230:-1 gene:Et_4B_037656 transcript:Et_4B_037656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIEIASQQATRLHWQLTRSKPGGGAHTWVGANSREKWLHTRHRRSGTGNPSFITQVWSIHPDRHCTRTCLSSRPKPNYLPPALSRQPLPQLAAENSSGAELDAPLHGIGFRPFKVLHGGVSALVAEGLASMGAHMASGFRRVAGVQLSINHFRSAAAGDTVLAQAVPVHVGRSTQVWEVKLWKMDPSTEDKGPQIAEAGVTLLSNLPLTEDLKNSGDALRKYSKL >Et_5B_044866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6625254:6626377:-1 gene:Et_5B_044866 transcript:Et_5B_044866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLACFGRRTASAPEEGAEQAAPPGPVLVELFSSQGCAASPEADAVAARLAQDSGGAVVVLGFHVDYWDYGGWKDPFASSAWTVRQKAYVEALRLDTLFTPQVVVQGRAHCVGTEQDALAAAVRDAPRYPAPAMKVTFQRPNPTTLQASFTGTLRSKVEGVGGASVMVALYESGLVTDCGRGENKGKSLLSDHVVRRLEKVAALREGASAKKSVSGTVQFALWDGFRATKCGLVLFVQNAAQQVLGVQHFDLPDNV >Et_2A_018713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5759766:5763963:-1 gene:Et_2A_018713 transcript:Et_2A_018713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALGTQTQSPGKGLGKQSAFPPPSAPAPILVKTPDSPPPSTADPFLVRTLASTPPSDAGPVMGMPAASPPPRVPVPFLVKPPATPPRRAPAPTEPSSEARDLSWVLLDRFVHRAMRRRGVVEGDATTSDVSYLGKQFQRNFTEISDISVADPPAVSRLYLHWISRSAIKKALDPTVVAAHRHSILFNLIVPVEDPSWWHISSCFPIDYFVYSATSSSTPSLTRLPPCFEGGFVNPKLDKPFKPYRRQQQRCMLDQDMGLLCHGDDGEFTVADLTHRSPHEVELCVLHHRPPTSGTIPMQWRVHKLPTPPDMEINPSLWTTDAIFPFDGCLCWVDYYQGLLLVDVLLTNNDSDSESKPDQQQKQLRYIALPKQARSRRLYIDAFSPDPFRHVSVTEGGIVKLVCIFTKDCSSDFSIIIWSFDINKGTWIKDCNATIGTAEFFGLFDAVQSNIPRVTPSFPVVSLANSDIICFLLEEELEDHIIYWMAEVNMRHKVLLSTAPLIKEVEEGYSPEKPCRMFYGQYFIPSKFTSYLGQDCAACRKLSILMQEEAKKQRGAMQKKAQLEEEEEEKKKKKNKNKNKRKKN >Et_5A_042098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6297996:6302451:1 gene:Et_5A_042098 transcript:Et_5A_042098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDFVAVGGGGGAKRRRVDARGARGEEAAALPVVRISALPDDLRQRILTHLPLKEAIRTGVLSLEWRDLWKSRWSHRSSVEVHVCSRDDLQRELDALPRPRRRLDSFSLIVDICKLRSTELRRFLDYAAECRVEVLHVETRTSTAEKLNFHLPRSSPLLARLSLRRISVSKMYYKGAQPFHALEVIRLHFVSMTEAAFSKMMRLCPNLRTLDLRGCDCSCSFNWSRFILLPASMRSVTFAECEGAVILDWANVPRLQSFLYSGNFFDAPFVLPGVATLSDLYICLGSSISGCNNIREFDKSLPNDLSGLSVLTICSNALPAASPLSDDEATPQLPKLSKLHGLRELQLLMLKMMAANLADIYVFLKTCQCANLERLFIHLPKSDEVEASLDEVGEEPLEINLDNLKIVKVMNFKWRCIEVQLVSFLLRKAPSLNKLLLVSPSVAPLDVAGVIVIKASTDLWMLHYEASFSSFLRLPCILGRDSFSTSFASRSRAECGRIRPSAQRGSLAKDELV >Et_2B_021046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2655115:2658676:-1 gene:Et_2B_021046 transcript:Et_2B_021046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHRRNRRRRPAPPAPPRSAAAAAPAAAMELFPDGHQVRLRNCVRDLYLHADEDGVGVYLSPVRASLHAAWQVHRVDRDGRGFILLFNAAYGRYLTATHEPPPLGHIGHRVVQGAYDDGEQESALWLPVKAASRDDEVVLTHVSDRALRANGRYRRWLTGVTVDNLLHRGRGSMMVWAVEAIPPRPEPQEGPELPPERSVSSMSCGDLGNPGVLFGDFGCSLNGVASAADAPKKLLPLECAPAPPPPRVPAAAMELFPDGICVRLRNRSCGMYLRADEDGVGVSLSQRRESLDTACAGLGVTAEQPTSSSTTPPIAGTSPEEVPPGYRAIQGTLDWEEAVWEAVRVADGAEDILLRHVSTSRFLCANTGVSVDHYSKLSTTMNWMVEAIPPKPEPPDRLPPSLSMGGAGFLAVQDAVQNDSSPQKHETPSEAHVDITEKNKLGDEKHHSVSSAGAGLEEHPGIGIDPAEEILRDAEFDLDGVTVEIDNMEVKYKNMQERMCNVQEQTKDQKRKMLQGLKSLKTAIDQKKELQSRLEQVVQSARVVLEREVLRLQNA >Et_4B_037640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22011196:22016253:1 gene:Et_4B_037640 transcript:Et_4B_037640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPTAAAAATAAAEATDGPALSVISKRLRALRKKHNRILQMEESLAAGKTLNREQEEVLRSKPVVVALIDELERLRAPLASAVAEELASRPAPAPAAAAPAPASSSDSDSSIQDLLALIYFGSLFDVKQQSEFVATMVARSHERNCCITYDYVTDDAADLLAETDLDAVSALAALAASRPAAAAGVSHRDALQACAHHARLWLRRAEEPIHPDSGITYAGVRAKLDRIIASDYFTAQATLDVAAAVGSYGASGVQEQESLVVPPEAPAVDQGVAVEGHQDEEDAQAAETYTADQSPPVDAQHVGDEALVNPTDEVPSDEAEQERFDADVEDPEQKDQQFIQRRSYQNQRGGGGRGGGRRGGYPNGRGGRGGRGGGYQNGRGGGGGYQNGRGGGGGYQNGRGSGGGGYQNGRGGGGYYYDTGYYQQRNYNSRGRGGRSGGNSYYDNHGGSQGGGHGHSGRVELDANA >Et_7B_053621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10351657:10356103:-1 gene:Et_7B_053621 transcript:Et_7B_053621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHERAASLALAGLSLAPLVVKVNPNVNVILTACLTVYVGCYRSVKPTPPSETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTAYFFILGIVALSATLLPSIKRFLPKEWNDNVIVWKAPFFHSLSVEFTKSQVVASIPGFFFCMWYASKKHWLANNVLGIAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKQLLEFDESKAEGEEAVEETDDGSKENKKVD >Et_4A_033665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25587575:25599060:1 gene:Et_4A_033665 transcript:Et_4A_033665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDDFGDLYTDIIIPTPASTSAPANPAPAETQSRPPPNPNPTPAAAAAAAVEDDDDWLLGGSDPIPGVDPTGDWADEEDDGAAPAPAPAPPAKREVAAAPAKPASVAGDLDPLMGGGVGDSEPLIPGLSSSAAAGAAGSDEWDSDSEDDIQIVLNETDGRRGLGEDGDDEEGDDLVIVADGPHIPGMEEQDWGEDPTATGAEGERKEGGEPGKAAAAPGGRIGYSGGGQGFHPQHHSMFKVSDLGQEAARCARATPAEGDGVKPVATHYALCCTVLVRYVRPGAPGASVGGAPGAPGQFRPPAPPGPFPGRGRGDWRPAGGRGINKGFHSGYGMTPWGGSGRGFGGGLDFTLPPHKTIFDIDTDTFEEKPWKYPGADISDFFNFGLDEEKWKDFCKQLDQLRLESTMQSRIRVYESGRSEQDYDPDLPPELAAATGHPEASADNRNKTDNGHADFSGQGRGPANARAPVMTGRPIQVETGYGERLPSIDTRIPRMRESDSVIEIVCQGQDSTIDDTMDQTEKDSQGENKEANDSEEGKPYQSDKINNTGHNSKFEKTEHRRWLPASSESDMLSTDVHGRSPPSYKTTGSPRGGRSLKGSSLGQSSCREIESSNEVIPRQTFSKRRRDSRIENPAEGSETKGDSEGSPIATDDAADKLSTDHIDDNDDRIALVDSVEVEGDDATSDPNTPSEANEGDNLVHPRRKKKPISVVEQTTGQNSSEQDELRGLNSDNSKGRSGSSKDQQKRQESGEEVLQARHSKRVNDVRRHHDAEDHNPRRKDEYSRDVKLDVERSHLPPRGREDIHQPYANRDRVDTRGRSYDRVRDAEMWPRREDSVHSRRTKEEDMRLEYNAEVSARHRNKARPIDRNDRDEDLHSRKRLDDGDWRGTRQRERGDMILSRREGLDEPHIKRNKDDENLRRMKPENEDTAHRARDDHNRRKRERDDGAEQKRRDDSGRMREKVDDRHHAKQKDDSWRQREREDRQRPKHENVLTVQREEGRGTGRGGRIMDDKLASGARKKDEPRSSVLSKESQERTRKNEPSRGQGAEENNMQNKGRSDVHPRESNTERNSRQEKLNNNRPSGSSDARHAGRDRYRENTRKGRSSEPSEHDLHRSNKRRREDHDSHRSGKVCNYVKTVFLNALALEIQAVETKEASERENSRSHATSKKSQNPQPDTSFMKQVEEDAMSDDENHEDSRRGRSKLERWTSNKEIEYTNVENETTEIFLPIKADVQTPANELGKSDVSATVGNSDTKGSADTGQTSDKIAEDRDRHLDTVERLKRRSERFKLPMPGEKEAPQSKKVDTEVQPPQNESAAVDMEVKPERPARKRRWTGS >Et_1B_013816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1865037:1869064:1 gene:Et_1B_013816 transcript:Et_1B_013816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRFLHLVATNVIRGRRAYTFHLIDPSRFFHPTTPSHGSTDNIKEMEEFQLAPSFMTFHGPSQLGDLGAMEFMPLGAPSRKGIVAVDRKCRTILLDTATHAVSTMPALRAPKVSPVSISVGDSLYVLKTNAMPDEEHCFEALIRERGPESRCSQDWYWHTLPPPPHVLYPSDELNEVCFRDIIGHALFGASYIWLSTEHAGTYSFCTVQRSWSKVGNWAMPFDGRAEYIPELEVSLGFSSDNLLCASDLVSISLPHPPKLLGKWEDVVQSEKWTPISSELVPLGSGKVFIAKFFEIREKRCIQDDCVYVFTGVELKKSGGQLRMRKHKSMRFTPNYHFRHWLRLAKALLNTYHGSQLRAKGEERKGYENARCSLVVSAPGRHDFSHRLLWCCGPRRGVLGLALDPPPASWELHEKPALDSAEDLV >Et_2B_020604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21837878:21839701:1 gene:Et_2B_020604 transcript:Et_2B_020604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTMAAIQKLYEVCKVSFSEKGPLSAEAVDNVHTVLDMITPSDVGLECESQAVRFWRNPRALNRKRVFHSSPAIRYRHIYECKSFSIGIFCIPASSIIPLHNHPGMTVLSKLLYGTVHVKSYDWIDAAEPFNLSKVRPAKVVKDGEMSAPCGAMVLHPEEGGNVHAFKAITPCAILDILTPPYSSEDGRHCSYFRRCPKSDPSGILLNRTKGSDFVWLEEYHPRDSFVIRRDLYTGPALNL >Et_8B_060181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6922789:6924987:-1 gene:Et_8B_060181 transcript:Et_8B_060181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADVGLLYSPPADAAAPEPASSRLALATADAVMCVWFASAWVHFAGYAAVAITHAICRPTEVDDTAWAVATFAALFSLLLCTIIVRLLCICIQDPDDDDKVPANPRSAEAAIWEVFTDNTVIVVGSLLAMTLFFLVPVGFLVKGDSPIKGSRDERIGSAMVNAGFLGMGASFCLIVIPSLALKAWRMRRSGWRWSSAFELNPDKHHYSPLSVITKKPADKALYRRNCCEDRQGATTADSLLRK >Et_6B_048867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14791478:14798491:1 gene:Et_6B_048867 transcript:Et_6B_048867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARMTGGRLALVAALLCAAAAMAAAQQASNVRATYNYYRPQNINWDLNTASAYCATWDAGKPLSWRSKYGWTAFCGPAGPTGQASCGKCLKVTNTATGAAITVRIVDQCSNGGLDLDYDTAFSKIDTNGQGIQNGHLTVNYQFATKAMAGIRGARVLAVAALLCAVAAMATAQQASNVRATYHLNVGGAKGGLHGPTGSRGGSRPPCCPGESATVYNPAQNGWDLNRVSAYCATWDAEKPLSWRQQYGWTAFCGPSGPRGQPACGRCIRVRNRGTGASTVARVVDQCSNGGLDLDFETVFKKIDTNGLGFQMGHLNVDYQFVNC >Et_7B_053859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12844133:12844636:-1 gene:Et_7B_053859 transcript:Et_7B_053859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMVATPVQLRTTGRLSSSQNPRAGRRFTAVRASAEAMATEKLGIKVERNPPESRLSELGVRQWPKWGCDKSKFPWTYSAKETCYLLQGKVKVYPDGHGEEFVEIAAGDLVVFPKGMSCTWDVAEAVDKHYNFE >Et_3A_026793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2115305:2117403:1 gene:Et_3A_026793 transcript:Et_3A_026793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLASSLMSHSHRHSAPLYLLYDVSSLTKHGLAPPPPVHLNDDYFDDDGDGFEFGTAAKNGGDAALRACASDVSSAAFADELFRAGALLPLRLPPRLQRPARSAGASAATSPASAGCRTKHRGFDPFAAALEKVRKDAAPRRSRSFSPPMLRSAAAAAAVSAHNNKDGSSRPTQKAGAAAVAARPTWPAKKGVKHLLYRAVMASAAAAPRPLRPRKKDGVSYRQGLLVL >Et_6B_049683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8866532:8870549:-1 gene:Et_6B_049683 transcript:Et_6B_049683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKDDEACMFAAKLLGGFIVPMTLKAVIELGLIDQLLAADGHGMTAEELAAGLPCPGKTAGMVDRMLRFLASHSVVNCTTELGPDGTACRSYTAAPVCKWLAGKGTEESLVPFGRMILHKASIERWHYLKDAVLDGGTTPFEIAYGLPMFKYLGKNESLSMLFNQSMSSLSVIVIKKLVDIYHGFEDVSVLVDVGGGDGTTLAIIKGQYKNLRCINYDLPDVIAQAAHIEGVDHVGGSMFDKIPHGNAVLMKHVLHNWGDEECVKILKNCYTALPVNGKVIILESILPASPLTTLEAQYTLQKDLAMLVNFAGGKERTEQEITRLTREAGFHGEYKATYIFCGMWALEFTKQIAMYV >Et_2B_022828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6143168:6144398:1 gene:Et_2B_022828 transcript:Et_2B_022828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAATPSAGIARGEDDLKTSWPEVVGWVTLNAAFNISSDRPDVSTDFYMLPTPLPPVEQGLITYKLCTYKCGHAGA >Et_1A_009362.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:40439642:40440211:1 gene:Et_1A_009362 transcript:Et_1A_009362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRSLIMIIVCVVVAAVMSTAAAAEAEECSRPVTVELACREASETHAGVEYEHCVASLAGSIKQQKTDQDMHGLAVAATKLAIEHAASTASKIDDLSELEEEEEARGRFNHCLEQYGGAADLLRDALDNLKARIYGKAMEQLAAALGASESCEDAWNKADRRRVPVAAHDREYGRMAHIALGFTHAAA >Et_6A_046963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23271333:23274225:1 gene:Et_6A_046963 transcript:Et_6A_046963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPGSGGVVDDSEDYSPEVTVVRFDPPLPLLRAPVPSSPGPGGEGPVLAFRDAASWQAAWEAAEASLFTQCEAGARSGCSITASRKCKPPWWKGLFGAAPTDYQERERCEEREMAACLEAAKEACNKFAKEKCIAPFREARIAAEGFLENSDLIVWNAGKTSASLSVLNNQHAICADPGVTNYRGSDLLDRLSSKENKNTG >Et_10B_003114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16707046:16709056:-1 gene:Et_10B_003114 transcript:Et_10B_003114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQNGVKQAVVAPMEVSLEAGNVGDAEWRDDDGRPRRTGTMWTASSHIITAVIGSGVLSLAWAIAQLGWVAGPAAMLLFAFVTYYTATLLAECYRTGDPETGKRNYTYMDAVRSNLGGAKVTFCGVIQYANLVGIAIGYTIASAISMRAVRRAGCFHGHGHADPCKSSSNPYMILFGAVQILFSQIPDFDQIWWLSIVAAVMSFTYSSIGLSLGIAQTISNGGFKGSLTGLSIGAGVTSTQKIWRSLQAFGDIAFAYSFANILIEIQDTIKAPPPSEAKVMSKAARLSVATTTIFYMLCGCMGYAAFGDAAPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFCQPIFAFVERRANAAWPNSAFIAKELRVGPFSLSVFRLTWRSAFVCLTTVVAMLLPFFGNVVGLLGAVSFWPLTVYFPVEMYIKQRKVPRGSSRWICLKMLSAGCLVVSVAAAAGSIADVIDALKPLPQLQPAKQPQYSLICAKPGAPQQIREDRPNCDRQINMLHE >Et_7B_054891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5215475:5217524:-1 gene:Et_7B_054891 transcript:Et_7B_054891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGKETVTASFLRFLLLLLLPLTALYFFYTLHLLLTSASSSCLPDDSASSLSVSRLSTNFTAAATSETPPAAAASTATTLQHVVFGIAASSRFWDKRKEYIKVWWRPRGAMRGYVWLDRAVRESNMSTARTGLPAIRISSDTSAFPYTHRRGHRSAIRISRIVSETFRLGLPGVRWFVMGDDDTVFFPDNLLTVLNKFDHRQPYYIGSLSESHLQNIYFSYGMAYGGGGFAISRPLAEALARMQDGCLRRYPALYGSDDRIQACMAELGVPLTKHPGFHQYDVYGDLLGLLASHPVAPLVTLHHLDVVKPLFPGARSRPAAVRRLFDGPVKLDAAGVMQQSICYDAANRWTVSVAWGFAVLVARGIMSPREMEMPARTFLNWYRRADYTAYAFNTRPLARSPCQKPAVYYLSTARRADGRGGETTVTRYERWGHPNETRPACRWDIADPDAHLDHVVVLKKPDPGLWDRSPRRNCCRVLSSPKEGEKGGKTMTIDVGVCREGEFSQVAGLYGSILLGGIDGFSVGSLFASLTESQVK >Et_7A_050652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:110294:112011:1 gene:Et_7A_050652 transcript:Et_7A_050652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKEQHVQVDITQQDDAVKSPSSDDHHKPRVSDCSTDKDSSLPLCRVCHCIEPDFRGESALGFLGIVPPSREPCAPRTDNDDDAKTSTSKDDITGSKDAPRFVEFVSPQGEIFVCPTDVETAPLHQQDHLMDLGCSCKNELALAHYACALKWFISHGSTVCEICGKVAANVRPSDFNKVLASLKDYEALRERTYTGELPYLQYEADTAVDPDAVAAIRRQRLSEISSWFNPHNSHLGGSQGHVQHPALSPSNNSVVEHSVVAATTLHTSRSLERSGVFVAMGLAVIVLAWFVAPHVGKKAAIIGLHMLLGGLCALTIIISLRFVSRLGFPKNPVWFYAILGYRVCILVPCLWGLGFTNSQHTLLMSIESIFSCDKLGFYFTSVVL >Et_7A_051770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24378548:24380878:-1 gene:Et_7A_051770 transcript:Et_7A_051770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ACKASIHVAFYTYSLDTSLSQITIANPASKKQRWWWGLRGGMMMGTQLCSSRVLSLPWNESGDEEHTVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEAPTGNEDDDDIDVNNSFCSSSDVGEKDMDYSSIEYQKPTKRRVRHTKPWSSCAKSSNRGKCHSSSKLQVRVNLTKLGTPTLWKYWKHFNLVSMNPNPSKEELFHGVQHHFQSQVILDFIHTAKRLKTLYNS >Et_4A_034620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4907696:4911390:-1 gene:Et_4A_034620 transcript:Et_4A_034620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVCAKVAGERLPLVRPPTGQLLTRRLSSQHEKRSAVSCSVRLSENIAHRIEAIAENIFPATKDHVAKAISAISRGQVIAVPTDTIYGFACDACSAGAVNRIYEIKGRIQTRPLAICVADVSDISRFALVDHLPHGLLDNLLPGPVTVVLKRGENSILERSLNPGLDSIGVRVPDLDFIRSIARGAGSALALTSANLSGRPSSVSVKDFEDLWPHCSYVFDGGMLPSGRAGSTIVDLITPGVYKILRDGSSREETTAVLGKFGFVEACLYPDVDEVFTVCAQLDLDAPGDGCIERRVADGDLVLAVRELGLEVSLLVGGGAPRRRAPLCDEPVDDVPLRQVVEAVVCEAHDVAPLGVPRRPEHDPLHRRVRVRLPEHLLQVLRLGRSRGDVHLREPDGDACLRERVERGAHVGNVRWPSSPMAIPAPFSGYGATISLSRVRYLSGSAAMSPGCSSVLYSFMNMSADPASRSLAAA >Et_4B_036359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19927696:19928217:-1 gene:Et_4B_036359 transcript:Et_4B_036359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEVQPLDPSSSPPRAAVRSRPGSWSSSGSSGGVEYTSLRDVLAESGPGSGGGGYVIDLDASNINIRNQLLKHAASAYLQSAIVDVAPRERGCLSRTWRRLLQRRRCRILLRPCGGAGDGDDPASRCAECVAGSARRLAAFFSSCFACMWT >Et_5A_041277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20537396:20541406:-1 gene:Et_5A_041277 transcript:Et_5A_041277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGRLLAVPALSLLCLHVMLSDSGGVFAQSRDGGLMPELAPSGLMPMPDISPSGLMPMPDLSPSGSPKPFVPFLAPSPLAPFFNNSTPKLSGKCTLNFTAVDKLMSTTAVDCFTSFAPFLANVICCPQLQATLTILIGQSSKQTGSLALDPTVANYCLSDVQELLLSQGASDNLHSLCSVHLSNVTEGSCPVSTIDAFESVIDSSKLLEACRKVDPVNECCSQTCQNAINEAAQKIASKDGGLTSYTGSPKIDSCRNVVLRWLSSRLDPPSAKQMLRQISNCNVNGVCPLSFPDTSKVAKECGGAIKNTTTCCKSMLSYVAHLQKQSFITNLQALNCASFLGAKLQKMNVSTNVYSSCQITLKDFSLQESGCLLPSMPSDASLDHTSGISFTCDLNDNIAAPWPSSMQAPSSSCNKYLFRFSLMHLERIELNNSSLLSNSTTLFHPNSSENSIDMTHEPLSIFQSDLQRRQHIM >Et_1B_013326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7391311:7395144:1 gene:Et_1B_013326 transcript:Et_1B_013326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSLPPVAITCRAAVAWGPGQPLVMEQVEVAPPGPMEIRVKVVSTSVCRSDVSAWQSKAQPDLFPRIFGHEASGVVESVGEGVTEFQVGDHVLTVFIGECKSCKHCVSGKSNMCQKLGLERRGVMHSDQKTRFSIKGKPVYHYCAVSSFSEYTIVHSGCAVKVGPTVPMDRVCLLSCGVSAGLGAAWNVANVSKDSSVVIFGLGTVGLSVAQGAKMRGASKIIGVDTNPEKQEKGKAFGVTDFINPNELSEPVQQVIKRITDGGADYAFECVGDTGVVSTALQSCSDGWGVTVTLGVPKTKPEVSAHYAFLLSGRTLKGSLFGGWRPKSDLPSLVDKYADKEIQVDGLVTHDIAFNDINKALELMLENKCLRCVLKNEIRNRRKGLKLPNDLDTGGAAWKASEALLLSLRNSSDAWRAATELS >Et_6A_047365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4587411:4589499:1 gene:Et_6A_047365 transcript:Et_6A_047365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALNSFRSSPNRAEVSRASMAPSQPQPANKPHVLLIPYPAQGHVNPFLRLAKALHARSFHVTFVNTEYNHGRLVRARGAGAVAGTERFRFETIPDGLPPSDLDATQDIWALCEATRRTGPAAIRELVERLGRTDGVPPVSCVIADGAMGYAVHVAKEMGLPAYLFFTTSGCGFLGYLNFEQLVKRGYVPFKDESSLSNGYLDTPVEWMDGMLPGARLRDLPTFIRTTDAEDTMLCINIKQCEVDAPAADGVLLNTLDALERRALDAIRARLPNTFTVGPLMDGPPDLITSSLWKDDDRCAAWLDGHAEEHSVVYVNFGSITVVTPEQMDEFAWGLAAAGCPFLWVVRPDMVRGDGGWALPAGFEEAVAGRGLMVGWCDQEAVLGHRATGGFLSHCGWNSTLESIRAGVPMLCWPFFAEQVTNCRYVCDEWGMGMEMPQEVERAQVEAAVRELMMDDQGRGASARRRAAEWKDKAREAIAQGGSSQGNLDKFVDEIARATK >Et_4A_032218.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24785142:24785252:1 gene:Et_4A_032218 transcript:Et_4A_032218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSDEKRPRSHAFSPPAERRRAYGAVVCRAMMRK >Et_3A_023625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1077731:1080069:1 gene:Et_3A_023625 transcript:Et_3A_023625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGDPLVVGRVIGDIVEPFVRRVPLRVVYASREISNGCELRSTAVAEQPRVEVGGPDMRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPATTGVSFGTEVMCYESPRPVLGIHRLVFLLYQQLGRETVYPPGWRQNFCTRDFAELYNLGPPVAAVYFNCQREAGTGGRRM >Et_1A_007652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36904997:36907538:-1 gene:Et_1A_007652 transcript:Et_1A_007652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTALVIKRGVVLGYGAGSPSGLRFGSLRCPHRQSSPSRPCQLSLALHDTNFVQLWQSGLRKGEKGYHLCPPPRLIGWTPLMELKNIAKNDGIDARLIGKIEPYQPLSSFKDRSALSLIEDAEEKALISPGITTLVAVTSGNLGIGLAFVAARKGYKFIAVMPDASHHGFKGLLDRVEQLEEEMEHVYVVDSYLIQRILMHTSDGQIQKAKWIYMSLSGSEGTLTGTRRFLKMKNPSVKLICVEPAESVVARQHTITYKIDEIVTQLVGISSGANAACLKASS >Et_1B_012733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34788126:34792683:1 gene:Et_1B_012733 transcript:Et_1B_012733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISAAAKASAAFAHKKELSAAAPTPTQHRAGASRRTKPCRVRAIASPARAPRAPASTGSVKSAMTMTEKILARASERASLEPGENVWVDVDVLMTHDVCGPGTIGIFKKEFGEDAKVWDREKVVIIPDHYIFTADERANRNVDILRDFCTEQKIKYFYDIKDLSDFKVNPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCNAGAFGQFATGIGNTDAGFVMGTGKALLKVPPTIRFILDGEMPPYLLAKDLILQIIGEISVSGATYKSMEFGGSTIESLNMEERMTLCNMVIEAGGKNGVVPADETTFKYLEGKTSVEYEPVYSDAQARFVSDYRFDVSKLEPVVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFLAAAKVFLASGKKVKVPTFLVPATQKVWMDVYSLPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPRDTYARMNEPIVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYVTDPRDFLM >Et_5B_043942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18101392:18105196:1 gene:Et_5B_043942 transcript:Et_5B_043942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPATPASSASASGKKKDKEKASGSSSSGGAGGKKKVTPVQVAFLVERYLADNGFTAALAAFRSDAAHLFKPHQHKPAPKGLLPLAGILHDYIELKESRVAVDSAMGAMHSLVSTYYASHPLPPPAPPMMLPLPAGAQPASPPLVPSLFVAPNTASASSPPALLPPQGTAGYASPMVHHYAHTSTAVVVHNSSDMSTPAPTSLPTKKRKATKSAGKTSSVSKKSCIAPATTSHAKGKAKSQLPIDNLEQIMNGSAPQPSSAEHSLMPNLPVQSSTVAKSLFGPLQPQVHSSPSTPPQSHHMGDQSTALQTERPSSVVSNALTQAQQDPSSVQCSMVSSKTLIVSPLKGGAYYAVERSSYHVSSPLKPTIHKSSKREHVKGKLNFDTTDARPGSNEQICDQMASTSSGDKQDDFEIDFTNLDIFNGEFSFSELLVDFDLDTEGIQCQNSSTSTDVQSGVVRTVSGMGPSSTWNAMARPNGRCASRWQCMVQTPGLLAVKRITAHQAGVAVEVQRVVLGAQNGRALQRHLYHLVVRTADLGAPSLGRATRPVKSNLTGGQSGKLRVYVNAVSRRHGQRELERDVVHAARHHGAQRVRFAERLRPNIASTRVSTCSGTVALPSLSRPVRGEGDQPTSTPPILQWADPLK >Et_3B_031060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1354944:1357838:1 gene:Et_3B_031060 transcript:Et_3B_031060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIDSDYIDLSLMGGFDMGIDLDGFEENVKKFMELPIKYLDSVHDKAVELIEDVHAMLWPPIPDGGVPNKLHETFEGPSNSNIIAGSSPASVETTLVRSIAKVSTPPSLITMESGFTDCIGTDAYEAEAVLTKSPDSTTSEEIILWNPEISAKPLRLPEPTSIGKDDYVPHVLDTDNVTEQVGLDCSSHSETISEYLTADVSKCAGNSNMHPDGRIKCVAKHHRNDQDDMENDKFEESYVHLRQNTSFKKMIIRNLSSKLRWSKQQTFVQHPEPARSQDRENLGYQVVSSSDDLDEDWEVVL >Et_6B_048641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1207271:1211117:-1 gene:Et_6B_048641 transcript:Et_6B_048641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEGQQRRRPRGDGEWRRWAVLAATVWIQALTGTNFDFSAYSSALKSSLGISQEALNYLATASDLGKAFGWSSGLALLHMPLHAVLLVSASMGAAAYAAQYYCLLNGALAVPYPLVFLVCLIAGCSICWFNTVCFVLCIRSFSASNRSLALSLSISFNGLSAAFYTLFANALSPFSPSVYLLLNAILPLAVSMLALPAILLCQPHSSHLQSMLRHDRRVFLGLYILAFITGIYLVIFGSYTTTSSTAWVILTGAMVLLALPLIVPASSSCLHGGTHRPDPVFPLNHDDDAQKPLLLSTDHQVESDDTVQKTAEHQLQDCCCETVLGKGRIVMLGEEHSAKKLIRSVDFWLYYTAYFCGATVGLVYSNNLGQIAQSLHQQSRLTMLLAVYSSCSFFGRLLSALPDILHRKVSFARTGWLTAALVPMPLAFFLMWNLQDEATLVAGTAIIGLSSGFIFAAAVSVTSELFGPNSIGVNHNILITNIPLGSLLYGQIAALVYDANGQRMTITDNCTGIIDTMVVCMGAKCYSNTFLVWGCITLLGLISSIALFLRTRPAYTTAASRSNCKHIHQVPS >Et_1B_012580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33422124:33423839:1 gene:Et_1B_012580 transcript:Et_1B_012580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSASATGPAAPTSSPTRPPTTWSASRATPAATPPSSSPSRTRTPVVRTTMAMTTSPSPRRLRLRVLVQDPSGTFSSTARTSARLGGVVLHLPAARHGTAEAGGSTRGRPWMASGFVWQKRPAQSKGCQAGWLSKADGGFSKTDGVALRQQQFNGRLVVVHRTYPAPLVNLWFLMDSEKGLWVKKHSIQVQSSDPGMFLCAEPIRPLLVLSDGRILLVQVGDESGLLRIYDPRTNTYSDVTEIMGRCSAVGLYTRGALSLANDFTPMFLGAEWFSSNAASETHCNLFLHDRYECHGSELGTRYALLYELERIVIIIENRISCSWSLETSKTGY >Et_4A_035418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2466865:2469129:-1 gene:Et_4A_035418 transcript:Et_4A_035418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTSKVVLGCIAFGIFWVMAVFPSVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAFASALFTNDTTCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMMVGVLTNAAILLLYFWKYLSVEKDQEGGQAAGPEVVADDEVSSHRFTPARMSHVSNMNPDDEDCISEPIIRSDSMRTSVNDNLRSRSVNSESDIQLAIKSLRASSMSHEMVEVSMVPDMKDMGGSSRKFTRTASQQRSVIIEDLPPSPEINGDEKKEAEVEEKRWKILVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAVISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQYFGYNLTFWSHLRFGVPSTIVVTAIGLLIVVSY >Et_1B_013389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:798004:798552:-1 gene:Et_1B_013389 transcript:Et_1B_013389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASDDGAACPAYPWPQDGAQGGRKGFMQSDCAACHSMLPYAGLREAAAPAGELQAKVAEILVVNEEQPAMARPLQSGSHTPVVTKMLEGLRHNNLYTAQELKKKMALPTPAWLQFLQPSHA >Et_10B_002588.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17311110:17311373:1 gene:Et_10B_002588 transcript:Et_10B_002588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCRFILTIFLLIYTIVPMANSSSWSPIVYVNDRFIHGISKWDVMQQHTTLSFCKVKSGKVQTVDFIIDDHNYELIIDVSSCAGHS >Et_2B_019337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24478103:24479335:-1 gene:Et_2B_019337 transcript:Et_2B_019337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWTAMVTGYAQNAMPVKALEAFEHMAVAGMPIDEVSLTGAISACAQLGAVRRAHWVQDIAERNGFGRNVVVGSGLVDMYAKCGLIDEACRVFEGMHEKNVYTYSSMIVGLAAHGRANDAIAVFKDMVRRSDVQPNHVTFIGVLTACSHAGMVREGRYYFAQMKDKYGILPSADHYTCIVDLLGRAGLVNEALDLVKSMTVEAHGGVWGALLGACRIHGNAEVAKVAAEHLFKLEPEGIGNYVLLSNTLASAGEWDQVSNVRKLMRSRRLKKDPAISWFEGRDGFVHQFFAGDNTHLRTREIQKALFQLVEKLKLAGYVPILSSIVYDGHSEKLALSFGLLTLGSSCTIRIVKNVESVEIIVRDNMRFHHFKDGECSCGGFW >Et_7A_050722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10413831:10414668:1 gene:Et_7A_050722 transcript:Et_7A_050722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQCDACGGAAATVVCCADEAALCARCDVEIHAPTSSPASTSASRSPTTATRLLSRAATSARRGRPSSSASRTARSSAATATSPSTSPGRSPATTSATSPPASASASAPSAAPPAPTASRRPREAPSRQGDGPGSGSGTGTRAQEVPSSGWAVEDLLQLSSSNVSLLFVSCHTAEGLASGFKELEWFTDIDLFHGDAPPGSTAPEVPQLFASPQPASNAGLYKMSGARQSKKPRLEVVPDDDEDYFIVPDLG >Et_7A_051106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14490997:14496094:-1 gene:Et_7A_051106 transcript:Et_7A_051106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGAADLADSAQKFRSVLGGSRSPPQLLGLGDDIISSPPWDPLPYPPLTAWVYIDGGTLGFLGERRCVKKGFACLQIPREVMAPTPPVAGSPSRSPAATGDLLSSLPDTPLHTILSFLPTCGGTFGTPCPVSTLITGSSTRQHHRQGGRIMKGPGTDLELESCLLDSSEIASCTLKNLMIRDCTTYFDTNVVAVKAPALTSFHLSITVVGLKWQGVLVNKMPSLVKASICFRTGSDTDECSIQKKPCKLLCSLTNVRELEFSGLVTLSLLQKRSDDFPTFCNLRTLLFDGCDSSDTFQILGCFLNNAPLLEKLTLQFCKLPEGSRKRTRTGTKKPIFFKCDKLTFHCPNLKLTEIKYREDDVQPLFGLLTGIWSSLRNTTIVLTKV >Et_3B_029330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23996103:24000644:-1 gene:Et_3B_029330 transcript:Et_3B_029330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHHLVVVLPLLLLPVAAFGAVGNALSAPLACSELSRVCTAFLAFPAAAAANATLLESMFDATPGDLTADAAASPGYAFVRKNCSCLPSRTYLANTTYTIPSAAAPPNATAADVAAAAYAGLAVPPPGGAAQRPPRPGAVVALHLLCGCSSGPWNYLLSYVGVDGDTVESLSSRFGASMDAIEAANGMAGPDPITAGKVYYIPLNSVPGQAYSAMSPPPAIAPAPTDNALSEVPEHHSTKFPYGWVIGSMGVALALIAIALLALVLWRFFRFNLDDPDNQRKSPDHPMSHKFQLLKSGSFCYGSGRYLCCQFGKAKPTRADGGEHRINVPKGVGVDVFDREKPIVFTYEEILASTDSFADANLLGHGTYGSVYYGVLRDQEVAIKRMMATKTKEFIVEMKVLCKVHHASLVELIGYAASKDELFLVYEYSQNGSLKNHLHDPESKGYSSLSWIFRVQIALDAARGLEYIHEHTKDHYVHRDIKSSNILLDGSFRAKISDFGLAKLVVKSSDAEASVTKVVGTFGYLAPEYLRDGLATTKSDVYAFGVVLFELVSGKEAITRAEGMGSSSNSERRSLASVMLTALRKCPSSIYMGNLKDCIDRNLRDLYPQDCVYKMAMLAKQCVDEDPVLRPDMKQVVITLSQILLSSIEWEATQAGNSQVFSGLVAGR >Et_2B_019690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12325252:12334688:1 gene:Et_2B_019690 transcript:Et_2B_019690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGWSAARRSPTTSSEVRRPPRVRGDASGSSHHGDSAGAGEDAPRKGDGGIPGGLGRIRLGNAEDMCPSIMIIGSTGDLVDSSKMAMRKRIQKHPIQTRKQHLIRLLRNLRFVKQLVLLPPDDIKQYRGSPERICPVSPGAGSNEPFVCSLQKCQVEGDTPRSRKGFWLTPRPSDPIGGCTSMPATGGAAAPWWQDLPPDVLREISCRLHTTVDLVRFHAACKPWRSTFDGLASCCHGSWRRRRRSRPAVPLRLLGDELPRAGICVRDRRVACADGTAAWLVCGQQQDWFVNPLTAARLPGVSLGDSCRMKGWLDHTVHTHRVVSGDGTILLYGFEHGFTGLALKPGHKEWRRVQVHGVRSDRCCALVADNGAVYAMDQDYCYVVSPSLHHMTRVSDRSTKKRLPDEPGKVRLCSYLLEFLGEVLLVSVLHDRRSRDLSVSFYSMDTRAALQPRAPAASSGSHWRIYVGDLSPIVDNSRLREMFSEYGKVAFAYDKRGRSRGFGFVNMATQDGYDKALAALNTDVAYHGATLKFGKVCIELVAPFDRNSGKKTVPSCTTHVVVTTSFLQLQVLIIMLCAIKFTVFLFFVGWLFNMHGYFLSPIAKDKLRIYAGDLPPNVDSFRLREMLSTERWPLQGLLMRKRRGQEGVWIQDHGNTGGV >Et_1B_009725.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:21138067:21139317:1 gene:Et_1B_009725 transcript:Et_1B_009725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLFSRVRSYVLCSHVSWLGSLVSFRCLYSRLPDEFLSARPRFSGLQVALKCKNAKGGFETKAVAKVDKSGAFSVPLTADLLREDGELKHDCFAQLHGASRQPCPGQEPSWIVRPSSDDDDKKKAFIAVAGKMHYSSKECASAFLCDPFHKKHLLHKKPIVIPHVHKKPVEIPHFHKKPVVIPHFHKKPVPVPEYKPPTPVPVYTHPTPVPEYKPPTPEYSHPSPTPIYHPPADQKTQNPETDPEKFKKLLPFIKKKPFFFPKFKKFPPGKEEIKA >Et_1A_007534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35619642:35621539:-1 gene:Et_1A_007534 transcript:Et_1A_007534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWGAKRVTVCKMSSSVFAALSLFPILVCCHSPRVQNCDAQHPGSCKNSSSVESLMVCVLAMLCKLILGGFGRYCGILASSVMYYLFPMRVTFQSVIVISNGLPYFAEIYCFCNIPLAHLPVSRSIHALLKIIALVVDSLSLGLVLASGLKDLKQKEQCSSAFEKKKLDKIEDKQQNAEDAILEQQLPVTKMQKNSSLKLYNGSRRKFLATPFAMKLIGWYCLKGAV >Et_2B_020705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22936567:22940795:1 gene:Et_2B_020705 transcript:Et_2B_020705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLLRRVLEERESSLQDPNLAAEEQPEEEEEEVSPPRAAPRNPFDLLDDDADEEEEKEDEVERSQHESYTEEKRSVKKKPAEVVPETKKKSKKKKKKGKSEPSQAKLKDEQSLESILEDLSIEKKLTHQTAHQTDRQSGKEIERNEKTPGVSSVLAIDHKHLKGENEMRRIFGSKVVDSFENQRNMPSSSRQVRGVRRVAHNPRKTLLVSPPSYWPPWDKSMSMDLLETKSGLNYFRYAYDPSVSHVQELFEAAKGANDLNAIAAILVKYPYHPESLLTFAELFKYSGEHQSSADAVEKCLFAMECAWHPLFSPLQGNYQLKYSHDSNKPYFTALFSHMKNLDRRGCHRSALEVCKFLLSLDSDDPKGALFCIDYFALRSQQYKWLEQFAEEYQCDNSLWLFPNFSFSLAIARFYLERDAASEESSDHADKSSSVDLMKQALMLHPLVLPKIVDKAPLKDSSWTQILRNVFFGSAKPGSPSLEHMINIYVERHYIMWRFPELQNLLKEGALLVIESLKQDSREAQDWSCVRKEAFSSEKNEYSHLLVTDFSDTTPSLPPEELRPFMVGPGMAHEMPPPPELEAGPERPRAPREVAGRHPALVFLESLLPWVDYGDNHHDENDENNGA >Et_4B_039914.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:5783268:5784353:-1 gene:Et_4B_039914 transcript:Et_4B_039914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHRTVARCTWAGRNTAASAGPIQKPAAPVPPARRPLPAVEDCPTLAFLRPRPATVRYTTASVPLPAHCFPAFPVGDHLFHRLRLDGLAPPVATRPAPEEEAAGGVTVEQARKVARAAEMEAARTRLRSHAQSVVSGSEFAALCVDIAGGVEGGRRLARALDESGVVIVLGDAVFLRPDMVARAIGSILPAPRQQPAASARAGDAAAADDDKREEELEAMEAQKAAIDADAAAQVRRELWCGLAVVAAQTLGFMRLTFWELSWDVMEPVCFYVTSLYFMAGYAFFMRTDTEPSFEGFFRARFAARQRRLMRARRFDVRRYNALRQGQGQGQGQASCSAAVVQGERDLDVFRAFRHVTHAQ >Et_4B_038175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26974610:26979063:-1 gene:Et_4B_038175 transcript:Et_4B_038175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDNRMVRAAAAFALLLLAAAPASGQVATSCTATLITTFTPCLNFVTGSTNGGGSPTQQCCGSLAEMVRTGADCACLILTGNVPFSLPINRTLAISLPKLCNSMSVPLQCRDTAARIPAPAPSPPEPSVEPDSPAMSPAGDSTPISQRPVVVPSSARKSSHVPVAAVAMAARATALPVACLLAAVVAAALAGSASAQSSGGCTTTLISMYPCLNYISGNVSTPPASCCSALGSVVQSNPQCLCAALSGDSSSLGGITIDKNRALQLPKSCNVQTPPVSKCNTAGGGNAPAAATPATPTTPSGASTGAGKIATGSQSAVIDLPLLLCFATVKWFMCLLTWRELGAGTGSGSKATPTSPYLTSGGASLRGAVSLVLAFAAFALYAVSAM >Et_5A_040755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1226405:1227249:-1 gene:Et_5A_040755 transcript:Et_5A_040755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRRESLRRGRASEEFRCRCPGALALTLALACCSHKPTGFGPKSCPFYGKRTKPWVARFPHDEGDNENAARALSRGFAPARRVVVEVWAPQRRVRWSRWRPGTPMVALPLHIGRGRSTPTVRVPQERRFGVFTAEDVARAVRAVMRGEEGQAVRRRATERRRRRGEEGRLQVPRRGARAEAMVHGGAAVHSFPSSNVTEPHLPIVVFVLDRHHVVHDRRRAPRQEAGRR >Et_5A_040772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12117725:12121304:-1 gene:Et_5A_040772 transcript:Et_5A_040772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRNTPTATGWTASATSDLPEDLLLKVLACLGSAREAARTGVLSSRWCGLWTRLSEYTFSDMEPEAVEAVLTQPPSPRSTASTSILIASPRFSTPPLGFRLNRSPSTACLESSCPVSTAPVSLILKSYLRGHLRRDWPFAPLPATGEFSRLESLELTTGCNIFSALLPNCPRLRVLRVHGCQALEVTVHSSTIEELAVECSCCSESGIRRVDIDAPELKEANLEIEMNRELDLSFSAPKVEKHVWEFTNLKVMVDGFPIHLVGQLGCSLKYGVRTLRLEVDCMSGWRVLERSLAQEIAYLPVADFSVLELVVYTHGHAFGSLLLHLLQIPPALQKLEVFIDQVTGPYTECCPKEDCPCRQLVNWKNETITLSDLKEVHVSYFAAEGDEEIDFLKLLFRSALGLNRMEVVLDGNDKLHEEIHNVCGENPHVKCDVRYWLLLTLYCVGILLLKGQQARCPVQLSRSGLFVTGLSLLNHNRYADLDTVIRNRGLRFLMRSLRGASFIWLTGNHAAGRRRLRGASET >Et_3A_027378.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:9529509:9529733:-1 gene:Et_3A_027378 transcript:Et_3A_027378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNLGTEFCKIPRDELFEAKLTTKRKGKSTVTKVSANKEEAPKRVKKIVAKKVVKKTSKDIPNDDNKNKKPKK >Et_3A_023653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10464277:10473645:1 gene:Et_3A_023653 transcript:Et_3A_023653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVRRSLYVRAVLSSDPGDGSCTVLLINQPGKYLSFARPGDSSDRRISIARLTMTASTILRMACSTRSGEVHAIFLNAPAPVVNSIFPPFSCKKSMEMDCTKYIARAPWGDLLQIWRKYRYTSGTHRTHELTVFTVDLAEEKLHQINDLQGHALFIGFNESFFDSAKDFPGLAPNCVYLAHDSARDYSHDPSLQEVVVFNLQDGSFRDFMPPPNSWLKFPPPIWIRPSSSNTIMEQGETDCRHRDLSLLPPDLTARILTTLELHDQLAAAAVCRSWRAAYKADPWLDAAPLFRAPCLVFHAGDDPESRTATLRSLADGDGGGRRVHYRVILPDPAFLTRHVVGSSHGWLATVDERSEDILLVNPVTRAQVTLPPIRNHINPVEDHFPSPRFTPDERRWSLHRKVVWSSDPTSGNCIVMIIHHPPNNIISFATVGDTRWSLLKEEDFCRDYDDFFYSGEDGLLYAIRANDDVHAVDLHAPFPTVKVIFKPKQTTPPVEDYKYIVRAPWGDILRVSWCHDYDKDGYVRTSKFVVYKIDCALRRVVKVKDLRGHALFIGFNNSSFVPTDESSMIKRNRVFHADDLIHAHYICEIYAPPGPRRLVEVNLEDGSISDVWPSPNLWSNWPPPTYHDCIYDATDGLFYAVRSSGEVHAIFLNAPAPVENSIFPLISCKKSMEMDCTKYIARALWGGLLQIWRKYRYTNGTHRTCKLTVFTVDLVEEKLHQINDLQGHALFIGFNESFLDSAKDFPGLAPNCVYLVHDSARNSLESNLQEVVVFNLQDGSFRDFKPAHNSWLKFPPPIWIRPSSSNTV >Et_7B_054096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15250139:15257886:-1 gene:Et_7B_054096 transcript:Et_7B_054096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AFSVCIRSTVTYFSPRLAFSLQLCCIAILHFLILQLDFAVLKYKNQKLAEQLEVHKFEFRALESKFNDLREKQRTHNETLVLVKSSWERLVADLESVSLCKSKSAHSDCGIGPSNVQKDSTCMPIDMDFLRRLLEAGATESSGCSPSCLLGNDIPPEQSSTVNVLRKIFLPSSDLWHANNDFASAAFTKLPENEHSRQLHSATSDVLSKLNKVIQTVDDLHLKHRQLSENYQKERDLTAWNRAEQKRLKEELTSAVAKLKESKNKLAALKAQGDNKQGTPILVPALGNKNATVDKVRDKQRELQDLEATHKELTELISKRLEEIKRLHKERIEILNKLATYQNILTDFKSIRSSKAFQLVSDQLQKSQAELNHYRTLVEKLQVDMDSSVWQERQCNLKVDLGEIPQKVSSYCVSRIADLEKDMQKLCNEKNMLVLKLEEASREPGRNQVISEFKALVSSLPREMDAMQRELSKHKDASLELHSLRAEVHSLSGVLTRKEQKIEETSCKSARAESDICELQSVVRDLKENTQELKLFLELYKHESSDSRQLMESRDRELSEWARVHVLKFSLDESKLEQRVIAANEAEAMSQQRLATAEAEIAELGQKLETSRRDLARQSDILKSKHEECEAYLLEIESIGQAYEDIQTQNQQLLQQIIERDDHNTKLFMEGVKAKQSHDALQSEVLSLQRNLQQASTLMDLYNQKIVRLEDQLRVWSDRARRLAEDGMQLSISLGNSQSKLVGMHGEAPKLRQSMDDIQGKVGSNRLEVADLLIDLEKERFSKKRIEDDLDLMSSKASSLKAKADRSTVLQKLHHEKSLGNRQKRCPSCGLSFG >Et_10A_000927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19210060:19211712:1 gene:Et_10A_000927 transcript:Et_10A_000927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPEMAMPTTTTTKVEAAGRLPSIEMEPKTLTLDQIKYAREAALYVVSTKSEEEAIRIFTAGLKPVQTSMAAARKSSSVDSSDDDVDLDGSNTGCRSKGRRGRRSSSMEIRDIATAPF >Et_2B_021976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7551435:7557640:-1 gene:Et_2B_021976 transcript:Et_2B_021976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLTTLAVSFLLLGLVGVLALVAGASDEQFVYSGFAGANLTVDGTATVTPAGLLELTNGTLQLKGHAFHPSPLRFHGSSTARSFSASFVIGILSAYPDISAHGIVFLVSPTTNFSTALASQYLGLVNVTSNGDARNHVFAVELDTLQQDEFRDISDNHVGVDVNGLFSLESRDAGYYDDGGGEFKNLSLISHEAMRVWVDYDAGSNRIDVTLAPLGVPKPAKPLISAVYNLSSVITDTAYVGFTSATGSFNSRHYVLGWSFSLDAPAPDIDVAKLPKLPREGPKPRSKVLEIVLPIASAALVFSLGIAIILLVRRRRRYTELREDWEVEFGPHRFPYKDLHHATEGFKSKNLLGAGGFGRVYKGVLPDSGLEIAVKRVSHNSSQGVKEFIAEVVSLGRLQHRNLVRLLGYCRRKGELLLVYEYMSNGSLDKYLYSQGEKPTLSWSQRFKIIKDIASGLLYLHEEGEQVVIHRDIKASNVLLDRGFNGQLGDFGLARLYDHGTDPQSTHVVGTIGYLAPELGRTSKATPLTDVFAFGTFILEVACGRRPIFQDGNGNHVMLVDWVLGHWRGGSLVETVDANLRGDYNVSEVCLVLQLGLLCSHPFVNVRPSMRQVVEYVSKEVPLPELMPMNMSFHMLALMQNDGFDSYTHDLHCSTLQLNRGRYRTQGQGTSAYLRACNVSPRLYTIQTTFAVARGNMFFLVRLLLIISIATAADDDQFAYSGFSGSNLTVDGTATVTSDGLLQLTNGTAYLKGHAFHPSPLRVREPGNGTVRSFSAAFVFGIVSSYPDFSAHGMAFVIAPTTNFSTALPAKYLGLTNVQNNGNSSNHIFAVELDTIQSVEFKDINANHVGIDINGLQSLRSYNAGYYDDTSGEFRSLKLISRQAMQVWVDYDGEKKQINVTLAPLGMARPLRPLLSTVYDLSTVLTDVVYIGFSSATGRVNSLHCVLGWSFGVNRQAPAIDISKLPNLPRVGPRPRSKVLEIVLPIVTATFVLTLGGIIVLLVRRRIRYAELREDWETEFGPHRFSYKDLYHATDGFKEKRLLGEGGFGRVYKGVLPKTNLEVAVKRVSHQSKQGMKEFVAEIASIGRIRHRNLVQLLGYCRRKDELLLVYAYMPNGSLDKYLYDNDMDKPTLTWAQRFHVIKGVASGLLYLHERWEKVVVHRDIKASNVLLDKDMNGQLGDFGLARLYDHGTDTQSTHVVGTMGYLAPELVRTGKASPLTDVFAFGIFLLEVTCGQKPIKENVQGSGHTALVDWVIQHWRNGSLMATVDARLQGDYNNEEAGLVLKLGLLCSHPFANARPGMGQAMRYLDGVAPLPELTPKDLSFDVSAMMQKKEFDMSAISYPDLVTSFGTISSLSGGR >Et_8A_056496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1163343:1165188:1 gene:Et_8A_056496 transcript:Et_8A_056496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILLRAALPLCFFFLAVVLCGGGHAHGRKSQASTDDAGVYDVTEYGAAPSNSDNQDVSSLPDLNLTNADRSAFLAAWHAACGSTAGGNATLLFPNGTFAVGAVEFAGPCTDGDAPAVVIDGVLQPGGRRLSGDAWITFSGVNNLLVTGAGTLDGQGDESGNGRSRTTTLVLDDVTNSAVRGLRFMNSRGFHVNLRHCTGVVAEGLHIQAPEDSRNTDGIHVGASSHVRILSSDIGTGDDCVSVGPGSTDVLVDGVVCGPGHGISVGSLGKGEDEEDVRGLVVKNCTVRGTTNGVRIKTWPGSPPSLASNITFEDITMEGVANPIIIDQQYCPHGRCSGADKPSLVQISDVTFRQIEGTSSSKVAVQLLCSEERPCTDVRLVNISLSCGDQQCETQIENVLGTPPTPGPAARPEEEADVEQSS >Et_10B_002721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:157925:161544:-1 gene:Et_10B_002721 transcript:Et_10B_002721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWPSLPLTLAAARRATPPSFLRRSRLCSSSSNSGSVSASPSGCQQEEGDEEKLLVVVGGGAAGVYASIRAKSLAPRLNVVVIEKGRFLSKVKISGGGRCNVTNGHHIEPMGLARNYPRGNKELRGSFFSAHGPQDTMRWFTDHGVELKTEDDGRVFPVTDNSASVVDCLLNEARRLGVSLQAGKSVSSVSVTEHGKFILKVEKRTIDLVDYMNANYVLVATGSSQQGYAIAAQLGHSIISPVPSLFTFKIADKRLADLSGVTFPIVKAKLKLDGVQKVIPELTQTGPILVTHWGLSGPVVLRLSAWGAHELHRCNYQGKLMVDFIPDIHIDDVKRILFQHKHQHAKHKVNNTFPTEFGLVKRFWRFLLEQEGLDGDMHWASMSNNHINAIALRLKQWIFEVVGKGQFKDEFVTAGGVPVSEISLATMESKKQPNLFFAGEVLNVDGVTGGFNFQNAWTGGYIAGTSIGMMASASNLEKQSCLQLEGS >Et_2A_016990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3023755:3028256:1 gene:Et_2A_016990 transcript:Et_2A_016990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPVKRVVPPKPTPTSPAEEAAMGVEAPHPRWHRPEEFVSILDRSGGVIFETTASSPVKEVLVDELSEGYSSCDERAIFDTTTASPVKEVLVGELSDEYSSCDKRAIFETIASSPINDGLEDELSEGYSSCNERLRFGLNVASSSNDILDFASFLSESLEATVSSPVSVDSPDELSSGCPEHVGFEEEAEAPSENSSDEEDAQYTLMLCPALGAATPYRPGYCTACNWDASPNLCYRCMARLRIGIHQEACKHPLGSGRFCYCLQCQTLVEGNHVHLDDCIQLGTYNGSPVAWVTKKEKWSVIFSGIQSDRYWNPVSGRPFLLQKPLGTRCKRCLDIVGDDTLYATLVQFCTVECWLHSRGAAAEYDWVNQMVGANFDRWPQPPFDAFCVSCCLPFSCAEGGEHKHDNKDQHIRIITDIYGQSVKVEIARDHFMARPWTCVQDHSGDQFMCSANHIFRLRDEGAMRCRSCRVRLEDGGGNQCSLDCSLSAPVAPRPLKLKALRNSIVTTRATYVNGDGHIVTTTSPYEQATFASKTDWREIGYTYFMPKNILKKFICIADLRTQIAGFLYGLSPQDNPQVKEIRCIAIPPQHGTHQMVTLPANLPEHEFLNDLEPLGWMHTQPNEAPQLSPQDLTLHAEILENNRQWDGEKCIILTCSFTPGSCSPSGYEWGRSNKNNGINPHGYLPTHYEKVQMLLSDHFLGFYMVPDNGPWNYNFMEVKHDPLMKYAMKVGTPRDFYHEDHRPTHFLEFSNIDEGEDDEGDREDTFS >Et_9B_065209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2435561:2440509:-1 gene:Et_9B_065209 transcript:Et_9B_065209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKGSRKGKKAWRANISTDDIDDFFEKQTRDAHAGAAAIPTLPSDSLFFVDKPAASASTSASGTSTKDIPVKRKIEKKREKVLYHESMLKQNPFVQPVPSSVDKKAKKKGKKKLQETHEQNIVPMDDDSAEKNLNISGGDGKGNIKGGKDDESVEKNLDIWGGEGKGDIKARKRSIKTASVIPAVEVEPPGCSFNPPVEAHQDSLAQAVADEMRKIYAKELGPKPVPLIVPGEAITEEDKFFLDADDGDEDVEEGEGDQDADVLAGQRKNKTKRVTRVELNKRARRKERLRVEEEAKKKESLSKEIDSLPNIIDEIAKEDVEKEKRRIRRTVIKEEKLKSGPPRLGRHKFEPAPVQVLLSEEISGSLRKLKGCCNLARDRYKSIEKRGILAPSKRIRFVYISHP >Et_7A_052157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5102607:5106217:1 gene:Et_7A_052157 transcript:Et_7A_052157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACSSKEGGDDGSRAAATPHGKDAVKSLTSQIKDMVLKFSGSNKQQYKGAAPETPASFVNRNYRHRYPGFVDDAGFAQASRFHGEEYYTRTSTLLGATGAAGSSRDWQIGGKGPGGAGSRVEEEIVAVEEPAVSREWTAQVEPGVQITFVTLPGGGNDLKRIRFSREIFNKWEAQRWWGENYDRIVELYNVLTFSGRQQGASTPASSVDDSVMRDSSFSRGGSTTRNSPVVTLPPPPTASKEPVPQITSCKETAGSSSSAPCAVTSSSTRAAFYPSAAVPDPSDHVWAHHFNMLNNAEAGGSSAMGGGGGPSSYDPSRATTSSRDEASVSVSNASDMEAAEWIEEDEPGVCLTIRELGDGTRELRRIRFSRERFGEERAKEWWEQNRDRIQAQYL >Et_7B_055694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22137652:22139867:-1 gene:Et_7B_055694 transcript:Et_7B_055694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPERFVGGKAHGKLTMAFGMGRRGCPGESLAMKTVGLAVGTLVQCFEWRRLGEEEVDMTEGSGLTMPKVVCPWRLCIGHAQRWHAATFTEMACGILRTLAATSLSAAHHGQPRRSIVTIDTLIRFLVESPKGGARSRGRLAKVTSWVVRHSSAAAGSATWSTRQEPNGRRSTGAVLCGGLCQGSVERLLEEVEVADDREGRRAWWETGVMLLAFEGPAKEDNE >Et_1B_010610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12002230:12006721:-1 gene:Et_1B_010610 transcript:Et_1B_010610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLQCGDCGVLLRSVEEAQAHAEATSHSNFAESTEAVLNLVCSACGKPCRSQTEVDLHTKRTGHADFADKTMEVAKPIDVEAPPKPASEAMDVDASASGEQQEMVVPEVNKEMLVDLEAMGFTTARATRALHFSGNSTIEGAINWLSEHQEDPDIDEMPLVPANTKSEANKPSLTPEEVKLKAQELRERARKKKEEEERRMEREREKERIRIGKELLEAKRIEEQNERKRMIELRRLEKEEEKRAREKIRQKLEEDKAERRRKLGLPPEDPAAAKPSAPPPVEEKKSALPVRPATKAERMRDCLRNLKQQNKDDDAKVKRAFQTLLAYVGNVAKKPDEEKFRKIRLNNPTFQERVGNLTGGIEFLQLCGFEKLEDNEFLFLARDKVDMAVLNTAGAELNSAITNPFFGVL >Et_9A_063080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9777939:9778590:1 gene:Et_9A_063080 transcript:Et_9A_063080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHRGGAFGFDRFRLCLRMWKTSKIRQLAATASIRSRAATTIDGEGRGDRGRVDGFRSAAQREARDARGVGTAASDGIAEQRPKSTTEEVTEAMSSSQPAGIGKTKKKTKTVRVKQEYIDLLLADPDTLKPYRPMSQELLDKADPELREIMARVTAKHDEIRNRHADFLEQYRIKGTSRRRSRSATARRTWRS >Et_3A_024690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23303158:23306573:1 gene:Et_3A_024690 transcript:Et_3A_024690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVKDQLQQMSTTCDSLLLELNVIWDEVGEPDAARDRMLLELEQECLEVYRRKVDHANRCRAQLRQAIAEAEAELAGICSAMGEAPIHVRQSNQKLTGLREELNAILPYLEEMRKKKIERWDQFVDVIEQIKKVASEIRPADFVPFKVPVDQSDLSLRKLEELTKELQSLQKEKSDRLKQVMEHLGTLHSLCEVLGVDSKRTVYEVHPSLGEAEGSKNLSNGTIESLASTVNRLREVKVQRMQKLQDLASSMLELWNLMDTPLEEQQMFQNVTSNIAASEHEITEPNTLSIDFLSYVESEVLRLEQLKASKMKDLVLKKKTELEEHRRRAHLIGEEGYAAEFSTEAIEAGAVDPALVLEQIEAHIATVKDEAFSRKDILEKVERWLNACEEEAWLEDYNKDDNRYNAGRGAHLTLKRAEKARILVNKIPGMVDVLTTKIVAWEKERGKEFTYDGVRLQSMLDEYMIVRQEKELEKKRQRDQKKLQDQLKAEQEALYGSKPSPSKPQSTKKAPRNSMGGANRRLSLGGATMQPPKTDILHSKTARAAKKTEVLGTLSPSSRGLDIAGLPIKKLSFNASTLREAETPRKPFAQIMPPNSVSSTPVRPVSNDTEEENRTPKTFATATLNPKTPMTVTAPMQVAMTPAPASKVIATPASLFLEKPEQPKLPEEIEYSFEERRLAAYQARLVA >Et_3B_030242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31700446:31701859:1 gene:Et_3B_030242 transcript:Et_3B_030242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKPKDSSVLLAEEGLPAGKARGGGGVEMARAPLPNYMRATSCSGAKAGRASGRDAATAPPPPKREQVRAKVVFAGAPPPVGRATCSSSMKAPVAAAAGHVCSYAYCSLKGHVHASVVPLNTFLASRRRLIKTQQSMKLKGASPFRKPNNGAGGGEGYFVEIRAGAAGTAVGSDVSCSDLSAEEMDAMVRRMEYLTFDHLSCGDDAEDKVQDSGASVDDSCGSSDVISDGAVESVWRTTKNRGRKEKENLVGDHEDDDFGACKSDISEELGVEHEGNFREGGGGDAPKEYSSDGISSALSGISFEEVSSDCVDAASSKLHISRRRTSEQGSKQTRPFKPKAPNLLPVETGPEAEKVDLRHQAVSDRRGAEEWMVDYALQKAKRKVEMLIQAFETVLPTGASEKKPAQHDDDGNCFTLSRPSQACS >Et_9B_064891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19681955:19685198:1 gene:Et_9B_064891 transcript:Et_9B_064891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDMGVVPLNSDGWGPIDAPVVPPSLGGGAYIPFAPFSRSDKLGRIADWTRNPAGPAAFAAASRDSVFDFTSADDSLAAGAEDSSFRLVDAKPPPKPLRFGPKWRFNQRPQLPQRQDEEVEARRREAEKERARRDRHFQNNRSHHFQNFRGNQASSAKPSVDIQPDWTMLEQIPFTNFTKLSFNVADQPEDLLSCGTVEVYNRTYDRVNPKAARRLERFKSRNFFKVTTTDDPVIRRLAEEDKATVFATDAILAALMCTPRSIQSWDIVIQRVGNKLFFDKRDGSQLDLLTVNETAQEQLPENKEDINSAHSLAVEATYINQNFSQQVLHRDGEKVTFDEPNPFASEGEEAASVGYRYRRWKLDDEISLVARCEVHAANTDPSGGRQFLTLNALNEFDPKITGVDWKQKLETQRGAVLATELKNNANKLARWTAQALLAGADMMKLGYVSRVHPRDHYNHSILTVMGYKPRDFAAQINLNTANMWGIVKSIVDICMKFDEGKYVLVKDPVKPQVRIYAVPSDAFENDYVEEPLPEEEQVRPLGDDFDATAKEMDAAAEAEANTAAGEGEKGTAEIVYAEQKQSHPSVRGPQLF >Et_4A_034649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5168221:5172287:1 gene:Et_4A_034649 transcript:Et_4A_034649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKENNAARSAPPHPPSPPKRPRGKRRALAELPINAPDADDGSAPRASKPRTRSAAQAEAEKARKRREVEGAADVARLLDPKRPDARAAQAAVALYIEDIDEYLRSLEVHQSRRPNTDNFGKIQKDISPTMRAVLVDWLVEVTDEFKLQAETLYLAVSYVDRFLTADAISRDKLQLLGVTALLVAAKYEEMETSKMKVKRYCDITDATYTKQQVVEMEVHLLKSLKFVIGGPAVRTFLRLTVMCVLLQNMTLQQNTGFKVSDLKESIYAIHELQLSIRCPDQTAIREKYHHDNFGCVSTMDSAREIPVPYLEDHDKWMDVHIAYNSGTPFAISGS >Et_3B_030411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3610829:3612649:1 gene:Et_3B_030411 transcript:Et_3B_030411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCLSMLLVAAAVVLAAPLALAGDPDYLQDLCVADLNSGKLLTDSDFASFYTCGHAHHKAEYHGLQHTMCHFCFPLLPCIPHLRASVPSDHPSGRMRSTNLVRNAPRPARLLSNDDTSPSHYAEVKVNGFPCKANATADDFFTNILAKPGNITSPAGSVVTGANVEKVPGLNTQGVSLARIDYAPGGLNPPHTHPRATEVIFVLYGKLDVGFITTANKLVAKTVAAGEVFAFPRGLVHFQKNAGEEPAAVVSAFNSQLPGTQSIAMTLFGASPEVPDDVLAKAFQIGAEEVDKIKAKFAPKKS >Et_10B_003276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18418318:18421851:1 gene:Et_10B_003276 transcript:Et_10B_003276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGKKMNPLPAPASRLWEASIRKLQTIRRAGSVVPAALPGDAAAAADLATPSLLSVSSSSSNTIYGYNDDEAGGGGGDSDSATEGDDDTDAGEDDDENDESAAALGEASHAEQLLPSGEFYQGDLRGDLPHGAGKFLWTDGSMYEGAWRRGRASGRGKFSWPSGATYEGDLAGGYMHGHGTYIGEHGDTFGGTWAHSLRHGRGTQAYANGDVYDGHWREGLQDGHGRYIWRDGHEYIGTWRAGEMHGRGTVIWADGDRYDGAWEDARPKGQGTFRWADGSMYIGAWCLEDGAMLAKGVYYPSSGGPPVPASAREPCDAFTALLKELEVSEGKTASLMPWQKVLTWPGVEGVLKKPVWRPPEVSAAEQGRRSSAHGRSSISSDVDGLVVEGEDGGEETRTDRSCLRTSSCMRTPPPKPGKKQGETISKGHKNYELMLNLQLGIRHAVGRQSAPTSLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCEFRWKDYCPLVFRTLRKLFDVDPADYMISLCGDDALRELSSPGKSGSFFYLTNDDKYMIKTMKKSEVKVLLRMLPAYYKHVRAFENTLVTKFFGLHCVNVKLTGAIQKKVRFVIMGNLFCSNYSIHRRFDLKGSSHGRMTDKPIDQISEHTTLKDLDLNFIFRLEGSWFQEFCRQVDKDCELLEQERIMDYSMLVGIHFKDRCKDADNGTTPTSAEDSEQNRKASLRLGISMPSRVENVVKNPDNESVLIVEPTGEFQDVILFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIYKAFTEDVQ >Et_2B_019576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10344369:10351078:1 gene:Et_2B_019576 transcript:Et_2B_019576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKQLMPKEQPAGLEGRNVDVGNVKVHVREAIAEGGFSCVYAARDMVNPAKQYALKHVIIQDEESLELVRKEITVMRSLKGHPNVVTLIAHAILDMGRTREALLIMEFCEKSLVSVLEGRGEGYFDEEKVALIFRDVCNAVFAMHCQTPPIAHRDLKAENVLLGADGAWKLCDFGSVSTNHKRFDKPQEMGIEEDNIRKHTTPAYRAPEMWDLYRRDVISEKVDIWALGCLLYRICYLKSAFDGESKLQILNGNYRIPELPKYSASITSLIEDMLNSSPDARPDITQARALLDWPFTSMNLVLISAYAVKVWFRVNELLPLELQKDLPDGSPSGSAFESHTAVDEVPTGMPQRSSPSPSRENVKSTIESSNLKAQGTSNTTENKGSMGAFWSTQHAQELGFVDDKWSAFDKEQATSNQAQDKNPNTPAHSTYRKSLSASVDSSPGDYEIRFSPNGSEYGLEKTKTAKTENKTTAQTTGFNAFVAHFDTVNMNLQNNVSTLKVTSKLKEQQLEAEVTLLKEQLKIANLEKEEISLKFDRLSAICSSQRREIQELKKALATPPVKESQENSKLEQSPQCTNLDTPPREKIEGTPPELRQGLFTSSPGTPSPDSKPWSAFPEPEEPKPHVAVKNAHPRSVRTLRALNSTKASTLGQSKTRIGADPFAFGQDSFKADPSGSELPKVLNLGSTSQPIQNLNNEEKKDDSYQPAGWTGGSGSNYST >Et_4B_037581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21413534:21414594:1 gene:Et_4B_037581 transcript:Et_4B_037581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASVLGIGTANPANCVLQEDYPDYYFRATKSVGAPRRPHGQAEKNPYVTPESSAAPHASRRSRRAARRPPGVPRPRRAVWTRRRRRRRPSPSGAGRRRTSPTYLVVATYSGSHIIYARRRPPPGHPARPPPLRPPHHAALLYMNGSAALRVAELTLVMLRTPSEAHVGTLVMQSCPCSATAPTKPRRGNACVRDGRRVADLDTGDDDEGAGAPRRSAFPAAAGTTSSGRCTSVQRRGRAPVGGRKAGGGGGRKTTGWGSCWGSDRESCGDHGVTINGQHSNSPQETLAHPRKFHLHPQKAELL >Et_9B_064064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10917271:10919986:1 gene:Et_9B_064064 transcript:Et_9B_064064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRGVVFVLLLVLLALLADPGSCYGAEQGAINQDEDMRSSTEARVIQGDRNNRKILQEQNKYWSGQFGNNKAGWLDFTSYSPPAYNAGGRLQGSSVPTPSTSFPQWPTWPWTTKASPPMSFPPLDAPAPSPVAAAEPELHQPRHATSPARSIALPPVPAAAAPSDAGVIPAVVAGAAAGASRHSGVGRPVYIIAAAGASLLAAVSVALFVLCYRSSKVVTVRPWATGLSGQLQKAFVTGVPSLKRSELELACEDFSNVIGSLSGYMVYKGTLSSGVEIAVVSTTKSSAKEWSKHCETQFRKKITSLSRVNHKNFVNLLGYCQEEQPFTRMMVFEYAPNGTLYEHLHVREDGHLDWPTRLRVAVGVAYCLEHMHQLSPPEIIRTLDTSTICLTDDFAAKISDVFFCDEPRDELASLSPATLSDKESVVYSYGMVLLETVTGRFTASQGGLLESWAASYLRGERQLRDVMDPSQRRSSFHAATVDKLDAVIRGCTDREPRRRLTMPEVARRLREITAMPPDAATPKVSPLWWAELEIISTEVN >Et_6B_048568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10899519:10907098:-1 gene:Et_6B_048568 transcript:Et_6B_048568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGVASGASSWGAAADRGPTMLSFAGPSSSSTTSIAAEIQLQDFSVRRERPAGAGRRSRAAGRGVPEACAVDGCRSDLSRCREYHRRHKVCEAHSKTPVVVVGGQEQRFCQQCSRFHMLSEFDEGKRSCRKRLDGHNRRRRKPQNDFMNLGSFFPYSQVNQFAIYPQSTSRSGQNSDSMHVVDRQRTFSISFSGTFMAPKQFPLSQDGGSMFNAPGPLNLLAEHNTHTRTSGVCNALPGTLGSECALSLLSSSLHRRPPTAGQEQVTSSLACIAAGSQDTGAAVAYASGVGHQAFVPDAVFDDPSQALPFPWQ >Et_4A_032234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25449311:25449925:-1 gene:Et_4A_032234 transcript:Et_4A_032234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALSSLRYGDSLSVVAISAATAVLCEAISWLLIYRTTTYNSLRATIERHSRKLDAMKAGASGSSSSGASSRAKKMDRVETSLKDAARELSLAKLKSGAVVAAVLFVVFGLLNSLFEGRAVAKLPFAPVPLVQRMSHRGLPGTDPTDCSMVFLYFLCSMSIRTNLQKLLGFAPPRAAAAAGGGLFPMPDPKVN >Et_5B_044715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:551406:555235:-1 gene:Et_5B_044715 transcript:Et_5B_044715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLARSTWPRAASSALAAAVFAFLDVIDVLLCFVYAFLDGVLEDSPVSCYCHRTFDDAAEEEDDEVSDTLYVRRSLLRDALTRLVRRVFLKRRTGAAAAPEKGRSPRWSDCGCASCVEWLRNSGGGGDALARLHVVVKDPAPPPKDADAAGTEQRERDDAAAAAVFVHGFTSSSSFWTETVFRESPSVLGRRMFAVDLLGFGRSPKPANCSYRLKDHVEAIERSLVEPRGLRSFHLVSHSMGCVIALALAARHPARVKSVTLVAPPYFPPCEQRASQVALSRLAEKKLWPPLLFGSAVMSWYEHIGRTVCFVVCRNHLLWEWLAKRLTGKREVDVRLRDLTKHTHHSAWHTMHNVICGGATLQDRNLQAVEAAGIPVQVIHGVEDQVVPVECSRHLKAKLPRAEVSLMPGCDHATGVLGRENGFAHKLRAFWSDSASRQEKPAVHERLCTKHLLWRRRGDRVRCREEVRRRVAGEIACGGGGGGGQGRASRSSNRGEKSGATKSGGNGADDVSSSPAGFCCQPLQVAAEDGAVATVSSVLADARANYYICGPEGRACSGTRPQAQASDFGALRLGGSEQCGGADLGTTGGPEKREDGVRLHAGGAMTRPGAACGVPGEEASDELVLIKYVYAQIDHRISFGDFQRFHFAGHSGPSEMPLPPASSTMHGIPSFCSEYGNVFPYSDIHVSKAHFTCYASLSGNLQQRKMLRHVDAGINQN >Et_1A_008443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7714736:7718356:-1 gene:Et_1A_008443 transcript:Et_1A_008443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRWIPLEANPDVMNQFMWGLGVPEGTAQFCDVYGLDDELLAMVPQPVLAVLFLYPLTSLEEEEEEEEEEEESSASASSTAESKDLSKKVYFTKQTVGNACGTVGVIHAVGNATSQIKLVEGSYFEKFYKKTADMDPVQRAAFLEEDDEMEDAHSVAATAGDTDANVDVNEHFVCFSCVDGELYELDGRKSQPICHGPSSPDTLLQDAAKVIKARIAENPNSMNFNVMALSKN >Et_4A_033475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23466024:23472084:1 gene:Et_4A_033475 transcript:Et_4A_033475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ENIPLEEVFENLRCSHGGLSSEQAQQRLHLFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFEKGVTQDQVILMAARASRTENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSDGKMYRVSKGAPEQILNLAYNKSEIERRVHTVIDKFAERGLRSLAVAYQEVPDGRKESPGGLWHFVALMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWEFDFPPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLSEIFTTGIVLGGYLAMMTVIFFWAAYKTNFFPRIFHVESLEKTAQDDFQKLAAAIYLQVSTISQALIFVTRSRSWSFMERPGFLLVFAFLVAQLIATLIAVYADWGFTSIKGIGWGWAGIVWLYNLIFYFPLDIIKFLIRYALSGRAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQPPDAKLFPERANELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQQSYTV >Et_2B_019660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11923227:11934547:-1 gene:Et_2B_019660 transcript:Et_2B_019660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSYASRSSGELASAASGGGRSVRVIPLRHPSEAAARGSTSSSTSWWRAAVGKARGMGPLEWAEAVLPCVAWMRRYRWKEDLQADLTAGITVGVMLVPQAMSYAKLAGLHPIYGLYTGFVPLFVYAIFGSSRQLAVGPVALVSLLVSNVLGGIVNSSSELYTELAILLAFMVGILECLMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQIKYFLGYSVTRSSKIIPLIESIIAGIDQFSWPPFVMGSTILAILLIMKSLGKSSKRLRFLRASGPLTAVVLGTIFVKIFRPPAISLVGEIPQGLPKFSMPRGFEHIMSLMPTAVLITGVAILESVGIAKALAAKNGYELDSNKELFGLGIANICGSFFYAYPATGSFSRSAVNHESGAKTGLSGIIMGIIIGSALLFMTPLFTDIPQCALAAIVISAVTGLVDYDEAIFLWGIDKKDFFLWAITFITTLVFGIEIGVLVGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNTLQYPEAYTYNGIVVVRIDAPIYFANISYIKDRLREYELNLPNSNRGPDVGRVYFVILEMSPVTYIDSSAVQALKDLHQEYKARHIQIAIANPNRQVHLLLSRSGIIDMIGAGWCFVRVHDAVQVCLQHVQSSSPTAMKLAAQAPGDLIDSTPAPMADQQQRLHGFFKNLWKARDGREAGNEVQPLLRQNLV >Et_10B_003988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:895639:897172:-1 gene:Et_10B_003988 transcript:Et_10B_003988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEVKAMSGGIDLIFGFWAALQLAVRNRWGGGDSQTKADKLAASVLAWFTPCGARVGRRLDQDELEDLLHDAMDESFNAELEDGSIEEVIKILGTIVKHLMILHTRSLKQKHSYIDKLRKTRFAGSAITRSNKIRPTYHIGNDISDDDAGPIQQAEAPPPQRNPTRPAKPVPDEDGWTAVPSRRQR >Et_3A_024893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25100386:25102408:1 gene:Et_3A_024893 transcript:Et_3A_024893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRLVCLVAAVGSWALLSSVARGDPQVPCYFIFGDSLVDNGNNNYIVSLARANYPPYGIDFAGGPSGRFTNGLTTVDVIAQLLGFDNFIPPFAATSGDQILNGANFASAAAGIRAETGQQLGGRIPFAGQVQNYQTAVQTVVNILGDQDTASDHLSKCIFSVGMGSNDYLNNYFMPAFYNTGSRYTPDQFADTLIADYRRYLQVLYSYGARKVVMIGVGQVGCSPNELARYSPDGATCVERIDSAIRIFNRRLVGLVDEFNALPGAHFTYINAYNIFNDILANAASYGFSVTNAGCCGVGRNNGQVTCLPYQAPCANRDQHIFWDAFHPSEAANIIVGRRSYRAESPNDAYPMDISTLASI >Et_1B_011405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21863696:21866389:1 gene:Et_1B_011405 transcript:Et_1B_011405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPSPHGSRATTRPKMRTTTALDTYGRDMTARAGTADPVIGRDDEIRNVVRILCRRSKSNALLVGAPGRIAAGAVPPALAGARVVEVDVGALVAGTTLRGMFEERVKRVIQEAEDADGKVILFIDEMHMLVGGGSCLAASVDAANMLKPALARGRVHCVGATTFDEYRKYIEKDAALERRFQKVHVEEPDMDKTVGILQGLKRKFEKHHGVRILDSTLVAIAQLAARYITDRQFPDKAVDLVDEACATASMQIDDQREENRDSQEVLAKKRKKAIVCPEHVAQVVSRWTGIPVHTLDHEGKEKLIHLAERLRERVIGQDEAVDSVAQAVLRSRAGLHRSGQPIGSFLFLGSTGVGKTELAKALAEQLFDSEKTLIRFDMSEFVGEASVRRLIGAPPSYIGYDEGGQLTEKVRRCPYSVILFDELEKADPAVFNVFLQILDDGMLTDSKGRTVDFKNTLIIMTSNLGAEHLADGMAGKTTVEAARDLVMKQVHKHFKPEFLNRLTEIVIFEPLSRDKLREVVDIQMKSIIASVADMGISLSASDAALDVIFSESHNPMFGARPIRRWVQKNVMTKLSEMLIKGEVNKGSTIFIGATDDKKALKYEVVKMTVVPPQEEMHALETDSDCDDEVVPPQEEMHVQCKEKEAMPSQRQEKEKMNVQRQEKEEMHLETSSSELAVVRHVSRIDKHRCHGHWYDRLRSPQSFLIGITIMGIACFKR >Et_2B_022921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8224573:8230342:1 gene:Et_2B_022921 transcript:Et_2B_022921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVKEMKRGGAEPSEKEPADAETSRGAVPSLQTRASSAPSVKMAVKSVLLLGVVLASVMLLCQDPVYARELTEANESEAKNVKPAGVPALKDEKWFGGYKNGGGYGNGGGYGGGYDQPGYGGGYGGGYQPGYGGGHKHHGHGGGYGPGYGGGYGPGYGGGYGGPGYGGGYGQPGYGGGSGRPGYGGGQGGGYGGGYGGGYGSGSGYGGGGGYGGGYGGGGNPGGGYNGGGGYHGGGN >Et_1A_005947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15936132:15938983:1 gene:Et_1A_005947 transcript:Et_1A_005947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRISQKAKRSVVLVVDKQDHDHYCSGCVTKVNDNTVTVIASSKFVSGRESRLKVVFFDRTELDATVIAVEDSFCLLRTALHSGCGAISLPQDEHGVVIPQCTFMYVPQSCTNNVKMSTYAVVESLESYLNFETMLTAKSTHYFMVSCAYFDKTPSGINRLSAAPVFTMSGETAGIVLQDCRSAEPAAEMKVVLKANHLRELMMLLDPPPPPPPRKQVKKRKRAPPRKRGKKRKRTIWSTHYHERDSASGTHPDDEQLRHGDDQQDDGNSRRSDAPPAPGALLPLAAPAPAGSHPAVPPHRFEEPGAQSVRVGVGQDGGVHLRRRLVRVVGLGVDGARRVVVVLLLSVCPSFVAAFVENLLAAIASGFMAASVISMALDTVPVRWVLPLSHTPPYHTSSSTSRHLLFSTSRPSALSRSATLSPPLTSPPKESMTCESRPASVYPLGMRTHDLASKLRRILSPRYTFAASVVLPIPSGPTMATIVQLVCPSASVSRRTSSCSSSSILAPTVSLLGARLP >Et_3A_023294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18733601:18734911:1 gene:Et_3A_023294 transcript:Et_3A_023294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAEEERPQPQETAPARSRRWSAEKVVDVVPEQAAPAVEVVAPAAPAPPPPPAPPARRRRRSRSVENLPRPEELEREIVVEEVRTPPPAAMFPPGTPPPPPPPPSTASRSKKKRGGSVGGAKELASAIALFYQKKRKSITMKAKRRPHNHHHHSDDHYSPPSSDASASPDATARATATNPAPPPPPPPPPPPPPPPSSIFSNLFKKGSKSRRIHSVAPPHPPPPPPPTRRSMMKPPQPPSRPAPPAPPPPPARTRPPRAHEYAHAHAPQPPMPPPSPPLPPPPPPPPPPLVSEGEEDAPSVTESPAPAYCASPDVNAKADMFIESFREGLKLEKLNSYREKWQRQIQEQAAGEDEDGEFMVIGSLFGDDEDDDISLPETPARAAVAVGF >Et_6A_046311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12806561:12823161:-1 gene:Et_6A_046311 transcript:Et_6A_046311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTPAPAGDEFDARSGYCAATGSFRSVRPPVPLPPADAPLSFPAFAFSLLPSPLPAHPALLDAATAEAVSFPALLSRSRALAAALRSPRSLVGLRRGDVAFVLAPAGLDVPVLYLALLSFGAVVSPANPALTADEVARLVALSGASVAFAVASTAAKLPAGVRTVLLDSDLFRSFLHSRDDDDEPPAWLDVAVRQSDAATIQYSSGTTGRVKAAALPHRSFIAMAAGSHALFEKPTKGRERVLLSAPMFHSMGFYFALRGVAMGQTTVVVTDAVAGAGVKGVVAAAERWGVVEMTAAPPVVVAMAKASCSLPALERVICGGAPLSVAAAERFRRRFPNVDLCMGYGSTEGGGISLMIGREECNRIGSAGRVSENVEVKIVDHMTGKPLSVGQKGELLVRGPAVMTGYVGDDEANATAFDSEGWLKTGDLCYIDQDGFLFVVDRLKELIKYKGYQVPPAELELVLQSLPEVVDAAVMPYPHEEAGQIPIALVVRQPGSKVTEAQVMDHVAERVAPYKKIRKVLFVESIPKSPAGKILRRHLAPSPDSMPPPPAAVDPSSGYCAATGTFHSLRAPLPLPPPDRPLSFPAHAISLLPSPLPTQPALIDSATGEAVSFPAFLSRVRALAAALGERLRVSPGDVAFVLAPPGVHIPVLYYALMAVGAVVSPANPALTSGEISSLVALSNPSLAFAVQATAAKLPPGLDTVLVDSPRFLSFLRETHDYGVMVPGNDLVIHQSHPAGILYSSGTTGRAKAVELTHRNLISSTATPGVVADEVLLLTVPIFHVYGFVFSLRAVLAAQTLVLYTAKRFSAREVLPEVGRFRVTRLALAPPTLLAIVQAAEEDNSVIAHTTTLQMVTCGGAAVSPELVRRFKLQFPHVCLVQGYGLTETTAGFCRVVGVEESARIGSVGRLSYGAEAKIVHPETGVAQPPGIPGEIWVRGPFVMKGYRGDNDSTSAVLDCEGWLRTGDICYIDKDGFIFMVDRLKEIIKYKGYQVPPAELENLLQTHPHIEEAAVVPYPDDRAGELPVAFIVRRCGSNLHEAQIKDFVAKQVVHYKRIHHVFLVNSIPKNAAGKILRKDLVKLALRQISAKL >Et_5A_040677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11004572:11008247:1 gene:Et_5A_040677 transcript:Et_5A_040677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWRAAASRITSVAAAAAAESRIFPRASSSAPSLPPPPRTLSRTFAKSAAAAKATSSSPSASSSSSSAASAGPRPEARLNSMFLSKPCSLALPPDSPLRAEDPHYEGIKRFMLTLLLFYSKQSKSIRGANVVYDRITSQVDSPAIYDVFQLEKTFKTTFSLLVLHMWLVLRRLKEEGKDGVKFGQYIYEMYNHDVELRVSKAGVNLLLTKWMKELEKIFYGNIVKYDAAISPEARQDDLVNVIWRNIYAEEGTETIDAAATPAALARYTRREATCLSLTDKDAMFSGNFKFTSLLPTTPSPSPKKAAR >Et_9B_064160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1238224:1240703:1 gene:Et_9B_064160 transcript:Et_9B_064160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGDMPRYDDRYGGNTRLYVGKLAPRTRSRDLEYIFSKYGRIREVEMKRDYAFIEFSDARDADEAQYQLDGRDVDGSRIIVEFAKGVPRGSGGSREYMGRGPPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPRSLRRERSYSRSPSPRRGRGRSRSYSRSRSRSYRSLSGSPRGGRRDQRRSRSLSYSRSPRRSASPPAKERSRTPDGSRSPRSPSPRDRVSPPPKDNDERNGSDHGDSPHGREDSRSRSRSPKLEELDCGAV >Et_10A_000291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21513977:21514351:-1 gene:Et_10A_000291 transcript:Et_10A_000291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSSPPLYEFIEYIDTEQTPENIVHVYRVAERARRHWVDMEAEERREEERRKMRQKEEERRREYETKRKAREAERKRMRERARRAMAAGPDAFRKEKYPRCTQ >Et_8B_060857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9420090:9422436:1 gene:Et_8B_060857 transcript:Et_8B_060857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVLPPLPSQADPGRHREAPPPLATTPPSNPSLPISFPLSLLDLILFRLSSGSGTGTERESRAREELRSRVWQTSSQRLALTHSHHSRLSLQSIVNGTEDNQKILGAGICESSSPLLLHDMSILTALLVALVTALASQHKTEQRAGLPLSTSSRWIVDERGRRVKLVCVNWASHLEPVLAEGLGKRPMGAIAADVAAMGFNCARLTWPTFLVTNASYSSLTVTQSLQRLNLTESLAGVRAHNPSILDLKLIDAFKASTSVTLFIMNIIVVVTTIKELINVFFGWHSIPSQRNGDPRQPREQARVVLQQWRRQRGFFGDA >Et_5A_041329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21201889:21202203:-1 gene:Et_5A_041329 transcript:Et_5A_041329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHDHTFQQSGAGPLPPLHFHRGGQWSSHILLNLHRPLFKLAWCKNQTVAADLQEKLWMCGLRCISDRA >Et_2A_016589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26349874:26362775:-1 gene:Et_2A_016589 transcript:Et_2A_016589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFPVSTISHFLPAHFTIAYFSVTLSCCSQILFCSFSLNNSFHLNNPLFSYLLCRVPIRRVHSSRPAAASWVLSKALGPLSSGALEAWAASAKLGTNVEDLKLELLYAQGMLNNARGRDNHQEIQNPALTELLHKLRGLADRADDVLDELEYFRIQDQLYETRHAADHHGGGCLKNHALNARHSAKAVVKMLGFSRGPPTSHDKGPSEDDTGGVSCTGPLACLGPKTPADDDDQEEEEDSRGVRRCGAVWPCGKKASAASHEGGNADADDDQDGEEEASRGVRRCGAVWPYGKKASAAPPVLQTNQGEQEAAAHGCISSLASSARGTIHAVGKHLPCHSVSPVQKDANSNIIAPPSSGRAFLCCGRPNKATKTKPAIQTPKLEFHRVEMSQKMEEITDQLKVVCAKVSTILNLELLDSNRSIAQSISLALHAKFSNNQCPAPLHTNAIINRPMTNSNFVEPNFQGRGREIREIIDGIAEGISSGKDLTVLPIVGPGGLGKTTLTQKIYNSEEELDSLFDVKLWVCVSINFNLARMVKTTEQMDLKGLDSEAFEKLFLACIYALKVSAQECLSVCSSNVRSVEIPPSLRHLSIHIDDSSVKDPKTFDSCKEDFIAFEKRLKAENLRSLLLFGSYQCSFASTIGSLLSNAKSIRVIFSQNKSEDMDHLLQKISNHVHLRYFRTSDNVFGSGLFNNITRYYHLRVLDVFGKYKSYVPRDMSNLIKLRHFVVRCRFSFEKKAAGTMHSGISEVGKLKYLQALNSFMVKKGSQGFELRQIGHLLELGSLCIINLGKVESREEAEEAKLMRKKHLRKLILNRGTDQSSNDPTREDQIIEGLKPNSNLSSLFITGHRGIACPSWLGQHLKGLQSLGIHNVAWKTFPPIGKFCLANENFSSDDMCNQTFHNLRRIELVKLEGVKKWVVDSTCQFHSCLEVLIIKDCSELMELSFSNSSCCQQEKNIWFPKLRELQIKNCHKLSSLPPIPWTCDPCKIGISVVDLSFESLYYDHGLSLSVMGGEVAQDTDEFWMALKFDKLTKLKQLDMLGCPPLPLDRLEMLSSLKDLSMSNSMIAVWPVEEGKSVHFQLPVEHVSIGGATAEEINHIFCYMPKLVNLKVSHCKKIRGLGVAEQHKKATSSSNDLDGQSSMTSSLHESAEEEEIAEEGLLLLPPQLQKLEISYCPELRLHGSDGLQGLGYLRSLEIWNCPKLLSSYSASSSSCFPFPTSLQELKFAVQSLTIQPAADCVEAGKRVSLSNLSSLTKLSTYECEGAEGLWRDLPRGCLTQLQVETASNFFLIDPEPSSQLQQERGLSFSALRSVKANDAVGFLAAPICSLLTTSLTELYLQHDKEIERFTKEQEEALQLLTSLQKLTLHQWFKLQCLPEGLRRLPNLKELRICMCMKIHSLPTGGFPDSLQELTIQYCSAIRSLPKGGLPNSLQTLEIAYCEAIRSPPKDALPTSLQELIISGCPAFRKLPKDGLPSSLRLLDVINCKREDLKSHCRKLIGTIPIVK >Et_9A_061394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1112699:1116825:1 gene:Et_9A_061394 transcript:Et_9A_061394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPATASAAAADPGTAYKLLLTCPTGLPRSRVSVKFDKMFDRIPHPDAALEESISEIWNQRLERNPTLYNGTKFRYGGNALHYKDDPDQDYCASLHLGLTDYRTFVGTNLNPLWEKFLVPSEDDSVHCQHMSNPLGNGAIVETSDEKIIVLQRSYNVGEFPGYYVFPGGHSEPQEIGIVGHQADEEDLACLSERVSQEMFEGIIREVVEETGVPASSLTDPVLIGVSRREMNVRPTAFFFTKCDIDSGGVNELYSRAQDGFESTKLYAVSVDELRGMSQRMPGCHNGGFALYELMRKATKSDIGGLFVIVIVVFLMLVRREKRRMKEYYDKNGGPILKDVNNIKIFKKDEPKPILKTSNIMGNGAFG >Et_1B_014405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7932261:7937010:1 gene:Et_1B_014405 transcript:Et_1B_014405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCCHCWLGLGGYYCDLGHWDLQNLVCCLTEEPSLKCSHSEMMKAFFYRNQQTRIHATSSLLWMFTPATRDNQPRQKKPED >Et_3B_028243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12224196:12233718:1 gene:Et_3B_028243 transcript:Et_3B_028243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEMMQFEGEFQLDPVACSIPLALQDRGSPVLTVTDYGPGISLLLGLLTQKLAYQLSGWLFFISMLLSPETFDSAKSLLVSKAWDIIRKHAVKDNEMAFMLPDKCPMKENTPCQSKLAENLAGNIADDQEEKKGKKPRVSTKKIKKKPTNEDKEPKGGSNPIHSISSHLEELSPVQRSCNSPSSKMVFCQENNRQRPQQAWEVRKAIGGGDDSSPAEAIQGWIHEVDAASVYGCERVDEEGPELADKDSMPSDVRHRLKDLPHRVHLMNFYEEMIFTTSSFAYKK >Et_4A_034896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7697290:7698376:1 gene:Et_4A_034896 transcript:Et_4A_034896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVVSKMRDLFQMNGLDMCCGVVKDKRTIWRLSIISDFFRAVVNFIRTRKKLTSTRKDTVLVRNGMVDLVVEALAVVVGVHMEEVVAAEVPVALAHYLTSDLMTTVLSLPADPAAAKHTTNL >Et_4A_032322.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29792459:29792644:-1 gene:Et_4A_032322 transcript:Et_4A_032322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWTPIPFITWLVPFIGHIGICREDGVILDFAGPNFISVDNFAFGAVARYIQVNSEEVCTL >Et_9A_061696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15465538:15469792:1 gene:Et_9A_061696 transcript:Et_9A_061696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATAAVAASSSLLAPAAATSTTAGPAHNAVLFPSTVPSLRAYPRLLLAFRRPAAAAVADPQGAVLDEEDVVVIDQGGRYDDDGDGYGGRGPAFTPPTKPRTGKAALPLKRDRTRSKRFLEIQKLRESKKEYDVPTAVTLMKQMSSAKFVESAEAHFRMNLDPKYNDQQLRATVNLPKGTGQAVKIAVLTQGEKIDEARAAGADIVGGDELIEQIKGGFMEFDKLIASPDMMPKVASLGKILGPRGLMPNPKAGTVSPNITQAIEEFKKGKVEFRVDKTGIVHIPFGKVDFPEEDLIANFMAVVRSVERNKPSGAKGIYWKTAYLCSSMGPSIKLNIKEMLDYGTDSSN >Et_7B_055113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7438862:7441914:1 gene:Et_7B_055113 transcript:Et_7B_055113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAVSVPFAGSASVYAARRSSSCCAVPVAASSSSRSLRSPPLRAAAGIPGNRLGSSRRRASVVRAVQGQDATIQVPDVTKSTWQSLVMESELPVLVQYWAPWCGPCKMIDPVVGKLSKEYEGKLKCYKLNTDESPDIASQYGVRSIPTMMIFKNGEKKDAVIGAVPESTIVASIERFIEAQELDLVFG >Et_3A_023264.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:15855202:15855246:1 gene:Et_3A_023264 transcript:Et_3A_023264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHAPLLARLIDR >Et_8B_059676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20584940:20588355:1 gene:Et_8B_059676 transcript:Et_8B_059676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSVEELASNLSTYKDQLREVRKLIKEKKDDPGISEYLDMEKELQEVITLTEELLATAKESGSAQNDAGLSAPNHSAGVQSEGLDDLPHSHMFAVGTRVQAVWSEDGEWYNATIEALTPNGYYVAYDEWGNREEVDPDNVRPLEEEAADALRQAEKEAEATKMALKRKIEQAATSDFQARSLPAKLRIDPNDPEDVKAAKRKKIHAFKSKARFEQLEFAQNKRQNAWQQFQTTKGKAKKVGFFSGRKKESIFKSPDDYRGKVGVTGSGKGLTDFQRREKHLHLKGGSADSVDDEE >Et_10B_003582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3378832:3382337:-1 gene:Et_10B_003582 transcript:Et_10B_003582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVVTVQVGGFANFIGAHFWNFQDELLGLADDPGADPVFRTAALDMDVLYRAGETHQGVATYCPRLVSVGSRGSLGSLSSSGTLGSSSVAADHASKPHERNLFLQSLSEDQNPSTSNGPNTSQKSIEDKDLIASLETGVKFWTDYSKVQYHPQSLYELHGSWTDFDKFDNYGAGMEVVSEWSQMEEMNERLRFFVEECDHIQGIQLIVDDSGGFSSVAAQYLESIADDYTNTPVLLYCARDPVSYGSRRNQRESIIRSLHDAVSFSKLSSFSNLMVPIGLPSLSYFSPLLSIQDEKRFHSSAICAAAVHSVTVPFRQQHVSPSSDLAHSSGNLDIGELVHMISDQGRHNMVTSLDVAMPAPSLTDINDLGNLQKSLRSLTPEISDDDEDAYAVESLVVHGTMDAGGHRASILQVKDYVYSTFEGRPTKPKFSHLSVSQCPLPIPLPFPSIFGSSIGRHGEILRDHSEGTRPKGSLDVVSVPMAARLRSSNAVLPFIERRSVSLQKLGVARGTLGSQVLRDWGFGKEEVEDMGEHVAKLLRPFYPEMELTSDSD >Et_8B_058709.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:17611646:17611792:-1 gene:Et_8B_058709 transcript:Et_8B_058709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PTPARDPTGAGARFHPRVRVRVKKFTRGVFLRGRVFAPPNPNPTRCHP >Et_6B_050097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6186715:6190831:1 gene:Et_6B_050097 transcript:Et_6B_050097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLLLIELSTCGWAAAPFHSPPSESLAEKNQSASECATNITMAEAVLLALTKIGNVLADQTANALLEKLSEKVDNLRNLDEKIEKMRMQLRIMSNVIRQIGTVYLTDEVVKGWIGEVRRVAYRVEDVMDKYSYHSLQMAEEWFLKKYFIKASHYVLVFSQIANDVVKLEKEIQQVVELKEQWLQPSQLVPDQLTEMERQRSQDSFPQLVKDEDLVGIEGNRRMLTEWLYSDELVRTVITVCGMGGLGKSTLVTNVYEREKINFTAHAWMVVSQTYTMDALLRKLLRKICSAEQQLLSNIDRMDVFDLKEEIKKRLDSRKCLIVLDDVWNQEVYFQMHDALQNDNGSRIIITTRQNHVAALASPTRRLDLQPLSSTDAFDLFCRRAFYSTKDHECPKELVTVATSIVNRCQGLPLAIVSIGSLLSSRPQTQYVWNQTYKQLRSELSKSDNVRAILNLSYHHLSGELRNCFLYCSLFPEDYPISRESLVRLWVAEGFVTSNGKNTPEEVAEGNLMDLIQRNMLVIVENDEQGRVSTCTMHDVVRDLALDVAKEERFGTASDYGTMILMDKDVRRLSSYGWKDKTALKLKLPRLRTLVSLATISSSPDMLSSILSESNYLTVLELQDSEVTEVPASIGALFNLRYLGLRRTKVKSLPDSVEKLYNLQTLDIKQTKIEKLPRGITKVKNLRHLLADRYDDEKQSAFRYFVGMQAPKDLSNLEELQTLETVEASKDLAEQLKKLTRLKSVWVDNISATDCADLFSTLSNMPLLSGLLLSARDEDEALCFDALKPCSTELHKLIIRGRWGKGTLECPIFRGYGTHLKYLALSWCHVGEDPLEMLAPYLPNLTYLRLNNMRSAKALVVSAGSFPYLKTLVLKQMPSVNQLVINSGALPCLEGLYIVSLTELNKVPQGIVSLRSLKKLWLLNLHKDFIAQWHQNGMHQKMQHVPEIRV >Et_10A_002194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:442088:443524:-1 gene:Et_10A_002194 transcript:Et_10A_002194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLGDGGILAMAAVGVRGGEENNQKEEDETSMTHFDGSGQNNGNERELRTVKGEEEDLKMEKGGKMVTGQAGSKRKLTATCGGVAIPCYPDPRAHPSLRAAAEKDRGTSASPSTSPRLVPVADEPADDLAQTWIRADKLAPRAARRSSRGGGHGHRGGGRGEGGDGGEDGGDFYRRAGEETRAGGEEEAPDVGLQAADEVLVRDASRVGAADAVGVDEPSGGQRLHSERVAEEERGGAARGEGEEREEEASVVGREHDAVAQEGEEARRLPASLGRVVLHGRMAGWLENRAEIYLAGRRKREGREAGKLRGARRGGGGRAA >Et_6B_049052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17063299:17064931:-1 gene:Et_6B_049052 transcript:Et_6B_049052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHLGWRVMYAAGVLPPMLLAAAAVARAARAPRRGSGTPRTRPTSASRRPSSRRTPDGSIAGTALCGGSCSFHHASGIEACDSDAAVLGATVAVGAVKTCFILKCFILVAALLPVVPDSVGLRLLLLACATGLLCPGLSHTCLGGDKRRCSITAFSVVLGLLKSTYNTEIVPLQQRTPGTMNGVICGVMSMMFI >Et_4A_032693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12599333:12600654:-1 gene:Et_4A_032693 transcript:Et_4A_032693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPSFSRVLVEKLVQPKKSAAGILLPETTKQLNAAKVIAVGPGERDKDGKLIPVSLKEGETVLLPEYGGTEVKLAEKEYAFL >Et_10A_002218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4590005:4595610:-1 gene:Et_10A_002218 transcript:Et_10A_002218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGGGASPCSPVATFQIHALFARLIVSIFLLSLRVPQLRSLRAFQNIEPALPLTACHASFKATMEVPDDILSHILERIDSHISLIRAAAVCKRWRCTIASTDFLCRYRSLHSYAIAGNYHYNTPAQSYSMGSHAQVRRGPVFVPSSPSIHTRHFTLDFLPGMIDFPSRWNIQDSRGSLLFMHHRGSNPLHRHPDMFVCEPLTRRYRRIPPPSYFNDDFFFWGSYLIDGADSHISFSNFRVMCLFVRRYGHSFSLATVFNEAGGADSSLSEKTIGLDEPLVTAMNFLGCSKDS >Et_3A_024142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17807798:17811051:1 gene:Et_3A_024142 transcript:Et_3A_024142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIVVQEKPRDRIGVAFLTKLNSTASAASVRKGSLASACNVSSTRGSSVKSASTKPAPVLPRHDNTVQKQYVPPPKVPAIAPCSSFVSPGCSGDLVSMDETMSTCDSMKSPEFEYIDNGDSSMLASLQRRANEQLYISEEKDVKENKWIWNAPATMEIDSICDVDNDLEDPQLCASLASDIYMHLRDAETRKRPSTDFMETIQKDVNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVACMLIAAKYEEICAPQVEEFCYITDNTYFRDEDPPLHLEFLASYVAELSLLEYSLLSYPPSLIAASSVFVAKFVLQPTKNPWNSTLAHYTQYKPSILCDCVKALYRLLSVGLASNLPSIREKYSQHKYKFVAKKHCPPSIPTEFFQDATC >Et_4B_038234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27401919:27405164:1 gene:Et_4B_038234 transcript:Et_4B_038234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYAFVARGTVILAEYTEFTGNFTTIASQCLMKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQVPIAFLDRVKEDFTKRYGGGKAATAAANSLNREFGSKLKEHMQYCVDHPEEVSKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTQVRRKMWLQNMKIKLIVLGIIIALILIIILSAQDFRQQGTQVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCNK >Et_6A_045929.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:9905350:9905616:-1 gene:Et_6A_045929 transcript:Et_6A_045929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLPDDALASVFARLAPRCLAVSHCVCRAWHTVVDAHHLLRTDLPLSLGGVYLGASYHRRLFLPFLFPRTWRLPKICDDLPRTFWA >Et_8B_059594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19784872:19788236:1 gene:Et_8B_059594 transcript:Et_8B_059594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATATVAPAAATPAFALASSVARRGFLPLPRRAGRLVPQSLRLSASAVRRRGAVVVAADAAAAAGSAEFGDDENPYELLGIRPLDSFDHMKIAYKKKRKDAEETGDEELLAKLDRAYDTVMLQQLQYRKKGVTYGSVQVSKDIKYADNQPIVPWGPRFSRSTVKDMRINMAISAAFVIWIALMGNADWKPLQFLCFSFFYRILQKLRATEPPITPIYNEYGEVEGRGIRMAKRVVRALGLIIGCVFFASLGYTAAVNLIEFSWQYTPRIVYYYQELIVTAASSALLHITASYYR >Et_1A_007576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36004000:36005525:1 gene:Et_1A_007576 transcript:Et_1A_007576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMAVTTMVTKSKESWSLQLPEFAFPWNSREDKSHVQFHRRAPFASVSLDMSPPARDPLEHDTRARPDDNCDVARQLAAGAAKEKQASGGDTEEEAPAAEQKKKKGGGKRPQQQLGALKKVVRVKIANPHLRRLVSGAVAGAVSRTFVAPLETIRTHLMVGSCGAETMAGVFQWIMRTEGWPGLFRGNAVNVLRVAPSKAIEANLDTAMHFTYDTAKKFLTPKADELPKVPIPTPLVAGALAGVASTLCTYPMELIKTRVTIERGVYDNVAHAFVKILREEGPGELYRGLAPSLIGVVPYAACNFYAYETLKRLYRRASGLRSGADVGAAATLLIGSMAGAIASSATFPLEVARKQMQVGAVGGRQVYNNVLHAMYCIVKKEGAGGLYRGLGPSCIKLMPAAGISFMCYEACKRILVEGEEEDEQEDEEAEDKEKKDA >Et_1A_006333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20968911:20970030:1 gene:Et_1A_006333 transcript:Et_1A_006333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYPANPCHFLPPGFRVEAWTPVVARNRPHRRLRSFVGPIQKVNEMVGIAILHPEVAHEDFGSMASALHEYLFRQCQVHDYSTTTGVIVKVMLHHRAEIPDDVLVTVGHVPAARSFTVMVVPLTSEWVLPLGNEMPPPDDALVHPLPPSPPRWMGQRPAGLGAANSEISSTLWDPMSLQWKCDVANHPYIQHKFIPKRLKEDGSVPGKMLVLATTHLWVAQLMHKFDWVLAATASVDLFVHLIIYQSVHASAPPRLWLVLYLSSTHPCDRPCAKISM >Et_10A_000859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18291198:18292379:1 gene:Et_10A_000859 transcript:Et_10A_000859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELTVEELRKQQRAEGPATVLAIGTATPTNCVYQADYPDYYFRMTKSEHLTELKEKFKRMCDKSMIRKRYMYLTEAMLQENPNM >Et_3A_025902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33941713:33943889:1 gene:Et_3A_025902 transcript:Et_3A_025902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTITWAMSELMRNPRAMERAQSEIRQLLQGKAKVKEEDIEGRLPYLQMVIKEALRLHPPLPLLLPRLCAEPCKIMGYDIPPGTTVLVNTWAIGRDEKSWTHATEFRPERFEDGVVDFNGADFRFLPGGAGRRLCPGLIFGMANVEITLATLLYHFNWKLPDGTGPRDLDMTESHGVTAHRKNDLWLEATPYIFLALPPRHRLTLASRTMGASGHREHALPARRLAQRYGPVMLLRLGQVRAVVISSPEAAREVMKTHDAVFANRPVYVTMDIFTYGGRDISFAPYGSKHWKEARPAALRHRAPQPQARPLVPPHPGGGGREARRRRR >Et_5B_044924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7339285:7346573:-1 gene:Et_5B_044924 transcript:Et_5B_044924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRQRARSSEEVKEEDFVASEERGGDGQPAATGFKSKNLEAERRRRGRLNNNILALRAVVPNITKMSKEHTLSDAIDYIKKLQNQVQELQSQLDDSPGEAWDKQGSASCSESFAATENMQYQGQVELVPLGPHKYHLKIFCKKAGVFTKVLEALCSYNAQVTSLSTITFYGYAESVFSIEVNGRCDDGAKEPSGQHCGGPKWFGGGAGPAAAMDRAELTNEQVLKRDIPWEHYMSTKLISGTCLQHLRRYDHKSESDRARLLDEDGPAYIRVFLNILRSISKEETVEYVLALIDEMLTANPKRAALFYDKSLSGEDIYEPFLRNWFVQEKSCKILNRIISARPKLQNGMLPNGEASNSKSKLTSTQDVLRGLIDWICSQLRSPTHPNCSVPTAIHGLSNLLKEPFVRTSFVQADGVKLLIPLITPASTQQSTQLLYETCLCIWLLSFYDAAVDYLSTTRVMPRLVEVVKSSTKEKVVRVVVMSLRNLLAKGAFAAQMIDLGLPQIVQNLKAQAWTDEDLLDALNQLEAGLKDSLKKLSSFDKYKQQVLLGHLDWSPMHKDSMFWRENINNFEENDFQILRVLMTVIDTSTDTTALAVACYDLSQFLQYHPSGRIVVADLKAKDRVMKLMNHDNAEVRKNALLCVQRLFLGAKYASFLQA >Et_1A_005939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15788913:15801353:-1 gene:Et_1A_005939 transcript:Et_1A_005939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETVRRDATALDPELLQLPELAPGALRENSTIAEALYSQWLTLPETSKLVKSLIEDAKAGATLNVAGSSASTNAASSSSLPSMFPAGSAPPLSPRSTSGSPRVMRRSPGPSSLHSSPLKLVSEPVREVIPQFYFKNGRPPAKDLKEQCLSRTDHLFFGGEGLQIQEFRSVTKDICKIPSFFSSVLFKKIDVAGTGTVSRDAFVDYWINGNKITMDMASQIFEILRKPGYDYLTQEDFKPVLKELLATHPGLEFLQGTPEFQERYAETVIYRIFYSINRAGNGHLTLRELKRGNLIAALQQLDEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHSLTYRIVDRIFSQVPRKFTSMTEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGILTSNEMQFFYEEQLHRMECMAQEPVLFEDILCQMIDMIGPENESYFTLRDLKRCKLSGNIFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDKPIISEP >Et_4B_039611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23928411:23932555:1 gene:Et_4B_039611 transcript:Et_4B_039611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPLSSLRRGFSTAGADPSLASTTELAYRLLRRHHSDPQRLFAALSASGLDASSPHLLDAVLRRCGAAAALALHFFHWCSPSLPSPLPSSLALLAKSFSRASSAPSPSLLAPLPSQLLGPSLLSPILRRLPPPRLLPYALSLLSSRPDHDHSALFLSLLEALSKAGHVAAAEQLVEELQPRLPLSLRHYTALLYGWCRLGKLDEAKHVLARMKAAEIAPDVVAFNTLLAGFAADGRFEDAFELAREMERRGCPPNAVSYTTLMQGLGARGRVDEAMRVFVEMRRKGCAPDSVTYGTLVSAFCKADKISQGYEFLDAMSRDGLRVDAAVYHGFFVAHEKKEQLEDCLELMERMKECRCPPDLKAYNVVIRLACKLGETKQAMTLWNEMENGGTSPRVDTFAIMVNGLVGQGALIEACNYFKDMVGRGLFVAPQYGVLKDLLNALVRDEKLELAKDVWGCIVSKGCELNVSAWTIWIHALYAKKHVKDACMYCLDMLEAGLMPQPDTFAKLMKGLKKLYNRQVAAEITEKVRMMAEERHVSFKMYKRRGVRDLEEKPKAKRRKGQKQGRRRPSGRAISEGSWWGQDAVTGLGAWSEWKPKA >Et_3A_025720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32179836:32186555:1 gene:Et_3A_025720 transcript:Et_3A_025720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQASSSTAAAPSRKEHLEAGKKRLEQFRKKKAAKKSAAAVEQAKPSVPDVVEKPPPIANTARPGDGLASDVELNTASTSSVPSANYENGSISSSLTAEFQSNGPAPVSASAGDSSISPQQDAVSDGGSKFYGNLSFSDLVNGHHENWRGNTLKKDELNPEKEVQSTSKLIAFGNTDSIGSPPSVDTLPSWRRDSLSSQVRDTEQSSSSTSSTLFGRSESTYSQDYSMNNDIFGRFRATSKDSSQVEQSVYARDYGSTFNSSRIVDTVDHNKTVEINRKAEDSTPASFEKHDPFLSADYPTTYTRSRPSFLDSIGVQRAPPTTQASYGEPAKANQLSSNSNYQGSFLQQSNQQSTRSNVVDISLSLGSQEYNHDKGSYDNSTPDFSVSKEERSLQHGNQTFQNFTTHGKDDDFATLEQLIEDLTKEKFSLQRTLEKSQELAETLATDNSALTDKFNQQAHVISQLTSDMERLQEEIQAQLLALESVRTEYANAQLECSAADERAKVLAAEVILLEDKALKLRSSELKLEKQVEGLHSEISSYRRKVSSLEKERQHLQSTVEALQEEKKLLYSKLRNLPVNEKVNIIEKPSADKRDASTATEDLDIGEISSSETLASTVDTLEDAGTSALRFSNMSDIPSLEEVSTSIPEDQLRMIDNINSLMSELAVEREELLRALRIESSNCSKLKELNKDLTQKLEIQTQRLELLTSQRMANENVLAKPIDTRSINDATMYADEGDEVVERVLGWIIKLFPGGPKRRTSKLLMT >Et_10A_001495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3988626:3997411:-1 gene:Et_10A_001495 transcript:Et_10A_001495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKIRFFKLMTGDFAKGISIPEKFVENFNEKITEEFNLKVPGGEAWHVSVKKDADELFLKSGWENFVKAHELQENDLLIFTCSGNSSFEASGCEKASSLFANKTGPNMCKYSDDEVNQGQLDGHYSLSGSEDATTPSQLVGSPQGGSTSQKHTLVTRMLLQSPNSSDDHVKHEAIGEGDSDEQNSNSNYYYSMIANRLSDEEKEEIISLASIQSDNPAFFTVLQMSHVRRNNNFLIFPSRFVADHLDNSLHEITLRRPNRKDKWCVKYYCARTSRGIRNYNFSKFMIDNKLREGDICAFELMKGARRVTMTVHVIRNVDDRLVLMVERGCESCRKWQEHYYWEHMDVSKIRFFKFMTGDFAKAISIPEKFVENFNGKITKEVNLKAPSGEIWHVSVDKNADELFLKSGWEDFVKAHELQENDLLVFTFSGNSSFEVLIFEANGCEKVSSLSANKTGANMLKHSANKANWGKHDEHYSLSDSEDTTKPSQLVTYAVFVYYEILCRMLPESPKRGEVGEEDSDEEYSNHKYYHSRIADRLSDEEKEEVITLASIRSDNPAFFTILQKSHVRRNQNFLIFPCHFVADHLDSNLHEITLCRPNRKEKWCVKYYHARTAQGIRNYNFFRFVCENKLREGDICAFELMKGVRRVTMTVHVIRNVNDRLVLSTLTFGRSGAAATAVEASTSPSGQTEAGSALNSARARASIETRVAHR >Et_2A_018725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:665668:667066:-1 gene:Et_2A_018725 transcript:Et_2A_018725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQPLPQPRSSMREALEKEDKEKAAAAAAKEKTTVPTAKNGGGGNGKNGGGGNGKNGGNGGGGGNNGGPPPGEETAREIQVVREAYRRETAAPTYVIPEEPPAMVELVGWYLYSFCSYFITHLLLPVLFPAIVTQVAFPNSDFTPDPKYTIKGASCSVHLMSMWRGGKLKLNAGGREGSAARQERHVPIKARRSWNELRRAIIW >Et_1B_011784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2642648:2646584:1 gene:Et_1B_011784 transcript:Et_1B_011784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTKEQLLARLQVSNTTSAAAPLELKVDFTCYDHPVVLTVEEQKKYVGHLGGALSKNLLLKDKKHRLYVVSALEHTKIDMKILSQRLGLGKGGLRMAPEENLLQVLQVPLGCVTPFALINESASAVSLLLDQGFKSKQSCYFHPLTNDVTIALTSSNLDKFLISIGKQPAYVDLEASPAVGKDNPPDLAHLVPSDVPVSSEQPAEHMTPAKVTHQNNAPKETGKAKPKVQGKGAESSQSKVEKPNNDISVKKFVNDVFDIFMSPLFLSEVSKKLNLTKEEVSSKLDSDGLRELVAPDLECVMTSLKNASYAAGFDAGFETMLNNGLKGRPSRK >Et_3A_024185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18252606:18253084:1 gene:Et_3A_024185 transcript:Et_3A_024185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVVIELPYALVQATLYGVIWTAVKFFWCLVFGYFTLLYFTFYGMMVVGLTPNYHIAPFVSSAFYANWNLFSGFIIPRPCYICPVSWTLYRLVVSQFGDNATAVDDAHS >Et_4A_033970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28649507:28652305:1 gene:Et_4A_033970 transcript:Et_4A_033970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAANVAVIGAGISGAVCASLLAARGVAVTVFDSGRGAGGRMAQRRETMEDGTELRFDHGAPYFTVSNDEVARVVSGWEARGIVAEWKAMFACFDRATGKFTDFEKAGTTKKYVGVPGMNAICKSLCLDDGLVAKFGVTVGKMDWLHDRSSWSLASLDGKDLGCFDYVVATDKIIASPRFSGLTGRPPPIDVSSFPQLSAMVRDIPVRPCFALMVAFSEPLSEVPVHGLSFNNSDFLSRAFCDSSKPGRASVPPNRQSWVLHSTAEYASKVIHEIGPRKPSADALSKVAEELFKEFQSTGLNIPQPVFMKAHRWGGAFPSISIGGEDKCVWDKSTKLAICGDFCASPSVEGAILSAMSGASKILGCLNYPSGL >Et_9A_063097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10136327:10138901:1 gene:Et_9A_063097 transcript:Et_9A_063097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKSSDFLGIRSVANGLCFSLISLAQAAEMFLGLYYWMLYDRMGHRRSEWPPNLLVRSYHNQNKLYMHAAHIILGVVSLYPGRLELGSGGGARPQTGSATAGGAMGKHEFLAPKAIANRIKVKSLQKLRWCCQMCQKQCRNENGLKCHCMSESHQQQMQAFGQAPDCAARDSQRSSSRPSSPSATHTATPTSLPPSSTTSISPIATTYT >Et_2A_017516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35040750:35046092:-1 gene:Et_2A_017516 transcript:Et_2A_017516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLTSSSSSPAPLLPPRPRLPADGSSRQRIAGVGNVRLSLMSVPCSARGSCLVKVKSKFATAVALQENKNMATSEEDVNHLPVYEVDPKLEEFKDHFSYRMKRYLDQKSSIEKSEGSLEEFSKGYLKFGINTDEDATVYREWAPAAQEAELVGDFNDWNGVNHRMEKDEFGVWSIKIPHVKGKPAIPHNSKVKFRFRHGDGVWLDRVPAWIRYATFDASKFGAPYDGVHWDPPAGQRYVFKYPRPPKPHAPRIYEAHVGMSGEKPAVNTYREFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQSTQESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINTGFTGNYKEYFSLDTDVDAVVYMMLANHLMHKLLPEATIVAEDVSGMPVLCRPVNEGGVGFDYRLAMAIPDRWIDYLKNKDDSAWSMGEISNTLTNRRYTEKCIAYAESHDQSIVGDKTIAFLLMDKEMYSGMSDLQPASPTIDRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLVDTDHLRYKYMNAFDQAMNALDEKFSFLSSPKQIVSDMNEEEKVIVFERGDLVFVFNFHPKKTYEGYKVGCDLPGKYRVALDSDALVFGGHGRVGHDVDHFTSPEGVPGVPETNFNNRPNSFKVLSPPHTCVAYYRVDEEAERLQRKAASTAMKTTPEFIDVDATHVKVPTTKESEESTNVEATSGGGRGSTEDDSGKKERKFVFQSSDEDSK >Et_3B_028447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15524458:15526629:1 gene:Et_3B_028447 transcript:Et_3B_028447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDEERPLIHYLHPQDEGSHYTCDGTVDVNRQPALKQSTGNWRACLLILGAEFSECLAFYGVGINLVSYLMSVLHESNVDAAKNVSTWSGTCFFTPLIGAFLADTYWGRYWTVVIFTSFFAFGMLGLTGSAWLPLLLDSPNDGSGIHRVAVYMGLYLVAIGAGLFGTDQFDSTDPAERVRKGSFFNWFFFSINMGSLLSSTVLVWVQENIGWGVGFAIPMVLTLFSLTVFVAGRKVYRDKKLEGSPLTSVSQVVVAAVRNYHLTLPEDASALHEAPSPNEANCKIAHTCQFRFFDKAAIAVPPSSGEKSAVSRRSPWRLCTVSQVEELKMLLRMFPVWASTMLFFAVTAQKSSTFIEQGMVMDKRVGPFTVPPASLATFHTLTIVAGIPVYDALVAPLARRVTGGDDRGLTPQLQRLGVGLALSVAAMAYAALSLGTSLVHLAIAAGSYLNSAMLGAVAEATARGGAAGWIPDDLDQGHLDYFFWLIAALSVVNLLHFLHCSRRYRGNKTAS >Et_9A_062307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21770533:21771107:-1 gene:Et_9A_062307 transcript:Et_9A_062307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSISCSLKPPAPFKEASSRLQPTPSATTTSPSGGSLRRACVAAAACAVVGMSDGAGVAALARDAVSSTSSMADGAVVAVDARGGGPARWSDRRRCPPWRANSLESFVPENLPRPSPQRRFNSVTAAERTAPALAPEAVAPFLARRAGMGCFSL >Et_6B_049113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18119965:18148287:1 gene:Et_6B_049113 transcript:Et_6B_049113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRWSRVLDHCNGLVLYTDDDLDDGSFFVCNPVTQRWKRLPRCTDGDEWRWHLHAFLVFSPTVSKHYRVLLVSRKPKKEVDGARRSMEWPPSQWRCHEFSSRTGRWRERVFVREGEAAGTVADLIMDSLLPYSMEPRWRFSAYWQRNLYIQCHGEYVARMSLSDATYRVIKSPIDRTECNNNVKSFLGRSEKGVYFAAIYKWQLRVWILHTGSSPYRTEWVLKHDRVLKPDDWYGAADDYHQIQLDGPWILDENEKRKTRADVDWSSDDGDIIQTVDWYENSNDDEDMYPHTFHFLGFHPYKEVIFLSAYGVAVAYHLNSYKVQFIGILTPSEHNHDVTDSFKAAALHDDALAAVLGRLPARSLAASRRVCKAWCDFVDDRRLLLRLRNLPPHAVRGFFVNHQDHGEPHFFARPTASGSRIDGQFNFIEDQYMSRWSRVLDQHNGLVLYRDHYDGSFFVCDPDTRWWKRLPRCTDGSDWRWHLHAFLVFDPAVSKHYRVLLVPREPKKEVDVARRSMEWPPSRWRCHQLSSRTGRWRERVFVREGVAEGTVAELLMDSLPYSCEPRWRFSAYWQGALYVHCHGEFVASKKFSIQLISLFFFFVVHDHILGVINVYRMSLSDATYRIIKSPIDRTECNNNVKSFLGRSEKGVYFAAIYKWQLRVWILTESSPDRTEWTMKHDRRKTRADVDWSSDDDDVIQTVDWYENSNDDEDMYPHTFHVLGFHPYKEVIFLTTYGVAVAYHLNSNKVQFLEAAAAALHDDALAAVLARLPARGLPASRGVCKAWRAVVDDRRLLLPHLLPQAVRGIFVNYQDHRVPHFFARPAPAPTDHGGSRNRIDGRFDFIEHEIWNRRSLVVDHCNGLVLYWDDEPGSFYVCNPMTRWWKRLPQCTGGYDWRWRRHAFLVFDPAVSKHYRVLLVPRELNKKKKKHRLAETTGDKEDDAWRSMEWPPSRWRCHELSSRTGQWRERLFLREGDAAGTVADLRMDYATYRVIKSPIDGTECYNDVKSFLGRSEKGLYFAAIYRRQLRVWILNEALDRTEWTLKHDRILKPDDWREHLHRGSYHQIKLDGPWILDENEKTKTRDDVHWSSDDDYVIRTGHWNENTNEGYMYPDTFHVLGFHPYKEVIFLTTLSLAAAYHLNSSKVQFLGILRPKDHNYGVTDSFASTPDQSMEAAALHDDALAAVLGRLPARSLAMMRCVCKAWRAVVDDRRLLLPHLLPQAVRGIFVNYQDHRVPHFFARPAPAPTDGGRRIHIDGRFDFINDEIWYYRWSLVVDHCDGLVLYWANDGGPFYVCNPVTRWSKRLPRCTGGYDGWWKRHAFLVFDPAMSKHYLVLLVPRELKKKRHCPDDTAWRSMEWPPSRWRCRELSSRTGRWQERVFVREGEAAGTIADLLMDSLPYSKEPRWRFAAYWKGALYVHCHGEYVASHILKKVNNVCRMSLSDATYRVIKSPIDRAECYNGVKSFLGKSEKGIQFAAINRSQLRVWILNEVLGRTEWILKHDRALNSDGWWEFVRLGNYHEIELNGPWILDENEKSKTRDDAEWSSDNDDIIQTGDWEEHIYSDFPCSWFPPDVIFLTNVDLAVAYHLNSSKVQFLGILNPCDHNYGVTDSFMEESRPSLRIGVHYKSKTRDDAEWSSDNDDIIQTGDWEEHIYSETFHVLGFHPYKDVIFLTNVGLAVAYHLNSFKVQFLGILNPSDHNYGVTDSFVYTPYSVKPRWRFVAYWQEALYVHCHGEYVPRLSLSDAMYQVVKSPKDRTECNNDVKSFLGRSEKGVYLAAIYMRQLRVWILNEAPDPTERILKHDRVLKPDDWWEVVNHGDYDQIKLDGPWVLDENEKSKTRDDVEWISDDDDVIQTGDWNENTNEEDMYPETFHVLGFHPYKEVVFLTTVSLAVAYHLNRSKVQFLSILSPKDHNYGVKTRSYIHHAYAMHDRGSELM >Et_3A_024043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16682035:16689728:1 gene:Et_3A_024043 transcript:Et_3A_024043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIPFPSVRKNLIALQKKRKKKQQVPVPEWLETHRYYMKGDWKVYEHRVYRKKFRSGSEVDEFLEAIRTPLPTFKGRKLQIKVAGRQLVQMVKELFIFRLFTLVDEDHQARRCSPVERVERMFEKVKANLPGPPDFLLCRGRIVIFTKKNLHEMDIVTQCIAPSPKMNDQYFTNVLLKINAKLGGMNSKLSLEHRQMIPVVTQTPTMILGMDVSHGSPGRADIPSIAAVVGSRHWPLISRYRASVRTHSPKVEMIDKENLFDLKTLSSSMHLWGGDNSEVLAMGGSLGMGGAGSGELHAARANSMSVASFIEPHSN >Et_7A_050889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11998564:12001695:1 gene:Et_7A_050889 transcript:Et_7A_050889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASAAPCRFLGPLATASRALISSATPTTRRRLLLSGTTTIAAAAAMSAASGSASSCKVIDSHLHVWASPQQAKEGYPYFPGQEATLRGDADFLLECMDEAGVDGALIVQPINHMFDHSLVTSVLKKYPSKFIGCCLANPADDGSGIKQLEHLIVQEKYRAVRFNPNLWPSGQKMTNEVGRSLFAKAGELGAPVGIMVMKGIGLYIQEIEELCRDYPTTTVILDHMAFCKPPANDDEEKAFSSLLRLSKLPQVYVKYSALFRITREAYPYEDTAQLLSRAISSFGANRIMWGSDFPYVVPECGYKGAKEAVSRVAGRIAVSSSDLEWVLGKTVRQLFQGAWVTP >Et_2B_019348.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24874776:24874928:-1 gene:Et_2B_019348 transcript:Et_2B_019348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIILPLIKMNALAINFHWVLGWMNYISTKQESMKLRGISKPLVWMQCQ >Et_9B_065188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21894285:21900418:-1 gene:Et_9B_065188 transcript:Et_9B_065188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRAFLHRALVLTSLLLLASGEAFFEERFDDGWESRWVKSDWKRSEGKAGTFRHTAGTYSGDPDDKGIQTTTDARHFAISAKFPEFSNKNRTLVIQYSIKIEQDIECGGAYIKLMSGYVNQKKFGGDTPYSFMFGPDICGDQTKKLHLILSYQGQNYPIKKDLKCETDKLTHFYTFILRPDASYSLLVDNREREFGSMYTDWDILPPRKIKDINAKKPKDWDDREYIEDPDQVKPEGYDSIPKDIPDPNDKKPESWDDDDDGIWKPRMIPNPEYRGPWKRKKIKNPNYKGKWKIPWIDNPEFEDDPDLYVLKPLQYVGIEVWQVKAGSVFDNILICDDPDYARHVVDEFFGANKEAEKEAFEGAERRRKAREEEEARRAREEGEKRRRERDRNRGRDRYRDKYRDRYMRYDYH >Et_8B_060289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8608173:8611553:1 gene:Et_8B_060289 transcript:Et_8B_060289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADRTTSPPQAMDVAVGMHGRINDNSSLKRGRAASRAGLHPSNHMWMSAEKTVRNRKHASLRPWQWYSPAKDSMKNSHSLSSQTSLSLSILYLFAAAARSGSIAAIVVSGNVSERLKVLRLYVLDSYDGEHGPVRREGLAVHLECHVGALGGGEEAGQVAVQLRRRHHHRRRGSPCSSVANVDVIGLDLLHHRDVAPDRETIIPEMLRLLQFIPVDESMETPAAVTEDSLFTGPGVAWHWFPTLVKQAKRVPCSDAFGDIVVELKINGDVGRNRPS >Et_3A_025192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27794182:27796541:1 gene:Et_3A_025192 transcript:Et_3A_025192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPNVKSAAGVAQIDGRPVLQPAGNRVAAPDAARPLKKSLQKSFSMPASYDNNAAFAATPRPAPENARAAASLLPPTTPASATARATKAAAKVAAEKSRSTKAGKKAGAVLPVVTFAALDAFEPAGSIAAAQREHAAMAQAQRKQRIAHYGRTAFITPYSDPLYVAYHDEEWGLPVHDDELLFEMLTLSGVQVGADWTSILKKRHLYREAFSGFNVEAVAKYTEKQMALLSADFGLDIGTVRGVVNNACRILELRRDFGSFDKYVWAFVNNKPLSPSYKYSRKIPVKTSKSESISKDMVRRGFRFVGPTVIHSFMQAVGLTNDHLVSCPRHRVCSSAVARGN >Et_4A_034791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6633600:6642563:1 gene:Et_4A_034791 transcript:Et_4A_034791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSGSVFLGVLAVAALLCAPAAAELPRLQHYSAKNDGSLSLLVLGDWGRNGAYNQSRVAHQMGRVGEKLDIDFVISTGDNFYENGLKGVSDRQFQDSFSNIYTAPSLQTPWYLVLGNHDYRGNALAQLSPVLRKLDNRFICMRSFIVNSELVDFFFIDTTPFQLKYWTHPGEHHYDWRGVAPRGKYLANLLKDLDDALKKSTARWKIAVGHHTMRSVSEHGDTKELLKLLLPVLKNNDVDFYINGHDHCLEHISSRDSPIQYITSGGGSKAWRGINNRNKDDVRFFYDGQGFMSLQLNQDQAQFIFYDVFGKILYQWSAAVTKVLAVLALAALLCAPAIAELPRLEHPAKDEGTLSLLVVGDWGRKGTFNQSRVAEQMGIVGEKLDIDFVISTGDNFYETGLTGVDDQAFEQSFTDIYTAESLQKPWYLVLGNHDYKGDVLAQLSPVLRNIDNRFICMRSFIVDAEIVDFFFIDTTPFQLKYWTHPKGDHYDWRDVAPRGKYIDNLLKDLDMEMKKSTAKWKIAVGHHTMRSVSDHGDTTELLQLLLPVLKDNGIDFYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGVFQPNEDKLNFFYDGQGFMSLQLHQDKAEFIFYDVDGRILYKYSQTSMKETHPLQYPRYITEDPIQYFTSGGGSKASRGFFQPNEDKLEFFYDGQGFMSLQLHQDQADFIFYDVDGNILYQYSQWSLRKTYLLQHPSYVAEE >Et_3A_024229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18651006:18655481:-1 gene:Et_3A_024229 transcript:Et_3A_024229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIYVLEPPTKGKVVVHTTAGPLDIELWPKEAPKAARNFVQLCLEGYYDGTLFHRVIKNFLVQGGDPTGSGTGGESIYGAPFADEFHSRLRFNHRGLVACANAGTPHSNGSQFFITLDRCDWLDKKNTIFGKVTGDSIFNLLTLGDIETDKDDRPVYEQKILSVEVLWDPFEDIVPRQLKKPDSAAKVDAGAKPKKRAVKQLNVLSFGDEVEEEENEAASSVKDKIKSIHDVLDDPRFLKGEPEDVQLSKEQEEKKKDTVLSVREALISKKVDSGEPEHAPETDDYPEDENEEDFDNRMRSQILRKRRELGDVRHRETSQTDKPRRKDKELPDRASNVEHKSDNDDEDDQEHLQKSKKLSLKKKGVGSEATAERMSKADANLQLLNPAEQERHLKKQKRRRVQGREDETLAKLQKFKVSFLSKNTATDNMEKKADDEEDYTGWHSNRLSFLPDSSKDGMARKDDPDDYVVVDPLLEKGKQKFNKMQAKLKRREREWAGRSLT >Et_3A_023899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14259384:14265357:1 gene:Et_3A_023899 transcript:Et_3A_023899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGSSSMYSKCVRALQADVNGNGYLDYGEFVAITIHLQRLSNDDRLRTAFLFFDKDSSGYIERAALADALADDPEAPTTPRSTTSSGKLTRTRYTATSTAMNAFRWPDKFDEFVAMMKAGTDWRKASRQYSRERFKTLSNSLMKDRLARHGALTKAYFHLRYYFEPFGYVRKIVTSPL >Et_5B_044351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2348577:2351455:-1 gene:Et_5B_044351 transcript:Et_5B_044351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRALLRSAEISPDRKAAIEYLHSLSRAQHARSLNGAGFCSASRSFSTQAATTSSTPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWYRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLEKARQEAYAAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELIERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVTSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTPWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLAASA >Et_5B_044139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20610703:20613965:-1 gene:Et_5B_044139 transcript:Et_5B_044139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSTAGNCSVSMLRQCYSKVRCPDDYMATFYKGMGGRMWRVNALLLVSTVLAGVIVGIGGYGQRYRHHPFTRFIFIGATTLFVPIISYLVPTISNHDYHINEYDSYGPARTLTSLCGGTFHPTVVNICAYLVQIIVINTSVVVASDDREGRNRAPPLDLLVQAAWIFCLTCILPWGEAYIYSISSLLPFMVICAKMVLKYHAYGNARQSVALGRNPRLVFGYMQQLRLREESQHSAPTVGGDAPPPPLLVMGEEQRYIQKQPHGYMFKDGSGTTNTGLVTIDRIWQFDSVPPTPASQNPGLVIIDRFQQFDSVLPTQASQRLCLSFALFKLLRCRFARYNLPDAGSKATLNFFWSSFLKDGQYDRTFAVIEDELSFLHDYYYSSLPISYSKCWVPILGICISLSSIGCILILIYLEKVVWGLGYKVVTPVYNRQISCWVQCTERRLVSKPQVAYFGWAYFDMVPAVFLLALVLVAEVRDIASYICSNWTKVTLICHSTNISSRHSVSLRKWIGLLLQFRCKLMKHWDGKMDQCSVLTFHPRAFPVVLLHRVLRLPDQKTKVKVPTAVKVCIIDTLRSCNSNERQLSNGTSSLNRSQVGESFMWACNSKGTSDVILIWHIATCILEVKYPHGSVNEQGTQSLSDNKIAATHLSRYCAYLVAWYPELLPDDDSWSKSLYNDVKKEADRLLHADGATAASSSTPEDEYRKLVALLGEPSNHEVLKSGVKLGKQLAELMEGEETAWKLLAGFWAEMILYVAPSDNLKGHSEAIARGGELITLLWAMLFHVGIVNRPTVAGGGTAPLASLLAILVYEDEWCKSLYKDVKKDTDHLLSMAPGVEYQHLVQSLSAESNHEVLKDGARLGMQLMDSKIGWDALARFWSQMILYVAPSENLDGHAEAIARGGELLTLLCALLAHAGIVGRLNGADEIA >Et_1B_011242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19236133:19253019:1 gene:Et_1B_011242 transcript:Et_1B_011242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGNVVMDLSLPLLLSTVVCYVFFFVTGKAARSRRPLPPGPRGWPVLGNLPQLGGKTHQTLHEMTKAYGPLLRLRFGSANVVVAGSAAVAEQFLRVHDANFSCRPPNSGGEHMAYNYQDVVFAPYGARWRAMRKVCAVNLFSSRALDDLRAVREREAALMASSLAAASAAPVALGKAVNVCTTNALSRAAVGLRVFADGNEGARDFKEIVLEVMQVGGVLNVGDFVPALRWLDPQGVVAKMKKLHRRFDDMMNGIIAERRAAGVKPAADGEGKDLLGLLLEMVHKEQPLTGGDEDRITDTDVKALVLNLFVAGTDTTSTIVEWSLAELIRHPDILKKAQEELDAVVGRDRLVSESDLPRLTFFHAVIKETFRLHPSTPLSLPRMAGEDCEVNGYRIPKGSELLVNVWGIARDPALLPDPLEFRPARFLPGGSHEHVDVKGGDFQLIPFGAGRRICAGLSWGLRMVTLTSATLVHAYDWELPQGQTPDKLNMDEAFTLLLQRAVPLMARPVPRLLPMPRVGGARRFHRGWPVLGNLPQLGGKTHQTLHEMAKVYGPLIRLRFGSATVVVAGSAALAKEFLRAHDANFRSRPPTSGGEHMAYNYQDIVFAPYGARWRLRRKECAVNLFSARALDGVREREAALVVRSLVAAAAADAPVALGEAVNVCTTNALSRVAVGRRVFDDGDELARAFNEIVLQVIHVGGVLNVRDFVPALRWLDPQGVERKMKKLHRRYDDVMNRIIAEKKARVGAAAEEDKKDLLALLLAKMQEEVPQGGSEEDCRITDLEWSLAELIRHPDLLRQAQEELDAVLGRDRLVAKTDLPRLTFFHAVIKETFCLYPSTPLSLPRVAAEDCEHFSPPLLHPRESEPLYESQTLFYQKITIARLTYRIGPQLLVNVWSIGRDPALWPNPLEFRPAWFLPGGSHENVDVKGGDFELILFGAGQRICAGVNWDQQMVTLASVRRDVARFEICVRARSNGYDSFFLLRATDSFFLLRAAIRALLKIPRAAYRRSRKRHPCARVRLEAAAGANAGHVEHGGGACTPAAAGRAADGPPASQASPIC >Et_6A_046113.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:25701126:25701218:1 gene:Et_6A_046113 transcript:Et_6A_046113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPWLLQKLNQARKSFLMSGYVIYAKLNS >Et_9B_064373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14580666:14581135:1 gene:Et_9B_064373 transcript:Et_9B_064373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRLTPPSVLVMSWVTATLTTSTCFLIRGRTMKSAVLGEALHCSSHTELERRRSTAGIKRHWCYRSVDTRIPRVQGVAVFDAERMFHAPFSYTHSKISKYFNMLNRGVEGDTKSRGKIHIRTLSRKDRFHAYKRLSRKDDICWMHNY >Et_5B_045053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:893032:902274:1 gene:Et_5B_045053 transcript:Et_5B_045053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPKPGDPPQRSPGRSPNLNLPCPLPPPIPGGGAQQQPGGAPPPRAAHHRRARSEVAFRFPDDLGGGGGGSFDEIGSEDDLFNTFMDMDKIAGADRDRAAETSSPPRPAKHRHSASFDGFGMGGGGGGPGGQQDGAGGVFGEVMEAKKAMSSEQLAELAAIDPKRAKRILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAQLRDALNDALKQEVERLKIATGEMTKSNEAYNVGMQHVTYSPSFFQLSEQQAIQHHGNIQLPPHFQPSPNVPTHQMLSHPNSLSDMMQQDSLGRFQGLDIGKGPVAVKSETEVVVKSEGSSISAGESNSTFS >Et_10B_003288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18549221:18567385:1 gene:Et_10B_003288 transcript:Et_10B_003288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASASQDMLILCSIHKPEHQLEVDEGLATQLAQLSPALCGRAAHVAAGRFDDAIRCLAYILSLASLCDGPLQRLAKIKADGLARRLLQARLGTISRALIEPSSYFDQRSIRTARYNFVKLLPFLKMAYIAINRVILDTMENEKFVHIIDLSGPAAHPWQWLKLMRAFRRRPEGAPDLRITVIHDDAAFLAETSALLREKAEALDMAFSFHGFLLGGRLETLDFGGKNLHDALAIKSGYARAFSCALQMHRLLAVDDATSNARTAQLQQAGASGIVQYYVQEMMKMNGLQVHQSPTTPLSPFAQATPSPPCLVVPPLLARFLSAARAASPKVVAVAEQEASHNVPDFTARFAAALHYYAALFNALDDGAAGAGAEGQLMRAQVEQLLFGEEIRNMLTRDGVERHERHEPLQQWAARMASAGFEAVPLSYAAKMEADEVLRKRRVKGGYENRLHEGCLLFCRSGRPLYSVSAWRPWPPSEASRVMQRSFAQDHGTMDRLAQLGPVLYECAVHVTEGSFEKTDNSLRQIKGLASIVDGSLQRLSSTIAYSLARRILCPIQGFDGALIHPSDYFEQSSIQIARENFANLSPYISTGFVTINQAILEALEEEKVVHIIDFSCSVSHPWQWHKLLHDFHQRPGGPPEVRLTVVHDDSSYLAKMQMSLSKEAEALKVPFQFTHVNGRLETLDFNNLRNTLQIKFGEAVAISCALEMHRLLAVDDNVTCAGIAQLQKMLNIAQLKQMGSSAYSPASTLNYPQTPSPQTPKLLASFLNAVRALKPNIMVVMEQDANHNALLFSDRFVEVLNYYAALFDSLHAMVATNRQRSDELVRVERMILGEEIKNILVCEGVRRHERHERLSQWAMFMQGSGFNHVPLSFSAIRKCNEQLMSFGLKECQSKQDTGCLLLCWASTQLYSISAWRRHNEWSSGSREHMQPRLKLVILRGLHTLRRSVAMLQDDVVSSATSSPASSLYSPSPHGYGSWVQELSQDQQSVRLVGLLYQCAAEVAAGAFDRANFYLEQITQLASLESPHTLHRLAAVFADALARKLLNLVPGLSRALLMSSPAASFSGAAAGEARRHVFDMLPFMKLAYLTTNHAILEAMEGERFVHVVDLSGPCANPVQWIALFHAFRGRRGGPPHLRVTAVHESREFLGNMAGVLVREAEAFDIPFQFDGVEARLEEMEADALRHSLRVRSGEALAVSVVAQLHRLLAADNAAGSRSRHGGSSCLTPLQIIAARSSSPRSFGELLERELNTRLQLSPASDSSASVVLCSSPLSPQLSPAAQQPQQHRAAGAKLGSFLSAVKALSPAIMVMTEPEANHNAASFQERFDEALNYYASLFDCLERSSSSSSSHRAAVERLVLGEEIRGVVAREGAERKERHERLAQWARRMEAAGMERVGLSYGGMNEARKLLQSCGWGGSYEVVHDARGQGFFFCWHRKPLYSISAWRPATRRLS >Et_1B_009791.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27010443:27011377:-1 gene:Et_1B_009791 transcript:Et_1B_009791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WARMMTWSCAC >Et_8A_057143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21528807:21531410:-1 gene:Et_8A_057143 transcript:Et_8A_057143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLQSEAVLENNASKSANAKEQILSSTENTAASNARATSSLKSPKGATEKASSVGKGGEQPFLYQHNVYAPQPQTLYPGGYMNPSGQWEEYPHYVNMEGLHSVSPGLYNDNQSLMLSPGYANNPQMMYGAYSPVSTVGDGQQYYPVHFPFSSPYYQPPASPSMGYSNSATGISQGDTMLQQEYFLPDGILYSPTPGYHHPFSSYDRGSTQPNNAPGIFGQGNVPLASGMHQGSMYGSGSYKTRQQSSKYGGNTPSWNSGRRFGTFDFSANQQRGSMPFGSHNGSLEFMNEQNRGPRATKPKIQDTENSTGDEKSEKTAPLIDSELYNRPDFVTDYKDAKFFVIKSYTEDHVHRSIKYSVWASTASGNRKLDSAYRAAKEKEEHCPVFLFFSVNGSGQFCGVAEMTGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNNLLRHITLENNENKPVTNSRDTQEVKLEQGLQILTIFKSHEAETTILEDFDFYEQREKALQENRRQQQPASTEPHKPADTKTTGVIDHISDAFAQGVQLKDTESNGNKTNAEGASAENRSTATIKVEEGNANSNAGPVEESS >Et_4B_036974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12999111:12999388:1 gene:Et_4B_036974 transcript:Et_4B_036974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDLLKLVKEKRFWLASALVAWAAALQGHMMWLQRQDAFKHKFGGLDDPASASHEDSSSDE >Et_2B_022811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5706030:5710372:-1 gene:Et_2B_022811 transcript:Et_2B_022811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMGFSFFTSAVAHGCSLHAASRADYTIKCRTHEDYHRARSVATLQFNGHLALLVIAGAAAYAYILSRTSRPPSGYRMLGKEVQTEGMPIMSQFSLDSDEEKEDEGIIAAAAPAANGVESHEDIPLDAPDVTKPQPSAQEYQSATQVYMRITTVKLAIEKSPIPTKELHMEISSE >Et_10B_003891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7308589:7316769:1 gene:Et_10B_003891 transcript:Et_10B_003891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AYMAARKGKPVLMSCQPPSGRITRSQAAANRAVSAVAPSESLPVDTGLKQTAKGKTKRGTVDENASANAATSAPQPKRRTVLKDVTNLCCGSASKKNTAVTKLQLRPSQKVGRSRSKNEQCAKKIATPLLPAAGRSSFVNDSKRADKTQREDVLTQKKDPTILLGKQVPPSLEEIKRNRDSVCHDAITEARNARHQSKSANSKSGDSAGSDIVDIDKDNGNPQMCVSYVAEIYTNLMASELTRRPRSNYMEALQQDITKDMRGILVDWLVAVSDDFKLVPDTLHLTVILIDQFLSQKTVHRQKLQLLGVTSMLIASKYEEICAPSVEQFCNITDNAYTNDEVLEMECQVLNVLGFHLSTPTPKMFVRRFLRAAQATCNARNTTLVHLANYLVEMTLIDYGFLKFLPSVVSASAVFLARWTLNQFDHPWNSTLEHYTSYKSSDLRFCVCALWELQHNMGNCNLKTIHEKYMHSKVCCNRHIPAEPSNACRNLFLTVSFSLILRSMRGLPT >Et_1A_007307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33160079:33160900:-1 gene:Et_1A_007307 transcript:Et_1A_007307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICWSIVISMIICFIVTTRASCCKSSNGTVRIPILLDSDSKKALYAFHRQGRARVKQPRQNEQLGLEVYESFYKGRLVSGKGAGDG >Et_2B_019785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1474786:1475846:1 gene:Et_2B_019785 transcript:Et_2B_019785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPQQQQQQSLDLGLSLGGLTSQGSLSSSTTSGHLSPWATALSSVVGDVSRREAQHAVAMDPDHQAGTTMRASTSPESAAALSSGDSNNNKREREELLERTGSGGVRGAGSDEEDGADGAGGRKKLRLSKDQAAVLEECFKTHSTLNPKQKVALANRLGLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRWCERLADENKRLEKELADLRALKAVAPSPATAAAQPSSAATLTMCPSCRRVATTGGGANNQQQCHPKSNVPSAAVVAGGSVLPSHCQFFPAAVDRTGGQSTWNAAAAPLVTRELF >Et_4A_033118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18715238:18718828:-1 gene:Et_4A_033118 transcript:Et_4A_033118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVTVPGSSVPSTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPTKTIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPTGKDINALEQHIKNLLSPSTPFFFNTLYDPYREGADFVRGYPFSLREGVHTAVSHGLWLNIPDYDAPTQMVKPRERNSRYVDAVLTIPKGTLFPMCGMNLAFDRELIGAAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLSLGVKTGLPYLWHSKASNPFVNLKKEYKGIFWQEDIIPFFQNVTIPKEHDTVQKCYIYLADQVKEKLGKIDPYFVKLADAMVTWIEAWDELNPSAAAPAAENGKAKK >Et_4A_035323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:279101:282476:-1 gene:Et_4A_035323 transcript:Et_4A_035323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGRGHSRKSSRDFEEIDEDEDNMEGCSSRKPTVKRLMEDELGKVKQLKIPNDEVQRILADLGHGVCLDQSSTQNSKSKGEPNQRTMSSPSGSLDPSGSTCMKESEENELELALADFLGQIHKYHDERTHKNCKNKSELCTEMKSLIEAKLNELNNPSCSLAYEQNPQNEEKDIADGKHLGSCQEARPEKFRDALEMLSSDTELFLKILQKPNLNILESIQSYENGEIRTKLVPMKTPGNTKTIEGTKSTNQDELATKTHGKESRHIFFWKKEKTNGRHATEGTNGSQPANKIVILKPNPRRGIDPTVATSSTQAPELSATESSKFSIKEVRRRFRIVTSEATKEKAPVCEENLQKDPQWLKSTTFTIKKDTRPLAEQTSEAKASSSSNAKNNFRPSTSSRQNQRNDRAGKTDTHTNKSSKDEYVFYDEAKKHLTEILKDKSQTAKHPTLQISRSLVRMLSLPQGSTMSPTSNQCSKPSPRSSPRAKDCIDLSSEETNICATYKAKTKREGIAKEESQTREISKNVECDDPITPHEECECIKEEIQEKTEESVEVDTAHTEEIDKLGCLENNSNAWCIPGEQCRQNTSQNMAEEAGENDIGKTECQEPATPRSSIELISQFSPDGSLEKQEQPSPVSVLDPFFNEDVDNLDAEYTTKCELQADILRPQYTMDEESNQEIFWVDKDVRLGYIKAFLELSELCTYQNLEIRYLEDELISPCLFEELHQGNQIDDTKLLFDCICEALTEIQRTYFRSTPCLSSLKYKIRAPPMGRNLISEIDKHVERNLHYQFPSTLDQLVRMDLEDGNWMDLRSESEEIVVVLWDFILDELLEEVVYDLWI >Et_5A_041924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4292450:4295049:-1 gene:Et_5A_041924 transcript:Et_5A_041924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLPVNIREYQELAKKALPKMHYDYINGGAEDEYTLRENIAAYGRILLRPRVLVDVSKINMSTSLLGYDMPSPIIVAPTGSHKLANPEGEVATARAAAACKTIMVLSFSSSCKIEEVASSCDAIRFYQLYVYKRRDVSATLVRRAESLGFKAIVLTVDTPVLGRREADIRNKMIAPRLENLEGLMSFDDDLDAVNEAPVQCEAQFRPTKKKKTYSFRRRRLQAGTLREADAGSIVDVEWLKSITTLPILLKGIVTSEKAVEAGDAGVIVSNHGARQLDCAPPPISVLEEAVCGAVPVLVDGGIRRGTDVFKALALGARAVMVSTDGALFFFFCLPANVRHRRRERQGYRRATGSGPCCDSVYYIVLVQLRCGIDRLRNKATETCETFPVQLALID >Et_4A_032750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13321042:13321805:-1 gene:Et_4A_032750 transcript:Et_4A_032750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAPVAKKRRSETAESMAPARTEAPDPHTTSTKETCTQQVAGGVGDGLDRITALPDAILGEIITLLPTADGARSQVLASRWRHLWRAASLNLDCRGLPDDDRLAAVVSRVLSAHPGPPPHPTLLQRATRALGRSAVWPRSQDLLPFPRNSKFKYSFIS >Et_1B_010807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14276287:14279854:-1 gene:Et_1B_010807 transcript:Et_1B_010807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNRRGGKSGGKGKAEWEFWRSIERDLFRSAAHAAAVLSRTAHAVVDLQRPADQAQLHLRGCACPSVVLRVRPGVTAVQSRAHCSRARIQLPCSRARVLLPWPAESGRNKRARREKEQEVRDAVVIDDDSDAEPEQTTGLDEIGRLGPRVIGPMDNFTKSLDSSSLSNGKKLVTKLRRSARLNQPREIEDDIYSEPEDGPVDEEEIEFESDQDDVVTRDYEEEEGAGTKFIFSCMYIRSGHGKMQVQKFRLCKWLGKTLGPLPQPDNRTVDEHP >Et_7A_051609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21267218:21271076:-1 gene:Et_7A_051609 transcript:Et_7A_051609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSAAYTSDEDADEPTTSGSVAVEIPPVAPPPSKRPRWEPYPYPPPPPLPQAIPLSLPTNESPHPASLASGRYASKRERALLAASRAPVDSASQLPPPAAAEFGSPVGPISDSNLRADILHSLRFQPKPGSSKILPLKRSVSLKGHTKAVNCVDWSSSHAHLLASAGMDHTVHIWNVWDRGNTTACVLKYHTAAVKDVRWSPHGPSLLSGGYDCSLRLVDVAEGKVMNVFKEDQAVEVIKFNPSNPNLFLSGGSKGSLKQWDIRSGLVTKEFNRSLGTILDIEFSADGKQFISSTDTTRSNISENTIIIWDVLRQLPLSNQVYTEAFTCPCVRYHPYETSFVAQSNGNYIAIFSARPPFKLNKYMRFEGHGVWGFPIKCNFSLNGREIASGSSDGCVYFYDYKSARVLKKIEAFKEACTDVTYHPVIPNVIATCSWAGEISVFE >Et_5B_043623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13011178:13011987:1 gene:Et_5B_043623 transcript:Et_5B_043623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLESLAWLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHAPTLHPTSEELSVGRIRFKAFDLGGHRIARRVWKDYYAKVDAVVFMVDAADGARFGESKAELDALLTDDALAGVPFLVLGNPARGAGARPALLPRAIRLHHRQGRRQPRRHRRTAPGGLHVQRRPQDGIRRGVQVDGAVHQVGAEK >Et_10A_002082.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21638339:21638866:-1 gene:Et_10A_002082 transcript:Et_10A_002082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFLSAPAPAPAFSVSGAAGCVGLGYGIAVAVGVLVLISAVALASYLCILTKAGAAHATMLRSDADAAQPAAAGVVPGIDGAAIDALYPKFVHVGSGGHGDDGPCAICLGEFAAGDALRRGPGCGHCFHAGCAERWLRVSATCPVCRDSPVPSPVATPLAEAVPLAPRTRILWQ >Et_3A_024211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18466093:18468107:1 gene:Et_3A_024211 transcript:Et_3A_024211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAGDPQGFVAPPEDERLVVTNKHGESLVGVLHHAGSNKVVVLCHGFAASKDDSIFHDLVVALTKHGLSAFRFDFSGNGESEGEFQYGNYRAVVSYLQEKYDVTAIVGHSKGGNVVVLYASIYGDIPLVVNISGRFYLEKGVEERLGKEFMDRINKEGYIEVRNKSADKIVPVGDAHEFAKLIPNHKLRVIKKANHGYTSHRKELCEAVVDSVTSDEG >Et_4A_035309.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:19142520:19143251:-1 gene:Et_4A_035309 transcript:Et_4A_035309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVPMKEQSPDERQQLKRDVAGAMTTWLELKLGVNASAPEEPAATTDDSSSSDDSEPPAKPPPSSSPAPGAPSAAAAPHKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRSSSSSAAAASYHHHLHAQRMVMAGLPLEAHAAFMRAALRVNPASSAIHKQLAAGQQEPPAARAAPRFHDGDAAGATPAAVPWVAPVIYEEAVSSAWPGSFRMRTQPEPPSSEQQPASEQSKKIDLVLRL >Et_1A_005225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34114870:34115313:1 gene:Et_1A_005225 transcript:Et_1A_005225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKIAAIMILLLLLLTSGLCRRGSRARSSTIVGSCDHDVNCAAVCVTEGYIGGFCVGIILKECICSKECDSGGDDSPPVPGGPSAELTARALRAGGPV >Et_3A_027364.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:896201:896482:-1 gene:Et_3A_027364 transcript:Et_3A_027364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METIAAANGGARYGGKSSSRSEQQGGCGGHMTMFQMPLHYPRYSKEDYEAMPEWQLDRLLSEYGLPVTGTIQQKRNYAMGAFLWGGSSGGGGH >Et_3A_023062.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:22744930:22745472:1 gene:Et_3A_023062 transcript:Et_3A_023062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMQKAMGQTYQSTGHLAKLLPSGTVLSFQLLAPTLAKQGHCSDMNRMMTGGLVVLCTLSCIVLSFTDSFRDEEGKVRYGFATFKGLWVIDGGATLDPIIAMRYRVRFIDFVHAVVSAMIFVAIALFNQNVASCIYPIASEDTKQLFTTLPVAIGVIGSMLSVIFPTTRQGIGSPLSQH >Et_9B_063842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16980401:16981054:1 gene:Et_9B_063842 transcript:Et_9B_063842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPTPPLLPVTNPAAAGSSPAAGGGSDAPIATPAFRLFLSRATSRLRRNLAYFRVNYAAVVAFALGASLLAHPFSLLILLGLLAAWCFLYLFRASDQPVVLFGRTFSDRETLLGLVGASFVLLFFTSVASLIISGLLVGGALVAAHGAFRVPEDLFLDEPNAAAGNSAAQGLLSFLGAPGSGV >Et_7A_051695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2321891:2325262:1 gene:Et_7A_051695 transcript:Et_7A_051695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVGAINNYTAALKDSSSPFDRTESLMFLAHFVGDVHQPLHCGNADDLGGNTVIVHWYRRKSNLHHGEWSNEEKQWETCRTHTKTCADKYADESAKLACKAYQGVKQDSTLEDDYFFSALPVVQKRIAQGGVRLAAILNKIFSGNRRLQSSVTGSNTWKLHRSYN >Et_3B_030431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3698427:3703878:-1 gene:Et_3B_030431 transcript:Et_3B_030431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFVALRSPELEVLGLTTTFGNVHTALATRNALHLLEAVGRTDIPVVEGSHVTVKKATKLRIASFVHGSDGLGNQNFPAPASKPLDQSAAAFLVEQANLYPGQVTVVALGPLTNLALAVELDPSFPKKIGQIIILGGAYSVNGNVNPAAEANIFGDPDAADIVFTCGADILAVGLNVTHQVVLTDADREKLEQSDSKYARYLSKIMGVYFDYHKDAYFIKGVYLHDPTTLIAAVNPSLLTYTEGVVRVQTVGITRGLTVFNNTKKRYAEMTAWSGMPTVKVAVTVDAPAVVELMMQSRDEEEADHRHRPGNRADDAMAIFVALRSPELEVLGLTTTFGNVLTPLATRNALHLLETVGRTDIPVAEGSHVTIKKATKLRIASSCHSSDGLGNQNFPPPTTKPVEQSAAAFLVEQANLHPGQVTVVALGPLTNLALALELDPSFAKKVGQIVILGGAYSVNGNVNPAAETNMNIIFTSGADILAVGINVTHQVILSDADREKLEQSDSKYARYLCKILRHYFDYHREVYFRKGLCILASEKGVKMVGLVSQKWCNIVTLIGTEMLAGVYLHDPTALLAAVDPSLMTYTEGVVRVQTVGITKGLTVFDNSMKRYAENTAWSGMPTVKVAVTVDAPAVVEMMMQRLMADD >Et_9A_062069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19496187:19498643:1 gene:Et_9A_062069 transcript:Et_9A_062069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYLLPRATLAKNYPLVHPHGAKPHTQRLIVRCAGAADDGWGALMDELKSSLQTEPSGPVAADGGAAAIPDDLVTALPLDQSVPAVGDTTNAAAAAAAEAAASSSSAAVVSGSGGDAASAAIPDGLLSALHLDASSPAARAAGGALSRLDALTSGLSDAQRWAVAGFLAATWLYLTARPGVLVGAVDAYLLAPLQKALDSALGRRSLKMSDFVLGERIGEGSFGVVFYGAVVPRNGAVVEERRGTARTSLQNDDRYKEKVILKKIKVGTKGAKECGEYEEWFNYRMARAAPESCAQFLGSFVADKTKSEFVKGGKWLVWKFEGDRTLANYLSDRGFPSNLEPLMFGRTLRGLGTLERGALVVKQVMRQLVTLLKRIHGTGIVHRDIKPSNLVVTRRGQVKLIDFGAATDLRIGKNYAPDRALLDPDYCPPELYVLPEETPQPPPEPIAAILSPILWQAPEPPGPVRHMAVPSLRTQSGLKNFNAELRSAGYDLNAWRETTRRRPDLQILDLDSGRGWDLATKLISQRADDGRGRLSAAAALRHPYFLLGGDQAAAVLSKLSLSK >Et_3A_024064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1735703:1741428:1 gene:Et_3A_024064 transcript:Et_3A_024064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFSIRAYAESMRATTAADFGPFAFAVRDLPPMEVRQFRWWEDELAAIKASEEEEDEGAAPGRGRAPKKRSISDLFAAGPPVDPSSSGGAAQAGEEDDEVLGAIMRRTKELRRRRRVEAVVADAAAAALTATAEAETSSAGEAREREANSAREKELDKAYLRDELGNPEASEEPEAEQHISVCKENAPDFKEKKHEKVDIMQKNKVDKLKHVESRKAIKVGKQRDLKKMLPLHSILKKYTKHTSVKMVKEKNRHSKRSGVIELCRKSVKRVKFSEANDVLSSSKIPQLESICKLFSDAMTSSSSSTDMSSEGEKYIAAESSSSHMPEKAFTKDKKANETTDLEKCCKLSKTGSSGLFDLNQALPGSVELNDPYNSNLEGANLDHIQDGTFSMDEQALDIGREKQKHATHLDIGAKGKSYAQPNRTIQDSVQLQQSWCSMTVHHGVSQLSTGGELPPSQFREYNLSHSAKPNFHTEMNVQQEHLPVAGQTFRLMGKDLTVSATRTGYLAETAQKHTGPSDKYNLNTQMVLGLPRNGQPFLSLQAPSIPAVSGKSASIVHDSAANPASKRQAQFGYRTPHNFSQPLPTSSGYPSPYEDRFRGSANSESRRNVLLGYPPLPKQASAAFLQNLQAPWHSTRTESPSEPFVPAATRHVALSSDYHANLPQPYGVYSASSSGRPCEYMSFTLTNPAQTVQGVSGSRVSSALPSRYADTGVARSVPENSNASSSNRSVLRSGPVKLSAGAKHILMPSESTVDDNAAPLYSRVSFGSRNGNMSPPRKKGADFH >Et_4B_038038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25630251:25631667:-1 gene:Et_4B_038038 transcript:Et_4B_038038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEQAFRHCDKDTLKMAMLKHEETFRQQVHELHRLYRIQKLLMRDLKRELKNQRNVSTSPNGGSIADHRGGALGVGLCSLYEQRYATRRGGGGPVAAARPSPRTALSLDVVAPAAVEYVRSAEEDEEAEEETDDETELQLTLAVGGGGGSGGKKRYGEYPSGGESLSSSSTESDVLLTGQEWRQAAAARRVGAGSPCHFKRRQAAAGLEVVQVEDGVGVQPPPPLLFHWLSLKMA >Et_9A_063373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21839352:21843073:-1 gene:Et_9A_063373 transcript:Et_9A_063373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGEYTLEGGSGAGGKEQDRFLPIANISRIMRRAVPENGKIAKDAKDSVQECVSEFISFVTSEASDKCMKEKRKTINGDDLIWSLGTLGFEDYVEPLKLYLKLYREGDTKGSKTSDQTGKKEILLNGEPGSSDGEHLLLGKGFNIMFCKLVLRLLPKTSSELLRLKPAMSREEEAKPMTVKIIETVYVEADTADDFKSVVQRFTGKDAVARPEASQAARRTGEGKEGGASGDRQVGPSSTKQKG >Et_10B_003774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5820746:5826024:1 gene:Et_10B_003774 transcript:Et_10B_003774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFQGLLARDFGLRPQGKAAPMAAARSSGSSSGSAAWSNTRSAPAPAAAPSSAAAPSYDDLFSSAPPKPAPSAPLDNIFDSFKEPSAPPPKPKHSSMPVFDKPVYDDDIFDGVPGVKSSSARYDDVFGGGSHTTPPPYDDLLGELGGRKSGARGGGEVEEKRKPAPASSTGFDHLIPGFGGSSRSRQRETVGAKEKKGPVSTSKPAASMASDPFVVLETTSSSAGLAPGIFTDPLDELSKAAKYQGKTREDTGVDSRLFEDSSTFNQAPKSEPFFPSDVNNGPKDWNNSSKARDSSPVQSIPKRSSAQQPSVEDFENIFSKSQSARYSVVHVDSASEKYNGNGTNAQSPRSDESEDEIWLTVSEIPLFTQPTSAPPPSRPPPPLASKQKQHVSKAKRKDDAYQRHSNQNYSHHRSSSSHAGVSSVDDLEDFAMGKSQNADALNEEGFERSTAAAAAMKEAMDKAEAKFKHAKEREMRQREEREQRRLEEERELERQREREKARQAVERATKEARERAAAEARAKAEREARQRAERAAVQRAQKEARERAAVDAKERVERAAAEAKERAAVEAKEKAANQTRDRAAAERAAVERAQQEARRRAERAAVERAAAEAATAAAAAAARGKQSKPDDLESFFGARANSAPKQRAPTVDSMFDSQTHSRGTDASHRATSASASMKKASSTTNIADDLSAIFGGAPTSSEEFQEIEGESEERRRARFERQQRTRERAAKALAEKNERDMQLQREQAERHRIGETLDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKVCKITFIYITLLFFNIFNYYMGLAYPDLLGTKRLPSCCCSCCCFACVVPG >Et_10A_000880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18613698:18620578:1 gene:Et_10A_000880 transcript:Et_10A_000880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVLKLVPCQSALDHFQEVVELVAGRTVALFLDYDGTLSPIVNDPDRAYMSDEMRRVVRRAANLFNTSIVSGRSRRKVTNFVQLEDLSYAGSHGMDIMEDEPCLFQPAAKFLPLMAKVLEVRPDINWNKGNTVVFLLKTLKLDNPGEVCPIYIGDDRTDEDAFEVLREQGNGIGILVSEVNQKTHAFYTLRSPDEADSRVALELPLRWIALSLPTSRSVPEADSRVALELPLRWMDRIKLTYKQWQDLVVFTAAVVFQIGMLGDKIIHSHPKTGFQKYQVTMDLEMICL >Et_1A_008901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17981959:17982744:-1 gene:Et_1A_008901 transcript:Et_1A_008901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVVPAAALHLALLAVIVTGGAAASSVCDNANCGKGHCSEAPGIIPAYKCECDPGWSQAIKFIPMSPCTVPNCNFNTSCLNLTVTRQPGIPVADPCAAVDCGSTGTCKKDGTGLFAYRCECQPGSANLLNNTALPCFRGNCKFGSDCGKLGLTNPPGSVPGPSPAPAPVESGLQN >Et_10A_000313.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22758459:22758647:-1 gene:Et_10A_000313 transcript:Et_10A_000313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCTVPHRLGPGLPLRRRPRGQGLGNPEPLPPPQVRPQEVHERHGTLERLGHLRPWRRHRR >Et_7B_054689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3501507:3506498:-1 gene:Et_7B_054689 transcript:Et_7B_054689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADDARDAAVDEMEVDVGERHRDKERRDRHRREEKDHHGSGRRDRDREKDKDDRRREKDESKHRDRDRERDREKDSKHRDRDKEADRDRGRDRDRGKDREREAERPRDKDRGKDRERESERDKERDRRDRDKERSRNRDKEKDRAERGERERDDREREKSKGKGHGEDDADLSKGHEGGQNAQGEAEQSSTAELRERIARAKEERLKDKKEGGILDDNDEASEILSWVGKSRKLDEKRKAEHEKALRLARALEEQDNILAENDDDDEEEEGKQDGDHLSGVKVLHGLDKVLEGGTVVMTLKDQSILADGGINEEGDMLENIEIGEQKQRDDAYKASRKKGTYDDNFNEDSLSKKSILSHYDDPMNDEGVTLDEGGRFTGEAEKKLEELRKRIEGGYIQKKTEDLTSTTKVSTDYFTPDEMVKFKKPKKKKSLRKKDKLDLDALEAEAIAAGLGAADLGSRKDSKRQSAREEEQKAEAEKRSSAYQAAIAKAEEASKALRQDKTKPVKPAEEEELVFGEDYEDLQKSLEQARKLALKKQEEASASGPLAVAELATTTKAREDADATEGDAQQNKVVITEMEEFVWGLQLSEESRKPEAGDVFMDEDDDAMPPDSPAKDDTNGLVAMEVETHSEKPVENEEEEVKPDEVIHEVAVGKGLAGALKILKERGSLNEGTDWGGRTTDKKKSKLVGIEDGPKDIRIERLDEFGRVNDYIILNHRASPLQMTPKEAFRDLSHKFHGKGPGKMKQEKRQKKYEDELKTKRMNSSDTPLMAAEKMREAQARSQTPFLILSGNAKTSHNDASGFATVEKEPPGSLTPMLGDKKVEHFLGIKRSAKPGSLPPPAPKKPKN >Et_8B_060345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9960145:9961654:1 gene:Et_8B_060345 transcript:Et_8B_060345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAGQLSDAAPSKAEMFWRTVLPESPLPVAILRLLPPDTYFVGEAGANRLSKFYTYDAWTLRTHVSKNSPFTYYYHPSTPRTRVPKNSPFNYYYHPSTPRTRLSKNSPFNYYYHSSTPRTRLSKNSPFNYYYHPSPSTPRISKNSPFLYNYHPSPPRTRLSDTLSRWFGYTSSQPSAPHPTEGDGAINTTVFFHETAARVGQSLLLQLPAAEIPPLGLLPRHVANSIPFSKSAFPDVLAQFGVPAGSVLAADMKETLDMCEAPLAAVAEYLDPNVFHFPNQEAKFCATSLEALVEGAMAALGTRNIRPVTSTLPRTGAPLQPYAIRAVRPVAGSRFVACHDMEYPYTVYMCHGTGPARVYMLDMEGVCGGSAVTVAAVCHTDTSRWKPEHVSFKLLGTKPGGEPVCHIMPYGHIVWVKNASSSSSE >Et_2A_017322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33191001:33193345:1 gene:Et_2A_017322 transcript:Et_2A_017322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSDTLLVNNGAHQFRLFWMFCTGSKFLELITGFRPLIWTEKAILAYVIRLTRGFSAIVGIGATRPCCTLSCGAFPGAPSGRPGTGVASAWMMLMAASRLGLWIFDLAVVQLMQYGVPEPDRFVGSGVQNSLQSVFDLLPYHGHRHL >Et_3B_028055.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6345868:6346011:-1 gene:Et_3B_028055 transcript:Et_3B_028055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding STNIYILKQKSSNHPVRLAALQQLLCSSYSVSVIFSAAATVFAVIFL >Et_4A_035713.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:4088739:4090016:-1 gene:Et_4A_035713 transcript:Et_4A_035713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSDAAAASRRACGPPAWIILDTEAVTDDDTQNASTASALTGSWQPIQVTLVAVEPPRVSYLCVHCPSPKSSKDPSVIYAEGNLALLQVSYHDYFIYRAGRRPSLRLLPATFSGVEIVRHAPLGIVPIGGRDGEHFVVAAIINSRYESAYELHVFRSQPGTWTKTRLDLKRYRSSGVTTKVIALGGGELGWVDLQQGILVCDVLSDDDPKPRFVPLPNLLPCNQADKSPRRDDRSCRDVVACADGSIKCVEMEHVLQRPDISSADVLYDADLLRDRFGDRPPCAYQCLGWRIIAWNRTASSDCWRKGALLHVNDISADDALLRQIFGGDDGGRNLTVARLAAEVPTLSLDGGDVVYIACRANYHDTNTWMVAVDTGKKTLEEAALVISGQEESKDSISPAGIRPRKPFKSSYTSCALSKYLTAD >Et_1B_012692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34496208:34499776:1 gene:Et_1B_012692 transcript:Et_1B_012692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGPRMGTDPVGSGPPPTKELDGTGASSGGAAEGSVAQPPADRLGDLSGILPPSGEGKTGLNPCNQELPQLTAEGAIQCGDRVHLPQDVQTETACLTLGSDCNAAPVDKLHGMDSMALGKEKTGTDLQLKPDAERDENRMSAGHLGLDLNIVDSSDAAETNPFFPYKKLGQSKVSDPSECGSTTGATEESESHRKWREMKQNGFLSSSHAKVVVPRPRGRPPKRKRDDELKKGIIAQNSQANKFMKVAAPSGLLSGLNPGIINHVRNSKQVYSIIKAMVHSEKLDNENQPVCTSRTGERGKEVSERIHDQKYGAGMMTYHFMMKDNTAAFHQGLPTASQFFPEDGDDLKLQLSSAVTMASDRTCSTSADGLASNHDYMTSLSVKAASVASQWLELLHQDIRGRLAALKRSRKRVRNALHTELPYLISTEFPSNQENESSIANSSEAGCVDKAVSDAHVARWRSLFVQMDRALQEEGKHLLKNPEFSESEWAVQAAAASIYSTCSMVMRTENDMGSIFDVLKLKKEQE >Et_5B_044420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2413441:2417461:1 gene:Et_5B_044420 transcript:Et_5B_044420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTNQQGAAADATKRPTAPPLPLATRLQLAGLSVAIDAVERPDGTVNRCLYSVIDRVLCARANPRPDASGVRSFDVTMDASRGIWARVFAPSSAPDGRPLPVIVYYHGGGFALFSPAVGPFNGVCRRLCAAIGAVVVSVNYRLAPEHRCPAAYDDGVDALRYLDAHGGVPGLGAVPVPVDLASCFLAGESAGGNIVHHVANRWAAEWQASARHLRVAWIFPVQPYFGGEERTASELRLEGLAPVVNLKRSDFSWKAFLPAGADRDHPAAHVTDENAGLAEAFPPAMVVIGGFDPLMDWQRRYVDVLRRKGKKVQVAEFPDAFHGFYGFPELADAAKVLQDMKAFRDDARDDAQGARAGAAVDGAAAAVRPHDRHRHRAAARRHGEPLRLSLADRQAAARARPDKLGVRTGDVTIDAARGLWARVFSPPSSAAADAPPLPVVVYFHGGGFAFFSAASVPVEAMCRRLCREAGAVVVSVNYRLAPEHPYPAAYDDGVDVLRFLGDDTTGLPADLAVPRVDLSRCYLAGDSAGGNIAHHVAQRWTSTTTTTPTSPVVRLAGVVLIQPFFGGEERTAAELRLEGVAPVVNLRRADWAWRAFLPAGADRNHPAAHVTGDAAPEPELAEGFPLVRWWSAGSTRCRTGSGATRRCCAGRGRRCGSWSFPRPFTGSTSSPSSPTPAGSSWRSRRSWRAARRLLELSQLTDNLELGSLACLVNHFESVLGVIVTQRQVVPLRQVVNLFVSVKLCPQFFDS >Et_3B_030283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3250904:3252745:-1 gene:Et_3B_030283 transcript:Et_3B_030283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDISPTDSKEFWLIQWPKDKLDVSDFHGKELSLKLHDGNLGTLESSSGKSYDLVSFASQQPDATVFLPSGSETKAVGKISRRVSLVRYPGREELEKPSLVGLTPSSRKSGGSSRKTMSRFTGASKNRSSQGSALSLGAERTPKHKKRKDESSLGHSNVASKASEGSHARGAESNTTSEMPQTTPEKTKKKKKVKIVE >Et_1B_010279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34304904:34305485:-1 gene:Et_1B_010279 transcript:Et_1B_010279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLSRLNPHATPFLPAYMSSSAESLNGMKDSEKQAGDTDESIEIADRSVDEYDLPDSLSLDFCAESPESHDNIPSESSQYVGSDSDVHLPEVVGHLSSMFPNVSADFIIDALKLQEFDVDLTIDMLSHLVREVSCPLHTILLQYFRITRFLILYARTISEGENTMTEGSMIVDFILKN >Et_6A_046064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21373305:21373868:-1 gene:Et_6A_046064 transcript:Et_6A_046064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELALGLEASGQRFLWVVRSPSDGGAGNDNYYDAGFVSRTRGTGLLVPSFLTHCGWNSVLESLVHGVPMVAWPLYAEQRQNAVMLADGVGAAIRVPEKTKGREQIAGVVRELMEGEGKGAAVRAKVAELQKAAADGLREGGAAAAALAEVVRKWTGGED >Et_3B_029884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28838790:28841135:1 gene:Et_3B_029884 transcript:Et_3B_029884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMRAQAVDASISPRVSALLPSKTMAITDQATALRQAGVPVIGLAAGEPDFDTPPAIAEAGMNAIRDGHPRYTPNAGTLELRKAICTKLQGVWFVELPLSLRRLDNLMLNDYTDENGISYSPDQVLVSDGAKQCITQAILAVCSPDDEVSLVLNNGEVPPLIQVLIPAPYWVSYPEMARLAGVLQAYQRTSWFLAENISEKSRLLILCSPSNPTGSVYPKELLEEIADIVKKHPRLLVLSDEIYEHIIYQPTKHTSFASLPGMWERTLTINGFSKAFAMTGWRLGYLAAPKHFIAAFHDTMREEKAIQRPDLLLAPDLLSIASLRPLVQSALACVSSALLRAHVLLAAAAPISSCSLLPP >Et_1A_008694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:79573:81308:1 gene:Et_1A_008694 transcript:Et_1A_008694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIQGGRMASRPSNIMFRRLFKTLTVSPALASGLTSQHHQLQQRAQVSGTAKGKAKLKSGQPLKRSSIAKKGAPSGGGGGGRGRREAIERITQISDSCLNAPTPLRYLSPKERLREAKREELGLISKERQRELDMAKAKAKAKSKGTGGDDEGRVLMGPPGLDYISLGLVDEEAIPKYELTVEDGRRLAKEYSRVLMRRHRARQTAESTLLTLKKEAIAALPEKLQAAALVPDMTPFPANRYMATLTPPIEGYLEKVRDAAKKYSVKEKLR >Et_9A_062423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22708844:22709910:-1 gene:Et_9A_062423 transcript:Et_9A_062423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKRGPWSPEEDERLRSYVQQHGIGGNWISLPQKAGLNRCGKSCRLRWLNYLRPDIKHGGYTEQEDHIICSLYSSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKAMAAATSPALSPASSSVTSSPSCDGRFATAFPQPQGLIRFDAPAAPPCRSRTELAPVPPAAVALDGVWASSAPASGAAAPLDDDVFLHELVGGDQPLFSYGDFFAGLQPQDRALQELSACYFPNMAEMWGAADHAHAKPQGLCNTLM >Et_9A_061204.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21986077:21986427:-1 gene:Et_9A_061204 transcript:Et_9A_061204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTTPLYGGGAHPQNASAGGGGSYTPVLVVLGVIAALLVISCLVGQVCTKRHLRPRPRRDRVAYYDDDMEDGFGHGHGGRGVSKMEASAPAPASVVEMRPVGDGAAALQQTAA >Et_1B_009646.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10622845:10623408:-1 gene:Et_1B_009646 transcript:Et_1B_009646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSPSRPSSPATPSSALATPTRRRRRLLPSSNKSNTNLPSFAPASPFSFFPPTSPSPFHRFLPSPLRASSVPFSWEHRPGIPKTPARAHRSSSKSTNKALPLPPSLISRPCAGGGDPYVVPAEYAVATMHPPPPGRLGKVRRSRRPRLGDALAEWLSVLSLYRSCKRAAACFASKAKTSSEPWFER >Et_3A_026432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:853463:855856:1 gene:Et_3A_026432 transcript:Et_3A_026432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVDVSRQLKQMTDFIRQEAVEKATEIEIAAAEEFQIEKLQLLEAEKKKIRQEYERKEKQVGIKKKIDYSMQLNASRIKVLQAQDDLVTNMMEATRKELLYTSRDHQAYKRLLRILIVQSLLRLKEPAVVLRCRKEDLELVDSVLESARNEYAEKANVYPPEIVVDRHVYLPSAPSHYQAHGLSCSGGVVMASRDGKIVCENTLDARLQVVFRKKLPEIRQSLVGQVAA >Et_2B_021784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5427516:5428307:1 gene:Et_2B_021784 transcript:Et_2B_021784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGSSEHGETSKAPMSRGVSKGVSVLDLILRFIAIIGTLASAIAMGTTNETLPFFTQFIRFKAQYSDLPTLTFFVVANSIVCAYLILSLPLSILHIIRSRAKYSRLLLIFLDAAMLALVTAGASAAAAIVYLAHKGNVRANWFAICQQFDSFCERISGSLIGSFAAMVVLVLLILLSAIALARH >Et_7A_052918.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:25547574:25547780:1 gene:Et_7A_052918 transcript:Et_7A_052918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPLSARRAPSKRRSASRGAVCTARIAQLGFSTETVSDRSYAGAAAVPLLASAKRARDASLTVTRTW >Et_3B_028358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1448369:1452219:-1 gene:Et_3B_028358 transcript:Et_3B_028358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPPSPSGKAYSRKSHGPSKAPSVPSFDPHNGPLLPTVTFSRPSSPASRRDLRRRLSAELAQVRAASKRLNSLQAPAPSSALSATDPSTPLPPHPPTSKHKSKKGGAAHPPMSAEARRKLYAPVFKSCGALLARLMKHKHGWVFNKPVDASALGLHDYHTIITRPMDLGTVKSKLTAGQYKSPREFAGDVRLTFQNAMTYNPKGQDVHFMAEQLLNMFEEKWPEIEAEVAQLSPQPPTPSSAAPRKPKEIDNSRVFDRSMDNSRVFDRSMDNSRVLDRSVDNSRVLERSDSTVHAAGVEATPKTQTGKPPVLKKPKAREPNKRDMTFWEKQRLSNNLQELPPEKLDNVVQIIKKRNLSLSQHDDEIEVDIDSFDVETLWELDRFVTNYKKSLTKNKRKAELPVARPDEAEQDQEMEKIEHARQDEADQDHITTVHEPIPEPEAVDIELPKENAADDNERYMGSSSPVHLEDNKGENAGRSSSSGSSSSDSGSSSSDTDTDSSSADGSDAAQSPRTLQSSPLSGRSSSESSACPAAAPTT >Et_7B_054855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:554837:561175:1 gene:Et_7B_054855 transcript:Et_7B_054855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKQQVLSRFFSPKPAPSAAPDPPPPPNPKPSAAVATFSPTKRARALSISPKSPATKRPNPSPPDRDAVRRRLLEPRPIKPSGKGYTPLEQQVVDLKARHPDVLLMVEVGYRFRFFGEDAAVAAAVLGIVAHPDRSFLTASIPTFRLGFHVRRLVAAGHKVGVVRQTETAAIKAAAQRAGGAPSAPFARGLSAVYTRATIEAAAGELEGGTETEEGSRYLVCVVDKEVEPTGREGFDVKVGLVAIEVSTGEVVHGEFMDRPSRSGLEAVLLGLAPVEVILGTPLSFATEKMMVAYAGHASNVRVERTPRVCFGEGGALAELLSLFDKSVDDASRVEDDRQESATNDVDSNFRGIEGIMAMPELVVLALALSVRYLKGFGMERIVCFGSSFRPVSAHTEMSLSANALQQLEVFKNNTGGTIEGSLFQTMNNTCTAFGSRLFRNWLTHPLCDRNLICARHDAVAEISEAMGSRQNPVSNLKDGGDGSCTTLARSDLSTILSSVLTMLGRSLDIQRGIARIFHCKATTKEFVGVIHSILTAGKQLRKLVPEDTNTVSSQHKPVHSSLLRRLLSTASSSTVLNNAVKLLSCLNKDAADQGDMLNLFIASVDHFPEVAAGHVAIEMAKQKLDLLIVEYRKQLGMRDLEFKSVSGTTHLIELPVDRRVPSNWLKINSTKKTIRYHTPEILKNLDSLLLAKEELAVIVRTAWHKFLMDFGKYYAQFQATVESLAALDCLYSLATLAKQNNYVRPIFVHESEPNQILIKDGRHPVLESVLENNFVPNDTELHADGEYCQIVTGPNMGGKSCYIRQVALITMMAQVGSFVPASSAKLHVVDGIYTRMGASDSIQQGTSTFHEEMNEASNILHNCSSRSLVIIDELGRGTSTHDGVAIAYATLHYLLKVKKCIVIFVTHYPKILNIQREFEGSVGAYHVSYLATRKLVKVKDKEVEISPETKDLGEITFLYKLVAGCSDKSFGLNVAMLAQLPPKCIERASVMAAKLQEELSAREENRLGRTMGPPSPSGLSEGVPKVPCARPYEGLAEACRCILLNMTLADSNNDVKTLLSSLKNAREVALKTIGGFLT >Et_9A_062296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21681943:21686247:1 gene:Et_9A_062296 transcript:Et_9A_062296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRLGFVVAASVAALTFKNVNSGKRRDKDNGQARKRKDKIHHSEHSLLSGEIDIPLPSDRFDVKGRSHYNAQLANYVAEVERLRSLLSEMEERELKLQGELLEYYGMKEMKTDVAELQRQLKIKMEEINMLKNTINSLQEEREKLQDGVAHGAVEINMLKNTINSLQGERKKMQDDVAHGAVEINMLDSTISSLQEERKKLQDDGAVVKKELDAARSKIKELQRQIQLEAGQTKGQLMLLKQQVMGLKAKEEEAAKKDAEVDRKLRKLKELEVEVVELRRKNKELLYEKRDLLVKLDAAEGKITESDVLAQAREEINNLRHTNEDLAKQVEGLQMNRFSEVEELVYLRWVNACLRFELRNYQTPSGKVSARDLNRTLSPKSQERAKQLMLEYAESERSQGDTDLESASSMPSSPGSEDFDNISIDSSSSRYSFLGKKPNLMQKLKKWGRSKDDSSTLASPTRSLGSPMRQKPKGALEALMLKNAGDGIAITTYGKREQDPSDVLDDVASSFHLMSKTVEGFADEKYPAYKDRHKLATEREKAIKEKAGQARAQRFGGGHSTAFVSCPKGALPPKLAQIKERTPSVNADSSEQASDNQNHPLVVSQLKLANIEKRATRVPRPPPVPSGTASGASNTTSGALPPRPPGVPPPPPPPGKPGGPPPPPPPPGALSRSLAGGDKVHRAPEIVEFYQSLMKREAKKETSLGSISSSVSDARSNMIGEIENRSTFLLAVKADVETQGEFVESLANEVRAASFVNINDVVAFVNWLDEELSFLVDERAVLKHFDWPEGKTDALREAGFEYQDLLKLEGKVSSFTDDPNLACEEALKKMYSLLEKVEQSVYALLRTRDMAVSRYKEYGIPVDWLADSGVVGKIKLASVQLANKYMKRVASELDALEGTDKEPNREFLLLQGVRFAFRVHQFAGGFDAESMKAFEELRSKMTTQTSAPQISEA >Et_1B_010419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10309759:10311797:-1 gene:Et_1B_010419 transcript:Et_1B_010419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSDYDDDWVLPSADITLVLVGKLGYGKSATGNSILGREAFVSEYSHASVTNTCQMGSTTLKDGRTINVIDTPGLFDMSITSEDAGKEIVKCMNMAKDGIHAVLMVFSATSRFSREDSSTIETIKVFFGEKIVDHMILVFTYGDLIGESKLKSMLNNAPEYLQKMVELCKNRVVVFDNMTKDPRVQAKQLEKLLDVVDSVCSNNGGKPFSDQMFTRIKEVHEREKEVHAAIGYSEEQISELKKEIHRTRDEQLANITSMENEEFRRMAQSKKCPIL >Et_4B_038021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25556599:25559235:1 gene:Et_4B_038021 transcript:Et_4B_038021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAVRHPHLLAPSAGRARHRRAPATVRMALREDGPSVAIVGATGAVGQEFLRVITDRDFPYRSLRLLASERSAGKRLSFEDREYTVQDLAAPGAFDGVDIALFSAGGSVSRKYGPAAVASGSVVVDNSSAFRMDPEVPLVIPEVNPEAMANVRLGKGAIVANPNCSTIICLMAATPLHRHAKVLRMVVSTYQAASGAGAAAMEELKLQTQEVLAGKAPTCNIFSQQYAFNIFSHNAPVLENGYNEEEMKMVKETRKIWNNKDVKVTATCIRVPVMRAHAESVNLQFEKPLDEDTAREILRAAPGVTIIDDRAANRFPTPLEVSDKDDVAVGRIRQDLSQDDNRGLDIFVCGDQIRKGAALNAVQIAEMLLK >Et_3A_025051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26403361:26413498:-1 gene:Et_3A_025051 transcript:Et_3A_025051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAMEEALPKDNRKKGGLRTIPFIISNEIFEKVATFGLHANMILYLTDRYHMSNAAATIVLYFWNALSNFLPIFGAVLSDACLGRFRVIALGSVVSLCVRKRRSTNPAFVSLVHPLIIVAGRSCWCVCAVHIIGMCVLCLTAILPVFKRTPACAARPDDCSLVPWQLPLLFASFVLMSVGSGGIRPCALAFGADQLDGRDNSARNVRRLQTFFNWYYTVLGLSIVVATTVIVYIQQAKGWVVGFAVPVLLMVTALVLFLVGAPFYVKEPADSSVLVGLVRVLVASYKNRHEPLPPETADHSSFYNKAGTKPRTPTNMLRCLNRACVLRNPGKELTPEGTPCEPWRLCTVQQVEDTKATIRVLPIWSTGIMPGVIIGQQMFPVLQASTMQRRVGRFEIPAASFGVFSILTLTVWVAVYDRALVRPLSRLTGHARGLSLRQRMGLGLALFAAAMAVAAHTESARRAAAVAEGLRDFGPQSGRAVRMSAMRLVPQHCLTGLAEALNLIGQIEFYYAEFPKSMASIGVSLLALGLGFGGVLGSAIVGIIGSATRRGGRDGWLDNNLNKGHYDYYYVVLAVLCSANVVYFLVCGWAYGEEGRNRVVAADSEVDDDDKDGQQLKAMKMESRDQEGDVRSSGDSRVEKRGGIRTLPTILANEMLEKVAGFGLNTNMITYLTKQYHLSNVASQTMLFVWAAVSNFAPIPGAVVADMYLGRFMAVAFGSVSCLIGIIFLWLSAMIPGARPPPCVDGGQCAAPVARHLAWLLAGFAFLSVGAGGVRPCSMAFGADQFSRHPKERRSRILQAYFNAYYASVGVAFAVAVTVIVYVQDNVGWRAGFAVPMCLMLLSTVSFLLGSRLYIKEKGDKRMLCGIGGAVVAAVRNSRARLPARTEDGVYHHLKDSKLTVPTEHLRFLNKACMVREEAAGNDSGKKLCTVDQVEQLKSAIRVLPIWSSTIFLALAMNQSFAVKQADKMDRRVGAGGGYFTVPSGSLSLFNMATMSLWSASYDRWVAPALRRHTGNPRGLTLKQRVGGGLLLATAATAVSAIVEGARRRRSLRGVPTSAFWLVPQFALVGLAEAFGVIGEIEFFYKELPKSMASFSMSLLYTAMGVGNLAGALIVKVVQAATSGHGGNTSWLVDDLDAGHYDYYYWLLACYGAVNFVYFAWCSWAYGDEGKNVDWEEEDDSEQPVV >Et_3A_025966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34273000:34274458:-1 gene:Et_3A_025966 transcript:Et_3A_025966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEANGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEIPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >Et_8B_058506.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1077037:1077573:1 gene:Et_8B_058506 transcript:Et_8B_058506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RTRRPLAVAPTHSVLRVTRPSARCAGETTTPPRKEAVASRSSPPSGTSTIAPRRCVAVDRNANRCGAGSASRRETMAAQDLQTTATRADSSAPRYHTIPSTRWGGRTTACLMDASPSSSSVSSRTAWEAAASTPVLGMASSASAAGRRRCRRALVFLAIVEITSMNSNCVHNTQDLKD >Et_2B_020256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18391488:18395140:-1 gene:Et_2B_020256 transcript:Et_2B_020256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGGLASPLTCPAASLGVSNSSSMPASPVQDSVTGLPATRTCLAFAVSDATMSPIQVAPRASTEPLASVAPDPVDLVASLTDSEPPPSFHFPESTPVVHARHLSEDLSSLTINDLRVNNREHNCYDGIEDKRTNRHGHTRRFSEDLSSLTVNDLYANEGEKKFHSLQEGDGISRQNSAERDIYKAAEIAERFIKSIDNRVLIDSGAPIESVKEAVSKFGGILDWKERRKHVQNELDKALEDAPEYQRRAEAAEAEKTKVLTELSSTRRSIEGLKLKLEKANTEAIQAQQDSELANIRFKEMQQGIASKESAAIQAEIELAKYRHTNALAELQSVKDELEQLRKEYHSLNTQKDNAKAKACESSTVSQEIEKTVEDLTLELITLKELLTSSQATHIIAEEQKVNVALAYQQEKASCQIELKQADDEVQKLNDAISLNKDLISKLKAASGMLVDLRGEFSSYLEEIPSPAASLSEEAERPMVSIRLKLARSRRELEDMRKDIEKAKDEVKGLWNVAATVRADIERDKKDLEALRHKEHLAAISASSLQEEMGATICELNTVRERTKAAEMPVEVQKATDELEQAKSKARLARHEVAKAREEADQAKAEVNVIKLRLEAAKREILAVNASEEIATASANALFEYKQESEIEPQADRRSSNNVTISLEEYDMLGKKAQEADDLAKKQVIRAVEKIKEAKAAEVRSLDQLEQLTKQIDARRLELKAAQEKSNAAQYEKLTVENELRKCRAKHEQQQKEGDDIPSWKNMSWSFDAAPSTSNSQMVGALSRADTMAATTVKEPKPRKSFFSRSIVTMFMSRKKTHLK >Et_5B_043739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1492707:1495921:-1 gene:Et_5B_043739 transcript:Et_5B_043739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLSKVEDLWRDFASPESARRVVELKAFNKFENTSDALSAATLLIDSKPSKGLRKFLQKHCDGETLAVADSKLGNAIKEKLKIDCLHNSAVMELMRGLRNQLTELMTGLGAQDLAPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNILYAKAVKLMGNRANAANLDFSELSLPENVEQELKEAAVISMGTEVSDIDLLNIRELCDQVLALSEYRGQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLMNLAKQPGSTIQILGAEKALFRALKTKHSTPKYGLIYHASLIGQAAPKNKGKISRSLAAKTALAIRYDALGDGEDNSIGLESRVKLETRLRILENKELGASAGSTKGKPKIEMYEKDRKKGAGTLITPAKTYNPATDLVLPDATPKKSEVASKKRKHEDKATEEDREHENEKSKKKKKSKDVEESPAVVADGGEKKKKKKNKEAEEALAADADGEKKKKKKSKDRDALMETEESSKKDKKKKKKKHAEE >Et_1A_006377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21622463:21622717:1 gene:Et_1A_006377 transcript:Et_1A_006377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRVVLTEKTQKYPKQVPNSSRTTPFDQSRVFTRELSIYIKHVATSEKERSPGRFDRNNTEISETGPEQLQNNPV >Et_1A_009399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4859815:4861317:1 gene:Et_1A_009399 transcript:Et_1A_009399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLIAAINYLLPGESDTHLPVSLAPGCCPVNFEFQNYTIITSKCKGPKFPADKCCSSFLEFACPFKDYLNDDSSDCASTMFSYINLYGKYPPGLFASECKGDKNGLPCSNVTVKDSTAANGGYRAQSSSIFFLM >Et_1B_010896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15156026:15163773:-1 gene:Et_1B_010896 transcript:Et_1B_010896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRSHLHKVRIPEPTNRIHKDECCVTFDTPRSEGGVEGGFSDQEPEYDETFEIVILPEFISLPFPSVDLPEKVRLAVDKVILAESADRKQQLAAWVADKKNISAYAMDLQQLDNGVIVPPTRWKCSKCDKTENLWLNLTDGMILCGRKLWDGSGGNNHAIEHYQETKYPLAVKLGTITADLEAADVFSYPEDDSVEDPLLAQHLSHFGIDFSSLQKTEMTTAERELDCNTNYDWNRIQESGKDAELLFGPGYTGLVNLGNSCYMASIMQVMFSTHPFISRYFEKQSLKAAFATAPAEPTLDLNMQMTKLGHGLLSGKYSTPAKEGQEGIRPRMFKTDALDFFLHLIDRVEQANLETMSFKFIVEERVQCPSGKVSYNKRSDYMLSLGIPLHEAINKEELEAFNEKKTAMDLDGKEVSTEEIVRPRVPLEACLASFSGPEEIPDFYSTALNSKTTATKTAGFKTFPDYLVLHMRKFVMEAGWVPKKLDVYIDVPDTIDISHMRSKGVQPGEEMLPEGASGNDNADPALPVANEDIVSQLASMGFNYLHCQKAAINTSNTGVEEAMNWLLSHMDDPDIDDPISKDSLGAEQSVDESSVQTLVSFGFQEDVAIKALKASGGNIEKATDWIFSNPEASSSASADSSTSNIKADDEDIPDGSGKYKLMAFVSHMGTSTHCGHYVAHILKDGRWAIFNDSKVAASVDLPKDMGYLYFFQRISG >Et_3A_025870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33545810:33548832:-1 gene:Et_3A_025870 transcript:Et_3A_025870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHHHLSRLLKHRLLPSSVSSPAAAAAFSTSKRSFARRTKSPAAAPAEKAVDNAAAPAAAAGDGAAAWQREKLPSELPRPSTIPYQPRVANTVRLVGNIGAPVQMQRTPDGRFSAVSVLVQDRRADSPKFWIPVIFQDDLAQVAASHLKENDLVSVSGELTGDVLANLLQFVDSKAPVTDATMDEDEDKEFMGIVEDEKKYEANKISTKYPPGTVTGKSKTDKLNKLWNDVIDRPQDWIDNRPEKKNGSRSEKYPDFKNKVSKEPLWLNTAPTSVLEKLNNLVFSNGYDAGRKDKPFGGDTRKGFGTNWRTAQKNQDTSSVPKQKLEEDLWRDLVDNPANWWDNRSDKFTPKHPDFKHKESGKGLWIRTKSPQWAVDALPSLKFKGASK >Et_4A_033168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19490901:19497314:1 gene:Et_4A_033168 transcript:Et_4A_033168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAGAPPDGGGDRPWQSYHTAYTNAKAGMEGVDKEKVQKVIYEMSKGSKYFENEQRKEALTKQKIEHLRAQCAKLTDDDISHFQKVAEKKILELEASRDLSKIWLHTDMDAFYAAVETLENPSLNGKALAVGSMSMIATANYEARKFGVRAAMPGFIGCKLCPGLVFVPPNFERYNHYSELTREVFRRYDPNFIATSLDEAYLNITKVCSERGLTAEEVATELRAAIHHETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNDRKAVLTFVSTLPIRKIGGIGKVTEQMLRQVLGISTCEDMLQKAAFLCALFSECSAVGLGLGGTETPEQRQRKSISCERTFTATNDSSLLFEKLANLAENLADDLQKEGFKGRTLTLKLKTADFELLKAELPLSLRLMGLRMSQLHDDKDHSATSTQKTLDIFFRSSYTDGSMDQQAFLTHDENFFIPEQRSSVNCGSKDVLYSQLICDGLGGTKSNDVESSEQIQDTYKHDELCHLSSREATASSSKPDHQFWINDYICSLCGFELPPGFEEERQEHSDFHLAEMLQQEEAVNSTKHTCNERLAEWPCSVTPTPKKTPKKKLKSSKDGKHIPIDAFFRKSEPPK >Et_8A_056705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15551670:15554319:-1 gene:Et_8A_056705 transcript:Et_8A_056705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGLEQWQKDGLFQAAEELEQFERAVSSSNDKYSLEEGTAARRRQFVVAIEDQISRVEKALSGSSIDNGRQGLNWVKLDDEERDDLVAFLSAPAQFYSEVMKTDNSIHIPPRQKNAPLGTNDVADVTLVIKDIPEVPPREIYSVKSEACGLAEQLHGHRTHLISGDDHWKIDIGKDEMDDDRKLSLNRVDTSSQTTTLSSTRKSVESLTRARWFWNSLWKPKSDDLHPSRYDMSSHLNFRGIYLLAQISSNSMLFIMFLQKFNGLTDRSRNYLSSWNDNSRASGRTSGLHIQGQQQNIQFGRSIRITLLLMLCIFLI >Et_3A_025151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27345425:27348840:-1 gene:Et_3A_025151 transcript:Et_3A_025151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLATANVRVDGAPAEATLGGGELRWRRAAGVAKAERALSLEDEVLGVEARGKEVVVRAFVASGAARRTSCGAGSGVEVKRCRNDLVFEMADGEGAAAAWAEKLRACLDSFGRPKRLFIFVNPFGGKKCAKKIYETEIRPLFEAADVSITMQETEYQGHAREVASSLDLAKYDGIVCVSGDGVLVEVVNGILQRTDWEEAIKMPIGVVPAGLVADIDIESEKYRWMGSARFDFYAVLRIMNLRKYCGSIRFVPAPGYEAYGEPVKQVDNPTVECHHQNGSRPCSYQGPSVQFQSSDWRFLDGPFVAVWINNVPWAAEDIMAAPEAKFSDGYMDAVIVRDCPKADLLGLLMKMSDGSYVKSPYVTYLKVRSFQLSPGQLVENPKRGGIIDVDGEVIARGEGTYGKSQRNDVMAYGPPIQLTVHQALVTAYCPNKIR >Et_10A_001977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16328583:16336481:-1 gene:Et_10A_001977 transcript:Et_10A_001977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRALALALLLACSGAAVVTAQDTERIQGSAGDVLEDDPVGRIKVYVYELPPKYNKNILAKDSRCLKHMFATEIFIHRFLLSSAVRTLNPEEADWFYTPVYTTCDLTPWGHPLTTKSPRMMRSAIQYISKRWPYWNRTEGADHFFVTPHDFGACFYFQEAKAIERGVLPVLRRSTLVQTFGQKNHACLKEGSIIIPPYTPPHKMRTHLVLPDTPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPMFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEDDVTKLDTILTSIPTEEILRKQRLLANPSMKQAMLFPQPAEPRDAFHQIMNGLARKLPHGKDVFLKPGQKVLNWTEGEPADLKPWPGVPSVERRFTVGDINRVYVSSTQSHSGNTTLLTLSSDKIILTLAASIGLEAFKVRANISSVAAVLLQRHLLLHGLLEELLALGIDALHGGVIDPMVDHVQEAVIHERLHANQSDHAMHGKLTHIMLGAAVQTVRTWRMDLVAAAMSVDSRSITGRSALTGPISIWLAGLPAWIELSALRWSKYY >Et_5B_043169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14217339:14218752:1 gene:Et_5B_043169 transcript:Et_5B_043169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GPQRGGGGKRRARSGSSCPLRRPRERRRRSAEVGVGLGADSEDKKPSSAPAKEEEEKSLEDLFRPPRELTFAGGFHDAKLEAARRGRWLLVNVQDTGDDAALASFAQNRDVWASALVARFVRDHFVLWQADAAEAHHGAGKVCAHYGVPLDKLPAVLVVDPVTGQAVARLHGASTDPNDLLVAVKSYIATKPVVPVIGANKPPVASANEPVNQEPPATTAAPATSSQRTSSRKVAPKVEKQDKAVPAVATVAPTVEKQGNAVAAVATVAPTVGKQSKAVQAVAAAAAAPTVEPAPAPVPKVCKLRIRMPDGRTVAKEFASGCPVAALFAYCRSELGEGGEAGRNKPFRLLRLVGCTREEIGDHRDASFQSLGLHMSTVSVLLG >Et_3B_030802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7514642:7515689:-1 gene:Et_3B_030802 transcript:Et_3B_030802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDSAVNASDRGGRLPLGSLPVLVHSHGTGSNKRQITAFRVADQSTCTQVVPELTENSFHVTQHGWVFLHDPASTRTRLWDPRSGAAGHGARRPAAAARGCFLSDVPTAPSCVVLVLCDPETRLLYCRVDGNNNSRWTEHEYDVGTVPLPPGYAPPTKWVIQQTAAVGGRFYFLETGQLGVIEFLISRRQPEFTFHDYPHPDFPTGSNAASSYMVAASSRDDELFDVRVFLKSFSPEVLAVHVYKVDLSSSSSGPPTLCKVDDLGDDRALLLSYPNAQVLCSASRYGLKRNHVYFMRNVMNEPDGGLLHIYDMNRQSLETVRPCQDMTELMNDPFWMLPTNSDCN >Et_4A_032594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11718566:11722028:-1 gene:Et_4A_032594 transcript:Et_4A_032594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSANVPVVAAGFHPTKSEEWMTERADQLKEKISGMFEACTSIVDQLNLVDTLQHLSIDHHFTEQIRSTLSIVHAGEFNSNCLYEVALRFRLLRQQGFWVSPDEFNKFKDENGAFDVEITNDPRGLLSLYNAAYLLTHGETELEETILFARQHLESMKDNLENALAKQVKRSLHLPLTRTLKRVETLHYMPEYNEELTYNSSILEFAKLDFNLLQRVHLKELKALSRWWKDLYKEMGLTYSRDRVVECYLWAYTAYYEEEHTRARMILAKIIALIILTDDTYDVRATLEECQKLNQAIQRWEEGAVSLLPEYLKMFYLKLISIFKEFEDELKPHEKYRVAFSRKAFQILSKNYLQEAEWSHCGYKPRFMDQVNVSTVCSGAPFAGVGLLVGMGDDVATKEALEWAVNCTDAVQAFAEVTRFMNDLASFKRGKNKNDVASSVECYMNEYHVTSELALSKIDSLIEDAWKTINQARFQDHEILPAVQRVVNITTSMPFMYNDKKDVFTYSDGLKGVIKCLFVDPIPL >Et_3B_029296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23833256:23839660:1 gene:Et_3B_029296 transcript:Et_3B_029296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVHMVAEMRIGVAVEGYEDELVRAEEVEAKIRLVMESDEGKKLRKRLVTVNKMATDALTESGSSEMAFDEFLKQGMAEKTVVLYPSLGVGHLNPMAQLAKAFLRRGGVAVTIAVVDPPDKDAVMAAAVARLAAASPSITVRLLPIPLPTAPGKQYSHPLIPVLDALRAANPALREFLGSLPAVDALVVDMFCTDALDVTAELAIPAYIFYPSAAADLAVYFQIPDICCTVPSSFRDMGEAPLNIAGVPPVRALDMPDTMQDWDTDMFRTRRQQLGRMPEARGILVNSFEGLEARALNAMREGHCLPGRSTPKVYCIGPLIDGGDAEDRRERHVCLEWLDAQPKQSVVFLCFGSQGAFSVAQLKETARGLERSGHRFLWVVRSRSSPESDLEVLLPDGFLERTKDRGLVLKNWAPQTEVLRHEAVGAFVTHCGWNSALEAIMSGVPMICWPLYAEQRLNKVRMVEEMKVGVAVEGYDEELVEADEVEAKVRLAMESEEGMKLRERMATAKKMAADAVKEGGSSDIAFREEMGGAVVLYTWMVRGHLHPMAELADRLAGYGVPVTVAIADVPSSSSSSETVARLSASYPSVSFHLLPPSTARSGDAADPDADPFITLIADLRATNPHFLAFARSVPSVKALVLDFFCGCALDDAAELGLPAYLYYTSGASPIAAYLNIPVMPSDVSFRDMGRSLLHFPGLPPVPASDMPDVLLGPRNEQYKETIVLFEQLVKAKGILVNTFAWLEPAAVKAIEDGSPRPGERVPRLFCVGPLVGEEKGREVEHECLTWLDTQPTQSVVFLCFGSASSVSTEQLREIAVGLERSGHAFLWAVRAPVAPDADSTKRFDGRGETALEALLPDGFLERTRGRGLVVSTWAPQVEVLRHRATGAFVTHCGWNSTLEAITAGVPMVCWPMYAEQKLNKVFVVDGMKLGVAMQGYDEAMVKAEEVEAEVRLVMESEEGKELRERTAVAKDMAAAALESGGSSRAAFVDFLNSVEISTLD >Et_10B_003138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1712468:1715505:-1 gene:Et_10B_003138 transcript:Et_10B_003138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARSSEVFLGGRVRARRRLAPLLAAAAFAYLLFVSVKLAGFGGAGPAATASVGRMDATGAGEQLRRGVEEPQRHAPARATAGIGVSGYGRITGEILRRHVAGGEGRRWGQQGNSTDLERMAAQAWALGAAAWEAASAFAGDVDTIVSSRRDGGGGGEGAECPGSLALGGDAVAFLPCGLAAGCEKWERPDIVNTKETKTSSWLNRFIGRAKKPEMTWPFPFSEGKMFVLTIQAGVEGYHINVGGRHVASFPHRMGFALEDATGLAVTGGIDVHSIYATALPRSHPSFSLQQVLEMTDRWKARPLPEEPIQLFIGILSATNHFAERMAIRKTWMQFPAIQLGNAVARFFVALSHRKEINAALTKEAEYFGDIVILPFIDRYELVVLKTVAICQYGVQNITAEYIMKCDDDTFVRLDVVLQQITSYNKSLPLYLGNLNLLHRPLRRGKWAVTYEEWPEAVYPPYANGPGYVISIDIAKDIASRHANHSLRLFKMEDVSMGMWVEDYNATAPVQYIHSWRFCQFGCVNSYFTAHYQSPRQMMCLWDKLSAGRAQCCNYR >Et_8B_058605.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:7179503:7179835:-1 gene:Et_8B_058605 transcript:Et_8B_058605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IAAASSLGAAGSGSCRIGATFGRLPLLVYTIPNLTFFSGRCSAGCLWGRRFLLYTNRKSFSSGGSSGFGASFSGCKWIAIPLATFFHIFYFANRFDIYFTCIFPPKHMDN >Et_5A_041618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25068436:25068839:1 gene:Et_5A_041618 transcript:Et_5A_041618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNTDLHVPCLVRLFVIGDWITSFWRVLICRSFCFRWRGRFRRGRHQLLRDNGHTAVMRSVQHFCHPGIRNVVVQWSIRCFRALGISFTELISVSISVLLLTQRKTVE >Et_2A_016568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26126052:26130442:1 gene:Et_2A_016568 transcript:Et_2A_016568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DVVALLELDLTATWDLQVEIFPRPIHGPVQYVDNDTSEIGHHGRYPIRVLHYKVPWNQVSKVAYLIGIVLPAAIFGGIYFKKKNFYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGIDVYDNITTGVICHGEKSVIKEGHKSFPRSFAGLGFLAWYLAGKITAFDRRGHVAKLCIVFLPLLTAALVAVSRVDDYWHHWQDVFTGAIIGLTVASFCYLQFFPYPYDADAFWPHAYTFQLAEERSSRNANPYGVRPTEIETVSIPAHGGVTLRDTLDNMESGRRL >Et_8B_059118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14004506:14006931:-1 gene:Et_8B_059118 transcript:Et_8B_059118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTITTSSHAAPAPHSPAKMDAAQHSRRQYAPSPWGDFFLTHEPCTQQDLLSMKEKAEVLKEEVRRILLDAAASDDLAQKVDLVDTLQRLGLGYHYRKEIDEALRAMYDDKNGGSDDLYVTSLRFYLLRKHGYDVPSDVFVKFRDEHGNITSDDLNNLIMLYDAAHLRTHGEVILDSIITLNKCRLQSLMDTNLEEGLVKEVQLTLEAPRFRRVERVEARHFISWYEKKQSARHDTIMKFAKLDYNIGQVLYCRELKELTFGMRCPNYMNLFGNSQMVEGFYVADRYGICARLVEMYFWMMAIVHEPYSTYSRIKLTKLVLFIALIDEMYDNCATTEEDFYENIIGNANEIVHELKFQNNKNAKVLKDVAKCYQAEVKWRDEHHVPTDVEEHLKISLKSVVAMHTVNFAFISMGDVATREVAEWAFTYPKIIRSVSILARISNDIMSHEREQASDHMASTVQTCMKQYGVTAEEAIEKLRCKTERTWMDIIQECLDHREHSMVLLEKVVAFAQSIDFFYKHEDAYTLSSKLEYTLTLLYV >Et_8A_057862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8404868:8414785:-1 gene:Et_8A_057862 transcript:Et_8A_057862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYATKPLSAFKSHPENASRPPPEGRNSGYLVVKGPDDDGEDAETCCWGTCGGSRVWELPFPQNRVLTVRYTEQHGESSTTYAHAYVFVPVPDQPLASNRYYAVVATGSRTGLVRACSREEDMTPCCFCRCVNDVEPQPFDPVDVYQQVEIVQHRRGRFTARAVAADGFPYFLFRKKYWRVYASKPKTFELGEARGLDAALRSRQLSADAGGLIGASPAPASTAAVVGRWYCPFYLVKEGGVSLREKMERSTFYEVVLEQRWEPAHELGVGEGSKLGSKKVLIGGSVEAKQEAAGNSRHGDAYVWFRAAATGQRIGLCTSVWERMRWEEYRGGWVDEEEDAGKVAGGSVLVERFVLKRMDGSVAVAFDFLHLNKVRAKQPYFLYREKYWRVYSSKPKNFDLGKARGLETSPLRSHKLADAAVPTAAATVVGAWGTDGPQRVVTLERRREALEENDRSKKVASKKALISGSMEAMQEVAGSSRQGDAYVWFRAAATGQSVALCTSVWERMRWEVSRGGWVDEENELLAGKVSGGSVLVERFVVKRMDGTVAVAFDFINHSNQHVHHEAALPLGHPIGESEAPPEGPNSGYLIMKGANEDGKDEESSGWHPVKDLPFPQNVFSLKCEWMEGDGSRYIVFQELVMFVPVPDKPLASNLYYAIIATGKHKDKIMCCFCCPMVKHVEPRPFDPTDVYQQMKIIEYGGRGFFTARVVADDGIPYKMYGDIWEMKASKARILDVGEALGLDTALRSRQLAVKTVVGKWHWPFFVIKESGLAPAKQMDRGTFYEVTLEQRWELAHSKFVRHAPGSSKLTSKKALIGGRVAACQEAAGMSPNDESFLWFAAASGKKVGLCKSVWERMLWEENRGGWVDGEEDTGRVAAGSVLLVERFRHVYDQAAIAVQEIQHVSPEAASLPPPEGLKSALTDEDGKDGETCCWGTCGGTPVRDLPFPQNRVLTVRYTEKHGESSTTYADAVVFVPVPTTRSSPPECTRAWSGRAPARKWSRDASAGREAATYVYQQMEIVQKRPGKFTARAVALDGFPHFLYRKKYWRVFASMSMNFDLGEAPGIDAALRSRHLADASPPASSRPYQRR >Et_7A_050743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10706755:10712708:1 gene:Et_7A_050743 transcript:Et_7A_050743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPAPDRGGRSRYGGGGGSAPFPFVVDPTEGPASAVRAFFPGPQGESSSAEQRGRGYGAEISLGHGGMHRYHQFGVEGTNHQDGGGTLPRHSSSPPGFFSSPVVDNVGFPSARVGGGNEVHQTMSSYHKKMKSPMNLPRQGTLTQISQDTTEHMHAVGPSEENITTSNVVRSFSGGFSIGSWEDSNSIAFSSPSSKAGIHNNDDIIATLSNYELQFGVTKEANLQMQQDQVPFRVRAKRGCATHPRSIAERERRTRISEKLRKLQALVPNMDKQTSTADMLDLAVEHIRGLQSELQALKEDKEKCTCRGNHSSRS >Et_8B_060274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8561666:8563001:1 gene:Et_8B_060274 transcript:Et_8B_060274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEMEEVAAALPVAHTVSAAAREQGVEIYPLSRYYFGAKDAAAVPRGLETAADRALRLKANFAAHGLRTSVHGVLLVELFDHPHVLLLQVRNSSFVLPGGRLRPGEEDVQGLKRKLTSKLSVVGGDGEDTVEDVDEWQVGECIGMWWRSEFEAVPFPYMPSNFRAPKECIKLFLIRLPMSRRFVVPRNMKMLAVPLSQIHGNAQVYGQIISGIPNLLSKFSFNVISD >Et_4A_035040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:888015:897215:-1 gene:Et_4A_035040 transcript:Et_4A_035040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGGDRHHKADGVIVMHSGAGKVPKSRTSFFLYTLLLYILLPVLVLYVVALAVSPFYSHPCPPERSAVVGRLAAAADGEKNINGSISSSSTALLTVSPPVARPKPPTRLRHILFGIGASSSLWKSRREYIKVWWRPRQMRGFVWLDKPVEELYSRNGSAGLPGIKISGDTSKFPYTHGAGSRSALRISRIVSESYRLALPGVRWFVMGDDDTVFFPDNLVDVLSRYDHTQPYYVGNPSESHIQNLIFSYGMAFGGGGFAISRGLAAQLARMQDGCIHRYPALYGSDDRIHACMSELGVPLTRHPGFHQCDLWGDVLGLLGAHPVAPLVTLHHFDFLQPVFPTVRSRTAALRRLFEGPVALDAAAVAQQSVCYDGDKEWTVSVSWGFAVVVIRGVLSPREMETPMRTFLNWYRRADYTAYAFNTRPVARQPCLKPQVYYMRQSRMDARRNTTVTEYERHRVPPTACRWRIPDPASLLDRVVVLKKPDPDLWKRSPRRNCCRVLSSPAKGKNRTMTIDVGVCRDGEFARSTFPVPDSTHHSLSGGLAQQVFRPPPPPHLSSTHCIAAYHPGHASTTASMAVLVTFLLILPFLPSTALAAFTPAFAAFLACGAASNVSFPLDSPARTFTPDDAFLTSSRVPAVTNANSNPASPLYAAARASTSAFSYKFAPSATAGEFLVLRLHFFPFAASSMSISSARFAVTVLGASTLLSHFSPPSAGVVKEFFVPADGSGEFRITFTPDTGSSAFVNAVELFSAPPELLWNLKVTLETVYRLNVGGPKVGTDKDTLWRTWLPDDPFLFGPPGLSTLNSTASPIVYPSYTGLVAPDVIYRTQRATNTSAMGTSRTPGLFNMTWTFPAERGADYLLRLHFCDYELVSSVQGTGMVFNVFVAGAMGTQDLTPTQKEQRSNTAFYYDYAATGPVNGNLTVSIGMSAKSPQGEGGFLNGLEIMKLRPSDSSSSGSAGKKKKVLIIALSAVLGASVLACAAVLCALFVRRRRRRLTRPAPEEEKESTQAPWSPFTQDVPSWVEQSSRSSGTPGRMMQQRVTTQLHIPLAEIKAATDSFHERNLIGVGGFGNVYRGVLQDGTRVAVKRATRASKQGLPEFQTEIVVLSAIRHRHLVSLIGYCNEQAEMILVYEYMEKGTLRGHLYGSDEPPLSWKQRLEICIGAARGLHYLHTGYSENIIHRDVKSTNILLGDAYIAKVADFGLSRIGPSFGKTHVSTAVKGSFGYLDPEYFKTQQLTDKSDVYSFGVVLFEVLCARPVIDQGLDRDQINLAEWAVKWEQKGQLDKIADPRIIGQVNENSLRKFAETAGKCLADYGMDRPSMGDVLWNLEYCLQLQETHVRREAFEDSGVAATQFPEDVVVPRWTASSTSFLTIDDSVVRGCPRQQGVLPAEQRRGTLSSLSCCLLAHRFRLPPPARRAELIRTPPRRSPAVPQSPFPPSHYLLLNKLPSKSNTSASALVSPAMASSPYPARLAAALLCVLISAAVAAARFAPTDNHLLACGAAAPTVLADGRRFVPDSGCVSTRLRSPAPSLSSAAPSSPPPPSPLHAAARVFSCRASYDLSIRRPGHHILRLHFYPFAPALSASRFHVGAGGLLLLHNFTASSPVVKEFILPVDSDVLVLTFVPDSGSTAFINAIELISAPEELVGDIGTLVTSGGVAQIDGLSSQVFENLYRINVAGPKVTPFNDTLWRTWVNDGNFVVNRDSSNSMVWSFSGRIAYPKVTKQMSREVAPDNVYSSARSVRPGHNVTWGFPVPAGSRYLVRMHFCDTVSKVLYELLFNIFVNGHLAVENFDLSSATGFLAYPYYIDFIVDVEDEGMLKLAIGGSKKSRSDEVSGILNGLEIMRMNKTSGGMDGNFPAALDMEDVVTKGIGEFVRSLLCGFIFAGLFVVLVLLVLRLKTELRNNGWAWSRQPLDSGDGKLARAYQLVPSKTDF >Et_4B_037543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2129830:2139891:1 gene:Et_4B_037543 transcript:Et_4B_037543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGKKFGGGRVPTGTPSLAWSSAVIVVSLLAGASIVHNIYKPDLTLPPLESAIDGGSSKKYVVLRDDDARALFSQKDNMIVRSNCSLGLLSPPPPPPIGPHFHARCICCRKRWKLPVRTCRACCLICDSGDDEADVPENIGDGGADHTRGLGSSLDGDPASGVAIRFSCPDGDVLLPASTFPGSSRISETDGDSSPSIAVRPDSEADGDP >Et_7B_055350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9635723:9636062:1 gene:Et_7B_055350 transcript:Et_7B_055350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGFVKLLALVLLLVFGSPLHQQVGVGAIRLHDRRQHAKQWEEERNQLRSFMTMDYKPAHRRTPKHN >Et_5A_041320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21156040:21162898:1 gene:Et_5A_041320 transcript:Et_5A_041320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLIFTNSIIETKSRLRGGKFYHLNPLSISFVNPNFPNFPNVPSFLGALTRLTFLDLSFNSLSGAIPASIAARALPNLSGLNLSRNRLNGTIPPLLFSKLVISQQQQVYLWLSHNNLSGRIPAGFAAVGFEHVDLSRNSLTGDAAPVLFGATKALQYADLSPHALSFNLSGLQLQVITLLLSRTCSTQSPIFRQKRKKNHNHQSVAMMITKTAVVLVSLSLLLLAAAAEDSKDTDKVLGCHADDKAALLAVKAALGDPYLLSSWTPDTACCDDWYDVSCDGATGRVIGLAMSQDDNVTGAIPDAIAGLTHLTSLDIRHLPGVTGRIPAAIGKLSGLSMLVISWTGVSGPVPSFLGALTRLTFLDLSFNSLSGAIPASIAALPSLSGINLSRNRLNGTIPRLLFSKLGSQEQVYLWLSHNNLTGRIPAGFAAVGFVHVDLSRNSLTGDASSVLSGATKDLQYADLSRNALSFNLSSLQLPARLVAADLSHNAIYGGVPAQVANMAGLYQFNVSYNHLCGPIPFFNGSVAARFDACSFQHNKCLCGTPLPTPCK >Et_1A_009120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:319744:321271:-1 gene:Et_1A_009120 transcript:Et_1A_009120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQEPTKADMVPPAAAGGAGDVGGVAMHGPPVPVENAAASPADRDAVLAKVEMDRKLSMIKAWEESEKSKAENKAHKKMSSILAWENTKKADVEAKLRTREEKLEKKKAEYAEKMRNQIAAIHKAAEEKRASVEATRHEEILKYEEMAAKHRSKGTTPAKKFLGCF >Et_1B_013346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7495554:7498553:-1 gene:Et_1B_013346 transcript:Et_1B_013346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSALSLAHLAAASPLPASAHRARPGPSSAAPLTIPAPPRRLALAAPPRAFFSTSPYQQPPPSQEPSGFSPHREYGLVPMVIETTSRGERAYDIFSRLLKERIVCIHGPIADDTASLVVAQLLFLESENPLKPVHLYINSPGGVVTAGLAIYDTMQYIRCPVTTLCIGQAASMGSLLLAAGAPGERRALPNARVMIHQPSGGAQGQATDIAIQAKEILKMRDRLNKIYQKHCKQPIDRIEQCMERDLFMDPEEARDWGLIDEVIESRPASLMPEGIGGGGDLPSLGGPSNGRGGGDAEPSAQIRPRFI >Et_5B_045716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8005558:8011632:-1 gene:Et_5B_045716 transcript:Et_5B_045716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLPLYDRQSPGIRCINFLCAVLLTLVLVAGIIFFVMWLSLRPHRPKFDLEDFDVPYANRQSGLANLPVRFTVKEHNPNQKIGIHYEAVYGSVYYGDKVIASGPVGAGPFFELPKGTTLLQGSLAATGPTPSDPVWPRFAGEVSAGNVAMRLVLSSTVRFQVKVWDTRVHHMRVECDFSLGGDGSLQQRLLKTDSANIRCLEMEIFSETWKNSTKTIPISES >Et_3A_026287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6452630:6456078:-1 gene:Et_3A_026287 transcript:Et_3A_026287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSVNTSRRLADGGLPSVGGLLHTKSRSPPVLTIALVVLGLIILIAYFNSGSGVTVTSREAVSRSEGSCTSEVMQALPYLKRAYGHAMQKVLHVGPDSCTVVSNMLKEGKVEAWGVEPYDMEDADGSCKSLVRKGFVRMSDIKFPLPYRPDSFNLVVVSDALDYLTPKYLNKTLPDLARVSADGIVIFAGNPGQQKAKVSELPKFGRPAKLRSSSWWTRYFVQTGLTENEGPLKKFEEAASKDNYKPDCQIFHLSS >Et_3A_026002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34504193:34506809:1 gene:Et_3A_026002 transcript:Et_3A_026002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKSLLHPPPFTISSPSPRAFAPLSPRRRRPPLLACAAAGTPPPTNNNSNPLAALVEVPRILWRRTLQPLGDYGFGNRSVWEGGVGLFLVSGAALLALALAWLRGFQLRSRFRKYLTVFEFTQACGICVGTPVRIRGVTVGSVVRVDSSLKSIDAFVEVEDDKIIIPRNSVVEVNQSGLLMETLIDITPKDPLPAPSLGPLDPDCSKEGLILCDKERMKGQQGVSLDALVGIFTRLGRDMEEIGVNRSYQLAEKVASIMEEAQPLLTRIEALAEEIQPMLSEVRDSDLLKDVETIAKGLADASGDLRRLKSSMLTPENTDLIKQSIFTLIFTLKNIESISSDISGFTGDETTRRNIKLLIKSLSRLLSDEWACVESAKPSIPNSLDALIYCSALNWSLS >Et_1A_004697.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31762943:31763410:1 gene:Et_1A_004697 transcript:Et_1A_004697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SDLVDVLGLELYVVVLRADVLLVDDALVEPDGVGDLAVGLEQARLVVHVLEDDVRLVVLVVAEADEDDVPGRDPHLLVHLAPDVAEAAGAVDALRLTPPVAQHPRHLRVLLPVLLEHQLALLVVRLVLATLPVLASLPLVLRHLFSFGEDEPWSG >Et_8B_059690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20719592:20726457:-1 gene:Et_8B_059690 transcript:Et_8B_059690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAADPDDPSTAATLEKFRLWETRARFYVIGSSREKRWFRVLKIDRSEPSELNVSEDPVWYSLQEVNSLLQRIDEGNRSTGGLNFVTKAYGIAGCIKFLESYYLILVTKRRQIGCICGHAIYCIDESQMITIPHSSVQTDVATSKNELRYKKLLASVDLTKDFFYSYTYPIMQSLQQNVTSLGMMKEMPYENLFVWNTFLTEPIRSRCRNTLWTVALVHGHFKQVKLSIFGREINVVLISRRSRHFAGTRYLKRGVNDHGKVANDVETEQIVFEEEAGSYKGRMSSVVQMRGSIPLFWSQEASRLSPKPDIFVQRYDPTYEATKLHFDDLAQRYGHPIIILNLIKTVEKRPREMMLRREYFNAVGYLNQNLPEERKLRFIHWDFHKFAKSKSANVLGVLGGVAGEALDLTGFYYSGKPKVQKRRITQLSRTSTARDGSLDIRASSGDLSRLSSNADALTSTFQDMKNEANKQEPLADAPCYQTGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLTDVPKIHPDSSIASALMEMYQSMGDALAHQYGGSAAHNTPQEGKPALWELDTDYYLHVTTADDDLTSMPGDNLLAGSGAALNPGSTLSPVPACKEDFSRMKLTSFDKLIERTCSLIRNVRLHCDAELRSSGGVGTSGMAPDAAEIQLKSPNWLFGQRKHTGTIATTKVIPVENPNEANKDDSNVSLCGELNWLSSTSDSCEEDIFRRYLAFTMADGAVMDPFEHDPEKERHYAEALSVDIDIINDARVEAEMQAALDDYQIIGSDLSIIPSCGALAEDPSQLTRWIIGDEKLRVGTAQ >Et_1A_008782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12771483:12772853:-1 gene:Et_1A_008782 transcript:Et_1A_008782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELAYQYAKKGACLALVARRKQALEDVAAAARERGAPEVLVLPADVTDADQSRLAVEETVAHFGKLDHLVANAGVWSICRFDEVTNITAFTTTMDVNFWGSVYPTYYALPHLKASKGKLIVSCSQAGTVAAARTSFYNVKAKITFCRVISRATKAAQLRFYETLRAEVGSEVGVTILTPGFVESEMTKGKVIQKGGELAVDEEARDSELCSAKVSHQAELSNLQVQIGVFPVGRVETLCEVALDAIRKGDWYVTWPSLYRPVALVSLLAPEVFDWLSHAMYNAKDGTRPLSQRMLEATGAKRLFPSSLRHHPGIKTEKSGHAEGDASSNV >Et_3A_026479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8587940:8592793:1 gene:Et_3A_026479 transcript:Et_3A_026479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFWSFIQSYPEVCLAIICFFWISILRFTRRCQRSALPVNWPVVGMLPFLLRNLYHIHDRVVDLLREAGCTFMVFGPWFLNMNFLVTCDPATVNHCFNTHFNNYPKGSEFAEMFDVLGDGLLVADSESWEYQRRLAMAIFAARAFRSFAMSTIARKAGNVLLPYLDNMAKHGLEVELEDALMRFSLDISYSTVFAADLDCLSVSSPMPVFGRATKEVEEAVLFRHVVPARLWKFLRCLNAGSEKKLANAKVVIDQFIYQEIAKRKTQESNKSQGDVLSMYAKWPMDPGMSEQQKTQFLRDTAVGFIFAGKDLVAVTLTWFFYMMCKHPNVEARIVEELRGLQSGTWSGDLSVFECDTLRSATYLQAALLETLRLFPATPFEEKEALVDDILPNGTKVTKGTRIIFSLYAMARIEGIWGKDCMEFKPERWLSKSGRLRHEPSYKFLSFNSGPRSCIGKDLGLSNMKITAASVIYNFKVELIQGQAVMPQSSVILHTQNGMMVRLKRRSWLAGFLRKYPEVMASLACFLLLFHRLKRRDGLPTNWPVIGALPAITQNAGRVHEWLTEFLRAAGLSYLIKGPWGSPVDVLVTADPADVAHVFTSNFANYPKGEEFAALFDVLGGGIFNADGEAWAFQRRKAHALLSDARFRAAVAAGTARKLREGLVPLLDGLADSGEAVDLQDVFVRLTFDLTAMFVFGVDPGCVAPEFPRVPFAAAMDVVEEVLFYRNIAPVPWLRLQARLGIGHARRMAEARRVIDASIAEFISLRRRRAAEDDDGDAADLVTSYLACQGEVGKDGAEFEVFLRDTTFNLMVAGRDTTSSALTWFFWLLNQHPDVEARILAELRANPPSSSSSSSSSSSSGHHGHHHSAAELKRLVYLHAALSESLRLYPPVPFEHKAAAREDTLPSGARVGPSRRVIVPFYSMGRMEAVWGKDCLEFRPERWLTPAGRLRHEPSYKFVAFNVGPRTCLGRDLAYAQMKAVVAAVLPRFRVEVDAGVVVRPKLSIILHMKDGLRVRVHRRQEENAA >Et_6A_047317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3954339:3958723:1 gene:Et_6A_047317 transcript:Et_6A_047317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFLGFVLPFVASLMFTKRKSQKKRGVPVDVGGEPGHAIRNHRFQQPVETHWEGVYTLAELFEQSCKQFAYMPLLGTRKLISRESEVAADGRSFEKLHLGPYEWKSYADAFKTACNFSSGLLNIGHQRDERVAIFADTRAEWQIALQTEVTTVVCGRKELKKLIDISGQLDTVKHVIYINEEGVSTEVSLAAKCTSWTVKSFEEVEKIGLERPVDANLPLPSDTAVIMYTSGSTGMPKGVMMSHRNVLATVSAVMTIVPALGRKDVYLAYLPLAHILELAAEAIITAVGASIGYGTPLTLTDTSNKIKKGTQGDASALKPTLMTAVPAILDRVRDGVRKNVDAKGGVAKKLFDIAYSRRLAAVNGSWLGAWGLEKLLWDMLVFQKVRAILGGRIRFILSGGAPLSGDTQRFINICLGAPISQGYGLTETCAGGTFSEYDDTSVGRVGAPLPCSYIKLIDWAEGGYLTSDSPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDEKGMRWFYSGDIGRLHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSSSPYVDNVMIHADPFHSYCVALVVAAHGELKDWASKQGITYAGFSDLCQKKETVKEVLQSLAKAAKQIPLEKFEIPAKIKLIPQPWTPESGLVTAALKLKREVIKKEYEKDLAQLYS >Et_5A_042766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:470453:474771:1 gene:Et_5A_042766 transcript:Et_5A_042766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSLIDLGLQMMSNGLFMASCSIEICPSLTSISSSRDSIFFFSATTSFCMMLTFLLNCFASCHDRSLSSLKTCNFSRSISLICFKKNSSYTEHGTSPEKLNVLSSKQLFLAGDENDMDLLSCFGLDSSSSFNLPRRLLDLDDLVPQDPELSYPSRSSNFFLCLLLLSSGVLFPSSAFTAEDPKKKNLLIF >Et_2B_021586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3312429:3313174:-1 gene:Et_2B_021586 transcript:Et_2B_021586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFRALSRTRSQPVPAPENGGDQLRAAAIQARQDGCPGLLQVAFDVAFHAFLADTDPFRRQEQLHMSERTTRRTMANVTQPRERDFSSSTASRHEISSATRLSPRYVVVVAEDEEKDDAVAEEHAAEVAHPEAHARVHLASLRHPPRVVRTDEHPEVVPTSPGRVHQVRKRGLHGGRPRRGGRGPRRTSLRGRGTPPRPARTRTPPIETERRLLHGRTGRGRRWKASGPRHGAGTHADLDKT >Et_1B_013111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5578817:5583462:-1 gene:Et_1B_013111 transcript:Et_1B_013111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDGAAAASPPGPIPAPPDAAACVEVGAEKGDENAEHQPFFSMCQPIRSVSYSDSWEGVCAPAANDPITLESESWSPPSTDKEADLVQDVMDMEQSKDDFNVPDRESNQQPEALSTEQRSPNQNEWGSWPVETNQQLLPLNSNHPNCNTGIAPCDMEAKQFLFPFSYRRQPKYVGAGLSNMGNTCFLNSTLQCITHTVPLVLKLRSIDHSTPCSYDEDGFCSFCALKEHVDESIRRSGSVIMPVKFRDNLRNFRPGQQEDAHEFLSCLLDNLHKCTIDPGSKGKGSSFDEESMVKQVFGGQLKSQLSCCECGHNSETFEPFLDLSLEINQVDNLVHALESFTKVEQIGNSEEKLTCEHCKAKVCKNKQLTLDKAPDVLAFHLKRFTTIDNSIEKIDKHVAYPPELDLKPFHSNPDTAGELKYDLYGVVEHSGLLPNYGHYVCTIRSSPSTWYMMNDSHVDSISDVSALNQEAYILFYVRQGKFPWFLSLLDGKDAQPDDTSRGASPVSVLENIDPNCSTSTGEGTSSSSDDILMKNETRHCEELEKDETSQCRSSFNPGEASKGSGAPYSSIEDEISPCRASVQDNTSVRYPYTTMDATNLDRPSTPPRSKRLFPANDYSVFEYEDLDDDENTPLLPDLKFKPKAKKAKAASASKAIRGSCADQNATRLMRNMPSSRRKGLMECMPPQHNAKQEPRRCPASDPLDKKKRNMVC >Et_7A_050787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1130734:1134001:-1 gene:Et_7A_050787 transcript:Et_7A_050787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAARACRRSLCDAVLRGSAGRGAPARAASTASASGAAAEAAAVAPKKVPPPPRKGRRLLTGAMIGLAIAGGAYVSTADEANAVSVFVLIITEASPYVVDHYLSSSLGCLVAYNFFGMIIGWLFKSTELVNPLFALLDAEFAHRLAVKAAAHGFVPREKRPDPPVLGLDVWGRKFANPIGLAAGFDKNAEAVEGLLGMGFGFVEVGSVTPLPQEGNPKPRIFRLKEHGAVINRCGFNSEGILVVAKRLGAQHGKRKMEETSSDVKQGGKAGPGILGVNLGKNKTSEDAAADYVQGVHTLSQYADYLVINISSPNTPGLRKLQGRKQLKDLVKKVQAARDEMQWAEDGPPPLLVKIAPDLSKQDLEDIAAVALALRLDGLIISNTTVSRPPPADAHPSAQETGGLSGKPLFDLSTNILREMYLLTRGKVPLIGCGGVSSGEDAYKKIRSGATLVQLYTALTYGGPALIPRIKVAELAECLERDGFKSVEEAIGADFR >Et_2B_020592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21647077:21649848:-1 gene:Et_2B_020592 transcript:Et_2B_020592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EGTDALRVTEKYRLVRPTWPKKPNHSSFNLSFRRRAAVASTEMGGAAVAVLAAAAARRNPARHAYLISRVARELHDAAAVAPSAAEGKAGTRRRRRRSSSSLVLGTDFPDTWDPPPRDAARPHHPRGDGANIDRPATIIDGKSIAEDIRLHIAEEVRQMKSAVGHVPGLAVVLVGDRRDSESYVRYKTKGCEEVGIKSLLAKLPANCTEDEVMDSVLRYNEDPSVHGILVQLPLPQHMDEERILSAISLEKDVDGFHPLNVGNLALRSRKPLFVPCAAKACVELLLQSGIELMGKHVTVIGRSKVVGLPTSLLLQRHHATVSVIHAFTTNPEAITRESDIVISAAGVANLVRGSWLKQGATVIDVGTNPVEDPTSDYGYRLTGDVCFEEAVKVASAITPVPGGVGPVTIAMLLANTLDSAKLVYGLSD >Et_1B_012462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32194292:32199700:1 gene:Et_1B_012462 transcript:Et_1B_012462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLYSSKRKYDDPSPPPRRTGFSSGPPPASPPAGGAPSYNSVPPPADEIQLAKQRAQEIAARLFNAAEAKRPRVDNGDDDVGIGGGSYGGGGRIGGGGLGFSSSAGGGHGFSSSGGGGHGASIPPLSSQSSTPQYSSYGGNQGTSKKIEIPSGRVGVIIGKGGETIRYLQLQSGAKIQVTRDNEAEPGALTRPVELQGTPEQISKAEQLINEVLAEADAGSSGNASGGRGRQPGAEQFQMKIGNNKVGLVIGKGGETIKSMQAKSGAQIKVVPLHLPPGDPSTERTVYIDGTKEQIEIAKQLVNEVISNENRARNPMSGGYGQQGYRPPRPQASWGQPGAPPPQQPGYGYMQPGAYPGAPSHYGAPQQSYGSYPPTSGGYQAGWDQSQQQSHTTPPGTGYDYYGQQQQPQQQSAPGTAASTDATSYNYGQPPTYASQGYGDSTYSQQSGGQQVYGHDSYSGYQTQGQQQGYSQQTGYEQQGYSTSAYGSAANSTQDGSAPSYGGSGGASQSSPGQQTSTPAAGSHPGYASQPPTSAAPSYPAQGSAPPSGYGAPPQSGYGTQPAQQGGYGQSAYGQPSPQGQKPPASSPYGQAPPGSAQVGYGQYGYSSQPAYGAPPPYPGAPPASHPGYGQQQSYGDAYGSGGYGQPPAYSTEANAASQDPSTAPAAASAAATSTAAAAPANSGSAQASAES >Et_3B_028639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17682406:17684314:1 gene:Et_3B_028639 transcript:Et_3B_028639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLYSDIGKKTRDLLYKDYNTHQKFSLTTCSPHGVAITAAGTRKNESIFGELQTQIKNKNLTVDVKANSESDLLTTITVDQFGTPGLKSILSLVVPDQRSGKLELQYLHDYAGVNASVGLNSNPMVNLSGVFGSNALSVGVDVSFDTATSNFTKYNAALSLINPDLIASLHLNNHGDTLTASYYHIVKQHSSTAVGAELSHSFSRNESTLIFGSQHSLDPHTSVKARFNNYGMASALVQHEWRPKSLITISGEVDTKAIEKSTKVGLSLVLKH >Et_9B_064139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11684810:11700760:-1 gene:Et_9B_064139 transcript:Et_9B_064139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTSSRFSLSSSAHHDDKTGDWGRMFATLLQKFRAFCRCFVGSQDPPAALPDADDILLEILVRLPPLPSSLPRASAVCKRWLRLLSDPQFLRRFRAHHRTPPVLGFIAGSGMPSFYPTLGAPDRIPAARFRPQQFHGDSWKALGCRHGLALFIDFAKGEVIVWDPITGHQRLLALPPELCSIYTGAVLVDDGENGAGHAHGGDRLSSFKLVLVCCCSKECRVWLYESKSGKWGIISSTDTPILFSPQPAVLIGSTFCWLLGWCGIFILEFDMDTHSMAVTQIPSYTRCIDAVDIQVVRTEDRGLGIAIIAKQCIQIWERRAVSDGAFEWALQKIVWRDDFLALRPSVDKPGSNIQKWLARILGYDEDTNVILVSTDAGTFMVQLDTIKITEFYSDPSITKCHPYASFYTADPPPPQALRDSDDILQEILVRLPPLPSSLPRASAVCKRWRRLISDPQFLRHFRAHHRTPPLLGFIAGSGGMPSFYPALRAPDRIPAARFTPARWPWECWRPLGCGHGLALFIDWCCVIVWDPITNHQRRVPLPLQLQVYAMPDTYTGAVLVAGGENGAGHAHGGDRLSSFKLVLVCRSSKQYTVCLCLYESKSEKWGNVNSTEVPFPVSPEPAVLVGIGSAICWLLGACGTAILEFDTDTQSMAVTQIPSDTRSIGALDIQVVRTEDRGLGIAVLAKQCIQFWCRRVISDGSFQWTLQKTVWRCDLLSLKPSMDKPDKWLARILGYDEDTNVILVGTDFGTFMIQLDTIRFTELPSDHLIAKLFERRLITRCHLYASFYTAAASGVQMGRKGSTSPALPSLPDNDDLLLEIFLRLSPLPSSLPRASLVCKRWRRLLSDPRFLRRFRAHHPKPPMLGYFVMDFNNWIVPVFTPTLPTPDRIPPARFSFPQRPDEGLFLLGCRHGLALFNRKKLEAVVWDPITGHRCGISLPPELQKNHLHPNIKADMYNGAVLRDHHSSEFKLVMVLHNSLYHSAWACLYESKSRKWGSIVSSAVPSSIVLKPGVLVGNALCWMHYQSRGGGRWRLPPRALPMADTRYTLIQPHGQLQAAPRPPCMRPPMAGTW >Et_9A_061292.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:7754435:7754761:1 gene:Et_9A_061292 transcript:Et_9A_061292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSYRTDSSTLASTLSKNNFTVEPAHWRLRPLLYEFRANTTTSAMVVDKIARNSNSLAHGLAQKAIRSTQHSNCIFTCSHLAHGNQCPVIEAFAHVNWDSFTPIAVKSC >Et_2B_019853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14610699:14612722:-1 gene:Et_2B_019853 transcript:Et_2B_019853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLLGDATAALGAHRAISRRPPLPSLRNWAPGPLRPPPLGAASSLSRNSRRGHVARFAASASGGGAGEDPGELSDDEAKREWEAEKSRRLKEAEEMEELERTAEQLQSQATEESEEEKRERVRRELEKVAKEQAERRETAKQMFDLGQKAYGRGMYGRSIEFLEAALTIIRPSSLLGGEIQIWLAMAYEANRRHKDCIALYKELENSHPMISIRRQAAELRYISEAPRLKISNDEVVSIPQIGSSWDWYAGTWSDKTKEQEEKKRMMSAASNQVQSSPNIFGDLSFLRRPSEWKKNAWVIVTLWILLIGTAIYLQR >Et_4A_035706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:447450:449485:1 gene:Et_4A_035706 transcript:Et_4A_035706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSSAAGLLLIVAVAALSLIAADARPCGHAQTLLISFSSVSRPNPDPTNPTPLTTTVVTVLRVRRLGPHQHLQIRRPEALPAASASAGDAASSVQDRAKDILVVVSGLLFGFGCGALTAASMYLVWSLLASTCASTYDDVYSDDEDQLLSDSESPKKAGYVIIHDTEEYTAAPVNRTYKIVVISVC >Et_7B_056037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9982128:9987510:1 gene:Et_7B_056037 transcript:Et_7B_056037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVVASMLGHLLLPFLILAVASGAYDGGGLPTISRRSFPEGFIFGTASSSYQYEGGAMEGGRGPSIWDTFTHQHPGMYTLRALYVQLVSHKIADRSNGDVAVDSYHLYKEDVRLMKDMGMDAYRFSISWSRILPNGSLSGGVNSEGVRYYNNLINELLSKGVRPFVTLFHWDSPQALEDKYGGFLSPNIVNDYKDYAELCFKEFGDRVKHWITFNEPWTFCSMGYASGAFAPARCSPWEQGKCSAGDSGREPYTACHHQLLAHAETARLYKEKYQAVQKGKIGITLVSHWFMDPLIRGDYPLSMRALVGNRLPQFTKGESELVKGSFDFIGLNYYTANYADSLPPSNGLNNSYTTDSRANLTGVRNGIPIGPQAASPWLYIYPRGFRELLLYVKENYDNPTIYITENGVDEANNKSLPLHEALKDDNRIDYYHKHLLALQSAVRDGANVKGYFAWSLLDNFEWGNGYTVRFGINFVDYNDGIKRYPKNSAHWFKEFLKK >Et_7A_051663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22015222:22015652:1 gene:Et_7A_051663 transcript:Et_7A_051663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEKERSPGRFDRNNTEITETGPERLHNSPVLPFSCGNHRVTSNKSQQANSFSPKLHRNKRNRSRTALFGPSAAKLTFFRVFAWEPSSYIKHIAISEKERSPGRFDRNNAEITQTAPKQPRLARLQHNSHF >Et_10B_002655.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:3676544:3677248:1 gene:Et_10B_002655 transcript:Et_10B_002655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGEDVLGLILERIDSVLSLVRAASVCKQWRRVIANAAFLRRFRSLHPPTVAGYYYNGTRLPTPASRVGAAPIEGENTARGFVPSSPSMDARHFTLDFLPDRGESWNIVDSRGSLLLMQRWGDANTRVGVGLPDWVVCEPLTRRYRRVPLPQLEDFDENCRFIRPFLIDGVRDQMGNCIGVPNFRMLCLIQRNSVSHTVVFTASEESNCSWSKMAICQGMPSLGIPFGRVGGS >Et_8B_058686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16115005:16115331:1 gene:Et_8B_058686 transcript:Et_8B_058686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLRSNGALSLVALVLLVVLVSAAATDVAAAPEDMVEAAAPPTKAARRAMINYSGITCGALKNCPKPPSGPPEKANNYTRGCNPINQCRVGPPAN >Et_3A_024511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21584121:21585922:1 gene:Et_3A_024511 transcript:Et_3A_024511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVKHPRVTRHPGARFHPRVRVRVKKFTRGVFLHGRVFAPPDPNPTPLPVIDLENVRLYITTCRKGCDERVDSLFDPTASSTYNRIWCGSSLCANVPGKCAWNLRSCFYNQTYEDDSIIQGIASTDRLIYGKGDTQVFIFGCSSYFSGLGGQYSGIIGLSANSISFFSQLSLDRYKAMSFCFPHPHNTGFLQFGQYERHEGLSFTQLLIDGNNYYGHITGISVGNGGLDISSGPGNNRTLRCLFDTGTPYTMLPSQLYERLTDVLEETMRGLYRVHAWRGQRCYEREIFWNEEDVYVPTVKIEFGESAKITLVAEDLWFREGSGQVCLAFKRNAGSHVVLGSRHLMSVYTVIDMEKSTVGLHDRGCT >Et_3B_030452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3912835:3917493:1 gene:Et_3B_030452 transcript:Et_3B_030452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGLGLLLDLASRAPRGGASSVASASAHSYGTLSAAAAAAAAAALSTTGVPLSARHLFGFPGFTIAHCDDGATVGLNGSPDVIDYSYINNKIQDSIQYASKEGIRFPAKEYPLELKPLFSAFGLKNFSITTLRSFLLYYLPLIQPQPHTHDDDEDDDDLLQNDPEEKSVDLIIPFHNSTSIVTTRRVLERIAVRHVSERTAWKLLKDASKSAKRKAARGMSTPEFTYCVARTTFRAHALGVAAAWVVQSIVEVYRRFIRKPGDEEESLEVDKLRRFGGKILGITIKGCFSLVFASIGAGIGALVHPVHGQWFGKSYFPYLSLSPFITRTKVLVYSYIFKVHLALAVSNRKNHSSSNFATSFLSSPSSSSQSRIMEYVVP >Et_8B_058616.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:10368242:10368400:-1 gene:Et_8B_058616 transcript:Et_8B_058616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAMIAGIFVSRFLYHDPELKDPAKMTVDVMLCLLIIAVGFIATRDECVDV >Et_7B_053869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12890727:12892794:-1 gene:Et_7B_053869 transcript:Et_7B_053869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGAAATPVKATPKKANLLDPHSIKHLLDETISDVVKSKGYAEDTRLSNWKLGIGAAVIAVALLAQFYPKKFPQNREWADTYVVLNVVLLILSYTKEKDAILFTHPPAGAFNSTGLVISSKLPRFSDMYTLIIASADPQSISANKPVQFTKSVTKWFTKEGVLVEGLFWKDVEKLIDDYNSERKSK >Et_2A_015497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1455502:1456031:1 gene:Et_2A_015497 transcript:Et_2A_015497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSAQALAMAPPPHRGGAGQHEHPHCLLLERMASPAPDRRLTRLLLNVTVDRSLWPVHVVLGADATVADLVRAAVAEYDREGRRPTLPRGRDAAAEFELHLSKYSLESLRPEEKVVDLGSRNFFLCARRPAAACFV >Et_5B_045578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4883127:4883973:1 gene:Et_5B_045578 transcript:Et_5B_045578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTMCPPAALLICACLLAGTAAASGAGRRAVDGVTGDELLLMAEQFRAWQAAHNRTYATDAERRRRFEVYRRNVEGIEATNRRGGLSYELGENQFADLTAEEFVAAYTMQPRHVLAAREAMRRLVANGSVGVGVGGNSSYADDDFFGAVPYAVDWGPFLLGVRHGGVHREPAQAEDGPPRVPLGAGAGGLRRAGRPRLRRRVAMWWIARNGGLAAASDYPYESKHGAFRHRASYNK >Et_8A_056623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13802633:13809889:1 gene:Et_8A_056623 transcript:Et_8A_056623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TLPSTTPINPISPPLNLSSESGERESERASERGARAGVSHRWSSEAARDKSSLMLRERGRFSPARYFVEEVISGFDETDLYKTWVRTSAMRSPQERNTRLENMSWRIWNLARKKKQIEGEEASRLSKRRLEREKARQYAAADLSEDLSEGEKGESINEPSVHDESMRVRMPRIGSTDAIEAWASQHKDKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSTPGVYRVDLLTRQISAPDVNWSYGEPTEMLSPRSSENLGQEMGESSGAYIVRIPFGPRDKYIPKEHLWPHIQEFVDGALVHIMQMSKVLGEQVGSGQPVWPVVIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQLLKQGRQTRDEINTTYKIMRRIEAEELCLDASEIIITSTRQEIEQQWGLYDGFDLTMARKLRVRMKRGVSCYGRYMPRMIAIPPGMEFSHIAPHDIDLDGEEGNDDGSGSPDPPIWGDIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHRELRNLANLTLIMGNRDVIDEMSSTNAAVLTSVLKLIDKYDLYGQVAYPKHHKQSEVPDIYRLAARTKGVFINCAFIEPFGLTLIEAAAYGLPMVATRNGGPVDIHRVLDNGILVDPHNQNEIAEALYKLVSDKHLWAQCRQNGLKNIHQFSWPEHCKNYLSRVGALKPRHPRWQKRDDATELSEPESPEDSLRDIHDISLNLKISLDSEKSASKEGNSTTVRRHLEDAVQKLSRGVSTSRKDGSGENAEATTGSSKWPSLRRRKHIIVIAVDSVQEADFVQIIKNILEALSNERLSGAVGFVLSTSQAISEIHALLISKGIEISDFDAFICNSGSDVCYPSSSSEDMLSPGELPFMIDLDYHSQIEYRWGGEGLRKTLLRWATEQNNESGQKVVVEDEDCSSAYCISFKVKNTEAVPPVKELRRTMRIQALRCHVLYSHDGSKLNVIPVLASRSQALRYLYIRWGVELSDMTVIVGESGDTDYEGLLGGVHKTIILKGSFNAAPNQVHATRSYSLQDVVSFEKPGIASVDGYGPDSIKSALQQFGILKG >Et_1B_010162.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27099775:27099936:-1 gene:Et_1B_010162 transcript:Et_1B_010162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRSLNGGSGREKGCLRSFVQGLIPSLFWSRGGFRESVTTESMSSELCSQSL >Et_8B_059831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3147925:3150770:1 gene:Et_8B_059831 transcript:Et_8B_059831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSFEQNQYFEMGARDNGEGRDEKGGGSRSSLSSQGESPGNEDIRLKRVSGVPKKLSKKETRENSPRTTKSSTSRQAQNKLQHKGSNSVQNKSQKPKKTVNPAKSVEVRKPDISRVPSRPPSELSEETDDIISDAGTIDDRGNEEAREIDVLDEAPHCDQSTGTDEETPDIEEKIVDHEKLVVCQGNGELESRIDKLEQELREVAALEVSLYSVVPEHGCSAHKLHTPARRLARLYIHASKFWSAHKRATVARNTASGLVLVAKSCSNDASRLTFWLSNTVVLREIIAQTFGISPPSTPTMASMNMNVSAKKLDGKSMTMLWKNSFNSSQSKLAAMQMPDDWQETSTLLAALEKIESWIFSRIVETLWWQALTPHMQTPSEGSSTPKAGRVLGPSLGDQQQGTFSVNLWKAAFHDAFSRMCPLRAGGHECGCLPILAKLVMEQCVARLDVAMFNAILRESASEIPTDPISDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDKFGIDADDSEDIESDDDERSGATESKPFQLLNELSDLLMLPKDMLLEKSIRKEVCPSIGLPLMTRILCNFTPDEFCPDPVPCTVLEELNSESLLERCTDKDVISPFPYIAAPVVYRAPSTSDAAEKVADIAGGAKLDRKASMVQKRGYTSDDDLDDLDSPLAWLIDRSAPPSPSNRVAHFSAQRGASMENSRFALLREVWSEQR >Et_2B_019437.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29707172:29707402:-1 gene:Et_2B_019437 transcript:Et_2B_019437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSYKSVAMFMLLLSAIFFVQLTMPTHARKLEVRPPIISMHRSSTGRSVLQAPDMQTDSTTPGHSPSIGHNSPPN >Et_1A_005838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14523436:14525682:-1 gene:Et_1A_005838 transcript:Et_1A_005838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRGHHPPEDGFFLTRDVDPSQRTECKGQGSTAARKVQKADREKMRRDKLNEQFQELGNALDPDRPKNDKATILGDTIQMLKDLTSQVNKLKAEYASLSEEAHELTQEKNELRDEKASLKSDVDNLNNQYQQRMRVLYPWAGMEPSVVIGPPAPYPYPVPVHIPSGAVPMHPQMQAYPFFRSQTSGTMPNPYMSYTQPCHPPTDQPSNQFNTPVPHSSSHQSNSPAQDCRSKSSTLQQASCRVSAGDVATDLELKTPGSSAPSHSDTANKDSSSDSKTKKQCLKQINGSTLTEDSSSSRCSSSGPPDVSNSVDG >Et_6A_048048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4392841:4395337:1 gene:Et_6A_048048 transcript:Et_6A_048048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMILHWTHACACALKQVFDAKSIDDAVNRILEELKEDAAGFPRSSGRHNVIYFDGWDGLGASAVLRAVGRRLTPAAPAGRRAPAAAAGLEFSHIFHIDCSKWESRRAMQRLIAEQLKLPASVMEALDAQDEDDDYKGVGKGSRAEIPQVAEAINQHILKLVTNRRFMVIFHNGSSEEIDLGSLGFPLLDRYSRSKVLWSFQGRFRFYPRTKVDRALKNTRTTDVFLSVAVFRIDIEGHKLMSDILHHEAEEIACGMANINTGGINWHAAATNCFLYVMKLRSMGSQIIDYDMSAHACNYLRCDGIIQALQPGDIGTDDGVDKLWLSSDALHHEIQLDEDYYYNPNLPSEGEMRLHKSMACWTSPTYGFMLIPDPHGQIPEGMFQQFDKLCVLKLSACKFSFTSPPFVCCHNIRFLWLDHCQEGSSMAEAAKEEDIHQFLQRLWVLDVRHSNQAFLSKEMVAFMTQLRDLNVVGEKKGLRTDLVLSQLHNIIHRVQIKETTVFTPFIFSGMDKMELLELSRNYTRWGLIHVTSCRSLETVIINGFDGLTSLSLIGCAKLKNVLLNGSLGNLIRIRIVGARVETLDLSEVTVPYLDELCLLDCEKLCAILWPPASEDRRKRYLNNLRISTTQKEGTTTDVVVTLKDINKQQKQANDGDSDALAIMSISFSNMGSTGVVPSRVVPKVGMCL >Et_6B_048678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12576084:12578155:1 gene:Et_6B_048678 transcript:Et_6B_048678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLRRALQLRRVLPSPTSPLPAASASRLLSSFTPTTTASQQSAATPIDLSSDESRRRLVNRLVYRSKQRGFLELDLVLGSWVEQHVNTMDEANIRALLQVLDLENPDLWKWLSGQEQPPEDLNSNPVFSAMKSKITENLAKYSSPETRSTPGQPWVRGWDDIKNGKGGPKYGNQ >Et_5A_041963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4702837:4719993:1 gene:Et_5A_041963 transcript:Et_5A_041963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSRAHCSNGARTCRAWPHGYNNPLYSPSNVVGPVPFKDVVADDMPEPEPAEYEATVAALPSNPKLRLRRYQGTWVREPLVPGVIVVLVSQPKCGTTWLKALAFATMERRAYPTCDHGGGGHHPLVRLNPHGCVKSMERLFAVGRGGQLDALPSPRFMAVHMQHSILPDSITKNPDCKIILGACEGCCLSGPIWDHVLGYWNASKASPDTVLFLRYEDMLRDPGEHVRKLFLRFVGQPFSVAEEEEAGVVTDIVSLCSFDKQKNLAVNNTGGPDAPFAKELYFRKGKDGDWVNHMTPEMARRLDAIVEDKLRGSGLSFVTNAPVPFVEAGDDEVEDPLPKGYAVAIAALPSNPKLRLRCYQGTWVQEQWVPGIIAIQRGFAPRRGDVVLASPPKCGTTWLKALAFATMARAAYPPGRDDHPLLRLNPHYCVPFMELLFAKGRGSKMEVLPSPRLMATHMHHSVLPTGISDNPDCKIIYICSCLSGPIWDHVLGYWNASKASPETVLFLRYEEMLRDPANNVRKIASFVGMPFSAAEEAAGVLTDIVKLCGFEKMKNLDVNKKGGTSLSPMGGWGLGEPHDAGDGTAPRRNPRREAPRFRPLVRMIFHRSSGPVPFKDVDDGSVPEHTPKEEFGDLVSALPRRHQSILELRLYQGFWLPAHWVPGTLVFQRRFTPRHDDVILASYPKCGTTWLKALAFAVAARAQHPPAGADHPLRRLNSHDCIPFIDEIFAGGEEAKLELLPSPRLMNTHLPFTLLPEPVTNGAGCRVVYVCRDPKDMVVSLWHFLRRRQPDLSFAGTFESVCDGTVAVGPVWDHVLTYWRASVARPDSVLFLRYEDMLRDPGSNVRRLAEFMGRPFSSDEEEDAGAVAAVVELCSFDKMKNLEVNKSGTAGAYVGFARDSFFRKGVAGDWANHMTPEMAARLDGIVRDKLRGTGLAFT >Et_3B_028072.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:9509089:9509298:-1 gene:Et_3B_028072 transcript:Et_3B_028072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYAKASINASSNDVMIHKTFADESMISFKFCDEYTCNGTRCYCCLIQKPEALCYLTKDKCQAIWRFF >Et_3A_025312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28860370:28874526:-1 gene:Et_3A_025312 transcript:Et_3A_025312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPVLLLVLLLSSTATSSSKRIQPKFSAIFYFGDSILDTGNNNHIPTLAVANHVPYGRDFPGKKPTGRFSNGRLIPDLLNERLQLKEFSPPFLDKKLSNNDIMTGVNFASAGSGFDDQTSQLSNTLPMSKQVNLFKDYLLRLRDIVGDKEASRIIANSLIFINSGTNDFLHYYRSSKRRKMDINDYQDVILRIAQAYVKELFDLGGRQFCLAGLPPFGCTPIQITLSKDPERACVDEQNWDAQVYNSKLRKLFQTLQGSLHGSKIVYVDAYKALMEIINDHTKYGFTETTRGCCGTGLREAALLCNALTPICKNVSSYVFYDAVHPTERVYMLVNDYIVKDVIPQPVHLKHPGGPPPSSFRVFHNPMANL >Et_9A_061949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18256758:18260039:1 gene:Et_9A_061949 transcript:Et_9A_061949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVRSCVSVKPGASPVKYRSTRVGWAGLGAPARLRIPSPSPSSSASAFRGDGRTDAGCASIRGRGANGGGVGFLGGVGRNRRQVVAKCSASLDAAAVAPVAAPAFPERAKVVALVAAVMLLCNADRVVMSVAVVPLAAQHGWSSAFLGIVQSSFLWGYIFSSMVGGALADRYGGKKVMAGAAALWSLATFLTPWAASRSTVMLLAVRALFGLAEGVAFPTMSTFLPKWFPTQERATAVGISMGGFHLGNVISFLSTPIIMSHIGLTGTFAFFASLGYLWLSVWMLNVESDPLDSRTISKSELQYIVAGRSASKLEGNKFPTLKELFSKIEFWAIIVANVVNNWGYFVLLSWMPVYFKTVYNVNLKQAAWFSAIPWAVMAMSGYVAGASADFMIKSGFSVTLVRKIMQSIGFIGPGVSLLCLRYAQTPSVAAVLMTIALSLSSFCQAGYFCNVQDIAPKYAGSLHGLTNGIGTGAAIVSTIGTGYFVQWLGSFQAFLTLTAVLYFSATVFYNTYATADLLFD >Et_7A_051374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17592345:17596021:-1 gene:Et_7A_051374 transcript:Et_7A_051374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGGGSSPNVVGYSTVIHGFFKEGEVAKACDLFHEMLQQGIQPDVVTYSSAIDSLCKVRAMVKAEEFLRQMVGKGVLPDAVTYNSLIHGYCILGQWRDAVRIFKEMAKHGVLPSAATWNVFVDYLCRHRRIKEARDIFDSIAMKGQKPDIISYAIMLQGYAREGFFADMTDLFNLMLQNGIVPDLHIFNILIKAYADHGMMDEAMLMFEEMRKLGLMPDEVNYGTVIDAFCKLGRLDDAMVKFKQMVDLGISSNIAIYRCLVQGFCNYGDLVKAKELISGAIKDGFCFDNKFLNSVINRLCKEGRVTEAQDFFDFIISIGQCPDVVAYSSLMDGYCLVGKMEEAMRVFHNMGSAGLEPNDVTYILTGLCKNNCIDEAITLIQKLRAMNLKLNIITVTVMISALFKARKIEEAKDLFSTLSANGLVPSVVTYNVMITNFVKGLLEEADDIFTSMEKTGCAPNSRLLNNVVRVLLKEGETDRAGKYLSKIDEKNFSLEASSAELLTSLFSKGTCHKHREFLPAKNFTWKT >Et_3A_024770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24030315:24033344:1 gene:Et_3A_024770 transcript:Et_3A_024770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLRASWSPPATVAAASRTLRLPIRGALYVHPRHRRGLSSAAVKTEACIGSAFRTHCLKRVDPIPEPEPEREDDDPDTNVNERAMAQIVCVRARAALDVASEVIDVAALGLGRTEISQQTTDQMVRTYASVFCNVAEDAYHMKIGMETTISFLGALKGLGAICHILVQDVVTKLKDGLLKSSITRRMDIQSQEFDRKVNYLENETVNIKTQKE >Et_4B_038606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3246851:3249631:-1 gene:Et_4B_038606 transcript:Et_4B_038606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCLWPCATAVVSREKGLFRSKPRTPPELVQHVRELLAYIKDHREGCGGGKHDSKREHKMADLSKSIKEMKCILYGNGEADPVEEACAQLTKEFFKENTDTFNLLVVCFPCLDLEIQKDVTQVIANLQRQKVDSRLIASDYIEANLDLLDILMSGYDNLEIAIHYSTLLRDCIRHQVAARYVLGSQHVRKFFHYIQFPDFNISSDIFKTFKELVTRHKSTASEFFSKNYDWFFAEFNSKLLSSSNYIIRRQATQLLGDILLEKSNAEVMVRYVSKKEHLIILMNLLREQSKAVQLEAFHVFKLFVANKNKPPEIVSILRTNRSKLLRFLGDFTLDKEDQKFETDKAKVVSDILGLAINC >Et_6A_046293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12167521:12169414:-1 gene:Et_6A_046293 transcript:Et_6A_046293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSKLRPAEPVSNSGPLPPDALFEVLLRLPAKELCRLRVICWSWRALTCDPQFAAAHKSRHREPLFASIFQDGDSGGVAIFDMSGQVLRRVRFASERVAVLRTYLDRICVIRECKPSLGPWVVNPATGSALALPGLDSDEYLRICTERTFSLGSVITHYCKTVTYAFGQVASTGDYKVLRISHPDRSQPLLCDIITLDGSGLGGWRRKQNPPGGVMRTRDTTSSMDCFALNGVVYFPFEYTVMGPGSLAPFDLGTEEWMPAIRGPEPLRSIVFPGYDRTLTLASLDGRLVTIDNVIGVSFDLWFLMDHEQSLWVKKYRLSTKQHCEFSYPLLVLHDGRIVIFERIKRVLQCYDPTTGSLTNALDMMRMRIGVSQSIGVYTGSLGAV >Et_4B_039443.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1668578:1669552:1 gene:Et_4B_039443 transcript:Et_4B_039443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSITNDKVKDKYTQYWSFFRRPAETATASAEKVPAFVDTFYNLVTDIYEWGWGQSFHFSPSLPGRSHRDATRVHEERVADLLGAKPGHRLLDVGCGVGGPMRAIAAHSGSNVVGITINEYQVNRARSHNRKAGLDARCEVVCGNFMSMPFPDASFDGAYSIEATCHAPRLQDVYGEVFRVLKPGGLYVSYEWVTTSLYRAEDPDHVECIHGIERGDALPGLRRQDEIASIAKEVGFEVVQEQDLALPPALPWWTRLKMGRLAYWRNSLVVRVLTLLRIAPKGVAEVHEMLFETAQHLTKGGETGIFTPMHMVLLRKPAAAAAE >Et_2A_015933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19337536:19339183:1 gene:Et_2A_015933 transcript:Et_2A_015933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPIWVLRLLLLLLCAALSCHAAHGVSGHGIGVNYGRIADDIPSPRQSVELIRAVGAGSVKIYDANPAVLRALAGTHMRVSIMVPNDVIPGLAASAAAADRWVAENLVPYYPETRVKFLLVGNEVLSDNSIATSVWPRLVPAMENLHRSLRARRISSIKLGTTLAMDALADGAFPRPPSAAAFRADVAASVVRPLLHFLNGTNSYYFVDAYPYFAWALNSLTVPLDYALFQGAGAARYVDPGTGLTYTNLLDEMLDAVVVAMAKLGYPRVKLAIAETGWPNGCDYDQIGGNVHNAAIYNRNLAVRMAKNPGTPARPGAKMPVFVFSLYNEDLKPGPGTERNWGLYYPNGTAIYQIDLTGRRPLGSYPPLPAPENNTPYKGPIWCVLSDAARKKLNETAVGNALTYACGQGNGTCDAIQPGKKCFLPNTAAAHASYAFNSYWQQFRKIGATCYFNNLAEQTIKDPSKCPFGSSLID >Et_2A_016791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28188337:28191834:1 gene:Et_2A_016791 transcript:Et_2A_016791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARALSKRPGHHRHILLLAFVLSAAVVLPLLMPAATAAAAVAVAKGDGENKSALGGTRQWATGKDEDELVAERESGGGGSVEEDEFAGGFGSLDSMLQWAIGNSDPEKLKEEASGVQKLSADELQKRRQEIKELMEKLKMPSDADLMKIAIADLNNSSVSLEDRQRALQELLVLVEAIDNANDLDKLGGLLPVIQELGNANEEIRITSAWVLGTASQNNALVQDQILGYGALARLVKMGYSTSTEEAAKALYAISALIRNNVNGQEMFHSEKGGAMLQHILVNSDIDLRLQKKAVFLTTDLADFQLNSGNPELPLLSDRLFLKSVVDMLSRFDLDLQEKVLLAIKSLLKLSSVDVADFEFYDLESVLHRLGVQLEDLPSEDQKEYAGEVDALRREVLTLFQQKLKQGRQQQPDI >Et_9B_065034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20772186:20776531:1 gene:Et_9B_065034 transcript:Et_9B_065034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAAAAISRSSSALRKQLARGSGGEQRLWARGYAAKEVAFGVGARAAMLQGVNDLADAVKVTMGPKGRTVIIEGSFKGPKVTKDGVTVAKSVEFEDSAKNVGASLVKQVAEATNKAAGDGTTCATVLTQAILTEGCKAVAAGVNVMDLRNGINKAINAITGHLKSKAWKISSPDEINQVATISANGEKEIGDLISKAMEKVGKDGVITIADGKTLDNELEAVQGMKLSRGYISPHFVTDQKTQKCEMENSLILIHDKKISSMNSLLPVLEISIKNRRPLLIVAEDVEGEALAMLVLNKHRAGLKVCAVKAPGFGDNRRANLDDMAVMTGGEVVSEERGFDLGKVQLQMLGTAKKVTVSLDDTIILDGGGDRQQIEERCQQLRESIDVSTAMFDKEKAQERLSKLSGGVAVLKIGGASEAEVGEKKDRVTDALNAARAAVEEGVVPGGGVALLYATKELDKISTANEDEKIGVQIIKNALKAPLMTIARNAGVDGALVIGKLIEQDDINLGYDAARGEYVDMIKAGIIDPVKVIRTALQDAASVSLLMTTTEAAVAEPPATKARMASRMPQMSGMNF >Et_8A_056170.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:12248576:12248644:-1 gene:Et_8A_056170 transcript:Et_8A_056170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWAWLKTLSGSMSVLALTRRS >Et_8B_059514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18697126:18698258:1 gene:Et_8B_059514 transcript:Et_8B_059514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKQRMDTDSASYLVHKLCIEKVSEDQCVRTFQLRRMAGQKHIDIYDGIVVGDISGGLEKIPISAINISNKYPISLQYIINCAVRTLLALCRMHTNIIWISCYIGDRWLQRLIRKIEGTDLAVNRKMDGTHVHVIHAINATQTQPGDNTAWSEQHTNSYIYGGLYFVETLCIEKLRGDICAKTFHLRRMSRQQKIDIISPEPVDGIFIRDIKVSARSFVGLRLCWWMLTLLKICMCNENGGMLPFGSMGLLEDRSLIYESDPFCKCPPTCCLKFHLQVFKINWMGWGVICLDFICHLQVFVCEYIGELPRGTEKD >Et_3A_024342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19830540:19834710:-1 gene:Et_3A_024342 transcript:Et_3A_024342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEADASAGGAAGDPQRLKRIAAAAYDYENDARWAGYWSNVLVPPHLASRPDVVDHFKRKFYQRYIDPGLVVEPMSSLTSTQPTRPAARSSSTPSGENVRAGDSASSRSTASSQPPPSERSGNSLRLDGRTIHFSINAWVLVVASLGVLPILPNHISSKAYRLSLLGTICSSTYSLYCTYGKPRAWNMPAIQPWLQSIIVAKDFVHLMFSLMMFASNVHFKIALLPVLCWALDHVARFLRRNFTRSSLYRKYLEDPCLWAETNNTTLSLLCSNAEITLGFLMIISLFSSRRNIIQTFMYFHLLKLMYHAPVTSGYHQSVWARIGRAVNPYIYRYAPFLNTPISAVQRWWLRKTMIL >Et_6B_048602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11280582:11283274:-1 gene:Et_6B_048602 transcript:Et_6B_048602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQETTTTSSNAAAAASGGDDKVRHRLRRRVIKMVYHHAISNSPYLLLAAATVGLAVRCRYLTTSDLAAAAHDAVAGNLPLATTVLVAAAVLATACLARRPRAVYLVDFACYKPGPEHVVTRETFMRQSAATGVFTDENLEFQRRILERSGLGQGTYSPKSMLRSPPNPSSMAEARDEAEAVMFGAIDQVLAKTGVKAKDIGIVVVNCSLFNPTPSHSARIVNHYKMRKDVASYNLGGMGCSAGLISIDLVKQLLQVHRSTYALVVSMEIMTMNWYRGNNRSMLMTSCLFRMGGAAVLLSNRGADRRRAKYQLVHTVRTHRGADDRAYRCVIQEEDDAGCVGVALSKDLMSVAGDALKTNITTLGPLVLPISEQLLFAASLFAVRVLKLNKNIRPYIPDFKTAFEHFCIHAGGRAVLDTIESSLGLTGWHMEPSRMTLYRWGNTSSSSLWYELAYTEAKGRVRRGDRAWQIAFGSGFKCNSAVWRALRNIDPAKEKGGGNPWVDEIHQFPVEVPKVEKVAVA >Et_1A_009432.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5213445:5213924:-1 gene:Et_1A_009432 transcript:Et_1A_009432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLALSRMLLDRFFPDAGVVADARPPMDWKETPEAHVFRMDVPGLPKEQVAVELVDGRVLRVRGGRQDDAAAEKEGKLSGHEEREEEEDGDGGAVRWHCRERVGTRAFETQFRLPEDAAADEVRAAMADGVLTVTVPKRKGGKKRHHGGNKPVCCRFWP >Et_2A_017991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8784730:8786333:-1 gene:Et_2A_017991 transcript:Et_2A_017991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMHLPLLLLCLLVGHTHGSRPVMLRSVDDSGVSPSATTCSSIPRGFSSGNKLPVVHRLSRCSPFAGARTKQGGKPSPDEILHRDALRLRYLSDIHRSDAAEGPSSSSAPAAAPGPSTSPSAPASAAVSFPATQNVISALPGVFDYTVLAGYGTPAQPLPFYFNASGMSNLRCKPCFGGGGAACSGKAAFDPSLSSSFRTVPCGAPECRVSACSSAGGTCDFTFQNATYVFGNGTFVTDMLTLSPSAATVEDFAVGCMQVDHLFDGAAYVAAGNIDLSRSRNSLVSRLLPTLPPDTAAFSYCLPADTDAHGFFAVAPALSDYAGVRGVQYVPLVNNSRSPNFYFVDLVAVAVDGKDLPFPAKAPEFALNGTMIDAQSWFTYLNPPLYAALRDEFRRAMAQYPPAPAFNELDTCYNFTGYSYIQLPDITFKFGNGVAMDLDDRQFMYFFREHLSDDFPFGCLAFAAAQDETFPWNVLGTQLQRTKEVVYDVRGERIGFVPSRCGLR >Et_1A_008576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8959879:8961835:-1 gene:Et_1A_008576 transcript:Et_1A_008576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLIVSSIGRSMRRKRLSSLDILSSKRAPRDYYKGKNCKPTGFHTRKGGYVIVDEKLPRFVVPDLTDFKLKPYVSQCARDLAASTTSSTTAESTENKS >Et_8A_057790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7245561:7249416:-1 gene:Et_8A_057790 transcript:Et_8A_057790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTSAAAGEGAQLCVFDLRRGQQEGQELDKILFFHPAECPILLQLSVIGLCEGIVTFARIFSPEEDCEAIESEKHSHVFYQAEPDIWMVLVVEKTKGNEPTWRCDALQGILKEAHSLFMMFHGPIRTLLDRQPSAELARGHLCTFLADYLSDFTVGKKLQLPTFRQSLKERGTVQMLTASREVALEVQSLTTVLGSCLGNVTCQSLVLFEDLLVSTTLPPDDTLNLYTYAVLRLTPHALSSNASSWSYLRKGTSVSAGPTASSSNGTTARERYPSRSRDTSPGGQNQMHHNFRPLLREKLSKGKDGFVTADFAATEVCGAGPLTPILWFQQAEEHMHLCIYQHKSLTILLLIPASSLVNGEEGIAHVKKHLLENASQKIVTVEQKLARGWGGENAYHVGGYRYLLVDPDRRVSRASPPGKVTTLSRDTLLSLNRLRQEVDLEKSRHKRSDPSHDKDFEASIRTKNNAWVIAKITRGKELYMALEKGGETLLYASTAVEKFSNRYCEGAFSTD >Et_6A_047742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1348106:1350751:1 gene:Et_6A_047742 transcript:Et_6A_047742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIIIVRSYVVVAPYILISLDQPNSGTYAFNTDTEEWHKFENEYLPFVGSPTPHGQSGFIFLGLSQENGPIKAYRIHVSASSSQSNFAAVAGSSDKGGTLKLSITKFTLKGMAHDGAGARAGHCFTSLDTRRSATLAYWFDNSKCNMRYDDDNCVFYPMRLHVKLATYQMEEIPEEKQLDMEPEIAISRQQERAFKISSFHGFSYPPIAFELLLNTRCVL >Et_4A_034985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8511862:8516174:1 gene:Et_4A_034985 transcript:Et_4A_034985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVNWELQGCCDHDQRIFIAAIGVSTVVILLLWRTFLLTPFKLITVFLHETSHALACKLTCGDVEGMQVHANEGGSTQTRGGIYWIILPAGYLGSSFWGMVFILASTNLLTTRIAAGCFLLALVVVLFVAKNWFLRWLCLGFIVFIAVAWVIQEFTTFHSLKYVILFIGVMNSLFSVYDIYDDLISRRVHTSDAEKFAEICPCPCNGCAWGVIWGFISFVFLCASIYLGLFPCVGIAHSLLHLQQDISGRVLALLKS >Et_5B_043173.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:14849281:14849406:1 gene:Et_5B_043173 transcript:Et_5B_043173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQTILFLTAPQQILCGVSLGNPSIGLITQQCCISLWIWL >Et_9A_062983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7868989:7877519:-1 gene:Et_9A_062983 transcript:Et_9A_062983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHEVAGPAASWIRGVRVTGSGGTLTWQQTAELVHGLEMSQQRLIWVVKRPDDNPFGCGSPFFGSQMGVLEEAFDFLPAVFVERTRRVGILVPSWAPQAAVLRRPSIGCFVTHCGWNSVLESFLNCIPLITWSLYAKQRISAAMLEGPLGVATRVKLSDGGLVPRRRSLEPSNINPEIDEGRPEFQGKSRHELDAIKKEMTTLARGRVATYICNCFLKWQDKKYIIAPYNFNNHWICIVLYPTNGYLCVLDSLDINKKYYADFISHAQESPPRPRVILLCSPFFGHLIPFVELARRLVADHGLAATLLFAEATPTPSEYLEAPSPAADLASVRDRMAHAFASGVRRIVQLARSLAAELVGVPVYMFFTSPWTHLSLLLHLPELDVAITGE >Et_8A_056571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12598356:12601619:1 gene:Et_8A_056571 transcript:Et_8A_056571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTQTRDVADDFNPRRRRTLWNTPNVSMPTNTHTIRYHTPKKGMFNAHLMVKFEDLYGFMVEGNVDDVNVLNEVRERIREQGRVWWALEASKGANWYLQPRISSNGGEGMMRVTSLKLSVLTNTITLRRLVRKGVPPVLRPKVWLSVSGAAKKRSTVPETYYDELIQATEGKTTPATRQIDHDLPRTFPCHPWLNSDEGQASLRRVLVGYSFRDSEVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVSDCYTDNLSGCHVEQRVFKDLLAKKCPRIAAHLEAMGFDVSLVATEWFLCLFSKSLPSETTLRVWDVLFNEGAKVLFHVALAVFKMREDDLLRIQHIGDVIDILQTTTHHLYDPDELLTFAFDKIGSMTTNTITKERKKQETVVMAELDQRIRRLSSLKMDGRILRICFHDRVVSPPASSLSSKFGVGIAYSLCTLEQQQDND >Et_3B_030753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:742758:744466:-1 gene:Et_3B_030753 transcript:Et_3B_030753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSEREPPAWMAAAARAWLEEAGAKGDGSAGRAFNAMPLSGVRVALAERGRALCSLRVPAHLTDAEGNWHTGAIAAAADDVCAAAIMSVEGIIKVSVHYDISYFSLAKLHEEVEMDGRVVEHKGRMTAVTVEIRKKDSGELVAIGRQWMTASRPKGSQSKI >Et_7A_051919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2847718:2853704:1 gene:Et_7A_051919 transcript:Et_7A_051919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESAVADGKAANGGGGDAAGDGKKRVDQAVAFHELFSFADKWDLMLMAAGSLGALAHGAAMPLFFLLFGDLINGFGKNQTDLRTMTDEVAKYALYFVYLGLVVCVSSYAEIACWMYTGERQVIAFRKAYLDAVLRQDVGFFDTDARTGDIVFGVSTDTLLVQDAIGEKVGNFIHYLATFFAGLVVGFVSAWRLALLSVAVIPAIAFAGGLYAYTLTGLTSRSRESYANAGVVAEQAIAQVRTVYSFVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQAFSNLGAFSKGKIAGFKLLEVIRQKPSIVNDCKDGKWLAEVHGNIEFKDVTFSYPSRPDVMIFRDFSLFFPAGKTVAVVGGSGSGKSTVVALIERFYDPNEGQVLLDNVDIKTLQLRWLRDQIGLVNQEPALFATTILENILYGKPDATIAEVEAAATASNAHSFISLLPNGYKTMVGERGIQLSGGQKQRIAIARAMLKNPKILLLDEATSALDADSENIVQEALDRLMVGRTTVVVAHRLSTIRNVNMIAVIQQGQVVETGTHDELLAKGNSGAYASLIRFQETARNRDFGGASTRRSRSMHLTSSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISNADNDRKYPAPRGYFFKLLKLNAPEWPYAVLGAIGSVLSGFIGPTFAIVMGEMLDVFYYRDPNEMMKKTKLYVFIYIGTGIYAVIAYLVQHYFFSIMGENLTTRVRRMMLSAILRNEVGWFDEEENNSSLVAARLAVDAADVKSAIAERISVILQNMTSLMTSFVVGFIIEWRVAILILATFPLLVLANFAQQLSMKGFAGDTAKAHAKSSMVAGEGVSNIRTVAAFNAQSKILSLFSNELRVPEQQILRRSQISGLLFGLSQLCLYSSEALILWYGSHLVRSHGSTFSKVIKVFVVLVVTANSVAETVSLAPEIIRGGESIRSVFGILNRATRIEPDDPESERVTTIRGDIELRHVDFSYPARPDIHIFKDFNLKIQAGRSQALVGGSGSGKSTVIALIQRFYDPTGGKVAIDGKDIRRLNLKSLRLKIGLVQQEPVLFASSILENIAYGKDGATEEEVIEAAKTANVHSFVSQLPDGYKTTVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESECVLQEALERLMKGRTTVLVAHRLSTIRGVDRIAVVQEGRIVEHGSHSDLVSRPEGAYSRLLQLQHHRA >Et_1A_008666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9766784:9768895:1 gene:Et_1A_008666 transcript:Et_1A_008666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSCAHVEFLKAQPAWALALAAVGLLVAARAAIRLALWVYAAFLRPGKPLRRRYGAWAVVTGATDGIGRAIAFRLAAAGLGLVLVGRNPDKLAAVASEIKAKHPKVPEVRTFVLDFAGEGLAAGVEALKEAIRGLDVGVLVNNAGVSYPYARYFHEVDEELMRSLIRVNVEGVTRVTHAVLPGMVERKRGAIVNIGSGAASVVPSDPLYSVYAATKAYVDQFSRCLYVEYKSKGIDVQCQVPLYVATKMASIRKSSFMVPSADTYARAAVRHIGYEPRCTPYWPHSVVWFLISMLPESLVDSVRLNMCIKIRKKGQAKDAKKKAQ >Et_3A_024001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1621184:1625890:1 gene:Et_3A_024001 transcript:Et_3A_024001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGAGFFCVFPCNTFVFGVYVVSDMLNTTLDDGRTYSAMVAWSANRDRPVQANATLSFSSDRTLILRDADGSLVWSSGTSGRSVVGMNMTVSGNLVLLDYDDSPVWQSFDHPVDTLLPGQRLLVGKSLIPSKSANNLTVNNQLYLTVRPDGLYAFVGYQVPRLYYRITTDNYITTYITVCVRPRKCFSFFRYVPLNRLRFDSDGHLRLYGWNNNNSWTAMQDIFGVDQCNYPLVCGDYGICSSGQCSCPMASSTNGSYFRQLDDRKTNLGCILDTPISCQSAEDHQLIAVHNVSYFNYIDPNAGVITDEESCKKACLGNCSCKAAIFRYNNKPSNGSCLLVSEILSLVGSSPGSAFLKIQVTNTQGLVKKRGVLRFTLGGAAAAAVLVLAIVVFAIIRCRQNEEEADDDELGELPGMPARFTFESLKAATKEFSSKIGEGGFSSVFKGELGDQLVAVKHLHRAAQGKKEFLAEVQTIGSLHHINLVRLIGFCTEKSHKLLVYEYVSRGSLDKWIYYADDKSPLDWYTRCKIISHIAKGLSYLHEDCRQRIAHLDIKPQNIHLDNNFDAKVADFGLCKLIDRGQSRVTVNRAENRKTYMTLTNGSVAIVASSSDTVAETNMTSKQTDMTYIRFESDGHLKMYQYGAVGWQMVQDIFEGQVDNCAYPTVCGEYGICMSGQELQERLLEELLLQSCTLSVNQEEVSHYSSSAYLKVQITHSPPSPATSISNAPVNGSTSTGHKRISAGAIVGYTLAGVISLLIMIITSSMILWKRYQHSEDDEDFGEVSGMTSRFTFEQLKVATEQFSKELGKGGFGSVFEGQIGEQKVAVKKLDRASQGKKEFLAEVETIGNIHHINLVRLIGFCADKSHRLLDPWTGGSIVETKKHLSWHTRRSIITDIAKGLAYLHEECRQRIAHLDIKPQNILLDDNFSAKLSDFGLSKMIDRDKSQVITRMRGTPGYLAPEWLTSQITEKVDIYSFGVVVMEIMSGRKNLDYSKPEESIHLISILQEKARNEQLEHLIDSNCDEMQMHKEEAIHMMKLAMWCLQIDYNKRPQMSVVVKVLEGTVNVESNIEFNFVASVPSNLGSDGKMASSAPALASHLSGP >Et_2B_022201.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1330587:1330955:1 gene:Et_2B_022201 transcript:Et_2B_022201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKAGLKQMLRRCSSLGRRQQQNGYATVAEEHEREEEEAAGLPSDVPRGHFAVYVGERRRRFVVPIALLDLPEFRHLLRRAEEEFGFAGAGAGGILVLPCEEVAFRSLTSGAALACGGAR >Et_2B_020622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22010450:22015693:1 gene:Et_2B_020622 transcript:Et_2B_020622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGSSFSGRKVDEVKQIASVFEELISNIAPGDFNLPPGEWESGYYYVPIKRNVYLTKRRIEDYGISCSCAASRSMLFSCCSSNCKYDSKCANKSFQHRPLKKTKLIRTEECGFGLVAEDGIKKGEFVMEYVGEVIDDKICEERLWKMRRKRATKFYLCKVSISSSLEHIKFAIVELQSAKKYLAGRSRSSQLFLTMAIQQAHRINVPREKGNHIVGSLANLFLQFCDYSLEKFNLKEEEWHFLSDYHGSHH >Et_10B_002352.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:10676222:10676626:-1 gene:Et_10B_002352 transcript:Et_10B_002352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SHYLRGSTAAVYSLLKHASCPESTSSTSWPSGGRQRRGADGAGAASARGGRLVPVAAVLRSTNSAPTPLPGSSRRLCTPHWSRRSTTHGTYWRTCSRNACRGRYISTPGHGGGGRAQSRGWGRRHGRKRELTGR >Et_3B_027808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20286424:20287959:1 gene:Et_3B_027808 transcript:Et_3B_027808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLVNLYGTIRRVEDARKVFETGAYGIEADVDIRNALISMYVKCGYMSDALEAFRVMPERNTKSWNTLIDGFVQNQKHKEALGLFEQMLSNDVTPDAVTLVSVLSACAQLGALDKGRTIHSYMEEKDVRKDVCLESALVDMYAKCGCMDMATEIFSKMQDKQILTWNSMIGGLASNGHGKEVVQLFDQMLELGDSKPDGITFKAVLGACAHVGMVDEGLQYFHLMSSFGIAPDVEHYGCVVDLLGRAGMLDEAFDFIKKMPIQPNHVIWGSLLSACRFHNKWDLGRRIGHYIINLAPNDVGVHVLISNLHAEEGQWDYVQQVRGQMGSRGIEKSPGRSSIQV >Et_4A_035636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31870011:31872259:1 gene:Et_4A_035636 transcript:Et_4A_035636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWDLGQPTPAVVELVNSGTLPDNGATVLVPGCGAVSETHISIAPPASQSPEISGDGGHDVVALAGPGRFVTGLDVSETAIQKAKQLSSAGGGSFFNFVAADFFTWEPPEKFDLIFDYTFFCALHPSLRLAWASRMSDLLKPGGEIITLMYLVEGQEAGPPFNTTVLDSILVNLDTGKLATDKLAIGGRDMHADVEHLCDARRMAGGYWRVLRRMDEIGVKKDAAWGWIELTLKE >Et_10A_001414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2927684:2928375:1 gene:Et_10A_001414 transcript:Et_10A_001414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIWPLPDEIQFQYGGPDWLLWLLEQSTEIQRELILLMFWRIWYDRNQQLHEFVQPSIEGSRRFLDAYHISVSSVHDPAPANDPKGKQLKLVNALNTRQPDRSRLSLLLAEVKSLVDELKEIEVKHCVRDQNRVAHSLASYACAEGLCAV >Et_9A_061397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1119384:1121401:1 gene:Et_9A_061397 transcript:Et_9A_061397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLHVKSAANAFSPSPSDASGEEMEFLYECAATAAVADVAAALGDLSGLQARLLSLCRRLRARCADSSAVGELERALDEAEAYASKSGLILSAIFLSTICFTCPLFRKTIAHSAKLIIFSGSVAGLAHSTSSKTTPKEYTSDFIVSRWLLKYSGSKYSKAALHCCADMTLV >Et_10B_002785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11067514:11069005:1 gene:Et_10B_002785 transcript:Et_10B_002785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRSHGRLISVEISDKRVNRAGARSLPRPAGAGRVGNKEAEQVQHDRRVPYPKPPFLPVQRLRQQKDDDDDRCYKGGGVAVKEGQVPWSPVVIHDWVARLMAIFVALPDSAGRFEAGLVGDARHQNSKKHQVLALGIRLRDMRHICSGLLHPAAIYLISIVLWGYVVLGILF >Et_7B_053701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11194815:11208174:1 gene:Et_7B_053701 transcript:Et_7B_053701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALVSVALAWLLLLKLAAASHVVYDDLLETEAASTEAVPPSIVDPLLRTGYHFQPLKNWINAPMYYKGWYHLFYQYNPKGAVWGNIVWGHSVSRDLINWVALDPALEPSVDGDKYGCWSGSATMLADGTPVIMYTGVSRPDVQYQVQNVAYPRNASDPLLREWAKPAHNPIIVPENGVNATQFRDPTTAWRDAAGDGHWRLLVGSLSRGTHGVAYVYRSRDFRRWTRARRPLHSAATTGMWECPDFYPVTADGRRAGLDTYASSASGPRVKYVLKNSLDLRRYDYYTVGTYDRRAERYVPDDPAGDERHLRYDYGNFYASKTFYDPAKRRRILWGWANESDTAADDVAKGWAGIQAIPRTVWLDPSGKQLMQWPIEEVETLRGKWVNLKNRVVKPGQHVEVTGLQTAQADVEVSFEVSSLSAAERLDPALAHDAQRLCAVKGAAVAGGVGPFGLWVLASANREERTAVFFRVFRAADRSNKKPVVLMCTDPTKSSLNPNLYQPTFAGFVDTDISNGKISLRSLIDRSVVESFGAGGRTCILSRVYPSLAIGKNARLFVFNNGKADVKAAATALLLLVALLHATAAVRASHVVYPELQSLEAEQVDETSRTGYHFQPPKHWINGPMYYKGLYHLFYQYNPKGAVWGNIVWAHSVSTDLIDWTALDPGIYPSKPFDINGCWSGSSTLLPNGVPVIMYTGIDPHKHQVQNIAYPKNLSDPFLREWVKPDYNPIIAPDAGLNASAFRDPTTAWHGPDGHWRLLVGSKVDDKGLAVLYRSRDFKRWVRAHHPFHSGLTGMWECPDFFPVAVAAGGARSGRRHHRCGVDTAELRDRKLAEEVKYVLKVSLDLTRYEYYTVGTYDHGTDRYVPDAGFPDSDYGLRYDYGDFYASKTFYDPVKRRRILWGWANESDTVPDDRKKGWAGIQAIPRKLWLSPRGKQLIQWPVEELKALRAKHVNVTDKVVKGGEYFEVTGFKSVQSDVEAAFEIKDLSKAEAFDPAWLRDPQALCKKRGSADTGEVGPFGLWVLADADLTERTAVFFRVFRTNSSRHVVLMCNDPTSSSFESKVYRPTFAGFVNVDIAKTKKIALRTLIDHSVVESFGAGGKTCILTRVYPKKAVGDAARLFVFNHGEAEIKVTKMDAWELKTPKMNVPQQQ >Et_10A_001697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:743308:744880:-1 gene:Et_10A_001697 transcript:Et_10A_001697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIAIYPEDYTIDKNDLTRQWIAQGFISKVHGIDPEDVAKSYFNELINRSMIQPTKTDFDGEVLCFRVHDMMLDLILHKSQEENFLTIIDNVLEMTVQHDKIRRLPLHLDGATNDDRALRSVQLSHIRAVARFGIPMYLPPFLLFKHLRVLTIEVPQGRPLTDVLDFTGICHLFQLGYLKIMASRHGVALPSKIGSLQQLETFHLETNVSFPNVHCRELPLDIAHISRLSDGLENMKSLRTLRGLNMCWGSPNNVKGLRELTNLTDLEIRWYHNKGISISCDEAAARGRVKFCNLRYLYLRRCPANVSLAVSKSAHASFCHLQRFRADFSWFSRVPEWIGELHNLYDLDLTVKEVLDDDIGVLAGLPSLTRLRFHILASLRERITVPGSAFPVLEHFTFICRKVWYLTLEATAMTKLKRLELAFLEKGWDRYHTLPVGIEHLPSLREISLRIGNCGDTESCRQAAESALRNAVDKHPGRTTSIRCDTCSDYIFDDVGIVIYGGYR >Et_2B_022187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11423702:11435328:1 gene:Et_2B_022187 transcript:Et_2B_022187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFGQWLPDSENTGNIRFTTILVPSQISTSIEAQTRTSAIVSSEKESALFGNTNDSGTIDAEGARDDAGSILGTGTGAEPTETIDLNKTPAPKSKRKKHRPKVLKEGKPSKTPKSTAPKLSKEKDEKPPSKRKYVRKKTLAGQPPPEQGADSNCRVELNPARRCLNFDGTNNHEDSNPVARAQGTELSNDPKDYQTSVSSFNHVSAQSQSAFHAGSTTSTTADQMDNAQLLPADNMQKKVSIDLNYCVNQMQNEDSTAIPEKRSRILTQGCTQSPKSPNDLHNENVIARLGSHQLQSLGGSIILGTDMLAELHKHKISSQDVTQNSSCIPPAELEHFGPPVIGTTTLTNSGKLRMEGRLLETSAEIIRASTDPTNPSISNDVLRAENNQLEVSPTFAAKPSEKRKTRGRPRKELKPGDKPKPRGRPKKEKVVHDVLVANGGPLRIDVISRARGPEGASCARAVLSETVVESISKASSPWIDPLDLIIQKITLLDINKSDDTGAAESCGALVPYKGDFSAIVPFVGNVKRKRSRAKVDLDPVTTLMWKLLMGPDMGDGAEMMDKDKEKWLDEERRIFQGRVDSFIARMHLVQAKFPAKLEVSEKSAAGAFHITSEGNGSCSGLFGEAVKLQGDILVEEASKSAGSLITAEEKEGTNSIELFRSTSGDGVDDASGVYYNSYRELSARLHESRPEAIGTGSFVESEDGSLEDVVSSQNSSVSSQMSPYYLFSRNEHMLNSTRSSTTYTELLRMQELKSKYCSEFGSSSYTALDTVDCSSATQAQTSFPYPSSVPRIATTGQPTPGDSYSALLYGIDGSLGQDNSPFATDPTGGTGFRSPINDKYVQPSNFEMISFARDSCPKDISRNEAEATCVQQNGNLNCTTSSNQKDHEKFQSGCSQLSDNVGIQFVKVQNGCCSNCESPDGVASNLIDNSKDVKKGSTKVPHDVSKGKKARAGTGKKRAFDWDILRKEVLHNHGNKERGHNAKDSIDWERIRQADVKEISDTIRERGMNNMLAERIKGVSNNIICRYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFASARLALPGPEEKSLVTSSTPVAAEACDHTYLNFRTVGQKEDCNQAYMNNGPVSQLEWNPHVQGHILDNKNPIIEEPASPELEPEAAEIREEDAIEDAFIDDPEEIPTIKLNFMEFTHNLKNYMQANNIEIEDADMSRALVAITPEAASIPTPKLKNVSRLRTEHQVFADHDTSRNPIDVPRSLIWNLPKRTVYFGTSIPTIFKGFVCVRGFDRKSRAPRPLYARLHFPASKITRNKKSGTSATARDDE >Et_4B_040103.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9830295:9830954:1 gene:Et_4B_040103 transcript:Et_4B_040103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGSTALEEREIERKRKRAAAGGESAATVSKWRTRREHEIYSSKLLEALRLVRAGSSSSSSSSSSSAAAAAAAAAQPRSRAVREAADRALAVAARGRTCWSRAILANRRRRLQAARRARLRNPTSPPSRHASVHAASTISQGDGAKTPPLARKAKVLGRLVPGCRKLPFPALLAEASDYIAALEMQVRAMAALAEALSTVSSSSASSGGGSSSPPA >Et_2A_018479.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29537061:29537375:1 gene:Et_2A_018479 transcript:Et_2A_018479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEAMPYMAWREEQQEEAVIIDRRQQSRFIDAARVLVLGAALLVISNLGAATAPASFLGLLMLLVGVCLLALTPVARESPNAARLGAAVANAVLRFFFAPPN >Et_5A_041879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3858954:3865391:1 gene:Et_5A_041879 transcript:Et_5A_041879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFQDRRPFRPPDWAPPPPPPHHRDQHYHHYNQHQPQPQRYRPAQPPTPQFAVILLRPGPDLSAPTATEVEALVAGLRSPAPASLSIRSSGRLAARLVFRSVQAAADAARELWALRLEGLHFLTPQLPEPALASHASPLIASLFADHASRLLDSGLMKLSAARSAELAAAIQDVKQASRSLRDFHQLCLQKETLEAEKALVDAKIAEYKAAMSSIQRAMLCVPGDDEEGVDLFGIVKEGDFDFARVHKMLLRECRRLKEGMPIYVYRRKILNHIFSNQVMILIGETGCGKSTQIVQFLADSGLAADGSIVCTQPRKIAAISLAYRVDEETNGCYGDNSVLFYSTFSKSQDLGSKIIFTTDSCLLHHCMSDLGLDGISYIIVDEAHERSLNTDLLLALIKKQLLDRLDLRLIIMSATADADRLAEYFYGCQTFHVKGRTFPVEIKYVPDISAEPSWNTVPTISRAARATASYVSDVVRMVSIIHKNEGEGAILAFLTSQLEVEQACETFSDPDAMVLPMHGKLSHVEQSLVFKSYPGKRKIIFCTNIAETSLTIKEVKYVVDSGLAKECRFVPSSGLNVLKVNWISQSSANQRAGRAGRTGAGKCYRLYSQSDFGMMELHQEPEIRKVHLGTAVLRILALGVRDVQNFEFVDAPDPESINMAVNNLEQIGAIKHKCNGFELTDTGRHLVKLGIEPRLGKIMLDCFNVGLKKEGLVLAAVMANSSSIFCRVGTDEEKYKADRLKVPFCHTNGDLFTLLAVYKQWEDEHENKNVWCWQNSFNAKTLRRCQETISELENCLKHDLNIIVPSYWQWNPEEPTVHDTSLKRILLSSLRSNLAMFSGHERFGYQVISADQPVQLHPSCSLLIYDSKPEWVVFSEILSVPNQYLVCVTAVDHDAVCTVHPMPFIKQLEKNKLHMKVITGIGDISLRRFCGKSDQNLQKIVSLLKEGCRDDSIAVERDFRNNEVLLFAKEHDMEKVFRVVSDALELEARMLRNECLERSVYPGRLGSSPLALFGSGAEIKHLEVGKRDLTVEVMHQNARDIDDKELISLVDSLVPGIAHFHKIGNETKWGKFTFLKPENTEEAVSKLNGMEFHGSLLNVVPVRSYETRGFPFPAVRAKVSWTRKPSKGVALVKCVSGEAEFIVKDCFALGVGGRYVNCEVSKKYENCVFVTRVPLHVTEPELYDAFRGTTTRRILDIHLLRGPPTASSSTSECEEALRREISLFMPNRNFPGQNFHVEVFPPEEKDSMMRAAITFDGSLHREAARALDHLEGRTLPCCFPWQIIQCQSVFTSTISCPVRVYNVINQAAASLLESFRSQKGVSYNLEKNESGNFRVKLTANATKTIADLRRPLELLMKGKTISHPDLTLSAVQLLLSCDGMALLKSVEKDTGTYIWYDRQSLNIKVFGQPDQVAAAEEKLVHALLQLHEKKPLEIRLCGRNLPPNLMKEVIKQFGADLEGFKKEVSAVELQLNTRRHTLYVRGSKEDKQRVEGMVSELLASMDHNALVQMSSENSCPICFCELEDPFKLESCGHLFCKACLVDQCESAMKSQDGFPLCCLKNGCKKLFLLVDLRLLLSSEQLEELIRSSLNAFVASSAGLYRFCPTPDCTSIYQVATADAEDKPFVCGACSVEICTKCHLEYHPFISCEAYKEYKEDPDATLLEWRKGKENVKNCPSCGYTIEKSEGCNHVECRCGNHICWACLEKFKSSEECYSHLRSVHL >Et_9B_065881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16255380:16258005:-1 gene:Et_9B_065881 transcript:Et_9B_065881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANKKSPPSTAAAGAGAGQAAANGYFSTYFPASPAGNAKDAKPADLMAMLNKQSSRGQNGSGIAGGKSQGRTTYKDGKHESSESPYFGSSVHYGGRDFYNSSPQKQTTEPPRNYKDDDSDGSATRGDWWQAKRAG >Et_7A_052208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5648197:5651334:-1 gene:Et_7A_052208 transcript:Et_7A_052208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGATATKLHLSSAAAGRRPSLLHLAAVAVLCSVSYLVGVWHHGGFSAAPAAGVGSSVSIATAVSCATATPSAVSTSSSAPLDFAAHHTAEGMEGAEAAPRRRRAFEACAAEYSEYTPCEDVKRSLKFPRDRLIYRERHCPTSEAERLRCLVPAPRGYRNPFPWPVSRDVAWFANVPHKELTVEKAVQNWIRVDGDKFRFPGGGTMFPHGAGAYIDDIGKLIPLHDGSIRTALDTGCGVASWGAYLLSRNILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLPYPARSFDMAHCSRCLIPWQLDDGLYLIEVDRVLRPGGYWILSGPPINWKRHYKGWARTKEDLNAEQQAIEAVAKSLCWKKLKEDGDIAIWQKPTNHVHCKVSRKVIKTPPFCSNKNPDAAWYDKMEACISPLPEVRDITEVAGGELKKWPERLTAVPPRIASGSIEGVTEEMFVQDTKLWKKRVGHYKSVISQFGQKGRYRNLLDMNAQFGGFAAALVNDPLWVMNMVPTVGNSTTLGVIYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYKGRCEMEDILLEMDRILRPEGTVIIRDDVDLLVKIKSIADGMRWNSQIVDHEDGPLVREKLLLVVKTYWTLEDEKQ >Et_6B_049423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4696104:4701539:1 gene:Et_6B_049423 transcript:Et_6B_049423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKVAVLLLLIFVVFFSHHVSGACTEEQKTAILGTCEEYIKPGNPLVLPSYLSPCCDKVRLVPGRDMACIVRLLTRAEKNKHSDRKILRLEELCVNPDATPPPRLHRQVRGKEEHLMHPFRTTKQFAIADKLKKRQLIKLSLADYKKCDYKCKLAQQMQEILQYCGHLSRITSYLTTARNNITSLKGKENPTKDNM >Et_7A_052589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9640291:9658066:1 gene:Et_7A_052589 transcript:Et_7A_052589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGESSRGAETPTATASDPKDPRTIARKYQLDLCKRAVEENIIVYLGTGCGKTHIAVLLMYELGHLIRKPSRELCVFLAPTIPLVRQQAMVIMNSTNFKVQRYYGSGKNSRDHQAWEKELDEFEEFYNNVDKPPRVFGMTASPIIGKGGSNKLNYTKCINSLEELLNAKVCSVDNVELESVVASPEIEVYFYGPVSHSNITATYSKELDGFKLQSECMLRESLCDFKDSQKKLKSLWRLHDQLIFCLQEIGLFGALQAARTFLSPSSDNQDRKGVGLNDTRASFVRHYLNKAISLLSCSILDGTDADSFDLDTLEEPFFSKKFSVLIEVLSRYRLEENMKCIVFVKRIIVARVIAHILQNLKCLDFWKCEFLVGCHSGLKNMSRNRMDSIIEKFSSGKVNLLVATSVGEEGLDIQTCCLVVRFDLPETVSSFIQSRGRARMTKSKYVFLLERGNQCQEKLLDDYVAGESFMNKEINLRTSDDLFDCLEENVYRVNDTGASISTACSVSLLHRYCDNLPRDMFFIPSPAFFYIDDVEGIVCRLILPPNAAFRQVNGQPCPSKDEAKRDACLKACIKLHELGALTDFLLPGPGSRKIKASTTNISESNKTDDESLREELHEMLIPAVLKPSRCKLDCSQNLHFYYIEFVPIPADRRYQMFSLFVINPLPKEAEKLDVELHLARARIVRAGIKYMGMIGFNKEEMMLAHNFQEMFLKVLLDRSEFTSPYVMLKSDAALQISSTFYLLLPIKQKFYGDKFMIDWPTVKRCLASPVFQHLMDLSPHDTYLPNESLKLLDGMYRKADVTGSLVFTPHNNLFFFVDDILDETNGKSKFNGGTYEEYFEERFTIKLSHPEQPLLKAKQLFNLRNLLHNRLQECTDTEGREMMEHFVELPPELCCLKITGFSKDMGSSLSLLPSLMIRLENLLVAIELKDVMLSSFPEASQISALGILEALTTERCLERLSLERFEVLGDAFLKYVVGRYNFISYEGLDEGQLTRRRTAVVNNSNLYELSIGRNLQVYIRDQQFEPTQFFALGRPCKVVCTANTEESLHQKNVDPDERENCNLKCSKSHHWLHRKTIADVVESLLGAFIVECGFKAAFAFLHWMGIKVDFEVSALYRVLDASSTNLSLMNYINVAELEELIGYRFKHKGLLLQAFVHPSFNKHSGGCYQRMEFLGDAVLEYLMTSYLYSTYPDLKPGQITDLKSLAVNNNSFACVAVKKSIHKYLIKDSNHLTEAVNRFENYVNLPNSEKDLIEEPACPKVLGDIVESCVGAVLLDSGFNLNYVWKLMLMLLKPVMSFSDMHINPMRELRELCQCNGFELGLPKPMMADGEYHVKVEVNINSKIISCSAVNRNSKDARKLAAQETLSKLKNYGYRHRSKSLEEILRAARKKESELIGYDEEPIKVEADKSLEMKNIQMTEEMDANIFSRNREVCFSGRSETSIQSTGGDNNVDKNDADNGRENQLKAVMQNGCLPRGATDKSNRKEYHGDMVHKTARSFLYELCAANFWKPPKFEICKVEGPSHLRQFTCKVTVQIMGASATVLECYSDPKLQKKAAQEHAAQGALWCLKHLGHIPKDENCLCDTLAVDGNLIEVETSVCAAESNARDHEAWTRHQHWLAAYLAKSGGEGVHEEQVAIHRVHESQDLLVAVLVSRSPFLPHRAVNLYRVFRSRDGSQEPRVFHRRRHPIPLSRYSRRAGT >Et_7A_050269.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:17641645:17642043:1 gene:Et_7A_050269 transcript:Et_7A_050269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTLLVVSLLVAASAAAAAETAATVGGRRDIKDVSSNKEVQSLGRFAVAEHNRRLRHGGGGAANDPVPVRLSFTAVAAAQEQVVAGVAYYLKVIARDRAGGGGDRPFDAVVVVRAWLRSKELVSFTPSPK >Et_2B_020787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23682024:23686543:1 gene:Et_2B_020787 transcript:Et_2B_020787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPATVRDRRRRSRAPVGAAAAAAVDDGEEQHLNPFLDAAPSASSRVQFRNVASRARWVEEAGAAEVVDSKGKLWLTTGVTRGGKLYYNVEEIGFLAERGALVLLDDKDETIGMEYIYEKIAGGNYGCSWDAFQAYKHLKSLGYIVGRYSVPWTMKHSGTCDPVTDPMNIIGTDQGFSAAEGTSNGITNWLKKMHIDGISPSFQVYLPNSKFKKSSPGVPSFLICLLRDKPPARIELESVEDKFEGIPLRYCHVDNGRVSFLSFDQVTLPSLPANATADSLDRDQDRVGRLAAGEDGEHSVDDIREAGAQQQLEVPPHLRSQTHADSRQRESLARSPGRGAGGWDLVEGDERDSP >Et_9A_062832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5615586:5619141:1 gene:Et_9A_062832 transcript:Et_9A_062832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKEGAGGMAAAVRCAGRLGVVASVAANLVALAWFIRRRYFGGAGDKEEITAATVEASKGKPPVTTDSVVNLDHGDPTMYLAFWRGTGDRATIVIPGWQTMSYFSDVGGFCWFLEPQFESEVRRLHRLVGNAVADGYHVLVGTGSTQLFQAALYALSPAPDGAPMSVVSPAPYYSSYPSVTNYLNSGLYRWAGDANTFSGDTCIELVCSPNNPDGGIRKAVVKSGKAVHDLAYYWPQYTPITEAARHDIMLFTVSKCTGHAGTRLGWALVKDREVAQKMIKFVELNTIGVSKDSQLRAAKILRAVSDGYEVSPAGEASRLFHFARRQMLARWIKLRAAVKAAGIFSLPDELPGYCTFFKETVPSCAPFAWLRCEKEDMDDLEGFLREEKIITRGGTRFGADGRLVRISMLDTDEAFNVFIERLASLK >Et_9A_061503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12808569:12811347:1 gene:Et_9A_061503 transcript:Et_9A_061503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNEAPLSPRSQLALSCFKELLDCAVADVASECHRIARLGLDRSVDAEEEELRVWAARAAAASGDRPPGAGGGGAEEGGSKGGVDVFGQTHPAIAADIVECMNCGRPVVAGRFAPHLEKCMGKGRKARTRSSIAGRTRSNTGGTASSYSPYPTTANTNRANTPNGMTHGGGVAGGDHRNGVL >Et_2A_017803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6369271:6371498:-1 gene:Et_2A_017803 transcript:Et_2A_017803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPTSLAFAAALLLVLRPPAPAVAGRPIIAGKPAPSEAAATARWLAAQNTWGVLSTISSDLGGAPFGDGVPGEGHGIPYFYLTTLDPTARDALEDERTSFTLSEFPLGTCGKIDPENPTCAKLTLSGKLKMVDFQSSEADLAKSALFTKHPEMKEWPKNHHFRIFKLEIENIFLIDWFGGPKPISPSEYLEYGRDKDS >Et_1B_010395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10069889:10073696:-1 gene:Et_1B_010395 transcript:Et_1B_010395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPGRGLQRSASKRGLDPAGGGDDDDHAAKRPRVPALASVIVEALKMDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIQGRSSPKRIEGPDGRNLQLQFRSRLALPIFTGGKVEGEQGAAIHVVLLDANTGCVVTSGPESFAKLDVLVLEGDFNKEEDEDWTEEEFENNIVKEREGKRPLLTGDLQVTLKEGVGTIGELTFTDNSSWIRSRKFRLGLKVAPGFCEGIRVREAKTEAFPVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNASGIHTVEDFLQLLVRDQQRLRSILGSGMSNKMWESLVEHAKTCVLSGKHYVYYASDTRNVGAIFNNIYEFTGLIADDQFISAENLTDNQKVYADALVKKAYEDWMHVLEYDGKALLSFKQKKKSVTTRSDTAAASTSDPTSYGSSNSQKQLSLPAKAGQPSTAGTMNEDGTRNAYNGNQAARYTANSQTIPANINMQYDRSLLSSEGQFSGSSLQAQASRASNMLALGPPQQQHQSFEFPALGQSMQPTGMNPFDEWSQPQENRGGVDDYLMEEIRMRSHEILENEEMQQMLRILSMGGASTNLTEDGFTFPSYPSPSPNMSFEDDRTRAPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELDD >Et_1A_008179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:574297:578270:1 gene:Et_1A_008179 transcript:Et_1A_008179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSYEWAFFCARPLLKARPSPFHRPSASSPPHHWRRRLRRRTNTTHHSKRLLAMALRRAASLLLRPRLRSPVPTPTRRTPNPHPPPPRRYFSPRPPPPVPASADAIADAADEARPGPPTTCSRPSPANDKRLALACLKLGQHLDASGSADPSRILNLALRCLRILDASRDASASSDAVSLAMALHLAGSASFDLSRFHDSLSFLSRSLRLLTPLLPGKDAADAAQGFDVRPVAHAVRLQLANVKTALGRREEALDDMRACLDLKEAILPPGSRELGAAAVLDFKQALTLCQKALQLHESTLGNNSVEVAHDRRLLGVIYTGLEQHEQALEQNEMSQKVMKSWGAAGPDLLHAEIDAANIKIALGKFGEAVSALKNVAKQVDKDSEMHALVYISMAKALANLEKAGDTKRCLEIACNILEKKEFSAPDKVAEAYVEVSSLYEMVNEFDKAISLLKRSLAMLERIPQAQHMEGNVAAGIGWLLLLTWKVSEAVPYLEDAVERMKESFGPKHYGVGYVYNNLGAAYMEMDRPQSAAQMFALAKEVMDVSLGPHHSDTIEACQSLANAYSTMGSYTLAMEFQKRVVDSWRNHGPDARDELKEAIRLYNQIEKKALASLSPEDSTTALPEPQELESDSDSAKAARQRISSPPSEPMEEDLRNGRTSLSSISCEFSNCNGSFMLLWLCVNALFLGALFLSASGDLDDYLLRPLELIQWKHAVAGDGCSAEWAVGIALEPSVNAVDMEGMEAAWKHPDPLFLLEFTEAHCTLARGS >Et_7B_055359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9616730:9620237:-1 gene:Et_7B_055359 transcript:Et_7B_055359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMRRHSVDVPLSRTLVQLKRVRSLRDPATNSMSKYASPSDHMIWETASSNGVTLDLGRSAHHQFIEEDEDLGAEPTMGSERSFRAPNARTASYRKTSAVKIRGLNPPRNKQVHRVRQDGHRKSLDSNHFNDSSIRQLANNMVNNIDAEKEEDEEVNSYERPNLALQEKADEEVKMPSYSKFRNKSKSSAAMSRVGSPCLSTSEARSVGSRRTEETRLRSNDVVGSNFSGCGISYCWSGASKYRDLYSDSDGPEQPLLSTDGTEAAFQSNVPYTETPRCLSQKFRPRAFSELIGLNVVAQSLLYSSCKGKVAPMYLFHGPRGTGKTSTARIFAAALNCISVEEQRPCGFCKECVILFSGKSRDVKELDAAKMDRLGRVKALLKSASLVPYSSRFKVFIIDECHLLQEDAWLAIMKSLDEPYRHTVYIMITSDLDSLPRTSITHCQKFHFPKIKVADIVYRLERICIEEGLEFDHDGLYFIAAKSNGSLRDAEIMLDQLSLLGKKITISLVHELVGMVSDDELIELLDLALSSDTTNTVRRARELMGSAIDPLQLVSQLANLIMDILSGRCQSAVTEVSKSFLGRYALSEVGIKKLRNALKILSDAEKQLRTSRNQATWVTVALLQFGINESSLVTETNDLHAHSVTGYTDDWVSKVNSNSHFCHACNSNKSNCSERHCRRLKLENIWKRAIGKCRSRSAKSFLRKEGFLSSVHVTEELAIAEVGFGNPDHLSRAEKMQSLIECSLQHVLGCNVEIRFKLVPGPVTKDAGLKRQSFSFFSCSGRKQELSDSVVTDEDDAVRPGARETPLKGYSPSQQQSPYMIQRLDSKPTVHGYEDDGRSTLTSNRSMTDDLTRTCRSETNYSKGVSEQGRFDSIHEPDLQPNCFSRTLKLQKKLLSSGAAHTICLRIQPHNKMDFLPKKEFDTYFCTYEPYEQCPRSNSRATYSSRDDDLWSKNSRFGSNMLCWRAPKESI >Et_5B_045172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10914439:10915960:-1 gene:Et_5B_045172 transcript:Et_5B_045172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFAADAASSGMALETMSSRASRDADVWFRNSGWAFNISSGGEAATPSRTGRDATTSREGRRDLAMPQQGGRWDAVPQGGKAGATAVQQGGEVGRCAAAVLQRGGWERGRGRRDSVT >Et_2A_015642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16314720:16321628:-1 gene:Et_2A_015642 transcript:Et_2A_015642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTAALLFLRRRGAKPLEQYAASLSTAAEHAALDSGGGEEKKKRWVELPPFAPLDANAAARAISRGDGGEGTCSNATAIRWVRQCCPNLPTSLVQKLFRQRKVKKNFVTADTSAADASTEQQRLRRVSAEDELVPGDILFLPVNPQESSVAEKTKKVDNRNEIDFLRSLEIYKDKDIIVINKPPGMAVQGGVGIKNSIDILAQIFVENSSEVPRLVHRLDRDCSGVLVLGRTQLSTSIMHAIFREKTADALSDGTHQLLQRKYVALVLGVPRHPKGLLSAPFAKLVLQDGKSERLTVRAGPNTTSIQDALTEYRVIESSPQGYTWLELFPRTGRKHQLRVHCAEVLGTPIVGDYKYGRQAHENWKPLPMPPTIDEEMLKKRKLPFGLSMGGGSIAEEQPQLHLHCKQMTLPDISAAVQQLHSPDAERDFSNLEKLSFVAPLPLHMRLSWEILKSVPLFDYHPHHALED >Et_2A_017398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33977752:33984136:-1 gene:Et_2A_017398 transcript:Et_2A_017398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAPAGKYEKSYFDVLGICCTSEVPVIEKLLSPLPGVQKVSVVVPSRTVIVVHDADAISPSQIVKVLNQAKFEASVRAYGGRTGKITNKWPSPYVLVCGAFVIVSLFEHFWHPLKWFALVAAAAGLPPIVLRSIAAARRLTLDINMLMLIAVSGAIGMGDYTEAGSIVFLFSIAEWLNTRASRKATDGMSSLLSMTPQKAVLAETGEVVAAQDVKVNTVIAVKAGEVIPIDGVVVNGRSEVDESTLTGESFPVAKQPESQVWAGTLNIDGYIAVRTTTVADNSAVAKMARLVEEAQNSRSKTQRLIDTCAKYYTPAVVFMALAVAVIPMILKAHDLRRWFRLALVLLVSACPCALVLSTPVATFCALLRAARTGLLIKGGDVLESLAKIKVAAFDKTGTITRGEFCVEEFQATSGRVPLQKILYWVSSIESRSSHPMAPVLVDYAQSKSVEPKSDNVTVFQMFPGEGLYGEIDGEGVYIGNKRILSRASCETVPDMKDMKGVTIGYIACKKELIGVFTLSDSCRTGSAEAIRELRSLGIKSVMLTGDSAAAAAFAQNQLGNALEEVHSELRPADKVRIVDELKAKYGPTLMIGDGMNDAPALAKADVGVSMGVSGSAVAMETSHITLMSNDIRRIPKAIQLARRTHRTIIVNIVFSVITKLAVVGLAIAGHPHIWAAVLADVGTCLLVIMYSMLLLRDKDRRKAKKCCSCKESAGKHGCHDHGHSHNHCKEPNSKPPTEKHGCRDHGHSHSHCREPTNQLLTDNHVCHDHGHSHNYCHEASSPHFVNKSDCHDSEHNDCKEPDTENSVSEGACHAHEHRQCEEHKHSRSSGDHGCHEHEHRHCKEPIVSHSSGDHVCHDHDLEHEHPCHVEHAVDTYHCHDHDHAEIEETEKGCQAELHHRHSHCCHEPHGEGNSAADPVQEHTISIGATTELQDQDSQCGHRIEQQKEEGCGNHLKVKDCVPPPADCITRNCCSATINKGCGSKGEDICSSWQVVCAREANRCCRSYVKCHRTSSCCSHNVLKLPEIVVE >Et_10B_002999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15096064:15099908:-1 gene:Et_10B_002999 transcript:Et_10B_002999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSESSGAARFPQPLLVLKMQSGRNEGFGDALRRLKLDVLGTDDSPLPITGKERGSPAISRHHCRLPSTPILHFPDTIGVRGASAAIRVGLLMGVAGVTSYYTPCQHRKISSYLRLCAESLVPPSNNSYGSRNACPVPGTLINTNNMRGFQNLDRDLLLKAEAKKILHDIISGKVEENPSLLLRFLVISFADLKNWKVYYNVAFPSLIFNSKMTLVSLQSASKVLNEEEATSLHNSLLEWRASNTKTTVVAMDYFSAVPFFLISMSSNSFASVRQLKEWNDCQGNCQKLLFGYYDHGCKGDRVKLDFPGWALRNYIAFLNIRWKIEKVQFFCYRERRGKPDLEHSLIGEASFPPPDGWDDPDYVPEAIGWEGENPGKATKEIKPKEINLESLNPVSQDNEKQLMHLKLMGWRHFPVNIDKLSRVRVLLLGAGTLGCEVARLLMTWGVRKLTVVDSGYVAAPDLVKQSLYIDKDCGLPRATAIIPRLKERCPAVEVEGIKMEISMPGHPVSPSNTASVLDECKHLQTLVASNDAVFLLTDTWESKWLPTLFCADQNKIAITAVLGHDNYLVMRHGAGLGVMDEVIARMDDLNTTKDALERQRLGCCFCNDSASLSVTNGILDQHATSLPGLTSIASGKAVELFARMIHHPDEIYAPGDIAGMDTEHQLGLLPHQLRGSLPKCVLSTELGDSSSNCAACSSA >Et_2A_015433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12675164:12681191:1 gene:Et_2A_015433 transcript:Et_2A_015433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASDNNSDMSLLQRIATSDVPLLKEYGLHGVIVALLVAIVIPVLLSLIFGKKTKTRAVQVDVGGEPGLAMRNSRFSSLVQVPWEGATTLAALFEMASKKYSRQKCLGTRKLINREFVEGADGRKFEKMHLGDYEWDTYAEAFNRACNFASGLIKMGHKLDSRAAIFSDTRAEWIIAAQTQVSTLICDSKQLKKLPAISSQLQSVRNIIYIEDEPVEAEMLNKIKHWTTLSFSEVEELGKTSHIDARLPSSSDTAVIMYTSGSTGLPKGVMITHGNMVATTAAVMTIVPKLGMEDVYLAYLPLAHVFELAAETVMLASGAAIGYGSALTMTDTSNKIKKGTKGDVSVLKPTLMISVPAILDRIRDAVFKKVGEKGGLSKKLFDIAYKRNLAAIEGSWFGSWAPERMLWASIIFKPVRSILGGRIRFVLCGGAPLSSDTQRFMNICLGVPVGQGYGLTETCAGAAFSEWDDTSVGRVGPPLPCCYVKLVSWEEGGYTISDSPMPRGEVVVGGHSITKGYFNNEAKTNEVYKVDERGMRWFYTGDIGQFHPDGCIEIIDRKKDIVKLQHGEYVSLGKVESALTTSSYVESIMVYADPFHNYCVALVVPARQALEKWAQNSGINYKDFGELCQNDQAIKEVQQSLSKAAKAARLEKFEVPAKILLLPVQWTPESGLVTAALKLKREQIKTKYKDDLNKLYH >Et_4B_039700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26988267:26991078:-1 gene:Et_4B_039700 transcript:Et_4B_039700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFKQAAKLLTLDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCTPGELSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLAEHGKGIAGQRFVIQGFGNVGSWAAQLITEAGGKVIAISDVTGAVKNTNGLDIPKLVKHAAENRGIKGFNGGDAIDPNSLLTEECDVLIPAALGGVINRDNANDIKARYIIEAANHPTDPEADEANPVEDILSKKGVLILPDILANSGGVTVSYFEWVQNIQGFMWDEEKVNAELRTYMMRAFKDVKEMCRSHNCDLRMGAFTLGVNRVARATVLRGWEA >Et_1B_009865.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:35284011:35287779:1 gene:Et_1B_009865 transcript:Et_1B_009865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAPVLVLAVVTLSLILSTGVAGAAGDERAALLALKAGFIDPLGALVDWKDGAKASPHCSWAGVRCNAAGRVDSLDLSGKNLSGKVTDDLFRLPSLTVLNLSSNAFDTVLPKSLAPLSDLQVLDVSQNSFEGAFPAGLGSCADLATVNASGNNFVGPLPSDLSNATSLEVIDLRGSFFEGAIPAAYRSLTKLKFLGLSGNNITGKIPSELGELESLESLIIGYNVLEGGIPPELGNLASLQYLDLAVGNLDGPIPPELGRLPALTSLYLYKNNLEGKIPPELGNISTLVFLDLSDNLLSGPIPEEVAQLSHLRLLNLMCNHLDGSVPASIGDMASLEVLELWNNSLTGPLPASLGRSSPLQWVDVSSNSFTGPVPAGICDGKALAKLIMFNNGFAGGIPAGLASCASLVRVRMQSNRLNGTVPLGFGKLPLLQRLELAGNDLSGEIPGDLASSTSLSFIDLSRNHLQYSLPSNLFTIPSLQSFLASDNIISGELPDQFQDCPALGALDLSNNRLAGTIPSSLASCQRLVKLNLRHNRLTGEIPKALAMMPAMAILDLSSNTLTGGIPENFGSSPALETLNLAYNNLTGPVPGNGVLRTINPDELAGNPGLCGGVLPPCFGSRDTGVGARPRGSARLKRVAVGWLVGMLAVAAAFAALVAGRCACRRWYVDVENLGGESGPWPWRLTAFQRLGFTSGDVLACVKEANVVGMGATGVVYKAELPRARTVIAVKKLWRPAPVDAGAANELTAEVLKEVSLLGRLRHRNIVRLLGYVHNDTDAMMLYEFMPNGSLWEALHGPEERRTLLDWVSRYDVAAGVAQGLAYLHHDCHPPVIHRDIKSNNILLDANMEARIADFGLARVLARTNESVSVVAGSYGYIAPGTELQQL >Et_2A_015638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16347713:16350813:1 gene:Et_2A_015638 transcript:Et_2A_015638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTVMKKIENMTSRQVTFSKRRTGLFKKAKELAVLCDAQVGVLVFSSTGRLYDFSSTSMRSLIERYHHAKEGNQFMSASTESKFWQAEAARLTQQLHNLQENNRRLLGQNLSGMDFEDIKSLENQLEMSLHNIRVKKDEFMINEIQELNKKVSL >Et_7B_054831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4741516:4742076:1 gene:Et_7B_054831 transcript:Et_7B_054831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCCGKMMTIIAALVALAVTGFAVDAETERVRVPDSSSTVDLEEYNYRLLATVLGSVEAARSVMHETELGVFSAFLTNNQARRLSKVPGVLEVKRREDPPVRDTDGHL >Et_7A_052896.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2455782:2456726:-1 gene:Et_7A_052896 transcript:Et_7A_052896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIGSRDKLSAAAAASSHRRALVLVFASCFAFATFLTFFYTSSHFDSTSGAGAGAGSSAGGSGPGPGLPLPVFDALVHFASISNATHRMSDTDIRAISAVLRARAPCNLLVFGLGPESPLWLALNHGGRTVFLDENEFYVKYLEPRHPGLEAYDVSYTTKVRDFRDLLRAARASRGKECRPVQNLLFSECRLAINDLPNDLYDVAWDVVLIDGPSGWNPNSPGRMPSIFTTAVLARSGATAAKGRPTDVLVHDFQFELEQVLAKEFLCDENRVAGSGTPSLGHFVVRGDGPTDVFCAGQESASAAEDKARRRK >Et_9B_065438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4993558:4998589:1 gene:Et_9B_065438 transcript:Et_9B_065438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGHHFNSISLGGRGDGVSPAPLPPLARPVLELVPAAVLLSESARRFIRNPGQFKLSSGGLAWKKQGGGKIIEVDKADITSLTWMRIPRSYQLGVGTKEGLYYRFFGFREQDVSNLTSFIQKNMGITPEEKQLSVSGHNWGGVEINGNMLCFNVGSQEAFEVSLADVSQTQMQGKTDVVLEFHVDDTTGANEKDSLMDLSFHVPTTNTQFVGDEHRTSAQMLWQVISAKADSDGSSETAVVTFDGIAILTPRGRFRIELHTSFLRLQGQANDFKIQYSSILRLFVLPKFETEVVVERDLNLSEEVLAEKYKLQSSYKGLIHEIFSSVLKGLSGAKVTRPSSFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEFVEFERHGAGGASMSSQYFDLLVKLNNDQEHLFRNIQRNEYHNLFNFISGKHLKILNLGDGQGTTSGVTAVLRSTDDDSVDPHLERIKNQAGNDESDEEDEDFVADKEDSGSPTDNSDDDGSDASLSGGEKEKSSKKEASSSKPLPVKRIRKAGAVEGSEKRKPKRKKDPNAPKRAIAPFMYFSKAERANIKGSNPELATTEIAKKLGEKWQKMTAEEKQPYVELSQMDKQRYAEESAAYRSAGATGPGNGSE >Et_3A_026998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28999143:29001482:-1 gene:Et_3A_026998 transcript:Et_3A_026998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYHYQNMHDPLGFPILHPQPAYLIHPAAGLSVDSSRVRGGGGHGGGRRRPGAKLSTDPQSVAARERRHRISDRFRVLRSLVPGGSKMDTVSMLEQAIHYVKFLKAQVSLHQAALMQHEEEGCNESSFGAAAAYDSSADEVVPVSTNGGGHGAASDEVMQLLVPAQLEAANYAHQLQVLDPWQMSGAHELPPLPPCVFLEEPAAAACYSALRSIQRNAAPLKHAVQVYAVDHPRRAPAGLGLCENKGFVS >Et_3B_029753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27675357:27676828:1 gene:Et_3B_029753 transcript:Et_3B_029753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGVYLVMAQDNLDEIDVIVALGTIIMDRWKSIGNEERDRGVIGREKGALGSDNGMMEMFFGSFLGESGSSQNLFGHPDVERCPFLRNMNGATTFSFTSALPVAVRSASYGLR >Et_3B_030457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3895864:3899877:-1 gene:Et_3B_030457 transcript:Et_3B_030457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAALALPFFLAAVVLSFAAPALARSSSCPEGWQISHAENRCFMYISSSLSWDRSEALCHNFSGHLAALSSTQELNFAKSLCGASSSGCWVGGRRYNTSTGYGWKWSDDSSVWNQTIFPGEPLHANCSGTVCDLASSNDICTLVTNGRATLTEKRCSESHGVICMINHVDRCYHDHCHKEYFIALVAVSGFILATTLSVVVWLLVYRRSKKRRRSRETSDTSAAALVPPQWKVFTSEELRSITKNFSEGNRLPGNAKTGGTYSGILPDGSKLAIKRLKRSSLQRKKDFYSEIRRVAKLYHPNLVAVKGCCYDHGDRFIVYEFVANGPLDVWLHHIPRGGRSLDWAMRMRVATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGSHLMGFGLSKFVPWEVMHERTVKAATYGYLAPEFIYRNELTTKSDVYSFGVLLLEIISGRRPAQSVESVGWQTIFEWATPLVQSHRYLELLDPLIQDLPDVGVIQKVVDLVYSCTQHVPSVRPRMSHVVHQLQQLELKSAASEQLRSGTSTSATSPMLPLEVGFSGWLALGSSGSSGFLVCVLATRLGACAALVLALLVFALGFFALGTSSLSRILEVFSSSCFTEDGGLARWGLPAAGLGTAGLAKSSLRSSMMMSFSHSSLMLGEGFPFLDWLGVFSFGIRNDDV >Et_7A_051701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23272925:23278724:-1 gene:Et_7A_051701 transcript:Et_7A_051701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ISSRASRQSIDIYSSVHSPNLSFLGTPTLSRLSNSFLTNSFRGGKPPEIISSLIKPLLPTSAAAGDEQHQQQQPEDVRKSSHYLPPSRKGSSLQRIPEDTKPQVGGHGHEVGPYRQCSYTQGVMNGVNVLCGVGILSTPYAIKQGGWLGLVILGVLGILAWYTGILLKRCLDSKEGLETYPDIGHAAFGTAGRIAISIILYMELYSDNLSNLFPNAHLTIGSLTLDSHVLFAILTALVVMPTTWLRDMSYLSLVSAGGVIASIVVVFCLFWVGLVDHVGTVKNEGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKKRNQFPSVLFTCIALSTVLFAGAAIMGYIMFGESTKSQFTLNLPPNLVASKIAVWTTELLPPNQQTYPNIVMLRSALVLSSLVVALSVPFFGLVMSLVGSFLTMFVAYILPCACFLAILRNKVTWYQVVLCVFIIIVGLCCAGVGTYSSLAKIIQKNVCPSPNTRTWQGRSKNGGAAAPAFSSPPPQSQTSP >Et_5A_041417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22363357:22369129:1 gene:Et_5A_041417 transcript:Et_5A_041417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFLILIQNDGNGPEGNIYFSFILQLSESTDIVGIAIKCLHLLAPHFKCTVKNIRKELTRLYTQNFSWAPSVYSCQKEHWYNLCSLECQMFRPDPLCCKQHDQYQLRHISNPDIVALSDYQMSPLNQAGISFAPHGSLKGILPANRSSAIVAVAGEQQYCLHTEITMGQLEEIMLPMAIDYYRQNADATEYQMIWKSEHGHALIRFERASINAWRTFEGARKRKLLYEQNQGLRSLTHILSHLLELWGTHMPVKLRSFFLDWMQKEKEKEVFSEQGRDATDALIVMAEKVSSAIVQETVNQVLSGLVQKYEEKYESDTNRNLERLEMAHIKLEAALETSYKWHITDASLMRWRKKLKHAARECDDMMHKCKQTIIEEEQIEQEVRNSPLANRISHAAKSFFLSVFNGKNNKLSSSTVQRFEWFADGASEFLRFIELGGTPRRHISFHSLIKNLFEGNELHHKILRRNGFPLFHLWLVPNPVEHGMEVTLVLIQKDSNGPVITTYFSIVLQVSESTDIIGIAIKCLHMFAPHFKCAIDDIRQELTELHTQNSSWVPSFYSGQKDYCYLNSLESQCFRPNPLCCKQDDLHELRRVSNSDIVRLSDVSMDPVIEVSFQTFLSEDIISLQDAAYLKAGILFVPHCSLKGILPANRSSAIVALVGEEKNFTHKETTFEQMKEIMLPKAVDYFRHNANATVYQMIWKSEHGSAVIHFEKSNMNTTTICMGAQRTFGGARKRKLWQVREDEHRSRTPMTMTPHVLHLWGAHIPAKLQSFFKDWKQKEKEAQFAEQQLRKPEIPFVWMIRGTGEE >Et_4A_034872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7415515:7427097:1 gene:Et_4A_034872 transcript:Et_4A_034872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSADPEAPTPTPSPSPVKPSPTSTESKRSRRCVQSKLSWGLVKPAAGVGGGGSGGGDEAEAGPSAPAAEVTVEKEGVEEPEKGKRRGRARKSEPRRKLMTGANSVHNGLSCKDAIHHIQIFHLEGTERHQNRLLMAKPSSNKETTDMDPASKDEAILVDESPKKRQRKGRKQDASLKVPNRKRCKALESPDGTGSCQQLHTVLPEATPVLIDIDLMSTPSKVGHTNDNVDVLDSQDKSQLVVDLRSEAKMAAEENRKLSSGKKLHPFFSSRKMSKCANQEVNNIEDMHTLCDFEREPPFYPIHVVYQVEDKMPIRWSNWKVTDGSSLGTIASIPLQNSVSFFEGLVKPLTIESNCKRVHYSQIAETVIDECTPLGMDLPSFSDNQSRHNCLSEVVIFLVHLVISAICQPAYYLWTDKYRPETAAQVCGNSEHVKFLAEWLKGWDEKGHKIGAANGDTNDSLYQDESDAEYSDDASDCENVLLITGPVGCGKSAAVFACAKEQGFNVIEVNTSDMRTGAYVRQKFEEASKSHGLEKWSQEDTINTPREDSMDPAPGTPDSTEYQHLIPCSTTIVSVEAPKQVVNKTLILFEDVDTVFDEDRGFISTILKMAETTKWPIILTSNRKDPSLPNLLDQLVLDFKYPSNSDLLSHVDMICKSEGVDITISQLKRVVDVCLGDIRRTMMLLQFWYQGKQQLTERPIGSVSGPFSLDLDAVHSTVPKMLPWEFPCKLSETVCMELEKTILLAEERKKQMEVSELEGLQLQVTTPLLKGRSTAKTRKAKKSKLKRGRLAECNDLSPCKNDLDDFHALPDIPLPSDQQRKRIRHCSLLLSDSDDDPADAHDGKETIFTVTEVGVSCVPESSFIVGATSASMSGDELLSGAVSNDFSTFYSSGTYTTSRMALEDTDNANNLMTEQPKDVEDVVAETSEAYMESSYRNELTSCSTAGYQLMDECSQAGSIWLFSGKKANDPCKVEQVQDTWDRLRNCCLELPRETNHNRGACGALKLASGVSDLISESDLLLSRCYPLTNDMLDPYSIHYAEPDDFSWYNNQVEMGSVYAQHALCIFSRKSHDIDDSSVDLSRELLLASTTAISLGKIISSGLRNNDGSANSSHMINPTSILKRRERQVHLCETLSSLVPSKLSQSLRGPAFVDYLSSISQISRLENLRLAESKTINKQRRSRQSRHYLSSGALSLSPDDVVLLGERLFQ >Et_8B_060088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5895826:5899966:1 gene:Et_8B_060088 transcript:Et_8B_060088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELKRRLRPLFFDGDGNGTGTVNLRSMSSVEYDISELGFQRRRTGKDESNSCDKAYRCSSHEMHIFGPFGSGSSSVVQRAIYIPTHRIMALKKINIFEKEKREQILNELRTLSEACCYPGIVEFHGAFYMPESGSIYFALEYMDGGSLADIIRIKKFIPEPVLAHMLEKVLPALRYLHEVKHVVHRDIKPSNLLLNLKGDTKVTDFGVTAGLNDSICPRTSFVGTVAYMSPERIRNKWYSYSADIWSLGLTVLECATGRFPYDLNGCLSNLMLQILDDPSPTPPKDGYSPELCSFISACLQKDAKARPTCAQLLSHPFIKRYKGTDADLSAYVKSIYDPTEILWQIAHMIVVHYYLTFDGSDNIWGYMKTFYREESVFSFLGESYTGQSDIFGTLSRIKKILKGNHPRGKIVHVMEKVHCCAHGEEGVAISVSGSFIVGNDACRFREEFFMEPGNAIGCFVILKQKLCIVEK >Et_3B_027584.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:29452842:29453174:-1 gene:Et_3B_027584 transcript:Et_3B_027584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLEVEKCLMLSEFEVGEHWSGVAEGRTNAEKLEAPVPSKAPGRIRLHDHQDGGSEIETGKDRMWLTQCPHKLKEGEANLKHEVLKTKAKEAQEMGTASFAAEEKIWLW >Et_2A_014634.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:30397993:30398539:1 gene:Et_2A_014634 transcript:Et_2A_014634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGYCEEGTHRMLVLEFMPGGSLRGALFSNKPGAPPQPPWRWRAEAALGVARAVEYLHDGCAAPIIHCDIKPDNILLDGRGVPRITDFGISKLLGSQQVHATVTNVRGTRGYIAPEWLRGEARVDTKADVYSFGVVLLEMICCRRCQEPVPDHRGVEDETVTLFGWAGQLVGAR >Et_5A_040212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22814127:22814620:-1 gene:Et_5A_040212 transcript:Et_5A_040212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAASRAHHRGVPGGLQHEKNQGEPALVAGDGVPDDEFRRADVVQEDAAVAAVDHEYGMMEGLLAANNGRAEGRARVAEDAEKRRRPAVESAVAAGEGGVGEDAPPRPADGGGAGEARGVVGREAEEDLVYDVVH >Et_1B_011540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23470131:23477966:1 gene:Et_1B_011540 transcript:Et_1B_011540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKTMENVIVLVNRIQRACTVLGDHGGGDSASSLPTLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEEGVQEYAEFLHMPKRRFTDFALVRKEIQDETDRMTGKTKQISPVPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQPESIVEDIENMVRSYVDKPNCLILAISPANQDIATSDAIKLARDVDPTGDRTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQADINRNVDMIIARQKEQEFFASSPEYSHLASRMGSEYLAKLLSQQLEAAIRARIPSITSLINKTIEELESEMDHLGRPIASDAGAQLYLILELCRAFDKIFKEHLDGGRPGGDRIYGVFDNQLPAALRKLPFDRHLSIENVKRVVSQADGYQPHLIAPEQGYRRLIESALNYFRGPAEASVDAVHSRLKELVRTSIGETQELKRFPTLQAELAAACYEALERFREDGRKTTVRLVDMEAAYLTVEFFRKLPQEVDKPGPGNPGTGNPSTPPVDRYTDAHFRRIATNVSAYIGMVSETLKNTIPKAVVHCQVREAKRSLLNYFYTQVGRKDAKQLAVLLDEDPALMERRQQCFKRLELYKSARDEIDAGRTGLVEQNAKMHDVFCPQAPRSRVFS >Et_1B_013192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6240007:6242578:-1 gene:Et_1B_013192 transcript:Et_1B_013192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGSGRAATRRYNRSKVPRLRWTSELHHSFVRAVDCLGGPDKATPKLILQLMDVRGLTIAHVKSHLQMYRSSGHEHGNVKKEMQPRLVHLKHSFTVDEGSPKEFLCPPMKRAKVGAEAAATHGGMLQGNSDMEDPGPQHCSDDCMQAMSMDRRRMNQGLGWQRDAAASTLQALGFWVRGSEPFKVHQISRPIANHLSPMVRQQSPKEINFENRRFLFSSAIRDVPAAAERSSPSQSRDPKAVEAASSWPSESSSVLSQPPSSTSFSGCSGPSGNCFAGQRVNLDLSLSIC >Et_4A_035920.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8583671:8583883:1 gene:Et_4A_035920 transcript:Et_4A_035920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAETTLALPDDALAAILGRLPPRSLAACRCVCAAWRAVVDGRGLLLPHVLPHAVRGIFVNYIGSDPLS >Et_8B_058938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11168704:11170581:-1 gene:Et_8B_058938 transcript:Et_8B_058938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTRRVMARAVLEVPILLLMLHLGAPTIAGTEEEAAALLVFKRASVASDPRGALDTWVNATNGSPCAWAGVSCIDGRVRVLDLSGMSLAGRLTLQGLVELPQLRSLNLSSNGFYGNLSDHTLWLSPCLLRDVDLSSNTLNGTLPRAFLPSCGRLRFLNMSNNSLIGGGFPFPQSLRTLDMSNNQLSDAGLLNYSLTGCHGVQYLNLSANRFTGRLPDIPPCSKVAVLDLSWNLLLGLLPPNLDAAAPKQGLPPSLANCRHLKMLDMSGNELLSGMIPEFIGEFPSLRRLKLAGNKFFGEIPDRLSLLCDKMIELDLSSNQLIGSLPESFLKCRSLEVLDLGRNQLSGEFVVTVISNMSSLRFLRMPFNNITGENPLPALACSRKLPLGPMSFMER >Et_2B_021360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2905479:2907986:-1 gene:Et_2B_021360 transcript:Et_2B_021360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYALVARGNVVLAEHSAAATNAGAVARQVLERLPDGGADSHVSYTQDRYVFHAKRTDGITALCMADDAAGRRIPFAFLEDIHGRFVKTYGRAALTALAYAMNDEFSRVLTQQMDYYSNDPNADRINRMRGEISQVRSVMIDNIDKVLERGDRLELLVDKTANMQGNTVRFKRQARRFRNTVWWRNVKLTVALILLLLVIIYVVLVFVCHGFTLPTCIR >Et_2A_017560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3619923:3622893:-1 gene:Et_2A_017560 transcript:Et_2A_017560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETAADHTRSPQWRRTLAVQAALCLALYAAFSLGERQLIPRGGGVDALGRGARSGGVAFLSVAGGSRTAVDQARLLRQMEAIAKVYEVKFVLDVAQLGEDDPLWQNGSMYFQDQNIPWYSTTSSHGQTVGNFLKKLNMPYDLVLDIIGLDTGSLQEALHDGQISTSYREQTKWLERSVAQTHGNWKIVVGYDPLVVCNKEETPERAKFYLPFQRIFAKYEVNAYISMAGVCGYFHRDNSILFIGNPSLGGDQTNADGFFLHRISPLEMESLFINVEGKVVQRSVIDQHGTGAISQITLKGYTSLNQTLELRKKESMVYFLDAS >Et_7A_053047.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:5680951:5682606:1 gene:Et_7A_053047 transcript:Et_7A_053047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWASGASGYDVESALVAPLLVKAGEVVVLVAGNDEVPVLTSKPSTGRLGKAVKEAWSVSLGVAFPSISRRAAREEARSILGLALPMILTGLLLYLRSMISMLFLGRLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGQYSLLGITMQRTVLMLIVAAVPIGGLWVHMRPLLLLCGQDAGIAAVAETYILASLPDLLLQAFLHPVRIYLRTQSINLPLTVCAALAIAIHLPINYALVTVLGLGVRGVALASVLANLNLLLFLLAYIFFRGVHRRTGGFFTLTADSFRGWGELVGLALPSCVSVCLEWWWYEIMILLCGLLLNPQATVASMGILIQTTSLIYIFPSSLGFGVSTRVSNELGAGRPEEASRAATVGLALGFAFGGAASAFAFLVRNVWASMFTADPAIIALTASVLPILGLCELGNCPQTTGCGVLRGSARPKDAARINLRSFYGIGTPVALFLAFFFHYDFKGLWFGLLAAQATCMVGMLMVVGQTDWDGEAKRSSRLTGAGAGDSDDKAKSCLVIDTDIEQSKDHSDRC >Et_2B_019874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14877315:14878803:1 gene:Et_2B_019874 transcript:Et_2B_019874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELLPLYAACLVLTVCSYHLLGLVADRRPPPHAAAAGGQPPLPGRPPAPLPGPPRGSPRPRDDGPAGHGDHGGGVLRRTPPAISCSATTPPSRSARFLFDGTHAFAHYAHSMVWLPASSPRWRALRKVCSSELFAPHRLAFTTALNLLSSTFFSADLAGLGDDDGRGDEQQQFKAVLAELNVSVGLPNLSDFYPEIAWLDPQGLRRRIEGLFRRLHAMVNDQIERRRRAAQIEELRRRAARLPRRRGRTGLRATDAPLVDLFSAGTDTTSATVEWAMAELLLNPLCVARARDELSRVLGSKPEVEESDLAQLKYLQAIVKETFRLHPPAPFLLPHLADATTQVRGYTVTKGARILVNVWAIGRDAEAWPEPDKFTPERFLAQKEVDFRGRVFELLPFGSGRRMCPGMPLAIRMVHLMLASLLHRFHWSLPAHVRKNGLDMDERLGLNLSMATPLQAIATPV >Et_9B_064861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19359382:19361369:1 gene:Et_9B_064861 transcript:Et_9B_064861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARTDRHFSVLALPNQGTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFTTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNIHFVEAVALKPPEVTIDMAMQQQHEAELAAAAAQPLPDDDDDLIE >Et_9A_062685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3127582:3141374:1 gene:Et_9A_062685 transcript:Et_9A_062685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFRNRPDDTSGSAEAGKKERAKLRELQKLKKKKIHEILDAQNTQIDADMEDDDEEYLKEEDALAGVGGTPIKGKMREYQLAGLNWLIQLYENGINGILADEMGLGKTLQTISLLGYLHEFRGISGPHMVVAPKSIVGNWMNEMQRFCPILRAVRFLGKPDERDHVRENLLVPGNFDVCVTSFEMATKEKSALSRFSWRYIIIDEAHRLKNENSRLSKTMRLYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISDQENDQQEVVQQLHKVLRPFLLWRLKSDVEKGLPPKKETILKVGMSEMQTQYYRALLHKDLDIINGGGDRKCLLNTAMQPRKCCNHPYLFAGAEAGPPYTSGEHLIENAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLEILEDYLIYRGYQYCRIDGNTSGEDRDASIDAFNRPGSEKFIFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYAIEEKVTERAYKKLALDALVIQQGRLVEQKAVKKDELLEMVRFGAEMVFTSKDSTITDEDIDCIMARGEEATAKLDAKMQKFTEDAFKFKMDENFKKLVLDNRIEPPRRERKNYSEDDYFKHALGEDEPLIHREPRLRMPTIHDFQFLNTQRLNELYEKEAKYHAQVDYCTNAGLVPHLAQLEPLTSAEQEEKEQLLKEGFPSWTKSDFNVFLRSCEKYGRDDIKNIASDMEEKMEQEVQRYAEVFKRRFKELNDYERIMKSIEKGEARIARKNEITRAISKKISGYENPWSELKIQYQNKDKQYTEQCDRFMLCTVHKVGYGKWDELKAAVRMSPLLRLDWFVRSRTALELSKRCDALIRLIEKENQVIEERDRRVSKGENSLTPSKRSSSRDPTLEDLTLGSIKRIVALKCSVLGNQQQNGNRRACLRVRILGRTAAATAREREDEITGRRNFRGISGPHMVVIPKSTIGNWMSEMQRFCPVMCAVGFFLKTQMKRPKFSWSFCVKRTPFSAGVTLLLMKLARSCTGPSRRQREAVGGARGSG >Et_5A_041292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20716106:20722528:-1 gene:Et_5A_041292 transcript:Et_5A_041292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDKQSGSPLLETLRIGRVRTILTHTYPYPHEHSRHIMTAVIIACLFFISSDNMHTLIHKLDNNIKWWSMYVCLIGFFYFFSSPFLGRTIQPSYSNFNRWYVAWICFASLYHLPSFQSMGVDMRMNLSLFLTIYFSSVLFIIAFHIIFIGLWYIGLVARMAGTRPGIWTIVQNCTVISIACCVFYSHCGNRAVHKSKSFGSSSDPNWIAFLKNENGSTWISNFLRMNQLKDEICSSWFAPVGSASDYPLLAKWVIYGELVCSGSCAGPSDEISPLYSLWATFVGLYIANFVVERSTGWALTHPSTDSEDEKLKRDMKPDFLDMVPWYSGTSADLFKTAFDLMVSVTLFVGRFDMRMMQAAMKEPRNDTPNDGLLYDNFREKEDFWFDFVADTGDGGNSSYTVARLLAQPSIQTVIGGSMHTLPRGNLLLIGGDLAYPNPSTFTYEKRLFRPFEYALQPPPWYRAEHIALDKPEVPPGVSRMNEYDGPQCFIIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKKSYFALQLPLGWWIFGLDLALHGDVDVYQFKFFADLCRNKVGENDSVIIVTHEPNWLLDWYWKETTGKNVSYLIEEYLNGRCKLRMAGDLHHFMRHSATRSEKPNFVQHLLVNGCGGAFLHPTHVFKNFERFSGTTYECKAAYPSYDESSGIALGNILKFRKKNWQFDIIGGFIYFILVFSMFPQCNLVHILNEETWSGRLKSFSSTIWSALIYIFEHSYVSSVGSLTLLMASYSFVPSKLSWRKRAVIGGLHVLAHLTSALLLMLLLELGIEVCIRNHLLATSGYHTLYEWYRSMESEHFPDPTGLRVRLEQWTLGLYPACIKYLMSAFDVPEVMAVTRINICKNGMMSLSRSVLFMYYTSVFIYFWIFSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTRFHIKKDGDLEIFTLAVDKVPKGWKLDPKWESEVRGPHQLSHHRRHPSKWRSASSPDPVRSVRVVDHFTIERTRNPVTEPSF >Et_1B_013970.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2640758:2641486:1 gene:Et_1B_013970 transcript:Et_1B_013970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNAQLMRQEAAIVDGAGNNAAATQHQQQGGKRQYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDAALLCLKGSAADLNFPVHLPFHIPAAAMSPKSIQRVAAAAAANACSPLKDGGGGGDSYPGAAAGAINGAAPPYSYGTGDTSPAASSPETAYTADRYNCETARYDDVMMGDVDYGALADVDAFFQSPKCMEFGMMDPCNAFFAPAPMAAEWEEEAGEIDLWSFSSLN >Et_3B_028547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16715250:16722176:1 gene:Et_3B_028547 transcript:Et_3B_028547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPPPTDAPDGGPAPGTPRGRGLRRGVGFRSLKLVSVAMDEPLPADPVGAAYGRLANGLTYYVRSNPKPRMRAALSLAVKVGSVVEEEDERGVAHIVEHLAFSATSRYTNHDIVKFLESIGAEFGACQNALTSSDETIYELLVPVDKPGLLSQAISVLAEFSSEVRVSAEDLEKERGAVLEEYRGGRNAAGRMQDSHWALLFQGSKYAERLPIGTEKVIRTVPHETVKHFYQKWYHLSNMAVFAVGDFPDMQAVVELIKEHFGPKAPSPLPPPVIPEFPVPSHEEPRFSCFVESEAAGSAVVISCKMPAGAIKSVKDYRDSLAESMFHCALNQRLFKISRRNDPPYFSCSSAADALVARIRLHGFSDREISIVRALMMSEMESAYLERDQMQSTSLRDEFLQHFLREEPVVGIEYEAQLQKTLLPHISSDEVAKFAENFSTSSSCVIKIVEPRAHASLEDLKAVVLKVNSLEEEKSIPPWDEEQIPEEIVAQTPEPGTIVDKVEHPSIGATEMVLSNGLRVCYKYTDFLDDQVIFTGFAYGGLSELSEDEYTSCSMGSTIAGEIGTFGYRPSVLMDMLAGKRAEVGTKVGAYMRTFSGDCSPSDLETALQLVYQLFTTNVEPREEEVKIVMQMAEEAIYAQERDPYTAFANRVREINYGNSYFFKPIRISDLKKVDPIRACEYFNRCFKDPSAFTVVIVGKIDPAISLPLILQYLGGIPRVPDAVQPLTRDDLRGLPFKFPATIIREVVRSPMVEAQCFVQLAFPVVLKSTMMTEDIHYVGFLSKLLETRIMQVLRFKYGQVYSVNVAVFLGGNKPSRTGDVRGDISVNFSCDPDISSKLVDLVLEEISYLQAEGPSEEDVSTILEIEQRAHENGLQENYFWLDRILRSYQSRLFSGDIGSTFEFQEEGRKNVREALTPLTMQSALQRVLPFPCRKQYTVVTLMPKSSRWASMKSLLSWSSSSVSRDAKILAGVAGALVLAVSLWRYSRSSLRS >Et_1B_014034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28026922:28030502:1 gene:Et_1B_014034 transcript:Et_1B_014034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDKFDASQYAFFGNNVVEEVELGGLDDDDGGGDAFTRTGDEGYPPYGGDNLLEDEGLGSFTDVDDLAGTFNKLTRIVNEPKQPGIVSHRGSVSRQSSNADWPQESESSYWPTQPVLDTEQVLDKKNWWSQPSQSANFIDSRLYRTSSSPHQDAQYNPSEPTLGGKQSLLQRTSSYPQQEPQYSNTEPIPVPNKSFISYPPSGAASHSSPGQPHQMSMPSPPTAFQMPISAQNDLPLPQFPHGSTPPGPRFGRDLAHMGSMGLATQNVSQNHVLNSGPMRGNGPRFMPAPNAPSKWTDATSNATTSPTGDATNSTVSTTFLTVTCTDAWSPALPATKHANVWPSASSITSDE >Et_9A_061655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1500003:1501406:1 gene:Et_9A_061655 transcript:Et_9A_061655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSPQRIALIVSFFGLLAFVLGVIAENKKPAYGTPIQGKDVVICKFPNDPTIAMGSLSIVALVLAAIIGHVAIFHPYSGKSVPRRALFQSTSLSVFFVVAELVSALAFAMLLWATVTESLHRSNSIHHNMDYKCPTAKTGLFGGAAFLALDASLFWLVCQMLALNARADYLDEDDNKGEYGQVYTSEADGSKV >Et_3B_031428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29191039:29191614:1 gene:Et_3B_031428 transcript:Et_3B_031428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPEDDSNTNGSVFEASMEEGTEDDKALCGMPISLVAEFFATFFLIFAGCGVVVVNQKNGMATFPGVALVWGMVVMSLVYAVGHVSGAHINPTVTLGFAVSGRFPWRKASIVTKRATATVKLS >Et_1B_009742.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:22715240:22715557:-1 gene:Et_1B_009742 transcript:Et_1B_009742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNYHRMSKTDDEFVFFILPTLEGSSSQVTQRQPIHTSERNGATFVHEALTGHEAVCRIRFHVEKEIFQALAQRLRESGLADSRYVSVEEQLGIPTVFVVPNMI >Et_9A_062872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6063609:6067183:1 gene:Et_9A_062872 transcript:Et_9A_062872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVRKAEEEAIVMVVKPQETWALETAALPLSMVLVQVFTTGMLLLSKLALNTGMRPFVLIVYRNLIAAAAVAPLALIFEREMLEKLNLVVWGWLSVNATFGVVLAMGLYYYGLRATSPAYSVNFLNLIPIVTFMIAIVLRAEKLALRKWPGRMKLLGTGMCVGGMMIVTLLKGRLLHLWPAHLLKYSRAQPAPTSPAGAHHDMVSGTMFLCGSCLSYALWFNVQVRLAKVFPSKYWATTLTCLLGSLQSFVVSIFLVHERSEWLLKWDLQLLTIVYSGVFNTGVTFVLISWAISKRGPIYTPMFNSLSLIMTTVMDSLLLGTNIYAGSVLGTLLIILGLYAFLWGKGKELQQAVAAAIAQKQACHQHELQQRWQGGDDMA >Et_5A_041219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19682936:19683222:1 gene:Et_5A_041219 transcript:Et_5A_041219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFWEDHWLGLLPVTAVDSFCLMQLKQYLSDYGYQMPRLTSAARQDLANLLIALNEVRLHESAPNECRVTVQVLPISSASVYTRLFP >Et_4B_039960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6833677:6835076:-1 gene:Et_4B_039960 transcript:Et_4B_039960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHGDRRAESSLVEAFTLSPVPYPVILILLMVTLLLGVSWFFTYEDFIEEATEQLSWLLLVVPIALVLLIRWISSVDSFEGYFGFYPSERRWRGNRYDAGPSEGSSPWGVAMVVVLLLVLASFHSTFQDIYLCYFGFCHGIDWNHLLSSSRNGQHLDEQVEEDTESLKDAAAQLLMDCNVGSLNPYPVVRCILQD >Et_4A_033959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28376411:28382637:-1 gene:Et_4A_033959 transcript:Et_4A_033959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETAAPEPGPEASAGPAEPPEPSNSIQAGPGDEQEDASAAGERCRAMMEVVATDGAGGKGKWKVSKLVVEHNHELQVAPGEVAATVPALGMEFDSVEDAKGFYCGYGERVGFKARTGSNRRSVGGGEKIMQRFLCWRGNYTNRGSKGKDSDEGKELVEVDEGVAATAGKRKREPYKTRSRKPVTKNSEVIEVENGPGMADAGNGKGSRRGRSKKGLLEKDGEPVGMDMAHDGTADDEEEDEGEGGEDGVDEEVQVVVKEKRGRGRPRKNVTDDDALQARVLRELGLRASQYDSNERKKILSKYLSKRQSRPASGRPTKIASRQALAERRKRGDGGRFLASEGHQPSQQPSERRSKRLERQNLKKEEKDNEWLSKLYGDRERWALPYGRNVFCADIAATLRSDNTGTILSDLVRTEIDLRSFFDSYHKLLVEKRLAEQQADYLGVQMTQRVAPLMLLWQAANSYTPTIFEMFRVEYEQILNCMVYCCGEIGPISEHNTLCRNLYKIAAKASESIEAHTFLENQYEQLLEQVEVLLQAKLHDKSSLSTILKGHQQNLLQNEVSNSEPRRATSKKNKNVEVRRQQQSSLDANKKKKGRQGLPEPEEMEIPLRVDPPTVSNDIPTHLRNPTNQFLAPSHIMQAPYVTQQFGLGSLQGFPGMSPFGQIQEPTPGPLQQPPHLQPPPFHSGPQIPQASPPDIQSLQFLSSNPQLGHQTTDQGQYTIPVWDFL >Et_7A_050348.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:8255885:8256178:-1 gene:Et_7A_050348 transcript:Et_7A_050348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSYLLSGYTKRDLRSNEATMKYLLLGGASSSILVHGFSWLYGSSEGEIELQKIVNGLINTQMYNSSGISIALIFITVGVGFKPSPAPVGVVKPADK >Et_10B_003717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5177436:5183653:-1 gene:Et_10B_003717 transcript:Et_10B_003717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGEELLKKIRELEVGQAQLKQEMSKLMPVGERRRSQSVSPRRGVPVPPPLPAKAKPPSRRLSGGFEGGPPSRCLSDSAPGCCNRWGSPSTFLTSTAGSYTAENLFGYPASEALGQDALMLLIDSHDLNVVNDIFQRISMGESWTGKFPVKNRAGDRFSAVSTNTPFYDEDGSLVGIICVSSDSRPLEEMFCRPPTSARPQLESSRTYCEGSCNNNSRKSSLLSRSSFDSQQPLQNTLASKITNLATKVTNKVRSRVRTDENGIEREGGSGESQCSDRGVKEEPTSSGATTPRGDAPRGTFSMEEKSPGKSTNANSDESEGKVGLHKILSSKAEALLNKKGISWPWKGREDEGSDEKSHVFLPWLHGEQENGLNHQKTSDSSMAPDAHGAEQNQPSKPEASGSWSSFNNNSTSSASSTGSTNSSALYKVDHEADCLDYEIMWEDLVIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEDVIQTFRQEVSLMKKLRHPNIILFMGAVTSPQRLCIVTEFLPRGSLFRLLQRSNTKLDVRRRIHMALDIARGMNYLHHCSPPIIHRDLKSSNLLVDKNWTVKVADFGLSRLKRETFLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWENLNSMQVIGAVGFMNQRLDIPSDVDPQWTSIILSCWENDPQRRPSFQELMERLRELQRHYAVQLRNAKNSIEE >Et_4A_032152.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21201405:21201611:-1 gene:Et_4A_032152 transcript:Et_4A_032152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARGREENAEAKSKQPNRCDAIYLVEFVGRKKYKNFSRQGKGKPACCNVGKGGNRLLPSSLEIPIGG >Et_4A_034094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:320637:321556:1 gene:Et_4A_034094 transcript:Et_4A_034094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCGWRHRSRLPANARVIRFGKICHNDLLGQKICRLCAWLPHIGIVAESYVFSSKIENCKDKLCSSHFMPLIGGIPTAMPVFLYLALALGSLGFHFLGIASRGTVAPEDKASMDVMLFNIEPIDAVMLSLLDDPEQDKHIDFAGKIRYSGLRAPCPFFVALKLMFLATYINANGSKCCVFKGDPVKASHCCNVGQCHFEDRSLTCTDE >Et_7B_055716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2613963:2614326:-1 gene:Et_7B_055716 transcript:Et_7B_055716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFASQLKDMFFAVVGRVTGYDHHGAVAQEPSKLPSADALQTEEVVAFKHTEIRARSANIFEEKRATNVDPFVPDGSRAQVN >Et_5A_040408.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20042969:20043226:1 gene:Et_5A_040408 transcript:Et_5A_040408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AKRNVITASVLRSLWLLRNYHVFNKQVWRDIRSVLKISLKLEKTWLPIFKESNLLTMERWCIFLEQQVQAPMMIQLQENTSSAVS >Et_8A_057918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9360745:9363746:-1 gene:Et_8A_057918 transcript:Et_8A_057918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNFAFHPAGFKLAAGTRAVHRAWPCSKGTLYVRAPGTVLMGCQFNQDGNKRKRYNEYLAGRLSSSFSLLYQELHLSPLHVYIWYNGNFSRDNSMTIVLRVARLVNMASSAFLKLLIGDNAGMRLEFLKEMPKAGIKIRLDLTSRIGGTKEDLIILH >Et_3B_029559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2603963:2606663:-1 gene:Et_3B_029559 transcript:Et_3B_029559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARGDDEAAPLYKDASAPVEARVRDLLRRMTLREKAAQMAQIERTVASPRALTELGAGSVLNGGGSAPLERASTGPAWSTACSAWPSPPAWACPSSSAPTPSTATATSTAPPSSPTTDTALVRKIGEATALEVRATGIHWAFAPCVAVCRNPRWGRCYESYSEDPEIVRSLTTLVTGRPAGAAAGRPPPWLSVPRFGKNILACAKHFVGDGGTENGVNEGNTICSYEDLEGIHMLPYADCIAQGVATVMASYSKWNGVPLHASRYLLTDVLKGKLGFKGFVVSDWEAVDRLCEPQGSDYRNCLAQSINAGVDMIMIPFSFDKYLEDFVSSVEAGEIPMSRIDDAVERILRVKFISGVFEHPFSDPSLLDLVGCKEHRLLAREAVRKTLVLLKNGKTETEPFLPLVKNVKRILVAGIHADNIGYQCGGWTIEWSGGSGKITLEVIVIQH >Et_10A_000629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1466716:1472847:-1 gene:Et_10A_000629 transcript:Et_10A_000629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQIAPADHVCYVHCNFCNTVLAVSVPTNSVLTIVTVRCGHCTNLLSVNLRGLMTQSLPEQDPLQENIRPHGINGTSRDQFGHFEFGSSSSKFRLPIMYSAQNDHLQEQALAARPPEKRQRVPSAYNRFIKEEIRRIKANNPDISHREAFSTAAKNWAHYPNIQFGLSPGREGGKKLVDETVAAVTSAPTKIQGDQAVVDIPAREGTCMAKDGATHSGLPVLF >Et_2A_018561.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32933878:32934687:-1 gene:Et_2A_018561 transcript:Et_2A_018561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEAIPALWSAVHGFFTPAVLFILLNVVIGTIAVTSKASAPSSTAGEGEEAAAAAAGAGGRAEQPQQRRLSRVPSMAFERLRSFNLSRFAAPAPEPSADGVVDLGYEQPPAAPAVEKEEIEAAVEPEREPEHAQPHVERSRSDAAVEPEAPRLPARLHKSASEKSAFSHFEAEEVVEAVQAVEARRPATTRDGAARRGRRLPVAEPVESESESESESEPEEDAGGEVDARADDFINKFRHQLKLQRMDSIIRYRENIRRGAAAAAGGV >Et_4A_034244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31116933:31117671:-1 gene:Et_4A_034244 transcript:Et_4A_034244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGLKMVLKDHGFDVEPQMISKRIIDMAYALYECDFCVDKYSKQLHSAGSIIQEVSGLNTQDWNMLKLASALKIICSPLDKHDPEVSKLLAGLSQYQEKFFKNTCLGIYEEIVWANGVKNKAKGLLNSLVKEARAVGDAYEAGGAELPEKNQ >Et_9A_061700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15444491:15447704:-1 gene:Et_9A_061700 transcript:Et_9A_061700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GLQPNNALGPKAKNTSPAQPSSPPQLQLPPPAFPSVAVADSPNSPPASPWLISMADDRAAAGGDPSPLTPSPRSAAPSHSPVDPSSFTHTPCYCEENVYMLCKELIRTGVADPGGTDLYVVFISNEGKQVPLWHQKASHSDDGFICWDYHVICIQSRRNKGEAFDLVWDLDSNLPFPSPFLQYISNAIRPLSFGDSRYRRLFRVVHAPVFLRSFASDRSHMKDATGNWMQLPPTYEPIVAEDGTTNNLNEYIAMSMDDVLDLESMVNGAHFRKYGVVVNEMVLPTFFSRLHGQHP >Et_8B_059584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19580010:19581840:1 gene:Et_8B_059584 transcript:Et_8B_059584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTAGCMSSMPIITCCSGSFSFSLSPRRSFVLNTGDRAASTARCAGKTSPPTRNVTSAASPDSRSSPRSLANPGSGGATCGSGRVGDTRGSLSTVTSHAMASRSPARYGGDSSVLRSTSIQLVRLGGVWMGTAVATRNVCSFFAAPPGSSERVRPGVVWSMQKSWC >Et_5A_042458.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1233036:1233572:-1 gene:Et_5A_042458 transcript:Et_5A_042458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWAPLEAAQRGVPATAFFVHCLGAGGQGRSPRAFPFESVGLGGADEETKYTELFVLRDDGKKIDVPRARPPAPHPGAFVGVRGRQVVCGDGARTWITSAIRKRPKEAMAVEDEGAPAGAARAGTKDNSGAFGAPWRCRRGTERARRPRGEVTVSSRPDNSQTDGEGAVVISNSDRP >Et_8B_060763.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:6317196:6317750:1 gene:Et_8B_060763 transcript:Et_8B_060763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGAAAVIVLAPLALPLFFLLLLLLLITTRAAWVAVSCYVVTPLRIRRALAAQGVRGPPPRLLVGNLRDVSALVAEATAGDMRSLGHDIVGRLLPHYVRWSEAYGKPFVYWYGSEPRVCVTDAGMARELLSSRHAVGATGRSWMQRQGARNFIGGGLIMANGAAWSCQRRLVAPAFKADRLKV >Et_2A_018758.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:6801662:6802117:1 gene:Et_2A_018758 transcript:Et_2A_018758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAAKNKGQLAAVAPPSTATAEAAKTKKPTTLLDAYEVECIRRELERLILRQNQISPAVGTAATDAHGRHRRRHSGVKKAPATASSAAKKASTSTTPAQAAAAKKGGVRLLGRHAVAICSGTVPVSAGGAVVGGDRRRRRGGYREVEKV >Et_10A_001067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20880030:20880518:1 gene:Et_10A_001067 transcript:Et_10A_001067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVHASQPRQTPIKGPRYGVPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQQGIGFIWKLRKGERRNAGR >Et_7A_053089.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6415327:6416982:1 gene:Et_7A_053089 transcript:Et_7A_053089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHPKQMFGEPISLVGPTPADLESTAELEKVLREAGLYESPEESAVREAVLRDLQDIVDRWVKQVTFQHGYPDAMVDEATALLLPFGSYRLGVHGRGSDIDALVVGPSFVDRDEDFFGGLGAALAEDEAVTQLQPVPGAHVPVIKMRVRGVQVDLVYASVAGLPVVPRDGLDLGDRSVLRGLDHATARSLNGVRVADEILRLVPNLPAFRTALRCVKHWARARGVYSNVAGFPGGVSWAIMVARVCQLYPNAAPSMLVSRFFRVFSQWRWAAGPAAAAPMPVMLRDIEHDPELGLPVWDARANPRDRAHLMAVVTPAYPCMNSTYNVSPATLRILREQIAAGHAACQEIAAAGGGTGWAALFRPFQFFRAHRSYLQVDATVAGGEDELREWKGWVESRLRQLVAKVERDTAGELLCHPNPRAYDAEPCGLHCTSSFFVGLSTKTQSQQQQPARRPETPKFDLRTTTEEFTYDVYKYEFWRPGFVVTVTHVRRKDLPSYVIQKICPNGNELKRKRGDDGSSPSSSSSSSSPSGDEDSDPARSSSRAKLNPT >Et_7B_055041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6721625:6723630:-1 gene:Et_7B_055041 transcript:Et_7B_055041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSMVDTAASSLCPTTGAGRRRAGGAASFLNCACSARDCRVMSASYSIGRMLSGVRSAARKKLFRSEPADLLGIGNWPDSTGGQHQHWWTALENNFVLEASEDEYGGVVVDADRLPPGKDAFVRSLAASLSYWKSVGKKGVWLKLPADRAEFVPLAVKEGFKYHHAEEAYVMLTYWIPDEPSMLPANASHQVGVGGFVINDQMDVLVVQEKYCGSSLDGAWKLPTGFILASEEIYTGAIREVKEETGVDTEFVDVVAFRHAHNVAFQKSDLFFICMLRPVSNEIKIDETEIQAAKWMPLEEFVKQPFIQEDHMFQKIMDICIQRLRKCYCGLMPHHVVSKFDDRTSTLYYNVAEPEDVNCSAA >Et_5B_044530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3183318:3186692:-1 gene:Et_5B_044530 transcript:Et_5B_044530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYPMLNDRPIDKWKVTDLKDELQRRNLPVTGLKHDLVKRLLEAIQDEVLDGEENKGSETPPAEGLKGAETPGSVAASFYQAPVQQNAEESVSEGMSQGEDVVICVTESISEMVATTEVNQEVVIGTAEASQRTLDAVNEVESSLDDMAATNEHLCESNVVSLKPFLSGVTIVKEANSNSDCHGDIIEKTPEDGTGLKMVVDDVPSDVTDIGIKMGVDMDRNNLEVNEEAGTIEASQRTSDAVTEVVSSHVDMAPTDENHPETYGVGLEPTPPYAIALRADPKDANVAVTLESMILKNSFSENALIFGKDYEDPRCTNGDCKLILCGPKDQVSEINPDPESQIKCVSIFHDSVSTNEKNNLEGNMNADNSDIELEAKHEMVKTSSTIPSVGAYLQTLDNDKEAHKNETTDELGFTSIMESDTKEDRTVGSSPEKLNLDQSSGDELMDEDVMENKYAASNIKCDDLGGKTIDTSPHVFKEVMPIDTIVEGSAGDTKEVVADEKPPNPAEKRKSEDRKIAANDEQIKRRRRWDVNTPNICDQQALELIATGTPKVFQSSFKRSFGRINSTANGDSPKERIVPPPQKPATTSLRIDGFVRPFTLKAAQEFLGKTGSISSFWMDHIKTHCFVTYSSVEEAVATRNAVYSLQWPPNNDSYLVAEFGDPQEVKFKLEPPPPSPVPDTPSSATTPKAAPCQLSNADPTIASHAAATSIGISPTPAPLAKLPPSSNPGPARESLPPPPENLEPALKLEDLFKKTQAYPRIYYMPLSKEEVSAKHAARESNRRNRSNAKVIKGSSSRT >Et_5A_042746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3417319:3419918:-1 gene:Et_5A_042746 transcript:Et_5A_042746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQPRADSQIVDVITVTLHGRAEDHVVDADPWYHNKSSHVDIKPQAIYIGGDINEGSQEHVVDIELDDDGRNCCVVCTEPLKSVAVGQCGHRVICSKCMVRVRFFQQNKRCCVCDMSCPKVVVTKRDVSAAIIYTLPLIAFREGRVGKYWYHRYTAAYFEDEKEYESSWCALSQHLRLRAPVGAVTPEDLALVARRPQEPPLGQVSAGHLCSSALTDWPLDSGRCHCGRRGGHGTAACAVRRFKQRAELLAVHGSSAARVLASFQARCSTSSRGTHSSERPCPSRRVPSWSSISSWAHAAGTLAVKEEELKCCAARELGKVAHLSGGRLASSISPISHASMSSSKRPPDWHEALEQGIVASIS >Et_7B_054599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2715968:2719433:1 gene:Et_7B_054599 transcript:Et_7B_054599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAFSEDILADKLAKLNNTQQCIETLSHWCIYHRKNAEQIVQTWDKQFRSSGKEQKIPFLYLANDILQNSKRNGTEFVEEFWKILPGALKDVTENGDDRGKKVASRLVDIWQERRVFGSRAGGIKDVMLGTAPLPVLDMTKKRSHSSSIRIVKRDSRSVKLRLGVGGTAERIVSALHAVLSEQADEDADLEKCKTSMRHVGKMEKDVDSACSKAEDPRREVLCTELKDEEANMKKCIEKLKVVEANRAAVVSELKDALQDQESELEKVRTQLQLAEAMVHEASNMQRRLKNEPTIPLPSSLEAGKPLSNGQVKEQQKTAAAILADKIAASSNSQQILQSALSKFAAEEAKNSSETRSDKRQKVDQPSPVPSVANAAAFVPMPQVVATTAQQQPQAILVQQTPMQSQAPAPQYNIYQAPPQQYVQQPGGVMMGMPYNMNTLTPPPPPSGPQMMNLGRPSPSAPQPPMAVMAQTQPPAPPMLQQQMSMNVAPQMQYTLQQPGAPPFRPMQPPPGMQFFHPPSQNLRFGKLPSEYPTRRPGLLLYKAVRLLPSSRLRLFPAVADVAATRLVVVAVLSDRSRVAQRPSVSSTSASDEEN >Et_1A_005705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1374398:1378412:1 gene:Et_1A_005705 transcript:Et_1A_005705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPAAAPPAPAAMAVDDLEDDQIASMSTEQIITASRLLDNEIRVHKDELQRLNLDLQSTKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDTLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >Et_8A_056665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14811630:14814595:1 gene:Et_8A_056665 transcript:Et_8A_056665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLANFTVLKALNLSFNKLEGKIPEGGFFSNISLQYLIGNAGLCGATRLGFSPFFEKSSSTNRNLLRRKIRNKRHVQTFAVGPSDVMRHMLLSYHELVRATDNFCEDNLLGTGSFGKVFKGRLSTGFVVAIKVLDMQLEQAVRSFDAECRVLHMARHRNLIKVLNTCSNLDIRILVLEYMPNGSLDMKKLGLPKEARYHTDVSMAMEYLHHEHHEVVLHCDLKPSNVLFDNNMTAHVSDFGIAKLLLGDDTSLITAGMAGTIGYMAPEYGSLGKASRKSDAFSFGIMLLEVFTGRRPTDAMFVGELNIRKWVHRAFPSELASILDDQLLEDSSCTRDLNDFLPLISELGLVCSSDSPDERMSMSDVVLHSDMKPSNVLFDNNMTTHVSDTGNAKLLLDPSYTRDLNDHLPPIFELGLVCSSDYSPDQRMPMSGVTVALNKIMKDYTKSASASQKRVS >Et_5A_042593.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:21378186:21378371:-1 gene:Et_5A_042593 transcript:Et_5A_042593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSVVVEAERVHISNRWLLWWLSRLHDVALDGEAALQWFSSHGEKHPDLADGELASLLPP >Et_8A_056511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11128542:11133976:-1 gene:Et_8A_056511 transcript:Et_8A_056511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAACVPMAPPSLSSSSPHHRPTGTRAVQHEATVEAQSLGHAWRSVEATGVDGDGDAISSSRPRSAVQTLTCKRKQIAQGFALWRSIGRPELPDLDPFLSFDEFEFSPPAGFPDHPHRGFESVTYMLEGGFSYHDFSGHKGTINGGDVQWMTAGRGVVHAEMPGGEGVQRGISIWVNLSAKDKMVEPKYQEMASHDIPVADNADGVTVKVIAGDCLGARSPLRPRTPAMCLDVTLQPGAALRQPVPRGWSACAYVLAGEAVFPGAGATAAAARTLVVFGGDGDVVEVRAGGAGEGAARVLVVAARPHGEAVARDGPFVMNTSEEVEQAREDYRRRRNGFEMADGWTSDHAAALEDGWRMSAENFAPGVHTTKVTVS >Et_3B_030592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5155647:5158937:-1 gene:Et_3B_030592 transcript:Et_3B_030592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEAPAEEMAGPAASWRLNVSDFQMPERPKEPPFVTRVFLRGHGDSVRTRRNSKATEAKPDPNIPLRPVAFRSDTHARVINSAARWPWRRQS >Et_8A_057015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20139401:20140349:1 gene:Et_8A_057015 transcript:Et_8A_057015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKARAATSKPRARAKPRAKPSPVSLVSGGSSPSAGTASPAADLSFLSPSSSPPKPRTRTSPLAASPAFAAPAGMSTVGDLRSLAASHLDSLKRRLDALHGASVRDLEASHSRIAKRVKMQTQGCLQLAEEADKEHQKMVDKINERAEVVKTSYKKFVAEVQASTSRVCKVTVPEMAKSAERAIDGLRSRYNISATPV >Et_1B_009868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4269419:4270644:1 gene:Et_1B_009868 transcript:Et_1B_009868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIARTAKLSAPTALQLFSAVDRTPALHALHPKHSTRWSLLHAWQSTASAVVAGPDGGGTQNVVFTALNPQYAGGLQQPDCTVRRTESVKTLYLIIVDTERAACTSGRTRRRGSRRRRRRATRRRRPRPACSSRSGATSRSP >Et_1A_008933.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:19849191:19850510:1 gene:Et_1A_008933 transcript:Et_1A_008933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAALPDDVLFEVFSCVHNVKALFRCAATCRRWLRLVTDRDFLRRLWRQQDLLLGFFLNAPKKRRGKEHKHASASPLTFVPTTLTSPVGSVDCAHGVRFPPRVDDDDSGGICYFAEHLASRRGVLLVRFLPCRFVEEERRTRFRFGLCDPITGAHEFLPELECARHDGCYEYGYAILTAADTGREREQGAMPPTTRHSLSSQVLVVDRHNYYHCHCHWHQCRHQWHVHAYSAVTRSWSAPTSCTHSDPVSLAGERAAVVHRGVAHWLCVGPAVAPLSMGNRDLYKLSVDAAASGRVSLTKLPIRSSGFPFFFVSRDDQRLSVACVCARTCAIDVWTRQEDVGSSNDDPAAWCRSRVIQIPVPMKWLQRNKWTMLATYAADENNVFALDLETGAMVKVLDLSQRTRRDADYYKYLPYKRDLSEFFARQLGGLYRVEWN >Et_5A_042102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6358737:6360373:1 gene:Et_5A_042102 transcript:Et_5A_042102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METRLREQGSRSHENNSRTGVECFERNSQLLARRLRPLLRAAMFTKHIFCHISTAVSYLGAPLQHGVDEFYALELPDTGGAATVTAATA >Et_1B_010048.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:20621375:20621965:1 gene:Et_1B_010048 transcript:Et_1B_010048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKLLALSLVVLLSFSIANAARVARFSNAQGTGTGGGYGGASEDGSGSGFGRAYGTGLADHGAHARSESGGSGGGVSQPGGSAYGDGSGVGSSTASRSYGLYGAGSYSSAGGAAGGGGGGNAVGGSGSSGFGNGGGSGTGSSDANLYSGKEYNWGGPSTTSAKADGNGGGSGGGQVGGTGGGEGGGSAYADTHP >Et_1B_014084.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30052821:30054095:1 gene:Et_1B_014084 transcript:Et_1B_014084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGPDLDAEVVALSPRTLLEQDGRLACEICGRRFRREQNLQMHLRRHNAPWELRRKRGARAAAAPAKRRVYVCPEPGCRYHDPARALGDLAGIKKHFLRKHGGRRRWTCPRCARAYAVQTDYKAHVRTCGDRGGGYHACGGCGRVFSRAEVFDEHQRTCHAAHAGESSPARPGLGADASRQQMHAAVASPRVTSPFSAESSTDADAASAADVGAALQRFDSVVFSPLAPPDRPVVHDTAELQLMPPRGSCARGAAPASVRCCDMPAAAIPQLELSMWFGGERGVDGMASASAAASRVNAREQLRLATAESAAAEEARAQARREVELAEQELEIARRARQQAQVEFNIAHALRDHAVRQVRAAMLLQAACYSCRHQFSARAPTMSSEVASYVPSIVTEVDNDVHLPISTPVEDTPSHARMNISS >Et_4A_034726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5881623:5888222:-1 gene:Et_4A_034726 transcript:Et_4A_034726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADALSIIPSSVLRNLSDKLYEKRKNAALEIEGIVKQLATAGEHEKISSVIQLLTNDFTYSPQANHRKGGLIGLAAVTVGLTSEAAQHLEQIVPPVLNSFLDQDSRVRYYACEALYNIAKVVRGDFIIYFNKIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADAALSEFLQEIKNSPINEFVKLGGEQLVPYYADILGAILPCISDEEEKIRVVARETNEELRAIKADPTEGFDIGAILSIAKRELNSEHEATRIEALHWFSTLLARYRVEFLAYLNDIFDPLLNALSDPSDAVVLLVLEVHACIAEESHHFHHLVSYLIRTFHNNHVLLEKRGALIVRRLCVLLGAEKVYREFSTILESEVDLDFASVMVQALNLILLTSTELGELRSLLKKSLVDSCGKDLFQSLYASWRHSPMATISLCLLAQAYSHASCVIQSLGEEDINVKFLVQLDKLIRLLETPLLEPGKHTWLLKTLYGLLMLLPQQSAAFKILRTRLKTVPFSENLKRTSSSNPYSQILQVTEDGNRNQDTQNYSAINFPSLLQQFENMQQQHRLHLKNQLQSRKSASAVALSQEIQRYEEAHSSSLSEISRPPSRTSKGIS >Et_3B_031351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26460822:26463209:-1 gene:Et_3B_031351 transcript:Et_3B_031351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLISTLPAFTLLLVAPASRPSCNKLARELGLLALLLARELLRHATTFAFALGRKNKERGVRMVHQPPSKPKPAALVVAAAAAPPGQENLAAGLPLLDLPELALDRVLEELSPASLAAMACVCAALRDRCSADALWDRHLRRKWGRVLGAAARKEWEAGELGAAGDDAAARRAAAPPRPGRRRSWVVDSLACAWPFSWIGRRWLKCDAVAPASPAAVAAEPVPAAAAPPVADTVAAWYRALECGEFSFPAQVYNREDGHVGFVLSCYDAHLRYDRRTDTFTARYPPHGRKPAKEEDGVQWSRIRAPPVSTPPHDLHASDCLEDLRPGDHFEIQWRKNKDFPYGWWYGVVGHQESCNANEHLCRCHEDDMIVLEFQHYAPGSRWRQTIVSRKDHREKGDETDGFYGGIRKLQSKDEISTWRRFWPVDVLS >Et_1A_005639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12200167:12201348:-1 gene:Et_1A_005639 transcript:Et_1A_005639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRGAKSASSSKPNPFDSDSDSEDFTSKPAKKYTAPADAKKRYKDGFQNSGGLENQSVQELEHYAAYKAEETTDTLNGCLRIAEDIKKDAGDTLVTLQKQGEQISRTHEKAVEIDQDLSKGETLLGSLGGFFSKPWKPKKTRQIKGPAMVSRDDSFKKKASRMEQRDKLGLSPRGKGNTRQYGEATNAMDKVQLEKQKQDDALDDLSGVLGQLKGMAVDMGSELDRQNEALDHLQDDVDELNFRVKGANQRARKLVAK >Et_4A_032901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15472809:15475226:1 gene:Et_4A_032901 transcript:Et_4A_032901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPPRLPLLLLRRRHLSSSISDSTAAELAGALAAAPSPDSTRDLADLLRRLGARGLASALSSLPAPVPAASALRLVQHVLSSEDRSTLHGGRGDDLLSPRVSALLLASLVADRDALPSARRLISRLLRAHPLPVAAAAVADAASAAASDLLVRACLNSPGPGSLCRAADAFHVLCSRGASPSIVTCNILVEALLRAGQVAAASKVFDQMRVGKSIAPDGYTYTSMIKAFCRTGDVDAAFEMLAELRGAGLQPTVSGRVEEAFRLKGRMVEGGLRPSVFTYGILINGLARNERFGEVGAVLQEMEGYGITLNEVIYNELIGWHCRKGHCSEALKLFGEMVSKGMKPTAVTYNLIAKALCKDGKMERAEQILEEMLSAGMVVHCGLFNTLIAWLLQRTSRLDAVVRLTREMIARGMKPNDLLLTACTRELCTGGKHHEAAGIWFELLAKGLGVNIATSNALIHGLCEGRNMKEATKVLRAMVNRGVPLDSITYNIMIQGCCKDNKMEEAIQLRDDMIRRGLKPDLVTFNTFLRAYCNLGKMEEVPHLLDQMKNEVLKPDIVTYGTIIDGYCKARNIQKANEYMAELMKNGLKPNVVIYNALIGGHGRNGNISDAIGILDTMKSDGIQPTTVTYNNLMHWMCYAGLVEEARTIFSQCREKNIELGVIGYTIMIHGFCKIGKIDDAIMYFKEMQSRDIPPNKMTYTTLIFAHCKSGNNEEASKLFDEMVNSGIIPDTVSYNTLISGCCEVESLGKAIEMPAEMSSGVLTQGDSSCNTLVNEITTPWSQKEAALSAE >Et_9A_063168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13199947:13212350:1 gene:Et_9A_063168 transcript:Et_9A_063168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSPDLSTVPSWVVVDQDLYRKDPASFLADGGATSASARASNGEEICVSFILSVLPGTSRLCLHCPKERELCFFDKVVAAHGEAVLFSLKVDYKGLLSPGHAIEYFVYWAGPSGPELTLLPRWYMTEQEVLKAEEDGSWSRDQQISMGICGTGLLLTGGGEFVVAEFHLDVDKLQNEYMDAPLDGQLFRLCSNRESVATGGFGEWEVKDIRAHGGKAKFGDLRGWWEAHVVLPYAGYLCWVDYFRGIIFYDVNHPNPDLQYLPLPLEFVPLGDPDPFCMALPQEHRTVGITKGGMMKFVKIVCDDSVQFGCTEPGSGFTITIYTLMHRGDDEMEWRECATIEASNLWAMEGYGIQLPRSVPQFPLVSMDDSNIIYFVLAPDDGIKIWVVALDTDNKKVLWYREIRAIPSDEDLEMSSYNIFCDMPFFPCEFSRYSQRAGTSEELRGAASLAVTCDCRWRTALRHGERRR >Et_5A_041762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26904220:26909843:1 gene:Et_5A_041762 transcript:Et_5A_041762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGQVEAPISSGRGKKRPPPTENPASEDAEESSMSTTSDDDGWEINSSEEVEDHGTTGGPASLLQLTNFPRLSSDHYVQTTTIHQMMQDLRRRGPSPLSLFPPFNDLVIEGHRHVFGRQYRLHDESPSTCRFSFVTCQCSGDFQVIWFCDSNLCIDDDGWEISSNEEVEDHGTAGVPTQYPFFVIGSDGGRILVLHPFLRK >Et_3B_028119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10439209:10444763:1 gene:Et_3B_028119 transcript:Et_3B_028119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKRSDGVMGGEETTQGSKAAAAPTTIRLLDEVKRTRGERVEDGTAQRDKPLFQILQENKEKKDAEFNERFKHRPPKALDEDETEFLDKLASSRREYEQQVASEEAEQLRSFHEAVASRSNIVLEPEIPTVSGPEDSRPKPPTKRTQPALLKNIVIVKPQAKKAKVDAEAKPAPKEPPSSNGHDAEQKPPDQTKTTLGSLVAYDDEDSGEDED >Et_4B_036053.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:17657847:17658352:-1 gene:Et_4B_036053 transcript:Et_4B_036053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKSSVHQAKASNQSQTARMASAHPSEESKKLRILLMPFFAASHIAPFTDLAFRLADARPDVVEAIVAVTQANASVVRSALTRRGPNGRAAVKVATYAFPAAGAGLPPGVENLSTVTAADSWRIDAAAFDESLMRPGQERLVRESSPDAIVTD >Et_6B_048562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10879898:10880921:1 gene:Et_6B_048562 transcript:Et_6B_048562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGVCSLPKPMNVMARSQVISRQSAAVMPSSGKTARMPRAPAEEEGLKQRLVSLQRDADGEVVGEERVIDDGALPFGEQPGDALVDGAGDVEHGHRGADRRELELFLPHGGAAGQELAVGGDDPGVHHVVPAVAVLAHRHADAAAAEVAADADGRAHAGGEREPGVHRADGVADLAERRAGVHPRRGGAFHDAHGAEHGQVEHGERLRLHGAVGEALVVVPAAADAETHASAAAAAHGGLDVGHVGGADDARRPHRGVGRELGFWMEDCSTGVKLLEFCWYTRLLGTVAARHWRNSSEEAREVKKMLLEMKPKMTRASA >Et_5A_041791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2928576:2940322:1 gene:Et_5A_041791 transcript:Et_5A_041791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPTTTPSKLSLMVAGILLLGQLAGIAHGGRRLVSSHADEPCKRMTVYYHDILYNGANNANATSAATTQPTPLSRSVSTNDTYFGELIVFDDLVTAEQAMSSEPVARAQGFYFYDKKDGYNAWFAFSLVFNSTTAYTGTLELMGADIMAAKTRDISVVGGTGDFLMARGVATLSTDAVEGFYYFRLKMDIKLYECYPSSSKLSLTVAATIILLLGLLAGVAHGGGGKRRLISSHADEPCKRMTVYYHDILYNGENNANATSAAATQPTALSRSTSINDTFFGQLIVFDDVVTAEQALASEPVARAQGFYFYDKKAQLNAWFAFSLVFNSTAYKGTLEIMGADLIFDKTRDFSVVGGTGDFFMSRGVATVRTDSYEGLYYFRLKMDVKLYECLIKPSNSKLISLIVAASIISLLAGVAHGAGKRRLISSHADEPCKRMTFYYHDILYNGENNANATSAAATQPTLLSRSTSINDTYFGELVVFDDLVTAEQALASEPVARAQGFYFYNKKTATNAWFAFSLVFNSTAYKGTLEIMGADIIADKERDFSVVGGTGDFFMSRGVATVRTDSYEGLYYFRLKMDVKLYECYEPDSKMPES >Et_4B_036430.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:23883952:23884068:1 gene:Et_4B_036430 transcript:Et_4B_036430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVVLRPWGLLQEISQAKSRYHRGALFLSVLMQKKRN >Et_10B_002478.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:10441309:10441689:-1 gene:Et_10B_002478 transcript:Et_10B_002478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATFLKGAAIAAVCVLFVHSSLGQEQPVASSCCSNSCSSHCNATCSAPDLSCDAEIVKAYEGCFHGCTTSCPNSCGVSCDCASTCRSPARTSLAGTTVAKRANRPLPSKSVFLHATPPATTTV >Et_2A_015046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27563723:27565003:1 gene:Et_2A_015046 transcript:Et_2A_015046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRFRRRSADTWLVDFACLKPPRRLRIPVAGLLEHLRLIGCFDAGSVEFMAKVVEASGMGNETYFPRSLHYIPPASTHGDALEEARALFFPALDDLFARTGVAPSDVGALVVNCSGFCAAPSLASVIAHRYAMRDDVRSFNLSGMGCAAGAVGVDVARGLLRAHAGMEYAVVVSAEIVTVGWYSGRDQGKLLLNCYFRTGCSAALLTNRNKNRGAARYRLVSLTRTNQSANDRSYRSGYREEDDEGVTGFTLGQGVGRMVSELLRAHLVTLSLAVLPWREKLRYAAALLLSARSQRRRLPDFRSAADHFCLPSSGRPMIWRLGQGLGLGEREMEAALMTFHRFGNQSAASLWYQLAYLEAKGRVRKGDTVWQLGVGSGLKANSLVWERVGGDAERALAGPWADCIHKYPVTET >Et_2B_021248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27927964:27931897:1 gene:Et_2B_021248 transcript:Et_2B_021248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMASPAIAATPPPAAEVAPPASLYVGDLAESVGDNELSAMFSQIAPLASVRVCRDITGHRSLGYGYVNFHSRQDASRALEALNFTPVNGKAIRIMFSNRDPSMRKSGRANVFVKNLEPNIDSKSLHDMFSPFGTILSCKVVTDSFGQSKGYGFVQFEVEESAQDAINGLNGMLANGSKIFVGLFKHRGDREVKFTNVYIKNLPPEFSDDDLRQQFAPFGEITSAVVMTDADGASRGFGFVNFEKPEYAAEAVQKLNGKSISDKVLYVGRAQKKEERRAELRAKFEHGRNGKAERFQGLNLYLKNLDDSINDEKLKKLFQEFGEVLSCKVMVDAQGRSKGSGFVSFTTAAAGYNAINGMNGKMVANKPLYVGLAQRKEERRAMLMAHFARVNQAMTAIPYAGPQQVYFGLPAPGQIPPQAAFYGFQQHFVPGMRSASPMIHANANHSIRYMPNARNGAYTNHAAAQMDAIIAPPTPNKNLTTALASADPAQQLKILGNQLYPLVEQLERVHAAKVTGMLLEMDKTEVLHLMESPEALRHKVREAMAVLQRSMAADSVDAAAAPSVKA >Et_7B_054922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5541269:5547227:-1 gene:Et_7B_054922 transcript:Et_7B_054922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVACAFFFDAEPLGEQGRHALDACALCSKPLARNSDIFMYKGDTPFCSEECRYEQMHFDASYNRQAGRKQQRSQRSGHEASPAPVSRKADTRRPQPSYAEASNATRRASKYTAPAMAASFASTFFFDAEPICEPSLPALDACALCAKRLGRDSDIFMYRGDTPFCSEECRYEQMQLDAIRSRQAARRQTHSAVRTESWRGRQESTKSTPIQLALSPTQPIELAKLKP >Et_1A_005311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39780177:39782030:-1 gene:Et_1A_005311 transcript:Et_1A_005311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGAPPEPASVAAARKLHHLLRSRDLRPALSYLHSLPSPFTLLPNHALNALLRALAAAGRIRAATSLFRSIPAPTSHSFNSLLAALLRRGRTRAASALLAAFLRSPDVSPDATTLNTLINGFSTASPRPSASALLKLFRFLPGAYAFAPDTISYNSLLSALSRAGDLATARKLFDGMRVSSNGDVFPNVVTYTTMIKAYCAKRLADEALAVLEMMVADGVTPNRITYNTMVQGFCEAGRMELELHVRRDSASYSMVIRALCESNEFGCAEELVDELLEKEVLKKQSSCVPLVAAYNPVFVYFCENGKAKKARMLFGQLLDRRSRIDVPAFKTLILGHCKEGDFEEGYELVLSMLKRDLVPDSECYIAVVDGFLQKGRMKSAGEALHRMLNSGLRPSTSTFHSVLLGLLRKDGCAKEAADLIEIMLERKIRQNVDLSTNLIDVLFKSGLNERAYKITKSLYDNGYYIKMEKIITTLCEEKKLIDAAEFTLFSLEKHHELGVAVYSLVLDSLCMDGKASEAFRLFYELTENGSTSAAAAPRSLVMLHHALEEAGKLKEADFVAKKMRRATARIRQRI >Et_8A_058042.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:15390291:15390839:1 gene:Et_8A_058042 transcript:Et_8A_058042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSLCPSSADVLSIAAYERHGESPCSTDEEEEHDEFEFEFRPLLLPQPRRSARTARPRPTRRVAAHTYTLAQPQLPQRARRAAIVASAAAKSEQQAPPPPLPRRVRWHHMAFGSVRVPSAMDMGVIRRRLKARQMMAGSGNDDHSSDAAAAWAPWRLIRSLSCKGVEAVAVAAAAAPVRLV >Et_1B_013590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9827862:9831418:1 gene:Et_1B_013590 transcript:Et_1B_013590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAPPSSSVAALSRQPSQFLKGCGVSKETKGSICSFFNANSHNAKVKSAGLRIAASFKRDGGYPASSISGNGEMLLPKSTSVRGQDHSVADTVPMMDSMIAPEILSANLTRVADKFADDDTDTELDLDSPTEGFASIADAIEDIRQGKLVIVVDDESRENEGDLIMAASLATPEAMAFIVRHGTGIVCVSMKEDDLERLNIPLMVTTKENEEKLCTAFTITVDAKEGTTTGVSAKDRAKTVLALASPNSKPDDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPVAVLCEVVNDADGSMARLPQLRVFAERENLKIISIADLIRYRRKRDRLIERASAARLPLRWGNVRAYCYKSVIDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGDQLAMAMEMIEKAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVRSMKLMTNNPAKYSGLKGYGLSIAGRVPLITPITSENRRYLETKRTKMGHVYGLANGQANHPSDSNNTEEKH >Et_10B_003203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17681411:17686587:1 gene:Et_10B_003203 transcript:Et_10B_003203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEFTSGVGCLTCCSSFCAFIFTVGFVILIYWAIFQPHQIRATVDSAALSNLTVSGAAVSYHLAVDLRLYNPSKRVGIYYDALAAELRVRDAVIGPEPSAASPTEFHQSRKTGDVVKLEFDGKGVAVAGDAAGELEKGVKGEAPVGMELAVDVRVRYAFGAIKIRKKPKVRCWISIPVKAEGRGAGAGGAGAGGAISSGDRCSVNSGPCIATLLPAAADVLKTHPSYEVSSDLILLCDFLFLTASSPDHAKSGGGDRKEKAMADRENTTIPDS >Et_10A_000419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:117249:119298:-1 gene:Et_10A_000419 transcript:Et_10A_000419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPATSTLIYAMVARGTVVLAEHTSYTGNFRDIAAQCLQRLPATNNRFTYTCDGHTFNFLVNDGYAYCVVATESAGRQIPLAFLEMIKEDFNKRYAGGKAATATANSLSRDFGPRLREQMQYCMDHPEELSRLSKVKAQVSEVKGIMMENIDKVINRGERIDDLVTRTEQLHDQAADFRSEGTRVRRKMWLQNMKMKLIVLGIVAVLILIIVLSICGGKCK >Et_3A_025062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26479829:26492480:-1 gene:Et_3A_025062 transcript:Et_3A_025062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKLYGPTMSWNVTRCVAALEEAGAEYEIVPINFGTGEHKSPEHLARNPFGQVPALQDGDLYVFESRAICKYACRKNKPELLKEGDLKEGAMVDVWLEVEAHQYTPALRPILFEVLIKPMLGGTCDQKVVEENLEKLKKVLEIYEARLSKCKYLAGDFISLADINHVSVTLCLFATPHASVLDAYPHVKAWWTGLMERPSVHKLYGDTMSWNVTRCVVALEEAGADYEIVHIDFGTREHKSPGHLARNLYGATMSWNVTRCVVALEEAGAEYEIVPINFGTGEHKSPEHLARNPFGQVPALQDGDLYVFESRAICKYACRKNKPELLKEGDLKEGAMVDVWLEVEAHQYTSALSPILFQVLIKPMLGGTCDQKVVEENLEKLKKVLEIYEARLSKCKYLAGDFISLADLNHVSVTLCLFATPHASVLDAYPHVKAWWTGLMERPSVQKLSALLLQREDKALHHYRGQSGRHYQPATSKQSLSKRNNKGTALSALEDDDSYSQPKAAEKKLRPKATAPQHGRKN >Et_7A_051926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2943833:2950012:1 gene:Et_7A_051926 transcript:Et_7A_051926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAFSVSACAMLVVAALLCSNAEAQTPVFACDASNATVSSYGFCNASASAAARAADLVSRLTLAEKVGFLVDKQAALPRLGIPAYEWWSEALHGVSYVGPGTRFSPLVPGATSFPQPILTAASFNVTLFRAIGEVVSNEARAMHNVGLAGLTFWSPNINIFRDPRWGRGQETPGEDPLLTSKYAVGYVTGLQDAGAGDSLKVAACCKHYTAYDVDNWKGVERYTFNAVVSQQDLDDTFQPPFKSCVIDGNVASVMCSYNQVNGKPTCADKDLLSGVIRGDWKLNGYISSDCDSVDVLYNNQHYTKTPEDAAAISIKAGLDLNCGNFLAQHTVAAVNAGKLSESDVDRAITNNFITLMRLGFFDGDPRKLPFGNLTPKDVCTPANQELARETARQGIVLLKNKGALPLSAKSIKSMAVIGPNANASFTMIGNYEGTPCKYTTPLQGLGANVATVYQPGCTNVGCSGNSLQLDAATKAAASADVTVLVVGADQSIERESLDRTSLLLPGQQPQLVSAVANASSGPCILVIMSGGPFDISFAKASDKIAAILWVGYPGEAGGAAIADVLFGYHNPSGRLPVTWYPESFTKVPMTDMRMRPDNSTGYPGRTYRFYTGDTVYAFGDGLSYTSFAHELASAPPKQVAVPLAEGHACLAEQCASVEAEGDHCEGLAFDLHLRVRNAGEAAGAHAVLLFSSPPSVHNAPAKHLLGFEKVRLEPGQAGVVAFKVDVCKDLSVVDELGNRKVALGSHTLHVGDLKHTLSLKV >Et_9B_065420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4905373:4909915:1 gene:Et_9B_065420 transcript:Et_9B_065420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSISQIKRLLGRKLSDPELQSDMASFPFRVTEGPDGFPLVHVRYLGEERAFTPTQLLAMVLSNLKGIAEGNLNAAVVDCCIGIPVYFTDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVSIVGYKKGQLKMLSHAYDRSLGGRDFDEALFKHFAAKFKEEYKIDVYQNARACLRLRVACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKRDEFEQISASVLERVKIPLEKALTEAGLTTENVHFVEVVGSGSRVPAIIKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNEGFPFSVALSWKPDAQNNTPHETVVFAKGNPIPSLKALTFFRSNTFDVDVLYVDTGDSQVPQKISTYTIGPFQPSKGEKAKLKVKVRLNIHGIVTVESATMLEEEDVEVPVSSGNEVPKDATKMETDEAPSDPAAGTDVNMQEPKSTETAEGATAAENGAQDAEEKSVPMDTDAKVEQSKKKVKKTNVSVHELVYGALEAAELQKAVEKEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLYEKYSDFVASEDKESLITKLQEVEDWLYEDGEDETKGVYIAKLEELKKVGDPIEARFKEWEIRDSAVNQLVYCINSFREAALSNDQKFEHIEISEKQKVINECSEAETWLLEKKQQQDSLPKHANPVLLAADLKKKAETLDRFCKPIMTKPKPAPKPQTPPPAETTPEPQTPEQQPDAANPAAEAAAASEGAAQEPAPEQMDTDKPEGAADPSA >Et_4B_038245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27510975:27532666:1 gene:Et_4B_038245 transcript:Et_4B_038245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLSRPEEAVALVKLWVAARRIKRQVPKEEHWGFCYTMLQKVSRSFALVIQLLGPEIRNAVCVFYLVLRGLDTVEDDTRIPVEEKLPILQEFYQHIYDRTWRYSYQKVIEEITRRMGAGMAKFICKEVETVEDYDEYCHHVAGLVGYGLSRLFHATGTEDLAPDSISNSMGLLLQKTNIIRDYLEDINEIPQSRMFWPREIWSKYAEKFEDFKYEENSEKAVQCLNELVTNALIHGVDCLKYMEALSDNAIFRFCAIPQNMAIGTCAVCYNNENVFRGVVKMRRGLTARLIDETNSMSDVYTAFYEFSSLLESKIDDRDPNAALTRERVDTIKQTCRGYDSDKSKYNPMLVMALLLLVAIVLKVPYANLAAFLANTLPSSSTNTRRTAAATSSLTKDPSVSSLGTSTPTPRRAMVRATRGWSTTKGSITMGCPNARLSTVEPHPQCVRNAPTAPCASTRVCGTHPVHTTPRPRVRSSNPGGSSAATSESGADMPAGFRNAQRKLTPASSRPSASSCRVLAAMGALSRPEEVVALVKLRVAAGQIKRQIPPEEHWGFCYSMLQKVSRSFALVIQQLGPELRNAVLTAVVAIAQVCIFYLVLRALDTVEDDTSIPVEEKVPILQEFYRHIYDRDWHYSCGTNNYKVLMDKFRLVSTAFLELGEGYQKAIEEITRRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGYGLSRLFHAAGTEDLAPDSLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRQIWSKYANKLEDFKYEENSEKAVQCLNDLVTNALIHAEDCLKYMAALKDNAIFRFCAIPQIMAIGTCAICYNNVNVFRGVVKMRRGLTARVIDETNSMSDVYTAFYEFSSLLESKIDDKDPNAALTRKRVDSIKKTCKLSGVLKRRIFSRSFFGLLRSWFFCCWWLLFLEYCMPSDTL >Et_5B_045094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9501287:9504793:-1 gene:Et_5B_045094 transcript:Et_5B_045094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARPLLSRPSSAPTTPDLAVHSLSNHAARLPRQERRAQSKMPATPTIIGALLGLGTQMYSNALRKLPYMRHPWEHVLGMGLGAVFVNQLVKWDDKLKEDLDKMLQRAKQANERRYFGLSETCLAVGLSPGAVLVHRSATSSISAMDDSSRGASGFRAGSNICSLTGCGASILLCTHLTMSMSPPKVLSVGSSPVTSSMSTMPML >Et_7B_053561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:108705:112433:-1 gene:Et_7B_053561 transcript:Et_7B_053561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGHTRLDVRRSPQSCSCSTTTVVVFVALCLVAVWMASSMLVTPAEFSTFQSKARPLVRHDPTPIAGEEGNEMGPVDPVPLEDEPPEKEQMTPVKQDTAKEQQNFTEQSKHPDGGNGSELPQKLDQAQKEDKPAEVFPDESQAELLNETTTEQGPWPTQAAQSNEDTKVQTVTPSNPPTYSWRLCNVDAGADDIPCLDNVQAIKKLHSTKHYEHRERHCPEKSPTCLVPLPQGYRNPIRWPKSRDQIWYNNVPHTKLVEYKGHQNWVKVSGEYLTFPGGGTQFKHGALHYIDFIEEAKKDIAWGKRSRVVLDVGCGVASFGGYLFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPSRVFDVVHCARCRVPWHIEGGKLLLELDRLLRPGGYFVWSATPVYQKLPEDVEIWEAMSALTRSMCWKMVNKVKDRVNGVGIAIFRKPTDNRCYEERSEANPPLCGEYEDPDAAWNISLQTCMHKLPVDTAIRGSQWPEEWPLRLENPPYWLKSSEAGVYGKPAPEDFKADYEHWKRVVRNSYMNSLGIDWSAVRNVMDMKAVYGGFAAALRDLKVWVMNVIPIDSPDTLPIIYERGLFGIYHEWCESFSTYPRTYDLLHANHLFSRVKKRCELLPVIVEVDRMLRPEGRLIVRDNSETITELETIVKSLHWEVRLFLVVCIVILIADVVVN >Et_8B_060239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7825625:7828903:1 gene:Et_8B_060239 transcript:Et_8B_060239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKDKKRNQRRVLARRTAAPRSGEGKDFLPLEGGPGKRLRKQQEPEDPENTATVLYIGHIPHGFYEEQMQGFFQQFGTVKRLRIARNHKTGKSKHYGFIEFENPDVAKVVADEINNYLLFEHALQIALVPPEKVHPKLWKGVRRGFIPVDRVAIERKRLNKDRTIEEHKRMVEGIVKRDEKRRKRIKAAGIDYECPDLVGSIQPEAKKIKFDED >Et_4A_035316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19654538:19655648:1 gene:Et_4A_035316 transcript:Et_4A_035316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERFCSHGQIWCSCGRIRSFRGTKERRKWICRPLPHASHLVPLTDFHRSHAQPPRHPSPRPDSPRLTTTNGDEHIYIYDCPGNTSYTPGSAFQANLDALPGAAAASSGFAKNAVGAAPDQVYGLAQCRGDVNASDCRACLDATGRDVASKCQAKRSAMVIYEACQLRYSDESFFSVYDLSFSVSLCNWRSLNASSPDLFDFQLSDLLFNLTVTAAYKSPRMFAAGAVQADTPSLKLYAMVQCTRDISSDDCNMCIHSIPQAVPFYCDTKQTIWLFCQSYSAE >Et_1A_005195.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31778600:31778851:1 gene:Et_1A_005195 transcript:Et_1A_005195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVEGLMRRMQLSEAEKKGVRVGNNSKGKFIAAPQAVGKVFSEKLVRPDHLEIALGKVWCAIKGVECKDLRENRFLFTFFQA >Et_6B_049406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4485543:4486504:1 gene:Et_6B_049406 transcript:Et_6B_049406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKKVPLSNLWALGATTAAVFIPPKQWFVVGGFDGNIKVCSDETMQEVKTFSAHDQPIISVDVHPSEPFVLSASFCDETVKMWNWEMDWECVRTFDVKAFQVKFNPKDADYFACATYNGVKVWNIASPGSDDLTFSFGNSSLLCLDYLPRGDELYLITGNYDGLVEIWDWQSRSCLKTLKEHTDSVRTICAHPDLPLFITGSADNTVCLWNSSTF >Et_3B_029947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29370063:29373517:1 gene:Et_3B_029947 transcript:Et_3B_029947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAHAAAPRALASDPSRTLLGAVGVSLPQPRGRVRSAQTREEILRGCRQLISTNYNSRSLLCHPCSCAQTALADSRMAYEPEVDKHTGVLAYDLVQGSLVQWNSFSDKSIPDPPTAVLLHGILGSRKNWGSFAKRLAQEFPMWQLLLVDLRCHGDSASLKKRGPHTVASTARDVLKLIVQLRLNPRVLVGHSFGGKVALSMVEQAAKPLARPVRVWVLDATPGKVRAGGDGEDHPAELIEFLRRMPAQVSSKQEVVDALVKGQFSVDVARWVATNLRRNSPLGSPSSSNFSWTFDLNGISEMYNSYEDTNLWGIVENVPRGVHINFLKAERSLHRWALEDIQRIHTAEESAADEGGGVEMHVLEDAGHWVHADNPDGLFRILSSTFRIETTIRGMQD >Et_6B_049670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8306171:8307452:1 gene:Et_6B_049670 transcript:Et_6B_049670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLDDKECYTYTTNVPATGPRPHRKAQASRPKPTPSKWDDAQKWLVGMSNGRGDGIHGAPVKHRNSNADDRRLLSSSSQNGRVSCSSVDGALEYSMVATAPPTPPQLGEGDDAGETKKIDYMVQPHGSPVAVVRSVCLRDMGTEMTPIASKEPSRTATPLRASTPVARSPISSRTSTPVRTRPEATAGVAAAVVGTTEPAVVPLGSVSCGGGDDDGAVAVAGRAPSANTLESRAAAWDEAERAKFTASRSDESTSTREADQSACRDAADGRGEAGHRRGQAQRACGEDV >Et_4A_032474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10438108:10445352:-1 gene:Et_4A_032474 transcript:Et_4A_032474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWNKLRNLDAYPKVNEDFYSRTLSGGLITIISSLAILLLFFSEIRLYLYSATESKLTVDTSRGERLHINFDITFPALPCSLVAVDTMDVSGEQHYDIRHDIIKKRIDHLGNVIESRKDGVGAPKIERPLQKHGGRLDHNEVYCGSCYGSEESDDQCCNSCEEVRDAYRKKGWALTNVELIDQCKREGFVQRLRDEQGEGCNIHGFVDVNKVAGNFHFAPGKSFDQSFNFLQDLVEWIQDHSNGLTGMYQYFVKVVPTIYTDIRGRKINSNQFSVTEHFREAIGYPRPPPGVYFFYEFSPIKVDFTEENTSLLHFLTNICAIVGGIFTVAGIIDSFVYHGHRAIKKKMEIGKLG >Et_1A_009314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38322811:38348626:1 gene:Et_1A_009314 transcript:Et_1A_009314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQDSEGNTALHLAAKDGQCEAMVPLIWTGEVQLNLQNKGGQTALDLSLREKPRGVFYGLDPNIRTYNLLKAAGARFGVHQDGEKEQASALDVKTVAENIQESTTTIGVGRDLEVYLERRTGSKNIGERSSRGWDQTAEQFDEVHRCWSESGAEQRIETGLPVFASGSGLQQQKAEARGMRGTRWWRSPAWRRSGAAAGGEWVTMGAAA >Et_8A_058276.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4072431:4073480:1 gene:Et_8A_058276 transcript:Et_8A_058276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKILTKSGSFQEKVSHSFQSSNNNVIEEIILSSSKSNGDQFLALLCTSTSSTRKAAVDEPEQSSAVTAAAEPPVAKIETINVSELLAGLEEEGFAAVQAEQEQQSDDDRKEGGKSPAQCAPSDGGAAGRARSFRTVEEFDALVTQSGSSQRAAAPAPEPEQEEPGTAPPAAASSQGATDAAAESSSSSGQEQEPAAAGKGEDGAKRRARARQLGELKVPTTFDFSKSGSLRDWLLRGGQIFSPGSYVTPRFGTAPAAPAAERGGGGGENAGEKQPQQEQHAVFDPELVAQFERAMEQLSEDEERVLTEILEALELVGTGKEDSAALGKVSDQPAAVAVAVRQED >Et_9A_060890.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:12595271:12595588:1 gene:Et_9A_060890 transcript:Et_9A_060890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAKLGFAVVEDRSLYLWSWQEATADGAARWKQCRVIELATLLPLADTSDSLDVVGLVEGTDTIFLSSDVGIFTLKIKSGRVTKVGKKRVYSAVVPYTGFYLP >Et_7B_053374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14154584:14155669:1 gene:Et_7B_053374 transcript:Et_7B_053374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEILRRLPARELCRLRLVCRSWRSLTSDPNFSKAHAARHQLFVSLRCDRTASHVQFFDLSGNMVKQIRLPNLSTLEQSCQVITLGMDGQEGWRTKQSPPISVALNDKSMASVDGVTYFLLNPYGIDHANIEPDGIASFDLRTEEWRAVTEYRDGLQLATLDGRLVTIHHTSQDSCSMNLWFLEDAGKRLWIKKYCLDYEPNWTSVVLGLALPYPLMLLDDGRIVIWVPLKKALTTYDPETRTWARLATMSGCYTVQMHEGSLLSSRLRS >Et_5A_040806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12824488:12826779:-1 gene:Et_5A_040806 transcript:Et_5A_040806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLRWAAELGVSDSPGPPSAAATTSSSSSSCLGCSLVVADFPDAGGRGLAAARDLRRGELVLRAPRAALLTSDRVMADDPRVAARVRAHQPRLSSVQILIVCLLTEVGKGKNSVWYPYLSQLPSYYTLLATFNDFEVEALQVEDAIWVAQKAIRSIRSDWEQATPLMKELEFKPKLVMFKSWLWAFATVSSRTLHIAWDDAGCLCPIGDLFNYAAPDDEASLEGEGAAEVTNCRQKNEISDLPERMTDGGYEDDIAYCLYARKTYRKGEQVLLGYGIYTNLELLEHYGFLLSENPNEKTFIQLDLDICSFSTWPKDSLYIQPSGQPSFALLCALRLWITPSNRRKAVSFQIYSGSLVSTENELEVMKWLISKCKETLQQLPTTVELDESLLIFLRKLQNSTNCETDVKQSSFEQEFAVFLRFHRVELDCTYHNQLPARLLQSLERWKLAVQWRCNYKKTLTKCMSYCESAVHDISLQTNQQ >Et_5B_044891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:780348:783238:-1 gene:Et_5B_044891 transcript:Et_5B_044891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGVLVYPEGFTLSGYTPPPAGSSEAKLPLCLRPGFGFRAASFVPPPPIRLSPAEKTEPSWPPKPKEILVLKRGSKELAEAEARWNQELLAQADELEQEGEDGCETEEDKAAFRAFKVKFASVYRNLASTDSRPLVFRAKSTDTQEEHLTAEEMEMRVGGSACPQACHFAKLALEHYNSTKKTRKFELGRVLLSKCFSEADGTTFAHVNFTAAPQHSPTDKRLFFAELMLIPDLQAYKGMEPMRVLHVCTIDDTCFGGCHEIKRDIKEPVRKNLDYERCHACSDRIKHPKGSQFIAGHDRTRTPYVSVFAWSYRK >Et_1A_006902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28900848:28902646:1 gene:Et_1A_006902 transcript:Et_1A_006902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLARAPPLHSHPLSTARLLQRPAPATKPRLRPLSLRSCVRASNSDPSQQQQVNLSVLRFTLGIPGLDESYLPRWLGIGFGALVLLNHFVSPSPTPAQLRSEALGLCLAAFSATLPFLGRFLEGADAASRVPLPEGSRQVFVMSENLSAAQKEDVAWASYVLLRNTNTTSVMLQAGLVDLKDALYFPNFSDARLGKILPDGVLSVLAQPVLDSPDTNGETKSEGVILLASNANYAYSEKDRVWIRTIANKFPRS >Et_4A_032627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1243691:1245268:-1 gene:Et_4A_032627 transcript:Et_4A_032627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTEESLKTYKGSRDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLNVTMNLMRRS >Et_1A_004520.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12337867:12339564:1 gene:Et_1A_004520 transcript:Et_1A_004520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDNDAWADQEQGNGGGSRGGGGVGEAKRSEIYTYEAPWHVYGMNWSVRRDKKYRLAIASLLEQLSNRVEVVQLDEASGDIAPVLSFDHQYPPTKTMFIPDPHAVRPDLLATSADHLRIWRITSPDDDDGPPANANSGSVRCNGNAQPQQPGVELRCELNGNRNSDYCGPLTSFDWNDADPRRIGTSSIDTTCTIWDVEREAVDTQLIAHDKEVYDIAWGGAGVFASVSADGSVRVFDLRDKEHSTIIYESGSGSGGGSNSGAGDGGAASPTPLVRLGWNKQDPRYMATIIMDSPKVVVLDIRYPTLPVVELHRHHAPVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGTGSNGGGNGNGNAAAAAAAEGGLDPILAYTAGAEIEQLQWSATQPDWVAIAFANKLQILRV >Et_6A_046240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:10876202:10877448:-1 gene:Et_6A_046240 transcript:Et_6A_046240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSAAVFFPSAAVGEATLRPSFVREADERPRVAYNHFSDEVPVVSLEGISGTRRDEIRARVATACEDWGIFQVVDNGVDEALVANMVRLAREFFALPPEEKLRFDMSGGRKGGFIVSSHLQGEAVQDWRELVTYFSYPVKSRDYSRWPDKPAEWRMVVEQYSEQLMGLACKLLAVLSEALGLETDALAKACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDLVGGLQATRDGGRTWITVQPIQGAFVVNLGDHGHFLSNGRFKNADHQAVVNSDWSRLSIATFQNPAPDASVYPLAVPEGETPILEEPITFAEMYRRKMARDLELGKLKKQAKADKQKQLLLRQESAMDVVCA >Et_10B_003251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18286492:18289687:1 gene:Et_10B_003251 transcript:Et_10B_003251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSRPQRLLRPLLRGFHASAQALARAEPHEFSKPSGYLGSWEPAGDPREAWARLERLRKGYARDVRELRRQYAYEVQLMEAERQRKAEARAEAARVANEERKAAKAAAAQTRAAERRAFEQDFRQALMKERAEKLESWRRKEKLNAQNKAEQRELLRKKSGMWVSEDKLEEKILDAIQLDGATAVVLLSEISID >Et_1B_012775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34991813:34995195:1 gene:Et_1B_012775 transcript:Et_1B_012775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDELSDKRQCAHLDDVHAEVMIALGRAAAEVMPGHGGHTFGSSGAPAAFHRNSLRVTFSSPTGWGDDAAPAGQRAALRTTRPLSPPQATSKRSLSQHPEEAAPPRRQDARALRRILLGLIRGYYLDAISRLPTADLRTSLARGLLVGGHCYGPLHPAHNIIANSIWYAAAFPLGHADRVEAGVVSAKGTDRAVRRSLDGSVAFLRHLCPSLSLEDALWHLVLSRADLRDAVASARGASVGPSLLRAEELEDVKAAFQLAAQTAGHPQPAALAFFASSVLPGVERDVVRLLIAGKHGLSSLDIESLSRTLLPHPLPNELSSSQSPPQPSPKINRVISDKRRGMTKWYDGLLRLVDAALCKFAHQTGAQYQLHTIYGESILEDDDFCDQYFHINFMAQPKEEPGVGPGQRLFFFAEAPRPRDLDFQEEDVSLCCLVQPSPVDIDNCLACLTDKLKIDHPAVYPPVLLFGEQCYEIDKVDHEWSFRPVLGIDYLFFDSLRDNDLVEYLHNHFARIDAYCSNLSDGPPASGRLLVDPRILPPTSGNVSCPGNAATLPSAAMCTGYLIRCPCIGASLSSAAYLVQLSLATRVTAPDAVRRLRAVHLRPARDLPAQVLLPQRQSVPAHLAGGAVVRLAG >Et_3A_025885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33667448:33668267:-1 gene:Et_3A_025885 transcript:Et_3A_025885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVSRSSVISRSMASSMGLQEEPMALEGKRSRPQRRVPVARRVEHDDDGVKPRRYDVFINHRGADTKRTVARLLYDRLEHLSGGTIRSFLDNMSMRPGDHLEESIFGAVRECGLAVAIFSKRYCDSDYCLRELAALVEAHKTIIPVFYDVQPSELVLPRELLESGEHPPRDIERFRFALREAKRTAGIGLTYHHATDDLAELVSAIANAVMQRIQEMEISAQQPRQMIVSRL >Et_2B_020983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25441048:25443671:-1 gene:Et_2B_020983 transcript:Et_2B_020983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAAATLTAATSSLLRRSALLRPHGLRIPRRFPPQRFVRHIASSTNEEAAAKAAAATADTGGPTIFDKIIAKEIPSSIVYEDEKVLAFRDINPQAPVHVLVIPKVRDGLTGLDKAEPRHAEILGQLLYAAKVVAEKEGLADGYRVVINNGAEGCQSVYHLHLHVLGGRQMKWPPVKHSSSVLSRYVFSINSMSRSCMAIRKRGEQMVPILRYQG >Et_3A_026080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4030774:4032720:-1 gene:Et_3A_026080 transcript:Et_3A_026080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSPSPRRRCLLLPLVLAVLVALAADGTNAAAAAAGSDRPSPIKNVVVLALENRSFDHMLGWMRRLLGLPIDGLTGAECNPNAAGANSTATTSTICVSADADLVVPDDPGHSFEDVLEQVFGNSSASASASASMSGFVRSALSVNALLTSAVMRAFRPALLPSFSALAPAFAVFDRWFSSIPGPTQPNRLFLYSATSRGAVAHDKLDLLLGYPQRTLFDSLAADGKDFAVYFKTIPTVLFYRRLRALRYAARSFHRYDSSFRDHARRGVLPALSVIEPRYFDLTGSPADDDHPAHDVANGQRLVKDVYEALRASPQWNTTLLIITYDEHGGFYDHVPTPTAGVPSPDGIRGPPPFFFKFDRLGVRVPTIMVSPWIKKGTVVGRPNGPTDTSEFEHSSIPATIKKIFNLSSDFLTKRDAWAGTFEHVFTELNEPRTDCPETLPDIPFERPTPAKENGWLSDFQRELVELASFLNGDYMLTSLAQEARKNMTVKQADAYVRRAITSFLQASKQAKRLGANESAIVTMRSSLTSKSSRSSP >Et_1A_005943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15823129:15832152:-1 gene:Et_1A_005943 transcript:Et_1A_005943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIKRERRNLRFPSSTVASIKKIISNIFVKMAKTVALDVKCTDTIDQVKSKIRAIEGIDNNQQALFFAGSHLENHNRLADYNIMTNSSVDLYVTDGMQIYVKIPSVRDSMKLAMRKSQSFADVKGAIEEKAGIPRDEQILMYAGQQLEDHQILSQCGLTDGQTLHLLVRPTDKLRISVNVEDQRTVNLDVKCWYTVADVKLMIENLEGLPAGTQILMQTKPGGVEALRDTETLQNQQVRNNDVLVLYQSFQFFIKTWAGRTLTMSMRACDSVNEVMKKLEERLQIKKDVYYLQYMGRVLSPGDTLQKSKVERNSTIDIRHRNSWEIYVKMMKTVALDVKGSDTVDQIKSKIGAIEGIDKSQQTLFFAGNHLENDNMLSDYSILANSSVDLYVTDGMQISVHIPSVGKIIKLNVKKSQSVADVKAAIKEEGGIPPDEQMLIHAGQQLEDHKMLSHYGLSNGQTLHVLVCPNTNLCISVDVDGERTVDLDVKCWYTVADVKLMVESLEGLPAGRQMLGRTQLGGVVALEGSETLQNLHVRNNDVLVLYRNVQFFVRTYEGKTLTMSMKSCDSTNEVMKKIEERLPVREGVFYLQYWGRVLSLGDTLRMYKVENNSTIHMCLRNSHVEKRQ >Et_8A_058086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18905002:18908324:-1 gene:Et_8A_058086 transcript:Et_8A_058086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIVKTVYLPGHSISLGIPLPSLAIFAQLARLDIPSPARAARIPRPNRHLQVQGKGSGSSSGKLTWPHSGMARSAYSTGLVRKRMSITMATTITMAPLASVTRRTGMKEAVVRNRAVDEVYIVWGEEKDCYREKFFIQGVCYSSVRLKGDYITPDPSCRSAVEASDLLCICRILSPEDEIFMSVIKFVDLARDCNKTFPVGTKCGSWTVPMSPPTAY >Et_4B_039673.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26092958:26093785:-1 gene:Et_4B_039673 transcript:Et_4B_039673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQSSSRNHRSKKLRPSHSFQAFLLFAVGIWIVYQLTRSHSKRQAVVVETNEGDGMVDGEPAARRRLGRKGFFGFTGHASDDDIAVGVSDDAERGAASYDDPLSKDAEHDGAGDEEDQAADEDDGADDSDDGLAADEEDDDRDSPSQNGNSEDELKTGESDRATVFGNGDDDGTPLHEPKELNSSAVLRMNDTARVQHVAASQQMNATDGGAAPHGSATPISDSALKNTTSSVNLSLNDVGAESKKLNNNVFEEDNRRISQNDTADSFGHGISR >Et_9B_065911.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17316332:17318698:1 gene:Et_9B_065911 transcript:Et_9B_065911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLFALVSDTGELINWQAGVILGPSVMGQVEVWATTVFPVRSLLTLETVAHLGLLYFLFLVGLEMDVNVIKRSGKKALIIAVAGMALPFCIGTATSFIFRHQVSKNVHQASFLLFLGVALSVTAFPVLARILAEIKLLNSDLGRIAMSAAIVNDMCAWILLALAIAISEVNSSAFSSLWVLLSGLAFVLACFYVVRPAMWWLARRVPEGEAIADMHVTLILAGVMIAGVCTDAIGIHSVFGAFVYGLVVPSGPLGVLLIEKLEDFVTGLLLPLFFAISGLRTNLTRVHDPLTVGLLVLVFVMASFAKIMGTILIAVSYTMTFRDGVALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVAMTALVTPVVTTVYRPARRLVGYKRRNLQRSKHDAELRMLACVHTTRNVPSIISLLELSNPTKRSPIFIYALHLVELTGRASNMLAASNTSSNTSSEHIFNAFENYEENVGGVSVQSLTAVSPYQTMHEDVSVLAEDKHVSLIVLPFHKQQTVDGGMEPINASLRGFNESILASAPCSVGILVDRGLSAAAARMASVHHVALLFFGGPDDREGLAYAWRMVEHPGVCLTIVRYIPPDYAPPAMPPPQQPAPRASGNLQQSRAITIVPDAAKSERQMDEEYLNEFRARNAGNDAVLYMEQVVANSEETLAAIRNLDSAHELYIVGRHPGEAGSPLTAALAEWMESPELGPIGDLLVSSEFSKMVSVLVMQQYVINTPQPAPGPGAVPVSDDPVRQYVTNANQRTSANQMGRGGGGWGASSADF >Et_3A_024896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25139477:25143550:1 gene:Et_3A_024896 transcript:Et_3A_024896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFEAWEGVQRHGQDLADRLAQGFTGLLHAPPQFPWPPVTHKRMPFEIDLPVVPFGAGRGGAGKEFPFPAAAVSSVIDIGGRLGQAGVEIGASVGGAVQHVVRQLPLPVPCPLEAAAAAAAAATGSAAASSVSGVAGRDDLDEDDEGFGCEIGTFGNFKKAKGTVNVSATYNTRSQDTESSVVARGDLWRLEASRSGSTSGNDTSPLYLVQLGPLLFVRDSTLLLPVHLSKQHLLWYGYDRKNGVHSLCPAIWSKHRRWLMMSMMCLNPVTCSFMDLQFPNGQLTYVAGEGISASGFLPLFGGLLQAQGKYPGESRVSFSCKNKHGTRFTSMFQWPDKSVSLGVTQALAWKRSGLMVRPSVQVSVCPTFGGSDPGVRAEVAHSLKEELNLMCGLSCSRHPSAFTALAIGRSKWNGQLGSSGVVITLETPLNNIGRPSLSVQLNGGFEL >Et_9B_064747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18283055:18286130:1 gene:Et_9B_064747 transcript:Et_9B_064747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASAWQSSSSLLLILLQVAVVLVVAAPPEEASSGGVTLQVDSSQVVVDNGVVQVELSRPQGCITAVRYNGEQNLLSYNVSQRNSGGYWDVVWNYPGSPWPTGAMDMLDGAEMKVITSSKEQVELSFTSSYNQSRLNSVRLNVDKRFVILRGSSGFYCYAIFERPREYPALNISVARLAFKLNTAKFNYMAISDDIQRYMPSAVDRDAPRGVPLAFKEAVLLVDPVEPEFKGEVDDKYQYTMDNKDNTVHGWISNNSLGFWVITPSNEFKNGGPLKRELTSHTGPTSLSVFLGPHYIGRDMVIKFEEGEYWKKVLGPVFIYLNSRPAPNKQGELWEDAKAQAQAEVSKWPYSFPCSPDFSKARDRGSVTGRLWVRDRFFGKEDMPAAMAYVGLASPGQPGSWATESKVKYQFWTRATSCDGRFNINNVREGMYNLYAWVPGFLGNYMYTSPITITPGSAINIGDLVFEPPRSGPTLWEIGIPDRTAAEFYIPDADPKYASKLFLHKDSMACGIGTLSSTPKTILFFTVGKSNYSTDWFFAHVTRNVSNKVMPTTWQIQFHLEHVVVDGTYTLRIALAASHMSTLQVRVNDGAGGGSVFTWPELLGDNNAVARHGVRGTQWSMDMSIPGDLLNQGDNKIYITQTSVLNNLVGVMYDYIRLEGPSL >Et_1A_005455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10516884:10522329:-1 gene:Et_1A_005455 transcript:Et_1A_005455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRSRLLDLERDDVFYGASHSDHASVAVHATVGLAIFLLLRFTAAGFPHDAAVFAVLYGAYYFLVDGHALLGFLCWAASGVLAARLGFSSGWKGHYAFYGAYHSNPVNVGIHEVFVWPIFLTALLLLHLTAPFAHAAGIGAAIYGAYYFLLDRRAGALAAFFCFLCWAASGALATHLGFSVGWKKRAPALLDNLAQAFLMAPFFVLLEILHTYAGYEPYPGFYAKVSKLIEEARKEWEDKKSKKSS >Et_10A_000062.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21115881:21116569:-1 gene:Et_10A_000062 transcript:Et_10A_000062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHMRVTHRDEEGKKVTERMPIPETRRPDTAKHFERKLEEQGFHRFERHPANAPRGVGIGTPPPKSGRGGKYTWEGPGGLVEDQMDPAPAAIDRNDPNYDEEEEQGNDGDEVAKEAVVGEVEVAKVAEPRDGVARVDVAPPLLQEQQQ >Et_10A_000459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10747531:10748776:1 gene:Et_10A_000459 transcript:Et_10A_000459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLRPHRHAHGPAAVTVRLIRSRSNQQSSSSPLFRSCCRSSSVLRSMAQDPSHPHRQSKDTAAPPPPPQQQPEIAQHQPPAPEQTPPRDVQQQEASTSSSSGSDTGASSWLQLGLGPSPSSSSPSSSRRKRRRTTTDEAAAAGPSTSAQPPPPPPPPQLRLGLHPAGPSSSSSTAAAAAVRVAAAPPPPPHESGTWFFLQAAQNQRREPPLPQIPRSYLRVRLATKTPPMGLHVRLQHLPFTRDGRMTVRVVMRYLVNKLGLEDDSQIIT >Et_9A_063121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10931406:10932122:1 gene:Et_9A_063121 transcript:Et_9A_063121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTANESTAGDDDSDDGDVMARVRHRHSTATTASNVVHGDGGRPWERWTRSYSALTRLIRLDRTATSRKTRSSKSNGGSQAIVNCCFCCCFCCVAATMDGERLGTRESSHLLVGITDGDEATPRAISPTACRNWAIPAVSPTLWFHVTPTTKPPQENSVACTSISGQPAQPPSSYSLLVAPAGDAADGVSSFRSLDCSREQAADAATNTTPSPVHWISSAAPAGMRPEMG >Et_7B_055814.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5224407:5225045:-1 gene:Et_7B_055814 transcript:Et_7B_055814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYSELVLPKQLLHLLLLLGYIRRFLLWAFHAVGLGDLLDLGDDHHQALLTHDHHHHALPQGGATQLLLPPHRRPEFRPVPAMLVEEVLPVVRFAELCPAAGGCEAEEDCAVCLSGIGGGDEVRRLANCRHVFHRGCLDRWMEHDQRTCPLCRAPLIPDEMAGAMLWAAAAAVPDASDFDLTYFGAPGPSPTLLRPHELLLTGMGGYQ >Et_4B_038389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28768454:28774246:1 gene:Et_4B_038389 transcript:Et_4B_038389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARLDAQSSRLYGGGLVELDLNRHEKRVFGWDLNDWNWDSERFVATPVPASVANGSALNSSPSSSEEAEAEAARNGAVRGDSDKRKRVVVIDDDDTEDQDLVGNSSGALSLRIGGDSLGAGTVENGGVNEEERNGKKIKVQGGSSSGPACQVEGCEADLTAAKDYHRRHKVCEMHAKATTAMVGNTVQRFCQQCSRFHLLQEFDEGKRSCRRRLAGHNRRRRKTRPDIGFGGTASIEDKELLSNLLRNLGTVAKSLEPKELSKLLEACQSLQNGSNAGTSGTANALVNNSAAEAAGPSNSKPLFMNGNQCGQASSSAMPVQSKATMAATPEPRACKVKDFDLNDTCDDMEGFENGEEGSPTPAFKTADSPNCPSWMQQDSTQSPPQTSGNSDSTSTQSLSSSNGDAQCRTDKIVFKLFEKVPSDLPPVLRSQILGWLSSSPTDIESYIRPGCIILTVYLRLVESAWRELSDNMSSHLDKLLNSSSDNFWASGLVLVMVRHQLAFMYNGRVMLDRPLAPSSYHYCRILCVRPVAAPYSAAVNFKVEGINLINASSRVICSFEGRCLFQEDTAIVADDAELEDRDTECLSFCCPLPGSRGRGFIEVEDSGFSNGFFPFIIAEQDVCSEVTELESIFESSSHELADVDDVARSQAFEFLNELGWLLHRANSISKQDKTEPPLATFNLWRFRNLGIFAMEREWCAVMKMLLDFLFIGLVDVGSQSPEEVVLSENLLHVAVRRKSVQMVRFLLRYKSNKNSKGTAQMHLFRPDALGPSTITPLHIAASTGDAEDVLDALTDDPGLVGISAWKNARDGTGFTPEDYARQRGNDAYLNLVQKKIDKHLSKGHVVLGVPSSMCPVTNDGVKPGNVSLEICRSMPMEKCHLCSRQALKYPRSAVRTFLYRPAMLTMMGVAVVCVCVGILLHTMPKVYAAPTFRWELLERGAM >Et_1B_010858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14818356:14822723:-1 gene:Et_1B_010858 transcript:Et_1B_010858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQLPPTSPVRRSIANDAPPLPPLIRRCRQRQDKNFKPSHSPSGALLPQWRRYASADSSSAPTPPPPPSPPKGPLRSGGGTTASSLSPAEVAKFTAIAETRWDFSGPFKPLHLMNPTGLSFIRSSLCRHFRRDPYSSKPLEGLRVIDVGCRGGILSEHAANPLEFCESLSASIFPTGATMVSMINRSMKAYATAIVAAEYILRWLPKGTHEWFKLVTPEELVLLLQRASVSVQEMAGFVYNPLSGEWSLSDGISVNYIVLGIKKSEEPSAMTEAPAPLTQKQS >Et_8B_059454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18016931:18018192:1 gene:Et_8B_059454 transcript:Et_8B_059454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSMISSSALAAPKGLPSLGRAGSSSVVVCSGGKKIKTDKPFGIGGGLTVDVDASGRKVKGKGVYQFVDKYGANVDGYSPIYKEEDWSPSGDVYVGGTTGLLIWAVTLAGLLGGGALLVYNTSALAS >Et_3A_023655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10412402:10416275:-1 gene:Et_3A_023655 transcript:Et_3A_023655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFFDRKLPDSYLICMEMGMAPELMYGQNVFVPATANPYQYGYAEVGSPMEWYNQPSTLGYDNQDIYYPTEGMQCVYYTAPDNGSMHPSYSPYPVDPSFIPDGSFMTQEYVVDTTNSTCQIVPPSYPPSYYIPAGLPYAQDSVPGSTATLLNPPNVAYLPSLPGYAATSANGASPLIAPVTTKTDVVVNPPVQSTIVSSKQFEGHTKLKVQTIPLKQERPDGSTVPVKLPHASQASKHLPEGPKSTAKHSPKEKLSANNCFGYAGADLQKWASAEKFQPSSKSSNHLNGPGQKLLNENGLGDLEKPSNQKTSAIIAKSYTSRLPVGNPEGTIHIRTDEYNRNDLRVDYTYAKFFVIKSIGEGDVHKSIKYGVWSSSSSGNMKLDNAFRDADRISKRNNTKCPVFLFFSVNGSGHFCGMAEMVGPVDFQRDMDFWSQDKWNGCFPVRWHIVKDIPNYSLQQITLQNNENKPVTHSRDTQEIPYIPGITLLKIFKDIKVKECLFDDFMRYEEEEARIKQHYRRCKLSHNAPDFVPVSQRREDASDSQQNKVGSVLIDRTSEIQNVSEKPHVRNVIKHQDPCVESVEKQASEAGKENGHHENPCKQSHQDAAKASQPPASSLRTGVDGKQQYWKKVETPRSQADNTAQGSSKAPQKRLNGVCGPATVVSETSEEQKIIAKVTSLKISSKAGEADRKSCPVGVVTIGSMPVRVSEV >Et_10B_003346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1970509:1974894:-1 gene:Et_10B_003346 transcript:Et_10B_003346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPASSGGAYSCETAAQTREWMEALAAFLRRHRPLLEAHVVNFFKDRMWEMVDAEWMECLRREPVESLLKLPSGCVQEHWPTTLQEFVLTARSLVLPREQKSPQSLAPDLHVASIGTVLAQGMNSKKKHEIESLAAAVHAIAKSHGAKTVVDVGSGQGYLAQALSFEYKLPVVAIDASSHHASVTNARAERIKKHYAANVEKQQLTIPRTVTCHVLSSDTLAAVTLDACKDDHGKHKTKENPQFQEISHSCPPLILAGLHACGDLSVNMLRVFVSCEQVKALVSVGCCYNLLSEDCCEETNSCPGFPMSKAAKLCDLMLGKSIRDLACQSAERWRSLTKDIAIQNFDIHAFRAAFQMISKLSPSIGRQGKALRRQRLQKVMESHMVMEKTDDFSCSTSKEQNMNSNEVDTPTYGVDKRLADTDHGECKKFALFRDYTISGFGRLGCGSVDDASLLEIWKDVQPFAEYIGPFWCLRAALGPVVETYILLDRLLFLQEQGNLVEAFLFPIFDPTMSPRNMAIIAWKLSAEA >Et_7A_051349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17152334:17156459:-1 gene:Et_7A_051349 transcript:Et_7A_051349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKSGSNISPKTKELVLTEILRLVEACNGDENMMRSYRGNQFELKRQRDGGLEKITWTAGIEFDESILFWHFATDIFLFFYAREQEGNNELVEATKAVSNYMMFLLVEHPYMLPSPVRSRLYLSARQKLGPLLSLGKDRMFEHIRDKVIPDYEDFPQILYPGAKLANQLLSKNWSDMTDVLKVVFRVWVEKLSYAAHHCSRDSHARRLNNGGGEFITIIWLLTAALFHGHNSDEVWYRQRAAKFFETRRSTQYKDETYNVLQRPMVDVRTLFHLERKLGSGKFGTTYLCTERATGLKYACKSVSRQKLMRRSDVDDMRREITILQHLSGQPNVVEFKGGFEDPECVHIVMELCSGGELFDRLTAKGSYSERQVAALCRDIVTIVHDCHFMGVMHRDLRLENLLHASPADDAPLKAIDFGQSVFIEEGKLYKDIVGSSYYVAPEVLRRNHGKEVDIWSAGVILYILLCRSPPFWAETKKGIFDAILVGDLDFAPWPYISESVKDLIRKMLNRDPQRRITAAQALEHPWLKGGGAPDRPVDSAVLSRMKQFKAMNKLKQLAYKVIAENLSAVEINVLKQMFINMDTDMSGTITSEELKQGLRILGSNISEAEVQKLMEAVDVDKSGSFDYTEFLTVMMNKHKVQKDADLFRAFHYFDKDSSGYITRDELEQAMAEYGMGDEASIKQILDEFDRNKDGTIDYEEFVEMMRKNEPIPEAIL >Et_3A_023354.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:22329572:22329745:-1 gene:Et_3A_023354 transcript:Et_3A_023354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMQPQSGAKKRTSCSSRSWPAMARVLPTAGTKCPVPWVAAGVLMRCAANTSSSRRT >Et_6A_048008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3334879:3339476:1 gene:Et_6A_048008 transcript:Et_6A_048008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGARPVESPADNKATTTASAADTKLTVVLGPTTTTMPAASKEACPACGATTATDDDDDASATMSPSTRRAQWLRAAVLGASDGLVSTAALMLGVGAARPADLRAVVLTGLAGLVAGACSMAIGEYVSVHAQLDVELAAERKRGPLAGGRGRPELPRPGQAAAASAASFAAGAAVPLLAAWFVGSYKARVAVVVATATVALAAFGSLGAVLGRAPSGRAGLRAVVGGMVAMGITSSSASAAVLDLSREEGTTAELEALLPDEQCGALFLPGERGSPLPPPPAFTVGITIGALSYLNKGFLGELGEGEWDGDGDRGGDGWHSSDTKIFFFPDDVLCGCEDAVRELSGISIFGCGRPWDSDGPVPCSVLQLCRLVTGTHGGAMPEGREFNFLRKSVVGLPT >Et_7A_052691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12809338:12813351:1 gene:Et_7A_052691 transcript:Et_7A_052691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETILVADDLMRGPPSPVIPKEIASHVLEGVELCDGLQINDIEPFCQDEIVLYRQCAEKRDKEIRQRMQDSEYKLGVSMPLEAAKERASQLQSELTLLERRMILASGLEGMEGFRQRWSLHGQLGDTRKRLEALNHGMTKRENQSSKEEGTEPPARKSADG >Et_2A_017905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7713857:7718112:-1 gene:Et_2A_017905 transcript:Et_2A_017905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSDAKARKASLAESILAAISELMSPATAVDAEPENFMDVGSQIHHAPPGTMGLQKAQNSPNSTMHVMEYSYLAANVELAKELVAKCSAVAQQLMNDDLRSITKELDNAINAISNELNRFPASTFVSSRHPDAADSGHLQVVNSRQDLYDQRSCDGFSEGDMPMVVAIDRPRRRTLHNSDMPRLVDFLQGMYQESHEFGAQSFNSLPEVAEYVEPLYDSFFCPLTDKVMVDPVTTESGVTYDRRAIEEYFEKFTDGSEPVICPVTKMAMQSKTLRSNLPLKSTIAEWIMRNEATRVRIARTALSMATTEAMVLEALHELKVLARMRRKNRDQMHKIGITKFLARLLEHKDAVIRCDSLDLLCLLVEDETGKEIIAKTRAVSRTIKLLSSTSPDERHAAISFLVELSKSDLLLENIGSTAGSILILTTMKFNSSDDPIAAEKAGEVLKNLERCPKNIKYMAESGYLDPLQRHLVEGSEDVQMEMVSYLGELVQKQEMTINIAGSASEILIKMVCSGNTAIRKAALDVLVQISSHHPNARTLVAANAVPVMVEELFIRKIDDEPIGSKTEAAAVLANIVESGLDPENIVVNKEGHFITSKYSVYNFAHMLKCTMPDTLNLSVVRVLLALTARPKPLATVVSVMKEQDNSHTVIELMASPTLEALAVSATRLLIALSPQMGHTIAEKLCRAPGQPGRLVKSIGQPGRVTERHAASATLLAKLPYQHVALNTSLVSRGGVPTLLAKIEEMQRGGMRAGRHGRAYMEGLVGALVRLTATLYDRDVLHAAMDHNFTSVLTDILVRSVGSDEVQRLAAVGLENLSCQSSTLSQPPAEERRPKRKNILQRLRDAHVGRVHDNGRSPSAAAADHHGRVCPVHRGVCSPAGTFCLVEAGAVEGLLGVVEGNESGRVVEAALGALCTLMDDAVDAAGGVAVLAEHDAARHVLRALRQHRDVGEDDGAAAVTRRCFWAVERFLAHGGERCVRDVTGDRALPSLLVAAFHKGDAATKQVAESVLRCLHRIPDYSATYESVEL >Et_3B_030468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:401896:403350:-1 gene:Et_3B_030468 transcript:Et_3B_030468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSYVQAEDQVPVYESGAEVLQVLQEKCNKTSAPAPYPAMYSSVVGGVILDPAMMVLPMDDHMVHRGHGVFDTAMILDGCLYELDAHLERFLRSAANARIASPFPSRDTLRGILVQMTAASGCRRGSIRYWLSSGPGDFLLSSKGCPSPAFYGVVIAAEYEQCREGVRAVTTSVPMKPPQFATVKNVNYLPNVLSIMDAEDRGAFASVWVDDGGHVAEGPMVNVAFVTPERELLLPPFEKILSGCTAKRMLALAPKLVEAGLLAAVVNDRHITVDEAKRSLEMAFVGSGLPVLPIVEWDGQPIGDGKVGPLMLALSDLLWEDMKSGPDRIPVPYAAV >Et_3B_029044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21668245:21675027:1 gene:Et_3B_029044 transcript:Et_3B_029044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLISLVNKLQRACTALGDHGEGSALPTLWDSLPVIAVVGGQSSGKSSVLEAVVGKDFLPRGSGIVTRRPLVLQLHRIDGQGEYAEFMHLKNKKFTDFALVRQEIADETDRATGHSKQISSVPIYLSIYSPNVVNLTLVDLPGLTKVAVEGQPDNIVQDIENMVRSFIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRSYRLPYSWIGVVNRSQQDINKSVDMIAARFREREYFTNTPQYKHLAQRMGSEHLAKMLSKHLESVIKSRIPGLQSLITKTTAELETELYRLGKPIAADAGGKLFTIMEICRMFDGIYKEHLDGARPGGEKIYHIFDNQFPVALKRLQFENNLSMENVKKLITQADGYQPHLIAPEQGYRRLIESCLVSIRGPAETAIDAVHEVLKELVHKSIKETHELKQFPTLRVEVGNAACKSLDRMRDESKKNTLKLVDMECDYLTVDFFRKLPQDIEKGGSATHSIYDRYNDSYLKRIGTNVLAYVDMVCSTLRNSIPKSIVYCQVREAKRSLLDHFFTELGSRETKQLSKLLDEDPAVMERRANLAKRLELYRSAQEEIDAVAWTK >Et_1B_012829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35413097:35415416:-1 gene:Et_1B_012829 transcript:Et_1B_012829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGPRGRVLTEEDPRGGRTREGKFDVLAIWARDYREAWESDNAIHYGPFDRITPIPPMRFTDGPVSCHASDHYRTLQIFSVKIRELRRGLQWPLHVFGIVAVRDTIDHNRNIIFHRKRENCQILTEQDPYLVLTGPTRAVMVCTPVYFEVVLKLKASTESEDKELSFLTVSLTGSCEESSYTCLINREYTSRLSTLELKFGRVVRSVEATVNVRITDGAWPVGFYGRFTAQTASLEDNKILLLDSSGDESVPVDVDGVIKLSRRVASVELEGELNVSVVAFECDNDNKIIIVGKDDDHFRPKRAGKSFGKLDVSFCKMEVTVFWSLISLFPDN >Et_3A_025751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32569452:32571603:1 gene:Et_3A_025751 transcript:Et_3A_025751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASVHKDSGFPKKLFLASPQKAKAINGKGGGGGGVAPAGDGFGDFKFKIDGEQQRTGFVSKSPDSGSKDEIFFESRAWLDSDCEDDFYSVNGDFTPSRGNTPNYQPRTQTVMTNVFQPNNVHNSKSPEPSPTGRKKLAELLQESMHNVSEENTNISKNEKQQTQSTAAEGKPLSESSSACSMEPTPVRVAKSRKEKAWYTGRCCLPSFVHSLTVDESESRQKMNPGPCAV >Et_1B_010199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29366492:29367856:-1 gene:Et_1B_010199 transcript:Et_1B_010199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYEIGRQLGQGNFAKVYYARNLTSGEAVAIKMIDKDKVVRVGLMVQIKREISIMRLVRHPNVLQLFEVMASKSKIYFVLEYAKGGELFNKITKGKFNEDVARRYFHQLISANENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRRGYDGAKADIWSCGVILFVLVAGYLPFHDSNLIEMYRKISKAEYRCPRSFSVELKDLLFRMLDPDPTTRISISRIKRNTWYRRSIEGHEHSNSGENQGASSFQNLNAFDIISLSTGFDLSNLFEEKHGRREERFTTRQPAVTVFAKLNELAERLKLKIKKKENGILKLAAPKEGIKGFLELDAEIFELAPSFLLVELKKTNGDTIEYQKLVKEEIRPALKDMVWAWQSDRHQQRGQSVQGEEQQLPLPSQQTQE >Et_2B_020427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2028940:2033962:-1 gene:Et_2B_020427 transcript:Et_2B_020427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAQPPDTEMADAGGGAGGGGQPPQQPAGGGGAGGMMENIQATLSHGGRFIQYNIFGNVFEVTAKYKPPILPIGKGAYGIVCSALNSETGEQVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENIVAIRDIIPPAQREAFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNSSEYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPNEADLDFVNENARRYIRQLPRHARQSFPEKFPHVQPLAIDLVEKMLTFDPRQRITVEGALAHPYLASLHDISDEPVCSMPFSFDFEQHALSEEQMKDLIYQEALAFNPEYQ >Et_3B_031659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7029557:7030232:-1 gene:Et_3B_031659 transcript:Et_3B_031659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRPDLKKEPISKREEEVIISLQQSLGNRWSTIAASMPGRTDNEIKNYWNSRIRKRLNAAAKAGGADDNAAEEPAPAAAGKEEESTNNEATTAASLPIPARFPVFACQLLDGGGSDGGDGAVSSSTTTQQQNSGSEESEASVGDSNMIHDFFSFDEIDYPSDLLMDVPGVMDAWESVLYSANSMGSIN >Et_9A_062503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23575432:23578010:-1 gene:Et_9A_062503 transcript:Et_9A_062503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAAAARRLASCTRQQSLVLGSQAEAPHLLAPGNPSAAAAAFLHSHATSFGYKQVREEEKSKLVGNVFSSVASSYDLMNDLMSAGLHRLWKDRLVSQLSPFPGMKHLDVAGGTGDVAFRVLDSIKSVSRRAVGGAISDIEEDTHIYVCDINPNMLNVGKKHAAERGYNEEKCLSWIQGDAEALSFEDGSMDGYTIAFGIRNVTHIEKALAEAYSYDVYSFSVIPAVGELVAGDRQSYQYLVESIRRFPNQEKFARMIQEAGFERVEYENLVGGVVAIHSGLKL >Et_1B_013785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16200001:16201650:-1 gene:Et_1B_013785 transcript:Et_1B_013785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSVAPPAAVDDAVGAPSRSAMIRRTFAGHHLLHIDDYSQTKEELPNGKYLNVDCLCGVGGFSWHIHYYPNGHDYFNDDCVSVFVSIDRSAGAGIEPIRALARFSLLDQAAKPVQSHVRTTGIHDFNGHSFGYDRYMKKRWLEKSEHLKDDSFTIRIDVIVTTNLPAVETTVASPPLVKVPPFDLHRHLGNLLESAEGADVTFQVAGETFKAHRYILAFRSVVFRVELLGPMKEGTDRESIIHVDDMEAEAAACDAGKA >Et_7A_051574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20572240:20574110:1 gene:Et_7A_051574 transcript:Et_7A_051574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAECRYEVSQAAYVKLALHALKHPAAPVNGLLVGRLADPSATPAVVSVVDAVPLSHHPHHLPLLPTLELALTLVEDHFASQDGGLAVVGYYHANPRRDDADLPPVAKRVGDHIFRYFPRSAMLLVDNKKLEEAVKGKSRDPVVQLYTRDSSKSWRQAGSDGSSQLALKEPSTNAVLADHVTTKKWEKIVDFDDHLDDISK >Et_2A_018634.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3801475:3803226:1 gene:Et_2A_018634 transcript:Et_2A_018634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWLVDAGAHADAVALHRDMRRQCPCPAAQENVVLSLALKACVRSAHFGYGRWLHCDAVKAGGADDFVMNSLVDMYGKTGDLECARKVFDRIPGRNVVSWTAMLSGYVQNGFASEALLLFNDMRQENVHPSEYTWASVLASCTMLSCLHQGRWIHGSVMKYGLISNSFISAALLDMYVKCREVEDARAVFDELSCVDLVLWTTMIVGYTQNGSPVAALHLFLDKKFLSIVPNSVTIATVLSASAQLRDLSLGRLIHGMAIKLGVVGDDVVMNAFVDMYAKCQAVSDANRLFGRILNKDVVTWNSMISGFAENDMANDALMIFKQMRLQGASPDPISVVNALSACVCLGDLLIGKCFHTYAVKHAFMSNIYVNTALLNLYNKCADLPSARRVFDEMNDRNSVTWCAMIGGYGMQGDSASSIDLFNKMLKDSIHPNDVVFTSILSTCSHTGMVAVGKKYFNSMAQQFNITPSMKHYACMVDVLARAGNLEEALEFIKKMPMQADNSVWGAFLHGCNLHSRLEFGEEAIKRMMVLHPDNPDLFVLISNLYTSNGMWDKSLAIRRWMQETGLVKVPGRSSVGSENG >Et_6B_049287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2820980:2827990:1 gene:Et_6B_049287 transcript:Et_6B_049287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGECSTSKPTQPCSTSASSPAGAAIWTKLVPADSALPEVEVAEDNAVVCSLVNPAGGEELAWCEIRRGGDASSATIRNLSSDAIIVDGRIVKQESVDIKQGSEIISGPQKEGHLVYTFEITDAKDHDKNQIKIVLDIENAKCSICLNLWHDVVTVAPCLHNFCNGCFSEWLRRSSANSRDKSAACPQCRTAVQSAILQAFSSLQRSDEEIALLESYASVKSNIILAKQKNVSRKRPLPRSSDEINNHDLLCPQCRNEFGGFRCSPGAAHLQCNGCGGMMPVRSNISIPQKCLGCDRAFCGAYWSSQGVNSSHCNISQHHISGLPDTVHGGNSYEKDITDRCIQQSGKTLQAVISEWISKFENRELDRSRLQLNNVEAITSRTYLLPPDVANRDSCWYGFLCRTQHHRPDHARKLNHVCRPTRGNP >Et_3B_030302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32098249:32099250:1 gene:Et_3B_030302 transcript:Et_3B_030302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAWGCSFLALALRRLVQLPALLFCEAMAWSLSFLAFPLRMLSAVEKERKLSGLIAEMQSQMDDLVWENKDLEDRLRAALKEQDAMEAVLDEMEEEHEDAFARIDALENQVKALKQENMRLNEHKGKAEWDKKAKAAEAAAGRHHGSKQDGSKKKKLAKDAAVAPQEQDDALTSEEEALAKAVARRRSLFSLGMSLAVAAVAWSADAPCLPLLAGLFAVVGVSMRSCAALRRAGDAVALLSLNWFLLGVFTSPMLPRVARAVVPRAGPAIAWVAAAVPL >Et_1B_011595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23959652:23962379:-1 gene:Et_1B_011595 transcript:Et_1B_011595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKSWKARDVAGAFNIAVVDEEEDAVPAIPPPQTPLEPMEYLSRSWSVSASEISKILVGGSRKSSVAASRLPEMTIPEDSVLATTTSIVPLPCHQQQRDSRRNSMSSSGGGHHRSIGKWFQVHHREAWRAKQSSKEKQRAEKAHVHAMVSVARVAAAVAAVAAATSSDIQTSKMAAAMASATELLASHCVETAQHAGARHEQVAGAVQAAVGVRSPGDLMTLTAAAATETRSNASVLPYEKGHSWSPDIWCKEGELLKRTRKGDLQRTRVSIYINKRSQVILKLKSKHIGGALSKNSKSVVYGVYSELPTWSELGKGSMEETLCFGLSTAQGLVEFECENGTSKQKWVDDVQNLLCQAAVDDHLGSRLGSVKLS >Et_5A_041453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22985695:22998765:-1 gene:Et_5A_041453 transcript:Et_5A_041453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGSSKLHLSWLWRAPRRALGAARDFYVRSLTGCAGHITGDAAFGYPAFGAAPYGGAFSFASSSRRSSSADVDDDLRELIRAASQRRVAEAAAAAAAAAPPPPVPRSQSVAMARIDEDGPCEFGDAASGAMFLPRSQSCAQSAPLAPASSAAPYDGAMAEYRLSAQLQGHEDDVRGICICGDAGIATSSRDRTVKFWTRQPEKNSEYVLSKTLVGHSSFVGPLAWIPPSERFPEGGIVSGGMDTLILLWDLHKGEVVETMKGHTSQVTGLAVDSNGDIISSSMDCTVRRWRNGKAIEVWEAHKVAVQTVLKLPTGELFTGSSDSTIKLWKGRTCLQTFSGHADTVRCLAPMPGLGILSASHDSTIKLWELTGQPLLDLIGHTSLVYSVDAHSSGQIASGSEDRSLKIWKDGICVQTIEHPGCIWDVKFLENGDIVTACSDGTARIWTTDTSRSCGDEELAAYTDLISQYTLSRKTVGGLKLMDLPGVEALQVPGNSDGQTLIVREGDNGVAYSWNSTELKWDKIGEVVDGPGSGDAAQGQVLDGVRYDFVFNVDIGDGEPIRKLPYNRSDDPYAVADKWLLKENLPLTYRQQVVEFILQNSGQNNFVPDPSFRDPYTGANAYVPGQPSSSNGSAPKQTFKHIPKKGMLSFDTAQFEGILKKLSEFNATLSSDLEQKQICLSEAELSRLSAIVKVLKDTSFYHTSKLGNADMDLLLKMLKSWPPQMMFPVIDFLRMFVLHPDGATLLLKTIESGNDVLMETLRKAVAPPVLPANVLTILKAMSNVFDKSCLHQWLRTHCAEIIDSVSSCRPSFSKNAHLAYATLLLNYAVLSIESKDEQCQVQILSAALEIAEDDTQDFDSKYRALVAIGSLMLNGLVKSIALDLDVKSVANSAKASMDSKIAEVGADIELLTR >Et_5A_040726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11625430:11627704:1 gene:Et_5A_040726 transcript:Et_5A_040726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFLYIGPRVCSENCDCDQDGNWRNQEISLPDLQDVKIQGFSAAYHEIVFIAPILKTMNVRLSDVVSPSDEGCQKLRSIFEAMLLLNAIFMTNLTPGPSMLIPC >Et_2A_016954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29846159:29846824:-1 gene:Et_2A_016954 transcript:Et_2A_016954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDTGSSFAQWASLYDPEPSPEPGAGFAAAADGNAAIAAAAGSPTSAGSGGSPTKSAAAYQPGIEGPRVGKPARRRSRASRRAPVTLLNTDTANFRAMVQQFTGIPAPPAGAFGGPVINFAGGEYGFPAPAGVMPFDHHLHHRSQHHHRAPAPLHDQLRRQQQYTGAAFGSGAGDMFASAEDRLLLQSMQAAQMPPASVANNSSLGFFA >Et_6A_048038.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4093234:4094805:-1 gene:Et_6A_048038 transcript:Et_6A_048038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMVTSVVAQEVVSQIVSVLVQGVGKVESNANENLERLEMAHIRLGAVLETSERWQVSDPSLLLWRMKLKHAAQECDDMLHKCKRRILEDEQKNLQSRNSTLPNWIANATKSFVSSIFNFDNNELNESIVRRFEWYADGASEFLRFIEIGGTPRCHRPFDSLLKNLFEGKQVHHTISKGNKYPLFQLWLVPYSTSEHGTEAILIIIQEDSTAPEGNIYFSIILQLSESTDIIGIAIKCLHLFVPHFKSPVEKIKRELAQLPAQDLSWVPTVDQFKKEHWDNLHSLGTQWFRPNPLCCKQHDRHDQRELQHDLDMAGVSDVSIEPVIEVNFQCQVSLSVQKKQLMTSQPEDKISTQASPHLKAGISFQPHGSSGAMLPVTKNSAITSIVDEEQDCMHTDITLEQLKDIILPRAIDYFRENSDATLYQMIWKSKHGCAFIQFEKASISSTRGTGMQTRRTNGGGRKRKASLGEYEELRRRTRMIAHLLHLWGAHAPPRLRRLLTDWVEKEKEIQLEAPQLQLKF >Et_9B_063794.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14397599:14397949:1 gene:Et_9B_063794 transcript:Et_9B_063794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVLRPHDCLARTSGRRPLRPAAKTAAAARRGHHGHGHGARGDRWASAAPRQVRTKAASTVEAADAYAGPAFGSMSPSPRALPLPRFSSRAASDAAAGVDDSATRELRRLLGLH >Et_7B_053597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10126392:10133251:1 gene:Et_7B_053597 transcript:Et_7B_053597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGEGGDHEAVPLISDQPKHAGIVRSGSMWTAAAHVITAVIGSGVLSLAWSIAQLGWVAGPATMLVFAAVTALQSTLFADCYRSPDPEHGPHRNRTYAGAVDRNLGTAWTCAAHIITAVIGAGVLSLAWAVAQLGWVAGPACMLCFALVTYVSAALLTECYRRGDPEKGPRNHCYMDAVRVFLGKKHTWACGSLQYVSLYGCGVAYTITTATSIRAILKSNCYHDHGHDAPCNYGGSYYMLIFGGAQLLLSFIPDFHDMAWLSVVAAVMSFSYAFIGLGLGIANTIANGTIKGSITGVPMRSPVQKIWRVSQAIGDIAFAYPYSLILLEIQDTLKSPPAENKTMKKASMISILVTTFFYLCCGCFGYAAFGSDAPGNLLTGFGFYEPYWLIDFANACIILHLLGGYQVYSQPIFQFVDKFFAEKFPDSGFVNDFHSVKLPCLPRCRVNLLRVCFRTLYVASTTVVAIVFPYFNEVLALLGALNFWPLAIYFPVEMYFIQRNVPKWSTRWVVLQTFSVVCLLVSAFALVGSIEGLISQKLG >Et_9A_060984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2470859:2471154:-1 gene:Et_9A_060984 transcript:Et_9A_060984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAANCIDILIAIILPPLGVFLKFGCGHEFWICLLLTFLGYLPGIIYAVYAITK >Et_2A_017738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5669037:5679520:-1 gene:Et_2A_017738 transcript:Et_2A_017738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLGWRFNGTNGNSHGGADLERHVDKVQESEPPTPRSVMKMGKSRVHVEDEETLSSVAQCIEQLRQSSSSTQEKENSLKQLLDLVQTRDTAFGAVGSHSQAVPILVSLLRSGSSGVKMLAATVLGSLCKEEELRVKVLLGGCIPPLLALLRSKSAESQTAAAKTIYAVSQGGIRDHVGSKIFSTENVVPVLWEQLKISLKNESLVDGLLTGALKNLSKNTEGFWSATVQCGGVDILIKLVGSGLTNTLANACYLLGSLMMEDSSVCSKVLSGETTKHLLKLLGPGNETSIRAEAAGALKSLSAQSKEARRQIANSNGIPALINATIAPSKEFMQGESAQALQENAMCALANISGGLSFVISSLGESLESCSSPAQIADTLGALASALMIYDTNAESISASDPLVVEKTLLKQFKPKVPFLVQERIIEALASLYSNPVLCKTLADSDAKRLLVGLITMAGTEVQDDLMKSLFALCKKDCDLWQALQGREGVQLLISLLGLSSEQQQECAVALLALLSKENDESKWAITAAGGIPPLVQILETGSPKAKEDSAIILGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASKTLNHLIQKSDTGTISQLSALLTSEQPESKVYVLDALKSLLSVAPLNDMLHEGSAANDAVETMIKILSSPKEETQAKSASALAGLFHCRKDLRETHIAVKTLWSVMKLLDTQSDKILMEASCCLAAIFLSIKQNKEIAAIGRDALAPLVSLANSTVIEVAEQATRALANLFLDQELSIQVSFEEILVRVTRVLREGTIDGRTHAAAAIARLLQCRAINQLLSDTINRSGAVLALAGLLEAANGEAAATSEVLDALVILSRSKASSGHTKAPWAVLAENPHTILPLVACIADAAPSLQDKAIEVVSRLCSEQHDVVGGLVSETPGCIVSVTRRVIGSNVLKVKVGGCALLVCAAKEHCQKQIEILSDSSLYIQLIHSLIGMIHMTNTTAENGSGDSITDIRISRYSKENDSEGETLCRTAVISGNMIPLWLLAVFSRHDSKTRAEILEAGAVEMLTEKISQNAFQYVGEEDSTSWVCALLLAVLFQEREIIRSNSALHSIPVLSNLLRSDEPAYRYFAAQALSSLVCNGSRGTLLAVANSGAAIGLISLLGCADVDIADLLELSEEFMLVPNPDQIALERLFRVDDIRVGATSRKSIPLLVDLLKPIPERPGAPFLALGLLTQLAVDCTPNMLLMAEAGILEGLTKYLSLSPQDATEEATTELLGVLFSCEEIRHHESSLGAVNQLVAVLRLGGRNSRYSAAKALESLFYADHVRNSESARHAIQPLVEILSTGMEREQHAAISALVRLLCDNPSRALAVADVEMNAVDVLCRILSSDCSAELKGDAAELCCVLFANTRIRSTMAAARCVEPLVGLLVSEANAAQLSVVRALDRVLDDEQLAELVAAHGAVVPLADMGPEGQYSTLQVLVNILEHPECRADYNLTPRQTIEPVITLLNSSPPAVQQLAAELLSHLLLEEHLQKDTITEQAITPLIQVLSSGLPNLQQRAIKALANLAIAWPNTIAKEGGVFELSKVLLQSDPPLPHVVWESAASVLSSILQYSTEFFLEVPVAVLVQLLRSGTESTVVGALNALLVLESDDSTSAEAMAESGAVEALLDLLRSHQCEETAARLIEALLNNVRIREAKAAKNAIAPLSMYLLDPQTQSQQGRLLAALALGDLFQNEALARCTDAVAACRALVNLLEDQPTEEMKVVAICALQNLVMYSRANKRAVAESGGVQVLLDLISSSNPDTSVQAAMFVKLLFNNHTIQEYATSETVRVITASIEKDIWASGSANEEYLKALNALLSNFPRLRVTEPATLCIPHLVTSLKTGSEATQEAALDSLYLLRQAWTACPAEVFKAQSVAAAEAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLTVTIKRGNNLKQSVGNPSAFCKLTLGNNPARLTKIVSTGAAPEWDEAFAWAFDSPPKGQKLHISCKNNSKFGKKSFGKVTIQIDRVVMLGSVAGEYTLLPESKSGPNRNLEIEFQWSNK >Et_3A_025602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31175327:31178717:1 gene:Et_3A_025602 transcript:Et_3A_025602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARVAPPRALSAERRRASLGAVGVSLPRPRGRVRSAQPYEEILRGYRQLISTNYNSRSLLCHPCSCAQTALADSRISYEPEVDKHTGVLAYDLVQGSLVQWNSFTDKSIPDPPTAVLLHGILGSRKNWGSFAKRLAQEFPMWQFLLVDLRCHGDSASLKKRGPHTVASTAHDVLKLIVQLRLNPRVLVGHSFGGKVALSMVEQAAKPLARPVRVWVLDATPGKVRAGGDGEDHPAELIEFLRRMPAQVSSKQEVVDALIKGQFSVDVARWVATNLRRNSPLGPSSSSNFSWTFDLNGISEMYNSYEDTNLWGIVENVPRGVHINFLKAERSLHRWALEDIQRIHTAEELAADEGGGVEMHLLEDAGHWVHADNPDGLFRILSSTFRIETTIRGMQD >Et_9B_066238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7985111:7991623:1 gene:Et_9B_066238 transcript:Et_9B_066238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQRNPRKRGSPAPAPAPEAERPVALTLPGFVADRAEAAARVEGLLGYQFRDRALLEEALTHQSFSDGGGARGGAASFSYQRLEFVGDAALGLAFSNFFYLTNPDLGPGALSTLRAANISTEKLARVAVRHDLYPLLRRNCPRLDLLVGQFIESVKQEPEDAIGTVPYGGSVVKAPKVLADIVEAIGAAVYVDCKFDLEKLWKVTRWLFEPIITAETVDEQPVTTLHELCQKHGKAAKFNTWRNGGMTVVNVFVGEELVGIGSSEHQIIAKLNAARDALGKLTSGATLQVLTTSVDNGSKDGVGELRECKQKLNEQCIRKNWPKPFFKIEKEDGPAHERKFVCSVQLEIQNGISRKEKQNDISKKGTQNNIFIAMGDPMSRVKDAENSAAQKMLERPTIEAGNLQYQTFADFD >Et_2A_014965.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23739461:23739571:1 gene:Et_2A_014965 transcript:Et_2A_014965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPASTRTPPSRRSWSTSSATPPKPRPRSRGETS >Et_4B_037529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20960526:20961011:1 gene:Et_4B_037529 transcript:Et_4B_037529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYDAVFTFWNPRHENGVTLHHAQLLTTVTIGCTRRIGKKEKQIKAATLIYTIWNIWKERNRRIFQHRKMTPQQVFGLIKEEIKLRKMAYGHSQAE >Et_1A_005837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14512690:14514603:-1 gene:Et_1A_005837 transcript:Et_1A_005837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAERLAVAISAVVAMIMSISIHGADAENRHVFVNWEVAYAVRAPLGVAKRVITINGRFPGPLLNLTTNDVAHVNVVNALDEPFLLTWNGLQMRRNSWNDGVAGTNCGIPPGQNWTYVFQAKDEVGSFFYRPSLGLHAAAGGHGPVRVNNRPVVAVPFARPDGGDLDVLIGDWYNMETKRMREHLDGGRDLPSPDGILINGLGPYQADIRFEPGRTYRLRVSNVGARTSLSFRIQGHKLLLVEAEGTYTQQRHYASLDVHAGQSLSVLVVADQRPRPYYMVVSSLFVQPELFGVANVLYSTTSSSGGNEQRPPLDGASSHNGYGRSMEQARTVRTNLTCGAARPNPQGSFRYGRINVTRTLLLRNGEDQVAGRRRCTVNGVSFADAATPLKLADRLGVAGVFTVVSGAPEEGRRRRRPAIGTAVVDARYRDFVQVVFENPLPSLQTWHLDGYSFFVAGMGQGKWTPNARSTYNLVDAIYRSTVQVYPASWTAVFVSLDNEGIWNLRSQDLGRRYLGQETYIRVSQGTSEVPDPRDELPMPSNALLCGKAKPLKLGRA >Et_7A_052050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4013354:4015539:-1 gene:Et_7A_052050 transcript:Et_7A_052050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISMMEARLPPGFRFHPRDDELVLDYLCRKLSGSGGECGGIAMVDVDLNKCEPWELPEEASVGGREWYFFSLHDRKYATGQRTNRATRSGYWKATGKDRPIFRRRSAGAGVVGMRKTLVFYQGRAPRGSKTEWVMHEFRVEGHPPVAARPGSPLKEDWVLCRVFYKSRMVTTRPAPSADVEAGSLSSELVSLPMSMPMPTPHMPPVEAYLVGFDHTPAGTVSGGYYPQDDAGISPAQFKSLSSFRELLTSMTERDDGVAAKAELGQDWTEAAYAQQSALAPQSWNPFLSSG >Et_7B_055774.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:4078806:4079252:-1 gene:Et_7B_055774 transcript:Et_7B_055774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPKRQLPPAVDDRSSITTGTVVAMVVLCLVAALAVGVTVVGFMESGTPDPRLFVRLVAVEGLVDHDQREAPPVFELAVDVDMIPEETFYRHDPRGRCGCRTTTWSWPGAACPCSPSTASGWGGARRAWRRWWPRRRGSWCGTGCGT >Et_8B_059111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13980690:13984038:1 gene:Et_8B_059111 transcript:Et_8B_059111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRVQWQFSISQASRAAVAETPFASQPTTRGNPTTTSLLRSAMASRLLSPAPPPATPVHCRRSNRVAVPRLRCRASAVASSATGGAALLEREGAAAVAVREFVTLNELRAAVNLRIRTFYEYAVETCGAEDHRAALADREYQALQDRISGKMINFQRVSCINGTVPLSPSLMSAEDLCSKCKFMEDGAERVVVGSLDLNQCLWLPDELTGQRPGVNEDYHTRAYLSNVCVTRELQRNGLGYALVDKSKTLAREWGITDLYVHVAINNVAGQKLYQKCGFVYESEEPAWKARFLGRPRRLLLWLDMKKEPL >Et_10B_003906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7358529:7363668:-1 gene:Et_10B_003906 transcript:Et_10B_003906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKFDHTWTQGPAWGSRGSRERIWTMNYIIGAFKPPCDISITFADARTRKQVSVKKDNGKTTMVPVFQSLETISGEVSIAPVPGKRIEHMGVKIELLGQIELYFDRGNFYDFTSLVRELDVPGEIYERKTYPFEFSTVEMPYESYNGTNVRLRYILKVTVGRNYVGNIVEYRDFCVRNYAPVPTINNSIKMEVGIEDCLHIEFEYSKSKYHLNDVIIGKIYFLLVRIKIKNMELEIRRRESTGSGSNTYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRLQEMPQAS >Et_10A_000617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13960375:13968771:-1 gene:Et_10A_000617 transcript:Et_10A_000617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCGEPPAVAAMEVEAETSPYVGASPPAASNSQSPISDSNVSSRRLGLKNSIQTNFGDDYEISTLAVSLSTNALKFYSPATGQYLGECTGHGGTINEISFSAPSSPQVICSCSSDGTVRAWDTRNFKQISLLRGGASQEMFSFSFGGSSGNLLAAGSNSQVLLWDWRNSKQVACLEESHMDDVTQVKFAPYQPSKLISAAVDGLVCVFDTDGDIDEDSHLLSVMNAETSVARVGFFGNTYQKLWCLTHIETLSIWDWNDGTRELNLEDARAMATDRWNLDHVDYFVDCHYSVPDDCLWLIGGTTAGTLGYFPVRNDPAQAIGSAEAILEGGHTGVVRTILPAASTHQSGLGQHRGIFGWTGGEDGRLCCWRSDEIAEINKSWISSSLVSRLHKRTKSRHQPY >Et_7B_054540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2328642:2332543:1 gene:Et_7B_054540 transcript:Et_7B_054540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLKYRAGLCLIAAVVLIWVISAEVTQGIFTKYRHPFAITYLGASLMVIYLPVSFLKDFICNLMRRPSGSKSASKVACKSSFGGSAPLKNGEIQKMLEMESQKTIVINYSDVEVPIVEEAKPLICGISEINDGVLKKQELSTKEIATYGLYLCPLWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGVLLGQDSINAAKVIAVFISMAGVVMTTMGQTWASDESDVGNSGATERTLLGDMFGLMSAVAYGLFTVLLKKFCGEEGEKVDVQKLFGYLGLFTLLALWWLVWPLTALGIEPKFSMPHSARVDEVVVANSLIGSVLSDYFWALSVVWTTPLVATLGMSLTIPIAMVADMVIHGRHYSAVYILGSVQVFSGFVIANLADRFSQRSSTLQIKVNSFDAIDGEGGRLIGVAQQRADDPVDLGHFEAVLPKHVHTLLHAVPHLASRPPDRDAVPPQPAQRGRHRRHVLRVIEHVRHEHHVHLVLLLLLEEEAIAPPELAHVGLRRRG >Et_8B_058660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14703969:14704769:-1 gene:Et_8B_058660 transcript:Et_8B_058660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKGEEVRAQEVVERSPSPARELDLLAALGGGSSSEPEANAAVAPAAAVEGKGKELVPMAAEEEEVEKPPPSHKKRAFKCNYCQRKFHTSQALGGHQNAHKRERSIVKCGAAAAAGAGAGGVDHYIPPPHHLRFPPHWSYSAGAHRPSFLGLGPGAPPYYGMHPGWAAAHVGGNNNAVRPVYAPNGYGFAAAAPRAPAPTVLDSAVAGLRWAGVAAGENGVAHEVKQQQQEEEEEEEEEEGEAESKLDLNLKL >Et_9B_064842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19072243:19074222:-1 gene:Et_9B_064842 transcript:Et_9B_064842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGDEEAAATPAAGAPPAAGRLKGSPELSVDADMREMAKTAAWSVSSCKAGNGVAALRDDNLDTYWQSDGAQPHLVNIQFQKKVQLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKIVELAKPVGWVHISLSGADPRYVFSCNSIPEFKTGCAMQEETFIHTFMLQIAVLSNHLNGRDTHIRQIKIYGPRPNPVPRQPFHFTSREFITYSSVR >Et_1B_010512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11121295:11122063:-1 gene:Et_1B_010512 transcript:Et_1B_010512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHICGVSTGDSETARCKKIVCPMAPRPRLPLVGAPPLLLVTAVLAQLGAMSCAGQPRRLHGSVACLDCDEGHDLSGVVVAVKCAAGDDGTALLHAAQTDARGAFDVAVPASGSPCAARVLGATRRLCAPPGLTVARVVPGSASYALGSRLAFFTRCGPGDGGTAAATTMGSRDQGRAPPRMPSPRPRTPMRSPPPAGSGGSPYGMGGLPIIFFFPFVPIIGIP >Et_1B_013027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4963110:4966129:1 gene:Et_1B_013027 transcript:Et_1B_013027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIAVDGGVTEEVPNGVNSSQNNENMSVPKSTAASTMVGSMQSQALEMHVEGSGAGEPSIEQLYNNVCEMESSSEGGSPSRESFGSDGEESRIDSELRHLVAGEMEAMKVIEEEEGSGSVANAGPAPENGTPIKTQSPTSSKKSMKASKSQLESDASVGPNGKASPEEGESEVSKPGSRVGRRRKSKANSQNGTEDAGLDNPDLGPFLLKHARDLIASENPRRALKYALRATKSFEKCAGGKPSLNLVMSLHVVAAIYCNLGRYEEAVPVLQRSLEIPVIEEGQEHALAKFSGCMQLGDTYGMLGQTALSLQWYAAGLDIQKQTLGEQDPRVGETCRYLAEAHVQALQLDEAQRLCQMALDIHRETGEPASLEETADRRLMGLICDTKGDHEAALEHLVMASMAMVSNGQETEVASVDCSIGDIYLSLGRYDEAVFSYQKALTVFKTSKGENHATVASVFVRLADLYNKTGKLRESKSYCENALKIYQKPIPGTSLEEIATGLTDVSAIYETMNEHEQALKLLQKALKMYNNSAGQQSTIAGIESQMGVLHYILGNYREAYDSFKSAITKLRTCGEKKSAFFGIALNQMGLACVQRYSINEAAELFEEARTVLEQEYGPYHPNTLGVYSNLAGTYDAMGRLDEAIEILEYVVGMREEKLGTANPDVDDEKRRLAELLKEAGRVRSRKAKSLENLLETNPYTVTKRNTVAA >Et_3A_025752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32574999:32580812:1 gene:Et_3A_025752 transcript:Et_3A_025752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAGLAPWPTPSTTPLARPTPTRPPPRVRVRPPQPTLPPPPPYTRVRLPPPAPTPPPKPRVEPAAPKPSPTATSIAPPPDTISASSSSSTCLDCVHFGKCSGCTHETDLDKPPVLQEVSNFFKGHGIGDFTFSRGRLFEWRCRAKLAVRGTPENPLIAHHPSINAAIKLLKQGISELNVQPFDEDAGTGELRYVQMAVTTYNTSIPVTKRYEQGKVQVTLVWNSRDERSKNAEKLSLLIEFLWKNGGPKSNVHLIHSIWANFQTSTSNIIFGHKWRHLKGERDMWERYGGVDISLDPCSFGQANTLSFNALLHKLHKYVPRGSTVVDLYSGAGVIGLSIAASRNCRSVKCVEINKQSKMSFEISASRLPTNLGCTITWHNTDASVEPVHWLEGSSVVIVDPPRKGLHPTVISALQKIALSERKAFKAKSSLTKVKDEKRPWILRAREAAVHVDNTTIEESSETWPETLIYISCGWESFKKDCKSLISSKAWQLENAHAFNFFPGTDSIEILAVFKRESDAGQKKKKKSKKKKAK >Et_10B_002502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12105939:12106418:-1 gene:Et_10B_002502 transcript:Et_10B_002502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRGLLHAVRSSKEELVPVLVRERGVGGGAARRGGAHGAAGAGAADQLRVRRHEPPRLARARRHISTPTPGSSLLPFTMPPGSTVTTDRRRRSTTDGSRVSLPPLPPLPSPPPIHPHHRQP >Et_2B_022515.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24330244:24332316:1 gene:Et_2B_022515 transcript:Et_2B_022515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEEKEKSANQILQALDALSQTLHKARATRRTASLPIPRSPKDDDDAVVDAAEDEAARPQPRRRLSPMSPLRSRPKQLDKKEDEDDGDEEEGENYDEPAPAKRQQQQEEFTAVKPEDVMVDGAAEKKGVTSWGATRVLSHIGMRRFGCVFSVEVVSAQGAPPSMNGLRLAVNVRRKEKRDGDAADFDEALYVRCSLYYGAAGTNKPLGSFVEPSRQPFLLSVAVDGPELELATRRRSAVDLSQFAMEVTEKGQQWDMVFPLATAGTKANKGGDLFVKLVFQSIDDGGAATYGQLAGEGKTISPSALSSFARKLRKSSLSNMLTPKMTRVKTPELDVPAPDLQGIDSFMNDEPVPVAAEVKEKQHEQSEPEPTPVVEVNEEPQEEEPEREPADEPAAVAEVKEDEEQKEPEPDAQDDDTELPVFDVIVDESVEGQEEKAELKEEADEEVKEESEEASVVTGDEVAEEASELEVEALEEATPSDVSDAIADAVEPAETLVVAGDEVATEVANELETEALGKATPSCVLDAVADAVEEPVDAEAPGSEVASVVASDEVANELKTEALEEATPSDVSDAVADALEEPVEAEVAGSEKVSVVTADEVANELETEALEEATPSDVSDTVVEPVDAETAEPEEVKGKSQQVPEQGEDKDAATKPRRRHRFGWRMLALVVTPVAVMVSKNLNVVRF >Et_3B_028040.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:4205449:4205661:-1 gene:Et_3B_028040 transcript:Et_3B_028040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEAFKGAATGQVRRLPQHVPRLQEPADEHGRGHVRRQGAVPRRAGAGAGVQHLHAQGIQDTGRRRRRR >Et_7B_054911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5469911:5472201:-1 gene:Et_7B_054911 transcript:Et_7B_054911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASVAISLSFRFLPPPRLLRWRLRPRSIRASSDTSGVAGGDWKVGTLEKRVGDLRALVASVPPAVDSIRKNIGLNFVTCVCLGVAFLASVARRIIRRIRESDNKGSVADLVRRGQLKSGQRGGTKLRTYDDPFNNPLVKIDEGTSTAQMFGKEYRLAPVRLTKEQQAMHQKRRSRTYQWKRPTLFLREGDSLPPDVDPDTVRWIPANHPFAAASSEVDEETAKQNVYQKDGVPSRIKAEHEALQTRLEASNDGNEIQGESSGSMQGDEVPLKISDEPSGSLKRSEFHSLENQNRQPVDSGRHSSDESSPPKRLEKQ >Et_3A_023684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10895048:10914134:-1 gene:Et_3A_023684 transcript:Et_3A_023684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGYNSLKRSCPAMLVDVLERANNLPSSPASMARGTHVFKIPHYSLHKGLGSRKFIRSATFTVGGYDWCLRYYPDPDGKGEPAVYLELLTRNAKVRAGFNFLLLDQVTGTMPLFVPLSQHEVFNSMEAGKSVLGTGLKIPGDLEDSQYLQDNCLMLECNVTVFNQPQVASVAPPKIHVPPSTLLSNLARLLETGEHADVTFNVKGEVIPAHKMILAMQSPVLEAELRGPMRVDTSGHSIKVNGVEPVVFKPVAATTTASTCKFATARGRHVFKIADYSLHKGLGAGKFIRSGTFTAGGYDWCLRYYPDGDVGSIVEVVTGDVAVHLELMTKNAKVRALFDFLLVDQATGDQSLTITQDFPIMFSTIDVGRNVSGVDLIISGDLGEANTPYLRDDCLMIECEITVVEEPHVVSDLPVAAEIQVPPSTLLNDFRQLLETREGADVTFEVKGEVIQAHKIILAMQSPVFKAELYGPMRLDTKFMLHHCRYGIETMKSMCESILCESLDVEHVAATLALADQHHCISLKDACIEFMSSSGRMDDLVATQGYKDLKRSCPAMIVDVLERANKIRKIYTNSLESSLDDKIIEFRKSNSRSMRVYNARHISAIYRDNQPHQSKDLMY >Et_7B_055953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:843769:844503:-1 gene:Et_7B_055953 transcript:Et_7B_055953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERREEEDEKWEGRGKEEGMEVEGRDEPLLNFFPRIVKPTKLRVCSTTTWAGGDCCGATWEGVSCDAATGRVVALQLEAPPPKERHYMDGTLSPSLAGLEHLETLIVRDMARIGVGDCRG >Et_9A_062365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22201365:22206919:1 gene:Et_9A_062365 transcript:Et_9A_062365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKAAAKSKRSHTVHGRRAHQTPAAAAAHKQKRAAAASSGPRKPNLPSNWDRYDAEGEADDPAAAAEWTGEVAPRSKGADFGFLLEQARAQPREARDISAPWLPSQDSPFDFMQASTSMLEAKGEEILSWCDDDNFILEDDLAPDFEVPFFSMDLQALANQLSKLKLSQRLFIEEDLLPEDLADASEDNEVLIECDTAFKTDAKSGPVHHNLKNIQPREDITHHEHAKRTYSDNQTETEDESQCLEQRASTSPKISAEVAQSGGEEEKRYKRITETVPGTSHREGLKFEVASAEEELDMLLNSFSSAHLSVSNLDEPFGHNSAMQGLKISESGEKVTSTISSKPLALSPVDDDLDDLLSETSLSFQNEGFTASNITLQPTLKSDHNIELKYVKKIDVTSIDDSVDDLLEDTSSCLNEQKQTTSVALGQNNTSSGSAPLSGPSNNHLGSKGGTSSVEKRFYVISN >Et_1A_007476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3502486:3504063:-1 gene:Et_1A_007476 transcript:Et_1A_007476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSQHTLSSIKLSSTRSFGFEKRTCFGHSVSVPRHCTNARNLGLVCASNLQSSVIEPVQLPSSPKSSTIPNKSGESALILIRHGESLWNEKNLFTGCVDVPLTPKGVNEAIEAGKRICNIPVDVIYTSSLIRAQMTAMLAMMQHRRKKVPIIVHNESEQAHRWSEVYSEETKKQSIPVIRAWQLNERMYGELQGLNKQETADRFGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIVPQLVAGKHVMIAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPAGPSEAGVYAYTKVSVFSSC >Et_3B_029839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28451739:28453473:1 gene:Et_3B_029839 transcript:Et_3B_029839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRRLIGNIIDAAVHAYRGHTGRRMANFRTKVAAASASAATLLMGWAFQATRPPPPAILGAPGGPPVTSPRIRLKDGRHLAYSEAGVDRETAKYKVIFFHGFASTKENTFPVSQELAEELGIYLLYFDRAGYGDSDANPARCLKSDATEVEELADALQLGEKFYVVGCSMGGYTAWSCLNYIPQRLAGAALVVPAVNYWWPLPANVLRSAFEKLDVRDRMAFRIAHHTPSLFHAWITQKWFPMSPIIRGDRDAFTDKDWEILTELRRKEWGSGQAKATKQGTYESLCRDVTILFSNWEFDPTKIKNPFPNGEGVVSIWQGYEDKIARVEIQRYVAQKLPWVRYHEHPGAGHALTNMDRVGDDIIRELLLGEAPRGW >Et_3B_028058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6918439:6919359:-1 gene:Et_3B_028058 transcript:Et_3B_028058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILSMGRQHEEEEPLQLKQGSKVFSKLFSRESSAAAPSFRVYYGVASAGSVPFLWESQPGTPKNDAVSAAALPPLTPPPSYYTKQATHHHNSILPKIILHRRSRSSGSPSSACSSSAFSSSSSSGSSASSLSFRSAQSPASSSTRSRVFAFSSVAGDGDSEEEEQAPATCFSVRHSSSWCIDRACMLSWLLLGLGESLCISNSNSVYASSFSWRSFSKYYGEVKFVVLVCKLKENNV >Et_7B_053792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12191929:12195317:-1 gene:Et_7B_053792 transcript:Et_7B_053792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIEDVLGPAGLSGGGAPPGLRIPLSATVAVKPKRKSSRVAQTPEPRIPGTQSDSEYMSGQLSAFGYDVTDEPEGADLWLINTCTVKNPSQSAMTTLINKGKSANKPLVVAGCVPQGSQGLKELEGVSIIGVQQIDRVVEVVEETLKGHEVRLLSRKTLPSLDLPKVRKNKFIEILPINVGCLGACTYCKTKHARGHLGSYTIESLVERVKTVVSEGVREIWLSSEDTGAYGRDIGTNLPNLLNAIVAELPIDRSTMLRIGMTNPPFILEHLKEIAAVLRHPNVYSFLHVPVQSGSDAVLTAMNREYTVGEFRKVVDTLCELVPGMQIATDIICETDEDFAQTVKLIKEYRLPQVHISQFYPRPGTPAARMKKVPSIDVKKRSRELTSVFESFAPYQGMEGTVERIWITEIATDDVHLVGHTKGYIQVLVIAPDSMLGTSADVKITSVGRWSVFGEVIEGSVVVKEAPKQPSTEQQKDCRENQVEEASCCATDSCGTCACSDAAQSCSTERCDNPPDAPQTSGDVTRVEATQSTLVKRTVAKARKPTEKEQQVNVVTSRTVNIDKVLWCGLALSFAATVALLVLLTSRMSSTSSY >Et_5B_045475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2704312:2709600:1 gene:Et_5B_045475 transcript:Et_5B_045475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARVGRAAATAWPEHLAAHARLVKSAIPDAFLVTTAMRGYLRACLPLQALLLLRALLPRTPRLLGNSFSLSLALQASAAAVSEAAAPVQLALGASLHACALKSGFAAADLFVRTALVEAYAKSGRADLARAAFDEAPRRDLFLCNVMLAAYVARGEVAKARKVFDGMRDRDLVSWNTMIHGYAVRGEVSMAREIFDGTEGKDAFSWSSMMSAYAKSRQSKEALDLWREMRAARVTPDCITMVSVLSACGDTGALAVGAEVHQLVESDGVEVDVKLGTALIDMYAKCGDIENSLGVFHSMAKKDVLTWSSMIIGLANHGLGHDALSLFSKMTSEGLKPNEITFIGVLIACTHLGLVDDGKKYFSSMSEVHGVAPRVEHYGCMVDLLGRSGHVEEARKLITDMPFEPDAVIWRALLGACRIHKNVEVAEEAMSKLRVLDLHADGHYVLLSNIYAQANSWEGVAEMRKTLRRESIQRIPGRSSIEWQNTIHEFVSGDRSHPRSEEIYKVLEEMMNRLRQTGYKPMTSLVPQDIDEQLKERSLAEHSEKLAIAFGLLTTPAGTKSCLKRRKEDDAVHPIIGIV >Et_6A_046414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14712144:14714414:1 gene:Et_6A_046414 transcript:Et_6A_046414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAWFSLVMVSLVGFVSVTSASTSQQQCAEGDGPAEVRVGYWSSSSSRYSPVSSLDASLYTHLYYSSLSIDDTSSTVAPPLTEDSSLLAAFSSTVKSGNPSVKTILSIGTNEYKVEDSNAAFSRMAANKNLRTVFINSSVELARANGFDGLDLSWIFPATQMDMENFGVLLEEWRARIIKESTMNTLSGPLILTATLYFSNHLFDMPDSNLDYPIDDISSNLDWANILTFGFHGNSNITTADAPLYDKSSHLSVSYGIISWLDAGVPPCKLVMGIPLFGRSWFLRNKVKNGLGSPTAAAGTKQKKSNQTGIIAYGEIKEYLNSESTVVTYDNQSVAEYFYNGDLWVSFDSAEVVQKKLEFAASSQLLGYFLWIISFDDSNSTISKQGLQLLNSAKNIVDTFFNAASESWRQYAQDGFGTVHAGASNQHAAYNSSSGSLGSCISKSLSCLLSLLLLFMITNLLRILS >Et_10B_003001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15180032:15180795:1 gene:Et_10B_003001 transcript:Et_10B_003001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAAAHLNAVLRPLLGRRRLARLVPSILDTRQVDLPHLRRRSALHLLRGPPSWAAAPSVQLFTALIDSYYKQGRPDRAEMLWSAMVDDRDIAPDAAANGDAVEWRRDLMRDMRKEARIQPDIVSYNALMQAMARHGMVDKLVEVYQRLENGEDEAPVPDCASYTCVVKALCGAGRWSEAEAVFYAGVKRRKVTDLATVHMLVSGIRGTGYDDGDDLSGSEDWTSDWTDGDSDDETLSDDKTVGTTSYDYY >Et_3B_030902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8527044:8531622:-1 gene:Et_3B_030902 transcript:Et_3B_030902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDSQVTAVAFSDSDSVSVEGAAGDVAAADAADVQALRRLSDNLAAAFRSPNDFGFLADARLSAAPGGPELRVHRCVLCARSPFLRDFFARRSGEGGGGGDNKAVELRDLVGEEVEVGSEALQLVIEYLYSGRVGDLPKSACVCVDDDGGCEHVGCRPAVAFMAQVLFAAYTFQVGELTSMFQRRLLDVLDKVEVDNLPLILSVANLCKKSCTKLLEICLEIVVQSNLDMITLEKALPPDVIKQIVDTRLSLGLVSPEDKGFPNIHVRRIHRALDSDDVELVRMLLKEGKTNLDDAYALHYAVEHCDSKITTELLDLALADVNHRNPRGYTVLHIAAMRREPKIIVSLLTKGARASDLTFDYRKAVHISKRLTKHGDYFGLTEDGKPSPKDKLCIEILEQAERRDPQLGEAAVSLALAGDSLRGRLLYLENRVALARIMFPMEARVAMDIAQVDGTLEFTLGSNGNPPSEIHLNDLNDTPFIMKEEHLARVRALSKTVELGKRFFPRCSKVLDKIMDDESELVSLGKDTSNEKKRRFNDLQDVLKKAFSEDQEELKRSIHSSSSSTAMGAVRPRR >Et_1B_012225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3069130:3074945:1 gene:Et_1B_012225 transcript:Et_1B_012225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALYRGASGIGGKPSAAEEAHYDPKEPSENGIGGCGRGARRRRLAAAAVKIGVLVLAAAALAGCVAWAGTLYAGRGAAARAAAAAAHRGYRRLQEQLVTDLLDIGELAGGGVRAKEAEVCPPEYENYVPCYYNVTDAVDVSDLGGVVVISYERQCTRDGRVTCLVAPPRSYRIPVRWPSGKGFIWKDNVRISGQEFSSGSLFKRMMVEEDQISFPSDAHMADGVEDYAHQIAEMIGLRNEFNFNEAGVRTVLDIECGFGTFGAHLFERDLLTMCIANYEASGSQVQITLERGIPAMVGSFASKQLPYPYLSFDMVHCAKCNIEWDKNDGVFLVEVDRLLRPDGYFVWTSNLNTHRALRDKENQKKWTKIRDFAEGLCWEMLSQQDETIVWKKTNKRDCYSSRKSGPELCGKSHDPESPYYQPLNPCIAGTRSQRWIPIEHRTTWPSQARQNSTELDIHGVHSEVFAEDTANWDSMVRNYWSLLSPLIFSDHPKRPGDEDPQPPFNMLRNVLDMNAHFGGFNAALLKAGKSVWVMNVVPTNAPNYLPLIFDRGFIGVQHDWCEAFPTYPRTYDMVHADGLLSLEKSRKHRCSTLEIFLEVDRILRPEGWVIIRDIAPLIEAARSVVTQLRWDARILDLDIASDEKLLNSAWVIEPDTRSKFIMRFFKQDTSHQMG >Et_9A_061443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12041746:12043058:1 gene:Et_9A_061443 transcript:Et_9A_061443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALDFVISEARNYKIRVILPLVDNWSDGYGGKAQYVQWAKDAGINNMLTRVNTYTNVMYKDDPTIFAWELINEPQCRSDPTGNTVQAWIEEMALHVKSVDPNHLVEVGTEGYYGPSSPTRLPDNPNIYSGQFGADFIRNHRVHGIDFASVHMYPELWLPNGTSLEVQLQFVQSWMQAHIADAEGVLGMPVVFTEFGFCVKPHSTFSRDQFLQAVYGELLGSAQRSGAGAGNLIFQVIPEELDSMSDCYAVVLTRDAATAGIMSAHSKKLQIINNRP >Et_2A_017269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32629408:32632162:-1 gene:Et_2A_017269 transcript:Et_2A_017269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLSLTLLRVLPLLISLAPAATAAAYAGIDSFLAATAARDPSASNDTFAALPANLRRALSTPSPILPSRLLSLSAGVSVHVRLAGASFPASSSRSLPSLVASAVSSAPFLSSRRPHRLALSHTLHLDVVGPVAAGKLASRASAAVRAHLDNSAAPFHTTQLSAVPYSLVDDLVAEDYRALTSAHGGSDSASAVYIYLLDLGPQPRQYAYTAAATGADSSSPGFSRCLGPVWTGKERYIWIDLSAGPVHYGPAQSGDGVLPRGEFHPFAALHGRPKSEKALLADIASLILSAYKSLLVPSLRIPVHYENSLLIRFVHIHGNEKDPAGLDFSSIEQSIKDGNLPYDGQSLKFELHTVKYSECPVCSFAIARSTNSFTSRFLFENYTLIVNEYLDSKRLRQVLSDSSDELHRLAGVRDNDEHDKVVPVFVFDLDHDRLLPLDRHHQAVAFGDMVVAVRTRSSQTVSDYSCNGRHIITMTRNLERPIIGSVLQSMWGVSPTHMSWSPEHNATVVDYTWSTGHTPFGPFSETKSLSFVQKDAACRNVLLTTLNFTISSTIEVLESMAAHGGESILLKKKRHVEFIQRWNLLTYKLEKVVSAMSRLDYEKAMYLLRASDHDLYAIHSLVYQASQELEASLVCFKDPPFPWVPVSMSGVFVFGFFYVYSKKDKLFRSKRKQKQADENFLTHVMSRDEISG >Et_1A_009075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28372381:28377247:1 gene:Et_1A_009075 transcript:Et_1A_009075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLLRGRRTHALLSRALSTAATTTAGDASPSSSSIRKRLSREHDPDRAVSIIEALDTASLPANATRNALSLAARCLTHSGRIDDAEALLSSHLRAATTEHRLVAVLPAYVAVHNAHTAVSLPEKALSAFRSAAPSLPFPITALSFNAVLSVFLRCHLDNRIPEIFAELSKELSITPDAVSYGILTKAYCRIGDDAKAQQVLVQMREQGIPLNTRLYTSLIDSMSKQQKTEEAERLWKEMLESGCQPDVATYNAKAMYLGFHGRLEEILEVIAKMEASELKPDISTYNYLMISYCRNGQVDDAKLLYHSLREKGCTANASTYRHMMAELCALGDFDAALGIFKESWKKNKVPNFKAMKGFVEGLTRGGRVSGAKWVIAKIKKRYPENSLSGWKELEKELGLDSNSGDIPQAKSTSEEPLYEAKSDIAETLELEQSVVKETIEPKESSSDDEAPEPEAPTAEEALREITIKRQASRKPRVVLQNPMMKSLRETLVATAPQMPQLTEPHTTLWQRLEAIPMTPDQRVLGVSICLPRRIKEEIAESSDQRYSTTREIAPSPELLNRRQSAPFVLVRGARVQERVAAIHRHHS >Et_9A_061331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10020034:10036625:1 gene:Et_9A_061331 transcript:Et_9A_061331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDRLRDLCSCCLAPSSPDSSSSSSKPAKHEAATMAGDGDIITHRTVELSTGVRMHVAEAGPASGGAPVVLLLHGFPETWYTWRHQMPALAAAGYRAVAPDMRGYGGTDVPVDGGAESYTALHVVGDLVALLDSLGEKKVFVAAHDWGAVTAWSLCQFRPDRVRALVALSVAYTPRSAARKPVDGLRALFGDEYYICRIQEPGAIEAEFARLGTEQVLRKFFSYRTPGPLFIPKSGWGSPDDEVPLPSWVTEEDIKYYASQFDKTGFTGALNYYRALNKTWELTSPWTGAEIKVPVKFIVGDLDLTYHTPGIQDFIHKGGFKKFVPLLDDVVVMKDVGHFINEEKPDDVTRVRVLLPRSRRRPRTLQAQARDETMADDRAITHRTVELSTGVLMHVAEAGPAGAGAPVVLLLHGFPETWYTMCMLSPCWSKKDLVTINVMDMAYMQEPGEIEAEFARLGTEQVLRKFLSYRTPGPLFIPKSGWGSPDDEVPLPSWVTEEDIKYYTSQFDKTGFTGALNYYRALNKTWELTSPWTGAEIKVPSKFIVGDLDLTYHSPGIQDFIHKGGFKKFVTLLDDVVVMKDVGHFINEEKPEEVTGNTIKYFSLSQITYQLGFAPGEFFGMLIELLENLKSSR >Et_8B_060845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9046314:9046647:-1 gene:Et_8B_060845 transcript:Et_8B_060845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDSPVVRTGFDVPPDIHIQMDVHSANKTLLKSEALYQYILDTTVLPNEPDCMRELRLLTDQHKLYVMVHGLFIAW >Et_7A_052507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8774980:8778247:1 gene:Et_7A_052507 transcript:Et_7A_052507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAAFHPAVALLVPFPAQGHVTPMLHLARALAAHGVAATVAVPDFIHRRIAGLAPDDDECHGDACNGGGSVALASIPSGIAEDGAGDGDTPAFGAIAHAMEHHMPAHLERMLLARRRPPVACLVVDVLASWAVPVAERCGVPVAGFWPAMLACYRVVAAIPELIEKGLISESGAPIISWNRHDKEEEDRMLIRGLEILPAQVELGAGELPWSVGDSATQASRFAFWLQTMHRARSFRWLLVNSFPGEEDGCADDVNHQPATRQQGPRILPVRPALLPGNSSITSAEHMKLSKPQEARGGKSQASMWRADASCIAWLDAQRAGSVVYVSFGSWVGPIGPDKIRELALGLEAAGRPFLWALKRDPSWRSGLPDGFPDRTGGHRGKVVDWAPQEDVLRHAAVGCYLTHCGWNSTVEAIQHGVRLLCYPVAGDQFINCAYITGLWRIGLRLGGMSREHVKACIDRVMDGEDGWRMQEKVTVLREKVVAQEARGSAERNVRSFVDDIVREQPSLLMQMYNASL >Et_3B_027494.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:22341552:22342839:1 gene:Et_3B_027494 transcript:Et_3B_027494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVEVSSGQSWTPLRPLGRGASGAVVSLAADAASGQLFAVKSAGAAAAAATLRREQGVMAGLRSPHVVRCIGGAEAAGGSYHLFLEYAPGGSLADEVARNGGRLEERAVRAYAADVLRGLAYLHGRAIVHGDVKSRNVVIGADGRAKLADFGCARKAAAFSGSALPIGGTPAFMAPEVARGEDQGPAADVWALGCTVVEMATGRAPWSGVDGDVLAAVHRIGYTDAVPEVPAWLSSDAKHFLACCFARNAGDRPTAAQLLDDPFVASSACHEVKSSSEFKWESPKSTLDAAFWESETDDEAETVSESPAERIKSLACSASAASLPDWEDCDESCWIDVLGGETAEACGG >Et_1A_009475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6289189:6290467:-1 gene:Et_1A_009475 transcript:Et_1A_009475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEPREYQLYCGGVGRTNREQNSQERWPAKSARFMDYGHRFCDSCWRIGFPVVQAESKIATRKAQIKKLCIPSLL >Et_8B_058681.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:15744188:15744220:-1 gene:Et_8B_058681 transcript:Et_8B_058681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHPCTRKR >Et_4A_032583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11535098:11538373:-1 gene:Et_4A_032583 transcript:Et_4A_032583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSMSGRALRTFGRCVTCLARAGSELVLQADSAKLELLTMNSSRSAYASISLARDFFDNFSLASTPRAPSSTPLQCSVLLKSVLAVLRTPHAALDRLAVSLPDPEAPKLHFTLHCLNGVIKTYRIACSAEPEVQTLALDRGRFPSRLVIRPRELARLLSNFQSSLQELTIIATDPAVGLADVSGDIGGKAVELRSYIDPSKDDCDTRLHTQLWIDPAEEFEEYVHTGDTVDVTLGLKELKAFLTFCEGCEVDILLFFEKAGEPVMLVPRFGLDDGSTSDFDATLILATMTVSLLTDSNGAQQPDTSAQNAEEARAAATPSMVPENVSNHTKIWSDLSGTPKSFEANREVHAPMERMNNTSMLPNDRNAPCRPPVADNANNTNAAFANGSIGRKSCANPRSQHHPSNWVGADDNDEDNEEDEELLVQTTPHYMD >Et_1A_007000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:332547:334124:-1 gene:Et_1A_007000 transcript:Et_1A_007000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVASSVAAVLSSSSAIAIAVVAVLVCSYMYAAWVAPAATRRRLRCAGFDGPRPSFPLGNLPEIAAALSSSSRKPGGVAMGGDIHGSVFPYFARWRGAFGKVLVYWLGTEPFLYVADPEFLKSATAGALGKSWGKPDVFRRDRMPMFGRGLVMAEGDDWARHRHIVAPAFSATNLNHMLGLMAETTARMLDEWGGLVASGQSVIDVERGVVRNAAEIIAKASFGLETDSAGALVFEKLQAMQAMLFQPNRLVGVPLARLLSLRRTYEAWKLGREIDALLLRDALRDEIRDVLPDMLPLDAAALGRLTKMGWVMSEVLRLYPPSPNVQRQALRDVAGIPKGTNMWVDVVAMHRDPDLWPGHDANEFRPERFARDPVQGGCRHRMGYLPFGFGGRVCVGRNLTSMEYRVVLAMLLRRFRLSVAPQYRHAPKIMLSLRPSNGIQLQLTPLDPIYI >Et_7A_051997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3537506:3538806:1 gene:Et_7A_051997 transcript:Et_7A_051997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSLIPHVVEHPDELEEEDNNNNNSMKVDVAFENVHTPFRYKHKKRSKVWEEYKPIFMNGKVLFAECLYCHSRMSCKDSNGTSHLWRHQKICPAKDEATRRRQKDSYFQYVLVNENAPATPSDAVDQIITETLDDIRTVNSSIPSRFKSKVWKEFTPIYVDEKLQAADCLHCHKRLSANKYGGRSHLSRHLQTCQARSGRVRSHQKGFLSSSSLPNLKSGGQDELSPALANGKVQIAEYLSKVPRTSGSGDTSPIVQPIQVVPADQPLPSPDFRNLKKQRTSFMTTSTDMGTRKVGQETAYQELARMIASHGYPLSIVEHEQMRRFVKNLNPTVNTISHDDMEGQCYALFQKEKAKFKDKVARSPR >Et_10B_003849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6865039:6867293:1 gene:Et_10B_003849 transcript:Et_10B_003849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGGERSSPSRKGKEREEDGGGGSSRREEGFEELELELDMGDLYGSSAGWVEARTSCPHLHTMPAAGADDLTRVPPPDSPCSRCHHPAENWLCLICKDVLCSRFINKHMLCHYQEAGHCLALSFSDLSVWCFTCDSYLDVQAISELRPVYEVAHLLKFGERPPFRALDELDLRSGGNGSSSQA >Et_4A_032533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11031446:11035278:-1 gene:Et_4A_032533 transcript:Et_4A_032533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKKRNKKKKGNQGKNTGDVTSNAGEAAPQHHNYQSAPTDRHKGSDADDVMSSVGEGAAQHQSHVPTPLIDGDGTNARDTASSVGEVIVCCQNNEPTMSQENHKVNNAIPPDQRSNGMSESSVELDIHKLNDAKLSILESELEKLHIQVDCHIQNEVLFEEKLNSLQNGYDLLVKKEEVLDNKVRRIEDTNGTLTHQEASFKERLSGLEETNKALQLQVKVLEETSNNTAEENKRLVKSLNELDSRVQALEAKVPEHKVMDQKDLAGPLLHQQARCFDEAIGMGNEMIDRGLDSSVTIVSDNNYSQINNSPSNVYASNHLEETSLQYTEKASDPSNTSIAQGLIDVNDNQFDEQRTSEEIVPVPLDDIQIHEYDAQQSAGDETAEVPFSDAPIIGAPFRLISFVARYVSGADLVGEK >Et_2B_019009.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:23479559:23482593:1 gene:Et_2B_019009 transcript:Et_2B_019009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRIAPALRPPPPRAAAPVGAAAAPYSSKSTTIPQKQQRVRDHAFDGIMEVQKRVRRFRALHALLLYAAAPTRGSGAVSVPFSRLGALARRQLRLAPLEAGRFLLRHPHVFHLFLHPVHRMLHARLTPRAAAALQAEADAVAAALHTTSVLRLRKLLLLAPPHCRLRLENIRMLRRDLGLPDDFADSVIQSNPSLFRLTSDGFVEFLPSPTDPPDLTVAAVERARERHYREHRAPDSGEEDARFAFPTRFPPGFKIGKYFRIAVWKWQRLPYASPYVDVSGHDLRSLEAQRRMEKRAVAAVHELLSLTVEKRTTLERLALFRDALGVPKKIKEFLLKYQGIFYISTRGNQGKLHTVFLREAYYKGELLEQNEIYEARRKLEELLMISPQKVNLDRMFTSMGRGWDELGGGRRGGAELRDEFLGDGGGRKKDADADAADSGDDSGVESLYIE >Et_10B_004097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12293603:12299753:1 gene:Et_10B_004097 transcript:Et_10B_004097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADANPPPPAASPSPIASISPASGADAIEEQLAGLGLTVAGGGAGFEPSGWDDGPAPDVAGGGVGGIEEEFSVEKVPAAVSSPGADARVRFPRRPGEPDCSYYVKFGTCRFGTKCKFNHPARKKKPRVKGSGGSGSGSNSSSNKASSPDDDQVPREDYEGLTPDISDSSGFDDKGSASNSVQGGDDKGSANTSENRRKTSYEIAAEPEKGSYFKKLDEANVTKHKVPKGAKDKRKETFGEGNAQEECKYYATPGGCKFGKACKYIHREGKEGKVEAEKVDLNFLGLPLRPGEKECPYYMRTGSCKYSTNCKFHHPDPSNVSSKEPVKEHENADTPQQNVQGPTQTTVPIWPDQRAMNEQHVPFLAPAQPYSAGMMPPQGMYPSPDWSGYHQVPLNPYYPPGVPFQHFPAPVNHPMYKPADLPGHQQMPTDEYPERPGQPECQHFVRSGFCKYKMKCRYHHPRSRLPAPLAGGLSPLGLPIKPDQPVCTYYGRFGVCKYGPSCMFNHPFNYGPPVPAAGPPLHGQYPTPANFTVLTGMR >Et_1A_006495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23269483:23271462:1 gene:Et_1A_006495 transcript:Et_1A_006495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDGGRRRAPSLPAPFSTLPQDLLQEILLRLPPHPFHLTTASRVSSDWRRAVRDEDFLCRVRRHHRTPAVVGFFGNHPDRSFVTPDAVGGRERFAAQILDDGPWWAYGCRHGRVLLHQRARGELLVSDLITRQNHCLHTPPAYMPWCDSLGTVLCADDHEHGDCHARPFLVAFLFVNRGRNGMFACVYSSETEEWGEVSSIPSTFAYIDMDAKHTLLVGHTIYWMVSIFSILKYDLDTHTLDVVAEKPFNVSIRSEDDIVVLPADDGGLGIVGVEGFHLKFWSRKRNDDGVLVWTVYRSIDLKRYLKPRHLMRLTQNYKTPETIAVAEDAQAIFILLDCSVYSLHLRSMKFKKVLRRGHFTRMIHPYSTFYTPGVNPGAGDGPAEDLDGA >Et_7A_050345.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:7920774:7921070:-1 gene:Et_7A_050345 transcript:Et_7A_050345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGGLTLAKASKDAGKIHNPSPQIKPDATAGTINAALLRSIVCQVKTIKLPTHMSIAKLAPTRKGTPIAIGKGTHLPYFGGKRSLIRLAATANPKNP >Et_5B_044465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2681023:2683873:-1 gene:Et_5B_044465 transcript:Et_5B_044465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVPMSPELEQVDGEIQDIFRALQNGFQKIDKIKDSNRQSKQLEDLTGKMRECKRLIKEFDRILKEEEKKNSPDVNKQLNDKKQFMIKELNSYVTLRKTYQSSLGNKRIELFDTGNDQVAEDTVQMASEMSNQELIHAGRKQMDQTDQAIERSKMVVAQTVEVGAKTAATLTQQTEQMKRIGNELDSVHFSLKKASQMVKEIGRQVATDKCIMAFLFLIVCGVIAVIVVKIVNPHNKNIPDIPGLAPPAMNRRLLSIEAFGGL >Et_2A_014471.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:10978279:10978965:-1 gene:Et_2A_014471 transcript:Et_2A_014471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHILDKPRFREVGRSLLVFDHSICWPIDESAWAAMLATSNLAEGSKLLFTGKNADLGRIIGTVEPVVLRPLPEEEYWYYFKALAFGGADPQDHQRIATVGREISRNLGSRFVDARVLGDLLRANFDARFWRKVLAAVVKRQANRIPVYDNVLADLLPIRGWMWSYGCGEIDIPPKTKLTLHDVLRAAATSSSGSGLGGGDTEEAFTIHCSQGLYKNHLYTIVLKKVG >Et_5B_044131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20346213:20349204:-1 gene:Et_5B_044131 transcript:Et_5B_044131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRDGERQASPWRTPEVEGDSGSFPSAILLFALVGATATTAAVGQLRRTVNWFYTQLSRSEPFVYWEDVPRRPHRCGEAWERCYQRMHKRNEDQRERVERIRRMQDEFKRERNKCRDYRTWESHNPNYYQHSQRDDWYCDAQAFYADRRTKFRSMPRETMSYAMSHHYSILGLDRMLLGEKQWSIIQTKTKITKRLLRQNSKRLWILTKQ >Et_8A_058299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4535543:4536529:-1 gene:Et_8A_058299 transcript:Et_8A_058299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMVAPFSFAKKPPTRAEAPETDVEGPGEAEAPEVSAEGPVEAPKASAEGPRAATEWPGGGPNFVEFIIKKPFPAIPLSSSKSGNSDGLPVDPTPEDGR >Et_1A_005747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13449462:13460167:1 gene:Et_1A_005747 transcript:Et_1A_005747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSHQQQMATSRASVNGFPHRKMEREGSGRQESKPQLVKSSSGGFGSAGELGQAGPSRDRLIYVLTQLIGHHVDVHVKNGSVISGIFHATNSDKDFGVVLKMAQMIKDGSVKGPQYAVDVVKKPETMIIPARELVQILAKDVALGGDELPKGPGHDKRKDLLIDSAISRTHYLEERELERWAPDEGDSDCLELEKFDRKGNRSWDQFETNAALFGVKSTFNEEIYTTKLERGPHMRELEKHASRIAREIEGEDTKDIHLAEERGLYLGDDLDHDEEIKYSAVRRDIDNSTIKSYSNLPSSNPKPKTGNINPKDSLASSATMDEESSFVFVDADPSSAIQTNNFGQLSSDYQTNKPSSTDENRLDKKVDKSNNDAKDNRNLQRLDGLPSSSHAYDPSSSRQGFKSADALKPDSTVSPKHPSTVEPVNSQRPGSSTSSTSERIAANSVASAPGLSPSSSMGSLTSEKSTLNPNAKEFKLNPNAKSFTPSASVRSPQPPASDGSYYYPNNMPTSPLGPGLAVYPPAYGGQPMMYNAQPGTTPQGYMHPAAPQYGQQMMMGQTRPVYYYAPALRVAGPVRGRVAAAR >Et_2A_018462.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28853194:28856361:-1 gene:Et_2A_018462 transcript:Et_2A_018462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLNLPCRRSTNTKPPVQFFVLAFVLLLSFASRTSSCTEQERSSLIDFLEGLLQDGDGGLRMSWLNNTDCCQWPGVTCSSNGVVTDVLLPAKGLKGSISPSLSNLKGLLHLNLSHNSLDGSLPMELVLSGSIIILDVSYNRLSGPLQEWQSSNPSLTLQVLNISSNSFIGQLPSTTLEVMKNLVALNASNNSFTGPLPSSICNHAPSFAMLDLCYNAFSGIISPEFGNCSMLKVFKAGHNNLNGALPQELFSATSLEHLSFPNNGLQGILDGPSLVKLINLTVLDLGSTGISGEMPDSIGQLRRLEELHLDNNRISGEVPSALGNCTSLRYITLRNNSFRGDLSRVNFSQMDLRIADFSVNNFTGTVPESIYACRKLIALRLAYNSFYGQISPRIGNLQSLSFLSITNNSFTNITYALKQLKNCKNLSSLLIGTNFKGETIPQDEAIDGFQKLQVLTIDACPLFGKIPLWISRLTKLELLDLSVNHLTGTIPSWINGLQLLFYLELSSNRLTGNIPTTLMEMPMLQSENNSARLDPKYLELPVFWTPSRQYRMLNAFPNVLNLCNNNLTGVIPPEIGQLKVLNVLNLSSNSFYGQIPPEISNLTSLQVLDLSNNQLTDEIPQALSGLHFLSIFNVSNNNLEGPVPQGGQFDTFTPSSYIGNPKLCGLNLSIHCGLDGKPSSDRKKGKKMTIVALSLGVFFGGLAILLLLARLLWFIRNTKPASRNKGSNNRGIEATSFNSISGHRGDMIMGSILVMVPQGKGESNNLTFSDILKATNNFDQQNIIGCGGNGLVYRAELPCGSKLAIKKLNGEMCLMEREFMAEVEALSMAQHENLVPLWGYCIQGNSRLLIYSFMENGSLDDWLHNRDDANSFLDWPIRLKIAQGAGRGLSYIHNTCKPHIVHRDIKSSNILLDREFNAYVADFGLARLILPYDTHVTTELVGTLGYIPPEYGQAWVATLRGDIYSFGVVLLELLTGKRPVQVLTKSKELVQWVREMRSQGKEIDILDPLLRERGHEEQMLKVLEVACKCINQNPCLRPTIQDVVHCLENVDVN >Et_10A_001912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1169316:1171000:1 gene:Et_10A_001912 transcript:Et_10A_001912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLQQLAYDADDVLDELDYFRIQDALEGTFEASDEQAKGPVHNLVLNARHTANSVGKLLWRAAAPSDNQDDGGVTSGCKRKRLPCSSLPPVLDPDTVDPSAVLIPCDQTPKLGFNRADASKKIREIAQQMKHVREKVQGILMSLGPGLMRTTPEIGHSWTITTSQSIEPKLYGRDHIMDSIIHDITKGKYCDKDGLTVLPIFGQAGIGKTTLTQHIYHCLEVKKHFDVKVWMMVIVQVMKAP >Et_3B_028942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20773283:20777105:1 gene:Et_3B_028942 transcript:Et_3B_028942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVAKQIQQMVRFIRQEADEKASEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKEKQVEIRKKIEYSMQLNASRIKVLQAQDDLVNKMKEDAMKELLQVSHNHHEYKNLLKELIVQGLLRLKEPAVLLRCRKEDHHHVESVLHSAKHEYASKADVHQPEIIVDHNVYLPPAPTDDDAHGQFCSGGVVLASRDGKIVCENTLDARLEVVFRKKLPEIRKLLFGQNAA >Et_1B_014442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8853294:8855473:1 gene:Et_1B_014442 transcript:Et_1B_014442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNEEKAQSMLNRFITMKQEEKRKPRERRPYLASECRDLADAERWRSEILREIGAKVAEIQNEGLGEHRLRDLNDEINKLLRERGHWERRIVELGGRDYSRSSNAPLMTDLDGNIVAVPNPSGRGPGYRYFGAARKLPGVRELFDKPPEVRKRRTRYEIHKRINAGYYGYYDDEDGVLEPLEAAAEKRMRKEVVDEWHRVEQVRREAMKSVVSGEVVTAGGRGGEAVKEVLFEGVEEVVEEDRKREEEREREKVKEAGMEFIAHVPLPDEKEIARRVLESKKKELLSKYASDSLQVEQEEAKEMLNVGQ >Et_4B_037995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25325070:25325292:-1 gene:Et_4B_037995 transcript:Et_4B_037995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKDLASFKVMSASMRCTATGHLFIQFYGCTEEMSSRREKLIFKRAEMYFMFRIYWPQHFGVLSYCKL >Et_10B_002900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13239171:13240798:-1 gene:Et_10B_002900 transcript:Et_10B_002900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKRGKKDNEAAAAATVQEVSHDHIGPLPDDALQHILSFLPTVDVVLTCVLARRWRYLWKSTRALRLIIPDFNADFREFVDHILLLRAGSPIDIFELRTCNALSEDDIPRVILWLRNVVACKAQVIQLLDMCWLELEDLHLVFASQHLTRLEFSYVTFSDSILNFSSCPALQDLHIKTCSFFNTRRISSHSLKRLSFTDCRSSRSMRTRIHAPNLISLCLNEAFERAPVLETMPSLAEAVVAIHYFCADLCSRRTVNKSCEDLDCKACYGLEEEDTSCLLLQGLSAAANLTLTAQSEMFIFRRDLKWCPTFGNLKKLLLNEHWCVPDLSALSCILEHSPVLEKLTLQLFSKGPKHKVDIKGSPDPKEASAATLPYLKIVEVKCEVVNEKVLNVLKFLDKRNIRKLIISLLFLSVFLEFACTCGKVRKQLGPIREK >Et_10A_000737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16133316:16134009:1 gene:Et_10A_000737 transcript:Et_10A_000737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEKERFPVYAWEPSNYIKHIATSEKERSSGRFDRNNTEISKTAPEQLQNCLFGPPIAKLTVSRVFAWEPSNYMKHIATSEKERFPGRFDRNNTEISETAPEQLQNNPVWPACSETHIFDSYAWEPSNYIKHIATSEKERSLGRFEQKYTQISKTAPEELQNCLFGPPIAKLKFLRVSAWEPSNYMKHIATSEK >Et_9A_062323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21861231:21864325:-1 gene:Et_9A_062323 transcript:Et_9A_062323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNKIKVANPVVEMDGDEMTRVFWKSIKDKLIFPFVDLDIKYFDLGLPYRDATDDKVTVEAAEATLKYNVAIKCATITPDEARVKEFNLKAMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVYEGKEEQVELEVFNFTGAGGVALAMYNTDESIHAFAEASMATAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAGWRSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFTQKLEAACIGAVESGKMTKDLALLVHGSSNVTRSHYLNTEEFIDAVAEELRSRLAANSNL >Et_3A_026185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5238208:5240149:1 gene:Et_3A_026185 transcript:Et_3A_026185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRSERGSRPTAEQESDETKTAPCSEKPGQKRRVQMGEEAVGGRLQGRGGGGGESVAAGRKLDREIYVKLDSQVLDCSICFEPLKPPIYQCEVGHAVCFICRGKLCNTCPICCRGIGYCRCFALEQVVDAIKMPCSNADYGCYKIITYYLKEKHENACMYAPCFCPEYGCSFRGSKGLLLNHFVVEHKRSLTNFHYSKALRISVKRHSKFTLLVGEDMSMFLLVNIFAHAGNGLNLLCIRPHESGSSYSSKISAVHSAEGDKGRYVFQMDPHVASSSLKGGVQLGRFFLLVPPVLVDESTDELTVNIRIEKIENASTNH >Et_9A_061747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1648355:1650280:-1 gene:Et_9A_061747 transcript:Et_9A_061747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CKVGKLFRAGLAGSRALCRAFADHAAPNTSSAGFSLRLVANNAISRHDDGFVHLQHNLREDLLPYPSSGTSTSMANITHATFKDEHSRLVAITNDCYPRAGQTDPLFDPTASLTFQYIPGTSSLCRPPCGQPVNLGGHSQCFINYRCKWHKETVGQSRGPRFRTVKILHALNVQDSKYYLSLVGISLGNHKLDRIHLDIRSKDGQGGCVIDISTPLTVMVKEAHQIIEEAVWSDLQRHRAERRLCVQVTNAMKGYLPSLSLHFSEEETVLVLSSEQPFLMMHDKNGQVACLAMMPGQRTIIGAFQQVDTRFIYDVKNSELSLHPSNASRTPPKPIK >Et_4A_033113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18743611:18748536:1 gene:Et_4A_033113 transcript:Et_4A_033113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEHQDGMHQGGYQLYFQCLGTRQRSLKFLSLSYQSRLTVPGKRREELPYRENTLDTIPECWQETEVVIFDALEKIVDEFAPLESSNLATVKQQFFA >Et_4B_037921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24810419:24816005:-1 gene:Et_4B_037921 transcript:Et_4B_037921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRPAAALLVIVALVAVLAAARRAEALSVTVTDTECIHEFVPYEGDTVSGNFVVVDHDIFWSSDHPGIDLTVTSPGGNTVHTLKGKSGDKFEFKAPRGGMYKFCFHNPYGAPETVSFYIHVGHIPNEHNLAKDEHLDPINVKIAELKEALESVTAEQKYLKAREARHRHTNESTRKRVMFYTMAEYLAFMAASALQVVYIRRLFSKNVAYNRVPSSVQPKAYAFTPALTNSW >Et_8A_056804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17075790:17077806:-1 gene:Et_8A_056804 transcript:Et_8A_056804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLGPPVVRGARPAPDAVDLEAPASHPFLDLLDAAFNGPTDADVAAKAAAAAAAAGAAPAMARTENNSATYASSGNPCVDFFFQVVPDTPPERDFPELLYRLVHGADVRKLAKEKASSDKAKLKASEARLARMAGRKRGREPNAATPMFSDFVSAALSKSKSKRSGKADGDAMEVDQKESGAPRRARPSTGPRCSARPSRASDPAYADLSEEHYVYRALHRLRREVLVPLRKVLELPEVYMSAQRWSELPYARVASVAMRNYKKLFKEHDEERFDKYLEDVEAGKAKIAAGALLPHEIAAAAFRGEADQVSELQWQRMVEDLRKKGSLSNCIAVCDVSGSMGGEPMEVCCALGLLISELSEKPWAGRVITFSMNPEMHKIKGKTLQEKLSFVQRMQWNMNTNFQAVFDRILRTAVDGWLAPEKMIRTVFVFSDMEFDQAGGGRWETDYQVICRKFRDAGYGDSVPQIVFWNLRYSRSTPVTATQPGVAMVSGFSKNLVKIFLENDGVVNVEAVMKVAIAGEEYQKLGIFD >Et_2B_022946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:934316:938049:1 gene:Et_2B_022946 transcript:Et_2B_022946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVKDVARRSTKKYVEEALYLRLFRQGSTPQAVREEVDGFLDSRKRAFKWEVGVCVRRMRRQALYRPALKLSEVMARRGMNPTVSDQAIRLDLVAKSRGIAAAEKYFLDLPETSKTHLTYGALLNCYCKELMTEKAESLMEKMKELNFAFTAMSYNSLMTLYTKINQPEKVPSIIQDMKADDVLPDVYTYNVWMRALAARDDIPGVERVIEEMKRDGRVTPDWTTYSNLASIYVDAGLFEKAEGALKELEKRNTSNDLEAYQFLITLYGRTQNLVEVHRVWRSLKRNCPRKANMSYLNMIQVLANLKDLPAAEACFKEWEAQYIHPPKTKTKDSAATVGTESSTDSSNNQTDVKGTKDKGTEDHDRKHPKYDIRVANAMIKAYVAEGMLDKAIALKKRAKMRGGRLNAKTWEIFMEHYLREGDLKMAYWCADRAIKKGHSSGRIWVPPREVTERLMVYFELNKDVDGAEKFVETLKKVQKDLGPLVFEPLLRTYASAGKKFPGMRHRLKIENVEVSEGTASLLDSICEDQ >Et_3B_027862.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23342377:23342475:-1 gene:Et_3B_027862 transcript:Et_3B_027862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSFYAKHPITGSESHSRSYLSRKKRTGSRC >Et_6A_047147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2605598:2607187:1 gene:Et_6A_047147 transcript:Et_6A_047147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPTQKLPSHRSSLTRSTRKPDLGGMAPKLAFVLPIVLLGWAFQAILRPPPTKLCGSPGGPPLTSPRIKLRDGRYLAYREDGVQRDKAKYKIITVHPFDSTKDIPLPVSKELVEELGIYLVGFDRAGYGESDPNPRRDVKSEALDIEELADKLDLGQKFYVLGVSMGGYSVWGCLQLAGAALVVPVINYWWPSFPAELSKQAFKKQVVPEQRTLWIAHNIPSLLYLWMTQRWLPSSAAAMRNPEIFSKHDMEVLQKMMAMPRTMENKSRQQGTYESIHRDLLVAFGTWEFDPMNITNPFPVNEGSVHIWQGYEDRLVLVELQRYISKKLPWIKYHEVQEGGHMFMLADGWTNRILRALLVGEEPSAV >Et_2B_020066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16583139:16586098:1 gene:Et_2B_020066 transcript:Et_2B_020066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRLVSRLLGMFQSRTQVGVDKAGNRYFTRVEEVDGGMKEKRWVEFKGADQDPTTVPVEWICWLNGQRKKAPTPEELAEMEARREWVKQNIELLKRKEAEERKAGVRPVKKIGKAESPNLQSFVKQQYTGPSSDQKKEAEELSRPKDAEDATTDNERCIPVQSSHQIVCCERLWPFVSSQSSEQIPRICSCICLLFAVLPRIKHKGRCILLVGITIHHGQHYASARHVFGANRNWCHF >Et_1A_006440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22232140:22243205:1 gene:Et_1A_006440 transcript:Et_1A_006440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMAGPSSGGGGGRVLDCRSFWKAGAYEAPAAPAREYHDALETGDFDRARVHPKFLHTNATSHKWAFGAIAELLDNAVDEICNGATYIKVDKSTNLKDNTPMLIFQDNGGGMDPEGIRQCMSLGFSTKKSKTTIGQYGNGFKTSTMRLGADAIVFTRAIRGSNITLSIGLLSYTFLRRTMKDDIVVPMLDFKIQDGDIVPLVYGSQGDWDSSLKIMLDWSPFSSKEELLQQFQDIGNHGTKVAIYNLWMNDDGLLELDFDDNDEDILLRDQGSASGGFTKIQKEIVQQHISYRLRFSLRAYTSILYLKKFDNFQIILRGKPVEQITITDELKFKKVVTYKPQVTVKVDVGFAKEAPVLGIFGMNVYHKNRLIMPFWKVLQEGSSRGRSVVGVLEANFIEPAHDKQDFERTPLFIRLETKLRQIIVDYWKENCHLIGYQPMDPQLKSQYKATLKDSGGPGTQVQQKASSARRTGGLQTNLLPETYDDISAVGLAANGSHSQSSAQAQENNMESEGLDEDVVEVGSHGVLDPNFIEKLSEENIALFARREESRQRDAQLKQTIGAMEQELEETKRKCNQLAAELKIRKNQQNLPYM >Et_2A_014936.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:22427011:22427175:1 gene:Et_2A_014936 transcript:Et_2A_014936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVTDSEIVEALACRAFALASDPMLHDFRVANDCLNVVRNIKRPWLGPYGHVV >Et_4A_035510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27076466:27077167:-1 gene:Et_4A_035510 transcript:Et_4A_035510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEAVAAAMVAEESAGGTTAGTRVEETERSSTRKAPALKVVAAVDASDESLHALSWALDNIVRGHPDAALVVVYAQNVVDHLVYPVAAHAVAYAPTGALESMRKAQEENSRRIVARALDICKAKQASAKQIIDYSTSRATETGKTEWVDATAAVVEGDAKEAICQAVERMQAGLLVLGSRGLGMIKR >Et_3A_026573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9854235:9856873:1 gene:Et_3A_026573 transcript:Et_3A_026573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSPRCPCSTILVALLCVSSAAAAGSARGPIGTNGKNYTKVCDAARFASLGLDMSSFRYCDASLAYGERVRDLVGRLTLEEKASNLGDRSRGAPRVGLPPYLWWGEALHGVSDVGPYGTWFGDVVPGATSFPLVATSAASFNETLWRAVGVAVSTEVRAMYNLGHAELTYWSPNINVVRDPRWGRASETPGEDPFLVGRYAVNFVRGMQDVAGAEDENTKNDKDPFARRIKVSSCCKHFTAYDVDHWRGADRYTFDARVEERDMVETFDRPFEMCVREGDASCVMCSYNRVNGVPACADHRLLTQTVRERWQLHGYVVSDCDSVRVMAEHARWLNLTGAEATAAAMKAGLDLDCGMYWEGVSDFFTAYGVDAVRQGKLAEADVDNALANNYLTLMRLGFFDGSPEFEALGAGDVCSDAHRELAADAARQGTVLLKNEGGRLPLDAGKVGSVALVGLLQHINATDVMLGDYRGTPCRVVTPYDGIRKTVGATSLHACDSGACDTAAGIGTVDATVVIAGLNMSVERESIDREDLFLPWNQTGCITAVAAASPDPIVLVIMSAGGVDVSFAQNNPKIGAIVWAGYPGEEGGTAIADVLFGKYNPGGRLPLTWFKNDYITKIPMTSMALRPDADHGYPGRTYKFYGGPDVLYPFGHGLSYTTFAYTSGTTAATVAVKVSDWQHCKALTYKPGAPSPPPACPAVNVASHRCDEAVTFTVAVANAGDAAGDHVVAVYTSPPPEVDDAPIKQLVAFRRVFVPAGTVVDVPFTLNVCKAFGIVEETAYTVVPSGVSTVLVGGGASPSLSFPVKIEFAL >Et_3A_023415.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:26305646:26305945:-1 gene:Et_3A_023415 transcript:Et_3A_023415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTLNNNDFRTSPAHWTLRPLLYDFRRNNEQVNFTVTKIRRQNNTTAHNLAQQAVQMNPALTCHFACSHLAHQNQCPVRAAFQLVSWGDLSPLAVTCR >Et_10B_003917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7565412:7569824:1 gene:Et_10B_003917 transcript:Et_10B_003917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAAVNPNPNPGVPPISAYYQTRAEHHAVVSSDWLAHAAAAAATPDGADAAAAAADPLPSPGSSGGGVIEEFNLWRRKPDAAEAVAAIMALAAVIRSSRASTMMELEIELKKASDKLKSWDATSISLSAACDLFMRFVTRTSHLEHEKFDAAKSRLIERGEKFGEISLKARKTIAMLSQDFISDGCTMLVHGYSRVVLEVLKLAASNHKLFRFARLYPLDQKDMTPAHRPIDFGVPVPSGVEVETSARDYTPPQYLTLLLTDLGVLTPSVVSDELIQLFRTILSKQSPRKHHFNGF >Et_4A_033209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:258193:260951:-1 gene:Et_4A_033209 transcript:Et_4A_033209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEETRPLVGPAQDAKEAKARFGGKKTIVPRRGRNPYPSRIKAGEVYYTSFDLGAAAAAAEEDRRFNELMYPPGCVIHVPGDGHEVEAMRGEAKLLSKLPSPPLHPVGRVVGWMPIASTFPRPDPGPVPVGEPLDLRELDWHRQERRRATEPGHFEQLAIDRELVMDCLRHYNSMHPGDEYVPAAGNVTRQYQFDNGTCWIHGNFVARRKRSACFSFLPGSRTLFFFELASNNGFDGVVTCTPLDEPVTEAYSVLGIRLWWSTRRNGGLDCICKTCHRRMDVTHPDLSRTFACGHKEVKRVCKMCYLRSNVLHPPPGEFAFANHDPHFGLLKLTTIRTMGLVVT >Et_5A_041670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25769681:25773200:1 gene:Et_5A_041670 transcript:Et_5A_041670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSFKKVSGSGSPVQKMEQYEVLEQIGKGSFGSALLVRHKVERKRYVLKKIRLARQTDRCRRSAHQEMELIAKVRNPYIVEYKESWVEKGCYVCIIIGYCEGGDMSEAIKKANSNHFTEERLCVWLVQLLMALDYLHVNHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLASSVVGTPTYMCPELLADIPYGSKSDIWSLGCCIYEMTALKPAFKAFDMQALINKINKSVVAPLPTIYSGAFRGLVKSMLRRSPDHRPSAAELLKHPHLQPYVFELQSKLTPRNLLSAKLPTRQVTNKAAFSDGEDSCKPKYSKSHSFKIERVVRLDQVTARHDTPSSNRADKDYSGLVYQPMDELSTQVTKKVVNEMIHDKYSKVMRSPSPTPRRASSTPRRRLEPSKTFHATTTAQKERPSSRSSADRIVQATRRTSLPLAMIKTPEKKRGTDILTRLKSPDVSVNAPRIDRIAEFPLPSFEDPLHSITKIMSPPIIDQSITKDKCTFQVLRSDSENYTDTPDIDLLVDSPPRSLSDWRQTRFNTRSYQQRAEALEGLLEFSAQLLQQERFEELGILLKPFGPGKASPRETAIWLSRSFKETGL >Et_1B_009930.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11616071:11617045:1 gene:Et_1B_009930 transcript:Et_1B_009930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRLCHSSFGRAAQSGAYKVVHLRDASTEERGETQACLVSVVGEEEEPVPVVTVTRQRREPPFVTCCCSNCTVTVGGAVYFLDRGAPAHGDGAHPVGPPAGWNRIAVFDLESEEWKATINGPPVGSPSRDEKWEMALAELTKSTLCVVQVVGPRGRYQADRRCANVWLLVGSSVWVKEYAIQMPKPWIMFRPIEILGDGRILALNGFKEDGQDFCDARCVLQLYDANTGALTDLMEMSQGLRGLVTLYTGCSFLSSSATRHLQVVGSEERRAGDEELEHDASAEPRPHVGARAFGQQARVRQLQLRVAAQQRAQALRTRTRPA >Et_10B_002849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12462074:12473045:-1 gene:Et_10B_002849 transcript:Et_10B_002849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVMEFITNGNLDTFLHSSSSNVPVPFTLDKRLDISIQVAEALWCMHSMYSPVLHGDIKPANILLDENLSPKISDFGIARLLCANGAQHTGNVIGSIGYLDPAFCEKGILTPKSDVYSFGVVLLEIITRKKGVDGKITLAQCFNDALKKGENVIHMFDEEINNTENMKFLRDIGDLAAKCLRKDVQMRPGMVEVVIRLRMIWEALQGEQGNVSLQHSSVPRSSIPSNKVEPAANQLGNLNIFGREDIKRITRNYSMTFREELHQRLYSGVLSKGHPVIVKQLRTCSETEREIFLKTMSILSRKNHDNIVNVIGFHIGKSISECVYESCCDLSESRDGISFSNRNLYEIISSTEKPPLHVRLSIAVQCAEGLVHIHSLLEENNDSSGGTGLTGNFRCSNIFLDKNFVPKVFNDNLCAILGLSVVQTVSTFSVNDHGSQKYYLDPRDVHSPMFNPKTDVYSFGVVLLELLTWKTVRYKSHGRVHVLTTDFLDTYRADRKAIQFLGTHVESFLHEAIAIAVECLQPDLEKRPQMSDVLCCLRIFAGAQSIRSKFTGGGNAEPSRRTNPTRIIDPAKTLPASTISLDELKETTRNFSDGVLIGEGSSSRVYFGVLKDGQKSAIQKIHPYEGIQLQVPAISEMWKHDNVVQLLGYFFDEKVRVLAYEYASRGSLYDILHGKWGKGAQGPPLSWLQRVKIAVSAAKGLEFLHEKVKPPIIHTNIKSSNILIFDDDVAKIGDLGISRRLPPRSPDYRYDRICNQFADCVAPECQGTGETSKKSDIYSFGIVLLELLTGRRVIDRTLPFGTSLVTWAIPRLTENKVKQCVDPRLGMEYPPKAIAKMAAVAALCLQYEAEYRPEMSIVVKALVPLLNAK >Et_8A_056321.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:22649414:22649653:1 gene:Et_8A_056321 transcript:Et_8A_056321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVALQLMAAPMAMARSLQALEEPQPALRSAKIPIEKLILKDPFGFCAGETCFFGLCWLSGCRCQYPYCVPASGYQR >Et_5A_040232.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:25705684:25705965:1 gene:Et_5A_040232 transcript:Et_5A_040232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGISFSSSWAAEEEGKHKKEEDYTQLCLHECWLPFIRHLFSANLLSVCLCSHLYESLYMFEIEFWSYMLSVLNCNLFVLESACNMRMYWATD >Et_2A_015741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17471003:17471689:-1 gene:Et_2A_015741 transcript:Et_2A_015741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPVDELAKATNNFDKARELGGGGHGTVYKGIYQINMWLPSKSQKLTIQKEIDEFINEVAILSQINHKNVVKLFGCCLETEVALLVYEFISNGTLHQHLHNILLDDSLTSNVSDFGASMYILVDKTGLTTRVQGPIGYLDPMYLYTSRQTEKGDVYIFGVILVELLTRKKSFSYLWPCFSFHKLAC >Et_1A_009108.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:29395401:29396996:-1 gene:Et_1A_009108 transcript:Et_1A_009108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQLLLIAVLFLLPLVVVLLARRRGAVGKGKSGARLPPGPPAVPVLGNLLWLTHSSSEIEPLLRRLVARYGPVVSLRMGSRLSIFVADSRLAHAALVESGAALADRPALTRELLGENGNTISRASYGPVWRLLRRNLVAETLHPSRVRLFSPARAWVRRVLVGKLREEEAAPARVMEAFRYAMFCLLVLMCFGERLDEPAVRAVAAAQRDWLVFVANNTSVFAFWPAVTKRLFRGRLKMGLDARRRQKELFVPLIDARRERKKLLNESGGGAAPKRDTTFEHSYVDTLLDIRLPDEGDRALTDDEMANLCSEFLTAGTDTTSTALQWIMAELVKNPAVQEKLYGEIRATCGDEQEEVGEEDTHKMPYLKAVVLEGLRRHPPAHFLLTHKAAEDVEVGGYLIPKGAAVNFTVAEMGWDEREWAKPMEFLPERFLPGGEGDGVDVTGSREIKMMPFGAGRRICAGLGIAMLHLEYFVANLVREFQWKEVPGEEVDLAEKREFTTVMKKPLRAILVPRTTQSTATTQYSSCLE >Et_3B_030062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30116186:30119691:-1 gene:Et_3B_030062 transcript:Et_3B_030062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVIIALKKEQEEEGVVGIDSGDEGAGKVVKRRRKKKECDPHKKRACVDCTKRCARIHGRVSSSSRPTPEVLSFFKVMMGCFSEDMDIPPPFAKTILDLAGSNIYLEDSFGLRWRVRLCLRDGVLSFGHGWKNFVLDHAVSCGEFLVFRQIARSVFTVQMFAPSAVERLYLCERNKRQSRKRKPRQKTSSPATETVKISNNGESCKKKHRTDQVPSDCRMSVHVCIDDSDVPNSATEPKCSETSEKALEAGPSESQEVSNAFTRHQGNAQRVLDGQIEIADNCTVFTEHPIFDATEIDHVQGSSLPPNVDASGPMAMMDLNEVIIDDIYLSADIYEFESGICDTEAFSVDQNKERQISDGQTSGLNCLEHDLPNHHSSMGIGQGFFMSETLPCIENKQMVDVLETCEGAGNVPAHDIDINLLPSKEPSLFGEDRSSPPTDAEVPFRECTSDSCNMDKCSCNSNQAAQKEAVLSNNKTSGIVAADSAVIHDKPHDGQVYMQDNKGKHAAEIMSSSPRPQELARPGKDPLETGNNSGDLESGSIESGGVLALEADGRKFCVAVPALSQTWLELPSRLPVLPRTKKQGRKVVILKDPCMRLWPVMYQCAPRFSGFITGWVDLSRENSLQEGDICEFEFSGNRELSFQKRDVSWNDRLRHALPQRRSEHGIRDLATHLAAVRFLERRVELCQRFRRLAAAAAGRLKASDDRTPALLDVARRVPLERPPTARGPLVSEQRRHVGVRGAVENEAYVVRQEEVRRASRVRADERGFLLEVAFQGRDQLPDEAAERCHGLGAVVGSIGATAREDHA >Et_3B_031535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3725328:3726888:-1 gene:Et_3B_031535 transcript:Et_3B_031535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASGSKVSGLDVAVVDDDDVHAVKTTSKQQQQGSRWTFARAFVALSVVAVVCIFLYAPRFSVPTPHGAAAAFLLGPRVGRGGVGPVVLDNQVGSPCSSMPENSICCDRSDYHSDVCFMSGDVRTDAKSLSLLLFPPATPSGGGGGEATTTAVKEERIRPYTRKWDAFVTKDIHEVRLRAARPEESASPAHRCDVRHEAPALVVTAGGYSHNMFHVFNDGFLPAWLTAQHLRRRVVLAVLAYNPSWAGRFGELLAALSRHHVVDLLRDARTHCFPGAIVGTRYHDYLAVDPARLRDNKTVVDFHNFLAAAYDEPRDDSSAAETVARRQHPRHRRKLRLGIVSRKGRRVIENQAAVADLAASVGFDVDIMDTGAGRTPPLAATYAAVSGYDALVGVHGADLTSFLFLHPGRAALVQYDLRLNESSLSRKYAPDDVVVADPGRARRERGKKAWDLVEYVYLRGQNVSLDLARFRETLVRVHALLLERGAGESPEI >Et_1A_008858.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:16237477:16240695:1 gene:Et_1A_008858 transcript:Et_1A_008858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAPLLPRLLFLLLLLLSCPRGADSAPGEAEALVRWKDSLAPRPASPPAPDALASWSLNGTGAAATAACSWRGVSCDFLGRVVGVDAAGAGLAGTLDALDLSSLPSLAALNLSFNLLTGTFPSSNSNPSAPLLAFRSIDLSNNNLSGPIPATLPAYMPNLEHVNLSSNQFTGEIPATLANLTRLQSLVLGNNLLVGGVPPALGSISGLRALELDRNPLGGAIPAALGKLRSLERVNVSLAQLESAIPSELGLCTNVTVLALAGNRLTGELPPALARLTRVREFNVSKNMLTGEIRPDYFTSWTRLAVFQANGNRFTGGVPVEVARASRLQFLSLATNKLSGTIPPVIGRLTSLEVLDLSENQFSGAIPRTIGNLGKLHTLRLYDNRLTGRLPDEFGNLKALQRLSISTNMLEGELPSGLARLPNLLGLIAFDNRFSGAIPPDFGGNGQFSMVSMSSNNFSGALPPGLCASADRLRFLALDENDISGAVPACYRNFTRLVRFRVARNRLAGPVSEIFGPNPDLYYIDLSGNSFDGELPGQWSQFRSLSYLHLGGNKITGTIPASYSGMTALQDLNVASNRLAGTIPPELGGLPLIKLDLSGNMLSGRIPVTLGNATGMLLLDLSGNLLDGGVPVELTKLTHMWHLNLSRNNLTGEVPALLGKMDSLQELDLSGNPGLCGNIPGLRSCSLDSTIGGSKRKNARLVLVVTLASAAVLMVSIVAVGCLLVHRRRQKTGRDTSETTGSGSEAALTASIWSKDAEFTFGDILAATEHFNESYCIGKGSFGSVYRADLPGGHSLAVKRLDASESGGACWGASEKSFENEVRALTLVRHRNIVRLHGFCASAGDGHMYLAYELVERGSLGKVLYGGSRSCERFDWAARARAVRGVAHALAYLHHDCAPPMVHRDVSVNNVLLGPDFEPRVSDFGTARFLAPGRSDCTSVAGSYGYMAPELAYMRVTAKCDVYSFGVVALEILMGQYPGGLVASLHARDGVAESMLLRDAVDQRLDPPGGQLAGQVVFAFLVALSCVRADPDARPTMRHVAQELSARRRATLDRPFAAIRVADLTPSNK >Et_4A_031901.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27906062:27906486:1 gene:Et_4A_031901 transcript:Et_4A_031901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEGTVTAVGAGEELRPGQIYFVLPAEMRRRRLTGEEVAALAVKASSALVKAAAATAPSSPCRRRRAAPLVFPLPEEEYAAAEPMSPVAAKTAVLVQKRRVAHRGGRASRFAPDLTAIPESE >Et_10A_000329.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:23284201:23284278:-1 gene:Et_10A_000329 transcript:Et_10A_000329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVILKLFESRTHKKLQLFIYCWNL >Et_3B_028475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15916833:15925704:-1 gene:Et_3B_028475 transcript:Et_3B_028475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATIRDIAFSAGINIFTAIVFLVAFAFLRLQPINDRVYFPKWYLKGSRESPSHGGTFVRKFVNLDMRSYLKFLSWMPAALKMPEDELISHAGLDSAVYLRIYLVGLKIFAPISILAFAVLVPVNWTNNTLQLSTAQHSDIDNLSISNIPVGSKRFIAHLTMAYAFTFWTCYVLLREYEIVAKMRLRFLASEKRRPDQFTVLVRNILPDPDESISELVEHFFLVNHPDHYLTHQVVYNANKLAKLVKEKKKLQNWLDYYQLRFERNPSKRPTTKTGFLGCLGSTVDAIEYYTSEIERIENEEAEEREKIVKDPKSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWDNLSIPFVYLTVRRLIVAVAFFFLNFFYIIPIAFVQSLANIEGIEKAVPFLKPLIEMRTVKSFIQGFLPGIALKIFLILLPSILMFMSKFEGLTSISSLERRSASKYYIFLFFNVFLTSTIAGSALDQLDRFIHQSANEIPRTVGVAIPMRATFFITYIMVDGWAGVAGEILRLKPLIIFHLKNFFLVKTEKDREAAMDPGSIGFDSSEPQIQLYFLLGLVYAAVTPLLLPFILIFFGFAYLVYRHQIINVYNQEYESAAAFWPSVHGRIITALIVSQLLLLGLLSTKGAGQSTPVLLVLPVVTFYFHKYCKNRYEPTFVKCPLQEAMKKDTLERARDPGFDLKGYLMNAYIHPVFKGDDDDEKFSIVDEPETEQVLVATKRQSRRNTPVPSSAQSSKEESHN >Et_10B_003484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:20041081:20044780:1 gene:Et_10B_003484 transcript:Et_10B_003484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDHPLEALLAAARGAIADLHLPIHIPGSNAAGPKQQREHDCILHLHVVVTNLLHKPLRSLARCFSSDAKQQRGTPQQLDLLLCIAFDAFAHNLQLLEGACKQKGVEFGLATRQRDQFELFRKVIDGKRADFDGFLSNLGFAKVGPPPPPSRTPASVPVSDYEDSSGIGDRDRMDGGSGTTQQPQRLAARLLDAPLSNVERLRSTLPAVSLTELIELVPQLVGRSSTSADGHPDKKKLFSVQDFFRYAEVEGKRFFEELDRDGDGQVTLEDLEAAMRRRRLPRRYARDFLRRTRSNFFSKSIGWKQFLSLMEQKEATILRAYTMLCLSKSGTLHKNQILTSLKSAGLPASEDNAAAMLRYLNADSEGSISYGHFRNFMLLLPSERLEDDPRNIWFEAATVVAVPPPVEISTGSVLKSALAGGLACALSTSLMHPIDSMKTRVQASTLSFPDLISKLPQIGLRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLINLAPTLPEIQVQSVASFCSTVLGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMQRDGPKGFFRGTGATLCREVPFYVAGMCLYAEAKKAAQHVLDRELEPWETVAVGAISGGLAAIVTTPFDVMKTRMMTAPPGTPVSMQMIVLSVLQKEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIKDESERLNT >Et_3A_026222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5702415:5706214:-1 gene:Et_3A_026222 transcript:Et_3A_026222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDKRTVPDQSEGKDQGGEVQPGEMVGDEGLQCGGASPEEDAKEEENAGHGDAAAEIEVIFDSARRLDASAEESEGVVAVGDADDGVAPEYEDEEAPKNPVATRFRYRAAIAHPTPPSTYRWLPLRSRKQYKPTRFIAEGTASAAAGSAGVESELSAAVQGAMKEEQGSTVASSGEASSQQLPPFLDGFLFPFLSSDRTSSAESQATVDQPSSDDGESGTDAANAAAAWEASSSSSSHRLPSRCRKQRRPEHFIPQEAEASARSKARRGNIALEGSSLLCASRRNGGRSARRTLRRTTTSAARGRIARKVQKRLTGAKESSPSLPFSSLLWCSAPSRACSSTSSARETSMYLLDDSPLGMRRSKRLLLHIGMNHPRAFINNNLDHRVGPVATTFFSCPKVLLRDGHLRLLLGHHQNPRIRHQHTPTGTIGICEHDVHWVIGRLDPLGHVHDHDIPHPNGVEVVEDILVREFHGGG >Et_2B_021781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5400512:5404206:1 gene:Et_2B_021781 transcript:Et_2B_021781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAAAPSTFFLALASASSPGGRRRARVGSSPKPFRSGGSRNLRFWAPPRAPWRAAVVRAEADVGDKDPAAPELTGDGARQQTLARRGGAGNAVAKRKEPVQPVGRYGAGEEYAPTPAPEKETAGRLAGQNGALVGDDDESIVTTPVLSIVKFPPPGSGTILPSGDMAPGATVPTTTPAPPPPPPSASNFVSPPYVSKFDTAGGDELTEKSTAKVVNGVPAPKAPSTPAPEPQEALWDFKTYIGFDEPAEAKDDAWADANDSGSFENFQDNDSDPLAGENVMNVIMVGAECGLGDVMGSLPKALARRGHRVMVVVPRYGDYAEAQDLGIRKYYKAAGQDLEVNYFHAYIDGVDFVFIDAPLFRHRQDDIYGGNRQEIMKRMILFCKVAVEVPWHVPCGGVPYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMQYARSVLVIHNIAHQGRGPVAEYPYMDLPEHYLQHFELYDPVGGEHANIFAAGLKMADRVVTVSRGYLWELKTVEGGWGLHDIVRSNDWKINGIVNGIDHQEWNPEVDHFERMHHDKVRGWVGFSVPMAHRITAGADVLVMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVTPFDPFADAGLGWTFDRAEANKLIEALGHCLDTYRNYGESWRRLQERGMSQDLSWDHAAQLYEEVLVKAKYQW >Et_2B_020650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22332814:22337176:-1 gene:Et_2B_020650 transcript:Et_2B_020650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGSKRWRFALPNPAVTAASEKSIQRYLLDLRGCLDERSPRPVIPLSHGDPSSAPSFRVAPEVVEAVTSALRSGEFNGYPSPATGLAARRAIAEYLSLDLPYKLSPDDIILTSGGTQAIEIVMSVLGQPGLNILLPKPGYPKHEAHAIFHKMEVRHYDLVPERGWEVNLDAVEALADENTVAIVITNPNNPCGSVYTYEHLAKIADTANKLGILVISDEVYGHLVYGSTPFVPMGVFGEVVPVLTIGAISKRWAVPGWRLGWIATCDPEGILKETKVSHSLRSFGMLTTDPATFVEGAIPHIMKNTNDEFFCKTVNLLKETAEICYDEIKEMKCIICPNKPEGSFFLMVKLEVSQLTDISDDVDFCRKLAKEESVMLLPGTALGMENWLRITFATEPPKLKQGLERLKHFCQRHQSQNN >Et_8B_058815.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:8448082:8448504:-1 gene:Et_8B_058815 transcript:Et_8B_058815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTFYQRLIHMSQDKVKFRNTGPVNPLTRHPVADRKRFGGVMFGEMERDCLLAHGAAANLRERLFVLSDFSEMHVCQTCERMANVIIRCVPGGRKIRSPYCGFCKSSETIVRINVPYGAKLLYQELFSMGICLKFETKI >Et_6B_049783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11683619:11685577:1 gene:Et_6B_049783 transcript:Et_6B_049783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRTHADMYKIWIITSISFQRRSRAGGELRQGKTNYDEKFLLESVSRPWEYAEHLPFAEALGELLGAVDLGVDEALHEPAGAGGHHLLPAPPRLRHGEQHRHGEPPVDAGGGRWVEKLHRVHDAALVLPEQLAVVPAGAGAGGEQRRQRARGGVALGGGLGLQHPHQPRDHRVAELPPRLAAAANDGAELGERHGGFGAGGGPVAASQRGGDEGDEAGGGGDLGDVAEGGHVAEEAERGGERRLVAGGRGVEEVADAGERAVLEGVDPHALRLVAAVAGGEVLEAAQRELPRARRAEGDELEEDRVDGVVALVAEVREEVRERGEVAEDPRRGGLGRRRAGRAGGQEGRHAAASRDGGGGVAGGGGEREERGAGVLRGGAGLERAAEVGDDGGGLLREEGARGVGGREGGEEGDRALAGGREEVAGVEERRDGGDRGRGGGRREGPYGGGEVLERGGAGGGGAQPEPGDDALDAVSSATTNPSAAGCGGARRGEEARVGGELGERGGGGGEERDGVEVAEPPGVGGHRQQRGERGGERRQKRRGGRKGRAGEGRGQRGERRGHRRPVAAERRRRRRRGGHLLQQPQAVRDAAGLVAGALAGLRRRHCGGKKVGRENGVRWRVECETARNQRANYSL >Et_3A_026312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6758671:6762681:1 gene:Et_3A_026312 transcript:Et_3A_026312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFATGRGLQGPLLGAEDDDAQRGRRRGRPWTAVAIAAALLLLAGIVLFLSPVDDGEYGSGGVRLSLSPHEAESEVGAAAADDARCSEVGAAALRSGGHAVDAAVAAALCLGVVHPMSSGVGGGAFIVVRDAASGKAVAFDARETAPAKATPDMYARDPSAKYLGALAMGVPGELAGLHAAWSRYGRLPWKSLFAPAIALARDGFEVVPYVARALKSHEAEVLADPGLRGVFAAPSGRRVLAAGETCRNPALAAALERVAEDGVAAFYGGAVGESLARDVAAAGGIVTADDLRGYKVEVGDAMRADAVGYTFLGMPPPSSGTVGMTLMLNILGGYKSLEFLKGFLGVHRLIEAIKHMLAVRMDLGDPDYVNVSGSVSQMLSPPFADKIRRRIVDNTTFPPSYYMPKWSQLRDQGTSHLCVVDGDRNAVAMTTTVNYVFGAMVLSPSTGIVLNNEMDDFSVPGQRTPDELPPAPANFIAPGKRPLSSMTPLIILKAMSRDVLHRFHLLKTVAFLQNGQLAGVVGGSGGTNIIGTVTQVFLNHFVVGMDPLAAVSHPRIYHKLIPNVVRYENYTVTDGEIIALSAGTVEFLKQRGHLLESTSSGAVCQFIVQHLPEKASSVDGDGVFHGRLTAVSDPRKDGSPAGL >Et_4A_032558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11338960:11357060:1 gene:Et_4A_032558 transcript:Et_4A_032558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNTQLESSGLDGDLRFDLFAALGALGVAVVLATLFWRLYKLTVSARPQDMMPVATAGNAGDAKKLRRRDVEALPVFVHGGDGDGGAAPVECAVCLAEMKDGERGRLLPGCGHRFHVECIDRWFGANSTCPLCRAAAVVQPGPVGEQKGGAAPVVAVVLGREKAEVIQEDGGLRQCSYTSSALAAVSIALILPYLLWRFIWQTKPRSTRPASSATAATPASISAAPQPSWLSRVAAPCLGAGDAAARRAFPVFVRAEVVDCAVCLGQPRASCRARLPRKMHRAIEMEGRGNAPAPAGSSTALHRQVSSRASSALAAVSIVLILLYLLWRFIWQAKKHASSSSATTAASPTSPSSSAPQPSPRVAAPCLGAGEAAERRALPVFVVRVEAATEKKADCAVCLGELEFSSRASSALAAVSVVLILLYLFWRFILQIKKHAASSGGAAATASPSSSSSAPLPSCPREGQAAWRASETTSPLSLFGRVKMPTAGGVEKVDCAVCLAELGDGQAAARLVPGCGHGFHVECIKAWFRVNSTCPLCRAAVAAAGQGAGGVPQCDSV >Et_4A_035440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2492189:2494489:-1 gene:Et_4A_035440 transcript:Et_4A_035440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFRGPNENLVNVFEVFLPQLLLYPNPSDPLNGDAAALMMRDRPAYEQKVKEYCEKYAKPEDAGVVPEDKSSDEELSDEDEDNSGDEEIMGKPDP >Et_3B_028569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16907407:16909526:-1 gene:Et_3B_028569 transcript:Et_3B_028569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIMGKVGGYWFSQKAGKEMSGVGDDINSISSSIGDGAKWMVNKIKGKMQKPLSEFLKEYDLPIGLFPQDATNYEFNEETKKLTVYITSPCEVGYKDSSVLRFSTCVTGYLEKGKLLDIDGIKTKILIWTKVSAIKTEATKVHFSAGMNKTRNRDAYEVVRDGVGIDKF >Et_2A_015919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19090173:19091743:1 gene:Et_2A_015919 transcript:Et_2A_015919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRCFCCVAGDSDPEPTAAVAAAPVGRRRSKSSQSRASKNRPSMEYPWEMYTLKELLQATNNFNESNKLGEGGFGTVYWGRTAKGVEIAVKRLKAMTAKAEMEFAIEVEILGRVRHKNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPHRGAPSSQQHQPLDWTRRVAIAIGAAEGLAYLHHEAKPHIIHRDIKASNVLLDADFVPKVADFGFAKLIPDGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLVERRKWERLADPRLAGRFDAQQLRAVVETAMLCSQSTAETRPAMAEVVEMLKFGGGGERRAKEIVPVPAASSEETATDLDDVTGSTEPLDRRNWKLSRLR >Et_1A_007976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39982094:39983598:1 gene:Et_1A_007976 transcript:Et_1A_007976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLKATVAMVSRPVPAPALVSRALVKVRGLPWPVLSRSRGSAEVMEVPEDTDLMYYDTDSAAEKGEEDEEEESSVWSQDEIDVISALFDRPMRQKPLKPPNPAKQRALPLPLPHKTRLPMAPSPKQHVRLAARAALSSRASFTDQVRKNPEALLTIAREIAALPPERDVAAVLDRWARFLRKGSLSMTIRELGHMGLPERALQTLCWAQRQTFVPLFPDDRILASTIEVLARFDQLKVESALDQCVPSASRAVLEAMASGFIRAGKVSLTRKLLELARNNERTLGTSIYVKLMSEAARTPDGYGLAAALVDELGERPDLDLRPQDCTAVMKVCVKLRRYAAVESLFAWFRESGGGVPTVVMYTTVIHSRCRDGRHRDALALVWEMEQAKCLLDLPAYRVIVKLCVALGDQERALRYLARLKEAGFVPTADMYDNVIQGYAAAERLAKCRQLIGEAEAAGLMLDRRLLSRLSEIEG >Et_8A_057321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23682066:23685373:1 gene:Et_8A_057321 transcript:Et_8A_057321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTWIRRVVGRREAAWRNTLLPSFAPISLVEAPGKVLPLQLVTPAQQMGRKAFGMEAFFKCDELLDWRMVGEPGNVGLAEWQDALKVTPTENPVMMAVSSSSIIQLLKVAAGLRSNVRGSFLSYIVYLPSNKTSYINLPNNVCRRKPDHNSFTSISYTLGALGKRQCFTKCLNSHIHTPSISDFLQIGKTFPFEWQATDEFNMYL >Et_1B_011626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24322755:24336729:1 gene:Et_1B_011626 transcript:Et_1B_011626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAALLLAVSLVLASVASATYSPPLTPSTPGHGKCPIDALKLKVCANVLNLVKVGLPQYEPCCSLLGGLVDLDAAVCLCTAIKANVLGLRLNVPVSLSLILNKCGKYCPEDFNNMAARAALLLAVSLVLAAVASATNCPPPPAPKPPTPTPGGHGKCPRDALKLNVCANVLGLVKVGLPRYEPCCSLLDGLVDLDAAVCLCTAIKANVLGINLNLPIDLSLILNNCGKYCPEDFNLLFAAAAHGCGSDCQGPVVPKPRPPVVPTPPTRGHGKCPIDALKLNVCANVLGLVKVGLPRYEPCCSLLGGLVDLDAAVCLCTAIKANVLGINLNLPIDLSLILNNCGKYCPEDFNLLFAAAAHGYCGPDCQVVPKPQPPVVPTPPSSYGQGKCPIDALKLNVCANVLGLVKVGLPRYEPCCSLIDGLVDLDAAVCLCTAIKANVLGINLNLPVTLSLILNNCGKYGVKDFTCPN >Et_10A_000233.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:1906188:1906310:-1 gene:Et_10A_000233 transcript:Et_10A_000233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNRCMLIWFLLTSVYVRLCCQVCCCRVWASCGVLCFDA >Et_3A_026665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12801689:12803363:-1 gene:Et_3A_026665 transcript:Et_3A_026665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAEILPRLRTQDSGLGMVGNRVTCALVSMTFISLANWISMACVVSSVDENLAKLKKGLEVYEARLSASKYLTGDDPHRCGPLPLWLHALLHGLRVRWRRGRVPTLQGLVGGAGDQPTVQKVMTDMLPEFGVGSVP >Et_6A_047110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25404012:25404712:1 gene:Et_6A_047110 transcript:Et_6A_047110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARRLILEAYEHCHNLHEVDAAMELHANSVCEIQYLLSSTYQNIISERAPGGYPSFIPSLSTSRSSTRFPFPKLPNWTVCGYGEPKRLREHCFPASREQQEHHFLLPPQANPATAVTPRPPEALYPPVPSDPVGRLKMIGNIAGGVEQSLIMPRLGSSRSMQGTGHWHHGGTLDANDGRVLRRPPDRVGSFGSVYHMTLPSGREVAIKRAELTDLAGT >Et_8B_059391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17512866:17524289:1 gene:Et_8B_059391 transcript:Et_8B_059391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METMGEVFMAAERVGDLVNKSTRRKRSLLIEMGQSIKQYTQQTAPRTDPSGAKLGMAPSNIVLLTVLLALLTSGAVASDPSPLQDFCVADKYSPVRVNGFPCKDVKDVKVDDFFLAANLDKPMDTTKSKVGSNVTLINVMKLAGLNTLGISMARIDYAPKGLNPPHTHPRATEILTVLEGSLYVGFVTSNIDNKFFSKMLNKGDVFVFPQGLIHFQFNPSHDKPAVAIAALSSQNPGAITIANAVFGSKPPIADDVLAKAFQVDKNVASIHALQHSDKIMAAEVTRSITSMWNGLLDRNKVFEALGKGQSIKQYTQQTAPRTDPSGAKLGMAPSNIVLLTVLLALLTSGAVASDPSPLQDFCVADKYSPVRVNGFPCKDVKDVKVDDFFLAANLDKPMDTTKSKVGSNVTLINVMKLAGLNTLGISMARIDYAPKGLNPPHTHPRATEILTVLEGSLYVGFVTSNIDNKFFSKMLNKGDVFVFPQGLIHFQFNPSHDKPAVAIAALSSQNPGAITIANAVFGSKPPIADDVLAKAFQVDKNVVDWLQAQFWEDNHN >Et_8A_056646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14309293:14310405:1 gene:Et_8A_056646 transcript:Et_8A_056646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIATSEKERSPGRFGRNNTEISETEFSRRNHPITSNTSQQVKRSDPRVVFTEITQKLPKRVPNSSRTAPFDQSAAKLTFLRFFEPSNYIKHIATSEKERPPGRFHRNNIEITKTGPEQLQNSAEPFNYIKHIATSEKERPPGRFHRNNTEITETGPEQLQNSAV >Et_6A_045871.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:22127536:22128600:-1 gene:Et_6A_045871 transcript:Et_6A_045871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDADQNGEANTTELIGGIGRELTISCLLRLPRSYYCAVACVNRSFRSLIRSGELYRLRREARIVEQMIYCSCNVLEWDGFDPNRQRWFSIPSMPPIECFTLADKESLAVGTNILVFGRKVDAHVVLRYSLLTNSWTTEEMMNAPRCLFGSASFGGKAIVAGGIGENGTLRSAELYDSEMRTWTTLPNMNRARQMCSGFFMDDKFYIIGGKAEKQNEILSCAEEFDLEKGTWRLIPDMARGLNGGSGAPPLVAVVNNELYAADYSLKEVRKYNKTNNSWITLGSLPGRYTSVHGWGLAFRSCGDMLIVIGGMSVGGSGVIEICSWVPSDGLPDWKIIGSRNSGSFVYNCAVMSC >Et_5B_043663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13850156:13851478:1 gene:Et_5B_043663 transcript:Et_5B_043663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAGGSPRHPRPAPADALARLPTDELPVPNLDIKLDDGIRRWDSAAGVLERCATPVRSVSIRGIPTLPSGRADRSVAVAAGKRPRSLSVSLPFPQSAEGLSLPSVFSCYPASLAELKLNRCIIPRPPAGFAGFHGLTVLDLEMVSFSELEAWRQVEAMLVAAPRIEELRLVDLAFRLPGRWVIQAPKVRRFLNLTLEISGLWELGPLPKLEFADVHFNDPAENRDYGKLLAALVNAKELQIRRFSIHLHKVNRDPLLPVSIYVLASSAQLIDATVQNPSIAFYAPIFLTYGLEQRCIIYFVRPDNNLRVLWCGEIMGRGYDRASVSFRRAEEAGLKRVDSGLHGGGRRA >Et_1A_008793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1362080:1364039:1 gene:Et_1A_008793 transcript:Et_1A_008793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRSEIWSGAGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEKVQESDFRSTWEPSKIRRWMGKIVEAVRRVLPRRRAVHRLIRAAPRLGENRCPPSPSPRVFPRPDGVLPPHPPWARLYEDDSCWKTPLRHASEKSVR >Et_1A_005061.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:24505792:24506106:-1 gene:Et_1A_005061 transcript:Et_1A_005061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLCFFLNRSYHDGKLVKRSELVFLPSLVAEVVEEKHQKENYAQFHLQKCWPSYIEPLFSACLLSICYMNFCIGLGLSFGTTRRVAIFIKSKFYNLTINNKI >Et_10B_003579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3350021:3355583:1 gene:Et_10B_003579 transcript:Et_10B_003579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASACGGAGCGPHCSSSAGAEEDAPEERLGQLSISGTASTACGKCDGGGAAVAAAGGAGMCGECFRAYLFGKFKTAITSNAMVRPTDTVLVAFSGGPSSRVALQFIHEMQSKAIQSWETSNSQALPVFGVGVAFVDESSILSPKPKHEVESALEDIRSIVSSLSPSEKAVHIASLGDVFSSESEEGVGRLREVVGMIGDETGRDDFLQRLRMLLLQKIALENGYTKVMLGSCASGIACHVLSATVKGHGYSLPADVQYVDTRWEVPVVLPLRDCLAQELSLLCKLDSLKTQQLLDRPGSGINSLVASFIARLREENPSREHTILRTAQKLKPFSFNKFSANGYHDFLPSRLRPKFQNIDNNESTFPEILCLICGSPFSESELQNLESTKHKTQKKIDLYTAHCCQSCCFQIIPSDTNMFEHFFSLLPKFWTDKVNSASASQDTLRDQIEDYLLEDDDRLQRQLALVHEQENTGMWTLECEIH >Et_9A_062904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6472424:6474832:-1 gene:Et_9A_062904 transcript:Et_9A_062904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKFLASLPLLSASSSGKTCAAARARPSSFAPHRRSRTCLSVTTGREQQTITAQEPVQEPEYGVVSIHHVGILCENLERSMAFYKDLLGLKVNPARPTDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHTCIAIKDVLKLKEIFDKAGISYTLSKSGRPAIFARDPDGNALEFTQV >Et_9A_062198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20672503:20676298:1 gene:Et_9A_062198 transcript:Et_9A_062198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPAARHRSPNVLYKELAASFPSRAPTITSDTGAGSVIRNLHERYRSSYVGSFARRIRDFDSPSEASLLKEIYRSEPERVIQIFESQPSLHSNTSALSEYIKALVSVDRLEESPLLKTLQRGYTSSAMDEGSRIGIPALRSVGQLTKDGALGTSSAPIHMVTSETGQFKEQLWKTFRAIALTFLVISGIGALIEDRGITKGLGLHEEVQPSMESNTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFGAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKKNDGIIVIAATNFPQSLDKALVRPGRFDRHIVVPNPDVEGRRQILDTHMSKVLKADGVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMRDLEYAKDRIMMGSERKSAVISDECRKMTAYHEGGHALVAIHTEGAHPVHKATIVPRGMSLGMVTQLPEKDQNSVSRKQMLARLDVCMGGRVAEELIFGDNEVTSGASSDLRQATRLARAMVTRYGMSERVGLVSYNYDDNGNTMSTETRGLIEQEVKEILEKAYNNAKTILTTHNKELHTLANALLEHETLSGAQIKKLLAQENNNNTERKKFAKVSQEKPSAPASPQAPAAAAKAKGVVGTAAAAQEAAAKAKGVAGIGS >Et_5B_044936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7460533:7464367:-1 gene:Et_5B_044936 transcript:Et_5B_044936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRAQEMYKKVVRRVAGEGKLPTELMESVKNMLPNNKVVMGRAKRGIFAGRHIQFGNKVSEDGGNKSRRSWKPNVQEKRLFSYIHDRHIRVKVTTHALRCIDKAGGIDEYLLKTPYNKMDTEMGIVWKAKIEKMYSELAGMEVGFFPPEEETKIEQSFEEARAANREHRREARKALAKQKQLKAGSGNDDQTTEVADNKEGMPPVSALWGALDLRRVVKLQTEKITHFSQYITSRETEVEILNCWGAYVVNGVEELAGELLEALLLVLGLGGDDGLEVVAQVGGPEAEVVHDVRPRLLVDERLEVDDVLRRLLGPRRGLRRRQRLQVEPLL >Et_1A_008809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14007296:14009392:-1 gene:Et_1A_008809 transcript:Et_1A_008809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQARMFETTAKIKQVQTNLRGKEAEKKRAYLTLEELRQLPDETNTYKTVGKVFVLEPKSVLMNEQEQKLNDSENAITSMQTSKEYLEKQLGEVENNIRELLQQDPGLARQILSMTVQ >Et_3A_023869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13817869:13820946:1 gene:Et_3A_023869 transcript:Et_3A_023869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPETGKTPELDEHGRDDYEQQQARVLMALMQGLWAARYRKADNTPCPIDQGLYLGSVGAALNKDALKSLNITHVLIVARSLNPSFPAEFNYKKIEVLDSPDTDLSKHFDECFSFIDEAISTGGNVLVHCFAGRSRSVTIVVAYLMKKHQMSLESALSLVRSKRPQVGPNEGFISQLENFEKSPQGKMQIAVIIPLEFICFVCLRRS >Et_5B_044301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22418871:22422850:1 gene:Et_5B_044301 transcript:Et_5B_044301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KRGCRFLIKANRSRNRIGAFPETVAPAARDTEAGERTARHRRAADRRGKTNRPPARTMPSKCGPWAGGCGSASIEGSGSGRYTNAAGLLPSLGARIVGNGGRRPRLRRWIVSPYDPRYRLWENSLILLVVYSAWVSPFEFGFVRDPRGALAAADNAVNAAFAVDIALTFFVAYADRRTFLLQDDPRRIAWRHATTWLALDVASTVPTQLSRRILPPQARSYNFFGMLRLWRLRRVGALFAHLEKDRKFSYFWVRCARLIFVTLFAVHCAGCFYYLLADRYPDPGSTWLSTSMPDFHNESLWKRYVAAMYWSITTLTTVGYGDMHAVNTREMVFTTVYMLFNLGLTAYLIGNMTNLVVHGTSRTRKYRDTIKAAASFALRHQLPERLQEQMESHLSLKFRTHSEGLQQLETLDALPKAIRSSISHHLFFGLVQNVYLFQGVSNDLIFQLVSEMNAEYFAPREDFILQNEAPTDFYIIVTGSVVAGTAGAGDVVGEIGVLCYRPQLFTARTRSLCQLLRMDRTDFLRVVQSNVGDGAIIINNLIRFLKEKKDSEAIAGVAEEIEHMLARGQLELPITLSFAANKGDDYLMHQLLKRGHDPNESDKYGHTALHEAASSGNNKGRVPLWEALRGRHDAAVQLLVDAGADLSAGDVALYTRVAVDEDDAALLDDIACHGGDVTVACWDDGVTALHRAAIDGNVQMAKVLLEHGADVDKEDGSGRTPRAIADEHGHREIQDLFVRSRQDQAQQSPKQQGSSSTDHRRVPAPVTRFTSAPPARIPFRDSLDSSPRSSMHGRPSRRMTSFRNSLFGVLSTSHANRHGNDGGGGISRRERERPRVRVVIFCPERGSGARKLLFMPETVRQLVELGGSAFGFAPCRAVMADGAEVDDASLVRDGDHILIVTDRWVPDTVATSRIQ >Et_9B_065097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21127459:21136419:-1 gene:Et_9B_065097 transcript:Et_9B_065097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQIDHLSYVVGRRLTTAQRPGPPPLRFPFHCRAPFLPERFIIIGSGQTTSAAAAAATSSRSMERVPPSLPDDIIAKILSQVPYKSLCRFKSHWDSIIFDCCNGLLRCKRKNAHSPVYFFCNPATKEWMDLPDTQPMKTSGPTIRLGFDPTVSSHFTVFLLSYGVTGVDFYSSATGRWTYRLSEWGDNTKVFSGTSLRTAFLNNTLYLTTCDRSLVTVDTDGKTWRKIRTPREFHFIGQVQGHLYAVHRINDQELSIWVLEDDAGREWILKHTASAPELYKIGLVHAIHPENNLIFLSVGWNNNLISYDMDTRKVNAIVTIRGSSLTHMHPYIPCFSEMQEPPGKKQQRAASLVPDDLITDILARVPYRSICRFKCVSRAWLALCSDPGFRLKCPQTLSGFFFFLRYIRSFVNVSGRGRPMVDPSLSFLPRGHRAAKFIDCCNGLLLCRRKYRRYFFVCNPATEKWIDLPEYTGGTNQLELRDPIICLGFDPAVSNHFRVFLLLYRNRQYDLPCQVYGVKIYSSESGEWTTHNSDCWGDAIITGYPAFFNGTLHLNTFRSSSVWIKMENFGEKSLHRAILISLANLRESYMATHKVERNGFPHLSVWVLDHYASRQQLARKHVFRTEELFGAGSRRIGEVTVHVIHPERGLIFLTVGKLRSLVSYDMDTNKMCHVSDLGANAVSVYPYIPSFSEL >Et_3B_027857.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23102049:23102204:-1 gene:Et_3B_027857 transcript:Et_3B_027857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFECRCGGVFCGAHRYSDRHDCGYDYRGAGRDAIARANPVVRADKQVDKL >Et_4B_037971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25155752:25156089:-1 gene:Et_4B_037971 transcript:Et_4B_037971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPNVLQVDATAAVVEGDAKEAICQAVERMQAGLLVLGSRGLGMIKRAFLGSVSDYLAHHASCPVLVVKPPKAHAK >Et_4A_033660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25533037:25540271:1 gene:Et_4A_033660 transcript:Et_4A_033660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNARNSFGQINELKTALGPLSAQDENYCSEECLTRYLEARNWNVSKSRKMLEESLKWRAAYRPEDIRWLFRSLNPSPATKTPYCCQPDVSVEAETGKMYRASFRDREGRTVVIMRPTKEARLFCLSISSFLLPEIFSIPSLIILQLIYNSTSHEGKIRFLVYVLENAILNLPEGQEKMVVKHFLDPTSLEKVNFVYSKDEESMKLMHRHIDPEALPVEFWGKNNVVYNHEDYSKLMVKDDVKAASLWADAKTDHANHDTNGTLVPEVTPQSRKHGAHFNSNDAEQREAKIKELKAALGPLSSRSEKYCSEACLARYLEARNWNVTKSRKMLEESIKWREAYRPEDIRWPDISVEAETGKMYRASFRDREGRTVVNTTSHEGQIRFLVYVLENAIFTLPEGQDKMVWLIDFTGWTMANATPIKTARESANILQNHYPERLAIAFLFNPPKVFEAFYKVIKIFLDPKSIEKVNFVYLKDEESMKVMHKYIDPEVLPVEFGGKAKVEYNHEEYSELMTKDDVRTASFWDPDAKTDHVNHETYGTLVPEVKPQSSVVAAKAS >Et_2A_016549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25988472:25989595:-1 gene:Et_2A_016549 transcript:Et_2A_016549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SALSLSPSGRSSSETRSLSYRAAISGLPLANTISTRSIVTLKNGHWLYISWSIWRACLNAAPKPSQTGRWKRVWVQAKIHGIARSDSMPPLGFLLDGLLPITYKAEILDKTWFLEDNGPIFLCCHNCHLIKKRSPFWLWCIGRMHAMLQGCGSETLQCPKAQVLLTKGLLNDLTLNSDPEGSVDRVWWLRQDGKVSGSTTPSNSPTTSMEHRQLHPKVLSNLDEILLRPASFPESEYPIMTSCLPLIRCLYQSMENSLANAAGAASRSANFSNSGTTLSGVLT >Et_3A_024797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24281787:24289169:-1 gene:Et_3A_024797 transcript:Et_3A_024797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGGGRVVVVLALALFLVTLPTPASADKKACSSSGNTFSFPRRHYRSLRSFVFCFKLLYALDDIHGIAVVIDYVFVPSIQHYVDVLKATVTAVADGVDVLSLSLGSPASDYLNDPIAISAFYAVQKGGHRRQRRRQLRPGSRGASPPSSSSLFTMFCCSGSNLQGQSVANSTLPLGQAYPIISGEQAYAANQPTANSQKLDSQHTCRFLGRVLDRSVCHLNTASSSTTSLSCCRAFCLPNSLDPAKVNGKIVVCVGTLNRAAKGLVVRQAGGVGMVLCNDEIFADGVLVDAHIIPAAHCSFSQGAQLFSYLRSTGWPPSHPEGRTQLLLRSSRSAYAVQHP >Et_3B_031124.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:1744726:1745745:-1 gene:Et_3B_031124 transcript:Et_3B_031124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKANQSATPPMAPHLDDGVISEILYRLPSKEAYRLTAVCRWWRAIVSEPAFLCRHLSPRPLPLLDDGPYAVILQPRRKVGYTHLTVVPTNPGDSIDLNLPLDPNYTDPPSVKAITRGWSERRTTRRDPPGPSLLDTDEHDFIFSNLFSTGDDVLDESLDNGDHDQDKSGGAVDEVRDAAAEEPGELLNGSGASSEGHDADVAAAAAEDATPPAVEVEDHVAFFERTVPKLDVSIVASHGQLLLCRSQSRYFVCDPGANRFVALPRASLPPTHDAARGLHYDLDAETGRVSFTVVPVDDLDPRPLRPRPTRCPWTSRARAAAPSSSTRMMMYYWCEQ >Et_8A_056054.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:13358958:13359296:-1 gene:Et_8A_056054 transcript:Et_8A_056054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSTIPGFSQIQFERFCRFINQGLAEELEKFPTIKDPDHEIAFQLFAKGYQLLQPSIKERDAVYESLTYSSELYVSARLMFGFDVQKQTISIGNIPINPSLVPQVGIYDVR >Et_9B_064116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11326985:11329885:-1 gene:Et_9B_064116 transcript:Et_9B_064116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGDAWSRSSSSSSSAAAARRLQSRYDLFMGFDDADAGVDDMVEPSGGAELYNCPFCGEDFDFVGLCCHIDDEHAVETKSGRRRRVRRMSSGSHSLLSLLRKDWKDGSLQSFLGGSSYVSNPPAAAPDPFLSSLICNFPVAEPSKDLHSDSSDKNCLLNKFPDEKTVVRYVTSSLFFLPPHSAPSLPRYHCI >Et_4B_036535.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28610209:28610562:1 gene:Et_4B_036535 transcript:Et_4B_036535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGFGVAAAVAPASSSSVAGRMRPQRSVLAVPAATRAGQAAAAKEEKSFGDFIFGLIYKENQLVETDPLLNKVEAPRSSIPRTTRGGTTSGKKAAASNDNGGGGFNLGGLFAKKE >Et_3B_029252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23480717:23485890:1 gene:Et_3B_029252 transcript:Et_3B_029252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRDAAGARRWGDGEEAAEEGGGAGGPVEDGGEVSLREWLDRPGRAVEAAECVHVFRQVAEAVAVAHAQGVAVGSARPSCFVVSPPFARVAFIESASGSDASGGSCSGSDASDDADADPAASPPRRGDSGGEDRPGKGFPLRSVLAMELNWYTSPEEADDSGGGAATFASDVYRLGVLLFEVRLLHIEMLSFWIFTVAHSSWLSLQLFCTFETMEEKMRAMANLRYRVLPPQLLLKWPKEASFCQLLMHPVPETRPKMSDVLQSEFLDQSRNNLEEREAALRLREEIEEQELLLDFLQQLQKRKQDIADNLQDTVAFLSSDINEVLYQQSALGQCGNISSDLDKEVCSETVEDQSDCGSRKRFRPELQGVDVEEQNRSLEECSRTVPSSVVIQESVLSKSSRLMKNFKKLETAYFLTRSKLAKQAVNQVSNCHQVVKRTTGSAVGTEGSSIDDSPLEGQYGRRRRGWVNSFLEGLCKYLSFSQLKVRAELKHCDLLNSSNLVCSVGFDRDKEFFATAGVNKKIKVFEYNMIVNEHRDIHYPVVEMSNRSKLSCICWNSYMKSHIASSDFEGIVQVWDVTRSQVFVEMREHERRVWSVDFSNVDPTKLVSGSDDGSVKLWDMNQAILFLHLLYAGSVGTIRTRANVCSVQFQPDSACSIAIGSADHKIYCYDLRNIRAPYCTLVGHSKTVSYVKYLDASTIVSASTDNSLKLWDLSRSQGRIIDSPIQTFTGHTNTKNFVGLSISDGYIATGSETNEVFVYHKEFPMPVLAYKFSVTDPISGQEIDDPSQFISCVCWRGQSSTLLSANSCGNIKILEMD >Et_1B_013258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6827661:6831215:-1 gene:Et_1B_013258 transcript:Et_1B_013258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRWVPLEANPDVMNQFMWGLGVAEGTAQFCDVYGLDDELLAMVPQPVLAVLFLYPLLDEEEKEESGASASSTAESKDLSKKVYFTKQTVGNACGTVGVIHAVGNATSQIKLVEGSYFDKFYKKTADMDPVQRAAFLEEDDEMEDAHSVAASAGDTDANVDVNEHFVCFSCVDGELYELDGRKSQPICHGPSSPDTLLQDAAKIIKARIAENPNSMNFNVMALSKN >Et_9B_064171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12163402:12166974:1 gene:Et_9B_064171 transcript:Et_9B_064171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGAKVKMEDANVPVDLDVDIVSLDAGTGFSKLATVDDPDATECSSSFGDTLSGSEDDGRPSEISDIEVDSPFCRYPGNGDAADLLDAAASENMDRLLKKKKVTDHWRKYISPLMWRCQWLELRMKDLQSQVSKYDKELSVLKHEKELQTKMIELDCSSSRSVPFASHCCRKTMKRRRRKKNELKMDASSYISNHTVFSYFEKTEAEGHSIEDNANLADDNTKGNNDANWILGIEGGDATVEQILLSIQSVQDRVSTLRSNLKKAMAKKNKGITLKVNTWVNGTHSSNCSPGKGKGAGLLETSPQDTSDCDMDDSAMPDSALSSYGEASNMDIFESTMNLLSEGPHQMGELRESSEDVLIDNQAAEEGYQNFEVISHPTKRIRVSVKRETGAHSEDESVAPVVGIKREAQEEGTSSFSLQGAFLKPCFTGKRRERKPMTQVMRRRSSSSTAAALLSWRSKRIRKKKQY >Et_7A_053110.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6866530:6867879:-1 gene:Et_7A_053110 transcript:Et_7A_053110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVAQRKSSQLAPGAVVVAPVYKHTLPVTSSQPIPHFTHAFLARSQSRPQLPPPFKPRVHASPPHRHGEKHQNTHRHTHPSPAPRDAAMHGYRRTISFPAPKPGGPTDDLASASDKLAAYRIRSASLPCRFHPLVLQLDDDVAALRLVIGQSSPPSSVAAPPPVTASSVSAAASQVGRVLVSLSDLLHHPQAQEPLRRLGRCSPPLLAERLLDDFLRLADAHGTFRQALVALAALQAETRAALRRGDPARLASASRAQRRAGRDLTRLAAAVRAVAGKPHPAPMPEDLPADTAALAAAVADATVAVASGSAAVFSGLSSLSNAAAAARVEVASTPCWITVPSKLTTSSDTPRSKQHRIWWVADLVRWMSRAKRRSAEKQHGGDYSEESSTTQLRSEARMKPEERARKAAFERHENLERCIANVDASGEKVFRALVNTRVSLLNILSPSF >Et_2B_020734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23092700:23093134:1 gene:Et_2B_020734 transcript:Et_2B_020734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTMAPLPTTQHANTILAVSSKSPALKSSSILSLGSKCDTRRTFLGGLIAAGAGAILGPDVASAASKRRPPPPETTEEKKDPNISGVQAKVLASRKRKEAMKEAVAKMREKGKTVDK >Et_1A_009147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3157794:3161372:1 gene:Et_1A_009147 transcript:Et_1A_009147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGYDCAASVLLCAEDNAAVLGLDEEGEESSWPAGATPLRDTAAGGVSVEGFLTDFPLQSDDCIEALVEKEEVHMPADGYLQKLHRRQGGLDLAAIRRGPSIGFGSEMLSCEVLDSRKGVSFLEFIEEGKAWMAQLMAVACLSLASKMEETFVPLPLDLQVAETKFVFEGRTIKRMELLVLDTLKWRMQAVTACSFVDYFLHKLSDHGAPSMLARSRSSDLILSTAKGAEFLVFRPSEIAASVALAAIGELRSSVLEKAATGCKYLNKERVSRCYEMIQEKITLGNIVLKSAGSSMSSVPQSPIGVLDAAACLSQQSDGATVGSSPATCYHSSSTSKRRRISRRLMYWCVAIQSASVEYYYWPSVLTTGGL >Et_1B_014290.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5128072:5130018:1 gene:Et_1B_014290 transcript:Et_1B_014290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTLITSNFCMTTDLYPAGAAHAARSCRRVHYISPAASAAGQSSGSRKKKNAASPPPSAAATATVLTSPPATDNVQQYTRPKQIREQREQDEQVVANTSRIATARSRTSQAQAQARPRRRPAASAASLPMALCNALEEAINTFVDPPALRPAVDPRNVLTTNFAPVEELPPTPCPVVRGAIPRCLAGGAYIRNGPNPQHLPRGPHHLFDGDGMLHSLLLPSSSSSSDPPVLCSRYVQTYKYLVERDAGAPVMPNVFSGFHGVAGMARGAVVAARVLTGQMDPAQGVGLANTSLAFFGGRLFALGESDLPYAVRVDAATGEVTTRGRCDFGGRLFMGMTAHPKKDPVTGEVFAFRYGPVPPFVTYFRFDAAGNKGPDVPIFSVQQPSFMHDFAVTDRYAIFPELQIVMKPMDMVVGGGSPVGSDSGKVPRLGVLPRYAADESEMRWFEVPGFNMMHSLNAWEEAGGEELVLVAPNVLSVEHALERMELVHSCVEKVRINLRTGAVSRTPLSAGNLDFGVIHPGYLGRRNRYGYLGIGDPMPKIAGVAKLDFDRAGTGDCTVARRDFGPGCFAGEPFFVPDDVEGNGEEDDGYLVCYVHDERTDENRFVVMDARSPQLDIVAEVQLPARVPYGFHGLFVTQAELQSQHQ >Et_1A_007690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37305607:37313612:1 gene:Et_1A_007690 transcript:Et_1A_007690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFREPNAAPDPSRRRADAVGWLRALFPDQQLPPDATDDDLRAALASGRLLCALLRRLCPGALMDDAATDNAGRFRAAIQRMGVPTFSAYDLERGEVSTVITCILALKDRFGSEERSSTFLTRSDSEGTRRYMEAKMQRVLTSPIMSEPSSPSLGSDPYSPSRVFQLKQGYTDLPGCKISDLMKSTSLENAPTQALLGVINSILDESIERKNGQIPYRIACLLRKVIVEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEALADGARGQTNVEKEKFEGKGQFGEDDMARLMKYEEDVVKLMKEKQDMVRLLQEKEDMVRLLKEKEDMVRLLKVKEDIVDLNPDKVDNTQGTIDENKERLLKEKDETVVRLTKDNEEMVRLLKEKDNIIRLMKDTKDMVDSKEATVGDTQQTTDDNKDRLLKEKDDIVVQLTKEKGYMDRLLRENDDIIRQMKEKENMVNLDSEKTDDRKQAIADDRDRLIEENNDMVVRLTMEKEKISKLLKEKEDLIRLMKEKEDRTDLKKDNAENREQSTGEDADWSLKEKGDIIKLMKEKEDYSNVIVKIKQELESLRSSYEESCKLLESKKEDIAKLLTDKEMNDSIILQLRQELEAIKKMHETRCQQLETRAAQVNKESEQRIKEIKLMLENSTKRRRELEEISESRIQFWKHKEIVVNQFVGLQIKNAQDLRLSSVSVRHEILNCQKRWFEELSGLGENLKMVTHAAEKYHAALADNRKLFNEIQELKGNIRVYCRIRPFRPTEDEKSTSVEYIGENGELVLSNPAKKGKGARISHLTKFLVLQLRKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPDNATEKEWGVNYRALNDLFSISHDRRDTIKYELGVQMVEIYNEQIRDLLGGGIQNTTQPNGLAVPDATMCPVTSTPHVIELMQTGHNNRAMSATAMNERSSRSHSVVTIHVQGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNSHVPYRNSKLTQVLQTSLGGHAKTLMFVQVNPDVLSYTETLSTLKFAERVSGVELGVARTNKEGKDVRELMDQLSLLKDTISKKDEEIDRLQVLNTSTSRLKSTKADSQLKHSSSSPGMTSLGKVASFGSGAASDVDNFSDTSDRHSEAGSMLSIDDTRQLGQSSADLSALGDVDSDGRLSDVSDGGGAETDSSLNNAMDQEQEKAPSAAKERLTKAVSRVQKLTLPKVGQASSLRPKPRDPSAPKSSVTAGVRRSTTTQATPPPRASSTSKRKGLSFWYDVRKTDAASAACLAPTAKNSVMTSSGMPFVSGTLRKTNTKAIAQTTAYMPKTPARPIAFNITGRL >Et_8B_060001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4969119:4973889:-1 gene:Et_8B_060001 transcript:Et_8B_060001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQLPGGAAPLRDPPEDDEDDNAVSGGGVDSAATPSTRQAIKALTAQIKDMALKASGAYRHCKPCAGSSAAASRRHHRYHGGAYADSEAASGSDRFHHAYRRAGSSAASTPRLRSGGAMSSDDATPSVSARTDFLAGDEEGAEEEETASGGSDEDEAKEWVAQVEPGVLISFLSLPQGGNDLKRIRFSREMFNKWQAQRWWAENYEKIMELYNVQKFNRQAVPLPSTPRSDDENSKEDNPATPRLNKECLPHGFHKPLMGSASDSLEHQPNHLAKGYRQDRYLGNRCYDSVGLASTPKLSSISGAKTETSSIDASVRTSSSPEDLDRSSELSVSVSNASDQEREWVEEDEPGVYITIRALHGGIRELRRVRFSRERFSEMHARLWWEENRARIHDQYL >Et_4A_032884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15053778:15064243:1 gene:Et_4A_032884 transcript:Et_4A_032884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTNETPSYGQIPDFRQGLVRDAGWGFLAGATCGSAFHFITGLRRSPRGARLAGAARAVRANAPRFGGTVGSLFALYFASECAVTAARGGRQDGWNSVAAVAAVEGIRSLAMRRGNRRAVASALSWAAAVALLEGVVIVFDRKLGGRPYTPRCCRLLGDMTTPMPCQAASLPCQAASLVHAVDPARSRRRLCARRRVRIRLPLHQGPPPLAKRRPQPSYYDMPDPGMRWWLPHEVGDGFVLGAACGSAFHFTRGLRRSPNGARLAGAFHAVRTNAPRLAGSFGSFHAVYVACKSALSHAVAKLEPKSSWGALQHFDPENNDLAQFGLFLR >Et_2B_021929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:717541:719497:-1 gene:Et_2B_021929 transcript:Et_2B_021929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PARSVRRHPRAILTREGFAEIWVPPAARKKNGVPASSTFTAAAAIPARNLGRGGNPTAMVSSLSRGGGSAAPPRSLARGGGSAAAAASGGGFDGSSSAMDEFPISPIDGSSALFDAASVDPSSPGSWDRHVRPPGGFMSYFGNLGQNSHLVGAAYHSSSPNQAHNASSPPEVEMINGNDSTRTEKRIMWTVEEDVRLMSAWIENSTNSSCGADRAGNQYWSDVVEYYNKTTPPFRRRNLKQCKDRWHKINKWTDLFECAYVKSRRVFTSGYSNEMWIDAAHKFYVDDNKDAHLGPFLLTEVWKMCRDEPKWKTYNEDLKNARNHFTLKVTHRKMRQFLMKCLNDQLDRRQLKRPRLAAKGKNKESADVSGSSKDSAIDVDKFDKFSKFQEDNHEKRLQILEVQQKLSAEKLEAQKIAHQTAQENKASKMMEAYVSISSQDTSSMSDEKKAERVAVMKCLRMKLFPTA >Et_8B_059561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19270758:19278900:1 gene:Et_8B_059561 transcript:Et_8B_059561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVTVSMGVMKPVLAKLAAFMGDEYKRLKGLQEEVSFLKRELSDIAVLLEKMDTADELDPQAKHWRKDIIEMSYDIEDCIDDFLDRVGEDEDKVGILHKASHYLRTLKDRRRLANEFKKMKSQVIEASERRKRYMIGHCISNTTSVVVDPRLSALYKESASLVGIDSQKEDIVKWVLNDEQQLKVMSIVGFGGLGKTTLANEVYREIRTKLNCNIFVSVSQKPDVMKVLISISSQLKQHDLKASSQVCEMDIINNIREHLQDKRYFIVIDDLWDTPEWNTISCAFPQNNHHSRVIITTRNEDVARACSNNHGYVHKMKPLSEQDSRKLFCNRIFGSEDNCPCQFKEVSCAILKKCGGLPLAIVTLASILACRPIRLKEQWEYIEDSLATTSATISTLDDMMHILELSYKHLPGYLKACFLYLGTYPEDYTINKAELVRRWVAEGFVSNSLDRDVWDVAECYFNELANRNMIQLAYEDDNNTRVSHCRVHDMMLELILRKCKEDNFMSLVNDPRMMAEGNDKKIRRLTVDLNYCVTGGPMVVTTTRHLSKVSSLSIFGGSNWIPLLLEFKSVRVLFLDISGCNGTVDLTVINRMSRLRYLKIINDGRWCTSTLLLGQIQSLLHLETLELPSLASDSRITSDIVDLPRLSHLLVKGYPELPEEIGKVKSLRTLDGFCLPMSSLENIQGLGQLSNLTYLSIHSKIYWGRTPLHGWMAAFSSSLEKLSNLKCLIVDFNDCDMCTDALNSWVSPPFRHLERLDLAAWMISRVPRWIGDLHDLQELSLWVEEIGEEDVGVIGRLPSLVQLYLRISGISSGGIVIAGSTGFRFLKSFHFDCDGTSCLTFKAGAMPSLVKLRLILDGKRWDKGTPVGLQHLSSLKEIHVRMTAVSKLARDAFQKVADAHPCGPAFTFVHVIYLSVTMGVMKPVLDKLGTLMGDEYKALKGLRNEVSFLKHELSDMAALLEKMDNADELDPQAKHWRKDIIEMSYNIEDYIDDFMDHVGEAGDKVGILQKASHYLRTFKDRRRLANDVKKMISKVIEASERRKRYMIDQHISNTAPVVVDPRLSALYKDSGSLVGIESQKQELVKWVLDEDEKQLKIMSIVGFGGLGKTTLANEVYREVGAQFNCKAFLSVSSKPDMMRLLNSMLLQLEQQYENISSHVCEKVLIDNIRKSLQNKRYFIIVDDLWDIQAWKIIACTFPQNNQHSRVIITTRIEDVATACSSSHGRVHKMMPLSGQDSRRLFFNRIFGSEDNCPPHFLETSCAILNKCGGLPLAIITVASILACQPIPLKEQWDDIENSLAIKSAAKSTFEEMMHILELSYKNLPNNLKACFLYLGTYPEDYKIGKDDLVRQWVAEGFVSNSLGRDVWDVAESYFSELANRSMIQLVNEDDYNIRVSHCRVHDMMLELIIRKCREDNFISMVNDPQMMPGGQDIVVRRLTVNLNVIKDGTMAMPPTRHLSKVRSLSILGRSNWIPPLLGFKSVRVLFLDISGICEGTVDLTDINRLSQLRYLKIEFSWHGKCKMLLGPMRSLRHLETLELCYPPSDCIIPADIVDLPCLSHLAVRGFLFSGLPDGIGKVKSLRSLYYFDTMNNSLENIKGLGQLINLTDLFIYSRRHPSVSGQEVMAAWSSSLEKLCNLKCLVVTTTRGSEHLFADSWVSAPFTRLERLDVAHWIFSRVPSWIRDLHNLRELSLRVQETSEEDVGVIGRLPSLVKLDLHIEHDILSGRMVIRGSTGLKFLKFFEFTYKGFSCLTMFEVGAMPSLIMLRVDFHEFTWDKGTQVRLHHLPSLREIVNDMVPADTEVWVREAFQKAAGALPGCPAFTVPNKAYR >Et_1A_005121.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2854773:2855291:1 gene:Et_1A_005121 transcript:Et_1A_005121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRDRTGYAPRLPDMEEFFESITDSISPEIDQWQVYYKLKRLKSKYQHTGGPSDRRMRDLCANLWGLVPPLEDNSDGHDTDADEQRAAPDAAAMMPVVTEVLGEYWKTNAPAMGNVPLEKGLSRLGKKQGRLMENKWRQQLDEEMQTQMRRHDLAKEVCALLSGAIKGLCP >Et_4A_035760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5190284:5191254:1 gene:Et_4A_035760 transcript:Et_4A_035760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRNASGERNTSAKLGPEHGDLAEPTPIQIPNFLRGYSGHCTRTAIFVSAKPPQSQTASPLTTTSPTMRTGLLFAVAAMVMYIVLAPATAIRPGSFYPIDNINDPHIQELGRWAVTEHNKQANAGLTFNRVVSGQQQVVSGMRYRLNIDASNPNGNYRADLVESWDYTRTLLSFN >Et_10A_001166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21818932:21821194:1 gene:Et_10A_001166 transcript:Et_10A_001166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASFTLQAFFLFGAGIRQCSKSTVLHVLLWLAYLSADQVAVFVLGHLTRKMDDHQPVLLWAPVLLLHLGGQDTITAFSMEDNELWKRHLLGLLTQVAMAVYVVAKSWRSSNGGLLAVSVALMFVSGTVKYAERTWALKTATSDTIKDSRMRDLYKGLGSLLAEGIDIEFYLKSHALIGHQNWWLQEEAYKLVEIQLSLMYDHLYTKVGLRYAGLGLGLGLPLLTLATTSSALALFAARNQKHSAVDVAVSYVLLAGAVALEVLAILAITLSFRSYCFVRGMLGTGSRLTSALFWLVRSLRPYDKPLWSNKWAQYNLLAGCIKEEQAGVFTRAMRRVGLAGDSELRRISDETKALICKELDDESRLHLFSHARGTGILSMSGHGERSHLHMSTSDVDFSTSVVKWHLVTDMCFFLDGGEPAKDDRRRHMALAREVSNYLLYLVMERRVLISSKGHVMHQKARDDMKRILQVAGARVNAADTDTMRKMLETGIYHILAIPGMEDMRPANAAWTLAQLLVQQQDGDTKTGGGGSGAPWKMIASVWIEMLFHLATRCEAGFHAKNLSTGGEFITHVRFLLHNRGIGWNFVNPFRALFLLIAGSMFLYVVCFYMYGCSDYGGQGHQKHSQYARDMEESQKHTRAGASESGSGAQQWCSPKCPDVHLPPLASARAQPEQ >Et_7A_050351.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:8761260:8762437:-1 gene:Et_7A_050351 transcript:Et_7A_050351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTKVLRHRSITSVHLAYARMSPTVQQAEMKRADPSGARARRRMSLGLPQRRLEPLAVDDVREPVGHVGERGLVQVPGRRGGGVGGEEHPEPSHERVPRGGLAAQVGHHARDDHLVRAHPAQRVLQPRRPRERAVRALLHHEVPVLAPRAREQLGRRRAGGDAVAGPPLGERPGAAARRRRVRVAGVHHGHAGRAAQRDGGRDRRHGPRRQRREVALHVDHQERGRARVRVAPPRLVLCVLGWRRRHGRRCGAAGSSRAVFTDERICDALRRGGSSRGQVEQGERFAETSGRRVHV >Et_8B_059669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20446883:20452321:-1 gene:Et_8B_059669 transcript:Et_8B_059669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQRGRQRHRRQTRDRNRLVSSAGKRKGSPCQEDEDLYSQAGKRTRRAVPALPEDIWCHIHSLLPMRDAARVACQSRSFLRSWRCHPVLTLNSIILGSNGNACSQDFICKADRILSNHSGIGIKIFNLRLFSIFDACPYLDRWLQIAVKPGIEELTLELCCRCERKCSIPCSLLSDGVQNSIRYLRLASCSFRPTAELAPLKNLTSLSLHLVKISWEELECFLSNSLALERLDLSNCNEIVKLKIPHVMQKLSCLDVSYCSELRVIESEARNLTKFSYSGGGLRKVSLGETLQMRSFSMYRPKLLCYARTKMPACMPNLEALDIGSSYEMIDTPMLPTKFMFLKHLRIHLISDWSFCPSYDYFSLASFLDASPLLETLILDVEQYLMKHKSVFGGSGQLRQMPDHRHGCLKTVKITGFSSAKGLIELTCYIVKNAESLESCYILKNAVSLECFTLDAPYGDLRCYLKTSTRCDRMSEVILMEARRVVLAIRTYIEDNKSSIYS >Et_2A_016741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27828009:27829668:1 gene:Et_2A_016741 transcript:Et_2A_016741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSHGSPRPDRDRERGGHLARQPEHLRQVDATRRVGGREELELVQQRGEPERDGGHGEALARAAPAARPERREPEVGAHDGELRRRRAGEEPVGAERRRVGPHAGVVRDGPHVDHGGGAGGDEEAVDEHVRRGQARPREQRARRVQPQHLLDDGVEVGQRRRVGLGDGAVAPHHAVQLVVDPALRVRVQHEAGQHPLDEHGHRVRATEHHFLRNSTTTLACQNSEETGRTRRGRSGSPVSLVTHPEDGDHVGVVEPVVAFQREQDVGEVHDGRTMFAVLVDDGADEGVHARDEARAPGPGALEVEAREPGEMVGPVQLPEQPSSTSSPSSRVSGLSLAGSISSRSYRRPNTDHITLCRDARRSTFLTATSSRPAADESAATIWAAITARWGPDAATCHGEKRCVDATRRSARQYAPWGANPMARWNSSPYADSFTGRSANAGLLRSSRATSGWAATTTRVSPRENAISRLAPRRSAAAASLRCASPVMSHMLPITGSPLGPGTTAPASIRRRVHHHRPHAGPPGKHQWRRQVTTKAAAPTARKPASRCG >Et_10B_002347.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:10122689:10123084:1 gene:Et_10B_002347 transcript:Et_10B_002347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSLVGLGAGLTAGVTGEAPWAVALCVAFVVAYVSFFSVGLGPIAAVYTAEIFPLRVRALGFAVGMHGVQQRHRHDLPGPAQGCHRRRRLLPLRRLLSAGAGLLLQRSPGDSRQDAGRDVQALQRHRPES >Et_1B_010798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14121343:14129384:-1 gene:Et_1B_010798 transcript:Et_1B_010798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVYRIASPSEYLAITGYGIDDVKLAKKAWVAPGQRCTRFDISPVNYTFEVQAMSAEKLPFILPAVFTIGPRADDDACLLRYAKLISPHDKLSQHVNELVKGVIEGETRVLAASMTMEEIFRGTKSFKQAVFENVQLELNQFGLIIYNANVKQLVDVPGHEYFSYLGQKTQQEAVNQAKVDVAEARMKGEVGAKERDGMTRQNAAKVDAETKVFTVKRQGEGAKEEARVKAEVKVFENEREAEVAEANAALAMRKAGWERQAKTAQVEASKAVAIRDAELQVEVERRNAARQTEKLKAEHLSKAVVDYEMKVQQANWELYNRQKAAEARLFEQEKEADARRAAADAAFFARQREAEAELYAKQKEAEGLAAMGQAQSAYLSAMLAALGGSYGALRDYLMISSGVYQEMARINADAVRGLEPKISVWSNNGAGDGDASGGGAGAMKEIAGVYRMLPPLLTTVNEQTGMLPPAWLGSLGPSKSIGRRGLLRSAGRGKELATMGQAQSAYLSARHVLGALRNYLMISSGRGLEPKISVWSNGGVGEGDASGAGAAAAMKEMAGDVAAVADTVQEQTENAGAGCVVGFARPEQIQLMELNLIVKSCAVCVIHRESKIVWICGRM >Et_2A_015614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1639415:1641215:-1 gene:Et_2A_015614 transcript:Et_2A_015614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSSSSSTGIRCFFGKSIKKTVGFLESYVGIIHKNHAESISLFIKESVDEELTFCVEGNICVGKTTFLQRIANETIELRDLAKIVPELIAKWQDVGPELCICDEVSCKRKNLQVFVRAVREANWINEMEISIYDSWFDPVVSSLPGLIPDGLHEKSESWLLPSKGAGSGLLSVSQLPVHMEGSLPAGIRDRVFYLEGDHMHSCIQKVPALVLDCEHDIDFNKDVETKQ >Et_2A_018043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9314511:9315023:-1 gene:Et_2A_018043 transcript:Et_2A_018043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEKVDATLADFDAHFERLFGPLAADDGKVKLLLFLADREPSSTLTWCPDCNVAEPVIYEKLEALQGRDAVLLRAYVGDKPTWRDPAHPWRVDPRFGLKGVPTLIRWEKGAAAARLEDDEAHLGDKVDAVLGAAGN >Et_7A_051400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1846567:1859219:1 gene:Et_7A_051400 transcript:Et_7A_051400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQHNAQFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMNLNHKNIVKYLGSLKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADINTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCAPPYYDLQPMPALFRIVQDVQPPIPEGLSPEITDFLRQCFQKDSMQRPDAKTLLMHPWLQNSRRALPSLRQPARSLRNIDGDDEGSRGDNNSGFGGPTGDSQSPIASTIEQENGTKEQSPESAAQDKADQLHDESLKPTESSSASNVELMKDNVVLNKDPTLVFHEKLPLESSSGDADLDSKVMGQELLQDGLPSKIELESKGNSNLEDADVFSFQAGRQNIDFQKVAEPSVVEGPNELSRFSDKPGDASLEDLFPPIDKRDYGAEASTSTTGQEQYNGRQKDLAKELKARIAQKQKENDSEPMNGGKLVQFIMQLREEDIDGPGFGDNIAGESLFPLQSVEYSKIVAQLKTGETEEVILSACQKLQMFFSHRPEQKQIYVTQNGFLPLMDLLELPKPRIICSVLQLINCIVKDNPGFLENACLVGLIPVVMGFAEPIHPKDVRMQASLFLQQLCQASNLTLQMFIACQGIPVLVGFLEPDYAKYRDMVHLAIDGIWQVFKLQHSTQRNDFSRIAAKKGILLRLVNTLHSLNEATRFASISGSGASATHNGSTPRRRSGQLDPSMLEISKTRLDHHHSSSSLQSLQSDADKHHILMDPSSSPRFSDKTGAGNLERNENDLIKPQRLSVSAGRTSTDRSPKHIELLSNGHNSGQNDQIRPLLSLLEKEPPSRHVSGQLDYVRHLSGLEKHETILPLLHSSTERKTNGELELLMDEFAEVSRQGRENGHLDSSIKASNRVQSTKYAPSGGATASNEGASTSGAASQTASGVLSGSGVLNLRPGSTTSSGPLAQMFSSMSADAAREYLEKVADLLLEFAQADTVVKSLMSTQRLLARLFQMFNKIEPPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELRDGPLVYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMNFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDDAWACTALDSIAVCLAHDNDHRKVEQALLKKEAIQKLVKFFQECPEQYFVHILDAFLKIITKSSRINTAMATNGLTTLLIARLDHREAIARLTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRGGQQVLVKQMATSLLKALHINTVL >Et_4B_040044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8261116:8262992:1 gene:Et_4B_040044 transcript:Et_4B_040044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGENESGATSPTGAIPCSQPLQPPDRKPTDLICAMGYDVDDRAIHHIASPNEHKFSQPVSVAVGNALYVMNSGLVPGRGRCFEALTFGRTKNVTGNPDWEWRCFRPPPPFVLDPEFKNTRVHAYTVVDGSSIWISLPAMGTYSFDTTSGSWNKVGDWELLPWPRRLLPGDKVLCYSSDLGASMMQHEPTLDMVWDDPNPQRDSILVNSHLVHLGSGKFCIAKLFERVENELTDVGYIPQVEPFVVLTGVVLKLSGVSRGLEMTPHKSLMYSLLLQIQMHPESGKRTR >Et_3B_031166.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:19657888:19659090:-1 gene:Et_3B_031166 transcript:Et_3B_031166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPFVDKSPHTPFVDKSPHTPFVDKSPHRRDGASAGSWLKQRLAQILTRSSCAAAANSDTAFVSLAKANAEDSPHQLAELAPPSPYFCTPCTYERPKQLAGRPRRRSRSASLVHISVDCTGGAVSGRRSVRSDAPLLLQRPSSSLPAREKQSRRRRSKPAARSSPSASRRHCSTTSAAAWGRARRPRRDPAPYSWSSSSCTATDDELAPFSSDEDERGGEEAETRTPFSSLSFSSDSTSEFYHTGSGAGNNNNARTRRGNATRHAPRRALLPRTVSGPTTPAADGAFRPLISVEPKKKHGSGWCNDDRKTAEEKQGATAVKKLVDTKEAGPGMAVVKRSSNPYADFRSSMVEMVVERRIGSVEQMEDLLGSYLSLNSPRHHPAILAAFEDVWEAVFGDE >Et_4A_033544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24275095:24275786:-1 gene:Et_4A_033544 transcript:Et_4A_033544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSKNKKNASAPNPSIIPKVPSDKKPKPSKPTEEQPEAAAADKKLKKQKAKDEIGEMFSAAKASKKRKRRQGQQEAEGGGGWAREQEEEAQGEG >Et_10B_002710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:35750:38881:1 gene:Et_10B_002710 transcript:Et_10B_002710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICVCCGSVATILCDWLVGHIKALRKLFWFEKKNRKGGEGGGGVCCRGLRLKRHVELQSERDARRGVKDLSLGKHLGQHGLEGVRGALVGEDCGEESGGFHVTVTHGNHVLGSQQGGKVDGVLLAGDEGEVGGKELLDDGRDHHLRPQPVGVQERPVVHAVRLVVEEAAVVAVGEKDVIVSLGSGPWDQLFGGGNAPAFAVAAGASFIGGLVAILGLPRARIASSRRRGGTNR >Et_5A_040284.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:10481262:10481354:1 gene:Et_5A_040284 transcript:Et_5A_040284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVIIRHMYSANLLSICLCNHLYESSYRF >Et_5B_043333.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:4333233:4333367:-1 gene:Et_5B_043333 transcript:Et_5B_043333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAPGAGGALISRAAFLANPKLYFALLRTAGAAAAAAAFAVAP >Et_1B_009706.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:18041218:18041919:-1 gene:Et_1B_009706 transcript:Et_1B_009706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVTKLMHRKRSTWRQGGESRRLARPCRRRTSTTAFPMAAEATAWLDKNKRPAAHSVVYVSFGSLVALDPDQMGEVAEGLYASGKPFLWVVRASETSKVPKGFAEKAEKRGLIVTWCPQLDVLVGCFVTHCGWNSTVEGLGAGVPMVAMPQWADQPMNAKYVEDVWRLGVRVRPGPRGMVCRREVERCVKEVME >Et_3A_027277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6747566:6750505:1 gene:Et_3A_027277 transcript:Et_3A_027277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETLLTSLSMENSNNHPCTLLSMDPAGSHPASAESSGGGGTNGVGNGGDRELFIIPRREPAHPCPPDINLPLSADPSPPPPSWSHDPFDMLDVNLGTHTYESEVALTLPKSTGNGSVAVGVAARKCAKRGDSIWGAWFFFSHYFKPTLVEKPKGKVTRDASGSILSFDKSDLRLEDFLVQHDMENMYMWVFKERPDNALGKMQLRSFMNGHSKHGEPSFPFSADKGFARSHRMQRKHYRGLSNPQCLHGIEVVNSPNLSAVPEADVKRWTELTGRELNFSIPSEASDFESWRNLPSTDFELDRPHPPSSKGVPHSSHNHKKGLNGSGLNLSTPPSSDDGMDLSPKCAKRRKDLFGHSADEDCAMANNSCSDREQEVEVHAGEPSWMHEFTGVAKHASGPVTAAKTIYEDDEGYLIVVSMLFSDPRSVKVSWRNTLTHGIVKITCVSTARMPFIKRHDRTFRLTDPFPEHCPPGEFVREIPLATRIPEDAKIEAYYDETGTGLEIMVPKHRVGPEEHEVQPSAVRKRKRRICLQQLSFCGLQERVNALLADSEPELAAWFLQPVSRFVL >Et_2A_017778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6133994:6140685:-1 gene:Et_2A_017778 transcript:Et_2A_017778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLRSSASATTAGPSSALLATTFRRGRLLPRVSRTRRTFAPHASAQPLEVCAKESITAPGRLGDCPFTQRVLLTIEEKKLPYDLKLVDLANKPDWLFQLNPEGKVPIVKLEDKWIADSDVITQAIEEKYPEPSLATPPDKASIGSKIFSTFIGFLKSKDPSDGTEQALLDELTSFDSYLKDSGPFINGGTVSAADLSLGPKLYHMEIALGHYKNWSVPDSLAHVKSYMKSVFSMDSFVKTRALTEDVIAGWRPKVMGETWLSEDLDAQCPSETVVHVHYQLRSSE >Et_5A_041169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1915536:1916978:1 gene:Et_5A_041169 transcript:Et_5A_041169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDSRAAAGLDEVLKPFDERASEAETRLAKLEALLFKEDELSGRSETSSAAMRDLQSKLDAVSAECLAEKEKNKKLIIENEKLQYRITHLIRAIKEAESR >Et_2B_021823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5879741:5882763:1 gene:Et_2B_021823 transcript:Et_2B_021823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLRRHLTRTSATTPHISPLLFRTRRVSDSTDPITVETSVPFKSHIVDPPSRQTTTTARELLTFFRDMSLMRRSEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRADAIITAYRDHCAYLARGGDLVAAFAELMGRRGGCSRGKGGSMHFYKRDANFFGGHGIVGAQVPLGCGIAFAQRYRKEGTVTFDLYGDGAANQGQLFEALNMAALWKLPVILVCENNHYGMGTAEWRASKSPAYFKRGDYVPGLKVDGMDVLAVKQACKFAKEHALANGPIILEMDTYRYHGHSMSDPGSTYRTRDEIAGIRQERDPIERVRKLILAHDFATAQELKDMEKEIRKQVDAAIAKAKESPMPDPSELFTNVYVNDCGLESFGVDRKVVRTVLS >Et_2A_017611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4152330:4153529:1 gene:Et_2A_017611 transcript:Et_2A_017611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRGNVQEDASGADLYAVLGLKKECTDAELRVAYRKLAMIWHPDKCSASGSSARVEEAKERFQAIQGAYSVLSDSNKRLLYDVGVYDTEDDEDDLSGMGDFLGEMADMMSQATPTETFEELQQLFVDMFQDDIMAGICTGPRPGQRAQTQTPPCTSVPSSPLQSPFGRAKTQTTPSRNGFFDKRGSSTMGSGKPSRPGRPGLSPGLGLSGFCFKVRYHSPSSVLPSYCHC >Et_1A_009236.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:34817511:34817996:-1 gene:Et_1A_009236 transcript:Et_1A_009236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVHKEGRETRLNGHRGLGATAAPIELDLLAGMVGSVDRQAVVRAAEPLPVSAAAGLEPPQLFACHYCQRQFYSSQALGGHQNAHKRERTLARHRGEAVSSFVGGAPFAVHGAFVAAPALGWMRTASGQAAVGERRLATNGHAVDGGEERQKLDLTLKL >Et_2A_018845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8897864:8900012:1 gene:Et_2A_018845 transcript:Et_2A_018845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKPDHSRATASAPLVHRHGPCAPSPFANKPSFAETLRRNRARAYFITSRASKANIVSNRKDGNASVPTYLGNFVDSLEYAVTVGIGTPPVSQVLLVDTGSDLSWVQCAPCNATECYPQKDPLFDPRLSSTYAPVPCHTKACRRLIVDRFGQGCTDGGAHCAYFIQYGDGSRTTGVLSRETLTLAPGFDVKGLLFGCGREQRQQDDRFDGLLGLGGAPESLVVQTSSVYGGAFSYCLPPVSSKSGFLALGAPSNGTTGFVFTPFGRIVDSVTSYVVRLIGISVGGKRLRVPETAYRGAMIIDSGQIVTRLQSTPYGALQKAFRKAMKAYPLLPPNPNSLDTCYNFTGYSNVTVPKVALTFSGGATVELDVPNGILLNNCLAFEESGTDNGLGIIGNVNQRTLEVLYDVGRSLVGFRSGAC >Et_2A_015381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11812177:11814463:-1 gene:Et_2A_015381 transcript:Et_2A_015381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGSYGEVGQRIDYVFKVVLIGDSAVGKSQLLARFARNEFSLDSKATIGVEFQTRSLQIDARTIKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHVARWLEELRGHADKNIVIMLIGNKSDLGTLRVVPTEDAKEFAERENLFFMETSALEATNVENAFMSILTEIYRIVSKKNLVANEESNATGNSSLLQGTKIVVPGQETSPPAKAACCMSS >Et_10B_002616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18291498:18292034:1 gene:Et_10B_002616 transcript:Et_10B_002616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HREYRASSVPHGNIKSSNVLLDKDGAARVADFGLALLLSPAHAIARLGGYMAPEQSDNKRLSQEADVYSFGELILETLTGKSPAQHHMQPTLPDGDEWVRSVVREEWFGGGVRRGAAPVQEHRGGDGGDAARRAGVRRAAAGAEAVHGRRGQDGPERAG >Et_9A_061850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17142149:17151788:1 gene:Et_9A_061850 transcript:Et_9A_061850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRRRRGGGRGSAGARGRKRPRRAVEEEVEEEEEETGQEMNGEDYCFICKDGGDLRICDFRSCNKAYHPACVEKDSDFLTSEEEFICEWHTCNICKGRSRYYCFCCPRHSFCKGCVAQADFFPVLRKTKGFCVNCLRLAIMIEKNVDVDSDGERADFSDRETYEFLFKDYWEIVKDKEGLTLDKLEEAYSFLKRGLNCKQVYLEKVPDEEHNSDSDFLGNSDDGDDDLCSPSYLNGSSKKIKSPLKEGKSKKNGYMGWGSESLIEFLALLGKDTSKSLDQFRAAEVIKDYIRQNGLMPKDKKKIVICDDKLKSLFRKSKLKFNRIPSLLKKHITENMTSEDETLNSEDNIDSVSAMTKKDFTANHVSRIPNRTSEINKRCFASLKPDNIKLIYLKRSLVMDLLKEQDTFESKVTGCFVRVKNDLKDYSYLIRKKSHELRQVTGIKRSSEQYKIKDISTDVLLCTFDNDLRISTLSDEDFKEDECDDLLLLAQKGSFKRPTVGDLEEKVKSIHRDIMSHYEDMDKKKLLQEPSERRRLLEEVPQVIPDTEDIKDTELQVTAQDKSVEKNTVPFQGDNGERVISSKRFSEEKSKGTDGERTLSLKSCPEEKYKATKEDADGATTGINVQKGNTEEPGANIAAGEIPCAQQDSEATKTDANGDTSAMHVQRHNTEAVDVGMTAQVIPIEDDGDENLRQGGGQTAVIDIEDDDAGDTLPVQHKTSNTLHRRGISQHRSIWYYNDPQGDERGPFTMEHLRHWWDGGYFPEDFKVWRRGQSCDSAVFLRDVLQVTR >Et_2B_018876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11830857:11831233:1 gene:Et_2B_018876 transcript:Et_2B_018876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFHISLIVLALVAQYPDCKTLPKLQGPCVPRSCLRNCRSNLWPWRYWRVCSGGMPVYLLCQM >Et_10A_000470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10863221:10869741:-1 gene:Et_10A_000470 transcript:Et_10A_000470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAREHVERIRRERFYIGREERNPLAEDIHQAVSYLSEELYSKDVHFLMELIQNAEDNEYPLDVAPALEFLITKQDITATGADSTLLIFNNEKGFTSANIESICRIGKSTKKGNRHLGYIGEKGIGFKSVFLVSSQPHILSNGYQIKFNEKPSADCDIGYIVPEWVDGKPNLEDIQAVYGCSKSLPTTTIILPLKTDKIPSVKKELSSTHPEILLFLSKIRQISVREINSDPKATKISQISISSEVDYRTRKDIDAESYTLNLAMEENGKGKEEECTYYMWKQKFAVKPECRIQKRMERLSRGAQSPGVYAFLPTEMVTNLPFIIQADFLLASSRESILFDSQWNRGILDFVPAAFVDAFGALLKSFLPIEASSIVLFDAIRLSIKDKVAHEDIMPCESCTTEKVFNTTEVSRLDSAFWRILNMAQKQGIDMQNLSSYETFILRSYLDCQEYDDVLGFLGIGYVNKEWYSKCIDGLNLVKEASDAVYLEILSFVSENWTKFSRTNMILVPLVKYVGGNGRLSYCSVPRARMHLRICTASNLNDLSWLISWNKELSATSSLFFLPLDTQRTLDMFSGGVQIMGWLKNTFSMEILTPHKYAFTIGKALNDSRLALIYCHFLYHSHAKKYISEGVIFNLCHEMPVVDNNGHVVKQRNSLLVPAEGSKWFTLIGKNPWRSQNCIELSSDYGSSRTYVGNFTSDGQIIKFLRTYAQAADVPFVRPPNASFPTVNSPLTMENALLLLQWIKNLRLSLVHLPHNFLGCIINVKWLKTSLGFMSPSKTFLSSAGWGSKMQNKISSYKEELRLIGVQFEFSNVSIQIGNQPLTMENAILLLQYLNEINKTPPHLIERMKNGRWLKTCHGSTSPESSILFSSEWANASVISVLPFIDTSFYGEEIANFRPELELFGVLVAFKQNYQLVVENFKFSTNTITPAATILMLKCIRCAESSEDFFERLKDLRWVKTNLGFRAPHETFLLDDDWKCLLKVFDTIPLLDQEFYGDEIRLYKEELRKTGLIAGLKDSCKKIMHGVKKLVHTSGVTKERTCTARMLQRLNHQTWEATS >Et_9A_063284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18888155:18889154:-1 gene:Et_9A_063284 transcript:Et_9A_063284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGRKLKVQALQPTRQARATSSLHRPAHSRAVPATVIFPVPPRSRPAHPPPREKTAPPVHRHAGRLFRPAFRHVRRAAAPEPPAVAPRNTRRASRTAPAASRARPSARTPAARLALSHPPGNHHRPPSRGDHTKETSPATLLFRHATPSHRSPSPLFPPPPPPGPSRLGPTPQPSPYGSASLPASSAPLPRRSKGAADDAE >Et_5A_040285.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:10508152:10509234:-1 gene:Et_5A_040285 transcript:Et_5A_040285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSGLSRCWGKGGTTQDSNKSYIRRMLHYSKFLRVINLQGIDIGKDLPETISNVAHLHYLGVTSCPLERIPSTIGKLQNLQTFDVRNTSVQQLPIEFWKIRTLRHVFGDCLILPKRVGDLKDLQTLETIQPDKKNGWDSKTFERMKNLRTLRIWDFSKHSANAKALSAVMEGSNALQYLETMELYIDTYNIPLKVFTSNSRRLSALTLDGEMEILPKESKFRAPNLTFLWLENTKVTQDFIDKISKLPLTTLILDTMSYVDDQLVFSASGFQSLTKLELSDLEHLTKVEIKMLALPELKDLVAIWYHDELKIVVQGEREFVKKIKDEDEDLYKCITTIASKKTGQALTSRSMKLVVDKR >Et_9A_061617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14498359:14500786:-1 gene:Et_9A_061617 transcript:Et_9A_061617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIANGSENQQQQQPEAAVHDRHHRRQQRRHHFVLAHGLCHGAWCWYRAAAALRIAGHAVTAPDMSGCGAHPARVAEVRGFEEYSRPLLDAVAALPPGERAVLVALAAERFPDKVAAAVFVTASLPAVGRSMAATTTDEYLRFIAEETDFFLDTKEELEQENPEIPGKPIIFGPNFMAHRLYQLSSPEDLTLALSLIRPANRFNEDALMRDEKLLTVEGYGSVRRVFVVVEDDLGIPAEFQRRMVAQSPGVEVEEIAGADHMVMLSRPQELVELLVRIANKCE >Et_10B_004137.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:15200602:15201081:1 gene:Et_10B_004137 transcript:Et_10B_004137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALFSAGRRLLSTNAGVAIDPISIAHLRRLSRAGRPDEINAVLAPMFSSHPIAALSALSSVGLPDRASALLATVQSPTSEHLNAVVGSLLRHRKLAELVPSILAAHPSVPRDVVTDSILAKSLCITSGADAALHLIREASSRSGPSVQLFTSSIDSF >Et_10A_000790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17196491:17197488:1 gene:Et_10A_000790 transcript:Et_10A_000790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSCNDLDAKGQWSSDILDMRSPYITAGNDLDFPVGGDPFDWTAMAGNTSVDQWRSQLHLLFTSWSLIRNFPAPRGGRGPVWPTHGSASAVDGSAPAPVTAIAAAASNSASTSSVHQNPLNCTGCQILREVLETTNLCIHGAASAGLFYDATLEEYLANPESMVPALTHQSFIEDRDFAWVKQYLVDYARQRASSGYTLCKTRSLLSMTSSAPVCSLVAAMPMTPVK >Et_4A_035539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28251221:28252412:1 gene:Et_4A_035539 transcript:Et_4A_035539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRDDAGGATATVHPSRSPPRHLRAPGHRRHRPLRRRLALRDEEAPGNGGVLLGVSYEFRNEVHQAPRPNPRFDAALLKSGYEPEASRGGLVVLRQRDSRLCEREPTRRRTGSGSAAPSTPDAALPPRFPDITANSERYSAIPSEISHALVIGSTAVHWLFGDPLKDIIVIVALDVATARATLIEVPPQDDLNLRTFQRRNKPHKALQLAASSDGRLCLVVAGVYAIRMWRLSSEASSTKDAGGSSTASASARWTRQMVIPRHTICKDPVYGAVYAYYVQFLGFGEMSGSVVLQMDEVGIVQVNLRSKEAIVLSRDLRGLGSRLSTQGNIRLCLHEADSPSLLQAMKPF >Et_4A_033070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1873260:1880643:-1 gene:Et_4A_033070 transcript:Et_4A_033070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTSSLLSRSTTGSSTRVSAAAAAAISRPAADAAASSPPPPSRPTPSALPKPASPFASGLAGRLFGGHRAAARSASSATAVFERRFASAATKNSYNEILTGLGNPGGGAEFGKYYSLPALSDPRIDRLPYSIRILLESAIRNCDDFQVTGKDVEKILDWENSAPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAISKLGKDPNKINPLVPVDLVIDHSVQVDVARSPNAVQANMELEFSRNKERFGFLKWGSSAFHNMLVVPPGSGIVHQVNLEYLARVVFNRDGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLGGKLKNGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMRELSLADRATIANMSPEYGATMGFFPVDGKTLDYLKLTGRSDETVAMIESYLRANKMFVDYSQEDSERVYSSYLELNLEEVEPCLSGPKRPHDRVTLKNMKSDWHSCLDNDVGFKGFAVPKESQGKVADFSFRGTPAKIKHGDVVIAAITSCTNTSNPNVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYMDKSGLQKYLDQLGFNIVGYGCTTCIGNSGELDESVSAAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVNIDFEKEPIGISKDGKEVYFRDIWPSTEEISEVVKSSVLPDMFKSTYEAITKGNPMWNELPVSTSTLYPWDPSSTYIHEPPYFKDMTMTPPGPRPVKDAYCLLNFGDSITTDHISPAGNIHPDSPAARYLKERGVERKDFNSYGSRRGNDEIMARGTFANIRLVNKFLKGEVGPKTIHIPSGEKLSVFDAAMKYKNDGQDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLAGMGIIPLCFKAGEDADTLGLTGHERYTIHLPANVSEIKPGQDVTVTTDDGKSFTCTLRFDTEVELAYYDNGGILPYVIRKLAEQTDSLQEGAHLAQPLLLR >Et_6A_046368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13761284:13764436:-1 gene:Et_6A_046368 transcript:Et_6A_046368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMCSDLYLAAFEGRTQEVTRLLTGSDGATEASGNFQAFQAIRANAIHPGECCRTSEVTADRSTLLHIAAGQGHCELIADLSHRDSTLLSSLNTELDTPLHCAARAGHADAVEAVVRLAKDNVEEDRLRGVIGSRNESGDTALHLAARHGHGAAVETLMKLAPEVASEVNDASMSPLYLGVISSSVRAVAAITDYKDASAAGPDLQNALHAAVLQSAEIVSMLLRWRPALAIDLDSNNSSPLHFASSDGDCSIVQEILTYAPTSTAYLQDAKGISALHVASLMGNLAVVRLLLQLYPASSDIRDNCGRSFLHVAAMQGNSSIISHVIKNPVLEHLLNKQDREGNTALHLAVQAGEHKVISKLLSSKKVQAHIMNNAGLTPSDLIESSTGFFSMIRLVMKLYGYGAQFRPQRQDHIRKWSGQDIVKWRVATSKNLAIVSTLVATVAFSAAFNVPGSYGSDGKANLNGNRMYNAFLVLDTIAVTTAVMATILLVYGRASGSHRSWFGFIISMHFLWLSLLCMMLGFFTAIAATSNEKSMMTALSRVIYFGIYALIMLLTSLAVPSGILRYLFGGFSGRQQRVKNRINRQYPFVFVCAFNMLLFIVVNNIAIAAVDTVGSLR >Et_4A_034981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8469725:8471066:-1 gene:Et_4A_034981 transcript:Et_4A_034981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGKRSRQQAEEMIWLPEGTDMARFMLLFSSHHHVSAAAADAASSAPEKVFECKTCNRRFPSFQALGGHRASHKKPRLADGEAAEPPKPKVHGCSICGLQFAIGQALGGHMRRHRAVEADGGAAVGLGLSLGVGNKGDDAVKKAAAPVELVLDLNAPATEEEPAVDRVRLGLSAEFPIAVDFLLWIRTWQAAAQALIFECMGLSCLGQAGCARQQPDDPY >Et_2A_015314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10924548:10932090:-1 gene:Et_2A_015314 transcript:Et_2A_015314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELKKKLQPLLFEDSDRDGLSTRVPVPEDTCDSYVVSDGGTVNLLSRSLGEYNINELGFHKRSAGPDEENSDEKAYRCASHEMHIFGAIGNGASSVVERAVFIPVHRLLALKKINIFEKEKRQQILNEMRTLCEACCYPGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIKVKKSIPEPVLAHMLQKVLLGLRYLHEVRHLVHRDIKPANVLVNLKGVAKITDFGISAGLDNTLAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLTVLECATGKFPYDVNEGPANLMLQILDDPSPTPPADAFSSEFCSFINGCLQKDPDARPSCEQLLSHPFLKRYEKSDVDLEAYVRSVVNPRERLKQIAEMLAVHYYLLFNGSDVIWHHMKTFYMEESTFSFSGNVYAGQNDIFDALSNIRKKLKGDRPREKIVHVVEKLHCRANGETGLAIRVSGSFIVGNQFLVCGEGLKAEGMPSLDELSIDIPSKRVGQFREQFIMEPGNLMSCYYILKQDLYIVQA >Et_9B_064651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17375355:17377282:-1 gene:Et_9B_064651 transcript:Et_9B_064651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGRSMLLSLLLFAVTLSLLEMYRAKFASSELMTIVGGLVCSFLFLLLLTFIGNYQESNGVRTGWGAVVVAELVALIVAGTVHRVCITTCFLFSAGLLYEVDKLSGMTLAKSESQVRRR >Et_8B_060510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1958419:1959653:1 gene:Et_8B_060510 transcript:Et_8B_060510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTEAASDGNDRRHELQAFDDTKAGVKGLVDAGATAVPAIFHHAPDPHPHIKSAAAADCIPVIDLSAGEREAVVARVRAAVETVGLFHVVNHGVPCGPALLDAVRRFHEAPDDAEAKQPYYTRDASRRVRFNSNFDLFESPAANWRDTLFCEMAPDPPHPDEFPAAVGEVMLEYGAAVRDLAARVLALLSEALGLAPGRLGEMGCVEGLSVVCNYYPPCPEPRRTLGCSRHSDPSFLTVLLQDGVLGGLQVLLDDQGEGRRGWVDVPPRPGALVINVGDLLQLVSNGRFKSVEHRVLANASNDTARVSIASFCNTDIRRSTRLYGPIEELVSDQNPPVYRSVTVREFLGHYDKKGLDGRPALHYFLLDHPPAATSASV >Et_5A_042433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10369592:10376099:-1 gene:Et_5A_042433 transcript:Et_5A_042433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTVVLLPVWGVGHLMSVLEAVKRLLAHSGRALSLTVLVIRPPNEQYASEVAGHISREEASGLAIHFVHLPAVAPPDFLGIEDFVSRFVQLHAAHVRAAISVLTCPVAALSPASSPCHAAMLALFLRLPMLEEFEETEGAEHVPGLPPVPLSCLPDPVTDKKSPNYTWFVYHGRRFADADGIIVNTAAELEQSVLTAIADGLCTREGVRALKVYPIGPVIPFSPAPEQKHECVRWLATQPPASVFTAPQAHEVARGLERSGQRFIWVLRGPPAPGEHLASDADFDELLPGGFLERTKGRGLVWPTRAPQKEILAHAAVGGFVTHCGWNSILESLWFGVPMVPWPLYAEQHLNAFMLVACMGVAVEMKVDRKRNNFVKAEELERAVKTLMGNGEEGRKAREKTLEMKAACRSAVENSGSSHAALQRLSEELHKGPAMVDVHK >Et_7A_052651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10566217:10567209:-1 gene:Et_7A_052651 transcript:Et_7A_052651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFARAFCEIRAWSTNSNRRHEHRLPISIEEMAKAMAANLEAMKPDPQVFEPPDINNISMVQQIGVRLHGGVISSAHKALVVLYAGQYSPGSGRCHMSGCYLVYDASDNSLAAIPQLPDPHTFRGLGRGAAILSLNKGSYVLAELVSSKHGFPDAELFLWWSPSPNQEGQWIRRMVPVPPQVLSPGHPFRIDMVFTYDNSKVCWVDLHKGALVCNLMESPEPKFTFVPLPEACSIKLEGRCRPRFEEFRTMGCSCGTIKFVALDGYRERWPLQDIMLKTWTLSPDLKEWEEGTPLPVGDLWASKSFV >Et_1A_006935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29125753:29127765:1 gene:Et_1A_006935 transcript:Et_1A_006935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKKIFLFEEVAKHNVTKDCWIIIAGKVYDVTPFMDEHPGGDEVLLAVTGKDATNDFEDIGHSDSAREDMEKYLIGEIDASTIPAKRTFVSPQQAPQNANKDNDILIKILQFLVPILILGLAFAIRQYTKSE >Et_4A_033564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24443368:24446952:-1 gene:Et_4A_033564 transcript:Et_4A_033564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKGQGPAAAVRVDEASSASAFRELDDAFLQKQTKIWLGEVLHLRFDDDISVADLLADGELLFQVSKIIWKRLLKKNREQLKQSKVYIYDRLSFGKSNGKYMPYSKVDSFLKICQILGLAGIDLFTPSDVVEKRNVRKVCICIRSVSKRSHVMRLNVPDFDMVTYTVSMPNYIVGEIWNSHNTVHLVQVDTELIGGQNDQHGDTHYDSDEAESKLSVLEPEDSVEEDTFADMLSQLGDAPKEETEGFGEIRHDTHEEKSLSESVGSLNLGVVDSDTVDSTPIHNKNSCCSTQSATDRCSRTRTTNCSLSSEESDSISSRLAFDSGENDLELDTPVEVSEQIYDRNVKPLDHPIHGNVEIFAGGVDLQYDTHCDAVACDGESVSSTCEEPRYGLNGEPSDLGSGSIPRHATDGKLQMVPEDPANNTEPRMNDPTPATDTANDSTSRQLNPEFSTVDQDDAEDKSVHKSEDIDRSSISPHKAGDGAPKSGKGVLKSVAGGITLVGAVFFFVHLRRNKGRSFTTVMPSLSEKSVQSDDSRARNTDKGKAAAVYPGEWLKV >Et_1B_012304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30704171:30716417:1 gene:Et_1B_012304 transcript:Et_1B_012304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHNLPIIIPWLLHIILSGEPALAKVPALFVFGDSTVDTGNNNFISTVIKSDFAPYGRDLSGNGQPTGRFSNGRLAVDFISEAFGLPPLVPPYLDPNVSMSSLATGACFASAGAGYDNATSDLFSVLSLWKELDYFKEYAGKLRSFLGEDKARETLSEALYIVSMGTNDFLENYYAVPQGHAAQSATTASEYGAYLLGVAESFVRALHALGARKVDLNGLPPMGCLPMERRAVSGACTEAYNSVARNFNAGVRDLVARLDAELGEGGARVVYGDVYSGVADVLADPAAYGFEDVGVGCCGTTGRFEMGYVCNQAGLLTCADAAKYAFWDAIHPTEHLHRVLAEKKMNTTLYIFQTILTSTYSCPRVVSPATTTRSIERAGSTYPSSTPQSAMAASRHWHRPAALLLLLVVAAATTRRAAPRVPAVIVFGDSTVDTGNNNQIPTPLRADFPPYGRDMPGGPRATGRFGNGRLPPDLISEALGLPPLVPAYLDPAYGIKDFARGVCFASAGTGIDNATAGVLSVIPLWKEVEYYAEYQRRLRAHAGAARARAIVRGALHVVSIGTNDFLENYFMLATGRFAQFTVPEFEDFLVAGARGFLTAIHRLGARRVTFAGLAAIGCLPLERTTNVLRGGGCVEEYNQVARSYNAKVEAMVRELRAEFPGLKIAYISVYENFLKLITNPEMFGLENVEEGCCATGKFEMGYLCNEDAPLTCDDADKYLFWDAFHPTEKVNRLMANHTLEVCYQQGVL >Et_9B_064222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12733838:12734237:1 gene:Et_9B_064222 transcript:Et_9B_064222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRFGKPNLSPYDDVPIILGIPLVHDMEDFIAWHFDPKGIFRVKSAVCIDNDNMGFGPSTKYGRCPVLSKQSASFDWRLACNCLPLKRRIESRRVEPDTRCPMYYRLDEMRGIYF >Et_5B_044178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21028011:21030832:1 gene:Et_5B_044178 transcript:Et_5B_044178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVAGGAGCVLPLLARGRRRRRAFRPPRAVASDAATTAKEEEGKVTLGGSGVAVTKLGIGAWSWGDTTYWNEFQWDDRKLKAAKGAFDASIDCGITFFDTAEVYGAGVSGAINSESLLGRFIKERQQKEQVDVAIATKFAALPWRLGRGSVISALKASLDRLGVSSVELYQLHWPGIWGNEGYLDGLGDAYEQGLVKAVGVSNYSEKRLRDAYERLKKRGVPLASNQVNYSLIYRNPEENGVKAACDELGITLIAYSPIAQGALTGKYTPENPPHRPSRIKEIGGNYGRTPTQVVLNWLVCQGNVVPIPGAKNAEQAKEFAGALGWSLTDQEVEELRSMAKEVKPVIGFPVEKL >Et_1B_009841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32243231:32244509:-1 gene:Et_1B_009841 transcript:Et_1B_009841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCPPCSTVFTAAASAPPRTAAPDAHDPNSPEPMKAAATAAVPASAGPATASPRFMLSTSLPNLRYCCSSLARSTRRTPRISSSSSLLHPLRRPKLLDLPPLAYADVGLACGCGCGERRRGHHRAGSTASGNLSSIPGSSGSGYAASSASTLSMASRTPASVTGVVDSSLARTSRSSCLQSRVALRCSSASCDGWILLVAAMVTAVVARRTPAEVLSATRLGAAGASAAMTEAASARELLEWSSSWFQLSRCRPMMSCISARSAAASATARSFSTALLLLLLLLSAAAVGMTRGSTHSSFRPRPPSAGLAAVGRAAGCDDGAPPRPRGERRRRSGRRQEET >Et_4B_038853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5292561:5295196:1 gene:Et_4B_038853 transcript:Et_4B_038853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAGATAAAVSLVACYLLLHKSSLKLPWPLLKRPSGASGRRTRRRGLVEAIGNTPLIRINSLSDVTGCEILGKAEFLNPGGSVKDRVAVKIIEEALESGDLVCGGMVTEGSAGSTAISLATVAPAYGCRCHVVIPDDAAIEKSQIIEALGATVERVRPVSITHRDHFVNIARRRALEANILATAQRESSNTQTNGSACVSSETTYGKLAAEQRKSNSTQANGSAHISSDMPHSGKCYPKSDSKGGFFADQFENMANYRAHYEWTGPEIWEQTKGTLHAFVAAAGTGGTIAGVSRYLKEKNRNIKCFLMDPPGSGLFNKVTRGVMYTKEEAEGKRLKNPFDTITEGIGINRVTKNFMMAELDGAYRGTDREAVEMSRFLLRNDGLFLGSSSAMNCVGAVRVAQDLGPGHTIVTILCDSGMRHLSKFFSDQYLADHGLTPTATGLEFLDK >Et_3B_028310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13152049:13154395:-1 gene:Et_3B_028310 transcript:Et_3B_028310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EPQRNLPSTPAQFNPIIKPPASLSPATHRDEAPVAATPAAPRQIRAQALAVTAALVLLSALGPVCCTAQDIDIVELTLLAGAQDKGAVCLDGTPAGYHLRTGSGTGSQKWIIHLQGGGWCSTVQGCSNRKMNALGSSNFMKPQSFSGILSNDQQINPGFYNWNRVYVRYCDGASFAGDSQLEDQRPAFWGAVIDELMEKGLADSRQALLTGCSAGGLATLLHCDNFRARFPPNVSVKCLPDAGFFLDDLSGQRSMWSVYNGVVHVQNVAKVLPKDCLTHKDATECFFPLELMKSIKTPTFVLNSAYDSWQIRNVVAPDGSYSDSSWLSCKADIRNCNPVQIQVLHGFRNTMMDELKSVEENMGWGWFIDSCFTHCQTVYDISWNSAVSPRLGNKTVSEAVGDWYFGNQQEVAVREVDCEFPCNPTCSSQLPNTAAA >Et_10A_001400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2723343:2725791:1 gene:Et_10A_001400 transcript:Et_10A_001400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGGASSAPKKDSYVRAGDFDLVNLDIQLEKQLAKTWEKHKAKSQGRSEVWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAVKLLDWGEDGFATETETAALRASFKQEVAVWHELSHPNVTKFIGASMGTTDLKIPANSSNSGARTELPPRACCVVVEFLAGGTLKQYLIKNRRRKLPYKVVVQIALDLARGLSYLHSKKIVHRDVKTENMLLDTQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLEGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPEIPRCCPSPMVNIMRKCWDANPDKRPEMDEVVRLLEALDTSKGGGMIPEGQASGCLCFFRARGP >Et_6A_047042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24488328:24489806:-1 gene:Et_6A_047042 transcript:Et_6A_047042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDAVVAAGDRLSLLSDGVLGHILSFLPAAEAARAAALSRRWRHVFASVHTLSFDDDDEVSVWRFTAGVCAALLGRNRRARTAGAPLRGLRVRFEEFSDAASAKGVVDAMIAYAVLDAGDELHHIDLRFGRGTICNREYSLRRRCGGAYARPPRQTNAYVAPPSLLNCAALRTLRLGPCRLTLPSAVALPLLDTLHLIRVTAGDGVIQRLVSACPRLADLSLKACRELTTLSVLDARLRTLVLKCCHNLSAVAVDSSELRTYKYRGAVPAPAFLTMHGPRRVSSCKLDFCGNDASDPAELAGLASFLQLFASTNYMHIKSARLGCNMGHDIFSSVPGFPTLRHLELTGMVPDSGTAVIAAVARILEWSPNLETLSMFFLPEPVEVEETNYYRHYIDEEVHDAHSLRERTREINFVHYQGAMAQRMLAKFLLRNAPVVDEVCCEFAQGPLWIQTQLMEEIKGWAVNKSAYMMFF >Et_1B_013460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8643451:8644243:1 gene:Et_1B_013460 transcript:Et_1B_013460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAAAVKMTVKNLEKRLAAELDALREPVKKAQALSCGNKQFLAAEPRMEEAPPTKRIKTSPLVQQINIVDPEEEVDICGGVSPVVLIRDTSPLVPVEEASSSESDSDEDIECPTPAALPPKANATPEPSLLPEPASETAQTRSSREEGPSAPSHRIPVALARRVFEAAKQVCELAGAPSMHGENARNAERSRRPSDSCTAVGHSGYGHRTLISRATPWPAAPIPESLALRPSSRRAAVERGRRKGY >Et_4B_036080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21812205:21814443:-1 gene:Et_4B_036080 transcript:Et_4B_036080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRIKRLCVTELGVITQCCAPKNVRKGGKQYLENLALKINVKVGGRNTVLEDALNKRIPLLTDVPTIVFGADVTHPPAGEGSLPSIAAVVASMDWPQVTKYKCLVSAQGQREEIINNLFTEVRDAEKGIVRGGMVRTLLYCRELLVSFYKSTGHKPSRIIFYRDGVSEGQFSQILLYEMDAIRKACASLQEGYLPKVTFVVVQKRHHTRLFPENHNAREQTDRSGNIQPGTVVDTKICHPSEFDFYLCSHAGIQGTSRPAHYHVLFDENGFSADAVQTLTYNLCYTYARCTRSVSIVPPAYYAHLGAFRARYYMEEEISDRDSSVVASRTHDQSILVKQLPKIKENVQEFMFYC >Et_8A_056559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12237365:12242304:-1 gene:Et_8A_056559 transcript:Et_8A_056559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAAALRPCAALPLLPARRLRVSSSSFAVPGRCGAVAARGLGQPAPLPVSPDRERTMGGARQRQVACGAAAGDAAKEESGGLLKTLQLGAAFGLWYLFNIYFNIYNKQVLKVFPHPINITLIQFAVGSVVAAFMWATGILKRPKISGAQLFAILPLAVVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSALFLGELPTIWVVLSLLPIVGGVGLASLTEASFNWAGFWSAMASNVTFQSRNVLSKKLMVKKEESLDNINLFSIITVMSFFILAPVTFFTEGIKISPSYLQSAGLNVQQVLTRSLLAALCFHAYQQVSYMILAVVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINSLGTAIALAGVFLYSQLKRIKPKPKTA >Et_1A_005702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1300143:1310554:1 gene:Et_1A_005702 transcript:Et_1A_005702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEKIVEDFASDLAMSAFSSGMRLRDMIRAIRSCKTAAEERAVVRRECAAIRAAISENDQGLRHRNMAKLMFIHMLGYPTHFGQMECLKLIADAGFPEKRVGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLAPEVERLMRSRDVNTKKKEKHHGVLISAIQLCTELCKASKDALEYLRKNCVEGLVRILRDVSNSSYAPEYDVSGISDPFLHIRVLKLMRVLGHGDADCSEYMNDILAQVATKTESNKNAGNAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAITVDAQAVQRHRATILECVKDADASIRKRALELIFLLVNDTNAKPLTKELVDYLCVADPDFKGDLTAKICSILEKFSQDKLWYLDQMFKVLSQAGSHVKDDVWHALIVVLSNASELQGYSVRSLYTALQAHGEQGSLVRVAVWCIGEYGEMLVNNVGMLEGEETIMVTESDAVDAVEVALNRYSADMTTRAMCLVALLKLSSRFPSTSERIKLIVGQNKENIVLELQQRSIEFSSIIQRHQSIRSSLLERMPVLDEASYLVKRATITQPTISADKLAPAVNPGGLKLPNGVPKPTAAPLADLLDLSSDGAPATTVTSTTATAPNDFLQDLLGIGGANSSVAGVSSSANTDILMDLLSIGTSPSQNGLPAQDTKPVPAAPEVIDLLGSLSSSASASDVKPTPVVPHAMDLLDGLSSSTSNSGLENTALPSITAFQSATLKVTFDFKKQPGNPRETTIHATFTNLTSSPFTDFIFQAAVPKFIQLRLDPASGNTVPADGNGSVTQGLNITNNQHGQKPLAMRIRLSYKVNGEDRLEQGQISNFPSGL >Et_6A_047776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15527138:15528956:-1 gene:Et_6A_047776 transcript:Et_6A_047776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWWELIGPPGKGDDSNMDHLRLCGHARCGRRETRRHEFRRCSVCGTAVYCSRACQALDWKCRHRGQCAAVLCIMYVQLLSTDEE >Et_1B_014183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34351740:34353406:-1 gene:Et_1B_014183 transcript:Et_1B_014183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRSRQEPRRVSNAVIIGAMLLSLCVLSIVKARYCATPFAAGKAEDQLQEQMNSSIRMETEEESPARTPGEEEEDEEEEASSTAPAAKMATPAAVVTTGGGGGKKGKPTCYMTSKRSERCDVSGDIRVDGNRSTIYVSGIDREWKTKPYARYHDPVAMEHVRQYTLKPLDSSSAPACTKNHSVPGFLFSNGGFSGNLYHDYTDVLVPLFISTHQFKRRVQFLLSGMKPWWVGKFTPFFRQLTRFDVIDVDNDREVHCFPRVVVGATFHKDMGVIPAKSPGHVSVVDFKRALRAAFGLEREAASRRGGAPGTNGKPRLLIISRRNSRRFLNEREMASAAAAAGFDVRVAEPDQHTDMATFAKLVNSADVMIGVHGAGLTNMVFLPRGAVLIQVVPFGGLEWLTGVTFKDPAADMEVNYMDYNVKLEESSLIDQYPRNHQVLTDPYAVHKQGWDALKAAYLDKQNIRMDLDRFRAALQEALNRLP >Et_7B_055122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7548690:7552489:1 gene:Et_7B_055122 transcript:Et_7B_055122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAATNVEEVFSWSDHDSIQSEVKTDLHGATMTHSNLRCFLDSVTPIVQTYTEANVPFLPFQNNNGEMIAGGVKYYYLADLWNRFYEWSACGVGTSVRLPSGEAVIQYFVPYLSAIQLYTNGSDAPVSRRMPFVDKVYELSCECPDLTSLRSIDLSPSSWMYPLGHVPAKNKKDLSTCFLTYHSLSTSEEFVVPNSGHSSNYVALAPFGLATHKMDTRLWASPDSGDQERIASLIEEAQSWLRKHDIQHRDFNFFSRSN >Et_10A_001378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2463605:2465852:-1 gene:Et_10A_001378 transcript:Et_10A_001378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQDEDGRHSDKIGAPAIEDHKQGQGGAGEHVQALLDMDDDIISEKISYYLDQLKFDPTDDCGYFPLPPYEPQQLTEVYEQLALYRIRGYQSGYAIFFSVTYVNAMMADLMYYFHHNLFILSVDRQLAELDDENLKKHYSWTNFCAEGYFQYYEESLEWYFDPELWEKPQFDDYQRLVLYDTGEYRDWDRYHSTLNTYEKDMAYVQYCKALANETKWIEDHLEHSNYEEHIWSILFDLSYYARMDCVYFEIWKRVAKLKYDAYVAVIDETASDDQARQHIMEAVLKMIPKPKVYLDYIKEKVDIAKDIWLIGK >Et_2B_022365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19314562:19320120:-1 gene:Et_2B_022365 transcript:Et_2B_022365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQFTHVEAQSPIPSPRVKPPTAPSGGAFRRRLPEFRGGGTAAPWRRCPWLLTPNVRVQAIVGPQTSTQAKFLVELGNKSSVPIISFSANSPTRSPSQTPYFIRTGWNDTSQAEAIASFVQKYNWRDVVPVYEDDDYNARFIPDLVDSLVRVDTRVSYKCKIHPEAGDDEIKRAISSLKGNWTSVFVVRMSYQSALKFFQVAKDEGMMSQGFVWIMAYGLTDIFNLIGLPAFDVMDGILGFEPYVQDTGEKFRQRWRKKYQSENPGTSLNGPILSGLYAYDTAWALALAAEKAVYVNSDFVPPEANNGSTDFDRISTSKAAEKLLDAFLKTNFSGMSGDFLIQNRQLTSTTYKIINIVGQKRRIVGSWTPRFNISKSPEINDDLDTIIWPGGSKETPRGWLLPANKKLKIGVPVKPGFDNFIQFKNGKASGFCGDVFEAVVSALPYNVPIHYEEFGDGKGHSSGTYDELVYKVYLKEYDAVVGDITILANRSLYVDFTLPYTESGSYTASLSSILTVEQLQPTVTNLDEVIRKGANVGYLNDSFLPGLLKRMKIDESKMIAFDSPEEYNDALSTGRVAAIVDEIPYLKVFLKKYCNNYTMVGPTYKFDGFGYAFPRGSPLTAEISRGILEFASNDSMAQLEKNLYGDTQCPEKDDSQTSSSLSLHSFLGMFIITGASSLLALILHAAITLYNHWHDLVSDSSQSFWHRSFASNIFHNSDSSNTPDKDEPGVTNLDCTTESPRPMSDHHIIENFDSDTDTGSPPEGEGTPCRQISVLDPEPLSFAYLHSESMLISSSMLPKVAEAELAVGVELELCMPGAADSPVLVDIGGVQVPSMFSCTPAHA >Et_3A_026114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4446487:4451017:-1 gene:Et_3A_026114 transcript:Et_3A_026114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAATACATPAAARPPLSVPSRRSPPSAARRRPRPPRRRLLLLHGSLRVALHLGLDPMEPPLRRGRPGRELRFQLEEAVENEDFAEAAKLKKAILEAAGNDAVGHVMSELKSAIEEQRYQDASKLTRLAGTSLVGWWVGYAKDSDDSIGRIVRISPGVGRYVAKSYSPRQLVTASSGTPLFEIFLVRNDDETYTMKVVHLRPTKGTSSASSIASAATEGPAKVESESSLESSAISDSVTDEANTDTALKENEDVEEKEQDVGNTKESSVEGLKSVLNFFKSRIPEFKVQVINVDVSEETELAENSSEDLVQDDVKSTSENSLEEPTTEELQEEDVQEEDMDEESKSTEVKLFISGVVHNKEDAGAKSYVRVPAEINNLEKDSFELYIPGKSSDRDLAETKVAKQKVADMAAKLASELMPSDVAKALWGTTKSSQKINKEVQELLRLTLSKARVKLTENTIFNRIITNTNSTDPFNGLYVGAFSPYGPEIVQLRRKFGHWNSTDDVEFFEYVEAIKLTGDLSVPAGQITFRAKIGKGKRLENRGAYPDEFGVIASYKGQGRIAQPGFKNPRWVDGELLVLNGKSTIPHLGGAELGFLYSVPEQSFLVLFDRLNLPE >Et_3B_028670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17968439:17973294:1 gene:Et_3B_028670 transcript:Et_3B_028670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESSEVPQTSTESIAQKMGFFRVPDLLVKLSTKCLIELDAVRSPTSPLDLKFFTGLGTKSPRSSSVDANQNQKVGLGLVDSLSDENPTPLGSRKVLLGSEMRITDNLTRKSSSTSPVEAGEVEQKDEIMSDGLKSSIMSLDDIVNSEDYTRVVSRGPNPRTTHIFGDRVFEFEVEQLMPDESKGEEIMTPLVKEGVMSFCCFCHERLKEGKDIYIYQGDKAFCSMECRENFMEDEMEEGEPMIYHPESPRDSLFDEDFQLSMIMRHANLPRRFSVVDDNEKRIVLTILTQTQMSSQYVTVPLPGVLRPDLQPEQPGEEEGPPEVVDELADLLGLHGDEALVAALLAILLLEDDGGDPPGLALLSGHVPPRGGARHGEDHLVVLGVRPRVAGEVVVRRGEEVRRGDDRLRRGGGEVAAEEGEGQGLEGSPEQHGWAA >Et_1A_007061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30501121:30501970:1 gene:Et_1A_007061 transcript:Et_1A_007061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFPGASPSSSASSPLSYLIPARPPPPPPLHVMGQGYGASGVGAVPTSGGGVEVVSAAAVAPPRPAGTGHPPLPRPPPRQCPRCGSGNTKFCYFNNYSRSQPRYLCKACRRHWTEGGTLRDVPVGGGRKNRRGGTKAAAAAKASSSATATATVMQGADVAFPDILRQVLFQPVGTMGGGGYGIDLGAWQQMAAATAPPQQQGTGALGGTAAADANYGALQYWSGWQQDDVPGLDGAC >Et_6A_045799.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:1251271:1252401:-1 gene:Et_6A_045799 transcript:Et_6A_045799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKARRRLVSGLSGVRAADVFSQTVPTERVRYGRFDRLQIRKPDKPQITSCWNALAPRVSIGVLLSSRCYREGRGGKRALGGVSFRRRIRRSPSPPTAVRASRGEEDGADPAVWVVVGLASLGRRCGPGVGWLACRFLAVSLRAVVARISGGASSGVVSVGGCSWFGCIRFVCTYFCTGSCTVSLTSNAVTYYQKKKKRVSIGVVPPETASVRELWLLPAPNTVPLLHRNCFLLLCCWNIWKHKNGVVFQDEDPSLPRLLASCRTGAYEWRALPVETF >Et_6A_047784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16008227:16015672:-1 gene:Et_6A_047784 transcript:Et_6A_047784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVGNRLASGASSWGAAADRGPTMLSFAGPSSSSTTSLAAEIQLQDFFVRRGRPAGAGRRSRAAGGGGVVPEACAVDGCRSDLSRCREYHRRHKVCEAHSKTPVVVVGGQEQRFCQQCSRFHMLSEFDEGKRSCRKRLDGHNRRRRKPQHDIMNLGSFFPYGQVNQFAMYPQSASRFRLNSDSMHVVDRQQTFSISFSGTFKAPKQFPLSQDDGSMFNAPGPLNLLAEHNTHTRTSSVCNALPGTLGPECALSLLSSSLHRPSPTAVEEQVTSSLACIAAASQDTTATAAAVAYASGVGHHAFVPDALFEDPSQALPFPWQ >Et_4B_037646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22067881:22069753:-1 gene:Et_4B_037646 transcript:Et_4B_037646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLQDETSEWGKIKHVKELKAIGWKSAEDQVDRHVYYTKEPYSFEVEMSESSDEKEDDEGESEDVEEEEDVEEEEEEGEELSSDLITGRHSGGGAFVCWSGRFDARRAGVAQTTEQPAEGRDSVVRRGSARA >Et_5A_041662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25593122:25598722:1 gene:Et_5A_041662 transcript:Et_5A_041662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPFAGRAEYVPELNTWLGFSYSCHTLCATSDLAAMDAHQGAPMLQHVWEDLKTPEEEEESVLNKRFRGAVLTRTKSWRTSRLPNLLNLGGGRFCIARAFEDVHTDTEIDAAFVVLTGVEVLRGGGDDGEPGLQMVKHKSIRYMFTSDDEIKWEMTRRFVNLIAQGRLRDGPKGMWELGRTGAYTLHRLDVAKHFFYPSTAEEEAANASEENNGDGGDNPKPTRTERLRRLPAPVMRFERFPKVPRDRWQQDLNISSDGNAVLYDADSETISVMPSLDKPSGYKPITFTIEGSADDEKERLYLMMTHTFQLFDFNQRPPRKWQPLPLPPFFDEEYAIADPKSFAVVDGGRAICMSFLRNGTYCFNTVNHEWSFVGDWELPFDGRAEYVPELGAWLGLSYYYPFDLCASTDLAAAIDAHQEPTLRHVWQDFNPPPEEDDFLTYLSKINIRCPPTVSRRWDDWLSNDPCQMVNLGSGRFCTAKGFRVQGLVSVGLEVEIDTPERMFAVLTGVEVLHGDGGEGSLRMVKHRSRCYKFISDAIERMIRRFVNLIAEGRHQGEATGAYSLHRLDVAKHLFYPSTAQAEAANVNEDNNGGGSGDNKRRPTKMEPLRRLPTPTLRFKPFPSDINQSPCASNVFAFLRPRRSSGEGRIVHMSMDGCGLLYDADSHSTSTMPSLDNNEVMVHKPISITVDGSAGENGEKECRLYMMSNHSPLFQVLDFNKNPRKWQPLPPPPFPEDCYSPLHIKCFAVVNGGCTIAITPWGKGTYCFDTASCTWSQAGDWDLPFSGRAEYVPELDAWLGISYSYFNALCASSDLSAAIDARQEPTLQHVWQYFNKPLQKPEPIVLSRRLGNIVLHRRSMWSPMSHHLVNLGSGKFCIVKIFEDERSLCLGYEEQPYETKEFAVLTGVEVLRGDNGEGGLRLVSHKSKRYMFNNDEIRWVL >Et_7A_051571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20492241:20493519:1 gene:Et_7A_051571 transcript:Et_7A_051571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIRSNVRDAIRDTLGSKMTPRRGLNCNVQATIGPQKSSQAVFVSALGNKYRVPVMLFTATSNALSSRSLPYFVRAMANESAQVNSITSIIKTYGWKEVVPIYIDNDYSGGFVPQLVDVLEEIDVHVSYRGVIDQSATSEGITKELYKLMTMQTRVFVVHLPPSMASLLFINAKEIGMMSEGYVWIVTDRVAKLIDSLNPSVVEAMNGALGIEFCVPESTQLDNFTIRWYMRSRTDHPNDPTLKLSIFGLWSYDTIWAIAQAAEKVKVTKTINRRPPASRNCTGMETVDISRNGPVVLNEIL >Et_2A_016785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28152943:28163659:1 gene:Et_2A_016785 transcript:Et_2A_016785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WLIHLLIPSLSRSRASLTLFNKHLDQRFTAKMKLSKAPELLKKAATLFKCKTEVLRTKLIILASLRRRMALASVVSRRIHALMSSNGSAMQGRVGYCDRALMLRKALAAVSKDKEIVLDHGHGGLMVGLSETAMFDGDDHGFPDWTHSFFDDERCYVAEDMEEEDDEDCSLDALDEPSVIEIIKSNRELEGLEFNMDEDIDEACDMFIRRLHQLSTSKMKISKAPELLKKAVTVFRMKTDILHTKLLILASLRRRMSLVGTMSRRIQALVSSDGREKQATVEYGDKALVLRKAVAASKEPAAAHHEFDGVIDLSEVVLFDGDDHGYPDWTHTLFDDNNYYDGVEDAHDGHVLDALDEPSVIDIIKSNREVEGLEFNMDEEIDEACDMFIKRFRKRMNQSF >Et_6A_047783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1665630:1668065:-1 gene:Et_6A_047783 transcript:Et_6A_047783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTPPSFEARRRRADVLSRRRNIAQAHGLKMERGPNRARMCWEPVVKSQAGNLRVSTYLDDQNNIGNGSIKSKHSATIHASLPNFTPSAYLVHVDISRIYQPGNASVAIIITKATR >Et_5B_043548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11723698:11727855:-1 gene:Et_5B_043548 transcript:Et_5B_043548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQPEPVTYLCGDCGAENTLKPGDVIQCRDCGYRILYKKRTRRRSIWEQYTMQFDATFLRCRPVIYCKQLEATFMSRSSLVRDMPPQLEAYLLSLRKASMEGGVT >Et_10B_004228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19880329:19883987:-1 gene:Et_10B_004228 transcript:Et_10B_004228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNVSTNVNLDGVDTSAILAEASKTVASVIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAGVASILESKLSIPKSRFYLKPGYVMQFENPMPKKKRI >Et_3A_026869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24393757:24395883:1 gene:Et_3A_026869 transcript:Et_3A_026869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKVFSSTGLEADFDDEDVSSDNELQGLWPLGEIDPKKARFPCCIVWTPLPVVSWLAPYIGHVGICQEDGTVLDFAGSNLVSVDNFAYGSVARYIQLDRKKCCFPANLAAHVCKRSYNHTEVGAAISWDDALKLGMRHFQHKFYNLFTYNCHSFVANCLNRFAYDGSVEWNVLNVAALVWLHGQWVDKMSVVRSFFPFLIVTCVGILMAGWSFLIGMAAFSSLLIGWFVLTVYCFKGVADLHGLQKAYICSAIQALQYAEKCGHH >Et_1A_007802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38454637:38459349:1 gene:Et_1A_007802 transcript:Et_1A_007802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLPLRPSPSHCFPLRRLLFCRCSFSHVSASAAPSSSLALYHEAFSRRMAMSGIHPHHRIALGVSGGPDSMALCVLAAAWKEAALGVAVRKVGEEVSAAPGFVDGLLGVVVDHGLRPESSEEAQIVWNRVRDMGVHCEIAKCEWPDGRPKQGHVQEAAREMRYGKLLDICIKQRIGILLIAHHSDDQAELFVLRLSRNSGVLGLAGTAFVSQLFAPNLKYDGENFRRHNILLVRPMLDFSKDDLYKICQYSNQSWVEDPTNKSMLYVRNRIRASLRNLSTEGTFLSGVHKLINACRLTRSCIDSMCSMLINQSVTILEYGCAVIDLEKLDPINVGDLCLSQYLAYILQFVSQRHRPVRGRSARLLMNYIRSIPFKAALTVAGCYLCAAPRSKGTKVLVCCSVDWMDSASVEMSYKCSYEEQPPPVPEVDQIVLEARLQSNYFIQKCSTIPFVYSKSSTDVLNKAKDLNIIDHFTFKNLCYLRTEENDKFIMKEQKHEEQDQEETISQDCNVLCLSPGETCHFMSRFLITWKAPEDVVSGICLHDTKEYISKNSVTNKDGSLVVRHMVDTDWLFLAEVSNTCSVEENLCDSKAYSSNSEINNVLQHTRYLQRSAQKALQILKSIPAAARRTLPVLTDSQGDIMCIPSIGFRSCPSLSIEAVFCPRVPLGGGYSSYI >Et_10B_003080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16323796:16325691:1 gene:Et_10B_003080 transcript:Et_10B_003080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PGCSSVGGGAFTELGPFYPRGDGRGLRLNKKSWNKVSNLLFVEYPAGVGWSYSNKSSDYKTGDERTASDMHIFLLKWYEKFPEYKLRDLFLTGESYAGHYIPQLANALLNHNKESKDFKFNIKGVAIGNPLLKLDRDIASIYEYFWSHGMISDEVGLAITNACDFEDYTFSSPHNESESCNDAIEEANKVVGDYVNNYDVLLDVCYPSIVMQELRLRKYRDTFTSTFLKCSRLSMLIEQVCLINGACSGDQDSVVPLLGSRTLVCELAHDMGLPITVPYRAWFHEGQLIRCMLVGQVLLVMQTLATSKCKMHRYLEAYLKVEAAGSFWLKVGGWVTEYGNLLTFATVRGAAHMVPFSQPNRALGLFSSFVNGQRLPNTTSPSAR >Et_2A_015878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18729823:18731443:1 gene:Et_2A_015878 transcript:Et_2A_015878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARETTNCVVVAAQLMEHGSATLLLLLLLGVSTLQAGGTANGTVAGGRGRPLVPAILVFGDSIVDTGNNNAVLTLTRSDFSPYGKDLNGGVPTGRFSNGRIPPDFLASRLGLKDLVPAYLGTDLTDDDLLTGVSFASGGTGYDPFTSTLVAVLPIQEELNMFAEYKEKLVGIAGDEGAARIVTESLFLVCAGTDDIANNYYLAPVRPLQYDISAYVDFLVQKASDFMKQLYQQGARKIAILGLPPVGCVPLQRTVAGGLARNCDPARNNAALLFNTKLKEEISRLQNELQCERIGYVDIYDVLQDLVANPCKYGFDVSTRGCCGTGDFEVSLLCNRLTATTCPDDRKYVFWDSFHPTERAYEIMVDYLYPRYVEKLL >Et_9B_065904.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17100665:17102737:1 gene:Et_9B_065904 transcript:Et_9B_065904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNGKTEAEPSSPYSSSAPDGVVLRSLHRLARDLSAAESPAPFMRAVFAAVSRRARLLAAVFDDMLLGGVMVALPRSASLCLRETLLVLQRFKALVADCATRSRTRLLLQSDEVAARARELQHDLATLLDLLPVAELGLADDVADLLALASRQCRRAGGDAEALSLKAGVLALIHEVEREIVPERERLEAVLEEVGINDPASCSDEIETLEREIGDRVAERWTPAMIALVGLLRYAKCVLFSAATPRPQLDSKAADLDGDDGGAEEPPSPPPDFRCPISLDLMRDPVVSASGQTYDRESITRWFGSGKSTCPKTGQVLSSLELVPNKALKNLISRWCRQNGVAVDGSCEPGKAEPAPATAANKAALEAARMTASFLVKKLSASSFSPEATRRVVHEIRLLAKSGADNRAFIGEAGAAALLVPLLCSEDAALQLDAVTALLNLSILEANKKRIMHADGAVEALCHVMMGNGSSSSGATTWRAKENAAATVLSLAAVHAYRRRLGRNPRVVESVVHLAYAGPSSTKKDALAALLSLSGERENVGRLVEAGAAEAALAAVGEEEAAAAVLSSLAKRGGAEAIVRIDGAVARLVAEMRRGTEWSRECAAAALVLLCRRVGAAAVAQVMAVSGVEWAIWELMGNGTERARRKAASLGRACRRWAAAAAASAANAEQSTECPTSGAAAPRAMMAS >Et_6A_047933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24409959:24412995:-1 gene:Et_6A_047933 transcript:Et_6A_047933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDNLGLEHSHILMHSNAHGHYERNLNGLDVTISKEPEGNLSMTKRLKLKASSLEGKVRQTGVNKILEICNGLPLAIACIAGGLAGYIAQEKEDKFEEACEVMSYVMDTIHPLDRMRHIITLTYNHLPNEVKGCMMYLSIFPRNYVINKDRLLCRWIAEGLVTENQGMSMQEVAECYFDELLGRNMIEPVSIGYDGRVELCKVHDMVLEVVMSKALENYFVAILGGQHKGMSQDRIRRLSIHGDGDSWPRAVDPQQILGISIEDMNMQRVRSLSIFQLQGHKLLDQLRKFALLRVLDLEGCKGVTNKHVWYACQLHLLKFLNLRGTDIDNVPLEIGKLEHLGTLDVRDTLLVTQLPQTVTNLQKLECLLISNRHKRDYMWRLPRGLQKMKALRQLTLAVIGNDIQVARELGELLQQLQDLDIYIDQPEEDTTAKEFPISLIKSYSLRRLNIEIRGDKWMNNLLNGPLYLPPLLRYLRISGDIKRHLHGLKELPLKELVEFVISGACLLDDLFGALCELPNLRSIWIQQAGNTGGVLLAHARHTFPSLVNLKVTSDADPDVIWFERGSMQKLETLEVRFADEKKVIDGINHLTDSVKEIKLTGKKHNPALNRALEELEAENKRRPAPSQFQIVVKYDC >Et_3A_023134.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30257769:30259022:-1 gene:Et_3A_023134 transcript:Et_3A_023134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRVEVIGNILSHLGAARDVMVASAVCRKWRDACKRHLRLLSFSSDHFPRDMTTRQLEIVITQTIFQTMGLQCLSIHIDNTHEFSAAPVIAWLMYTRETLRSLSYNVRTLPNVNILEKCGRQKLEVLDLDHNTITGVEPSYQRFTCLKSLSLRHVSISALDLSLLVAACPKIELLALDVLEIVSSDPQSTMELTSHTLKSLFAKSVGVDKIILDADNLEVLHLNALNLDLFELIGKGTLKHLKIDDVSVTHLDIGDNTDNLEVVDVSNFTIVWPKFYSMISRASNLRMLRFWGVVFDDEDEIVDSETIAVSFRLLRHLSLSYELRDGLLHYSLQGSSPLENVSVLELGWTVISEHFGPWVFGMIERCPNLKKLVIHGVLSEAKTREERQMLANFTSFIVCLMRKYVHVDVQFEYE >Et_3A_023621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:999814:1002630:1 gene:Et_3A_023621 transcript:Et_3A_023621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGERARAMGSIAVLVGLAVAFAAAAQVARAAVGHDYGTALSKSILYFEAQRSGRLPGSQRITWRANSGLLDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMAWSVVEYGEQMAAAGELGNAVEAVKWGTDYFIKAHPEPNVLYGEVGDGDSDHNCWQRPEDMTTSRQAYRLDPQRPGSDLAGETAAAMAASSLVFRRSNPGYANQLVQHSKQLFEFADTYKGRYDSSITVARNYYGSHSGYGDELLWAAAWLYRATNERRYLDYLANNADALGGTGWAINEFGWDVKYAGVQVLAAKILLQGGKSTAGAHADVLRRYQQKADLFACACLGKSGNNDVRRTPGGMLYHQSWNNIQFVTSASFLLAAYADALAAARRAVACPSGGAAAQPSELVALAKSQVDYILGSNPRATSYMVGYGATFPRQPHHRGASIVSVKDNPAFVGCHDGYSTWYGRQGSNPNLLHGAIVGGPDEHDDFADERNNWEQTEATTYNNAPLIGVLARLAAGHGSRFGQSLADGTFRPVGVAATEHASPIKIEQNATASWTQRGKTYHRYAVTVTNKSGKTVHELHLRISKLYGPVWGLDKARYGYVFPTWRPSLPAGKSAEFVYIQAAPPADVWVTGYKLV >Et_4B_038224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27330791:27338212:1 gene:Et_4B_038224 transcript:Et_4B_038224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKQRSGDGEAAAGGNAGASGPSGGHCPSTVFVSNLPYTYKSSDLEAVFSEVGPVRRCFMVAEKGSETTRGFGFVQFATVQDAELSIQQKNGFAVAGRKIRVKLAMNRAPLKERLQKKENTKDEADDTPAPASHKENSHKTDPEQPKLVTKVATIASSGDADKVKSSEKQRVAKTVIFGGLRDFAMASEVFRQAGEIGSVVSVNYPLPKEEIELHGLARDGCTSDMASVLFSSVKSAWDSVVRLHRKEVKGTIVWARQLGGEGSKIRKWRVIVRNLPFKITEKDIIDMFSSAGFVWDVSIPHKSDEGVSKGFAFVSFTRKLDAENAIKNVNGKVVAKRTVAVDWAVPKKVYTVAAISGVKDNDVSDNGSDDDTSEDLVGEDDSSELDQETSNRPSEDDFKAEADISKKVLENLMKSSEKSETSAATDSDSDTDTETEKDTPEKKKPDLPAASTLSKSKPITDEKNTDPASKSKKKEDLDRTIFISNLPFDISNDEVTARFGVFGKVESFFPVLHKLTKRPRGTGFLKFSTAAAADAAVSAANAAPGLGIFIKSRSLNVMKAMDKDSAQKKALEKSKTEEGEILPGTPAAEGVSDADMNKRSWLARRKAEMLQSPKFHVSRTRLIIYNLPKTMTINDVKKLCREAVISRATRQNPIIRKVNILKNEKKGKVSVQKHSRGVAFVDFQEHEHALVALRVLNNNPETFGAERRPIVEFALEDVEKVRLQKIWKERRHKPKSGADDQEGPSGDQPVSNGHKPKSGADKRTIRKGNKRKSHDRPSKPTGEVPDKDLSAAGDRSAGERIRKERPAKRARKSNEGTILPGRDGKAATPNTSRNQAVSSERDHAAAPKKGNNKKDGQVEQKRGRAARRAKKEPTAEGGVDKSLVERYRSKFLQHGLSKTKDN >Et_4B_038335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28240466:28241632:1 gene:Et_4B_038335 transcript:Et_4B_038335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTDIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLSETDSKPEGGTIKIKPTEGEGEASGAQKSACCGS >Et_4A_035017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8807293:8815814:-1 gene:Et_4A_035017 transcript:Et_4A_035017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSACQAGKDGPSRKDVRGIGNGTVENGHAADTEEWREKLEDLPNGYSAPSGAQQVDELEPKGPTIQWESFLPVKTLRVLLVEDDDSTRQVVGALLRKCCYEVIPAENGLHAWQHLEDPQSNIDLVLTEVFMPYLSGIGLLSKITNHKICKDIPVIMMSANDSMSMVFKCLSKGAVDFLVKPLRKNELKNLWQHVWRRCHSSSWTKRAVEIDSPQPMSPDQSADLPDSTCAQVIHPKSDICCNKWLPTANKRSSNKQKENNDESMGKYLEIGAPRNASVYESSLNDGPSNPTEKRHETNMPQSKFKINVMAENDGAVTEPNSQTADLISSIARNAEANRLVRMNDVPASTSEMPDGNDKNRDSQIEMKSHGLGLKRLKTTGVATENHDERNLLRQSDLSAFTRYHTSVASNQGGVGYGESCSPNDNSSEAVKTDSTCKMKSSSDGTPIKQGSNGSSNNNDMGSSTKNVVVKPSVNRERVTSPSAVKSTQHTSTFHPVQQQTSPPNLVGKDKADERIANTKVGHPTEVSESCVQHHHVHCYLHVMAQQQPSIDRGSSDAQCGSSNVFDPSVEGHAANYSVNGAISGSHNRSNGQNGCSAAPYIARANMESVNGIMGKSGAGVGNGSGSGSGNDMYQRRDPQRAAAVDKFRLKRKDRNFSKKVRYQSRKKQAEQRPRIHGQFVRQSGQNDQAGQEADR >Et_3A_024329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19601258:19602501:-1 gene:Et_3A_024329 transcript:Et_3A_024329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAADVMVVFDFDRTIIEWDSDDWVITKLGAVDAFQRLRPTMTWNALMDRMMAELHARGKTAEDIRECLKSAPLDAHVISAITTASALGCDLKVVSDANTFFIETVLEHHGVLGCFSEIITNPARLDADGRLRISPFHDSASEPHGCSLCPENMCKGKIIERIQKTAGAKKQHFIYIGDGKGDYCPSLKLGEGDYVMPKENYPLWNLICSNKQLLKAEVHPWNSGKELETTLLNLVNKLISPPAQASQLDYKCEMSNPVSTEVGRSPALPVPH >Et_9A_062112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19820432:19821731:-1 gene:Et_9A_062112 transcript:Et_9A_062112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCFDAPIANYGNKCITKENELILDVDGRDFDVSEDLRFANVVRQCVANFLLYKGSESDSDAAAGVMLGMAKEVELIMRYCSTTDYCICENIRQRTVEALIRIENELVCKIANTDGDDAPLGAAPDYWHLIVRFCHRVNAAKNLNSVGSDSAGNSKENSNSKGNKKMRNNSLNNRAESGDEVETKIESTLTCIICMLKPGLAVAKKETKNKSSNNQAETGKEQEGSDKLKSKENGTKAAVVGRRWKVTLQEQITLVLPLSRGLAAAYRRVQLVVLSGDKARFEGPERLVRTRQRCIVAP >Et_4A_032994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16609558:16620324:-1 gene:Et_4A_032994 transcript:Et_4A_032994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPVGFHSSPYPGGEIRNGPFCGPAMMEPGHILLSEGETSVPLLSSHRRLSSHRLTLTASHPHPRQQPPHPIPAMALASKEMTGRNPAEHLTDDIISDPNNRKKLAQTLAGFFYHTYSCDRFPKSARHFTNVTGKLPPLVSPSLSFLPGSNNIKILDSCNGVLLCRRRTAGAVHYVVCNPATKEWVELPESSQGGVCTARLGFDSTVSPHFHVFEFIVDDEDATNGNIFIVGLEIYSSKAGEWVRRDSGWSDDASLCDDMYSVFFNDSLHVFSPIYQDVLVVDTEGKVWRTISVPFSNDDGFIARSQGSLFYLNTMGDHDLKLSVYVLEDYPTDEWVFKHSVRISTLLGGNNFSLMQNYSLITIHPECNRIFFISDLDNTLRCYDMDRRQVHVIHNIGCDRKLWERQPPQPPISAMSSASKEMTGRNPAEHLTDDIIVQILSRVPAKSICRCKCVCRAWRDLISHPDNRKKLAQTLAGFFYHTSSRERFPESARHFTNVTGRGPPLVRPSLSFLPGYNDISILDSCNGALLCRRRIAGAIHYVVCNPATEEWVELPESSHGDSIRTARLGFDPAVSPQYHVFELMWNNVNLANDAYIDELEIYSSKTGEWAHRDSGWSADASLCDDLSSVFFNGRLHVFESILQDVLVVDTEGKEWRTISVPDGNDDGFIGQSQGRLCYLNTVEDHDFKLSVFVLDYATDEWIFKHSVRTSTLLGKCIFSSMQKYSLITIHPECNRIFFISELDNTLRCYDMDQRHVHVIRNMGCGRNYWERSLPYIPLFLEAFA >Et_7B_055638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1965255:1967838:1 gene:Et_7B_055638 transcript:Et_7B_055638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSSPAPPRDADGTAWADAVSSAALRHYRSLPKKGKPQGRESTVLAAFLLSSEQDTLKPSVLSMATGTKCLGASRLSDRGDLVHDAHAEVVARRALLRLVYSEVGRANPPDWLVASGNGTRWKLRDGHCLHLYITQLPCGVMPVPPSSSELPREQMGSVNGCSDIGFIQRKPGRGDTTLSMSCFDKITRWSVAGIQGALLSHILEPLYLSTLTIGQFPDGSSVENNIQKVLSARLSSLSHKIPTSFKFHEPEVYEAPVPPKEFQQIAGDVPPFTCGRRLLEAFASLEHPSIAKFKHKELSYREIKDAAHEYQHTLEFLREAPFFSCWRAKPAYLDSFTLS >Et_6A_046482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15865282:15866737:-1 gene:Et_6A_046482 transcript:Et_6A_046482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYVQCFMEQMRLVYDPATNEYGNLPGIEVRVPNFGSSTGFLRHPEHPDWCLHPLRHELERLGYRDGDTLFGAPYDFRHAAPIPGQPSRVYARYFRQLTTLVEDACRKQQGRKAILFGHSFGGTVVLEFARAAPPAWRDRYIQRLVLVAPVPASGFVESIKNFASGPGVLYVPTTSPLSTRPMWRSLEAAIATFPSAAAFGDDTPLVVTRRRKYTAHDMGDLLADVGGADAVEPFRRRSVPRMNGFEEAPMLPITCINGVGVDTRERLVYGDGDFDANPHALYGDGDGIINLVSMLAFDEEMRRQPGQREQYKSIKLPGATHSGIVTQEWSLKRVIQEILE >Et_2B_022097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9056982:9065686:1 gene:Et_2B_022097 transcript:Et_2B_022097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQFLMGRSLREMGDNPGSQMQALMEQLGLDDMSELLGLGSSMAFQFVYNAIPNPPVSLAAPLASAAAAWTPADGADRISALPDEILLDVVSHLPAKDAARTGVLASRWHGLWRSVPLVLVNADVVADSMDAERVMPEGDDDILSMAVVLQASAVLAAHPGPSLPRSAGFPNLRGLMLSMMVIRDRDLAFLLDRSPVLEKLTIISVQTGLRLRVVSRNLRCLQLGMCIMQDVTVVDAPRLERLLLAQGSRLAMHPTYGYWQADNQELEISNTVIKADTRVSPSTVIASVQVLALEVHFEVRNEIKMMPCFLKCFPNVETLHSFIGNAPKLSMLGYWHPGQHELQIGDTIIEEGTKVTPSTIIPSVQTLALDVHFEVCNEVQAVPSFLKCFPNVKTLHVKSMKVDRPTGKVKLNFWLEACPVECVQHVKKLVIHEFKGNKNEHAFVKFIGETAPALEKVVIMLCPQSFSSRNGLNARMKPFTAVKWANKIMEQIYFKFPSDPTPWSFSMAVDVSCRDPFDLMSALLKEGWLIAMHYAV >Et_8B_060440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1633827:1635910:1 gene:Et_8B_060440 transcript:Et_8B_060440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSPEMQRFLQQEQQRMMMGEMVGKLTSVCWDKCITSTPGSKFSSGETTCLTNCAQRFLDMSVIIAKRFEMQ >Et_9A_062992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7885107:7890865:-1 gene:Et_9A_062992 transcript:Et_9A_062992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPRWWWASPPVPPPWGRGGASGKWWGLGGPAVVKAVGCLFLTFIAFRMLGSFSSPSPWPEVKGRKCDLFNGEWIPNPSGPAYTNASCRFIDDHQNCMLNGRPDMKYLHWRWKPYGCDLQPFDGVRFLDSMRNKAWGLIGDSILRNQAQSLLCLLSKVEVPVEVYHDKEFKNRRWHFQSYNFTISLVFTPFLIKSEVFENENGESTSEIQLHLDKLDATWTNQYESFDYVIISGGQWFLKTAVYWKNGKVVGCHYCQNKNLIELGFEHLYHKSLQEVFRFIISTKHKPVVFFRTWSPDHFENGEWFNGGTCNRVLPYKKREYKEGYIERTMRGIELEEYNKAVAGLSSVDVEKLKLMDTYRLSCLRPDGHGAGRTPQTSSTIAYIGVCLGPSMLGMICKVFPLFHFTNTFMNNECKNLYDNAKSSTIQHQHQAKHLEEAPLAGGVVERLYPVLELADARVAVLLRVQRAPERLHPRVVPSAAARSSASASSARRSASPRRASARFRSSSSSDAAAAAHPARSRRCSAATRPSSFMSSSAEPPPPRRTASADDQNDDSMIVVVLASPLLLNPAGR >Et_5B_045203.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:11752969:11753298:-1 gene:Et_5B_045203 transcript:Et_5B_045203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIAISLSAKLAMALSCSAAINLSPLIGIRSDIAAAAHDLDLLRALLKSTDSCQDTDALAAAWVKQVRDAALELEDVADECCYLSGNGRRWVNGRAWFALSRKLRKA >Et_7A_050284.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:19568696:19569079:1 gene:Et_7A_050284 transcript:Et_7A_050284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAPPPSSSSSTGSVTVTVDPSPSSSSAPPPTAAPAPSEAVVLRLKRRAKKKVTWKEGTVDNECLGRKSSKKCCIFHKEVPFDEDCSDDEGPGGGRRCPQGDAGEGTSGGGGEGCSSHDHNHHHH >Et_2A_017994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8787546:8790204:-1 gene:Et_2A_017994 transcript:Et_2A_017994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HRSTASIWLEAKPPVRHIKQNIVSPLLHVPDDGAESVHLHGHKRQTRQRHPRGVDDEQYPGRVHVDPGAAAGELQRHGGTITTSWPHVSKPSTDSSGSIAYPLPAIWRRNSSRSGPYAAVGIRVTTVPESTMVPDENVPAGMVRPSPFTEIPAKKTITFFIPRRLSKFYDLERTAKKLVSVCLFSYGASWQQFFHQACHRSTASIWCEAKPPARRVKQNIVRPLLHVPDDGAESVHLHGQKRQTRQRRPRGVDDEQYPGRVDVDPGAAAAGELQCHGGHHHEVVAGEVVARVEALHRPLRQHRVPLAGHLAPELVAQRVVRRRRDPRDHCAGVDDGAGGERARRDREAGAVHGDPGEVHHVERAQPRVAGIDQRRERHVRRRRLVRGAEGEVPGGAAVRREAVREDAAFVALFRLGDDGRVASPKAEQAGDGHVAEAAGRVAAPEHDSVGLWRVWRHVESVQREAAAVLGAVAVRHRVPARGAPAACFLTAADLALRRGAADAGVRGRAGRVEQGFFLRVAGARVARLALDPGEIAAGVEEQREVLPRRPDADGDDVFHCVEREAEPGGDGGRAVGRGEAAVDGLNTVVVAAQDGGVVVQC >Et_5A_040439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22377431:22378720:1 gene:Et_5A_040439 transcript:Et_5A_040439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLVGEILLRIPPEEPAHLVHASLNPPSSAATASSTGRRRGAVLCAVAGCDHLGCCGGPFHVVFVGSGLVGTWASMYSSETGVWSAPALANVGPNSYVRVRPSNLTGDALYFELEMSKRILKFDLGERTLSVINRPQVYDQRCIVMTAEDGEVGFAGVEGNSLHLWSWEDGDEEIAGWMLRRVIELDGLIPIRNVSVLPDVIGFVEGTHTIFISTDAGVFTLDLKSMKVRKVGKVVGYVAVLPYTSFYAPGNMPISELFSLCLWCCYLCYHSVLIQISIQTLAIFQFSHKTGTLLPMPKKVLIKLDNKIFSPCTVVPFFLFSQYEMQHFK >Et_1A_006411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2248475:2251321:-1 gene:Et_1A_006411 transcript:Et_1A_006411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSSGRLHPHGQRRGVAAFVAANKTLLAAVWVVGFTLVFLWQSASISGGTGAGGGGRFVRLRSAPLPPARPAPRLRPTAYNLTDFGGVGDGMAVNTEAFERAVEAIASLAERGGGQLNVPPGRWLTAPFNLTSHMTLFLSEGAEILGIPDEKYWPLMPALPSYGYGRERKGPRFGSLIHGQHLKDVVITGHNGTINGQGEVWWLKHRRRMLNNTRPPLVQLMWSKDIIVSNITLRNSPFWHLHPYDCTNVTVSNVTILSPVSGAPNTDGVDPDSCQDVLIENCYISVGDDAIAVKSGWDQYGIAYDRPSSKILIRNVTARSLVSAGISIGSEMSGGVANVTVENVRIWESRRGVRIKTATGRGGYIRNISYHNITFDNVRAGIVIKVDYNEHADDGYDRSAFPDITGISFKEIHGWGVRVPVRAHGSDIIPIKDISFQDMTVGISYKKKHIFQCSYIEGRVIGAVFPKPCENLDIYNEQGQLVKRAVTVNSTEVDYDI >Et_3B_029693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27184067:27187713:-1 gene:Et_3B_029693 transcript:Et_3B_029693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPASERKIAAAGGMDEPLLPEFSGGDGGGASVSGAVFNVSTSIVGAGIMSIPAAMRVLGVLPALVLIAAIAALSDVSVEFMLRYTGWAGGGPPSYAGIMGDAFGRAGAAVLNVFIAFTTTGTLVVYLIIIGDVMSGSVEGGDEHSGVLGEMFGAQWWTGREFVLLVTAVFVLLPLVLRRRVDSLRYTSAISILLAVVFMLISLGIAVYALFTGTATMPRMLPDFSRLSSPFELFTAVPVIVVAFTFHFNVHPIRAELSKTSDMKAAVRVSLVLCAAIYAAVGFFGFLLFGDATMADVLANFDRSSGAGVPQALNDAARLSYALHLVLVFPLLLFSLRVNVDELLFPGRRPLATDTRRFVSLTAALMAVLYALAIAIPSVWTLFEFSGSTFAVTISLIFPGAIVLRDVHGIAKRKDKALAAAMIILAVITSSIAIASNIMSSISDKGSSR >Et_1A_006353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21132169:21135074:1 gene:Et_1A_006353 transcript:Et_1A_006353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAVVKMAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRKYSGNFLVNLLGIWKESEYSGHSIPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >Et_4A_032205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23977081:23979345:-1 gene:Et_4A_032205 transcript:Et_4A_032205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSLFRGDTILLKGKRRRDTLCTVLPDERCAEHALKVNKVVRSNLRVRLADVVSVHQCHNVGYGTRVHVLPVDDTVEGLAGDLFEAYLKPYFVDAYRPVRKGDLFLVRGGMRSVEFKVMDIEPAAGGEYCVVAPDTEIFCDGDPVKREDEEKLDEVGYDDVGGMRKQLGQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKMAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKRDKTNGEVEKRIVSQLLTEMDGMNAKKTVFIIGATNRPGRLDQLIYIPLPDEASRLQIFKACLRKSPIAKNVDLGALARFTAGFSGADITEICQRACKYAIREDIEKDIERQRKAKESSEDMEVDCAAEPAEIKAAHFEESMKFARRSVSDADVRKYQAFAQTLQQSRGFGSEFRFPAKSQAAEPASTANDADEDDLYN >Et_10A_001723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7291194:7298875:1 gene:Et_10A_001723 transcript:Et_10A_001723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAPEKEVAATKDGQEEEEQVVNPWEVSAGKGGIDYDKLVDQFGCQRIDAALVDRVARITAAPPHRFLRRGLFFAHRDMSELLDLYERGEKFFLYTGRGPSSEALHLGHLVPFMFTKYLQDVFKVPLVIQLTDDEKFYWKNLSVQECKRLARENAKDIIACGFDIQRTFIFTDFGFVGGKFYENMVQIAKCVTYNKAVGIFGFSPEDHIGKVSFPPVQAAPSFSSSFPHLFGDNDQLRCLIPCAIDQDPYFRMTRDVAPRLGYQKPSLIESRFFPALQGENTKMSASDPNSAIYVTDSPKEIKNKINRYAFSGGQDSVELHRKLGANLDVDVPIKYLNFFLEDDDELERIKTEYKEGRMLTGEVKQRLVTVLSELVARHQRARAQVTEEIVDAFMAVRPLPNMLNVNLATILCAGHFIIKQQHDLTLEKEDCPWRETNLRGLKFIPSHGLEIKNVQVIQ >Et_9B_066262.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:948324:948890:-1 gene:Et_9B_066262 transcript:Et_9B_066262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFAVQESEMARVLMLMSHGQDQPLLPLRPLPVVSGHGDRAPPERVFVCKTCNRAFPSFQALGGHRASHKKPRLDGDGDLSLAKPKLHGCSICGLEFAIGQALGGHMRRHRAMTGGMPPAIVVDKKPDAGIVIHDDDDGVKRGLWLDLNHPPCDDGEAAECGHNVAAGITFHQFLDTGTMAVDCLGY >Et_9A_061729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15788457:15790868:-1 gene:Et_9A_061729 transcript:Et_9A_061729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQSAERDEIFVWPWMGVLVNVPTEWKNGRQVGESGNRLKEKLLQFCPQKVIPLWNYRGHTGNAIVEFAKDWSGFKNALAFENHFEAEGFGKRDWKTRRHRGSEMYGWVARDDDHRCHGPIGDYLKKNGDLKTVADLESEGTRKTDRLVANLANQIEVKTRHVQELELKCNETTAALDRVMDQKEQLLHSYNEEIRKIQQIARRHSQKIFDENQKLRSDLEAKMQELDSRSKELDELASQSHYDRRNLEQEKEKNKIKTKHLMRATIEQQKADESVLKLVEEQKREKEAALDKILKLEHQLNAKQKLELEIQQLQGKLEVMKHMPGEEDSESKKKMEELSKELKEKHDEMDAMESLNLTLVIKERRSNDELQHARKELINGFMELAAGRANIGIKKMGELDSKAFANVFRKRLSKEDAEITSAIICSKWQEEIKNPNWHPFKIVTVEGKETEVLCEDDKKLRELQEEHGEEICVLVKKGLIEINEYNPSGRYPVPELWNYKEGRKATLKEVIQHAMKQWRINKRKR >Et_4A_033760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26597461:26598596:-1 gene:Et_4A_033760 transcript:Et_4A_033760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVRSGWTQLTSDSPMSLSDIYKPKPECLGWCSDQGVGSRAIWKNIWSALVPRIIARRLACNGLATQCKRVSQTLATSATCEICGLEDKTAHHAVVRCTKVVTLLQATRQVWSLSQLLKKMLSNFSGPYWFLIPTNSLSVSERACAIVTGRCNIQHNPKASELDTKEKRIKKKERSEVVYRWEPTLDDWVKIDINAGFRADASESTSRVVIRDSRIYVMLTAWPILRQYTSACKAEAESCLEGVKLASKRVRQPIIMESDCWDLVTNLQINADHRLWQQPLPDFKLNKVKREVDGTSIFWHDWRSIIKSVRFGDSELQQG >Et_2B_022589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2713289:2716417:-1 gene:Et_2B_022589 transcript:Et_2B_022589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSERAAGRCGCWAAVARGLRGACFRPPAEADGDLAAAAGGAAKGSHVHDAAETRYLNASCRELADHFQTNLDDENGADASTKKKAPHKLLQFTFQELKSATLNFRPDSILGEGGFGYVFKGWIEPNSTAPAKPGTGVTVAVKSLKQDALQGHREWVEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTVDMSIFVAHLKHIAQGT >Et_9A_062771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4794557:4795910:1 gene:Et_9A_062771 transcript:Et_9A_062771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASRRAATGATAAALAGLVGFSYLKKDATDNSAIEEINTREITAEETRTETPVSEAVNKDGDMDDAAVRAMFMDKDGKMRWQDYVDYLTFGKTFNNVTSRYDNDEDEDDEVKEQEDPEYEAAMKARFEEWMKEYSKTYRGEEETALRYERFKRSAKKADKYQASRGVYLGLNHLADITEEERELMTPDPPTDQDWEEYVEILKAIQAHCKLTGESSVRASVIYKQLGKDCSDFEETENSIEEGFEKRKARAQEAGRRYLEEKARKEIGK >Et_3B_027736.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16762392:16762502:1 gene:Et_3B_027736 transcript:Et_3B_027736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPHSPSPTIAASLFGQPPCQLAVAGNSAPGRSGP >Et_7B_054361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20294778:20295828:1 gene:Et_7B_054361 transcript:Et_7B_054361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRLLLRRPVTAFIGAAVLVILQLLFTAPGAEGASSFVFTNACQHPVWVGSLHGASSPPLARSGFYLPPSATFQLAAPSSGSWSGTFWARTGCAVDPATGRFSCATADCGSGDVTCDGRGPAPPVSLAEITLAAPGSGGQDFYDVSLVDGFNVPVRIAPSGGAGDCRPAACAGDVNAMCPADLRVVSGGGVVACRSACNAYGSPRYCCTGQYGTPATCGPTNYSQVFKSACPTAYSYAYDDASSTFTCTGAASYDITFCPAT >Et_7A_051730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23751336:23761504:1 gene:Et_7A_051730 transcript:Et_7A_051730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGDVTDWGSPFSSYFQKVRLKLAVKDPLKLPTNRLMEFDKKLYLVNLKVEGFIQIEDKPEEDGNDDDDTKGDDAGAEDETGKYDVNAGFSGTPKPESQKDKSVENGDGTSKSKVGSKTVSMWASLFKELSMEYGISLGMEGVECTNLLKEMELAETEDEEDMEEHITEEKSREAETRNELLPEEWSYSVQDKEASEVAETELLGEKQQKKKPGTVWGQCKQNEEMVAKAMNRSRESKRASTTLKIIPPISSFEMA >Et_2A_018349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24108759:24112079:-1 gene:Et_2A_018349 transcript:Et_2A_018349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQGKRDVEAYTIKGTNKVVRGKLLSAFLIDAVAGLCQDLVFNGFRCSVGEVGDCVLMRSSDGDNAPYVARVEGMESDGRGGVRVRVRWYYRPEEAKGGRRPFHGVKELFLSDHFDTQSAHTIEGKCIVHSFKNYTKLDNVGPEDFYCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEQAKKIDHYMCADCIKENGAKRPSNSYPVSPSSDAKAEPKRRKR >Et_4B_036186.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:8994357:8994791:1 gene:Et_4B_036186 transcript:Et_4B_036186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYARSAPMVKKTQTISCMDARSHGRSRTPLVSGCRLSQLQHELGNSPGLRRHRASTGTAWRCFATGSCGSTATRSSSGGKPRRSLVSYLLAEKKQNYGVVVCRATMARYLVLGAGCFLPCSTSKCVELFFNLYWAIKGASIIF >Et_5B_045344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1969842:1970789:-1 gene:Et_5B_045344 transcript:Et_5B_045344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASSSSPSPSPSPPASSKPALRLNPAAVLLRRLPTPTPTTATPVTASPPPPTGGGGAANPFVAFLSSLLPRRGRPAKQPDRPVASDSAASTASASAARKAAKAAAEAEAEARQLVGCAVPLFRPYVAQLPWHGGTRAWLSKLFPRYGHYCGPNWSSGKEAGSVLWDRRPVDHLDFCCYCHDMAYDTHDQAQLLRADLTFLRCLEGSRRTTARDGVAAAAIYRAMCIFGLKAILIPYRTNLVKLQTGPNYADAFADFVKRVASSSGRPTGGEKQRL >Et_6A_047639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:937841:939367:1 gene:Et_6A_047639 transcript:Et_6A_047639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLAPKVVTMVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYGEDSPERHVVEQQLLSREIRNVLAVGGPARSGDVKFGSWREKLAQSGFRAASLAGSAAAQASLLLGMFPSDGYTLVEENGALKLGWKDLCLLTASAWRPIQTSAGQQKWDMMAIVNTQVGSMSLALLAPSNISKLG >Et_3A_026854.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2468934:2469824:-1 gene:Et_3A_026854 transcript:Et_3A_026854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPARRPTTPTATAFLGATSTPTPRAEPATPVPDIPGFHPHASSSSPPAPPPPPVPVSVPHRQKQKQPGGGGKHGRSSRLIRSVRAAFRSFPIIPAPSCISMPSLHGLPILHGAGGVLRSHFHGATSATGTLYGHRRARITVAFHESPGSPPCLLLDIAVPTAKFIQDVSAAGMVRVTLECEKQNQQQQHPETPARRPLLDEPLWTAEVNGESVGYAARREATEQDGRVMQMLHATSMGAGVLPPEMAHPADGELTYMRAHFDRVVGSKDSETYYMHNPEGSATGPELTIFFIRT >Et_5B_044540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3293600:3296066:1 gene:Et_5B_044540 transcript:Et_5B_044540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAPVRKSHTNTADLLIWPEGAPQDQAPGATPPPNRRPHQPSEAISKVVFGGQVTEEEFESLNKRKPCSAPKWKEMTGSGIFAAGGEVEEDEAGNASATPIRTAPKNYQAISTISHISFAEEESISPKKPTSIAEVAKQRELSGTLLSEDDSKMKKQISSLKSKELSGHDIFAPPEDPRPRNSENGSTSQTPGKNAYVVSSIKFGEADEDSVVKTAKKIPTKKFTDLTGNDIFKGDATPGTAEKHLSTAKLKEMTGSDIFADGQAPARDYLGGIRKPPGGESSIALV >Et_4B_037566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21162363:21165031:-1 gene:Et_4B_037566 transcript:Et_4B_037566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAYKYQAQALMKDYLLADPLIPYTSVLIGIVLCKIAYDFTRVLSAFYFKGYSSLTKIQRVEWNNRGMSSAHAIFITAASLYLVFSTDLFSDRVKGPITFRNSIISTFALGVSVGYFITDLAMIFWLYPSLGGLEYVLHHTLSLVAIAYTMLSGEGQFYTYVVLISETTTPEINMRWFLDTAGLKKSSAYLVNGILMFVAWLVARIFLFMYVFYHIYLHYSQIVQMHVFGYYLTLIVPAVLFVMNTLWFMKILKGVKKTLAKWP >Et_3A_027306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7284981:7285889:-1 gene:Et_3A_027306 transcript:Et_3A_027306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPQSRSSNGGNGQPAPFSRFFESWISEQTRDLAALRAAASAVDPATPDADLRRLVDQVLAHYEHYYETKLAAAAEDVLRMFSPSWTSTTENLFLWCGGWRPTAALHLLYTKSGMQLETQLPAFLNGGNLQPDLGDLSAEQLLAADQLQRRTIKKEREIDNAAATAQEALATATMVELAGGGGVDAEAMEVEMKSKADGMKQVLEKADALRLDTMREVVALLRPAQAVHFLIAAAELHLAVHHFGRRKDGHATAAPPPPAH >Et_1A_008842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15782428:15783117:-1 gene:Et_1A_008842 transcript:Et_1A_008842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDLAKRLLGGDEASSSSSDPWKVLAGVWVRLLAYGTVNGGGAEAHMRHLSHGGEFITHLWALLHHLDIRLNLTDLTYRTRKPGRQQG >Et_10A_001811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8603663:8615210:-1 gene:Et_10A_001811 transcript:Et_10A_001811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAEVIVTSAINPPLLLVDYSRELRLNGKALVRVEAPSSVKSCTPIDVVILLNVSHSMNCKVASATQTPSRLDLLKKTIKFIIRQLDDDDSLAIIAFNDQVLKEYSTGLLEISTSGRMAIEKKLDVLMAKGTTAFKPGLDHSVKLLDGRADKNRLGFVILVSDGLDNNKLQWADESITPTDPIRILLRKYPIHTIGLCKAHDTKALNFIAKESYGTYSSITEDLETKIMEAFAVCLAGLKTVVATDTCVNITSGSLNITRINSGGYTLRGSSGGIFIGTLSAGEVKNFLVSFDYTTGFWARGYSKVLNGITATVTYKNVSGGQSISTETCSVSLPIHTTDTGRAPENPCPPFPAVLQQMVRFQVLDMLKSIIKELFVLKEEAGGAFHREEDDDPVFQAMAANLLQKKWKDFKQSDQAWKEAPRAFLDMGGIDKDINAMVGVLKQGLGIGCIYSWLSSYQMQRPTVTGLPVHNDATCFRTPAMEAMLQEAFKQMALEAPAKGQDQEVCNGASELLDMISERFELWSKLDSNVPAPFQPSSEMEDSVSCNLAAVLRKDISQARKKDIYLVTNHAMEKWRSSINAGEMAPEKVRVSVAMNPPILLVDDTHKLRLNGTAAVRVEAPLFIKSSVPIDLVALLNDDTIKVGYGEKSHELDDDDGLAIVAFNDQVIKECTTGILEISGGNGMALEQRVNGLVAKGDTSFKPPLDHAVKLLDDRADKKRLGFIILISDGQDNSQFKWSEESVAPTDPIRNILKKYPVHTFGLCKAHDPKALHFIAKESYGTYSSITDNLDRRMTEAFAVCLAGLKTVIGMDTCINITSSSLNITRIDSGSYQPQGGSRGIFIGTLYAGEVKDFVVYFYYSTGEWSPGYYTVLSGISASVTYKDVRRQSSTTDNCSVSLPIHTAESGSAPANPCPPFPVVLQQIIRFGLAYEHPEGISGVERGG >Et_3B_030463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:406132:408136:1 gene:Et_3B_030463 transcript:Et_3B_030463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARGSAATVIGPWAGYGQAIRFIGRDRTVSSARVSSSSSSPFRSPPRLPRRRRRRLLACFTDLTMGRRILNDALRTMVNAERRGKATALLQPISGVMVSFLNIMKHRGYIKSFEVIDPHRVGRINVELHGRIKDCKALTYRQDLRAKEIEQYRVRMLPTRQWGYVVITTPNGVLDHEEAIKQNVGGQVLGYFH >Et_3B_029597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26353740:26355225:1 gene:Et_3B_029597 transcript:Et_3B_029597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYRVLGLVAALLVLLALIVSSTRIAEQEGEEEVAMADGGPVVGSVQDAPAGTDNDLHVNDLARFAVEEHNKKANALLQFEKVAKVKQQVVAGTMYYFTIEAKDGEAKKLYEAKVWEKPWMNFKELQEFKPAEEVVPAGGSQNKKL >Et_5A_041004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16546094:16547826:-1 gene:Et_5A_041004 transcript:Et_5A_041004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSARPPCYPLPNRIANPDPIGSLGHTLGFVHRSTYSPGMPPFGKRRPRKCHRCGKKLKGHVCAVGNRDVTSEVPDEVLAKIVSMLPTDDGIRTQTVSRRWSKLWQSATLNLDDRDLHPWRWGDDLVALITSILLSHPGPARRLSVTCLPRVSDAQGDRYPSFDNWFLSPVLDKIEEISFRYLLRTAQDPLPPSALRFTKLRVASYGNCHMPEDLDEGISFPYLRKLTLQHLTNTENTLHALLSACPTIESLLLVDNNAFCNVRISSPSLITLGVSIETREPAMEELIIVDAPIMGILLLFNTDGGPTKLRLIRAPKLLVLGTVSSSMEDMQLGKSVFKFMFLAYEVLLIQIITSVFLLIQSMTAISSITFLQAVKIFSISASSFQLNVVMDILKCFPCLEKLYFTSMAKESGDQLNGDPSIECVPTHLKETVLRNYRGRTEDLSFAGFFISHAKELKSMEFRVDERRRLPKLKDRASKIARFKFVPGTFFKHYGNRHFTHDLSDFDPFEL >Et_1A_006590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2527487:2530473:1 gene:Et_1A_006590 transcript:Et_1A_006590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAASTYLDNDCVFRDICGHALVGGAHIWFSTDHSGTYSFNTVAGTWSKVGNWQMPLDGRAEYLPELNVSLGFLSQDNLLCASDLAGVELPRPPKILGKWEDVVQPREWAPFASELVPLGSGKVFIAKFFEIKEKMYTRDGDYDYGACEFFAVFTGVELENSGGQLRMRKHKSMRYTPHLHMRHWVI >Et_1B_011967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27621906:27629234:1 gene:Et_1B_011967 transcript:Et_1B_011967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAAEAVLLLAVALLLPLRVLSLALRPHVPSPRRTRSAAGLFAVAVLVTAICAVPDAGTRPGSADANTDALRSEVEALRFEVARLESMLEENTKALNSRASILEEDSKLIAGMERDIQLLMNGQGTTKNTRSKSYSADNIKDMEDEVQELQQEVSKINSNAHAIESLARDAEKRVEVLSSEVKKMEDIIAEQWIQIRQFEQAFVLTKMMTSKVHERSKPKTVYKWPGKDTILKVTRFRKAMRRQSIPDTDRADVFFLGGSISKRSCISLPYKQFKNSMSSAQKFHRKVQTFIQSTMISYSYSRGLANEPVTFLLV >Et_6A_047597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7984424:7988365:-1 gene:Et_6A_047597 transcript:Et_6A_047597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAASVATAVTRSIGARFLFDEMPMDDEDTGAAPATVGDEGINALPDRILAHILGFLPAEEAVRTCVLARRWRHLWKSATGLRVLVADGEFLGTVDKVEKFVDCLVSSRKRKRASLDSCDLSVGCDILEHGLEDLSLVSRHLTTLELYNVELCNRFLNFSGCPALENLVFERCDFWKTAKTISFKSLKHLNITGCNFSMSSRTRILAPNMVSLRLESFDGMAPMLDSMQSLVDAYVKIDWLCFDECELRPADCDSCEGNDYQENCYCDTCRNKCVLLKGLSEAVNLTMISDSTKCIFKRDLRLCPTFNKLKTLLLNDYWCVPDDFWALACILEHSPFLEKLTLQLFSKYRQEKVQFEGSVDPTLRYVVSEHLTVQVMCTVVDERILKLLKFLVVTFSHVLNRRPSGLWILGANVVTGIIRQNIREMEKY >Et_3B_028687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18060687:18063388:1 gene:Et_3B_028687 transcript:Et_3B_028687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARGEPDPMKEVHKEVFGGEGDTEEEDNDGHTEEEEEDEEDEPYLPFTRYLDPPEPLCKGARYTIEEARKIAEPVYKRNYKLHGEWCDLVNKNINPRSLGPLCVLPKITTACASGDECYHLEYWTENTSATEPTHPYFTPCGMMQVFSLKLSSPLAHPVNIYGTFAVRDGWEPLRNYLFNRSRDDPATISPGCSLLPLCSPSRGIYVLQHILIDVDLWIKEEGDVSAGKPLFCGYVELDTSATGFGTKLGGRFQGDLYGLDMHFSFLPSSIETFIEVIAEAEHPSDVKMSALTSGFDDEIALYDGTFSGVGVMVKHFVAVKKLEQLNVLMKLGGSLYTWTFQAGVGVIVAPEHPISGFARYYVMNVAFRTRGKVASAWQWSCISNNVRQS >Et_9B_063949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4990987:4991559:-1 gene:Et_9B_063949 transcript:Et_9B_063949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAEMWDSKLPLKVKVFIWMLFQNRIPTAEQLKKKKWKGRCICWMLWLTRNDFVFRDAICTSPQAVAYKTVSLMLRWKILLRGDGRHAVEELVEKIQAKLELISNNNFGHNAVITHVNATSVS >Et_2B_019255.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20288346:20288435:-1 gene:Et_2B_019255 transcript:Et_2B_019255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPRCRAVCWKLIMEPLVSSSRRHYLRW >Et_4A_034813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6852832:6855117:1 gene:Et_4A_034813 transcript:Et_4A_034813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAHVALCSVALLLLLPAAWSATFTMTNNCGYTVWPGLLSGAGTAPLSTTGFALAHGASATVDAPASWSGRMWARTLCATDATTGKFTCATGDCGSGTLQCSGGGAAPPATLAEFTLDGSGGLDFFDVSLVDGYNLPMLIVPQGVAAVVAGAGASSNGSASAGAGGSKCMATGCLVDLNGACPADLRVMPASTTTGAGAGAVACRSACEAFNTPQYCCSGAYGNPNTCKPSTYSQFFKTACPRAYSYAYDDSTSTFTCTAGTNYAITFCPSTTSGKFSGENPQAAGVQSSSNGSMVYLPGEELATGAASVTARASRLVMAVAVAVAFAVALM >Et_7A_051897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:26143605:26151171:-1 gene:Et_7A_051897 transcript:Et_7A_051897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIIAATGKTLLVRKLANLTPNWVLRHVTVDTMRTGAVTAGRLVHLWNEWGVQILVLVSFVLQVFLLVFGGIRRRSSSNVLKFFLWSAYLLADSTAIYTLGHLSVDDTSDEHQLVAFWAPFLLLHLGGPDNITAYALEDNTLWLRHLQTLAVQVLGAAYVIYRYMTSSGNLLLLASISMFVAGFLKYGERIWALKCGNIGSIRSSISISKFKANPYQLLSMGTSEEEILLGAHTQFDICKGVFADIIMLPNPSVLSQSKPCSVISYLGEDLYKLVEMELSLMYDFLYTKAAVIHTWYGFGIHFISLLGTATAFLLFQLSITSRGDGYHKVDVMISYVLLVGALVLEVISAFRALLSTWTCSLLHRRGRGWEWPLHIITSVSRRVHPPSRRLWWGSIGQYNLFHLCTRNTNEIGNRLAMKLGLQDWWNTMHFSGTFSHTDSISIQDLKKLVLKALQDKEQALQDKDTDLDSRGSFILKSMEVYEDFARWSVNIDFDESILVWHLATELYIRKSKAKHAKELTEATEVLSNYMMFLLVVKPNMLPGAARHNVHLTSCEQIEGQCHMCFSDKDDSVAASSIPWSPYSLLKELFHHDGPNPSRIPRREKLAEMVWSSSQFALGSVRAPKPHGASIRDSANMYAVLLANELLSIEMCWHDRDPLELILGVWVEMLLYAADHCSQESHARQLSNGGEFITIVSLLAHHFKYYSGASRGVSKSFNDFGEAKSVSESNPMSDSLRSGAMKVEGLVHLWNGWGIQTMVLSSFTLQLFLLIFCGICRRSSSTMLRVSLWLAYLLADFTAVYALGHLSVISGSSEHQLVAITAYAVEDNSLWLHHLQTLVVQVLEAAYVIYKYMSGSGIFLLLATISMFVAFLVKYGERIWALMCGSMSSIRDRFNKSDHKVKPYQFVMKGKSEEEILLEAHYSFAVCKSVFLDITLEPGLIDSGYATVKVIVGEDIYKLLEMELSLMYDILYTKAAVIHTWYGFCIHLISMLGTATAFLLFQLSIKGRGDYSRADVIISYVLLVGALALEAISVCRAVLSSWTCSFVHCKAQRDYINSGSLAWLKRLLHFFFSLRRPARPASRRLWWDSITYSIYAPATGLGQGADWLQGWASRIGGTSCISQALSQALTLCQHEISGSWCCKRYLCQTLMVPIPI >Et_5B_043440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10380251:10390004:1 gene:Et_5B_043440 transcript:Et_5B_043440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRLPSPLPCLALTDGTFVSLPNDGCLHFSDTARYHSTYGQWLVFSWDDGTCYLKNPFYKVTLKLPDMSCVCPIEEPVEIINGRSYTLFEADAKVIKLYVVNAHKDLLVINVVGDNDTGKLSISHIELLIETPYATRAIWDGLLIGEFLVESQGALLLVCEKIFGISDNQRGYTSMKQIRIEYKVFKADFPSSQWVGLTSVGDDQVLFVGRSYSQSFCVSQYKLKGNRMVILNDSCRTFDGMAEPAPEARLRQPSSWSDLPWDLAGQVLRLLPAFADRARFAALCPQWRAAASQVCLPPALPLLALPDGTFYSLPYGKAFRFPGFGCAGYKTAACGRWLVFVRDDGCFLVDPFARATVKLPPLSCVRLRPPNAVAKYVEEGGTRIGQPFVTWMHITETKKPIIKKLIVCSPNLIAAFIGTRFISQIVMCQPGASSWSVRAYDQCKGFEDMTFYQGKLYTLDDNEGLFIVNISQDPSTGDPQVSRVGQVVKGDPDPLFEAIMPDNTAGTKKLYLVESHGSLLMVRRKVCCMLVNGVIMAGLQNEFELLEADLEHSQWVNVSSLGDDQVLFLGRPCSRVVSASQYGMVPDQIFFLDDVTENAVQYAYDEENTSVGMAEAEQPTSWSDLPTELAGLILCHLPAYSDRVCFGAVCRHWNYSLKQHRLPPPLPCLMFSDGTLVSLPGGESFQFCDSTRYHSSCGEWLVFSRDGTCSVMNPFSKISLTLPNLSSVRFIDEPVEIINGHPTQNGDISQESLYMDAELTVKKVIVGSVLLVTAIVDIEPLSHTVALCRPGADSWLVSEFGSKRLLMGMMFVEGKLYCIDEFMDFWAIDVGQDSDSGKLIISRIECLIDSPPFTLRLIQNGFTSISYFLVESHGAQLLVRRTIFGRPSDNDRGGVSIEPVGIEFKVFEADFNSSQWLGVISIGDDRALFLGKSYSKSVCVSQYNLKEEGMLRGNCIIFLDDGTCDWFWKGVTGSHAIYDMSDGRTYSIESSGLFEGRKGPATWLFPQIAQGQHLQSWSDIPTELAGLVLSAASLPTLTVFNSGLCVAIGGFCQHNIAYPRLCHV >Et_7B_053810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12378859:12383507:-1 gene:Et_7B_053810 transcript:Et_7B_053810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIWCLIISTRHACVLDCLIREYRKRLKLYRQKVWTCKVSGKSNLTFEEALICEQRAAEKAQQLPKELIAPVLRMIQHSRVKFPADFLTFLDIIFKTVHLHDCPFFDWNFFYISGTLSLTDLVEKVYSSLLLDIFEGLELHAKKDGSESACKILKVINSGNTKLYEVGWVGQDNAVINASIVKSDDLIRKKAPVSRNTLKMFIRESTSTSSPWILHVNIAQKYEIPTELPEDIMNGEGLCKAGKRLENGTTEDARKRFKKDVKAGLPVKYPIDDLLVEPEANDPFFSKRPPLSTDFRVPIESVGDLLMVWDFCLSFGRLLCLSPFSLTDLENAICHKESNLVLLVELHATLFHLLIKDGGSYFTFLQNKKRKLKVTLVKWAEYLCDFVDVMGNEEFSCKLSTVRRGHYGLLDTGLKLKILRVLVDEAIATAAVREKINERIDQRQAFAAAKREDARKNGKEQKLNMEGVAENGINQSDATQNGNDCVKGQHVEKEHKDLKCFSSSKKGERKMYLRRHLETEMQHQSVWPSPLGKDRFYNRYWFFGSEGRLFVESADSKEWGYYSTKKELDALLGSFNVKGIRERALKQQLNKSYDQISNALERRMKDVEQKMLLDEAVLRRSTRVHAQPKDENSSSFLNCHLDEHLGGLHHSVHELRCTNAAQNCISQLLALRAAAAQDNAAEGAVDGSGNLLKDGIVGLKALQEHAGDDLPPGLNLVIGR >Et_4B_037279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17663643:17665669:1 gene:Et_4B_037279 transcript:Et_4B_037279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCGAPSPAAGTSSQRHGSSSSDTGQYPASVRAAPDSAADPNSKTVASDACRRTSTAPCAPDAAATSEATDPAAAGVGWPRCSVEPPRRPPLHHEKRPIGRKTGKGGNHIERIASQLRTESLLPSSTFPKGQLHHHRLHLRPPRYKPSPRSWRPRRVRQRAAAMPGRRAIEVRVQPGGDAAPKWRMSLLENTLSAFLHQGAGSDAAARAVFGEGSLFSPFLFGNFFDPADAFPLWDFESEVLLAKLRRGAARTTVDWAETDSEYYLRADIPGGRKCEVEVSGDAMKVIDISGLWRAPPADGRDWRAGRWWEHGFVRRVELPEDADWRKVEAYFDDGEGSLEIKVPKNGDGHQATA >Et_2A_016848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28837917:28842283:1 gene:Et_2A_016848 transcript:Et_2A_016848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVSAEDALAAAAAAAVASDKMRSVTLGGSIQRAMRRMAAGGGRRSAGAVPRPGSGDAWGTASCSGDDNSIGGAKREGGRRGSMQRYRLQLEQEVRKLQRQLQDEIDLHLTLADAITHNAALILESSTKLPVKAQELIISIATLEIAVSKLEKDLNHLHYELCYVRNERLLSDNNPRCLLSSDCQPSTTYICTGEEGVSTSEDLRLRDPQTVQPMQEDLSTELQDQQNDEKQSEDREIVYPDRLLEIRQDDPLTKLLGHRDDEMQEACSMENEGKEDNKIDALQFSQSNLSKNGMSGNLWNNPNQLSEEMVRSMKDIFLHLSASYKITPKAPFDNSCSSAERLSGSTLTSLSDSSVITSVLHSPSVDLNQDDATMDEIINFDPYNANGKDARRDIGRYCSVAEVSWMYVGNEQLEYASGALKKFRCLVDQLSKVDPTCMNCDERLAFWINLYNALIMHAYLAYGVPENDFKLFSLMQQACYTVGGLSISAVEIEFSLMLALHKFKTTEKHKKYSIDDIEPLVLFALSCGMFSSPAVRIFSAENVRQELQESMRDYIRASVGINDKGELIVPKLLQSYAKGIVEDSLFADWICRHLTVDQIAAIQDTSSSQTQRLLGVRNFNVMPFDTKFRYLFLSDNTRQLR >Et_5B_045728.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8368975:8369202:-1 gene:Et_5B_045728 transcript:Et_5B_045728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTANTSWYPGCYLPEQITRLVTDLRFYLGGENYASERYAGTHAMLFALEQALRELDLDGGLAFREEPYGGFAVA >Et_2B_021036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25890358:25893564:1 gene:Et_2B_021036 transcript:Et_2B_021036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATPLRHHLPAPARSTDPAGSSLTTHRAFPPRHVHLRAILAAAPSTSPSARTVKAAAAAAGAGGRPTVLVTEKLGPAGLDLLREFANVDCAYELTAEELRAKVSLVDALVVRSATPVTREVFEAARGRLRVVGRAGVGIDNVDLRAATEAGCLVVNAPAANTVAAAEHAVALLAAMARNVAQADASLKAGKWQRSKFIGVSLVGKTLAVMGFGKVGSEVARRAKGLGMDIIAHDPYAPVDRARAIGVDLVSFDEAISTADFISLHMPLTPSTEKIFDDETFAKMKKGVRIVNVARGGVVDEDALLRALDNGTVAQAALDVFTEEPPPKDSKLVQHENVTVTPHLGASTAEAQEGVAVEIAEAVIGALRGDLAATAVNAPMVPDEVLSELSPYIVLAEKLGRLVVQLVAGGNGIKGVKVVYSSARDPDDLDTRILRAMVIKGIIEPISSAFVNIVNADYVAKQRGLQIVEERILLDGSPEIPLDSIQVHLANVESKFGGALSDAGDISVEGKVKDGAPHLTLVGSFSVDVSLEGNLLLCRQVDQPGIIGKVGSILGNTNVNVSFMSVGRTAPGKQAIMAIGTDEEPEKEALKLIDEIPSVVEFVFLKL >Et_3B_030238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31647906:31652545:-1 gene:Et_3B_030238 transcript:Et_3B_030238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHHHLSRLLKHRLLPSSVSSPAAAAAFSTSKRSFARRTKSPEAAPAEKAGDGAAAPAVAAGDGAAAWQREKLPSELPRPSTIPYQPRVANTVRLVGNIGAPVQMQRTPDGRFSAVSVLVQDRRVDSPKFWIPVIFQDDLAQVAASHLKENDLVSVSGVLTGDVLANLLQFVDSKAPVTDATMDEDKEFMGIVEVEKKYEANKISTKYPPGTVTGKSKTDKLNKLWNDVIDRPHDWIDNRPEKKNGSRSAKYPDFKNKVSNEPLWLNTAPTSVLEKLDNLVFSNGYDAGRKDKPFGDDTRKGFGTNWRTVQKNQDTSAVPKQKLEEDLWRDLVDNPANWWDNRSDKLTPKHPDFKHKESGKVLWIGTKSPQWAVDALPSLKFKGASKGMRSKETLLIYRTLDISSGLVF >Et_2B_018902.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15110417:15111291:1 gene:Et_2B_018902 transcript:Et_2B_018902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEALAPNHSPSLKQRATELIAATRETYRSKPFSFWLLLALSSGAMLTAFPASSLLSRLYYTDGGQSKWVLSWAAVAGWPLPALFLLPLYLLGKASPTPLSLQLCFWYLLLGFLSAADNLMYAWAYAYLPASTASLVAASSLAFSALFGRVIVKNTLCLSTLNAVVVITAGVAIVALDSGSDRPPGVTARQYAVGFVLDVLGSALHGLIFALSELVFARVLGRRSFHVVLEQQAA >Et_2B_021179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27181974:27184444:-1 gene:Et_2B_021179 transcript:Et_2B_021179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPYTNFLRGYYSHFIPPSNPPPVSSSSSFSSYATTYLHPPPPSPPIREALPLLSRLTPPLTTSPPGQHHDEDVGDQKDNCKLTITSCSNSEEAADQAGEVTVALHIGLPSPGPSESPAAAAASSENQEAAAEEGRRQQEEEGGGGGDEEDGDDAMAVGCASIGIGRLTKGQYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAAGCRNNIDHPRARPLKDFRTLQTHYRRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCACGSDFKHKRSLKDHIRAFGRGHAACGIDCFDDDDDRDPSSEIDHAAVSTSASATASTTVVAGAATGANAQLWTTPARGSSTGDRPTLL >Et_5A_040349.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1597780:1598202:1 gene:Et_5A_040349 transcript:Et_5A_040349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQRSGTIGGWMQDGWPTCSRRLPVTPYAPAPRCTRYSKRDCGNSSCRGCPRWRQANWKKCAPCSLASRSPRTGTSGAASFSRRTAHCAPRRSTSWLCPRRTPAATSQNLFGKTERRRASNSLVGSWCRGGSNARPIS >Et_9A_061929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1856671:1862399:1 gene:Et_9A_061929 transcript:Et_9A_061929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELNSGRVRKVLEDCAYVFPYTSFCIPASMPPPPPPPPELTDDLVGEFLLRIPPDDPACLLRASLVCTRWRRILADPAFHRRHRALHRTPAVLGFISNAKEGEPFRSRFVPIDPAYRRPAARDFPCWLVLDCRHGRGLFLTPPDNLVVWDTLKNERHRLPRSSTPPSAHGVQFNAAGLCAAAAEGCDHRDCHNGPFSVVFVFSVWISGEWFTFARVYSSESGDWGEEIVVNHPQVCINICPSPTTLVGDALYFLGDQGYAFEYKLGAQRLSVIDPPPQSMNKSASIFLMSLEDGKLGFANVEKKPCLRLCLWSSSRETSDGVAQWAPGRAIELETLLPDGALPPPLLTCNRRQHRKSIAKLVAFAEGTNVIFVGTTTNDGALYIFQLNSGRVKKVSDSCNDIFPYTSFCISVMDATSTDKPN >Et_4B_036787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11566225:11567906:1 gene:Et_4B_036787 transcript:Et_4B_036787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVCVLGSSEGVKGTIHFTQEGDGPTTVTGSVSGLKPGLHGFHVHALGDTTNGCMSTGPHYNPAGKEHGAPEDENRHAGDLGNVTAGADGVATINVTDCQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRIACGIIGLQG >Et_2B_022380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19583915:19587338:1 gene:Et_2B_022380 transcript:Et_2B_022380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAHGGPSSASDYGGLHRFPDPPPPPQAHEANALPGDAALARRQEELLWEFHMMRIRQDMIFRELADIERAMVARFTPAGHWTLQVPPPSRDYCHSRPSSVTSLEEAPLCLPSRCREYPPCLCQSTQKVRPPPVYPHVERSPSPIAMRGYSKDRKDRRSPSKQTPAEEQPLYASGNQSSGQRKAAEITMADRINEPVRQSCSYRLGDQENKTFDQKLLEFSTPTPEQPQPSSNAKQQQECRSSVVVKEHPFRGHVELCRSPSKQNQFVETLVPAAADGSTQPSKHTPLSVSICHEVAPGHLRAVSGEPKVDVENGRKVQPLLEIKNQRSGQKKVDESAMEGGMDKPLRPLRHKLAGQENATYNDNKRIEFSERTPERTASGVKRRLTEATPPVKKPKPPEEWNCTVCQVDSSCQRTNVDEHLAGQLHQSNVEALRARNKSGERSSNAAPGQWNKNVAKDGEPLSRDGKENLAEHTRSRHQEEKPRGEGNVHRVAVKDRLEPAPEPAPPSWTCSLCQANCPSENHYYEHLRGRRHRENTEAVLAEFRSESERRDGDAGYTADWERACYCEVCDLQCYTEKDLASHLAGRRHREALEGRE >Et_2A_015043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27322823:27323846:-1 gene:Et_2A_015043 transcript:Et_2A_015043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RQDQLPAEFAGLSTQLQVADLPAFLGDTNYPLCFRDLLINQYLGLDTADHVLVNSFYDLEPQEAYYMASTWGAKTVGPTVPSAYLDNRIPDDVSYGIYLHTPMTAEIKNWLDSHPTSSVLYVAFGSKASLGPEQMADIAEGLYNSNKPFLWVVRGKETPKLPDNFADKAKARGLIVPWCQQLEALSAGVPMVAMPHWSDQTTNAKYTQDVWFVGTRIWPDANGMVRREEVERCVREVMDGKRSEEFKKKASEWSHKAKKAMGKGGSSDINISDFLSKYRWCWIYAHF >Et_4B_037832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23893174:23898527:-1 gene:Et_4B_037832 transcript:Et_4B_037832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAISRLGASLRLHPETHAHRLSPASPPLRALSTRRGKGSSTTADSDDEGGLFMLAHDPERPPRLLVVQPRLRPGGLLDSKLAEALSLANSLEEPREGFYQAEFASKGAPPHLVVQNPASRGRSHADTYFGPGTVDNVRCYLRASESEVAWGKPVLDRVGLIIEIFNAHAETKEAKLQAELAALMYMKTRLVRVRGPGGKLTFGPSGEAEVVSARGRGSGGRGFISGAGETELQLQRRRIQERRVKLLAQIEEVRRTRAIQRSNRKRHGGSFGQELVTVAVVGYTNAGKSTLVSALSETDLYRDDRLFATVDPRLRSVILPSGRKALLSDTVGFISDLPVQLVEAFHATLEEVVEADMLVHVLDSSAPDLEEHRSTVLQVLQQIGVSEEKINNMIEVWNKIDLVDEKAETDGVEDEIFLTEGEEEEDIFSEDDVPSDQSSFDTLDDGANSEHLSEERSGNSDDEVSFKECSAEPSEMKTMNLESSSKESFGELYSPDMNGCTSKEQVSRCHVKTSAVTGTGLQELLALIDEKLTEQQTIVQRSYGPFDRKWRPCSMDGEKAAEQ >Et_1A_005840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14556760:14562015:-1 gene:Et_1A_005840 transcript:Et_1A_005840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGPFNRVVKLAARAFYDDLSMRGDSQPKNFRGDNRGMAVVVLDALTRRGWVQDEILAKDLKLPSKQLRRILHFFEEEKLIMREHRKESPKGTKEHNTATPAASDGQPVTKEGEGKSKMHTYSYCCLDYAQICDVVRYRIHCMNKNLKVELDSRETIQHYICHSCNKRYSAFDALELRSYTDEYFHCETCNGELVAESNKLVSKEKGAVNNNARKYTKQELKDMQQRMDEQLKPLVAQLERVKNLPAPDFGSLRSWERAKCAADSSWKGQCASPPEIVVGGAAEDGKNDTDSKVKPMPPWMMGKGMNLTKRQRGEISSQSRLGETLESKDHNRHDSKDEDLCKAWRKREEEDAKRRMQHEGETLVSDSQSERHVGEKYKREDQDDGIEWEEDQAAGNSAKAYKMTGLSAETQDSGNDEDGIEWEEG >Et_3A_024654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2353380:2355682:-1 gene:Et_3A_024654 transcript:Et_3A_024654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQKSHEERAQSAAQKAADELAAARQDRQEASPRGSGGGGGFLSSVMGTVKDTFSGSGGGNTTTTATHDSAAATAGDYAEEGKAKAGGATDAAMEKAAETKDAAMEKAAETKDAAMEKAAETKDAAAEKVRGAMDEAADRARGVRDAAEDKALGARDAAADKASGMKDAAADRAEGAKEYAAEKSAGAEAEDEDVMLRVKAADQMTGQAFNDVGPMGEEGTGMPAMASLQKSHDERAQSAAEKAADEVAAARQQQGQHDAGGGILGGVQESARSAMDAVRGTLSSGGAAPSAAATTGTKGAASDRASWGVMDAARSAAERAREFAAEKKEGARQAMAGDAVARKGETDESAWQQGEDVRRRAAEKAQEEQRNTRQPSEAEKGRSATENIYGKARGAMGAFGEKMVMPTDVVEQKRAEAAGGDGKTSRAPRT >Et_9B_063762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12467502:12469817:1 gene:Et_9B_063762 transcript:Et_9B_063762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPLACVVWALVLVATALPPALCYVPNGGGAARRSTGARPRGAARTYIVLVEPPPPALLHGEDEATRHRRWHESFLKGSGGRRSPPRVRHSYTSVFPGFSARLTSKQLAAVSRKPGFVRAFQERRVPLMTTHTPAFLGLTPDRGVWTASSFGSGVVVGVLDTGIDATHPSFAGGATMPPPPATWKGGCAAPVRCNNKLVGAASFVAGDNATSDDVGHGTHTAATAAGAFVQGVSAFGGLGSAGMDAAVRDGVDVVSLSLGGPSMPLDKDPIAIGAFAVVAKGIPVVCAGGNGGPAASTLSNEAPFRAAVRLGDGETFEGESLTQDARFGSQAFPLYYSQGENYCDYFDAGSVTGAVVVCDTETPVPPTSSIEAVRDAGGAGVVFVNEADFGYTIVLEKFYNNLPMSQVAAADGAKIMGYAMKGSSKTAHTATIVFNSTLLGVTPAPTVAAFSSRGPSTASPVVSGTSMATPHVSGVVALVRKLHPDWSPAAVKSAIMTTSSAVGNDGRSIVDEEHRTATYYALGAGHVVPAKAVDPGLVYDLGVRDYAGYICALLGEAALKAIAGNINDAGLTCAEVANVTEAQLNYPAILVPLQAEPFTVTRTVTNVGPARSSYAAKVDAPKGLTIMVVPAELEFTEVKETKTFTVSVSGGDVIDEPLVEGSLSWVSKDHVVRSPIVADAGIAPTQT >Et_1B_011048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1730151:1731398:1 gene:Et_1B_011048 transcript:Et_1B_011048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLAHLGLLGRMLWRWEPKTLPVHRSRGHTISFLSYGGGGLSGEGFLAARSPNYRRIRSRKLLSAGLQATNIRLHRLTTAHVKSEEAAEGHPASTESANSDEESLRRDLETAIKDEDYALAAKLRDELRVLQEDGRSVVLAANARFYTAFKNGDLVAMHQAWAKGDHVYVVHPSTGRISGYEMVMQSWEMVCDADYEFPLQVDLQDVEVHVRGDVGYVTCLELVRTKGSSSWGKQVATNVFEKVDGKWFICIHHASHFDE >Et_6A_047640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:942406:945676:1 gene:Et_6A_047640 transcript:Et_6A_047640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAAASRLLLRRAPSPPLFNAAPTAAAYALLLHARPFSPPLPPPPRRPAQADAELEVTPAEARRLMRLVGVEALKQRLRDGQEEVVGYDDLLDACVEAGAARTHAEAEALARAMDDAGVLLLFRDKAYLHPEKVVDLVGRAVPLALESENDPRKEEFKQLQERKEEIDKLAHKQVRRILWCGLGFFICQVGLFFRLTFWEFSWDVMEPVAFFTTASGLLVGYAYFLITSRDPTYQDFMERLFSSRQRKLCAMQKFDMERYLELQKQCSLLCLVGGQIQHCFDRTE >Et_1A_006466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22868180:22870828:-1 gene:Et_1A_006466 transcript:Et_1A_006466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDKDIWPNPEKFLPERFMERTVDFRGGDFELIPFGAGRRICPGIPLAIRMVHLFKWSLPTEIERNGVDVAEKFGVTLVKAVPLSAMATPHLNDNNDLVPLFDKDTETVFPAFTGLWGAETKRFSLLCVQPIVRKLLRPKGSDLKI >Et_1A_005286.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:38271023:38271544:1 gene:Et_1A_005286 transcript:Et_1A_005286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFTPLIISNLKWLEICGCGSVAAEVLSEAARTKTTVLAGSCQLEHLRVDSIAAVLVAPVCSRLSATLRKLCFSFDETIERFTEEQEKALQLLTTLEELRFPGCSSLQSLPEVLHRLCSLKKLDILRCGKIQSLPKSGLPTSLESLVAIGCNNKLRIKLRELEESHPDLDIH >Et_1B_009784.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26689889:26690191:1 gene:Et_1B_009784 transcript:Et_1B_009784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLIKEGLLEESDTMFSEMEKRGCAPNSCMLNSVVRSLLLRGDLSRAGAYLVRIDENNFSLEASTASVLVSLSSKTEYRENMKSLPEKFHFLMKGSDG >Et_2A_017342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33404767:33407737:1 gene:Et_2A_017342 transcript:Et_2A_017342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLTRGQIIGDVLDPFTSSVPLTVMYEGRPVFDGMEFRSSAVLVKPRVEIGGDDFRVAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDDSFGRELITYESPSPTMGIHRIVLVLYQQLGRGTVFAPQVRQNFNLRNFARRFNLGKPVAAMYFNCQRQTGTGGRRLLLLTPFDNVAVCRALI >Et_4A_034199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30706580:30710234:-1 gene:Et_4A_034199 transcript:Et_4A_034199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASKHLQPSSKAGGDKKYQGTLVASPAKAISPKCVKNIVPSKQLILSGDSLGHVASFLVKVIALEAVRRVSKARCPFIWNSVQALQILVYPPFSWIQRWAPLKFVVQGIQKLSMPLLFLSVTTTLSERSSNRDDEPSSNTERPDSEAEETTSTSSARDVADGTKDIVSENWLVLLFRELEKQGITLPERLTEDELRRFYVAANGDFSCLLSSVKKTIRWRETFHILTLQELDKWSHLVFWHGFDTMLRPCLVIRLGLACSSIPPHDRPRFGQAVVSQIDHGVINLTNEEDPRITVLLDCHGISPFRFPMQMMRSFITVVQENYPNRLAVLFVVRLPPVVRVIAQTFLQVLKPSTKQKLRFEGDSYKKTLAEFLQIVPAFLGGKCSCSQCEKPRDSSAIQAGEGSKSQPGQISVDGGAPVTDLDFDEAEVPSPYSCEHAIRAAIIGLLMVCIFIAFLAGMNEPSSIPSSV >Et_5A_042900.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6505103:6506023:1 gene:Et_5A_042900 transcript:Et_5A_042900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASQAPASSGGRFWAEDSESEEVEDLGFADPYHEPKKHSALQEGLQSTEAEWKTVKRKDRRKKLDRRRPRGITWPWSKDRNPWKGPLPKARVSPMKTIGDLVMPELKRRSAGITTPVVGRSRASPDPEQARFQILKKPAPAGPSGTLVGSLMGRRCQGLSALRGRFPTRPSCVPSPIRNPHPTLRPSTYLQAAMVGGGGKGASSGGAGGDGGGERRRTFGFRGNRFMAGRGPERRSPSPSGRGDLATRGRGTNSRGCGRGNQAIHASRGDGHDRAAPQVNDRVAPRSDDRVNSHVNSNRPQMIVL >Et_3A_026895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25367502:25379048:1 gene:Et_3A_026895 transcript:Et_3A_026895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSPAAATVSVEYAKSGRSTCKGCGEAIASGALRLGTSVHDSRGLDSTKWYHVACFPAASHPLGLVESIHGFEAIKDEDREELLELEKKHKTNQTTVSPLEEPSPKKEKIQVSPAEAVSEKASVSVEYAKSGRSTCKVCNENIAKGALRLGASFHDPRGFENTKWYHVVCFPTSLYPIFPVENLKGFDLIEDHDREKLRELEENHKIDGNAADRLNEQNLNNEMVQQMGDSKDSTEENMEEVKNHKRGEATVGPLEEPSPKKLKEVSEEAVISVEYAKSGRSTCKGCNENIANAALRLGASFHDPRGFENTKWYHVACFPISSYPIFPLERLEGFDSIELLLDDSKYSRTGTATAEGTAAARCPTWPPPLSSRPSINRLAPATALSIPAPRGPPPPAAQNPSNSPIPSGRLPCPPRMSEPFSALARNPSLLLLPSVVRAARAVMSTSPAAATVSVEYAKSGRSTCKGCSAAIASGALRLGSSARNPRGYDSTKWYHVACFPSASHPLGPIESINGFDAIKDDDREKLRELEKNRKRGAVEVGPSDEPSPKKVKGVPEKASVSVEYAKSGRSTCKGCNENITKGALRLGASAYDPRGYDSTKWYHVGCLPASLYPVFHVENLKGFDSIEFILLMENNAWIYWEMQNEDREKLRELEENHKSDCNAADRLNEPNVKKEMVDNMGNSKEGAEQSLEEVKNRKRGAVEVGPSDEPSPKKVKGVPEKASVSVEYAKSGRSTCKGCNENIAKGVLRLGASAHDPRGFDSTKWYHVACLPASLYPVFPVENLKGFDSIEFILLMENNVRIYWEMQNEDREKLRELEENHKTDGNAADQLNQPYLKKEVVANLRDSKEGAEQSEEELSAENKGIGSLIPFSASDVKNVYKDATLPAHWKAFETVIFREKEDGLNASAKIAAFDFDGCLAKTSMRSIGADKWSLQHKSIPEKLQSLYNDGYKLVIFTNESNIERWTKKRQVAVDSKVGRLDQFIECVKIPIQVFIACGSGKDQYRKPKPGMWWLMAQHFNSGIKIDMDQSFYVGDAAGRENDHSDADKEFAKAIGLKFYVPEEYFAAGSN >Et_2B_022805.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5628816:5629004:-1 gene:Et_2B_022805 transcript:Et_2B_022805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQTSISAEDVPYEEEVLRDPFRLGAWLRYLSARVTAPSAIRYAIYERALPGSYKLWHTRT >Et_8A_057814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7599823:7602909:1 gene:Et_8A_057814 transcript:Et_8A_057814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFRPGGGRQATVLVTKADGVSLRFTKTLISTMYRRLQHERAESRPPWTWTGRLMKYDQQTNSVTVLQMSGPIWSGQPHARSKDQQGWIQLMRGPKGVRPREVMERKDDKLYKMGGSPIFLYLAGTRALPRAIIIEENDCHVVRHRHDSSLHRLASLLVYPNNAPDRLHGHVVVVERVEQLLVHVLWELLQERFGHDAVGERAEPGDAQGLGVRNLLREGRALIDLQVEEPLPSDGGRRHVVGARHRPPQRHAGGVADVVREQPVGGRAQDEAAAHRVVARPLPRRRRCPIAAVDAGRRRRRVVSEQVRDAGGVEGEEHRLLPPRVTVGAAQRLHSAQRRRGSSNNLRDRESRCAKQSREEQRLH >Et_3B_028401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14758535:14765260:1 gene:Et_3B_028401 transcript:Et_3B_028401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAATGGGVVKHILLAKFKDEVTPERLDQLIRGYAALVNVVPSMKAFHWGTDVSIENMHQGFTHVFESTFESTEGIKEYIEHPEHVKFANEFLAALEKTLIIDYKPTSTQQAIVQIFTVAPQQRFIRWSPFSGDERITGTDASAYFAVAIKRKVKTEMTGNSRGKKQPAMAPDEALHLAETFRPRLPYNVPILPRPEHLVVHVEHGHIHLRRPLCSPNDEHDLRPLLRQQRRRPREEARQGLAHGCVEGEEDAERERREESRGGRRRGVLVLDDEGEAEEERGEAGRQRGGPARGDEHVRVEAAEVERGGQHRAREAARDGRERVGRGGGGGGLERRGRGAEDEGAGARQRGVELVGERDRRVDAAARGAAREGDAERVGGVGVGGGGRCGGRMREAAAAKARAGRRGARGDAEV >Et_4A_034665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5251608:5253480:-1 gene:Et_4A_034665 transcript:Et_4A_034665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIDTRPLESVREAVTLFEPRIDQLNRFSPDRNEDEIITVTKELATCKLQLEVKESQAKQSFLKIEALEKAVQELSEKHDSHVAQLEAENSMIRSQQSSTADECAALRGELAVVKSELDAAKASVALVLKEVETMEARAIMERESTKEALIRILQLNETVLSSAVAAIRAEEERSVFFQQVTLEMFNSDKNLEVIRRQVEMMEGMERELLAKTIEIEYLRSELNQAKEKYVSSQEVSDAAGACNDMEERQVQVCETSVRDQGPEAEFTFQHAPEECFVSEIFRNGCQVIPSDGVLKVSEDVAEQQAEATVQDTPVFEGNSDAQTDGKMIEAESDQDAAEADGILPGSTACEVKLLQDQEDPKGDASFVLESSRDDFQSVRSDGKDISVTMPEHVAGNQNQGAAEAAGTCDVVATENVFKDEDEFYTKELDAEQGNKLDGYVLVAKSADADAAKDSKLLDAARAEISDLRFSLEEAVRRAELAEEAKAALERELREEIRNKHPSRRRPAAVSSDSEDAARRPAPVREGGAPPTPLRPPRPTPAQTAAGTPTPRSARPGGEDLPTPRCVTLGRVLNMKYK >Et_7B_055835.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5611581:5613206:1 gene:Et_7B_055835 transcript:Et_7B_055835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEPISLVGPTPADLESTAELEKLLHEAGLYESPEESAVREAVLRDLQDIVDRWVKQVTFQHGYPDAMVDEATALLLPFGSYRLGVHGRGSDIDALVVGPSFVDREEDFFGGLGAALAEAEAVTQLQPVPGAHVPVIKMRFRGVQVDLVYASVGLPVVPRDLDLGDRSVLRGLDHATARSLNGVRVADEILRLVPDPAAFRTALRCVKHWARARGVYSNVAGFLGGVSWAIMVARVCQLYPNAAPGMLVARFFRVFSQWRWAAGPAAAAPPMPVMLRDIEHDAELGLPVWDARTNPRDRAHLMAVVTPAYPCMNSTYNVSQATLRIIREQIAAGHAACQEIAAAGRGTGWAALFRPFQFFRAHKSYLQVDATVAGGGEDELREWKGWVESRLRQLVAKVERDTAGELLCHPNPRAYDAEPRGSQCTSSFFVGLSTKHQQQPPRRPEQPKFDLRTTTEEFTYDVYKYEFWRPGFVVTVTHVRRKDLPSYVIQKIRANGQELKRKRGEGGSSPSSSSSSSSPSGDEDSGPARSSSRAKLNPT >Et_4A_034544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4185105:4189972:1 gene:Et_4A_034544 transcript:Et_4A_034544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGKVSPITAAGGNGLPQSPGSVCCMCGDRGLLPELFRCSACSVRSQHTYCTDRYPKAESYGTCNWCLRADGGRTSTSNSPRSAGKATGRPAAHGDTNGGGGGRSPKVAARGDFASSNLSKPIKKQQQQLLQQRLVLRRSASDLGSRVRADHNAPPSPGVARGRPRVRRYKLLEEAQLCINLAHLPELNVFNFATMSHCFDACTSCDTMCPYNPGIDQLQRQIMAAPAVDLGRAMR >Et_3A_023033.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:19669820:19670083:-1 gene:Et_3A_023033 transcript:Et_3A_023033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWPVLLLHLTPLQWQQSWPFHKARSFCGSLVMPTLNESSASRSFAMHPTLVRCAYTVVAARISRRQEIAAASMDTGAARMCCFWFS >Et_7A_052416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7860825:7866296:1 gene:Et_7A_052416 transcript:Et_7A_052416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDRGVSAAAGGAGDRKRIGEPMERSSPSTSWGISGGREKDRIAAGKPPDVPRYGGGSTSMSKSKLSDGESETDSEESDVSGSEGEDTSWISWFCSLRGNEFFCEVDEEYIQDDFNLCGLSNQVPYYDYALDLILDVESSHGDMLTGEQNELIESAAEMLYGLIHVRYILTSKGLAAMLDKYKSYDFGRCPRVYCSAQPCLPVGLSDVPRSSTVKIYCPRCEDIYHPRSKYQDNIDGTYFGTTFPHLFLMTYPHLKPQKPSQQYVPRVFGFKIHKP >Et_2B_019595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10765564:10766959:1 gene:Et_2B_019595 transcript:Et_2B_019595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEEMRKAQRADGVATVLAIGTATPPNCVYQADYPDYYFRVTKSEHLTDLKNKFTRLCQKSMVRKRYMHVTEELLQENPNMAAYSAPSLDVRHDILVEEVPMLGAEAAEKALAEWGQPRSAITHLVFCATAGVAKDLAENNRDARVLIICSEITAVTFRGPCATHIDSMIGQALFGDGAAAVIVGADPDEAAGERVLFQIVTAVQTIVPDSDNAILGHLREEGLTFHLQERVPDLIGMNIKGLLETAFKPLGISDWNKIFWVAHPGGPAILNMAEAELGLDEARLRATRHVLAEYGNMSSACVLFILDEMRRRSAKQRLATTGEGLDWGVLFGFGPGLTAETVVLRSVPIAAGNTN >Et_8B_059227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15656540:15657797:1 gene:Et_8B_059227 transcript:Et_8B_059227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSRSGAMDVSLNIPAPAASMETMSEDRIAIMISPRRAALLKSSSNKIMPLGFQNETPRSHPDPGFARRVAFPLIKKVVAELLGTFLLVFIVLSALIMNETHDGALGLLGVASTAGLAMVVIVSSLAHVSGGHLNPSVSVAMAVFGHLPAAHLAPYVAAQLLGSIGASFAAKALYNPVNNLASTITTVPSIGGTEAFFVEFITTFAFLFVVTALATDPKAVSQLVAVGVGAAVMMSALISGKSTGASMNPARTLGAAIATGTYTKIWVYMIAPPLGAIAGAGAYTVLK >Et_2A_015811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17985514:17990814:-1 gene:Et_2A_015811 transcript:Et_2A_015811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVSVDEKLEKLSAEVAKLDQISENEKAGFISLVSRYLSGEAEQIEWSKIQTPTDEVVVPYDTLSPPPEDLEATKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGCSVPLLLMNSFNTHDDTQKIVEKYSNSNIEIHTFNQSQYPRIVTEDFSPLPSKGKSGKDGWYPPGHGDVFPSLSNSGKLDLLLAQGKEYVFVANSDNLGAIVDMKILNHLINNQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEAEALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDKAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNPARTNPSNPSIELGPEFKKVANFLARFKSIPSIVELDSLKVSGDVWFGSGVTLKGKVTITAKSGVKLEIPDDIVLENKDVNGPEDL >Et_3A_025917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3416113:3434409:-1 gene:Et_3A_025917 transcript:Et_3A_025917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFFPNFDALSSYLPSTIYCMFGGHAENEPLLRRILLLHPNSFLDVLPIVGPCYVGKATLVEHVCMDEEGVNNVTSDIGNDNRKKLGPDDGRYLIFVELGKLYQQNGSGFEFGTVEGVSLARFHEEEYWYFFRVLAFGSANPYDHHPDLASIGYEIATEINGYFTMKNIITKMLRNNMNRQFWRRTLWCIRKSMHAWYNHNFCTQTMNIVLSAVLSDLTTRFISFITDKIKSYAAARDNEIPRLQRLLLRLSTIVMEAEARRVTNPAMLLQLRQLREAMYRGFYVMDTARTPGSRPNQRVTVGNYKLQSDMDNLEAMLDGMSEFLLILMHCPPIIRQPYSAYMFMERCMFGRQAEKERIINFLLHPCSFLDVLPVIGPCYVGKRTLVAHACRDETVQRNFSRILHFSRDDLNDLVVADKHKKLCLSDGSANPYDHHPDLASIANEIASWINGSFMVAHTITSVLRADMTIQFWRRVLRSIRKSVQMHILVYGEDPRDRHCSKRSLSYFHTWSHDGPLLFRFNRYTTKSSMLGDISGMIKTEDVLNARAVKCGEKFNIIHQSHIPPFYSYIAYCAVERPLKHLKEAMYRGYYVLDTFGADQPCRPKRGFEVISQCKLQSHMDNLEATLDGMKEFLLVLMHCPPVVRQPYDSYLFMENSMYGRRMEKECIISFLLRPCSYLDVLPVVGPSYVGKRTLVEHVCREERVKRNFSNILHFRSDDLQNINLVNDGAMDDCRNKLCASSGNFLIIVELVQDTDEVAWDKIHNSLRHRTGKAILISVKDRVSRLGTVQAIKLTMLHQQEYWYFFRVLAFGSADPFDHHPDLASIGKDIAAELDGYLMLTIVVTRVLRANMNVQLWRRALRYIRRSNQMRILVFGEDPMDISKRRFCPYFYSFRDVASLIFCYNRYEVEEGSMMQGDMANAVMAEDVLHGKVMNHGEKFDIVTQSPMPPYYYYVTNCIVEKQVNTGNKSLKRKRNKKSSFI >Et_2B_019947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15542395:15549527:1 gene:Et_2B_019947 transcript:Et_2B_019947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEPVIIHEKSELFASLLERQAREYSERTNILPSSYCIFSAASRHELVLHFEAQPPTFQKKKHSRKFAPMSPISICAAALLLLPALCISDDRLVPGKPLSPGTTLISDGGEFALGFFPPSNSTPDRLYLGIWYNNIPRLTVVWVANRKAPAMSSSAPSLAVTNTSNLVLSDANGHVLWTTNTTTPANPTPSPRPNATGAVAVMMNTGNLILRSPNSTVLWQSFDHPTDTILPGMKMWRSYKTQDGSRLVSWNSRDDPSPGTFPFTTEIDQLPQTFVWNGSHKEWRGTVWTGFTVFTQYFQTNASFFVYWQYLDTTEEVSSAFTLSNGAPPWRIVLSYSGGLESQTLYLRVASFNGERKKANGVKIILPVLASTIVVFCILLIWMFKFRGTKGNVKRDNKRLMHGGLTTSDELPEANKTLDIEFPFLNFQDILVATNNFSKTFMIGQGGFGKVYKGTLEGGQEVAIKRLSRDSEQGTEEFRNEVILIAKRQHRNLVRLLGCCIEGEEKLLIYEYLPNKSLDDTIFKMQPLDWPARFKIIKGIAKGLLYLHHDSRLTIIHRDLKASNVLLDSDMRPKIADFGMARIFGENQENANTRRVVGTYFFSPLPTLMDRPSSPYIAVLLLVISWTLCAADDRIVVGKPLTPGTTIVSDGGAFALGFFSPPGSNSSSLYLGIWYNNIPKLTVVWVADQASPITADHPSSSSSTSASLSLTNASNLVLSDATGRVLWTTNVTVDGAASPPSSPADSSPLAVLQNTGNLVVRSPNGTALWQSFEHPGDAYLPTMKIGHTYRTHYGVRLVSWKGPGDPSRGPFNFGADPDRPLQLVIWNGTRVHWRNSPWTGYMVDSNYQKGGGRSAIYRAVFNTDEEIYAAFTLSDGAPPMQYTLTSAGDLELQSWSNESSAWNTVIKYPTRPCSAFGFCGAFGYCDNSTDVLKTTCRCVDGFEPASGAEWGRGNFSRGCRRSRPVRCGDGFAEVHNMKLPEGYTLVPNRSSEECAAGCMRDCSCVAYAYANLTTSAKKDSTRCLVWAGELVDMEKVVGSWGDFGETLYLRLAGAGRGKKRNAVRIVLPVLLASVLIPTCIFLCLPKFKGTVLSKRLIHLVP >Et_10A_000016.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:1415422:1415877:1 gene:Et_10A_000016 transcript:Et_10A_000016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QIAEFQAAGEAARGGEEPGRDGLSGGALDLDGEAAESRLMGFKSVADAIGLTSAREAELREERPHAVPAAGEDAGLAGGGGVEAEENEDQNVVGERAEAVHAGVGSVGERGIWALGGDLAAAQLHGMWKGMDRWGSAVLVLGRTDGHGRHL >Et_10B_002365.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:13894483:13894803:-1 gene:Et_10B_002365 transcript:Et_10B_002365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPLQEEPRPYSRRPSTTIRGKEEGAMKPLEAQSQMDEVITVQAGKANKLLHTSKHKTTISFQFNSLPQLASYCCNSVDGVVWGCLSGDPVYYSIGPGHIGSTFS >Et_1B_013908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23465301:23467495:1 gene:Et_1B_013908 transcript:Et_1B_013908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKLISQLNVGHMKTVLRGFFLDSVPVTGSRRRRRRDELRLHSAQAHAAVSVAQLAAAVAGIVSVCELRPAAASDDKRLGPVLASAAALVATVCAEAAQTAGANRGRVTSAVRNGLDSRSSAELLTLTATAATCLRGAAALKLRAADLRGISSGGSSNAVMAMSISAGIQKGTTLRVCLPCGRVCVRTVAVFPQRGGGGAVVLRLGKKRLRGAFATYKDCK >Et_8A_058100.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19829326:19829856:-1 gene:Et_8A_058100 transcript:Et_8A_058100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAAHDDEEVLRHQEAPGLPQQRRRRGRASSEYLGVRRRPWGRYAAEIRNPVTKERHWLGTFDTAEEAAVAYDLSAIAIAGHAAARTNFTYPSGATLQLPEQEYGPVTPAAPPPSPLSEGSGYGSTVDDDGFCQLYAGAEVVAEADDDESLTIAAILQSFQHQSALPASPASLY >Et_9A_063070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9644443:9647269:1 gene:Et_9A_063070 transcript:Et_9A_063070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKPSAFPKSVLSVRRLLRRSLSGRHYRRTTSATGKTPVAPPQDKLHDRTVVVDVEGWLMRSPLSTFPYFMLVAVEAGSFLRGLLLLLLYPILLCLCLLGHDLYLKAMVMVSFFGLREKEVVRISKAALPKFFLEEVAMEGLEAFKKAGKVVAVTTAFPKVMVEGFLKEYLDVHDVVGREIAVVAGRYVGLLEEKETSMERVGGLLEEMDEMKSKGDGAVGLVMMGSRLHHVFSQYCKETYAVSDFDKKAWQALPRDKYPKRLVFHDGRLAFKPTFFAAVAMYTYLPWGIFLAVFRSVAFGLLPYRISVPLGAFTGMRSRLIAGPRPDAAANKNNKAEGRLYVCNHRTLLDPITVAAGLNKPVTAVTYSVSPVSELIAPIRTARLTRDRDEDRRRMESLLARGDLVVCPEGTTCREPFLLRFSPLFAELAGEVNPVALETRVDMFYGTSTKPTAKWMDPFYFMMNSRPEYRIEFLERVVTTLDEGEDGGHGHSIRVANQVQRVLADALGYKPTELTRKDKYMVLAGNEGVVMKGDAKK >Et_9B_065895.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:16953441:16954058:-1 gene:Et_9B_065895 transcript:Et_9B_065895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTGPRPSAQQHSRISAGKAASQHGASCAAVPAEVARHHEHAARAGQCCSAVVQEIAAPVAVVWSVVRRFDRPQAYKHFIRSCRLVDGDGDGAVVAVGSVREVRVVSGLPATSSRERLEILDDERRVLSFRVVGGEHRLANYRSVTTVHEAPCGAGGTLVVESYVVDVPPGNTAEETRTFVDTIVRCNLQSLARTAEQLALALA >Et_5B_045126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9844568:9846159:1 gene:Et_5B_045126 transcript:Et_5B_045126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFNCRNPPVTMPMLSLLIIILLLLNSHVALCNCYKRIFGLGDSIIDSGNYVHLVGNSSSKYKEPPYGMTFFKHATGRMCDGRVLLDFYAQALQLPLIPPNLPENASGQFAHGANFAVLGATALPPSIYKILNHSVSTPWCLGVQYGWFEDMLERIAPGDGARRRLLEESLIWIVQWGAKVAVIPNSFPIGCFPLFLNKFRSHEPKDYDEHGCLRWFNDFALAHNIVLLHEVNRLQGQYPGVKLIYADYYNATMELIKNPGRFGIDDPLVACCGGDGPYHASADCNNKAKVWGDPGRFVSWDGMHMTEKAYNVIAQGVLRGPFANPPLLQNCSN >Et_1A_005007.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22075964:22076029:1 gene:Et_1A_005007 transcript:Et_1A_005007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNDGTRTKLYKVHNSPLQP >Et_2A_018176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16952025:16955251:-1 gene:Et_2A_018176 transcript:Et_2A_018176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASLLLGRKGPSGFSWSSTAEEVTTGVSAAGLTAIVTGQFLLHLQEQGKGNISKSSPVFQSNPGASSGIGAETARTLALRGAHVVMAVRSLPAAQELRDAVLAKAPEAKLDVMELDLSSMASVRAFASEYISRGLPLNILINNAGVMAIPFALSKDGIEMQFATNHVGHFLLTHLLLDTMKKTSRESNVEGRIVNVSSEGHRFAYKEGIRFEKINDESVYSSIGAYGQSKLANILHANELSRRFKEEGVNITANSLHPGAIITNLLRHHSILDVIHRTLGKLVLKNAQQGAATTCYVALHPQVKGVSGKYFSDSNVYEPSEKAKDMGLAKRLWDFSIELIT >Et_3A_024781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24078243:24082012:-1 gene:Et_3A_024781 transcript:Et_3A_024781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDTKKKVEATVLEILRGADMDSVTEYKVRSAAADRLGIDLSVPDRKLFVRGVVENYLRSLPSEEEEEQQQQDGTGEEGKDKQEEEEEEEEEEEEEEEEKGGKKKREYDDQGDLILCRLSTKRRVTLSEFKGRTLVSIREFYIKDGKEVPSAKGISLTVEQWEAFRNSVPAIEDAIKKIEED >Et_1B_012079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28711767:28712965:-1 gene:Et_1B_012079 transcript:Et_1B_012079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRALLLVAVAAAVAGLAAAGNFQTDCDIPWEPQNAWFSNGGNSLSMKLVSNYSGCMLRTKKQFVYGTVSTLIQLVPGNSAGTVTTYYASSVGDNHDEIDFEFLGNETGQPYTIHTNLFANGFGKKEMQFKPWFDPTTGFHNYTISWTPCMIVWYVDSVPIRVFRNYQDRGVAFPTSRPMFAYSSIWAAEDWATQGGRVKTDWSKAPFIANYNGINLDICECYSYGGCSTGCSAAAARSRGPCQLSSAELGQMQWVQGTYRIYDYCVDPKQWTNGQRPVECGLEQY >Et_7A_050194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10679842:10680681:1 gene:Et_7A_050194 transcript:Et_7A_050194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GLGVIGREHLSDDLLDVERLVAALVLRQRRDEGRARHVEDAVPVDAAEEGVRLDGARGDALGGVLLEEARDEVAQRRLLADELRLLGEDAVEGLLAVLPPERRAAVEHLVEHHAQAPPVDGEVVAAPGDDLRRHVVLCPHERVRLRGRPRAGRGHGGRGRRRGGGRPRGGRRRQRVRRLRAAAGAEWEAQGGRLPRLPAARGRRGGRWRWFGRSLRRVRLLRRRREPRGEVEVDEHDVAAVLDEDVLRLEVPVHDAEAVQVLERQHQLRRVEP >Et_5B_045412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21508114:21509922:1 gene:Et_5B_045412 transcript:Et_5B_045412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPQRVIICGGGVVGASTAYFLSTHATAPTVPTLIEKCAPACAASGKAGGFLAFDWCDSDPALSALARASFALHHRLAATLDGATAYGFRHIQTLAMCVPDPGPHPLVPSRALLDPAAASPHPPLPDWVDPAASAAPAREVGAPETTAQVLPGLFTKAVLAASGAEVVISEVERVVVRDDGRVAGVAVKKGRDGAVVVVDADAVVLVLGPWTGRLEVARELGVSGLKGHSVVLRPREPEKITPHALFLTYQPEPGAETLAPDVFPRPDGEVYIGGLSKYEDAPDDPAAITAEPDLIAMLHKVAGKVSRHLKTENGAEVVAEQACYRPWTADGLPIIGEMPGVKGCYVATGHGRWGILNATATGAALAELIIDGEAKTVDLAPFSPARFINRDLRLLLNSVETSTSKEHLSEVKSATVSL >Et_2A_014865.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:19132842:19133216:1 gene:Et_2A_014865 transcript:Et_2A_014865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAVLRVPPAPIPPRLPTPALSLLLCRRGLGIRPEPPMASASASASSSDAVAPKPEPAPVPQPPEKPLPGDCCGSGCVRCVWDTYYDELEAYNKALAAHSSSSSTESGGKVSSDSKAGDDAKS >Et_2B_020037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16287931:16291824:1 gene:Et_2B_020037 transcript:Et_2B_020037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKTRGCCGWLIVALVAALVATAAMFAIMKRKPGSRHLKPLPVPGPPGAIDSKYGDALGVALQFFQVQKAGKLENNKIPWRGDSALDDGKEAGLDLSKGMYDAGDHIKFSFPMAFTATVLSWSVLEYGDQMSAAKQMDPALDALKWITDFLINAHPSDNVFYIQVGDPDLDHNCWERPETMTEKRPLTQINKKSPGSDVAAEAAAAMAAASMVFKSINTTYSDELLQHAQKLFTFADTYRGLASDSYPKLQNYYNSTSYVDELLWAASWLYHATGDQTYLSYVTVQYGKDYANWGKPTWFSWDDKLPGTQVLLSRLNFFGSKQISNAENEGLKNYRDTAESVICGLLPESPQATASRTGGGLVWISGWNSLQHAANSAFLAVVYSDYMLSSRTAAVQCSGKYFSPTDIRNFAISQANYILGDNPMKLSYLVGYGSSYPQQVHHRGASIPADAKTGCKGFQYLHSHDPNPNVAMGALVGGPFQNDSFVDSRDNAVQTESSTYNSGTLVGLLSGLVTTSSVAQSFT >Et_7B_055827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5439101:5440308:-1 gene:Et_7B_055827 transcript:Et_7B_055827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYSSNFSLALLASAAIASLGITCSGLQFSYPSFDKANKADFSFSAGSGIKDGFLQITPMTGGITDRSGRVCYIRKTLNLWDSRQKHLKSFRTDFVLNILPLQQNTTGEGMAFILTNNPSVPLNSSGQWLGIANEQTDGSPMNRIVAVEFDTRKSYEEDIDSNHIRLDVNSIKSVAQDPLTIDGGRFSPPGFH >Et_9B_063871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18532165:18532782:1 gene:Et_9B_063871 transcript:Et_9B_063871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFTARVVDYFVEVIKQQHGIDIRHDKMALLRLRTECERAKKALSEHEETIVQVDSLVDGVDLSAPLTRAKFEELNHDLFERAMEMVSWGRSRAEERKDLVDEIILVGGSARIPKLRQLVKDYFHGREPNRGFEPDETVIHGAMLITLPEAAGYLEECYHPWHWGPPILTRPPLSDSQK >Et_6A_047576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7526408:7534069:-1 gene:Et_6A_047576 transcript:Et_6A_047576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITYTVYFNLLTEQVKRNKEMVENGVIEQPTVGILALGTEIKERRNKVGAQETKRREIEIEVYWEERKEKVDPGRLPVLTWEHKLSQVGRELPSFRLTWREIRQLAGIGFRLGRHILEETSKGRIAVIDPMKKRIAKSGQGVPLGGIGAGSIGRSYKGDFQRWQLFPGTCEDKPVLANQFSVFVSRQDGKKYSTVLHPGKPDLPKGSDISGIGSWDWNMSGQSSTYHALYPRAWTLYDGEPDPDLRILCRQISPIIPHNYQQSSYPVAVFTFTVTNSGDTDADVTLLFTWANSVGGRSELTGYHSNSSMITANGQPPVTFAIAAQEKENIHISECPYFVISGSSDEFTAKDMWNSVKEHRSFDHLDPMKTPMCSREGSSIGAAITASVKLGPKETQNVSFALAWACPEAKFSSGKTYHRRYTKFYGTDGDAAASLAHDAILEHTSWERQIEEWQNPILQDKRFPAWYPITLFNELYYLNAGGTIWTDGLPPIQSLTAIGGKKFSLDMLNGEPDDANEMVPQNNTASDILHRMASVLERMHASMASNSAIGTTLLQDEENIGQFLYLEGIEYYMWNTYDVHFYASFSLIMLFPKLQLSVQRDFAAAVMMHDPEKLRILHDGKWAARKVLGAVPHDLGLYDPWFKVNAYTLYNTDRWKDLNPKFVLQVYRDVVATGDKSFARAVWPSVYMAMAYMEQFDKDKDGMIENEDFPDQTYDVWSMAGISAYCGGLWVAALQAASALAREVGDKASEKLFWDKYEKAKSVYSKLWNGSYFSYGDGDNKVSTYAKACGLFPIVDKDKAQSALEKIYSFNVMKFKDGKRGAMNGMWPDGTVDMSAMQSREIWPGVTYALAATMIQEGMVEQGFKTAEGIYHAAWSPEGLGYSFQTPEAWNNDDEYRSLCYMRPLAIWAIQWALSNPKLHSTPQTDIPDGSFPKNQFSYARIAKLLQLPEDDSSKSFVRVVYEIIRNRFTS >Et_10A_001810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8666974:8678847:1 gene:Et_10A_001810 transcript:Et_10A_001810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVEDRGGAVALMLASLFLLGTWPALLTLLERRGRLPQHTYLDYSVTNLLAAVVLAAAFGQTGESNPDMPNFFTQLTQIEDNWPSVLFAMAGGIALGLGNLVAQYAWAFAGLSVTNIICASLTVVLGTTMNYFLDGRINRAEILFPGVVCFLLAVFLGTAVHSSNAQDEEEKLSVKSRGVELSSDVAYTDKEPDHDDYEDDKTSSEARPGTAEFIIQVEKIRSIKVFGSNRFLGLGLVFFAGTCFSLFSPAINLATNDQWHALRKGVPHLVVYTAFFYFSVSCFALGVGLNLWFLYQPMAGVPASTITAYARDWNGRHWALLAGLLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGVILFGEYRRSSRKTYILLTAMLLMFATAVVVLMASAGHREAYNANAKFIQLREAKKMYLVKDIGGAIGLMGVALVLLGTWPVVLAVLERRGRLPQHTFLDFSITNFLAAVLVAFTFGQIGPDTPETPNFLTQLTQVRENWPSILFAAAGGVALSLGTLATQYGWAFVGLSVTEVMASSLKVVIGTTLNYFLDGRINKAEVLFPGVGCFLIAAILGSLVHSSNAADNQEKLAKSMANYSNNARNSVNGELTKHLLEKDVPKDPEAAEPDVAQATQNVEKVEAGTAEFLVDLEEKRSIKVLGSHTFLGLGIVVFAGVCYALFTPAFNLATNDQWHTLPSTTPHLVVYTAYFHFSLACFACSVSLNVWLLYRPMAGVPRSSLRAYLADNGGGHGGARWLALLAGAVNGFGNAFTFMAGQAAGYAAADSVQALPLVSTFWGVVLFGEYRRSSRRTYTLLGSMLLMFVVAMAVLMASSNHRRPL >Et_3B_029391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24672626:24675080:1 gene:Et_3B_029391 transcript:Et_3B_029391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPRVPTAVHHWPEGGQHGASAWADDFAEFAATRRGAHRRSLSDSVAFVEVAPADEFDRLDDDQLMSMFPDEAGGSSAPASENGFSGSGSDSDGDKRAAAPGKELFDDERNNGGDAAKDSAQGQDAAAAATAAASTELIRDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQNEVSVLSPRVAFLDQQRTILTVGNSHLKQRIAALAQDKIFKDAHQEALRKEIERLRQVYEQQNLKMSAGAGASEHGPPPPVRTEKELMS >Et_2A_014582.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24994828:24995262:-1 gene:Et_2A_014582 transcript:Et_2A_014582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHLRQPSLPLVSFHGEPPAARRQASRPLASLHGHAALDTLDLRRPPSQRQPVLRFDDYSYHRDFSVHSSCDGLILLELSDRRFYLCNPATRQWTALPALTGADGVALYPHVSSGEYRVIYWKGR >Et_3A_023910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14503577:14508111:1 gene:Et_3A_023910 transcript:Et_3A_023910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSAAATVDGSALAGRALAAAGARHMFGVVGIPVTSLASRAAAAGVRFLAFRNEQSAGYAAAAYGFLTGTPGLLLTVSGPGCVHGLAGLSHATANAWPLLMVSGSCAQADAGRGDFQELDQIAATKPFAKLTVKATTIADIPRLVFQALAAAVSGRPGGTYLDIPSDVLHQTLPESEAAALLAAAAADSTASASSHPKHKTLDGGIEKAADLLRRAERPLVVIGKGAAYARAEEALRKLVDTTGIPFLPTPMGKGVVPDAHPLSATAARSLAIGQCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDVCEDEIELRKPHVGIVGDAKRVVELINKEIKDNPFCLARSHPWVESITKKATDNVLRMEAQLAKDVVPLNFLTPLRIIRDAILAEGSPAPIVVSEGANTMDVGRAVLVQNEPRTRLDAGTWGTMGVGLGYCIAAAVAEPERLVVAVEGDSGYGFSAMEVETLVRYQLPVVVIVFNNNGVYGGDRRSPDEITGPYKDDPAPTSFVPAAGYHKMMEAFGGKGYLVETPDELKSALSESFRARKPAVINVIIDPYAGSESGRMRHKN >Et_2B_022129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9546857:9555697:-1 gene:Et_2B_022129 transcript:Et_2B_022129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDFIELSSDDDDDNDEIVRKEPAVREQRRSVQVKEEFVDLTTEDVFEALHDVNGHGDAPGDTISQSGGSDAKDGQSEAAQCKQEFVVDQQGDATNCTTTPQMLESTADDGQDNAAHLTTTTEMQESVSDDRHICTKTVHMQVNIVAVGSTQDALQSGHAAEATRSLSGTQQESHRSTSFLNFPPGLTAPPFPRQFWKAGEYRIAARAAIDGGQNRLRIHPKFLHSNATSHKWAFGAIAELLDNAVDEIHNGATFVKIDKIKHAPDGGYSLMIQDGNGFKTSTMRLGADVIVFTCRQDNDNRRLTRSVGLLSYTFLMSTRCNDILVPAVDYESDASSSTFKRIMNYGEKHFFSNLSALLKWSPFSTEDELLNQFRGMESHGTKIIVFNLWFKDEREMELDFRTDDKDIMISGAPPIRARCGTIQLLKQMHIANRCRYSLRVYSSILYLHLPENFRIILCGRDVEPHHIANDLIYRECIKYRPQVNELMEVDVITTIGFLKGAPRLDIYGFSVYHKNRLILPFWPAGSYNMKRRGIAGVLETNFIRPTHDKQDFEKTGLFHRLETRLKDMAVETHHCQLVGYAPATTRVPPSYYVSTADNNDDNLASQAAANIYNYDTRARASVALHPCSNGVNSQDPFHVAASADQMDADACPSTLYISYPSMILDIFLISILDSELCKRRNPEVTYWRAQKRQKNHASQPGSEDIAEVDEEESRVIVAQNTRLKAQCSELEAAAPHLVSKEHGPRAL >Et_1B_009815.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:29349323:29351390:1 gene:Et_1B_009815 transcript:Et_1B_009815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EKRGRGEREASTRGNGSGEAGETPPHVSAPSPSSAPSPAHEPIPAAESSPDAVRIGGGGGGGAGMEGDHEKRRRVGGGEEDRISELPEALRLQILSLLPLKSAIRTGALSSRWRGLWEQRWPDPSSLFFRLPLGASAAARGEPLATIDRRGRRRTDCFSLAFHSGQLSQPDLKRCVDYAAACEVEDLHLRLDGGGGRGSRGGTRRPGMLTVHFPIGSPLLARLSVRGINLTASANAMVGTLEVIHLHSVPLTDAALRRVVGACPRLRELELRYCRRLRRIDFTTVGAGNLRSFTIVDCSRATELRVPVAPKLRSFRFSGAFLSSNILCGSATGTLEHLYLCSGGPETGLPPTNLPSTIPRLSNLTVLTLCSIALQVCSGISVFLMVHSIAFALIHVPPV >Et_1A_005778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13919214:13922418:-1 gene:Et_1A_005778 transcript:Et_1A_005778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSVPSRASYTIGYAMLPNKHDTFIQPSFLDVAAKHGIRLVAVDASRPLVEQGPFDLVVHKIYDPAWRAQLEAFAALHPDVPVVDPPAAIERVLDRFTMLDVVAGLSVASAAASPSVGVPKQVVVHDAAAMDDPSSAAVAGLRFPLIAKPVGVDGSAASHDLCLVYRREGLRGLDAPVVLQEFVNHGAVLFKVYVVGDRAVCVLRSSLPDVADERLRDAAADAAEPFANISLLAPPAAGDVEMPPAEFVGKVARELRRALGLHLINFDLIRANDDGRYYILDINYCPGYSKMPGFEAVFTEFFLEMLRARPVPDEQPCLASGREVDAPKKGLESITGPLKAELGQLNYSYFILRAAELSAKLQLFKLQRWCYEDDH >Et_10B_002954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14619223:14619762:1 gene:Et_10B_002954 transcript:Et_10B_002954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKPVVRETNHLVVGDTVRWLCYSETTYCVLELNVRESMVTVTRLPQSFHLPCSLFRTTPRQILLATETVAGCPMVLVADHDLISSWVKSTLTTEWTARPQFCKVNGFMKGRLGRVRLPWFAERSGVVLIGTSRGGFFWLDLGSKEVFVWSKGVGFKPGNITFPYVIDS >Et_9B_064195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12505582:12508551:-1 gene:Et_9B_064195 transcript:Et_9B_064195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETVPALVTGQASTNAVTDQITNVYIWDMDETLILLKSLLDGSYAGSFDGLKDLDKSIEIGKRWENLILEVCDEHFFYEQIENYNEPFLNALSEYDDGKDLTSYDFEADCFSSPYDDVSKRKLAYRHRAIGEKYAKGLEKFLDQHMVKVWNDLYGLTDQYTDGWLSSAHKLLQEAIGKSSATPTAYSSSINCIVTSGSLIPSLAKCLLYRLEDVVSSENGDGPEECSAAQVMKWPFIKIEFRPDGPHRFPGLDMPTIQNYIDVIYESSGKDVDQSCLSSSNIQFKETVNQHHVGSGFSSSNTQFKETVCKQM >Et_1A_004782.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:40516007:40517181:1 gene:Et_1A_004782 transcript:Et_1A_004782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVWKDYYYYTSSSSSTAAGQQHHRSPPFLQDFLASAPSLSSPPQPPTPPPLPPTALSLSSTLEFTYLGGGGDPFAAIPFPPAPPRIAAGSSGGGEDRRHRRMIKNRESAARSRARRQAYTNELELALAQLRQENAMLIKRHQDLNVLSFFTCLGP >Et_10A_000815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17749161:17749639:-1 gene:Et_10A_000815 transcript:Et_10A_000815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWSSLTADDVISVANILLADDIDYYMNLCVVCIHWRKSTTRRPQAVLAQNLGRAQELRLPPWQLCRFHENPYAPQHYLLEAGLLVLKEECSTELWCLCAEPLHRLQGLFHRAMCAGKVLIRAVAVTTSPTMRLFVSDHWDFVGWAHPNSQGF >Et_6A_045972.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:14505446:14505754:-1 gene:Et_6A_045972 transcript:Et_6A_045972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGSYSQSKKLANGNNIKSQKLYADTYSWNIVYYPNGRSAGTTAYISLYLQLATAFAADNKDCLKVQYQFMILEPGGGVRFLSAKSIGTINGKQNIVGFES >Et_1A_008211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5223106:5224719:-1 gene:Et_1A_008211 transcript:Et_1A_008211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAEEPCLRGCHVVAVPAPGRGHVNAMMNLSRLLAARGAEVTLVVTEEWLGLLLASSSSAAAAAGFRLRAIPNVVPTGHGSGRGADHPGFLEAIASEMEAPFERLLDGLEGAPPAALVADTFVPWAVGVGNRRGVPVWSLFPMPAAFFYAYYHYDRLPARLTEEEHAPATEKSADQKLEHYVSAQASSSIRLSDLKPTIHNKRIVKHILAAVSSIRSAQCLLFTTMYELEPSVIDSLRSILSCPVFPIGPCVPYMTLDNYHNNYGEVTSQGDYFTWLDSQPVESVLYVSFGSFQTVSDSQLDEIARGLASSEVRFLWILREQSPQAQKLIGESDKGLILPWCEQLKVLCHPSVGGFLTHCGMNSTLEAVFAGVPTLALPITFDQPINGRLTVEEWKIGLNLKDWTGKDGLIGRDDIARAVKRLMVSDEAETKAIRRRTLEWKEASRRAVSKGGSSYCNLSSLMEIARTS >Et_4A_032505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10887879:10890305:-1 gene:Et_4A_032505 transcript:Et_4A_032505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSPLTLTNTFFCSSLPLIVSNHGLQNAKECTGDGSATGIQKTYRKLESLLLNLRQVLQNIMKQIISLYSENQICFFCLYLAFSSITKNLVSYLTESLHEANVAAARNVSIWHGTSYLAPLFGAFLADSYLGNYWTILIFCTIFIIVSAKSNPQYSSCSYFLMLGMVILVLSAALPLISTVHQVSLAWTSAESSQCIVVFVGLYMVALGYGALYPCITSFGADQFDHTDEEERNRKSSFFNWRCFILNAASLTSGTIIVWVQDREGWFWGFTVAALFVALGAGTFLLGSTMYRFQKPRGSPVARVCQVIVAAARNFKKDLPCDCSLLYEISGQGSSIDGRRKMEHTDGLEFFDKAAIVISSDSESVGMLNPRGICTVTQVEELKILIRMFPIWMTMILFSTVLEQMYSTFVEQAMVMDKNIGGLEIPAASFQIVDVIAVLVLLPVYEKVIVPVLKKFTGVATGITPLQRMGIGLVFSTLSMVSAALVESYRLQIAHAKGLVHRKVPVPMSILWQGPQYFLIGAGEVFAIGLNEFFYEEAPDAMRSLCLAFSFANDSVGYYLSSLIISLVPVFTARGGSSGWIPDNLNEGHLDRFYWMMAGLSLLNLLAFVLCAMKYTSKRHSKN >Et_3B_031708.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:8542303:8542665:1 gene:Et_3B_031708 transcript:Et_3B_031708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVGIRRGLTIDPAGEEEAPAERVGRLVRESPVVIFARRGCYMAHVMKRLLAAVGAHATVIELDAGAADELAAAAEAGHGAVPALFVGGAPVGGLEGLMGLHLSGRLVPRLREVGAIRA >Et_4B_039786.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29683706:29684266:1 gene:Et_4B_039786 transcript:Et_4B_039786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASDAPAAAAGGEEQQQPAKQRTLVFTYGTLKRGFSNHTLLQELARAGDATFVGAATTAPRLPLVCGPYRVPFLLNLPGSGHRVAGELYAVTPRGLARLDELEGISRAHYERLPISVLLAEGAQVDAVAYYAHRGYADELWRRSGEKGYPEYSHAVADGYIRRKDRPQDVTFLEQIRIFISSQS >Et_2A_018849.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:967685:968236:-1 gene:Et_2A_018849 transcript:Et_2A_018849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKARATQLTEDRKLVKRCLYSNSRMLISTALTSNLRAANGLVHLLDAGRDYRLPPPFVPRAACDDTPQIHWNGNGGGDRPAGLPEVPFARAIRDASDTCFLRHVVPAPCWKLMSDRRLGVGLERKMAAVRKTIDRFVAEAIAHRRRADKLNDDESADDLLSSFLCHHDDDFSDEFLRGMSHP >Et_5A_042116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6419377:6428081:-1 gene:Et_5A_042116 transcript:Et_5A_042116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSALITTAGPLDNTGREILDAAVIDSHGVTAATPFAAGAGHVRPQLAMDPGLVYYAGARDYIDFLCSLNYTTEEAETYSVTVAAPERVKVTVTPAILDFTEQYEKKTYTVEFRSLAGANATAGWDFGYISWENLGHRVRSPVAFQTTLLFVTGLIAIYLITTPTTAIPVDIDNPRIQQVGRWAVMEHDNEAHDGIQFNKVVSGDEKMTGFILHYNLIIDALNNDGKDCKYEAEVREMDGSMKRTLPRARPCPARAHVAGRRRGADQEEASQLDAGHGTVGDDDDRRDDRQHPTRHHRQRRHLWQPPRHGRDAFRRLCGLVRQQLAMDPCLVCDAGARDYVDFLCSVNYTYEKKRYTVEFRSLAGVNVTAGWDFRHISWENKDLMHDTSAKKWYGSKMNGHM >Et_5A_041737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26508693:26526870:-1 gene:Et_5A_041737 transcript:Et_5A_041737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYTLQLWSREAAGPNGGMRWVQSRVIQMEPTNPLSARTPEIVGYTNGSGLVYNGSECGFFATGLKVGHTTLIELICTADNIVPYMTFYTPADLDHGCAEAYGAGAGAAGRARGGDPPPHGAGRARGPDARRPRVPAMVPPRLQLRLRPKIPRAPLEEESPHARRDLQPHRRRWRENRALRLLLPRDEGRTARLAGGGFPPRPLSLSGTLSPTSCRSCAPSTAFSVVVCAVAGDCDHLDCPFLVVFLGSDCREGKLFAYLYSSVADAWSTEPTTYAPCHGCLWPQSRVLHLSNALYFVFTHPVVILRYDLVTRELTAIEPPLRGYISLATMEDGALGCTVESGFILYLWSRREGEITGWVQTRAIEIRTLVPAYESISLCLHDSGEIYIKMDGRVFTIDVELGQLRLVEGLLHFLTLPRIALSLTRASALQVSIYRIMAALPDDLVEEILLRIPPDEPALLVRAGLACRQWCRLVSSSVFRRRFRARHRNSHARGALCNFTAADDGASIVRFVPGRSPLTPRERRGWRAIDSRCGRVLLQGWRGRFASLTALALWNPLTDHLRELPLLQRLTWPGLNATVVCAAAAGDCDHLDCTSSFLVVLLGSDRKGMFAYLYSSIADAWSEPTYVPWQWHDGYRWRQRRVQRVGNDLYFMVMGPLTILKYDLVTRELTVTNPPPPREHNLIFLSTMEGGGLGCVMMKGFRLYMWSREAGPDDGEMAWVQSRVIDIRTLVPVGHSLTSLRVSNDRGAIYVETDCGVFAMVHIELGKVRLIQGDPGADTIVPYKSFYTPERKLEKLIDVEKLRMKMLEEREWENGGSQMHYYSTSNLDALELDELKEIYKKIEAIDDVINGKTNQ >Et_7A_050634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9073813:9074356:1 gene:Et_7A_050634 transcript:Et_7A_050634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RECEVGGRDARVPPPDVRAVPGRRRRGAQPRRRGGRVRRVRRLGRVRRGAELQQARAVARPIPASRASAGRKITKPKDAAAAGSLPMNIPDWQKILGVEYRDHHAGEWELDGDDDDDGGGGRSAMVPPHELAWRSRAASLSVHEGIGRTLKGRDLSRVRDAVWKKTGFED >Et_3A_025670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31817241:31821459:1 gene:Et_3A_025670 transcript:Et_3A_025670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMFTTSAREEMYQAMVHRMDFAERRWRRVHDLGGRVFLTSLFYFGASCSSGESGLQEDSVCLVYPVKKALQVFNVKEGTDEMHQLDEAPSSDEAFWLLPTPNRSSNRENERVIEAMSSEHHRLPMLELPCLAFHDRDLSTTLLSLSGHKRIDAVDAFEFLQNKVVCPTARGFLLVRDPDMSATFLWNPMDGDKVLLPHLEGVNDTVLMDSHCVLSDKPTAPGCVVVLVEFSANTLIWYCPPGDDQWVKYEYDVGTQVLPYPGEEDQYEKIVICTMAPCNGKLYFNCLTTEIGVLDFNNHPEPVASIITVDDTIADDGSYGCEFRPCLAFLVESDGELFMVRLLYLDRDKNIEKASVHRMDFSALRWRSVSDLGGRSFLVSRYYFGASCCPVGERGVLPDCIYFVCPRSNSLRVFNVKEGTCELQELNEAPDVDKAFCERSTTLFSASQRKRILADDTDEFLRNKTLCPAARGLLLIRDPASQATFLWSPQDGDQIHLPPLDGIDDDMLMRSQCHLSDEPSAPGCVVIVVEGGDDTFIWYCRPGDDQWIKYDYDIGTQPALPDPEGNEFEKFPICAITACRGKFYFYGSTTELGVLEFCPDPVLSSIPIDDSYESEDDEEECDEDDEDTDTYEPHPSASAFHVESGGELYMVTLFYVSPRSGEVAECVVEKMDFSARRWRAVDDLGGRTFLLSRYYFGASCFCGDSGGLQQDCV >Et_3A_024901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25104811:25109141:-1 gene:Et_3A_024901 transcript:Et_3A_024901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAIGAEPFSIRGFAARMRSIDAAKCNPFGGGCRDGDGEPPPPLPPMEPPPRSRWWAHELAAARARLSACSEKARDAAAAGGGVQRKGTKRKGSRSSAAADRAKKRRRVLQFRSLLKNKVWLCFMGAACAFAWWASREKTSKPQSTYRLRQHLLRMGLLRKQISSVHSRRELALRKKLEDARDHISPHENSLTHDVRERMDPSNDMHPCPFSMKEANSLVNDQSIKVGGSINCPVNSSCEVVKHTAGPKDDIFGDLPLLESSKVIFQAGNDELPTVIEESFITDQSDPDAESETVPLKLINAYDITAQTSLDDLVKIEDTPDEEPICLSHKDAARSYPLTAGIDCLPNFKIISVATTLGDKHLKDTGMSALSSYSDLTSKCDSSHRPQGCFDTNTNCSQGINKHGRSSAITPPVVRIGTEATNYEDALVIAKKSTDVSGPLVAPNNQLSFQGNVLPCAVSQGVIDSTITKNHLSFQGSVLPSAVSQGVGNARAYADKMTSCRSMPASTSSATSPATMRTRNGVTNYKDVPVLFKKSTDVPGPVVTFNNQFSGIVSVLTSAASQGVVDTRTTKNHLSSQGIVLPSGVVNTTAKNQFSSQGIVLPSAGSQGVVNTRITKNHLSSQSTVLPSAVSQGGGNSITAKNYLSFQGIVLPSAVSQDVGNARTDADEMIFSRSMPAKECIPTSRSSGNVVSNVCPESTKPVDACAPFSTDKQDSWYSKVHPSRSPTSIGLAFMKLPGLERMEISNCNVKTGENKSMNEQSINTVRYQKPQLVSSTANVMQGQKNIGVSNSQAGKTVLDGYVTQDVYHPPQPTVRLMGKTVSVCKRSKDHRALNMGKVCSNSVTIEATPLSAIPCQFPQKRSFSSQDFAIPRAHPNDSSNFVEKVHNNTLSGQKSTFNGVHNQRLQPVNSVSSTAKDCTWNFGSQFACQAELNKASMASDNSETRHVELHQQPHVTSMPRNQQSQFSTHASCRSGDDRNSVGLARTQCPSPQGFLKATMNEKYQKSTLLSYDDPSSAPIHQPHQPGTKLSSASMISFFDYGSNNSMSRNSSIGLCPSRTTSLANKSVAASGRTCTGNPTITEGWMGAGFANQINSRPTCADNVSQQPAKRQLVTDRHDFTSTGPSMTSRSLGWSLDDAVGPRILDFSNRVAGEAVQISRNENNNSRVTLGSVPTVETRWRGGLVSEATPTLKPGQNLTDHSKLLYPATFSVDNGVNSVVL >Et_10B_003375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19254417:19256744:1 gene:Et_10B_003375 transcript:Et_10B_003375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVRRCWEESRLLWRLAFPALLAEVFQFSICFVTTGFVGHLGEVELAAVTVVENILDSSAYGLLFGMGSTLDTLSGQAVGAGQRHLLGTYTQQSWIICGATAAALSPAYALAAPLLRVFLHQPPHVAAAAGPYALWAAPRLFAHAANIPMLIFFQAQSSVWPVAAISGAALAALTYAAVVRLGYGLRGAAVAGNVSQWLLVVAQLAYMTGGRFPDAWKGFTMRAFRNLGAFLKLSLGSAVMICLEFWYYTTLLVLVGLLKDAKLQLDIMSVCLNYEFLTIMVAMGFSTAVGIRVSNELGANRPKETKFAVVVAVCTSVLIGAIFMAVVFIWRTSLPRVFTDSKEVIHGASKLGYLLAATVFAGSIWPVLSGVAIGAGWQVPVAFINVGCYYLVGIPLGILFGFKLKFGTLGVWLGMLTGTLLQMFILLTIIFRTKWDKQAVLAQERMEEFGENNEKLPLLEPPTHG >Et_9B_065636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8480439:8484511:1 gene:Et_9B_065636 transcript:Et_9B_065636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASKGTEKPALRKPVFTKVDKLSPGNDRHTLTVKVVSSAPVLARGRAGAAPAAVASSRPTRIAECLVGDETGTILFTARNEQVELLKPGTTAILRNAKIDVFKGSMRLAVDKWGRIEVTEPAAFTVKEDNNMSLVEYELVNVPE >Et_5A_041296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20829167:20832608:1 gene:Et_5A_041296 transcript:Et_5A_041296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAMVSIATGVLTPVIVKLSTLLEKQFHSLKSVRDDIAFLKDELSSMNALLLKLADMDNDDLDIQVKEWRDQIRELSYDTEDCIDYFMHRIGDGSNSEEEAMGFLQKNFQKLKKFLRCKKMAGQILKLKARADNASERRRRYAIDGSITRSSDNVPIDPRLPALYAEAKNLVGIDRPRDELINWLTIGDGMPEQKLKVVSVVGLAGLGKTTLVRQVSDKIGDQFDYVAFVSISQKPDISKILKTLLIKITKKDYVGIEGWDDERLINTLREIFKDKRYFIIIDDIWNTSPWETIRCAFPENGRGSRILTTTRIISVAETCSWPDNENVYKMKPLSEKDAEKLFIKRIFGSEDQCPSQLKEVSSDILKKCGGLPLAIITVASLLASNKPYTKETWETYRDYVGTETEPFLKMGRILSLSYNDLPHHLKTCLLYLSTFPEDFLIWRDPLVRRWIAEGLIVTTEGGHSLKEVGERYFNDLINRSMIQPMEIYYDGQAYACQVHDLILDFIVTKSVKENFVTVIQNHILNSQDKTRRLTLDFRNHSIMVPSSMDLSCVRSLITYGSTDNMPVLSDFLVLRAIAIEGNARLENRYLSDMGRLFQLKYLRLSEVSISELPKQICKLQQLEALELRRTRIKELPKSIVELKRLVTLVADNTILPEGIGNMKALQRLSGMIIDSSIPSDTLCKLGGLTELKTLWLIWSVSDTHCDQNIYTVSFVSSKLRCLQIGSADGCSLDFLLGSWPHPPHLLYNFQMTTYYLFPRIPEWMASLSNVTFLDINLISVGKEDLVISGALPSLLYLLVATQMINSNERLTFSSNTFKSLKEFYFHSWHDLVGALLFQRGAMPKLEKFRLNLWATQAASLSTDHYLGLQNMVRLKHLLVEVDCRDARAEEVEATEASVRNAVAVNSLPSHVQVEIKRNWVNRMVEVGSNSNVNQIEETEEKIHYN >Et_8B_058738.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:19871520:19871759:1 gene:Et_8B_058738 transcript:Et_8B_058738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVGLQLMAAPMAMARSLQALEEPQPALRSAKIPIEKLILKDPFGFCAGETCFFGLCWLSGCRCQYPYCVPASGYQR >Et_10A_002246.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5567558:5568019:-1 gene:Et_10A_002246 transcript:Et_10A_002246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVARLLASLPPYRRAAARSPTFEYPYFAYRDNTRGVSLSYVRDVPGGRGGDGLSYTNLFDALVDKAGAPGVNIVVPESGWRADNARSYYNQGAVDHVGRGHAQEARCAGDEHIRHACSTRTGSRPGNATGRSFGLFHVPQHTSSPVYPIRF >Et_8B_059474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18294219:18294588:1 gene:Et_8B_059474 transcript:Et_8B_059474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRKVAAQAAVLLLLLIIAHETAVPAPAAEARICGRRRSAGFKGACISSKNCAQVCLGEGWGGGNCDGVLRQCKCFKQC >Et_3B_029176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22735213:22736662:1 gene:Et_3B_029176 transcript:Et_3B_029176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKEGDGFGSATCNGESDRGSTALAGEGSHGTASLCHKKSDHGSTAFTGEEDHGGEEMEAQHADEERPVTETPRVEDDEEAELVAVLRRFRKCWEEDYSEFFGPFEGITGTEIGPKHYTESGPPRFGAIDYDAMEVFSIKVIEIKEGLKWPLRVFGLVAVRDSMDYKRNILFQRSKENYQTITAEDCSLELIGPSRVIALIDPPRLEVELSVIGSSPSEVKNLSAAVITYNNLTHGSKMGLIQTHIESRKRSTLEVKFSHPNVPLEATIEIHHSGGSSDFHGVLFAHVKYMGEEKVVLLDSKDRNVTIEPDGKISLSRCVVLVREGAELILGVKVWQGKNYENAIVSCAGFPTKLHSKSDGEFIFPFCKMSVSVFWSVLC >Et_3B_029494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25450488:25452120:-1 gene:Et_3B_029494 transcript:Et_3B_029494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGDGFGDAAAALRGFLRVAGGCAVLDGGLATELEAHGAELQDELWSANCIVSAPHLIRKVHLDYLEAGANIITSASYQATIEGFQSRGVSREQSEALLRRSVHVAQEARAAFAERDARPVLVAASIGSYGAYLADGSEYRRARTGDYGKSVTKETLKDFHRRRLQVLAGAGPDLIAFETIPNKLEAQAYAELLEEEDIHIPAWFSFTSKDGINAASGDPIMECAAVADSCDRVAAVGVNCTAPRFIHGLIHSIKKVTDKPVIVYPNSGETYIAETKEWVNSDDASGTDFASYAGKWRQAGAVLIGGCCRTNPATVRAIAQALREDDAVADEFDDYPAVAVL >Et_5B_043441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10461403:10466036:1 gene:Et_5B_043441 transcript:Et_5B_043441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGDLLPDHVDSDGVDRISGLPDDVLLKVLARLGCVREAVRTGLLSRRWSGLWTCLPEFTFKNMEPEVVEAVLAQVTRPALDRLDIQVELKVGSRHVLLCSGCFAARGDVLPDHGDGGGDGVDRISGLHDDVLLKVLACLGCVREAARTGVLSRRWSGLWACLPEFTFKDMEAEAVEAALAQVTRPALDRLDIIEVELKSEAAVGRGSSLLRAAARLAPETFSGFLWTRVEGAAAIGLPCFDRTLSLALKIFGVPMAPPPTGEFSRLESLELITGCNIFPALLPNCPRLRLLRILRGTRELSEVTVHSATLEELVVEDYHDFLGGIRHIDIDAPELKEAKLDVTICHGFHVSFSAPKVEKHVWKYEQLDTRCIVGIPMLGLHMLRYSLKDGVRTLRLDITCLSGSPVPERSVAQEIARLPVADFSVLEVVVETNGHAFGPLLLHLLQIRPTIQRLKVVLGEGEEVVGGALCPQDCPCRQPVDWKSETLSLPDLEEVLISYDFEEGNEEVDFLKLLFRCAPGLKRVDVGAYDEVYDEICSICEENPHVKCDVGY >Et_8A_057335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23758235:23761564:-1 gene:Et_8A_057335 transcript:Et_8A_057335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHASAHGHDPVSPPLPCPRPAPLLVFLAVLATTYLALTRLPPAAPLAALIAPRPGRDAEPGDRDSCAGFYGGAGASARAVSASVEEFGAVGDGMTSNTAAFRRAVAALEERASAAGGGGGARLEVPPGRWLTGSFNLTSRFTLFLHDGNNGTIDGQGKMWWELWWNRTLNHTRGHLIELVNSTNILLSNLTLRNSPFWTVHPVYCRNVVMKDLTILAPLNAPNTDGIDPDSSSEVCVEDCYIESGDDLVAVKSGWDQYGISVGKPSSNIVIQRVSGTTPTCSGVGFGSEMSGGISNVLVRDLHVWNSASALRLKTDVGRGGYITNITIVNVTMEKIKVPIRFSRGADDHSDDKYDRSALPRISNVLISDVVGVDLQRAPMLEAVHGAVYEGICFRNVSLRVRRHDGWHCESVYGEAHEVFPAPCEDFRKNVSSSWCGLS >Et_7B_053705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11257875:11260020:1 gene:Et_7B_053705 transcript:Et_7B_053705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAASTISCGSWELTSIASKIRLSRNKKTLFVLMVVNGPAAVAEASLAQCGSAHRKHLSLEKMICSLLAMIGEQFDPGDEIVEPLSVCVGSKKE >Et_10A_000272.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20790269:20790496:-1 gene:Et_10A_000272 transcript:Et_10A_000272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RQEAKRRWLTDKEYLAIVVNPHRYTGLLTDNLEHIDAHSILAGEQGRSIWFLIIQVPMYKPSSCENLVCVKITNQ >Et_4A_035655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32600525:32606061:1 gene:Et_4A_035655 transcript:Et_4A_035655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVARHLHRARRQRPGGPSRSASSWAAAVADHARSGRHAAALAVFRRVLRAHPAVATSDQLAYAALLRCGDHRLAHQIHAQVCRRGFLSSNPVLACSLLSFYSEDPDKATRVFDEMPHPDAVSYTAMISALLRAGDWLRALALYPRMLRAAATPPTQHTFSKLLALCASLRLHRHGTQLHAQLLRWGAQLSLVLKTALVHMYASCGAMASARSVLDATPETDVVLWTAIIAAYSRSGQLHAALRAFRDMERAAVPPNGYTYAALFAACTSGHALQVGRQLHARLFKCHLEHDTSACNALLDLYSKSSARLLDLLHAFRAVDTPNVVSWTAFIAGLARHGRDHEAFLEFARMRASGVQPNSFTLSTLLKGCTSAQASLHAAKIHAYVLKTSFDSLDAAVGNSLVDVYARFARMDDAWVAATTMSFVRDKFTYTSLANGLNRMGLQHRALDMIVHMFHEEVSVSNSLIDMYSRCKCLEDANHGGLVDIGVEHFNSMRTQFGVFPQRSHYTCILDMLGRAGRLKEAAQTIEAMSIQPDLSMYRTLLAFCKLHNDRVVGESIARKALELDPLDVESVVVLGGKNVGRWGTKRMTYSLEMISERYGFAQLDECSCAAVKSRCSLEGLPLPTFPVSMATRRRRELFCSVCEITVIRVSSMTQSGAITLCSRLLSLLLREEYNSRMTSDSGEDGKMAPPLRGKSSVLDYVN >Et_3B_030234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31608872:31611553:-1 gene:Et_3B_030234 transcript:Et_3B_030234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTVRGGGAAVDVEDLLVRVKNGTEAELPDVSREVAALAEEGRLGEEDDGDGLLVPALLARLAGSGNAEVRVAVMAALRRLAGCVGSESKERLASIEALSSIVRSLSRDIDERKEAIALLLDLTYIPQIRQRIGRIKGCIVMLVTLRNAHELGTNDDADKLLHILSSNPQNVLLMAEAGYFQPLIHYLKEGSDMNKVLMATAISKMFLSEQMKSSLGEDGAVEPLVDMFKYGNLEAKQSALGALRNLSSSLQNAELLINSGITGPLLQLLFSVTSVLMTLREPASAILAAIAQSDRILLHRDAAPQMLSLLNLSCPVIQLHLLRALICISGHANAKRARTKIRQNGGVQILLPFLTEKNVDIKIASLNLLFHLSKDSSQEFDEQFKETHLGILVKNISSSTSLDEKAAAIGILSNLPVTDKKITEVLMQANLLPTLISLFEANITASLSPQRMWLLEGIAGVFIRFTITSDKKLQSLAAGHGVVPCLVKLLSEGSVDAKSKAATSLAQLSQSSMALRKSKLPRWLCVPPSVESYCIVHNCQCTVKSTFCLVKAAAVSPLVRILEGEERGADGEVLEALATLMQDEIWENGSRVIEKAAGIHALLTVAEAGDSSSQDKAIWMLERIFRLETHRERYGEIAQALLIDLAQKGNPVLKPMIGKILAHLELLQTQSSYF >Et_10B_003899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7370076:7375242:1 gene:Et_10B_003899 transcript:Et_10B_003899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCRRRRLVPVFEAAVVVAAGRLHTYAAWTKSTGESTPRRMCSRSSSGRTASVSAAGPFFFLLLTLPTRVAGCRRLIVVFSSCDPCLMGSFPFISPQMDLEAMESESNRKIDSESVSLILLRLASPLHLIRAASTSKRWRRIVAAAGFLRRFASLNRPLLVAGSYYDSSIIPAVNRPCPVFVPTPSALIDGGRFSLDFLWSNDICDPCAWTISDSRGSLLLLTLDDYEEDCATWRLHAVVCEPFTRRYTIVATLERSDIWAVHTGPFFLDAGDDLSSFGLVCVLRDRYYDRCRHRAVTFTSSEHGSWRESSIDRESMSFIGHTRSALYWHVGDSTVATMDRRTAEFTSSRLPSMEDDYWHGNRVVAGRDGEAHIVVDGAGGTLKFFAKSQASSSQWDLEKIIHLSNVLPGLVTDTGLNVINLQDGLRLAYRLDVETMEAERVSEVAFKDVAFPIPDEVLELILLRLHSPISLIRAASTSKRWRRIVTATGFLRRFASVQGQPNLVASTYYKGSSTTPLFCVPSTTLVDGNRFSLDFLQSDDDDDDDVSQFDPVSWKITDSRGSLLLLAFNDYDIKRSCLHMIVCEPLTRRRHRITFPMVDHLTICTWPFFLDGDAEAAGSGIDLSTTYPAGMDAP >Et_2B_020671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22646996:22656848:1 gene:Et_2B_020671 transcript:Et_2B_020671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCHSVAALWSPSPPSHHVTAAAATPVALFTGAADGTVLHWPLAADPASPRPSSLLCAHAAAITALCPLPSPASLLASCAAGVLSLFSASAPLRCLRRRSLPPWAGVPSLVAPLLSSSSSSPRVAILCHASDDGGHRHVSALLVVDARTLAVLHTAFHGPLSVAPPKAIAVCVDDAVSIVLADAQGRVQVVPVAEGAAVELDSPRRLSASSMSSVASVEVADGKMEAVALSPDGKVVALVQRARCLLKCVAEGTLLGEFSLVDTSLCREDEAGEKGCIAGGFFLNYGEGHPRVLEDGFVVRSLVLWSSNCAAVVYRVAVGSSSFESEAVCEIPGGISMQGEGSAVKFCQSDRRLVRVESCPHKVAGSLSWKPVVSIWSMDLLELNTAKDTESPPLSKVLGEGGLQGEEFKLQSSHSKCSLDNGVGESSQKFSEDTNGLEIYGRTVSSSMVLSEDSYAPYAVVYGFHNGDIEVIRFLNVSPAAAKFGGGGIYPHISERFFLGHKGAILCLAAHYMHAHSDSRNFHRALISGSLDCTTRVWDLDAGTLLSVMHHHVAPVKQIILPPALTHHPWDDCFLSVGEDGLVALISLQTMRVERMFPGHPGYTSMVAWEGVKGYIACLCRNLDSCNDAGTILYIWDLKTGARERIIKGAASQSAFEHFCRGISKNAVTGSILGGTTSASSLLVPIFKDTNHHSPHANKKGHGISSVSSNHPNESTVSVAVSAHTTSDFKGKAQAPGEEHAVHGDNSVYSSGKAVSSHSIHQRIKCPIKCSCPYPGIATLRFDLTAIMSTQGMTNGNSDRQSRDHPFSENAKATVQSGNVDTIYGVHEMDSPSRESLEGRLLRFSLCFLHLWDIDCELDKLIVDEMQVCKPEGCHIATGVVGDRGSFTLMFPGKEATLELWKSSAEFCAMRSLSIVSLAQRMITLSRSCTNASSALAAFYTRHFAEKVPDIKPPSLQLLVSFWQHPSEHVRMAARSLFHCAAPRSIPHPLRIHKKKAPEALLSSSDDINTSVSRYGPLKADGENIDKEDCDTASINSWLESFENQEWLSWIGGSSQDAVASNIIVAAALVVWYPSIVKAELASLVVSQLIKLVMSMNDRYSSTAAELLAEGMESTWKACIGTDITHFMSDVLFQVECLSTATSSIAIHKSAIAVTMREALVGTLLPSLAMADVTGFFGVIESQIWATSSDSPVHVASLKTLIRVVRGAPKALAPYLDKAISYILHTMDPSNLIMRKACIISSMMALREMARVFPMVALNDSMTRLAVGDAIGEIHNATIRVYDIESVTKIRILDASGPPGLPSLLAGSSNTTATILISALSFSPDGEGLVAFSENGLMIRWWSLGTAWWERLSRSLTPIQCTKLIYVPPWEGFSPNSARLSIISSILHDKQGNPEKKTKELDEADNLKLLLHNLDLSYRLHWVGGKTIKLTRHGQELGTFQL >Et_4B_037953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25043028:25047334:1 gene:Et_4B_037953 transcript:Et_4B_037953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGGRSAVRTVRVRNISDLATEREVREFFSFSGEIEHVDIRFDGVATGRTAYVTFKEPKALEIALLLSGATIVDQIVNITPAEDYIYIPVTEQATASPTRHVYVSKAHDVITTVIARGSAMRQDAVNKAKAFDEKHQLRANASARISSFDKRVGLSEKINNGVSVVNEKVKSVDQRLQVSDKTMAALLAAERKLNDTGSAVKTNRYVSAGTSWLNGAFSKVAKAGHVAGSRTREKFQIAVSNLTAKAVILITHNVTPTQSYTTWMQKLRHSNQQQLTR >Et_7A_052795.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16612248:16612574:-1 gene:Et_7A_052795 transcript:Et_7A_052795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVEPGIDDTTNSTQLNTPSVGKTTEEESRIMKKAIDMAMDQLLIECANNVIAEDAVAVGEGMVAYTGTHAPSMSVSAGRNASVHCAPMPVASDSPASARGQSAPGS >Et_5A_040624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10535256:10536880:-1 gene:Et_5A_040624 transcript:Et_5A_040624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRLQLSDFVEKERDGDLLGVVLARHAKGELTRSEITRFFTDIFLAASNSTRITVEWAMAMLLKHPDKMEMVQAELERNMGSKEFRPEQAPLPPRHGEGDAPTASGRRRRCCPARWSPRTACMSLCGFTVPHGTCVLVNLLAIGRDATAWHRPEEFVPERFVAGGPAVEDFAYRPFGAGQRMCPGLDFAARVVPLALASILHRMQWRLPDGLHEIHLTERYSMILELAIRHSVLCRCYAPPQHHDVFRGTHHTKGSKRLHQIPLISDIRNAKEATIRNS >Et_10A_000422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:145204:149801:-1 gene:Et_10A_000422 transcript:Et_10A_000422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSQARGRTRWSALAASALIQCCAGGSYCFGVYSPALKASQGYDQSALDAVAFFKDVGANAGVLSGFLAAWAPAGRRRPWLVLLAGAALCAAGYFPMWLAVAGIAPAPLPLMCVYMLLASQAQTFVNTADVVTAVENFPDRRGTVIGIMKGFLGLSGAILVQVYRTIHIDPSTFILMLAVLPTAVTLLLMYFVDVHNAHEWYNKKFLDGFSLIAVTVAGYLMIVIISDQVFMISSAVQSVCFVILLLLVMSPVAIVVKAQKSESMQHDESTSSQQRIGLLSEEAADSANSASMLGGAPQDITADKENMNLLQAMCKLNFWLLFLAMACGMGSGLATVNNISQIGGSLGYRTKETSTLVSLWSIWNFSGRFGAGFVSDHFLLQQGVGRPFFIGATLLIMSVGHAIISSGLPASLYIGSVLVGLCYGCQWALMPSITSEIFGLNHFGTIFNMVAVASPIGSYILSVRVVGYIYDMESPPDEHACVGKHCFALSFMIMAGVCVFGSAVAFVLFIRTRTFYRRVRLGLVESLHEACQTNIGVPLLLLGHGHEVLCHVRRRHVGLVEQPPDLAEQLVLVGVDVVGGQDLEGLEFAVGHLDVLVHPASPDQRRVQLVEVVGGEDDDALAAASRPEAVDEVEQARERDLGAVVLLGRGRQLRLAVVITILRLLLVDLAALAGEVDGAVDVLDDDDGLAGGLDEELAQFGVALDRRELEVVDVVLEEVGHGGDHGGLAGAGRAVEELPSLPWLADLGVVVLGLLERGEVILDGLLLEGIHGERVGGGGVLERHVVPGLAAVGVGGVGEEAALAVPDDDGAALVEDVWQVGVDDEVAVAPVEDEPVVALLVVARVQADDVVLWRLLGGVPPERGAAEAVGDLVVVVDADLELLGILAELGLELARAAGARVGEVGLHVLEEVAAAPALDAVLGDGELEVGVQVFDELVGPAGDSVGQGHGNVLLQHRPQEDEARHRRPQPRPLVHQRQSHGCFASPSYQINTVVSNDPRYASLYRDRARLLVVVNGRRSR >Et_7B_055891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6820003:6825772:-1 gene:Et_7B_055891 transcript:Et_7B_055891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYPQNNCNSSWLHSINNSFASSPEAMNALAVACKRVQISGELKPRTHMLEAGSDEVEVCGSSACSRATSHEDETKMVAFQRIVKGGRPTYLSVATRNIRPKTSCWVIHQRESLLLVRQQAIVTDATGKQLLQQRSGVQEEDKCWILPRTGWLNINVDGAFSPLLWTAGLGVIIGDERHAAADCLASLVNCRDAEEVEAEALACLDGVRLASRWFDGSMMLELDSASLVSKINGAKLDRPVVALLVEDIKHEGRALQELVVCKNGRVLVHGAERWEHGAEWSAACRSAARLARSEGSRMKCRMP >Et_2B_022723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3765657:3766453:-1 gene:Et_2B_022723 transcript:Et_2B_022723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSRRPAASCGSSGSGRCSIAGNPPATPQTTAASAFARGSTNRATLQTPPPRTTTTTTTTTRAGRISLPRVVVVEERRDHPDPAASSSSSWSPMTPEPPPHVPRGCCAVYVGGAERRRFVVPTAYLGDPVFARLLEKAEEEFGFDYSAGAGITIPCDTEAFKYILRVMDAHRRGLLDDEGNPKEHREEGGEASETR >Et_1A_004785.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:532597:533508:1 gene:Et_1A_004785 transcript:Et_1A_004785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLGINRSTDGSVRPPLVRPWESVSAAAELAVVGRQVEHGHRRWVGDPVVAHERVATAAGHWLCSRASFFLLVERQALVRGVGERGRDHHDWAGGDERAHDAAADHLALPAGQVDGEARGARRRGAREERAGEGEDLEAAVERDDGARRGRLAQRHVGDGAGAAEHADAALAAARELRDGVGHVGAAGDLHDVAAQRVGAVARHEDGRLRLVLRPRRPPAGAPVGRRHHRALAWLAAAAAAGLGVLIAVLASCSCRTGLRLFDGRRLGLGATRRARRLLRQAQMARPPVRQLDASEFSQIC >Et_7A_052100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4601006:4604254:-1 gene:Et_7A_052100 transcript:Et_7A_052100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSTPSQTLAPAPATARIRAARPAQASFTRGLPRRSRALRVRASVAIEKETPESEPPPTFLRDDERGAGSGSVRERFESMIRRVQGEVCAALEEADGSGARFVEDVWSRPGGGGGISRVLQDGRVFEKAGVNVSVVYGVMPPDAYRAAKGEAGKNGAPDGHKAGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDVPGAPRQWWFGGGTDLTPSYIIEEDVKHFHSVQKKACDKFDPSFYPKFKKWCDDYFYIKHRNERRGLGGIFFDDLNDYDQEMLLGFATECADSVLPAYLPIIERRKDTPFNEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWQYDHKPEEGTEEWKLLDACMNPKEWL >Et_5B_044058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19521805:19523011:-1 gene:Et_5B_044058 transcript:Et_5B_044058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALVTMARDGYYTGLVNGTEGDIGLGDWDGIGSLLKNSKKQLWTLLAPQKQTRKETRISLKEACAVYKAEDKEKRSFSFMHCWTMLRFEPKWHEKMNQLAANKASQKKQKASEDPIHDLTGNGIDDILNASPDGDAPKRPMGRKKAKQLQRQGGGDACIIAFDNMWEKKEVADANKEERKDARFNKSLEIEKERLHIDQVRAAAEQERAAAEKSRAAAEQEKVQLKRMLEEERIMTMDISAMDADTQLYYKSVRREILVRRGVIS >Et_7B_054340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2061124:2073816:-1 gene:Et_7B_054340 transcript:Et_7B_054340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPSGGSAEAMTRASIGALSFQLLLMIAAVAAEPPVALPGCPERCGNITIPYPFGTRQGCFSEGFNLTCVETPGRPAKLLVGDGVEVVGISLPDGTVRIRTKMLSFSSFSPNATVSVAWPVAGLMATRRFTVSTRHNRFVAMGCNLLASLVDRDEALDSDNYVSVCATLCADGGLGDTSCSGVSCCQTAIARGLASYGVRISDLAARSPDRSSSDFGAVFIADQEWFGEARALLQLDYFGEQQRTVDTTVIPTVLEWSLDRSRDRDLLFSQRCISLNSDIVDDVEGSNIGRARCNCSKGYEGNPYIANGCQGTPGIGAIRASMGALTFQLLASALAGPMALPGCPESCGNISVPYPFGIGEGCFYQGFNLTCNDNGKQHPPKLLLGDGVEVLDISLPRGTVRIRSKILFSDSAGFNGSWSGLSATGPFMVSSADNWFVALGCNIAADLIPFGSVGYNSTCTASCLNVQQTRTGPSCTAVGSCQTSIGWGTHSYDIRVRHVIDTKSSSLWSHKAVFVVDNDWFSIKKYEMLINFSYPHQRVMVDSVPTVLEWWLDLIRDADMLPMSPASSQFRCLSSNSFTYYFGENYDQRRCNCSRGYEGNPYISDGCQDIDECLQSDVYPCHHGDCVNVPGTYRCSSKTSIRSLPVLITIISISVGFGLLSSLLGVAKITKKLKKRRAKKLRQKFFKRNHGLILQQLISSNKDIAESLKIFSLDDLDQATNKFDQNRILGGGGHGTVYKGILTDQRVVAIKKSKIVVQRETDQFINEVVILSQINHRNVVKLFGCCLETEVPLLVYEFISNRTLTYHLHGQSANPLPWKDRLRIALEISRAIAYLHSAASISVFHRDIKSANILLTETLTAKLSDFGASRSIAIDETGVLTAIQGTHGYLDPEYYYTSRLTEKSDVYSFGVILAELLTRITPVFPSASSEVTSLASYFVSQIRENRQSDILDSQIVEEEGAEDAEVVARLTEACLRLKGEQRPTMRQVETTLEDLQRSKANSGSTRTSQNAPSDVSYTGVVKYNVPVLDISLPDGTVRIHSRALNASFLRGNMVAAMGVGVGLLLATALAASPPIALPGCPESCGDVRVPYPFGIGEGCFHQGFNLTCDGTQHPPKLFLGDGVEVLDISLPDGTVRIQSSGLHSTHSPEFNGSWSVPAAATVPFMVSNARNSFVAFGCNVVAQLLPDNTLAYQMFASVCAAVCSPHQTFHIPSCSGVGCCRTSMFIVGVQKLVTQMDYSNTSGSPSGAAAFIVDQDWFSRNEVEMITNFSQFSNLFVKQRVPTVLEWSLDLISDGSLFTLSHRTQLF >Et_3A_027344.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:8258441:8259742:-1 gene:Et_3A_027344 transcript:Et_3A_027344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTLPVLLLFALLLAGQRCCGFSVELIHRDSPRSPFHDPALSPAERMLAAARRSLVASTSSGEGGAVSQIVTRSFEYLMSVNVGTPPTQFLAIVHTGSDLVWFTCGNSSSSRDSSQPVRVLFDPATSSSYGGPVGCQSDACKALGQPAAASCDDAGGSNGSFQFRYTYGDGDRTTGLLSTETFSFADGSGNGNGNGNGSDGDAMLLFRVHKVAFGCANYRDAPLFLADGIAGLNGGALSLVTQLAAAAAAPDFGRRFSYCLVPFAATNASSALNFGARAVVSSEPGAATTPLVAAASSAVELESVAVGGQTVASKNNSSSLVVVVVDSGTPQTILDPALRQPLAAELRRRIALPPAPSLDPELLDVCYNVTGRDAAAAADSYWGRAIPDVTLRFGGGAAVTLRPENTFTVVEGGALRRRRTRLCPARVSFS >Et_7B_055434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10537674:10539374:1 gene:Et_7B_055434 transcript:Et_7B_055434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPARNLHLVRDAHGGTPFLGVTTAPPSLMAQEPLDQRHEPSKLPPPPPPQEADRESRHGQAEAEQQQLPPRPLLPSGGVANQEAAGTSGSSSGGSSSGNGGAGDWLRLGLAPASPGGAASQQLDVVFADRAPPPLQPGTGVPPPPAFFRPGIPQPSITLPAPRAGPPWMPPWSAAPPLLPFAHRTFYTPGAGASGIDTMIRVVLPPTAVAAAAGVWFALQAAPHQGREPFLPQIPRSYLRIKDVRVTVGLLIKYLVSKLGLEDESEVRGVCPGVAHHPLLTT >Et_7B_054871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5119285:5122707:1 gene:Et_7B_054871 transcript:Et_7B_054871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPEKRSPAPSRSLFDLPSDFFDSSVLLRSHPSLPPTAAEPSEPSGQLPLPSTTQPQQLSEPAGFRWTCNTCAAEFDSLQEQREHFKSDLHRLNVKLSVAGKTIIKEEDLDKADSDCLFDDLEISSVSGSEDEAENVPSSDRGLSVKGKEEFRKKLYFRRHSGDTVSIWRCILLKEQEEPFFDCKSGQMESASCVQEDAMINRVKQLASEPRDASRLRIVILTSGGHFAGCVFDGNSVVCHKTFHRYVVRAKAGKRQSGKDATGKVAHSAGSSLRRYNEAALKKEVQELILSWKPYFDICVCAFVHAPSKNRQMLFDGDKTQSILQACDIRPVPLTVHRPTLKEAKRIYSNLTQLYHELECSITEEASPNVESVTNPVQRQEKKKEVVVDTEESISDLTVSLESLNRDEATTISSSKNETTPLHEAAKSGNAQLTLELLEQGLDPCIKDTRGKTPYLLASDKEVRNTFRRFMALNLDRWDWHAADVPSALTKEMEEAQAAKQAEKDAKKKARAKELKKLKKAREKEEKEKEKAKAQASQAQTELKGTSAGQMANRTSVAGLKQKHQTPQQILMAKEEERQKKLAEEREKRAAAAERRLAALAAQLSGTSSAAATEGPAQRAAPDDNSCSCCFSSLAGKVPFHRYNYKYCSTTCMHLHSEMLEDD >Et_1B_013980.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26138525:26139943:-1 gene:Et_1B_013980 transcript:Et_1B_013980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKFALAFKTKTIEFFAEEEEDEDADGFAPSPAAADGVLAGQRVVVLKPDPLLLNPNPSAGGEAAVSGEEAAVAAALATVSSFQAAYLHLQAAHAPFLPEAAAAADAAAVSHLRRLSELKRLARGEPEPDGSSSSLTAHLEAQVRENQALLRSFDAVVNRLQAALDAKDAAAAALRREHEALEDGNARLAARLDRALAPPPAGPGCGGEDAVGALLSAGVFDSVLRDALRVAHRFARALAEVLRCAGWDLAAAAAAAYPGVSYSKSGHCRYALLSRVCLAMFDGFDSYKFDSAAESDTVEGIELAIRRNESLQQFIEHSDADPMELMNSSPDCEFAQFCDRKYKQLIHPGIESSLFGNSDCGALPMMSAAGPLYELFVAMASSIWTLHRLAWAYDPAVGIFQVSRGTEYSSVYMENIVRSKGFSVSRELGKPVRPKVGFTVVPGFRLGGTVIQCRVYLDCGKREEGIIDSI >Et_2A_014831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17611309:17613198:1 gene:Et_2A_014831 transcript:Et_2A_014831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSASINSLVSSSELARKTTNREAELEGFVRGAKAFIREEQMEADERGPFVPDSDHPESPEEGELFVDLSSPYPNRLAHLKSFWLHYEEKAYRLLEVNLAIVFSLLYTKEKVSCSTVVRMSRNFVPWATIGLFRHSRIEAYNHTDVKITYALLCCTAVLEICTLVVIFDPDTATWSDIVSQYDLIGFFVRNKNHNKKLSIVSFFGLKDYLEQHWWIKSCYSSRKIIELVLGYVKTGWKEDIHDTASYRTFNDHMGQRTLRLKECNHLAWSLNRPFDESVLIWHIATDFCFYSSSFAGHECIFPQTGPQCGQLIDSKANTCRQMSNYMMYLLFINPDMLLPGSRRNLFTTAYRELKGILKDLKPPVEDKEVMLKITSKMQSTSGSQEEGFIHDAWSLAQGLQALGDENKMWEVIEGVWVEMLCFSAGRCRGYLHAKALGTGGELLTYVWLLLSNMGMETFAERLQRTDLSSRGGNNGPSPSSSEVPNGGSAPLTSVARTGAASSTSAVTSGATPSTSEVPTVADPSTSKVASGAAPSTSEIRFAAEDDMV >Et_3B_028411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14953008:14958659:-1 gene:Et_3B_028411 transcript:Et_3B_028411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPKSSFVPHLVLKNMWKSKRVGGDLPRNFCKDSKAFKNEGISGNLLPNKQDVWPGLKDGLKSYMSRSVASITLLNGDKNLFSCSGIAVEHQFFTKFLTTATLVRALNATNKYHRDLKIQVRLDSTKLYDGYIAECDLDNDFAVVRVYNVHDVQVGPFQCALESLPHGVVLAVGRDTSGDIMVKTVKLSGGSFDRRDLARKISKPWEGAPLLSVDGDMVGMILYLTKRRARFLPWGTTLKHYLTFIQKKTGLAQSKKMFCSPGAYTGEKSDSHPEIHGDLLNQEQLDLDSMGYPKLPSSMLGAGMILVNSFEDPFGDICGEGVWSEFSRRASILNRNVVALASFSGFFIEWNGSTMILTSASLVRDSGGENKIDENLRIGVMLYNQCKEGKLEHCNLHYNIALVSVKYRARRPLNTRFYLESTYRVAAVGRCFKSGTLMATSGRLVPWTGTLDCEFLRRSTCKITKAGIGGPLVDLDGNVIGMNFYDTRIGTPFLLWSSICEILASFETKSWPVPKPSWCHPEDVESDDDDKLAFDHMGGFNTVTFWDRKSSSVVSQSPYRFRLLKLNPLMPAVDPFTQRKQKMVEKQGKNRLDNLKKAAKVGALPSHIQLVAKSLPITGTEADLLKKTRKEDLENGAGLGSATTIGGKFDEKLSGKKPQKHPGKHRQFLPVAEGKEMGNLGKKQNDKILKSLLARNSEQLDAITMYKVKKEKQRRKDREMSSESVKLKPQKKPFKKSSKKKA >Et_8A_056059.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:14750153:14750464:1 gene:Et_8A_056059 transcript:Et_8A_056059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEVFTGKRPTDAMFVGELNIRQWVHQAFPTELASILDDQLLHDSSCTRDLNDFIPPIFELGLVCSSDSPEQRMPMSDVTVALKKIIKDYTKSVSSTPQRASL >Et_1B_010700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13146226:13150271:-1 gene:Et_1B_010700 transcript:Et_1B_010700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKRQADGAAVSDSVLTDHRSRKSRQITKQQSSHRSISVRRARRPSGMATALMDGELGSLLKVSAAAWAAMSYARLAASRLRPGAPRLAALLPVVALLYLAPFAFSTTTFRGTSAFFLTWLGSFKLLLLAAGQGPLDPALSLPHFVCSASLPVKLRQPPSAAKEKIPAVTAGGGGRAPARVLLCAAVIPAIIYSYQFKSAMSRYQLLALYTLHIYFSRDLLLATVHTLIHDVLGMEMEPQVDRPWLASSLRDFWGRRWNLMVPSILRPSVFRPVRALLLGGGGGSAAAAAVLATFLVSGLMHELMFYYIMLSAPTGEVTAFFLLHGACAAAEGWWASHAAWPRPPRALAVPLTLAFVAGTGHWLFFPAMVKGGLDEMVLHECQGMVALMERVGRRLAGATDLVSSTIARGASAFFFAWLGEFKLLLLASGRGPLDPSLGPPPFVLTGALPVKLLHKKSPDDSSPAAAVAEKKTSAVVTLPLVYSSSIKLGVMVSLLLVALRDGGKDRMHRHVAFAMYGVITYCVLDSALPCLAAAATGRTSPPRSGTSGAGDGTSWRRRCSGRPSTFPSELGRAPPRGVLATFLVSGLMHEVIAWYITFRPPTGQLTAFFLIHGAAVCAERWLAARVVAARQLPRVVATPLVLGFVAGTAFWLFFPPLFGGGMDDRFIEEMGMLLSYAVDAGGRLLRLG >Et_4B_036778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11466748:11469263:-1 gene:Et_4B_036778 transcript:Et_4B_036778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATVTELKQSISGKRTFRPSLITRHANEWPPTDVSSDLTVEVGTSSFALHKFPLVSRSGKIRRLVAEAAKDAKLARLSLHGVPGGAAAFELAAKFCYGVHVEVTVSNVAMLRCAAHYLQMSEDFSDKNLELRAESFLRDAVLPSIGSSVAVLRSCESLMPAADQDVNLVSRLVAAIASNVCKEQLTSGLSSKLDQMRPPSSASALEQHLDICSPAAAAGGGGEWWGKAVAGLGLDLFQRLLSAVKAKGLKQETVTRILINYAQSSLHGLMARDVVAHHGGAAAKCADGDGGVKRQRAVVEAIVHPNVKEAERYRLCKAIDCQRLTPDACSHAAQNERLPVQMAVQVLYFEQLRLRNAIQSSGGGSMGGGPDAALFFGCAAAAAAGAHHHQGLRSGSGVGSGAMSPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKRELVRVGPANRLLRGFTRSLGRLFRMRPAAEPGLQQLGAKATADAKVLFQRRRRHSIS >Et_4A_032487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10591915:10596101:-1 gene:Et_4A_032487 transcript:Et_4A_032487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKELAEKLGGAVLDMLFSRGTRLDFHLWNGWDREDNSGTVGLCRSGVLGISEAIIACRRGRKVTTQNEMVARMVSNTPHINLKGLKVEEWLQILQIDGFFEEGSSHQQYLESIARRIAEKCLGSPLAAKSLGVCLSGTNGQREQLENILEDFQFLDRNTYIIMASLQISYQHLSYQLKQCFVFCSIYPIGHEFEKDDLIADGLVKIYRTTTPEMEATSYFNDLIQRSFFETSNSFPNPKFRVPTLMLKLARDVFKHEYLTLDPDYSPVADHPKWIRYATIVCSTDEPLAFDKIYPYKNLRFLKLSPQWNKFHQHFSQRDCYSLIDLPEGLCRLVNMRHLMLHLDWDRVVAFRSIPSGVDKLRSLQTLSRFVVVPRDGGKCNINELRNLKICGELCLLTLEAATDDGALEANLSGKKYLHKLMLKWSDDTCRDEQQQHVEDSKRIIDALCPHNNLKHLRIEKYPEKELPSWVENLSSLEFLEIISCPKVTQSSMGTLQFVGNHSAAAECLVHC >Et_8A_057634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5537719:5541676:1 gene:Et_8A_057634 transcript:Et_8A_057634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSHASSSRDDAVACTFASRYVREQLPRYRMPERSIPREAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMDSINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIKEEETAVGVGTVGSSEAIMLAGLAFKRKWQNKRKEQGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPVKAVEMVDENTICVAAILGSTLTGEFEDVKKLNDLLVEKNKETGWDVPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRSKEDLPEELIFHINYLGTDQPTFTLNFSKGSSQIIAQYYQLIRLGIEGYKSIMENCMENTVILRDGLEQTGRFEILSKDSGVPLVAFSLKDSSTISVFDISENLRRFGWIVPAYTMPPNAEHVAVLRVVIREDFSRSLAERLVLDIVKVLHELDERATHAVKVASVAAAQTDDGVVAKKSVLEIQREIASRWRKEVDKKKTGPC >Et_7B_055579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15271434:15277823:-1 gene:Et_7B_055579 transcript:Et_7B_055579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAARSRRLSVHLFSRLHPALPHLLSPHCSGDHPSPSSPPPPLRPPHFPLPHQSSGTAQTLNLFPFGIHLPGAGPFRRGFSSLPSYRVPDAGAVLTDAADAAVAAAPASFPSEVSWAAEDSSLSVAAVQHLIDAVHSFTGLNWGVSIAISTVLLRSVLFPLSFFARKQAIISNMVEKLPSFKEGGTFWFTDLTTPDALYIFPAMTVLFLLLRVEAICTYFVAWSFASLTHIIVLNQPGVKKLLHGDCTKQACSSSDAPKGPTAEDSPPPVEGHEKPHRPDRREASDSRVDGVTDKSDKKSGKNTSV >Et_3A_024607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22554907:22560451:-1 gene:Et_3A_024607 transcript:Et_3A_024607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDAELARAQEERRKLEEALAAGAPMAVSSVTFDTDLYGGGGSDPNRFAGYDTSIPASEDDAVEDDTEAPAAPRRIASYTGHAIAAADLPRAPDDDGLPKRSQRIIDREDDYRRRRLNQIISPERHDPFAAGEATPDPSVRTYADVMRDAALKKKKEDLLREIAKKKKEEEEAAKEKEKEKEKKAAPEQPAAATKRRNRWDQSQDGDAAAGAKKAKTSSDWDAPDATPGIGRWDATPGRVGDATPSVRRNRWDETPTPGRMADADATPAAGGATPGATPSGAWDATPKLPGGLVTPTPKKQRSRWDETPASMGSATPGGLGAATPAGYTPGPTPFGAENLATPTPSQISRGPMTPEQYNLMRWERDIEERNRPLTDEELDAMFPMEGYKILEPPANYQPIRTPARKLLATPTPLGTPLYNIPEENRGQQFDVPKELPGGLPLMKPEDYQYFGTLLNEEEEEQLSPEEQKERKIMKLLLKGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTIAALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQVLIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPEFFRHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPSLEDDGDNIFSRPELAMTVPSDGAVQSLGKIQIDMPN >Et_3A_027078.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32138805:32139110:-1 gene:Et_3A_027078 transcript:Et_3A_027078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFQEVDYCTEEVRSVATPGVFGRHGGVGVQQYVVKETFEEVDQVPRGRGHGHAHRHGNRGHRGHGSGHFEKRESMFEEDVNTATGEFHERKENFVVRAD >Et_6B_049839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14701273:14705520:-1 gene:Et_6B_049839 transcript:Et_6B_049839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNATPISITPATTTATAPHHLLLRGRRGGARHRNARFRVAAASSAGGGAGGGSYLDMWRKAVERERRSAELAYRLQAPPVAEADAAPAGPDVERRTARFEEMLRVPREERDRVQRRQVIDRAAAALAAARAVLKEPPAQSQSPSPPPSPPPTPPQEAEAVTSSAGSVLGSGPKKSDQGSRPAAPALAQPVEVTDSGDSSTRKQASSKLGTPGPDFWSWLPPMQSSTKPVEGSTGLEPSKKVDPMSRQPDLLMEKEKSADYLSLPLETSFIKSKEDRSLPPFQSFIKPEDADPKVDLAAEAEETFETQFSKNAAEAARALSESDGKSSHGVYQDGTLWWKETGIEQRPDGVVCKWTVIRGLSADGAVEWEDKYWEASDRFDHKELGSEKSGRDANGNVWREYWKESMWQDYTCGVMHMEKTADKWGQNGKGEQWQEQWFEHYESTGKAKKWADKWCSLDPNTPLDVGHAHVWHERWGEEYDGSGGSVKYTDKWAERSEGDGWSKWGDKWDEHFDPNGHGVKQGETWWEGKYGDRWNRTWGEQHNGSGWVHKYGRSSSGEHWDTHEPQETWYERFPHFGFYHCFENSVQLRSVPRQPPRK >Et_6A_047616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8333034:8339780:-1 gene:Et_6A_047616 transcript:Et_6A_047616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVLGVAKSLVEGTLTKAQAAIEEESKLQQSTQRDLVFIVGEFQMMQSFLSVTTEEHIRNKIMSTWVTQVRDLAYDVEDCIEFVVHLDPKSSWRRRMIPPFMRQDLPLDEAVDMIEQLKARVQDVSERNARYKLISDSGPKPVVEVKETIAHAGEQSVLKERDLEVLTEMITKKGRSLQVISVWAMGDDLEATRIIRKAYDNPNMWGNFGCRAWVKIEHPFKLQNIISSLLDQFYANSPQEEHEKDSFKTRQASKAEDNVLQEFMQHVCSQTYLVVLEDVSTLVEWDAIRKYLPDKGNGSYIVVSTPQFEIASFCTGLPYYQWFSADHSICVFSKEEFPLIGREIEINELFRYPGTARLNGWQVIAVWGIAGAGKSSLVRNVYHKMFTPNGLYEKYGWVDVSRPFNLRTFCQSLLLQFHTHSLQANEAIYCAAAGVRDAVKECHSILTSHRCLVVIDDLRSTEEWDLIQAALIARPSESIIIVITNEASIARYCADRKELLFNVKCLEAGAAVDLFRKEVKKKNPRFPKNVVDNNEVHTLISKCGGLPKVIVTLADFFASQPYKWIDSATSMNKNKEFMHNLETKPEFDRLRGQFGWMHSYFRTCPDLLRPCIFYLSIFPGYCNIRRRRLVMRWVAEGYSKDNESLTAEETGEELFSSLVELSMVQPPPQTITTEMRMVLCRVSAFFHEYIISRPAEENITFALEVFTLQGCCRKTTGRTGRHLIIEESWDRDKIVFESIDFSRLRSLTVFGKWEPFFISKGMKVLRVLDLEDATGVTNEDVDNMLQLLPRLKFLSLRKCSEISRIPKSLGDLRQLQILDIRYTSVKIFPDTITKLQKLQYIRAGTATTTDICKHHQVSGVDVPAGIEDLTALHTLGLINIGTAWGKAILIEVGKLSQLRKLGVSGVSKNNSKELRSAISGLTHLESLSLWINKDSQNCLDNIFSTPPENLLRSLKLYGLVDRLPQCISLLRKLTKLDLEIIMSTKVDMTVIGGLPELCILYLCVRSKTGGQLDFHVINVGVEDRSYEKVKILEIVCSSTLVISFGSEAMKNLEHLVAHCATNGLILQFEELKRLSKLRKVQLIGLHNTELKQHLEEQLENHQNKPAFRLECTTH >Et_1B_013034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:489555:490316:1 gene:Et_1B_013034 transcript:Et_1B_013034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAANGGGCAAAAAAAAAGGAPGAGSPCGACKFLRRRCVPECVFAPYFSIDQGAARFAAIHKVFGASNASKLLSHLPVADRCEAVVTITYEAQARLRDPVYGCVAQIFALQQQVAILQAQLMQAKAQLACGGGVSPVSHHQWSSDNSSSIGSLLRSQDNACSFPGAAISPELVASFRDDVAMQQHCAKADAGDLQYLAQAIMRSPNYSM >Et_2B_021130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26743463:26745778:-1 gene:Et_2B_021130 transcript:Et_2B_021130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGDGSSNGNQQSHKELRDETTPLLPVKVEEEEGFHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILMIIIVALLTEASIDMLVRCSHQGKITSYGWLMGEAYGQWGRIALQASVVINNVGVLIVYMIIIGDVLSGTTSAGVHHRGILEGWFGAHLWNSRAIVLLATALLVFAPLVSLKRLDALKYTSALSVALAVVFVVITAGIAIIKLFSGTVAMPKLFPELDGLNSIWKLFTAVPVLVTAYICHYNVHSIDNELEDRTQIKPIVRTSLFLCSSVYIATSFFAYLLFGEGTLDDVLANFDANLGIPFSSVFDDIVRVSYAAHVMLVFPIVFFALRLNLDGLLFPTSRHISRDNKRFAIITISLLTAIYLAAIFIPSIWDAFQFTGATAAVLIGFIFPAMIILRDPYAIATKRDKILGVTMIVLAILSNSVALYSDAMNIFRKKEVA >Et_1A_006062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17301440:17309795:1 gene:Et_1A_006062 transcript:Et_1A_006062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIWCTQGCKASRGSETLKDKCSSIDQATSYMHVQSLRNFPIEKLCGEVVMVRLDSAHLLGPVEPCNLSLNKTLLTIKYLLAARAKVVIVTSWDTVVQSDNPVVKSTEAFAEYLSSLLQVGVIPVNGLPGLTSVKKEEWVQSDIILFENLRNYKGEVSNCNDFSQKLASGAAIFVNDSFSLSHKILSSTVGITRFCYASIAGFHFEEELMQLLKITDTTRRPYIAIIGGSNFLRNTPALHLLASLCDGLFFVGKLSFQIMNGLGITVPSRFIERNAVAEVLQLIQLARDRNIPIYYPADFWCFNNDDNERLGIFNSTDLSYGWIPADIGPSTLEKISSLIPLYKKILWIGPTNYDLTQEFSVGVTRLGQILEKTSSDICDIILVGNAACKAVQGMSDSSFRYTKFQNASVVWEFLKGRILPGIAALDKRYPYQIPWSTVFSDPILPLVVDIGSGNGLFLFQMARNCEKSNFLGLEMNEKLVIRCLQGLASDEKRNLYFVSTNATSTFRSIVSSYPGHLTLVTIQCPNPDFNKEQNRWRMVRRMLVEAVTDLLEPNGKVYLQSDVESVLLGMKEQFISYSKGRLVVDGDNGGHRMENPFGVASDWERHVLARGAPMYRTMLRKV >Et_10A_000101.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:23339046:23344023:1 gene:Et_10A_000101 transcript:Et_10A_000101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGPLVFAGKSVLAPVVKEIITKAFRYLDGYFSAETMEEMKTKLFDGMMQIQAVLDVVSPDHIEGESESLDQWFWKFRDAVEEAEDAVDELEYYELEEKAKDHQVSDWGSPIAKAKHKVITSVKHVSVLDKTVKQFTHRGTLKRLKKAVDGLDKAVASATKFLEVVKYHKGAASSRSGQQDFMSSDRQTGSTPTVRKFEFVGRENEKKEILEWLTKRTTVEEEAEIVPIFSLIGHGGMGKTTLVQQICKHQDVEDFKIIWVTVSTSFNATLVTRKILESVDKKTPKADSLEPMQQILKDKLKSLGKFLLIFDDVWEENKRDEWEKLFAPLRMGKSGSKILLTTRNASVAAMAANVMKVAREGLTLKELDEGDNLALFNHLALSGMNSQDYSDLKVIGEQIARKLGGCPLVTKVAGRHLQGNMTREFWDKFLHEGLEDFKGNSDDIMKVLRLSYYHLPTELQVCFRYCSIFPQDYKFRKEELVLSWIGSGLISTRENEEQRLEEIGETYLFQLTTKSFFDKEIRKDYEFSGDGEEIYVMHDLMHELAEHVSFGECKRITSPVKFTDVKDTIRHLHIEDLDSLDFKKLVHLKNLRTLIISGYNKHMEDTVGYILENSRSLRLIKTNCHSYAFNFPKKTGNLKHLRGVMVFKVAPESIHVIHKLYHLMVVVLERRPFGSQIDIRKLRNMGSLDRLRYFPYGRFGQFPVSRLASLRVLNGYKVQGGKGNKISDIGNLKYLHELQVEDLENIDNSDDARKAKLNEKKHLMSLSLRWSASASHTQNRIDELVLDQLEPHANIRKLSIHNYTGLRLPFWMDNDNLSIKKLVSLELEGCINWERLPSLGELVLLTDLTLGRLPKLQQIGRYSPVSSSSSMESYLPPSLQSLRVRECPELKEIPLLPTSLVCLELEEVGLTNLPKIGKLHGEDVHGQRSFKEMNTLEILCIAGCPKMRMLGGAGDVILSPSVRKLTIGPCGDLKLPLLVSLQYAINLSSLELCDLDLVSLPSADVWSKLKSLSFLSIVKCDYLVSLGGLGSLPSLSRLDIYDCDNLVSLGGLGSLPSLSFLSIHGCRNLVSLGGLGSLPSLSRLYIKGCCKLVEAGRPSLTSDASGGEGEHLVVDYLYIDSPSLLLVQPLKSLRHTQNLIIGVVSGTEGLPERWLLQNCPSLRYLRISKAELLPLSIRDLSSLQTLDLRDAGKLQSLPDLPLSLRTLYVLDCHPDLEKKIGGYGSPEQDKISHVLRAEIGTSTLPISSSPVFDCPCVPCSFWFAFIYIHRLLAHRMLFCILCILLVESVDSSSCFVNFSFLSLQFSNVPVLSRFTLTDY >Et_3A_025021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26106590:26110885:-1 gene:Et_3A_025021 transcript:Et_3A_025021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRKRGGDHGDAVLLDAVGLGDFARLGLTDPPDGLDLVAAYDAASGCIAVSVGGAVFSASPFDLAAALALPTGAVGLFDGAGAEFLSSAEAIAAVRRFVRDRVLLGSEEDVGTASKEVAAALRLVEEGKAYEVDWGGLVWAALKGELVAGTPRRYAPYLIRLLEYQRPELFAEVDERLPLRKRFKGRTLLQGEMGISDEIEVEGDKEGISLDYGLSQDIGDLEDMPIFGEGKEATDAVPVDCKRTFLEQEEIHGVGNGHAELGSRSWSPSDAKLLDNDMECDIGEGIACSNANNQDLAGNLSFSGQLCVRAEQEDSSIPHRLVYGSKSLPASNLQRVIEIEDEDDSDDVGVGLSSAAIRNVSLDISPYPAAQHRGTEGIHNGESLPSFNDCLQQILVHVFVMKKRYLDQEKEHGQLQAEVQYLKKMVMEKERVIEATKSDILKDLGAKKTEMYRFEHDKEVMNRTVQHCKELFKKSVAEFREYKNKMLHGDAVDLYLGVSEKKCAWMKQLHIYCQWIQKNWSSGSLKLDQMVKQMAQDSENLEHEVKRLTIPDLNTGKPKLYTISIYILGTGDDDEVETFPRKLEDIAQADEGDHVDSSTLSAKHVGELMANPVQIDKTEGAYANRVQGRSSEDDACHGGVWSRGSICAGTGFAGFFHNCKARLTFSGAYSGKQALLCHHVRLPQELLDSLVHEAVVSQMAPGAWTLMPGVILGTGESLKHWSVAPGSVAMVLPGATEVLEQQHGTAVTAT >Et_3B_027657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11134508:11136113:-1 gene:Et_3B_027657 transcript:Et_3B_027657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAALRPDAYTLPFLLLAAARCPAHAAARSAHALLEKIGLRDHDHTVHSLITMYSNLGDPLSARRVFDGIPHRDVVSWNAMMKAYERAGMSSEVAGMFRSMIAEGAVAPNGVTTAVVLTACRYEKCGEIVEARRVFDGIIVKDVVAWNAMITGYAQNGMSNEAIAMFHSMREAGVHPDKITLVGVLSSCAAVGALELGAELDRYASHRGLYGNVYVGTALVDMYAKCGDLDKALQVFQKMPSKNEASWNALICGLAFNGRGHDAIQQFELMRNEEGLQMDDITFIGVLSACVHTGLLEYGRRLFHSLTPVFKIIPKIEHYSCMVDLLARAGHLEEAWDFLEKIPGKADAVMLGALLAACRKCKNIEVGEKVIQRIMKLEPSNSWNYVVSSKIYATTDRLDDSARMIGLMRERGVSKTPGCSWVEVNGKVLEFYASTEPQHGAEDMYELMDILVDDMKLEGYIPDLDLL >Et_7A_053054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5877899:5879122:1 gene:Et_7A_053054 transcript:Et_7A_053054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAAAAKKRLGVGVGGGGFALGCGCKDAKAVAVAASSASPYSSATATDASTATTWRSRASRAAHPSASGSTGTLTVPSASSSSFPWEDAEVIDGDGDGEEEVDCKRESSVANTPSFSGLLRQLNELEQSVVSWGRKSTSKSHLSPPPPPLSTSRPAVKQRAVQSGDRRDSKEGHGNFSPPPPPPPPPPPPPPPPSFQLDGTVAVVKQSDDPLSDFRRSMVNMIVENRIATGDELRELLRHFLALNAPHHHDAILRAFTEIWDEAFSSATKAAAPRRESPAARRPTPPRQRTPTPPQRRRQHHPSPRVWR >Et_1A_005282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38003908:38005673:-1 gene:Et_1A_005282 transcript:Et_1A_005282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQQPRKQSATGKVEGGQGQAMNLEEIGKYRAEAQQRSADAIRAAEERFNKANQGGGAKGSVAQAPSATLVSHQEGGTKQPAKQQETSPAAAHGGKATAASCGGQETTKKSSSSATTEQEGGQAHGGQLTRQEEAGQQQSSKSTKDTASHALGHASAKGAETKEAAAEKTQEATGGAVDYTKQTAAKVKDVTASAAGTTAEYAKAAAAKGKDVTLATGGTAAEYAKAAAARAKDATLATGGTAAEYAKAAAEKAKEAAVATARTTAGYTQQAAVKAKDVTVATGAQVAQKAKEVTADTAHRVAEYAKEKAEQGKEAAARAADQAEEPARDGAHRAGGTAEQAKDTVKDATGSLAQKASDIAGRIKDTAKDAAGGVEQKTRETAAQARDKARDTTSRAEHKAWKAKDSASDTGITGGRGGATEGTTIVGDVLEAVGATVVGLAQHAKGIVAGEEELVPGDVEAKVGGAKEEKHKTA >Et_2A_016603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26565541:26567761:1 gene:Et_2A_016603 transcript:Et_2A_016603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFGLGGALGLDLGGVGGGDLPPGFRFHPTDEELVSYYLFRKAVDGSFCGRAIAEIDLNKCEPWELPDKAKMGEKEWYFFSLRDRKYPTGLRTNRATVAGYWKATGKDREIRSARTGALVGMKKTLVFYRGRAPKGNKTSWVMHEYRLEGTYAYHYFPGSTRDEWVIARVFQKPGEVPPARKTTRHGGLIASADASCFSDSTSASVGGGGGGASASSAPRPLLTDASLFASAAAAAGDGESSIYGYGGNANAAGNNNNLVTGRELVPCFSTTAAMDATLGIGQYNPPAPLAFEPPPPFFAGLRSLQDTVHLPLFLSGAAALGGGGAHHWGSDQVKAEGGCAAPQMAVGPSQLDGAFAWGF >Et_5A_040868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13772398:13777456:-1 gene:Et_5A_040868 transcript:Et_5A_040868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRRFLFDLNVAQEEPDEWDEPEEAVEQERAVAEPEEDAIEEVVEEVVEEAVEPEEVIMDEEEPVEEVIMEEEEEVAEEEAVEEEEREGRRKRKDYEVFVGGLPLDAAEEDVKRALAEAGEVEEVRLARDPADSKLNRGFAFVRFAAAWEARWAANDLRTATIKGKSCAICKNSENETLHLRNICFDWSKDDLAEKLKPYGLENLDRINLIEHPERRGKNRGYAFLDFRTHVDAVAAFLKLQKGDLYLGTDFRAHVSFSNTLSQDDEVMEKVKSVFLDGLPPHWDEEKMREMFGKFGEIDTIQLARNMFTAKRKDFGFIGFMTRQSALDCIKVVNKDGVGEGSEKIRVKATLQRPRPTFKKPSWQGGSSMLGTKVLVAEGTTQTDIGALVLKGVLIQTIFLVVGTLQILMKGLFLCENTEVTIEEILQHMLRAINMEGRIQAPEPGKHMLRVDIPINTPSTDRRMKNPCREIHTAGANMDIHIRKELIELLAQNYQNGEEFSATSGCEQAYHKKDHDVTPSTASQVIISHCDDSYIRDRPLIPSSSAVMCDCRDCYMEQESSPSSSEHVRTRSNLQAPLHRRLVKPSREHRSFMPDDHSAFEVEYTVRESRGRYVSSRDALSSHSRKHHRPAR >Et_9A_062161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20201217:20203069:1 gene:Et_9A_062161 transcript:Et_9A_062161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGVLAGKQKGAAAAQPRNPLGDIGNVNLVVPEGINRPITRSFGAQLLKNAQANAALAKKNAVAPAHPEAQRAAPKPARKAPAKLAPRAELGRAPRPEQATKIATGLDQNKKPSEGAAGSSSAYKSRKKAVNTLTTVLTARSKFACGLSEKPKELIEDIDKLDRDNQLAVVDYVEDIYKYYKAAENENLPSDYMGSQPEITAKMRAILSDWIVEVHGKFGQMPETLYLIMYIVDRYLSLQPVPRRELQLVGMAAILIAAKYEEIWAPEVNDLIEIADYAYSRQQILGMEKAILNKMEWNLTVPTMYVFLVRFAKAAGRDDKEMENMVFYFSELALLEYSTVTLCPSFIAASAVYAARCTLKRSPLWTDTLKHHTGFDEPQLKECANILIRCHDALPGGKMRATYLKYAKKFAWVKQSPPAAAPG >Et_3B_030151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30966694:30980446:-1 gene:Et_3B_030151 transcript:Et_3B_030151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLLAIAIFAAACAAPLFLEAEAQSVQQGHQTERISGSAGDVLEDNPVGKLKVYVYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSPVRTFNPEEADWFYTPVYTTCDLTPSGLPLPFKSPRMMRSAIQLIASNWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPFAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPKLDSILTSIPTDVILRKQRLLANPSMKQAMLFPQPSQPRDAFHQILNGLARKLPHGDNVFLKPGERVLNWTAGPPGSAGDVLEDDPVGRLKVYVYELPTKYNKKMVAKDSRCLSHMFAAEIFMHRFLLSSAIRTLNPEEADWFYTPVYTTCDLTPWGHPLPFKSPRIMRSAIQFISKRWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGVLPLLRRATLVQTFGQKDHVCLKEGSITIPPYAPPQKMKTHLVPPETPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEDDVPKLDTILTSIPMEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHGKDVFLKPGQKVLNWTEGPRGPMGRERRPWSAAAAAALLLVVSCVAAAPLQHQHARISGNAGGVLDDDPVGKLKVFVYEMPRKYNQNLLAKDSRCLTHMFAAEIFMHQFLLSSAVRTLDPEEADWFYTPVYTTCDLTPQGFPLPFRAPRIMRSAIKYVATTWPFWNRTEGADHFFLTPHDFGACFHYQEERAMERGILPLLRRATLVQTFGQRNHVCLQDGSITIPPYANPHKMQAHLINPGTPRSIFVYFRGLFYDMGNDPEGGYYARGARASVWENFKDNPLFDISTEHPYTYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFSDAIPWEQISVFVAEKDVPRLDSILTSIPLDDILRRQRLLASDSVKQALLFHQPTRPGDAFHQILNGLARKLPHGKGVFLQPGEKVLDWDAGLESDLKPW >Et_1A_004852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12190096:12190631:-1 gene:Et_1A_004852 transcript:Et_1A_004852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQQQRWQEGHRLCANNCGFFGSPATLDLCSKWHLCCRGLGLFRAHHAVAAVERESCYGGRQVRELPEARGPDGVRVPVRRHLLRRAQVPGAARVRVRLQSRRPRRHRALQPRRQGRQAQGQALTDEPRARQLSRPAPPGIPKRCHGYWWCCVCM >Et_9B_063891.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19681128:19681205:-1 gene:Et_9B_063891 transcript:Et_9B_063891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTERTILSPFTKEYRVLVTISSIR >Et_5A_040905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14498731:14505231:1 gene:Et_5A_040905 transcript:Et_5A_040905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDARLDAVIFQLTPTRTRCLTEVVMLLSRFDLVLIVNGRKEKLASGLLKPFLAHLKAAQDQIAKGGYSITLEPSSGFDAPWFTRGTVERFVRFVSTPEVLERVTTLESEILQLEDAIAIQSNDNLGLRSVEDHGKRLTESNEGSRVNSDPNADTAIVLYKPVSHSTQPVQNETTSQEENSKVQLLRVLESRKTILRKEQAMAFARAVAAGFDIDSLGFLIAFAERFGASRLMKACSQFIELWKQKHETGQWIEVEPEAMSTRSEFPPFNASGIVFMEPSSVPNVEANGEDGAKSDQKSGQHMGYQTSFPPWAMHPPSGAAVYPPYAMQGMPFYHGVNPYYPPYPPVDDPRYHHSGRKSSRKHSSDSKDSDTHDDESEHSSSERESSHGRKSHRKGKRSGKKKPSVVVIKNVNVRSKKHGSSESESHSSSDSGSGYSDDSRSKSREGKHKSSSSKKKGGRKTTFDSGDEHSKDETSNGQDADQGNWNAFQSFLLRAEEKTRSNDADLFAGEKEPPSMRKKNVNTADPILLAERDSCDVHERNRVGFDSANGRTRAVRIVSNDELLTSGEGRSYMDGEMKELEAGGGRYRRGTGEDFMVYGQERQTDRATLLDPLAEAQFRSPTQQDKKESCGADESFMIPLRSSSQDNFGAESRTTIDIDIELPTSTQKTPDGKSGNQLFYEPDELMPERGFEDSSFGYDPAMDYDDQMLMQTAVKVEDKNVEDVSPAIDDDAKKSEKGKLRNAKDGSDKRRKDALLRRLSAPRTPLNDAQKRAQNLRAYKADLQKLKKEQEEEQIKRLERLKLERLKRIAARGNGKTPGSNSPKANGINGLSKSVPSFIGVKKEKTGTTESLSDRLKRLSEPKITAGEDNLSNLKSAGADHSRRRRLQPDLLVQGKRKDNAGVISFACFQGKNQITLPEPSQPTPGIYASLQGGSPTFAVRP >Et_9B_063618.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:10622015:10622341:-1 gene:Et_9B_063618 transcript:Et_9B_063618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKLRPWPPLSLSSPWLLDGWMDGSSCAHLPHRAQTVSTYLMSHKNGFPGVSWPVDRLLSSPIIAVLASHPLSPFLFACGFAVLMCLLHLLVPSSLLDSCYFWCSG >Et_1A_006121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18085127:18087875:1 gene:Et_1A_006121 transcript:Et_1A_006121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVANTVSASHVLKIDGYSSTKGLATGVHLRSCAFAVAGHSWHLAYLPNGDCPERSDSIAFFLCLNDAATSVSPVLAQFMISLLDRYGKPILTYTKAMPMIQFTGPGASWGFSSFIKRDTLEKSRNLRDDCFSVRCDLTVVTEFSAEDAAAAAAAAPRFVAVPPPDLPRHFAELLQSGQGADVGFRVDGEDFAAHRCVLAVRSPVFRAELFGAMMEGKAAHCVVIEDMRADVFRNLLHFVYTDTLPPQAAEPRPGREEEALMAQHLLVAADRYGMERLKLICEDRLCRHIDVATLATTLALAEQHRCQGLKEACIEFLKAPGALNAVMATDGFDHLAISCTSLIKELMSKLAARLLELRDVGHVVAGNFI >Et_3A_025678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31854147:31855572:-1 gene:Et_3A_025678 transcript:Et_3A_025678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDFDVLAMYTGVDEEDAEAAAAKEEKQKQKQKRRQNLLIQEPPPPPLSPEEMANRQMLVKLREYDPKLGGSFYTRIWFLDFTKFDIDEETKYGPMRFTDSIIKEGHKLTDSLNVLFMKIMSSDVGFPINLYGTVVIRDGLDMKCNYIFRRDRDNCQLVESQGDSLTLTGPSRGVVFLGDAYFEIDLKIREGKEERDDRKFNKAFVDVDGQKIYNLFQRQIVDSWLSEVDLTFAYVKEALEGTIEIKILSGPQYFVGKITACTTDLPNADEMLFYDSSVDGAVGDDRVIQLFRRVVAVSADQMLVFKIWDGSARISPHTIRFSPLIKGANKHVVPCGLYELRVEVVWSTLWL >Et_1A_004821.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:10316544:10318670:1 gene:Et_1A_004821 transcript:Et_1A_004821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLFAHMHLHAPPAPTAPTYNALIRALCRRADLRRALQYLSLMVRSGWRPDAFTFNSLIVGYCRTQKLELARDLFDKMPLRGFPQDVVSHAALIEGLCEAGRIDEAMEMFREMERPDVHTYVALVKGLCDAGKGDEGLCMLQRMKEHGWRPSTRAYAAVVDFWCREQKVDEAEELLEEMFDKGLAPCVVTCTSVVNAYCRKGRMKDAVRVFESMKLKGCEPNVWTYNVLVQGFCNEGKVYKAMALLDQMRRCGVDPDVVTYNLLIRGQCRDGYIESAFRLLRLMEGNGLIADQCTYNTLIDALCKDGRIDQACSLFDGMNDSGIRPNTVTFNTLIDGLCKAGKFDVAWTFLKKMVPAGCAPDTYTYSPFIESLCKKGSQEGLSFIDDMLEKDVKPSTVNYTIVIDKLFREKNYGLATRMWSQMVSLGCNPDVVTYTTSLRSYCNEGRLDQAENVVMKMNKTGVTIDTMAYNTLMDGYSSIGQTDNAVSTLKHMESVSCIPNHYTYLILLRHLLRKRLAEHVPLKEPGVWKTIELSDIFELFELMKKNGIPSSARAYLAILERFSENRRLDEVTSLISHMKEDNVPLNEDIYTTLVNCFCKLKMYPDAWALLCSMIGSGFLPHLMSYQHLLSGLTAEGNVDMAKEIFRDSKWKDYNTDEIVWKVIIDGLIKKGHSDVCRDMLAILEQMDCRPSHQTYAMLTKELSTKE >Et_1B_012730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34761962:34763992:-1 gene:Et_1B_012730 transcript:Et_1B_012730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLQLRLRSCRNGKTKPGSELGVGHAVSAPPTSFISPRVLPRLHLAAGWLPPPSASSPTSARRAARGMEPRKSVPRVVAVLAGLLERAAELGDGEGDAPASAFRGRTRPGIAVRRYAERIYRYAGCSPACFVVAYVYLDRLARGREHEEEDDEAEEAGAAVVGVDSYSVHRLLITSVMVAAKFMDDIHYNNAYFARVGGVEVAEMNGLELELLFALRFRLNVTPDTFARYRAALEGEMMLASLAGVEGAVPLLPPPPEEEEVDKRRDRARKQDATATVTVVDRAFAAAQRAVVVEIAQ >Et_5A_040844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13251324:13253877:-1 gene:Et_5A_040844 transcript:Et_5A_040844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYNDVDLGYEDEPLEPEIEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >Et_1B_012125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29149999:29151527:-1 gene:Et_1B_012125 transcript:Et_1B_012125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEENQPIPLHQGFRSAGGMFLGPGRPYYNIVSLGFWVPEGTKRPTTHTPDRVIPVLRNRSRRTQAPPLPVTTTNASGSDDPKLEEDGDASGVCVELPLHITEDILRRISPIESVHLTAVCKSWAATVSDKLARLAPHLFVIEKVPSLDPRPFARQFDHRGYVVSVPLDGSSDGAGAPLVTVAVRGQLRYLLAAQTTKCIGITRDGRLALAGSRKVIFIDPVTDHESQRLNLCYSPSWTPLLATGGDGHDAVFSEDQRHRLTLCKEGSKRELFKSYGCYHPGIDIMAAVNCSGVIYMVDEDGYTSKVDTNVLPPLSIEGLNVESINHAMMLLGLPARGELLFVRQLLSHNDVPASCPEHTHLYHIVGFEVYRLEVVAGREWVKVEALPGDRSLFVSPNSSFAVRAPEQLHLLRRTVLPLVPRKGGESTWGVYSIEEGKVLFEYVFPLRKPGTRIVARWFRPSVGSPS >Et_2B_022469.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2308213:2308899:-1 gene:Et_2B_022469 transcript:Et_2B_022469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Et_1A_005883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15062646:15066224:-1 gene:Et_1A_005883 transcript:Et_1A_005883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWALTPVDTVPGTPPSLHRPGPSAVFLMSSMSGTQKHYISAAGTYKVGRKGCDVIVQTDTSISRVHAEISIEKMVAWDMRSGAPATPSCVRVVDRSKYGTFVNKVHGTQGSRLHKDEDVMLSDGDTVTFGSGNGTFRLSFVPIVVFFAGKKSARIDPSLQTVITSIGAYATRKWSDQCTHVLVDESCPLTPELLDAVIAKKQIVVGEWFKAIAEKNMHTEIPSCTQYTPNLTLDGTMIKMVDVNLIQNCLAGYTFILGSSDKVYKFGEKLHALLELAGAKYIHIDEYCANSQDSGAGDSDQQILVVPARYPLEFSKIRLLFPLSKISDVKLFAAVLSGRLEASVIEPPAFIVTSSNSTDETIVADSDVEMETATSAPTVDASKSQHVVPDSDDNEEITNTTTEDAVCFGGNKANVHQKDLEKVEVSKPMENDVQAIEKTVIHRFQGRDENVRTINKVLKDENMETSRDGTCDVIFSQGLVVRSFPPSAPSTPTETEGVNFKRFRKRETMSGNSFKDLIPFAQSDYERGTTNFMREEKQRKQMEAIADDLFNNAKSKKRAAAGSSIQTLLSGCR >Et_1A_007044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30296076:30300772:-1 gene:Et_1A_007044 transcript:Et_1A_007044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGYCLHGKMNEAMELLGGMVNVGLQPDDVTYGTFLSGYCKNRRIDDGLALFGEILSMNFKPSAVTCNVMLQGLCGNGCLDEALRIFESLCSNKFQLEVRTFNILIYGLLKVGHKNETKALFTSIWSSGLVPDVVTYSLMIESNIKEGLIEESDDLFLSMEKNGCAVDSRMLNTVVRALLEKGDVLRAGSYPSFLPQMAAPRGVSAAATVRDVSRRVRTDRRSCSELERIIRESYSSGSLGPEDALHLFDELLPQARPASVHAINLILTVIARAPTSSSVRNGHALTVSLFNRMAQAGANKVVPDICTYDILIGCCCRVGRLDLGFSAFGQVLKAGWRVNAITFTPLLRNLCAEKKTSDAMDIVLRRMRELGCTPNVFSYSILLKGLCNDKKSPEALEMLHMMVEDDAVGCQPNVVSYSTVIDGFLKEGNVGSRDSPNVVTYSSVIDGLCKAKAMDRAKYVLKQMLDKGVKPNCHTYNILIHRYCSSGQLKEAIRILKEMFRNGLEPSVVTYNLLMDYHCKTGRLTEARKILDTMVQKGQKPNVITYRILHNGYATKGTLVDVHGILD >Et_1B_011819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26233486:26236830:-1 gene:Et_1B_011819 transcript:Et_1B_011819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRHKSKRDTLPWTNISVAVLCLAARDWDAAAVERVVSLGAGDKFVKHPCCELRFEQHDPVGFVPITIAGKHGASESGLQSFADTPHALITTMTSGSSTSIATTFVMMPGSLLLFLTQVKTSGGGGDKHRSGLLLFIGGLAFGWGKLNLTERREMFRLPGPWAKRDKRKCKNGSACLHLKLTEAENQTAALICYCLTCKGVVLGGGAFAADWGVRSTNYRYAIVLICQAHNYNHKPDTCQTNPDARTESRRDPYVVSHGGARLASLAARDGDAAVGEGAAGVGAVDGPYAGLRAYWQKHPCCARRLEQHAAAGATPLRIAGAHTASCPGSHRCADATPALIAAATASSATTIATAFAIDRHYRCCRCFTASLALALVVHDSSVTCGVECGPVVHGKRALGGYL >Et_10B_002869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12900652:12908961:1 gene:Et_10B_002869 transcript:Et_10B_002869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVSADLPVIDLESTDIVAATKSIRKALVDYGFLYVINHGIDDATMKSVYAESKKFFEQPMEKKMALEKNSSHRGYIRPDFEGFEADAGGKGDLLECFHIGSDKSKDVNQWPSAVFFSNISFLYLVFSCHPRATSKKIMSLIALSLDLDAEFFQPDGSETILRPIHYSGSAMESKYGSDHGANAHTDYGMLTLLSTDGTPGLQICRGKDARPQLWEDVHHIHGALVVNIGDLLERWTNNVYRSTLHRVLMVGKERYSVAFFVWPSPDTMVTCIESCCSEANPPRYPPIRSDEYLEQRLTSTYKYK >Et_4A_033124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18833547:18838227:-1 gene:Et_4A_033124 transcript:Et_4A_033124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPVQKNTLYVGGLAEEVDEKILHAAFVPFGEVKDVKTPLDQATQKHRSFGFVTFLEREDAAAAMDNMDGAELFGRVLTVNYAFPERIKGGEQGWAAQPIWADADTWFERQQQEEEMQRLQAEHRAAMQAAEKMHREKLAAEREGEKEEETDPMAAAEAQAVKQSS >Et_10B_003342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1954446:1956767:1 gene:Et_10B_003342 transcript:Et_10B_003342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRLGEGTVIGFFWLRIAAHSYRVALAGNFAGMQSQIVCHGCRNLLLYPRGAPSVCCAVCHAVTSVPPPGMEMARLICGGCQTLLMYTRNATTVRCSCCDTVNLVRQVSSIAHVNCGQCQTVLMYPYGAPSVKCAICNFITNVGVTTVRPLPPALPVPSGNSYNVPSTSTPTNQSQNVTVVVENPMTVDEKGKLVSNVVVGVTTGGKK >Et_8B_058520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1279731:1279971:-1 gene:Et_8B_058520 transcript:Et_8B_058520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRPCTSTKCSRVPVSASKTLSLPRTPPSRTSATSLCSVTTNSKPSPRSPRLRSWCRR >Et_1B_011486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22838165:22844847:-1 gene:Et_1B_011486 transcript:Et_1B_011486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYKEYLLGLSQEVFMGPAKVPRKINKIRIKRYEDSSGSSKERKITDVALKWSHDELLQFYKAFHRYGKDWKKISAVVGRKSSDMVEALYNMHRAFLSLPKHEATARGFVALVTGHYNVLDESTNHRGNDHKVRASVKAKKPLARNSQSHIVGRRTPRIPVIIAADRAATPENRDTISSTKDDNEVMNSNGTNFPTNESSPDGRFLVMETTEATQGQTFLETNRTSDTEICQTQQHLKRRRIEQTMGGCRISKVEHETMMVAEEGNKSVGLLDQKQILREFFSADDVLVLDVLQSLLNAPNEISNLNINITPGTLEENDSALSRGKDEEHSPLDLSEQEKPVIECTASKALHKKHEKLSIREEPAKETNAVHAIDITEGSSYSESAETTRDLMGSTVNISSEMCAYAPKEIEPEISMSRRSKMKFEMNRKTKYVSCNGISDNLQANKLLHCLSSELLRRWCTYEWFYSAIDFPWFTNSDLAKYVKHMNLSHVPRLTRSEWITIRSSLGKPRRFSNHFMVTEKENLEDYREKVRKCYAQLSVGLMDYLPAGLALPFSIGQQVVVLHPRSRELCDGKVVMVKPDCCKVQFDNPELGVEVVKDTDCMPANWLDNLPENIRSSSFSCNVRNVMVMEHIPKLTTSDNQDYTSNEVSISELPKSLHTSNEQLKVDYPVESERRLEKSTFDDTGRARGCPNNNVGHNDELEAYITSFVQSSQSQARQIVDEVMQEISGGNYRQDEEAGTWNQAACFTVPESETAIRDAPLPSDLILNCTATILAIKRLADSRHPPANIAGVLERLSSTLRPSSSENIAIYKEIEKHISIITNQTIALVPAVVDDGCCPCL >Et_4B_037191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16241845:16250159:1 gene:Et_4B_037191 transcript:Et_4B_037191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPRHSLLVLLMLAHASAPPPPLQLRRNFYAASCPRAEAIVRRVVRRRAALDRSVLPAMIRLHFHDCFVRGCDGSVLIDGTPGHPAEKDAPPNLTLRMLDVIDDIKAAVEKACPGVVSCADILALAARDASAMAGKVRYELPTGRRDGTVSRADEAHLPSPSVPFAEALSAFRNIGLSLLDLTTLLGSHTMGFCHCGLILDRLYNFNGTGGPDPAMDAGLLATLRGRCPPHTVTTPQNESRDAIVPMNLVAPLGSFGLDNSFFPTVLAGRAVLRFDQELTSSGMARRIAAMFAARPRNFQNQFARSMVKLGSVNVLTGKQGEAQLPKVRALLLPPSLFLLPLLLLVASVHGYGGLRVGYYKDSCPDAEAIVRKVVAEVSAEDPTVNAPLLRLHFHDCFVRGCEGSVLINSTKGNKAEKDAKPNHTLGALDIIDDIKEELEKKCPGIVSCADVLAIAARDAVSLATKGVTKGGWGKDGKLYEVETGRRDGRVSRAREAVKNLPDSFDGIRKLTKRFASKGLNLKDLAALSGAHAIGTSHCPSIAKRLRNFTAHHDTDPTLDATYAAKLRRQCRRPKDNTTELEMVPGSSTSFDTEYYRLVVERKALFHSDETLLRNDETRSLVFGYLRSQRRFLQDFAASMVKMGRVGVLTGNQGEIRRRCGVVN >Et_8A_056896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18719636:18739655:1 gene:Et_8A_056896 transcript:Et_8A_056896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAAMLHPILYVPSWRKCISKVLREESQRPLGKMAMIYYEDHSFSSSQADDIDERRSTISVSPVASADEKPFSFFGLLCYADKVDWFLMALGTIGSVIHGIAFPIGYLLLGKALDAFGTNINDQEGMVHALYKVVPYVWYMAIATLPAGMVEISCWIYSSERQLACMRIEFLRSVLNQEVGAFDTDLTTATIMAGVTNHMSIIQDAIGEKLGHFVASFSTFFAGIIIAFISCWEVAMLSLLVIPLILIIGATYTKKINSISLSRNAIVSEAISVVEQTLSHIKTVFSFVGENWAMKSFVQCMESQFKLSKKEATIKGIGLGLFQAVTFCSWALMVWIGAVAVTKKTATGGGTIAAIMSILFGAISITYAAPDLQTFNQAKAAGKEVFRVIKRKPSISYGKQGVVLEKVHGEIKLRRVHFAYPSRQDKPILQGFSLSIPAGKVVALVGSSGCGKSTVISLLQRFYDPTSGDIFIDGHNIKKLDLKYLRTNIASVSQEPSLFSGTIKDNLRIGKMDASDEEITEAARTANVHSFISKLPNEYLTEVGERGVQLSGGQKQRIAIARAMLKDPPILLLDEATSALDSESEKLVQDALERVMRGRTVILIAHRMSTIVNADTIVVVENGRVAQTGTHLELLEKSTFYSNVCSMQNIETEAEKPVGSASDSVIEQIDEACDRQSSTKQEPENKLDKVNSKQPKQDTDIHPFFRLWYGLRKDDIIKILLGSSAAAISGISKPLFGYYIMTIGVAYYDPDAKRKVSKYSLIFFTAGMITMASNILQHYIYGVVGEKAMKNLREAIFSVVLRNELGWFEKPKNGVGFLTSRIVSDTSTVKTIISDRMAVIVQCISSILIATIVSIKVNWRMGLVSWAVMPCHFIGGLIQAKSAKGFYGDSAIAHQKLVSLASEAASNIRTVASFVYEDEIIKKAELSLQEPMKITKIESMKYGVIQGISLCLWNIAHAVALWYTTVLVQRKQATFENSIRSYQIFSLTVPSITELWTLIPMVMSAIAILNPAFDILDRETQIVPDKPDNPREGWLVGRTEFQDVTFNYPSRPEVTILDGFSLVIEPGQRVALVGPSGAGKSSVLSLILRFYDPYRGRVLIDNKDIRDYNLRWLRKQIGLVQQEPILFNTSIRENISYGSESTSETEIIQAAVEANIHEFISGLPKGYDTVVGEKGSQLSGGQKQRIAIARTLLKRPVILLLDEATSALDGESEKVVMSSLGANEWKNKDERPSKITSITVAHRLSTVINADMIVVMEKGKVVEFGNHQTLIAADDGNDDSKSSKPNIANGKTSTSAVLTAPETTEAGDKLFPFFSLLCYASALDWLLMVLGTMGSFVHGMAPSMSYYILGKTVDVVGDNLGNKEAIVHELSKLIPYMWSLAIVTLPGGMIEIACWMYTSQRQMAHMRMAYLRSVLSQDVGAFDTDLNTANIIAGATNHMSVIQDAIGEKMGHFVSNFSTFLVAIIVAFACCWEIGVLSLLVVPMILMVGATYAKMMVDMSMTLITFVSEATTVVEQTLSNIKTVFSFVGENSAIRSFIKCMDKQYKLSKKEAMIKGLGLGMLQIATFCSYSLIIWVGAAAVTGRKAKAGETIAAVINVLSGAIYISNAAPDLQAFSQAKAAGKEVFKVIKRNPAISYESKGKFLEKVTGDIEIQEVHFTYPSRDDKPVLQGFSLDIQAGKIVALVGSSGCGKSTVISLVQRFYDPISGTILIDGQNIKELDLRSLRRNIGSVSQEPSLFSGTIMDNLRVGRMDAKDEEIIEAAKTANVHSFIAKLPNQYSTEVGERGIQLSGGQKQRIAIARAILKDPPILLLDEATSALDTESEKIVQEALERAMKNRTVILIAHRMSTIITADKIVVVENGRVAQSGKHEELLQKSTFYSSVCSMQNLEKDSNKSMTRLTGQVKEEKEEEQEEAEDGAYYETPFASIQQENKLELNLEQPNQVMRKRSSAFYRIFLGTFKLLPGKVLLGSTAAAISGITRPIFAFYIMTVGIAYLEPDSKRIVSKYSIILFLIGLLTFFTNIFQHYIYGLVGERAMNNLREGLFSGWFEQPKNSVGFLTSRIVGDTSMIKTIISDRMSIIVQCISSILIATGLSIRVNWRMGLVAWALMPCHFIAGLVQVKSAKGFATDFSKSHRKLISLTSEAVSNIRTVASFVQEEEILKKADISLQEPMKRSRIESIKYGVVQGIALCLWHTTHAVALSFTIFLLDKSLATFENSVRSYQAIAMTISSITELWSLIPMVLSAIAVLNPALDILDRETQIVPDEPKVCSEERVAGNIEFQDVKFSYPSRPEVIILDGFNLAIEAGQKVALVGPSGSGKSTVLALLLRFYDPCKGQVLVEGKDIRDYNLRYLRKQIGLVQQEPILFNLSIRENISYGNDSASESEIIEAAMEANIHEFISGLSNGYDTVVGDKGSQLSGGQKQRIAIARTILKRPAIMLLDEATSALDGESERVVLSSLGAKEWRNKGEQPSKVTSITIAHRLSTVTCADVIVVMVKGKVVEMGSHETLLSASDGVYSRLYHMQSKGVKD >Et_6A_045928.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:9465503:9466855:1 gene:Et_6A_045928 transcript:Et_6A_045928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TEACRGLIVNEGQDPEELLRVVGGEANFLREYYHSVDPVVLASPFFLLANYILFPVAVGCVCLMTVVACGSGDVLGTVRSIRTDNYVMSPDVVKTVVCLMRKVLVSPPALLSAIDLCITFFLFIAYLYEELSEFVVFLLSDWLLVSLVCSYVRATHRQVIVSWIRCILRVRRMFTERERIDPKLKQLELANTANFRVPMVLESSPLPTAAKVAVVKYLTNCISNNGGAVTLGNCIAWQYYTTYAHQSSASIVQVILTWHVATTLLEARHPHQRNGAAAADQQRSTATTLSRYCAYLVAFRPELLPNDWNDTRHVYQTMARDLKERLGCWGYYFYRKRSWLQEIAKSVDDDSGDVGKEEVSVVQMGAILWAALESPGRSSEELWNMLADLWVELVVYIAPAGEGAHLQGHYAALVEGGEFITLVWALATHSTLTRQPLTSTTGVGAVASDF >Et_1A_005461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10647725:10649260:1 gene:Et_1A_005461 transcript:Et_1A_005461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETPVVAVEAPTPVLGEPMDLMTALQLVMKKSGAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH >Et_8B_060569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2440299:2441654:-1 gene:Et_8B_060569 transcript:Et_8B_060569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPAHDAAATSLGTSKRLKRAPRVEDDALPLNDEVLLLVFASSLDTAELVRCAATCRRWRRLVSAEAGFICSRHKPPPPDRRRLNRALAVGFFHQSHEDESGAPPKFLPLPSFSPRLLPLDAALHNNDDHDLTRGSSRRGKAAWSSSSAALRLAVVNPATGDVTTLPALAGADRPGHYVIALLTADDLHESDAALVVDHPLSSPAAFLLLILYKRRRFKACRYYSSATNAWGQERKITGAKVSVWRLSLASAGAVVVRGAAFWISGASSSVLGLRVGASEATVESFGRTGSTAYCRCHGSVGQNRRLAVAPDGRLCAVQVERDGGGGGFRIRVFYRNDDRITTRKWARYQLLPPSCGAAAAVGRVCVRAVCESSGLVFFATGADLYARQPDQALYALDLETRKAWLVPGPPGRCPGPKTSSWSFLGYEMDRVAYLSSLGERDD >Et_1A_004824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10503800:10504779:-1 gene:Et_1A_004824 transcript:Et_1A_004824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPGFRFHPTDEELITHYLAMKAADPRFVARAVGEADLNKCEPWDLPARATWGEKEWYFFVVKDRKYPTGLRTNRATESGYWKATGKDREIFRGKALVGMKKTLVFYTGRAPRGGKTGWVMHEYRLEGKHAANDVLVLQDEWVLCRVFKKNIELPSPVAGGKRSAGSSCMGVADLPPLMDVSGGGAIEPPPPPAHVTCFSTRWRASS >Et_2B_020794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23744361:23745126:1 gene:Et_2B_020794 transcript:Et_2B_020794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGSRLASIKYRFQKLDYQCLVYDSHPKVYRHYNFTMKIKMPSEEYWVNKAFFAEVRRSTMINEKHYFCCPLQHTDNGMYVITTLFYGGRCFGCSNSGVHRLRHPADGGYEEGNIDSGFPFDACGDSD >Et_2B_020415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:228979:232565:-1 gene:Et_2B_020415 transcript:Et_2B_020415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMSTTPHPLDSSVPGVGGDGGDAKEEARMRLRDDDEEGSRRVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPHKASKKTPRVVLPEQASHIMEQGCVASMDTSPVATVSSANDGENGPVQYLSPRHTQGSAATNNYSSSITSQSGTCPTSEVNEQDIMLPALRAMPDFARVYNFLGSIFDPETNGHLQQLREMDPIDAETVLLLMKNLSMNLTSPNFEEHFTLTNSPELFWDPVKLP >Et_1B_013520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9172432:9176294:-1 gene:Et_1B_013520 transcript:Et_1B_013520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPQQSAGGGRKRGRRGGVRNRRKNASSSQQAHPPSTAAAPPPPSSPPAKRQRKAVATQGAAKSKGGNTTSLLDKKLLFFAFSQVAGGQDAFDYFKDDPNLFDVYHTGYQEQMSHWPEQPVNVIISWLKSHNPSWTVADFGCGNAAVAKNVKNKVFSIDLVSDDPSVIACDMAHTPLEPSSVDVSIFCLSLMGINYPSYLEEANRVLKPSGWLVIAEVRSRLDPNTGGADPEKFCKAIIQLGFSLVSKDAKNKMFILFYFRKKEKGNAVKNIDWPQLKPCLYKRR >Et_4A_032668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12400952:12405468:1 gene:Et_4A_032668 transcript:Et_4A_032668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GDVLHRTVRHQQHQTDDLQNSESRSCSIRPRAVMTAAAMHKAPSRLRPTAAGPPGAADRRERSRAARRPPPPRSRAAEEPDVVKALVFEAFVTGGETVTVTVEWAMAELLKNPRAMVRVRSEIDAALGGKHTIDESDAMRLPYLMAVVKEAMRLHPVAPVLVPHQAVEKGVERFLDAEVAGYRGKHFEYLPFGSGRRQCPGLPMAFAWLTHILLNIADACHLQAQLDADVFDRFRDDTGGFSTGLSSDPRGLLSLYNAAHMAVPGEDALDNAIAFTRSHLEAMKGKLTSPMAEQVSRALNIPLPRFIGLLETTHYIIEYGQEEAHDAAVLELARLECNLMRSTHLKELSAFSLWWRHLYDDVKLTNARDRAVEIYFWIAGVLHGEENSLARIMLAKVTGLLTMMDDTWDKNEVSILPKYIRMLYIKLLKNFSEFEDNLLPDEKYRMSYVRKAFQMQSKFYLLEATWSNENYMPRFKEQVEVSVMTSTLPVTLASLMGAGMATRETLEWALGVPDMVRACAEIGRFLNGIASYKQRGKTKKDVASTVECYIHEHGTTGEEAVEAISAMSEHAWRRINKACMDIDRALLTAAKLAVVKLAWPMEIVYHGGNDAFSFSNDLKDLNTWANESHEPSFPEHVRVSPVSSGLPIDAGRRGADGRVPGQRSNGRLDGLPDTVLLPAGGLGGSSTTWRPTRWRRTARTWPTRKSAGAAIARMTKDAWRTIKPRVHGDGRSHEAAGGH >Et_4B_036856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12007756:12008582:-1 gene:Et_4B_036856 transcript:Et_4B_036856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIAKPILRVAAISGSIRKASWHGGLIRAAAEVCNDSIPGLRIDHLDIADLPMLNTDLITTNGGASCFPPVVEAFRAKVLQADCFLFASPEYNYSITGPLKNALDWASIGVNCWADKPGAIVCAGGNFGGGRSSYHLRQIGVFLDIHFINKPELFVFSFEDPPKFFDSDGNLIDEETRARLKKVLLSLQAFTLRLQKKD >Et_4A_034337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31964646:31968796:1 gene:Et_4A_034337 transcript:Et_4A_034337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNIETNEIVAVKKMKRKFYQWEECINLREVKALQKLIHPNIVKLKEVTMENHELFFIFEHMECNLYDVIRERQVAFSEGDIRSFMLQILQGLAYMHNNGYFHRDLKPENLLVSNGTVKIADFGLARELYSSPPYTDYVSTRWYRAPEVLLQSSAYTPAIDMWAVGAILAELFTLSPLFPGESETDQLYKICAVLGTPDYTVWPEGMNLPRSSSFSFFQIPPRNLRELIPNASLEAVDLIQRLCSWDPRRRPTAEQALQHPFFNVRPWVPGPLHDAYHTKANESRGQPRLELNLWDFSSEPDDSFLDLTLSLKPSFHETDHANHAPQRAEEVRAIRCVTQCGLIVHLATGLWPLVSSDRPIGDVPAMPSWPQPYMVDSQASLAGYSGSPFGMSLQPNLLDNHHSLRPIRQVNFF >Et_7A_052024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3879960:3882826:-1 gene:Et_7A_052024 transcript:Et_7A_052024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGILALAALARRSGAGVAGSAPARVRHLASAAEAADAELKKTALYDFHVANGGKMVSFCGWSMPIQYKDSIMDSTVNCRTNGSLFDVAHMCGLSLKGRQAIPFLESLVIADVAGLKDGTGTLTVFTNEKGGAIDDSVITKVNDQHIYVVVNAGCRDKDLAHIGAHMEAFNKKGGDVKWHIHDERSLLALQGPLAAPTLQLLTKEDLSKMYFSDFKMIDINGSKCFLTRTGYTGEDGFEISVPSENAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDLEQHITPVEAGLSWAIAKRRRAEGGFLGADVILKQLQEGPKIRRVGMITQGPPARSHSEIVSSSGESIGEVTSGGFSPCLKKNIAMGYVKSGLHKAGTEFKVVVRGKSYDAVVTKMPFVPTKYYKPS >Et_6B_049619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7356023:7356897:1 gene:Et_6B_049619 transcript:Et_6B_049619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQPELSLGPTTLEGSPGLGNAKSPRSSSSDSDGSSGGGKKRKHFVWDEAVSASGLELQLGDPLPLDWEQCLDLHSGRMYYLNRKTMKKSWERPKSKEEQGTLNLELNISTTPSSTDSKASPFAFTDDTKSRVNSISSGDHMVAVPCVNCHLLVMLCKSSPECPNCKFVQPSVPVMPQTPPRRLDTVKPLETLSLLH >Et_4A_033350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21852462:21856102:1 gene:Et_4A_033350 transcript:Et_4A_033350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNSGGGGPLSPEGAAALSEGVGLVFERWTALQMAVENQWGGRDSRAKADQLGASILSWFCRSRGPHYFEDLVDMMYDTISESFNADFEDGSVEEVAEQLLIMHEECQQRNYSSIEKLRNSRVPGNAVSQSRQVAVDEDDDSDSSDDEGDAKMMDDEATQQQMAVDKPKPSKPAPDADGWTTVPSRRGRGKN >Et_4A_032714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12917875:12919030:-1 gene:Et_4A_032714 transcript:Et_4A_032714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYEQLQQWRSGGADGLELPRGFRFRPTDEEIITYYLTNKVLDENFTAIAIGETDINKSEPWELPYKAKMGEKEWYFYCLKDRKYPTGFRANRATEAGYWKATAKTEGSIVVHLKTLVFYKGRAPNGVKTDWVMHEYRLNSKRKVRCPAASSNSNTKKHACSSSKEEWVLCRVFQKSSCARKESEFTLVLALSSYMPMDDGGEDLSCMSFPMPTQFPVEIEDFTDYSNELYSLLDGPFASFYSTDDMGSPVLHPLLPVIGMSGIGLQMNNDLFWEPDGYIQSNVILPTSRERNNKRL >Et_1A_009244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34987992:34990554:1 gene:Et_1A_009244 transcript:Et_1A_009244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVLAVLLPLLLLKLKKRDDNNGLRLPPGPWRLPVIGSLHHLLRSPLAHRAMADIARRLDDAPLIYLKLGEVPVVVASSPDAAREFMKTHDVTFATRPWSPTIRTLVTDGEGGLVFARYGALWRQLRKISVLELLSARRVQSFRRVREEETRRAVAVAAAAAAATPGEAVNVSDLIAVLITDSAVRTIMGDRFERREEFLEGLARGVKITSGFSIGDLFPSSRLANAISGTTRLAEAHHRKQTELMDYAIRQHEERRAAMAADGAVQEEEDLVDVLLRIHKEGGLEVPLSMEIIKAVILDLFGAGSETSANTLQWAMSELIRNPNVMQKAQAEVRNNLQGKPTVTEDDLIDLKYIKLIIKETLRLHPVVPLLLPRECQESCNVLGYDVPKGTTVFVNVWAINRDPKYWEDATTFKPERFEDTTVDFKGKDFEYTPFGAGRRMCPGMTFAQANMELVLAAFLYHFDWELAGGLSPSELDMTEEMGITVRRKHDLYLNPVVRVPPQVKGENDS >Et_6A_045865.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21137795:21138684:-1 gene:Et_6A_045865 transcript:Et_6A_045865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FIPLTRLPNKISFAPAPAAHAFPLVGGHPPEMGAAAKPPPLICFKWPWSPNPQSGPSPRTSPCGDLELPWLFKSVRTLAQGLLIAGDLPSPASAGYGGGVRGRKRQTGVAAVEVDRGEAEQRALAAALASGRPATVLEFYSPRCRLCASLQGLVAEFEEGAGGSASFVLADAEDDRWLPEVKHLFLSFCKLLC >Et_6A_047971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26620987:26625280:-1 gene:Et_6A_047971 transcript:Et_6A_047971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSSSLGPINSVLNKLPAEPEFKDLSHALADIKHHLLRLSDRGVVTTTPVQRWMKHLREIAYDIEDWIDGLLNHPWGRFKLKWCSSHLTRIQSFRDRIHDMNDSAASYGVDLDDDDDKGPILPSEDPEEYKPWELLPNCAGGDKPCFFGLDGPESKLVHHLLQDEQKLKVVCILGPAGLGKTTLAGELFQKQQSHFDCKAFVYIGRNPSMDATITDIAHRVMPRSLIPCDKKLIATKLWNVYGVIFNNNAVLLVNSYLLINSPLHFKHSPSAQNRRSRTDSCRNRPVTPANRTICSVNEQIDHAGTQIDAGDGYRPNRSVTEVAAAIWRLVRQVSWLRLLSYDGWRVALSDRDSRSLMQSRINETDKDCLVDSKVFQRMIKVCGGTPLAIAVAGGNCTIKKDRLIRRWISEGFIPKRNEESLWEIGETYFNELASRRLIQPVPDEDGDEPIGCTVHGVIRDFILSMSCEENFVTLGANLSSAPYTCGTIRRLSLDCNKQDEADALATSPMHLSRVRSFTIFGNAKKQMPDLSAFKLVRVLDLEDRDFGISSIEEYWEFVASEILGTPRNRIEHLRFEAHHSDTLHKGIGDMQYLEELSTVIVNSISSTENPSKIQVHGSDSSNDVAQLVSKSKQLRMLAVRFDGLHGGTKTYRQEGVIHFLDEVAKSRIQSLILHDYPSKLVDLLVDCWTCTKPNDLQKFDLRIDGHLLKIPPKIASLACLTHLHVKVYEVEAERIHILGKLQNLALLNLISESGTPKRCVITRDGFQGLKIFRYDCRNCGMGLQFEPGAMPQLQSLQISFGLVQTNSDYGNFSFGIKHLYHLAKVHVTINCWHATTFDVEAAETAIREQVSQIPKSPILKLSREEGCMVS >Et_3B_028611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17277768:17278161:-1 gene:Et_3B_028611 transcript:Et_3B_028611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLSRAPPCGAGGAAAQDRRDCLLLTWGKWSSRRLLIVSPDWMTARQAVEGSSGAGTSDAAAAVLDPVAPETVGSTAVVSIICSSVFQ >Et_2A_014693.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4394971:4395279:1 gene:Et_2A_014693 transcript:Et_2A_014693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASCSYSNHVWAALAAPMDLNIAQLPGTNYRQIKRWWDDMLGPRQHQSAVSRAQAIIYITWNLWKERCRWVFDNKALSADQLVTVIRQDLQAWHTAQHIWE >Et_2A_015147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32791326:32792246:-1 gene:Et_2A_015147 transcript:Et_2A_015147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYTPLRRWRQFFPAFEAIHGAIEASDPAKDDLGWARELQGFTTEVAQLLRVSAWNDPAEHLCVALDDLMVEYLVTLKTVPVTTSTLASTGLAKAVGLLYEHQSQKIRGLAREIVGGWREAVKMELGRARTAMEMLKTQVKKDMPCRTNTASIVRSSVVEPAKIDVPAKVEAPSPKKSAPIVGAGRANTANIDKMEPAKKKTPAVVGGDHASGMDKMEATKRKLQEGYKEAADAKRQRRIQVIAAPKTLEQGQRKMHPIFKERNRARCVAVSTAARRSLMPAFQRF >Et_1A_006350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21020940:21021915:-1 gene:Et_1A_006350 transcript:Et_1A_006350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSCFLTSKPSPTKRMATTSPAGVTKPKLHVLFGNRRASSSVTCCSYNPRSSSEDGVAPAIDRDWRSYRAQLYFNEQHAKSANPAVAAMPPPVKIGDKWAHPLVEPEKGCLLIATEKLDGSHIFERTVILLLSAGVLGPVGVILNRPSLMSIKEAESIFADDADIAAAFSGRPLFFGGPLEECFFLLGPRGASAGSDVVARTGLFDEVMPGLHYGTRESVGCAAELAKRGVVGVRDFRFFDGFCGWEREQLRDEVRAGLWRVAACSPAVLGLASVVKGGLWEEVQGLVRESRVW >Et_5A_041011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16684147:16689273:-1 gene:Et_5A_041011 transcript:Et_5A_041011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVASGELNSSVSSKICSLKSPLLKDTTWWRNAFGYVAIKIVASLDRGVATWKQEASDARAGCQWRSLLIRQRVYKICLRRHLRLRYSHFFSFFPPAGRRFAGSAISRSRWVSTSGAIDPLSICAIKDTLAGSSIDSCSPPGTRRLKNSYKGWVELQLIQTSLGHDSNTGAVVAEPSFWKVGCGTSRASGGKPPAFLEDLSVLYARTPEDHGTLVCAGGVSQMSMDIGSVAAVPWRSVGAGSLGGVSQGPVNNCNACACGAAQRSLNLCSDDTLQGNAISNQRNSQEVEVDSPPRKRNSVTSLEDYVHMLGDNVVRKNYRQPKPSSEHRCRHMDERRCKPNPPDPEEMELKQVLDVLQSDGIDDASELHYKAYILRKDKLNRLASRLNTVTEGKAREFRSIVSKGRMSDIEKGVYMMSSGEETDYSDTSSDASSIIYNLAALGVATAALVASRNTARSIMGHLAPPLPHVTGQPKKCFTNFRMYPYAFQRFHELLVYYHGLRSTQEVESIESVAMFVWSCANNQSPRMIAGRFERTYYLADSGYELGEGYLTPYPSVEGQNLRRMARFNHHYSDLRMDVERAFGLLRG >Et_5B_044163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20941331:20944042:-1 gene:Et_5B_044163 transcript:Et_5B_044163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLVRCPHLTSLRIHGKVALESLEEVKITGFGGTEEEMQLVRLLFQSSNNSIKSMELLHASASKIKYAGAQPDIKDVETIYHELMKWANRQITRFHGDLTRAMGSTNTAAAVPHHHDWSSTNPDPTVENFLREMERDRPMRFSPQQIAEFTRNYSSPLGAGGFGVVYRGTLPNGLAVAVKVLRGGVGGNDRSSAAEQFMAEVGTIGRTRHVNLVRLLGFCFDDAARALVYEYMERGALDAFLLRWGRDVGVPALLDIAAGVARGIRYLHEECDQKIVHYDIKPGNVLLDGALTPKVADFGLARLVSRAATHVTVSRPRGTPGFAAPEMWRQSGVTEKCDVYSFGMLLFEIAGLRKNFDEAAPTESQQWFPKLAWTKYERGELMDLVAGGAPAPSHGGVDATARRKEMVERMFKVAFWCVQELPEARPPIGVVVKMLDGEMDIAPPVNPFQHLMAPPLPTILWTTTTTTSGGDTVTAPIGLSEGSKDLISL >Et_7A_052493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8595836:8598096:1 gene:Et_7A_052493 transcript:Et_7A_052493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSYAWDKYYTSKAIVHWLGFTIWPAHARSPVLSPACSIDLKSLLDRTEMSEAQGKDKEIGKEEEEVEEEEYVLLELDDCLHSDISPGAPFVLSGLDTLTPTLTVGDGLKMIGEYEETIGTCYLFSESEAQPKPTGEMPPSGEYKDKPVSSSKEATSKEVKHLASVEKVLKFRPVNVDHQQRRANQYKDREI >Et_1B_010821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14448512:14451949:-1 gene:Et_1B_010821 transcript:Et_1B_010821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFQLGRKIGSGSFGELYLGVNIQNGEEVGIKLEPVKTKHPQLHYESKVYMLLQGGNGIPHLKWYGVEGEYNVMVIDLLGPSLEDMFNCCNRKFSMKTVLMLADQLINRVEYMHSKGFIHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYKDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESVGYLLLYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTSAEVLCKTYPSEFISYFHYCRSLRFEDRPDYSFLKKLFRDVFIREGYQFDYVFDWTALKYPQMSSNNKLGRQPSAQMVGAVPSVERTDRASVGQEIRDRFTGAVEAFARRNTGSGRHGDHSRHKSLADSFGTSNEAVADSEKTRIMSRTGSTSKMAAAPSSRPTSSGDHSHYNRNSRLVSSSGSGGARPSTAQRLHHSGGVENSSRSSPRSPVARNAPPGRASGSGSSSRDNTMFRSLERLSISTSRRK >Et_5B_044067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19604005:19609652:-1 gene:Et_5B_044067 transcript:Et_5B_044067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFILPTPTIAAASAEAHRQAKETMNGSDDVMEDKDQGDNIELEYCRQLRTIDALHGRILFYDKDMVTNANKVVDLFVCSLLIINTGDKRCGGCPAWFQEHRWSAALLCAGPSCDDHADCGQGPFRVVVVSKQPSTGVTSAYSEQHAWSLQTSIHHWDVGSVWNQPGSRIGNAVYFMCDENGRFCVEYHMSKRQLSVISLPSE >Et_5B_043050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17907350:17907856:-1 gene:Et_5B_043050 transcript:Et_5B_043050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLIYEKQSFYLDRNVEMEHLDSTNHLGDDLQRHRPGNQVPDQLDHAIQHFPSVHPHIGISIHLVPGREFPGPGPRASGPEQVAAVGDGSGDRAVADREEEAGVPDAEAEREADVERGERRRVDAEPGDANVCNAGGGGPRVEEECEA >Et_1A_005380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:181097:184060:1 gene:Et_1A_005380 transcript:Et_1A_005380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLRSVASSSVAPPRPRRRAATFRATACPPALDRRRRPQNVPGEFDHRCIDCQTCRWMAPEVFKRVDGKAAVAAQPSSEEERTKALQALLSCPTASIHTDKPPKDILRVHNMFPLPIDQNQLPSEVYSTLAKHIEQLGGARHMFLTHIDDVADHRKWAERLKCERIIHSGDVEEATADVEWKLNGGGPWNIGTDFEIIHTPGLRLLALQTTKGAINRDHVAKSEESDDLNLFLIYNKQSVSLQLDSMRKLLEVDFEWILPGHGHRIPYKDMQAKNSAIKSLLANYTS >Et_10B_002903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13277710:13278002:-1 gene:Et_10B_002903 transcript:Et_10B_002903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIHPLTGILDDLVEMCEGFGEGDLRLAFTGEAPRVPFKVGPLEPVLILLEAGLGDLRGGVNVFVAGGVEDLLDGIGG >Et_7A_050560.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:24604827:24604964:-1 gene:Et_7A_050560 transcript:Et_7A_050560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFTAVHKVLEPATHPSYCIRLISIRQALGCRLHHLLRGSDTSP >Et_3A_025402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29545089:29547762:-1 gene:Et_3A_025402 transcript:Et_3A_025402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPGYVTVPILSVLAAIGYVYYTAVFLAIPAWLGLNTAAGVANAAAFTALAAACVATYAVAVSRDPGRVPASFVPDVEDADSPIHEIKRKGGDLRYCQKCSHYKPPRAHHCRVCKRCVLRMDHHCIWINNCVGHENYKIFLVFVLYAAIASLYSMVLIIGGAMHLPKDEQTGGDSSRSSIVICGVLLCPLALALMVLLGWHVYLILHNKTTIEHHEGVRAMWLAEKSGNLYHHPYDLGYYENLVSVLGSNIFCWLCPTSRNMGNGVRFRTSHDIPLSTPPK >Et_2B_022950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9066562:9074393:-1 gene:Et_2B_022950 transcript:Et_2B_022950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSYSAAAFALRASKPSLHAHSSYSYSYLPSHHCHRDDADGHHRHELVPQSPYLAPRFLLDGYLLRHSAHLLLLSSRLQPPPPPHHHPPRCCRRRAVARCCEGGGGAPVHGNVSWRVEARGCRCCGRGAVRSDLGAACRRLEVRGCGCGASGSGRLLGAGCRRRDTPRLARRAVQQVWEYDGEWPHGRCSTECHCDWEEEEEDCVYGQWDVAGDQRRRHGKWDGDDVGNNGHCCRDCGQRKGLGSYYNGGNEYNGRRRERRDADDYHGSSRDSDRRRRLQRDYHDDEEDLDLRRRRQSWEGRGRREHDFDDTVDTRRVETRRYGDNVRKYDRRRESRDFDYDDVVDVRRAGRYAEDVRRVDRRTDSRDFETDDEVDVRRDRRHRSDNDQRYVMDRQRREDDGSLMRSRRRYDEDFDYDDQDIAERRYYSEGRSQKSARASELREDDENRASSSRTTVDARHARQEDNSSSRVRWRDDVDRRTSEVRDQRYSVGWSSDEREAHDYDARTRTQDVKVITEDDTRLASSSNNTSILKHSSNVDQKTAARKDESMKGSHNIVEVSEVSGNSFERDTRSQSYHQEGRRNVENRSSSLQSSVRMASDSRRQVGERSEVNQHFTSLTDSRKDAEKFTDLTTDSSCNVISASHSRRNYDEVNRIDTDDRSASVQNITHVTRDKKRIVNQQVIHETDIDVQNVTHVDVSKIHASDISKIHASDISVSRNAQNHSETGSDVNLTSNMNLIGNANGQEVQVYEKICASDNAMVRYPQSHLESGVYGRTHSRSSTNISDSMTDTHDQVELTKACTNNSAVASTSESHLQTRTDDQFWSTSAVNTFGSINEQYGLAKIHASDGSVVISSQGFDTRNGNQVGMNDQQSTQVSSTQGTGEQRRKSSESSQESREKLVRLEDTVQHNMDLIWQQAGTSGISHDKDVTRLQIDNAEDGSSMVNTDMPQQTTIMGSNEQEVRSENTAGSSIPSGSSARQSVKESMLESAARLEKSSTFHVGQFVDELQKGVSDADTASGKKNEKSMVEGITNSSSRSRMKGPADEMWDVQSTTSQETFKTADKEEGSSADGATNSASQTPKNEAALAKRAHKSLWAYVADIIRLGWVQRGDSHDLSNRSVKKSCSGNSQTTEGWLSSQEHDNDGIRKKYGSTREKDQQLIKSHSGEPESRVVSLPNEENLHTGIQGLHISGAGTIPRVGRSEGDLLAASSKLDQNMSREKLKQSVVGELPKGSSIEESAPTSVDVTIGHSLDHKAPTSSRITTKGSGEVSTGKGMLADTPSVTISSTESGHIGDGADWMYDPSGAITPYQHPQTHAVTPHDSTSGSAVIRESPVLPVGGSMRFEEKNVVQEAPEVIRTEGKDAELKRRMFQRNKQVLKETFDEWEEAYQRDAQLRKADELFMREALLEAQRAADIWEDTTLYVTLEPCAMCAGAILQARIDTVVWGAPNKLLGADGSWVRLFPGDGQTSTLDSANQSQTTGPIHPFHPKISIRRGVLSSECSEIMQQFFQLRRKKKQKPQSPPRAHHQGHHHPIKFFGKMHNILFNSTKRKIGQSNSSRTKERKKNSRKHDLSSHQQKKK >Et_1B_013742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14894402:14897571:-1 gene:Et_1B_013742 transcript:Et_1B_013742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVPAPPSPSSPAPAGEVDRFSSSAPRRLPSTLTLPPPPASRSRGARRATAAGIGGRRGKRNAVAPVSANRSVGSATDRLAEAVRVVGSDVDPGLAGADILELAMAKGPMFAWLSYWPEQGTGATIAAADGSALLRG >Et_2A_014889.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20522777:20522953:-1 gene:Et_2A_014889 transcript:Et_2A_014889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIIFTFVDEDDGARRRRRRQQGGSRGSPVFVGAAGLCDGTGPGCSGGFGPCGTCLD >Et_6B_048508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1028640:1033232:-1 gene:Et_6B_048508 transcript:Et_6B_048508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYVLKPWTTKGRSDAHRCGPRRSTIGHSSAASGGMTEEETRRRQWRSDSRGHVTWSGWAARRGHVADSMAVNGQLLLLGSDEKDPRVGPQHRQTENQLGRSSLEGPNMPVLLILHVPTATAFAPQRRPPTRHVLETPPAVGHGQAAAPAINCFPPAPCIPVCPAGGEVSGPIAPLISRVATLRMATAAAAASLRYFPSSLRNRDYPGPNNTIGSFTPYSRRRHCAAAVAAPSREVEPSSSLGHLTRADFPILHQEFDGNKLIYFDNGATSQKPSSVMKTLDEYYRSYNSNVHRGIHALSAKATDAYERARTKVANFVNAADSREIIFTRNATEAINLVAYSWGLSNLNQGDEIILTVAEHHSAIVPWQFVSQKTGATIKYVGLTKEEVPDIDQLKGLLSNKTKIVVVHHVSNVLGSMLPIEDIVTWSNKVGAKVLVDACQSVPHMTVDVQKLGADFLVASSHKMCGPTGVGFLHSKFEILSSMEPFLGGGEMIADVFPDKSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSHIGMEQIHEYEKELATYLYENLISVPNVRIYGPSPSQTGHRAPLCSFNVENVHPTDIAEILDLQHGVAIRSGHHCAQILHRTLGINASARASLHFYNTKEEVDLFIHALKDTIDFLTSQH >Et_1B_010722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13368488:13373780:-1 gene:Et_1B_010722 transcript:Et_1B_010722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSREPFNRVVKLAARAFYDDLSMTGDSQPKNFRGDNRGMAVVVLDALTRREWVQDEKLAKDLKLHSKQLRRILRFFEEEKLIMREHRKESPKGAKAHNTATPAASDGQPVTKEGEEKSKMHTYSYCCLDYAQICDVVRYRIHCMKKNLKDELDSRDTIQHYICHSCNKRYSAFDALQLLSYTDEYFHCETCNGELVAESNKLVSEEMGAVNGNARKYTNHELRDMQQRMDEQLKPLVAQIERVKNLPAPDFGSLRSWERAKSAADSSWKGQCVSPPDFLFDPDIGGKGAAEDGKNDTDSKVKPMPPWMISNRHDSKDEDLCKAWRKREEEDAKRRMQHEGETLVSDSQSERHVGEKYKREGQDEGIEWKKDQAAGNSAKTYKMEGLSAEAQDSGNDEDGIEWEEG >Et_1A_006339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2113246:2116791:1 gene:Et_1A_006339 transcript:Et_1A_006339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFGRASRRVPPAWYGAMVLVLALASTVVAADARKGDEEAASTGNVADVSTIASPPTRRNVTLICQSVPYPGACETALSSAEARLAGNPFVGSTQFAMSRATSARALARSLSASAPPSGLRDCAELLDITVSQLRDALAEAAADADGATTWLSAALTNQDTCNDSLAAVPASPGRDAMRRQVGALAAFVSTSLALHVGNVKARTPPSETRVPAPAPSPPGGTTTTFPSWLSEHDRRLLESPPTDITPDAVVAQDGSGTHRSIGEAIAAVTRAASTRAGGKARGGRRAVGESRRKVIYVKAGRYVERVSLTYQEENIMLVGDGKGKTILDGYNSAAGGYTILTSATLASRGAGFIAKGMSILNSAGPDKGQAVALLVMGDRSVVYQCEIKAFQDTLFTHSNRHFYADDDIAGTVDFIFGNSAAVFQGCNIQARAPVPGQPDVVTAQGRDDPNQNTGFSFHMCRITAAPDLGRTPVYLGRPWRKYARVAVMKTFMDGSVSPAGWLEWSDPSALNTLYYGEYGNSGPGAATNGRVTWRGVHRSMSTAEAMEFTVVKLISGASWLGGTGVRYASGFLRFQDKGSSKTDGQSNGKKDDKGTPRQANLGGKTMSMWASLFKELSMEDGGEVEIEGVRCTNLLQEMELADSESEEVQGEDHMETEPVDKKDVELEEVLPEEWPYEADKQNQQVETGEVLKQCKKNEPAWGPIQAERRSKRYNNDGRTMLQRAQSNKKRQNLEVPK >Et_3B_028535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16504897:16508432:-1 gene:Et_3B_028535 transcript:Et_3B_028535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWMPTTGSYGNQHQMKYCTSPQNRSVDSLVPGERFGRHVTRSTIFLLHCYSKSTLTARVEMSRQFQQSVSKSSRDYLTRTFYSASMKRRVLSRVECFLSSDAINSGWLKPRRQENFTTLDSACVQPEYKLPIRKRSDCKAEQYEITGSPSSDVPGDAVMVGDTNEVSNWWQEFPKRWTIVLLCFFSFLLCNMDRVNMSIAILPMSSEFSWSPATVGLIQSSFFWGYLLTQILGGIWADRFGGKVVLGFGVVWWSLATVLTPLAAKVGLPCLLIMRAFMGIGEGVAMPAMNNILSRWIPVSERSRSLALVYSGMYLGSVTGLALSPLLISRFGWPSVFYGFGSLGTVWFALWQSKARSSPEDDPELSKAEKKHILGGTTLKEPVTSIPWRLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVQRGVSVTNVRKIMQSVGFLGPALFLTLLSKVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQKGSWDSVFKVSVILYIVGTVVWNVFSTGEKILE >Et_1B_010147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26073891:26074975:1 gene:Et_1B_010147 transcript:Et_1B_010147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPAELVDDLIPEILLRIPPYKPASLVRCSAVCKPWRRILTDPAFLRRYRAFPGVPPMLGFLINVELPGRRFGARYARTASFHPRTLDHPDLSALDSRHGRVLLHAPDRVMAIFVWDPVTVLVAAVLCAAAAPGSGRDCDHLDCHGGHFLVAVVGTTVEGRTCACVYSSEATAWGDATYAKEDLDDAFEDMDMRPSALVGNTINFITARSKTIVEFDLGRWQLALIDPPFVSNDYAVVMPAAGGALGFAAVQGTSLHLWSREAGPDGIAAWKQLRVIEFNTLRTRAPLCRPSAVVGFAEGLHAVFVQTDDGVFAIELRSGRAKKVSSRNRTSVDIVIPYMSFYTPGNL >Et_4A_033606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2517995:2518612:1 gene:Et_4A_033606 transcript:Et_4A_033606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGGVGSPCGACKFLRRKCAAECVFAPHFCAEDGAAQFAAIHKVFGASNAAKLLQQVAPGDRSEAAATVTYEAQARLRDPIYGCVAHIFALQQQVRTYACLYPGLPVLATPVARLQMQVLQAKAQVAQTMAAAGGQAAGSPHLLQQRWPMEPESLSTQSSGCYSAPSCDGSASMYCFGEQEEGSYTR >Et_4B_039109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7890132:7906337:-1 gene:Et_4B_039109 transcript:Et_4B_039109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLVWAVEDRSRFARSQAVRSRRSALGSFLTSHLLVLPYELPLEVLHQLVVEVRPAEVRVAGDGLHLEEAHLNVEARVDLEQRNVQRAAAEIKYEHGSPTVVARHLLEAVRDGGGGGLVDDAHDVEPRDGGLALGVVEVDRDGDDGVLDRRLEVHLGGLLHLGEHYGREFLRRELLFLAFVLHHDRRPVLTACGDLERPQLDVFLDRVVAESTADQVERSLVHGRLADEPLGVGEGDAGRREAVALVVGDDLAAVATPHGHAGVGRPKVDAHRRHLHYSVGIDVKGDLKLDSALGRRWETGDLECAEQVVVLRTCALALVHREGRDALVVVEGREVFFRLLSGNDRVPWDDHGHCIVTVYLEPKGKRRDIEQNHIPRLPIACFAAEHGCLYGGAERDEAAELLPMEEVPDHALQLGDAGRATHEDDVVDAALVHLCVHKALLHCLHAFLEQLHVQLLEAGPGDVAAETVLLGFWAAWFSAASPTSLLLSAKATQDGVRRLPWSLAMASPRLCRHTATHEYVVPRSMPIAGPSPSMVMLFVRPVDCSVAETFKTPFASMSKAMAAAKAKSTALSVADKCRNILAAGWEAHLNTIKADAKGSKGEIYTSRVHYMIQKGTPYLIVPENHMHNINIIIDERGSLAVSSPIRGRVASLLKSLQKLPPRVAMTGDVLRLKDAKVPVIADSLKQAILKEHKAESEASHGVAAILSSAGATCRSRSEGLLSLLNEENSYSIFKFDIGSCVYIDSAGSGHDIELDNFEPLKSVPFSAKLVDGINRSEPRRRALILFCLEYFNVTARNAIMISIDHHGFDVLAKVPVSVISADVPQQYQWKEFRFTFKEPAKDVEDFCSKLVELEEEALQSIKGYNYLSRTSSPKSPHSVDLLDLGPSTTGRRSILVHATGHVRTGAALVHLGDDGVADALELLHLVLELLDLGELVAVEPADGALDGVLNLLLVVRGQLGGDLVVLDGVAHVVGVVLERVLGVHLLLVLLVLGLVLLGLLHHLLNLLLAQPALVVGDGDLVLLASALVLRGHVEDAVGVEVEADGDLGHAPRRRRDAGELELAEQVVVLGPRPLALVHLDEHARLVVGVGGEHLLLLGRDGGVPRDQRGHDAAGRLEPQRERRDVEQEQVLHLLVALTGEDGGLHGGAVRDGLVGVDALAELLAVEEVLEQLLHARDAGGSAHEHDVVHAALVHLGVAEALLHGLHALAEQVHVELLEPGARDGGVEVDALVQRVDLDGGLRRGGERPLRPLAGRPQPPQRPRVAGDVLLVLALELLREVVDEAVVEVLAAQVGVAGGGLDLEDALLDGEDGHVEGAAAEVEDEHVLLAVAARLLVEAVGDGGGRGLVDDAHDVEAGDDAGVLGGLALRVVE >Et_2A_016634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26809282:26810442:-1 gene:Et_2A_016634 transcript:Et_2A_016634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAGVSYSAIAVRDKLCGNIGHARVKRYWPGKAPDWADHREDDGHLDLPFIAATLDQAFPRPGESADSTAERRDEELLPQVAEEEETVTEEEESEYEADSEDEVMCVAVVKPVFVQKSQRDTVRERILEEKRQLEELIKQRLEERKMETRRIVVDVIMKEGQIEKTQSEEAGMIMHIDTDDELNAEDEYEAWKNREVSRVKKNREARLREKGETEIVRKTTEQEHKESEGTNPKPHHVKPKRKRKFMQKYYHQGAFFQEKPDDGRQTFGLDDVYMRDFSEATGEDKMNKSILPKVMQVKSFGRKGRPKWTHLANEDTTHSSIPY >Et_1A_007528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35615275:35619774:1 gene:Et_1A_007528 transcript:Et_1A_007528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARGMPPAATAVLGIVTLLIAAAAPQGAEGKMCTNAFTGLSHTERAAARLRSAPALEHVHLDLDRDHEQHLTPTDESTWMSLMPRRALRGEEAFDWLMLYRKLRGADGEPRPGAAGTAFLSEASLHDVRLEPGTLYWRAQQTNLEYLLLLDVDRLVWSFRTQAGLTAPGTPYGGWEGPDVQLRGHFVGHYLSATAKMWASTHNDTLNGKMSSVVDALYDCQKKMGTGYLSAFPPEFFDWVEAIKPVWAPYYTIHKIMQGLLDQYTVAGNSKALDMVVKMANYFSDRVKNVIQKYSIERHWESLNEETGGMNDVLYQLYTITKDQKHLTLAHLFDKPCFLGLLAVQADSISGFHSNTHIPVVIGAQMRYEVTGDTLYKQIATFFMDTINSSHSYATGGTSAGEFWTDPKRLAQALSTENEESCTTYNMLKISRNLFRWTKAIEYADYYERALINGVLSIQRGSDPGVMIYMLPQAPGRSKAVSYHGWGTKYDSFWCCYGTGIESFSKLGDSIYFEEKGDMPALNIIQYIPSTFNWKTAGLTVTQQISPLGSSDLYLQVSLSISAVTNRQSSKLNVRIPSWTSVDGAKATLNDKDLGSISPGSFLSITKLWNSGDHLVLHLPISLRTEAIKDDRPEYASLQAVLFGPFVLAGLSTGDWNAKAGNSSAISDWITAVPSSYNSQLVTFTQESNGKTFVLSIANGSLTMQEKPEKGSDSLFNIVPGLDGTPNSVSLELGSKPGCFFVTGTNYSVGIEIQVSCKSSLQSIGGILEQAASFVQTAPLRQYHPISFIAKGVARNFLLEPLYSLRDEFYTVYFNIGA >Et_5A_041003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16462655:16487056:-1 gene:Et_5A_041003 transcript:Et_5A_041003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLYPPRTRPLAIPIPLSLFSFSPTLRVLCICSEYFVLELPARLESTPQFPNLKQLTFMLVNISEKSLQCLFSRCPVLESSVLDGNTGCRRLHVSSLSLCSLGVSNGERASDGKLEELIVVDSPLLERLIPRIPTCGLVIRVIQAPKLRTLGYLYDDIHAFELGTILLEISAATYTKKILTPFCMHLHLAHMKMVHVSLSTMMRFVKILALLTAPNLHLVIRCVFLLQSYTRMTIKNEQDYSPLECLDEHLKVFQITNYDEKSLDINFIKFFLLNARVLKSMKLVVSVGNCDAKWIASQHTKLQVNDRAFRAARFYFHASKWSSSLVHMKHRKKLEEESRRPDLPPRGGGGDEGPDLISRLPDEILESIITILPSKDGCRTQILSRRWQPLWSAAPLNLDAVAAGMMVKKQVSNIFRTLRTHHGPEFELLFSDNCGHSSPVPQPVFRFLPTLKVLTIAAVCKISSTLSFPHLEQLTLHNVYISERALHGVLSRCPVLDALVLDWNMEYRLLHISSQTLRSLGISNCWECEEGRLQEVVVENAPLLERLIPRSLQYDDLVIRVIQAPRLKTLGYLTERIATFQLATMVFQKMTPVSLCYVMRTVKILALETASSLDFIIDYLKLFPCVEKLYIVAFAQGNFKNTQRNVSLECLDLHLKTLQLIGYKGNMSDVNFVRFFVSNARVLESLKLFVRRDKCDTKWIATQHEKLWLGTRATKGIRFDFVASYRACAYNAGPKRSRRLGEGLCAQELPAPGGGRGEHGDLGPDLISPLPGEILHSIVTLLPTKDGARTQILSRRWRPLWRSAPLNLEAKFLRTVGDKDKHLAAILRRLQNHQGPVRRLSISWSWSPPDSFPESMLRSPLLDKLDRIQGCSRLQISSSTLRSLGVANGGRSGGRKLEDLIIEHAPLLERFIPQISCYGLVLRVIKAPKLRTLGYLQDDIPTFGLGTMVFEVDAATYWKGILMSLYNMMPISMSNNMRSTLALLTAPNLDLVIGLLRCFPCVEKLYIVSYTRMIYKNMQCYAPLECLDQHLKKLQITNYEEKRADVNFVKFFVLNARVLESVKLIVCTENCEAKWTARQHRKLQVNNRASHGARFEFEARKWSHSSAHMEHIHDLAICHDEKKMTAAAGDASADLISLLPDCLLTTVLSLLPFHDAARTTALSRRWRRLWPSTPLRLLDSPAAPLSAAAISQILASHRGGAEQFHLILARPTPADLDSWLPSLAAKRLQELVLRPPSDEPLRLPPSILECRSLRSAELTNCRLPEDAAAAGAVSFPRLAELTLRLVHAPSAAALHGLLAGCPGLASLSLDRVFGCRSLRVRSGTLRSLTVSVSLTRRSIPEEAGDGELEHLVVEDAPLLERLLAHDINWGPSIHVVQAPKLQMLGYLGVGIPELQLGSTSFRSMSAVRLAEQFRSVRTLALEMADLQVNPVADFLRCFPCLETLYVTSHMVVPQSMKIIKNDNVHHPIECFNNHLKTVVLKGYRGQKHEVQLAMFFICSARVLKIMKFLCDNECSSSWLTAQKRRLHLENRASSEAQFVFQKFSMIYIRFVKQASNISIVDPFDI >Et_3B_031581.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:5294215:5294979:-1 gene:Et_3B_031581 transcript:Et_3B_031581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTSPRLKLFGFHVSEEEYHEPAPEMETEETTPACVEGGAGGGGSDSSSSSTTTTTTATAGGGGGDGRRYECQYCFREFANSQALGGHQNAHKKERQQLKRAQLQAAAGRAAALYPRANPMVSAFAPPPHLLGGGDAAPTSWVYFSSPRAAAGGQQQFHVSHGCVFPSRGGAAQVAASPAVFSYTPAPSGASAAAPYVADDRRVHHHASPAATLTRYPVGMAGPGMVVAEPVAPKPEDALGLDLQLSLAPAGL >Et_2B_022247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15134004:15135030:1 gene:Et_2B_022247 transcript:Et_2B_022247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGREFFISYLVGVGFKARSESQGRELFLKLGYSHEVQFTAPPAVRVFCFKPNIICCTGIDKDRVHNFAGAVRSCKPPEVYKGKGILYIDEVIKLKPGKKLKK >Et_1A_005019.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22095696:22095851:1 gene:Et_1A_005019 transcript:Et_1A_005019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSLSLEEDWNAPQEVIEIKRIEVFQNRPRVKEKSPFLFLVVLPNSFPC >Et_1A_007891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39233687:39236919:-1 gene:Et_1A_007891 transcript:Et_1A_007891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTVRLVLVAAAAAGHRRAGFRRVPVASSLSFPRHPPFRGRASFSCSSLSLPSAGSSFPPNAAPFNLLPPDSEPFIEWDPPLPESSSSPLGGGGAGEGATLVVLLGWLGARQKHLRRYADLYRERGVGAVRFVVPVRELLGFDLGRRVERRVADLSAEIAAWCDADRRRTLLFHTFSNTGWLAYGTILENLESRADITERIRGCIIDSAPVIEIRPEVWAAGFSAAMLKKGSSMTGPSAESLDGSVMNGTLNRVSSNVTQPSWGECFLLKTLQKFFEIVLHLPDVNKRLCKIISVLSEKQPSCPQFYLYSSADRIIPADCVERFIDLQKSVGRSVFAHNFVSSPHVDHYRSFPHVYSAKIDEFLKNCCTARVS >Et_1B_010338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9480896:9481999:1 gene:Et_1B_010338 transcript:Et_1B_010338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CEVDGDVRVNGTALSVSVVVPASRSERREWRIRPYARRSVHNIRKNYFHDFTDVLVPLFAASRRYGGEVQFLVSNIVKPRWLGKYGALLRRLSRYEVVAVDGDAHVRCFRHVSVGIRIDKLFSIVPESGLSMADFTAFLRETYALPRSAAVNLAREPDRKPRLLLIRRGHYRRLVNEEEVARAAEAAGFEATVAELRGDAAVAEQARAVNAFDVLLGVHGAGLTNAVFLPRGAVLVQVVPYGRMDHIAASEFGEPAADMGLKYIGYSVSAEESTLLEALGPDHPAIRDPDSVHRSGWDKVDEIYLRKQDVRVNVTRFAPTLAQAFQHLRGQ >Et_5B_045121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9772440:9777011:-1 gene:Et_5B_045121 transcript:Et_5B_045121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRRTTGRGGGGAGDGGAGDANVPPFMGNNRDHNPRELRSWARRTGFFSGESNTSSFASVAAPPPPPAPPAASRRPPRPPPGRGPGPETEDDGEDDGLDPAPPLDLERGGRGRGPGGRPRHHIDLRGELEIPPGFGEAPEPDAARGGGGRGDARRRNGAVEREAPANVGRNVNGVPADAEARKKAEEAEAKRKSEEAEARRKKEEEERDAELAAYYQEQWANEEDGPPESAAAETAPLYGASGLRCGVTENPGWGLLLFYGIQHYLSIAGSLVFVPLILVPNMGGSDEDTATVISTMLLVSGITTVLHTFLGSRLPLVQGSSFVYLAPALVIANSEEFRNLSENKFKHIMRELQGAILVGSVFQMILGYSGLMSLFLRLINPVVVAPTIAAVGLAFFSYGFPQAGSCVEISMPLIVLVLLCSLYMRKVSLFGNRIFLVYAVPLSVAIVWAYAFFLTAGGAYNFKGCSSNIPSSNILLDSCRRHLETMRRCRTDASTAWRTAAWVRIPYPFQWGPPTFHFKTSIIMIIVSLVASVDSLASYHAASLLVNLSPPTRGVVSRGIGLEGVTTFIAGLWGTGAGSTTLTENIHTLETTKMASRMALQLGAALLVIFSFFGKIGALLASIPVALAASILCFTWALIVALGLSTLRYTQAASSRNMIIVGFTLFISLSIPAYFQQYDPSSNFILPSYLLPYAAASTGPVRTGSSGLNYAVNALLSISVVVALLVALILDNTVPGSKQERGVYIWSDPTSLDVDPATLEPYRLPEKISCWFRWAKCVGI >Et_5A_041095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18244328:18247422:-1 gene:Et_5A_041095 transcript:Et_5A_041095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSGGESRWSQLASSLPVRNVQALAASAEELTAGALERYIRQDIHDDKVLTEQSGQVPVIDLDRLLNPSFVDEETARLRLACEDWGFFQLVNHGIAEEVITNIRSDIQKFFQLPLELKNAYAQRPGDVQGYGQSFVVSESQTLDWADMFGILAQPPQARDFTYWPVQPQTFRKSVENYSSELMKIASYVVTSIAKTLNVDVEVIQDKYVSQFLRMNYYPPCMSMPEKVLGFSPHSDASFVTLLLEINSVAGLQIKRHNAWIPVKPDPKALLVNVGDFLEIMSNGKYKSIEHRVTINSSQERLTISAFHIPLLDGILSPIKSTTEDRVLYKTMAVEEYAKIYMSNKLDGKRALDHAKTTNQNNELQCSKVPAGGDQASSAAVEHHHH >Et_2A_017032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30348585:30349860:1 gene:Et_2A_017032 transcript:Et_2A_017032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHKYWGVGGRRCGACESAPAAVHCRTCGGVFLCTACDARPAHAGAAHERVWVCEVCELAPAAVTCKADAAVLCAACDADIHDANPLARRHTRVPIAPIGSAAAVESMLFGTAGEEQQNGDEHHQAHQHHGHHQHALNLNVEAKDMKLDYLFSDLDPYLNVELPRFQHADSVVPSGVAAVELDFTGGIGVKQSSYSSYTAPSLAHSGSSSEVGVVPDTICIGAGGGSFELDFTRPKPQAYMPYTATPPSHSVSSVDAEVVPEQRGDAAAVPRPLVGEGREARLMRYREKRKNRRFEKTIRYASRKAYAETRPRIKGRFAKRTDNDADDAEGEAEAEVAVPSSAASSYMLDFGYSVVPSF >Et_8B_058802.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:6909523:6909747:1 gene:Et_8B_058802 transcript:Et_8B_058802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKIQISITCWWINGAQLPLGRDKHGLSTRRSCWLLVDLLSCQVRSTTTHLPIGPTIHGREMFLPLLMCCIIM >Et_10B_002414.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19021120:19022763:1 gene:Et_10B_002414 transcript:Et_10B_002414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSNEDNSKRPSIRRSLNKELVSCPSSSDDPSESAEAIMMMFDSLRRRILQLDEKEDAGKRADLKAGTLMMQNGLRINNLKVIGPVPGVEIGDIFFYRIEMCIIGLHAPVMAGIDYISAKNFGKDETLAISVVSSGGYENDDDDTDVLVYTGQGRNSRHKEKHDQKLERGNLALMNSMKKKNLIRVLRGAQDPFCNSGKIYIYDGLYRIEDSWMDKAKNGFSVFKYKLRREPGQPDGLSVWKMTEKWKQNPLTREKVIQRDLSSKIENLPVCLVNDADNEEGPSYFNYVTGVKYSRPLSNMKPIQSCNCPSVCLPGDASCSCVRLNGGDLPYSSSGVLLKHVPMLYECSSNCQCSQNCRNRVSQKGVYLNFEVFWTGDRGWGLRSWDPIRAGTFICEYAGEVIDEIHMNMDAKEHEYAFQTSWLGDKVLRWNLGAELLEEASGNVATESLKKLPIIISAKDSGNVARFLNHSCSPNLLWQPVQYDHGDESYPHIMFFAMKHIPPMAELRYDYGTRGTPPGIKGEFPNACKLKSCLCGSTNCRGSF >Et_2A_017246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32383088:32385992:-1 gene:Et_2A_017246 transcript:Et_2A_017246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSIAASAFFPASPAPAPAASKNGLGERPDSLDVRGVAAKPGSSSGAVRASKTRTHAAVPKVNGGKSALADGEHETVHSPVPKTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKRPDMLSDTFGFGRIIHDGLMFRQNFSIRSYEIGADRTASIETMMNHLQETALNHVKTAGLLGDGFGSTPEMSKRNLFWVVSQMQAIVERYPCWGDTVEVDTWVGAHGKNGMRRDWHIRDSMTGHTILKATSKWVMMNKVTRKLARIPDEVRTEIEPYFFERSAIVDEDNRKLPKLPEDQSTTAAKYVRTGLTPRWADLDINQHVNNVKYIGWILESAPISILENHELASIVLDYKRECGRDSVLQSHTTVHTDCTSESGETTLHCEHLLSLESGPTMVKARTMWRPKRTEAQQTVPSSLL >Et_1B_012486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32436434:32437116:1 gene:Et_1B_012486 transcript:Et_1B_012486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPLRFVVALAIAVLAAAALLPAASAGREGKQHKVGGPDGWVLPPPAIKEMYYTNWASGITFYVKDSLEFVFKNDSVVVVDKAGYYHCTVAGGEPGDGVKTVRLDAPGYAYFASGDLERCKMGERLMINVLPADQPAAPGPWAPGPSAGAGHAIVASSVRVVPVALAMASFL >Et_3B_030362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32542079:32543429:1 gene:Et_3B_030362 transcript:Et_3B_030362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPHTYPNRFSNTVIGYLNLLTLVASIPVIGAGLWLAHGSSATCESALQVPLLTIGFIVLLVSLAGFIGGCYHVTCALWLYLLAMLLLVVALLGMTVFGLAVTAGGGGRQVPGRPYREFRVTDYSAWLQKHVEVERFWRPALACVVGSRACSKIAAWTPLDYLQHNLTPIQSGCCKPPTSCMYSQGVPIAPQDDDCYRWNNAPNILCYQCDSCKAGVLEQVRRDWHNITILNVIVLVILIAIYSCGCCAFRNARRAESEYPYGVNRMSKINPRWDYFWSRWWNGQREQLY >Et_6A_047997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3079617:3082228:1 gene:Et_6A_047997 transcript:Et_6A_047997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLLAGLRELFLRDDDPCVWPFTVLTSSILLISSSCCSTCRALGLLFGDGCTQLSASSSVSVRSSSAPSRMSLSCMSSRSNTSLVLSFLTMDATHCGRSMPLVLFPRDLPARSSRMMTPRLYTSVSSFASSTFDSSGARYPRRYAADSALQLGAAVISRNRPTPAITGSHCPLKRTFLAFRLLWMILMSSWRYASPRAVPVAIVIRVTQPPIHQFLVASAVNANVSSKCTSFPPTSPPDSACFAVRYKARSGTCPSSLFLKPPSDTGMICSSCHSTSQASGLTDGDGSTHRSASFSVSVSSSPTLPAAASRMSSGSNTLLFHSSTMDATHCGRSMPSVLSADDLPVRSSRMMTPMLYTSVLAFTSSSFSSSGARYPRPPASDSTLELASAVIKRDRPKSAILGLHCSSKRMLLAVR >Et_4B_037507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20764416:20769793:1 gene:Et_4B_037507 transcript:Et_4B_037507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVIESLLIAVPASQSPTTNSFHKICRTIRSCRHADQLMPRGNEEDNNLAYWLSNTSSLLFLLERSLKAPGAPGIVSQKQRPQPVSPFGRMAQGMHSASLANMHYEATDVFPKVDAKLPALLFKQKLTAYVEKIYHIIRENMKKKLSSLISLCIQAPRTMKASKLLSDNSQSNHWLKITEALDKISRILQDNYVCFALIVSIGLTCASFDVTQVLAQKIFTQIFWYTNVHLFNSLLLCREYCSLSNAEYVKAGLVELELWCAKKTAKEFCGIQYVASSWDELKHIRRAIGFLVIFQKQRISYDKIVNDICPILSVQQLHRICTQYWDDKYNTQSISSDVLSNMGVLMTEDSNNAGKGSFLLDDNLRIPFSVDDITNSMQQKDFSDVKPAEELLENPAFQFLQYKSVDTPALKLSPVLSQTPYSTEKGIQTQKGNALAYLPSHEATSEENILSLPSTKDQWNNSINEKDGYFAPDVRCFVQAALSKPRANTEKPHDKNNDDILEQFFIPLSACASVKEMDAINNRKTQGLDVSSPQMVISEKKTLFGHSTKDQLNGSMQDASIKEMDAIKNQRKQVFGVSSAQIATAEEKTIDSSTKDQSNGSTNVKEMDAANNRQKQGLDVSSPQMVTSQDKRLTNTERPQDQNSDNCSGHFFIPVSTGASVKEIYTVKNQQKQGLDVSSPQMVTPEEKTLAVPSTKDKLNGYVHGVSKKEMDAVKTRQKQGLGVPSPQMVMSEDKTLAVPKENGGYLARDIRSSIREAALSKALTNTKRQQDQQCDDGSEHFIPLSTGASVTEMDDVKNQRKLSRQMKTKKE >Et_2B_020483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20627904:20632049:-1 gene:Et_2B_020483 transcript:Et_2B_020483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAHKPLSAITADDLAAAAPGSDAAALHAAVWRALDSRRGDGPAAVWGELCRSVLRPVVPFAVHRMLYYGCFAGFPSSTPPAWTPDPEEAFLTNVGRVLEMRGRELIGDAYKDPITSFSDLHKFSNENPEAYWKMLFEEMGIEFSVKPSCILRESDAYPGGEWLPGAVLNAAANCLTAKPGRNSNDVAILWRDEGKDTDPLNYVTLEELRKKHSLVANALDALDLPKGSAIAIDMPMNVNAVVIYLATVFAGYVVVSIADSFAAPAISTRLKISETKAIFTQDYILRDDKELPLYSRVVEANAPMAIVIPVRGSLPIKGLRVGDLSWPDFLGRVNHTKVDDYVAVKQPASAFTNILFSSGTTGEPKAIPWSHISPLKAAADGWCHMDIRKGDVVAWPTNLGWMMGPWLVYASLLNGASMALYNGSPNSSGFAKFVQDAKVTMLGVVPSIVRTWKNTDCTAGFDWSTIRCFSSTGEASSVDDYLWLMGRACYKPVIEYCGGTEIGGGFVTGSLLQPQALSAFSTPAMGCNLFILDSVGNPLPPDVTGIGELALGPTLFGSSTTLLNADHHEVYFKGMPEKNGKVLRRHGDEFERTSDGYYRAHGRADDTMNLGGIKVSSIEIERICNRVDDSILETAAIGVPPIGGGPEQLTIAVVLKDQSQTADLNQLKLAFNAALKKLNPLFKVSSVIVVPSLPRTASNKVMRRVLRKDFTQATQTKPSKI >Et_1B_011215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18958217:18958884:-1 gene:Et_1B_011215 transcript:Et_1B_011215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDTIFSIAPVSNCTPLRQNELHAHLFLHHTVLGANHNQANIAEPGHRNGFGSTVVNDWAVTDSLGPEARVVARARGVHIQPGFNGSTLQVMGPVVEQGEWAIVGGTGEFTVAKGVIYKKFHEQRGDGNIMELDIHAFYTPMERSQ >Et_9A_062225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20932793:20952579:1 gene:Et_9A_062225 transcript:Et_9A_062225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYDKAMESYKQTMTTAASVAASLMLVRGVVNELLRHLLFSGIDTLRSRMSSQHTIVGERKNDGGFMSNYAYNAVKAYLATRIDAKRQPRLRVSCMDENDKLMVGMAEGEEMVDVYDGTEFRWCLVCQENSKDSGNHGGGGYQQDILSFEVSFHKKHKEKALNSYLPFILATVKAIRDQERTLMIYMLNEYSDEWSPIDLHHPSTFDTLAMDHKLKQSIIDDLNRFVNRKDYYKRTGKAWKRGYLLYGPPGTGKSSLIAAMANHLRFDIYDLELTEVDSNSDLRRLLVGISNRSILVVEDIDCTIELKQREESEDKSNSAEEKKGSSDKVTPSGLLNFVDGLWSTSGKDHRLHDHYKERLDPALLRPGRMDMHIHMGYCTPESFRILVKNYHSVDYHSTYPEIEELIKEAAVTPAEVAEFLMKSDDTDVVLHDLIDLLKSKRKDATIESYKKTITTAASVAASLMLVHSMVNELVPHKVHNMLFSGIGYLQSHMSSQHTIIIEKKSDGLINNHVYNAVKTYLATRINTNMQQRLRVSSIHENDKMMVTMAEDEDMVDIYDGTEFKWCLVCRTDSSDSSNSGYGSQHEVRSFEVSFHKKYKEKALESYLPSILATTKAIKDQERTLRIFMNEYSDEWSPIDLHHPYTFDTLAMDQKMKQSIVDDLNRERITIRRLGRHGNGGILLYGPPGTGKSSLIAAMANHLRFDLYDLELTEVNSNSYLRRLLVGMSNRSILVVEDIDCTIELKQREELKKSDKLGSTEEKKGEDKVTLSGLLNFVDELWSTTGEERIIIFTTNYKERLDPALLQVAEVLMRNDDTDIALHDLVNLLKSKIKDANVIESYKKTITTAASVAASLMLVRGVVNELVPYEVRDLLFSGIVYLRSNMSSQHTIIIEKRNDGFINNHIYDAVKTYLATRINVSLQQRLRVSSMDENDKMMVSMAEGEEMTDIYDGMEFKWCLICQEKSSDSSNGSGYQQELHSYEVSFHKKHKEKALQSYLPFILATAKAIKDQERTLRIFMNEYSDTWSPIDLHHPSTFDTIAMDQKLKQSIIDDLNRFIKRKDYYKRIGKAWKRGYLLYGPPGTGKSSLIAAMANHLRFDIYDLELTEVTSNSDLRRLLVGMSNRSILVVEDIDCTIELKQREEGDESDKSNSTEEKKGEDKVTLSGLLNFVDGLWSTTGEERIIIFTTNYKERLDSALLRPGRMDMHIHMGYCTPESFRILANNYHSIDYHVTYPEIEELIKEVTVTPAEVAEVLMRNDDTNGALQDLVDLLKSKMKDANGMKTENKDANDHGGYEKYITIAASVAATAMMVSSVVHELVPYDLRDLLCAGARYLRSYVSSRHTVVIDEAEGLAANQIYDAARTYLAARISTDMPRLRVIDEAQGIMVGMEQGEEMVDVHDGVEYTWSLVSRDNTTGAGTRAAGGTSPYYCGAKGIRGRHEIKCFEVSFHKSHKDKALNSYLPFVVATAKAMKDQHRNLKMHMIEYDAWTAVDLRHPSTFDTLAMDKKLKQSVMDDLERFVKRKDYYMRIGRAWKRGYLLNGPPGTGKSSLVAAMANYLKFDIYDLELTEVKSNSDLRRLLVGMSNRSILVVEDIDCSIDLQQRDEGEKRAKSTSAAEESEDKVTLSGFLNFVDGLWSTSGEERIIIFTTNYRERLDPALLRPGRMDMHIHMGYCTPESFRILARNYHSVENHAMYPEIKQAIQEVTVSPAEVAEVLMRNENSDVVLQDLLDFLKAKRKEAADSKATNENRNEQIRD >Et_6B_050125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6912362:6914453:1 gene:Et_6B_050125 transcript:Et_6B_050125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSADQGLRTTGEGLEWGLLFGFGPGLTVETIVLRALPCQALPNRSWCIEKPTLPPPPQHTRPNRLLLVEMTRFISFELVKCPHSDLEQHDYMSTYFDAT >Et_2B_020910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24751383:24755237:-1 gene:Et_2B_020910 transcript:Et_2B_020910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADEQSPPTRKREREEDELADGGSAEKRPREEGSEGASLLGLTNYEDEDEEEAARGHSNGHRVEEEDEEDEEDDSRRAPERRPRQVELRRDCPYLDTVNRQVLDFDFEKFCSISLSNLNVYACLVCGKYFQGRGLKSHAYTHSLEAGHHVFINLQTEKAYCLPDGYEINDPSLEDIRHVLNPRFTREQVLILDKNKQWSRALDGSNYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHSKSPLVPLFNILKKFDGETVTEVVRPSIARMRYRVTRLPKYLILHMQRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPKPKENDKLRSKYDLIANIVHDGKPGEGCYRVFVQRKSEEAWYEMQDLHVTETLPQMVALSEAYMQIYEQHE >Et_3A_024721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23634361:23637598:1 gene:Et_3A_024721 transcript:Et_3A_024721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLCIHMMLLVSLIPYAKALTPPSNTSDLASLLAFKAQLKDPHGVLAGNWTATASCCLWVGVSCDRRRQRVTSLKFNNVPLQGSITPQLGNLSFLSSLVLSNTSLTGPMPKELGSLSQLRTLVLSNNSLSGTIPSTLGNLTMLELLNLDTNNFFGGIPHELQNLRSLQTLRLAVNDLSGAIPRGLFNNTPNLRFIHLGSNSLTGEIPDGIASLSHLEMLFLQKNLLSGPIPPAVFNMSQLQALGVTRNNLSGSIPGNESFHLPMLQLIYLGGNQFSGPIPLGLSACHNLEAINLAINNFTGTVPSWLATLPNLTKIFLSTNDLTGKLPIELSNHTGLLGLDLSENRLEGVIPTEFGQLRNLEFLSFANNQITGIIPESIGNLSSLTTIDLFGNGLTGTVPVSFSNLLNINDIYLNMNQLNGNLDFLAEFSRCRNLKTINIANNTFTGRLPRFTGNFSTALQYFVADNNIITGSIPSTLANFSNLLVLSLSGNNISGEIPTQITTMYNLHELYLVRNSLSGSIPAEIGKLQSLVQLHIDRNNLIGSIPSSVGSLSQLQYMTLSKNSLSSTIPETVWLLQKLLELDLSQNSLSGSIPTDVGKLTAIAEMDLSGNQLSGDIPISFGELIMMIHLNLSNNLFEGSIPDSLGKLLSVEELDLSSNVLSGAIPKSLANLTYLANLNLSFNRLDGQIPEGGIFSNITIKSLMGNSALCGLPRLAIAPCKNNTNHLRSKLLLKVLLPAAIAIFISASCLYLLVRGKINKKGKLPQPSDTTLLSYQLISYRELVRATSNFSDDNLLGVGSFGKVFKGQLEDESSIAIKVLNMQNQSASKSSDTECRALRMARHRNLVKIISTCSNLDFKALILEYMPNGSLNDWLYSNDGRQLNFLQRVDIMLDVAMAMEYLHHQHFEAVLHCDLKPSNILLDEDMIAHVSDFGISKLLAGNEKSIELTSMPGIVGYMAPEFGSTGKASRSSDIYSYGIVLLEVITRKKPTDPMFDGELSLRQWVSQAFPYELSNVVDCALLQGKQNHDIEGASKLPEDSNSLDT >Et_2B_022802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5516692:5519984:-1 gene:Et_2B_022802 transcript:Et_2B_022802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAASSSRSFAPRRVLHGAGVVRDALPYGGLPAAPPPPMQGAGGGGGGGSGGKISPAVLFIIVILAVVFFISGLLHLLVRLVMKKQHRRGRGAPASSSSAAARGAGGEADAALQRQLQQLFHLHDAGLDQSFIDALPVFAYREIVVGCGGDGDKEPFDCAVCLCEFDAEDRLRLLPLCGHAFHLNCIDTWLLSNSTCPLCRGVLFAPGLMAEDNPMFDFEERLEEGRLSEECEDGLGLPGQKAQTPAAEKLVFPVRLGKFKNVGTQGAVEAGNANGRVLSRDQGESSSSSLDGRRCFSMGTYQYVLGTSELRVALQPGRIRNGAGGAARGRPAGLSSINADIMEGKKICARNKGESFSVSKIWQWSNLKGKLPAGSDDCSDAGSLPSWMKRGPHASESGTLPLIR >Et_9A_062231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2127686:2129859:1 gene:Et_9A_062231 transcript:Et_9A_062231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAEVMHQAAVPVLEVQYHRCVAKGVEEVVGISAAAAVAAPAEVGVEVEVAIEVPQTGLDQPDAASSVSVEMLQFVPNIRSGSFSDIGPRRYMEDEHIRIDDLSAHLGSLLVCPLPSAFYGVFDGHGGPDAAAYMKRHAMRFLFEDSEFPQASQVDETFLQSVENSVRRAFLQADLALADNLDISRSSGTTALTALVFGRQLLVANAGDCRAVLCRKGIAMEMSRDHRANYVEECERVAASGGYIEDGYLNGVLSVTRALGDWDMKTPSSSTSPLIAEPEFQQTTLTDDDEFLIMGCDGIWDVMTSQHAVSIVRRGLRQHDDPERCARELVMEAKRLETADNLTVIVVCFVSELSAPQQEQPTRPRSCKSLSTEALCNLRSWLETDR >Et_2A_016229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22270505:22272524:1 gene:Et_2A_016229 transcript:Et_2A_016229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMISIDQIPVISTSPNGKAGVIPSVDLSATGAAAAVVDACRRVGFFRVTNHGVPAGLADALEASATAFFALPAQDKLGMFGYGSSGLNGDAGWLEHLLLSLSSSDSVAISTPRTALEEYMEAMRELGGRVLELVAEGLGVDDRAVLRRMVVVAGDEMLRVNHYPPCPPERELPGRYGMTGFGEHTDPQIISLLRANSTPGLQIKLAGGSWAPVHPDPESFFVIVRDSLQEYYIYMVVVSSLIGGTLSRLSIIYFGGPAPSQLIAPLPQVMREGERSLYREFMWADYKKAAYKTVLADHRLGPFELPAAAIHNTE >Et_6A_047820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18259377:18260345:1 gene:Et_6A_047820 transcript:Et_6A_047820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSDKKVVKMFDTLTKLAWSPEGRLGHVQWKVNAHCKKRWNKWRTSFVHTYLSNPWVFISLVAAIILLIATLLQTVYTIVPFYIMGQQELIITYLKLKT >Et_3B_031601.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:5856057:5856299:-1 gene:Et_3B_031601 transcript:Et_3B_031601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYIVALEAVAVAGQTVAARKFPVVVDSGTTLAFLDLALLQPLVAGPAAPTVHSGTRASKQSATSVCTYVRVTIWRQTES >Et_8B_059859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3433831:3438374:1 gene:Et_8B_059859 transcript:Et_8B_059859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSDPAAAAGHPPAPASASAASSSSGLTFKLHPLVIVNVSDHYTRVKAQAACSGDSSSSSGAAAGQPPRVFGCVIGVQRGRTVEIFNSFELVLDPVSGTLDRAFLEKKQELYKKVFPDFYVLGWYSTGSDVQDTDMLIHKALMDVNESPVYLLLNPAINLSQKDLPVTIYESELHVIDGSPQLIFVRSNYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRVRVIHQYLVAMQKGETPVDNSLLRQVSSLVRRLPAMESQKFQDDFLMEYNDTLLMTYLAMFTNCSSTMNELVEKINASYERPATRRGGRGAFIKRTLAPLKVDMLKLCNARSAATTFYHIFSNTKPP >Et_2B_019708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12846642:12847369:1 gene:Et_2B_019708 transcript:Et_2B_019708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQADKGMKIVPLSKRKDTANTARMTISRESEESNSMMTRMETFKSQIPREHMLQKKGATSSQIKAKFNKLMKKDKPKKKKKRTIMNPFLGAALKYHIDDDMDPEATGTTESAA >Et_6B_049951.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:279891:280535:1 gene:Et_6B_049951 transcript:Et_6B_049951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDMDEPLDASAAAEKGQAALRRLRDAEPALFLSPSAGLAAAARASSQHIYSSLAPFSPAQPPPLPSLLAGPAFDAEQIWSQIELLTRPLLPHMQRQLRRLEQQPPSQPHAAPRVEMPAGAEEEQSEEGEEDSESEELKEDEEEELEATDDEDETAEDDEEDEEELEGKGGNGVEDKFLKTKHLEEFLEQAEEEECKARKREEEGSEKLDGRR >Et_3B_031635.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6638655:6641363:1 gene:Et_3B_031635 transcript:Et_3B_031635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLRLALSLVVVISVLCRYCSAANTPADNYLISCGSDADTPVGQRVFVGDSSGSVTLTASHSAAVKAPPNSVASGFDDDNAALYQTARVFTAPSSYAFKIGSRGRHFVRLHFFAFASERYDLAAARFKVSTQDAVLIDSFNASSSSSLPVRDEFLLDVDRDTLIITFVPDASGLAFVNAVEVVSVPGGGLMADPAKTLVPAGGQRLGVAVPPLQTAYRVNVGGPAVAADGDALWREWTTDQRFLIGTAGTREVAYNGSLHYLDGGPAFREYTPDVVYATVRELDTSNWSDTSKQMTWQFDVSEQPAAGSYLVRLHLCDIVSRAPHLLFIDAYVNGLTAVSGLDLSAQLGGGDALAVPYFMDFIVESSDPAGKISVSVGSSSTMKRNSTVPGPILNGIEIMRMNFSSGSVAVVVEPETWLKKHQVAIVVGSLCGAFAAASVLAAVLFVVLRKKKKDGKVLPEPSTPSRPPSTTPWIPLVDRLSFLSGRRQSTISGTASSGGPPSFTIPSSTTPGGGASPNPMAAAAASPDPVAAASSYRFPLAVVRDATNGFDERLVVGRGGFGTVYRGALPAGDGGALVAVKRASPDSRQGAREFRTEVETLSSLRHRHLVSLVGYCDDGGEMILLYEYMAGGSLRSRLPALSWAQRLDACAGAAAGLLYLHTAMAKPVIHRDVKSSNILLDAGAGGLAAAKLADFGLSRAGPEPDLDETHVSTAVKGSFGYVDPEYARTRRLTPKSDVYSFGVVLLEALCGRPAVDPRLPKPMANLVEWGMHWQRRGQLEEIVDRRVAGDVRPAALRKYGETVARCLAERGADRPPMEDVVWSLKLVARLQDSGDDLLDFSDVNSLNMVTGLAPAPCPPRRSSPERRSVTGGGEEGDDMSDSNYVSMGGIFRQMINAPGR >Et_4B_039070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7536963:7537824:1 gene:Et_4B_039070 transcript:Et_4B_039070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TLVSHKQKPHFHTSTIGYQTTRALAFWTQDADTITDDTSETTRQPETQRALAGDLDGLDFRLLGLLLGDDDCEHAVLHGRLDLLRLGVFRKPEPAQELAAAALNPVPLVVLLLLLLAPLAADLQDVAVLDLHLNLLLLQPRDIRLEHVRLGSLLPVDASPGESRRLRIRGDARDEASAAAAGAEGEEGVPEVEGEGVDLVASNQRHCRVGWSLIGDCFGSGLQFSGFFGSLFSALSNVDPGVRRFIARMSRPCWAGTSRSLENSRFPVKGLEATGSPSGLNFVRS >Et_5A_041025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1705145:1706682:1 gene:Et_5A_041025 transcript:Et_5A_041025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSAASPARLRQLTVPAALLLLSSAALLVFLILPSLSPSSSTSAHLCACTPPATTHTTTTVTTTTTTASHPPVTTSPADVSWLKAQLAANSLQLAADGAAASHDAWHRLRKGINPRTREQQLFDINRHHGISHYPDEEASNHTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLANASALTPADQVLEIGCGTLRVGLHFIRFLEPGKFHCLERDELSLMAALRYELPAQGLLYKRPMIVRGEDMDFSRFGDTVMYDLIYASAVFLHIPDKLVWTGLERLAGKLRPQRGRIFVSHNIKFCSRLGGDECTRRLAELGLEYVGKHTHDSLLFNHYEIWFEFRRPKV >Et_3A_024802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24397696:24400745:1 gene:Et_3A_024802 transcript:Et_3A_024802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGGDALDLAAIDDAELTSEQKAALVLSLKDKLLALAGQHTDVLESLAPKVRRRVEVLQEIQGQHDELEAKFAEERAELEAKYQKLYEPLYSKRCEIVTGVVEVEGITEGEDETPGEQKDNGVPDFWLNAMKNNEVLADEIQKRDEEALKYLVDIKWCRTDDPKGFKIEFFFKTNPFFKNSVLTKTYHMIDEDDPILEKATGTEIEWYPGKCLTQKVLQKKSKKGSKSTKPITKIEDCESFFTFFSPPQVPDDDEGIDEDTIEQLQDQMEQDYDIGSTIREKIIPHAVSWFTGEAQDEDDSIVLDDIEIENDEDENGDEDDEVSILHYLRNQSFISLQTAQHGEQSADCKQQ >Et_8B_059216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15492257:15498422:1 gene:Et_8B_059216 transcript:Et_8B_059216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVEGEDITEIFKHLLVAADRYAIQRIKLYTLSKRLYTENVASVFHFADQNNCNKLKDSCIEFMSPSNRITNVSVSQGYLNLKRHYRSVLVEMQEKAKMAAASQRPRSRTASRSTAETAEATHTFEIDGFSRHKGIGAGRFIRSAAFAAGGLDWCVRFYPDGLRPADSKDSCAIVLELLSERSRARAHYELRIAHHDGSSMPMAWRTAWFSTMDASNSTSSGIDVYKFLGDNKLKQSGHLHGDRLVIECDVTVIKEPQVTEPSEDHAPPSDLAANFRELLESEEGADVTFSVQGEEFPAHKVVLAARSLVFKAELYGLLGERNREHITIEDMQPPVFKALLHFVYTDSLPEMEDLGSDGKKEMIMHLLVAADRNAMERLKLICEGILCKCLDVETVATTLSLADQYNCSRLKDACIDYINASDRVNEVMVSQGYLHLKTACPFVFVDMWEKEKQTKSHKI >Et_10B_004392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:626752:629822:1 gene:Et_10B_004392 transcript:Et_10B_004392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAGRPICELDIVAFTSTGARGRVLESIRRTRRVDGTLQVQRADGALVDANPGDVAVVDRSWLRAGSVVASATDPGGQLGVVTAAATALDLVKNNESWTAARGVSPAELRRVRELSLGDYVVLRGGARWLGRVVEVSLDVDVLFGDGAVCRVADAERKLVALDKDCLKHHTNCAFYPGQRVAGRSSGDVFKASSRWINGYWKPSYVQGTVTKVAMTGALVYWLATPRPGGTPPPAAYQHNIDDLRFFSSPKIGYWSVGDRCFFRNSNPRDDDSAGDRHDSPSSSSAPPAAARGLTTARKYYQKSRQMRRTRRRALQPHELERPMTVADTHTVADVVWQDGTRQRGVPSASLVPFLARNQHDFFPGQHVRRAWPPVVEASAAAAVGVVRSLDCKDQTVRVSWFHKGKTTEPNGGGDETLSAYHLASLGRNVFYGGVVVRLDGGAAAGSTGTEDDLSWVGRIVDICDTQVQVKWGDGNTTKVLPREIAVVKEQSFSEVLRQMGSLGDWVHDDENVDSDAQDEMAQVPPAANNTGEGEDDESSSGSDDDDGPAVTRIMGRVSSVIQRAVIRLGNRFRPVSGLRQTVAEVSSSQPAATANVEAVPSGVGHAKQIANAVEASNGGGVGSHGEGDIATGSVEAVRFLRFDVAQRSPPDHHYLDNSVQGTTSGGRKWTKRVQREWKILENDLPDTIYVRAFEDRMDLLRAVMVGASGTPYQDGLFFFDLQLPPSYPAAPPLVSYRSFGLRLNPNLYESGTVCLSLLDTFGGHGTQLWSPEASTLLQVLVSIQGLVLTAQPYYNETGYEAQVGTPQGRRNELPYSENTYLLTLQTVLHLLRRPPPGFEDFIRDHFRRHGRRVLRACEAYMEGCLVGSLDDDARAVEGSRERPCSAGFRLALASIVPRLTEAFAEIAPTGARNSTCTGCVCSITNYN >Et_1B_013907.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:23424797:23425267:1 gene:Et_1B_013907 transcript:Et_1B_013907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGDPPATRSYSGLLGALHHCISGGDASAAVSLLPTLARAGLRAPFPLLSSLAHLLLQRPAAPSFPSLAGRLLLYVRLAGLKRLVPCSTQLADRLLSLHFLLGRPRDARRLFAKTRPSIDSYNAMLAGYARLALADPAAEVFAGMPHRSRPPLL >Et_2B_019527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:78893:91871:1 gene:Et_2B_019527 transcript:Et_2B_019527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSASRSQLLLLLHSPSALAPPPRFPSRHRRRPRPLSAADGDTPAGVSAAEERGSSTSSVLTFQQAIQRLQEYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSIRPDDSRYGDNPNRLQRHTQFQVILKPDPGNSQDLFLHSLSALGINVREHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLQPVSVEITYGLERILMSLQGVDHFKNIQYTEGITYGELFLENEKEMSAYYLEHAAVNHIQKHFDDFEEEARSLLSLGLPIPAYDQVLKASHAFNILDSRGFVGVTERARYFGRMRSLARQCSQLWVETREKLGHPLGTCEEANLIYPHDSGKSSSKEVLDQPRAFVLEIGTEELPPRDVVEATEQLEKSLLNILEKRRLSHGEVHSYGTPRRLAVVVENLSIKQMEVEVELRGPPVAKAFDQEGNPTKAAEGFCRKNNVSVDSLYRRTDGKTEYIFARVKESARFADEVLTEDIPTIVSGISFPKSMRWNSNVETAESYLRTVENAGILIDIQERKEKVLHDSSVLAEGVGGDFIAPDSLLQEVINLVEAPVPILGRYDSSFLELPKDVLITVMQKHQKYFAVTSKSTGNLLPYFIAVANGAIREEVVCKGNEAVLRARYEDAKFFYNMDTQKKFSEFRGQLSSILFHEKLGTMLDKMTRVENTVSELTRVLRINERMIPIIEDAAALAMSDLATSVVTEFTSLAGIMARHYALRDGIPEQVAEALFEITLPRFSGDVFPRTDAGIVLAVADRLDSLVGLFGAGCQPSSTNDPFGLRRVSYGLVQILVENKKNFDLRKALNIMAKVQPIKIEDDVIDEVDEGIDCEIVRSVLMERANCPYLAAQTAIEMEAFSRTEDFPKIVEAYSRPTRIIRGKEIDSTLEVDPNFFEKDEEKVLWNAYLEVDDKLHAGVDIKTFADASLLLIQPLEDFFNNVFVMAEDEKIRNNRLALLRKVESLPKGIADLTLLPGF >Et_3A_023838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13136111:13140440:-1 gene:Et_3A_023838 transcript:Et_3A_023838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAIDTFYLTDEQLRDSPSRKDGIDEATETALRVYGCDLIQESGILLRLPQAVMATAQVLFHRFYCKKSFVRFSVKRVAASCVWLAGKLEESPRKSKHIILVFHRMECRRENFPIEHLDVFSKKYSDLRHDLIRTERHLLKEMGFICHVEHPHKFISNYLATLEAPELTQVAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRHRVPLPEDPPWWTVFDADEAGIQEVCRVLAHLYSLPKAQYIPVYKDDDSFTVRRAPDTLASKESPASAVASDKGTPIPVGSSQEKDSVTKAGSNKVKEKTDDEGKPLPSELNGKSDSAVNAKGEKSESSVERSRERERERERDRSRGRDRESRGRDSDRESRGRDSDRERDRRHRSGDKSSGYSDKEKSRRQSSRDRGDYYSSHSSREKDRHRHR >Et_2B_020159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17419169:17419889:-1 gene:Et_2B_020159 transcript:Et_2B_020159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCRGVALRAAVLAAVLGFAAAGFISNDALLQHEHDGTGRSLLQVKKDCPVSFEGANYTVVTTRCKGPLYQPSLCCGALKDFACPYSTYINDPNTNCAATMFSYINLYGKYPPGLFANTCHEGDKGLKCPEDVPQIQPGQTASSGAVAAAPAAAAALAAGVAALLLLMSW >Et_5B_043266.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20892974:20893393:-1 gene:Et_5B_043266 transcript:Et_5B_043266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSGAAEPVRVAEVAGLADVHAVPVRAPPAAAAPTVQRRPRPRVPPPPRPLRQDARARARRTAAAAKEAAARRAGASTSDTAAVETPTAPAFAVTRGPGRVASPNSARRRRISGTNRTATKAGSSARQPRQPKTAAAA >Et_3B_027673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11766032:11767630:1 gene:Et_3B_027673 transcript:Et_3B_027673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRPTLPRRCPRDCKLSSFLYAVSSLAASPSPSLPAGAAPAAHTPAAYNALMSAYSRAGRPGEVLRLFRSLPFPPTAPLVTTLVSSLAYSGRHLAARAAFASLLVSGLSPTASAYTSLLKSHGSASPELVFDYILVTMPAAGCLPDAAACNCLISMLCNYQKMKEAWGFLDYMMHNGIRPTVRSYTTILRGYFETVTILFDCLCRDKMFPEAACLLEHSEELGWDADVFCYNTLMSSRLYDAGDCAGVLKMLVVLFKKGIEPDIVSFTIAIRSLCRAGKLRVAKMLIDNKGIEYDVKAFNTLIHGFCRAGDLRGVILTYDDMISRNVLPNDFTNAMVIDSFCTESEFDRAITFFLELHKDPRDGFVHDHLIRLNYRLIKAKRFGNLLKLLNKFCSRGFVLDFCIFNSLITVCCLEGYCKDGNLFEVSLILNSMLGMR >Et_6B_049780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11313819:11320937:-1 gene:Et_6B_049780 transcript:Et_6B_049780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGLLASCKAYSKKIMDVKLDTTSCFAPFAASRRRAKRPRRQRAIDARRWLARMRRAAEGKKRFVRFAGARWVGWVVSGLVARSSTGYRWAAHSQYDRTTTYCIGRRSRSTGSSMTATASPVSSSAGTSGALCTISPSHDSHDGNIIVVLVTGSHATPNCRRRMVLADILPHLYVGGYFVLDDTLSYIQASATGPARDGMPALGYHNECDGQIGAQNH >Et_4B_039930.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:6182875:6183627:1 gene:Et_4B_039930 transcript:Et_4B_039930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPDEASVGGRAERQPMKKSAWTKSEDAVLLAQVKLYGPQNWKAISAALPGRNSKSCRLRYCQHLDPDVDAATAFTAKEDARILHYQSLFTNKWATIAGYFPGRTDNAIKNRWNSVLRKQVQQQQTQHQASTGGGGGPRRDGRTLNLFRPSPGDVRMEKPIKGPVLDHMPTAEDDDGAGDDQSGACLHLFPLAPGDIVNESGTAPMDVDCGADDPLTQLRLFPSVAKVAYPPMTAAAAFRAMVQAVRAS >Et_3B_029806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28087218:28090771:1 gene:Et_3B_029806 transcript:Et_3B_029806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMYIAREATKLWRKVSAETALELQLLLEKWRLLLAGLVFQYIHGLAARGVHYLHRPGPLLQDLGFMALPWTFHPFIYHSKRFYTVLLWRRVLAFLVASQFLRIITFYSTQLPGPNYHCREGSNLATLPPPNNVLEVFVINFPRGVNFGCGDLIFSSHMIFTLVFVRTYHKYGSNRLIKLLGWMMAILQSLLIIASRKHYTVDVVVAWYTVNLVVFFVDKKLPEPDRTNGLSLLPVSVKDKEGRMKEEPHKLEKDSRIRDEFHKLLNGNTTDASDRRQRVQMNGKHGEDMNHSVSDGTPPGT >Et_1B_012404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31739505:31742348:1 gene:Et_1B_012404 transcript:Et_1B_012404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTREASSMVSGKFLVAAASEFLRRRAALLRRSCSSPKDLGRHSSSPQPRLVGKERKAESGSSYRAPSIVQVGIPSPAASWRRAATNAASSSSSSVPPPPPAQKKKKAKPGLDVEGYHVEGVSVAGQETCVIVPALKLAFDIGRCLPFAVSQEFVFLSHAHADHVGCLALYVAMRSRRNMAPPTVFVPARLVGPSDLPHKLVLLDVGEECEFGNGLRVKAFRTYHVVPSQGYIIYKMKTKLKQEYTGLPGSEIKKLSDSGVEMTDTVATPEIAFTGNTMSDFILDPDNADVLKAKILVMESTYVDDKMTIECAREKGHTHLSEITSLSDKLENKAILLIHFSNRYTTEAIDTLPPSFGSRVYALKEGFDSNA >Et_2B_019424.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29023679:29024056:-1 gene:Et_2B_019424 transcript:Et_2B_019424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VYSRGAVDVGGDGVGQRDAAVGRQGLHGGEVGVDLPVCRLEALARRLPLGRRVRGCDEAGHAGAALAGRDVLVALHEAEARRQLVEQVGAYDVAPHGGQQLLDSEHREEEAGKGGRALLHGWLRR >Et_5B_045125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9835516:9837901:1 gene:Et_5B_045125 transcript:Et_5B_045125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSDLDSAALWAAVDSAAARASSVRRVTSDDDHRGEVLQPAPPFKSPRLAHAASHATPPRPSLPPSAHHSSPYATPDAAAAARGRMVVVESPPPEPLAVSMGSPVAAAAASDGWLLPSLSVANFRKYQEVALSILEKNDYTSISGNPYIKKSGWRKISCFFNISFEIKDHSIEFDENRNVNRAEFLVRASMIGGRFSDGWGSCDRREKRFNKPNHDIPSTAETRAKNKACQDLLGIGNNRPG >Et_6B_048905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15296545:15298809:-1 gene:Et_6B_048905 transcript:Et_6B_048905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAPLLPTTESAVVHGVSDYRGRPVYRGSSGGWRSALFVVAVEIAGSFANFGVAANLITYLTGPLGYSNAAAAAAVNAWSGTASLTPLLGAFVADAYLGRYRSIILAGALYVLNLAYAYLTRRCDDAILIGYGLLTLSATLRRSSLGLFYVSLYLLALAQGADKPCGLAFAADQFDAEHPKECASRGSLFNWWYFCMAVGISWLSPWWATSKKTSDGASVLACLASLCFAHSSSSSSARPHTVFLQLLLKVHMAMSSPFVRLARGMFPLSEEEDSDVEAKEEAKAEARCVLRLLPIWVSSLAYGVVYAQIMTLFNKQGRTLDRRLLTLELPPAALQALGPVSILLFVPLYDRALVPVLRRTTGNPSGLSMLQRVGAGMATSLAAVSVAALVEGRRATVPMSWAWLVPQYAMLGLADVLAVVGLQELSYDQMPHSLRSLGMALNLSINGIGGFISSLLISLIDALTTTTGGDSWFADNLNRAHLDYFYWLLARLSAAELVLFLVFAQSYVYNSKIISITSQKKTSIYVKKNILCSDLIEESLHDCFYY >Et_8B_059438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1850724:1851701:1 gene:Et_8B_059438 transcript:Et_8B_059438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERGAAALWGHGHLPLLARAGSKESVEYILQALWRTRRTGLDAADRAVARDILQLSDDTDLDPLLVCLRILIRRCVNENVAKEDIPKLFPKEVSPELQKLLTLLLQKFQPEWQEDTRKDQASAPPPHSETTDGQLNQNGDALEQPAAAAAAQFQNGTHAKDSAEPREREVKKFPLAKDSLDKMLKDLFPVKDQTPNAVSIHVLL >Et_9A_063126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10995013:11000557:1 gene:Et_9A_063126 transcript:Et_9A_063126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWDCLPPSDPESPQGEEALILGSKVGFTHESTASSPAYTESKSSTTLRGQDVSDAALLRLPSRVNGT >Et_8A_056521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11380270:11383001:1 gene:Et_8A_056521 transcript:Et_8A_056521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGPILCRPAMQAKLPAAVVGNTLTKSGQLGTAFFGSMSRYRNITRLISPISLPSARSSGHVCCSFSSSSDGNGYTAGKFNESDEDYVNTTVLEAVEVKSGSEGYIIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKQMIDKMGYEVKLVRVNKRIQEAYCAELYLTKKPPVLQIDDPKESITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRPVEPARMAVAAGLSEGLLFTELDRPDGQPCVEAQEFGLVRNMLIAAVEERYKDAASWKDKLMQLRSKRKNWA >Et_1A_008364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:721554:727454:1 gene:Et_1A_008364 transcript:Et_1A_008364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVAIHQFAECITCHAWSPDQSMIAFCPNNNEVHIYKFFTDKWEKLHVLSKHDQIVSGIDWSRSSNKIVTVSHDRNSYVWTQEGSDWVPTLVILKLNRAALCVQWSPKENKFAVGSGAKSVCVCYYEQENNWWISKVIRKRHESSVTSLAWHPNNIYLATTSTDGKCRVFSTFIKGVDTRGSQSSAATDSKFGEQIAQLDLSSTWAFGVRWSPSGKILAYAGHNSMIYFIDDVETSPAAQNLALRDLPLRDVLFVSERVLIGVGFDCNPMIFAADETGLWSFVRFLDERKAAPSASKASQLSEALGKLYGQSKQGTSSDTVEPSKPRGGAHENCITCIIPIRKGSDSTTKRFSTSERDTYTNH >Et_3A_025846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33348966:33349466:1 gene:Et_3A_025846 transcript:Et_3A_025846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSREEFVGSAFVAFGITLFVGFFYAAIVSKLLPPYENRLLAAIQNDWYYCLLLPLTLPVIIVAVYLHWLSMKMFKHA >Et_9B_063947.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:4747477:4747566:-1 gene:Et_9B_063947 transcript:Et_9B_063947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPPSAQDMSYYDHVQKRHEEKGCLYAW >Et_2A_016736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27788804:27792468:1 gene:Et_2A_016736 transcript:Et_2A_016736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGIAGLQNAAATRDQFRLVGENVAKVRTDVMKEQLATFRSQLEEFARKHKSDIRKNPIFRQQFHEMCAKVGVDPLASNKGVWAELLGIGDFYYELGVQIVDICIATRSHNGGLIDLLDLRKQLCQKRKATLESLSEDDCLRAISKLKVLGSGFEVISVGRRKLVRSVPTELNKDHSGILGLAQAEGYVTVEQVEKEFSWSTGRAIYALETLLKDGLAMIDDGHRDGKRRYWFPCVTVSSDTAEMATEKRRRHRSPPAATPGASSSSTPDAAAAVAHSPSPPVDLVPDIACRLTSLEDFFALRATCRAYRTLLPPSRAILASQPPLLLVALFPSFSEALFHLPLRRLHRFRLPWAHHQPPSRHTLFFAHGFLVTATTASAHYPPRLLLLHLFSGEQLRLPKVPAPFTRVILSDDLAAILFLPGKATVQHCHPGDTLWQVAFADPPHIFEDMLFVDSTLYALVNGLRLARVELSDSSLELSFLGEELDDESRPAGERFMLGECGGEVLLISEDHSEMMLCRVFRWMAEERKWVMITSLGGRTLFLGFHGFAACIGPDCPGIRGDCVYAAGPRLGEWREYSLADGTCDVRYAEYPGAPPLNNNSPVRPPVWIFPSLC >Et_9A_062176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20333779:20336636:1 gene:Et_9A_062176 transcript:Et_9A_062176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIIAAAGVMMAPWVLACALVLCSSVPGAEAGGIGVNYGMIANNLPAPEQVISMYKAKNISYVRLFHPDTTVLSALRGSGIGVVLGTLNEDLSRLASDASFAASWVASYVQPFAGAVQFRYINAGNEVIPGDLGAQVLPAMRNLESALRSAGVAGVPVTTAVATSVLGASYPPSQGAFSEAAAPVMAPIVSYLASKGAPLLVNVYPYFAYSTSGGKVALGYALLSSDSGAAASQVTDGGVVYTNMFDAIVDAAHAAVEKAGVQGLELVVSETGWPSAGGDGATVENAAAYNNNVVRHVGAGTPRRPGKPVETYLFAMFNENQKPEGVEQHFGLFQPDMSEVYHVDFAAAA >Et_4B_039625.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24224792:24225085:1 gene:Et_4B_039625 transcript:Et_4B_039625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYVEMLDMGVRIAARFHSHCPQTARMYYKPPQTTAAASSSSASDKAAGAGGFGFDSAASILRPFAASTAAEEFGAGGRPGFHELDTAQVLAYEVV >Et_2B_021084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26341608:26352691:1 gene:Et_2B_021084 transcript:Et_2B_021084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NDLFDRPLARKECTRKINPCPKNRNGENQGPDTQKSDIPFGPQPSLSFSASGSDQAPPKSHTRDDAINPRPTPSQTLLSPSIPIPPMASEIEVLEDTTVSTSAAGVSSLVAAAEGADGAGAETAEDESLKNDVYTAAAYGDLEKLQRLVEGEGRPVSEPDGGGYHALQWAALNNRVAAAQYILEHGGDVNAVDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQATHVAAQYGQTAFIYHIVAKWNADPDVPDNDGRSPLHWAAYKGFADSIRCTPLHWAAIRGNLEACTVLVQAGKKDDLMVKDKTGLTPAQLAADKNHRQVAFFLGLLLFFGALLSSCLLRQYVMNMTLPFGLFAWSGVFLATAGLVMFYKCSRKDPGYINMNMRSSQNQRDDEPLLKMELDNPALISGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFMFLTLEVLAMIITGSAAIIRIVRDPASPSYFGGWVRYSAAHHTGAVLFIAMDLFLFFGVAVLTVVQASQIARNITTNEMANSMRYAYLRGPGGRFRNPFDHGVRKNCSEFLLNGYNEDIERLDQTLHTDEEMGMIQMTSRVTQNGDGLLHHGNGTNHVCVESQANSKAHSQVNSSECCDHSKRTDRTPLGLGMGLGRNSASRQNALSHGG >Et_4A_035427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24375589:24377002:1 gene:Et_4A_035427 transcript:Et_4A_035427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAADSSPLHVVIFPWLAFGHMLPCLELAERLAARGHRVSFVSTPRNISRLRPVAPALAPCIDFVALPFPRVEGLPEGAEATSDLHREALDGLAAPFSDFLDSACADGKDGKVDWVIVDSFHRWIAEVAHEHKVPCVLNMPYSATTSVMYGLPDSAEEDHPLGASVVQRFLQTFGICKILAYRSCFEFEPESMPHLPNIFGKPVIPLGLLPPPAGGHKERDSAALSWLDEQAPKSVVYLPLSVAQLHEIALGLELAGTRFLWALRKPHGVSPDDADMLPPGFEARARGRGLVVTGWVPQTSILSRGAVGAFMTHCGWSSTIEGLRCGQPMVMLPVYGDQWPNARMLEARRIGVKVPKDEKDGSFDRDGIARAVRAVMCEEEDGGKRVFAANAKKMQDIVADRKCHERYIDEFVQCLRTYKQ >Et_1B_010797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14103025:14104697:-1 gene:Et_1B_010797 transcript:Et_1B_010797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKVADASEYLAITGWGIDDVNLAKKAWVWVGQQCKKFDITPVNYEFEVHAMSSEKLPFILPAVFTIGPKVSDDKAPLLLYAKLIAPHDKQSSHVRELVKGVIEGETRVLAASMTMEEIFQGTKSFKQAVFENVQVELNQFGLYIYNANVKQLVDVPGQEYFSYLGQKTQQGAMNQAKVDVAEARMKGAVGAKEREGITLQKAAEVDSQTKVFRVRQEAIGIKEQSKVEAEVKVFENEREAVIAAAKAELATKKAAWDRQTKVAEIEASKAVALREAELQMEVEIKNAKRLTEKLKAEQLTKATVQYETQVQDSNAALYNRQKAAEAKLFEQMRAADARKAQAEAQFYEQKLAEDAKLYAKQKEAESLALVGKAKAEYVSSMLQAMLPPLLSTVHEQTGMLPPAWMGSLPKDEAKAK >Et_5A_042924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:695988:697543:-1 gene:Et_5A_042924 transcript:Et_5A_042924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHDQHATAAEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQLQAQTQAAAAAAAAGSSSSSGGSPPASGGGGLAPGHAGSSLAGVYAHGGAANYGSSASASWPPSPPSSAGIMGDLDCGGGDDLFAISRQMGYVDGGGSGSSASAAAAQQQLYYSCQPAASITVFINGVATEMPRGPIDLRSMFGQDVMLVHSTGGILPVNEYGILMQSLQMGESYFLVSI >Et_9B_064774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18529441:18531110:1 gene:Et_9B_064774 transcript:Et_9B_064774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSHGLALLLLGRKGEDKRDKASPCSHYRLVGQEAEHDCQAPSTKTDVPSKCASYICFGCTPARLVGASPPKLSSSNTPGTLSEQSSSSTNETATSNGSTNGTGIKGCLKNNLQRDSSERSIPSFSEEPRESLEEVQTLKSGMERRKVHWTDSCGKELFEIREFEVSDDGLSEDDLENEGFRKCEHDRSDEPCHCSPCSPVESFTFMSGPQVRIVVVLLR >Et_8A_056463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10402281:10404832:-1 gene:Et_8A_056463 transcript:Et_8A_056463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETENLCDPFQCYIFNHGVGQFAELIQKALNTRSNVRAFPTCMAIDRNIPYEENNYQNYL >Et_2A_015355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11516012:11516388:-1 gene:Et_2A_015355 transcript:Et_2A_015355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGWTICRLTFSVDATKNLGVPCALLWMASACGSLGSRAPLPPLHRKGTCPSQRSVVSGFNKKKVARFTSDAEQLTNGFLDLPMGWVRGMSKHMRLKDFPSFLL >Et_4A_034236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31029304:31033672:-1 gene:Et_4A_034236 transcript:Et_4A_034236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSDLPSRGSGSSPSVVSRPRRRKRGDAAAEDGAATPRRATRRSTAEPDVDGAVQWARRAAAGALWEVRGRNRGLEDEERVGAMILALRHALSVLEPDDPRSPYAKVSVLIAFEFLGNVRRKGSLSMARVQRQGTRSSERILTRLPSYLSTRKHIGIDPVQYQASVPEWKNAPSEEDKADYKTDYETLQKMGTLITMPLNVGPRKTRKAADDKCRCSHPGSETCVEVHVKVARNNVRSQLGEKAFRSCGLDAMGEQVLKLWSAADKKKLNEIEKLIPHNKHENFMKIALKQFSSERKTDLAKYYFNVFLPRRLAILTRAESPNAIDVSTDDEGHDQDDENNGHYSEQKSGKSVQKSGKSRSSSKRMRQNHDERLHVLEQGHQLHLMEAYHSSRFTGGAFAAEKSSTAFLVTRLSWTRSTPLISTTTVPLLWPNPLNLISPNAPSPICPWILDVRITTCRLHALLEQPSFSSGAGSVHVDIAKASATIRPSPPSAVACRRSPSARHQQPDLLLLLLASSAVCVQLFIL >Et_1B_014057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29001965:29003685:1 gene:Et_1B_014057 transcript:Et_1B_014057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTTETGRSRDRPAAVPVLPVELILWEILILLPAKDLLRCRAVCRSWCLLTSDADFLLAHHRQQPSLPLVSFHGETNFDNSIRVDAALDAIDLRQRPTERQPVLRFNDYSHRRRYVVHASCDGLILLSLSNGRFYLCNPATRQWTGLPGLTGASIAGMYSHIPSGEFRILYWKGAAKYGANDAVYYVLTVGSLLEPRSIGQPVASPSVKEFIQRGLHDECPPVLLRNSLHWPRSGELQGNVIVAFDTVGELFRLMRCPNVARPYLLEMDRTMGISWLNGRHQTVSLWVLQNYEAESWSLKYRIKCPVAEMSNIIEEDPWFHGMIVSENGDLLVVCLMTSHMFHCDKKGKVLQKFHRDQVKTVVTGLWFKENLFKHAFFERETGRRMAPTDANIVYINH >Et_7B_055194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8136912:8140603:-1 gene:Et_7B_055194 transcript:Et_7B_055194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLLSICVASSLSSHCSFCHQSTEPHTCFSFPKLFVLLSGIALNFGQSSTRIVSREGKSLGLQILLRFLTSVNTIDLSFGKLVSCSPDPSSLSTSSIICCISSQWDTKSLSSISSFRTHEIFCNLGHDIITSLRTYRNRWGIGATMTFSNEGRTERVRIMEPNQHALEPKRLDLRQALNNLRQRLESKFLELPPLLGKFVRLLQRLQYPLANRCTASSMHGALADARILHELAVILINGGVQL >Et_5B_045621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5650877:5652595:1 gene:Et_5B_045621 transcript:Et_5B_045621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHPFHLTALLLLLLAPAHRCDAAGGGGGSDDGSRFIYNVFAGANLTLDGVAAPPIEGPRVHPSPLPFRAPSSSNATAARSFSTTFVFAIYGQYADLSSNGLAFLVAADRATLSTALPGRFLGLLNDTDNGDRSARILAVELDTIFNAEFRDINSNHVGVDVNNLTSVNATGAGYYDDDDGDGQFRNLSLVSRKAMQVWVDYDGVARQVTVTLAPVGTARPRKPLLQASDVDLSDVLQHAATVYVGFSSATAVLASRHFILGWSFALDGSAPALDLAALPTLPPAWPKPRSKLLEIVLPISSAALVLSVGAVIYALVHRRLKFAELREDWELPFGPHHFSYKDLFHATNGFSDKRLLGSGGFGSVYKGVLRKQAGGLTVAVKKVSHESRQGMREFVAEVASLGRLRHRNLVPLLGYCRRKEVEGTIEGSWHSWPTRFSESWAV >Et_6A_046821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2186560:2189345:1 gene:Et_6A_046821 transcript:Et_6A_046821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DKVDAIGLDDDSALLGWIVGLGGGHDDLFSQFDLDQVETNSMCDDVLDEVIDLDEDAEDSGKSMKRVSDKETLEKGIKWMSEECFLSFTKSEERNRFEGIEHKFGELRSQCLSIEAYDKIFHHYNFTIEAKHGGSDVWSSELYFAEVKQLFGLKSYFCCLLEPVDDGWCYGCQNQNVGDLQHPSKGGYEEGHADICWPFVDQTDSDSDDDCLEIAAGEAARARQGMVMGRREAEEESD >Et_9B_066033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21049452:21052743:-1 gene:Et_9B_066033 transcript:Et_9B_066033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNPFDLLGAVDNDDPAALLAAAAAAKQKAEAKKSAAATAGKGQPAPAKLPTKPAPPAQAVRDSRGGGAPSRGGFGRGGERGRGRVGRGYGQSREFSSEEANGYQGGYGAGGGFRAGGEEGERGPRPPYRGGGFRRGGYREGEFGDDSERPPRRTYERQSGTGRGYEMKREGAGRGNWGTTTDEIISQETEEGLKLDEKAPVSEKQGAQEDAPQAEENKDNKDATVNEEEEEDKEMTLEEFEKIREEKRKALLALKNEERKVDVDKDLQSMQLLSTKKGNDDVFIKLGSDKDALKKKENAEREERAKKSLNINEFLKPAEGERYYGGRGRGRGRGGDRGGFRGGYGGGYRAPPPAPAIQDKSEFPTLGANCQSELRFLMVQAVVWNGDRTCTDFYPEIL >Et_3B_027502.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23045033:23046368:1 gene:Et_3B_027502 transcript:Et_3B_027502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGALAAGGDVDAARELFDGMPLRDHVAWNAMIAGYVHVGRSKEALRLFDEMQEAGANVGEATLVSVLTACAQMGALDRGKWVHWFLRSRGMRVSVTLGTALVDMYSKCGAVGTAMEVFDSMRERNVYTWTSAVSGLAMNGMGTECLDLFKRMESEGIQPNGVTFVAVLRGCSMAGLVDEGRACFDSMKSKHGVDPWLEHYGCMVDLYGRAGRLDDAVNFINTMPVEPHEGVWGALLNASRIHNNVELGKYAMDKLTAIESENDAAHVLMSNIYAESKDWKGVSRTRGMMKAKGVKKVPGWSAIEADGKVHEFYVGGKSHARYKEIEMMLAEMNRRLRLQGYAANTKEVLFDIEEEEKEGAISLHSEKLALAFGLIVLPEGTEIRIVKNLRVCRDCHDYTKMISKVFNREIVMRDRNRFHHFKDGACSCGDYW >Et_2B_019786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1478273:1479260:1 gene:Et_2B_019786 transcript:Et_2B_019786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IPDVSSSVELLHTASSEQCVRDSPGTISHNTDGSQSFSPVRREKLVAGVTSAQTLQGRDIVSSENLLDSASSEEWIFVNPRNMTQNSIDGSSSSFPSSGENHAAGAADSTSSLTLQDTDDVSSLDDEFLDSSSEDWITDRLLTVPQNNISNDGSSSLASSLGSNILIRYPHKCSQRFFIRLHRRGFFWVYPDLGGPFKSIEDVDFHLSLYDEVYHADRVPGSSNNSESNYSDRRHLIQALLDQYNDDHNLAGSGAFELEDLLTEQVFIEKHR >Et_3A_023269.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:16269803:16269904:1 gene:Et_3A_023269 transcript:Et_3A_023269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFRTSAVSTRDRGVPLCVSWSCLSGLPCCVC >Et_7B_053724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11546332:11547232:-1 gene:Et_7B_053724 transcript:Et_7B_053724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LIRGDGKRCTRAAAGDPSLRPLSCRIGSPHQPASASLANPSSRTAQTAQGGELRPELAPLHAPVPVPLPAQSICTRSLMDRARRRREGLGGQPMGSALLRRLASFSALNPEDRVRRLAAPCRPALPGVVAATRTHRLRNGSACRGPKRKRRRGGAGTGAVEKAGSMALFQQEGKGSKNIGVIVNPVRLNSLPEFGTPQFVPDRLL >Et_7B_055837.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5682007:5683323:1 gene:Et_7B_055837 transcript:Et_7B_055837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQHLILPRDDGVAARENCLGGQSENELLDRKDLVPVDESIAKKPPKRKQSLRALFDFLDKDEEATKSECQVALPVQRKALEPLPICNATGLLDAKQSEEGATKCIKLPVDCNGIGSLDTQISSPAYEEVEYSKLLVTCVGSSLADNQKCFPKAGIDSIEHGKELADCEGISSVETQVSSPSDQELEYSKLPVVCVSSCLADIQKLSSEDSINSIERGKVPADCEGISSVDTQVSSPVDEEVEYSKPPVHCDDSSLADIQKFIQEDNIDSFEMPINNFGENLTKAAKGSQDGAKHRMLPFCHNRTILADTRENSAVEETSRCKPALDNKGQEQAVSSDGFIAVKRKHKPPEECNIPKYPRVDRAPLQENNNVLGGQKVLAQMPTRSPLADRTNFPEAAAAPKVEPFGKWKCPTKGKPHVGPPMKQLRLEQWLRPRPLN >Et_7A_052226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5869037:5870607:1 gene:Et_7A_052226 transcript:Et_7A_052226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRALCCSSLLTAALLLVFPSSAALPHAFFIFGDSLVDAGNNDYLVTLSKANAPPYGVDFTVSGGKPTGRFTNGMTIADVMGDALGQKSFAPPYLAPNSSAEVANMGINYASGSSGIFDETGSYYIGRIPLSQQISYFEKTRAQILETLGEEAATELFKKALFTVAAGSNDILEYLTPSIPFLGREKPDPSYFQDALVSNLTFYLKRLYEMGARKVVVSDVGPLGCIPYVRALEFMPAGECSTSANRVTEGYNKKLKTMVGKMNREMGPETKLVYANTYGIVTDIIQRYSHYGFENALDPCCGGSFPPFLCLGVANSSLSLCKDRSKYVFWDAFHPTEAANFIVAEKLLDGDAAAASPINVRQLYHYQFK >Et_5B_044961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7783675:7790907:1 gene:Et_5B_044961 transcript:Et_5B_044961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHLLPAAAVVAVALLAPFAAGYPWQTCGTTNTFAPNSTFQANLNRLAATLPGNASASPNLYATAVAGAVPEQVWALALCRGDANATACLACLTQAFRDLQSDCPYYKDATIYYDPCTLHYSDVHTLGGADDTGPTTDENGVTNLSNVTSDPTAFNALLARLLNATADYAAFNSTRRFATGEADFSDKNYPKVYSMAQCPPDQPPELCRKCLAGIIADGLPRFVNSIGGRVLLVNCTYRFETAPFYTIPAMVRLPLPGAGAPAAGPAVETPAAAGEGKKNYNVPGIVLAVVLAVLAALNLVACFCVWRRRRQRQRPTAEAKKPYPMYSTEAEDVEMVDSMMMDVSTLRMATGDFDESNKLGEGGFGAVYKGVLPDGDEIAVKRLSDSSTQGEDELKNELALVAKLKHKNLVRLVGVCLEQQERLLVYEYVPNRSLDLILFDNENERREQLDWGQRYRIINGIARGLQYLHEDSQLKVVHRDLKASNILLDTNMNPKISDFGLARIFDRDQTQAKTSQIVGTYGYMAPEYVMRGNYSVKSDAFSFGVMVLEIVTGRKNNDSYNSKQSGDLLNTVWEHWEAGTVMELVDPSMNGSFPEGDVLRCFHIGLLCVQGDPAARPVMSSVVMMLGTDTISLQAPSKPGFFPRNNSQNTAVSTTTLSPPGVEDVDVALLGAEAGGAFLGEEVGDGHNRVCSRSVGPPVKNLLLRSYLKLHRTPLILPPWLPWRTCGLNAIISWRQLRQSASDMSGVHCASPYMV >Et_7B_053209.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12077480:12078208:1 gene:Et_7B_053209 transcript:Et_7B_053209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METIFPPGATDYENQLDTIWASDLLKAKSIWSKGLLFLKDPSFRNLQHLHLRSCPRLQFVLPVWVSSFPSLKTIHIIHCSNLKHLLVLDETYPAEIVAQGVPFQKLTSIHLYNLPSLRQICEVKVLAPALETIRIRGCWSMRRLPALEGRQPGMKKPALEIEKDVWDALQWDGKAAGHHQDLYEAPVHSRHYRRRRLLRGTVLRYAALTCFLLIDKCHASLFVQLLTIAYSLFATLPLALRR >Et_4A_035319.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:228344:228757:-1 gene:Et_4A_035319 transcript:Et_4A_035319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKRFAVMMVVLVASASLMVGEVAACGGCSHPAPPPPSPKPCPPPPSSSHGKCPKDTLKLGVCANVLGLVKVSLGKVPTDSCCPLLDGLADLEAAVCLCTALKANILGINLDIPVKLSLLLNYCGKSVPEGFICA >Et_1A_005053.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23714871:23715350:1 gene:Et_1A_005053 transcript:Et_1A_005053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGIQRNNPSFRVNTIVVSRDHRLGFVSITLYNIQLWVSNILNGVHHQWIRRQIVSLDTLLPIPKDKAPLTILAEGRRPVRIIGRDEDDNVIYIWTCIGVFEIQLDTMNSNKVLHNYGGMDRVYPYKSFFFSPGSFFRAQIYITALFYYVRPSLPSYV >Et_6B_048665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12365556:12368086:-1 gene:Et_6B_048665 transcript:Et_6B_048665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDLTQEMMDLADNRQRLIAGAALLVATIAFIKLLLRSGSRGKRLPPTIPAAPVVGGLVRFMRGPIPLIRQEYARLGSVFTVPILNRKITFLIGPEVSAHFFKGNESEMSQQEVYRFNVPTFGPGVVFDVDYSIRQEQFRFFTEALRANKLRSYVDQMVAEAEEYFSKWGESGTVDLKYELEHLIILTASRCLLGREVREKLFDDVSALFHDLDNGMQPVSVIFPYLPIPAHRRRDQARARLAEIFATIIKSRKASGQSEEDMLQCFIDSKYKNGRPTTEGEVTGLLIAALFAGQHTSSITSTWTGAYMLRFKQYFAEAVEEQKEVMKRHGDKIDHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHNDFSVTTKEGKEYDIPKGHIVATSPAFANRLSHIYKNPDSYDPDRFGPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFEFELVSPFPENNWNAMVVGIKGEVMVNFKRRKLVVDN >Et_1B_011373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21260035:21261985:1 gene:Et_1B_011373 transcript:Et_1B_011373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRLGLGSSIVNLEHTFLEVTGISSDSTRSAAGYCKSVSRNLPPTRCHTRSRKKMPAMPPVLDAEEQPEDSSEYKLRKQLLLLAMLVRNLRGGRTAPPASPSSGSTHRGRYLAFFYCNGVALAASLVVIFLLLLKHPSRVQLAALRLVMVLDLLALMGAYLAGGSCRDRPATNLAHADQPVGNGIDDEAEEEGNNRAATVVVLRPKERRKWEPRDAYHDWDGNLSCPDASRAFKCELILSFNRYH >Et_1A_009269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36025312:36027378:-1 gene:Et_1A_009269 transcript:Et_1A_009269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQAASSLPSSSERSSSSAHHMEMELKEGMESDEEIRRVPELGLELPGGTSTSGREAGSADRAQASAAQAGGRRRVRSPADKEHKRLKRLLRNRVSAQQARERKKAYLTDLEVKVKDLEKKNSEMEEKLSTLQNENQMLRQILKNTTVSRRGPGSTASGDGQ >Et_1B_014226.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:3721708:3722817:-1 gene:Et_1B_014226 transcript:Et_1B_014226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLVWQVSAVVATVLLVTVSPASAFPSGLPPLPAEAPPFPNPWAAFQNLSGCHMGEDREGLAKLKDYLSRFGYLPPPPSSSPFSDAFDEALEAAVATYQRNFGLNATGELDPSTVAQMVAPRCGVADVVNGMSTMGRNASSSAHGRHLYTLFPGGPMWPPFRRDLKYALTATSETSIDRATLSAVFGRAFARWSAATNLRFTETASESDADITIGFHSGAHGDGEPFDGPLGTLAHAFSPTDGRFHLDAAEAWVAAGDVSTSSSAAAVDLESVAVHEIGHLLGLGHSSEPDAIMYPTIRTGTRKVDLASDDVQGIQSLYGSNPNFKGVTPTSPSTSSREMDSSAGAESRPGSGFFGAVVAVGLLLAL >Et_4A_035232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13676524:13680344:1 gene:Et_4A_035232 transcript:Et_4A_035232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAKAPSLAEEYSLPSQEVPVEKAPEEKPSGGSETEAAPVTKDESPPADETTTAVEDKNETSETQDVADKSEAEESNVAAEETSETAEEEAEEKPEIKIETAPADFRFPTTNQTRHCFTRYVEYHRCVAAKGEGAPECDKFAKYYRSLCPGEWVERWNEQRENGTFPGPL >Et_6A_048197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9347981:9360553:-1 gene:Et_6A_048197 transcript:Et_6A_048197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETVVLIVGAGPAGLATAACLTQLSIPHVIVEREDCSASLWRTRAYDRLKLHLAKEFCELPHMAYPSDAPTYIPKDQFVKYLDEYIERFDIKPIYRTSIESCKYDEGRKCWFGLASDLATSTVVKYKFRFLVVASGENSAENIPVIPGLDSFPGEVIHSARYKSGTTYSGKNVLVVGCGNSGMEIAYDLASHGANASIVVRSPLHVMTKEIMRLGMTLVKYMPVNVVDALLVMMSNVVFGDLSRHGIVRPKFGPLLLKSKTGRSAVIDVGTTRLIREGKINVLGNISKIKGHIVEFEGSKNRKFDAIVFATGYRSTANIWLKNGESLLNDDGLPKNRFPNHWKGANGLYCAGLAKMGLAVS >Et_1B_012419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31915788:31919556:1 gene:Et_1B_012419 transcript:Et_1B_012419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAAGAAAPQPPRQYKLAPQSELRVEVLPDTPLRVRVVTGTAEIFGTELPPEGWVPIPPRSKISIFTWHGATVELEGVSESEYTSDETPMVIYVNTHAILDARRARARAAAAQGGDPEASQGPRVIVVGPTDSGKSTLCKMLLSWAAKLGWKPTYVDLDIGQGSITIPGCISATPIEKPIDIVDGIPLEMPLVYFYGHPNPSINPDVYKVLMKELAKTLEKQFSGNAESRAAGMVINTMGWVEGLGYELLLNAIETFKANVVLEKLWKMLKDAVQSKPHIDVVKLHKSEGVVLRNSKYRQKTRSFRIKEYFYGIANDLAPHSNIVNFSDVSVYRIGGGHQAPRSALPIGAEPVADPTRLVAVNISTDMVHTVLAVSYAKEPDEIISSNVAGFIHVTDVDIQRKKLTYIAPCPGDLPSRLLIASSLTWYEA >Et_3B_031586.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:5420109:5420555:1 gene:Et_3B_031586 transcript:Et_3B_031586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRSGNVLDPMSVDFWADADPFGVVRPLAERCPVLTNVRVDWKETPSAHVFRVDLPGVKKEEAKVEVEDGGVLVISGERAREELAGEGEAWRHVERSSGSFQRRFRLPRGAKTDQVHAAMDNGVLTVTVPKEEVKKPQARAIEISG >Et_9B_064825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1910637:1911148:1 gene:Et_9B_064825 transcript:Et_9B_064825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNGCGLVYMRAILFVVFFGCLSFPALSLMNAELSGRPIQLEAAEATRTFPRSNPSTNATSVNSNSLDESKLYLIFCGQRKDHCWGGGLCYCCENQRPGRPDCFVSHEECRANCPICDPFCPSRTVSEGDQSLPQTVINATL >Et_3A_023750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11847786:11850929:-1 gene:Et_3A_023750 transcript:Et_3A_023750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHGGGGGSEAVVMSPATGGSGGKRGRGHEEDVYVDNLNSHKRYLTEVMASSMNGLSVGDSVADSTMGYPVRLENASCFRDEMVMQYSPMSEESEDYRPCESQVNKSGNQTETTSTPSSSPISSPNRSQKLHTWFSSGNPYPLPSCSLSAAASNVRRGTEQEGRTPSSPNDMCHGGDLRRTALLRSVQMRVQGPQPSDLLFSTELDGEQERAHIHADELEHGKQKKLQGVELEDDADFVEQCRPGYGATRAIFSHKDISSKVCVDVDERR >Et_3A_023553.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:34336161:34336244:1 gene:Et_3A_023553 transcript:Et_3A_023553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METVEETEQQSLRIPSAPTGRIVAGNH >Et_3A_026200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5381032:5390076:1 gene:Et_3A_026200 transcript:Et_3A_026200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKAALAVLLLIAGVAAGFRPPPVTEDTLEKVAGSLEMYVDMLPQMPKVFGYSIKYGRPTPVHLTIGMYQKKWKFHRDLPATTVFVFGTSAETATFPGPTIEALQGVPLRVTWENHLPRQHILPWDPTVPTAIPKHGGVPTVVHLHGGVHPPQSDGHANAWFTAGFRETGATWASPTYAYPNAQQPGGVLWYHDHALGLTRANLLAGLLGAYVIRNPATEASLGLPRGGDDEAFDRVLVLADRSFLADGSLYMNCTGDVPGVHPEWQPEYFGEAVTVNGKAWPFLPVARRRYRLRVINASNARYFNLSLSNGLLPFHVVGSDASYLPRPVAVTHLLVAVAETFDVVVDFAASATAEVEMLNTAPYPYPDGDAPNRLNGKVMKFVVDPSKTPDDESRVPARLPEYAAKVVAEPAQIRRYIVMYEYDDPATGSPTHLYINGKRLEDPATETPRSGATEVWEVINLTPDNHPLHLHLAAFQAARAVVLVDLDDFARCMARLNDAARCDVARHAVGEAVDVPEHERTWKNVVKVAPGVVTTVVVKFMMVDTGRAYPFDATAEPGYVYHCHILDHEDNAMIRPLKLIKSLGACLLAVAAMVLQAVVLGRGGGGGLLDARKLEKFVDELPDMPRLRGFGVAEGGRLVAGNLTIGMYDTTWKFHRDLPPTRVFAYGASKEAATVPGPTIEAMQGVPTYVTWLNHLPARHFLPWDHTIAAATPGRGVPTVVHLHGGVQHSSSDGHSLAWFTADFAATGPRFSNRQQPYAYPNRQPPGNLWYHDHAMGLTRVNILAGLMGAYRVSSPREEGPLNLPSGDAFDRNLVLFDRDFVAGDGALFMNRTGNNPDVHPQWQPEYFGSVVVVNGKAWPFLRVRRRRYRFRILNASNARFFRLSLSAGLRFVHVGSDSVYLARPVPTDAFLLAPSEIADVVVDFAAATADAAVLSDDAPAPYPGDPGEKAESVAVMKFVIEKSPERDPSSVPATLMPHYPRPDAREASVTRRITMYEYTKNGTDEPTHLYLNARSYMDPVTETPREGATEAWDVINLTDDNHPLHVHLAVFAVLEQRSLRGLDAFKDCMVRRNDATACGLERHLAGARRHVAPRQERGWKNVFKVRPGAVTRLLVRFKPLHAASAPEAEGRFPFNVTTGPGYVYHCHILDHEDNEMMRPMKVVR >Et_6A_047724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11639192:11642655:1 gene:Et_6A_047724 transcript:Et_6A_047724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTNNWLAAAGFSGAGAGAVTHNGEEPPKLEDFLGVQQQQQETPAPATGGRPFGAGGASSIGLSMIKNWLRSQPAPAPADSAALQVTLPEVDGKVVGGAAVEAAMQKKAPAETFGQRTSVYRGTDGQEDMKPIFGTTAAEEKVRLARVDKVTEYEKELEEMKDMTRQEYVASLRRHVSLQLCTMVEKKQWILSWSINVPRSYQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSIIESTVPIGSGATKRTKDVPEHADMGLYSNGNVTGHLAASNLLTDGIGSYGSQHDGYGDWAPFNYLPAPLQQSNGHGRSRVWCKQEEHEGVAAARNLHNLQYFTNVSGTHNFFQPSALQNETGFMPSQSLDSNSAMYNGGVSYHGDVGRGCYAMPVARLASCYEDDGGTVPDLYNGQKMYYLSQDSPLGDSSKDNTYGQGVEYENWVSTAPLTAQKATDAMASHGLPLFSLK >Et_5B_044068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19618322:19622589:-1 gene:Et_5B_044068 transcript:Et_5B_044068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNVMALGDHVVKATARKRKHESATERTKANDPVVSQHDPKKHLATFDYGAQGNKETKMASSGNGGILESYRNFMTSGLPVRVLLYQHGDWNDFPEDIVNLVQLDFQLKRSITTFVFQNKEILLDFIHMVCQDYEMTINNQIAWVDDNGKSFFPDLSAGLYRSQHDKGEAHELAEMSTNVAESSSSASVDEVVSHDKTINHSVEEKSKAHPDEAIGENKLHASTFLSSSRIIQGNTDKQHSDLDAASTVHKLLLKGLGKPFSGKDIIGIFRTPLLDQQEQVRSRIFQKEVEAIKSHRGNANVRYAWLPCSRHAMEEMMMRGALQIAKPQCGAMYGLGTHLAPANCSISCTSYSEIIEDGIIRMMLCCVIMGNVEVIYPGSTQFQPTNGCFDSGVDDHQKPSHYIIWDADVHKHINAEFAVIIKVPPMTKEHLVLKDSASNIPETGNSWSPNSVTKGDGFQNLAPSVLQPQAPMFGGAPRAPSIPCMPFSMLFAALSTTLPRSKMDLVLQYYEEFKGKKISRPELVARMRKIVGDKLLVSTVLRLQEKVSFYSAVLLYGQCLYLGIEWHKPNSRSLPGPPGTRSKKPDRSRESSPSSAAADSASATGRPPCSASSAESETPARSVTARKATSFRRLPHAIAPRWHAVAALHGRLLCYDMAVITYQITELDLFVYCPFTGEVQRLPSLAPPAVHRWCAAVFLSYLDIAGY >Et_6A_046655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18809444:18813865:-1 gene:Et_6A_046655 transcript:Et_6A_046655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNGQLATAAAWNFTPNDTLLDLTALSVRGVLGRVKAGMADDGARPVVPMGHGDPSAFPCFRTAPEAVDAVADALRSGQHNSYAACVGFEPARRSIAKYLSRDLPYELSADDVYLTSGCAQAIEIISSVLAHPGANILLPRPGYLFYEARAVFNGMEARYFDLLPEKDWEVDIDGVQALADKNTIAMVIVNPWNPCGNVYTYEHLARVAETARKLGIFVITDEVYAHLTFGEKKFVPMGVFGSVAPVLTLGSISKRWVVPGWRLGWIVTSDPNGVFQRIKVVDSIKSYLDISTDPSTFVQVKLDLSCLQDIKDDMNFCCRLAKEELVVVLPGCAVGYKNWLRITFAIAPSSLEDGLDRLKTFCVRHSKPNKLLHLVDSVWKRLAALAAEPFICCCQATVWLCDDTPAETAIAGPAMVGVALWPSTCFLER >Et_3B_029485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25336532:25337157:-1 gene:Et_3B_029485 transcript:Et_3B_029485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGIDLEAAANEPYHAMQDAAASCGDDDGWFAACSPPLPPNRGFVVGTGDHLHVYLDVPAPSLSVDLTGYDGIDPGHAARVVSPSFNVTLRTNHGCVDSADVAVMYSGVALGWARVAPWDCARDVEVVARGGMVGLSRRLRERMASEWRLGTLELDVDVKLYHKGRRAETDPPQSMVACKVRLMDKEDSHASLLGGHANLC >Et_2A_015485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13601324:13604920:1 gene:Et_2A_015485 transcript:Et_2A_015485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRPTRRHVLSLHVLVDYYGEKKLKKGGNTASSTLFMTTGIASESSGYVNITHKSGKVSFQTDDADDSAKPAQFVKSIKEYPYDIAVLQVSSVISSAEAEAPVPPPAPVDLVALLSKKYCTSFADLLSANADAFRAVNATKDEALTLFCPVDAAVAAFSAKFKNLTAKAKTAILLYHAVPDYYSMQMLQGSSGEVTTLATASEAKKDYSYDVESSGKTVALRTKVVVSTVTNTVEDSEPLAVYAVNKFLQPKELFKVVEAPAPAPEPSSKKKKGKAADGGEDDSSDDSGDDTADKGAAAAPQVLGRWVAAAATVAAALALKISCIQKFSGKSSIVVPY >Et_2B_020893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24601231:24603922:-1 gene:Et_2B_020893 transcript:Et_2B_020893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDRANWDEHTTFVLLDLVAKQKEQCHWADRSPTSLGWSNIVCAFNESTKLGYRKKQLQNKGELVSAGGHGTDQTCSSGGGQSSERSSREYSVCSPMKKKSSQTPSLDDCLDDLSHIIKEYKEQKPRHITEAEEMAKVNKILKENGYSESDLFFPQALNICTNRLHRRAFLDLETKEGRINYVNVTWDVMTLKSK >Et_2A_018272.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20792262:20793584:1 gene:Et_2A_018272 transcript:Et_2A_018272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSTSQWSSGELILAVSRGQAIAAYDALTGHVVAELPAANTPRHGLAVVAAGPGSTAAFVAASHVCPATGACSIRLLQWRSASATAAPAHELPVPEPVAPLVAAPHGSHLLAGGTSGRVYALALPSGDVARAFRAHRIGAVSCLALSDDGSLLLSGGEDGAVAAFLLVDVLDAEAPRGSCYADLAVYRVAAAHTAAVTCVACGRGGCHAVVATASADGTCKVWRLADGGHLRTLALPCTALSLALDPTSSALYAGGSDGRVHVASLDSPATTAVTSSTSHHANDSDNNTNNAAVVAVAMANGCRNLVSCAEDGEVRVWDLTHGSLLLLAHTFWLGGVVSGALVVKRPVSAGEVARAGGGGEGFRVRDDDVAWTRACEVAKMEDMLRSSEEDRASSVALLEKNLDIHKRCLRLMLREATALANGDSHDGVHGGNVTD >Et_9A_062398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22506603:22511497:1 gene:Et_9A_062398 transcript:Et_9A_062398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAGRRMEALRRHLLPPVAPPPPVLRPNPSSSPAVLERSPVIIGGMVLDIHAKPSVPPQPGTTVPGTVKYISGGVARNIAECMSKLGTRPFMISVVGNDMAGDFLLKRWRSFGLCTEGILQVNDVATPVVSNVFDGNGELIAGVASVAAVEKFLTPSWIYRFRHQISNAHLLMLDANLPSESLEASCIMAYESGVPVVFEPVSVVKGQRITPIAEYITCTTPNEIELVSMANALSRSVKYNFHKTERCKDKTEGIEYLFEMLSPAMFFLLEKGIKVLIVTLGSNGVFICCKQLSNFMKDQRKCKQTHFSTQILEKLDGYFSSDTPVNLDREGSSRPCVFHLPAIAASVISLVGAGDCLVGGVLSALCGGLDIMPSVAVGVAVAKASVESEANIPDNISAASVADHARRILLSAKRLW >Et_9B_064091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11157680:11159073:1 gene:Et_9B_064091 transcript:Et_9B_064091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLKLRLRHLFLTVVLSSIAISVCRGQGGGGGAGAGGAGGAAGGAIVPGTQDAIQIVAQAALCFDQRQVINGCLQQMGINVNGNGNGNGNGNGNGNGSGSGSGSGNGNGGGSGNGNGSTSSTAAPPALAPAAGPSAAGAGTNNGQAASMCQGPCFGQMMLMMTCVNGILGNIQGYSPGLMQGVQAVFQMSCGN >Et_6A_047605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7996597:7999353:1 gene:Et_6A_047605 transcript:Et_6A_047605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAAVRAAAADAAVTFLWVLCASALGAGTAAVTSYLSLQGEGAHYAPLLVTASLLFVLLSVFGPLCDALGGARFSPTDVAAFYAAGLSRPSLFSIALRLPAQAAGAVGGALAISELMPEQYKHTLEGPSLKVDPHTGAIAEGVLTFVITLAVLWIVIKGPRNPVIKTSMLSVTTVCLILAGAGYTGPSMNPANAFGWAYVNNLHNTWEQFYVYWICPLIGATLAAWIFRALFLRPEPKPKAKTKRA >Et_7A_052429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7947555:7952086:-1 gene:Et_7A_052429 transcript:Et_7A_052429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITDLENPTSRSSSQKSSRRSGSSRRSQKSAGQHSSSTSQEKRSKSKSLRQKHLFIDDKDVKKAKGHEQKIDVADERSNFLGYEVYAGKLIFDKKNKCTSDNNQLSENGKADAIDARLTSKALIWGSSVLFLEDVISVSYNAGGKHFNVHAYPTKKSLFGKTRRVQKDFCFIASNLDDAILWVTCFAEQNIYINLLPRPANSSIKLDSDNPLSESLFDQPPIKCKSPQRVLVILNPRSGHGRSSKVFHEKAEPIFKLAGFQMEVVKTTHAGHAKSLISTFDFSACPDGIVCVGGDGIVNEVLNGLLSRSDRGEAVSIPVGIIPAGSDNSLVWTVLGVRDPISASLLIVKGGCTALDVLAVEWIQSGEIHFGSTVSYYGFLSDVLELSEKYQKKCGPLRYFVAGILKFMCLPSYFYELEYLPMSKEMAGHGKSTEHDQAHLSDVYTDIMRSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTVASTEPSDYVRGLDPKAKRYSLSRSNIVSEPEEVLHPQPHHASYWPRTRSKARTERNSVGVTATNDTRLSWAATSMHDKEDISSTISDPGPIWDSEPKWDMGPKWDNESTWEPDHPIELPGPPEGRDIGAPEELVPNLDEKWVVRKGHFLGVLVCNHSCKTVQSLSSQVIAPKATHDDNSLDLLLVGGRGRWKLLRFFILLQFGRHLSLPYVEYVKVKSVKLKPGANTHNGCGIDGELCRVKGQVLCSLLPEQCRLIGRQCRQSI >Et_2B_021487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30052670:30058046:1 gene:Et_2B_021487 transcript:Et_2B_021487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCSKRHTDKEAPRPLAAAYDARRARYGPGDFDSGELAIQPPPKPPKVSETGTLLGRAGIAAVEVLDTLGSSMTNLNYGSGFLSGGTNRGNKVCILAFEVANTIAKASNLWSSCSDESIKELKEDILHSDGVRILVSSNSSELLQIAAIDKREELAIFSREVIRFGDLCKDPIWHNLGRYFDKYASEKLTADFTPQDHSKESMEATVQQLINLAQNTSELYHELHALDRFEQDFRRKFHEDDSVPATRRESVMILHSELKRQRKLVKNLKKKSLWSKNLEEIVEKLVDIVIFLHKQIRDAFSEAGTDFFDSEQARNKRLGPSGLALHYANIINQIENIVSRPLSLPPSARDNLYHGLPITVKSALRSRLQSFNTDEERTVAQIKAEMQKTLRWLLPIAENTLRAHQGFGWVGEWANLGSEMSKKSGSQNSISRIQTLHHADKAKTEHYILELVVLLHHLVVQVKNRGYGNKSTKHDPSRSRKGLDLQAETKHNTSPMNNGTVSSPLSDCERETLDQLSFKRTSYGRSKSCEPPPDRGNKAHRSWDSCRSQGSSPAREFDRNSASCRDRAMDLDVIDGLDRLTSYSHPSSPAFC >Et_1B_010309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5864881:5865645:-1 gene:Et_1B_010309 transcript:Et_1B_010309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLPSTAAASLRAPIHQVSSSRRRSALPTAVRATANSNSTPQHPILSSLRHAASAAVLLAATSRALACTPSPPVPTPAPAALTDTVSPDEPVPEEDSHPFEDLIAETAALVRFGGADLARERLSSAAPGIDESCARLLAAQTLFVDGKVEEAIAAFEELAREDPADYRPLFCQGMLYFALGRTEESVSALVRCREVAGGKFEPEFPMALSPADLPVVADAEPVVEEAEAEQAKV >Et_3A_026500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8863373:8866972:1 gene:Et_3A_026500 transcript:Et_3A_026500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSISRTSLNCISLADPDIQKSVALLKQACLESGFFYVVDHGISQEFMEEVFAESKKFFDLPHTEKMKLLRDEKNRGYTPMLDEILDPENQVNGDYKEGYYIGVEVPADNPEADRPFYGPNQWPSEELLPKWRKVMEQYHREALGVAKSVARIISLALDLDVDFFDRPEMLGEPIATLRLLHYEGGQKTGKVSNPAKGVYGAGAHSDYGLITLLATDDVVGLQICKDGNAKPQVWEYVAPVKGGFIVNLGDMLERWSNGIFRSTLHRVVLDGRERYSIAYFVEPSHDCVVECLPTCKSETNPPKFPPITCSAYLCQRYKDTHADLSSYNDNKA >Et_8A_057386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2496449:2498100:-1 gene:Et_8A_057386 transcript:Et_8A_057386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVEPPHPSSHLPSHPLGAAVANRTGGRQSEDVTSGTGGHLGRWPSLGVAAGGSDPPRRVALAGRRPAGLRPLPSRLHQLAVRRAASPRGRGVVEPRFHPRRWMMFPEGHGLRPGHPDLRGYVRFVNLDTGALVRARLPLFADHRAIDSVDGLLVLLRDRDSAVRLLHPFTGDVVELPPLATLLPQLAARQTSPGPLPSIEKLSRVVCASASFSAGAVTVLLALHQVSFVAFASAMDQQWTLSNWRYEMPSRALSSRGKLYVAHVAIFSDDVQIFQIEPPVKDGMDSGSALQPPKLIATLPKGNLVHPIYMVECDSEILVFGNNDYWKRPQFIVFKLADLVQQRCIQITSIGGNILLA >Et_4B_036920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12608714:12612405:-1 gene:Et_4B_036920 transcript:Et_4B_036920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLAGSLLPLLLLFALTFPAGALRFDLLSGHTKCISDDIKVGAMAVGKYHIVAPDDGSLSFSSSSQKQQLPDSHRISMRVTSPYGNSLHYSENVQSGNFAFTATEAGDYLACFCAPDHRPPATIAFEFDWRTGVSAKDWGTVAKKGQVDMMELELKKLEDTISSIHEEMFYLRGREEEMQDLNRRTNSRMAWLGFLSLAICLSVAGLQLWHLKNLFERKKLL >Et_10A_000706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15845406:15847165:1 gene:Et_10A_000706 transcript:Et_10A_000706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAFPLVSHLPPKKPPPIRPRPPPVRRYAASAGAAQHPPVPPPRPPLPPASAYVHLPFCRKRCHYCDFPIVALGSSSPSPSPRGEAAEDPRITDYVRLLLREVSATRPVSDDAPLETVFFGGGTPSLVPPRLVAAVLDALRGRFGLSACPEVSIEMDPGTFDAARLRELVGAGVNRVSLGVQAFQEDLLRACGRAHGLAEVREAVGMVTDCEGLQNWSMDLISSLPNQTEEMWEESLRCTVDARPTHVSVYDLQIEQGTKFGQMYTPGVHPLPSDTESANFYKIASKRLSEAGYHHYEISSYCKPGYECKHNVTYWQNRSFYAFGLGSASYINGVRFSRPRRMKEYAEWVQNLEDGTWSHESGNSDMKEMAMDVVMLSLRTTWGLDLRSFSKSFGKSLSLSLCNVFKPFVESGLVVAMDVQRQALSPSDFELDLQSEYDFGSRAAFIRLSDPDGFLLSNELISLAFGIISP >Et_10A_001357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23725109:23728807:1 gene:Et_10A_001357 transcript:Et_10A_001357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYAYKYCMCFTRKFRSPDAQPPPDVRAAHHSVSAAAGDDGLRRFLSEVQGERPDDINRILSMLTATASGGIARLVNRSSAPSPPTLDDFFGFLFSPDLNPPVANKVHQDMSAPFSHYFIFTGHNSYLTGNQLNSDSSDVPIIKALQRGVRVIELDMWPNSSKNNVDILHGGTLTAPIEMIRCLKSIKEYAFCASPYPLRIIISTKPPQEYKEFLKVKDNQDASGNIDNLADEKSMRRMESNAEESDGKDELDEEDDEDSEEDDPKFQQDTACEYRKLITIHAGKPKGHLRDALTVDSDKVRRLSLSETQLTKATSSHGADLIRFTQKNILRVYPKGTRVNSSNYDPMNAWTHGAQMVAFNMQGHDKALRLMQGFFRANGGCGYVKKPDFLLTTGPNGEVFDPKASLPVKTTLKVGIAGVKADCVMKKTKTIEDQWVPVWDEEFSFPLTVPELALLRIEVQEYDMSEKHDFGGQTCLPVWELKQGIRAVPLHDRKGNRYKSVRLLMRFQFV >Et_5B_043817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16394111:16396749:-1 gene:Et_5B_043817 transcript:Et_5B_043817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEILNSVLDSVVESKNGTISVASAFAGHQEAVQDRDHKFLTKAVEEAYRGVECGDGGPFGAVVVCNDEVVVSCHNMVLKHTDPTAHAEVTAIREACKKLGKIELSDCEIYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANLEIKKADGNGALIAEQVFEKTKEKFQMY >Et_8B_059654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20265983:20267775:-1 gene:Et_8B_059654 transcript:Et_8B_059654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMHGPTRAMPVLLLLLVLGLASGSPPPEPVSCARGTSDCTVTNAYGSFPDRTICRAANATFPRTEQELVAAVASAEGEGGHEALAQLSEAGLPRWPRRHHHQHGAAEPDASTPVESGMVLRDLIRVAADAGLALPHSPYWYGLTIGGLLATGAHGSSLWGKGSAVHEYVVGLRIVTPAPASQGFAVVRELGIGDPDLNAAKVSLGVLGVISQVLQVQISSVHSLLFRCYPGAAAHVQAFGEVRDARRHGHGPEAGPAGAPPRVRRRGVAGEGEDVSTPGNGLNDFLPFRPQLTPALIDARVLEEHLEENAGSTDIARCLSARLPPARSEQQAYGFTNDGVVFTGYPVVGFQHRIQASGTCIDGPEEEHHHLRSSFSVALSKAELQRLRELDRGAFCGVEVMLGVLVRYVRASSAYLGKAEDSLDFEITYYQSHTDGAPRAHADVIDEIEQMALRKYGALPHWGKNRNFAFDGAVARYPKAAEFIKDPDGIFSSEWSDQILGIRGGRPIVVGKGCAMEGLCICSEDSHCAPEKGLFCRPGKVYTEARVCSLLVY >Et_6B_048303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10929343:10930359:1 gene:Et_6B_048303 transcript:Et_6B_048303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAELRRSSSASSSPEFHFWPLDANPAASPSCADELFAGGVLLPLPVLPPKAASHTRTCSGNVSAAPEPEPEPEPAASAVVSDAAITPPAAPPAAAGGGGGGSKRWTDIFSSKKPAEEKEKDKEKEKRKDGGTGGGGGSELNINIWPFSRSRSAGGGGGSSSSKPRPPPPRKASSAPCSRSNSRGEAAAGALPPRRWAASPGRAGGGMPVGRSSPVWQIRRPAAAKPAAALASEPFTDRRAPPQQHKDKHAGGGRKPGGLGGGVRGLNLSVNSCIGYRHQVSCRRADAVAAARGLGDGGRGGLFGIKGFFSKKVH >Et_8B_060080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5810280:5812520:1 gene:Et_8B_060080 transcript:Et_8B_060080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYRKLVSMKSDGSENDIESDIERSLKQLQQVNSQMQTWVSSGGSEVLSHTLTRHMEILQDLMQEFYRLRSRLRAKQQHASLLDLRDFDRASFDVENSADSSDQYLLKEQAAISRSTGQMDNVISQAHATLGALVSQRSTFGGITTKISNVGSRLPTINHILSSIKRKKSMDTIILSLVASVCAFLIFIYWLSK >Et_3A_026536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9408192:9412303:1 gene:Et_3A_026536 transcript:Et_3A_026536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPPLRAPQTLARPRRGSVRCAVDSGLKDDLVFGDPNAPRFVLWEGKLRPVPSKPADLPFFDLMSIPGKLRAGLGALGIRPPPPGREESVEEFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEEAGGSIIGGTIKTVQERGKNPKPERDPRLPKPKGQTVASFRKGLAMLPNAITSRLGSKVKLSWKLTSITKSDSKGYVLVYETPEGIVSVQAKSVIMTIPSYVASDILRPLSGDAADALSRFYYPPVAAVTVSYPKDAIRKECLIDGELQGFGQLHPRSQGVETLGTIYSSSLFPNRAPAGRVLLLNYIGGATNTGIVSKSESELVEAVDRDLRKMLINPRAVDPLTLGVRVWPQAIPQFLVGHLDLLDAAKSALNRGGYDGLFLGGNYVAGVALGRCVEGSYDSASQISEFLTKYAYK >Et_4B_038773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4800848:4802636:-1 gene:Et_4B_038773 transcript:Et_4B_038773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAIDAKRDEEEFEGVVVVIREYDPSTDSDGADAVDRECELGPPGGMSLHADLLGDPVARIRHSPAYLMLVAETSEPGGGQIVGVIRGTVKEVATGKSGSGSSASASARVGYILGLRVVPSHSEETKMACVHVRAYRRMGIALRLVKQMELWLEGQGAEYAYMATDTSNEASLRLFTDRCGYSKFRTPSLLVHPVHSHRLRAPRRATVVRLDAADAETLYRSSRRFAGVEFFPADIADVLRNPLSRGTFLSIVDDGYEWRGVERFLSSPPASWAVASAWDCGGVFRLEVRGASRLRRGAAAATRALDRAAKWLRVPSVPDLFRPFAGWFVYGLGGEGDDAALAAEALFASVVNAARGRAAVVAVEVAACDPLRRRIPHWRRLSCAEDLWCMKRLGGGGNHSDGWDWSRRDFVGWQFLFVEPGGTPVASIK >Et_4B_038605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3225643:3228672:-1 gene:Et_4B_038605 transcript:Et_4B_038605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRDEGFGHNGETNMTPKIGSHNMQRSFHGRAASRPRKLTLTTRPAHNLSLGCIKQSHFLPKYAQATCCSASSCSRGLQTTRRRDPPPERATLVAALPQRCSPKRAMHPPAAFAVAGKGVDARSGCDLPRSGHGGGRSRHGRRQRGGRARDDELLGAGEDGGGVLAEGVGEQRMVWSEAEEDEALLQHVDGGDLDVLELPAGRGDRQTQGQGPSRARGEKSTPPPSLHPRGLPAACSGSGATGELVEMRRRRRGIRDFDTQSEREFYNCMKMRKIDDPIVVRCAVLFVTEHNFKQVIVASDCQNIARKNSLPPGKDRSHVGVIISDIRNLVSGYPSISFTHVRRECNEAVHALARIGDQFTGLTCVLMSLRRESEHDHMKLQAT >Et_5B_044295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22367546:22369234:1 gene:Et_5B_044295 transcript:Et_5B_044295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPAPFPVASFSSVVISSDAWMSLVAGEDFQHILRLLNTNVDGKQKIMFAMTSIKGIGRRFSNIVCKKADIDMNKRAGELTPEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >Et_10A_001432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3095060:3104279:1 gene:Et_10A_001432 transcript:Et_10A_001432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAGVAGVRGLQAEAGTPAARFWEQALPGSKMPDSIVELVQKGIDRSPLKERNAAPYLLPSACLSYMYQITCGKPPPGLFFRESEARAGAVMTVTLPPAAMPAILPLAAAEKTPFSNATAVLAAFAVPPRSEAAARVADTLRGCRAPPLAGESKACATSLEGTVRAASRMLQGGPGGGIWAAASAVPRDGLPRRAYAVAAVEELDGDRHVACHDEPFPYAVFQCHMTGRSATKAYMITLSSGNGPTVTMAVLCHRDTSSWNPAHPAFEMLGIKPGGAPVCHFMPYANLVFGQTVAHYHASIGGAPPAGCAAGVHAAAAGTPAAQFWEAALPGSPMPEAVADLVQEGIDHSPLVEHFPVRDNLISNNNGWGTCCGRSPKEPAGLPGYFFQTQVRVGTTMTVFFPQAAVAPMLPLEVAEKSCTTSLEATVRSAMRMLGGASTHAGGVWASASELTGATGLPRQAYVVEAVATLDGDRHVGCHAVAYPYAVYLCHMTGTPTRAYRMTLRGLRGGGSTVDMTAICHLDTSNWSPSHPAMKILHTQPGAAPVCHFMAPVNLVPGISQQREHRAERQGARRLPTGARHPCRSRAPCRAPSRPCSAPAARCGPSRRPSPAPRLQWYVVEEVTPLDGDRHVGCHDAPFPYAVCMCHVTVSTKAHMLSLRGVDRGDLTTAEAMAALCHLDTTEWSPSHPAFEVLHTRPSSAPVCNFLPYANQLFGEKKSMHPSVLLLIMVAAVGMAGVHGLHAAAGTPAARN >Et_7B_054455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21553391:21561013:1 gene:Et_7B_054455 transcript:Et_7B_054455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFPTVGWVSSPTQPIRLDGGRAEEEEEEESNPWLGELIQHQRWRWRQGQGLGAFLKEGDRVLAYHGPRLYEVKVQRIENLDHEWRYFVHYLVSYFSISPLLKVINTYCITIFTGSCQMPFFLILLIWLSSALDMLSLPVVLIQLPIWLYSWDEWAASDRLLKVTEENVRKQQELEKNQVVDKTFKSGRSAQHKPTASNDTKGDKDDTKAPVKGKKRKIQPGTEEKEKRSSESLLVSQFPLTLKKQLVDDWEFVMQLGKVVKLPRSPNVDILKKYLEHRTKKDNNIACNAFIIRKSDSSITKKLKVMYHHQQYMELSIYCVFLGGILTFILKQLCLIVAKLKTGFTKPFDGNPVRFLQKNQSSLFLFGYSKVPKTKYDLL >Et_2A_018690.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5156628:5156921:-1 gene:Et_2A_018690 transcript:Et_2A_018690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRYRGLPQGELTVEEFRAWLSQFDADGDGRISREELQSALRSLNVWFAWWKARDGVRAADANGDGAVHGDDEVGRLFAFAQRHLNVKITQLGYY >Et_5A_041878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3821443:3834084:1 gene:Et_5A_041878 transcript:Et_5A_041878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNTAKPIRCKAAVSKAPGQPLVMEEVEVAPPRAHEVRIKIICTSLCHTDITFWRMKDLPAMYPSILGHEAVGVVESVGDHVHEVAVGDTVVPVFLAQCGSCEDCLSPRSNICSELPHRPGMPRDGTTRFSLAATGEPVHNFIAVSSFTEYTVVDVAHVVKVGHALPPEKACLLSCGVATGIGAAWKVAAVHAGSAVAVFGLGAVGLAVAQGSKVRGAKRIIGVDLNPDKLDIGKRLGITDFVNPNDTGEKTVSEVIKEMTGGAGADYCFECVGSSAVTAEAFKSSRTGWGKTVVLGVDGRAAPISIPSMEIMRGRSVVGSLLGGIKPKDDIPMLAQKYLDKELELDAFITHQMSFDEINRAFELLTQGKSLRCILWMDGAKPDNGAKAPGQPLDMEEVEVAPPRAHEVRIKVICTSLCHTDLTFWRMKDFPATYPSILGHEAVGVVESVGDHVNEVAVGDTVVPVFLAQCGDCADCLSHRSNICSELPPRMTPGMPRDGTTRFSLAATGEPVHHCLSVSSFAEYTVVDVAHVVRVGKDVPPETACLLSCGVSTVAAVEAGSTVAVFGLGTVGLAVAQGAKMCGAKRIIGVDLNPDKFEIGKRLGITDFVNPNDIGEKPVSEVIKEMTGGGADYCFECIGSAPVMEEAFRSSRTGWGKTVILGTDGKAEPVSISSSEIKRGRSVHGALLGGIKPKDDIPVLAQKYLDKELQLDAFVTHETGFDEINGAFDLLVQGKCLRCIIWMDGGAGAALRRGASRDVAAVHA >Et_9B_064965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20023034:20026755:1 gene:Et_9B_064965 transcript:Et_9B_064965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHASKSYVCAGSSSFDDDVIEVSPSVAAAGGWASGHHKRKRSQVVPHEVIEIADDDPEGVVIIGEKASVDKNKKAVVHPIDWPKHSKDLAGPSTFTLKNTGPWFNPWFGHNTLNKTDPWLGHKLVHNESVYNYSDDPEGFEDDDAYDEDEYEDDGYDPSLIESEYNYGLTAKFDGLDASGAEASIENANKAKPTKIMTQKIDEKYKAFKQFDTVDDHSDHYYSKPESRKVQVVKKPSKDWAKRIQHEWKVLEKDLPDTIFVRAYEDRMDLLRAVIMGPAGTPYHDGLFFFDIYLPPHYPSVPPNFEDFVAGHFRNYGRNILVACRAYLDGAQVGCLVGNGVQDVDEGDKSCSVKFQQSLKRLFEELLKELIAKGADREEFLTQKARSGPSVATAADTTLRL >Et_5A_041656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25549486:25551131:-1 gene:Et_5A_041656 transcript:Et_5A_041656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRVVPSRPPLRVSASPMHTAVFRGVAYFLPHQPNLTSANAVVGKPYDIASFDLLTEEWRPTLHRGPINSGEQQRRTNLCLLTKLNGCLVTICNTREFGEWSMDLWFSVDVEKGSWTKRYSLHCAPMSLDGGFVYSLMVLDDERILVWESWKRVLRAYDPRIFEWTDLATISKDCSLVMYQGSLLCSEQLAKVIISANALHYLD >Et_2B_020318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1901348:1909984:1 gene:Et_2B_020318 transcript:Et_2B_020318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPAAAMLRSPSSLVRLSRRLQLHLVRPGNPRLPSPPLSARPRFLSSSTSPPPPDVAPTETEGWGVYDPVAGRIVMRGTPPPPPTPASTDSDSNDEEVDEEKGRPMLRKASGSWSAMAVPRRTAGKAGKQRASFVCSNCREGQSQWWGICPNCRAVGTLDEYAPGAEVPRAEVGTTEVSHHAAQSWIPQKTKGMLPQSLEDVNKGFDQAEWRIPLTGMFGMEISRVLGGGIVPGSLILVGGDPGVGKSSLMLQVASNVLEGFKAEESSPVVYVSGEESIEQIGNRASRMGITSKNLYLYSGTDIEDILDKIQTLSPRALIIDSIQTVYVASFAGSAGNLTQVKECTSALLRFAKLTNIPVFLIGHVTKTGDIAGPRLLEHIVDVVLYMEGERCSSHRLLRSVKNRFGSTDELGVFEMSEFGLQAVLNPSEMFLTDHDSDSEILAGLAVAIILDGSRAFAIEVQALCISGTFGIGQAVGIPQKRADVLISVLMKQAGLKLQNNTIFINVVSGFNLTETAGDLAIVASICSSFLEFPIPNDVAFIGEVGLGGELRTVPRMDKRVMAIAKLGYKKCVVPKTSEKSLKPLNLDIEILPCSNLKQVINTVFRPQG >Et_8B_058973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11849562:11856647:1 gene:Et_8B_058973 transcript:Et_8B_058973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALVSPSATPAAYRLPLRALTSPPLVRTTATASAVLALFSPSLGSLTLQRTGAASGTDGAGSSATDGDGWLDAVLLSRVSGAADADQALDIVAESGAGVALDTPECNAIMAATFDRGNIDLALSIFEAMRSGFARVLNLRVAVCGCAVGSSRWAKPDAQTYALLVQRLAAALRVSDAIQIIDYVSRAGVSSTEEVPFGMIVRCPTCMIAVAVAQPQDGTQVVSCSKCRCQYELFSGDITSIASEEVSMDISALEKALRFINIMKDGLPAAVHSIVIRTPSGTARTHRFATQTVELPAQEGERVTISLAAPSNVYREMGPLKISARSKGFRPGEPMCLTNHINGQVSKLLRAPSKTEGPFSLSPYLLVGGLALLASGDAASAFIDPTLPRLITATAIASAAVGTTLNQLPQKAVDIVAVRQQLLSQYDMLQTRLKDLKQLAKNEVWMLARMCQLDNKILAVGEPSYRARRGRVKKVRESLESTLLARIELMESYAKVIKKKIISICHQYLFTLNCSEMLIVILQLCSMIEIEVEMDSNVIAAEAASSAQWRIQAEANDEAERLLSSDSSETLPAGQS >Et_9A_062663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:291547:295924:1 gene:Et_9A_062663 transcript:Et_9A_062663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKATAKGAAEAAIAAIGRGYDVAADIRLKYCKGRLADPNARLIDLGGDEVQDILLPGGLTVTGVPKSIKCDKGERMRFRSDVLSFQQMSEQFNQELSLTGKIPSGMFNSMFDFSGCWQKDAANTKSLAFDGWCISLYTVALSKSRILLRDHVTQAVPSTWDPAALARFIEKFGTHIVVGVKMGGKDVIYLKQQHSSSLQPAVVQKRLKEMSDRRFLDAGSQYDINKDAYGKDKDLVMVVKRRGGKEWDKDMPHSEWINTVQLEPDVISMSFQPITSLLNGIPGCGFLNHAINLYLRYKPPIEELHQFLEFQLPRQWAPVYSDLPLGPQRKRQNSASLSLNFMGPKLYVCTNMVDVGERPVTGLRLYLEGKKSNMLAVHLQHLCSLPQILQLQDDPYNHRTPEPHDRKYLEPFGSWKRFSHVYTAPVESDDDSSIVTGAQLHVSSHGFRKILFLRLHFSKVCNAALVKNPEWEGSPNLGQKSGLISALISTHFSTVAQKPAPRPADVNINSAVYPGGPPVPVQAPKLLKFVDTAEMVRGPQDTPGYWVVSGAKLQLERGKLSLRVKYSLLTAMVPDDEYPLDEQS >Et_2B_021737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:545499:549876:-1 gene:Et_2B_021737 transcript:Et_2B_021737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQPLPQPRSSMREALEKEDKEKAAAAAAKEKTAVPTAKNGGGGNGKNGGNGKNGGNGGGGGNNGGPPPGEETAREIQVVREAYRRETAAPTYVIPEEPPAMVELVGWYLYSFCSYFITHLLLPVLFPAIVTQVAFPNSDFTPDPKYTIKGASCSVHLMSMYQRLTRHSIAIDGSRMSPLGWSGLSWAIGILIVAPILTQTAHHLDRGQYQSLILIAATSFGSFFCLLTGFFKTVWVFLFYILFIAGSIIVAEAVHTRNLGLMIRGLAAHDTGKHLVLRRRAAASQLSLYSTAIGGIGAALMAAFMYHMLRRTDQLTGLWVVSIFCGLIWFIGICHGLFTNRPSSESPTTPFEPNFFTKLSYSMTLLRYPQAIGSLVAVFLSSFATMCIFTSGTLYAIGGVCIKPVLVLALWILYFLFPLISLPLVHPIQIIIRADAVRMQLLGFIICLFVSGAGFYFKNHRWRAAHIIVIALVQSTANGILYSFGRILLLDASPAGKEGAFAVWYAFVRCIGAMIGFAAASAGPGRAGGSFAAAFLGSFLGIIVLIFGNVSNISALKAAGHLKGMDDEKRLGGLGEKGESAVADSGEGRGRLFYTRIFLVLLSSPALRISPDQPSEVNRRLVSIPIKAIPKLQSIYAGN >Et_2B_021941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7098149:7101616:1 gene:Et_2B_021941 transcript:Et_2B_021941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALAGDGDGGGGSTPPAPSESVSKGKAKMDSEAAEVWTCGICLAKSREAIRGELDCCAHHFCFVCIMAWARVESRCPFCKARFRTIRRPPVPGRFPSERIVAVPERNQVYRPQGNASSTVGGDPYANTICTVCNCCRDDELLMLCDLCDSAAHTYCAGLGTVVPEGDWFCEDCSASKEEHLRCQVDNEGFSGQAEFEISIDVPTAEAVEPPSASRNVDEDNETSSGSATRVQSSGLSTADPAPSIYDIVGDDYTTSAGSIRPRINLKNFASQSTSSAGSQCPKTTRGRDNGLASYHALIRMEIERTRALRDARNRDKRIRALREHWASLRDGSVGFGTHVPGRRTPGGIGAISVDTKHQHYAVPTVICSKKDASATSEETSTSLGHGNKTSQKDHREVRKAWKMLEMAKSSGGRKISNKPSSLSCNPPLSMGNRSTSYSPIDTILGLKNQNASQKNSASCGHGTRMESTSTTKNSGQCHSLPESSHSSIAKRKISFKDRINEESLNGEVAASNNVQHVGQTLEPLCGTYRSEKVISDTLHPVKCTLSSGQSTVTSSLQFGPSVGSQSNVMVNPEDSSVVCVGTTGEIRSSATIEVRKSSGPNRHERKRKLSSEKCHDQTSKRSRSSCKIAKTEISSFVIRELKLLKIDKTYGPDRFKEVARTATHAILAACGFEHSPSRSLALSRPFCEHSSEVKQMKSSAIANACAECLRGFVKEAISLQLKTKDYTNTTNTTKGGNKMCRTPDYGNTICPDAK >Et_2A_015514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14312664:14313838:-1 gene:Et_2A_015514 transcript:Et_2A_015514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEDARSSSAPMISKVDLRGLAPGTQGWAEARAAVTASMEAVGAVLVTHDALGADLRQFLFGRAMPEFFALPLDVKRRLVSGAVNGYIERSRRAGLPAYESVRIWETTTTPHGGGARNLGDVVWPHGNPEFCETVGAFAKNMMDLERTVATMILEGLGVRQEHVDAHHESVAHNVRLSRYGRLPSMGATDVSMQVHRDCTVLTVVVQHQVEGLEVQTENGSWVPVPPEPDTVAVVAGEMLTVVTNGRVPACVHRVRTPSNRERLSVQFVSMPKDGFAVSPLDELVDGDHPLQYNPCNFSEYVDFRFGAGAGRKLSDTLKAFCKTM >Et_2A_016155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21553794:21557141:-1 gene:Et_2A_016155 transcript:Et_2A_016155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGVDFGPGFRGVMGEEEEEVVSAPEGPMRRRRRRWGEEADDGYSPSSTGGGGSSCCGSFDCDSPLAGFVRPDGDPDTDLETDGLATSSSNASAAYTERQDEEEALCGVKEEEWARVQEPAKNPAGRATPECQNHRYRPETARLLSRKGSKQRPASLDFGSPGFNGASFSPSFVVGGVGLMNKGFLSSRIRSDVFHSPGTPNYPRHRSSVLGCQKGWSSERVPLPSKGNRRYPGSSMAFPYSNGRALPSKWEDAERWIFSPNSSDALGRTSAAHARRPKSKSGPLGPPGRLGGQYSSVSSSMTLLDSGRVGPFTANSPFVAGVLMPEHVCGGKNTNGTYPTRATADETSVGSGGRFCPANGGSHAIRSTRVRRRLDIAAESSASLPSTQESIQDEKVDSANTVGPIVSRKDAATQTSPEQSRSSSPNTRPPFTRSLLVSPQVKESEACFSDVEIRDVQMDDRVTLTRWSKKNVARSSHKNLTNIVDWKEKTVESACSSWDFTEAKCVSKVEREDAKITAWDSLQKAKAEATIQKLVIKLEKKRSSSLDKIFNTLRSAQRKTQVARDHDAATAKQDEKASRKTKKTAQLNKNGQISSLSGCFTCHAF >Et_5A_040741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11879500:11880955:-1 gene:Et_5A_040741 transcript:Et_5A_040741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFIFGERKTPAELMGETKRTLEKSIREIERERQGLQAQEKKLIAEIKKVAKLGQMEGVKILAKDLVRTRQQITKFYVLKSQLRAVASHVQMMKSTQAMGVAMKDVAKAMSQMSSHTNLPALQKIMRDFEMQNEKMEMVSEVIGDAIDEALEGDEEGEEIEELVNQVLDEIGIDINSQLVKAPATAVLKPTAGGKVSAQAEAADVVDGGIDDDLQARLDNLRKL >Et_1A_005882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15042634:15051572:-1 gene:Et_1A_005882 transcript:Et_1A_005882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLIGIYLLECNSEDISLDGLEEELEEHKNYDVLISILTSGEKQRARATMVEGNLGHVEKALIQDYVEDSDSLVLLHDQIHDCDVILSQIGSLLRGFQVHIGSISSEIRSLQEKSVDIGVKLKNRKLVETKLAGFVEEIVAPPGLVNSIVNGEVNDAYAKGLEILSKKLKFVQVDPLINASRALKDVKDELERLRKRALSKVSSHIIKIFFAMRKPGTNIQILQQNLLQKHRCLILFLKEHGSETYADVCASYVNTMNKVLSANFRVYVEALERLKLDVGVSNDFIGYDTSIIDIITRGREHLRDHRFMFSLGERSKILKEIDRPGLVPHISQVNSLTYPYEVIFRSLQKLLMDTASSEFLFIKAFFGEVPLFYKVFEGPFAVIHQHLDLTIPNCHDAVCLMLLICITRKQQLIMSNRRLPCLDAYFDKALIYLWPRFKLVFDMYLQSLYRCDVKMLWIDGTHPHHIVRCYVEFTASLVQLNAECGDGQLDMNLERLRSAVDDLVVRLAQNFTVPKLQHLFLLNNYDMTISVLKEAGDEAKWVQQYFEEKLESSMMSFVDDLLMEHFTEDLIYYTERPNIADVEPVVKNFAMKWRSVLELMHNEIVTSCSNLLSGMAILKAAMAQLLNDYNRLSEYVKKIPGGSALNRNLVSITSISYEIRKYSRTL >Et_9B_066032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21021868:21025940:-1 gene:Et_9B_066032 transcript:Et_9B_066032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSVASMLVSQVAPGPLLRRHDSAAPPWLSSRSPFFLHRRFRRASPPRASSGRRRQEQDYSHSSDVAFRGRNIAQEWVQETQSLFYRKTANNVPESVLLAGNVQDDLSEDRRGSNYPYLQNLRECVSFKSIVNRHGDYQELVGHRMVYQPVQSVPAPISVVNDSPLSMPRASKVEILWRDYAPADNPLLDKSNTEVIMEYDDEVQDGDDNKEKKRVVKKVVSPLPTKAALSEESLKARKALASIYAKVLVVDNIESARSIVKLLTTKHKSFIHACHTEVADIDAKEKTPVGHGEVWHNYSSDSHAIENYGIKVAGFHADTMHLARLWDSSR >Et_4B_037847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2451841:2458317:-1 gene:Et_4B_037847 transcript:Et_4B_037847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYEYLEKTVEASGAPANGAAAPGSEEKDKEKERSSRRRSSGSRGDEERDDVERRSKRSRSEEGRDRDRDKERHRDRDRDRHRSSRERRDRDRDDKEKEREREKDKERRSRDREREKEKEREREREKDRERRSRSRSERRRDDEERDRYRDREHRDRDVRRRKEEAAEPEVDPERDQRTVFAYQLALKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSSASSSAAASGGARKLYVGNLHANINEEQLRQVFEPFGQVELVQLPLDPLTGLCKGFGFFARLEDAKAAQSLNGQLDIAGRVIKVSAVTDQAGVQVSGATTGDLDDDEGGGLALNASSRALLMQKLDRSGTATSLTGGMGVTGLSSPVAIPTVSVLGAPPAVAPALHPTVPGLGLIPGGTVPVTAQSIEVAPPSECLLLKNMFDPAVETDPDFDLDIRDDVQDECSKFGNLKHIFVDKNTAGFVYLRFDSITAAMGAQKALHGRWFAGKMITATFMSPQQYEMKFPS >Et_1A_006009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16583601:16591264:-1 gene:Et_1A_006009 transcript:Et_1A_006009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSTSAPAHASSAFPLATAAGVRFPRASASTSRTCASAIAERRRTRRRRQPEGGGAGGDRSAAAGAVEKGLRLAFLEQLAERARAADAAGVTDTIYDMVAAGLSPGPRSFHGLVAAHVLAGDAEGAMQSLRRELSSGVRPLHETFVALVRVFAKKGLSTRAMEILAAMEKYKYDIRKAWLILVEELVKNHYLADANTVFLKGAKGGLRGTDEIYDLLIEEDCKAGDHSNALTVAYQMEASGRMATTFHFNCLLSVQATCGIPEIAFATFENMEYGGEDYMKPDTESYNWVIQAFTRATSYDRAADVAELLGMMVEDHKRIQPNARTYALLVECFTKYCMVNESIRHFRALRRIPGGTKVLYNEGNCGDPLSLYLRSLCLDGRPIELLEALEAMANDNQTIAPRAMILNRKYRTLVSSWIEPLQEEADVGFEIDYVTRYIEEGGLTGERKRWVPRRGKTPLDPDEFGFAYSNPIETSFKQRCFEELKLYHRRLLITLRNEGPGILGDVSEDDVRRVVERLKKLVVGPKKNVVKPKAASKMVVSELKTELEAQGLPTDGTRQVLYQRVQKARRINRSRGIPLWVPPVEDEEELLKDLEKTPVSSKKKRMPEIDDDEDWFPEDPIEAFKVMRETRMFDVSDMYTTADAWGWTWEREIKNKMPRKWSQEWEVELAMKIMHKVIELGGIPTIGDCAIILRAAMRGPVPSAFIPILQTTHSLGYKFGSPLYDEVVLLCLDLEEIDAAIAVVAEMETNGIKVPDETLDKVLASKRSSNSALPPPAED >Et_10B_002860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12646556:12648262:1 gene:Et_10B_002860 transcript:Et_10B_002860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIRATLHDAEEHWNIREESAKLRLRELKEVARDAEDLVEEYEYEVNRYKVEALERYHSVRNSSKRKHQEENEPYSMDASFLAPSCQLVFRAREITDRFKEIVNYSDHFILSENDGERRFIPDINNMQHTSSLVSQKTIVGRHQDKKEIVEQILSREEKNAGNPVRVMAIVGMGGLGKTTLAQVVYNNLRVRQSFDKQAWISVSENFDVSSLTRNIFSSLTNGSHENTQFADIQKLLADEMHDKRILLVMDNVWNERRDHWELLCMPLSTTRFCQILVTTRSEAVARLIQTVPFYHLKCLSFDESCWTIVFETVSSEVNP >Et_3A_027001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29279286:29280934:1 gene:Et_3A_027001 transcript:Et_3A_027001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRGNKLFTCIWTPRNCQPKALIFICHGIAAECSISMRDTAARLVTAGYGVHGIDHEGHGRSFGRRCYIPDFNDIVTDCSDYFTSICEKPENRGKKRFLYGISMGGSVALLLHRKAPDYWDGAVLLAPMCKVSDDMRPHPIVVSALTMICAIAPSWRIIPTPDIIDKVCKDPEMRKQVRSNPYICRGKLPLKTCHELLMVTLPFLVLHGGDDIVTDPSVSKLLFEKASSRDKTFKLYPGMWHALAAEFPDDAERVYSDITSWLEERTSSTASASETSAASSA >Et_6A_047833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19459294:19461834:-1 gene:Et_6A_047833 transcript:Et_6A_047833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTEAEEEVRLELEAIEAVYGEDCRVLQDLPPHLVVHVRPRTADDSSQQFVELSLGIKASSQVISLGYKSVAHNLIATKKASANSADSSSADSSDKLPCAKSETSCISDHDAPTAKEGLEDGEMSSRAKAKQN >Et_4B_038725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4351888:4360754:1 gene:Et_4B_038725 transcript:Et_4B_038725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQLFMKEDLNITDTQIEILAGVINIYSLFGSLLAGVTSDWLGRRYTMVLAAAIFFTGALLMGLAPSYALLMVGRFVAGIGVGFALMIAPVYTAEVAPTSARGFLTSFPEVFNNFGILLGYVSNFAFARLPVHLSWRAMFLVGAVPPVFLGVAVLAMPESPRWLVMRGRIDDARAVLRKTSDSPDEAEQRLLDMKKAVGIPESATDADDIAAIVRSNETGSQGVWKELLVSPSRPVRRMLVAGLGLMFIQQATGVDCVVMYSPRVFQRAGIKSKTNSLGFSMAVGACKTFFIPISTLLLDRVGRRPLLLASGGGMAVFLFTLATSLLMLDRRPDGESAALGAVSIAAMLSFVASFASGLGPVAWVYCSEIYPLRLRAQAAAIGTGLNRIMSGATTMSFLSLSNSITIAGSFYLYACVAAAGWVFMYFFLPETMGKSLEDTAKLFGKDADDDDLAGARHGARQQAGGGGKGRCARAAKDGEGRNQNPEKELRRDASDGIPAAEAPAKRPPLNKYALACAVLASMNSILLGYDISVMSGAQLFMKEDLKITDTQIEILAGIINIYSLVGSLAAGRTSDWIGRRYTMVLAAAIFFSGALIMGLAPSYAVLMVGRFVAGVGVGYALMIAPVYTAEVSPTSARGLLTSFPEVFINTGVLLGWRVMFLVGAVPPVFLAAGVLAMPESPRWLVMQGRVGDARRVLAKTSDSPAEAEERLADIKNAVGIPETVGDDNDDVVVVPRKNNNNKGTHGEGVWRDLVFRPTPPVRRILIACLGLQFFQQASGIDSVVLYSPRVFEKAGLHSDSNSLGATMAVGACKTLFILVATFFLDRVGRRPLLLTSAGGMVVSLVTLASALHAIDRLPAGSPATPLAGVSIAAALAFVASFSIGMGPIAWVYSSEIFPIRLRAQGCALGTAMNRIMSGVITMSFISLYKAITFAGSFYVYAGIAAAGWVFMFFFLPETRGRSLEDTEKLFGGGGDGLDKEDGHDQRNKSTEVATQQ >Et_1A_005287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38201235:38202899:-1 gene:Et_1A_005287 transcript:Et_1A_005287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGCTVSSAKGSEDGSCMDYDINFKLSLGSEGTSKMSKQACDSRTLKKPGLDLKLSLAPSLSVVTDADLNRTTAHQDIFVPPHIMALVPTVDEGSTSLRRPSGGMVLSFLHQADKLASLNQMFPESSSQVPAGCVKGARGSSGLCIAHGGGNRCQKEGCNKGAEGKTIFCKAHGGGRRCSNEGCKRAARGKSGRCIKHGGGKRCQKPNCTKSAEGRSGLCIAHGGGRRCQHAGCDKGAQGSTNFCKGHGGGKRCTHPDCTKGAEGSTPFCKGHGGGKRCSAEGCTKSVHGGTQYCVAHGGGKRCVVEGCKKSARGRTDRCVGHGGGKRCSAEGCTKSAQGSTDFCKAHGGGKRCLWGHSGSELGSGGSPCDRLARGKRGLCVQHNPLVDDNSVHGGLSFSSFSILSNALSHGDHPSSTETSRQSIFMHPTETARYVSVPVPEGRVHGGNMLSMFADGMSLGKKPANNAEASTSAPRNLKSGNYLEPSASSRRSWL >Et_9A_061041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12253008:12253700:-1 gene:Et_9A_061041 transcript:Et_9A_061041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPRPQSQRSSEITRSSKRSSHYYHGTTTPKPLPLSPHQFYPYSRTKKMSLENLRPSSLHDHHQHHHRSSISRASSTAEDGYDFQDLQLRPIRTRPEPTGAINAHSAISSGTCPSSPKLRSRRLHVTNGGRISTTSANGRRSVARKSFAIVKASMDPRRDFRESMVEMITENEVSAKEDLQELLECYLALNSREYHGVIMEVFTAVWLEIAGDVLED >Et_5A_042145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6783330:6786759:-1 gene:Et_5A_042145 transcript:Et_5A_042145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWMFLGTVGDLRVCSHGSSLALQVLASVRTRALPASFRSGLPGATTVQVPRRHRNKARNGQAIRCAMTTGKEQGAISTASSGDQTKGGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGAIELEGLEKKAKASGACQLVVKDLKEEFVSEYIYPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFYALNPELKVVAPWREWDITGREDAIEYAKKHNVPVPVSKKSIYSRDRNLWHLSHEGDILEDPANEPKEDMYMMSAAPENAPSEPEYLEIGIVAGVPVSINGRDLSPASLLAELNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTIMAAAVRELEALTLDRETMQWKDIVALKYAELVYAGLWFHPLRQGFDALMEKLTATTTGSVTLKLYKGSVSVASRKSPYSLYREDISSFENGEIYNQADAEGFIRLYGLPTRVRAMLEKGL >Et_10B_004210.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19092257:19093066:1 gene:Et_10B_004210 transcript:Et_10B_004210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPTPPARPDQEAAAAAATETTPLQQQHPSFNRPPAPPPGTYIVQVPKDQVLRVPPPDRARQYRKLAARPARRRRLRRACCLSCGALLLLLLLAAALAGAAYLVFRPRAPSFSVASLTIRGLDNNLTQSSSSFSPEIAAAVREDNGANKKVAVAYSGVRLAAGPWPAFRQAPRNVTVFSASLRGEGVRLTEEQRRQLAAEQAAGAVPMAVEARVPVRLRFGKTVLRTWTVDVKATCDVAVDRLAGPATATNRGCRVRVKPLWWWW >Et_8B_060421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14566147:14571435:1 gene:Et_8B_060421 transcript:Et_8B_060421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCLGAGYVGGPTMAVIALKCPDIEVVVVDISKPRIEAWNSDTLPIYEPGLDDVVKQCRGRNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGKKAVQALKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVGYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVASKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAQISIYDPQVTEDQIQRDLAMAKFDWDHPMHLQPTSPTAIKQVSVVWDAYEATKGAHGLCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNIVDPEKLREIGFIVYSIGKPLDAWLKDMPALAKLNVLREGSIPTAARRGSRPLSWRLVTVSYRHRLAGVTSTPSSDVRRRPLNRHPLAVSPAPPLHSVGTGYSGNSFARTRHTSPRCIVVPAQR >Et_9A_062277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21428421:21432453:-1 gene:Et_9A_062277 transcript:Et_9A_062277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPAESMEDAVKKHELVPQLQFPPGYRFVPTEEELVDVYLRRKIEGQKLPLDVVNEVTILEWQPGKLVETYKAYGENKWYFFTEREPSSSKKEKEPNRKVRVPGVKATWKATGSLTGICRKGKDGKPLDMVGTKRVLIYHSSDAEEDGKWSMHEYVLTSNSQIGQYALCSIQRKQHSDTEHKTGEASGTSKRKRETTTMEPSKTTKRKKAISAKTEAAQKKKQEMASQHAPPEAPLTPPKHDKQRPQQESPLPPYAPPTSLPGVPLQQGYPSSSDGYLQIGASAPMSAEYAQAFSYDQMCGDIAGLQFAMQNNLTFCDQGEQSQSLTWSQSMSSDGSVDDAPFQAYQQQENYGLFAVPDQLVYTERYMRQNVDLFSGTQSNSNWGIGQDQLIYQPGDEGAIGGVQDTYASQDFLSYEQQGLSAVVFGQETRYGSLDQLTKSFQGVAVASKGDDDNMASFSADAFLRDVDLYFRNALSVDVPQHKVACAQEGSNQALQNQDELQMLCGQQQQTSSNGPACNGASSGCAVRS >Et_10A_000011.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:12967650:12968555:1 gene:Et_10A_000011 transcript:Et_10A_000011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQSPRLQRWRLPLPPAAPQTAGTTTISALGDDLLREIFIRLPSLPSLVRAALTCRAFLAAVRASPEFRRRFRALHRPPLLGLFFDYDGSEMPSFAPARGRRPDHPDLLAAVRGADVLLTRLPYHDNAWRIAECRGGYLLLVNRSAQQVAVYNPLKRALDLFPTPPDEISDGCRGRPVYVGFFLLCSDEAPGSFRVVSVSQDQSSLRAAVFSSATREWRILPWTGDAPSQPSGKEYWLLKGTQVNGSLYWTHSEEAYMVVFDTALQFYSIDLPEDLKGKGHLYMTGEAKDGEPCIVSAV >Et_9B_063663.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17085642:17086068:1 gene:Et_9B_063663 transcript:Et_9B_063663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQSHYRYLPLDLIHEANLDDLVLVDHFDGNSLTSSNITSVIHLRKCSLPQQPPNLISIHQNSPLFHYISAVKESHSSYSMLVKQTKTNRIQSRAKDRIYRNGTNMQPNLIEPQQNLFR >Et_4B_038812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:578691:581591:-1 gene:Et_4B_038812 transcript:Et_4B_038812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVALLCASPSTSVASSFLSRRCPASSRRASARFTIMAALGDDPIKEWILTEGKATKITGTRSIGGGCINSAQRYDTDAGSFFVKTNRRIGPSMFEGEALGLKAMYDTKSIRVPLPYKVGPLPTGGSFIIMEFIEFGRSRGDQSTLGRKLAEMHKAAKSDKGYGFYVDNTIGSTPQINTWTADWIEFYSKHRLGYQLELVSRRFGDSDIYAKGQRLIKNIRPLFDGAVIEPCLLHGDLWSGNISSDTNGDPVILDPACYYGHNEAEFGMSWCAGFSGDFYKSYFQVMPKQPGFDKRKDLYLLYHYLNHYNLFGSGYRSSAMSIIEDYLWMLGV >Et_2B_020545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21232650:21235982:1 gene:Et_2B_020545 transcript:Et_2B_020545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRTGGNRDANGGLAEATASRLRFDSDEEAAEGEESHMEVEEIPDAEGDEAAEEVIGNDKTSADYYFDSYSHFDVVRTKSYQNVITQNGFLFKDKIVLDVGAGTGILSLFCAKAGAKHVYAIECSQMADMAKEIVKTNGYADVITVIKGKVEEIELPVPKVDVIISEWMGYFLLFENMLNTVLYARDKWLADDGVVLPDKASLHLTAIEDAEYKEDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDANQIVTNCQLLKTMDISKMTPGDASFTVPFKLVAERNDYIHALVAYFNVSFSKCHKMMGFSTGPRSKATHWKQTVLYLEDVLTVCEGETLSGSMTVTPNKKNPRDIDIKLKYSLNGHRCKVSRTQYYKMR >Et_2B_021944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7121264:7124835:-1 gene:Et_2B_021944 transcript:Et_2B_021944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAHTGGKAGGFGGGDRFRFAPSSAAARSRMKLWVVRATTTVLLWTCVVQLTAVGDTWGPRVLKGWPSCLSAPAETAVARPEPVVEKAAALLPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLVVPELDKTSFWNDPSEFQDIFDVEHFITSLRDEVRILRELPPRVKRRVELGMYHSMPPISWSDISYYHNQILPLIRKHKVLHLNRTDARLANNGLPIEIQKLRCRVNYASLRFTSQIEELGKRVIRILRQNGPFLVLHLRYEMDMLAFSGCTQGCSNEEAEELTRMRHLFTLQSTLGFRYAYPWWKEKVIDSDMKRKDGLCPLTPEETALVLRALDIDRSMQIYIAAGEIYGGRRRMAALTSAYPNVVRKETLLEPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFMGFKKTILLDRKLIVELVDRYTNGSLPWDEFSLLIKAAHAKRMGSASKRTVIPDRPKEEDYFYANPQECLQDRGLLQAS >Et_1B_013606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9957789:9959477:1 gene:Et_1B_013606 transcript:Et_1B_013606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGSAEEDSYGPPAHQAAPPPNVNAPGNRGGPRGPGAPRTGGPAKPVNIDVPAIPFDELKKITNNFSDRALIGEGSYGRVYNATLSDGRAAVIKKLDPSASQDSDADFAAQIAMVSKLKNEYFLELVGYCLEDGNRMLAYQFATMGSLHNILHGKKGVQGAEPGPVLNWMQRVKIAYGAARGLEYLHEKVQPSIVHRDIRSSNVLIFDDFASKIADFNLTNQGTDTAARLHSTRVLGTFGYHAPEYAMTGQINQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNSDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKAIQPLLNAKPAGPPAPAAS >Et_10B_003043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15791367:15794397:-1 gene:Et_10B_003043 transcript:Et_10B_003043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSEGEKHFIRGGIAQDIRTDGRRRLQFRALSVETGVIPQANGSARVRLGGTEVIASVKAELGKPSILHPDKGKVNIFVDCSPTAEPMFEGRGSEELSAELSVSLQRCLLGGKSGAGAAIDLSSLIVVDGKVCWDLYIDGLVISSDGNLLDALAAAIKVALSDTGIPKVNVSLSAASDDEPEVDVSDEEFLQFDTSSVPVIITLTKVGRQYIVDATSEEESQMSSAVSVSVNRHGNICGLTKRGGAGLDPSVIFDMISVAKHVSQQFISLLDSEIAAAQADDE >Et_1B_013682.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11942088:11942363:-1 gene:Et_1B_013682 transcript:Et_1B_013682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLCGKTDRGGGGKIHPMPVQEDPIREVFRHVPVTILVLVVALGTEARELQAYFVSCPLHDGLPKAAAAVASPEAHHLQKPSPSSPLLLC >Et_8A_057770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:778711:782452:1 gene:Et_8A_057770 transcript:Et_8A_057770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSSGGKLKATTLLQAIAAEAEAAAARKRAYGVFCTTYDLKADEKTKSWKKMVNIAVSGAAGMISNHLLFQIAAGEVFGLDQPIALRLLGSERSFQALEGVAMELEDSLYPLLREVSIGIDPYEVFEDADWALLIGAKPRGPGMERAALLDINGQIFAEQGKALNAVASKNVKVIVVGNPCNTNALICIKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDRVSNMTIWGNHSTTQVPDFLNAKIDGRPVKEVIKDTKWLEEEFTLTVQKRGGVLIEKWGRSSAASTAVSIVDAMKSLVTPTPEGDWFSSGVYTTGNPYGIAEDIVFSMPCRSKGDGDYELATDVEIDDFLWERIKKTEAELLAEKKCVAHLTGDGIAFCDLVNEDTMLPGEM >Et_2B_019749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13410342:13417062:1 gene:Et_2B_019749 transcript:Et_2B_019749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSGAVTAAPAEREDVNGKAEMESAAAAAVSDLPTTKCKKKKKRKQEVASAEEGVTVVQEAAPAGVAVLALEGTPISKKKKEKAKGREMVEREAATAAVPALEGIPKSKKKEKAKEQEIVEPEATIAAVSAEEGTPKCKKKKKKAKEAANAEESGTVEQETASVEAAAVSALEGTQKSKKKKKEKANEREIVEPEATTAAFSVCQTLVSFGTAAEEGTPKCKKKKKKAKEAANAEESGAVEQEAASVLAVAVSALEGTQKSKKKKEKAKEQETVEQEATTAAVSADEGAPKCKKKKAGKDVVNAEENGTVEHEAASIAADAVLALEGTPKSKKKKKEKAKEWEMVEQEATTTAVSAEEGTPKCKKKKGEKARGIVEQGPTTAAVSALEGTPNCKKNEEKAEERGMTEQDATAATVSALEGALKCKKKKKKKEKIKETGSDELELSASISASERTPKLKKKLKKKNKMQEQEESPQSLSSPVVDKILASKPGNGCKNGEAPSVDADVSMDPINGEDPNCSEVKDTNEEVNSLLEISEDRKRPRRKRKQDGSNRGPGISSQDGDRVTNIYSPRGSLIRFQRKKLLILDLNGLLADINMDYRNAHRAHAKVKAKLVFKRPHCDDFLRFCFQNFEVGIWSSRNKDNVNSVVNILMRDLKQYLLFCWDMSHCTVTGRNTVQNKHKPLVLKELKKLWNKEYPNLPWEQGEFSPSNTLLVDDSPYKALCNPPHTAIFPQPYSYYKERSDYSLGPGGDLRVYLERLAVADDVQVFVRENPFGQPYIMESDPHWNFYAQIVDKVEKSLA >Et_7B_055329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9483001:9487634:1 gene:Et_7B_055329 transcript:Et_7B_055329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EHEQEDRLSKLPDDVLMHILDKLELLSDAVRTCILSKRWRHLAGLLSEIVLDVRHYQPEVAGPGYTLQELAQSNVSVVEATKSILAHQSQNTIKNPSILFYLRDESIGIVRAVDKAMENREILAAEFIIIPERLDACCTDDEMINYGRRFKTFVDTCPGVFGALTDLRLHSIRLDKSDMHNVLGTCTKLEYLSLRNCDAGNKSELQIEHSRLAELAIFSCAFERVELKWLPRLTHLTCRNWLLSRDKYPLSFGHEHEQEEDRLSNLPDDVLMYILKKIKRLRDSVRTCILSKRWRHIPALQSSIILDIIHFQPAVAPSNYTLEELVRSNVRVVEATKSILAHRTQNTIKNLGIRFYLRDESIDIVRSVDKAMDNREILKAEFIIVAERLDVSELQIEHSQLAELAIVSCALERVELKWLPRLTHLTCRNWLLLRDKYPLSFGHVPEFWGLTLSLPGSILHKSLVLSEFLGNAVASKLDFNFECQRHLKIVRLRHIHEECDLTWTMFFLEAAPLLTELHLQVWDHDCNSIFTENNEFREIYQKASNLLNWEVHDGFRHYNLRKLTVEGFQVEEKFTRYVRCVMQVAVNVEHVSLLKGRPCARCQLYPSAAYPRTSEERDLIKKQISERSSSSIMFESDI >Et_3B_029433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2510218:2512369:1 gene:Et_3B_029433 transcript:Et_3B_029433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKKTKKSTDNINNKLQLVMKSGKYTLGYKTVLRTLRNSKSKLVIIANNCPPLRKSEIEYYAMLAKVTVHHFHGNNVDLGTACGKYFRVCCLSIIDPGDSDIIKTTPGDQ >Et_1A_008883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17242052:17243367:-1 gene:Et_1A_008883 transcript:Et_1A_008883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDSMEIQLLLTGPEDESRLDECLKGGGVDEEELVKSNHLCALSNRLRSRGGIHSSRRNNDHWTRDEMKELVKGVTTHGVGRWSAIKGATRLKTSIRRAVHVKVQLQEARKLIVQEFKYHILEMEACNNHAKKKKRISSIRNLSLRIN >Et_1A_004518.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12124944:12125245:-1 gene:Et_1A_004518 transcript:Et_1A_004518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLDLDAMVRGDVGVACGNPLFDTEGDHDNACLDDPYAPAQSLRLRIGEDIDWCDVGGCAVAVLRRDDSTKGAGANPKSA >Et_9B_063832.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:16717131:16717319:1 gene:Et_9B_063832 transcript:Et_9B_063832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHHQHNSSFSSKEKRPPLKRGQLKWQIARTISSLMVPRNAAGSREKADRGGGFSRGPSYN >Et_3A_023229.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:12586870:12587130:1 gene:Et_3A_023229 transcript:Et_3A_023229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCCMCHTVMRLFRDLGVNPMVVELDEDPRGKEMEKALARLLGRSPAVPAVFIGGRLVGSTDKIMSLHLSGNLVPLLHNAGALWV >Et_2A_016113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21137222:21141459:1 gene:Et_2A_016113 transcript:Et_2A_016113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRATDFMRCKARTYCGVVGVVPSADSSSALLRVTLLFRTGARAFKDEAAVERVSKECALVAAVHARGQHDVRLLSATDVLISAHGAQMSNLLFMDRNSSVMEVYPMGWKERAGGGQYVFRWMASWTGMRHEGSWWDNTDVEPCPDSPDIYICWKNRQIGHDEAYFAEWAARVFAAAKERKTGSAVGASAGEWRRDATICKCSNACKHTMKQVAMKKKASAKENHHLRRVIWLLPPLMLAVMFFLQLQTALGLFSSISRIVSQPAAIDDFVDRLRASATFLPLKDTRRGSSEWFISTLDDVSEPEGEAKNLVFPSPASHGRVLCLAAPSRHDGTENAYALAWRDALPRGAAALRPGLAFVSETAYDHSNIWHGLTALIPFASWHARTRCAARPARWALFHHGEVRTRWSGWLATLAEATTGANMTIETFDTPEPVCFEEAVVFRRNMEGLTRERLLGAFDFMRCKARAHCGVDVPSGAKPSSSASALRVTLLFRTGGRAFKDEAAVTRVFLKECTSVAGCTLTAAHSDNMTFCDQVRLLSATDVLISAHGAQMTNLLFMDRNSSIMEFYPMGWRQRAGGGQFVYRWMADRAGMRHEGSWWDPNGEPCPRSPDILSCYKNRRIGHNETYFGQWAARVFAAVKERKTSGSSKAPEERRREEMTCNCS >Et_3B_028257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12299920:12307021:-1 gene:Et_3B_028257 transcript:Et_3B_028257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATGEEADGKGKRKREMDNGNQKFTGEQHMFQPPKRTTPEKLMNYSILQDCQAVASDRMLQCWLSKCAYAVQEALPANEKDNDDTCVPAFIGHLLASFETSAHQELRFDLEKYSAVMEQLLSNIEKFNPNNAPKKKLLNRTNDMNERETKKRRKTEAEWMFLDRHGHGLVTGDGGVVPWTATGVTRHAPAFDGVKRAVVSSHENILLLEASCVGTARDYFVLDLLVPPVLTCLPPYFTWEMEIQGRKCIMSREDGRGYVVAVLEQGLGEAWHVSFFSTSSSSSSAGGAWRRKPARLPPELDDCPYCWEISTELACDGRFWWLDLRRGLLSCSCDSLLEENDALALEFTLLPDVSMDEAKGARFSDYNLLQDRCVMASGGHLTYVDVRVVRRLPRGAPPLCDGCRGGSVTTWTLDHVGGVWVKVHQVTLSDVWKDDSYGSLCLPEEAPEFPHVDPFDDDVVYFSIMEGREGTCREFGVNLRTRSVTSCSLSHNLLDGVSYPLLPGGCHLHELSNLLHAWPGHYIGNPSLDMRICVDNCCMRATNQVLELGQARLINLEGFLQLLQILLNNFHASHHDNRDDEALEDNWGHGAVEVSRFNLKPNVHHCRFREVIFSKEVRAAFAKYVLGNCTRLCQGTNSKRELVVNDPSETADNAGDDINLTGKDQITVLERWDLTKGLQKKVKRGRYMRNPGEICGT >Et_2A_015664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16768989:16773034:1 gene:Et_2A_015664 transcript:Et_2A_015664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALWLSPSPSLRHLLLLRPRFPGSFPLRHSHSRRLLCTSAASSTLTHGDADAPAAQVEGVVDVNPPRGTRDFPPEDMRLRTWLFDQFREVSRLMTFEEVDFPVLESEALFIRKAGEEITQQLYNFEDKGGRRVVLRPELTPSLARLVIKQGKSVSLPLKWFTIGQCWRYERMTRGRRREHYQWNMDIFGVPKVRAEAELLQAIVLLFERLGITSTDVGIRVSSRKVLQAVLNKFSIPEHLFTEVCVIVDKLGKLSRDEIERELISTGLSSEAVQGIIEVLSLKSLSKLEEVLGPDDDAVADLKKLFSFSEQYGYADWISFDASVVRGLAYYTGIVFEGFDREGKLRAICGGGRYDKLLSTFGSEDIPACGFGFGDAVIVELLKEKDLLPDMSRQIDDIVFPLDETLKGPASSIASSLRKKGRAVDLVEDKRLKWVFKHAERINASRLILVGSSEWERGMVRVKILSTREEFEVKAGELE >Et_2A_016097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2096171:2101025:-1 gene:Et_2A_016097 transcript:Et_2A_016097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSAKGLLTPASPSPTNAGGFSTATPRPQPDGYLRTAETRHTVTAVAPSFPAPRSVSTVPEEAGTVRLGGGAAVPVAARAEEFGSGGLVVERGSGRGGFWAATFRGRPQPGGKRRTAEAMRRSAGRTATPASIARASAGTSGHPKDQPSRSQVSPAQPTPLNGTKKLRSSQVAKAASLLTSSSLCGVATPAAVHRLRRPGGAPRSWRIVIALILHPPPTAEIHGVIILGIFHLRALYHLTTLQELLLYLVITLQELLFYRLITLQELPFYRAITLRELLFYQMITPQELLFYRVSSHSRAYGVDGPTVVTNPALPGVIGLAAGARAPGPLEDPPLPGRSGAPAKAPVEDSSLVRRSSSLGKGAGIPDVEHHSPLPNLDGPSEDESNILFVDGLPSDCTRREVAHLFRPFVGFKDIRVVHKETRHSGDKAYVLCFVEFENAKYAFTAMEALQEYRFDDRKPDAPVLKIHFARFPFRLPAAEDDKKRRIAH >Et_7A_051458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18956995:18957279:-1 gene:Et_7A_051458 transcript:Et_7A_051458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDFNEGDWKRLYSAYELCLASGSNIIITSRSDEIKKLGTTQALTVKFPPYEAYWLLQTNFQLYVSSPTLKAILSTEPHGH >Et_4A_034069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29623745:29625695:-1 gene:Et_4A_034069 transcript:Et_4A_034069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRADVDVDTEVAAAGAPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNSVEIKPEMIGHYLAEFSLSYKPVKHGRPGIGATHSSRFIPLK >Et_3A_023968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15324610:15325660:1 gene:Et_3A_023968 transcript:Et_3A_023968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ENEQQQHTTFIDSFFAAPKSLEEFNNDGNDDNVGPQADLDYVLQNLSESESHIPTLSESENKSELCDSTKREVEFTHIENLSDTQHKKRENDHDSIVSSYAIQNCKENDDSLTETFTFKLDDEDEKVALIKKHFLSIQTCFHDDDKGKNSEVQPMALKESLRNKCIVNHAVLEDTFVTFLDSITIDHIAELNQRPSHMSAEDIQAEYQCFEFDTCDVTHSFGNLNKNKFDNVNLITNAELFTEISRIDCLCHIILDSHVELDRILEIVFEIASLKSLRSAYSCKFTFNLVGNHVANKFYVYAICITCIGLDDLNMSGTFPQCLLQHELIKSQNHYSS >Et_6A_045884.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:24747122:24747391:1 gene:Et_6A_045884 transcript:Et_6A_045884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVASSAALAESKIYKVFCIPADCRDLSPAWKDCHCCGNAHHAQSFHPTQEECRAKCPLCNPNCSPMPPPQPATDYRLLHARMNATRH >Et_7A_052704.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:13123675:13124487:1 gene:Et_7A_052704 transcript:Et_7A_052704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPPPTTAPSQAPPWPPLPPPAGAAASTYASRTSRASSSSSSSNYSSPPAPAPAAQPPGPPSCAACKHQRRKCTPDCVLAPYFPSSQPEKFRNARRLFGVKNMLHILQAAGPEKRELCMRTIVYESDVRRQEPVHGCLAVIRDLENQLRDTYIELAAVQEQLAPYRQQAALGLLHPPPESTSPTAAATTSADVVVRQQPMTTRWQATPEMQSSSSSSRSPHGGTALSLAARELTVRQPAAMPGQSASDVLHTSPHPPMMSDEEPCMM >Et_3A_026832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22875083:22881673:1 gene:Et_3A_026832 transcript:Et_3A_026832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPNASMGLLNLGGCMGFLPTSQPNSSSSGGFLVPGRSASVLPLRWCLATKRGRILDSRTAGAEATGEAVSGSSELRHIEKELTFSPTFTDYVKIMESVKLDRSKNLQGGDSDGRSSRRRFTGDGDRRAGRRSGDARSKSFERKREPHMDRGNDRGRGSQLAKNESQQNVTGFVEKRSHGGAENIQRRQGEVEEYVPKRTVFGDTRGSKLATNESQKNVTGCVERRAEGDAENSRHRQGEVKEYVQRRIIRGDMKETGGNRQFTSHVKAKDTRGGMTVHESAREKHAHPDACKDFQEEIETFAVSRTSSPPSTSTLFKKTDSIMGKERFTSRSSMKEQKFRYPGDAKVSNDEVSGDNFQRNQRRVENLGSNFVVRGSGRNDMDCKKSTVRNRYGHVETMPRQHNGRSSDSLKYDKAEIIHKQRGENVKLGKFARRDAKPTDIDDRAAFRTFEVFTDVRNRPRILQMEMEERIQKLASRLNATDVNAPEWKFSKIIHDAKIKFSDHSILRIVQMLGRFGNWKRVLQVVEWLQSRERFKSYKSRYIYTTVLDVLGKAKRPFEALNIFYTMQNQLSSYPDMAAYHCIAVILGQAGLVKELFDVIDCMRSPPKKKFKLGPLQNWDPRLEPDLIVLNACVQQKQWEGAFWVLQQLKEKNIRPTNTTYGLVMEVMLVCGKYNLVHEFFNKVKKSSIPGALNYKVGISHLLLFVVLINAMWREGKIDEAVMAVEDMENRGIVGSASLYYDLARCLCSGGRCKEALLQVPKICKVANKPLVVTYTGLIQTCIDSGSMENAKYIFNEMCNYCSPNNVTCNIMLKSYVEHGMFEDAKDLLHSILNGRIRSRVDLSQNAIADKFTFNTFMEACAEAKRWDDFEYAFRKMLSNGYHFDGRRHLRMVLDAYRSGKEQLLDDVWRYLCHYGRVPPAPLIMERFCLKLIQGDTMAAISCISSFEDSKIRSMSSISWLKLLTRNADRLKEENITKLVRELNNLIHVHLTIWTKDERNGLIKMDKMI >Et_5B_045207.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:11946425:11946940:1 gene:Et_5B_045207 transcript:Et_5B_045207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGMARIIGYRDPVYTVSVNDVGGLDGRVDLAAPVSPWFDLTLRIDDRAGFRDACVGHRAAVAVDYRGAVLARGPAPAFCVDPASGAAEAAAAAWGADVRVPEFMRGQLEEELRAGTAVFGVSVLVPSKTSLGPDHLIECRGKVGVESAPCSVTYIAARDRDENLYHLFP >Et_8B_060636.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3780791:3781633:-1 gene:Et_8B_060636 transcript:Et_8B_060636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGGLGILPTGAFVEVLLRLPTSSRRRFRLVCKRWRDLINERTPEREVRTKILVFFSQPDICHALVFDDKEGHRRHAWTYPCSIDGGQIRMVGTCNGLLCLQENWKDAFSVSHSTITVINPITNEKLVLPPVPDSWGWEQLRDHGKYSFGYHPTTGKYKIVHIPSGPRQVVEAMKVFALGDASWRQVPVHIPGKTYQSSSGAVSVDGWTYWLTKFADRVMALDLDDERVTSIGVPQAKRPPPIPADAGWQLTNVRARLGLVVMDLNLTTKVTRAEGAGW >Et_9B_065928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1866866:1869437:-1 gene:Et_9B_065928 transcript:Et_9B_065928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVTCALAAAVPCIHLCQSPATYRPVPTAPLRRSRAARPLQATSAPRRLRATAVRAQSSINPGYLPEAEFYKIEAILRPWRVSYVSSGLLEMGIRGVTVSDVRGFGSQGGSTERHEGSEFSEDTFIAKVKMEIVVCKEQVEAVVDKIIEKARTGEIGDGKIFLIPVSDVIRIRTGERGEQAERMTGGLSDRMSSVVSIS >Et_5B_044088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19905403:19905852:1 gene:Et_5B_044088 transcript:Et_5B_044088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATHCTLCCLLLVLILHADHASAVSAGMCFHSRPWVPFCNKWLCKSECKMEAKLLFKSASVKEHWCIKGRFKGKCHCLMCNDK >Et_2B_021541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30411282:30413991:-1 gene:Et_2B_021541 transcript:Et_2B_021541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMLVRAPSPGLSDAIRDISTSHHGGLQCSSLLKKKASARRWMLCSLKYACLGIEPGEAGRRSAVYSSLAVNPAGEAVISSEQKVYDVVLKQAALLKRQLRTQTPPLLDVRPQDLEIPRNGLKEAYNRCGEICEEYAKTFYLGTLLMTEERRRAIWAIYVWCRRTDELVDGPNANYITPTALDRWEKRLEDLFAGRPYDMLDAALSDTISRFPIDIQPFRDMIEGMRSDLRKARYKNFDELYMYCYYVAGTVGLMSVPVMGIAPESKATTESVYNAALALGIANQLTNILRDVGEDARRGRIYLPQDELAQEGLSDEDIFNGVVTNRWRNFMKKQIKRARMFFEEAERGVTELSQASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVGKGKKLLALPVAYGKSLLMPCSLRNNSQT >Et_1B_013780.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:16120673:16121515:-1 gene:Et_1B_013780 transcript:Et_1B_013780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTGVSFLGTKGTTPRSGCAVTAAAASASGYHLLVVDAYSRSTKDTPTGECILSRPFMVGGCRWVVKYYPNGCCSEEADAICLYLLLDDEGVTEAVEAQFEFSFVDETEKQTPAHIRATEACTFVSQKFWEDNNMWKRDALERSKHLKDDCFTLRCDIFVTKGPACATPFVVVPPPGIHENFGDLLQNKEGDDVVFEVGGVTFPVHRCVLAARSTVFRAQFFGPAADGALSSTIRIDDMEANVFKALLAFIYTDSLPEMEGDDDEMEDEREAEEGDEEE >Et_4A_033200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:215124:224116:1 gene:Et_4A_033200 transcript:Et_4A_033200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNKKQVMVLYCALAAATILVSTLLQGAEGCEPYCPTPTPPVVPPPPPTRSCPIDALKLRVCANVLNLLKLNIPGVIGSDDCCPLLENLVDLDAAVCLCTAIRANILGINLNVPVDLTLLLNHCGKLAIMAKKVAVVAALLGLNLLFFSFADACGCKCGACPSPDGGGGGGGGGGAGGGGGGAGGSGGGGGGIIGGGGGGIIGGGGGGGGGGGGSGGGGGGGGAGGAGGGGVRGGRCPIDALKLGVCANVLNGLINVNLGKPPRTPCCTLIQGLADLEAAACLCTALKANVLGINLNLPINLSLLVNYCGRRVPSGFQCP >Et_10B_002945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14223577:14227825:-1 gene:Et_10B_002945 transcript:Et_10B_002945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMLGQDFCGIDASSVQFAAGSSSDLLEFVVLVNKDPNSTREAKKPEKMPPSPSSRRSPARETYHKRTNSFGSVLPVKPKDDELMLFSDMQKVERENFLLEPSEDFDESIAKLSYFPEVKLGVNIPARGESHDLLNVDGDKNDYEWLLTPPETPLFRSLDNAEDQSIAQISRGRSQSKPIQFSRSSTMDNTQRSRRSSASPSRLSPSPRSVARTRPSSSSSRSSPPPALQPPMPLMRSSTPPSTKTLTPPRRSPSPVSRRMSTGSSGPTLNGTRGASPVKANQRSPSPKPHGWQSNVPGFTFDAPSNLRTSLPDHPVSRSRGGSPSSFSGLDMSSRGRRQSMSPTPSRRASSSHSIERDRMSSYSKASATSSGEDDLDSMQSIPISYSSSPAVKKSLAVMKTRTIAASKNLSKSFSPSSVPKRSFDSAVWLMDHRKTPQDRFRPLLSAVPATTFGTGNGTNVRKAMFSHNSSFKISSNASSDHDVTFSPNINGNQEQHDLCGEWEEDDRSQGHEDIFMFENLDELNDESISEKSTTFTGNTPMTVNYLESNRHNFDMEGSGTPNQSLCHAVNSSQVGYGRVTTCTGCGKVFNTMAVDERDYCDECVSKVGHRFTDSTVQNMEEADKQDGKTANEPCVAFDPSMAPNCVGYSKYLLIMN >Et_3B_029922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29053324:29056700:-1 gene:Et_3B_029922 transcript:Et_3B_029922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGALARLLLRRAPTTRLARPFAAKARAAPRPQEPDFPSEDDDDFAGGEVAAPTEGISKPLAEVLKELGKRVPESLVKTRVEDDGFPIKYIPWHIVNKILNVHAPEWSGEVRSIVYSSDGKSVSVVYRVTLYGTDAEVYREATGTASVDDTSYGDPVQKAEAMAFRRACARLGLGLHLYHEDLFAGTIAMIRWSLRSSAYRSAAA >Et_2A_018354.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24262903:24265131:1 gene:Et_2A_018354 transcript:Et_2A_018354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEAGPHPLPLRFLNTHIQAALANPRPRSSTLPPHPPPTSISPPPLPPAMPHGGHRPPAPGPGGPVFPRATSTVLPDPARFFAPGLLNSPLPTNSFFQNFVLKNGDQPEYLHPYSIRSDGGALTVCYPTRNVSPSFIIQTFVEDLTISASSDAAGGDHRVVAFDDLSVTLDVSPSLRAHLVRGCPYVTLTTAQGAGAVDISVASVHAFTEVAPCGDAGTKWRLRMNSGQTFLLYASAPIRLSQTDTSQLSAPGFSGVIRVAYLPDAFMEPVLDRYSGCFPTAGEASLNQPFCVEYHWRKAGPGELLMLAHPLHLRLLSQPHDSGGVRVLDDFRYRSIDGDLVGVVGDSWVLRTDPVPATWHSTHGVSGNGVDEVVAALRNDVAGLASTPITTTSSYFYGKAIARAARFALIAEEVGCPDVIPAVQSFLKAAVTPWLDGSFKENGFLYDAKWGGLVTRQGLTDTGADFGFGIFNDHHYHLGYFLYAIAVLAKIDPCWGRKYMPQAYSMVADFMTLSRRPGASFTKLRMFDMWKLHSWAGGLTEFGDGRNQESTSEAVNAYYSAALLGLSYGDTHLATLGASLTAFEALAAQAWWHVREGEGIYEEQFSKENRVVGVLWANKRDSGLWFAPPEWRECRLGIQLLPVLPICEALFPDVAFAKELVEWTLPALARDGVGEGWKGFVYALQGIYDKETALTKTRALTGYDDGNSLSNLLWWLHSRPAVVGDAGYGRCCWYRQYCH >Et_4A_033914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2859681:2863257:1 gene:Et_4A_033914 transcript:Et_4A_033914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAARRRLWRGMATAAAAGVSGTDVTLLSLLVSIPECQVKATMEKVSVSAPHRDGAFWEPLAAALLRSSYPAKANLVLEWKLEKLLKDGIHDCKPYSTIIRFCSETRNAAFAMRAIERMEAREIQLNTGVFNALVNAFLSVGDLLSAMTLYETMEGMENCKPDSTTYDAFISAFSLLGSGHPMMSWYLAAKNAGFTPSIQAFESLITGFIRLNMLDDAEMVFKEMISFEIKPNNTILEARLEMLCKRNAANLLRDFVKCARDGKWELNDATVERLTRTLLNGGDVDEMEQLLSLIQKGAHLSSETQLHCAIIKSYAKADRLADMEHAICRMLDDGLTFMMCPDDVEAVICCYFRHKDFDRLDFFLNRIQSSFKLTRSTYDILVAGYRRFGLHERLDSTINDMRKSGENEKRVQIL >Et_4A_035213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12536984:12539304:1 gene:Et_4A_035213 transcript:Et_4A_035213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSLDYWRGFFSGARASIFDTIDAAIRVAAADDPDGLRARRDAIAERLYTALLPLPPGLAATGPPQQHQLLTEGAGSFPSLCSSDREVVTDDGGGDAVRCSNSDDPVVAEAFRIKAALSSSQEKSEAELLELLGRLRQLEFTVDAIMVSRDELLPHATEIGMAVKPLRKHSSKQIRQLVRSLIEGWKAMVNQWMSNGGSTVDHTPQSVDESCLDQEEEGLPSPPMDEAALFETPSLPTVVLTNFDQSWCWNPALVLKFFDDMDDDGNIRSDVKDRVQCYPGNQEPVKNQSALGQSYDPEQNWRLDQSTLKQSGANEPLNRQTRQQSKMGTQGKPSSAAFGPGRPQIPHLEWRGSEMRSKQQPEISMVQRPKPTIPNPSTRHNDNSVRAKLELAKDAKPEATKRKLQEGYQEFNNAKKQRTIQMVDPQNLPKQGNRNVQLNGKPRNNSTSNIRNRFGIRR >Et_10B_003051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1584358:1588370:1 gene:Et_10B_003051 transcript:Et_10B_003051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPWPRPGDEGDLGDWSSGNLDLPPEHRSKTRGRVSVDAQGCFHFHGCGPIPGAPFRNLGEVLAAAEAKLALMAGRESEQEGGEGSASSSGPLVKFYSRKVPLHREPAPWDEVDLDMYETLEMLRCGERDTEPPEPVTGSSVDGFPSFWEHERCDPAISEAADRFEEIVAKKEAIKNGMKWMESEVLQAFETYKADYKGPKYEFVKLDKRCLIYDTFSNSYHHYNFTMKKKSSFYLRKRREKRSSNRWDYQQFFAEVKSTEKGKLFFCCPLQPGEKNGHCFGCQNVGIDLSHPRSGGYQVGNEDSGFPFDSDASDE >Et_4B_038233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27373493:27379148:-1 gene:Et_4B_038233 transcript:Et_4B_038233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPALICDTEQWKALQAHVSAIQKTHLRDLMADANRCKAMTALQSSRRRLKRCLRVTSTENRSVLHVALRAPRDAVINSDGVNVVPEVWSVLDKIKQFSETFRSGSWVGATGKALTNVVSVGIGGSFLGPLFVHTALQTDPEAAECAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIVSSLGPQAVSKHMIAVSTNLKEFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQKFLEGASSIDKHFYSSSFEKNIPVLLGLLSVWNVSFLGYPARAILPYAQALEKFAPHIQQLSMESNGKGVSIDGVQLSFETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGETVSNHDELMSNFFAQPDALAYGKTPEQLHSEKVPENLIPHKTFQGNRPSLSLLLPTLSAYEIGQSLASQVRKQLHGSRMEGKPVEGFNPSTSSLLARYLAVKPSTPYDTTVLPKV >Et_4B_036691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10759004:10763631:1 gene:Et_4B_036691 transcript:Et_4B_036691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALGCCGRMRGVAVLGVVVAVVLSACVRACHGTTDSQDTSVLRALMDQWQNAPPTWGQSDDPCSDSPWEGVTCDGNKVISIKLSTMGIKGVLPADIGQLSDLQSLDLSFNKDLGGVLTPTIGNLKQLTTLILAGCSFHGTIPDELGSLPKLSYMALNSNQFSGKIPASLGNLSSLYWFDIADNQLSGPLPISTSGGMGLDKLIKTKHFHFNKNQLSGAIPDALFSSEMTLIHLLFDGNKFTGSIPESLGLVSTLEVVRLDRNSLSGPVPSNLNNLTKVNELNLANNQLTGTLPDLSGMSLLNYVDLSNNTFDPSPSPAWFWRLPQLSALIIQSGRLYGPVPTKLFGSPQLQQVILDDNAFNGTLDMGRSISSQLSIVSFKDNRFSSVTVTSSYNGTLALAGNPVCDHLPNTPYCNVTQRAPATPYSTSLVKCLSGTCPAGQSMSPQSCACAYPYQGVMYFRAPFFRDVTNSTAFQSLESMLWTKLGLTPGSVSLQNPFFNSDSYMLVQVKLFPAGSDAYFNRSEVMRIGFDLSNQTFKPPKEFGPYYFLASPYPFPDKGSSSKSKGVIIGIAVGCGVLVVALAGAAVYAFVQRRRAQKAKEELGGPFASWARSEDRGGAPRLKGARWFSYEELKRSTNNFAEANELGYGGYGKVYRGMLPTGQFIAIKRAQQGSMQGGQEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMSGGTLRDSLAGKSGLHLDWKKRLRVALGAARGLAYLHELADPPIIHRDVKSSNILMDEHLTAKVADFGLSKLVTDSERGHVSTQVKGTLGYLDPEYYMSQQLTEKSDVYSFGVVMLELIIAKQPIEKGKYIVREVKTAFDASDAEFCGIKDMIDARIMNTNHLAAFGKFVQLALKCVDEVAAARPSMSEVVKEIEMMLQSEGLSSASTSATTSATEFDVNKGAPRHPYNDPLPKKDKDVSTDSFDYSGGYSFQSKVEPK >Et_1A_008663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9637716:9638966:-1 gene:Et_1A_008663 transcript:Et_1A_008663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMEPKRARGRVKWDEDNLNDIESNKPEREKITEPKTPYHTMSDDDEGSVSPRQSSEESVDKSAHADAIKSALNEAVSSGKILKRDSWESCDSEEEAVKQGKAFEEHRKVHYDEYHKMKELLQKGTMTDDADEGESDANNRKE >Et_2B_022357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1952991:1956860:1 gene:Et_2B_022357 transcript:Et_2B_022357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAAAAARQAKHAEACSNQHPVHHAHLAALLNPSPRSPPLPLPLCRRHLPLSPPAASRLADSFPPLPLLVCFLRALRLLTSPPPRPFDALIKSYASLPSRASIAQAALAFARSAGYAPSVPAYNAVLALSEASLPSARRFLAAMLRDGVAPNVYTYNILVRALCSRGRREEALDVLRDMRGAGCAPNAVTYNTLVAAFCRAGEVDGAEKLVGVMREAGVKPNLVTFNSLVNGLCKAGKMEDARKVLDEMVSEGLAPDGVSYNTLVGGYCKAGCVHEALSLFAEMTRKGITPDVVTFTSLIHAMCRAGNLERAVALVRQMRERGVRMNEITFTALIDGFCKKGFLDDALLALKEMRESGIQPSMVCYNALINGYCIVGRMDEARELVSEMEANGMRPDVVTYSTILGAYCKSGDTDSAFQLNQQMLEKGVLPDAITYSSLIRGLCEERRLTDACALFENMIKLGLQPDEFTYTSLIDGHCKEGDVEKALSLHDEMIKKGVLPDVVTYSVLINGLSKSARTKEAQRLLFKLYYEDPVPANIKYDALMHCCSKADFKSVLALLKGFCMKGLMNEADKVYQSMLDRNWKLDGSVYGVLIHGHCRGGNVIKALSLHKQMLQSGFAPDSTCTVSLIRGLFKEGMNEEAWQVIQELLNCCSLADAEASKALIDLNWKEAVNGGGSVHGWKPATCLSMDSIMQSRCRPLARQAMDSVQISTAFSGTVGSYRSSLAYSVSRCSM >Et_4B_038387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28729533:28732969:1 gene:Et_4B_038387 transcript:Et_4B_038387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKVEEAYRGVDCGDGGPFGAVVVRNDEVVVSCHNMVLKHTDPTAHAEVTAIREACKKLGKIELSDCEIYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADAIRGTGYYQKASMEIKKADGNGALIAEQVFEKTKEKFQIERNIGQANTAEIVPLNALQYTSNTNVDTNNILDCDIAYHGESIPVIFVIRMFPVVSSDPDGH >Et_4B_037872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24237562:24242968:-1 gene:Et_4B_037872 transcript:Et_4B_037872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAFELQRRRLKNTQRLRPSLQTRTPVSTYIHWHTCALPSILHTCGRAEPVPPVCASAPSDPPPMAGEPAADGHDPPADCVGGADASAAAAAAAAAEALLSAASEQLTLVYHGDVFVFDPVPPQKVQALLLILGGCKVPLGLESIAVPTAHDEKHTTVAARRISSLMRFREKRKERCFDKKIRYNVRKKVGQKMKRSQGQFAGRADFGDDACSSAACISPANGENDHFRGTICQNCGISSRLTPAMRRGPAGPRSLCNACGLMWANKGTLRSLNAPKMTLQHHAEMGDADVKNSSVLPAEHDQATVRSDCEM >Et_2A_017709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5364438:5367111:1 gene:Et_2A_017709 transcript:Et_2A_017709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPAEMHPAVDASNSAAPPAPDAAPAAVPTAAVADPAPDPSSQPAAAPASAPEGADPPPVPSQKTVTWSEKLTSDSPTHVQAAAAAESSQYVSRGPASSSSKGAVEAMKETLSKWGKSMGETTKLVESLSRDTWQHFKTGPSFTEAAMGRLAQGTKVLAEGGYEKIFKQTFEVLPEEQLKVCYACYLSTSAGPVMGVLYISTAKIAFCSDNPLSYKAGNKTEWSYYKVVIPLHQLRTANPSVSKVNPAEKYIQVVSVEGHEFWFMGFLMYDKAVTSLQEALASARELQP >Et_3B_030229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31524651:31528870:-1 gene:Et_3B_030229 transcript:Et_3B_030229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRHGIPSRSSLSLYPSESKLLAIYCFIASVPSENMMGKSRHCVAFGPEVVLCQQQLDYESDPPLDDAHTLQKESTLNVAVSQLASDFDRESNLCLEKFSRTRRASVISTGSLKLDLALGIGGLPKGRMVEIFGKEASGKTTLALHVVKEAQKNGGCCAYIDAENAFNPAFADAIGVDTEKLLIAQPDSAENSLSIVNTLVGGSIDVVVVDSVAALIPKCEIEGEIYMNSEDIQSRLMTRALRKIHYTLSRSETLIIFVNQVRTKKSSNQSPAVYKDVACGGNALGFYAAVRLRTSRRQLRYSEDQATGIGISVQIIKNKLVPAILKEAGIDLRFGKGICRESEILDMASSIGVIVKDGCGYWINNEFLADNAAAEKFLHENATVADEICSTIRSQFFESLPRAIPSDIEPEINSRLTVNENELLQFEFDRVLVCFAWNSG >Et_9A_062446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2305727:2307743:-1 gene:Et_9A_062446 transcript:Et_9A_062446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSKKLVPSSSASSSSDLDPLLKDLTEKKLSFRKNVVSLAAELKDVRNKLASQEQLFARESQTRKVAETKAQSMEEEVTKLQKCLQDKDEQLRSSTYSTEQYLNELDDLRTQLSSTRATAEASAASAKSAQQQCLSLLKELNEKDSSLKEHELRVNKLGEQLDLLQKDLQARELSQRQLKDEVVRIETDIMDAVAKAGSNSNKDNELLKILSDVSPRNVQNLNNLLNAKDTEIARLREEIRILSAHWTNKTKELESQLEKHRRTDQELKKRVLKLEFCLQESQSQMRKLKRMGEKRDKALKELMDQVAMKQPNGLCRDSKEKFWENQGFKFIASMSMLALVILAKR >Et_9B_065920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17560573:17561241:-1 gene:Et_9B_065920 transcript:Et_9B_065920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKWQKMAAMARRRLTLTLPEETEGPSTSVASKGHCVVYSADGRRFEVPLAHLSMTIFGELLRQSQEEFGFSGDDGRITLPCDATMIEYVIYLLRRDASEEVERALLSSMN >Et_4A_034452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3321813:3324666:1 gene:Et_4A_034452 transcript:Et_4A_034452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGAVADGPCRRPSSTRIASSCAAAGGCSVSRGVVADQRARIPDTKRLVMARNERLLRMKSVGRAFHVKASSRVDKFSKNDIIVSPSILSANFSKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTELPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTVNQIKSLGAKAGVVLNPATPLSAIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKIADLRRLCAEKGVNPWIEVDGGVGPNNAYKVIEAGANAIVAGSALLKESRPAKDL >Et_1B_012021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28142789:28150636:1 gene:Et_1B_012021 transcript:Et_1B_012021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADGVVYAAVRKWDLLRQKLIHGFHELRDGTWHNLSSPSLMLPSYLLPSLLTSRPFDGKLYLMVCASAIATLPSTLSRLPYLSIISLPDGVECRPLGNMKPWVDDSGLYLIHIKELQLHVWLHDMDSGQWLLQNTVCLCEVYGQLGVADLVPGDGLSTATDIKNRDVGHSAEFVFLQVGAVLHIHMKSRNAEKVYTVKPEESGIRLVPFMMIWPPNFPVIKQDSTQTNNPSSKLPKHQYW >Et_3A_023262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15743902:15744963:1 gene:Et_3A_023262 transcript:Et_3A_023262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAATTAAATTAAAWTREEDKAFENAVAKAAAPPADGSPPDEGWFSALAASLPAARTAEEVRRHYEALVEDVGAIDAGRVPLPRYAGEESAAPSDGGGAGDAASAPKDGGGGGHRREKSCSKAEQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVTAGDVAAAGGGAPITGGPAAAMPMGPGGAMKHHHHHPPPPMGMYGHAPMGHPVAGHMVAPAAVGTPVMFPPGHAPYVMPVGYPAPPAKMHQ >Et_5B_045377.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20116184:20116594:-1 gene:Et_5B_045377 transcript:Et_5B_045377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQTMRYIHMKEDAATVAVESPAKVGIWGERYHSGRNEAGVGVSFKEWLKPPDVAEKRRRPVEDAVATEEAGVGDDAEPGLGDKGRADEVLGLVRREAEETMAETWGRAGAAPPPPPQCLLHSSSVHENWPCISV >Et_7A_052404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7713564:7722688:1 gene:Et_7A_052404 transcript:Et_7A_052404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRFPLEGTTEVEKQDRSPSTSGPACQSHCVLRCTIPKPVSLAASSPSPSNRAGRSIHFQRSMQPPCLGACGGGSLAIPVTRRRALCLSAAPSRSPVSCAAGGGKTSPRGKDNVWSVDNERAAAERSRSPKHRRRRRPGGRRLPPPPSQGRKGKDAGSRVLVSGAMLVEVETVLQTQEPVIKPSWDTFASSLSGNWKGVGAVFSPITAEMEPVGVGSKQEYLYDCYTLSHIEKSFNSVHGPEIRRKTNWVPINPFGEADSQNSAYEGGSYDASGGTRAVDLPAFESFDLNRSNVFDEETFAMEPGIVFFEDGSYSRGPVDIEIGEYDESKYFLSPTYKFEQRLRIVHTIEFSEGGANIQIVRIAVYEEQWASPATIHVEDDTAFDLKPFSQRSRTKPSELTGSWKVYEVSATPIFSDEMQELEGGAPFVYLCMETVKKRTLPESSRLFGEEEMLDMQDVTVLWLPGGVTAYVDIDKDGVLCIGVGWYSEEGINLVMERDYGTDGRLREVRSKTEVKRRWNQPIPH >Et_3A_025881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33578262:33580797:-1 gene:Et_3A_025881 transcript:Et_3A_025881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREVPNREAAAHCLSVPINCKWFDSLEKRRAQSFLEKQQHALEKQIEMSPPAVKLIGTFSSPFVHRAEAFLRIKGVPYELIPEDLNNKSELLLKLNPVHKKVPVLIHGDRAISESLVIAEYVDEVFDGPPLLPADPYDRAMARFWAHFMDNKCAKPFWQSMWSDGEEQKGYVMEMKENLALLEAQIKGKRLFVAGDSIGYLNIVVCGLAHWISMVKEATGANVVGDDEYPALRQWTKVYTSNEIVKQCLPDRDQLVAFYAANLEKELEIKQMEMSPSGIKLIGAFGSPFAHRAEVALRLKGVPYELTLEDLLNKKSDLLLKHNPIHKKVPVLLHGDKTICESLVIVEYIDETFDGPPIMPTDPYERAMARFWAHFLDNKVISTRHEFVLMNAVEQCAKPFWLSMWSEGEAQKGFVKEAKENLALLEAQLKGKRFFAGDSVGYLDIVSCGLAHWLSVIEEATGVKLVGDDEYPALRQWAKDYTSNEIVKECLPDRGQLLAFYTATLPTYKMMANAMLQQ >Et_6B_049067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17466202:17467279:-1 gene:Et_6B_049067 transcript:Et_6B_049067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDRLSALPDDLLRRVLYFAPAKDGASTAVLSRRWRSLWRTSGAVNYSLRFDETLYIEDSAARAMRRAFFRGARRRARRRPGQEAQYGFHIGKLLPRRRIHAVLSKPALQGVEELRIGAAATDKTPQRPWLRRAVSSTHVDTEELYKLSFGALPSEALRELHIVNCRNLKPPRSRATFPRLAHLRLQGCVVPLLDMQRVMDAIPQLATLHLESFSFPQEQGTKNKGSGAIELSCYQLRCSTVTTLVLEDCHWPEVDGGLELDVPKLRYFVYEGFVRHCHRLSLKPQVSSNIVQVDLHLSMNSRFPTNDQIKIPFFWRFLQNFDMTKVLKLKLDFTVDAIWAVWQAAAAEK >Et_3B_027752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17460347:17461666:1 gene:Et_3B_027752 transcript:Et_3B_027752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVEEERTQPQETARSRRWSAEAVVDVVPEQAAPVEVVAPAAPPPPPPPAPPARRRRRSRSVENLPRPEELEREIVVEEVRTPPPPAAMFPPGTPPPPPPPPPPSSTASRSRKKRSGSVGGAKELASAFALFYQKKRKSITMKAKRRPHHHHHHSDDHYSPPSSDASASPDATARATTHPPAPPPPPPPPPPPPSSIFSNLFKKGSKSRRIHSVAPPHPPAPPPPTRRSTMKPPQPPSRPAPPAPPPPPARTRPPRAHEHAHAPQPPLPPPSPPLPPPPPPPPPPLGSEGEEDAPSVAASPAPAYCPSPDVNAKADMFIESFREGLKLEKLNSYREKWQRQIQEQAAVAVGEDEDGEFMVIGSLFGDDEDDDISLPETPARAAVAVGF >Et_8B_059079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13530008:13531975:-1 gene:Et_8B_059079 transcript:Et_8B_059079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DATDDFEGDSPKLPGYIVAFVEEHNHELLKNFSLAKYLRSHRVLDTPQVEEEQFIRTLHLRHEHYPSLSDCLLPTIYRAQNEGHDKSAFEFFQPLS >Et_4A_035200.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:11805108:11805611:1 gene:Et_4A_035200 transcript:Et_4A_035200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVQQPRLPPRRPPPPPPAPSQAPAAVARPQQPPCKRSRAGLATGGSREERMADSCCPTTTTELVVIDDGDSSGDEDGCGSGVDGGGERQDGDEEEVSGSVVVWWRQESNSRCCFVLAGGSTATEHQRAGLVESDEEEEEDPKVAAARRREEDRKFWEACLASGYP >Et_7A_050796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11086669:11088308:-1 gene:Et_7A_050796 transcript:Et_7A_050796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHGTTALPPSQGKLITVLSIDGGGIRGLIPATIIACLEAKLQELDGPDARIADYFDVIAGTSTGALITAMLAAPDENKRPLFAAKDITQFYLENGPKIFPQRKLGFLTPVAKLVGLLMGPKYDGSFLHDKIKTLTRDVTVADTVTNVVVPAFDVRRMQPVIFTTFEAKAEPLKNAHLSDICISTAAAPTYFPAHHFETRGPGGGGASRREFHLVDGGVAANNPTMVAMSMLTKEVINKNLDFHTDNATEYTRYLIVSVGTGSAKQSEKYTAPQCAKWGLLRWLYDGDFNPLIDILSHASSDMVDIHASVLFKALRCEKNYIRIQDDSLTGNTSLVDVATKENMEALIGIGKELLKKPVARVNIDTGEYKPVAGEGTNEEALGRFAEMLSKERRLRQSTLNSY >Et_4B_036853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12011719:12014414:1 gene:Et_4B_036853 transcript:Et_4B_036853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKGALRRLVDGLCALLLCRRTAGSRGPRVVVRPAGTVDNSTAPATIRLATFNAAMFSMAPAVPPAANDDDQRSLGRAGSGRRRRPKKGILKAQQSPGASPPSNKQRRVSINLQDAEITAADQRPPSRQSSLPGELHWRRKSSSSGRTRSVAEVLREVGADVVALQNVRAEQERGMRPLSELAEGLGMRYVFAESWAPEYGNAVLSRWPVKRWRTHRIADHSDFRNVLRVTVDVPGAGEVNFHCTHLDHLDESLRMKQVNSILRSADGHHILAGGLNALDSTDYSADRWADIVKYYTEIGKPTPKAEVMRYLKAKRYVDAKDFAGECEAVVVVAKGQDVQGTCKYGTRVDYILASPSSPYKFVPGSYSVVSSKGTSDHHIVKVDITIGGAGSTPHCRRQRVVRMSNGSTKGIWAAR >Et_1B_013146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5959876:5961834:1 gene:Et_1B_013146 transcript:Et_1B_013146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GQLRFLSFGIQTSSSKTRRKSCTFRSKRHQKCCPLLKRSKAICRHRISSPRCSSRLRSNNSSTRKTQPFSAPPRPASGKKLRHCAISSTPTASSGAMATAPSSSLFLTSSSISTPPRARAGPVQPCPSQCRPSLRLRRSPQVVAAAVQAEHQPAVAAASKTPVLPFRVGHGFDLHRLEPDLPLIIGGINIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPRWKGADSSVFMREAVKLMHEAGYELGNLDATLILQKPKISPFKETIRSNLCDLLGADPSVVNLKAKTHEKVDSLGENRSIAAHTVVLLMRK >Et_10A_002256.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5733683:5735188:-1 gene:Et_10A_002256 transcript:Et_10A_002256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPLLCFALLCASLTLATGLHLKLTHVDAREGCTDEERMRRATERTHRRDLASTKPARLYENQYIVDYLIGNPPQKAEALLDTATDLVWTQCAACSLCFRQDLPLYDPSASRSARNLTCGDAACAAGLGAVTVCGQGANAGACFVAVLYNAFQKIAGDLSSDEFTFGAEKVTLAFGSIGAIDLTSGNLDGASGFMGLGRGPLSLVSQLGETMKFSYCLTPFFGGKVNPSHLLVGASAVLSGGGGASSPATTVPFVKNPKDYPYSSYYYVPLTGISVGNVQLTVPAAAFDVTEVRPGTWAGGAVLDTGAPFMSLVDDAFRPLVAELERQLGAAVVRPPKTVLGKRVELCVAAADFPRLVPPMTLHFAGGGDVVLPPENYWAPVGDEAACMAVFSSARKISAPMNETTVIGSFMQQNMQVLYDLGKDVISFQPTDCSNFQPAPPGPAGTNGPAGTNGNGNGSGKKGSSSLSSSSSSSVPLPLPVFSLVSLLMLNGAPGVRVA >Et_1A_005209.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:3382924:3383247:1 gene:Et_1A_005209 transcript:Et_1A_005209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPDRQQYPRLHTDQKQFCGRIKTLEIAPLLYEFRANTAGTATVVHKIPRNSNSPAHGPAQKAIRSPQHSNCISTCSHLAHSNQCPVIEAFAHVNWDSFTLIAVNCH >Et_1A_008333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6673874:6675742:1 gene:Et_1A_008333 transcript:Et_1A_008333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPSSSLFLTSSSISTPLRARAGPVQPCPSPCRPSLRLRRTTQVVAAAVQAEHQPAVAAAPKTPVLPFRVGHGFDLHRLEPDLPLIIGGINIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPRWKGADSSVFMREAVKLMHEAGYELGNLDATLILQKPKLSPFKETIRSNLCDLLGADPSVVNLKAKTHEKVDSLGENRSIAAHTVVLLMRK >Et_2B_021326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28682801:28684528:-1 gene:Et_2B_021326 transcript:Et_2B_021326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKASTAVTLRTRKFMTNRLLSRKQFVLEVIHPGRANVSKAELKERLAKVYEVKDPNCIFVFKFRTHFGGGKSTGFGLIYDNLEAAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAGKKK >Et_1B_010229.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31038474:31038665:1 gene:Et_1B_010229 transcript:Et_1B_010229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKVLCAALVAAASATAVMASEAPAGAPAGAAAGPSASGASVAGAPAAAGLLFSVLAYYLH >Et_1A_009447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5710414:5713700:1 gene:Et_1A_009447 transcript:Et_1A_009447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPYASLSPAGGGGDHHHHRSSTTASLLPFCRATPLSSLGSGGGAGLAAGDAATTGRWPLVPFTAAQYEELEQQALIYKYLVAGVPVPPDLVVPIRRGLDSLATRYYGHPTLVYGSYLGKKVDPEPGRCRRTDGKKWRCSKEAASDSKYCERHMHRGRKGSRKPVETQLVPHSQQPAAMAAIAAQPLAAVTNGCNFQSHSLYPAIAGSNGGSGGSNISSPFSSSLSSSQMSLDNTATFAALDGGTAKDLRYTGYGMRSLADEHNQLISEAIDSSMENQWRLPPSQNSSFPLPSYPQLGALSDLGQNTVNSLSKMERQPLSFLGNDFGTVGSVKHENQLRPFFDEWPKSRDSWPGLGDENSNLTSFSATQLSMSIPMASSDFSAASSQSPNGD >Et_8B_058932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11125932:11134780:1 gene:Et_8B_058932 transcript:Et_8B_058932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYLPSLRASNIQAETRHDQSRTPAGRDGQNSTRRRRLRAAAITVAVIAAILSCLLPALAMNQHSPPPATRVREPTRTKETEDALKGCFITDPNGRKWACSRMKNPAAKRTLFSCAVENRLGAGMNLYWADEGIDQFVGAGATADLLFPSVFDPAPRTRPFTGYRTGPNVTCEWFCAGNTMNGVGRAVARGVVVPGGRRRRAVRARVREQQGGGARDERREEGARGPCYQGLDCSKNLWGFGGWVPFGLACTYPKHDHEVPGAAVPAQGAADFARGDLPVVVRRELHEPSGGVGRAVAGGVDVPDEDERRRRPARVREVSLVTRAGRRVLGDVAIKECSNNLGGYGGRVPFGLACTYPKHDHEYYGTIGYLTDEMKRTSPTVASKWWSDGNIMDGVVVWDERWPEAPSCRMDGQCRVVFEGNRREVVLVTRKGRRVLGDLAIKDNHLGGYGGWVPFGLACTYPKHDHKYYGTIGWST >Et_6A_046904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21996305:21997440:-1 gene:Et_6A_046904 transcript:Et_6A_046904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHSLWPLVIVAGGRKRGGTNNGEAVMASRSEGGGGRVSSSMGPLATNTVAMPMAMWNSKWQCMNHAPGLSATNRMTAHPSLGTCTVFFSNGSTRLYLLTSLAGL >Et_1A_007724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37613098:37621255:1 gene:Et_1A_007724 transcript:Et_1A_007724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSLMLMRVVAVACLLAVGAVAQLSPTYYDGSCPSLQSIVRSGMASAVQREPRMGASILRLFFHDCFVNGCDGSVLLDDSPTLTGEKNAAPNANSLRGFEVIDAIKSQVEAACPGTVSCADILAIAARDGVNLLSGPTWAVPLGRRDARTTTQAAANNNLPSPSSNAATLVSAFASKGLDSRDLVALSGAHTIGSARCASFRSRVYNDTNINAGPSFAAAKQQACPASGGDDALAPLDALTPDEFDNGYYRNLVTGAGLLHSDQELFNNGPLDAVDALVRKYAANGAVFASDFAKAMVRMGNLAPAAGTPLEVRLNCRRTN >Et_3B_027600.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:30859139:30859740:-1 gene:Et_3B_027600 transcript:Et_3B_027600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTALGKEAMNGGGMAPRPSTDWGPIIVAVILFVVLSPGLLFQLPARTRVVEFGNMATSGIAILVHAIIFFCLLTIFVVAIGVHVYAA >Et_8B_059315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16610315:16612648:1 gene:Et_8B_059315 transcript:Et_8B_059315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHNTNPSAEEHVNPTANAAAATSARVPSKKSWIPVGLGGSSKYGATIDIPLEDPKKLEKDLLSWEQDLRRREQDIKRREDAMNRAGVTLEVKNWPPFYPIIHHDITNEIPIHSQKLQYTAFASWLGLIVCLVWNVLAVFIESIHSDDIVLFLLAVIYAISGCPLSYILWYRPLYRAMRTDSMMNFGQFFVFYSMHVGFCVIAAIAPPIIFRGKTLTGILIAIDVITGDMFVGVIYLIGFAFFTLESIISIWVLERVYLYFGGHR >Et_10B_003309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18768814:18771896:1 gene:Et_10B_003309 transcript:Et_10B_003309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLVRERLFVGNINDAIAVLTGASSTSFTHVLSIVSSASISFITDCRPGLAIPTEEVRRVVAGEEGAPSASAVAPGRLLRVVERAGDGLWVTRMAVPLRDTEEENLLDHLEPCLDFIDEGRKVGNVLVHCFAGVSRSASIVVACLMRTEQKPLEEALESLKEVSESACPNDGFIDQLKLFEEMGFKVDTSNPLYKRFRLKLLGQSYKFGEKIGSHVFEDDRGLSPQSGSCHDPSNKDERKTAYRCRKCRRIVAVQDNVISHVPGEGESCFNWNQRKSGRPYNIKEQDCSSLFIEPLKWMTPVEEGALEGKLSCIHCSARLGYFNWSGIQCNCGSWITPAFQISKSKVDVSTI >Et_2A_016912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29352054:29374605:1 gene:Et_2A_016912 transcript:Et_2A_016912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVRGVADLLRKAPPPPPAAPGCAAGSAPANCFPAGDFDDAPTPRVVFSDGSEEGVLKTLWKNYENTLDKVEKEKSLHFFASQFVQTFRDWGLHPVEPSVYEELVSNETVIGCSYGHPPEIILILIQEISLITATITESGSNQESPPNNHSEQLINLGLSKERLLVLDCLTILTRSLHNCKAFSYYGGMQKVVSLLKAAVSQLKSSNSLLAADDRSSDEAIENTSMILNVLVCIITIISNFLKLDQTISRIPCFIKTTKCTQSKSHLAAITSSTPESNISGALQQWKQKAIVLVMEAGGVYWLVELLRIFQRLNLKEQWSDLSLHFFTLCTLRSTISGTRAQNHFRSIGGLEILLDGLGLPSNKFSISKYSSISRYERGGILLLQTQYLQTLSEAVFGNANNLLFLCENGRVHKFANSICWPAFMIQEFHRQKDHSNASLALDSVSGPVYLLDMNEWNDYSVKLSNTLCSFILPSKDTAYCSNETPDRQISVFIPSAYLEQSVRWMIRVLLTVFLCIKACTSETELPKHIKTFAKTLQYYMIRVFRRVLVSTPALLTTFREEGVWDLIFSEDFFYFGSYVEDFHIEIQNGDVNDNNRMSVDSESSYCFDVNMIRMEAISFLEFAATLNENKYNMPECSALLEALEHCISDPLAVSVLLKSFRVILQLATEQTLASFKSLDIITRVLKAACHQAQELQNLKNDPFDAIISRDGSQIQSIDGRTKNALICGELALSLFQEYVNISKDGRVLILHNVDCIECLFDLFEEGNLRKHVLEQVLSLFRLPSSSAQDHAAKLQLCSKYLENFARAKENEKVDSELLIDLLVSMREIVTMDRMYYQNLFRDQGCFLHIVSLLNGTFNEVTEERLVLNVLETLTSLLEGNDASKDAFRLLAGPGYQTLQSLVLDFYRWVPNERLLYALLGMLNEDAVLLFLNILQKSSSSLQHYGLVILQQLLKQSITNRTSCFRAGSLGFLLDWFAIEEKDDAIIEIAELIQIIGAHSICGKDIRRIFALLRCEKIGAKQQHTSLLLTSLSHMLKEKGPEAFFEFSGHDSGIQIKSPFLWPYNRGLSFSCWLRVENFPDNGMMGLFSFLTEDGKGCSAVINKSALVYESINQKHQCVLLPLKLPPKEWKFLSVTHTIGRAFSGGSQLRCYVDGELVSSEKCGYPKVNEAMAHCTIGTELIPVGEEPISIGFEKTFAFTGQMGPVYVFSDALSPEQVKGIYFLGPSYMYSFHGDDSLYRGVLDAKDGISSKIIFGLNAQATDGRSLFSVSSALDGTEKGSFEARIVGATKLCSRHLPQDIIYCVGGVSVFFPLFTQFWDAATDVVQSCNTSVMNDKLAAEVIELVATVLDGNIYLNPQIWVYASYEVQRDLFTFVIKYFETDGRLLSVLCGLPRIIDIVCQYYGELVDSRYVKPLLHPDTKQVIGERPKVEDIRKLRLLLLNLAEMSLKLKVSPDDIRSLVSFFERSHDIACVEDVLNRIICALSQSSVLSSFLESVNCLGGCCIFINLLKREIEPVRLLGLQLLGKLLAETPFGKKGTKLLPLLIGQSRPIVENLRKEISASPQLFSYTISERLFKFSPSDNLCTALFSVLLGGISPQQVLQGSTQSDPPKDKNYNLSSLARFSVPQDYKSVSQGELDNVEMDEGQLSNSDLLRDILDDIAGRLLQSSVEENNFLFEPCSDNALYFLNLIHELFVNQTGINLLFPSSRLSDESSHDNIWKEDIKLAINKILNVESNDRWKSDDWWRFFDKAWHITCNLNSEGPSKTVPKSPNVEAVSLGQRAAVVASGGLSTALGAKMNRFVDKVTTLRDEILPRVFFPLVILYLCKAGLENASKSLLIVRSKYGQLDDGARFHVLSHLITETIMYGKSMFVTNILGRDDSVEINNSKEAAFILRFIQKDRVLAAIADEVKQMKAFQSDRFKQLQELNECFAKENQLVQTTENEIHFTITASLSADDNRKTASRLAFNEDKQIVADKWIHISRALMDERGPWSAYPFPNDVVTHWKLDKSEDKWRRRLKLKRNYKFDERLCHPSYSRNETTGPSVDQPFVSTKIPEKMKRFLLRGVRGITEDSACEPLEDANGTSESSQSSLAENQNLNNAADSSAIHNKKEPSSSNGDNDYTKVLCSVRCVLITPKRKLAGQLDITRTVMHFSFEFLIEGTGGSSVFSKFKDKKDSDCKNELGNVERLDGCRDSMIETGGALMQNQPNKIKHHRRWNITKIKAVHWTRYLLQYTAMEIFFDDSSAPIFLNFSSQKDTKNAGSLLVSLRNEALFPKGTTKDKNSIISFVDRRVALEMAENSRERWTRREISNFEYLMILNTLAGRSYNDLTQYPIFPWVLADYTSEKLDFNKSSTFRDLSKPVGALDEKRFEVFEDRYLNFCDPDIPSFYYGSHYSSMGIVLHYMLRLEPFTTLHLSFQGGKFDHADRLFQSIESSYRSSLSNTSDVKELIPEFFYMPEFLENSNSYHLGVKQDGEPLGDVALPPWAKDSPEEFIHINREALESEYVSSNLHHWIDLIFGHKQRGQAAFEVQCYFCIIAENIFYYVTYEGAVDLENMDDMLQKSAIEDQIANFGQTPIQIFRAKHPRRGPSIPIAHPLYFAPQSITLTSSVSSTISHMSAVLFIGVLENSIILMNEGLILSVKLWLTTQLQSGGNFTFSGPQEHFFGIGSDVISPRKIGTFLAENVAFGRQCLATMQNNGDNYLILCGNWENSFQIISLSDGRIMQSIRQHKDVVGCVAVSSDGNVVATGSYDTTVMIWHAFRGRPFDKRTRITNFELLEKDHVIMERPVHILCGHDDIITCLFVSTELDIVISGSKDGTCIFHTLREGRYVRSIRHPSGIGLSKLMASQHGRVVLYSEGDLSLHMYSINGKHIVSTPSSGRLNCMELSCSGEFMVCAGERGQIVLRSMHSLDIVWRYDGAGKTITALAVTPEECFLAGTKDGSLLVFSVETPLLRRGSMPRNRIKPSTAG >Et_7B_055644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19447067:19449411:1 gene:Et_7B_055644 transcript:Et_7B_055644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDATLWEAADFLMAFKNQESAPRALVVDDVKLDRIILSAMLRKCNCETTVAHNGKEAVDLFLQGKTFDIVFCDKDMPVMTGLEIHQLDDDGLEDNASREEHVTRECFRYRCHHTPAKLSPFDLNPPTARHGKG >Et_1B_010404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10112187:10115219:-1 gene:Et_1B_010404 transcript:Et_1B_010404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCSKEGVVDAPPAPAPGLVPVGMLQKAPSQSLKQLIIAAKEEDAPVVRAVFSRTESNNANAKTKAKAGSNNNNNNGGAAVAAVAAPPVEKTAPPVVVISSLSKSYSTAGAPTHHRRDTVDIAIGGGGNVGVAGHGGGAQQVISSMPQGFSGEHVIAGWPSWLTSVAGEIVEGWLPRRADTFERLDKIGQGTYSNVYKARDLETGKIVALKRVRFVNMDPESVRFMAREIHVLRRLDHPNVIKLEGIVTSRLSHSLYLVFEYMEHDLAGLAALPGQRFTEPQVKCFMAQILEGLRHCHARGVLHRDIKGSNLLIDDNGVLRIADFGLATFFDPDRRQPMTSRVVTLWYRPPELLLGATEYGVAVDMWSTGCILAELLAGKPIMPGQTEIEQLHKIFKLCGSPSEDYWAKAKLPDVTLFKPQRPYRRKIAETFKDFSPTALALLDTLLAIEPSARGTAASALDSEFFRTKPLACDPASLPKYPPSKEYDAKLRGQEATRQNTTAIGGKGSMSIKPGRDDAKAAPAQDAVADRQVPARQTPHSQLTNVATRRQARANQKSTSHHYSSQEDSVPGFRIEPPAVGRGGPATMQTAGFGSTWYRSDQKSVSRTSSSVRVSHLTSQRSGRSRGTDLHPSSSAARNANSKYNRLDVAEPANTIDRPGSSHKKDVGMRDTSTELHAVSVFRVQAVLTMPTELFQGFGAKNKRIHYSGPLMPPGGNMEDMLREHERQIQEAVRKARVEKEKTNRHHY >Et_9A_061636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14717742:14721796:1 gene:Et_9A_061636 transcript:Et_9A_061636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGDRDHHHHQQQQQQPATAAGGGCGGEAAAEGRGESVKLFVGQVPKQMTEAELAAMFQGVALVDEVTVIRDRATRVSRGCCFLICPSREEADKAVSAYHNKCTLPGASSPLQVKYADGELERLEHKIFIGMLPKNVTDSEMTDLFSKYGNIKDLQILRGSQQTSKAGCAFLKYETKEQAMAAIEALNGKHKIEGSSIPLVVKWADTEKERQARKAQKAQFQSSNMLNANAMQQTSLFGAVQMGYMPQYNGFGYQPQGTYGLMQYPPLSPMQNQAAFQNMVQPVNQGNSIRGANSELSPNSVPRSFNSAPLGSPYLPVPGMQYPGAYPGGSINNRPFGNSHNSIKAANSSANSPTSSASSNPGPQREGPPGANLFIYHIPQEFGDHDLANAFQSFGRVLSAKVFVDKATGVSKCFGFVSYDSPASAQAAISMMNGIQLGGKKLKVQLKRDNSKHSKPF >Et_3B_028209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11884970:11886373:1 gene:Et_3B_028209 transcript:Et_3B_028209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAEDRSPAWWGRRAWSLLSAVRARAPLVQCITNLVSMDIAANALLAAGASPAMVHSIREVPDFTPRCDAVYVNVGTLAEDWLPSMRAAASAGRPWVLDPVAAAASGFRMEACLSLLELRPAIVRGNGSEILALADSSAAASSKFKGADSSHDSVDALEAAKALALTTGAVVAVSGAVDFITDGKQVVGVSNGVPMMQKITATGCAVTALIAAFVAVEPSDALVAAACALAIFGLAGEIGMESAKGPASLRMHLIDALYGLDEMIVTSRVNISMRP >Et_6A_046980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23505364:23506746:-1 gene:Et_6A_046980 transcript:Et_6A_046980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATGMHDFIYLRQMIRSEVASRVLRVTSSKRRVASRAATSLSVRCEQSAKQGGGGTGVWLGRAAMIGFASAIAVEVSTGKGFLENFGVATPAPTLALVVSGLVVGLAVYFLL >Et_6A_048087.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:623650:624396:-1 gene:Et_6A_048087 transcript:Et_6A_048087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDLRPRRHHAAARLVRVHFLDADATDDDSEDDQQRRPDRRRCVQEIDLLSPSSSPSLPAAASRSGRKSRKRAGAGGQREQGRRFRGVRLRPWGKWSAEIRDPGLGTKRWLGTFDTAEEAAAVYDSAALRLRGPHAITNFHHCSPSSSSLSTAVTSETSTDAPPPPPLPVTSPEAESSAASPPSPESSVVDADEEVTGMWFQEEPPLGFMDFALPDEGSLWGSAPAACEFGDLGDLDDLFSPELVAV >Et_1B_013895.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:22783656:22786244:-1 gene:Et_1B_013895 transcript:Et_1B_013895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKLAELQAQLSAAQDDLRSAREHLAAIERDKAQTLEDLALSRRLADDAYGKLDESAAARRRAEEALELERFKATEREQSAIELAQQKDEEWRRKCESIKRRHAEDVASLIAATRELEDVRGELAAAARDRDAATGRADEAKKVADGNAEKVEALVAEVARVKSHLDAQLELKSREAAETIGKLESEASVLRAELQKAKAFEEKLAKAEKLVEGLKVDVAYAKRSEADANQSAQEWKQKAESLETRLDEVSRLNRGNEESLASLKNSFEDCTSMLQDKQSQVLQLKEKLSSLEKEAGEYKDGFLETNRRLDAAKKEASELHATIDALRSEHQLLHEAHQQVLASEKTASAQIAQLTEEKSKLLKELGGTREERDKVKKAVEDLAAALREVSSEAREAKERVLAKQSELDSAQLQVSELKAAMKSAEDRYQLMLDESKYEAACLRKTVEKLGSEAKTSKDEWISKEAGFVDMLKRSDDGISSVQSEMNRLTESLRVAENEVQELKADKTQLLNKLQELEVKAMSTSSTAEEAKAESSQLKDLLSSKEKEVLALNHEVTDLQHRERAALEKADELSKLLAEATARKAQEEEAAKNTEEAKVLLMKLEVEKVQESLKAAECEAKAAKDEKVQLQNKLRLLESKITEASLTSEEAKLSSLRLKEMLDDKDNELASIAQENNDLRAREADAQAKIYELAALLAEATSKKGGESNGVVARSPEKQPSVLRKLMCSPMHNVHDDEPCESNDRTIQMEEIKHVEVETVKQIKCEKENISTVDINSLENSKIIEDDLSKERDDDSESSDDDIESPEDDGLADQMNGLLIHGPTSSFNQEQHIHKKKKALLKKFGSLLKKKAHFTKLTSHP >Et_4B_039439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15741166:15745092:-1 gene:Et_4B_039439 transcript:Et_4B_039439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKVSDFGVARIFGADQTTAYNKKVVGTYGYMSPEYAMEGVFSMKSDVFSFGVLVLEIVSGKKNRGFYLAELDLNLLRYAWRLWKDGRSLEFVDPSIADTSKATEVLKCIQIGLLCVQEQPKRRPTMSVVTTMLASECSTLLPEPCEPAFSTGRNLEDDDDDKAEPEVKACRSDSASSWTVTVVEGR >Et_1A_005307.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:39307514:39307882:-1 gene:Et_1A_005307 transcript:Et_1A_005307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEDQQDGNKVWVLAAGLTLPLRRPLKPIKTGRRRHGRPAADGEEERGEEEEAVEEAVTPKGEGCRIPAEAETCPPAPKKPRTAVTIRDSRRCNCDGDALEFFRVPVDLEVVFASVAAKAN >Et_3B_030409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3567447:3570918:-1 gene:Et_3B_030409 transcript:Et_3B_030409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASRGLLARLRGLSLSGPRVFVPPSRLFSAEPLVSDQDDDDAGGGEGGGAGRIIEARPGVMGPSSVRTGVIGVKCGMSAMWDKWGAKVPITVLWVDDNIVCQVKTPEKEGICALQLGAGQKKEKHLTKPEVGHFRAQGVPLKRKLREFPVTEDALLPVGTTISVRHFVPGQYVDVTGITKGKGFAGGMKRHGMSGMPASHGASLSHRSIGSTGQRDAPGRVFKNRKMPGRMGGVQRTVKNVWVYQIDPARNLLYLRGQVPGPQGSFIFVKDSNFKKPDPNLLPFPTYFAQEGESEVLEPLVADLGDVDPFMAAD >Et_9B_063826.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:16402712:16402927:-1 gene:Et_9B_063826 transcript:Et_9B_063826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHADDGGVRGLCKKRRRKGAAVPAHGDGDGKSGTWSLPRRCARLVREQRARLYIARRCVTMLACWRDSS >Et_4B_038000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25395153:25397777:1 gene:Et_4B_038000 transcript:Et_4B_038000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGTSSGGGAAAAANDPRQPSTAKPYAPPKLSPQDLPIDYAGFLAVVFGVIGVMLRYKACSWIAIIFCAQSLANMKNYENDLKQVSMAFMFAIMGLVTNYFGPPRPGSTKR >Et_2B_022901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7466944:7467949:-1 gene:Et_2B_022901 transcript:Et_2B_022901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRKLLLLLAILTVTITYQAGFSPPGKFWLEDGDEAHHVGDPVLADNYPRRYKVFFYSNTASFMVSIAVILMLVDRNRKLTDANRNHRYLLLNASMFVSLIGLAGLLLAYTAGTTRKVKTSTNVLASVFLVLLVAFIQIHHFHEKLKKFVERKQTDNAIPCSRQTRASTKEAATNPGGSDKTVRYRMRKYLMLVSILAASVTYQDGLDPPGGVCDGHAAGDPALHAFFYSNSTCFMASVVVDRAASGSSIPSKVTHSAVVLDLLGLLVAYAVGRSRDWHTSGYVLAMAACSVLAYVAIYVVLSFANRDKLGDRESGYCQWGRVRERSTLIN >Et_2B_022641.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28919627:28920268:1 gene:Et_2B_022641 transcript:Et_2B_022641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRNNALRIFFLLLVVCAAHAGKPAPKEKSEKSDKSEKSDAAEGPSGSAEASGPGGSFDISKLGASGDGKTESTKAFMEAWTSACGKEGEQKILIPKGDYLVGPLNLSGPCKGPVTIQLDGNLLGTTDLAQYKANWIEIIKVDNLVISGAGKLDGQGPKVWENNKCDKKYDCKILPNVSDLTFCMHVFVVGHPAGRSKRQKPNIAHAELIGA >Et_5A_041996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:535699:538630:1 gene:Et_5A_041996 transcript:Et_5A_041996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAKRSASLKVKRRVGKYELGRTIGEGTFAKVRVAKNTETGEHVAIKILDKAKVLKHKLVEQIKREICTMKLIQHPNVVRLYEVMGSKTKIYIVLEYVMGGELHDIIATSGRLKEDEARRYFQQLINAADYCHSRGVYHRDLKLENLLLDIAGTLKISDFGLSAISEQLKADGLLHTTCGTPNYVAPEVIGDKGYNGATADLWSCGVILFVLLAGYLPFEDDNTVSLYKKISGAQFTCPSWFSAGAKKLISRILDPNPSTRITVPQIQKDPWFKKGYKPPVFNEKSQASLDDVHAAFGDSEEHHVTEEMEGQPASMNAFELISLNEGLNLENLFDSDKVRKYKRETRFTSQCPPKEIITRIEEAAKPLGFDIQKKNYKMRMENPKAGRKGNLNVATEVFQVAPSLHVVELKKSNGDTLEFQNFYRTISTELKDVVWVRDGEVQERSSTA >Et_3A_027271.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:6566657:6567022:1 gene:Et_3A_027271 transcript:Et_3A_027271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAMETISELLGSVDEPVVDDAASMQLERLLFLSGFFTLVMDLGIALYKPPQGVVFGRHRLAYYLTLTGIFAAGLAEIAAAFWLSCYGHALGSFARAVLYASVVPLIVVAALGGFTVLIRP >Et_10B_003227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1826199:1831045:1 gene:Et_10B_003227 transcript:Et_10B_003227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGLWKQGWRWVLSQKHILAWAHTAACGSGEQLAFLVDRHWPAVSRACVSSSRLALAALRQWRGCVARGVLAVASLGPAAVFVILWSFFVCMTSPACALYALLSLGAAGAVIHYMGYTPGLFIVGVFGILIMWMYGYFWITGMLLVTGGCMCSLKHARYVIPVLTTYAIYCVAVRVGWLGVFFTLNLSFVTNDLLNKLLQGYDEKTEERQFEEMKDSDPVMDEFYRSCEFPAAPDSEPETVSSAKPYCSSPTQDMLHVQKEESPSKVVKSDSSSLDEMKRIMDGSTHYEVLGIPHNRSIDQKLLKKEYHRMVLSDFTKKNCYDEQLRKDESRKMTPRSRAVSQQSGVEFLSEESRRIQCTKCGNFHIWICTRRSKAKARFCQDCSQYHQAKDGDGWVENGFSTSLKMEIPRAFVCAESKIFDVSEWATCQGMECKPNTHGPTFMVNMVGADRIPQRSYSSRYPFSLDAEMIPEDEFELWLQQALASGVFADSPKRRKSWSPFKLPQKGIKSWRRSS >Et_9A_062056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19303627:19305311:1 gene:Et_9A_062056 transcript:Et_9A_062056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSSAHWLSLVASVWLQTINGPNADFPVYSSQLKELKGISQIQLNFLAFASDAGKLFGWFAGLAALRLPLWAVAVTGAAFGLVGYGVQFLFLDSAGLAYWHLFLLTSLAGNGICWINTVCYLLCINNFPSDSRVVVSLATSYLGLSAKLYTTMAETAPRAATARYSTTKVYLLLNAVVPMAVTLAVAPFLRVVDLVKDRKRSERPFLAMFAITLATGACAIIGSVGAKSIGFSTREHMVSLYVMLALPLLIPVTMKVRESLAKIRETKWENRVHDHDSDGAEITAVPVVELQVVEEKRQDQEEEQQSGGQEEVGGLRLLKRLDFWLYFFSYMFSGTLGLVFLNNLGQIAQSRGLADVSTLVSLSSSFGFFGRLLPAFLDYYTAKSGYNLSRTASMASLMAPMSVAFFVLLYPKHMVLYASTAVIGTCTGAITSVAVSATSELFGTKNFGVNHNVLVANIPLGSLCFGYLAAFLYQKGAHGGNRCIGAACYRDTFLLWGAMCAVGTALCTVLYARSRGFAGAGRLPR >Et_4B_039348.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1143877:1144662:-1 gene:Et_4B_039348 transcript:Et_4B_039348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATTRRGAAGALPAKKTTKKQKAAARGFMCGCGGSKAVSTVSCAAAANISASVAAAATPTKISTPAVKPATAEKKTVMPGYRDAGKDEAAEGATSVDGLLQQLRELEQGVRALGVREQREVVSDVGDAPPRTTRPRHRRSATEWGGGGWVEAESVAVVTESADPLGDFRRSMVQMIVENGITGGAELRELLQRFLSLNSRRHHHLILRAFADVWEELFAGGGRAPPPHPPAAAEFSYYYGSRASKRPCIQHANYSPVCKR >Et_3B_031728.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:8997039:8997320:1 gene:Et_3B_031728 transcript:Et_3B_031728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGVYGENEAQPRVWPSIPEATYMLTRYKAKCEQRKRDMTYEEYLRNRIAKLKEYMIKKDQENRERESETLILLLEYMAGRRPGFAGINKRS >Et_3A_024183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18157863:18160549:-1 gene:Et_3A_024183 transcript:Et_3A_024183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFLCARAAAPALLFSRRVLSRRLAGISCAAASSSGQGAGGVEFTGKVGFLGLGIMGTPMASNLIKAGCDVTVWNRTKSKCDPLLSLGAKYQPSPAEVASSCDVTFAMLADPESAVEVACGANGAAEGLAPGKGYVDVSTVDGASSKLIGESITSTGASFLEAPVSGSKKPAEDGLLIFLTAGDESLYKRVAPLLDVMGKSRFYLGDVGNGAAMKLVVNMVMGSMMVSFAEGLLLSEKAGLDPNTLVEVISQGAISAPMFSLKGPSMVKAAYPTAFPLKHQQKDLRLALALAESVSQSIPTVAAANELYKVAKSLGLADEDFSAVIEALKVKAQVSE >Et_2B_020211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1825868:1834560:1 gene:Et_2B_020211 transcript:Et_2B_020211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMEGRRGIPALLKSPSPAEIEAGFLQEHIASEITLLVGWTPLIELKRITKNDNAEEKGLISPDATTLVEPTSGNMGIGPAYIAVLRGYRFIAVVPAEYSLDKHILLRYLGAELVLTVSKLKKDIPNVHVLDQFANAANPAAHFKCTGTYSYTLCPNYERNRPEIWKDKAGKVDTFVAGSGTGGTVSGVGKYLNMKNPAVKVICVEPAESPVISGGKPSRHNIHGVGPGFVPNNLDLSLIDEIITIATEDAMENARRLAREEGLLVGISSGANLAACLKVASREESKGKMIVTMFPSGSERYMNSDLFAALIGWTPLVELKRISSKVGIDARIIGKIEAYQPLCSVKDRSALRMIEDAEERGLISPGVTTLVEPTGGNMGLGLILVAILKGYKLVAVMPSEYSLDKQMLLRYMGAELFLTDPALGFPGMYAKVEQLKQELSNVHVLDQSTNQANTEAHKRWTGPEIWKDTAGKVDIFVAGSGTGGTVSGVGKYLKIKKPGVKVICVEPAESPVISASMAGEESGRKGIPSLLKSSSSPSDAGVGQEHIAADVTQLIGWTPLIELKRITSKDGIDARIVGKVEAYQPLCSVKDRSALRMIEDAEERGLIKPGVTTLVEPTSGNLGLGLVLIALRKGYRFVAVMPGQYSFDKQVLLRYMGAELYLTDPALGFPGIADKVEQLKNELPNVHVLDQFSNKANRDAHIRWTGPEIWKDTAGKVDIFVAASGSGGTVSGVGKYLKMQNLDVKIICVEPAESPVISGGEPGKHKIQGIGPGFLPEVLDTSVIDEAVTVTTEEAMVNARRLAKEEGLLVGISSGANFAACLKVASREENKGKMIVTMFPSGGERYMNSDLFAAVREECIAMTF >Et_7B_054329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2030268:2043507:1 gene:Et_7B_054329 transcript:Et_7B_054329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLLLHLLLVAVVARAPAAHAWGTEGHYMTCKIAESFLTEEASTAVKGLLPEWAGGVLAETCSWADDHRKELPWSTELHFADSEGGCLFNYTRDCHNTKGEKNMCVVGGINNYTAALEDSSSPYDPTISLMFLAHFVGDIHQPLHCGRTTDLGGNTIRVNWYTNATQTNLHKVWDVNVIETAMKDFYNDDLNTMLNAIMLNITHGWSYEEKQWEACHTSKTTCADRYADESAALACDAYKGAEQGSTLGEANCSRRCETRCNTEHDLQWEQKAAEQMGMLLHLLLVAVVARAPAAHAWGWQGHYMTCKIAESFLTEEASTAVKGLLPEWAGGVLAKTCSWADDHRKELPWSFELHFADSEGGCVFNYTRDCHNGKGEKNMCVVGGINNYTAALQDCSSPYDPTISLMFLAHFVGDIHQPLHCGHASDLGGNTIKVNWYTNATASNLHKVWDVNVIETAMEDFYNNDTTTMIEAIKMNITHGWSSEANRWKACHSRKTTCAYRYANESAALACNAYQGVVNGSTLEDEYFFSALPVVQKRLAQGGVRLAAILNKIFSGKRRLQSTRMGLLLLLHVLLVAVVARAPATQAWGKEGHYMTCKIAENFLTKEASTAVKDLLPGWAGGDLAETCSWADTQRFRYKWSSPLHFADSEGGCKFDYARDCHNRQGETNMCVVGAINNYTAALKDSSSPFDPTESLMFLAHFVGDVHQPLHCGNADDLGGNTIIVHWYRRKSNLHHVWDVNVIETAMKDFYNNDMGTMIKAIQLNITGEWSNEEKQWETCRTRTKTCADKYADESAKLACKAYEGVEQDSTLEDDYFFSALPVVQKRIAQGGVRLAAILNKIFSGNRRLQSSVTGSNTWKLHRSYDKSNRDKQRLMKLIKFPWDARFSISRGLEAACAWAFSASLAESDLVTTFRDQKSSRSPVRFCPPARFAVRLRPEFSSSSSSESSSESSSESPPDAGPAAWRGRRLRAKR >Et_3A_025417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29711905:29721792:-1 gene:Et_3A_025417 transcript:Et_3A_025417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQALLATLAVVALFAALPLAESQGESSSYHVCTSKISSVYGARGRPSRCAATTAVSAPGANRRPASARTFRPVGATRRARTARSLPVATAPHSSSARTSSPTSASAAARRRMLLITLAVVAVLTTLPLLESKVKEGKSMKPQALLITLAVVAVLAAVPLAQGLAIQRGAKAIPRPCCDKCTNVCTRSFPPKCKCMDTFPTDCPPGCSVCDTFIDRKGATLNQCQDFMFCKKDSASNGCTPMKPQALLITLVIVAGLTILPLVESQGEKSLFFLDANMVHFSKKTRFTSGLPKPGQWPCCNNCGICNFKFPPDCFCSDAAPGGCHPACKNCEKFTSSNGATLFQCQDLKTNFCQRRCTPT >Et_5B_043092.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:23285928:23286449:-1 gene:Et_5B_043092 transcript:Et_5B_043092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLLTSPCPRAPLLAAVPNPSTRALPRTLAFPAAPLPRGLRASPLRPRAAAEAAASAFGGLLASPLSTLEAALRNVNLAPLRAPVAAAMSAAVRWLGVYREVLLVGVLLSWFPNIPWDRQPFSALRDLCDPFLALCREVMPPVFGRKLDLSPLVAFMAIDIIIMILRPQPRM >Et_6A_047781.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:1596328:1597296:1 gene:Et_6A_047781 transcript:Et_6A_047781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSLLLPSAVRDLASCVSDGAVRVACTTPSSTRISSFSSASSTSPSTLSVAVSYRAIPRSPSAAPLLLRLVWTHTPAGGPPALSFAASASSPAVLLRRRKGTRSLPAADHPPLALFWDLTAAAYGASPEPVSGFYFVAVAVDAAEVVLAVGDLAAEFVKAKFEGQIPKARFLPVARTDRVVAAAAGHHHATSSNAMHTVRVRFAEGGAEHEVSVGCCSTSGGSSSGRQGDEELWVAVDGKRAVQARRLRWNFRGNQTVFVDGAPVDVMWDLHGWWFRDPPGCAVVMLRTRSALESRLWLEEEAAAPGFALVVQAFKTPP >Et_3B_031337.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26054658:26056427:-1 gene:Et_3B_031337 transcript:Et_3B_031337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVFGGGVAAEVLTAQFARQVLTGRWFTLFACLLILSASGATYAFGVYSPLLKSALGYDQRAVATLAFFKDLGSNVGVPAGLLNEVAPPSAVLAFGAAMNLAGYLMVYLSLAGRVARPPVWLMCAYVCAGANSQAFAGTGALVTCVRNFPERGRGAVLGLLKGYVGLSSAVLAQLYLALYGGGGGGDAKSLVLLIAWLPSAVSVAFLATVRVIPPDRKSAADGGGGGGGVFFCLLYISVALAAYILVMIIAQRQASFSRAAYAASAAGLLVLLFLPLVVFVKQEYRIKKELEVEESLREAPPVVDKTAEAAASTDKPPPPPSSSCLGSFLRHAFSPPAHGEDYTIPQALVSVDMLVLFVAITCGAGGTLAAIDSMGQIGQSLGYPPKTTSTFVSLISVWNYAGRVAAGYASEALLRRLPRPLALTAVLLASCAGHLLVALGAPRGTLYLASVLTGFCFGAQWPLLYAIVSELFGLKRYPTLYNVVTVASPVGVYVLNVRVVGRLYDAEAARQHGGALAGADKACVGVECFRRSFLIITATTVAGALVSLVLVWRTREFYRGDIYAKFRAAAESPEGGGSATVAEQRPS >Et_9A_061879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17477574:17483192:1 gene:Et_9A_061879 transcript:Et_9A_061879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKVSAVDKSPSDSTLGRNQVADHAPGAVELDKPPPPPVSLEDEAAKQPEEQQQQQQSFSFLESVVPGLSYQGGASAGGDAVSRASPQLSRSLSQKAGLGKARGGAAKVSEMSSILGRVGTTGLERAVEVLDTLGSSVTSLNASSGFVPSSAVKGNEICMLAFEVANTIVRGCNLMRSFSRPSVNHLKEVVFHSEGVQHLISKDMDELLKIAAADKREELEILSKEVVRFGNRCKDPQWHNLDRYFEKLASEQIPPCHLKEDAQSVMQQLTSSVQRTSELYHELHALDRYQSEYHSKQKEQDGSTSKGDSLHMLKQEVKAQIKHVKSLKKSSLWSKNLEEMMEKFIDIVHFLHLEMYNAFGCADTEEPQEPAKHHNRLGPSGLALHYANIIDLIDTFVFRPSAVTPIMRDTLYRGLPPSIKSTLCSKLCSFKLKELTATQIKAEMEKTLQWLTPFAHNTKRAYHGFGWVGEWANKGSGMDYKLSGQMDFTRIETLYHADKEATEAYILELVVSLHHLISKSRNDNGGVRSSIKSPVSSLTQKRTTITLLPGETDNSSPILTQEDQDMLRDVKYRKFIPGISKSQEFDTKPRHSKQSRLSKSSSHSPASGNREDLFSVMRLSMLPVVDFETDRTKALDLIDRLDDIKVQ >Et_1B_011644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24559022:24560147:1 gene:Et_1B_011644 transcript:Et_1B_011644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCNIIAVAAVAIAALVAGGSCQRPDVPPGPNITTVYDDTWLSAKATWYGQPTGAGPDDDGGACGIKDVNLPPYNGMTSCGNLPLFKDGKGCGSCYEIKCNNPEECSDTPVVVFITDMNYDLLSPYHFDLSGKAFGALARPGLEDKLRHRGIIDLEFRRVRCAYPPEQKIVFHVEKGSNPFYLALLVKFVANDGDIVQMDLMEKETQEWMPMKLSWGAIWRIDPNRPLKGPFSVRLTSESGKQLVATDVIPEDWRPTTVYQSDIQF >Et_7A_050382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11689495:11691747:-1 gene:Et_7A_050382 transcript:Et_7A_050382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLYVRVVKARGLPQGSVVEVRVGNHRGTTRHCEKTGNPEWNQVFAFSRERVEAAALEVLVRDKASECVLGRVVFDVGEAPARVPPDSPLAPQWYRLHDGKAMAHGGEVMLAVWVGTQADEAFADAWHGGDGVDAAAVQSTRSKVYVTPKLWYLRVGVLEAQDKSGRHAAGEVVVVAKVQVGGVVLKTRPCAARSLLTWNEELVFAVAEPFDEPAVLIVEARGHPGKKDEIVGRAVLPLTIFEKRLDGRPVPSQWFTLEPFGRPVRPPPETAAFATGRVHLRACLEGAYRVMDEPAMYASDTRPTARQLWRPPVGVLEVGVLGARGLAPMKTAADGRGASTDAYCVAKYGQKWVRTRTVVDSCSPRWNEQYTWEVYDLCTVLTLAVFDNCHLDNNGAVRDQRIGKVRIRLSTLEMDKVHTTTHPLMVLHPSTGLRRNGDLRLAVRLTCLSLGSVARLYGQPLLPAAHYLRPFTAPQLDALRRQAASLVAARLARAEPPLRREAVEYMLDADAHAWSVRRSRANFLRVTALLSFAAGAARRLADVCRWKSPAATVLAHALFVTLACFPGLILPAFFLYMAFAGLRNRRRRPRGPPHMDERLSCAEQAHQDELDEEADTFPTSRPGAVVRARYDRLRSVAGRVQAVVGDVATQGERVRALLAWRDPRATALFTALCLVAAVVHYVTPPRVVALVAGLYALRHPRFRGPMPSAAGNFFKRLPSRADTML >Et_5B_044762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5528346:5533420:-1 gene:Et_5B_044762 transcript:Et_5B_044762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKGRQRGDTLLVLPTSAMVKMDNESSYEAEMDEPLGRVTILSYGSGHMLNDITSSCWFTYLLVFLTDIGLTPSDAAVVMLSGQLADGFATIFVGELIDRYGHFKLWHAGGSILVAISFSSVFGTCVPCKLTGTNSATLETVGYSTFAAIFNVGWAVAQVAHMSMVNCMTSNPTSRVSLVSCRNAFTMYRWIAYVSISLGSCFVALFLIGTKEPGSNQHCQDKSVSRISWAYWFKKVLYYQVALVYTLTRLVTNVSQAFLAFYVINDLEMHQSSKALVPAIIYISSLIVSVILQETKWSSWRLRNYFSAGAVLWILSGLGIIVLPSSMHNFMYAISIIIGVANALMTVTSISMEGVLVGEDLNGCAFVYGSLSFADKVACGLALYMLESYQGTTMIKSNLGTAFGYSVTRLGLGLVPAACSLLSAIVTYTMDLPDTRQRPLVEPLLA >Et_9A_061962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18386643:18390828:1 gene:Et_9A_061962 transcript:Et_9A_061962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGGGYGGGGGAEHHHHLLLGQAAGQLYHVPQHSRREKLRFPPDPADSPPPPPHTGAWPPPSFFSPYASSSTSSYSPHSPHNAHQLAHPQLVGHALPVGAGAQIPSQNFALSLSSASSNPPPPPPPAAPRSRPHHPAAAGAATGPYGPFTGYAAVLGRSRFLGPAQKLLEEICDVGGRPLGADRRSDDGGLLDMDGGDLDHDMDGGERAAAEAVTVSEPEQQWRKTRLISLMEDVCKRYKQYYQQLQAVISSFETVAGLNNAAPFASMALRTMSKHFKCLKGMILSQLRNNSKVASDGIGKEDMANFALMGGGSTLLRGSNANAFNHPHNIWRPQRGLPERAVSNWFINARVRLWKPMVEEIHNLEMRQVHKNSSLEKNQFGKQQAQHSSDSSGKPSDPSSSQRGQSSGMTRNLSSPASRQIQDELSHIPHDMSGQGVSFAYNGLAGHHSLALSHPQQAEGISLGGAANSGVSLTLGLHQNNRTYIAEPLPAALPLNLAHRFGLEDVSDAYVMGSFGGQDRHFTKEIGGHLVHDFVG >Et_4B_037256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17248390:17255401:-1 gene:Et_4B_037256 transcript:Et_4B_037256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETEGAAGGGGEARPGGKGKGRRKGKGKRLGADKAFAASAAAMGGVAVGDRNDGETVDNLVKAKRVKKNDAGKKKGTRKSKMEQVDIKGKFPSGKSHHQINGDDAEKVRGKNSKGDEVSQTANKRKQQHTGKNVATKRLKVGGEQKKASPSEDKQCAENSKKGKKMLLGENARMCHQCQRNDKERVVWCNLCRNKRFCVPCIQRWYPDLSEEEFAEKCPYCRKNCNCKLCLRMRGVEEPPKKEISEETQIRYACHVVCLLLPWLRNMRHEQMEEKELEAKINGILMNELDVENAKGSVDERIYCNKSCQSCFYDLCLACCREIRSGEIPGGGDIKIVQDDTDRGRDYVFGTTPKSSSAVPCNDMTSSEGTNVPLPMWKAKSNGSIPCPPKERGGCGGAVLDLKCLFPDQMLASLEERAERVVKSEMFAKAIIQRSDLCPCFDHSGKVRARNVREAANRKGLRDNYLYCPVATGIKEDDLAHFQLHWAKGEPVIVSDVLQLTSGLSWEPLVMWRALREKKTNGNITDEHFAVTAVDCLDWCEVEINIHMFFVGYMQGRMHPKTYWPEMLKLKDWPPSSSFDQRLPRHGAEFISALPFPEYTDPRYGPLNLAVKLPDGFLKPDLGPKTYIAYGFSQELGRGDSVTKLHCDMSDAVNILTHTAEVSYDTSQLRKIEMIRKKMRQQDLQECYGVLESDTKDALFSKENQDKAEHQRTGGALWDIFRREDSDKLQVYLRKHASEFRHIRCNPIKQVIHPIHDQTFYLTEEHKRKLKEEYGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCIKVAMDFVSPENVDECIKLTEEFRRLPSGHKAKEDKLEIKKIALHALQEVLDFLDRSSSDGLKSGAGLPKHKDAPADEKRPKNKGAGQGDLTGQADKSNDEPVDGNPPKRQSGRRRAGLTTMPNDEAAGEEQHGRRCRRPRDDLDSQVDKLDGESADEKNSQRPGDDPKSADGKADMEAANATIAKRRGRPRKCPKPEK >Et_1B_013091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5420997:5424954:-1 gene:Et_1B_013091 transcript:Et_1B_013091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKAAAAAISAGATAVLCSERAHAEGVAAFRFPGFSSPAAPPPAPATQPSPAPADGRRGEAPEEEPRVSNQHARTSAAGFDPAPLERGLAALNELNKAQNPQKLFELMYKQEETRQQEIAAKKLEDQKILAQIEMEKKQVDYEEKKKAAQQNAQVKSQILKYEDELARKRMQAEHEAQRMRNQELVKMQEESAIRLEQIRRATEEQIQALRRKTEEEKAVIDRETDRQKAFAEAEAKAIEKRKSLDVTRRLLEDKFKAETEKWVQVINTTFEHIGGGVKTILTDQNKLVVAVGGVTALAAGIYTTREGARVVWGYVDRILGQPSLIRESSRGRYPWSGSFSRAMGTVTSKLKNGSNPGNNGNGFGDVILNPSLQKRVKQLANATANTKLHQAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSNRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEEERFKLLKLYLDKYIVKAGDKHEKSWLRFFRRQPQKIEVKGVTEDLIREAAAKTQGFSGREIAKLMASVQAAVYGSKDCELTPALFREVVDYKVAEHQQRRKLAGAEH >Et_2A_018682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4996042:5002712:1 gene:Et_2A_018682 transcript:Et_2A_018682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKRPSTHAMDEAAAAAARLDAASPRSNKSRSKSRSRDRRRSPNPNPSSRRRAPEPTPVSAPRKSDRKPKPRAFPDSTTLAAAAAAAAASSSSVSRGAAQKLWSDADEVALLTGAAAFKERSGVAPRLPDMGDLYEFLRDSIAPHLDQAKVYYKLKRLKSKYQHSDLGATSTPHDRLVRDLSADLWGEELAPPAEDGAPAGEDGERLAEDGADVEEAEEVNAIQVHTSARGKRPVEDEPESARLPIVKEVLGEYWKLNGQALSGVSLEKGLSLLGPDEAEVAEAKWRRQLEADMRMQMRRHDLGKESWMKTIAAGPCNQ >Et_5B_043920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17902052:17906346:1 gene:Et_5B_043920 transcript:Et_5B_043920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAASCLFLALLSACLLALGGADGAVGDGDGAAMQALRRELAPPGWGPGADHCAWRGVTCAGGAVTAIDLPRRGLRGDFSAAGALPALARLDLSANSLRGGVPPALAALRRLEFLDLSMNALTGAVPAALGGATALRFLNLSNNALSGAIPDELRGLKELQELQISGNNLTGALPVWLAGLPGLRVLSAYENSLSGPIPPGLGLSSELQVLNLHSNALEGSIPGSLFELGNLQVLILTLNRLNGTIPDAIGRCRGLSNVRIGDNRLSGAIPASIGDATSLTYFEANGNDLSGAIPTQFARCANLTLLNLAYNRLAGEVPDVLGELRSLQELIVSGNGLGGEFPKSILRCRNLSKLDLSYNSFHGNLPEDICNGSRMQFLVLDHNEFSGVIPQGIGGCTRLLALQLGNNNLSGEIPAEIGKVKSLQIALNLSFNHFVGPLPRELGRLDKLVTLDLSSNEISGQIPGDMRGMLSLIEVNLSNNRLSGAIPAFGPFQKSAASSFSGNAKLCGDPLDVDCGSIYGSSYEVDHRKMSYRVALAVVGSCVLIFSLVSLVVALFMWREKQEKEAEVKKAEAGEVVVAAPQVVASTIFIESLQQAIDFQSCVKATFKDANILSNGTFSITYKAVMPSGMVICVKKLKSVDRAVVHHQTKMIRELERLAHINHPNLVRPIGYVIYDDVALLLHHHMPNGTLLQLLHNDGNTEGENQKPDWPRLLSIAIDVAQGLAFLHQVATIHLDISSGNVFLDSHYNALLGEVEISKVLDPSKGTASISAVAGSFGYIPPEYAYTMQVTVPGNVYSFGVVLLEILTSKLPADEGFGEGVDLVRWVHTAPTRGETPEQIMDPRLSTVSFAWRRQMLAVLQVAMLCTERAPTKRPKMKKVVEMLQEAKKRSVAAQFGKKMMYQFSVSSVKLKPQKRCYRLIFHQLQPPSALEWTWKSKRLTKPKVSVGSLSLIESTSELASK >Et_1B_011692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25027134:25031047:-1 gene:Et_1B_011692 transcript:Et_1B_011692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLTVEAAGTAASALNGAVDWWRDVNESPLWQNRIFHALAVLYGLVSVIALVQLIRIECRVPEYGWTTQKVFHFLNFLVNGVRSIVFVLRRNVQLVEPEIIQHVMLDMPGLAFFTTYALLVLFWAEIYYQARAMSTDGLRPTFYWINAVVYAIQIILWLVLWWKPVRVMVILSKMFFAAFGFLLYGGRLFLMLQRFPVESKGRRKKLQEMCLNAFDKAADLDVLNHPILNFFYYLLVEIVPSALVLFILRKLPPKRGITQYHPIH >Et_4B_036088.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:22583881:22584609:-1 gene:Et_4B_036088 transcript:Et_4B_036088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLKRELQRKRQLLDSDFGGRKLIRRAEIEAREIQRIREAERQLQLQKQLRSSGSHQASSPSGSSLPASDASRAENGPNDQAESLPREEVIRRLRLLRQPATLFGEDDAARLRRLHDVLEDPAALADVDAAEIGEGQTNDFLRDIQALRAKAAAAASKPKAGAEAQRREGDGEQREVAFEELCDEDKIAAFFRRLMGEWSQEMDEMPEAERRMAKGKAAVATCKQCARYLEPLFKQCKKKVW >Et_6A_046235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:10272629:10280716:-1 gene:Et_6A_046235 transcript:Et_6A_046235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTETHLFPDTHGDLSGIGIHGELPTLVLTTFFDAPNNRRVLWAWVNESDSQADDFARGWSGVQVFPRKVWLDKENGKQLLQWPIEAIKSLRKTPVCVKKTVLNAGAVKEIVGVGGSQVDVEVSFRIQSLESAEVFKDANELMDTQRLCLEKGAAVAGGVGPFGLIVAASADLREHTAVFFRVFKYNATYKLLMCTDLTKSSTRAGVYKGAYGGFLDIQEEEHKKIELRTLVDHTMLESFGAGGRTVITARVYPENTATNSSHLFVFNNGSDAVRVSKLQAWELAPASVNVVDNGLLRASQASQ >Et_10A_001997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17494944:17495809:-1 gene:Et_10A_001997 transcript:Et_10A_001997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPADGAHNRSRMGKRRSQRETQAQPLPLDLVLEIAARSDPTTLVRCTATCKDACRRVADHDGLRLRHADGRFVPSLLRGHLFWNSARDLYLVDNATKHATKLPIATPSPALFHHVDELLHVFCPASGRRMQILPHPPFDGQYILLVSDDDDNDGGGDGTFSRFRVVKVKSTSWNGKRHDIQFQTFSSEHGGWGQSVKVRIPYKHGALLRPEPLVSNGALHWVCRSDKLYYIVKLHVDSAEVTTMKLPGSFHREYARVGAARKQLLLVTTPS >Et_1B_010792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14138726:14139928:1 gene:Et_1B_010792 transcript:Et_1B_010792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LKIHYEGQRLWRPILRDDHAFIHCAIWSFSIACTVSVNESKLHLIFCMSGHCDYWGGWKECHCCGDGDHKENCYHTMDECRAKCPNCNPTCPPQLHIQSAMEGLPVQALRNGTLHKETIALIILLQMLEYLQCKDSSISPGLAG >Et_1B_011530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23240356:23243411:-1 gene:Et_1B_011530 transcript:Et_1B_011530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGMTPATADAVEELTRLYRELPPRPAVEEVEAAVAVLASADAEEEARLAEIAREEAAARARAGAGEDAVPAELVAVLGEARRNAVRLRALQQRKEAAHVLELERRFKVFDDLIQKASLVVSPGEGGGDGRVGAVADDDVVKVEAKRKKEATVAAAAVEIDRGSKGGLGLESKAVSSLRRASSAGSDTEKLSLIQVASLIESSAKKGNTELNLRGKLVDQIEWLPVSLGKLQDVTELDISENRIMALPSTIGSLRYLTKLDLHSNQLINLPDTFGELSSLIDLDLHSNQLKTLPASFGNLMNLANLDLSSNMLRVLPDCLGKLTNLRRLLVETNELEELPYTIGSCTSLVELRLDFNQLKALPEAIGKLEKLEILTLHYNRIKGLPTTIGSLSRLRELDVSFNEVEVIPENIGFATSLVKLNVSRNFADLRTLPRSIGNLEMLEELDISSNQIHVLPDSFGLLSKLRVFHADETPLEVPPKEVVKLGAQEVVNYMAEMVAAREGGQKRTDGRSFWSWLCSLFGCCKKGQGSGSVPV >Et_10B_003862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6917799:6922779:-1 gene:Et_10B_003862 transcript:Et_10B_003862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVELILWLFSFASVMLICLSDLEYDYINPYDSSSRINAVVIIEYGLQGALCVSFLLTLHWFPFLIMAPVTYYHVKLYMARKHLVDVTEIFRQLNGEKKYRMIKLAFYFCLFIITIYSFYFAILEMTDSKAPTRAFTLLFLVFTSLLAVSSIILLYSLWLFFFSRVF >Et_3A_024523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21676696:21679883:1 gene:Et_3A_024523 transcript:Et_3A_024523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQSDSSVDPPPRPRGCCRACASSLCKIAKGLLQFGIVFTIFVLIILGLVDEESSFSAKIVLESVSFPAIVCLYIYSSSSRKERIVSGIFLGVLAVVIVIAAPSAKGGAHIAIFVCNFIALLAYCVWRFWALVQACWRRHVRPEATSPAVPPLLPVVQLSSEEVLGGCGTPVQAQHAAFRIEELPREFSHDEIRAVTGDFGTKVGRGGSAEVFRGVLDDGTAVAVKRIINSKAVVGEADFLREVSIVANVHHRSLVRLLGYCLLRGGEGGEGQGQQYLVYPFFENGSLDWWLFNGEERRRLLPWPTRRRVAVDVARALAYLHHECHRQILHLDIKPANILLDGGLRAHVSDFGISMSIAWDLTSVDTCGRGTPGYMAPEIWANALSAKSDVYSYGVTLLELVAGRRCFDRTGGGGGVSAFEKPDLFPSVVWEKTARGELMEVADAAMARVDEAEVEAVVKVALCCVQPRKEKRPNMLAVVDMLEGRVAVDLPPESRLSIVNFAGAEGNLERHEVEMVTLACSKYTTYSTNNAHGKQVLSRSEASDNMRDGLSYNA >Et_4B_037918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24847444:24848031:1 gene:Et_4B_037918 transcript:Et_4B_037918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCLDLEIQMQIRMINQTCELAFLYASTVVRYLQRDYIRPGRAGFLPYVLEFGVALLGFWGFANNLIYRARDAIDSRYARRRRRDGI >Et_8B_060453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16863824:16865124:-1 gene:Et_8B_060453 transcript:Et_8B_060453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAPALVQLLVSVSLNHSPKSSGSVVRTKLDTSPRLIAGMPGIQSIMAVSRNVHCELRWFTQFHRKKKEDDDDLH >Et_4B_037795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23398697:23410288:-1 gene:Et_4B_037795 transcript:Et_4B_037795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAVAELLYGMPLLRSMAPGGDGGAEPGGCSALLAELKQLWGEIGKSREERERMVRELEAECMRVYRRKVDEATGERAMLHQSLAAGEAEIAALTAALGADNSPQLKVNKWTMSLTERVSSATSLLEELRAMKAERSKQFADIRSEIEKISAEIAGRSYGYDCSPRASEVEEHDLTIRRLSEYKTRLTTLQNEKSERLHKVLEHVTEVHSLCDVLGEDFIAIVNEVHPGLHETTDPGKPTSISDATLSSLAQVVAMLKSEKAKRAAMLREAVVPLVDLWELMDSPEEERRGFRKVTAVLSPDKEEVLASGVLSVATIKKTEEEVERLTRLKAGRMKELVLKRRLELENICRSMHVEPDASTVPEKSIALIDSGLVNPSELMASIDQQIAKAKEEYQSRKEIMDKINKWLLACEEEKWLEEYNLDENRFNTGRIARLNLKRAEKARLIVTKIPAIVDNLMSRTLAWESERKKPFLYDGARLVAVLEEHKQARLRQEEERRRLREQKKLRTLLSEKEAMPHLKRPGSSFGRTAEPCNVNRKRVDAVRFTPSAPSVRSGASSSGGSSAGAASQQWRRRCSRAAQAALVACREWTLNVDAWMKKRADAAPPNLLADERDDLVLLQLSLRRVLASPTARPRLLPLPHAVVAHSGSSVCVISDDRPKSRSPPASDLLDATKTLGLPVSEVIPLSTLRTDYRPYESRRRLAGSHDLFVADRAILPLLPRVLGKAFYSTKKAPIGVDFTRVGWPEQLRKVLGSSFLYLRTGTCSGIKVGRLDMEEEEIVENVIAAVEAAVEKVPKKWANVRALHLKAVDSVALPIYQAVPELGMKIEVPSIPQLEGQIAAKEESGKRMRNKKKRTEDVVMQEDVQEEPEKKKRKVPSDKGQKVGKKGGNALLKRKQSLTRTVRRARVGLQRKNSASVGHGGEFKGARRLSAPASYNYVAVHKGGSSMSSSLALS >Et_6B_048334.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:13096710:13096889:-1 gene:Et_6B_048334 transcript:Et_6B_048334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTDELQGLMDQYYAVVKPAGEGRFLFDGVRVKGKHTPEDLNMVNGDKIDFFIDLTGG >Et_10A_002020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1965247:1967853:1 gene:Et_10A_002020 transcript:Et_10A_002020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQELNLELTLLHRSISPEPQGVFVCSYCDRKFCNAQALGGHQNAHKYERSLAKRRREMAAAMRAHRAAAAGDVVAAAANKRARMMDEKPCAAPVSAPSPSNTNRSSEDGYGVHRDDELDLLGDQCKIKLAKLIHGEKC >Et_5B_044340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22942630:22946817:-1 gene:Et_5B_044340 transcript:Et_5B_044340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRVKRKASRRQTAPPATRPIPAAAQPSRASSERAGGRLDGGEVMMVRRSCVAPCVTCGLCGGILRDATTVSECLHSFCRKCIFQKLEDEDINCCPTCTIDLGCAPLEKLRVDHSLIRIGLMVFPAKRRRVEEISPSDPALVSPGSPSHPVLEGYSSANKTDAYMMGEPLNVETETEAGERLGMEAIAGLLIVTVERERRIEVAAEFTVSSVVEVAAAESAALPPVASQSKTPELELENTTRTSETFEVYMGRRKALEAENARLRGELENEKLNKVAAFEKIRVLEEKLRTQSERLQTQSEIAEKAETAQRQLLQDYLILESEIDAWKLLFSDYQNLKSDFLAKSEEHATLLYNIDNLEKEKTSQRSARIFERLEAYVGRDQDSRAKNAKELDDEKAKQAATLERARTLEEMLRRESEVAQRTEAALRQFLMDHRALDLALSEENE >Et_4A_035815.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:6697225:6698364:1 gene:Et_4A_035815 transcript:Et_4A_035815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRARRRRLQAESSMRLDAAEERRDWANLMPDLVGEISGRLLSLDVAEYLRFRSVCKPWRDLTDDPRAGGAGALLARRFRPRNWMLLSIAPDPSPRRRLLNLATAASLVVDLPALPAHCHLCAADGLLVLYHRPTKRVRLLDPLSSNALTEFPAISRSNIVAPAPPRFHFQLDYSVFRGYEIYLPDLIDGAGFDDSTSPPTLVLCLRGAVFNIVVAKPGDAHWTLVRPGQGCHRLFISYLGRITFHSVLSVGGRCYFTSPEGSVYLLQLLPVPQLVEIVNQRNLFKELDKAIQHRYVISFLVSQGNCGRMLMVRYLNKMESLVCGAAYEPTELFTVAGVTGRMEMFEVDLAGRRLVPLRSLGHHAVFVGDTHCVLLST >Et_4B_039445.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1676006:1677277:-1 gene:Et_4B_039445 transcript:Et_4B_039445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLMMILCSVASGLSFGHSPACVMGTLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQVFGILAAGAVAIGVTALFRHKFPAPPYAVDPAASTPPQADLVWRVILMFGAVPAALTFYWRMKMPETARYTALVAKNAERAAADMSKVLQVEIAKEKAEIAAAAASQSQCKQQSFGLFSCEFVRRHGVHLLGTTSTWLLLDIAYYSQNLFQKDIFSAVGWIPAARTMSGLDELFHIARAQTLIALCGTVPGYWFTVAFIDVFGRFKIQLVGFLMMTAFMLGLAVPYEHWKDASNHTWFVVMYGFTFFFANFGPNATTFIVPAEIYPARLRATCHGISAASGKVGAIIGSFGFLYLAQNQDPAKTAHGYRPGIGVQNSLFALAACSFMGFMLTFLVPEPKGKSLEEMSREDAQP >Et_1B_012725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34713967:34716966:-1 gene:Et_1B_012725 transcript:Et_1B_012725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPTTAAIAAATAAEDEARLLRLEEQAEHGGGGAWEYLCLARRLRARRPAHVLKIGLDLLNNASARSRLASEQWTLYEQVAVAAMDCQRLDVAKDCIGVLLKQFPGSNRVARLEALLFEAKGEWAEAERAYALILENNPFDQIVHKRKIAIAKAQGDMSLAVDYLNKYLEIFMADHDAWRELAETYVSLQMYKQAAFCYEELILAQPTIPLYHLAYAEVLYTMGGLENLQTAKKYYASTIQLTGGKNTRALFGICLCSAAINQLTKGRNKEEEGSELQSLAADALLKDFKQRAPSKVPLITSMLKSMKLS >Et_5B_044683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4678799:4682379:1 gene:Et_5B_044683 transcript:Et_5B_044683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVAVSAAEGAIHTLLGKLGAVLVQETQLLGGVRDELQYLKDELESMTAFLQDLAERNEHRKQVKIWMKQVREIAYDVEDCIDEFRRQLEDDSGGSAWGLGFICRTTHILRHIRVRHRLAKQIQKLKVRATNASDRNSRYSGNQFSFGADGNSMAAYDTPANHFNIDARTTALFPERTQLVGIESRQESLVQWLRDEHLQKLRVISIFGFGGLGKTTLAMTTYQSLSAAGGSFQCQAFVTVSQRFAVKALIRDILLQIIPPVQQQGNNVSNGGGEASLEALLKGMEAWDVGQFARMLRQRLENKRYLIVLDDIWSIAAWEGVRFSLPDSNNGSRIMVTTRIKAVAHSCCSHEYDRAYEIKPLTNYESKDLFLKRIFGSTVNCPENLKEISEKILGKCGGTPLAIVSIAGLLASKPVHSKNQWQKIYGSLGSELETSPSLEKLKKILELSYNDLPYHLKTCFLYLSIYPEDHKIRRKSVLRRWVAERFVTEKRGLSVFEVAESYFEEFINRCIIQPVDMSFTGKVKTFRVHDVMLEIIVSKSIEENFTTLVGEQHTLVPQEKIRRLSVHSGGAKDIATSKMLSHVRSLSIFTNGKILQLGWMKLIRIIDLEGHESLDTRDLKSVCRLFQLQYLSLRRTRVMELPRKIEKLKKLETLDIRDTGIKNLPPGITKLPNLTNLLAGRRYYHHSGLWPISEFWGLHVPKKLGKLDSLETLAQVAFTESTSHSICELGKLSRLRKLGVMMFVDDDNSWESLIYALEDLSSNLCSLLLWRPDGAMNFDCLDSLSRPPMFIKNIDFRGQLRKLPNWFPLLSNLTELTLRATELSATEDLKVLARLPSLICLRLHHSAYVQTEFAVAASEFPCLKLLVIHLDKIEAWKARFHERALPRLEKLELSLFEEASIREISGIEFLTHLNEISICACPSNIMEIEDIVQSLKLGAQRTINVTLKVKQWEPMKSRTDPPLDYMGNLLDPLYMTSESF >Et_6A_047314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3820206:3822253:-1 gene:Et_6A_047314 transcript:Et_6A_047314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CQSNKQDGEEKEGSVRDRMASEKVETIVAGNYMEMEREGGAGAGEEAGTAAASSSSKGKALSSLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMASGIVFQLFYGLMGSWTAYLISVLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNIGLFFNCTFLLFGSVIQLIACASNIYYINDKYDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYLTIAAIAHGQVEGVTHSGPSKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKLIYLAATLYVLTLTLPSASAVYWVFGDTLLDHSNAFSLLPRSPFRDAAVVLMLIHQFITFGFACTPLYFVWEKLVGVHDSGGLALRAAARLPVVLPIWFLAVIFPFFGPINSTVGSLLVSFTVYIIPALAHMATFAPAAARENAVERPPRGVGGWPGMFAVNCFVVAWVLVVGFGFGGWASTVNFVRQVNTFGLFTKCYQCPPTKH >Et_1A_006462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22794375:22806761:1 gene:Et_1A_006462 transcript:Et_1A_006462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCALDHFHERVKDQKYFNEDEIRKITNNYSTLIGKGAFGEVYKGNLDDNSPVAVKRYIDNVKEDFAKEVIVHCEINHRNVVRLIGCCIGETALVMVTEYISKGNLSNILHCTEIPISLETRLDIAIGCAEALSYMHSQMYGQVIHGDIKPANILLDENLNAKVSDFGISKLLSTDKTLYTTHVIGSIGYMDPLFARSGHLTSKSDVYSFGVVLLELITRRKAIDDEKISLTEIFTQALAKRKKMRELFDIEIADVNNLRIREEIGKLAAKCLAMETDKRPEMKEVAERLRVLRKAQYKRQEKVALFGWVWRSKQAPQNTFPGIDVVTDHVLRADAQAHKVHEAEGTTKNAEIIWIDSSKSIELEELLKASAEVLGKGKYGTTYKATLQDGSVFAVKRLKHVDVPEPVFKERIASICAIKHELIVPLLGYYFSRDEKLLLYDYFDNGSLASNLHGKNMAPVDWGTRSSIALSAARAVAHIHSSNPTTTHGSINSTNILLTMSYEARVSEHGLTALVSTATSVPSDIISQKADVYDFGILLLELLTGKSPHRHTEISEEPDMLKWVMSVPQDHWVDQVLDQKLLKNNNMEEATLQFLKIAIDCCEQSPNLRPMMSEVAIRIEEIRRSTTGNKHSMGSNA >Et_4B_038459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29134017:29134630:-1 gene:Et_4B_038459 transcript:Et_4B_038459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWAMSELIRNLRVLKKAQDEVRAVVGNNNNNKERVPAGRRAQAHVPEDGGEGDPAASPAGDAAASTGDRARPRFFAVRCRAPDLPGAGHGRDQRGVHAGQPALLLRRRGSMKPDDVTPAWRKPAGSPSTVRRRSCSCPPCTRPGGSTSTYVSVGISARDVSN >Et_8A_057650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5710809:5713326:1 gene:Et_8A_057650 transcript:Et_8A_057650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAYMDRAAAAAEPEDAGEPVTVAAADDEAARDFGGLVSAHPAAVVRPASADDVASAIRAAALRADLTVAARGNGHSVAGQAMADGGLVLDMRALALPRRMQLVRSGDGGLMADVPGGALWEEVLHWGVKSHGLAPASWTDYLRLTVGGTLSNGGVSGQSFRYGPQVSNVAEMEVVTGDGECRVCSPSSHPDLFFAVLGGLGQFGVITRARIPLHPAPQTVRWTRVVYASFADYTADAEWLVTRPPDAAFDYVEGFAFVNSDDPVNGWPLVPFPGGARFDPALLPAGAGPILYCLEVALYQHQQHRADDDDGEEEDKQKNKVSAGMLAPLKYVRGLEFAADVGYVEFLSRVNRVEEEARRNGSWAAPHPWLNLFVSARDIQAFDAAVIKGMLADGIDGPMLIYPMLKSKWDPNTSVALPEGEIFYLVALLRFCRGGGPAVDELVAQNRAILDACVRNGYDFKTYFPSYRGEAEWARHFGAARWKRFVDRKARYDPLAILAPGQKIFPRAPAAAAAPGGIV >Et_2A_015002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25734766:25736079:1 gene:Et_2A_015002 transcript:Et_2A_015002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHRRATGTASILAIGTANPSNAILQSDFPDFYFRVTKSDKVKEELKDRFRRLCEKSGVRKRHFYIDEHLLGAHPELTTFGGPSLDKRKDLIAPKISELGAAAAAAALKEWGRPTEDVTHLVVGCTSGGSDLPGADYDIARLLGLPASVSRLGVHHQACVVGASTLRLAKDLAENNAGARVLLVCVEVSVMVFRGADDESDLRDIATQAFVADGASAVVVGVHGKLEKPLFEIVRSRQLTLPGTGDAMNAHIRENGLTVNLTREVPELFAGNAEVALRGVSEFVSTGDWNALFWAMHYPGGRLVLDKVEAALGLEPAKMRASREVLAEYGNMGSASVWFILDGIRRWSAANGCDTTGEGPGLTVDQVLLRAARV >Et_4A_035925.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8638726:8638947:-1 gene:Et_4A_035925 transcript:Et_4A_035925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGDRSKAATSERDILEDARLVKQVRELRRLVPASHEPCGLGELFRDAATYIEDLQVQVKVMRMLLEKLSDE >Et_1B_009980.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:16480015:16480332:1 gene:Et_1B_009980 transcript:Et_1B_009980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESAKCECCGLREDCTGEYIAGVKAEFGGRWLCGLCSEAVRDEVAKARRKKKKGGSEGLEEAVRDHMSFCGKFGNNPAFRVADGMRQMLRRRSSDISTSSAAS >Et_10A_001633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6212836:6216271:-1 gene:Et_10A_001633 transcript:Et_10A_001633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGATASTPQGVESRRGIPAAAFVEDVEAYLRQAGLDVNSALAFLQERLQQYKVVEMKLLAQQRELQAKIPDIEKCLDTVATLQAKKALGEALIADFELSEGIYSRAKIEDTDSVCLWLGANVMLEYSCDEANALLKKNLENAKGSLEVLIADLQFLRDQQTITQVTIARVFNWDVHQRRSKQAVKET >Et_7A_051172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15052190:15054243:-1 gene:Et_7A_051172 transcript:Et_7A_051172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARRDGGCRRGEPVVRWSHAEAMKKPAVGGDLVVAGRGGECSGEVLRAQQNVRFGGSSCGSRGFGMSWWDEAAKNAGTKTTAGGGGGGEGRGTWEWGWPEPEAKKSKPCDAGGEEVGAGGDEEPVAYDWKWTEAVSPEILALVLRGRLPADEVARGAAGVCRAWRAAAASSDMWGDVDIEAWCRRVNCRAKADAAVRRLVARAQGTIRRLSAYRVGDAALTYIAASGKLLNVLQIPMSEVTDQVVEKHAECLPALRVLDISNCLKITSRGIEALGRHCKLLVQLKRNMPPPEPPQGNNTAVKVVQEEALAVANTMPILQQLELAYGLFSDLALDAILTKCPLLRTLDILGCWNVRLEGDIEERCCSLESFREPWEPEYSTDSSSGGDYGDNYSDSDD >Et_8B_060053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5412032:5421519:-1 gene:Et_8B_060053 transcript:Et_8B_060053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAPGTASAVPKSGAVSKGYNFASTWEQNAPLTEQQKAAIAALSHVVAERPFPANLEKSSGKDGGADVPEKESALEEAGAMDAVLVNTHQFYKWFAELESAMKSETEEKYRLYESTLQERVNTCDGILNQVDDTLNLFEELQSLHSSVATKTKTLHDACDQLLVEKQRLIEFAEALRSRLNYFDELENVSSSFYSQNMNIGNEQFLPLLKRLDECISYVESNPQYAESAVYLVKFRQLQSRALGMIRSHVLSILKGASSQVQAAIRGSNSGKTVVTEGVEASLIYVRFKAAASELKPILGEIESRSSRKEYAQILSECHNLFCEQRLYLIRGMVQQRISEYAKKEALPSLTRSGCAYLMEACQFEHQLFAHFFPSSAADVSSMAPLMDPLCTYLYDTLRPRLIYEGNIDSLCELVDILKVEVLGEQLTRRGESVAGLRPILQRILADVHERLAFCARTHIREEIANFRPSDEDLDYPGKLERSVDTTSSASVGDNPDIYVTWYRPLEKTVSCLSKLYRCLESSVFTGLAQEAVEVCSTSLQSASKVISKKASPMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLDHLRRILRGQVSLFDWSRSSSLARTFSPRVLENQIDARKELEKSLKATCEEFIMSITKLVVDPMLSFVTKVTAVKVALSSGSQGQKLDYVLAKPLKTQAFASPEKNPSTRMILFKPIKTNIVEAHIQLQSLLKSEYSAEEMQSIGMLPIPDLQSQLDSLM >Et_5B_044586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3856910:3872184:-1 gene:Et_5B_044586 transcript:Et_5B_044586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTIKSTMGLSRQFLNLIVANHEPGVKSLCCMHLEHLFGSPTMPPHTSQTPSADTGNLKNEAAADLTMERIQLRPPRFSFRATSAPGDQRKIDCFPLVDSSMICVDQLGRAFCFDAQKRLAGVVPRLHKPKCMPISLFVPKPDVDKDFSHLDGGSSLLIMERIPKPEVVYNTQYSDQFEAYVFGRTTAASWIKSWQRQLLPPPPYVRDPKFCHRHPEISSYVVLEGGSQVCISVKSVGTYLLDTVSYTWSEVGKWTLPFQGKVEYVPELKLWFGLSAEAGHLAAADLSTLSGLDSQPQLVGVWKELVPPEEWKESKDSQIINLGSGMFCIARFFQSRTCNGDYGDELFDQNFAVFTGVKLETPVLDSNGNSDSSGNTNVDGTNRAAKIRMTTYRSRCHTSNGTAIDAVFPARISPSEPQGRLQQPMPKPRSTSSLSTTRWWSAPTTWAARSSTTRARLGGAMPNLHQPMRWPVSIFVPKPHVDADFGTMSGGSSLLVMELAPGTEDQVEAFVFGRTTTTGASIAKSWQCRRVDLPPPYVRPVPPHVRAISSYAVLDDGARVCVSADGVGTFLLDTENWTWTEAGEWTLPFHGKVEYVRELKLWFGLSAESRRLAAANLSPEMDMDSQPRLVGEWKELDFPEEWKECKDCQLVTLRAGRFCIARFFETRMALKRELVGGDESIDEDFTVLTGVEVVPRAHDASGGSGDGRNLPLRRAGHTPPFSDEDTKALAVEIRNPLTLSHPVNPSRHVSTECYVSSGLMPGPPQKNRVGGDGGDGCNGKDDELQMVPHKSRRVERTTIEALF >Et_7A_051221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15767859:15769503:-1 gene:Et_7A_051221 transcript:Et_7A_051221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPVPVLFSASSTCRAASSSLLASLALTRRAAARPLGLPRGGYLGTRRGPTPGRLVVARRAPVPSAAKRGGRGEVAAEEEGGSRALFQAALWGAEAAYILWLFLLPYAPGDPVWAISQATISDLIALSLNFFFVLPLLNSAFLIPYMAIRLNDREADRSPPQRSQLGSVMVKGAPAVGAIGGLVCVLSIVWALVGRPDAGFGGIAERWQFAQSYVFSERLAYAFLWDILLYSIFQPWLIGDNIQNVKADAAGFVNAVRFVPVVGLVAYLFCLEEQD >Et_5B_045247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1440232:1442068:1 gene:Et_5B_045247 transcript:Et_5B_045247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISECIIYTYSSSWPHQRHRLWALMPVAFWVYLYKVQRCPRSRGHDWKQCPYWHHGERARRRDPRTHHYLSEPCPNYLASYEYHKTHHTGRAPTCSRGPTCRYAHGIFEVWLHPDRFRTRVCEAGLQCERKVCFFAHFSWQQRRPGEMVPFVDLRQLPSWALRAPPRRLLPPPPRLAPLPVVTLPAPPPPQHDTVNIMLQTRSSYSTGSLSSTSFGSSLSSSPPGVVVIAATATTLPALGYTADDCAASMLPAVGYPADDEMGNGMSDEEDSGLGAEFPYYDIIKDLVLG >Et_1A_006156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18623802:18626129:1 gene:Et_1A_006156 transcript:Et_1A_006156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SCEFKAANRLLKPGKQQVHAPTRKPNCGTNQTRDQEEETAIFFLSLARSPIDPCVPMAHQQRRVLCVAPPGRGGGADGEAFPTVQAAVDAVPLGNRARVVIRLAPGVYREPVYVAKTKNFITLAGASPETTVISWDNTATRIKHSQSSRVIGTGTFGCGTFIVEGEDFIAENVTFENSAPQGSGQAVAVRVTADRCAFYNCRFLGWQDTLYLHYGKQYLRDCYIEGHCDFIFGNSIALMEHCHIHCKAAGYITAHSRKSTSESTGYVFLRCIITGNGEAGYMFLGRPWGPFGRVVFAYTFMDRCIKPAGWHNWDKCENERTACFYEYRCSGPGSRPSNRVTWCRQLLDVEAEQFLSHTFIDPDLDRPWLRQMMAIRVPDSA >Et_3B_027803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20015962:20017548:-1 gene:Et_3B_027803 transcript:Et_3B_027803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSVAALKTLRWVPVCRLDLETRASSAAAVADGDAGRTGYGGCGPGETYLIQYTSGATGAPKPVVVTAGAAAHNARAARKAYELHPGSVIVSWLPQYHDCGLMFLLLTVVAGATCVLAAPAAFLARPRLWLELVAEFGATCTPVPSFALPLVLKRGRRCRSEHGAARKPLRLGSLRNLILVNEPIRKSSVDEFVTEFARAGLDASSVSPSYGLAENCTFVSTAWRGTTEPKLRSYKKLLPSARLPPPPPPGAQEETEIEIVVVNAETGEPVEDGVEGEIWVSSPSNASGYLINLPSAISREAFRASLPGRHAGASFVRTGDCGVVVRGTERFLSPDSLRGGCVAAFAASPSSLVVVVAELQKGKRSNTTQLSDICDGIRRAVWKEEGIMVASVVLVESGGLPKTTSGKLRRGSALRKLLAGELPKVFAAHYAERVDLSTSAWLQDRSSTEMDECRTSWVVPQAGGEMVVMASGNASQRLHLQSSL >Et_2B_020317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18975251:18976499:-1 gene:Et_2B_020317 transcript:Et_2B_020317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLPEDPFVEILSRLPAKSICRFKCVSKAWLRLITDPLHRKRLPQTLAGFFYEDTSEESDPDFIDPLGRSVSLVDPSFSFLTNLHDENIWAISLWSWSGHERPHGLRSTEQWAAVPSSGWTLPELEEEEDSYDDDDDGETLHTYLFFDPAVSSHFHLWCTPTLLKLGVWIDRTDEQRQEREGDEHQQLDTFSLVTLTSSAFVSGFLRLAVCHIQKDTEVIVAVDREGKTRKVMCLPEKPGRHLSDAVFIGQSQGRLHCITKHRDGKFFEIMIWVLKHSVSSSYLFGMNYSVYFNYKVVALHSDRNLVYIALHWGRELISYDMDNKKIHTICSLGLIYECITPYSSVLTNVTDSAVPGPSGKQMGERRDAEELMGRGKRLKKTVERLDL >Et_7A_051580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20588680:20593215:-1 gene:Et_7A_051580 transcript:Et_7A_051580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSTSLLRLTFLALGAALILLVVRSTILRLPHGIDTPTSSLLGDADAGSGGCTRPFSPWACRRTDQKKPKAKSTSHSHESDVPRHPLDPLTVSEVNRARELLHAYPPFSSSPSSLLVHSLSLDEPDKPVVLRWRKGLDPLPPRRAVAVVRFRGESHVLAVDLSSASTGEEGAPVTPLPVPASGYPTMTMDEQQSLCRAPFGDAAFNATIRGRGVRMEDVACLPISLGWYGPDEEGRRLVKIQCFSAEGTANFYMRPIEGLTVLLDMDTREVLHISDRGAGIPIPAADNTDYRYAAQPTKSTNTFFQDVRAPSMEPAATSTGLEVEDGHTVRWGGWELHLKADARAGMVVSRARVHGRDVMYKGMASELFVPYMDPAEAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRHARYMDGVFVAADGRPYVRDNMICVFERYAGDVAWRHSESPITGMDIRESRPKVTLVARMAASVANYDYIMDWEFQMDGLIRIKVGLSGILMVKGTPYAHMNQVHQNEEMHGTLLSENVIGVIHDHFVTFRLDMDVDGADNSFVKVEMARQETAPGESPRRSYLKATRHVAQTEKDAQIRLKLYEPAEFHVINPTKKTRVGNPVGYKVVPAGTAASLLDPEDPPQKRGAFTNNQIWVTPYNKSEEWAGGLFVYQSKGEDTLATWSERNRPIENKDLVLWYTLGFHHIPCQEDFPIMPTVSSSFDLKPVNFFESNPILKQRPTEENDLPVCTAAA >Et_4B_037768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23164576:23167326:-1 gene:Et_4B_037768 transcript:Et_4B_037768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPPPWRPCRRLHSCALPLLLLAVVAALTAPAAADLADDARALLAFRDAVGRHLPWNASDTGGACNWVGVSCESGRVAMLRLPGARLSGQVPAGTLGNLTALHTLSLRLNTLSGGLPDDLASASALRNVFLNGNRLSGGFPQAILALQGLIRLALDGNDLSGPIPAALGNLTRLKALFLENNRFTGEIPAINLPQLQQFNVSFNQLNGSIPAGLRSQPRSAFLGMGLCGGPLGPCPGEVSPSPAPAGQPSSPIAPTGGGGGSKGGNGGDGGNKSKKLSGGAIAGIAIGSALGAALLFLLVCLCRRSGRTKTRSLEMPPPSSTTAAVAGGRKPPEMTSGAAVAPLTTLGHPNAPITQSTSGKKLVFFGSAAAVAPFDLEDLLRASAEVLGKGAFGTTYKAVLESGATVAVKRLKDVTLSEPEFRERIAEIGELQHEFIVPLRAYYYSKDEKLLVYDFMPMGSLSAVLHGNRGSGRTPLNWEIRSSIALAAARGVEYIHSTSSTASHGNIKSSNVLLGKSYQARVSDNGLTTLVGPSSAPSRTTGYRAPEVTDSRRVSQKADVYSFGVLLLELLTGKAPSQAALNDEGVDLPRWVQSVVRSEWTSEVFDMELLRHQNVEEQMVELLQLAIDCVAQVPEARPSMTHVVMRIEEIKKSSGSSEAKDIRESDDQSSRAETVEAPTNPFAP >Et_3B_030557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4971638:4973030:-1 gene:Et_3B_030557 transcript:Et_3B_030557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLLARHLLPHLRLHARLPVPPPSRAPVITRCVGLSPPLARMPQGARYFADDRSQYDLFGKRRPGDEEFRKSWQEDVDEEDCLWTGSDDDDDDDEKENDTKLEREIKKVKRQAKENANLIDADDSDELRSICPESDEDDMTLWSGSEDDDDDDIPTEAHPNERSDSYIDKVFEFDESPKYRTISELLKAENEPPELSPGKQARKLAVENALKKLKKGPDGRYINVFDVVTDIDILIGAFENIVSGPEYAELREGGPKKLNMQFFKDIQARMRDPNFKFSPELKLKPKSKLVSRKKWQQARARKRKNDRR >Et_4B_038487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29416951:29417546:1 gene:Et_4B_038487 transcript:Et_4B_038487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERRGAGGDRFAVFPFSIGCMSQSSVAVADPNEKKTQSDPSSSATATTTPAQAQSLEEGGGEATKEKAAATPVSPGIVTSGVQKLMKGIKQSLSQMFTAYDGEDDDEEEEQEMVIGYPTDVQHVGHIGWDGHGGLNNLGAMGMVNAFSLPSSLSLRQLEVAMDQAAHA >Et_10A_000791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17295519:17296780:1 gene:Et_10A_000791 transcript:Et_10A_000791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAPAEIGNVVDDQQVVVAGACDTVEPMVEHEEMLVASGQSHPNHDAVDEQQQEEVRPAVRSKFSIQWERASKLEWQDISSYFDIPMTAACKELRIGATALKGVSRKFGVKRWPYRTIKAIDKQITKLRGSGNISAAVINEIQKLTDSRRRIIDGH >Et_7A_051360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17383269:17391583:-1 gene:Et_7A_051360 transcript:Et_7A_051360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFASEVLTYFNPRLAFSLQLCCIGILHFLILQLDFAVLKYKNQKLAEQLEVHKFEFRALESKFNDLREKQRTHNETLVLVKSSWERLVADLESVSLCKSKSAHSDYGIGPSNVQKDGTCMPIDMDFLRRLLEAGATESSGCSPSCLLGNDSPPEQSSTVNVLRKIFLPSSDLWHANNDFASAAFAKLPENEHSRQLHSATSDVLSKLNKVIQTVDDLHLKHRQLSENYQKERDLTAWNRAEQKRLKEELTSAVAKLKESKNKLAALKAQGDNKQGTPILVPTLGNKNATVDKVRDKQRELQDLEATHKELTELISKRLEEIKRLHKERIEILNKLATYQNTLTDFKSIRSSKAFQLVNDQIQKSQAELNHYRTLVEKLQVDMDSSVWQERQCNLKVDLGEIPQKVSSYCVSRIADLEKDMQKLCNEKNMLVLKLEEASREPGRNQVISEFKALVSSLPREMDAMQRELSKHKDASLELHSLRAEVHSLSGVLTRKVRDLKENTQELKLFLELYKHESSDSRQLMESKDRELSEWARVHVLKFSLDESKLEQRVIAANEAEAMSQQRLATAEAEIAELGQKLETSRRDLVRQSDILKSKHEECEAYLLEIESIGQAYEDIQTQNQQLLQQIIERDDHNTKLFMEGVKAKQSHDALQSEVLSLQRNLQQASTLMDLYNQKIVRLEDQLRVWSDRARRLAEDGMQLSISLGNSQSKLVGMHGEAPKLRQSTDDIQGKVGSNRLEVADLLIDLEKERFSKKRIEDDLDLMSSKASSLKAKADRSTVLQKLHHEKSLGNRQKRCPSCGLSFG >Et_2A_017548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35290952:35296199:-1 gene:Et_2A_017548 transcript:Et_2A_017548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRDLGILLLAAFAVFFSVHHEGDFSFRESWYHLADDDFPIKYEADRLPPPLVADLNGDGRPEVLVPTHEAKIQVLQPPHARHLDDDTGFHEARVMADISLLPDNVRVATGRRPIAMAFGAVDRSYRDADVRKQVLVVVTSGWSVMCFDHNLKKLWEANLQDDFPHGAHHREVAISITNYTLKHGDAGLVIVGGRMEMQHHSADLFDEFMMPEHTREEHRRSTNEKQGSETGATDLRHFALYAFAGRTGTLRWSRKNENIQSQPSDASLMIPQHNYKLDVHALNSRQPGQIQKMRYIPTITNHTQVWWVPNVIVAHEKEGIEAVHLASGRTICKLHMTEGGLHADVNGDGVLDHVQVVGANGIKEQTVVSGSMEVLKPCWAVATSGVPVREQLFNVSICHYNHFNLFHHGDFSRSFGRTFDPAGLEVATPILLQRDDGHKHRRGSHGDIIFLTSRGEVTSYSPGLLGHDAIWRWQLSTGATWSNLPSPSGMMENIVVPTLKAFSLRAYDPKEVIIAGGDQEAVIISQAGSILAVIELPAPPTHALVLEDFSGDGLTDIILMTSGGVYGFVQTRQPGALFFSTLVGCLIVVIGVIFVSLHLNSSNSGKPRASAEYR >Et_4A_033750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26461112:26464794:1 gene:Et_4A_033750 transcript:Et_4A_033750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAAAAAAAVPSSSEGTRWPSFADLRGMDAVIKQLEEEVMRPLRHAEMLRHLGISPVSGLLLHGPPGCGKTTLAHAIANEAGLPFYKISAPEIVSGVSGGSEENIRGLFQKAYKTAPSIVFIDEIDAIASKREHLQREMERRIVTQLMTCTDEFHQNIGCGDSDADSSEKKPGHVIVIGATNRPDAVDQALRRPGRFDREISLGVPDEHARKEILKMLTQNVRLEGEFDLCKVARATPGFDGADLKALVYQAGILAVNRMADKSGVQYCHEHEGNNKCYRCRQHSGADESRRLLYFTMNDFEEAIMKIQPSLRREGFSSIPDVTWDDVGGLDSLRKEFELCIVGCIKRPEVYEKFGVSMQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKGSELLNKYVGESESEVRKIFTRARTNSPCIVFFDEIDALTTKRGKEGGWVVERLLNQLLIELDGADQRRGVYVIGATNRIDVIDDDALRPGRFGKKHYVPLPGAGERVSILKAHARSKPISSSVDLDALARREECCYLSGADLESLVNDAVMSALEETTEFLENGTSSMSPSYLVELAHFERALSKVKPSVSEQQRKHHEALSKQYSSN >Et_9B_065593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7570654:7575561:-1 gene:Et_9B_065593 transcript:Et_9B_065593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGASSSSSCRTADFSAAWDAEQQQKRQRCQSRSFCWVICAILPQSGGSIAWCRVSRAALRIWRGIFVGFLVFECRGLGFAMGARRGSIYHGSRGSNIQVGPSMENNSPQASLPESKFECGENEEEDYYFDDEEDDGGYCDDDYEGSDYELDTSDFNQQLADKFDGLDLPPGVEATVPWLLKPPSDEPGKFKSMKELDDEIAKKYNFFKQFDTVEDFSDHHYDKKSVGKPRKEWVKRIQHDWSLLEKDLPSLIYVRVSENRMDLLRAVMIGPQGTPYHDGLFFFDAHFPASYPATPPHFQDLVAGHFRERGRAILSACQYYMEGYKIGSRGPEDEDECKEGASSSSVAKPQQNKSALQTARDPSFKTNMEVLFEELLMEFNVKGADTAKFRAEKLKNQQAAA >Et_3B_031520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3601845:3604318:1 gene:Et_3B_031520 transcript:Et_3B_031520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDPAAAAASSSAGDEDDEGTDTDASNSERAAAPQDQDGQLPPDAASAPLPLPAAGDGPTSAVPPPPPPTQPAATDDSRRLFQRLWTDEEELLILRGFLDFTARRGTTFASHQYDTGPFYEDIRRRLSFDFTKSQLIEKLRRLKKKYRVCAARMAAQGAAFAFRSAHEGAIYDVARHIWRPAVRRDGAGDGGDASDEGDINPAAAEAAAAGTLPPGAVEDGGGGSVAAPTPRGRGGRRVKRKTVQVLEAQALPATTSAMMFSDTVQQPLTVGVENLTPVTAPLPPVVASSPMPAMANGATEGAVHAILSPLLKELISSATVAGQNGLGLGLNTGLGGIGGVDILGLGLGVASPIPGASGDEKWRQQQILELEVYLKRIELVREQVTAALEELRSSEEETENNASQLYNDVHNNTAEEFCPKPKRT >Et_2A_016482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25065171:25100095:-1 gene:Et_2A_016482 transcript:Et_2A_016482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARYGGQKNKYNGIYYVPDEPYVDSGENHQLSSAYSDEPDRFLTLRSFPYGKRNCYTLPTAAGAKYLVRTEVFYGNYDGRNSSTDEFDLYLGPNFWDTVEVDSVVTYEVIFIAWASWVPVCLVNTGRGTPFVSGLDLRPLGPALYPSVTPGLSMNMYFRHKMGSTSDLTTRYPDDPYDRFWWSRSVVDSQWTNRSTKLNIQPDPNFAEPLVVLQTAIEAPGNATVLTPLTWTDYKSTLNFLVFLHFADFQNAQLRQFDIYFNNEKLQQSSFTPSSLVASCIYSSTPVRATDKTYNITLVATAKSVLPPMVNAIEVYTLHPHTSPTTFSKDFDAIMAIKFEYGVKKNWTGDPCFPDYWNGVKCSNRSDNTTRITSLDLSNSNLSGMISTNFTLLTALENLDLSYNKLSGSIPDSLPSLPSLRVLNLSGNHLSGNSLCKRNKGSLILRRAKRKPTVSVDDHAQIENVTRSRKKQEDHLQDTENRRFTYKDLQKFTDNFKRFIGKGGFGLVYHGYFDDGTEVAVKMRSESSSHGLDEFLAEVQSLTKVHHRNIVSLVGYCWEKDHLALVYEYMSQGNLTDHLRGKNGAAEALTWGTRLRVVLEAAQGLDYLHKGCSPPIIHRDVKSSNILLDRNLQAKIADLGLSRTYLSDAQTHISATAAGTPGFMDPEYYLTGRLTESSDVFSFGVVLLEAVTGEPPMVPGQGHIVMRVKQRIVATGDIDSIADPRLGGAYDVNSMWKVVDTALMCTENTGAGRPTMSDVVAQLKDSLALEAARGGDCSIPASLAASDSSALMSAFGPMASHVVGPEYQGQALLRSHRTLRSFPSGGRNCYTLPTVAGAKYLVRAVVFYGNYDGRNSAPATIVFDLYLGPDFWYTVRVGESVVFEAMFVAWASWAPVCLVDTGSGTPFVSALELRPLAAALYPSVTPTMSLAMSPADPYDRFWWPVDVVDPRWTNRSTGLAIQPDPSFAQPLPVLQTAVEASGNGTVLTAMTWVDARTTLSYVAFLHFADFQNAQLRQFDIYFNGNQLGTSHIPSSLVASCVYSSTSIRATDTKYNITLVATAKSVLPPMVNAIEIYTLVPRNSRMTFSKDFDAIMAIKFEYGVKNWMGDPCFPAAWKGVKCNNASDNTTRITSLDLSNSNLRGSISTNFTLLTALENLDLSSNNLSGSIPDSLPSLPSLRVLNVSGNHLSGKSLCKNYTGSLIFRYDSDENMCNQMRKSSRKRAVVLVTSVVVSVLVMAALFLTYFIWRAKRKPAVSIDAHARNVQIENVPRRRKNQGDHLQDTESRQFTYKDLEKFTDNFKRFIGKGGFGLVYYGCLEDGTEVAVKMRSESSSHGLDEFLAEVQSLTKVHHRNLVSLVGYCWEKDHLALVYEYMSRGNLYDHLRGKDGVAETMAWGTRLRVVLEAAQGLDYLHKGCSPPIIHRDVKSSNILLGQNLQAKIADLGLSRTYLSDAQTHISATAAGTPGFMDPEYYLTGRLTESSDVFSFGVVLLEAVTGEAPIVPGQGHIIQRVKQRIAMGDIDSVADPRLEGAYDINSMWKLVDTSLMCTSDAGAGRPTMSDVVAQLKDSLALEQARDSECSIPASLAASESSALISTFGPMASVDGWRAVATTGEDIVCATLDWWPPEKCNYGTCTWGGASLLNLDLSNKVLINAVRAFSSLTLRLGGSLQDKVVYGTADLRRPCTPFVKNASEVFGFTQGCLPMRRWDELNAFFGKSGAKVVFGLNALNGRVLLPDGSLGGPWDYTNAASLIRYTVNRGYEIHGWELGNELSGKGGARVGADQYSADVIVLKSIVDEIYKGNPSKPLVLAPGGFFDTAWFTKLIAKTKPNQLNVITHHIYNLGAGADTHLIERILDPSYLDKRAKTFRNLQRILNSAGTSTVSWVGEAGGAYKGGRHLVTDSFVFSFWFLDQLGMSAKYDTKSYCRQSLIGGYYGLLNRTTFQPNPDYYSALLWHRLMGTKVLATTFSGTKKIRAYAHCAKDSTGITLLLINLSGKTTTQVSVASEAAAAHKYGLKKHTRETRHVHAPAFAEAAGAIRNEYHLAPKDGNLQSQVMLLNGRALATDADGNIPRFEAVKVDAAQPITVAPYSIVFSHIPHFSAPACRFRSIDCGLDARSGGYKDAFTGIDYVPDGAFVESGENHVVGPEYQGQAVPRSYLTLRSFPSGRRNCYTLPTVAGAKYLVRMEFFYGNYDGRNSSPGTIQFDLYLGPNFWDTEFVNQLEGTVSEAIFIAWASWVPVCLVNTDRGTPFVSALELRPLGPALYPSVAPGLTMTMYNRRNMGSTSFTRYQERTTHLIVLGGG >Et_4A_032341.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30786361:30786561:-1 gene:Et_4A_032341 transcript:Et_4A_032341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAILYAWWNIWKERNRRAFDSTTKTVQQVALLAKEDINSYSIAWGDYGGDDDELGQEQNNIPAPR >Et_4B_039654.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:25373345:25374058:1 gene:Et_4B_039654 transcript:Et_4B_039654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Et_7A_051222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15770027:15772276:-1 gene:Et_7A_051222 transcript:Et_7A_051222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCMSCINWSVQGKERSSLTMASSPSSSVLPKVVDDATETTSRRAKWWYVTFHNVTAMVGAGVLSLPGPGTVALLASWGITLYTLRLLIELHECVPGVRFDRYRDLGVHALGPRLGLWVVVPQQLIVQVGCDMVYMVTGGKCLQKFAESVCPATRCTQLHQSYWICIFGAFQFLLSQLPNLEAVTAVSFAAAAMSLCYSTVSWAACLARGPVPGVSYAYREAAGTSAADATFRVFGALGQVAFAYAGHGVVLEIQATIPSTPTKPSRAPMWKGTVAAYLVTAACYFPVAFAGYWAFGRDVGDNVLVSLQRPAWLVAAANMMVVVHVIGSYQVYAMPIFESMETILITRFRVPPGALLRLVARSAYVAFTLFVAVTFPFFGDLLGFFGGFGFTPTSYFLPCILWLKIKKPRRFSASWFANWGCIVIGVLLMFASTIGGLRSIIQDASTFQFYS >Et_1A_004932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17548944:17549171:1 gene:Et_1A_004932 transcript:Et_1A_004932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQCRHDFGLRIFREIMMVAAWTIRCHKNSRKSVKDELALVILRAKEKIKRPLELWLSNLN >Et_3A_023929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14865286:14869683:-1 gene:Et_3A_023929 transcript:Et_3A_023929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSSAAAAAAAAQNPHRRQGGGSGLVPLAALIKEEARTERRAGGAAGGSRISARDEDANAGASISASAGRGGEEDEARRRRPLLRYGCAAQSKKGEDFFLLRTDCPRPSTSASSSAATPHPTFAVFAVLDGHNGNAAAIYTRDNLLNHVLSAMPRGLSREEWLHALPRALVAGTNFWHNCHGGAVSLLTVDHRLEENVEERERVTASGGEVGRLSVVGGAEIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPYVKQVKLSNAGGRLIIASDGIWDALSSEAAAKCCRGLPAELAAKQVVKEALRTRGLKDDTTCIVVDIIPPGETIRPPSPPKKMNKFKSLIFRKKAKEPSPKLTKQHSAIGIVEEIFEEGSAMLSERLGNDSNGGRTSSSLFTCAICQVDLEPSEGISVHAGSIFSSSSKPWEGPFLCSDCRDKKDAMEGKRPSGVKVL >Et_9B_065966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18892256:18895251:-1 gene:Et_9B_065966 transcript:Et_9B_065966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSCPRVSVSNKAFDLGQLASCRCRWAGLPVTRAAPRRRRTPCVCYVASPTQTGAGLAAIEVPAQTIPSATTASLPQRISVSSLMEVVSDDLLKLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAGLSELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDVTLDDYLLKSYYKTASLVAASTRSAAIFSGVGTPICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQEEPQLREIIDSEFSEPDSLTTAMELVHRSGGIRKAQELAKEKGDLALQNLQCLPRSEFRSTLEKVVQYNLQRIE >Et_1A_006528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23788557:23789960:-1 gene:Et_1A_006528 transcript:Et_1A_006528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQAKMSRSMSTEETAGIELRTLEGVERKEVERWRRQQMKGLCTKLGSLIPKKDNYCSKGKMTQLDIMDAAAAYIKELKERVDELEAKRSSAARLVAAMGGGGASTSAAILKSCGGRSGEGNKETTTTPMVVVRHLPPDASSLDIVMIGGVERPVKLHELITVLEEEGAEVVNANISVAGQRIFCTVHAKVCACVHSSQCISGLLFIIQGYTDIVMQNLHLAVLAGYIEILAFSSRIGIEVSRVSERLTTLLIPY >Et_1A_009290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37567985:37573692:-1 gene:Et_1A_009290 transcript:Et_1A_009290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTHGTRSPNRDPRLIALQMAENIVYSFQFRTAPQAPTHRLSAIKPKGRPAGARGPAWLLGQSDAMADITDKVKCYAVLADDGQYLMARVMAGGGIMATMVVVSGLFHSVLRRMGQPSVISHILVRTLAACL >Et_3B_030335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32263484:32265004:-1 gene:Et_3B_030335 transcript:Et_3B_030335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEANGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEIPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >Et_10A_000687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15571843:15574008:-1 gene:Et_10A_000687 transcript:Et_10A_000687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEPEYNEMNEKNPRPLDDDDIALLKTYGLGPYSTSIKKVEKDVKEMVKKINDICGIKESDTGLVQPSQWDLVSDNKMMQEDQALMVARCTKIINPNTEDAKYVINIRHIAKFVVGLGDKVSPTDIEEGMRVGVDRTKYQIQIPLPPKIDPTVTMMTVEEKPDVTYNDVGGCRDQIEKMREVVELPMLHPEKFVKLGIDPPNGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGLAWSVNFSKWPGRRRLATSSLMRSMPLVALVLMTASVATTRFNVLCLSS >Et_1A_006700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26506495:26538589:1 gene:Et_1A_006700 transcript:Et_1A_006700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDQVALLLQKYLGNYVRGLNKEALKISVWRGDVELTNMQLKPEALNSLKLPVRVKAGFLGSVKLKVPWSRLGQEPVLVYLDRIFILAEPATQVEGCSEDAVQEAKRSRVREMEIKLLERQQQLQSELSSSWLGSFISTIIGNIKLFIGNIHIRYEDGESNPGHPFAAGLVLSKLSAVTVDDHGKETFVTGGALDRVKKSVELEKLALYFDSDSKPWRIDKPWEDLLPAEWSQVFEFREQDGSRSASKKHTYILEPISGKAKYTKVQLTEAKKTGQALQNAAVDLDDVTLSLSKDGYRDILKMADNFSSFNQRLRYAHLRPSLPVKLDPRAWWKYAYKVVTREMKKARMLAHKFVAQSAETYQHDQQNKKQSWWSFGCSILKYHNATKLTVDDSVCLADLSCQDFCCNLKMYPEAKIFDLKLGSYKLLSPYGLLAESASVVDSLVGIFSYKPFDEQLDWSLTAKASPCYITYLKDAIDQIMGFFKSSPTISQTLALETAAAVQMTLDEVKRTAQQQMTRVLKDQSRFSLNMDIAAPKITVPTKFRPDDVHETKLLLDLGNLVLRTEEIWDSYTSEDQDIYLNFNVVLSDVSAFLVDGDYNWNEASNEINLLPVIDKCGIALKLQQIQVESPLYPSMRMAIRVPSLGFHFSPARYHRLMEISKIFQDSASEDSSSNLEHLWDQADFEGWSSLLTWKGVGNREAAWQRRYLRLVGPFLYVFENPTSTTYKQWTSLRGKQVHKVPTELTNGVQNILAVHDSGQILEDTGALILLFDSDEGRKIWQSRLQGAIYRASGSAALSSFPEAALPSEAHSFKGSFSDVVDTEKLFVAGILDELKICFSCGYESNNKLKNVLLANESSLFEFRAVGGQVELSMKGGNLLIGTILRSLEIEDQYCYPGSPMPRFLARSFINSMQAQEDPSPGRKNTQIVIYDQHSPLYNNLDNRVVVTVATLTFFCHRPTVIAIMEFMNAINLSNVPDTDKDTRLNSVEDNTSEESKSGLEPEPAIKRLLDKGKSRIVFHLTSSMAEAQILLMNENGDRLATLSQNNLSTDIKVFTSSFSIKAALGNLKISDDSLRSSHPYFWVCDMRNPGGRSFVEIDFTSYNVGDDDYCGYDYSLVGQLSEVRIVYLNRFVQEIISYFMGLVPKSSGGVVKLKDDVTNSEKWVSKTDIEGSPALKLDVSFTRPIIVMPRETNSADFLELDVLYITVQNEFQWIGGDKNEMSAVHLDILTVTVRDINLVIGMNMVRGETIIQDVEGLSFELRRSLRDIRHQLPAVEAAIKVEVLKAALSNREYEIISECALSNFSETPRNVPALDDPRYGGSTTPSHESTSSESIQDLSQDTETWITNKFTVSVNLVELSLHSGSTRDSPLASVQASGAWLLYKSNTREESFLYATLKGFSVFDDREGTKDELRLAIGKSATVRDTSSIDGYDNPNELDSGERRIQKDLGLEPIPSMLILDAIFRKSVSSVSVCVQRPKFLVALDFLLAIVEFFVPSARSLLSNDEDKDLLHMISPVVLNDQIYYQEQSTFSLSPQKPLIVDNERFDHFVYDGKGGKLYLLDRDGKILSSPSSECFIHVLRGKRLQFRNVTIVNGEYLDSCICLGDDCGYSASENDRVYLVRENDGLLSTPSEETAESNVKNASADISTEFIMELQAIGPELTFYSTSRNADENLALSTKVIHARTDAFCRLVMKGDSMEMNGNMLGLKMESNGIRVIEPFDMSVKYSNASGKTNMHLLVSEIYMNFSFSILRLILAVEEEISAFLRMSSKKMSLLCTQFDKVATMQGSSNDQVFSFWRPRAPSGYAIFGDYLTPMNDPPTRGVLALNTNFVRVKRPLSYKLVWQSGPRTNGFHQDEEDSKNKLSKVDELCSVWLPVAPVGYVAMGCVVSTGTAEPPLSSVFCLSASLVSSCNIRDCIALRANSNMIFWRIDNAFGSFLPGDPTSMSVHGNAYDLRHMLFNSEDSSPKTSSRGQDISNDASRLERSTLNSGRLFEAVASFKLIWSNSGTSSAKKLSIWRPMLSEGMFYFGDIAVNGYEPPNSAVVLRGTGEDTFLREPEGYDLVGRIKKHRGAEGISFWFPQAPSGFVALGCIASRSSPTNEDFSLLRCIRSDMVTGGQFSEESVWDSSDARASEHFSLWTVDRDAGTFLVRSGNRKPPRRLALKLAGPPTSSSSDSIIIDAEVKKFSAVSFDDYGGMMVPLFGVSVDSVGLSYHGGTHHMNATVSLSFAARSYNDKYSSWEPFIEPTDGFIRYQYDMNTPGSPSQLRITSTRDLNLNVSVSNTNMLSQAYASWNNISLGDELYNKETFSPSERPILDVHQRRSYYIVPQNKLGQDIYIRTAERSSSLVTLLPSGDDRSIKVPASRDLLDSHLNGKSVKLYRLMITVICADAEIKVDEGLATGEYLIAVRLFSEDRSISGVRQQSARTCAATGEHSSQNMRKVNWNEMFFFKVESEESYILELLVLDAGRGRPVGIYSAPLKQVVQKLPPTSSSDGAKFDLTLGDLMSTKTMEHETVKPSGKIRFAILVSGRANALQGNRANSSRSKTGYIQISPSKEGPWTNMKLNYAIPAACWRFGNCVIASEAAVKEGNRYVSIRSLVSVINTTNIIVDLRLKGRLAQSAQSDEQGENFDREDQILVGMLEPSSTVAVPLSGLSHPAVLYTLQLRPANHEHMNYSWSDVQERRNQTEFRKEEVLDICVSDLYESENLLFCSQINGTSSSCQGLWFCLSIASKEIGKDVRMNPIYDWSIIIKSPLCLSFYLPISAHYTISCSHLDNEDPSCSRGTLNPGEAVKVHNVDPRNPLYLSIVPHGGWELMHEPVLISHPTEAPSKFINLRSSLSERIVQVLLEQSNDNDYLMARVIRIYAPYWISFARLPPLTLRLVDISGRKDKRRFLARSHLERSEKLLYDIKHDELVEGYTIASGLNFKGLGVSSSFGRHGGQFGSVKELSPLGDMDGTVDLSAYDDDGKCMHILLCSKPSSYQAVPTKVIQVRPYTTFTNRIGQDLYIKPSPGDEPKVLHAYDWRVSFMYSEGDTDKLQVRLADTDWCQPLEIVREDTIVIAMRKHDNTQKFVKAEIRGYEEGSRFVIVFRLGPAYGPIRFENRTTSTTISTHQSGVGEDTWIQVEPLSTRKYSWDDPYGQKVIDVNIQRGDITNVVHVDLENPVGSFTNFREHGLNFSIVENIDIKILKFTDYLRKQEEVYGSAGSELSDRQASALEQNETETGAGPLELIVELGVVGISLIDHKPRELLYLHLQKVFISYMTGYDSGTTSRFKLILGQLQLDNQLPLSTMPVILATESRPDSNRPVFKANIAVSNVTSNGIQVYPHVFIRVTDQTWRLNIHEPIVWALIDFYNNLRFVSASSSTTVTEVDPEIRIELVDISEVRLKISLETAPAQRPRGVLGIWSPVLSAVGNAFKIQVHLRKVMHRSRFMRKSSIIPAVINRIKRDLIHNPLHLIFSVDFLGVTKSTLSSLSKGFAELSTDGQFLQLRSKQVWSRRITGVGDGLVQGTEAFAQGLAFGVSGVLRKPVESARQYGVIGIAPGLGRAFVGFIVQPLSGALDFFSLTVDGIGASFMKCINIISNKSIPQRIRDPRAIHRDGIVREYNKVEAAGQMSLYLAEASRYFACTDLFREPSKYAWSDYYEDHFILPNQRVALVTNNRVILLQCSDLDKMDKKPSKILWDVPWEDVLALELAKAGYQRPSHVIIHLKNFRRSENFVRLIKCNVDEEREPQALSLCSSIRKMWRSHQAAMKVVHLKVPSAQRHVYFVSDDDRRDSQSLSRPLLSSRRTSSNGEQGFTNHTVNFQKMWSSEPEIRARCKLVAKQVADDGRVISIWRPLCPSGYVSVGDVAHVGTYPPHLTTVYKNVDGNFALPIGYDLVWRNCAEDYRSPVSIWLPRPPEGYVALGCVAVPIFEEPPLDSAFCVNERFVEDAEFEEQTIWASSDAYPWGCYVYQVQSTSLQFIALRLPKEQSGLRPKKISESYAQRALETS >Et_5A_040674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1154388:1155516:-1 gene:Et_5A_040674 transcript:Et_5A_040674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERKKAGAGLHEKLQILRSVTHSQGMSETSIIMDASEYIKKLKQKVVRLNQEITCEEDTLKQNSMPTVAVETLGHGFLVNVFSDKNCPGLLVSILEAFDDLGLNVLEATASCADAFRFEAVGGENLVENVDEHVVKQAVLQAIRNCSEGDREQE >Et_3B_029676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27079151:27081083:1 gene:Et_3B_029676 transcript:Et_3B_029676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSKAPRRPRIRTRGPPPAPTPIRTARGARSAAADERVLAEFLETSLRVPNLTLPPRKRFQFPPPPPELPGVAAQELLSGESTAAPPAVISAAAETGAFTVAGAVEASVVREAVEAAEALFAAPEEVKRELGRWFRRRDDRTAGEEFCWFRPASPDDDRALEAALPGSTYRDFREKMDTVASKMEGVAKGLIRVLSDYVKNANGSTLPRDAPSILRLALYSSNMSKAFWNDSSSTDTPSSSHAVSLQLYGNDRRICLRNQNGSAVFSLPAGSMLVSVGKQIQEWSNGEFKSAASVILFEKTDEQDQFVSLELLYSPGDLHVSEVGRHARCMGRPKIVAFRDQILVALILLSLFYLFWN >Et_2A_018111.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:11556047:11556979:1 gene:Et_2A_018111 transcript:Et_2A_018111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPSFVVFARYPRVVRLLFPSRWRRLLAFRRHQEQTYLPLVAQVRNNRRNSEEDSHGSKRKTYVDMLLEARVREDNDRALTDGEIVSLFSEFLGVTTETTASSLQWTMANLVKHPEMQQKLRNEVDANAGDDGTIVEDGLSRMPYLKAVVLESLRRHPPIPFELRQVTDVKEAAEVLNRRLPDSGAPVNFLISKIGRDPEVWPEPMAFRPERFMPGGEGHGVDLACAREMKMMPFGAGRRACPGTAMALLHLKFFVANLVREFEWWEVEGQEVDLTDFHAVILTVMKSPLRARLVARRSASSATAQAAN >Et_9B_065337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3951691:3954056:-1 gene:Et_9B_065337 transcript:Et_9B_065337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CKMPRYDDRYDQYDDRYDRYNDRYDRYNDRYDRYNDRYDRHDRYDRSGRHGSNTKLYVGQISSRIRTEDLEDLFSKYGRVQHVDLKRDFGFVEFSDPRDADDARCDLDGQKLDGSRIVVEFARGVPRGPGGRREYMGRGAPAREKLLEVPISSSWRGPRWELWRESQELQ >Et_1B_011812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26259933:26261833:1 gene:Et_1B_011812 transcript:Et_1B_011812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAPAKAVRALSASVAVLVLLWCVHFRGGLAFSSPTNKGLIFNVHPVFMLIGFIILGSEAIMSYKILPWSHDTNKMVHMLLHAGALFLGSVGIYAAFKFHNESGIDNLYSLHSWVGLGAICLYGIQWLFGLLTFFFPGGTPTVRRRMLPWHVRSGLIAYVLALLAAELGFLEKLTFLQAGGLGRYSSEALLVNFTALLVILLGTSVVLYVTAPVHNEHTHGYSAVHKP >Et_3B_030093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30425756:30428505:1 gene:Et_3B_030093 transcript:Et_3B_030093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSTTHLLLAVLLLAFRASPAAGADSEFEDGISPKFPGCDNPFQKVKVMYWVNGDEQNSLTGITARFGLPLPVSVYDTEKRRFVVLPSPKSSCAKAPETLANAVAVAQRGECTFLEKAKAAAASGASALVIINNEDDLQKMVCTDKDPPPNINIPVVMVSESAGAKLLKADESKLQVEMLMYAPEKPSYDGAIPLLWMMAVGTVACASVWTVAVVGEEPTKNAPSLGAEEEPESEIVELQTKTALVFIVTSSLVLLFLFYFRSIWSAWLLVGLFCLGGLQGLHYVATTLIIRICDGCRGNKVKLPLIGNVTVVALVVLPLALIIVVYWASHQASSCAWVGQNLMGICMMILVLQVVHMPNIKVASALLVSAFLYDIFWVFISPFIFKKSVMITVARGTDDGPSLPMVLKMPKEFDAWNGYDMIGFGDILFPGLLVAFSFRYDRTHGKDLTDGYFIPLMIGYAFGLSCTYLGLYIMKSGQPALLYLVPSTLGVMTILGARRGELSQLWNAKA >Et_8B_060138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6240087:6241227:-1 gene:Et_8B_060138 transcript:Et_8B_060138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNEVSGELKFVTKNVGKMAEAMEREAAIQEKAMHEDPQQKLREKAVNELRRLEFTGGELIQAASVFVKTPDQMGMLFALPEALRRKYIVNMLHDEKKGREESVGL >Et_2A_016623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26752258:26759949:1 gene:Et_2A_016623 transcript:Et_2A_016623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQVVVLAGGTSESLSPLVSKDVPKALLPVANRPVLSYVLDLLEASNLKDLIVVVEGQEAARLVGAWVSSAYVDRLSVEVVAVPEDLGTAGALRAISKRLTASDILVISGDLVTDVLPGAVAATHRRNGAAVTALLCSVPVSGPSDAAASGGKDKAKKPNRLNIVGLDPTKQFLLHIVSGTDVEKDVRVNKRKIRAVGQMEIRSDLMDAHLYAFKRTILQEVLEQKEAYRSIRLEVLPYLVRSQLRSALSGGNGATVDETGNSAVTSIGNLQCLSQHRIIAPSAFNHGTLSSGHRCCVYIASKSKYCHRLNSIQAYCDINRDVVGEVSHLSGYSFSAHNNIIHPSSVLGSKTTVGPHCILAEGSQLGDKCSVKRSVIGRHCRIGSNVKIVNSVVMNHVVIEDGCHLQGSVVCNNVQLQERAVLKDCQESDKILSDDTEVNN >Et_3B_029875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28728464:28730948:-1 gene:Et_3B_029875 transcript:Et_3B_029875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFELADPRGSGGGGAGWPVKGGSQEEGAASSEGMAMAAASGGILSDYYQAQELSTMVSALTDVVAGGGASRRSGWEEQAMHGGGYRREMGAYAGATSPEFAGIDALCLLETSGSNVVRRRIDMQKCSFSPALKHRHNTTQQVFKADRASPHVFNPVCLRSSDTQSAGAAAMEEHHSAATTNNPEGPDTPRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGSRAKLNFPEDARLHPASSAAAAAAPPPAPVAAASTSPAVYGGAAQGSSSSEYPRYQMLLQGTAGNQGTLLPFYGGGMTSNPYGGAGVMSNPYGGGGAMSTSYGGGVGNTSGFLGSYYSFPTSSVTVATVPSSASGHYYSSSHDSQHQGEAAAEWNWENALTYPATTASWSDSSQYPPPPQTQ >Et_5B_045484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2879274:2881811:-1 gene:Et_5B_045484 transcript:Et_5B_045484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLFSCPVEDDNVALGEEAGGVLAPAPCAGEPTVLKASLGSGKLRIEGSLSFKREPQSPSSCTSSLLQVETKISITSSSPCSSPRAAATAAAPVPMPRELLRTRFADAAAASSAAPESPKHESAAVTLQKVYKSFRTRRRLADCAVLVEQSWWKLLDFALLKRSSVSFFDIEKQESAVSKWSRARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHYYYDCWLQCESKEPFFYWLDVGEGKEINLEERCPRSKLLSQCIKYLGPKEREDYEVVIEDGKFMYKNSRQILDTSGGPRDAKWIFVLSTSKNLYVGQKKKGTFQHSSFLAGGATSAAGRLVVEDGILKAIWPHSGHYRPTEENFQEFQSFLKDNDVDLTDVKMSPDEEDEEFWGRLKSIPSDLHPAAAKPEANYTVAAQETTLCQAAQPTKATAVEEASSEHEQTSCKSLRPISTIRNQSSSENAEPSTICNDGATSSEDRQEETDGNDDDQAAVPREKILERISSKKGAKSYQLGKQVSFKWTTGAGPRIVCVRDYPSELQLRALERVHLSPRSGGGGRSASSRFASPQRSGSPMARGCCEPFTPPREAFRTHLRPGLLIS >Et_2B_021962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7387607:7390032:1 gene:Et_2B_021962 transcript:Et_2B_021962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAEATHQKDDDHVAGAGPAEDGGVQPAPPGDNNKNGGAGTWEIEELEPENGGGGAASTTDDVYVAVGKGGSSMAALSWALRRLTKPGTFVYLVHVFPVVTSIPTPLGMMPKSRASPEQIETYLNQERSKRREMLQKFLDQCRNFQVNVDVYLIESDQIANAISELIPVLHIKQLVLGVSKSNLRKLKRGSTIAGQIQKSAPLYCEVKIICDGKEVTTIATAEPTPPLSPSPVNNSTRSNNPTPPSSTPNHDKAATNGDKNNSELRERNKIAKFLRCLSF >Et_1B_013858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21066693:21069372:1 gene:Et_1B_013858 transcript:Et_1B_013858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDGGRRAPSLPGTISALTDDLLRDILFRLPPHPFQLASASCVSKDWRRVVCDHDFLDRVRRRHRSPAVVGFFDNRPDPRFPRPIIVGGRERFVARIPFGHWWMYGCRHGRVLLHERHTGMLLVSDLMTRENHYLPLPPSFRAGCESLGTVLCADDHEHGDCHARPFLVAFMFVNPGRSGTHACIYSSETHEWGAVTSISLGFAYIHMEVMHTALVGRTIYWMVSGYQILKYDLDSHTLDLVNESPFYVFTCCADGMLLLPAEDGGLGIAAVRGFYLRFWSRKPNNDGVIEWKVYKSIDLRRFVKPEQMKIIIEKNLPLRAIAIAEGTDVIFISVTYSVYSLHLSSMEFKEVLRGVYINRMSHPYSAFYTAGANNAGAEDGPANLAGAVGSALFAQVECCLDLQMILHLTCNVAALEVGGGPSLKGGIRMFGNWAWPRKAVL >Et_3B_027611.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:31924141:31925982:1 gene:Et_3B_027611 transcript:Et_3B_027611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSQPSATGKLTTRPSPWSFRLRLPGLQEGVRVHPEQRVAEPVEVQGHVLAAQVDVGDELPPAVPLDGRLRVGEHDVVERVLAAERALAPGHLVLLVEEPHPLGRLAEAVARDRGDADGRDLRQRRAPGAEEEELGQVGAVHAHQRVARAHGRVHGRQRRPVGRLQDGDVEPHRRRQARHGRLVLDHPRALARHDPQPRPLLLLLVDVVFGGLVDAGEEVVEVGDGLVVPEPGGVDAQDAVEACPDDGAGEAVRPLVVEQVHHGVARQAGDDLAERAGPPRVVREHAQHHATPPQVLHRQPRRQQPVVEGVEEVARVPARRHHQQRRVVHHVAPVVLLRPLIIIITSHLPETHLLDRPHDEALPLARVRPHPFFLLGRRRDVRRREEEERQRRGVRAHVAGHEADVGVEVGAVAADAVVAHRRARGPHLVVKMNHHAVVVSRIAAHRCRSVVTRRRIHRRREEVGAVGDHHEDARRVEEHAKDDGEEEEEMDKAQVALLVVHVVHGVVLETLEVLEL >Et_4B_038533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29680341:29682680:-1 gene:Et_4B_038533 transcript:Et_4B_038533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRKRPPPPFLGFSRFARTLLFSAIAASSATPGSSKQRPLPAASAASETPPDDMTRPPSKRAKRAEASSDEERSSSSSESEEESFSNSDSDGEEEDQTSEELETVQADFAFFDPKPSDFHGVKLLLKTYLDSKPWDLTGFVDLILAQTTVGTVVKLADDEDEEQGEGNGNDKANTSSNDDEDLFGLISVLNLGRYAEQRCIKDLKEHLLSVCSDKDTKKKLKPLLEDNASSVGLLVCRRFVNFPYELVPKLYDSLFDEVSWATEDEPTQELRDSFRFKQYLLVVRIMERKTPAKHKSKNSKDEDEPVIYPKLEDEIFRELCSWSFTFPIRTEQSTQQEMKNYKEMGLVMAIKAEAIPKFKKKLEALSVMEYSV >Et_7A_052229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5881120:5883629:-1 gene:Et_7A_052229 transcript:Et_7A_052229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALTTATSALPMRLPATLPPRPQLCPRVARLRLQPRRRALLRCAAVYELAPAASAAYGVLLLGGGAFAYARSGSKGSIYGGLAGSALMGIAYYLMQSPETKAAGDAVGFGSAFLFASVFGIRLYNSRKLVPSGLLLALSLGALGVFYSAYLQDKV >Et_9A_063516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5822782:5825333:-1 gene:Et_9A_063516 transcript:Et_9A_063516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARILLHGSLHVTILEAEGLCNSSQAPQFLRKLVEGIEETVGVGKGSGKLYATIGLGKARVGRTRTLTDETEKPRWDESFHVYCAHLASDVVFTVKAKSSIAGASTVGVARLPVREVLAGGEVDRWLPLRDDGGDEKEVGGKVHVKLQYFDISKDHAWGRGVRSGKYPGVPYTFFSQRQGCRVTLYQDAHVPDGFVPRIPLGDSGRCFYEAHRCWEDIFDAISGAKHLIYITGWSVYTEIALVRDSSNNHRPSITLGELLKKKAGEGVRVLMLVWDDRTSVGVLKKDGLMATHDEETANYFQGSDVRCVLCPRDPDDSGSIVQDLQISTMFTHHQKIVVVDHDMPMPNPSYRKRRIVSFVGGLDMCDGRYDTPSHPLFRTLDGPHRDDFHQPNFATACIAKGGPREPWHDIHCRLEGPVAWDVLYNFEQRWRKQGGKDLLLALRADLSDAIIPPSPVAYPDDTEAWNVQLFRSIDGGAAFGFPDTPDDATRAGLVSGKDQIIDRSIQDAYIHAIRRAKSFVYIENQYFLGSSYAWRRHDDDGGLDPDDVGALHLIPKELALKVVSKIEAGERFAVYVVVPMWPEGIPESGSVQAILDWQRRTMDMMYTDIAQAIQAKGIHADPKDYLTFFCLGNREAKRPGEYQPTEEAEPDTGYIRAQQNRRFMIYVHTKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPHHLAASGRPARGQVHGFRMSLWYEHLGTVDDAFTHPETVECVRKVNAMADRYWDLYAGDDVPDRDLPGHLLTYPVKVVADGTVTQLPGVEFFPDTQARVLGAKSDYLPPILTT >Et_5A_040649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10813677:10822436:-1 gene:Et_5A_040649 transcript:Et_5A_040649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAHAVAATRLLRDYPVHTTAPQAISAAAWQGSGGRGGASRAAEAGEHVGESSAGGGAAEAGDFRLGWRELQVRCGWRGAAEERRQGQNRQRKDEFGGFLYCGEKESVIDGVLEKVYFEEMVTFTSVAVPPVSPSRASFRASGLGSTGLRGRRKQAMVARRFVVRQDPAAAGEGEVEEHAVEYDTDHGLDVLRLQIFSLTSVPPELQKIVVEADGSVVDDGTDLEAITERLRIVAISEEEGKADAAAEASRAQEMSDEELARMLQAEEEALLLQQYTVQTDGGEVFRQRVEPYMNQVLMYEDPMRQGAARKTVPLDELKEKTLVSLAKEGNFSPSKEEENHAFLLQLLFWFKQSFRWVNAPPCDNCGRETSNVGMGTPLPSEIEFGASRVEIYRCNHCPIITRFPRYNDPHKLVQTRKGRCGEWANCFTFYCRAFGYEARLILDFTDHVWTECFSNLYRRWIHLDPCEGVYDNPLLYEKGWNKKLNYVIAISKDGARDVTKRYTRKWNEVLSRRMITSEDNVSAILTSITQNYRTGLSTNALRVLETREKEELKELERSVYLEAETNLSLPGRLSGSVEWRRARSELGQADSLSCSSCPVRKCVDAHVSEIYDALSGLRSHFYDKGIPKEIIIEVFNTVKTLFINLKDASFNNRSAALGKTHQVFEEIFPSIEGLFSAMSLKAELDTSGHRFVTVVGNPVYSSLALPVALDAIDEILSNYKNNILCTEGNQFPRSNRLCSGSVLASREQLPFGIATAAFDGIHSSKWEEPDGAKGCWLIYKMLYGQTCELESYDLMSANDAPERDPMDWVLEGSEDGGSSWITIDERSSEIFESRFCRRSFSVDRRCKANIFRFRFLRARESNGNPRFQIGSIDLYGQGRL >Et_3B_030035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3067314:3068644:1 gene:Et_3B_030035 transcript:Et_3B_030035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDAYAKFSVEVVLWSAVYIFPLKLLNSTVYNQFFLISPCDPVSGEIFPMFTIDCAKCWKKRLTSAAYAFMSAAVSALLDLIRAEKALASQDVLVVKIVKLG >Et_3A_024552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21968245:21969440:-1 gene:Et_3A_024552 transcript:Et_3A_024552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMAEERCALVGELVQVLEMVRQLDAHMAGGEERCRALVATMRASIDRSVLIARSCCAEPGRVFGGHHQPESPPSGGSDQAGDSRGRGNAAGHCKRRKTQPKWSIQVRVSAVPDVVPLDDGLSWRKYGQKDILGAKYPRAYFRCTHRHTQGCMASKQVQRVDGDPLLFDVVYHGDHTCAQAQGAARPAGNQTTTEHSQPQPGPEQLAVASPLLERAVLPFPLPSSNNKPAAPGANDDNGCEASSGFAAAGVTASSFMLSGTQETPEESHLVSGGSNYTVAGVRNVADDVELASATNSPIGDMDFMFQLDDAADFLENANYF >Et_9B_064878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19512931:19518583:1 gene:Et_9B_064878 transcript:Et_9B_064878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTAAAEVAGAGDNTAGDAQIKGSKENGQPAQQPSGSEALEMPATPLPRDIDWSEHFSFFNSVGALGGSSDGARGMTSVGLSNSGSRPDSVTQRGLDDAEERVEELTLKNCINSDSQPEVSAGGSSGSGDRPTVIKGLWGNFTRMAWRTGDVVIRENPAVSWGNIANPRADDVSSKENLAASVANNTISRNNGMSGMDIPVSRGGNANDEFMMPFLSQQFPSSARPNQNEQRAERENALKVSSFSNRILDQMRSKTLTPPSGVLGSPFKNNLKGKGPVNQGAREEIQMQANAKPRVPLDKIPTSMPDSMAKAEPLLFKTGGNVAKSHCEGVSLRELIKPGRQTMSKFEKMNLFKKILGLVDKCHGEGFILQHLCPSYFTIPSSGEVKYIGSYTTQDLSTSIRRDIAPEDTINRKRSFVHKSEHQASNGYGNSTLKYQKVDEQGSIAVRRPMHSFGPDKRDNNQNDAVDPDILKQRSFVQHMSGSGNQQSVIELRILEESWYKSPEELSQSSGTFPSNIYSLGVLLFELFCCCETWEVHCSAMSDLRHRILPPSFLSESPKEAGFCLWLLHPDPCSRPKARDILGCELINEGRDLSLLDQAPAAAISEKEAESSVLLDFLSQLKEEKEILAAKLSADLASLQTDIAEVEKRHFRRSGFMDALPSSSASSGVSANTPEGALLSGLLPSMCKSNIYEERVMNNLEQLENAYYSMRSAVNTCETNAIKRLDNEALRVRENFYQLHSADAANEQTDRLGCFFDGLCKYARHSKFEVRGVLKNADILNSPNVICSLSFDRDEEYFAAAGVSKKIKIFEFDSLLNDRVDIHYPLIEMPSKSKLSCVCWNSYIKNYLASTDYDGTVQLWDASSGQGFMQFTEHRKRAWSVSFSEVDPTKLASGSDDCCVKKNCIDTIRNVANVCCVQFSPYSSRMLAFGSADYKIYCYDLRNTRIPWCTISGHGKAVSYVRFLDPETLISASTDNTLKTWDLTRTNSSGLSADSCSLTLSGHTNEKNFVGLSVHDGYITCGSETNEVFSYYKTFPMPITSHKFGSIDPITGQVTNEDNQQFVSSVCWRGKSNMVVAANSSGSIKVLELV >Et_2A_014649.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31974151:31974900:-1 gene:Et_2A_014649 transcript:Et_2A_014649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHLQLRRPPAIEKLAVSESTKHAGASAGKHGSAAILHEAPPGTSPRTVPKHHIPASPRTCLCSPTTHAGSFRCRLHRGITGGLGLGGGSVGSGLPEMGKKPGV >Et_2B_021107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26579315:26579561:1 gene:Et_2B_021107 transcript:Et_2B_021107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDHPLMLQRLWDVNDRSMEAEPPMGSLPSFIHELVDHYSATRLV >Et_2B_020699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22810780:22814310:-1 gene:Et_2B_020699 transcript:Et_2B_020699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLASIAGGVPVCMRDALRGEEYLAVYYWLLCAMVKGISFGGTGILTTLSQSNGKYKYDYATIPFLAELLKLSVSSFFLWKECQSSSPPRMTKEWRSVRLYLVPSVIYLIHNNVQFATLTYVDPSTYQIMGNLKIVTTGILFRLVLKRKLSNLQWMAIVLLAVGTTTSQVKGCGDAPCDSLFAAPVQGYMLGVLSACLSALAGVYTEYLMKKNNDSLYWQNVQLYTFGVIFNMGWLVYGDFKSGFELGPWWQRLFNGYSITTWMVVFNLGSTGLLVSWLMKYSDNIVKVYSTSMAMLLTMVLSIYLFSVKATIQLFLGIIICIISLQMYFMPVHMLVELPQTLPVASK >Et_9B_065291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3243547:3245099:1 gene:Et_9B_065291 transcript:Et_9B_065291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRFLSWTPRRDRDSPADSPAPTSQGGSSNGDRAGVARKTKEVDRLLAKLAKAGVEIDEKIASIIDGEIASIKAEAARDKFTQPKINRMEREIIACIAVGFMMGAEYVCAFRWIKDYNIAGSGAG >Et_10A_001138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21479842:21480535:-1 gene:Et_10A_001138 transcript:Et_10A_001138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLTCDGRSTGTGVRASKAQPKRARRPHPSVSVKGSIGCGRWRSANAGAPPPTGVGRRKSTVGDSEKKSELWATACGRCSLLFVWVESPEDEQALWACQIAPSVVIQPVKHSKPCAGVTIWLDADKYSSLAPDASPPFGRHAETEKSGHELQHMRRHPACSLFSHPLTPHLRSTSSPRLMAA >Et_2A_017671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4976270:4986530:-1 gene:Et_2A_017671 transcript:Et_2A_017671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSFPLPRGSELLRENRTREKPYLWRFSAVAPRRRPPPAAAADASPSSSPRKPGDHASARAGGPPEAAAGVGPCEQARKALCVRSPFDGEEAVGRDPWLPSRVARWAAVGDVQRKHKKSQPPQQQPEPAAAVEPQPKLPAGCKGFWEQMEPYFREFTADDFEELLTKRQFCSSQIDPCFFIPVVGSGKELAENLDPPHAVAHECSDMNLNLEKHNEELESGGVQDMHESSNLVGGSVEVVCQDESNRENCDQDMQEVIVQQEEHPIEIVLDGTRTESTVSPGCREDSRTCLNWLLGVRGRFVLTSERPNKKRKLLGADAGLEQLMLLPSVEGKAGSVCDVCCLGESGTASNRMLHCKSCEISVHQKCYGVHDVPDRFWFCVWCQRNIGIPRRLTRSDACRTVSTPCVLCPKEKGALKPIKRDPGPSADGGNQEFVHLFCSLWRPEFLVQDMESMEPVTTIVDTQENQTKLVCSLCKMMHGACVRCSHGTCRTSFHPICARESKLQMEIWGKSGHDNVEMRVFCAKHSAGRGINSIQNDRSCSEQDSTQVIQRDGKLVTGMEQVVRFTRSNKDKFTNDTLIATSCSLNKARTVEVATSPSTAASFENQETPITDMVVDQPVIDGSLCSNSGDASGVLRKLVDQGKVSVGDIESELGLHSESLEAALEPETTAFSPGLKLKIIKLLQNTVYVPAVQVKSLKEGSLSPQGTLLASENKNFTDAELHSGHLDGISSSDHHFPQDDNDNKCLDDLIQNGSYSCCDSDANLISGRHLLNIDGHNCYIHPSIEEKLKDLLGDDFRRNNQANDYHEIAAMDQVRKANSSHILEHSPDDEIEGEMVYLQSNLLKDFVAAKQRYEELMLKVVQNMSYELDSFNKRKWDHIIVNQFLRDLREAKKRGNSERRHKEALAILAATAPAVASTSQNAAMRKEAENVTSAKQEGIPKANVGSLRVLQLSSLPQAKDLSCSNNKVSEDINLGTFDLAKFSKKSAFPCDICMRGDTVLNRIFVCSSCKAAVHLDCYQSLQYPTGPWRCERCQEMPLDNVITVNQSECHGAKECLVQCGLCHGTSGAFRKTLKGQWVHAFCAEWLLETKFRRGQCNAVEGMENLRKGKASCSICNRCVGACLKCSTVGCQITFHPACAKDAGFYMNVKRIGNVLRREAYCGQHSIEQRKDYCQQYGSEEFQSMKRMRVELELLRFLCERIVKREKVKKDLVVCEHEVLSARRISDASSVWSSCYASGPGASSESATTSVNNKSYSGTMQRSDDATVRSDDVTVDSTVTRKHTVRFSLHSRDADRNTADSSTSTISYKRKLDDGELLVCKNLQESSLLELDGVETISTDKKNMTLQHNGPYQTEQVVSKSKSHPERYVYTRRSSLSKRKKCNQHEGPGG >Et_1A_004573.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:18978090:18978461:1 gene:Et_1A_004573 transcript:Et_1A_004573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTTTAAVLALLVAAAAVAVVVAADLSPPAGYTTKEDESSYFIKQVGTFAVNVYKMAHLCVMFYKYTVQCWSMPAGGGANYYWMVLTATNGTGTAGQYVSKVWGVPGSESTTWKLLSFNCTS >Et_3B_030496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4230092:4233042:-1 gene:Et_3B_030496 transcript:Et_3B_030496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPSPGTIKATVPPSTTTPSPASTTPGSSAPVTQPNATPADPSSSPPAAPPPLPSATVPPPQPASPPPSSPPPPATVSPPPVIVASPPPAPAAVVPPPSPPVAVPPPPTPAAPPKTSPFVPPVAASPPPSTLPAPNPPADTTPPTVAQSPPPPGRRGPPRTPTAQPESPPLAPPPSGIPVKPSPTSPAPTSRDPSIPTPTPPGSDPSSVPAPASIVGPTDPTSPVTTGSPGSNKSSSPTAHGISPPPSSGGMSSGAKAGIGIVVALLVLSLVGAAVWYKKKRRRVHGYHAGFIMPSPASSPTQVLGKTNYSAASPESKESMPEFSMSNCGFFTYEELHQITNGFSAQNLLGEGGFGSVYKGCLADGREVAVKKLKDGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISADQRLLVYDFVPNNTLHYHLHGRGVPVLDWPARVKIAAGSARGLAYLHEDCHPRIIHRDIKSSNILLDNNFEAQVADFGLARLALDACTHVTTRVMGTFGYLAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASKPLGDESLVEWARPLLAQALETGTVRELVDQRLDKDYNEVEMFRMIEAAAACIRHSASRRPKMSQVVRALDSLADVDLTNGVQPGKSELFNVANTAEIRLFQQMAFGSQDFTTDFSQSSWNSQSRGLDTSGSRQL >Et_2A_015266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10050198:10052506:1 gene:Et_2A_015266 transcript:Et_2A_015266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIHGEQPGIQPALPKEGHVGFGYPVEVDGEHAGIHPECLQDFVEGSQLIEPKLQVAAEEPAMEEAGCEGAEARDLVPRQAKIGKFFDAGHNGPLHVGGEEPRVYGDGGGDIAGWDPESAAEESKYGVRLGVGDLLGGLERLSAGNAASGDEERDEEAEDAAAAAEQRRRWRETTGEACDTEKLCWVRRVSERAHARERWSAIKGGGGGRVNRGAVFRSGLSRLRSEKSESTSAIRAAVGLRLGLKGLHNLRVEEPGDYTKRRNRLGGYYPSRFHPYPWQPHTLIINHVTQYNHFGNLGLN >Et_7B_055412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10008560:10010303:1 gene:Et_7B_055412 transcript:Et_7B_055412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSKLSSGIKKASRSHLYHRRGLWAIKAKHGGSFPKAEKPAAAAEPKFYPPDDVKPRQPSTRKPKPTKLRSSITPGTVLILLAGRFMGKRVVFLKQLKSGLLLVTGPFKVNGVPIRRVNQTYVIATSTKVDISGVNVEKFDDKYFAREKKKKAKKTEGELFDTEKEVSKDLPQFKKDDQKTLDAELVKAIEAVPDLKTYLGARFSLRDGDKPHEMVF >Et_2A_015162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33796801:33797967:-1 gene:Et_2A_015162 transcript:Et_2A_015162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARRLLLSDYDGAIESPLPSPPPSSSTPFRPGVAVVVGILTSVFSITFLLLLYAKHCKRSAAESSGPYGSGVSSGGGGAAGDRRNSGVDRAVVESLPVFRFGALRGQKEGLECAVCLGRFEPTEALRLLPKCRHGFHVECVDTWLDAHSTCPLCRSPPAPTPVAAARRISGRHSTGSVRAPCRVGPSSRRSVDGGVAVGCFDGAKVRKDRVLMVEPAAVVAEPDPEAFDRRFGHRILVSTAGGCEDETAPAAKQRWSDLRPCDLMFVRSEMLVTEAGRYSCSAAVNSGNTRSISSSIRSLSELAGVSRLPPIRAGDEPRAAGAPRRWPGSSWWARGPPGGNGPST >Et_1B_013199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6333604:6337729:-1 gene:Et_1B_013199 transcript:Et_1B_013199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAEPGIRLSDRTRRSSLGLRFMVLLMHVVFVGAVFILDPTLDRRIHEEPWYIGVYGVLVLLTLVQYFYTAGSSPGYVIDVMRAGSTMHATFVNTAALSKKGNPVQEMEAQIPPTSRAQLQKLNTMTATSSWAQMVVDLYPPGSSSRDWTCAYCRVIQPPRTRHCHDCDKCVLQFDHHCIWLGTCIGRKNHCRFWWYIFEQTILCIWTVALYIESLRLDIEKAWWKDFVGVIMLAVLIFILIFLLLLWLFHSYIALTNQTTYEVARRKRIFYLRGVPDRVHPFSKGICRNIYDFCSPSQKGFVLEAVPPLEELEARAARYTCRDIICCRCC >Et_5A_042973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8422198:8425463:-1 gene:Et_5A_042973 transcript:Et_5A_042973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDGHEFRVLDTHLVTPSSPDVPCSLPLTFFDVKWLHLPPVERVFFYRLPQDADADAILSSLKASLSHTLRAFYPLAGHVRLVPGTSNRHELLYQPGDGVVFTTAEYDAGVDDLAASPDGGGDPVPVSRLSPLVPRLPEGRAVLAVQGTLLRCRRGLAVGVTVHHSACDGNSSMHFLRTWAAACAGEASPPTPVIDRTLVPDPMGLYDTYLERMPPSVRRHDFEFVGKAGAGTTADEEKRLMATFTLSEEVLQTIRAGYASARAPTAPSRCSSLVAACGFMWWCHSRATPPQLSDNDNNNKTKKRRYFLLSVDHRARLDPPVPAGYFGNCLCPAIATAAQDELAGAGAGAGGGLYAACAAVAAAVEEEVGEGARGRWGVCMERVREAVVNGTLSVAGSPRFRVYDVDFGFGRPAKVDIVSVAKTGAISVAEARGSAGRGMEVGVSLPPPAMELCAVLAHTGHRIDCVNGLWAPTTAHGPSAIAHEQKSLLVFYD >Et_4B_038309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28011555:28018439:1 gene:Et_4B_038309 transcript:Et_4B_038309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSDGEHERDDVSDDESPWSDGVWSEDDEGSLSFEDSGEGSDAESDETGEEVEESDSSEDEVAPRNTVGDVPLEWYKNEEHIGYDIEGRKIKKRDREDRIGTFLKNADDDKNWRKIYDEYNDEEVKITKEEAKIISRMLKGKTPHSNVDPYPDYVDWFEYDDKGHPLSSAPEPKRRFVPSKWEQKKVVKLVRAIRNGWIKFDKPKEEPKYYLLWGDETDTADNKRQGLSYIPAPKPNLPGHEESYNPSVEYIPTQEEIDSYQLMYEEDRPKFIPRRFESLRSVPAYEKALREGFDRCLDLYLCPRTRKKRINIDPESLKPKLPSKKDLRPYPKTCYLEFKGHSAPVKSISVEITGQWLASGSSDGSIRVWEVETGRCLKVWKVGGEVRHIAWNPSPDRPILAAIVDHELLLLNAEVGSEEAQMRAKELLLMDESAPQDDADKKPVVRWVKHEKLDGITLIHQKAVANVDWHFKGDYFSTILSKKNSHHPFRKLPGLPVAAAFHPSEKMFFVATKKFVYDLQKARLVKKLESGLREISSISIHPGGDNFIVGSKDGKLCWFDTDLSTRPYKTLKIHSKDITRVTFHRKYPLFASSSEDCTSYVFHGMVYSDLNQNPLIVPLEILRGHSSSDGRGVLDCKFHPKQPWLFTAGADSMIRLYCD >Et_1B_011698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25148443:25149845:1 gene:Et_1B_011698 transcript:Et_1B_011698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLLRGRRTHALLRRALSTAPTTTAGDASPSSSSIRKRLSREHDPDRAISIIDALDTASLPANATRNALSLAARCLSHSGRIDDAEALLSSHLRAATTEARLVAVISSYVAVLNAYTAVSLPEKALYAFRSAAPSLPSPSRRSPSTPSSPSSSDATLTTASRKSSPSSPRSSPSPPTPIGDDAKAQQVLVQMREQGIPLNTRLYTSVIDSMYKQQKTEEAERLWKEMLESGCQPDVATYNAKAMYFGFHGKLEEILEVVAEMEASGLKPDTSTYNYLMISYCRNGQVDDAKLLYHSLKKKGCTANASTYRHMMAELCALGDFDAALGIFKQSWKKNKVPNFKAMKGFVEGLTKGGRVPGAKWVIAKIKKRYPENSGWKELEKELGLDSNSEHSSSKKTLYEAKSDIAETLELVQSAVKETIKSKESSSADEAPEPEASTAEEALRGPA >Et_1A_004640.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:25032074:25034010:1 gene:Et_1A_004640 transcript:Et_1A_004640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVAACHCSHRRRRTRHLLLAAAAATAGYGIYRLYRHHRRRIVAALTLADAVSLVGSDLADFLRSDSDQVPQSLLQLSKLAASEPVSSAASSISESVASGVLRAISSHQQLHQQGQQDTQSSLQDRILDRLFSPAGAGFASAVVGSFARNLVLSSCDGRTAGAGAREEPEWLTALCSTRGKEAAADLVRVFVSTAVATYLDRNVAVRSSDQVPAGLSDPRHEARVKDLAVSVCNGAVETFLRTSRQLAKEASAARVEAVALEREAQNFGPNGVIRKVSSTLAVPSNRRFVLDVTGRVTAETVRSFLDFLAQRVSDGARKSIVVARDEVAERGLVAVKYIGAKSMAIFTISLALCMHILMGTRFLLPA >Et_6B_049542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6281606:6284042:-1 gene:Et_6B_049542 transcript:Et_6B_049542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQGSVVDATIGWLVQSILSSLTHKLDVWARKVGLAADTQRLEREMRGCHGPENWGRELAAGALLYDAEDVLDELDYYRLQREILQGCRFCHVPCRKKKEKSRTGARGNAYTKALPTFYHLQTLDASHINLVIPSHMSNLVNLRHLIAGEKTYSNISNPGKMTSLQELKEFAVKNTNGFEIGQLRSMNELVTLGISHLENVRSKEKATEAKLAEKDHLENLYLSWDGSGTSFEPIKTTVHKEVLEGLRPNRNIKHIQIRSYNGGVSPQWLGTELSVKPLQSLHLENCSEWRIIQLERITSLRKLKLIKMLSTVDVSVPSLEELQLCELPDLERCIGPYKKELASQLRMLSVESCGRLKDFTLFQSYDCFQVEQKTWFPFLNKLTIKNCPQIMQWSILPLEEMR >Et_5A_040987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16100355:16106441:1 gene:Et_5A_040987 transcript:Et_5A_040987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPGLVTALLLILLLAADGGMDILSKFQLESCESSTTSAHLNCRERLVVDMEVSSVVVSRRPIPHALAFPQHPESCCFTLLIDECCMQSGGVDSLVANFTKVGETGKEAMIIRDPPVITVRKSEVYIVYALTYIKIGITHIVIQAPLCLSLQDVNAKPEEQYVDARKCEPDAGADVVKYCESLFAVHVGTIVLKHLVQTNGNVIRLTAYDLLVIGYEVNLRLHFDQLNFTQEIVVDPNNRTAVSGDNFLRVNIVGDHSEYNHMETYVDHYLMTPRKGNTSGQPQVIGDEYSRWLLAFQTQNNFCSHNLGDCLKNQISDFIEDDKNRTKDGEQPKYVVEGRFQRINQHSVKFSLVSSLYLLSFANESREIIAIYVPTFEALRQDGVANVTTKNTGKLEASYSLTEQYFSMKPGEATTRSFTLHTTTDQAENYTCKAHLKASNYTEIDSAECQFSTTSTVLKNGTQQVLTKITFDVLILPLWIIHHIGRFDLLGLERHDEARLRHMRGPHRHHHQGDHHHSHRHSSGPSHHHHHRVLHKHDEEQADEVAAGGFRSKLQEMLHTVQHGNVHKHRRRGKEMAALHLEGPSQHYKGRMHHKRHVGHVQEQENHRQRAD >Et_5A_041739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26526919:26529689:-1 gene:Et_5A_041739 transcript:Et_5A_041739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNPLTTAIPTIVCYRDASGLIYSGGKYGFFATDLKVEQTRLLQDVRRQDNIVPYMTFYTPGLLDLHLYLWFPIRRGWWALDSRHGRVLLIQSSPRTSRFDVGRALAVWDPVADKLRELPVLSAPPPQLTQWSSLNATVVCACAATGSSDCDHLDCPFLVVFLGSDHREGKYFAYLYSSIADAWSEPTTNAPAPYSGRIHEQKLLPHLGNSIYFLLMFSATILEYNLVTHESTVIHPPSANTLA >Et_2B_022189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1239720:1240535:1 gene:Et_2B_022189 transcript:Et_2B_022189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIMTPLASHLEPSSMASTASRVILVEPPESTVLWYCHAGAGASASWARHEYDLGGTSVPVSGGSCWCPRFITRLAPRSGAGGFYHFYSATEYGVIEFNSPAGGPPEFSTVPMRKVPVAHPAGQVVAMASMYGLDIGGELHTVSVLHRHDDVNSVVDIKLYKMDFERQEPVRVDSIGDRAVLAGSSVHFGGWCPATDFGLLPNTVYWMSRGDKRLHVFDIRTGKKEVREVGKGVAAPSRQPFWMIPEH >Et_2B_020825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2401095:2405488:-1 gene:Et_2B_020825 transcript:Et_2B_020825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADEREEEARRAKEAGNDAYRKHFLETAVHHYTRGALLDPGDISFLTNRAAAYLHMGKYKECVRDCDEAAERGRELNADRKLIAKALSRKASALLELATCARDYAPVIRALQQSLSEHYSEETFAKLGEVEEARMELEEQERLDQEAADHHRERGNEFFKQKKYHEAAIHYTQAMKFSPKDPRVFSNRAQCNIYLGALPQGLEDAEKGVELDPTFLKGYLRKAKVQFLMENYENALATYLEGLRCDPNNLDVLDGLRRCAAYIKRANGVDIELEDLNEMLGNFRSEGVLRQFKKAMEQTSALKKEASDERLKRIESERMARTMEECLSGVQQELEQLKIQHNVVKESNQNLQALLSESRGQYDWLLSEHDRCYMREIVLFVEELRQKRGQMLSVLVTSMHCEFSSSELERATENFSILLKIGEGGFGCVYRGILRNMTVAIKVLKPDSLQGQSQFEQEVAILSRVRHPHLVTLLGACSESSTLVYEFLPNGSLEDFLVCPDKRRTLMWQTRIRIIAEICSALIFLHKNKPHPVVHGDLKPANILLDANLVSKLSDFGISRLLIQSSTNNTTLYRTMHPVGTPLYMDPEFLATGELTPQSDAYYFGIVVLRLLTGKNPVGIKKIVEDALEKGDLNSIVDASAGEWPEAHVQQLAHLALSCTELSRRCRPDLSGEVWRVVEAMRDAATQSSASSSRSVPDEICTPSYFICPISQDVMNDPHVAADGFTYEAEHIRGWLDSGHDTSPMTNLQLEHDELIPNRALRSAIQEWRQQQNTAL >Et_4A_035096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9438405:9438967:1 gene:Et_4A_035096 transcript:Et_4A_035096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWTVEIASPVAAPRLFRAAVLDWHTLAPKLAPEIVASAHPVEGEGGVGSVRQFNFTSAMPFNLMKEKLEFLDVEKCECKSTLLEGGGIGVAIETATSHIKVEPAANGGSVVKVDATYKLLPGVEVKDEIAKAKESVTAIFKNAEAYLVANPDAYN >Et_3A_027213.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:567118:567975:1 gene:Et_3A_027213 transcript:Et_3A_027213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAMSSTKRAAPASCRGLKIPCKKRKCRRRPSPGNAGTATEANHGESEELLPPWATLDEDLVRVIAGRVLAGEFADYVRFRAVCTRWRRVTDCLRGRRVVDSRFHPRHWMMRPEGHRLYPGHTRLRFVNLRTGALASVHTPLFKNHFAMDSVDGLLLLQRDHDMAVRLLHPFTGDVVDLPSLATLRPQLAPLFHPVQERAFMAEIEFLQIMRQVCAAVSFSPDGGGVVTAMLALRSDRVPRVAFATSEDQRWTSRRLISGGPPAGPAATEEAAGGGHRAAASSS >Et_7A_051227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15853958:15857248:1 gene:Et_7A_051227 transcript:Et_7A_051227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNGGKKPVPGGRGGPTIRTLADINRGPAGFPGAGGGGSDSDEPQEYYTGGEKSGMLVQDPTKRNEFDAIFEQARQMGAVQGVPPFEDQSSSSRSFAGTGRLLSGETVPSAAAQPPAQVLHNINLWTNGFSVDDGPLRQYDDPENADFIESIKKSQCPQELEPADRTTAVHVNVIKRYEDYKEPVKPRSRFEGVGRTLGGGSSTDDNSAPAPSTETPPAARSVGIVVDDSLPFTSIQLRLADGTRMVARFNMHHTVGDIRSFIDASRPGAARPYQLQTGFPPKLLTDPTQTVEQAGLKNSVIMQKM >Et_4A_034328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31844978:31846135:1 gene:Et_4A_034328 transcript:Et_4A_034328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSMALPLSSAASTAAPTLPPFRPSLLAALRLPSSRAHARAVSAGYAASFYGGSASAAGGVDDEEVGDEEGSASGFGAGLGLGGGGLGISAAEAALALEEREMPPCPPGLRPYETMVVLRPDMSEEERLALIQRYEELLVAGGAMYVEVFNRGVIPLAYSIRKRNSRTGLPSTYYDGIYLLVTYFTKPESLKALQERLNADDDVIRSTSFKVRKRKAF >Et_7B_053771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12054624:12059413:1 gene:Et_7B_053771 transcript:Et_7B_053771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECETIAGATRQGAGPWNGLVDLAWRGTQAFPLSRRQQPHYPPTRCRPATGHARAYKRRQYSSCRPASDEPLLCSLCSSRSMASSTAATTNTAPPPPRRRHAATAAAAAAVGEWAAVSVTGAWRVEEVGKHHLMRRTGLPARDLRALDPALSASSYPSSVMPRDRAVVVNLERVRAVITAAEVLVPGPRDPAVAPLVRELRARLIASPAPPPQQQDDDAVVSPRSQGEGRGGKDGQAMGSDKVLPFEFRALEVCLDFACKSLEHETSTLEKEAYPALDELTSKVSTLNLERVRQIKSRLVDMSGRVQKVRDELEHLLDDDMDMAAMHLTEKLAYQAAGRSSRFGSEKEPSEFYQERADEGVGEVGDGSSEFSNSNETSAGFAPKIDELEILLEAYFVEVDGTLNKLTTLREYVDDTEDYINIMLDDKQNQLLQMGIMLSTGTLVLSCAIAVTGVFGMNIGIPMYTAANTAVFWQVTGGVVGATAAVYLVALLCYRRSGILQHAAWEWAAVSGASGGAWRVEEEVGKHQLMRRAGLPARGLRALDPALSSYTSGVMARDRAVVVNLERVRAVTTADEVLVPGPRDPAVAPLVRELRARLIAPADASPPPPQEEDDGDVIVSLRSPGSEERRRKEGQALGSDQVLPFEFRALEVCLEFACKSLEHEFLVAKKELAYVKLHSKHTVTEILPDTFRQASTLEEEAYPALDELTSKVSTLNLERVRQIKSRLVAISVRVQKVRDELEHLLDDDMDMAAMHLTEKLAYQAADRSSRYSTENEQSEFYEAVSH >Et_3B_028794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19221602:19226902:1 gene:Et_3B_028794 transcript:Et_3B_028794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGKDEHCILFQWVIPQCPMNGMSTDLISQCTIQKGSKELVDQAKMAMKEHGGTRTVYQDDGAKAAAAANCKNNQLGRRRPAGERKQHRFNMKVDARQDSFLFYVVALKSSSSISVNCGCKPLPTVDRSELDTISDPDEYFEAVARLDEAERAIKHLNGEVQPEKTLNFEPFMERQRRNPSQPRKSYSFSSAHDANATNMPASQSGNMTESQTSQDATHASVSERNEQSVPSRANECAISDNLAKEDSFAEKDKSDQITKIMASFQNFKGPNKLDFLCKTIGIGEIRMEKIYRRDSVVGDKPLRSAARTNTPEVRSQERQLPGSHQARVSELAKHFFGDGKMDKHSDLSKESPLPGSHQAQISELAERTFRDAVMDKEDDRFEGSPDIAMGEQSLAHDSSEREKDKETPSPCVKSVEHILDPEPNMPACGTIVDDPCSRHDIFTEEDDVPMDCSAIDKSNKEVVISSHHLEDASTEVLASTLGRNVAPDDIARASHAAEDNIQHQASEIVEEDVVAQDKSVHSLEIPLEDICPQNQSQVPDGAITKLTADLSNALSSTKLTKEKARKAAQRVNNKQQPKRGKKVADESNHSLEIPQAHFDSESQPHAHDINTEQQTAMRNVVSPNKPMGQKETQRRNKPGKLNKRKSLADAGLEWQSGVRRSTRIRHRPLEHWRGERFVYGRIHDTMVTVIGVKSYSPAQDGKRALKVKSFVPEQYSDVVAQGAKY >Et_8A_058271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3980582:3982610:-1 gene:Et_8A_058271 transcript:Et_8A_058271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLEYQTSPMGIVKIVSGEPAVKNDSSHANEDLTRDEIMLLQSFPGHESDDCEGAEVGCELAMSRGLICNVPYELYDLSELTEVLSLETWNLCLTEEDRFRLAAYLPDMDQHDFCTTMKELFSGDAVFFGSPLKSMFHRLNSGFYSPEVSQARESLMILEKRRHYHLLKLYHDGIIRKLTSVDKLLRSSDTSASFGGMVPISHNWGSVNCFPRVGLSSSSLPIIVKDDAAAFAPLKRAKVMDGTLTTQCSTSQNGTAHIAKLVELNSSESQIIHSSRQNSCKPPKGVLKIRSGSAYRTDGSEGVHHIPGTVLVNQLGMQSSSICTPSHAFAKDVHGFPENLSSPIGTISSRSSPLQWKGAPKTYALMAEIPFGVPMTVPDEPRAIYPSVPLRGLYHPTANHSLQYSSEACYTRELPHMQNLLKNFGRQKSIVHEISPDPHAGVSDSYQMNEYTTMHSSRNAESNSQMLNAGARVYPPYNNFAEQLETMRKYHNGMKVANQFPCTYSRKLHKRSLDIAESARSSTMVEDTVSPSVLASMANMKATAIEL >Et_10B_002597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17708480:17708980:1 gene:Et_10B_002597 transcript:Et_10B_002597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETPSTLSPTRQHLRPRSPLANGSAAGDFELRHWRTPKKRAPAPAPRWAPPPVIEIPHGAGGGGHGYTSLRDILSSPEYAAAGSPAAACVVGGGCGSCGDIHMIRHPLVKHAAYAYLQMTPSAREDPGRRGRRWRGPLCRLLLGCLSFIGALFRP >Et_2A_014525.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:19596354:19596746:1 gene:Et_2A_014525 transcript:Et_2A_014525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTTAPRKTSSCIIEELAEELQAPAPVPAAPEPAPTPAAPRRRARKTKAPIDVSQLRRSKRINKDLNGFRDEASADAAASSSTSVEVAASSVPAPHLFADNAHAIGTGFLKMHPADVSREALEASSDDE >Et_9B_066193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6321979:6329047:1 gene:Et_9B_066193 transcript:Et_9B_066193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLYMSLAVGIIFLRRFRFSLACWAYTFLVTGAAIASIRYATVVQNAFTKTLYVTLSVVSKITVTTLFGTSMVQALVLKNLFPNDISIAITECKMKPIMDSWRRNSRRCTRERKIEIQEQIQPVEKIKLKDEYGTYETVHKSIAELKDLDPFVNLDNGSGTTAAVASNIGHVVIPRVEDE >Et_3A_024056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16929393:16930234:1 gene:Et_3A_024056 transcript:Et_3A_024056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKWLKKQIEQSLAEWQDQFLCYKELKRCVKAVPGDRPPTPEEAALFVGPLDAEIEKINAFFLEQEEEFVIHHLELQEEIKRVVARVAAGAQHEAEAAAVRQEIVNFHGKMVLLLNYSNINYTGLVKILKKYDKRTGAGLLLPTILSLQDQDFFKTATVSQMVRGCEAMLEAVPAAAPEGQRRDREALAVAEQSIFRNTVAALLIMQDVRAGSSTRGSHSLPPLSLPDSDWLRTFQPPSPIPIFQ >Et_5A_040258.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6995163:6995504:1 gene:Et_5A_040258 transcript:Et_5A_040258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRAAAGRDIRRVGPVGSGASSRQPECNWWGAAGRQQQQQQQAATPRRARSRPPTGKGNRELVRRALSPPAFAARGVLRRWSFRPAQSRLRHASAPSPASIASAAAVVIISC >Et_6A_047376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4730592:4731033:-1 gene:Et_6A_047376 transcript:Et_6A_047376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSVLFAWLAARALRRPGGADEEVALSLSTVIFPYLFFRTVALSSMRVSFMLPLAAAAKLVGPNAGAAVMFVATVCSATGTERSADAVALRTPCYRSRAEHEYERGLVHFFIFAAVSLVAMGAFVMLLDAWLRRR >Et_6B_048481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:58590:60654:1 gene:Et_6B_048481 transcript:Et_6B_048481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSTSRISFRFISNLPTKSNLARRRGMPAEEDPGSLSPFASRLSQRSFGDEDLRLLEAALSAGPDLPALLHTRSSARRILRARAAEAFLRAPGASPSPTSSLVPSPSSTMSSCLAMRYEALVLRDAKYSNNPQLQVSREEWSTFAKYSLDNGFYTIASKAFANALAHIPRGHPGLLESTNSVVEKDKINDITGLQNLAKSLSSQHSVHTQSAEYMKGRASDVHTKYNLQPGKPKFSASSMFRLGIKTRNIKKLLHSRERNLGDI >Et_3A_023026.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:19293718:19295518:1 gene:Et_3A_023026 transcript:Et_3A_023026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YFLSPPAHRPTAKPIPSSHSLRPPHFRRRDALPSGLLAPRRFRQRIVTPPHHHRSTQLDPSQQCWRNWESASEMSENDPAAAAATCPALPEDVVVEILARVPDVVSLFRCAVACKRWHGLVADAGFLRRRIWPEGGRSLLGFFVQRNQLSVTAKRKVTRRFPSLAPSFVPAPGSVLGPERRFLTSFVRDDVGLLDQAKPLAVRDGLLLVRIWPRPEDKKSILRLCVCDLLAGRWNLLTPFDTDFFNGEGVRGYALLTAADHGSGPHRPADGYSTLFQVFLIGIRREERQMYLLKFSSSDTASHRVWTGYNCSSHIPRGIWGPYGCRIAAVSGGTAHWLFHGSGPDRAPSLYSLDVSVNTGRVAVTKLPLDVLPRTIRIDQDNVWLCLNMEGRLSLCCLHKNILLTLADGNLSGTDGWHLTQAIVIGVEVGLFGIESLSSVCIGEKSGTLLALYHSEPDRAYALDLQSGLTTKIAGWNRCFNYMTAVPCEINLQAFFTSRLGAIP >Et_1B_011640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24420105:24424163:-1 gene:Et_1B_011640 transcript:Et_1B_011640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGVASHGALLAAAPLAGRRPRLPLSPPPPTPSIQIQNRLYSISSLPLTARPVRRCEASVASNYAQTSESVDLDWENLGFGLVQTDYMYIAKCGPDGNFSKGEMVPFGPISLNPSSGVLNYGQGLFEGLKAYRTAEGSILLFRPEENALRMRTGAERMCMPAPTVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFIIFVSPVGNYFKEGLAPINLIVEDKFHRATPGGTGAVKTIGNYASVLMAQKIAKEKGYSDVLYLDAVHKKYLEEVSSCNIFVVKGNVISTPAIKGTILPGITRKSIIDVALSKGFQVEERLVSVDELLDADEVFCTGTAVVVSPVGSITYQGKRVEYGHQGVGVVSQQLYTALTSLQMGQTEDWMGWSVQLN >Et_8B_059781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2698129:2704354:-1 gene:Et_8B_059781 transcript:Et_8B_059781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPCVSAARPLLAVPPSRGRWRGSGARRPNAVGRRVAAGVRAGTGPVVAAVEAEVGDEDDDEEEDEPEVERYALGGACKVLAGMPAPLGATALAGGVNFAVYSAGASAASLCLFTPDDLKADRVTEEIPLDPRRNRTGNVWHVFIQGEQLHTMLYGYRFDGVFAPERGQYYDVSNVVVDPYAKAVISRSQYGVPAPGGDCWPQMAGMIPLPYSTFDWQGDLPLKYHQKDLVVYEMHLRGFTKHDSSNTQHPGTYIGAVSKLDYLKELGVNCVELMPCHEFNELEYFSSSSKMNFWGYSTINFFSPMTRYSSGGMNNCGHDAINEFKTFVREAHKRGIEVVMDVVFNHTAEGNEKGPILSFRGIDNSTYYMLAPKGEFYNYSGCGNTFNCNHPVVREFIVDCLRYWVTEMHVDGFRFDLASIMTRGCSLWDPVNVYGNPLEGDMITTGTPLVSPPLIDMISNDPILGGVKLIAEAWDAGGLYQVGQFPHWNIWSEWNGKYRDTVRQFIKGTDGFAGAFAECLCGSPQLYQAGGRKPWHSVNFVCAHDGFTMADLVTYNQKYNMSNGEDNRDGENHNLSWNCGEGVPMFYMGDEYGHTKGGNNNTYCHDHYVNYFRWDKKEEQSSDLYRFCRLMTKFRKECESLGLEDFPTAERLQWHGHQPGKPDWSEGSRFVAFSTKDETKDEIYVAFNTSHMPVVVGLPERPGYRWEPVVDTGKPAPYDFLTDDLPDRDLTVHQFSHFLTSNLYPMLSYSSIILVLRPDV >Et_10A_000572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1352353:1363311:1 gene:Et_10A_000572 transcript:Et_10A_000572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALLAPVVRRVGGGSHAPVWSVDFGSMELAGCQDKIEDATSVHSKFFTWVNGMTMYFVGIFDGHGGTHVSVLCHKQMHLILAEELAVEVANFLLRRRHRHRVQPSWVEREEAVSWLAALRNAFAHIDVLADLACACREATLPHCGCPRSCVTALCVGSTAVVAVIVNDRIVVANCGDSRALLCRGPAGSPPLSLSDDHKWSSGTPLLASTWACQAHGPRSGITVLCVGFTAVVAVIVGNRIVGTNCGDSRAVLCRGPA >Et_1B_012769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3503786:3506773:-1 gene:Et_1B_012769 transcript:Et_1B_012769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLVALPPVARLSRRPPSTAIPRRGRSLAALPCRCRCGRRHLLGASSAASLLPLIAPPSSAAPPIDPDVMLERVHPSRPDWYEEFYATAMDKGMKSYEAEIAGYKAKLFSQLTAAEKNILELGVGAGPNFKYYANGNWVNVIGVDPNKHMEDYARTAAVSAGLPSSNFTFKRGVAESLPAEDNSMDVVIGTLVLCSVSNIEMALKEINRVLKPGGLYLFIEHVAAPDGSFLRFVQGALDPLQQFVADGCNLTRKTGENIRDVGFSSLSMNSARLSNAYVISPHVYGVACK >Et_8B_059522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18837212:18839637:-1 gene:Et_8B_059522 transcript:Et_8B_059522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDGVPPAGAGAAAGGGGGGAGGGDGPRRCSQCGHHGHNARTCTARPVKLFGVRIGDKPIRKSASMGNIAHLAEGSGGGREEGYGSDGERPPKKRGEAWTEEEHKKFLLGLNKLGKGDWRGISRNYVISRTPTQVASHAQKYFNRQTNVHRRKRRSSLFDMVIDDSAEESLSRSSSQEMEQHLEDLHPVVAPPAPVVSSAAVVAPPLAVDMPASVPPPVQVPVSVPVVAPQLMEQDSGASNSSPGEARMVMAEAMPSYVYPMMLPPPYYHPAYVPVPYYGYVPVPYYGYGPPGAAQAPHEVVKPVAVHSKPPLNDNDLYSMSELSLKGDSSADGGISASSLPPKPIGRPERQSAFHGKGSPGGSSGGLIPAVK >Et_3B_028609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17264677:17270006:-1 gene:Et_3B_028609 transcript:Et_3B_028609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSTSNPAVRGGPPAPPTAVTRAASAAYLREEDCRLGLYVRDGPRAPFPISAPFPSSSPFPISTPAPFPISTPAPRASSGGTRPPRPSVAPSAKKKRPTFVAPHPRSRAPPPRGDLPHRREAPSPGGGLPRQLLDTTSADAWDDHCTASGSSGGYVDIEFSPTRSPIFDWTTDNAAESLGRPGNSQPFMNLLTQDKDADLQLLMQEDVTPSKRQPKRGSNYSLQEDIQVCKSWINISNDPIIGTDQPGKTYWERIAQDFHRNKDFESDRSANSIEHRCQSILKECMKFHGYYEDIEDRHPSGVTYQEHMLEAKALYASKHKRKRCPFIDCWLVVRHTEKFAALPGLKKSKRSSNSTNLNLNIPAGSEGDDTEHAQGSSSKKPRPPGRKQSKEKLKRGEGDDDEYKDMMKSLIDLKAKEMKRREEVDKRKIELEERRLEWKQEEKIMFCDVSKLDESTRAYVMARRVEMARMAALRVSLGESGSESGGSVFCKFLPKSAALDAGGQDEPDLELLCAVVHLQLNRDGAAGRLSRGGAAGRRRRGGNGRRRGGQASSTLGTPRSGGDAAGRRARRREPQEAAAARRAGGDAVGNGRGGKLSFPDGRAARPGLPDGRAALSFPDGQRTVQAGPVAARGQEATRRRGGQAATLWGRRSDRRFFKKNLTGGSHRIGALGQHCWS >Et_4A_032278.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27888149:27888640:-1 gene:Et_4A_032278 transcript:Et_4A_032278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLAYAMSAPSTTSGPTPRSFMAAMTRVNVARERPARSSNQLAPAPPLFLRRCRSPPPAVRPSSGIGQPKSAVGVDAAALAASASTQRDTRPSSSARGKRRGPSQDLSARMASLGFRTTAWAMNLSAREARLSSAWSSTMPRRSAAQRSGAAVDGVRSSFTP >Et_3B_028238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12122353:12124010:-1 gene:Et_3B_028238 transcript:Et_3B_028238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYGWAVSTWIARALVCLEEAGVDYEIVPMSRCGGDHRRPEHLARNPFGEIPVLEDGDLTLYRSALVDQWMEVDAHHVEPTLWQIIRNCITGPYVGRHRDQAVVDESLAKLKNVLEVYEARLSVSKYLAGDDVTAADLCHFGFMRYFMATEYAGVVDAYPHVKAWWDALLARPSVQKVMAGMPPDFGYASGNIP >Et_4A_032560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11376859:11386869:1 gene:Et_4A_032560 transcript:Et_4A_032560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPPPAMSASSLECVRSCRAASWKRGGRPYECSVLSCAWNAPRALTGALASTTQCSSCSHAEAGGGWRRRGRSRRSNNSLPHTMDEDINKGKLDYGPSAVYSGSFVRSWSTPVDLTWRSYCYSSSESFNISPETLWQDLKPAVSYLQPEELNYVYDALKLAYEAHNGQKRRSGEPFIIHPVEVARILGEHELDWESIAAGLLHDTVEDTDVVTFERIENEFGATVCRIVEGETKVSKLGKLQCKSEGSSKQDLKAEDLRQMFLAMTEEVRVVIVKLADRLHNMRTLSHMPQHKQYAIAMETLQVFAPLAKLLGMYRIKSELEYLSFMYVDPTGFAELRKRVEDLYKAHEQELEEANKILRQKIAEDQFLDLVSVETEVRSVYKELYSIYKTTLKSKSSINEVNQVAQLRIIIKPKSCNGVGPLCTSQQVKDYIATPKPNGYQSLHTTVIPFLNEKRGIAAHYSGRGLVSGPVRPGISSGRNSKGKVICLNNTGFALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPKGEIKNLPKGATVVDYAYLIHTEIGNKMIAAKVNGNLVSPVHVLANAEVVEIITYDKLSSKYAFQRHQQWLQHAKTRSARHKIMKFLREQAALSAAEITADAVNNFVADLEDESDSELSLPSTKNEYFNFNWEKILSSDKLSFVSNSSNGFLPVNNVHPKVNGKQNKTVKELGIKINGHSTIRGDSFSEFMQPDNSTCKEVFPGLDHWKSGKISAWHNVEANSIQWLCIACVDRKGMMAEVTSTLTACGITICSCVAEVNKRRGMGVMLFHFEGSHENVVSACSRVDMILGVLGWSVGCSWCPLGVLEC >Et_10A_000948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19510588:19516534:1 gene:Et_10A_000948 transcript:Et_10A_000948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHHLIRRGVSGGSLRHPLRGLLFASQEVGQRPLSSAAGDAAAELRGAREEVKQLLKAKSCHPILVRLGWHDSGTYDKNITEWPNCGGANGSLRFEIELKHAANAGLVNALKLVQPIKDKYSGVTYADLFQLASATAIEEAGGPKIPMLYGRVDVAAPEQCPPEGRLPAAGPPSPAEHLREVFYRMGLNDKEIVALSGAHTLGRARPERSGWGKPETKYTKNGPGAPGGQSWTSQWLKFDNSYFKDVKERRDEDLLVLPTDAVLFEDSSFKIYAEKYAEDQDAFFNDYAEAHAKLSNLGAKFDPPKTI >Et_3B_029129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22251215:22261661:-1 gene:Et_3B_029129 transcript:Et_3B_029129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTASSTRAGPDHAAEGDKKVAAKKVPLLGMFRYADRLDVLLMVVGTVGAVANGVSEPLVTLLFGNVINSFGESTAENILHSVRKVVLDFVYLGIGSAVVSFLQVSCWTMAGQRQSARIRSLYLNAVLRQDIAFFDTELTTGQAVSRMSSDTLLIQDALGEKAGKLLQLSSAFLGGFVVAFTRGWLLTLVMLTSLPLTAIAGAISARVLTNVSSKKLTSYGDAGDTVEQTIGSIRTVVSFNGQNKAVAMYNKLIKKAYKTDIEEGLINGFGMGSVLSIFFCSYGLAFWYGGKLIVDKGYTGGKIITVLFAALTGATSLGNATPSISAVAEGQSAAYRLFETIERKPDIDSGDTSGVVLEDVKGDVELKDVQFRYPARPDQVILDGLSLQVASGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKNLNLNWIRGKIGLVSQEPLLFMTSIKDNIMYGKEDATLEEIKRAAELANAANFIDKLPHILLLDEATSALDVESERIVQEALNRIMVERTTLIVAHRLSTVRNVDCITVVRQGKIVEQGPHDALVKDPNGAYSQLIRLQETRADERRKVPDNGGLDPRSKCNSLSLRQSITKDSFGNSSRYSFKNPLGLSVESHEDKKAPIGRLFRLNMPELPVLLLGSIAASVHGVIFPLFGILMSGVIKSFYEPPHKLQKDTSFWALISVVLGVAILISVPAEYFLFAIAGGKLIQRIRTLSFQSIVRQEVSWFDNPSNSSGAIGTRLSVDALNVRRLVGDNLALIVQSIASLTTGFVIAFTADWRLALIITCVIPLVGAQGYAQVKFLKGFSEEAKEMYEDASQVATDAVGSIRTVASFCAEKRVVTSYNEKCEALRKQGIRSGIVGGLGYGFSFLVLYLTYGLCFFVGAQFVRQGKTTFPDVFKVFFALVLAAVGVSQASALASDATKARDSAISIFSILDRKSKIDSSSDDGMALENVTGNIDFNNVSFKYPSRPDVQIFSDFTLHIPSGKTVALVGESGSGKSTIISLLERFYDPDSGIISLDGHELKSLKISWLRDQMGLVGQEPVLFNDTIRANIIYGKYGQVTEEEVIDVAKAANAHDFISSLPQGYDTLVGEKGIQLSGGQKQRVAVARAIIKDPKILLLDEATSALDAESEHIVQDALDRVMVSRTTVVVAHRLSTIKGADIIAVLKEGKIVEKGRHEDLMRIKGGAYSSLVELRSNSE >Et_9B_065661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8880842:8883421:-1 gene:Et_9B_065661 transcript:Et_9B_065661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLARCDRPEAFPCSLLHRSIDASMRGRVLGSCVTGNPVDDCWRCDPNWADNRTALADCAVGFGSGAVGGKHGKLYVVTDAGDDDPADPAPGTLRHGVSQEEPLWITFARDMTIRPKQDLLVRSHKTIDGRGADVVVGDGGACFMIHNASNVIVHGLTVRNCKPSRLVTSPASASTDMSDGDGVAVLRSSDVWVDHCTLEACADGLVNVTDGSTNVTISNNLSKNHNKTILLGHSDDASDDKDMRVTVAFNRFGPGLVQRMPRCRFGLFHVINNDYVKWKMYAIGGSASPTILSQGNRFLAGEAKEVTKREEAAESEWSKWNWISEDDMMLNGAFFRSSGSSRPEIKALSFAKPVSSVPSMTASAGALSFFSDELDHPFMIQHVKYKTRLLKRKIKTLAHLHSNHLKDAFVQHLKKQNLRHKIYETAHYQLDDEGSNEADHAGPGVPYLGGLGEPQEGLTQLWLHPRHLNLNWVQNSVI >Et_2A_017229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32177767:32178976:1 gene:Et_2A_017229 transcript:Et_2A_017229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVTMSGDRDEASKPFMVEMRAEAMRLHSKDQSREGKVEALEPPIDTWEPTLEAYIRYLVDSKLVFDTLEAVVDRAAVPWYAELRDTGLERSEALKKDIEWFRQQGHTIPEPSALGITFASYLEELSENAPPAFVCHFYNAYFGHAAGGRNIGKMVSEKLLLNKEMEFYKFEAYDVPQLLQDVRGKLNQGWSREEKDRCLEEIEKSFVYSRTIRRCLI >Et_9A_061940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18180458:18182894:1 gene:Et_9A_061940 transcript:Et_9A_061940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPRRFRPIEQCASEGRSRQSVAADLDGTLLLSRSAFPYYLLIALEAGGLLRAAALLASVPFVYLTYVTFSEPLAVRALLYVAVAGLRMEDVEGVARTVLPRFYAGDVHPEGWRVFRSFGRRYVVTASPRVMAEPFAREFLGADVVIGTELEVSASGRATGFVAEPGVLVGEHKRQAVIREFGDKLPDVGMGDRESDFDFMAVCKEAYIVTRKKHRAVPREQLQSRVVLHDGRLVRRPTDINTLLTFLWMPLGFALALLRVLLTRHLPERALSYAYKLVGVKLVVRGHPPPPPSPNKKGRHPGVLFVCNHRTVLDPAMVGAALGRKVSCVTYSDSMSRDRVRDAARVRRLLEEGDVVVFPEGATSRDPFLLLFDEFFAELTDRIVPVAIDTKETMFHGSNVRGRRLMDPYFFFMNPRPTYEVTFLNQLPRELTCAGGRSPVEVASYVQKVLAAQLGFQCTSITSKDKYRMLTGSDGRGPLKKEKD >Et_3A_026203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5406187:5406492:1 gene:Et_3A_026203 transcript:Et_3A_026203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALESYTCELCLWQKEETLRHLFASIGMVIPHHLRICQIIKALKRRESCLYPSTWVSYHHPYELEYLDYQGRPDIQWERSSVAKLQAEIYL >Et_4A_031825.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:19204544:19205410:-1 gene:Et_4A_031825 transcript:Et_4A_031825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVLDMVKVDASLNQDQRHQFSKQLSNVVWIIKVEDSTTKKDEDYAHVAGVCISSTGHILTSSHIIRPDLKYVGSCPSWKGGWTSLRVVKRSMSFGLCLLQLERGSRKETDYTSLAEPGVLNVNREVYGFGHPNIFTIPCTYTFIRGSVEYPCEDVAKLPVCVNDLDRSSTQFLEDTETTYSALKFDMVSALRSAPRRVKDLQCVIDVQRFRPKTIVEMHKEIPLIQIKKFHLGHCGGPVFLSSGHVVGVTLFKFKEINFAVHLSAIKEFLKDTDLVSFSLSFGPK >Et_1B_012438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3248948:3249761:-1 gene:Et_1B_012438 transcript:Et_1B_012438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLCGFLVGNNGGHREKKKVVQRRQVLTVELRVRMDCQRCEREVKKALSGMRGVHYVEVNRLLQKVTVTGEVDPHAVLRRAQSTGKKAEPWPQNPVVYAPAAVALYGMGAAQLQAHDGRWAPAWYPRNVEAAAIGAEQITNMFSDDNPNACSVM >Et_9B_066071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2586268:2589518:1 gene:Et_9B_066071 transcript:Et_9B_066071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPDGKLLARCSGFLIKLDKEAKVATILTSADLICTKGPSIHDESSMPEFAPHAKVAVHLLDDSIADDHLLNYHKHYNIAVFEVTVKESPQLPCLDSEVKLAQEVFLLGRDESLNLIVDHGRVDYMCPGSFANNHYMYITCGVSKREDELLQMCEDHLGKGNGLGSVVDVTIRTESSSVGRVEVSVHTPYHLATYNVSAREGFPAFSTSSSSDDESMGQDIPCGTTDG >Et_1A_005234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34521792:34522208:-1 gene:Et_1A_005234 transcript:Et_1A_005234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLIALSLLALACSYWKLSGSLDAAGSRAGHGEKGSAAGKAAGGKWLEHVVVIMAGDERPTFLAMPASGRAGDDAAANCGGGGSEQGRCVECGARARPGGLEGDALGRGAQTLQNTGSGGSVTSGT >Et_4A_032241.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25814722:25814772:1 gene:Et_4A_032241 transcript:Et_4A_032241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCWVSIDPQGWDCL >Et_2B_020783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23523082:23527065:-1 gene:Et_2B_020783 transcript:Et_2B_020783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLSHLLLAPKLKPKPNPTPLPTRRACVPLPRSMRPSPAISAAASDLLAPAPTLKSRLAAGDTLYGLFLLSFSPTLAELAALAGYDYVVVDMEHGPGGIPEALACLRALDAARTPAVLRLPEASAVWAKKALDLGPAGLMLPAVESPAAAAEAVACCRYPPRGVRGAAHTVVRASAYGLDDSYLSRCEDETLIMCQVETAAGIAEVEAIAAVDGVDVVQMGPLDLSASMGYLWDPGNRKVRVTLREAERKVLAARKNKDASSDGNAAYLGGFAMPNDQAEQLKLRGYHMVSGAVDVGLFRKAALDDIKRFREAVMEIGEEGDEEEDEKEKEADGKKHAFSCQKLGNISCDEKQTVTIIQVYGVVGSGQATRPESRLSISTLAQPPPPVQIRTPTSHPKPRASSVVNRNLACSSRISSCSDFTSGHSWRPIETVKLHRKHAARSLHISCAATKPVKSPAEEDWKTKRRVLVEKRVRSVDVKEALRLQKENNFVILDVRPEAEFKEAHPPGSINVQIYRLIKEWTAWDIARRAAFAFFGIFAGTEENPEFIRSVDAKIGKDAKIIVACSTGGSLKPTQNFPDGKQSRSLIAAYLLVLNGYTNVYHLDGGLYTWFKEGLPAVAGEE >Et_1B_013644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10567164:10567574:-1 gene:Et_1B_013644 transcript:Et_1B_013644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETVADKATAAPAQGSSSFMSVFMHADAADVALMLLGLVGAMGDGMSTPAMLLLTSRLTNDFGRGPDLVQEFSSTITANALNIAFLACASWVMAFLGELSAAHTPPY >Et_1B_011677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24971358:24971789:1 gene:Et_1B_011677 transcript:Et_1B_011677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAFTSYKAPPLSLAVPHLAKSTNPTSTNQISAVVKATMSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENTVTAMDVVYALKRQGRTLYGFGG >Et_7A_052368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7266732:7270055:1 gene:Et_7A_052368 transcript:Et_7A_052368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHHLIETLPDHKVQDDDLDPYGVVNNAIYASYIHRGRDVLLEYLGIGVGYWAATGNAMAVSELNLKYFAPLRSGDRFVVKMWPEKIKGVRLVIRHLIETLPDGKLALDARASIVFLNKDYRPTRVFPEVAAKAWEMFGCKER >Et_6A_046727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19976130:19978574:-1 gene:Et_6A_046727 transcript:Et_6A_046727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRCQMLHVPPVLSDTGCIMQCIDRCQSSTDILSNIEILGEGNQDDGTSNSHERDLYDNKRDTIIFDADSSTGKMLNGNIDGADDQPAEYHPSILDSQGDATALPEENQQSYMKEAINVCNQMPGSPMIANECQDIFDFGKIVADNLINRNQLEKMHLQCQMLRVRQKANWLDNSADRSSMLYSADRNIVEEKLENDGSILFCCAGSLGTCRSGRRKQDAGGDINPNLYSNKRQRMNEYLSFQDLDIFDFEKFRWSDLGPLYLDGMPRYYARIVHFDASNLKLHLAWLLPDSGNEEERKWIDKELPVACGKLFLRENKRYSTRQLHVFSHSFMYERYGKKLICSIPLKGEVWALYKGWSLQWSSEAENREFFDYEVAGILSNMSVHDATSIFSQDPFLLDSYLNLKPLKE >Et_7B_053246.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:14615406:14620076:-1 gene:Et_7B_053246 transcript:Et_7B_053246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPSPPHGQEGGGLPVPVPAAVVGDDKVLAAAQHIVKSLATSKNAADDMIRILSGFDHRLSSITSDLFPSPSPSHPGAAARNVDDAAEEEEEREEEEGPSLADFDAAEKLINLWDTTPEALVFEAPEDDAAHYLEAVDVAIDQHAAGGPAARRADMVVQLAMARLEDELRHLIFRHAVPLDASGLFCSLRRLSLGSMDDLDTSSEFDPATPHSQEGAPDTARSASIAGNPFDDQVFDLVRPEAVDELRAIADRMVRAGYASELAQVYCAIRRDLLDECLSVLGVERLSIDEVQRIEWKHLNDKMKKWVHGVKTVVQSLLTGERRLCDQVLAASDDLRDECFVDCTKGCIMQIRSFGDAVAVCTRSPEKLSRILDMYEALAEVIPELKELFFGSYGDDVIRDLEGVLERLGDAVKGTLLEFGKVLQQESSRRPMMAGEIHPMTRYVMNYLRLLVVYSDTLDALLDENAAGDADRSSTDEDAEYLESLTPLGRRMVKLISYLEANLEEKSKLYEDGALQCIFSMNNTLYIVQKVKDSELGRILGDHWIRRRRGKIRQNSKSYLRISWTKVLSYLKDDGHGSGSGSGSGSGSSSRIKEKFKNFNLAFDEIYRNQTLWKVPDPQLREELKISISENVIPAYRAFTGRYGSLVDSGRNSGKYVKYTPEDLENHLSDLFEGSLGSASHSKRR >Et_1A_008705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1057084:1061269:1 gene:Et_1A_008705 transcript:Et_1A_008705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSREYEASIIPEWKAAFVDYKGLKKLIKRIKIARRDAGHLLPPPSPEQQLVGVSGGGYGFSVLDPVRALAARFAAKPALARAASPSPGEEDDGLESDSGELVRSQDKHEREFLEKADEELEKVNKFYASQESELLARGEALIEQLRILADVKRILADRAANSRRQLGRSATMPPPSRSPSINGSSGRHLLSGLASPQSMSDGSVELQQARVAEGAAVADEVMAALERNGVSFVGGLAKAKKDTKHLVMPATVRIDIPPTSPGRAALKVWEELVNVLRKDGADPAAAFVHRKKVQHAEKNIRDAFLALYKGLDLLKKFSSLNVKAFTKILKKFVKVSEQQRDTDKFSKKVKESPFSSSDKVLQLADEVECIFLRHFAGNDRKVAMKYLKPQQPRNTHMITFLVGLFTGTFVSLFIIYAILAHVAGIFSSSGNTAYMEIVYHVFSMFALISLHIFLYGCNLFMWKSTRINHNFIFDFSSSTALTHRDAFLMSASIMCTVVAALVINLFLRNAGATYANALPGALLLLSTGVLFCPFNIFYRSTRYCFMRVMRNIIFSPFYKCLRRYLEEGHDINQLANAGKYVSAMVGAAVRFKYVATPTPFWMYMVIISSTGATVYQLYWDFVKDWGFLNSNSKNRFLRDELILKNKSIYYVSMV >Et_4B_036226.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:11689494:11689760:1 gene:Et_4B_036226 transcript:Et_4B_036226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAFFRLAIKNTFDAARRSMVASMLLMTELGYSFVNSLSSGKDFQVCRKTKSIKLQIKITKMGTNLNQLLGYLHKASYSPLFFPPPPP >Et_7A_052096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4619367:4623203:1 gene:Et_7A_052096 transcript:Et_7A_052096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHRSASLLSGGRGKTCLQKPFVVQAKRSGGLDTRTRGTQSPGQPKKREPMTRGTVSPPLPVPANIPRPPYVGTKEAPEIAKEIQMHDKESIVHMRAACKLAARVLEYAGTLVKPSVTTDEIDKAVHKMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSKTFLCGDVDEASKRLVKVTEECLLRGISTCKHGTSYKKIGRRISEHAEKHRFGVVECFVGHGVGRIFHSEPIIYHQRNNRPGQMIEGQTFTIEPILSMGSLDCDMWDDGWTAVTTDGSMAAQFEHTILITRNGAEILTKC >Et_5A_042538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19067739:19072257:-1 gene:Et_5A_042538 transcript:Et_5A_042538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAHMDRWTTTQRFDPNQVSITLQTTYIGRLQTKSFWAAVSLVVGTAVGPGMLGLPSATIRSGQAPSAAAILLSWLYVVSSIILVAELSFAAMEDEGVEEVSFTGLASSTLGAGPGAVVAVVYAALSFSLLVACVAGIGSLVSQLFPGVNPLLANALFPSFAGVLIAFFPFKAVDGANRVLCGLMLFSITALVVTGVSVGRCSLLKSLGYACWSPGAILPAIPVTVLTLGFHVITPFICKIVGDSVYDARKAILIGGSVPLAMVLSWNTVILGLASAGGNAAFGDPIKLLLSVNPAALPAVRGFAFAALATSLIGYAVSFPKQLSDTMELIVQRFSPKKECAQQAYPSGGSSFGRNGVILTWIVLVIPIFIASFFSAAFSKALDFAGVYANCFLFGILPPVMAWIHRTLKKNRSPDSHEDILPGGNAALLILFCTAVVLAFWH >Et_5B_045252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14951065:14952893:1 gene:Et_5B_045252 transcript:Et_5B_045252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLASWERDGDEYDYLFKVVLIGDSGVGKSNLLSRFTRNSFALDSRSTIGVEFATRTVQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTKVMTFENVKRWLKELRDHAHSNIVVMLIGNKIDLKHLRSVAVEDAASFAESEGLFFIETSALDATNVEKAFQTVLAEIYRIISKKPLSSEESRSGPGNIKEGQSIHVSASNSSSFTSRCCST >Et_8A_056167.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:11316733:11316891:-1 gene:Et_8A_056167 transcript:Et_8A_056167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAMIAALFISRFVYHDPELKDPAKMTVIVTLCLIIIAVGFIATRDECVDV >Et_2A_014607.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27568115:27569535:-1 gene:Et_2A_014607 transcript:Et_2A_014607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPADGGGEGAVALYHAPGNGTAAAGVGMDAMGSSGVLPKPEPVPMEYLGGLQLANPRPAPKYRDRHTKVEGRGRRIRMAAACAARVARLTRELGHKSDGETIRWLLQQSEPAIVAATGTGTVPAIATTVDGVLRLPAEPPSAGADDDQQQAPKRRRKLQPTRAAASGAAAHQATAAPAAYYPMVADPLLQGIGGGSISMSSSLAPVSAAAAPPGAIPFLAIPAPAPGTTSDGKQMMQPAAMWMVPPQAAAGGAANQPTHYLAFPTNSEFFNVGNFQQQSIFDAEQYVGGGESQDQQPSGHQDGEGEDEDIALTDSSSEE >Et_8B_060303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:985825:988358:1 gene:Et_8B_060303 transcript:Et_8B_060303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSHRVERSEIKPGDHIYTWRAGYAYSHHGTFPFSSSSSVINAGHHVLNSISCSPSKSPACVPNSYNAGTPAAVCAQFRILFAVQVTRRGARIYVGGSKVVHFTTKKEAGTAGLDSAIAVSSLLSRGSDECPTFPDCGFQLPDSGVVLTCLDCFLRGGALHRFEYGAPPAVFLAKLRGGTCTTARADAPDAAVRRAMYLLQNGFGDYDVFENNCEDFALYCKTGLLPGAGDGDDADKPSPFGGIGRSGQAASAVGVPLAALFSTPFKLLAAGPLGMAAVTAGVYCAGRYITDIGVRKDVVKVEAEDLSAHLGWRRAKAEEAVKKKQPAQTKRLLPLKRKRDFWVK >Et_3B_029986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29585127:29586944:-1 gene:Et_3B_029986 transcript:Et_3B_029986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLQEQCSLLRISSSHLPNPLNPQRNPLKNQLVPPNAAKDPDSATPKAAISARKAAPWREFPVPIAAAVASWPLPSLAAEGDAKVSLESIVVAIDDFNNRNPFFVAGVVFVWLVVIPLVQEYYFKKYKPVSAIDAFRKLRDEPGAQLLDIRRGKSVRFMAPPNLKIVDKSAVQVEFDEQDEQGFVREVLARFPDPGNTVVCVLDNFDGNSLKVAELLFKNGFKEAYAIKGGLRGPEGWQAVQENYFPPSVHVFPRKKKSENFAHTDFSAAGSEDQQEGTGEPVAPNSSSAVDASHGSEDGPENPNGSISAVKHATTRPLSPYPNV >Et_4B_037036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13900047:13904678:1 gene:Et_4B_037036 transcript:Et_4B_037036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLRLITRPSQQLQLQLDGETVVASAIDAERRRAFFASSANFLYTVHLAASSTQGQQPLQWSKFDSDAEEVVLEPGDSIVAMDYLMERESLLLGSSSGCLLLYNVEEKTSQVVGRLEGGVSTIASSPDGALISVTTGFGQLLVITQDWEVLFESSLDSQIVTAGEMESCCGQVQSAISWRGDGKYFATLGALSGSSNPTKLTIWERESGEVHSSSDTKTFMGASLDWMPSGAKVATAHDRKTEGKCPLIVFYEKNGLERSNFSIDEPAEVAIQALKWNCNSELLAALVSSSQYDAIKIWSCSNNHWYLKHELRFTKEEGVKFSWDPTKPLHLICWTLGGQVIMHRFAWSTAVSETSTALVIDGSHVRVTPLNLGLMPPPMSLFHLAFPCAVNDVSFVSNHSKSHLAAYLSNGSLCVVELPAADTWEEFEGNEIGVEPCYSDFTLSNCMHLTWIDTCTLISICCNSEHCNSTPVGVSASNLVGRHDSRFFVNEIELVCSEDSLPGSVSSSGRQARVSKEMTLDSSVIGISVNPAKKGSAFIQLNGGRVVEYCSGTNMLRVGAPAQVCDIGSDNSFPASCPWMTAILCHENGAVRPFLFGLDDSSKLYMGNRLLSNNCSSFTFFSSAYGATERVMTHLLVTTKQDLLFIVDINDIYLKNGALVIDSHVSSHPRGNQSKEHIIVWEKGAKLVGVLHGDEAAVIMQTNRGNLECTYPRKLVLVSIVQALVQRRFKDAMDMVRRHRIDFNIMPDYCGWDVFIKSSADFVKQVNNLSHITEFVCAIKNDNVSGKLYAAYISFPDQCADSVANTGTDSGNGNKVTSVLMAIRKALEEQVEESSSRELCILTTLARSEPPLLEEALNRIKSIRELELRGLDDARRKLYPSAEESLKHLLWLTDPEAVYNAALGLYDLNLAAIVALNSQKDPKEFLPFLKSLECLPPAIMRHTIDLRLGRYASALKNIVSAGDEYHEDCMKLLNANPQLFPLSLQLFTEPDKRCQIFEAWGDHLSEEKCFRDAALTYQCCSSYQKSLKAYRACGDWRGVFTVAGLLRLKEEEIVQLAHELCDEFQEIGKAGDAARIALEYCSDVDRGVNYYIAAREWEEALRVAYMHSRLDLVENVRDAALECAASLISEYQEGLLKVGKYVARYVAVRQRRLSLAAKLQSEDRFMDVEDDNVSEVSTSFSEMSAYTTRSTKASSASVMSSSASKSRGARRQKKGGKIRAGSPGEEMALVEHLKGMTLTTSAQNELKSLLIVLLQLGKEEIARQVQQAGDNFEVSQRAAVKLAEDTVCDNKVDENAHTLEHYMKMLRSLEPGLSEESASWRIRALSPP >Et_6A_046388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14157534:14160395:1 gene:Et_6A_046388 transcript:Et_6A_046388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRGCIASFATYRPPLPVDLFSSPISPSSRNDELHLTDGDFYNSNGRPIPAAALKVLIARKPELASACGATTEDVDKGHATGLVFVSERENGLETLHVALRFNGKVKVLSLTDIYGADTFGGVRMEDSGCIGGGFAPNVDLSLIYVSTKKAVEKRRVPWTVVYRTSLKTGETERLTPEGEIEHLKTDIVVMNVDKKAQGGKLDRKVLIKDGGWPSWGSDNVIFFHRGFDHIDPANGKNMVTWRVFRYDLTTGQTDPVTPEEFNAMTPAAINENKVVVATIRERTNQMEGERGEKQYRHIEVIDLTAPNSPPMKITQKIFPKADYYNPFVLDGGRSIGYHRGRSDMILQQNGKSDVPRKFQKLHSPHKDVGLFRVSGVFPTISSDGSKLAFVDNEFKAVWLADKEGLRVVYERRGSNSVFSTVWNQNPEKDILYVCVGPSFSADTSLEIYAIFNVSGTGGRPETRRITDGGFNNAFPSSNPDGTKIVFRSTRDHTKSDFRYKNLYILQDAHAGETYGDGSVTRLTEGQWTDTQCQWSPRGDWIVFSSTRDKPGNKEEKLKLDNGLDLGHFAVYLVMAADPKVVVRVVTSAGPGPGLNSFAGHVNHPVFSPDGRSIAFTSDLAAVSAEPISMPMLLHSVRPYGDIFSVDIDHDNIHKNQDIKKFHRITHSRYEYSTPAWTQFATDDPNAQWNMLVTTDAKAASYKPVCPYAHPDGGESWHMTGHLVLKKRCC >Et_4A_032850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14627368:14634871:-1 gene:Et_4A_032850 transcript:Et_4A_032850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASLQYENQKLVQQLEAQKAEMHTLEGKFKALRDEQCSYDKTLISLNKMWNQLIDDLVLLGVRAGGNLDNLHSLDHDELSEDFIESCPSEEIFLFRLLKSANLKNNNDTSLLQIVEENLAFRRSATVTLMKSLQEAIASQKDRSEYLSLALNGQKSNEDVVVALQNHNDHLKEVVDNASQAISIINEKHKRYLDEIETFKSKHSMELQEIKRLSGELEESIAELEESQRKLVVLQLQRHGSLMDASSASAVNGGVSTDKSSDKSMSWQDLKDAVEEAKTLTSNRLFELHETQEDNLILSKELGDLEVQLKDENYVFVSKPYLILNDQLQHLNAEIERYRGLIEVLQNERDQLIQKENEICAKAESIDNIRLNNTTYEIKIEELEHQVQILMAEKNDLEIKVEETLQDSGKKDFKDEIHVMAAALSKEIEMMENQLKRSKDAASEAVALREEANTLRTLVAKKIAEQKAVSDEYNSQVIEIKSLKTLIEELEREKQELQFIADMYAKECSESRTIAEIEESENRARSQAEYLRSNLEEHNLELRVKAANEAEAACQQRLSIAEAELEELRAKVDASERDVLELKEAIRIKEAEGDAYISEIETIGQAYEDMQTQNQHLLQQLSDRDDFNIKLVSDSVKMKQASSSLLSEKLMLQQQLQQVNISLESYKLKIARGEDQMKACVAQAIKTSAENRHLTISLERTALEVSNTEKELKWLRSSVGSSEKEYEQTQQKIAELRMLLEHERSERRKLEEQYVEVEKEVMELTSESEETTIQKLQDEIKECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLEIRHRKCPGCGTPFGQNDVREVKI >Et_1A_006207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19177265:19183082:1 gene:Et_1A_006207 transcript:Et_1A_006207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSLRLTALSGFAAQPYPRNRGAGGLVAFSSSSCRAAARGVTGGPITVAGDPPTLVSAPGRRIVASRTSSHSLLGSAVTLIQFCVPTKLRIVGDVHGDLYQTRDALKIAGVLSVEADAHVWTGGRTVLVQVGDVLDRDEDEIAILSLLSSLNEQAKSQGGAVFQVCLLLETMNVEGDFRFVDPGAFDECTRFLDYLDECNGNWDDAFLNWVNVAERRKTQNGALPNGDWCPWNFIDKQKGFDARTSLFKGGGPLACELARHPVVLRVNDWIFCHGGLLPHHVEYGIERMNREVSMWMKCPGEHNDDETDIPFIATRGYDSVVWSRMYSQDPAQRTPRHVMLSSFIAEQTLKTVGAKGMVLGHTPQIRGVNCKCDGKVWCVDVGMSYGVLHSKPEVLEIINDRPRVLKKHMDDSYDEMETQPILWRPASVAPHEFGSAGTSTPSSDPSSRAHATRLLAASVKCTPSHDTYSDGSAHAAVPGEPQNAAVSMLYAPPRPPQHAARGLSLKPAVD >Et_4A_034942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7987512:7989994:1 gene:Et_4A_034942 transcript:Et_4A_034942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAPPQFKWTPSTRAAVFREPVGAGAGSSRPVRVHCAASSTVVFDEEVAESLSVGPPPSPHRSLPGSFAEALLNREAVVAAAAAEAVALARAAAEVAGEVARMVQTDHRPDVTGRDTEDSFLAREVLRTEAGWWESRHAGVHLQEEEEFSDESEDDDEDGRFVQGVVAVRSARRAERKARRVRASMKAAQSFGNRTPVASSSKKKRLKGCRNPLGCFYKMTGRKLLTAKQEIEFSEGIQDLLKLEGVKKEIAQYNGGEPTFSQWAAAAGTDENTLRKRLNYGLYCKNTMVKSNVRLVISIAREFEGPGMEFSDLIQEGMQGLIRGAEKFDASKGFRFSTYSHWWIKQAIRKSVLEQTQIIRLPAHMAEASSRVKECRRRLRRELERLPTNDEIQQDTGLTMRRVEAALSLPRYTVSLTSKVGCTDVTYQEVMPDTNAETAEEMLHRWFMKKDVDRALDSLSPREKMVMRYRFGIEGGRPRTLNDIGKLMGVSRERIRQIELGAFRKLRSKKKVQSLQHYLQPAESW >Et_7A_051190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15293870:15297376:-1 gene:Et_7A_051190 transcript:Et_7A_051190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSSSMCGGDAGMRSVVWFRRDLRVEDNPALAAAARAGGEVVPAYVWSPEEEGPYFPGRVSRWWINQSLKHLDASLRRLGAGKLVTRRSADAVVALLQLVRDTGATHVYFNHLYDPISLVRDHRLKEMLMAEGIVVQSFNADLLYEPWEVVDEEGQPFNMFEPFWNRCLSMPYDPPAPLLPPKKINSGDLSMCPSDDLIFEDESERGSNALLARAWSPGWQNADKALTAFLNGPLADYSVNRKKADSASTSLLSPHLHFGELSVRKVFHLVRMKQLVWSNEGNHAAEESCTLFLRSIGLREYSRYLSFNHPCSHERPLLAHLRFFPWMINECYFKIWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYITGSLPDGRELDRIDNPQLEGYKFDPHGEYVRRWIPELARLPTEWIHHPWDAPVSVLQAAGVELGSNYPLPIVELDAAKVRLQEALSEMWQLEAASRATMNNGMEEGLGDSSEVPPIEFPEELRMEVDRQPAQATANMLMTARGREDQMVPTMTSSLNRAATEVSADLGNGEDSRREVPSHAHFEPPVEMEGMVRNTEGDAARVNGVHRQNIFQQPQHRRRDVLAPSTSEASSSWTGREGGVVPVWSPPAASGHSETFAADEADTTSRSYLDRHPQSHRMMNWSQLSQSLTTGWEVESSVQPNLIG >Et_5B_045446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22814315:22814807:1 gene:Et_5B_045446 transcript:Et_5B_045446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDTKMDLPPVRRTIQEFHWVEVFQINNYAVLMGYLSLAVRGTGILVLTWTSVVLLGGFVSSLQKKDFWCLTAITFMQAAGSYEQEPVFNPLPKPPSSRPEVPLRGAIPPPPPPTTTTTFYLVVVVANGWVAVPSP >Et_5A_042627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22940416:22942720:1 gene:Et_5A_042627 transcript:Et_5A_042627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPELIDDVAAEIFFRLPPDEPEHLVRASLVCKPWLRVISDPGFLRRYRVFHRTPPLLGYARRRKAILEGDTDPRLFPTTVVPLAPNPYFRRALDCHHGRVLLHAYKEDHWYLIVWDPVTGDEHCVPDAAIHSLIYSAAVFCAVSGCDHLDCHGGPFRVVFIDTDDSDNLIKACIYSSETGAWSTPVTLGDGWETYVKHRQDALNGEYYRRCYIPFVQPRRGAVLGDEIYFTLWDADAIIKYDCGKNFLSMINSPSQNAENIALMEMEDNSLGFVYIKGSSLYLWSRKANLEGTAEWVQFKVIELEKMIPFSSPDDNAFVVGCAEGVGVIFMTTSAGLFTIKVNSGQVVAKSSLIGIIAVFRQKN >Et_9B_065785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11879341:11881604:1 gene:Et_9B_065785 transcript:Et_9B_065785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNGYPVILMAEGNNALDWDDDNDLGIPPEEQHLQIMQACALFKSKDKDNRSFRFLHCWNLLRTEQKWIDRSSQVTSQRQSHKRQKTAPSSSSGTSTPATPDDSEAATPDCELSRKATGRAAEKEKVRHGGDLVCMEAINNLCARNKEDVEKELKKDERSIQANVLEQEKVKLEQTRVANETKNLEIKSRELDLKSKELDLKGKELDLKRMLEEERIMSIDITGMSGPRQQYYKILQNEIITRRLNGSDSNAYKSELAAQRSASAKTINFAVTWRVLILQSKAKLGGRDSTNLANDISVCDEIEM >Et_2A_016333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23436896:23440245:-1 gene:Et_2A_016333 transcript:Et_2A_016333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPIDSTLLFLVNGFIRSASAAISSSAIPTSSLSSRRPADALLDPLSRGAGGSRPRAPSNLCQPSCYASILLPPSLPFCSSRQKVLEADPCHRSTAVQAIKDTLAGSSTDSCSPPGTRRHRNSYKGWVELQLIRTGLGHDPNTGAIVAQPSFWKVGCGTSRASGGKPPAFLEDLSVLYVRTPEDRGTLAACRKCLWTLVALVLCHEDRWCLGGVPQGPVNNCNACACGAAQRSLNLCSDDTPQGPAPSNKRNSQEVEVDSSPRKRNSGTSLEDYVHMLGDNVVRKIYRQPKSSSEHQHRHADERRCKPNPPDPEEMELKQITVEQKRQRVKHVNSGRMSDIEEGVYMMSSGEETDYSDTSSDASSIIYNLAALGVATAALVASRNTARSIMGHPAPPLPHVTGRQWVEIFFREPEKCFTNFRTYHLADSGYELGEGYLTPYPSVEGQNLRRMARFNYHHSDLRMDFERAFGLLRGRWHILNEVPLCNERIQIYIIIACFARHNFVQDFVTWNEPRIYANANVRRIVAEHAPNNMEGVGDWIAEGV >Et_5A_042061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5857245:5862382:-1 gene:Et_5A_042061 transcript:Et_5A_042061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVESLPGPKVFKCKFCGVDSASRDAIVSKEFRGRHGRAYLFDSVVNVSLGPNEDRYLMTGLHTVNDIYCSCCQRRLGWRYEKAYNEDQKYKEGKYILEKNMMLKEGWLTLLEIP >Et_9B_065145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21565396:21568071:-1 gene:Et_9B_065145 transcript:Et_9B_065145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAIQKSSSFLYMKIFLRFSHSCCVHVSGNIYGTPVSVTSSRQPSDPKSASAPLQRPPPQHAYSQQVHSSWQPGSDAPSGPLGPPPPPPVTGGTVSYADLAAATDGFADANLLGQGGFGHVYRGTLPGAGEVAIKRLRPGSGQGDREFRAEVEIISRVHHRHLVSLVGYCIHGDQRLLVYEFVPNKTLEFHLHGTGQPTLAWPFRWKIALGSAKGLAYLHEDCDPKIIHRDIKAANILLDHSFEPKVSDFGLARIQAGSDTHVSTRVMGTFGYLAPEYATSGRVTDRSDVYSFGVLLLELITGKKPVLSDEPYNDETLVSWARPLLTKALEEAVFDELIDPKLEANYDANDMQLLIACAAAAVRQTARSRPRMSQIVRYLEGQLSVEALNAGIAPGQSEEQDERAGEQIRRMRRMAFVPGTTGTLTENMSSSYVSEPTSEYGLNPSSSSTSDDAEAAATDTQATSTLTRTASGAGDGTSVQNSSAAEGMSRRTRSRRGDGE >Et_4B_040101.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9799030:9800346:-1 gene:Et_4B_040101 transcript:Et_4B_040101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSSSSRNSLGEEENGFYHADAQVEAMQRRVDATPPLADDPYTIFRLPAAVRERHRDLYEPKVVSVGPYYHGRAGLGAAQQHKWRLLRDFLSRNSKAGGLAAYVRAARGVEADARRCYAEAFPSMAADEFVEMLVLDGCFLLEFFLRKSEGQLAAPGGAKWAWHHMYHDVLLLENQIPFFVVEALHAVAFAGEDGAERDALLDIFCGAFAGDLPSTSRAAVRPPSDKAIHHLLHLHYECNVRNPAAADGDKARNVIGGEAANGSSGSLAIWKQPALPSPRSGNGAAAVKGRLTSMIPPAAKMEEAGVTFKRKATPRDVFDVTFRYAVLHMPAFVIDEGAKVLLANLVAFEQGGGRAVRQLEGGNLVTGFVALVGSLVNSRRDVEVLRRCGIMHCMVADDDAVAFFNHVVQYTTMDYDRHLLACLFRDVREHCHWNR >Et_9B_065039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20751576:20753583:-1 gene:Et_9B_065039 transcript:Et_9B_065039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLWKRGALRGDAADNARAAKHDTTERAREGLLRPSFATKQHQERRNSLLAIWPEVNMGDGAAVPSRFSTICVFCGSNAGRRKVFGDAALELGNELVRRGINLVYGGGSIGLMGVIARTVLDGGCRVLGVIPKALMPIEISGESVGEVKVVADMHERKAEMARQSEAFIALPGGYGTMEELLEMITWCQLGIHDKPVGLLNVDGYYDPLLALFEKGATEGFINPDCMQIFVSAPTASELLTKMEEYTRLHQEVAPATSWEIAELGYGKDAPPADS >Et_2B_020290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18725593:18727406:1 gene:Et_2B_020290 transcript:Et_2B_020290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNLLWLLYITLASCLLYKVFFSTNGNAKAATTGNARRPPGPKPLPLIGNILELRGEPHHALARLAAAHGPVMSLKLGTATAVVVSSAEAARDALQKYDHILAARSLTDAGRALGNHEHSVIWLPGSSPLWKRLRAVCTNRLFSARGLDATRAVREAKVRELVEFLAERRHAGEAAVDVGRVVFSCVLNVVSNALFSEDVADLSSDRAQELEMLVRDTVEEVTKPNLSDLFPVLARLDLQGRRRRSAQLVGRFYDFFDAIIARRLNAGTRGDETSEDFLDVLLQLHSEDQLNLQTIKSFLLDLFAAGTDTNAITVEWTMAELLRHPAVMSKVRAELRDTLGSKPHPDESDIVKLPYLRAVVMESMRLHPPSPMLMPHEAMADGAEIGGFAVPRGTKVIVNLWAIMRDPESWPEPTEFVPERFEGADQLDFRGKDRLEFMPFGAGRRACPGTPMATRVVSLMLASMLHAFEWRLPEGMKPGDVDVRDRFGTSLNMVTPLKAVPVASRP >Et_3A_023551.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:34200807:34201079:1 gene:Et_3A_023551 transcript:Et_3A_023551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQVSRTGVVALLVVALVVAAASVPAASAYGCYDDCYERCANGKEEPGCKKMCTEACGAVEKAAAGAAAGAGAGATPAASAAVEGKPAV >Et_4A_035529.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2882111:2882416:-1 gene:Et_4A_035529 transcript:Et_4A_035529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVECAKCECCGLREDCTRDYIVGVRAAFGGRWLCGLCSEAVRDEAARGTTKQRAGGGAAAAGIMEEALRDHMAFCGKCRRSPAFRVADGMRQMLRRRSK >Et_9B_064438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15144981:15148837:-1 gene:Et_9B_064438 transcript:Et_9B_064438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPRLGRWVEGMSADNIKGLLLALSSSLFIGASFIVKKKGLKKAGASGVRAGVGGYSYLLEPLWWAGMITMIVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIMLREKLHIFGILGCILCVVGSTTIVLHAPPERQIESVAEVWDLATEPAFLLYAAIVLAAAFVLIFRFVPQYGQTHIMVYIGICSLVGSLSVMSVKALGIALKLTFSGINQLVYIQTWVFLLVVISCIVTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMTDGLPSNLPIRLPKHTDEDGYSVEVVPLRSAADGIPLRSPRATESVRST >Et_9B_065747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10080719:10084886:1 gene:Et_9B_065747 transcript:Et_9B_065747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAATPLPCPSTLAPGTAAPAAVLAAWVPCPESSSGGITAPLTETKELAPMSLLLHAAAEPLLNLNSQRPAHLAAGGGMPASPKEGWNGKTPVSTTPMITPAPRSAPPSETLPAWMTSSPRNCGVCVVCSSYVWSRTALTNPGIVDISSSSSGVSRAANPLATWWYAWTSRPSSGRNDSYHFFRSSAVASTSFSCGWTWIMKVVSSSPAVTLAPAATRSRGRRQQSCMECLELSMAYGANNDL >Et_9B_065264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:324562:326182:1 gene:Et_9B_065264 transcript:Et_9B_065264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYIALDYEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKEEYDESGPAIVHRKCF >Et_5A_040712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11480935:11486054:-1 gene:Et_5A_040712 transcript:Et_5A_040712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAACRLLRLAPRRLPSTNGLRFPPPLPTPLAAASGRRQFCAAAQASAPATAAAGEAVGVFRKRLRVSEVKGGEDEGAAWVGKELAVRGWVRTCRAQRTITFVEVNDGSCLSNMQCVLTPDTEGYDQIDSVTTGASVLVEGVVASSQGGKQKVELKVSKITVIGKSDPTSFPIQKKRASREFLRTVAHLRPRTNTFGAVARVRNALAYATHKFFQDNGFVWVSSPIITASDCEGAGEQFYVTTLLSNSAEGGCLVKDIPATKGGRVDWSEDFFCKPAFLTVSGQLNGETYASALSDIYTFGPTFRAENSNTARHLAEFWMIEPELAFADLNDDMACATAYLQYVVKYILENCKEDMDFFNTYVEKGIIDRLNDVVEKNFIHLSYSDAIELLLGSKKDFEFPVKWGLDLQSEHERYITEVAFGGRPVIIRDYPKEIKAFYMRQNDDGRTVAAMDLLVPRVGELIGGSQREERLDHLEARLDEQNLNKDSYWWYLDLRRYGSVPHAGFGLGFERLVQFATGIDNIRDAIPFPRVPGSAEF >Et_4B_038665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3846946:3860207:1 gene:Et_4B_038665 transcript:Et_4B_038665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLGGRGGGRGGGGAAKRPPAPHGRGRGGASSIGGMGAPPRGRAAAAAAAAATQPAGRDESFRLESGGPPAFAAIIRLTPDLVDEIRRAEEAGGGARIKFNPNMYNSSENVIDVSGKEFKFTWASERGELCDIYEERQSGEDENGLLMECGSAWRKVNVQRILDESAKNLVKMRSEEAERLSKSRKSIVLDPANPSVKSQAKSMAAAAVEGNMRRMHWKHKKEFFKKNQAAVIAPTKSVSKVKLSNSTPKGNFSTSPAPSPEPGASIPSFPAGSDANNEVITPFDLNKEDNNKIEKVTPNKMSKGTNRQASTPSAGVDDNTNEVRSLLISLLSENPKGMGLKALEKAVADSFPNASKKIESLIKNIATYQAPGRYVLKQGLEIENSKRNSSEGGRSIDENIEEAAPSLKIDDPDIFEKIDIGGSPVAVGGDGMVNNDSDGKAGTSSESGSDSDSDSDSSDSGSDSGSPSRSAAESGSGSSSDSDSDASSSSKEGSDAFVDITSDDDKANTTHTKVADDLNLSSSPKDFTGLDEDPGQSTKLHGSRRKSTSKDGPNHGPMSSADKCAKPKLKRHSSNENSTAKPESSKKAKVDITSAGTTVSVFEKKKGLPLEKKSNDRLIKEMGSVSRDASQDSSPAIKGRPSASGNLQKIDQSPNVSMPTMQSERTSENIEKSSSRKKAEKMQKPWRAIDGDFGTGYSHSEDHRANFDGSDDSSARKRSRHGDLPIDDKMLKRSKDANVNVTSMNSRTSKGNVGPDGTMAFPESHKSNGDPSYSQRDNVERSPHGKKLLQRELSDLELGEFRETSLENDNGKTRKQFERNSSSKSLDGKLTNVNNSYPSMNIRKGPLTTFHDKRKPSPQEYGIGGHMNLEGIPRNAAGYDLDDNRRQQRGNIPESQHLPRTDNSDSENILYSDKSGEKTSKRETRMAHGGMLESADKKKKLNSRLLQNGSKNAAVPRTQKSISPSDNEERSRNNSLIETGKDRRKRDSSSDEDDLFFSKYDKDEPELKGPIKDFSQYNDYVQEYNEKYKVYSYLIGQIEKNMSEFKKVEADLNDAKERDKEQYYNILEKVNDMYRESGGRHKLMKKVFVLLHEEMQVIKQRISDFKEADSNE >Et_4A_035686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3728317:3734836:1 gene:Et_4A_035686 transcript:Et_4A_035686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATGGNNSNLRSSCSLPNLLVWLLNLSLLVLAAAALGPVLLLRPHPTPFGWALVAVHATTVLSALAAFYAQLTHLCVAAHTGLALAALSGHALASLAFFLRHDHSLRLLGSTRDRKEQFVLAFLEEVLLLAMFLTQAVALAATCVVSRRWAREYQEVETEKAAVARKRGRKMARVQAESATAAEAGVKAVDEKVMRSSSGKKFDLSDSLNTSSEFQLTMADMDAERVEEVGRVRAAAKRDECPRKYHAPPAPCQEFLLPGRHLPPLARALPGASVRSAPPRRRREPAVREPGRELLPRTGLRGPQPHRGGLGEEVDGRRLHPRVRRQDGPHPRRAAAAHHAAHVEQNGGGAGSLRLRRSVDRARGGRVRRGAWVARKRAARGELREVEAPREGGG >Et_1A_005494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10885498:10885891:-1 gene:Et_1A_005494 transcript:Et_1A_005494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPVTALLSLSRRGYSAAAAAGAEVQPLAPAAMMARVSGSEGGKNAVTSAKQISWMRHPETGCWVPEDRFTDVDAAELRARVLGRKN >Et_1A_007844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38785453:38790285:-1 gene:Et_1A_007844 transcript:Et_1A_007844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSEKKLVCSNSGRSSQRRKQSAAKSATVSTKPCRRNAPRKCKPDSRFKRSPRKVKNATLAKCIRNKYHCSPQKQQRGSDSVAGKRKRKRKRQNTDEMTRLERRAKYFLIKIKFEQNLLDAYSGDGWNGQSREKIKPQKELQRAKKQIIKYKIAIRDVIHQLDLSNSSGSISDLAMPADGSVVEHIICLSCKSHESFPSNKVIFCGGACKGAYHQKCLDPPMDKTVVPTSSHGWFCKFCLCKMKILEAINAHLGTSFAVNCPSEDIFKEATETIDSEGGLDEDWLSEYSGDEDYDPEENEVSSSSSRSIDSGEGVMSDGSSGSGSPLYSPNDDIPDFISADFNDAEGLCNTYLDLSVDCGDDDVPQILTYQRPRRDVDYRRLNDEMFGKLIENEEQSEDEDWGGYKRKKRRVNSAVVGVNSVEGFSNDIDGKVQKQRRKLFRIPPAAVEVLRKAFAENELPPRNVKEDLSRQLDISFEKTEGESHNTAPSKRSRTGEGKAGISGKAEENSHTSPSNSSRTNEEAGISAMVDLVDNSYFVPLSEIINVPARLQKNLEKRKAESTISSLRRLHDNGSCSFPTGQVKDSSHPINTGALTCLQGTTSPKSQSCLLTDLSHPTNNEVSCEEQVASCMDAGISDYQPFLDVIDEMCVLENRLQILRENMLSSGSVVANDVSDLQNQTVVLVPAAELKEKA >Et_2A_016986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:380666:383919:-1 gene:Et_2A_016986 transcript:Et_2A_016986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASTPNGNHTVSSLATTVPVQAVLFDIDGTLCDSDPLHHVAFQEMLLEIGYNNGVPIDEEFFINNIAGRSDVEAAQNLFPDWELEKGLKFLEDKEEKYRSLAKERLVPVKGLQKVVQWVKDHGYKRAAVTNAPRINAELMISLLGLSDFFQAVIVGGECEKPKPAPYPYLKAIKELQVSAEHTFIFEDSASGIRAGVAAGIPVVGVATRNPEKSLLEAGATLLIKDYEDPKLWAALEEMDRAEAELKKGSREKQSGREGSQSSKLKIIFTLEQPEEQSMAISRVAPLEAVLFDIDGTMCISDPFHHRAFSELLVQRS >Et_1B_014013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27381380:27383641:-1 gene:Et_1B_014013 transcript:Et_1B_014013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGRRLDNCDQEEDRISNLPDDLLLSILTKLQSTPAAARTGVLSRRWRRVWAALPELLLKDVSAADSVDAALDACSVPTVSRLEIAASPPRYESLDAARVASWLRFASQRLAGELSLYVPRIDGELVLPPCERLTTATIHHHFEGTLRLPSHGSFAALTEVGIIGARMEAGDQLETFGSARCPCLRKLNLCGVILDTVSDVSIRSDTLEWLSFIVRNVRKLEAVTPSLRYLHGLAPSGAENDLDQPGHSYIVAPNLADLEWYGADPRHDQFVQAGRHLRKLSILQLQMVHLMRRFDIGIQSSKRFLQLITCDFAKCDTMEVHVNVSSYCSQHAFAPTLLHLLGKSPGLRKLVVELPRGTMELGGKSDPCTSDRPCSSPESYKMDNFTLGSLEEVVIMDFRGLPNHVEALIMLLGCNAPTLKNVVVNVPADAPSVRGETFQKIHSFSRPNIDVKFNVRPQYVRLGMLGVVAVLVSDI >Et_1B_014370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:758228:764146:1 gene:Et_1B_014370 transcript:Et_1B_014370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDPSTSLALTPVEAAPHSPTKKKKPANPPKRFIHTPIPPSILSDPTLAAASTSLLPANYNFELHKTAHRIRSAGARRAALQLPEGLLLFSLPLSHLLAPYLEPDPANDVLVLADATYGACCLADRQAKALDADILVHYGHSCLVPVTSSLLPVLYVFVEIRVDATRLAAAVRNAFPDPAAAPRLAIAGTVQFISAVHAAREMLTKEGYRDIMVPQAKPLSAGEILGCTAPALKKSEGVGAVVFVADGRFHLEAFMIANPGVKAYRFDPFLGVLVLEEYDHLGMKQARKAAVLAARKAKSWGVVLGTLGRQGSVKVLDRVVEHLEEKGFEYMVVLMSELSPARMELFGDSVDAWVQIACPRLSIDWGEGFKKPVLTTFEFDVALGYVPGWWEKGRRECGVKGDSGCCSGSETCGTCDCSSADCSGEDFGGEYPMDYYSQDGGDWNSCYMKKKPSTDERKPRAMEFGWRRSDRVEQCCEVTRPVTSWNGRVEISWPDAATPTMTEVPQPYRKHYEIACKVQGSIAGKMCQPEAGNEGSQLRGQECKERGPQDPAEQKWRI >Et_1A_009213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33650587:33655468:-1 gene:Et_1A_009213 transcript:Et_1A_009213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLYPFILLICYAFCTNDFGFSEAEEHKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHGEQVAASTNKEMEAQIPNYPSLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPQELKDPFLPADLGTASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELFAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLTKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGEKQPRVSLWDIEPLTTFPMYPSPFALGLKRPWPTGLPSLYGAKDDGLASSLMWLRGSANPGFQSLNFGGLGSSPWMQPRQDNSLLGLQPDMYQTIAAAAALQSSAKQISPAVMQFQQPQNTVGRSPLLSSQILQQIQPQVQQLYHQNVNNNTIQGHSQSEYLQQQLQHCQSFNEQKLHLQPQEQQHESHDHHHQQQEQKHLPNFQSLPNALPFFSQFSSATQSPASTLPTVSAFSQQQNIPVTNNGSLMPSDLSAMHEMLRPLPSEAVSSISGVATTPVPVSDPWSSKRVAVESVIPSRPNVVSPPIEQFDMAPCSVPQSSALAPLPGRECLVDQDGSSDPQNHLLFGVTIDSQSLLMQGGIPSLQNDTGSSTIQYSTSSFMSPSQNEFPLNQALTNPGCLDESGYVPCAESSEKVNELPATFVKVYRSGTFGRLLDITRFNSYDELRSEVGRLFGLEGQLEDPLRSGWQLVFVDREDDVLLVGDDPWQEFVNSVSCIKILSPEEVQQMGKQGIQLLSSAPARRLGNGCDSYMSRQESRNLSTGMAPTMTCRDMDLPPSHVPPGSRSD >Et_5A_041266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20467994:20476010:1 gene:Et_5A_041266 transcript:Et_5A_041266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPPPQASVSIIARRPHSLQAPEPTAPTLTGYSALIRDLASAGRLDAVDGALASARSRLAPASLQPLYAASIRAYARAGRLRDAVDAFERMDLFACPPAVPAYNAIMDALVDAAYHDQAHKVYIRMLAAGVAPDTRTHTIRLKSFCLTGRPHIALRLLRTLPERGCDAKAAAYATVVRGLYVCGYGHDARQLFDEMLDGRLFPDVATFNKVLHALCKKGNIMESGALLAKVLKRGMSANNFTYNIWIRGLCECGRLAEAVALVEQMGAYIVPDVVTYNTLIRGLCKESKVQDAAQYLRRMMNKGCMPDDFTYNTIIDGYCKMGMVLEATDLLKDAVFKGFVPDRVTYCSLINGLCAEGDVERALELFNEAQAKELKPDLVVYNSLIKGLCRQGLILHALQVMNEMAEDGCHPDIWTYNIVINGLCKMGNISDATVVMNDAIVKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWTYGITPDAITYNSVLNGLCKAGKAKEVNETFEEMILRGCQPNAITYNILIENFCKVNKLEEAAGVLVRMSKEGLVPDAVSFNTLIHGFCRNGDLDEAYLLFQKLDEKGYSATADTFNILIAACSSKLKMQMAEKIFDEMVSKGFTPDSYTYRVLIDGSCKAANVDHAYVHLVEMIDKGFVPSTTTFGRVLNSLAANHRISEAIGIIHIMVRIGVVPEVVDTIFSADKKEIAAPKILVEDLMKKGHICYSTYEVLHEGVRDNKLTRKARKTRYHMGINTHVTQILQGLCSDSLWRYAVFWSLKSERNGILTWGDCYVKSMMEDSQMGDISSGPLLSKNQITSYSCCGKSAPLCTIEATLLRMSSHLYHLGEEIIGKLALTGQHRWVSANALCSAYMYKSSIRVIELGFSNLFPLSYQHHEDWKLHFAAGIKTVLLVPVLPYGVLQLGSLDTIVERSALAVLIRDLFHKLYDASVSHDSLATGSGYSNTLRQLTPTVSIDPPDVPAHDLTDVMESSAQLLTAGNLILPHPFIPSEVPIFEDVSISLTDPPNGLLDYENFDGFTLTDMTHGYQDHTHGITIVLDNDVVISNSSIHSEFHNDLEVMSSEKNELFMCPFKQQDTSHNLFQVNGDSVDFCLQLETNDCAEMLLDTITDQIGHASKSNFSHSNDSPFSWETQIKKERALRLDEMSVPDLPDGQELSPTSMYEGIMSSSMTVALPLEMGKNVTEECIGHTVRDTLSVTSAEIKRRCRNIELQRSRPRDRQLTQDRMKELRELIPNASKCSIDSLLDKTVAYLRFLQSVSEKAEKIHNTLDGEETHDETKKQLENFPLRAEELDRPGHLLIEMLCEDYEMFLDMAHVLKGLEVSILKGVLENRSDKLWARFVIEMSEGFNQMQILCPLMHLLHRR >Et_7B_055108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7340838:7350287:-1 gene:Et_7B_055108 transcript:Et_7B_055108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAQRLQWLSKSSRETYESAKQGLQTNYYGTKHVTEAILPLLQTSSDGRIVNVSSGWGLLRQFSNEELKHELNDVENLTEERLDEVLAKFLKDFEAGALESEGWPIELSAYKVAKAAMNAYTRILARKHPELRVNCAHPGYVKTDFSINSGLLSPEEGAGNVTKVALLPTGGPTGVYFALGKEAPFVLDELLSNFLKDFEAGEAEALGWPTVLSAYKVAKTTMNSAADFLTSIVWVSYSFFRVAVVTGGNKRIGLEVCRQLAYSGLIVILTARDEERGSAAVEMLHESGLSDVQFHLLDVSDPSSAALLAEFIKEKFGRLDILINNAGVIGATAEIDTTKPVHEALLGKSAMERLQWLLQQSSESYMEAEECLKTNYFGTKYVTEALLPLLQSSSDGRLINVSSNYGILRYFSGEDLKQELNDIDSLTVERLDEMSELFLKDYRNGQLKSRGWPADSEYLAYKVSKALINGYTRILAKRYPELRINSVHPGYCKTDINFDTGEYTAEEGASSIVVVALLPKGGPTGSFFYRDEEASFA >Et_2B_020355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19253185:19253822:-1 gene:Et_2B_020355 transcript:Et_2B_020355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEKKLLQVLKVLGTLTEYKERKFHNTVAANHKLETTDIYIKKTGNQDKNCHSGYQIHHEQDQ >Et_10B_004443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7294256:7300384:1 gene:Et_10B_004443 transcript:Et_10B_004443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQITDDILVEILSRVPYRSLPRCSCVSRRWRALIAHPDHRRKLPQTLAGFFYHDDPTICFADASGNGPPLVDTSLAFLLDRELRNLNLLDSYHGLLLCSCFRLPDYLVINPATEKWVTVPVPRRESGQAGTAAHLGFDPAFSSHFYVFEFQLDSDGDCDDDQDADGLVLGVKIYSSETGLWSYKQSGWSDEVYLASDCKSVFVDGMLYVANIAFIIGAVDVEGKTWRIIDFPYSEKPFLDAATAYIDLSQGQLHLVIGICDASADKLGIWVLEDRNSEEWTLKHTVSFEHLVGWQYVLSGYGDFQYIVVAVHPDRNIVFFVIGDKRTLMSYDMDSGETASGTTGVPSLQTFVWTCGLVRVTSLPNVDVRKRNRRPALSYYREEDFQALSNFLCCKTQDNNKRTLEKSPTPGPMPVQSAKRWREEHYIY >Et_4B_037330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18542506:18544374:1 gene:Et_4B_037330 transcript:Et_4B_037330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKENSVAPQRLTRAAAKRAAAVTAVAAKRKRVALSQIPTIPNAALGPHYDDDDDVKPAAKKPAHRTAEPTKKAAPKPKPKPAPPAAAAVVAEDGEEDGDPQLCKPYASDIYSYLRSMEAQAKRRPATDYIAAVQVDVTANMRSILVDWLVEVAEEYKLVSDTLYLTVSYIDRFLSSKSLNRQKLQLLGVSAMLVASKYEEISPPNVEDFCYITDNTYTKQEVVKMESDVLNVLKFELGSPTIKTFLRMFIRSGKEDNNKKYPGLSLEFLGSYLAELSLLDYGLLRFLPSLVAASVVFVAKLTLDPHTHPWSKELQTVTGYKPSELKDCATTIHELQLNRKGSSYTAIWDKYKQNRFKGVSALLPPVEIPASYFKNLKE >Et_10B_002567.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:16363636:16363956:-1 gene:Et_10B_002567 transcript:Et_10B_002567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPFSSGSDSPGILPASRPWVAARPLPAKPSTETPQAAAADARARLLPTIATPCPSSSSCDTDDVGPMLHFTAAAALPLARRLCFARSREEPGENSTVLLISARK >Et_1B_012395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31503016:31509095:-1 gene:Et_1B_012395 transcript:Et_1B_012395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTEEPTVATSPPPPHFVIVPLVAQGHTIPMVDLARLLAERGARASLITTPLNGARLRGVAEQAARAKLPLEIVELPFPPPEHSGRLPPGCENVDQVVDNGHFVPMFEALQKLAGPLEAYLRAQKRRPSCIISDWCNPWTAGVARRLGVPRLFFHGPSCFYSLCDLNAAAHGLRERTAAADDDQEKFVVPGMPVRVEVTKATAPGFFDAPGWEALREEAMDAMRTADGAVVNTFLSLEDQFVACYEAALGKPVWALGPLCLVNRDDDEAMATRGNKPDVQQSAVTAWLDEQAPDSVVFVSFGSIARKRPKQLFEVGHGLEDSCKPFLWVVKGAEAATPEVQEWLMALEDRVAGRGLVVRGWAPQLAILSHRAVGGFVTHCGWNSLLESIAHGVPVVTWPHFSDQFLNERLVVDVLGVGVPVGVTAPVMIFDDEAVPVSREDVVRAVSALMGGGEEAVERRRKAKEYGEKARRAMEKGGSSYETLTQLIQSFSQCKLKPVSAWDGNRAGSGRVEQIHIHPVRCSGSAPLASHTPPTSTMAPAEPAFAAATAAAPPPSPPPHFVLVPLVAQGHIIPLVDLGRLLAARGARVSVVTTALNIARLRGVAEHAARAGLPLEFVELPFPPPGSDLPADCQNVDMIPDIFQFFAFFDALPKLAGPFEAYVRALAPRPSCIISDWCNPWTAGVAASLGIPRLFFHGPSCFFSLCDLMADEHGLTERIAAAGADQEEEHVIPGLPVTVTVTKDTPTGFFNDPGCEKLREDAMEAMRTADGVVVNTFLDLEAPFMACYEASLRKPVWTVGPLSLVNRGDAEAAASRGNSAAAANRKAVAAWLDEQAPDSVVYVSFGSLVRKLPKQLFEVGHGLEDSGEPFLWVVKESEATEPEVQEWLLNLEERVAGRGLVVRGWAPQLAVLSHRAVGGFVTHCGWNSLLESIVHGVPVVTWPHLGDQFLNEKLAVDVLGVGVPIGVTAPVFILGDEAVPVVRGDVVRAVSALMGGGEEADERRRKAKEYAEKAHRAMDKGGSSYEDLTQLVESFRRSGVREP >Et_6B_049779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11185800:11191196:-1 gene:Et_6B_049779 transcript:Et_6B_049779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPAAGASAARTMAVRLLCTATAAADAASPSTPHLLALPPIAPSPAADELARLLLAHHNPFHPAESPLQILSGGGVALTGDLLVQLLLRLRGASKLALSLLHAARLHPSAVSPPPADAYDAVVDALGRARQFDAAWRVVVEASADGAASPRTFAVLARRYIAAGLTRQAIRAFDDMEAFVGREPDAGEFATLLDTLCKYKYPKVATEVFNKRKYKYEPNEKMYSILIYGWCKVNRSDMAQKFLKDMLDHGIEPNIVTYNILLNGICRNASLHPDDRFDRTVHAAEDLLKEMRDRGIEPDVTSYSIILHVYSRAHKPELCLCMFRSMKNRGICPTVATYTSVIKCLASCGRLEDAETLLDEMAAEGVCPSPATYNCFFKEYRGRKDVSGAMELYTKMKATGSPTAPDIHTYHILLGMFIKLNRHGRVMEIWSDMCESTVGPNLDSYTLLIHWFCDSQKWREACQFFMEMIEKGFLPQKITFETLYRGLIQADMLRTWRRLKQRVDEEAAKFGDEFKCYHIKPYKRKLQRLYWLPGSIEQHKFLRNNSIRHASLHPDNRFDRRVHAAEDLLKEMRDRGTIYSVAHKPELCLCMFRSMKESNICPTVATYTSVIICLAPCGRLEDAENLLDEMTTKD >Et_6A_046736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:243591:244144:-1 gene:Et_6A_046736 transcript:Et_6A_046736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GDGAKKRKKLQASSLSFGRDDEVFSGSLTGLRLRRLMSFLRRNRLEATAHALERQTAVFFDAAHLRRMLVQGRCAEADELNVRAHPPRRRRPRRWPGRAGGVDDLFLSCGSLSIPSAATVSIHKLLLSLHALRPTVPSVSRYSAPGLPLTSSSLLF >Et_10A_000535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12384892:12387113:1 gene:Et_10A_000535 transcript:Et_10A_000535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CTLSQNEVLCAVNHFAGGGRNLGSNHLTFVRDRIVEIYFWMNGACSDPHYSLSRMILTKVIAFESTIDDVLDSYATTEEAMAIVNAIDSWNESALHLLPEYFKDFYLHMLDTFRSFECELGDHNSYRVVYIKESFKDLIQKHRKELEWRDQLYVPHTMKEHLEVSLISIGGILALCASFVGMGDLITKMTMYWREQKGKHCASTIQCYMKEHATTMADACQKFKELTEDSWKYMILQSLLLIQQPKVVPRTVLNFARTVDYIYKTTDSYTFSYVIKDMITLLYVKPIPL >Et_1A_005295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38674113:38675015:-1 gene:Et_1A_005295 transcript:Et_1A_005295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTTAGSSMEECSVEEFDTRLSLEVGGTSSRPSPPQRQTVQLFGELISPQEAALQDGDHRELRAPAGKKKRTESGGGSRHNKKARTSTQQADDGGAGSRKKLRLTSAQATMLEDSFRAHNILSHAQKHELARRVGLSARQVEVWFQNRRARTKLKQTEVDCELLRRWCDSLTDENARLRRDLAELRASAASTGRIPAAAAAVRVVCPSCCDKKIAIVAGDVA >Et_7A_051646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2192661:2195920:-1 gene:Et_7A_051646 transcript:Et_7A_051646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ACSKSGRSYCGSTMVNKRKTSTEIQHREKAKRKHSTPQLINLPTDILCSILSRLPFKEAVRTSVLSTQWKHLWCWYDKLDFSYDTIMLKSDRIRYFSARGRSINKRKFIKRVNATLRQHSGVGIEQFRVDYALLSEDADYIDMWVKYAIKSKAKELIFSFSSTRFGPIIVPYDFPFHTVNMKNGMHMRSLHLSFVSLRPPADFTFFSDLTKLILEDVSVTDEDAQCLLTKCNQLGHLRISYCKTLESLRIRHLNQLKHLHVENCPLLKVTESNCGLRELHYVGPIIPLVLSGSPELKSICIKLSSCGKSVLGSVFSKLPDNLSALEMLTLSGLEFESPLFPRFLRFISLRHLILELGISYGQERHFDVLDIAGLLEAAPLMEKFDLHMIMKCHHRRYFEKDGELRSLPPCRYSHLHTVSISGFFGRKDQLELTLHILRNAGGLKAMKILSRVNKLEPGEWHVGSAQQSADGYRVASEFVRKEDHNNVVEIL >Et_3B_027820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20803812:20804657:1 gene:Et_3B_027820 transcript:Et_3B_027820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNTRAGNIAVYWGQNGGEGTLADACNTGNYAYVILSFLSTFGNGQTPALNLAGHCDPGSGGCTGLTSDIQTCQSQGIKVLLSLGGGSGGYGLASSDDANSVANYLWDNFLDFDIETGIPAHYDDLANALRGKGPMLLTAAPQCPFPDASIGPALQAVQFDIVWVQFYNNPGCQYANGDDTNLVNSWNQWTTSSSVRAGSFYLGLSASKEASNNGNGYIEPGDLTGTVLPAIKSASNYGGIMLWSRYYDGINNYSGQVKSSV >Et_5B_044382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23592427:23597919:1 gene:Et_5B_044382 transcript:Et_5B_044382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVESSLLIESDDDDDQPAPVAGRRRRNRRDEEDHAGSDSDSGSSSSSSSCATPRRGPVTASASSYTHQWPQSYRQSIDILSSVQSPNLSFLGTPTLSRLSNSFLTNSFRGKTPEIISNLVKPLLRPTTSDDQEQQEQQQQQQPEDARKSSQYILPSRKPSLDQIPEDRKPVVVGHEVSPYQNCSYTQGVMNGINVLCGVGILSTPYAIKQGGWLGLVLLFLFALLGWYTGVLLRRCLDSKEGLETYPDIGHAAFGTTGRIAISIILYVELYACCIEYLILEGDNLSKLFPNAHLTIGSMTLNSHVFFAILTTLIVMPTTWLRDLSVLSYLSAGGVVASFLVVMCLFWVGVIDNVGFENKGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKNRKQFPSVLFTCIGLSTILFAGAAVMGYKMFGEATKSQFTLNLPDNLVVSKVAVWTTLLPPNHQKYSNIIVLRSALVLSTLLIALSVPFFGLVMALVGSLLTMLVTYILPCACFLAILKNKVTWYQTAACSFIIVVGVCCACVGTYSSLAGIIQSYA >Et_3A_024759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2417611:2424289:1 gene:Et_3A_024759 transcript:Et_3A_024759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYGLSCQFLLWFICFVACVLLEFMVSAHSWCPPHPRVRQEARFEQKTNKFWEYKEQSNTWVEISMPFNLMSCINSTCTKVGSIKQPERKPGRDSVHSQQEKDSRLGGDDSEERNDPALPIRKRISLTRMSESSVWVTGQSGSIYERFWNGVMWVIAPHELPTSAGYAMATFIVNTTILALSEDGILYQLQLNEHAQPVWTEMIFNSGQPFTNLGEKTEKQAMRIRNGIVSTDGSKLFISIMNGSLLEVTELQPLRWNYHGRPPGGDVSYISDAGNARPGTLFTVSSTGDLYEFDKESKPSWKKHILSEDMSDNISLSSSAGCALHGLLGPNSVSLFLITKDGILVERRLHRRKWKWANHGAPMGYRLSSITGIQQDEFSDTTSMFFTTTTGKVFEYQIPKYAGGFQRGKIKGQWTNHTSPEHAKVARNVPGVQVQAGRMIFSLDDGRLGELHFPGIGGDDFGPSPQSTTRRKPSNKYEWSILDAPETEGWNAEYCTEEHGPTNCITGAKNIAADNEPNDLSNTIPPRRRRAEEKRHYLHDNIHESYETEPYNFLSRSIDLNFHMRVMHEDRSLFLITDSGLTFEYLNSNGIWLWLRHEHITAMKGALGSYNGSLYLVDVHGNLHIRERNGDELSWINCTAMRKGRQVASGSPWDDIPGLSRRVTTDDALFFVNKRGRLLQFMVAFRKFKWKDCHSPPDTKIAFIVDQEVFRRNIIFVVGRNGRLYQYNRITELWHRHYQSPHLVLSRSPGTAVRPSAQSLAGSLFMVSEHGGLVEYHFSSQDGWEWVEHGTPHRDVALVGAPGPCFDGAQLFVVGSDGRVYRRHLDGRRTWRWTCHGHPPSEPAAAAAATHDQSCATPGAGADEHFAEGFRGSCDGKVAAARPVPFSEHAVVFELRDGRLAELRRPAEGCGEWEWTRIIGTPASACMTSYWTAVAT >Et_3A_027031.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30017154:30018038:-1 gene:Et_3A_027031 transcript:Et_3A_027031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAYLVTSRKYSPVPKNQLLSPLILHDGRLVQRPTPLVALVTFLWMPFGFALALMRVYINLPLPERIVFYTYKLMGIRLIVKGTPPPPPKKGHPGVLFVCNHRTVLDPVEVAVALRRKVSCVTYSISKFSELISPIKAVALSREREKDAENIRRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKETMFHGSTVRGFKLMDPYFFFMNPRPTYEITFLNQLPRELTCSGGKSPIEVANYIQKTLSGQLGFECTTITRKEKYGILAGTDGRVASKNKEKEKN >Et_3B_031589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5505685:5506603:1 gene:Et_3B_031589 transcript:Et_3B_031589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLLLLLLASFLLAAAASPGQPSSCLPKKCGDLNISYPFWLDEPGRPAVVPAQAQQQRRVPEPFHVRVLPGGSHLRREQVLPCGRRQPPAHHRLPGVAVQHLVRHRPGAFVISKTNYELFFLSQCEETPSVVPPGFRRLRCGNLSFVSVGGEGKYSSYIGLDGIPPSCLLSVVPTLGASDGNEDDHIARMKNGFLLQWAGLSTDCPKCMPSGGECMYRDKGIGFACNCSDGIYHQKCKAARFYVDLGPIVLTSSAPHTISRKPDGESDDGEP >Et_4B_039428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15025138:15026331:-1 gene:Et_4B_039428 transcript:Et_4B_039428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMTHDDYVSLCLMALAAAASEGRAGAKQWAHNSVHAPEECELRFRCSVCGKAFASHQALGGHKASHRKPAAVPPLHVVHAAPSPSAAAASSTTTTTSASGQQGRHRCTVCHRSFSTGQALGGHKRCHYWDGLSVSVATSSGSAGSRSSSSRKDLDLNLALAPETTTAGVRRWGEEEEVQSPLPVKKRRLSADSKT >Et_7B_053992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14003827:14004489:1 gene:Et_7B_053992 transcript:Et_7B_053992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAELAVEVKSPADKLWAALRDSTELFPKIFPEQYKSIETVEGDGKSAGTVRLLKYTEGVPMLTFAKEKVEVADDENKVVSYSVVDGELVDFYKNFKVTLNVVPAKEGDAGAVVNWAMEFDKASEQVPDPDVIKETAAKTFHDLDDYLLKN >Et_8B_059502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18560135:18560552:-1 gene:Et_8B_059502 transcript:Et_8B_059502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLASLRLRGTWLAGQVRRKNNDLSGESVYDDDDDNKSKSENLRFDKKSNMPTFCLEMTFRGKEEFKKEMLKYGLTMHTSN >Et_4A_032508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10933278:10937990:-1 gene:Et_4A_032508 transcript:Et_4A_032508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTAPPAKRRRGPRLAVLALVFCSLLVPIAFLFNRFPAVYVTDERPQQEIDLPSFERIGVESGGSVNKAKQESGSVTGGNAEAHDIVSGKLRPPRVQNADEVEKAKACQIEFGSYCLWSIEHKEVMKDAIVKRLKDQLFVARSYYPSIAKLKGKEALTRELKQNIQDHERVLSESIVDADLPSFIKKKIEKMDQSIARAKSCTVDCNNVDRKLRQILHMTEDEAHFHMKQSAYLYNLGVHTMPKSHHCLNMRLTVEYFKSAPLDSDDSAVHKFTIPDHKHYVILSRNVLAASVVINSTVSSAEDTENVVFHVLTDAQNFYAMKHWFARNSYRESAVDVINYERVILENLPEFGTQQLYLPEEFRVLISSLEQPTEKSRMEYLSVFSHSHFFIPEIFKDLKKVIILDDDVVVQRDLSFLWNLDMGDKVNGAVRFCGLRLGQLRNLLGRAAYDPKSCAWMSGVNVIDLDKWREYNVTENYVQLLRKFGNSGDEASLRAAALPISLLSFQHLLYPLDEKLTLSGLGYDYAIREGAIESSASLHYNGNMKPWLELGIPDYKKYWKRFLARDERFMDECNVSP >Et_7A_051328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1727004:1728884:-1 gene:Et_7A_051328 transcript:Et_7A_051328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEPIGVNQSLNEDTAGISLLLMELSRTAGGRPTSIQRPLGPPATAQRPSSTPGMSASLPGMSASLPELPPRPSLEEVEAAAAALASADAEEGACLAKIAQEEDGIPCKLAAVLREAKCSAVQLRTLHRRQEATRVLQLKRQFEVFDNLIQRVVLIVSSSEGCGSARVGGDSVVEKAGQEVATALAKDITCGTKATFSPHLVVSAVRLMDKAPQQLITMSNKRNGKANASIEDLDESIVIWDILVRLPAKDLLRCRAVCKSWRRATSTHDFMLAHHRQQPSLPVVQFVPINDY >Et_6A_046810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20966576:20969939:-1 gene:Et_6A_046810 transcript:Et_6A_046810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNKEYTYAELEKEPYWPFEKLRISITGAGGFIASHIARRLKNEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTTGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETVVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTGRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKQLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYFWIREQLEKEKAEGVDLSAYGSSKVVGTQAPVQLGSLRAADGKE >Et_10B_002891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13034378:13037725:-1 gene:Et_10B_002891 transcript:Et_10B_002891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMASGDSAHVVSLTKMESRLNHPCSDDDNGRRHFDFGYIFYLDFEASLSDPRMQKALAHVQELNSFLRVLGATQWMRCLTDNQK >Et_4B_037329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18535259:18539777:1 gene:Et_4B_037329 transcript:Et_4B_037329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIASCIRASSAAHLRRRLPPPPAPPPFAAAGLPGGARRRKAVGVAAASASPFDELHARGRPVHGPSKKSMLWNLIQDIEPLDLSVIQKDVAPETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYTLRNAEYRLSFERNLELSEEDAECPKSDIVEDNHPNINLGSPVTIFRLSEEDLPQDPARTDEELSCENMGEELGNLTPQAEEYISQMQSRLDAMKKELHDLRRKNSALQMQQFVGEEKNDLLDYLRSLTPEKVAELSESSCPGVQEAIHSVVHGLLATLSPKIHSRVPPPRDNAAGGALNLGGEDDDCAELVENASLPFQPLISVPRDYLARLLFWCMLLGHYIRGLEYRLELAQLLRISSDVGSFASDDHVV >Et_2A_014584.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:25470941:25471258:1 gene:Et_2A_014584 transcript:Et_2A_014584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADQPFNARFLVDELKIAIRVHTSDGTMRGLVTSDEVLKVVRSLMQGDEGVELTRIVAQLSACAKEAMEEGGSSWKAAKDMIDELCVKSQDGNLEASEEEKVSV >Et_5B_045601.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5249962:5250975:-1 gene:Et_5B_045601 transcript:Et_5B_045601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQPADMAVKANEILARFRPIAPKPAMAVSPAQAVAEGVAATNRVLCQLQSRPCRARKRGRPSLAAPASPTSPAAAKRKRAAVAPYPPLRCATAAATDAVATAHVSVAVPAGGACVPIAPRPPRSEAMKVVVVVEEEERRDVPVERDLLRKLLEPKVISPRAVRPVGSTIRVESIHRRPADADAATRAAAAVVVSKTAAEVEAELEADALPAVVSDSSNRVRLVNDAYKEMVGQPECPWLRAVAAASRRISGEVVLAVADPDQASSLPDSHDGAFACTARIEWERDGKCASVSAPCDVSRLQCESRDYLYTWRFRTSDADASVSSSRSSRETSES >Et_2B_022868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:709855:712268:1 gene:Et_2B_022868 transcript:Et_2B_022868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGMRRWIPRGRDFSTTQATVQVAHLAPLPASLPDSGYTVTPPVQPWPRRLTARALSRLILRAPTPHDAVLALRHALVHADPPLPPSLPVFAAALTRMAAAAAHADADAAARLLPAVLSALRSAQLPTFSDRPFLPLLRALPPLPSLKLFLSLPSFNSRPSVRSFNALLHSLVSARRLRLAAALFRAARSKLYITPNLVSCNILLKGLVGIGDLDAALEVLDEMTGWGIVPDVVTYTTVLTAYCGKGDLHGAQKLFDDIIASGRRPDATMYTVLIDGYCLRGKLQDAARIMDEMESAGVKPNEVTYSVEMLGAGYVPDTPLCAKVVDVLCQDGKAGDAYEMWKWMVKKSVPPDNTITSTLIYWLCKKGMVQEARKLFDELERGFKPSLLTYNSLISGLCENGELQEAGRVWDDMVERRYEPNSMTYEALIKGFCKMGKSNEGAVIFQEMVAKGCTPSKLLYQELLQSLSDEDNVCKILEAAALSGRDLLDGECWEIFVRSVVDAGATIHHKILKYTLGIPQQSHP >Et_2A_015902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18940796:18944399:-1 gene:Et_2A_015902 transcript:Et_2A_015902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSFCPDCKKQTEVAFDHSAGDTVCTECGLVLEAHSVDETSEWRTFANESNDNDPVRVGGPTNPLLTDGGLSTVIAKPNGAQGEFLSSSLGRWQNRGSNPDRSLILAFRTIANMADRLGLVATIKDRANEIYKKVEDLKSIRGRNQDAILAACLYIACRQEDRPRTVKEICSVANGATKKEIGRAKEFIVKQLEVEMGQSMEMGTIHAGDFLLLKQHRRQSSAQRSLISDISLATGVAEGTIRNSYKDLYPYASRLIPNTYAKEEDLKNLCTP >Et_8A_056365.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4483390:4483881:1 gene:Et_8A_056365 transcript:Et_8A_056365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSMPAPKDSLLAYVLYHTAVSITILAGLVRSALVFLGLAVPHPWEEGDEHQLAAIAAAAAAAAAAGPSLADRFRSRFRPSRFGRRRAGADCRVCLARFEPESVVNRLPCGHLFHRACLETWLDYDHATCPLCRLRLLPAGADDSSSPAPSPTPAPRLARI >Et_8B_060219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7614541:7619713:-1 gene:Et_8B_060219 transcript:Et_8B_060219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPPPDNHDPPPSTPPAAALTPTSTSTSKPPNPATPGPVAPNPNPNPPPAPVPGPVASAPQMLVPPPMPPVPVSFAASFRPLGAPPPPQHQQQVPQYGAVPNPGYPMAQPMQAPGVPGVMPPGAIRPPAMYAQPPQPGAYMPQPGAAVPHPGMPRYPAPYPVVRPGFPPRPMPPPGVLPIQRPPGVLPIQRPPMVAPAARPPAPAATPSDKPPTAVYVGKIAPTVDNEFLLSLLRLCGPVKSWKRAQDPSNGRPKGFGFCEFESAEGILRATRLLNKLSIDGQELVINVNDATKAYLKKHVEEKKKAQEKAKETEGGNGDATIAGAENESSKPVPDESGKDTGDGGDKDGEENTKRFGIVTDEDSEADKDAAEKISSMIDEWLKTRPPPPPPPVQPSADSSAVDTMKTDPVDKNDADTDKKVVNETERSETGSPDKRKDKERDKRNKELERYERERERERIRRDREREREKDHKYREVERLYRDRLKEWEYREREKELQRHNEKEREKEKEHYRRKEIMKQEDESDEEDTRKRRRRGSSTLEERKRRRLREREEDLADKVREEEEIAEARRRAVELQQQADEAAAASAAAAAESAAMLMEVDTDDEKEAHAQTAMEGDNITNLVNGSGTGHGTQKDSNSDEMSMTPGQVSDTKQNSGAATKKLGFGLIGSGKRTSVPSVFAEEDDENSDDKRIRPLVPIDYSTEELQAVQDNSSSGPNIIAAAEFAKRISVSNPKEERTETEKDKSRRSSDKSSQRDRDRNNDDGARVNDERRERMHDRDKDKPKSENKKILDAKQLIDMIPRTKEELFAYDINWAVYDKHELHERMRPWISKKIIEFLGEEESTLVDYIVSCTKDHVQAEKMLELLQSILDVEAEMFVLKMWRMLIFEIKKVEAGLSGKAKA >Et_1A_006048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17040194:17050342:1 gene:Et_1A_006048 transcript:Et_1A_006048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKSASRLLGSAYSVSVPAAPAPDAALPFEHPTPPPTPSLAPCSAPGSCAGGSFGSPATASVEPCDLNRSPWDLIADLSLSDPQVDDELVDKYFVSVTCRASWLTPSISVSSGSIKKEKAEKQAEKQAVGDGKPRHEAPKKAPKKLAVSKEKAKETEPKKKVKEEEDQEMSPRTYKCKKNDGKRWHCRRPVNRPNSLCDYHLYQKRPYLNPEFASIVAAEAEAEIKVQQPAAVSKPAASSKPATSSKPRKKKPSHDFGATEGFYYYAGFGPFRSKRPCRSSGMNEPVPLQEQEEDEPAEDASPTNKQAPAAAADGPDKAAARDDGSSCDDIAGIAGGDEESSDDDYGLEISGRNINGNGEHRDGKRKNGWKRWRKPVKARSLKSLM >Et_4B_037238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1689562:1696676:-1 gene:Et_4B_037238 transcript:Et_4B_037238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTSSLLSRSTTGSSTRVSAAAAAAISRPAADAAAASSSPPPSRPTPSALPKPASPFASGLAGRLFGGHRAAARSASSATAVFERRFASAATKNSYNEILTGLGNPGGGAEFGKYYSLPALSDPRIDRLPYSIRILLESAIRNCDAFQVTGKDVEKILDWENSAPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAISKLGKDPNKINPLVPVDLVIDHSVQVDVARSPNAVQANMELEFSRNKERFGFLKWGSSAFHNMLVVPPGSGIVHQVNLEYLARVVFNRDGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLAGKLKNGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMRELSLADRATIANMSPEYGATMGFFPVDGKTLEYLKLTGRSDETVAMIESYLRANKMFVDYSQEEAERVYSSYLELNLEEVEPCLSGPKRPHDRVTLKNMKSDWHSCLDNDVGFKGFAVPKESQGKVAEFSFRGTPAKIKHGDVVKPWIKTSLAPGSGVVKKYMDKSGLQKYLDQLGFNIVGYGCTTCIGNSGELDESVSAAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVNIDFEKEPIGISKDGKEVYFRDIWPSTEEISEVVKSSVLPDMFKSTYEAITKGNPMWNELPVSTSTLYPWDPSSTYIHEPPYFKDMTMTPPGPRPVKDAYCLLNFGDSITTDHISPAGNIHPDSPAARYLKERGVERKDFNSYGSRRGNDEIMARGTFANIRLVNKFLKGEVGPKTIHIPSGEKLSVFDAAMKYKNDGQDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLAGMGIIPLCFKAGEDADTLGLTGHERYTIHLPANVSEIKPGQDVTVTTDDGKSFTCTLRFDTEVELAYYDNGGILPYVIRKLAEHER >Et_9A_061090.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:15285116:15285760:1 gene:Et_9A_061090 transcript:Et_9A_061090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLSPCLCPGAAQGKVAAAARLVFWGGSTTMADERRFTTAGDVTAELAGDHLVCAADSFFIGLPIPALPAGEQLLPGRTYFVLPAARLSCDKALTAATLASLSPSPAKVSLAGAASPFEYVTGGDGAALIRVLPEFIEKVVTSSGKGGGATAAASAEQLCSTPELRKHYMQLVGARAERPWSPGLETISEGEKRRRMPSPVRLVGLGKTSSR >Et_9B_064828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1976127:1976461:1 gene:Et_9B_064828 transcript:Et_9B_064828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNATTVEPSHARRHHQRATSIACLHRPVVASPTRRVVPRGRHCKKTSSVASRPAASPSSGAVWRAAVNERRLLRTDLLPRSVCGLLNADFLSLAIAPHPYPTAGSL >Et_3A_026725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17254199:17256683:1 gene:Et_3A_026725 transcript:Et_3A_026725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSVIVGYLLDFGFFASRRKRGLVGIAVVALLGTVIWGEGLANQLRYVGFYNAVQSAGSAVSWQIDRHKTPLITQLIVNWGLMTVSYPLLAVLLPFVFD >Et_4B_037650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22100737:22101895:1 gene:Et_4B_037650 transcript:Et_4B_037650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEEEVGRLKEEIQRLGQQQPDGSYKVTFGVLFNDDRCANIFEALVGTLRAAKKRKIVTYSGELLLQGVHDNVEITLLPPPTVAAA >Et_3B_029472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25339996:25341325:1 gene:Et_3B_029472 transcript:Et_3B_029472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLEEAAADEPYHAVKAYPHHIATGDRRRSWCSALLENEGWFTLVFTFVMMTVALLLVFAATAIFALTYHAPRFSVHLRSYDGIDPGHAARLVSPTFNITLRMNNTCVDRADVAVMYSGVALGWARAEPRDCAEGRWEKDVEIVAWGRGVGLSPRLRGRMASDWQDLGALELDVKVKKYYEGDDGNIPHVILKKGISNNSYQS >Et_9A_062442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2342826:2352346:1 gene:Et_9A_062442 transcript:Et_9A_062442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMGFPVTRTNRSLVAPSSATPRETLPLSVIDRVAGLRHLVRSLHVFDGGEGELPAPAKTVREALGKALVDYYPFAGRFVEEGGETRVACTGEGAWFVEASAACTLEEVKHLDHPMLIPKEDLLPEPAPDVQPLDIPLLMQVTEFACGGFVVGLISVHTIADGLGAGQFINAVADYARGLPKPRVAPVWARDLIPDPPKMPAPPPKLELLDLRYFTVDLGPAHIAKAKARFLEATGQRCSAFDVCVAKTWQARTRALLLDNNFAGAGDDGDNRPVHVCFFANTRHLLPATGGGFYGNCFYTVTATRPAGEVARAEVTEVVRAIRDAKTRLAPDFARWAAGGFDRDPYELTFTYDSLFVSDWTRLGFLEADYGWGPPAHVVPFSYHPFMAVAVIGAPPKPKAGARVMTMCVEERHLPEFQEQMNAMGSMGFPVTRTKRSLVAPSSATPRETLRLSAIDRVAGLRHLVRSLHVFDGAAAGTRAEGETPAKTVRDALGKALVDYYPLAGRFVEEGGETRVACTGEGAWFVEAVAACTLKEVRHLDHPTLIPKEELLPAPAPDVAPLDMPLMMQVTEFACGGFVVGLISVHTIADGLSTGQFINAVADYARGLPKPHVAPVWASDLIPNPPCKIPAPSATTTSPSTLDLRDLTVDLGPAHIAKAKARFFASTGQHCSAFDVCVATTWQARTRALGLRLDDDHRPVHVCFFANARHLLPPPPPKTTTYYYRNCFFPVTATRPAGEVARAELTEVVRAVREAKARLPAEFARWAAGGLDRDPYELAFTYDSLFVSDWTQLGFLEADYMWGPPAHVVPFSYVPFMAVTVIGAPPKPKPGKRIMTMCVEERHLPEFKEQMNAFAAGNQQP >Et_4A_034705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5639351:5644230:1 gene:Et_4A_034705 transcript:Et_4A_034705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFSVSASSGVEFEAKITPIVIISCIMAATGGLMFGYDVGISGGVTSMDDFLGKFFPQVLRKKNQDKESNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLIAGVLFIVGVIFNGAAQNLAMLIVGRILLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNYGTSKIHPWGWRLSLSLAGIPAVLLTLGALFVTDTPNSLIERGRLDEGKAVLRKIRGTDNVEPEFNEIVEASRIAQEVKHPFRNLLQRRNRPQLVIAVLLQIFQQFTGINAIMFYAPVLFNTLGFKSDASLYSAVITGAVNVLSTLVSVYSVDRVGRRMLLLEAGVQMFLSQVAIAVVLGIKVTDHSDNLGHGWAIMVVVMVCTFVSSFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFVIAQAFLSMLCHLKYAIFVFFSAWVVVMSLFVLFFLPETKNVPIEEMTERVWKQHWFWKRYMDDDNHHIVNGKVNGTSV >Et_2B_022192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12219996:12224336:1 gene:Et_2B_022192 transcript:Et_2B_022192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGAKKKKPAAAAKSPPASAEPKATPSPAPAANGAAPHQAAVDAGVVLRRAHELKEEGNRLFQSRDYSAALRQYELALRLAPRGHPDRAVFHSNRAACLLQLRPVDHEAVAQECSLALQAEPRFPRALLRRARALEALGRHELALADALALLALDPDHRDAIDLAHRLRSRVNASSAAASSAHEPTSRPSPAALGASAVVAGLGPSLPARPFPKKTSPPSPTPPPLHQQPAPTMVKSNPQPSPKLVPFPNTPPSSTKASAPDGSGNASLTLPLTSSLPATAAAHTARKAVTKWRPLKLVYDHDVRLGQVPEKCSFRALREFVAKRFPSSKAVLIKYKDADGDLVTITSTEELRLAESLVDEVGHKVVENGKEGDNKLPMLRLHVVEVSPEQEPSLPSEVETLEEELLVKGEDSVLHASADVTDAEVAQQDVENGAAEQSTEAMKKDCGHAECKEAEIDDWLLQFAELFRNQVGIDADAHLDLHELGMELCSEALEETVTSEEAQALFEMAAAKFQEVAALALFNWGNVHMCAARKRIPLDESAPKEVMAAQLRTAYDWVSERYALAGSKYEEALKIKPDFYEGLLALGQQHFETAKLHWSFALADKVDLSMWDSSETFKLFDSAEQKMRAATEMWEKVEEQRMAELKEPAGEKDELLKKKRKQHGADGQPELTPEEAAEQAAVMRQQIHLFWGNMLFERSQVEFKLSVGDWKKNLDASVERFKLAGASESDISTVLKNHFSNALSECEEKKVMTSGTEISHTNENIEDKLILDATYA >Et_3A_026813.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:21742528:21743571:1 gene:Et_3A_026813 transcript:Et_3A_026813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAQPTMETELRALAWATFLTPALAVYARFASRRLRPGLPRFAALFPTFPFFVYVPFMFNALHLRLLSSFFHTWLAINKLVLLALDKGPLHPSLPLLPFVLCAGLPIKVRLGKGEKKPSSSPPVAEFFRPCARNLLLLVCVAAAYPYTGRLPHYALHYLYCVQIFLTWDLVLSSSALAAAALLQGATLERPFSAPLAAASLNDFWGRQWNLMAVDVLRASAYDPVRARWGRDAGVLAAFLMSGALHELLYFYMTLRRPSGEMLRFFVLHAAFQIAERRAREAGLWRPPKLVAYLLISSFMVVTISDMFFGPFVRAGTEARMREEASAILELVWGVAKYLLRPSSD >Et_3A_025882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33587668:33590220:-1 gene:Et_3A_025882 transcript:Et_3A_025882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKTGRGAIVGVTGEERRSLEGLIDVLCYDKGLADCLVTVQEHRHLLVDGVVLQQQLALVFNIFLDELIRHTLEAQGCLSTVHEWAAKSSDELNRWRHFYAAVYSYFCVLLSSIFFFEPKLSSVKNADVYLTPKNFEMFQAAIIPGASPAKNFFPLSCASRSGRSSLASFTNPSRASPSCSQCAIKNGLYLVVDEVSPETGHGTVVGVGRQKGRPVEDLVDILGDDEGLADGLVAMDEHGHLLVDGVELQQELALGIEQIF >Et_1B_012469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32265810:32283747:-1 gene:Et_1B_012469 transcript:Et_1B_012469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSTSGDPSSDAGGGGGDRSPTGGDGDDPSSTGGESAEDTLFKAAEDGDLDRLKGIIKNIGKELGPSFSSFKKEIYAGALHRAACQGHLEVCKYLVKELKIDPDLLASYGINRMTPLMASARSGDVCTTKYFLDCGCDLLRQDEKGFTALHHAACSGSSAVTRLLISYQMPIDIDYGTGTSLFHAALRGQEKTVKILLDQGANPNALCNGLVSPLMAALLRRSLNCMKLLIMAGADIDAKASIVSPLMFATEEGGCTEFVELLVNSGANPNIPNERGTLPIELAAIRNCISEVEILFPLTHPIREVPHWSVDGILSHAKSRNVKRMVKSDLDHFTQQGTSIEQENNHTSSRSESSCFNGKKLCKMLGSLLQKLASSISIVESLESSVTLSLDGNAFKDMRESFKTIRWGQYMTKIQKKLAAGTWWSALQTKIETMAPKISLKLLVETKSKKVLFAEAGKEFVDFVFSLLTLLIGAVAKLVSAGCTRAWTTSARPTSFRVLVC >Et_1B_009878.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:605474:605911:1 gene:Et_1B_009878 transcript:Et_1B_009878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPALAWPDIIAVHTLTFLAFSPDVSNTHLASCTAPHFTYMSTNAMATSPESNNFCFSILLATPCAAFATLKSPKPAHAPNNAVTVHPSIRNPASAIFMKTPSASSIHPDRAYEQIRVLNETKSLVGISSNSLRAREWRPNDP >Et_2A_016246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22490630:22491154:-1 gene:Et_2A_016246 transcript:Et_2A_016246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFTGRQTGWTSPNLARFLDRESGTQNRRRAEQSELGGWDAFAWTACSRPIHAATRLTKVLYKNRHEVKTKVVEA >Et_2B_019944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15489970:15491544:1 gene:Et_2B_019944 transcript:Et_2B_019944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSPIIRYASSLLLLLLLPPCAADDRLVIGKPLSPGTTIVSDGGRFAFGFFSLKNSTPAKLYLGIWYNISQLTVVWVANRETPVTIGTSSLPELSLTNTSNLVLSDGDGRVLWMTDITGATSSAASTGYAAVLLNTGNLVIRSPNGTALWQSFEHPTDTLLPGMKIRMKHKTHVGDRMVSWTSPDDPSPGPFSYSIDPATLLQTFVWNGTRPIDRTPPWTGYTVAGRLPVNNSFVFYLTVIDTEEEISMSYSFSDGVAPTRLVLNYTGQFQLESWTPSSGWDFVLNWSPNKCNPYGYCGPNGYCGNTTAELACKCFDGFEPVSLEEWNRGSFSQGCRRKEVLKCGDGFLAMPGMKSPDNFVHVRNRNFNECAAECVMNCSCVAYAYANLSSSTMKGDMTRCLVWIGDLIDAAIYGDWAGSDTLYLRMAGLDAGLVWKLRGLRCFMKFSGLTSSLLKHRQKGEDQCAEDCAVYSFNNVLILATVFLACYKFKGTNIHNYPSQKIYT >Et_1B_010314.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6683408:6683500:1 gene:Et_1B_010314 transcript:Et_1B_010314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTLCQMKHCGHCIIAGASISRLSVAMKR >Et_7A_051151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1556342:1559477:1 gene:Et_7A_051151 transcript:Et_7A_051151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSASASAPGSAGERWPPLESSPDVFNQFMWSLGVPEDEAEFYDVYGLDPDALEMVPQPVLAVILCFPGPPQDAVHPSEQILSTEDKEAWDQVYFMQQIESLGNACGAIGLLHAVGNAASEISLVENSSLDIFFKSTDTMDPHEICDDVEEHFICFVAVDGTLYELDGMKHGPVLPFLVNYILVISWVHGMPGLLSGPPSILNFPTVLSSCFYCLWMLWMSLRPSCTTFPIRSTSMSWRYQERQNNGAIFEA >Et_1A_006796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27746633:27748656:1 gene:Et_1A_006796 transcript:Et_1A_006796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSRSPPLRDRRMRTERTSYRVAPYRRDSRHGPSRFGLYVKQDRVLDNVYPNITTSFCYYIFIIVLNAVPKDLTQSIRFPNDLCHNCKLLGHFARECPNVAVCHACGLPGQSGHIARNCTNDPVCNLCNVAGHLARECPKADTLMERGGPPPFRGGGAPYHGGSAPFRGGGAPYHGGSAPFRGSYSDVICRACHQVGHMNRDCMAGAFMICHNCGGRGHMAYECPSGKLVD >Et_1A_007414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34217797:34222783:-1 gene:Et_1A_007414 transcript:Et_1A_007414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGSSAGGSGDGSAPRRNSRKPKYSKFTQQELPACKPILTPKWVITVFVLVGVIFVPIGVASLRASRQVVEIVDRYDEACVPADVTDKLSYIQNSTIPKTCTRTLKVTKDMKQPIFVYYQLDNFYQNHRRYVKSRNDGQLRDRNKENDTTNCDPETTVDGKPIVPCGLIAWSLFNDTYTFVRNSKNLTVDKKDISWKSDRDHKFGSDVFPNNFQKGALIGGKALDPLVPLSKQEDLIVWMRTAALPTFRKLYGRIHIDLKENDTITVRLENNYNTYSFGGKKKLVLSTSSWLGGKNDFLGIAYLTVGGLCFFLAFAFTLLYLIKPRFTFTVEHEEDAGSFGSGISNPSSFKISAEDLHFIWLTPSTLLTRN >Et_7A_050263.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16634630:16635250:1 gene:Et_7A_050263 transcript:Et_7A_050263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRPRLLPDDRIPDILVRISPDDPTSIVRSSAVCKAWRRVLADPTFSVRYHHRRTTPPVLGFLHHPSDHKAPRPLRPHLAVIPPAHRRRPPQAPRLGLPPRPRHPLRLQRALAHAGARRLGPHRRRQPRHLRRGGILHQRGRRLQCTAAGCDCDHRGCSGGAGGPFIVAFPGVEHMDAHAEFYSSETRSQRPPRPLQEEIPPRA >Et_9B_064247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13204989:13207238:-1 gene:Et_9B_064247 transcript:Et_9B_064247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVGDTLDSIRSMQIRQVLTQIISLGMIVTSALIIWKGLMVVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNVDGREIPIVHRVIKVHERQDTAEVDILTKGDNNFGDDRLLYAHGQLWLQQHHIMGRAVGYLPYVGWVTIIMTEKPFIKYLLIGALGLLVITSKE >Et_7A_052057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4097833:4101126:-1 gene:Et_7A_052057 transcript:Et_7A_052057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARFISTSTSRLSSAPACPVSKSLGRASGRVALPARPHGPSRIGEVKRVTKETNVHVKINLDGTGVADCSTGIPFLDHMLDQLASHGLFDVCVKATGDTHIDDHHSNEDIALAIGTALLQALGDRKGINRFGHFTAPLDEAAVEVILDLSGRPHLSCGLEIPTERVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATEFDLRRHGTVPSSKGVLSRS >Et_4B_036461.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:25368005:25368244:-1 gene:Et_4B_036461 transcript:Et_4B_036461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KINVDGSYSEGTSGWGFIIRDHEGSVVGSGAGRIEHCSDAMQAEAIAVIQAVYCKRCRHDEDRVGNRCNQRQTSVNFEL >Et_2B_020701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22846584:22848992:-1 gene:Et_2B_020701 transcript:Et_2B_020701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEKPTTPPPPLPRPPAPEGGVARARGPGSGGANAGGGGYPHPPDASIPDAATLRDQWRFAVRQYSRWYSHAWGTAILAGGAFFALGWLVKGSNPLPSRAEPHADADTKGNAVAADSYNVTGEGKQIELKGRYFVMWSSEPYSRFMAMTCFTFLNLLDFQNKGDELTISEALEKL >Et_6B_049364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:434470:442758:1 gene:Et_6B_049364 transcript:Et_6B_049364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAPPSPPATMLYVDGCPGCAMDRRKQMSKSIPYKELFFVAITSIATALPISALFPFLYFMIEDLHVAKRKEDIGLYAGFLGASYFIGRFFASFFWGVVADRIGRKPIIIFSVFSVVIFNTLFGLSVKYWMAITTRLILGALNGMLAPIKAYSIEVCLPEHQALGLSIVSTGWGIGLVLGPSIGGYFARPALQYPDIFSEKSVFGRFPYLLPCLSISIFSIVVLVSCIWLPETLHKHKNINNEVEMSSNARTPLTEDVHTNKSLYRNRPLMSSIIAYSIFTLHDTAYSEKYGGLSFSSKEVGQVLAISGAGLLVYQLFFYRSVHKILGSVNSSRISSVLSIPLLAAYPFMSHLSGFRLGLAIYPATIVKGVLGPQSQRGAANGISTTAMSFFKAIGPAGAGILFSWAQKRQDAAFLPGDQIIFFILNIVQFIGLALMFKPFLAIPEYYGLKRC >Et_1B_010121.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2554514:2555041:1 gene:Et_1B_010121 transcript:Et_1B_010121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRDRTGYAPRLPDMEQFFESITDSISPEIDQWQVYYKLKRLKSKYQHTGGPSDRRMRDLCANLWGLVPPLEDNSDGQEAGDTDADERRAAPDAAAMMPVVTEVLGEYWKTNAPAMGNVPLEKGLSRLGKKQGRLMENKWRQQLDEEMQTQMRRHDLAKEVCALLSGAIKGLCP >Et_5B_043287.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22109545:22110018:-1 gene:Et_5B_043287 transcript:Et_5B_043287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLGAVPAVVGSSTDAAHFFLKTDDLAFLDRPRTAVGRHVCVFYGHANMPQHLVVAERGGCGRPSSSARGEEVRDMLRNVRSAATAADGGEGKLRDHLLMVSLNVVSRLVLGKKQATDDERAGSTTPEEFRWMIHELFVLMGAPNIGDMIPRLGG >Et_6A_046962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23242534:23243501:1 gene:Et_6A_046962 transcript:Et_6A_046962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRTAPGFCSSALRELCYDAECIVDAFLAYAEPPPAIGRRRGLRLFRGATDWLAMALLRRLVSMVCGQLKRQATDQKSKRDGKFVGFMDSDGRRRPPAASSTATLVGVDRQRDEVAKLLFQLGERKEINKAEPVSRQLTVVTITGRPGMGKTTLADEVYCTVATFFDCHAWVPVSPSCGRKNILINILRQVCDDAHATEGMGEVDLVKKIGESLQHKRYLIVLDDASLLRAWDIIASVLPRNSLGSKIIITTHRRR >Et_2A_015101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29899239:29899654:1 gene:Et_2A_015101 transcript:Et_2A_015101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPHPFGTIHGYLMAGSLIYFRHSTATARSRKQVLPWSFHKAFSITWYLDSPEQQQKNLFSGFSVEQTSCRRQ >Et_3B_030200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31270642:31276308:-1 gene:Et_3B_030200 transcript:Et_3B_030200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHPDGDGRRPGAAEEIKEQDRLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDVCWAFGALGFDDYVDPMRRYLNKYREVEGDRAAAAASSRGGAADHPGSSSAAGPSGAGGHFMFGAMDRSDNNSSRHSASTDADGGGVNSAQDNLLPIANVGRIMKDVLPPQAKISKRAKETIQECATEFVGFVTGEASERCRRERRKTINGDDICHAMRSLGLEHYSDAMRRYLQRYRESEELAAALNSSSGREIQIDVRDELSIFRGNEQRRDRN >Et_7B_053639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10611739:10613439:1 gene:Et_7B_053639 transcript:Et_7B_053639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRRRIGPSIVIVQLLRRRREEARSVDPRRHGKRPLPADEEEEEPAPPATAAKHEQEEYADNRGGSASFAGGPSPEAYAQYYFSARADQDASAVASALAHVISASPDQQQQQQGFYMSAVAAASTAATAEQHHPGHGAAAEEEQGDVRPCGCAGGRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAAIAYDEAALRFKGTKAKLNFPERVQGRTDLGFLVTRGIPDVRHRGHAPASAGVTLAMPPPPHHHQQQQTVVPYPDLMQYAQLLQGGQGARHQQQQQAQFMMMGGRSVNMLPFGGGGAVMPPSSSFSSSAPQILDFSTQQLIRPGGSAPSPPAMSSSGGAAPSTPSSATTASSPSGASAWPYGGEHNKNNKDA >Et_2B_020445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20208290:20209274:-1 gene:Et_2B_020445 transcript:Et_2B_020445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDQKLVSFLLANGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEEEERTVIELHEQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKMGIDPVTHKPLQPNSSPPPQQPSQKPEEEAAAAAVESCAGLGNEAFCADEVSMARLLDDIVLPCDDEFIGAPPPAPAACGTSTASRPESSSSSSSSSFYSGAAAPASSGDSSSSVVDGEWPDLSQMMMMEWPESMWLDDVVVTGPASWDFEDPFVTYQRIDLFDHQEPWNSKPELF >Et_2A_018740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6375915:6381186:-1 gene:Et_2A_018740 transcript:Et_2A_018740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMGFSFFTSAVAHGCSLHASSRADYTIKCRTHEDYHRARSVATLQFNGHLALLVIAGAAAYAYVLSRTSRPPSGYRMLGKEVLMEGMPIMSQFTLDSDEEKEDEGITAAAAPAANGVQDSHEEIPLDAPGSNQGIVASSRLVEEYACWKAGERKGTSVYRTPIMVRRPEETEDQDLPEGGVSQTMSFLSEPSHHSSNHFQNPNSSIRLYHCIGTLKGNSSYVSSFAIDNGNSLYLASSDGHISLWPLDMSTDVKANENSIFTVAVTDSSIKCVVATSKGLVSAHQDGKIRVWHHHAGRREGTLRRLALRAVLPTTADRLRTFLLPKNYVEVRRHRRRTWVHHVDAVTAVALSPDGAEMFSVSWDRSLKAWRLGSGSCVASVTAAHDGAINAVAVSPRTAGTCTPSRRTGRSRRGLGAGATGRDRGSSSSWPAPWSGTRRR >Et_7A_050868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11879068:11882751:1 gene:Et_7A_050868 transcript:Et_7A_050868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDLALSILLASLLALVLRHFLPLLLNPKAPKGSFGWPLIGETLRFLRPHASNTLGSFLEDHCSRYGRVFKSHLFCTPTVVSCDQELNHFILQNEERLFQCSYPRPIHGILGKSSMLVVLGEDHKRLRNLALALVTSTKLKPSYLGDIEKIALHVVGAWRHDGKSSKVITFCEEARKVLGLSPEEPVTARILEDFLAFMKGLISFPLYIPGTPYAKAVQARERISSTVKGIIEERRSAGSCNKGDFLDVLLSTNELSDEEKVSFVLDSLLGGYETTSLLISMVVYFLGQSAEDLDLVKREHDSIRSNKGKEECLTSEDYKKMEYTQQVINEALRCGNIVKFVHRKALKDVRYKEYLIPSGWKVLPVFSAVHLNPSLHGNAQQFQPCRWEGASQGTSKRFTPFGGGPRLCPGSELAKIEAAFFLHHLVLNYRWRIDGDDIPMAYPYVEFQRGLPIEIEPISPGS >Et_10B_003358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19045700:19048476:-1 gene:Et_10B_003358 transcript:Et_10B_003358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWVSQAQVWAGQAESWVRQQPPEQVYVAAAVVAFTILVLIAASCLKSSKANTIVLSGLNGSGKTTLFYQLRDGSSHQGTVTSMEENDDIFVLHSEQERKGKVKPVHVVDVPGHARLKPKLDEVLPKAAGVVFVVDAQDFLSSMQAAAEYLYDILTKATVVKKKVPVLIFCNKTDKVTAHSKEFIKKQLEKEINKLRESRNAISSADISDEVKLGVPGEAFNFSQCQNRVTVAEGAGLTGNVSAAEEFIREYVKA >Et_4B_038401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28816721:28819195:1 gene:Et_4B_038401 transcript:Et_4B_038401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGDEKAAAAAGSGAPEGEAAVDSKDLQQQSKALDKLTDHVEDRQLDSSRVQSAMAALASSKEADWNAMRLREKELAAVKINPTDVEIIANELELDKKIAERTLREHKGDAVAAVRSLLH >Et_3B_029383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24533552:24538167:-1 gene:Et_3B_029383 transcript:Et_3B_029383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQKLNTDEVLIQANSSNRAQISSHVRITSEFDQITSGGDKSRCVLSMQVVIGQEIGGARVVTLNRPRQLNGINDRVVYLLAQFLEKWEKDDEAKLVIFKGAGRAFSAGGDLKMFYEGKSDDSCLEVVYRMYWLCYHIHTYKKTTVALVNGLVMGGGAAMVAPLKFAVVTEKTVFATPEASVGLHTDCSFSYIHSRLPGFLGEYLALTGARLNAMEMISLGLATHFVSSEKLEDLEKRILNLKTGDESEVRAAIEEFSTDVQPDEQSILNKLPIINKCFSAETVEDIIKAFESEATNDVNQWIAPVLKGMRRSSPIAMKITLRSIREGRKQSLPDCLKKEFRLTMNILRSVVTGDVASELLALTKTMLLRSGLLPSIPIHVNIAVSRKRCLNFSITPQWSPATLEEVKNEDLDRVFQPFSSEQELHVPSDDSNRWSGKYETTVYAKASQQTMRLFSYSSGLNPRKLNSTKLVVRKGHYVVLIYFSSHVLVCFTRHVLVCFKIHVLVCFISLVLVWFSNLVGINILAQKDGELVTNQSMKLKMIKCYSDEKGDIRYRKS >Et_3B_028751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18880624:18889643:1 gene:Et_3B_028751 transcript:Et_3B_028751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSEQIPFAWGKRRGIGGAKKDTQFYGSFTLDNVKYSLFDCVYLFKTGDPEPYVGKIVKIWEQKEAKKVKILWFFLPDEIQKYLGGPVMEKEIFLASGDGPGLTDINPLEAIAGKCTVICISKDERNRQPSPRELAMADYIFYRFFDVEKCTLSDLLPDEIAGLKVDFLLNPKDELITSSEANVEGGVVATFPPPQSLVKENDEIPVAAVPLSQSAVKVENEILAAAVSPSQSVVKEDQKPAAAIPVSPSAVKKEHDKPVVAIPRFQSAVKEDEKPIASAPPPRRENIPKHKQNPSSGERPPKKLKISQEVTVQNMAPAVPDKKTFELTSRQADRSKWFKISWDERLRIADEQGTLVYIQNLDIQFGAADIELICTAKPINHPTYDDPNNGKAYAIFKNKNAADIAISKINSGLVVGGRPLYCSKGLLKVPKPTGTLVGHLSLYSIKIGQKQREEQRHEDDRKSFAIMGSKTGKLKWRARLRKKKKKRWDCAPRK >Et_1B_011205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18772493:18777763:1 gene:Et_1B_011205 transcript:Et_1B_011205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDSARNHLESMLLDERVEPKQLPISLLESITTNFSDDQIIGRGGFGVVYKGLLRNGTVAVKKLQFDTADTDENKFIKEAACLLRVKHQNIVRFLGYCADAHGEMWKLEAKTVIAEKRHRLFCFEFLPNGSLDKYITDASRGLEWMIRYKIIKGICKGLHYLHQQKIVHLDLKPANILLDHYMVAKIADFGLSKCFDENQTRVMTSNLNGSVGYMAPEYCQGGSVTFKSDIYSLGVILIETLTGQKGYYEIENVLESWGTRLGTSMGDTRLEHIRACAELGIVCTDYDPAKRPDAQSIIERLDEMELKYGISVDSSSETEASSSVSGMVDEVQPLFVSEAPFPLQRISSRVPIGLGMTSTDILDIHPLELRFPWEPDILRPVTLTNWTDHFVGVWITPTCQDTWENFCHPAHLFGRKNEIDPGSSLFKMLEPRSTVAIPMKTSHPWQEKLPSRNVETFEVLMVAMGSKMDVDLLEQSTDSRINTDIHLLKRIEALGGVMHRAMLKATICDPASCQATATHTFIPALSSLEVGNSLQYIDVHPIEPWKR >Et_8A_056922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18978333:18980155:-1 gene:Et_8A_056922 transcript:Et_8A_056922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGASCSDVLTICGLFAVQCIMGLYMMFLNGLLTAGVPSLFIIVVACAASSLVVLPFAVAFERKKWRPKVWSPMLVFQLVIISLGGVSIYQVFMMLGVERTSPAIASAMPNLGPGFIFVIAACVRFERFEWKCKYTRAKVLGTMVCLSGAMCVGFLKNPTPSVSSKSIPSNEELSQGNGKKEWILGCFYLLTGVLILACNTVMQAAALKRFPAPLSICSITAMLGSIFSAIIQVLMEGKLSAGTSDNIPRIIGEIILVGGVVIGLCTTFQVSSIGRKGPVLVSMFSPFQTVFSALISLFFFGQWIGLGCLVGIVLMFVGLYVVLWAKNCEDKMFTELKAPSETECDVERPLLQ >Et_5B_043069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20376611:20377120:-1 gene:Et_5B_043069 transcript:Et_5B_043069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRSLSVDVARRVVAADDEDAAVGAVDAGAADGGGVAPHNLRVVPAHLPRRARLGGERH >Et_1A_006800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27714991:27715650:-1 gene:Et_1A_006800 transcript:Et_1A_006800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNSMRALALAVAVVVASAASCAEAVGPKYEVKKFTVTGTVLCQDCTKNWNAYAYNAKPIPRSVVGITCVDQRSGRTVYHGSDATDEKGVFNIEVPALLNGGAIKLDPSGCLVRLGASGDAACAVLTNFNGGRVGEKPFRPIRIFPGEVTFAVGPYYATLPKCDVKDNQGCADAEY >Et_1B_009654.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11742246:11743327:-1 gene:Et_1B_009654 transcript:Et_1B_009654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTAALMAAAALLMLLVSLASTEKWYEQETRRIFVEWKAKYGMTYKDAGEEECRYAVFKDTRRRVDRNNAVDGPGATSGLNGLSALAQEEVFLGAWFREGEDAYEEETRRTFVGWKAKYGKTYKDAGEEDCRYKLFKGNRRVIGQLNAAAAGETAYGLNQFGDLTYEEVRASCYNPEMEGKVSARCHAATADLPDTVPGRLISDLVIILLVYPAPT >Et_2B_019423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28928056:28928531:-1 gene:Et_2B_019423 transcript:Et_2B_019423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMRPSPRIHNIAALAAIARDGVGNFLGASALVVGVTDPETAEALACREGLALASDLTLQSIRAASNSANVIRSLRGLGRLITKSSIYESVGRHVWLLSPPDGVCTSYDLNG >Et_3B_030805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7667584:7669380:1 gene:Et_3B_030805 transcript:Et_3B_030805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CGGQVHNLPLLMDHYGNLSHRDHTIDIPRNDVTSQSTSHQDNHNSLDELHHSRVPSNEVLPVPESSSSTAVVSISGDASDARRDQDHRQQNPLNSVLWISVDLIVNVSQIIAAICVLSVSRNEHPHAPLFEWLLGYTIGCIATLPHLYWRYLHRNRLSTEQEPSSQGSSETNVSEPNSRAVSSFHASEFMDSSNSTGVPGSNLPIASPRVYAWVACFKLALDCFFAVWFVLGNVWIFGSRSSVHDAPNLYRICIVFLAFGFIGYLMPFVLCTMICCCLPCIISVLGFHEDMDMNRGAATEAINALVAYKYKSRKIRDGDVVQDGGGVLAAGTDKERTISAEDALCCICLSKFSNNEDLRELPCAHVFHMECVDKWLQINALCPLCKAEIGGSASVPKTDSQNPNDDNRVGDDVESQR >Et_8A_057443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2992897:2996555:-1 gene:Et_8A_057443 transcript:Et_8A_057443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPLSCRIEAPPPPGPYPAPPFLAGVESSAKLCRSLTDEGEASTKPSTLPTAAAAGTRLKLACHEPSRAELLLIPSTSCCCCWFGPDLGMMLRCMGFDNTTALYVASGKIYNSEKYMAPLHLMFPLLMTKETLALPEELAQFEGHSSRLAALDYTVCVQSEVFVTTQGGNIPPFTIKPDKRKLVLSFDDLNIRLLFSSHLTVNNLECDLITFDIRQEPGQNEEQAAYAAF >Et_4A_035905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8283032:8312498:1 gene:Et_4A_035905 transcript:Et_4A_035905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKGDGPAVGIDLGTTYSCVAVWRGDRGEVIANDQGNRLTPSCVAFTDAERLVGEAAINQAALNPANTIFEVKRLIGRRFSDKTVQEDIKLWPFKVAADRKDRPMVVVQYKGKERQFAPEEISSMVLAKMKETAEVYLGTTVKNAVITVPVYFNNSQREATIDAGTIAGLNVLRIINEPTAAAIAFGLEKMPVSNKGRTVLSWVDGAQLSWPGRAPALSKRGAESTPD >Et_3A_024878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2568375:2569487:-1 gene:Et_3A_024878 transcript:Et_3A_024878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VISQDNPPGDPGPVVSHPPPGTKARPTRTSRCGPAKAVARNGGFKARRPPPQRSPRPVRFTPIPSRLYNPRLAAASSPTSLASSDLHLSPLPRREPPPIDPTAVEKESGARTTRTDRTMARGENNDAQASNVPAASAPAAGAKPAAAGGARGAEGQSVMRRLQSELMALMMGGDPGVSAFPEGDNIFNWVGTIAGSAGTAYEGTSYRLALAFTADYPYKPPKVRFDTPCFHPNVDVHGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNNDSPLNTQAAALWANQEEFRKMVEKVYKPAA >Et_7A_051914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2722144:2726153:-1 gene:Et_7A_051914 transcript:Et_7A_051914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGEASAPAVDRIRVVGAWAAALEVDLDGWTVPMLRAEVARRAGDVEPDRVGLIFGGRVLKDEPPASLRQAGLKGNAKLLTTLTSPDRAREIAAEAAKAKAEEEHAKRLVRLWDAAKALSQRHSDGSYLEYEDYSLDLEDQSGQKVMFGSVDDMKALKMALMLHQKGKTLMMRQMHKEALDVLVMAEEAFSLCDAKLIEKVDNVPMLQLDIVWCYFMLRDISRLEVAGTRLEKVRSGLESSHGKDSTRFRLLQAGRQADLAIYVRLELLEGVVAYHNGHSEKAHGSLTSAQAKYLQLQVPDEAIAMLTEMGYSAKSAKRALKMTGYDIQSSVDLLCEEREKKTRRTEEDLRTQSEIKEQKTYGRTPMNKAVDMQKLRNLTTVGFEKYLAAEALRINENDAEKALDILTNPEQNCILQVRGSGSRPSSSRAAAARNGQAVNNSQALVNALPHAGDGNPPEVNDAVEGIPQEVNDAVHGNPPEGNDEQLVNNAEAMHEDVNEDESMSDEADVTEVANPNPVAVKDAAIEKELAKALTGDAFDDYDIDVSNEGQAIAEYLSLLESAATS >Et_7B_055017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6528556:6529680:1 gene:Et_7B_055017 transcript:Et_7B_055017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKRTVERCVAQGMDKAQMFRAIREEGLHPGAAFAVYKELRRQNRGFFREYYCMIDLKEQRERLKRLIQAYRAGGRRDAVEDGVRVPPETETTLIATAETDVPVNMSEWPDHQQSMQAWLSVDGGRQLAAPAAWPVEPVHLPAGEQVPNIGGQPVANAVVPWPQQELHLPAWEQLLNHEQHVANGAFQEPAWPSQPFQLQVAQNLHNHDETAANGGFRGLVWPQPEVQAEQQMLHNHLPRANSEFQGLTWPHQPVHLPVAQLHYHHEQATAVASSLPTLRSSAGLLNNDAMMDPWPLSHGGLGSCQGQQPEFMRQWWDGASDYPSSSSSLSIPPENQNY >Et_5A_042417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9950826:9952877:1 gene:Et_5A_042417 transcript:Et_5A_042417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTGSIVFLSTSTLKTSITVWVLWGIRRTSRSSEAESTRQGHGNQLKFMTYNVWSREDMVLYSRMKAIGRLVEEHQPDVVFFQEVTPYILKIFESFSWWKDYHCSPVTPEELATKQHFCLLLSKLPQANFARWKFPNSPTGRGYLEAEIYPEPMGVKPIHIATSQLEPPSPPSPMHCTERYRQAEHAVAAMSCAENVVFGGDMSWDDHTDLPFPLPRGWVEAWTRVEAWTHISPRPKTSSSGAHYGTTCDGDWVERIGFATGGCLGPRKRSDRFVCKLPDYELRDIELIGSDYRQYGIGVQYYSVSRRENLQFMPSCHCGLILTITPVR >Et_4A_034141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30249243:30253873:1 gene:Et_4A_034141 transcript:Et_4A_034141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEEFVDYDQDDEDAMEEDGRAARALPVPHIVSPAVVRTRGRFAGRSPSVLASSRDIFDSIPDAGGQGQGPQRSIEGWTILVSGVKEDAEEIDLHDVFSEFGHIKDLHYNLERRTGYAKGYALIEYGSFEEAQRAIRAMNGTQLLTKTVHVDWAFNRGPIQNLMNTRTALYLHSINAIAPKVQDSTA >Et_3A_024067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1778711:1781534:1 gene:Et_3A_024067 transcript:Et_3A_024067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYICRSAYIDLHASIVSPAAARVTLAFPCAVIRYDKHVDAVLAVNKSHYDACNTSQPLFFIDLDVIGVVLDTFGYNYFISADAMRCQAGERLRVFVNGAPDNTPSAHPPPPPKPASSSSAPPPSTPKPAGSSSAPPPPPPKPVSTTPSSSSAVDSRAGVLACLVIEFRYDKHVDAVLLVNKSHYVITLSLLLVLLTASGCAGQDFIVDGGSNGWTTYPAEPQDHFQINDTLGE >Et_5A_040544.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:7143394:7143618:1 gene:Et_5A_040544 transcript:Et_5A_040544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFCFLVDQRRTVRSSKPAAGICSRCGGCASVADMETATRLCYLLTVHRRTWRAIICTFCGAMLKSYRHYRLY >Et_10B_003264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18306356:18310497:-1 gene:Et_10B_003264 transcript:Et_10B_003264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDEEDTAPAAAAAAPDPTAGESLLACRLSLLFPDLSFGAGSSENEMTVEEASFVHTEPPQDGTAPPVATSDMEVLHDKVKKQVIKEGHGKKPTKFATCFVHYRAWVQGSLHKFEDTWQEQHPIELVLGKEKKVMAGLGIGVGNMRSGERALLHVGWELGYGKEGNFSFPNVPPMADLVYEVELIGFDDVKEGKARSDMTVEERIAAADRRKVEGNEYFKEKKLVEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLKRFDEAIAQCGIVLSEDESNVKALFRRGKAKSELGQTESAREDFLKAKKYSPEDKEILRELRLLAEQDKALYQKQKELYKGLFGPRPEAKPKKANYLVLFWQWFVSLISYLVKLFKPDRCSRPEMDAVAFPPPPSPFLDDDFDFGDFTFAAAPLPDLQPATFAAFDDDFVAWS >Et_2A_015545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14695485:14698960:-1 gene:Et_2A_015545 transcript:Et_2A_015545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPVSLNALALRAPSPAPAAPAARFRGAAPALAWTPRPRFPSLRAARHLAARAAASGGDPEDEWGKESAEPAADQGGAASATAAAVAEAPAPEAPAVSEVAELKAKLKEALYGTERGLRASSETRAEVVELITQLEARNPTPAPTEALTLLNGKWILAYTSFSQLFPLLGSGRLPELVKVEEISQTIDSENFTVQNCIKFSGPLATTSVSTNAKFEVRSPKRVQIKFDEGVIGTPQLTDSIVLPEQFELFGQNIDLSPLKGVFTSIENAASSVAKTISGQPPLKIPIRTNNVESWLLTTYLDEELRISRGDGSSIFVLFKEGSSLLY >Et_4B_037266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17462878:17463949:1 gene:Et_4B_037266 transcript:Et_4B_037266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATLPAPCGGSYHRRQLPRPAGRRARTTYASSLRASVGRDAAVARMPRLAHRDVMLSLAREAEARLGARLLPSEVPPDVAAFSEDDGRALGSVDVRRGAPGSSIDFMLEAWFHRSLPGGAGRAIDITSLIVFLNGATDAPHFLAELIQGGPSGLVVLLDLFPRRDLPLHPSYIDAYYAATGVDAHRRDIVARVRQARPYVSPSLLVRSLWSPTAVVVDVQCGEGGEAELEEIVRGQLASSAAALLDVWLERCAGSVVEMDAAERERLVARDRMIAATDIELNLSANLPKLFSANVSRRAVAEIRKTFGGS >Et_2B_022656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29559628:29562264:-1 gene:Et_2B_022656 transcript:Et_2B_022656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVSFSPANAQMLQARSSHGHAAFGTCSAVPRSGPRLRSTAVRVSSEQEAAAAVRAPSGRTIEECEADAVAGKFPAPPPFVRPKAPEGTPEIRPLDMTKRPRRNRKSPALRAAFQETNISPANLVLPLFIHEGEDDAPIGAMPGCFRLGWRHGLLDEVYKARDVGVNSFVLFPKVPDALKSPTGDEAYNDNGLVPRTIRLLKDKFPDIVIYTDVALDPYSSDGHDGIVREDGKENVDPFDLELLIESDIYAIWQARAGADVVSPSDMMDGRVGAIRAALDAEGFHDVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALLETAADEAEGADILLVKPGLPYLDIIRLLRDNSALPIAAYQVSGEYSMIKAGGTLGMIDEQKLMMESLMCLRRAGADIILTYFARHAASVLCGMGYK >Et_2B_020649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22331096:22332156:-1 gene:Et_2B_020649 transcript:Et_2B_020649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VPGGWVLNGRKRWPGNSSFANVLVVLARNVSTKQINGFIVNGGCPGLKIKKIEKKMSMRIVQNCDIELEDVFVPDDDRLPGCNSFQDLVNALSFSRVMAAWVSIGIATGVYDACQRVEAVRCAFSCLPAEPREAGQDAGFQAISLLGLHLCKLHDSGKMTTSQASMGKAWITKQARETVALGRELLGGNGIVTDFHVGKVGRSMRSNIFRVFGKFWSF >Et_1A_007929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39551642:39554655:1 gene:Et_1A_007929 transcript:Et_1A_007929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSHSKLYADDVSLVVVVVDTNPFFWAEAALPFADFFQHLVHFVNSILLLNHLNRVVVIAAGVSSCAYIFDSSNATASGGAGVAATFDKTKDKVKEFIEQDALATTGNSSLGSDNAASLLSGALSLALGFSVLGPGIRNLGYVAVMNSIFSAQRSMVPIDSCIVGTRDSAFLQQASYITGGVYLKPQELNGLFEYLAAVFATDLHSRTFLRLPKTLGVDFRASCFCHKKTIDMGYVCSVCLSIFCKNQKKCSTCGSEFSRVMPDLNSMPDQSK >Et_4A_034861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7261720:7265073:-1 gene:Et_4A_034861 transcript:Et_4A_034861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLAAVLGSLALGGALLVLFFGKWWQPLADADKRVKELAEAVDALLRLRAELLGHDAVAQASDPVRAWLRRVQEAQDEVASIRARHDAGGQLYVVRLVHYFFLSTGPVAGQAEQQLKSVRALREQGAALLEAALATPQAPPPLLRQPEDLDDDLPAETGLVRPHLNEALRFLGDPDSTLGVWGAGGVGKTTVLKLVREVCGRVARFDHVLLVAASRDCTVAKLQREVVAVLGLRDAPTEQAQAAGILGFLRDKSFLLLLDGVWERLDLERVGIPQPLGVVAGKVRKVVVASRSEAVCADMGCRKKIKMDCLDEEDAWSLFQASVGDDVFHRHSQISTLARQVAAECRGLPLSLVIVGRAMSNKRTVEEWADVLDTLKASQLSGSDKGTHALVKFCYDNLESDLARECFLTSALWPEDHNISKDELVQCWIGLGLLPELADMDEALRFGHSVIAVLEAARLLEQGDNHRYNMFPSDTHVRLHDVVRDAALRFAPGKWLVRAGAGLREPPREEALWRDARRVSLMHNSIEDAPAKAVGSALLDVQPASLMLQCNRALPRRMLQAIQHFTKLTYLDLEDTGITDSFPMEICCLVGLEYLNLSKNRILALPMELGNLSQLKYFYLRDNYYIQITIPPGLIARLGKLRVLEVFTASIVSVADDYVAPVIDDLESSSGAPTVASLGIWLDKIRDVERLARLAPGVRARSLHLRKLDGARALPLLSAQHAPELGGVQEGLRELVVYSCDVEELVADAHAPRLEVIKFGFLTKLRVMAWSPCAASSLREVALGACHSLTHLTWVQHLPCLETLNLSGCNGLTRLMGGPEEGGSAAEEVVVFPRLRLLALLGLPKLEALRGGEGESAFPELRRVQMRGCPRLRRFQMQPVCGQSQVRIECDKHWWDALKWANEDVKSCFVTVF >Et_8A_057744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6713101:6714467:-1 gene:Et_8A_057744 transcript:Et_8A_057744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERNDINMDKSDEILMPGFRFHPTDEELVSFYLKRKIQQKPISIELIRQLDIYKYDPWDLPKLASTGEKEWYFYCPRDRKYRNSVRPNRVTAAGFWKATGTDRPIYSSEGTKCIGLKKSLVFYKGRAARGMKTDWMMHEFRLPSPADPSLPKRSIDKNIPLNDSWTICRIFKKTSSMAQRGLLHTWGPPFPGAAEAEMLSAFQTVQASEFALESSSCSLQVAPLAPSSQFTSRHGLQGQQSNPSVEASSCKLINFNCSSSLEPQESPIIFPFEAPTSQKAGPVSLVFGAQPDHQLNGFVVDSSADINGGTSSRSHDSSTRKPNNGFSMNSIDWEAVGKINFPFDLGADSSEELKCNMPWESFLSPAAVPTELPH >Et_3A_023810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12652158:12657565:-1 gene:Et_3A_023810 transcript:Et_3A_023810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKVYGWAVSPWIARALVCLEEAGVDYEIVPMSRCGGDHRRPEHLARNPFGEIPVLEDGDLTLYQSRAIARYVLRKYKPELLRDGDLEGSALVDQWMEVEAHHVEPTLWQIIRNCITGPYVGRPRDQAVVDENLAKLKKVLEVYEARLLGSKYLAGDDVTAADLCHFGFMRYFMATEYAGVVDAYPHVKAWWDALLARPSVQKVMAGMPPDFGYASGNIPSCINLPYASVNESLAKLKKVLEVYEARLLGSKYLAGDDVTAADLCHFGFMRYFMATEYAGVVDAYPHVKAWWDALLARPSVQKVMAGMPPDFGYASGNIP >Et_9A_061171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19991644:19992770:-1 gene:Et_9A_061171 transcript:Et_9A_061171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGIPVAVRSDYLLSIGGGLQSRQQEKQPSPAGPAATFPWSHPCPAYSPSSSSARSSSPHRPTLKTSSTATARATRTTRESARSRPTSAPSSLPPSRDGGRVLGFRQERHRRRARPGVRARAVPRRRRRFGAAHGHLVPGPEERYGHLRIRPAAALQRKLLRQRRHVVGGVVVKLAERDAAGAVHVAVRRADEQPHKKGGVRNSASQCTPDLAADDCTVCLSSAVARAHLHGGHAPRRGVRRGTDARFTSARRRLMFGARLPPS >Et_1A_008531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8402964:8403827:-1 gene:Et_1A_008531 transcript:Et_1A_008531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLQLSMLNGECARRLRAISHAVAATLSAISCGDNPSLVPFRTTRSSSSSNTLSNSPSVPTTTTSPAAAAISPTAASATRSPPLVARSWNGQLNWCCCGAERRAILPPRSTAKPLSPTYAHRRVAPWRDTITAVEAPGRVGADRRTRLLGGRPTRRREGVVHQRARERAGVRHALLAVPADAVRHAHDTGVGEEEVRVLVVVRHVAGRDVHPQLGTRGAILQRGRRRIGELFPGTMISSVERVELIEEGVEDSFVDLSQCLLDVLLRHRLP >Et_2B_021099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26460288:26462850:-1 gene:Et_2B_021099 transcript:Et_2B_021099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DTGHRVPNDEALVVTALVSQVTTAAALLSPIRRPRSMSIQGLILEVRVTGCRKLRDTEFFTRQDPYVVLEYATTKLRTRTCTDGGKNPIFDEKFHIPLIEGLRELTVNVWNSNTLSHDDFIGSGRVQLHKVLTQGYDDSSWPLQTHHMRSAGEVKLILHFDVSAMKNKMGKSAAGSSTHYVPSPMPTLAPALASAVPYAGVQPSYPPASAYPASAYPTYPTPGHSVYKSAESVPPPQQPCPPMGYPATTHMGKYTRHSHMGNHTLPHRLRSPHTHLRLTLELIHPDLIDIA >Et_1A_007181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31691523:31696385:-1 gene:Et_1A_007181 transcript:Et_1A_007181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WLRRGFQITNPKTRGVINAKPGRVRSKLANPPPQRGGEPNPSRLDSNRADHPQTLALRPPRPPAMAPAQAAGPGDDGLRKLEYLSLVSKVCSELETHIGVGDKVLAEFITELGRDSATVAEFDARLKEKGADFPDYFVRTLLTIIHAILPPPSAGAAKNPSAAGDGTSKFPGLSSPDDPDHARNLRIELERDAAAAAPAPAPARDDRDRRRDDRSRDHRDHDRGGRDHDRDCDRDRDQRRSRRYEDEEEEDDRSAGRRGREVPAANLSGEPELYQVYRGRVTRVMDTGCFVRLEGVPGGREGLVHVSQMASRRVANAKEVVKRDQEVFVKVVSVKGQKLSLSMRDVDQDTGKDLLPIQRGGIDAPRANPSGGGVGGGGGTGRRLGLSGIMIADEDEAAPTSRRPLKRMSSPERWEAKQLIASGVLDVRDYPQFDEDGDGMMYQEEGAEEELEIELNEDEPAFLQGQSRFSIDMSPVKIFKNPEGSLSRAAALQSALIKERREVREQEQRAMLDSIPKDLNRPWEDPMPDTGERHLAQELRGVGLSAYDMPEWKKEAYGKALTFGQRSKLSIQEQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILVDENLSQYSVIMLDEAHERTIHTDVLFGLLKQLIKRRSDMRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDHACQCLYERMKGLGKDVPELIILPVYSALPSEMQSKIFDPAPPGKRKVVVATNIAEASLTIDGIYYVVDPGFAKINVYNSKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTIPEIQRINLGSTVLNMKAMGINDLLSFDFMDPPAPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDRYKLDVVSAGKNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLIELAPRFYKGADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >Et_1B_011831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26411665:26413907:1 gene:Et_1B_011831 transcript:Et_1B_011831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLVAAARRLLRAGGRSRILPSLLPRVAASSPRGYSSEKQTQARPLSLQSSLYPLGHPGTLLVPEIELWAAKPRNRLRPVELQRIVKELRKRRRHRQALEISEWMNAKGHVKFLPKDHAIHLDLIGQVHGVEAAETYFNNLSEKDKTEKPYGALLNCYTRELLVDKALAHFQKMKGLGFLYSTLSYNNIMGLYTNLGQHEKVPSVIAEMKSNGVMPDNFSYRICINSYGTKADFFGMERTLEEMECEPQIVVDWNTYAVVASNYIKGDLRGKAYYALQKAEAKIDKRDSDAYNHLISLYGQMGDKSEVKRLWALQMSNCKRHINKDYTTMLATFMKLGEISEAEALLKEWESSGNSFDFQVPNVLLTGYRQKGLLDKAETLLDDFMKKGKKPPSTSWAIVAIGYAEKGDAAKAYELTKNALSVYVPNSGWNPKPSMIEMILKYIGDEVELKDAEIFIDLLKVAMPMNSDMTEALSRARAREEKKAEATTEALSSTSS >Et_6A_046396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14255900:14256643:-1 gene:Et_6A_046396 transcript:Et_6A_046396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKEVAVLFMLLLLISQQTTRAQNEISTTVYVGLGVYNGSIRVIGNGGFGTIDMLDFTLYDGAGSTAKTIGRALYVDSRVSSITSSCTGPRLLRDWSIVGGTGKFAMARGVVTKTVLTGSSKFAQLYIHAYNSATMQTIKVSGNIDDNNSRGELRENI >Et_10B_003470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:282713:286375:-1 gene:Et_10B_003470 transcript:Et_10B_003470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNGIAPRDVCVVGVARTPMGGFLGALSSLPATKLGSVAIEAALKRANVDPALVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCTTVNKVCASGMKAAMFAAQSIQLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDAMLKDGLWDVYNDCAMGMCAELCADNHALTREDQDAFAIQSNERGIAARDSGAFSWEIVPVEVPAGRGKPPVVVDKDESLAKFDPVKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVLARIKGYADAAQAPELFTTTPALAIPKAIANAGLESSHVDFYEINEAFAAVALANQKLLGIPSEKINVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVLELA >Et_5A_040596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10134937:10142248:1 gene:Et_5A_040596 transcript:Et_5A_040596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGSGGGRGRLRKTESAEMRWVASGGAFEEDEIESSDGGGDEENPTAASGSRGGGPADDDYEEEELLRQRLVRTGPRADSLDVEALDVPGVYRHQEFSLGRSIVLTLQTLGVVFGDVGTSPLYTFDIMFNKYPATLKEDVLGALSLVIYTLILIPLLKYTLIVLWGNDNGEGGTFALYSLICRNAKASLLPNQLPSDTRISSFHLKVPSVELERSLKIKERLETSSMLKKLLLMLVLFGTSMVIADGVVTPAMSGEVVMITVAFLIVLFSLQRFGTSKVGLAVGPALFIWFCCLAGIGVYNIRIYGSEVLHAFNPMYIYNYFERNPTQAWMSLGGCLLCATGSEAMFADLCYFSVRSVQLTFVFLVLPCLLLGYLGQAAFLMQNLTENQQVFFLSIPSQAFWPVVFIALLAALIASRAMTTAIFSTIKQAIALGCFPRLKIIHTSRKFMGQIYIPMMNWFLLVSCLAFVTVFGSINEIGNAYGIAELGVMMMTTVLVTIIMLLIWQVNIIVVLCFLTLFLGLELFFFSSVLGSAADGSWVLLVFAAVLYLVMYIWNYGTKLKYETEVKEKLPMDLLMQLGSNLGTVRAPGIGLLYNELVRGVPAIFGHFLTTLPAIHSMIIFVCIKWVPVPVVPQNERFLFRRVCPKNYHMFRCIARYGYKDVRKENPQAFEQLLIESLEKFIRREAQERSLESDHNDTDSEEEIASGVNNSALGSSMSFGSLDEATDGRRSLDNELSFIHKAKESGVVYLLGHGDIRARKESFFVKKLVINYFYSFLRKNCRRGIATLSIPHTRLMRVAMQYMV >Et_4A_035909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8358816:8359308:-1 gene:Et_4A_035909 transcript:Et_4A_035909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAVRPAFAYTVVYVKDVVKSAAFYSAAFGYTVRRLDESHKWAELESGATTIAFTPLHQRETDAITGHVQLPDSPAERGPVEICFDYADVDAAYRRAVENGAVPVSAPEQRNWGQKVGYVRDIDGIIVRMGSHVRE >Et_1A_007168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31487830:31492176:-1 gene:Et_1A_007168 transcript:Et_1A_007168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDLEIQKTAFENKAIVMKFSAPLSSVPTSRSPRIPLRFTPYAMLLLHCSPRLLLRLSPSQRLAAAPFTLSARGLRRSTAIRAELEPPPTSAAEAPDTVAGTSGGDSDGEGPVELRAPTLFSIDDNPTPLQTATSVLLTGAISIFLFRSLRRRARRAKELRVRSSGVQKKPNNLSDEALEALRLMSASPIEADKPPSPVQVLLGGIAAGVIAVVLYKFTTTIEASLNRQTISDSFSVRQITITIRTIITGVCYLATSVFGINAVGLTLYSLQLTFASIMDDDPRGATGNTSEQSNTTASSDSSTSNSESAGSDLQRMSDKSKNSTE >Et_1A_006965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29612264:29617335:-1 gene:Et_1A_006965 transcript:Et_1A_006965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSAAAHLVAVAALLVLLARSGEPVGLWLPPPESGSGHLGGAADRYLTRDEHWMSQTLDHFNPTDHRQFKQRYYEFLDYFRAPNGPVFLYICGEASCNGIGNNYLAVMAKKFGAALISPEHRYYGKSSPFESLTTENLRFLSSKQALFDLAVFRQYYQETLNAKYNRSGADNSWFVFGGSYAGALSAWFRLKFPHLTCGSLASSGVVLAVYNFTDFDKQIGDSAGPECKAALQEVTRLVDGQLQSGRNSVKQLFGAPMLENDGDFLYLLADAAAIAFQYGNPDVLCSPLVEAKKNGTDLVETFAHYVKDYYVGKFGASVVSYDQQYLKNTTPAESSYRLWWYQVCSEVAFFQVAPKNGSVRSAKIDARYHLDLCRNVFGEGVYPDVFMTNLYYGGTRIAGSKIVFANGSQDPWRHASKQKSSEEMPSYLIECKNCGHCSDLSGCPQAPSNIEGDSSKCSSPEALNKVRKQIVDHIDLWLSECQVQGHDKEPSLGSRWNIATI >Et_1B_013076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5254080:5257415:-1 gene:Et_1B_013076 transcript:Et_1B_013076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AFILISYFCRFKLIKEVGDGTFGSVWRAINKQNGEVVAVKKMKKKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVKPFPESEVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDIIKLADFGLAREVSSVPPYTEYVSTRWYRAPEVLLQSSVYDSAVDMWAMGAIMAELLTLHPLFPGTSEPDEILKICNVIGSPDEQSWSEGLSLAATMKYKFPQIKGNQLSELMKSASSEAIDLISSLCSWDPCKRPKAAEVLQHTFFQGCTYVPPPVRPKAGGLPKTPPCVGAKGISENNVARRFSTGTLSTLKSSASVKSSALSKTGVQRKLQMDRQAPLKSTRSTDSRNPVLRHSRSLPETGRAMHKVSAITEKLSNMSVTSRTRSTVKPPAVMMKAGHGKSDFLGKSDEIPPAKRLTRKLVS >Et_9B_063991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:105253:108585:1 gene:Et_9B_063991 transcript:Et_9B_063991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSDQQSPNSELIEKSHLASILGPSAAPAPDGGEKLVFEAPPQPMREDYVQNAVKFLSHPKVRGSAVVYRRSFLEKKGLTAQEIDEAFRRVPVRSIAFFSLPYHDSTNQNKSTGLQTYAPGQSVQPATGPVVLRTQPRFSWYQAFLAAGLLLGFGVSAAVFVKKLFIPRLKSWIRNVVAEGDEAEGKQLKAKIDDETAQAVKASASAVSAIAKTNQELLASKDEERKILVTLTQALDSQAKELKSLSESLSHGRESINVTREDRFSQYRPLEDHVPPAVKNGSVNSSWRASQQTNMYGVPNSDFGSGRPSFAPAPTEPTPGSFSRSYAETVSSAQRGDRSSSGSKPWEMQQYSQQRPGYGSNSQLGNDGSYFEAQDNHAPLYHQNGKAPDFHTDEPRPLAYNTGVEERPPPQRRWVPPQPPGVVMPEAATAIRQPKALPKQPSSDSTESAGEVQVNGALSTSGVATDVPVNGGAESDAGRTEIEEHSEAI >Et_4B_036301.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:15623835:15624128:-1 gene:Et_4B_036301 transcript:Et_4B_036301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YLTREIVCALDPSCVQGIQGRTRTRRRATRRSRGTLRSRRTRRRATRRSRGTLRSRATRSRATRTRSSCRPGSSRAAGLPSWRDGTCTASSSLQFSPL >Et_1B_011425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22040261:22042529:1 gene:Et_1B_011425 transcript:Et_1B_011425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSRPAMPALAVVAAVLCLQHLVGGAAAQLCEDYYDCTCPDAYNIVKRVLIEAHKSDVRIYASLIRLHFHDCFVQGCDASLLLDGVPGVINSEKGAPANNNSARGFPVVDAAKAALEAACPGVVSCADILALAAEISVELSGGPRWGVLLGRLDSKTANFASASNLPSPFDNLTVLEKKFKDVGLTDPVDLVALSGGHTFGRVQCQFVTGRLYNFSGTNRPDPTLDPAYRAFLSRRCPLNGNGSALNDLDPTTPDTFDKNYFNNLEANRGFLNSDQELKSAPQAQGVTAPIVDRFASSQDAFFTSFAQSMIKMGNISPTTDPTKGEIRKNCRKVNGS >Et_6A_046212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:113816:115918:1 gene:Et_6A_046212 transcript:Et_6A_046212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEEDPGSLSLFASQRSFRDDDLRLLEAALSAGPDVPALLHTRSAARRLLRARAAEAFLKAPGGVEDHGHGRSLPVADFFARAFALVGDVESCLAMRYEALVLRDAKYSNNPQLQVSREEWSTFAKDSLDNGFYTIASKAFANALAHIPRGYPGLLESTNSVVEKDKINDITGLQNLAKSLSSQHSVHTQSAEYMKRRASDVLTKYNLQPGKPKLPASSMFRLGIKTRNIKKLLHSRERNLGDI >Et_1A_004996.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21941260:21941442:-1 gene:Et_1A_004996 transcript:Et_1A_004996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQWSAGKLVLILDAVHWNPEAPPRTLPRVRLRCGKAPPCCASSQLRCVSLVILIKPQP >Et_1B_010801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14228736:14242958:-1 gene:Et_1B_010801 transcript:Et_1B_010801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAEVAMVEDMQAAAAPAAVEAASGEEDGMRMEGWLYMIRSNRFGLQYSRKRYFVLEDAALRCFKSAPSSQNEDPVRSAIIDSYIRVTDNGRESVHRSDFYIFTLYNASNHYDQLKLGARSSEEAARWIRCLMDCALKYPRKDEHIVACSHRRWQAFRLSRRSSRMHSIDWTLFSSAHSDPMASDVIAPSPWTIFGCKNGLRLFTEANDGGSRGKYWDDHPAIMAVGVVDANSESVFQTLMSLGQSRSEWDFCLREGRVVEHLDGHTDIIHKKLRGDWGMRKRDLLLRRYWRREDDGTYVILYHSVFHYKCCPERGYIRACLKSGGYVISPVNQGRQSVVKHMLAIDWKFWKSYLFTSSAKYITIPLREFFRAKNGNCACMEFSSGELTRDMVLSQRENGHINLEMQPATESRRLEGPTEGSQGGSNRQLSSVGSFVQLNDAADEFFDVPDESEYDQRDVMFPSDESTYAVDQRHGKLSTAAVFVKKLHDLAVQKRGYVDLQGAADADNEACCYGYTLPKDSSCTVPSTWAMTDPTTFLIRGETYLHDRIKIKANSTLMQMVGADWIKSDKREDDLAGRAGGLVQRCAAQGGSKFFFIVNIQVPGSTTYSLALYYMMDTPLEKVPLLERFVNGDDSFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGSNYLELGVDIGSSTVARGVVSLVLGYLNNLVIEMAFLVQGNTQEELPEFLLGTCRLNYLDASKAVSIDEC >Et_4B_036024.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:14164352:14165938:1 gene:Et_4B_036024 transcript:Et_4B_036024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHITPLRNVCMEGLVQALKGVGYKDGANLFGAPYDFRYAPAAPGLPQRAFSDFLSSLTLLVERASQMNGDKPVILVTHSLGGLFATEFLSRSPPPWRARYVKHLVMLCLGVGGSPLNMWPIAAAPASPPPSLVYTVLTYGNRSFASTFSLLPSPKVFGGDAPLVVTRARNYSAADVPEFLAAAGFSDDEVERYRMRALPVTLNLRAPRVPMTCINGVGVPTADKLVFWDGNFSAMPEFVNGDGDGQINLQTVLALQRVIGDDPDQHFFESILIPNTTHRGMISDESALKRVVSEILEASRATYS >Et_3B_029665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2684338:2687715:1 gene:Et_3B_029665 transcript:Et_3B_029665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAALSTPRVALDARARALFSPPSTLPAPPSPNLRLAARPRALAAAKPRFLNPHPDPAGDGGRGARDVTAMVVPFLRGTAWEQPPPDLASFLYKNRIVYLGMCLVPSVTELMLAEFLYLQYEDAEKPIYMYINSTGTTKNGEKLGYETEALAVYDAMRYVKVPIFTLCVGNAWGEAALLLAAGAKGNRAALPSSTIMIKQPIGRFQGQATDVDIARKEIRNVKIEMVKLLSRHIGKPIDEIARDIRRPKYFSPSEAVDYGIIDKVLYNEKGHEDAGVVSELKRSNLI >Et_3A_025892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33744861:33747635:-1 gene:Et_3A_025892 transcript:Et_3A_025892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAKPILRVAAVCGSLRKASFNRGLLRAAAEVCEDSIPGLRVDHLDISDLPLLNTDLETDGGAGFPAPVEAFRGKVRQADCILFAAPEYNYSIASPLKNALDWASRGKNCWADKPAAIVSAGGGFGGGRSQYHLRQVGVFLDLHFINKPELFVQAFQQPPRFDSDGNLIDAEIRERLKQVLLSLQAFTLRLQKECITSIEQKP >Et_7A_051036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13900933:13904709:1 gene:Et_7A_051036 transcript:Et_7A_051036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPYRFASPLSGKDQIENSIIIKRGKRILLRQDFRPLRMPSVCVGPSSPTVESRGGRVPEYPAQVEAGKAATSAGTGRVRLEPSPLLFPSPSARSLATTSRARAPPRRGPQQPRLGPAVPCRVPPRRAALLVGLVPRRAGAAPEDWLRRLASARSGVRGQTRDLFQSSRSLNIFGSSWLPQVMIIMFNNKAYQDISVDIRLDFNAFDHLSSMRYITTDRPWLKLYGIRVQPVPAFSSLSCKPDLALIHRCLPDELLFEIFTRMSPYTLGRAACVCRKWKYTARNPTLWRVACLKTWQRSGMEANYRMVRSLYDSSWRRMWLQRPRIRNDGLYVSRNTYIHTGVTEWRFKKTVNVVCYYRYLRFFPSGKFLYKISPDKVKDAVKCMHFRASKNDCVFKGDYILSEDGQIELALLYPGHRYTLVRMRLRLRGTTIGANNRLDVLKILTTGVNATELRNWKGSILELVDGWEEDETHDPDVPAISHSRGLAPFVFVPFEEADTSVLNLPVEKMDYFVPG >Et_5B_044662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4468351:4471334:1 gene:Et_5B_044662 transcript:Et_5B_044662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACRRAVSVLPAPSGEQEAGALTPQTLKPQIERFEEGGREFAWLSNLRLAPFGAGRRACPGKMLALARTWFLASEQVKDKAIANRAALFGRTLKPARASGFGIKQLCV >Et_2B_022855.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6829083:6830081:1 gene:Et_2B_022855 transcript:Et_2B_022855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYYSRRCFISVVFLLVISALAASNVYFTLPTPFPWPYSCSTTSKKQGDTCNIFKGQWVPDPDAPYYTNETCSVIHEHYDCMNYGRPDLDFVKWRWRPDGCDLPRLDPARFLAVMRGKSMAFVGDSLARNHMQSLVCLLTRAEKPTPNSPSDDGVYRFDKHNFTVANFWAPFLVRHEMVEDDGPAHTGLWNLHLDEPDAAWAPRVAAFDYVVVSASSWFYRPSMLYEAGRLVGCYYCLRPNVTHLALGYALRVATRTALRVLGGGAGDGDGGGFTSTVVLRTVAPSQYEGRAWNKDGDCVRTRPSRRGEKKVQGVELDFHTLQLEEFAAAAG >Et_4B_036012.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13467880:13468373:-1 gene:Et_4B_036012 transcript:Et_4B_036012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRPPLPHGLPRPPSHAAGLRRLSTRASAPSTPRTPAAPSPSSAASGPAPSPSAVLAHLAAAGVSVLPGLSATEVAFAEAALGGVQLPPDLRELLAVGVPAGDGFPDYRSPAGLRLLRFAAQEVPAAVAATLP >Et_1A_006827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2821364:2824041:1 gene:Et_1A_006827 transcript:Et_1A_006827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FPALPKSKQHPPPAITRPDTDASVSPTRGPGVFPTQTSLEYIPRSLCSRRRVTSCTVQARPARVHPTRSRMAELRASFVAAAVVLVALSMAPPRGAVAKTTIEPCSGSDSCPALLGYTLYADMKVSEVAALFGADPAALLAANAFDFTAPGAAHRILPMGLFVRVPTRCACASGVRKSVSVRYAARPGDTLAAVADVVFAGLASADQIRDENGLASGAADPDAPLGAGEKLVVPLPCVCFNSSDNNLPALYLSYVVQVGDTVPAIAASYETTVTDVMNVNAMGSPVAAPGDILAIPLPACASTFPKSSSDHGLIVANGTYALTAGNCVQCSCGPGILNLYCTSASLSGSCPNMQCPNSNVMLGNVSTHPTNAGCNVSSCSYRGFVNGTITASLDTSLQPRCPGARQFPALTDPPTTVNHDSTFLPPLSAPAPAEAGGIIPAPDSSVQGGSFTLPKISTANGPAGSVSEGPSMKKPHQILVFFIVCLAFCSM >Et_6B_049574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6717511:6723427:-1 gene:Et_6B_049574 transcript:Et_6B_049574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTHHHHALVPPQAAKLWTRRTSIRSAAAAASPAWNRANSITTACPSTKHAKLLHLGAGAFTRRRIILVANGASLPGYSAAPDDSDDGLKPRDNISVGAVKESVIEINSGPDSVGVRSELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSIFNIVSKIFNIPLLSIATSFVAEDISKNASKHSSSGKLELSSVSSALILAAGIGIIEALALFLGSGLFLKLMGVSPASPMYKPAKLFLSLRALGAPANVIMLAIQGIFRGFKDTKTPLLYIGLGNLSAVVLLPLLIYVFQLGITGAAISTVVSQYIIAVLLLQSLSKRAVLLPPRMEQLEFSGYLKSGGMLLGRTLSILLTMTIGTSMAARQGPTAMAAHQICLQVWLAVSLLADALAVSAQALIASSYGILDYKKVQKIAMFALQIGAISGLALAISLYGSFGNIARLFTSDPEVLLVVKSCALFVCASQPVNALAFIFDGLHYGVSDFDYVGQATIVVGIVSSLVLLYAPSVFGLAGVWAGLTTLMGLRMTAGILRTPTHLLFQTRGL >Et_3A_026550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9623665:9627961:1 gene:Et_3A_026550 transcript:Et_3A_026550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGEAANAECTRASLLGRYEIGRTLGEGNFGKVKYARHRATGGHFAIKILDRNKILSQHIDVQIRREIGTLKVLKHPNVVRLHEVAASKTKIYMVLEFVNGGELFDKIAIRGKLSEQEGRKLFQQLIDGISYCHDKGVYHRDLKPENVLVDRKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILFVMLVGSLPFDDRNLVVLYQKIFKGDCQIPKWLSPSAQDLLRKILEPNPMKRINISGIREHEWFQKDYVPAVPYDDDEDVLPGSVLPIKEVIEEPPQVKPTHINAFQLIGMASSLDLSGFFEEEDVAQRKIRFTSTHSPTDLFDKIENVVTEMGFQVQKGSSKLKVMKNCKSPRNPKNPSSFLVSIEVFELGPSLYVVELKKSQGDSILYRQMCEKLSDELGVCKTEQIERTGSVDDDLSSFDSESSLSSF >Et_2A_017866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7309395:7314134:1 gene:Et_2A_017866 transcript:Et_2A_017866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNVKTLKGTSFEIEAPAESSVRPLFPSTSTFRSSSFANRSDSVQFPGDSGAARCDFAVAGARSLSACAAGVFSARRVDCRVALGIGNGSSWGVAEVKRIVESSQGANVYPADQLMLIYQGKILKDDTTLESNKVAENSFIVVMVSKPKASSSGPSNVAKPPAPVPSAQPAAPAAPVASVARTAPSQAPVAASEPDADVYSQAASNLVSGNNLEQTIQQILDMGGGTWDRDTVVRALRAAYNNPERAIDYLYSGIPENAEVPPVARAPASGQQTNPQAPPQAQPAPAPAVQAAAAPAGPNVNPLNLFPQGIPSGGANPAAAAGAGTGALDALRQLPQFQALLALVQANPQILQPMLQELSKQNPQVLRLIQDNQAEFLRLVNESPESGTGGNILGQLAAAMPQALAVTPEEREAIQRLEAMGFNRELVLEVFFACNKDEELAANYLLDHGHEFEEQQQQQ >Et_8B_060594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2969884:2971955:-1 gene:Et_8B_060594 transcript:Et_8B_060594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPTDDGAARNAAAACGEGGRQDEEWQKELAAAWGQSHAERSALRSQYAGVRATIREVKDDPGLGQFDAAMGKIEKLHEEVRRPMEQVVDGEALLDLAEVLVEAAKSENRDGPTPSKFVTALLQKFGPRTSPLGDSNEPFSWSDLGAVVSPLFMTATGCRTMLGPMGLSVKERKHAARKQSERLGRKPAKVDELAPEQDERNDTDENIAVMFGLLRTHRRAKLENLILNRQSFAQTVENLFALSFLVKDGKAEITVDDKGDHVVMPRNAPVAGQITSREVSTSQFVFRFDFRDWQMMKGVVKEGTELMPHRNTQQHGGQHQNTTPCPASDSSQLGSGSDQLEENEVEFADQEASETAKENAMEENLPSCSSGLKKRKGSGVARRLFSAVPDPAV >Et_4A_035258.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:15069970:15070251:1 gene:Et_4A_035258 transcript:Et_4A_035258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGLKFYNDLLMEAGPFGNVQSEVLMRKDARTFTFSQGWAFPRKIYFNGDECKMPPPDSYPYLPNAAPPRARRIAASSVASAFLILAVLVAA >Et_2A_016069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20683270:20685488:-1 gene:Et_2A_016069 transcript:Et_2A_016069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELHSTNSKNSGVVILDEAMYWLTEEKKNRWRRAVVSFALKDDSFQWIHLPTNADLANYGTKSRFLVPHCRKGKGSNLHLGFVAAAAAAVEEMAGRGKPAT >Et_7B_054923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5601758:5611089:1 gene:Et_7B_054923 transcript:Et_7B_054923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKRAAAAASKKPPASQPSQPANFGILHFFERQTQASQTVKRQKPDPAPPPPPPPPAPPTEEEPSEVSPEVTKTLAPKRVRFSPGMLIKQSQDDGGAEVVTWKISPVNDRLRTLKSRHFPGMALNPCSNNEKNSSLEAMKKWHSSPLGISRCTSAGRDSSMNGSGPVACDIVEDSQSPFRTPPSLSYGCSEQLNGGVTSKSGPEQMGAGQHKKALLDLLDQVEDAIMEEELPIDPVNKGVQDTNGHNNDCNVSPVVNVDPTIPSKKSIDAPPFDSFLVLEVSEKHKVDDSSCDRYPVKVLRLLNEHSGQECAVHLCDEWLHSIVGPGDTVSIIGEVNDQGKCIVDHDRNLVVIHPELLISGTRVASSFHCPRRSVLDDRLKGNENSISALIGTLLHQVFQAGLLEDAPSRQFLEQQAKEVLLKNLDSLYACGASESNTYSTLIEAIPKILNWFKCFLKGSKCSNVDFGRTEGRKTIRVTEVMDIEEMAWAPRYGLKGIIDASVRSRVDSCNGGSYDRIMPLEFKTGKGTTGQAAMEHSAQVILYTLLMTERYLNKDIDSGLLYYLHTDQTLSPSTCNGCRHLTSCTIYHKAHGGNAATSGLGDLFDNLVNHLTVAHQDFLKHWDRLIDLEARASQVKKKDLFQPHYPNSGSRNSGAAYFSLDIKNGYSIDSSGKSKRYIYNFVRQKMQPETADQSEAQLDSVDLSFKCGDSVVLSTQRITVANGSVRDISCSHITVSLPRRLRLPDSNSLSEQEDLTREIWRINKDESSSSFAIMRLNLVQLFAQNPRNSHLRKLIVDLEAPRFDSGGLLSQDPALSYIRSLPSLNNDQQRSLHKILGAKDYALILGMPGTGKTYTMVHAVKSLLIRGESVLLTSYTNSAIDTLLMKLKTEGVDFIRIGREEAVHPDVRAHCLSATEMQSVDAIKTRMEQVQVVGVTCLGIYHPLLAHKKFDTCIMDEAGQITLPVSLGPLMLATKFVLVGDHYQLPPLVQSSEARENGMGISLFWRLSEAHPQAISALRCQYRMSSGIMELSNSLIYGSRLSCGSLEIANAKLKFSGREPVHLKLKEILNPDRAVIFANTDQIPALEAKEHRTVNNPTEAHIVSWITRELIRRGVPQEDIGIITPYNAQVNLIQQCTDGLVEVHTIDKYQGRDKECIIVSFVRSSANSRASGSLLGDWHRINVLLTRAKKKLIMVGSCGTLSTIPLLRLLVEKVAETGGLLDLTNKDANSFGERKSSR >Et_2A_016656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2697056:2698565:-1 gene:Et_2A_016656 transcript:Et_2A_016656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYEKLLESTAYERMRAEHPAEFAPASVFFTHDARSAIDRRNSFRVKAALVYEAITGHHVDDHMLRANRLLLALAKACHSAIHGTPEEKKPDTETEDKSKQKVDGAAEPGNGGPKENTQQKEEKAELTDEAWDAEHKRVVDGIFLVVGFLPRLMEAIGMSSDRDGVDESFKSRHMNDIVTDVVKLENQLPLKVLLDVAGVAEDVVRKTLDLNEFKEVKDSIAGGEYKLPFTRESFGDVVHGFCWYYSPFATKKAAAAPAADDDDEEKTTLLDRLHRSVAPAPPGRGARATGRPSRMPAARELRRVGVRIQASENGRAEIEFKERPWAALQLPALVFDFKLATAARNLLAREYEAQSKPVTRYFQMMNELVEDAADVRVLRPAGAVRGAAAGGDQEVHGLVKNIDGHATYPSVYMAMDREIEKVKQHHDKRMKNFFVRNRPGVIWASSVAAVSVVAIVAARKARG >Et_4A_033454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2373684:2375668:1 gene:Et_4A_033454 transcript:Et_4A_033454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSGPEQQYGCAHYRRKCKIRAPCCGEVFDCRHCHNEAKDSLEVNVHDRHELPRHEIKQVICSLCNKEQDVQQSCSNCGACLGKYFCAKCNFFDDDDSHRCVDRAMHHNCPVCFEYLFDSTKAISVLHCGHTIHLECLYEMRAHQHIADASNLSEEDDMDPLQRLWDDIKCAVPHFGAQVSGMQLLQHSADKRRSSRVLKSLSLSQ >Et_3A_024120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17524301:17526144:-1 gene:Et_3A_024120 transcript:Et_3A_024120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSCSDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLAENRVVSYEAGKALADEIGIPFLETSAKDATNVEKAFMTMAGEIKNRMASQPAASGATRPATVQMKGNPVTQQSSCCS >Et_7A_052196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5500952:5507299:1 gene:Et_7A_052196 transcript:Et_7A_052196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPFDVEAGSGDNAAADVIAEANHDAAKLRRGLVIGGVGQAATALYKQPAGLFLWARFLHYSYYCVLVAVVLFGMPATAPEVAGHMADAAQDERRLRRDALLLALSRAPGGVFLRGGRALYYAYYVVLLAVALFGALEVAVGFWVAGDTERRRGWGRVVVWASVVPLVVVAGLGGFAVLK >Et_2B_021433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29612153:29613867:-1 gene:Et_2B_021433 transcript:Et_2B_021433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAARNKKGRGALCNLPLLLLIAAIQFLVIYSPTLDRYMVMITSGKPGFPSLLLDGRRGFKLVGTGYTSKQYNLIFPVEREKILIGVNFDYVRNAEEEFVPEPRVRCDFADPRSDVCELEGAIRIRGSTSEVFVITPPHGGANITGVGAGANATSWRIQPYTRKGETRVMNGITEMTVRVVTADEAPACTVRHDVPAVVYSNGGYCGNYYHDFNDNIIPLFITSRHLGGEVQLLVAQKQGWWFDKYREIVDGLTNYEAVDLDKDAGEVRCFRRATLGLRSHKDMSIDPRRAPHNLSMVDFKRFLMWRYALPREHAIRTDDEERRPRLLVITRRSRRRFVNLDEIVALAEEVGFEVTTSDVMSFKKKSNSSNVAAGNAAGDADGGQARMADASALVNSFDAMLAVHGSGLTNLVFLPMNAVVVQVVPLGRMEELAMDEYGVPPRDMNMRYLQYNISAEESTLSDMYPRGHPVFLDPSPIHKQSWSLVKDIYLGKQDVRLNINRFRPVLQKAIRLLR >Et_1B_013971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2657267:2660592:1 gene:Et_1B_013971 transcript:Et_1B_013971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDRSRESLLPSFLYSSAARSFTSAARLPASAPAPAPAAAGGAGGAPISIQAPKEKIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGILLKEQGARGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGALGLYKGLVPLWGRQIPYTMMKFASFETIVEMIYKHAVPTPKSECSKPLQLGISFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKMGLVGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGAAPPPAAPAEVELKASA >Et_6B_048254.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18802953:18803459:1 gene:Et_6B_048254 transcript:Et_6B_048254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALITDQIRAKAEVYYGDEICQQCTQLLLKEAGLPNGLLPLKDIIECGYVEETGYVWLKQKKRVDHLFQSVGRVVSYGTEITGYAEKGRIKKVKGIKTRELMLWVPVEEIALDEPATGKIICKSIVGISKTFPASAFHIPEMENVNINCAAPKPVVLMERAQQVVRNN >Et_5B_044799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:654929:656253:-1 gene:Et_5B_044799 transcript:Et_5B_044799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSVINQQHGGEEEEQQLVLPPGFRFHPTDEEVITSYLVRKAQDRFFSCVVIADVNLNNCEPWDLPKMAKMGEKEWYFFCHKDRKYPTGTRTNRATASGYWKATGKDKEIFRGRGVLVGMKKTLVFYLGRAPRGEKTPWVMHEFRLEGKLPPNLPRAAKDEWAVCRVFNKDLAAKPGMMERSIISQPSLPDDGFPDFIDDLPALEDPSFGDDLIDFKGTAAGSSSSSGAVPGMMRNSSGYHQQQVIKTEQPAAARQTPPPPLSQELFFSMPAASNYSQPQAGTHQSAIRSHCPANAPALPIPSRGEDMAPARPPQQQLCPELDADLIFQFPDGFTDYSTMWNA >Et_4B_037489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20329133:20332490:1 gene:Et_4B_037489 transcript:Et_4B_037489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEWPPSSCTLNIFSSRTSQWEERSFAREGEAMATVLQMQEALDLTHSAYLKGALYVLAQRNSVMRISLIHNKYRAVKPPVDIEENDIDLEFYIGKSEKWVYLALLDGYCLKVWALNESYGLIEWSIKHTVDVRHVVHQHCFQQASGPWVLQDINYNFYRHHYFPNDIKEAQMEEQYDWDSDIDNVIGYESRAEMQGCGHVSILGFHPSKEVIFLSYLVKRGLAYHMNSSKVQDLGNLYPTEYKFFEELCQGIPIEGFPDPTAGPTADSTVHKAFVAPT >Et_6B_048881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1498656:1499810:1 gene:Et_6B_048881 transcript:Et_6B_048881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGSLLPLAFAAVVLLAGVAAAQRQPRPPNAQGPKTKPHPMKVKCTGSRKQYPNCAPKAMECPAYCPQSCYVDCDLCKPVCVCSVPGACGDPRFIGGDGNAFFFHGRRDADFCIVSDRDLHINAHFIGKRGRDGMSRDFTWIQAIAVLFDGGRHRLYVGARKTAKWDDDVDRLELTFDGEPVHLPDGADAKWTSAAVPALSVTRTKAANGVLVVLDGKFKIRANAVPVTDEDSKVHGYGVTAEDSLAHLDLAFKFDTLTGDVHGVVGQTYRADYVNHFDVKASMPTMGGESNFSTSGLFAADCAVARFGTAGRHDDGAAVISELAAVTCASGISGQGVVCKK >Et_2A_016116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21075317:21100479:-1 gene:Et_2A_016116 transcript:Et_2A_016116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESESAVPLLQELPESKTKKGEKIPGRLTKDVWEESKKLWEVVGPAVFMQLLLYTMNIVSQAFVGHLGDRDLAAFSLANTVVDGLNFGILLGMASALETLCGQAYGAKQYHMLGIYMQRSWIILLATAMLLSPMYIFSGQLLTALGQSAELSREAGLVSMYMLPSIFMYAINLPVLRFLQCQLKNWVTAVATVVVFLAHVATTWLLVQYFGLGVLGAAMAFNFSWALFSALQLAYALGGGCPETWTGFSSSAFVDLKEFVMLSASSGVMVCLENWYYRILIFLAAYMKNAEIAVDALSICMSFAGWEMMIHLGFLAGTGVRVANELGAANGQGARFATYVSTTTSFLISLVASLLALVFHDKLAMIFSSSEPVIHAVNDISFLLALTILLNGIQPVLSGVAVGSGWQGLVAYVNIGSYYLIGIPFGALLGWGFHYGVLGIWAGMIGGTTVQTLILAWIILRCDWNEVVQLLSFNSAVPLISDELPEKRGGGRILGLAKEVWEESKKLWVVTGPAAFTRLAFYGMNVVSQAFAGHIGDRELAAVAIAITVISGLNLGFFSLARRWRSTCPGRFLWWCCSGTPSATWKGFSTLAFVDLKEFAKLSVASGVMICLENWYYRILIFMTGYVKNAELAVDALSIWVRVANELGAGNGAGARYATIVSTTTSFTISLSISSLTLIFHDSLALIFSTSGAVINAVDNISVLLALTILLNGIQPVLSGVAVGSGWQALVAYVNIGSYYLIGIPFGVLLGWGFHYGVIGIWVGMICGTTMQTLILAYIVLRCDWNEESQNTVPLISLSPAKVGGGKIPRLAKDVWEESKKLLEVVGPAMFMRLVLYAMNIISQAFAGHLGDREFAAFSIAGTVVSGLNFGFLVPEHLLPKKMQVFKQWHNILVRSILGRASALETLCGQAYGAKQYHMLGVYMQRSWIILMAFAVLLAPTYVFSGQLLVALGLLAELSHETSLVSMYMFPLHFNYAILLPLNKFLQCQLKNWVTVVTTVAGFPVHVAATWLLVNYFRLGLFGADMALNLSWAIMTALIFLTGYSQRMICFRPAAWRVGTTGY >Et_7B_054155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16190479:16197837:1 gene:Et_7B_054155 transcript:Et_7B_054155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNTIENHLIPLSKPTCLQFLQSLPASFCQAIGIRKTCTVTLKTSLSSSKSWQACIHPYKNSSHHVGPGWRRFCQENSLKVGDVCTINIVETTLWHVVIARRRLKSVYIRDDASIEKAIYPVLCPWADGSSGWQENFHDIYKKKGGGNFQDLLTWMWNWRAELPNAAAGVERLGGEHAASAVAEDDEPPRADAARGRVLRPPAPHERAVAVPCDLHRPDLGERADDECLPSADIVGELVGYALQS >Et_4A_032517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1093069:1096039:-1 gene:Et_4A_032517 transcript:Et_4A_032517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGSCLQAVLLCPTLWLVLSLWSTRVAAAATATAKPKAAPVVSGPVSRVEDARMFQIYYGQNFKVIKNAGDGKSYLLMQNTSKMASKTKYCTGRIKSFVIPLANFSVDTTSSPVLGNLKGITSNQVASQCVLQSYTSGNVQLVNMTDAQALSQFSAHFISNIDEDKGCNFAAYVPFEEDAPLQRAEWIKYLGTFTNSEDRANAIYNAIKANYLCLSKAAANLSTRFKPVVAWIEYTQGMWTFVKESYNLQYVTDAGAEIVDATITNKRFNSSDPEDMDNFHAILCTVDVVIDQTYVSEPAEYKLSTFLENINASRDSCFSFMANQSIWRVDKRIGGSGILDWYDGAISQPQLVLADLIEVFFPTGNYTTIYFRNLAKEEGVTEIGPEMCTRSMTTPMEPTMVPCQ >Et_5B_044627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4151771:4159543:1 gene:Et_5B_044627 transcript:Et_5B_044627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWEDLSGSGYGCGARCDFAIHDSCAAHQLTLSSPDHHAHELVLVQTHEELVCDVCVGGCAPGSFLYRCPPCGFDMHPRCARLPQTAVYSTRHPEHDLTLVLADGSCAACHNGAPGQHGPRGWFYRCSACNVDLHVSCASGGGEAGSNHVSPADALAAMHNNIQLSQALARIRVQGGRSVAELARPSSQIAQDAINHFSHPEHELVKRHYFGPSFRCDMCWEALSGPAFGCVAGCDFAIHDSCAAHPQTHYCPAHPPHSLVLLQTRRDVAHTCDFCAGRCAPGSFLYRCPPCWFDMHPRCTALPLAAVRSARHPEHDLTLVVAEEGIRCAACRLGAGSPWYSYRCTTCNIDFHVSCATGGEDNSVGAHHDAAARSHVPPAELLRARIQAQGDMVYANTMRNAGLDMMSLFYPMERRRDY >Et_8B_060545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20535650:20538681:-1 gene:Et_8B_060545 transcript:Et_8B_060545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSPSTLINSISRLGVLTSDGSTARPKPIQRYCQNVYDISSIVNPLVEDLCKSPEDQLNEVLKELDIAINVASGLIGSWHQTTSKIYFKLQDVNYEHMFDLVKEIAMELSQTSAVSSENLSKLSSSLSLSTNMELYMEAVSLENLRTRALRSENREELDLAEQMVPLVNYMHEDFLRETQLLSINGVPIPADFCCPLSLELMSDPVIVASGQTYERVYIKVWLDEGFTICPKTRQRLGHSNLIPNYTVKALIANWCESHDIKLPDPMKSLKVNFPSAVSSLQDLSAAGSSPLHPNVVSRANIPGSPEADLYLRNLNGASPPRGVPPQQNSHMHANRSVHEVSTSQPSENANGSTPDVSRLSLANSEAKENSVEGRHAGLNGQASEQSTEEAFQSSNLDRDLQDNVAGSSVNGSLPNSGQLDGESDNGVTRVPSDRTNYSSDASGEVTDGGVPVASSAPPRELLIPSRLGDPRVRGQFVRRLSSDRGFPRISSSSVDARSDLSAIESQVRKLIEDLKSDSVDVQRAAASELRLLAKHNMENRIVIANCGAVNLLVGLLHSPDAKTQEHAVTALLNLSINDNNKIAIASADAVDPLIHVLETGNPEAKENSAATLFSLSVIEENKVRIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSILHENKARIVQADAVRHLVELMDPAAGMVDKAVAVLANLATIPEGRTAIGQARGIPALVEVVELGSARGKENAAAALLQLCTNSSRFCSIVLQEGAVPPLVALSQSGTPRAREKAQALLSYFRSQRHGNSARR >Et_6B_049186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19144061:19145495:-1 gene:Et_6B_049186 transcript:Et_6B_049186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKSIREIERERQGLQTQEKKLIAEIKKVAKQGQMGAVKIMAKDLIRTRHQITKFYALKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMSQMNRQMNLPALQKIMREFEMQNEKMEMVSEVMGDAIDDALEGDEEEEETEELVNQVLDEIGIDINSELVKAPATAVSKPVAAGKVPAQAEAAGGMDGGIDDDLQARLDNLRKM >Et_1A_008542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8571189:8573887:-1 gene:Et_1A_008542 transcript:Et_1A_008542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEASGPEAGEFTAKDYTDPPPAPLIDVSLVRAVLYIIAQCLGGICGVGLVKGFQSAYFVRYGGGANELADGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNKDKAWDDQWIFWVGPLIGAAIAALYHQFVLRASAAKLGSFRSSA >Et_1A_008682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9796086:9799905:-1 gene:Et_1A_008682 transcript:Et_1A_008682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASRPAVVIDNGTGYTKMGFAGNVEPCFITPTVVAVNDSFSSSAQAPAPTRGAAKGNWLAQHSAGVMADLDFYIGEEALTRSRASSAYSLSYPIRNGQVENWDTMERFWQQCIFNYLRCDPEDHYFLLTESPLTAPETREYTGEIMFETFNVPGLYIAVQPVLALAAGYTTTKCEMTGVVVDVGDGATHIVPVADGYVIGSSIRSIPITGKDVTQFIQQLLKERGEHIPPEESFDVARRIKEMYCYTSSDIVKEFNKHDREPSKYIKHWTGVKPKTGTKYTCDIGYERFLGPEIFFNPEIYNNDFTMPLHVVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVRSSNARVSGDAKAQPIEVNVVSHPIQRYAVWFGGSVLASTAEFYEACHTKAEYEEYGASICRSNPVFKGMY >Et_8B_060559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2133336:2134828:1 gene:Et_8B_060559 transcript:Et_8B_060559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHLAALLVLLLASPAATQLASAACAGEKFPAGRTYATCEDLPKLGASLHWTYDAPTSSLSVAFVAAPAGPGGWVAWGLNPTGEGMAGAQALVALKGSSGSPAVKTYNITGYVPLGAKSTPIAFPATDLAADAGGSGGKIRVYAKLKLPAGMKAVNQVWQVGSSVTGGAPDKHAFAADNLEAKSKLVLAGKAASAPTPSPAPEAGGPAAGAGGGSDSGAASSLAPAAGKSPSAAVATAGVSAPALLVLALVGLVATQRGASILYTDTALSEINYYGLHRADDYIH >Et_7A_052155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5070984:5073703:-1 gene:Et_7A_052155 transcript:Et_7A_052155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEQVQYDLEALTRLYGLLHKGSANENLDVTSRALLMRMLEDATKRALQMRMTDEAGMEQAQSDLDALNRLYGLLHKGPTDENLDETSRALLMRMLDKATKQALLGQTKLISGSLMSPVPERNLSIRSDRRTLTAGPRQSLNPLASPSLISLRQPSERSRRPTLQDSASSSRDGRHVHGLRHNAVEEPVLSRLASNRSSRTAVPLSRLASNRSSRTAVPLSRVASNRSSRTAVPPQQYQNLERQHSGLSLYRLPVASTSQHGTVTGTSRRADLPDMARPSHGRGDHSSLERGSVRPSVSRELSRGRERVGAEGSSSSTRRFGRQGSGVSLGATSRRGSERAGRGGAATPRYATSSASSDEVATIRSGIMPHKELVTERFLRRQVEEEGSTRLRRLEYGAVSGAAGWASRSSSPQRRTLHRIDSVSTYSVSSEGAASSARYTSLSASSASASSSSSPPASRRDRGAPPAYPYEAPANSWWRGRGARPAYPYEAPANPWWRGRGARPAYAYAYEAPAPADSLRRRRRWEVLERRVGRIRKIKEKFAMLFRHRHDHHHHHHHHHGHAQEGGPSSSRRDVVPHNNRKSSLWKHLGGVFHHAKQGKDKKKTMSQTGVSVPAKKPKKRGGGGGGGGVGQVLSLFGAMQQHLRGKRKAPPKVKTPASVKKMNKGFQTQMKRMHWWQQLRKRQGRGKVSGSKPRRRLGLGFKGLKL >Et_3A_024585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22360871:22365821:1 gene:Et_3A_024585 transcript:Et_3A_024585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLSYAPPAPQPRASPVLGRVHRRPPCALPFPDAIRAAAAAAAVSLSLLVGDAAGAVVTAAPQIPEVCRDGGAPVEEEVRGEAVTNEQLVEEAWEVVNESFLPDAGSHPWSPEIWMQRKQDILQGTIKSRSRAHDIIRKMLASLGDPYTRFLSPSEFSKMSKYDMTGIGLNLREIPDDNGSFKLMVLGLLLDGPAYSAGIRQGDELLSVNGIDVRGKSAFDASSMLQGPKETFVTIKVKHGDCGPVESMKVQRQLVARTPIFYRLEKRENEDSSVGYIHIKEFNAVAKKDLVSALKRLQNSGASYFVLDLRDNLGGLVQAGIETAKLFLNKGDTVIYTAGRDRQVQNTIIAENGPLVTTPLMLLVNNMTASASEIVASALHDNCKAVLVGEKTFGKGLIQSVFELHDGSGIVVTVGKYVTPNHKDINGNGIEPDYNRLPDFNEARDYLSRCRMKELR >Et_4A_033645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25313774:25331206:-1 gene:Et_4A_033645 transcript:Et_4A_033645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGKKLINDPDDVVTEFIEGLVETYPGLQYLDGFPQIKVVIRADVIRGAYEKVAVISGGGSGHEPAHAGFVGPGMLTGAVSGDVFASPPVDSILAAIRAVTGPMGCLLIVKNYTGDRLNFGLAAEQAKSEGYKIEMVIVGDDCALPPPRGIAGRRGLAGTILVHKVAGAAAEAGLSLADVTAEAKHASEVVGTMGVALSVCTLPGQVSSDRLGPKQMELGLGIHGEPGVAVVDLQPVDIVIEHVLKQILSQETQYLPITRGSNAVLLINGLGATPVMELMIAARKAVPELQLEYGIAVDRVYTGSFMTSLDMAGISITIMKSDENILQRLDAPTKAPAWPVGSEGNRPPAKFPVPVPPSPSMKDDEILTQPQELSTQGCILEAAIEAGTKEIINIKDILNEWDSKVGDAGTINEIGQTIRRVMGGTSGILYDILCKAAYASLKKKTTVTANEWTDALEASVAAVSKYGGASAGYRTMLDALIPASTILKQRLKAGDDPVTAFIASSEAASAGAESTKQMQAKAGRSSYISPDLLASIPDPGAMAAAAWYGAAAMAVRKKLQARSKQKSICRSTTLVSSCRSRRADSTAAALGLPLSGDGSGPMAARGKKFINDPNDVVIQFIEGLVETYPGLQYLDGFPEVKVVLRADVAARTYDKVAVICGGGSGHEPAHGGFVGPGMLTAAVSGDVFTSPPVNSILAAIRAVTGPKGCLLVVTNYTGDRLNFGLAAEEAKSEGYKVEMVIVGDDCALQPTQGIAGRRGLAGTILVAGAAADAGLSLEAVAEEANYASKLVGTMGVALSVCTLPGQETSDRLGPEQIELGLGLHGEPGAAVTELQPVDVVVACVLKQILSPETQYVPITRGDRAILLTNGLGATPIMELMIATRKAVRELQLEYGIATDRVYTGSFMTSLDMQGGRQKPAKIAVPVSSSGAMKSDKMLQQSRELTKQGAKELMRIKDSLNEWDRKVGDGDCGTTMYRGATAILEDMKKWCPMNDAAETVNEIGATVRRVMGGTTGILYDILCKAAYASLKGIKTGVTLYKRPSVLSANMVVLMKEYHQSPCVFEKKKIITHPRRILNGQRLEAGDDPTDAFFISSEAGLSGAESTRHMQAQAGRSSYIAADKLASAPDPGAMAAAAWYGAVALSLKGMSITVKWIMAYEKLKTKDRGVRKKD >Et_3B_030497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4237259:4244329:-1 gene:Et_3B_030497 transcript:Et_3B_030497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTTDDIAEGISFQAFEDDCRLLGSLLHDVLLRELGPRFIDILERNRILAQSAVSMRAAGMEDTAVVVERQLEAELAAMSLEDALCLARAFSHYLNLVGIAETHHRVRQSREVEHLSKSCDDIFDKLIQSGVPPEELYDTVCKQEVEIVLTAHPTQINRRTLQYKHLRIAHLLEFNERPDLSHEDKEMLIEDLVREITAIWQTDELRRHKPTPVDEARAGLHIVEQSLWKAVPHYLRRVSNALKKHTGSPLPLTCTPIKFGSWMGGDIDGNPNVTAKVTRDVSLLSRWMAIDLYIRELDSLSFELSVKRCSDKLASLANDILLKASEELKANNWDPTVPQKITKLHHSSALPAQLPSGAASCTECGDGESQFRIINLPRNSSSRQMGINLTDRFEDSPLSSPTGRQSVVAVSPMGRTPSGGQLRKLFKESHIGRSSSFRKLLEPSLSDKPGITPYRVVLGNMKEKLMKTRRRLELRLEDLPCDYDTEEYCETADQLLEPLLLCYQSLQSCGSSVLADGRLADLIRRVATFGMALMKLDVRQESGRHTETLDAVTTYLDLGVYSEWDEEKKLDFLTRELKGKRPLVSADVKEVLDTFRVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLTVSGDLGRPCPGGTLRVVPLFETVKDLREAGSAIRKLLSIDWYREPIIKNHNGHQEVQTARRLLLEPL >Et_9A_062911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6577801:6579438:1 gene:Et_9A_062911 transcript:Et_9A_062911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAARWFRNILGKKDQTAKEQKTKQDQLPAPVPPPANATKRWSFGKSSRDSAEAATAAGGNAAIARAAESAAAAYGGETDREREQSKHAIAVAAATAAAAHAAVAAAQAAVAVVRLTSKGRAAAAAAAAPVLFATAGGRGAAAVRIQTAFRGFLAKKALRALKALVKLQALVRGYLVRKQTAATLHSMQALIRAQAAARAQRAAGAGVLPHQLHLPPLIRPRFSLQERYADVDTRSEHGAAAAYGGSRRMSASVESSSYGGYDRSPKIVEVDPGRPKSRSSSRRADSGEECWSGNSVSSPLPSCYNFAAGPPRIAVPTSRNFPDHDWYCAPEKARPATAQSTPRYVASCAPPTPTKSVAGGCSPSLSVSGNGKGYMSSTQSSEAKSRSQSAPKQRPPELRKRLPLSEVVVVESSRASLTGGVAMHRSSCAGGRPHHEAAFSFKAAVVGRMDRTLELAGENDRLAFLQRR >Et_5B_044880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6983305:6986165:1 gene:Et_5B_044880 transcript:Et_5B_044880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQEEEVSTPAAAGRPEEERRRGDSESEGEDGMAAVLDFDMLCASVALAAERRKGAVAAADGDGGGEGGGSGGGVQRMWEGDVVLDCLEDRRIALEAACCPCYRFGKNMWRANLGSCFLQAMVYFILLVAVLISMIAFSVTRHHIYLYMGLGSVLLIAIYTGYFRRRIRKQFNIRGTESSLDDCVLHLICPCCTLCQEARTLEINNVQCGVWHGRGDAICLGSNGEGNKAFAALNKPSLVPIKSPGLCGMDRTSNGADEHEPLVPPAQPEQV >Et_5A_041809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3028390:3037006:1 gene:Et_5A_041809 transcript:Et_5A_041809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAWLVAAAVAAVVASWAFNALVYLLWRPYAITKRLLAQGVHGPGYKFFVGSTGEMKRLRAENASVTLDVGDHDFVAMVQPYHHKWIQLYGKTFLYWTGARPSVCLADVNAVKQVLSDRTGLYPKNDMNESFVRLLGKGLVLTEGDDWKRHRKVVQPAFNIDKLKMMTVIMSDCARSMISDWVANLAKGGDMEVELSSRFEEFTADVISHTAFGSSYEEGKQVFLAQRELQFHAMASFFDVRIPGFRYFPTAKNLRINKLDKQVRGMLMGIIKSRLAAKDTAGYGSDLLGMMLEASAPEHGESPVLSMDEIIDECKTFFFAGHDTTSHLLTWTSFLLSTHLDWQEKLREEVRRECGDEVPTGDTLNKLRLVNMFLLETLRLYAPVSLIQRKASSELDIGGVRVPEGTVLTIPIAAIHRDKEVWGEDAGEFRPERFENGVSRASKQPNALLSFSSGPRSCIGQNFSMIEAKIVVALILQRFSLELSPNYVHSPMDVITLRPRHGLPMLLKRLVRAAMGLAWLVAAAVTAVVASWAFNALVHLVWRPYAITKRLRAQGVGGPGYKFFVGSIGEMRRLRAEAAGATLDVGDHDFIPMVQPHHRKWIQLYGKTFLYWMGTRPNVCLAGMNVVKQVLSDRTGLYPKNVMDPNLVRLLGKGLVLTDGDEWKRHRKVVQPAFNIDKLKRLRCIVMTLVRQMMTVTMADCARSMVTEWDAQLGKGGDMEVELSSRFEELTADVISHTAFGSSYGEGKQVFLAQRELQLVAFSAVLNVQIPGFRYLPTKKNLRIRKLDKQVRRMLMGIIKSRLAAKDTAGYGNDLLGMMLEASAPGHGESPVLSMDEIIDECKTFFFAGHDTTSHLLTWASFLLSTHPDWQEKLREEVRRECGDEVPSGDTLNKLKLVNMFLLETLRLYAPVSLIQRKASSDLELGGVRVPEDTILTIPIASIHRDKEVWGEDANEFRPERFENGVARAAKHPNALLSFSSGPRSCIGQNFSMIEAKIVVAMILQRFSLELSPKYEHSPMDVITLRPRHGLPMLLKSLTK >Et_9A_063020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8389266:8394535:1 gene:Et_9A_063020 transcript:Et_9A_063020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCREATPLRVHRLGRPAGRWAGDDSELDVFAAERYFNEDDALWCARSSSSSSFSSAFRTGTHEHDRSIVTATVAPTSSSEASWNSRSALLSDGKVLATAMAAVPSVGAEQSGAGDERRRRKPSPPSSSHLRRWPGDGDEFLAGKAAFSPVQLAGNGPRRAAISAEFSTPFFNPATTAAVSHQQPGNSSGVLRQLGNDKSALVSTKQCSAFTIVAGNGALHAASGGNTAVPRRAPCISVDEDSSEVDAAPSELGCGYPPSEASVVWSVVTAEGAASCNFSSAASGYCYRHINGGEDDGAFRHDPGRTRSGIANSGGLLTCIGDKAVDAIAAATVGARNGGRTGARTCRRLQLRRDYILAKSQSLEMETLRLGNTSVTVVYYIHHLAKNHYLCDNVKVMDEKETTE >Et_7B_054767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4148530:4150588:-1 gene:Et_7B_054767 transcript:Et_7B_054767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNGPAASPMVMYPICVPGAFPQQAGDDQAQGPGIYAIQQNPLAAAMGMGAFAPTTLVPLTYKVPTESAGAPGGEDHGQEARQQNGPQRQVVVRRFHFAFQLDLALIIKLAAVVFLFSQEGSKQRLFLLILFASLIYLYQTGAITPFLRWLQRAGGAAARPPQAPVDAQNDGNDQPRDPANPDQAAENQEPGAGADNENQQGAEGEGNRRNWLGGILKEVQLVVVGFVASLLPGFQHHD >Et_5A_042391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9495335:9495810:1 gene:Et_5A_042391 transcript:Et_5A_042391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKRLHFSEGRPKFPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAE >Et_10A_000157.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:13201940:13202182:1 gene:Et_10A_000157 transcript:Et_10A_000157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVYTFLLVSTSGIIFFAIFFREPPKVMTKKENNLIEARSLRSMNLMLLWVSMLLYYHTCIDPIIVYCKLAITTKAYI >Et_9B_064974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20073813:20075711:-1 gene:Et_9B_064974 transcript:Et_9B_064974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVPYHEELGAPRSTGESLLPEIGSGFVSEDDSLEDRRWVEKEHRYSVSVFLENLEGDVDDVPELPPLFVVKFPDLVEKAWGWQRLIPFIPGGVDWCSYKSYLVEYSRKNDGEVAALCANKKPDKDKSHFVRAAGRAAKRRGLDGHAITSDCSALCADSAARLCIDVEHDFRWTNYLIKEEVDLSYKIEEYANRVIESAVIAIRIVNFRPARLKCLAKETDLLLELVDECRFYVRDMIDLSKKVRRSAINFMLRVGPESSAAVAAMVGMAKEAKFVRYLLSREDHYVERELDLCGQIREYTEKVFTKLLEEFAFENPSNGDVTCIFCGLKFHFTINKVLYEDEDEDS >Et_2B_019649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11781920:11784075:-1 gene:Et_2B_019649 transcript:Et_2B_019649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSAHHPHLLPSLAQVAAMLRSFPQARRLLKRLGFEKEDAYFFKQMGKAMLCTYTLFGVAWLWNETSPLGWWTLKPRPKEEREMAHLYERREFPYPGDEEAVEEFIKSGGALGTTIGPKGFADANMDSDNMQKQLQSKKFEQEAQKLWLRMRNEVIQELQEKGFDIE >Et_4A_034118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30030658:30034989:1 gene:Et_4A_034118 transcript:Et_4A_034118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFITVEYDVEGGGPFKMKIETANIGGFLSAADRGYFQFEAPCTFHGSPSRQEAGQGNKKTPRVLLVFFCIPVAPGRSRVIWAFPRNVGVWLDKIIPRWFYHINQNRILDSDIYLLHVEERKFAAVGLDNWQKACYVPTESDNMVIAFRNWFRKFCKNQVGWATPQVNQLPPTPTKDQLMERYWSHVAQCRSCSAALKAMKALEVALQVASVAVVGFLAVASGTLVTSTIQRAVVVSMAVLCFAASRWLANFIEKNFYFQDYVHAYKRLSGGTRLVPRRRRWRRAARLPPSVSAVAAETPRTEETPSPSPPSEVRFDWLDQWYPVAPVCDLDPRAPHGKTVLGLSVVAWYDRGAGGWRVFDDACPHRLAPLSEGRVDDKGRLQCVYHGWCFDGAGACKFIPQAPALGPPVHKNSKACVASYPCVVQNKILWFYPRAEPEYKDVLQSKRPPFIPEIDDPEFVASYATRDLFYGYDILVENLMDPAHVPYAHKGLLQGIRKKEDPGRYMFISFTVEYDEEGGGPIKMKIEAASRDGFLTTQDRGSFQFIAPCTFYGSPFRQEASQGKKKTPRFMLVFFCVPVSPGRSRLIWTFPRNVGVWLDKILPRWFYHVSQNRVLDSDIYLLHLEERKLPQQALITGRKFATCPHRRTTWSSPSEAGSENSVRTKLAGQPHKPISYRQPYQGKAHGQCTSCSAALKAMKALEVALQVASVAVIGFLAVAKGTLVTSTVQRATVVSMAVLCFAASRWLANFIQKNFYFQDYVHAF >Et_10A_001550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4975994:4988941:1 gene:Et_10A_001550 transcript:Et_10A_001550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATGSPLLVFLIIICTTFFLTGNAERTDGRSCIPAEREALLSFKKGITSDPANRLTSWHGPDCCQWRGVRCGNETGRVLKLHLRNQNPDTYAIDACHDAHALYGEISPSLLSLEQLEYMDLSLNCFTWHRETVPSGSMKKLRYLNLSGIPFSGEVPPQLGNLSNLQYLDLGYESFYSNIYSADITWLKNLPMLHYLSMMYVNLSRISDWPHVLNSIPSLKAIHLSSCSLHSANQSIPYLNLTKLEVLDLSSNFFDHEIASCWFWKVPSLKYLDVSDNRLFGKFRDSLENMSSLQELHLGDNKFTGALPNLIGRFTSLTILDLSYSNLVGSIPLELGNCSCLVSLDLSGNQLRGNVPTELGALANLTLLDLRYNNFTGMGPLFPAWLQQQQEITMLGLSKSALVDKIPDWFWSTFSQALYIDISENKLSGSLPAHMGDMSVILLNLGSNQLTGPIPPLPTNITILNISNNSFSGTLPSDLFAGAIPVSFCKMNFLTHLDLSSNVFEGELPRCVEAEFSQSITYLMLSNNSLSGVFPALLHKCTNLKFLDLSLNNFVGRLLAWIGNLSNLQFLRLSHNTFSSNIPDEITNLSKLQYLDLSENNLSSVIPQHLSNLAGMTLKEHDRGFSEGISFSDGHRNQNFEWINDQFGEIISITMKGQQLRYGNELVYFVSIDLSGNTLTGDIPSSITSLDALINFNLSSNYLCGNIPDKIGAMQSLESLDLSKNKLLGEIPSSISNLTSLSCLNLSYNNLSGRIPTGRQLDTLNADNPSLMYIGNNGLCGPPLQNSCSGDDNVTHGNHKSSGLELESMSFYLGLVLGFVAGLWMVFCALLFKKARRIAYFQFTEVSICFTSESSMQATTSHLLLLITCTAFLLTCGALHLQGTDGRSCIPAEREALLSFKKNITSDPVNRLSSWHGRDCCRWRGVLCSNETGNVLKLELRNQNPDINSGDGCEDENALFGEISPSLLSLEHLEYMDLSMNCFTGQRETIPLFLGSMKKLRYLNLSGIPFRGEVPPQLGNLSNLQYLDLGSKSLSSNIYSADITWLKNLHMLQHLSMRRVNLSLISDWPHVLNSIPSLKVIDLSSCSLDSANQSIPYINLTKLEMLDLSWNIFYHEVASCWFWKVKSLKYLDLSYHRLFGELHYALENMTYLQFLDLSSSVNKNLVLKGNFKNLYSLEILDLTDNGMNGDLAVLMEWLPQSVWDILQELHLGSNNFTGALPNLIGRLTRLTIFDLSNNNLVGSIPPELGNCSGLVTLDVSNNQLSGNVPIELGAFANLTLLDLSNNNFNGVMTEEFFDGLTSLKKIDLSSNRLKVVLDKDWLAPFSLNVALFRSCQMGPLFPAWLQQQQEITKLDLSRSALVAKIPDWFWPTFSQAIYIDISNNKLSGSLPAHLGDMAVVELYLSSNQLTGEIPQLPRNISVLDVSNNSFSGTLSSDFGAQELQTLIMYSNQIAGTIPGSLCKLTSLTDMDLSSNLFEGEVPQCFEAEFSQFITYLLLSNNSLSGTFPALHNYESLQFLDLAWNNFFGRIPAWIGNLTNLQFLRLSHNTFSGNIPDEITNLSQLQYLDLSWNNLSSVIPRHLSNLTAMTLKNFRPLSGAAMTLPDGDGFTGVTIYGQFGQIISISTKGQQLGYGNVLAYFASIDLSRNSLTGEIPSDITSLDALININLSSNCLHGNIPNKIGAMRSLESLDLSMNKLFGEIPSSISNLASLSHLNLSYNNLSGRIPTGRQLDTLSADNPSLMYISNSGLCGPPLQKNCVGNDDATHVNHRSSRLELESMSSYFGLALGFVAGLWIVFCALLFKKTWRITYFQFTDKLYDRIFVFLVVKWALLTRKADAE >Et_3B_030396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3501881:3511953:1 gene:Et_3B_030396 transcript:Et_3B_030396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVRAGCGSGRGFFEDAWKTLTFNLLLVLLTMEFLAGINLIVQTSALKVVTPAMAPSHSWRPVDSTASRAKTVISISVQPVRPQRTKKHSSTVALSSTKSPISVPSYSSMPGVLDLAFYSSDQLHPLAEDRRRLATAVPTHVNADPPDSSNSSAAPSGLVQPPESPHNSCCGPNMVPKRGTRDCHCVYPVKIELFLRNVSLISNWSNEFLDELASQLNLRVAQFEIVNFYVVGSSGLNITMDIAPHTGISFSADQVTAMNYSLSSHTIRINPVLVGDYYLLNLTWFRPLAPAPAPAFTISPKASPSTSSSSPRPKGAPSSDKNKHPSLITVIIICVAALIGVLLVVFTICFCTFRKGKKKGPPVGTPKQRTPDAVSAVESLPRPTSTRFLSYEELKQATNNFEASSVLGEGGFGRVFKGILSDSTAVAIKKLTTGGHQGDKEFLVEVEMLSRLHHRNLVKLIGYYSNRESSQNLLCYELVPNGSLEAWLHGALGANCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENDFHAKVSDFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRRPVDMSQPSGQENLVTWARPILRDKERLEELADPRLGGQYPTDDFVRVCTIAAACVSPEANQRPTMGEVVQSLKMVQRSVEFQESLPTPPARPNIRQSSTTYESDGTSSMFSSGPFSGLSPFETETIPRTAVYTPGDRILVNCGSTTDGLDAEGRKWIADMNENTWLTDSGKSSLMAGADDMDPSLPSTIPYMTGRVFTMEAVYNFTVNPQERHWLRLHFYPATYNGLPATDFQFSVWTSTGITLLHNFSAYITAKALTQAYVVKEFSLPPTPAGFLAVTFAPMPWGNETYAFVNGIEVLSMPDLFNEPATMVGFADQTVDIAGAAMQTMYRLNVGGVGTHIPPANDSGLTRTWYDDTPFVLGPTQGVVYQAGPHFRINYPSDAAEYAAPAEVYLDTRSMGSDPRVNQNYNLTWTMAVDGNFTYVARLHFCELLLNKPNQRAFDIYVNNKTAQSDADVIGMTSERGVPTYKDFVVHVADEPGDESVWVALHPSVALRPQFYDAILNGLEVFKLNDTAGNLAAPNPEPSRLLAKAELGAGGPGAAGARGAAGRHPNMAAVMGGTAGGAAAIGIVAAICVVAYHDRKRRNGDLGGGSGGGSHTSGWLPLYHSHTSAKSSGHLAANLAGMCRHFSFADIKTATKNFSESLVIGVGGFGKVYRGVVDGDTKVAIKRANPSSEQGAHEFQTEVEMLSKLRHRHLVSLIGFCEDAGEMILVYDYMEHGTLREHLYMGGKPPLSWRHRLDICIGAARGLHYLHTGAKYTIIHRDVKTTNILVDEDWVAKVSDFGLSKSGPTTGNQTHVSTMVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLLARPALDPALPREKVGLADYALACQRNGTLPDVVDPAIKDQIAPDCLKKFADTAEKCLAEQGIERPSMGDVLWNLEFALQLQDTFEGGGSSRGRALQVGCSSSSGSGTGAGGGRAAEVIEPSNSMGSTASVDTTMETSSTSRHHETRVIVEETDDEGANSAAFSQLVRPTGR >Et_5B_044743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5313204:5320950:1 gene:Et_5B_044743 transcript:Et_5B_044743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPNPSSGDLPAGGGGSPEKPALTDRRVAALAGAGARYKAMSPARLPISREPCLTIPAGFSPSALLESPVLLTNFKVEPSPTTGTLSMAAIINKSTHSDILPSPRDKSVGNGHEDAGSRDFEFKPHLNTSAQSVGPGINDSKHHDISMQNHSLSHVSSSGNLLTDTKPLCSRESTHTVNGSSGPNQSVAMVGPSDNMPAEVGTSELHQMNSSENAVHEPQPENVPEKSAEDGYNWRKYGQKHVKGSENPRSYYKCTHPNCEVKKLLERSIDGQITEVVYKGRHNHPKPQPNRRLAAGAVPSSQGEERYDGVANAEDKSSNGISNLCNSVNSAGMIEPVPGPASDDDIDAGGGRPYPGDDANEDDDLDSKRRKMESAGIDAALMGKPNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHTGCPVRKHVERASHDPKSVITTYEGKHNHEVPASRNASHEMSAAPMKTAVHPINSNMSGLGGMMRACEARAFANQYSQAAESDTISLDLGVGISPNHSDATNQMQSSVADPMQYQMQSMAPVYNSMGLPGMPVQTAHGNAANSSYGSREEKGSEGFTFKAAPLDRSSNLCYSSAVGRVALQQRLDRGIHQRAERGRPEPGHHQPRLPLPEDLVPLHPLLALAGDQVHDLPRQAVLLHVDPLLVVPGQSKVNGLEDGRVVVKRLRKPLCKGGGVGVGLLLHEGEHLGRQALHQPQDPVPVVCCAHGLIGDMVQLQCEVHSNGLSRDNAEVLHFNIITRDSNSMEFKGHLAHGCNL >Et_3A_026836.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:22897217:22897573:-1 gene:Et_3A_026836 transcript:Et_3A_026836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARGAPKFLRYEEILRDPVVSLTKKLARFLGQPFSAAEDARGGGRHTNIVKLCGFEKLKHLDVNRTGGGRPSFPVCNDAYFRKEEAGDWANHMTQEMARRLDAITEENLRGSGLSFA >Et_7B_053399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15388743:15389434:1 gene:Et_7B_053399 transcript:Et_7B_053399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADATPSKSQPRRGSNYILQEDIHLCKSWININNDPIVGNDQPRKTYWERIARDFHRNKDFESDRTANSLEHRCQLILKQMLQAQALYASKNRGKRCPFIDCWLVVRHYEKFATLYGLNKTKNKKSSKSTNLNLNIPTGSEVMVDTMQAQESTPKKPRPPGRKQSKEKLKTGEGDN >Et_4A_032910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15571173:15576388:1 gene:Et_4A_032910 transcript:Et_4A_032910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKPKQLLLQSKTKKGPTRISYSTIITWNLIVILVVLSLYATYSHWHQRSAQDIEMDLHRAELAERSEDTKTTSRPGYAVMNTPKGPITIEIYKDASADVVDRFINFKSKHFKGMPFRHVIKNFVIHGGDFDFDGAAQEWILKAKASGKNDLSPKHEAFMIGTAKNPNNKGFDLFITTAPIPHLNDKLVVFGRVMKGEDIVQEIEEVDTDEHYQPKTAVGIIDIMLKQEP >Et_9A_061621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14563445:14566040:-1 gene:Et_9A_061621 transcript:Et_9A_061621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILARSKAVALATALSRVGADAAPSLAGVRALSSLPRYPAAAGSPLGLGKMEWGGCDVIHLREILGYEPRSHLSGTQVLPRWFSTVPSNGSPTQKPQRSEIATQATFYMIPALLLASKNSISTSMLVGAVFHQIYMFHKEIFLDYVHHDITRKWALIYFKILLLVMAKDTIVYFDLF >Et_1A_006849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28205127:28209038:-1 gene:Et_1A_006849 transcript:Et_1A_006849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKCPNGSQGHNYYNCNRFQDGPLASRYDDGDESEKCYSGSSRSSTADRLRQGLRLSSISVLGRKTPDITKNYTLGRQLGKGRSGTTYLCTEISTGCQYACKSILKATFRHIEDIEDVRREIQIMHHLSGEKNIVAIKETYEDEEAVHIVMELCAGGELFHRLQKGTYSEPKAAELIRTIVGIIAKCHSLGVMHRDLKPENLLLQDEDDDLSIKVIDFGLSVFFKPGDVFTEFVGSPYFVAPEVLEKHYGPEVDVWTAGVILYILLSGVAPFWAETKSRIFDKVWEAHFDFESSQWDRISDSAKDLIRKMLCPRPSERLKAHEVLKHPWICYNGVSTGPTKPTVLSYHKKLEAVNKLKKLSMLVIAERLSEQEIAELREILKAMDTNNKGVITLGELKEGLRRCGSVLKNTEISDLMEVTGNDNSTTVIWEEFIAVTLPLDEMEHKNHLMAAFTYFDKDGSGYITVDKLQTACMERNMEATFLEEMVLDVNQNIDGQVECAEFATMTHSNSSGPGWQSAESSMKSPLREAPRVH >Et_3A_027244.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:5693863:5694603:-1 gene:Et_3A_027244 transcript:Et_3A_027244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQELISPRISFSHDLPTVATPPPAVPSRRSDTSLMSRLHEPEFDFPNASAADDVAPADRLFAGGVLLPMPPLPPAPTSNNPYYKQHPTTTSIRTKPQACQQKRPPQPGSSWASPFSRSSSVNSATTRSAPRSSSGRFGCPSFPLMRSRSAGSAAVARDGVGSVASDAGAGRRPQHKKFGASSNDGSRSSRVYYYGGGKKGGSSHGVRVSPVLNVPFNGAGVVNVLSYLLCDCSSSKTTKSRGF >Et_6A_047276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3192366:3194439:1 gene:Et_6A_047276 transcript:Et_6A_047276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHAAQLNQVWVTHRGRIEVDNEDDSDSSSPTSCVSSNNSLGNSNVGGRLLGGACGWSCMVLKRDFPICMNCEHSTLIDSLDRIGIGDVVGDKKNKNNENNDRANGSCAGGTQRDLQLWLVLLVPPGERIEVGEGDDSGNGEGVTQKDMELQVLLVPPGERIEVGEGDDSDSSSPILCVLFNNSLGGSWVGAVVGVCSRCMQFSSWHPRGTSPSA >Et_2B_019444.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:30033177:30033341:1 gene:Et_2B_019444 transcript:Et_2B_019444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNTEWRGGSSTGIGASFFRRSDEPGVGTVQKPITDLAVPFLLLLGTFDPTFS >Et_5B_044917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7300667:7301888:1 gene:Et_5B_044917 transcript:Et_5B_044917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVRPTLTCLEAMYSQRPTPTLTADLLREIFLRADSPADLARAAAACVGFCRLIDDPSFLRRYRSLHKPMFLGFIGSDGLHPVPAPHPNAPAARALARTVDFSFGYLPRYGSVLDIRDGRILVELIDEDKTCSLYWDLAVCNPMSRRCQLVPPMPDDVLASAQIKEHNIVNFDTFLVPSGDFEEKSFRVMRFFLVKVTGLVPFVFSSVSGQWSVSTSTSWDDLRLDAPEAWMEVLDSRNYVHGCFYWKVNHRDKLLKLDLKTMKFSTHDLPPDQAEHSAAIVEAGEGKLAMFSQIGSSLDYYTFSLNGSKKVGEWHKKNTVLLPSHYKCRMLSRQSEGHIFLVGITSQEKFISIIKTFKIEILDGITYPAFCAPYSGFPPIISPRRTQGYGKISYHRKS >Et_4A_034228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3170937:3176362:-1 gene:Et_4A_034228 transcript:Et_4A_034228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWWPAALLGCAVAVAALAAAIECTVTYDKKAVLINGQRRILFSGSIHYPRSTPDMWEGLIEKAKDGGLDVIQTYVFWNGHEPTPGNYYFEERYDLVRFIKTVQKAGLFVHLRIGPYICGEWNFGGFPVWLKYVPGISFRTDNEPFKTAMQGFTEKIVGMMKDEKLFASQGGPIILSQIENEYGPEGKEFGAAGKSYIDWAAKMAVGLGTGVPWVMCKEEDAPDPVINACNGFYCDSFSPNKPYKPLMWTEAWSGWFTEFGGTIRQRPVQDLAFAVARFVQKGGSFINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLPREPKHSHLKELHRAVKLCEQALVSVDPAVTTLGTMQEAHVFRSPSGCAAFLANYNYNSYAKVVFNNENYNLPPWSISILPDCKNVVFNTATVGVQTSQMQMWADGASSMMWERYDEEVDSMAAAPMLTTTGLLEQLNVTRDSSDYLWYITSVDVNPSESFLQGGKPLSLGVQSAGHALHVFVNGQLQGSAYGTREDRKISYNGNANLRAGTNKVALLSVACGLPNVGVHYETWSTGVAGPVVLHGLDEGSRDLTWQTWSYQVGLKGEQMNLNSLEGSSSVEWMQGSLLAQNQQPLAWYRAYFETPSGDEPLALDMGSMGKGQIWINGQSIGRYWTAYADGDCKECSYTGSFRAPKCQSGCGQPTQRWYHVPRSWLQPTRNLLVVFEELGGDSSKIALVKRSVSSVCADVSEDHPNIKNWEIESYGEPEYHKPKVHLKCAPGQSISAIKFASFGTPMGTCGNFQQGDCHSANSHTVLEKKCIGLRRCVVAISPNSFGGDPCPKVTKRVAVEAICSPNA >Et_2B_019582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10337389:10340518:-1 gene:Et_2B_019582 transcript:Et_2B_019582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREAATTGPRKKPRLSAGGDGLTAFTLRLAKKLAQLGGGQQQQQQQVGNVVFSPLSIYAALALVAAGATLDELAAFARAVADPVLLRTDDDAGGPRVTFAGGVWHDKTVALTPAYRAAAGESYRAVTRAVDFNEQVRFIPAEIIPLYIGVCHDSHDTTATWCEQQVQAEEAREEINRWVSEATNQLITSLLPPGSVSATTRLVLAGAVYFNGTWAEPFARKHTTARRFHRRTSSSPRTTTGSRCSSCPTKFRLRDRIPTRRLKVTEFLLPKFKLSFSRRIDGVLKDMGVKAAFDAQEADMCEGGSSSSSGSSQQLAVEQLFHKAVIDVNEEGTEAAASGCVVEFVADHPFAFFVVEEVSGAVVFVGHDDLMPFAYDIYIGVHWWVQSSAVVREINRWVAQATNNLIPEVLSPASLNPYTRLVLANAVYFKGNSTAVDVPMMRKSRGWQLIAVHDGFKVLMLPYKASPAAAARTKKREPVPQFHMCVFLPDARDGLWGLVDEMASAGPGFLHDHLPTEHVGLGTLKLPRFKTEFSSKLTGALGDMGIRAAFDARRADLSDMADEEDGSDDGQLPLVVHDVFHKAVIEVNEEGTEAAAVTCTGFIVGAAPPPRATVDFVADHPFLFFIIEKVSGAVVFAGYRS >Et_7A_052431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:817699:819770:1 gene:Et_7A_052431 transcript:Et_7A_052431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSADRCPPEDPASLVRAALVCKGWRRLILNSSFGARCDGWRALDSRHGCVLLHSLAWLTAQIMIVWNPITGEQVELPKLPSEPPPYNSASWNAAVLCAAGSGCDHLDCHRGPFLVLFIGHAIGKGSFTRVFSSEAGAWDGNFTRGGGHSTGAVGVCSTRPEPDPLPSLDAWSEPAYTRPPPYCFLRVLEPGVLVGDALYFRCNYSTILKYDITSRKVSEIRLPDTVKSGEIVLTTTEDDGLGFAGIVEDSKLRLVIELKALLPASALSTSPQVLGFAECVGIIVLWTNDEFLTINLRSCQVTEVEDDGEEGGSIYNVVPYVSFNTPLLMYNVLN >Et_9A_063441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24480064:24487926:-1 gene:Et_9A_063441 transcript:Et_9A_063441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNIDPKKMKYSDTLHRFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDRPFRCLDRPYRRELLRVYMTNVEQIYRRFVEERRSKLNKLIEDKMRWSSFCAFWSAIDPGTKHRMSREKTDTIMKVLVKHFFVEKEVTSTLVMDSLYTSLRALEYQMKGKKGKTKLADLEELPAPMVHVEMDMFVLSGDVIALLERAALEPLPCQTLAPKDEKNPQSRIKDGSSGEVYRVSMEREERRLTEFGQKIIETFVLSHIFSGIEIAYQEAVALKRQEELIREEEEEAGLLENQMKGKRGGGASEKDKRAKKKQAKQKKNNRKVKDKERDVKFEVTVLEKLHDETAIDGSDCLPAKEVEMTAKVDALEDCASDGLDMSNRGKNQHNKGLIITSFSEEGDGFPSTSSVEGDSSCNDSGCCTASRSDQDTVLLTLRDKLRKLGQRLHEKNIEGQKLLKAHFEARDAKAKAEESSNSSNSSEKRPDFPESQKHSSEVPFDLKVNGTPNKDVPEVIYVPEEADSGMPATTNVEPVPLHGTTKVGPYSNRDDVSSSKTKSSIASSFCSKPPSVDTGKDATVPSKSARVNKAPPRLASDKTTPVPPKSLPVDRATPVRSKSSAVNKASPVRSRSPAVDKATSVRPKSPAVDKATSLRPKSPAVDKATSVRSKSPAIEKAAPIRPKSPAVDKAAPIRPRSPAVEKAYPALLKSPTGANDASIPSRSLQVDKSIPTPPRLPQVDKAALPSSGLPQTSSTTNFEAQKEATSMRVTATSVSEVALTASRPSSAPVFPTPKTTAPATSHIQKSTLLSRSMSEAVGRSGNEPSPSAPPYIPQTYRNAIIGRPGLGTASASLFQSASFGQDTALSQPLSAYASSCAVMMPPAERSDQLLTRHGLKSGLGEAEAHDSYQLWKSDSNVDKLPLRDRAPYQQMANSLACGEPRRDDGYQQVSSRGTERISSYGLQSRQFQAGTTTSRIWPLPQGPAAEEFPHLDIINDLLEEDHMNGSMPGSHRHDYSAFGLPFSPRGSSADLGSSVSSPGRFNSTELHYDDGFSRTYDMSSFQGLRERQSPSMGTYSNGPSDMSASKPWLNGSLSPPLTTGVNTNGFHQQMGDYPNLGSGVNGGVSVWRRHANGRW >Et_9A_061058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1379401:1380038:1 gene:Et_9A_061058 transcript:Et_9A_061058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCFSSRFCRKYRSQTKKIGYHGDGRGRENTLQPQLMTYIHFMGATAPIPASTLWQASISQCLGRFTVVGSKKDKKTQAGILFSFWWQIWKERNRRLFEHKQCTYEQVAYIAVDAIRLHRVATESMPANNTAS >Et_2B_020633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22151175:22161764:-1 gene:Et_2B_020633 transcript:Et_2B_020633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRPPPDQSFTIHERREEGNLLNHCQWKAAEGKQEQARKREGGRKPRGFFVGLDPSLLRGNKEQEEEMFPPGLIHHRQDGPAPGDAPPRSGPGGGPSLVLTADPKPRLRWTADLHERFVDAVAQLGGPEKATPKTILRTMGVKGLTLFHLKSHLQKYRLGKQSGKEGSEQTKDASYLLDGQSGVSVSPRVPAQDVKESQEVKEALRAQMEVQRRLHEQVEVQKRVQIRMDALQKYIDTILENACKLVTEQFASSGFSISDPDLPDLSPGGIMCGPNDTLSSSVFNQLSVSSIDSHSPGGKPSPSGIEGPPLLLQKSPELKRSSGQTHTDSAANQQQMMLAGNNNNGANNNANNAARQRLRWTNELHERFVEAVTQLGGPDRATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYIPDSSTDGNKADNKDPGDLLAGLEGSSGLQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRLTGVKSETPVAGASRTEPSTPAPTSESPTQGPSNGDNGGRNEAPKSPCHDDSLSHHEPLTPDSSHQPGSPTASPNHERAAKRQRGSGTEFSEADFSLPRHIFESSSGPEFQQYSMSYSGH >Et_8B_058905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10740052:10748931:1 gene:Et_8B_058905 transcript:Et_8B_058905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAASRALLWLSIFILLCTTRIGATTANSFPFFYNFSNPTTIGQGDLYFEGDAYPGDGSVILAKEQHTGDTTSRAGRVSYKHPVYLWSNTSDAATGFSAGLSFVIAGDGGNTPMDTGGGLALFFVLYPSGLPLNSEGGYLGLFNSTTATSHERIVAVEVDTHGNLGWDPTISPHVGIDVNYLYTGMEDSYRAIDGVVDGVQYVLQVDYNDVTKDLLVTLRESTSGALLTALRGGVDLSMFKAQEVAVGISAATGDSNMATHHVLWWSFNTSANHSVDFPSLTLEADAPGSPGIVPEPPPTSSPGSVLMPSCIRRSMGYIEERKV >Et_10B_002735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10108506:10116231:-1 gene:Et_10B_002735 transcript:Et_10B_002735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEGISCTNLLREMEVGGYDSEEIECGLDGESHEVQTDKEEQIQGLNLPEEWTYAGDKEEGSQFTSRQEARESIEQQEESKLGSEEDDEMIWQYTPSGKCAPQSLYRIINNRGVIPCLLEFTVAKRRKVDDSSCLFCKEKESVVHCSLNFMLSENLMEEFEILTGDDSWHLATMENSVPSWVPRRTRAANKLIDGDCKKTGEDTAAIRNMVDDAEMSNLTLIESTSITLNLKRWVKGRSDYLGRHGSMPEAVRSGW >Et_6A_047108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25401900:25403981:1 gene:Et_6A_047108 transcript:Et_6A_047108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDTWSEIVRHARTCIFPLDKVFTYSTAHATIYVNSIFDLIKVELGGVECPLQQLDEAQKRLVQQAMQKAYEHCHSLRQVKLTLKLAFKSQPRLPIQAGSRIVDAKGNPLEVILVDAKTGSPWALPMELEIQLVPLLGDFPLYEDWSVEELQKAIVKQRQENVPLLKGYEINARLIMRDGRVIAPKELQFTDSSSWIRCRKFRIGAHVVTRLSDDSSFRILEAMTETFVVGDLNRKHYPPVLGDPVWRLEMIDKEGASRRKLMSNNVNTVQEFVRMLFVKPHELRSIAGDAMTDRMWDMTVSHAKGCRAGDKVYAYSAANNTIYVDSVFYRLLKIEINGVECRLDGLGKMKPNKARVDKMVSQNASAFLSPQIRNINY >Et_5B_043335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4815189:4815562:-1 gene:Et_5B_043335 transcript:Et_5B_043335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVIFDAIFAEELEAWACKEGISLAAEWIRCCVIVELDYSSVIQELLNKGPSRSAFCFLFREQNRAAHELAQLAKWSNPQRDVACACSPLQA >Et_6A_047599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:787669:790604:-1 gene:Et_6A_047599 transcript:Et_6A_047599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEMTQSAVDTLLGQLTKLLVSEVQLLGGVRNDMQFIKDEMESMNGFLLDVGGEPSSNQVKAWRKQVREVAYDSQRCVDRYVQTMGPGRHHSAAGLLLLGRAPQLLQIRRIAKQIKDLKSRAHDIGERRQRYGVMAPPTTTTTTTSVAADQAKRAGRPTWQLQAEEARRRRRAIADAADWLEADARKMVDWLAGRPATADQGARPRNYLQRCSDAIAGFARTMWNAPSKVDQVAPELIKTVMALVGHDDPGNIEDAASLLWDYNIDIIKGERRVVVRLIDVLNHKIGLQRGGDKNKAVATSDINKAVATCSEDVFKTSSDPKEILMAQMQYSKQVGERLGRVVFPDLLKQLSPFQRGGGRFPRLLAIVTPPIDGHIIQDDALPVDKRATELALRVYQESLQACYFNCVVWINARELAEPRERLEKILQEARKQLPPLPPYDDHDDGPRAVTTLTQDELCQEIRDRLEAAEKFLIVLADHEDDAPWSGIIPALPRDFSDQSAILVTPTIRQTAQFHVWYMLSVMFTYLHKTARYKVHFYSHLAAARQKANELAPNVRRLPDLQATVKDILTKCRWDTFSTKMFLHALYANPHRSKDMLEKLLLGLNEFNTVSNAREMIKLCYDDLHSHYKTCLLYLSIFRPGFEIRRTCLVRRWAAEGLVTRRGRQTATDEAEQCFSELLDRGLLLPAGGTGPPTTKVKMCKVDPHVFSFITRMPREDDSADSTELPPAFARRLSIPSGIKLSKTKRPAAGWKKNNKECTAAADLQNSIDGMLNFLNLFPTTQLGWIKVLDLEGYNGLRKQHLKNICNKIFQLKYLSLRNTGVTELPKEIEKLQDLETFDIRQTKIKSFPTKSIVLPKLERLLANHSSNHDNGSCEEPFSTISIPREIGSMTNIQILSHVQVSTAADEEALDGVVKLQQLRKLGVVIVGKVAKTHLIRVIGMLNESLYSLSVHITDDVDGSNIFSSRITYKP >Et_1B_009943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13061167:13061385:1 gene:Et_1B_009943 transcript:Et_1B_009943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPEGSYHRWSRKLLVTEPRVSPGEKQEMDVGGWRTATPFRRAGASLGRRVPGSHANPSHN >Et_9A_062325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21934465:21940197:1 gene:Et_9A_062325 transcript:Et_9A_062325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTAAAEVAGAGNSAAGDAQIKGSKENGQPAQQPSGSEALEMPATPLPRDIDWSEHFSFFNSVGGLGGSSDGARGMTSVGLSNSGSRPDSVTQRGLDDAEERVEELTLKNCINSDSQPEVSAGGSSGSGDRPTVIKGLWGNFTRMAWRTGDVVIRENPAVSCGNIANPRADDVSSKENLAASVTNNTISRNNGVSGMDIPVSRGGNVNDQFMMPFLSQQLLSSAQPNQNEQRAERENALNVSSFSNRILDQIRSKTATPPSGVLGSPFKNNLKGKGPVNQGAREEIQMHVTAKPRFPLDKIPTSMPDSMAKADPLLFNSGGNVTNSHCEGVSLRELIKPGRQTPSKFEKMNLFKKILDIVDKCHEEGFTLEHLCPSYFTIPSSGQVTYIGSYTTQDLSTSIRRDIAPEDTINRKRSFGHKSEHQASNGHGNSTLKYQKVGEQGSIAVRRPMHSFGSDKRDNNQNEVIGPDILRQRSCVQNTSGSGNQQSAIELRILEESWYKSPEELSQSSGTFPSNIYSLGVLLFELFCCCETWEMHCSAMSDLRHRILPPSFLSESPKEAGFCLWLLHPDPCSRPKARDILGCDLINEGRDLSLLDQAPAAAISEKDAESSVLLDFLSQLKEEKEMLAAKLSADLASLQTDIAEVERRHFRRCGFMDGLPSSSASSGASANTPQGALLSGLVPSMCKSSIYEERVMNNLEQLENAYYSMRSAVNTCETNAIKRLDNEALRVRENFSQLHSADAANEQTDRLGCFFDGLCKYARHSKFEVRGVLKNADILNSPNVICSLSFDRDEEYFAAAGVSKKIKIFEFDSLLNDRVDIHYPLIEMPSKSKLSCVCWNSYIKNYLASTDYDGTVQLWDASSGQGFSQFTEHRKRAWSVSFSEVDPTKLASGSDDCCVKKNCIDTIRNVANVCCVQFSPYSSRMLAFGSADYKIYCYDLRNTRIPWCTISGHGKAVSYVRFLDPETLISASTDNTLKTWDLTRTNSSGLSVDSCSLTLSGHTNEKNFVGLSVHDGYITCGSETNEVFSYYKTFPMPITSHKFGSIDPITGQVTNEENQQFVSSVCWRGKSNMVVAANSSGSIKVLELV >Et_1B_012089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28886422:28887745:-1 gene:Et_1B_012089 transcript:Et_1B_012089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEDVKQRQIIETRARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIKAGKSDKEIYKKLEDDFGETVLYAPKFDLQTAGIWLSPVIVGGIAAGIWAYQKHRQRTNVHIMALNLIRGVPLTPREKETMLDILTPPPAPPRKWWWPGK >Et_3A_026605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10335624:10350199:-1 gene:Et_3A_026605 transcript:Et_3A_026605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIKDTDICKSCGQHCVRCPGHFGHIELAKPLFNPMMFTSLKNLLQVTCFHCHKFLLNKEQVDRYVNELELLVRGDVALVKIFKDPDKIAHLSKDGKDSTEATSGDNLSPEDKVAHLSKEGKDSIESTSGDNLSPENSKKVWTSIQLKEVQSLFSKDADASDVRKNEIADCKLKEDGNGRHSGETGVSALDEEQTSSRWVSSEPVSETKSEKNAQGTRQSLEKRGGTLRQKMMGKRVNYACRSVISPDPYLAVNEIGIPPVFATQLTYPEKVTPWNAKKLQDAIKNGADIYPGGTSKTCISYRQPLLNAVAIAKMLPASRGSVSQPGKDPKCEFEINVVYRHLQDGDVDHIVGAVLLTKADTLLSREEYSQLVYGCCVPSISSSCQPGEKVSVKDDDTLQLVPPAICKPKQLWTGKQVITTILNHLTDGHPPFTVEQNGKITEEYLIPRKIDGAKEMNGAKNGDGAKESGDPVLQMEVEKVVRSNGQSATKILDTMMSNALNKITSSVNKELFPNGLHKLVDTAVKTSRSGYFQRCLIKSLESLKVSYDHTVRDVDGSIVQFCYGEDGVDVLKSSFLKKFKELTDELSKLKREKNNNSIEKEEELLKFEEEKNNHIKKKKKELLKLLNVKYQSSLVDPGEAVGVIAAQSIGEPSTQMTLNTFHLAGSGGMNVTLGTPRLKEILMAGSASTPVMACPLLMKGVNGDKVAEAEQIIGEMGEGIREKNPEQEDEAKRVIEEYEKQFAFAETEAASLAATLWRVRVVDVVERIEVCTVPFYNSNGHVSTLYKLRMKLYPEGHFLKWDLKVEECLDTLRKVFVEAMEHAVEKHLDLLHKVNEIRVVKVNDTDGSLSDGVEESESRPADAEGMGISDDEAENYNEDDLGADAEKRKRQEKDEIEYDDDDEMEEGMDSESEEEAKVKSEDDPADSGEDSPGDEERHSISESEMASVDDAPYSARKEWKSKSKHGKTKLEEEEVDEQKEDNKTQRRRKKLKRTFKINDPSEEGYFSIQYVLHDEPHILLAQIAQKTARSVFVKACSNIDRCKVVKEKEKKIEKVYLQTAGVNFEAFWGLYDRLDITNVSSNDIYAMLKTYGVELARVTIIKEVSKVFGPYSITVDKRHLNMCGFHDLGRWLPANEPDWDGSVLHLAIWQDDLRDGNQVHCGSGYSWRGRRPRVSLGKRLPWSTCQGGYWHLWPAAEPCLRGAHQRTEMLHRSRGVSVSYVVRIF >Et_9B_065207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2407675:2417283:-1 gene:Et_9B_065207 transcript:Et_9B_065207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVKIGPWGGDDGDQRDITVTPHRLDSIVIRFGAAVDAISFTYAGIDGTPHTTGSWGGSGGEEHTVKFADIEVVTEVSGTYGSYADYACIIRSLTFVTNVRRHGPFGESDKGTPFSIPVQNGGRVVGFFGRQLCTEKQSTGKSSLAAIALSCQTVLQQGMEGLVKIGPWGGRDGRPQDITLAPHRLESIVIRCQGVVDAISFTYTAIDGTSHNTASWGGSGGKKHKVKFGESLRDIYGPYGGHDGIIRSLTFVTNIRRHGPFGEPAEGTPFRIPVQNGGRVVGFFGRSGWFLDAF >Et_7A_051048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13969513:13970904:-1 gene:Et_7A_051048 transcript:Et_7A_051048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALNRGLRSGIRLLATGAEASKTGKHSFSSRGFHATGVKRMGGHGHDEPYYIHAKHMYNLHRMKHQALKATLSVLGAVSIGVGVPVYAVIFQQKKTASG >Et_1A_007386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34002248:34004195:1 gene:Et_1A_007386 transcript:Et_1A_007386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KRPRHHQTTRRTNPAKRRRRAINPSSSPPPPPPIMAMAASSRMLWASRAVAYLRISTFPRAFSTVLKDLKYADTHEWVKVEGESATVGITDHAQDHLGDVVYVELPEIGSSVSQGDNFGAVESVKATSDINSPVSGEVVEVNQKLSEEPGLVNASPYDKGWIIKVKLSDSGELNSLMDDEKYSKFCEEEDSH >Et_4A_033732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26239042:26243077:-1 gene:Et_4A_033732 transcript:Et_4A_033732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWYHAEMGKMQQQQQQQQQQQQQEETWNIYKADLPSIQYLHDTKAVQLAASSNCKHIDRLGALSSLVQRWRRRRRGARFPPYLYKAATQGDVSTIKRLHDAELWRLSTTTPRLNTVLHLAALHGHADFAGVVLERNKDLLIARNGDGDTPLHLAAKEGKLEVATLLVNRAMTLPLFLGSLGFLAVGASSYLGKNMISLLPSQQILFFSQGVVMSFYGIAGLFISSYLWCTILWNVGSGYDRFDRKEGIVCIFRWGFPGIKRRIFLRFLVRDIQSIRIQVKEGLYPRRILYMEIRGQGVIPLTRTDEKFFTPREIEQKAAELAYFLRVPIEKALPSFLYLVFIVLLPWGVSFSFNKCLELGIKNWWNTRQPETLLTDIQEKRILEGFIELEELFLLDEMIKEKPKTHVQKPPIGIHKEIIQLAKIDNEDHLHIILHFSTNIICLAILSVTDFFVGFHSTRGWELLIRWVYNDLGWAPNELIFTIFFSCDSRHVFEILGLFLFKPSISFAYQKSPLIMTNKAGNSPLHEAVSHRRGAVALALLEADPLRGHDLNERMESPLHMAAREGLANVVRIIVEPAWVEAEFLPSVSLSGTALHQAIVEILLYKRPDWIDLTDSNGNSALHYAAERNHARMVEMLLGTLTDIAYMRNKDSQSPLHVASRSGSTGVITVLLKSCPDTAEMVDGSGRSVFHVAVLNDKVNSLKCLLGHVRSGEIMNRSDREGNTPLHLAVMLGRPLACQLLLKDRRVDPCVLNREGHTARSIIESQKEMHSHTIYVWRELKKLEYYKCKTMPLPPQSRTPVWWSEFSRYVENRMGTYTLVATLIATVTFSATFTMPGGYDQQEGTAILGHRTAFKIFVVANTLAMLSSIVVVFSFIWARRDVQDFKNSQVAWSHWLTIVACLAMVASLTTAVYLTVEPKSPWLAYFVIAMG >Et_1A_009470.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6200834:6201436:-1 gene:Et_1A_009470 transcript:Et_1A_009470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFAALLLLLIVQTTCTPSTLEDTCRSFAAGHPTIGYDYCIKTFQADEASAAAADTRALAAVAARIAEAKANATAARVAALSALEKDARRRGRLDVCAEVYSDAVDQLGQAAEDIAGGADGGDGAEAAAAALLQDGVTQLSAALDAPGTCEDAFGEADDTSPLAAEDAEFRKLATIALAVAASLTAPPPSTPRPRISD >Et_4B_036420.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:23281112:23281315:1 gene:Et_4B_036420 transcript:Et_4B_036420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACALLLVLALVAAAGAHAARTGPGGGLVARGAARRSLLSSQPGASCCTHDANTGGRSCCLQTTPP >Et_2B_020755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23251163:23257786:-1 gene:Et_2B_020755 transcript:Et_2B_020755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAAWIAGSSVICAVTLMVVLVFEYPDFDPVEITVEEVSLGRLALAAPAAKGKPASLAYNLSLAVAVRNPNWVIRVWRTAPLEAELRLGGTPFAVVRLAGAAEEREELIRPKRSAVSRAAAVAESEPVALGVHGAAELAGWKRVTGLVNLELSVAGEVRYEAHSRPRSFTVSCSLRLPLSTAPMAVAVARFEHTLPQACVYVNNIPVELTVAEVSLWPGNGTASAAYNLSFSVAVFNPNTVTRVRFAAPLDAVLRLCGRPFARVRLAGAEPEQRIRPLRSKVYRVEVAAPKGVAVALGRDADDAVAAFRLELIVHGEFRASRNTTVRCPLRLLPLSTAPAAAAFSRLQLHHALPEPCTDIPIEQLM >Et_5A_041707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26054245:26058224:-1 gene:Et_5A_041707 transcript:Et_5A_041707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKRKKHESKNRKKQPIFRASQHTVFPYGWLRNQGPQLLPGHRIQPSHPADRGEECLQADFLDLFKPVVKILFSDNDNHQHLATGVNSKTKKIMQREDVKPHRPVDLRKSRWIFGDIVEVSYQNLWRPGKIIKVLKNGYFLIRLTCCIQLKELHISCLRLPQANHGNQSTVIDKLSYLAVILVLNSSVNQPDSLITSLHANFSTEQDGQGFEEDGHRTKRHKSSKNCPSAARLVKKKLKARRTLPDDFIRVTGNKTKAAAYEVHQETKKLFPLKVSARNGIGDDHLYRPFNREYNDLARNSFMKTKPECEVLSPPQILLHGREDNECSVASCSANCLEYSITGDQQSVELGSCFPDDAMSTCLKRSWSDDNNVFGTSLDMNLHDLELHAYQSTIRAFYVSGPLTWEQESLLTNLRLTLNISNEEHLLHLRHLLSS >Et_4A_034589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4649146:4659165:1 gene:Et_4A_034589 transcript:Et_4A_034589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPQRAELDFADVFGGPPRHSSSFESSAPRVRSGGPETPVFGDRGSGDRRRQLGEEFYRDIFPGSEAASPRRPGAGDWGDVFGGPASPGSTARPRSSFSMKFNRGTDSSMPTSPSWQTSNRNDDGTLYAYSVPTSSPNSQLKNYLAPEEPHQDSRKKPFSWHPPFLSRFRSENGDKTNTSNHVSSMESEGEGTHVSFESIMATNKFHFSFYKWAGKGTLLVLPATAEDKDAAIIGLRSFPQVVVQEFELIDEEDSMSTATGASKSHTEYEDSKSGKHSTSLASKEEPMPLFFDDYMQGRKHSADYSKNDVSSDSPSAKSSQSPSGEKHRSSRVKRKVKDFMKLFSLEDFPKHKGAPEMQDQTSGVKKESKTELQDKFTVSSLEANEDVITTQMNNQNAFMPSLKSHSGRVKGKVKGFMKIFSPKGSPKRKGAPDAQDQTSSAKNESKTELQDKFIVSSSEADEDVKTTQMSNQNAFMLSPMSEGQERMEKPVLISNSKMDTNSESNEAVPNESITGGRNDNLDNIIDLGDIYVEDLDGCLVEQISEDPVLHNDQEKEQIKIAESKIREWSRGKEGNIRSLLSTLQYVLWPESGWKPIPLVDVIEGAAVKKAYQKALLCLHPDKLQQRRAAMHQKYIAEKVFDILQVPQAYPNFIF >Et_9B_065954.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18693560:18694078:1 gene:Et_9B_065954 transcript:Et_9B_065954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFHTASETFRLMSRPPERAAGGDTSSRTLFELDGELGVVAMQQDETSLNIWVLRDYEAERWMLHHRIEMPPTICGDAFRVTNVLPIGSGAILMGSPSCGVARLYNIKEKRMYRDIDVRPVSPTFLEFSESIVPHALFVESPRRWSHLPFALPLSQLISCLTEGRTVSVLK >Et_9B_063615.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:10209665:10210415:-1 gene:Et_9B_063615 transcript:Et_9B_063615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICLSPITTRDSFYIFVAEEPSNPREESVFLLILGFGEIKATENGTEMVHRKSNHHRGRGEQDREEDNSFPAHSCFPCYVFGSVFRGIGRCLFVACYPAIQCCGLDECRHRHNSRFSHFR >Et_10B_004393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:610839:613023:1 gene:Et_10B_004393 transcript:Et_10B_004393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTAALLFLLVAVAAASPASSAPSPAAYSAQDAAARCAAAIVSISPCLPHVAAGAPPTAPTDACCVAFLRAVSPSGGGGGEGCLCHLLRDPLLLGFPIDTNRLGALLPACAAGNSFAAATVEAATLFADACRGEDPRMLLPSRLLSRHDSETLRGLKLKTLPEMHIAPQSTTRPDIAPDCCVAYDTECRMLNNWMVTTPEIKMRPLLVDVEEDVV >Et_5B_043690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1483528:1488457:-1 gene:Et_5B_043690 transcript:Et_5B_043690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGRPATSSPAAVSTSRRRDHQPAAAVTQPQQEGVASSAAQAEAAPAEQTGEKPAQVKRERRSRSSRAAAAFANKARGEQVAAGWPAWLSAVAGEAIEGWTPRRADSFEKIDKIGQGTYSNVYKARDSLSGKIVALKKVRFDNLEPESVRFMAREILILRRLNHPNVIKLDGLVTSRMSCSLYLVFDYMVHDLAGLAASPDIKFTLPQVKCYVHQLLSGLEHCHNRGVLHRDIKGSNLLLDNNGVLKIGDFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRIADTFKDFPQSALRLIETLLAIDPADRLTATSALKSDFFSTEPYACDPSSLPQYPPSKEMDAKRRDEEARRLRAAGGRANGDATRKTRTRDRPRAVPAPEANAEIQANIDKRRLITHANAKSKSEKFPPPHQDGALGFPMGCSNHMDPAFEPPDPSSFSTVFPYEKGAVPTWSGPLVDSATGNQKRKHKSGRSSKQPATARAR >Et_1A_006268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19891572:19894819:-1 gene:Et_1A_006268 transcript:Et_1A_006268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVRTRRATAVTLRASAWPLPAPGRRVPLSPFDVYWAALPPVRRVFLFAPPSPPVAFPDVVDALRSSLAAVLPSFHPFAGELVYEPDTSTVAIAIGEDAGVAFVEAETTELEFARFAEEGAEHDVDALRLLVPDIRREELPSPVMAVQVTEFVGGGGGGGIAVGVALHHAAADGNGLWRFIETWAAAAAASGSDVARPAPPLHDRRLVRFDGDEELARVFLRQLAPELPRVVPKQDASSSGHHHPSLSRRTFTLAPSAVRRLKQQLAAATNTATAPSTFAAVVAHGWVSIARASGFTDGAPVHACFLADCRAHLTPPAPVPPAYVGNCVALCAAALSGAELTGADGHARACAAVREAVAEVKRDPLADRSRWVTKFAEIPRGRAIVMAGSPWFPAYGVDFGFGTPVRAELASMNHDGEMVLVAGREAGSVQASVALSADKMPAFCETFMVES >Et_9A_062382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22332637:22336635:-1 gene:Et_9A_062382 transcript:Et_9A_062382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVREMAMAAAAAAAATTGGGGGGGAKLPPPNPNLPYREDCWSDGETSALVSAWGSRYIELNRGNLRQKQWQEVADAVNSRRGASARRRPPRTDVQCKNRVDTLKKKYKAELARSAPSGWSFFDELDRLVGPTLSANAARRPSQSTAPQFAMPAHPPAIRKPPSPSLSPSPPPPMALPLPNYRRGLPLPAAALIQKEAAAAAAAMSDSENSDDAGGNHNHNSRRSPSRSVSSLSGNSKKRSRHETGSSGDKGFRELARAIEAFAEMYERVENAKQKHALEMERQRIDFLKQLEVKRMENFVDAHVKLVKAKRTKKTAGSGAAADGAAGVDLVATVAALPFPQRADAPPHISSSKLPPPAARQERRMICPPHSRRVRLWRRPNRPLVHASLTRDVIIESDISHTDNSGVFGDVLWCYVGAFAKQDFQFLSTTLTWYIRYSNHGVLVV >Et_10A_002008.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18178214:18178735:1 gene:Et_10A_002008 transcript:Et_10A_002008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWPRYDARGGRVLLMSSDTLRGSLLVSPALSVFDPLTRAYTRVPPIPDGLCASVQSKVQPEHMGFFDAFFDPSGDNEEALFREETQFRVMCWATCCLMSVMFVYSSDSGNWSHGTSIVFSALGLNLPPEYYPIMGGWHSYAYGCLYWDVGINNQMIKLDINSMDSSTVIRP >Et_6A_047706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:160597:162314:1 gene:Et_6A_047706 transcript:Et_6A_047706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPILPTSVPVASPAPAAPTAVSSDDAAASTPAAAFAFFGRLIESAKRSLSGARPWPELVDRSALSRPESLSEATSRLRKNLAYFRVNYAAIVALSLAAALLAHPFSLAALLALLAAWCLLYLLRPADAPPLAACGRTFSDKEVLGGLIASSAFVVFLTSVGSLIFSALALGAAVVCAHGAFRVPEDLFLDEPDQSAGAGNPLLSFIAGATAGRVKFYSYLSKLTEGLAGRAASSLQALP >Et_7B_055511.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12875009:12875344:-1 gene:Et_7B_055511 transcript:Et_7B_055511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAPCIPSAPRHFFRLPRHGRRKVHVVRLGGTGGGSGARSACGRGLRLRRWLSRAVWRLAELCVAALSPSTGGHPAVPASSPPPWSGIEPCFAAPFVPVATIVKRARAQD >Et_1B_012367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31224563:31228916:1 gene:Et_1B_012367 transcript:Et_1B_012367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADSEDAVKQLSLLMEQVEAPLKRSFQGKDLSISCLFQNVHQGYPKETLVRFLKAREWNVSKAHKMIVDSLNWRIQNEIDSVLERPIVPVDLYRSIRDSQLVGLSGYTKEGLPVFAIGVGQSTYDKASVHYYVQSHIQINEYRDRIILPKLAEKFGRPITGCVKVLDMTGLKLSALNQIKMLTSISTVDDLNYPEKTETYYVVNVPYIFSACWKVVKPLLQERTKKKVKVLSGSGKDELLKIMDYSSLPHFCRREGSGSSKHSSTDADNCFSFDHPFHKELYGHIKEQASHKELIKMGSLHVSIPEPDPDDAKIVEVIQAEFQKFGEENGSSNGHKA >Et_1B_009870.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:4643018:4643308:-1 gene:Et_1B_009870 transcript:Et_1B_009870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLILILNNLGSQTFETFWWDLSNCDQRVELLLSIFLIISLAGNSDTDSPWHTPDTTAPDVLVELHINPDISCAHGLLGKLPDLLDCVWCLFLEGAA >Et_2B_021196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27307767:27312878:-1 gene:Et_2B_021196 transcript:Et_2B_021196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGQLETLAPAAAGGDGGGVPVADAALEDAGSATRGRPVSSAGSGGGGSARRSRSLSRFPPPSPSPEDAATPSSRFVNKVRGAGFPEISLDDLADEFFRARVESDDDDEGDVRVARGRSGFPAPAEREGGGSGRRSSTARYARETESSRLRGRSVSRPPAERRGGAGNVANGGAAARRQRYASVDRHSSTDRHRWCDSDNDMEVSHRYGSRGIHNKNSSVNSLQNSFHKPSKVNQALKRSTSQNDFFHSRDSSSERPIGDDNGNILYDVMRKEVRQAVEEIRTQLEKTVTKSEPSEKIPISDAQPTQVITELRRSYTNKLEESELRKQELLAQLAAEEQRGHELTKIVKELLPTSKKSANPERQPRHRRRSNDRTRMSKRLIEEAEQYFEDFLSNVEDTDFSSFDGERSDTSSSRKDVLLHAMTETPVALPKVASPAEADGVVLPWLQWETSNDLTSPCKTKAQGESTARSASNHTMSSRGSWSPGHNNSSAASKDKLLARFDEVGIRQSSCTDYTRSSSFHIDDYLHLLGSEELLLEKWRQKERIDSGGLSLNDNGEEP >Et_7B_053656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10852677:10853772:-1 gene:Et_7B_053656 transcript:Et_7B_053656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQARHLSHPFKFMADDATVCGPAFPDEYAGCAPAAAPGVRDTTLLRDFPRSDYGFVPRKRARVSADGFLEDQRVALPSAVLPGLQEVPVVGDAPRCRALASSGAASTSGRAANNVAAGVSSGGLLSSWLCNQGAEIDEVVRLESERMRAGLEEARRRHARALLAAAERAASGRLRAAEAELERALRRNAELEDRARQAAAECHAWMGVARSHEAVAAGLRATLDQLLLQSPCAAAAVAAGDAGDAEDAQSCCFEAPAAVDANDDGASRSMAAASSSCKSCGGREACVLLLPCRHLCLCRACEPAAHSCPVCANAKNASLHVLLY >Et_10A_001887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9904636:9904831:-1 gene:Et_10A_001887 transcript:Et_10A_001887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQEFQWWEDELTAINESAEELGGCCGNTRKRVPNKRSISDSAARVGEDDDRVLGAIKRRTK >Et_7A_051484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19250474:19253124:-1 gene:Et_7A_051484 transcript:Et_7A_051484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQPSLASLSYPLPAARVNTLPLAMTITQGNGAVCESHLIRQHAEGPAAVLAIGTANPSGAIVPQDEFTDQFFRVSNSNHLTDLKGKLKRICNKTGIEKRHFHLTEEVLLAHPELDMHANISGQSSNKTGIEKRHFHLTEEVLLAHPEFLDRELPSLDARIDMVATAVPKLAESAALKAIAEWGRPATDITHLVFSTYSGCRAPSADLELATLLKLRPTVSRTILSLHGCYGGGRALQLAKELAENNRGARVLVACAEITLVCFAGPDGGNLVGHALFGDGAGVAVVGAGAFAGGERPLFEMVSATQTTIPRSEHALGMQVAGGGIDFHLAIQVPSLIGQNVEQCLLGAFRAALGKDDVEVTWDDMFWAVHPGGRPILDNIESVLKLGPNKLAASRNVLREYGNMSGATIVFVLDDLRRRRDEEGDEFPEWGALLAFGPGITVETIVLRSPPRSLKEN >Et_5A_041163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18931967:18933938:-1 gene:Et_5A_041163 transcript:Et_5A_041163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWCFPNIWQWIKSLPEQWIGGSYSLQICSSPSTNQSLKLVISRHSETEPINLSFSICAEFHDPIPLWSSNYSRLRSSTTTDVSARFLHDIICGVLQYGPYSNRRSLVRLPNLQVPEDSSGKIFNIAALTLAILVSIYEAPSSLRQECIDTISAQLMRRDMGDAAKKLMLTLGSNVEEQWMRSVNLGVTNWTMECLRLGGAPASPAAVFSYAVSAAKLWKVQVYCPVVAMDMEHPSHQTKHERLQFSLNYQQLESVIQFVCRVSVKENWIDVSVNVDNIRCDVVQLVSESLMARQGYGSDEKHFPSRVSLQLTPMSQPDVLSLSVSRSTDNPVHEVGLETGLDASLGLGGGAPASMGLAVSAHETVTRAVRPWKFEHAVHGNTASLSWFLHGGAGGGGREVFSAEPRPLEQLFRRPGSWFRNRYTSASRPFTRSGGVIFAGDEYGEGVCWRLCPAAAGKTVEWEIRGRVWVTYWPNKKRTMHTETRRIEFRELLKITLAE >Et_4B_039328.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:173378:173776:-1 gene:Et_4B_039328 transcript:Et_4B_039328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSIAVMMVVLVATSAFLVGEVAACGGCSHPTPPPPSPKPCPPPPSKCPKDTLKLGVCTNVLGLVKVSLGKVPTDSCCPLLDGLADLEAAVCLCTALKANVLGINLDIPVKLSLLLNYCGKSVPEGFICA >Et_1A_005545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11290436:11298084:1 gene:Et_1A_005545 transcript:Et_1A_005545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCGVLVTGFLPLASMRCASPVNSRIQGSRSLPFQQSCVGPVVSKHHLPCSVTHHLISCACARSHLTVARAKGCLTMDGTNDASRSSKLHTRLRLWEFPDSYIFEPIDGLADLYLSVSRASGTMNLVEELPPRGSSTNPKVQTVYGVIGMLKLAVGSYFLVITDRDCVGSHLGHAVFKVTGLKVLPCNNALSNSSAEQKKMEKEFSELLDAAERTIGLHFSYDINLTLTAQRLHDLGDEFKSLPLWRQAEQRFLWNSYLLEPLIENKNIQAEVGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMQSKGFTASFVQVRGSMPFLWEQIVDLTYKPSFDVVRQEEAPRVLERHFHDLQKKYRAVLAVDLVNTGGGEGRLRERYAKSIEPILSEDLRYVHFDFHRICGHIHFERLSQLYEKIEDYLKKHKYFLINNKGEKIEEQTGIVRTNCIDCLDRTNVTQSMIGGKILESQFRQIGLLGANDAISNHPAFDTNYKILWANHGDAISIQYSGTPALKGDFVRYGKRTTQGILNDLRNSLTRYYLNNFVDGTKQDAMDLLQGHYITSVSRDMTAPAKAGPLETYASFRLALALVMGALIFMMMSLRQARNDVRHLLLSLLWAGLCIGITHFVRANGRTFTNRPRFFQSRH >Et_1B_012998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4667288:4669644:-1 gene:Et_1B_012998 transcript:Et_1B_012998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSPMTKTVKGAVTGLAAGTIWGTVVATWYDVPRVERHVALPGLIRTIKMCGTYGATFAAIGGLYIGVEQLVQSQRKKHDYVNGAVGAFVAGATVCGYRGKSIPSALIGGSCLAFTSAVLDIGGNTTRVDNGKEYYPYTTEKKPAH >Et_9A_063299.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19406720:19407103:1 gene:Et_9A_063299 transcript:Et_9A_063299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMSARAPGPAALLLLVLVLSPPRAAAAPSCSTVYGTLMPCLGYVQDGGAVPPSCCAGIKQVVSGARTTPDRRAICACLKDLAAAAPAPYVGRATGLPDGCGVRLGYKLSPSMDCNSYVKENLSRF >Et_7A_050911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12366356:12369276:1 gene:Et_7A_050911 transcript:Et_7A_050911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSLGYSLPFDASREGVNNSAMAEPGFQVLLSPVPVAWRLEKASAMGSRTGWLPVALLLLAASIHSTQAAAAAGGGGASGEAEHAVQQHSERISGSAGDVLEDNPVGRLKVFIYDLPRKYNKKMVTKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPKEADWFYTPVYTTCDLTPAGLPLPFKSPRVMRSAIQYISNKWSYWNRTDGADHFFVVPHDFAACFHYQEEKAIERGILPLLRRATLVQTFGQENHVCLKEGSIIIPPFAPPQKMQAHLISPDTPRSIFVYFRGLFYDTGNDPEGGYYARGARASLWENFKSNPLFDISTDHPATYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVEEKDVPKLDTILTSMPIDDILRKQRLLANPSMKQAMLFPQPAQPRDAFHQILNGLARKLPHPEGTYLQPGDKRLNWTAGPVGDLKPW >Et_5B_045507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3322982:3324585:1 gene:Et_5B_045507 transcript:Et_5B_045507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTHNMLASNIRGVTAGYPLKLEATNWCTKEVELNADFIRGLLPKIDWRALVAATRALGLPELLPEEQPAEEEIFAEGAADVEGSAIRRIHHALLEIHIQQGALVCPESGRTFPIDKGIPNMLLHEDEVDLMMLVYAVY >Et_1B_013290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7081503:7085595:1 gene:Et_1B_013290 transcript:Et_1B_013290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSDSAGGSGGGGRAGAELMVPQFLTKAVHAILAVRVPRPLASAPAPAASFRRRDRWFHLPLHAPPPPPAAEHLPEPVPGEPLVVDVYLAPADGGEGAEAVVERWTVACEPWASPAAGEGAAVNRAYKRGITLLRSLYAALRFLPAYRAFRMLCSSGQVYNYEMGHRVGSFAEPFSRDEEAAMRTRNFSPVETQLGRLVVSVQYLPSLAAFNFGVTSLAPAAIITDYVGSPAAEPMRAFPASLTEAAGSPFPSPSRRPSSWASPAPWPHSPGQQAKFSPPPAHYASPTPSPPTFTGGYLHSRLGASGGTAPMNIPQAGGGRGPAHHRNMSEPTRAFMFPPPSPKSVRGEAGTQESPSETSRSFRRPTEGVRMGDLYANLPGSKIKDSRDESGRFSGVFSSSGSPRHGFSRSSSRLSMQDDTDDADFPFAVDDVYPDSRPGSSGGKETVDQAGSSSHKSQEAAVGYLVHMLKSARPLRDPSYSSHTSRADSTEAGSTSSFMSRRTSDAFDELESFKEIRENLLARSRSRLQDSLDKS >Et_4A_034315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31723917:31731869:1 gene:Et_4A_034315 transcript:Et_4A_034315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRARSLRRRRGVADSPAAEGSNADGSEAGMMMTRALRRRFLLTESPEEDDDDASVQGPDKWRDWANLLPELADDVSGRVLSLDVAAYILFRAACKPWRDLTADLDPCDAGVLDRRFRPRNWAVFHLNPEATPPRSLLNLATAASLTVDIPAPLATHCHLCAADGLVVLFHRATKAIRLLDPLSNAVTEFPAISSIVVAAAPPSIPEYPFPEFSSGGVDPYAVKGAGFDDSTSPPTLVLCLWNRTFWCNIIFAKPGGDHWTMVNPAQASYPAYDYNRLGRTVRFCSILSLAGRCYVSSPEGSVYQLVDVARTPRLVEVVDERRLVAQQPNAITFDRINSFLVGDGTTAKMLMVRLWKEMEHFNDAHNNVKEEVFTVGSGVTTNRVEVLEVDVAGRRLLPVTSLGRHAAFLGSTMCVLVSTDTFPSIAADAVYLGRCRQQGRGFSIYHVNGEKKGHGRTEPPHEFERCGASDVAPGARPSNLDQYLAWYVDGVHGYERMFPLCSAPAGDKLPASASAIVADRPRGHHELVIDGRVLDADVPAGVALSSAPFTVGGIRWRVVCYPNNHPLLLKREGDSPYTPPRTPTEVPFFYELVLDEDVAKPVRARFKFSVEVEQKQQQRKRSLFFLNSKPKPKVPFEFKSSEGARSFVHKDNYGGSNFRRSSLGDLLRRHGADRFTIRCEVVLINGFRTEEPPPVAASTLASIPPSDLHENLSALLLSGVDADLVIQVEGETFAGHRCVLAARCPVICRELLAGAAKDEGGDTAGVVRVDGEEPRVFRALLHYAYTDALPEMDVKGQDEGDMYRGLLVAADRYNLVRLKLICEEKLCRRVVDAGAAKAMLALAERHHCDGLKKACKDVLKNAPPAKQRALSSWAFVPFDGHKASN >Et_8B_060774.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:740854:741597:-1 gene:Et_8B_060774 transcript:Et_8B_060774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCAEKQLTRLRQHVLAASSSSSPATAVAAALDGVARVYEHAEPLARSARAEEVEDELRASVELLDACAAARDALGAMRACALDMEAAARRGDGAAAAGAARAYARVARKACADARRLRRENAAAADGGHALQEARRLAAAVLERVVVPLSKRVAAAAAPGAQRPAGAGWSTCVARAFRKRARVACEEDAGEAVVGSLSSKDLHDGEARRELRALGDTIQHLEDGLDLLFRRLVQCRVFLLNMRSL >Et_3B_028578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1753797:1755538:-1 gene:Et_3B_028578 transcript:Et_3B_028578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPASEKKQSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQANTS >Et_3B_028885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20029900:20033529:1 gene:Et_3B_028885 transcript:Et_3B_028885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVKGLSLLLLLVLLALCSTIDVCEGRRGKHWRSRSSPSSSQLRKKGKGKKGSSHRQYGGNRPSPKPPVSSTPSPSAGKGYQNPYQPSPSPNAPVSPTPSPANGSRHSSPKPPAPSCGKGHQLPSPPPPAVSQGAVFNVVDFGAKGDGVSDDTKAFEGAWAAACKQGASTVLVPPELEFLVGPISFSGPYCKPNIIFQLEGTILAPTNAKAWGSGLLQWLEFTKLNGIVIQGSGIINGRGQEWWTYSEPDYEGDDDTQYNVELGRMPQIKPTALRFYGSFNVLVSGITIVNSSQCHLKFDNCQEVMVHDITISSPENSLNTDGIHLQNSKDVSIHHANMACGDDCISIQTGCSNINIHNVNCGPGHGISIGGLGRDNTKACVSNVTIRDINMFRTMTGVRIKTWQGGVGLVQDIRFSNIQVSEVRTPIMIDQFYCDKSTCRNQTSAVAISGVQYENIRGTFTIKPVHFACSDSLPCSGISLTGVQLRPVQIPQYHLNNPFCWQAFGELYTPTIPPIACLQIGKPAGNYLQTYHDIC >Et_2A_018698.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5293529:5295040:-1 gene:Et_2A_018698 transcript:Et_2A_018698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSKKYWRGERWTLLEFGEEPTVQKTPVQLHMLWPARTALFRAIAACRSRREQEALHCLVCKLGLTSDVVLVTALLSRYAKRGLLAPAKRLFDEMPRRDVAFNAMLAALGASRRVDDARALFDRMPERTPASWNTMVTCYSRAGNLASARDVFEASLHAGSSSVVSWNAMIDGYCKAGRMDAARELFDRMGSSLRDVVTWNTMMAGYLRRGDPAATIAMFHRLMEQQEEDREQRLRPSTVTMATVVTSCTQMRDFALGRKIHLCIRQQGTRMDAVLSNALIDMYFKCGSVDNALDAFHTMPCFPNLFCWNTLIAGLGMNGRGEDAVAAFHDMVQGRKNGTAVKPDAVTFVAVLSACSHSGLVPAGRNLFAEMLPVYGVQPQTEHYGCMVDLLCRAGHIDEAVRLVQTMPGRPNAKVLGSLLLDAGAEDGMRLSEWAASRISELDLRDGAAYGLSNVYASLQRWERVEEHRRGVSAAVRKHGDGLRCKQPGRACYDVDSSCAT >Et_6A_047617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8402750:8406845:-1 gene:Et_6A_047617 transcript:Et_6A_047617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSSRQNSLPENSTHSGDFPREPSLEFLSSITNKFSCEYELGRGAFGVGILQCGQVVAVKKLVQTSGVHDRRFKNEVGSLLMMQHKNIVKLLGSCYQVERKLVEKNGRLVQSDVPEKLLCYEYCSNGSLDNYILGEFSEFDWSKRFEIIIGICDGLHFLHDGKDVIVHLNLKPSNILLGENMLPKITDFGLSRLFGEEKTRIVTQNVVGWIGYIAPEYRYRGEISVKSDIFSLGVLILQIVTGLKKDAKSQDISSKFLIDNVRNNWADNTYVKSKYPTIDEEHLLQVKRCIELGLNCVETDPNKRPTVGAIVVKLKEISGYMEKHQHPLCAFPRVPDLKFLEDITMNFSSEREIGRGAFGIVYKGVIQNGEIVAVKTLQVGSQTSKDKQFLNEVRSLMDLKHRNIVKLVGYCYDMKRKLVESNGSYIYSESGERFLCYEYLPRGSLDKYLHDTSLEPDWNLSFKIIKGICQGLRFLHELQRPIIHMDLKPGNILLDDNLLPKIADFGLSRLFGEEQTHTVTSNVVAAIGYMAPEYYYYGQVSTKTDIFSLGILIIETVTRLKVGSTGKDILSENLINNVRTNWTKMPQIASKYPTLKADCLLQVKRCIDVALTCVSINAKDRPSVGVIINQLNY >Et_2B_019345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24887953:24889434:1 gene:Et_2B_019345 transcript:Et_2B_019345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRVDEKHDGNPELVRDSQRRRFAAVEIVDEVIALDIAWRKGRLDLDNIRKELNAISKRIGKLRAAKQDDGDDEEEAKRLAASTAEIKRALAAKEAEHAAIGNLVHESVVVSDDEAHNAVLRQWREGVQSEESLEKKNHVDLCVMTDIVDLEKGARLAGGRGYFLKEEGVRLNQALINYAMDFSRGRGFTLMQPPTFMTKEAMAKCAQLAQFDEELYKIEGDDKFLIATSEQPLAAYHLGERINSNELPIMYAGYSTCFRKEAGSHGRDTAGIFRVHEFQKIEQFCITGPDQSWEMLEEMIENAEDFYKELGLPYRVVSVVSGALNDAAAKKYDLEAWFPASKTYRELVSCSNCTDYQARRLGIRYGQKTDEGPQKFVHMLNSTLTATERTLCCIMENYQTEDGVVVPKALRPYMGGIDFLPFKKTLDGKPIAPKSKGHASWLRQLFRDPPMSEFSLFHDCLLLIFVICVTVLLSKV >Et_3A_026230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5848024:5849831:1 gene:Et_3A_026230 transcript:Et_3A_026230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLHNGYEQEPEQPDDKRMRRLPSFSTVIREAMIAKNMQSFFRVLEPLLRKVVQEEIQAGLASSPRLIERSPETPPVEPPTLKLAFRTPPQLPIFTGSKIEDTNGSPLEIVLVDVDTGLPVTLHQALRIELVPVFGDFPQDGGDSWSAEEFQKNVVKEREGKRPLLTGEVSHTMRDGRVTVNELQFTDNSSWVRCRKFRIGVRVGGGGFDGGRVLEAMTEAFVVRDHRGELYRKHYPPVLADDVWRLEKIGKEGAFHRKLAQRRVKTVQEFLRMLAVKPDELRAILGDGMTDRMWEATTNHAKTCLPGDKVYAHSAGQSTVYVNAVFEVLKVEIGGVELPLQQLDRGQSTFVQHLVLDAYEHRHGLAEVDANIPLLHNAAHVAMPGPADAALWFPNNGGIDFQIVDEDVPLPQAPNFALQWPGQMFNMAG >Et_4A_035284.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:17007636:17008355:1 gene:Et_4A_035284 transcript:Et_4A_035284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDPKSAPSAAHQFTVVIDGVETTIHEGVLRCSNGGTVTVLRPGVLEASRLPHVVVRGSGGAGDVRFTRCGHAAAEGCGAASFDRCGAVRVDGAREVAVARCRSADVERVAGAVAIRRCRGAARVRGAGELRVGRCREADVGGCADAAVARCRAARADWCGALALGRCASADVTRCGAVRVDRCRGASVAGCGTVAVRRGRVNVVQAPPKGCQEEAMMYQQIVAEPVLAAPVEIMTK >Et_5A_042698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26135658:26142192:1 gene:Et_5A_042698 transcript:Et_5A_042698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVLEEISSYPNSDAVHNLNCNFKFMKRFIPASLSLLVTVCEKYISTDQDYNRLFCATASTVPKLLQKLVETNCKSTAYCLGIVKLITKIVIAMMNHEGSYGKEVDLQSLMGSLCTSLNEMSSTVDASMVLPQGSRITESESVEGALAQVIHRALDLLDVTKERLRFLFLGASKVKQNNAECVSTYKS >Et_2B_021405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29408986:29415142:-1 gene:Et_2B_021405 transcript:Et_2B_021405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISFHYEFIHSKMHSFIRVSRYVLDLFVFIYQFITSRVHPFFIQLSYFFLISMLGSALLMSLKPSNPDYSPRYLDMLYLSTSALTLSGLSTVRMEDLSSSQIVVLTLLMFVGGEVFVSFLGLMLRPNHQAKHADPTDNRVSSVAVELDTIEPASAAIICEESQLETPALSSNVLKKSRSVRYLGFVLLGYLAVIHVVGFLLVFLYITREPSARAPLANKGINVALFSASVTVSSFANGGLIPTNENMAIFSKNPGLLLLLTGQALAGNTLFPLFLRLVVWFLGRATKLRGMELMNRNPEELHFAYLLPKLPTVTYEKLVNALFMAVNARHAGENSIDCSIISPAVLVLFIVMMYLPSSTTFSPPNGDDKTREEKLGCNIIFVMVVCITERRSLRNDPLNFSTLNMIFEVISAYGNVGLSTGYNCSRLQQLHPESICQDRPYSFSGSWSDEGKLILVLVMLYGRLKAFTNGTGKYWKLGAVRHVIGSSLFVYRFIAFHLGPFLLYLSYFLTIDLLGFFSLMLLKPSNANYSPRYVDMFFMSTSAVTVTGLATVRMEDLSSSQIVVLTVLMLLGSEMFVSLLGLVLEMSRKQQRQQQPRDDPDHHDDSRVRSVVTVCDVDEEANQSHPCTTTSSTDCNDQKKKSCLRRLALGVSAYMVAILLLGSVLVFVYVANVSSARDVLTRKGINAALFSASVTVSSFINGGLLPTNESMAVFATNQGLLLLLAGQILAGGTMFPVFLRLALSSAMKMFARPGRHGERFRFMAKDAMAAGFAHLLPGLQTAFLAATVVAVAAAAATLFCCLNWDTAVFAGLTATGKITNALFMAVNVRQAGENSVDCSLIAPAVLVLFVTMMCIPASTSFFSVHDGGRGGGEREQPERKDVTAKKRRLSLNSMLWSPLACVAAAVMLVCVTERRSLSGDPLNFSSFNMIFEVIGAYRNVGLSPGYSCSRLLRQEEASVCHDRPYSFSGWWSDQGKLILVLVMLCGRLKGFYMQRCRT >Et_1B_012474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32359054:32363391:1 gene:Et_1B_012474 transcript:Et_1B_012474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFGGEDSFSPQHSFHTFADEDDRRPHFPGPAAAARRPLDLNSQSDGWSPQADYQGAWEGDVAGGSGGGGGRGFMATSGGAGRGLGFNGGGGSRGFGARGRGPGGASAGSAIGGAGGSHGSILGGGGGFHGSVLGGGGGFRGSGYGGGSGFGGSGLGAGQRMGGSNLGGGDGMGRSGLLGGDGIGGSGGSGGSGSLPPNPARRAGGSANRSVGRGASRGERSTPTRGAGLRDASPRRKATLRAATRSASTGGSSRGRRRRGGDDDDDEDEFLDDLVPGDENYDKANWLPEENSALLCCLLLEQIELGNYNQGNMTRRGYKLLQSRWREETNAFLKLKAFANRIGQLKALYGFIKQLHSDTGLGINPETGWPEATDDWWNAHTQGKSEWKKYKYQGPEYLPMLQQVFDGVAVDGSTGWEPGEEQSTMFFDQGLSSDDELVAMITDDDEVEEQGLLLLVIRMTYAFSRDGAMPFSSVWHKVNKQEVPINVVWLSAFISLCMALPSLGSLVAVQAMASVSTTALCIAYALPTLFRITVARKCFVPGPFNLGRYGVVVGWIAVLWVATITVLYQTTRYVPYSSTIVLHRIFNLNYQWKVCNWMLKCGCECLVSCKHMNLHGNGGISVIFRMNNTF >Et_6A_047097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25220880:25224715:1 gene:Et_6A_047097 transcript:Et_6A_047097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKKLENSSGRQITYSKRRSGILKKAKELSILCDIDLILLMFSPTGKPTICIGERSTIEEVIAKYAQLTPQERAKSHLFCSQKALKKTFKKLDHDVNIQDFLGSGPSVSAAYEAFRVQTSDQDVNPFAFFQFQNDMQLPLGLTGDPNASSWFHNGGADVHQPMMLPDDPSLLHQRDIGCSTSTSLQSYPGYFSMSKQSTDTGGGSEHGQPSSVQQQPPDFGQAECLKSELYLGAQFPYTPFDHTSLLNERMFRPDGVELHDGGAGMDFGGGHFDLPRPGDEASFQNWASAACGTGMFDHHQHQQQEQQQQQPPSAQQQVAVEAWQPNMLSLKQNITKPCS >Et_9B_064409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14904860:14906709:-1 gene:Et_9B_064409 transcript:Et_9B_064409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVHHRLLSSSSVAPRTRRRRRSSTLPFSQPPLHGRSDSRAFARVLVRATDGGTSGVAAAAATSAPSLSLDELRGGCTTWTWRGMRVNYLVRGQGPPVLLVHGFGASVAHWRRNIGVLSESYTVYAIDLLGFGASDKPPGFAYTMETWAQLILDFLDEVVKRPAVLVGNSVGSLACVVAASEATGGAVRGLVLLNCAGGMNNKAIVDDWRIKLLLPLLWLIDFLLKQRPIASALFQRDILLSVYGNKDAVDDDLVEIIRGPADTEGALDAFVSTVTGPPGPSPIALMPRLADVPVLVLWGDRDPFTPIDGPVGKFFSKLPSELPNVTLHMLEGVGHCPHDDRPDLVHDKLLPWLQGLPAPATEAAGGAV >Et_7A_051718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23518867:23522032:1 gene:Et_7A_051718 transcript:Et_7A_051718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPSARPPPLTPSRPASSPLSIRRRTIALPFPRIHCCARQTPHPPAAGASWSEKVAGTEPWKALVSGALAVAVSFTCFASSVHARTGVNKPELLPKEFTTVIDVAGFLSSGQENRLRQEIEELEKDTGYKLRVLAQNYPDTPGLAIKDFWQVDDRTIVFVADPTFGNIINFNIGALVDLDVPRSFWSQVSGKYGNMFYWKDKGEDASIEAAVRAISRCLRDPTGTNNCSEVL >Et_4A_035169.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:10403703:10404248:-1 gene:Et_4A_035169 transcript:Et_4A_035169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVSCAALLSHRSFADSAAIARALSFSLSDGPQPAAATTAPSAALFMHGAGAGAHPLPTAGGALPSPSPSSAARCRLGPAGRRAGKRRPRPSKRVPTTYISTDAATFRLMVQRVTGADEERLDADHLGLGLLMPPHMGVVGPCLLPPDHAPQAQAAPTAEQPLFPTLDSWNVMYGKNEVA >Et_1B_013517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9096969:9100834:-1 gene:Et_1B_013517 transcript:Et_1B_013517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKPKALSAARHGGGEWREESGEEGPANPSCRSGRSASCSGEVTFDRESAVKELVKNILDAGATSVEDVVSIKAYIEECFEISDNGCGVVPPHFLVISPISSVARRFHRLLVASHAADTYQALVLNHMSRILDFFRSQLGGHVGFRSRERCALGKLTFVHRGVVISEKWNARQVGATVTVGKKKVPHLANYFALILSAKILYWWCFDLGNIIAVSYLILVALEEIDSSSMPKEDQTPNNIMCYLGFHPYDVNVVPEDSSSRMIVPCEDLQWKLLKICALPSTVSFIYGCNKDEYLIAGGDALTPRSFDDKDETDGEDQVSSEGQKVSSSLTRVSTEACMEIGAPCQETGPKRQGLVSSIFSNFRRNCLLAADLRASPVNRYLLEHLAINVEGADLHAADSQGDCSIIGSYRLNKSSSVGPSRVRAVLASVLN >Et_6B_048612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11503019:11504759:-1 gene:Et_6B_048612 transcript:Et_6B_048612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICGKDATINSELKNLSEYLKSETVRYHDLLWQAGIWKALEKMNFIREIYWLMDMQRGRRKEVKLIDTEKAQMLQKIKRPLQIVPGLQKFETKVLKEESLFHSVLYIRDYLLGHYDESYQFYKGNKDDIGTDKVTGEKFLQKTKDDYMINLIKEIRALKWIPESPLLRDKNNYMRQFYEIKRKEKEKEEIEKAKAEAKEKQIKKGKEKAK >Et_4A_035170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10466170:10468038:-1 gene:Et_4A_035170 transcript:Et_4A_035170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGARRVLEAWRLGVVRYGDALALQERLVADRKAGRVGDLVLSLQHPPTYTLGKQREKAERNLLAPEHELRALGAELHRTERGGDVTFHGPRQAVLYPVLSLRALGIGARRYVEGLESAMIETAALHGVSARPGDPGETGVWVGDRKIGAIGVRISSGFTWHGLAFNIDPDLGYFEHIVPCGIAGKGVTSLRREVADGVELPPDEVIHDQLVRCLARTFGFTDVELKDGSEKTEYRETRMPEMN >Et_5A_040729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11663460:11665029:1 gene:Et_5A_040729 transcript:Et_5A_040729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCRHCRSEQKGCCAGGRHRRRKRSRIDGLDRISALHDDLLLHILAPLSCARAAAQTSLLARRWRGLWTLLPELAFRHIAPDEIDAALAKSAHPSLSVLCIRGLCRHHDEVEPARVSAWMRAAARLRTARLVIADVNLCSFSELDIELPCFHRTTSITLEVSGARFVLPPAGDLPALESLSLHNCHVDLEDLLPRCSRLRKLKIVPWDESLKVHSPTLQELDVYIRGDYASGKVCVDIVTPKLKKLRTVARLSSADGFTVSHSMPLLKEVHWEYRCWSATNRFGVRWLLFSQTLRSAETTRGNNHLQLRRRPGVVHTLSLSICHSYGVSLLSWSSSSSEFGEEDKDFGRTISQIPLTKFQVLELVIETDGHVYGEMVLYLLKMCTFIQKLILKLCKVSGECRSFCPCGKPDNWRDQSISLVHLKEVRIHGFKGQGHEVDLFEAIFRGANDASENGRVLVQYDLT >Et_2B_019591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10601382:10605001:1 gene:Et_2B_019591 transcript:Et_2B_019591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRGVFEHRPCTPALNAVLLRVTGRMKNPRKPSSPHFGPRLPSRLKVTRVSLVRKPRKHKSRFVSPIMKKIHEEVKKQNIMRTISAVNQANMVKSKITKMSEHMGPSSRNASKSNKSPSMSSKVGSHSKHSPIMNVSSGKLDKATTSRPSPKLNGKLGPLELSRQTRMNQGSVHIQNSHLKAMRGLVHNKKGRSNLDHLDHGATMGMQNKGGLGGTKDKNMRCEPMIELKIPSDGMQIECGLGGTKDKNMRCEASPEPEIPGDRMQSEGALGGTKDRNMRCEPLPEPYIDPNIARKRRKNIEINDEDDSCAQGHQSDKDDSTRLPSDYVNLKDCHAKVSTSFVSNCVAQHCECCSMPIDEPAWRGILKMPNKGYISLAGHLSTKSGEKVWNLSKSLRKVVEVTKVCRSDVWPKRWEGSGPTSDNIGLYFFSYQMRPDKYLDQLVKEAMEKDLALRTAIDEAEMLMFPSSLLPERYQCTPRVVQGKHGDESRDVKNPTDEATAAVATNATTSLPAEATAVATNSGAVPVEAPVMPTTVPTNSRIDLSIGAPPGRVVSFVVRKTPKVEQLIQEMKREGALIVAMQGEMIAGDGFFGSQHKDRTVSGAAPELPTRHVDIPMQHEQ >Et_5B_045603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5275962:5277302:-1 gene:Et_5B_045603 transcript:Et_5B_045603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQQHQQQKGAAISSAPAANGSGAGGGELIGYVDVHVQSARDIQNICIYHKQDVYARVSLPGEGAPVASTQVVNGGGRNPVFDQSLRLGVRAGDVDAALRCEVWMLSRVKNYLQDQLLGFALVPLAEVVAADGGTLAREFQLTTSDLLLTSEDGDGATTVNHDAGVRLVESFSAENSTADSAGAFRSDTPVSSVSTTESPAVAAVPATPQSNSSAGNAHSSAGHKEKAAASEAADAEVDSSRTVQESPAVNSPGTVSENAVDKPVISVNIEQEVMVDQNQIMDMYMKSMQQFTDSLAKMKLPPLDVDNGGSEKSTSAATAGPASSDADSSGADSNAMKKPTAGQQEKPSPKVFYGSRAFF >Et_10B_004413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6572652:6577974:-1 gene:Et_10B_004413 transcript:Et_10B_004413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSGASASSVSARLLELAADDDAAALGDLLAAHPSLADEPAPWYSPARGAEPMTPLMVAAAYGSVSCLDVLLSPPYLADPNRASPSSLSTALHLAAAGGAPSAPAAVSRLLAAGADPTLLDHLHRRPSDLVSLPPSSLPLKNHLLSLLGARKEWPPDPSLPDIKNGAYASDDFRMYSFKVRACSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFKKGTGCRRGDMCEYAHGVFESWLHPAQYRTRLCKDGVGCARRVCFFAHTPEELRPLYVSTGSRGAMEMAAALGMGMPSPGASFTPPLSPSGGGSGVAGAWPQPNVPALCLPGSAGNLHLSRLRTSLSARSMAVDELLAAADYDGLGSPASVRSARGKTLVPSNLDDLFSAEMASAAASRSPRYADQGGAAFSPTRKAAMLNQFQQQQSLLSPRAAAAEPVSPMSSRLLAALAQREKMQQQTLRSMSSRDLGSSASILVGSPVGSSWSKWGIPSGSGTPDWGADDEELGRLKRSSSFELRSSANGDEPDLSWVNSLVKEPTPEKVSINGTSTKESNGIFGQAASHEGINGEDDAAGVIGGWLEQLQLDEMIVSYKHGAHAVSAALSANMK >Et_6B_049998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:298880:300972:1 gene:Et_6B_049998 transcript:Et_6B_049998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAAPAEVLVGDAYREILLRLPADRPELLYRFTVVSPTWRQILIDPVFLRCYREFHGLQHLIGYVHDGRDGPSSVVAGFVTTAHTTFRPRLPKDGEDLHVLDSRHGRVIFEKFGKGWIEESTLIVWDPVRDRHQELRKPDAFAKEEFVHTAAVLCDAPECNHHDCNGGPFRVVFVGLSEEKGSWSTSVFNYTSASGSWIASPAAAAPIADDEDWGFPMPAPTTLVGASTLYFRSFGRPLRYQFADNVEEQLAYIDVPPYDTQDQEARGSVLMPAADGKLSFAAMYADMSIRFWETKVLADGSVDWVYIGQKVLPEIPVPAVLLIGSTASLLFFRTKDDGVIRIDVGSGRFEELPRPATRISGLCLTGPFGVEPVEIEHVSMKMISDQDTNDQSVPISKIRDFEERK >Et_9A_061388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10898983:10903379:-1 gene:Et_9A_061388 transcript:Et_9A_061388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTSSPSPPRLRLTSPPPPPPPLSLLSFPPSPPPPGHAVLRAAAATDPRAAHAAAVKSGALGADARAANAVMCAYLRAGRLGEAREVFDRMRARDAASYSALISGHARLGSPAAAAAELLRHMRLDGLDPTEYTFVGLLTACARRGNPRLGAQVHALAAKSALCSGSSLLVDNALLGMYVKCGRFGDALRVFDGMDEDGHRDVSSWNTVLTGLIELGRHEEAFQLFSEMRASGVRADRFSLSSLLAAAAEGFGRHEGEAVHALSLKSGLETDLSVGNALIGFYAEHGDSVDDVLSVFQGMPVKDVISWTGLLNGYMEFGLVNMALDVFDRMPERNFVTYNAVLTGFCQNKEGVRVTFAKKAGLRGLGLFRRMVEDGLEISNVTVTGALNACAIAADRKASEQVHAFVIKCGCGSSPWIDAALIDMCIKCGRSGDAHLLFDQWRHVESFHIAWSSLLLASVRDGEYEKALSAFLRMFRVRNLQFIDEFVLTAVLGICGSLGFTELGKQMHSFAAKSGLLCARGVGNAIISMYGKCGELEHAVNFFERMPCRDLVTWNALITAHLLQHQGEKILDIWAQMERLNIRPDSITFLLIISACSHTSSDSADKCRELFTSMSSTFGIEPAMEHYATIVYVLGCWGHFDEAEELIGSMPFKPGALVWRSLLDSCSKLLNMTVRRRAMKHLLALEPQDPSTYVLTSNLFSESARWHNSENTRLEMREKGMRKIPARSWTFHDNMIHSFFARDRSHPQSKDIYAGLDVLVLECMKAGYEPDTTFVLHDVEEYQKRHFLMYHSAKLATMYGLLMAGPRQTVRVLKNIRMCGDCHSFLEHVSAATGKEISVRDSSGFHIFRGGRVFYITVVSLQKPKGIAGWVQLKVIKLKMLLSIPDKYITVLPEVIGFAEGTYTLFISTSDSIVTLKLKSGQVRIVSQRLCYGAVVHYMSFYAPDCYLNHVGQILGIDGPVGELIQLMLEDKGMSAQQLKVVSIVGFGGLGKTTFANVIYRKLRG >Et_1B_012232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3082383:3088551:-1 gene:Et_1B_012232 transcript:Et_1B_012232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGSGGGGTVVSNPRVWIVAGIAVAGVIVMAEAARRRRRWLRLKSAAPPDAGAFCDRFELTPPPQPPPPAARHLLAGLTFAASDDFEIEGYVAGFGNPDWKRTHEAAKRTAVAVTLLRKQGGTCVGRTVMDELGLGVTGENIHCGTPVNPASPSLVPGGSCSGSAVAASAQLVDFALGTDTIGDVRIPASFCGLLCFRPSYGVVSTLGTIANSQSLDTIGWFARDPCVLQRVGNVLLPAAASGVKQARQIVFADDCFELLKVSNQKTVLAVKNAVQTLPGYQPPKHINIGQYICSNVPSLKEFCEPATKLQEGVSALKALSTVMLLLQRYEFKANHEDWVNTVKPKLGLDVSTRVLRAVNFTSDNIKSLYAVRNELRAALKNLLKDTGILVIPTTAGYPLKKNSKERLSSGFEDRMYAFVGIAALSGCCEATIPLSNHSDHHISLSFVAAHGSDKFLLRTILDTYSLIQEQVVLASKLVTTSITNGDADVDASELLKEKGNNAFKRKEWRKAIEFYSQAISLSDTNATYYCNRAAAYLELGRFKQAEADCDRALLLDRKSVKAYLRRGTAKEVTMNYREALQDFRHALALEPQNKAALAAERRLQKLLK >Et_8B_059785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2763309:2764906:-1 gene:Et_8B_059785 transcript:Et_8B_059785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETQWAALLLLLAVSAAGAAGRKEKAGEKVCDKGWECSGSRFCCNETISDYFKAGQFEELFPNRNDPQLAHAADFWDYQSFISAAALFEPRGFGTTGGKEMGMKEVAAFLGHVGAKTSCGYSEAPGGETAWGLCYNHELSPSQSYCDNSNELYPCVEGVEYYGRGALPVYWNHNYAIIGKGINQDLLHHPELLEQNATLAFEAAIWRWMTPMKRKQPSAHDVFVGNWKPTKNDTSSQRYPGFGATMNVLYGDLICGQGYIDDMNVIISHYQRYLDLMGVGREHSGDNLDCAEQVAFNPSSKSPES >Et_9A_062774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4780721:4782435:-1 gene:Et_9A_062774 transcript:Et_9A_062774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRNLGILASPGGDGLYIVVKLQPVLKSLLSPRAPRSGNTRVPPTASLRAKEGFGGSTSLLVLPSTTVPLRRTHPHLRAASLGMMLESGEDWWVIDRQCLVPTYDDHGGAKVTFWSMVGDKEIVGLVPTFSDAPVLAVFSFLPATLHVSGQGLGVLNQLQRAVGISADKLRFVDMYRNHDYCGALKLAVRIPTINVACTRGEGGGGGRD >Et_1A_006343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2100107:2102339:-1 gene:Et_1A_006343 transcript:Et_1A_006343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRGRKVRPRRHRGRPSREGRAQEPSTHGAQESRLNFYSQSNDTLLGNGIVGILAETVNMWERRAPLTPSHCARLLLGGGKGKTGVKRIIVQPSTKRIHHDSQYEDAGCEISEDLSECGLIIGIKQPKLKMILPDRAYAFFSHTHKAQKENMPLLDKVYDTQHALAFLWNIKVITMFLEKRVSLFDYEMIVGDDGKRLLAFGKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAVGEEIVTFGLPSGICPIVFVFTGDGNVSHGAREIFKLLPHTFIDAEKLPEIAVARNLSKHSQSTKRTFQPYGCIVTSKDMVSHKDPTKQFDKADYYAHPEHYNPVFHERIAPYASVIGMVLYECLYLILQFLETWWEKTCCLIKLHVLREEISTAIKH >Et_7A_051280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16449386:16451787:-1 gene:Et_7A_051280 transcript:Et_7A_051280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQNYILRNRSPSEPLARSHLPFRNSKVPRVPVDRGGDRATGCPFRNHFPPISAASLQASTPSPTSPRRAHARTPSFRSAAPQRARGHEHLQHRHERAPQPGAPPSPPRRRPPGNTPSSAVTAAAPDDDDLLDAFLRCSPRRLVYSLQQDGSDDGGGGSTDDSAGAVTAVERGSGDRFRRNRREEEGASSVCCSVVKAGHSMSSSASSCSSRPCPCRCAVLFRGYSTSSSTTAASEPSFSPAERDVNVTEHARKTDGGRRVRGGWTGWFAAIAAVFAVGVVIVAMTAVLELLMGEEQPPFSPAEGDVVLVTEHARETDGGRRTRGGWTGWFAAIAAFFAVGVVVVAMAAVLELRIGEGCAEFLVPT >Et_5B_043545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11708206:11711260:1 gene:Et_5B_043545 transcript:Et_5B_043545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGTSYYVEAAPPVDVNKNTEWFMYPGVWTTYILLLFFAWLLVLSVSGCSPGAAWTVVNLAHFAITYHFFHWKKGTPFAADDQGMYNRLTWWEQVDNGQQLTRNRKFLTVVPVVLYLMASHLTDYKQPMLFLNTVAVLVLVVAKLPNMHKVRIFGINADI >Et_3A_024680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23223592:23228739:1 gene:Et_3A_024680 transcript:Et_3A_024680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPLAGLQDHLKLARDYALEGLYDTSIIFFDGAIAQINKHLTTLDDALIRTKWMNCKKAISEEVEIVKQLDAQLKSLKEAPGTRRSSSPPIRSNKSFVFQPLDEYPTSSPSPFDDPDVWAPPPRDTPNRRSARGQSSARKSSQDGAWARGPSKTGTPSRSAKPNGSKGSSGPRSSTASSTGGRKGKSVSSKADSASSDAEEGKTKKGQYEGPDMDLAAMLERDVLDSTPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCTSRGASGEHESSRRVKSELLVQIDGVNNSSTTEDGQPKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPDFESRKALININLRTVQIAADVNIDEVARKTEGYSGDDLTNVCRDASMNGMRRKIAGKTRDEIKNMSKDDIAKDPVAMCDFVEALVKVQKSVSPADIEKHEKWMAEFGSA >Et_6A_047114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25532645:25533394:-1 gene:Et_6A_047114 transcript:Et_6A_047114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPVLQEVVPDHHSCHGSWRARVEDEGVRSTGETCTPSSGTQRRRLSRRRTEAWRLYVAEVRTAPELGNRSRVVNGGAAHSHTFTVHRIPRPLGLTAVLLAACARPPPRRARHAAMHVRSTRRPCSSASAIRRAVASAVHHHIVYGLFGLRRYPTLYNLGAVVLNVRVAGRIHDAEALGGALAGADQACIITTVTVTVAVALVSLVLVWRMREFNRGYIYASSWARKYQRAEARPR >Et_2B_020695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22870245:22872582:1 gene:Et_2B_020695 transcript:Et_2B_020695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSANAVFYADKYHPIQAGSIDGTDVAPHDNAVLRALICSQAGLYDPFGDPKATGDPYCTVFVGRLSRHTDEETLQKAMSKFGKVKSMRLVRDIVTGASRGYAFVEYETDREMRRAYEDAHHSIIDGSEVIVDYYRQQLMPGWIPRRLGGGLGGKKESGQLRFGGRERPFRAPLRPIPYDELKKLGIPPPPEGRYMTRFQVPPPPRRKTSSIDREDSPPRRRSRDRVDDSSHRRQRSPTEEDGYRRKRSHDRREESQSRRSERSSSTRDETRYSRQRKPMGDDDGHRKRRRSREPGEVSPTEEDGGYKREKTSTEDGSSPDRYHHYGHHRERTHESSHSQHRDRRHHSHHGHSRRSESGDYSL >Et_4A_033898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27830627:27834860:1 gene:Et_4A_033898 transcript:Et_4A_033898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRELPNLSLQISPPTASSDASTTAAMPLPAEPSAATYDEGSGEVGFFANPSPGAEPPGLSLELGTPASGDDAAARRSHLLQAQAGCAFKRSGSKRSARAPRMRWTTALHARFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDRSSHVSTGEAMLLLPQQTAIEVAVEAAAAGGGGGGAVAPVALPTCDDMVGICGSSPAAAATTSAAHFLCAPAAAAPLAAVPSPPPPMHPRRTDHTAVLEKGVAIVDSLHRCQRLPVLQDPQAAREEVNGHLRTGLHAGDEAAGTNSSSPASSSPSLASLELLTDDMYAPNREISLGRQDWNMEHPEQLSLKYL >Et_5B_044471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2706645:2710564:-1 gene:Et_5B_044471 transcript:Et_5B_044471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYEMGRTLGEGHFGKVKLARHADTGRAFAVKILDRHRILAMKIDEQIKREIATLKLLKHPNVVRLYEVSASKTKIYMVLEYVNGGELFDKIALKGKMSEKEGRKLFQQLMDAVSYCHEKGVYHRDLKPENVLVDAKGNIKVSDFGLSALPQNQRKDGLLHTTCGSPNYIAPEVLLNKGYDGSMSDIWSCGAILYVMLTGSLPFDDQNVVCLYQKILKGNAHIPKWLSPGAQDILRKILDPNPVTRIDVDGIRAHDWFKQGYTPVVPFHDDDDNTDEGRIDMTEHNDTQDNIAINQMNAFQLIGMSSCLDLSGFFEKEDVSERKIRFASNYSPAYLFEKIECIVTKMGFQAQKGNGKLKVIQDCKGPTTSRGYESLLISAEVFEINESLYVVELKRSSGDCSLYRELCATLSADLGICKSQQVLKQDSIRHDIGRYNSSF >Et_6A_046488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1635793:1638986:-1 gene:Et_6A_046488 transcript:Et_6A_046488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRACAPPAAAAASSAAPAADATKRTKSSSARVLVLGGTGRVGGSTATALSKLRPDFSILVGGRNREKGESFAAKLGEQSEFVQVDTRNASMLEKALQGVDLVVHAAGPFQREQKCAVLEAAISTKTAYIDVCDDMDYSWRAKGFHEQAKAAGVPAITTAGIYPGVSNVMAAELVHAARSENDCEPERLRFFYYTAGSGGAGPTILATSFLLLGEDVIAYNKGEEIKLKPYSGVLNIDFGKGVRKKNVYLLNLPEVKSAFKFLGVPTVSARFGTAPFFWNWGMLAFANFLPVVDLECSNGRSTCPSALFYHLPKLLRSNGWNFRSVGYATAAFVLAVLEGNSQPGVWFPEEPEGISIEARKLLLERASQGTTNFVMNKPSWMVETDPKEVGLGIYV >Et_6B_049326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3299711:3301221:1 gene:Et_6B_049326 transcript:Et_6B_049326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHQHYQYMELAQPSRCSSKGPTDDDTRLMDMCPSLDHAVHRGRTEEVMALLLQQHGAASHEQGKGIFQHGRCDILEASAEGNTALHVAAERGHDDLIRELHLRFREKNGLLSRRNTSLDTPLHRAARAGHAKAVAVLVQLSQEREEISVLDCKNEAGDTALHLAARHGHGAAVEALVSAAAAMAAEGNNAGVSPLYLAVVSGSTQAVRAIVTSAGTRRRPGRARRTLCTPPSSRPPVSFSVAPSFFWSNHRHHTHSGLIHSGVCRNG >Et_4B_037772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23225661:23230213:1 gene:Et_4B_037772 transcript:Et_4B_037772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAREKRLPPLPLSLNVPARAAVQEPAFRHANPPAAAPQSASTPLARSSQFRLADFDKLAVLGRGNGGTVYKVRHRETSALYALKVVHHQGDAAAEAEILSRTASPFVVRCHAVLPMASSGGDVALLLELADGGSLDAVRSRRGAFPEAALAEVAAQALSGLAYLHARRVVHLDVKPANLLATAAGEVKVADFGIARVLSRAGDLCSSYMGTAAYMSPERFDPEAHGGHYDPCAADVWGLGVTVLELFMGRYPLLPAGQQPNWAALMCAICFGEPPALPDGAASPELRSFVAACLHKDYRQRASVAELLAHPFVAGRDVAASRSALRELGARRLPQLHISLDLPSCAFRHPNPNPPVAAHASTSAARCGESELSDFVRLAVLGRGNGGTVYKVAHRRTSAVYALKVLHHGDPGAAAEVDALRRADSPHVVRCHDVIPAAAASGDVALLLELADGGSLDAALARRGAFPEAAVAEVAAQALSGLAHLHARRVVHRDVKPANLLATSGGEVKIADFGIAKVLSRPGDHCAAYEGTAAYMSPERFDTERHGGADPCAADVWSLGVTVLELLMGRYPLLPAGQKPTWAALMCAICFGEMPALPDGVASPEFREFVGACLNKDYTKRPSVAQLLAHPFVAGRDVAASKDALRRLIAGA >Et_7B_055970.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8298518:8298931:1 gene:Et_7B_055970 transcript:Et_7B_055970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDAADEVALEALGFNAFAAELAETVHDMRTADADEAALEALGFDDAFARDLAPPIHDMAVAGGDVVALDALGFDAFARDVAEAIHTYDMGLKDYDVAALDALVFDTFAREIEEEIYAWNEEVASMYESGYCEIS >Et_8A_058438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7828638:7831098:-1 gene:Et_8A_058438 transcript:Et_8A_058438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRTPSPPKPASLARTALALAADAYMLLSLAVLWLISVATSVRHIGRLACGRDCRVVVVAAEVRRFVLPFTYICAFSVNVYINRMGAAFIEIKKDLTAGIPGNRIGLGVLGSVPFIVLMFLGKGSIITDVGAVGADAILRHP >Et_1A_005854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14692254:14702077:-1 gene:Et_1A_005854 transcript:Et_1A_005854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSYYAATATPPETCVPGRRRAPPAGGPTRSQQRALPTPRLGAGWQRLAMSARHRRRLGAVSAGNTGRFGLDSNSELQVAVNRAPEGSVVEVELVATNTGGSSLSLHWGALQQGRREWVLPSRRPDGTRSLEDAALRTPFKTCGSNSTIKIEIDDPVVDSIEFVIVDEAQNKWSVLVRFYKFYPCGQFKGPGYPSFLGSKTMTRTSKFICEQQISIKDSILLLQKQEGTPQQKRLHAKTEIPATSHVNDLVETPPKNPTVLDLFLKSLQDKDSCKVLCKKLFKLGEKEILALLLEEQGRTKVQLATNHVEPLILHWALAQKAGEWKAPPAGILPPGSTLLEMACESSFSEATFDGLGYQTLEIELDDDSYKGMPFVLRSNETWIKNNTSDFYLDFSRRVTKSTDDGNDGSKGTAKALLEAIADLEEDAQRSLMHRFNIAADLAEQAKDAGQLGLTGLLVWMRFMATRQLVWNKNYNVKPREISQAQDRFTDILQNLYKSYPEHREMIRMIMSAVGRGGEGDVGQRIRDEILRNNDCMGGMMEEWHQKLHNNTSPDDVVICQALMDYLNSNLDITVYWDTLNKNGITKERLLSYDHPIHSEPNLRSEQKDGLLRDLANYMRSLKAVHSGADLESSIGSCTGYRAEGEGFMVGVKVNPVKELPSGFQELLKFVLDHVEDKSVEALVEALLEARAEVRPLLRGSSERLKDLIFLDIALDSTVRTAVERSYEQLNNAAPEKIMYFISLVIENLALSTEDNENLLCCLKGWNHALGMAKNSDNQWALYAKACLDRTRLALVTKGEEYHHTLQPSAEYLGSLLGVEQWKVNIFTEEIIRSGSAASLSLLLNRLDPVLRKIANLGSWQIISPIEVVGYVTTVDELLTVQNKSYDKPTVLVAKAVKGEEEIPDGVVAVLTPDMPDVLSHVSVRARNSKVLFATCFDPDILSELQCHEGKLISVKPTSVDITYRETAESELLVASSTGVQDDISGPSISLVKKQFPGKYAISANEFSDEMVGAKSRNIAYLKGKVPPSVGVPTSVALPFGTFETVLSDKMNKEVDQNIQSLKKKLNQGEFSALTEMRHAIIYAEVVKGLGETLVGAYPGRALSFVCKKYDLNSPKILGYPSKPIGLFIKQSIIFRSDSNGEDLEGYAGAGLYDSVPMDKEEEVVLDYTTDPLIIDCSFRNSILSGIARTGYAIEELYGTPQDIEGVVKDGKIYVVQTRPQIY >Et_10A_000876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18534744:18536203:-1 gene:Et_10A_000876 transcript:Et_10A_000876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLIANVKAPDEVICEPYEWEEFKIQLKDEERDMSEMLDAIRAGLKSMVDGTINVSAYDTAWVALVKNVDGGDGPQFPSCIDWIVRNQLADGSWGDDALFLAQDRIINTLACIIALKSWNIHHDKYRKGLSFVHQNIWRLREDDEDWMLVGFEITMPTLLDMAKNLGLGMPSDEPVLQEIYAKREIKLSKIPKDILHAMPTTLLLSIEGMTGLDWERLF >Et_6B_048718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1373566:1377451:1 gene:Et_6B_048718 transcript:Et_6B_048718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHEIKAIEFLERSSTSSLLGIHGVRGVGKSTLLRLVRDTYALNDSSFDYILYAAGDGCSVASLQRVLAIQIGLGPPKIISRFLGDKSFVLLLDDVWERIDLAAIGLPVPLGHQQKVIFATRNQSVCNDMGCGSNAIQMQCLEEDVAWDLFRYNVWDNKIIDYDPEVKELAKQMVAECRGFPGAICFVGRTRSIKRDFKDWRVAHDMLTMKSPLPNGIQDTDEEALVYFIAEKSTNAVIQRARSPLRRPSPPSSVVTPMDGDVTETSLSSSGIGD >Et_5A_040230.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:25174364:25174732:-1 gene:Et_5A_040230 transcript:Et_5A_040230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTEAAVRGVPASPSPVPAELRLRASLPAGRRPGQVRRREHGVRHRVFHRAPPNPLAGAAYGGRQRAGPTGGGGGERRDPGAFGGEPGGRAIALGSGGPAPVERALQGGGRVRALRPRGGGG >Et_5A_042448.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:11448865:11449443:1 gene:Et_5A_042448 transcript:Et_5A_042448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDIFTYGGQNIAMSPYTSTRTGGSSGASAPQSCSAPDASWPSARSGRRRRVNVSDRVRIMMNDIITRATLGDRCAQREAYLDEMANALELLAGFNLVDLFPTSRLARVIGGRSLRVAGRGVVRVSWRPPGRHATRHG >Et_1B_012272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30341265:30344444:1 gene:Et_1B_012272 transcript:Et_1B_012272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSAALAPVAFRSSFSAPLASNPTRNRISMEGAFCLPCTTRRRSSYKTFRVYSLFGGKKDKDENGDEAPSKAGIFGNMQNLYETVKKAQMVVQVEAVRVQKELAATEIDGYCEGELIKVTLSGNQQPIRVEITEAAMDMGAEKLSELVNDAYKDAHQRSVQAMKERMADLAQSLGMPAGLGEGLK >Et_3A_024493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21250964:21253778:-1 gene:Et_3A_024493 transcript:Et_3A_024493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFYSSSVILLVLFVAAWKTTLVASYAQQTQPAQPAQPELDYGRRGKTTEKKSAPAAPSYDVSKESGVKGEQVNKAATDAAVLLRAKEEIFKRTAAHIQNVIFSAQTTIQLKVHLMIKSAEFLSGVCGEVSSHLFKVASVHAQSGAADVAAALKIQQDVLKEMAARVRVVTSDKATKIKVKQDMLKAVAQEFVRVAGEVSGSISKMAQVAAAGGISIEGNIHIRAGGGAQIAGGGGAQVAGGGGAQVAGGGGAQVAAGGGAQIAGGAGAQVAAGGGAQAQTSGSGKTGGEAKSNAGVYAGGKTDAGASAGVSGGASVSGGANVAGGAGVGGNVAGGGSGNAGAGVSGGAKINAGVGGNIAGSSNVNAGAGGNAGADTYGGGGAKRNVGGGGNVNAGAGAGVGGNAGVGVGGGISGGASVGGGIGGSGSANAGVGGNAGVGAGVGGGVGGNVGVGIAKTASVGGGVRGNVGGSGNANAGVGVSGGANVGGAIGGNVGGNGGVGVGGNVGGSGNVNAAIGVNAGVGAGVGVSGGANVGGGIGGNVGGSGNANAGVGVSGGANIGGAIGGNVGGNGGVGAGVDGSGNANAGVGAGISGGAKVGGGIGGNIGRNGGVGAGGSENANAGVGVGAGVSEGAKVGGGIGGSVGGNGNAYTGAGVTGAGSGSGNANVGGSGGANAGFGVGVSKSFNAGITGGVGGNANVGGNTNVGGNTGVEAGASKSSGGDFGYGSSQKEL >Et_2B_022818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5926733:5927901:-1 gene:Et_2B_022818 transcript:Et_2B_022818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARKALLANKVAIITGAASTIGKAIATKFVMHGATVILTDLDNNYKICVDTADKLKETETLDQGKLGLAEAMNCDVRDPDNISKVIQRVNERYSHLDIFYNNAAINGNVSSADIDNFKKTMAVNVESVLASIKHASAVMARNDPKGGCILCTGSTTGVLGDVVPSAYSVSKAALVGMIRAAAAELEDGGVRVNAISPRLFVPTFDKRVLQKKIFHNTTDTQLDEMIKKYVTNRVVTAEDVANAAVYLASDASKGVTGHNLVLNGKFPLIS >Et_5A_042333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8859599:8860878:-1 gene:Et_5A_042333 transcript:Et_5A_042333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LPPLLKPCHGELYLLTSAATHSLLLTTHSQEALLLCSSRRETMAPMATTASTLLKSSFAGARLPSAPRSPSSVAIATPRPICASISSSSPSNPPYDLTSFKFSPIKESIVSREMTRRYMTDMITHADTDVVIVGAGSAGLSCAYELSKDPSISIAIVEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELDIAYDEAEDYVVIKHAALFTSTVMSRLLARPNVKLFNAVAVEDLIVKQGRVGGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLQDIGMIDAVPGMKALDMNTAEDEIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGRPNAVDGTLQNVSPALREEFVLASKDDEVVEA >Et_3A_026896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25307999:25310008:-1 gene:Et_3A_026896 transcript:Et_3A_026896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSASAAGHGGVRVVSRRTVRPSSSELSETTKPYNTAETLHLTPWDLQMLTVDYIQMGVLLPSWPTAEHHRHSCLVDHLAQALARALARFYPFAGRLAQSVDEEGAVTVSLLCTGEGAEFVHAVAGDTTVSDVTGSPRIPRVVWSLFPLNGLVGADAASVAGGPPVLAAQVTELADGVFVAMSLNHGVADGTAFWHLFNTWSEISRGGFQEISTPAAALPVLERWFPDTCPVPVPLSFPRLEHAMRPFHGPPVEECFFAFSAESVRSLKARANAEAETSVISSLQSLLAHLWLAVTRARRLPPEQETSYTLALGCRGRVRHVTQAYAGNAMVRCAARAAAGEVLRGGLGRAAWLLNRAVASQDEATLVDSAVLWHEHPRFAYLDGWWNPALLVTGNSPRFDAFGNDFGWGRPVAVRSGAGNKVDGRATIIQELKKSNMRRASFLERLGRPVLLRCRAFS >Et_6A_047671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9365096:9367022:-1 gene:Et_6A_047671 transcript:Et_6A_047671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTTNTSDGAKSSSCPRGHWRPGEDQKLKELVDKYGPQNWNSIAEKLEGRSGKSCRLRWFNQLDPRINKRPFTEEEEERLLAAHRAHGNKWALIARHFPGRTDNAVKNHWHVVMARRSRERSRLLARANSSSSSPFLAAAGAPPPASSSSSLFFGFSTGRTSNSGAGLYGSSPAAAALSGRTPFFRTSHGFDAGRYSYGGGGRQPAAPPLSITFSSPRPREAVLVGHHGQLLHGGSTDISKREEYHGDAGGDKTPTTTRRKDVPFFDFLGVGVSS >Et_3A_025971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34298444:34301686:1 gene:Et_3A_025971 transcript:Et_3A_025971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLHLARPPLPAIPRSPLSSSTAAAWSWGRRLPSAAASSSASRLLCSSSPTSPHDTSASPSPSIVAGLLDYLNESWTQFHATAEAKRQLLAAGFKLLSENDDWDLQPGGRYFFTRNMSCLVAFAVGETYRVGNGFNIIAAHTDSPCLKLKPRSASLKSGHQMVNVQTYGGGLWHTWFDRDLTLAGRVILKAPDGSFKHKLVKVSRPLIRVPTLAIHLNRTVNSDGFKPNLETHLVPLLATKHEETTMNSDEKASSSTKPAHHPLLLQILLEEIGCGSDEIIGMELNVCDTQPSCLGGGNNEFIYSGRLDNLASCYCALRSLMDSSEVPEQLANEKAIRMVAMFDNEEVGSDSMQGAGAPTMFHAMRRIVDSLIHQSMGEGALERAIHSSFLVSADMAHALHPNYPEKHEECHRPELQKGLVIKHNANQRYATSAVTAFLFKEIARIHNLPVQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIPQLSMHSVREMCGKEDIDTTYMHFKAFFEMFSDIDRKLSVDF >Et_7B_054069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1499287:1502640:1 gene:Et_7B_054069 transcript:Et_7B_054069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALEEAHLAAAVAAACACEEDDDDLELLAGEGEAAAAAAAADDAIEPAVRALLVGLGEDERREGLRRTPKRVAKAFRDGTRGYKQKVKDIVQGALFPEVGVDKKTGSAGGTGGQVVVRDIEIFSYCESCLLPFGIECHVGYVPSGGRVVGLSKLSRVADVFAKRLQNPQRLANEICGALHASIQPAGVAVALQCWHIPLPENLECKSLQGWIRTSHSSRSGVFEGENSTFWSDFVALLKLRGIDMEMDNHSVSISWCPLRSLEVPLSNGHCKKSTTNGTILSKSVPTPSNMVFAVSSMLLSLGEDTQRKELLGTPQRYVQWLMRFRACNLDVKLNGFTLNSFSVYERPGGDKAEHQAIRSELHLPFCAQCEHHLLPFYGVVHIGYFDNGSGEGIDRSHFQSLVHFYGCKLQVQERMTRQIAEAVYSVSHSGAMVVVEANHICMISRGIEKIRSSTATIAVLGQFLTDPSAKACFLQNVLDTPGSAV >Et_4B_038615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3318526:3321346:-1 gene:Et_4B_038615 transcript:Et_4B_038615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WRADRGGEIKAKEPSRETRQDCEDSLCVERQWETLQRGEARQGGKEAAREEGCSKGNMLPPHLTENGTIMIQFGHQMPDYDSSATQSTSGSHQEVSGMSEGSLNEHNDRSGNLDGYTKSDENKMMSALSLGNPETGYAHPKPDRGQSYAISYPYADPFYGGAVATYGSHAIMHPPIVGMVPSSRVPLPIEPAAEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKNRKPYLHESRHQHAMKRARGTGGRFLNTKQQAEAPGGGTSDAQRMPANGSMFTKHEHNLPPGDFRYHGRGGA >Et_4B_036520.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27861148:27861297:1 gene:Et_4B_036520 transcript:Et_4B_036520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPWPVAVSVVDMVWAVLAAWVSTCLSAATAVARAARTGELVGHLHIG >Et_3B_029641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26705380:26708629:-1 gene:Et_3B_029641 transcript:Et_3B_029641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAAPEGSGRRDAEAELNLPPGFRFHPTDEELVVHYLCRKVARQQLPVPIIAEVDLYKFDPWDLPEKALFGRREWYFFTPRDRKYPNGSRPNRAAGRGYWKATGADKPIAPKGTARPAGIKKALVFYSGKAPRGVKTDWIMHEYRLADADRAPSKKGSQKLDEWVLCRLYNKKNNWEKVKAEELVQERQDAPADTICITF >Et_7A_051237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1640601:1643071:1 gene:Et_7A_051237 transcript:Et_7A_051237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSASSSASALVHLPGPAHLGSPPRGWFRHPRSRRPRHATVRCSFAPVETARIKVVGVGGGGNNAVNRMIGSGLQGIEFYAINTDSQALINSQAQYPLQIGEQLTRGLGTGGNPNLGEQAAEESKEAISNALRDSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTALEALEKLEKSVDTLIVIPNDKLLDVADENMPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAQEAAEQATLAPLIGSSIEAATGVVYNITGGKDITLQEVNKVSQIVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFPQSFQKSLLADPKGARLVEAKEKAASLAHKAAAAAVQPAPVSTWSRRLFT >Et_4B_039473.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:17901358:17902572:-1 gene:Et_4B_039473 transcript:Et_4B_039473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVALVAVLVSATVCAAVAQPATAEAAPESPPGVGIKVSFRPSVAIVVGIFTMIFSLTFLLLMYAKFCHSSSSPAPLPATLATAAVAHATATHPSADSANDGVPKPVIDSLPFFRFATLRGARQGMECAVCLARFDDADLLRLLPRCRHAFHLDCVDQWLESSASCPLCRARVDAGDATLGLKYAASARIVFGGCDDDDASAAAHGSGRDLLDIFVERVPSSRFPDGGGEPRKEEEEEEQQLDRHRHRIIVSDVVFKSRWSELNSADLIALDTEMLRSMSSGRFYFPPSPNNKLSSAAEEDAAVTAIREDKLLLGSNHPQQQQQQGTSTSKASAARLSSGVRSVSEIVSLPRLRAAARERMADEDNRRWLPIARRTARWFAGRANHKQGEEEDEEEGGVDHVV >Et_1B_010546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11517271:11520377:-1 gene:Et_1B_010546 transcript:Et_1B_010546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDESLKTYKGSRDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLNVTMKSDEKELMGKALMKRVMQTWLPASTALLEMMIFHLPSPATAQKYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLSEFEDKL >Et_3A_025250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28197788:28200675:-1 gene:Et_3A_025250 transcript:Et_3A_025250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGGAQPPPPSASSGGGRLAVLASHFCSSLGSSTPMAGEKEAALAAEPIDGSTTFNKIIRKEILSQVVYEDQKVLAFRDISPQAPIHIIIIPKVQDGLSRLSEAEERHVEVLGSLLYAAKIVAKQEGLDDGFRIVINDGPKGCQSVYHLYVHLLGGRLMNWPPG >Et_10A_002227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4811122:4812121:-1 gene:Et_10A_002227 transcript:Et_10A_002227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLLAASSAIVAVLITLSSGVEAAYPTVTKACKEVADADKRISYAFCVAELSTHYQPGFDGWYRSPFIPMATSALWSGVDNARNATDDDVQALRKTTKLDARARTAVEKCWESYVYIGDQFDDAKESLDGIFRPAAAKERVGRAVSEALRCDDKLAGAGLRPSPLARRRKYAVDIGIISTAMIDRIPVDYCGIS >Et_2A_017084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30885352:30888717:1 gene:Et_2A_017084 transcript:Et_2A_017084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLDLLLLSPYVFLCLIGAVYSGRTSSYVRKEFPSTDIPLESEWFAIPKGYNAPQQVHITQGDYNGKAVIVSWVTVEEPGPSEVLYGKKENQYDQKAAGTTTNYTFYNYKSGYIHHCLVDGLEYNTKYYYKIGSGDSTREFWFQTPPTIDPDASYTFGIIGDLGQTFNSLSTLQHYEKTGGQTVLFVGDLSYADRYEHNDGIRWDSWGRLVERSTAYQPWIWSAGNHEIEYRPDLGETSTFKPYLHRYMTPYLASKSSSPMWYAVRRASAHIIVISSYSPFVKYTPQWRWLKNEFKRVDREKTPWLIVLMHSPMYNSNEAHYMEGESMRAAFEKWFVKYKVDLVFAGHVHAYERSYRISNVNYNITSGNQYPVPNKSAPVYITVGDGGNQEGLASRFYDPQPDYSAFREASFGHSILQLKNRTHAVYQWNRNDDGKHVPVDNVVFHNQYWTSSIRRRRLKKNHFGLENLEDLISLF >Et_2B_020584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21631304:21636485:1 gene:Et_2B_020584 transcript:Et_2B_020584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPEMLVAAAVNQVARKINEVIGVAQGEVKLCCSFSDDLETIKDTLVYLEGLLKNAENNSFGSERANLRHWLGQIKSLAYDIEDMVDGYYSSKEEYEGSSYVQKGSLFCSLSNPMISKVGMIHKLKSKRELLQTRQHLPTQYHFISHITSVVNFDEKQTTSYRNNDIPIVGRDKDLEHLMDMLMQINVQELSIISIVGPVGLGKTSLAQLLYNDARAEKFDFRIWVHVSMGNINLAKIGRDIVSQTTERIEGNMQMQSIKKAVQDMLNKHSCLVVLDSLWGKDEEVNELRQMLLTGKQTRSKIIVTTHSKKVAELISTVPPYKLSVLSEDDCSSILSRRAMTSQSDPLFREYGEEIVRKCEGIPLVANFLGSVVNAQRQRREIWQAARDNEMWKIEEDYPADRISPIFPSFKIIYYNMPHELRLCFVYCSIFPKGSVIDKKKLIQQWIALDMIESKHGTLPLDVTAEKYIDELKAIHFLQIAEDICNGSEENLYMHNLAHDLARSVAGEDILVILDAESEPGTRNYDYRYAQVSTSSLQSIDSKAWPSKARSLIFKTSGAELQHVSEVLSVNKYLRVLDLSGCSVTEIPSPVFHLKQLRYLDASTLAIRALPPQVSGFHKLQTLDLSETELTELPPFIGNLKMLNYLNLQGCQKLQQLNNLDLLHELHYLNLSCCPRVQSFPASLGNLTKLRVLNLSKCSKLPTLPDGLLQSFSSFSSILYLNLSGFEFQMLPDFFGSICSLEFLNLSKCSKLEVLPQSFGQLAYLKGLNLSFCSDLKLLQSFECLTSLRSLNLSNCPSLEYLPSSFDKLISLEYLNVSQCFGLKALPAALMSLSKLQTLEVSGCQDCVLQSRFLSSRCSQPYPSSDIISKELAISEVPGAGIEGVDVLDTDEIDYSQNSMKQKLTSIPDMTGHRGKEIKSTKLKSAGEIVQLSPGHWFPLSSSHFSSFASSSSAPLASASTTDVSTTDHPMYNGQTAGLDSEERRHNPHVLAENGQSCENRAASSHILAHLHVVPTKQSNDNQMTDYSGEHHFIAQCEGPRLLDVSREEIRDLDSFWFSFALAKVSEV >Et_6A_047491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6418389:6419542:-1 gene:Et_6A_047491 transcript:Et_6A_047491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITFKGRPVLVDIQIVHDALNRNTTLNEASDQIIMPTATADAGWADLPFDLLGYISRRLHTAADYVSFHAVCKPPRAPTAMARLAARRPRSQDGLLRLLLSRWLLRAEDCTAASRLLTNSSCVVGDPLGRYAGAAPLPPFFSGDDDDEINWRAEPANHAIGTVSGDGTIVLCLFRTMVHHSTYELNWFNVSLCLLRPGYAAAWIRRDTASLSTAGLAFAPWKSGYLFESSYVFESCGELLWAVVEHKIHHISMAAGRNPVVGSQLANDLSVSVYALQEVEPGQPPRDGWSFADRILFLGLPTSFAVDAARFDMSDRCAYFMVSRPPTGWVLGKIFREQKNFGGHR >Et_10A_000627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1412769:1415796:-1 gene:Et_10A_000627 transcript:Et_10A_000627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGGEIAAKRPNPSFSDAADAGVDRLSALPDDVLVLILLRLDTSAAGQTSVLSRRWHRVWALLPFSCAREPNRIRDALEAHQAALRRLSVEIQSASAESVAAWFLPAARRLAGRLEFRNLVPRENGEDEQERQQRGSFELPCFESATEVSLDLGFLGLSVPAAGVCSWLTTLSLSRVRFDPSCELGDAISSPRCPCLTKLSISYSQGLTNLTIISTSLLGVYLQESRVCVACGSSPLRPRPSMTTSFYYGHDQPVVSISAPRLEWLHWVDSYDPSYMHLGKIEHILYLAPFLFCAYGRQENFTNNHYCLWLLQRFKVLETLVSFLTFFSGIISVALSNGHEFGASVFDVLRMCSGIRKLNLELSPPDMKDQTTCSSGCICRQHAHWKTEELVLNCLQEVEITNLIGSEHEISFVKQVLCWATMLKDLTVIVDGSVTENEAKELCQMLRSFSRPEICTKFYIGKGMSRMLYAPEV >Et_3A_026228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5812864:5823005:1 gene:Et_3A_026228 transcript:Et_3A_026228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEELLWWASMAPKARSTPYRRVPKVAFLFLSRGDLPLRPLWEKFFAGHEGLYSIYMHTDPAYTGSPPKDSVFYGRMIPSQKTIWGDATLLAAERRLLANALLDLDNERFALLSESCIPLYNFTTIYTLLTGSNTSFVDSFSTPSRYDALFADRNNITVKAWRKGAQWFEVDRALATEVVADETYFPTFRERCAAVRNCLMDEHYLPTLLSVLRWPRNANRTLTFADWKHRNNGPTHPHKYGAPEVTEELVDEIRGGMGRNCTEFRDGARVSATCSRASSPRTRSSRCYAWLPRPAAFLPAS >Et_7A_050549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24431453:24432151:1 gene:Et_7A_050549 transcript:Et_7A_050549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRWCRVERCPKLQAVFNNKLFKCWFHLLKKIWASDLLVAHCIWSKDISNERLSFGGLQSIHVHNCPRLKFVLPFSSEITLPRLEAIHITHCCDLRRIFPWDDDVPEEYSKEGAVKDFPKLKQIDLLDLPNLQEICAAKMYAPKLECVRIRGCWGFRRLPAVSLRHLRRHRPVVHCEKDCWENLKWDGLHAGHHPSLYQQHYSSVYYKKRHLRGTVL >Et_2A_018702.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5377120:5378427:-1 gene:Et_2A_018702 transcript:Et_2A_018702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPRRHDAAPPVLLAVLPLLLVLMAPAVAAQQDPSRDKDNGGGLGPGGGATQPPGFSAPMVVLLVALIAAFFFIGFFSVYMRRCGRGSSSGGPAIPAAALLALSRQQERDRRQQRGLDPDVVASFPVMRYAEARELRVGGKDAALECAVCLSEFEDDEELRLLPTCSHAFHPDCVGEWLAGHVTCPVCRCNLDPEAEVAAADQTSASGGSGEVAAENQQQQDHAVVVDVDGREGTVEEEEAEERRREAMELDRIGSQRRAVRSRSGRPLPRSHSTGHSLAPRLDGGDLERFTLRLPDHVHQRMLAESLRRTEPAGRDGRARSARLGRSDRWPSFIARTFSARVPFWAASRRTPDADAAVAASGAEVPAATARTKREKPAVAAADGAVHSRSVRFDCLGGGGGGGGAVTRARVGAAAGDSETEEEDEEKAIVRQA >Et_3A_025226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28028634:28031010:1 gene:Et_3A_025226 transcript:Et_3A_025226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKLVENADECMVLDNEALYDICFRTLKGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDEGEYEDEEEVQEEDM >Et_4A_032271.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27788638:27788718:1 gene:Et_4A_032271 transcript:Et_4A_032271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAWGVPIRVPPHSPQQPCVRRVWH >Et_5A_041905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4029339:4031682:1 gene:Et_5A_041905 transcript:Et_5A_041905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGPADLLDLVRLPVMDVLVVCSSTGWTDEKHMLYLRLLEESFVSQLHAGDCSYKGLFDCSPRSCRRIESANKIVRYEYTDQPVFIYVSDMARFSQENSCISSEGSDQNFDEETNGIGGSRGCNQKRLKSADDMMKDQAVPSMKAEFQQVGCANASDKDGDNHDGS >Et_4B_037328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18474892:18476861:-1 gene:Et_4B_037328 transcript:Et_4B_037328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQGFFAALRHEVARGLSPARARRKAEAAKLSSSLRLSRRAGGGGGGGEALSPLMEGPDPESGDGEFGGGARARKEGWGKWVRFQLARTPSSAAAGAGGMHNDIRMLLGVMGAPLGPVHVSTAEPLPDISFKDSALETSSVQYILQQYLAASGGHKLLASVHNTYTMGKVRMVTTDEETAGRVVKNRNAGRDAETGRFVLWQMAPDRWYIEMAVGGSKVHAGGNGSLVWRHTPWLGAHAAKGPIRPLRRALQGLDPLTTASMFAGARCLGEKKVNGEDCFILKLSADPETLKARNEGPAELIRHVMFGYFSQKTGLLVHIEDSHLTRVQSTTGGDAVYWETTINSLIEDYRPVDGLMVAHTGRSAVTVFRFGEVAMSYTKARMEETWSIEEVAFNVPGLSVDCFIPPTDIKPESADQAMELSHGDIVKDGPPPGYCAKVAALEKAVDDKVAWCGTILEDQN >Et_4A_034389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32104371:32107578:-1 gene:Et_4A_034389 transcript:Et_4A_034389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAPSSSQPPLPAGSAVELCLPPRRQAGHVVPEAIGGQPFEIRDWSQLPKDLVASIFSRLELPDLVYSGAVCTSWHLSYRHVRRFRLCSPNQSPYLVYSSSDRDPNTATLHSLSTNKGYHFPLPDDDPPFRSCFVIGSSHGWLVTADEQADLHLLNPVTGAQIALPPARTMKGVRPYFAADGTLSGHFVHYLDVQHGGIIIRRKPRFFEANETRLQLYQKAILSSDPSTGDCTVLLKHWPWEHLSFARRCVRYHDIFRSDGDRLFYGIRSSGEIHTIDLSDQTPMVKVILSGFPRINPYTKYILQAPWGDLLQVWRQYGSPPPDHISESDNEEHQSNCHVDAEQGDSKVHPIRRRDKLTVHKVDVAKQKVTEIKHLQDHTLFIGFNHTFMVHAREFPNLRPNSVYISDDNVDYIYCYPFRGRQFSCLDLGDATLTDLPFSNSLLHWPPPVLLKTLRAVHPGLPKLAVQGIGCDKLFTWPSQLRCELCSLQHTETSFLAMVEDLFSTALLRAGVHASIRRPLLIRRQLTVQAVLVFLLAVSLHALSLHAHTVSLICSARTLFPLFVLPCRWWACSSESGSTSSGALRIHVQWRSSGNVAAWSCSRLGGLVLLGTGGVEAREEAEDVGATATGVILWPFTRSSGRSLPPGWAAAL >Et_8B_060049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5478118:5482394:1 gene:Et_8B_060049 transcript:Et_8B_060049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTAVIHRLQSSLRGAAGAALDAAPAPPFPSVADAVAAFDAGSPASASTELRCGRCGAVGGFLRGARSAVCAYCGSPRRGEGGGCEGGGVAFRDGPAYRWLLGSLGLDGSELVEFDDENSGSNKSKEAPKNGIIISDLLDLKLTRLPENKETPASSISEEQPSVAHTPNLSGVNVDSFFIERKEVTPAAAVLPQTRTVVQEKKTTDSKSLESSRSDTHAASTGAITSSRTNQTEDAPAFASWDAEFQSACSVSAAGDSEQLDLFKGSSATESFSFPSSVTAINPVVGAGNKTDMKNATPEYSEGLTSMDNKLVTDNLFSQKVVQPILESSSGISPENSVPDFVESSLNNKSLKGDELRQRDDTRVSDDDLFDDWQEFTGGEDQVSLSNAGESKEEPLKRDSSKMNAIDPWPLGSMESPNNVNGDSSNDWQAFGSSSAQGVEDWVKPMEGSTSGQDGLVRLVEETISNTSFEHPSQDTGVDLWPMGNVDTHRNTEMVKETSDSFDDWQDFTTSGQVQNTLFSQTGDMMEVVTVSQKEMDLDSWFMGDSREAKKTDLVNGKSAMLNDWQGFTGSDQLQQNTKNHSLEHHEEVDGSNKDAVNTSSTSMDSDGFDIWQDFAKSGQATIVPPEPTKEIDAMNLWVTSNFKESNNKDVRKTYDSSDGWQDFASFGQEEKSTKIPEEGPKDPLGIETLNLWASSNAAEKKLEQGSGNNDLFDDWQDFKNSGETSLQAFSDASSLDRPLASKPNAVVGLELGTISGLASSQNQNVENEAVPFDEHSKSMNVMQQLKDVDSLSAIWAIKDAISEPEPADPNVGKLLSQMHDLSFMLKDELSVPDKSVDHSKS >Et_1B_011670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24785075:24786069:-1 gene:Et_1B_011670 transcript:Et_1B_011670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDDKCGCAVPCPGGTDCRHARKDRAFHRARHVHVEERCDGGWPAGRAHDAPVRRALRVRPLHVRPGNHAVWAGGQEGQLLLRPGLRLHLLRRHRLIDRRRMHPQAESQGWDSQLAGSWQYDASCDATRSCGLWKCEGATRKVPIEIGSKQGRALAIRWLLEASQKRPGRNMAFKLSSELVDAAKGSGGAIRKRKRLIEWQRQIELLHIFVGTLSMRVHPPRNNRSGANNLCSFNFSWSTKFQKKNSLLLCISHGR >Et_5A_042177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7084298:7087373:1 gene:Et_5A_042177 transcript:Et_5A_042177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDSNPFEEEDVNPFSDQGRGKAGGQSNYGGGAFYMPNPRNVPPASNSRLSPLPPEPADFSATVDIPLDSSKDLKKREKELQAREAELIKREKELKRREEAAARAGIVIEEKNWPPFLPLIHHDIANEIPSHLQRMQYVAFASFLGLACCLFWNVIAVTSAWIKGEGVKIWLLAIIYFISGVPGAYVLWYRPLYNAMRTDSALKFGLFFLLYLLHILFVVFSAVAPPVVFEGKSLAGILPAIDLISKNALVGIFYFVGFGLFCLESLLSIWVIQQVYMYFRGSGKAAEMKRDATRGAFRAAF >Et_4B_039350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11053127:11057548:-1 gene:Et_4B_039350 transcript:Et_4B_039350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIFFSTSGHIYLQKHEHAEETEEASSVKQQAAQLETELAIKERETLEVLKELESTKKIIAELKLKIHKGTTETSEVVKSDEADQVSVAETEDQQPDNINVDVEMESTEHNPQQPSGSVAVDLEQAKASLERTTSDLAAVRAAVELLRNSIAKENMLLERAREKLSAKTSLISSLEDELDQTTQKLETLKDLQQRRKDPADIFIEIKKMTTEVQQLRSMANDSKSEALAAEIEQAKASISTAEVRCIAAKKMEEAARAAEALALAEIKALLSSESSSEGNTTSDGVTLSAEEYFTLFSRAREADENSRKKAEDAMLQVNIANSSESESAKRLEDAKVEVEECKKALQEALKRVEAGNHGKLAVEEILRRWKSENGHRKRSIGGSPKFKIAAHRRKDSHTMDIVDDDVSDRSFKPTLSIGQILCMKLMGPDEYDKSVWDDKTSEAPNISLGQILNRSVGESFSARGSAFATGDEACLYYVFNDEEFDAKVAESRANGTPACRRLAHPAQPSEAEQWLWAPPFFNALWDVEDCERGAAEANSSRPLGADVSQIASFTLFHTSLSYTSGFAGRTLRQYNLINRQSRQPMPTDPQPGKDFFLLPPIY >Et_2B_021482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3067166:3069329:-1 gene:Et_2B_021482 transcript:Et_2B_021482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAPPHAGSPSGARGLRRHQYTVLALTFASYAAFHASRKPPSIVKAVLSADWVPFSGSRGPHRLGELDVAFLSAYALAMFAAGHLADRADLRRLLGAAMLASGATSAALGAAYFLDIHGLAFFLAAQVASGVVQSAGWPCVVAVVGNWFGHTSNRGTIMGFWNSHTSVGNIAGSVLAAAVLEFGWGWSFLLPAFVIAALGVIVLVFLVAHPSDAGLEMEAMEVEMNGDVGEEVELLGQDKKEVELETDEDELEMEMGAQLPRAIGFLEAWRLPGVAPYAFCLFFSKLVAYTFLYWLPFYIRNNAVAGQFLSHKASGILSVVFDIGGVLGGISAGFLSDAVGARAVTSVLFLLLSIPALVVYRTYGSISMHHNIGLMFLSGYFVNGPYSLITTAVATDLGTQDAIKGNSRALATVSAIIDGTGSVGAALGPLLTGYISTRGWNSVFFMLIVSISLAIVFLIRLAKDEILSKLSTRH >Et_6A_046957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23110420:23114905:-1 gene:Et_6A_046957 transcript:Et_6A_046957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVLVSITTSVLSKLDALLEQEYSLSKELRQEIKFLSDALQSLEADAVHPETRELFFDIEDIIDEFMRHIGARPSLTPHGLIDKCKNLIANINTRRGISKEIYDLKRRAKEVSGRRRRYKTVEAAPNSSSVTVDTRLPVLDADAPSLVGLDGPRDELVELLELTEKEPEPAARLKVVSIVGLGGAGKTTLANQVYRRTQALFDSRSWVSVTRNPNIKNILTAILRQVDDPHSTGDMGERNLIRRIKQSLQNKRYFIVLDDVCSTGTWEIIESALPPNSLGSRVITTTRINSVAESCCSHWNDCIYNMKTLSAKDSRTLFHRRIFGSAGSCPPDLLDVSDKILKKCSGSPLAITTMSGLLANKSRTRLVWEDVYDSIGAAPESEEGMKNIFLLSYNDLPHHLKMCLLYLSIFPEDYLINRDRIVRTWIAEGFISGTHGRTLEEIGDSYLNELINRHLIQPVHGSYDGRPEAYLIHGIMLDLIKFKSTEENVVTLMDSAEPASMIQSKIRRLSLININEDLDIPASAVKSRIRSLSIFRSIAPKLSFKDFTFLRVLEMEGCLYLKNHKIKDIVELIHLRYLSIRDTPISELPDKIDRLQCLTTLDLQGTEVRELPLSVVQLHRLSHLLCDRMRFPEGIGKIVALSYLSQFDILQSSMTSVNELGNLSELRVLVIWWFPDGKSINTERYDHLAFSLYRLNKLMDFLHHLRHPLRQMQRIQLNSNCYLSRIPEWFRSLASVTFVCIDLKEVKNEDLQLFSELASLLHLSLTSRDVPKEKLVISSNGFPVLREFHFHSAREDLTVEPQAMKHLEKLLLSLHVLPEETYGFSVSINHLMCLQMIDIRIYGYGVYDGQLFEAADAAIRKAVDKHPNRPIVNIIILGNLTDCAKGEKEPKLESSQDK >Et_2B_021567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30588179:30601782:-1 gene:Et_2B_021567 transcript:Et_2B_021567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METCAPCSRPSLPTEAVRRLGQIRVQGSRPRWRSPRYRIKLEIDHDPWRSPRCTRRHTVRENADSPSRRVSRHQDLAVAEENRAAILEGPAVTRHLRYTQDPPRRTESSWRHSSRIPRLQSNRIKINGDLEQEDCDLAATAVDPAGVQPSSTSLPCLSSGRAPSFLQAADTAGFLHRPPSVYAQAPIAAGAGHDLVRGESPVSGVNVQTSTNDASQLSTSRIAAPSSFAPDTSASMAILWLRFHTHLLGTDPVLMGKTVVVNGEMIEYYDISGCYILRPWAMEIYEMMKEFFDAELKKMKVKPYYFPLFVTENVLQKAKDHIEGFAPESDLEAPIAIRPTSETVMYPYFSKWIRSHRDLPLKCNKWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADEEDLHVLELYRKIYEEYLAVPVPKGRKTEMEKPSFQTLVAAYKVQTSHCLGQNFVKMFDITFENEKGSREMMWQNSWALHNPLNMDTCENYSPGWQYSHWEMKGVPLRIEIGPKDLANKQVRMVRQDNGAKVDVPVTNLVDEVRSLLDGIQENLLDTARQKRDACIEVIKTCAEFITALNKKKMILASWCDEEEVEKDVKARTKGELGAAKTLCTPFDQPELPEACEEAVVVGAQLLIFWGVLDEIGLGYNFKFELKYYKFCVKSSEGERESGAEAEAEQIFNQMDGLKAEAEGAHLNIQRNYQRTDPNSLKQRNYLSPEAFSVKRSQSSVVD >Et_7A_052476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8385981:8394432:-1 gene:Et_7A_052476 transcript:Et_7A_052476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAISSSPSARIAIVTGGNKGIGLEVCRQLASNGIIVVLMARDEKRGSDAVDKLKEAGLHRCSEHRTVGRFLEDSFWEDRHPGKSRASQNLLIWPVNNAAVGGVEYAQDAVDSSVMNEEKFSGMDARQMLEWLFQNCREPYGAAKECVETNYYGTKHLIEALLPLLQASSEGRIVNVSSVSGQLRVLNEELKQELNDVDNLKEERLDDLLSNFLKDFEASEAEARGWPTLLSAYKVAKSTMNSYSRILAKRHPELRINCVHPGYVKTDMTMNSGVLTPEEGASNVTKVALLPAGGPTGMFFALGEQAPFVVAVVTGGNKGIGLEVCKQLAYSGLIVILTARDEERGSAVVEMLHESGLSDVQFHLLDINNAGVIGATAEIDTTKPLHEVLLGKSTMERLQWLLQQSSESYVEAEECLKTNYFGTKYVTKALLPLLLSSSDGRLINVSSNYGLLRYFSGEDLKQELNDIDNLTVERLDEMSEMFLKDYRDGQMKSRGWPADSEYLAYKVSKALINGYTRILAKRYPELRINSVHPGYCKTDINFDTGEYTSEEGASSIVVVALLPKGGPTGSFFYRDKEASFA >Et_4B_036813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11758188:11759798:1 gene:Et_4B_036813 transcript:Et_4B_036813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKRLHFSEGRPKSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKHKEEERRRQYEAKPGRLGAYIRKIENRRLGDEGTSRPTVGRQGDKGAVRGVWGPVARPSGDKGSNNPLNERQGARGPCGGPSRPTVGRLAPTPINGRISASDRPRTEEEKGKKRREEKRKKERRGEERGGGCYPGVLQLRIFK >Et_7B_053598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10136683:10138734:1 gene:Et_7B_053598 transcript:Et_7B_053598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQLHGSASAAAAYRRTRPFAVPTPCRLLQNPLPGSPKISISTSGVGMKPIDFRARISTKCANDNARIQELNLPSDQMKEFVKADENVVPQKRSAKIHDFCLGIPFGGLLFSMGLIGYIFSKSTISLVLGVAPGLTTLLLGALSLKFWRSGRSSVLFILAQAAISAFLAWKYSHAYFLTNRLLPWGFYASLSTAMACFYAYVLLAGGNPPRKKLAAAPPL >Et_6A_047726.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:11775564:11776774:-1 gene:Et_6A_047726 transcript:Et_6A_047726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQKKPEHLVRGAAFQRPPTDKPLFTVGQIKKAIPPHCFHRSLFKSSYYLIRDLVVAAGLLYFALFGIPALPRVLQYSVAWPIYWAVQGCVLFGVWVIAHECGHHAFSDFPLLNDTLGLVLHSSLLAPYFSWKYSHQRHHANTGSMERDETYVPVHKSELTLVFQYMCSNSIGRLALIVVRLAVGWLLYLSFNASGRPYPRSASHFDPYSPIFNDRERLNILITDGGILAVSIALYKLAATFGFWWLVRLYGVPLTIVNAWLVIVTYLHHTHPALPHYDSSKWDWLRGALSTVDRDYGILNNVFHHIGDTHVAHHLFHTIPHYHATEATKAIRPILGEYYKYDPTPVVKAIWREAKECIFVEQEDSKGVFWYNKL >Et_1A_005750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13434093:13442661:1 gene:Et_1A_005750 transcript:Et_1A_005750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALASRVESWVRDQTARLPVLPAPRWPWSPPRAPAWPWPGRQRDRMFREEFQRRRRQLRELCRAVRVDTLAELQELLCAMVLAECVYKRPVSEMMRYINKFKSDFGGTIVSLERVQPSLDHVPHRYLLAEAGDTLFATFIGTKDHKDIIADVNILQGNIFHEDTAQGLTTAADSGQNGAQKGEENLGKSYQEASKVLRKPKPAAHRGFMARAKGIPALELYNLAQRRNRKLVLCGHSLGGAVAALATLAILRVLASSPSTEHNRLPVKCITFSQPPVGNAARGWQEYFKSYCIPEDLVPRILSPAYFHHYNAQTPEASFVNKTDAKPEENVGTTTERPKESTGEQLVLGVGPVQKSLWRLSKLVPLEGVRKSLSVLQKQANVVGKASSQLDNYLQSKVDESDEEPRSLEIQEGSEGIALTPLSDKDEGHAEDNIRTEKINASEAGGSKRWSRVPSLPSYVPFGELYLLGDSSVNTLSDSEYSKMTSVQSVISELRERLQSHSMKSYRARFQKIYDSCMSANAPIFAGIEQLPQFSHLQELLGLTATDSVELGHIVEPPVIRTATSILPLGWNGLPGGKNADPLKVDIIGHGLHLCTLFQAQINGNWYSTVIETLPSSTSNSSNEEEQPALQKMRIHVGHSLKQPPNYISEDFPVLIGADSASDFGFDSFFEDKGCCKSLNGFLIYGTSDFVTISKKVYVRTRRVRLLGLEGAGKTSLLKAMLEQVKERNNAVLECIHVDLHGKGISNGLCYLDSTTVNLQELPLEVRRFKEELQLGLHDLSRRTDLVIVVHNLAHRIPQYHQSNSSQPRPALSLLLDEAKALGIPWILAITNKFSVSAHEQNTLISSAMDAYQASPEMTKIVNSSPFLMPSAKNTLQPIDSTSGHLGAKEPSSRSAFYPVNFSLSPFQRKDIVMHVEGVTALRQLVHQVVHNDEEPAFEDLARERLSLELAREKAVSLQAKQKPPKRDGSVTAAAVGASLGAGLGIVMAVIMGAASALRKP >Et_4A_034752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6025277:6028548:-1 gene:Et_4A_034752 transcript:Et_4A_034752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMDSVDFSSPRGHAQNQQDDGELKDQNSTSKIPSHATERPSSLKREVQILEKRLNDQFVMRRALEKALGYKPCAVHSSNESCIPKPTEELIKEIAVLELEVICLEQHLLTLYRKAFEQQICTPNSACDMESNQQPARSFSGVLSEASELDFSTPKKHQLFQSSRMVLARKSTPTTTSETSTSQPLEKISIGRSHSSLLHRTICSARVSPSANNLARALKPCHTLPLSFVEEGKCMDPGIVSLADILGTRVADHVPQTPNKISEDMIRSIAAIYIRLRDIPSVQQAFFPSPCSSFSSVSGLSSKYTADVWSPRCRKESFIEAWQDNALGTGESKELGLQYDSVVEVSALCKGDQRSADVKDMLRKYMSLVELLETVDLSGMKNEEKLAFWINVHNSMMMHAHIEYGIPQSNSKRILLTKVSYVISGQRVNAELIEYQILCCRAHSSGQWLRLLLYPKWKSRDKDELQGFAVDRPEPLVHFALSSESYSDPVVRLYTPTRLFQQLEAAKEEYVRANVGVRGRGQHHKLLLPKLLDSYARDAGLAAQEATAMAESHLPEPLREAVRRGQQPGRATRGRGGVEWRQHNLAFRYLLAKELVGAPPALRADP >Et_8A_056229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16959678:16960004:1 gene:Et_8A_056229 transcript:Et_8A_056229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRPKMLEIIWNSNIIGWDTPRTVATIKQTLNVPLIMDIVILMTLLIWTTRNDRVFNQVAPTAQGCKVKFMHFFSILLYRAKGKNFPKIEIG >Et_5A_041200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19368219:19373088:1 gene:Et_5A_041200 transcript:Et_5A_041200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGHSSRVPADDLSPSGSDGNFMGALLRINERLAGLFRDLAGDAEAPAACLVLDSNLRRMQPVAEELGMLTLVLRTGGAACLVAYMAFPALCDKGLLPPPTQDQSQWDMALNELPPLRLKDMVFSTTSSHANISKCLECLVECSRCSSGIILNTFLDLEEPELEKITNGLGIPIYTIGPLHKISSVADSSLILQDQACLEWLDKQEDESVLYVSFGSLASMEEKELLEIAWGLANSQRPFLCLIRHNLVQSSQQVSLPDGFEEATHGRGISVSWAPQQKVLGHRGVGGFWTHNGWNSTLENICEGVLMICRPQFADQMINMRYVQVVWKVGFELQGELERKMIERAVQRLWSEEEGREMRQRAMNLKKKVVKCMEEGGSSKASIDLLHKKIKGSIVYSAVNLASSARKAPACSSLTQRENTFAPSFPFHGRHAAQMGRPIRGRRFMTLAGFPLPKSASSNPRFRTHDKPRMLYMLRGGLLSAS >Et_1B_012286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30538452:30540853:1 gene:Et_1B_012286 transcript:Et_1B_012286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSSIFGDELIPSSSSPPSPSQHHHHHPSKRSRCSPTRAFDDALRRREALVHHLFTLFPHMDPQLSAEGAVSNGHLDGVTENPSATDNCHTSHHSTEWVELFVREMMSSSDINDARARASRALEAFEKSVMEQTGPEAVQNLHKENMMLKEQLATILRENVVLKRGVAIQHGRQKEFDTRTQEVHSLKQLVLQYQEQLKTLEINNYALRVHLKQAQQNSSMPGHFPPDVF >Et_6A_045937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11090066:11090884:1 gene:Et_6A_045937 transcript:Et_6A_045937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLHAVGTAFFALPINAKEWQDYFFHLLHPDCLADHSLWPAFPPDYVVVTREFGRHVRDLTSILLAILSLGLGLDSHSRQEDQDANDLILQMKINYYPRCPQPELALGVEAHTDVSALSFILHNGVPGLQVRHGGQWVTAHNEPGSMVVHVGDALEIFSNGCYTRVLHRGLVNPEAVLLRPLPELVTDDQPSRFTPRTFQKHLDRKLFQKDTPTEANGKAEIGKVMKVAAAIT >Et_9B_063965.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:6988332:6988508:-1 gene:Et_9B_063965 transcript:Et_9B_063965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVERHLFQGPKFMEVVLIAAWAIWKVRNAIEGVGPTFGTWWHIFREDITLHSIRFR >Et_9A_061722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15819605:15822035:1 gene:Et_9A_061722 transcript:Et_9A_061722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFTARPHTGAWKRTGPRSRWTPTLAHASAAAPAQPSTAASPPNCSLSRVQTSPTSSDQSPLSSACVGAGMSGGGCKSNDYGAAAYWDARYSSGSSPAGGDGEFFDWYQKYSALRPLLRARVPASSRVLMLGCGNSLLSEDMAKDGYEDIVNIDISSVVIEQMREKHAEIKQLTYMQMDVRDMSVFGDESFDCVLDKGTLDAMMCADDSTHGASKMLAEVTRLLRPCGIYLLITYGAPKERIPLLNKSGCCWNTAFFIMPTPGYQSKMNKGAPQPAMEEVALTEDGQLPPDYVLKDPESHFIYVCQKSNPANGVSSAATDREETMNEK >Et_3B_028188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11583768:11584102:-1 gene:Et_3B_028188 transcript:Et_3B_028188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKAAGTRVFHFKVDCYSWARRVAGLGECFQSESFLVGGFKWAVRYYPNGVKSSPAPLFSLVLQSALPDTYVTVWLGCELIGDNEQKTGKPHIYVRTRGDYPV >Et_3A_025956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34265382:34267240:1 gene:Et_3A_025956 transcript:Et_3A_025956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDLFARACALYNNWWPMLAALMYVLVPMPCLFFGGGSTQFLTSRDGGGWINAAKFLTGASAMGSLAIPAILRHAGLIETGAMFIEFTSFFILVCTVLCFHRATLDEDW >Et_9A_062019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18919206:18926256:1 gene:Et_9A_062019 transcript:Et_9A_062019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRRLLGLSAAVSGHLRRGISTAASSRPPWAMIHMTPEMETPAPRVSLQLAEAPRISELVVPARLVVHESGSHDISLHTAGFVKASSGDGLLLLNFLECRATFSMVLSRSGTLERRLTGVNVDPEVSRFVCNPLTGQLFRLPDIDGRKKTLTCAGVGLLTQSERPNRPPDRFAVAVLSAPDDGAEDWRFFLRREVGDADGLSVPAPARAPDGHCREVIAFDGRLWYVDVSWGAVSVDPFSDRPDLRFIELPRGSVTEPPEGPMEPDLDGYRRIGVSEGKLRYAEVSQKEPFVLSYFVLGDDGSTWSLEHRAELGRILPHEDLVSAEHTPRIGVLDPMNASVIHITLDNQVFSVDIDKGTVLGSSPTCLSLKSGYLRSCVLPPWLESSRMPASGTLASSKVNVKNKTLSDILMPLRRLLGPSAAVSGRLRRGLSTAASRQPWAMIYHAILDKSPGQRASFGLAEPPAASHLFVPDHLVDPGPRPDPDGDIMPLLGGGVSGASGDGLLLLRFQDGRATAPIVGRHGNGWARRVIGFDMDPDTTRFVCNPVSGQLFRLPDIDGTRKTSSLKCFGLLTRSSDRQDGPPDRYAVAQLVEDKEGKKGSFLMRRFLSQTGEWEKLVGFPSPLPLAGRSHSSYGHDVLAFAGRLWWVDVTWGAITADPFSDRPELRFVYLPRGSVTEPEPVDRLPVIGRYRRMGVSEGRLRYAEVSQKEPFVLSSFALDDDGCCWTLEHRVALSQILTDRCYPWQDEEDTPRIGVIDPLNANIMYLNIGKHVLSVDMDRGKVLRSSTLGESAGPLTYTTGFLTPCVLLTWLGSSWIPSSGYHLSLVSSKSTDRGANELGSRWMRSVMCDEKLTTVPIWHWNSREH >Et_9B_064513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1644679:1647840:-1 gene:Et_9B_064513 transcript:Et_9B_064513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEGGWPEPVVRVQSLSESGAATIPARYVKPEPDRPNKAEVIVGGGRIDEEEGIPVVDLSPAVDPAATARAVSAACREWGFFQAVNHGVPGDLLWRARTAWRGFFAQPMETKQRYGNSPATYEGYGSRLGVEKGAVLDWGDYFFLHVRPPHLRDPDKWPHMPPDLRETTEEYGRAVSSLCVRLLAAMSAGLGVAESRMQEAFGGEGGAGVCVRVNYYPRCPQPELTLGLSSHSDPGGMTVLLADDRVRGLQVRRRGAWVTVHPVPDALIVNVGDQIQVLTNATYRSVEHRVMVNAEEERLSVALFYNPKSDLPLAPMPELVSPPERPPLYKPMTFDEYRLYIRRKGPQGKSQVDSLKSAAGR >Et_2A_016611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26657149:26658197:1 gene:Et_2A_016611 transcript:Et_2A_016611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHTLDATPRGLLRIHGAGPAVAIAMDGEATNPIFRHAFVLPTKYGNKVSLQGLGHNNLKNHVMHLARLNSPIIADNYRFNHVPTQQFFEVAFCNLLHGHLLILCNQSFSDPSAQEPILVDHITPRENAKIHHATLNLIGKVVVVECDDVPYPLKRGRSFGLLRVKVIHGAKCSTEEHPLGIFLVKMADNRNVNTPASSSAFVCQNHRLSGYDNSAAIVVHWNGGEDCIECKNRPYIVDYNEVPGLIK >Et_3B_028194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11557817:11565099:-1 gene:Et_3B_028194 transcript:Et_3B_028194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQDKSVLQCSICLEKVVIGGGFQFHREEEDEQCSNIANGVLVDGQNINAEPISVSEPGASLLAAYLSYLHPMTPEVTSSFLVIERAVKGSAHEDHWIPIAGPSDGQPLQIVHPVEFRHNLWEQMTHSFSSTNNGVAEKPRLPSVGEMRIGGLDNGSRSKEAAESSGGNRFDSWDQGHFGPHSPVNNEGVWPSSSQRRPPLYAARRLFRLADSGSSPPPDYSSADHKAESRPRILSVPPADGPAAVSLPEYHPFSLFLPVSSGSRSEDAAESGGGNRFDSWDQGHFGPHSPVNNEGVWPSSSQRRPPLYAARRLFRLADCGSSPPPDYTSADDEAESRPRILSVPPADGPAAVSLPEYHPFYLFLPVSSGSRSKEAAESGGGNRFDSWDQRHFGPYSPVNNEGQRPPLYAARRLFRLADSGSSPPPDYSSADDESFYQMADLGTNSKS >Et_9A_062470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23285254:23287587:-1 gene:Et_9A_062470 transcript:Et_9A_062470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSGKWIKSLVGLKGPEKAAGSKGRKWSRLWRSSSSASSRAGDGGALASQASSASADSFSSVVAAVVRAPPRDFRLIRQEWAAVRIQTAFRAFLVTSSFLLPLPLRIRCDVVLNLSCVAGGGARRALKALRGIVRLQAIVRGRFVRRQLAVTVKCMNALLRVQERARERRARSSADGRGSQDALADRNGRGNSAKDAEEQWCDHQGSVDDVRSKLHMRQEGAAKRERAIAYARSHQPRSSKHSGRPSSPARCVRSHESLKCSQNMNYLEGWMSTKPWETRLMEPNHTDSQFAKVCEELNLAASKHSDASSVKIRRNNVTTRVAAKPPSVLSASSSDYICDESSPSTSSVTPVSAASVLASEARSDSGHVGGPNYMSLTKSAKARLSGCSSHRGSFQIQRQQRSGDMPRMALSSIDTQSNAGSEISVTSKRLNSMSLKGRSMTISLDKENVC >Et_7A_052693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12791603:12794206:-1 gene:Et_7A_052693 transcript:Et_7A_052693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQLRELEVSKGMGSSGCSEIVELVDEPKDVRQGGVTHLRVRVKPVGEEHGARSCSVEEDVDQLIRSINVRTSARASGQTSTDRRLIALGKSPVSSSEIVESVSLKQALRKMCISQASEMAAMKRLSKPAGVPNSSDSGPIKKLYTSAAVQTAEEHDKKNKVDKVPVLLEKAAIVSVDKSVETNKKHNKSSAKKQQRSASPTTAKVHKTRIQDVISNKTSEAVEDPSVGITVTKQRKGKSAKASSPRAVPVGGSRLVRPMFRNKNSTKKKVKPEPAAVAASNKHCDVKGSNAHTKQHEALQDEPRTPAPINKKAAVTSTGIEGTDFGSKGSKFGELSRSREKGECSQSSKSSIGDYSTSTSISEDSYGSFSANGSKPHMSKDVRWGAIRRMGVQQGSLGLKNFKLLKQLGCGDIGTVYLAELVGSDCMFALKVMDIEYLVSRKKMLRAQTEREILQMLDHPFLPTLYSHFTTDNLSCLVMEFCPGGDLHVLRQKQPTRTFSEAAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVSPVLVRSSSVGRDEPSRPSGPCAQSCIDPLCIQPSWSNSSCFTPRLVSSTATRTRKPRADQQKPSLPQLVVEPTDARSNSFVGTHEYLAPEIIRGDGHGSSVDWWTLGIFLYELLYGKTPFRGPGNEETLSNVISQGLKFPDSPAVSFHARDLIRGLLVKEPEYRLGSSRGAAEIKRHPFFEGLNWALIRWTAPPETPKTFDAASLTMARKKKEGKCLEFRLNGDDIEFELF >Et_9A_061864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17221575:17225016:-1 gene:Et_9A_061864 transcript:Et_9A_061864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPRLGRWVEGMSVDNIKGLLLALSSSLFIGASFIVKKKGLKKAGASGVRAGVGGYSYLLEPLWWAGMITMIVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIMLREKLHIFGILGCILCVVGSTTIVLHAPPERQIESVAEVWDLATEPAFLLYAAIVLAAAFVLIFRFVPQYGQTHIMVYIGICSLVGSLSVMSVKALGIALKLTFSGINQLVYIQTWVFLLVVISCIVTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMADGIPSNLPIRLPKHADEDGYSVEVVPLRSAADGIPLRSPRATESLRST >Et_2A_015753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17553144:17556752:-1 gene:Et_2A_015753 transcript:Et_2A_015753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAASFLSSVLGGGGGSPEPVATVKSILIYPVKWDRQWLVVSSKGRAYSQRAEPKLALVEVEMPPEAFTENWQPTADAHLVIRAPGMDPLKIPLAAERSTIDDISVWEWSGSAYDEGAEAAEWFSTYCGKPSRLVFFKEGSEVRPTDPNYAQGYKIMFADCFPFLIASQGSLDALNEILKEPVPMNRFRPNILVDGCHPYSEDLWKTVQINNLTFQGVKLCNRCKVPTINQDNGIPGSEPTETLLTFRSDEVLRPSHKNDRQVYFGQNLVCKESLSGKGKEKIIKVGDPVYVLHSFPSSNEAPA >Et_1B_012443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32012093:32016426:1 gene:Et_1B_012443 transcript:Et_1B_012443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIRVLAFAIVSSFFSVVAGQLHPLPAASGGLPGDLFSLGIASRLRTDHNSTTKASTDFGQMVTAAPAAVLHPSTPADIAALIRSSASSPAPFPVAPRGQGHSWRGQALASGGVVVDMRALGRGHHRRAARINVSAGGAEPYVDAGGEQLWVDILRATLKHGLAPRAWTDYLRLTVGGTLSNAGIGGQAFRHGPQITNVHELDVVTGAGEMVTCSREKNSDLFFAALGGLGQFGVITRARIRLERAPKRARWVRLAYSDVVAFTKDQELLISNQASEAGFDYVEGQVQLNRSFAEGPESTPFFSSADLSRLAGLASRTGAGAIYYIEGAMYYNDDTAASLDQKMEALLGQLGFEPGFVFTKDVTYVQFLDRVREEEKVLRSAGIWEVPHPWLNLFVPRSRILDFDAGVFKGLLRDANPAGVILMYPMNKDRWDDRMTAVTPAAGDEDDDDVFYAVGLLWSALSADDVERLERENEAVLGFCDKAGIGCKQYLPHFASRDGWRQHFGAKWERLVELKAKYDPHAILSPGQRIFTSRGEKAGIASAKSKTSWCWAGHLKPNVWCCSLSYASLLRL >Et_1B_013522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9203713:9206043:1 gene:Et_1B_013522 transcript:Et_1B_013522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMQSDCPYPGCFFCVMKEANPSKRRASVLKFFRELPSQDEDGQVLPISGLWNTAMAHPNDPEFINLGIFECMASLIWKGLKNRRWLAHDQNIYIPYYAAHIIGSYTMNMEEFAERAVRAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYPSTFPAVADHGEVLELAIQLASSSLEIVYSHFYQFVDRRLGYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLHDICKADFLIKLPGMWGGLVNENSPAGVGLLRTICQSKIGRGHVANISGTIDALCNIARSSDDWQYMAVDCLIWLLQDANTCHKVIDKVAPTLIDLANISTLGDYKKLGDTIVTVLQECVQQNGNSRGAISTRTKEEIDELLRSKQSYKLEKNMPKEDLHIKQAAALVVKLEGNSMFSSGNIEGAAAKYSEALALCPMKSKKERVVLYSNRAQCYLLLQQPLLAISDATRALCLHSPVNRHAKSLWRRAQAYDMLGLGKESLLDAILFINECSQSNDPDLSLKQNKVPDYAERLVKKQMRAAWLFREAALKHGGVHCEGDASDAFGQEADDSEWETASESDAENDARGDADDENEWKNDGRQEDFCEKS >Et_7A_052127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4964367:4966015:-1 gene:Et_7A_052127 transcript:Et_7A_052127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVLKYDKEGELCSAHRQACASMSAMSKRPRSPGDSSSGRTTTKRPAAQQVKQKHIYLVVDDWERGYSIYKINEDDFDSDDSSLDDARAAEPPFVRMEAKHPYSWSFVAHGSKILAMQPHKSSPGLPSFDTETLAVSSFPPPLSRGDIGGKPVYVSAGDRLLAFYYPFVEVLAPEPEPEPSTTTTPAARDDEKKEASWSWTVVEKPQFVSAKVSSYAVHTDGRTVFMSVKNWRPGGRATVSDSLSKTWNSTFTFDMETLEFAHAGEWIMPFKGRAHYDTDLDAWVGLCLYPEGAGRVCSCDVPPRSSGCGSAPAWKLGRDVFFDRKDSPSEVHLGATLVYDGDGRFCVLERRMPTEEDPSKRIRVVKMTSFALKYDKDGDLRRTRHCTYASVSYEVAHKRIDRHENPVAFWM >Et_10A_001661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6542061:6545474:-1 gene:Et_10A_001661 transcript:Et_10A_001661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYHYPRRKLGEGVKLAVDEAEATLRIKKDIEKVPCSCREFRSFESNQHIIKYSIMTFKDVFIDLLKMVQEHVSNDNSIMAMINRGSKGAEFHSFQRSQHIIRYSTMTFEDVFSDLLMMVKQHLSNDNLMMSMINSGSKAAC >Et_5A_042198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7343914:7347774:1 gene:Et_5A_042198 transcript:Et_5A_042198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLGVGERLLAVRTNRRAAKFSQPSHGRGYYTAVRDNGLSSRRKVPSVFSRMFSHYKVIVRKNRPEDHKCRAMVSRGYRTHSLAEPNSSVTQRAQLAWKRLSHTYTYRGPRFPFLSRAASSVGLSFRRFHIIPGVMALVVGKLAIERPVLADSQYMPTMDGIITKAQDTRHFLSSMVWSIWEGITLLIRAVHLAFLFFPATALAPFADNFSVASRRRWLSLVRRTLEKAGPAFIKWGQWAATRPDLFPSDLCVELSKLHSAAPEHSFAYSKAAIEKAFGRELSEIFDTFEENPVASGSIAQIHRATLKNNRTGKHVAVKVRHPGVGESIKKDFVLINLVAKASNVIPGLSWLRLDESVRQFAVFMMSQVDLSREAAHLSRFIYNFRRWRHVSFPKPLYPLVHPAVLVETFENGDSVSHFMDEIKGNSRMGRDLAHIGTYAFLKMLLEDNFIHADMHPGNILVRVDESKRSRRRFFRPKPHLVFLDVGMTAELTRGDRDNLQQFFKAVATRDGRTAADCTLKLSKNQSCPDPVAFTEELDKTFTFWGTPEGDVFHPVECMHQLLDTVRRHKVNIDGNICTVMVTILVLEGWQRKLDPGFDIMHTLKTLLLDKDIKQPVDFFS >Et_4B_038771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4859290:4860971:1 gene:Et_4B_038771 transcript:Et_4B_038771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SGSSSFRRPPRASAFLTLLIRRLVTRSFPCTPRETAAREARDKSRTARLTNASSTGHAVRPRSTPRRPSISSLYTHPGRHGRRQSSAHDTNTDTLARAAASSVHDENSRHQGQRAGASARGWGYEIMRRSLRRWLPCCCFGDGGGGGGAGSGSVADGLVWDVALKAHALGDYSVAVAQANEELEDQAQVLAAPSATLVGVYDGHGGTEAARFVNARLFSLVQEFATENGGLSADVLTKAFGATEEEFLGVVQDSWQSRPRIMSVGSCCLVGAVEGGTLYVANLGDSRAVLGRRATNPGKGRKNRVVAERLSRDHNVADEDVRREVAEMHPDDSRIVLNSHGVWRIKGIIQVSRSIGDAYLKKPDLCSGKGQGGNPMHVYPFPLRRPVMSAVPSIKTRKLRPGDRFVIFASDGLWEQLTDEAAVGIVAASPRKGVAMRLVRAAQLEVARKKEIRYETIQRAEKGHRRRFHDDITVVVLFLDACNGAAPSSPDGIDSTYAPVDVYSYSPGCDQEDPARPVLH >Et_5A_042490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15194897:15195991:1 gene:Et_5A_042490 transcript:Et_5A_042490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALQAERGVIKQRRVVVTGMGVVAPLIRTCFTTTFWMRIAGEIKSFSTDGWVVPKLAKRMDKFMLYLITAGKKALENGGLTEEIMNELDKTRCGVLVGSAMGGMKVFNDAIEALRVSYKKMNPFCVLFASTNMGSAILAMDLDVMLCGGSDAPLIPIVAV >Et_1A_005539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11231404:11233746:1 gene:Et_1A_005539 transcript:Et_1A_005539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKETEYYDVLGVSPAASDDEIRKAYYIKARQVHPDKNPNDPQAAEKFQALGEAYQVLSDPLQRKAYDGYGKSSISRDNILDGTVVFTLLFGSELFEDYIGSLAMATMASSEMTSDNDNPEKLQDRLKNVQREREEKLARFLKEFLSQYVRGDKEGFANRAESEAKRLSSTSSGLDILRTIGYVYSRQAAKELGKKAMYLGVPFVAEWVRNKGHLWKSQITAAKGALQLLQLQEEACRQSAKDGCSTEHDVDLQMRMNKDLMMNSLWKLNVVDIEMTLLHVCELVLHENNVKKEDLKARATALKILGKIFQLMTLMMISNPENEL >Et_6A_046458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15478107:15479281:1 gene:Et_6A_046458 transcript:Et_6A_046458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEDVGIDDINLTQDDEEPAKRPGARTFNYSMEEDEALVLAWESVSLDPITGNDQEGTTYWDRIADQYHVLVNNISIRTRKSLHQRWCSIQACCNRWPGCMDSVTGSPPSGTTIDHYGRENEEKDNYTSSLLQHLLEHRTQDLQNWVKVVLYNWFYNATFTASVGVALSMHNYVACGAVASTQRASLAASQRAEVHWARCALFQWSA >Et_8B_058763.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20987966:20988577:-1 gene:Et_8B_058763 transcript:Et_8B_058763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGKSAPAYAAGTSATAPRKAAGDGLPLLLRSGADGFRRCLAVIDFVLRVAAFGPTLAAAISTGTSDERLSVFTQFFQFHARFDDFPAFTFFMAANAIAAGYLVLSLPFSAVGVLRPKAAGVRLLLLVCDVVMMLLLTAGGAAAAAIVYVAHWGNVRANWVPICMQFHGFCQRTSGAVVASFLAVVVFVVLILMAACAIRRR >Et_3B_028786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19101736:19105004:1 gene:Et_3B_028786 transcript:Et_3B_028786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGDQAAAADAEPLELVLFQVAECYVYLIPPRKTAASYRADEWNVNKWAWEGSLKVVSKGEDCIIKLEDKNTGELYARAFLREGEPHPVEAVIDSSRYFVLRVEENIDGRQRHAFIGLGFRERPEAYDFQAALHDHMKYLNKKKAAEEMVQHYEKTSSVDYSLKEGETLVLQLKNKETGPKTKSAFFEQGLNKVSVSDKSNFKESPVSLKLPPPPPSPASPTDSGVAASPFKAEFPPQEQPAADAASTASAATSKAELAPEQAAAAEVDQETVDDDFGDFQAAG >Et_6B_049560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6598591:6600039:1 gene:Et_6B_049560 transcript:Et_6B_049560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAAQVKDMFFVLLERVTGYGRADNQHAAAGAHEPAKWASVEASQTEQLVLVKHTEIRARGKDNDFPDDVPNVSRGSLPQNNILRVSQPHRLAWT >Et_5A_041746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26642509:26643596:1 gene:Et_5A_041746 transcript:Et_5A_041746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCNPGSKKMKATRTPSAAQRGVANRISRQDHADDDRDAQDRISSLPCNILGCILTLMRLTEAMQMSTLPIDKKRLLLENERLNNEWLCTSAVLNRLYHKAPPFGRLVSTSLLLVPSLSGGEDERAILVCHAQSATEQFEMLAN >Et_5A_042913.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6793102:6794100:1 gene:Et_5A_042913 transcript:Et_5A_042913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLPFSSQATLVSSRRAPQKQHTALDYHPPRASRRATRTSPELRACSTCGAKRSASQASILVPWGAKRARSDPRLHVRRDWANLGYGPAGLIAELLLANDVADYVRFRAVCRPWRLCCPTDPRDCGILEDRRFHPRHWIMFRKLKGGSTTDPCRRTFINVTTGSCVHVDLPELQGHAVFGPTTEGLLVLLDRATHVVRQLNPLTRQAADLPPATMLLRERHPDLLERSPDVDLTEYMEVSGAGLADDSTVAVYFYAIQTVAVAKPGDARWTVVRRGTYLFQAMSFAGRFYCATADAVKVVELGGGGAADHHPPRLARVAKLTAPPPPPCPG >Et_2B_021166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27017939:27018245:-1 gene:Et_2B_021166 transcript:Et_2B_021166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGSRRWAYVRVMAGTIFGGALGFYVMHRVETSYKARLISRRPAGILSSRSGSLRTSLAGEDGGEAAQAHMLSKAKEAQQLNDEAQQDDKAQLLPDS >Et_5A_041854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3541798:3544807:1 gene:Et_5A_041854 transcript:Et_5A_041854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRSVVAVDYWDFNHDDGHCVLFGLKKRKAAYGFLRQRDAGNSAARLSSKKRAPCMREHGQEASNSGAVVCCCGASPVTTRLRSGSHGHNNKKKRKQLQTVELKVRMDCEGCELKVKSALSSLKGVQSVEITRKQQKVTVVGYVEASKVLKKAQSTGKKAEIWPYVPYNLVSQPYVAGTYDKRAPPGYVRTAEPGYVPSQAMSRQDDQIANMFSDEDPNSCSVICHHKDIGL >Et_1A_004910.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:1666350:1666538:1 gene:Et_1A_004910 transcript:Et_1A_004910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYENWCKIYNMERDHEEMTRRFPVFKEAANIVHALYGRLGFGADGKHMTEGYSLIPLKFPKG >Et_5B_043250.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20095466:20096038:1 gene:Et_5B_043250 transcript:Et_5B_043250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVSSSGAAAAAEPRRATALVLLPTGEMREYPRPATAARVLEEAAEGGAAGNGKTKGWFLCDADGMGYEGPVSAVRGDEELRAGQIYFVLPAEAGRRGLRREEVAALAVRASAALARVAAASGTGRRRRGAVAPLLFSPPPEEEDETSVAASKTAPAAPPSAGKRCARRTHRRFASDLTAIPECEISV >Et_4A_032332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30345138:30346055:-1 gene:Et_4A_032332 transcript:Et_4A_032332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTPSSPLASRATPPLSPTAAGAPSRLAVAPASPSTPQCAIPASPHTPGPSTPPPATPRTPRPEITLRQPPSQQKHAPAAVRKPSSRALRAIRALFRSLPIIAPAACRPGSALPRRYTRPHDGHGGDGARVTGTFYGHRRARITLAVQERPGSLPSLVLELGVPTGKLMQEISTGGHVRIALECEKKAKKSPASPAMWTAYVNGRRVGYAVRREATEGDLAVMQLLSTVSVGAGVLPDGEVTYMRAGFDRVIGSKDSESFYMVSPEGDAGGGTELSIFFVRV >Et_5B_044165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20961511:20964867:-1 gene:Et_5B_044165 transcript:Et_5B_044165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQFWFFMSYLAFRLGINYFGDGIICTAPDARYAEKVMAIIESPDEMQEDAANLQRVVGKDDFLVEEVGIDSDVLAINEMQDPVDLQTVIDKDDSVTKEIGIVSDVQGIKKHTEIIEPMKGMMFDSVDDAMAYYKRYAQKNGFYVKLARSKSENGKLRYFTLSCSRWGTPKNRPMPKKAATSDDLFNLVMDGLQDSKVKVEAQLALHDNQEAPAEHQDVEM >Et_6A_046589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17934380:17937065:-1 gene:Et_6A_046589 transcript:Et_6A_046589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAKVTVEEVRQAQRASGPATVLAIGTATPANCVYQADYPDYYFKITKSEHLTDLKEKFKRMCDKSMIRKRYMHLDEQILTENPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPRSRITHLLFCTTSGVDMPGADYQLTKLLGLRPSVNRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEITAVTFRGPSESHLDSLVGQALFGDGAAAVIVGADPDEAVERPLFQLVSASQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALEAAFEPLGITDWNDIFWVAHPGGPAILDQVEAKVGLNKERMRATRHVLSEYGNMSSACVLFILDEMRKRSAEDGHATTGEGFDWGVLFGFGPGLTVETVVLHSVPIAAPTVAA >Et_7B_054896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5373918:5374253:1 gene:Et_7B_054896 transcript:Et_7B_054896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKYSAIAMAMAISILAAAVAGVAASSAPDPRSYLTSWGGPGCTTASGWISSVGTGHEFNFRGETATLYTLSGCAGTPYQLFENTRACGDFGWRSIHIDC >Et_6A_047283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3233666:3237744:-1 gene:Et_6A_047283 transcript:Et_6A_047283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYYHGGAGTDIQASTDSLQTLYLLNPSYCGYGADAPAPAGANMMLLNSAVSTMTPASFGHHHHNQQQSPSAAAAQHFVGIPLQAQPSGYNLWTPATTGDMSPPQQQQAPGTVLSLSSREAPPVTVAAVAGDEGKYLGTSQGQMVMNSKYLKAAQELLDEVVSVSKGVEDAKAAAAKSAAAVKKKEDSEGVSGGGTEDGGGGGGAKSGGAPEMSTAERQELQMKKSKLINMLDEVEQRYRQYHGQMLAVSSSFEAAAGAGSARTYTALALRTISRQFRCLRDAIAAQVRAASRALGEDADAAGAGGPGVGSRLRFIDHQLRQQRALQQLGMMQGGAWRPQRGLPERSVSILRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKDRDAAAGGNVDEGKSAGAGNDNSAGGDGVVHGGSTLLELAGDQQQAAHAGFYDDDEEDGDEQRRLKKARGGDEPSTFQHHHGHHVHDMAALHAQAAAGGRQQHEEVSHRELLMKFMESGGAGAARTTEHHHQQDEGGYSSLFAAHHQAQAAYGQHFTSEPFAAFAGNNGGVSLTLGLPHGAGAGGGAAADQSTASFLMGGSTVTSDSGGGYDMTNMQSTKSFAAQLMRDFVA >Et_3B_029300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23881506:23884671:1 gene:Et_3B_029300 transcript:Et_3B_029300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVGARRALLAARYSPRGAIAAAAPVSPYRRVDSSASLQGERGYLQSLLPYRSAGSFASEQADEDDHRGWGANNAGSYRDPQSTHFNHKLQRDLPSVGSSTGVDRNRGANVAGSANRHYGGDSEQPYGNVRSYGLPNSHQPYTNARMNNGTPGYDARQPYGNSSAYNQPNLSADHPNARQPYSGTESNNGHSGYGKGQTNHHPNGYDAYSSGYYSQSNHKIYNREEATTGQYGSGPSRQSFPNSSGSDQQVFQQQKYVAGFQQDNNNHFGYNASQAYQSPYATSEADTHSNRQGYSMNQNTGVQHHPHGIYQEKHSHSQTAPSFGNHLNSTPHHDGIYNQPAPGNPSIDGSPYEVSNQVSHKYEVTVEELARLCEDGKVQEALEALDELQAKGTKLHPPQYFRLMQACGDATALAEARTLHSKISESPLAVDTDVQNKILEMYARCGSMEDAKKLFSSMDPPNLASWNTMISGFVHNGFGDEATDFFDQFKQKGNKPDSGMFKNIFLACGILGSVDEGLLHFESMQKDYGITPTMEHYASIVSMLGQSGYIAEAHEFVERLPVEPSIDVWESLMNMCRLNGFLELGDQLRYLAAHMKECGYIADTRFVLHDVDQETKEDALLAHSERLAVSYGLITSAARAPIRVIKNLRSCGDCHTALKIISKLVGRLIIARDAKRFHHFENGVCSCNDYCLYLALESGF >Et_9A_063462.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:3045985:3046842:-1 gene:Et_9A_063462 transcript:Et_9A_063462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQVLEVTLISAKDLKRVTLFSKMRVYAVASISGGDPRLPTHRTYADREGGRNPMWQAPLRFTLPPPSAASDEGLAAQLHLHVLLRTERAFGDRDVGEVFVPVRDLATAAPERGEQQRHLSYLVRRPVSGRKRGVIHISYRLADLPPPEPCVHRGGQYAHEAAAKHKGGGSGGAAVTAYPVAGRRSGGGPFPPYQHAAGGYVPPYGGGAYPRHQHYSGYAGAYGYGGAPYGYGQGGAAAAGRPGGGMGSGLGLGLLGGAVGGLMLGDMIADAEVDGGFMDGVGF >Et_2B_019691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12456857:12457235:1 gene:Et_2B_019691 transcript:Et_2B_019691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWVCCWRWLQHIANPLTVEAMAARNGLFCGDGLHLEVDNLSFANSLCSNVEDRSLISGLWHQIQELSRSFFFLHSFCVKLILQHIVVRRSLALRVGYGRVVGYTLDWLIGIISKDCNSAVS >Et_2A_014931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22127299:22128160:1 gene:Et_2A_014931 transcript:Et_2A_014931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYLPPQLAQQRAHILGRVKGAAGSEHFSLAASPTRTLFASHVNPTDALPSVRPPPGCAGRPAQEDIGGAARRLRHRPPARQAVRPWKVHPPAEGAAAEVQARARAAERALSRHDDEPHRRGGRGGAGEGAGKGRRRGRRDDGAGGEAGGGRAGDGNRFGASVQLALLHPLSTRRVSGCLVECT >Et_1A_004781.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:40513700:40514209:1 gene:Et_1A_004781 transcript:Et_1A_004781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVDALSELCSARSVGRRRKELQTVEMKVRMDCEGCERKIRKALEGMDGVSGVEVAPKQHKVTVTGYFDADADKLVMRRLARRTGKRVEAWPYVPYDVVAHPYAPGAYDKKAPAGYVRNVEVADPTLARASSTEVAY >Et_5B_045197.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:11642216:11643361:-1 gene:Et_5B_045197 transcript:Et_5B_045197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAASRLRSAAPAVKALAVAVLLAGAGAIGALHQNRASAPIETWTCSIHHRKALCVEPASTYRRRRLRPSLPMPRGVVQHNTNLEMEASLAGNPERRKQEEESKATKKKSLLAVAVGIKNKETVNRLVSKFPGDNFMIMLFHYDSTLEQWGDLEWSSRAVHVAANGQTKWWFAKRFLHPDVVADYEYIFLWDEDIEVETFDPLRYLDIVKREGFEVSQPALDRRSEMHHAIMARALMPTSDTHRRAQGVRCDKDSTGPPCVGWVEVMVPVFSNDAWRCVWHMIQSDLIHGWGLDHKVGYCAKGDRVQNVGVVDSEYVLHRGIPMLSDGATEGGSSTFRAAVRWRSFKEMQIFNKRWQEASVEDESWTDPYAAAPLSESTI >Et_3B_027890.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25065880:25065984:-1 gene:Et_3B_027890 transcript:Et_3B_027890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITPCIILFLFYDKVLSNDVLLSNTCVANVHFY >Et_10A_001272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22813819:22817741:-1 gene:Et_10A_001272 transcript:Et_10A_001272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALVVAAPAANAVTCGQVVSMLSPCIRYAMGKDATTSPACCKGVRDLNTAARSTADRQATCNCLKQQSSGIGGLKPNLVAGIPAKCGVSVPYAISPSTDCSRYIINIIILHPCTLIGFDTTVLLQGALITCATMARLAVVAIVAVVAVLVAAETGSAAISCGDVRSAITPCLMYAMGRGGSSPSAQCCSGVRSLSTRASSTADRQAACSCLKSLAGAARGVSMGNAASIPGKCGVSIGMPISANVDCSK >Et_2A_017467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34695341:34701472:1 gene:Et_2A_017467 transcript:Et_2A_017467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYGKAGGASSRADHDDFGVVAPPSPLPANGLPQTPPRQAATPASGTPRRRKSGSTTPVHQTPGVAWPSPYPAGGASPLPPGVSPSPARSTPRRFFKRPFPPPSPAKHIKATLAKRLGGGKPKEGTIPEEGGVGVAGGVPGADGAEAERPLDKTFGFGKNFGAKYELGKEVGRGHFGHTCSAVVKKGEYKGQTVAVKIISKAKMTTAISIEDVRREVKILKALSGHNNLVKFYDACEDALNVYIVMELCEGGELLDRILARGGRYTEEDAKAIVVQILSVVAFCHLQGVVHRDLKPENFLFTTRDENAPMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPSVSAEAKDFVKRFLNKDYRKRMTAVQALTHPWLRDEQRQIPLDILVFRLLKQYLRATPLKRLALKALSKALREDELLYLTDAMKESRVLEFLHALEPLAYRRMDFEEFCAATISPYQLEALERWEEIAGTAFQHFEQEGNRVISVEELAQELNLAPTHYSIVQDWIRKSDGKLNFLGFTKFLHGVTIRGSNTRRH >Et_4A_034382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32125163:32128482:1 gene:Et_4A_034382 transcript:Et_4A_034382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRVAEEETRRLLSGASSSSRRRRSCSWVLPSLRLFGRKRPEVIPVDKPLDAKQFKRHREERIRAMEPGHFERLARDRRLVMECLSHYNSMHPVTRRLVLDSRQLCGSSEALWLLPLPRTLFFFELVRTSDFHGVVTCTPLDEEVTEGYSILGFPIWRGTRRNGRSDCICKTCYRRYDFPDRSLACGHNETETICEMCYRRFGVLHPFPGEFAYGYREYLPGALRPLDDEQQTEEDHPFILVARSDHGGAEGREGGDSSSPRVFTDLVTNS >Et_6A_046083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22252289:22252756:1 gene:Et_6A_046083 transcript:Et_6A_046083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQCQGSHNSFDTKAVWKASTEGKHKVFAWLAIQNRVLTAEWWNDSVMGIGKNEKKTKAAIIIYTIWNLWKERNRRVFQHQGMTPQQVLGSSKKK >Et_6B_048706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12797364:12802676:-1 gene:Et_6B_048706 transcript:Et_6B_048706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNHHRAGGDIVEMSAAQQQPERERVVPHSGPLSKKSGARKSARFAESVSAPLSAPPPRANDDDDYVEITLDVRDDSVAVHSELRRLASVNRRGGAGGGGPRGVDRSKSAAAHALKGLKFISRADGASGWPAVERRFDELAQDGLLHRSKFGKCIGMKELEFAGELFDALARRRNISGDTISKAELLEFWDQISDTSFDSRLQTFFDMVDKDADGRITEEEVKEIITLSASANKLSKITEQAEEYARLIMEELDPSNLGYIDLYNLEMLLLQAPSQSVRIGNTNSRNLSQMLSQNLRPTAEPNPLRRWYRRAQYFLEDNWQRVWVLLLWLSICAGLFTWKFLQYRRRAVFHVMGYCVCVAKGGAETLKFNMALILLPVCRNTITWVRNHTALGRAVPFDDNLNFHKVIAAGISVGAGLHIISHLTCDFPRLLHATDAEYVPMIPFFGLPRPNNYWWFVKGTEGWTGLVMLVLMAVAFVLATPWFRRGRLQLPGPLKRLTGFNAFWYSHHLFVVVYALLIVHGHYLYLTHKWYKKSTWMYLAVPMVMYACERLTRALRSSVRPVKILKVAVYPGNVLSLHFSKPQGFRYKSGQYIFVNCAAVSPFQWHPFSITSAPQDNYVSVHIRTLGDWTRELKNVFSKVCRPPTEGKSGLLRAEYDRDGGAMSNPSFPRVLIDGPYGAPAQDYKQYDIVLLVGLGIGATPMISIIKDIINNMKQLDGDLESGNAASGGDASVHPSFRTRRAYFYWVTREQGSFEWFRGVMDEVAETDKKGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDVVSGTRVRTHFARPNWRNVYKRIALNHRDQRVGVFYCGAPVLTKELRELAQDFSRKTSTKFDFHKENF >Et_5A_042564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20557452:20560680:1 gene:Et_5A_042564 transcript:Et_5A_042564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPLSWADAPPYHYHGPPLPLPKTAPSEEVTGEGGGGPEEARSLWIGGLLLWMNEDYLYGCFTRVPELVSLQIKRSNHTGKFDDFGFLHFTDHTTADHVRQSYNGQKMPNADRDFRLNWPAYAQQPSEKHSVQHVKLNSCTQQQDAPLGHTDVYSDHSIYVGSLAYDVTSFMLQHLFKSRYPSVKSATVICDKDTGRSRGYGFVGFGDVNEQRQAMTEMNGAYCSTRPMRIGPVPDKKTRPNGTQGIDFCHQDSNTSRLFVGNLDQSVTSEDLKQAFSPYGEVVDVKVLEGKCCGFVTYSNRASAEEAVRMLNESQLGGSTMKVTYARPARKQIYAHDVNVYAVHQLLHVMSRRDDAGLVLIDAVDDPSKVVPADCTY >Et_4B_038638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3601578:3604557:1 gene:Et_4B_038638 transcript:Et_4B_038638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEQALLSTEIVNRGVEPSGPDAGSPTFSVRVRRRLPDFLQSVNLKYVRLGYHYLISHGVYLATIPVIVLVCGAELGSLSRDELWRKVWDEATYDLATVLAFLAVLAFTISVYIMSRPRPVYLIDFACYKPADELKVSKAEFIDLARKSGKFDEDSLAFQSRLLAKSGIGDESYMPRCVFEPNTNCATMKEGRAEASTAMFAALDELFDKCRVRPKDVGVLVVNCSLFNPTPSLSAMIVNHYKMRGNILSYNLGGMGCSAGVIAVDLARDMLQASGAGLAVVVSTEAVSFTWYPGKRRSMLIPNAFFRAGCAAVLLSNRRRDFARAKYQLEHVVRTHKGADDRAFRSVYQEEDEQRIKGLSISRDLLEVGGHALKTNITTLGPLVLPFSEQLMFFAGVLFRHLFPSKASSATPAAGEEASSAAAPYIPDFKRAFEHFCMHAASRDVLEHLQSNLGLRDADLEASRAALHRFGNTSSSSIWYELAYLEAKGRVRRGDRVWQLAFGSGFKCNSAVWRAVRRVRRPSRSPWLDSIDQYPARMDA >Et_6B_049970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2223435:2227499:1 gene:Et_6B_049970 transcript:Et_6B_049970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVGAARPADLRAVVLTGLAGLVAGACSMAIGEYVSVHAQLDVELAAERKRGPLADGRGRPKLPRPGQAAAASTASFAAGAAVPLLAAWFVGSYKVRVAVVVATATVALAAFGSLGAVLGRAPSGRAGLRAVVGGMVAMGITSSSASAAVLDLSREEGTTAELEALLPDFHFETSIAAYSSYLENEAAHYHLPQPSLWG >Et_8B_060830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8517131:8521034:1 gene:Et_8B_060830 transcript:Et_8B_060830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAASCGEEIKEEKEEGKFKESGRKENQGKQKARGCLSLASSLGPSQAAMGLISRGLVFRTMVVIFFLRSSQGREHFTKLNLPPLLKASRFSTVQHETYDYIIVGGGTAGCPLAATLSQKFKVLLLERGGSPYGNRNITYLENFHICLADDSPESPSQGFISTDGVINARANVLGGGTCINAGFYSRAKQRIVHWPKIAPWQVALRDGLLEAGVSPYNGYTYDHLYGTKIGGTIFDETGYRHTAADLLAAGNPYNLRVLLHASVNKIVFSTKQGHRTPRAIGVQFSDENGRQHQAFLARKRGSEIIVSAGAIGSPQLLMLSGVGPTNELQKHNISVVLRNEHVGKGMSDNPMNSIFIPTKDQPRQSLIETVGITDAGVFIEASSGFSQSPDSIHCHHGIMSAEIGQLSTIPPKQRSLDSVRKYVQNKHNLPKEVFHGGFILEKIDGPLSTGNLVLTDTNINSNPTVTFNYFQHPQDLSRCVYGIKTIERILNTNRFTNLTANGAGYPMEKLLNMSVTANINLIPKHTNDTTSLEQFCRDTVTTIWHYHGGCHVGKVVDQQYRAIGISGLRVTRNKPTSHSPDDGQIHGGEDSKGKIRTGSWTITDAWALSTSLCKKMT >Et_5B_045353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19710841:19712978:1 gene:Et_5B_045353 transcript:Et_5B_045353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITDDIQRYMPKGLERDPPRGVPLAFMEAVLLADPVEPQFKGEVFLGPHYIGGDIVINFEEGEYWKKVLGPVFIYLNSKPGPNNERALWEDAKAQAQTEVSKWPYNFPRSPDFAKAGKRGSVTGRLWVRDSGTFVQKYQFWTRATSDGRFSISNIREGVYSIYAWVPGTLGDYMSSTPLTITPGSAINIGDLVFNPPRSGPTLWEIGVPDRSAEEFYIPDPNPKRSFTMDPNECMPSISFIQRLTSVL >Et_1B_010357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:73284:74634:1 gene:Et_1B_010357 transcript:Et_1B_010357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMHYPPPLAVVSSAAPHLPSLRRRLSSPPASLLLRCRSFSWRRTRERRAIAAAGAVDDDLFTVDLDPDEYPGELEDDGSPGPWEGALVYRRDAAVQHLEYATTLERLGLGDLSSPDSLARAAAMGILSSSSSSKAAETPVLVSLDVTRRRGRLRLDGIVRTVITLGCFRCAEPAPEGIFANFSLLLTEDPVEEPDVVDLGTIYEEDIAKGPSVTSTQDEDDEDIDWDDRLHFPAGDKEIDISKHIRDVIHLEITFDAFCSPTCKGLCLVCGTNLNTSSCSCGKEKPREPKDVNRRGPLKEFLRPLQQR >Et_6A_046326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12951104:12951296:1 gene:Et_6A_046326 transcript:Et_6A_046326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNSPSKAKLKFFKVFTPEPSSYIKHIVTSEKERFPDRFDRNNTEITEMGPELLQNSPV >Et_5B_045305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17524535:17529708:1 gene:Et_5B_045305 transcript:Et_5B_045305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHLQRYEGGRRLLAGCIPFRYKDNSDETSEDEQKKLVEVLMINSQSGPGLLFPKGGWENDETVEEAAVREAIEEAGVRGDLVQLLGFYDFKSKKHQDEFSPEGMCRAAVFALHVKEELTSWPEQSTRQRRWLTVSEAAERSRHPWVHEALVTGFSVWLDKWSDEHNSVVPSGKRTLGFSRSTRDELFLLDTYFVETGRKILLISVVA >Et_4A_035685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3725763:3727746:1 gene:Et_4A_035685 transcript:Et_4A_035685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVAAYLLAVLAGNPSPSAEDLTAILESGNTRPVGHERQDGSAIPAAPASLPAPQSRRAGETSRPRLGLKFLPMASLPELEGSNGCRRPLGMVFWVSAAQGMIMKTFADRIFSS >Et_6A_047683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9653301:9656219:-1 gene:Et_6A_047683 transcript:Et_6A_047683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECALVSASTGVMESLLCKLSSVLEKGYRRNKRVEKDILFLRNELGSMNAVMKKHTMSKDPDLQVKAWMNELRELAYDIEDVIDAFMLQVQEKSDQPTGIKGFISSSISKLRELVSSSTIAQEIEELKHQVLEASDRRKRYKLDEYTSIDTVEAIDPRLTALYAEIGGLVGIDVPRNKIIRFLTEDEVVGVFGKQLIKLVSIVGFGGMGKTTLATQVYQKIKGQFDCTCIVFVSQRPNMKKILVDLLSGLGSACNMWEEEIQLINRIRDFLYDKRFLIVIDDIWSISAWEILKCTLPENCFGSCIITTTRILDIATSCCSSFNGNIYRIEPLNDKNARKLFCKRIFHTDSCPAHLEGLSEAILRKCGGLPLAILHIASLLATKSDTKDEWELVVNSIGSALENSHTLQGTKKILLLSFYDLPPYLKTCLLYLSIYPEDYKIATKGLIMRLIAEGFIAEERGKRLDQVAQSYINDLINRSMVLPMDVGYDGQVQSFRVHDLVLNIIKSMSVEENFVTIIDGQQSSSMPKKIRRLSLHFNDSDDALMGTTIVSQNYVRSLTIFGFTKKVPSFSHFHALRVLNLGYCDQLENHHIECVCNMLQLRYLVIHSILISELPEEIGNLQHLLMLNVKFCSIQVLPEAVAKLRKLVWLYVSGVKIPVRIGNMQCLEELSYIRISSNCVKFAEELGLLRKLRHLEITVEDPSEMEDHGRTYREALLSSIYQLGRHNLQSLSLDYRGHEDFILDSSMGSCFRAEHLQQLVIKKPLSRIPTWMSLLVNLMHLELYISRMVADDINILKRVATLVFLRLVFTGNTNGTRVVIEDQGFEYLKEFHLLCFISGMWPTFAPGAMPKLQRYHLTLKLQEAKSTCGDCDLGLTHLASLQHVCVAIVPGSATNMDATVVAEAAIRKETSIHPNQPTVEIGTWQ >Et_3A_024190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18259170:18273231:-1 gene:Et_3A_024190 transcript:Et_3A_024190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDCSEEAVRPDRFFDPKIRDAWNARHVRYFGQFEDTTKIPKKPRRKLVAEEERAHFRVETKPSDNMGGFAKFVAKVEGESGISKMVEEGSSEEELEPDHIFAAQASSFRDTWNMMYSRYFGQFEDTTNLILNVLAAKIPNMRFTYNKPKPRQSPEPTATLQIFSLKIAKIWGGLQWPLHVFGMVAVRDAVDHNRNMIFNSPRESCQILTQEDPYLKLTGPTRAVVLVDPVTFEVDLQVKGVTQEEDKSLSFLAVTYNDFTWIQSHLVKRDYASKLSTVQFELGSIVYSVEATISLRVRPGSGSWPDDFRARISARTASIGKAEVTLLDSGDDSRVHVSRNGRIKLSRCVVAVEIMGALEVRVKGGRGEEILVDKKTSFKAMKDSESHGTLRLACCTLDVTVAWSLPKPRQSPEPTATLQIFSLKIAKIWGGLQWPLHVFGMVAVRDAVDHNRNMIFNSPRESCQILTQEDPYLKLTGPTRAVVLVDPVTFEVDLQVKGVTQEEDKSLSFLAVTYNDFTWIQSHLVKRDYASKLSTVQFELGSIVYSVEATISLRVRPGSGSWPDDFRARISARTASIGKAEVTLLDSGDDSRVHVSRNGRIKLSRCVVAVEIMGALEVRVKGGRGEEILVDKKTSFKAMKDSESHGTLRLACCTLDVTVAWSLVSTTKIPNMRFTYNKPKPRQSPEPTATLQIFSLKIAKIWGGLQWPLHVFGMVAVRDAVDHNRNMIFNSPRESCQILTQEDPYLKLTGPTRAVVLVDPVTFEVDLQVKGVTQEEDKSLSFLAVTYNDFTWIQSHLVKRDYASKLSTVQFELGSIVYSVEATISLRVRPGSGSWPDDFRARISARTASIGKAEVTLLDSGDDSRVHVSRNGRIKLSRCVVAVEIMGALEVRVKGGRGEEILVDKKTSFKAMKDSESHGTLRLACCTLDVTVAWSLVSTSPYHINILPSPISSCPNAVAFLSGD >Et_3A_025120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26991784:26996229:-1 gene:Et_3A_025120 transcript:Et_3A_025120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAEEGEFVGARLDAGLRTVRFTSPPSADEFATEVEPKNVPAVFLGVVKGWDASSRWDPLQGGLDYLLEKVGRDVAVEAMMSNSGRVFYGDLRSHERAGLFFSPLNFHLQVVSIPFSTFIQSCKSYLHANAASGSSIEQAILEESACSGETSSSSSYHNEQVSILNTERNGRCSLEILKEDIQEPIFLRGKSFSSINFWMNRAHLRSSTHYDPHHNLLCIVAGCKKVTLWPPSASPFLYPMPVYGEASNHSSVSIEEPDYSSYTRAIHMKEYSERLVLNRGDVLFIPEGWYHQVDSDDLTIAVNFWWKSRIMTQMLEHMDAYYLRRIMSRLVDKEMNIMVQKNPSDHSKDGTGIQPMDKALAGFKLFDLNKDSPLQTLEPSTLQALYELISLVHDSVEVVNQNEEPISKGSSPSQSNETKISAADDSTLLDKDPVAKIIFPIEPIKLRSMLLAMVHTFPRTLEALVLNMLGPVGAEILTRKFDEMDQKTTNEEQTKFYKTFYSVFEDQYAAMDALLNGKELFSFQVFQNVLDKYLRVHVDRPS >Et_7B_054940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5815834:5818598:-1 gene:Et_7B_054940 transcript:Et_7B_054940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGRNGAVRRYNRSKEPRMRWTAELHRSFLQAIECLGGENKATPKLILRFMGVKDLTISHVKSHLQMYRTARLGPGRREMEAQLQRKHSCAADEQGPNDFLCPLLKRANMGTEAAYKGMQGSQGISEMRTAGSQYCMHDYMQAMVMERRIKQEGLRRQRDAAAAVSNLQTVGCLVQESDSFKISGTEEHHLGPASSFSVAAAKGEPDEPSEQCSLSLSLGLDPKCTVVAASSPSGSSCIISASPTRRISSDCSSHSGGFVAPGVSLELSLSICGS >Et_4A_034812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6823482:6829191:1 gene:Et_4A_034812 transcript:Et_4A_034812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSTDQDTRFSNKQAKLLKSQKFPPELDHLVDTSKVKMDMMKPWIAKRVTELLGYEDEVLINFICGLLEEKEADGKKIQIQLTEFMKKNTVKFMKELWSLLLSAQQNASGVPQQFLDAKEAEIQQRKAEDDRIAQETQQKHEKDGRDSELEKRKMMDGDAGSSRSHGVGLALNNANVNAEEEKEVEFIRSSRTKNRKKKVKVSFTQKTTLPVTTTLKFDGEGQRDSSIIGCFRRQSQAGVLVRHCGSAGRASSSGNNFSATWVAEVT >Et_1A_009504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6909938:6910477:1 gene:Et_1A_009504 transcript:Et_1A_009504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSLHGAIAELFERTGLRGRDVDVLVVNISAFYPAPSLASRIVRAYGMRDDVAAYNLAGMGCSTVLVAVDVARNALLARAPGARFLCAGLAKLRC >Et_1B_011339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20651102:20651494:-1 gene:Et_1B_011339 transcript:Et_1B_011339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMSEKIGKRRRSSADDNLLERAERLKAIRNLDFNEGTNNSILSLSDSEIASNINCLKGVACIKNLEIDRLSIMPKKKQSVIIDNDVESTDDENDDGLDFLTLGNLCGDLTDENMGEDSSH >Et_4A_034794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6584161:6592174:-1 gene:Et_4A_034794 transcript:Et_4A_034794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMVMAGYVKDKAVRGSVNLDTPCGALLRELEQIWTEIGEREQDKDRMFLELETECMRVYRRKVDSANTERAQLRQSLMAKEAELKALVASIGENSPRFKKEERIKQISNVQSQIEKIKAQISDHNYPNNDASPINDDHDLSTGRLSDLQMQLRNLQKEKSDRLQKVFIYVDEVHCLCAVLGLDFAKTVKEVHPSLHGTNSENSTNISDSTLEGLTQAILKLKAEKKTRVLKLQEIVEKLHKLWNLMESTEQERRHFAKVATIFGSTEEEITSPGIVSLETIQETENEVERLTKQKASRMKELVLKKRLELEDICRHAHMEPDMSTAPDKITALIDSGLVDPCELLSSIEVQITKANEESLTRKDIMERVDKWLSACDEETWLEEYNQDDNRYSAGRGAHLNLKRAEKARVLVQKIPTMIDNLIAKTFAWEDERNVPFLYDGVRLVAILEEQKLRRAQRDEDRRRSRDQKKLHSLLLKEKELIFGSKPSPKKTSSFNRRTSSHHPNGNGAGFMTPVPRRVSAGSATPELLTPRSYSGRYNNYFKENRRLTPAPLNFSTASKEDSMSSFASISGSEPDSPLNPRAQTTMNSWNSIPLLSKVKAFEIRRILLGPLLKLAEPSVQDVGGHNQLATVGAAEGLHEVEQVLADPERDALGALLVWRRRQRCSVLYGDTQLRGAHGGLRLVACLRELEAGRGARGTKRA >Et_8A_056372.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:5735507:5735629:-1 gene:Et_8A_056372 transcript:Et_8A_056372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSMEMWTPLTPGKSWAWAVTTLALKGLTIGPGFPRPA >Et_2B_020747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23197646:23200457:1 gene:Et_2B_020747 transcript:Et_2B_020747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVLLQLIVLALVVSMFTVHQAWGEEDCYAEKEFLKENCMRTIKLGGPYVHPFPPNDLLQLLVFGLVLSTFAAHQAWAEKECYHEKELVKETCMRSITRIGGYDELDSKSRRSVQQSDMVCICGILTIEDEDRVSVAKHLLLAIECHNPVPSGTQCGSKYLNLLFIFTCQICHLQIIKVKIGIVYDIIYGLNLFLSFQSSTATCAANTKGICLSFQEGSPVKA >Et_10A_000705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15834376:15838215:1 gene:Et_10A_000705 transcript:Et_10A_000705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISSKLPNFQPKSRTDQAQVADRVFFLSSSTSRRSAPPISLQSPEKFRRGSRGGGGGGRHCSGDSRGGLRPPCCPAGSATALQHHARQLNFDADVAESTAQAAPIPWGGDKARTAIQSSRPRTCPRRHPPVVARRVASPKTQQYAPARTLSPLASVISQDKHMAAPSSSLAAASSHLSRRAATAAAAVPSCMPTQQLVMRRGCPSCRRGARAHYRHSASERCGRAAPRRRAPGVCLVASPSQPGLAAIDVAIPNATTASSVPERISVSSLLEVVADDLLRLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAGLSELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDVSLNDYLLKSYYKTASLIAASTRSAAIFSGVSTTICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQEEPQLREIIDSEFSETDSLAAAIELVHRSGGIRRARELAREKGDLAIQNLQCLPRSDFRSTLEKMVKYNLERVE >Et_3B_030282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3209145:3215822:-1 gene:Et_3B_030282 transcript:Et_3B_030282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTAHTLLRQPVRCSAGGSSLRGPKPAVVSFPSYAPAGKKTPRSICHYADPRSIDHPSNIPPAVNIILFFPCPGDNGMEALVHPVMPPTPTTTALWKIKEDDKKVELTFFSMPEEAKPGDFQVAVEGDVLEIRRPPADQQQWKPDDVSFHTEFDEVQELTSGVALADTMDERCSELLDAHGQLKAWPVATPAQHRDTLTLLCLWQLWKHRNEVVFREAAPCLSRLLHDCREEASMWRCRLRWDEAPIITAPALLSQPVRYSAGGSSLRCPKPAVVSLPYYTPAGKKTPRSICHYVDPRSIDHPSNIPPAGSECYFVLSHAMAEALVHPVPTTTAKWRIKEDDKKVALTFFAMPQGAAPGDFQVAITGEVLEIKKQPADHNDVVSFHVRLLVPEVYNKNEISVMLDGRNLVVSIPKVPKSQLQDGSPGKPFYKELSVVEPK >Et_3A_025773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32780278:32783773:-1 gene:Et_3A_025773 transcript:Et_3A_025773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAGPGGHGSGRRSIASSAGSRRRASYAENGHEGAASRRSSASISRGLSASLTGGERTVKRLRLSKALTIPDHTTMHEACRRMASRRVDAVLLTDSNALLCGILTDKDITTRVIARELKLEETPVSKVMTRNPTFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGAAVSGPNNFIETLRERMFRPSLSTIISENSKVVTVAPTDTVLTASKKMLELKVSSAVVAIENKPGGILTSKDVLMRVIAQNLPPESTTVEKVMTQSPECATIDTPILDALHTMHEGKFLHLPVLDRDGNVVTVVDVLHITHAAIATVGSSGGSGTEATSAMMQRFWDSAMSIGPIDDDDDSRSEGSTKVASEATDIGRSAFYPASGLSSTFGFKIQDKQGRMHRFNCETTSLTDLITSILQRVGDDIDRKNLPQILYEDEDHDKVILSSDSDLIAAVDHARQIGWKSLRLHLDYAGVGRRKRVGGGSSDFEYARKDAWASAYSAVAAGAALVAGLGVMAYLKRA >Et_3A_025584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3108074:3116028:-1 gene:Et_3A_025584 transcript:Et_3A_025584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEIFTSTVCYEVRNLRIHMLFPLDIDFFSAIIMGANCCVAAKERSQPSLAPVEVSAYRIRHSPSWSFRWDNRTHIEDIMENDAVFSNHSSGNIQPELKSGSVAPTECHTGGDGRADLFRRIKGQKSDKKMEASKLSKIDPQDVVAAASVIKTPESLPSTPSLGSKPEPADPSSFMNHSNSTGKSCQSPGNQPHRQLTDDTVPSLKNFIEKSPAQRPPSSSTLSSGSHDDLFAALSHGESSNGSSTRTPSESVVTSQRDGWSVSNEPIGSIASKLSGSTASHPTFSPDQGVCKLCSRLLKERSFWNGNELAVVAVLFCGHAYHANCLDSITEESEKYDPPCPVCTHGESSAAKLFGKLESKIKNNTLKSMSNRDLDRSSKHQKKSMRGPRLGASYSMKESFSRPFLRRHFSTGSRPPKSDLGSEPTRKKGFWSRPNCCIAAKERTQPCVTPVEVSAYRNVRHSPSWSFRWDNCTHIEDIMEITTMFSNHSSGSIRPETKSGSIAPTEGFSNGNSPSYVFQRAKWHKSDKKVETSKLTKADPQADRSTASNSSPEAKFSRKSLDMVSVASDSKTSISVPSTPPLVSRADASCSRSHSQTMESDSMKKARRSPGYQLYRQVSDSKIPSLRSLNEISSPEGRPSSSMLSVCSNDLSAAGSHGESSDGWSMRTFSEMVATSQRERWSVDSELLGSISSKMTRSNASNPSSLPPDQEVCKLCLKLLKERSTWNAQELAVVAVLLCGHVYHADCLDSITTEADKYDPPCPVCTHGEKCTVKLFGKLESKIKNKIPKNVIVNIDLDGNNKHQKKGRREPRLGTSSSMKVPFSRPFLKRHFSIGSRPPRSATENDSARKKGFWTRHWRE >Et_2B_020148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17348641:17349260:1 gene:Et_2B_020148 transcript:Et_2B_020148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TTVRPVSTVFRTVLMTMAAARASKPVVGSSINIMEGLATSSIAIVSIFRFSADRPVAPGMPTMAFLKSPPVFLPANTSSNVVFPAPVIPIRAVSRPGVKAPLTSCSN >Et_9B_064973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20070171:20073204:-1 gene:Et_9B_064973 transcript:Et_9B_064973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRANLLLLIVGFLDPLHLLLGIDVSYLFGCGIVCVVDGILVSTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMKNPWPPAILHADALHPGRRIDDVALRRRLKQRRPGELHRQLRDLRCTGAACRPVVAVLERFETDPGNAGEALVERRDHGLVRGAGEHREGGAGVEDDAAVLLHVPHVGGDGERGAGHADGLHRDGVEWHRRVEQQRRLGARGRAAGGGAEGEVAGDVARGEVVDEAVGEAAPEARRGAGREGDVAVAQAEHAVRGREAPAHVGGGAAQDHAAQRLLGAAGHRDGSRVRAHHAAR >Et_10B_003299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18591542:18595113:-1 gene:Et_10B_003299 transcript:Et_10B_003299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTMAVVRACAPPAAAATSSAAPSSVDATKRKSSSARALVLGGAGRVGGSTATALSKLRPDLSILIGDKNREKGESFAAKLGEQSEFVQVDTRNESMLEKALQGVDLVVHTAGPFQREQKCAVLEAAISTKTAYLDVCDDTDYSWRAKGFHEQAKAAGVPAITSAGIYPGVSNVMAAELVHAARSENDCDPERLRFFYYTAGSGGAGPTILTTSFLLLGEDVIAYNKGEEIKLKPYSGVLNIDFGKGVRKKNVYLLNLPEVKSAYRILGVPTVSARFGTAPFFWNWGMQAFANFLPIEFLRDKNKVLKLVQFVDPLVRAVDGIAGERVSMRVDLECSNGRNTVGLFTHRKLSVSVGYATAAFVLAVLEGNTQPGVWFPEEPEGISIEARKLLLERASQGTTNFVMNKPSWMVETDPKEVGLGIYV >Et_1B_013338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7484719:7488982:-1 gene:Et_1B_013338 transcript:Et_1B_013338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAAVLALALALCAAAAVAQGATVDAVAAAEQAADRVAGLPGQPPVGFAQYAGYVTVNVTHGRALFYWFFEATADADKKPLVLWLNGGPGCSSIGYGEAEELGPFLANLMFLESPVGVGFSYTNTSSDLLQLGDKITADDAYIFLLNWFKRFPQYKSHDFYIAGESYAGEWHPETH >Et_5A_041067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17739795:17742422:1 gene:Et_5A_041067 transcript:Et_5A_041067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPRSRGRRSGGGGAARARARGWCCSFAGATQSPDLRPLPPAAAASSPGGARGNSGWGRKLPPKSPSAPSFLGSPNSSRLAGLGGLIDPRRILSPGRVSPIDPDGSVPPPAPLPLPPPSPLSTPVETMELVPVDPPAAAATSVAVAPVLAVREEGDCSGGLDLSLFLRGRDGRCVHMELDSAVLCSSSAFFSAMAPPAAGGSGGGKRIEVDGVENLEAFRAAVELMYEPDPMRWLAGSGVSRAIDVLEVRIGKLLMWLLEDYIPYMAQVCSSIMFGRGIKSCLTYIEAVPWSENEEEKLKSLFARCTFDDVLSQDILARLRPRNWNSSEDLTVQLIQSITNSTNSGARKDMQSLVNSLLSKSSVYQKDLSGLNKDSLYQICYFCLETLVHLFEEATESTDDADQAVVVRGTKPLVERVSCQTENLNWLLDILVNNDIAEEFVELWAKQDWLIRMHEQASPMIRYELSRISAGVFIALGKGKVQCRGNVRSLLFHGWFSSMLLDFGWLQRCPKGLDVRSLEENLGRGLLTLPLRQQQCLFEEWFQFYASKGVECPNLIRAFQVWWR >Et_9A_060928.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:18301086:18301634:-1 gene:Et_9A_060928 transcript:Et_9A_060928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTRRRTFTGGEGGVEVEDVGASVAARGGAERPDEASVLGRGVADDGEAQRVAVGAAGAHAGVGFDPPGRVRAHVHRRRLAPWRILRRALQHAPRAGRRHRERAHLRRQLLTHQVRACVIVATEMCSFLSLPLLICVNEMSNNVTKSGRSGYKRTYHERTGRPGRGRGERRARRSRRKPLI >Et_2B_021766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5199674:5202437:-1 gene:Et_2B_021766 transcript:Et_2B_021766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPLPRHERTPTLPTTASTNLLRPRARLPIRGSSGVAAATPLVAAVASPDLTCPHYTSGAASPAPRTSSSTSRAPGGGGGLVVLTVTASAVAVSACLVFFSAIRSMLACKREAEFLEKHFDSARAKLPQTMASVRLVGREIGDLASDLSDLSQELTMGVTSSMRIVHAAEAQLHQLTPSTRLGSPRRMWDQKISGDEPLLASTVRDLRQLIADIRSGFGAASGMASAFMWVSNLGSKRRKNRS >Et_10B_003083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16363652:16369954:1 gene:Et_10B_003083 transcript:Et_10B_003083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVLFSPGSSLLLAKQSRLAKGRAAAAVKCSIGPTSSVSHEEEEGQGVAMVGRRRALASAAAACGVSVLGFAGNGLAATQGLLAGRIPGLSEPDENGWRTYRRPDDKSGGHGVGWSPIIPYSFKVPDGWDEVPVSIADLGGTEIDLRFANSKQGRLFVIVAPVRRFADDLDDATIEKIGTPEKVINAFGPEVIGENVEGKVLASATAEYSGRTYYQFELEPPHALGADCKLSGKLNPECFIQGDHKTDLAGQVRAREVDPRDLAQGVEQEERREREEEARAARHRRPEEPPEAGAEERRSPAGVRHGRERASEQRAHAALGINPSSSEETGQIRSARPSHEPWA >Et_1A_005223.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:3488258:3488836:-1 gene:Et_1A_005223 transcript:Et_1A_005223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYQRCGLNEAAVRTTCGKLVVARSGPSRWMPRPALATPCSASDHHLYAGTPSRWMAGDWSPSCEIFSSTVSRDTRSSARRSTGSRLSQNATLGGAPAPQLKGGSAATATRAEHASRSTSAAGTTWREKRERPPMADGSVSACVTAPSKGVKLGSGIYQPKGATRCTKVWALVYSECRSLSLCVANFAPTHP >Et_9B_064657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17443317:17444855:1 gene:Et_9B_064657 transcript:Et_9B_064657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFGDDGLLPLFSQPDQYSLVQSQAAQEVAAAQEGVVAQEGVVVHELGPVEEATAFLSFRLVLAMEEANARSASARLAVAGLRPCPLEHGGPRLLPAVVQFVAPAVARRNHQLEGAEVSVVVKMTVRLQMPTSKSFLQLKRCNWNDKTNTVLCQLLLEQIEMGNYNNGTMNDRGYKQVIERFYAATSLRHDKKQFYNRIRQQKLMYGFIKDLNKKTGLGRRDDGWIDADKNWEWLS >Et_10B_002783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11059544:11061908:1 gene:Et_10B_002783 transcript:Et_10B_002783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHKVSKHGDHKRGNVSGRLPRPARPGRVQHDRHVHHREPPFLSVQRLRQQEDDDNNGRHEGGGVAVEEGQVPWVPVVVHDRVASAMPDAVALPDPARRIKTGLVGDAGHQDPKQHQILAFGINTASAHMAPIFLEDIVSGDQSGHASLHGFVHQFYLRIDFIRHRYVRHARRIGSSGDFREKMGR >Et_3A_023562.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:4251700:4251852:1 gene:Et_3A_023562 transcript:Et_3A_023562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FFCARSLCNYFDPLYDSCYCCPSVGRREYCHRTRDECRANCDTCKPKCLK >Et_1A_008820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14836338:14837503:1 gene:Et_1A_008820 transcript:Et_1A_008820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFDPASAIFGDTATGSHLLHIEGYSHTKSLPVNDRIESQSFTVGGRTWRLRYYPGGRRLPDGVEHTDGIAIAIVLDDYIPEPVRAEARFLLLDRAGNEMPAHTQTTGMCDYVYAGVDFDVYFEREAVEAPECLADDFFKVRCDVSVASTGCRGENGTPPPAAALDGVPPPPDLHRHLGGLLVAKDGADVTFQVAGETFAAHRCILAMRESAAELCVRVDDMVPHSDICIADI >Et_10A_001675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6725749:6729313:1 gene:Et_10A_001675 transcript:Et_10A_001675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLVVVFVLCPLVVVYLAGLYISTCIALWRLIKHDYGDVKTNQQPALIVLYSLAVAQGWFHGFKALYARVNERGLVKEVVDFCLTSMHDAEDQQVLHSLVSIYRDKTMMGCTKDPIMFTKDRNLVTYAVDLMMECNSSDSYLSGVQILGTILQKHYVRDETINGQAALIRQKLAKSASFGNVVRRLIGTMGTGVPPDSRMISKHAARIVAHVADSINLRYLPKGSQFLSFLLSTIKDCMGKHSPERGTSDWQHPQAKGPQIKKLSGRRPERPQLAALDEKWPESGSDWLVVQGLRIIKNLADNEDNRRIIINTEGVISKISAPLISEQVHSRDDHDEWSSIAELSLELMNQFLDDTGETGEKFRIEIFSKHKQIILDCLKCEVRVKIQAVEIFLLHLPEELSVVASGSNSSRTFIWILLLIFLRTNSSEEDTFKRERSENLRNLAGEKIEGNATVVLQSVCEGEDSLTKALIDADKDISIRLQAAKFLEHFIDQTKDATNIQLVLKKAMLNVMPKVLNEVLGYGSGRDVQKTVGGQSNGQSSLQDIESGPVTQGNAQENTSSSRQQIGEQHNRRKLKEALISLCRQVWSNWYYEDDPDATHQLEKIAEEICTRQWKRPKSFGHLVAKAEKRFTKEQELCHEADKKINKMGALEHLQLMWSLIAVSLQTVILLLCFPESYVEQLR >Et_4B_037177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15975353:15983910:1 gene:Et_4B_037177 transcript:Et_4B_037177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMTIGAKYKTTVKDPGTPGVLRMNEDKFTFTPNDPRSAMKLNVDFRGIKGHKFNKVDGSKRAPPLLNLFKDSEKGGGYIFEFDNVANRDLCRDFVARVLGKHQGTVPSRPNVPPENLGASTGSEQLSSEEVDRRMKLLRDDSELQKLHKKFVLGNILQESEFWATRKNLLDDQANNASKQKPGFKNAMLADVRPSADGRTNKITFNLTTEIIHQIFAEKPAVHRAFLDFVPKKMSEKDFWTKYCRAEYLLRTKNTVAAAAEAAEDEELAVFLKNDDILAKEAKFKIKRVDPTLDMEADVGDDYIHLPDHGILRDGSKETVDYDSELARRTLSQDLNRHAAVVLEGRASDVELTDAKTVAEALVRSKKEPSSTSAADDVNHERVVKVARMTEIEDLQAPRSLPYAPLCIKDPRDYFDSQQANALGSFGGNKDGRKVRDCRLSPDDVFHHLRDQISLIKINKLDDPVVRSDTSLKVLNELNEGISRSRRLNLKNPQESLLGRLPQHTRDELMDHWTAIQELLRHFWSSYPITNVVLYNKVCLHHLYLCTFASCAIQVESFTNSLVALWLLFLEYNISKDSRKALNLAQVFNYGIIFGIGICTMFLFWRSDFACFILLGAMQLPPSVRGRPGNNISKGNVHSTPLAKPPLIRQHHHVQRVKDAMTQIYHKLQTVKESAQPDVRHEISQLVKPMTQALDAAFNHDLEHQQKSSKAGSKFNGLVAMIIHDKILVAVGFFFEPYGGDLLH >Et_5A_040278.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:89778:89861:-1 gene:Et_5A_040278 transcript:Et_5A_040278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKHALPSFNHWKLCFIDTVKIHLHQ >Et_3A_024616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22615488:22616677:-1 gene:Et_3A_024616 transcript:Et_3A_024616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KASVDQRTAPKRASRRAAAGRVHGRDLVLGGADVDGLAPGRRGRVVADVERVAADDVVDLAEHLGEGRLDVGGVQRGGLHGEGVVALGEGLGVLGRDGAEVAQVGLVAHQHDHDVGLRVVTELPEPPLRVVERHATGHVVHHQRAHRAAVVGARDGAVPGAEVQEGREGQIKLLLAIRNAWCKNTDGYASKMRYVTKQTTILIQMHNSKNRATQASRTSSRDLQSNTITEQQRTQNCSRRRATRYLSWPAVSQIWALTSFSSTWTVLVANSTPMVDLVSRLNSSLAKRESRLDLPTPLSPISTTLNR >Et_3B_028038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3996084:3997235:-1 gene:Et_3B_028038 transcript:Et_3B_028038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDQQPPQQRYWFPYWTSPPQPAPRPALRQQPSRRDSRAAPAPTPPAPATAASRGAGGAGSPPQPTRLPSRPSPSRAPPLSPIREPNVSAAQATAPGSGPKEPKPAVKQAILPRPAAAREEAPKELDIIIPQEKIIHEPPSEAKTHNKAVEKEKEKGKEQEREKDKEGKEKKDKEKQKEHKEKEKDKDHKENGKLHKELKAGVADMVHKLGASTTPSAGHAHERHATGAAGTTVITLAGENKGASMTVSHVDHAESKERDAARKVDEGKHEGHDRAKGLTTFVNSNVQVINNSLMLQSSCNGGNPGVHLKLSTKSKKKGDSEDGKGKGGSAVVVKK >Et_6A_046585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17722488:17727833:-1 gene:Et_6A_046585 transcript:Et_6A_046585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEDTGSGGVGGVVRGAVLKALVVVGGVLLLRRLRRSTTRWDHARAVADALSGEKFSREQARKDPDNYFNLRMLTCPATEMVDGSRVLYFEQAFWRTPEKPFRQRFYMVKPCPKEMKCDVELSSYAIRDAEEYKNFCDRPKDQRPQTEEVIADIAEHLTTIHLSRCGRGKRCLYEGSTPPEGFPNNWSGASYCTSDLSIHKNGEVHIWDKGFDDEGNQVWGTKVGPYEFRPAPKSKYDDMFSPLNFSPFITREENG >Et_2A_017966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8439888:8442510:1 gene:Et_2A_017966 transcript:Et_2A_017966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPSGNKDDPAALGLPWSEMFRSASLLGPKLKDDGAPPKKPRSAMKPAPAKEGKEGKAKAAAPAKEGADIAGLSLEPDARLALYIAMAHAGLATALLVLYGLYLLLADFLRPLQWALLCSVPLRETQRALVAFWEPPLRAGLGAALLALPLAALRSSAATLADARAALLRRPLPHSPAFPRLLRWLVSSFFFLVLFDRLGAVAALLLLVLALAFCAASPKLTRAASSRISGRRPSSRGLLLTGGILRHLKTLVAVGLMLGMIVGFVAGSIFFSYKIGLEGKDAVMSLKSHVENGNYSEKIGLKTWLEDNDIPGLVDQYSAKFYDTVWEQVDHLAVQYNLTDFTSGFRHFLISQSVGPKNTEALITSGPHPYSMKLQAIAARVKNREWVEIYKELDSFFRELLITREDLVVKAKELALQGTEVAKRLLSSGTSVLGGSANLMLSIALRIVSGAAEVVNFVSQLMVFLWVLYYLITVEGGGATEQIIDLLPVSKQVKDRCVEVIDHAISSVMLATAKIAIFQGGLTWLLFKFFKVHFVYTSTVLGFISALVPILPPWLSSIFSAGQLLMEGRYVLALVVTVIHLMLMDYGTTTILEDIPGYNGYLTGLSIIGGMTLFPNALEGAILGPLIMTVVIALKNLYTEFVLADAEDSSS >Et_5B_043635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13184783:13192679:-1 gene:Et_5B_043635 transcript:Et_5B_043635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDSMVNDVPSVADEWDMPSLISSLYATPLLRRGLDPINLPGFGDESNEQQGIFVSNGFVFPPSEHENLPIDPEADELNTNDDGKEGGCAESNEEWCYITPEEVNDISDEKLSADQTACPDSKTTETDAKQEKDHTTCNSGIHCEGWWKRKSTYIFHHIKGVTTVCSVVAAGAVVGFVVMGQRFQQDNWHLHQFHFSISSEPFEGRASRESAAEVSAANSCTAAAATERLSATGSLVAGTQPTWLFSGTIEVPRSPARNLSSPAADSPGGPEPSKMPEYQTKEASWLHQVTARVGIVEVLNKLGSDCAMSIKLNYNVLYPGYKPAAEQCESATIKELATEVPLLSPGAIVSNAVNKTTLAPERGKSTIPPPWYPTTQEDAGLQFLSRKKGNNSRFAKKAVLNGEDFLGMEQMRAIFIGEHIKYNIADCTLRGLDKKVPNRPKPSLQNHKSNTSNKHFMAKWAWQKGQAGRQQQIRMRRRKS >Et_7A_051612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21282151:21286775:-1 gene:Et_7A_051612 transcript:Et_7A_051612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAAMPLRRPFFLSLKPARLLSSLAPPSPGLRHPRALRPAGSLPPDAEVADDTDAGDGAAVPFKKSRNELKREARRAVQWGMDLAKFPPPQIKRILRAASMESEVFDALMLVKKFGPDVREGKRRQYNYIGSLLRSAQPELMDTLIQASKDGDDSTIHTFLSERTLVEEEDLPEEEESDEEYMKIADRWFEGLICKDISVTNEVYALHNVEFDRQELRKLVRRVHTVQESTQNKDGLEKSNTKLLKAKKPLLRFLRCLAKEAYVEW >Et_6A_045838.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:18586495:18586788:1 gene:Et_6A_045838 transcript:Et_6A_045838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFAASTALLATMSLVLLVSVRGCEPNCSSPAPPPPPTSTVTTCRYSTLEISACLNILTPTSQCCTLLHGVTDLEAAVCACLCVKGITVNAIALLN >Et_7A_051532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2000423:2017606:1 gene:Et_7A_051532 transcript:Et_7A_051532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAASIASHLPSGLLSVGGEIIRRASRLEDELRELLRLHGGRAPEQKQGAAGEQTKERFLRAYERLKSELLNDRAFSFTEETGQWVAKMLDYNVPGGKLNRGLSVIDSYMLLREGAEVDDEDFYLACMLGWCVEWFQASALVLDDITDNAYTRRANLCWYKLPMVGMSAINDGVLLKCHVQAIIKRYFKDKFYFLDLMELWNEIGLQTAMGQMLDLITTHTGAKDLARYRIQGYRRIVKYKTSYYSFYLPVACALLLNGAKVSDYIELKNVLIEMGVYFQIQDDYLDCFGDPEVIGKVGTDIEDYKCSWLIVQAMELANENEMKILYENYGKSDPECVAAVKNVYKELDLQDIFLEYESRVYKHLVSTIDAEPNPMSQQTAPGALDGELKEKLVLHNGAPPPPAKCSAMQKRCRVPEGLDVGDYRERFVRAYDRLREELVADDSCELTDEAKRWIAQMIDYNVPGGKLNRGLSVIDSYLLLKEGSEVTEDEFFLACVLGWCVEWFQACALLLDDIMDDSHTRRDQICWYKKPEVGLTGINDGILLKCHIARLIKKYFREKPYYIDLSELWNEIALQTSLGQMLDLISTHNGADNLAKYSIEGYRRIVKYKTSYYSFYLPVACALLLSGAQLDNFSGLRDILVEMGIYFQAQDDYLDCFADPNTIGKIGTDIEDHKCSWLIVQALGHADRSQIEVLHNYYGKKDTTSVSKVKSTYSALDLKDIFSEFEDRAYKHLVTSIEAQQDRAVQEILKSFLKKIHRRKNSPPPAKCSAGAAPQKHGVVPEGADYRERFVKVYERLRDELVADDSVELTDEARRWVAQDSSKILNDIRNHINLFNKLTFSLSEGKLNRGMSVIDSYLLLKQGSEVTEDEFFLACVLGWCMEWFQACALLLDDIMDDSYTRRDRICWYKRPEVGLAGINDGILLKCHIARLIKKYFREKPYYTDIAELWTGVALQTSHGQMLDLISTRNGGDNLTKYSIEGYQRIVKYKTSYYSFYLPVASALLLTGAKLDNFIGLRDILVEMGVYFQAQVYPYLMKYHPLPPFFSIDNCRTFFRMITWTALLIPTPQARNSFYRTRQVHLCSAQLRYRLELTLKITSVPGCLYKLLNCYGKKDSSSVSKVKSTYSALDLKLTMIYQGIFSEFEDRAYKNLVTSIEAQQDRAVQEILKSFLKKIHRRKK >Et_2A_018333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23622251:23624594:1 gene:Et_2A_018333 transcript:Et_2A_018333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATCKIVTVVDDVLHMSLLQKGVQATTRAGRPHERPQVGQGHADPWQVLRTPSCFSSKKPNPSGRVIDLPRHSLALPPHCTGDSDTVAGAAATKPDPCHFASSSSAATKNLLEAKNFELGLEWRGHRGGSVDGNYLGAQSYNREQKGDVWWKLK >Et_2A_016171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21696168:21698001:-1 gene:Et_2A_016171 transcript:Et_2A_016171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGCAAAAAAVALWWMAVGAEAVWLEIQPSATKCVSEEIQSNIVVIGDYSVLYEHHHAHPTVSVKVSSPFGDIMHKKERVSVDQFAFTTAEAGNYLACFSVDGEDRELVVKLNLEWKIGIATKDWNSVAKKEKIEGVELELVKLETWVTAIHENLLLLKSKEANMSDVSDKTNSRITWLSMLSLSVCIIVSSLQLWHLQQYFRKKKLI >Et_3A_027285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6937591:6942668:1 gene:Et_3A_027285 transcript:Et_3A_027285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGILFFLVLLPIQAAGSDAIDAGPEERGGGLLSAGGCPTSPYCSSMAGYVSRGPPNGAVYVCNLPPGTDETMLAEYFGTIGLLKKDKRTGRPKIWIYRDKVTNEPKGDATVTYEDPHAASAAVEWCGNINWAKRMKCNICNTSKPGTNEGGVRGGRGGGYKELDEEELEEVKRRRKEVEEDDGEMYDEFGNLKKKFRVKAQRTESAEPGSGRAGWEVEYHGSAEREGRERSRDCVRNDYDEREGGERSRDSGRDSFEKENRNRDRGGHGRERRRSRSRSRDRERERGRDRGRDHDYERSRDRDRDRRRKEQMNSKATLTDRVTMHLFYICGSSKCNGN >Et_1A_005571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11590978:11595827:-1 gene:Et_1A_005571 transcript:Et_1A_005571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPAAGTGKGKRKRPLSEDDVYLLLNRYAPATILTALQEVAQHAEGRRIDWKALVGKSATGITSAREYQMLWRHFAYQHELAESVDSGASPLGDDSDLECELEPIPNPSEEALSEASSLAKILISGSSREQASSHRVNLEVPAQNSTNEKIVRVPSDKQLAQSHRLTSVPGPVSNSKQVQTGPSPDPSDANGASKKKKKVKTWSKEEDADLAAGVQKYGEGNWRDILSKCNFDTTRTTDQLSQRWALICKRSGSSKPASTKQVSVASSEERQAAIKAFSMALDYDYPPRKPSALRSGAQQHIVRHTPTVFGPTLPEVKSTAVPSPALVPVPVPVPVPVSMPVPVTAQVQVPVPQGQQAPAPPKASSNKTRNNSKKQAIQQNPTIGPSSIQAAAIAAGGRIATPKAAASLFKAAQSKSAVHIRSRAAGSSKSSVTPKPPVVAVEPGTQLGGAGHLEPPNTITTIYEVVAANPPGPSSVAHLLETEKASSTSPVPVSCDSEELDDDSTFCVVTMDDLFPEDAKQPETLNSKDQQSDAVDPNEKHPDALGPKEKQPDSVGPKEKQTDAVGPKAKQPDTVDPKVEEVIDSKDADMIEFDRFVAAQGASVMDCPDAGKTVTTSPGTQGSADCQKKQLKLVPMAGRSNPVSPGAPTTGKKTKSPVPHQVTPTPTGTLRVLTTVQNRTVGRKAAAAATAGGQPPLKKQATNTKGNQTPNNMAVGVSSGVPASNQARTLAIGASKVNSQGSSQASTVVSGARKANPPGSSQASAVANGARKANPPGSSQASTVANGSGKANPPGSSQASTVANGSGRVNPPGSSQASTVVNGPGRVNPPGSTQASAVVNGPGRANPPRSIQASTVANGPGRANPPGSIQASTVVNGPARANQPGSSQASTVVNGGSKATPQGSSQASKVMDGATKANPPSQ >Et_6B_049269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2558481:2567204:1 gene:Et_6B_049269 transcript:Et_6B_049269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LQRLPLMAMGLDALASYIQNMLTKMATDEVRMLLGVPNEMKKMGVKLRDLKKFLADADRRNITDELVQGWVKELKGAMYDATDILDLCQLKAMKRGPSQDMGCLNPLLFCMRNPLHAHDIGSRLRNLNEKLDDIIKRSMTFNFNLTSYEDRGTKMESSRHLPNHETTGELELVVIGEKIKEDTRDLVEMLTCEEETIHEDNKVMVFAIVGVGGIGKTTLAKNIFNNEVIRQEFQKKIWVSVNQDYSDTGLLRRTIEAGGGNQAAGNTMEVLQKTLKETLKACKTFLVMDDVWNHRAWEDVLKTPFTNAVAQGSRVLVTTRNHRIARGMMAEEPYHNIEKLKPEDAWSLLKKQLINAIHLSYQDLPSSLKPCFLHFSLLPKSTKFYDDNIIGMWISEGLVHGNSDELEKKGRNYYDELISRNLIEPDVKHLDQMVCRMHDVVCSFAQYVARDEALVAGHNSNSDLTVQLNNSEKFFRLSLENKGSESDVLEWRSLQAQISIRTLILVGKIKINPGDSLVAFSSLRTLHVQDAEFETLAKSLVQLKHLRYLSIEYNNTSILPENIHKMKLLQYISLLGCKSLVKLPGSIGKLRNLSLEELGPLSKLTDLGIFGLENVSSSSTARNIKLDGKVHLSYLELSCTSRHVDNSRLLKEEDNISDEGHKKIEEVFDEICPPNSLENLTISEYFGRRLPRWMKSNAVVPLGKLRILTLVHLPCCAEIPDGLCQLPCLEFMKIEDAPAIKHVGSQFLQPRHHEHLRKLEVIQCSGLLRIGNLPKLQNLLIIKCPKLKVLEGLPALERLDLEDYDMGTLPGYLQDVNPRHMLQIDCNVSLLTSIAIGLASPEWDNFSHMKQVKAYADDGNNNIDRKWYVSYTMDPFSFKTNISRSAIKQVTMFFLSSPLVDSIGVSFAARMEHACSKTCPIEDERSVGRGACADIRRPLCQSFRCNAYRHLVFWLRRVCLHCSEAAGLAPSSDQWTEAAVIWASTRVNLTAQQFARP >Et_1A_008837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15483946:15494785:1 gene:Et_1A_008837 transcript:Et_1A_008837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDGMPSFVLVAALALAVSSAWVPGTVGDPQATQLNLGCSQYNATPTAAFIAALNSTFASLRANLSAAGGSGFATAAEPRAAAPAFAMAQCRPYVAGRDCVACFDAAAARLRGACGAANGGRVILDGCVIRYESAAFYDQATLPGNTQLCNGSAVPGGGFADAARALVSDLAAAVPRAPGLAAAATSGGVYAAAQCVETVGEGGCAQCLQVAAGNIDGCPPNSDGRAVDAGCFMRYSDKPFFPENATVDLAVYLRSGKSSRKGAIIGGVLGGVGFLFLIGLLIFILIRRSRKIKPRRGDILGATELQGPTSFYYHDLKAATNSFSEKSKLGEGGFGDVYKGLLKNGKTVAVKRLIVMETSRVKADFESEVKLISNVHHRNLVRLLGCSRKGSEFLLVYEYMANGSLDKFLFGERRGALNWRQRFNIIVGMARGLAYLHQEFHVCIIHRDIKSSNVLLDDDFQPKIADFGLARLLPDDHSHLSTKFAGTLGYTAPEYAIHGQLSEKVDTYSFGVVVLEILSGRKSNDTRLEPETQYLLEWAWKLYESDNLMGLVDQSLDPEDYKPEEVKRIIEIALLCTQSAVAARPMMSDVVVLLLTKNDPEIQPTRPTFIDATSRVRGETSSSSSSSASKATVSISQFSARVIILQSKVWTNGRTNNFVGLSIVTPNPLVHSPWSCTLPTVEVWTGGG >Et_3A_023381.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24377314:24377391:-1 gene:Et_3A_023381 transcript:Et_3A_023381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRNNLLCVNNRRPPSSLVGLYMC >Et_2A_018824.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8212435:8213775:-1 gene:Et_2A_018824 transcript:Et_2A_018824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLLPFFRFIAALASRGGVDVSVVTVLPTVSAAEADHFAGFFAAFPRVRRVDFHLPPFDVAAEFPGHDPFLLRWEALRRSAHLLGPLVAGASAVVADVTLASHVIPVCKEINVPCHVLFMSCATMLSLLAYFPIHLDNKQSTGGGVGDVDIPGVRRIAQSWLPQPLLDPEKVFTKQFIDNGRALTEADGVLVNTLEALEPVALAALRDGKVVPGFPPVYAIGLLKSSTATEKADEAAAGSSPVFSWLDEQPARSVVYVAFGSRIAVSHDQLREMAAGLEASGCRFVWVLKTTTVDRDDAAEFSDVLGAGFLDRVQGRGVVTKGWVDQEALLKHPAVGLFLSHSGWNSVTEAAAAGVPLLAWPRAGDQRVNATVVVSGGVGAWMEHWSWDGEDRLVTGEEIGDKVKEVMADAAVRARAARVEEDAANAVAEGGTSYRSMQDFIGKL >Et_1B_013262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6913187:6916489:1 gene:Et_1B_013262 transcript:Et_1B_013262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANAGSFVAVRRLSGTDRAAAFHHSSSAEVVTGSTAWIGKGLSCVCAQRRDSDARLSFDLTPTQEECLQRLQDRIEVQYDSTNREHQEALQALWCASFPGTELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLYFARNYPELLRKQNGDRAIWEYPFAVAGVNITFMLIQMLDLQAVKPRSLFGAIFLKFLSENDRAFDILYCITFKLMDQQWLDMHATYMDFNTVMKSTRRQLERELLLEDIQRIEDMPSYRLLAR >Et_5A_042767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:475898:479147:1 gene:Et_5A_042767 transcript:Et_5A_042767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRPPPHAVRGTQSPLQFFLSRSGAGCHRPAGKVVKSRGAQSEWRVSRWIADSFGVQFVSDTVAPSKTGDDKVLALCQERKHFVREALDGRCALVASHCAYILSLRQTGHLIRKCFEAEVLKESIPISPSDLQRNDVCQDFEKAEDIRRLREVVGIPELQDEGENGIENEKNGDLTESEDDFDKPYTETLMCVFKNQYDWHVENTSSLLDLKNMASLNADSHRGNSKNERGTNGVSANENCENPVVALVNVARSSTDVLPVEGELKEPYPDVTNVLKDLDSCMKEVEMLLVWGSNSGKEVPRILEEDKIQFRPLLTEEIAPSQAEIKYLTWHRSVSSQLSSSRNPLGSNDGIHISMLDRLYAWESKLSDEFKASSAICRRYNEKCKKLRQQESRGNNRNAIDFTRAAVKDLHSRVLVSLQKIDFIYKNIEDIRDKHIQPQLDELIGSLTRMWATMLECHRRQRAIIKLVSRSYNLKTSSQSECRCHVANLLAAELRKLCSNFQNWTAYLCSLHLWLHKCIKPLKRRKDYRKKNAVEVVPTVCVVAPIFTTCEAWIKLLNDLPARDMEEAIEGLLADISDSLPHRDEMPKDDVMGVGVLTSYTPADVQASLLRFLEKLEAFSEVSLQKYIDLQKDISAAKEMFWRKD >Et_10B_002773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1119191:1120002:1 gene:Et_10B_002773 transcript:Et_10B_002773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRTFFPGPPDATTRGPDFRDGSDYDKYVLLTPADGVVGCSFVVLFADLSGLLDASHTVMLCRIAQPWYDARAPRDRFAAVLRGGSVYWLIGEAYESQCYIFTYDVITAAAGWIDLPAEVPASCRTNGKLHLTSSPCGGQLSLLVADMLEVSVWFLLSGGGDDDGACSWARHAVIDTMLTLFYPVGIVGSGARSGAAMLQRSNSPWQFDPEAEEGLVVLDLETKEMRKVSKKKHAFLYEIDMVSRLSAMKNF >Et_3B_030885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8272965:8277423:-1 gene:Et_3B_030885 transcript:Et_3B_030885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGHISSSKLINEKLEEHQISTAKHCPNCHHKIDSKPDWVGLPAGVKFDPTDQELIEHLEAKVKEEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMVNGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSDRGAAAVAATAQEQRRRDSGSGSCSSRDHEVSATSFPAGYTVTAAVEMQQHLKQPTSDHFSFAPFRKSFDQEVGIGGDQVPPDQLRRSDQQHHAGQEQQPHRPVLATTAVPTTAFLISRPSNPVSTIVPPAMQHASVVLDHDQFHVPAILLHHHDKFQHQHQSQHQQPQQKLDRRSAGLEELIMGCTSSTSAKGEASIPQPQETEWPYQYWPPDNQDHHG >Et_7B_054766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4164077:4166158:1 gene:Et_7B_054766 transcript:Et_7B_054766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSYQVGNGMYVSGRPEPPKEKAPTICSTAMPYTGGDIKKSGELGKMFDLHVEKSRKSGPLGNAPSRNPSFGGAASNSGPVSNVVPAAGGSARAKSNSGPLNKHGEPTKRSSGPQSGAGTPMARQNSGPLPPVLPTTGLITSGPISGPQSIGAPRKVSGPLDSVASMKMRATSFAHNPAVTNLNTEEGYSIQGSFSKPILWAVILLFVMGFMAGGFILGAVHNPVLLIVVVVIFCFVASLVIWNVCWGRRGAIGFVNRHPDADLRTARDGQYVKVTGVVTCGNFPLESSFQRVPRCVYTSTCLYEYRGWDSKAANTGHRYVGFTFNGDFQSGLRALVKSGSGARVTPYVDESVVIDINPDNKDMSPEFLRWLREKNLSSDDRIMRLKEGYIKEGSTVSVMGVVQRNENVLMIVPPSEPISTGCQWGKCVLPTNLDGLVLRCEDTSNIDVIPV >Et_4B_038514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29542236:29544664:-1 gene:Et_4B_038514 transcript:Et_4B_038514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTPLFSAALLLLCFPSAPRAAAGDDPIPTPWPHQFHAKLVMDYHGNLSLADLWYDWPQGRNLHVIRYQLAADAPYYDAEWNNGTSFFYTPARRTCRSAAVGVGILRPDWLVPGSVYLGRRDADGFDCHVWAKADFITYYEDVRTKRPVKWVFYTGRIAYVMSFEVGAVLEDAEWQAPEYCFTKDGGISDLSDGHGESFIPRNVL >Et_3A_023831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1382699:1386548:-1 gene:Et_3A_023831 transcript:Et_3A_023831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDLRRAVSLSNDFSRASGSGTAPPAATPPVPPPSNADAAAAAAASRLFLLPTLLCSPAMPTQKQCLETCTEADPGDFSLAHDDGDEGFGAAPYSSESEWSDDEVVLTAFGDVELPVASKSRAEGAITVAAHRLATINKGHRKSRTQQGRMNNVGLVAFLLLLLFFVDWCSWRIVRLPLDSFYLTRPFLTSAVLSAIAGFLFVPIADSLKIYHFRRRGKSGSPSSIKPTPAMGGLFFILVGIFVASRGVGSNSNVVNGAAMITYIFAMVGLLDDISTLAMDRSYKIPQWIRFLVQIVAGVYFSIWLGTADISTPYSTKFLVPLPPPLGLAFMGKLYLVMAAACSLSMGTAVTLVDGLDGLAGGIAALALVGLSIAALPICSELGVFGASMSGACTGFLFHNRYRASVVMSRVGSFALGGAVATIAACSGMFLPMFIACSVFFMELLFAILQVPFRMATKPFLGTNRYPFRIRPSYYYLRLWGIKEPYIVAGAYIISCFLILVAGYLGLVSA >Et_4B_039966.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:6935072:6936631:1 gene:Et_4B_039966 transcript:Et_4B_039966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTEAPPPPPPMDAAHEPAPCSPLSADHFPPCLGDAAGAGALDLSFTSTASASTSSFTTATTFSARSSLSLPSFSSSTSLSPRPHSSSASPHWAHLAAARAATPDGVLRLAHLHLIRELGHGHLARVFLCRLKSSPASSPLFALKVVDVRDDDPSRVSHVLAESRVLSSLDHPFVPTLYARLDAGRYACFLMDYCSGGDLHAVLRRRPGGRLPVAAARFYAAEVLLALEYLHALGFVYRDLKPENVLLRGNGHVVLSDFDLALPATVEPAVRRRQVRKQQSRRSSRRSFLFLPSSCFSGNNGGNDDEAEEVDAREQFEFVAEPTSASSKDCVGTHEYLAPELVSGSGHGNGVDWWAFGVFLYELVYGRTPFKGHTKEATLKNILAKQVTYPQLDDDVVQLKDLVGRLLERDPRRRMGAARGAAEIKRHPFFAGVDWALIRCVAPPVVPDKEAAADGRKPAKQLGSWSSMGRGSSASSKRKSSSFSRKSNYEERQGVFRKLMTWSQEGRSSKTKTSKVKP >Et_10B_002362.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:13158240:13159121:-1 gene:Et_10B_002362 transcript:Et_10B_002362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNSTDVVSWPPARETMALSTTSSSVSSGAPRWSVMASMHATRSLLREASPRASLALVSRATAPRTRRILALARRLRRNAVNGRFTGTDHRPSRRRGNSAASSPSRAAPPRLSRPKSSDAMTPKVSRFISGWAATRARPAQCSSRWRSTSRSMRGTYSRSVSGLRNSARAPRRRWLSAPRRRSTDRGCCIDSASLKKTSLLAAGPVMNTVGVPNRDSFDTGPYRSTRSWNQRSVVLPRMARRKPRLCPTNGRPREPGGSRTGCLLLLARVHTWRINGTAMAMSARTRPDEAI >Et_3B_028235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12083977:12113099:1 gene:Et_3B_028235 transcript:Et_3B_028235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHERKTIDLEQGWDFMQKGITKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQELYEKYRESFEEYITSMVLPSLREKHDEFMLRELVKRWSNHKVMVRWLSRFFHYLDRYFISRRSLPPLREVGLSCFRDLVYQEIKGKVKSAVISLIDREREGEQIDRALLKNVLDIFVEIGLGSMECYENDFEDFLLKDTADYYSIKAQTWILEDSCPDYMLKAEECLKKEKDRVAHYLHSSSEQKLLEKVQHELLTQYASQLLEKEHSGCHALLRDDKVEDLSRMYRLFSRITRGLEPISQIFKQHVTNEGTALVKQAEDAASNKKPEKKDVVGLQEQIFVRKIIELHDKYLAYVTECFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFISSHSELNPGIDLAVTVLTTGFWPSYKSFDLNLPSEMVKCVEVFKEFYETRTKHRKLTWIYSLGTCNINAKFEVKNMELIVTTYQAALLLLFNGADRLSYSEIVTQLNLSDEDVIRLLHSLSCAKYKILNKEPNNKSISPNDVFEFNSKFTDKMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVLNHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNGRKVIELEEGWEVMPLGFKKLERILAAEQGVAFSPVEYMHLYTTIYNMCTQKNQNDYSEQLHGRYQELLEEYITKTVMPSINEKHGEFLIRELEKRWKDHKIMVRWLSRFFHYLDRYYIPRKSLIPLKQTGWNCFRDLVFNEHKTTVTTIVAGMVDEEREGQVIDRTLLKNVLDIYVELGNDTKLYEEDFEVAFLKGTADYYSKKAQTWILEDTCPEYMIKAEEYLQKEKERVQHYLHPSTDGKLLEHIDQILNKENSGCKVLLCDDKVEDLSRMFRLFSRIDGLAPVSKVFKEHVNEEGMSLVQQAIDAAISKNNEKKDVVSALELDFVRKILELYDKYLAYVIGCFQNDIQFNKAFKGAFEVVCSKDVAGCTSAELFASYCDSIVRKGGIEKLSDEAIEENLERKKLGRRLLFEKGGNEEHERSMLSKLKQNFGGQFTSKMECMLTDVSNMRGSQCRYEEYIRRNPGSNPFVDLNVTVLTTGYWPTYKTSEINLPSEMVHCVEAYKDFYNDEWKNRKLNWIYSLGNCNIIGHFEPKPIELIVTTYQAALLLLFNDSDRLSYSEIVTQLKLTDDDTVRVLHSLSCAKYKILNKEPSNRTISPTDVFEFNSKFTDRMRRIKVQLPPSDEKKKVIDDVNKDRRFAIDACLVRIMKSRKISTHQNLVAECVEQLSRMFKPDIKMIKRRIEDLITREYLERDTDAAMLLGFKKLERILAAEEGVAFSSVEYINLYTTIYNMCTQKNPNDYSEELYGSTQVLPSIVEKHGEFLIRELDQRWKDHKIMVRWLSRFFYYLDRFFVPRRSLKSLKETGWNCFRDLVDEEREGQIIDRTLLKNVLDIYVELENDMKLYEEDFEVAFLKGSADYYSKKAQAWILEDTCPEYMIKAEEYLQKEKERVQHYLHPCTEGKLMEQSRFFFATKSQCLQVAQRELLAKHIDQILNKENSGCKVLLCDDKVEDLSRMFRLFSRIEGLAPVSKVFKEHVNEQGMSLVQQAIDAAISKKNEKKDIVSALELDFVQKILELHDKYYAYVTGCFQNHKDFNKALREAFEVVCSKDVAGCTSAELFASYCDKKVELNDEAIEENLEKVVKLLAYISDKDLFVEFHRKKLGRRLLFEKGGNEEHERSMLSKLKQNFGGQFTSKMEGLLTDISNMRGSQCKYEGYIERNPGSNPFVDLNVTVLTTGYWPTYKTSEINLPSEMVHCVEAYKDFYNDEWKNRKLNWIYSLGNCNIIGHFEPKPIELIVTTSQVGVRWIHMFAGRAIILMFLPVYILFCQAALLLLFNDSERLSYSEIVTQLKLTDDTVRLLHSLSCAKYKILNKEPSNRTISPNDVFEFNSKFTDRMRRIKVQLPPSDEKKKVIDGVNKDRRFAIDACFVRYHEEPQNLNPSESRCGMCGTA >Et_2B_019143.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:13764531:13764653:-1 gene:Et_2B_019143 transcript:Et_2B_019143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKITIRGVALLQKEEKEKARKYVCVGSMLVEISEEIST >Et_9A_062539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23967416:23969658:1 gene:Et_9A_062539 transcript:Et_9A_062539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIFCCRYKGDEAAAMGRAMRWIKKVFTGNKEGDKDSSSKEHSLAGSHCGVGPPIEKRRWSFARPRNSVADASRRPSVTAVVAGELSQVRPCCCGQEREVEAAVMVQKAFRGYLARKALRALKSLVKLQALVRGYLVRKQTAMTLRRLQALMRLQASSHAVKAASSRRSVEQERAIAREARLMKPLALPVVHRRRLSDGGDSAFERSPRIVEMDTCQLRCRSTRITSRYAADPPGSSPLLYFYSHHHKPLLEREPPLPKTSHNTPRLGAFPGYLGSPAKGGRASPCRDAAGSSPRYMADTASSVARARCQSAPKQRNEPRRSLGRSGSRKQQDSFSFKSSEATSRVADSEFSDEVTRDYYLDRLW >Et_5B_044083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19875703:19879908:1 gene:Et_5B_044083 transcript:Et_5B_044083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLKSGLKRKVDDDRNYIAIFPFMSFYTPGMVLLHCLYYTSSRTPNLPPPRAGRARAPRPRRVLTDRAFLRRYRAFHGAPPLLGFLDNLATTRHESNVPSFVSTLDASLFPSRAFDCAGWWALDWRHGRVRVNLVVWDPLTGEHQELPDPVIPRGLYAAAVLCAVRGCDHLDCHGGLFRVVMAGLDCSAGNAVRAHLYSSEAGAWIASAFLGPCRHHVMRKPSAFVGDDVYFQLMWDDMILRYDMERNRLSSFHPPARHAIEGGIVLMPMEDGLLGLAGIRGSRVCLRSTNVNPEGLSGWVRCVPYSEAHVVGCAEGLGIIFVATEVGFFMIDLKSGRKRKVGEPGNYFAIFRFYTTLPGWLILVMILHRIYYILWLYSDF >Et_4A_033638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25235979:25239848:-1 gene:Et_4A_033638 transcript:Et_4A_033638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAARLLPRISPVASPGATPRADPRRPSVLRAGALSGSTTIQKQKCIAKCAISVVEGGAAFDGVKQYTRPIIVIDNYDSFTYNLCQYIGEVGANFEVYRNDEITVDEIKKISPRGILISPGPGTPQDSGISLQTVTELGPSIPLFGVCMGLQCIGEAFGGKVIRSPYGVVHGKGSLVHYDEKLDGTLFSGLPNPFQAGRYHSLVIEKDSFPHEALEIVAWTDDGLIMAARHRKYKHIQGVQFHPESIITTEGRLMVKNFIKIIEGFEALNCIP >Et_3A_026557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9712112:9712627:1 gene:Et_3A_026557 transcript:Et_3A_026557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKLMAPWPPCSLMYREPAASLDGLRSRATTESHARSRCRRWRCRDGGGAGTKGREDHAGPLPLTAWHAKSFPRKNEVERAPLTIFYDGRKVVFDDFPADKAKKLMNAARSCSPSPAAGQP >Et_3A_024941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25509317:25516007:-1 gene:Et_3A_024941 transcript:Et_3A_024941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCGLSKSYPLPLLTAAASSPRRAMLAGLLRAGYFSRASASSLARHPTATTRASTGAASCPGDPSLFGLGARVAFSTAPDGSASVGGGRALPWLASEGENSGAPAARTSAARSSSWEASAEKFFSRDDQYARRELSEDRVSNKVAIRDDDVDEPIDNTKWGRSKDSYQRVVGRDGGFRGERGRDGRRDGGFRGERGRDGGSRGGERFRRDSFDRPDVKQWNKQENWGKKTWKEAGESTVPKMAGQGVYGVGPVLAALMSGRREFYALYTQEGMDLSGSNKKKKDKKAVDKVLRMAEKIGLKVIETSKHDLNMMVDNRPHQGLVLDASPLEMVSTTELDPVRVDGGKAPVWLALDEVMDPQNLGAIIRSAYYFGAEGVVLCAKNSAPLSGVVSKASAGSLELIELLSCRNMMQFLSSSAENGWRVLGGTIAPKAVPLSEVNTGVPTILVLGSEGTGLRPLVERSCTDLVKIPGNADGLVVGADADTDVVEEGDNYSVESLNVSVAAGVLLYHLAGKDACPVSDKSSVAIMHDLCTEDKKRSHYLVVLRALQVSFAFVLVSPFCGSGGCLDELLLARFLLKDRIGGQPTTGAEAPLHHQIRAL >Et_3B_030369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32466550:32469425:-1 gene:Et_3B_030369 transcript:Et_3B_030369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNHQLRLWCRRLGRRGAAGAAFAVALLAAALLFSLSRHADVPWEASTPSYGHRLHTLVDLTIIHSAKDKGAVCLDGTPPAYHFQPGFGDGSQNWLLHLEGGSWCRSFKSCAQRKQTNLGSSAHMDRRAEFVGILSDDPAQNPDFYNWNKVKVKYCDGASFSGNVDNEVKNGTSFFFRGQRIWEAVMDELLSKGLARAKQAFLTGCSAGGLSTYIHCDDFRALLPKTPTVKCLADGGFFLDVEDISGRRYMRGFYNGVAQLQDVRKKFPHCSSDMEPGQCLFPREVAKGITTPMFILNPAYDVWQVEHVLSPEGSDPQNLWRDCRMDITKCNSKQLEILQGFRKELLDAISELKNKRDWGVFIDSCFIHCQSMNALTWHSPSASRINNKTAAEAVGDWFFGRREVKEIDCEYPCNPTCYHAVLDRPYKED >Et_3B_031209.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:2110461:2111066:-1 gene:Et_3B_031209 transcript:Et_3B_031209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAAMRAAEPAVKQWEWEGRVVSPVAAATADEAWALLSDFLAFHRWHPRVAKCRLAAGAPLAPGCVRYCEGVPDGDGVPPDWAHETLLQHDQGRRFFRYEMNDNNMGFGVFFATFRVVPEDEGGCELRWEFECEPVRGTPREALVARLQAGLDGMAARVRDHVLSKRAAAAAVLAPGMDQAPTAADDLIIKLDNSIAV >Et_10A_000245.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:19707841:19708365:-1 gene:Et_10A_000245 transcript:Et_10A_000245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPALLLVLLLFAAASPWAAAGEKQTHIKLYWHDVVSGPSPTAVQVAHAAVTKTSKTSFGVVVVIDDPLTEGPDLNASKPVGRAQGTYISAGKDTVALMMNMNFVFQGGKYNGSSVAIFGRNEVFSAVREMAVVGGTGVFRWARGYAQARTHTFDLKTGDATVEYNIYIKH >Et_2A_017152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31373835:31375321:-1 gene:Et_2A_017152 transcript:Et_2A_017152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGAADIAECQTTPGICRGQCHNTIGSYYCTECPHKTHYDTTAMRCIESKRQRSLLLGIMIGLGAGFGILLLGLSAIFAVHRWRRDAQKKLRRKYFRENQGLLLEQLISSDENANDKTKVFSLDEIEKATNNFDKTRIIGGGGHGMVYKGILSDQRVVAIKISKVIAQTEINQFINEVAILSQISHRNIVRLFGCCLETKVPLLVYDFIPNGSLYDILHSASESTFSLSWDDCLRIAAESAGALYYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVAIDQTHVDTLVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLTRRKPIFTESGLQQNLSSYFLSELKSKPIEDIAAPEIREEATQEEISSVTSLAEMCLKLKGEERPTMKQVEMALHTLWTKRLKSYVAASGNDQEMHPLLVTGVQDISGQSMVSDVGSNSDQPRQGSYNLEEEFVSSTQLPR >Et_2A_014842.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18352327:18352389:1 gene:Et_2A_014842 transcript:Et_2A_014842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKLTKGVDTLIMLVAWCI >Et_3B_028486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1651816:1653856:-1 gene:Et_3B_028486 transcript:Et_3B_028486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCYRNCCRHALFRPMKIVALTCRFAADVFHDLHEQVIATSARGRKVLTRVQNIEAALPSLEKAVKNQKSHIHFAYVPGSDWHTQLQNEQNHLLSSDLPRFMMDSYEECRDPPRLYLLDKFDNAGAGACLKRYSDPSYFKKAWDMMSADKNANLKREKRSQKIKI >Et_1A_007411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34274877:34276760:1 gene:Et_1A_007411 transcript:Et_1A_007411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSLVAFLEKLRKFCSFLVTKLLSFTKFAHQSMKHSCHFIYQNNPLLIQVTYFTLISFAGYAALKVLKSREKPNTVSDLNLLFTSVSASTVSSMATVEMEDFSSTQLCMMIILMMVGGEVFTSMLGLYFMKAKLDTKGPASRRGYSVYDIESVTSTNSGPKITHDIKVAVPMSELHLEEKNQFELKAIESLGYAVLIYLLVTNLVSSLSIYLYLILVPDAQGVLKRKGIGFVIFSVFTAISSVANCGFTPVNENMIIFQKNTILLLLIIPQVLAGNTLFAPCLRLLLWAFKKITGKEEYNFILQHSETIGYKHLMSSRECVYLMLTVISFITTQTILFCSLEWSSEALREMNSYQKIVDGLFLSVNARHAGESVVDLSSLSSVILVLYTVMMYFPGYTSFLPKYDEQHSRDEMKDRRKRCSENWIFSQLSYLVIFVMLICITEREAMTTDPLNFNLSGKSLKLTETFSAYANVGFSAGYSCKRQLIHDVHCKDASYGFVGKWSDKGKVILIVVMVFGRLKMFNMKGGRAWKLR >Et_7B_055324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9430597:9431880:1 gene:Et_7B_055324 transcript:Et_7B_055324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTVSKDIITLRGSAAIVSDILYNRGVYPEESFTKVKKYGLTMLLTQDEGVKVFIANLTSQLSEWLEAGKLQRIVLVIMSKATSEVLERWNFNIETDSEVVEKGAIKEKSDKEIMREIQAIMRQVASCITYLPCLDEPCVFDVLAYTDTDVDAPGTWVESDAKLISNPQMVKLHSFDTKIHKVDTLVSYKKDEWDEE >Et_6A_047993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:300872:306258:-1 gene:Et_6A_047993 transcript:Et_6A_047993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAATVRGLLLGGGPLSPLTVKLLHGRLLRLDLHHTDLPELLVRALSSSGLHLHALRLHSLLPNPSHHTFPFALRSASRLPHHHHPLSVGVQLHARSLKLPSHSNPHVLTSLLNLYAKCGLLHQAQRVFDEMPLPSTVSWTALITAYMDSGRVQEAIGIARNAFACGLRPDSVTAVRVLTACARVTDLVTGEAVWNAAQQEGISSSVFVATAAMDLYIKCGEMDKAREVFDNKMPNKDAVAWGTMLTGYASNGLPQEALDLFFTMQAKRMKPDCYTMAGVLSACTRLGALELGRRAVSMLQWDEFLDNPVLGTALIDMYAKCGCTTEAWELFQQMRKRDIVVWNAMILGLGMTGHEKIAFALVGQMNKLGITLNDNTFIGLLCSCTHTGLVKDGRRYFQNMTHLYHISPGIEHYGCMVDLLSRAGLLDEAHQLIQEMPMQANAVVWGALLGGCKIHRDAELAQHVLKQLIWLEPWNSGNYVMLSNIYSNSGRWEDAAKLRLDMKKKGVEKVLACSWVDFNGKVHEFRVGDKSHPLSDQIYAKLDELGMEMKAIGYKPTTEVVMFDIEDEEKESTLVHHSEKIAIAFSLLTTGPGETIRVTKNLRVCSDCHTAIKLISRITHRDIIVRDNNRFHCFADDYLYPETSAITMMFKFLQLGPFTIQDFLNVVIAVLMQVASNISVEPQLIELSPFVLVVDIDHPLIDLCICNGSIQPVVLVGELREYLRLLCLPGWCSTKIFDNYVV >Et_2A_017525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35170989:35175495:1 gene:Et_2A_017525 transcript:Et_2A_017525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRSALARRVAALTATRGGAGCAVQPQRHLSSSSGAGAGFLLGRHHASQIRSKVLGCREPAFLTSSSRWLHDAQYHDGASRPEERQDPFELVADELSLLANRLRSMVAAEVPKLASAAEYFFKVGAEGKRFRPTVLLLMASALKFPMPEPTEGGVLSMLSNKLRTRQQNIAEITEMIHVASLLHDDVLDDADTRRGVSSLNLIMGNKLSVLAGDFLLSRACVALAALGNTEVVSLMATAVEHLVTGETMQISTSREQRRSMEYYLQKTYYKTASLISNSCKAVAILAGHTADVSMLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHVITYNASLGIITAPMLYAMEEFPQLHDVVDRGFENPANVDLLAREHADRAIKAIEALPDSDDEDVLTSRRALIDITERVIIRTK >Et_1B_010551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11541289:11542087:-1 gene:Et_1B_010551 transcript:Et_1B_010551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELDEICRENNWILPRYTVLPSLVDGLYQASVYLVCPDLELNADGGTKTTPREARDSAATAMLHQLHTKAKEKLAELDSSTPAELLDKIPEKMGRKHAEPLKEQQSQH >Et_8A_056529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11626838:11627748:1 gene:Et_8A_056529 transcript:Et_8A_056529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIGDLDRISTLPDELLHLILAAVGDAVAVTRTAVLSKRWRRVWMQAQRLLLVDTMVIRGTEPGRFGDFVDWVFAQRGDADIGSLSISHMYRYPVACITPGRLNQWLRYAKQCVVKSLDIRLPYVEGTSKLSNIKTLSSIEMPDHGRMSCIRMSLASHGRDGEIRSIDKLVLSFTSFDEEEEPGGVRTTTTLGDFVSTCSPRLRKLQIMYPNGLRQLVLRTDALEELNLCFADDLETLDVVAPNLRVLKLSCCMAESIVKVSAKSLEEVGVEHLQDVRLLDIRALTNVRRLGPIDL >Et_1A_007336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33447472:33450559:-1 gene:Et_1A_007336 transcript:Et_1A_007336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPDVEMELEQTPPQPPPAPAPAAGEGWNMLSRARALLEEGRPSLALQAVLLAIRSQGGEHALIHTLNRARELYTQRSQATPNVDELASLLAQCAIAEAQSTNANPPQGPGSDPVTMLDSDEACILAESGRKQIILDAFADGSSFICLKCGGLFSTSRKDEHLAYWCGMA >Et_3B_031675.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:7505426:7508734:1 gene:Et_3B_031675 transcript:Et_3B_031675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRKLQLIGAFLLPLFAIASSFDFFHGNGKRVDPMGGGQGPFIPHEYVRFADVKRECRSVLSAGATLTFDANRANALMPELSFVKGDWKQDKDGGGAPLMPFDGTDADVDGAPDPLPLASFSLTHVDVERRGRTALNVSGVLGVAISRNGTAPEMGPYVSPELKVWPGSTELKILFEGVYTENNDDDESVLCMVGDALLPKRGGGGDAGGDPWGWAKRTDRDGFQPPVAKDRNIVLVLRYPRKLTLTTRAVRGEMRSTGGKSEAAYFDAVRLVSQLGAYSNYRFGAAEALVGKACDPYPYAGGDDVLRGGGRGLYKGGSFCGILDRFTSEDVLAVVPNWRCSNSTSTDDAFCRRLGPFVLSDKAADATSDDGAFTNVSVVMQDVRCEPGGESAARVSAVFRAVPPWEHAYTAGKRSGLGGATLSAEGVWRASTGQLCMVGCLGADGSKACHTRVCLYVQTTFTATRRSIMVGQITHIDGSGGVDHFPITLKRRVHPTELWSRFGVSGGAPLSMAYNYTKVAQAGEFLRRSEPFDFGAVIAKSLLSYPRKADNLDDATSLSNLADDLSLHVVAVPYPLPRERFERAFLQLEVLSIESLVGRTTPTAFPGTPEAERPDSSSTPSTPSSQAAESSSSLLNVSAELTLSGSAAYANVSSLFLEGVYNPVDGRMYLIGCRNIVAPRKAFPTFTDVEDGGMDCSIEVRVEYPPTTARWLINPVAKVHVSSTRPLGDPLRFDAAVAVQTLPIMYRAQRQDILSRRSVEGILRVATLAAAIAAEFNQLMYIKAHTDVMPYVSLVMLGVQALGYSVPLITGAEALFARIAASGSDGDGAAQPSYEVDKSSLYWTIDCVVKILILAAFLLTLRLAQKVWRSRIRLLTRSPLELGRVPSDRKVLLYCCGAHLVGFTLILAAHYVNVYSRPVRGDQEGSTYMDARGKTHALREWAVTMEEYVGLAQDLFLLPQVVGNVVWRINCAPLKKSYYAGLTAVRLLPHLYDYVKAPGMINPYFAEEYEFVNTSLDFYSRFGDVAIPLAAVALAAAVYVQQRWNYKIISRTVKTQQKKLQHLGSRVYERLPSMSSGNFEAELVSGVNEGAALRRDTSLA >Et_5A_041112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18478297:18478857:1 gene:Et_5A_041112 transcript:Et_5A_041112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETAVQRLLFDEEGRDRNKVLKCYYLNLRNKVVRCMEGGGSSQASIDLLLATSPIKLLGPEYDNCQAMVDVTAKCRGNASALVAIRRNYSEMYMRSLVVIVLGFPVTGKPLPPLNRISRLLLKCRHCHW >Et_3A_027040.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30337359:30337727:1 gene:Et_3A_027040 transcript:Et_3A_027040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGKASKLEFLRLGLPRARGGGPSTTTATARAGGHSGNNNTTSPRRASSSSSSTASPPSSCVSSEGSPEAAAPMVLAGCPRCMMYVMLSREDPRCPRCLSAVLLDFNDDAEQGRPRQRR >Et_1A_008268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5868489:5871336:-1 gene:Et_1A_008268 transcript:Et_1A_008268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDYFSQRMEGDHHHHQAGDLTDIIRAGGAMQVGLAELPSTATGEWHLAEPGTLFPPPQQPSSSEGAGPSGDGGFGDALAGLPDSFGCEYRASTGGGAADFFDFEAPVGGRGVDGGSGGVLPRAMQMMPVLSPRGIRPYPAGIMVGGDAVKLGMPTMMPGGLGVGPPCAFDAVAGLQMPSPRGGGIKRRKNQARKVVCIPAPAALAGGRTTGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRTDPSMLVITYNSEHNHPWPTQRNALAGSTRSHHAKNNGNNSSACKNSSSQDLQKPMVKAEPDQTAAASATTTTTSTSNSTPPATAVKEEEMVASEMEKGMQHAADHDASVTLDPGDLMQQMFNQSYRPMIPEGGHHDDFFSDLAELESDPMSLIFSKEYLETKPGGEPGKEMATPKSLADPLFNMLDWGATTAVANSAGSSIKQNERGL >Et_1B_012181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29780923:29782354:1 gene:Et_1B_012181 transcript:Et_1B_012181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVHVRVTGMTCSACAGAVEAALSTRWGARRAAVSLLQNRAHVVFDPALAKVPPPSHLRVFLEFDEDIVEAIEDAGFEKEILPDSAVSQPKTHKTLSGQFRIGGMTCASCVNSVEGILKKLLGVKIAVVALATSLGAVEYDPSTISKDEIVLAIEDAGIDAALLRSSEQDKVLLSVTGLHTDGDLDVLHDILKKIEGLPQFASLKFNLILKLLEASKILYLLRSRQIPFGKYFYVPLGS >Et_2A_017186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31852188:31852563:-1 gene:Et_2A_017186 transcript:Et_2A_017186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSGQMMSFWLYLWDGNCPLAADYPALFSHCTRPKISVPANFREPADRVPTIRDSEARIVMLRCVARFSCGLCIRTGCARRRWKDSTLLNV >Et_8B_059175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14851441:14854137:-1 gene:Et_8B_059175 transcript:Et_8B_059175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAIRIHTAQANRYQKQVLIGVILALVAVIVLMLSAIYAWTFLRKSHKALYSKDTRTSSEFLKVPDINANNRNSLKMSKKEVIAMMDFSVLELATGKFNDKNILGKGGFGCVYRACLDRSSVAAVKKLNCCREEVGKEFENELEFLGKIRHPNVISVLGYCIHEDTRLLVYELMQNGSLETQLHGPSHGSALSWHIRLKIALDAARGLEHLHEHCCPMIIHRDIKSSNILLDSDFNAKISDFGLAIYGGNLNKDNIMPSGTVGYVAPEYLLDGQLTEKSDVYAFGVVLLELLLGRKPVELVGQTHCQSIVSWAMPQITDRTKLPTIIDPVIRNTMDLRHLYQVAAVAVLCVQPEPSYRPLIADVLHSLIPLVPVELGGTLRVVDKSRLGG >Et_1B_010195.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:29195483:29195731:1 gene:Et_1B_010195 transcript:Et_1B_010195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCNLKDPSLIMAGSGCGEQKQSRSAMFFSWLLLQQKILASDRILKRGGQANAIYQLCMPSLRARRRTRRTPEGSSNHSVSF >Et_6B_049859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15437072:15439668:-1 gene:Et_6B_049859 transcript:Et_6B_049859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPLLRVQSSPPSPSGLRRPSGSMGTEESNPGHFTFTLACEQTHRFSTATAYTWYPPTALAGTGVWVTFRHNLIMTSLACYILSPGKPKELVLSGAHPTPIKSQQLRSVLSSSSKSACPVDGGE >Et_1B_011669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24746552:24747817:-1 gene:Et_1B_011669 transcript:Et_1B_011669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSTSSCSLYLLHFAAVLLLLSPAHGCDRCVRRSKATYQASSLALNAGSCGYGSLAASFGGGLLAASAGPALYRGGVGCGACYQVRCTDAALCSAAGARVVVTGRASTNDNRTDLVLSGAAYAAMVRAGAGAGEASILRERRAVDVEYKRVPCQYANRNLSIRVEERSRPPSNLAIKFLYQGGQTDIVAVDVATVGSSNWRFMTRDHGPAWSTTQAPAPPLQFRLVVTGGYDGKWVWAEREVLPRRWEAGRVYDAGVQIADVAQEGCYPCDTQEWQ >Et_1B_014158.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:33189227:33189580:-1 gene:Et_1B_014158 transcript:Et_1B_014158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVQSSSSSCSGGRHGAGGGEGRRPVQLQRRRSQEGKIMEDQGAPSPSPAVKVKMVLTKGELGWLVARLKAGDRRLEDVLHEMARRKREARNGWRPGLESIVECPAETAAASSDS >Et_2B_019530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:181523:186483:1 gene:Et_2B_019530 transcript:Et_2B_019530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCSLLFGVAPRPPPAALGLLRRRRSMASSAPNCAFQLRLNPLTGDSEWLVVDEAEGEGEAPPAAPSQQKQLLATTSYLDMLNDTARNRAYRRAIDATITDPASRVLDIGAGTGLLSMMSARALSTVGGEGTGNVSACESYLPMGKLMRRVLRANGMENKVKLFHKRSDELQVGVELDSPADVLVSEILDSELLGEGLIPTLQHAHDMLLVKNPKTVPYRATTYGQLVESTFLWKMHDLHNHESNAADGVWLTPDGMESIVSVNTQQHAMQCDALADEIQLLSEPFKVFEFDFWKRPDSHRETTIKIKATADGRAHAIISWWVLQLDSAGSVLYTTAPRWMTQSSSEDLSHCANDMKDWCDHWKQCVWFIKGRGAPVMKDQTLSLTASHNQTSISYQMNINDERCSGSPKGDHLTLLPERIALYGDKNWRSALISAIRSALTARSSPTCIVADDSVLLALLVSTLLPSSEVIAMFPGLRGKGGNYIQAIADANNLAMDHIKVIGRRASSITMDDLKHKKVDLLVGEPFYYGSEGMLPWQNLRFWNERTLLAPLLSEDAFIMPCKGILRFCAMSLPDLWRSRCSLKDVEGFDHAVVNDTLGACGDLLGGQQGPCLPYYVWQCGYTKKLSKVYSLMEFDFSKPIHSCFGGTKIEFSCDGVCHGFAVWIDWVLDDKNSIVIATGPESRYWKQGVQLLSKPVEVNPSSSVMHVEAYLDPHTGELTFKSTPS >Et_7B_053798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12280101:12282715:-1 gene:Et_7B_053798 transcript:Et_7B_053798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLALPFTLLGIILVFSGSPAADAAAAVEPDDSVLNLDASNFSEVVAKHQFIVVKFYAPWCGHCKQLAPEYEKAAAVLKKHDPPVVLAKVDAYDEKNKELKDKYEVHSYPNIKIIKDGGNSVRTYGGPRDADGIVEYLKKQVGPASIELKSRQEAIRSIGDKGVVLVGVFPEFAGTEYETFMTVAEKMRADYDFFHTTDADILPRGDQAVKGPLVRLFKPFDELFVDSQDFDKGALEKFIEVSGFPMVVTFDADPINIKFLERYYSIPSAKAMLFLKFSDERMESFKIQFNEAAKQFSANNISFLIGDVEAADRAFQYFGLKESDVPLLFVIAQNGKYLNPTMDPDQIIPWMKQYIYGNLTPYVKSEPIPKVNDQPVKIVVANNIDDVFFNSGKNVLLEFYAPWCGHCRKLAPILEEVAVSLQDDEDVVIAKMDGTANDIPTDLAIEGYPTIYFYSTSGNLLTYEGERTAEGIISFIKENKGPKTDAPTEGEEESQMGAGAAEETSSSSSASVKDEL >Et_1B_010069.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:21877172:21877471:-1 gene:Et_1B_010069 transcript:Et_1B_010069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDIAQEAVSFLLQLPSAAVPVCSVWHVRQQGRVLVLQQYKDQRRRPQVPLKVNKMIGTYEELKLEVFVNLHKHKHTAIREWPLQYLWCHLWFREPVS >Et_3A_023422.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:26688912:26689019:-1 gene:Et_3A_023422 transcript:Et_3A_023422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AVEARFLRASFSAFVDLTTLVTKLVEEFRGKEGRS >Et_6B_048771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13571781:13579040:1 gene:Et_6B_048771 transcript:Et_6B_048771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSEMSPIDRISDLPDELLLRILFYLTLQEAALDSNAWALGILKHRRPRPLSIEGYPFPFTSAHLKRLALSNFSVDDFFVKNLSSSCPLLEDLELTSCAISVTMFSSTSIKSLTIITSTDKDRDLPKEFRYLVIYTPNIVSLSLEEIPRRNIYLLYGSSLETASIYLCSLSFENSNVDCNILSSLSNATSVELISASVFEDVVPKVLQRDLPRCETFRRLKRLHLGEWFLSRGCYPLIYLLQRSTQIQKLVRRRASLYDVVNNGLRRYLVPRLTSAAVRDLEEVLEIANAITLDNQEDTQHCPLMDKDSLEKMTMVTVRRFRMLIQK >Et_3B_029371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24454042:24460126:1 gene:Et_3B_029371 transcript:Et_3B_029371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCAVKDEHRHPEEEEVSAPVVVAPELAEGAGEECEEGGGTRKKAGGIRREPSFSRWCRDPSAAGAASTAPAAAVASDGDDSEEFELPLLPSSSAGHGGGSLPMDIEAGPAGVRSEGLPISPWLVAKVIALIASWYTLSTCLTLYNKEMLGKHMWKFPAPFLMNTVHFTMQAVASSVIVWFQHRGSEVRAIIPTAVATALDINLSNISLVFITVTFATMCKSAAPIFILLFAFLFRLEKPSFSLLGIMLIVSFGVLLTVAKETEFNLWGFIFIMLAAVMAGLKNPFTLMSYVTPVMAIVTAIISIAMDPWDDLRGSRFFDSYAHIIRSILLMFLGGALAFFMVLTEYILVSVTSAVTVTVAGIVKEAVTILVAVLFFNDPFTWLKGLGLAIIIFGVSLFNLYKYKRFRKGHHNKNGDANIQPSNGTSKYVILDDDTEDQDETG >Et_2B_020190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17800220:17800707:-1 gene:Et_2B_020190 transcript:Et_2B_020190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGVLLALLLLYLSALSASTAEAHKESLSDNAASLSGRRWYRGLKIVAVPHEDSMEDEVTERKGTKSEDANTVRSNGGEKTEEVSVDGLSGESGGSNRKFVSLMEIDVEDYSGRSRRRPRPNVRG >Et_4A_035921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8540655:8543134:-1 gene:Et_4A_035921 transcript:Et_4A_035921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQHRQHHHRTSLSRTLASYLLREQRLLFVLFGFLLASSFFLLYPSLTLHPGFGSSATSATSTVLAAAVSTRKPRAGVSAAARRLPVGVRKPSLRVVVTGGAGFVGSHLVDKLLARGDSVIVVDNFFTGRKENVAHHLGNPRFDLIRHDVVEPILLEVDQIYHLACPASPVHYKFNPIKTIMTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKESYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQTLRKQPMTVYGDGKQTRSFQYVSDLVDGLVTLMESDHIGPFNLGNPGEFTMLELAQVVKETIDPGASVEFKPNTADDPHMRKPDISKAKSLLNWEPKISLKQGLPRMVSDFQKRIMEEK >Et_1A_009625.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:9815660:9817237:1 gene:Et_1A_009625 transcript:Et_1A_009625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVATALRFVGEHVRASDLAVAAALLFACSAAANRVAARGAPMMWPVLGVIPTLFAHLDDIYDWGAAALVRAGGTFPYRGMWGGGSSGVITSVPANVEHVLRANFANYPKGPYYRERFAELLGDGIFNADGDAWRAQRRAATAEMHSARFLEFSAGTIRRLVHGRLVPLLSRVAERGEAVDLQEVLLRFTFDNICAAAFGADTGCLAVDGLPDVPFARAFERATELSLVRFVTPPFVWKAKRRLRVGGERELVGAARAVREFAERTVAERRTELRKLGSLDGRCDLLSRLLSSPGAGYSDEFLRDFCISFILAGRDTSSVALAWFFWLLASHPDVESRCLTDVRAARGGDVSRMDYLHAALTEAMRLYPPVPVDFKEALADDVLPDGSPIRAGQRVIYYTYAIGRDAAFWGPDCLEFRPERWMRGGAFAGAGESPFKYVVFNAGPRLCIGKRFAYTQMKTAAAAVLARFRVEVLPGQEVKPKLNTTLYMKNGLMVRFTTREQEPQLAEVGGDQSPRSPADRT >Et_1A_008010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40017193:40020288:-1 gene:Et_1A_008010 transcript:Et_1A_008010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIEKPFPTQGGGFSPKRLRAMLLGVEKRRKGQDDADADEDYDAVPKDAVRSEADARGASTICEEYKDVDVVSTISESSSSLESGNGQRSRDTHSMGSRTRVPEEDSCDSESVASNFEFHKERGAAARSAAAPVVPPFSKPAPSKWDNAQKWIASPTANRPCRATGGALPRKVEKPISGGGRLPATKVVLEATEEIDTKRMDPSQEKREIGWQKAVSWAPPEPCPEAEPCPKSALVEESTITDSEVAFSRHDSSTALQGATTCIPPPSTVRSVSMRDMGTEMTPIASQEPSRTGTPVRATSPICSRPTTPRRTLGPNAIGSVISHGECSNAELSEQDLQTKTRREIMLLGTQLGKTNIAAWASKKEEEKDASLSLKTVPMDQSTQNLTEIRAAAWEEAEKAKYLARFKREEIKIQAWEDHQKAKIEAEMRKIEVEVERMRARAQDKLMTQLASARHNADEKRAAAESKRNRAAARTAEQAEYIRRTGRVPSSFGCWNWCS >Et_4A_032425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1012602:1022626:1 gene:Et_4A_032425 transcript:Et_4A_032425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGDGGGEHPASASSYWYDACEDGASLLCGIDFAASADFDPGLIPAIDSGADDGFVAEIDRILESINAETVPAPPPPAPVPVAPPQLQLQDAATVVANNAVAVADTARRSQGAEGRKEQRRESRVAVTNGGGERRDEKRQRLTAAGPGEPRHDCRRRPMAPPLPPSRGWEDRRGRREYERPRKRDRDSHGGRDHHRREARGFWERDRGGKMVFRHGSWEADGEREGKRARTQDGGAVENKAEADRLSSSQKEKPVTEEHARQYQLEVLEQAKSRNTIAFLETGAGKTLIAVLLIQSVHEKMLKENKKMLAIFLVPKVPLVYQQAEVIRDRTGYRVGHYCGEMGQDFWDARKWQREFESKQVLVMTAQILLNILRHSIIKMDSIHLLILDECHHAVKKHPYSLVMSEFYHTTSKDKRPTVFGMTASPVNLKGVTSQEDCAIKIRNLESKLDSIVCTIKDRKELEKHVPMPSEVIVQYDKAATLWSMHEQIKQMEVAVEEAALSSSKRSKWQFMGARDAGSRDELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAQSFLTALQNDERANYQVDVKFQESHLKKVVDLLHCQLTEGAAIKSESDDVEMHNAENLKPNELEEGELPDSHAVSVGEHVDEVIGAAVADGKVTPRVQSLIKILLKYQHTDDFRAIIFVERVVTALVLPKVFAELPSLGFIRCASLIGHNNNQEMRSCQMQDTIAKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNLSHEAFLKNARNSEETLRKEAIERTDLSHLDGTSVLNPVDTSSESMYQVESTGAVVSLNSAVGLVHFYCSQLPSDRYSILRPEFIMQKHEKPGGTTEYSCKLQLPCNAPFEMLEGPICSSIRLAQQAVCLAACKKLHEMGAFTDMLLPDRGSGEGEKTEQNDQGDPLPGTARHREFYPEGVAEILRGEWILSGRDSCQSSQFIKLYMYSVNCINIGNSKDPFVSQISNFALILGNELDAEVLSMTMDLFVARTMITKASLVFRGPIEITESQLVLLKSFHVRLMSIVLDVDVDPSTTPWDLAKAYLFVPVGSEKCVDVLREIDWTLVNGIVNSDAWNNPLQRARPDVYLGTNERSLGGDRREYGFGKLRHGTAFGQKAHPTYGIRGAIAEFDVVKASGLVPARDRGNINEYQYQGKLFMADSSLDDKDLAGMVVTAVHSGKRFYVDSICCNMNAENSFPRKEGYLGPLEYSSYADYYKQKYGVELIYKKQPLIRARGVSYCKNLLSPRFEHSEARDGEFSENLDKTYYVYLPPELCLVHPLPGSLVRGAQRLPSIMRRVESMLLAIQLKDIIGYPVPATKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMILYQYALNKTLQSYIQADRFAPSRWAAPGVLPVFDEETRDSEPSIFDEESTPSSALQKDSFDDDIDNIKEDGEIEGDSSCYRVLSSKTLADVVEALIGVYYVAGGKIAANHLMKWIGIHAELDPQEIPPSKPYNIPDSILKGINFETLEGTLGIKFQTKGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITKHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHVHLRHGSSALETQIREFVKDVQEELSKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDSGYDTSIVWKVFQPLLDPMVTPDTLPMHPVRELQERCQQQAEGLEYKASRAGNIATVEVFVDGIQIGVAQNPQKKMAQKLAARNALVVLKEKETAAKKDTEKDGEKKNGSQMFTRQTLNDICLRRQWPMPQYRCINEGGPAHAKRFVYAVRVNTSDRGWTDECIGEPMPSVKKAKDSAAILLLELLNRNYPIKPDSK >Et_6A_046354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13596882:13597628:1 gene:Et_6A_046354 transcript:Et_6A_046354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVSPLAAAAGPSCPCQSSLLSTTKSRRSIFIDKIVSSKDPDDASCLFAVMIHGDEDITICRPGKGYCVAELDDEVVDMVFSNGNLYVLTEGEGLYVLELAEDKDGKPVVSTVDRLIGDCHYPSFPILDIWGSQTVEERYLRHYLVESCGQLLMVRRWLDDPHEVNPGDGNHTLSFEVFKLNSECAGWMEQKGLDGNTLLLSKRGSVSVSSSSCEGARADCIYFVYDGEGSFP >Et_10A_000538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12351037:12354432:-1 gene:Et_10A_000538 transcript:Et_10A_000538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRADGQVTSAALHWLILFSEEEEEAMEPDSRSSIAVKAGVVGDGQECAWSFALGTTSRGILRGMDKPYVSMLSSSAVIPLGSLHPNPCNRFANVTCTIFSPRLMPGHIRRPEPNGKSSKSCPFTSMLLPRNRSGRNSSAVSPQTEVSRPMAQTVTNTRVPAGMTYPSKVVSSRDNLGISSGPTGCRRMASFTAASR >Et_3B_029669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2763454:2764573:1 gene:Et_3B_029669 transcript:Et_3B_029669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDMAGVFGPAPPEGHVVEQGGGGGHGDGASGGQGKLCARGHWRPAEDARLKELVAQHGPQNWNLIAEKLDGRSGKSCRLRWFNQLDPRINRRAFSDEEEERLLAAHRAYGNKWALISRLFPGRTDNAVKNHWHVLMARRQREQSGALRRRKPSSSSSSASAAPPHFAPAVVQHHHPYYGSTPPPFHGGGVPLDAAARDASRAYSGGDSDESASTCTTDLSLGSAGAPVPCFYQRQSYDMAPRATAPAPVAFTPSARSPFSAPSPARHRAAASDDKLTLPFIDFLGVGAT >Et_8B_060431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15015964:15017822:-1 gene:Et_8B_060431 transcript:Et_8B_060431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRKPTNPLSPPRPGAGNVAPTKPSPSPAARRRRTAAKRRGSLLKYLASAPAATFDFSLLSCRRRLLFFARLAVLGSNRRRRRGVPAPPLLVPSSSAPSGPRAVRRRNPPAADDAGEADAVPDLDETLIHAHTDPPPPARYDFAVRPVFGGRPSATLYVAKRPGVDAFLRAAADRFEVVAFTAGLPEYASLVLDRLDPRGEVFAHRLYRGACRNDTGDGLRFVKDLAATGRPLDSVLIVDDNPSAYALQPENAVPVAPFLGDDNDRELQRVMAFLDAADGFDDTREAIKYHKKLVRLPKPAACHFFNQFQKKRKDATVLTLEEELARVSHITSILK >Et_1B_010591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1219695:1223100:1 gene:Et_1B_010591 transcript:Et_1B_010591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALRLSLPRRGSLRRALLSNPPPSASAAAGDTFRRSFQSGEHPHRLLPSSSDFRNDESMEEFEQRLFGKTGPNEGPLYNKLDRVGNAGRRYGMGSGMGAFGNRSSSETMGGFDSLNDGLSGMLGDAARNFQADDDEDEEDDEDFEFRPDVTFRRGSMYNTRDLDLTRPAAAKNPPRPQFETTTKEVLRKADFRNVRFLSNFLTEAGIIIKRSQTRISAKAQRKIAREIKTARAFGLMPFTTMGRRPFIFGRSAEEHHLEEEYGYDFVEKEGEPDEDNEDTAPAVEPV >Et_8B_059459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18061358:18068633:-1 gene:Et_8B_059459 transcript:Et_8B_059459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSEKDFQTIGMEKNGAYQDWLAPPNSDVYGVVPLTCKLEVAVCNYYAYQPQDKRCAAPIGIIEVASRSSGAASICVAIRCCSPESCCSYRPHRPLPLARVLPPGSVSPSAIPRFQTKDNKKSSGSPKFQILEQGHLESLKIPITDEDELEER >Et_4A_033263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20711196:20715634:-1 gene:Et_4A_033263 transcript:Et_4A_033263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLEALLPYVKKMITDMAEEEVGLLLGVHGEMEKLDRNLGNVKAFLADAERRRIKEELVQGWVRMLKGVMYDATDVLELGQLKAEERRESKLGRSMENMPACFQPFLFCLRNPIFAHKIGSRIKELNQRLEEIHKEAAKFNFTANLNSYPEQRTEAEYYSSSHGMTSEFIPSAVVGEQIERDTRLLVHELTLPTDENHDIMKLVSIVGMGGMGKTTLAKKILKDATIEENFKSRYGSASPNSSTRSAIKHAGGDPGVEQDNTLLTRTLTKTLSAGKFLLVLDDMWNIRVWSSVLGVSVTNASDTQPGSRVLITTRFEDLAPQMHHSFYQHHVSPLDEDDAWFLLKNQFPQLPNQVSTVDHLKDVGMKIIRKCDGLPLAIKILGGLLVTEPWAVHAWEAILNHHAWSLSGLPEELDYRIYLSYEHLSPSLKRCFLYCSLFSKGTSIYHRMVVWMWVSEGFIQPPYGSSWSLEEVANKYYNELIMRNLIEPKHTLTKLECTMHDVVRSFAEFMVREESLVLHDDHVGSIGLLRRLSVGSTKSIPEWSLLQKQEINIKPGDSLTSFSSLRVLSIENADCDRLVDSLCQLRHLRYLGFRGTNISKLPEDIHRLRFLQHLVLRDNTSLEKLPSSIIKLAHLRSFRVDGSHDVIIPKGFGALTNLRSLFGFPVHVETNGGWCSLEETGPLCQLRDLSLCGLENASTSSSWAEKAVLRSKGHLSYLRLNCSSSRYMESMDELEKQQQQKATEEVFEKLCSPTCVKHLWVEGYFGRHLPHWMMTPAISAFKSLWILRMKDLPYCTQLPDGLGQLPSLESLEIEDAPVIKSVGPEFQTSSSSVVVGGGVAPSTSAAFPSLTNLYLDGLCEWEEWEWEEQGEGVTAEAMMAMPALKTLTIDNCKLGRLPPGLASNKRHALRKLYLYKLSHLTCVENFPSVVELDVFEGPELKRISGPIDAPVATP >Et_10A_000702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15813341:15813728:1 gene:Et_10A_000702 transcript:Et_10A_000702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLTRSSRFQERLKLMLGSSSVAPLDKQQEPEDLQNVMFSKLLLSSKQPDNIRNIQVVDSSNTLKSPSGLGLIAESPKCYNVQDGTGDNWGTYIYY >Et_9A_061797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16600431:16605115:1 gene:Et_9A_061797 transcript:Et_9A_061797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATESHEVQAACVVRSGRQVVDEVSGWLRVLDDGSVDRTWTGPPEAIPLMQPVAPYAVPRDGHTLHDLPGEPGLRVYLPEARGEGRLPVIVHLHGGGFCVSHPSWLMYHHFYARLACAVPAVVVSVELPLAPERRLPAHVDTAVAALRRLRAIFAQSAETSALDVDPAAALLREAADISRVFLIGDSSGGNLVHLVAARVGQDDAGADAWAPLRVAGGVPIHPGFVRAARSRSELETKADSVFFTLDMLDKFNALALPEGATREHPFTCPMGPQAPPLESVPLPPMLVAVGENDLVRDTNLEYCDALRGAGKEVEVLLSRGMSHCFYLNKFAVDMDPTTGERTRELIDAVTSFQQQVQAAAGGRTVVDEVSGWLRVLDDGSVDRTWTGPPEAIPLMAPVPPYSEPRDGHTLHDLPGEPNLRVYLPEARGEGGARLPVIVQLHGGGFCISHPSWLMYHHFYARLACAVPAVVVAVELPLAPERRMPAHIDAGVAALRRLRDIAALSSAEDVDPAAALLRDAADVSRVFLIGDSSGGNLVHLVAARVGQDAATDWAPLRAPPLESVPLPPMLVAVAENDLIRDTNLEYCDALRAAGKDVEVLVNRGMSHSFYLNKYAVDMDPTTGERAQDLIDAITSFVSRH >Et_2A_015555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1514257:1516207:1 gene:Et_2A_015555 transcript:Et_2A_015555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPKNKKLKDVEISFPIVYGTISFWLGKKASEYNSHKWTVYVRSANNEDLSVIVKHVVFQLHPSFQNPTRVVDQPPFELSESGWGEFEIAITLYFHSDVCEKRLDLFHQLKLYPEEDAGPQTTKKPVVVETYDEIVFPEPSEAFFQRVQNHPAATVPRLPPGITLPPAGCMEIVPYEKKRGDTKDHPLSQWFSNFSEADELLKLAAARQQVQAHIAKLRRQLSMIEGMPQQLKPLSVPGQQFGHI >Et_2B_022196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12412387:12414642:-1 gene:Et_2B_022196 transcript:Et_2B_022196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFTTAKFLAPVAARSGGDRAPLLPAIGAAATNPRRGAQKTRLRTALAVSSDVLAGNKVAQDATGHLAVTRGEALELYEDMVIGRVFKDMCAQMYYRGMMFGFVHLYNGQEAVSTGFIKLLNQADCVVSTYRDHVHALSKGVPARSVMAELFSKATGCCRGQGGSMHMFSAPHNLLGGFAFIGEGIPVVTGAAFAAKYRHEVLKQSSPEGRS >Et_9A_061275.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:4867387:4867482:1 gene:Et_9A_061275 transcript:Et_9A_061275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVRSAFTPLHHNQQIYPLMTGEIKAATSG >Et_8B_060054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5424665:5433080:-1 gene:Et_8B_060054 transcript:Et_8B_060054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPDGRLVELFGAVKSWMPRRGEHSPSPPSQSAAAAAAQEPHDLSRDFWMPDQSCRVCYDCDAQFTILNRRHHCRHCGRVFCARCTANSVPRSPGDAAREDGERIRVCNYCFKRWLEEEAAARRDSAQPSSPVQSLSPSAASMSSYTNVSFPDFASVPIHGEGNCSQGDGDGCPEKQESVMEPAEGMEHEAYVDNPSDPFNFCLNRSDDEDDDYAVFRPDSEGQQLQNSDECYGPVHFDGRQVDCKDDTKESKSPREDTTTLADSLGADKNEDHNTGNYECCNTRSSSMYSMEVPESEPVDFENNSSLWIPPEPEDEEDDHDGVLCDDDEGQDATGEWGYLRSNSFGSGHCRSRDKSAEEHKKAMKEIVDGHFRALVSQLLEAEKVPLVGKTGKESWLDIVTSLSWEAASLLKPDTSKEGGMDPGGYVKVKCLACGRPSESFVVKGVVCKKNVAHRRMSSKKEKPRILILGGALEYQRVSNLLSSFDTLLQQETDYLKMAVAKIKAHQPSVVLVEKSVSRYAQDLFLEKNISLVLNIKRPLLERISRCTGAHIVPSIDYLSSQKLGHCDLFHVEKYVEEHGTAGEAGKKMLKTLMFFEGCPKPFGCTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLVDEGATLPELPLKSPIIVALPDKPSSADRSISTIPILMPSASSPNSDLQALDLQNDDLAFNNGNIDCLLVPQSSISPLVQQSDISFCSYPDFTRDVSSKLHFEEHQSDTTKQAPVNDSGLLPGHPINSASVENGNSFTNNSGNGDKMAEKSAASLNVQVSHDDDSSKDDSITKKDEVPASPADNQSILVSLSSRCVWKETLCERPHLLRIKYYGNFDRPLGRFLRDQLFDQNNICRSCELPPEAHVYCYVHPQGSLSISVRKLSVKLPGEQDGRIWMWHRCLRCPRVNGLPPATKRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGEMVACFRYASIKVYSVFLPPSKLDFTSQHQDWVELEANEVENAAELLFSQVLNALRDIDGRPLTGSFDGNLKTLELRRNIVELEEILLAEKADFTESLKNLWKKDIGKGQQFIDIIEVNKLRRQLLFLCYLWDRRLTFIANSGGKYRDALGGLRVGNRNSDLSDNSVDPSATTKSEKISKVTEILSNATEGSLQQRSSPFHGEDKGLGQADQSNENSSRNVAELNGGEDAMVKINHANSVNVKDHLDNQESRVGVRRVVSDGQFPVTTDIPDTLDTKWRGGNGPVPDANLAKPLPSAEGIAVDIKNQAKAVPSRTSLSVPRSGDTSEDLLRWLKMPYMTTYYSLNTKGSEMGFASLADYTPVYITLFSELSQQGGARLFLPTGANDIVIPVFDDEPTSVISYALVSPMYRFQLSDENGKSKDSSDSSLPLPVYDSGNFNPFHLFDDFGSSDDFTSSMSGGRGSFSPDLVHLRVSFEDGGPPGKVKYTVTCYYAKSFEALRRSCCPSELDFVRSISRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFLKFGPEYFKYLSESISTGSPTCLAKILGIYQVTIKHMKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSSGSNKVLLDQNLLEAMPTSPIFVGNKAKRLLERAVWNDTSFLAGIDVMDYSLLVGVDDEKQELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNAPPTVISPMQYKKRYRKAMSAYFIVIPEQWMPAISNPSKSSSTIREEDSQNAPHE >Et_10A_001615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6076365:6076786:-1 gene:Et_10A_001615 transcript:Et_10A_001615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTRWSKEKGGGPDSRTEPYEARVSRTGVIPPPIRPDERSTELHPYSPGLCTSLFPGGWPPILDLPISKKIPCSIWFSIKVLLFLFLYIWVRAAFPRYRYDQLMGLGRKVFLPLSLARVVPVSGVSVTFRWLP >Et_1A_006425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22025847:22026153:-1 gene:Et_1A_006425 transcript:Et_1A_006425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNLHVWFWPGTPIWSGIPLFPVLVMFLIPRLAETNRAPSDLPEAEAELVAGYNVEYARDAILNSSLLAEANVSGLILT >Et_6A_047157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26026162:26028512:-1 gene:Et_6A_047157 transcript:Et_6A_047157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLAADDLENFTFSSDDSDFEMGDEMDNHRDNSFSMQRKAQPRNSKMRSQSSEKSTVTRGSNKYESKTPTSKECHQNTFQQRREILSYEQICGLDNVNFANVVIFGNKSFRPLQYEACRAAMDNRDCFVLMPTGGGKSLCYQLPATLHPGVTVVVCPLLSLIQDQILALTFKFGIPAAFLNSQQTASQASVIIQELRCGKPSFKLLYVTPERMVGNYSFMEILRGLYQRGLLARFVIDEAHCVSQWGHDFRPDYRGLGCLKQNFPSVPIMALTATATESVRKDILGALRIPNAVMLKRSFDRQNLNYKVIGKTKTAQKQLGDLLKERFMNKSGIVYCLSKNECADTAKFLREKYKIKCAHYHAGMAAKQRTSVQEKWHSGEVKVICATIAFGMGIDKPDVRFVIHNTMSKSIESYYQESGRAGRDDLPAHCIVLYQKKDFSRIVCMLRNADNFKSESFKAAMDQAKKMQAYCELKTECRRQALLGHFDEQYSRQKCKDGPSPCDNCLKTAS >Et_6B_049230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2057889:2059007:-1 gene:Et_6B_049230 transcript:Et_6B_049230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHSRQNSLFYPTAAAEDAAAAHDPETLFSIDRRNMSSAGTMKFQPAQYAPSHCVGLSESRTAFMAPGSFGGAFVYDADERCVTGLPSLRGGRRWPPVLLSAAGGDGAREGRRPHLHHGGLFEASSSRHGGGGQALVRPPWPCFGPARWDYVELPPPPDDFGNVSVDAYALATTGASDVICVSKQFAGIYCLDTETHKWSKAGDQPLPFTGKVERDADLGVWDLLLQHPLRVFSAADSHISRELLCCDPYEDVSVDINREPQIVGLGSGKFCVVQSFRTYKGSCCSDSDDDDDDEGDRFAVFSGVEVMRGGSGSHEAAEHGCCDTNGKREGELRIVFHKSTRYMLSPACHYPEIRFVL >Et_4B_039489.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1912963:1914522:1 gene:Et_4B_039489 transcript:Et_4B_039489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWVSLLEVALSFLCFVVFYYFHIRSKRKNPVIPLDWPLVGMLPALLGNLHHLHEWITSLLVASPLNFPFVGPPRSGMQFFVTADPANVRHVFTSNFGNYPKGPEFEEIFDILGGGIFNADGESWRRQRAKAQALMSGASFRAFVSRCSRDKVENALLPLLAHFAATGEAFNLQDLFLRLTFDTTTTLVFGVDPGCLAVGLPEVPFARAMDDAMHALLLRHVIPHAWWKLARRLRVGYERQMAEARRTIDRFIADTIAKRRVEKARSTSGGGGGGVRDSADLLSSYIDDEEDVTVDAFLRDTTINLMLAGRDTTGSALSWFFHNLTRNPHVAARIRQELASVKSSSSSTVTDGDGMVSYDPDELGRLPYLHAALCESLRLYPPVPQELKEAAAGDVLPSGHEVRPGDKILVWLYAMGRMESVWGKDCREFRPERWIADDGGRVRYVPSYKFMSFNSGPRTCLGKDMAFVQLKAAAAAVLSNFDIEAVPGHVVEPKLSIILHMKNGLMVTAKRRPQALA >Et_1A_005153.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:29779149:29779412:1 gene:Et_1A_005153 transcript:Et_1A_005153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVKLLAGLAILVALFTATWPVAVLGRVARLPPAPKPGPVKHQRPPHRHRPPPPKSSPPKSPSPSPHRHQPPPPKSSPPKSPPCHH >Et_8A_056602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13185912:13189752:-1 gene:Et_8A_056602 transcript:Et_8A_056602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ELIRLIKFREVENDIAFFLQLFPLVDYVDTTRTWMRHLNRAQPSHAEESVEEMQTLNDRSDNAIRFITLRYKTFRHEGTAETIESRVRRVLKCGSDEENQGKLPDGIEIAVKRHAAISSQGLQELTTEIDVIRNLQHKNVVRLLGFCIQKQRDILGFQIQGLEMILIYEYMPNKSLASFLCSCIHCHFNFHCKRNLLGYYKFFIWFSDTKTEEALNFPLRLGIIEGVAQGLVYLHEHSHQCVVHMDLKASNILLDYEMAPKL >Et_4B_037886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24431141:24432817:1 gene:Et_4B_037886 transcript:Et_4B_037886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSRYRGVAPPFLPRAPQPHNDLFPSRHFLFASHCRSPPRIHKPALGPSSRISPIHRLTNTPATTASGKKSMRDSDGEGSGFPRSHPSNLPLPNPHSDPNLQFSGGTDDDFSNRNSSSSATGGASPGFYSDYPTSFSGDDASMAGGGGGAPAMAPGTSLIGSLVREEGHIYSLAAKTDTLYTGSDSKNIRVWRKQKDSGGFKSSSGLVKAIVISGERIFTGHQDGKIRVWKVSPKNGLHKRVGSLPRLRDFLRGSLNPSNYVEVRKNRTALWIRHSDAVSCLSPTDPAQGLLYSGSWDRTFKVWRITDSKCLESVVAHDDNVNAIVAAFDGLVFTGSADGTVKVWKRELQGKGTKHSPVQTLLKQEHAVNALAVSAVAPVLYCGSSDGLVNFWEGERHLVHGGVLRGHKKAVFCLAAAGALLLSGSADNTIYVWRRDGGVHSCLSVLTGHTEPIRCIAVVEDNAEGEAGAGGGSSSAAASRWTVYTGSLDKSIKVWRVCDEAPDPLLQGPGDGQQMFDRYPGDSFAAGSSSTRSFR >Et_8B_060596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:306375:307812:-1 gene:Et_8B_060596 transcript:Et_8B_060596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFDMFTTHRALAERDCHGDKELVKVQCRKTLDDSVPYVPPTPAWIRAVDQSDMDCICRIITPVEEIDISIPSLYYSTTIAVATLKITSMRVFKASNPKEYEK >Et_2A_015074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28738897:28739769:-1 gene:Et_2A_015074 transcript:Et_2A_015074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVTCPICLDYPHNAVLLRCTSYEKGCRPFVCDTDQTRSNCLERFKGASVAPLDSIHIVASNANNRPSCPLCRGDVIGWIVIGEARLHLNQKKRCCEEDCCSFVGNFNELQKHTQQKHPDSRPSEIDPARRVDWENFQQSSDIVDVLSTIHAQVPNGIVLGDYVIEYGDDDTGEDYEVFRRVRTNWWSCIFCKAFSRSSRSRRRARARERRGSGRRSSNQGNLENFNLEVPTQSVELREIRFDEIDDEYIVTGAIPSIAAPGRMASFHYRSVQTICF >Et_1B_010778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1459127:1460889:1 gene:Et_1B_010778 transcript:Et_1B_010778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARRARFIGGVLFASRTNPKPPFSTSFSSASAAPATSRDREDDRLSRRLLRLRPPRGGGAAAAVERWAQERGHVSQPELRHAIAQLRRARRYEHALEVFSWMDSCNSLELSPWDHAARLDLIAKAHGTSQAEEYYKKLQSTAAKRAASFPLLHCYVMERNVQKAETFMAELQRRGLPVDPHSFNEMMKLYVATCQFEKVLSVINLMKRNNIPRNVLSYNIWMNACAQVSGVASVQSVFQEMVNDDKVEVGWSTYCTIANIFRMHGMNTEAQAYLRKAETKLTSTGRLGYSFIMTCYAALNDSEGIIRMWEASKNVPGRIPAANYMSVILCLIKIGDVSRAEWIFGSWEAECRKHDVRVSNVLLGAYVRNGWIEKAERLHLHMLEKGARPNYKTWEILMEGYVQSRQMDKAVGAMKKCLSLLKSCHWRPPVELIEAIAKHFEEKGNADDAYKYIKVLQKLKLTNLDLYKSLLRAYVNAEAVPPNVPEMLARDQIVVDEETAQLIIRAGKMNITGDG >Et_2A_014908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21461774:21462598:1 gene:Et_2A_014908 transcript:Et_2A_014908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPRARSPRPVLPPRPSSKPTTPRPPPPPSPRRPRPLSAATSPSRDFSFAASSSPLGGGHHPLSMSRAPVVGRVGSDLSHTNYAKTSRHATTSCRNDGGDRAKPFISGGAWRKPGEEKRKDKARRGGLDDVSQWVKRRVAAMVEQLRASFSRQQQQLRRRRPHSFSGHGPNAAAAAARGTKEERRRQRGRGHLSSAPASLRVSPANSGHLSVGASVTKVSTSSEESTMEELQSAIEAAIAHCKNSISRGQPDDGGRRG >Et_4A_032072.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:15487317:15487646:1 gene:Et_4A_032072 transcript:Et_4A_032072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRDGLCLARQLGAMKLSLETDCLELVKLWKTLDEQRSEINLVLQEIRMLSRSFDEFTFTYANRTCNKVAHMCAKQVTRENALEEWHIHPPSPLRDLLQDDCNHVGVN >Et_4A_032568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11466204:11469629:1 gene:Et_4A_032568 transcript:Et_4A_032568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRRKWNSCLLQRERMSSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDAEDAIAGRDGYNFDGHRLRVEAAHGGRGNASSHERSGGFGGGGGGGPRRGVSRHTEYRVLVTGLPSSASWQDLKDHMRKAGDVCFSEVYREGGGTVGIVDYTNYDDMKYAIKKLDDTEFRNAFGRAYIRVKEYDGKRGRSYSRSPSRSYSRSRSRSPSKSPRARRSASRSRSRSVSSRSRSPSKERSPSRSPARSKSPNASPANGEAVSPKKRSPSRSPSGSRSPDVR >Et_1A_008533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8445049:8447300:-1 gene:Et_1A_008533 transcript:Et_1A_008533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLLRRGRSTHALLSRALSTTAGDASLSLKVAKKRLRGEHDPDRAISIIEAIDTASLSAGSTRHALSLAARRLTRSGRSEDAEKLLSSHLPAATTEPQLAAVLCAYASASLPEKALAAFRSAVPSLPTPITPLPFNALLSAFLRCRKHNRVPQLFPELSKEFSITPNATSYAILVKAYCMIRDDAKALQVLDQMREQGISPTTSIYTSLIDSKYKQKKTEEAERLWKEMLESGCQPDVATYNVKATYYGLHGKLEEALEVMSEMEAAGVKPDTITYNFLMTSYCKNGKVDEAKALFHSLGEKGCRGNAATYKHMLASLCAHGDFDGGLGIFKESLKRNMIPDFQTMKGLVVGLTKEGKVDDAKQVIAEVKKKFPESLLSGWKKLEKELGFDSNSGDTPLAEGTSEEPLVEAKSEIAEALEVERSVVKETTESKESSSSDDEAPELEASAAKFLLDGAPVELTTKSVSQGEEKEGRSTTITKLVASVTLCITS >Et_2B_019787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1407157:1409485:-1 gene:Et_2B_019787 transcript:Et_2B_019787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALGYLAPADAAVLSTSALHRRAPPHPPTVASALLPAWPPPLPDPANEAAATDVELLKRAWCNEKAAPEILIFDKDLVARIRDLTRRWTTLPTATDDLVVSLYQMDLDRTLFLLRSHLRLRLHKELLSPQEKPFAKRTGKEPAEEMAASLIEVLGYLTDVPTEAGLLSQAEHVATIGGYLLKGKIICNGYSVSPMNPHEQAALALGTLTAVLPAEDLALVDGSGDTDLRDVLLFLHIPSFKRRRRGGWQSGDAVVRGEGGSG >Et_3B_028398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14716912:14725812:1 gene:Et_3B_028398 transcript:Et_3B_028398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGSAAEERWASLCNCVVNFLLEERYHLTALELLQELQEDGRHAHALRLRSFFSDPAHFPPDLVARASSAPPGADPQSLLEEKIAAQEKLALAEYDLRLAKEDLSQLKLELQKQKESSPEDPNGLLSDALTHEGSSSQHDKRDVKVSALGPLKDNERKDLNCAVKEYLLLAGYRLAAMTFIEEVPDQDLDVWPNSSACVPDALRRYYYQYLSSTTEAAEEKISILRENETLLKDNDRLKAENDSLMKSREAANNQVSALRKSLEATHKDIKEKEKTVQDLKQSVDVQRKELNECRAEITALKMYIEGAQSSKQLFVGNSDGLKRSHSIATSMEISTSLNKEDENSKGSESITHKLSAASLTENAQQDLQGVETSAEGPRVSEAPVPLSTDENGGYGTSEEDKSILNGNLHGAPLTGKSQGSSGGISVYLSDDRVPTDKLESPSKQKSDKMGLETIKIVSDALPKIVPYVLINHREELLPLIICAIEKHPDSDVRDSLTHTLFNLIKRPDEQQRRIIMDACVELAKSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAIYVRPEIRDSLILSIVQQLVEDAATVVREAATHNLALLLPLFPNLDKYYKVEELMFQLVCDPSGPVVEVALRELVPAVVRWGGKLDQISRVLLTHILASAQRCPPISGVEGTIDSHLRALGEQERWNIDVLLRVLTELLPFIHQKAIEACPFESVDPTSSAPEDFFSVSCLKLYATGDTEWSAFEWMHTDCLPDLIKLACLLPAKEDNLRTIITKYLLEVSRLYGKDYLEHIMLPVFLVAAGDIDSGDFTYFPLSIQSKVRGLRPKTSTAEKLAILCVFPLLLSGILGSPSSRKQLEEYLRKVLIQNTKDGSFSMHHTTEIINAVRFLCLFMEHHGVIFNILWEMVVSSDTNLKINAAALLKALVPYIDVKVASTHILPALITLGSDQNLTVKYASIDAFGAVAQHFKNDTVVEKIRIQMDAFLEDGSHEATVSVIRALAVAIFKLTSITPTGDDIERRRERANVLCEALRALDATDLPATSVRDLLLPSIQNLLKDLDALDPAHKEALEIIARERSGGTLESISKVMGAHLGIASSVSSFFGESSLLGKKEGGEQPDTAASNAAQPNPQAQTENTRFGRIMRGGFGDMLRGKAKGADEPTCRVWRKIFDVDAQSSNVDGAVTVFNI >Et_3B_031308.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25262456:25262926:1 gene:Et_3B_031308 transcript:Et_3B_031308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGHDMGGMTPPPAASMPMKKHYMHMTFYWGWKSEILFSGWPGARGGMYALALVAVFALGIFLEFLGSRRLESRLPAAGTRRRAAAGAAVHAVRMGVAYVLMLALMSFNVGVLVVAVAGHAAGYLAFRAGLCGDGRAQVEDAGKEEAAAPAACC >Et_10B_003385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19324214:19330593:1 gene:Et_10B_003385 transcript:Et_10B_003385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSGAGCSARTLAACVVGGIVLGASVLALHLAGPVAIPSLPPVDALRRRFRRRRRPVRVYMDGCFDMMHYGHCNALRQARALGDELIVGVVSDEEIKANKGPPVTPMHERMIMVRAVKWVDDVIPDAPYAITEEFMYKLFNEYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSTTDIVGRMLLCVRERSFSDAHNHSSLQRQFSSGHGQKIDDGGSGSGTRVSHFLPTSRRIVQFSNSRGPCPDSRIVYIDGAFDLFHAGHVETISSTRGRHRPIMNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISLVVHGTIAENMDFMQDDSNPYAVPIAMGIYHRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYESKSFVNGE >Et_1A_006002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16535340:16537074:1 gene:Et_1A_006002 transcript:Et_1A_006002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGATIGKFFCFVNQSTVGIKEQFGKFEEVLNPGCHFVPWIIGKRVTGQLTLRLRQLDVRCETKTKDNVFVTVVASIQYRAMEDKASDAYYKLSNPKAQIQSYVFDVIRASVPKLELDDAFEQKNEIAKAVEEELEKAMFAYGYEIVQTLIVDIEPDEKVKRAMNEINAAARLRVAANEKAEAEKIVQIKRAEGDAEAKYLSGLGIARQRQAIVDGLRDSVLGFSGNVPGTSAKDVMDLVLVTQYFDTMKEIGAASKSSAVFLPHGPGAVADIASQIRDGFLQASTQQAK >Et_4B_038237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27436769:27438670:-1 gene:Et_4B_038237 transcript:Et_4B_038237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DGQLECEKWIREDNSKTELDEPRIKLWLNRLIGRPNEVSVDQPYPFEEGKQFVLTITGGLEGYHINVDGWHVASFPYHTGYSLEDATGLSLKGDLDLESISANYLPSSPPSFTPQRYLEMSEQWKASPLPTEPVELFIGIISAANHFAERMAVRKSWMISTRRSSNVVARFFVALVRVVPAKYIMKCDDDTFVRLDSVLDQVKKEWPEEVYPPYANEPGYVMSSDIARYILSELDNKTLRLFKVEDVSMGMWVEKFSLTRHFVEYLHDVRFYQPGCFDGYFTAHYQSPQHMICLWRKLQSGSAQCC >Et_3A_024222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18566379:18580413:-1 gene:Et_3A_024222 transcript:Et_3A_024222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTDLRLLSKLTKLRELTIMNCSVLKELVAEWNYDYDEESMLPKLEHLELRNLPILQKISWKNTGISLRALTISHCTKLKNLNWAQQLKSLQELTVAYCPGIEKLFDNVVANQTEVAFCSLQRLYLEQLPQLSDISVEALPYEKLAYLYVDGCEKLKHNAEPEAVDLLMEVENLDLLVEHVDATNYTRTFLYLTSSSKYVAVRDLANALRIALLLDNKFVKQVYTATDDLLLEKQFSYIVARHGLSMEINDKIAEDENDKEALQEIVYNTRLSEGYLTIARDIEVMEPKSPEDIYKVHLIDGRGATSSNLDSAGQNLAATFVNAFVNAGLDLDKLMTALSDSSSGGSSGNWLFKNKEHGNLVQLLVGYCSNLVFVSINKDYQVLELSLAVSRMTVILYAFALISEYISRDESIIRIGAILGLCIAYAGSQKEELQAQLSAILADSNSSRVFSTISLGLVFVGSCNEEIAQSIIFVLMDRSEAELAEPIIRLLPVALGLLYLGKQESAEATAEVSKTFDEKIRKYCDVTLMSLAYAGIGNVQYLLGICSEHLEKGETHQGPAVLGIALIAMAEELGADMAYGEQNIRRAVPLALGMLCISNPKVNVMDTLSRLSHDADAAVIALACLVTLLHACLDMKSIILGKYHCMLYILVLAMQPRMLLQVHEDLKPLSVPVSVGQAVDVVGQVGKPPK >Et_3A_022972.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:50070:51056:-1 gene:Et_3A_022972 transcript:Et_3A_022972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLRGEVAEGARDDGGDVAVAGPEEGGEAEVGEAGVHVVVEEDVAGLDVAVDHVRDAVVVEVRQPTGRAQRDLVPRGPAGLRLRLLRRTSMEHVVEAPVGHELVDEEVELRRGVVPAERHDVAVLDVGHGLQLALEPSVPAPAELLHREGRLVVHAQPVHGAVPARADHVLVRQPHQHAHQRLGAHQAAHRPEHHHLAAGEAALHDPLLAGPSLVQKERDEHLGHDERNGADGDVQGHQARPHPYGLITLLFSPPDSYGEKLLVLACSLKMLCSSSAPQSAKVGRQLGRGGGKKTSTTSTVQYAQSMGLVRSCEWLTHPSSSVHEQLPF >Et_2A_015778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17821568:17824670:1 gene:Et_2A_015778 transcript:Et_2A_015778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGHRANAVATFAVTILAAMCFAASFSDNFNSAAPTASVKILNINWFQKEANGNDEVSMTLNISADLSSLFTWNTKQVFVFVAAEYETEQNALNQGSNLKGKDFNLTMHWHIMPKTGKMFADKIVMTGYRLPEQYR >Et_2B_019648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11754981:11761506:-1 gene:Et_2B_019648 transcript:Et_2B_019648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILVVATASDPASIGPASAFLAMPGWSPGPPIAEGMESFINGDVRLLKHERSIIAEDHLDQRWQEATGEVVSEVIFLSKHTAVSNRPALTVHPIGVPHLREDETPPQGGIPGWAAMPNPRIGPWLRLMQKVSAEQGLVPEFEITLEATHHGPVTNTPTMFVEIGSTEEYWGRQDAAQAVALVLWKGLGLEDGTAVGSWQRNGEKVLLGIGGGHYAPRHMDIVIKDGVWVGHLLSGYSLPMDTPAQVNGKTSGEVGGMWKHSIKTSYEATKAAFPGGEIIAHLDHKSFKGWQKNAITSYLQEQNIRVGKPNDFL >Et_2B_022770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4846594:4848064:1 gene:Et_2B_022770 transcript:Et_2B_022770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAVMPYGRRVGLVPRRQEDFGDGGAFPEVHVAQYPLSMGLVRAGSDDDVLAVTVDARGRVAFDAVVRQGENSAKIVYTNHGDLSYRRPPRGRGAIVDARLSAVQPASVPSRRSADVPRFVKYRPARQSAAFNSGAGERVVRVEQAQEDPVLPPKHKRRRIPRPAGTSPPVTVLHSPPRKDQADWRIPPSVSDWKNAKGYTIPLDKRVAASDGRRVRDDVQISDGFASLAEALCVAEHKAREAVETRRMVATELRVKESEQREQRLREIAKKARTEMASDPPASADVDQVMRRDTVREERRRQREREKRREASSSKKSRVTRDRDRDVSESIALCMASGVGAGGGGGEVAYDERLFNQDRGMDSGFAADDQYNVYSGRFFAAQMPTLCCPKKQGDSEIYGGDSDEQLKKITETSRFKPDKAFSGDPGRAAGKRERPVEFDVPEESSQAYDPFVELDQYIARMKEGKRH >Et_8A_057660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5796566:5798706:-1 gene:Et_8A_057660 transcript:Et_8A_057660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDVERASVFEAAMPPPASACSSSSIGKDSDECSPPGKEDEEVQSAYMGERGGAGAGGGLVGLEALEEALPIRRSISEFYSGKSKSFACLKEAITSSGSAKDITKAENAYSRKRKNLLAHSIMYENSNKTASVEAYETGPPKRPTSLSRSSLETMASSSSRSSCSSFSIEENELPEQLHYARSPDDSENYAPPKIPTPKTLSAPMRSFSMMDLQDLHRPSCPVRLKDKKTD >Et_4B_037445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19928908:19934449:-1 gene:Et_4B_037445 transcript:Et_4B_037445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALARRAAGVAAALARRRGMCSDSAPAPAAALSSEELIRMEQDCSAHNYHPIPMVFSKGEGSHIVDPEGNRYIDFLSAYSAVNQGHCHPKVLRALIEQAERLTLSSRAFYNDKFPIFAEYLTSMFGYEMMLPMNTGAEGVETAIKLARKWGYEKKKIPKNEALLVSCCGCFHGRTLGVISMSCDNDATRGFGPLVPGHLKVDFGDIDGLRKIFEEHGDQICGFLFEPIQGEAGVVIPPDGYLKAVRDLCSKHNILMVADEIQTGIARTGKMLACDWEDIRPDIMILGKALGAGVVPVSAVLADKEVMLCIKPGEHGSTFGGNPLASAVAIASLKVVRDEGLVERAAKLGHEFRDQLQKVQQKFPEIIRVVRGRGLLNAVDLNNDALSPSSAYDICIKLKERGILAKPTHDTIIRLAPPLTISPEELAEASKALSDVLEHDLPQMQKQIKKPKSEAQKPVCDRCGRDLCG >Et_2A_018469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2891097:2893455:-1 gene:Et_2A_018469 transcript:Et_2A_018469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILSFAATLVASFLLVSSSTALTIDQSYLARGSSISPQDDTTAILVSPNGAFSCGFYKLVTNAFYFSIWFSKSSAKSVAWTANRDAPVNGNGSRIVFQKDGSLNLLDNDGTTIWSTNTAAIFFYANSVSLLDNGSLVVMDPDGKPLWRSFDSPTDTLLPSQLMTTTTKLVSASAIFLLSSGNYTFYFNSNNMLSLLFNRNEISSIYWPENAKTSYNSSQYGVFDRNGTFVVGDQLEFSPSDLGGDIMRRLTLDYDGFEMIDTSDWRKGCKRRANTVANKDFLFSKLPGIEFWGFSHKELQKATNCFREERGSGGSGVVYKGVLTDERKIAVKKLSDMIQGEQEFRSELSVIGRIYHMNVVRIWGFCAEKTHRLLVSEFVQNGSLDSFLFDNQNLSPALKWSQRYNIALGVAKGLAYLHHECLEWIVHCDVKPENILLDEDFEPKIADFGLVKLLGRGVGAQMLSRVQGTRGYIAPEWDLNLPITGKADIYSYGVVLLELVMGARVSSQVIEGEGVVEMAVRHSAGILKKKLASEDQSWLLEFVDSRLRGEFDYSQAAMMLKIAVSCVEEERRRRPNMNDVVETLLSLGN >Et_2B_019683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12226578:12229469:1 gene:Et_2B_019683 transcript:Et_2B_019683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRIDFIAWPPLPSPSPPSDTRPPTAHSSSPAKNQDPLLLPLAAIRAPELPRGMRQEAAASLVEEEEEDSDAMPPSACAILLRREGEAAAAAPGDELLLVPPLNFAMVDHGVYRSGFPDVSNLPFLESLRLRSVLCLCPEPYPEANLEFLRAHGIRLFQLGIDGSKEPFVNIPEDRIREALKVILDVRNHPVLIHCKRGKHRTGCVVGCLRKLQRWCLTSIFDEYQRFAAAKTRVSDLRFIELFDVSSIKHIPASFTC >Et_1A_008473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:838200:839253:-1 gene:Et_1A_008473 transcript:Et_1A_008473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTLAANQCNPRAERRSCVHLQALDVVDGEDGAEVHWRDDVDGSGHELLHDESVGALGGVEGVPEDVCVAVVAELGDHGAEAHAVEPALAAARLGGGGEAVRLGVLDEVVVDALRVHAHEHVPAQLRVQLAQHRQQPRVVHLRRALQLAVAAAVEAVQGQLGRDAADGAHALHQHAASAQVVEQMLSITNWMPVSLRIPTPADAKLAPMSILSPSSSGLRYGSRGSEPRCSPACVQQI >Et_1A_008377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7064057:7070161:1 gene:Et_1A_008377 transcript:Et_1A_008377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTARALPKLLLLAVVACVVVVAAEAASPAGSGKVPAIYVFGDSTADVGNNNYLPGSAVPRANFPHNGVDFPTSRPTGRFSNGYNGVDFLGLLVIKWLLPSLPALNMGFKRSPPPFLAVANKTNKQILSGLVGVNFASAGSGILDSTVSHSTRDGSHRKITTLFPARALTSASITLFTQGNFIIPLSKQVEQFATVQRNISARIGQGAADTVLSRSLFLLSTGGNDLFAFFSRNSTPTATEKKQFVSNLVALYQNHVKALYVLGARKFAVINVPPIGCCPYPRSLHPLGACIDVLNELARGFNKGVKDAMHGLSVSMPGLKYSVGSSHAVVQSIMKHPQRLGFKEVTTACCGSGKFNGASSCTPNATLCDNRHEYLFWDLLHPTHATSKIAAAAIYNGSLHFAAPINFRQLFLGFPMSPPAYLSLTGKTLRSQMYKGINFASGGSGLGHNTGRLLFGEVIPMSLQLDYFASIVEHMTKLSGSRKTSSLLCKSMFFISVGSNDMFEYSVTRGDDSEFLRSLVDGYKRSITKKHVLKLCRARTATMQALYKMGARKFTVISIPPLGCIPSQRLRRLNETGTQGCYDHLNELSLRSYPMLAAMMEELGHELPGMSYSLGDAFSMVSFVLTNPHTKDWSKRSIARGRAEFTELEAACCGGGPFGAAFPCNEKAPLCVRRDEYLFWDGNHPTEAASGIAAQTLFAGNRTFVNPINVKELALL >Et_1B_010731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13435789:13442581:1 gene:Et_1B_010731 transcript:Et_1B_010731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FAAVRNDAAAPTHIVKNPHSHSKISRPLAFDCYFAFRAYSFIMRQPLLDHTSSSSVATSSRSPLTDAGLFSIITFSWMGPLLDLGRRKTLDLEDVPLLGVSDSVHGIIPNFKSRILSVSTTGQYTGFTTIKLVKALVFTTWKLLLVTAVYALLRTVASYVGPYLIEYFVEYLNRSPRSNKQGYLLVLTFVVAQFIEGLSSRHLLFRSQQLGVRVRSALVAIIYQKGLALSSQSRQAFAALFATVLTMLANIPLGRIQQNYQEKTMNAKDARMRAMSEILQNMRVLKLQGWEMIFLSKIMELRKAEMNWIKKSVYTNAMLLSVFFGAPAFVAMITFGTCILLGIPLETGKVLSALATFRQLQGPIHSIPDAISAIAQTKVSLDRICSFLCLEELSSDAVTKLPRNSTNASVVVRNGYFSWNTFSQVPNLQYLNFRIEQGMKVAICGTVGSGKSSLLSCILGEIPKLSGDVQICGRIAYVSQSPWIQSGKFEDNILFGTEMDRERYRKVLEACSLIMDLAILPLGDQTIIGERGINLSGGQKQRIQIARALYHDADISLFDDPFSAVDAHTGLHLFKECLLGFLASKTVVYVTHHVEFLPSADVILVMKDGKMVQEGDYTEILNSGEELTELVVSHKDALSTLDMLEIPSGNSERRRHTVANKSAPLVVDEQTNDNTKGVNQNVQLIQEEEREKGRVGITVYWKYLTVAYNGALVPLIFLAQIIFQVFQIGSNLWLAWAAPISVDVKPPVSSLTMASSDQSTVDTRIFDQMAYLLFPAIELLGTIILMSRVAWPVFLVFIPVIVASLWCQQCYIDAARELQRLIGICKAPVMQHFAESISGSSIIRSFGKESQFISSMGHFMDNFSRPSLYNAAAMEWLCFRLDILSSFIFSFALMLLVSLPTGLIDPKTAGLAVTYGLSLNMLQGWAIAVLCGLENRMIAVERMLQYTIVPSEPPLTMPQSIPNGLWPTKGQIELRNLHVRYAPQLPFVLKGLTCTLPGGMKTGIVGRTGGGKSTLIQALFRIVDPCIGQVLIDDIDICTIGLHDLRRRLCIIPQDPVMFEGTLRSNIDPLEEYSDTQIWEALDSCHLGEEVRKNELKLDCPVIDNGKNWSAGQRQLVCLGRVILKRRRILVLDEATSSVDPITGNLIQRTLKQHFTDCTVITIAHRITSVLDSEKVLLLDNGEISESGSPTELLEDSSSLFSKLVSEYTMGSNYK >Et_5B_044460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2663648:2674919:1 gene:Et_5B_044460 transcript:Et_5B_044460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGAGHGGRRASVSTSHARRSAAAVTESNENDDLAAAPSSSSSVFAPVPAPHFSLPPRSPLAAIADPGRNPRSAPATPKSLAGTPRACAAGSGARDRTSSIGVSRRVFDLRDLAAAEVPVEVPHFELDEDPAFWKDRNVQVLIRIRPISNAENGTHGQKRCLMQDSSKSLSWTGHPETMFTFDHVACETISQEKLFKVVGLPMVENCMSGYNGCLFAYGQEEERRREEKLKYICKCSFLEIYNEQITDLLEPSSTNLQIREDIKKGVYVENLVECHVSSVKDVMLLLLQGVANRKMAATNMNSESSRSHSVFTCVIESRWESDSMTHLRFGRLNLVDLAGSERQKSSGAEGERLKEAANINRSLSTLGLVIMTLVDVANGKNRHVPYRDSRLTFLLQDSLGGNSKTTIVANVSPSIWYPASSLFSFIHSSSETLSTLKFAQRAKLIQNNAKVNEDASGDVMALQRQIEDLKDQLTCLKKQQVCPGSPSFQLLNSGFTNEFEDLYGVEDQPDCDLNILKQKVSHLEDVLVGSLRREKSAETKIGKLEDEIKHLNRLVNLMESDAQRLRSRLKIHDEKHKMDENAALSQEIQLLQEQINENPQLTHFALENRRLIEELRTLQNFYKQGERDMLLTEISLLRNHFLHILEQKYATAPKNTEAQGDDIIKELENCRKELDACLENNVLLAREVNKLRCELIQYQKSSTDQVCMLFVQPSTTQVALETKENVACTRINPIQHDQAGQNFSYLSPDDVDSHFMQAGTTTNITESLQVDLPYEIDSEDLESPSHLFDSETHVIQDSTAVSEYGGALPQCFDSGRGSSHDVLDKHNNLNELNFIKKDGISHELEHLKIINQELKEKLVIMTEESNKLSEIIVAKDVEIASLSEEWETAIFDLTGFLTDGCRSLDDAYQNIDNMISSFPHSNSSVSEHVEKAMKVSIEKEKMIFKLQIELQDAQKMGREVKEKLHILRGATLAITEAHQLANEETSQEALQLADLLHQKDGIIEELKNHLKEEKFLFAEAAAGNPHDDLSPDSPTDMPHNETGSAVSRSNSDNQLKIGTVLQFVEDKSNKIINFGQDLKRCISDSRSYLVNMVSLAQEKGRSSAEQFHHLNSNAHGFTFQQFESCSHYASLRKEFDRKIDIAEGLYYDLKLLQEYISNAKDMKDKANDISTALSNVQRELETKSSAMESMLKKQKALEEELAANGAGLIMLRSELEQSRSLSSALLSENNELRVMLEEETLKNSETKVLLEDKVRVIEGLESQILLLNCSEVGQLMSDIEELNNSLKITSNARENLEAEILQLRDKLEMAMALAEENEAAAVEARQTAETSKIYAEEKEEEVKILERSVEELEATITVLEEEVCNLKEEVRSYQLHKQSEAEYQAVDDTHSVENASDCDAPEELCHGKCPLEKKLHAAVIAHQNVKKRIEALKLEAKRKDEEVRQYKEHIAELVLHSEAQSLLFQEKYQEMEHMVSKQKFGSHESSSETVCTKVEKPSGRTRGSGSPFRCISSIMQQMNSEKDQEISVARQRIEELEGLVSTKQKEICLLTSRLAAVDTMTHDIIRELLGVKLDMTNYANLVDQEELHKLLIASQQQIEQSKLKDAELELLKEQLGQLILERDSLFDDMDQRKTDLLESQLLVEELEQREQMLEAQIEMLQMEKDNLQQKIMEMDETIELLVRSNQPDSALRMGDNQHDGSSEFSRRLAQSDMLLSQARPRHEHHRSHATRSSRTHHRSRHQ >Et_2B_021416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29584101:29586347:1 gene:Et_2B_021416 transcript:Et_2B_021416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKDKRDIYYRKAKEEGWRARSAFKLLQIDQEFNTFHGVKRVVDLCAAPGSWSQVLSRNLYVPAKQSPDCKEGDLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAALTIVTHVLKVGGKFVAKIFRGKDTSLLYCQLKLFFSQVTFAKPKSSRNSSIEAFAVCENYSPPEGFKEKDLYHLLEKVGTPSGADDLDCRSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPSTEGGSYRSLDPIQPPIAPPYKTALEMKKASSHGMGADISKLSLDS >Et_5B_045111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9714754:9718437:1 gene:Et_5B_045111 transcript:Et_5B_045111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSTPSFDLSAGAEPTLGPRPAPTPPPPPAPAAAHQHQQPPVSEAAARRLREAEERLREAIEELHRHQGKDGEERHGEWGCGHEGESCAAHAAGNLCQSFLLSYGVRVGIGILLRAFKLARRKSYASLLDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCFLRRFRRKETPYNAILAGSVAGLAILALDDSSRRRTLSLYLLARLAQCAYNSAKSKNKFHFWGSHWRHGDALLFSLASAQVMYAFVMRPESLPKSYQDFILKTGPVAEPIYKAVRECCRGGPLDLTSLSAYLATKKNSDLINLTNNPSIIPCSMIHPDRTSCLAHNVNVVSSTFKKTFPLYFSLSFVPFVVLRLQKFLESPAATCWRALVGAVRSTTFLSAFVTLFQTAICLHRKVAIKDHKLVYWFAGLVSGLSILLEKKVRRAELALYVLPRAGDSLWNILINRHLLPNIKNAEVALFCLCMGGIMYFLEYEPDTMAPFLRGLIRRFLASKISNPSPPPNRNASYSYLQTLNVLEQSRTHPGPENGMATSEKYSLESIPGL >Et_1A_006395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21979134:21983523:1 gene:Et_1A_006395 transcript:Et_1A_006395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VDWKHQRGNFDAALLVLQGIDIRSLRPRMTSAIAESIKSRVPPRSSRRKTSQVNGMLMHMSMHSVSLLVEAILLKAKSLEGLGRVTEYLPKLWMRSCCFEEAIIAYRRALAKPWNLDSERSANLQKDLAVTLLYFGVEVQFPEEFEREPVNPWEQHRGSNFFITPTNKKAIPPRNKWDPDLVNHLMYALSLSGHHEVLASHLEMLLPGTYTRSERWSILALCNSAAGMDDSALNIIRNGFCVLERKGKPHIPSLLLGAKLCC >Et_2A_017562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3641259:3644212:-1 gene:Et_2A_017562 transcript:Et_2A_017562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANRSSTAASRTRSVVLRLDDLSLPPRYLTVPSHLPVSDLIGALPLPSSSYYLTSDGRPLPAASPVSTLPPSGSVQLRLRALRGGGGDGGATGAESRDCYLSMYLAKKPDKADPNEARLSRFTCCALSGEPLAAPAVADRLGNLFNKEALVEALLHKRLPKALSHIRGLRDMIPIHLHPKPDADAAGAEVRFQCPITGLDFNGKYQFLALRGCGHVLSVKALKEVKTSACLVCHKEFEEADKMPINGTEEEVVVLRKRMEEERGKVKEKKDKKVGNGLSGSKHAATAAAAEAGAEKLGNGKKGEAAAAKRFKAGDHAPAYANKEVYASIFTSSRKSDFKETYSCRTASISIMSRTIHQHIPIRDATMKDVEVGLANGRSDGKASPRLAH >Et_5A_042577.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20884554:20884865:-1 gene:Et_5A_042577 transcript:Et_5A_042577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEDPYATIPAPRDHLLTKSLLHFTGKASSREREREEMGDEAGAALLLGEGELHLHGGDRSEKELPAVTRRKQRRWWLEWDAAEAAGQLAFAAPMVATNMAY >Et_4A_034035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29371760:29379251:1 gene:Et_4A_034035 transcript:Et_4A_034035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METPEFIEESRATLTMEQSSQEAPSPVAITLRRFELSDIDSMPDWASDLVDNPTEPLLPKLRDFVLPHPWFRAICLAGDDRPVGSVSVTPTGDPSRAELGYALARAHWGKGVATAAVKRAVATVFGEMEGLERVEALVDVANPASQRVLEKAGFTREAVLPKHRVIKGVVTDIVMFSFIYTDPLRSQEAPRPVEVTLRRFELSDVDAMWVWTSDPVVAAPCRWDAYQSTEPLLAFIRDVVLPHPWFRAICLAGDDRPVGAVSVTPTGDSCRAELGYVLARAHWGKGVATAAVKRTVATVFDEMEGLERVEALVDVANLASRRVLEKAGFTREAVLRKYRIIKGAVKDMVIFSFIDTDPLANNCTGASRHLTRGTTSGMDQSSREAPRAVEVTLRRFELSDVDAMMAWASDPQVAAFCRWDPYESTEQLLEFLRNIVLPHPWYRAICLAGDSRPVGAVALRPTGDPRRAELGYVLARAHWGKGVATAAVKRTVATVFGEVKGLERVEALVEATNRASQRVLEKAGFTREAVLRKYRIIKGAVKDMVMFSFIKTDLLVEFKISDMDAMIVWKLVPHVMALYHWDAYKPTEPLQGRVLPHSSTMTTPIDMMFVTPTEGSCRAELGYVLMGSTRTKSDPSTTGRRTYSAPMAEVTLRRFELSDVDAMMAWASDPQVAAFCRWEPYASTDPLLAYLRDVVLPHPWFRAICLAGESRPVGAVSVTPTAERCRGELGYVLARAHWGKGVATVAVRRALRAVFAEVDGLARVEALVDVDNPASQRVVEKAGLRREGVLRRHYWHKGRARDMTNSESSEMDHDDALPPPESTSTDTVTLRPFVLGDLDAMMAWSSDPVVTAFMTWDPYTSRDALLAFLRDTALPHPWFRAVCLGGDHVVGAVSVTPTDDRCRAELGVVLARAHWGKGVATAALRRAVAAVFGDLEGVERVEALVDVENAASRRALEKAGFTREAVLRSYCVVKGGLRDMIIYSFISTDPLVE >Et_2B_021225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27621628:27623162:-1 gene:Et_2B_021225 transcript:Et_2B_021225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVTEGVNNLAITEPHKKNRIQVSNTKKPLFFYVNLAKRYMQQHEEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDVNDGSRNRPIQKAKIEIVMGKSEKFDELMAAAAAEREEREAAEAEEQG >Et_7A_052778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1629745:1631752:1 gene:Et_7A_052778 transcript:Et_7A_052778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKKIKLHDHHYGSPLCDTQLFPGTAAGLSFHPGLVSSAPQQHNGAGNWLQEEYTPTPRSVLATQGGGGCVGYDTAAFFAAEHLLGMTRLDCALGASTFPAMAALKTPFSRSPETDHQLSMLLGDNSVRTYYVPPQQRDAAEVPPALKLPVHGLFGNASTARLLGSEPKTHSFTPHVSASTLLPAMEASSMQSPMENPLSRSCSMGAPTIHTGNIVTAPGQGAPSKTRIRWTQDLHERFVDCVNQLGGADKATPKGILKLMNSDGLTIYHIKSHLQKYRIAKYIPASSEGKQEKRAAGNDVQNLDPNTGVQITEALRVQLDVQRRLHEQLEIQRNLQLKIEEQGKRLQKMFEDQLKASKSVLEPREKLHSAGAAGAFASVSEQDEDAFDDVQLLSAASSGFNDAAFPSKIS >Et_7B_054585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2606261:2608503:1 gene:Et_7B_054585 transcript:Et_7B_054585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAALFAPTANSPVHQRQWRAAAASSSAASGVDLEALQSAIDKKSSDDVKQALDQLRELGWAKRWSSQPYVSRRTTSLRELTTLGIKNAENLAIPSVRNDAAFLFTVVGTTGFLAVLAGQLPGDWGFFVPYLIGSISLIVLAVGSTAPGLLQAGIGAFSTVFPDYQERISRHEAAHFLGLPILGYSLDIGKEHVNLLDERLQKLIYSGQLDQKELDRLAVVSMAGLAAEGLEYDKVVGQSADLFSLQRFINRTKPPLGKDQQQNLTRWAVLFAASLLKNNKAAHEALMSAMSQKASVFECIEAIENVS >Et_4A_034011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29148654:29149406:1 gene:Et_4A_034011 transcript:Et_4A_034011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSANTGAVAANPGRRCAACKNQRRKCAQDCILAPYFPASDPQRYACVKRIFGASNIARMIQSLPVHDRGKAADTMATEAHRRVQDPVYGCAGVIARLQDEIRAVQSELARTEAQIAVHAAAAAGAQPAAGNQLNAQLVQGPMQQQGDALMVQEPFPALDALLNDVHAGANLFDDDMGV >Et_2A_018039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9345349:9346013:1 gene:Et_2A_018039 transcript:Et_2A_018039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRRRVGQFFCTIFNPSLATTAFRFPGEVRNSMHLALSAGQRCSSASTKLVSTVVRLTRLHNISSHIFLSPSNLYMSAAAMRLSAIPTMASSSSILGSVSVYMKWRRDLNTLGSMSMIFMWVDGFFSIRPKNFSLNAGDRASSSHWCAWKISPPTSMEIGEVLLQIGSRRNDSMGLIGQAFSICVFLRGFRCGDEDVAPDGQDVILETV >Et_10A_001513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4450604:4451653:1 gene:Et_10A_001513 transcript:Et_10A_001513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAYCTVMHSLLQAGTDTSSCTIEWAMALLLNNPPVLRKATTEMDAVVSASRLFQESDLDSLPYLRCIILETLRLYPLAPHLVPHEASRDLVVAGHAIAKGTMVLVDVYSMQRDPDTWPEEPEKFVPERFMDGGRKKQSGGADDKAVGWMMPFGMGRRKCPGEGLALRTVVVALGVMVQCFEWGRVGEEEVDMSEGSGLTMPMVVPLVAMCRP >Et_7A_051546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20149217:20150459:-1 gene:Et_7A_051546 transcript:Et_7A_051546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGDTSSLEMARRLLEEVAPPPSPELTEATEGFYDAFVLRGIRVHAAQPGTLLCHLTVPSRLLNSGGFLHGGATASLVDLVATAAFRTAGLRTRGEPLEMNISYLDAAFADEEIDIEAKVLRAGKYVGVAVVELKKKSGEIIAQARYSKYLGASSKL >Et_2B_022066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8659064:8661051:-1 gene:Et_2B_022066 transcript:Et_2B_022066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPFMNYYYYGILLALILYLTKCYIASTSSCNRNLRLPPGPWQLPVIGSLHHLLGALPHRSLRRLSSHYGPLMFLKLGEIPVVVVSSREAAKEVMKTHDATFATRPQTSTIKILTKQGQAIALTPYGDHWRQLRKICVLELLSTSRVQSFRPVREEEVARLVQAVSSKSASLVNMSELIAAYVADTTVHSIMGSRLKDRDTFLRYINEAIRLASGFTLADLFPSSRLAGALSWTAHKADVYREGLFKFLDCIISEHKERRSRNEGLQEDLIDVLLRIGNHGSSHQLSMGTVKAVIFDLFSAGSETAATTLQWAMTELIRSPAKMSRAQAEVRKAFMGRMAVLEEGLCELSYLHWVIKETLRMHTPGPLLLPKECRETCKVLGYDVPQGTMVLVNAWAISRDPQYWDEPEIFKPERFESDTRDFRGNDFEFITFGAGRRICPGMSFGLAIVEVALANLLFYFDWSLPNGMQPSELDMTEAMGITLRRKRDLWLRATIHTKLVKTKCLVDEGALRSMNRSHGAY >Et_1B_014044.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:28618415:28619695:-1 gene:Et_1B_014044 transcript:Et_1B_014044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTRASCTPSARLRRRKKKNKAPAGTTSIHDVPDKLLRLVLLRLDSSACLVRAAATCKRWRVIIASKDGGGFLRLARAIHRPAIVGHYHIGSEPTEFVPSSSSSTTPASSRFDFLPGDKASWEVADCHGGLVLLRQPDPVVFPDLVVCDPVSRAHQGITHPQVRPGYSFADASLLDGEEEDGAGGISMSSFRILYRFYMGPRACVFTTAEGGDWRELDAAAAGDDDDLDHFTMSHVAGRLVDGAGGSRLCMGLMQSGRAIVLDNSTLEFSQIDLPTTIFVKEDDEEEEAGCSNFRVVHSPNKQATRIVHVRGQELEVFRQVTSSNQWVLEHSIRRLSEACRGMPGYPTNKRFPWVVEVVADGAGFVVLSVMDGGRRWLFSVDVETMAMATVPERTFRSATCPYTMPWPPVFAACVAGQSRRRRRR >Et_7A_050608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6081498:6081806:1 gene:Et_7A_050608 transcript:Et_7A_050608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGIIILAAAVAGVAASGAPDPRSYLTSWGGPGCTTASGWISSVGTGHEFNFRGETATLYTLSGCAGTPYQVFENTRACGDFGWRSIHIDC >Et_2A_017055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30587648:30589528:1 gene:Et_2A_017055 transcript:Et_2A_017055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGKVVVRVREFDMERDLAAVDELERRCEVGMSGDAADDGDDGGCKKKRRKKKKGMSLVVEQFGDPLARVRHAPEHVAEYGEEIVGLIKACVRTVSRGGGKKASSPSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSDELKSSAAAYVKVAVLMGLRVSPSCSSNPTLVACLIARRETGPRSWGDQPALLVGRNPLAHGLQAPRRRRILVLERLLTVARATCRRMGIASKLVKHAEEWCRARGAARATMATTSSNTASLALFTGRFGYAPFRRPEFLGRPVHAHDLPIPRSHRVFQLPPPLAAAAYAQLLPPQAAEFLPADMPALLAHKLTLGTFVAIETAHPDDDPSLPPSFAVLSVWDATRAMRLRVRGAPALLRASLAALRALDRGAPWMRVPSIPDIFRPFGAYLLYGVRMSGPAGPELLRSLCHHAHNVARKNPACAVVAADLAPDDPAADAIPRWRRFSCDEDVWCIKNLGKNDDNAGNGEGDDDDDDWAAPAPPGTFLFVDPREF >Et_5B_043029.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:15526430:15528241:1 gene:Et_5B_043029 transcript:Et_5B_043029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMPLSRPTSRARLTPAASDAGVLSPDMLFDVLLRLPAKELCRLRAVCRSWRSLTIDPLFISAHADRHRSPLFLARFRDDKVHIHVMDLSGNLVKRIAVPHGHQLLCTRLELACVASPSNSCCVINPATGGAYALPRGPALGLPQRESLRRPDTFVFGRVPYTGEYKVIRTFNRAEFPHNDKRQPFEVSTLNGAASTGQWRIMDSRDFVVEASSAVVVDGVVYFLMNRSYNPKRGTSVSSGIPPDYIASLDIDREEWRRDLQGPISGSTVIGNLNAREEYMHMWYQLALAELKGSLVLSYYRHRQFILDLWLLKDFEDGRWVKEYSIQTGSVIPSMADEYRVKPFLMLDDGRLVIYVALTGLLLICDPQTNNFTEVETMHLGSVSVYAGSLLSLQEGNIV >Et_2B_021788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5470839:5475959:1 gene:Et_2B_021788 transcript:Et_2B_021788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAAAPHCGLSSSTSPRPRACGVRRFLRTAATRPRSVASLAPLRAAAELLIDFVPTVSGLSLAEAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGDDEFGYMLSDILKENNVNNQGLLFDPHARTALAFVTLRSDGEREFMFFRNPSADMLLEEKELDLDLIRKAKIFHHGSISLITEPCKTAHIAATKAAKDAGVLVSYDPNLRLPLWPSAEDARDGILSIWETADVIKISEEEVSFLTNGEDPYDDAVIKKLMHSNLKLLLVTEGPDGCRYYSKEFSGRVSGLKVTAVDTTGAGDAFVAGILSQLATDFSLLQDEGRLREALKFANVCGALTVTERGAIPALPTREQVLDALTNVPDFGNATVLLIYIRRYM >Et_5A_042134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6636789:6642872:-1 gene:Et_5A_042134 transcript:Et_5A_042134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAAQQQAAPPPPYRPYRQVRAATPHSRAVSCVRFSPCGRLLATASLDGTVALLSPSTLAVIATLRGHAAGVSDLSWSTESFYLCSASDDRTIRIWDIRPVLAGATPGGQPDPVTDRCVRVLKGHTNFVFSANFNPQTSSQVASGGFDCTVRIWDVKSGRCTRAIDAHSDPVTSVHFIRDGSIIVSGSHDGSCKIWDAKTGACIKTVIDDKKPAVSFSMFSPNGKFILVAMLDDSLKLCNFATGKFLKVYTGHVNRVYCIQSAFSVTNGKYIVSGSEDNCVYIWDLQGRNILQKLEGHTDTVVSVSCHPAENKIASGGLDNDRTKLCNFATGKFLKVYTGHVNRVYCIQSAFSVTNGKYIVSGSEDNCVYIWDLQGRNILQKLEGHTDTVVSVPCHPTENKIASGGLDNDRTVRLWVQDN >Et_9B_065952.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18648645:18649931:-1 gene:Et_9B_065952 transcript:Et_9B_065952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEEVSSGADVAGDPLAPAGNPFARMGKEEAMFHGLWKDNWLLEFSSILHSIRRRPGRLYISVDLEFCGAADVDPQYWPQDPDDWYNYVTRFVHSGDVLQMGLALAFEQPASARAEPVVAWDVNFRFDASSRNYHPDTLAFFLTGEAKHNLADHWDKGVLPEWVCSALLRHLPLGDPTVTWITFHGDKDIMFLLQLMQRDGHGLLPLERGDFIQLLKEKFPCFYDVKVLAQLVKPGYKDGLQKLAEILKVTRIGQSHHASSDAVLAMACFSDLIKRYTGVQDSMLRRQGLLSGLEQIHPAIRNALFIGDTRMPTVVQVRPSNFDAEARRIAELIPANFNIVFLVVRLPGLPTLSFSANAQEEYQLLQCSLRERATDAAEVVIGFTNADGLVGWGCLWSFRLVLTHAKDMDYPAKQRMCKHTNSGLC >Et_5B_045537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3953717:3960663:-1 gene:Et_5B_045537 transcript:Et_5B_045537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFARAVEDGLKLSKRLVLPGGVPPPRPPTGMQRTLVDAPPDAATAALLLPSAPTAYAVVVDPAAVDTPDVPSYQPYVYGRLDPPALIPLQMKEVDLAVDCALDVANVTVRARWWVHCITRSRECDVRLVVPMGEQGSILGAEVTIGSRAYNTQVIEVEEQTMVNSAKTESGGLLKPGLFFLTIPQVEGGADIFATFRWSQKLLYDNGNFSVDIPFRFPYFVNPLPKVFMKKEKIQLTVNSGFGKEVLLQGTSHPLKEKGRQGDKLSFRHEAIVENWSSKDFNFSYSVYSGDLFGGVLVQPSTLRDYDDRDRFCIYFLPGNSNKKVFRKSVVFVVDTSGSMKGRPLHNVKKAISTALSELVQGDYFNIITFNDELHSFSSCLEQVNDKAIASATDWMNSNFVGEGGTDIMHPLNEAMALLSSAHDTLPQIYLMTDGSVDDEHNICQTMKTELNNRGSKSPRISTFGLGAIESRTVSWFRRASNTVVANVTIDAATHLDEFEVDSEYIPDISAKSPLCISGKYQGKFPETVKAKGYLADMKEISIELKVQHIKEIPLDKVLAAQQVGLLTAKAWLSADKQLERKVIKLSVQNSVPSEYTSMVLTQTNQDKVDAAQKVKQKLKVHKGPDELRIPLHGLKLGFGDKDATRENLVTGFEDIKPPEKLQIFDKAAGCCSSVADCLCCMCCIKACNRMNDQCAILMAQICAALACLGCYECCAEVCCGGSDS >Et_1A_005110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27688435:27688833:1 gene:Et_1A_005110 transcript:Et_1A_005110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPEFYKPAAPVFSPCSSPLLLHGAGAGAGEELRCRTPTSGESQVKPPATCPPAPRKPRAPAAPAPCRKRLFEVEVFSLRLEELERLFWRPHPAQPAEKKRRRRVACPEPKKSKQ >Et_3A_023448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28110647:28111213:1 gene:Et_3A_023448 transcript:Et_3A_023448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPAAGAAAARRWGGTRAAAAAARAGARSRSRWCTSPTPCASRPAPRASAPSCRSSPAATPTPPSTAPLPPTSTAPRRGSAPGTRSSLPTPLPAASTPPRTTSPTPPGPRRTAGTTSTRTTTTTTTSSGRSCWRPTTRCSRRRRCSTTTTRTARCVTRSIPSSPAPRCMTFAD >Et_1B_011179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18441565:18441942:1 gene:Et_1B_011179 transcript:Et_1B_011179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHISLLEPRQRFFFWEAQLMSPAESDYLRLTAYGDFIRKPLRLSTIDYCCLFPWRYKAARKDQSLVLRSVLTRKQILVVDDNAVNRKVAAGALKKYGAIVNCVESGNAAINYAPAASHI >Et_10B_003809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6357371:6361782:1 gene:Et_10B_003809 transcript:Et_10B_003809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGSGDARQPAPAPGPYAMYHFGTSGAAVATATAVTHPLDVIKVRLQMQLAGQRGNLAGMGTIFTHMVEKEGPRSLYLGLAPALTRSVVYGGLRLGLYEPCKHVCSYAFGSTNFAFKLASGIIAGAIATALTNPMEVLKVRLQMSTSSTSAAGEVRKVLAQEGLKALWKGVGPAMARAGCLTASQMATYDEAKQALLKWTPLQEGFQLHLISSCVAGTAGTLVTAPVDMIKTRLMLQQESKGVRVYRNGFHCAYKVLQTEGVKSLYKGGFANFARLGPQTTITFVVCEKLRELAGMTAIYIQ >Et_2A_018308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22359367:22360658:-1 gene:Et_2A_018308 transcript:Et_2A_018308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATNGGGKLHGSRFRRVCVFCGSSSGKGSSYRDAAVELGKELVARKVDLVYGGGSLGLMGEVSEAVHKGGGHVIGVIPTTLMGKELTGETVGEVRAVAGMHQRKAEMARNSDAFIALPGGYGTLEELLEVITWAQLGIHSKPVSDGFYKSMHVGLLNVDGYYNLLLAFIDKAVDDGFIKPAQRHILVSAPDARGLVQKLEVPYVDLTPPVIYGISINFLPCPPGHGSDLRNETFQVYVQGYEAVQDETPKLRWEIEQVGRDMSDEMTSSI >Et_10B_004186.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:1849174:1850046:1 gene:Et_10B_004186 transcript:Et_10B_004186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTASRAAASQPLLPDEILEDIFLLLESAADLARASATCRSFRRVATSRRFLRRFSSLHPPPIVGVVGYGLHPVDTADKAASAARAITQAGDFTFSFLPNPIRDWTVHDVRDGRVLLSRPGAVDTNPLELMVCDPLYRRYVQIPPISGYLVPRMPSRNEMKSEPFLAPAGDEEEDQHFRVMYNFVSTYKIVCLVFSVATGKWCGATSFSFLPARLIERPKRLARYYARSCFYWVAEIEKYMLMLDPCEMKFSLIELLHERNRRINCSELGVMDAAEGRLGLIALGTRK >Et_2B_019842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14406829:14411616:-1 gene:Et_2B_019842 transcript:Et_2B_019842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITEKPSWIRHEGLQIFSIDIQPGGQRFATGGGDQKVRIWSMKSVDKNNTNDDSSQRLLATMRDHFGSVNCVRWAKHGRFLASGSDDQVILIHERKPGSGTSEFGSGEPPDVENWKVVRTLRGHTADVVDLNWSPDDSTLASGSLDNTVHIWNMTNGMCTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHKTEGHWSKSLGSTFFRRLAWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVVVVKFNHSMFRKHSSNGQDTKAAPAGWANGASKTSTKEQQPYNVIAIGSQDRTITVWTTASARPLFVAKHFFSQSVVDLSWSPDGYSLFACSLDGSVANFHFEAKELGYRLTLPEDEKKAAAPAADDINKATRLSSPVKQREYRRPDGRKRIIPEAVGFPSNQENIPSRSQSQAVDFSSLDQRMNGIRPSYGGSGNCNNCGVRDRSGVTARANITESLVIQKASTSSGNEGRLSVEHTGSVVPGSSSSLLSIHVLSKKDNEDSVSVCLEAKPVERAAGDMIGVGGAFSTKETEIRCTRGTETIWSDRISGKVTVLAGNPNFWAVGCEDGCLQVYTKCGRRAMPAMMMGSAAIFIDCDDCWKLLLVTRRGLMYIWDLYNRTCVLQDSLASLVPSPDEPSAKDAGTVKVISAKFSRCGSPLVVLASRHAFLFDMSMKCWLRIADDCFPASNFASSFSSSQGGELGKLQIDIGKFMARKPIWSRVTDDGLQTRAHLETQLAASLALKSPQEYRQCLLSYIRFLAREADESRLREVCESFLGPPMGMVGSASSTDPKNPAWDPDILGMKKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYEAAKTRADAMDVTPQTATEANDKTKADMMDITPHIATEANDKGKTDPMDVMPQTATEANDKTKAGTMGLTPQTATEASDNVNTS >Et_10A_002013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18447339:18450628:-1 gene:Et_10A_002013 transcript:Et_10A_002013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNSVRATIERYKKAHACGSSSGAPLLEINAQQYYQQESSKLRNQIQMLQNTNMHLVGDSVGNLSLKELKQLESRLEKSISKIRARKSELLATEINYMVKRETELQNDNMDLRTKMRVLIKLLLVHVADNLLHGSSAQIAEGEQQVTVARSATISMEQMNPFAALDTKCFFPAAPFAALDMKCFLPGTLQLLEAQNQMLVTELNLGYQLAPPGNITNHQF >Et_9A_061160.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19385557:19385985:1 gene:Et_9A_061160 transcript:Et_9A_061160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKTSRQVIEKYYSRMTLDFHTNKKVLEEVSILPSKRLRNKVAGFTTHLMRRIQRGPVRGISLKLQEEERERRMDFVPEKSALEVEEIRVDKETMEMLAALGMADLPGVERAPEVSSAPTYGRPPFGGPRRDRN >Et_3A_024803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24402222:24411003:1 gene:Et_3A_024803 transcript:Et_3A_024803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTVKPWGRGVGLSEELRRRLDTEWRAGTAQMLVHDVTRAVKFALLVIGMTIPFWVIYLRNLPPKFSVEFRGATGLDAAPAHDDAPISTAFNFTLHAANRRLMDRCYRHGEAVVRYAGYTVASGRTRAFCVGAKEKRDVPVVAWAEGVGLPWSIRERMAADWRAGAVDLEVDVKLSRGDDGSARPTWMSCRVKAGGGGAKPPRATRCPAFGFQNWASDITPAWMHQNPASTFPRFFISNMCEILFVAWLIVTPWYYTFYDLPPQFSVQLAPAGRGLDDTAAASMPTAFHVALHARNRRATERCYRNGEAVVWYAGYTVASGRTRAFCVGAKEERRDVPVVAWADGVGLPRSVRERMVVDWRAGAVDLEVDVRLFRGDDGSARPTWMSCKVKAGGGGAKPPRATRCTSFGFQNWASDITPAWMQPGTR >Et_7A_052694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12914472:12915660:1 gene:Et_7A_052694 transcript:Et_7A_052694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQTDGAKFGYVGSMGPEHWGSLNPNFTRCANGTNQSPIDIATDEAVYDPGMQPLHRNYTVANATLVDHVYNIALSMEDPAAAGSVNVDGKQYKLKQMHWHSPSEHTVNGQRFPVELHMVHSSDDGNITVVAILYRFGRPDPFLWQIQDKLTALYAEGCEAEKGAPVPAGFVNLLSLRRHAYMYYRYVGSLTTPPCTENVVWNILAQVREMTLDQAAALTAPLEEAYKQNNRPTQPMNGRTVKFYHRFWKKNKGSP >Et_3A_026580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9929248:9931422:1 gene:Et_3A_026580 transcript:Et_3A_026580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKHATTLIRSLCARGAVGDARAMFDEMPERDVVAWTAMLSGYASNGRHREALDLFRRMATAGVAPNEFTLSSVLTACRGGGGGAAVHRRGASVHAAAVRRGLDRMPYVVNALVDAYASCEEGLMDARRLFDALRGGRTAASWTSMIAGYARWRQESTGLHLLQQMIQVQISLKLTLAAVSAVQDGIELSPFTCSIAIHSCTSAVNLCVGQQLHALSKKKALEVNLAVANSLVDMYCTCASMLDAWRFFDEMPERNLVTWNTIIAGSSRHDPLMSLQMFLGMDIKPNFLTLTSITSACAGLAALRCGQQVHASVLRRNYGKDLKISNALVDMYSKCGSISKAKNVFCMMSYKDILSWTSMISGYGMNGYVNEAIELFNSMVQAGLYPDHVVFMGLISACSHAGLVDEGWEIFRSMILEYNMQPNKEIYGCVINLLARAGRLREAFDLVDTMPFGPDESVWGALLGACKMHKNVELARLAANKIFEINPDGAKTYILLANIYAADSKWGDYALTRRLLRDKGSRKEVGISWIEVMNKMYSFSTADSSSPQVSLADEVLQILVQHMDEGGNDFAVNICIVP >Et_9A_061936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17996755:17998916:-1 gene:Et_9A_061936 transcript:Et_9A_061936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFGRWESDPLFPAAECVQDSADRMEGVYRLLLHERKVMQDADTKFHAPINYERDLEQFEREVNAAAFSDKSKSRENAILKFRQFIRAIAEQISQVEESVESLRIDSNRTPKKLYSSEYDGDGLASFLSVNGYHSTQEHTTFQHRDSEKDLEGAVDLKCSHGESSCCGDHNNSSIYGFNADNSTSRIHFCVNKLSRQYRSFVRNLWFTKRGRESFTKRRKDGEDMDSLRNTNTLPSFNPPPSGRAMYFWPELIKRRLSRTECFMHHNHPQIRFAAAVLIAFAVLCELFSLLIRHVRHLTLMIDRIVLEENAFN >Et_7A_052231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5901897:5902427:1 gene:Et_7A_052231 transcript:Et_7A_052231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGSSGGGRSSLSYLFEPEEFIPYHTSKSKQETEKTSGISSGVREDKMIVGEADQKLAVIPAPPKKEVSNPIVPSHMLPCNIYHTSQLNHNSGLLITVSVCLNVTFCIHEYTSATDLLRGYVVRQEGPLLLGFSSVMRMRNDRSTELICKSMAAII >Et_4A_034086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29953009:29957898:1 gene:Et_4A_034086 transcript:Et_4A_034086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSSRSRPSGHSGVFPVNAAAGAAGSDGGVQLADKLKIFKTDNFDPDSYVQSKCRTMNEKEIRHLCSYLQDLKKASAEEMRRSVYANYAAFIRTSKEISDLEGELLSTRNLLSTQSALIHSLSEGVQIDSLTTSLEAFEEQDISVVEDQEPSELQKWCADFPDMLDVLLAERRVDEALDVLDEAERIAVDAKQKATLTTADIMALNRTISENRQKLADQLAEAACQSSTRGVELRAAASALKRLGDGPGAHSLLLSAHDQRLKLKMQTIHPSSTSYRGAYTASLAQQVFSVISQALSDSAEVFGDESSYASELVTWATKQVMSFALLVKRHALASCAAGGGLRAAAECVQIALGYSDLLEARGLSLSAILMKQFMPSVEQALDSSLRRIDESTAALAAADDWVLTHPPMGIRPFARSSAGNLSMQPKLSNSAHRFNSMVQDFFEDVGPLVSLQLGGSIMDGLLKIFNSYVNLLISALPGSVDDEVNLEGLGNRIVRMAETEEQQLALLANASLLAEELLPRAAMKLYSMNQANMDSMRKRGPDKPNRAAEQREWKRKLHRTVDKLRDSFCRQHALDLIFTEEGGTNLSAEMYINMDSTAEEPEWVPSLIFQELYARLNKLASIAADLFVGRERFATLLMMRLTETVILWLSEDQSFWEEIEEGPTPLGPLGLQQFYLDMQFVILFGQGRFLSRHVHQVILDVIDRAMAAFTATGMNPDSVLPSDDWFIDVAQEAISKISGKPRFSNGEREVNSPTASVSAQSVSSLLVTGSTEYHCVITAFHQARP >Et_6A_047600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:774676:778039:-1 gene:Et_6A_047600 transcript:Et_6A_047600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEMTQSAVDTLLGQLTKLLVSEVQLLGGVRNDMQFIKDEMESMNGFLLDVGGEPSSNQVKAWRKQVREVAYDSQRCVDRYVQTMGPGRHHSAAGLLLLGRAPQLLQIRRIAKQIKDLKSRAHDIGERRQRYGVMAPPTTTTTTTSVAADQAKRAGRPTWQLQAEEARRRRRAIADAADWLEADARKMVDWLAGRPATADQGARPRNYLQRCSDAIAGFARTMWNAPSKVDQVAPELIKTVMALVGHDDPGNIEDAASLLWDYNIDIIKGERRVVVRLIDVLNHKIGLQRGGDKNKAVATSDINKAVATCSEDVFKTSSDPKEILMAQMQYSKQVGERLGRVVFPDLLKQLSPFQRGGGRFPRLLAIVTPPIDGHIIQDDALPVDKRATELALRVYQESLQACYFNCVVWINARELAEPRERLEKILQEARKQLPPLPPYDDHDDGPRAVTTLTQDELCQEIRDRLEAAEKFLIVLADHEDDAPWSGIIPALPRDFSDQSAILVTPTIRQTAQFHVWYMLSVMFTYLHKTARYKVHFYSHLAAARQKANELAPNVRRLPDLQATVKDILTKCRWDTFSTKMFLHALYANPHRSKDMLEKLLLGLNEFNTVSNAREMIKLCYDDLHSHYKTCLLYLSIFRPGFEIRRTCLVRRWAAEGLVTRRGRQTATDEAEQCFSELLDRGLLLPAGGTGPPTTKVKMCKVDPHVFSFITRMPREDDSADSTELPPAFARRLSIPSGIKLSKTKRPAAGWKKNNKECTAAADLQNSIDGMLNFLNLFPTTQLGWIKVLDLEGYNGLRKQHLKNICNKIFQLKYLSLRNTGVTELPKEIEKLQDLETFDIRQTKIKSFPTKSIVLPKLERLLANHSSNHDNGSCEEPFSTISIPREIGSMTNIQILSHVQVSTAADEEALDGVVKLQQLRKLGVVIVGKVAKTHLIRVIGMLNESLYSLSVHITDDVDVDLTNRAPGLLTSLKISGKIEGLPNWISDLQLLSKITLCRTSLTESDVNILGDLVNLCFISLSCASKNYLVFQKGFKKLEVLSIQDSNISNIIFQGKACPKLEKIVWTSNSTTCNLETLEVHKLPGLKEIEIRGFFDLPGIKKAVEKNQNNPKLKI >Et_1A_005367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:59700:74810:-1 gene:Et_1A_005367 transcript:Et_1A_005367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGGRRMPPADSSSSSASPAPAGGRRILRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQSILETHNQVEEKKKLYLPYNILPLDPDSANQAIMRYPEIQAAFHALRNTRGLPWPKENDKKPDSDLLGWLQAMFGFQKDNVSNQREHLILLLANVHIREVTKPDQQPKLDDRALDAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGEHVKPAYGGEEEAFLKKVVTPIYKVIERTPNDVPRHLVNEEDRPVGNDSWMGKVNFVEIRSFWHIFRSFDRMWSFLILSLQAMIIIAWNGGTPSDIFDAGVFKQVLSIFITAAILKLGQAILDIILSWKARRNMSLAVKLRYILKLISAAAWVVILPVTYAYTWENPTGLARTIKSWLGDGRNQPSLYLLAVAIYLAPNLLSALLFLFPVVRRALERSNYKVVQFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLATKLIVSFYVEILPLVQPTKDIMNEPIHTFKWHEFFPHANNNIGVVIALWAPIILVYFMDTQIWYAIFSTLIGGIYGACRRLGEIRTLGMLRSRFESLPKAFNECLIPSDMNKRRGFRAAFSSKPSKSPEDSQEEKIAARFAQIWNLIITSFREEDLIDNREKDLLLVPYCKDRDMEIIQWPPFLLASKIPIALDMAADSAGKDRDLKKRMKSDPYFTYAIKECYASFKNIIYALVTGPRERDVIQKIFKVVDDHIAEDTLIKELNMSNLPTLSKKFVELLDLLLKNNKEDQGQVIILFQDMLEVVTRDIMDEQLSGLLESVHGGNYRGHEGITPLDQQDQLFTKAIDFPVKETQAWTEKINRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMSMPRAPKVRHMLPFSVLTPYYKEDVLFSSQALEEQNEDGVSILFYLQKIYPDEWKNFLERVQCKNEEELRETEQTEEELRLWASYRGQTLTRTVRGMMYYRQALVLQAFLDMARDDDLMEGFRAADLLSDESPLLTQCKAIADMKFTYVVSCQQYGIQKRSGDQRAQDILRLMTTYPSLRVAYIDEVEEPSKDRNKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAILGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEALATGKKFIHNQPIQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGTTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVYEIFGQSYRGAIAYIFITISMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNQGGIGVPPEKSWESWWEKEQEPLRHSGKRGIVLEIVLALRFFIYQYGLVYHLNITTHTKSVLTVSVGRRKFSADFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDIFVCILAFMPTGWGLLLIAQAIKPAIRFGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRATRNKE >Et_9B_063619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11170255:11172552:1 gene:Et_9B_063619 transcript:Et_9B_063619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACISPPPPYHCKFIIITTLKQTTIFVAMLFFPVTTTNSFFLAYLRVEELGVEQLVPRVLEPREAPVHAVGVRLGVRQLLRRGRAPLPLVDGGVRVLAVQRQLRDVEERERHVGAVAVEDLPDHPHHAPPAVRAPVPGVPDHLRLVVAVSPRRAAPVLALAVGGRHAGEEDPGGVEVHVVPDPLQHLPEHHPVRLLRRHPRRVLLHRVGGVRVPAVLQDAEPQPRAPPEVGAEHDDVRAAPPRAVVADGAPRHRAVGDPVLHGVVGVGPEQERRPVELHRRVVRLRREHRVLLVGRHVVGFGRGVVRDGGLEEAHHGRELAPVQGVPHEQHPLVAQRFQHPRRVEGRERAVVRLPAPVLVGRVRTPPRLAGELRRDVGAVLLAVQRVARVVAHPDAGEHVALLLLLVLVVQRERQLPLPLALLLLLLPGRRHLCSSYDHHSTIQLSFDLCYWMATLSDTESCDTSYLPAAAAACCSLSSALLMMRCDDAS >Et_3B_030907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8705683:8711999:1 gene:Et_3B_030907 transcript:Et_3B_030907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTAVSWPSPASMAGIALPLLLVVVAAVALLWTLASRSCWLLTRGRGRGQGRARLPPGSFGWPVLGETLDFVSCAYSSRPESFIEKRRLRHGGSAVFVSHLFGSATVVTSDAEVSRAVLQSDARSFVPWYPRSLTELMGESSILLINGALQRRVHGLVGAFFKSPRLKAQVTADMQRLLAPALAEWRQRGPGARLRIQDHAKTIVFQILVRGLIGLEAGPEMQQLKQQFQEFIVGLMSLPIKLPGTRLYRSLQAKKRMARLIQGIIQEKRKRRILGGDGQREPPRDTIDVLISGESDELTDELISDNMIDLMIPAEDSVPVLITLAIKYLSECPLALQQLEEENMQLKRQKTDVGETLQWTDYMSLSFTQHVITETLRMGNIISGIMRKAVRDVEVKGHLIPKGWCVFVYFRSVHLDDTLYDEPYKFNPWRWKEKDTSTSSFTPFGGGQRLCPGLDLARLEASIFLHHLVTSFSWVAEEDHIVNFPTVRLKRGMPIRVTSKV >Et_3B_028555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16809917:16815211:1 gene:Et_3B_028555 transcript:Et_3B_028555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELFENYEREYCEASSSLTYKCNAAAALKGEKLKQKAAEIKAGTDGAEAMIRKMDLEARNLQPSVRARILARLLEYKSDRNSLKGALKRISRGNAQQGAIEEDLESGLADTLEVAADQKSRLRGATERQNQTTDSLRDCRRIMLETEDRGVSILHDLHQQREHLLQDHERLQAADNNLVKSRRIIGAMVRRMNRNKWIISLIITLLVLAILVILYFNAMSEVFESYERQYCEASASLARKCTAAAALQGEKLKQKGAEIKSGIDGAEALIRKMDLEARNLQPSVRAGLLAKLREYKSDLNNLKGALKRITSGNAQQGAREELLESGMTDTLVVSADQKGRLLRATERQNQTTDRLRDSHRTMLETEDLGVSILHDLHQQRQSLLHAHDTLDNVDDNIGKSRRIIGGMVRRMDRNKWIIGAIITVLVLAILAILYFKFVR >Et_4A_032891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15075916:15079057:-1 gene:Et_4A_032891 transcript:Et_4A_032891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESELMTSYETKKNCEIRIFESLDEMETDLAEFISQVSEISVKERGFFSIAVSGGPLVKFIGKLCEAPYKKTLDWSKWYIFWAEERAVAKNHVESNYKLTKEEFLSKVPILNGHVYSINDSATVEEAATDYEFVIRQLVKVRTVAVSERNDCPKFDLILLNIGSDGHVASLFPNHPALELKDEWVTYITDSPEPPPERITFTLPVINSASNIAIVATGEDKAKAVTLAISDCIESRDAPSVPARMIQPTEGKLVWFLDKVASTSLEAKNDAYEHHGY >Et_2A_015398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1221040:1221456:1 gene:Et_2A_015398 transcript:Et_2A_015398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ACLPLLHEDADGRCSGWGLRALAADAARELDVLGHDGDALGVDGAEVGVLEEPDEHGVALEAEVGLEVLGDLTDEALERQLPDQELRALLVLADLTERHRAGPVAVGLLHAACGRGRLPRSLHIIKPSR >Et_3B_028745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18681432:18689676:-1 gene:Et_3B_028745 transcript:Et_3B_028745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIYDYFMKRNLQATAKAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDTQLLKAREQQQQQPPQQRQQQPQHIQMQQMLLQRAVHQQQQQQQQQQQQQQQQQQQQPQQQQLQQQQQQQQMQQQRRDGSHLLNGATNGISGNNPLMRQNLSTANVMATKMYEEKLNSQRDSLEEASMKQRYGENAGKLLDSNEASLLRAAASGQSSGQILHGTVGGLSGTLQQVQARSPQLPGPAQSIKTEINPILTPRTPGPEGSFIGVQGSNQAGNNLTLKGWPLTTTKKRKKPGSSSGRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSVPQLQQNGGSAKPMVMFGSDGTGSLTSPANPLDNDRNIRFQDDVDRLLEDGSLDENVESFLSQDDMDPRDSLGRCMDASKGFGFAEVAKARASSNKVVCCHFSSDGKLLATGGHDKKVVLWCTDPCLKPKSSLEEHSFLITDVRFSPSMSRLATSSFDKTVRVWDADNTDYSLRTFTGHSASVMSLDFHPNKEDMICSCDSDGEVRSWSINNGSCLTCVKAFKGGATQMRFQPRKGKYLAAASEKSIYILDGETQHPCRSPLQGHNKTIQSVCWDSAGDFLASVSEDSVRIWSFNSGHDGEFVHELNCSGNKFQSCVFHPTYPSLLSLELWDIREKNAMTFNNAHDGLIAALAASSATGKIASVSHDKFVKLWK >Et_10A_001231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22383026:22388828:1 gene:Et_10A_001231 transcript:Et_10A_001231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWALLAVMLLAAQAASAAPVMAPAFLWAPKNYGFSSDGAKEVVHYQTISPKSLAKSVLQEGGWSSLVCSREEAHKDVDVAIVFIGSKLQSSDISKDKQVDPALADTLKLSFASSEFSMAFPYVATTDDEKLENSLLSGFSKNCNSGFEGKHITYTDTCAVSGEDLKKHHSMDSISDLVMARMGNNPSGQTDVIVFCSGGFEGLDQSEGELLSELVTMLKKSGAKYTILYASQPSGLLESPSNIPLGRFLAEKTNTTKAGLGKCEGECLVKSTLLEGTFVISEAAQAPGAILACTVDILIMERDLTMHVDCMHRPTC >Et_6B_049241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2179276:2181763:-1 gene:Et_6B_049241 transcript:Et_6B_049241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPELAAGEQPEPPDEEDDPDVDEVDPTGRYFRYKEVLGSGAFKRVYKGFDSVDGIEVAWAKVEITDRIMGSPKELQRLKTEIQLLRSLQHKHILKLYASWIDKKKGTVNIVTELFTSGNLREYRTKHKKVDMKAMRRWAKQILTGLAYLHSQKPPIIHRDLKCDNIFINGNHGKVKIGDFGLAMVMQQRKTQSIQGTLEFMAPELFGENYNELVDIYSFGMCMLEMVTGECPYSECQGFVQIYKKISEGIKPVALSKVKDAEVRNFIESCLASAADRLPAIAFPQNLDLDLEATPIFVSMVSNGAVNDGKESFSLVLRRGELVLEGNMSVTNPVTLLLRLPDPNNGKCKNIEFQFDLEKDTSLSLSTEMIQELELPSWSATVIAKLIDGFLLKTVRGWRPCIQIGQMIQVAHNTASANGKLSRSCGASQGFL >Et_2B_020964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25262196:25269119:-1 gene:Et_2B_020964 transcript:Et_2B_020964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPSLHSLLLMAASASSSAAAAGGDSGLLLAARRRLPVAAVAGGQRIRLLHCFSGTRLPRRPEVVCCVRSSPDVRPAGPVAVRSRNVHSMNYNKAAEKRLAQLVQKLKNEGINPKQWRTGNFQRMLCPQCKGGSTEELSLSLYIRTDGHNATWNCFRSTCGWRGFIQPDGVSELSQDKGDIGGESDQEVETCQAASKVYRRPNEEELKLEPLCDELIAYFSERMISAETLRRNKVMQRNWNNKIAIAFTYRRDGVLVGCKYREVSKKFSQEANTEKILYGLDDIKRAQDVIIVEGEIDKLSMEEAGFRNCVSVPDGAPPRVSNKQLPDKEQDKKYQYLWNCKEYLDSASRIILATDADAPGQALAEELARRLGKERCWRVIWPKRNETDTCKDANEVLMFLGPHALRKVIEDAELYPIRGLFSFRDFFPEIDNYYLGIHGDELGIRTGWESMDDIYKVVPGELTVVTGVPNSGKSEWIDALMCNINDQCGWNFVREHARKLLEKHIKKPFFDARYGGSVERMTFDEFEVGKEWLNETFHLIRCEDDSLPSISWVLDLAKAAVLRYGVRGLVIDPYNELDHQRPSSQTETEYVSQILTKIKRFAQHHSCHVWFVAHPRQLHNWNGGPPNMYDISGSAHFINKCDNGIVIHRNRDPNAGPVDVVQVCVRKVRNKVIGQIGDAFLTYNRVTGEFKDADKAVVAQVIASQTKKHLRTMKL >Et_10B_003020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15403822:15408994:-1 gene:Et_10B_003020 transcript:Et_10B_003020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFLLNKNLRRGIMANSSAAGGSEISIQMPAAAVDPFQPEKCLNRCVRVVAFGEWAGDAFGTMASLWATLVLLAGYRSLLANEDFWLATVIIFQQAFRIFSRSYKLDNQSLFGTTKAVRWGAGSSFSRMLAQPQEGNEVVLIMGLSITWVDRFFRTIIQMSVAVMNSSFVPLIAGTLKLVVLIAMSKLHIRGAPLLKNRPQGCRECLLWALLFTSLVLTFLPMAAYRHYGIKWSDISILAMIWAVLLLNLRPQWIVNIISPPCSGHVLLFSAKVISSVLLAWGNLDIVFAGKIFTILVLSLGSLQKRNPANSMFGRSTEVILHTLFLFNMVIPLPGIGVQLIAPVLSPAIAAIILGSALLIGNLQIPVAIVQVVLSSWRLYMLLAHRDYSHLPWNNSQNMVPAIAVCYLLAILQGTHYIMACIIELLSFFPRRSLVRHLGLRGQRGEKAVDQYYKHAYATRIEIGVFAKWDTIILSSFAIESLSSSSSEKQLAGSYILDSLLQKGNDNRYLRVTSSDKEVSTLIAMLGWTSEQDRDIRLFAARITTVLAGSLRIARIPGMLKLVSSLLDAKNQQDTSQNNASSGLNPSAQSRNESADDRSMHGEGDNGVECCWICQCWQRIKEKWSIQGEELLTLRDWVCRYWQQMKEKWSIQEEEPLTRQDSLPLLGMKILEMLARDPDNCAEIIKATDLIPRTIRLIAYNTDTENRNDEQVINSTLKFLRRLAITGGKIGAALRQELCESPFLLSNLVGILEDSRSSPQMWESVMDIIAKLALNYNGRKEIGRVQVIISKLMHAFIGRDGERYDNQSLRMSAGEALANLTMNNIANCLAILEESKYDFIKDITELLKADNDYICVVGTLLQNFCAHTGDKLCHPEGMKHLLSAMQVVSENIMTAEGKKLEILVGLASHIFYIFPRCFVQNLNSHNNKVGLVKKLVDTLNYNSKPNPEYPRMRRVIVYMATFTVKFCEGYDNLFEEKGIMEALSKVEKTTSKVEGYRVFLGDEGVVLECDIPLPNLVARAKVEIDSVLRARAHRRRIL >Et_3B_030668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6077578:6084260:-1 gene:Et_3B_030668 transcript:Et_3B_030668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDILMANAEADNAGERLVWPWTGILATATTNAGAQAGAASTLAAHAHQRFAGITTAALQEEAANHHLHFLVIHFGKSWFLREAGARARSVEDVEKDEARVAAGLGAVVGDYVRRAKFLEDKRDEMGGLVQSLEEESSWLHGELKELKNISDKIIPEMDQGIDKENEKLRKELDAISREIDSSMQRNELKDGRTKLLHCTKVQKLVFEINSLNMAEKKPKTSNHVQMLREKHKEEMEAIYAKVVKLERQLEQRQAQESAICLLNTKLQAGANLSKEEYQHLYVLMIILKNCLHQETERLQSSSVDIIKRDIMNRDVLHETRQELIKGFEGMLIDKRTIIGIKRMGQLDEKPFHHACKRKFRDDDPEGKAARLVSSWQEELKKTSWRPFTTILVDGEDKDVVDEGDPKLRQLQNDYGDNVCNAVKAALRELNDYSPQERHAVNELWNFMEARKATTPEVVKYIFEQLKIRNEGPTRGFSLNDWNANLPSSGASQHPSAVALSPTDPPIAAPVAWACAGQSLMYIVRTKRMTLATTKRGTKNGNHRRNGRNSCVGGGGGRLRCWCCGPLRPWCPGPWLRWRGLLGRPWPPPPSTSMGTTAVSPRRGMAPAAGGNWWAAREMRARGAEFGGSGRWVASWGDGWEGRKTKEDELATLGKVGDLYF >Et_3A_023938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1506962:1521990:1 gene:Et_3A_023938 transcript:Et_3A_023938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASLYRRVLPSPPAVDFASPEGKRLFTEALAAGTMEGFFSLVSCFQTQSEPAFCGLASLAVVLNALAIDPGRRWKGPWRWFDESMLDCCEPLDKVKAQGITFGKVACLAHCSGAKVQSFRSNRATLEDFRSHLVRCVTSQDCHLIASYLRTPLQQEKRGRKMFYSKSVIPSYLQWSMTNNMLISYVVIVHHQQVKKIPLPGLQSLCAVKELQYYQGIWHQEIIFAAEKHSSEITKYPSDADVLTVLLMALHPSTWLNIKDERLKVELQTLVSTENLPDDLKREMSAVMPSLYRRVLPSPPAVDFSSPEGKRLFAEALAAGTMEGFFSLVSCFQTQSEPAFCGLASLAVVLNALAIDPGRQWKGPWRWFDESMLDCCEPLDKVMSQGITFGKLACLAHCSGADVQTFRANRTTLEDLRRHLVRCASSQGCHLIASYSRKLFKQEIVLQQVRDTKLFTIVHDLKCAKIQCCKCSLSTEEDSITRIATTVCCQGAAMLSGSLASSDDFCCRETCFNCVQANGDGVKTVISGSVVCEGKEQGVDMLLPMSPRCTTSCNSSSSNDITKYPSSADVLTVLLMALHPTTWLNIKDEMLKAEFQTLVSTDDLPDDLKQEVRCRNPSMAICLLLLGSKMSSRQQRSTIIFPCGNHILHLRRQLCYLKSCKEKECEDPSRRASIQAEMSAAMPSLYRRVLPSPPAVDFSSPEGKRLFSEALAAGTMEGFFSLVSCFQTQSEPAFCGLASLAVVLNALAIDPGRQWKGPWRWFDESMLDCCEHLDKVMSQGITFGKLACLAHCSGADVQTFRANRTTLEDLRRHLVRCASSQGCHLIASYSRKLFKQDGRLSLSKEEKEWLSLKEIVLQQVRDTKLFTIVHDLKCAKIQCCKCSLSTEEDSITRIATTVCCQGAAILSGSLASSDDFCCRETCFNCVQANGNGVKTVISGSVISEGKEQGVDMLLPISCNSRSSDDITKYPSSADVLTVLLLALHPTTWLNIKDERLKAEFQTLVSTDDLPDDLKQEVRCRNPSMPICLLYLVARCLQDNKGAQ >Et_5B_044619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4049629:4050977:1 gene:Et_5B_044619 transcript:Et_5B_044619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HWPFAGSFGLNTDILATNLINLTVVVGVLIFFGKGRILSTIRNSEELRRGTLEQLEKARLRLQKVELEADEYRMNGYSEIEREKVRQRVFQQAVQGALGTLNSCLNTELHFRTIRANIGILGAIEWKR >Et_1A_009508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6947253:6952183:-1 gene:Et_1A_009508 transcript:Et_1A_009508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRATASPRGLGETEGSAQEAHAHWQPIEQADRKHFFVKYVTGNTHTILCRNVKICGLVKLLDNECTFLTVPLSLLAVDYPAHKLTCYVSDDGCSPLTCYALREAVAFARQWVPFCRRHRVGVRAPFAYFATGPDLGPADDEFLRDWASIKASFTIRAPKVFGNLAVVFMTILFVLLQSEYEKLVSRIENAEEGSLVLRDGELAEFLGAERSSHPSIIKVDSKLILWNKSMNMTRNGFPSLVYISREKSPRYHHQGFQSRSIPAGFPRKPDFPVSPTRVSAVLSNAPIMLNVDCDMFVNNPKVILHAMCLLLGFDNDVHSGFVQAPQIFYGALKDDPFGNQTEVMYKKLGYGFAGLQGIYYNGTGCFHRRKVIYGVPPDCTTNFKPRTKGSLSYKKLEMKVGSQDDLIKPVRSVVFGDILIAPITDLSSRIEATQEVSVCSFETGTCWGQEIGWVYGSTTEDILTGQRIHAAGWKSALLNPNPPAFLGSAPTGGPASLTQYKRWATGLLEILMSRNNPILLSIFKRLQFRQCLAYLVIGVWPLRAPFELCYSLLGPYCLLANRSFLPKASEPGFSIPLALFLTYNIYNFMEHMDCRLSARASWNNQRMQRIISSSAWLLAFLTVLLKTVGLSETVFEVTRKDTSEGEDSASKADQGRFTFDSSPVFIPVTALAVLNIVAIAIGAWRALVANSAEGVPGGPGVGEFVCCSWLVLCFWPIVAGLVGKGSYGIPSSVKLKSEKMVGRVSLKHRRLRCNNSGSRKDPPDDTADHGGPQPSRHTVERARADPGCRGVDPVVGAGPHPEDAAAVGVGAAHGAAVVEDAVDAQLGGLAALRLDDRAAEPRERARRGAHGEPDAGAAREAVAPPPHHGQLLRVGVQEDLGRGREVVVEREVRRVEGDALHGRPPPSDVIRVRLLHGGVVGVTGERARRRHGRKRDDRAERRDVRDYTRRGIVLVLDIVGLWQCHRSSWGFRVYEKGKPSWSLRRARVLRHRRVGEEKETV >Et_6A_047278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3212287:3214800:1 gene:Et_6A_047278 transcript:Et_6A_047278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRRRLALMLRRAASLPSLPFVASLHASSIRHGVILVPSLIHGYSACGDLASASDLFDELPLQERTLSTRTALASAFSAHGRCHDALRLFSGLEEAEMDGQAVTVLLAACARTGMVGEGRRLFARVRQPALQHYTCMVEMLGRAGEVEEAERLLVGMQVRPDRIICAALLAACRVHGRVDIAERVAGHMRRDSDKDAHHWRQSTAAWNKPVARKKGMDMEA >Et_8B_060316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9213765:9220587:-1 gene:Et_8B_060316 transcript:Et_8B_060316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSPVPRKQKSSPAVMIGPWGGRGGTPRDIRKGNGNRPRQLESITVRSTDSYGGRINGFSFVYVDQKGQSIPVGVWGSATKGFEDTITMGPDEHVNHVSGTADNYGVTSLTFVTNTGVEYGTYGYPSGTPFSVPLQQGNGEVLGFFGRGGDCLVALGMPASRGSSNAAVKVGPWGGHGGTPRDMNSRVPADHLESITVRSGLIGYICGLSFVYVDRKGQSIPVGPWGALKAGTVDTIAFGEDEYLAEVSGTSDGTGITSLTFVTSSGAEYPYGFPLGTPFSLSLQHSNSHVIGFFGRSGEVLNALGLYLAPRT >Et_3A_026913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26117216:26118127:-1 gene:Et_3A_026913 transcript:Et_3A_026913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTEQQQEEDGVMEVPEIDDDILVELLDASLAASEEQSPQPGFAADVDGWVESQELNSIHPHHDCEDCGLDGAVLSDLSDFELDGCGCSRSPSPYVVFDDDDTVQWTDAAEDALGPFTGACMGEWYMDGMAMEWEEEDGDGFSFGPYYGGEAGMEQVGSLKDESRESKTEFTEMENADSVIGMGS >Et_4A_034689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5468316:5474029:1 gene:Et_4A_034689 transcript:Et_4A_034689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDRAPKVADEATAAARQRPERKKRKWDQPAEDLVSATATAAAVAGLPLMNIGALPGIALPGATANVAATLPTVVPVPYALPPQISPSVLQNAAAVVQKLSQAKLPEEIIAREIVINDADPSVRYKLTKRQTQEEIQRSTSTVIITRGKYHPPNGQPNGERPLYLHISSGSQLKDTAERIKAVDRAASMIEEILKQGHIPETASVSFPSSRGQAVHPFSATIFLGFGADPSSNIAARIRGPNDQYINHIRNETGVTVVLRGKDSGNLDSHCEVSQQPLHLYLTSIHLKNLEAAKVLAENLLDTIAAEFGASRISSSKVYGAVPPPQQLLTGVQTSETISDVHSTLGPSVLSGASHSFASSGVAASPVAPSETVPSGAPTYSGIPPPMTCPSPHVNGGTFYSGYGNIYPQATPLQQVAFTLKHASSSATQVAPLTPALTSTIKVNSSSDMETDKRSQRRKFQELPVSSGPTAETQNSRQGSKFVKTGLGNFGNSSSSSIAHPSKVPVGSNGMLLSGQMDMPPHLSVSNNMAPPPSRSMLPPTSRNMLPPPHRSMPPPPPKFPSSEMLSRKENMSLVSRESVAPPRSFNTTPVSPPKLCTQIPAKEPSDEKPKVTPVSDTLLKLMDYGDDGDDDDDDIDAT >Et_4B_036504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27383725:27385170:1 gene:Et_4B_036504 transcript:Et_4B_036504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWQNLQHLQLQQLFGRHLSRHARRLAALVDPYLSVTIAEYEGGRMRRSDAYDEVRAYLSDACSRGVRHLRAESAKDADKLVLSMVDGEEVADELQLPGGGGGGGATAGPAAAWFGGGGGAAQEERRFYRLFFLEQHREVVLETYLPRVRQLGRAVMVKNRQRKLFTNISTAQWSHVVFEHPKTFATLAMDPARKKEIVDDLDMFRNGKDYYARVGKAWKRGYLLYGPPGTGKSAMIAAMANYLDYDIYDIELTSVHSNTDLRKLFIETTSKSIIVIEDIDCSLDLTGARKKKKKDDDKKDDKPAGPGRPGEEKKDTSSKVTLSGLLNFIDGLWSACGGERIIVFTTNHVEKLDPALIRRGRMDKHIEMSYCGFEAFKFLAKTYLDVDAHDLFGAVEELLREVDMTPADVAENLTPKSLDDDADSCLAALVKALEEAKEKKASGGDAQRDEQDEEEQ >Et_4B_037287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17703550:17705345:1 gene:Et_4B_037287 transcript:Et_4B_037287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGWSYAITHGASACSTTSPVTDNTDAAESATSTTTSTVFQPSLHDVMASSSSSFLQADPHMGWTPACMGERAATTSFNALLQLHSHAGGRFLLDQTPSHPHVQARYDYDVDGTAPAAAAQRSATSLFAESQFSSSGTAMSCGDAPAAAMMMMKPFPQQQQQPILSQAILESKRLKSDVEGAQKACSSVMRRSSVPDSPAAVAKRPRIETPTPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVASLTSPYLRYGRPVQPQKRQGSSKAKDLRSRGLCLVPVASTYTLGNETAPEFWHPTFGGTFR >Et_4A_034222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3112111:3114818:1 gene:Et_4A_034222 transcript:Et_4A_034222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTLNPLRHHAPVSPSPTPLPRRGQPQPLLHLPSRRRLAGGAARPRAVAVAVSGAVNEARRRGPPHDGGHEGKETDLATLGNLCVDVVLSVPQLPPAQHDERKAYMERLAASPPDQKFWEAGGNCNLAFAAARLGLRCSTLGHVGEEIYGKFLLDMLQAESISVVGMLENTDASASRQAYETLLCWVLVDPFQRHGFCSRADFNEEPAFSWIRKLPADIRTAIHHSKILFCNGYAFDEFFPDVIASSIDCAIDAGTAVFFDPGPRGRSLMQGTLDEQRALEHALRLSDVLLLTSDEAESLTNIRHPILAGQELLKRGIRTKQVVIKMGSMGSIMISANTISCAPSFKINVVDTVGCGDSFTAAIAFGFLHDLPAVSTLTLANAVGAATATGCGAGRNVAHLDKVLQLLKEANLNEEDMTWSELIEGSSLCSEVSVLSRTAINCHREKEGVVHIPIGNVVSELLPMFEAVSERSTVQA >Et_2A_015045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27453387:27454166:1 gene:Et_2A_015045 transcript:Et_2A_015045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLCGTLPLDEFVSSCCPRLRRLRLCCVGGEPVRRLVLHTDALEVLDVNNVDDLKRLDVVASNLRCLSVRSCFRFTSGGGGEVTVSAPRIETLRWYRSYPEQLSFRADLTHVRRLSCLKLLAIGNRQWFDVPYTIQLLQDCSFADHLDLVELIMPDKMPLLNWLGPEVHWQGACEDLIRHVPLLPNLRVLSVTIRWGFGGNVMPSLASLLSRAPKNNEALH >Et_9B_065233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2639683:2644735:-1 gene:Et_9B_065233 transcript:Et_9B_065233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHHALTFSRSSTSALYSVPISMAVNPMCARSARYACWPSRASYTSSTARSLASKIIVPAYITSAECTEKGSQTANTIMVHMTIVPHDYSAIIRTAHSRLRVIHRDLKASNILLDRDMNPKISDFGLAKIFSTNDTEGNTRRIVGTYGYMAPEYASEGHFSVKSDVFSFGVLILEIISGKRTSSFHGYGEFINLLGHAWQLWKDGLWLQLVDTSQITESHTQDVMRCINIALSCVQENASDRPNMSHVVAMLSSESMTLPEPKHPAYFHIRVTTEDASDVLQQSSLNDLLPPRLHPRHLRQQLGPVLGAHEVVHDGEVAEVGEADAVAAGEVPVPRREPRLVDGQHAAELGEVVVDGGLVARAAQQRREHALDHDGGRGRAELVRLHLQPHVHHGGARQVVAAA >Et_5A_040384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18627953:18629343:1 gene:Et_5A_040384 transcript:Et_5A_040384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFPFRSHITPMLQLAGLLHTRGLAVTVLHTDFNAPDPARHPEFTFVSIRESLPRDVAGDADLVEQMMGLNAACEAPFQATLGELLLLHGQREVCAVVVDGQWYAMLGAAKRAGVPALAMRADGAATFLSMLATPRLRDAGYVPVNEERLDEAVPGLEPLRVRDQIRIAGSDEDKVLGFITLVADAMRASSAGVVVNTFDAIEGAEIAKIRRELSPRPAFAVGPLHLLLPAAVEHGLHAPDRGCLAWLDAHPPRSVLYVSLGSEMAAGLAGSGVPFLWVLRPGFLRDEQGPPPMLPDHGHNGKIVSWAPQREVLAHPSVGGFWTHCGWNSLMETICAGVPVLAQPCFADQTVSARYVTHQWGVGLALGEKMDRTNIANAIRGLMAGEEGTEARRQRASLLKMEASLCVVNGGSAGLAADNLVKYMLSL >Et_6A_047550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7151658:7157184:-1 gene:Et_6A_047550 transcript:Et_6A_047550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAGGGPRRFALLITAAAGAGALSDRVLDSPSSSTAVHASVTPPLRQALAGAAAGLLRPASSLFSPWAPLPLNQGFPILNSFASASVSPANLSNQGSTGSTDDSKCGRGCLGRETFAKAASAVGPAVVNISATQDFHGWMAEKSIGSGTIINPDGTILTCAHVVVDFQSTKPVVRGKVSVTLQDGREFEGVVLNADRYSDIAVVKIKPDTPLPAAKLGSSSVLRPGDWVVALGCPLSLQNTVTAGIVSCVDRKSSDLGLGGIRREYLQTDCAINQGNSGGPLVNLDGEIVGVNVMKVMAADGLSFAVPIDSVVKIVENFKKNGRVVRPWLGLKMLDLNPMVIAQLKRKSSVFPDVRKGVLVPMVTPGSPAEHAGFRPGDVVIEFDGKPVESIKEIIDILGDKVGVPFKVLVKRANNVTLTLTVVPEEADANQ >Et_4B_038748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4623468:4626437:1 gene:Et_4B_038748 transcript:Et_4B_038748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVRCLRDGRLDGEHAPALAVEGSLQSCTFAGGAMLHLVAALASQVAAGKAQSRGLVIVAFDRSPELYLDFMRRRGLDSNSLNRCIRILDCYSDPLGWKQKIQNQQHQENTRKQLSTNKDNITVFRGVKDVKKLLYSTTELGGGFEGEGKKYFSVAVDSISSMLRHALVPAISGFLSNLRSHDQVSSIFWLMHSDLHEPKVPRAFECLSTMVACVEPALVDPACEVRGNMSFLEQNYSKAKFIVRLKRRNGRVKHLYEDINIEGNDVKFVSALSVSTEVNQSLLPKVQFNLELSEKERSDKANVVLPFEHQGKGEPIRIYDGRRSLPDGQQDPSLTALTLVDEVKAQKSGNGKGEIHYVRDSDDEQPDSDEDPDDDLDI >Et_4B_038996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6803386:6806109:1 gene:Et_4B_038996 transcript:Et_4B_038996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPPTQLPPPMPPPGAAADSEPQSQPAPDTLSPEVMYQTRSIDFLGRRTPILYQNENGPCSLLAICNVLLLKNVISINPDASEVSQKELLSLIADCLIVSRSSSLQVKDEEDVRTRERKVADAMGVLPRLPTGIDINVMFRKIDDFESTPERVIFDLLDIPLYHGWVIDPQDTETATAIGSKSYDALALGLAEFQSLKQKEESKHMEEETVDFAAATTAALKIHSPTHSRGRSFDELTLSEPAELQMKRGDLEEEKELMRMLLTMLMMVIFPLMLRKEASETVREKDLEAHGNYAARDDGSVLQDTDGVRNGSEVVPESQKALISKEPEDSGMKNMLSESISASHQESVAPSEEPAAPVIGEADKVTCGENSDVEIHGPPIDTEVGSSGAACEAASSHPSEGDVVIAEHADRIQKCNDCFEDTETKHQWELIGNFLCNTTHQLTVYGLFSLQESLKEGELCVLFCSNHFSTMLKYNGNLYLLATDQGFLSQTDLVSQTLDGANGNGNFLTSNFMPLKAEMPTYDSLNEQ >Et_7A_050219.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:12975029:12976123:1 gene:Et_7A_050219 transcript:Et_7A_050219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHRSRRSPAGERFIGMFSSPSPSSSPTEPSFVAGDELHEDDFLFSSPDAAAAQPEGPRSPGRGVPQGLLAALALHEGDRRLLVRGGGGGAAAAAAASSAGTLLRRKATIAAAAASASAASGNGSATSPAQSPVSAARTIPATARPVVAAPAPPYHQSAPVKVPVRPPRKPATGRWDEFDDDDDDFRRGDAAMLPPHEMVARSSAGGPAAPFSMLEDAGRTLKGRDLRRVRDAVLRQTGFLD >Et_3B_028542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16658708:16664860:1 gene:Et_3B_028542 transcript:Et_3B_028542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSLPKAWWGEMGSEASKADKKVHFRDSSSREVMITYKRRRQQQPQPQPQAVAEERAQPEPQPQLQAPLQAQAQPEPQPRPEAEHNAGDLTEQQNKVTFWKSKDMGWKYGIMIDENRQHWKCMYCGLIRYGGGVSRLKRHLAGDLDVKMCPKVPTDVAEEIREHLRKKRERRRKRAAQNGGNNMKIKSSSNDGVIEKDLLPADLAAPAGMDTNVLEEVTNQTNVVHQDPTCPRIPILRTKDIGWEHAVDLDGNKRRWQCKFCSLCRSGGVTTLKAHLTDDCPNVPEEISKKVKNFIQEKKATRLLFSNFAFTVDEDQVSDTQIQEEGTVQCENNQQPSRNTTHKFPINEVGTRSNQCAAESKGQPVEHCDQPEEQCAVDHGMMHQSSNKQQTSNKSTKNSENTKILERRWKSGFSTRKHMVIVDASARHWRCRYCGMDGYGKKFRLHYHLAGAFRQLKCPHVPKKVLEKAKHYVRTKKKLGRVKSGQQISSRIHIIGQSGEELQNISGDLCQLPTKSESSELTPIERLSVACAGTTSSLKDEFVEHLYEPLLHVLDEAAAVAM >Et_8A_057081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20969489:20972378:-1 gene:Et_8A_057081 transcript:Et_8A_057081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKDAAAQGASGSGGVGAGLLNGLPDRALIRVLWHLKPWKAARTSPLSRRLSVELSGEHHAEYPSPDYSSFVSGENPGNNRLKIVKLIHVRLDGVTLTQLCSGCNLLEELELRDCSVEGMEIQSTSLKCLHLISCKFADGFRVSSPKLVSLRCIRPFGYVPMIQNMEFLVAATIMLDDCCLRSDHQWPQKEDDKDESDHDDDYFALAGSENSDDNSSAYAAAQDSVDSKHNEFDDNDAYYDFEWSDRTVWYSDIADQQKKPYMYLIKGNNRSADGHGDECSESSIDCGGSGMLSSLSNVKTMDLLAHPREVVLKREFKSCPDFKNLKTLSVGEWCLTPQLDGLATILDRSPNLEKLSLHLDMVHINMHTQSIIVQSFNTCLIPKQLCFSFCTRPSTAEWASMKGEVHAHAAI >Et_4A_033948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28239519:28243124:-1 gene:Et_4A_033948 transcript:Et_4A_033948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMGYGERSGTLIVQMEGVGVVQLNLASKGVVVISREPSFMKAGVDNEPFGLCLHDIDLSALLQAMAPSHMRFQPKSHIELASECVLSMSLRSLTNLSVALRSVTSFADGRFSSPISLAIRLGILTFESSFSPLERFVIVASCKADLRFALEP >Et_6A_047208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26793205:26794470:1 gene:Et_6A_047208 transcript:Et_6A_047208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVTGAMGMLPSKLLELLGREYKLQKGVRADIKFLSRELDSMYAALRKVATVPWEQLDDQIKIWASDVREASYDMEDVIDTFIICVNRGTEPSDTDNMVKRLLKKMGRLFNLSKVKARRDIAGAIEGIKKQLQEMSERRDRYRVDDIVAPPSATIDPRLPAFYKQASQLVGIDEPRDELIKMLSIGDCAVPDDKEMKIISVVGFGGLGKTTLAKAVYDKVNLKFGCRAFVSVGQNPDPIKVLRDILIDIEKNRKTSMIFDPDKRRSTVVDLMELDAMQLINKLREYLEGKINKYFVSYLCECSHFFY >Et_4A_035555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29035145:29036685:1 gene:Et_4A_035555 transcript:Et_4A_035555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDALAFLLRSLRRGGTWSAGSGAGGLVGALLLFRRPGGAAAAFRKVFGDLTLRDTVRPVLVPCYDLATSGPFLFSRADAVETRAYDFRLRDVCAATCAGADGSSAVEVRSCDGATRIAAVGGGVALGNPTAAAITHVLNNKREFPLADGVGDLLVVSIGSGEADHHRPAASTSEIVRIAAEGVADMVDQAVAMAFGHSRTSNYIRIQRALPQAVSVRGVHATPHGTTIEPFWSMDATGTPRANQAAEEMLSQKNVESVLFRGKKVAEQTNAEKLERFAHELVKERDRRRTSPLVSPAVVKQQPPASYSNLVSHMLTSIM >Et_1A_009582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8556556:8557941:-1 gene:Et_1A_009582 transcript:Et_1A_009582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNETFSDDIIISILSRLPLKEVRRVRIVCKRWHALTSEHHFHCTSFSGSSSITGFFLSDKLHRKFRYVPLRQSTGDSHHVIPDLSFIPSSPAVDRGQIYVTSSCNGLLVCCRPIISIGRSKSNWFVCNPLTRKFVEIDVPDGVIRHLFLAYAPTKSRHYKIFAFDNYDINVYSSQTRSWRVAVHFDRSDYPFRGLRCYHSVFWNGSLVWVVRNHLVRFLVDEERVVEMPMPRTPEGWICAYVGESGGHLQMIGFTEEERLTGFLDVLEMQEGSSEWSVLYRVDLRRVIEVYPGIRRTRREFPYHGLRFSRGTGRKIEYLALGPMYVVQGAEESKVHGLMIFSIPGKIMCYNTENNEISIVCGEAGAPESDPYRFKIYGWNAKIIAFKKLNQYVFSDIVYVH >Et_3B_031518.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:3563545:3563910:1 gene:Et_3B_031518 transcript:Et_3B_031518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGKMALVAALLVLVAVAAEARTLKTAEPAKDDAVVQPQTFPPFDRLGGGASPAFGGLPGAGSSIPGFGSMPGGSSIPAFSGMPGVGSIGSMPLFGSPGLGGMPGSPAAGSVGEQAKKP >Et_5B_043458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10718875:10723647:1 gene:Et_5B_043458 transcript:Et_5B_043458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKSLLKPRPTPQQQLREWQRRLRNEIRGIERQIRDVEREEKNVEKAIREAAKRNDMGSAKALAKELVRSKRAVNRLYENKAQLNSVSMHLGEIVATARTVGHLSKSTEVMKVVSDLMKAPALAATMQEFSKEMTKAGVMEEMVNDAVDSALDSEDMEEEIEEEVDKVLASVAGETASQLPDAARTQKIQQASTSRVPGEGQAVAEGADDADEEDLEEIRARLAKVSFRPAFGSNPDIFWHILSLF >Et_3B_028351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13958982:13968087:-1 gene:Et_3B_028351 transcript:Et_3B_028351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPADAEKVRRKKDKKEKRKKRKDAAEDDCAATAAGEETGHRKEKKKQRKDDDAGEEKARPKQKPTVSIAVAGSIIDNAQSLELATLLAGQIARAVTVFRIDEVVVFDSNPAVENGGAGDGEESGARFLVRILEYLETPQYLRRRLFPMHKNLKFVGLLPPLDAPHHVRKHEWSVFREGVTLEVDKSKGTLVDVGLSKNVLVEQIIEPGKRVTVAMGTNRDLTTVVSSSTPSEDMGSYWGYKVRYASNLSSVFKNSPYKEGYDHIIGTSEHGEIISSSKLTLPSFRHLLIAFGGLAGLEESIEEDTNLKGKSANDVFTSYLNTCPNQGSRTIRTETQGFQVVMVSQL >Et_9B_065466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5423553:5425033:1 gene:Et_9B_065466 transcript:Et_9B_065466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAALRRQTSCTCTCSGYTGDEPQKQERRRLSSAASFSSAAASPAPSSASYHPAPSHKSSSCESIPFAAAELGLGSNKLSPSVSSASSSSSSYESFLHIDPDCLVFDEPPPASATATRAPAAQAMTAAYDPKRLPSSMFRTRSTNPAEWSVTSNDSLFSIQLSSSSYGDVIYYDAAGGFPSMGTMRAPSSVSSPGGLCLREDCARCNGTGSTVRKAVRFAATDQCVSSGVPTYGGQRDGAGASGGVHGKDTGGGGGGLVPIRVLLAVAADVVVASLLLRLELPLLQRLLVLRSRNVHVNDLAGAG >Et_4A_034573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4436445:4437746:1 gene:Et_4A_034573 transcript:Et_4A_034573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFLERLEGEGVFRCRQCRVDAASKDSIISRDFYGRTGRAYLFDRVVNICLGPKEDRYLITGLHTVNDIYCSCCQQILGWRYVSTNITWFILQDDFLFSFGTLDARQSHSYMKTQCNRNTNL >Et_4A_034441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32508740:32513025:-1 gene:Et_4A_034441 transcript:Et_4A_034441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAAAVSPDPTPQEHSPAKRSPWKHTAPNGVVEPGVMDANHWPALSETAKATTKPAPAPDSSSRPPDSAPAPVASSAVANSSNSQKHSHHGRHKPARRGGASGGDHSPRDHPDRNTGGWDHGGGGRGGQRNHNNGGGRRGNGGSGSGGGGVSHHGGGGGFGGRRRGGYDGFYHGPPPMGMGPYMRGAPPPPPPMAVPPPFMGPPPPPVSPMRAFAGPMVFHEMPSPVSPVSPMYYFGPPPPPEALRGMALAPPMGPPAYPYFQAPHELQPEPETDAEENQAKLLKQIEFYFSKDNLCTDVFLRRKMDDQGWVDISLIAGFKKVRELTNDIQYIIETIQSSSILEIQDDKIRRQNDWDKWVIPRESNPDVPSSSASLTSRTDVSDLTAHLGGVDLHESASSSGMVDPHEVLQNGSPSTNDQGPVVEDNSGHQ >Et_3B_031606.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:5955835:5956023:-1 gene:Et_3B_031606 transcript:Et_3B_031606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLPFLYRAILHLASGGETPLFRDESPEVSPRPSYYVRLAGGNDMPAFLSAAARGYYDRG >Et_2B_020300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18802196:18805556:-1 gene:Et_2B_020300 transcript:Et_2B_020300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKRQQTNQELAMRLNRVSQATFPDLKAVLVFIPDAEPTAVSSLHQRHITRLLRAKLDQPEVHERLGAVERLVGVAPDDLEAFLDVEKTSLGDEGRRPQHDAHDDEPHALQHETRRPDQARRDAPRVGNQEQRGDERDGDDDLEQAVELALHAHLVGGVEVEDEQLLRARRGGDQLRHRVPHGCPDPVHLDGDHGEDEPRQRDGRVLEVVQVHGGDHGAAVVVERLLLRLDDGELRQDGDHRADDYHYELEVADARHREPDTSRVGARPQQEQADVAEQLPQANEEEERARRDVPDECAHDRRAVPLRLEREGSKSKC >Et_4A_032414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:167806:169691:1 gene:Et_4A_032414 transcript:Et_4A_032414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEATSHPSRYVKLTKEQDAPAEDINPGELNQPVHVPQLEGRRCTECGQVLPESYEPPADEPWTTGIFGCTDDPESCRTGLFCPCVLFGRNVEALREDIPWTTPCVCHAVFVEGGITLAILTAIFHGVDPRTSFLIGEGLVFSWWLCATYTGIFRQELQRKYHLKNSPCDPCMVHCCLHWCANCQEHRERRGRLVDSSAVPMTVVNPPPVQEMSMAETRSPATAAKSEHDDVEVIPL >Et_4B_038093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26228039:26230447:1 gene:Et_4B_038093 transcript:Et_4B_038093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTAGHHDDAFSTFFSQTGGGKHSPRAIFLDLEPTEIVDLCLDRIRKLADNCSGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHALLEHTDVSILLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTSSLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAAKAFHEQLSVAEITNSAFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQAPTVVPSGDLANVQRAVSMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGGDEGDEDEDY >Et_1B_010803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14254729:14255997:-1 gene:Et_1B_010803 transcript:Et_1B_010803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGKLIANLIVIGSGIIGRAMLQAYRKALQNANSTGVAHEAMNNIRRASKTMTEQEARQILGVSEQTSWEEIVKRYDILFERNAKSGSFYLQSKVHRAKECLENVYQKNPQDGPPT >Et_6B_050073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5317904:5320079:-1 gene:Et_6B_050073 transcript:Et_6B_050073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTSTLLRSFSTRLIRPSPAVAPWPPVRSAYDRWLAAEIDELRADRNAPCTSAAWLGRALDLAVAAQRRLVASSSSSDTATIDRKTIDECVEDTAELLDACAGFRDRLDMLRSYVTATRIALHWLEGGGRGGEMVAARRAAAAFAECEAVERRCGAELTKCGSNLRKLGERALLHAGKHPAGGDGDEALSGARAAALLAVGALGAALAFRARRSVSGVSVSVSGSGKAAAQWERALQEVQREVREEYDRRRKDGVPCMAELDAAAAAARAVKCAVAGGRPCSETVIAAAKARCDELEQTVASFEEKLGDLRRELISVRMVLLEWAQRTRGPELLRLIVI >Et_2B_022926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8396612:8397829:1 gene:Et_2B_022926 transcript:Et_2B_022926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPGAHAPPLLQYRRPQPARATGYCIKSAKFKAVGYTWRIRYYHVQRLQLGLQRANVHANFRFSLVRSGKLPADTPSGGGFPGIELATKEEQKKLEAEYLEDDSFLVRCDITVMKKPVVRRHGLHRLGLVVRSCNGDTTKSKASAGKQQSRLVVRFIYLGYFIVMHFCDHSE >Et_5B_043773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15931116:15933783:1 gene:Et_5B_043773 transcript:Et_5B_043773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLNPLLPRQTRTRGRAWIRVFGLPRVYPLFLGVRAAVRAEPERGLLRPLLQGVVCRPRGGQLRHCQCFVRTNAATANLYARPLDGVVLVVNIERMAVVVYKDRVVPAAFMTLAAADRQTKFR >Et_7B_053683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10998191:11000314:1 gene:Et_7B_053683 transcript:Et_7B_053683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAANHLLGDSFAVFLLLVATTVQLQLVHGGLHVDAEDINKTITIDMHLQSKNGVVIDCVYIHKQPTLKHPMFKDHKIQVYKRNGMSSVGHGFGGHVVQLEQEWHRSGSCPEGTIPIRRLPNNASEPNITIIQPFNPSSDTVAIEDSNSPRDEFAVAVGLDWPYHSASALLPSYRPTKIEPHESSSTCIVIAATVNRTWVGDHLPGDFPPDVTNQIVVGLMSDGGKRKRCLNFLCHGFVQTSSKIALGTSFINGGSSITYEGVPYVAMSIHKIPGQQQWWVSVNDTVIGYFPHTLFPTFFQESYVNQLGGIVHNSRPNGVHTDTVMGNGRTPESGNSAVIKAYLAVGANGMDKKDGSIKPGATVPKCYNAAVLGENLEVPGYDIAYGGPGGRGCDQ >Et_2B_021063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26128852:26132941:1 gene:Et_2B_021063 transcript:Et_2B_021063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEGYCWARTAERQASRMRARYLRAVLRQDMEYFDLRPGSVQSVSNDTHVVQDFLGEKVPSFLMNATTFVGSCAVAFALLWRLALVSLPCVPLLVVPGFMYSRVLSGLARRIREQYARPGAIADETVSSVRTVYAFASERSTMARFSAALRESTRLGIRQGLAKGVALGSSAIAFAVVAFNLWYGSRLVMYNGYQGGTVFAVAITITIGGQSLGSALSNVKYFTEASAAAERIMEVIRRVPKIDSRSNAGEKITNVVGEVEFKNIDFCYPSRPESPVLLKWPRAQMGLVSQEPALFAMSIRENILFGKEDATAEKVVAAAQAANAHDFISQLPQGYETQVGERGIQMCGGQKQRIAIARAVLRSPRILLLDEATSALDTTSERVVQQALDTASMGRTTIVVAHRLSTIRNSNMIVVMQSGEVKEVGSHDELMDDELMVDENGIYSSLVRLQLTRDHGEMDEVDENGIISSAIVPGQPRAEDVTGRLFSSTSRPTCAKSTGDAIENKTINKKTRWASSVGCSCGCRKITANTVATAETL >Et_4A_032110.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:18392438:18392509:-1 gene:Et_4A_032110 transcript:Et_4A_032110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARVGEEFLFYCKKEELSTPFN >Et_1A_007326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33333467:33341580:1 gene:Et_1A_007326 transcript:Et_1A_007326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVTDSEKLQGLPIIDADQAHALVNSGHGYIDVRMQEDFDKGHAPGARNIPYYIYVEPEGREKNPHFVEEVAALCGKDDTFIVACQTGNRSRFATADLLNAGYKNVKNLAGGYRSFLQSANEQQAQQQKVALETSETIT >Et_2A_014532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20120077:20121084:-1 gene:Et_2A_014532 transcript:Et_2A_014532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LHAHVAGRQWCAAEALVLRVALRPVRRHEPPRRNLAAEFVVADVEVLEVDVQQRLGDAARDPVVAEVQEPEVVRERDPRHVELQLVPRQVERVEVAAADVAGDLAREPVVGEDQEPEAPTVEQQARRDGAREHVAAEVQVPEAWEGRERRRDWPGELAVPDDERAEVGGEEEARHGPGDGGAVDVEVAEAREVGEGGRDGARQARHGVHLEDLERGELAERRRHGRPELAEAVERQARDAPCRVAEDGGLPRAAVGGRLPRRQPHGVAELRLDAEQRRAVVGVAVRGGRGSGVGGEAEEEEQGKQRAGGRH >Et_7A_052070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4341068:4344705:-1 gene:Et_7A_052070 transcript:Et_7A_052070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIEELIRAIELWLRIAKEQVPLVDPTLDPVLLVPGIAGSILEAVDDAGNKERVWVRILSAEHEFRDKLWSKFDASTGKTVSVNEKSHIVVPEDRHGLYAIDTLDPDMIIGDDTVYYYHDMIVAMIRWGYQEGKTLFGFGYDFRQSNRLSETLDRFSKKLESVYKASGGKKINLITHSMGGLVVKCFASLHSDVFEKYVKSWIAIAAPFQGAPGYINTSLLNGMSFVEGWESKFFISKWSMQQLLLECPSIYELLANSNFHWTDAPLLQIWREKLDDNGKKNSLLESYEPAEAIKMIEKALSEHEIVSNGMHIPLPLNSDILKWAKETHNILSSTKLPESVKFYNIYGIDYDTPHTVCYGSEHHPISNISHLLHAQGKYVYVDGDGSVPVESAKADGLNAVARVGVAADHRGIICNHQVFRIVQHWLHAGEPDPFYDPLTDYVILPTILEFEKYREKHGERTSVWEDWEIISPGDNEITRPAELPPMVSKLSASREGKDGSLEEAQATVIVHPENKGRQHVEVRAVGVSHGG >Et_1B_011171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18289544:18297686:1 gene:Et_1B_011171 transcript:Et_1B_011171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCWKNLLIRYNAASETQGTSRSSILGEVDVNLAEFAEALKPASIALPLRGCDYGTFLHVTAQLLTTKTGFREFEQQRETGARSSQQLLNQRSHDPAEVAAASSDIYTDKVHARIKLKETSMAFPVVEDSAGSTEDYENSSHTSDGNFAEKSDPYGGHEVSSLRSTLSGDLPLCSTSQSPTPEKGACLSKHLSPQGSNDWTHGWSPDYSADKDAAAARDDNNRLRTRLEVAESAFSQLKTEAISLECVTDKLGTETKGLAEQLAVELMSRNQLTTEVSLLRTECSSLKRELEEIKSSKVLQKRSHVDASVNDLQTEWLQGLQLLESKLQQTRNNALHGLQASDLDFLLADLGSLQRVIENLKQGVHPEQFKQNCYVENLAPSSNAAHQLTTGRDHDTHKRSPGGSTATMEEKMCELLQKLEDSKTEKENLLEKMSQMERYYESFIHKIEESQKQTVIELENLRKEHNSCFYTVSVLQAQKQKMHEEMNDQLMRFVEDRTALESQNKELERRAVATETALKRVRFNYSAAVERLQKDLELLSFQVLSMYESNETLAKQTFLEDYENLPEEHSALQDLCGNKELGQYKPDVKKIRSQVFLAENGTLDKMDGQRNHLGELRIEELRARSEFQVVSNADSQGNHSNMERPKRPSSTLEAELLEISIANIEWQIFSDVLRESHYGALDTIKCIQGRLSKLEKQLHDSNDDRQSLVLKLNSALDQVKSVKESEARCILRCDDLTVKNQILEAKLHDITVENALCTEKLTECERLVQEHEMCESKYKACAEERSRFENLLMKESLQIDKLKGELRSVRENFESMKDELDKQSSLNNELQIVSSSFQDQLGDLCSKIVCFKKELNIAGLEEASLLHEVERKNCTALIGSLEFFQQQICKNVLQLREEKEVVEKMCDALQRKSDMAESELLDMKRKFVCDLDATKEKLNFSEQLVEKLQQELQDMAHKLKICSDSQEQYCITNSDLTSKLSQIEAELQHVTSENEALVEKLKEHGATVEELERAKVNIAEHEEDTRTLTLSLQSKDESLMHMESEIRRLQDALRFTDESLLREKNIKGELESALAGLTSQLGEKDQVLHSFDEHKTELIHMRDQISVMEKANSLIQDALSKSEKIQRDLNYKNFALNSQLSNVENQLGIVLEDMLSSEIEAIYMRSKVREACLQFDLLRNDLEKLKLKNNDADELLRTYMSTEAELTERNATLQAAVRSLEIDLCSVIKDKEGLEEYIKGNMEVLTLVHNNKSCDISVAVDASENVLKHEDEISELRALLTDLEEQVEDVRSSKDEVEILNLILLSKLEEQHTVISPLLHNQGRELKHLKEQNNVLSQKLAEQSLKAEEFKNLSIHLRELKEKAEAGRKEKEGSLFAMQDSLRIAFIKEQYESKVQELKNQVLFSKKHTEDMLLKLQSALDEVETGRKNEIALAKRIEELSMKLSEMEAEMQDLSTDKRDLSNAYESMMTELECTRLNLDCCKEEKQKFEVSLLECSEERDRIRAELDLVKSLLENMALTDHNTSHNNSGSSHVEHIPVESASKVTPNTTEMSSGLQEGEIQSTSLSSKMSQGIESAGKFNELECINSMAHKNLENYEMEYESTIVNHLNATNSIEDISKQHKKLASDLNLFQKELERLTSDNVSPLLPLDINLIDPSLSGLERTLSQLEMANEHLQSVFPSFKELPGSGNALERVLALELELAEALQAKKKTDILFQSSFLKQHNDEAAVFQSFRDINELIQDTIELKRRQVAVENELKEMQGRYSELSVQFAEVEGERQRLEINLKNRTPSNCGQLRDRKRSGSDSKSQ >Et_1A_006807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27772384:27774245:-1 gene:Et_1A_006807 transcript:Et_1A_006807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMFPSTASSSSPASSAPEQRRRQCSGLGSAACGLAGRVICGLVTCVFAAVGSVVGAVTGSMIGLATESGMLRGAGIGAISGAVFTIEVAESSRDLWHSGESSVWSIIYMVDIISSLLSGRLVREKVGPAVQSAVQSQISAISSPFAETSDLFETSGVKGLHADALSRLPEIKITECSAVDAAGEALCCSVCLQDFRVGEPARRLPGCRHVFHVPCIDCWLVRHGSCPLCRRDI >Et_1A_006097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17867200:17879813:1 gene:Et_1A_006097 transcript:Et_1A_006097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREMPRVKLGTQGLEVSKLGFGCMGLTGVYNAPVPEEAGMAIIVHAFEAGITFFDTADAYGPHTNEVLLGKALKQLPREKVQVATKCGIAGFDASGMRVKGTPDYVRASCEASLQRLDVDYIDLYYQHRIDQSVPIEETMGELKKLVEEGKVKYVGLSEGSPDTIRRAHAVHPITAVQLEWSLWTRDIEEDVIPVCRELGIGIVPYSPLGRGFFAGRATIDSVPSESLLTRHPRYTGENLEANKILYKRLEMLSKKYGCTPAQLALSWVLHQGEDVVPIPGTTKVKNLDDNIGAVKIKLSEEDLKEISAAVPAGEVAGSRLLGILEPYSWRLANTPLPKRSLGRC >Et_4A_032134.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:20124681:20126126:1 gene:Et_4A_032134 transcript:Et_4A_032134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LTVFTDVPRHDDVPELHPEFLPPKRLGVVAVAALEPELDEHELRRHLGHLHGHGDQPGEPAERESLDALLPDHEVAELGARPGEPLHRPLRRRRRHEPLLAAPPALAEEPREVEPGGHGDDVERVERRRRRLERELPLGLAADEASELRVDAERALEPRVRREVGDGEAGGRVGVEQARHEAARRSGDPARDGVLAALRAAAHVGDVGVVEGERAGEEDEEDDPAGPRVGLGAVVGLAAEHLGRRERRRPARRVEAAVVAERVGQGGEPEVADLEVPLGVEEHVLRLHVAVGDAALVAVDEGRDELEEHAAGRVLGEPARGEGGDPGEQVAARGELHDQVHLGPRGEHLVEAEHVRVAEAPHGGDLADHALRHARRRGQVGLVENLHGHGVAAVHGPGVVHLGEGAAAQEAAQLVLAEERRAGLAGRLALGGGAGHGRQRHAPREGAGQAGAATTPLLCSLPGVGLQPCRGFGFVFKGRSG >Et_8A_058283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4257080:4258224:1 gene:Et_8A_058283 transcript:Et_8A_058283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEALLNLPTDAFVEIVLRLPSRKRWRLRLVCRSWRDVIRERTPAPSQPIPLAFVVGYNAGAEWACAYAIDDLEQGRCKEVWRRPGINMSDTALVGTCNGVLCLCDDTVPGGAVSLVNPVTGETLAVTPPPGSGQRAWGLEMGGWHAAYSFAFDTATERYTVVHVPCYYDKTGGFNAVQVFTTPASSASAAWRDVPVLGGGSSCCLKAGIVSVDGATYWVTKGVESVVSFDLADERVAFTKPLPRRDERGYAWHLAEVHGRLGVVSTAVDARRNPEKIEVWVRGDGGRGWSRRYSVQVNGVTDRSRGRTSSMATTYCSPATMIGCLKNATRRWQSGEVLSVRVTVETLGVAVSGMSGRIKGIFAYVENTEPFNAS >Et_4B_039497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19230138:19236050:1 gene:Et_4B_039497 transcript:Et_4B_039497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDPYVGEQPNPYSDVGKQYSEEPVHEYSEPPAVQYDDGSGNPYNVEQVSLLHSEEPGNQYNEEPQNTYQEEVENAYNGEVRQHDNSQVNVDDKRWPGWPGESVFRILVPAQKVGAIIGRKGEFIKKMCEESKARIKILDGSSGLPERAVMISAKDEPEAPISPAMDGLLRVHKRIVDSSDGESGQPQRSAANIGPTRLLVPASQAGSLIGKQGATIKSIQDSSKCVVRIVENVPPVALNDDRVVEIQGEPLGVQKAVELIASHLRKFLVDRSVLPLFETHMKMHSIQREQPIPAPQHWGPPQPWGPPNPPPAGPGYGGNPQFMPPRPQDNYYPPEVPSMEKQPHYGISAYGREAPPSGVSAAGNQPHLSSQVTHSMQIPLSYADAVIGAAGASISYIRRHSGATVTIQESRGAPGEMTVEIIGTASQVQTAQQLVQNFMAEAASQGPPPASNHPAPPVDPSYGSYPPPYGTAAPYGSSAGAAPAPQYNGGSYGGPTYPPSYGY >Et_3B_030161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3149730:3158366:-1 gene:Et_3B_030161 transcript:Et_3B_030161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSLTRANCCVAAKERSQPSLAPVEVSAYRIRHSPSWSFRWDNRTHIEDIMENDAVFFNHSSGNIQPELKSGFVAPTECHTGGDVRADVFRRVKGQKSDKKMEASKLSKIDPQDVVAAASEIKTPESLPSTPSLGSKPEPVDPSSSMNHSNSTGKSCQSLGNQPHRQIMDGNVPPLKTFIENSPAERPPSSSTLSSGSHGLFAALSHGESSNGLSTRTLSESVVTSQRGWSDGNEPVGSIASKLSGSNASHPTFSPDQGVCKLCSRLLKERSFWNGHELAVVAVLFCGHAYHANCLDSITEESEKYDPPCPVCTHGESCTAKLFGKLESKIKNNTLKGMSNSDLDRSSKHQKKSMRGPRLGTSYSMKESFSRPFLRRHFSTGSRPPRSDLGTAKERTQPCVAPVEVSAYRNVRHSPSWSFRWDNRTHIEDIMENPTMFSNHSSGSIRPETKSGSIAPTEVLSNGNSPSYVFQRAKWHRSDKKVETFKVMKADPHADRSTTSNSSPEAKFSRKSLDMVSVASDSKTSISVPSTPPLVSRADASCSRSHSQTMDSDSMKKARRSPGYQLYRQVSDSKIPSLRSLNEISSPEGRPSSSMLSVCSNDLSAAGSHGESSDGWSMRTFSEMVATSQRERWSVDSELLGSISSKMTRSNASNPSSLPPDQEVCKLCLKLLKERSTWNAQELAVVAVLLCGHVYHADCLDSITTEADKYDPPCPVCTHGEKCTVKLFGKLESKIKNKIPKNVIVNIDLDGNTKHQKKGRREPRLVTSSSMKVPFSRPFLKRHFSIGSRPPRSASENDSARKKGVLDEALEGVA >Et_8B_059909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:444818:448714:1 gene:Et_8B_059909 transcript:Et_8B_059909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDGQQIRRHKIIIDTDPGIDDSMTILMAFGEPSVEIIGLTTIFGNVSTEGATRNALLLCERAGHPEVPVAEGSPEPLKGGKPRVADFVHGSDGIGNLFLPAPSAKKVEESAAEFLVNQVSQFPGEVTVLALGPLTNVALAIKSDSSFASNVRKIVVLGGAFFAAGNVSPAAEANIYGDPEAADIVFTSEADIVVVGINITTQVCFTDEDLLELKNSKGKHTEFLSDMCKFYRDWHAKSDGFHGIFLHDPVSFTALVHPEYFTFKKGVVRVETQGICTGHTLMDQGLKKWNSENPWSGYKPISVAWIVDVPKVIGYVKKLLLALLQILFMNTSTLTDYRLTIQID >Et_7B_054962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:631393:635642:-1 gene:Et_7B_054962 transcript:Et_7B_054962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATANLAFRLPVTLPSRLSCPSRVHLLHSSCPAQKRLISAAAAAASSSSFQTEPSPISSETPEARQIRLETEAALEWGGVCTRLAEFTATAAGRAACGEGRVPVGRSREESERLIEQTTAAVSLSSPLDFGGVEDVSAVVAAAAGGRLLSVREICGVGRSIRAARRVFDQLQRLADEMPDGRYTPLLDILQGCDFLSELVERIEFCLDSTLSLVLDRASKKLEMIRKDRRRNIEMLESLLKDTSVKIFQGGGIDSPVVTKRRSRMCVGVKASHKHLLPGGIVLSSSGSGATYFMEPRDAVELNNREVKLSGDERAEELAILGLLTSRTAESRMKIRHLMGKVLELDLACARGSYALWTNGIRPSFSDSYSSSQSDQSSDYSVYIEGIQHPLLLEKSLGMAQESNTEVTKMPVPLDMWVKNDTRIVVISGPNTGGKTATMKTLGLASLMSKAGMFFPAKGRPRLPWFDQVLADIGDHQSLEHSLSTFSGHISRLRKIVEVVSKDSLVLIDEIGSGTDPSEGVSLSTSILKYLAGRVNLAIVTTHYADLSLLQAGDNRFQNAAMEFCLETLQPTYRILWGSIGNSNALSIAKSIGFDQNILDRAQEWVEKLLPDKQKERQGLLYDSLLNERNLLELQANEVASVLSEVEGLYDEIRSEAEDLDSRVATLRARESQKVQQELKYVKSQMDMIIKNFEIQLKNSKLEQFNSLMRKAEAATASVAAVHQPTDINFDDEENQSSFVPQIGDKVYIQGLGGGTMATIVETLGEDGSCMVQYGKIKVRVTRNKIKLVQRGTNEAKVSSSVKGKGRTPKRSSGEANQDANISFGPVVQTSKNTVDLRGMRVDEASYQLRMAIDACRPYQVLFVVHGMGTGAVKDCAIEILRNHPRVAKFEDESPLNYGCTVAYIL >Et_6B_048494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:105193:111016:-1 gene:Et_6B_048494 transcript:Et_6B_048494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSRGFHERCIVEWLYVSRLCPLCRFALPAAAVDADQAQASMEVIAGMLLHVVRRAASMLHLDPDHPLRNRALFITSLRARDPDAGDDHDDISSAYGDGGFGAVPASGVAMACLPERTVGESEVMMQKAECAVCLEAYEAGDALRTMPCSHRFHQNCIFGWLRVFPAFLDRLARVLRPMLHSDPVWGVWAPRVHVDYGIGVDDGGFCAVPASGEAIVGLPERTVGETEAVEKAECAVCLEGYEAGATLRTMPCSHGFHESCIFGWLRERRLRRRGASDEAIESLPKATVVAREKAECAVCLEGYEIGGALRTMPCKHDFHERCIVDWLRVSRMCPSAGSSCRRPRRRPRSPTRRRKTTPTVLVRRVRSIDSLRFLFVSC >Et_4A_031988.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:10130872:10130979:1 gene:Et_4A_031988 transcript:Et_4A_031988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSPYGGLVIELRSFWNSISQVLELSLGPVNVIG >Et_1B_014212.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:35583711:35584202:1 gene:Et_1B_014212 transcript:Et_1B_014212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVWKDYNYYYMSSSSTAAAQHHHRSPPSPPYLQDFLASAPSLSSPQQPPTPPPLPPTALSLSSTLEFTYLGGGDPFTATPFPPAPPRMMIAGSSGGEDRRHRRMIKNRESAARSRARRQAYTNELELALAQLRQENAMLIKRHQELNVSFFTSPGHPSCLI >Et_9B_063834.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:16902845:16903594:-1 gene:Et_9B_063834 transcript:Et_9B_063834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSFVKTRTKSSSSEPAASTSTALVRAAASPRLSFPSTSLKDLQSLLVPDSAAAPSSSPRVFHRIRVAASALRVLRSLQPSLAPTAPAAAAAELPAGGGGKVVLYFTSLRVVRRTYEDCRAVRAILRGLRAAVDERDLSMDPGFLPELAALLPHRRRVTLPQVFVGGRHLGGAEEVRRLHESGELRRVIAPAPASAALTCSRCGGERYVLCGSCDGSHKRYSLKGGGGFRACADCNENGLVRCPACCA >Et_5A_042029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5402518:5408782:1 gene:Et_5A_042029 transcript:Et_5A_042029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGRLRLGSFEAVAAVLLLVVAASASAASAAAGSPDRPAPGPPLVLPLTRSYPNASRLAASRRRVLGDGTRPNARMRLHDDLLTNGYYTTRLYIGTPPQEFALIVDSGSTVTYVPCASCEQCGNHQDPRFQPDLSSSYSPVKCNVDCTCDNDKNQCTYERQYAEMSSSSGVLGEDIVSFGKESELKPQRAVFGCENSETGDLFSQHADGIMGLGRGQLSIMDQLVDKGVISDSFSLCYGGMDIGGGAMVLGGMPAPPGMVFSRSDPVRSPYYNIELKEIHVAGKALRLDPRIFDSKHGTVLDSGTTYAYLPEQAFVAFKDAVTSKVHPLKKIRGPDPNYKDICFAGAGRNVSQLSEVFPDVDMVFGNGQKLSLSPENYLFRHSKVEGAYCLGVFQNGKDPTTLLGGIVVRNTLVTYDRHNKKIGFWKTNCSELWDRLHISGGPSPAPSSDTGSQADMSPAPAPSGLPEFDVGLITVDMSINVTYPNLKPHLHELAELIAKELDIDTRQVRVMNVTSLGNSTLIRWGIFPAGSDNAMSNIRAMGIISRLTQHNVKLPENLGSYQLLEWNVQPISRRSWFQEHVVSILIGILLVILVTLSAFLALLVWKKKFRGQTAYRPVDSAAPEQELQPL >Et_3A_023864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13768387:13775277:1 gene:Et_3A_023864 transcript:Et_3A_023864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGPGAAPPPAVRRARRLAALPPSMHRACHRAHVSPPDLAIVAEGRREGAPKGAAAAAPASRSARPCAAVNLKDAERTHEEREIGFLKMDFILPFNVGDIAESRSFSPGFRGAWFRSKISQMLVRQGHLECLLEYIDFPDEKKKWTRLYKVRPGCRKQKSSESRDIMIRPSFPQWYWENQIPDELPKTDVIAVVSSPWKVGDLIEWWYTECYWSAKIIELLGDDKVKIVLHEPPIGEGGCYDADCKDLRPALDWSLEKGWSAPLSQEDGKCWYTARLIPQKTGGTDNPSAEQGEIREALSDGESSPISLKRRKISPEPVSEASPDTVDDAIMELEKVADRIRQLENLLLSVGSAPSNVAKPSWKFLKDASMKQK >Et_10B_002350.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:10393202:10393588:-1 gene:Et_10B_002350 transcript:Et_10B_002350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAALNKIAFSAVFLVLVLCSLGQQTTTTYCRDYCASTCNTTCNNAVKYCDVAKSSVMNQCMPNCYGNCYRFCHECDCNTTCFGSCGKSADDAYSGCRTAVLQSCTNGCNEGCNRNCTNPLILVP >Et_6B_048294.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:10447825:10448001:-1 gene:Et_6B_048294 transcript:Et_6B_048294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLYRSSVKEGAPTSAPSRNLNAGSILAKQARGTPVGRIKNPNSHDCMANHCGQNAAAK >Et_7A_051623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21523129:21528280:1 gene:Et_7A_051623 transcript:Et_7A_051623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGLSADIVGIQLNIALAVLNEANGQLHPAQDQVLMVLRIEQDPSKMSLVGNNMDIKFHMLEAITNKFADDRKLYSGTYGQVYKGVYNDQEILVKELDCLDGVDVSVFQNELSDLWKVDHPNIVRLIGYCHESQRKFITHKGHSVITNRKFRRFLCYEYVEGQSLLKHISAESCDLDWPTCYKIVKGICEGLNYLHNAQGKPIYHLDLKPDNILLDKNKTAKIADLGFSRLVSTTEARRNEMSIGTPGFIAPEVIEVGIISKTADVYSLGVIIIQILDGYRGNIRRLEMPSEQFAEHVTGNWKRKLQGTSGHLSQENHIRQVEICIIMALRCVDADPNRRPHIKDIVSELEELEALIKKMPLFSDHQYKDLISLQKSSDSNVLAVDPTLELRFVFEPRKDLSCCLQLTNKTDGPIAFNIKTNQTKYYTEPIKGIMPTCSKRYICVTLQAQDEAPPNMQCHDMLLIQSINVNQGMTPDEITEDFFKKVMVEKVVDVVTLPIVYIARGQLPC >Et_10A_002103.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22685658:22686304:1 gene:Et_10A_002103 transcript:Et_10A_002103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGNGAVLPTHTKPPPPPSRKRRCLCVCGLVTLAFLIALAVTILILSLTVFRVRDPTTRLESTRLAGVAPRLSFPAMSVQLNVTVLLTVAVHNPNAASFAYASGGHTDLTYRGRQVGSAEIDPGRIPSRGDGEVRLALTVQADRLAADLAQLLADVESGSVPMEASTRIPGRVSILGGLIKRHAVAYSDCSFVFGVAEMKVRSQECKDTTKL >Et_3B_031237.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:22137664:22138848:-1 gene:Et_3B_031237 transcript:Et_3B_031237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSLLDETSSGGGGGGGAVSTDKLKALAFAAAAGPPLERVGSGASAVVDAAEPGAEVDSGGVAATAASVVGGKLPSSRYKGVVPQPNGRWGAQIYERHQRVWLGTFAGEADAARAYDVAAQRFRGRDAVTNFRPLADADPDAAAELRFLASRSKAEVVDMLRKHTYFDELAQNRRAFAAAAASAPTTTATASSLAADNHRPSSSPAAAREHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQLPSAGGESKGVLLNFEDAAGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGDVVGFYRSGAAAAPGADGSKLYIDCKLRPNIAAAAVPVQSSSTTPVAKAVRLFGVDLLTAPAQDKAMAGCKRARDFAATPPQAAFKKQLLELALV >Et_10A_000067.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21360865:21361293:1 gene:Et_10A_000067 transcript:Et_10A_000067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEERQAHIRDSYAAWLIADIDLRDYDDDDDDEEEDVVPASKKAILNLHVPTWGETIQKRCHGLESCDVCREDLEKGQQFRMTPCGHYFHQTCIFEWLLVDRRCPGCRFALPSGQEQRLLDEEEARANDGDEGQDQFVIID >Et_2B_019722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1368002:1369507:-1 gene:Et_2B_019722 transcript:Et_2B_019722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSAASAAVVTVLVLLVAGASAARLPARGGAVRAPLPRGGALATAVFALGSFWRSEAAFGCLPGVIRTSVGYAGGSKANPEYRNLADHAECVKVEYDPRLIHYRQLLDVFWASHDPREVFGQGPDVGNQYRSIIFTNGTIEARLAALTKEREQAKDRSSVITTQIQPLGVFYPAEPEHQKFELKRKPFLLQLMGNLPEEELIASTLAAKLNAYAAELCPAKTQKRISSKIDEVAKKGWPILREI >Et_7A_051888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2666788:2679553:-1 gene:Et_7A_051888 transcript:Et_7A_051888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGRGKGRGGGGGKGDKKKKEEKVPSVIDVTVVTPYESQVTLKGISTDRVLDVRKLLGSNVETCHLTNYSLSHVARGQRLEDGVEIVALKPCTLRIVEEEYATAEQAVAHVRRLLDIVACTTAFAKPREGATKHKSSKHGRPATPPSPAAPASAGTHGDAAGGAAPPPISEAHDMAAIRPPPKLGEFYDFFSFAHLTPPVHFIRRKEQSGASQEGDYFELEVKVCNGKLLHIVASVKGFYLAGKPNSVAFSLVDLLQQLSNAFANAYEALMKAFVDRNKFGNLPYGFRANTWLIPPIYVDSVVKCPALPVEDENWGGNGGGSGRNGKYDRRRWAKEFSVLARMPCKTEEERVIRDRKAFLLHNMFVDTAIFRAASTIRRLIDLSINSTTPPTHSLNVREERVGDLHISVKKDEADASLKLEEKVDGVAFCQPDTMDISQRNLLKGLTSDESVVVKDTSTLGVVIVKHCGYTATVKVSGRTKDSNDDKQNSDTSDNLDETLNVDVPDHPDGGSNALNVNSLRIPLPKLINPEISVCNPTPKSHADNPARKLARTVLEDSLIKLDSMPCKNTRIIRWELGSSWLQHLQKKDSAAPENGKGNATKVEKEPPVRGLGKHFEQLRKIKKKQSGTEVSKSEKEDSNGIHESDKMDVDEATNESDISKLVSDDAFIRLKSLGAGLHEKSLEELTKMAHDFYDDTALPKLVADFASLELSPVDGRTMTDFMHTRGLNMCSLGRVVELAEKLPHIQSICIHEMVIRSFKHIIRAVIAAVDDMQNMSAAIAETLNILLGSPRLENDADTDARSEHNMRLKWVESFLSKRYFWKLKDEFAHLRKSIILRGLCSKVGLELVARDYDMNSPNPFEKSDIVSIVPVCKATIYQQKALDINERELGLDHPETMKSYGDLSVFYYRLQHIEMALKYVNRALYLLQFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSMMDAYSLSVQHEQTTLQILQEKLGQDDLRTQDAAAWLEYFESKALEQQEAARRGMPKPDSSIASKGHLSVSDLLDFINPDLERKEKDMQKKCRRAKNNIRVHHGESLEEKENVQHDMESSPEASSDSIKEEHLDVHPPAVSEETYAVPYEQKQTDVLSPEEYSDEGWQAATLRGRSANVKKKNSRRKPALTKLFVDRFEDGHTGSGYRTVSQPQTKGGQEDSVSAPSQLSFGSFLKTDKLNGDPSIVEDKSGNATAKPDQGAKPKGINRPTSIASKFVSYKDVAVSPPGSVLKPILEQKEAKDNDNGHDTDLTLSSGEEDRNFTEKDNEKPNDDSSKEVPSSSDGPLPSQAMEILPQAIDTRVPRGPRSTLYYRTGHAFQRKQGCTTHSQSTILRGNNSPTTMNPHAAEFVPGKTLQQSDAANRESSQANPVTDGSAQLIADQVKAEMPAEESGQVEKVISSKGKENRAKDVTRNSYKTELARQILLSFIVKSVHDSLGSTGPELDRKPSGSDEASVEQSSNLSKNASDRKDFDRQRKATEAPKGEKDTEGFTVVSKRRRRQQPFMNPINGLYSQQSICTS >Et_6A_047647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9064205:9066730:1 gene:Et_6A_047647 transcript:Et_6A_047647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEASVCNPLELMLIDESAEPEGLSLSLLKSITNNFSDDLQIGSGGFAVVYKGNLENGTVAVKKLTLTQEVHETKFCQEVDSLMRVKHKNIVRFMGYCSDTQGKVWKLQGKNIMAEERQRFLCFEFLPQGSLDKYISDAAHGLEWIKRYNIIKGICEGLHYLHQHKIVHCDLKPANVLLDHYMEPKITDFGLSRCFDEKKTQAKTLNVVGSMGYMAPEYISGVITLKSDIYSLGIIIIEILTGQKGYPQIENVLESWRTVSLGDPWLESVRVCTEIGIECQEYNPDDRPNTQRIIERLAEMENKFGAMKTDLFTSPPINVSTDGTYILIPCVHAPFSHLLLPLCHFRVFWNRYANILKMINGYVYSNIKNLFIKFLDQSFCFLSALFF >Et_1A_009195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32959900:32965262:1 gene:Et_1A_009195 transcript:Et_1A_009195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPALHPIPDRFTLRTSLRSLYRLTIMSARDGTGANTLQLVTSTPMVVDGGEDDELRLGTGFVQGAVRGHVVEWRREVCLVTEPAAVEHARHEPDAAVVEKRHEFGVLDEAGGWEAVAGRAREAGVVDEVDGANEGGEDQYCSHDQDFVGVHDDELRARVGLLDADRCTIEYNQDEAELGRHPEKHPSGRKDKKILLLLIILLVIN >Et_7B_055611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1693731:1695783:-1 gene:Et_7B_055611 transcript:Et_7B_055611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHHGHPLELELGAGSQLDDDGHAPRTGNLWTCVAHIITAVIGCGVLALSWSVAQLGWVGGTVAMVCFAFVTYVSAFLLSHCYMSPDSEKPQRNHTYMDAVRTHLATDLAKRSGKRYTLLCGSLQYLYLYGIAVAYTITTATCLGAIMKANCYHDEGHDASCGSGGDEHFYMLLFGAAQLVLSFIPNFHDMAWLSVVAAIMSFIYASIGFGLGLAKTIENGAVKGNIAGVHMNTPMQKVWRVAQGIGDIAFAYPYTIVLLEIQDTLKSPPPERETMQKGNVIAVLATTFFYLCVGCFGYAAFGNAAPGNLLTGFGFYEPYWLVDFANACIVLHLLGGYQMFSQQIFSFADRCFATAFPNSAFVNKFYAIKVPGLGVSYKLNLQRLCFRMVYVASTTGLALVFPYFNEVLGVLGALVFWPLAIYLPVEMYCMQRQIMPWTRSWVALQVFNAVCFLVGTFAFVGSVEGVIRKRLG >Et_7A_051539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19992886:19996337:1 gene:Et_7A_051539 transcript:Et_7A_051539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLNICCLTGTKLVFYTINLFCRDSDKLIFERLQKEFEAARAAQTEEIVVDDDQWNDGLLATVREKVHMEADRKAMASQVNVPADPQFHSKTTYRVKNKVMYCLDGARIGIQYETFFAGEPCEIFHCVLESKSFLEKMTVVEHTLPFFLPLRELESDLLSSNATKFIDHLEEILQAYIDRREQVRLIKELYGNQIGELFHSLPYNVIDKVTVSIRYTDLLLTLPSKARVLAWPLRSTRRMSKRASSASASQPVPFRLSYAEDALKTLCLPEAYADIVLDLPHALKRTLSSQESD >Et_7B_055244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8639764:8643298:-1 gene:Et_7B_055244 transcript:Et_7B_055244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLPRAARLLRSAAGSLRSPPPARAFSEAAGTGASPGREGLLAAAAVAVVGSGLGLWLMPPALADAGEVAGGRISVPVAAGARSAPATVEDRERKERFLFGDSFRRRVFFNYEKRIRLLSPPEKIFEYFASVRNPDGEVHMLPADLMRAVVPVFPPSESNIVREGRLRGERNPGELHCAPSEFFMLFDTNSDGLISFAEYIFFVTLLSIPESDFRAAFKMFDVDHSGVIDKEEFKKIMALMRSINRQGATHRDGLRVGLKVGQPVENGGVVEYFFGNDGNEPLHYDKFSNFLKELHDEIIRLEFSHYDVKSTNTIPAKDFALSMVASADMNHINKLLDRVDELVNEPNLKDMRITFEEFKSFADLRRRLEPLAMAIFSYGKVNGFLTKQDLKRAAYHVCEVDLSERVVDIIFHVFDTNRDGHLSSEEFFRALQRRETDIRQPATHGPFGFLSCLFNSKKCSPLLQMLF >Et_2A_018644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3986166:3988528:-1 gene:Et_2A_018644 transcript:Et_2A_018644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPYTDGDASSYPLVAVCIDKDKNSQNALKWAIDSLVQKGQTIVLVHVNTKGASSGVEDGAGFKQPTEPHLKDLFLPFRCFCTRKDIQCKDVVLEEHDVAKAIVEFSANAAIEKLVIGATSRGGFRFKADIPTTISKGAPDFCTVYVINKGKVSSTRNAIRAAPRVSPLRSLIQSQQQSHIISTASAPRAEPASQRWSSSSRGTDPSETPRVDNNFRSPFARGGMGPTRKSYADLSHLSMPDSADISFVSAGRRSVDHNPPRMSSGSTADSYDHSFEMRTPSKWGGDSFGGGGMDPSCNFSQSSSSSFCSLGMQDDVEAEMKRLRLELKQTMDMYSTACKEALTAKQKATELQRWKMEEEARSQDSKLTEESAMAMIEREKAKAKAAMEAAEASQRIAELEVQKRISAEKKLLKEAEERKNNSNSRGMSHEVRYRKYTIEEIEHATEHFNDARKIGEGGYGPVYKGFLDHTQVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMASGSLDDCLFHRGGMNNGPVIPWQHRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKVADVGLARLVPPSVADTVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIITAKPPMGLTHHVGRALERGALRDMLDPAVPDWPVEEAQCLAELAIRCCELRRKDRPDLGNVVLPELNRLRALGEDNMQYCGSIRGGGGGGMHSSPFQSNASRSHGVS >Et_3B_027729.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16541236:16541370:1 gene:Et_3B_027729 transcript:Et_3B_027729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GARRLPFIHLCCWQLWKHKNEVVFQHEALSLTRLLRPCRDEAFL >Et_8B_060849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9004862:9019032:1 gene:Et_8B_060849 transcript:Et_8B_060849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLPLLCLAVVLAAAAAAPAHAIFHFDFRTDMMSPFDSSYSRHDVWRRAALSSNARVAKQADALGKSLGKKPKAAAVAGAGAGDISESDAAAGNVNHWMSVGIGTPPQPAKLIIDTGSPVIWAQCASSPGPKVRQQADPIYDQAKSSSYKPLPCSDKLCQGGVVNCTTDNKCSYSVRYTILSSEGAFATDTFTFGKKRNVAAPLAFGCGNVALASASGPDLEGASGTMSLAWNNLSLISQLSVPKFSYCFTPFAERKPSPLLFGKMADLEKYKQKAASGPAQTVSLIKNPANEIHYYVPMVGISLGEKKLDVPAASLALDADGGGGTIGTSMEKVPVPPLRLHFDGGAEMVVPRDNYFQEPSPGIMCLAATVTPEGFFPNMISNGMQQNLHVLYDVKNRKMTFAPTQCDKL >Et_4A_033710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25981193:26005280:-1 gene:Et_4A_033710 transcript:Et_4A_033710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAYAKSVAEVLEAFGVDRTKGLSDSQVEQHARLYGKNGTPFWKLVLKQFDDLLVKILIAAAVISFLLGRMNGETGLSAFLEPSVIFMILAANATVGVITETNAEKALEELRAYQADIATVLRNGCFSILPATELVPGDIVEVGVGCKVPADMRMVEMLSHQLRVDQAILTGESCSVAKEIESTSAMNAVYQDKTNILFSGTVVVAGRARAVVSGVGSNTAMGSIRDAMLRTEDEATPLKKKLDEFGTFLAKVIAGICILVWVVNIGHFRDPSHGGFIRGAIHYFKVAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKVCVVRSVHKRPMTDEYSISGTTFAPDGFIYDTDGLQLEFPPQSPCLLHLAMCSALCNESTLQYNPDKKCYEKIGESTEVALRVLVEKVGLPGFDSMPSALNLLTKHERASYCNHYWENQFRKISVLEFSRDRKMMSVLCSRKQQEIMFSKGAPESVMARCTHILCNDDGSSVPLTMDIRNELEARFQSFAGKDTLRCLALALKRMPAGQQSICYEDEANLTFIGLVGMLDPPREEVRDAIHSCMSAGIRVIVVTGDNKSTAESLCRQIGAFEHLDNFAGYSYTASEFEGLPPLERTNALQRMVLFSRVEPSHKKMLVEALQTHNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGMPDTLVPVQLLWVNLVTDGLPATAIGFNKPDGNIMAVKPRKVNEAVVSGWLFFRYLVIGAYVGLATIAGFAWWFVYSENGPRLPYSELVNFDSCSTRQTSYPCSIFEDRHPSTVSMTVLVVVEMFNALNNLSENQSLLVIYPWSNLWLVGSIVLTMLLHIAVLYIEPLSALFSVSPLSWAEWKIILYLSFPVILIDEVLKFFSRSPRGRRFPFRLWRREILPKASRDN >Et_1A_007458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34806015:34810266:-1 gene:Et_1A_007458 transcript:Et_1A_007458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEEVKPLGKANGHGGKDQFPVGMRVLAVDDDPTCLKVLESLLQRCQYHVTTTGQAATALKLLREKKDQFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGETQTVMKGITHGACDYLLKPVRLEQLRTIWQHVVRRRSCDAKNHGNENEDGGKKALNAEGENGGANRNKRTSRKGRDEDDDDDSDENSNENGDSSSQKKPRVVWSVELHRKFVAAVNQLGIDKAVPKKILDLMNVENITRENVASHLQKYRLYLKRLSADASRQANLTAALGGRNPAYINMGLEAFRQYNAYGRYRPVPATNHSQSNNLLARMNSPSAFGMHGLLPSQPLQIGYTQNNLSTSLGNVGGMNNGNLIRGANMSLQDSSFPTGPYGNSFANISNASPLAPTNSLPLQSLEPSNQQHLGRMHSSSTDPLNSFVGESPPFPDLGRCNTTWPTAVSSNIQDLGQKDSMSQATLRVNAPKIEPISSFTEPSSQIPLLGNDMQRQVASLASNALPMPFNHDAVPFTYGSNRNSREMLNNNLAVSNSGINTSLPNLRIDNSIVTRQRMDVGNAGGVPPLQDGRIDHQAVSNQLNYNNDLMGTSRLQRGLSGGLDDIVVDMFRPEHTEDGIPFIEGDWELV >Et_1A_005164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30195797:30198177:1 gene:Et_1A_005164 transcript:Et_1A_005164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQPLHRGYYQLERVIRERYHAKDIGIGDAHRLFDELLRREGRASIIGVNYLLTVISREDPADAVALFNRIARSGIQKVIPSLYTYGIVIRCFCDLGRLNLGLAAFGKILKTGWRLDALSFNDLLKSLCSRNRVGEAMDIVIRRMPELGCMPDVFSYTTLMKGLCAEGRSEEALELLHMMDEEAGSCLPNVVSYNTSLMAYLKARAMDKAQEVLQHMIDEAVLPNCTTYTSLVHGYCSSGQWTEAIRVLKEMSRNDLGSDAATFNSMMDYICKKGRCADAMNIFYSMVKKGPEPDVISYSILLHGHAMKGALHDVYNLFISMMANGIAPSHHVFSILICAYGKYGMVDKAMLLFTKMRQQGLTPNAVNYGTVIYALCRSGRVDDAMTQFNLMTDEGSTPDIITFNSLIYGLCTIGRWKEVEELSSEMINRGIHPDVVFFTTVMSKLCKQARLKDAQGIFDLMLHSGLQPDVVSYTTLMHGYCLCGKMDQAMKLFAMVAIGLQPDDVTYSVLLNGYCKNGNVEDAVTLFRDMLSTGAKPSVITYNIILQGLFEMGRVAAAEELYQGMVNSGVQLKVSTYNIILKGLCRNSYIDEALRIFQGLSSKNLKAEVRTFNILINALLKDGRKVEAMDLFSAISSNGLFPDKVSYGLMIGSCLKEGLLKEADDIFLCMEKNNCAVDSPFAKCYS >Et_1B_010758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13767202:13777643:-1 gene:Et_1B_010758 transcript:Et_1B_010758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVEVRSVRKSPALRPRVPGKLQPARSMPLDYRYSSAANGAGPAANGVGRRAAAAAEEEMKEGEVVGVEGEADSPYSSKAATAEEEEGEKTGGGDAGEEVESVTAATPRRVSPPAAASASQGDSRWGDTSSYGAKKNHRVFCQLPNGDWALCTVLTTSGDESVLKVSEGKVLRLKTESLQHANPEILDGVDDLMQLSYLSEPSVLYNLQYRYSQDLIYTKAGPVLVAVNPFKKVSLYGNEYIDAYRNKAMDSPHVYAIADSALREMTRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILQTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSTTGRICGAMIQTFGERSYHIFYQLCAGAPAILREKLNLKKVDEYKYLKQSCCYSIAGVDDAQMFRTVTEAMNIVHISKEDQENVFAMVSAVLWLGDVSFTVIDNEDHVEIVEDEASKTVAQLLGCSIEDLNLALSKRHMKVNNENIVQKLTLSQAIDTRDALAKSVYASLFEWLVEQINKSLSVGKRRTGRSISILDIYGFESFDKNSFEQFCINYANERLQQHFNRHLFKLEQEEYVEDGIDWAKVEFEDNQDCLNLFEKKPLGLLSLLDEESTFPNATDLTFASKLKQHLSTNSCFRGERGKAFAVRHYAGEVAYDTSGFLEKNRDLLHMDSIQLLAKCKSSLPQMFASKILAQSDASISAPNRSSAADSQKLSVAMKFKGQLFQLMQRLESTTPHFIRCIKPNNLQLPAIYEQGLVLQQLKCCGVLEVVRISRSGYPTRMTHQKFARRYGFLLLEDVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGKLEDTRNRTLHGILRVQSCFRGHQARHHARERISGVLALQSFIRGENARTHYLSLLRKHRAATMLQRNVRCWLARRYFITVRKASVVIQSGIRGSLVRRCDGNVDLINVFREFESKQEAEGDQILIKASVLAELQRRILKAEATVREKDEENEMLHQKLQQYENRWQEYEQKMKAMEEMWQKQMRSLQAGLSVAKKSLALDDTPRMSDSSVDQSWEGNGNHVGSGSQLVPRTMGREMNAGLSVINRLEEEFDQRSQVFADDVKFLVEVKSGEADASLNPDMELRRLKQNFDSWKKDFNGRIKETKVILNKLNSNESSPNAVKRKWLFRRNTSNYERVLTRALPELPAGRRASVLAAASLGVVATDGVVPRLLGAGGLLLVVAVGEAVVHVVGGSAEDEAHGGGDGAGGAARDGRAGAGKGPRVAVWAGGLALRARAEEPRGGRHHGEGGGNGEDHEQEAAAEAGHGGQELALARG >Et_4A_033120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18753205:18766035:-1 gene:Et_4A_033120 transcript:Et_4A_033120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAFYGTKEINENETVTHREQEFVEVKKINRPTVVRTERGNLRSDDRNLDITQKAISLKQKQNTFNARIASTCNLNVGNSDIEVHNNISLLQAKEEARAALAEQKAKLDEQKNRVQNVEVKNDNVEKQSEKEITVLEANNRDRFTQNKGIYLDGIDLCAGRLEEDQVLNISVSHVLQENNINLSFKRTFGAIEEAEWLEMCDKLDLVQLSDGKLVTRVILECSLCWGPYARHCGSPGMISSSEINSVHPRNLLFIRWKVLYKQEDREDIEMFIKAVRSKIEERDHHQLLLTGGSAYGLKNKLGSSARRHGNVKSNEMIQLSPKMPAIHAAELPLDAHGQDPRGLVQSFIQGVIKANPEIAHADAIICNAVREMESEALALLPMPALAVGADIDGITACHFLPEDQTCLAWLDAQAPGSVVYVAFGSVAVLDAPQLQELADALALCGLPFLWVVRQNFTDVDDACVLSCVQYDTGFTLTKSYGQSNLRICTRH >Et_4A_032530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11002989:11005320:-1 gene:Et_4A_032530 transcript:Et_4A_032530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVPVPCLEDNYAYLIVDESTNKAAAVDPVEPEKVLKVASEVGAHIDCVLTTHHHWDHAGGNEKMRLQVPGIKVFGGSLDNVKGCTDQVENGTKLSLGKDIEILCLHTPCHTKGHISYYVTSKEKEDPAVFTGDTLFVAGCGRFFEGTAEQMYQSLIVTLGSLPKSTQVYCGHEYTVKNLKFVLTVEPENEKVKQKFEWAQKQREANQPTIPSTIGDEFETNTFMRVDLPEIQAKFGAKSPVEAMREVRKTKDNWKG >Et_1A_004935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17640012:17641141:1 gene:Et_1A_004935 transcript:Et_1A_004935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRRGGGPAAGRVGLRAKPAGGLHVRGAEEDHQRLPAGRADRRRRLRAGVQGRHRRAGRRRRRRGDHHGHRHQGPRRRQQLPGPPRVAGGGDLFGPAVPPEPGEAGGLLLRGRAPRAGVRVLRADGAAAALGGPDADRAGRGPRAGLPPRRGPGGARHLPRLQDLQHPARRGLQRQALRLRARQGRARRGQLPRLHPRDGHLRLRGARVRHDGPPHRHERRLQLRRRAAGAPHRAEVAGPVQAAAGAGARRLGAPGAAAQEAGHGHRRPEAHRRGGRPAPRQVRAQGGHARVPLPQPQPQGEAAHARRRRLARAAAAAARGAL >Et_10A_000808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17642088:17642505:1 gene:Et_10A_000808 transcript:Et_10A_000808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSGSLGDDLEISILARVPCHKTLLNAIYARMSWRKGLYSPKFRPCTRRLLGLFSNDSTQVTTVPMFPSFTITRDRDLKLHAIVQKGDFFLTKVLNLGSDFLSWEIWDCVDGLLLFQNTVNYKPMVYNSMTR >Et_1A_004919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16605117:16605368:1 gene:Et_1A_004919 transcript:Et_1A_004919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEALKKVHEDRSKFLAVKREQNYIAHDLAQLAKRTVHTVVCRGQTPSCIERMIAQECTFNPE >Et_2A_015985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:254699:259443:1 gene:Et_2A_015985 transcript:Et_2A_015985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCLLLGVAPRPPPAALGLLRRRRNMASSAPNCAFQLRLNPLTGDSEWLVVDEAEGEGEAPPVPSQHKQLLATTSYLDMLNDTARNRAYRRAIDATITDPASRVLDIGAGTGLLSMMSARALSTVGGEGTGNVSACESYLPMGKLMRRVLRANGMENKVKLFHKRSDELKVGVELDSPADVLVSEILDSELLGEGLIPTLQHAHDMLLVKNPKTVPYRATTYGQLVESTFLWKMHDLHNHESNAADGVWLTPDGMESIVSVKPQQHAMQCDALADEIQLLSEPFKVFEFDFWKRPDSHRETKIKIKATADGRAHAIISWWVLQLDSAGSVLYSTAPRWMTQSNGEDLSHCANDMKDWCDHWKQCVWFIKGRGAPVMKDQTLSLTASHNQTSISYQMNINDERCSGSPKGDHLTLMPERIALYGDKDWRSALISAIRSALTARSSPTCIVADDSVLLTLLVSSLLPSSEVISMFPGLRDKGGNYIQAIADANNLAMDHIKVIGRRASSITVDDLKHKKVDLLVGEPFYYGSEGMLPWQNLRFWNERTLLAPLLSEDAFIMPCKGILRFCAMSLPDLWRSRCSLKDVEGFDHSVVNDTLGACGDLLGGQQGPCLPYYVWQCGYTKSRYWKQGLQLLSKPVEVNPSSSVMHVEAYLDPHTGELTFKSTPS >Et_9A_063444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2578203:2580226:1 gene:Et_9A_063444 transcript:Et_9A_063444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDQILHDYVRMDDYIAALHDASVDTSGDSLGHAAQSAAAFGHMLPYLGLAERLTLRGHRVSFVSTLRNLDRLPRPRLSWTSWCRSRGTPEAAAPEQGRGKPEQGHVEPEQGRPVMQFCLSSMRGTSRHRYGSPHKKLCE >Et_1A_008137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4640123:4645075:-1 gene:Et_1A_008137 transcript:Et_1A_008137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTSLARRRHSSYLTPPSNLHQSKLSALRSVSSASRRCRRVARPAGVDWSKQWWPRKDISPAWRVWCVVAVADRRAPFASFLLVGKTLTQAVMLSVAALNSQEDPLESGRAIMERQTARRTPTNVSQMVESVFASVILAKDLAARCKDRVLQLTDDETQNITQDLENVLQNIYDDLGSISASAFGSNTYMDVLIKSRGYSEDDTSKNVVSNKPRRRSLRDIDTPRLVDFLQGMYHESHEFGGPMFSTLPEVAEYIEPLYDAFLCPLTNEVMSDPVTTESGVTYERRAIEEYIEMFIDSSEPVYCPVTKMPMQSKTVTSNASLKSVIEEWTMRSEAMRVRIARTALSLSTAETMVLEAIHELKLLAKLRGKNRELMHKIGVTKFLARLLDNHNAQIRCDTLELLCLLVEDEEGKDIVGKTKAIARTIKLLSSTDERHAAISFLLELSKSQLLLEHIGSAAGSILILTTMKINYLDDPIASEKAGAILKNLEKCPKNIKYMAESGYIEPLLSHLVEGSEETQMEMISYLSELVQEQELTIDITKSTSEILIKMTRSCNPMVRKAAFNVLVQLSSHHPNSKMLVNTGAVPVLIEELFIRKVDDEPMNSMASAATVLANIVESGIDPDTTVVNKEGHMLTSKYSIYNFIHMLKCFMPDDLNLSILRILLALTALAKPLATVVSVIRENHRGHAIVELMGSPTEALSIAATRLLITLSPHIGHTIAERLCKTQGQPGSLVKSISHTGRVTERQAASATLLSRLPYRNTSLSVALVQEQAVPTILSTMKEMQKGTGRTSRHTVPFMEGLVGALVRFTATLYSPEVLQAAMDHNLVSVLSDLLGGAAGSDEVQRLAAVGLENLSYLSVKLSQPPSEELLSKKTITLKLRKDSKAHSNSKKNLTHQLNICPVHKGICTPATTFCLLEAGAVEGLLGCLENDNVRVVEAALGALCTLLDERVDVEKSVVALVEHDAVRRVLGVLRQHRQNILWQKCFCVVEKLLEHGDDRCVREVTGDRMLPTALVSAFHRGDASTKQAAESILRRLHKIPDYSVTYVSMEF >Et_1B_009843.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:32445573:32445899:-1 gene:Et_1B_009843 transcript:Et_1B_009843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEECTPAYIAAVRRRFSGRWVCGLCAEAVAEEAAKNGGDREAALAAHVAVCRRFNGFGRTHPALFQADAVISIVRKLSGGLGSPRSPVKPGAAADAGLASGPAVPAA >Et_7B_055093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7235173:7237081:1 gene:Et_7B_055093 transcript:Et_7B_055093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTETFSMDQHFVQPPPAAEQEQLCYVNCNYCDTILAVGVPCSSLFKTVTVRCGHCANLFSVNLCSLLLPPPANQLPFGQAPLLSPTSPHNLLDELSFQAPSLLSAEQAASPTVSVSTITSSNNSCGNSMSAMSIAPPPKPAPQEPQQLPKSATSGNRTTEKRQRVPSAYNRFIKDEIQRIKASNPDITHREAFSAAAKNWAHFPHIHFGMMPDQGLKKTPIQNQDGGECLLFKDGLYAAAAASGMRIAPY >Et_3B_031610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:632908:641585:1 gene:Et_3B_031610 transcript:Et_3B_031610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRFLFVLHNPPVPGLGKVPRLQLSGLLYYKRVAEWAAAVADAALHWLAVSLRHRSTASLHRIIQMYDIPVPSCVDALAGVIQQSLGSDHDVRRNAEAILSDPITHPDFAHTLLGVVDSGHPVDVRHAAAARYKNLIRLRWPSHDDSMDCLPDGDCVIMRYHLREIFLDAPPLIQMELFEAIADIATHDVPNKWQGILESTVDELGNASCVENFMVIKDAQAQPVPGVTMVAGSAHLTATELNTLAPKILEVADVVSFDVDVIVEGFANVLRDTDKKEWRPDFYLCLFETMAAVIRRVAVYDRGLVDLAVLELAEGLELASVLWSSLL >Et_6B_049832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14260749:14263050:-1 gene:Et_6B_049832 transcript:Et_6B_049832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTAPDDDGGAAGFSAIDQIGHYRWATASVRFLFFAGEFELQLVQRNVVFSPVSLHAALALLAAGARGATLAQLLAFLGAPSAKALTDFGRLVSRSVLANRSDSGGPRVLFGGGVWVDASRGGLADAFRRVAVKSYKSKARTVNFTEEPEKAVKRINKWVKKATDSLIDTIIFPDDIDAETDLLGKMVGIANNHQVVLANAAYFKGEWLRPFRSCRTFRGMLFHRLDGSHVEADFMWEPAKLPVACMDGYKVLKLPYKPGRKAEEAATQYSMFIFLPNAVDGIATMVDVVTASPAFMYAVLAQLKEDLVRLKLPKFKISFNWAKLEGALRQLGLSLPFSPEVADLRGMYQVQDDDDDGMSRQPTFLNKVAHMAVVEVNEQGTEAAAATETLCGGGMPPPGGIRFTADHPFTFLIMEERSGVIVFAGHVLDPSK >Et_1B_014267.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:4693539:4694778:1 gene:Et_1B_014267 transcript:Et_1B_014267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMTEKEREKQELVGRTGGGAAVQRAPVDKPPFTVGQIKKAIPPHCFERSVIKSFSYVVHDLVIVAALLYFALVIIPALPTALQFAAWPLYWIAQGCVSTGVWVIAHECGHHAFSDYQLLDDIVGLVLHSWLLVPYFSWKYSHRRHHSNTGSLERDEVFVPKKKEALAWYTPYVYNNPVGRLVHIVVQLTLGWPLYLATNASGRPYPRFACHFDPKSPIYNDRERVQIFISDVGVVSVAFALYKLAAAFGFWWVVRIYAVPLLIVNAWLVLITYLQHTHPALPHYDSSEWDWLRGALATMDRDYGILNRVFHNITDTHVAHHLFSTMPHYHAMEATKAIRPILGDYYQFDPTPVAKATWREAKECIYVEPEDRKGVFWYNNKF >Et_5A_040449.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:23356465:23356764:-1 gene:Et_5A_040449 transcript:Et_5A_040449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTLKGNDYRRNPAHWTLRPLLYEFRSNNAGIQFTAHKISRHNNNIAHNLAQEASRVQYSPNYQFSCNHLAHRDQCPVREAFQLVTWGDLSPVVVTCR >Et_4B_037741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23018661:23019446:1 gene:Et_4B_037741 transcript:Et_4B_037741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLPPPWPCWALGRCLRLALALLLSLAALTGAAGHLADDARALLAFRDAVGRHLPWNASDTGPGACAAWVGVSCESGRVTALRLPGARLSGQVPAGTLGKLTALRTLSLRANALPDDLASAALRNVFLDGNRLSGEFPRAVLALTGLARLALGGNRLSGHIPARASGPCSWRIPEMTLPQFNVSFNPLRGSIPVELRSMPRSVCSSALGALPSWLGVAVLGHWATGVTGDDSDVRSSRAKGGTQRDI >Et_4B_037440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19964555:19965562:1 gene:Et_4B_037440 transcript:Et_4B_037440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNPTFTVRNVLFAYTAAHDAYVRFLRHGVNPEQARNAVALLLWLEQSDVQAINRVSSYGDNVLMHLAAEANSIMLYLRGEQSFFLEIPLLSRLAPQGFIDPGFFVFHQDLVVRGVADILEGLGDLIFDERLYRLLARYQTGLLGGMPPELAAPFTFRSVPVPEDCRSIFITFSIGQPVEREDIFNHFRNKWGDCIVRVLIEKTTGGAPPKYGRIIFKREAFVSMVLNGEHLVELNIGNRQIWLRKYFPRMRNV >Et_8B_060254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:826656:828080:-1 gene:Et_8B_060254 transcript:Et_8B_060254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KALAGLRRINLDGLRWRVFDAKGQVLGRLASQIAVVLQGKDKPTYAPHVENGDMCIVLNAKDISVTGRKMTDKIYYWHTGYIGHLKERRLKDQMERDPTEVIRKAVLRMLPRNRLRDDRDRKLRIFSGNEHPFHDRPLEPFVMPPRQVREMRPRARRALIRAQKKEQANRAAAESAKNEEDGKTGKAEVTA >Et_9A_062964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7608325:7608658:1 gene:Et_9A_062964 transcript:Et_9A_062964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGGDGECRPLGWLLGLPFAMLAVLVSIVGAIIWIIGLPISCICPCCLCVTLVLEAAVELIKAPLHVMTWFTSKIPC >Et_4A_031909.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28762011:28762280:1 gene:Et_4A_031909 transcript:Et_4A_031909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAYERAEQGDLRQERPPAPRQPRARQGARPPACRPPRLRVPRPPPLPRRRLPGKRPAPPPRRRPVRARFRAEYSERANSCGKRLWCL >Et_2B_022611.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27812727:27813866:-1 gene:Et_2B_022611 transcript:Et_2B_022611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLIPGLPEEVARECLVRVGFDQLPVVRRISRQWKSEVESPDYSRLRRLEGLTRPLLALVQAQPTAPAEDAGPVHKSSSAAASAGTGGPANSYRMVLLDPVEGQWAPLPTLPGSMESLPLFCQVAAVDGGGQGRKRLVVVGGWHPETWAPMNSVYVYDFLTGAWRRGAPMPGPRRSFFACAAVGGTVYVAGGHDEEKNALRSALAYDPKSDAWAELPEMAEERDEPRGLCVGGRFLVVGGYPTEAQGRFVGSAEAFDPATSAWAPVQEGLFEYGASPRTCCVVPGTERMYMLRDGHLVARDGAAPWRTVAPVPEDARTAATVSALPDGRAVVIGSGCHGGDQTVYVLREEAGKGALWARAPAPPEFSGHVLAASFLEM >Et_5A_042728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3098139:3100058:1 gene:Et_5A_042728 transcript:Et_5A_042728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVPPAAPGAHATPAASTARERDEQASTSMRASASWFSSTAAGMRGGAGGEVPRRGAGEEEHAWLVPTMPPPPPVMPPAPAAGVPVAVPVPVQTWPWARPRSSIPWARLVVGLMLLVLLGYAFIKWGLPFISEKVIMPIIRWEAKSFGRPVLALVIIASLALFPVVFLPSGPSMWLTGIVFGYGLGFLIIMAGITIGMSIPYWIGSLFRERLNRWLEKKWPRQIALIKLAGEGSWFQQFRVIALLRISPFPYAMLNYAVTVTEMKFGPYICGSVTGMIPDVFVNIYSGRLIRTLAELKYHKHRMSRVEIVYNIISVVVAVLFMIGFTIYARRALDNMERSEGIYPESVAAPTVSSEFRNNHQGCSTARSIPIDVV >Et_10A_001618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6005322:6006087:1 gene:Et_10A_001618 transcript:Et_10A_001618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVEKMPSLQKALPPELADNVLRLYRECLRRAKFIGHQKHNTELLVNMVREQFKKNMHETDPEKIQKMKDDAARGLINHILYESEKMTGRKFSG >Et_6B_049304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:290704:293993:-1 gene:Et_6B_049304 transcript:Et_6B_049304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPTHDYPTIEPTSFDVVICGTGLPESVLAAACAAAGKTVLHVDPNPFYGSVYSSVPLPSLPSFLSPDPSDSVPLPLSGDDLHTVVDLHRRNIYSEVEISGAAPEPSGRFTVDLVGPRVLYCADEAVDLLLRSGGSHHVEFKSVEGGSSLFYWEGQLYPAPGSRQDVFNDTATFKRTEKTVLFNFLKLVQAHIAAAAHEDGEGEEASDKISEEDLDLPFVEYLKKQRLPPKMRAAMLYAIAMADYDQDTDCCEKLLTAREGIQTLALYSSSIGRFANAQGAFIYPMYGHGELAQAFCRCAAVKGALYVLRMPVSALLMDEERKNCLGARLTSGQDILCQQLILDPSYKVPILDAPSNGSDSNLLRKVARGICIISKSVKQDSSNLLIVFPPKSLEEQQIAAIRVLQLSSNLAVCPPGMFMVYLSTPCADTSNGKQCIKKAIDALFAPQASDSLEGRLEETSENNEDLKPTVIWSCVYVQEITEGASSSLLSCPTPDERLDYRSILDSSKKLFADICPNEEFLPENSAAVYADVDSYSAD >Et_4A_032202.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:23356620:23356835:1 gene:Et_4A_032202 transcript:Et_4A_032202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RTKIDTIHVIIHIGFPNLLKKKGAIEALPFQKKNQYANQFARLSLACSWIQQAVQKVDLFGTYFASRGFHN >Et_8A_057413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2795572:2797745:-1 gene:Et_8A_057413 transcript:Et_8A_057413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSGGATSQAYGEAWYWDERYRKEAGPFDWYQKYPALAPLLRLYVATPQRLLLVGCGNSVFGENMVDDGYQDVVNIDISSVVIDQMKKKYQDKPQLKYMKMDVKNMSDFQSGSFDAVIDKGTLDSIMCGQNSQENATKMLEEVNRILSDNGVYILITYGDPSYRLRILKDMQHWSVKLHVIDRWERSSNQNKWELTKPLPLNDDSTSIIELLGPKPDVHYIYVCVKGNDGARVDPKAEEVVD >Et_2B_021733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4948250:4951135:-1 gene:Et_2B_021733 transcript:Et_2B_021733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVNGGKSAAALYSSLLQSCIGSNAFRQGKSVHHRIVASATPPDLHLSTKLVIFYARFGDVAAARKVFDGMPHRSVVSWTAMLSGYAKNRRPQEALELFALMLRNGARPNQFTFGSVASACAGAGCVRSGEQVHACAAKGRYAGDMFVQSALMDMHLRCGSVADARRLFAEMERKDLVSWNALIRGFVERGHCGDALVLLSSMLRDAMLPDQFTFGSALKACGTVSILSNVELIHTCVIKLGYWDEKVVTTSLIDSYAKCRSLSSARVIYDSMCEPDLVSTTALISGYSMDRNHSGDALKLFCKIHRKGLSIDGFLLSSLLGICATIASTKFGTQIHAYMCKNRPMGDVALDNALVDMYAKAGEFLDARRAFDEMPCRNVISWTSLITACAQNGFGEDALTLFDRMEEDGVKPNDVTFLSLLSACSHSGLMSRGMEYFTSMMSKYGIDPRAKHFSSAIDLLARGGRLEDAWKLFQKTNAEPSSSMFGAMLGACKIHGNMPLGETVAKNVFNIDSENSANYTVLANMYAESCLWEDAQWTRKLLGATSKGKEPGCSAPLEPGPTLPSADSQVLTSDLRTPLGYSDRAEGQEGLRLSNADPLNNLLLSLVDVIRILYSLFISVDK >Et_1A_009258.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35786031:35786300:-1 gene:Et_1A_009258 transcript:Et_1A_009258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSGLVFEPHDGAAAAVGGGDVVFCVAILCLSVLSMIIVAASAWGDGEEKPRRLRRRRSNGPVFVGGKGCGCGGCRVGDGVCGTYLS >Et_9A_062152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19995581:20002156:-1 gene:Et_9A_062152 transcript:Et_9A_062152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKLALERTAAQAALPISIQVLRMPVSALLMDGERKNCLGVRLTSGQDILCQQLILDPSYKVPILDAPSDGSDSNLLRKVARALEEQQIAAIRVLQLSSDPAASDSLEGHLEETSENNEDLKPKVIWSCVYVQEITEGTSSSLLSCPTPDEHLDYRSILDSSKK >Et_8A_057698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6171213:6173490:-1 gene:Et_8A_057698 transcript:Et_8A_057698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGYLSQPPPGFGTRPWLIQAHGGHKETVTFVDMLDRSAHEAVISDMQDKICLGCVYGGDWFLMLDEISGDCFLLHLAAYSCKIPLPPLGEPLEYLGRCAVLGSPTNPNCTVVITSIPESGQNFLLHCHSGDEDWTKLAVHDSDWIYGYITSCAGKLYAAAENNLLAIDVVDGAIQTERSLKNYFVESSGVLFEVRVEYLGRPDDGAIVEIIVHRLDLSVSDPMWKRVESIGDDRAFLLAGDYGSSCPAVEGLTQGNCIYLVWSSCDCERLYRFCLDDRTISFHKVLPCPTQSWCRAFWSIPERCANLLSDSELFLMEKHAVSSALWHDLPPELLPLIVSKLSCPVDILRFRAVCKSWNIVPSMEEQDKAWPWLMHCSKQDGTCKMFDPLRGKEYTLSIDAFKSDDWIILRSSKDGWVFVSTGDKIDDIFIINPFTEDITEPPMFDRCYHFHGVSFSSTPTSPDCVVFGVNSSTSGLFLGIKTWQIGQDEWQEIFLEFQVPFPVAYNNPVYYRGGFYCLGRKGNIGVFNPNERSWTVLDKPEPIHAEPMNVFDEDHEGSEFCYLVVLAGELISVFQRSADEPPRVFKLDEAKMAWIQVEDIGGAALFLDYRSSFAVASPEAGHGNKIYFPRYSEDAKQAAFYDLETKTYSPAYYGLKSPTNCVWVVPNLHSD >Et_2B_019732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13194882:13199791:1 gene:Et_2B_019732 transcript:Et_2B_019732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAALLLSSADAALAAVPAGGRCRRSTTPARPRFAAPGRLSCGRSGSVRTRAAPAAAPAAGGINGVSTTYPAACFSILDSLMPLAVAPLLLKLLATSAFQHNNGVYTVSDFMTKREKLHVVKPTTPVDEALELLVQLRISGFPVIDDNWKLVGVVSDYDLLALDSLSGGLADTTSTSMFPDVDSNWKTFREIQRLLSKTSGKVIGDVMTTSPIVVRENTNLDAATRLLLETKYSKLPVVDSMGKLVGMITRGTVVRAALQIKKKIEEGSTSVVIKSAALSFPLPHHDAASLRISMHGRNNASMSPAATISSPLSFAIPATARFERELQRDSSGAAWQRAC >Et_4A_032602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11819539:11820013:1 gene:Et_4A_032602 transcript:Et_4A_032602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLGMKPITGVSRVTVKKSKNILFVISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSQMMGKPETSGLGQDDNEEDVDETGVEPKDVELVMTQATVSRAKAVKALKASGGDIVSAIMELTN >Et_4A_034145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30235963:30240614:-1 gene:Et_4A_034145 transcript:Et_4A_034145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASYGGGGGGHLSSHKDLVGRGGRSFLFGNTWFLLSTYSTRLLHTTDRRAPTALFAAINRAPGVRSHCAGQGLLQRGGIVMAACGYAFRRAELGATKRQPDKDTSVGMRTSRIAAMGSVGSAARPDVSFRYRGVECCKKVGASLKCREPWGNRAFWTNASGPGWKLSSAVEPWARDFSRLCLAPYSAGATEHQLSLDEKMDSSTSASDGKSPTPETLKLVSGSCYLPHPAKEATGGEDAHFICDEEHVIGVADGVGGWADLGVDAGLYAKELMSKSMSAVKDEPEGIIDPSRVLEKAYTSTKARGSSTACIITLKEQTIHAVNLGDSGFVVVRDGRTVLRSPTQQHDFNFTYQLESGGGSDLPSSAQVFHFEVAPGDVIVAGTDGLFDNLYNNEISGVVVEALRVGLSPQAAAQKIAALARQRAMDKNRQSPFAAAAQEAGYRYYGGKLDDITVVVSYATIDTWRSYTGVGTESLDSDLPLAANGEAAAASCVVPA >Et_6A_046489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1646788:1657421:-1 gene:Et_6A_046489 transcript:Et_6A_046489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKGRASSSGLAASLVPHAQGAAPTFGFGGYHGATRVEPASPSDPEAPVRLTPDVDSEVIQHLKRLGRKDPTTKLKALSALSLLFAQKSGEEVAQIVPQWAFEYKKLLLDYNREVRRSTHEAMSSLVTTVKKGIAPHLKSLMGPWWFSQFDPAPEVAQAARRSFEAAFPQSERRLDALMLCVKETCLYLNENLKLTTQALSDKATPMDELEDMHQRVISSSLLSMATLIDILLGVKLQNCDVDSINTENRNLSKVRSTTLSSVETTFCTHKYFLDFLKSESASVRSATYALLSSYIKHVPHVFDEETLKILFPTLLGAFHEKDPSCHSSMWDTILVFSTRFPEAWSYCNVHKVVLSRFWHFLRNGCYGSKQVSYPLLVQFLDSIPPKASMGQQFVYDFLNNLWAGRNQRQVSAADSLAFCIAFKQSFLWLLKNVSRYSGGDSSDDIRTKLIIDIFARVVWRDYLLLSDGTPADVQISHKKSVVAANTRYPTNYLQDLGKCIIEVLDVIADTENYLLDVSCESLLKDCLDIIQEGEKLSKFQDHVEQLVSFFLSLDILVVRKGKAWPLERLARPLIDRSLSAIKSLDTPGLVKLLSVLVEIFGPTPLLLKSYQKNDEKSDMKPYLEIFNDDLLPWCLNGKYSTSNSKIDLLLSLFQDECFFDQWCSVIKYTRAKQKHCVDDKASNIMDQFELLSLLLQKVREQVTVGKLKNLHRNGCLPEHWRHDLLDSTAISVFCDLPAADSCTNFLWYALLTPFVQFRTCFMTAAVLVVGESVTCFAALGGSVREDRICFLSTETVRSILGFILKNLASVFIASTFVWARFAYGLLLPAEHSPLKVPEKQSSPVNFEMVRFAFGVLKGSLFSLGLLEEDSVFPSVLAALFIIEWECSMALSLGEENNLEGHKDDTDAGALEEEIHLKANLAENISAFCRSLSPSFWNNLHSCTLNRVAYILAQSVSLQSFFNLLLSEGECWPLWLMPSLCNGHPSINVQFEPAITDDIELKHQRFVAFVDNLIIKLGFGEVILGIPGNLRRSMSQSTDITSSISPSSRAWVAGEILCTWTWTGGSALKTFLPSLVEFMKEESSHEVSIMSLLLDTLLEGAIMHESGPWALFNDWHLSGNEIEKIQDHFLRALVALLFTSNTNGCTWREPDTLVFFEKMRSHLFVDSSVNRKCLKALPFIMSTMVKTLSEKWKLDKDSSYADLMGKSILSWLDATISCLSSSRLEVPEQDVEDWMQVALSCFPLRITGGGQKLVITVEREISDAEKSLLLTLFQKYQIFYNSAALSLSTSETALSSTIELLGVKLMAVMVGYCWTNLQENDWRFLFRMVFKWIESFVLLVEEMTDAINDAMINQKSSEDALEKLKLVVNTSDELRISLAQSALVTLCQLNHLCKIQEAENSQNLQLIKSGEYAESNDKMVESVLRLFLASGVSEAIAKSHGEEASFIISSNHHAYLHFWELVASVINNASPQIKRSTLESMELWGLSKGPVSGLYSILFSSQPIFDLQYASFSLLLSEPFCQLSLLKECSLGNNSSPDIDQTTELMSDSEKTLCIRDELSALIEFPTSELLKTDLTDRDRVDVFIAWALLLSHLQLVQSSSSCREKILKYIQDKHIPLKTAAPSGKKKDVELMPEAEVAAKASKNAIVTSSLLPYVESLWPIGTWQMASLAGSLYGMMIRLLPSFVRTWFTTLKDRSLSYSIESFTRQWCSPPLLQDEFSQVKDSINADDNFSVSVNRSAYEIVATYKKEETGIDLVIRLPNCYPLRHVDVECTRSLGISEVKCRKWLLSLTSFVRNQNGALAEAIRTWKSNFDKEFEGVEECPICYSILHTSNHSLPKLACKTCKHKFHGACLYKWFSTSNKSTCPLCQTPF >Et_2A_017878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7433007:7438094:1 gene:Et_2A_017878 transcript:Et_2A_017878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKKRVAIVGAGPSGLAACKHLLARGFRPVVFDAGAAVGGVWRRTLASTRLQTPAAPYRFSDFPWPEDVVASAASFPRHDQVAAYMAAYARRFGVLERVRFGCTVVGATYVGASEREVAAWERWSGNGEAFGDGTGEWHLTVRHDGDGESPSTQKRVAIVGAGPSGLAACKHLLARGFRPVVFDAGAAVGGVWRRTMASTRLQTPAAPYRFSDFPWPEEVAEASSFPRHDQVAAYMDAYARRFGVLERVRFGCTVVGASYVGATETEVAAWERWSGNGEAFGDGTGEWHLTVRHDGESPSTQIHKFDFLILCVGRYGVAKLPTFPDGRGPEAFHGRVLHSMEYSSMPHADAAELVRGKRVVVVGAGKSALDTAAQCAEANGCRYPCTLVYRSAHWMMDAQVAGRVNFSPLVSTRWAELMVHKPGEGFALSLLATVLTPLRWLMMKVIETYYKAHIPMEKHGMVPDYSFARSALGWRVGTLPEGFYDKVDAGSIELRKCDSSFSFCADGLVLDDAGERVDADVVILATGYDPDAPLRGVFASPWFRDIVASGAGDKDAAAVLPLYRQCVHPRIPQMAVVGYAESGTSIYPYEMMAKWAAHLLDGAVRLPSIKKMERDVAEWARWGAWARRSSGGFFLKSCIHGVTTWYHDQLCRDMGHPPRRKKGVLDEWLQPYGSADYADIQ >Et_1B_009996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17534735:17535052:-1 gene:Et_1B_009996 transcript:Et_1B_009996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRWQVHSGFGLQSTIFRCTCSIPDSCTLEGQGRTERYTT >Et_8A_058079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18031156:18032875:1 gene:Et_8A_058079 transcript:Et_8A_058079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLFEASRYLEHNLLTIHCSVTVRKKPHVSATKPVNRIEVPPSDIAKHFGGLLDAEEGADVTFSVGGENFTAHKIVLAARSPVFKAELYGPMREASCTVPLD >Et_9B_064078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1102588:1106997:1 gene:Et_9B_064078 transcript:Et_9B_064078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVQPPCCSNANLQPPLPIDTQSETPNGDGVAASTLHCNLGLIPQLSLVHLPETSNSNYQVFIKIKQVQHNRFMSPRALREHIKSIEKKCVTALQESPETFCLEQPSSDNKHGEIELWWAGKKLAIGQRLCDYVGVNDKTKLQIAKTGT >Et_5A_040525.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4835124:4835225:-1 gene:Et_5A_040525 transcript:Et_5A_040525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEESHGKDCRGRFCQENKHCGLHSLEYMERKK >Et_2B_020799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23748647:23749791:-1 gene:Et_2B_020799 transcript:Et_2B_020799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTMRDFLGFFLGSAVTAACILLLLPPSPCPCGVAPAAYHQELGNLGNNGTHRALDPSTKSLQMHRLMHKLRFVFFWTTHSDLYVRSKRKQTTQTGASPEDDLPELLSRAAMDDKTIIMTFTNEAWTAPGSLLDLFLESFRIGVRTAPLLKHLVVVAVDVRAYERCQHVHPLCYHLRVSGGGVDYASEQSYMAGNYLDMMWRRNRFQARVLALGYSFVFTDVDIIWLRNPLLRVPLGADIAMSCDWFFGDNPYDLNKLANGGFVYAKAVPRMLDFYDSWYAARTKFPGAHEQYVFDQVKHTLAARHGVRVQFVDTAYLSGFCELRKDFYRVCTVHANCLVGIKDKLGNLTAVLDEWKQFREKAALLGSNTTALTD >Et_8B_058507.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:10247042:10248977:1 gene:Et_8B_058507 transcript:Et_8B_058507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQAYATSSLVVGYALCSSLLAIINKYAITKFSYPGLLTALQYLTSVAGVWTLGKLGFLYHDPFNLQTAKKFAPAAVVFYLAIFTNTHLLKHANVDTFIVFRSLTPLLVAIADTTFRKQPCPSKLTFLSLVIILGGAVGYVMTDSAFTLTAYSWALAYLITITTEMVYIKHMVTNLGLNTWGFVLYNNLLSLLMAPVFGFLTGEHLSVFRAFESRGQSWFELDAFVAVSLSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVMIWDKHASAFGLVCLLFTLAGGVLYQQSVTSKGNSAAQRDVAAKQGRGDVDAAESDVEKQSLVSSPKVV >Et_3B_029206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2325016:2328893:-1 gene:Et_3B_029206 transcript:Et_3B_029206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLLPLSCARPAPAPPFRCSGLSSRSSDPADARAWARAGGMARRRAFRETDVHRKTPHRGGGDGGDDPHAAGSAEALLESMRKLLLAGAAAEEEKEEQEGFPKRWAIVFLCFSAFLLCNMDRVNMSIAILPMSAEYGWNPQTVGLIQSSFFWGYLLTQIAGGIWADTVGGKTVLGFGVIWWSIATALTPVAAKLGLPFLLVVRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLSLVYSGMYLGSVTGLAFSPLLIHKFGWPSVFYSFGSLGAVWFTTWAMKAYSSPLEDPGISAAEKKLITSQSTAGEPVKTIPWRLILSKPPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLMESGLVCVLPWFTMAVSANVGGWIADTLVSKGVSVTTVRKIMQSIGFLGPAFFLTQLSHVNSPAMAVLCMACSQGTDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQHDYKVDLRDCLCSFTCKKSKAIRFPEARWIT >Et_1A_007885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39205292:39212251:1 gene:Et_1A_007885 transcript:Et_1A_007885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPACRRPSGIMMAWGGDAVLVNGIRRRFCFRHLKRACALAGGWVLAPQAHDAAAAAARRVASTRLSANYNFQRTLDMDFFTEYGEASQYQIQKIIGKGSYGVVAAALDTHTGEQVAIKKITNVFENVSYATRILREIKLLRLLRHPNIVQIKHIMLPPAQREFRDIYIVFELMESDLHQVIQANDNLAPEHHRLFLYQLLRALKYIHSANVFHRDLKPQNILANSDCRLKICDFGLARVSFDDSRSAIFWSDYVATRWYRAPELCGSFFSNYTPAIDIWSIGCIFAEILTGKPLFPGRNVVHQLNLITDLLGTPSLGSISQIHSEKARGYLAGMPKKHPIPFHLKFYGTDPLALRLLEHLLAFDPTDRPTAEEALADPYFRGIAKLEREISTQPLSKLHFEFEGRKQTEDALREMIYREILEYHPQMLQEYIEGRQHISFVYPSGVDCFKQQFAHLEENYSRGVRGTPLGRQHASLPRERICSSKDGNYQDSSDEERRTATSVARTTISPPRSKEGRMHESTDRKGTNNSNFPATGYPRSSVTVGASRCVVERNKYKKENEIPKDVKEEAVHELACKVTRMLT >Et_1A_006288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2008849:2012820:-1 gene:Et_1A_006288 transcript:Et_1A_006288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRSQVGMPASSRLYPSATCSLASPRSPSPPRRRRRRRIASLMGQSQSGSAGRNRNRNRSGWPRPGQGLDLGFLQGRKPRPGGEKLDLANWLRCVLSPPLPPPTVEAEAEAEGKAAGSREEQEAGGEETDHLVIMVNGLYGSSADWKFAAEQFVKRLPGKVFVHRSQSNHSKLTYDGVDIMGERLAEEVRQVVQRRTNLRKISFIAHSLGGLVTRYAIGKLYEPSMSEAFSLDTDKISEQKVPDVGKIAGLEPINFITSATPHLGSRWNKQLPFLFGVPIVEQLAAETAHFIVGRTGKHLFLTDRDDGKPPLLLRMVQDCDDGKFMSALRSFKRRVAYANLKLTAHDKKYPHVINVDKGNSEGCCLRNLEDQLMIRGLTQVSWERVDVCIHESWLRYNAHNNIQYRLGSHCPEMNPAGIIRSENRSV >Et_2B_022419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2179357:2179824:-1 gene:Et_2B_022419 transcript:Et_2B_022419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRYLMTILAAAAFTVVVLGAGPAAAGADEDDCEIAQQAFSECVSYVVGVDNSVSPKCCMALGDVKDMGDAVAQRRALCRCILSEMLAAGQVVSSRAAGLPDACKVQVGFIPTSPDFDCSSIP >Et_8B_060735.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5816794:5818017:-1 gene:Et_8B_060735 transcript:Et_8B_060735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAIAACLRCAAPSPSPSAGPSLATSVYETHLGLVALSWSRTSLGLSLRAVLRLSAPPSTPAASASSPASGAGYLDDDADEEETLAFRVRPWLLWRRRGSRRFRAGDRRVDLAWDLSRARFPGSGSPEPSSGFFVAVVVDGEMVLAAGDLPDAAYRRTRAQRPPGPRPVLLSRREHVSLRDDGTGAGRGHTTRVNVGGKEREISVDLVARGRGRDRGGREKDARADVGLSVSVDGERVLHVRRLRWKFRGSERVDLGGGDRVLVSWDLHNWLFPPRDPPPADASTHALAHAVLVFRFELGAGAGAEEREADLGKDLSSDKAARRNTGGLGGYLARWGQRDWSETGSNGDRRKGRRLAKGSSSSSASVASSSASWASGSTVMEWASPEEAEMQRGDGFSLLIYAWKS >Et_5A_040820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1359937:1360766:-1 gene:Et_5A_040820 transcript:Et_5A_040820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETTVPRPAASSSPPPAPAPRQRLSGFEQMDARAKELTSSQAELLERIQKLKQEVQNWRSNVEAQVKTCQNELLEMNKGLNTEVEQLKTVCSLSVNPCLLLALSYGLLFAGFIHI >Et_9B_065292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3292676:3294932:1 gene:Et_9B_065292 transcript:Et_9B_065292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRMEVPVCLLSLGSPSRRSLCHHRRLLGPERRGPTVPEACSSGMYAVVNIAFLCNFGGGQKPFLNLAGHCDPTMPGGCPVVRQDIHSCQSAGVKVLLSLGGGVGNYSLASALDAQGLAIYLWETFLGGNNTTQPRPFGDAVLDGVDFVIVKGSGRYYDDLAKSLATLYKSDGARRKEYVLAAAPRCQYPDATLAAALDTGLFDYVSVQFFNNPKCDYAAGGGVANNLSVLWERWARGLPSASLFLGPASSGYITPKALALQVLPLVKGAAANCRGIMLWSRFHDKETNYSTILQGILNDDKAGPSQNKRIKIYIVASTSALFGVCIILLTFILWYKTHYGILPWQRGSLNASRIESFLRKQGTSHPKRYTYSEVRRMTKSFAHKLGQGSFGTVYRGSLPDGREVAVKMLKDTEGDGEDFMNEVASISKTSHVNVVTLLGFCLQGSNRVLIYEYMPNGTLERFTFGDKSAEANQTLCWDKLFEIVIGIARGLENLHSGCNTRIVHFDIKPQNIVLDQEFRPKISDFGLAKLCRTRESKISIVGARGTIGYIAPEVFLRNCGEASSKSDVYSYGMVVLEMVGARKQVEVSTEASSKYFPQWLYDDLDQFCDATSEISSETTELVRKMTIIGLWCIQISTVDRPCMSKVLEMLESNTKDLQLPSKALWDS >Et_5B_043645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13391642:13396590:-1 gene:Et_5B_043645 transcript:Et_5B_043645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVSWSVRIDPNKAHTIHNKSRTGRRRATKILESNIALSPPRIPHWSYLLFAFAKPIRRHTKEKSVPRTWTLLNSHSLAGSTIAAAARAMASGARLSVPCRLLSLLPPPTLCRLPCAAAASTSPRCRAGRLLPLRGRCRPRASEAEQQGPVQEEEEVVDSNVLPYCSIDRKEKKTIGEMEQEFLQALQAFYYDKTAIMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGSPIMSDAEFDELKLRLKKEGSDIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITNAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGAINSVKCANCGTAMVYDSSSRLITLPELAEA >Et_3B_028093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10050480:10053957:-1 gene:Et_3B_028093 transcript:Et_3B_028093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVSSSLGVEAAVSSSLGVMGPLLRKLDLLLEHNYWLPKRVEEGIELLKEDMEEVSSAFLELLMVATTSLRAKCWMEEARELSYDVEDFVDNMIRTQARMRSARGARMCHVKIAGLPALPKRSTRISRIETFRTLLREASERYERYQLDYCCSSSSPVTTGHNQTPALYGDAANLVGINDSKIKLKEMLTIEGDQQLKVVSIVGPAGLGKSTLAKEVYREVGKQFEFQAFVRVSRKPDMRRLLGAILSQVRQHKQPPFNAVPVQHLIDIIKEHFRDKRYFIVIDDLWERTAWDILCAAFPHGDNSSRIIVTTENVNVSLGCCGYRHSNILKMRPLNFHDSEKLFFSRVFSSKQCPDEMKEISHGIIRDCGGFPLAIINVAGLLASQLDYSELWYHVQDNLCSIVNRTCTAEEMQKEILNLCYNSLPHCLKTCLLYFTMYPEGYIIRKVDVVKQWIAEGFVNATDGKQNVEISDSYFDELVNRGMIQPVEIDYNDGVLSCTVHHIVLDFITQKCKEEKFISAIDCSQTVTGLSTKFHRLSFYFSSARYGKPPAGITMSKSRSLAFFGLVKRMPSIVKFKLLRVLILEIWGNHHEDTSVDISRVYRLLQLRYLKVSSDIVVELPTQMRELLYLETLEIDARICAVPLDIVRLPRLMHLCLRGATNLPDGIGRIKSNVWSLGKLTNLRHLHLTCSPTLSDEHLKRKLIPLVSSLRKFSNLKYLTLTPDASGRALFCNISSSISTPCSFLQRLELFPPICFFSRLPSWLWQLHGLRILNIVIRDLESDDISILTGLPALTVLSLYVRTALKQTIILADMAFSALSVMLLSFQEGAMPDLRRLKLCYNSHRENNYGGLVDGIEHLLNLREVAARIGEPQGTDKLDRQIAESLFVDAIKKHPRSPSIDIRWVNLIDEECPPLSKQHQRLQQDSSGENDKLERSSMEDMNIPSAG >Et_2A_017129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31108912:31109951:-1 gene:Et_2A_017129 transcript:Et_2A_017129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRATVPKFGTWDSDNVGYTVYFDKVRENKGATAPPLNRPFNPNDPEEDGPLRAPSSRPATSGGRPNGPQAHHRRAGSSSSEPGGRGAEQSKFAPPPQYNPRPSPQQQHGGGGHRHQPPPAGYRGQQAPRQQHHYQQAAPAPRARSASPQNNVPNRQRPSAAVPKFGVWDEQSAASATQGFTVQFDRVKREKQVARAGVPDVPRPPPPPEPRRSHRDSPFFSKLTENVLLKYATFVNCHGIGQGLISSTLHMPC >Et_9A_061231.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:23043172:23043477:1 gene:Et_9A_061231 transcript:Et_9A_061231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDELKNTAKTRSSWEGILKDIPAAGQLLPGIVFKSVKREANQIAHLLAQQAMRCKEFVVRRFDFPLCVKTLVDSESVFGAPSPSGSRSGEHLSYTSAMI >Et_7B_054466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21633254:21634230:-1 gene:Et_7B_054466 transcript:Et_7B_054466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVEGLVHLWNGWDIQTMVLSSFTLQLFLLIFGGIRRRSSSTMLRVSLWLAYLLADSNAIYALGHLSVISGSSEHQLVAFWAPFLLLHLGGPDNITSYALEDNSLWLRHLQTLVLQELLMSSTNTCLVLLLLATISMFVAGLVKYGERIWALKCGSTSSICDRFNKSDHKVKPLICYGGVKLEAHYSFAVCKSVFLHITLEPGLIDSGYIFYCKSYHGGGHIQASRDRAVSDATAFLLFQLSIRGRGDYIRGDVIISYYVLLVGALALEAISVCRAVLSSWTCSFVHCKPDITSTVGLLLGYTVWS >Et_6B_049187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19168693:19172176:-1 gene:Et_6B_049187 transcript:Et_6B_049187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMGTLPRLLLLLVMLAGGHGAAAVSIGVNYGANADNLPTPESVATFLATKTSIDRVKLFDANPAFLAAFAANAPSISLAVSLPNSVLPSLADKATGLDAARGWVRDNLSPGANVTLLLAGNEILGPVVDPNLMVALLPAMRRLDQALKLEGLPHVRVTTPHYLGILAPSDGIPSNARFKPGLDTKVLAPMLKFLNDTGSPLMVNAYPYFSYNAKNLDYAIFRPNAGVYDPNTKLNYTSMLDAQMDAVYTAMDKLGFGAVQIAVGEAGWPTKGEDAQVGVGIQEAKDFNAGLIRVCSGGKGTPLMPGKTFESYIFSLFDENQKPGPIAERNFGIFNPDFTPKYDLGLLSQKTPSPSGAPNPSPNPSPKPSPNPSPSAVGKWCVVKTGAGATDLQNNINYACGYVDCKPIQSGGACFDPNNVQSHASYVMNAYYQANGRHDYDCDFKGTGAVTYNDPSYGSCKYVS >Et_1A_005037.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22896355:22896432:1 gene:Et_1A_005037 transcript:Et_1A_005037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTVSIIRRGVQTGRIITKILAKG >Et_3B_029692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27174093:27178729:-1 gene:Et_3B_029692 transcript:Et_3B_029692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAGAVVGAAPAAAPNAASRVDKATSHLLMGPDWAVNLEICDIINADVWQTKDVVKAVKKRLHNKDPKVQFYALTLLETMMKNCGEYVRSEVAEQHVLQEMVKIIQKKNDMQVREKILLLLDSWQEAFGGPGSKYPQYHWAYLEVKTTGVEFPRRPIDAPPIFTPPVHDSQTYGSPRYAAGSLNEIMSSDVETLSVGDLNKIRNVTELLSDMVHALNPSDRTAVNDEVITDLVTQCRSNQQKLLQFVSSTGNEQLLKQGLEINDLLQLVLSKYDAIASGAPLAVEAPVREAVEAPREAPVVTPSAPPFNDIAGEEEDEFAQLAQRKNKSVATSDDASSSTGDLALVPVDAEVSESSSSVASNALLQTTNNVLLVCHNILQTSSLIQIAGDTLHKTAITSRLGPKPEHIHLNLQHMQPRPPVDSTTQTTTYAPPPASYAPYSMSYVLHATSQSVQQSSSAGYPTSNGLSGTPAQPSVNQQPKDSSPAASKPYYIPDNLFSDLIDLKGPSGGNKMGNPNGGQPMIGGKK >Et_8B_060859.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:9667482:9669398:-1 gene:Et_8B_060859 transcript:Et_8B_060859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPRPAVLLLLFLLHNAAAGAIPSPGEASSLASVNPFTAKAAFIRYWNRKVPNNRPHPAFFVAKLSPLQAAAAASFPTSPAAIRARLPALCSQAALLCPSGETASSLAGRGSGGGGPFKGYSNANFTNYGTGGGAGADSFRAYSPDLNIAADSFRRYGHGSSGRDDRFETYEADGNVVTANFTSYAGGGATGGSGTFAAYAAEANVPDQTFTNYDAGGNGRGRSFAAYSAEANHGESEFSGYGKDGNGVRESFASYGNESNVLASGFANYGGGGNGATDTFTGYGVEGNVPQNTFRSYGAGGNAGVDSFKRYRDDANVGDDSFASYAKAANGGAAEFQSYGSSSNPGATGFKGYGEGGNPNHHIGFKEYAGDNITFKGYAKSGVEFKNYHNTSSTDSVLTASAEHHHEHMMKWSPEPGKFFRERELVAGNRMPMPDIRDKMPPRAFLPRSIAAKIPFEPAAVAEAFAAPLDTAMGKAVASTVAECRRAPSRGETKRCATSAEDVVDFAVETLGADIVVRSTASAAGSGGDVVLGSVAGVDGGRVTRSVSCHQSLFPYLVYYCHSVPKVRVYEADILSAEGSGEKKKINHGVAICHLDTSDWSPAHGAFAALGGKPGELEVCHWIFEGDMTWTVAD >Et_3B_027940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27576158:27578326:1 gene:Et_3B_027940 transcript:Et_3B_027940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLVGAATVDQAAAADDDGSASTFSLPLPLPLPGTPGREHRVTAALDAPAPQTKALLDGVSGEAREGEILAVMGASGSGKSTLIDALANRISRGALKGGGVTLNGEPLTGNILKSISAYVMQDDLLFPMLTVTETLSFAAEFRLPRVLSPAKKRARVQALIDQLGLRAAANTIIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSTSAFMVVKVLRRIAESGSIVITSIHQPSHRILGLLDRLILLSGGRTVFSGPPSALPSYFAEFGYPIPDNENRAEFALDMIREFEASSQGTKPLVDFNSTWQRMHAPIGPGSAEPWAPTMSLKEAISASISRGKLVSGADVAGEAASVHTYANPFWVEMKVLTKRSAINTRRMPELFLIRLGAVVVTGAILATVFFRLDQSPKGAQERLGFFAFAMSTMFYTCADALPVFLQERYVFLRETAYGAYRRASYVLSNAVVSFPPLVVLSLAFAFTTFFAVGLAGGVSGFAFYTLAILASFWAGSGFVTFLSGVIPHVMIGYTVVVAILAYFLLFSGFFINRDRIPGYWIWFHYLSQVKYPFEGVLQNEFGRAGECFVRGAQIFDNSPLAALPDAVKVRVLVSISSALGVGIGADTCVVTGNGVLQQAAVTQLGKWECLLVTAAWGFLFRLFFYFSLVLGSKNKRR >Et_6B_048997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16535064:16535796:1 gene:Et_6B_048997 transcript:Et_6B_048997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHTQFSIEKYEHTVLSFVFSLYKLQKLQSPCIHGNESSVDLFDHLRHPLPQLQKIQVNKSCYLSRIPKWFRSLLRLTYVCIDVEEVRNEDMQLLSDLPSLHHLSLSSRTIPTEKLVIRNNGFSALRQFHLQSVRADLTFDPQAMQKLEELLLSVHRMAFPSAFEQFMCLKKIEIRIDGEAAAVSESFGAADIAIRKAAKEHPNQPTLNIVTLGSLTGYAVKDGKETEQRLEPIYDE >Et_6A_047886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22961978:22962991:1 gene:Et_6A_047886 transcript:Et_6A_047886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKRASAICVALEQDDEKTGSRKRQRLWSTEDLRKQFQQNFTEIFDISEVQNEIEINTEDYVVTRALGEGSFGNVVEARHRVTGEAVTVKALCATPVAAATAAGAGEAKPNDVAAAAADEEVLREAGFLVACRGHPALVDLHALAINPRTADVALVMECVGPSLHSVLYDRPPQRPAVPGGRRAHMHSLMIMHRDIKPGNILVVKGSAVGSSISVKICDFGLAASMSEKLAYHRADRRRYMAPEVLLGKHDYDVRRAGR >Et_2B_022922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8302858:8306069:1 gene:Et_2B_022922 transcript:Et_2B_022922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDVELRGLDIDVELDPEDLQPSVPLKKVPGGDLFEAARAGDCDRLALLLEAGANVNARDRWDSVALYYACLAGHAEAARMLLEAGAVCAERTFDGDRCHYAALNLRLRRLLKSFEARPPPLAPLPAALRTTFLSCPANRAAFLEMLQGSAGAEAAALAAAAGFGPKDDASGACVFPPDITFYVDGKPIEAHRKKFKTDWRGRTEVRFSNQKLSYGALYSLIHFFYSDRLEVAVDDMENLARACKVCKCEELQKILENEVTHQKYAEYKSVRDLDLDNSQKRFILQAQSLPEEDRLPSALQRILEDCLAYSREQDCYNQESNGICRSSEDDDLANLYIKVADKVFHCHQVILASRSEYFRARLSRAIDFLEGNTAFQGTQNLPLLEEHDLSAEAFEKMLEYMYTDKLEHLDPEQAEELFDVASRYLLFPLKRVVADMLLPHLERVSPAELCHWLMLSDMYGVVKIREYCLDLIACNFEMFADTREFQALLLTLPPPSGDDSLRTTCPSAPGTAGNTDQGNLLDDLREKWLEAEAAELDQRDESAALFDRRLEILMLIAEKESKDDADA >Et_8B_060353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:119036:119800:-1 gene:Et_8B_060353 transcript:Et_8B_060353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVLGERRADRWRGSGGSCGGGVPRRAWRGVPSVVVERIASLWQHRTYDRLKLHLPKRFCELPLMAFPESFPQYPSRAQFLSYLHAYVRRFAVAPHATPTSPPRAGRAPSGACRPTSPAA >Et_9B_066059.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21900915:21901211:-1 gene:Et_9B_066059 transcript:Et_9B_066059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTIQGVPAVHLPGRRVGVHLPHRRLRGRRVLRLLHTSGAPSSRAARLHPHRRLDFLTELRSYVREESSSSLLDWHSPSRSASTTAPRPGHQLTMSD >Et_5A_041743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26644019:26644253:1 gene:Et_5A_041743 transcript:Et_5A_041743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEILVQSPRVSKPHYAISWMWSTLVVTGVSVYGLLGHDMSDINLKNNPPILATQTKAVYYRPVASLRT >Et_8A_057368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:24023861:24028980:1 gene:Et_8A_057368 transcript:Et_8A_057368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGEVVASVTSALAVVLVLLACVELGDAAAAVGVYRLIQYDLAGAPLGSRAAALNHHAAAFPLPAGADLSRSALVAPLLDLPLSFLREYLSEKKHLGGLLILLPTKLSGENGAGNNEDKVKVKSMLTELEKLLVHEEVPFPVYFAFHDDSFDNLLADIRKIASSGQPASATTGGYKLVVSSAEPRKVSSPTISNIQGWLPGLKGEGDTEQLPTIAIVANYDTFGAAPALSVGSDSNGSGVVALLEIARLFSRLYSNPKTRGKYNLLFGLTSGGPYNYNGTNKWLRSFDQRVRESIDYAICLNSIGSWSNDLWMHVSKPPENPYIKQIFEDFSDVSKEMGISVGIKHKKINVSNSRVAWEHEQFSRFRVTALTLSEMSAPPEFLESIGGLHDTRESADVESVIRTVRLVSESLARHIYGLKGKNIDVFAENSSLAINPHYIKSWLDLLSRTPRVAPFLQKNDPFIAAMKKELSEHTTDVHVQNDALDSMFTFYDATKATLNVYQVASVTFDLLFLLVLGSYLIVLFSFLVITTRGVDDLINIFRRPPSRKVKGA >Et_7A_050717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10335415:10340053:-1 gene:Et_7A_050717 transcript:Et_7A_050717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNGDFEDGPYIFPNTPWGVLVPPVLEDVHSPLPGWMIMSDTKVVKYVDAAHHAVPQGAYAVELVAGRESALVQEVATAPGKRYKLRFSVGDARDGCAGSLVVDAYAARGTLKVPYESSGTGGHKRAELEFVAVANVTRVAFQSYNHHMKHDGTLCGPVIDDVSLVPVHAPKHGARRLRLLPNGNFEHGPPESEMNGTRVMGPYSIPNWEISGFVEYIGSGHKQDDMILPVPEGDWAVRLGNDATIRQRLSVTRRAYYSITFTAARSCAQAEKLNVSVEPDFGVLPIQTVYTSSGWDSYCWAFKAKHSSVWLSIHNPGHEENPACGPLVDFIAIKMLQQPPRTPGTSTITIQVQLLVPGSRKRNENAPNPICRNMLKNGDFETGPYIFPDAPWGVLVPPMSEDLYSPLPGWTIMSDTKVVKYVDKAHHAVPCGAYAVELVAGRECAILQDVKTVPGRPYKLSFAVGDGANGCEGPLVVDAYAAHGTLRVPYESRGAGGHKRAQLEFVAVENVTRVAFHSSNHHMKPDATLCGPVIDDVSLVPVNARHKHP >Et_4A_033289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21052493:21061636:-1 gene:Et_4A_033289 transcript:Et_4A_033289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSRMTKESDSDSDAAAAARGGAAGGSGSGSETSSSSAPSTPGTPVAAPNPAAVGAAGPRPAPGYTVVNAVIEKKEDGPGCRCGHTLTAVPAVGEEGTPGYIGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVLSNKWSRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLSSAYGLAKHRDGRWEWAIAPVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDAAVELTRRCRHAAAAVNDLIFIYGGLRGGVLLDDLLVAEDLAAAETTTAASHAAASAAANNMQAGRALGKYAYNDERTRQTATESGPDGAVVLGTPVAPPVNGDMYTDISPENAVLQGQRRLSKGVDYLVEASAAEAEAISATLAAVKARQVNGEMEQLPDKEESSDSTSTSKHSSLIKPDTASSNNMTAPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEHPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPDHIEDTWMQELNANRPPTPTRGRPQAVTNDRGSLAWI >Et_1B_011407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21860115:21870274:-1 gene:Et_1B_011407 transcript:Et_1B_011407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQDKDAARRGCPHDIYGSSAAIHGHGKAQEAEISRAAEEARNSAWKAVAQERAQRFVGDLCGRNKEIVLAAAGAVPGDDGRGRGWQVKGKPQVAGQVQAESLYQAVVIAEVSAGSTNGGFYIPFGGEGSVPTEQCENKCEYRCSATSHKKPCLFFCNYCCQKCLCVPSGTYGNKEECPCYNNMKTKEGGPNVCEPAEAQAYGDQRVTSSISMVSAMVPRTCFMTRSRAASTVVLPAIPSAKCSAPRFEVIIIKVFLKSTHAIVEDLKENIEHCRICFLQLVKKDDTVWAPPDFLGYKTNLGGAPMRRLTDASPTNSDMSKRINVFSLSNSCSARAFASSVLPTPNAQIHVTTVAQRHHTRSCASFSAATAVTGACVFHLAPQAIRKKYRQKCEYRCSETKIRDRCLMDCTLCCEKCKCVPSGTSGNKEDCPCHDNWMSRANRSAHENEVSWMPE >Et_2A_017151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31388203:31388752:-1 gene:Et_2A_017151 transcript:Et_2A_017151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTWLKFQTLELQEYGFGVVLVELLSLVRRKPIFYGLGYTTELVNQGQLKRQLHLREEATQEEISSVASLAEMCLKLRGEERPTMKQVEMALHTKRPKSYIAASRNDQEMQPLLVTRVHGISGQSLVSDVDSNLDQPSKGYYNSEKEFVPFTQLPR >Et_9B_064674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17533471:17535969:-1 gene:Et_9B_064674 transcript:Et_9B_064674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKIIVALLFVFAVATATLPPSAAARAQAFKPADENQDAPAQPLSGGGLLHPSATAASGGSPAAPALPGIPAGLLPLIGLLFPPLGIGGLIAGLIPPLLPQPGAGGAAPPPQPTECMTPLMGMMPCTDYLTNLTVLTPPGACCDGLKSVISGAPICLCHGMNGDLNSFLPKPVDPVRMLVLPLACGTAIPLQTLFACSSHQVPPIMPPVPAQPPATPVTPTASPYSCFIN >Et_2A_017164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31584037:31587341:-1 gene:Et_2A_017164 transcript:Et_2A_017164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGAEGQVEEPVSPPPAEAVDDRRLLRSQYLAVKTLISDEKDDMASVDSDKFTSIISKVENLHKLVQRPREQIADAEALLDLTTSLVTSVRSQSVLGITPSEFVNGLLQKFRKRGGPVDEIPSLNWVDVGLAASNVFMDAPGCATIVGPMKSEVKPRRTRITRKRTARPRGSSRPEQLADPTEKTRTDTDRNMSAIFNLLRRKKNARLEHLVLNRKSFAQTVENIFALSFLVKDGRVEINVNDEGHHIIYPRNAPAASDIASGKVVYNHFVFRFDFKDWKLMKGIVAEGEELMPHRGSLDTPSTGGNNHPDAEVPLATQSTPIKKLCRNRGLILQDNTITMGAQKVTEEETVPLGAQEAMEKKMAATGAQEVMKDKMVKDRTEIHLTYKRRRLFHDD >Et_1B_010371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:79679:91525:-1 gene:Et_1B_010371 transcript:Et_1B_010371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARQRERERATNRDREQEREEEEEEGIRGDLSQGDVMATPRSSHHLSAAAAAAAVGVSYPPPLPPTPPSAAASPPAKQIVDSLLARFLPLARRRIETAQAQDGQYLRPSDPSYEQVLDSLAMVARHTPLPLLEALLRWRESESPKGAHDASTFQKKLAVECIFCSACIRFAEYCPQEGITEKLWIGLESFVFDWLINADRVVSQVDYPSLVDLRSLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRVDTPVARSETLSIINGMRYLKLGVKTEGGLNASVSFIAKANPLNRPPIKRKSELQHALCNMLSSILAPLTEGGKNHWPPLGVEPALSLWYDAVARIRVQLMYWMDKQSKHVAVGFPLVTLLLCLGDANTFNSNFSQHMEILYKYLKDKNHRSMALDCLHRLVKFYLNVYADYQPRNHVWDCLDSVTSQLLTVLKKGLLTQDAQHDKLVEFCGTLAQSNLDFAMNHMILELLKPDSLSEAKVVGLRALLEIVVSPSNQQIGLDVFQVFGIGHYVPKVKSAIESILRSCNKAYSLALLTSSKATIDNVTKDKSQGSLFRSVLKCIPYLIEEVGRNDKMTEIIPQHGISIDPGVREEAVQVLNRIVRYLPNRRFAVLKGMANFILKLPDEFPLLIQTSLGRLVELMRLWRLCLSEEVLGKDMQNVRRSSIGSDALQRSPFHRSRDMSEFRASEMDAVGLVFLSSADVQIRLTALELLRCIRALQNDLRDYSSNEWGDNKLKLEPEPIFIIDIIEENGEDIVQSCYWDPGRPYDLRREMDPIPLDVTLQSILESVDKSRWARYLSEIVKYAAELCPSSVVRRLEQITPVELGGKAQQSQDSETKLDQWLIYAMFACSCPPDNREGFALKAAREVFHMIFPSLRHGSEAYALAATSALGHSHLEVCEIMFGELASFLEDVSSETEAKPKWKQNPRPRREDLRTHVANIHRMIAEKVWPGMLSRKPVLRLHFLKFIEETYRQINMSLADSFQDLQPLRYALASVLRYLAPEFVDAKSERFDNRIRKRLFDLLISWSDDSGSTWGQESSSDYRREIERYKASQHTRSRESLDKLAFDRDMAEQLEAINWASMNAIASLLYGPCFDDNARKMTGRVILWINSLFMEPSPRAPFGHSPVDPRTPSYSKHTDGGRFGGRDKQKTSHFRVLLAKTALKNILQTNLDLFPACIDQCYSSDTPIADGYFTVLAEVYMRQEIPKCEIQRLVSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDTDGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEQLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFVRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDSEEPIRPGKVDVSANVVLEFSQGPTASQVATVVDSQPHMSPLLVRGSLDGAVRNVSGNLSWRTSAVTGRSVSGPLSPLAPEVSIPNPTTGRSGQLLPALMNMSGPLMGVRSSAGNLRSRHVSRDSGDYYFDTPNSTDDMLHQGGSGVHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVESSERENKHHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSASLLSALVQSMVGAIFFQGDLRETWGAEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLLRCIHRCLGNPVPAVLGFTMEILLTLQVMVENMEPEKVILYPQLFWGCVALMHTDFVHIYCQVLELFCRVIDRLTFRDRTTENVLLSSMPRDEFDVNGYANDLHRLESRTTSERLLSITETGKVPAFEGVQPLVLKGLMSTVSHGSAIEVLSRITIPTCDSIFGNPETRLLMHITGLLPWLGLQLTKEVPSLGSASPLQEQNQKAYYVASNISVWCRVKSLDDLAEVFRAYSYGEIISLEDLFARASPPICAEWFPKYSSLAFGHLLRLLERGPLDYQRVVLLMLKSLLQQTPVDPSQIPQVYNVVSQLVESTLCSEALNVLEALLRSCSGVTGGQSDEVGIGENGHGIGEKVIQSMLLPQSSFKARSGPLQYAAGSGFGSLMAQSGGSAADSGLVARDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANIG >Et_7B_053874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1323142:1327145:1 gene:Et_7B_053874 transcript:Et_7B_053874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAEAGAAAAAAARTLRWAGRAGHLAGLPRAAVFAAVGAFAKAHASTLNTTTVHNADALLRLVASRPPGTPLLTVSNHMSTVDDPLMWGFKGFPTTDAKLGRWVLTAEDICFKNVVMSYMFRLGKCIPITRGAGIYQDYMNEALELHSFPEGKIAQDHQPIRRIKWGTASLIVRAPVPPIVLPIVHSGFEKVMPEKSFFGRRPPVPLCNKEIGIIVGEPIEFDLAGLKQEATMVPHDSSFERKGWPTIMPDGLDEAAQRWLYQKMSDKIQSVMESLRKTLVNLKQH >Et_8A_056955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19482680:19484207:1 gene:Et_8A_056955 transcript:Et_8A_056955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLSSVAAPSLSKRSPIVRAQQQAPPSILSSSTRSAFHGLSLVDTRWATAAGHRRGGERRKLLQVNARTASKNIEVEVDKPLGLALGQKPGGGVVITSVESGGNAARAGLKAGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVERATHICLDCGYIYFLPKPFEEQPDDYGCPQCNASKKRFAKYDPETGKAIGGTLPPITVIVSLVIGIAGVGALLVYGLQ >Et_8A_056066.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:15559302:15559925:-1 gene:Et_8A_056066 transcript:Et_8A_056066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLALSFKVLSYEYIEETSTTRARASSARTWCTRRRPCSSTMASPSASRGSSSRPSLPAMTMAVRSSSPPTPMSAPPPGSGPPSSTTSSRHRGLDGGRPGAPTQRRGNPAGGVRGWEEARLWRRRHRVRGRRARRRHGVGASHRGALRHEALRRREEPAPGGVAGALRLAGRGQGVVPDVDALVEDGKKKVAEVAAAAGKGSCRGDR >Et_2A_018371.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24940545:24941981:1 gene:Et_2A_018371 transcript:Et_2A_018371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTSTSAVAAAAVVASLRGLPVPVLVPLVVSAVVFVATVLRRVLRRQRPVYLLNYSCHLPFPERQVNLEVCEYFGYKCRRYSDDTADFLRLIYRKSGLGQETFAPPFIFSGRFEKTLAYAVQEAEEGLFAVVGQLLAKSDVKPSDISVLVVACSMYSPMPSLASMIVRRFKMRDDVKAYSVAGMGCSAGTVGIDTAARSLRAAAAASGRRGGGKGGYALVVVTENTSLNWYFGENKHMLVTNCIFRVGSAAALVTDVPARRADARYELVRTLRTHHGADDAAFNAAVQMEDEEGNVGVALTKDLVRVAGAGLRSHITTLGPRVLPVTEMLRYAWRVARAYAAGNAKAAAAEVPDFQRAFDHMCIHSGGKAVIDAVARLMGFGPHVVEPARATLHRFGNTSSSLVFYELAYFEAKRRVRAGDRLWMLAFGTGFKACSNVWRALQDNGPDADNPWNGCVHRYPMPLPPPSKTHKPAPP >Et_3A_023476.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:297448:298179:-1 gene:Et_3A_023476 transcript:Et_3A_023476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQPRTGREAAVPRDRPLPRPPGSYRPQPQSPLPRPPPGPEILVPSPQGPPYLYAAADHAPRRRAASAFASCLAATAFLLLASGGAGAALFLLFRPRPPDIAVAAVRLPSFAAGNGTVAFTFQQTAVVRNPNRSPLAHFDSSLRVAYAGGELGYVYIPAGLIDGGRAKDMSASFDVPAFPAATQQLMQTAAAAVAPVIEVDSLLVVKGRVTMLRVLTHRVQAAKVCRVGVSPVDGRVLAFRC >Et_1B_011682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2492551:2495112:1 gene:Et_1B_011682 transcript:Et_1B_011682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAHIAGGMPEAGGASSSASSASSYGSASRRSRLNKGVHLRPRRRRVVFKGGGGNKGAGEGDVQDLALPLGMSFAAVLAQIYGDRFESFMRNFEKSFGSTLRTLHLINETPVYQQDIPQCSYRDANPVPEIKLSGADSQGRIHDVQKDISLNSMDNQIILHAGVNQQLVHLPRSRSTPEIDQHILSVFEKSLNEQTRSNELKELEIGLTMRKLQLKKSQLDLSSYSHMLEKIKISMGFEKASFKKEKLKTQMEDSRHAELIRKLIDMHLTAVVLMSVCFGYGTYTYSYQRITAVTAACTAASRESKSWWMPSSVSAFNSGLLFFRCHLIATTRISFGILMLLLIAWLIFQRSAMTGPNMPITFNVMLLGVLCGSVGRFCVDTLGGDGMVWLIFWEALCSIHLLGNICPSLLHRLLYGPISVTHRTKAVGLPYWSRRYIFFVLVSLILPCMAGLLPFASLSDWKEHAVQYVKSSLTRSDIEDDY >Et_3A_023183.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:6562681:6563209:1 gene:Et_3A_023183 transcript:Et_3A_023183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQAWGETDCHGEKVLVKEKCMKSITLEGDYVLPNEACVQAVKHSDMTCICGIIELDDELEISVSKFLRLARECHKPVPARTKYGSKCLEIPFLFLKRR >Et_2A_015554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14908194:14933033:-1 gene:Et_2A_015554 transcript:Et_2A_015554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQRLHYWLLLLAVHFLVSSGTATSGKVPAIIVFGDSTVDAGNNNFIPTIAKANFPPYGRDFDGGVATGRFCNGRLVTDFLSEAFGLKSSVPAYLDTSYTIDQFASGVTFASGGTGLDDLTAQTLSVIPLSQQLEYFKEYKEKLKEAKGESLANEIIAEALYIFSIGTNDFILNYLVLPVRPAQYTALEYVTYLVGLADAAIRDLYDLGARKIGFAGLGPFGCIPSARMLNHNEPGECSEEYNQLARRFNAELKDALRKLNGDLTGSKVVYAETYSAVASIIANPSKYGFENVVQGCCGTGLIETSVLCGIDQAFTCQDGNRYVFFDSVHPSEGIYKIAAHEILNTALQLQVTMFSQRLNYWLLLLAVHFLASSGTATSGKVPAIIVFGDSTVDAGNNNFIPTIAKGNFPPYGRDFDGGVATGRFSNGRLVTDFFSEAFGLKSSVPAYLDPSFTIDQFASGVTFASGGTGLDYLTAEIPSVIPLSQQLEYFKGYKEKLKEAKGESLANEIIAEALYIFSIGTNDFIVNYFVFPIRPAQYTAPEYVTYLIGLGDAAIRDLYDLGARKIAFSGLAPFGCIPSARTLNHDEPGECNEEYNQLARRFNDELKEVLRKLNGDLAGAQVVYTETYSVVDSIVANPSEYGFENVVQGCCGTGLIETSILCGMDQAFTCQDASTYAFFDSVHPSEVTYRMVANEILNTALQVPAIIVFGDSSVDTGNNNFIPTIARSNFWPYGRDFADGLPTGRFSNGRLATDFISEAFGLPPSIPAYLDTNCSIDQLATGVSFASAATGLDNATAGVLSVITLSEQLAYFKEYTDRLRVAKGESAAAEIIGEALYIWSIGTNDFIENYYNLPRRRMEYTVSEYQSYLLGLAEAAIRRVHGLGGRKMDFTGITPMGCLPAERIGNRGDPGECNQEYNAVARSFNGKLRDLVARLNKELPGLQLVFADTYDLLAAVVDKPADYGFDNAVQGCCGTGLFEAGYFCSLSTSLLCTNANKYVFFDAIHPTEKMYKLLADNVINSTLHVFM >Et_3A_027336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:829351:832052:-1 gene:Et_3A_027336 transcript:Et_3A_027336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSTWTAGLGVDASFERRLLEARMMNEHGSLMVFSFAQIKASTKGFSEKLGEGGFGSVFKGTVPVSTTVAVKMLKGFGQGDKQFRTEVQTIGMIQHTNLVRLLGFCSDEDRRLLVYEYMLSWELRYRIALGTTRGLAYLHEECEDCIVHCDIKPDNILVDEQFCPKIADFGMAKLLGRDFSRVLTTMRGTIGYLAPEWLSGIPITNKADVYSYGMMLLEIISGRRNSEKIREGKFTYFPIYAAVKLNEGDVMCLLDRSLEGNADAEQLNRACRVACWCIQDAEYHRPMMGQVVRMLEGVLDVEVPPIPRSLQNYVGMEDSYSEDLESF >Et_8A_057648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5703165:5707366:1 gene:Et_8A_057648 transcript:Et_8A_057648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHGGRELASLIGTSPLIEVLKLVNVQLIGDNPEDEWVIRAPNLRELTVGGYFPYGGRMEHLPSLHSAVLNGYNNAKFLMGMAQVTHLHFGTNVNWVSTPVLSCLTFFVTFTLCLPILFGPYDFRTLVFFSQLTEVDVLDRLPFLFKNLRFLNVSVDFTKMAPILSFFCLLRSAPVLEELHVLGLSGCNGTQEFSADDDFLNAQWADSMFAKRRAVKMKDFVCLCNEMHLMEFILSKARVLRVLSIIFAPDALCIDKATTAIKVYPRASPDAQVIFLGRESAIILIHFYQITELGLIIEFSDSINTLIENAEAEERQTTRRHDSVDTPIENAQVEEPLTASSERGSFSINTFTENAGVDETQETGSGYDSIDMTPENAELEGTRATGSRHDSVDTYTENAESAEEQAAGNGCGSINTFTGNAVVDETQTTSSGHDSMDTSSENAEGEEAQAAGSGLGCVNTFTENTQMDETQTSSGFVCYDRLDLQSVELLEQLKVDKLGLQRQIEQLEVDKLEQLEERIQAKSGTGETPGISGAADDHAVSPEHS >Et_3A_026400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7776222:7778006:1 gene:Et_3A_026400 transcript:Et_3A_026400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNAAKAGGRVFARRGVGLGGRAPSSALSTAATTPQLISHYLAHQPRTTWEALSAAFPSAATAAPHGHVDAVLLSLARHPHASPEPVAKNALTFFHWSTAAASSSPSPSSSSYHHSLRSYCLLVHLLSRAALFRDASVLLESAIAKHSSSPASSFLDAFFAAYEDSGTAATTRGLHLLVHAYARLRLPAEALEACRYLAQRGVVPSSSAFNSALHAAQGAGAFRVAWEVFELMTLKRVYANQSTVELVIGVLSREGKLARTAALVGRIHGKKCAPGIVTHVALALRMIEEERVEQAILLLKRLLQRNFLLDDIAYSLIVHAYCRTGDLKSAFEQRDDMVEEGMKYFQDMLRDGFVPDLTNCNEMLEGLCNAGEVHNANNLLTAVMDKGLVPDQDTYKRLIDGYDKVGDARGIVKIYHEMEHKGLNPGVDVYAKLIRCLCQCGNPVEADKFLDVMKKKAVAPTSDLYDMLISGYCEKGNTNRALWLYDKMMTDNENLVPSADTFMMLARRVIKVKIAGSHNSGPCNPLKARATGY >Et_10B_004165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16999314:16999725:-1 gene:Et_10B_004165 transcript:Et_10B_004165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSGLGQAATVAQFAGVDASGLISKIIEAVRTVKRNEKECKDLARRVELVGGLLQIEPQDSKMMENPAIRNALEGLEETLQGAYKLAMSCQKSSIWKRFFVGQHMADRFRETLLII >Et_3A_025737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32356478:32356858:1 gene:Et_3A_025737 transcript:Et_3A_025737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCELGGKLCYLHSATPWDAAIWLAENGPELAWSLRCHISLPVPRLRCFICPSPPADQDKCLVKCDLRDGSIKEVISMSDMLYDDRKGNTFRTGQLPVLLTTCSPAWNRCCVSDHANND >Et_4A_033878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27601899:27609678:1 gene:Et_4A_033878 transcript:Et_4A_033878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCILLPETRKGFIRLSVLILVSRLVLAALVALAAAAAGVTAQLRPDYYAAGCDASVILVSTGNNTAEKEHPSNLSLAGDGFDTVIRAKAAVDAVPQCTNQVSCADILTMATRDVVALAGGPSYAVELGRLDGLSSTSASVNGKLPPPSANLDDLTTLFAANNLSQTDMIALSAAHTVGFAHCNTFSSRIQPASVDPSLDASYAAELQAACPAGGRGLFASDQVLFNDDRSRPTVVTWSQNSSAFEEAFVAAMTNLGRVGVKTDPSQGNIRRDCAFLNN >Et_4A_034477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3630202:3634498:1 gene:Et_4A_034477 transcript:Et_4A_034477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIRSTKCPLALLGVAAALVVLVSAVHVFMIPIFPSSLNYSGASSGITRPRNMLPGVRVVNSRLRGQFPSDSYGAVTFRGAPWKPEIGRWLAGCHANSSSVNVTEDIGAKRCEKGCSGRGVCNYELGECRCFHGYSGKGCEEVMKLECNLPSSPEWPAGRWIVSMCAAHCDTTRAMCFCGPGTKYPDRPVAEACGFETIPPTKPDGPKLTDWKKPDLENIFTTNSSKPGWCNVVPEDAYSSKTKFKKECDCKYDGLWGQFCETRVECSCINQCSGHGYCRGGFCQCDSGYFGIDCSIPSAYSLANDWPSWLQPPMKLSDLKSSSKGPTDVKVIVQKKRPLIYVYDLPAEFDSHLLEGRHFKLQCVNRIYDDKNRTIWFPQLYSAQMALYESILASPHRTLNGDEADYFYVPVLDSCLITRSDDSPHLLMPRDLRLRSYHTLEYYRMAYDHIAQRYPYWNRTSGRDHIWFFSWDEGACYAPREIWNSMMLAHWGNTNTKHMNSTTAYWADNWDHIPLDRRGSHPCFDPRKDLVLPAWKEPNPGAIWLKLWARPRINRTTLFYFNGNLGPAFEGGRPEDTYSMGIRQKLAAEFSSTPNKQGNLGRQHTTNVTVTNLRTEKYYEELANSIFCGVLPGDGWSGRMEDSMLQGCIPVIIQDGIFLPYENVLNYNSFAVRIREDDIPDLIRTLQGINETQVDFMLGNVRRMWQRFFYRDSILLEARRQKRLYSEEAPWSVEVSKLDADDDAFATFIQVLHYKLYNDPWRQDLLQTKETGLPDICSKAS >Et_3A_024009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1621982:1622654:-1 gene:Et_3A_024009 transcript:Et_3A_024009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASDCLDFSQKFLFPLSSSMQMKAEPGEDPTNERISDTSKHEPFEDWQDIGVSRMHPRLVFRSSSCRK >Et_3B_027498.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:22705197:22706980:1 gene:Et_3B_027498 transcript:Et_3B_027498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YAHVEPTREIRQPRVPLPPSLPCDVAFPSPPKSPQTLPPIRAQIAMAAPNSNDAANASASASAPAGEEDTSIEALARRVQEHMTLTSNPTARRHKFWETQPVGQFRDAADTSLPDGPIEPPTPLSEVRADPYPLPGAFEWLTCDLDDDALLADLYSLLAHNYVEDDENMFRFNYSPAFLRWALKPPSFFRAWHIGVRAKESKKLVAFISGVPARIRARDDVVRMAEINFLCVHKKLRSKRLAPVLIREVTRRVHQENMWQAAYTAGVVLPTPITTCRYWHRSLNPKKLIDVGFSRLGPRMTMSRTVRLYKLPDAPLTPGFRRMEFRDVAAVTRLLRAYLAKFVVAPDFDELDVEHWLLPREDVVDSYLVESPETHEVTDFCSFYTLPSSVLNNANYSTLKAAYSYYNVSTKTPLQQLMNDALIVAKQKNYDVFNALDVMENESFLKELKFGPGDGQLHYYLYNYRIRNGIKPSELGLVLL >Et_1A_009361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40437252:40439157:1 gene:Et_1A_009361 transcript:Et_1A_009361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVAHMDEWRPVMVMLVFNLISAVMIALVKKALQEGLNTLVLITLRQLVATVFLAPIAYFKERNTRPELTVEIFVYHFFSALLGAGLSQYTFFYGLKFTTATFAITFANMAPVITFLIAIVLRLESLNMKSKAGASKILGTFMSFGGVMLLSLYKGMAVTHQSTGAAALRSDHQTTAASSQGNKDMKRWMLGTIALLANCLCFSFWLLLQTRVTEKYPALYSSTAFMFFISTLQAGALTGIMASGVGYLIMTWCVRKRGPVFTAAFIPINQIMVAIIDFFLLHEQIYLGSVLGSLLMIFGLYLLLWGKKKDASVPACCTTVKQEVDEEADGKETQIAKS >Et_7B_054802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4542762:4546853:-1 gene:Et_7B_054802 transcript:Et_7B_054802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMKVFDQTVREIKREVNLKVLKVPEIEQKVLDATSDEPWGPHGAALSELANATKKFAECQMVMNVLWTRLSERGSKWRHVYKALTIIEYLIANGSERAVDDILDHYSKISVLSSFEYVEPNGKDSGINVRKKVETIVGIINDKERIKAVRDKAASNRDKYIGLSSTGITYKSSSASFGSNYSSGERYGSFSGTRESDSFGDSYKDKETVKTSSSHSSQKSGSKLRKDRRNEDSSSPSSLKPPSNTSNTEDDFDDFDPRGSTSNGKANAKTSELDLFGPNLMDDFIDASATTTAANGTVEAQVDLFADADFQSATTSTETAAHPDGKGNVDLFAEKPAFTAAFPSQTGFIPPPSSGTSSNMNTTTPKITTPEPFDPFGAIPLNGFDGSDPFGDFSSNVGSSTAPPPSQSSAVNISTSSQNLHAASDFGAFVSNNDDTAKDPFDLSSSSNIGKTPLAAQNDASDFGAFVSHTEEAAKDPFDLSSNSNFGKTDPTPLAAPKPNTKKENFQVKSGIWADSLSRGLIDLNITAPKKVNLADIGIVGGLGDASDEKAQPSWTMGSGSGLGVSGIPSSTQTGGIESLANYNKHQFGFK >Et_5A_042663.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24722060:24722563:1 gene:Et_5A_042663 transcript:Et_5A_042663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSSSSSMPVLKQKRGGSGLQGHRPQPLSLPTTSAAARPSKKPRVSDSRPGPVIIYEHTPKAVHVRPEEFMAVVQRLTGQQQPDHLQTETAPPPVMSTTTLPEEETATDADAVALKLWETKEQPVDYLSVVLPSPGPGSAGFLLSPGIFLFSPATMQAIHELIS >Et_7B_054852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4976874:4979996:-1 gene:Et_7B_054852 transcript:Et_7B_054852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGATATKLHLSSAAAGRRPSLLHLAAVAVLCSVSYLIGIWHHGGFSASPAAGAGSSVSIATAVSCATPTPSGAAVSTLSSAPLDFAAHHTAEGMEAAEAAPRRRAFEACPAEFSEYTPCEDVKRSLRFPRDRLIYRERHCPTTDAERLRCLVPAPKGYRNPFPWPVSRDVAWFANVPHKELTVEKAVQNWIRVDGDKFRFPGGGTMFPHGAGAYIDDIGKLIPLHDGSIRTALDTGCGVASWGAYLLSRNILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLPYPARSFDMAHCSRCLIPWQLDDGLYLIEVDRVLRPGGYWILSGPPINWKRHYKGWARTKEDLNAEQQAIEAVAKSLCWKKLKEDGDIAIWQKPTNHVHCKVSRKVIKSPPFCSDKNPDAAWYDKMEACISPLPEVRDIKEVAGGELKKWPERLTAVPPRIASGSIEGVTEELFVQDTKLWKKRVGHYKSVISQFGQKGRYRNLLDMNAHFGGFAAALVNDPLWVMNMVPTVGNSTTLGVIYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYKGRCEMEDILLEMDRILRPEGTVIIRDDVDLLVKIKSIADGMRWNSQIVDHEDGPLVREKLLLVVKTYWTLEDEKQ >Et_9A_063576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7915368:7917115:1 gene:Et_9A_063576 transcript:Et_9A_063576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVRKGAHGRAAPWPNDAPESRCRRSARRWRPRPMVAPASASSRQPHASSPNPVPVRSGGFRLLAKSKFSFQTNFDSWSRISIEGVFGGLMRKKIPRVPSREGTVSTAGQGLLARIMMHSFLRAHLS >Et_2B_020260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18460025:18463582:-1 gene:Et_2B_020260 transcript:Et_2B_020260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGDCGLDFRGVMDEEEVVSAPEGPMRRRRRRWGEEADDGYSPSSTGGGGSSCCGSFDCDSPLAGFVRPDGDPDTDLEADGLATTSSNASAAYTEQQDEEEVLSGVKEEEWARVQEPAKNLAGRATPECQNHRYRPETARLLSRKESKQRTTSLDLGSPGFNGASFSPSFVVGGVGLMNKGFLSSRIRSDVFHSPGTPNYPRHRSSVLGCQKGWSSERVPLPSKGNRRYPGSSMAFPYTNGRALPSKWEDAERWIFSPNSSDALGRTSAAHARRPKSKSGPLGPPGRLGGQYSSVSSSMPLLDSGRVGPFTANSPFVAGVLMPEHVCGGKNTNGTYPTRAAADETSVGSGGRFCPANGGSHAIRSTRVRRRLDIAAESSASLPTTQESIQDEKVDSANTIVPIVSRKDAATQTSPELSRSSSPNTRPPFTRSLSTQQVKESGDCFSDVEIRDVQMDDRVTLTRWSKKNVARSSHKNLTNIVDWKEKTVESDSSSWDFTEAKCVSKVGREDAKITAWDSLQKAKAEATIQKLVIKLEKKRSSSLDKIFNTLRSGQRKTQIPHDHDATAKQDEKASRKTKKTAQLSKNGQISSLSGCFTCHAF >Et_2A_014996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25355851:25357248:1 gene:Et_2A_014996 transcript:Et_2A_014996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRLLPLFLRRGSGTRALSTATAAAEPPPPASTEEDESVVVKGVRISGRPLYMDMQATTPVDPRVLDAMLPFYLHRYGNPHSRTHLYGWESDAAVEEARARVASLVGADPREIFFTSGATECNNIAVKGVARFYRDRRRHVITTQTEHKCVLDSCRYLQQEGFDVTYLPVRSDGLVDLAQLEEAIRPDTALVSVMAVNNEIGVVQPLEEIGRICKEKGVPFHTDAAQALGKIPIDVNRMGIGLMSLSAHKIYGPKGVGALYLRRRPRIRVEPQMSGGGQERGIRSGTVPTPLVVGFGAACEIAAKEMDYDHRRAAALQQRLLDGIRAQVDEVVINGSMEHRYPGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRFGIGRFTTEEEVDRAIELTVHQVKKLRDMSPLYEMAKAGIDLKSIEWSQH >Et_1B_009979.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:16469039:16469293:1 gene:Et_1B_009979 transcript:Et_1B_009979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSDDTLVDWWVQSRKTVAKAAGKCFDTLVVAMVWMIWCQRNSRIVNRESILPARLIGYIQNEGRCWIQAGNKEMSRFILDNG >Et_8A_057810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7503813:7505920:-1 gene:Et_8A_057810 transcript:Et_8A_057810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YYDNSCSSERPKLPLLLPPPRRACVTHHTQNRVEQTPWPPLPSPFLPRGLRLGFLPSQTLAPRAAMAKTKQGKKDVDSYTIRGTNKVVRVGDCVLMRPSDSDNQPYVARVERMEADGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDMQSAHTIEDKCIVHSFKNYTKLDNVGPEDFFCRFEYKPASGSFTPDRVAVYCKCEMPYNPDDLMVQCDDCKDWFHPSCMHMGIEQARKLDHFVCSDCKKDTGSKRLPNAFDISPNSEPKVC >Et_5B_043574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1231860:1234572:-1 gene:Et_5B_043574 transcript:Et_5B_043574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLRFRHPPLPLKLAFAISLAVSFSATRLRSCLRFLTPARPTAPRVPGSWRWGSRKVLLEGSDAAEADEMVMWPPAPVMDLARLAVDSGGDPGAIHRALDPTMLPVPDVEGSLKNKCQLTRTPYGRRFANEEINSYFAFLFELIVARGPSVGLNVSLSRFDLFHGHLFLASETGRLGILFHAKEYPAFDKELFPYSLGYCQAGSNVPYDDSMNLRNILWLAPLPSNQTKAWLAPGALVVLDAHPDGIIYQEMIRDYVQVVRTVYEDDFGENTVDVNYLNAANAAPCGYD >Et_1A_007124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31048749:31049397:-1 gene:Et_1A_007124 transcript:Et_1A_007124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSVTLWKEKLYADGGGRTVRREVAGVNGGGCEYEILGGDVTKVEEKVVVVVGAPAAALPMGARRGSEGVAVSGGTPSRPIWQRKVLMGVRCQLPRFSGMILYDESGRPVCSGVRDRARDQVSASRLCCLACSDHRDCIIRLITRAVTDLYIWGHEKHAAAISVLRDML >Et_3A_025152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27351316:27354951:-1 gene:Et_3A_025152 transcript:Et_3A_025152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVSSSLKMNGSSDFQGHHVGGEKEVHVSRPTLNNGPKHRPLTPMRRVRGVACVTIILSTAFLLIVYLAPITTFAVRLFSIHYSRKMTSFLFGLWLSLWPFLFEKINKTKLVFSGETLPPKRRVLLFANHRTEVDWMYLWDLALRKGHLGYIKYILKSSLMKLPIFSWAFHIFEFIPVERKWEIDEAIIQNKLSKFRNPKDPIWLAVFPEGTDYTEKKCIKSQEYASEHGLPKLENVLLPKTKGFICCLQELRTSLEAVYDVTIAYKHRLPDFLDNVYGVDPSEVHIHIRTVQLCDIPTSEDEITEWMIERFRQKDQLLSDFFVKGHFPDEGTEGDLSTPKCLANFFIIVSLTGICLYLTFFSSMWFKVYVVASCAYLSFVTYYSIQPPQLIGSPESHDLHAKKAL >Et_9B_065158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21678556:21681356:-1 gene:Et_9B_065158 transcript:Et_9B_065158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALLLRRLLPFSPAAASPSRAVSSYTYSSRRASHSTAASTTAAAVQPEAAGAAQAGAAEAGTGEQGASAPPPAARSRWGLLKFGALAAVSAALGGVGYVSYAYSLDEVEEKTREFRKKPAPTLPEDASEFEKFKAKAYSTAMKVPVAAIELYLDVRSTIEDHVQGFTEPTSDKLLPDLLPEEKHVFTLVLDLNETLVYSDWQRERGWRTFKRPGVDAFVEHMAQYYEVVVFSDQPPMYVDPVLDRLDTKGYIRFRLSRPATKYKDGKHFRDLSKLNRNPAQVIYISAHCFDSCLQPENCVQVRPWKLENDDTQLVDLIPFLEFLAMARPSDVRNVLASYQGHDVAKEFLERSKEHQR >Et_1B_010588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11981204:11983285:-1 gene:Et_1B_010588 transcript:Et_1B_010588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSPLKQSPTPPHPKEAAMSAAPAPPQPGSPSAAAGGGDKVLAAAQHIVKTLATSKNAADDMIRILSGFDNRLSSITSDLFPSPDPSASEASSSSEISAAAAAFDAADQLIQLWDASPEALVFEAAEDDVAQYLAAVDVAVDHLSRGGAGAARAGVAVQLAMARLEEELRHLMVRHAVPIDPTGLFFSLRRLSLESMDDLDASPEFDAATPHSLQGDPETARGGALVGNNPFADDQVFDPVRPEAVDDLRAIADRMARAGYARELADAYCAVRRDLLDEYLSVLGVERLSIDEVQRVEWKLLNDKMKKWVHGVKTVVRVLLAGERRLCDQVLSVSEQLREECFLESTKGCIMQILNFGDAVAVCPRSPEKVPRLLDMYEALAEVIPEMKDLCLGSSGDGVISDVRTILDRLGDAVRGNLFEFGKVLQQETSRKAMMAGEIHPMTRYVMNYLRLLVVYSETLDVLLDDDSNDHNPSLSSEDQDEEHLESLTPLGRRLLKLISYLEVNLEEKSKLYEDASLECIFAMNNLLYIVQKVKGSELGKILGDRWVKRRSAYRAFLGRYGSQVDGGRYPGKYIKYTPEDLEDQLSDLFEGSPGSANNSRRRT >Et_6B_049258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2375291:2376084:-1 gene:Et_6B_049258 transcript:Et_6B_049258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSLLCYLLLVCLLVVCTLSLPCTAATGSAGVGGNLTFGFTRVNLRESQFVVQKPWNVPLDQRYEFAGGVRRMWVFSTDKPGSPTHPGGARTEIKINEIYSSGVWQFEGDMYVPSGTSGASVMQIFGAAKQATTLMLHVYNGSLTYYHELSRVLADDVYDRWHRLNVIHYVAAANVTVFVNGAPRLRVRGHGGTTHYFKFGVYKQSHHQPSHRMESWWKNVAVYTKP >Et_4A_033693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25947271:25947699:1 gene:Et_4A_033693 transcript:Et_4A_033693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVATASRGMRALAVLGRCVRAPFRVLVRARDLYVSRMSACAGGGGGPSHGFYRSAAGGDDDVRELVRARPPAGVGPRSRSVAVGRIDEDRPCEFGVDDGVGLGALGPRSKSCAVGPTARRTAARRVGVAAY >Et_1B_013801.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17255928:17257442:-1 gene:Et_1B_013801 transcript:Et_1B_013801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPLDAAAVRPLDPEALAHDSRAVLGFLGEYYGDVDKYPVRAADLEPGRLRALLPDAAPESGEPMERVLEDVRRDILPGLTHWQSPSFFAYFPMNASAAGFAGEMLSAGLNVVPFVWAASPAAAELEGVVVDWMGKLLGLPRRLLFSGGGGGVLQGSTCEAVVCTLAAARDRALGEGGHEAISRLVVYASDQTHVTFQKGARLVGIPPSNFRVVATSPASGHGLTGDAVLAAVERDVARGLVPMYLCATVGTTGIGAVDPVRELGEVARRHGMWLHVDAAYAGSAAVCPEFRSHLDGAELADSVSMNPHKWFLTNTDCCCLWVASPSDLTSALSTDPEYLKNVDGTAKPAAIDYKDWQIALSRRFRAIKLWVVLRRYGAAGLRAHIRRHVAAAKWFERAVESDERFEVVAPRKFSLVCFRLRRPSCWSFQLPGDDDAANDVNRDLLVAVNASGRAFMTHFVLEGKFVIRLAVGGAATEMRHVRDVWELLQRMADDVLQRYQS >Et_8B_059895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3845512:3847515:-1 gene:Et_8B_059895 transcript:Et_8B_059895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GKPAADHTVDPAPAKAKETASNDTPDLSATKEILDEQKPTHRRQESSASMLDKGPSSVCSDSGVLDGILTPEGDSEELKVTQNSNVSGNQEQKTSQKSSTSESFATAKVSGGTNSLRKTSGSGKISDRADFTESGKSSMCRPSMSSNISDESSCSSRSSSTTKPHKGSDSRWEAIRVIRSRDGSLGLSHFRLLKKLGCGDIGSVYLSELNGTKSYFAMKVMDKGSLASRKKLLRTQTELEILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKRFSEQAANRLEMLIMISLRFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTVVRSTNPGPDALQRNNQAYCVQPACIEPSCIQPSCVAPTTCFGPRFFSKSKEKKPKPKPKPEIVNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDLIRGLLVKDPQHRLGYKRGTTEIKQHPFFEGVNWALIRCASPPEVPKPVELERPPKPAPANDKVVPGANQKGPDSYLEFEFF >Et_3B_031614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6039274:6040292:1 gene:Et_3B_031614 transcript:Et_3B_031614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLQDGGGATPKLHQPLHQPAFEFEGTMKGALPGFTAISTAITLAVSKPPSWLNKDAYFFALSGAFFAGVTQVTATACADDGNGGRRHAAGKLVYASFVIAGSLVLASMLWMTRVSIFYREILI >Et_1A_008942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2014907:2017399:-1 gene:Et_1A_008942 transcript:Et_1A_008942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLAPLLLCSLLHLLLMTTPAAQASTANRRQFPPYARNATVYDVSASLCPGCGAWAESLEFLYYHNLVRLARWELPLAWSPRLESYARWWAAQRRGDCALRHSFPEGQFALGENVFWGGAGAEWRPGEAVKDWAAEGVDYSYAANACTPGRECGHYTQIVWRATTSVGCARVVCDDGGVFMTCNYYPPGNVVGERPLCLSQSVFGCRSVFTVSVQSSFLLGAQLLVFPPDSGGIRSANSEGLLPLDVALRLVVAGHERGPVVAVDNGAADLLGPLPHHLLVVAALLARRARVGVSFSAAHAATASLGSQVPPGEPTNTFTPGAGDAAAQLALYCDAHCSALSASSWVYLSGRWKWHARMCACSTAKSCAGLASSSRRDTASEPGEQDKRTTPTRTMSLLVLEVQSMVEKSVLFPSYLGVIRFCG >Et_1B_010065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21664969:21665460:-1 gene:Et_1B_010065 transcript:Et_1B_010065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPRLGGRFAVWSGLYSAVDCGLVYARGREDPWNAIAAGGGLLTLRRGLLASGGNALVGGALLALMEGSGIVLQRLMGPGREIPAGFPPQVEVQGELPAPEWSGPTGWFTGLFRRKQQEEKVATTKSEVLELELPGMPVPTFDYK >Et_2B_020168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17486610:17495200:-1 gene:Et_2B_020168 transcript:Et_2B_020168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPLVVQATAARGDVRFISFSSEKSPCSSTCSSSYVVYLGGHSHGREGAALASIRECARRSHYALLGSVLRSEERARDAIFYSYTRYINGFAATLEDDEAAEISKHPRVVSVFPNRGHRLHTTRSWEFLGMEKDGRVRAGSLWAKARFGQGVVIGNLDTGVWPEAGSFSDDGMGPAPAGWRGICQDQQASDDAQKCVEGARCTPACRKLIGARYFNKGYLATVGQQSHPASTRDTDGHGTHTLSTAAGRFVPGANLFGYGNGTAKGGAPGAHVAAYKVCWRPVNGSECFDADIIAAFDAAIHDGVHVLSVSLGGSPVDYFRDGVAIGSFHAARHGVTVICSAGNSGPAAGTVSNTAPWLVTVGASTMDREFPAYLVLGNNKRIKGQSLSPVRLHSGDKYYRLISSEEAKAANATGNQAKLCIEGSLDKAKVKGKIVVCIRGKNARVEKGEEVRRAGGIGLVLANDEASGNEMIADAHVLPATHITYSDGLTLSASAYITVPTTALDTKPAPLMAAFSSQGPNTVTPQILKPDITAPGVSILAAFTGLAGPTGLAFDDRRVLFNSESGTSMSCPHIAGVAGLLKALHPDWSPAAIKSAIMTTARVQDNMRKPMSSSSFLRATPFGYGAGHVRPNRAADPGLVYDANATDYLGFLCALGYNASTIATFTAGAGPRQPRACPAAPSPRPEDLNYPSVAVPHLSPTGAERAVARRVRNVGAGPAEYVARVAAPRGVAVEVRPSRLEFAAPGEEREFTVAFRARKGFFLPGEYVFGRLVWSDGAGGHRVRSPLVVRVGDTRKKKKGGLSVA >Et_9A_061664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1565989:1567487:-1 gene:Et_9A_061664 transcript:Et_9A_061664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDAAQSSRTAAVDDADRIRHLVCTEPAEWVAIGPCGRREVCVKCAVRMRFFNKNLRCSICRAFCPTVVITKAVVVADEHDVCFSRPSTAFGGAGRVGVYFWFHGGAKAYFDNQEQYEAVRKIQQQRGAVNGDETRRIMRQCLAGGDGDRWRTAERDGAVYSAHAAQCEARASTVAALACSPTEWSSRGAQRHSRSAARSAGRSAPHARTRSVELAVLSV >Et_1A_006392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21801078:21808933:-1 gene:Et_1A_006392 transcript:Et_1A_006392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTESGYTSSASCLWAVHFFSVCFTLTWLELILQFDMASESENGSCSAWAARDPSGILSPYKFNRRAVQNNDVSLRITHCGVCYADVNWTRNMHNDSIYPLVPGHEIAGVVTDVGSDVKGFKVGDHVGVGTYVNSCRRCENCNSSMENHCPKTVYTFNMIDSDGSVTMGGYSTHIVVHERYCFKIPDGYPLEKAAPLLCAGITVYTPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLTVTVFSTSESKREEAINLLGADNFVISSNTEQMESLKSSLHFIVDTAAGDHPFDPYLSLLKVGGVMAIVSFPSEIKVHPASLSLGARTLSGSLVGGTKDTQEMVNFCAANKIYPEIEIIKMDYINEALQRLVDRDVKYRFVIDIENSFNSLDMASESENGSCSGWAARDPSGILSPYKFNRRAVQSDDVSLRITHCGVCFADVVWTRNMHGDSIYPLVPGHEIAGVVTEVGANVSGFKVGDNVGVGTYVNSCQDCENCHSFLENYCPKSVFTFNRVDKDGTITKGGYSTHIVVPERYCFKIPDGYPLEKAAPLLCAGITVYTPMMRHNMDQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSESKRDEAINHLGADNFVMSSDTQQMESLKSSLHFIVDTAAGDHPFDPYLSLLKVGGVMAIVGFPSEIKMHPASLNLGARTLSGSIVGGTKDIQEMVNFCAANKIYPEIEIIKMDYINEALQRLVNRDVKYRF >Et_1B_011495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22944643:22950883:1 gene:Et_1B_011495 transcript:Et_1B_011495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRTTACLVFPLAHCCHGDKNFLDVLLDYRGTEDGRGFERQTLLSLLSVVHASRLCAMQYIHLITVLLNHLGPVIFHSGLVQCRDGYNFSDGGMGDGRAAAEPLNHGSFHEETTSSSSIFSGSQP >Et_3B_031058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1333638:1336064:1 gene:Et_3B_031058 transcript:Et_3B_031058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAVVFSEGLRFFKVPTWVLTQLSLDQEDVGVETVPADCTDHFNSWSSDSVDLFAANGPYDQDAIARIAILKTPKEEAIRFRMPYEAASASSEEETTGNENLHVSVQGRIQCKTNLLVKSVIQTDTCEVCCQAAETPDHLIFDGSIAKSFWNSVGVQTTCAALWNIWKHRHGVVFRENNPHLDASSKHANLTLNFGSLGYQKLT >Et_9A_062857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5944683:5947287:-1 gene:Et_9A_062857 transcript:Et_9A_062857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARGAAGALLRLARCSAGVDRNGSAPLARAAFSRGFLDLHKMGSKEAIEKEKARLTDEMSRGYFADIAEIRKNSGKIAEASKVIIPEDDAVKFPDLAVESPNGGSLCLPLVAPSPEGDDREAGSTEVPDASLVCLSFRASSQKMTESWSLPFLDAFGASKNIPIYEVSFIDSWLLSSSPVRQVFLKVMRKSNNPQRHVVYAFGDHYDFRKKLQILNLLTGYIYLVDRLGRIRWQGFGSATQEELSSLTACTSILLAEK >Et_1B_013833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19271661:19274432:1 gene:Et_1B_013833 transcript:Et_1B_013833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRNRMKSVKNIQKITKAMKMVAASKLRAVQIRTENSRGLWQPFTALLGDAPSVDVKKNVILAITSDKGLCGGINSTSVKVSKALQKLTSGPEKESKYVILGEKGKVQLIRDSKQNIEMTVSELQKNPINYTQIAVLADDILKNVEFDALRVVFNKFHSVISFKPTMTTILSPEAMEKESESGGKVGDLDSYEIEGGETKSEILQNLTEFQFSCVLYNGALENACSELGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELTEIISGASALEG >Et_8B_060856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9289165:9294798:-1 gene:Et_8B_060856 transcript:Et_8B_060856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKEWRAGRAASVERGASSAGKAQRVGGASPTAPCERKTGGERRSWDRPVENPQKAIYSKQKQNPARSRAKEARRRGGREPWVFLCVFGVRGALLLWLYRGGENGACQNLLAYLLDVPGILHTICFVPSDSPFLRRKAHRQPTGSKTPLYDPIPEFRYRLIDLTGVGFLLGAGGGSAFHFIRGLRGSPSGAGLVGGLRAVCTNGPRVAGKWGACLAVLCALETAMPLARRREESEDPWNSIAAAAATGSLFGMRRGAPAAARSALVGATLVAGLMCASWAIDIHEARAPAPATRSCWTSARQPVAVAQLELSGLRRTTAGNLIPVRTAFYVAYLQEEEGRQWRRGVGSAALEIESMVASASKGPWQPLPIRPNGWQLEKTETDAISTDTAWASRQ >Et_7B_055655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:217917:221960:-1 gene:Et_7B_055655 transcript:Et_7B_055655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRSRTRCRDPPPPPSGGGGRHRRRSPPPPPSPRHQRRPPQPQRRSPDRAPPPRRQEEIPTPTIPDPGAADRRSRADILLEAGRLAAHYLVAQGVIPDHLLRAREDPNHTPAPRPGPPAPASYGRKRDEDDDPRWRRSGDWGRAKGDDDRQPRRSGWDRRSHSFDDRRKYSAAAAADVARRTHDYDEPKRPPMSRSYSHNDRRASGDGRGDPRRRSRSRSRSRSRTRTRSYHHGGSRRDSDGRPTSRDLDHTKPPDSAINPADAEVPRKPKVPSSAVVAEVNHSADRPMGTQDGEMESEMVGLDHPQDVSEEDGEFAEDISEEEDGEFAEDVSEEEDGEFAVDGFNDEDGAEMDVTERQLSDVDVPPSESIEEPVHMQSQLSNAEVEMEAGAASMDDACVVEPPAAKDGCSEMEAPQSEIEAGVGDLNRDEQELPAWYGIFDLNVVETPEGFEMPVISGESPADHVSNSAPDLSGQMNQQAKYGSSEIQGQDENAVGNDLLKCGPDLSKYDLNNVADGHGQEDTSNIQGQDEDAGDDLVEDEQDLSKCDLNHIADAHTEDNHLLNNEEILLSRGMAVEDSDDCRLSNEQMLLKQNADEEDPKDHHMENEQMLVNQGTPGQVLDTHYMTDEQLMLSDVMDEQLVNNHHMQPEPTPLPMGVHDADSNDLKSEQMLLYNGTNNEEEDTCRLKDGEILLDQAVDRQARVHDMGNGRTVPVIDLEDDYKQQLDTRDTGEFLESISDQETSSFPDQPHANIQAVSSSSVAPKFGNRCTGRGAVNSEVIPGDDDAPYVAFDKMPLDVCQSSNNSMVPLLNPEVRTHEDEVHLSNQRRIYGSLAKVPWLDDTSRSGPRLEMEFRRDV >Et_4B_038306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2855413:2856438:-1 gene:Et_4B_038306 transcript:Et_4B_038306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINQSQGTSNSNEGLRRQVARVRAGSSTPTRMAPQVESGERAGASTPTRLIPCFEAHEHIVPQLFYTFSGYNPNSNED >Et_4B_039623.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24143556:24144230:-1 gene:Et_4B_039623 transcript:Et_4B_039623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPVVPVEDAGATAAGKEARHSCHVAWLAWWAQARCIFASTLLFPCHAALGLSALSPPAAVVRGTLFLPSTGDRRVRLFLQEHHAADQHLLVLDLPAGLGGADIAAAGRIVLEHRRHWAAPPAAALLDAPRWLVYCNGRRAGYAARRGSPSDAEGWALDKLRAVTAGAGRLPGRGGVEYLRGRFRRVVGSPDAQSFHLVEPSGWLGVNGGCDGGLSIFFHRT >Et_9B_064845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19167122:19180842:1 gene:Et_9B_064845 transcript:Et_9B_064845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELKPSQTPGPGNNPDQKKFWASQRAKEPTSPSRPQAKVEMGYGRAKAPPPPPPPPRPPKESKVLVPPLFDYPPIAARTRMSVPSYEVMFGKLPMHNLFEDYFDQVENLTARIILKALEDPHVDLTATVSYQTRAMSTVTDENFGKEVKGDALFHWQKDSDDPRTFVDLLDVAADVMCELSQVWYWSIWNITITNGNRVRSEDYGVMGIRYGSENLSIGHLSCHLIYPVRYLLVHGGSKHPMPFSDPENWNCAVSYSVGSTSPLSPSSIFTLELARSTQLTTSFYQHMVFQRGVKNPSEDKHVVEITNYVDIGLEFTASWQANKNFLLKGKLGPSKSSVALALKSWWKPSITFSIAALIDHSKRTASYGFGIRTEDLRQPSYQRDDPNYIMLTPRVEQAPGERPVFQAHTDYDHLPTDLRPVDTTLKPEPPPPMVLVPPLFDYPPIAARTRMSVPAYELMFGKLSLHNLFEDYFDQAGSVGSRIMLKPLEDPHVDLIASVSAAGDKTGTEVKGDALFRWQKELDDPHTFVDLLVSTSNPVLQLRSCAYDPNYRIGAFGTLPLLMGNRVNSEDYGVMGVRYSSENLSLGASFVPFPLSAEVPVGAWLVGRKGSLTAGLTASFYQHLVVQRRVKNPFEDDEVVGITNYIDFGLELAARVDKDKKTESGNSFQLAASWQANKNFLFKGKLGPSTSSVAVAFKSWWKPSFTFSVTAVNDHLKGTTSYGFGIRVEDLRQPSYQRADPNYVMLTPSKEHLAPGVLREYGKRPMFQTQIDSGNYDHLPTELKPIGKIF >Et_10A_000341.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:3256933:3257145:1 gene:Et_10A_000341 transcript:Et_10A_000341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KKLVAKDLFCSSGCWLLWKHKNEVVFRNESQSVNCLLRACKDEASVWWCRLPHEDFSVSDAWCNFFCVNV >Et_3B_027956.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28175775:28176122:1 gene:Et_3B_027956 transcript:Et_3B_027956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSTMTKPVKTEAGAEADGGSALLNITVTSQTAPEAFFRIKRDVRMRRLMDLYCGKNSFDPKTVVFLGPEGVHIKPHQTAEEVGLQDGDEISKNIHQNGGAAPAEHSGHNSA >Et_4B_039035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7210414:7215809:1 gene:Et_4B_039035 transcript:Et_4B_039035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPTRAAGRRPPALDFDCPAKRLVYASSAWQPAPLTHCSTREESPMVRVLHRRLLATAVAFVVVVASLLRPAAADSAARHSVGPVADADDDWDDFADDFPAADPLLSPSSWVPLLDTTSPSAASDDDPDSTSDALFVAGARAMFSAASTGDHEAFSAAATRIEAAANGGHPGALSMVAFLSGAGMMRPASRSRALLLHKLAADAGDLQSKMALAYSYFRQEMYEEAVTLYAESAKAALTSSLLSKVPPVIEQIRLHSRTEENKEALMKSRGEDDDYFQVTVHQAQRGNASAMYKLGLIYYYGLRGLRLIIENHFIGSQSEEFFELAAESKESGGHYNLGILYLKGIGVKRDVLRACNFFLSAVNAGQPNVVYQVAKLFQKGICFKRNLLMEAILYKTVAERGHWSSLSRWALESYLRGHVGKELLLYSRMAELGYEVSQSNAAWILDNYNEQSICMGESCFCTDTERRLRAHAFWWQASEQGNEHAAFLISDA >Et_5B_044211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21573352:21576153:-1 gene:Et_5B_044211 transcript:Et_5B_044211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARWEVSGKRWAGPRPDHSLLFLRFSGSGSGPGDGGGWRWRHGRDSLVGTDMWRRRQQALLRLLPPVKKAAHPQTAWACGGLVRRYGSGSAPEGGKGKAAPLQARGMVDRFRLRAKGGDGGNGCNSIRRSRSNRLGKPDGGNGGKGGDVILECSRSVWDFSGLQHHTKAGRGGHGLSKNQIGTRGSDKIAQVPVGTVIHLVQGEQPSVAETKPTRALDPWDTRDAAGRSGKSNKPIRALDPWDIPDVAEHSTASSNQTGNTMMEDLHGFLSHQRIAPNDFTDGNECVSWSEKTCSKPGFSITDHDANSYQHQGDTDETDQSEDEDKEFWEDENEFDMDSEEEEVEEDKEKQDKVQYSVAEMTKPGQRLIVARGGKGGVGNAFIFKEMHLPKENRQEEISRLRAGHLGTETLLILELKSIADVGLVGLPNAGKSTLLSALSRARPEIADYAFTTLRPNIGSLTYEDYFSVKVADIPGLIKGAHENRGLGHAFLRHIERTKVLAYVLDLAATLNGRKGVPPWEQLQDLVVELEHYQEGLTKRPSLIVANKIDEEGADEIYEELKRRVQGVPIYPICAILEEGVPDLRVGLRNLMDDTLDPQGIDLRKIIVD >Et_1B_014431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8536658:8541647:1 gene:Et_1B_014431 transcript:Et_1B_014431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSSELGDGFVVGEGDRRRLASTENRWKANDPMGGSNSRPARPPILFKSTEVGENDRVKYAASSMQGWRRSMEDARAVVPDLDELTSFFAVYDGHGGAEVALLCAKQFHTELRNHPDYQNNITNALRTVFFRMDELLLQSDEWKELIKPRGDWIQLLKTGVCANHWPFTQETPYVAPQGVGSTACVVVIRGNQIILGNVGDSRCVLSLNGQAVQLSTDHKPNKKDERERIHRAGGRVTRDKTPILTRGQFQGYRLGIHRINGVLAVSRVIGDFAFKQNKDLPPQEQQATCNPELILVDITDQMEFLVIASDGIWDCLTTKGVVNFVRKYLRSGITDLHFICERLVDRALPSGDNGTVILVQFKNATGVPPLTESDNDGSGGQGQAAGNRAESTLETVEEEEDEEEDDGDDANRAAVVKEEGEQPRDEASSSEKQPLLYIAGSSDDEISEVL >Et_8A_056472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10578337:10579144:1 gene:Et_8A_056472 transcript:Et_8A_056472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSETEVGAPVMILGYSGDVNMIMLWINFHVYMIQLDSLQSTKLWKTNNIVFHLHPYTKPCRDPRINPCLACSSLEDFA >Et_2A_015945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19465588:19473361:-1 gene:Et_2A_015945 transcript:Et_2A_015945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ISKTMEVKDPPSSTRTNAICKEEATPVGCHRGDDKGKGGSSTDTVDYPWDPSIHFIVLDKFDFRKVDELIDQRSSLLAKSLPNDIIIPDPTPKWVRDRFYKISPRLGAILRKDSARCFLRLFEESNWSMAWNFTISSETLTYMIKHDALRCARVVLEGKAPELVYMNANPNCMNPYGYFPIHEAAERFSVDMIKLLFRYGASANVRTSGDKVIEGLLPLHVAVGNTCLHKYLEDNLFPTEDHQDYVYNLIHLLCLPEMKIFLDTTRLLAEKTNNLVDEVWNYMKNGKLVEATVLLLAAQREIRKACISKGNGNDKHNGFYIIMYRISKYSISLKFVEGDNEAAKKELKDKKILIDRAWLVVRIISHAGEALYKYIQGHSEMSQAKILEHVSSILKDYGFCPKGEGIDIANLRPYDCKISDGEVDEKGCEKESTSGMGSDIHKKKLFPVLAISIRGPINSRSAPWLLGQFNWLWIIFIPECFCFLRKTSAADKQSSIKKVLMYWCIHALKGAEDCMSRKLMPKRSERTLALSFVSDVPARMFFGMGAKCHREEKGQMTQRLRIKRNITKRNSTLHELATVQRAFYKKLIPAFNSVLVLHLVHPSSSVLVLDRFHVPVPVSLLHISITGHNFKRSNILNYIWWQLKFRVEAPYYHPSK >Et_9A_062287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21576009:21579283:1 gene:Et_9A_062287 transcript:Et_9A_062287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YILCPVFPPSIIPYLPKTNRHTLNCRAIHKKMRLELKGLVLLLLLVLLVLCSSVQTCDARSGKHWRRNKAPSTSLLRRKGKAKSSGSNKQNSKGNQNPYQPSPSISPNVPVSPSGSPVQGKGRQAPTMPTPSGGSGYTLPSMPPPPPPQSVTAPTQSQDTVFNVVDFGAKGDGVTDDTQAFEAAWAAACKVEASTVLVPSEHKFVVGPISFSGPYCKRNIIFQLDGTILAQTSARVWGSGLLQWLEFTKLTGIAIQGSGVINGRGQEWWTYSDLNDDDDDDVYNVELEKMPQIKPTALRFYGSSNVTVTGITIVNSSQCHLKFDSCQGVMVHDITISSPENSPNTDGIHLQNSKEVNIHHTNLACGDDCVSIQTGCSDINIHNVNCGPGHGISIGGLGRYNTKACVSNITVRDVNMFRTMNGVRIKTWQGGAGLVQGIRFSNIQVSEVQTPIIIDQFYCDKATCRNQTSAVAVSGVQYENIRGTFTIKPAHFACSDTSPCSGISLTGIQLKPLIVPQYHLYNPFCWQAFGELYTPTIPPISCLQIGKPAGNSVLSDSDVC >Et_7A_051813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24995370:25001288:1 gene:Et_7A_051813 transcript:Et_7A_051813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAAPPASAPAGVTEDDDFPWDDAAEAELQAIEAAYASASAKRRRLPDWASPSPSPSCRPRYSHSPASGGSTPSWVLSPNTPRGSVRARHQQVSFGGKIVYCRTPSEVEKAATDILDKIGSMKASGPVSLGFDLEWRPFPRRGEPPCKVAVMQLCMEKTVCYVLHIAHSGVPPVLRSLLEDSSSIKVGICIDNDARKMLNDYNVCVRPLMDLSNMANIKLAGPPKRWSLASLTEMMTCKELPKPSNIRMGNWEACVLSKHQLQYAATDAFISWYLYEVLWRLPDYNPESEVESIHSGYRMESFKAIR >Et_1B_014090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30277684:30285553:1 gene:Et_1B_014090 transcript:Et_1B_014090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKVPYHKHREAEEAKKKREEDEAARVYAEFVESFKGDSSSGAKFVRGGVIDPNAKLKTDPEGGKSKDGGSVPKKGSRYVPSFLPPSFAKEPEKKKEDERPKEKEKGKPRAIDKFMEELKFEQEQREKRNQDRDHRREGRHSDSSTPSSRFDELPDEFDPTGRFPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYDYELKIGWGKSVALPSQALPAPPPGQMAIRNKETQGATVILSGPGGPPVASITPQNSELVLTPNVPDIVVAPPDDGRLRHVIDTMALHVLDGGCAFEQAVMERGRGNPVFDFLFNLKSKEHTYYVWRLYSFAQGDTLQRWRTEPYIMITGSGRWVPPPLPSNRSPEREKEFTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETSIPTKVARLMLVSDILHNSSVPVKNASAFRTKFEASLPDVMESFNDLYRSITGRITAEALKERVMKVLQVWADWFLFSDAYLNGLRTTFLRSGNSGVIPFHSLCGDAPEIEKKSSSEDGNDGFKLNEDGALATGKAAATKELLGLPLAELERRCRHNGLSLCGGQEMMVARLLNLEEAEKERAYEKDVDMKCAQSEPHRSREDINVHARGASRFGEGPNGDELDISRNNMRAGQGRSKESDSAGPESVPSKKPKYDPVLPASKWSRDDDISDDEDIRGGRGLGLSYSSGSDTAGDLGKVDTAEVSTDQASHRSDTIVDEEQRQKLRQIEISVMQYRESLEEQGLRNADEIERKVASHRRRLQSEYGLSISTDGASSRRSSERTSLERKEKYGDAHDYPRKRRRSQSRSRSPPRKSQEREREHSRNRDRSHGSDVGRDRVHEKSASRGRDDHYVRSRDREKDRRKGR >Et_2B_019759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13658829:13666422:-1 gene:Et_2B_019759 transcript:Et_2B_019759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSICRSHPLKEARQLDRLLISLGRTSTRCLGLKSGTGREEGASLRRLMLFGFKNASVRRLLRELIVDSTGAAVLNLPCPVTSYAILPSTPKDALNMPCPVSAASPSTIDALNLPCPVISDAASPSTTKDAADVCDAEDLHIFLNETGGTAKRSIKPSQIESAAKRVHYQDIYTSADNHCESARGSVDEGGSAWPQDVYDTRCLPPLLEEVHDRSKHSTVDNVGETPLHSSQQGDSPSGPYLGSGKSDLESAEKEVALSTMSILRPQAITLLKRSNKEKKPKHKKNKKINASVRTASAHIPSDDCCKGVTVPTTIGKETNRNSSEKCFLGDSFCDMVKDGCANDDCTNNDSASKLDEIKDVEDSFEDDDHIWGYNRFKPKGVHHHESDDACSRDLNENSKVLDDKVEGHPEPLECQVGVHHSPKPPDVVYDHEKGQYILSDSLLACLEEEFGGEDMSFPANPNQCDSNVEQKQVEEQFKGPDTNCVKDSSSVSLDMSCHKDIENGLVDVRAQAQCRIGNENTMMPVECGSNIYGHMPPKGELIASRHQPDQGYRYTKTPGRGSDHHLELVGCYLHPMPVLSIMLNTRNHGILYVCTLCGVPDSCQRVLYIYTITPKGQQDAPPCFVSHTPLLLPSLEQSSTGNFSFGRSGLQLTSDGQFLVLLSSIRIPLCRMQSIDCSCYECKSGQCEDNSLKIVSVNSGYVSLKTKLMTYGMVSCFLMCEPNYIVAAEGSRNLHVWNMVTGWRDISKRSLLATFAAPGNIVFQILPVGFCNLQEDIIHASVVDIEKRLQEITVSDMSRKNNRESILIPQREDIAVWVLVSSASVAEYQHDLRAKEYNARWRLALLAKKGILMGNILDSRATVVDTSGNYGFAGTYGGLLYMWEVSSGRKLAGTHCINRGRVSCIAVDAESGAVAVGDDGCQLLLYTQKVQSEGGTIYSG >Et_6B_049377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4112400:4116669:1 gene:Et_6B_049377 transcript:Et_6B_049377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYRQSGGFFDSRGGGHNHPLPDYHRAHPSKPSRIRRPGKPASRRRSPAAAAAVSAALLLLAGVFLLSRRISRNSAEINQDLGEGEGLPEWNQSKSWKELKFGHGGGGRSAQDSRYWDRDDRRRDEDYSEDEKDKISGGGGNSTDAGGSGEKSVTSETVIEDKGSTLETNGGEKEVPEVTEGGKGGTLYNEGGRKELEQYEAAAMGAMGTGVREVDLDDEYDDGIDTLEDIDDAHLRYADGGRKLGDGTHETAEKTNEVTLERHTETGGVVDVHDISSTDKKKVSGSSEKKHGSKKKSKRKKSSSTCEMNFLNSTAQLVEPARNENFASFNLEYVEVEERPIGSENWEPRFAGHQSLQEREESYIAHDQQLNCAFIKGPNGTSTGFDISEDDRKYMRKCHIAVSSCIFGNSDHLRTPFSKTITSLSKKTVCFAMFLDEITLQTLESEGQKMDSMGFIGIWKIILIKNMPYNDMRRVGKIPKFLAHRLFPSSRFSIWLDSKLRLQTDPILILEYFLWRHGYEYAISNHYDRHCVWEEVAQNKKLNKFNHTIIDQQFEFYQADGLTRFNPSDPNKLLPSYVPEGSFILREHTPMSNLFSCLWYNEVDRFTPRDQLSFAYTYLKLRRMNPSKPFHLNMFKDCERRSIAKLFHHRSEERRSSPQLTR >Et_10A_002003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1862465:1866235:-1 gene:Et_10A_002003 transcript:Et_10A_002003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVGMERAACKRPRGALDGGGGQAAAAWRTCRVARAAAGGKDRHSKVVTARGLRDRRVRLSVPTAIQFYDIQDRLGVDQPSKAIEWLIRNAAAAIDELPSLDCSFALPVPSPPRPPAGDDADAGEVSTSENSKGSVLSLANASAETGGAAAAHQTNHAYNGGGAFAELLHAGSATDNKPMQQQQQQEQPTLAYYAAQPPSSHATPGMSFEMIPHLAFFQEQQPPAGVFDRGTLQSNAVAAPMWPPTQHPCLLQRFTSASADAAGLPFFLGGGAAPHLSSASSHPTVVSHHQHLRRQIDI >Et_1A_008334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6600747:6602039:-1 gene:Et_1A_008334 transcript:Et_1A_008334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPTITPELQKDLEILQLRHVIDPKRHFKRSGKSKALPKYFQLGTTLVDEILSDPSLKSYRRPMSPHRGGRVGRVGAPWSPSPPWCLPRFLLLWRGSLPVLVVRPPPPAPRYAPEEEPPDR >Et_7B_054169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16420668:16428816:1 gene:Et_7B_054169 transcript:Et_7B_054169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHILHLQRIPDELAEDIGAEEAHVVVPFGKGKLRRVEVGRDGDGAFLGRGWKEFAAACGVGAGWLLVLRHHGSGVLTVKAFDDSCCLRELTTQTPAVDSSNDSPHKPQFIGLLPPDSREKMQIPARFLQSYIPKDDLNSHVAIFLGPHGKISKIEVELNGSDVFFAGGWSQFLMSHGITAANCLLLRYEGNMVFTVKVFESNGCQRKSKHKDDIRFQQNEQNSKLAFFKKFVCNGFDFESDTRAHVSFVLSALSVDEKKHEKPSFVIEKCASFRKSVYRIGPPSWIKKQINTNSLKYSLVLAAAFCDGIGLHESRTVTLKTSVDRNASWQVRGCSQNGSSYILFGGWRRFCKENSLKVGDICTFNVVEATLWNVVITRYSEKVIILLCELNTEHLIKTLTYSRKQQDVTSTSSAKCKSKSYKINCEKQMGPEGSIGSLTKEMTKCFFGTGPPPWIRKKINASTMANQFSLPLTFCEAIGLREPCTITLKTSTSSTISWQARVVPYKNCAHMRSSGWKTFCRQNEIKVGDVCTFTVVETKLWHRIPDELAEDIGAAADHVIFEFGKGKAVRRFEVGWDGDGAFLRRGWPEFVSECGVGAGWLLLLRHRGGGVLAVKAFDDTCCLRELAAQPPAVEATVISNGAPRRPRFINVLPQDSMGKMQIPAAFFQSYIPKEHLNSHKAVVYGPLGKVGDIEVEMSQSGTFFAGGWSQFLASRGISNASCLLLRYEGLFTFTLKVFESNGLQRGSKHDGIKFQQNEQNMVTESYRINCEKQMEPEGSVKSLSKESTIIKCSFDTEPLASFKKKINGSTMANQFSLPLTFCKAIGLQEACMITLKTSISSTIAWQVRVVPYKSCAHMRQSGWKAFCKANKINVGDVCIFNAVETKLWHVVINKH >Et_3A_023406.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:26022031:26022423:-1 gene:Et_3A_023406 transcript:Et_3A_023406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEDAKASLLAANVRFYNAFKNGDIAAMYSIWAKGDHVYVVHPAAARISGYDVVMQSWEMVCNADYEFPLNIDLKNIEAHVRGNLGYVTCLEIVKTKGRTWGKQIATNIFEKVNGTWLMCAHHASHVEE >Et_4A_034515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:440750:443369:-1 gene:Et_4A_034515 transcript:Et_4A_034515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPAVSSAAFASSLLAPRAVGASLVAARCASSAASPAPAAATSTAYDHASFIKEIAATEPPEHLNSLLSVLPSRGEKIVSPGAKRGLIPVVVPLSETPAGNLTSLLRWPTAPPGMEMPVVEVRKHGLWLLAKNVDQYIHRILVEADINGESGDDVWSAVGEAGNNLYTKGDFKESQAADLDAYLLKKVGLFPDVLERKTLKHLEKGDSVSALITGEFYTRDQFPGFGRPFVFNSEILKRVGRVSEAKDSARVALKSPWWTLGCSYEEAAELAGWEDEQIEFIREKVTEEGKREDLKKGKAPEQVVLDEAAFLMDLASVDGNWDDVVDRIAECYKEAGLHDIAKFIAYME >Et_6B_048266.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:3507782:3508604:-1 gene:Et_6B_048266 transcript:Et_6B_048266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIIMLTQGLLGSLYTITEKLFIFCGGLMIWSLQYPLGPKARRSFDDPGMQGIITASFVIFFISCILAPIASYLKESESIQDAGIKIFKWLFLIMSLGLCADLSVILRLCADIEKSILWSLGIIGSIAIVLIWAWVFYVEVPQVWWHFLNNSSI >Et_2A_015726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17251685:17252066:-1 gene:Et_2A_015726 transcript:Et_2A_015726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERDNSVMITMFLFAMVFGSLALLVECRPQQTGAKSSIAIAGDSTSTLDAEKINLVFCADSYCEYFDPTNGGTCYCCPDTSRRENCHLTREEC >Et_2A_016288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2333416:2342861:-1 gene:Et_2A_016288 transcript:Et_2A_016288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTALELIPIPGRPRVGCSCSHGDAIRSEREVQDNAVAGLFLEAIALLPIHDMPELLRCVVCGGACFGLLDPVSNIVLSAVSLLDRLERPPPEELARRAARSVQEQQCEESRNRIYDHIDMAFVQRIGLRYVSTRSVNGLAVFLGSYFRYLTEDQAARYIELAGYDLAVAVMLVHQDRFAESSSDDPSSLNNFPDPSSNKTQVALKQAACQARLQAPADDFVLLATSVYPLKLLEKATARLRRKEKLKQSDVNRMLRLMRWRSDDVPDKDDPNLAHLIVLRNPEEMAAKVKNCLQSAPSIGTMPSNNPHASPSSCEYHRGLKMQLLNTIHVFYLQALAKLPSQFLNKHLRGILKGGHCFGPLDPVSNIILNAICIVRPFTQIRATECPVNTLSEQAWKVLVDAKSNFKTFQSFFRKMIDLMLHDYNSSHTEVAVLIVR >Et_9A_061582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13995110:13999128:-1 gene:Et_9A_061582 transcript:Et_9A_061582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVGALSGGVAGLLSLRRRAAPAPAAPALATPQLPAIKCAAVPDAGQLVWGRQLRPSLLLSAAPLAARKQTLRPPAAAAAAAPAEEAKPASFAEKYPSLVTGFFFFMWYFLNVIFNIVNKKIYNYFPYPYFVSAIHLVVGVIYCLGGWSVGFPKRAPVDSGVLKLLIPVAVCHAIGHITSNVSFAAVAVSFTHTIKALEPFFNAAATQFVLGQPLPLSLWLSLAPVVLGVSMASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTNMDSTNVYAYISIIALIVCLPPALIIEGPQLMQHGFADAIAKVGLTKLVSDLFLVGLLYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNKISTQTGIGTTIAIAGVACYSYIKAKIEEEKRAKKKLEEEKQSTLLNAKIEEPKKEYQSRSLSWKRKFVISTRMRASSMKYALPAPLPLLGMKLALRNDNNNYLFCDRLDDEFDMVVARASKIVKFRCCTFYEDSVTVVSSLNSCTL >Et_6A_047822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18471010:18474478:1 gene:Et_6A_047822 transcript:Et_6A_047822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSDADPGMHHPAPAAGRRRPRIVAAWHAICATLRARKRRGWAHAAASRVGGRGGLARWRASVVRDDAMSEIFLWLWGEPTPTARDSPTARPQSQAASTLSDRSAIHRPIHTNPLIALFSIHGSLSIKYYWIEGGYSSFASILERINLRANKVPELIQEADRSKWMAHNNDNVKCFTKAEIETLTNNFHTIIGRGGFGEVFQGALDDSSMVAVKRFVHNVTENFAKELSVHSIINHKNVVRLIGYCVDENALMIVTEYIPNGNLSDVLHKDNAAIPLDIRLRIATECAEAFAYMHSHMYTHSQVIHGDIKPDNILLDGSFNVKISDFGISRLVSTDTTLYTKNVIGSIGYMDPLFARDGHLTVKSDVYSFGVVLLELITRKEATTKVGKCSFIDLCIGILSRGTRGMKEMFDVEITNQNNMKTLEGVAKLVRECLRMERDRRPEMIDVAVRLRMLAKALHQRQQRFFWARKSKPSAPPSVTIPGTKAAPLAPLCREFSFEEMEVATKNFDKSLLLYVDDFCNMVYCGTIDGRATKVAIKRLQYANGDDGHRFHSEAEMRSKVCHRHLVQVIGYCNKEDEFILVHEYMSRGSLREHLYDTQNNPPLPWRCRLEICIGAARGLHYLHKGAEPAMVLGTVKLTNILLDDEWVANISHNIGSDPDNNTNSYWHGIARDPECEDGCLRLTEKSDVYSFGAVLFEVLCARPIVDRKRPRKQIHLLCWAACCKDEGDLDQIIDPYLKGKISPQCLDKFVETAEKCLAYEGSDRPSMEDVLSDLECALRLQKTESAEVTERLVAATI >Et_1A_005122.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28067258:28067479:-1 gene:Et_1A_005122 transcript:Et_1A_005122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTLHKLTNGKRDRRILLGLLLYFWWGIWKERNRRIFQNKEQSFSRTVDLIFEEIKLYGQAKGLVDSIPNIM >Et_5B_044825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6279081:6287039:1 gene:Et_5B_044825 transcript:Et_5B_044825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGMMDSIEREEDYQMDLIEIKDDEEPAMDMLVDQPRFLEPLCPEEVNEDTRVYPRVGEEYQVEVPVLLTEEERLEQRSFPAHDGTKFGFEYPVGVGLGIPVTWTQNTSNLMKEKQRGFSGRSSCPSQDEGAILGVGNIPGNLYQHNICSECLVCKDEDVEQGDKLPGSAGKDIHCLQENKFLGCSCAKREFNDYIPLPGMPKFSWTEEEAQTFLLGLYIFGKNLVQVTKFTENKTMGEVLSYYYGEFFRSDAYKRWTACRKARSRRCILGLRIFSGPRQQELLSRLLAGVPREVEAPLLEVFKLFNEGTTTFEHFILTLRSTVGAQVLVEAVGIGKGKYDLTGFALDPSRNHGISTRPEIPIGKACSKLSSGDIIKFLTGDFRLSKARSNDLFWEGVWPRLLAKGWHSEQPNGKHAIVFLIPGVRKFSKKRLVKGNHYFDSVSDVLSKVASEPRLLECGVVAGNNESDVKFGNGCIQNSEPEKITFSDKKPPCSSRSSEPGCSPELMKFTVVDTSLVQGEEPCQVRSLRNLPTDSSHGYMSSPHSGDSESDSSEGHSDSDDSSPYEHMHVDRSTTDVNYVNEAKRHKPPATDKMDPNSLRNSVFSGTSALINGHVSFDQGHSTMNNACSSATSILPVDVQRVRASSASPEISFQLDQRINAESQVFLAPLSKRRRLVSCKTEKAAANKNHYWKQADEPLQPDVSANEASGGANNFVWSTIPNSTNINFSVNNKLHNVPPNDETVVYKEKRQNKHVIDLNVPQMPSDYESAVSYSVPPSDRSTQTMDRPLRSSETQEMADRLPDMDASRRHSSRSRPPTARALEAVACGFLGTKQKSREANFPSSSRSRRPVRRPRRSADVALPFSSDGEGGTSHFTDPLMDGNEWRMSNPPCQVVNSSPSDKSSDKMSPDLFEADKPTDKGTHELPGAPVPTELSNAAVKSFPQLFVTDRSCDTAADGTTCIPSSSSPSSSSSISSSSSSLELASSPDFSLSPSDFFSSSSSSSSAAWSATDFFRRSRVEEGGAEGSRRARRAALRLARDWAFMAALAALERPWYQGRSSSSVWR >Et_4A_035207.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:12308041:12309111:1 gene:Et_4A_035207 transcript:Et_4A_035207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYEVEVTVSSARELKNVNWRNGELKPYAVVWVDDGPRCSTRVDVDNGESPAWDDKLSVPLPPHARLDDAVLRVDVVHANAADGVKPLVGSARLPLRDVLDEAGLGGRASRDLRLKRPSGRPQGRLDVRVAVREPRRYYDPSSHPYPAPGSGYAPAAGGGGGYGGSGGYGAAQPYTAAPPAGYPSYGSAPPPPQPAYGGAPPPPHQPAYGAPPPDSAPAYGAAYGSAPPPPVAAAPAAQAAYGAPEPNKKNNSKMGMGTGLAVGAAAGVVGGLALAGGASYLGNKFDEKLDEKVEEALAEREAQEEAYSYGGGYRGGYDDYAIHSYDDCGGGDDVEECGDDGDDEDCCGDDDDDY >Et_9A_061624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14599978:14605838:1 gene:Et_9A_061624 transcript:Et_9A_061624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGGTFLNMLFPPLALLPALYLPSIESMGIPDSTSGLELTIATPAPGNGIHISSSFGSGDKLASHLTLSKPAKAMGSDMSKDKNVVVVKEEADSLPTLVAGAVSPRFVFEPVVLVKEEGPSSLATSQQAAEGATAPVPLPGAGGAHILSPEPCHEFGGTGNKDKAVVLPLLVAPGMNTPPGLQQHAMSSGTGNKDKAVVIKEETVPLPVAPAVNNPTRSPATRRSNILKHHLTQCAAALDKGDGLALNTALPHLSSVASPVGDPSQRVAFTIAQALARRAMGSLQGLSWALQLQVPSPPTPAITNAARQSFATLCPLVRVAATAANLAIVEATQAEHRVHLEQLGLFAARSGGSPSLQLSVVNEEDEFLSLAAGKLTLEAARLQVPFVFIPVRSRTDRITPQVIAALGVAHGQDEALAITSTMQLHRLIADEVTIQIPAAATKGQKRKSQEQTTLQQITKADALLRVLCDLRPKLMMLGAGGRPQLHGPHGTRPERLRLLRHAVP >Et_9B_064145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11801525:11803733:1 gene:Et_9B_064145 transcript:Et_9B_064145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTDHDHHHHQPGILTVDNMKSSLSLDDTLTLMEFKENDIVLEQYSLRDILLSIEGIQSRIISLQNHLSEAQNNRDHNQKAKKAQKKKKLNGLLQKDIDRFNDFVKINIALPFAADITEADEDEITVKMLFGTNAHIGGLYKESADDVLINNQAAREEGYWQFERVNQTVEKHPVLLRSVVESPSLEEEKARELVGHGFVSMPVPIVTLVNKRGPKSKKKRDSSLTCTEDQIEKEDHNTEKKLTEEDVDNSNNERTTLVAADMRRSQRVRKPKIYK >Et_9A_063281.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:18747603:18748763:-1 gene:Et_9A_063281 transcript:Et_9A_063281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRRAEEEEVMRGEEKEAEAEEDYIDMDLSSSAAGPAAAREFEFMSAPLDRWGEPLASPADELFYKGKLLPLHLPPRIQMVEELLDDRPAARSGGGAVLGYSTAPATPYESCRASPANSCYVSGELNVEEYFQEYAAGLADATGGESRKPSWSRKLRFMRQLNLGLKLKASKAYIKTMFAATKPGNADDKHVLGAPARGAQELSRGHNNHGHLRAWRKNPFGQVIRSNRYIASHSGAVAGGRAAIEGGRQKEREHGHRRSFSSVIVRYSSSSSKTSPAPTPSSTASSCSSSSSSSASSSVRTSSDSTDGGAGPPALRRSSSASSEVENPIQGLIAYCKKSQQLASVRKSASDAGFRFLSSAASKIAAEADGLDDLIENLQRMKM >Et_6A_045902.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:452153:454958:-1 gene:Et_6A_045902 transcript:Et_6A_045902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWGRKAKPLQSIRILFEGMESRGKVLMERYELGRLLGKGTYGKVHYARSLESNRGVAIKIMDKDRALKVGLSEQIKREVTTMRLVAHKNIVELHEVMATRSKIYFVMEYVKGGELFDKIEKSGRLTEEAAHKYFQQLISAVDYCHSRGVFHRDLKPENLLLDENENLKVSDFGLSALSESRRQDGLLHTTCGTPAYVAPEVISKTGYDGAKSDIWSCGVVLFVLVAGYLPFQGPNLMEMYRKIQHGDFRCPSWFSHKLKKLLYKILDPNPSTRISIQKIKESTWFRKGPGETRAVKERSPGENAITNAASIHRAARRKKDAHEDVKPLSVTNLNAFEIISLSTGFDLTGLFIEKECRKETRFTSDKPASAIILKLEDVAKALNLRVRKKDNGIVKIQGRKEGRNGVIQFDTEIFEITPSHHLVEMKQTCGDSLEYWKLLEEGIRPALKDIVWAWHGDDQQQKQE >Et_1A_005332.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5008058:5008552:-1 gene:Et_1A_005332 transcript:Et_1A_005332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPESAAATAETDGSIQIRRLELADHERGFVALLSQLSSCPDLTPSEFAARFAELAAHGDDHVILVADDPSAQERRILATGCLFMERKFLRGGGKVGHVEDVVVDAAARGKGLGLRIVRRLVEIAKEAGCYKVILDCTPELRAYYGKCGFVEKGVQMAVYF >Et_8A_058370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6069069:6070545:1 gene:Et_8A_058370 transcript:Et_8A_058370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLESGKVLTNPPVDSDTRADISERFTRSLHSDLHSLRNKAERIRIKSFCSVARENLPTCKTSREGKGAEQWRRADVLSKLRCMLRRRDSSSRISRDVENDDTAVSSPATTTSTIEVPKGLRPVYVVRHPLSRPSSTAPAELPARRWGATRWCCSIEHMLLMLENADPQHESLDELVEYHAC >Et_10B_003190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17441524:17443302:-1 gene:Et_10B_003190 transcript:Et_10B_003190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRIRDRKRRGNGSCLLARASAFVHRLGVVVLRPELGGRRRPDLRLRRRRAHGGPVQAAHDLPPEQRYEFRDGVRRLWVYCTDKPLSPGNPTKPRSEILLNKTYTSGVWQFEGHAYVRAGTTGVSVIQVFGAPRSSGHNTTLKLHIHGGRSMYYHDKTRVVDGDICGGWFRLNVVHDVGANELTVFVEGEERLRVAGRGGFRHYFKFGVYVQTDPSHYMESRWRDVKVYTKISSGGRENNGGPKIRI >Et_9A_063203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15301649:15302748:-1 gene:Et_9A_063203 transcript:Et_9A_063203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRATQAGSASLPAAAYPQWFLLAPYSDLNATDSAVDTETLAAARASTGVSVRVSLRRAVPPAVSRICAGHPARPPSLCLLPPCKWWLDRSATGLFYRGNDDPVVALLQLVVDDASGDKTESSTMTTTRIWSRRERIIDKKKKQAAELVLFRSGEWSVVRPRIIVHDGDETDLEALLSKWESNAVVPVGGRHLCWIDRSSCGVLLYDVFDDDGPALLRHVRFPVEVVASQHCDERSSWDVCVTARGAVKLVSIFPRRCSSCGCSEHQNAVKTWTLETKDMTWVMDTVVDSTELWALENYDGAAHVQMVRPAVSEPVVPDHSAARAEEEDDHT >Et_5A_041088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18052347:18057047:-1 gene:Et_5A_041088 transcript:Et_5A_041088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRESGGGPGAARRADAQAPCQICGDEVGVGFDGEPFVACNECAFPVCRACYEYERREGSQACPQCRTRYKRLKGCPRVAGDEEEDGVDDLEGEFGLQQGGRGDADDPQLVAEAMLRAQMSYGRGGDAQHFGAAVPNVPLLTNGQMIDDIPPEQHALVPSYMAGAGGGGKRIHPLPFADPVQPRSMDPSKDLAAYGYGSVAWKERMEGWKQKQERMQQLRNEGGGDWDGDDADLPLMDEARQPLSRKVPISSSKINPYRMIIIIRLVVLGFFFHYRVMHPVNDAFALWLISVICEIWFAMSWILDQFPKWLPIERETYLDRLSLRFDKEGRPSQLAPVDFFVSTVDPSKEPPLVTANTVLSILAVDYPVEKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNLEPRAPEWYFQQKIDYLKDKVAASFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGSPWPGNNVRDHPGMIQVFLGQSGGHDVDGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLSNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCLSCCCSDRKKKKTTKPKTEKKKRLFFKKAENPSPAYALGEIEEGVPGADNEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSITEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSKHCPLWYGYGGGLKFLERFSYINSIVYPWTSVPLLAYCTLPAICLLTGKFITPELTNVASIWFMALFICIAVTGILEMRWSGVAIDDWWRNEQFWVIGGVSAHLFAIFQGLLKVLAGIDTSFTVTSKAGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGISNAINNGYESWGPLFGKLFFAFWVIIHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKSDGPLLEECGLDCN >Et_2B_021802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5595564:5618254:-1 gene:Et_2B_021802 transcript:Et_2B_021802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNFLQKYQLQNHQLILPKKQFVTYALYALIALALLHYLLFYPAPAPEKSLVVPRVQEELETVVSAQLNAREKLPPPRPPAGQPGGELSVNPQVEASAPPPLPLPCDYSDGEWVPDARPPLYNGTSCGTIKDGQNCMAHGRPDTGYLYWRWRPRRCDLPAFSPDALLHWLRNKHLAFVGDSLARNQAESLMCLLASRSPPELVYRDGEENRFRRWVFREHNATVSVFWSPFLVKVAEKAEQAGVRHNNVFLDAFDERWMSQLGAVDAAVLSVGHWFLIPGVYHDGGRVVGCHDCADLNRTETGFFGAFRDAVHRTLAEVARRHGRGQGAARSKVVALTTFSPAHFEGDWDKAGACPKKRPYRKGEKELGYTETEMRKTVVEAVQAAAADAGAGSGLRFAALDVTTLANLRPDGHPGPYMHNDPFAGGVNDRVQNDCVHWCMPGPVDTFNEILLQTILRRGRGQSCDDGDYSEGKWVWEAGHARRYNGTEYNVKDSENCIRNGRPDTGYLDWRWQPAAAGCHLPAFDAAAFLAAVRGKHVAFVGDSMARNQAESLVCLLGTSVPYHLVYRDPDPGTRKFWRWAFPSHNVTVSVYWAPFLVRATGRVDNYTMTYCNVYLDALAERWSAEADTMDVAVVSIGHWFRKEAVYYNGSEVVGVHLVPESNHSDIGFFAPFRAAIRKSVERLSSGGAGRTVVLSTFSPSHFEKAWNDPTTCARTEPYKEGEKEVGSEAVELRRIVKEEAAAAATSWNGRMTRIKVLDVTNLAAMRPDGHPGVYMWRDPFAPGTMKKLLNDCLHFCLPGPVDTCNEILLQLLTKRHNWRRERLLTRKGQWVWEAGHEPGTRKFRRWAFPSHNVTVSSYWAPFLARAATGKSENHTPYNSVHHDVLAKRWTNDADTMDEAVINTGHWFWNPGYPAINNNGTRIGFFSPYREAIQMSIERLSSCQGQGAARRRTVVVTMHPHFEKAWDDSEACARKEPYKEGEMEVPSLPAELRRVAREEAAAAAAAATNGGETTVKGPDGHPGVYMHRDPFARGVPERMQSDCLHFCLPGPVDTFNEIPLLEMGAYHSHKHKTSSHKGGNPGYFVPKPVCAWLVCGFLSLALLHILCCSPSGTQESVLSPFIQYLDDTYSFVSSEYVNVNVLRSTWLLEYLLRLRELRPKNCSYSEGKWVYAPGHARRYNATLCNVKESHNCIRNGRPDTGYLDWRWQPAGCRLPAFNPRAFLSAMRGKHVAFVGDSMARNQVQSLVCLLSSAYPYRVVYRDRDPLKYYFWRWAFPSHDVTVSFYWAPFIARATGKARNDSMGQTMNYVDLETLDERWAADADTMDVVVFSIGHWLLNGAIYYNGTQMIGVHGHPEITNSTYIGYATPMRLAYRKAFERLSSGGRQRNVVLATFSPAHFEGKTLTTMCPRKEPYKEGEKELRPIEEELRTLLFEEAEAATRKSGEGGGLSVDVLDITKLAIMRPDGHPGPYMHRDPFANGVQEWMTADCLHFCLPGPVDTFNEILQQMLRKRRPWKRISRHQIPKDRGHLAPSMAISYPNPSTLGWPAAFSPWPSSTLSAALPLALSKLCSSLLSSILTTHTPPSHRPIGGRSCNYLEGQWVGAQGYGQRYNGTLCNVKESHNCIRNRRPNTAYLDWRISLKVSTGPGRQKWRQSADIHSFTPLANGSRCMYGPGCPSGRMTASFVMSSTSTLKGPLSPLFRVAASASSNRRALSSSSPGRSSFSPSLYGSFLGHIVVRVLPSKCPGGQHHVTLPPTEAQPLERLPVRQPHRRSVADVSRVGDLRVTVDADHLGAVVVDGPVQQPVADGEHHNVHRVGIGRPPLVERLEVHVVHVLPQGVVPRLARGPGDERRPVEGHRHVVRRERPPPEVILQRVRVPVHDAVRATITVLVSYYLEMGVYQQESDPHGQKKPSSTKHGKFLSKPAYSWLACGFLSLALIHLICCSPAGTQQAVFSPLLQYINNTYSFVLSVPGGGRSCNYSEGQWVPAPGHARRYNGTLCNVKESHNCIRNGRPDTGYLDWRWQPASGCPLPAFDARTFLSSVRGKHVAFIGDSMARNQAQSLVCLLSAAFPYRLLYWDADLRKCNFFRYAFPTHDVTVSYYWNPFLVQATGKAEDDRLRYNHVHLDEPGERWAADADTIDVAVLSASHWLLNGGIYYNGSEVVGAHNAPELNHTGVGYAWPLRMVHRTALDRLASSGSRARKTVVLATFSPAHFEWYSNDNPLACTRQEPYKDGEKDLGWVDKEVRDIVYDEAEAAKARKSGGDGAVRIEVLDVTKLAVMRPDGHPGVYMHRHPLPPDAPEKVGSDCLHFCLPGPVDTFNEILLQILRKRR >Et_3B_031096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15548769:15551889:1 gene:Et_3B_031096 transcript:Et_3B_031096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLRRAALAAAVAGGLSSAAWWTTSSSSPVALFPPSSCPLTPDPAAATAGDGSLSLVRHAALPAASAGDLSPEVPWTTSSSAPLFRFGAPSSPPSPRDPAAATTGDGHLAVVRAHPRLHELNAMLTQDDFLVDATQALLAAALRHAPLCPEALRQGRDLFAAQIQSADSDGGGGGDEEQAAAAAARMNTAFLDARDGRHEDALEALARLDADRPGLLAPRLHIFAAAFCYMLGRPEEGARWLRDAGVPDLSRLDHKRVFLEAVMIASQGRTPRVAAASEELVLVTLHGMVEYALWSVFKEGDLSERIQVLALMAFLRGAVARRKKIKEATNW >Et_2B_019919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15134776:15146759:-1 gene:Et_2B_019919 transcript:Et_2B_019919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPGTDGAALRHLLRPFGAQQAATEQPVANPWSSPQPAGAGPSNGSLPQVPWSYSTVGDVPDLRAMFEKLSASNAATVDLTRASSLGSLTPSRHGLPASSSHGQSAFGTQFLNKSANGAVTFSGAGSANEGVTRRGIQLQDANAHTRQMLPFKSTPRRLPAPLVGSIRVSCLNTGGEFFMGGSGLFGVICSCHQLRMSIAKFCEHAGGPAEKAGEIVYVENGMNISQWFKFCTGVGGSIVDTKWDWPEWACVKNSPEEHRLKSLPSRNNSIGQLGLLGGSGNIAEPLNKQVYSGDLYTEGQGCSNVEKRPVETNYKKGIDMHEAFTSNSGLVQSSMIMNLGLDKNHKDHELGLSSVATSSGSLNFKARIGNNYHGHSNVSSRNHGPSSTGVVNHDSRACRPDISQKILQDNPCPEKTTKVNRSIEKQGELSFFNGNKKQSNEVPNGTEHSEGDALTDGTTNNSLISLFLSHLERNSASEPIDDILNSNEHHLPKAQDGASGSEGPKIASGQIETMDNDNRSKMAPSIIRMKGRSEGISLSAASGGCNHQELLHANSQELLIYGGCQSHFLPSQPNTGISKICAAVSCPTNCRSCTHSVKSHQVARAEMASTRETLECVTDLCAHKRLRTFAKTSYENGKCCSQKLLPSLCQNDHSKTEKSICGCCSKIQEDVSKLGFRPGHLCRTQFSGDDFPVLASKCTLKGLDELCTCGSYMQRPSLCSGEHILQSSCYACPVDGFQCRSSLGHTVDSLTKNILFDTLSMKERGPCSHGSCCYFVVPKCLPGCGFTKHCGVRIDHTIPGCKHQLQMQMPARCSTSGEDAKSATQCLSNRIAGGSISQSSFQKDASNEVTNQPSVAIMEKLKNASEASVAGGSWSKSVTEKKGAYRDAVISKGQPKFGLSSGSSSAVVTKFLASPEFKNIPSCNAKHNKRKNLCDEVSRMEQCSASSYVPTSTRCEKALNGLKGVTGSHLGPSIVKRKCNQISDGSRLEDSDSEEHSFGLPKKNRTLRCSAKHSDSEDCTSTSSQSSQNGGPQPQNEGTSFSHRVFRTKRKYATMHQNKAVKRLHRKNKISKSDDEKQDDKVNTSDRKKQVEDVITQDIREQHEGTRVFARKLPKYVSLNCIVNEPKSEDACSGSASIDSTVIATGIPNDNRKSPKIVPLNLILKKAKRCPVVKPICKAESTHLSKKKSSDHSVDSSDCSVYKSSVGVENCSTQAEDEMHVPQKNTYSFNDRPHSEEPKNRRGSVSLNRMKRHENLSNIPSCSFGSDQDNAVRTHEVNATRYSGWLSSEASCCVCGILNLEPCNQMIECSKCYIKVHQGCYGVLKVPRGQWFCRPCKANTRNTVCVLCGYGGGAMTRALKHKNILKSLLKGLTMPTRAKRYIDSFENTSSEFTSLRNLADNGHGDNIISTDDNTRNSWTSFNHDSCLLRRQTRQWVHVVCGLWTPGTKCPNATTMSAFDVSGASTAKRNIACSLCNRTGGSFIKCRDAKCSVLFHPWCGHQRGLLQSEPEGEHNENVGFYGRCMDHVNTKKECLRSNNWTCARTEGFKGRKGEGVNHKTSEAYCGEFSVSQEQINAWLHINGSKSCGRGQMESTHCKQLKGPKHLVVYKSGIHGLGLYTSVFISRGSKVVEYVGEIVGQRVADKREVEYQSGKRQQYKSACYFFKIDKDHIIDATCKGGIARFVNHSCQVVFFAERHINPGEEITYDYHFNREDEGQRIPCFCRSRYCRRLTAERRPWGSHKYALPLVHFWRLAASNGTCEIVDSVLVDAGAAYYVGFEAEDADSRWSGELHLMAVAQLQKQLTALGL >Et_2B_021786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5437893:5438326:1 gene:Et_2B_021786 transcript:Et_2B_021786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPVPVPNHDLKLKDARHKLGRLMAISTDAQVLGDAHRVAGPGAQQPEGDGQRLAVGYPKGHLFTSLVVKQWRLLLLLRHVVQHVPCVRHVTLGLDSAHHVPGHGVNLRRLRVAQAPTPELAYSPATSITHPPGHVTLVH >Et_8A_057884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8825864:8828443:1 gene:Et_8A_057884 transcript:Et_8A_057884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YSKYHDLHGGEEEFRKSNYTDLVNKYYDLVTSFVESRWGESLHFAPRWQGETLRESIKRFEHFIALQLGLKKGMKVLDVGCGIGGPLKEIARFSSTAITGLNNNTNQISRAKVSVLESFCIFPKGSTRLFDIMQTASHGLITGCQEDIFTVFFFVLGRKPLNKETQI >Et_7A_052692.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:12878287:12879006:1 gene:Et_7A_052692 transcript:Et_7A_052692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTDVQVVPETGVEVEQLAFKAGDGSAPTLMKETVKVQPAVEACDDVGSGASATTTTDESMNVLQEQPAFEDCDDGGSRSATTTTEGSVTVLQDEQHDSAPDLDHHEAVAPEHATEVSAWKEEPEELEKPHPHVAVKEDEGEQKVPDDQESTRERLKRHRQEMAGRVWVPEMWGQETLLKDWVDSAVFDRPLVPAGLLTARRALVAESCTRRPDRTTATVSSAGSSPLRVRRNGCST >Et_9B_064053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10777111:10781400:-1 gene:Et_9B_064053 transcript:Et_9B_064053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAPPRFPASSQLSLSGSARPILRMAAAAPPGWQQRRNSYPAVSVSAGPPPGPLTFDSHKVDMLLDSVKWDSKGLAVAIAQNVDTGAILMQGFANKEALEKTISTRKATFFSRSRSSLWTKGETSMNFINVHDIFLDCDRDSIIYLGKPDGPTCHTGAETCYYTSVYDTLQGSETTQERLVTTTLYSLEDTIRRRQEETVTEGHKPSWTKKLLLDTQLLCSKIREEAGELIQTLLENEDKHRAASEMADLLYHAMVLLRVKGVKMEQVFEILRKRFSQSGIEEKSSRNKS >Et_4B_036937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12873950:12874732:1 gene:Et_4B_036937 transcript:Et_4B_036937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPTKASPLDLCRQFSYEEMKAATRNYDKSYLVGQGEYGRVEFIVARLMGVLDKMILVYKYMAHGNIHELLYDIKNRALTWKQRLDICIGAARGLHYLHKGANQAIIHQNVKLTNILLDEKWIATSLTVCPTAQRYLLSRALCCKEEGNIGQIVDPHIKEQINPHCLEKFAKTVLKCIAKRGVERPWMKDVLSDMERAVLLESADASERLA >Et_8B_059131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14098766:14102347:-1 gene:Et_8B_059131 transcript:Et_8B_059131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQQYEAAAAARRAGREAAANHHRAERAGDQARREASEMPPTHHRAERAGEARRAAAEMPTTHHRAERAGEARRPAAEMPATHHRTERPGEGRRAGGEMPATHHRTERAGEGRRAAAAEAEMPGRRTERKKSLESYLDAPGDTRDKHRGAVPVQRSGLEFKELSYSVIKKQKKDGVKVKKEVYLLNDISGQALRGQVTAILGPSGAGKSTFLDALAGRIAKGSLEGSVSVDGRPVTTSYMKQISSYVMQDDQLFPMLTVLETLRFAAEVRLPPSLSRDEKLKRVWELIEQLGLQTTAHTYIGDEGIRGVSGGERRRVSIGTDIIHKPSLLFLDEPTSGLDSTSAYSVVEKVKEIAKEGSIVLMTIHQPSFRIQMLLDRIVILARGRLIYLGSPNTLPTYLDGFGRPVPDGENSIEYLLDVIKEYDESKLGLEPLVAYQRDGSKPNEAAKTPIPKTPRTPHQKSVQFRQIQLKSNQFSVATATPHANPFSTFESYNIDDDEENFDNSLERKSQTPMHTGTSTYHPRLASQFYKDFSVWVYNGVTGTPHRRPTWAPPRTPSRTPMSSYQRSRVATPHHQPPPSPHEPAFKPQEPTYQEYQLDLEPLDAPEDGPKFANPWLREVAVLSWRTALNVVRTPELFLSREIVLTVMALILSTLFHRLSDASFTTINRILNFYIFAVCLVFFSSNDAVPTFIQERFIFIRERSHNAYRASSYVISSLIVYLPFFAIQGFTFAVITKFMLHLKSSLVNFWIILFASLITTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKRTKIPVAWSWLHYISAIKYPFEALLVNEFKGSRCYVGTLNELSPGPLGEIKPSDLHNRLQPNNITCPLIGQDVLSTMDIKIDNIWVDVGILLAWGVLYRLFFYVVLRFYSKNERK >Et_3A_024113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17538161:17546552:1 gene:Et_3A_024113 transcript:Et_3A_024113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPLPTDAPDGGPAPGTPRGRGLRRGVGFRSLKLVSVAMDEPLPADPVGAAYGRLANGLTYYVRSNPKPRMRAALSLAVKVGSVVEEEDERGVAHIVEHLAFSATSRYTNHDIVKFLESIGAEFGACQNALTSSDETIYELLVPVDKPGLLSQAISVLAEFSSEVRVSAEDLEKERGAVLEEYRGGRNAAGRMQDSHWALLFQGSKYAERLPIGTEKVIRTVPHETVKHFYQKWYHLSNMAVFAVGDFPDTQAVVELIKEHFGPKAPSPLPPPVIPEFPVPSHEEPRFSCFVESEAAGSAVVISCKMPAGAIKSVKDYRDSLAESMFHCALNQRLFKISRRNDPPYFSCSSAADALVARIRLHGFSDREISIVRALMMSEMESAYLERDQMQSTSLRDEFLQHFLREEPVVGIEYEAQLQKTLLPHISSDEVAKFAENFSTSSSCVIKIVEPRAHASLEDLKAVVLKVNSLEEEKSIPPWDEEQIPEEIVAQTPEPGTIVDKVEHPSIGATEMILSNGLRVCYKYTDFLDDQVIFTGFAYGGLSELSEDEYTSCSMGSTIAGEIGTFGYRPSVLMDMLAGKRAEVGTKVGAYMRTFSGDCSPSDLETALQLVYQLFTTNVEPREEEVKIVMQMAEEAIYAQERDPYTAFANRVREINYGNSYFFKPIRISDLKKVDPIRACEYFNRCFKDPSAFTVVIVGKIDPAISLPLILQYLGGIPSVPDAVQPLTRDELRGLPFKFPETIISEVVRSPMVEAQCFVQLAFPVVLKSTMMTEDIHYIGFLSKLLETRIMQVLRFKYGQVDLVLEEISYLQAEGPSEEDVSTILEIEQRAHENGLQENYFWLDRILRSYQSRLFSGDIGSTFEFQEAGRKKVREALTPLTMQSALQRVLPFPCRKQYTVVTLMPKSSRWASMKSLLSWSSSGVSRDAKILAGVAGALVLATFSSKIQNGLTIRIGLIYELTIRSLFLPEHLP >Et_1B_012472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32322533:32327809:1 gene:Et_1B_012472 transcript:Et_1B_012472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHYLVSRALASHHLQLPSPTISSLRPSQRLPIPLLSPPPSSPLHGRTLLPFVVSASRKYASSTFGRRRRSLSPPMLLRRRRPTRKGPSELSVQIGIEEGLPEDPEILSIAETLRTDAGKAVKLAFHNLEVSEYKTRDPSISNVNKYDSMEVSLLLCDDNFIRKLNKEWRDEDHATDVLSMSQHIPGLDIPILQLGDIVISVDTARRQAEERGHTLLDEIRILMVHGLLHLLGFDHELGQEAEEEMEKEEEHVLFTLEWKGKGLIRSAYDASTNAERLRNSSRASTIRTFKLLKFQGKDELVSETSPGVFLQGSLVYARHGQEIYRANLDVNVCKEVAFLYSLKHKIPLVAYCDDQCLTLFEHPFVDLLQSKHHETKIKVMPSVENLLEYSSIQKLLFFDNTEKDSSVLVHHCSELTEGRARVVQMQPRSIEIVPLNASKGHGLRILLDHLGITEDSGLDASGDYTRWLSDKRFD >Et_8B_058689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16678721:16680157:-1 gene:Et_8B_058689 transcript:Et_8B_058689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEAAEGSRNRRRMDLSMYLALPPLPRAPGGLDAAIDCPVSLPNSARPAPVAPITDEPVDLLTTVAAYSPSNALSTPEEQSMLDPFVYAWLDGHNTDGEEGTDAAEPTVVLGASVDGAIASSLPSAVAAGGGVEMVSTTSLLSRSIEGAVDIEARTPELRLQRLIRISQQHSIVRSGSANRSQRARKGAVNKDGCCGCNSSFECNICLDPAKEPVVTPCGHLFCWPCLYNWLHAHSVHSECPVCKGEVLEVNVTPIYGRGEDKRDAPILDMPPRPRANRMVSLRQQLQMADGRGIATVVRQLISNQGIVRGQPSPAAVPVVPANRPRARARRLQRQDAISAITPTVSAAPGQSSSQEQMPASSTAGVIVGEPGSSRRSRTSESPNIRRTRRRQPQMGP >Et_9A_062773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4782471:4783371:-1 gene:Et_9A_062773 transcript:Et_9A_062773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSITIRHATLFNCSSNVENNATIGRPRVQRPFADASVLAFVPFLMRSQALLLLHCNYDPPGDPQSSITPTTRHVLDKDNHWVLRPRHGL >Et_7B_053988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1455944:1458136:-1 gene:Et_7B_053988 transcript:Et_7B_053988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSANADEVAAYQSSEAKQARLQSMLSALLDDPILADVPRKPSLADVDTLINLELGSAMRVTVVKLDNTSFDVAVLNSATVKDLKLAIRKKISEIEQEQMGHRHISWKHVWDNCCLTHHNEKLMDDNSSLSSYGVRNNSKVCFSPHIMSRVHRKHSRRRKHCFFHGLSKKL >Et_7B_053866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12941541:12954840:1 gene:Et_7B_053866 transcript:Et_7B_053866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSRSSLAIVLSLLLLSRPGTVAADGQTPSKPIVIPIAKVPPLYYTIPGAKNGAPLVLDLGDSLVWSTDTALSLASPACARSPTGTVRPVALPLAAAASPATRTRTAYPYNPVGEGQCGKGDLTVFPLSANATDGEHPLFPVSFNVIGSCEPEGILNTLPEGAAGIAGLSRLPLSLPSQVASKLKVAKQFALCLPSKGNTGAAILGGGPFVLQSARPENLAQGQASQLPLLKNPKNSGAYYFRVHGIAFNQELVPIAPGALDLNTHTGGGGAVFSTLTEYTMLREDIFTPFLTAFDKALSGVRLVRPPFPFVRCFEASSLGSTRLGPAVPNIDLMLDNGQNWTLPGASSLVQVFEGSTFCLAFQHMLYPMSQSPDAPAIIFGNHQMQDNLVQFDLERNTFGFSGLLAGRSTHCGNFNFNTGSSMTLPSRSSLAIVLSLLVLSRPGTVAADGQTPSKPIVLPITKVPPFSLTPFYTIPVKNGAPLVLDLAGSLVWSTCQEGHRTVPCKSSVCKVANRNHPAGCAWSKVGGQPGNPDPHCACSAYPYNPASGQCGIGDLTVVPLAANATDGKQPLFPVSFNAIGSCAPDGLLESLPSGAAGVAGLSRLPLSFPSQVASKLKVAKQFALCLPSGGNTGAAVFGGGPFVLQSAHPENLAAQVQPSLPLVKNPKNDGAYYFRVRGIAFNQELVPIAPGALDLNTQTGRGGAVFSTVTPYTVLRPDIYGPLLTAFEKVISGVQWVKPPPPFFRCFDGSSFGLTRLGPALPNIDLMLDNGQNWTLPGASSLVQMGGGMYCFAFESMSYPASDAPDAPAIIFGNHQMQDNLVQFDLEKNTFGFRCSCKKSFDAPLPEHLHGCKSDRTIYDRRACIAVFTVFLLSANATDGAHPLFPVSFNVIGSCAPQGLLESLPEGTAGVVGLSRMPLSLPSQVASKLKVAKQFALCLPSGGNTGAAIFGGGPFVLQSARPEDLAEELRQGSLPLLKNPKNGAYYFRVHGIAFSTVTPYTSLRADIFAPVISAFDKATSGIPRRQAASAFTSTLLGPGVANIDLMLDNGRNWTLPGASSLVQVDEHTLCFAFHSMNSEVGIPNSNAIPESPAIILEAYQMENNLVQFDLEKSTFGFSGLLSGRRTTCGNFNFNTGSSSTLRSSSSRLQWDGPPGSAARSALSLHSRAFRLISFPCSPSMSSVTRLATASRRTTFLSTSTPTPHTLHWYQQLVCWSAKNGQLMTGTPPHTASSVEFHPLCVRNAPTAGCASTSFCGHQLATSPRLAVPATNSGGSTAASPLTRSRRTIHRNGLPLPASPHASSSSCSLVITATLPKLTYTTDPGSLRSSHSRASSRSFHRLREMEERGVVGGSSRATSGSGPSAYTLGARWSASIAATASPSSSSKVLMIRADALAVRPVSWRTKRTM >Et_4A_032224.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24851458:24851901:1 gene:Et_4A_032224 transcript:Et_4A_032224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNTEVADAVRATCVVDDDVPDDLLERILLRLDSPIWLIRAASTCKRWRGIIADDGGAFLRRISCLHTPTIVGSRTQLPSISSPHRHRCSPKSTASPSTSSAPWASMRGGGSRTATAASSSSKISGGASSSWTRRFRKVNITRETR >Et_1B_012738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34850536:34853516:1 gene:Et_1B_012738 transcript:Et_1B_012738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPQPGPVASLVDHLESAAFAPPPPAPPPPSPSTILSAWSRLRDNSSSPADAVAALETLHLHRRALRLSSAHASLLFPLLPIHPRLVAPLLAASPHLLLESLPSSLLLHARLLLLGARAFAKSNKGPPNSASSGSSAAKKLASGESGSGQDDDPVAAVSRIVEDMEQGDQNINDLDHLALAGIGYALAAADEVQFKRILASLFRICGRTRDLAVGVRVLKLVEWLVMGFIESRKMRKVQVLFEMISPEKCDYVLFPVVMAACGGLRALRVASARYRLDFDPRLKEAPERTIRFAADRAVSEGRCADDQRILVQCVALGLTRCGQVAFHESVLQCVRMGLLKELLPLPNTLRMSLETAEGKPVNVVKSQVNQHLDSVLFKEAGPVTGVLCNQYSYANIKAKQFVETCVWEYAQELYSHLRATVLLHRGKQDDLLTMVDKIAEAAFLMVVVFAAEVTKHRLNAKSSEGFQPEVAARILVAFSCLEHLRRMRLPEYTEAVRRAVLTNQENAASSALFIESMPSYAELTSKPDLLNLDGGRYMWHRDEVQTSRLLFYLRVVPTCVSLIPAHVIRDKVASIIYLQHPNEKVTSASHSVMVSFLSSGNDTDQDDRTSLKEQLTFYYIKRTLEAYPGLSPFDGLASGVAALVRNLPAGSPAILFCINSLVVKAKDLCNTATVQDKSLWRSWEGSTEPCKKMLDLLLRLVFLVDIQSFPYLLKELAEFVMLLPKEGQDVILDDMHAHVAESDDVTRKPVLVSWLQSLSYISSQSSSNESRDKAAIASHVGSEELSLNRTMARL >Et_8A_058071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17630006:17637791:-1 gene:Et_8A_058071 transcript:Et_8A_058071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEMNWDGNPNIVLDIQTTLGISLPVQVKNISFTGVFRLVFKPLVAELPCFGAVCCSLKEKSKVDFTLKVIGGEMTAIPGISDAIEGTIRDTIEDTLTWPNRIIVPIVPGDYSDLELKPVGVLEVKLVEARDLKNKDLVGKSDPFAVLYIRPLREKTKKSKTIVNGWQPDFILLQNNDLNPIWNEHYEFEVEDISTQHLTVKVYDDEGIQASEIIGCARVSLTDLQPGKVKDLWLDLVKDLEIQRDKKPRGQVHVELLYYPYAKHEGVSNPFANQVQLTSLEKVLKTESNGYDVNQRKNVIMRGVLSVTVISAEDLPPMDIGGKADPFVVLYLKKGETKKKTRVVTDTLNPIWNQTFDFVVEDALHDLLMVEVWDHDTFGKDYVGRCILTLTRVLLEGEFQDTFTLQGAKSGKLNLHFKWTPQPIYRDRDREAF >Et_6A_047902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23483834:23489134:-1 gene:Et_6A_047902 transcript:Et_6A_047902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKQAARWAAAQREVEVGVDLVPAARRQLQFLAAVDQRRWLYEGPLLDRAIRRYKACWLPLLAKHTEAAVVEGPLVVPLDCEWIWHCHRLNPVQYIRDCKKVYGRILDNNKIESSTQTELKAQSEKVWTELYPEEPFELEYTSSSHTAIDVNSEVAEGISYDLVSAVKRQTSFYHQVGTPTMYDQRFLEQASARYKGFLYLIKMNQEKGMQLFRVPTYDVDLMWHTHQLHPLAYRNDMLKLLGRVLEHDDTDADRSEGKKLDVGFTGTTEQFESTFGVRYWKAGAMYRGNLPSPVTSTPHVFRREAGNGHGSGKAENHLHVLGSTTVELYLQIVDIKNLPSSIPKETVYVLFTKSQPDNFISNSGRLDISAITGKSIGAGLQCEPSGDIVLLLMVDHGSKKSEQIGKVSVPFEELIGRDYKLSFEKWFELKVHGRYATSPPINLRVAASCTVPTMAQHVLRMIRMEPFSLKTCLLPHSFKDPKMSSWISFVDDNGTELIRLQIREHKAKNGMDFSQELVGIVKPSKKQFRLAEFKENKWALTDSGISIVDDIKASNGADGCILQLQGDSTMVKLYRGRRLEYQRKCCNHHSEDESAITAVRFSADFPYGKAIALLDTKSELIMVDESWFILPWIVVSFLFMYAESKDGARLLAGAMVQKDVVSGTDSTMVPEATTVIAAGATTAPAKCGTCGTACGGDAALASDKAGHASTRSTVAATGGANGHMESAGCGGSCVNMGRTSTKVEPTKSGGCGGGCSGNCGNMVNLSTKVEHVKSGGCGSGCSGNCGGAMVIEGGAKVGNVQSGGCGSGCGGGCSSVIIEGAKSNTVKSGGCGSGCGGGCGGGCGGGVMVFQGAKGGNVQSGGCGSGCGGGCSAVIIEGAQNNTIKSGGCGSGCSGGCGAGVMVIEGAKVGNVQSGGCGSGCVGGCSAVVTEGSKGNNVKSGGCGSGCGGGCGGGCGGGAMVIEGSKGGNVKSGGCGSGCGGGCGGGAMVVEAAKGGTVKSGGCGSGCGGGCGGGATVLEPSTAGQAKSGGCGSGCGGGCGGGGGCGTVLSASTKDAGCGSGCGGSFGSMSNA >Et_4A_034457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3333879:3337156:-1 gene:Et_4A_034457 transcript:Et_4A_034457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRPRVWLVAACVVVLLWASVAQLVTISRLLLFGIAGDVDPSPPPSTLPPQESTRVMICDMVAVARLLNLTMVVPELDKRSFWADQSNFGDIFDVRHFIDSLRDEVHIINRLPERLIPTHSDIILQMPPVSWSDEKYYLHQILPLFSKYSIIHFNKTDARLANNVHKLRAKGSFVALHLRYEMDMLAFSGCNHGLSVEEAEELKKLRYAYPWWRDKEIDSQAKRLQGLCPLTPEETSLVLKALGFQKDALIYIAAGEIYGGEKRLEPLQTAFPKLVRKEMLLDTEVLRQFQNHSSQMAALDFIVSTASDVFIPTFDGNMAKLVEGHRRFLGFRRSVLLDRRKLVGLLDLYTNKTISWDSFVSSVQEAHRSRVAQPSCRQKLENKPKEEDYFYANPTNAWPIQACASKTIFLFL >Et_7A_050428.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14867313:14867390:-1 gene:Et_7A_050428 transcript:Et_7A_050428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCGQQVRTYIMVEDYCFKEGSLPS >Et_5B_043576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1263139:1264634:-1 gene:Et_5B_043576 transcript:Et_5B_043576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEPNPAPQVQEEREVVVGEKRPPKLRYLDFVQVAAAQAAVCLAGLYGLAKDHAGPLRSGVDAVESAVKGVVGPVYGRFHHVPLDVLAFVDRKDYSKFPLLTEVSQHFQVDDTVHELDKHLPQTLKTVSAQACAVARGVPEVARELTAEAQQSGVKGAARAALAKVEPVAKDVYGRVEPVARDLYVRYEPAAEHLAVSTWRSLNGLPVFPHVAQIVVPTAAYWAEKYNKVIAAAADKGYTGAKYLPAVPTERIAKVFSSHPEAEPLAAETQ >Et_4A_032301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2971945:2972319:-1 gene:Et_4A_032301 transcript:Et_4A_032301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSVPSLCEFIEYIDTEQTPENIAHVYRVAERARRHWFDMEAEERREEERRKMRQKEEERRREYEAERKAREAERERMRERARRARAAGPDAFRKEKYPRCTQ >Et_5B_045356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19807352:19809482:-1 gene:Et_5B_045356 transcript:Et_5B_045356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHALSVIDTPLLGATIMIAEDGGLGLISVLDDTIFTWSLNNASTKGAAKWAQRFVMELGTLLPSHPSRLFTKVIGWVEGTNTIFINSNILVRDDVHHQEDAMIHQMESFSINEYLSKGTGTPYGSPADH >Et_3A_027115.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33429702:33430667:-1 gene:Et_3A_027115 transcript:Et_3A_027115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLINDNDSGAAAVGVCYGTSGDNLPPASTVVDMLRENGFSIVRLYWPDPAALGALAGSGIRVVVGAPNDVLPSLAGSQSAAAEWVRQNIEAHPGVTFRFVVVGNEVPVGETQHLVPAMENVHAALAAAGLRHIKVTTAISQATIAVHIPPSAGEFSEEAKSFMTYVVDFLERTRAPLLANLYPYFVYTYNLWRMDMNFALFTSPETVVQDGEYAYQNLFETTVDALYTAVEKLGGPSVRVVVSETGWPTAGGVAASVENAMTYNQNLVNHVRKGTPRRPRKIEAYVFALFNENLKEAGVEQNWGLFYPTMDRVYPISFGA >Et_9A_062907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6494856:6497509:1 gene:Et_9A_062907 transcript:Et_9A_062907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAGDCSSGISGGGSGGWPRSGDGKDLPLLFFRVGAAVTVAVAGLFFSRRQRSPRQFLLPPPSLSSDSEDARSMKAGRGLKEGLSILKNDDTRAKIISGNSVHTTTTTTTTTTAALVPLAPRCRSIADDEGYLLPEFNEIVLEEFGRDIVSIPTTPVPRVRENASNDHEIHKLRDLVKSLQEREKTLELQLMERYSLQEQDSAVKELENQLKINNVESKLYLLKIESLQSENQRLQTQLSENSKIISELEATRLKCKQLKKKLALDAEEAKEKITSLQKMVDFLQHKETKERTDNTEVDKKLKRLAELEMEATELRAVNSRLQQENAHLIRRLELTRLPPVPKPKNSTEVKALEEADRLRQENEKLAKETEQLQSDRFADVEELVYLKWINACLRYELRNKDAPSGKAIARDLSKTLSPKSEQKAKQLIMEYANAGVEESYTGHIEFGSECSSSRASSGDLDEVSIDIPSMTKQKNPKKKKFFSKLRKLVLGKGKEERAVSTLERRVSISSCSFDDFTGRDSHDSYSSFMTETNIPGSRHHSDHSLGMHFLDSAKSSPLSSEVGDERRDHSGMKGVSFREERQNGFGHSARLDGVGSIPEDTEIHKFADALITSRSGSMSSRKSSSFRH >Et_2A_015842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18329411:18332709:1 gene:Et_2A_015842 transcript:Et_2A_015842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSTTAASKGSVILSSPVNPNSSWDILSSSPKIIVPRYDNGTSNRLPSAVYTAQWPLSATGEVVQHSSSSLNKHFISTSTSQALQLANATGHKG >Et_9B_065223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2556447:2561179:-1 gene:Et_9B_065223 transcript:Et_9B_065223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDTSGDGTTSTVLFIGELMKQSERCIDEGTHPRFLVDGFDVAKRATLEFLETFKTPVIMGDEPDRDILKMIARTTLRTKLYEGLADQLTDIVVNAVLCIRKPDEPIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSEINAGFFYSNAEQREKMVAAERRQVDERVMKIIELKNKVCSGSDKNFVVINQKGIDPPSLDLLAKAGIIALRRAKRRNMERLVLACGGEAVNSVDDLTEDCLGWAGLVYEHTLGEEKYTFVENVKNPRSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTVEDEAVVLGAGAFEVAAKKHLIDNVKKTLKGRAQLGVEAFAEALLVIPKTLAENSGLDTQDVIVSLQNEHDRGLVVGLNHHSGEPIDPQMEGIFDNYSVKRQIINSGPIIASQLLLVDEVIRAGRNMRKST >Et_6B_049656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:838593:839531:-1 gene:Et_6B_049656 transcript:Et_6B_049656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTIKVWIFIQELWDTERRGWVSVGGERAVQIAEPVPRSSMQPKRSYIRPVVDPESSATPKARSYNYYNRNHTSGTSFFKADYYFVAMDDVGSWMQPRGVMSVGPWGGSGGQPFYMHGRSPPQLRSIVLYHSGGAIHSLACEYSPAGDDGSVSRVVGPWGLPHSFGSRAVRAVINLHRQFLGALRMAPRRRRRLHQPLPIPTIKDSAAAGMIKVTFGEH >Et_2A_016699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27284578:27289717:-1 gene:Et_2A_016699 transcript:Et_2A_016699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARSVLDGVLSSAGSAVADEVASLIGVPKEVEYIRNELEMMQSFLKVASAHPEAAGRDDTVRTWVKQVRDTAYDVEDCLLDFALYASRTSSSRGASWLPSAIAARHRIAARIRDLKASVEALNQRNLRYHIVVGSNPATARAAEEAAPQAGGGMLVPDHDDDARWAAELAFEVSDIIGRGREKDEVTRVISGGNGALSVVAVWGMGGMGKSSLVRMVHNDPKLLDEFDCGAWVTVRRPLDIADVWFRRRLKKELGLALDQNVQDYLRDKRYLVIVDDLLDTDEWENIWQVFPRDNCKGSRIIVTTRREDVARHCAGHAAEGHGHVYELKPLGKAESTDLLCRKVYKTTDYPLPKDMEEQASNILKRCRGLPLAISTIGGLLANRPKTSIEWRNLHEHLGAELESDLRNIKKVIVSSYDGLPYRLKSIFLYLSIFPENHEIRRTRLLRRWMAEGYIAKNRDMPVEDVAERFYSELINRSMIQPSKASPGVRADRCRVHGMVLQHILFKFTVENQLFLIEKHSNEVPQSKIRHLVVSRWKRRTEKLLTMNLSYVRSLTIIGECPLSIISPKMQLLRVLDLEDAENLNNNDLKHIGELYHLRYLSIRGTKISVLPSSLQKLRYLETLDIQDTKVTRLPDGIAKLEKLRYLLAGVNLARDLLLKLEDSDTDTEQGNIFGNVASSLCYKCSEDCKVSEVDRLSVSAPEGMERLRNLHMLGMVNVGQGNGVAGKLRKLTNLRRLGVTGFNEEEGQELWKSIGKLNRLQRLEMRSDSIEFLAAMDESTVPRHLVSLRLCGKLGSLPNWISSLNDLEKVKLLGTQLRQEDIVHLQNLRNLVLLGLWKNSYIGESLCFSTGTFPKLKFLDIDGLDKIKTVKIAKDAMPELEQLWVNRCVSLLDNRNGLSGVQYLPNLNELLLKNCGEKKRLIAILQGQVNKHVRRPKFLIGKSIPPTDPELTTSTAVEQGI >Et_4A_032423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:153713:157479:-1 gene:Et_4A_032423 transcript:Et_4A_032423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGADASSLAAAVLDAATPQAAASAVSRVLDYLARHAADHPRAFFADAFPSLLYRLFVSSPSSPSFLDLAAADPALADLLLSLLVPSGALLAAAAAADRLALIRFVFPSERLPDWLRLALASASSATDLVSPLLSPRVGSDLHLSVFEYYLFWFAYYPVSAASPSSASNPARSRLESWVSTLATTAIRKPGHKPETSIYLRLLYAYLREFVPTSRSPLRQMVLHRTSKHDAMEDAAQPFLRAEFLLHTLVHFWLVGDDFSPLPLQTCCALGLRLPSRARADLSERPPSPGLGDAVRLLVMYLNCCDGRALVDSSQGLPVWNGACDTQVGFWNPLIQRPLYRFILRTFLFCPIGAVVKNATQVFSVWLAYMEPWKVTQQELDGYDKNLDGEAKETQNRQLLYNASWETYVLSNYLFYNSMVVHFLGFAHKFIHSDVALVLLMVYKVLESLSSSLELLDLLHKVDGAYHSKLVISSPASDVLKYVPSIREQLKDWEDGLSETDADGSFLNEHWNSDLRLFSNDENGAYNLLQLLLIRAEAEILRLSGDTQEALQTLDSIKSRMKRVFQGHTERLHKNTELHNQHQGREDIFTPKHPCLGKCSWADVKYKGDWMKRPISEMEVAWLARILIRLSDWLNGVLQLDYADHSPADATYIVFDRNEPNAVGGPKDAARMALLAVCSLLVLVGQALLKFMRSHRMKINLRVLASKKLLSAAVVLYAVVVVVTRTASG >Et_2B_021615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3622130:3628180:1 gene:Et_2B_021615 transcript:Et_2B_021615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGNGNGGALGEDVGELLEPRALEEGVSAALVGFRALAVARFRSRESAAAAASEMPSFSGGASQQKQQHNARWRQLIRQQRKSLPIASVEKRLVDEVRKNGTLIIVGETGSGKTTQLPQFLYDAGFCKDDKVIGITQPRRVAAVTVAKRVAEECNDQLGRKVGYSIRFDDSTSNATRIKYMTDGLLLREALLDPLLSKYSVIVVDEAHERTVHTDVLLGLLKKVQHSRAIHANKNGKTLPEVQGNFQISTLKACQRIRCSPLKLIIMSASLDAKVFSDYFGGAKAVHIQGRQYPVDVLYTYQPESDYLDAALVTIFQIHLEEGPGDILAFLTGQEEIESLERLIHERARLLPPESTKIWTTPIYSSLPSEQQMNAFKPASAGTRKVVLATNIAETSVTIPGIKYVIDPGMVKARSYSPVTGMESLIVIPVSKAQALQRSGRAGREGPGKCFRLYQESEFDKLEDSTVPEIKRCNLANVVLQLKALGIDDIIGFDFMDKPSRTAILKSLEQLILLGALTDDYKLSDPVGVQMARLPLDPMYSKALIVSSEFKCLEEMLIVVSMLSVESIFFSPREKLEEARAARKGFESLEGDHITLVNVYRAAAECLEKSKNANAKEKTMEKALNRWCRENFINYRSLRHARDVHSQIQGHVQQMGLNLSSCGDDMVLFRRCLTAAFFLNAAMRQPDGSYRALATSLSVQIHPSSVLFKTKPDCVIFNELVRTTQNYVKNLTRIDPLWLAELAPQYYATED >Et_9B_065900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1721332:1724480:-1 gene:Et_9B_065900 transcript:Et_9B_065900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTRSSGDPETWAGEEGAAVAAVEHTPALEVASGGAAGSGVGGDEAGAEWRTRSHDVEGPNDGGHPVERAVAAAERGVGEDAAPALADEGGAEEAHGVVVRRDPEKELTDEVIRQLRRRRRHARWSLPLLCSAEARGGFGRSESERGTVGYCCRYLVRLNHFPSESFCALLGRGHGSSFISHIKSEAKKAFQSEAAKLQQDDSETIKQDAYAYPDQGSQHRKDGIQIDAVKQ >Et_4A_032995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16725435:16731656:1 gene:Et_4A_032995 transcript:Et_4A_032995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAVVGAGVSGLAAAHELARSESVCVTLYEKENYLGGLGKTVAVDDGGTGPVDLDLGFMVFNRVTYPNMMEWLEELGVETDSSDMSFSVSTQLDGSGGFEWGSRNGVSGLLAQKSNILRPSFWRMIREIFKLKNHIHRYLEDHENNPDLDRNETLGRFVQLHGYSQFFQDTFLVQLQINLFIPSTGLQIIPMFACIWSCPPQGVLSFQSFDVLSYCRNNHLLELFGGPQWLTVRDGLQSFVNKVRRELLSKGCEIKINCKVTSISSFNRGYRVMDIHGSEETYDRIIFAVHAPNALKVLGDEATHEELRILGAFKRTYSDAYLHHDKSLMPHNLSAWSARNFLGRAGRGQCVTYWLNLVQNIDSTKPFLVTFNPPRVPDHVLHKFCISHPVMSMAAAKASLELHLVQGNRGIWFCGEYQGKILIPNTFFLVTTFWFSSNECYGFQEDGLKVLHATFLLVLII >Et_2B_018921.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:16997985:16998380:-1 gene:Et_2B_018921 transcript:Et_2B_018921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHEVPSSSPPPPPQKSKLSGRWSALPSQSTTTISSSVAAGEAAHVKGTTLMPAVRASPRAPTVLPDAGKCAKWRGLCQCVMPGRMRSRTSRSAAANAPGSGRNGASSGSWRRRNPGVTLGCTGYSSMRP >Et_2B_022674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:371691:375104:-1 gene:Et_2B_022674 transcript:Et_2B_022674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEQESGVKKGPWTPEEDKLLVDYINQHGHGSWRRLPKLAGLNRCGKSCRLRWTNYLRPDIKRGRFTEEEEKLIIQLHSALGNKWSSIATKLPGRTDNEIKNYWNTHLRKKLLNMGIDPVTHRPRNDLLPNLLNLPPWVGNDTQINALKLQADAAKFQLLQGLLRALTTAPSPGVDLMQALLAGGGLATVPAAVDQVTSSRLQYDGLLNLPALTTLPAVSQAGMMPSFTGLLNGSFGGPQAATLAGDGLSSSATTDQQLGTSTMTAAPAPHLQQDQVNNTPASSPFEGLESLNLHDVDISNENMESPIHCIRMGQPDKPDAPELYAPAN >Et_1A_007327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33293930:33295148:-1 gene:Et_1A_007327 transcript:Et_1A_007327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASGSDDRKPEEDGDDAASGCVELPLRITEDILRRISPIESVHLTAVCKSWAATVSDRLARLPPHLFVIERVPSLNPRPFALKFDHRGYVVSVPLDGRPDGAGAPLVTVAVRDHLRYLLAAQTAKCIGITRDGRLTLAGSRKVIFIDPVTDHESPRLNLCYSPSATGGDGHDAAVFSEDQLHRLTLCKEGSKREVFKSFGDYHPGIDILAAVNCGGVIYMVDKDGYTSKVDTNAPPPLSIKGLNVESLNHFMSECGEGYLLESEGELLFVWQLLSRNDVAAPCPKHSHLYRIAGFEVYRLDVVAGQEWVKVETLPGDRSLFVSPKSSFAVRASEVTGCVSNCIYFVGQYCHSCRKDGESTWGVYSIEEGKVLFEYVFPLRKPGTRILARWFRPSVGSPS >Et_10B_003232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1863963:1867462:1 gene:Et_10B_003232 transcript:Et_10B_003232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLSSCKGALVFWLLAALRLGGSSDSRVKRLGAASARMAGARAAEALLAGACSARLERQVGDGAPSRPGTSTLTPRSGGRCAGCSGTSGTETSGSPEMGAPRSYSSAFARAAAGSRPGHECSEAALRDGDHSLQITLVSNVAAINEMLQQVELTEEKTRSAISEASQAGNDILAKVEEIKEKITLGVEDNYKLAGDVFADKSIILKEAQELQTRLLNISEERNNLVLTIEEMHNTLQRRLAGAEVQRAAAEKEKFEREESSQKTLEEQKHLLEAAKEEFEMLEQQAQEHAKLRELLTVRGRAVDALHGEMLGIFDSMTQLKLRVDTELPVDGPLQQVSSSMSSSALDKSLHQKVSPCLSVSFADVSLQLIALNLDSSMKSACSQSNSIKSFASRSSCSSAAESDISSKEDERTAVATDRIFELDDNWVAVEDEATIMHNWVASL >Et_1A_007570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3609229:3622531:1 gene:Et_1A_007570 transcript:Et_1A_007570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FLRAERGSVRSRTFPVGSSPSAIINHQDQNGKTTRRLPHDLPASDSHRARLPPPPRPDSDAPPESARGRRRAGCWRRRPHPRRPASMEDLGIEAKEAAVREVAKLLPLPELLSSIASIKSDYLARQQTNDAQLSTMVAEQVEQAHAGINALALSQETINNLRENFIDIDKLCQECQTLIENHDKIKLLSNARNNLNTTLKDVGGMMSISVEAAAARDSLSDDKELIHTYERLAALDGKRRFALAAAASHKEEVGRLRVVEMQEILDQQLAEEAAEAEGAGTMATITNQRRTAKKGAGATATPTKGAQDKSKVQGKGYKDKCYECIRMAVEARFNKLLTELVFGDDLMDALEEAKAIGEELGDIYDYVAPCFPPRYEIFQLMVNLYTERFTQMLRLLSDRANDIQNINILKVTGWVVQYQENLIGLGVDESLAQVCSESGALDPLMNMYVERMQATTKKWYSNILEADKTQPPKTREDGKLYTPAAVDLFRILTEQVQIVRDNSTDVMLYRIALAVIQVMLDFQAAERQRLEEPASDVGLESLCALINNNLHCYELSSELSSSTLEALPQNYAEQVNFEDTCKGFLEVAKEAVLQTVSVIFEDPGVQDLLVKLYQKDWLDGMVTEYLVATFADYFGDVKMYIEERSFRRFVEACIEETIVVYVEHLLTQKNYIKEETIERMRLDEEVLMDFFREHVNVTKVETRVRILADLRELASAESLDSFTLIYTNILEHQPDCPPEVVEKLVGMREGIPRKESKEVVQECKEIYENSLVDGNPQKSGFVFGKLKCLTARKGIWSKLGQ >Et_2A_015849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18372929:18374577:-1 gene:Et_2A_015849 transcript:Et_2A_015849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINFEDKLLHGITTELRTARSTSSEGFRLSKHITYSITAASQGTVILSSLANPNSSSDIRRSSPKTFVLRYTKGTSKRLPSAE >Et_9A_061456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12193849:12215342:1 gene:Et_9A_061456 transcript:Et_9A_061456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPIFSLPGRLEALLRHGYVLPKGAEDEIRFIKRDMEEVVAILHGQRNKKPEEYAMAAWTKEARELSYDMEDFIDQYEHAATGFQSLSIRRNSRRKIIHQHRSKTTTRLPSNLRQRLWVANKIREFSVRVQEVLQRHSVYNLGGAAGISASFRSWNDARPLVGMGAAMNKLEEWMLVMHDAEQEKLKMVSIVGFGGVGKTTLANELYHKVGQQFECRAFIRMSRKPDMRRIFISMLSQVRPHLTPDNWKVHSLISTIRTHLQDKRYLIVVDDLWAMTTWDIIKYALPEDNSGSRIIITTELEELAQHCCGYDSKFIFKMKPLGEDDSGELFFRTVFGTCECPQELKEFLCDIIRKCGGYPLAIVIIASMLRSQLNIKEQWDYLNNSLGDILMINPTWEGMKQVLNLSYDNLSQNLKACMLYMSIYPEGSKILKDDLVNQWIAEGFIGATEGQVKQEISRACFDELVGQNLIQPVDIDGNGEVLSCIVHPMVLNFIITYKSIEENFLTAIHHSEANITLADKVRRLSLHYGNAEDAVAPTNMRSSQVRTLAFFGISKCMPSIVQFRHIRVLILHLWGDEGVISLDLTGISEIFHLRYLMVASNVTLELGTQMSGVQHLETLKIDARVSAVPSDIVHLPGLLHLSLPSGTNLPNGISHMTLLRTLGYFDLSSNSTENEPCNLEGKMQFLLRSILGKLSNLKSLTLSSKSSHNANYLGDADSPSIIISSDDFTHTSCPPTLLSRLELSPRICIFSCLPKSITQLDNLCILKIGIWKLGDNGLEILRGLPKLQVLSLYIHTKPAERIVIGKTGFSNSSWLDAMPSLRRLKLCFNAPRTGELSIIPVGIKHLSGVKEVSAKIGGAVPEETYRTAAEVALKEAMRPGGHATFPRVNVQCVSQMFGCFTDQISSIIGDAELEHQKQYDNMEDIDEHDVSFLSSCCIPGMQLEQSDGEPRDSDGCTLSSVGDMDTGMVVAPAHHREPQQHQKQELVASRSHAGLSLASESGSVTPLPAGGSASRPPFLHNFLGAPSQQLLGVEPSFHPPVDAFGGARAPEMERTAADVEGTSVGPVRKVTRSSQGSSPIKRRQGTRTQLSSVTVTTKDLEDGHAWRKYGQKEIQNSRHPKAYFRCTHRNDQMCLARRQVQRCDDALDTFRVTYIGVHTCRDPSPSANDADVLHAGSHLISNASGTTTSANTTATGNTNNQQEGGVDKGEPVRDRELRGDAWAGLSKKNTMGIIVKLSNLKSLTIAPKSSHNASCLDDAGAPSMIILSDDTSTCSLPKFLWRRICTLLPPDQIGVGKIVSNVLEILRGWPNSMFSLYTLTATLQNNSSLMPCLRKLKLCFNASRTNERSIPVGIKHLSAVNENGCRGGTERSHEIGGIHEAFRLATELMNKLPATQNDPAYLTDRCRGIVHAYVAAIRISYYGCAYKNDCGCPAKKRVRLLDKDPFMYEVSYCGDHTCLPSPRPVIRPTPAPTNTVTRNSPTSSAAVGAQDLDMAAAKHPAPTLSTELQLGLSCKPSSFVGAGSSSGSGEGSSSQVNVPTLAIGRDKASLMGG >Et_6A_046120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26221205:26223478:1 gene:Et_6A_046120 transcript:Et_6A_046120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGVNHMHRVDLMSVDVGWELLWKSMNIKEEKEVQNKCGGLPLGIKLIARVLASKDQTENEWQKVIRKDAWSMSSLPGEIKGALYLSYEDLPKYLKPCFVYCAMYPEDAVIHRNDIVRLWVAEGFIDEKDGQLLEDTAEEYYYEFIYRNLLQPEYFYIDKSRCKMHDLLRQLACYLSREECFVGDPESIRINILSKLRRISVVTEKDMVVLPSIGKEKYKVRTWISPSHDKSRRIDNTICSLVQNIPSCIGGLIHLRLLDLDRTDVSDLPESICCLINLEILNLNHCDALHRLPSGITRLCKLRCLGLAGTLINQVPTGIGCLKLLNDLSGYPVGGDKANSAKTQDGWDLEEVDTLLQLRKLSLIKLERASQYTTDSLLIDKRHLKQLRLECTEHTDEPYSEKDVINTEKVFEMLIPPHSLENLRICDFFGRRFPSWLDTTTNLSSVKYLQLIDCKFIVHLPPMGRLPNLKFLRIKGATAVTKIGHEFVGCWAGNPGSTEVVAFPKLEALVLEDMPNWEEWTFVVEEDDKDETGGKERGEDVYAVKQKRESPPPRMPLLPSLVSLNLLRCPRLRALPPWLGQVTSLKQLLLKDARSLNVVENLSFLSKVLLIAGCGRLERVSNLPQVRVLCVAHCPILRRAEELTSLEQLSLDVDMQDVSSLWVPGLKQQRQQLHGEDLDVYIWPRTESLMVGEGMNRTGWTYCTFASFLSIPCLNFSLYNYTTRAPIFGFSI >Et_1B_011538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23444358:23448665:1 gene:Et_1B_011538 transcript:Et_1B_011538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAISMEGIEARARELGVDLSAVDLDSIDLPAGEDFGILSDDEEVLRDEDFPELDGGFSNIIVVDNLPVVPPEKFEKLENVVRKIYSQIGVIKENGLWMPVNPETKKTYGYCFIEYNTPQEAELAREKTHGYKLDKSHIFAVNMFDDFEKYMKVPDTWTPAEIKPYTPGENLLKWLTDDKARDQFVIRAGTYTEVYWNDARKLAPELVFQKQYWTDSFIQWSPLGTYLATVHRQGSQVWGGESGFERLMRFAHAQVKLIDFSPGERYLVTYSSHEPSNPRDTHRVVLNIFDVRTGKVMRDFKGSADEFTTGGHTGVSGVSWPIFRWGGGKDDKYFARLGKNVISVYETNTFSLLDKKSLKIENVVDFSWSPTDPIISLFVPETGGGNQPARVSLVQIPGKEELRQKNLFSVSDCKMYWQNNGEYLAVQVDRYTKTKKSTYTGFELFRIKERDIPIEVLELENKNDKIIAFAWEPKGHRFAVIHGDGPRPDISFYTMRTTNNTSRVTKLTTLKQKQANALFWSPAGRFIVLAGLKGFNGQLEFFNVDELETMATGEHFMATDIMWDPTGRYLATAVTSVHEMENGFQIWSFNGKHLYKVSKDHFYQFMWRPRPPSLLSPEKEEEISKNLKKYSKKYEQEDQDAFNQLSEQERKRRTELQEEWDSWVAKWKQMHEEERAYRMEVRDGEASDAEEEYEAKEVEVEEVVDVQEEVLAFDLDQE >Et_10A_001449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3527179:3528722:1 gene:Et_10A_001449 transcript:Et_10A_001449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCENSRFAAAMSPAAAVPAAAPLSAASHPIPPCGVSISSDLAAAQLPNAAYPRMNRSAGTDAAPYTNSHARRGARGGGQVRRHQEVAAPVRRRRARDGAEAGDAEGDVHEVADRVARGGDGVGGRDGAGTEERGGDGGGDGGQEEEGRGEINMGPCKAAAAALDGCVYEKDKQD >Et_3B_027592.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:30287024:30288880:-1 gene:Et_3B_027592 transcript:Et_3B_027592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLFSVPSCFSAGEKLPDAPASSAATRSGQSAVTLVYRVGIAGQNRLVTVTWCRNLLTHGMSVSIEGSTGGGKDKSSRDCGEAGTASGSSKACSTACKVEMQPWHFWRKYGAKQFQVDGKAIDVVWDLRSARFSDEPEPLSDYYVAVVSDEEVVLLLGNLKKEAFRRTGSRPSLQDAVLVCKKEHVFSKKRFLTKARFHEKGKLHDISIECSSSNLNGGIDVDMVIKIDGCVNVLVRHLQWKFRGNECILIDQLKVQVYWDAHDWLFGTGMRHALFIFKPEPPSLCSSPSASADFHADEYSDFCLFLYAWKVE >Et_3A_026179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5102817:5107033:-1 gene:Et_3A_026179 transcript:Et_3A_026179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPRAAAARAVLLAAAVLALVASAGAAGKSLADLGGAAREIESAPGTLHRRAIAASGDICLLSLVVLSFVSRETRSSEGDCIDRESSIGGFNPLQLQRNSRGEEPGTMGKGSAERDAGGGGRTGSYGSRFKVSAGSGRGENAAAGCSSPSQTVWRRVERHQQTLLGGVSGFLLSALWFILFGLAAAGRCLFGSRIGKGKVSFADAVRPVLLMVFALTLIAGCIVLLYGQSEFHDEATRTMDFVVNQSDFTTQTLRNVTEYLSLAKTITVAALYLPSDVQGQIDNLKGDLDKAADTISLKTTENYKMIRKVLHNVSAALICIAVLMLVLAFLGYGFEQYGPKYTVYIFVTLCWIMVVALFILLGIFLILNSAAKDTCVAMDQWAQHPQAETALSNILPCVDESTTNRTLYQSKEVVVILVRIVNRAISALSNRRPHHKHPGQMMPYLCSPYDSNFNDRQCKSREVTFDNATTAWQNYTCMAPDTDLCSGNRSLTYDIYGQLVLAANVSYALYHYAPVLLNLQDCKFVRATFSSIASEYCPPLERDLRLVSAGLALIASGYVLYLLWMLFVDRPQREEVSDLASGSRITPVDNSP >Et_1B_011279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19932194:19934026:-1 gene:Et_1B_011279 transcript:Et_1B_011279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILARAGATATTAGVGSLTRPTAGRRQRNSVVVAAVTGAGPAAPQEGELERPAWSGETPLSRLVGALIAFKPLYSLMKLASREVIIRTAEKSNIPWREMTKKVLESDVYEVFERIRDPNLVYPDYYLSPFHAYDEGNLSWLAAAGAEPATLSIAKRAIPEAPSIEEANQIVRGSWLNAIEEHHLKYSGNRQINDILDIGCSVGVSTRYLAEKFPSAKAVGLDLSPYFLAVAAQKEEKLSRQNPIRWVHANGEATGLPSDSFDLVSLAYVCHECPARAITGLVKEAYRLLRPGGTIAVTDNSPKSKVLQELSPVLFTLMKSTEPFLDEYYMLDLEETMRQIGFVNVHSILTDPRHRTVTATVPY >Et_1B_013712.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:13733108:13734622:-1 gene:Et_1B_013712 transcript:Et_1B_013712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRRDWSKPMFAAPNCSSRGAPPEQRFRFVRMPHTDFYGYDLGYNKSVTFEYCKRMCLDMCLCSGFSYRIDGQGLCFPKFVLFNGYRSSAFAASMYLKVPFDFNVSAPSVAARTAAGHPNGPEIVLGGSPDTVLSSPGNGTRWSYFFAFAAVIGVMDLVFIVTDWWFLSSKQHNIPSSSLEEAGYRMVTGQFFRRFTYRELKDATGNFKEELGRGGSGVVYRGVLDAGKKVVAVKKLTTDAVAHEELWAEMAVIGRINHMNLVRIWGFCSEGRHKRLLVYEFADNQSLDRHLFRATDDDARPPLPWRERFRLALGTARGLAYLHHECLEWVVHCDVKPENILVTRDFDAKIADFGLAKLSARKEGARAAGVQLSRIRGTAGYMAPEWALNLPINAKVDVYSYGVVLLEMVIGSRVSDQATEDGEPMEVAQIAQALRHVVASGDVAPLVDGRLQGCFNPRQALEMVRISLACLEERSSSRPTMDDIARALTAYDDEDEHPAYWS >Et_9B_065801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1326983:1334443:1 gene:Et_9B_065801 transcript:Et_9B_065801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRDQKNMTLDWAGPLAATSKEKLVCLEETMGGGKDKHDESDKGLFSNLMHGVAGGHGYPPQGYPPQGYPPQPGAYPPPPGAYPPPPGAYPPAPGAYPPQHGYPQPGGYPQHGGYPPTGYPGGSHQGGHGSSGSHMGPLLAGGAAAAAAAYGAHKLSHGGHSGGHGIMGGHGGYGGYGHGYGGHGKFKHGKHGHGKFKHGHGKFKHGKHGHGMFGGKFKKWKTGMMRGFFSRSLFLARETLAREMADKGGQFNFSNFGNGAGIGSTNYNPHQEQPKLAPKKKKKDKEQPRKHEVYYQPPPPPLESHSLSYSYSSGYPYPYFPPPYTYTHTSFRGPYGYSQPGFGGYPSPYGAYPTPPKSYEYPQLPHHGAYPPMGGGGHHGGHPALGYSADQGGNYEAKMEKGSSGGGGGAAIRAAAWSAAPTGQTCSTAPIGEGVTAEAAASCQATATAN >Et_10B_003391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19393050:19396163:1 gene:Et_10B_003391 transcript:Et_10B_003391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVDKYEKLEKVGEGTYGKVYKAQDKVTGQLVALKKTRLEMDEEGIPPTALREISLLNLLSHSLYVVRLLAVEQATKNGKPVLYLVFEFLDTDLKKFLDPYRRGPNAGPLPTQLVKNFLFQLCKGVAHCHGHGVLHRDLKPQNLLVDKEKRILKIADLGLGRAFTVPMKSYTHEIVTLWYRAPEVLLGATHYSTGVDMWSVGCIFAEMVRRQALFPGDSELQQLLHIFRLMGTPTEEQWPGVSALRDWHEFPQWKPQSLARAVPTLEPEGIDLLSKMLQLDPANRISAKAAMEHPYFNSLDKSQF >Et_1A_009471.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6208931:6210031:-1 gene:Et_1A_009471 transcript:Et_1A_009471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLPPPAPVAVGGVDPLAASIPPSLLSPPPPSPSSSSLNLSPSLLIIAALLAFVFCASVSIHLLLRCFARASSNPAPSPLPRARRAAEADVEAGAESARRSSAAAAEREHVVEVDDEKERLIASLPLFTMASALAALPKSSPDCAVCLSPFSPDAELRLLPACRHAFHAACVDAWLRTTPSCPLCRAAVSLPHPPLPTATAAAQQQQEPLDSNNNSRSFRVEIGSVSNRRSSAAADDRRTYSLGSFDYRVDEEVEAVVARIARPAAAAKHATPAAAAPATPGEALAEAAGSRGWLREYVDRLASSASSLSGRWSARWSQGHHSYHSHRRDDDSWRWDPEAPSGMSRAPDEEEPGFVSLYRWIVGV >Et_10B_002643.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:2656417:2656641:1 gene:Et_10B_002643 transcript:Et_10B_002643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPYNGENHHTEQKAGSSDDHIHAMEYMERKEQENVSAKGGATAQPDQVFIMIKEEMAVREVAYGQLRQHVEG >Et_8A_057154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21685900:21689271:-1 gene:Et_8A_057154 transcript:Et_8A_057154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEETQLGDLPELCLAYAIALTSPRDACRFAAVSPSFRAAADSDHVWHRFIPEDHRAVLLKSSPPAAETRKKDAYLGLCDGGVPVDGDDGCRMWLDRASGAKCYVLSARQLSLPWDDGEFSWRWTRHTLSRFAEVAELVDCTCLDIYGRLPAAALTPATAYAAYLVYGTAEGHRGLSYPDQETAVAVGGRVLERHRVCLRPDDAETRKFRGAGLIAESSSGAGGSEEPRRPRRRGDGWWEMEMGRLRTASLAGELVEEDEVVASFEVLGWYPKRGLVVEGIEFRPTGGESCCVKGRGRISITSSNHASFRAKLV >Et_1A_007975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39978037:39979343:1 gene:Et_1A_007975 transcript:Et_1A_007975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ISFGWCFERLENNTKRILSGRSGSQYTLRLLCLQFYLGDESISIVQSVADTMERQMVGSAEFEILTKKEHILCTEDEFVFHGGQLMALSEACPNAFAGLTCLKLENVSLNKPELPNIISLCKRLEFLRLFNCDMGIPSSLEVEHPRLGQLVMEDCQLERVHLKWLPKLTMLTFNYWISQEDPLSFGYVPLLQSVSLANIGLSWHKMLKLSEFLRNATIGTLQLNFKCEKIWIQPEDPEQLLPVFNKLRLVNLYGIIFCEMMLDEDMRRQYAYSTEKKGIDWELGVSDFKHHKLAVLKIFGFGPEENFVNYVRSIIEAAVNLEDIYLFNKLVCESCKDNVPKASRSPWPKKQRFSLRNRITNGTNSLVTIHFPSSNSH >Et_7B_055414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10024274:10025470:-1 gene:Et_7B_055414 transcript:Et_7B_055414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFGPWADLPPPASRSNPSPPSAASAPRGSLLLTVPGFDAAPLHGQLEAGARVSALPLLLNLPRAGRAYELSTLPVGGEFVGACHGWIAVNTVWPGIFLVNPLAGEGMEIGLPPLKNADDGEPVLKVVFAPRPTTAPPTLAYIRTRDAMRGRWVLVDVAVDAPDRLADLAYDAGAAGGGKGYCVTRCGDVHVFQIPRDRRRLRPRANPLRPRPAGEPFKPAAAFAPPYDVASRFAITNSKNVFICGGGLYQVWRRDAATAISWTVPGGGGGTFRMPKDDVVVLKYNNNARRRPSWDAVRDVGGCSVFVGKNNPVVLRPEDAPGVRANCVYWINERAEPMVFDMAARTSTRHPSSAMVLSPACRPLCWYFLQEKTMSVEDS >Et_8A_056261.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:18932375:18932680:1 gene:Et_8A_056261 transcript:Et_8A_056261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLRINGALSLVALVLLVVLVSAEATGVAAAPEETTSEMVEAAAPPTKAARRAMIHYSGISCGATGNCLKPPLGPPQKANNYTRGCNPINRCRVGPPAN >Et_2B_021201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27374255:27379441:-1 gene:Et_2B_021201 transcript:Et_2B_021201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSAKSPAAAEAPCAESSRRASPMKLLVRVVEARGLPAVHVDGSSDPFVKLQLGKRRAKTAVVKRSLAPAWDEEFSFLVGDVADELVVTVLNEDKYFSNDVLGRVRVPLAQVMENDDLSLGTVWYQLQPKSKRSKKKCRGEVCLRISLSSRTQVSDELQSIPPPTSDDLASSSDRSTEHKDATLSTTSSFIDLSAVACASMDGASRSSFEPLADSNVDQPDRSSIEQAITEPGAAADNDEMANPSSVVEVLSRYFFGKPVDATAHSVASDTEPPDQPQEAKMCSEDHDNPDKGASSSSESSLGDLLKIMESKDQGSGMPGNLPGGVLVDESYVVAPAELNSLLFSPNSDFWPAVAELQGTSGFQIEPWKLDVNESCLQRTLTYTKAASKLVKAVKATEEQKYLKAAGNSFAVFSVVSTPDVPCGNCFKVEILYCITPGPQISPEQQTTHLTVSWRVNFVQSTMIKGMIESGAKQGMTEGYAQFSEVLSQRLKVAEHNDANSNKEKILASLHAQKETNWRLIVRFLGNFTFIFSVAIALYVIAHLHLSNPGVMHGLEYFGLDLPDSIGEVIVCAVLILQGQNIFKIIRRFLSAWKQRGSDHGVKAHGDGWLLTVALIEGTGIRAAEIFEFDAMDDPPSRMDIAIYDSSGPCNEAPIGHTEVNFLKNNLSDLTDIWLTLDGKCEQASNPKLHLRIFLNNSRGTEVVMNYLAKMGKEVGKKINLRSAQTNAAFRKLFALPPEEFLIDDFTCHLKRKMPLQGRLFFSPRIVGFYSNIFGHKTKFFFLWEDVDDIQVIPATLSIGSPSLMIILRKDRGSEAKHGAKGMDQHGRLKFHFQSFVSFNDAYRIITAIWKIRALAPEQKGEVIEKAEPKELQLEEGRSLFTHADVKMTEIFSSVLSVDVESLMEMFAGGPLEHKLMQKAGCLDYYSTEWELVGCNIQQRQTSYKFDKSLSRYGGEATTTQQKYTLVKRDGWAIEEVMTLQGVLLGDYFSAL >Et_3B_029477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25358174:25366103:1 gene:Et_3B_029477 transcript:Et_3B_029477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GLLNFVGGSEVKSQEHRKLFGMEPSNISWACLLLLCFASMGDAEYMRYKDPKQPINNRIKDLVGRMTLAEKIGQMTQIERQVASADVMKKYFIGSILSGGGSVPAPQASPAIWVDMVNEFQKGALSTRLGIPMIYGIDAVHGNNNVYNATLFPHNVGLGATRDPDLVRRIGEATALEVRATGIPYTFAPCIAVCRDPRWGRCYESYSEDHVVVQQMTDIILGLQGEIPVNHTKGVPYIAGRDKVAACAKHFVGDGGTHNGINENNTVIDEHGLLSIHMPPYYDSIIKGVATVMVSYSSLNGVKVHANHDLVTGYLKSKLHFRGFVISDWLGIDRITSPPGANYTYSVQAGINAGIDMVMVPYNYTDYIADVTSLVDKRIISMSRIDDAVRRILRVKFTMGLFENPLADLSFADQLGKKEHRELAREAVRKSLVLLKNGNSPNQQFLPLPKKARRILVAGSHANNLGYQCGGWSIQWMGGSGNITTGTTILDAIKSTVADSTAVDYSENPDDSFMKHNDFSFAIVVVGEPPYAETVGDSSDLTILDPGPDTIRTVCSVVKCAVVIVSGRPVVIEPYVLLMEALVAAWLPGTEGQGVADVLFGDYGFTGKLPRTWFKSVDQLPMNVGDPHYDPLYPFGFGLTINSSLPGFSGADSLEVKKQRTLFVVFCSLLSMAVISDLGIGMHTFNNQRTT >Et_4A_032187.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22768806:22768955:1 gene:Et_4A_032187 transcript:Et_4A_032187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDPDPGILPEWPRRPDARTRSAGGRRRRRRRVLARGPCDMPRRGTRP >Et_4A_035471.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25925134:25925538:-1 gene:Et_4A_035471 transcript:Et_4A_035471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSAFFPPWVVLDNAVILATELPSVDGEHEWAEIRCASKKAYGCGEHDQRLVNNVTLPVRLVHNPDLTTALCIHVDAQACQIIRAQLGAGEHEPIFQSTMYGNVDVVDGSLVVFTLPLSYCPQLAYGPVCFCL >Et_2B_020972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25341145:25341883:-1 gene:Et_2B_020972 transcript:Et_2B_020972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNMSLAAIVLLAGLLILSAIEHVGATVACGTDACEKGSYMTCANMRAQHFNGCDCRCAPLGCKGCVVQHSAKLAPGTNVNTNTNTAPGANVNTAPGANIGSNVNTAPGADVNAAHGALMFHNVPGADVNTNTNTAPGANVNTAPGANMNFGSDVNTAPGADVNAAHGALMFHNVPGADVNTASGANVNAALGAP >Et_3B_028241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12223621:12224168:1 gene:Et_3B_028241 transcript:Et_3B_028241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQPFDLNAAPVEIDMEIVAEDLAVANHFDLNIPMDEDIAVQKLMPPSCRIGNPEVNANVNILPGEAFIEPNDFVNGLVNDEEEQNDGQLSFQVSDSIHGGNASARSAASVNQPTPGEANAEVVLALEADNNPMAAFLPMELQMADLIGDQVQQHHPE >Et_1B_010564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11652841:11658650:-1 gene:Et_1B_010564 transcript:Et_1B_010564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQVMDQRRHFSPLNNPTMAASSFSEDLRLPTERQVGFWKPDSLPHHIGSKSLASSPLEKPQPIGTKIVARPELPQGYKSRDQKMAFSLEHQLFGQERHANLSPSLWRADQDPHCQSDSSLKTEALFPDGRRTNPNEAFNENGLFSSSLSEIFDKKLRLASKNVLARQPVEKVDLNQVDDEPFELSEEIEAQIIGDLLPDDEDLLSGVHGEVGRTSNANNGDDVDDDIFYTGGGMELETDENKKMTELNGGVNDGHGLLNGSLNGEHPYGEHPSRTLFVRNINSNVEDSDLKLLFEQYGEIRALYTACKHRGFVMISYYDIRSARNAMRALQNRPLRRRKLDIHYSIPKENPSEKDINQGMLVVFNVDPTVTNDDLHQIFSDYGEIKEIRDTLQKGHHKIIEFYDVRAAEAAVRALNRSDLAGKKINLETSRLGGTRRFTQHSELGQEELDVFRLGSPSTNSPPIPSFGSSNMATITSSGLENGSIHGLHSGHRTSMSPFREASFPGLSSTIPQSLSSPIGISSAATHSNQASLSELSHSLGRMNGHMNYGFQGMGAIHHSLPEVHNGATNGVAYNLNTMAPVGVNNSSRAEAVASRHLHKVGSGNLNGHSFDRAGEAGFGFSRSGSGTPHGHQLMWNSSNNLHRHSNSPVLWQNAGSYVNNVPSRPPTQMHGVPRAPSHMLENVLPMHHHHVGSAPAINPSLWDRRNSYAAELAEAPNFHPGSVGSMGFPGSPQLHGLELNNMFSQTGGNRMDPTISPAQIGAPSPQQRAPMFHGRNPMVPLPSFDSPGERMRSRRNDSSANQSDNKRQYELDVERILRGDDTRTTLMIKNIPNKYTSKMLLAAIDENHKGTYDFIYLPIDFKNKCNVGYAFINMTNPRHIVPFYQTFNGKKWEKFNSEKVASLAYARIQGKSALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPMGTNIRARSGRSRTSSGEENHEIQTTLTNGDSSGADTSGPTKETE >Et_1A_005015.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22009237:22009350:1 gene:Et_1A_005015 transcript:Et_1A_005015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:petG MIEVFLFGIVLGLIPITLAGLFVTAYLQYRRGDQLDL >Et_3A_025257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28286560:28289071:-1 gene:Et_3A_025257 transcript:Et_3A_025257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVLAAFASLRAAAAIASGNSAFPSSHRLKNTSSSHRFSSSKTSLWNLGLSLWMGEPLADFSVEGISSRSCRCHSNQATPGCEHSCACCNVEGFEAEIACGKDDFVVEEIGTAFAEVMHIYDDGGPDLDEETDEDDDALLSLESDSTDDLVDADTTLVVSHAFPSGDASESSIDSSDYGNSSTNGTPPLVSAMKGTRAKQGIVTQLSVSWAPDVYDPPVTSDSHTAYSTSGGCSSTDAQDIESAKVSPLVPSASGAMPEAVPVLKTVEQIKCATSCKEKPFNLLSRQFSPARYKGMFSFWSQNQLAS >Et_3A_026596.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:10072981:10073604:-1 gene:Et_3A_026596 transcript:Et_3A_026596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSAFSPPTTHVTVRFASATIDTTVTRDSAAADAWVRSVRASAPRGGHGLIVGLDCEWKPNRSPWTTSKVAILQLCTGTRCLVFQLFYAASIPSSLRSFLADPAVRFVGVGVGEDVAKLDADYGLACASPVDIEGLCDEYLGGRVSGRRRGLKGFAREVLGMVMEKPYHVTMSNWEKHDLDTAQIQYACIDAYVSSKLGEKVLAT >Et_3B_028252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12316871:12317174:1 gene:Et_3B_028252 transcript:Et_3B_028252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSITTLGLYISYALPIFFRMTTTRKSGHFTSDVAVGSVAVFWVSLVTMLLSLRVAYPIAEDNFNYTPVAVGGVLLLSIGTWVLHNARFWLRAYYKR >Et_5A_040742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11884564:11888743:-1 gene:Et_5A_040742 transcript:Et_5A_040742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LVDTFDHVRSLRRMEDHQQLQQGRSGGTVGLNLPPGLRFHPSDEEIISCYLTHKMRSYNFTAVAIGEAVINNLNFHRKAKVKMGEKEWYFYCLKDRKYPTWLRVNHATEAGYWKATGKDREIYHGASSVPVLLGMKNTLVFYKERAPKGVKTNWVMHEYRLDGKGRVPYSATSSNTKSKKPCSSSKVEEWVVCRVFDKSPGVRKEPESAHVSAPSYRMTMSGGGVDPRSMSFPMPTPLPMDIQDLTRNSNGLHPLMGNLSASFYSTDSVGSSVPPPLMPPLFPPLLPMAGMDNIELLMNTDYFGNPAAITKPGSFCQQVEAATTRYCGFMVGPDIRTMSMLLHDVRICPSQTDDAHILSVVNPGHVASCTHLTSSAATLSHASPQIHRPSPGPPASSAASAPPPRFCTVWLYSWGRGGANSLVARLAAACEDGGCPSEKGQELDAARRWLGLPGSRSPPRICAMSPPLEAWWRSASSTTARPLLSLLVDGAALLRPDPTKELDKDGRQPPAAGREERRHYRPLNNCKGPIVPQRMSIGRPSRKTEVHGASSVPVLLGMKKTDLVFYNGRLPKV >Et_3A_027119.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33506506:33507834:1 gene:Et_3A_027119 transcript:Et_3A_027119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDEGSSSSVTSSPLHNFSNMPLHPSAASPTPPWLMRELRSDERGLCLIHLLLNCAAAASSGRLDAANAALEHIATLAAPDGDAMQRVAAAFAEALARRALRAWPGLCRALLLPRATPTAAEFAAARRHFSDLCPFLRLAGAAANQSILEAMEGEKIVHVVDLGGADATQWLELLHLLAARPEGPPHLRLTAVCEHKDVLTQTAMALSKEAERLDVPFQFNPVVTRLEALDVESLRVKTGEALAITSSLQLHCLLASDADEATVGGKDKPERRSPESGLSPSTSRADAFLGALWGLSPKVVVVTEQEASHNAAALTERFVEALNYYAALFDCLEVVAPRGSVERARVERWMLGEEIKNVVACDGAERRERHERLDRWARRMEGAGFGRVPLSYYALLQARRAAQGLGCDGFKVREEKGSFFLCWQDRAIFSVSAWRGRRFD >Et_1A_008016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40105968:40107648:1 gene:Et_1A_008016 transcript:Et_1A_008016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRCSQFRPSSRHDTGVTTTNAGAPVWNDNEALTVGPRGPILLEDYHLIEKIAHFARERIPERVVHARGASAKGFFECTHDVSALTCADFLRSPGVRTPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNWDLLGNNFPVFFIRDGIKFPDVIHAFKPNPKSHVQEYWRVFDFLSHHPESLHTFFFLFDDVGVPTDYRHMDGFGVNTYTFVNAAGKARYVKFHWKPTCGVRCILTEEEAALVGGRNHSHATQDLYDSIAAGNFPEWKLFVQVMDPDTEDQYDFDPLDDTKTWPEDLLPLQPVGRLVLDRNVDNFFNENEQLAFGPGLVVPGIYYSDDKMLQCRVFAYADTQRYRLGPNYLMLPVNAPKCAHHNNHYDGAMNFMHRDEEVDYYPSRHAPLRHAPPTPVPSRPVVGKREKGTIHKQNDFKQPGERYRSWDADRQERFVRRFADSLAHPKVSQELRAIWINYLSQCDTSLGMKVANRLNVKPSM >Et_7A_051860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25649811:25652228:-1 gene:Et_7A_051860 transcript:Et_7A_051860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKRGLLGVPNYWHLLLLVCSFLSFSHATPYDQLGNQTISGTFDQIPGYLIHMNTSNKVYGAWDGLSSYKETDNPMFNLSDNGWFILSRSVSLWETNYDGTDLYEASFSFIFTFSMYTPENQTHGSSNLVFAIRPDNSVIGSLPPSGIDLNHSNPTSRSVAKSRVSAQISIINETALFNHRASSILVQIRSEYAGDSSVVNNYSVRIDYDHVAHRIYAYIDGGEGTSDSDNATAERFLNISGIMRQESSLGFYSSIGQLLQLQTWTSTVDRLPHVEAPAPGSQGNKTITLSSVLGAAAVTAITAAVVYFYFTSKYRRWQKEQDKLVKAMQQLPGVPTQVDYADIRKATKNFHETMKLGKGGFGAVYRCKLPAAASRTGQEMEVAVKKFMRELEDRRYDDFLAEVSIINRLRHKNIVPLVGWSYNKGEPLLVYEYMTNGSLDQHLFQSRKGNKRHQEAATQHWDTRYRIVRDIATGLHYVHHEHEPMVLHRDIKASNIMLDSTFGARLGDFGIACTVAVDRSSVTGIAGTIGYIAPDYAISHRATRQSDIYAFGVLILEIVTGNKSGDVSWDDGHITDWVWRLHQEGKLLEAVDSGVLATEDGQEDVTEEAKRLLLLGLACTNPNPSNRPRMVEVVQIITKLALPPEVPLERPTFVWPLEDWRTRNSVYSTAMSKWDKSSAHTTTELIQFSQEQPSSAPNGGQSSFDSREASGGMEL >Et_2A_017374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33682646:33688068:-1 gene:Et_2A_017374 transcript:Et_2A_017374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAAEGPIRGSDAVQTAAGEAMRAAEAAKGGDAPVGKEEVREYESDMRKLEELLSKLNPSAAEFVPLSRRRGDGHRLSADAPRRNGPFNQGRRRMMGGRGPRRADREDSVRRTVYVSDIDQHVTEQKLAEVFSTCGQVVDCRICGDPNSILRFAFIEFADDVGARTALTLCGTVLGYYPVKVLPSKTAILPVNPKFLPRTDDEKEMVSRTVYCTNIDKKVPEDEVKQFFEGSCGEVARLRLLGDYVHSTCIAFVEFVQADSAILALNCSGMVLGSLPGEPFKDTSAPPFAPCDVVLNPACLKPSSRAAKFRATKPLVVALETVASRISNCR >Et_5A_042002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:565499:566639:-1 gene:Et_5A_042002 transcript:Et_5A_042002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNMSSLSSSKSAGAAKRAYVTFLAGDGDYWKGVVGLAKGLRRVRSAYPLVVAVLPDVPEDHRRKLTDQGCIVREIQPIYPPESQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQDFLNLFFREQYKPIPNVYNLVLAMLWRHPENVDLDEVKVVHYCAAGSKPWRFTGKEPNMDREDIKTLMQKWWDIYNDASLDYHPSGDGDDDDARRQPLRQALSEAGAVKYFPAPSAA >Et_3A_022996.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:14821520:14824790:1 gene:Et_3A_022996 transcript:Et_3A_022996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPKPTTPSHEPSPPRPRRQVPQIQSTLKTTGIRPLSLPPPPMAPAPPAPAAGPSLVESLFQRSLDDLVKSLRADPSAAGESAAVARALSEIHREIRAADAATKAVALQKLTYLSSLHFAPVASHPLAFPAIELLASPHLPHKRVAYLAASLSLHPASLSLLPLATHQLHKDLAPSASSAAAHRHVSALALQLLASPAAAAAPDLAIHLAHDLVPHLSRGCPRAIAAAARVIASSPSAAVPVLFKPLAACLASPDPRASTAAAAAFCDLSAPPADAAPFLPLAPDLYNLLTTSRSNWALIKVLKVFARLAPLESRLAARIVDPVCQLLTRSAAMSLTFECIRTVLTALPAHDAAVRLAIGKAKEFLAAEDDPNLRYLGLLALGMIGPAYASTVQDFRDVIAQSLGDADSNIRREALHLMMGMVDENNVMDIAGMLVSHAAKSDPEFANEILGAVLAACGRNVYELVEDFDWYASLLADMARSLHCAQGDEIGRQLVDVALRVHDARPELVRSARNLLIDPALLGNNFLCPVLSAAAWISGEYVQFSKDPVELVEALLQPRTSLLPMSVRAVYIHAVFKIITFCFSMYVERLGNSSEAVDAAFDELAVDPNVGEEINVAHVSSEEQGIRPISVEKDHVSGEEKGIRTHTVGKDPFSHESVLYMINLIQTILGPLVECNEVEVQERARNLIGFIHLAREIQELKETKVNGDKNSRVKELVVTVRTIFCHELGPVSANAQKNVVPPDGLVLENLAELTNIVSKDDTTPSTSVVFHPRSRHSAEIKDEPAVSIGSSSLSEHRKRHGLFYLPTGKAEDEANDYPHANDPLLPASYETFIDDKLKTIEPVFAGKKIKSTKSRPKVVKLDGEDFLSTMVVNASVPKEDPLSGAVRGVLLTRDAKPSSSQNVSVIMSEGMLNKTGTDESSSQWMEKRESDLGGVPTSSSRTSKHRDKEKGIDLPEIDGNESRKHRSSNRSGRRQGKHKHRERSSTQPDIVPQAPVIQDFLL >Et_7B_054417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21172671:21175017:1 gene:Et_7B_054417 transcript:Et_7B_054417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGGAGKVMVVGRAEIDTRAPFRSVKEAVALFGEKVLAGELYAGRCVTVNENRAATTWPNHHATAAVPAAAVVSVQHQVPAPMTTSTAELEEAKQKLEKEREEKQKMAGCIQTLQEELSHAMRELKRLKAREDDEVDAKVIDLQVDEDDDVLKFVEDDKQHPPPDHNNSSAGEVQKKRYVTFADPPTAADQCRAPPVADVVMELHRAPSKQQPQLHYYREPRFQRQMSAGHEPAVKARAGEEGKTKKKKKPLIPLVGALFMRRKKSSSCCHDDSSF >Et_1A_005142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29084325:29085956:1 gene:Et_1A_005142 transcript:Et_1A_005142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGGAWWAAAAAVAYYAAAAVVALRLVLSHKSVAHAVRRAWRWADEWAQAYQYYEVPRFGADGSENPLFRKAAAYVASLPSLEDADAARVLSSAAKSNDFSLQLGPGHTARDAFLGARLAGAGDREERLVLRVRRHDRTRVLRPYLQHLESVADEMEMRRRELRLYANTGGAAAQQRWASAPFTHPATLDTVAMDPELKARVRADLESFLKGRAYYLRLGRVWRRSYLLYGPPGTGKSTFAAAMARFLGYDVYDIDLSRAGNDGDDLRSLLLDTAPRSLILVEDLDRYLRGGDGDGGETAAARTARVLSFMDGLSSCCGEERVMVFTMSGAKDAVDPAVLRPGRLDVHIHFTMCDFEGFKALASNYLGLKDHKLYPQVEEGFHGGARLSPAELGEIMLANRGSPSRALRTVISALQHVGPTPTQQQQQRANSSTARPPRLTSRWSGHLDDASSAAGGFAKDAPIREFKKLYGLIKYRSRKDMGVVPVDDTPSPNGRGSNVSLEKDR >Et_3B_030058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30166448:30170821:1 gene:Et_3B_030058 transcript:Et_3B_030058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAGDETPSPPAPSAAGEASGGPSTSTPTSASASKPPLRTTKPGVKRLVLTVSVLLSFLLGLPFLLKSTEIHRSPLPSDAIADLARRLHSNPPSFPCGLHAVFLQSSPGSPDASLANHLEQAISAQLQLLPATSTAGNVTVSVTVDSAGACSSSSSNSIGSRWQCGAVTIADLVRGDEVFDELLQSALDGSSRDGMRVYTVVILESDDVKRMRTVVGKHRHAWMVGKADEAEAVSAIGKIFNKYFMNGGIEESEAGIGKGEFMPVGSDGNIVLSFSLLNADPSDWVYDWEFGKIGERILSPVVEALRPIADINIESQVLYHTPKSSYSYSDDKLGGNVLSMGDIPFFVNSNEWHLDTSISATGRSKVLQFVVYIPSARECPLYLQLPDGGISKTNAFISPMWGGVHIWNPPDCSLGSEKTHGTRKKMSSQELMEILEIFIGQLRQLFGLKPNYLAQDMDRMIKFIVREKGFTEWELDLLYRHHARSNLLASLTTLESLSSLVQSLPRMIVMDEIGRQVELSLEAANLAQRNTTLGITSATRARALAEDAFFHPSIMSISYASIEHYFAIYMPFFAPVSLHVLLAAIKELKRYKVERAKYSAFLASQATSS >Et_9B_065709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9390761:9398178:1 gene:Et_9B_065709 transcript:Et_9B_065709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAYRRVAAKSYKAEIRDADFKYEVKSIIHPRCDLCISRFFSRMRSMHITIFFSYTYIYTYLTQEARKEINNWVSKATKQLITSILPPKSVNRFTRLVIANVIYFKGAWKTAFSESYTENRFFYRLDGSQVLAPFMRSRQDQFIALYGGFKVLKLPYRQHSKDAYSQFSMVIFLPDDRDGLPSLVEKMASEPSFLWDHLPKSCGKVDQFRLPMFKVSFSSDIKDVLKVMGIKAAFDQTADLTDMLQKEKAKLPVMKVASTGCSSMVKLCARRGPDFVADHPFVFFVVEEVSGAIIFMGHVLDPTNMEDDEARVNKKPRGGADSGLTAFALRLAKHLADAAGDDGDEDAGKNIVFSPVSIYSALALVAAGARGATLIELLTVLGASSRKELAELVCNVADGALADRSGSGGPLVAFAWALWHEKTVVMKPDYRAVAAESYKSEIRDADFIEKAEEAREQINSWVSMATKQLITSILPEDSVHCHTRLVIANVIYFKGAWKKAFLKQNTKDRMFYRLDGSKVRVPFMSSRKDQFVAQYRGFKVLKLPYCQRSKVGAGSNESEELEFDDYDECECGPNESTQFSMVIFLPDDHDGLQSLVQKMASTSSFLWDHLPKSRNKIDEFWLPKFKISFSSKINDVLKVMGIKKAFDFQSADLSDMIEDEEGESVNLFLDNIIHKAVIEVNEEGTEAVASTICTGRRLQCARRKVKFVADHPFVFFVVEEVSDEEARASKKPRGGADSGMTAFALRLAKHLAEAAGDDDGNIDARKNIVFSPVSIYSAGARGETLKELLAVLGASSRNELAELVCNVADGALADRSGPLVAFAWALWHEKTVVMRPEYCAVAAESYKSEIREADFIEKVRSHLRPRRCIVGYITNS >Et_4A_032488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10611423:10614391:-1 gene:Et_4A_032488 transcript:Et_4A_032488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFARPALAVSPSVDLGAAMAAADCAGGEGPEEIWRRRPKTKIVCTLGPASRSVEMCARLLRAGMCVARFNFSHGSHEYHQETLDNLRKAMEITGIICAVMLDTKGPEIRTGFLKDGKPITLTQGKEITITTDYSIKGDENMISMSYQKIAVDLKPGSTILCADGTITLTVVACDPGQGLVRCRCENSALLGERKNVNLPGVIVDLPTLTEKDKVDILQWGVPNKIDMIALSFVRKGSDLQMVRSVLGEHAKSILLMSKVENQEGVANFDEILANSDAFMVARGDLGMEIPIEKIFYAQKVMIFKCNVQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMSRICLQAESHTDYGAIFKLITNSAPIPMSPLESLASSAVRTANIAKASLILVLTRGGTTARLVAKYRPAMPVLSAVVPEVKTDDNFNWTCSDERPARHSMIVKGLIPMLSAATAKASDTEATEEAISFAIDHAKKLNLCKPGDSVVALHRIGAASIIKILTVE >Et_10B_002752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10516194:10522641:-1 gene:Et_10B_002752 transcript:Et_10B_002752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METELDYEFQRKLTKRLLEGNVPKLVYEFAANGNLHDKLHGNNKIPISLGVRLQIALECVEALAYIHSSTDSCILHGDVKSANVLLDDNMMAKVFDFGLSRLLSVGGNTMHTMNVRCSLGYGDPMFIKEGILTQKSDVYSFGVVLIELITQRKPRDEQENYLAQSFRSCFSKGKRAINNIIDEEIAKREDRLFIQDIAKLAFEYLSTRIEDRPIMKKVAQQLSQIVERRRQDQQSNKINPPTKSLLCLQASHSTCFLSQCRYHK >Et_4B_038984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6669433:6675831:1 gene:Et_4B_038984 transcript:Et_4B_038984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFEKIEVLTMLPKIFLYYLEDIREFPSGGGGRKQESNKVIMKMYKDPPAEISHSAHPGHRLKLVTPTDGPPFRCDGCKEPGSGKWRRYRCDHCEFDLHISCALHESTLKHPLFGDLEFEFIRQLYPPSGDAKFCNACGSMTPGFVYHCSEKDLDLHLHPCCAALKMESFLQDGHVLQLCKEAKQGCVICGEKARPPGSSSNKKFWSSFKKEEKLWAYRWHYDGNDGYLHVACMKKVAVHNWERAYQDSAGSIVEESLPIMKGMLRWRPSKNTENSFDQTAAGILQLVTDREEIKQNQTKLTMKLYEDPPAEISHNAHPAHKLKLVTTADGPPFRCDGCKEPGSGTGRRYRCGDGCEFDLHTSCAVPEPRLKHPLFGDLEFEFLPHAPPPVDATFCNACGYRAPGFVYHCFEKDLDLHPRCAALKMESVLQGGHMLRLCNEAKQGCVVCGEMAGRQRAQSSSSKKFWAYRWQYDGNHGYLHVACMKKIAVQSWEQAELDGAGVVEASVPIMKGMLQWRPARNTEAGSSGGIGSGIRGLDSLTNLAQIIAQASSS >Et_9A_062184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20493330:20497390:1 gene:Et_9A_062184 transcript:Et_9A_062184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAATVSAPSRFLSSANPRSTAQLNPSSLAFAAPLVGHQLGGDRCLGVRAKVASAVQSASVVDDGAQRPWKLSDARLVLEDGSVWRAKSFGASGTQVAEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNPDDEESNQCFLGGLIIRNLSICTSNWRCKETLEEYLIKRNIMGIYDVDTRAITRRLREDGSLIGVLSTDESRTDAELLEMAKKWKIVGVDLISGVTCDAPYEWSDKTDSEWEFKKDQSTESFHVVAYDFGIKQNIMRRLTSYGCKITVVPANWPASKVLNLKPDGVLFSNGPGDPAAVPYAVKTVQEILGKVTVFGICMGHQLIGQALGGKTFKMKFGHHGGNHPVCDLRSGRVDISSQNHNYAVEPESLPGGVQVTHINLNDNSCAGLQYPKMKLMSLQYHPESSPGPHDSDTAFGEFIELMKTNRS >Et_4A_034092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29971593:29973077:-1 gene:Et_4A_034092 transcript:Et_4A_034092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEAGAGAGAGAAKLNVVLFPWLAFGHLIPYLELAKRLAARGHAVTFLSTPRNIARLPTVPARLSSRVNLVALPAPKVDGLPEGAESTADVPPEMNELIKKAVDGLAAPFAAFLADAVAAGGRKPDWIFNDFCHHWLPDIADAHGVPCATFMIVQPATIAFFGPRHGRGGPGSPPPTWRDFVAPPAWCGGPSSFPPAVAYRRHEAEWIATAFRPNASGVSDMDRMCGIIERSRLVIYRSCEELDPGVFELLTDIFQTPAVPAGVLFQPEFSDDDGAGSGERHEVLRWLDDQPPKSVTYVALGSEAPLTANTLRELALGMELAGVRFLWAFRKPAGMSAPDSDERTRGRGLVRTGWVPQTRVLAHAAVGAFLTHCGWGSTLESLAFGHPMVMLPFVVDQPLVARTMALRGVGVEVPRDEDAHGSFVREDVAAAVRRVMVEEEGKVFASNAAKLKEVLGDQRRQDGYVDALVDYLRRYKDNELC >Et_4B_036776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11442635:11444836:-1 gene:Et_4B_036776 transcript:Et_4B_036776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFQVPGGFMLSPLHDNVLRLTNKVTPLLKKTEEHLSMKNVPTEFEGHLQSSISPGHFRGFLANQLKFGSKKAESHKDLGENLPNRCEEDMEEVLTENRSAKGELRQKERTVNTINENDFDDIPTSVNAAPVPATVMIKDHWVCCDSCHKWRLLPYGTNPSMLPKKWKCSMLYWLYNESTILTGLKIYRPGMNRCDISEDETTNALNALYLTPAPAVGVSSSGPHTAGGDIATLSVQNISVQLDKCGESKTCPSQGNDSNESSYHTPLETPPMNNEQNPKKQKEVVDDENDKLEKDSVSKDDLWPMGKSADLLSEKEKSEKGDLKERTRVHSKLKTKIGIDQDKLKTKNKKKVQEFGTDVGTGAAHLCSSDKKGLETERPSQHTGSSAIAHENKTSAHCRSGQNLVISFGGEEKSKPRISKQDIQKPASQFVHLPINEGTQEVFSTSVKFDVSEMEAHSRRPNVKTGVQHIAVRQTGLKHSDASPVGKDGSMVAFALKEARYLKHKANHLKVVEPFCKILYHHAPPMIILFPLFF >Et_5A_041898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:461682:463219:1 gene:Et_5A_041898 transcript:Et_5A_041898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQTMASLGGGSGLSRQGSVYSLTLTEVESQLGEPLRSMNLDDLLRTVAAGEPPATAGKKTVDEVWRDIQSGSGDRQQQQQSMGEMTLEDFLSRAGVAVDGPHWPHQSYPPPPPPHQQQHPYLPLPRPLSGGVGDFLSQVPGRKRGAAAAGVDGAVVERTVERRQKRMIKNRESAARSRARKQAYTNELENKIAKLEEENERLRKLKVKQTNGTTNQKNAVLLLLFRGPVISDLGGLLKPAQTLKMLEPLEPPPEHERRPRPLPVPEPELKKQLRRTNSASF >Et_6B_049170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19025866:19026696:1 gene:Et_6B_049170 transcript:Et_6B_049170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAQRGLRVFPLLSGTGGMLLPLVLKRRTRAEYHRRPFCQSSKWFNLRSTCRTIRDSGSCDNLGLECLPLLQKVGVNKKKRGHQSLERMTLLDPHELDDVQEQWEHPEKWNIEKPSIYQVIHAAHGCFFQKLNLCRMRALAFHLLLAAGTGTREDAPAVMRNLEKLEFCVYVHELIRNNGICANLGLECLHSLQKVT >Et_7A_052858.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:20331242:20332736:-1 gene:Et_7A_052858 transcript:Et_7A_052858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDESMHIVLFPFPAQGHFSAFLSLAGRLHHARPSAAVTLVSTPGNVAVLRASASASSLPFLRFHAFPFVPEEHGLPAGAESLDVLHVRHFLALFHATESPSLHSKFDEFVSATIVTPDSALARAVVVIADPFLAWTTGVARHHGARHAIFVSCGAFGSAVYHSLWNHLPHLRAPTGDDAYFRLPDHPEVTVHQSQLFVALRVADGTDPWSAFHRRQIAHASETDALLVNTTEELEPVGLRMLRRTMGGAPVLPIGPLVRVPTQDRSGGDGVVRWLDAKKEARSVLYVSFGSQNSLLPEQMMELAAALELAGRPFVWAIRPPVGSDDDDVGRWLPEGFEERVRGNDTGLLVHGWAPQLRILAHAATGAFLSHCGWNSVLESVTNGLPVVGWPLAGEQFFNAKMLAEEWGVCVEVARGNDDAVGSSVVDPARIAEAVETIMGDSAKATEMRRRAKDVQDLVLGAQRDGGSSMEAMEEFFTSMLRGISANSC >Et_2B_020329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19075249:19081351:1 gene:Et_2B_020329 transcript:Et_2B_020329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAANRKLSANPAPSPVAAASASRPKAAATPFLSGCDDDDDDFQSPPSLSRARPLKPCNGAAARRPCKKLKPSYSSSAKENRSVAGCTGPAKRVAAAVLRAAGTLAIGSRVNSGPLQGKETIGGEIPGLSRYGSDGSKIGCNLEEVSDRYRNCKSNSSPVPNSLEPRVLVMGALCDLGGGVCEDSQMVGSRDRTSIPDEGLDTIMLKGSGAPEGAKSKLVEARLLESDTNCEFVVAGSYGSGMLGPGIHDSLTDTPNMENEAVVASEFGFGIHNRSHHLYSLEPKLLMPNVKYDCGGGDRKEAQDPGLEACNLVSEERKIAARYCVNPENETVENELSGPEAFKENDCSNSSESELLESQIPHDYEGDGYDNFEIGTQLSELINLCMEDHMEGHSNRGSSPIEQNTLDPKRPHSEVQVQCPLCGSDISDLSEERRQLHTNNCLDEPAKEPNPNHESEPPAGDNVRSRRVVKWLRDLGLSKYEEIFIREEIDWETLQWLTEEDLLGMGITSLGPRKKITHALGEIRKNNDHANDMDADLLNSESTKKTKFPLNGNKLITQYFQCSSFDQRQRRVCKVNKPCNLNGQKNSSAKVPPKRSHAVKGKVKDTPLWCCIPGTPFRVDAFRYLRGDCCHWFLTHFHIDHYQGLTRSFCHGKIYCSSITASLVHHKIGIPWDRLHVLPINEKITISGVNLTCFDANHCPGSIIILFEPPNGKAVLHTGDFRFSPEMANNPILQSSHVHTLILDTTYCNPRYDFPSQEIVIQFVIEAIQAEAFNPKTLFLIGSYTIGKERLFMEVARLLQKKIYVGAAKLQILKHLELPQEIMHWFTANEAESHIHVVPMWTLASFKRMKYLSNQYAGRFDLIVAFCPTGWAFGKGRKRTPGKRWQQGSIIRYEVPYSEHSSFTELQEFVKFISPEHIIPSVNNDGPESADAMLAQLLNE >Et_8B_059754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2404419:2406155:1 gene:Et_8B_059754 transcript:Et_8B_059754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKTCASKDLMKLIKRFCKNDNELFVHNADLRVNIAQKLASLTKDFISKLGFLHHLDTSLDKVPKMLRESYDKYVSDIGKIIEDDLMYAKVLSVILVPEWAPKYEFSEMFPPEIVSLIQEAQTSAAEYREKKLTLNSRRIIMGGLGHLSVVPGHRDKVLTCVKRLEAKLLKEDRDA >Et_3A_025028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26281782:26289092:1 gene:Et_3A_025028 transcript:Et_3A_025028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding STTRTDGATSKISASTESAPRGPSWPPSIATLPFSFTVTLNRRASAISSSRRALPGGEPRLRPVVLVEHRGQVQTARPGDDVEGVHARRRHGDRRRRYGGHLGPLPLTCVLLLFLFVAVVVLAEQPGGVARDDRFVGQRAGAFLKKPRLGLDLGDGDPGPRIEVQQPGEELARLDGEPRRALVVAVHDLPVQAHQVGVVERSTKRITPHDQMSALAPSCYLGGDVRRRATRGVQEAVAAQARGGAVHGAEPEVGDLEVAARVEEEVLGLEVAVVHAAAVAELDGRDQLTEVAARHGLREARAPCEPRQELPAARVLHGEVDPGPRGHHLVEADHVRVARAPHGVHLTLHLLHGARPAHGVLVEHLDRHGLAGGHLMGLVDLGEAAAAEEAAEPVPAAHDHLRVLVLLHGAGKKEERSKWSWAMTAGRREDASVQRSMEMLGFGYLVILNKELDWLETFLEPPGLHNLRQSNPGVGVGVKNAHQQASKVPGEPPGAPELALVNLPVHGHDVLVMEREITGHEHKKDDAARPDVGLGAVVPATGEHFRGDIGRRAAEGVEEAVGAELVGDGGEAKVRYLEVPVLVDEEVLGLEVAVEDAPRVAEGDGGDELLEVAAGGVLLEAALGDAGEELAAADELHDEVDLRLGGHDLEEVHDVGVAHAAEHGNLALDTSPLRTAFSLSSTLMATLSPVSTCRAWYTLAKVPWPRTRPSSYFPISDPPAPPAPRLAAAAAADGAGRPACGDGSACGAGGFGGVIAAIDAAAARNRSD >Et_3B_031401.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28345695:28347098:1 gene:Et_3B_031401 transcript:Et_3B_031401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADVVALVTVVVVAVAAGADDGATVKLNPLVAGVAVTEGAVDAPVAETEANVGAEAAEEPNEKAEVPAEELPAALVFENSEGADAACEVAKEKPVEGVDAGAGVVDVLLGNEKPEAEEVENKEGAVLAAVVLANEPKDGAVVAAVAGDEAVAVLKSGTEVVDPNSDEPVAAPNPRAGAEAGVEAVLAAAPELSEKPNAGVEAAAVIAVEPDVDAPKPKPVAALEKRLGVDAAEEAAAAPNRVGVVPAVEVAPNGLGVVAAEEVAPNKPGVAAADEVPPNRLGVDAGADVAPNRPGVVAGADVAPNRLGVVVAEPVADAPKRPGELAAVEAAPNRLGVVPAEEVGLAPKGDGADAAAVDCPNEKPVDPKPKAEGEEAVVAPDAAADGAPNREEPKVGAEEAAADGAPKGEEPKAGAGEEPAAGCEKEKADGAVEKEKGDGEEEPAAAEPPKLKEVAMAGAAPIWARF >Et_4B_038925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6011089:6012127:1 gene:Et_4B_038925 transcript:Et_4B_038925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIPEPEAAMALPEPPSWLTLRVFYLRLSRCEVDESMLDALTVTYVPLTPDTVLEVTGGDQPPPSISTDEKGGGSVSCSLRRDRIDAASEEATFVSTAAVRVAGSVRFEVQNGDERLLVGILEPCDVESLGWKRSWVMKCQAAAQRGSGSLRCGREASKAPPPAVEVYVGGVFRGTPIVFTKAMLLRFRRRRQVRAFMEPIPECAEPAEDTKETSPERDAVPVESGYRCYKPEPMTDDDDGLYIGSVGRDEDDYSDLSWFTTGVRVGVGISLGIFLGVGVGAGLLARSYKSTSSTLKRRLISNLL >Et_1A_004948.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:18577976:18578233:-1 gene:Et_1A_004948 transcript:Et_1A_004948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKEAGRPQSPDAVSATATATNSTSLDENKIKIVWCLSGKCDYFGHGIQDCFCCGDPYVSSNCYETKAKCRAQCPACNPICPSS >Et_3B_028599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17159057:17168496:-1 gene:Et_3B_028599 transcript:Et_3B_028599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GIDLLCDNIVEEILLRLPLKYLHRLGATARRYNALVLGPDFTARYWRSHGSHLSGTEKLIRPWGDRPCFLTATGRQPSATESVFASDIAFLPHLPQKQSHYCTSEGMIFIVHSSAGLLLCSRDEVKQVHYYVCNPVTWQCVALPELPWPGYYTSLLSVSANGDGTIKTFQVVLVNRPSEWQQDGSCMCFDLKTFSSDTGQWRAMRLRTPVLGADARFPPLLRQGGTACWIEYPSKDRVIAYNSVYRSVHVLPLPTRVAEDACNRCLGERQGGSLRFAHFNFSLFEVWDLQTEGEDGMRWKLVHRSGLTELIEQNPEAANVYGRAIQGYINRNSLFNVIGFHPIDDIVYFDIGRTVAAYSIVHRTIKFQSPRQCFPSYLFPYVHPAHPVLIPEIKNSKPGMMDLLCDNIMEEILLRLPLKYLHRLRAAARRYNALVLGPEFTARYWSSHGPHSGVFLQTEFPHRPWRDRPCFLTASGHQPSATESVFSSDISFLPHLPHKEAYSWTTDGVIFIVHSSAGLLLCARGQGKQVHYPVTWQCVALPELPWPGYYTGLLSVSANGDGTIKTFQVALVNRPLEWHQHWGCLALKIFSSDTGQWRAMQLHPPALHVDAHSPPFLGQSGTAYWIGYCDKDKVIAYNSVCHSVRVLPVPTRVAENALNRCLGERQDGGLRYAHFDFAVFEVWDLQTAGGNGMWWKLVHRIGVMELAQQNPEAAHYATNGGSIEGHINANRLFEVIGFHPIDDIVYFDVRRTVAIYSIARSTIELQCPRQCFSMDVFPYVHPAHPFTARYWSSHGLHLSGVFLQTDKPCRPWKDRPCFLNASGSRPSATESVFASDIAFLAHLTDKQTYSWSSQGMIFIVHSSAGLLLCSRGQEKPVHYYVCNPVTWQCVALPELPWQGCNSGLLTVSENGDGTIKSFQVVIVEHPRYWKQDGGCLKLDLKIFSSNTGHWRMMQLQSPVLGVDACSPPFLGQSGTMYWIVYCNKDRAIAYNSVCHSVRVLPVPTRIAKNALNRCLGERQGGGLRYAHFDFSVFEVWDLQMEADDGMWWKLVHRIDVMELAEQNPEAADYVTAGGNVEGFITNNTLFEVIGFDPIDDIIYFDVRRTVAVYSIGDRTIRLRCDRQCFWGDVFPYVHPAHPVLIPEIKNQHGNI >Et_2B_022827.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6107933:6108379:1 gene:Et_2B_022827 transcript:Et_2B_022827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAAKNKGQLAAVAPPATAEPAKTKKPTTLLDAYEVECIRRELERLIRKQNQSPTVGDERAKAAAHGHSHHRRHRGSSGVKKAPASSAAKKAGPSTPANAAAAKKGVRLLGRHAVAICSGTTVPVSSAVGGDRRRRRGGYREVEKV >Et_5A_042771.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4056533:4057519:1 gene:Et_5A_042771 transcript:Et_5A_042771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMVPVMAPSAPCSPRTAGAGDHLPGYCYFFSSAPTSPSRASYAAGDGGGHGDVDGATFDFTLGFSGQLQEASPILAAADELFEGGRIRPLNTPHPSILMVDDTSSSSSSSSYSFRPRSPRRAAGGREDRAEASSERGRSGRPAATASSASSSRSRRATRSLSPFRGGGIGGVAGAGDDESPSSPPSPRTSMMRGCGSGSKKWRLKDLFLFRSASEGRATGSKDPLFKYTMLSTSSSSSFAHPQKLKAAGAGGDGSASMRKGRGSTASASDMPYTVNRAAAEDMRRRTTTPLPFHRNSLFGYLRSNPAIHSISRKLGNHSNRGRTAA >Et_1B_013067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5157433:5158279:-1 gene:Et_1B_013067 transcript:Et_1B_013067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSRGLFCRGAICGIVALLSVAAFACSLAAEFRKVKEKDMKVDGSLCSLPRSSAFELGVAAIAFLFVAQLVGTSAAVTAAGVAKPKKSAPATRRRVAFVALLVLSWASFAVAVILLATAASMNHGQRYGRGWMDGDCYVPKNGVFGGAAALVVVTALITLGLTFATEPSSSSPAMASAPASHADASAACATTHLDAEQLGGRSKQ >Et_1A_006697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26456802:26457877:-1 gene:Et_1A_006697 transcript:Et_1A_006697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMATALMVVVAVLGLATGGNAQLQYGYYKGKCNGSDVEAVVQSIVKARFAREAPIVAYLLRLQFHECAVNGCDGGLLIDGPGTEKTAPPNLSVKGYDLIAAIKTELEKRCHGVVSCSDIEILATRDAVALAGGPAYTVRTGRRDRRRSLASDVKLPGAEYSSAQAVAYYARLGFTAQETVLLLGAHTVGATHCSLIKNSRLYGYGGKVNATDPAMDPTLASVYKKYVCPNVPSSDGVTVFLDDQWSALKVDNNYYKNLQRGRGVLLVDQNLYRDASTRGIIDQLASNNGLFQSLFAKVLVKLSEVGVLTGTQGEIRKVCNKFN >Et_9A_062073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19555462:19555733:1 gene:Et_9A_062073 transcript:Et_9A_062073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHWLEAMLPLGIIGGMLCIMGNAQYYIHRAAHGRPKHIGNDMWDVAMERRDKKLVEQGSGN >Et_2B_022766.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:4638089:4638386:-1 gene:Et_2B_022766 transcript:Et_2B_022766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKRYRGLPQGEVTVEEFRAWLSQFDADGNGRISREELQNALRSLNVWFAWWKARGGVRAADGNGDGAVYGDDEVGRLFAFAQRHLHVKITQLGYY >Et_8B_059295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16290575:16297377:1 gene:Et_8B_059295 transcript:Et_8B_059295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DSWVGTGFIHESGNVKTQNYQNYFAYGSYRIIKGLNWSQTRATAYVARASIAAGSIQEMEVNSSGEEAMIKVRKPYTITKQRERWTEAEHKRFLEALKLYGRQWQRIEEHVGTKTAVQIRSHAQKFFTKLEKEAMNNGTSPGQALDIDIPPPRPKRKPNSPYPRKSGLSSEISNKEVPNDKSTKSNMRPSNGNVEMASDSSLQKLQRKEVSEKGSCSEVLTLFRDVPSASFSSVNKSSSNHGAPGTEPTKNEIKGMTTMEKKSLSINVHDDAKQINDREMERLNGIHISSKCDRSDEDYLDSSTQQMKLKPMSMEAVDRQTSRASHCVTERNVTASVPVMGTEGSHPDQICDQEGANGSMNPCIHPTISVDPKFNINATPLPCPHNFAAFPPLMQCNCNQDAYRSFVNMSSTFSSMLVSTLLSNPAIHAAARLAASYWPAAESNTSIDPNQDNPAEGVQGSDIGSPPSMASMVAATVAAASAWWATQGLLPFFPPPMAFPFVTAPSAAFPTTDVQRASEKVRDCQLENAQKECQEAQNQGQSEALRPAPPSGSDVNGKGDLSLHTELKISPGQNADATPTTVGDTSDVFRNKKKQDRSSCGSNTPSSSDVEADNVPEKQEKADDNAKQASCSNSSAGDTNHRRFRSSGSTSDSWKEVSEEGRLAFDALFSREKLPQSFSPPQAEESKMISKEDEDEATTVTVDLNKNVTAIDHDLDTMDESRASFPSELSQLKLKSRRTGFKPYKRCSVEAKDNRVQANEDVGTKRIRLESEAST >Et_7B_054865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5039955:5044488:1 gene:Et_7B_054865 transcript:Et_7B_054865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRGAKRAATSDPAAPDLPSKRVMDGPSFDVHRAESSHQHMMAGPATLDPGRAEAASKHVRALNTQFASWVQSQLQNHPLEIWEDGLKDYISHASEVMEKFKDVVNWLRQNKAGTTAVSSPGPLKDETTTLPAADASKFVVQSSSDSGQKFPIAASTSSVSQSSSSPNMFSFSSQQTPPAFSGTFGDKKNTSGDSSKSLFQFGGNNSIFGGKNSSSDSSKPSFQFGANNGILGDKNSPGDSSKSPFQFGANNGFSSPSTPSIFSNSAAQTFSTQTPALFSVNQQSVPSGNQSTAEASADADEDAEPEKPSSPSVKKAEEQGIVVVHEAKCKVYVKHDDATKGWKDIGVGQLSIRSKEGAEKGSKESTPTIVIRNDVGKILLNALIYKGIKMNVQKNTVASIFHTSDAQSSESDSGTVVARTYLFRLKNEEAATKLSTTIKEYAPTD >Et_3A_026025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3602375:3606614:1 gene:Et_3A_026025 transcript:Et_3A_026025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFGDDSLLPLFSQPDQYSLVHSQAAQEVAAAQEGVVAQEGMVVHELEPMEEAVAFLPFRLVLAMEEANARSASARLVVAGLCPCPLEHGGPRLLPVVVRFMAPAVARRNHQLEGAEVSVIVKMTVRLQMPTSKSFLQLKRCNWNDKTNTVLCQLLLEQIEMGNYNNGTMNDRGYKQVIERFYAATSSRHDRKQFYNRIRQLKIMYGFIKDLNKKTGLGRRDDGWIDADKNWWETESQGVAELKKLQHGPPPFLDMLEEIFEGVAVDGFSSYTPGQMSMDVEEDGHDDDVLEIHEDSPNSNGSHKRTSSTSTTATSPSKKSKSPMLRIMNKWFTSSSVTAEHQTNLFEQMASIKVATKQSALETYLEKQRAQEDRQRAEEEKDRAERKLVQQLALEAGVGETSAEYYAVSFICQKKELRESFIDMQTPEGRDIAVMLFEDDSDDDDDLLAMMFAMQQHQKRVQDGLLLFGEKPGPPPGELEKWSVSLTMRPQIDVLLCVLAPGTAWRLTLSSHNPRPATSLQAGKRGACFPHDLLLRVLALAHAMQSHFICPPTSLDDAH >Et_2A_015635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16279474:16284623:-1 gene:Et_2A_015635 transcript:Et_2A_015635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNRDSSMQQLVPIAPPGKVSGGDSGKELVVVEAPGKSSGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRIARMEADYQKRKEMAEFELRREERLKAAEERTAKKRLKRQKKKQRKKEKRPKTSSGGEETNRAESSDDDEGSDDDDKSKQ >Et_9A_061633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14685303:14691969:1 gene:Et_9A_061633 transcript:Et_9A_061633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDIKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELQTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSQYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDIKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELQTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSQYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Et_4B_037068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14187804:14189011:-1 gene:Et_4B_037068 transcript:Et_4B_037068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTGSEIAGFVVGALLLGATVAAPRVDGFIASSQRRSLGMCKKCGDLRIVACPECKGVGSVRKAGMLNLSMLDDLYESLGAKAKTDNLIQRASLVPRVLEETMTVNNVGAKESVRNNESKHVTNCNEIFDIIVQ >Et_5B_045565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4440236:4441878:-1 gene:Et_5B_045565 transcript:Et_5B_045565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTGLEESLLFLLPLASPLPSIVAVVVLGAVLLWLSPGGPAWALSRSRRPPSGPPGVLTALSSPVAHRTLAALSRAVEGGKSLMSFSVGVTRLVVASQPDTAREILVNPAFGDRPIKDAARHLLFHRAMGFAPSGDAHWRGLRRLAANHMFGPRRVAAFGHHREAIGENMVADVASRMARDGEVTLRRALHAASLNHIMTTVFGKRYDDFESQDAQVLEEMVTEGYDLLGSFNWADHLPLIKYLDLQGVRRRCNRLVQKVEAFVGQIIQEHRERRASGVVADEFSGDFVDVLLDLQGDEKLSDSDMIAVLWEMIFRGADTVAILLEWVMARMVLHPDIQAKAQAELDAVVGSRGAVADADVANLPYIQNIVKETLRMHPPGPLLSWARLAIHDAHVGGHLVPAGTTAMVNMWSIAHDANIWPQPEEFIPERFEKEDVSVLGTDLRLAPFGAGRRACPGKRLALATTHLWVAQLLHNFNFAPAAAGGVDLSEHLSMSLEMATPLVCKATARV >Et_2B_021558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30509675:30512687:-1 gene:Et_2B_021558 transcript:Et_2B_021558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPPPVPRRCGVVSAVEVDLGKAGFASATTQDDNRWGTRKVATGTRGLPRGILQPHSDMDLRPLWERSRSAASASHKNKNDDHNALLAMAVGISQIKNVDTLARKFLNENYAVMLFHYDGNVDGWRRLEWSDNAIHILAHNQTKWWFAKRFLHPDVMAMYDFIFLWDEDLGVDHFNPRRYLDIMVSEGLEITQPALDPDLSTDIHHRITIRNKMTKVHRRIYDNRPSMNCSDESKGPPCTGWVEGMAPVFSRAAWKCVWHLIQNDLIHGWGLDMKLGYCAQGDRTEKVGVIDSEYVVHQGIPSLGGPSLSSKTPRRSLDLRTHIRRQSSAELEKFKERWNRAVKEDDEWRDPFET >Et_8B_058614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:157472:158098:-1 gene:Et_8B_058614 transcript:Et_8B_058614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALEELFRIALCGRSRSSTSWASPSPTTTWTTPAGGKVGFVVIFFFFFFFANFGPNATTFIVPAEIFPARLRSTCHGISAAAGKLGAIVGSFGFLYLAQTPAGIGVRNSLFLLAGCNLLGLLCTFNLSSYSTYALFNLSSSIDQCCNVLQELFRRS >Et_5B_045117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9688775:9691587:-1 gene:Et_5B_045117 transcript:Et_5B_045117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKQGGGSGLDRKRISEALDKHLEKAVAAASPSTSRGSAGGGGVGRDHHRLVVPSSASSIPKGRCSEGESDSDSEGSDVSGSDGEDTSWISWYCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGLAAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIHRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYEHLKPQKPSQRYVPRVFGFKLHKP >Et_7B_055048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6876534:6886654:1 gene:Et_7B_055048 transcript:Et_7B_055048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRPATGLPQTGLLRHATPPTTTPPGSLPVAAGAPDPPPAAPEVQAALPPMARAKTAAAGGGWLSGWGWDLLLGSIAAFYAVMAPYTKVEESFNVQAMHDILYHTYHIEKYDHLEFPGVVPRTFIGAFVISIFSSPAVFTMRLFHIPKFYSLLTVRLLLGFVTLMSLRFLRIQVKRKFGNQAEAFFVLLTAIQFHLLFYSSRPLPNIFALALVNLAYSFWFKGSYLCTLQTLIVAAVIFRCDMVLLLGSIGLALLVSRSVSLLEAVKYGISTALVCIGFTVLVDSIMWRRILWPEFEVLWFNSVLNRSSEWGTHSIHWYFTSALPRSMLVAYPLCMVGAFLDRRIVPYMLPVFLFVVLYSKLPHKELRFIIGSIPMFNVSASLAASRLYNNRKKAGWNVLYILMLGSLLVSLGYSAVTFKASYNNYPGGYALKTLHVADSSSKEKIVHIDTFTAMSGVSRFCENEYPWRYSKEEGIAVDEFQKRNFTYLLNEHRSISGYKCLFAVDGFSRAKLQPRLPPLSLVKEPKVFAHGNVRDPDVLSLSWPGCP >Et_4A_034810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6803827:6806942:1 gene:Et_4A_034810 transcript:Et_4A_034810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRFAPRAAAFLVLLWVLHCGNELVSAVPPAGWYDYSAYTDCRARPEPALYNGGILKFGDSGDDPDGWRTTETGVFSPAFVVYNLTKTTMYTFSCWVKLEGAYSALITARLSPDNAGARCIATVLARSDCWAFVKGGFTLDWPSQTGVIFFQNADKTPMKITVASGSLQPFTTDQWAMHQQDTIRKRRKRMATVHVADPQGARVVGASVTVQQTAKDFPLGSAIASTILGNDAYQKWFVDRFNAAVFEDELKWYSTEPMSGLLRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPRWVKNLTSPDDLRSAVNARIQNLMTRYRGEFAHWDVNNEMLHYNFYEQRLGPNASMEFFSVAQDADPLATLFMNEYNVIETCDDPFSTVDTYVTKLKELRNGGAILEGIGLEGHFSKPNIPLMRAILDKLATLGLPIWFTEIDISNKFDAQTQAVYLEQVMREAYSHPAVSGMMLWTALHPNNGCYQMCLTDWSLNNLPVGDVVDRLLNEWRTLQAGGQTDAHGAWSFSGYLGEYLVSVSYNNRTTQAMFSLSPGDETRHINIQI >Et_5A_040494.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:25687939:25688046:1 gene:Et_5A_040494 transcript:Et_5A_040494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKSISCTRYDRIQVSSRSVRSSSIRFLLNSSSK >Et_1A_005129.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28427495:28428505:-1 gene:Et_1A_005129 transcript:Et_1A_005129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASHPFVYIDAAALHSLLPFPSLIPHLRAGLAHPELSAGIHCPHRVSFPLPTAPSAALLLMPSWCAHPSLPYLALKAVTSFPSNSPRLPSVHAAVSLFSSATGAPLASIEGSALTLIRTAAVSALAASLLASPTRPPATLALAGAGTLAPYLAEAHLAALPSISRVLVWNRTRAKSVALVARLREAHPGLAVEEVDGMDEAVAAADVVSCATGSLEPIVRGELLRPGAHLDLVGSFTPAMRECDDEALRRGRVFIDFEAAMEEAGELVGAVQRGVLRREDVAGTLAELAAGTVQGRRSNDEITVFKSVGTAVVDLLAAQLAYETHIGATPKNE >Et_7B_053218.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12595084:12596706:1 gene:Et_7B_053218 transcript:Et_7B_053218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENDGSGAGVLALPDDVQVDILRRLRAHSLARCRRVCAPWRGLLLRLLLRTHALPRAFPGFFANVVRRAEPWKPGPAFLPPPASWAPAAAVDDRLAFLRPHLSSHGCGEDVFVRDQCDGLLLCFQQGGGRGGEDAAGFVCNPTTQRWARLPPPPAPWPRGRDGLFLAFDPAVSPEYEVFLLPVPPRRQRQEDGSSNPDVLRHVTLGMFVPESFGKQQESEPEEEEKMFPVLVFSSANGRWRRRLFAPGRHAPARLYDKVTRRRRRRTGSEPDAWARTWPSAVYRRGTLYALCERRVVVVLRRAEGTYDMVRLPAAAAVADATERYAAEHVLSSLPADAIFSSTEEGVLLRYVSVDAFRVKMWALREPAADDGELSWALTHDTDLAAHARLLPLLHDAPSNRARRHGRRRQVRVVPGRGRRRWRRVRQAMELGRRQPVRHGGRRGRAARRRRVLAVTVRGPRVPPEPGGGVPRLRSVPRRGVPPRLPRQGAVPGPRGVAGRRRPARQRVPVPPVHRRRAPPLQLVSRLLTSCEQGSLPQI >Et_9B_065474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5567011:5568229:1 gene:Et_9B_065474 transcript:Et_9B_065474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDAEEDGYAEAVPSSSSPTFRSISGSTTTITSFHQAAQISPIAYDDDHHGLFIENLDTTVNLDELVTQEADQGQRKNGLESRGAFRPYVRQLCPRQKPKLGGCGQRAIKIAMSALERMHMAMLAKRQSYQQIPPSIGKNCGNQLQNVLKERNRREKLKDNFKALRAVLPPGFKKDRVSTLIGAWDYVYTLKSRVSELEEKNKTLVESLLHSDTDYYPDNLIEVGTSRSVAEEISHEFSDTTGGFPPGKSFRIVTITLQL >Et_3A_024309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19330212:19331596:-1 gene:Et_3A_024309 transcript:Et_3A_024309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSHCCRPRTCCASAPPPDGTTPSASAPTSPPATGSAPASSSSLIRRRPFRFSSPLLQATTTTTTRIPMIGNRCELKL >Et_8B_058903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10718367:10722032:1 gene:Et_8B_058903 transcript:Et_8B_058903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRRNQVSGMGIPNAFSLAGAELQVQARETELHKAVRQRDNDAVFNLRYAPMLAQTPNSNGMPPLYLAVHLGYIDIANKLIETFGCHLSPEGPKGQNVLHVAALRSEALTNIILRWRTDLWNQADEFGSKPLHLAASVGLKGVTGSLLSDVMREADSTGMCPIHIAASVGAMDAIHALVGDNENVSCTLRDAKGGTFLHVAVENKRTQVVKYICTKTGLEGILNKKDNDGNTALHLAVDKRDESIFGHLLGNRLVELNHVNNDGYTPLDLASMIKIQNSYASRQNPTEWMIRTLAHSGAHFSARRRGSTSRTVKNHQVDLAASNESVLVASALIATLTFAAAFTMPGSYKTEGHPKAGTPALGSHYGFKVFLVADILAFFCSVAATYSLAEYGSRGDPLVRCIYARRAVKFFHVALKSVIIAFTLGVTVVMWEISVITIVIVAVAASALVLYGNEALAHDFRLLWVMYHRFGFSLSWTLYPSTSSHLDWSTWRLRSFSATLAWNIIKLFSTYIFIFVIAYIAQLKQKGGN >Et_1A_007294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33059987:33062706:-1 gene:Et_1A_007294 transcript:Et_1A_007294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLAFELSLVALLASTHLLSCANSTGLHLDRQAEALLRWKSTLNSGSSRCHLDAWSNQTSPCNWGGIACGAVVLHGHGQSDAVPVVNNISLAMCDLSGRLDGLNFAELPHLTHLDLRENLFSGEIPSSIGTLTELSFLDLSFSGISGSIPPSIGNLTKLIFLDLSFNHLSGFIPPSIGNLKKLTSLDLSRSYGSLRGHIPAAFGMLENLENLDLSQNDFSGAIPSILGNLTSLYSMDLISNYLSGTIPYELGMLHNLATLDLHGNSISGPIPVSIGNLAGIELLDLSTNEIMGTIPESIGRLKNLELLDLSNNRIMGIIPKSVGNLTQLASLTLSDNLLSGPIPSTFWKLSSLTTLALDSNQMSGILPQELGFMFNLSFLDVSSNKFTGSIPPQIGQCLFLSSLRMADNLFTGPIPTEIGNCLQLGVLDLSKNNLSGDITVNLEKLNYLSRRTIPLIFEPAVSVSLDHNMDICGDKWSGFRPCEALKFHGKIVEHKHKKHLILELPLALSLLCFISLVIGSTVIICRRRKSAKSSSTTKSGDMLFVWNFDGKIAFQEILDATENFNEKYCIGVGGFGSVFKVGLRGGSIFAVKVLHSVEDSTNEGTFLAEVEVLTKIRHRCIVKLYGYCSHSQCRFLVYDFIERGSLASILRQEQLSKELDWPKRVSISKDIAQALSYLHHDCDGPIIHRDIKSSNILLDLNFKAYVSDFGMAKKLKHDCSSWSTIFAGTCGYIAPELSSTMVLTEKCDVYSFGVVTMEIVMGKHPGDLLLPFFCRTKQLIKLKDILDKHIVVPTSNEEKDVIMLVLVAFACLQICPKSRPTMRQVYQALKNKSCPTTVLKPLNEIKLQDLHDFCDTIKMI >Et_7B_053438.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:19463158:19463517:-1 gene:Et_7B_053438 transcript:Et_7B_053438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCGLFLLIGMVVYAAGSATHSTDGSKQIEDVNASNIQDLGFRAVNHHNMKANDHLVFENVVSAGEQITSNGSYHELVISAVNRVNITRNYEAFLLIVDSIDKTEITLLSFNVTTILN >Et_1A_007793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38353006:38357183:1 gene:Et_1A_007793 transcript:Et_1A_007793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATASSKQTSNSFNKANPAVQMHPELLKAAKRGDYGKLGDLMSQEGAIVINIAEEEDGEPAPVKWTHTYGPDSILHAVASGGDDGQKFLDSATVICDKAKHLLLSTCDAKGDTPFHCAARGGSAKMLSHLIDLARSRRGDDVIVVDDDGGGGGRRISQLQLEMRKKNDRGETALHEAVRWGDEEMVDKLMSADPELAGVPRNNGASPLYLAILLGHDDIAEKLYQKDNRLSYSGPDGQNALHVMVLQVWPDCTQLRDKQGRTFLHIAVPKDGPLFWLIITHLGYKSVFTYEGSSVESTEQGRTNSALDLLLKKKPSGTFYDPHMRIYNLLVAAGARFGVHKDGEKEKAAAVNMKKMAETIKDSTTNIAVASVLIITISFAAAFQLPGGYTNGKPEGTPELAKKYSFEAFLLANNLALFCATMSTISLIEHRGAFAISIFFLNSSARSLLAAFAFGTYAVLAPVACATAVLTCIGANLLLADIVWFTFMLCLFQFVLINRLGVKACFRTVGAMVDFPLGVMWPYIVIAGLMAYYKTHGIKPQTQSKNPTPSTSMANQLAVQMDPELLKAAKRGDWRKLGDLMSQEGAFVIDIEEDGEPPVKWTHPYAPGSILHAVASGGDSDEFLTSTTVICDKAKHLLLSTCNDKGDTPFHCAARVGSVKMISHLIDIARRSGGRASMLQLALRKQNDRGETALHEAVRWGDEEMVDVLMSADPGWLVFPATMALPRCTWPSCWGMMILQSSCTQRTTGYLTLDQMDKMLCMLQF >Et_1B_011760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25698147:25707437:-1 gene:Et_1B_011760 transcript:Et_1B_011760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCADAAIQVLMNPPNFGRLEGNFVLWPKLSHECPLMSSGIDDVLLEKTEMQKPDHHEQNLTSPRGLIHKLFRRTNSKRSPTAADQQHSPVFPETSNSTFLEQKDSDDAIKDPETASTPGIRIEDEKSDLLGYEIYSGKLTLDNKSSEHSGSGSSSNCFDARLSTEALTWGSNILKLEDIISVSYNSGLRHFTVHACPLEKRSRGLSCFMKPKRTQKDLRFLSTSPHEAFRWVNSFADQQCYVNLLPHPMASSKKHSSELIPYDSMFDPYIKCRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVVKTTHAGHAKSLVSTIDFCSCPDGIVCVGGDGIVNEVLNGLLSRDDQNAAVSIPIGIIPAGSDNSLVWTVLGVKDPLSAALSIVRGGLTPIDVFAVEWIQSGAIHFGTTVSYFGFVSDVLELSEKYQKRFGPLRYFVAGFLKFLCLPKYSFELEYLPTSDVDGADHKIVEEQEKAHESDLYDDVIRRSRAECLPRASSLSSIDSVMSTGIMSGAELEVCSPHANNEPSELVRALDPKAKRLSLGRSSTLNEPEEVLHPQAHSSTPSWRRSKSKSRTEKTWPGVTATNDAKSSRGITTHDKEDTSSTISDPGPVWDSGPKWDMEPKWDNQPNWESETPIELHSPPDDIELGLTKELVPSLDERWIVRKGRYLGVLVCNHSCKTVQSLSSQVVAPKAEYDDNCLDLLLVGGSGRLRLLRFLVLLQFGKHISLPNVEYVKVKSVRLKAAPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKNPV >Et_4B_036826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11806725:11808969:-1 gene:Et_4B_036826 transcript:Et_4B_036826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLFWWAWIWARENTDGIELDVFSGGDLACRVISTFRSLSQITGAPPVNSRREIPSSNIDLHSVHCARNLQKCDHCGEMVPRKLMDEHYNENHAPLDCSLCKETIERESWGLHKSEKCPQRMVACEYCEFELPAVDLHEHQDVCGNRTEYCQTCRKYIRLREWVGHEIQCHINSNGSAETSRTIPEREMRPPPPVRPARPAHGSPHKRLLFTIAVTGIAVMVGSILFQRDESF >Et_3B_028467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15709882:15721126:-1 gene:Et_3B_028467 transcript:Et_3B_028467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNMQPSGPPQPPRPPMMGSGAPPQNLGQPMPMQFRPVAPPQQPPQFMQSAQQFRPVGQPMPGTNMGMPGQMPHFPQPGQHLPHSGQGPPSSQPVPMAYQQARPISSAPLQPQQQTAYPGGHMPTMGAPMPPPSYTYQPTSVPPPVMQPWGTAPAQNVPHVTALVQPGHQPVSAPPTMPSVNSSEPSSSDWQEHTATDGKKYYYNKKTRQSSWEKPAELMTPLERADASTEWKEFTTSEGRKYYYNKVTKLSKWTIPDELKLARELAEKAPNKQSDRETGTTSGAPVGSASVEPSVPVNQSSSTVGTTASSSVDASSNFVPPGSGPSHNVDNASGSSNVAMSNGGPSTPVVPVTSNIEVTLAATDVGINRNNNENSSVTSAADTEDGSSAEDLEEAKKTMPVAGKINVTPVEEKASEEEPVIYASKLDAKNAFKSLLESVNVESDWTWDQAMRVIINDKRYGALKTLGERKQAFNEYLNQRKKIEAEEKRFKQRKARDDFLTMLEECKELTSSTRWSKAILMFEEDERFKAVERPREREDLFENYIVELHKKEKAKAAEEHKRHVAEYRAFLESCDFIKATTQWRKVQERLEDDERCSRLEKIDRLDIFQEYIRHLEKEEEEQKRIQKEQTRRQERKNRDEFRKMLEEHVADGTITARTRWRDYCAQIRDSHAYLAVASNMSGSTPKELFEDVMEELEKQYQDDKSQIKEAVKSGKIPMTTLWTLEEFQTAVSEDDAFKGISAINVKIIYDDQIERLREKEQKEAKKRQRLGENFSDLLYSIKEISASSTWDDSKQLFEDSQEFRALDSETYAKELFEECVVHLKERLKEKERLREEEKAKREKEREEKERKKEKEKERKEKDRKEKERDREKEREKDKVKDRSRRDEMETDGADVDTHSSKDKRREKDKEKKHKRRHHDADDVSSEWDDRDDSKKSRRHSSDRKKSRKHTHASDSDSENRHKRHKKDRDSSRRNGANELEDGELGEDGEVH >Et_9B_064109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11298221:11304083:1 gene:Et_9B_064109 transcript:Et_9B_064109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARGRGRRPAGRGESSRSMNAPFVYRRSSIDGYSSSTLPSLPFPSFPSAPSYCISGGEDALLKAALDGDLGRVKGRKPSHNPRASIDHPDSGMGLDLACSRIVNSLSKGNGDRAAVLSFNTDGIGLLHMAACQGHLDVCKYLVEELGGDPNMTVPERCMTPFMASAQSGDVSTVRYFLDHGGDMMKADEQGRTVLHYAVCTGSCKVTEFLLSKGIPVDIDCGRGTPLYHAATNEQDKTVKILLDHNANAGADVNGKGCLASLLVFATEHGGYTDFIRLLLKAGANPNIPDDLGRFPIELAAERECREEVEMLFPLTSPIPTISDWSIDGIISHVKCQSTKPLDKGLLEGRIAILKSQANLAFRQKEYEMASKLYSIVINSEPDATLYSNRSLCKLKMGDGEGALSDAYKCRMMRPDWAKACYRQAAAHMLLKEYKQASDALLDAQELDPGNDEIERELRKAMELMKISSDEDQQ >Et_3A_023790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12341228:12341560:1 gene:Et_3A_023790 transcript:Et_3A_023790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRGVKTAMEMGIGKIVLETDAFLVKQAVESYSHEDCPYGGLVTELGLLLDFDFSNARIEYKPRECNRVAHSLAALGVECPVLVASDLAVPVS >Et_4B_038493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29454526:29458904:-1 gene:Et_4B_038493 transcript:Et_4B_038493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVTAMVVEPLVSTLVQKASSSLLDQYKVMEGMEEQHGKLKLLLPAIQGVITRVEKQATSRPDVRPWLQKLKTTAYESIEVFDEFKYEVLRRKAKKDGHYIKLGMDVIKLFPTHDRIAFRYRMGNKLCKIVRDIEALVKQMNDFGYNSLPQVQRPVHPLREDTSFIQDPDIVSRSRDEEKQKIVKMLVNDQGNRKKPMVIPIVGMGGLGKTTLAQLIFNDPQVKKHFQLLKWVCVSDDFDVCNLASKICDAAAENNPQKVLEKLDEMLNGKSLQQCKRSDLLGLVDEIANRCLGSPLAAKALGDCMPPELGRLTSLQTLTNFVAGIGSDYSDLGELRNLNIGGSLVLNQLENVTEADAKVANLGDKKELRELSLIWTSSEADEQHSPHKVLEGLKSPDGLQALSISSYQGTTFPTWMGMLQNMVELYLYDCNECEMLPPLCKVPALQVLSLCRLEKLQCLFSSGGTSFTFPKLKDLTLTDLQNFEVWCEINWECEKEMIFPDLEKLIISKCPKLVALPNAALATKSCGQDCSMAQTAFPSLKLLQLEKLETFQRWEAVEATQGRHISFPQLEELSVLKCPKLVALPEAPLLVEPCGQANTVGRSSFPALKVLKLEQLKTFQRWEAVDTTQERQIVFPRLEELAIQECQELTALPAVTLLGASVGHEDTTAQLAFPELKSLCLRYLKSFKSWRGTEGTSGEGPSFPKLEGAEIIGCPELTSLLEAPKLNELKIIRGVNLQVSLEIHRFLHSLSKLVLSVEETEATFPSDEDSTFERMHGMEKCDHESPLEVLSLFRCNLFFWSSALALWTAFVHIRDLRMVYCSMLVCWPEKEFERLVSLRSLYIRRCQNLIGHARARGDQPTSERIQLLPRLESLQINECDGLVELFNLPPSLKRMRVINCPKLGKQQDRATFLNHGRPTSDVVASTAVQDPSSSATDHHFLPPFLEKLDIYTCVSLTEVGNLPPTLRRLYILNSDIRFLSGQLDALQELSIDGCERLRSLDLGGLLSLERLSVYNCKNLASLPDRPQAYSSLRELRITDCPRLKSLPSSLQRRLPCLEYKELDARYEGTQISSTDE >Et_8B_059272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1640030:1641688:-1 gene:Et_8B_059272 transcript:Et_8B_059272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLLSMAHPGVTIAGIAGNILSFMVFLAPVTTFVQVYRKKSTGGFSSVPYVVALFSSVLWIFYALVKTNSRPLLTINAFGCGVEAAYIVFYLVYAPRKARLRTIAYFFVMDVAAFGLIVVATLKLVAPAHRVKFLGSVCLAFSMAVFVAPLSIIVKVVKTKSVEFLPIGLSLCLALSAVAWFCYGLFTKDPYVMYPNVGGFFFSCIQIGLYFWYRKPSTNADNEMLPTKTATVAPVELVELPAAETCKTPVATADGTPRSRRTSRLSPRGLAWTTAGQVVV >Et_1B_012673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34357905:34361409:1 gene:Et_1B_012673 transcript:Et_1B_012673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTKTPRPRASKARRAFAALVAAPKAVTKAAAPADGTSPSGGLSLQLEQVSLISFLSDHGGAAPTRFEAFLEEETSRDDPAPPPPPLPLAPPPPLQPQVSPMDADEPMEEKDCCILSQDFFCTPDYITPEMPQPANDYEANKENTPCPKSPEKSVNGRGKRYRKDCSPKGLESTDFSFDQHITPIPFDDPSGDDLEQDQLMQVMQPALQKKSSYVSQSAVSLRCRVTPPPCIKNPYLNTDPVIDDVYGGRQCKSGFSPSIGGGGLSRYRTDFHEIGKIGKGNFSIVFKALNRIDGCLYAVKRSIKQLNNDMERKQAVKEVQALAALGSHENIVGYFTSWFENEQLYIQMELCDRCLSMDRNQPLQCREALELLYQICIGLDFIHERGVAHLDVKPDNIYVRNGVYKLGDFGCATLIDRSLAIEDGDSRYMPPEMLNDKYENLDKVDIFSLGAAIYELIRGTPLPVSGPQFASIREGRISLLPGCPTQFQHLIKSMMDPNPVRRPSAKEILRHQIFEKLRSVPAKK >Et_4B_038189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27057760:27060344:1 gene:Et_4B_038189 transcript:Et_4B_038189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNTTAAAATPAPAPAASAPAVQVPRGQVDLVDFIDWTGVECLNQDSSHNIANALKQGYREDEGLHLASDSDEQLLIYIPFMQVVKLHSALFKGPEDDGPKTVKLFSNKEHMGFSNVNDYPPSDSLDLSPNHLVENKPVTLKYVKFQNVRSLTIFIEDNQSGSDVTKIQKISLYGTTVDTTNMKDLKKIEEH >Et_5A_041733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26563499:26570986:1 gene:Et_5A_041733 transcript:Et_5A_041733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKQLCDALGAAGFDGADPLDPESLERAFLQGDDSRRMLAWVCARLRPANVLSATDLELYEQLELEGKLLEGEDLDFAFDSISAFSDKGDNMEQTVLSEESLEDIRDSKHALRSEVDNLEKQLASLEWKLDLLTAQATTITLGKKSRSSAQTRANGQLTSLDEKLAKRSLEMNAVLGKLAATTQELSYYHSEADIGVYLTYCDFQSYVSKTLACTKELNKWFSKKFEKGPLQLVVQEDESRGDSVHSHHFDVELNRINYVFAKSKRQYIEAQVEYAKEDAMLSKLKTQLASQQSYIHQDTNSLRRKSSELAEELKDLSRHVQKCLSETVTGLCAELAQLEGANILQAHQLSGPQLAAHQFLKISCLLEKQTKISSAYSLLRAIEFELQGYLTAVDGRLDRYHWIDQAASEMFEEGSVDDHDSFLHAVRDILSSHSSSQAMTPTYVSSYGMIEQISEMQDELHYLQHELENVLPRERGRCVDEICRMIQTLEQILSVPLLDEQPKLTPWPLMQSLEELEIIGQQVSASVNEVTMARDEKAEMLQQPSRNAQQGRRVFADFFCHPGRLENQVQELTSRIRALPHWPAFQLEKPAKKLKQTEPKHKQNNKFSRKFP >Et_1A_006498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23231125:23232013:-1 gene:Et_1A_006498 transcript:Et_1A_006498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSVVSVAVPFSSGVEGSYPAVSLLARLCAGASGLTPAASSSSPLPRERHPDPLSLYIAPRIPSMSEVVADSVAEQSSRSSKKRAKVWEFMESKVVEGKVKTVCRYSKVQLSNEPGKGTSHLNRHVDYHCLEIP >Et_3A_023332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21254338:21255870:-1 gene:Et_3A_023332 transcript:Et_3A_023332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPVPALKSLRWVPVCRLETRASSAAADDGDAGRTGYGGCGPSETYLIQYTSGATGAPKPVVVTAGAAAHNVRAARKAYDLHPGSVIASWLPQYHDCGLMFLLLTVASGATCVLASPAAFLARPRLWLELVAEFGATCTPVPSFALPLVLKRGRRGSEHGAARPLRLGSLRNLILVNEPIYESSVDEFVKEFAGAGLDASAVSPSYGLAENCTFVSTAWRGITEPKLRSDLPSYKKLLPSARLPPPSRVAQEETEIEIVVVNVETGEPADDGVEGEIWVSSPSNASGYLTLPYSANGDAFRASLRGRPGAASFVRTGDCGVVRGTERYLSPDSLRGGCVAAFATSPSSLVVVVAELQKGRAHTQPSNIFDGIRRAVWKEQGIRVGSVVLVESGGLPKTTSGKLRRGSAREKLLAGELHKVFVAHYAERAHVSPSAWLQDGSTEMDECRTSWVVPQAGGEMVVMASGNASQRTRLQSSL >Et_3B_027791.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:19428543:19428812:1 gene:Et_3B_027791 transcript:Et_3B_027791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKACNCSSQKTALLFWNAIETSDLFGMTTCLDRRHNVDSSREMASSLALNNSLTSLQSSFSRVVFLPHLLICLCAFLTNPAKDWILA >Et_6B_049263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2398121:2401953:-1 gene:Et_6B_049263 transcript:Et_6B_049263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDALARRRPEGSEATRCRGGRSSSMAARHGVEHCMDRYQRVEKPRNETPISQNEIRITTQGRMRNYISYGMSLLEENGHDEISIKAMGRAINKTVMVVELIKRRVAGLYQNTSTESVDITDTWEPLEEGLLPLETTRHVSMITITLSKKQLDISSPGYQPPIPAEEVKPAFDYDHEESYPTGRGRGRGGGRRGRGRGMSNGPPPPAYDYNEEGEEEGDYYSRGRGRGRSRGRGGRGRGYYGGGRRGGYGPDYGYGGRGGYDYGYGSRGGYYDEQDEYYDGEPEEYVPPGRGRGRGRRAPFRGRGRGRTQEGYY >Et_4B_037213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16630517:16653203:-1 gene:Et_4B_037213 transcript:Et_4B_037213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVQRDSHQLEIVDLESGLDGTAIVDRQDSLFREAVRGEHHAGAGYSEQDSWGKTLRLGFQCVGILYGDLGTSPLYVYPTTFDHGIGHPDDILGVLSLIIYSIILFAVIKTVFIALYANDDGDGGIFALYSLISRNAKVSLIPNQQAEDELVSRYNKHGKLSATRRRAQWLKNLLETSKSAKISLFFLTILATAMVISDAVLTPPISVLSAVSGLKQKVPDLTTDQIVWITVVILVVLFAIQRFGTDTVGYSFAPVILLWLLLIGGVGVYNLIKYGTGVLRAFNPKYIIDYFRRNKKEGWVSLGDILLVFTGTEALFGNLGYFSIRSIQLSFSFGLLPSLLLTYIGQAAYLRTHPEHFADTFYRSTPSSVFWPTFVMAIAASIIGSQAMISCAFATVSHLQTLSCFPRVRILHTSKRFQGQLYIPEVNLLLGIAACLVTVSFKTTTIIGKAHEICVILVMIITTLLMTIVMLLVWKINVWWIALFFVIFIPTESIYLSSVLYKFTHGPYVPVVMSAVLMMVMISWHYVHAKRYRYELKHTTSPSKVKELLERHYLKRVPGVGLLYTELVQGIPPIFPHLIENIPAIHSVLIFVSIKHLHVPHVDASERFLFRQVELKDYKIFRCVARYGYRDSLHLEAEDFVAALVEQLQYYIRDVNFYTMDELQNISYPISRDHSLSREKPSGRHAIHAEEMITPIQSFSELTTLSNGGSNRLPQFQLSRMNINDLSKIEEEQKFIQTEREKGVVYIIGESEVVAKPQSSFLKKIIVNHIYSFLRKNFMQGEKMLSIPHGKLLKAARKMAPHRAGGGSMEIVPYSGDLELELPTGEVLRQDSLYRDATRPAHGGHHGQESWVRTLRLAFQCVGILYADLGTSPLYVYSNTFKYGLNHEDDVLGVLSIIIYSFILFTMIKIVFIALYANDEGDGGTFALYSLISRYAKVCLIPNQQAEDELVSRYKHHTKPSATLRRAQWMKNLLETSKAMKITLFFLTIFATALSISDCMLTPPISVLSAVNGLRLRAHHLTTDQIVWITVGILVAFFSVQRFGTDKIGYTFAPLVFVWLLLISGIGIYNLVKYDIGTLRAFNPKYIIDYFRRNKKKGWVSLGEILLCFTGTEALFADLGYFSIRSIQLSFTFGLLPSVLLTYIGQAAYLRTHMDMDIRNAFFNSIPSTLFWPTFVLALIVSVIGSQAMVSCAFATMSHLQALNCFPRVKILHTSGRYSGQLYIPEVNFFLCVSACVVTISFRTTGFIAKAHEICVVLVMVITTILMTIVMLLVWKVNIFWIVVFFIVFMSTECIYTGAVLYKFTHGPYVPIAISAVLMVIMIVWHYVHVKRYKYELEHTVSRDEVKDLLEHRDLKRIPGLGLFYTELVQGIPPIFPHLIEKIPTIHSVIVFITVKRLPIPHVDVTERFLFRQVDPKEFMVFRCVARYGYRDTLEMATDFVPILVEYLQYYVRDLSLFGVGEQLKIVFHSARIDSSSWEKLPGHGVYAEEMLTPIQSFSELTMHPVGMSSRFTHFQTGKMNLEEMLRIEEDQKIIQREVDNGVVYIVGETEIVAKPHSNLLKKIIVNYIYNFLRKNSRNGEKMLSIPRGKLLKVGVTVGKEEIVIVDVESEVDVPPVQRQDSLYVDATRAARGNHHGQESWAKTLRLAFQCVGILYGDIGTSPLFVYSSTFRDGVGHPDDLLGALSLIIYSFLLFTVIKYVYIALRANDDGDGGTFALYTLISRHARVSLIPNQQAEDELIAKYNRGKPPATLRRAEWMKELLETNKTVKISIFLLTILATAMVISDAVLTPAISVLSAVGGLREKAPYLTTDEIVWITVGILVFLFVIQRFGTDKVGYLFAPVILLWLVLIAGVGVYNIIKYDTGVLRAFNLKYIIDYFRRNKKKGWVSLGGILLCFTGTEALFSDLGYFSIRSIQLSFGFGLVPSVLLAYIGQAAYLRLHPEDVADTFYRSTP >Et_1B_009707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18657647:18658480:-1 gene:Et_1B_009707 transcript:Et_1B_009707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSGATTDLSSFAPLILVTKHELSDSSTMLLSNQRAANRTPSRHAKVSASSASLQLNRARQAISSGMPLSSLMTTPSPAVPRSGLKASSTLIFSQPILGGIQRLSSSARAPLASVITACCRTSIRLSI >Et_6B_048687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12613988:12617461:-1 gene:Et_6B_048687 transcript:Et_6B_048687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHPVRACYARKRGRPISSQSAIEQSMALLDDSSSSSSETDKDDDFVPIGNELAIVPAPQQVAVNYGDTDHASKDVVPVEDEEMAIVVADVDAVPIENKVLKSNSKVHGSCGSAMDRASEFRDKLPSAHPSFVKLMRPSHCVFCFWLGIPADFYQNHLPKIKTEFTLQGEDGQNHKTTYIGDRKGPGLSGGWASFAICHKIKVGDVVIFQLVQPKKFKVYIVRENLLDTIDVAFSQQNLEVRKKGKLSKVGASYDVNSNKDMKATTFDHNVPQSEDSSVVSEDTTDGISFSNSSTDFDGMPSFSNFSIVADNSVMDCEFQEQQRRAYCDLCCSQKSYLHKNLLRHLNPKLIVGVIEDTINIAERIRAYAEAASSREDFMIWKKTLESFELLGMNVSFMLKRVNGLLGLPAPSKDLPKCEKYKGLKLKQDRSREKLKALELELSTVKDSLRKIDVGLGEIKSSAEKSDLSLQELATAPW >Et_8A_057137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21521570:21527581:1 gene:Et_8A_057137 transcript:Et_8A_057137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGAKFPAFIGAVGGHDCSADFGANFCDMAFYQKLGEGTNMSIDSLNSMQTSMHGGSIAMSVDNSSVGSNSDSRTGMLGHPGLKGPVVVGSYSVGNSIFRPGRVSHALSEDALAQALMDRRFPTETLKDYEEWTIDLGKLHIGMPFAQGAFGKLYRGTYNGMDVAIKLLERPEADPEKAQLLEQQFVQEVMMLATLRHPNIVKFVGACRKPMVWCIVTEYAKGGSLKNFLSRRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLISGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPCWDANPEVRPPFTEIVRMLEQVELEVMNTVRKARFRCCISQPMTLD >Et_1B_010315.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6637764:6637988:1 gene:Et_1B_010315 transcript:Et_1B_010315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECRCWGRGSTFYLPRLNRAAKDGMQLLKGLQFKLDFLVQHLPTYEEMQSSQSNLELWEKQHKKHEILYFCFC >Et_9B_065755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10104399:10112686:-1 gene:Et_9B_065755 transcript:Et_9B_065755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRRRRHRCGFRSSGVLPRLGGASLGAVSERLEVGFGPAGPCLGVGVVGGCRRRPFAGMRWKLREQRKEGRWTELGSPTVASVVVAGEWRSRIWRDWGAAPADVLPAMPPSRLRRYHAKGLYRSGGWPVLSLTAAFSGATASGSARTDDRAPASHQFLDEPSDILIRRAALLGGPPCLGGELLDLHPSRLCHLVLTHDSTNGFRDGLAADDMVPVLQLGGVFSGTEADADRENLHDELLVVELVGVARPRHHRHAGAHGLQHRVPPAVRHERAHGGVVQDARLRRPAPEDEAALMISGGRDQLGEAFGHPLRRRVFGVFFSARDPQEAFAAELQAARQLAPLRRREMGERAEREVRDGAARLPVVEPPEAPFAVVAGDGFVRPGVHERAGEPDAAAERGAVVVDAARLHLREAVEHLAVAPGVGGVHAAVEIQLEPVPRVRGRVQELAPPQRHAVRQPRQRHHQFGVAAVLRRRGVAQPQHGVGAGPGEHAGAEERQPRDAVPRGGVGGPGIEAVGDDRVGAALGEEPLERLAEPVRPLQEPVDVGLGRDARNALDARREPDVVGERDGDEIDRRNSGEGGVGSVDGGADHRGVRGGGEDRALDAAGGEERGHVGHRDEVARRDVREEENMEWLLLVGGGSHSQLAAAPTLFFGVEIQSQLGKQSTLCCRD >Et_5A_041640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25301336:25305015:-1 gene:Et_5A_041640 transcript:Et_5A_041640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSRTSEARRDDVFGRWVIFSPARSRRPTDLKSHNPTNPSPAAGADAPKPSCPFCAGRESECAPEIFRVPPAAPEASPWRIRVIENLYPALRRDLPPPVPSPGKGGEEDETAPGERAMCGFGFHDVVIETPRHDVRLWDLDAEGVCDVLLAYAARVRQLAEHPTVKYVQVFKNHGASAGASMAHSHSQMLGTPFVPPSVTTRLNHMKEIFERSGHCSLCEIRSEYTLISETLNFSAIVPFAASYPFEIWIIPRQHISYFHEIDQDKALELGSLLKTMLEKLCKQLNDPPFNFMIHSSPFGLSSSHLPYAHWFLQIVPQLSVIGGFEIGSGCYINPVFPEDAAKILTELDCST >Et_3B_027464.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:19972007:19972300:1 gene:Et_3B_027464 transcript:Et_3B_027464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVQLETDAANLGRALSSEALDQSREGGLFRKIREFMRLSFTHVSISVCPRCCNKVADALAARGVRGLPDGEHVFWCQAPSFVTELVAGDLPGARG >Et_7A_051618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21397364:21402835:1 gene:Et_7A_051618 transcript:Et_7A_051618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAGGGGDSDWPESPSQAVLFADEIAAVRAVLGGSLPEARVIAALSRCGGNTERAINALLDDSAPADNDSPKKGLGGVAALKPVKAELDAAGLAPVPAPIPVKVKAEAPEESEGSQESVGSSAKDLKVKSKRVPKLPALPSHLVKEEKRCAGVAPAKGAAVAGGGVSLVPRPKKRPLEDEADSMDLTATHPMPYLNPRPIRALPPPVVADVEMRDKRPPRAIAPAPARDLRMVVAPPEAEFGDFPVERDWFLVAKSYVTGLSTTRGRRMMDASEIVHFAFPSYGRSYGGLKVSAKKAAALAEIVRFSTKRAGEIGRLSPNWANVLVPLVNTSKVKIQGKMVFPTMELNLMQEVLLYVSFYIHSSVFTEGEVSSWNTSGPVTVDFSANPLHQLFNLLKLKSSNKDDFSLDELSTRNLKRILRGNQNRGDESTPLLGQTFPEQGTDEQAISEAALNKIVGTAETFDLEEAEPPSTLVSILKPYQKQALFWMSKLEKGKDANEATKTIDPCWSAYNIIDKRAPALYVNLFTGQASTQFPSVTETARGGILADAMGLGKTVMTIALILSNPRGEHSNYIERDTRGPRDRATRAKTTRSSVRGGTLIVCPMTLLGQWKDELEAHSTQGALSVFVHYGGDKTNNLMLMAQHDVVLTTYGVLSASYKTDSNSIFHRIDWYRIVLDEAHTIKSPRTKVAQAAFGLNSQCRWCLTGTPLQNDLEDLYSLLCFLQVEPWCNAIWWQKLIQKPYEIGDDRGLKLVRAILRPLMLRRTKETKDKFGNPILVLPPAHAEVVECEQTEDERDFYEALFRRSKVQFDKFVAQGSVLNNYANILELLLRLRQCCNHPFLVISRADTQKYADIDKLAQRFLEGVRSDSHAYVEEVVEEIRQGATTECPICLESASDDPVITPCAHRMCRECLISSWKTPAGGPCPLCRSHISSSDLIILPTQCRFRVDPQNNWKDSCKLSKLIMILENLQKKREKSIVFSQFTSFFDLLEIPFSHKGIKFLRFDGKLTQKHRENVLKEFSESQDKLVLLMSLKAGGVGLNLTAASNVFLMDTVEEQMLQVQFRKQRMISGALTDEEVRGARIVHLKMLFT >Et_1A_004797.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:672812:673249:1 gene:Et_1A_004797 transcript:Et_1A_004797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPALACPDTIAVHTLAFLAFSPDVSNTRLASCTAPHFTYMSTNAMVTSPEPNNFCLSILLATPCAAFATLKSPKPAHAPNNAVRVHPSIRNPASAIFLKTPRASSIHPDRAYEQIRMLNETKSLVGISSNSLRVREWRPNDP >Et_6B_049355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3779430:3783065:-1 gene:Et_6B_049355 transcript:Et_6B_049355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVPDGVRRQRLLPPCERTAPPESPAPASASAPTGSGRTVVVGIRRDAASRELLTWALVKVANAGDRVVALHVASLHVAAAADGLLMGVDERSRAADSLSSVLAVYDGFCNLKQINLELKVCGGSSVRKALVKEAASCDAAHLILGVAKNSRSFGYFSTLCTTYFQLNPAFLFPDISFAVAPNIRSSSTSVAKYCAKRVPTGCSVLAVNNGKVVYHRDAGHATHHELYQGISTIQYAQVCNGNAVPETPRRSYRKLLSSMIGEKFWDEYGKGNQSPCRAITMPTRSPMPPKEASLALVPVKACRRESPETATGWPLLRKKFLPDRKASLPDKSKMSVVQWAMRLPSRSSVVIEELEKETPEELTLLKEKFSSIYSSFSYSELAKITSDFSPDCIVGRGGTSQVYRGCLADGKELAVKILKYSDEILKEFISEIEIVSSLSHKNVISLIGFCFKNDDLLLVYEYLQRGSLEEMLHGKKGCHTLFGWAERFNVAVGVACALNYLHNNDNSRPVIHRDVKSSNILISESFEPKLSDFGLAVWAADVTSQMTCNDVAGTFGYLAPEYFMHGKVNNKIDVYAFGVVLLELVSGRKPLCTGCSKGQESLVMWANSIVQGGKLTQLVDPNLPTEGHTDEVERMTLAASLCIRQAPQNRPHIDVLIHGRV >Et_4B_037775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23259379:23260904:1 gene:Et_4B_037775 transcript:Et_4B_037775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETTHLVLLRDLASGPFMSLVRAGTRMSSAVTVLLVHPLTPDSAAKVAAQVQRVDPSVVRFHRLPPLPAFKSRYMQLGAPHVDAALAALRPAPVAVLLDFFATAVLDVVLGRRNIPAYVYFTSTAAMLALMLRLPALQEELPVDFADMEEGTVLDIVPGMKSPVPAACMPEFLARRDSPNFSWFAYHGRRFTEADGVVVNTVAELDQGILDAIAAGQKVPNLYPIGPVIDDQDLLLTTTGSSPPPNRCVAWLDAQPAGSVVYLCFGSIGFLSAEQARELAEGLERSGHRFLWVLRQGPPPAPGSAASHLTTDGDAAAALLPEGFLERTAARGLVWPAWAPQREILAHAAVGAFVTHAGWNSCLESLCSGVPMVPWPLYAEQHLNAFQAVAEMDVAVPLHGAVDANFQGGRNKKKLVEAEAVERAVRSVMGSTQEGRSAREKAMRMKHLCRNAVAPGGSSHAAMHRLCNDIRRRAGATAAAAVKDSTPLLPGGPLF >Et_10A_001814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8669069:8672636:-1 gene:Et_10A_001814 transcript:Et_10A_001814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKCIVLEVSEVKEFGCTIDVVLINGALKKGPCHNQHKTFVDSLSNERIRVKGSYERHEELKAAQGIKIVARGVQHALAGTSLIVVKPGHDLEQAEAAAMLEISNAISLITERGGNEDGIATQEINRIQTCKEGVYVGTPICVCVPRKDRGEDIVHGLGRISSIKTSNGTEINSANKGVVSIKIIGENPQEKSRLYGRHFNDSNELVSQISRRSIDVLKEYYREMATLISICNLKDVKFLVQDEMNDENWQLIRRLKK >Et_2A_016396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24282624:24283514:1 gene:Et_2A_016396 transcript:Et_2A_016396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLGNQLMNGEHRDNMEPLVAIDGIAAPGVARRHDRQCRVEREVVAHRRSRRSSIHYSKTVASRPAELPCTKFQWSQENERYDHEVTTLTQKLQAKKLPTTQPEEQISPSKTTAMEAEILFVQLDEDQLRENTDGVVQGFAGANSDSPEPYFASTSLPMSSLLEGDCGDH >Et_1A_006160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18661634:18663485:1 gene:Et_1A_006160 transcript:Et_1A_006160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKGHPRLNERIMSSLSKRSVAAHSWHDLEIGPGAPLVFNCVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQGEKDDKIIAVCVDDPEYRHLTDLKELSPHRLAEIHKKNENKEVAVNEFLPPNTALEAIQHSMDLYAEYILRSLRR >Et_2A_017946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8131979:8135565:1 gene:Et_2A_017946 transcript:Et_2A_017946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSMASSMLKSAASKAAAAAAQEISLLMGVRKDIWFMKDELETMQAFLEAPEVTKKKDKLVKVWVKQVRDLSYDIEDCLDEFTVHMGSQSMLEKLKKLKDRHRIAIQIRNLKSRVEEVSNRNTRYNLIKTEASNSTDGTESCMEDIRKLSARNIDEAQLVGFDKPKKLLEMINIDDSGHARVICVVGMGGLGKTTHARKIYDSKENIAKNFSCCEWIVLLKDMTRQLFGSEALNKILKQLEGNVGQIKDHLGSYLAEQLKDKRYFLVLDDLWAIDAWKWVNSIALPSNNSKGSRIIVTTRNVDLAKECTSQTFIYHLEPLGTNDAIKLLLGKTRKNHEDMENNENLRNLVTQLVKKCGYLPLAIVTLGGILASQKIEEWGKFYEKIPSELESNPSLEATRRMVTLSYDHLPSHLKPCFLYLSIFPEDFEISRRRLVERWIAEGLVSASVGMTVEEVGENYFDGLISRGMIIPSKVNIEGVVKSCRVHDIMRDITISISRGENFVCIIGDNIPWITENFRHVAYHGNKSPTRGMDWSRIRSLTIFSERPTELASSICSQQLRMLRALDLEDSLFRITQKDITNKHLKYLNVRFYRGFSYIYTLPKSIGKLHGLQALDTRKSHISKLPTEITELQCLRSLRCSKALSNAMFDHTEPLEFLKDTLCLPFVFTPLFDRKERSRMVAELHRAYSSHFSGTSGVRIPRGMSNLKALQIPEVVDLKGTSTRAIEELGKLSELRKLGVTTQGASGRKCNKFCEAIQKLPSLRSLCIDADGYRSPVGSLEWLGSASSLPPLLRSLKLTGHIGVMPDCFRNLTQLVKIQLSCSELEEGKPIDVLGGLPKLMLLELDWSAHTGKELVFRQGAFLNLRRLMIWGQQELREIGFEGGASPQMESLAIKYCRLESGINGIKHLPKLKETSLLYGSKVARLGVLQEEVDTHPNQPVLRLVKDRSYHDLGDTEGPEEFFEAVESLPDHDGEGSQASTPTASARSVLRRSNTLFIEKPSAY >Et_4B_037834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23954596:23956872:-1 gene:Et_4B_037834 transcript:Et_4B_037834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASIATSILCSSSSASNSKSAAVPRMIRIPLFSKHQYRPSLRPLRSSSQEQEQRADPASSVAVASGEEHQEEQETTSRHVGGEDDEAKASGRHVGGDGGQDGVDGGEEQRRKDEQQEVDWKSDEEFKKFMGNPSIEAAIKLEKKRADRKLRELDREPDANPVAGLFRGLAKDQIAREKQRLELAEQTFKALDLNKLKSCFGYDTFFAVDVRRFGDGGIFIGNLRKPVEEVRPKLEKKIAEAAGTEVTLWFMEEQNDDITKQLEITKLSTPWGYLSAVALAVTTFGTIALMSGFFLKPGATFDDYVSDVLPLFGGFLSILGVSEVATRLTAARYGVKLSPSFLVPSNWTGCLGVMNNYESLLANKKALFDIPAARTASAYLASIALAVSAFVADGSFNGGENALFVRPEFFYNNPLLSFVQLVIGPYADELGNVLPNAVEGVGVPVDPLAFAGLLGVVVTSLNLLPIGRLEGGRIAQALFGRGTAALLSFTTSLLLGVGAVSGSVLCLAWGLFATFVRGGEEIPAQDEITPLGNDRVAWGFVLAVVCLLTLFPNGGGTYPSSFLSEPFFRGGI >Et_10B_003551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3032396:3037639:1 gene:Et_10B_003551 transcript:Et_10B_003551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPQRTAESVDADGAQSFVHKLQLSISKGLPHAAPVPSLRTEEHELVKAVFQVLQGFETSWLYWDSSVPGYREKSGVYVVHLSLTGLRSVLSPFLFAATCLKHVQFFVGKVRTCRCRIPTLDAFASSIDSWLTRLREAALKEEEQLFTSVSKTITLLGLTDSMSSLCSGAEHLSQVVHGAVPDGFWDSGAHMASSEVAVHILNHLFKKLNDVCLVEDGEGEPYHMLLVTFAGTLLPYLQCLDSWLYDGILDDPYEEMFFYANNAVTIDEPAFWEMSYMLRARDSRAGTSCSLTDSESVTKKESGSQESASAGACLKASNQGNVDILCPVFLKDIARAIVSAGKSFQLIQHVQGVHGVETHNGTQEFNVDQNTNCNSKHKFWPDTSSLRMQLGDPRSEEAVEESTAQFGNDSHEMGLLTLSEIFLICLSGLLENGDHVYEYLRKFHVDNGEPDKSFVKSEVRETEDTCAGSNSEKTWVKLLVNTISGRTYAGTALTLSRNTVTNEPASVHVCPNDVSSNGVERQITLSCYENPAIVSCKEVLQGNPNSWSELNISESFHLPPLNDENIRRAIFADGQLDGTDYKFGFQFDDLEYVRQEDDRRKDSTLASKVLQFIQSMSLKDPLQPVSIIQECLSRCIKGQMDHIGKQILSKLMGEWRLMDELSVLRAIYLLGSGDMLQQFFITIFDKLDRGNSWDDDFELNNLLQESIRNSADKMLLTAPDSLVVALGKHDDESASTSKKGRALGFGIDALDVLNFTYKVSWPLDLIVNTEALKKYNQVMVFLLKVKRAKFVLDETRKWMWKDKGRTGHNFKQQLIVEQKLLHFVDAFHQYVMDRVYHSAWTELCDGMASATTLDEVMEVHEAYLSSIQRQCFVASDKLWALIASRVKTILGLALDFHNIQQTLSTGGTAPAVRTRCEMEVDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYFMSDNGSFSAIPGSRPR >Et_2A_018050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9567146:9572143:1 gene:Et_2A_018050 transcript:Et_2A_018050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAMESDYGAARELSPLQKSRALYRPDLPPGLQGTTVAVEYGDAATAADVGGAHVISHAFPHTYGQPLAHFVGNTADLPGASVVKTEHPVVRVGVVFSGRQSPGGHNVIWGLYEAIKAHNSNSKLIGFLGGTDGLFAQKTMEITDDALSCYKNQGGYDMLGRTRDQIRTTEQVKAAMNTCQALKLDALVIIGGVTSNTDAAQLAETFAESKCSTKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARSEKDKYHGVVLIPEGLVENIPELYALLQEIHGLHKKGVATENISSQLSPWASALFRFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAQLVEDEMNKRLKEGTYKGKKFNAICHFFGYQARGALPSKFDCDYAYSMMTVKRWSRGQATSQMGKPAVHMASVDLKGKAYELLRQNSSKFLLEDIYRNPGPLQFDGPGAETKPISLCVEDRDYMGRIKQLQEYLEKVKSIVKPGCSQDVLKAALSAMASVTEMLTIMSAPSFAGQATI >Et_2A_017570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3736414:3737980:1 gene:Et_2A_017570 transcript:Et_2A_017570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WTGLHPQSRTNGSQTVQPNQPRPKYDWGYTIGQPQAVKQKVTLPIDLSQLRPHKEMAVTILESCMVKPSEETPKHGLWLSNLDLLVARSHTTLLLVYRLGRTPASRFSPDVLKASCPRRSSRSIPSPVGWRRTTPAARRSGAPAMGCSSSPPALDSTLDQMGDLVPSDEMRQMLFPSADGERTGVLIMIQATLLRCGAVCLGVSLHRFTADGRVSQLLGHVGCHREGRRRGLRCRARASTARCSARARLPPCVSTTPTCAPGAAAAGRSQRSRLKPPSSPFPETRLTRSRAASKARRPPLAGTEHTRLYMTASARSRVRPPIPDGYLGNTVVRASAVAKVDSIVSGSLGATANRVSEAIAALNDEFIRSLVDYVELATSDTEAAAGLRIHEWKVIPETDLWVVSWLGLTFSDVDFGWGRPAFIRPATIKCGAVFLIPSPGGLDVAVAMEKERLARFKELFYEGLQRPCMRPNVPSFNCLNVFLLIL >Et_8A_057031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20376225:20390124:1 gene:Et_8A_057031 transcript:Et_8A_057031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAASVNDCQSDPIPYSIGGELTTDVTHHETKDHHEKDALEIDVSMETMGEVFIAAERVGDHVNKSTKRAPRTDPSRAKLGMASSNIFLLTVLLALVTSGAVASDPSPLQDFCVADKDSPGFLTHIYVRCSVAAVRVNGFPCKDVKDVKVDDFFLAANLDKPMDTTKSKVRSNVTLINVMKLAGLNTLGISMARIDYAPKGQNPPHTHPRATEILTVLEGSLYVGFVTSNTDNKFFSKMLNKGDVFVFPQGLIHFQFNPSHDKPAVAIAALSSQNPGAITIANAVFGSKPPIADDILAKAFQVDKKSKEQKSFLATKIWPPPTYFFSLFFLSWSPPGPWHQIPARSRISVLPTKTRLLHPSSQTSCNKSKLSSEIIPLKLCMGFNGFPCKDVKDVKVDDFFLAANLDKPMDTTKSKVGSSVTLVNVMKLAGLNTLGISMARIDYAPNGQNPPHTHPRATEILTVLEGSLYVGFVTSNTDNKFFSKMLNKGDVFVFPQGLIHFQFNPSHDKPAVAIAALSSQNPGAITIANAVFGSKPPIADDVLSKAFQVDKKLCESQIKTEMAAASYFLFAAFLALVSSHAVASDPSPLQDFCVADMHSPVRVNGFVCKDPMAVNAEDFFMAANLDKPRDTKMSKNPPHTHPRATEMLTVLEGTLYVGFVTSNTDNGNKLFTKVLNKGDVFVFPEGLIHFQFNPVHDKPAVALAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQRSSSKRLKL >Et_3A_024344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19878487:19891462:1 gene:Et_3A_024344 transcript:Et_3A_024344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDNCPLQMGLAKVREPSEMDCDLHAPPRFPESLTSPSCRAADDGDGGSGGRPVRLRTDRCREMDIFYQCKEILKIQKFRRIASYAGFYCFTTLIAYAYTSNTTRAGISRADQYYASYPAGTELLTDTAKLYKAALGNCFEIDDWGPIEFAIMVKHFERQGKPPYAYHALDVLDLPGRHEGGLVDVVRRAAEQRRLAAAAAGRRRRREPPAEAVVVDDAGEVERLAPLPHQYRPPPQPLPFLLPLVVVARRLFMTLMDRHELPPVLMVAAAPRAEEAALFLACHRTRAGISRADQYYASYPAGTELLTDTAKAALGNCFNIDDWGPIEFSIMAKHFDRQGKPPYAYHAVRNHLLQYMAHLLSHGQLDGSKGNQRHCVHQRS >Et_6A_046722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19970671:19974992:1 gene:Et_6A_046722 transcript:Et_6A_046722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYSRAGMMSLRCLCHLVLRALWPKIFAFSPSLLKTMQSDHEHVNPEAAVNRDFLELPAEILDDIFALLEIPDLVRAGCVCSSWHTVYTSLRSSGWYNRHQTPCLLYTSESAGDNIACMYSLAEKRVYKLTLPEPPIRSRYLIGSSNGWLVTADERSELHIVNPITGEQIPLPPVTTIEQVKPIFDESGALQEYELSQYDGESEYGDPYILPLGNLRDKLYDKAFVFSDSSTGSYIVVLIHNPVSQLSFARARDSRWTWLPPSGDYEDCIYLDGILYTVTSTGGMDAFDLTGSSFSRKVIMNDMKNTIHERMYIFQSPSGELFQVWREQDVIPIGDGDAAPERVLSEIEMETKNIMLYKVDMAAKTLVQNNSLHGHVLFLGYGQSHCIRAEEYQQLKANHIYLTDDDRGIAFWKSNRRDIGVFNLETNITEEILPSQSWCTWPAPIWITPNFEKMRYVDSAQDVIAETRLCTAAKIVGSGKIAHLLGLLGASRGRPVAVLHSDCSGTTATALVGACGRRDLQEGGGGRRGVAGAHGGAILWFRGACGRRRRGALHQSGLRGSLHHSGLVRVSRAGTWW >Et_4A_034733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5919536:5925992:-1 gene:Et_4A_034733 transcript:Et_4A_034733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARGFNGVGLALVVPAIYSLVADYSDDATRGSAFGWVQMAQSLGPVVGGSLGVLLAPTTFLGVPGWRLAFYLVALISVSLAALTWLLAADPRPSVDTKSKALTATLSELVRETKDVARVPTFLIIVAQGVAGSLPWSALNFAAMWLELVGFTHWATTLITSLYNLANALGALFAGFVGDPLARRFPDTGRIALAQVCTASTVPLAAVLLLALPDDPSAGAAYAAAFFVFGFVTPWCPAATNNPIFAEIVPEKARTTVYALDRCFESVFASFGPPVVGILAERVFGYQPVASGTSVEADKENAAALGKAIFAEIAVPITISCLTYSALHWTYPADRERAQMAALQKASGDQDRDCEASVAASVTADEGLNQALLSRIDGAAGRQRQWTVALVTVAALLESADQALLPAVYKEVGEALGASPTALGSITLCRVFVMAVCYPLSTCAAARYDRARIVAVGAFLWSVATVLVGVSGTFLQVSSILDPA >Et_1B_013163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:615320:616387:-1 gene:Et_1B_013163 transcript:Et_1B_013163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINTFQSCSIARGAKINTRTKSSGGRGSPTFQCRASTFVDGSLRLELDENPEAIISGEWPENFSLLSYDDLRAYLESQQTVEVGDQHVALLREAMSTPVLIATVEQTLEEVECHFEAVSGLPVVDSNFRCVGVIVKKDRTRASHGSKTKVAEVMTTPAITLSSNRTVMDAAALMLKKKIHRLPIVNQDNQVIGIVTRADVLRELEGLLKV >Et_1A_008163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4928728:4930173:1 gene:Et_1A_008163 transcript:Et_1A_008163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPKLVLVALLCSYHSLVAHAGDARTHKVLDIESMKPKTVCSESKAVPSSTGGTTLPLHHRHGPCSPVSSSKKTPTLEEMLERDRLRAAYIKRKFNQATLGSSVQQQLDAATVPTTLGTAENSLEYVISVGIGSPAVTQTVLIDTGSDVSWVQCKPCSKCHSQVDALFDPSASSTYAPFSCGSGACAQLGNEGAGCSTSSQCQYQVAYGDGSTTTGTYAADTLTLGSSTVRSFQFGCSHVESGFDGDKTDGLMGLGGGPQSLVSQTTGTFGKAFSYCLPASSDVSSGFLTLGAGTSGFVKTPLFRTNQPTFYVVRLQAIRVSGKQLNIPASVFSAGSIFDSGTVITQLPRVAYSALKSAFKAGMKQYPSAPASGPLDTCFNFDGQTSINVPTVELVFSGGAVMNLDGSGIILENCLAFSAGNNPSIIGNVQQRTFEVLYDVGGGSVGFRAGAC >Et_6A_047068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24806830:24811214:-1 gene:Et_6A_047068 transcript:Et_6A_047068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHVMVPLESLSLDLTTGEIAVGYDKEIAALQEEISALRSKQRHLDQKRREALDKLIDLKGSIRVFCRVRPLIPTSNLKTKSPLTVGQEKIALQSVGIKKEFIVDRVFDQESTQEDVFLEVKPIIRSALDGHNVCILAYGQTGTGKTYTMEGTDGKLGIVPRAIQELFSHASADSSSTYSFSISMLEVYLGSLRDLLAPRQTLFRHTECSTASNISILATKSGAVEVEGLTDVSVPDLKKANQWYCRGRRARSTSWTNVNDVSSRLTRITIRKQGVTEEVSKLWLIDLGGSERLLKTGASGLTMDEGKAINLSLSALGDVIAALRRKRSHVPYRNSKLTQLLSDSLGAGSKVLMVVHISPSEQDVSETVCSLSFAERAMSIKSNRDVSEDLKMMKQKRLAELDKEICNTEQELKYVNEQIKKDEISLEEKKKLYSSVCQAASDEKGSPRSTLVTGHIDATESPQATEKPKSRLSNGSVPHFMSSTACSRQRHNAGSHSVSKPRLTKSVTRHPIELSGSQSFSYSSCRNAAKARSVAFSSSVPKLKYLPVKSDQINISSNSIDSTATSAPKRRESFVSRPAQRAPLHQHRRRIGEGKLRKRDKESRIM >Et_5B_044691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4753760:4757766:-1 gene:Et_5B_044691 transcript:Et_5B_044691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTLSVSSLASTAFLPRTCKPRAPPPLRRLLGPNLPPAPRLRASPLSTSAAAAANADPDDGVDTVEQILLPNPHVTPGGGARGRIDRLMKLQRRADDAEVPGPAAVGGTRRWFPYLDTFRSVGGAELSSQEVVEVLEPHILEARRDRIRRAVDNRSYAVCLVVEGLTDFGNVSAAFRSADALGVQSVHVICHDSNKRYRDNRHVSMGAEKWLDIELWNSPAECFRALKKRGYRIATTCLATDSVCVYDMDWSQPTAIVVGNELRGISDEALKLSDLHCSVPMKGMVDSFNVSVAAGILMHHAVCDRVSRLGHHGDLLPEESRILLAEFYLRHRESTTTIVHEYAKRKAEHSMLRL >Et_10A_000906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1978642:1980087:1 gene:Et_10A_000906 transcript:Et_10A_000906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAYPYSSYAVLEPLLREHFPFPRRLLQVHALLVTSGALLSDAQCAATAFPYNCLVHAHLRVPAASTSAPPSAPLRLFSAMLARGARPTRHMFPSLLKSAAASGSAATANALHAQCLRRGLAADRFVACSLVSAYGRSGHPARDARKVFDEMGGSPDLASCNALLDALCLAGDLAAAESFFELMAERDAGLAAGMAVHSYIVRHELDLTAFLGTALVDMYGKHGKLGCCNSAFEIVCKKETCTWNALLSALANHGKETGALVQFDAMRAEGFLPNQITFVVLLTACARAGLVEIGLHWFEAMVAEYKVAPLMVHYGCVVDLLGRAGRFVEAVQVIERMPFMADASVWGALLGACKLHGNVELAAEVGQKLMALGPQQSGGYVTIRNVYLEDGNWYAATRMGEAMQEAGIKKTVGQSSVVFHGSAIT >Et_3A_027243.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:5769483:5770286:-1 gene:Et_3A_027243 transcript:Et_3A_027243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTSPRLKLFGFHVSEEEYHEQAPEMETEETTPACGDGGAGGGGGSDSSSSAPPPTTTATAGGGGGDGRRYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQAAAAAAGRVAGGAAALYPRANPMISAFAPPPHLLGGGGGGDAAPTSWVYFSSPRAAAGGQQQFHVSHGCVFPSRGGAQAATVAASPAVFSYTPAPSGASAAAPYVADDRRVHHHASPAATLTRYPVGMAGPGMVVAEPVAPKPEDALGLDLQLSLAPAGL >Et_2A_016866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28944127:28948404:-1 gene:Et_2A_016866 transcript:Et_2A_016866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGKYPSSSCSKEHQKIYQEWFAFADSDGDGRITGPDAIKFFGMSKLSRADLKQVWAVADSRRQGYLGFNEFVAAMQLVSLAQAGNEITPDSLKRDDLSSLNPPEMDGLDALLAKSKHMVKRVDPDIDGTITSNQQMVQLKIPLTAVTSVIDGLKKLYIEKLKPLEVTYKFNDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLRTSYPGAHIGPEPTTDRFVVVMSGPDERTIPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMRHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVSRVYIGSFNDKPVNEAAVGPIGKELFEKEQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYIIGHLKKEMPTMMGKAKAQQRLIDNLQDEFAKVQREYHLPPGDFPYVEHFKEVLSGYSFDKFEKVKPKMVQAVDDMLGYDIPELLKNFRNPYE >Et_8B_060740.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5913772:5914161:1 gene:Et_8B_060740 transcript:Et_8B_060740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVMLRRCHAPPPAEFGRCCGGAGVRYGQCRRNHAASTGGHAVDGCREFLAAGEEGTGGALRCAACGCHRSFHRRVVQRCCCCLCRDDDDDTGGAYAAAAAAAAAAGRWADCSSPESTASSTSTTAS >Et_2A_015609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1627239:1633614:1 gene:Et_2A_015609 transcript:Et_2A_015609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYDRFGITKQRVALRHCRNMIILSLVDCFTTSSTFSSLAQKMGDYRFGIHLPAGRAFPSIFSLIVIGFEQGIVMVEMNRISIPSMDIKGSIIWSKNTIQTANVNSLERQYQITDGRTLPLVVKELQGCGLYPQIFTTRTWKHGAAASALEFAWSSGSEYAIRESPSTVKIFHKSFQRTLSNLPFSVEHIFGGILLSMSSPNFICFFDWDGRIIHQIDLNVRAVYWDDSGDFVAIANKASVHIYKFNRASIQGGKAAKDAFDLVHVLNEHVRSGTWVGGIFVYVNAAWRLNCCLGGEAVTMYHHDDRPMYFLEQPMHILGSLAKSRIYLMDKDFNVMYYTINDQLIAYKKLVIGGDMYAAANLLKSLPKKHHNSIAYFLESKGMLTQAHDVATDAEYKLRLAARLGTKHGVLDIRAGLVALRLNVSLLDIEKITHPEI >Et_10B_002919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13925297:13927981:-1 gene:Et_10B_002919 transcript:Et_10B_002919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQEAVSATSAQRTDDAIAAHEKQEVRPGVRSEYAIQRILLMGNERERIKNLKRKDISRYFHIPLEAACKKLRVSATTLKDIRSRFHIKRWPYRTMPTTNMTLETDCLGVVNKIHGRPPDLCCRTSGLLRLIKWRMNLPSLLFVRVVVSCLFASLPECVDVLVQEESTPAQAFFSFIHSGHSYP >Et_1B_011523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23137079:23137859:-1 gene:Et_1B_011523 transcript:Et_1B_011523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADRPLLVVHDEEDGHLIYDLLLLNCEDDNGETVVACFPRPIARASPRPLPLWSAARPSPSPAAASWALVMRVGGYGFFEEHDSVMRVEGTWQLPFEGRALYVPEVDAVVGLAADTRLLCAYDFKEAGAPPVLQTCVEGDILFPTKEEFLSVDDGSQHPAPRPRDVPSLAYLGEGKFCICRPMSTNLSVMAEYVTYEASSFLVAQVKHLPSSGESFSSPRAGRGATCGRRMDGNGCTSA >Et_7B_053489.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:22802051:22802203:1 gene:Et_7B_053489 transcript:Et_7B_053489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLTSGFIYGVRIYTKLVSTTNISFLEKPTNGTGRAYVYQKSNSSYGSS >Et_2A_014720.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:9560195:9560736:-1 gene:Et_2A_014720 transcript:Et_2A_014720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPAGRMEAEEAEEEGAAVGEALGAMGGDGDGAHRAAEVEVVAMAAAAAAVAVAVAVEAAVVVVEEEEAVRRRRQRQSGTKMAVVEQSTEERGGRGVTGRATARACTASGSTRGARRRGGVAACGCCARCIAKAPASTTATPTARRTAASS >Et_3B_030856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8002313:8006803:1 gene:Et_3B_030856 transcript:Et_3B_030856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLMQDPPAGISGAPQDNNILLWNAVIFGPDDTPWDGGTFKLTLQFTEEYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQAASVVHCLEQSGEGSKVALPMADDEGVIATAGRDSLTTPLLRPTAIAREPFVEVRLYRRGAGPVALFRSCLAGSRRDRLDVRRIQAEHGLRALYAFKLEGSRRGLRIRPDPAAGYSALPFRDGAVILLDGEPKESWTKPVSLIVAGLLVPAVMAMVAVKGVPEPLRSSRVINGLFPPWILVSAVIIFAHVRTRPRAP >Et_7A_051650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2254880:2258860:-1 gene:Et_7A_051650 transcript:Et_7A_051650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASVTTFTCGVCCTHTRAPSLHRPPSCPLFHFQVLAHVPETARGKTEGGAKLVEMDLLERNIKKGEKEEERREEERKEENNQESPQGQGLNLSLANGSSRFGMSTPKANPAQLTIFYGGSVCIYDSVPPEKSTSVATGQPQVVADPSSICRLQADIPIARRHSLQRFLEKRRDRIVNKAPYSPAKSSEGTEASGMEVIAEGKSQ >Et_10A_001324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23357161:23358050:-1 gene:Et_10A_001324 transcript:Et_10A_001324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWPCYDARDGRILLMSYDLWRGGLASPVLSVCDPLTRAHTLLPPIPDDLCVSVLVEGEHMSFSNAFFDPSGGYEEELFREEEKFRVICWATFSGSWSHGTSIVFDTLGLDVLPEYHPIMRGLHSYAYGCLYWDVGISNKMIKLDINRMESTTVSLPSDHENWDTMFVEAGEGRIGMFSLIFESESPQSLHYSIWQNESENASEHPMETTIALSSEYDFYRFDGAAQGYIFLIGLRKYFAPGSAFFSVEIKTLKVERMYIGTSDHSYHREEYEA >Et_4B_036419.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:23001455:23002516:-1 gene:Et_4B_036419 transcript:Et_4B_036419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQQTPLPPVPVPPNPNPTAPADPTPPPSSAARKLPIKRRSPRPTSSSPPSSGSDQHHHQQQQQEQPAFKFQRIWTESDELRFLQGLLGCGAQGLVFPRDLNVFYDRFSESMPQPYTRSQLSEKLRRLKNKYRSMSARVAKGLDPARLAPHDRDVLHLCSRLWDPANAATSPFAAAAAGSSGNKRRRANPQGVVLPLPAVSGESNSHDYNGISFPDGSNGEDMFFLEQESGHLGDHEGAPPLVADGSFGGIVLEQPQTVADVPVGNNGIAGEMNGNHKLVVPYSNDHRMANAVLDVFEECLREAKTNEIFNGGSIGESELAKRWRAQRVDELDVLSRRLRLLVEDAAAAGR >Et_2A_014873.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:19749323:19749388:-1 gene:Et_2A_014873 transcript:Et_2A_014873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFNVSVQTTTKSMNSHQSCL >Et_7B_055123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7562334:7564686:1 gene:Et_7B_055123 transcript:Et_7B_055123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGKPLGDSAFAGHAAAGAAAISASTVSVHPLDTLSASGKKEKMGLRQVMDRLMATSGPAGLYSGIGWSVLAKLPGLGARFGTYELLTAFYKDGREDDYVYYSEAMLAGIAAGAVEAVFCTPFELFKLRNQVSSVIPSKAVGPASITQESFPLLSKLLPGYVPDMRVWNNTVSLLSDLSPKHPDMLGALKQHPWMLTGSGKPPLPSDVQLPSRVISLEGWGALWRGLRPGIARDCVFGGMFFSTWQFIHTAMLTWKAVNMNPEPRKLEEAGPVHPLASSAAAGLSGVVAAAASHTFDTAKSRSECNVVPKYIAMERKFLKWTAPGTWIERKTGISPADRNVLFRGIGLRMARSGIASFVLVWSYYLAVDYIS >Et_1A_008088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4246157:4252098:-1 gene:Et_1A_008088 transcript:Et_1A_008088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRGHGGEVGLMQIADKLSVVICTMGRTIQVQGFALTDSADYVKDFLERIAGAGTVYALKLRHPRNISATSKAFAIVQFQTQESASLVENAAQRNVLRSGRFYLKARPADRDIVPRPRTAMFSLDDAVLHFGCLVKENVLSVLWSANEVSVQFGFDMRKIHFYLSYNFTKYKLEISYESIWEMQLHRPPAYRSRTKFLLIQVQVAPKIYGLTPRRSGIMFEDPFFNYFRYDIDDQWTRTTDFTPSASIGQSSILCLEVPQQCDLPNIGDYFVYYKECNLDFECQMGLSYSCGTRLVPVVKSSHVEVPYEVLFKVNHLVQNGTLSGPTVDDNFFRLVSPKLVPIVHIERALENMSYLKSTCLNPTNWLSAQYSKIRKLRNVLQRSPNISLDDGLVYVHRVQVTPAKVYFYGPEINVSNRVVRHFSTDLDNFLRVSFVDEDCEKLRSADLSPRSASGYDARRTALYNRVLSVLSNGISIGDKHFEFLSFSSSQLRDNSAWMFASRPGLTASDIREWMGNFRKIRNVAKYAARLGQSFSVVAVDPRSNWKLSLRRSMIKFDSDNTSLDVLAYSKYQPCFLNRQLITLLSTLGVRDIVFELKQEEAVRQLNRMVTEPQAAIKAIELMPMGEITNAVKDLLICGYQPSHEPYLSMLLQTFRASKLLELKTKSRIFIPQGRSMMGCLDETGTLKYGQVFVQASSSANDPHRTIVTGKVVVAKNPCLHPGDVRVLQAVDVPVLHHMFDCVVFPQKGSRQTGCSACRPHPNECSGSDLDGDIYFVCWDQSLIPNRMVEPMDYSPAPTETLDHDVTIEEVEEYFTNYIVNESLGIIANAHVVFADKEFMKAESEPCIQLAKLFSVAVDFPKTGVPALIPPELHVKEYPDFMEKLDKVTYESKGVIGKLYREIKKHTPHIKYFTKDVARRSYDADLIVNGFEDYITEAVEFKEEYDFKLGNLMDHYGIKSEAEIISGCILKMAKNFTKKSDADAIRMAVRSLRKEARSWFTDMGTDSQRALDAKASAWYHVTYHPDYWGCYNEGFERPHLISFPWCLCDRLISIKQRKKLLRKQKTVQFWFKEHRCQEAVASRENLTCSEILPLSTSSLAPVTSQYPGLSCGPMVTCDVSTNLSIFCAPTGTGGPPANTPESTLTSSGDPGCCLPSFCAPPPPPELPGRDTERKLDFPDSLAAPRAHLDCTTAKPGSSP >Et_10A_001282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22924559:22925585:-1 gene:Et_10A_001282 transcript:Et_10A_001282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESHEKSSGMRIGRQQRKEKKESSIQGFLAFLRRVAWAKHPTNATLLVWLAFVAGGVVFVFLLMTGALNSAVPGASRRRRWTEVANQALFTVITRASAPNDVAELRAVYCKNVAGDAGGLRRERLHVALVVALLHATCLAQYAYCALFWFFGSATRPDWAVNLCMYAAALYMVYGPLGRRKAVVVVLLPAASTSDQENNKRSRGVAVAAPDLRGSGGEDLRDVLVGISSSWRWVYFDGVT >Et_2B_020869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24476413:24477433:1 gene:Et_2B_020869 transcript:Et_2B_020869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLLGTHRIFCTLLEIRRIAITREIQSRESVQKIGKLLLKASVQRLLHALDEPMDKISKQESKETVRRTIMEHDKIFRQQVHELHRLYHVQKSLMAELGCENQNFQCRTEEMHEMGQESRPNLENSPSTSQATQSARLGSVQYPDHQQVTEHLDQQECKPVTYLSLFSEEKSRTEEAFHIERPAGRQKSVEGESWNTSMNSDLDLKLSIGPSSHATKASHWLFSSSRERNPSGQHR >Et_1A_004891.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14511369:14511548:1 gene:Et_1A_004891 transcript:Et_1A_004891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GYAAIGIVARDSVGKLILSSRRILLNCVDAEEGELLACLEALQLAMQWITPPIILESDC >Et_1B_012477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32303719:32304468:-1 gene:Et_1B_012477 transcript:Et_1B_012477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHMESALRQALSDPERRELEDVVRAHHTFPGRAPGTCTSLVTQRVDAPLAAVWPIVRGFANPQRYKHFIKSCDLKAGDGATVGSVREVTVVSGLPASTSTERLEILDDDRHILSFRVVGGDHRLRNYRSVTSVTEFQQPAGPYCIVVESYVVDVPEGNTEEDTRMFTDTVVKLNLQKLAAIATSSSSSSSSQQEEEEEKMLSIYFATRNMISS >Et_6A_045894.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2761253:2761588:-1 gene:Et_6A_045894 transcript:Et_6A_045894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVARSHEAVTAGLYATLDQLLQTPCVIVAKGEAEDAQSCCFEAPAAADVNNNDGMACVLRLPADDGMACVLLLPCRHLCLCASPPWTRAPSPRIPRMPRSTSCPRESLLH >Et_1B_013829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1956474:1958012:1 gene:Et_1B_013829 transcript:Et_1B_013829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGSRESKKYKGVRLRKWGKWVSEIRLPNSRERIWLGSYDAPEKAARAFDAAFVCLRGRGAAGADLNFPDSPPPPCRAGRSSDPQEVQAAALSHANRAAVTAREAAAALMDVDSPPAMLSREAMWQDGAGVLGASGDEVVAPVRADGSIDWRPVMAHPPPLFSPTGWGSNAYDFLQMPPAADEDMEESIHGASASLWSFDLRSDSF >Et_8A_056574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12664196:12669747:-1 gene:Et_8A_056574 transcript:Et_8A_056574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSCLFIKLERSNIKDETCLYRVYKQALGDCNQHSIFILSSEGNWIKLLAPRRCYKDMARSIYIIASANHPLCQELGRDKRNLYGGDLHTEPSIDDLKNHHSLIKLFLGADTTLLMSVAPQLLIPMTSTMGSSVERREQQQIQRNYLRQWRRLADLHLGFVENVDYRPSSYGSKSRSSQRYT >Et_8A_057541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4112345:4115803:-1 gene:Et_8A_057541 transcript:Et_8A_057541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQETVSGRRHALSSEELYAHRSDDTAAQRDAVGDRDLHNLDALVGGDLGVELPDGVPDLPVVIRERRVVGHGHGASPERVVIGEHATDAHQTQQLLVFSLSASTNAKSYFPSLPSCTPSIPRANERAALTGPIYKRWAVASYIGEHLVEDGLGRALAEVDLVRDAGLLDDGQADVEVLAADVDGHDIAVVGDGERGGEHGAAGEDADLDGVPGAHQLEQQRQQLCLVRWRRHEPSGH >Et_5A_041107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18382622:18388984:1 gene:Et_5A_041107 transcript:Et_5A_041107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPPSAAMFTNISASLRGVAFWLSGSAASLPEHTFGNCVLVHSSCTVSATGAPYGAPYVGGLADGGSATLARMDPDASVERHAEELVELDAARHGGVLGLAGGGVRAHGGLAAAPASADDSHDLVSRNLASVGSRERALRCATASSTSSEQLSRHRYSASSSAAPLSSPGAAAAAARPASTAACTASSASRRGVAAARLSGNADSACTHSSGNIVSSQASSRSSRMAAMAASGRSRAAEAAATRRERRKRRCARKRAIAMALRSRRRAANSLALGVFPARLISLWRLLLLVVIDGVS >Et_8B_059012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12204036:12207887:1 gene:Et_8B_059012 transcript:Et_8B_059012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAARSVRASFAARSVRASFAAAGTWGCSLPPSRVAVLSGSRARPLRCGSMDSLLEELAQDDMVNATELVQWENGKSINDIAASQGIRIRRHRRPTASLEEIEKELGAPRNILEKIIWDKEIEVAKGLAKNPLKEVIQAAQQAPPTRDFFGALAAAHKRNGLPALIAEVKKASPSRGVLRENFDPVEIAQAYEKNGAACLSILTDEKYFQGGFENLEKVRNAGVKVHDEREMERMLKINGVQLIGINNRSLETFVVDTSNTKMLLEKHGDNINEKGILVVGESGLFTPDDVAYVQNAGVSAVLVGESLVKQEDPGRAIAGLFGKELLH >Et_3A_023937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1502799:1506076:1 gene:Et_3A_023937 transcript:Et_3A_023937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLVILAINSGVVGLALLELRARVEADPHGVFQDWDPLDSNPCSWSGVRCSDGKVEILSLTGRELAGTLAPEIGRLQGLKSLLLSKNNFRGQIPREFGGLTALEVLDLSNNNLDGTIPEELTAIPLLKQLLLHDNQFQGVSSLDIPVIAADEAGCLSRKIGSGFKDWIPLSGLREKYCNDIPSFSEAHIMQNLQSFASAMHRRFLLEGGNLPALSGNDAKSSDMANSKETEIPVDVLSQGSGSFPAFPNAYGQMLMPLLPEAIEAARLQPPSGEGTQSTDGKLSSAKYSKWAYLIIIMAAILILIIALILVWRIRGRAPIAPWKTGLSGPLQKALVTGVPRLKRQELEAACEEFSNIINTYPSCTAFKGILSSGVEIAVVSTLISSSKDWSRSSEALFRKKIDTLPRVNHKNFINFLGYCVENEPFTRMMVFEFAPNGTLSQHLHIKEFEHLDWPTRMRITMGIAYCLQYTHHELNPPVAINDLCSDTVFMTDCYAAKIADVSVWKDVSNRAKTAKEDGSSRSEAPPDLVSNVYCFGALLIEIISGKLPEPDDHEYESICNWASEYLKDKSYAKLLDESLTEHNSNELEAVCEVIQECIDPDPTRRPTMRDIVGKLRPALNIAPEQAAPRLSPLWWAELELLSVKAS >Et_3A_026629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10846563:10849407:1 gene:Et_3A_026629 transcript:Et_3A_026629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHGVKCVQPRILWRLLPVITGACTGLRQALQLAFDLRYFFCNRYNVSAVAIVFTVIFLQPQPQLQPTKQTIIGNPPRITRSTHTTGVAELLLLLLLAGVGAGVGFGCAVLEPRDDFVEHLLDVLLGLADDLVPHARVHAERLVGAARAAVQLLDARRVADGVVPAVHEQERQVHLLEPRLQLAADAEQLERRGGARRRRGAARAGQREPPLPLHLERALGDVAPREGHAPRGRHGGRRAEQVLERPRRLEPRAHPAHGAVEHRAVAPRVRPAVFPAEVEEQRDGAAEGLAVEEAGERGRVVGAEGREGGVAVVEDGGDVRDVALQALGEAVALVVQRRHGEPGGREVDGGELDHPGGLAREAVHDGDGAEDGGGERDPALGEDAEAPRVDEGGGGVGDAVPGVELLRGQTPEGAPLVGLRHRMHHLLLLLSLSLAEQSGGWPVLLWFVYSRRNRWMDGIEALVLGSPRHSVCVSGVWMEEAGSRKAVDSPSAHAYGAVENIIGLFFDDAKNWDR >Et_2B_019051.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27566881:27567633:1 gene:Et_2B_019051 transcript:Et_2B_019051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRQPPPPAAEPSSESALSYDVDSHEDPGLDSVTPTIQPRPRILANLPASERRVYEVIFAAGSKGMLTADVGRETGMSATLARRHAKSLAKIELLKEVPDVRHRNRKLFMAVEFQPFSEISGGAWYHDGRLDTDTINALRRSCLSQVKKLGVATAKMIHAGIRTDEPRAGYDLSKVKDILKKMALEQELDEVVRSGDTCYRVAGRQQGGVMEAIPCGVCPRIGECSPEGIISPGTCVYYMKWLQLDF >Et_10B_003352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19061739:19064897:1 gene:Et_10B_003352 transcript:Et_10B_003352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPGPSSAVTDPSPADEEGDRWVLVPASEVEGADAPKVFHWEDLQQELARLWSLSAALQAARDRKANLSARLESALEGRRAFLQQDNELAEMRQKLQEHSDHMGDLKMRAKKMSEDVENQREQLCVKIRTLSVASKTSCAAHSKLEEANKLLSGENGYGRVKSLEQKLRMRQQHMIMQVAHIYPVRPLDEQSPVVKRGLTSNIVKTSGAESVLPNGSQNRPLAILGLQLSKPVKKTGYFSDKTEFQKSSTVLGYAAHAVSLIASYLNVPLRYPLRFGGSRSYVLDHAPSVELSSITAAASSVPPSTGMRTVEFPLFFDGPETTRSAYAIFLLNKDIEQLLNYIGAESLGPRHILANLKQLTTIIRSQQYISS >Et_5B_043948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18183743:18187075:-1 gene:Et_5B_043948 transcript:Et_5B_043948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding APSTAPVMLSSGSDSSPEASPTRTSSSKEQGKGEKQANLDHVGDRNDGVHNKGKTTTATRKKLTSGNDSFDKHEEEPSADEKQDNTPRRVTPKKNLVSLSSARSDASPGSSPSRAADADHEVDTLTRARRKNDQQAKGKKPKVAGSKAVQDQPGDTLEHQEGLAEEDIQDKPTGNSISQRLPLILPDKVQRSKALIECDGDSIDLSGDVGAVSVGQSEAKIEAIMNDFIQLEPQSNLFEAETMMEGTLDGFTFDSDEEGDKLPEPHASQIDQNNEDEDQPKPKTKRKAEKPVGKGQKKAKVAGKAPKKVARKTQTTKRTRKAKK >Et_3B_031492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31793408:31795574:1 gene:Et_3B_031492 transcript:Et_3B_031492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDSESRFHVLAVDDSVIDRKLIEMLLKNSSYQVTTVDSGSKALELLGLRDEGESDSSMFLDVIPVLLLQEIDVNLIITDYCMPGMTGYDLLKRVKGSSSLKDIPVVIMSSENVPARINRCLEDGAEEFFLKPVKLADMKKLKSHLLKRRQQPQPQAQPEIQPEAEQVEQPAAHKQEEATAEVTAGGTISDCNDSGVNKRKAAAMEQEGLSSPESTKPRLSNSSSLAVET >Et_4A_032342.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30858689:30859039:-1 gene:Et_4A_032342 transcript:Et_4A_032342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGPLSLNPASTLTEAQAYIYEKRAQTIVAQKHSAAAQAPASVREFSPTSAAEAGCGARSPYKAIPRCTVAPYLPGRGRRAITKARGLGQWPALHLAGALAYHLPKWESGRRNLW >Et_7A_051732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23703237:23709046:-1 gene:Et_7A_051732 transcript:Et_7A_051732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSSSSLPSPAQPPMFRNRYWILRHGRSVPNERGLIVSSLENGTKPEFGLAPQGVEQARAAGELLRKELEEIGVPADSVKIRYSPFSRTTETARAVAGVLGIPFEAPTCKAVEGLRERYFGPSYELLSHEKMKKIPIFLQKVVRVLLMLQVDFHNSSILFVSHGDPLQIIQAVLSGASENSSLLEAVAYRKIRDTMVSSVLSHHRKFALLTGELRRVV >Et_9A_061557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13677791:13678116:-1 gene:Et_9A_061557 transcript:Et_9A_061557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGATSIDDDLLDAHHLDYNPHSTLPVPHSAIPCLRSHVRKINLVHYQGGRAQRTLAKFLLSNAPVMEEIRCELAEGPFWTKTQLMREIKGWVVNKSANTHIS >Et_1A_008678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9822699:9830318:1 gene:Et_1A_008678 transcript:Et_1A_008678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FPSRRGFERVELRCPRNLDPRPSWTLGDVLSELDALDATRQAAPPTPLKQLPDWATDGSTREKAFVMRIDDDESTDDEDDSGDESATHALVASGSSDSEESDDGFGGQVAPYHLMETRNVEKSILLELEREQHLKVQEEVRNKLAALEVSHQNEIQRTISAFARLQRYVESRKEVDKRLDVHFQRRIAEVLDKHLSNVQRDHEQKSQIVERRIKDDAAIEEAKKKEQAIKEEKIRQERARQEAEARQKEAAKLAAEARKTAFEAARREAAENEAKSREAAAVQSSQTSQGSVTGPTMVNRNEVESELPGIKVFADSSALEAESRRRALFDQVPGNINLSKEFSKYDRQIAKSISKLMPTTDSVRARATELIKALDGHDCPRPIACRIFANKIISIVKSRNTKDKTFGNLAFACGYVMLLVTNQVPDAMDYLLAEFHRVCIYTVPKHLHALNAQARNQDYYRLIGYQEENGQLESTESYLTYVVAYVKLYAAMIQTEIKGVQHPHGLAEGWKWLAMFLNALPATPATAYALHAFLKMAGYALHKKYGSQFMKILDVISRCFLPALKEHGSKMKTEAVNNLQNYLTDKIYLEEPEGQYLAQQLLSSKELRFF >Et_2A_018282.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21322559:21322825:-1 gene:Et_2A_018282 transcript:Et_2A_018282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKVPCVYILIILIVLTSGAAPGEARNLKAETIPGGEACASSACRHPAVLGGQAALTATKMASTDGRPTAPGHSPGIGNKIAGNTR >Et_8A_056852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17930311:17931060:1 gene:Et_8A_056852 transcript:Et_8A_056852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIRIHYMQCPLFEALLHFVYTDSLPVMEDLVIDIIMSYIIDNLQMFLKQKIVTDVSFDVQGVTFDAHKIIREELDKDGCVAGHAAGVEADGPVVAAHGEYPSKKLVQKWTHLLGAVWFGDQVR >Et_3B_028488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1664060:1665509:-1 gene:Et_3B_028488 transcript:Et_3B_028488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEYLGSSGHGVTHFQNSMAPVSVQASEDQLTSVPVDASSLVPRIGMTPTEFEMPDGALGVTGFDVVGDKNDFWTEDEDKMLIESHRRYGRNRWSKIARHLPGSSENAIKNHWNATLRSLKTKRRPNNKEGQHAPPSQFPILKEYMRSMCLADNVPVATPPSSTPPPHNLAYNDLLKPIVHTPTSYSVPTNPELSFNAANSKIGLSNPGMINPSMSSPPDLNAVSVPQLQEFYLNHPMYYVPTPPPVLQEATDHQAPQQACSSLDMSEYADYFALLHSETEARQKDAIP >Et_10A_001653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6422236:6422506:-1 gene:Et_10A_001653 transcript:Et_10A_001653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFRRPTAYVDDMSVFQLPIGPLLVVQLPIAFLSVLQLPTAHVLSMLATLSSATGLAVHMFYLA >Et_1B_011648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24549110:24556395:-1 gene:Et_1B_011648 transcript:Et_1B_011648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGAHADAAHHLPTSGAPSASSASSSGAAGAARRRKRPGLSCRPSHLFFALLVALFTASLLVVWQLLPIGDAAEGDGETPPRPEEGGGVMRFSASSVALRAFDGESRLDAARSERRWWAGHSPVRLALVVGSMNIDAQSLMLATLAKSLTSLGYEVEVLAFADGKARGIWENICHVQVVNYTSVKVVDWSKYNGVLLSSLEGRKVVSILMQEPFQSLPLVWLIHEDTLGRHVRSYAESHESIPNVIEDWRGHFNACAYVVFPDTYLPLLYGPLDDGNFLVIPGSPVDIWAVKRYGSSHSQETKRKQHGIEEDDVVVLVVGSYLFFDELPWDYATVMRASAPHILDIAKTKNLRVQFIFFCGNGTDAYNSAFQELASRIGFPDGSIKHFSMTHDIRDLLMFVDVVVYGSLRQESSFPPFLLRSMSSEIPIIAPNLTCITKYVTDGVDGFLFDSDDPSTMALAFTRILGDKRLLDTAYSVALEGKLLSKNMLAYDCITAHVMLLETVMHYPSNVKLPSSVSEVQDRTWLWDPFEMKAALENGSLEDENHSNTRVIDILLDGFHQNNQTFNSHSSDTYDYPSLSDWNDLSEVEIFEDIDERAERPLLSWDEVYKNARKSERLKPEGNERDEGELERTGQPVCIYEIYNGEGAWPFLHHGSLYRGITLSKGGRRPRSDDVDAVTRLSVLDNLYYRDLLCELGAMFAIANRIDTVHKLPWIGFQSWRAAGRKVSLSRSAEETLEETMTEEYNEDVIYYWAPMDTDQSSDFWSMCDCLNAGHCRSLFEDAFRTMYGLPEGVAALPPMPNDDGYWSTLHSWVMPTPSFLKFVMFSRMFVDSLHSLNENSTDPASCLLGTSQPEKRHCYCRILEVLVNVWAYHSGRKMVYLNPATGSIREQHPLDDRNEMWVKFFDFTLLKSMDEDLAEEADDGMHPGNDQWLWPLTGQVFWPGIADREREEKYLKKLDKKLKNKVKLLERQKSGYKQKPLGQ >Et_6B_050117.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6714237:6714512:-1 gene:Et_6B_050117 transcript:Et_6B_050117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLGGCCSGVAVAVAAGTKVSPARKQPERAGGPGDRKKQQQQQRVVGEKAQEGDEKVGDGSEAGRERERQRDAILMHHHFPFHSRPGLL >Et_8B_058925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10984483:10990824:1 gene:Et_8B_058925 transcript:Et_8B_058925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIARPVYLALACLLLLLLLASAATGDGTAASTTTELLHASVLPHDDTTLKLAGPSASSHHGRASSTSTSTTPPPEDDTSRRLDVSSVAPEDSTAPATMAAAAPTPPACSSCPRVRVVVHMREGEAAVEDLVPQPGGVTAAAMIRKALLLHALPLLAVPFLPTPLAAVVALSALATPVRAGRLSSNGTCPAGRDREHATCTVYRYLPEGCVDRSMPFAGLREVCHGAGSDELSRTVTCACRQGRLGHPIQSGCHVSTLELYDGPARINWRVLPGHYLPVADPDAVLASGEDVCYVELEHCNHREGYYILCPVRKCPRLPFLCCPELPHDAALFHHPVAVVVLFALLLHHAAAVAPDRHLPRDAAPSNSTTSLPPPSTALTMGSRDAPSSSSTAAHFGDVADFSDHLRAPASPPTVARRLLASPSASAAAPSPRAAPSPTRRGHARSATRTPQPYYGVTFADLHDACARQSCRADYFHRLATVLYGPPRTGDEDYNYYCVVRSIEGSAPILPGSWRAAVATGGDVCYVEVARMDGDSFRIHCWAWTCSRRCTTYPGEALSFAIDAISTPCGGVTTSGGVPRSAAPSLLVNAALPLLAVAFLPRPLAAAAVVLAYLPSLVRAGVFQEELMKLNHATCAVYPYNNTTGAVERARPVPRLREMCLRPLCFAFDGDADEGLLSVANVNAAWHGRWNDPLRIFCAVDSLEGASSPSIFFPWRNTWRGHLPISDPDAAAASGVDVCYVELAHMDYTEGTTSAAPPVTAAPACPARSSQRRRWRRPCGSIGSSPTLTPSAPGVSGTCTAPPRR >Et_5B_043141.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:10542689:10542817:-1 gene:Et_5B_043141 transcript:Et_5B_043141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLAPHRAAGLPALFSFLTMVSGSTNPSTVTIPSSESMLLW >Et_1B_010577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11878899:11880459:1 gene:Et_1B_010577 transcript:Et_1B_010577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGSDKSGSAGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRALTDGA >Et_1B_011452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22512150:22517280:1 gene:Et_1B_011452 transcript:Et_1B_011452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPATSAAAAGAAWRQLLLLLTVLPLTLAALAFVLQWRGGGVDDPTARWPSHAFPGMGEPTPPSHPFTSCADVLVGSSAPSFPYFRGWSFPSDSGEGLNPKICVQTSTSAGLEQILPWLFYHKVIGVSQFLLFVEGRAAKPHVAGVLESIHGVKVVYRTKELEEQQARSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWIIHLDTDELLYPGGAAEYSVRRLLDEVPRDVDMVIFPNYESSVEHDNIKDPFSEVSMFKKNYDHLPKDTYFGMYKEATRGNPNYFLTYGNGKSAARIQDHLRPNGAHRWHNYAKSPNEIKLEEAAVLHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFMLDFDRAAFIIASTASEEEMLRWYNERVVWNDKQLNVKLLRKGVLTRIYAPMAIVQGLRESGVFTSVIAAGQSVVSDKLSPKKTIAQSQNGTRPGDVQRKLIRANSEASARKILQAVEPTIWDTAVTAVPPLSPPSFGDRHRHTQ >Et_2B_021590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3358561:3359567:-1 gene:Et_2B_021590 transcript:Et_2B_021590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDSKDILKNVDWKTVGGSVTTELSQPIVKKRLPKKIRQVPDCYFLPRRSWPSALGFYGAVCAAGVGAGMLLERMVALSGRWINDAQHAQPQKARSQKGYKGKP >Et_4A_033062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17926702:17934309:-1 gene:Et_4A_033062 transcript:Et_4A_033062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWPRDDNPFEEVEGDVNPFSHPRPTPLPPEPAGFYNDTEASVDIPLGTNKKDLKKKEKELLAKEAELNRREKEIKRREEALARAGVFIEPKNWPPFFPIIHVDISNDIPVHLQRVQYVAFASLLGLIICLFWNFICVTAAWISGATDPRIWFIALIYLITGCPGAYFCWYRPLYRAMRKESAFSYAWFFMFYFFHIVFCIYAAAAPSVFWSGRSLTGIFQAIWTVANGNAAIGIMYFVGFALFVLEALLSIWVYQSVYRAFRGKGNEAPVRSRYDNPFEEGGADEVNPFAEQNKSGASAGQSGYSGGAFYTTQSRPPPSTRLSPLPPEPADFYNDFATPVDIHMDTNKDIKTRERELLAKEADLNRREKEIKRREEAAARAGIVLEDKNWPPFFPIIHHDIGNEIPVHLQRTQYVAFASLLGLVLCLFWNIICVTAAWIKGEGPKIWFLAIIYFLLGCPGAYYLWYRPLYRAMRNESALRFGWFFLFYLVHIAFCVYAAVSPSILFVGKSLTGIFPAISIIGNSVIVGIFYFIGFALFCLESLISMWVIQRVYLYFRGSGKEAELKREAARSAARAAF >Et_9B_064741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18197627:18202030:-1 gene:Et_9B_064741 transcript:Et_9B_064741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKHGRNGFDDDNVNPFAVSATGVTRPTKRPPKPFYSPRSRGTRCLMVPHGSDPSVGNGRYDNMMIDLRALGGSVPPATNSRLSPLSHEPADFYNVDIPLDSTKDLKKKEKELQAMEAELNKRERELKRKEEAASRAGIVIEEKNWPPFFPLIHHNISNEIPIHLQRMQYLAFSSFLGLVGCLFWNIIATTTAWIKGEGVMIWLLAIIYFISGVPGAYVLWYRPLYNAMRTESALKFGWFFLFYILHIIFCVWSAVSPPFPFKGNSFTGILPAIDVIGKSAIVGIFYFVGFGLFCLESLLSIGVIQQVYMYFRGSGKAAQMKQEAARGALSSAF >Et_3A_023500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31183792:31184337:1 gene:Et_3A_023500 transcript:Et_3A_023500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRATASGEAAEERARGYRGVRRRRWGKWVSEIRVPGTRERLWLGSYATPEAAAVAHDTAVYFLRGGCGGTGGGGDVAALNFPERAAAAYGGGAAGRLSPRSVQRVASDAGMAADAQLVAARDAEAVPGPAAPWHAHSSVVGRARDGVSAGMHDQHAASNSTSAGRKDSVS >Et_5B_045292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16903886:16904260:-1 gene:Et_5B_045292 transcript:Et_5B_045292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDSGLQLQFSNEGPVVPGIANWDIRDFLIVCDIGSGSFGSVFFGVAYDRNRNTLYMVMELGGTSLEDAIRTVMRMEKHL >Et_7A_052035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3923304:3930183:-1 gene:Et_7A_052035 transcript:Et_7A_052035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CLISTELPLCKMFWHVPGLSAASPVDTILDKENFKLEDLLDEDEIIQECKALNTRLINFLRDKVQVEQLLRYIVEEAPEDAEKKRIFRFPFIACEIFTCEVDVIMKTLVEDEDLMNLLFSFLSTEHPHGTLSAGYFAKVVICLMLRKTLSLVSYVQSHPEIVSQLVDLIGITSIMEVLIRLIGADETMYSSYTDSMQWLDDIKVLEMIVDKFSTSDSPEVHANAAEILCAITRYAPPALAAKISSPSFVGRLFQHAFEDSRPKSVLVHSLSVCISLLDPKRLVSASYQAFRSQLSHGTLVTASPETVNGMLENLGGLLKLLDVSSAENVLPTTYGSLQPPLGKHRLKIVEFISVLLSIGSEAAEKRLIQLGAIEHSIDLFFEYPFNNFLHHHVENIVVSCLESKQDHLIVHVLDECKLVTRIVEAEKNSALSTDLTKHTISSEGRSPPRAGNVGHITRIANKLIQLANTNIAIQSHLQQTSGWMEWHASILTKRNALENVYQWACGRPTSLQDRGRDSDDEDFRDRDYDVAALASNLSQAFKYGIYSNEDIDEAQVSLERDDEDVYFDDESAEVVISSLRLGDEQDSGSLFTNSNWFAFDEDKALNDGSVSSEASPSPNLEISSPKVDDDMDEVILGGVDSSKDSVALLPVSKKDSTEQSGQTVLLNGPVDKPDDDIRPPTPEVKESQPECVEWKEEEAEPVDVAEKDIVPNFEVGSEQVDATDDVKPDDGKLGEENENDNSVGSSMPEAVAEAAVPVSPVVNSLEHPKPVDDITVSESPVDEQNHKKDENEGE >Et_1A_006063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17339354:17339740:1 gene:Et_1A_006063 transcript:Et_1A_006063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLERGLTDEESVALGELLNSNFSVDYLLFGTSGGLIEGPDVLLSVNWSCPSEFPCYETKLDVITFSSMLEMLGADFYFYALPAQSTCGGLIIAWKKGDWV >Et_6A_046521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16521374:16531340:-1 gene:Et_6A_046521 transcript:Et_6A_046521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQVPSLTELIKLGSLLEHSATMSSPSPAATAAAREHVERIRRERYFIGRGEQNPLAEDMHQAVNYLSQELYSKDVHFLMELIQLFCNLVAKSNVIDFIPSRSEEFYSVNCFILLEFVSVYYFRNAEDNDYPSGATPALEFVITSKDITCSGAIATLLVFNNEKGFTAANIESICRIGKSTKKGNRSSGYIGEKGIGFKSVFVVSRNPHIFSNGYQIKFSEDPSAECGIGYIVPEWVEQNPSIPDITKIYGCLKRLPTTIMILPLKNEKIDAVKKELSSTHPEILLFLSKIRQISVREINDDLNATNLSQISISSEADALTRKDIGAESYTVHLSAEEDKAGELHCSYYIWKQQFPVKPECWVQKRDGIDQWVVMLAFPHGQRLSRGMGAPGVYAFLPTEMSTNFPFIIQADFMLSSSRESILLDSPWNRGILECVPSAFVNAFQALVKSTESAPMFALPPIFKFLPLNQCSVPLMDTVRLSIRKKMIDTDIVPSVTCSSVKVFCKPTEAHRLNAAFWSIINKAVKLGVNVPNISSYGTHILNSYFDSKEYDGVLGFLGVEYASSDWYGNCIQGSHLVELLPDDIYFDLLAFVAQNWIAMFAGTNMERIELIKCFGAGGELAYRRVSDATMADERLCMLPDEECAQLIISWNSDYFSTASRIIFMPSSMQKALGLFCKKITIMEWLENYVGMKILTLHEYALTVVKALREKRLVLAFTHFIYHLHTEKYMPDWSVSHICSLLPVVDNLGCVIATRSVVLVPSKGSKWAALLGENPWRTQSYVELGDDYMSGNISREDICQEQFLSFARTYMQAKDVPFLIPPDAGFSAASSFLTRENALLLLEWIEHLSSRGIGLPKKFSSCIMLGNWLKTSVGYRPPSESFLSNAEWASLFHAKLSFVDVPMIDQEYYMNKIADFKETLGSLGVKFEFAEVMAYIGKCFISTIVQQGIQCCRCSVFIRFLQKEHMPTHHLIQSIRDGAWLKTCLGFKSPSESVLFSSEWTLPSEISNLCFVDVNFYGDEISDYKFELEKLGVHVRFRQAYQVIVDNFKPPTGPVSSGATILLLKCIRNTASCKTLVKALKKRQWLKTNAGFRAPRETFLLDPEWKCLIKFADAVPLLDCPFYGDEFLTYRDELMKIGVIVSLQQVSNSITCYLKQLLSTSSLTKEIRIALLSCYKDLNDDDKTFPDDILKFMRTEKWLHTVLGFRSPKNCVLFDSSWEPVIPVATLPFIDDSDTRNGTGKEIYSYKKELKALGVTVEFNQGADFVMSCLSIPEGNQMPMPTGASVVVSRNNSEISEQNTVGLTDDERIPLLVGSTFVALLKCMQRSAAPRRFAVRIGKMKIKSNLGYRYADQCVLYDSTWSSYLHREDGPFIDEEFYGPEILCYRTELRQIGVIVDVGYGCSLFAQDLKDFSRCDTITRIYRYLETFKWEPRDKGASWVWIPRGRNSGHWVCPADCVLHDRNSLFCMRFSVLDKYYEKNLLGFFSTILGVKHSPRVLDHCILWRSWECTSFELTPASCSCFWEFIGYRWNATTEKLLLGSVTRVPVLSGGKIILREVDDVFVPDDLLLKHLFDKFLSEPIFVWYPSGLSFTSRAKMDTIYQSLGVRAISKAVKKDELCILNMNHHQVVDATDTIVTQGLVRIILAFLANSALEISADKRHQMVSYLLNLKILQVTEPINVRYK >Et_6A_047909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2433080:2435719:-1 gene:Et_6A_047909 transcript:Et_6A_047909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWNRSRHVRGQRDRRPWRPPLPPPDYGSWDDSGALENFQNAKKRFWAHYHGQPSDIPLPAPDMYIDKVDHHCKVDPELVADLDKVGLPFDSDYNSASASEADKKSSQNKSGNWDVYIEKPAEVNKWDWEANSRPDPTWGVKHEPFDNWGNSNFGWEDAVADPGWHSSSNNHDSSNNWNDSRGGSNTRYQDRNNMSGNSNSGWGDAVADSGWRSSSNNNYSSNNWNDSRGGSNTRYQDRSNMSSRKRSSGGHFQPRKSKQRNQAECYQRSGWQDHRGGRNSEWRPVNNRDRQNGQ >Et_4A_033630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25117693:25119233:-1 gene:Et_4A_033630 transcript:Et_4A_033630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VKTIKVSNLSLSALKREITEFFSFSGDIEYVEMQSESEWSQVAYVTFKDSQGADTAVLLSGATIVDRAVVITPAENYQLPPEAQKQLSGGSPASESAVRKAEDVVSSMLAKGFVLSKDALNLAKSFDERHNILSNATATVASLDRQYGVSEKISLGRAIVGSKVKEVDERYQVSELTKSALAVAEQKASIASSVIMSNQYVSAGASWLTSAFGMVTKAAGDMTSMAKDKVDKAEEERKAIMWEERNGLVSEYAKIHLDEPSSWEPAVLPVESVDEQRLQAV >Et_6B_048551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10706430:10718329:1 gene:Et_6B_048551 transcript:Et_6B_048551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTALSVGKSVLNGALGYAKSTFAEEVALQLGIQRDHAFVADELEMMRSFMMVAHDERDDNKVVKTWVNQVRDTAYDVEDSLQDFAVRLKKPSRWKVLRTLLERRRIAKQMKDLRAKVEDVSHRNVRYHLIKGSGSKAATAAEQSSVVAAAVFGVDDARRAAKQVNQRVDLVKLISEEGDNPKVITVWGTSGDIGLTSVVLAAYENADVQRKFPVRAWVRVMHPFSPKGFVQCLVNQFRADVAEDLFEEDQIEQDLAHKFNEYVKENRCLIVLNDLSTIEEWYQIKRCFRNSKKGSRIIVSTAQVEVASLCAGQESQSSQKGDDSKTAQVSSSDAATTSKNEHTEGSGEIIKDQSKDTRELDRVVKKNFSRMRTRAGAFEESEIVGREKEISDLNKLISNHDKQQVQVISLYGMGGLGKTTLVDSVYQIQKLGERFKKCVSVTIMRPFHLVELLRSLVAQLYETSSMMEELMYRAKSCLIILDDLSSTTEWDQIRSVLDSIDKTSTIVVTTREEDIANHCSGQQGNIRKLQVLEQEAACHLFSKKVFGNATDLAEKNPELVEEAYQILKKCGGLPLAIVTIGGFLANQPKTQMEWRKLNENISAELETNQELGKIRTILEKSYDGLPYHLKSCFLYLSIFPEDHTISRRRLVRRWAAEGYSIEMRGKPANEIADNYFMELKKRSMILPFQQSADSRKSIDSCKVHDLIREVSISKSMAENLVFILEEGCSMTTHGAVRHLAISSSWKGDQHEFESIVDLSRIRSLSLFGQWRPFYISDKMRFLRALDLEGTKGIVPHHLDHIGKLLHLRYLSFRGCDGICVLPDSLGNLRQLQTLDIRDTGIVPLPKTLIKLQRLQFLGRDGFNRRDACTLASVMFPVFMGGLEEDGGVIVPRGIRKLKDLHTLRDVHVGTGTAVLQDIERLTRLHKLGVTGINKKNVPAFCSALSSLSKLESLSVKSSAGNTGLCGCLDGISSPPENLQSLKLYGNLKTLPKWIKELQHLVKLKLVNTRLSNNDTALKLLGSLPKLDILLLSCQCFEGEVLHFQSQQTEVAFENLRVLKLESAEWYEDEWNIKSARFAEGSMPNLEILQLQIKSNEFAFDISGLEFLSISEVQLTGRLGERELKNKIRDQLASNRRQPVLTVDDWLAGYN >Et_3B_030235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31612841:31613277:-1 gene:Et_3B_030235 transcript:Et_3B_030235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSQPAPPPGYPTAAGAEQPAGGKKSRRGSTKARGEKGFIEGCIAALCCCWICEMCCD >Et_6A_046783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20534109:20535803:-1 gene:Et_6A_046783 transcript:Et_6A_046783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLGEPYYYYYYYYYYYYYYYTGLCVVVLALVVHVTLRAATSGTKKRAGPRLPPGPWQLPLIGNIHHLLTLRGALPHRTMRDLARRHGQLMLLRLCERAAVVVSTAAAAREVLHRRDDDPAAAFEQRPSSPGVDELYARHGMGIVFAPYGEHWRLLRRVLVAELLGARRVDAFRRVREGEAARLAASFFVNAPSPVNVETRLAEFVADSAVRAIAGDRLPDRAAFLKMMEHALDFSSVFDLRDLFPSSRLVRMLPRSRKAERNRCEAVRLMDDILKHHEERRKVAETTTSRAWSTCCSGSRRKARREILSPTASSWLCWWDPHAGQRNPLSVKKLIGALRACVVQDVFVAAIEATTSTLRWAMAELMANPRVMEKAQSEIRRVLSGQDQVHEAFLSDLSYLKAVIKETIRLHPPIPLVPRACGEDRKIQGYDVPKGTIVLINVWAISRDPKNWEDPDKFVPERFEGEHAFNFLGSDFEFIPFGAGRRICPGIAFSQANIEIALASLLYHFDWELPAGVKPEDIDMTESPGLAVKRKAELLLHPIPRTGPSMKCL >Et_2B_021163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27034545:27037691:1 gene:Et_2B_021163 transcript:Et_2B_021163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAGTASSGLISPRRRVASFRVAASRFRSPRCVLGSEQLRAVEGKRMSGGEPRGAVWTPRAPAPAPGPRLAALPPEARDSRMKIFSGTANRPLSQEIASYLGVDLGKIHIKRFADGEIYVQLQESVRGCDVFLVQPTCSPVNENLMELFIMIDACRRASARSITVVIPYFGYARADRKAQGREAITAKLAANLLTEAGSDRVIVCDIHSTQALGYFDIPVDHIHGQPVILDYLASKTLSKDLVVVSPDVGGVVRARAFAKKLSDAPLAIVDKRRQGHNMSEVMHLIGDVKGKVAIMVDDMIDTAGTITSAAALLKQEGAESVYACSTHAVFSPPAIERLSGGIFEEVIVTNSILLPDDKLFPQLTVLSMANLVAETIWHVHRDGSVSSIFQ >Et_4B_036151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2911970:2912905:1 gene:Et_4B_036151 transcript:Et_4B_036151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GAAAERVPEDGPVAARLVPPEQGPFPGGVPAGGHDAVAHPQQRRAEEDEGHAEGDPRRHVHLPHCLEVVEEREEPHAQRQRQAHRHPHPPHHPVRHRLRDRRVALVLLLLVFVVAVVVYLLLLLLLVAPPPALPRPAQGDDFGLRRGVIVAPVALVPARLERARAAARGGRVGTESQDDESGVAGEGGRGGVCVRTGERQLHGQSPVSSQSTCREKRTSYLELEKWAPVFTPRTPQRGPKKHSGFPNDQNEVVSKHLHLRLWIV >Et_1B_012759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34901190:34903999:-1 gene:Et_1B_012759 transcript:Et_1B_012759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDDQGSKPDPFLRFLLPALKPRPAEPSPPARLVPPHSLVAPSLPLPRARPDERLFIVPPTRPSWLPPPPPPGTAVKPRPVAPPNEHRARNAGRFAGNGNGGRGRVHGAFLPPNRGRAGPERRKVAAHHKISKEKKAWVAVEKKGEDAGDEDPAVGSEGYSGGDEGGLEVEEDQLELEGDQETEQEGGGHRQGLDQQGELNNSLAVAPDGSGVERPIENLTSQPDQAPRPRGRMRRRQVECRHDIDTFTPGFLALYESLKPSEEHNSKQQQLVDSLAKSVSKEWPNAQLHLYGSCANSFGTAHSDVDVCLEMEIGTGSAVEILLRLAEILRTEDKFQSVEAITGARVPIVRMSDPGTGFSCDICINNLFAVANTKLLKDYAQIDQRLLQLAFLVKHWAKLRGVNETYRGTLSSYAYVIMCINFLQLREPKILPCLQAMEPTYALNVDGTECAYFDEVHQLHDFSAKNEESIAKLLWAFFHYWAFHHDYRIDVISVRLGKTISKQEKNWTTRVGNDRHLMCIEDPFETTHDLGRVVDRQTIRILREEFGRAAAVLQYDEDPCVTLFEPYIL >Et_3A_024758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2406821:2409560:1 gene:Et_3A_024758 transcript:Et_3A_024758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKKTKKSTDNINNKLQLVMKSGKYTLGYKTVLRTLRNSKSKLVIIANNCPPLRKSEIEYYAMLAKVTVHHFHGNNVDLGTACGKYFRVCCLSIIDPGDSDIIKTTPVRAKYFPSTYKGKSAYKV >Et_3B_030781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7286431:7287433:-1 gene:Et_3B_030781 transcript:Et_3B_030781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAGRCSCYYSPPTLPLHRRHGHLPPPQPVRRGRWPRLQVSAAAGGAPVESIKAATDAEFFQPADTRPIMLFDGVCNLCNGGVRFVRENDPNRSIRFVPLQSESGRKLLQRSGRAPDDISSVVLVEKDRSYIKSEAVLRIMEYLNLPFPQLAIFLKFVPLFLRDFAYDNVADNRYIVFGRSETEACEIL >Et_4A_035586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30149887:30155766:-1 gene:Et_4A_035586 transcript:Et_4A_035586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGDAAKSAKHGAAQVCQICGDGVGTAADGELFTACDVCGFPVCRPCYEYERKDGMQACPQCKTKYKRLKGSPPIHGEESDVDADDTSDYNYPASGNQDQKQKIAERMLTWRVNSGRSDDIGPAKYNSGEIGHPKYDSGEIPRGYIPSLTHSQISGEIPGASPDHMMSPVGNIGRRTNPSREFSGSLGNVAWKERVDGWKMKDKGAIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPISSSRINPYRMVIVLRLIVLCIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWSPINRETYLDRLALRYDREGEPSQLAPVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFCKKYNIEPRAPEWYFAQKIDYLKDKVQTSFVKDRRAMKRDYEEFKVRINGLVSKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYLLNLDCDHYINNSKALREAMCFLMDPNLGRNVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKKKKPGFFSSLCGGRKKTSKSKKKSSEKKKPHKHADSSVPVFNLEDIEEGVEGSGFDDENSLIMSQMSLEKRFGQSSVFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTDWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSIEILFSRHCPIWYGYGGRLKFLERFAYVNTTIYPLTSIPLLLYCILPAVCLLTGKFIIPEISNFASIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTSFTVTSKATDEEGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRLGCATARSCGVRAPEWSASSSSNSSRNSAISSWVILPSSLSLYSANSAALTLQSPSRSAASRSVVVVRRVSTHRASARCRRSAPSSAAYRKKSATTSVLTVIHADANARPAGDEKLRSPYPSVVTVAHAQ >Et_10B_002476.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:10215945:10216178:1 gene:Et_10B_002476 transcript:Et_10B_002476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGSCYVDIAQKWVCQDKYDGVNIVTTSISRSLWLTGNDNVLHRQAWTNMKSVLQLSLKLGTNASGIYNIYIIHTR >Et_9A_060897.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:13760738:13761256:1 gene:Et_9A_060897 transcript:Et_9A_060897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSEGSQRGKKDAAAALFNLFKYNENKARAVRAGLVPLIMELVTNSTGPLVNEATAILPILSSHPDGKAAIGAAEHVSVLVEMISRESRRDRECAAEVMLHLCSDEKQLKHLAFLQECRIMAPLRKLALNGSGRGKRKAVQLLERISRFLVEQQEEQEDKAADIGSDHHQGA >Et_4B_037703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22701232:22702984:1 gene:Et_4B_037703 transcript:Et_4B_037703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGMSQLSAALIELTFWNEGGTETETAISVLQGCDTLMSDGQETDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQISRVAKMLGDEYGTASNIKSRVNRQSLLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKTLEMGAVETLIVWENLDVNRYVLKNSATGEIIIKHLNKEQENDQSNFRDPSTNAELEVQEKTSLLEWFANEYKKFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDEGVYEDSD >Et_1B_011216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18967565:18971152:-1 gene:Et_1B_011216 transcript:Et_1B_011216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSSSACPTITFEEALRREMDYRKRLERTHPHLLIALSGASETQKEIITAIPDISKRKLAPECSVSAQQSSITCATIQRQPQNWYPIKKKVKVPQSPSQILQCPRPNVVPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGNRNTGRPASQSAGIRNPGQNAHAVSGRTNNEPNVSRIAAGQICDLSSESRTDGTELPSNTHFRRLGEKGQVAAKLHSRGPGDHRSNLQQAYVLIRRASRARSSGRDL >Et_7A_051516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19953990:19955023:1 gene:Et_7A_051516 transcript:Et_7A_051516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKVSKVDVSDCDLQTLSLWFYLLRKHGFMASEDVFAKFLDDQGNLVSKNPRDLLSLYNAAHLRMRGEKILDDVIYFRKRCLESMVPYVKTSLSHEIRSAIEIPLPRRVRIYEAKQYISTFEKEREVDETIIELAKLNADLFQLHHQQELKTITRWWKDLQLQSRLPFARDRIVECYF >Et_2B_020723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2314331:2318194:-1 gene:Et_2B_020723 transcript:Et_2B_020723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDFRGRSGSGSYGAPPGGAAGGGPALYPRVGQPTHGGGGSTASPRAPPYNHGPAAPGSSAPIATPLAPTSSSSSRVGINVMIKPEYRITPPPQLAPHMADIPRSTFNFDFEYERKILAEAEKENPNWSKFVIERQQAPVTPHTTRPASSGDPVVDKYVAMGLGREAVSFAVLNFGDNPMKACPFLLVKEFVKSYNILHEMGFTSPNVPELLAIHDNDPDKVIQRLLSSPS >Et_2B_022027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8152755:8156449:-1 gene:Et_2B_022027 transcript:Et_2B_022027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLRLLPLLLLLFAAAAVATGGDDAHVSAVVAEKGLAFAKDVLIEQAVRSLTPLRLPGVEKAMRVPFLGGVRVAASNITLFHFDVGENSTIYPGDSSLVVVASGITANLSMNWSYCYDSWLFPLEIADRGTASILVQGLEVGITMAIKNINGSLALSVSQSGCYVKDLVISLDGGASWFYQGFINAFEDHIKAAVEKAIPENIIEGAGKLDSFLQGLPRSVNLDNVASLNMTLVNDPRYGNSSIEFDINGLFASADAKTNILQKHSQLSLSCGDASKMLLLSLDEDVFNSALEVYFKAGSMHWIVDKVPDQSLLNTASWKFIIPRLYWNYPNDDMLLNISMASSPVIRIRSEEISATINADMIIDVLNGKHTVPVACISVVVSASGAVETSGNKVYGKVGLDDFSLALKWSKIGNIHMSLIQGVIRVFLNTVAMPYLNSRLGNGFILPVVHGFTLKDVNVLTSAKQLTLCSDVTFTNASSLLSLPVL >Et_3A_026378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7483763:7484439:1 gene:Et_3A_026378 transcript:Et_3A_026378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPSSPAGPPAWSVAVRLRHRGGLEIRASAENVLPGWGRGGERLSLLLRLRRRLIVAVTSQCGPGAPATGTPPSCCKILRFLRSRWARLPRVPPIWRRKKPPGARPQGLKLLLLIYLRWLWLQQNRSSTRRIQHGKAWTAAATLRFAAAAFAVVLASVAVFYLKVCHLTESANHTGLEHLDLPVPFLPEIPACMYLAGLAPGRRMP >Et_10A_001202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22100712:22101978:1 gene:Et_10A_001202 transcript:Et_10A_001202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPEDLVAGILRRLAPRDLAMDLLRLSLGGIFINFYDQSFSEYFFPAITSTPTISSMADYVPFSSKRDYWRYVTGHCNGLLLLYGNYVVNPATRWWAQIPPPNPHTALHDYGCAYLVFDPALSPHYEVFSVEDQRLIMEEGSEWPPSSCILHVFSSKASGWEDRLFVRDGEAAAIVTNTPRLRMVKNSAVYYKRASYISCETNIVLRVSLSDSKYQAIKPPCATDVKEVLHLGKSKDGVYFASLDSTGRDGVERLRIWVLRESCGQTEWLPKYRADLSWALRENLVSWVLQDVNYNYKYGHLANDCSDERQELEYGTFLILGFHPFEEVVFLSQALSWGLAYDLTSSKLQCLGYLYPKEYNKFSQGEDILEAYPYTPCWM >Et_9A_061414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11280459:11282238:1 gene:Et_9A_061414 transcript:Et_9A_061414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEARPSKKPRGAPGSGLTGFALRLAKQLADDEGDGGGKQVNLVFSPVSINVALALVAAGAKGNTLDELLALLGAASLDELAGFVRGVAESALADRPDGRGPVVSFACVVWHEKTVTLSPAYRAAAVESYKAVTRAADILNKPEDAREEINKCVSKATNRLIKSILPPGSVHCDTRLVLANALYLKATWSRTEKGTFYRLDGSRVQARFMSSRRNQFIAQDRGFKVLKLRYKTSYGKQYFSISMCIFLPDARDGLPSLVKKMAASSSSFLWDSLPSRAVEVGKFRLPKFKLSFASRMNDVLEDMGLKDVFEHGKADMSNMLEEGGRSLVLEHVFHKAVIEVNEEGTEAAASTACSIQRECDSRPRDFVADHPFAFFLVEETSRAVIFMGHCKQVVPVADHPFAFFVVGETSSAVIFMDNVLDPKISGQERDYPRFTVT >Et_8B_059082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13675286:13679008:1 gene:Et_8B_059082 transcript:Et_8B_059082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIARSHPSKNKAKDEQHKAAKGSAKKTCCKRAGKIKGCSKQWTGKQKWILDPEGNIILTWNRIFLVCCVASHCVDPLFFFELTVESTYSHLCMRMDHSLAIVLACLRSLIDMFFMAHIAIRFGTAYVDPLSKVLSKGELVTDPKQIAHRYIRTDFFIDLVAASPVPQILVLAVMPSLSFKKIHAPLFMIILVQSAVRSYIIIQLSVNIIKTVGFITKNGWDGAIYNLFLYLVASHVVGAIYYLLAVGRQKTCWETQCSIEDRVNKLPCDAMFLDCQYAISIESQNWANSTNVFTNCNAESSSVSINYGIFTQAMQNGVLTASFSEKYFYSLWWGLQQLTTFGNPMVTSSFIGENLFAVGLTLLSIGLSAQLIGSMMIHMRSLSTNAEDWRIQKTEMEDWMTDQQLPDDLRNRIRHFLEYKWISTQGVDENSILRQLPLDLRRDIKQYMCLDLVQRVPLISAMDQQLLDAICDRMTYLLCTEGTYIIREGDPVKVMFFIIRGKLQSSTTDGGRTDFFNSVILKPGDFCGEELLTWALLPSSSNSYPSSTRTIRTISEFEAFSLQADDLNRHIQTDAFQAPAAHAQVPLATVENMSSPSHPISAEEAQESTEDNRWRPEWKSFFLLIDDHEMHCHNVDGASSSKSQKAECPFSKIAKIFTKAEKKRPEEPDFSMDGRSN >Et_3A_023138.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30317895:30318254:-1 gene:Et_3A_023138 transcript:Et_3A_023138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGRRRRGRGLGFAVRTAERMSGRRAGCCGDSGEADPEAKMSSSSSSKAAEAGWSPAAAEGRNEPRRRSELSELLWRARSMAELSVIACAVRVGETSRQRLVGGGEPAARAQVIRAC >Et_2A_018788.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:7647731:7648909:1 gene:Et_2A_018788 transcript:Et_2A_018788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLGRQRPTISPPWSDLPPEFAGLVLCRLSSHVDRLSFRAVCSVWRVAARQAHPVPPAPPWLVSILISGAYIHGLPGGEVVHYRTHASSTCIIGCVDSWLNCIRIASNKAITRVLINPYSGVSLVLHPLRFGNCVKPYDRFDQIVWSPDLNIVAVTFNFGCVAFYRPGASSWLIHAPDHYRSYDNIAFHHGKLYAVTLNDRLFSHDPGNDNDDHAAAGVPSRPEPHPELVIDSQAISEYLSKRYLVSCGNKLLMVKMTAPSHLITDSSGKVSDRIVLKVFEADLVTRRWLELKNLEDGQALFVGSRCSKAIFLSGNDRRFQGNRVYFPGVDAANCCHEKNITNIPSYGFYDLSNGKSDQVFLKRRAWNRKGVEHINSDWFFHSDWFFPSM >Et_1B_014304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5570364:5572848:-1 gene:Et_1B_014304 transcript:Et_1B_014304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGARPRSATAEAKSGKGTPPTPKGSRASKPASAKSANGTPPQAPRSADRSPASADKPPSGDRRAPKVFARLSTPPAEKQSSAVKLSQEVQAQLAAVQEELKKAKEQLVEKENEKSKVLEELEAAKLLADEANANLRDALAARKKAEEASETEMFRAVELEQTSMESMQMKEEELQRKLESMQSQQESDAIALRSTVEQLEKARYELADAIDAKNLTLNQADDATRLSEVNAHKVELLNAEVARLKELLETELVSKEKEGAEQIIRLEAELSALKIELQKAKVAEEKVAELGGVIEGLRVDVANATKARTEAEELADDWKQKADSLEIKLDEANQSYMLKVDSLNSVMKELDAANALLMEKESELSDLQDKLQSLEDEVARQNEDINVSNERLGVAEKEAFVLRTELEELQSKLQALEEEKMNAINNENKASSQVDSICEEKERLAKELEASKDEYEKVKKAMEDLASALHEMSSEARESRERYLNKQEEIERARAQIEELNMNLKNTQENYEVMLDEANYERVCLKKTVERMEAEAKNASEEWQSKEVGFMSSIKKSEEEISAMRAEMDKAAETTRDWESRNAELEEKLKALEAQVEEANKTKDEARAEALGWKEKLLDKENELQNVKQENDDLQLKESTASEKLKELSSMVGNAKDRVLNGTGPKDESDKGNTKEDDPVVVVAKMWENGKVTDYDLSTEKEGESELDLESNKGDTASDGHRLSIDKVNNNTKLAIKQQQQKKPLMKKFGGLLKKKSQH >Et_6A_047582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7682506:7683770:-1 gene:Et_6A_047582 transcript:Et_6A_047582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSNNSVHHAVPDEYIMPPEKRPENDELVGPCTVTLPVIDLATARRHLVVDEIMEAGKEFGFFQARTCAQVVNHGVGEDVVAAFRSAAAEFFSMPAEEKLPYYSDDLSKPFRLDTSTAYVDDDADHRSTPPPRYWRDYLQLQCFPPDRFAPDWPDAMRCSLAAYAGEVQQLAATVLGLVAEGLGLDEGFFRGELSGGGTVMNVNWYPPCPDPSLTMGLLPHCDRPFLTVLSQGDVAGLQARHRGRWVTVQPVPNAFVINLGHMMEIVTNGLLHSVEHRAVTNSSAARLSVVSVVMPEMDSLIEPAAALVSEDEPPKFRPFLFREFNQAYADVAANREDVLRRFRIHPNPRPGPMEGP >Et_6A_046111.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:25551324:25551410:-1 gene:Et_6A_046111 transcript:Et_6A_046111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWARKRRPRHQWGRKQTKKVRTSNQK >Et_1B_012628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33936691:33939344:-1 gene:Et_1B_012628 transcript:Et_1B_012628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCIPTGLRLDLDMVKAATSPGAHSSPLRPVHSSPSSTLSEASNTSSSATSVSLKRARAPRKRPNQAYNEAAALLASIHPSVFPVKKSPKTAPRGPPVRQLSGLTAAFDPSSDLLPPLPVLADSAFLLRDTPAPSPHPQSPSGAKNCSSPAPVSSVFRDFRDPVRSPATPDTADELGEIDFDDDCFDAESILDVDEAAAGCAAEGIDSIMGSLTVESSTATTSDDSILSSSGIHPYLRSLMVVGLAGRFELGLGFRHGARPNLNRALKRRDDDGAWWMWPAVPVKDLTVAPPPPPPPAAATPEASNAEMPQAPAAPPEKKKSKKKKVVKMEKVMAKGKEELPNAKCKEEAVDAANGDGDGDADSTPAKAPKTGLGLKLDTDEVLKAWSDKGSMFAEGSATESPTSAADMRAKLADIDLFPENGAGGIREASVLRYKEKRRTRLFSKKIRYQVRKGRFVRSPSLLQQALEEES >Et_5B_045459.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:23821867:23822244:-1 gene:Et_5B_045459 transcript:Et_5B_045459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGGGRGRGGNPPPASRALIIREEDVAETKRTILAPSSPVRLQSAPSMGEIFLLRWRSRNLRRKPELETRRHQPLHATAARTRAAGSSGAMRRRISSTSSSGSAGAGVGFRAAMIEVGCGERV >Et_3B_028539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16633079:16635797:1 gene:Et_3B_028539 transcript:Et_3B_028539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKKQKLADEEVCFYGGADDYDYCGAGAGVDDQGRATELRPLSLSSPHTQAFHLAWLSLFACFFAAFAAPPILPALRPALVLSPSDPAAAAVGSLSATLVGRLAMGPACDLLGPRRASGLASLLAAAALAATALAASSPAGFVALRFVAGLSLANFVANQHWMSGIFAPSAVGLANAVAAGWANAGSAAAQVVMPAAYDLVALRLGVPVTVAWRVTYLLVPCALLLATGLAVLAFPYDLPRGAGCMSMGGGPKTTRNRGFWKVVWGGVRDYRAWVLSLTYGYCYGVELIMENVAADFFRKRFRLPMEAAGAAAACFGAMNAVARPAGGVASDEVAKVFGMRGRLWVLWAVQTAGATLCVLVGRMGAAEAPSLAATVAVMVACAACVQAASGLTFGIVPFVSKRSLGVVSGMTASGGAVGAIITNRLFFSGSRYTIEQAISLTGAASLVTFVLNL >Et_10B_003833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6614549:6619155:1 gene:Et_10B_003833 transcript:Et_10B_003833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLVLVVLPLGLLFLLSGLIVNAIQLLNPADLSVILVIGKFVSCHGLTVDTVLEFTSFAVNTATLFLINSYDYKTLQAVLFLSIRPFSKNLYRRINRFLAELLWLQLIWLVDWWAGVKVQLHADQETYQLMGKEHALIISNHRSDIDWLIGWILAQRSGCLGSTLAIMKKSSKFLPVIGWSMWFAEYLFLERSWAKDENTLKDFPRSFWLALFVEGTRITPAKLLAAQEYAASQGLPAPRNVLIPRTKGFVSAVSIMRDFVPAIYDTTVIIPKDSPPPTMLRILKGQSSVVHVCIKRHAMSDMPKSDEDVSKWCKDIFVEKDTLLDKHIATGTFDEEIRPIGRPLKSLLVVLSWSCLLLYGAYRFLQWTQLLSTWKGVTLFVAGLALVTGIMHVFIMFSQSERSSSARAARNRIKKD >Et_4A_032230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25139932:25141291:1 gene:Et_4A_032230 transcript:Et_4A_032230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RREGRRPGPARGPLRRPLPPPPARRPRAGSLPGRAAAGLQVPVHAAVRAARGRRPRRAPPGPRRRPPRLLRHRRARRRPRPRHPSLRLLHLHRRHARAHAAPPGAAMKCPVPAACVPEFLARRDSPNFSWFAYHGRRFTEADGVVVNTVAELEQCVAWLDAQPAGSVVYLCFGSIGFLAPEQARELAAGLERSGHGFLWVLRGPPTAARGIVWPAWAPQREILAHAAVGAFVTHAGWNSCLESLCSGVPMVPWPLYAEQSLNAFQAVAEMGVAVPLDGAADANFRGGRNKKKKLVEAEAVERAVRSVMGGTQEGRSAREKAMRMKHLCRDAVAPGGSSHAAMQRLCNDIRRRAGATAAAAVEDSTPLLPGELV >Et_8B_059629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2037725:2041020:-1 gene:Et_8B_059629 transcript:Et_8B_059629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARPKLAAQGLGVLRRYAPAATPARRELALPGDWEGAHLPTATDVTVQGDEMYRQADGTWTRELYEDFRSLQESKVHLNFLPDRQATKKSSEVVKKSSIPNLWEKSRNLLQRLPRVINLFERESPAAEAPSAPKKFSAQAPSVSKLLKEKLDASLDNLLKSLDPEKYLINFQDEACLIDLCGNFVDKKALIYMNEEDMKSLGIPMAPLELRHENSFTAEASKAKNVEQTCHC >Et_6B_049337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3474085:3479557:1 gene:Et_6B_049337 transcript:Et_6B_049337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRREREEMLLENCGTKMVQLWREGGSKVTLQIRWAHLAGRGRQ >Et_4A_031999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11257823:11259130:1 gene:Et_4A_031999 transcript:Et_4A_031999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLGQGSYAKVYHARDVNTSQSVAIKVIDKEKILKCGLMDQINREISVMKLVRHPNIVQLHEVMATKTKIYFVLEYVKGGELFNKVQRGRLKEGAARKYFQQLISESRNLKISDFGFSALPDCKRQDGLLHTSCGTPAYVAPEVINRKGYDGAKADIWACGVILYVLLAGYLPFQEKNLMDMYKKICKAELKWPSWFSSDVRKLLRRILHPNPAARISIAEIMENPWFRTGLDSLNATAAEYKQGGEKLTNLNAFDIISLSRGFDLSGMFEENSNKRESKFTSKNTASTIITKLEEIAKSLRLKLTKKDGGLLKMEGSKPGRNGVMSVNAEIFQITPNFHLVEIKKTNGDTLEYQKVMKQDMRPALKDIVWAWQGEQPQQHSQEH >Et_2A_014646.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31676415:31680697:1 gene:Et_2A_014646 transcript:Et_2A_014646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRPPFRTEEYSLKETAPRLGGVAAGDKLTTTYDLVEQMQYLYVRVVKAKDLPNKDITGSCDPYVEVKMGNYKGTTRHFEKKNNPEWNQVFAFSKERIQSSIVEIVVKDKDLVKDDFIGRVVFDLNEVPKRVPPDSPLAPQWYRLEDRHGHKGKGELMLAVWMGTQADEAFPEAWHSDAASVPGDGLASIRSKVYLTPKLWYLRVNIIEAQDLIPHDRTRFPEVYVKAMLGNQVLRTRVSASRTLNPMWNEDLMFVAAEPFEEHLVLSVEDRVAPGKDEVLGRTIISLHHVPRRLDHKLLNSQWFNLEKHVMVDGEQKKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKPLWKPSIGILELGILTAQGLVPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVYDPCTVITIGVFDNCHLNGGEKANGARDTRIGKVRIRLSTLETDRVYTHAYPLIVLTPAGVKKMGEVQLAVRFTCSSLLNMMHLYSQPLLPKMHYVHPLTVIQVDSLRRQATTIVSTRLSRAEPPLRKEIVEYMLDVDSHMWSMRKSKANFFRIMGVLSPLIAVAKWFDQICHWRNPLTTILIHILFVILVLYPELILPTIFLYLFLIGVWYYRWRPRQPPHMDTRLSHAETAHPDELDEEFDTFPTSRPPDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVTFCFIAAIVLYITPFRVVVFLAGLYTLRHPRFRHKMPSVPLNFFRRLPARTDSML >Et_8A_056254.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:18560095:18560304:-1 gene:Et_8A_056254 transcript:Et_8A_056254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGITLSFSGTAAKSSAATTAACVASVLAFMAAFSIGRFRARRRLVQRGDHAAAAALSEGRQPGDGG >Et_1A_004626.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23740763:23741218:1 gene:Et_1A_004626 transcript:Et_1A_004626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGRSRRRCSPPAWWPTRTGAASPGSRSGGSTTSGSSSRSSPSAGRCRTPRWSSRRGSQRTLAGLCSTPGTLPRSCRFVRIRVAIWLRWQSCSTRRWWRQPEGCGKLGRRALPRARFSCVRRGSSLRGMVLVCTPAVFTACTADRRPR >Et_1A_009516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7091382:7096645:1 gene:Et_1A_009516 transcript:Et_1A_009516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPQFLLSILIILCSSHLTSSIRRPSDNASPISAYLVAVRRPDGLLGVDEPEALEQWHTRLLEQVCNTSDPATSDRFPTAESRLIYSYSHVVSGFSAWLTPQEVEKLAMYSWFVEAVPDKSYKLMSVDSPPDQPRRSGLDRVRDGVWSKGNMGDGMIIAVLDDAGADASRVPFVPEPEGMLPPPAKWKGRCDHSQTCNKSLIGVRSFLGTSRSHGIDMPGGAGGSVPRASALGIDYATAFAVAPKAHLAIYHGCDPEAVTAGMDAAVDDGVDVISLFIGNEDDTVFHDDAVTVASYKAVARGVLVCAPAGSSGPDMYRVESDAPWLLALAASDADRRVVTNVELGNGILKPDVSAPGLSALAALTPHGDDEEDSDARLRAAASMAAAHVSGVAAMIKKAHRDWSPAAVKSALVTTANPLRPADDVNGEATSYFVTGAGEVDPVEAMDPGLVYDLTAEDFVPYLCGMKLSEDELKKSAEPAKDASCAEAGVAAAKDLNYPSIMIVVDDDVRQVEVKRTATNVGESAETYSVETIAPGVEGYIILYKEKEVYYQNNFVTMEKIPTKK >Et_4B_038790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4976916:4979212:1 gene:Et_4B_038790 transcript:Et_4B_038790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALTKLGSFTISRKAKQELSAIGDDISRFSSTVEEKAKWVFEKLKGKTKPLSDLLREYNLPPGLFPRNIICYEYDESRSKLVVHLAKPCEVTFKDSSTIRYAPRVKATLSRGKLSGIEGMKTKVVVWVKVTSVSVESYKSDKVCFIAGVKKLRQKDAYEVPREAVSVEEF >Et_6A_047774.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:15216750:15218336:1 gene:Et_6A_047774 transcript:Et_6A_047774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVACCLLLLLSSVRINNNKQQSSGGVGGPRSYPVIGCLVAFYRNRRRLLDWYTELLSSSPTQTIVVDRLGARRTVVTANPANVEHILRGNFGNYPKGKPFTDVLGDLLGTGIFNVDGDMWYAQRKLVSHEFSARALRELEAAVLEDEARERLVPALELAAKRFAGDGEEEGGVVDMQDLLRRFAFDVICRVSLGVDPGCLDPALPAAPPRLAAAFDAAAGIIARRGAAPVAAVWKAKRALNLGSERRLREEIAVIHQHVMGLIHSRKKELALSPTVNGGGARRRRSDLLSRMMECGYPDEAIRDMVISFIMAGRDTTSSALTWFFWLLTRHRAVEREVLAEIAAGEDAAGGQGRMRVLHSALCETMRLYPPVAWDSKHAAAGDVLPDGTRVGRGDRVTYFQYGMGRMESIWGADAAEFSLRRWLHSDDNSGAVPPPSAGAVGGVSPFKYPVFQGGPRTCLGKEMAFVQMKFVASSVLRRFELVPVDEGRVPAFLPLMTAHMAGGLKVKVRTRRPEQQQGQTVSSST >Et_9B_063654.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:1688277:1689480:1 gene:Et_9B_063654 transcript:Et_9B_063654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTRKGPLWQARWSQPSAVAAQSRAAAQLPGKELLLVRQGIPNDQAVGQVGARAWGWGGEERAAVAAVEHEAARELAGDGAAGRGAVGDEARGERHGAVHHADEAHDGGRPVERAVAAAEGGVGEDAAPALADEGGAEEARGVVRREAEEDLTDELVRQLRLRRRH >Et_2A_015629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16241124:16242563:1 gene:Et_2A_015629 transcript:Et_2A_015629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDSRTVELEEGWRFLASSLAKIRRAVDAGGEGLSVEEYMQAFTTVYCMCKQAAPHNYSQQLYQRYKDNLDDYIKSTVLPALKEMHGEALLRELVDRWRKHSRILDSEIKLFRYLHRYYISKTSLPPLKQLGSTSFRDLRDDNENFRGFGIAQIDDERDGQLIDQELLKNAFDIYVQISFRKLDVYKADFEQSFLESTRNYYSRKAQAWILEYSDPEYMVKVEECLRNEKERVARYLHSSTEPKLMEVRIPICVPLFVCLFVLLCLWLYGVSCAIGVDNNTRAESDSYLKENSE >Et_1B_014085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30020907:30024091:-1 gene:Et_1B_014085 transcript:Et_1B_014085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQTRKETATTTLEVPPLLLFLPAPVQEGEGPAGCNGLGCSSSKKLDEEEAVRTCHDRKSFVKKAIAQRSLLASSHVAYVQSLRRVSLALFYFFAEDEHLYFLQESAAAALVHRPASPDRVLVLNCLRPGGAPVHLLEQWEPEDAEEAVVDRFFGLDHQFFQPSSNDSASDAPVSPRMTRWDLFWDPFSSLTDHHQRYVNYGVEEVKAGQDDEQMPELEEESGDDDDDGETEEAEEKVEQVKATAPEVVVPRREEEKGKVDHVNNELRVMASADVEQHGTPGFTVYVDRPPTSLAEAMKDIQSHFMKIVETAGEVSVLLEVVPYQRKFQPPAPTEDGDEQGAVVEIPPEPFELFKSQKESLDRLYEWEKRLYEEVRAGEKIRLAYEKKVALLRSQDANGAEPFATEKTRAAIRDLRTKLDISITSVNAVSRRIVAVRDDELLPQLTQLVRGLARMWRVITGAHRAMKRTADEASAMLSSSAAAARPDTGVDGGGLRGPPPPPGQTRAASAAGALASELRSWLAALEAWAESQRAYAAALWGWARSCVKDGEDMPRLIVGWARAVESVDVEAAASALDAVAAEAAGVAAAAKRQRGAEEWFNEEEAKKKICVGLAAALGAVTEAGGMAVVGYDELVLEIEAMELERGGVAGIDDQTAAACHLRIIPRFFHLDKPPLESQPQTSTPAPPPQLVTSLGIRFSRLSRLAMLCSGEFCTCSVGDRPVKHASSPGKSRPESTSGPRHACRGFRAGDKPRWPRPHERAPRATMSSASFTCFAERIGFREREWNCHHGAAV >Et_1A_007142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31224587:31229769:1 gene:Et_1A_007142 transcript:Et_1A_007142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSSGGRVAGTLALRRGSRPASLIPGLPDDVAAVILCLLTFPDQSRLRATSRAWRLLLSAATLLPLRRSLRLPRRHLLCLFPADPSLASPILLDPAAPTAWWPLPPLPCSPQLYGLGNFSTLAVGRHLYVLGGSCFDARSYPLGHPSPSAAVYRLDLARSRHAWERLPDMLVPRGGFACAPAPTGGIIVAGGGSRHPTFPSNGSRTSSTEWYDAATRSWHVAAAMPRERAECVGFVAHGTGDGGEDEFWVMGGYDGYTTVGGVVPNDVYCRDAVALGLWSGQWREIGGMWEEGERQRLGPVAALSTDDGRITELFMLDGHDVFRYDFTSNRWLKEATARRRIPNTGSCGFISVNGELYVLTSPKVSVAVSGSWRQLKKKVDLEFQVYNPGTKEWRVLTTYPPVHEPIDFRSAALCTVEL >Et_7B_054837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4783358:4792999:1 gene:Et_7B_054837 transcript:Et_7B_054837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFIRRAAPAASSSPAAGLRQFQCAYHRSSEKLSPNRDQEVSYGLNWAIAGRGVVVKDKVFHNLEPSELQKVGATYPECLSGIPLHVRGNVISGVPDVSKAQFAKLLKLVTFHLSSISSLYVQDGAIGSSTVCDVKVRVISDNPSAAMLLSNVLWKIPDRAISHDTSPLTIYAASSISSNVKTLLGSGTQYTNGFATADIERSSLILCGKAYADSTVVKDALTALTAPIFSARGGLPVPGCTNAIPSVSKLSPGQAAYHFLAGYHDGRFVPAYSRAPSPADPLALASSLFSHLKQDDLPAYLINAKRSGKYIEGKEFIKLFELALSGCLPDIQTEDIRVGELKGKYRSFLSSNKPPNRFSKGFFFCCG >Et_6B_049409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4431440:4438407:-1 gene:Et_6B_049409 transcript:Et_6B_049409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLLRKINNEHNFCPPDSKMFCTLHHFYLFSISRHSLRLHLTEGDKTSEDEQDDNFGMFVHI >Et_10B_002647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2781169:2781606:1 gene:Et_10B_002647 transcript:Et_10B_002647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAETEQNYGRIFHKCPRFSASGCQYYQWDDEIDAAIVPSAQPVLMQVQAPPQAPAMIHVPAGAPPQAPAMIQVPAPPQPQGGRVQDAPGNLQVLMVKVNWLEKLVLCMPSAVRE >Et_4A_033153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19207924:19213626:-1 gene:Et_4A_033153 transcript:Et_4A_033153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPGLDPELGEPPAKQRAIDPIAPTQHPWNLPEKFELPHCSCRPDDRFVVIPRDANLSIDAEARRTVARVSQAVVGVASIDVDGDRLWKASGFIVEFDRASMIGTIFSSATVARHDSFYPDIEKIEVYLFDGASYVATIAACDYHWNLLVLNVLFDRDVKTIKLVEISENMNPRDSHLERFALRPHSTREMLYPGDTVIGLGRQSEEPFGLQANHCNWRSSNKQKWEGYWNAFPKKYCRPTIRVLGVNLHNAPTSPWVVVPRSLEDGVDGFLVELLFENMVENIGKKVELTVIRNEDSNPRLVYLPVEETAEENFYL >Et_6A_046864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21731000:21734578:1 gene:Et_6A_046864 transcript:Et_6A_046864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAAPASLGLGLGAIGRALLLPAEDDASAPPAPPLPGLMRLRCAVQHYDWGRRGADSLVARLAAAGEDGACPGDESRPCAELWMGTHPSAPSSLAPDVSLRDWVARNPAALGRAVAARWGGDLPFLFKVRPPGSPVLSVAKALSIQAHPDRDLARALHALRPAAYHDANHKPEMAVAVTEFTALCGFAGTQVPFACARTPTPSPLRAFSHELKEVLRTVPEVLELVGKEEAAKLMSVKEQNEGIGVRPYLQSAFTNLMIANEEAVSEAISKLKGRLDGESKVRALTKKEKLVLSLEKQYPGDVGVLAAFFFNYVKLNPGEALYIGANEPHAYLSGECIECMATSDNVVRAGLTPKYRDVHTLCSMLTYNQIFPEILKGVPVQPYVTRYIPQTDEFEVDHYLLPAGKSVTMSPVPGPSIFLVMTGEGEIKAGSVPDEAKLKEGNIFFVPAYTEVNLQASGPGCMQLYRAGVNSEFLS >Et_4B_038800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:508929:513334:1 gene:Et_4B_038800 transcript:Et_4B_038800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASWDHNDDSLVRLYCVDEHPNGPPDVLFRSVNIYHSLAVSLASHATVQSASTLLGCAAVER >Et_5B_044014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1926501:1940518:1 gene:Et_5B_044014 transcript:Et_5B_044014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPRAAPRPAVAAAARPPLFRAAPPLLLAGRAAAARRLRARGPSSLVAARRNWAVPARAVLGGVDRGEKAAAPQKPTQEVRFHGRRSGARVAVGGTYSSLVTVLATRDPWDGGIACVIGATDLNDILSERGACGVGFVANLRNVPSFNIVRDALVALGCMEHRGGCGADNDSGDGAGLMSGIPWDLFDDWANKQGLAPLDRTKTGVGMVFLPKDEKFMAEAKAVTEKIFKDEGLEVLGWRSVPFNESVVGRNAKETMPNIEQVFVKVAKENNADDIERELYISRKLIEQAKKSESWADELYFCSLSSRTIVYKGMLRSEVLGQFYLDLQNELYKSPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMRSRETTLQSPVWRGREHEIRPFGDPKASDSANLDSTAELLLRSGRSPAEALMILVPEAYKNHPTLSIKYPEAIEFYDYYKGQMEAWDGPALLLFSDGKTVGAALDRNGLRPARYWRTSDDFVYVASEVGVIPMDESKVVMKGRLGPGMMITADLETGQVLENTEVKKSVASAYPYATWLQERTRSIKPVNFLSSTVMDTETILRHQQAFGYSSEDVQMVIESMASQGKEPTFCMGDDIPLAVLSQKPHMLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRGNILEVGPENADQVALSSPVLNEGELESLLKDTKLNPKVLSTYFDIRRGLDGSLDKAIKALCEEADAAVRSGSQLLVLSDRSEEPVSDALEPTRPAIPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHHFACLIGYGASAVCPYLALETCRQWRLSNKTRNLMRNGKMPTVTIEQAQRNFIKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLDELGRETLSFWVKAFSEDTAKRLENFGFIQSRPGGEYHANNPEMTKLLHKAIREKSENAYTVYQQHLASRPVNVLRDLLELKSDRAPIPIGKVEPATSIVERFCTGGMSLGAISRETHEAIAIAMNRVGGKSNSGEGGEDPIRWNPLTDVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNAEQIEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQINPKAKVSVKLVAEAGIGTVASGVSKGNADIIQTLIQNGLRERVVLRVDGGFRSGQDVLMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLFVAEEVRAALAQLGFEKLDDIIGRTDLLKPKHVSLVKTQHIDLGYLLANAGLPKLSSSQIRSQDVHTNGPVLDETILADPEIADAINNEKEVSKTFQIYNVDRAVCGRVAGVIAKKYGDTGFAGQLNITFTGSAGQSFGCFLTPGMNVRLVGEANDYVGKGMAGGELVVVPVDNTGFVPEDATIVGNTCLYGATGGRVFVRGKAGERFAVRNSLGQAVVEGTGDHCCEYMTGGCVVVLGKAGRNVAAGMTGGLAYILDEDDTLIPKVNKEIVKMQRVNAPAGQMQLKGLIEAYVEKTGSEKGATILREWEAYLPLFWQLVPPSEEDSPEACAEFERVLAKQKQSTTQLSAK >Et_2A_015376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11823337:11823758:1 gene:Et_2A_015376 transcript:Et_2A_015376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAGFLRKVKVGDFRVPRFKLSLKRVLRDLGIKTVFDDVKADLADMLEGNNNGPQEPLYIDDVLHKAVIEVNEEGTEATAVVCLPCATQQLGPPPVLVDFVADHPFAFFVVEEGSGAVLFAGHVIDPTDS >Et_2A_016168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21703267:21706177:1 gene:Et_2A_016168 transcript:Et_2A_016168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKNGAAAAAAASERKMLSRRLLLLCAFSFCLGMLFTDRLGSVPDWQSPVVVQRRRHDRELQSLTEEFVAKPKPAEDRDVMGEVAKTHEAIQYLDKSIATLQMELAAKRSTNELLGGSADAIRKQKKKAFVVIGINTAFSSRKRRDSVRQTWMPQGEKLKKLEEKGIIIRFTIGHSATSNNVLDKAIDAEDQIHHDFLRLDHVEGYHKLSAKTKMFFSTAVDLWDADFYVKVDDDVHVNLGMLVTTLGRHKLKPRVYIGCMKSGPVLSDKNAKYHEPEFWKFGEDGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGAWFIGLDVEHIDDRDMCCGTPPDCEWKAQAGNVCVASFDWKCSGVCNPVERLKYVHSSCSEGDDAIWSASF >Et_3A_026039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3782837:3785259:1 gene:Et_3A_026039 transcript:Et_3A_026039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFDGGEYGAFMEKFELLPPQSQQHQQLPLHGLTFAIKDIFDISGRITGFGNPDWARTHAPAAATSPVVLATLAAGATSVGKTVMDEMAYSINGENAHYGTPMNPCAPDRVPGGSSSGSAVAVAAKLVDFALGTDTGGSVRVPAAYCGIFGLRPSHGLVSVENVIPMSQMFDTVGWFARDLSTFRSVSNVLLPLPAENAMKQPTQFIIPTDCFQILGSANDQTYQILNASVARKFGGQAVDNRNLGEFISNNVPTVGKFISDFSKSEAPSVPSLSVISYVMRCLQRSEFKANHAEWVNTVKPNLGPGIRERVHEAIASEDGPMEDFLALRSEFKSALADLVKDGGILAIPTVPGSPPKLRMEPSALENFRARAFSLLSIAGLSGFCQLSIPLGVREGIPVSVSLVTRHGADHFLLNVAQELYETLKEETKTAWASGASSSL >Et_1A_004811.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:9667271:9667789:-1 gene:Et_1A_004811 transcript:Et_1A_004811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSYDAGSGGRGLLACYASKAKQPRPPSKWDDAQRWLSSSSSTRAPDDDRRRSSCADDRTLLPSASQKGTRQYSSSWGSDVAALALALGAQQQQQDDAETTTETKRVDAVLAYGQQRCLSLRDVGTEMTPGGSKEPSRANTPRATAPAPAHDAPAHEHKTFFFLNYSHNIG >Et_2B_020663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22495867:22500541:-1 gene:Et_2B_020663 transcript:Et_2B_020663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGGGLVRRPECCTDFILWSEEEQRQKAGCERHSCNAWCQDTCRGGECKLRRGRRMIMARTGAVVALLALALVLVASTAMASPSCCSDNAIWGVEEPQHTGCSPDQSGSCNEWCQASCRGGECKFRGGRHRRRPLSAATWASTNGVARIRSRVARFRSRTRAATSGASPPAAAANARSAAASTSATATADR >Et_8B_060437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15751701:15752248:1 gene:Et_8B_060437 transcript:Et_8B_060437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGGSTAGGKKTSWPEVVGLPVEEAEKVIKQDMPEANIVVLASGSPVTQDLRSDRVRVFVDTVVRTPQAELLLLEPAGSEKKSSWPEVVGLSVQEAKAEILKDNPDAFIEVLTPGEPMTKDLQHNRVRFFIDTVAETPFVG >Et_4B_036573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4230829:4232013:-1 gene:Et_4B_036573 transcript:Et_4B_036573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTDIITQKATNCVAETASKDKSIGYLDVFVHQARDIHNVCIYHKQDVYAKLCLTSDPDVSCSTKVINSAGHNPVFEENLRLNVRTVDASLKCEIWMLSRIRNYLEDQLLGFALVPLADIVMGNGKLVQEFSLSSTGLFHTPAGFVQLSFSYTGCSPDVIPISSPRKPVSSVADSGNVSVVPAELEKIEFPDLNVVNENQIMVSKYLEMGSLVAENPVKTIFTDKQEEYRDESSLSCVSTTGSSATLSATQQLISEPSSEASETTVEATPTQSQREKSQGVTDGEVDSSEETPKDEVVKPMISINLQLEQSVVQQDIVDMYMKSMQQFTESLAKMKLPLDAENGSPSNDDIDSTTTEKPSPSPSKNSRVFYGSRAFF >Et_5B_045348.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:19106276:19106680:1 gene:Et_5B_045348 transcript:Et_5B_045348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLGRAAVPVKRVWRGLSARLRRRRRTTGKLSTYVPVSPDDDLHDHKADDDMDFGVLAAGLGRLRKDVRTCEYHDVHVMWEMLSNAGNTSCRSAMADDDTAAGKGAAAKARGTRKGRKPAPWSRLVAYCCAF >Et_9B_065671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:909514:911242:-1 gene:Et_9B_065671 transcript:Et_9B_065671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VAAADDLAAEKRRRREQQEEAYSKVLRERKERRDELRKVFMAQAARLRHNLGRYPNGFMVSHGYSYVLRKNRKKAEASKRDEAKSKEEEEECVDLEPFFFDEAAAVAEHAAGEEERRRKAQEEREEAREQRMLFRRAKAHKSVLNSISDYNHKRKCSYYRRFHFADLSTFDLDEESPLGPMRYTGDDAFHLHGTACKQGENRFLLHDSVNVLSVKIASSDVGFPINVYGTVSLPGIALTKSDDLLILTGPKRGLALKSYIYFEMDLKIKSDQGQKDRQLSTGFLTLDFMARSPRYNRVETDSLDTKLSTAEVNYVVVKRAVEATITVEVVEGEFYGKITACTTNIQNSMVLLHDSEVADLIPCDGKRLVQLLRPVVAVSTKEQLEVTVVAQTGDGEVKSSIIFTPRASSVDEDEVTCGSVKMLVKVYWSIISCEPW >Et_4A_034683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5346768:5352071:-1 gene:Et_4A_034683 transcript:Et_4A_034683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLACPLSLVFLTLLLAGAAWGKTVKRDVKALNEIKASLGWRVVYSWVGDDPCGRGDLPPWSGVTCSRQGDYRVVTELEVYAVSIVGPFPTAVTNLLDLKRLDLHNNKLTGPIPPQIGRLKHLRILNLRWNKLQDVLPPEIGELKKLTHLYLSFNNFKGEIPVELANLPELRYLYLHENRLTGRIPPELGTLKNLRHLDVGNNHLTGTLRDLVGNGNGFSSLRNLYLNNNELTGVLPDQIANLTNLEILHLSNNKLIGSISPKIVHIPRLTYLYLDNNNFIGRIPEGLYNHPFLKELYIEGNHFRPGTKSKGTHKNQCYLLPDQKIRIGGVQGTWSHGGEPSL >Et_9B_065499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5923676:5929681:1 gene:Et_9B_065499 transcript:Et_9B_065499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFVHGGAPPASSDMVISGLLVSSFGGFFHVHCVILGQVTKLARDMKGSLCHEFEVDLPVADVWEIYGSLLIGQLAPQLLPEVFSKVEVLEGDGGWYKELIIKVDNQNYVKELEILVFRNISYELRIRKEDDTSIIRSTIEYEVDADHYHTSNASLTSTSYLASVAVAVTKYIKEAKSSAQASKKQAQQPTPEDKTSI >Et_3A_024416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20605107:20608984:1 gene:Et_3A_024416 transcript:Et_3A_024416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVPRHVDHEAARGVGPVLYGVHEVGAAQRHAPGKPDGLREVAVLAGGGGVELRQVEEQGERHEAGREEDVGRHAELGGHRERARAEHVGQERHDVAAAAALADDRGQEVADVRKRLADDGEHAGLDAGVGREVVERHGRERDHVDGGREGGVVRGHGVVGEGDERGGEAVLLGEAPRELHHRRDVPYACRAGEQDDMRGIGGGHDDMIVKQKLATCVVPVECALCLASFDTLTSINGKWTKNWMPI >Et_6B_049810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13374855:13375388:1 gene:Et_6B_049810 transcript:Et_6B_049810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEIFTRMAGAPTIARSLVKVFEMDGVPVGADLRKEEHIDLCFLESYEYNVKTNETCLVAMLDTHGEAGQTPFRLRQIVAAGAPTSVTLGSPPARRHQRSPQDTLKFPPSLLVMGNWNIREERVEDC >Et_10B_003718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5193795:5197352:1 gene:Et_10B_003718 transcript:Et_10B_003718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLDAAAASSSSILASPQTPLVHRTLSFAWRLRLRAASPGVAALRLHNFAPKCYITKVEVDVSNVNAEEAFVDHPSLAPGCSIPVVPFRGDVLDSTPFPLHDRASCPSDFEELPVLSEGEQHALASTPAHPAGLYALYASYLFGNLVEQLWNFAWPAALAILHPSLLPVAIVGFFTKFAVFIGAPIVGKLMDHFPRIPMYTALNAVQVTTQLISAGMVMYALRNVSHASTTAVVLRPWFIALVAAGAIERLAGLALGVAVERDWVVLLAGANRPIALAQANAVLNRLDLLCETLGASVFGLLLSRYHPVTCLKIASGLMICSFPVLIMLGQLINNVSCHALDSSRTASDESICIDLLDVRKIVQNSLNAIRHGWNEYKQQTVLPASAATVFLNFNIALAPGAIMTALLMHRGISPSIVGAFSGLCSVMGLVATFTSSSLVKKVGILKAGAAGLIFQASLLSVALTVYLAGSISQRTPLLIFLASIALSRLGHMSYDVVGTQIVQTGVPASKANLIGGMEVSIASLAELVMLAMAIIANDISHFGFLAILSVSSVAGAAWMFCRWLSNPTDEQRELFMFDPLYQVQAM >Et_7A_050213.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:12196640:12197356:-1 gene:Et_7A_050213 transcript:Et_7A_050213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TWLRTQTEFGPLSHSPQPNRRDVKPTSAALPRFLFPTRNTTHRSFALRAKTLALPPSSPPRWPPPTASPSPSAPSPPPSPRPLPPPPSASPRRRPTSPPSMTRRPPSQRAPTPTRRPPPRPRPRPGQQTRGRARWWSRRKLRTTTTTTTATTRMRRRAATAAMTTSSARTRSPRSTSTTSSPRGPAVARRRNPGRTSSRRRRPRMTTTTTWTPTWPPRRTRTARMGRRAIRPAVERTH >Et_6B_048759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13376440:13377258:1 gene:Et_6B_048759 transcript:Et_6B_048759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHYHEPRLPCCSHVGSTQTRHSCRSTCFVSYLPTMAGPLCTGGALHASRDDASRQRLICYLNTTRNWLLLATSDSVLLFRKDEGWYYLYNPMTKKWADLPRLYHSPLQYSTVDDKEYAFYFHLPSNQYRLLCHHDSSTNPDMCITIYDEFFVTSSGITELVPCMQTIVTTPVSLHGHLH >Et_9B_064911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19769219:19771943:-1 gene:Et_9B_064911 transcript:Et_9B_064911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRNSVWTQQLSNPESEQGPVQVQPQGVYYGGTVSDPIPNNLAVPVSVGVPGDLRHYYESSHEHQQPPPVGVTASFAFPTAMYSPGMSTTAVNMYVPQTQSFGLGNPLPYQVAGTMDESSSRCSFGDSASGFIKRKNAVAAGNHHFLHGFASSSSSAHAPQNPAHGPWNTSFQSNCLPSSAASHPPQYHSNNGWSFLEAPADASNSFNSMVAHHELVRHGNYLFPTGDISQCNAWIAQAANGATGMPNGNLQGYQAGYSIHGPLPHFSQNPLHSMQLQAPQMQLPHQQVLSNNLVHGVPSATGLPLDPRMLVLPLNMEHAFGHPLHPPPINQVNSAVFRTPPYQNVVVMNRSRIDEVGLVDDHRDMRLDVDNMSYEELVALEEQIGNVNTGLTESYIQENLRSSFYVPAAACMSDQSSELSVENDACIICQEEYEAKERIGILECGHKYHVMCIKQWLMMKNLCPICKTTALPADRRNE >Et_9B_064705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17953326:17956343:1 gene:Et_9B_064705 transcript:Et_9B_064705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVMLNGSWRMGTPFPFVLVKLTDIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRAHCASRRSLRDDPNYDALILALYPDVDKYEEEELAISEEERTRNKKIQESIAETFRRQTEALVKKRSTSTAKVPDSASSGKTRGYTRSRRRPRASSPDVVPTDFDDEDREENGNDGSKESSSVDDHRSPDVKQKRARRWPVPRRSPAKTIGSIENSTEDNENSGVAGARDILATSPLRGEMLAWGKNGTRSSTRHGNASGSSGRIAKGGRVAKLVDQLRNADEFDSKFNMYLALLPLDGESMPRLEKHYLSCQPTLSVQHLCQFVALQLSRQPKEVEIYIRKSSMDECFATKNTSTDETKPDKYTRLERLWEEKSLSELYPSLATGQGDLELLYSLKAQG >Et_1A_007996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4002815:4003983:1 gene:Et_1A_007996 transcript:Et_1A_007996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAVVIQHPGGRVERLYWSTSAAEVMRANPGHYVALVTLRVAEERHDAAAGERRTVRLTRVKLLKPKETLLLGHAYRLITTQEVTKAMQARKEEKLRKAQQQLLESKQSKNRGAAEDVDDDDDALDASLDQLARQDNGNRNSSARHRQWRPSLQSIDEAGS >Et_3A_024933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25395282:25400271:-1 gene:Et_3A_024933 transcript:Et_3A_024933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSAALPFDRYTVEEAGATKEMRRSSSSIRSASTDELSDDVSKKQPSSPRSTFIKIFCDYDYVLANFLTTFPDDMHVKGVAFSKVRTPYSQGKHAYSNNGGQMGKTLSSHQLKTSALYSKSTGSKSLNTSSDNTDSRRKRPLRSCNRRQINNIVYSKGSWNKLVEDTTFGSDRRRDCSENKGPSYSKLKRDVVHLDVDDDTEPATLADIEMSDKWDTSKIYYPSSTDPGAVEVTRSDMKCLEPEEFLKSPIINFYIQYLKNSRLRGDMYMFNTYFYCKLKEALSIYDGDSDSQFSKLRRWWRSVDIFKKAYLILPINDMVHWSLIIVCMPTNESESGPIMLHLDSLRLHHSKELFDTIASFLEAEWRHLQKDSYDIPFSRKIWEHLPRNIDKEMVPVPRQQNEYDCGPFMLYYIDRFIQEAPERLTRRDFRMFGSKWFHPQEASALREGIRALLFDVFQNVPEDDESSLSESQSGDHSEGSDKNADSHTDTIVLDDE >Et_2A_017603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4047474:4052716:1 gene:Et_2A_017603 transcript:Et_2A_017603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPSPPLLLVVLVLLATAATTQCHGHKRHTGSAKTPKTAGATPTTIPATVHAVCHATPHPVSCLAATKAVEEASAVSVSLTLPPTVLSVVLASFRGALSALSSASPAVAALSGTPAASPWVASLRRGAAQDCAELHEASLGSLSRSAALLLGESQSLPAMRAHLAAALTNKATCLDGLAGASGPQVGGLLASLDDAYRHVSNSLALVARRGGGSASAGFAAEIHSRRLLQDDDDDDNTGDSSGNDNNDGDDNGSGDDDGSGNADQPAAETVITVAKDGTGNFRTVGEAVAAAPNNSATRTVIRVKAGTYEENVEVPVYKTNLAIVGDGRDATVITGSRSAADGWTTFRTATFGVSGEGFLARDITFRNTAGAGKGQAVALRVNADLAALYRCGVDAHQDALYAHSFRQFYRECAVSGTVDVVFGNAAAVLQGCALLAKAPVPGQSVVFTAQGRGDTNEDTGIAVHNCTIVDREGWMEWPGAEAGRGDTVYFGEYGNGGPGAETGGRVGWAGVHQMGYDEAARFSVQNFIYGDEWLGATSFPYDDDEGQPIQSSDQFKNRISDVSVPDDEFSVDELAVRGDGPDEPPGEEPGGELDVDDDLPHGGLLLAADGDEVAPADPPDPPRDVEEEQAGRDELAPVPLVAADDEVRQAVGAAEQAELAFSNRRFVFSIVALMASAGALSGAYLLSDWVSFLIEFFSRFANALDSLHGVRPAMSAAAGGGEVASEERSTDESASAAEKVLTDDAATETTFLLLVVVVLPASLLEAEQDTARRARGFAVAKVAAVEAARDAMVAAAAAAGESEWNEEELVMEAARARD >Et_4A_032823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14263536:14264460:-1 gene:Et_4A_032823 transcript:Et_4A_032823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TGCSLILGGDVAGHHKPDSFLRSIFAGQLQWYRFLTRVVVNNGVATSFWFDDWLPEGPLCLHLPALFSHSTKPHCSVADVLRGGTHLSLRPRLSTQAAAERSALLELLQSTSLREGNDERVFVWPAASLGHWHDVLLMLLWRMWNCRNDLVFNHHDLPVADSLRIAITDLDAWGYRYKKPNTIKDMACWRAYLSQRLG >Et_4A_033775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26859197:26861901:1 gene:Et_4A_033775 transcript:Et_4A_033775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFYSTPFQPYVYQSQQGSVTAFQISGGDVQVLQVMVKSQDKLTAKPGTMCYMSGNMQMDNNYLPENDGGVWQWIFGKSISSTVFFNPGSDDGYVGISAPFPGRILPLDLANFGGELLCHADAFLCSVNDVSVTSTVEQRQRNIEIGAEMILKQKLRGQGMAFLVGGGSVMQKILAPREVITVDAACIVAMTNTINFQLKSPNQLKRAVFGGDNQLTASLTGPGVVFIQSLPFHRLSQRIASRSVAAPSLRDNPKFFIQIVMFFFLAYVMIVSSIILTDV >Et_2A_014588.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:25536500:25537006:-1 gene:Et_2A_014588 transcript:Et_2A_014588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGKGEKRNFSSPLLAGGVPDLRLDDLVVDADAPGGKLDPNGGLGLEAELVACETRKQVGLADARVADEHHLEEVVVVVLRPVRHRRRPFSFPSFLPEPLFFGVAGGGRGRGGSGARSASRGSRGWRRKRRRGGGQGRGTRIRGSPRSPKIASLAGWLSASGAALPC >Et_3B_029605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26403872:26405658:-1 gene:Et_3B_029605 transcript:Et_3B_029605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGGDFFEKAKPYIAMISLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATISIAPFALILERKVRPKMTWSVFLQIFVLALLGPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVMAVIFRMEKVDLKKVRCQAKVAGTLVTVAGAMMMTLYKGPLMHMAWTSHVQTHGSGAEAPVAAIDPSGKEWFLGSLFVIIATLAWASLFILQTHTLKHYAAPLSLTTLICFVGTLQAIVVTFVMEHRPSVWTIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQKTGPVFASAFSPLMMIIVAVMGSFILAEKMFLGGVLGAVLIVIGLYSVLWGKHKETQEKEEEEAMELPVASKTNGSVYDDAIIKEIAAAASAVGDDSECKKANGGVKSSSDGHGASAV >Et_8A_057661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5813287:5813811:-1 gene:Et_8A_057661 transcript:Et_8A_057661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVVGHEQSNPGDGCCKHGETSHVVGRQWIDVRRVACSDTSEISWLRISLYVCPRACNTVAGCLATHGANALRAGIIAGVERTTSYVEEKQNRRERVHVFWCNAPRFVTKFVSDDMPGASG >Et_3B_030102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30538725:30541042:1 gene:Et_3B_030102 transcript:Et_3B_030102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWREGAGWCFCSGGEADGGDARSERLKAAIFSAKAAAVAAVSGQGQGIGLLIHRNLLLTTHGNLPSAAAAEDAEALLGHGRFVARLEPHRFFITSSILDLTIVGLDYTESDSTLQAQQPQYLKTCCKPSLDLGSVVYLLGHTGKKELVIGDGKVVIGTDNLIKLATDGVTWCPGSAGFDAQGNLAFMICDPMKLASSPTARSSSASSSSSHSWKKDHPMQFGIPISVVCDWLYQHWQGSLDEVSKPKLPLIRLMSSRSDHSSTSFTRRHVFKPDDENDDASVCSKPKYQQASGSSATARISHEANPLVDMRTSSEQGIATPEIYESPRRNSCQTQKDGTPIQLLDINFPPKAPKTIFLPLPLKQMLSDENNAETSKPKNQSKDHGFPSGLIWHRNSEADCRDPPVAHPHDDCSSEGQSSSSPVEMEYGYQDHYSSEEETMYSAETMESRNIPSPREKHVGRSQSCVAYSRWSSPRTSSIQNGSLRKQHTLIPARKTHSQNTALPQRSHDYLSPTVSSAMKKRNSVDQQQPAKPPRSAVQTSPKWMF >Et_2B_020149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17385076:17386536:1 gene:Et_2B_020149 transcript:Et_2B_020149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSARGGSNSGGESLRNSCNDFARTLARLPVSIMEGLSRSIPRRRSHPAQFRPPPPPYAVPPPPPPFVPEELLFFTVFEQRYGERHPFFYGCRFADALRAARREGKLVFVYLHDPDHPYAETFCRRTLCSDVMVEFLDANFVSWGAVTGRGEGPGMVASLQPGSFPFCAVVAPVSDESIAVLQQVEGPVSPSELVEILQRTIEEQGAAFRSSSVPDEQTALAAALSRSAWAAEEEERRRSAQRLREEQDAAYLESLRKDQEKERSRKSVQEGTAKPRAGIRPRYPGQVARDPNKTTQITAPPAQKETSPSHRTEANTKILIRLPNGERRQQSFRHTDTIREIYRFVDSLGIPGIGSYQLVRSYPRKTYGHQQLGMTLRDAGFYPSVTLYIEQLQ >Et_3A_026121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4511496:4519398:-1 gene:Et_3A_026121 transcript:Et_3A_026121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARDDALMGSQLKRPNLARSDPAAQPQHMPLPGSGSAAPPPQAGAAPPAQPQQTSASAAAAAAAANQKLTTNDALVYLKAVKDKFQDKREKYEEFLEVMRDFKSERIDTNGVIIRVKTLFNGYPELILGFNAFLPKGYAIKLQEEKKPVDFVEAINFVNKIKSRFQHDEHVYKAFLDILNMYRKDNKSIQDVYHEVAMLFSDHKDLLEEFQHFLPDTSVAPQAAAPSRGGLVKREDKSSIMPPASRAHHNDKRAYQSHTDRDFSVDRPDVDHDRQRRRLDKEKERKGERDRNYEREDKDGEHDSRELDVGQRKRKPFPRKMEDTAGAETHQGGPNEAHGMHSVSASSYDDKDALKNVYTQEFHFCEKVKEKLEHDAYQEFLKCLHIYSQEIITRSELKNLVNDILQQYPDLMDGFNEFLEHCENIDGFLAGVINKRQTGRVVKTEDKEKDREREREDRDRDREKERDKERERLDRGFTKDGSSHKPSILPKEKYNLSKPISELDLSNCQRCTPSYRLLPKHYPMPPASNRTDLGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSIKPESPIRIDEHLTSLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKSLLTEIKEINEKKRKEDDVLLAIAAGNRRPIVPNMSFEYVDTEIHEDLHKIIKYSCGEVCNSSDQLDKVMRIWTTFVEPILGVQPRTHGAEDPDLVKPKSRAKSALASVGESNTGVAAKQSHGDESVPHEQGPSSRVRLVNGVSADAQNGFHDVDRTTRRGEEPSNTTLNGRVHGAVSVADESPALNPQNVSTERSTENAAGVRTEQHKATSELAPGANASRISHDGVETTGEVVRAGNEALPSVEGGETGRMGSSLNGGCTNEGNKGRLNSEGSTPHNAPKVEREEGELSPNGDFEEDNFVPFGDGASKSKEGSSSRPFQGRPGEVALPSCAEAAGENDADADDEGEESAQRSTEDSENASEAGEDASGSESGDGEECSREDHDEEEEDMDHDDHDAKAESEGEAEGTTDTHDVEGVSLPLSERFLHSVKPLAKHVPTALHDRDEKSSRIFYGNDSFYVLFRLHQILYERLLSAKTNSLTAEKKWRTSKDSNPPDLYEKFMTALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKVVKQLQAIATDEMDNKLLQLYLYEKSRSPGRFFDLVYHENARVLLHDESIYRFECCSNPTRLSIQLMEYGHEKPEVTAVSIEPNFSSYLFNEYLSSMTDKKLSGVFLVRNKRKHSNNDEPSDSMKAMDGIKVVNGLECKISCKTSKVSYVLDTEDFLLRLRKRRKLSQGGNVPDRSQILKIYTAKAQRFNRFLSKS >Et_10A_000158.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:13257319:13257513:1 gene:Et_10A_000158 transcript:Et_10A_000158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLILTVAMRWARFVIFVLQLIMTRLLQLCVESRVALMATWHQRCIRQGDLAPLNLTCGLWVL >Et_9A_062553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2398884:2401936:-1 gene:Et_9A_062553 transcript:Et_9A_062553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGDETSSKPPPPAAAAEGEDLVADNALHVFGFEFTNITGTEVTGRLAVTEKCCQPFMRLNGGVSALMAEATASIGAYMASGHRRVAGVQLSINHLRPARLGDVVQAKATPVQLGRTIQVWEVQIWLVDPSTSECKHLVSTARVTVLVNLSTPEEMASFKKKASRKNMQSCKKQLKSFCFYIMTEISNGTYPYQLLWSFVQCFGISKKFVTLPFYICQTKATGTLN >Et_4A_034351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3206254:3207254:1 gene:Et_4A_034351 transcript:Et_4A_034351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQDARGFLPDGDMDLAAVGAGALRRLPLERLAEVEEDVVEGVLRLLGAEVPQRLDGVDGLGEVPALLHHHGHVLERAVQLVAGVDRLPEPRDRRLQVFLHQLQIVFHIRKSPTRRLVNEATQFSSNYCCKMLYILAHIARTLAEGLDQGFTWKCLARAYGPSPAGILSAACGVEATGLAG >Et_4A_032245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26088024:26088158:-1 gene:Et_4A_032245 transcript:Et_4A_032245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPPPPSRRKRRAAAATSRGWRGGRRRGATPR >Et_1A_005103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27441502:27442634:-1 gene:Et_1A_005103 transcript:Et_1A_005103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPARAAGSTSGACPRASTRTSSATAALPPSRSPTRRRRRPRPRPARRSPTTASARARIRGAGPGTAPTRGSWRPSSTAASWSGAASPTTQPAPTLPELPTSRSSPSSRACGRGRSTPSRTRRPSTRPRSRVFARGSPARAARGATRWRSSRVARPARPGPTSVAPSSPSAPTAPTPASSSTAPAGSARTTRPRTCGPCCGRSSACSRPGTRPRAGPRSTPSSPAACPCSSRTSPRGGSTAGTCRPRGTTSSRSTYPRRPWCGGCGSARWSTPRG >Et_7A_052554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9238202:9243235:1 gene:Et_7A_052554 transcript:Et_7A_052554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVSDFGASILAPSDEEQYVTMVQGTCGYLDPEYMQTSQLTDKSDVYSFGVILLEVLTGQLPLKLDGPETQRSLAANFLSAMKVNDIDALLWSSIKGQESSELIMGLAELAKQCMYMCGANRPTMKEVAEELGRLRKLSLHPWVHVTKMESESLLDGASTTGFEIDVATPGYPMQEVMQPRTMEARITILQLGLGLLLLLVAHYAPATAIPSPECQNKCGNVDIPYPFGIGMSCSLAEDFNISCQVQDGTWKPFLYDFEVLGISLTDSTVRVKNSILSYCYNSSGLMEFDGFGSFNLNSTPYRFSDVLNKFTVIGCNTLGYISDSDGTGYTSGCVSTCTERSLSQVKEGTCSGIGCCQTTIPRRMDYYEVGFAAGLNTSQIWRFSRCSYAMLIEAAAFNFSTAYIGTTKLNDTNFGGVPAVFDWAVRNDTVPCEVARRNESGNYACVSRNSECVDSRNGPGYVCNCSQGYQGNPYLPDGCKDVDECNQSPCPSGGICHNTIGGYRCSCRAGRKFSKQSNTCNPDTGLIIGVTTGFVALIIFSFSGSMILQKRKLNRVKQDYFSQHGGLILFEKMKSERGISFTVFTEAELIKATDNYDKSRVIGKGGNGTVYKGIVKNNVPIAIKRCSLIGERQKKEFGQEMLILSQINHKNIVKLVGCCLEVEVPMLVYEFIPNGTLYELIHGKNRALQISFSTLLRIAHEAAEGLNFLHSYASPPIIHGDVKTANILLDDDYMAKVSDFGASILAPSDEEQYVTMVQGTCGYLDPEYMQTCQLTNKSDVYSFGVILLEVLTGQVPLKLYGRETERSLSSHFLTAMKENNLDALLASHIKGQESSELIRGLAELAKQCLDMCGANRPTMKEVADELGRLRKLSLHPWVQVTDVESESLLDGASTTTGFETDISTTGYPMQEGESMPMNPGSSYYAR >Et_2A_017900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7656364:7668100:-1 gene:Et_2A_017900 transcript:Et_2A_017900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGAADCDQRWLVDCLTATLDTSRDVRAFAEESLRQASLLPAAVLLKQFIKHHWQEDEENFVPPVVSSSEKVVIRQLLLTSLDDSHGKIRTAISMAVAAIGQHDWPEDWPELLPLLLKLIGDQSNGNGVRGALRCLALLSDDLDDTCVPKLVPELFPSLHRIISSPHLYENSLRAKALAIVHSCISMLGSMSGVYKRDVVTLMTSMLDPLMEQFSIILNSPVQSQNPDDWNMHMEIYHLSSIQGSEDFDCVDYDSDGGERSLESFEIQLLELWTTIVGNTRLAKIIGGNIKELAYYTIGFQQITEEQVQSWSRDANQYVADEDDATYSCRVSGSLLLEEIVNAYEDYGIDSILEATQMRFQESRELKQAEQLREAQDSGLSKYNVRDLLEQMTTDIAGTGVHQYPFLHARALSIVPKFSSVISKGVSEQFLINAAHAIASDVPPPVKVGACRAIAQLLPGSNQDLIQPNIMGILSSLVDLLRQASEETLHLVLETLQSAIKSGGEQSTSIEPIISPIVLDVWVQHIADPFISIDAVEVLEAIKNAPGCLQPLVSRILPTIGSILGKPKIQPDGLVAGSLDLLTMILKDAPTVVVKAVFDTCFVSTILIVLESDDHGEMQNATECLAAFISGGRQELLAWGGEQGCTLKLLLDAVSRLLDPVLESSVSLFVGSFVLQLILHMPSHLSPHIPDLIAAIVRREIQGSYQIKVTTTALALLISTRHPELSKFEVQGHLIKTGAGITTRSKARVAPDQWTKIPLPAKIFSLLADTLAEIQEQIGDDEDECDEDSDWEEVQNGDTSIPDDIMYSASVPSNANPSVEHLKAMAKVFDEDDDGSYDDDLTKIDPLNEVKLADFLTNIFVNLWESDRPMFEYLCQGLSDTQKSAVEKILTK >Et_4A_032469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10477037:10479012:1 gene:Et_4A_032469 transcript:Et_4A_032469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKEAALAAVPNDNPTIFDKIIKKEIPSTVVYEDEKVLAFRDINPQAPTHIVIIPKIKDGLTGLSKAEERHVEILGYLLYVAKVVAKQEGLDDGYRIVINDGPKGCQSVYHIHVHLLGGRQMNWPPG >Et_2B_020015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16095629:16096523:1 gene:Et_2B_020015 transcript:Et_2B_020015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRMISSIKDCDWLRPMETMVMLLLYLHGITTELRTARSTSSEGFRLSKHITYSITAASQGTVILSSLANPNSSSDIRKSSPKTFVLRYTKGTSKRLPSAE >Et_3A_026459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8310958:8325913:1 gene:Et_3A_026459 transcript:Et_3A_026459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCPCAAAFLAPPPPLLLLRHRVVPRRQRRGGRQASRPLLTLARVDPPPLLRLKVSDSSDCPTDAHHHHNHLAPLLLRPRALIGSLTPVWREGLFLVRCSVFAAVVAVAAALSWFAQFRARSFVEARLLPAACAALGEYLQREVRLGKVRSVSPLGITLQTCSIGPHREEFSCAEVPVMKIRVRPFASLRRGKVVIDAVLSEPSALVAQTKDFSWLGIPGPSEDILKRHSGEEGIDYRTKTRRLAREKAGEEWYEERDKAAKEAAERGYFLRTGQLVSLSNDESPEDDGPTEIGKSSPPLCADEMHKKDHHVATGIDSSSKHSDLEKSFGVKSRIPGINLWLRMISSPSKRRYRRKVHSKVASDMDNSSQQRILRRSADAAVAYFQSIGRSNLDDPSPGTGSSSSDGGHTNVGSDQTTSSKGKSARHTPHNLDSDALVYNHLEDLQLGHANFSQGPVSEKCSENKLVHQREIFFGNFGSCTHAYNWSSFWPFQLKGFPATFDAPYSSPDIQIQKLKSHFAIGSEEISAEPSEGVSQMHPGAVQHALPITLDSVYFNGGNLMLLGYGDQEPREMKHANGHVKFKNSYNRVHVHATGNCMEWRQDRTSQGGGYLSTDVFVDIAEQTWHANLNVVNAFAPVHICMSKGDTFPSIHGQLDVKGLAFQILDAPSSFSEIVATLSFRGQRVFLHNASGWFGDAPVEASGDFGLNPEDGEFHLMCQVPSVEVNALMRTMKMKPLMFPLAGSVTAVFNCQGPLDAPVFVGSGIVSRKSLSLSGMPPSAASEAVMQNKEAGAVAAFDHIPFSHVSANFTFNLDNCVADLYGIRACLLDGGEIRGAGNVWICPEGEGDDSAMDINLSGSILLDKVLHRYIPGGVQLIPLKIGELNGETRLSGSLIKPKFDIKWAAPNAEDSFSDARGNIVIAHDYIMVNSSSVAFDLNTRVQTSYIDDYVLNKEIYQMNKIMPLIVEGVDLDLRMRGFEFAHIASSIPFDSPRPLHLKASGRLKFQGKVVKSSNIVDDKIDGVPQNTVDQNKLVADVSKLVGDISLSGIKLNQLMLAPQSTGSLSISRDSVMLNATGRPDENFSIEVNGPLLFGKNEAIQGGRLFSVFLQKGQLRSNISYNPENLTSLEVRNLPLDELEFASLRGFVQKAEVQLNFQKRRGHGLLSVFRPKFSGVLGEALDIAARWSGDVITMEKSVLEQANSKYELQGEYVFPGTRDRFPLESQSNGFIEKAMGGHLGSVMSSMGRWRMRLEVPGAEVAEMLPLARLLSRSTDPVIRSRSKELFMQCLNSVGFHAESLRDQLKALEMYHDWLDDDTMEDITLPGLAELRGYWRGSLDASGGGNGDTMADFDFNGEDWEWGTYKTQRVLASGLFSNNDGLRLDKLFIQKDNATLHADGSILGPLTNLHFAVLNFPVGLIPALVQAIESSTTDSIHFLRQWLTPIKGILHMEGDLKGTLAKPECDVQIRLLDGTIGGIDLGRAEVLASVTPTSRFVFDANFEPTIQSGHVNIQGSIPVTYVDSSSIEERLEEEGGKQGMIRIPVWAKDRGPSNDISETRIVRDKGDEGWDFQLAESLKGLSWNMLEPGEVRINADIKDGGMMLITALSPYANWLQGYADVLLQVKGTVDQPVVDGSASFHRATVASPFLRTPLTNFASTIHVISNRLCISSMESRVGRKGRLSMKGTLPLKDSEPSANDKIELKCEVLDIRAKNILSGQVDSQLQVTGSILRPDVSGMIRLSHGEAYLPHDKGNGAVATTLPSKKSSYLPPGFDQSTTSQDVSRFLGQLSSSQDRQQSDAERTLENGSFKPNIDARLNDLKLSFGPELRIVYPLILNFAVSGDLELNGMVHPKYIRPKGILTFENGEVNLVATQVRLKNDHLNVAKFEPDLGLDPILDLVLVGSEWQFKIQSRASSWQDNLVVTSTRSVDQDVLSPSEAAKVFESQLAESLLEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLKSLANNISFATEVEVQLGRRLQASVVRQMKDSEMAMQWTLIYQLTSRLRVLFQSTPSNRLLFEFKFLRATM >Et_4A_035239.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:1423538:1424743:1 gene:Et_4A_035239 transcript:Et_4A_035239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRVVAAAALLACLLLPMASVVRGRNITTMLAGYKEYKLYNKYLSETRVCDEINDRQSTSMTILVLSDDAMSTLVSDAGESLPAIKNALRLLAVLDYYDRKKVKKYGGESADTLYQATGDAVSATGNVKVTDVEDGKYGFSSATADAKVSTVTKEVKTMPFKFAILEISAPVEFDGLFDTPSTANLTHLLERAGCKQFAALITSTGVLKAYEAAMDTGLTLFAPNDAAFTAKGAPDVEKMSSANLTTLLKYHALPAYYPKTSLKTVKGAVPTLAAKHSISVSAKGDDVGLDAGGSKSRVADTVVDKAPFSLLAVDGLLVPAELAVAPAPAPAPDAAAPSPSESASSSRRPADDVPSDAADHAGHKAKKTTSSAVASRSFGAGAFTAAVAVCSVVLASVL >Et_3A_027025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:359544:363480:1 gene:Et_3A_027025 transcript:Et_3A_027025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGDVLTRSGYEGLIPSKGITYRLTSSNKRLKAFKGGEEPLPSGSDATVGFVLCCSSGPDQDVSAFLSEESLSKMARSAVTISLSNGPIAVYTCSGVAVQQEQSIIRLLTSANLAVTFLEKRKAGCGVMIQVRNAFNNVTEGYVEEYDLDRGTAFVKVALCLDVCVAHLRNGMEIQPNSHLVAIQLIDSGASSPLRRVLTKDSSASEVGNLFCEFSKLGDGTPLFDGDGNFVSMNLSSERHADMSIIIEKLEELERMENLRVQRSLNQSRTSRVHLNPRKVRRRDGKHYNMTPDGSMVLVNTFEKTFGDPYDSGKGAWSRFSKPVAEDLSQSVVSLASFTGIIINWSGCTTILTSASLVRNPLDEKKIIENLRAGIGGPLVDLKGKFVGMNFYDWKEGTPYLPQRVVVRVLAQFENNGILDEADEFDGPCLSRYGVDRHPQNDKKEKFVVHSRGSSLGT >Et_7B_054222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17879708:17885000:-1 gene:Et_7B_054222 transcript:Et_7B_054222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSCMRCPAGAAAAAAVSTRRAAGPPAAVAFARCGFGKSAAAAGWRIDAVAPQGVKLPMDAAVENAVTTSAPSKAENGAPAEITLDEFEDLSVLPKNDDSTHFTIFGYARSKMTDAELRHMVSKTLTCRIDKRENCSEKMEEFLKRCFYHSGQYDSEEHFMDLDKKLKQHEGSRVSNRLFYLSIPPNIFLDVVKCASKSASSVNGWTRVIVEKPFGRDSESSAALTRGLKQYLVEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDGYGIIRDIMQNHLLQILALFAMETPISLEAEDIRNEKVKVLRSMKPLQLEDVVIGQYKSHTKGGTAYPGYTDDKTVPKDSVTPTFAAAALFINNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLYKGSFGTDLDRATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPLLKELEEKRIAPELYPYGSRGPVGAHYLAAKYNVRWGDLSAEHYKA >Et_4B_038443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28990590:28997639:1 gene:Et_4B_038443 transcript:Et_4B_038443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTANGNARSGGDGVPRPTNPMVTPLLTDLYQFTMAYAYWKAGKHLDRAITEDDIRFLRSVMPTCEDGFFEYLSSIDCSDVEVYAVPEGYVVFPKVPLMRIEGPVAVVQLLETPFLSLVNYASLVTTNAARHRLVAGKSKNLLEFGLRRAQGPDGGISASRYSYMGGFDATSNVAAGRLFGIPIRGTHSHAFVSSFMGLDEILDKTLTSSDGLNKCEDFVSLVQSWLTRIQDSSSLGSTFGETSHSELAAFTSYALAFPNSFLALVDTYDVMRSGVPNFCAVALALNDMGYKAVGIRLDSGDLAYLSVETRKFFHAIEEEFGVVGFGKMNITASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYAQAALGCVFKLVEINKQPRIKLSEDVTKVSIPCKKKCYRLYGKEGYPLVDIMTGEDEPGPKVGERLLCRHPFNESKRAYVVPQQVEELLRCYWPGNSAKQREELPSIHEIRSQCIRHLERMRPDHMRRLNPTPYKVSVSANLYDFIHFLWLNEAPVGELQ >Et_10B_002375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15196219:15197504:1 gene:Et_10B_002375 transcript:Et_10B_002375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTDDGPPKWHPAPHELKSACRAAAEHCRKKGESITKLALQYSLMNNDISSVFVGINNSKQVEENVAAATELSTSGIDEELLREVEAILEPVKNLTWPSGIQIA >Et_9A_062402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22515113:22517818:-1 gene:Et_9A_062402 transcript:Et_9A_062402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFVVRVCLFLLAGAWWPRISPDLHLLRLFHTPPPPFVLFQKPTPAAAPAHRSSKDEAVATDSSRLASYLASTSLESKQRARAPQPPAPAGPSSSSLAATATRSPARDHGHHASDHSDPAASPSYLGAGASSSACPAAGAGEVLLQWGHNKRTRGRRDASSSSASASAASPLRRQPGAKIQRRSSAPDKLMPPPPSGPSHTRGSNLRVGSSSTPPARAGDLHHGRGSLPHHRSAEERAGGKARAEKQRPDGGKAPQPRAEAVAAPVMGLMVPDQKQMHQHHHHHQADHLHNAGASSSKPKLEMPRIFTTLSRKEKEEDFLTIKGTKLPVRPKKRPKNVEKAVNFICPGMWLTDVTRNRYEVREKKCPKKVYIAPPSGLLLVSNFLRSQSVYHSCNLAEKIRAGKVVVGERAGTEEKERREMVLSFLLSPCYISWGPHPVTSEGGCSLMTVLVRSNPHLARALLLPTCRADCAGARRLRGVARSPRLDLRVVPGPWAFPCGGLMSSSAHCSCCGEYSPACGEIRGPGSRVL >Et_3B_029583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26133757:26138458:-1 gene:Et_3B_029583 transcript:Et_3B_029583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASLAALPLVFSCDFLSQSHSRSSGGPHSRRQADRPNPPQRQRISSRHREPLLYFPLSLRLPCRRSPAPTWPPPPPSPYSSLPLLPVDHTASRPPKPHGPREGVNHRLARICEPRSYEQKARMPAQKRRLPSPPLKPRDHVTASSAAAAGGGVGGGGGGRPPLLSRAAAKRRRLTDPKPQREDDDSEAEDGGGLYDGDNDSSQSDDGGGGDEFLAVKLADIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFGEEEKTRNKKIQETIEETIRKQSEAVVKKGSKAKATASVFARKYRRNMRRRVQSKTITPDIGHTGSDDEAIEEENADDATKELSSADEHSSDSRQKRGRKRPVSHPSPAKSVGSSDHAFEEKTELVGGKEILATSPLRGEMLAWGKNGTRSQNRHGSAAGSNCRIAKGGRIAKLVDHLRTTDEMDKQIHLYLILLPLDGQSIPNLERPYLSCRPTLSIQHLAQFIAQQLSRQVEELDIYVRIDRNHGSDSWKSSSTGEAKLHPFDGLERLREDKLLSELRPSFTSSSGDLELMYALKTGDNQF >Et_1A_005236.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:34651836:34652018:1 gene:Et_1A_005236 transcript:Et_1A_005236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEEDMVMRVTGANPCGKLGDSRCMGRCLRPGKCNECCKNVGFDHGKCRLLNCFCCNAE >Et_9B_064432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15084840:15089452:-1 gene:Et_9B_064432 transcript:Et_9B_064432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAPPADAEPPECPVCLCPFDAASAVPRVLPCGHSLCGPCITALPPASAAAAASIRCPLCSQCVPFSPALGPSSLPKNLALLALLPSQSPSRAPAAAAAPPPPLPLPLHAAHSRLLSRFRHAVLPESASPIRSAPAADRLALGSLDSDLGAPWFCTRGRPVSLLPIDTKDGVRTLEHEAAFYRPSYTARVLCAVSALSDAAREELAGLIGASARLARRVCWVYGVWMGSDAAPLWMVSERHLNDLSLLLEERINGEKMVARIGAVAMEMCEAIMGLHREGLVLGCLGLDCFSVDRFGHCLLDLNKALELSRIVRAGDRSSNVGAFVAPEVVEVLGESSQMKDCDFDGLVGYRSDVWSLGCVLVTLLTGDEQLALEWSTDESYDDWEKKLVTRLYTSLVGTQLEPLSAITASCLSYDPKDRPEIADVWKCIRGSLMNSGNNALAPDDELAAEKSFRCLLLGKLSSMFVKSCAVKSDGKIQSSRGSDDSHSNQEDACTGGCTYNAEIDFSEKDDPQSGGAFKSSTLLAHRDCVTGLAIGGGFLFSCSYDKTINVWSLKDFSHVQCLKGHEHKITAIVTVSNDNWSLCISGDSGSGIFVWRVDSSLKEEPLTKWYDHNDWIYRGVHCLAVSGTGYLYTGSRDKSIKAWSLEDYKLRCTMTGHKSTVSCLAVASGILYSGSWDGTIRSWWLTDHTPMSVLEDDVPGNIAPVLSISTQANFVVSSYENGYLKVWKNDVLVKSDKLQDGAAYAVKLSGQWLYTGGWDKVINIQELLEDESEVEIRDVSSITCDSIITSLLSWDERLIVGLSNKDIKMNVVDERLRMDGADESWLCACPSRVEKDTIPCSSQCPFRCGKLGRWLHMFATSCECSADVASGLEPLLVTGAVKAGLYPARTEIEWPMCFDRLDGDMTMYVHQMLRVLRPQ >Et_9A_062140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:249456:257088:-1 gene:Et_9A_062140 transcript:Et_9A_062140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGRMENDDYESFLKRCEVSGDAAYAELKALLARLHDPATRRQARVFLAELCPRQSSPAADLEMFFRRYGFCIRELELHCGLPVSPFPASAAAGQDVDAFLAECTASGDAAYAAAKAVLERLHAPATRPAARRLLGAVRRRFAADRAAGEDCFRTYHFRIHDVQLDPHIQGFQQRKKLTMMEIPSIFIPEDWSFTFYEGLNRHQDSIFRDKTVAELGCGNGWISIALAEKWSPSKVYGLDINPRAVKIAWINLYLNALDDDGTPIYDGEGKTLLDRVEFYESDLLSYCRDNNIELDRIVGCIPQILNPNPEAMSKIITENSSEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGIAVIKPSGIMVFNMGGRPGQGVCERLFQRRGFRITKLWQTKIMQAGDTDISALVEIEKNSRHRFEFFMDLVGDQPICARTAWAYMKSGGRISHALSVYSCQLRQPNQVKKIFEFLRDGFHEVSSSLDLSFDDDSVAEEKIPFLAYLASFLKANKSNPCEPPAGCLNFRKLVAGFMKSYHHIPLAPDNVVVFPSRAVAIENALQLFSPALAIVDEHLTRHLPKHWLTSLAIEGRADGNHAEDTVTVIEAPRQSDLLIELIRKLKPQVVVTGMAQFEAITSAAFENLLSATKDVGSRLFLDISEHLELSSLPSSNGVLKYLAGKALPSHAAILCGLVKNQVYSDLEVAFAISEDASVYKALSQTIELLEGHTSMISQHYYGCLFHELLAFQIADRHPQQERQPAEVIPQKMIGFSNSATSTLKAAEFFIPDSSESSIIHMDLDRSFLPVPSAVNASVFESFVRQNITDSETDVRSSIQQLVKDSYGFPADGCSEIIYGNTSLALFNKLVLCCIQEQGTLLFPLGANGRYISAAKFVNANTLTIPTKLGSGFKIEPQVLADTLKNVSRPWVYISGPTINPTGFLYSDDDIQDLLSVCAEYGARVVLDTSFSGLEYQTDGWSRWNLERSLSAVNCSKHSFSVVMLGELSFELTAAGHEFGFLILNDSSLIDTFYSFPSLSRPHSTLKYTFKRLLGLKNQKDQHFADLMVEQKEELKNRANHLIKTLESCGWDVASGCGGISMLAKPSAYIGKPFKVEGFEGKLDGGNIREAILRATGLCINSSSWTGIPDYCRFSFAMESGEFERAVSCITRFKELVLGCNVQFQINGN >Et_10B_002757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10601694:10606353:1 gene:Et_10B_002757 transcript:Et_10B_002757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARASCIGSGNRSDVVSVCFPSFKGVDNGDSRFNLSWDAGVVDGALHLTVDDVHKPPVNYTPEVDDGRMGGGIILQSPSILLFLTPSYPYYPYCNPYRYRSPSRQDTSFNTTFTMSVSRSRNQTATDIDDGGGLVFEILPEVVWAGGGGSYKSFASTTSGNISVELGEHSTDYCRSSGMYVSITPAPTRDAPPANYTVWIDYDGESQIIWVYVDKGNKLKPAEATLRARNIISTFRSWNSYGYRSYYFGLFASKNRSLPSCQPVISSWSLTAEKLPDTTPTYVPVPTPPMESGQGRKRGIGEGWFLAIVVSSVLLGAAAAAATVFRFRHHIVATVSAAARALESRYQALKMKMKLSRALRRLPGVPREFKYADIKKATMNFDESMRLGRGGFGAVYRGTVLISTTTTGDGEEEGPRQRSPVEIAVKKFTRKEDRGYEDFLAEVAIINRLRHKNIVPLIGWCYENGKLLLIYQYMPNGSLDQHLFRENRHNHLPPLRWETRYNIIGHVAAGLHYVHHEYERVVLHRDIKASNVMLDDAFGGRLGDFGLARVVGFNNNDNSTTDVGVAGTWGFIAPEYAVSHRATRQTDVYAFGVLVLEVVTGRRSLSAADTPFPVLADWVWWLHGQGRLLEAVDDELVISCNSEAATDEQLNADDATRLLLLGLACSNPKPSDRPSMADVVQVIGKSMPPPDVPLAKPAVLEGELELWDNSDDDLTVTGVGHGDSDSHRKGTKSHGGFVISIGSLEISIGRSRKATASMHGIRPACQRIPILNVRFGSQFGELRRPGQSRPRRRPADQPGTEARLDELRMLNLSWNAFLGAVPSGLLRRQSGLRCWT >Et_4A_035209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12302357:12305514:-1 gene:Et_4A_035209 transcript:Et_4A_035209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKGLARRWAVELHDGSSSSSGPAVSDPPGFTRSAPDAVNPSSLPSTGFPINWISEAGNLHCSSVPQDDAAGARQRKDSETAWKAQKAWEVAQSPFKNLMMMGFMMWMAGSTVHLFSIGITFSALWQPLNALRSVGKVFEPFKDPRVDTLAPKLLFIALNLAAMGLGVWKLNTLGLLPTNPSDWVSSLSPALLYQFCDCIPMLWGIYWTTGFDKDSLVIF >Et_3B_027804.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20033360:20033512:-1 gene:Et_3B_027804 transcript:Et_3B_027804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSSCQQVFQSVNKLWEGWLEYRAPQKLASRMGCLGGIGVFALVGVARQ >Et_2B_019625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11284755:11300996:1 gene:Et_2B_019625 transcript:Et_2B_019625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGDIQQAVASLRRSSRSIWRHGDDVFSRSSSMASELDDEEALLWAALEKLPTRHRVHHAIVRPLGDDGPQQRGLVDVAGLGPRERRALLERLVRVADDDHERFLVKLRRRLERVGIEMPTVEVRFEHLEVEAEVRVGSSGLPTLLNSITNTLEEVAAALHLARSRKRTMPILQDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGRLDKHLKVSGRVTYNGHGMEEFIPQKTAAYISQHDRHIAQMTVRETLAFSARCQGVGSRFDMLTELLRREKAANIKPDADASAVGGQQADAVTDYILKVLGLETCADIMIGNELIRGISGGQRKRVTTGEMLVGPARTLFMDEISTGLDSSTTFQVVNSIRQSIHVLGGTAIISLLQPPPETYNLFDDILLLSDGHIVYQGPREDVLDFFESVGFRCPARKGVADFLQEVTSAKDQKQYWARSEEPYTFVPAKDFAAAFKSFRTGRALANELAVPFDKSKSHPAALTATTYGVSWKEPLKANITREILLIKRNSFVYMFRVFQLTLVSLIAMTVFFRTNMKHDTVTRGHVYLGALFFGMAQILFVGFSELALTVARLPVFLKQRDLCFYPAWAFTIPSWIVKIPITFVEAGVYVFLTYYVIGFDPNVSRFVKQYLLMMGISQMSTSLFRFIGGATRDMVLANGVSSFITLACMVFSGFLLTRDKMKKYWIWAYWICPLMYAQNALSVNEMFGHSWDKIMNNTASNETMGVLVLKSRGVFLEAKWYWIGFGALLGFMVLFNAFFTLALTFLSSHGKRQRTISEDNLKGKLADLKTEIPDGKHITSGNRHPKGMSNKIEIEMSENDSGPIHKGMVLPFVPLSLSFDNIRYSVAMPEEMKAQGVAHDHLRLLNDVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGRVEGNICISGYPKNQETFARVSGYCEQNDIHSPHVTVYESLCFSAWLRLPNDIDSNTRKMFVEEVMNLVELNPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLRQGGEEIYVGPLGQQSSELIKYFEGIQGVRKIKDGYNPATWMLEVTTVSQEQILGVDFKDVYKKSNLYQRNKALIKELSLLAPGSSDLYFPTKYSQSSFTQCMACLWKQNMSYWRNPEYNGVRFIFTIVVALLLGTVYWDLGGKMEHPQDLLNAMGSMYAAALFMGIFNCRTAQPVISVERAVFYREKAAGMYSSAAYAFGQVVIELPYALVQATIYGVIVYAMMGFEWTAAKFFWFLYFMYFTFLYFTFYGMLTVSVTPNFNIASIVSTTFYAIWNLFSGFIIPRPKIPIWWRWYSWLCPVAWTLYGLVVSQFGDVVTPMDDGTPVKAFVEDYFDFKHGWLGWVAAAVVAFSVLFAALFTIAIMKLNFQKR >Et_3A_025791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32965240:32969507:-1 gene:Et_3A_025791 transcript:Et_3A_025791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVRSAAAPCGLVPSIPPASARYGRGLALFSARIWARRSRGLAMVVAGSTRCRAIKGDGSDGAPHLGGAGVELSDQLSVVMKFGGSSVSSAARMEEVAGLILAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIRVSEIKEWNMIKDLHNKTVDQLGLPRSVIQSMLDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAAYLNRLGVKARQYDAFDIGFITTDDFGDADILEATYPAVAKRLHGDWIQDPAIPVVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLKEIQVWKDVDGVLTCDPNIYPNAKTVPCLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKKREMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLARIWEYLLIVWPLVKLAFLFHLIHQRSGAGNLFSRQELDNVVEELEKIAFVHLLQHRAIISLIGNVQRSSLILEKAFHVLRKNGVNVQMISQGASKVNMSLIVHESEARECIKALHQAFFEDDVLTQVEAENLLAS >Et_6B_049671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8391392:8392109:-1 gene:Et_6B_049671 transcript:Et_6B_049671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPWSSSSSCTSSFGSIDDDVVCVIKPGSVAAAAANGNVKFLCSYGGRILPRHSDGALRYVGGHNRVLSVDRSLHFYELQRKLREMCGWDVSLRCQLPTEDLDALVSVTSDDDLANLLEEYDAAGKDRLEPLKIRAFLFPLAPSMPRSSSPSTPLPASRPSPNAHQLHRQNSSPAARHQPQARSHGYLVHNGSHWQ >Et_7A_052530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:981437:982297:1 gene:Et_7A_052530 transcript:Et_7A_052530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFQKTVAHAPQELNSPRAGAAKPKNPDEILRDFHAAHPSDAFSTSFGGGAALACVGAHARTAAGYQRMFCGLDDIFCVFLGRLDNLSSLIRQYGLCNKSTNEALLVIEAYRTLRDRGPYPADQVVKDLSGSFAFVVFDNKSGAVFAALSADGGVPLFWGLAADGSAVICDDRDVVKRGCGKSYAPFPVGCMFHSEGGLKSFEHPMNRLKAMPRVDSEGVMCGATFKVDTFTKINSMPRVGSATNWAAWDDAAL >Et_2B_020135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17130592:17132304:-1 gene:Et_2B_020135 transcript:Et_2B_020135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDAFRLSIAWTRILPNGSLSGGINKEGVAFYNDLIDEVIANGLKPFVTIFHWDTPQALETKYGGFLSENIIKDYLGFADVCFREFGDRVKFWITFNEPLMYTQQGYVNGAFAPGRCSPYISKSCFPGDSAREPYIVAQNIILAHAEAVRLCRAKYQPSQRGQIGIIVVSHWFVPNSDTDADRDAVQRSLDFMLGWYMDPIVHGEYPSTMRDWLGVRLPRFTAKQAALVKGSYDFIGINYYTAYYTTNIPPPNEHEQSYFGDIRASTSGYRNGVPIGEPEFVPIFFNYPAGLRELLLYINRQYNRPVIYVTETGIAEGNNASVPINEALKDGHRILFHSKHMQSVNHAIRDGVNVKGYFSWTFMDCFEWGDGYLNRFGLIYIDRLNDLKRYRKQSSYWIQRFLERGISTHHSRHDLHSTLCSRAQLGCSNKEDGNLCTPLSTDIKISGISQN >Et_1B_014399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7738633:7740804:-1 gene:Et_1B_014399 transcript:Et_1B_014399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFADLTTPAGAGAERCVDRQLWLACAGGMCTVPTVGASVYYFPQGHAEHAAGLAGTVDLSASRVPALVPCRVAAVRYMADPDTDEVFARIRLVPLRGGGEEADAGAGHEDDGAAGDEQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYAADPPVQTVVARDVHGAAWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRGDGGDLHVGIRRAKRGGFCGAGGGGREEAPAPAEAGWDPYAGLMRGNVAPCVAAKARAKVRAEDVVEAARLASAGQQFEAVYYPRASTPEFCVRAAAVRAAMRVQWSPGMRFKMAFETEDSSRISWFMGTVAGVQVADPVRWPQSPWRLLQYSTTKICAWEEVLNWPLFASQVTWDEPDLLQNVKRVSPWLVELVSSIPAIHLASFSPPRKKPRPAYTEFPFDPAFQPGPYRHPPPHHHPYFPTHPSFLPFPDGSPLAVSIQGPRQFLPSPPDFHLPNLQPRLPYPGHLCHGHVGPTTPIPAPINPDLDLFIGVTPPRDALSTGASKKQPDAVKPAGLKLFGQDILTEQQMSLSSSGGGATSPAATRTSSSLNWNAEKGANFSEGSGSGVIQNSPTNKASSERQLQWFREGSHVSELGLDLGLELGQCKVFMESDSVGRNLDLSALCSFDELYCRLSEMFCIESAELRSRVLYRGATSEVKHAGDESFR >Et_10B_003488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:20003901:20005434:-1 gene:Et_10B_003488 transcript:Et_10B_003488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTYLHGWPEPVVPVQILSNSVMQTIPQQYMKPPSERPAMGSIIGTNDPNLSIPVIDLAGFDDNPEHRQVVLAAVGDACRNWGFFHVVNHGVDLDVVKRMRGAWREFFDLPMEEKKVYANSPATYEGYGSRLGVEKGAILDWSDSYFLNLLPKVAKVALQSEGGHGEVFIELVNLCEVQLKAISSSLGLGKDCLHRVFGGSDGISACMRVNYYPKCPQPEVTLGLSSHSDPGGITLLLVDDHVTGIQVRKRNTWVTVQPIPGSFVVNVGDQLQILSNGAYKSVEHRAVANSGADRLTIAFFCNPRGDLPMAPAPQLVGPASPAVYGQPGISFNEYRKYVRTKGASGRAQVQALSIKLAAANN >Et_1A_004900.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14930853:14931101:-1 gene:Et_1A_004900 transcript:Et_1A_004900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSCWIGDEGLKYFYSMKDAHDIQPKEEHYSCIIDIYSRAGRLDEAEKFISETSMKPNAYGWCSLLGACRIQGNKDLGEYCR >Et_7B_055762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3841380:3844355:1 gene:Et_7B_055762 transcript:Et_7B_055762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLPKLTTPSCKSPPSPLLKPQLVLPAHAGGKLHVSAPVQAVAPGHLSLLLLLSASQQQAATVVPPSKSTETKNRSKGGGDLQRSDFYLNLGTAVRTLRDDLPAVFVREPNYDIYREDITFVDPLNTFHGIDNYKTIFWALRFHGRLLFSEIGLDVKDAHGQSFTKRWWMLWCGKAINQQAKLLGLVS >Et_1A_009228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34569826:34573099:1 gene:Et_1A_009228 transcript:Et_1A_009228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSRALSLTSLCATALAAVKPPLPFLAPAHRILPLRLAAAMSSSSAPSPTPAVPAAASGDAGAPAPSASSAIDFLTLCHRLKTTKREGWVRRGVQAPESIADHMYRMGVMALIAADLPGVDRDRCVKMAIVHDIAEAIVGDITPSDNVPKEEKSRREKEALDHMCELLGGGPRAHEIRELWMEYEENASLEAKVVKDFDKVEMILQALEYEKEQGRDLEEFFQSTAGKFQTDIGKAWAAEVASRRK >Et_1A_006256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19752099:19757486:1 gene:Et_1A_006256 transcript:Et_1A_006256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLMCVQDCNGHAFLAQGGSICVCTEEMTSGFHPTVWGDYFIDYNSPPLQRSEEWIKERTEQLKVEVSGLFGMSKDVVEKMNLVDVLQHLGIDHHFQKQISDAMSSIHRAKINSSNLHDVSLRFRLLRQDGLWISQDEFNKFKGDDGRFRVEIIEDPRGMLSLYNAAHLLTHGEDVLEDAILFSRHHLESVACKLEPPLSEQIKRALQIPLPKALKRLETWHYILEYEKEEAYNSSLSELSKIDFNLLQRFHVKELKTISEWWKNLEVGLNYARDRIVECYFWSHSVYHEQEHKRARMVLAKSFALASLLDDTYDTYATLEESQKLNEAIQRWNPSYVCLLPEYLKRYYLKLIENFREIEDELDPREKFRVDYYKNVFQKLSGYYFQEAEWFHHNYVPSFEEHLGLSVMNTGTPMLCVALLVGMGDVATKEAFEWVISCTGAVKACGEVTRFVDDLAAFKHGKNKMDMVTCVECYINENNVAEEVAISIIESLVEDAWKNTNQEYLECGPLLPIVKRVSNMTKSMLFLFQNRRDGYTFSNYVKDTIEQQFLKPIPL >Et_2B_019363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25488465:25488896:-1 gene:Et_2B_019363 transcript:Et_2B_019363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCALVCALGLNSMLHQCVARCARRAVADPAGWAARRRAGAGLKREDVVALPVATYVAASSSSPGRAICLAEFADGERIRLLPVCEHRFHVVCIDRWLLSHCSCPTCRRRLSSDNVGEGRRHQHLQVLTAV >Et_2B_020443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20188155:20189922:1 gene:Et_2B_020443 transcript:Et_2B_020443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSDWEPCAIFLSAFFGGGGTSPAMDWSFGSKPAAAPALMSFRSAAKEEPTFPQYSGFDGARTPASRMLTHQRSFGADSHGSPQYAAMHRAQPPQHAMNGARVIPVSSPFNQNNPMYRVQSSPNLPNGVAGGASFKQPPYAMNNAVASSTVGVYGTRDVRRPKTAQLTIFYAGSVNVFDNVSAEKAQELMFLASRASVPSSTSVDRRPEVPIFTPTKVTVPEVSPSKQMVFQKPQPVSPPVTVISKPITGVLQPASVPRSSSSSNIDSTVPKSSSPLAVRPISQAPLAVPPLSQAPSTQPATLASTSAATIMTRAVPQARKASLARFLEKRKERVTTAAPYPSAKSPLENSDTFGSASANDKSSCTDIALSSNRDESLCLGQPRNISFSQDSPSTKLQI >Et_10B_003057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1620182:1622713:-1 gene:Et_10B_003057 transcript:Et_10B_003057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPYLSIAMKEALVLLGLLIFPGTVVSATSSGLAVSLPGCPDKCGDVSIPYPFGIGAGCAATTLNPNFTVICNNSFQPPRPMIGDPSLAVEVIDIYLEHGEIRVFGGVSYNCFTSNTTLSDSSTAAGFSWEGTPFTPSTTRNRFTVIGCNTLGLIGGSMHSSTDELYIAGCYSYCQSINSTLDDAPCAGMGCCETTISPNLNDFEVLLINQSSVWKFNPCFYAMLAEVGWYSFRKQDLVGRLGFIKERAPRGVPIVGDWAIRNGSCPENGAKVPKDYACVSSNSYCVSASNGPGYICNCSKGYEGNPYLPNGCQDIDECKLRKQDPKYKELYPCENGICRNTAGDYICKCRMGTRQDGKNSECRPVLSKGEQVVIGLSISTVLLMSLTSLLVMKLQGRRHIKEKEEYFKQNGGLRLYDEMRSRQVDTICILTEKEIKKATDNYSEDRVLGCGGHGMVYRGTLDDSKEVAIKKSKVKDDDSREEFVNEIIILSQINHRNIVRLLGCCLEVDVPMLVYEFISNGTLFELLHNTDQRSLVPLDLRLKIAIQSAEALAYIHSSNSRTILHGDVKSLNILLDNEYNAKVSDFGASALKSMDKNDFIMLIQGTLGYLDPESFVSHHLTDKSDVYSFGVVLLELMTRKKAIYMDTSNEKQALSHTFIMMFHQNKILNVLDSEIIDDEVIVVLEKLAELVMQCLSPKGDERPTMKEVAEQLQILRRLHMQLVRKTNPIQAHYSLWRAISAYPFR >Et_4B_036761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11287141:11290709:-1 gene:Et_4B_036761 transcript:Et_4B_036761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPTVWYADNGDRLGTYRGHNGAVWSCDVSRDSARLITGSADQTAKLWDVSTGRELFSFRFDAPARSVEFAIGDALAVITTDNFMDHTPTVQVKRIAEDVEDQTEESALVITGIKGRINRAVWGPLNRTIITAGEDATIRIWDSETGKLLKESDKESGHQKTISSLSKSPDWSHFITGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPTHDTVVLGGGQDAMNVTMTDRRAGKFEAKFYHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDSDYFNIKI >Et_2A_017172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31737021:31745901:1 gene:Et_2A_017172 transcript:Et_2A_017172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPGGSALTAAAAAMLLLCAVLERGAALHLCVDRLFNDTQGRHGDGLPHLTPTEEATWMALLPRKLRGGGGARAEFDWLALYRSLTRGGDPDGAGRPGPGELLSPASLHDVRLDDGEPSMYWQAQQTNLEYLLYLDPDRLTWTYRQQAGLPTVGDPYGGWEAPDGQLRGHFAGHYLSASAHTWASTHNDTLKERMARVVDILYQCQKKMGTGYLSAYPETEFDAYEQLAEAWSPYYTVHKIMQGLLDQYTLAGNPKGLDMVVWMTDYFSNRVKNMIQNYTIQRHWEAMNEETGGLNDVMYQLYTITGDQKHLTMAHLFDKPCFLGPLGLHGDDISGLHVNTHLPVLVGAQKRYEVFGDHLYKDISTFLFDVVNSSHTFATGGTSTMQHWHDPKRLVDEIKISSNEETCATYNFLKVSRNLFRWTKEAKYADHYERLLINGIMGNQRGRQPGVMLYFLPMGPGRSKSISGRPPSGLPPKNPGGWGGPNDTFWCCYGTGIESFSKLGDSIYFLEEGEIPGLYIIQYIPSTFDWKAAGLTVKQQAKPLFSTDHYIKVSVSVSAKGEAQLAKVSVRIPSWTCIDGATATLNGQKLNLTSVGNSSNGGFLSVTKLWGDDTLTLQFPITLRAEAIKDDRPEYSFIQAVLFGPHLLAGLTHGSLPVTNSNHSNDGLTPGTWEVNVTGVNSVTGWVTPICSESLNPQLITLTQSSGGQTHVLSVSISDGKLAMQEPDPGSDACVHATFRVYGSCGLKGKNLTIEPFDKPGMAVTNTLTVGRPEGRDTLFNAVPGLDGTPGSMSLELVTRPGCFVTAPAGSNATQVGCLCNDAGTSGNETAFRNAASFVRAAPLRRYHPLSFAAHGTERNFLLEPLRSLQDEFYTVYFSLRGSKITSDTLILQVPITLRAEAIKDDRPEYSFIQAVLFGPHLLAGRTHGSLPVTTDKQQPLKRRPGSGHLASEPLNPQLVTLTQSSGGQTRVLSVSISDGKLAMHATFRVYSSAGMKGKNLTWRSPTPSPSAVQKAGRYAGFGVPGAGHQARVLRDYPSRLERHAAGASGNDTAIRHSASFVRAAPQRRYHPLSFAKFAAEKFARLIVEYLNKIPLKLA >Et_10A_002164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2819924:2822266:1 gene:Et_10A_002164 transcript:Et_10A_002164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWTLLEGFLLLVNALAILNEDRFLGPRGWSMPEVSGTGQAKSLKGQIVGLIYATQFLRMPLTALNLKQAHD >Et_2A_017325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33205612:33211144:1 gene:Et_2A_017325 transcript:Et_2A_017325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSNPPTQYGITRPLSLLGPVDADLQRTAELEKFLVEAGLYESPEESAKREEVLEKLDQILKAWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHEILAQTEDVTELQPVPDAHVPVMKFKFHGISIDLLYASISLLVVPADLDISQGSVLFDIDEATVRSLNGCRVADQILRLVPNIENFRTTLRCLKYWAKRRGVYSNVTGFLGGVNWALLVARICQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCTIEEDELGFPVWDPRKNPRDKCHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFEFGNKICQEIEMNKASWSALFEPYQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGVKIQEGQQFDIRGTVEEFRHEINMYMFWKPGMELAVSHVRRKQIPAYVFPEGHRRYRPPRNVNHQQQPDKNDTEEMNASPDTRPKRMRDSANDAEPCRSAKRASVSPVHPKTSSPPSANGGGDCFNQIKRASSDVSGGSQASHGNGDLERANSLNSPQASERSSDTAASGPRCVTRGAICSGEATNKLQTPTVAVCIKRVAEKVVSELVGSERLGGSNSAELLERTEKDILVESVHFGGNGVAQGGLPEELEG >Et_8B_060379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11982981:11989331:1 gene:Et_8B_060379 transcript:Et_8B_060379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPRLLVVVALLAAAAASVAEAGATSFNAKNYGTKGDGVTDDTKALVTAWKAACAAAGAVTLVVPAGTYHTGPLQFHGPCKASTLTFQLGTLKAASDLKRFGNDWIEFGWVNGLTVTGGTIDGQGAASWPFNKCPVRKDCKVLPTSVLFVNNQNTIVKDVTSVNPKFFHFALLSTKNMRMSGLKISAPATSPNTDGIHIERSVGVSITDTHIATGDDCISIGQGNDNVEVARVQCGPGHGMSVGSLGRYVGEGDVTRVHVRDMTFSATDNGVRIKTWENSPTKSKAAHMVFENLVMKDVMNPILIDQKYCPYYNCEHKGVSGVTPQLNIAECKEGLKTTNLRGRLLM >Et_8B_060283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8667531:8670230:1 gene:Et_8B_060283 transcript:Et_8B_060283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDCAGMFVEFNIDFSEGASTLPAGTVFSKNLSFNGGDAAWTIRCYPRGAGDGEDHLALHIVNLGPARKATSKLLFEAIALDRDNKAAAASASPASLRFTCRYQLDYPDNNGRHVTLPRVFRTRDLAAHCVVNGYVTVVCGLAVLQHNPIQIPPSSYALDLRRSGVRPHGDPDVSFAVGGEAGEVDSKKLVPVHRKVLAARSPVFKAEFEGSMAESTMPVLRAPHEFQPTTFLAVLFYTYFDRIPRDNEVAANNGAVPMVELLRDLLAAADWYAMDRLKLLCAKRIWEDVSVETVSKTLYYADRYNCRELRNACIHFMAAAENMRRVAVTDEYVWLAQNYPCLIEALRRRKKEKNKRFTSQW >Et_9A_061605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14307815:14312916:-1 gene:Et_9A_061605 transcript:Et_9A_061605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSALALASPPSSPAALAPEVQPPPHTVEQISADFAPRLAADVRPLTRVRTMYLRSESPLSRLKSFVLAEELLVPGEKSYMTKGVDGDPVTGKKRTADVPLEEDSSVEAAVDQNEILEEGQDVNTEKLRGRNLTLRCEPKTICVVVSLLNVPQKEKVKELGFGSLLDFNMDSLWSWDLIVWLMDHLDPNKMVLDFGSNQKLEITEHTMYYLDNLNCKHMMSRVEIPRAKFFAKEVTAKLGNADMLTDQDGFPTFGNLNLRTLSGTCYDDRTGSSRNVPVPVMSDIADVCASPHNQIGASASHCKNLSSRKKKKAKWSQHLNNHVILIEDDPPEEIRLEDDAAVIGDGGSVVTPQETNPVLESIADAHEKPPTVPDASEEDGMAERDEQRGDHAVTEDFEDGDSPVPGKSATTQAVQLASTFCNEQMKEFMTGTSEPEVLESRLSKLVSLLAQASSSLIKTHDILCHCTIVVEKLFSPILTFRITQQTETRNSELEQKVKELTEKLCNTEEELGAAQAKLRGAEAATLESQSAVAAVKGLSLRLSAAFVRLGDTRPFPPPVADNDASLLDAVKILEDRVSLVEAVSRGHATTLARNSVAFGAAALLCRSNRGAEALRDAVAVDTRRFLRSQGPDFGSLMGQVVDAVEQQHASVPQIQGGRATGVGGQAANRKE >Et_2A_016207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2286355:2286703:-1 gene:Et_2A_016207 transcript:Et_2A_016207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSAAKPPPKKRMDKLDTVFSCPFCNHGSSVECRIDMKNLIGEASCRICQENFSTTVNGMSKLPIELHNMSTSSYFGCYVQF >Et_1A_005559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11413034:11417798:-1 gene:Et_1A_005559 transcript:Et_1A_005559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRYLCAVLRQDVEFFDLNAGSTSEVVTSVSNDSLVVQDALSEKVPNFVRHVSMFVGSYAVGIALLWRLTLVALPSALLLVVPGLLYGRVLTSLARKIREQYTRPSAVVEQAVSSARTVYSFVAEKRILARYSAALEKSARLGIKQGLAKGVVLGTNGITYAIYAFNIWYGSRLVMYHGYRGGTVSIVTTLIVVGGRSLGSALSNVKYFSDATAATERIQEMIQRVPKIDSSSVAGEELANVAGEVEFKNVKFSYPSRSGNPIFVDFSLRVPAGSTVALVGSSGSGKLIALLERFYDPSAGLVTLDGVDIRRLQLKWLRAQMGLVSQEPVLFATSIRENILFGKEDASAEDVIAAAKSTNAHDFISQLPQGYDTQVGEQGLHMSGGQKQRISIARAILKSPKILLLDEATSALDTKSERVVQEALDLTSMGRTTIVIAHRLSTIRNGNTIVLMQSGEIKEVGSHDELIAKENGLYSSLVGLQQTRDSSETTAVVDGTNNAPTTVLQSSSRNIRRKFSTASTSSLAPSMSDAEDGGNTKKQKHHVPSIKRLFMLNAPEWKEALMGSFSAAMFGGIQPVYSYSMGSMFSIYFLKDHAEIKDNTRMYAFIFVTLAVLSFFLNIGQHYNFAIMGEYLTKRIRKQMLTKILTFEIGWFDHDDNSSGAICSQLTTDANVVRSLVGDRMALVIQTISVVVISWAMGLIISWRLALVMIAVQPLMIVSFYARRVLLKKMSKKSRLAQSESSKLAAEAVSNICTITAFSSQDRILSLFDKSQEGPHNERIRQSWFAGLVLSTSMCLMTCTWALNFWYGGKLMAEHHITAKALMRTFLILVSTGRVIADAGSMTTDLAKGVDAVASVFDVLNRETEIDPESPDGYNPERLKGEVEITYVDFAYPSRPDANILKGFSLNIQAGKSTALVGQSGSGKSTIIGLIERFYDPLKGVVKIDGRGMQTYNLRALRRHIGLVSQEPMLFAGTIRDNILYGVDMASEAEIKNAARSAKAHDFISSLKDGYDTWCGDRGLQLSGGQKQRIAIARAILKNPAILLLDEATSALDGPSEKAVQEALDRLMVGRTSVVVAHRLSTIQNCDVITVLEKGNVVEKGTHASLMAKGPSRTYFGLVSLQQSGNPPRS >Et_2A_016528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25575873:25589453:1 gene:Et_2A_016528 transcript:Et_2A_016528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGDSTAPDESLAPIHLRPPASTNGSLPSFLPLSESDSPPSPPSAIPSQSTMNGLGKAGYLIEGWASANVGLGRICRRGYLKKTPKRYRRLAEKGLFSLKGRRRRILGLMITLSSFVDHGVLFASSGIAFTRHLNVTKFLTSASLVRALKDAAALRGARKGHNALKIEVRHEGNFLLGRLEEYDLDHEIAIVSVTTPHQFCCLHLHSAMDFPLHSEVVDIGRHSSGKVVVKRGRLTVCSWASQSEDSEYLMFSTCRYSEELKGGPLFDLRHGDFFGMNLFSDVGGSIFLPTSIICERLEHFRMHPQRSVFVQLCYNMYPLPAGMILVNTFEETFGDKYPGGVWSEFRERVSSNILRNVVALASSTGGTRIFASTGLFIDFDGESTTILTSANLVRCPNGARRIVEDLRIEVLLPNKQRREGTLKHSSLYYNVALVCVKNFRAVRPASLEHEQINLSCRLVAVGRCYNSGETMAASGIITEARLTAFDCQDLRQSTCKITKAGIGGPLVDFDGNFIGMNFYGRTERTPFLLCDVLRGILQYFKTKNTMYTRLSGGLDNIIEDGNKQNC >Et_5B_043852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16816676:16821620:1 gene:Et_5B_043852 transcript:Et_5B_043852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPKLPLSVVLGLSLVALLLMSAAVQDAAAGGEISYTALNHDGVPGNKALSRPGAQANKYSRGCETEEDCRSLQVSLN >Et_2B_021367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29052033:29055993:1 gene:Et_2B_021367 transcript:Et_2B_021367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSLLPVFRVVPVLLLLLPARAAAAAAGGVGVSSGGQLWCVAKNNAEDGVLQSAIDWACGPDGGADCRAIQQGGACYDPPDLQAHASYAFNNYFLRAGGAANPAACDFSGAAALTALNPSHGSCVLPSSASPKNGSFTGTTTYGTSGAYLSHNPSLKLDFWLWLFCAMIIYAGEDAANCLLASWSGPEGPTLHRHNGQVEREDSHKSMQSQ >Et_9B_065586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7503902:7506532:1 gene:Et_9B_065586 transcript:Et_9B_065586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NRQALTNLNKDGVATLVKDRAESATEEVSRLELIFDAVSAEKDKPTEDHTMLTKQEHRDGDGRSLKQEMLSDQGQMDVVRELRRTIEEMHELFTEQCSKLNMMNDRLSFERGKVASLERERDQLRSQVESKLGYGDYSVPDTEVPQMVNTLAVDSEAKPEVQKTSEWLQAVEELKGQASSAKKQPVLIEICDDEETSMWYDDDKNPSNPSSSGEPGAESWFFRGNKLMLSSGMKKHLKEICGYVPPEIPFYLYQMNKSNLKRKGRMRLSAKYTSEHLRSCLHKKEGCIQFEVDGEECGTVRINLLGDGRASLSWGWEAVVEAKSMKVGDICAFQFKVSNGNLKLAIHMFHVTRYLVSLK >Et_4B_036444.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24384253:24384756:1 gene:Et_4B_036444 transcript:Et_4B_036444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTCSILALKVGTPTCETAAAPKKFPSSAASVAESPHNSPAANPARKLSPAPVGSTCTAGNGATRASPPSQYSRHPSFPSVTITQHHRLAVSTPMYGASSDEALRNATRGRSRAYGVPSSATCRTAPSPSNARISACASTSTARQSRIIRSSVSGSTSPATPTARP >Et_1B_013356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7633939:7635152:-1 gene:Et_1B_013356 transcript:Et_1B_013356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRAWADLPPELLLCVTDRLTALRCYLAARGVCAAWRSALAPAAPYLLFGHRPAVAVSLPLDRRRCIGSSDGWLAVATTKPNGNPPDVMLVNPLTGQEVSMPFLPHVTSTCDSYLFMPFPPRRSPWPVLDSKVVFAPNPKKKDDFTVVVAALGGRTLACISARREAAWSVEEIAGNAELADVAYHGGKFYCLATNGEVHVVHVRRRGPRTKRMLEPLLPKNAGEVFAAPYYRVSGRAGGAKYLAFCEGDMYQIWRNTGPAFDLLPVAGGGEFHASENEVFVFRYDAAAPARRRSCWEAAADLGGHSVFVGLNNAVSVRADAVPGVKGDCVYWVCQDAGYTAMEFDLRTRRATPCVEWQKNAAYWYILGDMASRDKRSAEILQRQQKRAELGV >Et_10A_002186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3768803:3774698:-1 gene:Et_10A_002186 transcript:Et_10A_002186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLDGVKQLLAALLKCFDVEFKQPRGLDDPQVLARETVCKPTAAPIRLLLFFLFIIIWDYGIDACVSRIGVLFASPAVSVSEVEALYELFKKISSAVIDDGLINKLMLRCGSLLATIAQEEFQLALFKTSKKESLFADRGFTVTCALPPIVSLPYLNHRLVIEDDVFDLFDTKHNGILGFEEFARALSVFHPSASLDEKIDFSFRLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEIVESIIDKTFEEADTKHDGRIDKEEWRNLVLRHPSLLKNMTLQYLKLARNQIRFSKASVFCTENRNSVLSQSSSQKTQTL >Et_2A_016022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20177372:20196720:-1 gene:Et_2A_016022 transcript:Et_2A_016022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGIGMPTQVLSVLLLAALFCNGADAAFGRHSFPKDFIFGTGSAAYQYEGAYKEGGKGVSNWDIFSHIPGKVLNNDTGDVANDFYHRYKDDVKLLKDMNLDSFRFSIAWTRILPNGSLSGGINKEGVAFYNSLIDELIANGLKPFVTIFHWDIPQALETKYGGFLSENIIKDYLDFAEVCFREFGDRVKYWTTFNEPYIYTLQGYVSGVFPPGRCSPHISKSCVGGDSAREPYTVTHNILLAHAEAVRLYRAKYQPSQRGQIGITVVSHWFVPSSDTAADRGAVQRSLDFMMGWYLDPIVHGEYPGTMRGFLGDRLPRFTAEQAALVKGSYDFIGVNYYTSYYATSAPPPNGLEQSYTGDVRANTSAFRNGVPIGEPEFVPTFFNYPPGLRELLLYINRRYNRPVLYVTENGIAEGNNASIPIKEALKDGHRISFHSKHLQFVNHAIRNGVDVRGYFTWTFMDCFEWGDGYLDRFGLIFIDRLHGLKPWSRSDSRSEVGVGIGMAKQILSALLVAALVCNGANAAFNRYSFPKGFVFGAGSAAYQYEGAYKEGGKGVSIWDTYTHIPDDFYHRYKEDVQLLKDMNMDAFRFSIAWTRILPRMKPFVHWDTPQALENKYGGFLSEKIIQDYVDFAEVCFREFGDRVKFWTTFNEPWSYTVSGYADGTSPPDRCSPYVSKSCSAGDSAREPYIVTHNIILAHAEAVRLYRAKYKPTQRGQIGITMMSNWYVPNSDTAPDREAVQRSLDFTLGWYLDPIVHGEYPGTMRGFLGVRLPRFTAEQVALIKGSYDFLGVNYYTAYFAVSVPPPNGFEQSYKGDICANWSGYRNGVPIGEPEFVPVFFVYPEGLREVLLYTNRRYNNPSVNHAIRNGVDVRGYFTWTFMDCFEWGEGYLNRYGLIYIDRLHGLKRYRKQSSYWIEGHSFPKDFIFGTGSSSYQYEGAHKEGGKGPSIWDTFSHIPGKVLNNDTGDVANDLYHRYKDDVKLLKDMNLDAFRFSIAWTRILPRLKPFVTIFHWDTPQALETKYGGFLSENIIKDYLDFADVCFREFGDRVKFWITFNEPLMYTQQGYVDGIFPPGRCSPYISQSCFPGDSAREPYIVAHNIILAHAEAVQLYRAKYQPSQRGQIGIIMVSHWFVPNSDTDADRDAVQRSLDFMLGWYMDPIVHGEYPVTMRDWLGVRLPRFTAEQAALVKGSYDFIGINYYTAYYTTNIPPPNDHERSYFGDIRANQSGYRNGVPIGEPEFVPTFFNYPVGLRELLLYINQRYNRPALYVTETGIAEGNNASIRIKEALKDGHRILFHSKHMLSVNHAIRDGVNVKGYFSWTFMDCFEWGGGYLYRFGLIYIDRLNGLKRYRKQSSYWIQRFLERGTSTHHSHHDLHSALCPQAQLRCDDKSPLSPCAPHAGIIAIRSSCTNPDI >Et_1A_005014.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22085321:22085536:-1 gene:Et_1A_005014 transcript:Et_1A_005014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVARIAKKALAHSQRENERRWIMVRDVSTIGRFELCGQGYWLRFQWSSGELTKLPPCAKNEADVVVPIS >Et_3A_024827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24652259:24659158:1 gene:Et_3A_024827 transcript:Et_3A_024827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGSGSWTPPATSSRGGVSCELFPAFGEPFPSIGHNVINPYDCPYRWWQGFLIVLVLYSAWVSPFELAIEKAVTTPLLAVDLVVDIFFAVDIALSFFVAYVDRSTNLFVDDRRKIASRYLTRPWFAMDVASTIPFHIIFRLVSGKGTWFGFLNLLRLWRLRRVSNLFAQLEKDIRINYFCIRIVKLLFVTLFALHSSACIFLWMAFHHRHKESTWIGSQVHDFADRSVWVGYTYAVYWAITTLATVGYGDLHAVNPGEMLFTVFYMLFNMGLTSYIIGHMTNLVVHAAATTFKMRDMLCRVSTFGSVNRLPPELREKMMASAQLKYNTAEVLQHQLLSDLPRALRTEIAQHLFRETVERCYLFQGVSSNLVVQLVSEMYAEYFPPNSDILLEQEISTDCYIIVSGAVDVLTTAEDGTEKFVMKIGSHGMVGEMGVIFNVPQPFTVRCRRLTQVVRISHSHLLQILRPNTADADTVYCNFVLYLKSLNEQVAAGAPLLREISSTTRLDELQNGSIFRRQLQSGAETVSSQNAWPGTKDHEVVAPNMLPRRQPKQRVVIHERFPGDATEMPQKHSGGKLVCLPDSLQELMKVAEEKFGKAVRKVHTVEGAEVDDITVLRDGDHLVDLRTSDPTAHNLGPTDAIAQSDRVVCKITSILSLLYIILVPILVL >Et_9B_065397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4651278:4657156:-1 gene:Et_9B_065397 transcript:Et_9B_065397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAAGGEAHLRPPSASPPASPRLRPPTPRALRLASRWRRHCTAGGHATPRPEGQLRLPSPPLLVGRAVPDTFLVQMTGPKRAPFSGVVEDFKGRVACYKQDWHDGVRSGFRILAPSLYIFFASALPVIAFGEQLSKDTDGALTTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYIYNFAKNQPDLGEKMFLPWAGWVCIWTAVMLFLMAMFNAAVVLNKFTRFAGELFGMLITILFMQEAIKGMLGEFSAPEGSDESQPSFQFQWLYVNGLLGVIFSMGVLYTAMASRRARSSLYGTGWQRTMVADYGVPLIVILWTALSYSLPSKIPSGIPRRLFTPLPWEPKSLQHWTVAKDLFSVPPAYIFLAVVPAAMVAGLYFFDHSVASQMAQQKEFNLKNPPAYHYDILILSFTVLICGLLGIPPCNGVLPQSPMHTRSLAVLKRQLLRKKMVRTAKEGMMKNATSSEVYGKMHEVFIKMDDGNNSDSVHKELKDLKDAMVPEGNGAERVPDEFDPDKHVDAYLPVRVNEQRVSNLLQSLLVAGCLGVMPLIQRIPTSVLWGYFAYMSIDSLPGNQFWERIQLLFITPQRRYKVLEGAHASFVESVPFNKISAFTLFQLIYLLIVFGMTWIPVAGILFPLLFFFLIVIRQHFIPKYFDPSHLRELDAAEYEELEGFTPEPSVDDDDSVRSRDVHQPEYASEILEEFTTNRGELKHRATSFRDGRLLKLNSVKMTRELSRTTSRIARVPE >Et_2B_021698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4605615:4607596:1 gene:Et_2B_021698 transcript:Et_2B_021698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTVGSSGDTTDESGWPRVGEASPFTGNKVTKVAAEAKRWSSLVNVTLVVLIMTMPPLLILVGQRLGAPTVWIKSTVASLGTRGREESKKDVLLGGLLVPGFDEQSCASRYQSVYYRKNMTRVGSPHLIKRLREQEALQRRCGPGTAPYKRATERLRSGQQVLDSNDGCSYLVLISYRGLGNRILATTSAFLYAMLTKRVLLVDPGIGNTLPDLFCEPFPGTTWVLPHDFPLENFRDLTEAAPENYANVVVNRSSSVSGLRFVYAHLDHDSSQASRLVYCDDHRQFLHRVQWMVLRTDQYMSPGFFFNPAYQQELDLMFPRKDSVFYLISRYLLHPTNNVWGMVTRFYNSYLKDADERLGIQIRVFDDNPVQNVLDQILACTSQERLLPAVVTTAGAGAAPPPLPTAAGRSKAVLITCLSSWYHDNIREMYWKSATADGEVVSVHQPSHEGRQQWHHGGHDMKALAEIYLLSLTDKIVTSGWSTFGYVAHALGGLTPYITFKILEEKVPDPPCTRTMSMEPCAFTVQHFDCAKKDYKHDLPPRTPNIRTCEDVF >Et_3B_030759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7014757:7017804:1 gene:Et_3B_030759 transcript:Et_3B_030759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALGGGGGARRRRAWRWAMRAAASAVVWTAVVQLASIAGLFRPRVLADCGGGGGGVSAAAGLAALAGEDSVTVRLSPPALVPKRIYRSNGYLLVTCNGGLNQMRAGICDMVTIARHLNLTLVVPELDKRSFWADPSDFGDIFDVQHFINSLRDELMIVKELPLKLQLRTKKRLYSMPPVSWSNETYYLKRILPLARKHKVLHFDKSDARLANNGLPIHLQRLRCRVNFEALRFTPQIEALGKKLISTLQRSGQFVVLHLRYEMDMLAFSGCTHGCSSEETDELTRMRYAYPWWKEKEIDSVVKRLQGLCPLTPEEITLVLKALGFTKDTLIYIASGEIYGGERRLAALKTAYPRLVRKEKLLSPDELRPFQNHSTQMAALDYMVSLASDVFIPSYDGNMARVVEGHRRYTGFRKTILLDRKKIVELLDLFQGGALSWDEFSAAVKDAHQNRMGQPTERKMIPGQPKEEDYFYANPRECLGYNGGLRDVS >Et_4A_034324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31755290:31760228:-1 gene:Et_4A_034324 transcript:Et_4A_034324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGQRHGGVGSSSRPGNGFRGSASSIEFLGREITEMQLRDAKSDADDERDMESGSDVIDGSNAEAGHIIATTIRGRNGLPKQSVTYIAEHVVGTGSFGVVYQAKCRETGEIVAIKKVLQDKRYKNRELQIMHMLDHPNIIGLKHYFFSTTERDELYLNLVLEFVPETVNRIARQYNRMNQRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNVLVNPHTHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDLWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFQKRLPHEAVDLVSRFLQYSPNLRCTALEACMHPFFDELRDPNTRLPNGRPLPPLFNFRSQELKGVPPEVVERLVPEHARRQSLFMALRT >Et_2B_021406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29440054:29446672:-1 gene:Et_2B_021406 transcript:Et_2B_021406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRMGVISRTVVPACGSLCYFCPALRTRSRQPVKRYKKILAEIFPRTQDEEPNERRIGKLCEYASKNPIRVPKITVYLEQRIYKELRTEQYGFAKVVMLIYRRLLVSCREQMPLFASSLLSIVHTLLDQKRQDDMRIIGCETLFDFAVNQVDGTYQFNLEGLVPRLCEIAQEVGEDERANGLRAAALQALSAMIWFMGELSHISSEFDNVVQVVLENYKPQKRQNDDQGTNDSENQSTQETENHASPFFLSEAPSWETIVNAKGGVNLPVEDAKDPKFWSRVCVHNMAALSREATTFRRILESLFRYFGNNSSWSSENGLALCVLLDMQLLVESSGQNMHLLLSLLIKHIEHKAMLKQPDMQLSVVEVAATLAEESNAPASASTIGAISDLVRHLKRTFHITLGSKDMELVKWNEKFRKAIDDCLVQLSKKVSDAGPVLDMMAVMLENIASTPVVARSTAAAVYRTAQIIASVPNLQYQNKVFPEALFHQLLLTMIHPDHEARVAAHRIFAIVLVPSSVSPSIQVSPSGQAKKHDMQRTLSRAVSVFSSSAAIFDKLRKDKYSDSSQGESKDNSLQSIDEVTGNPKRQNLPPSQSRRHSMKIPNFSMKRGPSMAMRAPSVSIRAPSMSLRAPSMSLRAPSISVKEHQSSTSKPDKETESVLVKLSSRQITLLLTSIWAQAVSPENAPANYEAIAHTYSLLLLFSGSKALTFEALTQSFQVAFALRSYSLTEADSLPPSRCRSLFTLSTAMIIFSSRAYNVAPLIPICKQMLNDRAADPFLHLVDESKLQAVKDSSDDPSRIYGSPEDNANALKSLSEMELSESQSRECIVSTIMNSIASLLDAELNNVRSQLLSDFSPDDMCPMSTQFFESSVENSSSGSHETSHHQEAMLIDLGNDHDHFGEASEGTEACASSVPVSDLLSIDQLLETVVTDPAPQAGVVPVATDLPFKDMTSHCEALTIGKQQKMSTFMSFQQNVQAAAPPSYQPNQMELVLFQDPQLPQAGMQSTNPFADDNLQGYPQYMNVPNSSDKTQSDHDFQQQFLKLPASSPYDNFLRAAGC >Et_4B_038092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26159361:26160209:-1 gene:Et_4B_038092 transcript:Et_4B_038092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDGPAHTGGDISDKDGYGVESIYGGKFRDENFLLKHNERGILSMANSGPYGNGSLFFVTFKAMPHLDGKNVVFGKVVHGMDVLKRLEDAGTGNGRPSCRVEIAECGELSQSFEDKGMKFRIECVDLLTFCTLALVLSLLLFPRN >Et_10A_000957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19578178:19581852:-1 gene:Et_10A_000957 transcript:Et_10A_000957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARWGPLAVLLLALALAPAVRADRPVRGGLAEPEAASSAVFPLYGDVYPHGLYYVAMSIGNPARQYFLDVDTGSDLTWLQCDAPCRSCSKVPHPLYRPTKNKLVPCVDQLCASLQGGLNGRHNCDSAQQQCDYEIRYADQGSSIGVLVNDNFALRLANTSVVRPSLAFGCGYDQQVGSSSEVAPTDGVLGLGTGSISLLSQLKQHGITKNVVGHCLSSKGGGFLFFGDNLVPYSHATWAPMARSAFRNYYSPGSASLYFGGRSLGVRPMEVVFDSGSSFTYFAAQPYHALVTALRGDLSKTLKEVSDPSLPLCWKGKKPFKSVRDVKKEFKSLVLSFSNGNKALMEIPPENYLIVTKYGNACLGILNGSEVGLKDLNIVGDITMQDQMVIYDNERGRIGWIRAPCDRIPNDNTIHGFEEGNCWPQFPGIIGLRNEDCPAYYRQGNGICLEAVAQSYAVNSIDKRKFVLFH >Et_2B_022750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4343994:4348132:1 gene:Et_2B_022750 transcript:Et_2B_022750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVETAASWSISPELGGALAKVAVFALVQALVYLILRKSSDVFSPAMASRSRSFRPMRSMSVRRVLASFSDVPVGIPEDGGASSPAAPVDPAGDDRASSWFIKHVSLLYSNFSNSAPPTPPQSSSIVEFLEQVDLFGIGRFSEAHRRGRCSAEGCGLAAWAPAGWPFVVTRGGVPFPGRPAADSGRPPPVPAWAAATGGAAGGGRASPTLGAGELP >Et_8B_060183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:719138:719551:1 gene:Et_8B_060183 transcript:Et_8B_060183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSSACNCSSSHTTFFFWNALDTRDHLLVPGTTICFDIRLKVDSSIDTEISLASANSLTTLHPSVAEAVLRTSIRLKLGLNSRTVSSLKTKVHLLDAGLELCA >Et_8B_059181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14976149:14980903:-1 gene:Et_8B_059181 transcript:Et_8B_059181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKRRHARNPTAGGPKRSSGAGRRRPVPELPSFVSPASVAAAFSSSSSSGARGRARSSGSRRGDSTHAIPFSYAVLQPCAGSERGAAQAQAQGLEVTIDTAPSSSVPLYSYGPDVAGGIGLGSPEEESPDEEEDAGEAGTHLGLGFRDRGNEEMDAEVEDLEEEAAFKTPKQQQKGKRNAGFLSIGGVRIYTEDTSSPESEGMGSSDEESESDDDERCRSEDGDRYEEGSEDEEGDSESDGESSGSESDEELSIGDSSSVDEEVVADYMEGIGGSEELLSSKWLAGMKLADAEEDEEMDTDEDEDGFVKKGKKQLEGYELMTASERYGMKRPNSAERRKGKGVVCDRDFPSMRVMGIEDMFMVKDVRMANRSRKGAKTGSTSSQLSRSWPNEGRKSKKYHSVPGEKQKHRKELIAKKRRQRMLSRGVDLEQINSKLKKMVVDQVDMLCFQPMHSRDCSQVQRLASIYQLKSGCQGSGKKRFVTVTLTGQSSLPSADGQVRLDKLLGTEPEDFSVNWDNSKGPAGRKGLSAPGKLVKHRECSGKKSSKKEVSFAKRPVSFVSCGTMAESVTEIIAVDPSGGDTSCAKVAESSSAKLGSFEMHTKGFGSKMMAKMGFVDGTGLGKDGQGIVQPIQAIHRPKSLGLGVEFDSEAEALKARTEPMKTRSEPSKVRSELRRNVRAVEGGGIGSFERHTKGFGSKMMAKMGFVPGSGLGRDGQGISTPLTAVRRPKSRGLGAKDKY >Et_9B_063913.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21084265:21084756:-1 gene:Et_9B_063913 transcript:Et_9B_063913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IPSGTSPRTSAFCETVSTWSDRREASCGGSVPDSDGLPRTASCCRREDERLDAAGREKAVVTGFLKQSTRNRPRSSGREKAPAGKAAASLHVGLGGTPKSSRATCIRPGADADGLHSSPGHGDPQGSAPAAERQSRSDETDSITRSSVSRSAGSMAAAAGEAS >Et_7A_052453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8224138:8225741:1 gene:Et_7A_052453 transcript:Et_7A_052453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGAWGPEAAACGRPVRGAGRSASQPSARRGGGQRHRQDDSAAVDADVQELPPTQESKIDRCNWNDKTNTDLCQLLLEQIKMGNYNNGTMNGRGYKQVIERFYAATSLRHDRKQFYNMIRQLKIMYGFIKDLNKKTGLGRCDYGWIDADKNWWETESQHGPPPFLDMLEEIFEGVAVDGSSSYTPGQMSMDAEEDGHDDDVLEIHEDSPNSNGNHKRTSSTSTTATSPSKKSKSPMLRIMNKWFTSSSATAEHQTNLFEQMASIKVATKQSALETYLERQRAQEDRQRAEEEKDRAERKLVQQLALEAGVSETSAEYYAVSFICQKKELREFFIDMQTPEGRDIAVMLFEDDSDDDDDLVAMMFAMQQHQKRVQDGLLLF >Et_3B_028419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1556837:1560630:-1 gene:Et_3B_028419 transcript:Et_3B_028419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAHPGPAPHHPRLRLRRPLRATPTLPPPRLPPASSGSRRSSALQQYQFRSIVLRPAPRQYPVQIATAFDNDPGDFSLAQDDGDEGFGVAPYSSESEWSDDEVVLTAFGDVELPVASKSRAEGAITVAAHRLASINKGHRKSRTQQGRMNNVGLVAFLLLLLFFVDWCSWRIVRLPLDSFYLTRPFLTSAVLSAIAGFLFVPIADSLKIHHFRRRGKSVSPSSIKPTPAMGGLFFVLVGIFVASREVGSNSNVVNGAAMITYIFAMVGLLDDISTLAMDRSYKIPQWIRFLVQIVAGVYFSIWLGTADISTPYSMKFLVPLPPPLGLAFMGKLYLVMAAACSLSMGTAVTLVDGLDGLAGGIAALALIGLSIAALPICSELSVFGASMSGACTGFLFHNRYRASVVMSRVGSFALGGAVATIAACSGMFLPMFIACSIFFMELLFAILQVPFRMATKPFLGTNRYPLRIRPSYYYLRLWGIKEPYIVTGAYIISCFLILVAGYLGLVSA >Et_4A_035958.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9477177:9479687:-1 gene:Et_4A_035958 transcript:Et_4A_035958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVSDPLEELWRHVMSSDRSHLLCFYPSKITMSGIWTGDNPLDFSLPLLLFQILLVTATTRAVSLLLSPLRLPRYIAEILGGFLLGPSVLGRVPHFSDVAFPTRSLFVLDSMSLLGLIYYVFTVGVEIELATVLRSGRRGFWFAAASAIPPFLVGATTGYLALSTDDTRRAKSESEIINNLSFPIFLGATFCSTAFSVLARNIAELKLAGTDVGQLSISASLINDTFAWSGLTVATALAHVRYGLLPCVWTLVSGALIFSGSYLIVRPTLVRLSRRAAEGQAVVSEAQECAVLVGVMVAALVADAGGTHAIFGAFVFGLAVPNGPVGVALVEKVEDLVVGMLLPLFFAMSGLRTDTARVTSAHAAALLTVAAFAAATLKVVAAVGVAGASGMPLHDGASIGLLLNTKGVIELVILNIGRTKRIMSDQSFTVLVFMSALVTALVTPALAMVVKPARRLVFYKRRTVAWPQQPDAELRVLACVHAPRDVPGLLALLDAAAASSPVGVHALHLIEFAGRASALLLINASAPDSSSSAEARSQVETQYKHIAHAVAAYQEGNPGAVSSARTFAAVSPYASMHDDVAAAAENQNSALILLPFHKHRLVDGGMEPFHPAVQSLNASVQRFAPCTVAVLVDRGLVASSSSSSCCLRVAALFFGGRDDREAVALAARMARNPGVDLTVLRFVQKGGSFTASEFDSLKERKADDACLRDFLDRTKHSAGGAAVEYRERGVFNASEMVAQIRELEAEGNDLLLVGKVPGLPALTAGMAEWSECPELGPIGDLLASRDFQTTASVLVVQSYQRAGAGTTMSAELGLGAGDVLPAAARPPRRARF >Et_3A_023824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12921654:12925104:-1 gene:Et_3A_023824 transcript:Et_3A_023824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAATAEMAAASLCDDLEPATVRTRIRDVLAAGAARAGESVVVGGWVRTGREQGKGSFAFLELSDGSCAAALQVIVDSSVHPLARLTATGTSVLVEGVIKEPPQGTKQNVELKVSRVIEVGEVDAAAYPLPKGKVKIPLETLRDVVHLRSRTNTIGAVARLRHQLAYATHSFFNENGFLYIHTPIITTSDCEGAGEMFQVTTLFSQAEKTEKELKENPAPSESDVSAAKVLAQEKGNAVAQLKAAKASKQDIDAAVAELRKAKENVSRLEERSKLKPGIPHRDDGTIAFENDFFKRQAFLTVSGQLQVETYACALSSVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFANLQDDMNCAEKYVQYLCKWLLDHCMEDMEFMAKNYDKSAIERLKLVSSTPFVRISYTKAVELLKNVTNKKFENPVEWGIDLASEHERYLTEEIFKKPVIVYNYPKGIKAFYMRVNDDQKTVAAMDVLVPKVGELIGGSQREERLDVLKQRILDAGLPLEPYEWYLDLRRFGSVKHSGFGLGFERMILFATGMENIRDVIPFPRYPGRADL >Et_7A_050316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24537381:24537683:-1 gene:Et_7A_050316 transcript:Et_7A_050316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARNALVATGLLAFAGAGLAFPFLFVKSKNKPIIDSSKPLPPQATFRGPYVNTGSRDIGPDPTNYPKK >Et_5B_044937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7477890:7482348:-1 gene:Et_5B_044937 transcript:Et_5B_044937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCRSKWLLALCLIAIQLLAPTVFKGLIVSAKDNAPPALTPPLINQVDAMVEHVWLKCGLDTKSLEDVRKHFNYNHVLDILQTISGTDSKDTSPANEGANKALSPEIKQTLLSCLSKQPLVVSLQESAKNLPVDYIRTLIASLRRDVTQAPSDTTATSGTPSAALGKNSSPKPDKENTVPPTKKSAAKKEETNGMPTTAIVGLSVSGIALLALLCLWCCMCRGNRTSSYADDKPLLSLNLSDSSAASRKSAQGNPIDVNKLGVLSLKSEVGQNGNVKLSSSEGTNAEVGPAVYNNLADPMTASTCSVPVYNNLTEPMSASTGSVPGQIPMPPPVMPPPAPAPPPKAPPPPKAPPSTHQAPAQPSTPAPAIPPEPSPPPAPKSAPPPPPPKSAGPPRPPPPAMPGSSKTRPPPPMKKSGNKVDDISDSHEAKTKLKPFFWDKVTANPDQSMVWDHIKAGSFQFNEEMIESLFGYNAIDKKGDAKKDLTSKDACQFVRILDPKKAQNLAISLRALSVSPEEVCTAVKEGNELPPDLIQTLLRWTPTNDEELRLRLYTGELSQLGPAEQFLKAIIDIPHIFQRLDALLFMSNLPEEASNVKQSFATLEVACQELRNSRLFLKLLEAVLKTGNRMNVGTFRGGAQAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGVRAARAANEQNSSVSGVGANDIIDDNDEKTEDDYKQIGLKVVSCLGDELQNVRKAAILDADQLTMSVASLGHKLVKTKEFLNTGMKSLDENSGFHNKLKDFIEQSQTDVTFLLEEEKKIRSLVKSTVDYFHGSTGKDEGLRLFVIVRDFLAMLDKVCKEVKEASKVAPKKAQTKQPSQTPQDPKHQSFNDPRRNLFPAIQDRRADSSSSSSDEDD >Et_8A_056765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16496572:16498609:1 gene:Et_8A_056765 transcript:Et_8A_056765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKVVLAQPAAGARMLSPRPPPPMSSRAPAPVGGGGEEAAYRASLRYSGLLQDYQELVKETEAKKRRLYMIKLRKQRLWAEIKFLRKRHKSMSQNPSQTFVCKVRNPPMPPPVLGTSAWADDVEHRLVSAVGSSSKSQPVPRRQLGPPRPCPVIDLNEACEPSHEVETAEHYGYVEPLGISNTKRYPLEGDGAAGPSDLRIPAFWDIRNPAVRAEKRKISWQDQLALRTLKEVQIPK >Et_4B_037254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17241942:17247339:1 gene:Et_4B_037254 transcript:Et_4B_037254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETDPAPVMEEKAVANGGTAADVAAPDKKDMTTKDVAAPDKDVTTKDVAANSNDAVADKNDEEQNKVSENGTEGPNDGDVKMEEAESVKEGGGDAGAAELVDSGDVGKDADAKEENSAKPAEGEDVKMTEAESVKDGDADAAKQADSENLKKNADAKEDSSANPVEGEDAKIAEAGNLEVKDEGQTEDKDDKMETDKQDELKEQEKGGSGDQEENKGKEIEPAEKQQEEEAEDKGSAEKKEEDSGDKKANANEEKADKDGASSQGKDSEVAEEEVSEADKVVEENKEETPKNKKARSARDRSQGKDKKQDGTKSREAKSLLNTSSPYGTDRPQRERKTVERLVEAIEKEPNQNFVIEKGRGTPLKDIPSVAHRISRKKPADLKFLHSILFGRKGKAVDFKGHILQFSGFVWHGSDEKMRAKAKEKLDKCMKNVLLDLCWLFAIPVAKANLRKEDIVSKLLDFIAEPHSVADSGFSDDQGSNSRKRKRGGSSSKTPDSTTKTGPPRTISKSPIRKSSSKISKEQESPDDSAKVFSRKRKSASKGAPTEKETKEKKSSGKKVTKGKGASAEADLPSKDDLRKTIVGILKKVDFNTATFSDILKKLDNHYKMDLTSRKEAIKVMIQDELTKLSEEADEDEDEDGGQDAGKKQQQHQGKEVKA >Et_9B_064486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15670963:15672767:1 gene:Et_9B_064486 transcript:Et_9B_064486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFDVTIAGLYGLTGHHRGKSQSTVHELRDAEIIIQESRWASLPPELLRDVIRRLEASESTWPARKNVVSCAAVCRAWREMCRELVLTPEFSGKLTFPVSLKQPGPRDGMIQCFIKRDKSKSTYHLYLCLSSAVLAENGKFLLSAKRNRKTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFMIYDTQPPCNGAVVPHVGRSSRRFSSKKISPKVPTGSYNIAQVAYELNVLGTRGPRRMNCVMHSIPASAVEPGGIVPGQPEQIVPRALEESFRSMTSSKSSIMDRSMDFSSSRNFSSARFSDIAGGAFAGDEEGQNKERPLVLRNKAPRWHEQLQCWCLNFRGRVTIASVKNFQLIAASSQPPAGAPTPSQPAPPDQDKIILQFGKVAKDMFTMDYHYPLSAFQAFAICLSSFDTKLACE >Et_5B_044103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2062620:2063430:1 gene:Et_5B_044103 transcript:Et_5B_044103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEACKDACGGGRAAVEEGLVDPAKLYEDVPPMPLMALNHISRLCKSVDASVRFYVKALGFVLIHRPPALNFSGAWLFNYGVGIHLVQRDDARKAPDVNPGGKLDPMDNHISFQCEDMGVMEMRLMEMKIKYMKRTINEEEGSPIDQLFFKDPDGFMIEICNCENLELVPAGALGRLRLPRGRHNPPVRMDFSDDDDD >Et_1A_008159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4848107:4850687:-1 gene:Et_1A_008159 transcript:Et_1A_008159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPDLVLVSGSGVFAAWYLANSIQSTGRLGKVGPELPIMAKGWVFSALLVVFIAFAPPCEAFYLPGSYMHTYRQGEEIRAKVNSLTSIETELPFSYYSLPYCRPKDGIKKSAENLGELLMGDQIDNSPYRFRVNVNESLYLCTTNLLDEADVKLLKQRSRDLYQVNMILDNLPVRRFTEQNGVTIQWTGYPIGYTPEGTNDFYIINHLKFKVLVHKYEGGKVRVVGTGEGMEVISEADSDAKSGYEIVGFEVVPCSVKRDPEAMSKLTMYSKIDSVNCPLELEKSQLIREKERITFTYEVEFINSDIRWPSRWDAYLKMEGAKIHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKESQAQMNEELSGWKLVVGDVFREPTSSKLFCVMIGDGVQILGMAIVTILFAAFGFMSPASRGMLLTGMIVLYMLLGIVAGYAAVRLWRTVKGTSEGWRSVSWSTACFFPGIVFIVLTVLNFMLWARNSTGALPISLFFSLLSLWFCVSVPLTLLGGFFGTRAEPIEFPVRTNQIPREIPAKKYSWLFIFGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVVVCAEVSVVLTYMHLCAEDWRWWWKAFFASGTVSIYVFLYSINYLVFDLRSLSGPVSAMLYIGYSFIVSLAIMLATGTVGFLTSFSFVHYLFSSVKID >Et_3A_023075.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24781842:24782687:1 gene:Et_3A_023075 transcript:Et_3A_023075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVWSMDSPGMTTSSPFFPPMSLAGFPTAVHLGGTSMSTTDPAPTLAPAPILTFPRMVAPAPMSTPSPILGCRSPTALPVPPSVTWCRMETLSPTTAVSPMTTPVAWSRRTPFPMAAAGWMSTAKTSATRDWSASASARRLCAQSTCATRCACTARKPL >Et_4A_033659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25520677:25524871:1 gene:Et_4A_033659 transcript:Et_4A_033659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKRQRSASSSRLSAFSSPPSPPPPSSASSTTSLSFPNADIVLRLHLDSSSPDGADSDTDCHLTASSDPESFLDLHVCSASLLRSRYFAALLSDRWCLAPSSAAGGRLSLSVQASSSCPRPFHAHVEVLRLLHTLDFAGAIRSPGDALDLLPVALQLLFDACVDACVRFLEAVPWSEEEEARVLDLAPLLPADEAADLLARVSTASTAGEATRSPSEAMLHGLIHSAIHGHPVHATTKAFVAMLLKDYPSRDCVHKVLDEAFLSRLETVKELMGKYASPDFRVTADSDEREAMQRLNLQYAVLNVKHLYWLIERMVDLRVADNAVKLWSDQAPLATDLQKLLNDADMWRNMTPGLPMLVTRCTLKLANSVIAGETLVPRQVRLKLVKSWLPVLNVCRDIVQPMHFQKSSNCKELEEAFLQIISTLPVPEAQELLQQCLGFSTRSVDDCPHLVAAFQTWFRRAGRAPQVQGGEN >Et_2B_019829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14265149:14268728:-1 gene:Et_2B_019829 transcript:Et_2B_019829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CPEETTPRLPSSVLPPERRIHRNLDSPFVGGKMLTSLLLGRKGPSGFSWSSTADEVTTGVSAAGLTAIVTGASSGIGAETARTLALRGAHVVMAVRSLPAAQELRDAVLAQAPEAKLDVMELDLSSMASVRTFASEYISRGLPLNILINNAGVMAIPFALSKDGIEMQFATNHVGHFLLTHLLLDTMKKTSRESNVEGRIVNVSSEGHRFAYKEGIRFEKINDESVYSSIGAYGQSKLANILHANELSRRFKEEGVNITANSLHPGSIITNLLRHHSILDVIHRTFGKLVLKNAQQGAATTCYVALHPQVKGVSGKYFCDSNVYEPSEKAKDMGLAKRLWDFSIELIT >Et_3A_024741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23842942:23847589:1 gene:Et_3A_024741 transcript:Et_3A_024741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGAKKRAKLKKKQQQGHPDDGRNNGHNNGSGSDNNNNGTSGRRDPDHLRIPPKASHVDASEDSMESSEEMVTPRAAGSEADDDERKTAPEVPVQVVEEGSTAAGEEVMVDALPPETSGQGKDDAEVEVHSVVQEPEVKDEEPEVKDVVVDEAPAVQEPEVAEAPVVEVPEVKREVAKVHPVHEPEPKVDEVVAVEATPVPPVVPEPEEPEAKGGDVLIKDSTGVSRSREVDVHTTEVARGPAVAVAASGQRATWWNCCGLFDAFSGLEFESQEDRRRDQRDAALLKRPRRARETATASTLTFPLARLLHRATTRRPPHPNPVVVASTDDPDEAFAPPASGRAPSTPPPPDPVPRRAASTPCPSSPRACRACVSRPWGTTATDELEPAPNARTSGQGRTAPRRLGRIAKPASRHLNVLAEPAPRTMAAMAKPAQLSPCSR >Et_4B_038964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6453436:6454707:1 gene:Et_4B_038964 transcript:Et_4B_038964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPPSQEAVNPKAYPLADAQLTVTILELIQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKNSIQSLKDAIEKLLI >Et_10B_003903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7297031:7301682:-1 gene:Et_10B_003903 transcript:Et_10B_003903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAYLVASLPPIAAAAARFRVRRAMASSVSAAVAAPARVGVVQMTSVGDEAAASGVKFLCFPEVFSFIGSKDGESVKLAEPLDGPIMQRYCSLAKESSMWLSLGGFQEKGPDDSHQYNTHVLIDDSGTVRSSYRKIHLFDVDVPGNMVYKESRFTTAGDTVVAVDSPFGRLGLTVCYDLRFPELYQILRFKHQAQVLLVPSAFTKVTGEAHWEILLRARAIETQCYVIAAAQAGKHNEKRESYGDSIIIDPWGTVIARLPDRLSTGFAVADLDLTKVDAVRTRMPISEHRKFDSAWKSSSL >Et_9B_064431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15076378:15078716:-1 gene:Et_9B_064431 transcript:Et_9B_064431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRREVFRDYCQSMKEVSLAIMEVLGASLGVGRRYCRDFFADGCSIMRCNYYPPCPEPDRTLGTGPHCDPAALTLLLQDDDVDGLQVLVDGEWRPVRPKPGAIVVNIGDTFTVRVLDVRTPTLVPTLFLPRPSALSNGRYKSCLHRAVVHRERERRSLAFFLCPRGDRVVRPPPRLALAQPRRYPDFTWADLARFTQRHYRADDRTLDAFARWLPDDDGTSTSTNGGDPQ >Et_1A_009098.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2979548:2980273:1 gene:Et_1A_009098 transcript:Et_1A_009098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLERGGFMLPNAEQENSLFLRALISVVSGDTAVPALELPETKPVVAAAPAAACGRCGVDGCLGCEFFGAAAATTESSSDDSEEGESGNLVITGGVGKRGRKRRTKRVSKFRGVRRRPWGKWAAEIRDPHRAVRKWLGTFDTPEEAARAYDVAAVEFRGQRAKLNFPAAAAATASSSASASASVPPLQPAPESLRENCGSNAASPVHMVTVPAGQQGGPAAKEIWDGLNEIMRLDDGMP >Et_4B_036575.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:4351491:4351721:-1 gene:Et_4B_036575 transcript:Et_4B_036575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSAHAKAYLLMGGRFAGATATGTATASVLMAECGAWDRLLCQFGTGSLQAVSWCAGLCLASLSHSITVLALDC >Et_3A_025976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34347454:34349394:1 gene:Et_3A_025976 transcript:Et_3A_025976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGTCLTDTLDDLVSSGAVSPELAIQVLVQFDKSMTEALEAQVKSKVTLKGHLHTYRFCDNVWTFILTDATFKSDEISETLSKVKIVACDSKLLQPQP >Et_1B_014136.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:3275395:3276141:-1 gene:Et_1B_014136 transcript:Et_1B_014136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLASFTLRPATAASPSSAAAAPRSAAGASFARAARGLPSLRFALPRRRGDLARPRAAADAAAESYASALSEVASENGTLDKTVSDMEKLEKIFAEEAIAEFFDNPTVPREEKTQLIDEIAKSSKLEPHVVNFLNVVVDNFRAGLMPQIVAEFENSYNALTGTEVATVTSVVQLESQDLAQIAQHVQKMTGAKNVRIKTQINPELIAGFTVQYGRDGSSLIDMSVKKQIEEIASEFELPAVTLDV >Et_1A_007331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33422197:33431130:1 gene:Et_1A_007331 transcript:Et_1A_007331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMLGVADPDVPVPRFRFVPQQPQIILLKEGTDTSQGRAQVVSNINACTAVRDTVCTTLGPRGMDKLIPDDKGGATISNEGATIMRLLDIMHPAAKILVDITKSQDSEVGDGTTTVVLLAAEFLKEAKPYIEDGVPPTAIQRVKELVVSIEGKSLEEKKSLLAKCAATTLSLKLIGGEKELFASMVVDAVLAIGNDDRLNLIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKNFLNPKILLLNIELELNSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVKSGAKVVLSRLAIGDLATQYFADCGIFCAGRVTEEDLQRVAAATGGTVQTSVNNIVDEVLGSCEVFEEKQVGSDRFNIFSGCPSGQTASIVLRGGADQMEISKYLRQHARTIAGKSQFFVNSFAKALEVIPRQLCDNAGFGATDVLNKLRQKHASGSLATDEGANYGVDINTGGIADSFANFVWEPAVVKINARNAATEASCLILSVDETVKNPK >Et_3A_026441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8011077:8014416:1 gene:Et_3A_026441 transcript:Et_3A_026441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSQSQSPKQSPSPRAASGAGAEHARSASEPWLVATAAAASGGGEDSCVNDVENFARTVAAVKSKASASCARPDLLASVLSHYAAKWLPDVSSTSSSASGRFFHHQQQLPPPESPTATWLKRRLLLESLVAALPPDPAPSCAGADDGITCDFLLKLLRAGSMVGADAAMLRDLEARAARRLDQATLGAVMIPAFGGSAGAAAAALLLDVPLVLRLVRGFLKEGKSGGGGGGGAAAARVARLVDAYLAEAALEAGLRPNEFEELARAVPAHARPADDALYRAVDTYLKAHPNTSKEEKKSLCRLIDARKLTAEAAAHAVQNDRLPVRSVMQVLFSEHGKLNRLAELSASFSGPRSPNPALELPGRCPSKREVLAQHQEVRRLREDVARLQVQCNALQAQVDRLISDRRRRGGGGFFKWSTFWFGGGMGADVARVDDSESGMDRRTPPKGKKDSTTTATPNAKWRKSTS >Et_2A_017349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33425496:33429694:-1 gene:Et_2A_017349 transcript:Et_2A_017349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTKCLKLMSSAPFSITVRLAQLKIPFAIFIQYETEKIAMHHSKLLLLLLLLMTASSVKLANPGANKSVIVNAELGSMWKNNPSLLHNGYPDDNFSMRLILPHLHGTTTASYFDSVPSFACGFFCAGPAASCDAYIFSIFFVYAFSMDNVLYLQSPQVVWSANQDRPVRENATVQLTELGDLVLYDADGTMVWSTNTANKFVVGMNLTEYGNLVLLDHTNTEVRRSFDHPTDTLVIGQMLQVGQKLMARSSEANWAAGKVYLILLPDGMYAFAGIDTPLPYYRSPIYGSVRTNFSAYIALKNGRLEGFTSFRVTEAPDYHIRLPINYYGLEFMRLDWDGHLMLYQWENNSWVSSDVLDITDPCSYPLACGKYGVCSDGQCSCPDAVLGQPGLFELIDFRELNRGCFQTDSLSCGTSQNARFLSLLNTAHFNIIYNWTTNKEHCKLSCFNDCTCKAASFLHMDTSFGFCFLASNIFSVISVDARSCSNNFSSYAFVKVQEHKCGLSKERIAIILVVGSSIYIAPVVVLVLIVLRRKSMEPIEDGEIDYQLPGLPTRFSFGCLKSTTGDFSRKIGEGGSGSVFEGRIDDKQVAVKRLDGINQGEREFLAEVQTIGSIHQSHTSVGFCSEKSHRLLVYEYMPNGSLDKWILAKHQSAPLDWKTRLKIITDVARGLAYLHSDCRQTIARLDIKPQNILLDGAFNAKISDFGLAKLIDREQSSVMTRLRGTPGYLAPEWLTSIITEKVDVYSFGIVVMEILCGRKNLDYSQPEGSRHLVSMLQEKVKEDQVMDLIDPRSTGMELHLDEVLRVMWCLQVDSNQRPSMSMVVKTLEGAMDVETELDFDFVNIDLMVANRGARWNDATLQIESVLSGPR >Et_2A_018676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4958990:4959705:-1 gene:Et_2A_018676 transcript:Et_2A_018676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFNTNESGIAGFGRGPQSLPAQLRVGRFSHCFTSMLVDKSSSPVFLGTADDISAHATGPVRSTPLPRYGDGDDTFYYLVLKGSAFARKRDGSGGTVIDSGTGITTFPQAVYDQIAAAFVAQTRLPVVDASEVGGRLCFYYSNGTARAEEEEEKAAAARVPVPKLVFHLAGADMELPRENYRYQDEEEGMMCLMINGAEGDVTIIGNFQQQNMHVVYDLTNNKLFFAPAQCDKL >Et_5A_041023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16921374:16922965:-1 gene:Et_5A_041023 transcript:Et_5A_041023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSTHFLQVNQPLGQEISLLSASPRDQVLGSPVNDQNHTNLSSLWLSLEQQRLQLDQFLQVHNATLFSLVESTISDALMQKNGEIARLLMEMQQMQEILSTTEHQREAWRQLALEAYEINQSFVVLQPGMQGTNSHASSNELDSACSGNQELNMNRSAVETAQPNLKCKLCNADVASMLILPCQHLCACKSCGVQLLTCPICNTAKVDAMEFPINGGLHAHLYFTMAPRLSVPIHYLLDIEKAVARGKQEHQ >Et_7B_054275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1909555:1912927:-1 gene:Et_7B_054275 transcript:Et_7B_054275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSAAIARQTWELENNIPAAASDPDAMDAIYRYDESSQTRAQQEKPWDNDPHHFRRAKISALALLKMVVHARAGGTIEVMGLMQGKCEGDAIIVMDAFALPVEGTETRVNAQADAYEYMVEYSTINKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFTEPFLAVVIDPTRTVSAGKVEIGAFRTYPKDYKPPDEPVSEYQTIPLNKIEDFGVHCKQYYSLDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNRDYQAEGQLAHSRFGGMLMPSQRKKEQEESPLAKVTRDSSKITAEQVHGLMSQVIKDILFNSVHPSKASTPNSSEPEPMVEA >Et_3B_030331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32213871:32215980:-1 gene:Et_3B_030331 transcript:Et_3B_030331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRWTEEVDDLVDAGDVDGAISLLESVVSNLSTAASPSGADLRLATALGDLAGLHASRGNTLRADELRARAIVHRSRAAAPGALGDHGPAEKSPSQEGAVASKDSEITANLDGKNDDEDDDWEAIADRGAHDDTLVRSLEQEARIPSCSSSEKSSTPSSSRPKRRGRGSFLYDKSVLYSDQCGSERDFDDKVSSPQSGLMGPVNERENKAATAVAQFGTRHVLVLYDFSPSTRTTDLEKIFEKFGEHGVAIRWINDTSALAVFRSPSAANEAQACIPSKYKVRSLKDEDDVLTKIDGTDLEPPKPRPKTSARTAQRLIAHGMGLKQFSNFDSGELKKQEEARRNRITARQALRDEAWGSD >Et_8B_059896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3855816:3859234:-1 gene:Et_8B_059896 transcript:Et_8B_059896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKYINESSRTLEQQLSGFHVQTTSFSESTLQPGQLANFTSEADNVCVWNNMDASAMSQALSSASSKSARLLHTAERNCKGSLDKCLAGAEQKVCCFGTTVGGNQTESPANSGHNLLSSLGSNEMFSSVKSDNQETNFNGSLLLEMEPGLTDLLGVPHTIATPLLDKATLDCVKTDLIEEPYSGRSLQLDMLAGHTSESHSLKGPYLLVSSQLEHDLLDTVEQAEKLRKTRSYLDRSVNGSSNIFEQQISGCCMPRAPFSESSLQLAQLPDTNLEALETWGMQQMNMLEDNYGRFSHPHENMPDDGCGWCSHSHPSTFSRCSLARSAADLEKLLPKSTSDGDVRQSSIIPSGTIINQNDSSISTAIDDFVYAENEPPQMQCSVDKTHLKCAETVTDADAYVLSVPLFKSKEILQRKALLDPIKFQEEQSCPAQAKSSIGRCAYDSSFRNNMDKPAMVSSCIEYPNGNLRIVADDHHGKCETMQGCQRFSIPVLSKSPTIKERAFQALCESKKFMNLSSSLSIRYKMKLPDGVYQSLQSKFEKLMNRSPTYSVKFDPSYDPSSELDDAVFMSDAYSVQEDNDIHLTPSVEKYNMERLSAKIGSDTDFLGSTKELAFFKIDEDSSAAGETENLEKFSGHFNRNYSSQGLAGKKLHGHSTSLYQSKETSSLELKAPKGYTREPDHRVHARDSQAIKNPWEKRAVLIRKVGKVTGQLNSGLIKAETACSRSERYKSESELEKGCRPSNILTDVASFIPLVKQKEQHPTSYVKKDIRVRALKVAEAAKRREEKKKNEREKRKAALKLERERLKQEKDDGQKQMVNRKRQREDRKHEIEKRDARKRHQSSKNSW >Et_2B_019728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13092462:13095783:1 gene:Et_2B_019728 transcript:Et_2B_019728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVCGKRASSYFEDHHPGEQFPYAGASPPPSKRARFRSGGGGTNSPPRPRGGDPGLVAAIRARFPAVSLEFIEKALEECGNDLDSATKVVLNRYVESAECNVVPEYQSSVGMSTEGQTPTEGILNGNEVAAPVESVSYAESLPSSSAQWVDILVNEMLTASGTDDAKARASRVLEVFERSMTSRIGADVLQGFQKENSVYKEQFEALIKENTILKKAVAIQHERQKEHEERSQELQQLKQMVVQYQEQVRSLEVNNYALSMHLRQAQQGSSIHGHFNRDIF >Et_6A_046813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2099425:2102526:1 gene:Et_6A_046813 transcript:Et_6A_046813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATRPVPRRSDGRNQAERGSTQSDVEVNAYVAIGRVSQIAATQFIVAGAMEEMAYPVAMVNHTRLLRFPKASPYHTLSFRRMFCSTSIPCCQCETFNQETLAENRQSLRWTEDRGKYVFRKTQQVLENHSGTGVKTLRLNLSTCCKEDINTSLLDDWLRAFVKPGLAKLTVMLPDSCANDHEYNFPYSILSYDESGSNVTSSVQYLYLASCGFHPTQGPRLLGCSRSFSKVCLRKVGVTGEELGSFLSCCFALERLDLYNCDVITSLKIPRALRKLSMVLVQMCRALQTIESDAPNLSTIHYKGSRRAPLSRFSLGDSLETKDLDIDATNMVDMIQYAGINLPSIAPNLEKLVLSTFQEKLKAPPVMFDRFQSLKHLVICLGEEGGFCTGYDFSSLANFLDACPSLETSILRIADGFAWYKKYVIVSWFSDEDSSQPQQQQEIPELRHGGLSNLRKATITGFLIIRIISPPFLIVDQYFRCVQPVRLGQ >Et_10A_001614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6076850:6079114:-1 gene:Et_10A_001614 transcript:Et_10A_001614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAIRMVPESIYDPEFPDTSHFRSGRGCHSALRRIKEEWGTSRWFLEFDIRKCFHTIDRHRFISILKEEIDDSKFFYPTQKQFSAGRLVGGEKGPDSVPNSVLLSALLGNIYLHKLDQEIGRIRQKHEIPLVVKIRSVLLRIGRRIDDQEKYGKEASFNAPQDNRALIVGRVKSIQRKATFHSLVSSWHTPPTSTPRRRGDQKTPFVFPPSAALAAFLNKPSSLLCAAFLIEAAGLTPKAEFNGREGFNKNLAMRDLLKYCKRRGLLIELGGEAILVIRSERGLARKLAPFKSNSLLIRICYARYADDLLLGIVGAVFLLIEIQKRITHFLQSGLNLWVGSAGSTTIAARSTVEFPGTVIREVPPRTTPIQFLRELEKRLRVKHRIHITACHLRSAIHSKFRDLGYSIPIKELTKGMSGRGRLLDAVQLAETLGKDGLKSPQVSVLWGTVKHIRQRSRGISLLHSSGQSKVPSGVQQAVSRSGMSVLKKKLYTPFGRKAAGEGRGHWAGSFSSEFPIQIEAPIKKILRRLRDRGLISRRRPRPIHVASLTNVSDRDIVNWSAGIAISPLSYYRCCDNLYQVRTIVNYQIRWSAIFTLAHKHKSSARNIIPKYPKDSNIVNQEGGKTLAEFPKSIELGKLGLGQDPNNGGALNYMFNNLVVGPPCLFSLSNPEAFFLHSFCAYALEKGLLSGPEDRFASGD >Et_5B_044384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23626831:23630150:1 gene:Et_5B_044384 transcript:Et_5B_044384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATTTASSSSSSAVATPCSTLPCLHRHGRGSKAAAYVVAACLAIVTFLVVAALDPETQASWFLSSSSSSSPLSSSSSSLRHSGGVAGELLLATSSSYSNGGGRNITGKDVHEEVPRGDDGFPSLPTVNSSFDHGAPELSVITAAAAQAPAPAPGPEQAKESEDDAVQIIPQVQRRRDVKLERLELGLAKARSAIMEAIQNRGNQPPLVDKDYVPVGPIYRNAYAFHRSYLEMEKLFKVYVYEEGEPPVFHDGPCRSIYSTEGRFIYNMEMDTRMRTRNPDLAHVFFLPFSVVKMVKMIYEPNSHDMNPIKRTISDYIGVLSSKYPYWNRSLGADHFMLSCHDWGPYVSSANGHLFSNSIRVLCNANKSEGFNPSKDVSLPEINLRTDAVDRQVGGPSASHRPILAFFAGGNHGPVRPVLLEHWKNRTEEHPDVQVSEYLPRGVSYTEQMRRSRFCLCPGGYEVASPRLAEAIYLECVPVVVDDGDYALPFADVLNWDAFSIRLRVADVPRLKEVLAAVSPRQYIRMQRRVRAVRRHFMVHGGPPRRYDAFHMILHSVWLRRLNVRITAPQQ >Et_2B_021955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7279048:7293403:1 gene:Et_2B_021955 transcript:Et_2B_021955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQRHFAHLLLVQCNPATTRLEEEVDENVINAEQDGVGGQIVREEQYVGAEPSHVAANPVVHRTTKDGLQINVGTLEADSGEVNEAIVDEMYRDDDAFRTFVDGMASPSAQFASPDYSLHSASGSLMHGASYGQSDVQGAPPPQPTQQFPSTPHERQCVQKNGVTAVATLRFTSLKKFALKDARAKSLGVYAHANLICIVNVTTNKSCRLLDPVTGDQYPVPDGLAEEHAAQKHDISPSQTFPSVWARLLNSGTQADTIASSHGADTGNLVGWLCPCLSPWCCLCFYARCLFGISFLGTPGLGVKGARYLFDGMPQSRKGAAAKIGENCICVYLSLTTQYTWFFTKALHELWGWPSQLAVEGRTHPNHIYVKLQKSFQILRKEIPKDERMAETVLSMAISVLSSVMSKATLAAGDEMSLLLGVRKEICLDPLPLFIKDELRMIHAFLMAAETVEKKDVILKAWVQQVRDLSYDIEDCLDEFVVHVGSKGPLKQLMKLKDRRRIAGQIQNLKSRVQEVSNRNTRYNLIRNELPGTSGDMDSLLEDIRNLSGMNIDEADLVGFATPKKKLLRLIDVQAKNGQARVICVVGMGGLGKTTLVRKTYETKEDIAKNFPCRAWITVSQSFDQFELLKKIISAVFGEDKLKMLEGKGIQEHASYLTEQLKEMRYLIVLDDLWTIDAWTWISHTAFPSNNTKGSRVIITTRNVGLAKQCTSESLIYHLEPLKIDDAIELLLRKTNTEHKDMQKHQDITNGENLWETVTRIVKKCGCLPLAILTIGGILASKHITEWENFYKELPSELESNPNLQALRKMVTLSYNHLPSHLKPCFLYLSIFPEDSEIQRRRLVDRWISEGLVRPMTEKTIDNVGKSYFNELVNRSMILPSSLSMTGNVKSFQVHDIVRDIIVSISREENFGYLNNGDGSTTADGNFRHVAYHGNKSPQLSTHWSHIRSLTFFDEAPMDLSHLVRSPQLRMLRVLDLEGIYLAITQNHMNNIMLLCHLKYLNVSTYYTPQIYSIPRSIGKMQGLEIFEVGFSYITSLPAEVTKLQSLRRFSCGSRWPSGFDFDGDIPKYCMPAMLCDAMRSACSGVRSNYAYIIPKLHFACSSCGAGGVRVPRGISTLKELQVIDSVDIRRTSCKAIKELGELTQLRKLEVATEGAAKKKRSVLKASIQKLTSLRSLSVNSCVHKDSGGLQWLVSSSSSSPPPSLRSLMLTGYIGEMTDWFKNLTKLEKIHLRFSQLKEEKSMDILGALPKLMQLQLFSRSYVGETLVLRNRRFPKLRKLEIEELGQPKEWKVKEGVFLHFKESIGLLNEIRFEEGASPQLESIYIGSCSFASGIIGIQHLPRLKEIQLYECEVASLDILQEEVNAHPNRPALNVHRNPVSDQLEVEGSAVQVEAASTSRPDQAGQISPVITLPASDRSVPFHGCSSLFALPQVKLAIVFFVSSEMQAVFS >Et_8A_056958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19504765:19507722:1 gene:Et_8A_056958 transcript:Et_8A_056958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NQKTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLTEFCTPETCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEGQLDDESIFPQKLGTPFPQNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTNEFGLIDKKELAPLQELIESIITPY >Et_3B_029795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2787473:2792779:-1 gene:Et_3B_029795 transcript:Et_3B_029795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KHLASMASRGSKDDLNKSPVAQRLPMSRPARFGTKGQKVCLLTNHFKVSVHSTDVVFHHSHVNLKYEDDHPAEQKGVLGRKVIDKLREIYASDLANMSFAYDGEKNLFTIGPLQHVRDAFTVVLEDASFSKRWKSGGSDIKRMKRVVKMKTFKVELSLAGKVPMSAITKVLSGQQSDNYQDALSVLDIILRQNSAKQGCLLVRQSFFHNYKIDKNIGGGLLPCEGYHSIFQPTQSGLSLNVDLSTITIVQSGPVINFLLLNQNIRDINRIDWGKAKRTLKNLRIKTTHTHREYKIVGLSEKSCYEQTFALKQRNGNCSDAVEVTTVYNYFMRHRHIELEDSAHLPCLNVGKPNRPEYLPMELCHLVSLQRYTKALTVQQRSSLVAQSRKYPRKWKEDCCVAANNSNYNSDDMLKCAILIDPEFSQVVGRVLKAPNLQAGDGQYLIPRDGKWNFNNKKMYKATQVERWAVVNFSTQKVQYLVERLISCGDIKGIQIEPPYIIFEERFEIRQPSVMPEKRVDDVLKKLLDKLPGLPNFLLCVLPIKNCDLYGPWKRKCLAELGIVSQCLVPRKLNDQYLTNVLLKINAKLGGLNSVLQIERNHLIPLVSRHPTIIFGMDVSHGPPRSNVPSIAAVVSSLEWPFVSKYRASVCTQPPRQEMIDSLFKPQGKDDNGFIRDGVSEGQFNQVLDKELAKIVEKNHHTRFFVPKGDDTFNVAPGTVVDSGICHPVNYDFYMCAHAGRIGTTRPTHYHVLYDEIGFSADDLQELVHSLSYVYQRSTSAISVVAPVYYAHLAAAQARQFVRFDDMSSDTASSASGGTAPVPELPRLHENVRSSMFFC >Et_3A_025495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30198707:30203358:-1 gene:Et_3A_025495 transcript:Et_3A_025495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPPAQPDAAAAAAPSTTSDSAPSPAPPANPPTSAAATVAPPTSDSTTTTATPTPSAGTTPNPAQTLDAPAPTPAVVRPPAPRMRPPYTHLASPITMSSASSPATSGASVSSASVPASSPAASAAPRGGVVLGVPAPRPAQTPVGYTGFVPPLPLSHQFGSAHRGPDQPPTSSAQFRQPSPGIQNIGMVGSLSATQMRPAAISGPQQPRLGLPPSTTPVSSVSQMPGSQIDPTEKLDPEVEDVLIDIAEDFVESVATFACSLAKHRKSDTLEAKDVLLHAEKSWNITLPGFSGDEIKLYKKQHVNDIHRERLYLQRNFTRYLRLCEVKRTLPING >Et_5A_041332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21222476:21226817:-1 gene:Et_5A_041332 transcript:Et_5A_041332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPDGGGGDRVDSFRAKFGCGRVDGREGVTYIRVKAGCRRPKIQTEIKKKTGIRIVTEIEEETETTIGTGILTEIEEETETAISTDIMKEMKEETGITIGTGVMTEMKEETGITIGTGIRSPVSGITMKERSTETILMIMTIIEAAILKGAEITEEVGTGGIVLTHVLIQGAGIGDLDLVLVLKDKETAKGIGIRGIAQGLVQGTGIIDLDLVLIQKVWEEANKLENIHSPRRSPIRSRKMKLLILDLNGLLAAINRDQHNTQVPYAKFRGQFVFKRPYCDDFLRFCFHNFHIGIWSSRLRENVESVVNILMKDLKQHLLFCWDLSKCTATGFNTLENKRKPLVLKELKKLWNKEEPDLPWKKGWFSPSNTLLVDDSPYKALRNPPYTAIFPFPYSYKNEKDDSLGPGGDLRLYLENLASASDVQHYVKEHPFGQPPITQEDPHWDFYVQMLEGRT >Et_10A_001036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20409236:20413367:1 gene:Et_10A_001036 transcript:Et_10A_001036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFLRRLAGVLLKCCDLDIPQRPKGLEDPERLARETVFNVNEIEALYELFKKISSAVVDDGLINKEEFQLALFKTNRKDSMFADRVFDLFDTKHNGILEFEEFARALSVFHPNAPLDDKIDFAFKLYDLKQQGFIEKQEVKQMVVATLAESGMNLSDDIIEGIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLPYLRDITTTFPSFVFNSQLHVGMEDLVFGPPFVLTAALKR >Et_2B_020202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17913327:17917743:-1 gene:Et_2B_020202 transcript:Et_2B_020202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRLAVAHRAPLPILAPSHLRRCHVQHLSAPFSLPRTSLSLSSPHRHLSPTPRRHLLPPVLASQTPTEEPESDPPATGAKLVPLVISLAVGLAVRFLAPRPADVSPQAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTAAVATHTLPFTAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGSSTLGLSYGLTISEACIAPAMPSTTARAGGVFLPIIKSLSLSAESKPNDKSSRKLGSYLVMTQFQAAGNSSALFLTAAAQNLLCLKLAEELGVIIANPWVAWFKAASLPAIVSLLATPYLLYKIFPPETKDTPDAPALAAEKLKRMGPVTKNEWIMIGTMVLAVSLWVFGDAIGVSSVVAAMLGLSILLLLGVLDWDDCLNEKSAWDTLAWFAVLVGMAGQLTNFGIVSWMSSCVAKLLASFSLSWPAAFCVLEASYFLIHYLFASQTGHVGALYSAFLAMHIAAGVPRVLSALALAFNTNLFGALTHYSSGQAAVYFGAGYVELPDVFRLGFITALINTLIWGVVGTIWWKFLGLY >Et_5A_040559.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:9629959:9630165:1 gene:Et_5A_040559 transcript:Et_5A_040559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARGWSWSKKSVESRRIMVRLRLSWAGASGWICLTLQEQRYSASSSSSHEIRPLVSCTKTRVGPSKA >Et_7A_050323.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:25571551:25572288:1 gene:Et_7A_050323 transcript:Et_7A_050323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTQREAGGEKKRKKKWSSIDRSGQVVRERVGDRESVEEQAGDDERGEREGGADGERDAAGDDAEFELDGVHHGQQQEEGAHDGAAGGEEHHRLRVPQHGAAALPWRGGAPRWHLLAVVRRRHGLVLVAVAVEVVGGEERYGELHALRHQARQQVEAEGDDLEEEEVARDVVAGHAVARDGGRRRDGARDADEHGHREEGVGRHDALQRLHRNVRVATPHRRHYVLEIPSNTTACVWPTGTLHW >Et_1A_007700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37368595:37369664:-1 gene:Et_1A_007700 transcript:Et_1A_007700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATSTGVATSSTSVAQTAHVLSQGQGYQGIKRLLAAVRDVQEACVHNGYLLPKLYAKVHHCVSCAIHAHIVGVCSRENRRNHEPPPSTSGAGRCIDCVYAAMDASMKA >Et_10A_000312.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22429364:22429504:1 gene:Et_10A_000312 transcript:Et_10A_000312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCAPNQRRYNASCLGLWTAGYFSDSRGGANHYVTIIRFSAFVSL >Et_5A_040607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10225503:10232625:1 gene:Et_5A_040607 transcript:Et_5A_040607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSASMAAAIEARFSNRDLIGRGSFGDVYKGFDKELGKEVAIKVIDLEEAEDDIEDIQKEISVLSQCRCPYITDYYGSYLHQTKLWIVMEYMAGGSVADLLQAGPPLDEMSIACILRDLLHAVEYLHSEGKIHRDIKAANILLTESGDVKVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFMIPRENPPQLDEHFSKPMKEFVSLCLRKNPAERPSAKELLKHRFIKNARKTPKLLERIRERPKFAVKNTMDATQNGRTNIEEDDVGTGTIKVDRAKDTSRTLSQGTVRKAAGRDFPDRSEGTGTVRVGSRPPQISSTKDGRFDAPHSPNTPTRTADRENQWRTSWTGSDESLASSLSREAQSLGSSLSREGHTERGRHESTEDIDQSVSGSGTVVLRSPRASQLQSAATNHSSKPPSRFSLYEDMSSSGTVVRSQSEEPETPRSSRSRLGIQEKASSASLEDSAINLAEAKAALQAGFRKGNARERPAINRHEKESHEHRVSGVKSQEVQSENVDMQKSRRTHQLPDGQSASSSVASPAISSLIIPCLKEATGDKFERPVVHAFLDSLMDLEHELPGSCEVLIGRLLHRLGSSKDSSLQGLQETAASVFTKNSETPLEPASNKKSANTPPLAAPTVSPLARFLLTRWQNQVSQDLNSV >Et_4B_037367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1965833:1966470:-1 gene:Et_4B_037367 transcript:Et_4B_037367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAGKRPRKAYTITRPRQKWTANEHERFLHALQLFGRDWKEGRAVGRHKTATQIRSHAQKHFLRSQKLGLAATATRGMAPMSGRRTGWGLTVGGLLLPDEATHLPLSPGDPRFAHVYRFIGDVFGAGEPLLDEVQLQRLQRMDPVASETIVLVLMDLEVNLFAWDMRVVQFLVADMHSEVNLFA >Et_5B_043081.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:21973545:21976867:-1 gene:Et_5B_043081 transcript:Et_5B_043081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQTPTPPPRASWRAPTFEANPAADARQLLATLLPPRPTLRHVLQAHARLAVLGLATARALPHLLAALPRLQPGADASYSYTLALFHHSRSASAYASNHLLRVLPHPLPLSIFPRLPHRNPHSFTFLIASLSNHLDADPAAGGFRFLGSHVHALAVKAGAADDLYVRNALVHFYGVCGDVAAMRRVFDELPRVRDVVTWNAVLAGYVRSGMVADARQVFDGMPARDQVSWSTLVGGYVKEGELEVALGVFRNMVAQGVKANEAAVVTALSAAAQLGLLEQGKFVHEVVQKARVLVSVNVGAALVDMYSKCGNVATAREVFDAMPRRDVFAWNSMICGLAVHGLGKDAVDLFERFVNEGFCPTNVTFVGVLNACSRSGFVDEGRRYFRLMAEKYGLEPEMEHYGCMVDLLGRAGLVQDAIELIEGMRIKPDPVLWGTILSACKRHGLVDLGVTVGNKLIELEPAHDGHYVLLASIYATAKKWDEVRKVRKLMSSRGTSKSAGWSLMEAHGIVHKFLVGDMDHKDSVQIYNMLGRIDRRLAEAGYVPDVSSVLHDIGDEEKVHAIKVHSERLAIAYGFIVVEAGSPIRIVKNLSVCGDCHEFSKMVTKVFGREIIVRDGSRFHHMKEGKCSCLDYW >Et_5A_041511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23850365:23871218:-1 gene:Et_5A_041511 transcript:Et_5A_041511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSSNSIYDENPDFRNSEATKFVNKVNWVLRHHNGSGITNFKVQFPLNSTHASDLDRWVAFAIASCAKSLKLWLVADNALAPIQHAELYNFPLHYFADARSCKLNLLHLAECSLEAAPASANLSGFSYLRHLKLFGVSVVDSVILSIMSSCHALCHLILLRCRQLINVRISNSQLAVLEVIFCKSLISCSIHAKKLRCFLYKGHKIDIGYECAPNLSKLHVLFSKNHECPLDLMGHLPKLRTLALQFPSCLQVRGNQQSPKELNIGWPKNCNFRRLRTVRIGGFSGESELLGLLFFLLGSSRALKTLVIDTHRSYNRGFYIWKREESEDSERCSHARAVACAQLAPKVPSTVKLNNHDRISALPDDILVKILSLMSVREAAVTDCLSKRWRHLWANVDHLILDMHTFGMQFPANSNLGENPDFWMSEAKKFVQNVNELLHRRNDNGVKKMGIQFPLSSAHASELDRWVAFAAASHAENLFLCLYNKVTQKVTEPYVFPLKYFADVRDCQLRRLSLQRCILESAAPNHSGFSHLDYLSLSCVGMLMYLRISHARLVTVEIHKCRRLRSISFHTEKLERFSYMGRNIDVEYEYAPVILDLGVYFVYGYEFSSVCLGAFPKLEILRLQFPSRLQISRVLQHNGIYAGLMEILLCILTSWKKSIRFVACLLKAAPLVETLQLEVHGNLRPPNELKIRWPKSFTPTRLGTIKVGGFSGESELMQLLLFLLNMSPMLETLRIDTHRWNCVGFEKWKREDFEDAKRCNYAREVARTHLAPKNHDRISTLPNDILIKILSLVTVREAAATDCLSNRWRHLWKNTDKLILAVHTMGIQVPENHLWSSEDTLFVNKIVGSNSQLHLVQRNSTLTHRDDNGASSERVEQIPTRHVSVGGYQLKELYLCKCGLETAPANLSGFSYLESLSLVCVSVIDSEFMNVMCWCHVLRNLYLIKCHQLINVRTSHAQLVALEVSICKSMVNISINAAKLHIFSYMGHKVDVNYKYAPALCKLQVHFVKKNECPLECIGSLPKLRVLVLQFPARLQVSRALQHSERFAGLKEIVLCLFSSWGKSIRSVAYLLKAAPVYGNMGRPQKLKIRWPENFTSERLSSIRIGGFSGESGLTLLLLFLLKWSPALKTLLIDTHPHYYRGLNYYWDKKKPKDPTRSYYARGVALKQVAPKVPSTVKFSIM >Et_5B_045470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2527029:2530805:1 gene:Et_5B_045470 transcript:Et_5B_045470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQEFRRMLDLFPVVRSRDYCVIHLLLLQSLPSTFPELGGASCDHELMQAILVKSRLILEHQAEAPHTKHDLRLERQRQSFFAKHLKKLMINLYVYRELSLDAAEKFLNAKKFQ >Et_9B_065510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:630560:634919:1 gene:Et_9B_065510 transcript:Et_9B_065510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLLLATREAAHEDDSSLQRASGTRGHLLHQACGRRLWQSEVTEVSSSTELSCTCTTVLGVEYVADGQSMMSSQPAIDRTELQEMAMGPACQKTIRPGKQTFEQMGPTVEINNHQCAVWGDGRAAAIQAPAGPPWPRVKKKRSIQAWSPSSSTRRRRRKKEEREKKLLARREEARKAVEDRIRDYDPKQRGEYFTRYCFGDLSKFDLDKESRIRPMRYTNRVCKPGESPYELCEALNFLSVKIVSSDVSFPIDVYGTVIVRDSIDYKCVYLFRRDRYHCQRLNSEDQSLTLTGPKRGLALIEDAYVEIYLKIKGQGEQQDKELSKGFIVIPGIAHRILQTCEVESESLATRLSAVEVTYGVVKDAVEATFAIEVLQGDYYGEITAWTSSIKNTLLLHDSEVTGARASVCIQLSRPVVAVYVKEKLFVKIVDQPRHGKIKHKTVVFTPRVNGGDDREVFVGATKLLVKVTWSITDL >Et_9A_062502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23570148:23571516:-1 gene:Et_9A_062502 transcript:Et_9A_062502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKQFAKPAQGHEDPAVLASETSFTVNEVEALYELYKKMSFSIVKDGLIHKEEFQLALFRNSKQANLFADRVFDLFDLKRNGVIDFGEFVRSLRVFHPRTPASEKTAFAFRLYDLRGTGYIEKEELREMVLALLDESELCLSDSTVEAIVDNTFSQADSNGDGKIDPREWEEFVKKNPSALRNMSLPYLQDITMAFPSFVMRSEAND >Et_5B_044833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6282589:6287191:-1 gene:Et_5B_044833 transcript:Et_5B_044833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPAAAAAADHESFAATMPADLLAAADCGGVHGHSLFFDALVQLIPPRFYLQTDDEDRPWYQGLSKAAKAAMKAQSRANLKAARRARLDPSAPPSSTLDLLKKSVADQAAEDEEEEDEKKSEGESEKSGDEASSNDEEDDEIEEDEEGEDEEGMQVVPSAAVSQDRSVTYEELRERLHRRIAELRGNRCTRPEFLNKPKKEKGKKPKGKNAKNGKDEGKKRKRADGAEDDGKGGKKSKQAEEKPDIMYANVFVDPKDARRRKKRRIKNKKKALEEAKRMQEAKKDPEKANKIAWDTARRRAAGEKVHDDPKLIKESLKKDQKRQQKHAAQWKDRQRTVDKQRQEKQKTRTENIRERAHQKKMRKIEKREKKLMRPGFEGRKDEYYSTQMYQEGAMFSVFSFGVVLLEIAKPYIREYKIEEIVDPGIKRPYCSEAMRVLEVALVFNMYRALLNLSTKHGRCSRAAVYHLAWRITHAPFIAIHERISEMRSTSFAIRRKWQCNIS >Et_4A_033887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27729669:27735032:1 gene:Et_4A_033887 transcript:Et_4A_033887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSELVERLREVLRSSDLNTTTTAALRRRLEEDFGTDLSDKKAFIREQVDLFLTEVAAKAGPEEPKEEEAEEAAVPKEEEPEQEEETEEGEGEEEGEGEEEEEEDEEDEDGDSGGARKKQRSDKSNNNGKKRGGGFTKLCSLSPVLQDFVGTSELARTEVVKKLWAYIRENNLQDPSNKRKILCDERLKKIFNVNSIDMFQMNKALTKHIWPLNSDGPVISDRSTPKEKPQKRDRNEGKKQKGGSSGSGSGFRMPLQLSDDLVKFIGTGESMLSRADVVKRMWDYIKENDLQDPSDRRKVICDEKLKDLFQVESFTGFTGSGSDCTVSSDDLSAYGAVKAT >Et_7A_052599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9752790:9754601:1 gene:Et_7A_052599 transcript:Et_7A_052599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLTAAIFVAAAVVVSPAGRRPGRGPATVRCSSSASSERQALFSRIAPVYDHLNDVLSLGQHRTWKRICVSWSRAKRGDRVLDLCCGSGDLAFLLSQKVGLDGEVMAVDFSRQQLYTAAERQEQRWKLCYKNIKWIEGDALDLPFTDCYFDAVTVGYGLRNVVDKPKAMREIFRVLKPGSRASVLDFNKSSSMFTTSVQNWMIDNVVVPLASGYGLTEEYKYLKSSISLYLTGEELEKLSTEAGFSAAKHYELGGGLMGNLVATR >Et_10B_002754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10563092:10564031:-1 gene:Et_10B_002754 transcript:Et_10B_002754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKSSLLLPSPISDFSGAAVSISTQKRRWSWQPRGARMQVRAAADSKNILVMGGTRFIGVFSKDSARWFQKSYAVEFACEDDFVEINGTEKGP >Et_3A_025723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32143748:32147569:-1 gene:Et_3A_025723 transcript:Et_3A_025723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYDLRFADPSSYHDRRSDLAVAPMLPVPVAAANSYSAPYQPAPAAPTGGDYSRFGQGGRGRGGGGWSGRGGGGGYGGGGYGGGRGGRGRDGLDTLSLPKPDFHSLIPFEKNFYVESPSVQAMSETEVSQYRRLKDITVEGHDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDLIGIAQTGSGKTLSYLLPGLVHVGAQPPLGPGDGPVVLILAPTRELAVQIQEESTKFGSYSRTRSTCVYGGAPKGPQIRDLRRGVEIIIATPGRLIDMLEAGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIIAQIRPDRQTLYWSATWPREVEALARQFLQNPYKVIIGSPDLKANHSIQQIVEVISDHEKYPRLSKLLNDLMDGSRILIFFQTKKDCDKITRQLRMDGWPALSIHGDKAQSERDYVLAEFKSGKSPIMAATDVAARGLDVKDIKCVINYDFPTTIEDYIHRIGRTGRAGATGTAFTFFTHANAKFSRNLVKILREAGQVVNPALESMSKSANSMGGQGAVVVGLVTGDKNLDQIPFNMGEEEGHIDDCRSREQWDY >Et_10A_000777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17059126:17065473:-1 gene:Et_10A_000777 transcript:Et_10A_000777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLLLLLVLALPPPSAVVALTEEGLALLAFKEAATDDPYQALSRWSESDADPCRWPGVTCSNATTASSSSPRVVALAVAGKNISGYIPSELGSLLFLRRLNLHGNRLAGSIPTSLSNASSLHSIYLYNNRLTGPLPAAALCDLPRLQILDVSRNALSGPIPLDLRNCRSMQRLVLAGNAFSGEVPAGVWPEMGTSLQQLDLSSNALNGSIPPDLGELPRLAGTLNLSHNRFEGPVPPELGRLPATVALDLRFNNLSGAIPQAGSLASQGPTAFLNNPGLCGYPLQVPCRAVPPPTTSPAPPGTATTTPFASTGVASHDHQPIKTSLIALISVADAAGVALVGIVIVYVYWKVKDRRNDGRQRRRRGAAGKDVDDDGDSSSKDAGLCLCPLWRRGGSDDSSDASTDDEEAGSKYNSGGTGEDGELVAIDKGFRVELDELLRSSAYVLGKGGKGIVYKVVVANGTTPVAVRRLGGGGGGADRCKEFAAEARAVGRVRHPNVVRLRAYYWSADEKLVVTDFVGNGNLAAALRGRPGQAPLSWSARMKIARGAARGLAYLHECSPRRFVHGEVKPSNILLDADFTPRVADFGLARLLAVAGCAPDGPQSSGGGIPYAKPPVPAAPGTTRHVAGGGYSAPEARAPGAKPAQKWDVFSFGVVLLELLTGRGPGDHASPSTSASFSTVAPVSGSTLAASDRSGSGEHGMVPEVVRWVRRGFEEDARPVAEMVDPALLRGPPSAPPPPLPKKEVVAAFHLALACTEVDPEMRPRMKAVADSLDKIGS >Et_2B_019725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13022863:13024952:-1 gene:Et_2B_019725 transcript:Et_2B_019725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGQGRRRKGDRRIDAAIDHFKGKGYDVRDIRSAVAALLKVYGSCPASWPFLEEHSYLAVQEKLFEMEREEKQKQLLLEHHQGDQMEEQHQSDVYVCCLPPCSSKKRTLQYYRKIICRSIVHDMAPTVILSQLAAIELTTSVPIFHHALSLYSTQEAPVRHPPHGWISNESDSESELEDGEIQPDKPKLRDQEAAAAAGNEALPENDRQQQEAAAGNEALPENDVQVSPENDVQVSQEDDMQVSPENNMHVSGVQNKAPTNISRSALEKQAYHNGSAFLEPVLPLLPAAQAAPAKPVRPPCHGWISEESESESELEDGEILPDIPSTSETLPPRRRGPSRWD >Et_4B_039075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7506623:7507451:-1 gene:Et_4B_039075 transcript:Et_4B_039075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDRSDARLSPEAEAAREAEVREYFDGAAPKRHSKPSRSEHSAVYADALVPDGNSHPELDRFQELEAHTEKLVYEGDGKVGEEFVETEYYKDLGCVGRQHHTVRHWLAPLSLPAASSAAVLLTCGDGLREQTGTGFIKMDKSHGASFELHENRDGTERHASCKGNPATNEWIPSADTVYPASDKPSRSDS >Et_4B_038353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28397083:28399334:1 gene:Et_4B_038353 transcript:Et_4B_038353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDGGPDWNGLLKWSLAHGDGTNPPRALSEEDRKWFMEAMQENTLDVVKRMKEITQVMKTPEDVLQSQGITPENIEDMLDELQEHVESIDMANDLHSIGGLDPLLGYLKNSHAGIRAKAAEVVSTIVQNNPKSQQLVMESNGLEPLLTNFTSDPNTNARTKALGAISSLIRHNQPGISAFRLGNGYAGLKEALGSDDARLQRKALNLIQYLLHNNKTDRSVATDLGLPKLMMHLASSDDSLVREAALGGLLELAQDKTSGAGNALPDQDKLKEILRSRIEGISTMDADDLHAAREERQLVDSLWKECYNEPSSLREKGLVVLPGEDAPQPPPPDVAGKMFEPPLRAWAAARPASKEDSDSDGKKKDPPLLLGPGPSSNANSGS >Et_1B_010502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11031735:11035356:-1 gene:Et_1B_010502 transcript:Et_1B_010502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEPYGAGTLEHGLELAVEVQRHDTVGGADELAADEDGRDRRRAAEAAGELTLHVAASGVLVELVHGRTHAEVGEEARHRVAHRALARREDHHRLLRRQLRQPLSHGRFLEKYGTLPKLGKIPHVLDKFSDISRYKK >Et_6B_048509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1044618:1045377:-1 gene:Et_6B_048509 transcript:Et_6B_048509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKGLWSPDEDERLYSHITNYGVGTWSSVAELASLTILSGLKRSGKSCRLRWMNYLQPDLRKEPISKKEEDLIVSLQKVLGNRWSVIAARMPGRTDNDIKNYWSSRIKKKLRRMGANRDQSPETSQTAEKSAYCNTEDGNLHVTKSHNNSANEKPHRHFPIFTCQLLTDERNCDQAAHYSSLSKNNEMDLLVEDYVDFLMSLPDDLHADI >Et_1A_008821.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14815549:14815992:-1 gene:Et_1A_008821 transcript:Et_1A_008821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANKIAVLAALVLLLQASSCAVARRHEHPVPHPGGGGGTPAVMTVNGFEKGEDGGGPSECDGKYHSNKDLITALSTRWYANGKRCFKKIRITSVKNGRSVEATVVDECESNQGCKDNIVDTSPAVWDALGLDSNIGEVPVTWTDA >Et_4B_036487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26658487:26659219:-1 gene:Et_4B_036487 transcript:Et_4B_036487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFGQRCRIALTEKSLPYEYLEQDLGNKSELLLRSNPVHKKIPVLLHDGRPVCESLIILHYLDEAFPGAGPSLLPSDPYARAQARFWADYVDKKVRSSGSSTSRSCPSPRGSSATSGSGSSASRRSAPSSRRGLSGAARGTASRSTSPTRRRSTSSSACSRRSTASSKHTLCNRLLACRSSVHGLDYVLNNCYGSYRCLI >Et_4A_034074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29780051:29781957:1 gene:Et_4A_034074 transcript:Et_4A_034074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVESRIACIYRSTARNNCDMMESDRSQLGQIDPRRARFPCCIVWTPIPFITWLVPFIGHIGICREDGVILDFAGPNFISVDNFAFGAVARYIQVNSEECYKLLEPEGEATWDDALKKGTREFQDRNYNLFTCNCHSFVVNNLNRLFYSGHDKWNVVSLAVVMFLRGRWVSTAAVVKTFLPFVVLLIIGTLLGGTTFLVGLLAFATAMTGWFLVGTYCIKGLIEL >Et_2A_014696.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5080277:5081026:-1 gene:Et_2A_014696 transcript:Et_2A_014696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QVLAYHAGAHEEILQRHLPQRRLPLRLGLRRHRRGRGGRRRLPAGEVDDLAPDLFDRLLAERAGQREGALPLDGRPRRRVHVQHRGGGGRRSCRGRHGVGLLGRPDVAQQLVHVHGAEVLAGAAERALELVEREGVDRALPRQRLSPAPSRHLHPRLPLPPGHHPNCHKTSPKFHSRSAKIAAFKNWRTRTRKARGTPKADEKGGLVTYNCGRSDRTTLSGLWSSVISCGWAPYARISKGKSLLSQTGL >Et_10B_003162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17175092:17176378:-1 gene:Et_10B_003162 transcript:Et_10B_003162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSTKQAAAVVAVVVVLSVLIAVSVIKQQQKERSASPSTSTTPADGGPAAVAASSYYSGWLPAKATWYGAPNGAGPYDNGGACGFKHTNHYPFSSMTSCGNQPIFKDGKGCGACYQIRCLKINHPACSGNPQTVMITDMNYYPVATYHFDLSGTAFGSLADWGLNDKLRHAGIIDMQFRRVPCNLPGLFINFHVEHGSNPNYLAVLIEYADKDGTVAQVDIMEANSGYWAPMRESWGDIWRMDSYRPLKGPFSFRVRSDFGKVVVANDVIPANWQPNTDYRSYVQFS >Et_9A_062885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6264456:6266645:1 gene:Et_9A_062885 transcript:Et_9A_062885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPSGSRAAAASAGDEAFTDATAEDGGESKLSALLFGTTPSPPKTLALLPVLAPHVSQQVQGALQNMLKMTSEIDRCDGQIEAEMERARETVAEKGRALEDDRERVQKAALAALDILSGAARSV >Et_6A_046184.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:8707222:8707377:1 gene:Et_6A_046184 transcript:Et_6A_046184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRVLLEVASAAAALRKRRADTPVIGSMRTSLVATQVAPVGASDAGTKAL >Et_6A_048029.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:483714:484022:-1 gene:Et_6A_048029 transcript:Et_6A_048029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYALVAEAIYGTGHGQSRDGAVARRRGRFSLLPLADNAPRIPKPRRAAAADDDISLVKQLLNSVCIVLSPKHCLCDDGDAAEYCAICLGTFDEDGDLPTRVP >Et_7B_054869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5083348:5086981:1 gene:Et_7B_054869 transcript:Et_7B_054869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFLRAFAAASAVPAAAAAACALSSSGSQSPSGSKLRFPLPVSFPSAFASSSVSTSARAPIAVTPMAAAAAAAADLSAADKDTALPELTTEFMVDMKCEGCVKAVKSKLVTLEGIKNIEVDLNNQVVRVLGSLPVKTMLDALHQTGRDARLIGQGNPNVSAAVAEFKGPVIFGVVRLAQVNMELARVEATFSGLSPGKHGWSINEFGDLTRGAESTGKVYNPPGCSSDKPLGDLGTLEAGENGEANFSGSKEKLRVVDLIGRSIALYATEDRSDPGIAAAVIARSAGVGENYKKLCTCDGVTIWESS >Et_3B_029837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28406073:28408946:1 gene:Et_3B_029837 transcript:Et_3B_029837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQRTGARSSQLMLGKVAALPRPVAPSASVRCSASARSYNITLLPGDGIGPEVVAVAKDVLSLAGSLEGVELRFQEMLMGGSALDVTGVPLPEETLAAAKDSDAVLLGAIGGYKWDNNEKHLKPETGLLQLRAGLGVFANLRPASVLPQLVDASTLKREVAEGVDIMVVRELTGGIYFGKPRGFGTNDKGEDTGFNTEIYSAPEIDRIARVAFEVARKRRGQLCSVDKANVLEASILWRKRVTALASEFPDIELSHMYVDNAAMQLIRNPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASVGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLRYGLGEENAAKRIEAAVIETLNHGFRTGDIYSPGTRLVGCKRMGEEVLKTVESQKAVAAVN >Et_2A_016985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:336221:338098:-1 gene:Et_2A_016985 transcript:Et_2A_016985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTAAAVSLSSSSSPCAKTSAPSSSTAVHFSSYYRPRRCQLGIRVQASAASADAVEAKPKKVSKKQDEGVVTNKYKPKEPYVGKCLLNTKITGDDAPGETWHMVFSHEGEIPYREGQSIGIVADGVDKNGKPHKIRLYSIASSALGDLGDSKTVSLCVKRLVYTNDQGEIVKGVCSNFLCDLKPGADVQITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKMFFEKHDDYQFNGLAWLFLGVPTSSSLLYKDEFEKMKGKAPENFRVDYAVSREQTNAQGEKMYIQTRMAEYKEELWELLKKDNTYVYMCGLKGMEKGIDDIMVSLAAKDGIDWIEYKKQLKKGEQWNVEVY >Et_2A_017911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7795928:7797334:-1 gene:Et_2A_017911 transcript:Et_2A_017911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKTVELCPGVGHLEPMLELARALIRHGCDAFPVAVAIVEGRPSPTPASLVPSCARRPPTRPSRSTSCGRPNGPGSGTGDSGIAMTRSGSSAPSTRPSATSSAPSLPVRALVLDMFCGDALDVAADQGLPACFFFASSGTGPRRLPHPPHPARRPGGGGVWGGSATAPCSRSRARAARRRSKSRTCPRTEPATARWPRHPRKHRRVPRAPRGARRSIASGRLFRRAAVARSMAASDRSVVFLCFGSMGALSVKKHAEIAVCLQIFLWVRSMGAPLPEPADDLPPSSLRQGSWTGAGAEVSVVASSAPQANVRMELDTGGRRGEAAAAVLATRMNKVWVVDQMGLKMDIGEDEERRRYDVVSAEEVEAKVRWVMREGAPGARPDTREGVAHELKNLSANRPQTCDLRTQPRLRTRGGRERSDGSSRWLGSSRLRRQSVGSGARQSAPNQLPSEPEK >Et_2B_022114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9311170:9321304:-1 gene:Et_2B_022114 transcript:Et_2B_022114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLDAFASKLVDILAGMVKDEVEMLLGMMEDEQDLSASTSTPRTTPRCWKMPSMLFCFRNPVAAHEIGKKIQALNQRLLDLERRGSRFGFITQAINSSAPSTNQGANYLLDSDRKTGSGIIRSDVVGEKIEQDTKKIVDMLIKKADDHEGLNDNNVVVAAAITGTGGIGKTTLARMVFNDKKVVQSFQKRIWLSVNKEVKPIDVLQNIITDLDSSYNVSGGDRTRLEKALKEVVQTKKFLLLMDDVWSESETVWSDILRVPLSDGAPGSRVLVTTRNVQVARKMKAKFIHQVISDESDERGIDRLKDIGMRIVGKCDGLPLAIKAVGGLLLNKSSTRDAWEDVCNHYTWSIAGINEDINRAIYLSYEELPSHLKQCFLYCSLFPKDEAITIDNATQLWMAEGYLQDKTSSKQPEYLGSDYYKELISRNLLNADEKYYDETSEWGKIKHVQELEAYGWKWEENRVNRYVYYTKKPYSFETDLGERAGF >Et_9B_065314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3749735:3753839:-1 gene:Et_9B_065314 transcript:Et_9B_065314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSRFAFVLNHLAELAQPARPRRDETASAGSPSSSAAPPPSWVILGSIPRVSSSSSAELPRGADHALSLAPPPRVTLLTIARRLFPDGAVTSRNFPSAVDPSAGLLLLLHADQGRAKGPITVNGPFGKELFCGEKVPGYFVLDASASASAAARALPEPEVVMHPANIGILASPAGDGHYVVAELQPVLGSEDAKLLCFSSATGEWKFKDVRYPLPNRIKAPNGVVAHGGRLWWVDLSIGLCSCDPFADAPALAFVPHPPGTLLRCREAWGVLDRWRAVGVSDGELRFVDMYNNNRDGRSGAPMVTVWTLDDPVARKWAREHEASFDDIWAHPSYKAAGLPKKTPVLAVVHPQRPYVVYFFLEEYLFGVDLCDGSVVECEEYQLVAPPREHVATRFVHAWELPRALAESSLALAEREREREREDGMQQSVGLVSYDPSQMRPSSPSSRSLHAIKPSSGLFLPHFDHRRPTIIDLPDRRIMFWTKIPRYFIPDTASDSTWALPEPKLIMNSRNIGILVSPGGDGQYIVVEFQLMLKSLLCFFSCVEEWKYKSTPNGVIAHQGRLL >Et_9B_065817.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:13754703:13756184:-1 gene:Et_9B_065817 transcript:Et_9B_065817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSCRDLHAQLPATLPRRATTHPQLSFLRAAAAPWHRPLTTASKRTPRLPAAATTRDDAVASLVGQLEHDVAHAKYRNYVEDEEEEDLYNLPGDAHRDELAARWREIHGRDDWAGLLDPMDPLLRSELIRYGELAQACYDAFDYDPYSRYCGSCKYPRRELFSRLGMPGAARGYAVTRYLYATSNFRFPSIFPQSRAGAKIWSQRANWIGYVAVSTDEESARLGRRDIAIAWRGTVTRLEWVSDLMDFLRPVADEGLPCPDPEVKVLSGFVDLYTDKDPTCRFCKYSAREQVLAEVRRLVARYAAGRGEDVSITVTGHSLGSALAMLSAYDIAESGANRGSDGKEAAALCVYSFGGPRVGNAAFKRRFEAELGVKALRVVNVHDNVTRMPGILVNEGAPEMVRSVAETVLRVPWCYAHVGVELALDHKRSPFLKDTLDPACFHDLEAHLHLLDGYVHLLPDLAHPMVIDDVDRILTYYHVHRLSVNVALST >Et_3B_029191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22953798:22957368:1 gene:Et_3B_029191 transcript:Et_3B_029191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDEATAAATAAVAEDVPEGKKESRRKGKHDKPKLWDEDPNIDHWKVEKFEPSWNEGGMLEVTSFSTLFPQEVPAGGVADREGRLERVRGLVKGSMTVSTTRKTIDPFIIFKAKDLIKLLSRSVPALQAIKILNDEILYDIIKIGSLVRNKERFVKRRERLLGPNLSTLKAIEILTGCYILVQRKGLKQVRRIVEDCIKNVKHPVYHIKELLIKRELAKIPALATESWDRFLPKFKKKNVKQKKPHQTKEKKAYTPFPPPQQPCKIDLELESGEYFMSDKKKSAKKWQEKLEKQAGKSEENKRNREAAFVPPKENTAGPSETDKATNDYNEIANLAKFLKKKTKAFRKNEAQDVKVELYLASTEGLPRKKHKSEVQVAVLMHHDNGC >Et_3A_026844.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:22989758:22990615:1 gene:Et_3A_026844 transcript:Et_3A_026844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYDPAAGKPRAEKRPREEASTSSSSVSKRSRQEEEEASASSSGSRASAIVPHAVLDVEPINAAPAQQPPHAAPLPGRPVPPCLREHFLPALGLRPDLPIHFIDEKVVTSTDLNPQQNRFRIPGDGVKYNLRPILNLAELESANLLDDDMPPTKRPPKPKKPADGGSGEEAPDGKKIKKPKKKGKPHGGLRVKLVDLTAGASTELLMTRWGSSRGTVVKGGGFLDFIRRCSFRANDAVDVWAFVQRRLRIFGVDVYDDSVLHVFLAKQHDLPQRCCYGSIPHAH >Et_7A_051509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19860151:19862864:1 gene:Et_7A_051509 transcript:Et_7A_051509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPHPEYLENDDYENYEEDVEYERRSDWHGGRARDSFLSPYGAGYSGVGDFGQRNSHPADGVGDFRQARSHLRDDRKCPRHAYYDHLYNGHKDYGMQHKKIKSSIVQVHERKGKCFAHKGNAPWSGHKNWKHPIENSKMSARKMEIQKLGNHKFEALGTASVNNLIEDNKGHIMTCNPENSLNALQKLESEIKDMKEKLEVMKCKSHNKILALEKKIVELSEQLEDKIEQLDFVESCNQVLVTKERISNGELQEIRKELINAGLLEHGGPRAHIGIKRMLDPKAFSNACKQILPEENADVNTAILCSKWDADIKTPEWHPFEVVMVDGKEMEVIKEDDPKLKELKEELGGDAYALVKTTLLEINEHNPSGRYPVSELWNFKEGRKATLKEVVQFVLKQWRTHERKR >Et_3A_023659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10540322:10549936:1 gene:Et_3A_023659 transcript:Et_3A_023659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSPEAGAACPDCLERRILSDLAGSGFSFVHGLSDSPLPFASSAVVQVASDGAEESAGSDNNSLENGIHMDPQAEQSLCNNDNHYTALADSSSSKECLEDHASPSGLCKPQPLVSTISKLSPVRCLGIGHTSEIRDLITSYHNLSTEESVIDSLNLLSENKISGSAGLCFLSFVGFSAFDHLHPSGRVRHPNILPLLGVLEAPACFYMLHPKVPYTLENIMHYSPKAFRSDWHIRFLIYQIISALTSLHDFGVYHGNLRPSNILMSDSLWPYLSISDTCLVKCNWGFASPECSPNSCCFMEECSSKDIYAGSKLQSSLDWQSHFKKWWKGELSNYEYLLVLNKLAGRRWGDPAFHTVMPWVIDFTVIPDESAGNGWRDLTKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLPKTILKSAVRSVYEPNEYPSTMQRLYQWTPDECIPEFYIDPRIFVSLHSEMSNLALPSWAKCAEDFICLHRDALESDRVSQQLHHWIDITFGYKLAGEASIEAKNVMLPPNDPSKPKSIGRRQLFTRPHPKRLVSTPHAVYHNKMESCARCCREQKNATANAVLNGYGSPDILSENVSLEDFEQSTLFAELENHLNPIYNYSDTSTCCSSDKYSKSQIADKILQPDNALPVAPGFDFGSFIECFESDEGSPVSYPELLLWDQRSYSDNDNYANDVFSIGCMWAEIYLHRPLFDSTALAAYKETGIIPETLQELPSHVALLVQSCIQREWKRRPSAKHLLESPYFPPSVRSAYMFLAPLQVLCTSRERIKYVAKLASEGALEAMDKFAAEMCAPYCLSLISSSLSDVDTESAFHVLKEFLKSLSTQATKELVLHIIQKILQAKYSRLKVALLQDSFVRELWKKLGKRTYIEKVHPLVITNLYNSPNKITASAASTVLIGSSEELGIPTILPIINCFGKGLSADGIDTLVRIGGLLGESFTVKQIIPLLRNVIFSCIDSSKMSKPEPQNSWNSFALIDSLSALEGLVSVLQVKAVLKELVQDQVCLHIKVLTQIHLDLRVIQVAATTLVDLCQRIGPDNTCMYVLPQLKELFAELAFSHDSSGLSLPTKGLHISEGKKSEPIKMESRTDLVFMLYPFLASLVGIEKLRECCSTWFLLEQALQRLYNWKWEPFSDCSKNVEKMKGQTFQPNYFSSDSVPTKLLFNGAGWSMPQSGISKYGRNAAASKLGFKREHETPSDNSFPSSSGNQPWFWFPSPDSSRGAPDFLGRGGGVRDELPWKIKASVLYSARAHPGALRSLAVHDDECTIFTGGVGPGFKGSIQRWELPNMNCSSGYYGHEEVVNSICILSITGRVASCDGTIHIWNGQTGKLIAAHAESSTSFPLQTASIEQANMLTQDALSGGILSNAFRGSLYTTMHYMESEGILVAGMGNGSIRFIDISRDQKLQLWKSDSDEISFSSLVSAICSCGSDKVGKGSPVASSSWIAAGLSSGYCRLLDERSGNIIAVWRAHDGHITKLAAPDDHLIVSSSLDRTLRVWDLRGNLATQSNIFRSQSDGISNFSIWGQDVVSISRNKIALTSLSRPESETGHQQLELQNLYSADRGMKHKNLSILSTIDVLPSSRLFVVGTEDGFLKICH >Et_9B_063715.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21648382:21651805:-1 gene:Et_9B_063715 transcript:Et_9B_063715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPPSSRDPSPRPRRPSSSAAATASKRGGGLLLGRYELGRLLGHGTFAKVYHARHADTGESVAIKVLDKEKALRHGLVPHIKREIAILRRVRHPNIVRLFEVMATKSKIYFVMELVRGGELFARVAKGRLKEDTARRYFQQLISAVGFCHARGVFHRDLKPENLLVDQRGDLKVSDFGLSAVADQFHPDGLLHTFCGTPSYVAPEVLARRGYDGTKADIWSCGVILFVLMAGYLPFHDQNLMAMYRKIYRGEFRCPRWFSKDLTSLLNRLLDTNPETRITMAEIMESRWFQKGFRPVRFYVEDDQVHSLADAENNEMSELDPSEPPPPVPPPPPPQQEDDGEDSGWESDSSVASCPATLSTDERRRPVGGLTRPVSLNAFDIISFSRGFNLSGLFEEKGNEVRFVSAQPMQTIITKLEEIAKVKRFSVRRKDWRVSIEGTRESEKGLLTIGAEIFELTPSLVVVEVKKKAGDKEEYEDFCEKELKPGMQHLVHHTASVPDIPSDSE >Et_10A_001220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22253651:22258019:-1 gene:Et_10A_001220 transcript:Et_10A_001220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSTALLVLLHILVIPFHELPYCDAAGKQIVHDDLNPVQVTNPTTPPITVPSTNPTPTIITVPSTNPTITIPSLNPLPTPIAAPSTSPPSSTTPVPVIYPLPTPSTSSPNVPVTNPVVTTPSTFPPSAPLTNPAVPNPTATAPPAPITMPSASGQQVWCVVKAAGSTEAALQNALDYACGIGGADCSQIQPSGSCYYPNTLQAHASYAFNSYYQRNPAPSSCDFGGTAMLVNANPSSGSCIYASSSSSSSSSTVGYNPASTSNTPSTSSSSSPVTSASDSDSGSPVLNASGSGISIAVLGSDISGAANKWSVWCWPWVAVILMLAFYACIEGFENAWGQLQHLGCDCNVGDVEN >Et_3A_023841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13307631:13310992:1 gene:Et_3A_023841 transcript:Et_3A_023841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASSSSCGGGGGEEKGLGLLEVQAAAAALRRSEVFHVVKELLGFVLYMHHQIPSVLQNLENEFESLKEEMAEMTPPAALLKPSDQRKYNTRKREVRRGIKKQEKLMNGISTLLSALQQALDEVPSIEGVVLILGGSLVRPLFVYDITLSHGRFDSGSAKEHGLTKLAQSVSRKAIRALVSRGAGSLSYTGPSKLFLLLRCPSTLNLPLDFLPKRDFRYSKKVVPLQMHIKCNTEDRQVKTQRNMSIVDAICCTSESSLSDVIWSGM >Et_3B_028195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11601618:11611692:1 gene:Et_3B_028195 transcript:Et_3B_028195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRGPPRSKDTSVVVVVLETTEVYIVVSLSTRRDTQVIYVDPTTGALRYVGKQGEDIFESEAVALNYITDGSRILSKSTTYAKAVLGYAALESYALILVATQLSASVPNLPGGGCIYTVAESQWIKVQLQNPQGQGNGELKNIRELADLDIDGKYYFCETRDITRPFPSRMTIQEPDEEFVWNEWLSKPFKDIGLPGHCVILLQGFAESRNFVGTSQQGGTVALIARRSRLHPGTRYLARGLNACSGTGNEVECEQLVWIPRTGSQSVPFSSYIWRRGTIPIWWGAEIKNAVSVEAEIYVADDPYNGSLEYYQRLSRRYAKKSSDVDTARQKKAGMVPIVCMNLLRYGEGKTETVLVKHFKDSIQHMKNTGKLGSTWIQLINYDWHATVKSKGQQQTVEGLWRHLKAPTMAIGFSEGNYYETKQHLKECKGSVICNNDINGGFCMDSVQNGVIRFNCADSLDRTNAASYFGALQVFVEQCNAMFGLSTSKYSEYNGRSARSLPPGWEERFDSVTGKSFYIDHNTRTTTWEHPCQEAPQKPWKRFDMTFDQFKGSTMLVPVNHLAELFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFSKFSAVQNVKITVQRRYHNYLNDSSRQKQLEMFLGLRLFKHLPSIPMFPLKVLSRPSGCMLKPVPSITPVADGGSSLLSFKKKDLVWVCQQGADYVELFIYLGEPCQVCQLLLTVSHGPEDSSYPASVDVRLGSSIDALKLVVEGACIPQCSNGTNLLIPLTGRIDPEDLAVTGKSARPDIRENTYLPLLYDFEELEGELNFLNRVVALSFHPSARSATPITLGEVEILGVSLPWTDMLTNSRRSPELHEKLSSIQCDLASKSSANSFLPGSDVHSSVRSSSTKSLSVQSDGSGNFVDFLTGDFEISKSNITDHRSFGNEEEEQTNFDEFDINPFAPASEVPVAKMNNKGKGLSFEQMIKLEIKRLRLDLSAAERDRALLSVGVVPATVDPNRSVEVDYSYLLKLSSLADNLALLGHAVLEDRVNASIGLEKGNGEKIDFWNVSENDEFCYGSACEVRATSSLQASATSESKSVFVECSQCERAVCKPCCAGKGAFLLLNTYRDLKLYGGSQGGGYSALADCYVCKSCCSEMIKRALYVDYVRVLHSFRRKDRAEKAALNAVNQICRLESSRIPDVSQSIQSGQRQIKQILDGEESLAEFPSASFLYSVETADDSEPLLSLLAPLGSGEFQSYWKAAQDATSAEFSIVLGGLSDVAGVALIVSSCGYSTSDCPIVEIWASNKIHREDRTFIGKWDVKDIISSSPQLCGPEKSSSMNEAPRHIKFHFPNPIRCRIISIKMTLPHIGSRSTKFSENFDLLSLDDSSFYESNPTNSQNSFIHAKRIVVFGNSLRKEMGPDTSVGIMRMRSYLDRSPPLGRFRIPVEAERLRDNDLVLEQYLLANTPGIAGFRLDFFNVIRPCVTHSPSSSELNMREFSLTRMEDRFVNPAILYIQVTVVKESGKLVVDEYRLPEVKANTPLYFDFPDLREDVRCVIFRLHGDVTAFVDDISELDGLNLRNLPVASGLSLSNKIKLYYYADTYEMGKIGSLSAEAFLKDM >Et_10B_004354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4692225:4694599:-1 gene:Et_10B_004354 transcript:Et_10B_004354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSLPIFLDPPNWGQMQMQQQPLQCLLGGVGNDHHQLMPPPSSGQQLAPLPADGGPGADTAASAPPAPASGAGASSGAAASSLSQPRPAVVSMAERARLARVPLPEPGTLRCPRCDSANTKFCYFNNYSLSQPRHFCKACRRYWTRGGALRNVPVGGGCRRNTKRSSKKSSSRQGGGGAGGAASSSSSTTSTSTTATATTTTTSSAAAAEVLASMQMPQYLGLPPAAAAAALEGYHHGYLPLQMPTPHQFLHHQAGSLLHGGYHFADGEDGGGLFSDGLNNSSDIHLHY >Et_7B_054248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18206018:18206870:1 gene:Et_7B_054248 transcript:Et_7B_054248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYKSVVSTEVDLFKDTHVSSTTGCTEDVKNEIVSCHVLICCFVCCCKAVMEATYDYDVVFYATAAMEAIMAESAADGNATTTPVKVVTEVLPNTTFLRNVGIKIKGIKTGTAAGARVEELEIELEGSKKMAQDLKEQMERMAKQMEEAEAARMKEAEEAEARMLKQAQELETLKKASEETQTLLQELVKHHKDSSQLKKMLGWPFVKVVLDTSWLP >Et_2A_017925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:803001:804416:-1 gene:Et_2A_017925 transcript:Et_2A_017925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLISSSPLLRRAPAAASKPRAPRTPSFLAAPPLRGGGLASKIRTSLPALRPPVASLLSNHRPSMSHQAPAASGGTGNPSVVEAEGEDAGTSDTSRRFFRKVTCAAAVTLLSATVLTLFQPAWAPPALAHFHPTAKAGTTLFKSELLGSAWTGFLAGCLHTLSGPDHLAALAPLSIGRSRVESAAVGALWGCSHDAGQVIFGLLFLGLRDRLHIEVIRAWSTRVVALTLLVTGALGIREASEEPTPCVAMDNNGERAMEQALPSGKTKVNFATFATGIVHGLQPDALLMVLPALALPSRAAGAAFLGMFLVGTVVSMGTYTVFIGSCTEALKERVPRITEKLTWAASLVAIAMGLAILISQSFGITLY >Et_3A_023764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1163376:1195934:-1 gene:Et_3A_023764 transcript:Et_3A_023764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKTQREQSSKDDTSDVLARATVLAPVLVRSYKWAPCALYVATTPRTHKARRRKTGVPDAVPVDRHVSETAATTMLKAAVCVLFLLAAARPGASQSYNAMFSFGDSISDTGNLCTGDGGCPSWLSTGQPPYGSTYFRRPTGRCSDGRVVIDFLAEHFGLPLLAPSKESGGNFEKGGNMAITGATAMDLDFFKDHGLERNIWNIASLDTQIQWFQQLTPSICGNDCKSYLNNSLFIVGEFGGNDYNAALFNGSKGMPEIKSWVPQIVDRIASGVETLIGLGAAEVVVPGVLPIGCFPLYLTLYPSSNKDDYDDTGCLKSYNDLSSYHNDALKTAVSGLQSKYPGVRLMYADFYAQVIDMVRSPDRFGTRSITLARRRLCSSSASGLKYGLKVCCGAGGQGSYNYNNGKRCGTAQSSACGDPENYLVWDGIHLTDAAYSAIATGAAVAREYAAIFNFGDSLVDAGNLVVNGIPDYLATGKLPYGQTYFGYPTGRCSDGRLVIDFIAQELGLPLLPPSKAQCRDLFRRSLFIVGEFGGNDYNSPLFAFRPLAEAHDFIPHVVNSIGEGIEKLIAEGAVDLVVPGVLPIGCFPVYLSIFRKQPEMYGPRSGCIKEMNTLSWVHNAALQRKIAELRVKHPDVRIIYADYYTPAIQFVLHAEKFGFLRQTPRACCGAPGVGEYNFNLTSKCGEPGAYSCPDPSNHWSWDGIHLTEASYGHIAKGWLYGPFADPPIIGSRQQDTGNDNVVLAERSLFNPAAAPPYGMTFFGRPTGRNSNGRLIIDFIAEDLGLPFVPPFLAHNGSFRQGANFAVAGAFARNASFYSSIPIVGPFALNTSSSVQLQWFDSLNPSLCSPAQECPGFFHKSLFFMGEYGVNDYSFSVFGMNISEIRLFVPDVIDTISMATERIIKQGAKTVVMPGIPPLGCSPTNLALLPSDNPDDYDATGCLKQLNNLSVYHNSLLQEAVEKVQTKYPDVGVIYADFFTPVMDIIECPGKHGFTKDILRCCCGGGGKYNFNMSAGCGMPGSTVCEEPSTYFFCYSIQSNYTSILSFGDSYTDTGNLAILYGDPASTDVLISKPPYGMTFFGYPTGRASDGRLAIDFIAQALGLPLLPPSLAANQSFKQGVNFATGGATALNRTFFVDRGLKAVSPFNVSISFQLGWFDAVKPSLCNSTQGSSHAQLARIASFRPDANAHVLIDCIHVLPSSVECKEYFAKALFFVGELGWNDYAIMLLGGTSVDEVRSHVPEIVESICAATEKLINEGAKTIVVSGMAPLGCAPANLALMANQTGGELEPDSGCLKDLNLLSKEHNQQLRRALMRLDGVRVIYADFYAPINDFAVSPDRYRFNGTDGVLRACCGGGTSKYGFDLAALCGMPGVSARDPAKYVSWDGVHLTEAASHFIADGWLRGPYAHPPILSTCVSYSIQTSYTSIFSFGDSYTDTGNIVIIDGPSTPNLWINKPPYGMTFFGHSAGRLSDGRLIIDFIAEALGLPLLPPARATNQNFQRGANFAVAGATTLNNIANQMPGGSGVKPPPNNISLSNQLGWYDAMKPKLCSSPQACSEFFGKTLFFIGELGWNDYGLMLTGGKSVADVQSVVPRIVETIRAAAEKMINDGGKAIVVAGVPPLGCAPGNLVLLASPNKSDNEPGTGCLKNLNQLSKDHNAQLSQALTSLGSKYPGVKITYADFYSPVADFVASPAKYGFAGRDGALKTCCGGGGGQYNFNLTMACGMPSVSACKNPSAYVNWDGVHLTEAAYHRSPPPAPAPGTGMAAPNKLSVLAAVLLCFSLARVSQCAGAAQNYTSMFSFGDSLTDTGNLLVSSPLSNAIVGRFPYGMTYFHRPTGRCSDGRLVVDFLGACLPQAFGLPLLPAYLQSQGMDLRQGVNFAVGGATAMDPPFFQEIGASDKLWTNLSLSVQLGWFEKIKPSLCNSTKRCKEYFSRSLFLVGEIGGNDYNYAFFKGKTLDDAKTYVPRVASAVTDATERLIRAGARHLVVPGNLPMGCSSAYLTLHPGRNRSDYDSVGCLKTYNDFAQHHNTVLQQKLQALRRKYPQARIMYADYYGAAMAFAKNPKQFGFTEGPLKTCCGGGGPYNFNPVASCGVRGSKVCTDPTSATMKFPVAFLLVISLSCLRSACSSEQKHLTSIISFGDSYADTGNLVRWEDPFLKDVTIRNPPYGETFFGHPSGRGTDGRLVLDFIGMHYFFLNSYLSISSFASPRTRAADALGLPFVPPVLDKGQNFSTGANFAVYAAPALNLTYLQGQNITVDPPIHSSLNDQLRWFEKLKPSLCRDTQGNGDCFRESLFIMGEFGANDYRFSLGSNKSVEEARSYAPAIVNTISNGVERLIHHGARYLVVADMFPIGCVPPVLTRMGSRNKADYDRHGCLKSFNSMLSQYHNTILRQRVEVLRKKYPHTKIVFAEYYRAIVAFLQEPELFGLFTSYNNLNGLVLLKQFGIKLCGNMRCKRNEVNPLAGFNRSTTLVSCCGGGGPHNFNPRAPCGTPGAAACPSPSTAIYWDAFHLTESAYRNIAHGWLHGPYADPPILQLP >Et_10A_002177.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:3042084:3042617:1 gene:Et_10A_002177 transcript:Et_10A_002177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPPAASFSALFLVLAIFAAGASAATFNIKNNCPYTVWPAATPVGGGRQLNSGQTWNLNVPAGTTYGSVWGRTGCSFNGAGRGRCRTGDCGGALACTLSGQPPLTLAEFTIGHGQDFYDISVIDGYNVPMSFSCSNGPRLVCKADKCPDAYLFPADNKKNHACNGNNNNYQVVFCP >Et_1B_013059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5094589:5099075:1 gene:Et_1B_013059 transcript:Et_1B_013059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAINSAHSRHSRNDSAIRHKSGYEPSDTETEWHESPWNDAVLTSERTRLPKDHGRNTQVGVRRQNISPDRIKEYHDEKTLNLRNNRTPPREHPARAPAFLGTNPVSAQIGRAVAANVEEDSLAENCSQEINELITNGKGPNSRYNEYAFTSTESIPTGDIFFSRDCRAPLVKTSEKHSNDNKRFTSNSNARDGNDGAVTHESTSNLGQTSQFVSARAGLSRTTTKSSYATGRHTQISTTTSLSSPFNNGRLSGESGKFSDFTGKLVGGVMKFTSNMNKAQNDTWLPCVTGKSCRKSQPPSYKTNEESESSFIQKALVIEKIRLLWADKYRPRTLSGFTCHREQVQQLKQLISSECCPHIIFKGPPGSGKRSLCRAVLNEIFGDSSLNGSSSMPILVPLSSSDHHVELNLKSQSKNARYALMTLANEMASKRKITEPAVRKNFKVLVLCDVDKVSENNQRLIKWIIDSSSDACKIIMTCQDESNLLDSIKSRCKLITISAPDTPIPLGWEDVLVELAEEILEDPSPKRLFLVRGKLQKLLVEFVPPKLILQKLVELFLKGIQTSIKREVYYWHAYYDKRLPGGASALLKLEEFVAKFMSIHRKSSPVSS >Et_8A_056604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13242941:13244280:-1 gene:Et_8A_056604 transcript:Et_8A_056604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLHRLLPLLFFLPFIVLRRGTSPGGDPGLHPVVLVPGNTCSQLEARLTDAYVPTSPECGAGKGNGRWFRLWKNATAERRDPAAAACLADQRRLVYDPAARDFRNVRGVETRVLGFGSTRGFLADDPADKDLCMGTLVEALERAGYVDGETLFGAPYDFRHAPAATGQPCRAFAQFHRRLRSLIEHASKKNGGKPVVLVSHSQGGYFALEFLNRSPLPWRTRHVKRYVMASTGAGGFMLSMQLLAARPDAALSSANAFTALPSPKVFGRGRNEPLVVTRDRNYTAQDVPEFLTAIGAPPLAVTLYETRALPVAMNFRAPLVPTTCVNGVGVPTTEKLVYWDGDFRKEPEIVYGDGDGLIGIESILALDTLIGEDPRQVDYKSIWLASTSHAGVISDRTALERVIGEILEDV >Et_1B_013099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5505985:5507246:1 gene:Et_1B_013099 transcript:Et_1B_013099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRAPCCAKVGLNRGSWTPQEDMRLIAYIQKHGHANWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTAEEEETIIKLHGMLGNKWSKIAACLPGRTDNEIKNVWNTHLKKRVAQREKQKPGAAKSEGTTSGDAGTPNTSSSASSSTTTANSSGGGGGDAGEQCGTSKEPDTIDLPPLESDIDISDMLVDTPTEAFPALAQPMPPSPCSSSSLTTCAGGGVVEDLIELPVIDIEPEIWSIIDGDGADVPDVAGARRGDATVPCTGHAVSTSEAGEANDWWLENLEKELGLWAPTEDSQAQSDLLGHMSFPVPLGDTEGDPVSTYFQCGPTTAELQEVGSPAVLL >Et_8A_057869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8545625:8549389:1 gene:Et_8A_057869 transcript:Et_8A_057869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKDKKRNQRRVLNRRTAAPRSGEGKDFLPLEGAPGKRLRKQQEPEEPENTATVLYIGHIPHGFYEEQMQGFFQQFGTVKRLRIARNHKTGKSKHYGFIEFENPDVAKVVADEINNYLLFEHALQIALVPPEKVHPKLWKGVRRGFIPVDRVAIERKRLNKDRTIEEHKRMVEGIVKRHEKRRKRIKAAGIDYECPDLVGSIRPEAKKIKFDED >Et_4A_031895.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27403638:27404165:-1 gene:Et_4A_031895 transcript:Et_4A_031895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGIGTYCFDTVNWKWRHAGNWTLPFHGKAEYVPELDLWFGLSDSNPFHLCASDLSAAAFDQPPEVLQTLADFHVRKSWSSFHLDLVNLGSGRFCVVKMFRSTKPRDGRVCFSDTKEEDDEVFDCPDVINWEFAVLTGVEVARCDREAPLGRLKIIRHQSRYHTFKDDLIHWVL >Et_3B_028487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1646562:1651733:-1 gene:Et_3B_028487 transcript:Et_3B_028487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGYPGIGVENCSDRVQLFNLLTGVTHLKLEDIIVSCFFIFTALSHIYLNNISRTAFLIACLSSRQFASPSTDGQSFSEHRPTPDAMSNPDNISRSSSFSSKTRLSFVEQVLDTKPSAVPHENGHEKSSNAKMQKRSVSLSPALLNGTSVKDSSDSWKRTSLPDDMVARPPSVKWDEKPAIIMSTSSVYCDDVMDKADDSEPNHISLVEKEIDHRETDTLEQQEPSLQKMKLPLPSSGLNHHDEISGEADNYLDALNTLESETETEPEVQTKSQLISAPSFDALAPQVDTVDNIVSQHPDSSVSEFPDTCQDAGMTCTSETTDILGLSNADPLEVLQVADSDHTAALPETGSSAINNVHESDGEGSCGDPSDISEPESQVHTDVPHDQGSLVSDIVPESKAEDGPGDFPKISGPRLSAYAVIPSVKESSGANQIIDSNTGEINNYVGSRPTSSDTLIGKPFQKAFSAESSPGETTGDSCVVSESKSKTQDDPGKNHDEASEASDFQSEPLNEPSENRCATVDIPTNSCSTSIGSSSVKLWTNAGLFGLEPSKPPVFGAQDGPRENAPPDSKEPQQGHSSEHTGLHSPKPTESAVVDSSNGNTSITSSFVGKLVGIRPGSANSNSSGANQLAARTPDSAHSQTDGHSDCSSSFEHNEHKNSVGKHASISELLESEENAENGAGAYSSTDIDGRNNMHMVSASSFSSIAQRFLANTLQRRASPKYNDIATSARTSTDASANDQSTLSHVVERSETVFGESQFEKSPENGMNGLSKSSIFSSRRYSEKSSPPLEYMKISFHPMSSFETSKMNLDLCDGSLNDNSDDMMLPTFQLLSEVSIPQPGSGSESEDDTFGRSYSYSSYDDLSPRLYSNSEVWDQEDGVGLDEHELYDDSNRSAAPISSHVEFEQMNLSGMKSTMSLAEIGDQDALGTLEPHTVEELPNFDTLIPRSDNLNGEISSPHNPVNLPLDEDQLPPPPPLPPMQWRMMKQTTLLEEERGTPAKDLFRKPSSLPHVDTSAQEEHLPPDASLETQGHAKEASEQLRLNGHEKSKAVGSNIKNLDEREELLQQIRSKTFNLRRTNASRTDSSPQSTANSNVVAILEKANAIRQAVASEDGCDDDNWIFLYKQKLNECSVNSHRKPSATLQVPKYENTSLYDARPSPPSGSISSSSTVDIRQEYPRSC >Et_2B_020714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2291982:2294091:1 gene:Et_2B_020714 transcript:Et_2B_020714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTATATLRWVLQLHRDVPRAAKFYAEGLDFSVNVCSLRWAELQSGPLKLALMHTNDSNLASQRVYSSMLSFTVPDINSTVSKLMALGAELDGPIKYEIHGKVAALRCIDGHMLGLYEPA >Et_5A_040508.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:26900318:26900578:1 gene:Et_5A_040508 transcript:Et_5A_040508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRCSACSLRAATTGASTRAFASASSAAWRTNTVWRPTSTCLAARAGWTRPRSSWRRCRCRPTRTFAAHSWRPVARTATSSERSV >Et_7B_053985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1453774:1458298:1 gene:Et_7B_053985 transcript:Et_7B_053985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQKQASAGEGMAANVGGRSGGGGVSVCRPSGSRWTPTPEQLRILKELYYGCGVRSPTAEQIQRITAMLRQHGKIEGKNVFYWFQNHKARERQKKRLTSLDVNASPAGAADVNHLGVFSLSSPSGATSPSSTMGMYTGNGGASAMQLDTSSQWADNTAMTTEGSFLQDYMGVRSTGSGTDVATSPWAWNEAHGPLGIMCATEGGVVQLDDGHPHGAAELEVYQRVDIGERGLPGHVGEDGVVEERGEHGLQPRLLSLAALVGGNLVGVRGDRIHRNGRSPPPRIMPSAPMASLRPHNGSYGGAAASRAGTREGDKRLKLRLTCGSEV >Et_10A_000307.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22109839:22110270:1 gene:Et_10A_000307 transcript:Et_10A_000307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAALLLLIAVAVASAASPASSASAPALADSVQNAAAIVSISPCLPHVAAGAPPTAPTEACCVAVLRAVSPSGGCGGGEGCLCHLLRDPLLLGFPVDAARLSALLPACAAGNSFAATTLFAHACRGENPPYAPLLSSPRAP >Et_1B_010980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16024430:16026438:-1 gene:Et_1B_010980 transcript:Et_1B_010980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKRQPPAGAAGAPSSSSGAAATAPREAPEEEVAAGAGRSLRLYIVFYSMYGHVETLAQRAAVGAGAVEGVEAVLRRVPETLPQEVLDKMQAPAKDPAVPVIASAAELEEADGVLFGFPTRYGAMAAQMKAFFDSTGSLWEEQKLAGKPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLFVPIGYTFGSGMFKMDDIRGGSPYGAGVFAGDGSRQPSETELALAEHQGKYMASIVKKLAHHA >Et_3B_031016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10271377:10272819:1 gene:Et_3B_031016 transcript:Et_3B_031016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCGGKVAHCRVAGLTTTLTVASAGSNRRPPQWPSTGTFGALGMKESRSPEMIVVVGARKNSERAPMLKSTNTPPSERGRRSGRSSRCASTTARQAVQTRRDAARSRGARRRRTSASTSSGSARAWFSPSSSWYCCSIDRSSRIVSVRSVWSWGRLCVHFIRSGPTYGFFLKAVGWMDGVTLRLIKNTNHEQFRQVVLVPAVPGSFPRHLEDDDDTAPETETETTEWPPALYETRAFSFATGRWEERSFVREGGGPAGTTAHMRRDRGFGSHDTHNAVYRRGAFYAHGQMNFFYKFSLANGTYRVIRPPAGLVPPPPHAILHQQQLCLGRSKDGVSCAAIDDFFRLRVWTLDDELSAPPAAAGHSNEWVLKHQNDDLRRIVLSPPSPAVQDDDDDDDEEFLTFSWVPSVPRHRLLQHLAAKGVGVRPGHLHGTRLGWHVPKGLRF >Et_9B_064281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13493740:13499193:-1 gene:Et_9B_064281 transcript:Et_9B_064281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMLCRMKLVKSPTGNEPASSGGVMLGKDERKSRKEKRKEARQEKQKLRFLSWVQHQGGKKKKPAMPVVEPSPVEEKKQKKEATAVKKRKREQEGKRKPKSNFQEFLEMEMGGAVSREEDLEMERRLAKKLKLDKKKSKKKKSKKKNKKAKKDTLELEEMDNGSVDMDKGNDGVVFESDDVDGPSVDMGQEDDEEVSESEDGEPNVLEVPTKSKGKYVPPSLRAAPSSESEEITQMRRRVRGLLNRLSESNVESITQEIAALFRSVPRSVGSQIIGDEVLASCSRGMACLVGIDFSAKIIASLARSFEDEYSKEDGLSLRNLTLLFCYLCIFGVISSDLVYDLLSVLSKRLTELDVSTVLTILQCCGMKLRGDDPGAMKDFILSIQNSVNQLKLHSASQQDGKADIHSKRMEFMLETICDIKNNKKRPKEDPSHHTRIKKWLQKLKAEDILLRGLTWSKLLEPDKKGQWWLSGDVSSTAGNIEDVAAVISKDVAETQKLLQLAAAQRMNTDIRRAIFCIIMSAEDYDREIIRVIVDCCLHEKMFNKYYTVLASKLCSHEKNHKFSLQYCIWDHFKELDNMEWSRSMNLAKLVAEMLANFTLSLATLKVVNLANPVEMTPQRITHFQTLFETLLAKNDALVWNIFTRIAGLPELEILREGIVLFIKQHVVANDSSNDLASKFKIAKKALDNTAGVLILFVLARYTTAPEVAAGMTMSSDTDLRPGMKRFVTTATTGRPKRTTSMSTAALPKVKLFTFKMPLAIFSNSSWDNFCPFLFQG >Et_2A_015533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14537223:14537502:-1 gene:Et_2A_015533 transcript:Et_2A_015533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIATSEKEHSLGRFDRNNTEITETAKLTFFRFFTREPSNYIKHIATSENERSPGHFDRNNTEITETGPEQLQNSHV >Et_10B_002971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14821837:14824443:-1 gene:Et_10B_002971 transcript:Et_10B_002971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAGDGPEVPNLRVVLKRYVTGFPSEDDMEVVAGAARLAVPPGTAGVVVKNLYVSCDPYMRNRMTKHEEPSYVSDFVPGEVLVNFGVCKVVASGHPDFKIGDLVWGMTGWEEYTLIPKPESFFKINHPEMPLSYYTGVLGMPGLTAYIGFFNVAKPKKGDYVFISAASGAVGQIVGQLAKLTGCYVVGSAGSDEKVNLLKTKFGFDEAFNYKKEKDFNAALKRYFPEGIDIYFENVGGAMLDAVLLNMRLHGRISVCGMISQYNKEQPEGTHNLFSLIVKRVRMEGFIVLDHFGEYHKFEEEMVGYLKEGKINYVEDVADGLEKAPSALIGLFTGHNVGKQLVAISRE >Et_4B_036197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10064465:10065067:-1 gene:Et_4B_036197 transcript:Et_4B_036197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVSCVGAAPQRSAALLSHRSFADSAAIARALSFSLSDGPESAVPANLGAATTAPAAFGAVGHPLTGIASPSSSSARCRLGPAGGRAGKRRPRPSKRTPTTYISTDAATFRLMVQRVTGADGDERQPQDADHLGLGLLLPDHAAAGDASAAAYAALAPAAAAPTAAEQPLFPTLDSWNVMYGKNEVA >Et_1B_013225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6499709:6501862:-1 gene:Et_1B_013225 transcript:Et_1B_013225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPAPFLSRIRILSSTAAVSLLSSSAAAPRYGHHPRFASPVGSSPLRARFPRSSSSAVSLPVLRPITAGFALFSMAAASSAASVHDFTVKDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELSQLYEKYKDQGFEILAFPCNQFGGQEPGTNEEIVQFACTRFKAEYPIFDKVDVNGDNTAPIYKFLKSSKGGMFGENIKWNFSKFLVDKEGRVVERYAPTTSPLSMEKDIKKLLGSS >Et_5B_043953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18221117:18225087:-1 gene:Et_5B_043953 transcript:Et_5B_043953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGRLLAVPALSLLCLHLLLSDSGGAFAQSNDGGLMPELAPSGLMPMPDISPSGLMPMPDLSPSGSPKPFVPFLAPSPLAPFFNNSTPKLSGKCTLNFTAVDKLMSTTAVDCFTSFAPFLANVICCPQLQATLTILIGQSSKQTGSLALDPTVANYCLSDVQELLLSQGASDNLHSLCSVHLSNVTEGSCPVSTVDAFESDIDSSKLLEACRKVDPVNECCSQTCQNAINEAAQKIASKDGGLTSYTGSPKIDSCRNVVLRWLSSRLDPPSAKQMLRQISNCNVNGVCPLSFPDTSKVAKECGGAIKNTTTCCKSMLSYVAHLQKQSFITNLQALNCASFLGAKLQKMNVSTNVYSSCQISLKDFSLQESGCLLPSMPSDASLDRTSGISFTCDLNDNIAAPWPSSMQAPSSSCNKCMLSTFCADHIFCFGCSSYTHGLVQVLFNALKRIELNKSSLLSNSTTLFHPNSSENSVDMIHEPLSIFQSDLQRRQHIM >Et_6A_046835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21139390:21156635:-1 gene:Et_6A_046835 transcript:Et_6A_046835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKIKTVVVLIQENRSFDHMLGWMKSLNPEIDGVTGAELNHAVAGDASSPAVHFGDGSEYVDPDPGHSFMAIYEQPGVSVPPMSGFAQNAEKEKPGMSRTVMNGFRPDAVPVYRELVREFAVCDRWFASVPSSTQPNRMFVHSATSHGLVSNDKKMLRDGLPQRTIFDALHDAGRSFGIYYQFPPSVLFYRNLRQLKYVGKFHPYDIEFKRHCREGKLPNYAVIEQRYFDLKLLPGNDDHPSHDVANGQRFVKEVYEALRSGPQWHETLLVITYDEHGGFFDHVPTPITGVPSPDGLVSAPPISFAFDRLGVRVPALFISPWVEPGTVIHWPSGPEPTSQYEHSSIPATVKKIFTLKDFLTKRDAWAGTFETVLTRTTPRTDCPEKLPEPVRLRSTEAEEHREISEFQAELVQLGAVLNGDHAKDAYENDKLVEGMTVAEAAEYCQDAFGRFKEECQRCQECGMDECHIPTVQPAPAPAPASAASKLCGIGWAGDTYITDLAKPVSSVLGGSIMRDSGSQEHGKMELYVDNRLACSDIDLMLGAI >Et_8A_058201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23843871:23844715:-1 gene:Et_8A_058201 transcript:Et_8A_058201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVLVFRVAAQEVIFKLVSMEIQGASRTHVGAVVHRDLMEEGITVHRIGLSLGPMVAVVMHGPQMQVPPNGQMQPGGGGMSFVRNNGVTGFQQQQQHQGFHHENNQGFGNMGFNPDRNFNPGHAGQGGEGRGWPRPRGGHGRDRGRGRPNAGRGHADFQPKGRVDMNQVDTQRQGTLNARAIVNTQQPEASHEQANVNTATVQDKVVPALSASVKDNGQSSSGTKLPNVDPVSMEDTMVAGKVEAATPSKKRKSKKGKKREDDKWCFQCCTKRSHFG >Et_10B_004488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9112936:9118803:-1 gene:Et_10B_004488 transcript:Et_10B_004488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVMDVRSSMRATLLALTFLWVGTSLPHMYSSARAFFQESLPSIVSTIIAPKCLFVFSNIIVVFLVSESKLSRRRRALLNKDNKALPTDTSMLSEMKEEGVMAVTEALLPTFTGESNQEQESSICMGIDEDKGSSDLNEGLQMHQCEEQVDHLFLHEFYEVQEEVEEEELILQEGLRGKEEERAAKQEFQEMDLPPADELNRRVEDFIARFNMERQLEAKMLYSAWPGGVSEQHPYQRPYPTRIHSLIQRDTHPVIQFIRSLSL >Et_9A_062083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19609477:19611784:1 gene:Et_9A_062083 transcript:Et_9A_062083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAGVMNSLEQSDQPINITTALKALSPWLNNPRRTVLQIEVFTTAAVILLFFQFIFGSFRRRTNNFFIQGGLWLAYTLLPPLVTYTLGLMQSSPVKNGLYPPMNLDDNKKWKRHLFEIVQWYIYLSIIFRLLSTSDTLTYYFDPTVLPPQPVANLLSFTVILVFLVNTFGIFASFMVDNSFPSKVVSDYMKDHANSRVEFDPISMKCCRYLVWWSVSKETQSGRSLIYRVVPEKHVITVEMIWAKCSEYMFISHGVSSRIKGDCLSYSLSHLLRRRFFGIDCAEIKLPETRKFVLEGLLSEDNRDEYMQAFRVIEAELGFLYDSFFTKYALIFENETFFFLMVILKLVMTFALGVLLLFKSPTIVVPNPIIEVGTRTTDTYITVVVLGTFLIMETVQSVLYLGSDWAMVSLACNHITGSSPKLIPFCLRNATYGFLRRCPLFSYYWRDKMGQYSVIEASHKISTKRSMPLLKYNIKLIILYTRFLDETYSSIRHIHFVKLPEMLKLEIISALKSSRDGYLTNGQASLQRNKVFEQLSWTVQNETQTVNMLIWHIATECCRIILYDEPEAFAVSSEEGENPTEEEENQISSYQYREVATNISCYCAYLMFNAPELLPENFADTRSVFRRVMQEARDALGPKKRNKDLLQEAIAHPGDETSNTIFAKGLDLGGMLASMQDGYKRWKLMAEFWTETIIYIAPSDNVREHMERLAKGGEFLTHIWALLTHAGILTRPPPEEPAASHDPEEGTA >Et_10A_001658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6488323:6494092:-1 gene:Et_10A_001658 transcript:Et_10A_001658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKRRESGGAAPPGTGKRARAAEKPPPSPGKRLRAAAQTVLVVHFLIRIIRWCVGARSRVASTLSSRIALLSTPCQRVLVSCRNDGVDSLPGIGRMLRAIWNKLGRMEARIQDLSHKVDKISRCCAATHNQQRPWQGANQEGDSAEAKGLAAAEGEGENTSIRLRFISDGMKSPIYHDDEIKSQSDAAIKIGIFDGDKMIKSGPLSKVKIEVMALEGSFPYCANDSWTANEFKEHRAGGREGKGDVLVGEGTAARLINGECVLGTIRFREGSCRARKGMFLVGARVCDGQATGGRVQEAVMNPVIVQDRRNKTNEKSHPPKLNDKVHRLEEIAKDGRYFKRLEENKIYTVEDFLKALNKDPDNLAEILKINKEHKPWKRMIAHTRECCLKGKHKLKSYTCAEKNVKLFFNCVHCLVGAAFFGGRYTPSDKFDPAQQALVDELKKGAYAELDVLPEDYIMIDNCHGPIHVNTYADVGAGPSYTSTATQKKFYDRISANQVGVTAAVEGLSQAQTPSCVNVNNGAGTSSYLSDHPSIHNYDAGGAPVGQGFNHGPMESPCSIANNGPIPSFSIPDHPSTHNYHGTFLSLVAVYIYE >Et_9B_065294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3374063:3377243:1 gene:Et_9B_065294 transcript:Et_9B_065294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEAAVGGGGGGGAAAGGETDGPPPNVTIYINNLNEKIKLEELKKSLNAVFSQFGKILDVLAFKTLKHKGQAWVVFEDVASATEALKRMQDFPFYDKPMRIQYAKTKSDIVAKADGTFVPRERRKRVDEKPEKKQKREQHHDVSQVGLGVNAYPGVYGAPQLTQIPFGGGQKVMMPEVIVPNNILFVQNLPHETTPMMLQMLFCQYPGFKEVRMVETKPGIAFVEYGDETQSMAAMNSLQGFKINPDNQMLITYAKK >Et_9B_064967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20031483:20033812:1 gene:Et_9B_064967 transcript:Et_9B_064967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAGGVTRMSAQAAAEAAVGAVGCGYDLTGDLRLSRAKPAGRLLVEADGAARTRDLVLPGGAVVRDAPAGVAADKGERTRFRSDALSFAQMAEQVNQSLSLAGSKIPSGAFNAMFDFRGCWHRDAAATRSLCFDGRFVELYSVQAVRAQLALQDRVKRDVPPFWDPPALAEFIDKYGTHVIVGVKMGGKDIVCVKQLKGSSLTQSDVQARLKKLAEDKFSQDGAGSSTARDDKFSHQGLNGKFGPGSAAWHSFRSPVVSHKDDIVSIHIRRGGVDNGQGHSQWLSTITGFPDVISMAFVPITTLLSGVPGSGFLNHAINLYLRYKPPIEELQQFLEFQVPRQWAPEFGELPLSLQRRKHSLPSLQFSLMGPKLHVNTAKVDSGNRPVTGIRLFLEGKKNDRLGVHLQHLSATPGTIKVAGEAVSAVDVTVNERDYIEPVKSPLLSHICTAPAEYHGALIGDCAAVVTRAWLEVRETCCLKKVLFLRLGFSGVAAMRIRRSEWDGPFVVPRKSGSLSARLSAALSGGLAPVPPPEEEGKVEVNSAIFPKGPPVPLPVQKMARHVDTTEVTRGPDDLPGYWVVTGAKLCLEGGKVALKAKYSLLIAVQEDAEV >Et_2B_021563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30546572:30550445:-1 gene:Et_2B_021563 transcript:Et_2B_021563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLALLLASALAGAAAGGDIVHHDDEAPKIPGCSNDFVLVKVQSWVNGKEDDEFVGVGARFGPKIVSKEKHANRTKLTLADPLECCSPPKNKVSGDVLLVQRGKCKFTKKAKFAEAAGTSGIIIINHVHVSVQLYSPDRPVVDTAEVFLWLMAAGTVLGASYWSAWSAREGDIEQEKLLKDGHEILLNVEDRSSSGMIDINVASAIMFVVVASCFLIMLYKLMSYWFVELLVVIFCIGGVEGLQTCLVALLSRWFKHAAESFVKVPFIGAVSHLTLAVCPFCIVFAVLWAVYRQRAYAWIGQDILGVALIITVIQIVRVPNLKVGSVLLSCAFLYDIFWVFVSKRWFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFALRYDFAGKKSFQSGYFLWAMVAYGSGLLITYVALNLMDGHGQPALLYIVPFTLGTLIALGWKRGELRNLWVRGEPERVCTHMQIQLQGPTTTPNKQDDE >Et_6A_046010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18222304:18222807:1 gene:Et_6A_046010 transcript:Et_6A_046010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNNYQYELPLQRLYFSDGRPKVSTCTLLFFCFYHRYLLTSTFITLQSPPSLCEFIEYIDTEQTPENITHVYRVAEHARRHWFDMKAEERREEERRKVRQKEEERRRKYEAERKAREAERERMRERARRARAAGPDAFRKGKYLRCTQ >Et_7A_053023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5041487:5048214:1 gene:Et_7A_053023 transcript:Et_7A_053023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGISPSGAETPSKDYASWTWSERLEDLIRSDPSRGIMPEHPDSAARIKAKMEDVYSRFIRTVSAKLDPALERDSVKKFHQVCRGWSSAMGLSEFVYPDILTSMASYNALRHRADPNGRHRYGFAPLHLAAESFSVDMAKLLFRHGASANLRTQGERFIEGLLPLHKMFLDTTRLIARHTDNIVDELWNYIDKKEVVQAAILLLAAQKQLRVPLDKSTLNGLGIVKRRIGEDLDDVHREVLAMVKERKNGKALKKLKEKEEELFTTRLLVGTVHKDGEALLTEHEVFLNGFEIVKGRIDEAVDALHREGLATVKEGKNGKVLKKLKDTKDVLLTAHALVGIVQKAGEALEGYHYNRGVSSDKSSIQRVDYGEANEADKACFRKQEVSKQMLIASCKQILRKQPKGLALKHVRNMFFPYWKSVISRQMVVKVIPMCQPSKKDLESAETSRNRTKKGTPIKSMRNLGSLGLPQLPSNFECSRTFSAVAWISRPEASTSPVNDEPSQYLIFTLFQL >Et_9B_066260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:961810:988976:1 gene:Et_9B_066260 transcript:Et_9B_066260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSVSPFRPFHVSTILSSDASLSFLSKGNDEKVALSCKLGAKGQALCLYNPSGQYTGSDSVESRHTQVESCLRGKEEPSFFFLAVDQARPLSLQSRAGSEAAATWKGKKLRAAESEEEKMDHQSMASAASMHSKMSKKGGEQQSPMAMRKGPWTEEEDAQLVWFVRLFGERRWDFLAKVSGLRGGGCIIVPVHASSIIGRNSKLIDACTGTMISGYGASGLKRTGKSCRLRWVNYLHPGLRRGRITADEERLILELHGKWGSRWSRIARSLPGRTDNEIKNFWRTRTRKQKALKDNKTAAASPSSSSASVTTTTSFSGSPNTPSSTVTESSSTQQEHDDADLDEASTMTTATPASHQQQEGYTMDQLWSEIAAAEAAASYMDTWGIVGDHPAAPAEPAPSMPSPVWEFCSDYSLWRIDDEDLVSSPVDPFFDPVTSADKRHRKPTSAAATPPTPPPPQAAARQPSSSSLAGAADAVLDMLASKRPLPQKGRLLSAVMEAGPLLQNLLVAGQLPRWRNPPTVHAPDALALGARAAGYVAGGAPMAGALGFGNACMKRPVSMLPLTPMAAAANCSPGFVAKRVRLH >Et_5A_040584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1042444:1046224:1 gene:Et_5A_040584 transcript:Et_5A_040584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEFGVGMAPRQRREPWRTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDITHSETNEEIYGALSFVFWTLTLIPLLKYVTIVLRADDNGEGGTFALYSLLCRHANVSLLPNRQVADEELSTYKLERPPEDADKSRVKVWLEKHKMLHTALLVMVMIGTCMVIGDGVLTPAISVFSAVSGLELSLSRDQHEYAVIPITCAILVFLFALQHYGTHKVGFLFAPIILSWLLCMSAIGLYNIIHWNPQIYQALNPSYMLRFLKKTKKSGWMSLGGILLCMTGLAVITVMLVTTCLTSLVIMLCWNRSPLLALGFFLFFGAIEALYFSASLIKFLEGAWLPITLALILVAVMFVWHHTTIKKYEFDLHNKVTLEWLLALCDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVPVPHVLPAERYLVGRVGPPDHRSYRCIVRYGYRDVHQDVDSFETELVESLATFIKLDALFRCSDVAGEERRDSSFYERENALTVVGSNPLRRHLGGGYDDCHDGASSVETMSAATANGGGIELASVRKQVRFAVEAAAPAPASPGVDERVLTELHELCEAREAGTAFILGHSHVQTKPGSSLLKKLAVGVVYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >Et_4A_033820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27108486:27113518:1 gene:Et_4A_033820 transcript:Et_4A_033820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKMITIAASSEGEYMRDFSEINLLAYKDVENIAFYQVPSNLGSGLSMESDRSLRVHVCTEHNDVNFLHRFLHHLVEYKEKYKCVMNLLFHGIEWQSEGVRLLCSFLIPGSSVKQVEFQKNVFGTKSALALIPLSEMLQKSNTIRAVVFADCRIGSTGATLLASALAKNRSVEEFQVWEDSIGSKGAEELSKMIEVNYLLKKLVILDNSSIAAAPLISTVVARNRRVEVHIWGRIHAVSNITDSCKIVEFLPETGSMRIYNNINSQGLQRIVCALAWNTTVTTLDMSSVPIKSRWTKELRGVLERNRNLRTIKLTKCSLKDKAVVYIAAGLFKNTHLESLSLDGNRFGGVGLEHLLCPLSTFSPLQRQANTALKVLSFGGERANIGRYGVTAILQMLETNQSLIQLAIRNDASLKPNDVVKIFTSLERNATLRSLSLRGCKGVEGEVVLQTIMGTLEVNPWLEEIDLHETPLHLAGKTEQIYEKLCQNGGLVLPNDFLDLPLNAPTCCRVFLCGQELAVEQRACINENKINAIFDGNTKLTMCNLGEHEESFALHDFMFLAHGGPCFFMIMCSLVVKPANRYPKSMDQIEQELIYWLKFLVSNARRVSQLFLPSVTIVLTHYDKVAHLPEGLQPIATLIQRLREEFLSYSEICPTVFAVDARSSVSVSRLTHHLRMTAMTILQQVPQVYEVCNDLVRILHDWRLKNDKAAIRWSEYREICQLNIPALRLRSRRDNVEKVDARRRAVAKSLHNLGEIIFLEELGVLIMDCDWFCRDVLGQLGTLKSINKERSGFVSKQDLEKILQEKLCSQIQGSNWRAGASFQGSDVINMLLKLELCYEQDPGNPNTLLLVPAILEESKEGTQRWHLTMPGCRYVGRRLECKDTHMFLTSDFFPRLQVRLHNKIMCLGQPQGALYNLEKNFIYTVINGVHVRIEPGMKLDSSINVLACSSKSVTDMVRLFHKIIIPTILNLSSSMMFEESVIRPECVKYLIPHRFLQTQQLPLKRIKQILLSLPAESMYDYEHAWSAIESNKRILLQPGSDHARDMLSDDDFHEVLHRRYYDLQHLATELAVTPNNQQQSETIHETDVVDPSILGIAKGVEMVLQRLKRVEQGISDLKEEIASLRYYEYHLVTELHRKMDYVMNYNIQLEERKVPQLFYFVSLDNRSKHLVTRILPGMRSLRVHMLCEFRGEMHVVEDQVGCDLVQVDNQAVKSLLPYMSKFMKLLTFALKIGAHFIVGMGEMIPDLSREVVHLLDSSVMYGSAASAASVGVLGAAALYGKARNSSSNQSAASDMGEDMIAARQWLVDFLKGQGILTGMDLAQRFGLWRVRYRDDGHIAWVCRKHIIAREEEIFELPL >Et_1B_010319.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7087297:7087770:-1 gene:Et_1B_010319 transcript:Et_1B_010319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRAPRAPTPASPARPRSRRPRRSSATPPTRGRRSRPGRPRGATARGPCSPPAAAHPVRHPPRRRRRRWACRLRVSAWTGLRCRWRLGGRRS >Et_8A_057874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8580039:8581451:-1 gene:Et_8A_057874 transcript:Et_8A_057874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ISPRLSAERTGTIASTAEPIRFSRQETCPDGTVPIRRTLKQDLLRSYTHLGRSKLNQDSLNQDFSRFAQLLLTSEKGSKFQDAGGVLEVDALYVQPGQISSAQIILVDDSSDKVGCVQSGWHADDYQTTGCVNMLCPGFVVTSPTATPGMVFPFGNPIGISMSKTESGDWFLFLNQESVGYFPKQLFNNMDGATEIQFGGITYAPPGQKSPPMGNGVAPSSEHSTAASTFTQLGAKGNVAKKWVAKDVSDPAIYNVVLTSDSNTGPQGNAFQYGGPG >Et_3B_031617.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6068603:6068965:1 gene:Et_3B_031617 transcript:Et_3B_031617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISELLGSVSVVEPVVDGTASMQLERLLFLSGFFTLAMDLGVALYKPPKGVVFENHKLAHYLTLAGIFAAGLAEIVAAFWLSCYGHALGSFARAVLYASVVPLIVVAALGGFTALIKP >Et_1B_013937.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:24793199:24793879:-1 gene:Et_1B_013937 transcript:Et_1B_013937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILVRDLISSDDRTITLRVEPTDTVISLMEQLEERNGTPPILQWLSCSKCPIMNHNTGGTLADYEIVEGRTITAFFRVSGYHELERKTFKAMGIDVHSPAPPLLLPLPPPQDGERMQIVVADGIRVVMYRQKITLDVEASDTVASVMAQVQRRLGYPPDLQALCNYEGSSRITMYHDSVGTLADYEVKNGSTLTLSLNLRAAIAADVEERKLKKAKDIAAAGVEN >Et_8B_059529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18953699:18956530:1 gene:Et_8B_059529 transcript:Et_8B_059529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTCDGVTLASHSQPFAPSPWGDFFLNHRPCTPSQLLSMKEKAHATKEKVRKIILEADDSCDLIRKLELVDTLQRIGVDYHFKEEIDELLRHVYDDGDGGSDDLYATSLRFYLLRKHGYTVSADVFLKFRDEQGNVSSNDVRCLMTLYDAAYMRTHGEHILDSVITFNKSRLQSLMETHLEPDVAEEVWFTLETPRFRRVKRIEARRYIRVYQKKAGRDETVLELAKLDYNILQGLYCKELKELTIWWKDFQTQANISSYTRDRIVEMHFWMLGLFFEPQYSYSRKMLTQLFMIVSVLDDLYDNCCTTEDGGAFAAALQRWDEAAAEQCPAYLRTLYINILTTVKAIEEDLKLQDNKNAKLVKRLMIDMAKCYHAETEWRDKKYVPATVEEHLKISARSCGCMHVTAQGFISMGDVASAEAIEWAFAYPKIIRAVCIIARLANDIMSHKREQESKNMVTTVQACAKEHGVTIEEAIEKLRELIDEAWMDIVEECVRQPQPMALLETAINLARTMDFLYKDVDGYTESHSIKDTLDSLYVNLC >Et_10A_001487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:427146:430804:1 gene:Et_10A_001487 transcript:Et_10A_001487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKISALLTSAGINIGFCVLFLSLYSVLRKQPANVRVYFGRRIAEEHDRLRGAFILERFVPSAGWIVKALRCTEEEILAAAGLDAVVFNRILVFSIRIFSLAALLCVFGVLPLNYFGQEIQHLRIPKESLDIFTIGNVEVKSRWLWVHCLALYIITGVACILLYIEYKHIARLRLLHLTSKTPNPRHFTVLVRGIPKAHKEPCSNVVSNYFSKYHASSYLFHQVVYKVGKVQKIMTGAKKAYRKFKDFKDNTVDQGCRSLSYRCCLCGASSKSFELLSTECEQSRGKADSESGLNLQDEECTTAFVFFKTRYAALVASEILQTSNPLKWVTNLAPEPDDVYWSNLWLPYKQLWIRRIATLLGSIVFMFLFLIPVTFIQGLTQLEQLQQKLPFLKGILKKPYISQLVTGYLPSVILQIFLYTVPPIMMLFSTLEGTTSHSERKKSACCKVLYFTVWNVFFVNVLSGTVISQVNVLTNPKDIPLYLARAVPGQATFFITYVLTSGWASLSSELMQLFGLIWNFIRKYILRMREDTEFVPSFPYHTEVPRVMLFGLLGFTCSVLAPLILPFLLVYFFLGYVVYRNQLLNVYRTRYDTGGLYWPIAHNTVIFSLVLTQIICLGVFGLKESPVAAGFTIPLIILTLLFNQYCRNRLLPLFKTFPAQDLIDMDRADEQSGRIDEIHHRLHSAYCQFPCTEDVPLEKIKTVGRDEEGSSSGESSGKETCENPARDLSHPTLKGLPVSRLQNAVRSITFLIRLQKRGLSV >Et_6A_047131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25687141:25688119:-1 gene:Et_6A_047131 transcript:Et_6A_047131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAVAAAALRPLIVVAAGRRCRSGLPSTPATMRRRRRAFAFVLFFSTVLPESHLAKPPWVLPTWERSKGVWRNASVGSVTALEWDGVFKLKGRMVDPLGSVEKIMKVGLAIKDAVKTVRKNKEECRDIRNRVLRVSALLKRLQETVMIENPAMHEALEALEEH >Et_5A_040247.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4442540:4443007:1 gene:Et_5A_040247 transcript:Et_5A_040247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREAVAAAEEEVACECCGFTEECTAPYIAGVRARYGGRWICGLCGDAVGEELGRASPPISPAEALDRHACVCRRGSAPPSPAGSGADDLIEALRLLLRRRLGSPPPPPRRARSTPSSPRRDAAVVSVAAVAAGAGRSLGRTESCFAALVE >Et_6B_048900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15167864:15177998:-1 gene:Et_6B_048900 transcript:Et_6B_048900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPSSQGSNSALSSIELPLRPGAHMHFYFRYGMCKFGLKCKFDHPMQAVMSILASSPTIEVPTANRTHAPVRGRTHTGILVLRRLVPHPQTTNNRRLEVPNTILAHDGVKQLEWASSVPVRLYAVSRENVFLDRPDKHNTRDCKFGVVCKFHHPKDRTVPVLTFNRTTITPGRAQMHFLFSLWNVQVWSKMQIRSSNASSHVHPCFITNQ >Et_7B_054628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:337956:340870:1 gene:Et_7B_054628 transcript:Et_7B_054628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQATQLKTLRFSRRHAAAAVQPRRMASFRCSASARSYNITLLPGDGIGPEVVAVAKDVLSLAGSLEGVELRFQEKLMGGSALDATGVPLPEETLTAARDSDAVLLGAIGGYKWDNNEKHLKPETGLLQLRAGLGVFANLRPAAVLPQLVDASTLKREVAEGVDIMVVRELTGGIYFGKPRGFGTNDKGEDTGFNTEIYSAPEIDRIARVAFEVARKRRGKLCSVDKANVLEASMLWRKRVTALASEFPDIELSHMYVDNAAMQLVRNPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASVGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLRYGLGEENAAKRIEDAVLETLNHGFRTGDIYSPGTTLVGCKRMGEEVLKTVESQKVVAAVN >Et_4B_037124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1541741:1550046:1 gene:Et_4B_037124 transcript:Et_4B_037124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGSSLRTETHPEKKPPSRPAGPPPHRPSVSLNQLQAPAASAARVGGGGREAPAFSEFSLAELRAATGGFATENIVSECGEKAPNLVYRGRLEASRRAIAVKKFTKMAWPDPKQFAEEARGVGKLRHRRLANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVAYYIAEALEYCSTEGRPIYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTSESVIFSFGTILLDLLSGKRIPPSRAQDMIRGNNIQVLMDSHLEGNYSTEEATTLVELASQCLQYEPRDRPNTKKLVSVLEPLQIKSEVPSYEMLGIPRHEEEEVPPAPQPQHPLSAMGEACSRMDLTAIHQILLTTHYRDDEGTNELSFQEWTQQMRDMLDARKRGDFAFRDKDFKAAIDCYTQFVDVGTMVSPTVYARRSLCHLMCDQPDAALRDAMQAQCVLPDWPTAFYMQAVALSELNMQSDAMDMLNEASQLEEKRQKILRDMIRGNNIQVLMDSHLEGNYSTEEATTLVDLAPTQKVPSYEMLGIPKHEEEAPPTPQPRHPLSAMGEACSRMDLTAIHQILLTTHYRDDEGTNELSFQEWTQQMRDMLDARKRGDFAFRDKDFKAAIDCYTQALDMIRGNNIQVLMDSHLEGNYSTEEASLPLSVYSMNLGIAPTQKRLGNVPFLLQQCRSCAAGRLAAMPRSSCSGGSSLSWAAERCILCMLLQQLKQTLHSGIASARTWPAGERRNAWPTKGAAQLNQGAKESEFEDTFLKIVKLPQRCGARSHRRRQRDGQLKRVMAELIKKPAISRLIFSRLTIIHDECNSAPDPAALQISHQFVEATKQLQ >Et_8B_058792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5828777:5829604:1 gene:Et_8B_058792 transcript:Et_8B_058792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FRLDYEKTNDLAIGEKVPSEAFAAGGHTWRIDCFPRGDDESDEGEYLSIFVKLLGKARSVNAIFEAFLLDKHGEFDKNSIDWGWSQFVSRDDLEKDYLAEGHITIMCAIMVFNDSSTSVPPSDIAKHFGMLLDGTDGTDVSFIIDGETFHAHRVVLAARSSVFKAELLGSMAEATMPSITLQDITPATFRVMRRFMYTDAFPGDSELGDSLFEMLQHLLAAADRYALERLKLMCARKLWDYVSVETVAATLACAEVKCTAAWS >Et_2A_018803.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:834659:836428:1 gene:Et_2A_018803 transcript:Et_2A_018803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCMPSHAALTSCAPADVIALSSFSKHLDMAINGWPAGAENGSISDCCHWPGVRCRRLGSQRDQVRVVGLDLAGRGLTGAILSSSSLARLDELRVLNLSWNSLHGALPSELLRMKRLGVLDLSHNGFTGELGGDDDASGRRGTIRTLDVSFNSLSSLHARVFLGLPSLRRFSAESNLLAGTVPVSLSSCSELEYVSMANNSLHGTLDSLNFSRLTRLGALHLDWNRLSGRLPASLSGCRRLRVVNLRRNNFSGQVPASFRRLQALSFFDIGDNSVTGIKRALRALQECRALAVLILTTNFRGEELPGADDGIRGFPSMRLLGVANCALTGAVPPWLRASARLSVLDLSWNQLSGAAPPWLGGFDELFRIDLSGNALTGGIPPSLARLRSLAAGDDAASRVQLSLSGDYGVRLYNWHVERGQLWYNSYIPPSLDLSRNGLTGAIPPELADLRALNLLNLSWNSLSGQIPASLASLGSLQTLDLSNNELAGEIPSSLAGLTFLSCFDVSFNRLSGAIPDHGQFSTFPCSSFAGNPGLHGEYCDGLVGAGTQENLDGDVASLVHDFWLPFWLGMVAGLLATMYAHLLVLETS >Et_1B_012457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32144639:32147720:1 gene:Et_1B_012457 transcript:Et_1B_012457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNFCVRFFLFIALVLSLEPNSYAQSTIKSNDEHQILLGLKQHWGRNSPSLSRWNLTSAAHCNWGGVSCTNGMVTALSLPNQFLAKPIPSLLCFLKNLAHLDLSYNNFSMAFPITLYNCSNLNYLDISNNFFVGQLPDDINTLPAQLKHLNLSTNRFTGKIPPSIGWLPRLKSLLLDTNRFDGSYPVEEISNLAKLEVLTLAQNPFDPAPIPEEFGKLTHLTYLWLSDMNITGEIPESLSNLSNLNLLALSSNYLQGTIPMWIWQLKKLEKLYIYDNYFTGVIATNITALNLVEIDVSTNQLTGRIRDDFSKLTSLTLLFLYNNQLLGSIPLGIGLLPNLADIRLFSNNLSGPLPPELGKHSALGNIEVSDNNLSGELPEGLCSNKKLNDIVVFNNSFSGKLPESLGGCYQLNNLMLYNNHFTGDFPMSLWSVVTNKLNTVMIQNNNFSGTFPTQLPWNFTHLDISNNRFSGPIPTLAGKMKVFKAANNLLSGDIPWDLTGISQITDLDLSRNQITGSIPMTIGVLNRLNALNLSGNQMSGNIPAAFGFMSVLTILDLSSNALSGEIPKDFNKLKLNILNLSRNQLTGEIPTFLQIDAYDLRFNSGLCVSSNSSIHYFSMCRASTHFSNDLSRRLIVLFSALASIIFLVLAVGGFLLLIRGKKHKQDLLQWKLTPFHALHFTEHDILSGLCEQNLIGSGRSGKVYRVYVTNGTGAGRTVAVKKIWKMQNLNEDLEKDFLAEIQILGEIRHTNIVKLLCCISSSEAKLLVYEYMENGSLDSWLHQRERIGVPAPLDWASRLRIAIDSARGLCYIHHGCSPAIVHRDVKSANILLDPEFRAKIADFGLARILLKAGDPESVSAVGGTFGYMPPEYGYWRKVNEKVDVYSFGVVLLELTSGRVANGGGSEYSLAQWAWREYQENGLSVDLIDEEIQDPEHNEDILAVFTLAIICTGEQPSMRPSMRDVLHALAKFDHRLRGERLQNADSEEVALIES >Et_2A_014981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24427864:24428730:1 gene:Et_2A_014981 transcript:Et_2A_014981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTAAKDDAAATPLDRRQAPLLLFDYGRDTTTVLAARTDAMRDHRYWITPQGWLLIAAAAGSTDTETFLWDPFTGRRVGLPPDTEGFLKAGDGSKRCLLSRRSVTAGDPAGCLVLVVDLTDTVLWHCRLGGDGQWLRHEYEPATLGESRNAVLWSMSAHLTSVDGKFFMKFVDTVVTLELSPEGPAFSVFPVDEDRASSPPCTFFTTQLVESGGDLFHVRFRLSDIRGRFVAGIGVSKLDLSARTWVKAVTWGQGVRCYSESDWSVA >Et_8A_056313.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:21936004:21936189:-1 gene:Et_8A_056313 transcript:Et_8A_056313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGVGAALLHLEYFLANLGREFQWKEVPGEPVDFAERQTLSVVMRRPLRAKVVPCRPNST >Et_2A_016910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29340214:29341729:1 gene:Et_2A_016910 transcript:Et_2A_016910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGGGGLKKGPWTQAEDKLLVDHVRRHGEGNWNAVRRETGLQRCGKSCRLRWANHLRPNLRKGPFSPEEERHILRLHGLIGNKWARISSHLPGRTDNEIKNYWNTRLKRRQRAGLPLYPPDIEREIAVLRAQNVNPFADADHTANTHATLPAPLLFDASNPFALPPSGAHSPLINQNYPLLNQMQGMQQQQLHHFAGQQGNAAPPPVFHHDSHAVLRHAGFGAGLPPLPNRVHELPSNQFDTANGGGGTGLLDSLMLGDGHLPRANPSMFRVGSMPELMNREPSSRMLVHGDSDVTSHCPPGADLHHGGKWDFVLEDVKPAKRKAASEVELDMSDMLSTFPGSIPADWFSAGGGSTAPSPGSASAVTDDEFNLEMQQLMTSLPLSLDEHNWNAQI >Et_9B_064263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13435233:13438502:1 gene:Et_9B_064263 transcript:Et_9B_064263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKNNAGKASPCLLVLVSVGCFFATYNFLTMVGHGRGRDGPPRKFLGGDRDSAVSVGSGSDPSKRFHVALTATDALYSQWQSRIMHYWYREMRDRPGSDMGGFTRILHSGKPDGLMDEIPTMIVDPLPEGQDRGYIVLNRPWAFVQWLQKAKIPEDYILMAEPDHIFVRPLPNLAHGDEPAAFPFFYIKPTENEKIIRKFFPEEKGPVSKIDPIGNSPVIIKKAQLEKIAPTWMNVSLKMKEDQETDKAFGWVLEMYAYAVASALHGVHHSLRKDFMIQPPWDLKTDNTFIIHYTYGCDYSMKGQLTYGKIGEWRFDKRSYLRSPPPRNLISQRIIIVYANLNYLQATLVKMVNQATANIPGWDEER >Et_4B_037584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21386115:21390496:-1 gene:Et_4B_037584 transcript:Et_4B_037584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPHLPANLCPYVIEQEEPAQLLAEVSSPLPRLASSAATFAASSVPPPPAAQTVNLMATSAGAATSSFPHLVAATFAIRRHGAAHRIRASTGTGEAAMDVVSEAELREKGFMGMRKTKLVCTVGPACVEALPALARGGMGVARVNLCHGGREWHRTAMRAVRRLNEEEGFCVSLMVDTEGSQLLVADHGGATSVKAEDGSEWLFTSKKTDEAHPFTMHVNFDKFSEGILVSDELVIDGGMSTFQVTEKIGNDLRCTCTDPGLLLPRAKLSFWRNGKLVERNFGLPTLSAKDWADIEFGIAEGVDCIALSFVKDANDIKHLKAYLSRRSLEHIKIFAKIESLESLKNLKEIIEASDGVMVARGDLGVQIPLEQIPAIQESIVKLCRHLNKPVIVASQLLESMVEYPTPTRAEVADISEAVRQYADAVMLSAESAVGAYPQKALSVLRAASERMESWSRQENMQKLLPQYPLAIALPDQISEQICNSAVEMANNLAVDAIFVYTKHGHMASLLSRNRPNPPIFAFTDNANSRKSMNFFWGVIPLQLPLSNNMEDNFKTTISLMKSKGSVKSGDTVLVVSDSDLNRPCADTSVFQSIQVRLVD >Et_7A_052376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7404650:7409180:1 gene:Et_7A_052376 transcript:Et_7A_052376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSHAAGQSAATASIPRGRQRLAPKPPAAAAFLRGLFPSRPPQAKADLLRLIADQRRGLETQSDPARLADIVSCIDALAASAGPGADTVSDAARLSGTWRLLWTTEREQLFIVQNAPAFRTAAGDVLQVIDVPGGALNNVITFPPSGAFVVDGDIEIQPPQRVNFRRVFLFRRAMLRGSNWEVPFPPFGKGWFDTVYLDDDFRVAKDIRGDYLVVERAPYSWSRYLIALLSMFQFMITWEEVCLWWNGVTSHPRFKVAVAQPCKALAGQLQWLEQDSGKTVVLHVKMDLAMALPMSGSSTNLFIVERESRDAAPQSAKEIKSLSVGTGSFAEPARPDQACSLWCWSHTAREPLMQRPAGQHAIGSAAPEQVPGRHQQRSRGSRPSAVTVHSESQQWAWLSARKGNPWQDGTRRLPARPGARARQGKPSPPIGSSDQVLWSFIASLSLLLFLLWEPGGASISSAAVWWSWYVRTYRHFGYRTPLPISIHSGLPAFSLDTIMTARCLVAP >Et_7A_052197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5517966:5522319:1 gene:Et_7A_052197 transcript:Et_7A_052197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCHFPVDCRMEMEEEVFFDTQEELTASPASSPGPALPWSGDLDSVWQRRERFMRSMGLECSSSPQQPGSVDTVGEIEEEEVVAEFGRSSSQSDENDCSMSSWSSEETTSYEGGASDDNSVSGSSRDDDGSKMGRSFSSLSFIQRLMSRSGKLSVVPKAIGRRRNGWFRRLGLRAGVVDHGEDEASTSSSEGEQRYERVKVRSYRKQSKEFSAVYQGQVIKAHDGAILTMKFSPDGQFLASGGEDGVVRVWGVTQSEDCKIPMDDPSCVYLKADPKGGLAPVSVDIEKKCKVRGVKQSAKPACVVIPSMAFQISEEPLHEFHGHFGDVLDLSWSNNKHLLSASTDRTVRLWKIGSAKCISIFPHSNFVTCVQFNPTNKNRFISGSIDGKIRVWNITKCSVVDWADIRDIVTAVCYSPDGKGAVVGTITGNCRFYDASGRLLQFETQIALNGENKSSLKRITAFEFCPSNPNKLMVTSADSKIKILDGTIVTQKYSGLRSGSCHSLATFTPDGQHIISASEDSNIYVWNHENQDESSWKYAKTIWSSERFHSNNVAIAIPWNGNARSPVSLASQILPPQGDNFLCMSDGVKGNSGDSGEDSSNSSFISSFDAPGIFSTKSTCRSSATWPEEILPSCSVLKNCLQSSSNSWGQVIVTAGWDGKIRSFQNYGLSTHPVRLCRLRFPRSSKASSQNAAPCSAVDLQCIAPDLKLLELLSCSEM >Et_9B_066233.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:7950608:7951663:-1 gene:Et_9B_066233 transcript:Et_9B_066233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSIKLHVPPKPARVQATKNSAALFFRYAVTTSPASTATVVLPEVNKAEEEAFSFEQYMASKAAFVNAALERALPLRHPERLHQSMRYSLLAGGKRVRPVLALAACALVGGDEAAAAPVACAVEMVHAMSLVHDDLPCMDDDALRRGRPANHVAFGVSTALLAGDALLALAFEHAARGLASSGVPADRALRAVAELAGAVGGEGLAAGQVVDLASEGAGETVGLDTLEFIHTHKTARLLEAAAVCGAVVGGAGDEDVIESVRAYARCVGLLFQVVDDVLDVTRSSEQLGKTAGKDVAAGKATYPKLLGVDGARAYAAELVARAEAELDRFDAARAAPLRHLARFVAYRQN >Et_2B_019182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16066488:16067441:-1 gene:Et_2B_019182 transcript:Et_2B_019182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEAKDTTPAASAGGPQLKLLVDKRSRRVLYAEARKDAVDFLFGLLRVPVGLAARVLAEHDANAPRSLGTLYAGARSLDDAFFVASMAGSKTNDRDALLSPAPPSAALKLLLGGDPPPPPPPPPPPKRYFRNPTHVTDVSGLPCPACWQPMTVEMQWAPPGDGHGKQAQEAGEGAGGYVKEVVTYLVMDDLTVEPMSTISAIILLKKFNVKDCSALEETTVEIGTKEAVMLLKASLQSTTALTDVFSGGVAIDMIDG >Et_6A_046626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18419871:18422226:1 gene:Et_6A_046626 transcript:Et_6A_046626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARIKKIMQADEDVGKIALAVPVLVSRALELFLQDLIDRTYEITLQSGAKTLNSFHLKQCVKRYSSFDFLTEVVNKVPDLGGADSCGDERGLPRRRKSGSDPENDESRSSKMAVRSSLSPRGRGRGRGRGRGRPPTKRKEVGYVQFEDESSMYAEQSEPLPGEDTVLETNHGNESIPQSTHLPPVEAAPSAPAPATSSKVEEANTDHQADWPMPDAIGNIGVGPSGFGHLTVQVDEDEDYDNED >Et_10A_001576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5379139:5381089:1 gene:Et_10A_001576 transcript:Et_10A_001576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLYEVKDLHLRLQVPHPLQRRQVHGFSFRNSSILTFNLHKFERKYCLIKKACRYLRDGKLVDTSLLEEQRDKPWNPCAPKSMRDVHVRGALPCAAKRGLKEVAGTLANLLLGFAWRLPDDGTAPEDLSMEEFFGMSVRRATPSPGCRRTSTPLNKDVLFSRA >Et_1A_008932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19828189:19832439:1 gene:Et_1A_008932 transcript:Et_1A_008932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYNNSVPSGENGRFYAMQNNLDHHYSSSDDGSQRINSSNPRVFDAQYCTLESSSGNGVYPAQSSTSSHSISPISGSPLSQHDSHSDHTYNSPPSASCLTEVADLQVKLKELENAILGPELDITSDSPESFLQTNAPLRPDNWKQLLGIDTGDLKQVIVACGKAVAENDLFLTELLISELGQLVSVSGDPMQRLGAYMLEGIVARLSSSGSMLYKSLKCKEPTSSELMSYMGLLYEICPFFKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWITLIQALAARPGGPPCIRITGIDDSNSAYARGGGLDIVGMRLYNVAQSCGLPFEFNAVPAASHEVQLEHLDIRPREVIAVNFAYQLHHVPDESVSMENHRDRIIRMIKSINPRVVTLVEQESNTNTAPFFPRYMETLNYYTAMFESIDVALPRDDRRRMSTEQHCVARDIVNLIACEGAERVERHELFGKWKARFAMAGFRPYPLSSVVNNTISTLLRSYNGGYRLEETDGVLYLGWKNRVCQQESCLLLG >Et_5A_041386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2249774:2252040:-1 gene:Et_5A_041386 transcript:Et_5A_041386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLTSAPAARGGLPAPPTTATRLAGAVYNREQDWRLRIDPMDDTRAPSSTFPVFAPAIHAISSGARPPRPSVDPPAKKKRSLFIAPHPRSRAPPPSCNPQPLPTALPPGGELPRRQEAPSQLLNGDPDSSCFPSSWLQATASVAVSDACGTASASSASSAFFDWTPTIDNAAEDPRTSSSQPFMNLLTQDKDADLQLLIQEDVTPSKRQPKRDSNYSLEEDIQLCKSWINISNDPIIGTDQPGKTYWKRIVQDFHRNKDFESDRSANSIEHRCQSILKECMKFYGYYEDIEDRHPSGVTYQEHMLEAQALYASKHKGKRCLFIDCWLVVRHTEKFAALPGLKISKRSSNSTNLNLNIPAECEGDDTEHAQGSSSKKPRPPGRKQSKEKLKRGEGDDEYMNTSN >Et_9B_065016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20501985:20508856:1 gene:Et_9B_065016 transcript:Et_9B_065016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGEMLTGLRDPGTPASTATSSAASAAAAAAELARAGGPGAIGVGGGNFPLAVALLAFAFANFINLVAIWLKEKRWDARKFLTSAGVLSSLSATVGSLAVAVGQQEGADSSAFALALVFAAVVMYDASGIRFHTGRQAALLNQIVCDFPPEHPIISTFRPLREPLGHSPLQVFAGALVGCAVAYRCSPARSPYLPRWSSRSCWATTARALAVSGDNARLQVEAALRRRLGEAHRQAFQLLALVPLLSQLGKHGQNPQAASPTASPDLEQCNQSQIQVAPDTRVLHLWRSTAGGEGEDELLGTQVPSASIAAWNYVAVVRIQLACAVASE >Et_4B_037064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14023416:14026234:-1 gene:Et_4B_037064 transcript:Et_4B_037064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRLLLLGLTLAAWATTAWATTAWAHGGSSDSDSDAEPNLRARGLVAAKLWCLAVVFAGTLAGGVSPYFMRWNEAFLALGTQFAGGVFLGTALMHFLSDADETFGDLLPDSGYPYAFMLACAGYVVTMLADCVISHVVSRGGGRHGAGVNSAAGLEEGKVSNTNGNSSGPQPAEAHNGPSADHNVASMLRNASTLGDSVLLIAALCFHSVFEGIAIGVAETKADAWKALWTISLHKIFAAIAMGIALLRMLPNRPLLSCFAYAFAFAISSPIGVGIGIVIDATTQGRVADWIFAISMGLATGIFIYVSINHLLSKGYKPRRPLAVDTPVGRWLAVVLGVAVIAVVMIWDT >Et_3A_024460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2095192:2096790:-1 gene:Et_3A_024460 transcript:Et_3A_024460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSSQVAGTIDAGLEPRRRFFDGVGIFNVVTNAIILAGEGPSSAPRHVSAEDAAGNNNVEEMALSGERISAECVSHGDNMEEIQKVLQIKAVILNHQHEQPINLFKSMRWLEVMALTVDTRKSTGIEDAVRELPKQKSILKKLVRDITKGWKVEADGIAKKKLSGSSSTEPMATQDINIDFYHYETQTTVTSSPQEVESFIWKVRGDHSHHLIVGLDTEWRVVQEDGVLRHRTALLQLCVDKRCLVFQIHLTAVVLEVLKDFLTCPQCKFVGAGVEGDIKRLSLDYRIEVPTWSDLQSWSMKLKGYSSPPSLKCMVQDMMDVTMNKDIVHSFWGEPELTLRQIEYAAIDAFYLQNLHC >Et_6B_049721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9407701:9408595:-1 gene:Et_6B_049721 transcript:Et_6B_049721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGSKGTSCAGAARAASVSREYFILHTAVAQHADDIKMDMLVLENQVPFAALKMLAGFCAGVKLRHPVEELVLGCFDDIWPRAAPLPLVPRAEGQLLRIKKESERLFPCYAELRRAAVWFRPAPDHSGESESGGFDMRFWWHPASAVAVMTVPCLHVHEYTAAVLHNMLAFEKHFSWAHGAAVTAHLALMEGLVRCPQDAAMLRRRGVLAATRRTDAELAALFRELGEETVGARWRATRQRLVRRLRAALFPLAVGRRLARRRCGSHLRAVHGADRLHHARLLQDYR >Et_3B_030108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30576306:30579010:-1 gene:Et_3B_030108 transcript:Et_3B_030108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNGAIGDQGEMWGWQNQEYDLQKELVADPCSSLWGEGRNIVGDDWSMFEDQTPIKHCADYEFQFCDIGDGKETLQAKRRRMLQFCPENVEMDCPMTEDGLSESLQVNLDFSSEKCLLNTDGTDELPEEWLVDCSQDMVQTSFSCEQAIVVHNGTTQGRPTPLKAGRSIIRAKKQRTAVAFPFELIKPCSFHGAVTLNDINQKIHAPPPYKIKHKNDVGPNSHEASAISGKPVVHKTKIHTEGGKGSITITRTLG >Et_2A_016253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22682030:22685959:1 gene:Et_2A_016253 transcript:Et_2A_016253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PDTLLQSRRRRRSPTVSAARRLLAVLEILILRIFPAACAVKSAGRRDVRMMGSNSGAGGGGGVGGGGMGPGMGGPAGGGGDGRHDDEAALTEFLSSLMDYTPTIPDELVEHYLGRSGFNCPDLRLTRLIAVATQKFLSDVASDSLQHCKARVAAPIKDNKSKQPKDRRLVLTMDDLSKALREHGVNLKHPEYFADSPSAGMAPSAREE >Et_4A_033644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25302819:25309492:-1 gene:Et_4A_033644 transcript:Et_4A_033644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAVAELLYGMPLLRSMTPGGDGGAEVPSGCGALLAELKQLWGEIGKSREERERMVRELEAECMRVYRRKVDEATGERAMLHQSLAAGEAEIAALTAALGADNSPQLKVNKWTMSLTERVSSATSLLEELRAMKAERSKQFADIRSEIEKISAEIAGRSYGYECSPRASEVDEHDLTIRRLNEYKTRLATLQKEKSERLHKVLQHVTEVHSLCDVLGEDFIAIVNEVDPGLHETTDPGKPTSISDATLCSLAQVVAMLTSEKAKRAAMLREAVVPLVDLWELMDSPEEERRGFRKVTAVLSSDKEEALASGVLSVETIKKTEEEVERLTRLKAGRMKELVLKRRLELENICRSMHVEPDASTVPEKSIALIDSGLVNPSELMASIDQQIAKAKEEYQARKDIMDKINKWLLACEEEKWLEEYNLDENRFNTGRIARLNLKRAEKARLIITKIPAIVDNLMSRTLAWQSERKKPFLYDGARLVAVLEEHKQARLRQEEERRRLREQKKLRTLLSEKEAMPHLKRPGSSFGRTAEPCNANRKRVDAVRFTPSVRSGASSSGASSAGAASQQWRRRCSRAAQAALVACREWTLDVDAERVKLACMYVSVSQFVSLTREDKKGKRPVSTGTVSHARRMKLRVRVEERWEAPPDGMGESECGRYL >Et_8A_056148.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:6126440:6126727:-1 gene:Et_8A_056148 transcript:Et_8A_056148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAILSSRLAGLVAGNCSRRTYAAAAEAVAVKEPVNVAVKAMLPPASAKEQATKKDEWSWMRDPKTGCWMPENHIDDVDAADLRARLNFSKKD >Et_1B_010142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25759297:25760105:1 gene:Et_1B_010142 transcript:Et_1B_010142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSPPPSPLLPPAGEPFRVFVGYDPREHEAYEAHREHRVLLHPLPHPLPRRLPRLGALRRLRLPLPRRRRRAPRLRRQQRRRGRPPLRRLRQARVQGALQLRPPQERRRAHPGRRQHPDRRVPPPIRVARRRRDRGAAVRLELSRRPQQGRPRRSGHAAQGHPLHLRRAVVRAVQGLRVRRLLDQGGRGAQGREGEAQTQGPPRGGRQQQ >Et_5A_041354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21698803:21699503:-1 gene:Et_5A_041354 transcript:Et_5A_041354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQWNPFPIMTRSARNPSRQEQATVKASGRDARPARFSSSPSAAARTRNRRWRSTPRLSTACSGHRSTDTAGTLTGHAAGC >Et_7B_055695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22229114:22233678:1 gene:Et_7B_055695 transcript:Et_7B_055695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTPASPASTAGTAAVSGRGILRLGTHATRARLAAGLGRPGGRAATALVRAAQPAAPELVEQSVNTTRFLAVDAVEKEQSGHPGLPMGCAPLGHILFDEFLRFNPKNPAWFDRDRFVLSAGHGCMLQYALLHLAGYDGVTIDDLKAFRQWGSTTPGHPENFETPGVEVTTGPLGQGFANAVGLALAEKHLAARFNKTDLKIVDHYTYVILGDGCQMEGISNEAASLAGHWGLGKLIAFYDDNHISIDGNTDIAFTEDVVARYEALGWHTIWVKNGNTGYDDIRAAIKEAKAVKDKPTLIKVQKKWRQPEAIFVGIMSLSMHWSHHVNEGASLEAEWNRKFADYEKKYHEEAAELKTIITRELPSGWDKALPTYTPESSPDATRNLSQKCLNALAKVIPGFLGGSADLATSNMTLLKMSGDFQRDTPEERNIRFGVREHAMGAISNGIAVHSPGLIPYCATFFVFTDYMRAAIRLSALSESGVIFVMTHDSIGLGEDGPTHQPVEQLFSLRAMPNILLLRPADGNETSGAYKIAVLNRKRPSILALSRQKLPQLKGTSVDAVAKGGYIISDNSSDNQPDLILIGTGSELEIAEKAAEELRKDRRAVRVVSLVCWELFEEQSEEYKESVLPSEVTSRLSIEAGVTFGWEKYVGQRGKAIGVDRFGASAPAGKIYKELGLTVENVVAVAKTL >Et_10B_002822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1182374:1195611:-1 gene:Et_10B_002822 transcript:Et_10B_002822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPGPPPLPGGDPDPAPSAPSASDAEDDRVFLVPRRWWREAQEGAAIDAAGVPYAATPAGPTSYGMKVLSMFMSDQAFTLRRDDELLKQPTDASTGRSHALVPADLFTKAREWHIDSDKSAGRTSPHAENGSVNIYPVMLRVSVSRDNALTVKIGKKDNSAENFKRANKILTPDSEPVHIWDFSGRTTFILMNEWNRMPQDPKSSDQEMPLEVHIYDLSDPMANGAESALTVGSSSFSNGSIMGMDLDSSSGSSKQVGSGLTGLDNLGNTCFMNSAVQCLAHTSKLVDYFLGDYYKEINSRNPLGMKGELAYAFGDLLRKLWAIDRTPVAPRQFKIKLGRFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKCKPYSEVKDSDGRPDEEVADEYWGNHLARNDSIIVDICQVYNSSIIRYLDEPSEVISLIRDGDRLVAYRLPKDSEDGPVVVFRNQRMESSLSSFGRKSWKTFGIPLVSSLPDTVTRNTICNLFLKVMTPFRVLKDDVAEDDQTTGECSLDNENASIDMSSDASEPTSKNNYSLDDETGTEDAIQFFLINEKFPDQRLKIEMDEHVTLKGSQKRLHVVVCWQDSGLEQYNFGSLDSLPEIYKAVLFSRRPQDTCSLYACLEAFIKEEPLGPDDMWYCPGCKEHRQASKKLDLWRLPEILIIHLKRFSYSRYTKNKLETFVDFPIHDLDLSKYIGSRSQQIPHNYRLFAISNHYGSMGGGHYTAYVYHEGKKGWYDFDDRHVGPITEDSIKTSAAYVLFYRRIQDDNVDTEIETDSDCPRITAAATAIFTGEGTEIGGREG >Et_1A_008956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2154771:2159560:1 gene:Et_1A_008956 transcript:Et_1A_008956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRFLHLVATNVIRGRRAYTFHLIDPSRFFHPTTPSHGSTDNIKEMEEFQLAPSFMTFHGPSQLGDLGAMEFMPLGAPSRKGLVAVDHKCRTILLDTATHAVSTMPALHAPKVSPVSISVGDSLYVLKTNAMPDDEHCFEALIHGRGPESRCSQDWYWHTLPPPPHVLYPSDELDEVCFRDIIGHALFGASYIWLSTEHAGTYSFCTVQRSWSKVGNGAMPFDGRAEYIPELEVSLGFSSDNLLCASDLVGVSLPHPPKLLGKWEDVVQSEKWTPISSELVPLGSRKVFIAKFFEIREKRYIQDDCVYVFAGVELEKSGGQLRMRKHKSMRFTPNHHMRHWKVEKGVRMLGRVTRGSFRINSWRRNHHSND >Et_2A_018840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8764165:8769574:-1 gene:Et_2A_018840 transcript:Et_2A_018840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFADFQHLNSTGLHLTLHHPLSPCSPAPVQSDLPFSAVLTHDDARVAHLASRLAGEATSSRPTSLRKNKKAGVADSLAATVPLTPGVSVGVGNYVTRLGLGTPATSYAMVVDTGSSLTWLQCSPCVVSCHRQAGPIFNPRASSTYAAVPCSASQCGELQAATLNPSACSVSNVCVYQASYGDSSFSVGYLSKDTVSFGSGSFPNFYYGCGQDNEGLFGRSAGLIGLARNKLSLLYQLAPSLGNSFAYCLPTSSSSGYLTIGSYNPGQYSFTPMASSSSDGSLYFVSLAGMSVAGSPLAVSSSEYSSLPTIIDSGTVITRLPTSVYTALSKAVAAAMGGTPSAPAFSILDTCFQGQASQLRVPAVNMAFAGGATLKLATRNVLIDVDDSTTCLAFAPTDSTAIIGNTQQQTFSVVYDVAQSKIGFAPGLGDFIFFLALRRYHLSWLTLAFLDIFFFAGSCCL >Et_5A_040612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10284336:10287268:1 gene:Et_5A_040612 transcript:Et_5A_040612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKDKSRRVTAAAAASMAALAAAAADGADGGAYAEDQDTKPPKLGAQMLSQSGSSSSSSPPPAATPAVPVSTRRGGGGPCCQADRCGAELGESKRYHKRHKVCEAHAKAPVVIVAGLRQRFCQQCSRFHELSEFDDVKRSCRLRLAGHNERRRKSSAEAHGPPPPGPSSSDPCRHADQDGRSHPGNPPLGNFQIR >Et_9A_062957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7506980:7507383:1 gene:Et_9A_062957 transcript:Et_9A_062957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSTLISGASAVSGFFASACSLPFDYVKTQIQKMQPDANGKYPYTGPLDCAVQTFKAGGPFKFYTGFPVYYARIVPHVVMTWIVLNQIQKYEKKIG >Et_9A_061981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18505234:18506645:-1 gene:Et_9A_061981 transcript:Et_9A_061981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETIDLTGDGGVLKTVVRKAKDDATAPSDSLPLVDVHYEGSLAENGEVFDTTHEDNSIFSFEVGQGAVIKAWDIALRTMKVGEVAKITCKPEYGYGSAGSPPEIPPNSTLIFEVELLACRPRKGSSLGSVSEEKARLEELKKQRELAAATKEEEKKKREEAKAAAAARVQAKLDAKKGKGKGKGK >Et_9A_062120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19866521:19875996:-1 gene:Et_9A_062120 transcript:Et_9A_062120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKWQRMAAMARRRLTSTLPEETEGPSTSVASKGHCVLYSADGRRFEVPLAHLSMKIFGELLRQSQEEFGFSGDDGRITLPCDATMMEYVICLLRRDASEEVERALLSSMVRTCNYGNNSCVETMGLTQQPPNTITSSQEEFPVSAFKQKEAAMISAKRIAQLAKKWQRMAALGRKRLPMKSKEGEECSTAVAGKGHCVMYTADGRRFEVPLMYLGTAVFTELLTMSQEEFGFSSDAGRIRLPCDAAVMEYILCLLRRNVSTEVENALLSSIAMPSQDAISGDEEAREHTADGMRFVVPMMYLRTIIFGELLRRWQENASSTSAEALLLSRHMAYSMTVASQGSLIWPSLTKPNSSWDIRRSSVKIGVPRYANGSSKRCTPHSGPFPLQRGSTDLLLLPPPSQVRRRRSKGAMPMISAKRLVQMVKNWQIMAAMARKRLTSMLPEETEGPSTSVASKGHRMVYSADGRRFEHGDIRELLRQSREEFGFSFDDGRITLPCDAKVMEYMICLLRRDSSEEVERALLSSMVKTSNYGNDGFVVAMGLSRQPPNTITSSTNKASNHKKSFRCTL >Et_5B_045194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11461332:11461841:-1 gene:Et_5B_045194 transcript:Et_5B_045194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGWFLAFAGALTAAVAVAAAVLSCAVATAEASSYLGSSSLDCATVTSLLSDCGGFVLHGAAASPLPAPGTPCCAGVAELYAVAADSADNWRSVCGCMAGLVRRYSANASAIALLPVVCGVLPDRHTVDNLTYCRSPP >Et_6B_048656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12199223:12203692:1 gene:Et_6B_048656 transcript:Et_6B_048656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PEVIQRRSAVKALVHVVTHELLYRDALVPRVFITCRRADDGAVHPTTIAGPEQVPHRDSRPGGHGQHPVLHALEPVVGHELKKVTDVHHQGSGQGRHVHPLVVDENLQAANAVLEQHGQEAGVRVPGGAHRQARLRAPWVVVADDDAAVQAPGVLFKVASVEAHSLRQQLHQRGPQLLHLRRVLRDHAPARRRDIVLRRRVAQEHRQGVAPVGRRRLEEVEVALVPDASRPVPGVVRLLRLDGLLVESPDRCERLGEELLRHAVVDDLEEANCLRGGLHLGDQVGPRRGEVDDQDSAASHAVLTVMWRRLFIRFSGRDCVVEDAWNSGDPSVYEALDAGLCRVEGLQLAEAVVLRACRGSGEPEVFELRSAIEALVKAVAKVLRYRDAPVPRTLIVSRINNGAVHPGAIMHPEEARQRDSRSGGHGHHPVLHALEPVVGHELKKVADVHHQRSGHGSHVHPAAAAVAFVVVVNTHLQTADAVLEQHGEEAGVGVTGGAHRQVRLRAGRVVVAHGGEAVQAAGVVLQVAPVEAQRLRQQAQQRGAQLLHLRRVVRDDAAASRRDLLLRQRGAQQHEQGVAPVRRLRLVQVEVAHVPDPWSPVSVVVWLLRIGWLLVEPSDRCERLGEELVRHAVVDDLEEADGLRGGLDLGDQVDDGDSTPNDAVTTSTRGQFFWFNGVVEDAWNSGDASVDEALDAGLCCVEGLQLAEAVVLRARRGDRGRSHRIGGLTPL >Et_7A_051301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16864989:16865328:1 gene:Et_7A_051301 transcript:Et_7A_051301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GFLVFGVSCYLQALCLELKRSVFVSAWAPLGYVLTMFFSSFFLGEIVHLGSQLNSMNRVTLPQITAFLVDSCCAEACMVCCGIKAMKQGSRYNNPK >Et_2B_021290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28350810:28354013:1 gene:Et_2B_021290 transcript:Et_2B_021290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTFLPDLRTQLNKIAGRLGVGLDGELRRGICQQHGGLKTVAVAAILAASAAGVAVPLSCRERCLGSGSALALVKAFSAGVVLATGFVHVLRDAEAALADPCLPAAPWQAFPFAGFVAMVAALGTLAVDLLCTHFFHERRLQRSQEEADAGDQQETAALLVQDTALPVITVARRGDDGKDAMHAAGTSADAPAPRRHSYSHGHGHGDGETVFDGRRHVHRHGHDEEPSLGCRIVVSQILELGIVSHSVIIGISLGVSQSPCTIKSLVAALSFHQFFEGFALGGCISKAQFNNFSSFLMAFLFAVTTPAGIILGVCIASSYNSNSPRALVVEGILDSLSAGILIYMALVDLIAPDFLSRRMSSNPRSQLGSYITLFLGAMAMDEPEEACHGDLGSWTVRQRRQARRRMRGVEVEPFPNRV >Et_1A_008388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7132332:7135264:-1 gene:Et_1A_008388 transcript:Et_1A_008388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVWALSLAVASLAIGMLGVLGVWLCYLFEAVARGHAPPGPPPPQAEEEEEADDAKNGLSEAELTRLGGVFVPESTGGEDEEEETLCPICLDAMEPGRPVRVLPGCNRAFHRDCVDRWLAISPRCPVCNLWAVPPSPATSPPVPKTAWARDNKFKRQSLMMTNLEHVQGLGG >Et_1B_011841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26459001:26460885:-1 gene:Et_1B_011841 transcript:Et_1B_011841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCVCLSRASEFPREYDGACLQMRLSYSPAAHIFLFLVQWTDCSLAGALGLLRILIYKVYVDGTTTMSTHERKASIKEFYAVIFPSLLQLQRGITDMEDKKQKAVCMEQYRRRDEDERNSLSDIDVEREEECGICLEMNSKVVLPNCTHAMCLRCYQDWNSRSQSCPFCRDNLQKTCPGDLWIYVEDQDVIDMETVSRENLRRLFMYISKLPLIVPDVIFSIYDSHIK >Et_3A_024880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25004303:25006329:1 gene:Et_3A_024880 transcript:Et_3A_024880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGSGRTGSEVSVQMPPAASKTVLEPVALPVTASPVPRGLRLGMAMVVTRAASLVMALLSMLLMIFSKQQGTLTIFGIEIPLNANWSFSYSLRFLVGMSAASAAYSMAQLLVIAHKAMKKVSMVPSRRHVWLLFAGDQVFSLAMMSAGSAAAAVSNLNRTGIQHTPLPNFCKPLPHFCNFSAASIACAFLSCAFLATSAVIDVIWLASM >Et_5A_042238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7879055:7880585:-1 gene:Et_5A_042238 transcript:Et_5A_042238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYMVGFERRNTWFELFNFVSTGVLTFVIAMDGDNPPSKVLSVKRQKESLPTTIYSLSEDLILTIFLCFPSLLTLFRAAFTCRAWRRTVASSPGFRRRFRELHPSPLLGLFFDAFMVIEHPGFPAFPSFVPVRHRDRDLAAAVRGGDFFFTSIQAHPDKLHCWGIADCRRGYILLTNGDKESQQPIALLNPLVRRGERFLNDGHLNAYEGYRGCPMECGACLVFSGDEQPTSSFKVVRLIYDEARVRATVFSSDTGEWSIEPWVVVPERPQRSERWLLDDNMQVNGLLYWVYKNHKYLVTLDTATMNFSVEELPKFMKNKNICIKVGEIKRGTPCIFYNNGFTVGMLLRRTRDGVQRWKRHWQTPLETQLHEVFGVVLTNYNKLVVVAVRDGLVYLALSRKFHEVQIPSWFFTLCLETKKLEKICQRSFDSPMQPYVMAWPPSLVGNFGRFALDE >Et_8A_057540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4144383:4146625:1 gene:Et_8A_057540 transcript:Et_8A_057540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGDVESSAESPDAEEQQDVPKSSPAAAATKGRGLRRWRRVRREQQKDGYTAAAAGGGGAGGVDEDSAQLHKRRIPLPAGAPKAKHEAAPVEDESSTASVESRFIPPAPESAKLDIASAGFSLGAGGDSDNSEDRSSSRSTAASAPRVHPRHGHGKNHRAERLRVHAEAENSQSSVEADLGSSNALKARDLGVGVTGNGVHKGLSGSCDHSDDGQPSEEVLSTAAGGNYRENGSSVVGTLVRESDDSGDDVEDALDEGSELKEQNEGLHLGADPYTQSILLLQKTQKALENEIEKFVAVGKNPSDDFDAYDDEWSGSVHLEEPIEEASENIKRQEFRPEDQGQIKDSKVPQLRALDTMQLGKAATETASLLSSESELDRVYQEKIQAEIQCIILTAANQTWATLACDQMVLYEAQKSLTEDYKQLGVKLQHTENRATMLEEMAEKLQVQCKELSSSSEVLRLQSKAGRASLFCFIQFVLLCIAIGTYLMRLMPPATEIVPT >Et_5B_043674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13912375:13918745:-1 gene:Et_5B_043674 transcript:Et_5B_043674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASLRIAARLGLDSAAAGKKQRRRHEDKAPFSVPPAACRRKGAAVRYQGGVGAGIVEKKDGSVAAAQKGVTDRGAEPELTVVMKFGGSSVASADRMREVADLILSFPEERPVVVLSAMGKTTNKLLIAGEKAVSCGVTNVLELDELTFIKELHLGTVDELGLDRSIVCGLLDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAALLNKVGVNARQYDAFDIGFITTDDFTNADILEATYPAVAKRLHEDWINDPAIPIVTGFFGKGWKSGAITTLGRGGSDLTATTVGKALGLREIQVWKDVDGVLTCDPNIHPKAVPVPYLTFDEAAELAYFGAQVLHPQSMRPARDGDIPVRVKNSYNRRAPGTLITNARDMSKTVLTSIVLKSNVKMLDIVSTRMVGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWSHELIQPANELDDLIEELEKIAVVRLLQHRSIISLIGNVKRSSLILEKAFNVLRRNGVNVQMISQGASKVNISLVVHDSEAKQCVQALHSAFFENGFLLEVDEMDLLHNNSTMLSNGTIYSHES >Et_8A_057729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6547890:6552805:1 gene:Et_8A_057729 transcript:Et_8A_057729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFKTSSSFYSTQQHQCLHSAKDQFLCGSSHSKVRQCKIKKIKETAQLSVKATSSKVELDFNDPSWKQKFQEDWDRRFNLPSITDTYDLKPRPTTFSLKKNSDENDTPIDMWNGYVNNDDRALLKVIKYSSPTSAGAECIDPDCTWVEQWVHRAGPRKEIYFEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNIVGIPFGYRGFFEKGLKEMPLSRGLVENINLAGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVLGGNGTHAGANAIHEECRKRKLKVSVVAVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQVDVCLIPEVPFKLDGERGVLRHLEHLLKTKGFCVVCVAEAAGQDLLQTSGATDASGNVIFSDIGVHMQQKIKMHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSCVCNTHYVYLPITEVITAPKRINPNSRMWHRCLTSTGQPDFD >Et_1B_011029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16846361:16846836:1 gene:Et_1B_011029 transcript:Et_1B_011029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKRLHFSEGRPKSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAE >Et_2B_022838.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6318005:6319024:-1 gene:Et_2B_022838 transcript:Et_2B_022838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPTLVPACDAEEPLLAESSDRFSMFPIRYPQIWEFYKKAVASFWTAEEVDLSADARHWDAALSPDERHFISHVLAFFAASDGIVLENLASRFMSDVQVAEARAFYGFQIAIENIHSEMYSLLLETYIRDGAEKDRLFRAIETVPAVRRKAEWAMRWIDGGERFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYDLLRGKLDESRVREIVADAVDIEREFVCDALPCALVGMNGVLMSQYIEFVADRLLMALGHKKMYNVANPFDWMELISLQGKTNFFEKRVGEYQKASVMSSLNGGNAANHVFSIDEDF >Et_5A_042381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9332752:9337473:-1 gene:Et_5A_042381 transcript:Et_5A_042381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEFNGWSLPAAIGRVVGKLRLYLGSSSGSHKFKGTMKMLDLLEEKLKLLNEENLQRVSIDKEEEMGAWLRQVKEATDDAEELVNGMEAELDLDAGESVMSDVVAWFHSDSSCLLRMKYTIGRLVNVCTEGESIIGMLNIYEDNWEALQNNLTSLSPEHAYIVGRDKEIALILEMILNEARFKAAISLESLEIADSLHISQKGWIIDTLRNIDLSMQRQEDAQVAPCQNETGSSNFIEGKRFLLVLDGVFDEMRPIWSDLRSVLSKGAPGSVILVTTQMYALANFMGTTTPIFLEPLQYDDLWKLIQHHAFSSNRSTEALEAISRKITGMLDGFPLTAVMIGVSLRKCLDKVHWDRLLKSWWWNISNSSMGIQITAALGVCYCELSAYLRQCLLYCSIFPRNYVFEKYQLIEMWIANGFVEANNNAGPRLLEDVAGQWFDELVSRCFLQPTIWKAQYVMHDLVRDFAIALAFDECCVDCKLVYLPPNVRYLSIDMDNMNVPWADYNVKKLRSLLLFGRFHYTSCSDGYNTVYSLLGRSHDTVDRISVISYDTVGFNSEISSDTVDISSETSDTIDNISEWSSDTTDIFSWRFDAIDIDHADLILKRSCQTISNILTTATSLRLLNFFNMKASAATTCADDRLLDEDHIAVFVKFITRHQMLPCLIHLRYLDFSYSGITEFPDSLCSLCNLQVLGLRGCTFAQLPRSMNSLISLRHLYADADTIALIHGIGELTKLQNLHEFCVQEDDGHRITELRNMGYIRGALCISDLQRVANQAEATQANLFRKEFVTCLNLKWDRIKSLRGKYNQYRKDFSQYDSSKKEWLHATLLEKNNIPSEVSGSIANSSEIPTPYLAMEILESLSAPRNLQELKIFGYPGFAVPDWVGQLRYIQVIELRQCTELHMIPPIGHLEQLRKLKLYELPSIKDVSSDVYGTSNVVFGSLEELSFESMVEWENWEDTGSRESFPNLQNLQIKRCYKLKELPFMTLGVAIKVLSLSGCGSYSGTVSSYLHRLTCLTHLKEQALVLKNTILQLLKESGRKGRSIHLPGSAAGLTKSKDRKRRDVRRLPGTRRSRGLPNKEEHICVMQSLTGLTMDNLSQSRILDNILCKLSVLRSLCLYKIHKISFLQEQWLEKIKSLQELEFSCCYLLRKLPSNLYTLSSLKKLSLQSCYHVHSIPSKDLPSSLKELQILGCSPILEARCQKEGGKTWVKKKIGESKKQTINEYREKKTCEFWQGWLQYEEDWVQCAGEQLNDKGEWLKNEEEDWLKNNAVELEANEDVWLKMTGEDWPKIARIPYIRINGDMIQNIYLAEQPH >Et_7A_050750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10732279:10737289:-1 gene:Et_7A_050750 transcript:Et_7A_050750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAAKGRPAKHLVALAVVAVLGLVLVADFLWASSSSGSSPAIWSSKLNLGTGPTAAAPPPSKKKYLDINATFADLPAPELKWEEMAQAPVVRLDGAAIQIKNLLYVFAGYGTINDVHSHVDVYNFSDNTWGGRFDMPKDMAHSHLGMVTDGRFIYVVTGQYGPQCRGPTARNFVLDTETREWNNLPPLPVPRYAPATQLWRGRLHVMGGSKEDRHEPGLEHWSLAVKDGKALEEEWRTEIPIPRGGPHRACVVANDKLLVIGGQEGDFMAKPGSPIFKCVRRSEQLPPLPKPDSHIEFAWVNVNNSLIIAGGTTEKHPITKKMVLVGEIFRFNLETLEWSVIGRLPFRIKTTLVGYWDGWLYFTSGQRDKGPKDPSPKKPQLQLAYRTGSISAQEDHVPTHSRAKP >Et_3B_029663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26931133:26932938:-1 gene:Et_3B_029663 transcript:Et_3B_029663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQSRFSVSGGSSSSSSVSADEQEAVIRELTRGHELTAQLRAEALRALRGNGEAEATAAGILQEVSQAFAVCLSIMSSPARGPPTPPEMPELGRRASGRDENAPRRQVFTYSPYSDGHQWRKYGQKRITNTIFPRCYYRCCYHRERGCPATKLVQKHRHGDPPEYVVIYVNEHTCNTAAWEPAAAASPANPLLMDLQSGLARQQQGGGLQLGVQEEHERQALVSSLACVLGAQSPSAGQEPPSRTRDAPASASVVDQGNGGGGELPRLDVDAAGLEDFMDYDVTGALHPDSYGLPHDGLPF >Et_3B_030931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:917370:922632:-1 gene:Et_3B_030931 transcript:Et_3B_030931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSNPFHSLASAFPFLSSSPSSTSASSPSPAAPHLAVPLLLPVSSASTSSSPASLDESRRGPQPLPGSRMAGAGADASGKGGGGIRGGGGGGPAFVGQVFTMLDPSGNGLMAVSTRFELPHFLTNRKSLTCSSLFQMKSRYTPYFFDKTLLDNILEASMDQHFHSIMQNRHTQRRRDIVDDSLTSEIIEETADSLLEPPEVQELMNEIGPAGIPFSVVTKAAEIQFHDVVDKVLLGNKWLRKAAGIQPQFPYVVDSFEERTAVSIANIATTSSSSTTSQDDNGFQDSQQSLSLDQRVDSSNHGNQNDPDHNQFRFPFGNLLPNILRRDRKLKTQEKDKFSRYDADIKNGLQGNPLLPKITMVGISMGEGGQMSKASLKKTMDDLTKELEQSGEKATFSEEKDPLFVANVGDYSRITRISS >Et_9A_061601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14354874:14358047:1 gene:Et_9A_061601 transcript:Et_9A_061601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIQLFSFSSLLLLLCLQAVSALKFTRGDFPQNFAFGAGTSAYQYEGAAAEDGRSPSIWDTFTHSDVKLMSDMGMEAYRFTISWSRLIPGGRGAVNPKGLQFYNNVINELVEAGIQINAVLYHMDLPQIIEDEYGGWVSPRIIDDFTAYADVCFREFGDRIAHWTTMLQPNIMAQGCYDTGSLPPNRCSYPYGTDCTVGNSSTEPYLFVHHSLLAHSSAVRLYREKYQATQKGIVGLNIYTMWLYPFTDSAEDIGATERAKSFLYVYGNYPETMRKFAGSHLPSFSNNESELVINAFDFIGLNHYTSDYVSNNADAEGPLDFTADMATLFRGSKNDPPTRLLRPGRMVDPQGLEHILGYFQATYGNLSFYIQENGQSGADDNLNDVERIGYLEKYMASTLKAIRNGADVRGYSVWSFMDLYEIWGGYKTHYGLVAVDFNTSGRRRQLRHSARWYSDFLKNNAVIEVDGDLPITISHAQL >Et_4A_031768.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:12547513:12548238:1 gene:Et_4A_031768 transcript:Et_4A_031768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISSNSNHREPAILPDDVLIEILSRVPYISICRFKLVSKSWISLCSSPDVRKRSPQTLAGFFCYASSDHKRRFLSTSGNTTLRPPVDPSFPFLSAFERFAIMDSCNGLLCRCWTRDSQEYVVCNPGTQKWLVLPHSSLRIDEPHIILRMHLGFEPAVSSHFTVFVFSWFKSTSQQPSCGVELEIFSSEAGEWTSRQREWGTKSISTHTFLDAQAVFLNGTLHMTTSYSTMVTVDKDGNT >Et_4A_032966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16162494:16164840:1 gene:Et_4A_032966 transcript:Et_4A_032966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQIDQKEYRKVEVERERSRNPQIKYSINDEASVFCGKMSRYLKHYEREQMKMAMLRQEETFKQQVQELHRLYRVQKLLMTDAANTMTAIRLNLEDERRTAEKDAGSSKSWDAYSEQRKTVPVALEESELELTLALGCSRTTGKKETSSSVDSRTSNSSSSTESGSPDCRVMSPPSLIGSAAPAKSSSHLGQHLEQEGLQQPPWLHKCLNLAR >Et_1B_013230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6591973:6592963:1 gene:Et_1B_013230 transcript:Et_1B_013230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAAALRGAPQWLRGLVSEEFFDACAAHPGDRKNDKNHFCVDCAAALCRHCLPHDPAHDVLQIWKYASCFVVRVDDLKLFDCAGIQSHTVSDHEVVFLKERTARKRPAAAENPCAACARPLPSGDDYCSLFCKVKHLGESEQGLRRAIRSRRQATAAGGGGEDAAQPSCGGSFRKRGRKQLEPARAPFC >Et_9B_064168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12033098:12040118:-1 gene:Et_9B_064168 transcript:Et_9B_064168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFNFNGGHSTSLKSRTILMGPAKGTRIINKAVIKGYEDRPQYEDPHSSSKAKQKQHVLNDSTALALVHNSVVFSPSSSVFISQKRKIDELDPEWSKDELTYFYEAYRQHGKDWKKISLAVGSKSSDMVQSLYSIHRTFLSLPERQATAKGFIALVTGHRNVLDESKRHRGGDQTVRESSKVRKQGEATEQKANEGPHLHHSCHDGTISGFSSSFKKRLYGKLVKKRRTHTVGRRTPCIAVTVPPERNANDAMLKFENIKIDTNNHDVGINSCTNIPMDKFSPNGRTGDAEISQSKKHLKKRKNQHEGQVGKEEQEIMMAPNEGNKFVDTLDYHEMLSDFISEDDMLVLDVLQSLVDAPSKTSKLKINIPSGSPGKTYSALSHGGEVDQSPIDHSKQAKPVSHGSAPKTTQKRHKKILDAEMSAKEQNISVKAQRVNITEVSSLCSDSGKAAMPETYTNISVEVDPSAPAETKTEIKISRRTKRKSEMHQKTAHVPCSGGSDNLQARKLQHCLSSQLLRRWCTYEWFYSAVDYPWFSDNEFVSYLNHANLCHLSRLTRSEWSTIRSSLGKPRRFSDHFLAAEKEKLEDYREKVRKIYAQLSDGSRDYLPADLAKPFSIGQQVIVRHPSSRELSDGKVVMMGRDFYKVHFNNPDLGIDIVKDTDCMPVNWLDNRPDMRSYLSNKAHSVPEMKHIPNHTPSKELYHLINGVSLPEQPKRLHLITDEHLKVSERPPTRCASNGAVRSEVYKEIEGYLAIIANQILALVPTALGNGPAVSPM >Et_7B_054986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6099203:6100308:-1 gene:Et_7B_054986 transcript:Et_7B_054986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGNGKEEAKRTGLEGPGLSLQGGSHGNLRGAGSDQQLKQMLDSVKSSKSPAVINYGASWCRVCSQILPSFCKFSNEFKNLTFIYADIDECPETTQNIRYTPTFHFYRDGERVDEMLGTGEQRLHDRLWLHS >Et_7B_053306.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3134972:3135757:1 gene:Et_7B_053306 transcript:Et_7B_053306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGTANHTVGSTVVNWYSKFGLALAFLEEVYAAPLLRVLREQLAVGPHGHVAADVERVPVPVPPERQPRPDPGPHLHRHRHLAAARRRRRVARHAFPGAGATLHRHALERHGRRGLGRQVRRLAGEVHLLHHLGVVIHHRHVEPVEEEGHVVVRVAHGELAGEHHRPAGRDAGGRERRHVEPRPVRADSEVDDEEDHADDEEDGQERRAQELRAPRQVRPLRLHRPDAADEVARCGPCGARRRRRRSHGGRRRRRVLPRA >Et_10B_004134.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:1489506:1490441:1 gene:Et_10B_004134 transcript:Et_10B_004134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYMLPLLNMEEAPPPPQQSSKHQQMIRTVSISILVMSLPVLYVSFLHVPPAALFRDTTFWFLMSNSIIIVIVADSGMLFFRSSSSSSRSSSLVDDVDLPFVVSGGDPAAVIKNVSVADDEVVPVELVKNQVMVVSSEQQDATAAMVAENDDYSYALVLRDDHGELSPKEPESRDITVVSPSSALVAGAEAVPVVRNRPAGLTASRSLVREERLLRRRHSHRPSPLNSRALVPVQDKSVVVATTSTEEKQLRRAATERRPLPAPEEKEKESEYSRLSDEELNRRVEEFIAKFNREMRLQLEREQQLALAAA >Et_8B_059091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13838231:13845253:-1 gene:Et_8B_059091 transcript:Et_8B_059091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWCGCCSLHARGLQLLRLSKHQEPIQSKSRISLRAARRGSHAEDVGWHRGGATWGYAGRMGSEEAGQSAALNAILGRWRLRASPRWNISGEPCSGIALDTTDVDNDPNINLGIKCDCTFNASTVCHITKLKVFNLNIVGQIPAELQNLTYLTNLNLRQNYLSGPLPSFFGKFSALQYLSLAHNPLSGPLPKELGNLTNLLSLGIGSNNFTGELPEELGNLVKLEQLYCGSSGFSGPFPSTFSKLTNLRILWLQGNSFQGPIPASLSNLTKLTSLRIGDLVNGSSSLDVIRDLTSLRISDNLATVNFSKLAGLTLLDLSFNNITGEIPESILKLQNLGFLFLGNNSLSGSLPNAKSPSLNNLDFSYNHLSGSFPSWATQNNLQLNLVANNFVLDSSNNSILPSGLDCLQQDIPCFRDYSFAVDCGSNRSMRGSDNTMYETDPTNLGAASYYVTGQTRWGVSNVGKFNQAPNGSYVIYRSQQFQNALDSELFQTARMSPSSLRYYGIGLENGNYTVDLKFAEFAFPDSQTWQSRGRRVFDIYVQGDLKEKNFDIRKTAGGKSYTAVYKKYNATVSRNFLEIHLFWAGKGTCCVPAQGFYGPMISALRVTPNFTPTVRNGVPKKKSKAGAITAIVIGSFLFGLAALAGIFIWVQKRRRAALQKEELYNMVGRPNVFSYAELKLATENFSPQNILGEGGYGPVYKGKLPDGRVIAVKQLSQTSHQGKNQFVTEVATVSAVQHRNLVKLYGCCIDSNTPLLVYEYLENRSLDRALFGDSGLSLSWSTRFEIILGIARGLTYLHEESSIRIVHRDIKASNVLLDADLTPKISDFGLAKLYNEKKTHVSTKIAGTLGYLAPEYAMKGHLSEKVDVFAFGVVVLETVAGRSNTDYSLEEDKMFLFEWAWELYEKGQALSMVDPRLEEFETEEALRVIHVALLCTQGSPHQRPPMSRVVAMLTGGYEVTQVVTKPSYITEWQLRGGNSYVTTDYSSDRTGEFSAQRDVVVPLTPSPVVTGVVDGGR >Et_8A_058467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8400895:8402420:-1 gene:Et_8A_058467 transcript:Et_8A_058467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTTEEDDEHETCCWGTCPASEYERVWELPFPQNLALTVWYSDEEAESVLFVPVPDQPLASNRYYAVVARGSRKGLVRACSRAEDVTTCFGCSCVVKDANPRPFDPADVYLQMEIVQRKRGQFTARSVAADGIAPAPYRCRSWQVYASPRKQMDRSPRREAVPVHPHDGGGSWKLAGEKVFIGGSVEAALDVGSSLQGGGAYAWFTAAAASGERIGVCTSLWERMHWLVEHRCGGRVQHEADDDAGELVVRRFVVKRMDGSIAVTFDFVRLSEVTQPSSSVRTR >Et_2A_018132.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1318054:1318302:1 gene:Et_2A_018132 transcript:Et_2A_018132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRILLTSLVGAAPGNVFGPGMCAGAMEVFARDARGGGTGPAAGARPAAAKGGQEQGVADTTARLGSASDGRYSFFEFVAPH >Et_1B_011356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20992176:20998233:1 gene:Et_1B_011356 transcript:Et_1B_011356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPRCLLLLLLLAGAAAAAFEVEEATIDSIHRAFAAGDLTSRSLVELYLRRIASLNPALHAVIELDPDGALAAADRADAARLAGSGALPPLHGIPMLLKDNIAVAGALNATAGSLAMVGSRAARDAGVVERLRRAGAVIIGTSSLSEWCNFRGPGIPAGWSPRGGQGKNPYVPSATPCASSSGSAIAAAANLAAVTIGTETDGSIMCPSSFNSVVGIKPTVGLTSRAGVIIISPRMDTVGPITRTVSDAVHVLEAIVGYDPRDAVATRMASEYIPGGGYRQFLNIDGLRGKRLGILRKDFFHFPSGSVQEKVFNEHFDIMRKMGAILMDDLEIPSMNVINDAKQSGERALMLAEFKLSLNSYLSELSASPVRSLSDIIEFNNNHPVEERMAEFGQDYLLQSEATNGIGPTEERVIAKLNKLCKQGLEKIMQDNQLDAIVAPGASAHSLLAIGGYPAITVPAGYAANGIPFAICFGGLKGSEPTLIEVAYSFEQATRVRKPPSLQHSGMPIQLAIIETWMTRTELDTSANFESPNSKRTNQNEEDYEA >Et_3B_027824.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20926280:20926819:1 gene:Et_3B_027824 transcript:Et_3B_027824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTLSSTFSLLARPSSSPSASPARSSVAVPLRRGRRAIAVASTATESPKIVELGDAIAGLTLEEARTLVDHLQERLGVSAAAFAPAAVVAAPAGAGAGAEAEAPAEKTEFDVVIDEVPSSARIATIKVVRALTSLALKEAKDLIEGLPKKLKEGVSKEEAEDAKKQLEEVGAKVSIV >Et_7A_050548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24315300:24315836:1 gene:Et_7A_050548 transcript:Et_7A_050548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDNGRGLKQQQPAWTPGHNFSLAGGFSWSSQRSPSSSAYTCGYCRREFRSAQALGGHMNVHRRDRARLRQCCSAYAAHHHRTAASPLPNLNLLPPHRAGATTTAEPVVYSFFSTAAASAMKVAAVEVNLDLGIGGGACSRGGGAAEQGGLDLELRLGCSWE >Et_9B_066036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21106001:21110008:1 gene:Et_9B_066036 transcript:Et_9B_066036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLEASWVGVQRHGQDLADRLAQGFSGLLHAQPPQLPPWSPPPLLPPKFVIPFEIDLPVVPFVNGVRNGVGGVDLPAVAVSSLVEIGGRLGQAGSELGFYVGGAVQQIARRVPVPFRVQTAQLPLPADEGEVALAVEASGHKRIALERVGDRESLEVAAAAAAAATGSAAAASATGIGAGGEDGFDEEEDGFDREIGTSGDFKKAKGTVNISAAYSTRHHELESSVVARGDLWRLESSRGSLTSGNDNAPLFLVQLGPLLFVRESTLLLPVHLSKQHLLWYGYDRKSFMDVQFPNGQVTYVAGEGITASGLLPLFGGLLQAHAKCPGETRVSFSFKSKQGTRFTPTFQWPDNSLSLGVAQGVAWKRSGLMVRPSVQVSSGDPSGMAKLAVRGAQRGHPAHLLPKTPLPEERGDGDGQGVSLCK >Et_1B_012701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34545067:34548363:-1 gene:Et_1B_012701 transcript:Et_1B_012701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGEMGEIAREVRHEGVVQSRSDTEGHKDKNFESEKDEQFRMQPRWRKFLAHVGPGALVAIGFLDPSNLETDMQAGADFKYELLWVVLVGMIFALLIQTLAANLGVKTGRHLAELCREEYPHYVNICLWIIAELAVISDDIPEVLGTAFAFNILLKIPVWAGVILTVFSTLLLLGVQRFGARKLEFIIAAFMFTMAACFFGELSYLRPSAREVVKGMFVPSLQGKGAAANAIALFGAIITPYNLFLHSALVLSRKTPQSVKSIRAACRYFLIECSLAFIVAFLINVSVVVVAGSICNGDNLSPTDANTCSELTLQSTPLLLRNVLGRSSSVVYAVALLASGQSTTISCTFAGQVIMQGFLDLKMKNWVRNLITRVIAIAPSLIVSVVSGPSGAGKLIIFSSMVLSFELPFALIPLLEFCNSSKKVGPLKESIYTVVIAWILSFALIVVNTYFLVWTYVDWLVHNHLPMYANALVSIVVFALMAAYLVAVVYLTFRRDTVVTYVAVSERAQGQVESGAGGTLASDADEDQPAPFRKDLADASM >Et_10A_000338.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:2541408:2541674:1 gene:Et_10A_000338 transcript:Et_10A_000338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPDSTTVQAHANCQGPRPPASPTMFFFFGKSESTETKSSSNMSHSQWSGCCGHARKKLRFCDVVYVPRCDVHVHISESWCSFFSANM >Et_3B_027771.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18631144:18632115:-1 gene:Et_3B_027771 transcript:Et_3B_027771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHRPTLLLLILLLAAPSSARHIITFSPSRGVSPASLAWDPTAQHFVVAGGGDAVLSVSDAGVTESIVSSGASAVAVDDRRRRLLVAAAGSVSAYDLRSPRPHRLVFSTPLPDSTPPGGIAVDPHTGSAFLTLGPRIYKLAPEGDLTPLPASPAYGSEPLSSLTAHVSRGVLIVGQPGSGHLLRVDMENGAARTVSGASAHPAPVALAVRTDGAVAVGGAATLRLVVSNDGWASSGVQDEAAPDGTVAAVAVRERRRVYALMEAGAVDGGREWRIEEVSWKQESEGEMIVVFVFVGAALAIFMFWRFQMRQLAGNMNKKIR >Et_5A_040990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16176013:16192092:1 gene:Et_5A_040990 transcript:Et_5A_040990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAPPRRRPAASAVGVGGWESVRGLLALAALYAAMSLLAYRVIHMRHVAPLPADAPREDFAEGRVLQHLQRLAVDIPGRQEGSPGLEAAAQYIKGELEGLAARAGPEYRIEVQETLVSGSFSMMFLRHRVTLGYRNHKNIVMRISSNVSEDDDSSLLVNGHFDSPLGSPGAADCGSCVASMLELSRLIIDSGWVPPRPIIFLYNGAEELFLLGSHGFIKTHPWNSTIGAFINIEASGSGGADLVCQSGPGSWPSRIYAQTAKYPMANSVAQDMFGIIPGDTDYRIFAEDITNIPGLDIIFVLGGYFYHTSYDTIENLLPGSIQARGENLFNLAKAFTVSMLSKENEMSSKAAKDGIEDLRAVFFDYLTWFMVFYSRDVSLILHILPVAIFLLIPLFLKFPHITLMSWFVTLLGLTRGMLLHAFGVILAIFIPVVAAASRLLFTKNAMNWFAHPYLAFFMFVPTSLIGLLLPKITWGLSEQAHFWGAFGFYALVTMAYTLAGLSGGFLTLFICMSMLLGRCISSLTRKSLKQQSLKSFVAYVVPIIPCILYCLYYGGFLVQFLIEKMGMMGSLPKPYGYYVPDIIVGAVIGLVVGWCFGPLAPVASRWLSKTSILRGFLQITVVALAISSQLFPYSTGAPKRVVLQHTYVTDGKNIVESNYGFSVVDANSLEFLFHNAPEAAKWLKDNSELSFDEKYRSDRSSWLALYPVPFLFSGSLKFPAQTTEIRKYYQHLPQLIAQNTWSDNGQRRVHLKLSLGSLSEIWTTVLNITGPLSNWSFADNMLPAPQLVSGGPPSYVCRLSGKSDLDWSFWLEANSSGSLRIDVAVLDQYLVDSTKKLKSLFPSWADLTAFSTFFSTYHV >Et_4A_034397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32243396:32244525:1 gene:Et_4A_034397 transcript:Et_4A_034397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YSNLVNTKICNYSSTAEIYNLDLFYRCKLDLDRRIDMKIMSCPIMKPRVFANMSFFHNPRLEKEDLRGIYGECGQKSIIESDHNDRINYPHRET >Et_5A_042827.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:5037366:5038316:-1 gene:Et_5A_042827 transcript:Et_5A_042827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPVPSSSPIVRLVPTPPGSDVSNDTRGSVLLDMDCYVANLPNATTATGTTSTGLSIEVTFHAAHPPIASRICVHCPGLEFGYYTPKLVATDAGLVLFYVFVYTNGLVTSHGCDYFVYRPGPGADRRLELLPNPFPKYLSYSSIAFISRDGGSSYVIASLGMEQPVFDGDVIISLDFDLLLYDSEPKRWTTKRLPVPHLRRDEAAPLPDAMDVRLYQETHKAITICGERGTVAWVDLWRGIFCSATTCSRSALCSTTSRCRCRPRPTGVTSSDNMTPGASGTSPSIDAMTLSSALRRNARPPFMAGRPQCGACH >Et_4B_038785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4895868:4896382:1 gene:Et_4B_038785 transcript:Et_4B_038785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTIQHDAHAEHPLVLRGFGSFHCNGCGCAGAGPRYSCEACDFDLHELCATHPLTFEPAIDIPRNCDLCETSNVGMHYRCVPCGFEVHPVCSQLPGTAVSSLHPEHLMMLTVDVPEECARCGWLCYWRYRCGVCCIDLHPVCLLGTEKTPLNIPRNED >Et_10A_001736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7568442:7573194:1 gene:Et_10A_001736 transcript:Et_10A_001736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGSGDSWQPAQGPYALYHFGTSGASVAAATAVTHPLDVIKVRLQMQLAGQRGNLAGMGTIFTHMVEKEGPRSLYLGLAPALTRSVVYGGLRLGLYEPCKHVCSYAFGSTNFAFKFASGIIAGALATALTNPMEVLKVRLQMSTSSTSPVEEVRKVLAQEGFKALWKGVGPAMVRAGCLTASQMATYDEAKQALLKWTPFEEGFQLHLICIAGTAGTLVTAPVDMIKTRLMLQQESKGVRVYRNGFHCAYKVLQTEGVKSLYKGGFANFARLGPQTTITFVTIPMTWFSFGNLSTES >Et_1B_013549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9418900:9430363:1 gene:Et_1B_013549 transcript:Et_1B_013549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVQMTCDKCRSKAMSLVAATSGVDSVELAGDAKDQVVVVGDGVDSIKLTSALRKKVGPAQLVQVADATKKEEEKKPAADVVSAAAEYPWPQYYYPPHGHPVSVVYEQRPDNTCSIMIVIQVQMACDKCRSKAMALVAASRGVDSVALAGDARDQLVVVGDGVDSINLTSALRKKVGPAQLVQVADAGKKEEKKPAAADALAVYPSYYNYYQQPVSVVYEYPPTAYASGYQSRPGNTCSIILLASLSLFHHFIWVNYTNVENIVVPQRIVIQVQMTCDKCRSKAMALVAAMGGVDSVALAGDARDQLVVVGDGVDSVKLTSALRKKVGPAQLLQVADAATKKKEEEKKPAVTTTPTVYPSYYQHYQQPATVGYTYGYGYPAAGYTCGYPSRPDNTCSIIKRS >Et_3A_027333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7921426:7924222:-1 gene:Et_3A_027333 transcript:Et_3A_027333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHERSRICMCQKRRKGDRSGKTAEERSLSMAAIREESDYDSSRSSLTAPGSRRSWISDIGSSSSVSVRSFAGGGGGGWDAAPSASCRHKPHKANQAEWEAIRRVRATTGRVGLEHFRLVRRLGSGDLGNVYLCQLREPWSTGCLYAMKVVDKDALAFRKKVRRAEVEREILRTLDHPFLPTLYADFEASHYACLVMEFCPGGDLHVARQRQPGRRFSISAARFYVAETVLALEYLHMMGVVYRDLKPENVLVRGDGHIMLSDFDLSLKCDVVPRFLRHNSLPRNANAGAGRADAAKPSSSCVAPIQPVLTCFFNGVHKCHAKEGASKAGGDDDGSEPGGDAANNPEVVVEPVSARSKSFVGTHEYLAPEVISGQGHGSAVDWWTLGVFMYEMIYGRTPFKGESNERTLANIVKQPLSFPRAAVASGKEWDELLRAQDLMAQLLAKNPRKRLGGCTGSAEVKRHDFFKGVNWALVRSVRPPEVPKKAPAVVPVMKKKVAMMMTMSKKERQEPFNPRSDSNRFEYF >Et_2B_022771.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:4836193:4837704:-1 gene:Et_2B_022771 transcript:Et_2B_022771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLPAPTKPPLPWISPLHYRPSRSAPAPPSPPPPPPPPPAPPPRYLHHPELARLIASSPSAQRALDLFNAAAAQRGFSHTPATFSALLVRLARARLPRAAAAVLRRAAAEPCRFQEPQFLPLVRLLPPDHALALLRLLPALVRRGRVSHKALAVCLDRLVSSRRPELLAELLADLRDPGNKYLPRPNTCVYNILIKHHVKVGEMETAFDVLDEMRQYTCADVKPNLVTFSTLIGGLCRVGKMKEAFELFDEMIEKDRIVPDQLLYNLIINGFCRLGQVEKARSVFDFMRRNECEPNAFNYTTLINGHCKKGEVEAARLVFKEMRSVGVEPDAVSYTALIGCLCRHGSVDEGLNLVMEMREKGCRADVVTYNLVIEGLCKDGRTKEAMDLLKSVPLEGVELNVASYRIVMNCLCSRGEMDKAVGLLGLMLGQGFVPHYAASNNLLIGLCDTGRVADATIALCGLADMGFMPEASCWERLIETVCRERKQRRSIELLDVLIGEG >Et_7B_054282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19179440:19192029:-1 gene:Et_7B_054282 transcript:Et_7B_054282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSTSTTVMAAATSSSAVEAGGAHVLLLPYPGAQGHTNPMLQFGRRLAYHGLRPTLVSTRYVLSTAPPPGEPFRVAAISDGFDNGGAASCPDLSEYCRSLDAVGAETLAALLCSEAREGRPVRVLVYDPHLPWARRVARDAGVATAAFLSQPCSVDLIYGEVWAGRLSLPVTDGRDLFERGLLGVELGPDDVPPFAARPDWCPVFLQVSIRQFEGLEDADEVLVNSFRDIESKEADYMEATWRAKTIGPLLPSFYLDDGRLPDNKMYGFNLFSSTVPCMAWLDKQTPRSVVLVSYGTVSDYDASQLEELGRGLCNSGKPFLWVVRSNEEHKLSEELRHKCNENGLIVSWCPQLEVLAHKATGCFFTHCGWNSTLEAMVNGVPMVAIPHWADQQTISKYMESLWGMGVRVRRDEKGVVTRQEVEKSIREVMDGERKDKYRTNASMWMQKAKEAMQEGGDSDKNIADFASNLSMEDTSTSTTAMASATSSSAAEAGGAHVMLLPVPGAQGHTNPMLQFGRRLAYHGLRPTLVSTRYVLSTTPRPGEPFRVAAFSDGHASSWDPEYWRVAGKTTEAVGAETLAALLRSEAREGRPVRVLVYDPHLPWARRVARDAGVATAAFFSQPCSVDLIYGEVWAGRLTLPVTDGGDLFARGLLGVELGPDDVPPFAARPDWCPQFLEASIRQFEGLEDADEVLVNSFRDIEPKVRPSEPCMAPEADYMESTWRAKTIGPLLPSFYLDDGPQLEELGKGLCNSGKPFLWVVRSNEEHKLSQELRDRCKKHGLIVSWCPQLEVLAHKAT >Et_3A_024653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2320461:2324008:-1 gene:Et_3A_024653 transcript:Et_3A_024653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAARVRSVLIIKAAAPPDACTSSVRRVLRIRPSPAWSPEEDARLSRLATDHGSRRWRRVAEDMPGRSAGQCRARWRHHLARDVYHRAFTAGDDDELARLFRRHDGRWKDISRAARGRTSRVMRRRWKEIRGTDAFLGKLWRPQANTTDFVSGSDSVSSELLFTADVLRSAGNHLAAGFTSMPSAAPPVCTTSVRRVLRIRPSPAWSAEEDARLSRLATDHGSRRWRRVAEGLPGRSAAQCRARWRHHLARDVYHRAFTAGDDEELARLFRRHAGRWREISRAASGRTSRVMRRRWKEIRGTDAFLGKLWRPAATTESVTGSESSPLPLPFAAGVFRSAGSHHLAAGLPCMPVF >Et_10B_002644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2680557:2681016:1 gene:Et_10B_002644 transcript:Et_10B_002644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNQWAELLKLWSSPQHMETCLANQWNCDKVRMFQRTGSWCYVAQAHAVVRN >Et_5B_045346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19021685:19022582:-1 gene:Et_5B_045346 transcript:Et_5B_045346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRKIEELGEHIVSAAVGDVVSRTISSAVGKLEERAEMESQVERLDTRVTMVRSAVEAAEGVHIRSMSLRRWLWKLREAACEGADAVRSFRQRAAAEETDARVCSSGAAVRLRLIRSAVPRLEKVVSSLGDFLKLLEMDSRRLPLHPPPATLAPTDPDTVLWDLPRDISDGEELTVPVTDTSREMYYLAAASDGDVVGDHYCRRAMPVLEWPAARRRKERKATGVIRFTVGASLIWFAVQIGTELFWGPRARYSEVGRKTACKLSSRFRHADCIDNTSSKRK >Et_7A_050660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:129472:135897:-1 gene:Et_7A_050660 transcript:Et_7A_050660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFLLPPAFHSSEVIKGLVFKKSAAHKHMPTRCHNPRLLLLRGLLGDSHDGFSSFSSMEQEKDHLQKSVGKMMDICSPNVIMVEKTVSRDIQELLLKEGVTLVLDMKLSRLQRIARSSGSPILSFSEVLSKPKLKQCDYFHIEKIMEEHNHTTQVGKRTSKTLMFLEGFHKPSGCTILLRGANSEELKKVKQVMYYTVFAAYHLVLETSFFEDQRVFLNNKQASKEANSFSANSGQSAVHHELPVFPNDSLPVSLEDNDASSLKLYPETCNGSVEKPTDGEITIISSTDPVALSSQNKGVPEATDEVLSGRLASSVSGSRKRFIDMFCHQNIYLPFTSAYEAADQDEGSLEKSQEVSDNASHIGPKEGVTVASGENSQKQASDETDQQMTKNDPSVSDKHEQPSIPLENEKHHITGYICGDRTSDTDEGDDVLESQSILILMSSQCITKEMICEQSHLFRIKYYGNFDVSLGRYLQDILHSQNLSCSSCGEPPGAHMYSYTHRNGNLTVLVKRLLPRYHLPGESEGNIWMWTRCLRCENETGISKSSRRVLISSEARNLSFGKFLELSFSSHSAARRLSICGHLVNRDCLRFFGLGSKVAMFQYSSVEIYTACKPQPTLEFRNPNTQEWCEQERRNVLARGVMLFSEVRRFLLSMKEQFHGLAINRGAFLPIREFSQLEEMLIKEKSEFMVNFHVPLFSPFCRPTHRWGHEFTQCQKVIVSPFIFIIMQDSVTKAVDSVHGILDVNWLYQDLLLELYVWDRRLHQLLNCNSTEIEIMRNDIERETSESTGDQTAAVAEADGIAERTSSKQSLGNEPVEPEKVNESGKTLVDEKPWHEEQHNANVSSSDVSLKQKFRLSQMDDREKWVWNPLCESKLAYRQELQVGVLERFELVNHYSPSHLSPLHKQSVEEVSSPQFIVGPGGNVLCVFEDEISSIISRALAVSEERLICVHSDQFYTLRKKCCPSELAYITSLSRCKRWDAQGGKTKAFFAKTMDNRFIIKQIKKTEFESFIEFAPEYFKHVCHSLDTGSQTCLAKILGIYQVKQLRHGKEVKIDLMVMENILFGHNVSRIYDLKGVVFSRHVSDSNEHDTVYLDQNFVDDMRVSPIYICGRTKHLLQRAIWNDTSFLTSINVMDYSLLVGVDKLKHEFVFGIIDYLRQYTWDKQLETWVKTSLVVPKNVSPTVISPREYKKRFRKFMTKYFLTVPDDWSTENRTVSCNHLNHSNSRLPPVHDEIPQHQIVACA >Et_2B_021707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4736373:4737631:1 gene:Et_2B_021707 transcript:Et_2B_021707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRPLLTPYKMGQFELSHRVVLAPLTRCRSYGNVPQPHAAVSAPPRAACSSRRPRTSPPHPTAQGYPKTPGIWLQEQVEAWKPIVDAVHRKGALFICQIWHVGRVSITDFQPNGQAPISSTDKQIAPDAESGIVYPKPRRLRIDEIPEIVDDFRVAARNAIEAGFDGVEIHGAHGYLLEQFMKDSANDRDDEYGGSLENRCRFAVEVIDAVIREVGAHRVGVRLPPFVDFLDCVDSDPMALGDYMVRQLNRHDGLLYCHMVEPRMANVDGRWQIPHRLLPFRNAFNGTFIAAGGYDRDEGNKVVADGYTDLVAYGRLFLANPDLPKRFELGTHLNKYDSSSFCTQDPVFSYTDYPFLGDIHDDESAAPA >Et_4A_033889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27749401:27757636:1 gene:Et_4A_033889 transcript:Et_4A_033889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPDRAALTVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDRRTMELVAVKYIERGEKQVCHRDLKLENTLLDGSDAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMVVGAYPFEDPEEPKNFRKTIQRILNVQYAIPDNVNISPECRHLISRIFVGDPAMRITIPEIRNHSWFLKNLPADLMDDDSMSNQYEEPDQPMQTMDQIMQILTEATIPPACSRSINVLADGLDMDLDDDMDDLESDSDLDVDSSGEIVYAM >Et_5B_043312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2466950:2467384:1 gene:Et_5B_043312 transcript:Et_5B_043312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAIETNRAGATIVRGDAAACTKAAVELLGEIGLPKGMFPLDDMQEFGYNRATGFMWLIRKIKQTVSYAGEVTAFVEKGKLKKIAGVKTKELMLWLSVVEVYVDESAPGKVTFKTGTGLSDSFDATAFELGM >Et_4A_034280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31410582:31412662:1 gene:Et_4A_034280 transcript:Et_4A_034280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFGAPALVGLALVFGFIWLRSRSSSKEMRDIPGTMGWPVIGETFSFISDFSSPAGILSFMRDRQKKFGKVFKTYVLGRITVFMTGREAAKILLSGKDGVVSLNLFYTGKQVLGPTSLLTTNGEEHKKLRRLIGEPLSIDALKKYFDFINELAIQTLDTWHGRRVLVLEEASSFTLKVIANMLISLEPEGEEQEKFRANFKVISSSFASLPLKLPGTAFHRGLKARNRMYAMLDSVIARRREGGEVRNDFLQTLLRKHAKDGSAGDDTDKLTDSQLKDNILTLLVAGHDTTTAGLTWLIKFLGENPDVLEKLREEHLEIKEKLNGTSHLRWSDVSSMPYTNKVMNETLRRATILPWFSRKAAQDFSIDGHEIKKGTSVNLDVVSIHHDPVVFADPERFDPDRFDQTLKPYSFLGFGSGPRMCPGMSLARLEICVFVHHLVCRYSWKPLEDDSSVQPTLVRMPKNKYPVIATAL >Et_3A_024252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1929638:1933283:1 gene:Et_3A_024252 transcript:Et_3A_024252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAGVGGRDEMMMTSGATGRIVPVFRSVLSRRALLRFVVAVQTLFLWLLLLVGRRRRAGPEASPSSEAGSGKARRRRVAVEEEDVRRRRALAEEVAMAEDDAEGQSGTRWATFLVPGARRNSLFCRLWAPAAAEMRGILVIIHGLNEHSGRYLHFGEQLTTCGFGVYAMDWIVLLDKIMEENPGVPCFLLGHSTGGAVVLKASLYPHIRERLEGIILTSPAIRVKPAHPIVGAVAPIFSLVAPKFQFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSFLLNNLKKVTIPFMVLHGTADRVTDPLASQDLYNEAASRHKDIRLYDGFLHDLLFEPERDEIGADIIDWMELMLRLQTV >Et_7A_052486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8504229:8506870:-1 gene:Et_7A_052486 transcript:Et_7A_052486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQPLRLIPCATNQGEATRGWLAGQRRAQKIPAPLPGRKQHTTTPLLNPMPIHLQRRQVYEENPAPDPRLLRAQDPARDFLRRPNPKELGYSTLTPEEGANNVVKVALRLDGEVTTAAFFEEGTEASFV >Et_7B_053593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10056806:10062082:-1 gene:Et_7B_053593 transcript:Et_7B_053593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSHACSVLASLTLLWLGVAAAQKAPAWKTLSGNAPVVIAKGGFSGLFPDSSEYAYNIVQFTSSPDTILYCDVRLTSDGMGICLPDIKMDNCTSIQDFYPQGRKSYQVNGVPTAGWFSVDYNSTELARVFLVRSISSRTPLFDASFLPILAVEDVQSKFKPSAIWLNIQHDSFYKQFNLSMRSYILSESKRVVVNYISSPEVSFLTSILGRVSNKTKLVFRFLDEATPEPSTNQTYGSMLKNLTFVKTFASGILVPKHYIWPVSPDNYLQPHTSVVDDAHKAGLEIYAADFGNDFKFSYNHSYDPIAEYISFIDNDAFSVDGVLTDFPVTSSAAIGCFTNLNKSNTDHGKPLIISHNGASGDYPDCTDLAYQKAVDDGADVIDCSVQVTKDGIPICMSSSNLMDDTTVATSQFASQTSVVKDIQSEPGVFTFNLTWDDIVTNLKPKISTPFTSFSLDRNPRYRNAGKFMRLTDFLHFTKDKDLSGIMISVEHAAFMAAELGFDMVGAVLKALDDAGYNNHTTQKVLIQSTNSSVLVKFKEQTKYDLVYMVTEEVRDASPSSLADLKKFASAVSVDSSSIFPANHYFTTYRTNIVQTLQTAVNNCMNMGKNTPIFMEPGQPGGLMTSIEKAARPPAMAPMPLLTGSDVVEPPLPAARTSNGTTPTQSRASRTHASTTHFPILVTLAMLSAFCLLL >Et_5A_042432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10004055:10015997:-1 gene:Et_5A_042432 transcript:Et_5A_042432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVAIVERIVDIGLKITEAVDMVRQNKEVCLEIRKSVLIYSDMLSQLQQAGIMDNIPAVGNALKDLAEVLEDALELVTTCQEKSTIRLFVSAGDLSKQLRWVKERITEKAMIGLWALNVYTNTNRAFLDMMQNFNPLPRQSEFYWLVHGASGVITTASFLIMVFCHCNGGRLLLKKK >Et_1A_004767.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:39485310:39486398:-1 gene:Et_1A_004767 transcript:Et_1A_004767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMITNMLGRKQQNQSQNQKAGAGAGGGRTGGGTEAVEPVSIDILDPFMEAISLTAFAAPALGLPPFATASMDWKETPTAHVFMADLPGVRREEVKVEVEEEKVLKISGQRQRAAEHKGDRWHRVERSSERFVRTVRLPPNANTDTVQASLDNGVLTITIPKDNERKAYGRLIPITN >Et_7A_053173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8825829:8826122:-1 gene:Et_7A_053173 transcript:Et_7A_053173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERALWMQESSRLKEIPVVIMSSENVPTRINRCLEEGAEDFLLKPVRPSDVSRLCSRVLR >Et_5A_042226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7776710:7778479:-1 gene:Et_5A_042226 transcript:Et_5A_042226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISWQGSVGGPDGAITGDGEAPPAGEVRAGRTSQGFGQFGIRGLDKRWHCTSWAVFVVARLVTGLGWSAAHPYENKQCCSPSLPKPPSRRTLPVSEGLCIQMAAAARAGFRRLFSVSAFSPPPPPAARPAADPCNNLFVSVSFVPTYYGSTNRGNYAGLSKRTTSDQLKEAFSKFGQVVEARVITDRISGYSRGFGFVKYATVQEAGEGIKGMDGKFLDGWVIFAEYAKQREAPQPPQHATPSRPPSGYQ >Et_4A_032027.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:12235705:12236229:1 gene:Et_4A_032027 transcript:Et_4A_032027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFLVDPHRFFSPDMVIDHGGPNKKPRQEVSMGGNVVRAPEEYIIAADEDGEIDREDYGMFLQQIKQYLQHVMHVKVRHCKIHPHGIGLTQLGDVFQRDMVFHANVHIIDGFHIKFIRHDRGINYRQLVNQNLQQGMADPAWKQLPCKQSRTKPGMLGISNRHQHCRSRWSWK >Et_2A_017041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30416874:30422441:-1 gene:Et_2A_017041 transcript:Et_2A_017041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEQYCRSPYITSRTNKVRLKADQYLLYGPLVAKVAHAWRETGSLPLDSWCLHLLVLLTLRSLTFQLWFSYGNMLFFTRRRRVVKDGVDFRQIDAEWDWDNLVIMQTLIAAMVINNPLFPGVTELRAWDPRGWAVALLLHVSISEPAFYWAHRALHRGPLFSQYHAMHHSSPVTQSLTAGFGTPLESLILTLAMAAPLVGAFLAGAGSVSLVYGHVLLFDYLRCMGYSNVEVISHKVFAAFPPLRYLIYTPTYLSLHHREKDCNFCLFMPLFDALGGTLHSKSWELQKEIDQGKNDRVPDFVFLAHVVDVTSSMHVPFGFRSCSSLPFSTHLVLLPLWPLAFAFMILQWFCSKTYTVSFYCLRGKLHQTWTVPRYGFQYFIPSAKKGINRQIELAILRADKMGVKVLSLAALNKNEALNGGGTLFVGKHPDLRVRVVHGNTLTAAVILNEIPSNVKEVFLTGATSKLGRAIALYLCRKKIRVSMLTLSTERFLKIQREAPAEFQPYLVQVTKYQAAQNCQTWIVGKWLSPREQRWAPPGTHFHQFVVPPIISFRRDCTYGKLAAMRLPKDVEGLGSCEYTMERGVVHACHAGGVVHCLEGWDHHEVGAIDVERIDVVWKAALKHGLTPP >Et_2A_015540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14590305:14597614:-1 gene:Et_2A_015540 transcript:Et_2A_015540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPRTLVIIKDEIDRPGIPLFLLVLIRKHAASACQRESNGWPPPRPEPAMLHLLHKSVPLRLLPRCPPKPLFLPKASRLGRHPVFPRAAMGSVAGDASHLAYPPARRDDSIVDNYHGDPDSEETKEFVARQAELAETVLAGCPDRENLRREITRLFDHPRHGAPFRRGNKYFYFHNTGLQAQSVLYMQDDLDGNAEVLLDPNTLSKDGTVALSTYSISEDGNYIAYGLSDSGSDWVTIHVMSITDKQTMPDKLSWVKFSSISWTHDGKGFFYGRYPAPRGGELDAGTETNINLNHQIYYHVMGSDQSEDILCWKDPEHPKNTLGASVTEDGKYIILYTYDGCDPVNKLYYCEISSFPQGIEGFRGTQDMLPFVKLIDNFDAQYQVVANDGDEFTFLTNKSAPKNKLVRVNLKNPELWTDVLSEHEKDVLESADAVNNNQLLVCYMSDVKHVLQFRDLRTGNLIHQLPLEIGSVSEISCRREDKDVFIGFTSFLSPGIIYRCNLTSTIPEMKVFRETSVPGFDRTRFQVKQVFVPSKDGTKIPMFIMSKKDMKLDGSHPTLLYGYGGFNISLTPSFSVGRVVLCKNMGFVVCVANIRGGGEYGEEWHKAGALAMKQNCFDDFAACAEFLISDRYTSSKQLCIEGGSNGGLLIAASINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDKEEEFHWLFKYSPLHNVRKPWEQSSGNHCQYPATMLLTADHDDRVVPLHSLKLLATLQYVLCASNEDTRQTNPIIGRIDRKSGHGAGRPTQKLIDEAADRYGFMAKMLGASWTE >Et_1A_006818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27891942:27895600:1 gene:Et_1A_006818 transcript:Et_1A_006818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTITGGAVLFLLLGVLTPSNAAEDQPDVTWNEKNVTAGDADDVRLIVGLTQKLLAETEERAASATPTRLATGVMDSGTTYPPIKVTNCSFRYEAYKFYDSQPMFRLGPTSPVPTPSKEIHKGRMLWAIPIVLVPLAAGVCLYFILYSRSRHTQDLLREDDELVWEGKNSEFSVFELQQILQATNNFSEENKLGQGGFGSVYKGQFPEGMEIAVKRLASHSGQGFTEFKNEVHLIAKLQHRNLVRLLGCCSEGDEKILVYEYLPNKSLDFFIFDENKRSLLDWYKLRAIIEWTAHGLNYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIYSSTNNEGNITRRVVGTYGYMAPEYASEGMFSIKSDVFSFGVIILEVLSGKRNSGANQCGGFINLIGYAWQLWKEGRWIELVDSSLLPEHHSEEMVITMLSGEHTILAEPKQPAHFNVRVGNEEASTATESCSSTEMANECPSKDDCNGSQRNFVAT >Et_5A_040670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1108385:1110450:-1 gene:Et_5A_040670 transcript:Et_5A_040670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDGEARDQAPPGDGAAGGGRAEVDTSAPFRSVREAVDHFGGSAAWSSQLVKRMFAPPKKGEGTEKSTNLEEQTAQLEKELSVKEKETLDVLKELESTKKVIADLKLKIQSEESATFPICEDTAEDEASIEEHEEKQSENAKVPIAEPEERQTENVGTAIAEPEQGQAENAGTPIAEPEQRQAENAETPIAGTDVNMGGLDEQLQQTTGSSVLKGLEQAKANLNRTTSDLASIRASVESLRNDIAKEKVLVERCREKVCSSATLISSLENELDHTKQKLQTLRDLQKRREDPLDIFIEIKKMTSELEQLRNTASASKAEAIMLAAEIEQTKASIGTVEEAKLQVGECKKALQEALTRVDAAKQGKIAIEEALRRCRSASGHKRRSLHEPLKFKNAAHRFKDSHNTDIVDASKGSLKRTLSIGQILSMKLMGPDGYDKSVSDTSETSNVSLGQILNRRRAVVHSSDTTAHKKLSGKRKKFAFTGLSVFLAKQAKSKKKKGSHQN >Et_4B_038716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4239634:4242449:1 gene:Et_4B_038716 transcript:Et_4B_038716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKAAAAVAAEREGGSGGKGSWYALGERSVLVPYLREHVPRYHEWMQDPALLEATASEPLSLEQEFDVHRSWTLDPLKHTFIVLDKELIEGEFVPGNPHTEAMVGDVNIYMNDPDDLQLAEIEIMIAERKSRGKGLGQEAILVMMAFAVNKYRIHTFRAKISESNTASLKLFRKLGFKDASYSAVFKEVTLEAPADALPLRFPLTIGDWKTSDVRQDVF >Et_1B_010428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10418540:10419332:-1 gene:Et_1B_010428 transcript:Et_1B_010428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTNLPPGFHFFPSDEDLVVHFLRRKVANLPCRPDIIPTVLLQCCDPWELNGKALQAANQWYFFSHAAQGRTSASGYWNPVGADETITSSGCTIGLKKTLIFYTGDPFKGFKTNWVMHEYHLLDGGYNVCGSSTSSSSNSSRKSQRKRLHSSTASSNWVICRVFESNFGAQVSFHDEGTELSCLDEVFLSLDDYDEVSLPNNYNSSQ >Et_2B_019409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28283813:28284760:1 gene:Et_2B_019409 transcript:Et_2B_019409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQHVEAVFASLVLLYAFCVSDFLPWLLGLDLDGQERSVREAHETVNRLHDRVIDERWRQWKRGEKEEVDDLLDVLITLKDARGKPLLTIEEVKAQSQWALAELVSNNPAALGKAVAELDRVVGRHRVALADATVAGYRVPEGSHVLLSRVGLGRNPDVWDQPLRFDPDRHIIADDPVKNEVTLAENDLRFISFSTGRRGCIAGFTWSKPAGAAAIDLSESRHAMFMAKPLVLHAEPRLPADLYPSISN >Et_3B_027895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25255040:25256187:-1 gene:Et_3B_027895 transcript:Et_3B_027895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GSRTPCCSTSSTASATSRRWAAAPSSPAASTTSSRSSTPSSSASTASSPTTCPADVSHHSPSEVLRSFKELRRLHIELPTGELGIDDGVLLKWKADFGSTLGSCVILAATAPELAADTSDASPDSSREPEESGSLPESLYTNGGLKLRVVWTISSLIAASARHYLLQPIIANHATLDSLNLTDADGQGVLTMDKKQLQELRVRPVSASGSSHRTLMPALSMRLYYAPQVELPGGTLLKGATLVAIKPSEDTGAAGSAATCWISNAFEEEPYRTAAKVLLKRTPYCLEMNSF >Et_1B_013481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8946572:8947657:-1 gene:Et_1B_013481 transcript:Et_1B_013481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIMDPFAYELLARPPPPGFDHFCCGGAMDADITIEQVDDYLRAIGVLPPRRAPAEDEFPSPAATFSLRFATDDASELPATSAAAYDADIDASLRATEKDAAERPSPDYLATTQGGRVDAAARAALVDWMRDFSGLYCLDDAAVLHRAVSYVDRFLSARALAQDAGDKHRLRLIGAVAFHVAAKFEDPGAARDLKARDIACWCGYDATHAEVLATERAMVVALRYRLGGPTANTFVDHFTRHSNAGEEDLAEELRRVAHLVADASLFDYGCLKLLPSAVAAAAIFLARLLLTPPCDGEQVRRWGRELEETTGYRPADVRDGVECLHRLIMPTPGFVLLPMFFADF >Et_8B_060764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6450130:6452219:1 gene:Et_8B_060764 transcript:Et_8B_060764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLINGGAGGAMLRLVVALLVASAALPGRGAVAQSSLATDRAALVALRDALDPGRRLPWDPAAATPCGGQWRGVVCDGNRVVELRLPGKSLRGEIPAGTVGNLTALRKLSLRYNAISGDIPADVGNLAELQGLFLRGNLLAGGIPEGLFSLARLQRLDLAGNRLTGGVSPEFNRLARLETLLLEGNDLAGEIPPGFNVGGLAPGRFNVSLNARLDGPVPASLARMPASAFAGTALCGGPLAACAAPAAPPSSGGDSGKKLSRWAIVVGVVVLVLLAMGLLAFLRRRRQSAPASRTPAAGAAAANVHAGTAPVTVTVAPTGTDAVKQSHAGGGSPPLAPVAMVSDGDKKLVFLGGAPDRPPYDLETLLRASAEVLAKGVHGTTYRATLDGGDPVLCVKRLREVHVPEREFRDRAAALGALRHDNLPRLRAYFYSREEKLLVYDFVGAGCSLSSLLHGKFSSHLLKTHGTFHGRSYNGAEGRAGLDFTARARIALAAARGVAYIHRSASTHGNIKSSNIVVTASRDGAYVADYGVPWLVGGTTPPPRRGAGYRAPESAAASAGATTTPAADVYSLGVVMLELLSGRAPAHALPDGADGVDLPRWVRSVVQEEWTSEVFDSAIANEARVEDEMMRLLQLGIECTDQRPDRRPAMDDVEKRVQRIVEDACRKADFSSTDGSRSVSA >Et_7A_053132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7559974:7562268:-1 gene:Et_7A_053132 transcript:Et_7A_053132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAGDADDFAALDFIRELLLGGGCSGVPDASWVVSDEVSVPVLPLPEPEPAAFQPMPQQQHEVYIDMALDYCTGAIPAAALGEAAFLAQKPAQPVMIMFESQPSSPVTTRPLTASAPVEDFRKYRGVRQRPWGKYAAEIRDPKRRGSRVWLGTYDAPIEAARAYDRAAFRLRGAKAILNFPNEVGSRGADLLASAPAPAKQGGAAANKRKRQQQQQQEEEDPDVVVVAVVNKAAKTDEVPSTWTSTEVEDPDVEVVPVVNKAPKTREVPSTEVACTSPSSTISTCQTTVTASSTVADAGADEGFPMTPSSWSWEELLDGLPPMSPQPAFVDITEHIRGKPLLIRL >Et_1B_010733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13413941:13417751:-1 gene:Et_1B_010733 transcript:Et_1B_010733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGDGQIQFCSAAPGAELTEQDMLLAQAPVRFSPPPPRVSAAAGDAVKPFPSPLSFPPHLLARLTWFCFLFSVSDGRRWQLADGGQLSVPLPEADEGEAVPPENAHKWRMVIAYDGTKFKGWQYQPSPPTIQCFLEDALIRVTKLDRKKLCLVGAGRTDTGVHAWGQVAHFTTPFTYNCLDSVHSAINGLLPRDIRVREISAARPEFHARTSTKSKIYHYKIYNDAIMDPFHNHYAYHSAYKLNPHAMREAAKHFVGIHDFTSFANAAHNDRVRSPIKKITRFDVTEMGAILQLEVEGTGFLYRQVRNMVALLLQVGKEALPPDIVPIIIAARDRKELAKVALSAPPHGLYLMSVKYDKEILEPPEGSPPISFGRTHQLSKCKLTFY >Et_2B_018981.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20961662:20962186:-1 gene:Et_2B_018981 transcript:Et_2B_018981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DCDNRFSHASFVSFPPADRNRSRTVRVTEGLPDTGRLGEAAVHQYLVEQLGSDNVIWMNENVEFGLPYDIVIRDRGITKYVEVKATVVPDKYWFHISFNEWQFTSEKGDASIIAYVLLSGRRRGKARIALMENPYKLCQRKDLKLALVMSDECGKAVSRCLKETAVVLQTRCNK >Et_4A_034965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8364172:8368635:1 gene:Et_4A_034965 transcript:Et_4A_034965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRSSFGHIPCQTNKASWDDWRPGSSVSLDSLRLPSGYRRVHKLLEKMLIAGCSEEQAEATGATTLSLGCLHMAYPILSILDDDVLYLLSAGTRMESIEALVNVDVRTKTLQEVRVLGTNRCFYRPFRASGISQYVKTKSGFCCTKLLHSGSNGHPQRIDANGAGRIYLDNDLGNTTTQ >Et_1A_004790.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5435005:5437021:-1 gene:Et_1A_004790 transcript:Et_1A_004790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQRRRVVVVMVVLVLRLRRRRKSRLLRGGRRLGRHLGEVLVVVHPHLEDAAGDADLGAEGVDGVGVGLVDAPPHAVRELAHPLLLLRRELGPEPLLARRRRGGGRPTHGQLRVVRVRVRRRCRRRVRRGASELLAGGLGERGGRGGRGRRDVRRRRACRLHVRRRQEQRHDDPGRVGGGGRRGGGDDGDGLAAVEAAVAAARGAGQRAGALAGGRHELAAAVEHVRAQPRRVVAQALTVPPLRRLRHRHHGERRGRRRRRRRGAAVNAIPRRLLVAPELLVLGAPGSLPLPSRLSMGLPVRLQEAGRQRRRRDEGGVGRQEGPREGGSLQHGGVRARSKSLAVHLLLRSAYGCSRRGGVVVPTVLLLIIFLFLLVLQVVFFLLLLLLFLLHMR >Et_3B_029430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24950369:24958099:-1 gene:Et_3B_029430 transcript:Et_3B_029430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQGPPKHQNTYAWKPNLGRKINETEPGGRFRPLSEITGVCQRCRDQIDWKRRYGKYKPIVEPAKCQKCSKRNVRQAYHNVCRDCSKNLGICAKCCTNVKQLVGRDVNEEEDERRALDEVLGSGKGAPFFALSREGDLFPVESLDEYAEQAREEDDDSDEEARDFVE >Et_2B_019560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10143474:10146961:1 gene:Et_2B_019560 transcript:Et_2B_019560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKGSQKTKEVEDVVDQQEKKLPDYLELQRTRVVCKADAPIHTQGFQYSGAFAAMGVDNSVSVEKFCKNFKIEINRLTKDDMEFDMIGIDASMANAFRRILIAEVPTMAIEKVFMVDNTSVIADEVLSHRLGLIPLDADPRLFDYISENDVPNERNTIVYKLHVSCGKGSQRITVKSGELEWLPEGSQLSTTSPAQAGDTQKTFTSFSQSQKEISDKPLGVKFSEITIARLGPGQAIELEAHAVKGVGKVHAKWSPVATAWYRMLPEVVFLEDVEGDDADELKKKCPVNVFDIEELGNGVKRAVAARPRACTLCRECVMGPTEEKIELRRVRDHFIFTIESTGAMPPELLFTEAVKILEEKCERVISELS >Et_4A_032002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11477017:11477958:1 gene:Et_4A_032002 transcript:Et_4A_032002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTPTQWSFHLPLPDGVSGAESLAFDRRGQGPYAGVSDGRVLKVPSQDTESMCGRPLGLQFFAKTGDLYIADAYMGLMKVGPDGGEAEVLATQADGAPFRFVNGLDVDQATGFNTEVMMNADSTGRLLNSDGTHVVVAHTVPCQAFRFWIKGDKAGQYELMADLPGYPDNVRRDSKGVRLDKDGVEVEELTAAKGVTLSDVAEKEGQLWLGSVELDYVGLVH >Et_5A_040732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11611047:11622741:-1 gene:Et_5A_040732 transcript:Et_5A_040732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACCLPVVECVYCLACARWAWQRCLHSGGYDSETWGLASATEFEPVPRMCRLILSVYEDDLENPQWAPPGGYGMEPRWVVHRRTYEDTHGHAPTYLIYVDHHHSDVVLAVRGMNMAKESDYAVLLDNKLGQKRFDGGYVHNGLLKAAEWVFDAECDVMKDLLERNPGYTLTFAGHSLGSGVVAMLTLVAVHNRERLGGIERKMIRCFAMAPARCMSLNLAVRYADVINAVVLQDDFLPRTDIPLEDIFKSLFCLPCLLCGRCLIDTCIPESTMLRDPRRLYAPGRLYHIVERKPFRCGRYPPVVRTAVPVDGRFEHVILSCNAISDHAIIWIEREGQRALDMMLENERATEAPEIQRMDDDITITRDHNEEQQAALRRAVALGVADVNVPSRYGTFDESINPEADEASPVLLDSDRRRAIWDEWIVRIFEKDESGQMAPRGLG >Et_10B_003645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4234817:4241019:1 gene:Et_10B_003645 transcript:Et_10B_003645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAVQQEMGGVVIGCFIHDMVLDLLRVKSQEENFVTISSCNDEGTSSTRRVRRIAYQNIMLEHTRQDTQVDLGHVRCRITDKGFPFSRFKLLRVLALEECSGSSINIHLKKHIENLLHLRYLGLRNTWLNEVPEGIGALKFLQTLDLTDSKVAKELFPSSLGLLTQLICLHAGYMKVPKGIIGKLTSLEELQIRCDSAGQFVESLCNLPKIQHLHLSNIDSRGMQRRSREPSEVSLQKMGSTSQRCHHALIPQIFPTSPAWSCGLMVWWMSRNSTVLFTTLDSHGDDATFASEKEDECIVSTPMIMPNLQQLAFHVPVRSEGNTSFDYLKYFASLQKVEVIVDYHLASTDEVERVEAALSHPIEAHPNRPTLKIFRLFESTKRCILAHMDSC >Et_2A_015428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12554005:12557837:-1 gene:Et_2A_015428 transcript:Et_2A_015428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDVVRCQQEGELERGRVQREQSVGVVDVPVDVPGGAHAAAVEFVRVEVHLHGLCPGQHVPGAGAVADVGDPCARAIAVDGDADGLEGEPAAVRAREHRDADDAAVVAPGVAAQRGARGRREQLAAAGRRVDDEDAREARRGAAVVDGEEEDEAAVDVPDGEAAGGAGGEPEGEARGVEVEGREDGGAVHDVGPRREGRREVEGRVEEVAEERRRAGQGGGGAGRHAAPAAAGERGAEEVGGVEAVEDGVEMVVLEHGDQRRRLRPQRRHGARGGGGGGTRGAGLAALADSALFAAPHGWGDDRRGGGAVGGGGRQGFGEGETKRRGSVTLPALVAGCLCHATPGAAFRASGFWNTRGQGRLPEDKQEASSSSSN >Et_1A_006620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25257091:25258432:-1 gene:Et_1A_006620 transcript:Et_1A_006620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDFFWLSPADQGDLSDVVRASLQQLPAVATPDAATVYGNESLGAHDLPEEEELLLLQGANSCCGDDRYGVRLQEQLVHDHGMGVTVSSNSSSCHPLLLQSSPTSYPQPEGPIRHVMSGTPPQLGAAGVVEGECDAAGPEMDDHGLDMPMDAHPYAPAIKRRKSQMKKVLCIPAPTATPPGMGGRTSTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPSMLVITYTSDHNHPWPTQRNALAGSTRLVASSSATAKSHHRQHQSMAGATVPQPPRRHGTNNIVGDGGTTISISNDAHHQQQLPKQEVVDVMDHHRPEQQQAAVADHDLDMFADMDGALNILSASSFSSQKQQQHATAADDDDHLEQDDKQQQLDPFSFSFFDWVGASFGIGGANKDGYT >Et_5B_043196.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:16970789:16970986:-1 gene:Et_5B_043196 transcript:Et_5B_043196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGAIGVVQLVVPAAKAKPAATSRSPTTTRQCTLLVGADLRCRSALLMRARSWMTRPTMHGRC >Et_3B_027781.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18942031:18942045:1 gene:Et_3B_027781 transcript:Et_3B_027781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRE >Et_10B_002965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14777147:14784121:-1 gene:Et_10B_002965 transcript:Et_10B_002965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCSALAEGNELNFSGLYLYHTYSGPSPNQTTIISQSGMGTTAANNWVVREGLGQNAKVVARAQGLHIYAGNWHNSFSLVFEEERVHERRSEGNIIELTIQGFCHQLKGPRPSMIIPATKIGTWGGNGGTAQDITEQPKRLDSITIKSGLAVDSIAFSYLDLAGQKRTAGPWGGPGGNPNTIQLASSEYVKGVSGTFGVYDGVNIINSLKLVTNVKTYGPFGQDKGTPFSVPVQGESGVAGFFARSGKYLDAIGVYVQSL >Et_7B_055892.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6849286:6850158:-1 gene:Et_7B_055892 transcript:Et_7B_055892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAVMLSLLLVSCAAQSPASSPSASNAPPFGTSAPQASVTPPTTAASAPQASAASPTTAAASAPQASAAAPSKAASAPQVSAAAPPTTFASAPQVFAAAPPTTFASAPQASAAPPTTTATSPSQPFAAPPTTAAAPQLAASSPPVSSPPLAAATTPVSAPPLSVASPPVATAPAALPPSALPPAFAPSPLLAAPVAAPIAPPTMAPAPAPMAPAPAPSVAPTPSPIMAPTPSPALAPSLAPLGSVSVTPSLAPGPALPLDEAAAPSARAGVAAAALVSLAAAGLVVLF >Et_1B_013273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:728093:730536:1 gene:Et_1B_013273 transcript:Et_1B_013273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRLLLGPHRVLLAAPLPRRLCLARRAMSSAAPAQRRRPLRGVVFDMDGTLTVPVIDFPAMYREVLGGDAAYAAARAAGGGAVDILHCIESWAPDLQRRAYEVIARFEKEGLDRLQIMPGASELCGFLDAKQIRRGLVTRNVKDAVDLFHQRFGIIFDPALSREFRPYKPNPAPLLHICSTWNIPAHEVIMVGDSLKDDIVCGKRAGAFTCLLDETGRYGPHDSLPEDVKPDFKVSSLTEVFTVLEEHFDLEPAPSESRI >Et_5B_044854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6523721:6528590:-1 gene:Et_5B_044854 transcript:Et_5B_044854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQPRTEVLEDPARVNGAAAKATLSGGELAWRPRDGGEERRLELESEVLGCRVEGKVVVEMESEDAAARWLDAVRDRLASFGRPKRLFIIVNPYGGKRSGRSIFQTEVLPLIEAAGILYTMQETKHRLHGQEISHSLDLRKYDGIICVSGDGVLVEVVNGLLQREDWETAIKIPLGIIPAGTGNGMAQSLLHAAGESFSISNAVFAIIRGHKRALDVTSVVQGKTRFFSVLMLTWGLVADVDIESEKYRWMGSARLEFYFLLRVLKLRRYNGRVLFVPAPGYENVGDPVEASTSCESNGANAGVDEAQPNGIKGETCGYPGPSMEESDLHWRSLNGPFVSVWLGNVPFASEDAMAAPKAEFSDGYLDAAIIRDCPRWDVLGLMFQMKDGAYIESPYVEYFKVKAIRIEPGLRIGSGKGGIIDSDGEVLARGDGSRARDEPEHLMSYGAIQLTVDRGLATIFSPR >Et_7B_053986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1458598:1461630:1 gene:Et_7B_053986 transcript:Et_7B_053986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFELVRHRLVPDSGRDRLTSPPAISKGIGAAVPLRDNARSMISHRARNAKRNKNTKNIADTLMLDRDEGDGVNIIEQGDLEHLTAMAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Et_4A_034953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8107848:8111236:1 gene:Et_4A_034953 transcript:Et_4A_034953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LCWGTSHEQRNHRLLIKQPSANRNQPEGGTGMVFQKRSSSEMESCGGSQVAEMPHVPKSARGKRSVRKKEAQSQGQMMCAFDLLATVAGKLLEGEDSLGNMSAGAAGCSKGVRVKQEQCDEEVKHFKNEVMDQDSCNESAILPHIAVQRAVSHARNEDPKAKSDAQDKESSMISCTKAELGCNFGAIADRWSPESVESGAFTGDAVASMMPPAAAGFHKIASDMYNLLDPMDVDVKPPPLVSSDSTGDMPLYGDKIRRSISFPRGPKGATGFAVDRDDDDDKSSGCTHPSTATNTGFRPNCTAEHSRVKKLLACKYRKVAPSRMHRGDHSYSDVDRKPSFRHKKMHYTRQRTQRSTFKRRKMFDRHSVMASEEFGRAKGKGNTKANKGTNSMPFQKSCESNDSHVKLRIKSFKVPELLIEIPETATVGSLKKTVLEAVNAILGGSLRVGVLHHGKKVRDDSKTLMQAGIGHDDMLDSLGFSLEPNCSQNPSQVPAHEDASFLETIDTTEPLARIAPADSSSKHGEVDASQELALTPFTATYQGSDHDSVHSPVGISSPDKVSTSSRAIVPVPPADSNAGAVVPANKSKRSPEQGQRRIRRPFSVAEVEALVLAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLAAQAYWSQQQAKLQPKTPPLAEARLLT >Et_9A_063476.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:3665664:3667163:1 gene:Et_9A_063476 transcript:Et_9A_063476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTSCQVSYSFLDYIISRQTEKKTFLPLEISVLDGRCSPPTNCVGQHLAIRSDWGRDKAAYISLDFSRLRSLTASGIWDPFFISQKMRVLRVLDLEDTCNLEDDDLDEIGELMPRLKFLSLRRCTPISRLPESLGDLLLLETLDIRHTHITELPKKITKLQKLQHIRAGTTQWDSSGPDVPVQAAASTPASSEETPARPSQQDERQPKQSKGSTLFACLSKSPGDCTGGIRIPRGMGGMKALHTLGVVDVDMPCGKALLAELKKKKKALHTLGVELKKKNKNKKGAESHTLRNLSLCGLNWSHHLLHCISSQDQLESLSLRAEKLQVEHLNLPPNIVKFRLDVGGILLKCVVDAIKPHGKLENLRLHAKEVEDGTVCFYAVTKAGESAATNDGESNSGTFSEVKNLEISCSSRLHVTFSQQGMQKLELLKVHCHSGSPLEISGLDQLSALKQVWLIGSCDGTIQEALKKNLLEHQKKPALYMEEQGLFKNVMEEQGSS >Et_8A_057514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3932286:3954532:1 gene:Et_8A_057514 transcript:Et_8A_057514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIQLQEENILGITQTQQHRLTTVTNQKSTFVAKITTATRSCVKARALLLAAAVVAVVLLMPAPAPVLGATAPAEVVSNRCTSHCGDIRIPYPFGVEPGCYLEAGFNLTCDRSHRPPKLFLGDGTVPVLDISVPKATVRINGTYAYFPSSNRDAPWALGTKPITARTWSGALVGGGPYTLAEGRNKLVAYGCGIQVLLEGDPNRTLSTCAAFCNWDTHGRLYLRLDDCFGVMCCQANIMTERSSYVFKMVQMNGAGSNSAALAWIAESDQSNILEMVLDWDGENVPELPAVLNWRISQTTCHGNTSSAACRSRHSFCENVTKSFGPVKHGHLCHCNPGYQGNPYVSGGCIDIDECEFPETYPCYGFCKNTEGGYQCQCPPGFSGNASQPNGCQDINECAHPILHSCYGECTNMPGTFNCTCKEGTNGNPLEKGGCRRSLPVLQVVLGASGATACLLMLALGGPVVMRRIKLQRLKEKFFKQNKGLLLQQMISRNANISERMIITLRKLEKATNNFDRKRVVGGGGHGIVFKGNLDLHVVAIKRSKILVQREIDEFINEVVVLSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLCNHLHVEGKISLSWNDRMRIALEVSRALSYLHSSASMPIFHRDIKSSNILLDDSFTAKVSDFGASRYVPMNRTGVTTAVQGTIGYLDPMYYTTGRLTDKSDVYSFGVLLVELLTRKKPFGYQSEDGDGLISHFVKLLNKGKLIDIIDPQVMEEQDGKVEEIAIIATMCTKLRGEDRPTMREVEMSLENLLVKKVQVTCHTTPQRDDDMIIVSHESHDLSIIQVAEETSRQYTMEEEILLSARRMDMRVEMTRRALLLAAAVVAAVLLPPASVLGAAAEVAPDGCTRNCGNISIPYPFGVEPGCYIEQGFNLTCDHSHRPPKLFLGDGTVPVLEISIPNATVRINGSFAYFPGNNETVLGTKSIVAHTWSGALVEGGPYTLAEGKNRLVAYGCNVQILLEGDPNRTTSTCSAFCNYDSHRRTRWWFAPPDDCSGVACCLANIMTARSSYVFKEVKMNGATGPDSASLAWIAETESDIVGMVIKGVGEVPDLPALIDWRINHDVPRQRILCCVQKQWPQLLQEHHATGRHYPTWAPLPLRSGLPRQPLHVNECESPDTYPCYGVCSNIEGGYHCKCRPGFEGNASVPNGCKDIDECARPDLHPCHGKCKNLPGSFHCSSDSALKIRLGVGGGIIFMILTLGAPFITRKMKLHKVKRRKERFFKQNHGLLLQQLISQKSDIGGRMIITLQEIEKATNNFDTSHEIGGGGHDLQVVAIKKSKIVVQREIDDFVNEVAILSQINHRNVVKLIGCCLETEVPLLVYEFISNGTLSHHLHVEAETSLPWDNRLRIALEISKAVAYLHSAASTPILHRDIKSSNILLDDHLTAKVSDFGASKYVPIDQTGVTTAVQGTIGYLDPMYYYTGRLTDKSDVFSFGVLLIELLTRKKPFLYRTNDGEKENVIGGQTATHYLSFDGVTVETSRQYSIEEEMLLSASCATSTMVAKTRRVMLFAAAVAAAVLLSPAPALGAVAVAPAEVVSDHCTSHCGNISIPYPFGVEPGCYLEPGFNLTCDRSHLSPKLFLGDGTVPVLEISVPNATVRINGSFTYFPGIANNFMGNKTITARIWSGALLERGPYTLVQGKNKLLATACNAQILLEGYPNLTLSSCAALCTWDSNTRWWYPPAPASHAARRTSRWSAAPMCSR >Et_4A_032754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13438159:13439480:1 gene:Et_4A_032754 transcript:Et_4A_032754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRRCAIAPVASIRQRAARRQQPSWVDEFLDFSAAKRGAHRRTVSDSVAFLDDNAAAGAHDFDRLDDDQLLSMFADDITPPSQLQQQAAPAGSSSSPSDHNSFNDEKPEKGDTEEAQSECNGDGANAAAPAAVDPKRVKRILANRQSAQRSRVRKLQYISKLERSVTSLQTEVSALSPRVAFLDHQRSLLTLGNSHLKQRIAALAQDKIFKDAHQEAMKKEIERLRQIYHQQSLKNAESPTADTPPIHGDKDMIASGEVTAAPCLPS >Et_7A_052209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5692749:5696175:1 gene:Et_7A_052209 transcript:Et_7A_052209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGLNLVSAALGFGMTAAFVAFVFARFICCRPRQAPPQPSDFPADLDHPIEHAHSGLEPLVVAAIPTVKYNQEAFHAKDDAQCSICLGEYEEKEILRIIPTCRHNFHLACLDLWLQKQTTCPICRISLKELQAVMPSARNIQQASTENSVNPTHQWFLPVHQDHRNQNSSPQTQESVEVVIEFRQ >Et_6B_048949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1642268:1645649:-1 gene:Et_6B_048949 transcript:Et_6B_048949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVALPRGPTNLASPASRSSSLRYLANADSDERRGGEAGGSEQEEEEEERWSFLALLFELLRKSLLGCRTVGGGAEGEGGGCGMEIGLPTDVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSYDSRGNSVPTILLMMQRRLYEQGGLRAEGIFRINAENSQEEFVRDQLNSGIVPNGIDVHCLAGLIKINKMNARNIAMVFAPNMTQMADPLTALMYAVQVMNFLKMLIQKTLKDREESNLEDAALPQKDPSDENGHQKPSVAFDCHPEEGSRRPSFVNKEPLLSSPAHSSEDKSAETVASEADTAAPAGKTSEVLTSIEDLPNFSQPALVAPTAIVNASSASAVNSLQHKGSRSPNSRRTRKGKGQSGTRATPAAEKSRGVSIVSRINCKVERIEAWR >Et_2A_016833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28679651:28680951:-1 gene:Et_2A_016833 transcript:Et_2A_016833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRRLPFAFAVIAAVLMPPSAMAQLRIDYYASICPNLETIIRSSVKQSMAQSPFSGPAALRLFFHDCAVRGCDASILIVNSNGDDEWRSTDNQSLKPEGFQMIMNAKAAVDSDPKCKYKVSCADILALAAREAVYQSGGPNYPVELGRYDGSLSTRDSVVLPRADFNLDQLNSYFAALGFTQTEMIALSGGHTIGTADCPIFQNRIGTDPTMDTAFANQLRSTCNANNPTSRFAFFHETAAGGFDNFYFRKLQVGRGLLGSDQVLYTDTRARTTVDNYATNQAAFFADFVTAMTKLGRVGVKTAATGEIRRDCRFPN >Et_4A_033033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17397122:17397641:-1 gene:Et_4A_033033 transcript:Et_4A_033033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEHLQNSPVCPVCSKTHILRVFAWESLSYINISQEVKRSELRVPNKSITAPFDQSAAKLTFLRVFAREPSSYIKHIATSDKERSPGRFDRNNTEITETGPEQPRLTRTIELHQTHRNKLKGVNSGSFSPKQHRNSRNRSRTAPE >Et_2B_022151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9756825:9760307:-1 gene:Et_2B_022151 transcript:Et_2B_022151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVEVKPGKPYTHRYEASHGRLRICQATLGNCDVTTRTVLQCNVGNKVPIKICSLNPKLAEMCHLEVEFEEVDDVLFSVIGQSSIHLSGHYIGASSKHGTADDESESYGEDVGQSDTDEKLASGEDSYESDFIDDRDVVPEESHGSDSMEEDSEKPAHKVGRRRRLKKLVMDSTDENDDDSPYKPAAKCSARSIFDSCSDDDEDNIPISAALGKKDSTKVAEEIKSPNVQAKDDTRKKSTNAQKRKSCAISEDPASTMDITDAKASSPAKQDAGSKKKSKKKMRNQVDTELEKGSKARTLDDGLIVEDLSTGNKDAKMASTGSKVYIKYVGMLKDGKVVESNVNEKPYKFKLGAGKVIRGWDLGISGMRVGDKRRLTVPPSMCYGDKSVGDVPKNSSVIYEVELVTKMFSARRLES >Et_3A_023267.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:1598284:1598373:-1 gene:Et_3A_023267 transcript:Et_3A_023267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVGTSLSCRASSLTPSLARVFPAEHPH >Et_8B_059167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14753826:14755607:1 gene:Et_8B_059167 transcript:Et_8B_059167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKTNTVLIIFSGASRPSTAVRRMRSNTAWKLGLALDLVHLGALAERDHPARPRLLAELRDEQAQRAAHLHGHAGHAPGHVAHGDAVAQRPLLAEVLHHALPLQLRHLVRLAAEGRGRDLVGQERAGGDLGLAGLHVLQVLVEALLVVLLEELLVLPHGHRGDAGVGQLRPPLRAHVHLGELEHLAERHQHLAAEAVERAVDVVLLGQVGVGGVGGAREEAARDGLAEQRGAVEGGARRGAPLGGEPDLPPLAAGGELLDVGGEEVGEELGDVVVEEAVGAVAVVRLQGLHGEPGHLPDLLAQGDLLLCRRIRGRRARLLLIHLHRIALRLAASLGFGLGLGERGADEVREHGRGRGGLAAALLAGHPGEAGLVGLELGLLGVGLLLGELADVGAVDEAVEQLGEVAELFSVRAIAAAAAAAALAATSASVGPLKAASRRSRNGCEAGASKSTASGAGRAPRTTGGPRRTAAAMAVGLGSSCVGG >Et_3A_027088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32472909:32474809:-1 gene:Et_3A_027088 transcript:Et_3A_027088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGEEDKKPEGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDMNAIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGFLPSA >Et_3A_023205.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:10960017:10960169:-1 gene:Et_3A_023205 transcript:Et_3A_023205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KSLVVLVLSLKCRWLGGKQIWRLIAVRKCPLFLAECVRVWVILRTDSMEL >Et_3A_026718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16813086:16814564:-1 gene:Et_3A_026718 transcript:Et_3A_026718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLIIGLVALLFTSTQASIDCSDVWNDGSKHQLGKTTGGAFRLRLLHHRHPCSPGRDQAAPSLSWLRSMSLERERQLAARLFFFRCRRHVPPTNISVPAGHQRRRVVGLGTPPTTQTVLVDTASSFSWVQCEPCGRAGSIKVNRCFDQDNGQRFDPGPSRTYRKLPCSSPTCLLVARNTVAHRLCVEEENRCVYYIKYMDGSIATGRVGTDTLTFGDGEAIPGFVFGCSHNYMGRFARYAGIFGFGNGNLSFLSQILERTGQYRAFSYYLPSPTSVGYIQVGAYNEGGLEFTPMHHRRRLLTGAGRKLDFAAGSGGSLGYLDLGTEFSVLPRQTYENLCKTVAERIKGYDRVQSWPGCFEPAYLSTERAIPVVHLHFSNGVRLTLDEQKLMFKAHDGMLCLGFAPGEYYPLGAMQMQMMYAAQDVEKSRMGFSSSS >Et_1A_009296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37817946:37820104:-1 gene:Et_1A_009296 transcript:Et_1A_009296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNYTKVNQARELLRRRERSGFRDNFIAKSILSYPKLTCADDDMTSLSDLGEDLALRFQLAPQPQFVPEWIEEPFFQLQILSVGSLVGRHHPPSQYQGDWRGTQFEQISRRSRGVEKQEVLNVSAEFTAQSWKFAGSSPVMSLEGVYNPEDGRMHLIGCRDVHALSTSRDDLEDGMDCSIEVTVEYPPPTTRWLISPVATLHHEARGDPLHFNRTVLWSLPIVYRDQQDELTESQVEGRLCIAMLSATIAATAGQLRHIKSHPDVAPYVSLSMLAVQALGYAATLVMDAKTLPAWPTGPRYRGYIDHLRWDMDSSVKALTLAALLLTARLAQKVLRARSRLEPGRVPSDVAVLICSFAVYLGGLFVVLAARWLGTYVTCRTSSTRLLLPGVLYFEQQGTSPSSHTYTRDLVVERYVGSVKEWFLLPQVIGNAVWRVNCKPLAARYYAGVTAVWLLPHVYGYLRPPVVHGAHPDAGQHGALDFYSKAIAVTVPVVGVVLAVTVASCSIDRQVVPAPPTHDLSSLRRHPKNDLALLLDTEVVGALRDRDVEVLGCVHDVRDLCAEALGNVHDIRDHSAEARGSAHVLP >Et_1A_006470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22941348:22950773:-1 gene:Et_1A_006470 transcript:Et_1A_006470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALPAPVLFRPHSGGANPRVKPLNSTTPLVLAEGAGVSPYTIRMDRRSWPWKKKSSEKSSNIDVLPSSNQDEQGAKVPNFVQISPERYANLIESEEQVENLTEKIKVLNEKLYVAQNENNMKDGLVKQHAKVAEEAVSGWEQAEAEASTLKVQLENVTFSKLASEERAAHLDGALKECMKQLRSEKAQAEAQIEVLKNTIQSGEKEINSLKYELHVVSKELDIRNEEKDMSARSADVATKQHLEDAKKISKLEAECQRLRALVRKKLPGPAALAQMKMEVDSWGRDQGDNRLRRSPSRSYNTQNPMSPSPDYAVDHFQNIQKENEHLTARLFSMEEENKRLKDALSKCNNELQASKNLCAKTSSKLRSMELQMLSANLHKSPTNSYTDTSFDNASTQKGSNPPSLTSMSEDGVDDTKSCEGSWSNSFVSEVSHLKKEKGGNHSLTESSSQMDLMDDFLEMERLACLSSESKGCDGNFDKIVKVEETLPTVPKDESNKNSSPTLLSPISLSSSVHLSDKSALFKLQSRINSLLSSQSAQSNVVKVLDGIRNILGDIEEEAGSVNVSKFEQNNIVDVADNGTSTKQAKPIVVMDHGVKNAISNLHEFVKSLVGQAFGFQGSICIHDLVSQKITTFSSLVDDFLSDGNGLIEIVIALSEILLESGDLKLILLRERINEAESSNVNCVDKVTLLEKKVHHGSGLYSLLPHSSTYPDFLGSPSDAFDDKTAVLCTAEEYEKLKLENGKLEKELTLCNEMIESTNFKFGVMEKNLEELTSKLASCEKSNGLAETQLKCMAESYKTLESQKVKLEEEIKVLQRKIDTLSTDLAEERQSHQQEIAKYRNLEENMKRYEKDSLCVDEHSDTKLKQEKEIAAAAEKLAQCQETILLLGQQLQTLRPPSAEPLGPALNKQQSGEFSDNQAGQAQRRHSKKASGQFDAEYIFSSAPGTGNVSPLTGYNTHKSPSHGGASPYFTSPSSSKRPKHRSRSSSSSFSNLLPEKQGRVFSRSCYSKR >Et_4A_034925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7977087:7978739:1 gene:Et_4A_034925 transcript:Et_4A_034925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSRWAGCLSGLSCFGSQKGGKRIVPAARTPDGNGSSARGNGHQSGSNSNQNVPLNLSLLAPPSSPASFSNSALPSTVQSPNNFLSISANSPGGPTSNMFAVGPYANEPQLVSPPVFSTYTTEPSTAPLTPPPELAHATTPSSPDVPYARFLSSFMDIKTASKEHNMAFLSTTYSGGSGLQASYPLYPESPCSSLISPASATPRTGLSSPIPEQEVPTAHWKTSRSACDTPYSRASPIPEQEATAQWKTSRSACDTPYARASPSNIFGLDSSASRNYLLDGNFFRPAASAQFYLDQAQQTYPYNGGRRSVSRDKQDADEVEAYRASFGFSADEIMQTQSYVEIPDALDESFSISPFGNNAPATEVSPFNDPPNEVQKAEKSSPKKTADQISNGSPHRVLHIDIFKGTKGGHPSEYEGIVKDGHPFRKTRDEISLKPIEVRKKSPPGHSCSDAEIEYRRARSLREANGVPSWRSTLSRQLQ >Et_2B_021508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30100811:30103546:-1 gene:Et_2B_021508 transcript:Et_2B_021508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKLMNLLRACWRPSSNRHAQTGSDAAGRQDGLLWYKDTGQHVNGEFSMALIQANNLLEDQCQIESGPLSFLDSGPYGTFVGVYDGHGGPETACYINDHLFQNLKRFASEQNSMSADVLKKAYEATEDGFFSVVTKQWPVKPQIAAVGSCCLVGVICGGMLYVANVGDSQPSICVQPLQPHDQFLIFASDGLWEHLTNQEAVDIVQNSPRSGCARKLIRAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDASLVSRASTHRGPALSLRGSGVTLRSNTLAPYSSQS >Et_8B_059777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2710518:2711406:1 gene:Et_8B_059777 transcript:Et_8B_059777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNNSYLDVVLIPLAVFFPAAYHLWLWRSVRRCPLHSTVGINAATRRLWVMAMMKDNEKKAVLVVQSIRNVLMGSTLVGTTSILFCTGVAAVLSSTYAVKKPLSDAVFGAHGEHMMALKYVVLLATFLLAFLCHSLAICTLNQATFLVNALSPPDAAAPLRLPAVTRGYVGEVLERGFLLNLAGNRLFFAGAPLLLWIFGPVLPCLCSAAMIPMLYSIDMVEHRAGKGGANGEANAKVETGSESDQSDQV >Et_2A_015996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2031322:2035095:-1 gene:Et_2A_015996 transcript:Et_2A_015996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRCQCDPAVIPKQRRIAAALDHVFPAPRGEPMATTAPLISPHGACRSPLLRAVPPQLLAFNLAAQATLRPRLCLRRAPPPCPAKFGKFDASDAPAEAVETEAAAVDDGAAQQAEEDDSCLPSDLEGAIRQSGKASADFVNSGGMRAIAELLIPQLEFLNEEGAQAELWGLARIFLDTLVEETGQKVTAIFPDAGAAALLKYQWQDAQFKCSSLSDRKPVGAEDEVAVMIIPDHQMLESVERIASQLSDDPIRPLIMWNPRLVSGDVGVGFNVRNLRKNFLSTFTTVYSMRPLPTGAIFRCYPGKWKVFNDDPSRPNRYLLARELTTRPDATDIDRIFGGDDEESEEPPSLMNNVKGVFSSNLQLMRSLFSTGEVEALRELADDNPSDGGKNVRPLELCAAAAAAADVVDDDRASSADDEHADPVPVLDDVDGPRPRCCPHLSPCRPPLSPPADEDAPP >Et_8B_058668.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:15281959:15282231:-1 gene:Et_8B_058668 transcript:Et_8B_058668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGCQRPATRTVLTSTAETARATHTFRIVGYSLQTGHGEFIPSATFTVGGHDWCIRFFPDGDGPVFSKDYVAAYVHLVSASSKQRISAP >Et_1A_008091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4290628:4293529:1 gene:Et_1A_008091 transcript:Et_1A_008091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLTDLVNLNLSDCTEKIIAEYIWIGGSGMDLRSKARTLSGPVTDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRKGNNILVMCDCYTPAGEPIPTNKRHNAAKIFSNPEVSAEEPWYGIEQEYTLLQKDTNWPLGWPIGGFPGPQGPYYCGIGADKSFGRDIVDSHYKACLYAGINISGINGEVMPGQWEYQVGPAVGISAGDQLWVSRYILERITEIAGVVVTFDPKPIPGDWNGAGAHTNYSTKSMRNDGGFEVIKSAIEKLKLRHKEHIAAYGEGNERRLTGKHETADINTFSWGVANRGASVRVGRETEQNGKGYFEDRRPASNMDPYVVTSMIAETTIIWKA >Et_1A_007780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38170367:38171109:-1 gene:Et_1A_007780 transcript:Et_1A_007780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSLPSLSSFQVLRVLQLEDCGDLHDNCFNDLGKLHHLRFLRLGYCRQIPESIGKLESLEILEITGIGETLMLPMSFAKLQKLVRLSGYDGVRFHVGLLLGDMKSLQELEVVHIRSMEELKEIGSLKELRALRIDISGEMAVETIFMCLHRRTNLKKPDINTSNEGCILCSLDCMPLVPSGLQRLKFSGASFPKWINSLTLSCLTTLIIMFCEAPQSEHLEKLAELPSLRFFCLWLRLET >Et_8A_058254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3630163:3632947:1 gene:Et_8A_058254 transcript:Et_8A_058254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSRLRAASRVRGGGEGAGRWTTPGHEERPKGYLFNRPPPPPGESRKWEDWELPCYITSFLTIVILGVGLNAKPDLTLETWAHEKALERLQQQELAAAGAGDTDAEHGPNSYQLHKAWAQQPYPKRHLPDRGEPGDEAHEPTFGLSRSSRHLFNPNGEAHRHGRPVQVLVPHDQARIASSAVSSSPGAALLGTSVACTMTTSARPDRADLCPVAHIVHVYAGPAAAFALTQTTEVPR >Et_4B_036262.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13638596:13639255:-1 gene:Et_4B_036262 transcript:Et_4B_036262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGERLFQLGFRFNPTPQEAVAYLIAGEPVHPAVRPCLHDADVYAREPGVLAAQFRATRKGGGARFFLATCKRHPRRQKATGKASRIVRAAGHGGSWRQQGDAADVEDGAGVKVGEVRRLRYMKGGVFTDWLMDEFSSCSEDAVVGGDRHRVLCKMYVSPRAAPDSTARQEANAAAVAPPVPQDTVVAPPVVEQRCAVVRGPQPLSFSSPPWSLATI >Et_8A_057787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7199771:7203849:1 gene:Et_8A_057787 transcript:Et_8A_057787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVDVGVGVDGGKTDKLLWFQSLPTGCLTATTLDMSFYNRRGGERGNGRIQGSGGRGGHVMRGRSGLPPRGPLGSFSRPKDMTWRPDLFNDSMAASGIETGTKLYISNLDYGVSNEDIKELFSEVGHLKRFAVHYDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLTMTPRINVVGASNGRATRTVVLTPEFGQRGRGTTSRPSNIGDRYNRGGFQAGRGRGRGRTQFQARGRGRGQFQGRGQFQGRGRGRGRKPEKTADELDKDLETYHAEAMKTD >Et_6B_048466.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:7868310:7868591:1 gene:Et_6B_048466 transcript:Et_6B_048466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KCEVCSTEVEDTYRIFFVCSFVRSFWDIISVKIQPDTPVRTPWRLRWSASTPVQHRDTLTLLCLWQLWKHKNEVVPATQLQRRSRSLVMPPKA >Et_10A_000550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12556273:12561299:-1 gene:Et_10A_000550 transcript:Et_10A_000550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQFHGLLRPPPPPPSRRPLGPASFSVPTPPLSLRLHSRAPSASLRPSPPPSPRPPLPKKRAVLVDEDGAVVDEESGAEDTEEPDREYVASVGTGLPAYLRAARAGLAGDPVFFLLTAVAVTTSVAFTSMVAVAIPTMLAMRRAANSFCMLADAALEELPSTMAAVRLSGMEISDLTLELSDLSHEIADGVNKSAKVAEAVEAGIGQMGDIARQQAMIKIS >Et_1B_013109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5534824:5542973:-1 gene:Et_1B_013109 transcript:Et_1B_013109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPEYSMSSGCSSSSSPPAADVGEEYWEARNEAMSRLGAMAIRGRGEVELSAEQLETNNQLQEDEVLALQAIYGDDMVILEDKTGSRSFQVFVWYPLPNGTQVFLNLHPEGATVETENDMGRDDGQLIYACSLKHLPPVVVTCLLPQSYPSTCAPYFTISAKWLDEPKVSHLCSIFDEIWTELPGQEVVYRWVDWLNSSSWACISLKDNIVFAPDKVSNAADEHTMPDLVYCPRCGAACLEVDNDAQCPECFFTFCSLCKERRHVGTARVTPVEKIRILRERHKKYSLPEKQLFREQREIDELINVCAVLRDSKQCPSCKMAISKTQGCNKMTCRNCGKFFCYRCNQAISGYDHFWGGNCVLFEIQIPNQRRIYGRFEEQDDDEDSDDDPEEQEWLWLYPCPICGRNNQKWGTNNHILCMGCRGHYCALCRKRVLKSSQHYGPRGSCNVQMLALQAIYGDDMVIFDNTEGLRFPYTICPDEGTEASDEDDDDRLLYACRLQHLPPVVLTCLLPRGYPSTCHPYFVVAAKWLDEPEVSRFCSVLDEIWTELPGQEVVYRCADWLCSSSWSCIASDEQLVLGPDANSNGGDPRAIGRSRSNFVKLPCDHSFCVMCMESYCSIHVKEGSVTRLTCPDTSCRSPLPPSALRRLLGEDAYARWESLALRRTLDTMPDVAYCPRCNAACVAAGDDAQCPACFFTFCAQCGDRRHVGGACVLPEEKIADLLKAHFFLFPSSLIDLAWVRVLIWLRSRNSRSRRRPSVRDLVSMSEEQERLEQRKVEELLSLREVLRSTRQCPSCRMAIAKIEGCNKMVCDNCGQFFCYRCNRAISGYQHFENGECGLFQRVGKGRLPGMMNSHPDLDEDVEIKEPGWIRAIRYPCPTCGRKRTKAAGGNNHLVCRECRTQYCALCFKRVWKASEQYGLSGCQQNS >Et_1A_007894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39277112:39277360:-1 gene:Et_1A_007894 transcript:Et_1A_007894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNICYKGKRQCACKKGVPKKTTIKQDDRTYFSVADGYLQHGMQEKQTNVIRFCQLSTQFLGRIKCSRF >Et_10A_000912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19004263:19007169:1 gene:Et_10A_000912 transcript:Et_10A_000912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKLTIRRVPTVVSNYQEDSPAAAGCGKNCLGDCCLPVSELPLYAFKANPAKPPSQEDAAPTKPLINLLLTEWENRMARGLFRYDVTACETKVIPGNLGFVAQLNEGRHLKKRPTEFRVDCVLQPFDPAKFNFTKVGQEEVLFQFENGATDESFFLESAPIPADRAPNVVAINVSPIEYGHVLLIPRVLDRLPQRIDPESFLLALQMAAEAASPYFRLGYNSLGAFATINHLHFQAYYMSVPFPVEKAATQKIPLAEDNMKSGVNVSKLINYPVRGLVFEGGNTLNDLANVVSSVCIWLQDNNVPYNVLISDCGRRIFLFPQCYAEKQAMGEVSQELLDTQVNPAVWEISGHIVLKRRNDYEKASETSAWKLLAEVSLSEERFEEVKAYIFSAAGLVQSDEEEEVSEGEDTTYVPVPVAPLPITEGCLVLQ >Et_4A_032564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11447780:11452133:1 gene:Et_4A_032564 transcript:Et_4A_032564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPRMSRGKAAPGPPGRGQRRGPRLPTALRREIDALGPNSARGSDDDEGSDSGVADVYEYEEGVPEEEARKNGRYDAVDNYEYEFDSDASNADEDVPSDEGDDMDEDDDEDGDDEEKQIRILQETTGMPREAFDGKKKNKQPLELPLLPGDGDGPVTINDLLENIQGKSGYSKIRKRLQQQEKEPMVMSAPLPKSEIKKLERDAAYKCTKKEVSKWESQIKANREAPTLYFENDNNLDMNSVGAIASKFMPRTKFEMEMAQILQSKEMVEAHKNDGAKILELNKIDVEDVRERQNRLAKMRSLLFRHEMKAKRVKKIKSRTYHRMLKKDKLKAASADLEEDPEAAKEYAIKQEQKRAEERMTLKHKNTSKWAKRILKRGLSVQDEGTRDALAAQLQQHALLTRKMNSMKDDSSSSDESSDDDEEEDESEEKLLNKEREKILKVLEEDNEIPKSGVFSLPFMERAIKKHEEATYEETRQTVKEYDEYLRKLEDDNSERNEDSIKVAGKRTFGPVKNTHGEVNKRQKLEDCDKNSDSEYDSDSAQHLNSNEVTKKQNDIQLGTALLDDEPQDALFKKFDDIIKNPGPKTTFEVGMLADNSWKKVKSSKGDGNRNTNVTNKSKAQAFAGDDVEAEFEKDKMEFLNEENPEPEKPALIPGWGQWTDIQQKKGLPSWMVKEHDIAKRNREEALKRRKDSKLKHVIISEHVDKKTEKLLPRRLPFPFTSQEAYEQSIRMPIGPDYNPAISVSALNRPAIVKKPGVTIKPIQYEEVDPNQKPDEPKRVIQRATPNPNVKKSSAKQAKVARSHKRI >Et_9B_064229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1290873:1295014:1 gene:Et_9B_064229 transcript:Et_9B_064229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHVRTKQSKWLDNNLKDMDDRVKCILFLLGEEADSFAKRAEMYYKRRPEVIDSVEEAYRAYRALAERYDHISGELHKANHTIATAFPDQVQYALLDEDYDNLPKAFTTVDSRKIHKPTVEGLMKKKQGAKSELKNGGKKSTTPISKENVHAEIGRLQKEILVLQTEKEFIKSSYESGIAKYWDLEKQINDMQEQVCYFQEEFNENAAIEDDEARALMTATALKSCEDAIIKLQEQQKTFFSQAITESDRVKVAREKLESIMRGHGKSLSCSGNSPHENFKTDVSVKMHELYSMKQEKIELQETIDKIKEYFQMNSDLSVEEIAEKIDELVNKVVDLELMISAQTAQINGLCLENNELEKLLQKLEEEKIEPGELTDKLKEAEEELIRVENVERSYHVEERIFYSNFTETIYTFCDIANMLQLPHIENKDAYRCMLSDESAPSIGTEPSGEHGKTNPSEDSEMDEAASNLQVDGSPKHQHFSRRILTDEATPSIGTEPSGEHDKANQSEDPKMDEYARISQVDGSPDPSDIPKTAILPNDSQSSSLNYDMNLEKYRHLEKTEDFCCLKLEDKSSCAAASINVGTAENTDNNSSNDNKNEETENVPEITTSTNGSIQPYIVISNEGGSIEQMHLISPGGPGEDVKQEDSKQDYSTPCNSISEDNNEAEAKMNKEDSYMTRSPTPSSVKVADVKDQEDSMIKLQHLLMNGLQDKEKVLLAEYTSILRNYKNAKRKLTEVETKNQEYLNEMTAMISELQSNNAVKDAEIRSLHELLNSYKNASYSGHRMTSTMSLSEKDGMVRGHRRTPSFLLPVHQRAQSASSISRITKNSSSLKTNLTIKSPEKADAITSPEIIILEDVKSTNVVEMEKASPLEEKFRREIDALVEENLALLMKFSMSFQQIQGFQTKYEQLKPEIGKLINEEKLKANKDQANDNPARSETEATEKRLRELKIELQVWLEQNAMFKGELQCRLDSLRSIQEDIEGAMEMGDTEDGAGFTSYQAAKFQGELSIMKQENNKVSDELQAGLDHVKGLQAEIEKVMATILKRTSLSGPKPTSNWRNAPSKSRVPLRMFLFPAKKKKPSLFACVNPAFQKQNSDMAFFTKMK >Et_3B_029279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23646986:23649143:-1 gene:Et_3B_029279 transcript:Et_3B_029279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVQRAAAVCAGVTCSRAALPEAAAAAEEEAEEHAHGGTLFDDLPLGGDGGDNDTKQKPDADNDDASAWKLEWLRSQVIGVEAEFASPFGTRRITYADHTASGRCLRFVEEFLLQNVLPYYGNTHTGDSYVGQHTSKLAGDASRYVKRCLGAGAQDMLLFCGTGCTAAIKRLQEVTGMAVPPPLRAAALAALPPSERWVVFVGPYEHHSNLLTWRESLAEVVEVGLRREDGLPDLAALESALASRAGSGRPMLGSFSACSNVTGLRTDTRAVARLLHAHGAYACFDFACSAPYVRVDMRSGDADGYDAVFLSPHKFLGGPGSPGVLAMAGRMYRLRRTPPSTSGGGTVLYVSGYDHRDTVYSGDAEEREDAGTPAIIQKVRAALAFRVKEWVGEACVEAREARMLALAHRRIRAAANPNLHVLLGADLARAPRLPVLSFVVYPPSNDGSSSNATTESQDDMDSQGRPRRPQLHCRFVTKLLNDLFGVQARAGCACAGPYGHRLLGITPAHAKAIKSAVEQGYQGVRPGWTRVSLAYYTSMEEAEFVLDAVDFVASFGHRFLPLYSFDWKTGDWQYDHTCARGLLPNSSVGARPASAAAFVGRVKTECHHQEYMAFARELADALDTACGRGLDGTRARHIPKSIDPQLIYFVV >Et_3B_031046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1206842:1207474:-1 gene:Et_3B_031046 transcript:Et_3B_031046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSCWASVRTALSSGGTVAEVRQPHQEAPPSLQEGLLLKGEAPPPPRREAIRQLRERADALQRELQAATDQAEAAEAATRHAERRAGEAAAELDAVERTSRMHDEKLRELEAELGDSDGRIKLLEAILATLTAKNKYIKLELGGSAGLIKMTKSLTYKRLNGPLTVP >Et_5B_044531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3191345:3195637:1 gene:Et_5B_044531 transcript:Et_5B_044531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSALLRALRRPSSEAALRLAMSANGQTATGYRHLNNRNLSVFNEFSKQLKGEAKSNPEFQKTVKEFSEKLGVVKEDLKVRTKKTTETIYKSVDDVWSEAEETSKKVSANIKEKMSAAKEEVKESFGLGKEEASSCKDGSPEASKHDSTESSTHADGSSNYGMSGHTLFTKLKSTISSASPAVSGAFAKLKDTKVSTLAKQGYEIMRGHPVYKKVNEYTKPVVTIGQEVAEDVRERWETSDNPVVQKIQDWNESVFEETTTAITFKEIRQRDPSFSLPDFVADVQEMIKPVLTAYSKGDLETLKKFCTAHVIERCKGERQAYAAQGMFFDHKILHISDADVLETKMMGSTPIIIVYFQTQQIYCIRDKEGQITEGGQDVIQTVFYQWAMQLMDSDEVPEEESYYPVWRLREIQQAGVKALI >Et_9A_062451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2377217:2381532:-1 gene:Et_9A_062451 transcript:Et_9A_062451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPRIQHHKEEKEETMILSEQAVDSGFGHGSRRSTEIKEVDFFSTAGPRRGDDGGAGGGSRREPGAPGRDDNSMVNTALDLLTSVAATTVNGGEGTVAGAAGDHRKEADMATVEGELRQAGEENRRLRRMLEELTRSYGTLYNQLIQAQQHQAASNAPNSMLQAVMPGVQVGDPRMSPAIRSAAAAVDGDRASSDGGSGEADDRRSAQQDGTGTPERGENAEGSSAAAEAPCRRVRVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDKAVLITTYEGTHSHQLPAAAAAMAKTTSAAAAMLLSGPAVSRDAAAGAMFGHHIAAPPFFHQYPYESAMGGGATLSASAPFPTITLDLTHSPPGQPQLLGHHRPPFPAAYGFPGQVHRPFLPPPAAIGTDGRSRMAMETMTAAITSDPNFTAVLAAALSSIMAGGAAAEGGASRGAGDAGDSNGDGSGAEPAAAAARAQETALRTLIQRLHESRH >Et_3A_024657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22993346:23009996:1 gene:Et_3A_024657 transcript:Et_3A_024657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHKNHNPGTYYFAFLLLAVASLVSVVSATESSLCAIRSPAPKHLAAGKDALPLLAFFRLSAGYFFGGEDIHFARDKSDDSGSMLHVPRTFSLFPLHVDLTSDSDLIHIAGTLTLSGDRANHGVVARRRRSSFGGSHSVSFHLDGYYSSATAVLCMSGSGAYTTEEGNSFKHLADVVLRLRVPNRASLSDPFVTGQIKGAGFEAISLVAYAQGDGYKYGERASCPPAPQPSVAAARGALQALGGADFSCARLKEELVSLYKLLRHGGGAPALLRLQEPRMHVDQVQCTADGAVRLYATFSNNMNLWGQQLPPRTRFVVKEDAVVAEGHWDSTRSMLCLRACPVVRSGPTSLTVQEQECDVGMSFWFPAVWTMRERSVVAGTVWNSSRGTAGEISASSIDFDEKRSNFSDVEYRYTMIEEAKEHYLDAVLNSHNKGPFPAANYTYRDFEFRFFMDEKGSGLGDAHPITIGSAVVYGDRLAAEYSFSRHAVVGMDDGLVAISYDIRTRHFPPAMPMHLMRPNNMNFSSLTVEERQITAEGVYDPKRGVLCMIGCQEFNSSSTDCRILITVQFESLDAKAQGNGKGVIRSLRDKTDALYFDKMDISLYGMYTEQVSEAISRMDMESIMLVVSTTLPCVLTALQILHAKRNPEASAATSITMLVVMALGYVTPLVISSEALFTSRRKQFAPFQSYVPYELSQAMLRAPTLIAFVLQLRLLQLAWSGRNKAKTWSAAERKALWVCLPLYLLGGGLTIIFHMINGRAARQDWLNVRIGPEPATLSEDLVSSAGLVLDGFLLPQVAMNVFSGSRARAISPWFYVGSSVVRAMPHFYDLIRAQGYVTSLKPSNVYASFRDDWFGMAWDIVVPCGIALLALLLFLQQRLGGSNFLRSRRRIGEYEMRLSRGALACLSLLLHLLLPVATATSYSSLCRSPAHASELPADHRSRVTRRGLPEITTGHFSGARNLHFAPDQSGIPRTFTFDVRRSARTTDPAVLHLSATLTLAGTHYRRRYDRLYSVSFDLEGYYSTATSPAELCMVGSGSYAREDGFGVVVLPDVVLRLHVPHPSNLSHPFVTGRLEGADFGVVTLVAYANGDYKFGLDAASCPAPPPSPVRDARLAGFSRRRVKALLRGSFSLEYGLGGHAASGLFPLRTRHQRMYFNQVRCASNATRLRAYMVFETKNTSAARRYNYTVQLPDGLSVGDEALVAEGVWNPARREFCLTACRVVRSGPSRADLAVRECGIGVSIWFPTVWSIRDRSVVAGRIWNASMASDGGTGKTSGVISVSRTGSYRDHLSYIKYNYTRVDEAKKHQVSKPVLSNEKKGRFPANYSYQDFAFSFYLKKHGFYGYASPVTIGSALVQGDRLMAYDAFYGNWTAEMKGHWMMNVSYDLRFHINPYLNSSADISRRKFRQQPISAEGVYDTTTGSMVMVACQAVMNNGSSDCEILVTAQFAPVGAEASERVVGTISSLRKQGDPLFFEALDFYAEGVYMVHIDRAITRMDMERIMLVVSMTLSCVFTALQLRHVRRHPEALQATSITMLVVLAVGYAVPLVLNLEAMLTDNRHSDKHFVRLTSAGSLELNEFVLRFSTMLAFVLQLRFLQLSLSRRHTEDGGKQAEESSSDAERSTLWTCLPLYLLGAVLVWIVHMSDGGGHGPWDQPRAGALPASHALVDDLAAYAGLVLDGFLLPQVVWNAFAGSKVRALSPWFYAGGSAVRAAPHVYDVFRRHHYVPSWAPSYVYASPRDDLFGVAWDVAVLCGAALLAALLFLQQRLGGAYLCCVRGKRPGEYEMVSANLNLATYHLCLILLFTVGLTSVVAASDSDLCTIPSPGPKHVAAGEDALALLERFQLGAGYFVGGEEINFAKEEGDDWSYVARSFSLLPHRVDRTDDPGLLYVAATLTLSGGRTRLFQGIGRRQSYLGGHSVSFSLDGYYTFSTGELCMSGSGTYLEEDGSLERLQGVVLKLRVPGAPSLSDPFVTGSLKGAGFEAISLVAYAEGDTYKYGEQAATCPPSSSPARGAFQDLGGNFSCARLREHLVSSFKLQYGTHALDSMPLLRGLHEPRMRLGEVQCTADGAVRAYVTFSNNTKTRRRVEERRPSFTVKEEAVVAEGRWDSDRNTLCLRACRVARSESEPTSLAVREKECGIGMSFWFPGVWTIRDRSAVAGMLWNSSQAAGVAAAGAISASSINIDIHRSNFSDVKYIYNYTMVEKAKKHYLGSELSKINKKTKGPSFMAANYTYRDFEFRYYASDVMSHAGGQAYPVTIDSVMVYGDRLAADDSFSRHPVVNKDNELLKVSYEIRHHAPPADWVRPNTSSYSIPRENHLIMAEGVYEPKTGVLCMIGCRDFNGSADCKILITVQFPSLDTKAHGRGKGAMSSLRDKKDPLYFEKFEFSLFGMYTGELSESISRMDMESVMLVVSTTLPCVFTALQILRAKRNPEASAATSVTMLVVMALGYVFPLLVSSEALFLSRRTQWVPFQSYVPYELNQAVLRAPTLIALVMQLRLIQLALSARKKAPGQSKAEASAAAAERRALWVCLPLYLVGGALTIIVNVVNRRRAQDSLTVPVGPGPATLWQDLVSSAGLALDVFLLPQVAMNAFSAACGIVVVRAISPWFYLGGTVVRAMPHLYDAIRRQSYAPSMQPSYVYAGPRDDRFGLAWDVAVPCVAGLLAMLLFLQQRRAGAFLPRSRKSGGYEMVSTYHYACSQKMAPSPSNPRHCTRQLCFLLPLLLLSRAGNFVAADLTSYSSHCPSPVPAPDSHTEVGDDVELLKPFHLSNGFFSGRGAGSLFIPDDPASSYFSILPHGVSRTADPSLLHLTATLTLFGRRDEQRNVSSQESLWRMYEVAVSFYLDGYYSSTSSELCMVGTGTEFADTDRPSVKQHFADVAVRLRVPSHPSLTDPFVTGRLEGADFEAVSLVAYAEGDVYNYSGRASCPPSAAAATARRALQPQHGGFSCDALRSQLIFKYRLEFGSRHAPRNSSSLPRLQEPVMIVNQVRCAANGAVRLYAVFSNDGSPWPPVDHFLVEEQAVVADGFWDAETSRLCLHACLVVRSASSSSATENLEVRECGIGLSFWFPAVWTVRDRSTTAGLLWNASQTANGGGGGSHADAVSNPIAASSFQKRIRTNLSDVKYNYDLTMLETATRHYLKAGLSNGDKAKVLFLGNYTYSYRDLEFEFLTNNEAGNGHGYPVSIGSAMVDGDKLAAEYSFSRHAVAEMKQSRLVNVSYGILYSFAPRDWPVLSEIKAEGVYDTKTGFLLMVGCRELNVTTTDCQALITVQFASSGGPQGFRHGTGRISSLREETDVLHFETMNITLFGMYSQQVSESIWRMDLESIIKLASTTLSCVFAVLQILHTKRNRDVAPAATSITMLVVLALGYATPLALDLHALLANRGKRFVQLSGANGLLELNELVRKAPALIAFALQLRLLQLAWWGRRSSDHCWSGAERRVLRVCLPLYLIGAAVAAVVHAENSRAARMNPLMVRLGRDAGTLGEALASYAGLVLDGFLLPQVVLNAISGSGAKAIAPWFYVGGTVTRVAPHVYDVVRARRYVQSMRPSYVYASPRDGLFGVAWDFVAICGAASLAFLLFLQQRRLSGDFFQRSRSKSGGYEMVSTSRS >Et_6A_047166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26205385:26205736:1 gene:Et_6A_047166 transcript:Et_6A_047166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELQGKLAEAIEEKSFLLGRLSDVWTNLLRTPLHKASHGTIVLTTRHDTVAKAVGVEHMHRVELMSEEVGWELVWKSMNIKDEKEVHSLRDTGREIVQKCGGLPLAIGLLLVF >Et_7A_050767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10913525:10917661:1 gene:Et_7A_050767 transcript:Et_7A_050767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEGAMSPTRMLAEGHLRVATGGGAPADGGIAVRHLPHHHPAKKDGVGGKTEQGNLEDEVSLPSQELNKLVNGNSKVPATLDEYKKLVVPAVEEYFSTGDVELVASELRGLGCDQFQHYFVKKLISMAMDRHDKEKEMASVLLSALYADLLSSHMMSEGFMMVLESTEDLTVDIPDAVDVLAVFVARAVVDEILPPVFLIRARALLPEFSKGIEVLQVAEKSYLSAPHHAELVERKWGGSTHFTVEEVKRRIHDILREYLDSGDIDEAFRCIRELSLPFFHHEVVKRALTLGMENMSSQPLILKLLKEATAGCLISSNQMSKGFSRVADSIDDLSLDIPSAKTLFDKLVSTAISEGWLDASFSKAATPEEDMRNASDEKIKHFKIESGHIIQEYFLSDDIPELIRSLEELAAPEYHPIFLKKLITLAMDRKNREKEMASVLLSSLSLELFSTDDIMKGFIMLLQSAEDTALDIVDAPSELALFLARAVIDEVLIPLNLDEISSRLRPNSSGRQTVQMARALLSARHSGERILRCWGGGTGWAVEDAKDKISKLLEEYNTGGDLGEACRCIRDLGMPFFNHEVVKKALVMAMEKQNDASILALLQECFSEGLITINQMIKGFARLKDGLDDLVLDIPNAQEKFGAYVELATARGWLLPTFTAAA >Et_2B_022740.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:4030942:4031409:1 gene:Et_2B_022740 transcript:Et_2B_022740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAASPAIAFNGLCIGISTAALSSLGQSGPGVIDSAQAQAAQLITPVLVAVFFTSVTYIYIHIRNGGGDRRIRGVMFFVLCASIGLLDLSLSIQPSPMDGVQAQLLCVTAVQLLPATAAANFFLAMVLVYVYETPQMGTSRTRRLWRSSTRVHW >Et_5A_042463.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1335212:1335613:-1 gene:Et_5A_042463 transcript:Et_5A_042463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHAPVVFTVLAVLAVAAASASAYMRAADKDELVIIRGKGLVGDEADEVGLGRGLISRRRMQDVNNTSNSTDATTAADSNSTDATMGYLSYGALRADSVPCDVQGASYYNCHPGAEANPYTRGCSAITQCRS >Et_10B_003532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2740716:2741165:1 gene:Et_10B_003532 transcript:Et_10B_003532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIPAEPVHRSHRLAVEPAGDVRAWRLWHRRRQEAADLIRQHITWSNNANTTSCKTNGTLDLKSPFVEVAAASCDRGNSVDSLSSWCIQDAMTMLADTTCGPVVWAKSHEPGMVVKKDTGVL >Et_7B_055227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8409567:8414127:-1 gene:Et_7B_055227 transcript:Et_7B_055227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRLVTTLAAVAAAAAMALAAAPTASAAPRAFFVFGDSLVDNGNNNYLMTTARADAPPYGIDFPTHMPTGRFSNGLNIPDVISEHLGSQPALPYLSPELRGENLLIGANFASAGVGILNDTGIQFRLYELGARRVVVTGTGMIGCVPAELAMHSLDGSCASDLTEAADLFNPQLVRMLGELNGEIGNDVFIAANTNKASFDFMFNPQDYGRKYEDGYGQQYIVQI >Et_4A_035620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31255945:31258814:1 gene:Et_4A_035620 transcript:Et_4A_035620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLERSSCFVAALIVVASAAAAAVAGEVFFQEKFDDGWEERWVTSDWKKDDNTAGEWNHTSGKWNGDADDKGIQTSEDYRFHAISAQYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDPKKFGGETPYSIMFGPDICGYATKKVHAILTKNGKNHLIKKEVPCETDQLTHVYTLIIRPDAKYSILIDNVEKQSGSIYDDWDILPPKKIKDPEAKKPEDWDDKEYVPDPEDKKPEGYDDIPKEIPDPDAEKPEDWDDEEDGEWTAPTIPNPEYKGPWKQKKTKNPDYKGKWKAPLIDNPEYKDDPYIYAFDSLKHIGIELWQVKSGTLFDNILITDDVEYAKKFAEETWGKNKEAEKAAFDEAEKKRLEEESANTKDDDDDADEDEDDADDDIADINAEDAKGSADVKTKDNKAATDEKAAEDSEEKASDEEKTHVR >Et_9B_065519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6035651:6041812:1 gene:Et_9B_065519 transcript:Et_9B_065519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLGSPLWRALLLLVLPALMASAEPLPRYYNAIFSFGDSFSDTGNFVIINSGKLPNMPKFPPPRPGSPPSPSTTTFFRRPTGRPSDGRLIIDFIAEAVGLPLVPPFLSNFSRGANFAIVGGTALDVGYFLRHNASGVPPFRSSLRAQIGWFRKLKRSLICNDTTGCRERLSGSLFVVGELGSNDYWYILAGGRGVHEAKPLVPEVVGAICRGVERLVEEGARHVVVSGTPPAGCMPMALARYADAAASMSRAELAREYDLRTGCLRRLNGLAQYHNWMLREAVVRMRAKYPDANLVYADFYKPVAQIIRRPAKFGFNEPLRACCGGGGLYNYDPEAACGSPGATVCDDPSVYVHWDGIHLTEAAYKFIVDGWLDGVYAYPSILSLTQHRQISAHLV >Et_8A_058221.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:2807548:2807745:-1 gene:Et_8A_058221 transcript:Et_8A_058221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAVVGENTAQSTVASADCSALVPVLIVLAMNVYRSQGQGLEGFTDCVGLLVYSSSDVHRPIRC >Et_8B_060683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4844308:4844945:-1 gene:Et_8B_060683 transcript:Et_8B_060683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSWTLITHLHTIGGPCITLLYPLYASVCAMESPTKLDDEQWLSYWIIYSFITLLEMVAEPVLYWIPIWYPVKLLFVAWLVLPQFKGASFIYEKLVREQLRKYRARHLRMGAAAADDQKVYIAKAS >Et_2A_018854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9331648:9332742:1 gene:Et_2A_018854 transcript:Et_2A_018854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGLRSCSAVGVPSLLAPSSRSARLPFCASATTSGRVTMSAEWMPGQPRPAHLDGSSPGDFGFDPLGLATVPENFERFKESEVYHCRWAMLAVPGILVPEALGLGNWVKAQEWAAVPGGQATYLGNPVPWGSLPTILVIEFVAIAFAEHQRTMEKDPEKKKYPGGAFDPLGFSKDPAKFEEYKLKEIKNGRLAMLAFVGFCVQQSAYPGTGPLENLATHLADPWHNNIGDIIIPRSISP >Et_10B_002634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19611875:19612639:1 gene:Et_10B_002634 transcript:Et_10B_002634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFANASAGVWYEVPKVSEQVDQFTRLVNSGAITKEDLRDSVALVAVSGRDYARITESDGNTGMYAFLVTEEMVRVVKHLQDAGVGKVLVNTLPPLGCVPATARSGGLRPLRPARQRPVGRAQQVPEREAGEQGRRAAAGREHRSEQPSRERSVPTAAVSAVLRGQGPQQRPNARHGLPNAQPSLENRVLLIRTFRLGERCMVVSSILGFCL >Et_1B_013271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6964995:6967519:-1 gene:Et_1B_013271 transcript:Et_1B_013271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVKGLECVVIDEVEGDEARDRRAAPVEGDREERSRSTWDEVVSEEKGEDQERRWGHAEQERKQQQHALVPARLHRQDEGNERNDQGSDGATGTGNFRLEQQSQCGTEKQDGEERNSGSWVAVEERKRHRRPHQHCEEWNRYKRPPSEQEYSEDTSHIHHGLNVEPTREELNNLSEACSRLWELDLNRLVPGKDYAIECGEGKKVYHEGDKASENLFSWLEDNVLKRPTYARFCALLDNYNPHQGYKESITQQDKYEEAAFIEEISRSAPIKYLHRYLVLKGVTSQDYEDFKKTLTSLWFDLYGRGGCSSSSSAFEHVFVGEIKGQRQGENEVSGFHNWIQFYLEESNGNVDYQGYIFPRRRGELPDSETQLLTIQFEWHGVLKSVSSTLIGVSPEFEIALYTLCFFDGGEDNRVDIGPYSVNIKCYRLGDNKIGSAFPIAEN >Et_3A_027281.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:6844793:6845050:1 gene:Et_3A_027281 transcript:Et_3A_027281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSPSLLLLLTLSASMFISTSMAENSADEAALLAFKAAAISGGYNDPLASWNGTTGGYCSWKGVRCRGRHQRVVVIILQADTT >Et_10B_003427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19707978:19710622:-1 gene:Et_10B_003427 transcript:Et_10B_003427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTVLVSSHGNGPYPHLRHRRFSSTPKPNLPLFLSSLSFSLLTSQPAPLPLAPLPRRRRNVAAAYGDDDMDDDFGDFDPDDADGVGEDDDIDNEQDYDVDYDRLLAPVKPSPPSFSAEEGDIAMVAAESFVSTQESASDTVVDYSIDEDEFHKISLLHCDFFIRKVPDPDDDVFDFREMYVTPPDTDIYSIPRVLAPLPQKYVRCTNKNFGRYHVSEPPVERLRDPLYKTEREIMKVFLTKHYRNRRSDDPDFFLDFEEIYVIDSKTKSITRAKVVVSVPEGKKRDRRNDLLLIRDGGESFRIIDKTKRDDATTVIQREEWAKSRQDVEKHFRKLRDFDHSNWF >Et_4A_035689.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3780819:3782744:-1 gene:Et_4A_035689 transcript:Et_4A_035689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASQSRPPRRVRPLAAASLVLFLLLLYSRSNGDSARAPRLLGSSLRPVHRRFLSDADPAGPAPGGANLSEVAGLNGEQPEDPSVACAGITRHEGFGSQCEFLRAHPQCSSGGFMDYLGFFYCKCQRFRLLGYAVLGLCLAALFYMLGNTAADYFCCSLEKMSALLRLPPTVAGVTLLPFGNGAPDVFASIAAFMGSGAGDVGLNSVLGGAVFVTCVVVGAVSLCVAEKNVQIDRRCFVRDVGFFLVTLVALSIVLIIGKVTVWGAMMFVSIYALYAFVVAANEVLRKHARMLKFDVVTPLLPVRGSIFEQGTEEDESVYSSLLEEDTDGEMAQINTSLPQWMWASHVAIYSNQGNRVGSPDSSRPLWGWSEGEADTSTFSISKLFLFMELPLTIPRKLTIPIVEEDRWSKEYAVASACLAPVLLAFLWSSQDGVSTKATIAAYVVAVIFGAALAALAFQFTSSERPPRRFLFPWVFGGFVMSIIWFYIIANELVALLVALGVILGINPSILGLTVLAWGNSMGDLMSNVALAMNGGDGVQIAMSGCYAGPMFNTLAGLGISMLLGAWSTAPSSYVLPQDRSLIYTMSFLVAGLIWALVMLPRGDMRPNKILGVGLIALYSVFLFIRVSNAMGILPLPGLS >Et_2A_017111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3168741:3172263:-1 gene:Et_2A_017111 transcript:Et_2A_017111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVAPMINGEWCPSDIAVMKSLIAKHNNMNNTIIGVGDSDGMNSKHQDIVDVLQARFPMKDKHQVIDMYVDIVVEMMQCQDKSGTGPMMGGIDLVNDNFGMPVEDPSMNNMDMMLGARTTEGKRARKTAGKPPRWPLAAQPERHNTRFWSTEEHKLFLRGLRVYGRGDWKNISRHFVKTRTSVQVSSHAQKYFRRLESASARQRYSINDVGLYDAEPWMARNSSGWEPLATAGGGYNSNGYVTRGQASTQPAMNNLDQVWSPVLDNGSQASTNQAAWIGNQQMGYAEAATPAMEGTSGSGNSVSGDQQEASATPQQWMNNMQRSTQLKNGKDRVNGNSFFKPWQMGTSWPGLRGGAGEVTARIVVCPGAGQKQHSVMPFSTMKGITGVERRRRTADAATALYSPSVKGTAGVARRDKWLDAVVPR >Et_3B_030728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6805126:6807209:-1 gene:Et_3B_030728 transcript:Et_3B_030728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDPSNDDDGRHGGGAGADRISGLPDHLLHTVLLRLPATADAARTSVLSRRWRRVWTHLPDLVLRYSREPPSRALVVDRIDAALAAHAAPTINRLEIATPLGSRDLPADRVSAWLRVASQRLAREIRISLSAYHHVKDEEVVLPQCERAAYIGFDLKKRALRFTLPPTGAFDALITMRIRFARVDARELEEVLSSRCPRLKDLVLKGVTVQNKSPVVSLRSRSLERLDIHIDIEGQLNVDAPKLQVFYPCIICDFCILAPKLSVLRWYNLFYDPSRHHIAEAGKHLRRLEIDANSPGSALMQRFDTVHELDLTVNIAKGIQNYKRFLEDTKNLTKCEVLVVRVVEIAHTFEPTMLHLLGKCTSVRKLVVKLSPPTDDNSCEFSLVCPCHWPESSKAQKVVLGSLEEVEISDYGHADYKLDLVRLLWRCSATFQKKVVITISEGRRREYTMKKILSICPPNDKVESTYFNGHKIAQFFSI >Et_6B_049547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6320590:6330407:-1 gene:Et_6B_049547 transcript:Et_6B_049547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNCAAAGSAQADGEGRGPRCDEQAAIVPGGKGVAVSLVGSVRECQTMLDFTGQHGIGAEVEVIKMDYVNTALERAQEEQHALPLSTRSSASAALSASLSPATRWAWATTSIHAASAECCGNGHENYCEGLVATCHGIAVTRGGFAYAYRGPLPLFCAMTRHDLNVHGKRLGHVAVWVGKAFGMKVTVVSTSPGKLWEALKKLGADKFVVSGNAEHMRVAAGTMDGIIDTVWAWHHIAPLLVLLKPMGQIVPSAKGVAAMMDYVNTALERLEKNDVRFPFVIDVAGSLGSAAALELPVVAFIVPDGKGLTGSLVGSVANCQAMPDFARQHGINAEVEVNVINMDYVNTALSSSGSRRTTCVTALSLTSSIASALLPTRETGSCIFLFPLAALRADFIVNMMWK >Et_1B_010033.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:19360786:19361145:-1 gene:Et_1B_010033 transcript:Et_1B_010033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDVQIKVFVLLWKWWGARNKQNAAEQMSSSQEICRSVTIHLMDFKAQFKNQGSKANQRRACCWSLRPATVEGMANRRRSSISAVISPAPLASSGQASAGGEWESRIRRQMYISPSFL >Et_7A_052159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5112465:5126410:1 gene:Et_7A_052159 transcript:Et_7A_052159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMAGAALLRHLGPRLFAAEPAVSGLAARSLMPAAARILPARMASTAAEAAKEGAGAEQHAHGGSDKKKEEAAGGGQSKKAIVSYWGIDPPKLVKEDGTEWRWTCFRPWDTYTSDTSIDVNKHHEPTALPDKAAYWIVKSLRVPMDLFFQRRHASHALLLETVAAVPGMVGGMLLHLRSLRRFEHSGGWVRALLEEAENERMHLMTFLEVTQPKWWERALVLAAQGVFFNAYFVGYLVSPKFAHRVVGYLEEEAVHSYTEYLKDLEAGLIENTPAPAIAIDYWRLPADARLKDVVTVVRADEAHHRDVNHFASDIHYQGMKLKDTPAPLGSRMAGAALLRHLGPRLFAAEPAMTGLAARSVMPAAASLLPARMASTAAESAKETAAAEQNGGDAGKPKVAADGQNAKGIVSYWGIEPRKLVKEDGTEWRWFCFRPWDTYRADTSIDMKKHHEPKALPDKLAYWLVKSLVVPKQLFFQRRHASHALLLETVAAVPGMVGGMLLHLRSLRRFEQSGGWIRALLEEAENERMHLMTFLEVAQPKWWERALVLAAQGVYFNAYFVAYLASPKFAHRFVGYLEEEAVHSYTEYLKDLEAGLIENTPAPAIAIDYWRLPADARLKDVVTVVRADEAHHRDVNHFASVRTLPRMNIHLSCFSRMAGSILLRHAAPRLFAATATSPALAAARPLLAAGDAGSPAIMVRLMSTSSAAASEAKKEAAKVHGQKKEAAKGEGEKKEVVINSYWGIEQSNKLMREDGTEWKWTCFRPWETYTADTSIDLTKHHKPKTMLDKIAYWTVKSMRFPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEQSGGWIRALLEEAENERMHLMTFMEVAQPRWYERALVLAVQGVFFNAYFLGYIISPKFAHRVVGYLEEEAIHSYTEYLKDLESGKIANVPAPAIAIDYWRLPANATLKDVVTVVRADEAHHRDVNHFASDIHYQGMQLKESPAPIGYH >Et_7A_051138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14846352:14850203:-1 gene:Et_7A_051138 transcript:Et_7A_051138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPAHTLGLRLPPPLSSSPRRRARRQPRPVVRAAAAAAAATQAAPRRETDPRKRVVITGMGLVSVFGSEVGAFYDRLLAGESGVGPIDRFDAGSFPTRFAAQIRGFTSEGYIDGKNDRRLDDCLRYCIVSGRKALENAGLGKGSDAHAKLDKVRAGVLVGTGMGGLTVFSDGVQNLIEKGHRKISPFFIPYAITNMGSALLAMDVGFMGPNYSISTACATSNYCFYAAANHIQRGEADIIVAGGTEAAIIPIGLGGFVACRALSQRNDDPTTASRPWDKERDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCISKSLEDAGVAPEEVNYINAHATSTLAGDLAEVRAIKQVFSNTSEIKINSTKSMIGHCLGAAGGLEAIATVQAITTGWVHPTINQFNPEPEVEFDTVANEKKQHEVNVAISNSFGFGGHNSVVVFAPFKP >Et_4B_036739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10997005:10998912:-1 gene:Et_4B_036739 transcript:Et_4B_036739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGGAEAANGGIEGSSDPCSSSGGCRLSVHQIAGGGKAADIILWRRGRVTFGVIFGATVAWLLFEKSGLSFLTICCDVLLILIVVQFIRVKIAERLNRQPRPLPELVLSEEMVSNAAASFRVKVNNMLMIAHDITLGKDFRLFFQVVFVLWLLSVVGNFYSSITLAYIGTIALVTIPALYNKYQRHVDRYAGMVHRNISRHYKIVDENVISRLPRSFVRDKDE >Et_1B_009764.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25405927:25406457:-1 gene:Et_1B_009764 transcript:Et_1B_009764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISMLFLGRLGELALAGGSLAIGFANITGYSVLSGLAMGMEPVCGQAVGAKNLPLVGATMQRTVLLLLAVSAPVAVLWAHMEPLLLLCGQDAAIAAAAQRYILLCLPDLLFQSFLHPLRIYLRTQSINLPITACAVVTVALHLPVNYLLVWVLGLGVEGVALASALANLNLVLLLL >Et_6B_048969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16117622:16119227:-1 gene:Et_6B_048969 transcript:Et_6B_048969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGDGVDNDIWEEITRHARDCVVPHDKVYSYRAADTHTTIYVDSILALVKVEFGGVERSMQQLDQAQNVSSSSTCHDKRRESHKKNHDSSCIISNDYGIQILVQQTIGEAYEHLNELQEVQLTWRLEFRRPPRLPIHTGSRITDASGNPLEIIVVDADTGFPRPIPMELYIKLVPLLGDFPPSGNWSAEEFQAAIVNRRQEYVQLLKGDVDIFMRGGRVTVNVLHFTDDSSWVPGREFRIGAYLVPRAGNGRILEAMTEAFEVGDLNRKHYPPVLDDPVWRLEMIDRDGEVHGNLTWNNVNTVREFLRMLHVEEDDLKAVSSLINSSCASATSHCALTRAVLLLGDGLTHLMWNMATDHALNTDPGDKVYEYSGENGTIYVDSVFGVVFKVVVDGVEFPLDQLNDDQTLAVRELIGEAYQHRHNLQEIDAVHADMPTSMETWMLLGGRYLNRFSELLPGNLAWGISFIPY >Et_1B_012244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30040415:30043551:-1 gene:Et_1B_012244 transcript:Et_1B_012244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRKAYGALKDSTKVGLAKVNSDFKDLDIAIVKATNHVECPPKERHVRKIFFATSVNRPRADVSYCIYALARRLSKTKNWTVALKTLIVVHRLLREGDPTFKEEFLAYSYRGNILHLANFKDDSSPLAWDCSAWVRTYALFLEERLECFRVLKYDIETERLVKSPECSSKAHSKTRTLPSSDLLEQLPALQQLLFRLIGVQPEGAACSNYLVQYALALVLKESFKIYCAINDGIINLVDMFFDMPKYDAIKALAVYKRAGLQAENLAEFYDFCKHLELARTFQFPTLRQPPPSFLATMEEYIREAPRPSIKSVESEEKKLLTYDQEAPKEPEQQAEEEKEEPADEPEQEPEPQPEPEPEPQPQETTGDLLNLDAEVNPLITELEERNALALAIVGSGDHNKASTSYDLFDGNASGWELALVNAPSTHTSQAVDTNFAGGFDKLLLDSLYEDEARRQQIASVTYTGSLGAANPFETSDPFAMSSGFAPSSNVQLAMMAQQHQYFQPQQQYSQVQQQQLMTVQQPNLYRYQQQQYYASSNPFGDPFNDLVAMAAPQKQGNSSIL >Et_3B_030040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3059440:3063302:-1 gene:Et_3B_030040 transcript:Et_3B_030040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAQASAAAPAVVAHQHDSAGGDAPPKQVAQAMERLGRAGRLIADIRLGADRLLEALFVAGGAPPYSGHQHVERTARAISQEEAAMHRHFQDLRALGRQLEESGVLNGALKARGNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTKLALKAFTDQKRRFFPHLDDEVHGETGLSKRPRLSGSNGELEENTLSEILKNLENEVPNMKTFTFRHLDWSQRASSLASLLDDDFVDPTKELNLQMMGKSRPGALTTPTDQVAVIELLVPSIFRAIVSLHPAGSTDPDAVAFFSPTEGGSYLHARGMSVHHVFKHVKEHADKALQYFISVAPSKALSLLLRWIASYQTLFTKVCSKCRRLLLMDKSLALILPPVHRPYHEISNVGLDLQEAYHIGCSSYDG >Et_1B_013171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6028372:6029501:1 gene:Et_1B_013171 transcript:Et_1B_013171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRPQPSEAIATSGTTAESPACHHRSAVRRITTPKFPMAPAAGGIRNSSPNIQTLRKLATPRKPRISSAPAFPQSLQLQPPSSRRRAVAVACTRASEQQSSPAVAAQARPGTDALSVEFRTQDGCRLGIGRYPDFAYNAQGGRGVGAGRGKESGEDSAVLVDFDVASLYIPPMSGATTRFLGLPLPPFLKIEILPEALGGTIDRATGQVDLKFRSRFCFSVGSIYKAPPLFVDTTLTSEESRGAIRSGSGERMDGEGQCKLVGVAVVDPIDDLFMNTFLSLPTECIAYLNATISIPKLR >Et_7A_052259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6204913:6206137:1 gene:Et_7A_052259 transcript:Et_7A_052259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TTATPELTWESALDYLHHGSSKRVLHRDVKASNVMLDADYNAKLGDFGLARVVQRDGASHHSTQAVAGTRAYMAASSRAAPASTRTCTLSVSYRGDEDDDRDERASCRRGHGQPMYIVDWAWGLYGEGKVKCALRLALACCHPNPRARPSMRTAVQVLIGGAKAPEPPFQKPAFVWPPVGEQQEIELPHVGLLFTGGNTSFCSMTSSSITGRNV >Et_3B_030450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3901183:3904361:1 gene:Et_3B_030450 transcript:Et_3B_030450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCPFAGATTGSGGVCPVKSDKSNTGNGGGEHKESSGHIEEKNPDPRMVPAKCPFGYDSNTFKLGPLSCIICQALLHESSKCKPCSHKFCKACISRFKDCPLCGADIEGIEPDAELQALVDRFIDGHARIKRSHAAGDSEAADGKKKVIYEDVSMERGAFLVQQAMRAFRAQNIGSAKSRLMMCADDIREELKSSKDSLDLCSQLGAVLGMLGDCCRTLGDAPSAITYYEESAEFLSKLPKNDLELVHTLSVSLNKIGDLRYYDGDLQSARSYYARSLDVRRNAVKEHSSVASQVIDLATSLAKVADVDRNLGNESSAVEGFEEAIHCLEKLKLDSDQASLEQRRLSVLDFLHKQLVDK >Et_1A_009453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5889439:5893440:-1 gene:Et_1A_009453 transcript:Et_1A_009453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKAAAAAISAGATAVLCSERAHAEGVAAFRFPGFSSPAAPPPAPAAQRSPAPADRRHGEAPEEEPRVSNQHPRTAAAGFDPAPLERGLAALNELNKAQNPQKVGFFVLFELMYKQEETRQQEIAAKKLEDQKILAQIEMEKKQVDYEERKKAAQQNAQVKSQILKYEDELARKRMQAEHEAQRMRNQELVKMQEESAIRLEQIRRATEEQIQALRRKTEEEKAVIDRETDRQKAFAEAEAKAIEKRKSLDVTRRLLEDKFKAETEKWVQVINTTFEHIGGGVKTILTDQNKLVVAVGGVTALAAGIYTTREGARVVWGYVDRILGQPSLIRESSRGRYPWSGSFSRAMGTMTSKLKNGSNPGNNGNGFGDVILNPSLQKRVKQLANATANTKLHQAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSNRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEEERFKLLKLYLDKYIVKAGDKHEKSWLRFFRRQPQKIEVKGVTEDLIQEAAAKTQGFSGREIAKLMASVQAAVYGSKDCELTPALFREVVDYKVAEHQQRRKLAGAEH >Et_5B_043769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15804312:15806901:1 gene:Et_5B_043769 transcript:Et_5B_043769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPRPAAVDVAAAEEDDAAWAEALDVDDSDLRISPPSSSDILRPSTAAAPHPDKPSPLPHGQRIPGPASAVQDAMRLRASGASPVLGRAVAQAADADFLLHPWLNALQFLGKDHAWEQPGIRAIKADWELDRAPLVVGVVTSCKPNGFGDLSLTLKDPTDTICASVHRKVLSEGSVGQDISVGCAIVLSKVAVFRPSHKACYLNITKGKVVKHRQGGSFPMFERVEDCGSPSNVMMKLFGCEKMMPSRKELTVPEVSGDHRRAPHSSNRTHTESRGTDWHPQQSFNIPDRTCSRTSPGERSVTLGDRSCTQASDNKKLRLPDELVLASSKRPRTYDCYDAIMNTSMDAKSKYGSEDNIDIDMHRAEPFHGGHSTSKPEEHQQKDFCAANAGTLQPTKETSVTDATANNVSLLSHPKKVVSVASFAEWTDEQLSQLFSD >Et_7B_055110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7377041:7379560:-1 gene:Et_7B_055110 transcript:Et_7B_055110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERTSSRPSRNRASILGLGVAVPEHVWPQNSFPDYYFDITDSNHMVDLKAKFKNICEKTMIEKRHVYMSDRLLRCNPCITSYNSPSLNLRQRLADATIPKLGARAARDAIADWGRQPSDITHLLAAAYPAPTLRSSSSLASHYPPDASCFTRLAAKDLAENNSVSRVLVVCSEVITMALRGPSETHMANLVGQAIFGDAASAAMVGADPSSCERPLFEMVAASQDILPGTEKGVVVKLYEEGIVYNLHHDMPSHISSSIERLVNAALKQAEVEIKDWNEEVFWVVHPGGRKIQHVVECKLGLRKEKLEVSREVMMQHGNTLSSCVIIVLEEMRQKSAKRSMETTGEGLEWGLLFGFGPGITVETILLRALRINQADIH >Et_1B_010713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13320580:13325391:1 gene:Et_1B_010713 transcript:Et_1B_010713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATIAAHPAAAAVTLRQRHSLRHRHPLCAPVGTAAPRRLMATGRLSLTSALASKTLEGVPAAPPKLSFPILVNSCTGKMGKAVAEAAVSAGLQLVPVSFSAMEVPDGKVDICDREIRIYDPSESEQILPSIIKDYPDLIVVDYTVPDAVNANAELYCKMGLPFVMGTTGGDRQLLKKTVQDSNIYAVISPQMGKQVVAFLAAMEIMSEQFPGAFSGYKLEVMESHQATKLDISGTAKAVISCFQKLGVQFDLDEVKQVRDPEEQVALVGVPEEHLSGHAFHMYHLTSPDGTVSFEFQHNVCGRSIYAEGTVDAAMFLYTKIKSGASKKLYDMIDVLREGNMR >Et_3B_030087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30350776:30355067:-1 gene:Et_3B_030087 transcript:Et_3B_030087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAARIPALGRAALRARWVPAALFSSEIVAGDKPILVRDFVRSALYDPNHGYFSKRAGPVGVLDSSIRFNQLEGRSAYMQHLDNLYKKHDIAWFTPVELFKPWYAYAIAASILRTANLSVPLKIYEIGGGSGTCAKCILDYMMLHAPPKVYNDMKYISVEISSSLAEKQLETVGEVRSHLSKFTVEHRDATNRSGWGRKDPRPCWVLMLEVLDNLPHDLVYSKDQVSPWMEVWIEKINDSSQVSEVYKPLQDPLISRCVEIVGLNEEKTSVSEKLSFAAKRVLSKVSPKPRRAWLPTGCLQLMDTLHQALPSMSLIASDFSYLPDVCIPGDRAPLVSSKKDGKTSDHRNYLDAQGDADIFFPTDFRLLEQIDHNCSGFSKEQKNPGAFKPVKKRRTIILDSGAFMEEFGMPLKTRTKDGYNPLLDDFKNTMFYLSVPTHNRK >Et_7A_053069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:669584:669972:-1 gene:Et_7A_053069 transcript:Et_7A_053069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTAPCMRFAAPPRPPKPSCFKSPGAEVARVIRGMPSQKKMMSVLREDGGKDEVPMIKPLRGKPLSGPGNEAEEEEEEAAAAAGHLDQQAAAGADAAAAAEDLEEAAAAMAGPPLAPVP >Et_3B_029139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22361459:22367587:-1 gene:Et_3B_029139 transcript:Et_3B_029139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDAKQLRRLRTLGRGASGAVVWLASDEASGELVAVKSAGAVAAEQLAREGRVLSGLRSPHVVPCLGFRAAEAGGEYMLFLEFAPRGSLADEAARSGGRLAERDVAAYAADVARGLAYLHGESLVHGDVKAANVVVGADGRAKLADLGCARRVDGGDDDSRRPIGGSPAFMAPEVARGEEQGTEADVWALACTVIEMATGHAPWSGVVDDVFAAVHRIGYTDAVPEVPAWMSEEAKSFLRACLARNPRDRPTAEQLLQHPFLASASKVAKQDCASPRSTLNAAFWDSDDEADEASSESAVQRIGSLANSCSAFPDWDSEEGWIEVRSDCYQVSEAAPATKVTAFGAGFGLGNEARDVAEFELHVVHVEKGAIRFPTCNVGATEDLVECERSPSVNLLDSGIVRVPARLLVTGAKLWNSAVVVMKQLRRIRTLGRGASGAVVWLASDEASGELLAVKSAGAGGAAQLAREGRVLEGLRSPHIVPCLGARAAAGREYQLFLEFAPGGSLADEAARSGGRLAEPEIRAYAGDVARALAYLHGLSLVHGDVKARNVVIGGDGRARLTDFGCARPAESARPIGGTPAFMAPEVARGEEQGPAADVWALGCTVIEMATGRAPWSDMDDVLAAVHRIGYTDAVPEVPAWLSADAKHFLDGCFSRRPCDRSTAAQLVAHPFVASAARDFNARPAKQEHLSPKTTLDDAFWDSDTDDEADEMTTSPAERIGALATSALPDWDSDEGWIDLQSEHSGAVDAKRATSSEPAAAENFAWAEPSDDDGFEQFAAVAVVDARLSRNVADCFVQNRASDGQPERFASVAHRIDDLPRIAGAVTDVIPSQCCFPDDCKIQRSCDHDGIETVESESHRVCNRNRVKMKRISHKFPSFRSHHVIETSCANGSRCDHLTVQTILSSVFSTALGKKKNGSRNSTWMTPGPARHRSAFQQVEIEHVHKRQTNFLWQIRQVRSPRGVYVRTPEQCQPRELARRSHSWKNGGHLS >Et_2A_015632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16215777:16217223:-1 gene:Et_2A_015632 transcript:Et_2A_015632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDDGQDRALTRPPLSGCAISSRSWSNFGDTVRGNPDSHVISTGEVTLEHPRAQGRKAEKPAASGGPSAVGRKGVKVCKTCGKKGDQWTSECTCKGLALRTKAFLDRPPATDGLAAPDGSVKGPYVPPFATRAADRGVSNGMSRRNDETSVRVTNLSKETREPDLFDLFCSFGIVSLVHVALDEKTGSGKGFGFVKFLQRQEAEHAIRMLDGYCYDDLTIGLEWANC >Et_2A_018623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35379728:35386338:1 gene:Et_2A_018623 transcript:Et_2A_018623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIECTAADLALEDTVYVLDMAAVQEGSVPFDGYLKSVQALACEQFSFTAPYHPNCIVRSKRPLLPVAFTLWGHGEHIEERSLAVPVYTKTMPALSTEIAQKEKGVHGVDDGRQKLNMQHLG >Et_2A_015125.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31230232:31230747:-1 gene:Et_2A_015125 transcript:Et_2A_015125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDMAGLACHSRLGAADFASCGFWLQRGSLVHEHYSAGGDGLPWLGYGGGGVCHYGAGAGMAEQEDGARDERKERRLASNRESARRSRVRRRRQLDELAVRVGELRDANARLAVQLNRVAAAHARTARENARLREEQRDLRERLAAAEAATAASTAKEEAGDEAGTPPTD >Et_1B_010192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28991638:28992484:1 gene:Et_1B_010192 transcript:Et_1B_010192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTDPRLHHSAYPRATLQVFSVEITKLRRKRKWPIHVFGMVTVRDCVDRSRNVLFRRERDDCQVLTRDAPCLRLTGPSRAVVFLDPAFLEVDLRVKGDTEAEDERLSSLEARIWRFEPIRSTLFRRDYASELSTLTVTLGHLLQSVEATVAVKTGAASSIPGEEVVLLDSGDDGNGVLVADDGGVMLSRRVASVELKGEFKVSVKAWKGNGDRDELAQQDEVVFKPKEAGRSYGALHVASCQMDVTVAWSLISLIYIED >Et_9A_061332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10003895:10009127:-1 gene:Et_9A_061332 transcript:Et_9A_061332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSGAILRHISSLKDMLDKNPPLFRTLICFHSRYSEWKELMSGERLSRRRLAGAGRSPGPIASPGICGLHPRAAASSNWVRFAWRPVTEKRVGWGKERNSVNEEIEQNIQKTRDIDSEIVKHSETEKLYLDQESELMKEVSIAEFDLNGLNQVAAAEADILKVTEGNLELQKISLTGGKLHQRIQGISSYSSKEYIAEILEEVNTENSVLESELQYKISEYREVLKDINNLKILLSLSSS >Et_9A_061989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18623481:18626149:1 gene:Et_9A_061989 transcript:Et_9A_061989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVSQASLLLQKQLKDLAKNPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMTFPQNYPNSPPSVRFTSEMWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKDWRERRDDFKKKVRACVRKSQEMF >Et_4B_038627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3523795:3528327:1 gene:Et_4B_038627 transcript:Et_4B_038627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAWAALFGCFSSSSHGGGSRKKKGGKSKKKKKVAAASGSGSGSGKQPRSLQSRMSFSELSGMVSPEDLSLSLAGSNLHVFSIADLRAVTRDFSMTNFIGEGGFGPVYKGYVDDKVKPGLTAQPVAVKLLDLEGGQGHTEWLTEVFFLGQLRHPHLVKLIGYCYEDEHRLLVYEFMTRGSLEKHLFKKYAASLPWSTRLKIAIGAAKGLAFLHEAEKPVIYRDFKTSNILLDSDYKAKLSDFGLAKDGPEEDETHVSTRVMGTQGYAAPDDVYGFGVVLLELLSGRKSVDKSRPPREQNLVEWARPYLTDARRLDRVMDPNLAGQYSSRAAHKAAAVAHQCVSLNPKSRPHMSAVVEALEPLVALDDGIVGPFVYVAPPDDDSNGGEQGKQGGSSSGRRSRRRKSEGAAAEPEARPE >Et_4A_034126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30106850:30108799:1 gene:Et_4A_034126 transcript:Et_4A_034126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSMKHLLVLALGLAMATTSSAVLYKVGDTNGWTILGNVNYSDWAGKKTFHVGDTIEFQYPKGIHNVLEVKKADYDSCTNSTPIATHTSGDDKIVIKSPGHRFFICGVPGHCAAGQKVNIRVLKPRSSDAPSPAPAASKKASPAPAPARAATPPSDSSEPSGASASPPATSTDSSPDATTSAPAPNANGAAASAGYRAVAAMALAAVASMAMLQ >Et_1A_009076.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28328986:28329258:-1 gene:Et_1A_009076 transcript:Et_1A_009076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTEMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASAPRCVPFRPLPTRPPFSLRSRLVLLAANESD >Et_6B_048766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13348012:13359304:-1 gene:Et_6B_048766 transcript:Et_6B_048766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNGKLATAWNFAPNDTLLGLSALSVRGVLSRVKAGMAEDGARPVIPMGNGDSSGFPCFRTPPEAEDAVADALRSGQYNYAACVGVEPARRSIAKHLSRDLPYELSPEDVYLTSGCAQAIEIICSVLARPGANILLPRPGYLFYEARAVFNGMEARYFDLLPEKDWEVDIDGVQALADKNTIAMVIVNPGNPCGNVYSYEHLAKVAETARKLGIFVITDEVYAHLTFGEKKFVPMGVFGSVAPVLTLGSISKRWVVPGWRLGWIVTNDPNGVFQRTKVVDSIKSYLDISTDPATFVQGAIPQLLENTKQEFFSKTIDILRETADICWEKLKDINCITCPSKPEGSLFVMVKLDLSCLQDIKDDMDFCCKLAKEERNGNGQQLAWNFSPNDTLLGLTALSVRAVLGHDGARPVVLMGQGDPSAFPCFRTEPEAVDAVADALRSIAVYLSRDLQYELSANDVFLTSGCAQAIEIVCSALARPGVNILLPRPGYRFYEARAVFNGMEARYYDLLRRTGRSTLKASKLLPTRTQLPWSLSTLGTPAAMSTVAETARKLGIFVITDEVYANMTFGEKKFVPMGVFGSVAPVLTLGSISKRWVVPGWRLGWIVTNDPNGVLQRTKVVDSLKSYFEISTDPSTFVQGAIPRLLENTKQEFFNKTIDILREAADICWEKLKDINCITCPSKPKGSMFVMVKLDLSCLQDIKDDMDFCCRLAKEELVVLLPGCAVGYKNWLRITFAIAPSSLKDGLDRLKTFCLRYSKPSK >Et_8B_060693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5011582:5016058:1 gene:Et_8B_060693 transcript:Et_8B_060693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPPTRKPRLAAEAAAPPDLLSSLPLEVLDNILSRVHIRAVVRTSALSRAWRGRWESLPSVDLTRSLGIVPANIDALLLRRSAPVRTFRLDACDGSWYVDALHDWLLYLSRNGIRDLNLSFPRDNFRLHSSLFSCRELTSLKLKSCRLPPTPPGFAGFPCLKTLELCKVVIPEHGGRQLEALIGASPLLEKVELLRAELLGDDPGVIRGPNLRELTIVSNLPYGGRTEDLPRLQEGSLFGPNYAKFLMGSRSHEARLLLSLQLDTDDSDVDEAQTPDSEPGSVNRSAADAEAEEMHTSGSGRGSICMSPDNAEDSTQRTSVNASTKNAVEETQMTGSGLVPYVHPQRRQRLDLESVAQLEQLEDEMIELQKQVQLDLEGRRLDLESVQEKNSLTQEEREIMISCLQATPRLGDTAARVQGASSENAVNGANSGWLMASRLGLLYSSKFLRLIDENGPSRVFFFEVKNGPSRWLKR >Et_6A_046318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1293301:1295069:1 gene:Et_6A_046318 transcript:Et_6A_046318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGDLLTRTRKLVKGLAKPAPRWLKAMEEAPPVTFPRTDGRIKKIELPEDVYVKRFFKKHPDSLYHDAIKISGFDPPPARVFAWRVLELKEEGVSEDDAMAVADMEYRTEKKAKKKAYKELKEIARSEGKRPPPNPYPSAIKEIQAEEKKFVNDRLYNPKIIEIANKMKEERDQLLQDRGAPGQWQ >Et_7A_051720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23543586:23544440:1 gene:Et_7A_051720 transcript:Et_7A_051720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IGDLANPAHLLALLYGFLVPDASVFDEDCEGAPPAAAQNQLRKFTEEPSLYDLVKKACLHVASVKVVKENLISRPMSLVSSLQGQIMNTAGAGHRQTKLMASKEVKERLKGMNLGSQLAIELDRCWHRRSRCPDRVRAGAGRDRRDLLRPQDGNTETILRNLVAYEAVAVRGPLVLARYTELMNGIVDAAKLGREDPEAERRGGEPDEEQQGGRGNVEPDVNEHRCRRGSSSGGTSWKMLTLLSSVVILLMTALQTFCSAYPCESTWFGAVLPLPPQS >Et_3B_029175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22730317:22734462:1 gene:Et_3B_029175 transcript:Et_3B_029175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSQGSAAVAAARVNFCPLDLVPVFAKEMIAGGAAGAFSKTAIAPLERVKILLQTRTNEFGSLGVFNSLKKVRQHDGIMGFYKGNGASVLRIVPYAALHYMAYERYRCWILNNCPSLGTGPLVDLLAGSASGGTAVLCTYPLDLARTKLAFQVNGSDQPNRALKMTNAQPTYRGIRDVFRCVYTEGGVRALYRGVGPTLMGILPYAGLKFYIYEGLKAHVPEDYKNSVTLRLSCGAAAGLFGQTLTYPLDVVRRQMQVQSNQQHDLSGGPRIRGTFQGLKTIKQTQGWRQLFAGLSLNYIKVVPSVAIGFTAYDTMKHLLKIPPREKKTSGHGS >Et_8A_056835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17778359:17779808:1 gene:Et_8A_056835 transcript:Et_8A_056835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKNPSNWKAFKTDAVGDNCEGEAIDADDDMMEQLKREWGEDVQNVVISTLMEMRGVQLPSNMSISYELWNYKEGMKATKHDGEMGRLDEKPFHAACAAKLPLKEAKQEASLNFTPHGRCC >Et_10B_003373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19153886:19156487:-1 gene:Et_10B_003373 transcript:Et_10B_003373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSASSSSFFKSLSESRSLKFSGFSSPATISSTHIEAFRVFAATWNVAGKTPDKGLNLIDFLPSDDYSDIYVLGFQEVVPLNAGNVLVIEDNEPAVRWLALINHALNRPSPSDASAFSDASMSLSFPSTAASPPALATPSSSPLDPSLFHKASHREVRRAAITRGRRLKSCSCPEDRRPRRSYRSPCLMGCGGGGKNARDVEGDATTSDDEEEVVVAVDDEASVANNDSFLGSDVKNLTAAAAAARRRERYCLVACKQMVGLFATVWVRRELVPHVAHVRFSCVGRGIMGYLGNKGCISVSMSLHQTSLCFVCSHLASGEKEGDELRRNSDVVEILKNTQFRRLCKRSGRRIPERILDHDRVIWLGDLNYRIALSYSEAKKLVEANDWATLFEKDQLKTERENGVFRGWNEGKIFFAPTYKYSWNSDNYAGEDVTSKKKRRTPAWCDRILWHGEGIVQLSYIRGESKFSDHRPVCSVFIVEVSVLDNKLIKAASGPNMKVGAEELLYTQTTN >Et_7B_053733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11650219:11657822:-1 gene:Et_7B_053733 transcript:Et_7B_053733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWNPNSDTNKDPCLKIANDQSSTIARDVTEVCSFFSLCTNLCLCCWQLTYVCAPDLTDMYCNCELCDAGLPRWQLIGKTPLVYLNKVVAGCEARVAGKLEIMGPCSSRRAVLRAFGAEVVLTDPQLTMDGVVRKTEEIAARTPGSYVLQQFANPANPRVHYETTGPEIWSSTAGKVDILVAGIGTGGTVTGAGGYLKEKNPAIKVYGVEPSESAVLSGGKPGQHKIQGLGAGFVPGVLDVRLLDEVFQVTNEEAADMAKQIALNEGLLVGISSGAAAVAAIRVATRAENKGKLIVVIFASYGERYLSSFMIGYSMIAEAEEKGLSTERKGDGNQQIDLSRHELNIPVFQSVLIEPTGGNTGIGLALIGGREGLIVAMPDAGVGEHGASRRAPGVRRRGDAHGPAAYHERRGAQGRGDRGQDAGVLRDHRARIANPANPRVHYETTGPEIWSSTAGKVDILVAGIGTGGTVTSAGRYLKEKNPAIKGDVCLRLI >Et_1B_010173.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27948188:27948718:-1 gene:Et_1B_010173 transcript:Et_1B_010173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HCRRRRRRNRTRSRWCSATTTSSATSCSASASPPPSSAPPSSPSDGIATPPTRPSSAASASFTRSASSASTSTSTSWRSCASCRTGIWYPGDAAAHRRQGLPGRGEGLQVSYQVPSRRRAVSSRTPAAGRGDHDDGGQLQALARRERLRAASRPRRGAPAQRLAPRDRRRWLGACP >Et_2A_016759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27890670:27891722:-1 gene:Et_2A_016759 transcript:Et_2A_016759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGATAPCHTPARGCRRGRVVEHWLELLLARVNMKDRNKWMDEAKRRGAIRLCPPPPLRVRRNYGKTATASATPLLPTVSGGAHHQSQLQAAASQSQLGFAAARTLQEAAAQLLVAASHLGFAAAQLLVAVAQEEHLDQPAHEPESQTSPHESVQLNVAQHHQVAAPASRTASC >Et_9A_061078.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:14367681:14367905:-1 gene:Et_9A_061078 transcript:Et_9A_061078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSAITGLRQEIQELVRSFCSFSVKFVRREENNVAPRCAKMSSLVNRVCSWTAPISEWLKEEAAKVYNPALGA >Et_6A_048115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6949756:6953465:1 gene:Et_6A_048115 transcript:Et_6A_048115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTAADRDELEKQLQNQSASPISLPYEFLKDITNSFSTELELGRGGFGVVYKGVLRSGQTIAVKKLFDSYLVKDDGFEKEVTNVMGMKHENIVRLIGYCAETRGEAMKLPNGNNVMAEIRKRLLCFEFLPNKSLDKYISEESSGLDWNERYEIIKGICSGLHFLNRECHIVHLDLKPENILMDATMRPKIADFGLSKILGAQKSQTIIHGSIAGSLGYMAPEYFRGVVSPQADIFSFGVVIIEIITGQKHDYPSSTALSLQHLHVNANNGGTSTEMSVQQYKDNVLVKWRNVLQEEQKYTSPQTYTEQVKEFITIALECVQPDSARRPDICDVFERLTGESGKTSTTLLVLVRPSMVGRRCNQFI >Et_1B_012222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2999564:3005759:1 gene:Et_1B_012222 transcript:Et_1B_012222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARSICPCHGTGHAAALVLLVSVLLLSSACVSVGARTLLELYKPPASELLRYHNGAVLQGRIPVSILWYGRFTPAQKAVVTDFLQSLTTASPAPTPSVSQWWNTINQLYLSKAKKATQNGARPGPAQVALAGQVADERCSLGKRLTLSQLPALAARAKPKKGGIALVLTAQDVAVDGFCMSRCGTHGSDARAGTTYAWVGNAATQCPGQCAWPFHQPVYGPQTPALVPPSGDVGMDGMVMNIASMVAGTVTNPFRDGFYQGDKDAPLEAATACPGVYGSGAYPGFAGNLAVDRATGASYNANGAHGRKYLLPALFDPATATCSTLPHSKHQQPTMAAISAKAVLLAVVVLLVSSAQLTMGARRRMELYQPNPADMLSYHNGAVLHGDIPVSIIWYGKFTLPQKSIISDFLLSLTAAPQAATPSVAQWWNTIDQLYLSKAVQSKPNSGAKKTHVLLANQVSDDKCSMGKSLTLAQVSALAARAKPMKGGVTLVLTAQDVAVEGFCQSRCALHGSDAKARTTYVWVGNSATQCPGQCAWPFHQPMYGPQGPPLVAPNGDVGIDGIVMNLASMLAGVVTNPFGDAYYQGSRDAPLEAATACPGVFGNGAYPGYAGDLKVDAASGASYNANGANGRKYLLPALYNPSTTACSTLV >Et_2B_019976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15794198:15795369:1 gene:Et_2B_019976 transcript:Et_2B_019976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEDLAAETPEAPAKAPYWDPPPAPLLDTSELGKWSLYRALIAEFMATLIFLYVSVATVIGYKSQSTDQACTGVGFLGVAWAFGATIFVLVYCTGGVSGMSRDISAEHSHILMQVCGHINPAVTFGLFIGRKLSLVRTVLYIVAQCLGAICGAGIVKGIMKHPYNSLGGGANTVADGYSVGGALAAEIVGTFILVYTVFSATDPKRTARDSFIPVLVPLPIGFAVFIVHLATIPITGTGINPARSLGAAVLYNQHEAWKDHWIFWVGPLIGAFVAAVYHKLVLRGEAAKALGSFRGTSSSTV >Et_2A_016608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26543079:26553536:-1 gene:Et_2A_016608 transcript:Et_2A_016608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAAKESKSSQTRTRSSCKENRSGTAVSKTEALPNLHDSAEAMDGGCGGSKRWRFALPNPALTAAAEKSIQRYLLDLHGCLDERGPRPVIPLSHGDPSSAPSFRVAPEVVEAVTSALRSGEFNGYPSHATGLAARRAIAEYLSLDLPYNLSPDDILLTSGCTQAIEIVMSVLGQQGLTIFLPKPAYPKHEAHAVFHNMEVRHYDLVPERSWEVDLEAVEALADENTVAIVITNPNNPCGSVYTYEHLAKIADTANKLGILVISDEVYGHLVSHSLRSFGMLTTDPATFVEGAIPHIMKNTNDEFFSKIVNLLKETAEICYDEIKEMKCIICPNKPEGSFFLMVKLEVSQLTDISDDIDFCRKLAKEESVMLLPGAALGMENWLRITFATEPPKLKQGLERVKHFCQRHQSQNN >Et_1B_012184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29701595:29705939:-1 gene:Et_1B_012184 transcript:Et_1B_012184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASLYPAPLASSATTTASRKRFLSSQSITPRRGPGHRLRTGSLKQWRDFEDDAGAVKEWREFEDAVRRKDLSRALRFLQSVEPQAAMQVAVPVPPGRDWEVLDTCIDADDMRLVGRAYQFLSDRGVLASFGKCKNIVLEGPREVTPTVLKEMTGLEAAKLAPKKWGVSGSSPYVVIGFLGGVSYLLTQGIDLRPNLGAVLALATADALFLGGTCLAQISSFWPPYKRRILVHEAGHLLTAYLMGCPIRGVILDPFVALRMGIQGQAGTQFWDEKMEKELAEGHLSSTAFDRYCMILFAGIAAEALVYGEAEGGENDENLFRNLCILLNPPLSVAQMANRARWSVMQSYNLLKWHKKAHRAAVKALEDGHSLSTVIRRIEEAIAADR >Et_10B_004095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12127937:12142538:-1 gene:Et_10B_004095 transcript:Et_10B_004095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLSALAPFLINMIKDIPEEEVRMLLGTSRQIEKLGDKVGMLEAYVADAERRRIDDARVQRWVNKLKGAMYDATDVLELCQLEAEERQHSRGFWGRMKEKAPDCLRPLLFSLGDPASAHRLGRRIKELNARLDEIRKEIVEFKFVKLEPYQQRTAPSDATPPSRMTTSLLDESDIVGDAIKKDTKALVQVLLDTIIQAGSTEEPRGDKQVLAQTLAGILSDHKFLLVLDDVWSDRPWTGVLKEPVVHAAHNQPGSRIIITSRNEVIVRQMGAAYHLHPVKSICDEDAWALLKKQLLVQPTRATKGMFDSFYMRRRIYSSAYGLCTI >Et_6B_050017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3521072:3524109:-1 gene:Et_6B_050017 transcript:Et_6B_050017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAPTLSSLLKEAAAAFPSRRAVAVPGKMELTHAVLDALVDAAAASLAADGGVLPGHVVALSFPNTVEASISEAPLVIMFLAVIRARGVAAPLNPAYTQGEFEFYLSDSEARLLVTNAEGNAAAQAAAGKLNIPRAAASCLDNSVHLAGLNGSSSEGSTGWDINEASDEALLLRIPGRTAGVTLTQGNLAASVQNIRSVYRLAETDATVAVLPLFHVHGLVGALLASGAAVTLPFPAAGRFSVSTFWADMRAAGATWYTAVPSIHQIILRRHAGRPEPSYPALRFVGSCSASLAPALLREKLESAFGAPVLEAYAMTEASHLIAPSPLPDDGARKPGSVGQAMILVDKDGRRVEAAGKPGEVCIRGANVTPGYKNNPEANEAAFSGSGGSTRATSASWTTTGTCTSPPDASKKKKELINPAEMDAPIQSFSNGHHPVEVGGRRGNADSSITPSKDYRQCPTSNECPTNSSVNASNDAHASNQNEKNKNKKIKKGRLGFLQIITWFMAMSYLAFWGFAKSDESDTQSGIGLVTSVPEGMDNGYLSVSEKMDKTNLQQIGMLFGPPDHSEAATSTCTCLDEGFIRRPGGLGCRRSDKEISDG >Et_8A_057029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20329200:20336783:-1 gene:Et_8A_057029 transcript:Et_8A_057029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAASPSTGQLLERFRARLREEAGGGGETGAAAVVRAYAEALRELTFNCKPVITELTIIAGQHAALAARGIANAICSRISEVPPDQILPSLYLLDSIVKNIGREYVEHFAVRLQKVFCDAYCRVHPNQYASMRHLFRTWWPVFPSSVLRGIEDDLQFSPSEKRRPAAAANLHQSESLSPRPPHGIHVNPKYLEAQHKFMQVHQSTARSTGQMVDLEEEQINGLPSNGLRARPLKFQYAEDPDQQEAYRSLTGTIRATSPHRLSTNPTDANPDGPLANSRRNLSRSPPLDLLHRNVSPKRMLDRPLPSHSILGPDPRRLPDRNGRSRLVFDEESRKQSARELIDAYGNCQGRDADERLPKMQRLDSNGMASKSIARNWLNSEEEEYSWEDMSPTLTDRIRSSTPSFLGANAGLLEPDIRRNSYPSQALRSSVDGPPLNREDRITATGHVDMTTSRRYPSNFGLQNGALSEYQSSEHILNHGRPHRPPQYPRPQHQEPFRSFNPGISQFQGQGGSAATPPLQYLPSSFSVSPPVPPYGMPSTANFPMPPLPPGPAPSSLQMGPSSSQVGGPQPFVSGLLSNLMRHGVISLEASSQPQDSIGVDFNIDLKVRNESVINALYQDLPRQCKTCGLRFKCQEEHRTHMDWHVTKNRNSKNRKQSSRKYFVTAEEWLRAAETVGNDGVPAFVPSDPVPDTKEEKEVAVPADEDQTVCALCQEPFEDFYSDETEEWMYKGAVYMNAPDGNIDGLERSQLGPIVHAKCRSGPSNTYTFHS >Et_3A_024885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25046139:25048815:1 gene:Et_3A_024885 transcript:Et_3A_024885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPPPERRGREIWSSSRRLRDLPCTQESITSQQGRVHTEGNSSAVHGFSLCTEQRLRTGSFRRLSIDDVNAHIATPSDGNRTPQGCRGSAAKNSAKEKAGAGLNSGAQQKNHAMGRAGMNSGGEMSQNHHATRKTGMVARGKVLQNHTLMKADMSSGGGMSQQHRTAIKAGMSSSGRLPQKNNGKEKMMSSQLRHHPPDTQVTRIITEPHAAREKKHTNSSTTFTRLCTSQPIRTKKSGQLSTNVVKSHTVPSLVTHHGSTTARDNKESAANKIMHDVAVNKDEEEADRVIQQLNEQGLGEDMSHEEYLSYQNKLPCQSPHIDTKTKLHGVEFNELETRLALHRFYYVKVPSIWLKKTPRNEPHNDELKDANLIEETLDCVEEDVNKLKEKCPLDFLEENIECIKEDGSKLLIFLGEHGFFKHFEKDGTLDWFFHPEHCALACLDDYQRLVPRNFN >Et_3B_031389.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:2846132:2847412:-1 gene:Et_3B_031389 transcript:Et_3B_031389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFSYEEVDAATGGFAAKNLVGKGSHGSVYRAKLRGGGGGGGSSRTVVVAVKRPSHGQGEAKLANEIAVLSAAPRHPGVVGIVGVAEPAPAAAEDEAAGSKRTGVPVPPRLLVMEFMPNGSLHDLLHRSPRPPPWPRRVEIALDVARAVRALHAAEPRVIHRDVKSANILLGRDGRARLADFSLAVVCRVASVGRGDGARDEEEEEEAGPVPAGTIGYLDPCYTEPGRLGPESDVFSFGVVLLELVSGRKVMDVNSCPSSIVSWAAPLVAAGRAREVLDARVAAPAPPAARAAVARVLAVAARCVSESVERRPDMAEVVAELHGALEGVAGWRHGRGPRGYARGVVESVRRRVASWGRHVSWKSRRVRATKIECTEHSGSGGGAPEPKDPSAAPPLHSNSTSDAQISRPIEKKPKLIVLTKERGT >Et_2B_022359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19067062:19069270:1 gene:Et_2B_022359 transcript:Et_2B_022359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVPLPSAARDKSSFAVTCGLLSQYLKEKKGGLHGLGMAPPPATTGIVRPPITMNLLSALDAPAEEPKDAAKATAYTRTSGSVDLALEEKATREPPAPVAPTDQHNVENPREEAGEEAQQLTIFYEGKVVVYDNFPATKVNDLLQIVNGGHGVDKASSNAAPHNLPTPSHNLSDMPIARRNSLHRFLEKRKGRIIAKAPYQANTSAEASKQATGEKSWLGLGQEPD >Et_2B_021706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4716874:4724680:1 gene:Et_2B_021706 transcript:Et_2B_021706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATSNFPTNRAKNTICCSKLGSGGSYYPFSSGRPSIQRRRPSPARAIPPRRRSAPETTSAVIGDLQDPYGAAEGFSPPAAAGGAGEAADEDMRGGETREPGRRMRGRARWRRWAGAEVGERAGEKMEASRVAICGVRTLFYNWRFWWGFCGFKIGLIRTRIRMHTGPHCISAGDIAAAMLRLRKCILSHLLSPSPPSTTSISPIRSLRCLPSATANPSPAVENYLVASCGLSQEQALQAAKRIAHLKPPSKPGAVLAFFAGLGLSHADIAAVVANDPIWLHADVDKILAPRVAELDDLGLSRAEIVRLALISQTHFRSSSLRRNLEFWTPVFGSVDKLLQVLQMNPGLFDMDLEKVAKPNLALLRRRGIIVSDLPYEFVSRILPRGTKHLQAAFERADEFGIEQSSEFFPSTLSAFASLSPEKFTKNVQLLEELGWSRDDIALAARKTPSIMGLTEERIRQNLEFLVGDVGLDIPYVAQRPVLMLYSVEHRLLPRHCLMNFLKAKGLLDAELGFYFFANMAKERFMKTFVTPFEESVPGLAAAFASSCAGNHQWEQLCQITEEKRKG >Et_2B_022290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1743624:1746345:-1 gene:Et_2B_022290 transcript:Et_2B_022290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKPLLDPAAAAPPANHCPRGAGFPWDYPELGFILVAINYGTCLYRGDGDCGAHAYFFVVGLGVLLLIILIRLSLRAPPGSASRARLKFAVWVVDTAVIAAGTWWFTNCTAPPMPLRFAAPLWAVPVAASAFLLPMNGLF >Et_9B_065890.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:16840059:16840622:1 gene:Et_9B_065890 transcript:Et_9B_065890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQESAAGGNQVMRMRYGDVGDSNFKLHGHAVPLLVGLLCALVFFVALCLYLRWRCARYAPDPAESSSSPPGAPDPGLDAEAIRALPVTLYRAPASPARVVDVEKDGGGDGEEEADGEEEAAVCSICIGALVDGEKVKVLPPCGHCFHPECVDAWLRSHPSCPLCRCLLLAAKAKPDVVNGTDEAV >Et_4B_039800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:328856:329445:-1 gene:Et_4B_039800 transcript:Et_4B_039800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGSAGGGVAASSGVAVTEAATETEGDEMAVVASEAEEEQLGSAETEEHIQRILLAIDNFTRQVRRHGLDRIGSDRIGWCLGRAGLTARGECFVQVSEMLETGRALFKDLAADFEERLCSIHKERVERWEEMIRELRARDATNEQARLILHNAQLHLLHTVRD >Et_1B_011002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16339382:16348032:-1 gene:Et_1B_011002 transcript:Et_1B_011002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVVSSSTALLLALFAVVVNDGVTAGSSVCDNANCGKGNCSEVPGIIPTYKCTFDSACFNITRPGLLPPGIPVTDICVAVYCGPGGTCKKGSAPFSYTCECQQGFDHVLNITTFPCVKHCSFGADCTAQGLAPPPESPPPPPPPSSPAPPGNHESSGAPPAAPSTSPKGNATALGSNTS >Et_6A_047375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4711462:4712519:-1 gene:Et_6A_047375 transcript:Et_6A_047375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLSLLFGWLTARALRRCDAGDVEKEFMSVVLWNLSPLICSYLFSWTVALSEARGAISKLVRVSSMLLLAAAAARLAGDRAGAAVMFLATVYSSILSGRALADRRQRAGTERSADAAAASALSYQSRAEDGYDWTLRTMFNCFAVIMVVVLTLVIWFPARSAPGPEAETAGELVLSMFVFFAAPTLLAARMILLYGGAFDSGSIWEEPWGAMVLGSIGWIVLSVLVGFLFGLSSGVAFFWLAPMRTAGLLGYRQGVHARYKLLVAIKRSQPRTAQDGRDKED >Et_1B_010390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10049852:10060010:1 gene:Et_1B_010390 transcript:Et_1B_010390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAKGSEEQITLEHTPTWIVAAVCSVIVIISLLFERMLHRLGKKLSKGRRKPLYEALLKVKEELMLLGFISLLLNVFQGATQKICVRESVMHHLLPCPRTGAKTTAHYGATVFAGVMGSTRRLLAGGAGASSDYCLKKGKVPILSVEAIHQLHIFIFVLALTHVVLSATTIILGITQTRNWKHWEDKIQQNDGTGPQMIKHVQEFKFIQDHFKGHGKRWKIFGWQRSFFKQFYGSVTEEDYTTMRLGFIMKHCRGHPKFNFYNYMNRALDADFKKVVGISWYLWGLLIIFLLLNVHGWYVYVWLSIVPFILLLVVGSKMEHIITELALEVAEKHTAIEGALVVTPSDELFWFHRPKLVLLLIHIVLFQNAFEIAFFFWLLVTYGFKSCIMGKPAYVITRLVISVISQLLCGYSTLPLYAIISQMGSSFKRGMFDENISEGLSKWAQNARMRNRSPVTDAGDNSPTGEGGGEAKAGDAPEITLEHTPTWIVAAVCSFLVVISLLFERMLHRLGKRLSKGRRKPLYEALLKVKEELMLLGFISLLLNVMQGAITQKICVPESVMHHLLPCPLPPSRAGAKTTAHYGAAAFTGVLGSTRRLLAGGGGASSDYCLKKGKVPILSIEAIHQLHIFIFVLAVTHVVLSAITIILGITQTRNWKHWEEKIQLNDDSDPQMIKHVQEFKFIQDHFKGHGKRWAVFGWLRSFFKQFYGSVTEEDYTTLRLGFIMKHCKGHPKFNFYDYMNRALEGDFKKVVGISWYLWGMLMIFLLLNVHGWYVYIWLSTVPFILLLVVGSKMEHIITELALEVAQKHTAVEGDIVVAPSDEFFWFHRPKLVLVLIHIVLFQNAFEIAFFFWLLVTYGFKSCIMGKSTYVIVRLAISVICQLLCGYSTLPLYAIISQMGSSFKKAIFDENISASLTNWAESARRRKRMSSTNVGDNSPLRGSGGIELTNAQRNSVWTVVVPTPTPFNLPSPPSSIS >Et_4A_033180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19624146:19627354:1 gene:Et_4A_033180 transcript:Et_4A_033180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVYLLHLAKIQRGGACSQMVDPCSGESRQTTEVHIKEGQPTDGEPLPHESLESEDFVCPSQPPYIVPCRNGLSKSQKKIVDERVRAIQSEVPICVAAMKNNNVGIAQKWMLELGSRYASVHLPARGETVVLQCGRKIWETKMVIHNGRRWFLNGGWPKFARDNDLRVGDICLFELKKNEDKLTMAVHIISREQF >Et_5B_045670.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6597236:6599125:-1 gene:Et_5B_045670 transcript:Et_5B_045670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGDDLPPAGAAVREMRQRGQEKPRRRGRLLLVGAQQLQHLRHAAAVLGLDESLPVAVHGHEDAERLRGQLPRLGGLGVEEDAHQLRHEPGVAHRLPGFLFRRVVAAAQPVHRLERAVLKGGVAVAVAAEEGEDAVEEPRGEETVGGAGLGGGGEAERVDGAEGHLARLGGARGGRVERADEGLHGAFLDHGLPDGAVVAGGHGGEELHGVDLGRVVRRGAAGALRERDEHRHGARVGDHVLPYLVDAREVPQRPARRARELALSIIGAAGVEQRRDAAVRGDGPRVVRVLGEPAQHPRRPLPDPRTRAVAGARIVEDPDHGRHDAADDQVPSVRVVRGDQGHRVQGLLPDARVGALEQRDEHADAVFRRDDGACVPVAVAAASAAAERLGERGRGLPPHLGAADDAEPGEEVADVEPHVVARGPGGRARHDQRARPGQRARRVQLVEARHVRVERGGQDVQVAAQPELAAAVAPSLAARDELAALTRRRRDGAEERRERAGPTVVAVVRGVVDGDVGEAGVEAVEPVPDELPLEREERRRQGVGDQSADGLQRRREPPLLVRQLPRFLAPRRRRRFLLPCCCCCCCFAHPWSVGQSLSILPPDKAVVTCAKIILATALAFGWATTAR >Et_8A_057181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22045416:22046945:1 gene:Et_8A_057181 transcript:Et_8A_057181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLYIVYYSTYGHVATLAEEIKKGALSVEGVEVKLWQVPETLSDEALAKMSAPPKKDDVPIISPAQLVEADGLIFGFPTRFGMMPAQFKAFMDGTSDLWCEQKLAGKPAGIFYSTGCQGGGQETTALTAITQLVHHGMIFVPVGYTFGSGMFEMGEVKGGSPYGAGTIAGDGSRVPSVLELQQAFHQGKYFAGIAKKLKGTA >Et_2B_020280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18653674:18655154:1 gene:Et_2B_020280 transcript:Et_2B_020280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKPMNLKGVGDEVDRLSQLPDDILLASLDQLDARDAARTGILASRWRQLPAMVSRLCIDCSQFLPANESHYPIDEIPRINALVVEAPKSMLSRRDPDGHAIRSIAVTFFLIDHDHIIIGQNVGRALARNAVETAEFTILTDMVDDELEDIDLFDYGWKLVRFVDACPDAFAGLTSLVLENLRFCSEEDVDNVLSTCARLRHLHMRNCDSRIFWILRVEHLQLIELTIDNCGFERLELSRLPKLRQLSFKGWISFEDPIYFGAVPLLEVVSLSNTCLNWHEVVKLSEFLWNTSVHNLILEFNSEKIWIQPEVPMSLTSVFSKLRVVHLLKLPEGVDLAWTIHAVAGGVVLECVGSHMRDGDGREDKDSYSEEKGVELGWATPAAVGFEHRSLASLVIFGFQSKDYLVRYVRHVMKVAVNLVAVYLYGRMACEECKDEFPGRFRYPTTKRQRWSLRNRIADGTGSLATILFPDATRPIIFEDVFRSIIL >Et_9A_062654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2931352:2939532:1 gene:Et_9A_062654 transcript:Et_9A_062654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAALLASPAFLTFRSTSSAFSCSCRLRSAVVARAPRHQRARRELRRFDEVEGVSKKRRGIGGGGGAGGSQASSSRRDRGLAVDFKEPQVADFDDLEEDKFLNAVVKVYCTHIAPDYGLPWQKQRQHSSSGSAFMIGDGKLLTNAHCVEHDTQVKVKRRGDDKKYIAKVLARGIECDLALLSVENEEFWKGTEPLQLGRLPCLQDSVTVVGYPLGGDTISVTKGVVSRIEVTPYAHGTSDLLGIQIDAAINPGNSGGPAFNDQGECIGVAFQVYRSDEAENIGYVIPTTVVSHFLNDFKKNGKYTGFPCLGVLLQKLENPALRECLKVPSSEGVLVRRVEPTAPASSVLRKGDVIVSFDGVAVGCEATVPFRSTERIAFRYLTSQKYAGDIAQLGIIRNGNSMKVQTVLEPRKHLVPFHVEGGQPSYLIVAGLVFTPLTEPFIEEECEDTLGLKLLAKARYSLATFEGEQIVIVSQVLAHEVNIGYEHMGNQQVMKLNGTAIKNIHHLAHLVDTCTDKFLTFEFEDDFLVVLDREEANAASSDILKEHAIPSVRSSDLSEPYVDKTEEVQKTSEDFGESPVTNFEMGIDCLLWA >Et_10A_000988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19974509:19979312:1 gene:Et_10A_000988 transcript:Et_10A_000988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDRASWLWRRKPSDKSPGGNDSSLLHSEQCSDDQVQLHPFKSLYPEEVLRPVSNNCSPRIGRTLEASIRSNDSQEAGVTKSLNGKVAAGASLNDFTSQHGQSVEPHVSSNVRDEEVEETMKSLNDKLSAALLSIKAKEDLVKQHAKVTEEAVAGWEQAEAEVNALKGLLEASSQKNAALEDRVSHLDKALKECVRQLRLAGEEQEEKIRGIVAKKSQVLESENSELKKRLEVTRLEASSMLLQHVLQEKLQIIEKENMDLKVKLQGIDKENMDLKAKLLVQSKDMKILLLERDLSNQAAEAASKQHLETVKKIARVEAECRRLQHLTRKTSLVNDSRLVQNNVCMESLTNIHSNNGECMAVADNELRNSDSWTSALIAELDQFKRGKDVSRPLVNNPVEVDILDDFLEMERLAALPDSDRTSSSSETDSDKTVARNNSKVEIEELQNQVTDLQERLEAIASEKRELEMALMEVRNQLDISSDALVAAKNRLVDMQMELDSANKSKLAALEDVERLDSLRKASKSQLESKSVEIEELIMVITSLEENSGQKELESQLQLISAQEAELRRTVASLEETVQAERSLSMQQKANAEAAWTSKEEIEAQLCSANTETAKLRDIIKALENDIEKEKALYEDLTAQLQLKIEAAVDAVKESLEAQLCSANTEVEKLQGIIKALEDEVAKEKALHEELAAEVEVKIEAARTLSVEAVKESLEAQLCSANTEIQKLHEITKELENEIEKEKALHEELSAQFEMKIEAEKIRSVEAVKESLEEQLCSANTEIQKLREVTRAVENEVEKEKALNEELSAQLNMKNEVERTHSVEAVKESFEEQLQFANSEAVKLRDMVTALEHEVGKEKVFSAELQMQLEALEAIKKVLESEVESAHQDSRNLKQKVESLETKLKEQMSSAEEFVAQAEVVHSERVAVEHRLQTTERELIKLTNKVSLLHKEIAQERLLSEEYEHKCRKLEAQLSRDSRDAKLWRLANSNGELKAKKEKELANAAGKLAECQKTIASLGRQLKSLTDLDSVVLEPERPELRDMPLPLDFRNGDAEFAVFADELYDFDLPNGNESCFSPLPSIQPSSPPSEMSVFAGGLSTLSSYRNKRA >Et_3A_024065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1749675:1756578:1 gene:Et_3A_024065 transcript:Et_3A_024065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMMADLSCGPSKVKEQPTPANSGPSAGSDKPARGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSGRGRLYEYANHSVKATIERYKKANTDTSNTGTVAEVNAQYYQQESAKLRQTISSLQNSNRTLVGDAINSMSLRDLKQLEGRLEKGINKIRARKNELLYAEVDYMQKREMELHSDNLYLRTKVSENERGHEQPMGMQMEAASTSEYEHMVPYDSRNFLQVSIMQQPQHYTHHLQPTTLQLG >Et_9A_063144.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:11955938:11956837:1 gene:Et_9A_063144 transcript:Et_9A_063144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQADSLAGGRNRREGPHPKRLRVYFVDADATDTDSSGDEQEERGRRRVREVIDIDVLAARRPQPAAAASVAPPVRRPLPPHVVLARRRAAKASAGFRRRFRGVRLRPWGKYAAEIRDPARRKRLWLGTFDTAEEAAAVYDDAALRLKGSLAVTNFPSSPAASDAAAEAAPSRSPLMNLRPRRERRHQDAAATVNDAAPPSPKAPSPPPPQPQPQPQADDAVAFCEFASPTSVLRYADEVAAPALPAFDFLYGGLGELGDLAAPSSKAAEFDWMPWWEGEDFVTTGGLATASAVSVK >Et_6B_048944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15895525:15909721:-1 gene:Et_6B_048944 transcript:Et_6B_048944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVTYLCMQTYRFDAGIATNEMPYLDKASISLPGYRVFKVLCGVSNVTRLELSYFGKMVLSEESPAFKEFSNLRNLLLGNCDLGDDLQTLALFLENSPRLEKVTLRSWKLISFLILHLLHCQQFSNDSKKKKGTPKPKKRCSLDVQCVNLKLTEIIYTHDDDVGQLVELLLPISGELQKNHLKLTKQSMEDQATRDRDRGGFSGDAGESDRLSTLPDCLLHSIMSMMKARQAVQTCVLSTRWRNLWCSVPCLDINHHEFKLWEDLEDFFVNLMQRCNVALLDSFMLCVSNGQMTTKVGMQVDWFVVPSNTAPQFLDSEVHMEDQPRGSCNGEGGDLAGEPDRLSALPDCILHSIMSMMKARQVVQTSVLSTRWKHLWRSVPCLDIDVHEFSVWDDFEDFATNLMQRCNIALLDSFRLCVGENRDLDCVSSYAGGLVRRAIKYCAPGPGIVQREGLMSSNSSWRLKRLHLCCVFLDNRFAKHVSLECRYLEDLELKDCYCTLPAITSHSLKNLVLKHCRFSDLSDITAPALKSLVADGSVRTWDYDCLLVITAPSVAYLHLELEGLGDNISINEMPYLDKASIHLWDHRGIGDELGHKEFKLLSSVCNVTHLALSYLGPTMLIEESAALKEFKNLRNLLLDSCYLSDDLQTLALLLQNSPNLEKLTLRHCKFSINFKKKKGLREPKEASSSQCQSLDVLCVNLKFTEIIYKYDDVRQLVELLLRISEKLPNNHLKLTKKASSSESQSLDVQCAKLKLTEVIYKNDDVRQLVELLLRISGKLPENQLRLTKSSGSCNGDGGGLADEPDRLSALPDCLLHSIMSLMKARQVVQTCVLSTRWRHLWRSVPCLGIDQHEFREWDDFEDFAVNLMQRFNIALLDSFRLCVRKGRAPFFDYIIPGDWVIRFINYRAPDPGVRREGLPSSNSWRLKRLYLCKRVPGHPSACHSLEDLELQDCRCELRSIASQSLKNMVLKDCSFRELSGITAPALKSLVIDGSERTYNFLLVISAPAVAYLYLDLEDFGDSISINEMPYLDKASIHLWDHGGSGRESEIGNKQFEILCSVSNVTRLVLSDLGPMVLSEESTTFNEFKNLKNLIMDNCDLSDDCQTLALFLQNSPNLEKLILQCCKRLLHCKQFSNDFKKKKGMREPKEVSSSQCPKRMSTVRTSSSLRSYTKMMMFTNLETTEESLKTHEGHSMEDHQATRERDRGGCSGDGDLLPGEPDRLSALPDCLLHAIMSLMKARQAVQTCVLSKQWRHLWRSVPCLDIDQHEFKEWHDFEDFAVNLMQRFNIALLDSFGLCVSKGMAPDFGNLNAGGWVRCAIKYCTSDSPGIQRDRLLSSNTWRLKKLHLCYVFLDNRFADHLSWDLELKDCTFELCTITSHSLKNLVLKDCSFRDLFDITSPALKSLAIDGGSNSMHFKLLVITAPSIVYLHLAVQWYEFLGGILINEMPCLAKASIHLRCYRGGIPFSKLSYEWFKLLLSASNVTSLELSNLRTEVLGEESTSFREFKNLENLLLDNCDLSDDFQTLALLLRIHRTWRSSLCAVARYTFTKKGTPNSKTTSSSQCPSLDVVCTSLKLTEVIYEDDDIFQLVEFLQRIWNLPKNHLKLTK >Et_7A_051793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24860208:24870123:1 gene:Et_7A_051793 transcript:Et_7A_051793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSLIWKQDVLKGKLVHWPYPQLRSPRTRRKNRRFLGIEMDPPFQTFSPISQTLSHSNSTLMRRHSSPVPVPLPDDDDLLEEFLLRLPPRPSSLPPLAPPPHRPPIPPPLQRVPPPPGTPLLGFFVDGVGGPNFTPTLDSPDCIPPSRFSPPLPRDERWHFIGCRHGLGLLVSWARLEIAVWDPVSGDRRRVALPSDLFGDDNTTIVRNGALLCDDGYSGCRRTKPFKVVLLRTDDWLDQNPQAFASLYDSKTGVWSDLVSTSIKGLLSLMQPGILVGNSFCWFLDDREEGGILVFDLNSQSLATIKYPAAPRVTNRSVFQILRMEDGGLGLAISSIGIIQLWERKTSSVGIVVWRLKKTIELDKLLLPKSPMESSFSVILGYDEDGHVMFIMTDDGIFMVQLKSMKFRKIFNRSNIMIITAYHPYRNYSKASLAPRSPRVHSSPVQMSEGSSSSPAPPVPPLPDNDDILRQIFVRLPPLPSSLLRASLVCKRWRGLLSDPKFHRQFSAHHRVAPLLGFFIGGWHNSYFTPTLEPPNQIPSERFSLALLRDPDWSFECCRHGLVLLLSRKREEIAVWNPMTGYQRRLALPLGFSHSPNHSCQAALVCVDQSKQAFRVVCLRSDSDLTQADPQVFASVYDSETGVWGDLISSSIRSLLAFKPSILVQNSIFWLLGFMNSFGILQFDMDKQSLVVIEFPRDADITGYSGFQILRMEDGGLGLAISSEENDSIQLWERKADSAGAVEWMLQNEIQLPQLLSVRSSSPTLLGYDEDDHIICVWTIHGVFMIELKSMQFRNLFETDSLDTYRPYRSFYTSVTWIGYEAVIRDPVRHPNSGVRVDRGHCPDLGWIATLFCGDVIEQEGGQAPVEHGREGLW >Et_2B_020353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19256059:19256992:-1 gene:Et_2B_020353 transcript:Et_2B_020353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCEHSKKPSRLLRDSAPVAHCRVRSVLFAEAIRRRYEVTDAHRACTASLRAVGARLHDFLRVVQDIALPPEPRKAADKRKREAAPQRVDVDRRHIHFPPDKDDCSDDEHIVSRPIRKTSPTTGTSYSQSVETEEEPALSEAEEEPPLPKVEEPLVPMSTQSILHCRCRWTSPTSTTDIGGGYGYNLVSNINYTRSDPPSLALSYEHHPQVTSGNVHHYRYHDYGAPTPSSSYYNGYPYQYRQQGGGFSSLVADSSYQPPPTQSPSRVAAWDISNPFPLPWRTILLSRAPLTPG >Et_9B_064242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13187509:13188888:-1 gene:Et_9B_064242 transcript:Et_9B_064242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFEDARSVRLKSHLGTYLCADDAEAVSHGYRRNPRGTVWAVEPAGDEYVRLQAHRGLYLGAADRAAAFDAAATSCGVAQGLPFSPNDSAFLWTPRRDGERLTLAGPLGRLLRAGFVETPRVTIDFEVKPEEESFWEVEVVSVEQAARPPRRAHSCDARMEGAAAGDTASSVFVRFYSAKESRNKLDQEPPSVEEPLHMPARRTIFHNTARQDGGVDDFDEGTWRYFTFDQKSLAALHRRLQEETRHKDFIICRRGSGGVPTLYPVVLDLPPGNNEMEFVLVLPPSSAFQLHAKLPALVALAYFLNIQKRVL >Et_1B_012069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28674316:28683189:-1 gene:Et_1B_012069 transcript:Et_1B_012069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TEGCLCWLILCRSLSSFGDGDLRGCKYRPLSFFFIAVLKLQCCSQLNCCLCSNGCLRQTPDSPRESRGKSSRGRGKIDSSASDASSDDLGEEGDELNHLNITRESNVGINQLSRVSSQFLPPDSSRKVRVPLGNYELRYSFLSQRGYYPEALDKANQDSFCIHTPFGTSPDDHFFGVFDGHGEYGAQCSQFVKRRLCENLLRDSRFQTDAVLALHSAFVATNSQLHADNLDDSMSGTTAITILVRGKTMYIANTGDSRAVIAEKRGDNVVAVDLSIDQTPYRSDELERVKECGARVLTLDQIEGLKNADVQCWGTEESDDGDPPRLWVQNGMYPGTAFTRSIGDSVAESIGVVADPEIFILDLNSSHPFFVLASDGVFEFLSSQTVVDMISKYKDPRDACAEIVAESYRLWLQYETRTDDITIIVVHMNGLTDMESTQTVTKVSLQPSLQVLGLAGSESPLMLSSNTNNQRSRHDLSRARLRALESSLENGQLWVSPSPSHRKTWEEQVLHDHFLFRKLTDSQCHVLLDCMQRVEVKSGDIVVQQGGEGDCFYVVGSGEYEVLVIQEEDGKETTKVLHRYTADKLSSFGELALMHNKPLQASVRAVTSGTLWALKREDFRGILMSEFSNIPSMKLLRSVDLFTRFTMLQLNQLAESLVVVSFTDGQKIVDKNDDVTSLYIIQRGCVRLILAADEMNSYSWDLIRAQTNQVQRTQENGDYVVQLDEGGYFGEWALIGETIAFTAISVGDVTCSTIAKEKFDSIVGPLPKLSTSDSRNGKCACMPLIAVRLVSSKSEVPIRTLKRFYIKRVKDLHKEVQVFDEKDLMKSLSQSPFVPEVLCTCADRSYLGILLNCCLCCSLASILHTPLNESCAQFFAASVVGALEKLHQNSILYRGVSADILMLDRSGHLQLVDFRFSKKLEGERTYTICGIADSLAPEIVLGKGHGFAADWESELEPFAKILKGRLIMPSTFSKEVVDLINKVLLMVDENERLGTTGAQAVKKHPWFHGIDWEKIASGKSAVPKEITDRINGYVETLTEDLAASPSMPSEESDDFTAPEWVHDW >Et_2A_018221.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18798219:18798653:-1 gene:Et_2A_018221 transcript:Et_2A_018221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METALRSMAKTSSFPQPPPAHQPPLLPLPAQAPSLQCSIWPAPRAKQPRCHAAQSPKTASAPITVAAPPKKRVAPATEGEVEWTETDSLYSVSPPPSCVPMPTSLLLTVTAARKAPTACAVEVSGAGAGVDVGATDELRRLLRL >Et_10A_001964.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:15205295:15206149:1 gene:Et_10A_001964 transcript:Et_10A_001964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METQPSDDGASPAEYPSWVLLDQESAQYEDHNDVDANTLAVAITSSGHLIRFSFQFRATPAISRIRLHHLGAPDEVGFDFQTISAHRDSVLVKVHTMPVPATPATPIYSAGTSRKPSLFLLPPCYIRLRQEEEQTRHERIERYMDYRSTAILCRGNDTPMVANLDVSANQLDGELCLFRSDEWELKPPLPVHHDKEKAEELSYWEETDMVVAVGDRFLYWVNLFRGIIFTDVSEKDPVLRYISLPLKPLLRRRSYEYVEGPETDRRVCVTGGDTVFSDFYSILV >Et_5B_043174.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:14867861:14867959:1 gene:Et_5B_043174 transcript:Et_5B_043174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLESLKSAMEQSHIGGRQNRSSMQSKQLKLH >Et_3B_029568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26018465:26021833:1 gene:Et_3B_029568 transcript:Et_3B_029568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRDATGSELSTKSATNGSGDSRINEDFDSSISSTSKNMRREGPSDGRDRPQAKKKSLQRLTSSQSEILEGFFSVCAHPTEKQRKKLTETTGLTANQVKFWFQNKRTHVKHLSGKEENYKLKVENEILREENNKLKQAKRAIFCPSCPSEPGKVQIFQELEMLKAQNEWMKKEVSRLNSEIAMSSSALSRASQLESSSENVFVMQDDAQIRAEIARNAVHELVRLASTDSPMWLPVPGGSLETLNMIAYIQAFPGQSSAMGVKVEATRANAVVMLDSKSIVEFLMDAESYGTFFPEIMPGATNTKKLEHGAIAVVDISVDDGEGNFNECRKMPSGILIEPIRPNTCKVTAIEHVQLGGTDVHDLFKPCLSGLLFGARRWVMSMARQSARMRDVFHVTNSSMSVSTKGRKIIMKMADTLLANYASSMVGIPAESWIVQCGQGTEQDIKIMYRRNENGSNTAIVCASASFLVPVPMRSAFDLLKCNMLRSKWDVLVNGGNVKEEVRVANGIGTDDSVTILHVKHGNKGKKETSMILQNCSYDASGSFLVYSALDKQLLDMIMSPGAGQEIGNVPVFPTGFYLVPVADATRPNAPIGEAGGTVMTAGFQILMKLARGTGLCPRSVASAIRILSDQIENVKDTMLNSHPIFYKRVPPTN >Et_4B_039268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9368103:9372018:1 gene:Et_4B_039268 transcript:Et_4B_039268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSQSLLFRAPASLPCARLGPSANRVALRGRGAAFTAIAAASTSMASSESEDKKEAKLWGGRFEEGVTDAVERFTESISYDWQLYKYDIMGSKAHATMLAAQGLITAGDRDIIVEGLDQIERLIQEGKFEWRKDREDVHMNIEAALIERVGEPAKKLHTARSRNDQIVTDLRLWCRDSIDKILIRIKQLQVALVMLASKYVDLIVPGYTHLQRAQPVLLPHLLLSYVEQLERDAGRLVNCRERVNFCPLGACALAGTGLPIDRFKTAKDLKFTAPMKNSIDAVSDRDFVLEFLSANSIAAVHLSRIGEEWVLWASEEFGFLTPSDSVSTGSSIMPQKKNPDPMELVRGKSARVVGDLMTVLVLCKGLPQAYNRDLQEDKEPLFDSVKAILGMLEVCTEFAQNITFNSKRIQSSLPAGYLDATTLADYLVKKGVPFRTSHEIVGRSVALCTTKNCQLGELELDDLKAVHPVFESDVYEYLGVENAVNKFISYGSTGSEQVKEQLEDWRIQLGISS >Et_9B_066285.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:9941804:9942691:1 gene:Et_9B_066285 transcript:Et_9B_066285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQADSRNRREGPHPKRVRVYFVDADATDTDSSGDEEERGRRRVREVIDIDVLAARRPQPAAVAAVQPVRRPLPPHVVLARRRAAKAGAGFRRRFRGVRLRPWGKYAAEIRDPAQRKRLWLGTFDTAEEAAAVYDDAALRLKGSLAVTNFPSTPAASADAAAEADPPRSPLMKLRPRRERRHQDAAAAVSGTAPPSPKAPSPPPPQPQPQQQADDAVAFCEFASPTSVLRYADEAAAPALPAFDFLYGGLGELGDLAAAPSSKAAEFDWMPWWEGEDFVATGGLATASAVSVK >Et_5A_041570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24539421:24549119:-1 gene:Et_5A_041570 transcript:Et_5A_041570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLLKRNLQTTAKAFMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAAAYLEAQQIKAREHQQQMQMQQLQLMQQRHAQLQRTNPSHPSLNGPINALNSDGILGPSTASVLAAKMYEERLKHPHSMDSEGSQLLDASRMALLKSAATNHAGQLVPGTPGSVSTTLQQIQARNQQTMDMKSEGNIGVPQRSLPMDPSSLYGQGIIQPKPGLGGAGLNQGVSGLPLKGWPLTGIDQLRPNLGGQMQKPFLSTQSQFQLMSPQQQQQFLAQAQAQGNLSNSSNYGDMDPRRLTALARGGLNGKDGQPAGAGTDGCISSPMQSSSPKVRPDQEYLMKMQQTSSQQPQEQLQQQQQQQQSQQQQQQSQQQQMQQNNRKRKQPTSSGPANSTGTGNTVGPSANSPPSTPSTHTPGDGLGMAGNVRHVPKNLMMYGADGTGLASSSNQMDDLEHFGDVGSLDDNVESFLSNDDGDARDIFAALKRSPAEPNPTASKGFTFSEVNCWRTSNSKVVCCHFSSDGKILASAGHEKKAVLWNMENLQTQYLPEEHALIITDVRFRPNSNQLATSSFDRTIKLWNAADTGFSLHTFTGHSYQVTSLDFHPKKTDLLCSCDGSGEIRYWNVTQPTCMRAIKGGTAQIRFQPNTGQFLAAAAENVVSIFDIETHSKKYTLQGHNTDVQSVCWDNSGEYLASVSQDLVKVWSVSSGECIHELNSNGNNFHSCVFHPSYTNLLVIGGYQSLELWNMVKNQSMTVQAHEGLIAALAQSPVTGMTRQGRVGVVADLLSLQFGAKASFVLPQDFEFGHDLGVGFEPG >Et_2B_020531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20999017:21017219:-1 gene:Et_2B_020531 transcript:Et_2B_020531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQELSWLGSEGVWFVVLNAVVVAIAILSRARPPLASPRLGGVTRRASSAVLHRLRSFNIFSYPSACLSSFLQPDEEGAESPSRALVLSPMPVAERAPAAEEEDVDEEGDPNAMSMEDAYALVLAARRRPESEREEDARQSEVDAKAEFIRAFKEDLRQQRLNSIFNYTQMLKQRAQGASRRATQQKHEAERAHDRVSGPREVRRRPKMAAEWWWFLVLNAIVAAIALLSSRAARPPLPSPRSGAAITRRASSVMLQRLRSFSIFSFPSACFQTMPFLQPDAAAAIAKETEEPAVPSPIKPLSRVLVLAPPAPAPAADEEEDDEEDDPNAMSMDEAYALVVAGRQRPESEREEDARRSDVDTKAEEFIRGFKDDLRQQRLNSIFNYTQMLKQRAPVMASEWWWFLVVNAIIAAVAVLSKVRPPLPSSPRGGAGGFTRRASSAVLHRLRSSIFSFPSAGFHTAPLPHPEAVDATVSQETEEPAAAASPTKHTPPTPRPLAPTPPPPARPAKEEDDDPNAMSMEEAYALVQAARRRPESEGVEEAGRSDVDAKAEEFIQGFKEDLRQQRLNSIFNYTQMLKKRAFGGGGRQPDARPDQL >Et_3A_023105.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2814664:2816888:1 gene:Et_3A_023105 transcript:Et_3A_023105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRSTGILGFRCTAAAAGVLGALDLGRPHPLALPAHVPPTRHDAPAHALHHPAGVPPRAAAVTPPGALERAVQLGHHLRHVRPPLHALRHAPRRHGEHPSHRRRRGRRGGHPRVEHLPRPAGGDQRRGPGDDGRRAGVHVHHLAPAHELQEHDAEAEHVALRAQPPGLRVARVQVPDRARRHRTRLLLLAVVVARGDWPDNDGEAEVGEPRAAVAAEEDVGGLDVAVDDPGLGGVQRAHGARHVERDLHAARPRRRARRPVQQVVERPVGHELHDEQPRRHRHSGHPLRPRRRRRDPDYADDARVARRGGEHGDLVGELGLALPVRRPLHGNDDRTAAASAASSPQLRPVHAAMAALPHEVLGREAARRRLHLLVRELLHGLLSHHVSYRTCPLLPLQLQLRLHLHAPLMVCQSAGGAVQRKQQVVISKQLGRAQQQGIKEKEGAEKGKGMGDRPSKEERGGGWW >Et_3A_023588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8368416:8368790:-1 gene:Et_3A_023588 transcript:Et_3A_023588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYKAERKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_3B_029479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25376989:25384080:1 gene:Et_3B_029479 transcript:Et_3B_029479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LDGGPCRATHVIHGAPGEPWPLDPAELASPARALPAFHERKRKRKLTGGRAFKKPAPLVLSLAASQPQRSGVCVLRCVCVCARDQTPTSPTPHPLRVPTSSPPPPPRTPRSLPLRRQEVHGGERMPELRSGVRQSRLKAKKVEDLVAQDPAENLVAAAPTVAGRRGRGRGGRGGGRGTTRGRAAGRGRAVPLIDLDPEQPCEVLPGAALGAGGGHHIEEVADKVVKKMNGGSGEKVAGGDDEGTTTPVPEKVQVGHSPQYKVDRKLGKGGFGQVYVGRRISGGTERTGPDAYEVALKFEHRNSKGCNYGPPYEWQVYSALNGCYGVPWVHYKGRQGDYYVLVMDILGPSLWDVWNSFGQTMTPSMVACIAVEAISILEKLHAKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASRWKEGPSGQHVEYDQKPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKSFLVCKKKMATSPDLLCCFCPPPFKLFLETVTNMRFDEEPNYSKLISLFDELIEPQHLRPIRIDGALKAGQKRGRLLVNLEEDEQPKKKIRIGSPANQWISVYNARRPMKQRYHYNVADARLHQHIEKGNEDGLFISSVASSTNLWALIMDAGTGFTSQVYELSPIFLHKDWIMEQWENNYYISAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWENGYRITSTAATADQAAFILSIPKRKLMDETQETLRTSAFPSNHVKEKWAKNLYIASICYGRTVS >Et_6B_048826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14116892:14120358:-1 gene:Et_6B_048826 transcript:Et_6B_048826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVWDHPYAAADADADDPCPLCGGGSSPAPTARVSLAKRRLFPSDAVTTARVGAGDESAALRESLARQRRAVAALQAELEAERAAAADAASEAMSMILRLQRDKSEAMMEARQYRRYAEERFAHDAAEADALRAAVSRRDAKVGALSARLRECQARLLHLGFPAAPPVAAAVVSSLPSSPTFAAGGRAALLDRPFAADEADEDHYHSDSVHCVVDHPTPDVGTPRTHHLLNRMPDQHHPSDDEPLPYSSLCHARTLSYDSLSYDCCDNSIALLADEYPLYATTTDRTAPPGQDEDRVYTVDAVHHGVPLVPPLPWAKDEEEGVEIQKLKARLQALEADRESMRHAIMSMGDEKAQVILLREIAQQLCKEGAGFPAVRMKAQPWPQPVVAEQRKVVKRQPSFVKVFIVTVIKVPHRSVWKQCRFDAGSRQVPQTKAPKIHQKKLNAAILTDDRHPSEG >Et_4B_038239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27469187:27481283:-1 gene:Et_4B_038239 transcript:Et_4B_038239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRDQHQWPRPGHGYDPRAPGVQWHGAASTSAPGPGVAAAQGMNPYAYAPFPQFAPNPLNGVVNHFLLQNPAALHVLHQLQQQQHPQSGEGVTGWKLAQAALMALKADSWDSLGIQLHEVPLLRDIFLIEGKVNTFIHCYVAARKIVTVSDLEVEICKNEGIGQFEELGLGPFLQHPLVVHYFSAAADLSMVPKLSSEEIISFLQKFMDNSKKKITVEDFLDYLAEQNSVSGKEKLGVRVQSLGLHISFLRQARRSEVDSVKLVGKVSGPGGSSREKDLSKKSNIRTDKQALDKRFNSITSRIKQLPGINKHIHFDLTDDEVDGNTSSEDDKLDGNESKNGTSVLDNKDGDKRASSCPYPSKAEEMERLGLKSEISKRPALESSKVTERGKKVNPREKRKAEEKESPGSLCERPKKQQKLQTQKHEALPNCFLSIGKLENFLTTWKETCREHSVQQVLEMIANHYARTPKEKKRIINFCSQYPGIGLFNVAVKSMGCGLLDSIYDMIQLSGENNMSSSPLPNTTTEIMEIEPPCKENTKCIAKGASESSKDSRTRHSVAVNDVIRRISEYIESNIKVPGDGALLVRILRDCETWVTTQFSAKQFSDLGHGTFLEFLEKNGHHFSTMLSGFLKRGGSDSSPEVSVLQQQIEILLCQAESNWLENGHISEDCFFMLLKRQFPTISFGTLHGKSVERLTGYIERQRKNIQTSSIKFSISLLQKQWSGTSPVRHGNEDGLANDVVQQSYYSGAVTSQEAINCLLKAPMLSDLLLWSHWDLLFAPSLGSFIHWLLNIGPVQELSCIVTTDGRFIRVDPSVTVDQFLEAIIQRSPFQVAENPHASGARSRSDNLYNIDNTARLVAKFILDCLGHLPPEFRSLAADILLAGLRIVTKNCYSVMLHEATEDWQLCMLHDIGLSLGVVEWVEDCRRLCLTEEGHAKTELHSSLKHTAVASKQPTHENSSISVSNNANMMNEEGKQPHGTNDQAVSTDSTNHKVLDLVGTKPNSGELHTDKSPVMGDMILEEATLVIETIRREEFGLDHAQNYTDNSLLKKQHARLGRALHCLSQELYSQDSHLLLELVQNADDNTYPEEVEPTLAFILQENGIVVLNNERGFSAENIRALCDIGNSTKKGSNMGYIGNKGIGFKSVFRVTDAPEIHSNGFHVKFDITEGQIGFVLPTAVPPYNTGPLNRMFSFEEDSDTSSLWNTCIVLPFRSKFREGTDLHPSLLLFLHRLKCIKYKNLLNDSLLVMRREALGDGIVRISHGNETMSWLVVSKKLQGAVVRHDVCATEISMAFTLQETEKGEYEPYLQQQPVFAFLPLRNYGLKFILQGDFILPSSREEVDADSAWNQWLLSEFPSLFVSAQESFCALPCFQRCPGKAVTAFLSFIPLSGEVHGFFSQLPHLILSKLRLTRCMFLDDYSLQWVYPCNTLRCWDEQTKMLLSEDLLHEHLGLGYLSKDIVVSDKLARSLGIHDYGPKILMDTISSICRTDGGIESLGLEWLCAWFVTLYFTLISHSSRNISWTRNFEDDLLDTIRKIPCIPLSDGSYSSVADGPIWLPYDIVNSITESRSSIQNFPFLYSNLRTVSPYLLSASCKNKYLMEEVRTNDLVDILLKIGVRELSGHDIIKNHIMMSLRTDIDATMADKMMIDNSLRKDIGFKTEVSHGDAFMVLKSWITSQVPFSASMNQMCKFYSFLSEGVADLKIDVKREFLSCSYIFTPIQRPRSSEVVPGNFFPPEDLYWHDQTGCSELTEELISTEKTKATFPRKMLSVAYPNLCEFFTEVCGVPKTPTASNYVEILLRLSNVALPAQAANHVFRVFVRWANDLSPEEEKMKDILYLKESLQKLETTILPTSVDKWVSLHPSFGLVCWADDDELKQQFNGSTAVDFIQFGDLSLEERQMLYGRVAALMKNLGIPALSKVVYREAIFYGTADNREKAALICWLLPYMQRYIYKMHRDIYMNFQKNEIKKLSTLQVVVVDKLFHKYVLRGLESSSKKRFECQCLLQGNTLYATQGADSHSVCLELSRIFFDGSPDLHFANFLHMIKTMAESGTSAGQLESFISYNQNVPELPEHEAVWSFSSLTAADQSYDSQMVEFRATCELNVPNHQKAPGTVSSWPPNNWRTAPDFRISKSQHAYMPDAKADAVTSDLTMHAKSGRTEDTLIPVEIEGDWIIEANTITENTLLADSAVATLDEPQMVMSVDPSDAPLYLDLEAGSSSPTVDVEVANFNEELANVTEERSMGAGPSDASQQRTGRLGEAVVHKFFTEQLGSNNVRWVNQESETGLPYDIVLTRKGNFTEYVEVKATVSSEKDWFYVTSREWQFAQEKGNSFSIARVVVSRTKKARIEMLKNPFKLYQQKALRLALLISR >Et_1A_009398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4823396:4827942:1 gene:Et_1A_009398 transcript:Et_1A_009398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRPVDERGGGRTAKLPSRERRHLYLVLDDWELGYSIRKVDLSLSADALDVEHSHLKEDEDNAVDRTKQRLPPAVFRLEAPHAHSGLFTSFGTKIMFLGRHSSPWGSAPLFDVRTRSLTSIPLPESEPNPYCSAYLQVDGKFFLLDDTNFEMLQPHPLLDRGPAVGWSWLALPEPSYHDVVSYVMHPDERTIFVSMVKQSLVHTKLATLSFDPVSSKWTRHGAWGLPFKGRGYFDHNLDAWVGLSCGDLGYLCACKVLSANAHHKQPPASKLSKEKLFCVDPVEKHIGATLVYIGGGSRFCLVQCLFIDGSQGGTKETQPEHLRYLLRVTTFLLKYDENGDLRVTKHCLGFLKGCPVEDVENNRVSSSSMSMWTRSKSGNALADNKDGQVVTFQIL >Et_2B_022843.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6502993:6504102:-1 gene:Et_2B_022843 transcript:Et_2B_022843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLERSGLGDETNAPPSFHYINSSRSLNEAREEVKMVIFSAVDDLLFKKKSINIERINLLVVSCGAFSPTPALADMIMNRYKLRGDIRVINLSGMGCSGGLFSAGLAKDLLQAMPRRSYALVVSTEATTPSYYVGRKRSMLLANVLFRMGGFAALLSTSRANARFKLMQVVRRLTSAQDNSYRCAYQEEDNEGITGSNLSKDLTSVAGEALKVNLTVIGRHFLPTTEKIKYFLSIALRKLFTNAKIRSYIPDFRTAFEHFCIHAGGSAVINSVQQSLNLSEKLVEPSRMTLHRFGNQSTASVFYELAYIEAKGLMRQGDRVLMIGFGAGYECTTAVWMCIQPSTGTDGPWAQCIHRYPVDVTRVVLS >Et_4B_038244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27508816:27509673:1 gene:Et_4B_038244 transcript:Et_4B_038244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVYEAGGADHHDDQRMEQDVCQGHLRFVNRMSFEVVRPQARNRMSVKEALTNRAWVRQISGPLTVQLSFDSLFLLTVWEIWRQRNDRVFRNKAKQPIQVVEGILEELELWCRAGHVDRSRLNQF >Et_1A_006259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19718349:19720429:-1 gene:Et_1A_006259 transcript:Et_1A_006259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRASLLLAAALCALAATAASASRDLRESRAGFVVRGRVWCDNCRAGFETPASTYIAGAKVRVECKSKTTGEKTCSFEGHTDRTGTYNILVADEHEHELCESVLVSSPDMRCAKAVAGRERAPVFLTSNNGVASNVRMANALGFQKDVALSRCAQIVKMYEEVDDRV >Et_10A_000311.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22289858:22290586:1 gene:Et_10A_000311 transcript:Et_10A_000311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLHPLLLGISSKRPMAPLRRPSAAASRRIRGRHAAGVPRRRAPLLVARPARARHPVLLRGRRAVRVDGAAAAGAPRLPPHGRRRGDPLRRRRPPPRDQQVRALHMDPWLVQLVAALLHRPAEPSQTSLRRVRRGVGRRPALLLHRRGKEDHHPARHGPAQGVRLRSRQTRHGEGVLHARVRRRPAQEPRRQPASQHRPPRGTHQPPPPAAAEESPPRRRPRRREEEEAAHKARQRQHDC >Et_2A_018806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:830343:832785:-1 gene:Et_2A_018806 transcript:Et_2A_018806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLASEDPLVHDEVDMDDVDSDVEESDSEDDSGEEAHPTPSDKAIYNREAILEKLEDIAWPEKVDWMHKLTIDHDQGEKVDVNDDLARELAFYTQALDGTREAFEKLQSMKVHFLRPTDYYAEMVKTDAHMHKIKGRLLSEKKKIEEAEERKKAREARKRAKEVQAEKKKERAKQKKEEIENVKKWRKQRQQGGFAKGNDDGFKQSKKTRPGVSPGDRSGGLAKRGKEGKNRRSRDAKFGYGGRKGLKKQNTAETTNDFRSFNKGGGSQELQFEDIRPWHTLYRQDFRSEDDAGSVGQTTRSEEALRRILNQHIALVIDFGLSMEAPDPDTNCSSSWATPGSSYLHHIFIGVQPAKT >Et_7A_051912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2689235:2694491:-1 gene:Et_7A_051912 transcript:Et_7A_051912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRDPKDGGGGGGGAVDPEGDIEAPLISSSSSFYRDAMYGDDDDGDEEQRRRRFLLSRRSHSNTTSQVALVGADVCAIESLDYELIENDVFKQDWRARERGHILRYVALKWALCFLVGALAAAAGFAANIGVENVAGAKFVVTSNLMLDGKHGSAFAVFLASNFLLTMVAAVLTVFVAPAAAGSGIPEVKAYLNGVDAPNIFSLKTLIVKIVGCIAAVSSSLHVGKAGPLVHIGACIASILGQGGSRKYRMTCKWLRYFKNDRDRRDLVTCGAAAGIAAAFRAPVGGVLFALETVSSWWRSALLWRAFFTTAMVAVVLRALIDFCKSGNCGLFGKGGLIMFDVTADYVTYHLVDLPPVITLGVLGGILGSLYNFFLGKVLRLYNFINEKGKFYKLLLAAAVTTCTSCCLFGLPWVASCKPCPTGTEEACPSIGRSGNFKKFQCAMNEYNDLASLFFNTNDDTIRNLYSAGTDDEFHFSSMLVFFVASYFLGIFSYGLALPSGLFVPVILTGAAYGRLVGMLIGSQSTLDHGLFAVLGSAALLGGSMRMTVSVCVIMLELTNNLLLLPLVMLVLLISKLVADAFNANVYDLLVRLKGFPHLEGYAEPYMRQLSVSDIVTGPLQAFNGIEKVGNIVHVLKTTGHSGFPVIDEPPFSDAPVLYGLILRSHLLVLLRKKEFISSCTASTLDASKHFSPDDFAKPGSGKLDRIEDINLSAEELEMFVDLHPFTNTSPYTVLETMSLAKALILFRELGLRHLLVLPKSSKRAPVVGILTRHDFMPEHVLGLHPFLFKSRWKKVRLGKVKVSSMF >Et_5A_040947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15521091:15524996:-1 gene:Et_5A_040947 transcript:Et_5A_040947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPKSGGVKGRGRGRGRGPRIPAAVLRKQQAVAPSVDQVTGAKIPKSFVFSRGKIPSTLRHLQQDLRKVMLPYTALNLKEKKRNNLKDFLNVSGPLGVTHFMILSNPKSLPHLRMAKTPQGPTYTFQIEAYALAADIANSQKRPRCPPGVFKNSPLVVLSGFTGHGEPFKSLIQYFQYMVPAIDPNTVKLATCQRVLLLKYDKEKGVIDFRHYSIKLQPVGVSRRIRKLMQNNQVPDLRDLKDVSDYVTKAGYGSESEVDDEAATVSLVSDVDKLNRASRKSAVRLQEIGPRMTMRLVKVESGLCSGDVLYPEPEVKGKQTDEETGGDEADESADESEDELEDGSEDEMEDEE >Et_2B_022303.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17308845:17309252:-1 gene:Et_2B_022303 transcript:Et_2B_022303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEILDGRTVQSFVEDERAFNSSVDARFAALDADRDGRLSYAEMAGELMALRVREAHFGADEPAAAAELAELYGALFARFDRDGDGAVDREEFRAEMREVMLAVASGLGVLPVQMVVEEGSLLRRAVDRELAGAA >Et_3B_028387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14612773:14613235:1 gene:Et_3B_028387 transcript:Et_3B_028387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTYQGGSGYVKDITFQNMIMDNHLSSFHGSLLRKSVPCNALTLEDIDLKMVADNRATEFRVEEI >Et_5B_045222.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:12407101:12407325:-1 gene:Et_5B_045222 transcript:Et_5B_045222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGSSGCAEKHLLRRTAWKPPISRPPTYLHRHLGELRRKGTGADVTFVVVRGGRTSFAAYRAVLAARSPSGA >Et_1B_014173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33997802:34004105:1 gene:Et_1B_014173 transcript:Et_1B_014173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGQAPDRVVEGFSEEFLESFLALIRRAHRHSRVAATVVYNEYIADRHHVHMNSTRWATLTGFVKFLGREGYCKVEDTPKGWFMTYIDRDSEQAVKARLKRKRIKSDLVEEERQERMIARQIERAQKSQAKGSDGDDGNDAEYESESESGSEEEYSGSDNDQDDRSKEANKVTGKIAIALQKAAPGPKVNPFEDKPKVKFGFDEDEDGAREKEKGEGVKKGKDVKASDARKSALDELMKEEEKAKERSNRKDYWLCPGIVVKMMSKSLAEKGYYKQKGVVKRVRDKYVGDIEMLESKHVLRVDQDELETVIPQIGGLVRIVNGAYRGSNARLLSVDTEKFCAKVQVEKGLYDGKLKICLQRQALYGTFFPIALLQGKEAATRNRRKKCLPRLTMGELRRSSSNKIRDIVRLQQLLKKWKRLALTPKVDKSSSCHGVPKGFFAVCVGEEMKRFVIPTEYLGHWAFEQLLREAEEEFGFQHQGALRIPCDVEVFEGILRLVGSKEAVITKCKEM >Et_7A_050527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22453887:22454894:1 gene:Et_7A_050527 transcript:Et_7A_050527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDNGSPAAVGEIAIELPPFLRVYKDGRVERLLHSPFVPASEDPNATGVATRDVFIDCETGVAARLFLPASHATTITGRTLPVVVYLHGGSFIAESAFGRRYHRYATALAKRATALVVSVEFRLAPEHPVPAPYDDAWAALRADPGRLFVAGDSSGGVMAYHTAVRASRSGLEMEGLILVHPYFWEAERLPSELVSESFLKPDLSDKLWWTATAGRVGKDDPTINPADEDIASLRCRRVLVAVAEKDIVRERGRRFADTMRVYGWGSNVTLVESARKDHAFHLADRSPPSAAAIELNDRIAEFINKRETSPSLPPRANNSL >Et_2A_016739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27808598:27811727:1 gene:Et_2A_016739 transcript:Et_2A_016739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSVSAATAASFVPPLTGRRQLSVYCVPAISRGRIGFKPRLFSSSAPTPVLPAAAMATDGAAPTASDAGSKKNLLIFDAEEELAVSLAKYTAELSGKFAAERGAFTAVLSGGSLIHALRKLTEPPYLDSVDWSKWHVFWVDERVVPKDHEDSNYKLALDGFLSKVPIPTGQVYAINDALSAEGAADDYETCLKQLVKNGVIAMSAATGFPRFDLMLLGMGPDGHIASLFPGHPLVNENQKWVTYIKDSPKPPPERITFTFPVINSSAYIAMVVTGAGKAGAVQKALSDKQASSDLLPVEMAVLQDGEFTWFTDKEAVSMLQNK >Et_3B_030426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3735251:3739017:1 gene:Et_3B_030426 transcript:Et_3B_030426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKVAIVIGSGTRSPLTLFRLSILAQGRAGQRGARIVGSVLTGGESGLPDFKDMLSGALKFMTKGAKQGKDAPSTSSPHTAQLLTQVNNLREELQMLSNSKHIAIVTVDGRPGPGAYGITAIVVGAIGYLFIRWKAARRHLAGRIDRVDCSLDECQEIAEATQKEVAVIQGDLSAFEKEMQTVHLVVRSLETKLGRLAYSQVGSSSPVPAIESSERIARATSLPPALEPESPTAVSPRTEVVRSSTTMSASGLSMLVGASMPPKRGAFSRASSMKEGPPELPPTLRGMPSLTEPSGKKPGSSSLFGALGFLRSTTS >Et_2B_022127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9531097:9532434:-1 gene:Et_2B_022127 transcript:Et_2B_022127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFFGTSAASGHHNLQLRAGSDHYELVRPNKYGARSMIRCCSTARTRDYYYQVLGVTVDSTPQEIKEAYRKLQKQHHPDIAGYQGHDHTLLLNEAYKVLIKNISRHADGSVKNRGGCGSGYTGDGYSSWNGPVRSQALFVDENKCIGQVAMESCPVNCIHWVESQELPMLEFLAQPQPKEGHGVFGGGWERPRNVFAAAKNFAKRLEREEQELEQEQSNGDADREAETAAQVEARRRAGQELRWKPLTDVWNGLFSWRKPGTDQ >Et_7B_055007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6370560:6376903:-1 gene:Et_7B_055007 transcript:Et_7B_055007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPIPGRRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKALEEKCTPKEICDKYHKIHDEVYKWFDIKFDKFGRTSSPEQTEVCHAIFHKLMENNWLTENTMQQLYCDTCQKFLADRLVEGICPNKTCNAPARGDQCEICSTMLNPTELIEPKCKVCKNTPRIRDTDHLFLELPQLRDKLVNYINETSVTGMWSQNAIQATNAWLKEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYVSITAGYTPDWEQWWKNPDNVELFQFMGKDNVPFHTIMFPSTLLGTGEKWTMMKTISVTEYLNYEAGKFSKSKGIGVFGNDAKDTNIPPEVWRYYLLMNRPEVSDTLFTWADLQAKLNSELLNNLGNFINRVLSFVAKPAGFGYDSIVPDAPNADSHPLTKTLVDKTGKLVEQYLDAMEKVKLKQGLKCAMAISGEGNAYLQENQFWKLYKEDPASCAIVMKTSVGLVYLLACLLEPFMPSFSYEVLRQLNMPTEDLSFSEEKGEIAKAKSPWDFVPAGHKIGKPAPLFKELKDDEVGAHRDKYAGSQAERSSKAAADAEANKLAKQLKGSKLSDGVPKKEQKKQSGGSKSKTSDADVSVAKLDIRVGLIKKAEKHPDADSLYVEEIDVGEEAPRTVVSGLVKFIPLEEMQVALSCESFSLSRYALAMLCSPDPEYISVMNGQNRKVCVLCNLKPVAMRGIKSHAMVLAASNEDHTKVELVEPPESAAVGERVSFAGFSGEPEASLSGKSKTWEKLAADLHSNGELVACYKDVPFTTSAGVCKVKTIANGEIR >Et_1B_011801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26176511:26177069:1 gene:Et_1B_011801 transcript:Et_1B_011801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCDLLDVVPPVLQFQFVRGKQASCSLCLVNRTRDAVAFKVKTTNPKNYSVRPNTGVIPPRSSCMVEGNVLPLLDQPDFKYLLLLALMDNPK >Et_3B_030126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30723815:30726627:1 gene:Et_3B_030126 transcript:Et_3B_030126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGAMSDPERMFFFELACKNAEAAYTQNPLDADNLTRWGGALLELSQVRNGPESLKCLEDAESKLEEALKIDPSKADALWCLGNAQTSHGFFTPDTVKANEFFEKAAECFQKAVDVEPANDLYRKSLDLSSKAPELHLEIHRQMASQASQAAPSGSSQRQARRKKKDTEFWYDVFGWVILGAGLFAWVALAKGNAPPPPQSRF >Et_2B_021483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3070224:3073225:-1 gene:Et_2B_021483 transcript:Et_2B_021483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCGRGAAPLVLIALSAAFLTYNALISSRSFTASFPSSTASFPGATSSSRRLGAGGGGRRRAFHTAVTASGFVYNTWQCRVMYYWFKEARRARGGAEMGGFTRILHSGKPDEFVDEIPTFVADPLPDGDQGYIVLNRPWAFVQWLQKADIEEDYILMAEPDHIIVKPIPNLSGDGCAAAFPFFYIEPKKYENVLRKFFPEYEGPITKIDPIGNSPVIIGKESLARIAPTWMNISIAMKKDPDADKAFGWVLEMYAYAVASAHHGVGNILRKDFMIQPPWDLEVGDAFIIHYTYGCDYDMDGKLTYGKIREWRFDKRSYESKPPPRNLPLPPKGVPQSVVWFSSSCRYIIFILNSTAGKPCFSSFPPNGHSTLFVYSLCLLLFFFLVDAKTCLFGSRALQRKNSMCVCVTLVKMVNEATANIPNWESYAAS >Et_6B_048606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11286387:11290361:-1 gene:Et_6B_048606 transcript:Et_6B_048606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGASRRPFLVVLLVTVGAVLLLNLAAAAGPEAAASGVTLRVENHQVVVDNGVVQVTLSTPEGHITGVSYNGEPNLLGYDPSDGSSGGYWDAVWNYPGSGLREGLYNTLDSTEFKVVSSSDDQVELSFRSTYNPSLQNSIRLNIDKRLVMQRGSSGFYCYSIFEHGRDYPALNITEARLVFKLNSEMFNYMAITDDIQRYMPSAVDRDAPRAVPLAYKEAVILVNPMEPQFRGEVDDKYQYSLDNMDNVVHGWISGTNTNSIGFWVITPSNEFKSGGPVKRELTSHVGPTSLTMFLGTHYVGNYMVLNVDDGEYWKKVLGPVFIYLNSSPVRGDLRALWENAKAQAQSEARKWPYSFLESPDFPKAGERGSITGRLFVADKFVSKKDVPAGMAYVGLASPGQPSSWATEGKGYQFWTRAASDGTFNIANVREGVYNLFAWVPGFLGDYIYTSPITIAEGHAISIGDLVFEPPRSGPTLWEIGVPDRTAAEFYVPDPDPKYINKLFVNKDRSMYRQYGLWERYVALYPENDLVFTVGKSNPSKDWFFAQVTRNAGQDNLPTTWQIRFNLDHVVADDIYTLRIALAASETCRLQVQVNGGMSSDAVSTTPDMGDDNAIARHGIHGLQWNHDFAIRGYLLLQGDNTIHIKLTLAGLGGPSKIAGVIYDYIRLEGPSRDTTGSTASCDVPMLVPLDLICTGILLMSSVFFLAISP >Et_9B_064530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16245597:16247248:1 gene:Et_9B_064530 transcript:Et_9B_064530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMYGRRASQLLREIDSSEPGQLAPFNSDVFDQVIRECTEHSAQFQSLIRYNRAEVIQGFRWKVGPVLPHDIQEKLHFSEKEYFKNHSAAIKSYISEMDIDLTVDMVPPKDPYIQVRVLEDIGEVSLGDHSVSLTKNSLHFLRRTDAEQFISQGLMEEFLE >Et_2B_021807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5663640:5669795:-1 gene:Et_2B_021807 transcript:Et_2B_021807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAACSWLPFLVVLLVAAAVAGMTTTAAAAAPAFEENYVVQWGADGHHLVNHGMEVDLAMDQSSGAGFRSKSTYGSGFFHLRMKLPSGYTAGVVTTFYLISQPENGERDEVDFEFLGDKDGKPITLQTNIFVNGHGEREQRLHLWFDPAADFHDYKILWNPYQLIMFVDDTPVRVLRNLTGAVPGFAFPAKQTMLVRASIWDGSGWATDGGDTKVDWSKAPFTAGYQGFGVDACATGDGGSGAAPCDSPDLWWNGFDYRNVTDAQRKAYEGVKHKYMYYNYCNDTVQQQHAVCPWLLLALVLAAVAGCVTPAEGAAFDDNYVAQWGHLVNQGTEVDLTLDQSSGAGFRSKSMYGSGLFHMRMKVPAGYTAGVVTTFYVDFEFLGDKDGNPITLQTNIVVNGSGYREQRLHLWMFVDDTLIRVLQNLTATVPGYPFPAKQTMLIRASIWDGSGWATDGGNTKVDWSKAPFTAGYQGFDVHACIVGASATTSCDSPTMWWNGIGYRNITAEQRAAYDGVKRKYMRYNYCNDTARFHSNMPVECSYDQ >Et_10B_003377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19267851:19272251:1 gene:Et_10B_003377 transcript:Et_10B_003377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHHQQHSSPLLQPKRRCSGLAAAVPALVVCSILLPLVFLLGLHRPGYGSEGSAAVVISTELDFGARKHLDGGTMKHKLLKDVSKKKTHGSNGILGQKSSKLKSKNLAVKSKAKLKVSFSLTDLKNDSFGNYGPYTPKGYQLKDLSLGSKDATVNGKENHDQETVHEENSKSCELQYGSYCLWSVEHREVIKDDVVKRLKDQLFMARAHYPSIAKLKNQERFTRELKQNIQEHERMLSDTIADADLPLFFAKKQEKMEHTIERAKSCEVGCSSVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTMPKTHHCLHMRLTVEYFKSTSIHMDRLNKQKLESPAFHHYAIFSRNVLAASTTINSTVMNSKDSGSIVFHLFTDAQNFYAMKHWFSRNSYLEATVHVTNIEDHHKLSKDVDSLEMQQLLSAEEFRVTIRNHSEHSQRKMKTEYISVFGHSHLLLPNLLPTLNRVVVLDDDLIVQKDLSCLWNLNMGGKVIAAVQFCGVKLGQLRAYIEEHSFNINSCVWMSGLNVVELEKWRDLRITSSYDQLLQKLQKDSLTSQQLRSLPASLLAFEDLIYPLEDSWIQSGLGHDYGISRVKIEKAATLHYNGVMKPWLDLGIHDYKSYWRNYMTTREIFMTECNIH >Et_1A_006585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24952738:24960449:-1 gene:Et_1A_006585 transcript:Et_1A_006585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHQRRRHARASAKGTLPTELLLEIVARSDAAATLFRCAAACKLLRREIASPEFVRRICESPGGVMPPWLLGFLLDTGFSLVHPSTTAAASFAENHLALFMSRVADDLLSRYEAVTSRGGLVLLERGEMDWNQGSERASDMCVYDPMTGERTFFPLPPDIDEDNRDGFFCNYVLLTEAADGIGCSFLLLAVDLDGVGDEGDLDGVWDDDGSDDGYISVRTLSSDTAGCQWSAASLAAKPGPSCAAPLSEEGYNSPVVLGGVVHFLMGGYVLTYDVGTATTGMIELPKDCDVGHHRHLGSTREGNLRLFGPIYGFRISFWVMSGDSWSQRVDIETLDTLRSLLEPSKEKEDLMAEEEGEVPDNEWDEDDEDDAEAFFQRYGDHVKVESIGDHRSGVVLPRMQNIQFLLDMDTTEICRIENDRKLLGVPYVSRGGACAFATGTLPTELLLEIVARSDDAATFFRCGAACKPLRREIASPAFVCRICKYYYVLLTGADGDGIGCSFLLVAMDLDGVGDCSSGTICVRTVSSDASGQWSPVTAVSPPPLASVVFFNDAAVVVGGVVRFLMRGYFLTYDVGKAMLGVIELPKDCNVAHGFVHTGCRCPFGCCQTAGSWSQRVDIQAFAALHSSLVELWRDEEKDDDFEEFCSWYSDCVLVEQIYFHQPLYDGHVAAPHWTRLPPNIADGGRSANLEQRMRR >Et_4B_037053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1479215:1482972:-1 gene:Et_4B_037053 transcript:Et_4B_037053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEGDAGGEPGAQGSELATLHIRCTNGSKFAVRADLSTTVSAFKAIVAESSDVPAPQQRLIYKGRILKDEQTLARYGVETDHTIHMVRGAAPPARRIDDTDDTPPTPLPPADGLGGLLQGLGATGSANSGGLGLFGSGLPELDQMQQLLAENPNLMRDIMNMPLMQNLLNNPDLIRNIIMNNPQMRELVDRNPDLAHVLNDPSILRQTVEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGAQGVSNQNPFAALLGNQGSNQARDPSANAPTAASDSTSGSPSPNTNPLPNPWSTNGSSAQGAARPSPASNTRSATPTTGGPTGLGSADLQSLLGDGNDASFLSQVLQNPTMMQMMQNIMSNPQSMNQLLNMNPNVRNMMESNTQLREMFQNPEFLRQLTSPGTLQQLISLQQSLGPLMSQLGQQQSGQDRTQGGAGAGDVNLNTLMSMFSGLGAGGGLGVPNVSNVPPEELYATQLAQLQEMGFFNTQDNLRALIATAGNVHAAVERLLGNFGQ >Et_4B_038956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6349535:6349913:1 gene:Et_4B_038956 transcript:Et_4B_038956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRARRRRLRLHAESSTRLDASMPDIAGEERRDWADLTPDLVGEISGRLLSLDVAEYLRFRSVPWRDLTDDPRAGGAGALLDRRFRPRNWMLLSIAPDPSPRRRLLNLATAASLVVDLPALR >Et_5A_042823.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:5036038:5036367:1 gene:Et_5A_042823 transcript:Et_5A_042823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKNSKILFLAALLLACVATSSAARHLEEEAAPEEEEHPTAPEFPVPEHELPPLPKVELPPKPELPPFPEVHLPPKPELPPFPKVEVPPKPEIPAIPEFHFPEPEAKP >Et_2A_016779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28021198:28026583:-1 gene:Et_2A_016779 transcript:Et_2A_016779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDARARKVGFKTKGSRLARATSSPDVAPRRLRSGPAAVVAADDSDAASDPWFEPWRRLGQPWLELDARRARRAEGSARRGARRAGAGPLRSRACGHGARLRRGGLREEIAVIHQHVMGLIHSRKKELALVNGGHDDAAGGQGRMRVLHAALCETMRLYPPVAWDSKHAAAGDVLPDGTRVQRQAEPRRCGGQAAARLASGVQGGGGRALSRRGGQEEMRRRAISLEFLLQGRFIFGPDVRSLFLTMFLILAPVVVFCIFVARHLINDFPDHWGISVMVVVIVFTIYDLTLLLLTSGRDPGIVPRNTHPPEPEAIDMNNDGNGQTPQQLRLPRTKDVIVNGVIVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFCWVYIIKIRDAEHSSVWKAMLKTPASIVLIIYCFICVWFVGGLSVFHFYLMSTNQTTYENFRYRYDRRANPYNRGIVNNFMEIFCTAVPPSKNNFRARVPVEQGLQQARAPARGFMSPNMGKPVGDLELGRKPVSWDEPRSAADIRDLEVGLGGLLDEKEGRIAHASPDLSREALPELMEGRAGMHSRRSSWGHRSGTSESMDSIAVQTGTGESHWGGSISSVHGTTSGTH >Et_9B_064661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17399645:17400788:-1 gene:Et_9B_064661 transcript:Et_9B_064661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LCRTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGASLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKSQQGKGAAPKGAKGPKLGGGGGKR >Et_6B_050188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9616652:9617564:-1 gene:Et_6B_050188 transcript:Et_6B_050188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGVDIDDLEEDDLLDEEWEVKESENGETTVTEINTCVEMTENNAVNVDLGLSRMGAVEDHGSLNLLHKDGLDEMTESDTTNAVTVGIIQDTGDLRMADTALEVYAVRGSGDAAVAVLNLEAQKKHDAENCALSSQREHGAEVVSTALSACIAGGFGGHTAAEHVGLDVVSSALSACTAGGNGGRAADEDAGLDMAGENLETPSLALGPLLEKAINELKGSRSSTGKKTIDGSTVETMVVKPTNSIISIGDQSTQLRRSLRRAGTVDEHSADRASRLVAKRNLEDTEVSI >Et_3A_026046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3820945:3824301:1 gene:Et_3A_026046 transcript:Et_3A_026046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQHREEDALRGQAVKNQKAIWDKTLEMRFLLQKAFSTSNKLPQEPIKARFCDHDKEIEQAYDDLLNSSKQTLGSLLELQEAMLEGNQAAKGSDEMPSASNGEDDEWAEVQKFQTRITKFRNTEVDKWQRKIQVTTGAAALKGKLHAFNQDISDQVAGYMRDPSRMINRMYLRKSAVGVFGEGHNVEGDPELIDDSEFYQQLLKEFLESCDRGASESAFYALKKQQLKKRKLVDRRASKSRKIRYNVHEKITNFMAPVPMALPPMAPKLFENLFGTSN >Et_4A_033479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23528095:23538190:1 gene:Et_4A_033479 transcript:Et_4A_033479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRAGHSAALLLALAVALLSATPGLQGNTAFAIRDPIPISTTSRPSRGECGALGNLDGARAPCSGMPRWSGARSAQFPHSRRRDGADPREDQLYYGKQVPNWSRQTDIEFKNLSSSRKDGRHLLARPEEVSHRKIRQRTGVRKKMELVQQDDEALVKLENAGIERSKAVDSAVLGKYSIWRRENENEKADSRVRLMRDQMIMARIYSVLAKSRDKLDLYQELLTRLKESQRSLGEATADAELPKSASERIRAMGQVLSKARDLLYDCKAITQRLRAMLVSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPNSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLKQLESAAMKEYYFKADRPKTISAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQKDLTGLWEVDLNGNVNGAVETCGESFHRFDKYLNFSNPNISQNFDPNACGWAYGMNMFDLEEWKRKDITGIYHKWQNMNENRLLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPTIERSEIDNAAVIHYNGNMKPWLEIAMTKYRPYWTKYINYEHPYINGSYLLDEFEG >Et_3B_028988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21176291:21177419:1 gene:Et_3B_028988 transcript:Et_3B_028988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRFSPSSASRLQAVDAAAAGVKSGRVPLKVSVAPAPRSLGSCKATARQEGTAQQEPNIAVSSARTQLDLLEQLTSSTPDGIGLDDGTPTEPRQRTTIREQLSALVGNRVDDYTLPLGKRLKEGLKRLNSMTVSQRRNIKRQALLTKVSGRNDSVFFAYVGAFVLVPPFAILAIAVLTGYVQLFP >Et_8A_057139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21547833:21552766:1 gene:Et_8A_057139 transcript:Et_8A_057139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVSVSSAGSLLAMLQEPAPELKLHALASLNSLVHAFWPEISTSVIDIESLYEDEEFDQRQLAALLASKVFFYLADLNSALSYALGAGPLFDVSDDSDYTQALLAKSLDEYAAIRSKASGATDEENAMDPRLEAIVEKMLDKCISDGKYQQAMGMAVECRRLDKLEVAISQCDNMHGALSYCINLSHQYVNHREYRCEILHCLAKIYLDSSDPDFLSICQCLMFLNEPDTVATILNGLLIASEDDALIAYQIAFDLVENENQAFVLDVRNHLDALRASASTDLDSTPALSSDQTVNASTEPSDDVQMRDDATVATMPSSPIPVDPNDPVQADRLTKIKGILSGETSIQLALQFLYSHNRSDLLILKTIKQAVETRNSVCHSATICSNAIMHAGTTVDTFLRENLEWLGRATNWAKFSATAGLGVIHKGHLQQGRALMAPYLPPDGAVGSASPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSNEVVQHGACLGLGLAALGTADEEICEDIKNVLYTDSAVAGEAAGIGMGLLLVGTASEKATEMLAYAHDTQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVLALGFVLYNEPEQTPKIVSLLSESYNPHVRYGAALAVGISCAGTGSSEAISLLEPLMSDVVDFVRQGALIAMAMVMIQINESYDSRAGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLKSRSKHDKLTAVIGLAVFTQFWYWYPLTYFISLAFSPTALIGLNSDLKVPKFEFLSNAKPSLFDYPKPSTQQTTATSVKLPAAILSTYAKAKSRAKKEAESKAQEKAAAPSEDSAAASTSMQVDGAAEKKAPEPEPTFQILSNPARVVPAQEKFIKFLQGSRYEPVSAAPSGFVLLRDLKPTEAEELVLSDAPATAATTNASATPAEQGSGSAAMAVDEEPQPPQAFEYTS >Et_2A_014612.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:2834765:2835493:-1 gene:Et_2A_014612 transcript:Et_2A_014612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRLCRVPVRVRRQRPAPAAAAVRARVPHRLHRHLAALTPRARSAGARSAPTPPRCSTTRSARRGGSTRRTPCSRGASASSRTRPGRRRPATAATALSRGRPAIRAAAAAWTTGLRRCYSMGSYQYVLAEASLQVSVHRRNGRTAARPRGAGSTNPAAGAELAATAAEGKRIGAGSKGDSFSDHANARPPWVKRTARQAVSGKRSDRKLCFLFCMPLQGTPRSLLHASSGHSALPLLHQTERA >Et_4A_035619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31170726:31172705:1 gene:Et_4A_035619 transcript:Et_4A_035619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSERKLMEARYKDFLVHWQKTAKKRMTEFAVQGEERRKYGHRPVEPPFEIINYPDLLERAWGWDEILPYNHVDCWSLYKTYLQEYYKRNAPAALLAHQMEADAAGDHSLNGEDGNGLAALVNSVSSCLSPTLLSRFASTIITGTNCVWNGVLKCINMEGELLHLLKRQAQELDVDAISLKDKLTNCAHQITNVECRGFPSPTIALKLINSSVSIANHGFKCIMAEAELLCDLLMRAAQGGGYTFSFTNAKVIIQMRQRTQPQDRDAELEVKLVGSEPPYIEFPPGLLAFSTVEPVPPPPNGVPAGPVPVTPGM >Et_7A_050270.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1828167:1828595:1 gene:Et_7A_050270 transcript:Et_7A_050270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSRSSEAELGEEPPVLAGLEPLLQARLSGLACGDLLVLGGERVGASGDVLEVDVEGVAGGHEVSEVDELDEALDARLLGGLLGRVLADHLLGVLGEAGDEAVAVGAVAGALLEHAHDHRLPTGEPTLQQDHRLPGLQELHHR >Et_4B_036043.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:16357121:16359041:1 gene:Et_4B_036043 transcript:Et_4B_036043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAQSDARGRMPGQRRPMCDVCTKPLRLCLCGRLRSPPVETAVGVTVLQHAMEVHHPLNSIRVARLGLRNLAVVQVTDVNHCAHFSLTTLGAGGGAAGSDLGGGIVAPAAAAAPTGNHCRSDRPGEMVKQIGDCLDRCEGERFELALLPEEICGQSARRIDAAYNFEKITPNGSGDLVMEDVNLGGSDEKGDLGDVHDGILVGEVDSLGCSHNGDANFGLKKTDGQPLDIESPYSMENQIAKSDINAANGGSCHESEAGLTYKTNGNLVPVLANGLEVNGVDNEKEEECAVLGQHWTREKRDKCTIAYTEKELQIDIERGVKPKIRWLSRGPLGQAAVLNGFVVTKIQTKKSKLTGEVTEFEEFSITIPPKSALLFPCQRAISIDASGCQVRHLIVLDGTWAKAQRMYHENPWLQLLPHVKLESDRVSLYSEVRHEPRVGCLSTIESIVVAMKKLGEDSKGLDDLLDVFESMIADQRRCKDENCKQKQKS >Et_4A_035846.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7186225:7187217:-1 gene:Et_4A_035846 transcript:Et_4A_035846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKYLKIRFDPDGSLVRYGEAPLLPAAPAGEPVAVLNAEAEDEPARRIAAVHSNDAPLNDATGTSLRLYVPSSSASSAALPPPLIIYFHGGGYVLFRAASEPFHTTCASLAAAVPAAVASVDYRLAPEHRLPAAFEDAADAVRWARPHAAAGRPVFVMGSHNGASIAFRAALAAADQGVPLRGVVLNQPHHGGVTRTPAEAASVDDRVLPLAANDLLWELSLPFGADRDHQFCNEGAMLAAVGASRLRKLPPCLVLGRRRDPPRDRTRALVAALQKAGVAVEARLDGAGYHAMELFKANCAAEFIAEVADFVRRHSAASGDAVGVSKL >Et_9A_061527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13184521:13185585:1 gene:Et_9A_061527 transcript:Et_9A_061527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSHTSQRCDGILAFVLAIGLLIPCCLSSKEVVELLERACHCFDDPSIYVKCTEEFRLNAEGAFHVHKEAVDEYCGGPCLEETKLALQCVEEVAAETFRFSNGASVLAVRQALGTGCGYGPERGTFEIRERKECVGAGEEYYHHGDHEQAKPVAAGRRYYGEEGSEQPYQQGGSGYGEGEGHGEEYCYGNAGGRVGWSSGVALMLVLLVASAALLLGI >Et_7A_050914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12372036:12383844:-1 gene:Et_7A_050914 transcript:Et_7A_050914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGPSSPAASAAAGDFPELLGFCARVEALIAELLLLSDRAPPHFADRRFDPVLFDFRYFDSPGDFESRIEGNIEMEALEYQIRESCGPYMQRFFALLDGAVTYHEELCSYLNDLQEGLYAHCTLDGVLENNGACQLLVESMSLFGCLILLMEHKIGGLLRERLLVAHLRYERSFSHPNVERICELCRRHVLTPGSPAGSGSSPCFSEVISVQKPEDLLGRFPFPEPVVDAVVTCLRNGDVYNHIRLYPDPQHRTTALSLQGGHLYVLLFYSRDLLHRGLVMREIVDRFFKDNWVVPIFLHFSVDLLVSWDAYKEAKMSLVSCLSPASIRDISLHHYSKVPHLLADLGVHIRAINKNYVLDNSPSLLSVIRECNFTLRWLLLHRLTSDKKARDLVISIGSSQQVDEENLLELLLKASKLEFEVKQLHVELLKTRESTWEKKRHDALECVKDLSQNYLGVWALSCKFKNKTLNDWLEHLFSEVNSLNYTAIGSSGRTIHRVLSTLKDIEMLNQIKESVQIKEGFAKIQKNLHDMIKVLNLNQEATSIFRVITDAKYAWVYLTLFEAFLKKNISENPAETLFLHTLFLKFQSWLSAPVQRIKQCESPDFHCVSTYYSSKYAANIFAVLGVIPVTLLKNATAVRYVNEEQSTHLVNRINKDALEEIMQLDQQLCEARQAAKLCTISEGLQNMSKNFDDLINLNLGGWLKMMIKRELAIQLEGKLKCLSKPTNGDIENDLNSLSTYMLSQVQRVEFLQDILHIDCCSIWQETLATVLEQCAKKELLELMARMERSTNVVKHFSSVSSPSTFFGNVLDLSLLEKHVCCRQLAATYSSVDEPITLDVYRGHGWLVGLACLDSLIHILIKQSMENTVKDLHNLVNTKSQDELSKLDDLLGPPMSIPLMGWSAYKQMVKMFDSSWGPLVEKLATIGQLQLVQNMISFKLRSACKINANTVTSSVEVLVSSIHMQKQTCESGSVDQTIRLFHHNVKEQQRFCGLLSPLKAIYSSDDPPMFLTRLLSVFSISQLSRYVFDVHLGSLTSPLKRSTADFSAVIVGLCTLLRQFDSFCTIQYIQFMVQYTRTAEAAFDAMTDAHKGTTHSAESSKAVFWLMSFCKYMDISRDVLESGLPASALAILQS >Et_6A_048005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3260004:3269141:-1 gene:Et_6A_048005 transcript:Et_6A_048005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRMESVDDLIDEVKKRTVWWALCVFAISYFLTHSSKSMWTNVPMSILILAFLRYLSFKVEFRWREQPVHKQPYLSQVSKRQLSANDHRLSTVPPVSRWRRKVGSPSVEAAFESFIENILRDFVVDLWYSDITPDKEAPELIRGLILHALGELSVRVKEMNLVDLITRDMVDLIGNHLDIFRKNQSLIGVDVMATLSSEERDERLKQHLIVSQELHPALLSSEHEYKVLQGIAGGVMALVLRPQDAQSPLVRCISRELMTCLVLQPVMNFASPIYMNELILFLLNNKDTTNGGGNTNVANSGAVTLANDRSPCKRGSQGFQMESRNLTVEPSSFVPANDSGTRSLVAYEGGKSKVSENYHGSATQSRQPEWAVGLDAASKRRSQVLAPENLENMWAIGRNYQKKMVKAEHSSKLKGSEALTNSPNAAGVGKELSSNFNEKIASVDDKYMVNLMQNTDRNAQSTFVTGSHPLALHGTNELKSKEGSQVNYKSKEKVVETTNSTKAQLKRSSSTPDIEKKYFAKSNQTMATSESLNARKNPDAKGTGRASHGEVTLHLPKIRCRVVGAYFEKLGSKSFAVYSIAVTDADNKTWFVKRRHDIGILNAFIDN >Et_1A_005230.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:34347434:34347589:1 gene:Et_1A_005230 transcript:Et_1A_005230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRVRVRVLFLTRMLLRRGRVFAPLDSNQTRCHPSRFVFQEFRLPQSVCI >Et_1A_006232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19459271:19459779:1 gene:Et_1A_006232 transcript:Et_1A_006232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IRRLLYHNVVRVSEVEKILEISNVQTYAINSAKRPQLCGSGASLARHYHQHHRNVRHVVVPLLMIFASALLVVYEDMACGSNKSLSTKSKKEIPDENNDEELPAKRRHHRKGIPDDAPFF >Et_3A_024993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2594486:2598087:1 gene:Et_3A_024993 transcript:Et_3A_024993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCASVWTPRYALGRSSSPGPGGCRRRSSVRARAAADEGGGAAGGNGGDGAPRLVLHDSLDAAGVATVHARAAREGFAAQVGRLTRVAAASSIAIGRGPDLARAALCIAAEDDSLVSHSSVPLPVDAFIARLDGLSTGFCGGGNFLPIGAPAEVFFDHLDRYLYGYKGFRRTNGVSDVRALFLHTVLTCRSGSALMLSLIYSEILKTVRIYGLLDFDPEIFFPHDLNSLPRGYDKHKSKLGDETHIMTSKSLLVEILKTLKGTFWPFQSNQSSSLFLNAVAANNYGPDVKFISAIEMAAAKAAQHRLMRGVWTNVRFGDMRRALAACERLILLNHDPHELRDYAALLYHCGYYEDCLEYLIAYQTAMASNKFPSSSLEILEEEAVNTLRARVSLILAEDGWSSRRPAASYWTKNSEPW >Et_7B_054214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17429946:17431896:-1 gene:Et_7B_054214 transcript:Et_7B_054214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKDPEQPRPVRFGILGCAGIARKLARAIQLAPGATVAAVGSRSEAKARRFAAENGLDAGVRVHGSYEALLDDPDVDAVYLPLPTSIHVRWATAAAGRGKHVLLEKPAALCAADLDAILAAAEAAGVQFMDSTMMVHHARTHRMREFLADKDTIGDIRMINSMLSFHGDEDFLQNDIRVKPDLDALGVLGDLGWYCIRAILLAIDYELPKTLTALHEPLMNKAGVLLACGAAMHWEDGKVATFTCSFLASNVMDVTVVGTKGSLRLTDFVIPYEENSAAFSVASKPSVKDLAIGWGPKPSQQVVATDLPQEALMVQEFARLVRNIRDVGADPEGMWPAITRKTQVVVDAVMESIKKGCQPVDVTA >Et_10A_000831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1872058:1872391:1 gene:Et_10A_000831 transcript:Et_10A_000831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASDVPLLDVSSPRAVVRRSSKPWSRSSSIGRHSVGSSGSIEYTSLRDVLDEEGSDGGGRELGRDNLESSIHDFDASAIGIRNTLLKHAASAYLQSAVVVVAAAGRS >Et_2A_017879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7441487:7451987:1 gene:Et_2A_017879 transcript:Et_2A_017879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLLSGLRLDTLLKRLCKSLLKKRLGDLILGDLDLDQFDLQLTRGTLQLNDLALNVEFINRKLSGSAIMLKEGSIKSLLVSFPLPVKSCEIVVEELELVLAPAVVGEVVPVDTECSVSGSTSDVQTSVRTQRNESDSNQCSTSTSRDVDEGVKKIANAVKWFLTSFNIKLKNLYVVFDPQTSLDNRVLESNRSLVVRIKEAEFGTDLSTDGLVKLNNFVTFNQAVIEFLKMDDVDALLQNDLDRSTAEIFAGRSTTAVLTGPIGGFSGKLNLSIPWSNGRLNLEKIDADVSVDSLELRLQISSIQWIMNVWESLQSKPVDEQNCACSAADMSANSFRSTFSSSALSSLKSDSDCTIASKEHLSHSTCSQRKHEKFQDSFLTRAHVITDWMEPVAHEDLGYPDSDCDESIDQFFECFEELRNSQSSLGNSGIWDWTCSVFNAISCATTLASGSDQVPKEQPIEKTLRASIAEVSVLLLFSDCMDVDNSSVPVSTLDDMRNSEMFSSCLSSSHFGKSAVYPSTVPSLCMHHLEAKFQNIHLDLQTYPKNLRFKTSVAHMKLDEYYTIGNNVSDHSRLGSLFLNNNLRQDIQDALPQCLFAAGGHWVENSELCGNNSGELAKVELLQTFGECTFHYDASIRDQDGNSVSSTSLSVCLAPVIFWVHFHTIYMLWTFISKIESDLSQGERKVHTHDNDKESRLTASANMFSSGSLKVQIALSSTRIILCFPSDVSYDLSHPSIVDKFLVLDHTSCMNMGDIPALPQTETLNQVHLGTQYTSIHLATGNFNIYFVKPANNVLDGRICSSSRQTFSTVKIFSVTGAKYDDCCIAVIRRKCPVTSPEMVNKAWSLPKLHDQKNIKTQNRKWAGVSSSTTSQDLEELGSSIRQELLKSTELLLHVQLPCVSVQLSKNDCVLLNELLDHVLHGISNGELSNSKNAKGKSLPINDIFIQTSVVFECSKLEICTELDETVEVGPLLQAELEGSWKSFKLSVSKFSLFSFSNVGGVNNASFLWVNHGEGELWGSIYGKDDKICDESKEFLLVACKDSACRRGDGEGTNVLSTGTAGCSVTHIKNPKLQDNYTSVDVRSGTVVAPGGRIDWISAVCLLFSSGSDGTQPSDDSTTVNSSPEGETYSSSFFLELADVAVSYEPHLKKSVGAETVDCKFFSCILAASSFKLHNKSTPDSSATDFDIQLRDLGLLIRGSSGSNNVTCGYGVDYLRQAGYAKVAQNTFVEANLRIDSNFWKLEISDSQFDIGTCRDTTYGLVRLGSQLQQLYGPDMRDALVHLQSRWNSVQQANEQNIATDASEKSESSLENLADSGECQSDGLLDDIIENAFYTEDYMNNEFWESNFHHSFSSSETDDKSELNTLEAHTTQIPLQQNFCPDHIIDSYYMPEHPSSSSALYSEYQYISGGAPREDGGWYNNGSLTIVENHVLKKNNEQGDQVLRREGNPVVSSLNPNESCSLKGKVIIHDIDVKWRMYAGHDWLVPQKDGSCCQGTKGRDRSYSLEFIMTGLNVQLHLYPDGDISVSKLSVSAQDLNLCDQSMHAPWKMVLGCYNSKDYPRESCSCAFRLELESVRPEPQAPLEDYRFYLEILPLQLHLDQGQLNFLISFFQNDSYDNESHLHCESEIGDAESTSYESNSIVDEALLPFFQKFDVKPLVLHINYIPRQFDPIALGKGNYAELLNILPWKGIDLKLKHVSAMGVYGWNSICETVAAEWLEDISKNQVHKLLKGIAPIRSLVALSSGTKKLLSLPIKSYKKDRKLLKGIQRGAVAFIRSVSIEAVGLGVHLAAGAHDMLVKTERALTAVPPPCEAKRTKDNIRANQPESAQQGMKQAYDSLTDGLGRTASLLIGNPVKVYNRGASAGSVLATAIYGAPAAAVAPVSASARAVHYAFLGIRNSLDPEHKKESLYKYQGPSQS >Et_3A_024233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18700305:18702790:-1 gene:Et_3A_024233 transcript:Et_3A_024233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKTENKRVSCGLSGTHGWGSLLLRTLKRVIEYRGEQVRHSVADLREARYHREKKNCYLFKISEDVVIDATEKGNIARLINHSCMPNCYARIMSVGDDKSQIILIAKRDVSAGEDL >Et_2A_015580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15354157:15359953:1 gene:Et_2A_015580 transcript:Et_2A_015580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAAKEAPPPSQPAAEEDGMLSATAAMARDAAVLFQSRRYAECAEVLAQLLLKKEGDPKVLHNVAITESFLDGCPDPTRLLKILGDIKKRSEELASASREQADSANGVANNVSAGSRGTSIVPTFSAVHNASTYGDEFDTTIITFNTAVILYHLHDYETALSVLDPLFRNIEPIDETTALHVCFLLLDITLALQDATKAADIIQYLERSFGVANTVNQSENASIAQQQSAQPKPLAKSNTPPDSDSNAYAGGCDNLPAGNFSDDTADFEMFYSTLDGGHQNLGRPILNDFSKASDLAATAADLKVRLQIYKVRLLLLTRNLKVAKRELKVLMNMARGRDSSTELLLKSQLEYARGNYRKAVKLLSTPNNRSEPAMLAMFYNNLGCILHQQRSNHTSIWCFSKALKYSLSLRSEKPLKLSALSQDKSCLISYNCGIQHLMCGKPLLAARCFREAMLLFHKRPLFWLRFSDCSLLALEKGLICAKGASSCNDEIEVNVMGSGKWRQLVINAVNSRSDSVSAGLTSDENGNLISLGFARQCLLNGLLLLDASEKENLDPASDAEDCSQGAAQGHKSSGQKNTANTDSKTPGPALANANGEQKGTSLNATLQSSLALYDDICRKENLKIRQAILGNLAFIELCLENPLKALSYAKSLQQLADCSRMYVFLSHVYAAEALCALNRPKDAAEQLSVYVSDGNDIELPYNVENCEKAHVEKDSDGEDSITPAVTKLNSEESQHSESLKPEEARGVLYIDLGMTAAMQGELEQANYMVSRGLAMLPNNPRAVLASVYMDLLQGKSQEAIVKLRQCRSVRFRPSSVAASS >Et_1A_005021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22143435:22144781:1 gene:Et_1A_005021 transcript:Et_1A_005021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHDPEAGLLPPRQRDLSFRLPDSNNKFRTAQNQHSRPLIIQKVPQNVRGGLDEERRYFVPDVVAIGPYHHGAAHLAEMEQIKEAVVHEFCRSAQQGPGSTPPAAESVVVPFLEAVRPVVAEARLCYADDPSVDSLTESDFTNMMVVDGCFLLAVMAILTRDYELEHSSWTHGRMLRIMKDILLFENQIPWPVLRALMTRRPVHVDEFVAKVLAYFDIHSREPRFDDDGTDRHLGGPAPAADIRYCDYARPFAHFTSAVELAEAGIRIHGSGTSRVSDVRVEPAAAVIIGRLALPQLALSWLPRCWLINMVALECVTDRSDGRSGVSSYLAILGSLIRAERDVEELRSRGILFSTMSDRRTVEFFEGLLDPLPRQELYLGTLEAIVQLRSSRSTRSSLHGVYYRNRRIILAAAPVLSLLVAIVGIALNNSFKNK >Et_4B_038350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28371145:28371578:-1 gene:Et_4B_038350 transcript:Et_4B_038350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNAVSTKNPIRRVPNLALLNPHFDPPGTGSSSQLPGADVFINTVTGSRRRARSLHQERRPVHPRHGIQARQARDLLPRLQARFAVLWTPFCWKHRVEPGAARELLSGQGLGLESGDGEIIVFQSG >Et_10B_003915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7523812:7530799:1 gene:Et_10B_003915 transcript:Et_10B_003915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLGLLVDIVSRAPRSGASASAHSYGTLSAAAAAAAAAALATTGVPLSARHLFGFPGITIAHCDAGVATGFNGSADLINDINNKIRDTIQYARKERLLPAKEYPSELKPLFSAFGLKNFSVLTLRSFLLYYLPLIQPQADTHDDEDEDDLLQDDPEEKPVDLITPFHNSVKQIIRETSIVTTRRVMERIAVCHVSQRTAWKLLKDASKSAKRKAARGMSTPEFTYCVARTTFRAHALGVAAAWVVQSIVQVYKCFIRKPYDEEESFEEVDKFRMFGRKVLGITIKSCFSLVLASIVAGIGALVHPVHGQWFGESLFSHLPSVQVLIYCSIRYQVHLALARYDFK >Et_1A_004563.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:18191282:18195053:1 gene:Et_1A_004563 transcript:Et_1A_004563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAFLVAADRSTGSGPSTSRPAKQWRKAMNVIRTCHRLARLALSSAGMLRRTGSYVTIKIHADADEDSDDTSKPAVEFSVAADDADLKNLVKEKRLDCLRRLGGAAGLAAALASGAETGIRGGDDDVRRRREAFGANTYPKRKPKRFLRHVVDALSDVFLIVLLVCAAVSLGFGIKEHGLKDGWYDGASIFLAVFLVAAVSAVSNHGQAKRFDRLSRESDDVSVAVVRGARRREASIFDLVVGDVVVLKIGDAVPADGVLLEGHAMQVDESSMTGEPHPVDVDAEKSPFLAAGVKVIDGYGSMLVTAVGVDTAWGEMMGTITRESTDPTPLQERLEALTSSIGKVGVAVAVLVFAVLTARHFTGSTKDDQGNPLFDKRRVTFNAVFSALVAIFQQAVTIIVVAIPEGLPLAVTLTLAFSMKRMVKENALVRRLSACETMGSVTAICTDKTGTLTLNEMKVTEFWVGHVDRPVVSNATRDVAGEVVRLLCQGAGINTTGSVYKPDNVSPAEVSGSPTEKALLSWAVADLGMDATDAMKRACKVLRVEAFNSDKKRSGVLVRDNKTHEVAAHWKGAAEMVLASCSAYFAADGTVHQLGVEQRKKLEKVIGDMAAGSLRCIAFAYKQVVDGDGEHVTKIDDEGLTLLGFVGLKDPCRPEVRASIEACKKAGVAVKMVTGDNVLTARAIAIECGIISRNDDDGVVIEGHEFRAMSPDQQLEIADRIRVMARSLPMDKLVLVQRLKQKGHVVAVTGDGTNDAPALKEADVGLSMGVQGTEVAKESSDIIILNDNFDTVVTATRWGRCVFNNIQKFIQFQLTVNVAALVVNFVSAVTTGKMPLTTVQLLWVNLIMDTMGALALATDTPTKRLMRAPPIGRTAPLISNAMWRNLLAQAAYQVAVLLALQFRGRDLFGGIGDRANGTIIFNAFVLCQVFNEFNAREIERRNVFAGVLRNRLFLGIIAVTLAMQVLMVELLTRFAGTQRLDLAQWGVCVAIAAVSWPIGWAVKFIPVPERPLHQVFATSKFF >Et_2B_020878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24544456:24548582:1 gene:Et_2B_020878 transcript:Et_2B_020878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VPGSTMIRTLVVTGVELVMCNNFRHGGPICWIFLSTQKRHMSTPDYLINFISVTQQWIHKLIVSCDHLINDMTIVQHCLTKDISTKLNRISTRSSLRPAPQILVIYKLKHAYLGPTIMTRVHKRDEWNEADEHVDLLLRGAELVSHPGAEEQAVQQPAAVLARGDERVAPPQRAPELLERPPRGLPPHHLGELQLPQQAADELHVLRQPPARVPVAPRRQRGLHDHGHQPERVHADQLRHAGGLSQRAP >Et_4A_032913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15508455:15514544:-1 gene:Et_4A_032913 transcript:Et_4A_032913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVVDSPQSHRSPPPPSPSPSMPRTHSQHQQQPRGRPQPLPGADPFAFGVVAFLGICFVLVSLSVPSSILHQVPEGHVGVYWRGGALLKTITPPGFHLKLPWITQYEPIQVTLQTDQAMLNQVKDIPCGTMGGVMISFDKIEVVNRLRKEFVHETLLNYGVHYDKTWIYDKIHHEINQFCSAHSLQQVYIDMFDQIDETMKDAIQRDCTRYAPGIEIISVRVTKPNIPGSIRRNFELMEEERTKALIAIEKQKVAEKEAETQKKIALSEAEKNAQVSKILMEQKLMEKDSSKRQEQIDNEMFLAREKALADANFYRHG >Et_4A_032132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2016110:2016982:-1 gene:Et_4A_032132 transcript:Et_4A_032132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMHVPDAMDVVMEVGFFRHTVPCHACWRLMKRLKIGPERKLAAAQLVLRRFVAEMLERRKDDSVQARAPVGCGHIVELHQRPRVCGRVREAPGLPVRDAHQLHVRRARHGQHDAVLALLQPHRAPARRGRHPRRAGARRGTQSQSCRRSHGERGVVRVHEAVPTWPDRAQGGAHRRRAAKPSGHKVRAGDKILISLYSMGRMEGVWGKDCGVPAGAVAHRGRRVAARAGAQVPVVQRRAEVVLGKGRIGGADEVCRRGNAVELQFRGAGRARRGA >Et_9A_063313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19791456:19793339:-1 gene:Et_9A_063313 transcript:Et_9A_063313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKKEKETEKKALDILAGSDDEADAGAEDLSKIQINEDRSSKYDRIAYDEHQKKGLEAFLEAQKEVSADDDEDDFFHEKPKDRAGDEDEEEDEEEKQTKELAGEIFGKDEELDENDKFLKDFFLKRPYLEKENKSYLDDIQELSEEEDLEKQEQYEASYNFRHEEAAAAGDVVPDRVLGHSRFVEGSVRKKESSRKQQRKSKEERLAHAKQEQAEELKQLKNLKKKEIAEKLERIRMIAGIEGDAACKLGADDLEEDFDPEDYDRKMQEMFNDSYYEADDVDPEFGSGEEMDLGKPDFGKEDELLGLPKGWAIDQSEEGSSAADEKAPKAKKISLKDKVELEKEMEEYYKLDYEDTIGDLKTRFKYKKVQPNSFGLSTAEILQSDDRDLNQYVSMKKLAPYRETEWKVTHHKKLGKDLILGGQNKEGKKDKTGKKSKSKEGPSSSEPEKDKLPNEQEETDVKRKSSRSERRKKRKKDLKMSADRRGAYGMTDSKRQKTH >Et_5A_041542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24035950:24036467:1 gene:Et_5A_041542 transcript:Et_5A_041542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLSAAATVPQFHGLRGYASPRSVVAVPSMRVGRKRSQGIRCDYIGSATNQIMVVTTTLMLVAGRFGLAPSANRKATAGLKLEARDSGLQTGDPAGFTLADTLACGAVGHILGVGIVLGLKNTGALDQIIG >Et_2A_017059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30595243:30606088:1 gene:Et_2A_017059 transcript:Et_2A_017059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGYDAASELAAFHDTRAGVRGLVESGVTTVPPIFLMPGTASLWSSSPPSRTTAFAVPVVDLFLPRSDAVELVRAAATSFGLFHVTNHGVLSGTIDAAVSAVRAFHEQPADARSAYYSAASTGAVAYSTIPNPTPQPGQAAAFPVLPWRDSLIVHFGDGDDLPPVCRDELLEFHRSLTGFGKEMAALLSEALGLPAERLEQALQVEGLVMVCHYYPPCPEPMRVVGARDHTDASLFTVLAQDDAGGLQVRLGQGGGHDGEWVDVAPVTGALLVNIGDVLKVVSNDEYKSVEHRVVIKSSQDVRISVALFFRAAKWGESDFFGPLPELVTQEKPAYYRSLTSLELRNYRKELGHARTSLDRFKIMAACYDTASALAEFHETRAGVRGLVESGVTDVPPLFVMPATASPWFSPSPTTGFAIPVVDLSLPRAHTVELVRAAATSFGLFHVTNHGVHAATVDAAVAAVRAFHEQPAAARSAFYSAASAGAVAYSTIPNPAPQRGQPAALPPLAWRDSLTVNFGDGDDAAEPEDLPPVCRDELLEFHRSLTGFGKEMAALLSEALGLPAERLEQALQVEGSSVLVCHYYPACPEPLRVVGTREHTDASVFTVLAQDDGGGVGEWVDVAPVTGALLVNIGDVLQVVSNDEYKSVEHRVIVRSPQDVRVSIALFFKPAKCGEADFFGPLPELVTEGRHLRYRSLTFPQTRKTERWPPATMQRPRWPRSTTPAPASEASSSPASPPCRPSSRYRPRRPRSRLRRPPGPEPLRVVGTRDHTDDNLFTVLVQDDVGGLQVRLDQGAGGDDGEWVDVAQVTGVLLVNVGDVLKVVSNDEYKSVEHRVVIKSPHDVRTSIALFFKPAKCGESDFFGPLPELVTEERPARYRSLTSPQLTNYRRELGHARLSLDRFKIMADAGYDAASALAAFHDSRAGVRGLVESGVTAVPRIFQMPATASPWSSSPAAFAIPAVDLSLPRSDAVELIRAAATSLGFFHVTNHGVPAGTVDSAVAAVRAFHEQPAAARSALYSTASTGAAYYTTIPHLPPQRGHPSALPLMPWRDSLFVPSRFDDGGESAAEPDNLPPVCRDALRVFHRSLTRFGKEMTALLSEALGLPAERLEQALPVEGSLMLCHYYPPCPEPQRVVGTREHTDGCMFTLLTEDDGVGGLQVRLDQGDDSAGDGEWVDVAPVTGSLLVVLGDALKVVSNDEYKSVEHRVVIKSPQDARVSIANFFELVKCGESDFFGPLSELVTEWRPAHYRSLTVPQLMKYRTELGHARPSLDRFKIVSDNYCI >Et_3B_028180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11450784:11457148:1 gene:Et_3B_028180 transcript:Et_3B_028180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVCLVDDLCQTGDRQQRWWRTVRLKAEYQDMARVISVATSVMTSVVEKLTVLLGGEYSLAGDVRRGIRFMKDELCSMNAALQRLADVDDDQIDVQTIEWGSKAPASLPRERHGRRPNPSPPPPLSSPPLPRRRLSKSPAQPTWLARMAAARLNQQLLGGGVPRPGCKAVARWSNPPAWWPDLACGGAEKGELAINGDGNSFLAVELLEAASDGVVDGDLPWWQVTAAGSGLFTAGSGVNGTMKGRIWAPHGQIRRRRAVWVEWRARGCCGVWRQEVAGPRLWGAAAGGRRPVILYGAVAGGRWPWLWGAAAGGRWPWVGGAAAGGRGLWLWGAAAGGRRPWLCGREWRSKVRELSYDIEDCVDPTTRPRRAAKKSFLQRSLRKIFALWGDRQIAIEIQDLKARVIEEKERRDRYNVDQYINVQSQQVRLDPRAPTMYEEARDLVGIEAPREEIIGWLKSGERQLKVVSIFGIGGQGKTTLAMEAYRKTEEPFDCRASVTVSRTLDIKKLLRDILFQINRGEYDQSERWDMEQLLPTYLIVIDDIWSVLDWEYVKSALPANNNRSRIITTTRIKDVAKSCCAGIGGHIYEARPLSANYSLEEPFVRVKNAHMNRGNIAGLLANKSRTLDVWLKIRDSVSSATEKDSLVDKMKRILFLSYFDLPHYLKTCLQYLIPEDYSIDLRLTS >Et_9B_066247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8567928:8568378:1 gene:Et_9B_066247 transcript:Et_9B_066247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFVMLSLALVLAVAGVALQGAAAAGECGRVSADEMAQRLTPCISAAKDPESKPTSSCCSVVHTIGQSPSCLCAAMLSSTARNAGIKPEVAITIPKRCNMADGPVGYKCGKYTMP >Et_1A_004716.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:33597797:33600716:-1 gene:Et_1A_004716 transcript:Et_1A_004716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERRTILMGRYEIGRQLGQGNFAKVYYARNLTSGEAVAIKMIDKDKVVRVGLMVQIKREISIMRLVRHPNVLQLFEVMASKSKIYFVLEYAKGGELFNKITKGKFNEDVARRYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRRGYDGAKADIWSCGVILFVLVAGYLPFHDSNLIEMYRKISKAEYRCPRSFSVELKDLLFRMLDPDPSTRISISRIKRNTWYRRSVEGHALKIKHETRDSMCNGEATTSDSTERSNSGENQGASSFQNLNAFDIISLSTGFDLSNLFEEKHGRREERFTTRQPAVTVFAKLNELAERLKLKIKKKENGILKLAAPKEGIKGFLELDAEIFELAPSFLLVELKKTNGDTIEYQKLVKEEIRPALKDMVWAWQSDRHQQRGQSVQGEQQQLPLPSQQTQE >Et_3A_023920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14711455:14716242:1 gene:Et_3A_023920 transcript:Et_3A_023920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRRLRLDARRCRAGRVAVRWPLRPCRIKRYERALITIVNLASAAAVAHFTQEHQAVAGNERNQAMEGNKRLCIVGAGISGLVACKHAVEKGLQPTVFEASAGVGGVWARTLWSTRLQSTSSTFRFTDHPWPPSVTEAHPDHSQVMDYLQSYAHHFDLMRWVRFVAKVVSLEFVGVEEEEVVERWERWSGNGEAFGDGRGVWRVTVEVSGKQEPEIYEFDFVVLCIGRFSGTWRIPEFPDNGGPRIFNGMTMHSMDFSELDRATAAELIRGRKIIVVGSGKSALDITAECARLNGENQPCTMIYRSKKWILDNVSPCGLDIGYICSNRFRELLLHKPCEGFLKNLVPVLLSPLRWVISKALDTYYSLKLPLRKHGILPSYSAYDAISTCSFSTAPSNFFEMVESKCIILKEIKSFRFCPSGILINDEREYVHADLVIFATGFEGDLKIKRLFHSRCFQEIVLNASNNMAVPLYRHCIHPRIPQLAVLGYADSFSTLFTSEMMSKWLACLLEGSFQLPTIKEMEKDVLKWVEFTKMYRRKPLRWTCVQASNIWYNDQLCKDMGCNPKRKTGFLLEWFQPYGPADYTYM >Et_8B_059076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13215638:13217809:-1 gene:Et_8B_059076 transcript:Et_8B_059076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPAPEQTSILETKSKSRNKQDCAIIHRQVLSTHNLSHQRPLGLPCPCAIIEAPTQDKVLLPSGLQAESTLPRHVAVVMDGHARWARARGLPISDSHEAMQHPLMKMVRLSCAWGIPVLTAFACSHENMKRPPRQRLTTSWRCLTGSSAKAFPSCRATKTSQANKFSYPDLIIRTSGEQRLSNFLLWQCAYSEIFFSDAMWPDFGEAEYLQALRSFQTRDRRFGVRK >Et_4B_036324.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:17663633:17663941:-1 gene:Et_4B_036324 transcript:Et_4B_036324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSWWRGGRRGGSTEQRGQPTPAAAGSVASEVAAASGAHGAVEVRRQASDATVFEFGSAAESGAALTLAGYCPVSDELEPCRWELVPAAGEGAPQFRIVF >Et_3B_031159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19230963:19235086:-1 gene:Et_3B_031159 transcript:Et_3B_031159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAAIYVFYVLALCQGSLYIMASILGVFSFFPRRSLVRQSKFCGRWGVKAIDLYYQCAYTTCMDTGLLAARKTISLASFALESLQRSSSSSEVQLAGVLLIDNLLSQENDSREKLKSKIISSNKALSMLIGMLGWSDVRDRDIRLFTARIIAKLAGSIRVVVFPGMVKLVSSLLDVGNQPPRQQDSLLNSAQVTTDSGTAADLTNGETVVANQPSGQGHSAHTTSGTAGLTWIRRCWQRMKDRWSIPEEPPLTHQDSLSVLGMVILEQLACDLDNCAEMLKAKDLFSKIIGLISYTADNESNNYEQQNAVVCSSLHLVRRLASSGGKFGVTVRQKLWESPILIDNLAGVLEDTRSNPEVRTPTMYIIAKLSLDENARQEIGSTRVIVGKLIRTFLGRDEPNDQSQRMAAGEALTNLTMESTANCSAILEEPGHELVKSLKDMLCEDECRIYMHAAAILMQNLCAHSRDKLMSHPGAGEHLRSAFPAANVAGLVKKLVSTLNSNRKPSPECPRMRRVIVEMVISLVRSYPVYRNMLVEEGVIEALSKTARTPSMVEKYRVFSGDEGVVVERGIPLCDLADSAKGLIGSANALRDEDLEEITNQHLYPIIAYSELTESHCLLRVEAFNEYRYPSIEKKIWKSLFHEANEKHPDI >Et_1B_012976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4494780:4496809:1 gene:Et_1B_012976 transcript:Et_1B_012976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRELLLLLVSTAALAGLASASGPFLSDRSTSVLVWKIMASMLIAAINHLLLGCPMDFEFQNYTIITSKCKGPKFPADKCCSSFLEFACPFKDYLNDDSSDCASTMFSYINLYGKYPPGLFASECKGDKNGLPCANVTVKDQTAANGGYRAQSSSVALVTLICVLVTLLFH >Et_2A_016809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28364340:28364835:1 gene:Et_2A_016809 transcript:Et_2A_016809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAEGSPRSVSRVHAVSAYLWKALAAAVVAASSSKRGDASSRMGWYVDARWRPLADVAGMVREAITSVDYDERVRELVDWVEEHKPQVDVVETATVGLGSPTVSRRVWASFPLDTDFGFGHAALAMPVWESEGLCSVNVPV >Et_8B_059467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18196716:18197001:-1 gene:Et_8B_059467 transcript:Et_8B_059467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SARVGGQKCASGGYDINLTLRRYFCKAEICKNGRNVASQTAFQRKQFLGNTGNTENLFERRKQ >Et_9A_063309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19666636:19669432:1 gene:Et_9A_063309 transcript:Et_9A_063309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSTRACQFGINAGTAVHFPRCPFHLSGDVALLGVRDELGEAEVGDLGFQAVVEENVGGLDVTVDDRRVGELVKVLLLNAAEGPTGDIWVQANRVADKPIIGNLQLIKLDQVADVRRNCSPKIVPI >Et_4B_040104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9865206:9868607:1 gene:Et_4B_040104 transcript:Et_4B_040104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDETSVSAKLGRAAVNGAAAGAAAPSINRWNGRPYSARYYEILEKRRTLPVWQQKDDFLRVLRDNQTLILVGETGSGKTTQIPQFVLEAEGLSNRSMVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSHKTVLKYLTDGMLLREAMADPLLEKYKVIVLDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFSSAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKINKEVNNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPAPLKEGGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFNEDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTNLGEMMSEFPLDPQMSKMLVISPKYNCSNEILSISAMLSVPNCFLRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDPQWCYENFINARALKSADNVRQQLVRIMTRFNLKMCSTDFNSRYFMQVAHLERTGHYLTVKDNQVVHLHPSNCMDHKPEWVIYNEYVLTTRNFIRTVTDIRGEWLIDIAPHYYDLSNFPSCEAKRVLERLYNKRERERAANRG >Et_2A_016267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22829568:22839288:1 gene:Et_2A_016267 transcript:Et_2A_016267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAFGSGVNFFLQSWCISLRGPLYSAMFTPLSTMITTALGAIFLQEELHIGRGHCYHRRPVRRAVGQSRGCKLIAMAVEPYKPCAAMVATQCIFAAMTLWVKAAFGRGMSPMVFVVYRQAVATLVLAPIALVANRTRLKETKLGTRGFFLVFVAALFGATVNQNLCYQGLHLGSSSLATTMTNLIPAITFVMAVAVGQERVNIGEVSSIAKISGTVVCVGGAATLAFFKGPKLLNNSLADPSMPLNTLGSKWVMGALLLMVSSSCWSFWLILQSAPVAFFLLPDQSAWRIHSLFELSCYTFAGVFGSGVNFYLQSWCISVRGPLYSASPSAPCSPPCSQPSFSTKSCTGGVARRSEWAARYGPCVAMLLVQLFYALVDVAQKTANGLGMRPIVFVAYRQAVAAVTLLLVSVVAGRWSLRQMAVGPRAFALVFAASLATALGQFFYFQGLHLASPSMARATTNLSPGITFAIAAVIGIEKVDPRSVRTAAKIVGTVICLAGAALMAFYKGPKLLGEVLLSAAGDWVKGGIYLVGNAACVSVWYILQMFVCKSYLDPLSLATWMCILATLQCTVMAFFIEPNFMEIWKLTSFWEFPCILYGGVFASGANFFLLSWCISVKGPLYSAIFTPLSAVITAALSVTFMSEELRVGSILGACAIITGLYMVLWGKADDAKTSSSDSKGIVDTDYIGVTVECRTNLSEPLLSENADENTQT >Et_2A_016344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23669022:23671497:1 gene:Et_2A_016344 transcript:Et_2A_016344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVTLTAVVLSPLLALLLRAAWVVLSYYYLMPLRIRRVMARQGVHGPQPRPLVGNLRDVSALVARATADDMPSLSHDIVGRLMPHYELWSRTYGKLFVYWYGSEPRLCLTDTAMIKEFLSSKYAAHATGKSWLQRQGTRHFIGRGLLMANGDSWSHQRHVVAPAFMADKLKGRVGHMVECTKQTIRALRDATSRGGVGRRAGEVEIGAYMTRLTGDIISRTEFSTSYDTGKRIFHLLEELQRLTARSSRYLWIPGSQYFPSKYRREIRRLNGELESVLTESIRRSREIADEGRTTSAYGRGLLAILLAEMEKKKEKKNQQAGDGLSYNAQMVVDECKTFLFAGHETSALLLTWTLMLLATHPEWQDKARDEVQRVCGDEPPSADHLSKLTVLQMIIQETLRLYPPATLLPRMVFDDIRLGGGSDGNGGLHLPRGLSVWIPVLAIHHDESVWGPDAHEFRPERFAAGSAGRRAAFLPFASGPRNCVGQAYALVEAKVVLAMLLTHFRFAISDDYRHAPVNVLTLRPKHGVPVHLRPLRP >Et_3B_029521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25734487:25741234:1 gene:Et_3B_029521 transcript:Et_3B_029521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEKRGYKLRILLLRLPRRKRPSCEAILAGICSNSRVGACALLKFGISLTWHPKIEEFVAHDAEVRSLAIGKKSSRVFITGGSDRKVNLWAIGKQTPLLSLSGHSSSVEAVQFDSAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTGVEFHPFGEFFASGSSDTDLKIWDIKKKGCIHTYKGHRGAIKTIRFTPDGRWVVTGGEDNIVKVWDLTAGKLLHDFKFHSGQIHCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPEDTGVRSMVFHPDGKTIFCGLAQSLKVFSWEPVRCHDAVDMGWTNMADLSIYEGKLLGCSYHERRVGLWAADISLIGPYALGVLPKANFFAELVHSIDDTPVKSIDNTSNSGTALATSHPKSSYKVKELGIAESRVQGSHLTPSSTDKIKKERSSGITRRPNSSLKSSVQSATPMRRMKFADSPSTNPRTVQRNSGQRDVSLTSRTGIVNNSLTTKKGHFTESALVKDIYTTTQAFSAPIVVPRDILEDKATDSVCSGRTVDPDDFRDLVHTRKLPLSAGATDCDTSVRSILAEPDVCSEGLSGLKFSFGISPYHKKKEYGIVDKGHITQMAEQMDRAMLLEHPVQSNDDKFAVPLGKTKSLVERFEKREPSSIDCSRQTDSCGDRAVRTDSPSSHPAESNQTYEKDLSTVDEVMTPINLTRNHDEFINSMKLRLTKLEMMRHVFEQSGVKGAIAAVTKLPDNAVQADLVSALKGKLDLFNLEIFSSFLPVLAGLLSSKTERHATVSLEMLLDLIKIFGPVIQSTLSANSAVGVDLQAEQRLQRCTRCFNHLQKIQQVLHPLIMRGGQQAQLAQELNLSLQDLVVI >Et_1A_004984.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21232150:21232191:-1 gene:Et_1A_004984 transcript:Et_1A_004984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGQHLDHAAPA >Et_4B_037227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16925382:16929979:-1 gene:Et_4B_037227 transcript:Et_4B_037227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLSQANIMTRKAAEIHAQLENNSVLRGNSMKSTNNSLLKYSVKGILLNAGICQLCRGDPVAINNSLEQYKDIDPTFSGTREYKLLADLAASTDEGDVAKFTDAIKEFDRLTRLDSWRTTLLLKAKNEIKKRAILLHDTSCLVSAQEPLQQGTEVSALDYGPVRTNRGIMATATSSSSTTPSRSATPTGTNYSTSTATTSTAATSTATTSTVATSTATPSTTNTAETPTISITGTRSTSMTRSISTT >Et_3A_026611.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:10450185:10451417:1 gene:Et_3A_026611 transcript:Et_3A_026611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRRHRDWSLLPPDLIALILTTLEIPELLAAAAVCRAWRAAYKADARIDAAPLFRAPCLVFHAGDDPASKTATLRSLAGGDRRVHYSVSLPDPPFPTRYVMGSSHGWLATTDLLLVNPVTRAQVRLPPIGTLTNVTSRVRRGVFRSYRVHNINFYGSMRRPHVQENHFQSYRPDEGCFYFYEKVVWSSDPTSGNCIVMIIHAPNNTISFARVGDARWSLLHAEVFCQDYDDFFYNGKDDLLYAIRTNNEVHTIDLHGPFPIVKVIFKRAQSPQNDYKYIVCALWGDILQVGLYDDYDEDADVMSTYKFVLYKMDEKIVKVKDLWDYALFIGFNSSFLFLPTEDCPMIKRNRVYHADDLIDDRYRRKIYAPRRMVEVNLADGSINDVWPSPNSWSNWPPPVWLTPSFSQ >Et_3B_031251.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:22819282:22819911:1 gene:Et_3B_031251 transcript:Et_3B_031251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADAFAVPADPWSLARGYFSPATLFLVLNVVIGTIALTSRSHRRDDDDDRYHQHHCHDHQQPQYAPPPPAAPLARTSSFMDRLRSIGLYRFRSGDFPPEYNHHHYISATTDDVHQYARSRSEPAARPPVRKADKKEEAVTRAAVKKPTSEVKKMERAPAQPRRVADDAAAVSVDARADDFINRFRQQLQLQRLNSLLNYKEMLNRGL >Et_10B_002394.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17222982:17223470:1 gene:Et_10B_002394 transcript:Et_10B_002394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PATATDADARRDRGGEGDQLAARAAERGGTAVARAHARRAPPPPGCRALGHRHRRRVRRCDGRRGAPRAADRAGVTAVDSSALDLDLPPSMSIRRRRPRFTAVDLGPLSPSPSPRRRPCPRPSPARPSVPSHDEASPSSAPRPGSVVAVAFAPAGPVLKRRR >Et_9A_063317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19865230:19866502:-1 gene:Et_9A_063317 transcript:Et_9A_063317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRIAQLAKKWQRMAALRRKRLSMKSPKEAEECSTAVAGKGHCVMYTVDGMRFEVPLVYLGTTVFSELLTMSQEEFGFSSDGGRITLPCDATHGSSTTVPASEAASRIDQLIPLRLHPKPYGRAQWRSELTSSRINSTREARGSRSWEQSSRRPDRREPWSRARESWLVAGLDSLSGRIIYPGQGRDGQGKGLGVGRRRTAGGGGAEREGHQREDANWGYIC >Et_5B_044722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5030820:5038205:-1 gene:Et_5B_044722 transcript:Et_5B_044722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASSDEPARHKMAKKPKYEKEEKKKQKKKGERPATEDAPPSGAAERKKRKHEEGHEEKRDGKKKSKKEGKAAEAEAVDGRDAKMKRAMEDERFAAARTDPRFRPMRKKEAKVELDSRFSSMMTDPRFASAAAPVEKRGRQRKKAARDNPMLHYYLNQEKEEEGGNKKEKEEKRKVIEEDGEEEEEKDYDEEQEEEESSSSNDDEEDEYIDDDADSIGSDIAHYLMARHDDTPMIDKETHRLSVVNMDWDHIKAVDLYMVMTSCLPNGGRVLSVSVYPSEFGVKCMEIESTQGPAALVDANVDDTDNREDIHDHDDDNDENITDEDDDEEQEVDSDKENNKLRAYELNRLRYYYAVVVCDSSATANHLYMTLDGTKFLKTANVFDLQFIPDSMEFKHPARDVATAAPPNYKEPDFETRALQHSRVKLSWDDDEPERKKVLRRKFTDDQLDELDMYLASDDSASDDDDVGNSGDESLPNGASNRKLTKEERLAILLQGDKSDEEQSDDQDMEITFNTELEDLSKRIVERKNNEEKTVWEKHQEKMKEKRKARKRGLKESDDDYSSEDEQDGNDDLFAEEQSDEETKPSKSKKQKTKTKDKAKGKGKDKSTEENLEQEATKEELELLVAADQDTTNGAKGYNLKRRKGKKGKKGKEESVEDKLPDIDLSKMRGSRKCSHLICTPWILPIPSTRVFMRKQAVKEGARAGKADMEPPVERRSDLGGTLPLNDAATKNYDQKPDGASVEKLQMLSAVKSLKRNLSSFKHASKGDR >Et_8A_057670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5951413:5953338:-1 gene:Et_8A_057670 transcript:Et_8A_057670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEMTATEVAELLDLKPHPEGGYYAETFRDGSVSLTTSQLPPQYKVDRAVSTAIYFLLPAGSVSRLHRIPCAETWHFYKGEPLTVFELHDDGHIDLTVIGPHLEAGQRPQYTVPPNVWFGSFPTLDLESFASDGSFLVKSGKRDPELHYSLVGCTCAPGFQYEDFEMATFEDARSISPKAEPFLKFLIPSTE >Et_8B_059405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17683969:17692251:1 gene:Et_8B_059405 transcript:Et_8B_059405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALRPQSPLCPRSRPALVIRPAAGVVGGGGLAQELFLDGVPNIWVGADQVDAGQSFMRNNKHARSRIIRYRCTVSSSGCPNSKSRRIVSPNIKVTAFRGYAPRLTVESSSKKREHNDSDEEHVDKYNMLLPTGVPERTGGREVESHESGPLQNASSSSTLGEVDAAEEAEMDIFEGDFPENSLNNISRGQADAVNKAQSEEDNFEVDLSRISLELDAVNEAEGKNDVFAVDMAGHALNNVTMGEIDAVGEAKAEKEKSEVDLQEIALKSAEAGKMDAMDEAETKEDLSVQDSPGNALSNLTMREMDAVDEARSKEDISVVVSLRNPWSDVIGGEVDAMDQAKAKQDKFESALSRTALGSAALDEVVAVDEAKAKEDIFLVGLSGIAPNSNAVEELEALDEVEVEEDIVEVDLLGFPSINATTEEEDYMDEDRSIQEAFGVDLSDNVSRYEAVDSADETVAEEDNYQHKYSLMSETSKEDKAIDKTPELLKPGLMSLVRVQGPDRLFFSEKGSADDFHQPVVDIHNEVQKVTSFDEQNQSIVGFPKQDLSIAHLPEKSHYIIGSPKQEQSIVGFHKHDQSIVGYYRQDTSIVGIPNQTKSIVGSSKLNQSIVVYGKYHQSIVRFAEQKQAIVSFHKQDLSVVGTSRDVHTKQVAVVGSDDARHVRGVEANIGDYTSPKSDVGKLNVKFDVVNLLQKNMVNIIEEAEMTDTKKIDEEHLLMVEEQHIVTKEGTEVQMDELLHLLPKEEMSWAEFEAGIINEKEQYNVDETSMPLEPDIEDSEADVDPKAEQRMLQELAEKNYSLGSKLFIFPEVVKADSTVNLFLNRDLTALANEPDVLIKGAFNGWRWRLFTERLHKSELGGSWWSCKLYIPKEAYKLDFVFFNGRTVYENNGNNDFVMQIESSMDEHLFEDFLLEEKQREQTVEAERRRQTDDQRRMEEERVANEAVRAQAKAEVGMKKKKLQDLLKSARTSVDDLWYIEPITTRQGSAVRLYYNKKLRSLAHSIEIWIHGGYNNWIDGLSFVEQLVQHSDKDSGWWYVEVVVPERAYVLDWVFADGPPGNARNFDNNGRQDFHAILPNIKIDEGYWMEEEQRIYTRLLQERIEREEAIKRKAERSAKMKAEMKEKTMRMFLVSQKHIVYTEPLEIHAGTTADVLYNPSNTVLNGKQEVWFRCSFNRWMHPAGVLPPQKMVKAENGSHLKATVNVPADAYVMDFVFSESEEGGIFDNRNGLDYHVPVFGSTSKEPSKHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHNVEVILPKHDCLNLSCVKNLHVRQSFSWGGTEIRVWSGLVEDVSVYFLEPQNGMFGVGCVYGRNDDRRFGFFCHSALEFLLQSGSSPHILHCHDWSSAPVAWLYKEHYAQSNLANARVVFTIHNLEFGAHYVGKAMKYCDKATTVSNTYSREVSGHGAIAPHLGKFYGILNGIDPDIWDPYNDDFIPVHYTSENVVEGKSAAKKALQQKLGLQQNDVPIVGIITRLTAQKGIHLIKHAIHRILEQNGQVVLLGSAPDHRIQNDFCNLANTLHGVNYGRVRMCLTYDEPLSHLIYAGSDFIFVPSIFEPCGLTQLVAMRYGSIPIVRKTGGLYDTVFDVEHDKDRARGRGLEPNGAITAWFDAREWFQSLCKRVMEQDWSWNRPALDYIELYRSAGKF >Et_3B_030268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31962210:31963246:1 gene:Et_3B_030268 transcript:Et_3B_030268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARRAFPRLGLEQERLACQIRPVHLASRAGGEDEGEGISRRADCSSGERPVARHGRREADEGAREGVEHPRGLRGLPVGEDDGAAGRGGDQVVAPARGGGAGSCGGSGPVEEEAGAVVVAAADLGGVAELVEHDPPARAEAGVERAALGVGGGGAPAAADGAEVHVVVEVVVRQQPVRHAVDDVRRKRRPVRRCHGVGCGGVGDAGCGGAPLSAPSAYETDIYMHDLGREIVGDEDEEEPELVSAAIETDCVWRRIVGPFTILQLLSNYTMDIPRHLIRWENHSFRNSRYTGDISEVDFYQSYVDYVEHGEDSDESYYGQPDWKYDQYKRDF >Et_3A_025877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33662743:33663694:1 gene:Et_3A_025877 transcript:Et_3A_025877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKSAAASCLSDDLMVEILSRVPARSLLRFKGVSRSWRELISHPDHRGRIAQTVSGFFYYTVTPASSHRRQRICASFVAMGTSSDGLPMQIDRAFLPGMEVLDSSNGLLLLRGYRSSPAGYFYVVCNPTTPGEFGGRRPIFYYQYHQQEKRRTRSAALAFDPDVFPLVEKDVNKHHLVEAVEIYSSETGRWDLRNSGWVGGNFAYLNGAIYFCAGLRPPPPCLRPRRRRRAHGADGQSQCVVGKHLAVVAVHQDCNTIFLFDRVRWKLIAYDTDRRDASVACEFATALGAHTCYPFFPYVPLYSPQAASL >Et_2B_022175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10616836:10621150:1 gene:Et_2B_022175 transcript:Et_2B_022175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLQTMKSVVLKAPVLLEILRHYQELIKATIVMKYMKLGTKPDTFYTEEAIRSVVSEIPPDLIIHVNNTKYQLHKFPLLLKCGLLQRLCSDADEDPVPVALHDIPGGEDAFELCAKFCYGISISISASNLVPALLAARFLRMSEAVARGNLAAKLESFFDSCVLRGWKDCVAALRAAWRVSGWSEARVVQPCVDAIVEKILTPPAQVRWSYTYTRPGYDEEGRRRAQQPAPRDWWTEDVSELDVEVFRSIISTVRASGTLPPQLIGEALHVYACKHLVDPLRAAADAAYANGDLHHRRHQKAKSSATGGEVEETVARQRRVLESIVTMIPGEPGSVTGRFLLRLLRVANFVGASPSTRAQLVRQAGAQLHEAAAADLLIPAPGDARAYDVGAAEAVLEHFLAQFQRPAHSDERRRMGAAMEKVARTWDEYVRTVAALDAGLPVARFVELAECLPDIARGEHDGLYHAIDTYLREHPELSKADKKRLCRLIDCRKLSPEARAQAISNDRMPLRTIVQLLFVEQERSTMAGAGASHTVARTNDDQDQPPAPAPSSAGHRSDAHRPRRDRGLVADGGGLAAMTRSLSVSTKAEPATPSTARRKERTPEERGTRMRNKQ >Et_3B_028785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19093170:19095172:1 gene:Et_3B_028785 transcript:Et_3B_028785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKPAAVSRKWTLATSLCSLACLFLLSAALLAAVGHSPFQPRAAPWDRFSAVQKAAAPAPRDVAPPPPPAGRRDAVVAPAPEDLDGDQGEVVEDAGLAAPAPAPASEEEDNEECDMFDGAWVRDEEAWYPLYEAAECPFLSDQVACRRNGRPDSGYEQWRWRPRGCAGRTRMGGAEALELCRDKRLVFVGDSLNRNMWESLSCILYAAVPDRSRTRIAEDAGAEIFRAMDYNCSVEFFWSPFLVKLDTTSNGTRALKLDQLSPMLQRTLGADVLVFNTGHWWTHTGKLKAWDHLEKDGKKVEMAGEEAFNRALRTWARWVDQNVDQSRTRVFFRSVSPEHKWTNWCHNQTSPISKGAVASWFPKSLVTIVERNIRVMRTPVTYLNITHLSELRIDAHPSVYTVDREGKPLSTEQRQQPIKYGDCSHWCLPGLPDTWNVLLLASLRTPLSNVHLLG >Et_9B_065716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9685679:9686057:-1 gene:Et_9B_065716 transcript:Et_9B_065716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LQAEDAADVLAKLLEDVVPGVVVFVRFDRADSGDEVAPSTGCDGEGQLKQSGQLSRGADGEGWLVGAVGEEIHLRGAAARQATQQPSPPSHGRLGMTGAWGEVIGFGVPARVCGSGGEWLK >Et_4A_035848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7282390:7283887:1 gene:Et_4A_035848 transcript:Et_4A_035848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAEEMQVERLHDEADPGGADTDKLSYEIFSILESKFLFGYTDPHQQWMPKPAPAPAPAQASAAVAVPGKAAQRGKVCVLCVDGGGGGLRALLAGRALAHLESALRRASGDTDARVADYFDLAAGTGAGGVFAAMLFSTHSRGAPLFHADDAWRLVADHAPRLFRAPPGAGSSLFRRAKKRPLAAPTAALEASMKATFGEELTLRDTIKPVLISCYDLKTSAPLVFSRADALENESYDFRLCDVGRAAWSEAGRFEPAEVASVDGATSCAAVDGGPTMGSPAAAAITHVLHNKHEFPFVRGVEDLLVLSVGGCSGGGSGAAAEADLRRMRRWGPKEWARPIARIAADGAADLVDHAVARAFGQCRSSNYLRIQAKRETMPPCRPDGEYDPTPANVSALLAAADEMLKQRNVESVLFEGRRVGEQTNAEKLDWFAAQLVAEHRSRGSRIAPTVAFKQAPHKPSAALG >Et_4A_032357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31652299:31653684:-1 gene:Et_4A_032357 transcript:Et_4A_032357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEPSRSATTIAKVRCYHVLNIDGYSRTLNSHGDRPAFSSSPFRAGGRTWHVSYRPMGSPNRPENTEFISLYLLLDDIVNEPVMAEAPFTLLNRGGTPAREAFVGYEKFIEREELETSEFLNDDCFAVRVHVHIIREAPYVTVPPPDLHRHLGHLLTSKEDADVEIEVGGERFPAHRLFGPMKVSDTTKVIRVHDIEARVFDSLLTFMYTDAWPEHLQEEDDDESASAMTQHLLVAADRYGLQRLKLMCEDKLCNRVSAASVTTVLALAEQHCCARLKKACFEFLLRSSTTEVLIGSQELEFLAQSCPAVLQELAHILKLKLRFSCGSNSGAVVPSVVGPRTLARLSRDRLRLPRHLHRRPLPGHFRQPHRSRTTAQVLLKGSRVSSDGNRFDVPSSAADSSSSGSAADASPKFAKPVNAVILAGMFVAACLFSR >Et_1A_005762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13743667:13753575:1 gene:Et_1A_005762 transcript:Et_1A_005762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLLAVAATTSVEVGAQDTAFLDLHSAARADVGVAPLAWDDAVASYAGRENLFVGSTGASWTASDAVGLWVAEKQYYNCTDNACTAPAGQSCRHYTQVVWASTTKLGCATVTCDGTGGTFIICEYDPPRERAGCGQFNRSGKYTLPLIFLCCELLLLINNESCRASTCLGYAAQNSPQDFLNLQNAMRAAYAETYAEKRKTDCKKIPSGGPYGENIFQGAGSISWTASDAFFSWLGEKQYYNCPNSTCESGQNCGDYTQLIWVNSTKIGCANVACDSNAGTFIICNYDPPGNKPGERPYLGCLQAGTGSTPPPPVIVYADTDNGNGTGPSRNSRRANRKDSNPPILPIVLPVLTVLGLILAISIYICRRSRIRRKLSLTIITCSEDIEDLKSVLLDPSVIRAATDNFAEENKLGEGGFGQVYKGLMPDGQEAAVKRLARGSKQGLRELKNELLLVAKLQHRNLVKLIGACLDAQDKLLVYEYIPNKSLDTFIYDDQKHDELAWDTRYRIICGIARGLVYLHDESRLKVIHRDLKPSNILLEMDMNPKISDFGLASVFEGDHSKHITRRVAGTYGYMAPEYAVLGHVSTNVSSSETMMAQHLLSYVWDNWTRGTITEIVDPALRHNCDENVVLKCIHIGLLCVQESPNDRPSMSSVILMLVGRSTTLPAPSRPAFLFRLDDVNNTHHKNGMDLARSKSSLNNVTVTSLTDSQPGHQASPPSSAGSSSWTVTGTLPSGGACMRKTSRMQGMCGPGTAQLPVPERLHLRRLTAQRQRLRTGVPAAEFPTSCCSSDADDDASSFTLVEMPNTTWESAISYKKFPSVTEEERCREYCLRDCFCLAALMINGSDCAELGALVYGRQGNDVVGTNAASSSCRVGRSAASSSTLRSGPHGAGAQRPRCPSPGDSSTSTTAASPPSSIATSSPTTSCSTTLASPRSPTLGSPSYLGASRCTLPSPTSGGTRGYIAPEWFRGDARVDTKADVYSFGVVLLKMICCRRCQESVPDHHLSNGDDETVTLFTWAAQLLDARRTELMLHGDTSVDDVEDIERESKGLHVWPYGASRRTRCYGQPSTRWPVQMLETSTRAQVEGLPSPPLCFAETLPSIPQFKIE >Et_8A_057041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20395588:20397140:-1 gene:Et_8A_057041 transcript:Et_8A_057041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQSGMAPPQPHPGMAPPPQAHGGPGAPPPWGGVPPPMAPPPQQAPQYAPPPQQYQAPPPPQMWGQAPPQTHQASYGQAPPPMAPPPQPAAYYGAPPPAQAPAHAAAPAGPNEVRTLWIGDLQYWMDENYVYSCFASTGEVQSVKLIRDKNTGQLQGYGFVEFITRAAAERILQTYNGTMMPNVEMPFRLNWASAGEKRDDTPDYTIFVGDLAADVTDYILQETFRVHYPSVKGAKVVTDKLTTRPKGYGFVKFGDPNEQARAMTEMNGMLCSTRPMRIGPAANKKATGVQEK >Et_5B_044349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2304569:2308632:-1 gene:Et_5B_044349 transcript:Et_5B_044349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMTLQRMVEYFNNNSAAANKAMGNPSMNFPECVQGFMDSKMRKSQKHQVVCMTTEDKNCYLKEVDKMFKVQLKQKHPSFPRNPNPVTPPFGTRFPNPIPPLPPRGCPPVSRAEQSVAPRWPATEGQAASPKLLDRIGMNHSGSSRIVRGRGRNKRKWTADEDEELVKALCEVSADPRYKVEGGGFKNCYSQGIESILAQKLPGRGIKASPHVDSRLKVMKRKYYSIKDMLALPGFSWDGTRKMIQCEKHRYSEYCRDHSRAKGLYGVPFAYFDTFDAIYDKDRSCRDGLEGSEEAIADTENENTNEVGNVEMDDDSMPVDPPCHSVSATLSSQNQKKYKHDGKRNRTEANFHSLDMFKDVHGRFQSAIQHVSTMAAAMELFKDVHNHFQSVVQHAGAMAAAMELFKDAHDRFQSVVQHVSTTSAAMDRFKDALDHFQSIAQHGKAMTAVMECDADVQKSMCEEPQRKAKVTAIAEVQKLGFTGTEVVTAASIFAKEPNQMDMFLALPEIYKKDYILQILT >Et_7B_054558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:23104635:23109613:-1 gene:Et_7B_054558 transcript:Et_7B_054558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPADAGSSGGDVSIQMPAAVSVLQRKERAATKVEMRLNRFVRFVVFVEWAGNAFGALAFLWATGVLLGGFCTSLKSQDFWFATVMIFIEAFRIFSRGYRLDHESLFGTTGALKWLSSSFSRMLWRPQEGDEVVLIMGLWINLTDHLTNERRIIGLFAAISEVALLILLSRRPRRPGRWQIWALLIACLVAATHQSLRLFNSKEYYSLTPHRLVYLLATLLGYITSVVAVLLVNFRPRKLSYLTSTPSGRRLVSLAKLVSALWLASGLVLDMFPITVLKPAAAYAYYLFNTPFTIAVLSLGSLQKPADSPFSRWIDAFVHILFLWDLVFPFPAYFFYFLSAHPSQPVKTVLSFILLLLLLLIGNLQIPAAIVQVVLSSWRFQRLLDHHDYSPLPEGSSENMVPSIEVFYVLTLCQGSLYIMASILGFFSFFPRRSLVRQSKFYGRRGAKAIDVYYQRAYATCMETGLLASRSTMSLASFAVESLRSNSSKVQLAAVLILDNLLSQETDSREKLKSRIISSKKTVSKLIGMLRWSDVRDRDIRLFAARATTKLADSIRIVEIPGMLKLVSALLDAGNQPARHDDSLLHAQVTAGSITAAGSINAENVVANQPLVQEYLAQTGSCTGDNAGSSQPRDGQHGERYKNRGCSWVRRCCQEMKERWSVLEEPPLTHQDSLPVLGMVTLEKLACHLDNCAEMLKATDLISKIIGLISYTTCNKSNNYEQHKAVICSSLHLVRRLASTEGKIGVTARRKLWESPLLLDNLTSILEDNCSRPEVWTPTIDIIAKLALDEDARLEIGNTQVIIGKLIHAFFGPNDQSLRMAAGEALANLTMESTANCSAILEEPGYELVKDLKDMLCEDEYRIYMYVTASLLQNLCAHSRDKLMSHPGASEHLRSALPAVMQNIVSAEGKQLETLIGLASQICSIPECFVFDLDSQTNIAGLVRKLVSALNSNRKPSPEYPRMRRVIVEMVISLARSYPGYQTMLREEGVIEALNKAARTPSKVEKYRVFSGDEGVVTEYGIPLRDLVERAKELIGSATPARGAQPGDRCQQSQNFSLP >Et_8B_059977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4626398:4627566:-1 gene:Et_8B_059977 transcript:Et_8B_059977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRLYDLDASRYGTASELKSLIAAFHDRGIQCVADIVINHRCADKKDARGVYCVFEGGTADDRLDWGPGAICSDDTQYSDGTGHRDTGEGFGAAPDIDHLNPRVQRELTDWLNWLKRDVGFDGWRLDFAKGYSPAVARTYVQNTKPGFVVAEIWNSLSYSGDGKPAPNQDQCRQELVDWVDAVGAPAMAFDFPTKGLLQAGVQGELWRLRDGNGKAAGLIGWAPEKAVTFVDNHDTGSTQKLWPFPSDKVMQGYAYILTHPGVPCIFYDHMFDWNLKQEISKLTAIRDRNGIHAGSKLRILAADADMYVAVVDEKVMVKIGTRYDVGNLVPSDFNPAAHGKDYCVWEKGSLRVPAGRHL >Et_7A_051531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1991913:1995227:1 gene:Et_7A_051531 transcript:Et_7A_051531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLIQLRHAPSFMAAGAARPVLAVATAARSRSRKLMRSHACHGQAASSGKPRAAPARAVSGSEVETAASSTSVQVGGKLLLQNFKSTSNQLRLKLSLQLVSANVAGLGEAEIGVTLNWDEKLGAPGAVIVKNHSDFEVYLKLLSLQNGPAFVCNGWVYPVDKHKQRIFFTNDAYVKDQTPVGLRRYREDELRVLRGEGARTDQPFQPWDRVYDYDVYNDLGNPDLRPDLARPVLGGKEEYPYPRRTKTGRPKATKDTEVESRAPLDKEIYVPCDERVGTSHSPAPNLGSPGEGGHFQSFENIYHLYGSDAPLPIVPQVIAANRENWRKDEEFARQMLAGANPVSIKRVTKFPLTIELDKKVYGDQDSKITDGHVEKNLGGMTVQQAVDKGKLFVVDHHDWMMPYLKRINELPGEEEKKEISKRKAYATRTLLFLNDDWTLKPLAIELSSPNPENEALGAISTVYTPPEHAVDVTSDSFTTWELAKAHAAVNDACANNFIYHWINTHATMEPIVIAANRQLSVLHPIHRLLKPHFRKTLHTNATARQIVIAAGDQRPDGSIFRGLHEVMYLPSRYVMEMSSKAYKSWNFTELALPNDLIKRGLATGDPKNPESLELLIKDYPYAVDGLEMWTAIKNWVTDYCAIYYADDDAVKKDDELQRWWDEVRNEGHKDHRDAPWWPKMSSVDNLVETCTTIIWLGSAYHAAVSFGQFDYLGFAPNSPTLTTGAMPPEAVAEVSEKEFLGSITPVTEAVTLAKIAMGPLVLSTKGEVYLGHRPDTERWTSEQRAAAALAAFQERLNAVAKNIEERNADSSLKNRGGAVKVPYRQLMPTEKPNPVVPGIPNSITI >Et_9A_063527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6125991:6127744:-1 gene:Et_9A_063527 transcript:Et_9A_063527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLWSGCFPLLLPPCDPTSSSRALVTVDHHHQSPRPAAAVRTVRQPPTLALLFRFVLYKPAASPTHITPSKGQGTHFNSPHLDSAHPTHHPLAEPNQIPVRGRGGRRQSKPYPSMEPSPAKSVERLGQRLVAPSEPTPSGPLRLSWLDRYPTQMALIESLHVFKPDPARPPAAPRPAEAVERALARALVDYYPLAGRLAVSDDAGGTLHVDCNARGVWFVEAAVPCRLEDVDYLEYPLQIPKDDLLPHPLPRPGREEEDKLILLVQVTSFGCGGFVVGFRFSHAVADGPGAAQFMGAVGELARGAARIALPPVWGRDAIPDPTNAPVGALPDPAGAKRLEYLAVDISADYIDHFKSRFAAATGGGRCSAFEVLIAKAWQSRTLAAGFSPDTPVHLCFAMNARPLLGARLGAGFYGNCYYIMRVSAAAGKVAESSVTDVVKLIRDGKKRLPSEFARWAAGLQQDDDDAAPARDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWAHKPGARLITQCVTSDRVTAFHDAMVDMN >Et_8A_056798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1735984:1740540:1 gene:Et_8A_056798 transcript:Et_8A_056798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMYPASFPSRPPSLCRTSPSEALNVRGHHHLPKLKSQTFLSPAFFLRPSGVSNKWHHDIPFPRGHLALKSFNGEVVDERKMEPPRLLVLLLIVVVAAAGTAPAPSAALNVRGHRHGLKSQTFLSPAFFLRPGGVSNKWHYDIPFPRGHLALKSFNGEVVDERGVPVPLHEVYLHHWVVAPYYYPPAGAEEEDGNALPPRAIEGMNAGVCVGTLRQYYGLGSETRHTATWIPDPYGIEVGNPAMAAAPAGYEERWLINVHAIDTRGVADTVACTECRCDLYNVTVDEHGRGIEEAYTGGLRCCYDGTRCGAGGHGDGGAGEERKLFFRYTVMWREWSEAVVPVRIYIFDSTDRVLLEGKSQPACKVEYQVEECSSENRAKNDCVHVMAAKQVLPRGGDIVFGIAHQHSGGIGASLHGQDGRLLCESMATYGTGREAGTGTRRGCLPAWLSGNL >Et_8A_058455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8089128:8100950:1 gene:Et_8A_058455 transcript:Et_8A_058455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAAGNAAGNAAASAASAIAKRMAEMQLRCASLISPQNPLYGSLKLAPIPGEPEPDVSTVTNAWNGGSNRAGEIDSGKDVAGQQCVQGLSKMHEMTSTIKRASNSSKAITNNLLGHPLKSQSVATNMAKKIFLLTILFAIALAAEAAALPAASPAAKKAMADMTKKMRKAIDEINDAAPPAEKDEVQFITVMTFVGVNGALKEAMDAGDEKKVVSMARSYEIASEKVLAAPPAEKLKTMEVTFKAVA >Et_9A_061911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17735891:17736676:-1 gene:Et_9A_061911 transcript:Et_9A_061911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQEAMVVCEAAAGADQLDLEAAEQLIQLSGGDGGSETRSADSCSSKADQAVRKEKEVAVESRRRRRRSGGWLPAGAGKKGGGGGGVPAGEPDGEIAVSVIRCSRAVGTETEEKSNGREAVVESRRRSAERFPAGKKYGAAAGVVDDWEERKRPRFRWLADLYRETRQVCAVNPERRAKRKREADADDEAMAMVSEVSKERTSMVA >Et_9B_064243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13235604:13240240:1 gene:Et_9B_064243 transcript:Et_9B_064243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTASSSRTNPQIKMRAHFTIVVAVLLATAAAAAAATRTRNECDAGDKAALLAVKAAFGNASYFQSWTPDFPCCEWIGAFCDDAADPYTARHIVALSFLRDATLVGPLPGDAIAGLTALQQLLLVHVPGVTGTIPRALARLSNLNFLDIEFTGMSGPVPAFLSKLTALTYIRLAFSAFTGEIPASLAELPNLSFLDLGRNRLTGRIPPGLLSKTSDTALLCLSHNNLTGGIPADFAAVRFWSLDLSHNAFAGDASLLFGANKSLEVLDLSRNAFSFNLSAVQLPERLGALDLSHNDVYGVLPPQVANMQSLNVSYNRLSGTVPTGGNMHWFDQFCFQHNKGLCGTPLPPCKHYATVVVVLLATVATAAARRTKYECDPGDRATLLAVKAAFGNASYFQSWTPDFPCCRWIGAWCDESASPYTLRRIVALSFLRDDSLVGPLPGEAIAGLTALRQLILIHVSGVNGTIPRALTRIPSLSWIDIEYTGMSGPVPSFLSELTALTYLRLPFNSFTGTIPESLADLPNLSYLDLGRNHLTGRIPPRLLSKASDAPFLYLCLSHNNLSGSIPAEFSAVNFWTIDLSHNAFAGDASLLFGANKSLEVLDLSRNAFSFNLSAVQLPEKLVTLDISHNDIYGVLPPQVVNVQILNVSYNRLSGTVPSGGNMDRFDQFCFQHNKGLCGTPLPPCK >Et_2A_016640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26855298:26855738:-1 gene:Et_2A_016640 transcript:Et_2A_016640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAVLRSRVLARSVTSSLRRGLPGTHANPPSSLLAASPRPSSVHRLPSVCGGLLSAMPLHSAIASARLRSAIAPESQSWGIVPQGAAPVFSVNFESSLSERLVGTERLSLLYYHCI >Et_9B_064543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16302022:16305402:-1 gene:Et_9B_064543 transcript:Et_9B_064543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATTAALAAASVPLHRRACAHHRLTPASCFLPSRALLNSARLTAPGPALPLVHAPRLPPTAVRAAARSPTSGGQMLVFVPPHPLIKHWVSVLRNEQTPCAIFKSAMAELGRLLIYEASRDWLPTITGEIETPVAVSSVEFIDPREPVMVIPILRAGLALAENASSILPATKTYHLGLRRDEETLQPSVYLNNLPDKIPEGTRVLVVDPMLATGGTIVAAVDLLIDRGATSKQIKVVSAVAASPALQKLSEKFPGLHVYTGIIDPEVNEKGFIVPGLGDAGDRSFAT >Et_2A_017057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30534307:30541379:-1 gene:Et_2A_017057 transcript:Et_2A_017057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIHPTLLVLVLACASAARGFHFPGVAPVDFRKKDLLAVKVSQLSSFKTPLPYSYYSLPFCRPHTVTNSAKNLGEVLRGDRVENSLYEFEMMEPKLCQIVCNIVLSQEEVNDLKKKIDDEYHVNMILDNLPMVVPIMGFDRQFYQQGVQVAFKGQSRGSNDAIYLIVNHLKFLVKYNKDAHTDLARIVAFEVTPSSVKHGYDGDWKGNATNLKTSDSQSGHLVINSDGPQILEANKEIIFTYDVNFEESDIKWASRWDTYNLVNNEHWFSVVNSLMMVLFLSGTIAMIMLRTLYRDISRYNQLGNQEETQEETGWKLVHGDVFRPPANTDLLCVHVGTGVQFFGMVLVTLLFAILGLLSPSNRGGVITTMLLLWVFMGLFAGYSTARLHKMFGRSEWKKIAIKTAMIFPSVVFVIFIILNALLWGEKSSGAVPFTTMFILVLLWFGVSVPLVFVGSYLGFNKPTIEYPVRTNKTPRPIPGQPWYMNPFISVLIGGILPFGAVLMEFFFILTSIWLHQFYYTFGFLFLVFVVLILTCASATILICYFQLCSEDYHWWWRSYLTSGSSALYLFLYATFYFFTKLQITKAVSIVFYFGYMLIASYAFFMLTGTMGFYACLWFTRLIYSSKDLLSVKVNQLSSIKTQLPYSYYSLPFCRPETIVDSAENLGEVLRGDRIENSLYVFEMMEPRLCQIVCKVVLSQDDAKDLKEKIDDEYRINMILDNLPLVVPIKRLDQEGPTVYQHGVHVGVKGQYSGSKDEKHFIHNHFTFLVKYHKDPNTDLARIVGFEVKPYSVKHEYDGDWKGIGTHLKTCDPHSRRLVVASDSPQEVEANKEIIFTYDVNFEESDIKWASRWDTYLLMTDDQIHWFSIINSLMIVLFLSGMVAMIMLRTLYRDISKYNQLENQEEAQEETGWKLVHGDVFRAPVHADLLCVYVGTGVQFFGMLLVTLLFAILGLLSPSNRGGLMTAMLLLWVFMGLFAGYSSSRLYKMFRGSQWKNVTIKTALMFPAIVFVIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKQPAMEDPVRTNKIPRPIPEQPWYMNPVVSVLIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILILTCAEITIVLCYFQLCGEDYQWWWRSYLTSGSSALYLFLYATFYFFTKLEITKAVSGVLYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >Et_3A_024431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20715027:20717174:-1 gene:Et_3A_024431 transcript:Et_3A_024431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRHLGEAVGGMNALMAFESELRINPRQCRLLADACAQALAAVTGEVRAHLRFEERGGARWRALEPPLRELHRAFRDAEGYVRQCLDPPRGGSSWWARAAAAMADGGAECVEQHLHGILWCVAVAVEAVETAAEAAGSKTVLAKKYDGDMLDPALFQHAHGKVYLVSRELVARMDTAWKEDRWLMSQLLDEMKQNLPLTKTERRLADVLAAPRGKVHPASVLLGSDYSVRRRLGGGRLKEAQWMGESVAVKHLVGGDAAAAEAALLASVAHPNVAHAAYCFHDAERKEYIVVMDQLMARDLGGYVKEARRRTAPFLPLFVAVDVMLQIARGMEYLHANKVCHGELNPSNVLVDKPRQPQHEQQGYVHVKVAGYGRSGTVVATTAKPSAHGSNNANGGVGDGGDSACIWYAPEVLEGQDGAACTEKADVYSFAMICFELLTGKVPFEDKHLQGDKTSKNIRAGERPLFPCQAPKYLVSLTKRCWHADPAQRPSFASLCRVLRYVKRFLVMNPPDHQQSDSDAPPPAPPVDYLDIEAELLKRIPAWQRGEGAARVSDVPFQMFAYRTVEREKIAGVVSRVDKASDSGSEGNHSVHGDDNGFGPDDASSVSSGTVRSRPESRDGKKTPVRKADAKTPKQAVNQLTGTIDEFCRTSAEGEGGERGEACANVESTQYRREAQTSGGTADFRTLLG >Et_9B_064255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13362917:13367710:1 gene:Et_9B_064255 transcript:Et_9B_064255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWCCCLECIHNIPPLNLLFLHFSDSAHPGEEEATAASGPASMATISVPNPVPSAAEDAENIRKAVQGWGTDEKALIEILGHRTAAQRAEIAVAYEGLYNETLLSRLHSELSGDFRVLCLPLPHLTTDCFDCRSDVTVPPFLAVAGGVSSSQSAMMLWATDPAARDAKLAHKALKKKGDRHVWVLIEVACASSPDHLVAVRKAYCAAYDSSLEEDVATCPLYSEPLKQASYSVSSACSRSWHLFDLSGLSLRLIWRLSVVAQFLVRLVTSYRYSGEFVDDEVARAEAAELHDALVAKKQPLHDDVVRVVSSRSKPQLKATFERYRQEHGKAVDEVLEERRSSDQLAAMLKTAVWCLTTPEKHFAEVDITIHPVECQSMKRPASLRIPFLFQVIRSSIVGLGTDEESLTRAIVSRAEVDMKKVKEEYRAMYRKTVTDDVTGDTSGYYKDILLTLVGPNPPTRRGIRGTRPKNPSAGNRDKGRGAGALTAMMSRSHQGMLSPPVKKLPTDSKNRFIFASIGGAADLRSG >Et_9A_061138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18226854:18227921:-1 gene:Et_9A_061138 transcript:Et_9A_061138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESWMEVLPPPPAPYFPCQAGGWFLQDRRAGGAWTLEENKMFERALASVDWDAPNRWEQVAAMLPGRSVAEVASHFDDLENDVYFIEAGLVPVPNYGGGSQAAAAGFTFDWDGADDFGGGLGFKRSCYVVGGKRGRGPDQERKKGVPWTEEEHKLFLMGLKKYGRGDWRNISRNFVTSRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLPDDDGSGGNPSPPLSGGPAMSDQFGVLVDSKPPPSLGHQQHQHFMAHHYGSVKLEPGNSHHDGLLGDSVLMQMQCAQLQPLG >Et_3B_029769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27811992:27815157:1 gene:Et_3B_029769 transcript:Et_3B_029769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRRRFEQSLDMAPWRVPRPRVFAQIISGCYGYALGQNKLDDASLGGEVDRLAEPYVDPLFLLTMNESQIFQRSKTKDAPQLDASATYLYVATHEMTSQNYYGVKVTSDVYGFSIQDNERSGILIQINNFGDGTTPGQDGISFGWHTDKYQKTGCYNLLCPGYVPEANVKIVPGVAIEAVSDPDGAKRTMIFKVFKDNSGDWLVHIGFDSEPYLVGRFPKSMFTNLANKGNYIRLGGFVITRNTQFAPMGSGFLSNNTKAASFNNFELIDQNGQTSKVQQNQPVSVTDDSKYSVSPISTEGEFTYDRIAGVQYKTRGN >Et_4A_031934.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30810072:30812912:1 gene:Et_4A_031934 transcript:Et_4A_031934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSRDSLDFGDFYSTANQPTPHVINFSLPQQSPVQFFPSYEFHNHPTCSNPFLPQAMLAQSMATPSPTSTTTTELDNSEDSSEAVADDAVLAYINQFLLDEEFYPVTDASVEDSALLAVEKPFVDILTAAKPTDAHEAKSWMTDDCDSSGSIGSHDVSTSNQKFGQSPCEMVKEGAKCAVHKGRKKPHDNDLESEERRSKQTALCEEEVVREMLDRVLLCTDKNCEFRSPLPADAQISGGYVKGSGNKRGRRKGRSSAGAEEEAVDLTTLLIHCAQAAAIDDQRNAIELLKQIRKHSSAVGDAGQRLAHCFADGLEARLAGTGSNIYRSLAAKRTSTNDIVKAFGLYVTACPFKKVSHFFANMTIFKASKVASKLHIIDFGIQYGFQWPVLIQLLSSRHGGPPSLRITGIDFPQPGFRPAERIEATGRRLHEYARTLNVPFEYCAIAAKWDTIQVEDLKINSDELLVVNCLFRMRNMMDETVTDDSPRTRVLNTISKTNPHLFIHGVVNGTYNAPFFVTRFKEALFHFSSLFDMLEVNTSRTDELRLLIERDFYGREALNVIACEGTERIERPETYKQWQLRNLRAGFRQLPLDEDIMKRARYKVSKSYHRDFLVDEDNKWMLLGWKGRIIFALSAWTS >Et_7B_054989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6132921:6133335:-1 gene:Et_7B_054989 transcript:Et_7B_054989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLWNKFLRPLLRFVIRSDHDERLVRCLRFVFHLLRRQKEKERRHKKEEGYIQFRLQESGCTSARVRRLFGECLSMQSSI >Et_1B_010082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22951238:22951866:1 gene:Et_1B_010082 transcript:Et_1B_010082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TWRLSIGHREPPLRRRRHRHPAVHDGPSLRLGHRRRHRTLLRRTPHDRLPRRGSRLAAAQPVPRQRSGLHARRQLRRGRRHRPRRGGAREARRRCPPHQRLPGRPASAAVVQGLDEHQVPSRDPREACALAGHGGGDRRQRLQLRLRGEHAGGERDGARPGRGALAPSPMPPGSCSTWARRGWSSRATSYRSGA >Et_2A_016800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28274722:28284538:1 gene:Et_2A_016800 transcript:Et_2A_016800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHRLLALLAALLCAATAASSKRITLPGFYGAVNTTGFGRTYTKVCDADRFAELGLDMPAFRYCNASLPYAARVRDLIGWMTVEEKVGNLGDWSRGAPRVGLPPYKWWSEALHGLSSTGPTTLFDALTSRPGNHSGRAAVFNGTVFANVINSAAAFNETLWNAIGRAMFNLGKGGLTYWSPNINVVRDPRWGRALETPGEDPYVVGRYAVNFVRGMQDIPGHDNNSDDPFTRPIKTSACCKHYAAYDLDAWHNHTRFTFDARVAERDMAETFLRPFEMCVRDGDASAVMCSYNRVNGVPACADARLLSGTLRRDWELHGYIVSDCDAVLVMADNATWLNLTAAEAGAAALRAGLDLDCGESWIEKKKGEPLMDYLSTYGMEALARGKMREADIDGALANQFVTLMRLGYFDGIPRYEGLNETDICTEEHKGLAADGARQGIVLLKNHDRLLPLDPKKVRAVAVHGPHAHAPEKVMDGDYTGPPCRYVTPRQGISKDVKISHKAKWTIYFGGINLHIEREGNDREDLLLPKNQTEQILHFAAASPNPIVLVLFSGGGIDITSLHNHPKIGAILWAGYPGGEGGHAIADVIFGRHNPGGRLPLTWFTNKYISQLPMTSMSFRPVPKLGYPGRTYKFYDGPDVLYPFGYGLSYTKLLYSTATNGTAVTVPTGGRHCKALTYKPSVAAAAAARPACPAVDVAGHACAETVSFNVSVTNAGAKDGAHVVLVYTVPPPEVDEAPIKQVAAFTRVYVPAGSTAAVPFTLNVCKAFGIVERTAYTVVPSGVSRVLVENGDSSVSFPVKIEFALIPKLPGKVDSPSIDSKEKALLPGIFPASSSTSPPERSSSNPDGSSSSSNSPTSSSSSSDTPKSNFLSPLPPLCGPRLSVFPPLLPRSFLLESPNPTASSLLSSSSKSLSLPLLCGIPDILPPRPRLPLGYPNSTALSSSSSSSSKSLPLPLLCGIPDILPPRPRLPLGEPNPATSSSSSKSLSLPLLCEKPDILPLRPRPPLEDLNPVSSSKSLNLPPLRGTPDARPPRPRCPLGDLNPASSSKPFPLPPLRENPDDLSPRPRLPLPEPNPESPSSSSRSLPITLPLRSKPRRSPRKSSPPNLDFWKDPLESRPPRPPLEAAAGLREDAASPSSPLLRPRWKLSVLRSLTQSLRSASSSIRLLAAPAAERAREEKKRKERGPAAPFRGGSG >Et_5B_045481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2861188:2864730:1 gene:Et_5B_045481 transcript:Et_5B_045481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDQDDAPDGGSGGEDSPLFRRRGPSSADVAEVPVSQSLIKAASNVCFSLFVLAVLVVTVVAVTYQPPDPWLQSSAAITTSLSRVLPNSTFLVPDDSLLPTGEDFNSSSSPAIPAPARDDADDSSAAVPSAPALNATACDPDAPLNCSDPRVLAAVKAFNAKAFFRKSIIFLSYETPVPGPKPGVCDVAWRFRNRREKSWRRYRDYRRFSLSPGDGCTVEITSVGKFRSGTNAARRPQPRKGSKAPRVKAPPADAEINDTIPVVGSEAEFKKGKYLYYMRGGDHCKSMNQFIWSFLCGLGEAKFLNRTFVMDLNLCLSGAHTVDGKDVDGKDFRYYFDFEHLKESASVVEEGDFLKDWKRWDKKKGPGRITVRKVPSYKVTPMQLKRDKSNIIWRQFNGQEPENYWYRVCEGRAAKVIQRPWYAIWKSKRLMNIVTQIAGRMDWDYDGLHVVRGWKAQHKKMYPNLDVDTSPDALAEKVPKLIKPWRDLYIATNEPFYNYFDKLRSHFHVHLLDDYKELWSNSSEWYNETTALSGGKPVPFDEYMRVIVDTENVYGDGSAALGAKRQALPTVIRGASRQRLLALVPCCLLHLITPPWLRAWWHEYHRRNC >Et_2A_018768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6992314:6992870:1 gene:Et_2A_018768 transcript:Et_2A_018768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHTQDNTLLIIITSIIKRRLGTRRALAAEESNAGRSITVYPAAPVVAEFWVPDPYLMVQQLREFESLNDEAIALRVQLEEYTEEIDKSKKDNDGRNWFLALPDNIRNVLVMARDAINSYIAISTPPAK >Et_4B_039151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8251284:8257145:-1 gene:Et_4B_039151 transcript:Et_4B_039151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HSTSEQNESPLKSEPTAHPATLDLTSHYQTFSNPAVSRVPHPFVDATTTASDDGAMFLRRILTGGGGLAALRAARAVKETTGIVGLDVVPNAREVLIGLYTRTLKEIEAVPKDEGYRKAVESFTKHRLQICQEEEDWKSIEDKIGCGQVEELIEEAQDELKLIGKMIEWDPWGVPDDYECEVIEDDTPIPKHVPQHRPVALPEEFFKTLDAVRSDPALQGDAPPQVKA >Et_7B_053539.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8707868:8708308:1 gene:Et_7B_053539 transcript:Et_7B_053539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIICSLYSGSRDPPKKRGHPKGVRSNNNLSNTDTLVVTSASLKRKNAEDIEDTPKTDGRFKKENSRVTRSTAKANDDFVKASNKDEAGSTESANESNDKAGNEDDRPKDEVKSSESVDGSKIDALSTRRKLKETEGKSFRECSC >Et_6A_046622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18340933:18351536:-1 gene:Et_6A_046622 transcript:Et_6A_046622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLDSRQGVFVSPTTAVTHSEECWRRVSYINASRTQERMSDTVGPDDPKTIPGTMVSSTSSILATISFLSFVTMLQLVASRDTLPLGSYLKIKGFQTNILQSADGTFSCGFRSIYTDAFTFSIWYSRAVDKTIIWSANRERPVHVRRSVITFQKDGNMILKDYDGTVVWETDSKFTNVDHAQLLNTLDSSNSAVWQSFDSPTDTLLPTQPIRAQTKLVPTTQSYGTGNYTFRFSDLLNGRNQYNATRLGILFDSGTLVSSDFADGQILVSSDARENVKRSSNDSDGSWSVSMLSISQPCNILGLCGPNGICHYSPKATCSCPPGYVMRNPGNWTDGCAPIVSITCYNYDQESVMFLKLPNTDYWGGEREHLENVPFQTCRCIWISDCNYKGFRYKEGTGSCSLKSDLLNGKTYPTPDIGAMYLKLPTSVSVSTVLIPHSNVLYSAQGQLDCNHMNESMCPSFFFKIVLGTFRIKEELEKETLIRPSELWAAEEGYRVMTNIVIENLSRQLASLKMNMEEEVQALCTKVSWKIYDREIAVKKLDSIRQGKEEFQAELRVVGRINHMNLVRIWGFCSEGSHRLLVSDYVDNGSLATILFNEKKQYIVGLETKIRILSLRSLTLGWQSYYVHRGGLSHDVSRVRGTLGYIAPEWVSGLSITAKVDVYSYGVVLLELLSGSRVSELVEGSDEVRKLVRMLTDKLEWEEESWIDGFVDPKLINVKEHEGHGLRLGKFSTRVGP >Et_3A_023819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12900694:12901644:1 gene:Et_3A_023819 transcript:Et_3A_023819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NRGFSNHFQVPDMNCSTSVESANSTLLSKLVRVYQNLLSNNSKYSNFIRSNDLFSNGPHILNDQRTYPSKLKRDPTNEDDLIHSMTFEVYSPYTLKVSTLSSMDGGNSSVYGSIFALVRVGFYTTVWYKFMPYNLDIPPDELFYMAWDYFLNESAIVPGYTKITFQETRDISDIVMSIRGDTPYPFEENSFHYMAVLQDAQPPEDPPIEIPIPLENIDRKYRVGVSLGIVIAFCVAIGVFPTN >Et_7B_055214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8314810:8315250:-1 gene:Et_7B_055214 transcript:Et_7B_055214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAPRPAETMAANAPAGLAITVEKNPPEARLLQLGVKSWPKWGCPPGKYPLKFDAALTCYLLKGRVRAAIKGSSARECVEFGAGDLVVFPKGLSCTWDVVVGVDKHYNFEPS >Et_6A_048052.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4533361:4533696:-1 gene:Et_6A_048052 transcript:Et_6A_048052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASAGRRAPAWRCLALFLLPVVLPLVLLWLPLLCVAAAVVRFRRRRRRMMARGSRRCFGDGEREAEEGGAEHRAALLHKYLEDQMRLVGVDAAVDWRPSSCSQQGQAQR >Et_1A_008078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4173331:4178709:-1 gene:Et_1A_008078 transcript:Et_1A_008078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKYYGATRRRGWRRLAATAWVVLAVACSAAMHWHLRRESLDRAEERLESMCEERARMLQEQFGVTVNHVHALAVLVSTFHYEKLPSAIDQDTFAKYTGRTAFERPLLNGVAYAHRLFHHEREMFEKQQGWIMNTMKREVAPPQDEYAPVIFSQDTVSYLARIDMMSGEEDRENIMRARATGKAVLTNPFRLLGSNHLGVVLTFAVYRPDLPADASVDERVEATIGYLGGAFDVETLVENLLNKLAGNQDIVVNVYDVTNASEAMVLYGPPSSDDKMTLLHVSTLDFGDPFRKHEMRCRYRQKPPMPWSAITNPLGTFVIWMLLGYIVCAAWSRYDKVSEDCRKMEELKTQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLGTDLTMIQKDYAQTAQMCGKALITLINDVLDRAKIEAGKLELEAVPFDLRSLMDDVISLFSSKSREKSIELAVFVCDDVPKVVLGDPWRFRQILTNLVGNAVKFTDRGHVFVRVSLAEGSNVETNQVQHGTANGKDGNVETAANGAFNTLSGFEAADRRNSWQYFKLLLSDKESLLDELDGEKSYQMDSDRVTLVISIEDTGVGIPLDAQDRVFTPFMQADSSTSRTYGGTGIGLSISKCLAELMGGQISFTSRPFVGSTFTFSASLKRSTKDTTADSSRSLIEALPTAFKGMKAILIDGRPVRSSVTKYHLKRLGIVVQIRDNVNAGLKAFTGQNGTISRDKVSMLFIESDVWRPETDIQLLNRIREQKNGQLHDVPKVVLLVTSEADKDKYGSTFDVVMCKPIRASTIASCIQQLLKVEVPERRENQNRPSFLRSLLVGKNILLVDDNKVNLRVAAAALKKHGANVCCVESGKDAISLLQQPHCFDACFMDVQMPEMDGFEATKRIREMESIANEERKSKLNSSEGSTYIDYHLPVLAMTADVIQATYEKCIKSGMDGYVSKPFDEEQLYQAVSRLVVGTSDSAV >Et_1B_012414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31847446:31850194:1 gene:Et_1B_012414 transcript:Et_1B_012414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLVPLVRRCGGCDSREDGSVEALLQWQKVSDMLIAASLLSIPLELFYFATRDALAPLRRALLQLGTFVVFCSATHLLNVLAYDRPGSRRVLAALTAVKALGAVASAAAAASLPVFFPRMLRVKAREDLLRAKARRLDRDLAAVRRRQATAWRVVRALADHAARDSGGDACAVRRDAVVQLAAALGLHNCAVWMPSCGARDGGVLHLVHQLLPVPDTDADQVFDRSTRAISVRDPDVADVMESKEARVLRPGSVLAVASGGGQPPAGAAAAIRLPILRVANAFDTSELTSHAILVLVLPANTNDHHRPPAGWSNQDLEIVESVADQVAVALSHAAALEDSELIRHKLAEQQCALLRARHELASAAEARDAARGAVRDAVRRPTHPVVGLLSVMQQEAAAMRPEQRLAVDALARTGALSSALMDDAMERLLWTTADGGGARDNPPPLSSPRLVARRPFELRALIRNVAGVAGCLAGCRGIGFAHQTEANALPEWVVGDDRRVFHLLLHMVDALWSKCPRRHAAGRVFSFSVCSCNDIVGDDQDWIAVPARYNFSGGNHIFVKFQVGLRRAPESDLAESLPASHRRPPRSPGPGRSDVQLSMAMCKKIVQMMNGNMWSASDSEGNEETMTLILRFQLQQSLNPLAPGSCTYRMGASSSTIIPQHNFNGLRILLADSDAVSLEVTRKLLERLGCQVVPVSSGLACLTVLGSAVEPSFQLVVLDLDEHCVGAGTAVAMNGVEVAVRIRELSNTCWLLLVLVVALAGGGADDGGVRDVCRRAGVNGVIQKPITLPALGAELQRVLQN >Et_5B_044793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5917324:5917784:-1 gene:Et_5B_044793 transcript:Et_5B_044793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERMKMRQEEDERRR >Et_8A_057942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9864491:9866341:1 gene:Et_8A_057942 transcript:Et_8A_057942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMFNVNSHMRFRREKQKARKPPPHYLSVKLAHRSHNAAADGYAISDGELAHVDTVVNSEPGIEVLDGGADPAVEVRHWRVIRQRHRATPKRVVVHDDAADADKTKELLVVPHVVGLVGVHKRHVKPPQVPLVGEQLVEAVKAGALAEVHLVLDTGLFDESAADPVVVVAVGVDGDNPAVVRQGERRGEQRVAGVDADLDGVFGAGELDQHPQQLRLVGRRRHEPPAWTFGPVSFTTIRNSVFRTLIYHAT >Et_2A_015927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19173112:19176557:-1 gene:Et_2A_015927 transcript:Et_2A_015927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTICDVCESAPAVLFCAADEAALCRPCDEKVHMCNKLASRHVRVGLADPNKLVRCDICENSPAFFYCEVDGTSLCLSCDMTVHVGGKRTHGRYLLLRQRVEFPGDKPGHMDDVAMQTKDQENQRDQKKATHPVTKEQMANHHNGTDDPASDGNCNDQRNIDSKMIDLNMQPVRTHGQGSNSQTQGADHSVNNHDSPGVVPSSNYERDADK >Et_7A_052900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24607491:24622570:-1 gene:Et_7A_052900 transcript:Et_7A_052900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRQRRVKSAAVRRNMPPPPTWSTPDVQQGRDLPPDLLSEIHCRLDFVARLNFALGLGAVASRRVLNAEGSCLVLPGDDDDDTDESTATLFSLAGRQVAVARAPEPAMRRHVVVGSSGGWLVTADRRGRLRMANPVTGAQAALPDITAGTIPFFGNRFDFVLDMEAFSSVRFAGGRPDKADFWGGTFTHAGWQMRKRFYRKVVLSASPRPGNYAAMLILEQRFGAPAFVTSEDPAWRLAPSRDAVHHDGRFYSITYTGVVEAWDRHPRTRQYRSRALGPRLPGEGVHHLLHKYVAMAPDGRLMAVLKELKKVDKQGYYNSWEENREAAFKVFVLDEARGRWAEERDIGDAALFVGVNNSMCVSTKKCPGIRAGRVYYTDDELGQASLRLEKDEYDEVSSRSSIHGDRLADYNLRDLGVYSLQDGTVKRLGGLPKHNSAARQQLPPAIFMFGDGALDVGNNNHLKSRMWSTEPIRANHSYYGIDFLNSEATGRFSNGYNIADFIAKAMGFEMSPPAYLSLTSPIKDFTGVNYASEGAGIWNITNEDGVTIHPLEQVDNFANTTVQLMSEHDKHELRKLLSKSIFIVSIGTLDLIRIFTFVSYGRESKEDGRADVQNLIATYEVAIIAMYNMGARKFGIINIPPIGCTPGGMQILHHRPGGCDESLNEFAIEFNNGLEPLMARLASKFDGLRYSIADFYGFSNATFMNPSAAGFVNTDLACCNRPCDAIYERYVGPPCQNRAQYWMWDDFHTTEQAAKVAATAFYDGLSQFTTPVNFKKLVQ >Et_3A_025627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31345081:31347798:-1 gene:Et_3A_025627 transcript:Et_3A_025627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTTLLSGHFLSLPNCCPKAPEADDLELQLGSRSTGASWESEILAHASVALAAVQHQANRGWVQSLGLGFLPLDEHLSLGKEFKLTEWYRGLTPYTYSYIQLSKQKWKQMEAPREVVRFVVLQRPEQEQPVPVDEELLKILRTPQVTTKTLPKTKEERSTESPASKPPSTAVVSLSPPQSGVYKQNHSEQGNTERMFLFQLPKTLPLPRRPSVVERKGKTTSREVKEGSNLQQLPQGFLGKLLVYKSGKIKMKIGDAMFDVNPGSESRMAQQAVALNSKEKHFCLLGEIENRHVIVTPDVDSLLNDSRGLCCHILEDFHNDVIWSVVSDK >Et_7B_053936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13526288:13530840:-1 gene:Et_7B_053936 transcript:Et_7B_053936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLSKLRSLDAYPKVNEDFYSRTLSGGIITLASSVVMLLLFVSELRLYLHAVTETTLRVDTSRGEQLRINFDVTFPALQCSIISLDAMDISGLEHLDVKHDIFKQRIDAHGNVIATRQDAVGGMKMEKPLQHHGGRLEHNETYCGSCYGAQESDDQCCNTCEEVREAYRKKGWGVSNPDLLDQCKREGFLQSIKDEEGEGCNIYGFIEVNKVAGNFHFAPGKSFQQSNVHVHDLLPFQKDSFNVSHRINKLSFGESFPGVVNPLDGAHWVQHSSYGMYQYFIKVVPTVYTDINERIILSNQFSVTEHFRSSESGRIQALPGVFFFYDLSPIKVTFTEQHVSFLHFLTNVCAIVGGVFTVSGIIDSFVYHGQRAIKKKMEIGKFN >Et_8B_060531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20003573:20007162:-1 gene:Et_8B_060531 transcript:Et_8B_060531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLMRLAGAVRSVSSCRRAAASAPRRHAASAVEDYWTEWEEEEEERRALASAPAAETCPSGGGPRGVQWVVMGRPGPQKHAHAARLAEVLDVPYISMGTLVRQELSPASQLYKKIANSVNEGRLVPEDIIFGLLTKRLEEGYHKGETGFILDGIPRTRMQAVSIHLLSTEILDEIVDIDLVLNFKCADDCLMKKQSRSDVCSHCGQLFDIRNSASASCKPLLGSYPWHSHAEPAGVVGLGDSRMEKLRTYAKQTKLLEDYYKEQRKIVELKTSARPGETWQGLVAALHLQHLDAPPTPHKLTVGKVKLQFEKSEISSRSHMNLSTVQMNSSNNLRAQIT >Et_5A_042552.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:2053496:2054179:-1 gene:Et_5A_042552 transcript:Et_5A_042552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLLRWLCLRPMGRRRTARLVLWGGETRAAEPGTAAGEVMVEHAAGHVVCRADGFRIGRPAPVLAIEDRLEAGRTYLVVPVDKLPHGAVTAASLAALSYGKGKSSAAPSLAGGAKSPFEYVKDEDGRTVIRVTEEFVVNAVSGAKPRSGGPGGGSGDDEAGGCGALCSTPELRKHYEQLVGAARGRSWSPRLDTIKERNGRRVVDVVSPGRCSPVRLLGIGKGLS >Et_2B_019015.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24224466:24225128:1 gene:Et_2B_019015 transcript:Et_2B_019015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTPPPDPAGGAGQAPVVAARRHVDAPDLRVHGVVHAARLPRVPPAGRRLPSMDLSDLPRGPAIETRMTEECARSVPALAEILSGLRAATRLVAFGAGSRGGSGAAVPLHAHEPPRRLPHAPPPGPRRLRARRVPGPRGPGPAARLRAHPGAGHRLPAPEQVRPQLRPDAPPRRPLPPRRPRHPRQLLRRRRAGGRQAAPPAGTRPPPPGVHHRAAHTD >Et_1B_014108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3150753:3154661:-1 gene:Et_1B_014108 transcript:Et_1B_014108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCEQDHHTAARTTLSNTSGLEPCAADRSLQLSASHQPGRADPAGGQQPRRAKKKRAARPPSRRSSTTVVATDVANFQAMVQELTGFPSAAIFRPLPRRVHAVSSNPFVVAAAGQGMSGGDGRGHGSATASTAAGGSLCPDAPAVLPPTAQWAPPGVFDFEGLSDIGSPGFDSWAPIMLLRSYGEENILIVSSCILSNSALQWHAVPLLVGLLDQLEVDLSASSNDPGQVKHRMIARSVARPLINLYLIDWMTELIIVTVECCKQLSFAASGEDPNDLVVTVSLLMDLLMKYFGFRMSQRGVNLWAIIASPVTWAATSSSSARLKRSWYACLAAKSCAGVRAHAISTSARPPGCHFLASSFASSASLSAGSSVHRITAFCPRQVNRTTEPNQTES >Et_8B_059247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15830601:15831463:1 gene:Et_8B_059247 transcript:Et_8B_059247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIWKPATTGVCTSKEAYRRLASQSQQPIPQNGSRALPNDGRPTDCLHTNCYTDAAYQHQPGGQQAITGLRVLVCNNTGPITPAVEVKAVTARATSPLHAEAMALLLASKAIKALAYQAV >Et_9A_061205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21912653:21913492:1 gene:Et_9A_061205 transcript:Et_9A_061205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLRTLPPAGFPGEVRSAVSSLLLSPGGASALDTVFSHLPPPVTIPPLGSSVYYRQCELLRHFAASQPAAACSSASSSSSSSSSCFQAAAMDEAAALRQQKLYRGVRQRQWGKWVAEIRLPQNRVRVWLGTYDSPETAAHAYDRAAFKLRGEYARLNFPGVMDARDCPESLHPLRAAVDAKIQAIRARLARKRAKARKQREEGATTTSETTSTTTPYGSPDGVLSVSAASADGELPLDHMPSFDPELIWEMLNF >Et_10B_003084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16376695:16379810:1 gene:Et_10B_003084 transcript:Et_10B_003084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKLTIRRVPTVVSNYQEDSPAAAGCGKNCLGDCCLPVSELPLYAFKANPAKPPSQEDAAPTKPLINLLLTEWENRMARGLFRYDVTACETKVIPGNLGFVAQLNEGRHLKKRPTEFRVDRVLQPFDPAKFNFTKVGQEEVLFQFENGATDESFFMESAPIPADRAPNVVAINVSPIEYGHVLLIPRVLDRLPQRIDTESFLLALQMAAEAASPYFRLGYNSLGAFATINHLHFQAYYMSVPFPVEKAATQKIPLAEDNMKSGVNVSKLIDYPVRGLVFEGGNTLSDLANVVSSACVWLQDNNVPYNVLISDCGRRIFLFPQCYAEKQALGEVSQELLDTQVNPAVWEISGHIVLKRRNDYEEASETSAWKLLAEVSLSEERFEEVKAYIFSAAGLVQADEEEVSEGEDTTYVPVPVAPLPITEGCLVLQ >Et_7B_054832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4765700:4767026:1 gene:Et_7B_054832 transcript:Et_7B_054832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SACCALIIVGKKKKKKKKKKKKKKKKKKKKKKKKKKKAGTITSMGRSPCCCHDAGVKKGPWTEEEDRTLVEHIQRHGGHVSSWRNLPKAAGLNRCGKSCRLRWTNYLRPDIKRGNFTDDEERLIIALHAELGNKWSTIATHLEGRTDNEIKNYWNTHIRKKLTRMGVDPVTHQRLPPDDLLQLASSSSSSSPEALLRAAASLRDLETTLRQAQAVQLLLQLVGSGSNTGPISAAAALMGSYLPSAGNALLNASSVAPINLQNQMMNVFSQAMNNQLPPAAGYPAANVAERGMLAHHQYVKSSAAPSPSSSNDVEPADQYCNATAALERPAHPPQEVAAAADLPPAVQGFTDLLEPVEMPSLYSAEEEDAFWKDMLDSSFRL >Et_4A_032127.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:19855935:19856180:1 gene:Et_4A_032127 transcript:Et_4A_032127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPVGLWFCQISSGLQSRWPSGRVEMQGAKKNSTDGRELLREGSTAAVYQEAPRTTTTTCHRAAMTEATVCLLLDRFAPS >Et_3A_023781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12123506:12124847:-1 gene:Et_3A_023781 transcript:Et_3A_023781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHGKVTFMNLTAAETRVFRFKVDWYSWARRVSRQGQCFESDTFTVGGFEWAVRYYPNGKSNSCPGPWMSLVLLQSLPSVVDHVTVRFGCKQVYGDELYAGNPSPAESLNMCEPETFTHENQESSTFERCLVLSRLDYAASQLLPKDGGLQVGARFTCALMDGQGRPSPETRVSSSDMFSEYGEEKGFWTFVTHGALEGSDCLVDDCFTLVCTVSVLKKPQPSRV >Et_3B_030480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4075034:4078346:-1 gene:Et_3B_030480 transcript:Et_3B_030480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLHCFKQSGGGNGGGQGKRLERRLSLGEYKKAVSWSKYLVAPPGARIRGGSEELWSADLSKLEIRGKFATGRHSRVYSGRYAGREVAIKMVSQPEEDAALAAELERQFASEVALLLRLRHPNIISFVAACKKPPVFCIITEFMAGGSLRKYLHQQEPHSVPIELVLKLALDIARGMSYLHSQGILHRDLKSENILLGADMSVKVADFGISCLESQCGSGKGFTGTYRWMAPEMIKEKQHTRKVDVYSFGIVVWEILTSLVPFSDMTPEQAAVAVALKNARPPLPASCPVAISHLITQCWATNPDRRPQFDDIVAILEGYKEALDEDPSFFLSYIPPPTHHHHHHHNHHHHQQSLLRCFPRCITMRRSASLNV >Et_6A_047572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7555685:7558849:1 gene:Et_6A_047572 transcript:Et_6A_047572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRNLLFLLVVFSVAARSAASSGNSTTPTAYEMLERYNLPRGILPVGVQSYELRPDGSFEVFLSGEGGCDLLLAHRYKLRYDQRIAGTVRPGSIHALEGVTVKMLFMWLRVTEVRRAGDKLRFIVGPLSASFPLHKFAHSPRCRNSRTGDAVATHHTSAAQEGAAMASNHRLFLLLLIVFFAAACHSAAAASSGNSTTPTAYEMLERYNFPRGILPEGVQRYELRPDGSFQVFFSRRGGCEFRVGGQYVVRYDQRIAGVARAGSIRALQGVSVKVLFLWLGVSEVDRAGDHLSFLVGPLAASFPLNNFAESPHCRCGFNCATAEAAVAAS >Et_6A_046782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20468943:20481022:-1 gene:Et_6A_046782 transcript:Et_6A_046782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVQPVDLEAGVAAEKPAQKDGGATPAAAAAAAEKALPAAKKVAEEEDPRLRWAFARKVYVILAIQFAATAAIAAAACLVHSVPRFFEHGRPAIAWPVYIAILVSPLVAMWPMLKYRERHPVNLVLLAVFTLCCSLSIAVAASTTVGIVVLQAAILTAAAVTGLTIFTFWAVKKGYEFTFMFPFLFTSLLTLLVYITVQIFFPLGHVGMTIYGFIATLVFSGFIIFDTHMLLKRHTYNEYVIAAISLYLDVINLFMAQLAFSPQQEDLEADATATFAAAAPAERNKYPPILAAAEAGPPRIVAEEEDPRLRWAFVRKVYCILALQCALTAGVSPAACLVHPVPRFFEHGPPAARWPVLIAILLSPLVGARASSPPFPFPLRCRAPRALLDSFADVACVCAMMWPIKVVLQAAILTAAAVIGLTLFTFWAAKNGYEFTFWLPFLSTSPNVLLAYLIIQVPCFLYIYITYGVTHWTSQIFVPLGTVGMTVFGCIASLVFSGFIIYDTSLLLKRYTYDEYIVAAVSLYLDIINLFMAQITLSSQ >Et_4B_036667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10143975:10144711:-1 gene:Et_4B_036667 transcript:Et_4B_036667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKAMSSHGSGAGALSRQGSVCSLTFGEVDDGQLHGVHLDDLLRAATAVGGGGKRTADEVWRDIQSGCPRAQTMTLEDFLCRAASDAGERGGWAQPQQPAHEPRLGPHHQHQHHHHAAVGRPVPRPLGVGAGPVLDALYHDGHEAGLKRAAAADGGPGERTNERRKKRMIKNRESAARSRARKQAYTNELENKIAQLEEENKRLRRHKVR >Et_4A_035637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31873594:31875006:1 gene:Et_4A_035637 transcript:Et_4A_035637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVIAAQGARQVLDGSNPMVAKIDDGWSRCWEEDLTPWDLGQPTPAVVELVNSGTLPDNAATVLVPGCGSGYDVVALAGPGRFIVGLDVCETAIQKAKQWSSSSADGSFFTFVAADFFTWEPPEKFDLIFDYTYVILILLCSASVLEVGVGKANERAAEARWRAHYPHVEGQEAGPPFSTTVLDYEEVLNPLGLVINSIQDNEVAVEPRKGMEKLARWKRMAQPVNQHSE >Et_10B_002969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14790103:14798615:-1 gene:Et_10B_002969 transcript:Et_10B_002969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATGAGEEGPEEVPNRRVYLKRYVTGFPTEDDMEVVAGAARLAVPAGAAGVVVKNLYVSCDPYMRGRMTKHEEPSYIPDFVPGEVLANFGVSKVVASGHPDFKIGDLVWGTTGWEEYTLIHNPESLFKINHPEMPLSYYTGVLGMPGLTAYVGFFDVAKPKKGDYVFVSAAAGAIGQIVGQLAKITGCYVVGSAGSDEKVNLLKTKFGFDEAFNYKKEKDLNAALKKYLPEGIDIYFENVGGAMLDAVLLNMRLHGRISVCGMISQYNKEQPDGTRNLYCLIAKRVHMEGFIVADYFSEYHKFEEEMVGYLKEGKINYVEDVADGLEKAPAALIGLFTGRNIGKQLEVPNRRVILKRYVTGFPTEDDMEVVAGTARLAVPPGSSAAVVVKNLYLSCDPYMRTCMTKHEEPNYIPDFVPGEVLMSNGVSRVVTSGHPDFKAGDLLWGPTGWEEYSLITNTDIHFKINHPEMPLSFFPNGIDIYFDNVGGAMLDAVLLNMRMHGRIAACGHISQYNLEVSEGVHNLFSLVTKRVRMEGKYRMFEEEIVSYLKEGKICYIEDVASGLEKAPAALIGLFTGRNVGKQLVAIAKEAMAEQGQEVPNRRVILKRYVTGFPTEDDMEVVTGTARLTVPPGTAGIVVKNLYVSCDPYMRTRMTKHEEPSYIPDFVPGEEYTLITNTEYHYKINHPEIPLSYYTGVLGMPGFTAYAGFFDVAKPKKGDYVFVSAASGAVGQIVGQLAKLTGCYVVGSAGSDEKVNLLKSKFSFDDAFNYKKEKDLNAALKRYFPNGIDIYFENVGGAMLDAVLLNMRMHGRIAACGLISQYNLEVPEGVHNLFCLVTKRVRMEGFLVGDYFGNYRKFEEEMVGYLKAGKINYVEDIADGLEKAPAALIGLFSGRNLGKQLVAVAKE >Et_4B_039900.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:5576673:5578565:1 gene:Et_4B_039900 transcript:Et_4B_039900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPAPAVGLAVLVLLAALPGYLSDDLNSDAQALQGLRSAVGRSVLTSWNSTTPTCQWQGVTCENGRVVELRLPGAGLMGNLPSDVLGNLTALRTLSLRYNALTGPIPNDLSRLAELRAIYLQHNSFSGELPASLFGLKNLVRVDLAGNKFTGEIPPDFNKLIRLGTLHLENNNLTGEIPKLELPALEQFNVSYNQVNGSIPAKLRKMPKDSFLGTGLCGGPLGLCPGETAETPAGSPESQPGAGGAADASGGKKKKLSGGAIAGIAIGCVFGVLLLLALLFFLCRKKSSAAPRSAPAVEKGRDLGMTPMDAEPKGQNGSAAGHGGAAAAAVVPAAATTAAVAAAAKTGGSTGSKKLIFFGPMAAAPPFDLEDLLRASAEVLGKGAFGTAYKAVMESGAAVAVKRLKDVDLPEPEFRERIAAIGGVQHELVVPLRAYYFSKDEKLLVYDYMANGSLSALLHGKSSSSSSPPFLPFPDEFVTLPAAARTVGGLSPSPISPPGTHTQPGNIPRPVKKPKTILHLHGASTNCQSHSAKPSVSAARGRAKIVQDLLGAASLSPDASRRFNSCPASGPRICGSAHHQFAQTYSLPPSIPVSVYSVTIEHTRSPSCQPWCCKYLLQRTAICYGSA >Et_3B_029907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2941162:2941922:1 gene:Et_3B_029907 transcript:Et_3B_029907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSISSSMQHKTKNEIHRLLDTFGKKYKEILDYLTPDHIVSNNHWNFVYPSILQDNSDLLAKKRRNRNTIFAYFDDAQYRKDKRGLGIVKFRYRTLQEEYKTLEEGKTQKTNMRPERTNMIP >Et_5B_043597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12273465:12286282:-1 gene:Et_5B_043597 transcript:Et_5B_043597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEQLRECGHRMVDFIADYYKSIETFPVLSQPRYLKELLPDTAPNKADTLEDLFDDIREKIVPGVTHWQSPNYFAYYPSNSSTAGFLGEMLSAAFNIVGFSWITSPAATELEVIVLDWFAKMLKLPSQFLSTEPGGGVIQGTASEAVLVVLLAARDRTLRKHGKTSLDKLVVYASDQTHSALQKACQIAGIFPENFRVVKVDCYKNYAVAPEAVTKAISADLSSGLIPFFICATVGTTSSSAVDPLPELGQIAKAHDMWFHIDAAYAGSSCICPEYRHHLDGVEEADSFNMNAHKWFLTNFDCSLLWVKDRSYLIQSLSTNPEFLKNKVSQANSVVDFKDWQIPLGRRFRSLKLWMVLRLYGVENLQSYIRKHIELAHKFEELVISDSRFEVVTPRNFSLVCFRLLPPPSDKDNGYKLNYDLMDAANSSGKIFISHTVLSGKFVLRLVVGAPLTEDQHVVAAWKLLQDEATKLLGSL >Et_2A_017024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30211345:30211805:1 gene:Et_2A_017024 transcript:Et_2A_017024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRR >Et_1A_006906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28979510:28983276:1 gene:Et_1A_006906 transcript:Et_1A_006906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGCSASGERLVSAARDGDAVEARMLLELSPALARYSTFGGLNTPLHFAAAKGHLDIVTLLLEKGADVNARNYCGQTALMHACRHGHWEVVQMLLLFKCNVARADYLSGRTALHFAAHDGFVRCVRLLLADFVPSVALEDIASSVVDGVDSQMNGGSSPNSSLGQKFNESARVRYINKPADGGVTALHMAASNGRFDCMQLLIDLGAGSTPLHYAAGGGSQECCELLISKGASRLTLNCNGWLPIDVARIFGRRCLEPLLSPNSNSSIPVFQPSSYLALPLMSILNIAREFGLQHTIPSVDDSDLCSVCLERSCSVAAEGCGHEFCIKCALYLCSTSNIRVEFTGPPGSIPCPLCRNGIRSFTKLPSTPTEGLKSSSSLTFCNPCILNTRSVDSPATISKSEIRRNRVAAVSSELVCPLTCSPFPSSALPTCRCSDDDPCDDATEAHDGSEAQSPRPSHSASMELDKRGGEDLDRTSCSGMFWSRRSCHREQQCNAEINA >Et_3A_024954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25600924:25605246:-1 gene:Et_3A_024954 transcript:Et_3A_024954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTDWIPERERRQMEQILELDMEELQVEEVDDADSSSSSDVDTFLRNTHGAGGITPEGFITDTSLVCLQAHSYLEAVVDDSRGSFAFLDGDKVLNLPMFCLKGVVLFPEAALPLRVIQARLMEAIDKAINHVDTPCMIAVVHVYQNTNDSHHTIASVGTTAKLFFSSNIFDHMQHFLLIGQEIQQMDDGSSCVLTRGQQRFRLRRRWLDADGIPWGEVKIIEEDTPLRTPRDAFGQLAASNNSRQCASTIPSLHVSCSEQLDHVDSEPDWDSLSPTSTSSDHSISDKRMCLSGSPSSGSLRCSVLDESSSEDEDPLHEQSWQNHGSVKKIGTSVKHTNSGVRKKGSGQQRQYCGAYKSKIASQAPLSFWPHWAYEMYDSYSLARRAADLWRQAMVNPSIDGHVRKPDLLSFYIGSKFPISESLRQELLEIDGISYRLQREIQLLKAFNIIRCRNCLHRLAVQSRKKESASEILLGNTHFSDFRRYAIKTGVQKEGLSVNLDCGAAEIRVFYFGVLCLAGTH >Et_10A_000973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19816923:19823374:1 gene:Et_10A_000973 transcript:Et_10A_000973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATEAEQTRNGQATPEEAIIGEDPKRLRAINDGEGGAVHEEMDEDEADWGKEISYLDFYRQDWERLYGITGSFEDETEIPNMVLTDGPELPLTTYPMDLLQIFSVKVVEIKGALQWPLSVYGHVAVRDSLSQAHISFPTQTRGLPGPCLTAGKHIFLPDQCPFDSPFQSQGPSRAIALIDPVIFEVDLKVKSKGSPFECDDKILSYHALCYHNIIYRHDTGFVRKQVESTEHSTLEFMFAHLKQAVEATIQIRVEEGSSNFKARVATVTTGIDEEVVLLDSLDRKVVVDENGSVTFQRRVVVVEEKSTLIVSVEAIDGDGSESIVKKMNFRPRIALRSKAVFNFGFCKLSVVVAWSMVP >Et_2B_019685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12243639:12251661:-1 gene:Et_2B_019685 transcript:Et_2B_019685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVWYGFVAALMAAKTSGAVQVHKDDTMKRIPIARPCIGREGKPIRLLSNHFAVKLRGVDSVFYQYSVSIKSEDDDKVVDGKGIGRKVIDKLLQTYGPELDGKDFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSPGHESPSQADKKRVKRSHLPKKFVVAISYAAKIPLKSVALALRGSESEHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDNRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVIDFLLKNQKVSDIRDIDWPRAKKMLRNLRVRANHNHMEFKIIGLSDLPCSKQTFPMKVRNGSSELQTVDITVQEYFKSKKVDLTMPYLPCLDVGKPKRPNYLPIELSHMVSLQRYTKALSSQQRATLVEKSRQKPQERMRVVTDAVKSNRYDDDPILSSCGIEIEKQLTRVDGRVLSAPTLVVGNSEDCIPSRGRWNYNNKTLLDPVKIERWAIVNFSARCDMSRISRDLINCGRSKGIFIDRPFTLVDEDHQARRCSPVERVERMFEKVKANLPGPPEFLLCVLPERKNCDIYGPWKKKNLHEMGIVTQCIAPSPKMNDQYFTNVLLKINAKLGGMNSKLSLEHRQMIPVVTQTPTMILGMDVSHGSPGRADIPSIAAVVGSRHWPLISRYRASVRTQSPKLEMIDSLFKPLDDMNDDGIIRELLLDFYKTSQQRKPSQIIIFRDGVSESQFNQVLNVELNQIMKAYQHMGQGNLPKVTVIVAQKNHHTKLFQADSPDNVPPGTVVDTGIVHPRQYDFYMCAHAGPIGTSRPTHYHVLLDEIGFSADDLQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFADTSSVSVRSIFMTNVKRTAAIRS >Et_1A_005976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16257588:16262063:-1 gene:Et_1A_005976 transcript:Et_1A_005976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEVVAAATATTPEVVAATEGAGAAESKGTHKLHRPWTFWYDIQSKPKPGAAWGTSLKKAYTFDTVEDFWSLYDQIFRPSKLQGNADFHLFKAGVEPKWEDPECANGGKWTVPCSRKATFETMWLETLMALIGEQFDETEEICGIVASVRTRGDKLALWTRTASNEAVQVNIGKKWKDVIDYNDKITYTFHDDSKRDKPSRGGRYNKIFEGAFASGALRVCDTFSRGRALLLKRARLAVFVMHQTDPMPWLVL >Et_3A_024090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17265846:17266997:1 gene:Et_3A_024090 transcript:Et_3A_024090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALIRDEGNYQLSMLPAPALLSSEDPFYFDGLPPMPADGDTAGLDDALLQTSFSDIDLDAFGDADEHKPQLADNMVIVPAVDNAAATGRNAGFDGHGDHQKPMAIAESYNTAAGGFDLAVKPYGEHHRHQASSVLALPPPPMPRPHAARRGDRLALAAVGKTRLDHIGFDEIRKYFYMPITKAAREMNVGLTVLKKRCRELGVARWPHRKMKSLRSLILNVQEMGKGMSPAAVQRELEVLETYCALMEEDPSIELTERTKKLRQACFKESYKRRRAASVNTSVIDHIYNFGHGHHQLTMQETSSGAGHGLHSSDFLGY >Et_5B_043452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10642075:10648701:1 gene:Et_5B_043452 transcript:Et_5B_043452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ACFPSGSSAAPVQSAYKSGGGCVPPSSRLAASLPSVSQVMPPRGSHRRRRRVADVNGDGVDRLSGLPEDLLLQILAGLRSARAAARTGVLSRRWRGLWASLPNLSFNGVSLDSVVAALAQVALPALDRLDIRYVTEALPAIDGELVSWRPGTSATTEEDGGAVDVPCPPLALPPPSSGECFAALRSLSLKRCCVDVAALLPMCPQLRVLVLYRCLEYGTGTVIHSPLLEELVLEEVGMVRVDVVAPELRKVTATVEPLMDDDDAVDQSEWGLVFQDTCVGFGQLWLLNTLCQTFDGEKLPCAEIQLSTLLPDALDPDLDFAQAMALVPVANFSVLDLAIVADSHAFGPVVLHLLRMQPLVIRRFKLLLEEETKTCSVKSCSVNCPCDQPSNWRSESVPLTNLEMIQIVGLRGRDHEVDFLKLMFRCATALKKMTVRMSDGASTNESAYEKIHSLFKEYPNVKSFAYNSCGDMIPRRKCRRLEDGDDGDGVDRLSCLSDDVLLDIINRLYSIHATARTSILSRRWRGLWTGLSEVVLDVVDPCKAELVLTQIALPMLKSLDINLSKWMTPQQVSEILCVAARLAPEKRFVICSGHRVVGDDTIQLPCFSNTAALELNMVGAPFTLPRSGEFTALKDLSLAWCRIDPAALLAMCPSLRVLDINDCCALTAVNVHSSSLEKLSLCGTQWEISSIDIAAPELKELKLDVEMTDKFSASISVPMLQTLDWNCSFPDHNVGVGELWRLRSIYERKIYGYHVVSLHIYCDPYRMDTYRSFEQVIAQLPVTEFTVLELDFLTEAHVFGSLALHLLRIQPVIQRLKIVIEAHKEKEPCPISCPCEQPSNWRDESVALMDLETVEIVGFTGKNHEIDFLRLLFRGATMLKSMVVRVSYELSLSDNGYKKLCEIFREYHHVDRYVYNSSNKQILY >Et_3A_025531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30569295:30576126:1 gene:Et_3A_025531 transcript:Et_3A_025531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEPVRDIGSGNFGVARLMRNRETRELVAVKCIERGPRIDENVYREIINHRSLRHPNIIRFKEVILTPTHLMIVMEFAAGGELFDRICDRGRFSEDEARYFFQQLICGVSYCHFMQICHRDLKLENVLLDGSPAPRLKICDFGYSKSSVLHSKPKSAVGTPAYIAPEVLSCREYDGKLADVWSCGVTLYVMLVGAYPFEDPDDPKNIRKTIEQIRQVQYTIPDYVHISTECRQLLARIFVANPIRRITMKEIKSHPWFLKNLPRELTETAQGMYYRRDKRVPSYSDQTSEEIMKIVQEARTMPKSSRSGYGYEVTDDEEEKEEEHRPDDNEEYEYDRRVRERLKVEERKFTSGKEAGA >Et_2A_014637.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:30529133:30529462:-1 gene:Et_2A_014637 transcript:Et_2A_014637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSISSVCTDAVAASTRIQLRVPPPRFFRLGTSRPPGKRRPAEPGGADGRAGEEAEATWDGYARCRSGCLTESDAAPAEAMAGAPASDWPSSWAPASAVGPFADGSL >Et_1B_009635.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9993905:9994987:-1 gene:Et_1B_009635 transcript:Et_1B_009635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPQRWTCCSATSTSWCTRTRASRPTPPPCRCFLLRLGDTTATWRPFFTHQERQGCGYHRIICPSRRGPRRRRRPDDPSSRASSTVQLRRRRRRLLYLHQQLRNRGGSERGVRRRHRRHVPEDGEGPRGAAVGGLSVDDAGREDDHGRPRRARHMDVRVLPFRPVQLTSPGALHRAVSYIDRYLSANKIDFDAQDLRLLGAVAAFAAAKYEDCKTSWTRVNADTVARDVGRCTRRRDVVDLERDLVAALGYRLSGPTAYTFVDHFMRHDQDCSVVRSLAHHLADMTLLDYRCVPLMPSAVAAAAILVARRAVFDSAATLPQELWSEEMVKMTQPRTWPTSRTPSTRCTSWRACGQGALR >Et_5B_045571.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:4672106:4672804:1 gene:Et_5B_045571 transcript:Et_5B_045571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRSTMSSLLLMALLLSCSGKSGAARWLEEAAPKEEYPHPEVPELPKPELPPHPEVPELPKPELPPHHEVPELPKPELPPHPEVHELPKPELPPHPEVPELPKPELPPHHEVPEEPKHEEPHPVVPELPKPELPPHPTVPELPKHEEPHPVVPELPKPEEQHPIVPELPKHEEPHPVVPELPKPELPHPEVPEEPKHELPPLPKVELPPKPELPPLPKVELPPKPEGHY >Et_6A_046657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1909796:1911914:1 gene:Et_6A_046657 transcript:Et_6A_046657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNGCGRAQQALCHPPRPLLFIVAPKRRIPAVAAFLGQLRAELDAAGSQRSRGGGRHGLLRPRTGVPGWRRRRGRATRVRVQPLLQRAGLLAPDAQLHRRRREREPGVPGAVLGAARGCQRRGHPRLAPPELHHHRRPVPIRGAGETHPDAAQGRRQRRRRRQAPRLWRRFHAAHQRSGVRAVTGRQRLDAGTRGRRRPVLPGLQNADRDVRWPRVQLGRHHGCVGQQRVTELQQRRLLHRVCHVAQQSRRPASPARPPRWLVGGDLRPRILLAALHAHPRTSRRGSHALHHVQAVRTCRQQIRMGADQGCHGSQEGIRLRGGQLLWRVARHPAADAGYLEGHVGQPPRREDIFPFGHGVMTSRWRRLNTVMSRSRRVSLHNKIPPQLCTYFRRIREPSPAYAWIKCEREEDEDCRAALLKENIITRSGVKNEASSMFARLSLLKSDDDFDVLMERVTDLVNAENNDASAGSSS >Et_2A_014621.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29179740:29181196:1 gene:Et_2A_014621 transcript:Et_2A_014621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDIVDLEKGARLAGGRGYFLKEEGVLLNQALINYALDFSRERGFTLMQLPTFMTKEAMAKCAQLAQFDEELYKIEGDDKFLIATSEQPLAAYHLGERIQPDQLPIMYAGYSTCFRKEAGSHGRDTAGIFRVHEFQKIEQFCITRPDESWEMLEEMIGNAEDFYKELGLPYRVVSVVSGALNDVAAKKYDLEAWFPASKTYRELVSCSNCTDYQARRLGIRYGQKTEVGSQKFVHMLNSTLTATERTLCCIMENYQTEDGVVVPKVLRPYMGGIEFLPFKKTLDGKPIAPKSMGHASWLRQLFRDPPMSEFSLFHDCLLLIFVICVTVLLSVV >Et_10A_000147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12368469:12369065:1 gene:Et_10A_000147 transcript:Et_10A_000147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGYIVASLVGSFAIAYLTHLFLTRRHLETGGTPKTVSEKEWWQATDTKFQAWPCTAGSLGEDEEDHDGIGEDEDGARAAPPQGGRGGPPPPPPPPSLPGCLLRPAADSEQEFEGHRHGRDNGKDAGVYSSSVSGKSTTTAATVEVMTRRGKQFDASHLLAISFLVIH >Et_2B_021708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4691592:4695104:-1 gene:Et_2B_021708 transcript:Et_2B_021708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAATVTLGGKGGALTPAAVYALAHELSSPSIDPSALQRLSTRAPSPQETPASLRELALDGEVSRAAAAVLLNKLLLTAADSPSALVTAATATGLAEKLDLNAVLFNKLSSRDEAAVAAASAPVAVALAALIDCCAAPLSRMADAVAALSFEAARGDAAAFEVPASGDGLSAKDEADVAADIKMLVFGSKLVGSAGGASSTSFAKVPTVNGVFREAVRALHARVRIELNAPVKLGKRDAGETGEGKEEALVVLATQLARALQAMCKLSIARINLLAEKSIDDTEFRQKLTGDLNLDDLKGVLDSDAVAVLKGVYNRLQKLREFLAREAAVAMAVIEADSSIEKPQAGDEKEAGSSTEKPQAGGEKAKGDKKSKKKRTLGKGTSAVLMLLRDHVNKGSDVPCVNYDLISTWEVALKLLFYPECPKLKSLVEKVKEIVESNEVRRLPKIPKGTRDFGKEQMAIRERAFSIISSVFKMHGATALDTPVFELRETLMGKYGEDSKLIYDLADQIKLNHRKLLDGMLEICGVPPEKFRTVCSSIDKLDKQSFEQVKKELVDEKGISDETADAIGNLVKTRGHPLEVLEELRKGGSKFMENGGSVVALKELDILFQALEKANAIDKIVFDLSLARGLDYYTGVIYEAVFKGTTQSIRPTETEVLVSILGKDLTLAAELVSQLWNAGIKAEFKLTTRVQNHIKYALQSRIPWMVLVGGTEIEKGIVKLKDLKTSQDEDVPRETFVQELKNRLGRP >Et_2B_021284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28272962:28277444:1 gene:Et_2B_021284 transcript:Et_2B_021284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGGGPRPRHLLLLAVLCAAAASPARAFYLPGVAPRDFQKVTPDSLPDDDLQVKVNKLSSIKTQLPYDYYFLDYCKPEAIKNSAENLGEVLRGDRIENSVYNFKMRRDESCKVVCRTKLSQEAAKNFKEKIDDEYRVNMILDNLPVVVPRQTREGSQTPSFEHGYRVGYKLKDDKYYINNHLSFKVLYHEDETSPDARIVGFHVIPSSIKHEYGAWDDKNPTVQTCNANIKITPGSHTPQEVAPDAYVVFSYDVTFEPSDIIWASRWDVYLLSSDSQIHWFSIVNSLMIVLFLSGMVAMIMMRTLYKDIANYNQLDNQEEAQEETGWKLVHGDVFRPPVHSGLLCVYVGTGVQFFGMTLVTMMFALLGFLSPANRGGLMTAMVLLWVFMGVLAGYTSSRLYKMFKGTEWKKITLKTAFMFPGIIFAVFFFLNALIWGEKSSGAVPFGTMFALFLLWFGISVPLVFVGSFLGFKQPAIEDPVKTNKIPRQIPEQAWYLQPAFAILAGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILVVTCAEITIVLCYFQLCSEDYHWWWRAYLTAGSSALYLFAYAIFYFFNKLEITKLVSGILYFGYMLIISYAFFVLTGTIGFYACFWFVRKIYASEALKTLVDGSSLRANTMEFRLETVHIDDIVPSTSFQLNISHDE >Et_10B_003685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4899627:4905125:1 gene:Et_10B_003685 transcript:Et_10B_003685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAAATSLAAAAIAAPTSRPSRSLRHQVRCSSAPRRSVASMAVSAPRSGAKASFLERRESERALHFVKYQGLGNDFIMVDNRDSSVPKVTPEEAAKLCDRNFGIGADGVIFVMPGVNGADYTMRIFNSDGSEPEMCGNGVRCFARFIAELENMQGTNSFTIHTGAGLIIPEIQNDGQAELAVEGLTWHVTCVSMGNPHCVTFGTKESKLLQVDDLKLSEIGPKFEHHEMFPARTNTEFVEVLSRSHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAERKCIVDLPGGPLEIEWREDDNHIYMTGPAEAVFYGSAMSVDTCRLTPIEVVEKLLLATTEEIPEASDGSG >Et_9B_064618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16994428:16996850:1 gene:Et_9B_064618 transcript:Et_9B_064618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKAMGQVEQDHFVLKSGHTMPAVGLGTWRAGSDTAHSVQTAITEAGYRHVDTAAQYAIEKEVGQGLKAAMEAGINRKDLFVTSKLWCTNLVPERVRPTLKNTLKDLQLDYLDLYLIHWPFRLKDGAHMPPEAGEVLEFDMEGVWREMENLVKDGLVKDIGVCNYTVTKLNRLMRSANIPPAVCQMEMHPGWKNDKIFEACKKLGIHVTAYSPLGSSEKNLAHDPVVEKIANKLNKNPGQVLVKWAIQRGTSVIPKSSKDERIKENIQVFGWEIPEEDFKALCSIKDDKRVLTGEELFVNKTHGPYKSASELWDHED >Et_4A_032420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:144402:147191:-1 gene:Et_4A_032420 transcript:Et_4A_032420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGALVDGPAAAGAGYNGRVTSFVILSCIVAGSGGILFGYDLGISGGVTSMESFLRKFFPDVYHQMKGDKKVSNYCRFDSELLTVFTSSLYVAGLVATLFASSVTRRFGRRASILIGGTVFIAGSVFGGAAVNVYMLLLNRILLGIGLGFTNQSIPLYLSEMAPPQYRGAISNGFELCISIGILIANLINYGVEKIVEGWGWRISLSLAAVPAAFLTIGAIFLPETPSFIIQRDGNIDEAKILLQRLRGTTGIQKELDDLVSASNVSRTIRHPFRNILQKKYRPQLVIALLVPFFNQVTGINVINFYAPVMFRTIGLKESASLMSAVVTRICATIANIVAMVVVDLFGRRKLFLVGGVQMILSQITVGAVLATKFKDHGGMEKEYAYLVLIIMCVFVAGFAWSWGPLTYLVPTEICPLEIRSAGQSIVIAVIFLVTFVIGQTFLAMLCHLKFGTFFLFGGWVCVMTLFVYFFLPETKKLPMEQMEQVWRRHWFWKRIVGEEEDGGEARGIALTST >Et_4A_032741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13236576:13245770:1 gene:Et_4A_032741 transcript:Et_4A_032741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRCVSALARRRRPDRAVDTVRAGFHCLANISSSVGATESQRCSNTYATSCATAPDILSRETSSSSEMLVDSFGRFHNYLRISLTERCNLRCQYCMPAEGVELTPKSELLSHDEIIRIANLFVTSGVEKIRLTGGEPTIRKDIEDICLHLSSLKGLKTLAMTTNGIVLSKKLPKLKECGLSALNISLDTLVPAKFEFMTRRKGHSKVMESIDAAVDLGYNPVKVNCVIMRGMNDDEICSFVELTRHKPINVRFIEFMPFDGNVWNVKKLVPYAEMLDKVRQKFKGVERLQDHPTETAKNFRIEGHVGTISFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLREPIRAGVDDAGLKEIIGAAVKRKKAKHAGMFDIAKTANRPMIHIGG >Et_7B_055239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8655330:8657248:1 gene:Et_7B_055239 transcript:Et_7B_055239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTERAFLKQPKVFLCSKKITKGKKPGKGGNRFWKNIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYEKRHSNIPAHISPCFRVREGDHVIIGQCRPLSKTVRFNVLKVIPAGSTSGAGKKAFIAA >Et_9B_065657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8926458:8926924:1 gene:Et_9B_065657 transcript:Et_9B_065657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVITILLLTLGLVSFAQPSSVEGSRSSRESQYIVISGCNNYCSVACCYCNIHRFPPVCEKCCK >Et_10A_001155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21605691:21607580:-1 gene:Et_10A_001155 transcript:Et_10A_001155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAAGGEGDEATRFIAGEGRVSMKGEEVTRRRGMGVVVLAAVAAATAAVEEEAGAARTPVQVKDNRGRMGRRKDHGVLLLAAAMEAGRRPSRIAAEEPSPPEVVAIQEQDPPEVVAMEEQEVVALEEQVAMEEQESSLTGRLWKFRRSRTQGLRPSSVRPGITTFFFTNLANYHFYQNYTVLNLNGTTTTEIKNRWRKCVLDYRLWCYNTSDRRYDFGGMHQRCCTLPPVGHLVVYQHYNGKWLAAVIDGPSGYLDGYVTRSVGKKTDLRVFEHSEKKGTMRLKFIESAKELPWDGRYRTAEEAICGNLVPSFLIMYRHIERELPKPTSEEVIQAGETFILHFAESGRNDTLYMGAIHRYFRAQYAVGSKLIDDARVWKTSCSVIYNAIGVTKEDFIAGPKGRYAKAKRTTTTAEIARDAKKFLKRIRLLHRPVHSHGFLLLKDMPVHLSKEGPVDAEWAEKELQRSRNPDFANPNWGFLMEPFPAFPEN >Et_4B_037024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13715989:13716533:1 gene:Et_4B_037024 transcript:Et_4B_037024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLANNGGSLSLTWSSTFPALAVLLVVVAVVPAATSAQEVQAPTWPSSMARDRQPEPDRPAAAPLDPRALGAVRCGPLMSLRFGSFPVVVGSSEEMARYFLKTNDLAFLDRPRTAAGKHTVYNYTGMLWPHYGAYWRQARRLWVTERVRAEEVRAMLRELASLAAAGRAHGDAQRE >Et_10B_003482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:20020816:20026833:1 gene:Et_10B_003482 transcript:Et_10B_003482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPDDSVLASCKVCPPPFPLVSISFGVLVLWDKVAKLLLLVSPIVMFELTYSTSTTVTNDLLQYKLNHFRIKELKDVLHQLGLPKNGKKQCVDPQCNVWQHVSCVIVPEKPADNIAPELPSCFYCEMCRISRADPFWVTINHPLLPILIAPTNIEADGSYTAQYTAKSFALSRANRELLQKVEYDLQVWCILLNDKVPFRMNWPLHSNMQVNGVHLRVVNRQPTQQLGANGRDDGPVLTDYLREGPNKISLSRNDTRTFCLGIRIVKRRSLEQVLNLVPKEQDGEKFDDALARVRRCVGGGTEANNADSDSDIEVVADSVSVNVRCPMTGSRIRVAGRFKPCAHMGCFDLEAFIEMNQRSRKWQCPICLKNYSLEDIIIDPYFNRITSLIQNCGDDTSEIDVKPDGSWRVKGGPGLKDLTKWHLPDGTLCAATDSGAKPKSDIVKHEVKEEPLSEEPGFRLKLGIRKNSNGRWQISKKISVPSAGDDRARPFENKSCTILTNAIDDVSTEEETSEPGINDRPTPMSQVHDLESSPADEDVPPAPEDQDIIVLSDSDDDNVMVLSPSAVNCGSAPPSLVETSGVGDEQPGGSLNEISLLAMKECFGDLDSFWDFPLSPQDDPNNQVVDPSARVSEVQTYPAKDQSKHEPVSVGDLGAMEVPANPLENGHDGVLQACTSSERDGGISSLANLCDHIQACHDLHSDNSDESLVTAKTASRKRRNSEEGIAGSYDDDDLSGERCSLSSPPQKRRSVGPRLILTIDSDTD >Et_1A_006988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29950913:29952007:1 gene:Et_1A_006988 transcript:Et_1A_006988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GILKHTLCLSPPLHPELRDGSVPPIAHTSLLLVNSQQKVVVRVPTMTDEKIKQKAIEAVADIYGIDSIAADLKENKMTIIGDMDAVAIAKKLKKVGKIDIVSVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >Et_8B_059700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20814881:20822037:-1 gene:Et_8B_059700 transcript:Et_8B_059700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLTPAPWPRPSCRPRRRCAVAARYGSWVPSSSGLVIQFGAQFALNGETAGEEELKNKTRPMATVVVSAYKGVIESVLAKLKELMTGDKCTNLIAGVSSKDIHFLRDELPAINALLKKLEDADELDLQAKNWRNQAREMAYDIEDCIDEFSNNMESVNAKASFLEKASHFLKTCRAHLETAWQIKELKCRLKEINERRKRYKVEDCISNTSSVIVDPRISAFYKEAANLVGIDSPKRELAKMVMDEGKHLKVMSIVGFGGLGKTTLASQVYREVGGQFNCNKAFVSVSQKPDMVRLLTSVLLQLKQHPPSHACGVQDLINILREYLLDKRYFIVVDDLWDVPSWNIVACAFPLNKHRSRVMITTRNGDVARACSSDHGCIHNMKPLSEPDSRKLFFNRIFGSEDACPSHLKEVSCHILKKCGGLPLAIVTVASVLACRPTRLKEQWEYVRSSLSTNEFARKSTLEDMMHILELSYKSLPHHLKACFLYLGAYPEDCVINKFELVKRWVAEGFVSTHTGQDAWIVAESYFNELVNRSMIQLPYKDYYNEVSYCRVHDMMLDMILRRCKEDNFISVIHDPQAAAEVQDKIRRLTINLNGAEDDTMAMTVTRQVSHVRSLALFGGSKWIPPLLEFKFLRVLFLEFFLREMIIDLTGINQLSQLRYLKVECKECLLDGDIPSQLSIMLPGQIRRLRYLETLELPWVSDCSIPSISDIVDLPRLSHLVLRQHKGGLPDGIGKVKSLRTLHGFNLPVSSFENIFGLGELTNLSDLSLHCGKGCPESTILGWMAALSCSLQKLSNLKGLSVRSSSSCCADALSSWVLPPFLNLEQFDLLDWTFSRIPRWISHLHSLRDLALGAKHILQEDVDMLGTRLPFLVHLSLRMIPGGPVKERRILISGSTGFSALRFFCFDCSGMSCLAFGVGAMPRLRRLLLGLDPREWDKATPAGLDHLPCLEEIRVLTASTANEGSELMKIKPEQIKDVFQEVANTLPSPPAFNLLPRFRSLSDHVNCCKMNMETFK >Et_4B_037315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1788822:1793165:-1 gene:Et_4B_037315 transcript:Et_4B_037315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLQQHHRSRSASSFARSTSEAADFDARSLGSTATVAAEAVVECPFGSVDGLGRAELREAAYEVFFMSCRAGAGGGRAAGGANYYPASGEGGGDGGSPTNGAGPRGGTGMNVVSSRVKRTLGLRARRSSQPTTVRSSVNPASAPGSPGRVRAVREQQHQPTPGSPGRPRRPMTSAEIMRQQMRVTEQSDARLRKTLMRTLVGQVGRRADTIILPLELLRQLKLTDFADSGEHHQWQRRQFKLLEAGLILHPSVPLDRHSAPVLRFREIMHAADARAIDTGKASDTLRALCDAVLALAWRSAPGAGPPGEACHWADGYPLNVLLYVSLLQAIFDRRDETVVLDEVDELLELMTRTWATLGVDRMVHNVCLAWVLFQQYVATGQVQPDLAGAAVTMLAEVAADAEQERRDLVYLRVLSSALAAIHDWSEKQLLDYHERYGKCVAGVGAEALEGAMSLVLCTSRIFAENLPGMGIITSADVDRDGSVTESFYCGRVDYYVRSSMRSAFTKILENELGEGNSMILDGDDEPSEILARLAKDAEQLALFERDNFSPVLMRWHPFPGAAAVATLHGCFGVVLKQYLAKATSLTNELVHVLHAAGRLEKALVQVVVEDAADTDDGGKSLVREMVPYEVESTVARFLKTWIEERLRAAKECVTRAKDTESWIPKSKNEPYAQSAVALMKLAKATVDEFFGIPVSARDDMVQDLADGLGAIFQEYISFLASCGTKQSYLPSLPALTRCNQDSKIIRLWKRAATPCRSPVSPRGGVSHGQSLSSTGGHNPRPSTSRGTQRLYVRLNTLHYLLSHIQALDKSLSFFSGGRCAGSPASSANRRLAPPAYFDNARAAAQSAIGRVAEVAAYRLIFLDSHHSFYDGLYAGGVTDARIRPALRTLKQNMSLLVSVLVDRAQPVAVREVMKASFQAFLIVLLAGGSDRSFTVEDHAMVEEDFRSLKRAFCTRGEGLVAEDVVEAEAQAAEGVVALMAQTTEQLVEEFGIATCESTGACSNGQRLPMPPTTRRWSRTDPNTIIRVLCHRDDEVASHFLKRAFQLPKRRSAYNSAVSLPNKGRMRKDSLLAIAPSVYLIKENGKKQADAIIQK >Et_2B_020189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17804551:17805038:-1 gene:Et_2B_020189 transcript:Et_2B_020189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCADVLLALLLCLSALSASAAEARKERFRDNAALLTGRKRYRGLKIMAAPHEDSNKDEVIEGKGAKSNDANTVHSNGEEKTVEVSVDGLSGESGGSNRKFVRLMELDAEDYYNASVHPPRPPVGG >Et_3B_029741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27518694:27521597:-1 gene:Et_3B_029741 transcript:Et_3B_029741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDGVVPDMEILQTDELSFLFGSWSYVPLLCVVLLWLTWRFRSRIAELCSFISQRNVPKKNLAITRFAPQGHGEQKKLTHTAASGHQREKRSRLMEYGYPFNGCSSNKEKRPPLKRGQLKLQIAKTLSSLVVPAGAKNRENSFGR >Et_7B_053375.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:14193295:14193558:1 gene:Et_7B_053375 transcript:Et_7B_053375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLANFAVTVTKLQITYCCNALLLHPFGKLSTSAVGKHISSRRPWGIPRPAGVAQSLVVTKHEIPTSAIFRVGTETQETGHTSNTSL >Et_3B_029298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23853574:23855052:1 gene:Et_3B_029298 transcript:Et_3B_029298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKEEPVIESVMDKISDKFHGGDSSSSSDSDDDKKKGSSSASAAADMKAKIYRFFGRERPVHSVLGGGKPADLVLWRNKKISGSVLAGATAIWLLFEVMEYHLLTLLCHCLILSLAVLFLWSNASTFINKSPPNIPEVKIPEDLAVNIALSLRYEINKGFATLREIGHGRDLKKFLIVIAGLWLLSVIGSCCNFLTLFYIVFVVLYTVPVLYEKYEDKVDAFGEKAMIELKKYYAIFDEKCLSKIPKGPLKDKKH >Et_2A_014783.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:14495243:14495353:1 gene:Et_2A_014783 transcript:Et_2A_014783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHITTSEKECSPGRFDRNNTEITETGPEQLQNCPV >Et_5B_044418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2395190:2399527:1 gene:Et_5B_044418 transcript:Et_5B_044418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAGPVAAAAAPAAKPVPSPAVEISPELYPSDDDLPYEEEVIREPFKLKGWWRYLVARAAAPFYKREIIYERAVKALPGSYKLWHAYLRERLDHARPHPIDHRAYRSLNNTFERALATMHKMPRIWVLYLTSLLDQRLLTRSRRAFDRALRALPVTQHDRIWPLYLRLASLPACPVETSLRVFRRYLQFDPSHAEDFINFLIAANRWQEAADRLASVLNDDGFRSVKGKTRHQLWLELCEILTKHADEVAGLKVDAILRGGIRKFTDEVGKLWTSLADYYVRRGLFEKARDVFEEGVSSVVTVKEFSVVFEAYTQFEQSMLAAKLEAADEEGDDDEQEGGGRKNGMEKLSKKFLEGFWLNAEDDTDLRLARFEWLLERRPELLSSVLLRQNPHNVEEWHRRVKLFEKDPARQVATYVEAVKTVDPMKAVGKPHTLWVAFAKMYEKHNRLDSAEDIFKRATQVNYKAVDHLASIWCEWAEMELRHNNFDKAIELMRQATAEPSVEVKRRAAAEGNEPVQMKVHKSLKLWSFYVDLEESLGTLESTRAVYERILDLRIATPQIILNYAFLLEEHKYFEDAFKVYERGVKIFKYPHVKTIWVTYLSRFVQRYQRSKIERARELFNEAVQQAPPDEKKPIYLQWAKFEEDYGLAKRAMNVYDEAVRAVPASERMAMYEIYIARAAELFGVPRTRQIYEQAIESGLPDRDVLTMCMKFAELERSLGEIDRSRAIYVHASNYADPNTHSDFWKKWNEFEIQHGNEDTFREMLRIKRTVAASRSQTHFILPEYLMQRDQRLNLDEAVDTLTRAGVPQDEMAALERQLAPEPSTAPAAATSTATTTANRMMNFVSAGVEAQAESSRQQAGNNEDIELPDESDDEEADVQIAERSVPAAVFGELGKRAAENREESSGAQENEQLGALERIKRRRQ >Et_2A_016282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22944247:22944893:-1 gene:Et_2A_016282 transcript:Et_2A_016282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETRIFSRRSETKMEGHDGTAPLEEGPSYMHFICNITVVFVSRRLGDGGLATSAGATPAGRTCESRHLVEDPSSFLDTVAPGILQIVQANHDRGLCGGHYRFQVDMDIEVKLVYSEPMALLRHCSEKADLHTTTATCEPCGICLDGLTEPSRTPPLNLPCGHAFHSHCITVWLHKGTACPMCRRDLRGLVAPAGPGHRLQRDDISAIERRDC >Et_2A_017512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35009877:35018613:1 gene:Et_2A_017512 transcript:Et_2A_017512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFARSISFPLSPSRSNNSSKARMAPAGGHARSVSLPCRSHPILAHLHMHIRAVRAWAAEPAAAAPSAGLAHLDALHAALGELLDLPEAQAALSGAASCERLLDAFLRLADAHGSFQEALLDLKQHVAEALAAARRHDLARLASALRSQRKAGKDLARLAAAARDGARPSRLGFGGGSAAEVEVVGLLAESAAATASASAALFNIVAAMSACSSCSKRTAALMCLVNKVSSDHQQDKETMALTERLEELQECIQELETGTDKVFRSLVQTRVALLNQWLRALAAPYPDILPSEPGEVQHLQAPRALACRPPAPTSSPKHRRAAEPSTGLAHLDALHAALGELLLLDGFLALAGAYGAFQEAALDLKRHAADAHPPWPQIGAAATVAEVEVSGMLASASAAKKKKKKKKKKKKKKKKKKKHQQEEEMAPSFGRSISFPLSPARSNTCRRHVRSVSLPCRSHPLVAHLQSHIAAVRAWAAEPTTAASGLAHIDALHAALGELLVMLPAEAHDAAASDRLLDGFLLLADAHRGFQEALVALKADLADVQAALRRRDAARLASAARSQRKNQKELARLAATVAAKCARLIHGGTTATAEEAALMDAVSASATASAAVFSAAASMSASASSSSSKKTSTFAAFTKLKDNSSDMAEVAPEKLEELAQCIDECENASDKVFRTIVRTRVALLNIRTPPI >Et_6A_046251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11197302:11197938:1 gene:Et_6A_046251 transcript:Et_6A_046251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREVVISILAMKQSLQAKVIALMWSWWDERNKVSEGERRRTAESMVFASHLFAAEIVRFFQTTTRGVQRSSRRWEKPEQGTMKINCDGSIQQKHGKGGWGFIIRDSDGDPGEVELRMVRCTQKQLTALEELQRLRRLDGANRSIGLASVVCPETADPLLDRLPACIYDLVASDSATPVS >Et_4A_032772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13669931:13673432:-1 gene:Et_4A_032772 transcript:Et_4A_032772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVIRDLGSGNFGVAKLVRDVTTKELYAVKFIERGMKARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSVAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDDPRNFRKTITRILSVQYSIPDYVRVSMECRHLLSRIFVGNPEQRINIPEIKNHPWFLKNLPIEMTDEYQQQTQLAEMNTPEQSLEEVMAIIQEARKPGDALKLAGQVPGLGSMDLDDIDLDDIDDIDIENSGDFVCAM >Et_4B_038680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:405942:406772:1 gene:Et_4B_038680 transcript:Et_4B_038680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGRPRLGRLATFTDPGTLRDAFAELVATAIFVFAAEGATLSHGKVSVAMAHALALAAAVACTMNISGGHVNPAITFGAFLSGQVCLVRSIVYWTGQLLGAVTAALLLRITTGGARLAEHSLAAGVEGWHAAVLEAAMAFGLMYAYHATAVDPRKGRVAGILMTPLAVGLLAGANVLACGPFDGAVMNPARAFGPAIVGSRRWANQWVYWMGPMAGAGLASLVYEHLVINPADDKTKRN >Et_9B_064163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1247736:1248484:-1 gene:Et_9B_064163 transcript:Et_9B_064163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPIDTRRRSPPDTPRWASSPMMVSAARARPSWSTRASTRARFFSGDSARGRRNSAAYCSVSRTVSMGRSSCITYAEIARRRRPCRGSPLSVTAPRRLSRAIRPASASNSVDLPEPLAPITAKSSPSRHSPEMPSSRVFVLPADGEAGAPPFLTPYERSLNCSTYGTGGASCSVAFLPQGSSASMSITQIANSTLLQGSLETRCAVVLSVIKL >Et_5A_042233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7890577:7902883:1 gene:Et_5A_042233 transcript:Et_5A_042233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRLPPALTADLLREIFLRVASFSDLARASAACVCFCRLIADPSFLRRYRSLHRPLLLGSIGPRGLDTVKAPHPNAAAARAFARTFDFSFSYLPRDGGMCDIRNGRVLANFIDYDKNIYLWDLALCNPLSRTYQLVPPLPTDLLACFQLEEHNIFNFETFLVPSEDVEETSFKLLSCMISKTTVVLFIFSSGSGCWSVGTSTGLDSLSLDEPQDLPLLSLRRYVYGCFYWKVNHADKLLKLDMNTMRFSTYDLPPDHADRRVSVVEAEDGMLAMFSQIDRGRSLDYYTFLPNGSKKGGEWHLKNTAPLPSQYKCNMTDREAEGLIFLSGRPKDDDISHSACFSLEIRAFKIERLNGIVHPYFFNPYFGFPPIIPPRRIQAYDVHRTLSSPNPSPFLPTPAAGALPAMASPVRPTLPCVGAMESQRLPPTLTADILREIFLRADSPADLARAAAACVGFCRLIADPSFLRRYRSLHKPMFLGFIGSDGLHPVPAPHPNATAARAFARTVNFSFLYLPCDGGVVDIRDGRILVELIDEDKTSILLWDLAVCHPVSRRYQRVPRIPDDVLASAQIKEGDIVNFYTSLVPSGDFEAKSFRVIRCILVKTGLVLFIFSSVSRQWSVSTSTSWDALRLDAPKAWTNVLDSRRYVHGCFYWKVNHKDKLLKLDMNTMKFSTHDLPRDQAERTVVIVEAEDGELAMFSHDQVDGGRSLDYCTFSPNGSKKGGEWQKKNTVMLPSQYECNMLHRCQSEGHIFLFGVPKAKVISHHACFALDIKTFKVERLNGIYAPAIETPYSGFPPIIAPKKIQGYKMMLPPTLTADLLREIFLRAASPADLARAAAASVSFCRLIANPSFISRYRTLHPPLLLGFIDSDAALPERSRRLRFCPHPRPLYFRYLTRDGLIADVRDGPHRSQENSVLQWDLAVCDPLFRRYELVPPVPDAVLASAQIQRHNLVNFHTFLAPFGDLEETSFSVIRCILVKTGLVLFVFSSDSGHWSGIISTGWDALSLDAPKAWTNVLDSRRYVHDCFYWKVNHKDKLLKLDMNTLKFSTHDLPRDQAERTVVIVEAEDGELAMFSHDQVDGGRSLDYYTFSPNGSKKGGEWQKKNTVMLPSQYECNMLRRCQSEGHIFLFGVPKAKVITHHACFALDIKSFKVERLNGIYAPAIETPYSGFPPIIAPKKSKGYKMVILFFFTIVTLYGFSVSQAF >Et_9A_063380.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22145018:22145428:1 gene:Et_9A_063380 transcript:Et_9A_063380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDSVAVAREISETAPMSPDAKAPTAAAAASPARPRGWLRRLIPPEYMPRSRRWKLISPTGGAVGRGPSRLASSLSRSLRWKRLPGFPSLSLRTGSASASAVVDAVTFRVMYVVEAVVLGLGLSCFFLCCGCHL >Et_9B_063970.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:7610776:7610904:1 gene:Et_9B_063970 transcript:Et_9B_063970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYERCHACSDRIKHPKGDQFIAGHNATRMPYYSALPWIGKK >Et_9A_061819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16802732:16805146:-1 gene:Et_9A_061819 transcript:Et_9A_061819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLDQWRDYFRGAGAGICDVIENAILVAAADAPRELLHRRDRIAERLFTALRRDTAAAPPPSLGSAAASATPATPVEEDKGSVRRVAEKEFKVDSSSNGAHRGDHGHDEDDDSDSDDERLRRAAASNYGHNYDEDDEEDEQVADEEQEEEDDHEVEELEALTNEIDEESQIVGEVLRIKDLLLHKEDHSDATLFESLRRLQLMQLSVSTLKATEIGRAVNRLRKHNSQQIRHLVRTLIEGWKVLVDEWVNTTNAALADNSPDSSNPSVVDEEEEEGLPSPPLDEGAFFATQTTTVQLSEFFDEMDEDGNLRHNNDANIGNKRGNTGGRTANHLAVARQEPPRPSPGTAEKVQFRRPELARQDPSTRQANLQRPQSSSLQIKPHGVLNKQSKPSSFESGPGRPIKAAPQLKPTGDMKLKQTREQGVVERKPMASQMDKSRLAAQPSAGARLELAKPKTNDDGLDNNRKLEAAKRRLQERYQEAENAKRQRTIQVMELGDIPKPKHQNRHPVAKSRNNLRNLANGRR >Et_5B_045177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1108104:1108835:1 gene:Et_5B_045177 transcript:Et_5B_045177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISEYIPHGRKRSICAADFWPEAAADFDGLAGATYPADQGEEEPARKRERKTMYRGIRRRPWGKWAAEIRDPAKGARVWLGTFSTAEAAARAYDRAARRIRGSKAKVNFPNEDSPPDYDHATGAQGIISAEPRGDLVDYDVAVMGMGMGMDFFHQPTSYVVHEAVPIAAAPEEAAAAYVQHQHNPQQNVDAGMELWTFDNIGTAVPI >Et_4B_039025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7057351:7061326:-1 gene:Et_4B_039025 transcript:Et_4B_039025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVSQPPVAARASSRFLPRGLGAVPESAPASLRFSVGRGRRAARLEVKASGNVFGNYFQVATYGESHGGGVGCVISGCPPRIPLAEADMQVELDRRRPGQSRITTPRKETDTCKILSGTHEGLTTGTPIHVFVPNTDQRGGDYSEMAKAYRPSHADATYDFKYGVRAVQGGGRSSARETIGRVAAGALAKKILKLKSGVEILAFVSKVHQVILPEDAVDYETVTMEQIESNIVRCPNPEYAEKMIAAIDKVRVRGDSIGGVVTCIARNVPRGLGSPVFDKLEAELAKAMLSLPASKGFEIGSGFAGTDFTGSEHNDEFYMDEAGNVRTRTNRSGGVQGGISNGEIIYFKVAFKPTATIGKKQSTVSREHQDVELLARGRHDPCVVPRAVPMVESMAALVLMDQLMAHIAQCEMFPLNLSLQEPVGSASNVPELAPNLS >Et_5A_040932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15032371:15034105:1 gene:Et_5A_040932 transcript:Et_5A_040932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAASRVVWVNGPIVVGAGPAGLSVAACLRARGVPSVVLDRADCVASLWQRRTYDRLRLHLPRSFCELPGMPFPDDYPEYPTKRQFVDYLERYAASAGVEPRFNTAVTSARYDAAAGLWRVVAEDVSVSGAGDVTEYIGRWLVVATGENAERVMPEFEGADEFAGPISHVSEYKSGEAFRGKRVLVVGCGNSGMEMLGVATFSVAVFLLRFLPLWLVDRILVLLARVFLGDLEKLGIRRPARGPLELKNSRGRTPVLDIGALARIRAGDIEVVPGIRRLRRGGAELVDGRVVDADAVVMATGYHSNVPQWLKGSDFFTQEGYPRVPFPDGWKGESGLYSVGFTRRGLSGVSADAVKVAQDIATAWEQQTSSSR >Et_9B_064762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18397409:18401138:1 gene:Et_9B_064762 transcript:Et_9B_064762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPAARHRSANVLYKELAASFPSRAPTISSDTGAGSVLRNLHERYRSSYVGSFARRIRDFDSPSEASLLKEIYRSEPERVIQIFESQPSLHSNTSALSEYIKALVSVDRLEESLLLKTLQRGYTSSAMDEGNRIGIPALRSVGQLTKDGALGTSSAPIHMVTSETGQFKEQLWKTFRAIALTFLVISGIGALIEDRGITKGLGLHEEVQPSMESNTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFSAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKKNDGIIVIAATNFPQSLDKALVRPGRFDRHIVVPNPDVEGRRQILDTHMSKVLKADDVDLMIIARGTPGFSGADLSNLVNVAALKAAMDGAKAVTMRDLEYAKDRIMMGSERKSAVISNECRKMTAYHEGGHALVAIHTEGAHPVHKATIVPRGMSLGMVTQLPEKDQNSVSRKQMLARLDVCMGGRVAEELIFGDNEVTSGASSDLRQATRLARAMVTKYGMSERVGLVSYNYDDNGNTMSTETRGLIEQEVKEILEKAYNNAKTILTTHNKELHTLANALLEHETLSGAQIKKLLAQENKNNTERKEIAKVSQEKPSAPASPQAPAAAAKPREL >Et_1B_009728.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:21234211:21234699:1 gene:Et_1B_009728 transcript:Et_1B_009728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSNPDPTRTVPLWQKPRIIALKMARLGRGPLATISSNTFQAAWASPLRNRRRSKIASAERLGVFPERRTRASTSSASAGMPAARNAWSASRSTPYLARAPPMALLDQERRSGEAYGRSAARRIAAKGRSAGADSAREEAAAKRAASGAERAGSPEHEQRR >Et_5A_041549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24260268:24261410:1 gene:Et_5A_041549 transcript:Et_5A_041549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEELAQIDISKEEKDKLVAEVMRYVLFKTHQTSGCPIKREELTGIITKNYRQRALPALVINEARERLAATFGYEMRELQRTRAPSTRSGRQSQAQPIVEAKSYVLVSKLDPGVYSKYVDDKESAHLSGFAFVVISIVHLSGGKISEEDLWHQLKRLGLNQNDENHPAFGNNKQALEHLVQQRYLLKEKITGPEGHTMMYELAERALDESISGKLKDYISQVCNHQHVTTLLHLLMYQKQS >Et_6A_045962.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:13879010:13879183:-1 gene:Et_6A_045962 transcript:Et_6A_045962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWSIRTTRNEWIFKNVYPTIQGCKEKFFKELKMVVHRAKHKHTEQLEQCIQDTIVI >Et_2A_015639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16362964:16366069:1 gene:Et_2A_015639 transcript:Et_2A_015639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVSPAGCAGLEERAGGAAGVVGMEGDALGAVSDLDFDFSVDDIDFGDFFLRLEDGDALPDLEVDPADIFTEFEEIATGGNGVTDQEVPSVHNPSPDGAHVAVVVDPCTGVLGEENPSLVAAPAEGKGECNLADEAAAGRNGEVAAAVEEKSTSSTTSSSQEAESRHKSSSKSSHGKKKAKVDWTPELHRRFVQAVEQLGIDKAVPSRILEIMGIDSLTRHNIASHLQKYRSHRKHMLAREAEAASWTQRRQMYATGGSATAVKRPDPNAWTVPTIGFPPPPPAPPSHPMQHFARPLHVWGHPTPTVDSPRVPMWPRHLVPRTPTPPWAPPPADPAFWHHHPYMRGPGHMPSQVMAMPMPTAISRATCKRSFAAMSSPISNESIDAAIGDVLTKPWLPLPLGLKPPSVDSVMGELQRQGVGNVPPACG >Et_10A_000355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5037290:5037670:-1 gene:Et_10A_000355 transcript:Et_10A_000355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LFDDQVRLRLVGDKYQVWLRGIVTTDGSRFEFDDDDVTKPKAMVGSQSLGTDGSYPDLLNAKNITNSHKGAIAVILVMVFEAARIKEVFDQSLSCLIPTKRN >Et_6B_048943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15953754:15959755:1 gene:Et_6B_048943 transcript:Et_6B_048943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDLLSGKLLRSGVTVLNDVSWEVQRGEKVGLVGVNGAGKTTQLRIIAGLEDPDAGTVVKAKDNMKIAFLSQEFEVSASRTVREEFFSAFQEEMEVKRRLDQVQAALEGATEDMDLMGRLLDELDLLQRRSQDVDLDMVDVKVQKLMPELGFAPEDADRLVASFSGGWKMRMSLGKILLQDPDLLLLDEPTNHVDLDTVEWLESYLKTQDVPMVIISHDRAFLDQLCTKIVETEFGVSKTYKGNYSEYILAKEIWVQTQFAAWEKQQKEIEQTKELINRLGAGVNAGRASSEQKKLEKIEKEGLIEKPFQRKQLKIRFPERGRSGRTVLAIKNLQFGFGDKMLFNNANLLVERGEKIAIIGPNGCGKSTLLKLILGMEKPQGGEVILGDHNVLPNYFEQNQAEALDLEKTVLDTVAEAAEDWKLDQIKGLLGRCNFRDDMLDRKVQFLSGGEKARLAFCKFMVTPSTLLILDEPTNHLDIPSKEMLEEAISEYTGTVITVSHDRYFIKQIVNRVIEVNDQTIQDYQGDYNYYLERNLEARERELAREEELEERAPKVKAKSKMSKAEKIARKKQKMQAFQQSKQKSKGLKNSKRKSHSNKQPALKQHQPITMDILEMHQVPTIVPFFPDEDDTAVEDGGLYGPSSPAPPLGRTPNFTGDGTSAATGIGADGEFTVIGIGADAAAGLGAMADAAAAPRAASADTNAELNTAAARTGFCPSSAAACAGFRAHAARSPTPAATTASSTGCSAGASTAGAAAAGGDGGVVEAEERAAAGVGALLRRAGSLASWQCSARPSNTRYGSSTAAAAPAAARYTKSAYPRDLPVPASKPNGPPSSSPNRAKNARSRSGSASGATLVTYTRLPAPPPPPEPEADEPVGPAVANCVETGLPATVMPGTRRSARRAAAREVNVTNPYPLHRPARSATALHSSREPKPENASASAELSARGGRPWTKSRRWERDALAAARRRARSAGSPAAASARMETSRGLE >Et_1A_006558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24387401:24392752:-1 gene:Et_1A_006558 transcript:Et_1A_006558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGMGTASFPFDEGQSHIVREAILRTLASGYHHFLTRPSSTAQNVCPGMLSLPRAMAEHQGRATPVPAVALSSGKPMPRVGLGTASFPHGDAEGLPVVREAVLRAMDAGYRHFDTAALYGTEAALGDAAAEAVRAGTISSRDDLYITSKLWITDAHPGRVLPAISKTLQNLRMEYVDLYLVHFPVSMRPPQVPGSSVFVKEDLVAMDMEGVWGEMEECHRRGLAKAIGVSNFSCKKLEHLLSFAKVPPAVNQVEIHPYCRQKKLREFCKDKGVQLCAYSPLGGKGAPWANNSVLESPVLKQIALDNGKTVAQVCMRWVYEQGDCVVTKSFNESRMRDNLDIFNWELTEEDHRKINALPESRGTYDFFVHESGPYKTADEFWDDQVVGVPVVPLSSGKPMPRVGMGTASFPFDEGMGNVVREAVLRAIDAGYRHFDTAAVYCSERALGDALAEAARAGTIASRDEVFVTSKLWIADAHPGSVLPALNKTLQ >Et_2B_019588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10409729:10413082:-1 gene:Et_2B_019588 transcript:Et_2B_019588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAALPALLLLVAAALCAGPADAALGVNWGTVSAHRAPPSVVVDLMRANRIGKVKLFDADAGVLRALAGSGIQVMVGVTNGELSTIAGSAAAADAWVQQNVSRYVGRGGVDIRYIAVGNEPFLTSYQDQFQSYVIPAMTNLQQSLVKANLASYVKLVVPCNADAYQSASVPSQGVFRTELTQIMTQLAAFLSSSGAPFVVNIYPFLSLYQNADFPQDYAFFEGSTHPLVDGPNTYYNAFDGNFDTLVSALSKIGYGQLPIAIGEIGWPTEGAPSANLTAARAFNQGLINRITSNKGTPLRPGVPPADVYLFSLLDEEQKSILPGNFERHWGIFSFDGQAKYPLNLGLGNPVLKSAKEVPYLPSRWCVANPARNLDGTSDHMKLACSMADCTTLEYGGSCYGIGQKGNVSYAFNSYYQQQKQDPKSCDFGGLGMITYLDPSMGECRFLVGIDDSKSSAVASCSGPCCAVFWGITALGFWRADGGGVGGQQFSPQQAWPQVTFGCCTSQQH >Et_7A_051880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2588795:2593112:1 gene:Et_7A_051880 transcript:Et_7A_051880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLRIQSVDLPVAPATAGADEVGTSSAGSGATTSTLSPHSSHPTTSTAPSSLTPLELPGATSAAPARSLRIHHTRGIIHLYRSCPTSSSSSYASAVAATSSSSSTGPTAPPPACDSPLPPWRDTCLLVLAVPTRVSPDDFVRFCGPYVERASDIRFIRDDGVEDRYSVLVDFEDQKSADRFYLDVNGWRFSSSEVAKMDNWVLGYLLGEVCHVLFILSVQYTPSTEIAATPPIGSTKLPTCPVCIDWIKTSVGSLQPLVITPSNVHVFQCGSIHLVRYKEGHAKQHWKGTQHCYSLDLETQRVWDYVGDTYVHRLNQSKSDAKHAKFKSKCKYSGDDCIKCSCNADSDMGGAIFSSKAETIVDEYNRLLASQLETQREYYEARLSEAKRERERLISEAVDKAVNDKLQEMHLKLDNLIVEKKKVAEMNEKLTRNQDVWRQTLKDIEQRETAVLQSKDETIRDLEEQIKDFKFSIKVQKSIEKNGGGLKGGTLVPLPVMSDSGGKGKRSSRTSKRRN >Et_1B_011847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26523995:26529366:1 gene:Et_1B_011847 transcript:Et_1B_011847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAASQGPKNMQAPSRRAEERSRPFPSQVESSPDVHGEQWLGDRRGGFATSSWPRGHGVDLQSCSHCPPDQKPPPSLGGTAPHQRARAPWFNMTRLVSIERAFEVCNKRIAFSSTRGWKMKTDMINGPTAAHDSRSFFPSSPQTQPPLPPLKPAAAAASSPTWRTRPRSTSRAPPRLKLPGGMAGPAPTPTPTPLQPPSVPAQPVAAARPARYDFLNSKPPPNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSAAAAAPAVGRGRGKPPGEDGGDDDGGDEEKGYDENQKFDEFEGNDAGLFSNADYDDDDREADAVWESIDQRMDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLADLSAQEWESIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAAGGTETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEIAGKLQVARQLIQRGCEECPKNEDVWLEACRLASPDEAKAVIARGVKAIPNSVKLWLQAAKLESNDVTKSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLHRAVECCPLHVELWLALARLETYDQARKVLNKAREKLPKEPTIWITAAKLEEANGNTQSVNKVVERAVRSLQREGMDIDREAWLKEAEAAERAGSVLTCQAIVKNTIGIGVDDEDRKRTWVADAEECKKRGSIETARAIYAHALTVFVTKKSIWLKAAQLEKSHGTRESLDALLKKAVNYNPRAEVLWLMAAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENNEPERARILLAKARERGGTERVWMKSAIVERELGNVDEERKLLEEGLKLFPSFFKLWLMLGQMEDRLGRGAKAKEVYENGLKHCPSCIPLWLSLASLEERISGLSKSRAILTMARKRNPATPELWLAAIRAELRNGNKKEADALLAKALQECPTSGILWAQSIEMVARPQRKGKSHDAIKRSDHDPHEGFDKARNWFNRAVTLAPDIGDFWTSYYRFELQHGNADTQRDVLRRCVAAEPKHGERWQAITKAVENSHLSVEALLKKAVVALAEEENHNAADA >Et_4A_034408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32319297:32336298:1 gene:Et_4A_034408 transcript:Et_4A_034408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKHKDPASGADAIRSLFSPSSKKKKKRKEAEEEAQPRRKRKRDEVAAGRERSAHGAAAAEETPAVGAKRKAPDDVAVAAAGAGEEDDDAFDDESKMLRTVFVGNLPLRTKRKTLMKEFAAFGEVESVRIRSVPISDSKIPRKGAIMKGKVNEAVDNVHAYIVFKEEQSARAALAHNMALLGGNHIRVDMACPPRKKLKGEGPLYDRKRTVFVGNLPFDVKDEELYQLFCGSSGAEGNVEAIRVIRDPTSSLGKGIAYVLFKNRSKIPRKGAIMKGKVNESVDNVHAYIVFKEEQSAAAALSHNMALFGSNHIRVDMACPPRKKLKGEGPLYDRKRTVFVGNLPFDVKDEELYQLFCGSSGAEGNVEAIRVIRDPNSSLGKGIAYVLFKTREAANSVARKRDMKVRDRILRLSHAKSVDTTPKKTADAGKLKRGPKLKEVSTPGSKSSGSSDKVKQKAQDLSYQGLRSSKSGVVKKTEVNQRPVSEGKQGKNNDAGSSARKGKRPAVAARKAKDLAKKRKLEASTPENSHKSKKPRNLKNTFTAASVLLASTATAPMRARSAPQTTGGADALVPAATRTMAGMPTRAPVLAQTASEATSVARRATTEAAASWLSASAWSAAADWEAARPFSRDPAIPIRTLALPPPWRHRGREGPYGSRGGVAAAAAAAAAAATVTTAVAPPVPAAAHAAVGNSGGAPNAPPPPFLMKTYEMVDDPATDDIVSWGPGNNSFVVWNTPEFARDLLPKYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTINRRKPSLQGNSQPQQPQLQNAPVPACVEVGKFGLEEEIERLKRDKNVLMQELVRLRQQQQTTDHQLQTLGKRLQGMESRQQQMMSFLAKAMQSPGFLAQFVQQNENSRRRIVAVNKKRRLPKQDGGLDSESTSLDGQIIKYQPMINEAAKAMLRKILNQDASHRFEAVGNSDNFLLENYMPAAQGFDSSSSTRNSGVTLAEVPANSGLPYIAASSGLSTLCSSSATPEMQCPVVLDNNSSRQVPNMSAGPPVPPVPKPIAPGPSDISIPEFSELQDIVTDDSVNIPGGSFDIPGPEGVFPLPEEGDDSVPIETDEMMYNDETQKLPGIIDSFWEQFLVASPISGDNDEVDSGVLETPQENGWNKMENLANLTEQMGLLSSNHK >Et_8B_058538.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:14368266:14368844:-1 gene:Et_8B_058538 transcript:Et_8B_058538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLARKVYNSPAIKDHFGKVAWITVSQNFQAIDLLRNIMKQIMGARNESRDVDQMQEYEVRKKIRNFLLHERYLIVLDDVWTTNTWEQINKRVKVFPHSNNGSRVLLTTRKADVANQIQVPTYIHNVKHLNDEKSWELFCSKALPSYRTSMICDMSEFEELGRKIAKKCNGLPLALAVLGDIYQRI >Et_3A_026126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4639476:4641322:1 gene:Et_3A_026126 transcript:Et_3A_026126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRVVVVVEDVAAARAALRWAVGNFIRGGDCITLLHVCPPARSRRRRRSLRLGGFQLALAFKDLCCNGIAEAKVEIVVTEGELGETVVATVNKLGATTLVVGLHDKSFLYRAPSPYVRVRSLGCRVLAVRQHATARDGFLNAELTQIETHTATQDPVSDVHASAGRDLETRIEEEKVT >Et_9A_061779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16379719:16383894:1 gene:Et_9A_061779 transcript:Et_9A_061779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGEEAPPPAAMAGDDAGESSAAVVARNGFGLPAELMALLPDDPFAQLDLARKITSIALSSRLGLLEDEVERLRAQLAERDAEAEDLRERVEQLDAALAVSSGRLRRAEEEKETLLTDKASLTNTVKKLNRDVAKLEVFKKTLMQSLQEDEDDANTAPRERVAEASNISSASSVAAEDSAFPTSKMSQSSETASSVSDESLVSLDGRTRVDGKEFFRQVRNRLSYEQFSAFLANVKELNAQRQTREETLRKADEIFGPDNKDLYTIFGNPAATTANHGQKLLQEYAVYVAPKLQGHPQMKQPSVA >Et_10A_002240.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5366778:5367500:1 gene:Et_10A_002240 transcript:Et_10A_002240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKSSKSKSKSKSKSSHDAAASKKSKAGAAAAPASLDAHFTPCADVKGLRFGAQIVTRALTVRRAAPLELPHLLRASPAPNSGDGGPLSFAPTTTAYIPSNFAILAHHAWHTLTLGLGTRNSKAAVFVFESAAMKAAADAAWPHVVPLGDVGRRLIRAAPGAPEMARFKFRKGCVTFYVYAVRTAGARGFARADELRAVVEAVARLKDFLDHTAMLALPGQKSIDVAAGATAPLGVVH >Et_9B_064034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10349085:10354511:1 gene:Et_9B_064034 transcript:Et_9B_064034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEAKQDVFTKDGSVDLRGRPAVASRTGRWKACAFLVGYEAFERMAFYGVASNLVVYLTTELREDTVASVRNVNNWTGAVWMTPIVGAYIADAFLGRFWTFTVSSLIYLTGMVLITLAVSLKSLHPHCTRAGVCPPATRRQVGFFYAALYTMAVGAGGTKPNISTFGADQFDDFDERERAAKAPFFNWWMFSSFAGGLVAVLVLVYVQENVGWGVGYAVPTAGLALSLLLFYAGAPLYRHKPVMKLVGRVLRAAFANRRQRRGGDADAGELHERETAWYAAAGRRRLHHTPAYMRFLDKAALLRTSTEGEPPACTVTEVEEVKLLAGMVAVWATTLVPCTIWAQVNTLFVKQGTTLDRTIAGGSVRIPAASLGSVVTVSMLLAIPVYDRVLVPLARRRTGDPRGVSLLRRLGVGCALQVLAVACAALVELRRMRVVRDRHVRGAGDTVPMSVLWMLPQYALLGVGDVFNSAPDGMRSLGTTFFTSGLGAGNFLNSLLVTLVDRATRSRHGGGKSWIGDNLNDSHLDYYYAFLLLLALANMALFVSVAKWYRYKREFLQVMDTSSPLTVKGGQAAE >Et_3A_026847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23544068:23546104:1 gene:Et_3A_026847 transcript:Et_3A_026847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVPGRSRTVRATRSIFGESIGGRKIEKIGAGNFLEENLSPEMKQLAKSDMDRLKERKAAVDDERARAEAELSRARFAARELERQIEQTKARATSKRSEVHAMSAARGASRKVADVSSSQEEERDTAEYAEAVQELDRAKQELSRLRLEVRSAAEAKAKAESDIVASAIKIQSDLRAADEMKRLVDEANEEHVLVELARIEAERELREIDAQRRAEAERFAGEMEETRAKVEALRKDAARVREMEAKLAVTNRDVEVLQAEMELVRAMERNSAAKKDDETKEEEALLQAAEAELDAARKELESIKAGGFEFMTSMDSTRTEIMRVAEEVSRLRALEKKADAQVQQLNAKLLKARARKEAAAAAGERSGAIVSNLTSALRQLRDETEAANKEKELTEMEQRCVRAETETVKAEIAVNEVRIGQSVRELEAAKASEAAAMKKLKAAVESTMRARASQGPGSAITISRFEYEYLTGRAALVRVVAEKKVSAAQAWVQALRAGEKELAARAEAAEREARELGAEEARAVAEAESAAAEQKALEQELYDLSAAAEGDGLLCAYPPRRPAARTSATMGRARARRSSVSSLNGARNPRPPSFAIKRKRKVMPNLLKLVRERRDKGAN >Et_1A_008907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1869395:1870059:-1 gene:Et_1A_008907 transcript:Et_1A_008907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSKQFKHAILKNLLLGLRKRGVASRDMSFHERKNAVRHAADAALAAARGSAPCWSRSLAAELSQGHLHPATSTSTAEYRTRKMICKRISRRRRSLRARPKSKATAKAAGVVARAMVRRRTRALREIVPGGKGMDECTLLGETLDYAVFLKAQVDTMQLLVRVLQSQKNLVRAGAAVSRGNY >Et_10B_003252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18195164:18197285:-1 gene:Et_10B_003252 transcript:Et_10B_003252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEQHCTLRSTGYSNIRAFAEFAPFPRVLQSRPAKVPMDSALNQVSKYQHGSSLPATSSGNGLYSARPRSTAAGESTDALLFLAGPAGWFIRLVAFLGERAASVILSLVFPVAALFGELRAVPSLITSNIRRATFGLLAAACTFAVLVSALFVSALLGFVLVRHWVEEPVTVRQPLYFDYTEVQPSAAVALGGARGVVLPAGHTVKVTLALLLPDSYHNREVGMFQIKAEAVSVTGLTTATATQPYMLRYKSAPVRLAQSALMCVPLTLGMRSETQTANLKVLQYREGHGRHKRTGLVRILLQPKAMTVQLPQVYKAEVVVQSTLPWTKGLARSLKWTLCVWLSFSVYIVLVVLAICLVRPLVSARNRRLLELQADTKSVSDLGRDLIESPSKELSGSDTTKRRERRNKRKGQFQTQSHGDRVELEFAEGSTSGVAMVKTAESMRCSSYTSAEEGKFIPNGRNLLSSL >Et_1B_010634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12359977:12361259:1 gene:Et_1B_010634 transcript:Et_1B_010634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLKSRLFELLMNLLMAMMCGRVNSGAGFRCMVQETMALSGLSNLWDFMPAPMRWLDVGGLGRRLRKLCASRTTFLQKLIDEQRAAMNSHAQAPSTMIGYMLSMQQQDPVQYSDQVIRSLIVSLLEAGTSTTADTVEWAMPLLLNHPEAMQKVVAEIKSCVGSQSLLTAQTLRLYPRTPLLLPHEASEECEIGDYTIPPGTMLLINSFPIHRDPEAWEHPDEFVPDRFLGKRITKETATYIPFGLGKRACPGDNLGMQMVALILGTMIQCFHWEREREEPVGMEEGSGLTMPKLVPLKAMYKPRPEMLDVLASL >Et_6B_050069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5194329:5195219:1 gene:Et_6B_050069 transcript:Et_6B_050069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRILARELRGTGITANAVAPGSTGTPMFYNGRTEEMERYIDRRGAARTARHAEDIAPLVGFIASDAGRWVNAQDYF >Et_3A_023585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7854660:7855172:1 gene:Et_3A_023585 transcript:Et_3A_023585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPRHTAQRQHRRSCGMAVDAGWCLYIQIGLSADLSWINRALRRGLYLEHMVLPKSKTLCLAGGSKTLDGLVATPQEVAVQEACKGRRHASIAGSLDALEAEERQDF >Et_2B_022426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21167572:21169572:-1 gene:Et_2B_022426 transcript:Et_2B_022426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLRESWILDSRHGRVLIIDTSKRIIVWDPITNHPKYLPGPRDTRHREPITGAVICAQDRCNHLDCHGGTFLVVFLGNNYVDGTIWARVYSSETNAWGTTSSIEFPDFCFIDRSPSLLLRDALYFITEYDHKIIKYDLARQCLCMIDAPGEPRGIVVTTKDDRLGFASIEGYGLYLWSLVANVEGSAKWAQPTVISLRNLLPVDVPLFSLKVIGFAEDMHAIFVSTDVVVFTFEFQSQRVRKVAKRDDYYAIVPYRSFYTPDMLRANGRLYHENSIDL >Et_4B_035986.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:10886036:10889574:1 gene:Et_4B_035986 transcript:Et_4B_035986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVLLAAVLLAACAASASAQAGGSNSSSTKPFVPRDDILLDCGATGQGNDTDGRVWSGDAGSKYLPANLATAAATSQNPSVPQVPYLTARASASPFTYSFPLAAGRKFLRLHFYPANYTNRDAADALFSVSVAGPGGKVTLLSNFSAYQTATAFNLDYLVREFSVNVSSPTLELTFTPEKGRPNAYAFVNGIEVVSSPDLFTISSPNLVTGDGNNQPFQMDPGTAMQTMYRLNVGGQAISPSKDTGGYRSWEDDSLYIFGAGFGVTYPNDKDITITYRDNLPEYVAPVDVYSTARSMGPDQHVNMNSNLTWMMPVDAGFMYLVRMHFCEIQDQITKVNQRVFSIYLNNQTAQKDADVITWATAVAGASTGSGSPVFQDYVVNTLGSGTWDLWVSLHPYADPKPQYYNAILNGMEVFKLQLANGSLAGLNPIPTVEPSDDGGGSKKKSTVGPIVGGVVGGLVVLALGCCFFVICRRKRTAGKDAGMSDGHSGWLPLSLYGNSHTSSSAKSHTTGSYASSLPSNLCRHFSFAEIKAATNNFDESLILGVGGFGKVYRGEIDGGTTKVAIKRGNPMSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEEKNEMILVYDYMAHGTLREHLYKTQNPPLTWRQRLDICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPSMDHTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPALNPTLAKEEVSLAEWALHCQKKGILDQIVDPYLKGKIAPQCFKKFAETAEKCVSDQGIDRPSMGDVLWNLEFALQMQESAEESGSIGCGMSDEGTPLMMPGKKDPNDPSIESSTTTTTTTSISMGDQSVASMDSDGLTPSAVFSQIMNPKGR >Et_6A_046579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17692938:17705140:1 gene:Et_6A_046579 transcript:Et_6A_046579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCARLWRPSIRGVGVVVTSLPALVTRRADEEDDAPPAQRRRRRRSVPSASRRWRGASRRGRGARTSSMARAWSCSLSRAIRDAPGVAPPHNGKRTSSPAEPLGDVRRSAWFDGGVDLDPRTLDRVNDGDEDEQLHDTDDEPPEFDVTVAPVEFLGMKARFASVENTAGFMLIHAGRAVTMHYRYALFTRDESGGSPASGVELYNGAKLHPIRFLAMEIGEPAARVATPRDGKGTSSPEEMTEGVVLRSARFDGSVFLDPRISDREAGDGVEEFSDEDEELYDTDTDSDIEFEEENDDDPPDDESAGISIDVTVVPVDFLGTKARSTTRRALRRRRQAPHRPLPRATPRLRRRPGELAPAGRRRPVRFAAQLQELYVEVTVSAGILLVRRRDRMAAEPRVRPSLRGVGVVVMSLPAPVTRRADEEDDAPPAKRRRLAGAGAEEEECAICFEVMETGLAAWPRCAHVFHGECLQRGSAMPVVQERTEWPWKSERLLP >Et_7A_053033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5256797:5259127:-1 gene:Et_7A_053033 transcript:Et_7A_053033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPTQVVEVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHTGNISLDDVMEIARTMRPRSMAKEFAGTVKEILGTCVSVGCTVDGKDPKDLQQEIDDGEVEIPSALPGLLDRAKKHPLEAQWILTRTLLTIDNIRESTSLITKHTNNNVVSPPAQSPTAHTSLAAQYMGGGGSPAAAA >Et_3A_023581.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:6887328:6887804:1 gene:Et_3A_023581 transcript:Et_3A_023581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEKFQEGLQLLSVNCYPKPSQGATTIGLAPHSDYGFLTILLTSCQGLEVANHSSNSWKMVQQLPHALHVHIGDNMEVLSNGRIKTVVHRAVLNPEEARISVASIHGFALHENVACAKEFVDEQNPQKYKESSFSDFLDHLMANMDNKHRNFLESLRM >Et_1B_011255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19444024:19446767:-1 gene:Et_1B_011255 transcript:Et_1B_011255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGTWRKVMPFMAMIFLQFGYAGLFLISVASLRQGMSHYVLVVYRNGVAAIVMAPFALWFERKTRPKMTVSVFFKILALGLLEPVLDQNFFYMGANNTSASFSSALTNILPAVTFVNAIILRVERINIKERRSQAKIAGTAITVAGALLMILFKGPIVHFPWTKHVNHAVSDSSVHNSSHWLMGIFMILLSCFCWSAFFILQLKDFQSHTLRSYPAELSLTTLICTLGSAQSAAVALVMEHDIKAWLIGFDMRLFTAVYSGIMCSGVAYYVQGIVIKERGPVFVTAFSPLCMIIVTMLGSFILSEVITLGRLVGAIIIVVGLYALIWGKNKDHVNQIDKEKDFDKQKTFELPFSSSDLNKTGTLSNI >Et_2B_020285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18628681:18633059:-1 gene:Et_2B_020285 transcript:Et_2B_020285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIATVISLPSFQKLRDSVMRPPFLAALLLVAAAAATPAAALYSAGSPVLQLNPNNFKSKVLEANGVVLVEFFAPWCGHCQQLTPVWEKAAGVLKGVARVAALDADAHKALAQEYGIRGFPTIKVFVPGKPPVDYQGARDVKPIVEFALQQVKSLLRDRLNGKASGGSSRKSGSSEKSEPSASIELNSRNFDELVIKSKDLWIVEFFAPWCGHCKKLAPEWKRAAKNLKGQVKLGHVDCDAEKSLMSKYKVEGFPTILVFGADKESPFPYQGARVASAIESFALEQLEANSAPPEVIELTGSDVMEEKCASAAICFVSFLPDILDSKAEGRNKYLELLLSAAENFVWAAAGKQAELEKQVGVGGYGYPAMVALNVKKGAYAPLRSAFQRDEIIEFVKEAGRGGKGNLPLNGAPTVVQTEPWDGKDGEVIEEDEFSLDELMGDSSPVNDEL >Et_3B_028656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17821144:17822103:1 gene:Et_3B_028656 transcript:Et_3B_028656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSKQAAVYAKELFAKLAALTPHHRLAWDVGTGSGQAAIGVAEHYDRVVATDLSAEQLRHAAPHPKVRYLHTPDATQGADIVAALGGEGGVDLITVAEAAHWFDLPAFYGVARRVLRRPGGVIAVWGYNYRISPVEDMMARFFRTTLPYWDPRALHAMDGYVNLPFPFEDIGLGREGEPMSLDMEHEMSFEGLIGMLSSWSAVTTAKQQGVDLLSEPVVKEMEQELGGPSLVRTVAFKAFLLAGTPRADG >Et_8B_059054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1354555:1357559:1 gene:Et_8B_059054 transcript:Et_8B_059054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPDHEGGCGGARQWLRREVLLGLALGQFVSLLITSTGFSSSELARRGINAPTSQSLLNYILLAVVYGAVLIYRRQPLTIKWYYYLILGIIDVEANYIVVKSYQYTSLTSVMLLDCWSIPCVIVLTWIFLKTKYGLRKFIGVGVCVAGLILVVFSDVHASDRAKGPNPLKGDLLVIFGSMLYACSNVTEEYVIKKSNRVELMAMLGLFGAVISGIQISILERNELHSIKWNAGAVLPFLGFAVAMFLFYSTVPTVLKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWIYFVAFACTAAGLIIYSYRGSKEAEETAQVAGAGDEQVKLGDEEAGTHNTTV >Et_10A_000547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12498601:12503224:-1 gene:Et_10A_000547 transcript:Et_10A_000547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQGTLSGEPDAEDNMICSEDSSSEVVEVDDVKVCDICGDLGEEDKLAICTRCNDVHVLDGYRNVLGSSTLALEISQVKDGTMPSKKKAEFEHMGVMNERIKKQKEVKICKICGDVGEEKKLAICSRCNDGAEHIYCMRAMMEEVPDVGWLCEVCQNEVEGEKRADILQKSEVKNGICKEQPTKEKCSKPANDANIRSSSENEIEVKYLDGKESQKGNLDEPGGLFIEASSRRRVLSRRSSLKSDTEKGNHATGQVSTSLAQPHYGQLSKSISFNSKIPKVKQLGCEVIVRPKLLKKSLSCITKQVGPMSTLTMSTHSKRPNFSDQAPTVRTPINLPAEERRVMNQPDRRNVKSNTGSSTGHPFVRNFERNKPHLAKAPGSTMLSTSERSAGILGPDAKRKAVQNSFHSHQAYTSNNPYPKLRVEVYDTACMIGRSLDSPTMSSDLGDKTLVFSSQNFSPGYELIGSAAPEGSFELWSTGRLPAFCDCLQAHVSCSASPEVVEIAKTFPSKIQLQKLPRQSVWPPEFQDNYPTCDSIDIFFFARDKKSYENYYSKLVENIMKDDLALRGNTETAELIIFSSSILSKNFRIIAVIDLTVPYGASGWNMFYFLWGVLRVNGEKYVNLLPGLP >Et_9A_062631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2609967:2621481:1 gene:Et_9A_062631 transcript:Et_9A_062631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTRLLCGGFALALRLNHTVCDAIGLAQFMSAVAELARGGLPTLTVEPVWSRELLEPRRPPPEPIFPHRELDAVPPPPPSGDMVMRTFTFGPADVTAIKKKNGFPPNLRDTATSFEAVTAALWRARTAALELPPDDEVRLVIIVNIRSLPELLLPAGGYYGNACVPTAAVATAGALLAGSLGDAVELVRKAKAAVTAEYVLSNLARQPYVARPNTFVVTDHRHAGFHRVHLGWGQPVYGGIANAAVFGVTTLVTVKNGDGEDAMGVFIVLPQPAMDRFASEVQMLLIKEERAQMSPPAMTPPPTFSVRRSEAVLVGPAAPTPRETKRLSDIDDQEVLRMHVPFVFFYRGGEGARADDHRDPASIVRRALEEALVPYYPLAGLLREVEERKLVVDCTGEGVLFVEADADVRLEELEAVGLRPPFPCLDQLLFDVRGSNGVLNCPLLHFQAMNVTKSSSVLASKRESDLTVTRLLCGGFVLALRINHTICDATGLAQFMSAVAEHARGGLPIAPTVVAPAWSRELLEARTPPQPTFPHPEYDDLPVPPPPPGDMVMRTFTFSSADVNTIKKKCLPQNLKDTATSFEALTAALWRAHTAALELPPDEEVRLVVIVNFRKRVLPELELPAGYYGNACVFPTALTTAGELLAGSLDDAVRLVQAAKASVTAEFVRSTLDFLALRGRPCVALANVFGASDVRHAGFHRVDFGWGQPVFGGPTDAEFMATYVIAVQDSAVAVPVVLPQPAMDRFASEIDNLLKRPRSSPAMTTLTFAVRRREPVLVGPASPTPRETKRLSGVGCFPQEWRRRGRHGLRHRAAAAGHGPVRIGGRHVAQGLPTPAPPMATLTFSVRRSEPALVDPAAPTPRDTKRLSDIDDQEVLRGHVRFIFFYRGGRGVRAGDDPASVIRRALEAALVQYYPLAGRLREVEERKLVVECTGEGVLFVEADADVRLPELEAAGLRAPFPCLDQLLFDVQGSSGVLHCPLLLIQVTRLLCGGFVFALRINHTICDATGVAQFMSAVADHARGGLPAAQTVVAAPPWSRDLLEARAPPKQPTFPHPEYDDLPVPPPPPPGDMVMRTFTFYPADIAAIKNGLPPHLRNTTTSFEALTAALWRARTAALELPPDEAVRLVVTVNFRRRVPPELALPAGYYGNACVFPTAVTTAGELLASGSLGDAVRLVQAAKAAVTAEFVRSTLDLLALRGRPPVTMANTFLMSDNRHAGFHRVDFGWGEPVYGGPAGAVFGRSFVVAVKNGAAVAVPVVLPRPVMDRFASEVVKIKA >Et_7B_054961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:624176:628383:-1 gene:Et_7B_054961 transcript:Et_7B_054961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGGGAIAGDAVVTGDGEMRSLALTPTWSVATVLTLLVAGSLLIERSIHRLSNWLKKTHRNPLYKAMEKMKEEMMLLGFISLMLAATSRIISGICIDSKYYNSKFSPCTKAEVEESLNSEHALAREGKRLMEVILQHSLRRNLKARYHHREGCREGYESFVSHEGLEQLHRFIFVMAVTHVTYSCLTMLLAILKIHKWRKWEDEAFRDNHESFSQIAYVSATRRQPALAKSYSFRSWSQNDGVKWLFCFIAQFGQSVVRADYLILRKGFIMTHNLSPTYDFHNYMIRSMEEEFEKIVGVSGVLWGFVVAFMLFNIDGSNLYFWIAILPVALVLLVGAKLQYVIATLTAEGARMTAYGPRIKPRDDLFWFKKPEFLLWLIHFILFQNAFELASFFWFWWQFGYDSCFIKNHLLVYGRLILGFAGQFLCSYSTLPVYALVTQMGSKYKAALIPRRIRETIHGWGKATRKKRRRRRHGDDSTVRTETSTVCSLTDEDEDDDDDIHGPFEDTPRASRPYLKIELQPRGEHGPRPDTPCFHPVAIPGGSSSSAHGHGHGSSHAMLLRQASASAPSSPSSRGGNVTRSASMPGIASLRTGTSTPTRMNDEST >Et_7A_051439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18558888:18561756:1 gene:Et_7A_051439 transcript:Et_7A_051439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPPYSPTLASSRSIRPLVIFLSFLVAVLLYLAAFPNNLKLQEAEVLFASTSSRQQQPVASSKPPPVDVRVFLGVITRPDLYERRAHLRLAYSLQPRPVRAVVDVRFVFCNLDREEDRVLVAMEAVAHGDVVVLSNCTENMNDGKTYEYFSAVPRMFAGDERYDYVGKTDDDAYYRLASLADALRDKPRGSLYHGMLLPCHASPERQFMAGFGYVVSWDVAEWIAAHEELRNDRGPEDIVFGQWVRKAGKFKNVYGEQPRMYDYWDRETIVNYTTCFRHRLTADTVAVHKVKTRLQWARVLNFFNATQGLKPSKMYDVDRLNSNLYQVGVGEYRLRPRTEEGPKSHQLTTKVQPRVTRREGKATASMKVLFQIEELNE >Et_5A_041710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26126626:26128194:1 gene:Et_5A_041710 transcript:Et_5A_041710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPRHSYGHFSSRLLFKQPQRHPRSFRIVASSFAPWSLFAGALERNDTRYSTSKLINQSLLPRCCSSVGILEGHDDMVVPVFDTSLITRFFNLALVYSLGGIMASTKAFICGDDDKDESSYRLLLIILWALAMVAAMLIQLLVFLIILWPLGILFASGRYIPIWLGVWRLRDRDYGEGRDASGANLTAALDVLYTLVVVQGVLVYYMAIQDLARRRIGAAVAKDCKFDKSASWAVGDYMYETEQGCLKDPSFVEYDDILRCWKEYDHIRCGPDQVWIRRSKAFGGKDSGHAHREDSDTKLGGG >Et_3B_029196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2309357:2315108:1 gene:Et_3B_029196 transcript:Et_3B_029196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDCERAEMQVNNEAPLGCLKPNISQYSSPEKRGGIDGLPENNEKPNVKVAAEKLWEASSLPNQGLNRPLYRQEFYAWPYIYSDYQMVRQPQPFGFDNQFYQLNRDHGFPIENRVQYLPFKMLPQGHPHDAQLQEFQYFVVIDFEATCDKVNNPFPQEIIEFPSVLVNSATGQLEASFQTYVRPTYHQFLTDFCKELTGIQQIQVDRGVPLSEALLMHDKWLEDKGIKNKNFAIVTWSNWDCRVMLESECRFKRIRKPPYFNRWINLKVPFHEVFGDVRCNLKEAVQLSGLTWEGRAHCGLDDARNTARLLALLMHRGFKFSITNSLVWQPAPQSTTSCQLSPDRSPDPVQSQKPNEMLGSPVQLNPYAATAGKDRPMYCYCGVLSRWSVVRKPGPMQGRYFYGCGNWTATRRAICPYFAWAS >Et_1B_013739.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:14840052:14840924:-1 gene:Et_1B_013739 transcript:Et_1B_013739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAGELRYPSYPESNGIWRFPGIGAFQCNDRYMLGSLRAAAEAAGKPEWGSGAPTDAGGYHSWPEDTLFFKGDNGGWSTEYGDFFLSWYSQMLLDHGDRVLSGATSVYGATPGVEVSVKVAGIHWHYGTRSHAPELTAGYYNTRHRDGYLPVARALARHGAVLNFTCVEMRDHEQPQEAQCRPEGLVRQVAAAARAAGVGLAGENALPRYDGTAHDQVVATAAEDRMVAFTYLRMGPDLFHPDNWHRFAAFARRMSGAGSCREAAEREARGVAQATGSLVHEAAVALRS >Et_2A_016586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26320892:26321737:-1 gene:Et_2A_016586 transcript:Et_2A_016586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTSSGSSLGTRSSRSEDDLDLKAQMENRRKRRKESNRESARRSRLRKQQHLDDLTSQANQLKDQNKQLGAALRVTNQNLVVVQAQNSILQTQKMELESRLGSLTEILLYMNASTTSAAANTTTMNNSITTINSACDVLGASTWGQPIDLYYQCF >Et_3A_025798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3383449:3384092:1 gene:Et_3A_025798 transcript:Et_3A_025798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLEPPHNKETLPLGDSLIAPMCLCGTLCKLVKSLVLGDDYGKRLWMCNNYQYELPLQRLHFFNGRPKSPPSLCEFIEYIDTEQTPENIAHVYRVAECARRHWFDMEAEERREEERRKMRQKEEERRREYEAKRKQRDEAERRRKQEEDRLAYEAREAERERMRDRARRARAAGPDAFRKGKYTRCTQ >Et_7A_052261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6198450:6200742:-1 gene:Et_7A_052261 transcript:Et_7A_052261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASAAISLSFRFLPPPHLLRWRLRPRSIRASSDTSGVAGGDWKVGTLEKRVGDLRALVASVPPAVDSIRKNIGLNFVTCVCLGVAFLASVARRIIRRIRESDNKGSVADLVRRGQLKSGQRGGTKLRTYDDPFNNPLVKIDEGTSTAQMFGKEYRLAPVRLTKEQQAMHQKRRSRTYQWKRPTVFLREGDSLPPDVDPDTVRWIPANHPFAAASSEVDEETAKQNVYQKDGVPSRIKAEHEALQTRLEASNDGNEIPGESSGSMQGDEVPLKISGEPSGSLKRSEFHSLENQNRQPVDSGRHSSDESSPPKRLEKQ >Et_7B_053978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13971218:13973849:-1 gene:Et_7B_053978 transcript:Et_7B_053978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDDAGCQGWPHGDHGGAATEGAWRHDTGTLTVFVLVAQRLDIVRAEQGSAGAPAGAECIALRRGTAGLGRSWLSAWSGGGIAADETFTSMTLKGKAVPRYPFAPEQKGLTGKQRIPIYPFEITGGTKAFRKLMRRRWRRAKKIASALIGQYEIPVTPLPNPRTGESYHIVRLFDKLKPGYCVDLLFRDTDGYLIAFRRLRLNSEGQWIGKIWFPYSDVKLPEELKVAVSLGFDSSHISGSKTTPGDVNTMSHIFEILSRCEDRPRHRTTGVLLNDSDRTEVKEALLRAIVIFSESFRFQCIYLAMLERIVDGQEETKVDPDHGRSFTTGAMRLICCLLFGSLNCHCCMHLLRNGFKISTCQDLVQK >Et_6A_045968.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:14345585:14345926:1 gene:Et_6A_045968 transcript:Et_6A_045968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSTQPRLLLVASVAVAAVFLQASRGAVAAASFSAGDAAVHGLRRVEDDASGFVHGEEAVVYPERRALYGPGSLNYGALTASKAACYGPCPARGQPYTNRGCQAIFQCRGGV >Et_4B_036832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11862008:11862588:-1 gene:Et_4B_036832 transcript:Et_4B_036832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWFPTDSSFRILASSEELIYGMILLDPRSSSEECATAVICVCLPELGLFSGLTCTSIVHPCPASICISSMKSATESWNKNAAHQPWSATRRDRQPAAANSKPRRHIHKSLAGLKARPGPRGFVVSEDQKLRLGRFEIPSGSAVRVEGPAVLALRPSLSPPRPSG >Et_3A_025450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:331531:336432:1 gene:Et_3A_025450 transcript:Et_3A_025450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRGGSSSASAGAGGGAAAANFATRMLLLLTLLPLALAAFAFALQWRGGMRDPAGAAWPAETQRFPGMDNSPRGSSRGGGGGSYFAVSSSSSSSAAADCAEILGRSASSHGISLYRGWSFDSDTAITPKICITGSTSAGLHQILPWLYYHKVIGVSHFILFVEGEASKPAVTSVLESIRGVKIIYRTKELKEKQDRSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWIIHLDTDELIHPAGAKEYSLRRLLLDVPDNVDMVVFPNYESSIERDDIKDPFTEVSMFKKNYDHLPKDTYFGLYKEATRGNPNYFLTYGNGKSAARVQEHLRPNGAHRWHNYMKTPNEIKLEEAAILHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFILEFDRLAIIRGLKESGVFTSAVTSAKAYSKMKSSNMGLEHKESIRSNVTAGQSTLGDSKNQLQTTARKILEMVEKQEDAMPPMSPPSFVEMLESSF >Et_8B_060584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2820423:2825674:-1 gene:Et_8B_060584 transcript:Et_8B_060584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGTEKPTEPSTMDLLAVLSTALATPEQSQGSSDSSGNNKSKSHSTEPATVVNSHARSVQPFTGNNTHESQPHLYKETDQDPRPYLSLQLFGSVEDDIHPKMDSVNKYLSSESSNPLDERSPSSSPPITHKFFPIHSVDEEDRHPRAADYGEDAAMVEVSTSRAWCAPPLELFKDSERPVENGSPPNHGYQSCYASTSCSDHSPSSSNSDGQDRTGRIIFKLFGKEPSTIPGNLRGEIINWLKHSPTEMEGYIRPGCLVLSMYLSMPAIAWDELEENLLKRVNTLVQSSDVDFWRKGRFLVRTDTQLVSYKDGMTRLSKSWRTWNTPELTFVSPIAVVGGKKTSLVLKGRNLTIPGTQIHCTNTGKYISKEVLCSAYPGTIYDDSGVETFDLPGEPNLTLGRCFIEVENRFRGNSFPVIVANSSVCQELRNLEVELEDSQFCDVASDDQVHDHRQPKPRDQALHFLNELGWLFQRAAACTPSTGSDVCDSDLIQFSTIRFKHLLLFSSERDWCSLTRTLLDILAKRSMVSEELSQETLEMLSDIHLLNRAVKRKSSRMVHLLVQFVVICPDNSKLYPFVPNFPGPGGLTPLHLAASIENAEDIVDALTDDPQQIGLSCWQSVLDDDGQSPETYAKLRNHDSYNELVAQKLVDRKNNQVTIMVNKGEIHMDNKSGAQALQITSCSQCAILESGALRRPLRSRGLLARPYIHSMLAIAAVCVCVCVFMRALLRFNSGRSFKWERLDFASLYMEWVDECHVDKDKFLSEPSVDHGIGSVKSHSKGSSRKYWNNPVERRNSGRKQCYRTLVVAFMSRKK >Et_6A_047312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3827949:3829420:1 gene:Et_6A_047312 transcript:Et_6A_047312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPPMDAVRKQGMGAAKEMNRGAGKKKPSRSSWMAMGLYYPSSSSSGKSRGQPAMAVEAGNNGDDEKSNGKKKRSISISRSMTCAGSICCTKESSVMNRDAGAGRSASSRSLKVPDDAAAISATSSFNSDTTAATTVTSSSSPLSSALSSPLSSFGASSFRGVHQIRKLSGCYECHSVFDPKNLAAAAAFPCTDCDEVFGKAESLELHRATRHAVSELEPLDTSRNIVEIIFQSSWLRKQAPVCKIERILKVQSSDRTVKRFEQYKESIKEKASNGEGKKSPRCVADGNELLRFYCTTFTCELGSGGGTALCQAASAQCKLCGIIRDGFRVDGEGRIATMATSGRAHDMAQVSSDSGEKRAMLVCRVVAGRVKRDADTKSSEDSDCDSVSPFSEGVYSDLDELFVFNPRAILPCFVVIYSGY >Et_6B_048953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1682534:1683627:-1 gene:Et_6B_048953 transcript:Et_6B_048953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSTGHRPPSIWSDLLPEVGGLILRRLPSHADRLSFRAVCRQWRLAAQQERPSLPPALPWIRLSDRTFRSLPGGERRRFKAHRVRRTAGTATSLRYFNILIRKTIACSPGLSAVMLVGNHAVLFYRPGGASRSYSISQAPTGEPGRSWLKCYSDIAFHRGKLYALTRNEELFSHEFTRSSFLMSLSTTITTVVEHVIIKKHEDSSIDIIFYPRYLVASCDNKLLMVKWRPCSASIRAPTTIQEKCDAMKLEVFEADLEMGRWSEVKDGLNGQTLFVSSGCSRAVRLPNDDHEFRGDHVYFLFADLHLVHSSFTSYLYGSYDLRNDRISQMFVNKERNNIMAKGTSYPAWFFPSYKCEW >Et_2A_016826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28696077:28697428:1 gene:Et_2A_016826 transcript:Et_2A_016826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRFFLLAIAALSPPVMATAAAAAQMMLRPDYYAGVCPDLERIVRESVRQSMAHSPVAGPATLRLFFHDCAVRGCDASIMIINADGDDEWRSPDDQTLKLEGFHTVMSAKAAVDGDPRCRNRVSCADIMALAARDSVALSGGPDYRVELGRYDGRVSTRGSVVLPHSSFNLDQLTLFFSSLGLSQTDMIALSGAHTIGAASCSFFQYRVAGGAGDPAMDPALASQLRGSCPGPAAPGFAFLDAATPTRFDNAYYRNLRRGWGLLGSDQALYADARSRAAVERYAADERAFFDDFAAAMTRLGRVGVRTAADGEIRCDCRFPN >Et_5A_042257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8012817:8016437:-1 gene:Et_5A_042257 transcript:Et_5A_042257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRKDWLLMEIGKNSRLLGPHDSGSLLEQMIKVYLSSPDQSGRQKCRSGLTPLACISRSTANDFCQSPLSRNPARILLNTYELGLTPSASISFSSILALSHLPALVYASTSKLYVASSGATPHCDISSSTCSAFLSDPILTYPLSSAFARPRLDLTGKEELQEERLSLCDAPGRTKRGDERGDGIGPRREPDGLRGGEELERRVRERRAREGGDEVGEERRVGLEARLPEVAEEPLEQRGVGRVPRVEQRGEEVRVGGEVREEVVLAKEREQAQRDGGARGRGGGGEEGVEQQRRGRVGERRREGEQQRVREQAAGDGERVEERREEEVEREAEAVAVEERQQREGQRQRRVAGGEVPQQRVPVVRGDRGDAASWRSGAGTETGGDGEVREADTEGEERYASRGSKS >Et_3A_025849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33286310:33288528:-1 gene:Et_3A_025849 transcript:Et_3A_025849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKEAVATGSSTNAGSSRCNRLLRRKSSVAATAVQKPSRAPLPSDNSTANVAKANDEVRNEKKDKAIPSPEKTIQEEKEEGCSDKADQVVKDNKEVSAVEDKATPTLNAVRQKKEVEIKKDEALIKDAKEAIPVVKGKDASTKKAIEEKKQEAGKKDEVVKDKMVAGDLKADVALTENVILKEKEKDTNKYSVAKISTEASFKEKKTEGDAEDMPNAETDEAELAEDDVQGSEDDSVTFAVAPMAEEDGSVTFMAASGTEDDVSVTSEAAPTTKEVDGITFADASKTEDDNVTLMATVIKEDGNVTFEAAPVTAANSSVTFETALATWDDEVVAFTDAPRTKDSVPFMAPVTEEDGSVTLETAPATKDDDDVTFDNAPTIKDDDSVNFEIAPATKDDDGVTFETAPVTKDDDDVTFETAPATKDDVGITLASAPLTKEDGDGTFLAASVTEEDEGTTFLAASVIEEDGNVTSEYAPTTKDQDDSVTFVADPLVKKEEMAEQLERSDDNVEVKTGADLAEPTDVNIDEVLTKVDGAAEGVAEAEQPMPSEENEEEVKTKDIALTGRTIVQEEAAEVGDTIQVEEKKVDIVEEIKVDQELESASKLPEEDKSNAALKDEDGKSDGKQTIDLKEAITTERKEEELAIPEKNDDENNAAP >Et_10B_003870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:761487:766911:1 gene:Et_10B_003870 transcript:Et_10B_003870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLGKKARKPLPSATARSATSSTTASSAKVHPLLLTAVASSACFSAFADSTLVNGLEFPEDEVDIDTELSDSDGYLTEDPECPYYSDSEDGEFKEHIIKDGLDKQNDEMNLDIRKQKKKLKKLLDKEPEFANFLDKWKSELESNRNKDDSDEDEMNSMDDDDDDELGDKNLPNAKTLTSKTISEWCQLVAKEPKSPSLRNLLNAFRDACQFGVHSDSPSMQRLQSTRVFHQIVTFVLSEADSIFRALLEVSDDFNKGKIMNLKNSKKWQTVDPLIKSYLRNSVDLLSQLTDNKILTFVLTRLRASAALFCAYPSTSTRLLKILVRLWASGDQSLSLSAFLMIRELASLLPDCLDICLTEAYNSYLASTKLVNERNTKHIDFLTNCLVELYSLDVQASFERVVISVGQLNAILRLASKTKEKEDLRKIDNWQYINCVNLWVRFICCNYKDYNLHPLLLQVLLVIRGVAHLFPGTRYLPLRLKLVQMLNELSMCSQMFFPIPSLLFDCLEFREISQNEQTRKTKINLSSLLKVPKSLLKSRDFQEACILSAIQVLSAHFAQWSYHVSFPEVATIPLILLKRLNEQTTLESLHRPIKRLIDQVNENKDFVQRKREVVSFSPNDQASVESFLQEEKNKGNASFTRFYASISEERQPRGKIVYLSQMNNILVELKSFVLLHRCRDKNTLKQQWIAGQGLGMNSGS >Et_3A_025206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27977285:27978276:1 gene:Et_3A_025206 transcript:Et_3A_025206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVFSPLLPAVRLPAAPGSRSPAAAASTTHDAVAVTASPPRLAAVSHRRELVLGTALSGALLLHAPFPAAAREVEVGQFLPPAPSQPGFVFFRATPKDTPALRAGNVQPYEFVLPPSWKQTRVANILSGNYCQPKCAEPWVEVKFEDDKQGKIQVVASPLIRLTNKPNATIEDIGSPEKLIASLGPFVTGNTFDSDELVDTKVEKIDGQTYYSYVLETPLALTGSHNLAKATAKGNTVVLFVASANDKQWSSSQKVLKTMVDSFQV >Et_1A_007175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31615358:31618240:-1 gene:Et_1A_007175 transcript:Et_1A_007175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAVDAPSSPAPAAATLPAPPYHHPASPADAVTPPPKVRSRSPLPSPLQVSGGCSLQELLLLSPPPPSSRRHRSRTRGSGVDDSLEMVAGTPTPPRRRRRGAGEQCAAPALASPRNARRARRRLEKEVEPEEDAAARKARRRKSTRVAAPKAAQGADKAAAAVASEKGADTSLALVPACPDAICRTDLGVPSGWEGLWERIVELVMWRNVAKSTLWFGFGSIPISALCHVCIMILGLAFFKDSVPQRYYSNMTLQLCNRPQIEKGRGFQLTEEDVLRASRAVLPIANGMISTAQTIFSGEPSMTLKVLPVLLFGAKYGSLVTVWRLLAAVEILMGRIVEAWKSCPRKKLVAATAATMCWNMFSVKTRVMAAFISLVVLRYNQKYRKADINNVEVEGCQEGQEQDMEMDD >Et_8A_058053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16308007:16310812:-1 gene:Et_8A_058053 transcript:Et_8A_058053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEWRARVRDRASEAADLSDHARGVLVVAAGRLAQPMHAADAAGDRVRARVTEDLLVDASGNLTVAASLMAAAKLVGLRGAAATPAEPLRSIEEISLQAELNLRLALGLLREATTRAGRACLAVERGRGYLWTAFRLLDFERLLGVDGLLNTTRAAAHHELDTAQALAGQSVELARTACQLLDGSLD >Et_1A_006036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1756459:1759983:1 gene:Et_1A_006036 transcript:Et_1A_006036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRPQTGDLKGHGEVEMKRKYGDIPKGKKDGGNERRRNWWASSMWLGLFFPLVASPMKLRIEEAESDLAELHGDTGVEGLVLDGLGNLLEANDDAVEALDGDEGRIRTRDGEEDVLANRFGVRSMLLDKALAPSNPGIFLVENTPGGTNEDIGPLYFSEKSMLLDITLAPSDLAVLLGDIPCDGTDFVMGFLSFSEKSMLLVKVSMPLDASRLLGEIPRDGTFTEIALSPF >Et_8B_059868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3557369:3558019:1 gene:Et_8B_059868 transcript:Et_8B_059868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYKEPASGFESVSLNLSSIRCSSWSCGDFGKKKRENNRIFGFRVLQSIALSQEIIDEVHPWTVARFSKLRKLLLLRQAYGLVYVALFWELVPTSFSVVFIYDC >Et_8A_056597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13174632:13176702:1 gene:Et_8A_056597 transcript:Et_8A_056597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYVGAVSQLKKSSFSDGCNPSRLSSTGGQGEEDASKEIDVVPWLVIDEGYDMIYGSNPSFCILASISRFLCMSVRSDDAISPSRYCITCLRVGLASMNGWEHNNPSFSTKHTSLAL >Et_3A_024140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17757818:17760091:-1 gene:Et_3A_024140 transcript:Et_3A_024140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIMGKVGGYWFSQKAGKEVSGVGDDINSISSSIGDGAKWMVNKIKGKMQKPLPEFLKDYDLPIGLFPQDATNYEFNEETKKLTVYITSPCEVGYKDSSVLRFSTCVTGYLEKGKLLDIDGIKTKILIWTKVSAVRTEATKVHLSAGMNKTRSREAYEVVRDGVGIDKF >Et_10A_001899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10555168:10556151:-1 gene:Et_10A_001899 transcript:Et_10A_001899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPQHFVLIHGVCHGAWSWYRVTTALESRGHRVTALDMAGCGAMAGRGEEVASFEQYSRPLLDAVAALPEGEKAVLVAHSFGGQSLALAMERYPEKVAVAVFVTATMPAAGKPMTYAFKQLSQGKGADFFMDCTLGTTGDHRNPDKTFLFGPKYMARRVYQRSPPEDLTLGISMVRPSRRFLNDETMNGDVLTSERYGAVRRVYVVAEDDEWKPAEMQRLMASWNPGTEVMVLQGADHLPMFSKPRELSDILMVIANKYTV >Et_3B_031000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9846265:9848566:-1 gene:Et_3B_031000 transcript:Et_3B_031000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFHTTVMSAILLMLSLLAATARSSDPSAPAPATPVPPSTACNGTTDPSFCRTVLPANGTNNLYTYGRFSVAKSLANANKFLGLVNRYLASSRGRSNKQLSPGAVAALQDCQLLSSLNIDFLAAAGAALNASGNRALLDPQAEDVQTLLSAILTNQQTCADGLQAAASAWSVRDGLAVPMANSTKLYSVSLSLFTRAWVPPPAKKSPATGGKKKPPRNGGGGEHGRRGLFDASDDEMVRRMALEGAAAAVPVVGAVTVDATGAGNYTTVGAAVAAAPSNLDGSTGYFVIRVVAGVYGENVAVPKNKKYLMMVGDGIGQSVITGNRSVVDGWTTFNSATFAVLGQGFVAVNMTFRNTAGPAKHQAVALRSGADLSTFYMCSFEAYQDTLYTHSLRQFYRSCDIYGTVDYVFGNAAVVFQDCTLYSRLPMQGQSNTVTAQGRTDPNQNTGTTLQGCVVAAAPELAANTAFPVATYLGRPWKLYSRTVVMQSEIDALIDPAGWMPWNGDFALDTLFYAEFNNTGAGAATAGRVAWPGFHVLNSTADAGNFTVGNMVLGDFWLPQTGVPFTSGLLN >Et_6B_050176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:931033:931518:1 gene:Et_6B_050176 transcript:Et_6B_050176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPVSTICFVLLSLALLFNSLLAQGGTKEEPLAGGEQRQRRSPTTEERYVPVRSVVYRSAPVTTTEEAYQPFEVCDGCRCCAASNGSMCVDTSCCYGINCDIPGKPYGVCAFTPLSCGCGANNCSQPS >Et_3A_026591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:116231:117091:-1 gene:Et_3A_026591 transcript:Et_3A_026591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASKARNGLNPIDPATHTLAIDRETQRRRNGLWGLEVRGSSEIQCKAREGKRLLEEDKPIAKRGRSISKTKRQGNTGDREEGRKQLMASEAAAIMSNNPNAALLEQQGPPPAKKKRSLPGTPGK >Et_4B_037161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15613894:15620924:1 gene:Et_4B_037161 transcript:Et_4B_037161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLAAAARLVAGEASPAPAAAGSGRPAPRTVAMRAPCTGRRRGPAGLRCGGASLRGGVLGEAEAEEGGRFVGWFREAWPYIRGHRGSTFVVVISGEVVAGPHLDGILQDISLLHGLGIKFVLVPGTHVQIDKLLAERGKKANYAGRYRITDSDSLEAAMEAAGRTRLTIEAKLSPGPPMLNLRRHGVNGRWHEISDNVASGNFLGAKRRGVVGGIDYGFTGEVKKIDDSRIRERLDRDSIVVVSNMGYSSAGEVLNCNTYEVATACALAIDADKLICIVDGQIFDEHGRVNRFMSIEEADMLIRTRAKQSDIAANYVKVVGEEDVSHVRNLPMKQDIELSLNGRGHFNGYSASFRNGVGFNNGNGLSGEQGFAIGGEERLSRSNGYLSELAAAAYVCNGGVQRVHIIDGTVGGSLLLELFTRDGVGTMIARDMYEGTRMAREEDLSGIRKIIQPLEESGVLVRRTDKELLEALKAFIVVERDGSIIACAALFPFPEDKSGEVAAIAVSEECRGQGQGDKLLDFIEKKALSLGLEKIFLLTTRTADWFVRRGFKECSIESLPVVRRKRIDLSRGSKYYMKRLQPAEIGHVAVNNFAMR >Et_8A_058339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5383054:5385970:1 gene:Et_8A_058339 transcript:Et_8A_058339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIGMDALPEGVVQHILSQVSNARDVAACAGVSRCWRECVPYLPSLYFPRGAFEGSASGGGGGTEAAVAAADEAIGRMVAAAARLEELVVYCPFSIGLLPRWLEARGATLRLLEMRVDSSVDMHGHLDCLALAPGLQELRLWGLSMSRPPAWGPMERLRVLEVVGAVVMDSAVSGAVAACPNLTDLAMLGCECSGEVAISLPLLERCRLDFVGGAGSCSLKLAAPRVESLEVQGFGWISLQGGAGNRLRHLIISKNVGAVYQVEMGKLLVLEQLQLRGVQWSWSAITSLLLCASEVKHLVMKVEFCGDYDALQPFPEVDLVEFFNSHPKLRKFEIHGAMFAALCQKNSLKKAYAVANFDLPMQLDSRFVIPCLEEILITVRSPLNAEQKLSTLESLVRYSPRLRKMVIRVSQMKNCHDAADDFFEEICKFAYMNNGRILDLAVLTSSFSFFAIASQSLAAIPVFQSRLRLITIPCSRVSTLKQAR >Et_2B_019883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14927096:14932137:1 gene:Et_2B_019883 transcript:Et_2B_019883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSVVTSKGKAAFELKHRLVQALNKIADRDTYQIGLDELEKAADTLAPDMIGPFLSCVIDTDADQKSAVRKECIKVIGTLARLHGNILAPHMGKMVSSVVKRLKDTDSVVRDACVDTCGTLAMCARSYGDGGAAMVSLVRPLFESLGEQNRYVQAGSALCLAKVIDESSYFPGSVLPQMLLRVVKLLKNPHFMAKPAVIEVIRSIVQAEGASTEQSLSSALTSIMDALKSSDWTTRKAASQALSSIAVNSGYLVAAFRTSCLRSLERCKFDKVKPVRDAINHAIQLWKAIPGSHTPEPSEAGSSTKENFFGDHHDARSIHDSASRDTSFRRLDHGPSVSVISGSSVNSAMRRSPLSINKIGRNNAANQQRMKSGGWHVEVSVPKQNMMPLVDTDEKGSNKLCAKGAYRLVDEDSKFDYDIMDDKQECSSVSEVASRSCETKHLTTAQECTEDCASTQVTERCPRGRETKSTDSTVTDVTAHGTHSCCLNAMNELTHIRNQLQEMERRQANMFDLLQEFMSNSAENMSVLNLKVHNLENAVDKTVYTITQSESRYHLLGSKFLKNQSVSSSPRLSTSTPRSSVDANYKPPPISHLRHEKKWLHDLPSKGSSMCTKEGAEILKDDAHNRVRKSEPVISESNLPRYAPSSVRSRATRVKGTFPVSVTSSCEQTEAQNALCPNRSGEFENADGIESAYTEALNCGDHDDLIHLMDRTGPVLGKLSCETGNELLRVIAGQFLNKKFFDLALPWLQQLVDLSMICKPTQLFSSVRAQKEFLSALEEAATSGSREPAIRIAIAQLAFKLTKVFEVGPCRKISARVRRGNETIMATAILTDLEQKHHQSESSLLWETLRRPPILLLLVARWTHWSSDCTTSDMDGRNSGSDCNITSKQGSE >Et_6A_047500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6573951:6587732:-1 gene:Et_6A_047500 transcript:Et_6A_047500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIKSVMEKSGAISNNLKMVDVQCEVVDDRVCNILKLLKTLEVKFSITRTKKNLLNGPAMASGVDRISALPDGVLEHVLGFLPADRAVQTSVLARRWRHLWRSMRRLQISNKDMASLNSLNKFVTGLLLLRNSLVALDEVDFTYCPIQDTDWPYIDIWSQHILSCQAKVLVIRFSIDLFPELDGPSLLSRHLQNVLDFASCPALEILEITCCCIFCERISSQSLKHLLIKCTAFSFEVRTCISTPSLAVMQIDYFFGKAPQLESMPSLQTAIVKCAPFGIEDYCGIGDSWDCGGICSDHNRGCVLLQRLSVTPGARHLFDGMAETSGAKKPAMPGQEDRISSLPDGVLEDILGFLPADNAVQTSVLSRRWRHLWKSTRRLRLNGLDPGRIRAPAFRNFLYRLLLLRNTSVALDEVELTVYPCGEDGASMNACIQLLLFCRAKMIAVNGATTFDGPPLLSQHLRRLVLDSLDLDANSLDFERCPALEDLRITNCDLDTHRILSQSVTHLSITDCFFNPTRVHIRAPLLVSLELSHCYGKAPLLDRMPSLETAVFSISTKDYCDGASADCSGACLNCCNNNDQNTGDILGGLSNTMKLELRIFYGTGDKWTGESEGMYQTRDQLPAISQLLDTVEIKCREVDEREESSFGSEDRISVLLEGVIHHVLGFLPAHEAVQTSVLSRRWCHLWKSMGRLRIIFSEKWKSANEFYQFVNPSVLSASTHYSADRFVILDGPSLVSQQDLRRLELKDMNLKDKILDFSSCPSLED >Et_2B_020450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20367181:20368598:1 gene:Et_2B_020450 transcript:Et_2B_020450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREASSPLHVVVFPWLAFGHLIPFLELAKQLARRGHAVTFVSTPRNVARLPPVLASLSGSVRLLSLPLPAVEGLLEGAESTADVLPEKSELLKAAFDALAAPFADFLAAACASDGGVELQPDWIILDFAHYWLGPIADEHKVPRAMFVIVSVSFRRHEAAWTADGTRPNASGVSDAERFWWTEQRCRLLVFRSCPELEPHVFPLLADLLVPEPEADDGGAARSDDDALRWLDAQPAAGSVAYVALGTEAPVTAEGVRELALGLELSGARFLWALRTPSAPLLPDGFEARTRGRGAVFRGWVPQGACRCSRTPRLRLGGLPLVTLPFVVDQGLIARMMAERGVVREVARRDGDGWFSRDDVAAAVRRVVVEEEGKVVSSNARRPREAVVGDDGGRQEERYVDELVDWLRRDGGSG >Et_8A_057415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2812054:2813785:-1 gene:Et_8A_057415 transcript:Et_8A_057415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLARFGCRNRPFYRLMAADSRSPCDGKHLEVLGYYNPLPGKDGGKRMGLKFDRVKYWLSVGAQPSDPVERILFRAGILPPPPMLAMERKGGPRDRRPIDPMTGRPLDLECVTIVDDPDTPDGGDGVPDEEAA >Et_5A_040672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1118988:1135399:-1 gene:Et_5A_040672 transcript:Et_5A_040672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRPRFLVQLGGPSWTVLLGRRDSTTASLSLANSDLPAPSSSLQQLITKFGNKNNLSPTDMVALSGAHTIGQAQCQNFRPHIYNDTNINSTFATSLKANCPAASPNGNTNLAPLDTTTPNTFDNAYYNNLLSQKGLLHSDQELFNGGSTDNTVRNFASNAAAFSSAFASAMVTMGNISPLTGSQGEIRLSCSKAAFSSAFAAAMVKMANLSPLTVAMASASCLSLLVVVALASAASAQLTSTFYDTSCPKALSTIKSAVAAAVAQEPRMGASLLRLHFHDCFGCDASVLLDDTATIQSEKNAIPNKDSLRGFGVVDNIKAQVEAVCRQTVSCADILAVAARDSVVALGGPSWTVLLGRRDSTNASLSLANSDLPAPSSSLQDLITAFGKKNNLSPTDMVALSGAHTIGQAQCLNFRPHIYNDTNINSAFATSLKANCPAASSTSSDGSLAPLDTTTPNTFDNAYYSNLLSQKGLLHSDQELFNGGSTDNTVRNFASNAAAFSSAFASAMIKMGNISPLTGSQGQIRLSCAKPVGGRGSVLSSFGAAIVDVLRHVVPERAVDHQERGDGRREQRATHGGVAAQAALPRLLCRCKSSMHNPQAFSLPCLVFEGCDASVLLNDTSSFIGEQSAIPNKGSLRGFTVIDNIKAQVEAVCNQTVSCADILAVAARDSVVALGGPSWTVLLGRRDSTNASKDNAERDLPPPTFDLANLTAAFANKNLSVTDMVALSGGHTIGQAQCLNFRDRIYNETNINSTFATSVQANCPRTSGSGDASLLPLDTTSPTTFDNAYYTNLLSQKGLLHSDQQLFNGGSTDSTVRNFASNAAAFNSAFATAMPQDGLSRRDQAQLLQEELLRYKRWHHILLVMVALASAASAQLSSTFYDSSCPKALSTIKSAVAAAVAQEPRMGASLLRLHFHDCFVQAMSLDLINLPIKLCHLDLDSAQGCDASVLLAGNEQNDGPNLSLRGFNVIDNIKTQVEAVCKQTVSCADILAVAARDSVVAVRPKVFHKIRHVKKLLLIVWFPQLGGPSWTVMLGRRDSTTAASSTTVGNNLLPPSSSLAQLISGYQSKGLNPTDMVALSDINIKYFHNLTIITDLSATMTASRQAHCPSYRAHIYNDTNINQAFATSLQGSCPASSGGNNVLAPLDTTTPNTFDNSYYSNLLSQKGLLHSDQELFNGGSTDNTVRNFASSAAAFSSAFATAMVKMGNISPLTGTQGQVRLKCSAVNS >Et_7B_054650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3085706:3089324:-1 gene:Et_7B_054650 transcript:Et_7B_054650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVEAVADEALVLVGVAAATATEAVLPGPWALEVDARLVGRVPGGVGPSGCLESGDRAWDVFLETDRTYVIASCLAPTPSAPPSDPSPRASDLPPHAAGGLLSSPPRRSIADVLTINSDPGSMDLLPDDVLADILGRLPPRSLAASRCVRKDWCATIDARRLLRASRSASTASSASSIPIGVEHYHTVFFARHSTARRIINGDLDSLWDDDHDPHNLCVLDHCNGLVLLFPRVIFNPATGQSVCLPTFPRLGKGEFYKHRLIVYDPITPPQHYEVLLFPYTPDMQENNKNSEWPPLSLKTQVFSSRKWRWEERSFVQEGEAAGTIAEMNAIHAGEINRSVYLRGVLYVPCRNNSIMRITLRNNRYQVVTVPNPVLFKERYAYLGKSQKGVYCALLYGWFQFRVWLLDECGEMEWVLKCDINFEMVETSPINDHAAGYNTPWIVNYEKDVSEAQTEDESEWDFGSSAVLHERKDEIIRSYEERFFLGFHPYKEIAFFLVPFSRVVSYHLSSSKIQELGVLSKRVLESFPYTSCSFFPLFAHLVVASHVILVEPWWNPFVEKQAIGRAHRITQTRPIKDTVEERILFLQKRKEDIIKRDFDGDIFDPARLKELTKLTKEDTRYLLGADSYPPTDTHIFMRVRPGLGAWVKPASIVRNKSLKLSTIVLGMTDDPTSSSFSSGSVASRRGGQLRLMIPAGHRNCAGWHRHISGTRGKAEKTKGRTPELATERAVAFLPLLHGRSGTRIIRPYTCQVHIGVKIQQQHS >Et_1B_014023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27790659:27791136:1 gene:Et_1B_014023 transcript:Et_1B_014023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPLFKQALVVPPGHTSHTDNKQEQGEDRGARLICFLVPPLLLLLRRGRAAKLPEQATMISPDTIRTVIGVIGNGTALVLFLSPV >Et_2A_018588.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33965357:33965944:-1 gene:Et_2A_018588 transcript:Et_2A_018588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAFSSASGPGALPPFSGVRVIHTNGYVEDFPGPGVVTVAHVTGKEASASDGGIATPPRYVLCSSAHLLQPGRGPFRPDDPLQPGTVYFLLPQSVFQAESSAVDLACLMNRLTALARKGCAAAAPGSASPVEALFAAAAAEGQRQQQSTLVAAQKAKQTPGRPAPWRPRLDRIDESFRRASMRSASSRSACSEA >Et_4A_032557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11322047:11323952:1 gene:Et_4A_032557 transcript:Et_4A_032557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLKLQKRLAASVLKCGKGKVWLDPNEVSEISMANSRQNIRKLVKDGFIIRKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKVLWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNAFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPREPAAPAAAAPAQTAAAPKKAKK >Et_1A_004755.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:38229445:38232406:1 gene:Et_1A_004755 transcript:Et_1A_004755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMGSEEWELYPSSYIGAQVIEYRPISEDSDDDWNGDVAVSLDAVLPDDLLEKVLSFLPVVSIIRSGSVCKRWHEIVHAQRHTWSKMVPQKPWYFMFTCSEEAVSGFAYDPSLRKWYEFDFPCIERSNWSTSSSAGLVCLMDSENRGRIMVCNPITKDWKRLLDAPGGKTADYSALAFSVDRSSHRYTVVVARSNQVPSEYYQWEFAIHIYESITGSWVTPFTGVLLGWRGGDECVICDGVLYYLVYSTGVVVNNNQHRHCLVMYDLSARPNHTSLMSMAIPVPCALTCGRLMNLSERLVLVGGIGKQDRPGIIKGIGIWELHNKEWHEVAKMPHKFFQGFGEFDEVFASCGADDIIYIQSYGSPALLTFEVKQKLWKWSAKSPVTKRFPLQLFTGFSFEPRLDIAS >Et_4A_031842.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21883090:21883389:1 gene:Et_4A_031842 transcript:Et_4A_031842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRKKSGGQSSPSRGYSGADEEEKVPKGHVPMVAGGVDGDGERVMVPVRLLSDPSIAELLDMAAQQYGYGQPGVLRVPCDAGHFRRVLDGAMHRCGISL >Et_5B_043788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16180394:16185073:1 gene:Et_5B_043788 transcript:Et_5B_043788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSRGLLLVAPLLLLLIIIMVIVTPSGAANGAASVVLAARPGCNNTCGNVNIPFPFGVGPNCFREGFEIDCTGGTPKLKDTDYEIQNISMTPDGGSIARVLLPIAYQCYNESSSRYANYSYGTVSFNRQGVYRISDKLNELVVVGCNTQAYISSEPVANATAVPYKYTIYTGCISYCLSAESVIENRCAGVGCCRVDIPAGLTETWVTFGGYDHDKYFLPFSACSYGFLVDRGTYNFTRADLQMSAGRRVPVWLDWAIRPSDDAAPRLTCADAKRNGTAYACVSPNSVCVDAASNLTGYNCQCRPGYEGNPYLPDNVRGNCQDINECAPENKHKYKCYGPHCHNTPGNYTCRCGRGFHSDDPKTQPCQPIITRPAQIVIGVVCGLAFIAIAAIFMLMVHHKRKLKEFFEKNGGPMLENVSNIKIFTKDDLKQMTKNYSNILGKGGFGEVYMGTVENNQQVAVKRSILLDEDRKKEFANEVIIQSRISHINVVKLVGCCVEVDIPMLVYEFAPKGSLYDVLHGTNKENMKSSLSLATRLDIAVQSAEGLSYMHSSANQKILHGDVKSGNILLDDNFIPKVSDFGTSRLLSGEKNHTMKVIGDINYIDPVYMKTGRLDEKSDVYSFGAVLLELITRKKPRYDGNNSLIINFCKSFASDEKAREMYDEEIASSENIEFLHKVGSVAVDCLKDDMDARPNMRQVVDRLHLVRMEYKQKHGDHVPDEISMESPPMSASMDASGAGTPGYSPLLSLFAVTTL >Et_1A_004707.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:32665873:32666976:1 gene:Et_1A_004707 transcript:Et_1A_004707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDETYLPAPLHFIPPEATHSNALAEARATLLFPTLDVLFARTRVAPSAVCAVVVSCSGLCPAPSLAAAVAARYRMRGDVRTINLSGMGCSAGVVGVDVARGVLLQRRGAAASYAVAVCMEALTVGWYGGRERSKLLLNCFFRTGCSAALLTNKAGGANNKYRLVRLARTNRSADDESYRAGYREEDDQGVTGFHISRGVGRAFEDVLRAHLLALGASVLPWSEKLRYALHLLLRRRRSASTAVAPSPSFRTAADHFCLPTTWRPMIRRLGQGLGLGDADMEPALMTFHRFGNQSAASLWYQLAYLEAKGKVREGYKVWQLGVGSGLKVNSVLWEALHHGDTTAPDHLGPWRDCLHRYPVPE >Et_6A_046284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1279385:1289045:-1 gene:Et_6A_046284 transcript:Et_6A_046284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVGDGSGGTGSAGLTSLPVDIIGHIHALMPMRDAARAACISHGFLRSWRCYPRLDFDMDTLGFLKLEDPFFDDDDSTRFEEVLPIIEDQIRRGVITTVEEYFKMNNPLIREFAARVDHMMQHHSGTGLKTFRVRPPHGFYIRPATIDRWTITSFSLSGCILNSVHTAGCLPGLTRLRLQNVRVTSEELGRFLSSTCPALEQLEISVWDDMVCLNIPRLLSRLKLLRVRNNINNSCLQMVECDAPKLKSFGYVGTPTIHVSLGPSVREMQMSCGMGDGPAGGMLFYATTNLPSIAPNLLSLYLASCFEVADTPMPLDKFRRLKCLEIHLDRPTRCPDYDFYSLVSFLDASPALDTFILCLEMPDASMPESIPYGDSTTHKRQCHCKLKKVVISGFYLAKGMVELTSHILENAASLKHLILDTTYGCDRKHNCKTRRCLPFTVAGLLEARKAVDVIKRYIKGKVPSSVKFDVIEPCTKCHTDAGCRPNYSLELIYGQ >Et_10B_003164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17191349:17194117:1 gene:Et_10B_003164 transcript:Et_10B_003164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLPLRLRPLPAAATTASRPAPRPRLPFPRRRMRLPLAPRCLIAGPAPPPPPRWHAVLSAAAGLYPAYVTAGAAVAVARPESFRWFVALAPGSYTCALGFIMLAMGLTLRLHDFAALLRDRPLAILFGCAAQYTVMPALATIISRALGLPASLSAGLILLGCCPGGTASNVVTLVAQGDVPLSVVMTVCSTLAAVFLTPLLTKVLAGAYIPVDAVKLSLSTLQVVVAPIILGSSIQSAFPSVAKFVTPFAPLLAVLASSLLACSVFSENFVRLRSTIADASGNGNFFSGDIGVVMLSVFLLHFAGFFIGYAAAAIGGLKERQRRAISIEVGMQNSSLGVVLATAHFSSPLVALPPALSAVIMNIMGSALGLVWQCIIPSVSENETTDMAADA >Et_4B_039500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19459394:19463638:1 gene:Et_4B_039500 transcript:Et_4B_039500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGKFQTLVLAYAGMGWLAEAMEVMLLSFLGPLVRQEWNLSAQSESLLSSVVFAGMTVVLFSTLLTSGLGFLSCAIGTELEVYPTSVRSTGVGIATAIGKVGGIVCPLVAVGMLRTCHQMEAVLVFELVLCLAGVACILFPLETKGREMD >Et_7A_050980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13107062:13111145:-1 gene:Et_7A_050980 transcript:Et_7A_050980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRATPRPPEAVSGGGRPAAPQKIVQLCAPLAKARPPFGDVVDDYHRFPRTPSPAAAGAATTAAGRGRIEEGIVVSTPLKRKSPHGERDTAESTELMIATPGFTKGVDSPLGTPISGKTAKTYKTKAKCSEAGPHTPIPNAGNSCRYDNSLALLTKKFINLLKEAQNGILDLNIAAETLDVRNISSFKLVNLFSLNPDAILLVKKRRIYDITNVLEGIGLIEKIQKNGIRWKGLDSSETNLDNEMSFLEKEAEKLNLQEQTLDEHISEIREKLKQLTEDENNQRWLYLTEDDIKGLHCFQNQTLIAIKAPHGTSVEVPDPDVKAGNYYQRRYRIIVRSSMGPIDVYLVSKFEEKSEAQQGGGKTNSAKHAAVVASRTIEAGERSSTKEVLLNARRFQKTTDLKASYDFQAVMMKINPSDVNSDADYWLLTDDDVSITDMWGPTPQVQWDQIDPRDFSDEDVSTPRTRDQQPAAVGAPKAVASN >Et_4A_035782.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:5789317:5789952:1 gene:Et_4A_035782 transcript:Et_4A_035782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGDLDRISALPDDLLHLILGSVGDALDVSRTAVLSRRWRRVWIHAHGLVLVDKKVVVDTHKPGCFVNFADWVFAQRGDADIGSLYINMFESQDPCATQEQINQWLRYAMGCVVKSFNIHLPYHNMRRRFLDGGHGIDNIEPPIKMPGHGRMGSIIMHLRDYRFQLPVAAKAKYEALTELTLFSASFDQEEPGGGRTLGDCVLKLPSTA >Et_4B_038525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29599273:29601488:-1 gene:Et_4B_038525 transcript:Et_4B_038525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPWHLGDELRGQPKLAEDRQWSLMTSKLAEITKSKGERMNDFDYGRMNTDESRMDHLNLGLMNLDLKMNDLKMNEAAMKNHSFRNVPYNMNPMYPKGSNTNVNAFKMNVGLNKYSNTPSGKEANGKHNSGGNSNANNNNSAVDKRFKTLPTSEMLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPARYRDSVRAITPGLPLFLYNYTTHQLHGVFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRICVRKLCKPLEEDSFRPVLHHYDGPKFRLELSISETLSLLDLCEKEGI >Et_2B_021616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3634028:3637764:1 gene:Et_2B_021616 transcript:Et_2B_021616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSANSNQKPGDDNVSKRLHFGDGSDYPPYKSTAQAKDDLEVLAARYGDMKIVCSPSRYATTIQKLSDKHKDAIKSAGFQGMLQLKPMFLRRLMLVQLAKRYITETESFLIAGKEIPMTQLDAFHIMDLPIEGKNIDVSAVRETNIELFQSYRSKKPGENHITLKALEHSITISKEPDDDFIRQFVLYTIGILLAPTTKDYVDSKYLAFVEKVKDIPKFNWGLFTWRNLLACMHSFKIDEKVNLQGNLALLQVWYFEHVQSYSHHGVSYSPAPHPLMARWDEKMAKLRADAYNEDGLDGGVVVTTISNRKPGRNTINNEATNGQDEETRCHDQDGHHTHSEQHPITNQQMEIILQAITKNRIQNERTLMEVEHRFHSNILTVQEDLAEYRTQDAIRTRDIKNALNTRATPFKCQNFEGEASLADEETSASEGEGTLPDSKTVENMKMEQHRTQPVEKSTKHIFSTTDYNNDDYILTEDDEEALQFIIHSYKWAGVVDIPDHPLLRVEKLKKLAEGGWAIDAYASLCEIENKYTTVLTTFQSRMLLGTNGVFNPRNKRWTAELGKRCATHGLVCTIHHQLYITIFMFTDHSNIKPSSPNLRDEAHEATLKQEYKANKEKDNEDQGQDSDIEIVTWGDETSKGIKGTLSGRKRGRPKKSGQNTKAATPEASPKTPKTVAQRVQGTHRR >Et_1B_011499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22963066:22965175:-1 gene:Et_1B_011499 transcript:Et_1B_011499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDGGSARSALLESASALNTGYYTDLLVNDAEESHDHSPPSDPIIHHVPVPAKSSQGRGQNFKDVEDILLVSAWLINVGMDAILGVDQSRGTYWTRIHEYFHECKTAKSTSNKKQKRVANSSPASVALTDASVVNPAGGGRPDGSFKKRRSDGKKEKQKLRQPSTIKAVDYLMAKKKEVDVEKDLKK >Et_4B_039065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7463689:7465129:-1 gene:Et_4B_039065 transcript:Et_4B_039065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGAKSEANRDEKRSGAAGSGSCKVYHERQRLQFCLLHALNNLMQEKEYFTRADLDGIAGNLVLNDPNKGQWTPLSFIFKPHHNVVTGNYDVNVLIASLEARKKKVIWHDHRKGASSIDLDAEALVGLMINIPVRRLRGLWTGRHWVAIRCIDGIWFNLDSDFSEPKQFKDKENVIAFLDSVLSQGGELMIVLQDE >Et_1B_009911.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10631671:10631850:1 gene:Et_1B_009911 transcript:Et_1B_009911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHAHDEPYYVHAKHMYNLHRMKHQQLKVSLSVLAAVSIGVGVPVYAVVFQQKKTASG >Et_1A_006113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1835071:1838301:1 gene:Et_1A_006113 transcript:Et_1A_006113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAASCLASCCAACACEACRTAVGSIGRRSARIAYCGLFALSLFASWALREVAAPLLQSIPWINHFHKTPDREWFETDAVLRVSLGNFLFFTILAVIMAGIKDQKDPRDKIHHGGWMAKIFCWVVIVFLMFFVPNGVVSFYESLSKFGSGLFLLVQVVLLLDFVHGWNENWVAKDEQFWYMALLIVSVVCYIATFTFSGLLFHWFTPSGHDCGLNLFFIVFTLILVFVFAIVALHPKINGSLLPASVIALYCTYLCYSGLSSEPRDYECNGLHNHLKAVSTGSLTLGLLTTVLSVVYSAVRAGSSATVLSPPDSPRAGADKPLLPFSKADEQEDKKDVPKPVTYSYSFFHLIFSLASMYSAMLLTGWSTSVGESGKLIDVGWPSVWVRIATQWATAGLKEPSPPFWLDISTATATYSLLCVLMKFVVIKETTASQAYDAAASTADAATKMAFHCLVAARMAAATAAAARAANTFFNEVYFLTVSLQPLQCTTWRKYLLVGLGACVVPTPPLAGNDADIPATAPAGACSTTAGTDGGDRVLWPK >Et_10A_001645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6379352:6379819:-1 gene:Et_10A_001645 transcript:Et_10A_001645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPHAVVVPPSDLREHLKSLLWTNQGTDRSPVFEAELLAAPKEKVSGGGVRRHMELQGVEPKVFKAMLHFMYTDALPEIAEEKAVAMAQGLLAAAHRYKLERLKLMCEEMLCERVDMDTVAGSLAVAKQHGRETLEAVCVEFISRPGES >Et_3B_027507.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23194219:23194692:-1 gene:Et_3B_027507 transcript:Et_3B_027507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEFCESTIRSDKRSATAKDRRDLALIALDLLQRAADDANAKIIHGDGPKWHQDKVTRLHLVYCQVDYDTMARTISKWRAMVQEYGDNRNDSLLSFYYFDVANRMKETSDDWLAVTSVEDNELQVVGKDVEEVSHRTTLARAMVEQMLGEVDDDMD >Et_10B_004085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1205629:1208928:1 gene:Et_10B_004085 transcript:Et_10B_004085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARMMRWPRPPPARNFRVRLVVRRAEGLPPPPAPLSPEGSPEAGAEVFVEVCWKGPKMSPLSSLRRAQRPPRNQTRKEALVAGVAVQSDTEDGVAAAAAVPVAVAWEEEFERDAALTATSHREAAAFQPWDVSFSVVSESNKISKSKLVLGTASLNLADYASAAEEEIEIILPLSVPSGATEPAPSLHLTLSLAELRSPQQSPDASNRSVVAPMSPSSGDSVPSGKDEVSVIKVGLRNLKILRDLVSTRRFRKTNQDGIEEKYYVHSDGAEFSCDTDSLDDDLDERAQDDDLAGSTVRKSFSYGSLHTMNVGALLHAPRIDGDDEGWVHYSHRNSDASYHVEQVPSSTAEERISIPVRRKRSILPVRWRKTKLPKAKGEPLLKQYGEEGGDDIDYDRRLLTPSDGSASEGSNGSTNSMVSIFGDDDFIVGNWESKEVFSRDGHLKLSTQVFFASIDQRSERAAGESACTALVAVIADWFHANQNLMPIRSQFDSLIREGSLEWRKLCENETYRERFPDKHFDLETVLHAKIRPLTVVPSKSFIGFFHPEGTEDVSGFDFLDGAMSFDNIWDEISRAAECSTEKPTLYIVSWNDHFFVLKVEANVYYIIDTLGERLSEGCNQAYILKFDDQTMIHKVPAEKKDDNSDSSERLKDPSESSSTEQDSGRDNEECELLLKGKDTCKEYIKSFLAAIPIRELQADIKKGLVASTPVHHRLQIEFHYTESCPKEVTMTAPFPTSEAPFEFCWPEPPPLPGMEVAVTDTLDMEVAVTHSPALEVSVTHAVAVV >Et_9A_063510.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:5558557:5560053:1 gene:Et_9A_063510 transcript:Et_9A_063510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQPEFRVLDTENVLITTTPDGDGAPAAACAVPLTFFDVKWLHLPPVERVLLYRLQPDADAAAIVASLKSSLSHALRAFFPLAGRVRLIAAPGGTGGRIRHELFYQPGDGVPFTTAEYDADVDDLAGAADGDGVPVAAVAPLAPPLPAGRAVLAVQATTLLRRRGIALGVTIHHSACDGRSSTRFLHTWASAAAAAATGGSKHLMPAAKVIDDPPVIDADRKLVTDPRGLYHTYLNAMPPIARSPDLEFVRSKQPSPGGDDVAVATFTLSAEALQGVRSAVAREAARRGEALSPRCSPLVAAYGLMWWCHVHAVKKQSASNNNDYYFLFSVDQRARLKPAPLPDRYFGNCMCPAIATVPRDEMAVSVSDDGGDAVATIGGLYAACAAVAAAIEEEAGENAQEEGRWDGCVARVKRAVANGTLSVAGSPRFRVYGLDFGFGRPVKVHMVSVAKAGAVAVADARAGGRGLELGVALPPDAMGRFRGCFAKAMDACLIRE >Et_1B_009966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14637498:14638452:-1 gene:Et_1B_009966 transcript:Et_1B_009966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTRKRAAPEGAFPTVAGTKRPRYEFGSIYNYEKLEVLGDGSYGVVVKGRDLRTGETVAIKFIRRHGEKGPDHLRAVYREARCLAACSGHPSGRFITRRFSEAETRGCMRQLLRGAEKMHGARIIHRDIKPDNILVGDGGALKICDLGMATPVNPAGTPYPEERVCTLWYRAPELLMGYRTYGPAVDMWSLGEVLCGLLSFRPEERLTAAEALNHRWFEEEDAPISPTFGSLQHPSSFMTFL >Et_2B_021997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7938171:7943014:1 gene:Et_2B_021997 transcript:Et_2B_021997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPADDCPFSECLCRSLCSAQIRRVDACLLADAVDSPAWSVLVGITSMSDDDRSLRLHRFRAAHFGRVLGRSRDALEMLRMTCQEHLVTHQRLHRHAGPEGRSLSLCLFSSEFDYSDIYKDVGRWVEVAAIDVPLEPQSRDMSKDSIPLIQGYAVVGDTILLSLYPFHLFFAFDCATGSWAPVVTSGTYHYIPIRGHGVYVKEDDTIYFLSGGAVYAYKLCVVKGEYRMAAPTFVDHVCTMCKQGQGSLAHLSGRVMCSVWMGVNLRCNCDDKHVLITTFRVKGDDSGHLVHKGIEVLHCTSRQLDKLPSKSEQSYFQFSFLQECKECNRDNATTYAKTMLDL >Et_10B_004042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9614840:9626363:1 gene:Et_10B_004042 transcript:Et_10B_004042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGAAAQASNPQPLRAQREMLALNSERLKSIGNSIWALCRGAAPRPSAELLSLIYALARGVDFAVAAGDVAAIAGEVPDILRKNACTNKWFRPTDSADILRMANELFGSFCTSDGQDANYITVLEIISKIMPRYYPQLKFERLVTAIETKAGYHAKLADFFIERNLSLEEKIRLIVVQKENLDASSCITNPPQVSLLVNGKGVEMRTNVSMEKGPQFPTDITKMLKYGANIIQAIGYFNANYVIAVTFMKSLTSFGAPPLDDYAEPVTVDSADSDVLEGESRVSLRCPISLRRIKTPIKGHLCKHYQCFDYNNYIEMNLIKPTWRCPCCNTPSNFMELRIDHKMTKASFIILQETEDDVLDVLLFADGSWKAAEANNEKSDRDNVDAIAQTGATAQTVIDLINEDDDDLAMNWTSASEDTKPVLRFQNLSVLDYPSGLPRSGPAQTDQMGSNMASTSQTLLLSSNSGLISSSFGTMEPLLPQNVLCPVITDAVSPLGTSNAASVLQHVSRETHPEMVHMQPQIGQGVSVPIHRNPRSEAVGVQELSVPPQNSGSSRGLQPTILNSAPFVPSPTLTYQAHHVTNPSSVISPVNNGGGPSLRAPSAAPILHRQSSTLGGWILVGIREIDITYPSGELCDEALSMDEASRRLVARPPATLAHHWPSLTKILARRLPPAANRCSRLPAKKHAADNLDCLQNTRNTWSHLPSRVAGLPAPHLMAARQSARVPRQTGGANAYGSLPILNEFMLNSQVNQTAQAAGGQTRAAAQVRPTLTDIQNHLFSGQQSQASRPQTEPRAASPVVVPRGPSVLQSPNEATVTPSTPQARDSDGLPELPVDENWRPTGQMRGSLTGNAYSHAIERYLGQTAQPQSQARPPSA >Et_9B_063641.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14755356:14759560:1 gene:Et_9B_063641 transcript:Et_9B_063641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALIGWVVCPVIKIVVDKARCCASDRIKWLRGGVPETLDKLGKTLVKLGAVAGAVEKRTSADTSADFRSWLQELKDAVHDADDVLDDFDDSAPQPGYIATAGRRIFGADEALNRLKVVVEKLEDIYASSDKLMQVAELEAPRSGDLSGRHSPPGRATGSVFHREEAVLGRDKELRDMVSWLVGPSDGEAKSASVPIAAIMGHGGMGKTTLARLLFQDQTVVSTFDLLIWVQPSAMEDELELAKQILQSQDVVIPHGMSSLDWLQKKLIETVSSHKFLLVIDDVWNKENLEEHDYQEMWSKVLAPLSHGKTESKIVVTARQRMVARLLKASKQVWLDGLPADDTWSLFKRCAFGEEDMEKQHPELRDIGREIAKKLKGSPLVVKAVGQMLGGSRSVTHWRNVLKMDSFEKVSSTLKLCYHSLPDHLQPCFAICSIFPKNFRFKRDKLVKIWMALNFIQAGDRNTRLEDVGSEYFDQLVDRSFFHKQKLGRRRYYYIHDLTHDLAEKVSRVDCIRVEGVNKEIPKTVRHLSVSSEDDMMQLKSRCELKRLRTLIILSNPSSSLNQLPGDLFTELKGLRVLSLEGCSIVNLSDRIGHLKHLRYLALCKSITRLPQTVTKLYHLQTLRSPKGSRLEGIPKDIANLKCLRHLDLDTSIIRGIGKLVNLQGSIEFHVKNERGHTLEDLNGMSDLRKELHIKNLEVITSQKEASQAGLNKKENLKMLELEWNSTDRSVTSVATEVLDGLEPCQHIKKLHIRRYPGNRSPNWLNRSLKESDFYLRYLYLINCRKWEVMPPLGQLPWLKVLHMKEMCSVKQISEEFYGTNQIAFPCLEELQFDDMPNWVEWTQEERSIEVLPKLGKLKISDCPQLIKVPPLPQSIWMVSVKNSGFASQLKLTLTSSSKLHRFALDTCSTIVLTNGLLHQVQVEAIVSLTLRNCQDAKFENIQALTSLRRLQIYNSDINDEQFGNCLRGLLALTSLEIVNCSNITSLPQAQSPDCLTKFYDLHIQHCSEFSSLRALPSFMTLKNLLIENCPNVTAESFPAIFNVNSSLRELKIINCAQLESLPSTFPSSLQVLHLIGCKPALMKHLQLQDGPEWDKVAFIPIKQIH >Et_10A_002299.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:7603021:7603734:1 gene:Et_10A_002299 transcript:Et_10A_002299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSIPSGHACVYSVATFRFGSSTAVIEATVTRDAAVADAWVRSLRASHPRGARLLLGLDCKWRQQTTKQHQQPPDGEDEDNDGDSHRRRSWAAAAVPSRAAVLLLCAGGGSCLVLQLLYARRVPECLLGDPAVRLVGVGVGEGAARLAADHGLVCAAAVDLAGPCDAYLGLESAGDDSDGLGLKEYAKEVLGLNMERPDSVAMSDWEKQDLDVAQVRYACVDAYVSYRLGERVLGD >Et_1B_013760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15568594:15569192:1 gene:Et_1B_013760 transcript:Et_1B_013760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWSSFNLQMQLCSLSSTAATCGFEQIQILSKLRHQNVVRLLGYTIAGDLRVLVYEFAAMGTLHDALHGLKGEPRQGRQPAISLNWAQRVQIALDTARGLEYMHKKARPPTKHGDVRSTNVLLFEGFRAKIGDYNLFRDAQHQNSSHVYSGLCPCGRTAPE >Et_3A_024798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24344539:24349291:1 gene:Et_3A_024798 transcript:Et_3A_024798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERGGSRAAVDERYAQWKSLIPVLYDWFANHNLVWPSLSCRAGGGRSLSKLPTRITDGSMPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIVHPGEVNRIRELPQNSKIIATHTDSPDVLIWDVEAQPNRHAVLGATESRPDLILTGHQENAEFALAMCPAEPYVLSGGKDKSVVLWSIQDHISALGDSSKTESSPGASISKMSGSGKTANEKDSPKVDPRGVFHGHDSTVEDVQFCPSSAQEFCSVGDDACLILWDARTGTGPAVKVEKAHSGDVHCVDWNPLDVNYILTGSADNSVRMWDRRNLGSGGAASPVHKFEGHKAAWSPDKASVFGSSAEDGFLNVWDHEKIGKKKNSNSPAGLFFQHAGHRDKIVDFHWNSSDPWTIVSVSDDGESTGGGGTLQIWRMSDLIYRPEDEVLAELETFKAHLASCTPRA >Et_3B_030615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5475329:5478696:-1 gene:Et_3B_030615 transcript:Et_3B_030615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIVVGDEMTELFQSESRLPDANIPSPLAMKNAATPKHLENTSGAMKPMVPLASAVERMLPLRWHSFAKPKSAIFGQNL >Et_7A_051627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21513465:21516231:-1 gene:Et_7A_051627 transcript:Et_7A_051627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQGALDSFLGRLTTVLANEAQLLRGVRSDVEFIKDEMESMNSLILQLTDAQHRDHLVRSWMKQVVGLTRDCEGNVELYVHYVGSQPDGGGLSWYFREAVRFLRTVKVRHKIATRIQELKVRARDVGDRRQRYGVTVPESVMPGDEEDRRHRRAVLFDSAEPPLEDEEVVREGIETLIKWLLSEAAPATAAKRDDGESSQVKVFCIIGRELAHEVTEVVYQLPSVANSFDCKALVDASYLGNAGTTLDQILEEISGVQHGQAGDELMSKEKEQLASKLQGHLKGKRFMIVIEHAHRVKQDLKRILDVLLYASDGCQPGSAIVMTTPYYPVALSSSPHKIIDATSLDASKFYIKKAGNLVAGYKYLEHDNDERMQTIGFIFDKLYNLHNAFAMKMFLHLLYINPKRTQDELNMFRNVILDCIRLNKSIEQKLVMFCYNELPSKYRSCLLYLTIYPKDHVIRTTSLARRWVAEGLITTTTRRYENESAANEAEHYLDVLFTRGFISPVEINAESEIKSFRVHNEIRKFIGRNARDINFVDEDLSQDLAHHLSIHSRIELQKISSYDNSKDIVFFLPSLAASPQWPLLKVLDLEGCRGLEKRHVKSFCKILLLKYLSLRNTDVTELPKQIKELQCLETLDIRQTKVRVLAKKPIVFPLLKHFLSGHMVSISNDTTTSEESIATVSMPLCIERMKKMEILSHVQVTNTASQLPGIAQLLKLRKFGVALHGKDAKLNDLFRYIEKLDSCLCSLSIQIDQPAAYDIHDAGAVETFTPPGFIKRLNVKGVTTGLPQLIKDLHQLSKLTLTETYLKEDDLRILGKLGALRCLRLQYKSYTESELTFKKDEFQSLNFLLVEDKNVTKISFVAGAASKLERVVWFSGRFDALSGIDHLLKLKKLDVNNECIVLHK >Et_7B_054876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5163129:5166009:1 gene:Et_7B_054876 transcript:Et_7B_054876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFQMRFGLQLSPARSDDEEDEEEEFDEEEEEEFEEEAESEDGTVAGSPPYMLQAGRGGGGGGLVGAVVGALRRSLVMCSVGAVGDDEDGSEAEDEGIEIGRPTDVRHVSHVTFDRFGGFLGLPADLEPDVPRPTPSASVSIFGVSPTSLQCSYDQRGNSVPTILLMMQRSLYVREGLKIEGIFRINAENSQELYVRNQLNSGVVPDEVDLHCLAGLIKAWFRELPAGILDALAPEQVMHCNTEEKCALLASMLPPVEAALLDWAINLMADVVEHENHNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTLKERKEATGAINALQSCSDSPTDRCEAQTSENSEKPLVFSSQKEVDFPMIDRATSDQLLEAEKALDHDLQSCSYGPKTLGTDMDHKKSQNDVSLLGIDSKNQVNNSGKGFGNRNAEGLFDRFSFRKGVERLCRHPVFQLSRSMKKSADVVVFDAPREARQAWV >Et_1A_005852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14722506:14726519:1 gene:Et_1A_005852 transcript:Et_1A_005852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAPAPAAAAPRKGETYTDTKRRDDVRGANIAAARAVADAVRTSLGPRGMDKMIASGDQAHEVIITNDGATILSRMSLLQPAARMLAELSRSQDAAAGDGTTTVVVLAGSLLRRAQSLLSAGAHPTAAADALHRLATRAVEVLHGMAIPIELSDRDSLVKSASTALNSKVVSQYSSLLSPLAVDAALSVVDPAHPDLLDLRDIRIVKKLGGTVDDTELVRGLIFDKKVSHAAGGPTRVENAKIAVIQFQVSPPKTDIEQSVIVSDYAQMDRILREERNYILGMVKKIKAAGCNVLLIQKSILRDAVTDLSLHYLAKAKILVVKDVERDEIEFVTKTLNCLPIANIEHFRADKLGHADLVEEVSVGEGKVVKITGIREMGRTATILVRGSNQLVIDEADRSLHDALCVIRCLVNKRFMIAGGGAPEIEMSMQLASWAKELQGMESYCIKEFADALEVIPYTLAENAGLNPIAIVTELRNRHARGEKNAGINVRKGQITNILEENVVQPLLVSTSAITLACECVRMILKIDDIVTVRHAPYCISLVEAPHSFSSGDGNTVPDLS >Et_4A_032553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11272390:11273667:-1 gene:Et_4A_032553 transcript:Et_4A_032553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFSVQAVLLLGVLAAVASAQLSATFYDKSCPRALTTIRSAVMAAVSREPRMGASLLRLHFHDCFVQAKDFNFLCFCTLFLFSGMHCCVIEIDRSENEPAAALVWRDVQGCDASVLLADTGNFTGEQGALGNRGSLRGFNVVDSIKAQVEAVCPRTVSCADILAVAARDSTVAVREIDHYTYGTRTYSCICTMFSSSLQLGGSPYPVLLGRRDSTTASLSLANSDLPAPTSDLASLISIFSRKGLSTIDMVALSGAHTIGQAQCTNFRSRLYGESNINSAFAASLRANCPQSGGDGNLAPLNAATPDAFDGAYFAGLLSQRGLLHSDQQLFNGGPTDALVRAYAANAGQFRTDFAAAMVRMGGIGVLTGNQGQVRVSCSKVN >Et_1B_012906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:431359:435466:-1 gene:Et_1B_012906 transcript:Et_1B_012906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAPLLVKRHEEAFFREAERLWAIAAPITLNILCLYGINSATQLFAGRLGNLELSAAAVGLSVVSNFSFGFLLGMGSALETLCGQAYGAGHVEALGVYMQRSLIILSVSAFLLSPLYVFAAPVLRLLGQDDAIAAAAGDFTLRVLPQMFSLAIAFPTQKFLQAQSKVMVLAWISLAALALHVGLLALFVHGLGWGLAGAAAAYDITSWAVAVAQLLYVTRCCHGWEGLSWKAFHGLWAFAKLSLASAVMLCLEVWYMMVLVVLTGHLDDAEIAVGSVSICMNVNGWEAMLFIGLNAAISVRVSNELGSGRPRAAKYAVTAVILQSLLIGLLAMALILAYRNSFAVLFTADRDMQKAVAKVAYLLAVTMVLNSVQPVISGVAIGGGWQALVAYINLGCYYVFGLPLGFCLGYLLELGLQGIWAGMLCGTALQTLILLIVIWKTDWEAQSLLFTNHHHSAPSTPKTPTTMVAAVPDDETGALVVAGDDAPAVRSMRGAWDVFLEESVRLWAIGAPIAFNIICLYGTNSTTQIFAGHIGNRELSAVAIGLSVVSNFSFGFLLGMGSALETLCGQAFGAGQVAMLGVYMQRSLIILTATAALLSPLYIYAAPILRLLGQEEDIAAAAGDFTLRIIPQMFALAVNFPTQKFLQAQSKVAVLAWIGFAALVAHVGLLALFVSVLGWGVAGAAAAYDVSSWFISLAQVAYVVGWCRDGWTGLSMAAFKELWAFVKLSLASAVMLCLEIWYMMVLVVLTGHLDDAEIAVDSISICMNINGWEGMLFIGLSAAISVRVSNELGSGRPRATMYAVIVVLAQSLAFGLVAMVLILATREQFPVIFTGDRHLQTAVANIAYLLAVTMVLNSIQPVVSGVAVGGGWQAVVAYINLGCYYAFGLPLGFIFGYLFGLGVQGIWAGMLCGTALQTAILVCMVWKTDWNAEASQALERVRLWGGQHEKLPTSDQDAVI >Et_2B_021748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5034659:5043053:1 gene:Et_2B_021748 transcript:Et_2B_021748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGAETVRRMFPVASGGDGEVSGGAAPVFLAANMDRPVFLAANNDRPVDPMIWSDEKRMKRELVAWAKAVASMAVSKNTSSSSSSPATVRRPFLQAASGGDGEVSGGAAPAFLAADKDRPVDPMIWSDEKRMKRELVAWAKAVASMAVRTNTSSSFSPSMRRRAETVRRPFQTASGGDGEVSGRAAPVAVFLAANNDRPVDPMIWSDEKRMKRELASVLWRLAAAGIGI >Et_5A_041750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26674240:26681943:-1 gene:Et_5A_041750 transcript:Et_5A_041750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRLSYPPARRDDSVVDNYHGDQNSEETNEFVAKQGELAEKVLAECPDTENLRREITRLFDHPRQAAPFRRGNKYFYFHNSGLQEQSVLYMLDDLDGKAEVLLDPNTLSKDGTIALVVFSISEDAKYLAYGVSECGSDWATIHVMTIADKQPMPDKLSWVKFTAMSWTHDGKGFFYGRFPAPREGGELDADTETNINVNHQLYYHVMGTDQSEDILCWKDPEHPSYTFGASVTEDGKYIIMGIYEGCASINKLYYCEISSLPRGIEGFRDTQEMLPFVKLVDDFDAQYQIVANDGDEFTFLTNKSAPKNKLVRVNIKNPELWTDVVPEHEKDVLESADAVNNNQLLVCYMSDVKNILQLRHLGTGNLIHQLPLEIGSVSEISCGKTRKPLLASCNLASTIPEMKVFREVSVPGFDRMKFQVKQVFVPSKDGTKVPMFVMSKKDIKLDGSHLTLLHGYGGFNICLTPSFSIARVVLCKNMDFVVCIANIRGGGEYGEEWHKAGALAMKQNCFDDFVSCAEFLISHHYTSPKKICIEGGSNGGLLVGATINQRPDLFGCAIAQGGVQDMLRFHKFTIGHAWTTDYGCSDKEEEFQWLIKYSPLHNVRRPWDQSSGNYCQYPATLLLTADNDDRVVPMHSLKLLATLQYVLCTSTEDSPQTNPIIARIERKAGHGSSTTNKLIDEAAHKYSFMAKMLGASWTE >Et_7A_051216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15692878:15694184:1 gene:Et_7A_051216 transcript:Et_7A_051216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFRSVLPRIARSNGWRCFATEVPPDTIAELNKEMESIFGESPSPSPLGSSPSQQPARPTYRAEDSQPVLTHIDSGGQAKMVDVSHKQDSKRVAIASCKVLLGQKVFDLVASNQIAKGDVLTVAKIAGITGAKQTSNLIPMCHNINLSHVRVDLTLNEEDSSVMIEGEATTSGKTGVEMEAMTAVAIAGLTIYDMCKAASKDICITDVCLQHKSGGKSGNWSRN >Et_3A_023003.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:15622436:15622795:1 gene:Et_3A_023003 transcript:Et_3A_023003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIYLNLSNNHFEGSIPDSLGKLLSVEELDLSTNVLSGAIPKSLANLTYLADFNLSFNRLDGQIAEGGIFSNITLKSLMGNSALCGLPRLAIAPCQNNTNHLRSKLLCRRQETMHAAVM >Et_5B_043861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16981179:16982779:1 gene:Et_5B_043861 transcript:Et_5B_043861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPFKSTTLGNSINTFPDTVNRALIVDPGRPVISIWNSEALFDAEAPLVASFSSRGPNLLTPGILKPDISAPGVEILSAWSPLTVQSLREDDHRRVPYNILSGTSVASPHVTGAAAYVKSVHPDWSPAALMSSLITTATPMRPDTTQEAEFAYGAGQVNPAGAVDPSLVYDTSELDYLHYVCRQGYNATQIAALLTGTSATCASLPVMADQLNYPSIAVPVQNYGVGFSEVIARTATNVGPADSVYRAKICSTSGIRISVEPAKLAFSAAKKKMSFTVTVSGTLSHGVHGSLGASASIVWSDGKHHVRSPIYVFPQHLSSYTSTET >Et_6A_046314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12895214:12902451:1 gene:Et_6A_046314 transcript:Et_6A_046314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QHGTCIYVHFDIPLVAYRSLKSSQSDFLEENKCCALATFELKDMAHRSWLLCLALAMVACSARAAVVEHTFNVGNFSISQLCRPATVITAVNNRLPGPTIHVHEGDTVVVHVVNNSPYNITIHWYVLHSMQFHLSNETCHPSELNSHVAYQNRHGMFQRGTQWADGPEMVTQCPIRPGRSYTYRYNVTGQEGTLWWHAHSSMLRATVHGAIVIKPRNGEQGYPFPKPDKEEIIMLGEWWNRNVFDLEREAFLSGTPVVQADAYTINGKPGDMYNCTGRNHKHRTYRLQVQSNSTYLLRIINSGVNLPFFFKVAGHTFTVVGMDATYTTPYKTDVIVVAPGQTVDALMVADATPSRRYYMVSSPYESAVPNLGFGETMARGVVEYTDGAPNVARRWPLMARMPPFTDKATAHRFLSNLTALVRPGRATVPLTVDTSMFVTIGLGFSACRREQTRCDKNNGVFAASMNNASFVLPTGISLLEAHYRNVTGVYTRDFPDKPLLEFDYTNPPSSMDVTTAKSRKVRTVPYNATVEVVLQNTALVARESHPIHLHGHNFFVLAQGFGNFDNSTAVKGYNLVNPQERNTVAVPPGGWAVIRFVANNPGMWIMHCHFDAHLPIGLAMAFEVQNGPTLETTLPPPPADFPHGTMLHAAKSKRQKSTSKLTRIIFQCRTTREVEEIVAEIQIIKLQPRQVTGNEGQVVKLKKWIGRVVLYDDVELEHLNFAPNGVPNP >Et_1A_006596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2497715:2501504:-1 gene:Et_1A_006596 transcript:Et_1A_006596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGLHDALLNKCEENEFEKKGGSDPVIYQLVRVEGDGTLIPATEDDVLQFEHFLHDEKVDLPSIEDVGHAEELFSNDCMLLKKSDLEDGSSKLETTEVGKKLMCAALEESSLQSLDDSLSPPSKCTAVHDQQPDKLHPEQGDNNITQQENASTETAKSTVLNDSFIAEKDRADACSRPADDTCTGQTDSRVTSSVPDFSILRGEVCLDDLTIRELQEAFRATFGRQTSVKDKIWLKRRITMGLTNSCDVPSSGCVVKNYKIFGKDGKQMIPNTEERPEVELHAISLVRDQVISPVNEINSSSSSYYQSDDQQGSSKRLKRVPITNDEQQGNLLAEQSTSKRTRKPTKRFIEEVSDIETRDSTGKLSSPAKRAAHDEMLLKQWVTPFHDVGSLRTSYPTRKDTLGGLSVHVPYVSRMRRGRPRKDFISFVDKKPSVECSEAQATEGVMLKKDGEEGNHVRKAFEATPMANASKGDHLEALDNKGVQKLQTNAYIAATKPKINRGFTRKHHRAWTLCEVMKLVDGVALYGAGKWSEIRKAAFSTYSYRTSVDLKDKWRNLIRASQTQLPTLTDGGCPRKTNPSIVPIPASILLRVKELNELQSPGGGFTSPVKFSGPKSDDVQKGSGFL >Et_10B_003674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4780373:4782714:1 gene:Et_10B_003674 transcript:Et_10B_003674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PGCSSLYGAIQELGPFLIQKGKHELHRNPESWNKDANLLFLESPAGVGFSCTNTSQDLEQFGDILAVEDMHKFLILWFERFPYLKRHEFYIAGESYAGSPVPIPDQEETLVSLSKYYVLLGHQVSQLADKILAMNKGRHRINLKGIMIGNPSLDRAADDRGTVESAWHHGVMSDDMYDNITKACDHFSDDTGEGEPHWAPSYIDLYAFSTCTSAGAHRRHHHGLRYIGYDPCARNYTHSYLNRADVQRALHANATRNGGWALCNSQLFDHWRDSPASMLPVIKRLVDAGIRIWLYSGDMDVRVPQSATRYALRKLKLKTSKPWRTWFARDEVGGYTAVYKGLVYATVRGAGMAVPRFAPVQARQLLSHFLAGRELPAKPA >Et_6A_046407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14502167:14502890:-1 gene:Et_6A_046407 transcript:Et_6A_046407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKLLRRDDKVGATSSGVGTPPPHTNRGGKEKMRAPSSRLPGRNAQQASMDAHDSTTAAQVRGKPATKRCAKEPRAKANSTVVHAPDSNDLKLLQEADDAIRRLNELGLGEDINPDEYMECFKKLPRNPVVNIYLKLDSEQMISLNIRHARHRIRYYQASKVGQNCAVDLLDREDFSDEFLREMGYFVRFEKDGTFDWSFHPDL >Et_6A_046092.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:23654287:23654487:1 gene:Et_6A_046092 transcript:Et_6A_046092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFYFAAGAFGCATTTSSSALYPHATIASSPDVARALNICHVGCRNLTSISPNIGPQCSTPKSAP >Et_3A_025680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31869119:31872129:-1 gene:Et_3A_025680 transcript:Et_3A_025680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYGYDGLPLYVEEDEEEAAAAKQRRRQQSRKPPPPPLTPEEKAREEQEEAMLEKFYEYDPKLGYGYNRIWFVDGSFDHDEETQFGPMRFTNSIIRDDHELTDSLNVLSLKIRSSDVGFPINVYGTVIVRDRLDLKCMYIFRCSRDNCQLVQSEGGSLILTGPSRGIAFHCEAYFEIDLKIKEDTGNGDKQFCKALLETDVYEFESNVKRRTVASWLSEVDLILAYVKKSLEGTIEIRIFLWKTNCLHHRCSNSDLTVMITLGDERVIQLLRRVVAVSQNQMLRFHVYARSGDQNAIISHRICEFAPLIKGADKTEVTCGVYKLQIKVTWSTLLDE >Et_1B_013337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7483460:7484646:-1 gene:Et_1B_013337 transcript:Et_1B_013337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRDGRFSLLPGHYVPQLSEKIFDGNKAGPKENYINFKGLMVGNALMDDETDQKGMIDYAWDHAVISDRVYANVKASCNFRMVNVTDACDNALNDYFAVYRLIDMYSLYTPVCTDAGYSSGHRQVAVHGAAPKIFSKYRGWLMRPAGYDPCTAEYAEIYFNRPDVQTALHANVTRLGYNWTHCSNAISKWNDADFSTLPVIRKLVAGGLRVWVFSGDTDGRIPVTATRLTLNKLGLKTVQDWTPWYDNLQVGGWTILYEGLTFVTIRGAGHEVPMHAPRQALTLFKNFLAGTKMPPTAYP >Et_2A_016339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23505835:23507110:-1 gene:Et_2A_016339 transcript:Et_2A_016339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQHDRNPSAVLDGLYGVQLGRPSPQPAQSDDEFLRTSTIETPTCEGQKGAGRPQQRLLIRRLWQQRPSCLKPIHCTITCDKHAGETIANVVTSLPFIEELEHRYLRKLVGWGRNSIKLVSFFERRNPKVSAVGGLYYDCHNYTALRNENPRLLMAASALLLPFQPLMVSAVHTGMMEASNINDLAIFLVSFARRASIEPELRMAHNLHKMSSLLGGALFIADDCFPGTPYIHAAWHLAAAIGTATCNKLLE >Et_8B_058528.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:13194808:13196107:1 gene:Et_8B_058528 transcript:Et_8B_058528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPQASRTADATASGGAHVLEVTEYSRGCTGAHAIRCVTSAAFAAGGFDWAVRFFPGGGGGGSAEAAYVSADLVLVTGRARVRASFNLGLVNRATGLPSFALEDATAVFDTTAVAGGAPGHASHGRPRLMRRDELEASPDYLGDDDTVAVACVVTVVGGGTVSDAEAAAPPDMARHLGELLRTGDGADVTFDIDGEEFAAHSIILAARSPAFEAELCEPTMLDTHDRVAVHGVRPTVFRALLHFIYTDSVPAVDDDTGAVEAREFFQHLLAASDRYAIERLKAVCEVALARTLGADTVAATLALADRYGCKRLADACVDFIRSSNKVDDVGRSIAEGRQ >Et_2B_021026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25701755:25707634:-1 gene:Et_2B_021026 transcript:Et_2B_021026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATNENLPPNVIRQLAKELKNLDESPPEGITVIVNDDDFTTICADIEGPAGTPYENGVFRMKLLLSHDFPQSPPKGFFLTKIFHPNIATSGEICVNTLKKDWNPGLGLRHVLLVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARLYTGIHALKPKNKSKSGAISESTTALNVDQSSAAFSENAASAPTAAPTSSATRAFGTNLQDQNATVSDPAIGASAARKKDGPMASKIPATNENLPPNVIRQLAKELKNLDESPPEGIKVIVNDDDFTTIFADIEGPAGTPYENGVFRMKLLLSRDFPQSPPKGFFLTKIFHPNIATSGEICVNTLKKDWNPSLGLRHVLLVVRCLLIEPFPESALNEQAGKLLLENYEEYARHARLYTSIHALKPKNKSKSGAISESTTALNVDQSNTVLSENTQLASALSTSAVAKALSANSQDQNAALSDPFSGASAAPKPHAAKVAVDKKRMDARKKSLKRL >Et_1B_009964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14654722:14655096:-1 gene:Et_1B_009964 transcript:Et_1B_009964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_6B_050107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6290924:6292325:-1 gene:Et_6B_050107 transcript:Et_6B_050107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSWLRPCQEEWRAPPLCQRCRQPRLCRLAARQLVVAFAFALLFFVFSLMDDINIKESISLHFSSLHLGS >Et_2A_018321.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:2373474:2375651:1 gene:Et_2A_018321 transcript:Et_2A_018321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEGVGTDAAGAAGSPLIDLPEPLMLHILGFLTDSRSRHRVALTCRRLLAAERTTRAALSLRGDPRSLEFQFLSPGYCFPALEHLDLSLVSPWGHPFLSAAPADGGSTAAPSSSAASAHLLQHPDEVAEQNAFIAARLAQCFPAVSSLAVYCRDPTTLDALTPHWRASLRSVKLVRWHQRPPGLDNGADLEPLLRNCPALRALDLSEFYCWTEDILPALAAHPTAAAKLTELDLGLADATDGFHASELVAIAGSCPNLRKLVAPCLFNPRYVDFIGDDALLSIATSCPRLTVLRLREPFEPAATSQREEAAITVAGLIAFFAALPVLEDFTLDLRHNVLETAPAMEALARRCPQIKVLSLGCFQGLCKASWLHLDGVAVCGALESLCMKNCQDLTDASLAAIGRGCGRLAKFAIHGCDLVTSVGIRKLVTALRPTIKEISVLHCRLLDTAACLSALSPIRDRIESLEINCVWKEAEEPVQQESVANGKTISDPEDDELSEESYESASKKCRYMELEDFVSWEMLRSLSLWLPAGEVLSPLISAGLDSCPMLEEILIKVEGDCRTCPRPAARTFFGLSDLAGFPALAKMKLDLSEAVGYALTAPAGQMDLSLWERFYLQGIESLYTLYELDYWPPQDKEVNQRSLSLPAVGLLQRCYGLRKLFIHGTAHEHFLSFFLKVHNLRDMQLREDYYPAPENDMMITEMRPESWLRFEAQLNNRNIAD >Et_9A_063500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:570165:574300:-1 gene:Et_9A_063500 transcript:Et_9A_063500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGAKISSDNAGSPSGATSKFASRNGAGLSGSSSHASSASMLPTPRSEDEILESANVKAFSFNELKVATRNFRPDSVLGEGGFGSVFKGWIDEKTLAPTRPGTGMVIAVKKLNQEGYQGHKEWLTEVNYLGQLSHPYLVRLVGYCLEDEQRLLVYEFMPRGSLENHLFRRSSHFQPLSWNLRMKIALGAAKGLAFLHSDEAKVIYRDFKTSNILLDANFNAKLSDFGLAKDGPIGDKSHVSTRVMGTHGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRALDKNRPNGEHNLVEWARPYLRSKRRIFRILDPRLGGQYSLARAQKAAALAMQCLSVECRLRPSMDEVVKALEQLQDTKEVGNPQLQKKPSSRSLGNNGLKASTRGKVASSPKPLQVFAKYIAYAIG >Et_5B_045498.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:3068392:3069633:-1 gene:Et_5B_045498 transcript:Et_5B_045498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRQNSRVAGAQVKRRRKNESATALHALPDELLEHILLLGVGSPVDLVRAASVCKRLRRVAADAGFLRRVCALHHTPPPVAGNYHNSSLKPRNKSRPLPSFVSSCSTSTIGDRHFSLDFLPDADAMPPAVWRAMGSRGSLLLLLHRVDRRKGPYPSIDYRDMVVCEPPTRRYVRISPATTFQGRHISFAYLCDGDDNGGGIGISNFKLVCLLDRCVGVLSSDGSWRVTRVDVGTCMILKDATDANVYCFTGGRTMAAIDRRSAGVSYFMLPDIEEWELEGHFDVSLALASGHADGRDRFVFADKDGSLKVLLLRMDGGNGGMEMELEQIISASKVKLRDIRKRLHLCTWIRGTPMIVVSQGPRLKKKQMMCVNVETLEVEDVTGRDDFYGVHYPCKLPWPPVLRACTANEFR >Et_8A_057491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3555056:3561473:-1 gene:Et_8A_057491 transcript:Et_8A_057491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYPHHLKPSSNHTVSVGATARSTPALCSFPRAAASSFPFSSPSSLLTLGRPRRGKNTIGCVPSSSVRSEAEASSSGSSGAAADSAMASVRVTAVATIKVTVGGFLNNLRPSRAIDDVKDFIGRSLYLELVSSELDAKTRQEKPTIRSYAHKVDDNDDEVVTYEADFDVTEGFGAVGAVLVTNKHLDEMFLVDIKLSSSAAADGDDKDDGDGAAITTIRCNSWVQPKSGGDGKRVFFANKPYLPSQTPAGLVSYRKKDLEQKRGTGSGQRKSTDRIYDYATYNDLGDPDSDAAKARPVLGGSKQFPYPRRCRTGRPATAKDPKTEKKGGENYVPRDEAFSDEKTLQFSVTTVRSVLHAAVPAVQSTLIDSDQEFQSFFVIDKLFEDGLKLPEAEKLDFLRGIVPRLLQALRDGPGDQVLLFDRPANVQKDKFAWLRDEEFARETLAGVNPYAIELVREFPLKSKLDPAVYGPAESAITAELLERQMGHVMTVAEAVKQKRLFMLDYHDLFLPYVHKIRALEHTTMYGSRTLFFLCGDGTLRLLAIELTRPASPSQPQWRRVFTPSTDTTESWLWRMAKSHVRAHDSGHHELVSHWLRTHCAVEPYILAANRQLSEMHPVYQLLRPHFRYTMKINALARSALINAGGIIELSFSPGKYAMELSSAAYDKLWRFDMEALPADLVRRGMAEEDPDAEHGLKLTIKDYPFANDGLLVWDAIKAWVTAYVARFYPDGVAGDAELQAFWTEVRGVGHGDKKDAPWWPALDTNESLAHALTTIIWVASAHHAAVNFGQYDFGGYFPNRPSIARTRMPVEEPPCVFNRIIKHISFMNNRIVKLT >Et_1A_006893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28771064:28771550:-1 gene:Et_1A_006893 transcript:Et_1A_006893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFLHKCKYHNLVKIEEGVYHFAQLEHDRTNMKNLTPQNDVHMESYSVPSQTSVDSSIPPPPPTFRSTQNGNPPMMKNVEGFEVDNPYTNMHEMMPSLPEFEEPKVEEGRPVRPVMDASFKDNDFEYLQ >Et_2B_022643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28986161:28986861:1 gene:Et_2B_022643 transcript:Et_2B_022643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVELHMNIDCDGCEDNVRKALVKLEGVHYVNVDRLHGKVTVTGSVSQKKVLRAARRTGRLAVLWPSAYNHHHAAYAQPAAYHHHNAAAYAHYHRNTGSVSSAVARRPPAQPYHKASSYNYHVHGYFDSELYGSHHDHSDMVPTAVRSYFSDENPSACSIM >Et_3A_027298.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7152111:7154852:1 gene:Et_3A_027298 transcript:Et_3A_027298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLRLALSLAVITSVLCRHCSAANTPADNYLISCGSDADTPVGQRVFVGDNSKSVTLTASHSAAVKAPTNSVVSGFDDDAALYQTARVFTAPSSYAFKIGSRGRHFVRLHFFAFASERYDLAAARFKVSTQDAVLIDSFISPATAKRNNNNNNNNAPTSPLVRDEFLLDVDRDTLIITFVPVAGSLAFVNAVEVVSVPGDDLMADPAETLVPAGGQRLAVAAPPLQTACRVNVGGPAVAADRDALWREWTTDQRFLTGSAGTREVAYSGRLNGQGGLHNEAPDIVYATARELDMSNWVDTSKQMTWLFDVGHQPASYLVRLHLCDIVSMAPHLLLLDAYVNGLTAVTGLDLSAQVGGDDALAVPYFMDFIVESSDPTGKIGVNVGSSSTMTKRNSTVPGPILNGIEIMRMNFSSSSVVVVVEPAAAGLKKRELTVVVGSLCGAFAVASVAAVIYFVLIRKKKDGKVLPEPSPPSTQPSTPWIPLVDRLSFLSGRRPSTTSGTGSSSGGPPSFTIPSSTTPGGGGASPNPMSAAAAASPFAIASSSYRFPLAVVRAATNGFDERLVVGRGGFGTVYRGALPAGRDGSALVAVKRLSPESRQGAREFRTEIETLSALRHRHLVSLVGYCDDAGEMVLLYEYMAGGSLRSRLPALTWAQRLDACLGAAAGLLYLHTAMAKPVIHRDVKSSNILLDFDGGGLKAKVADFGLSRAGPDPGLDGGETHVSTAVKGSFGYVDPEYARTRRLTPKSDVYSLGVVLMEALCGRPAVDPRLPKPMANLVEWGLHWQRRGQLEEIVDPRVAAEVRPAALRKYGETVASCLADRGADRPPMEDVVWSLKFVARLQDGGDGLDFSDVNSLNMVTQLTPAPCPPPQRSSLKSGSETGGGEEGDDMSDSNYVSMGGIFRQMINVPGR >Et_1A_004847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12025899:12026282:-1 gene:Et_1A_004847 transcript:Et_1A_004847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRSGGRGRDLKLNLNLSPPPPPPGRRGERAAASSDEESSPSSCLSSEGEGPEATSMVLAACPRCLMYVMLSETDPRCPRCLNPVLLDFLHGTGAENLGRNSTRGGGCGRRNRRA >Et_7B_054878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5101959:5112014:-1 gene:Et_7B_054878 transcript:Et_7B_054878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYRPEHANPAARRPRLVVLLLVAFFALQLLVVLAFRAVRPPPAPASSSTSAAVSIPVLVPALLSDGAKDSGCGDGLVYVYDLPPVFNQELLALCDALAPWYSLCPYLANDGLGFPAGGTNLSSVLPRELLPSWYASDQFALEHIVHRRLLSHRCRTTDPARAAAFFVPFYAGLAVGRHLWAANATDADRDRDCVALLAWLHAQPHYARSRGWDHFIALGRITWDFRRTPDGAGWGGSFLTMPEVANVTRLVIERDPWDDMDVGIPYPTGFHPRTAADVGAWQRYVSRRPRPRLFAFAGAPRSSIKGDFRALLLSECQAAGEDACGSLDCAEGRCIKNNALVLQLFMGARFCLQPRGDSFTRRSLFDCMVAGAVPVLFWRRSAYQQYGWYLPAGSRGEEEEWSVFIDRNQLRAGNLTVRGVLAAIPESRVRLMRKRVVKMIPRLVYSAAGGEGLGGGMKDAVDVMVDGMVRRAAEKRRSWSKTFFFFLSLRNSLCVKRISPTTPANPPTPPGSPLDAASPSPVSHLLRGSVLFLAFVGVQLVLFGSLLSFPSSRFLPAPGHINTTWANGAADADACNAGLIYVYDLPSEFNHDLIDDCESLWPWYSFCPFVAHGGFGQRAATLPSFVKVMPNASLPNWHHTDQFQLELIIHRRLLSHRCRTTDPSAAAAYYVPFYAGLDVGSHLWSDNATVADRAGARLLRWLGDQAPFRRSGGWDHFIALGHITWDFRRVGADEGWGTSFALMPGMENVTRLIIEAHPTDPMEVSVPYPTGFHPRAAGDVRAWQRHVLARRRATLFGFAGAPRAGFRDDFRDVLLEECEDAGRETCRSVDCRGTRCTGNGAAVVELFLDSRFCLQPRGDSYTRRSLFDCMVAGAVPVVFWRETAYDQYRWHLPSGSRVDEREWSVFIDPRELRVGNVSVREVLEGFSEQRVRRMRERVVEMIPRIVYASASDGLGDGMADAFDIALAGVMKRFNRRRRSMAHGEHPPGPVVPTRVDNKSTTTTTSSDGKNGTAATISQHDSAEKPSANSSSYIKTVFTKASASLIKGLHMS >Et_5A_042730.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:3100789:3101313:-1 gene:Et_5A_042730 transcript:Et_5A_042730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVFTAREDRMPRAACRYQRLRGELVGDGGEGDDVMPPSVAAGRSVRVRRPLRLRRRACKAAAAGRWRATTTAGGGGGGKRLLRLRLLRLVFLVPARRVAALLAELVRRLAVAASAVDAAADCPAIVFSSQWGLPVLSHSSSTSGGRSAARLRALYLERSLSARSTSGSPCC >Et_6A_047618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8450509:8462337:-1 gene:Et_6A_047618 transcript:Et_6A_047618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDQRALSDLENILHDSNAEPTDLPLSLFNTITKVFSEDLQVGEGGFGVVYKGVLRSGIVAVKKLFESQIDDNLFVNEVTCLMRCKHRNIVRFLGYCTNAHGKVMKHEGRFVIADVRERLLCFEFIRHGSLRSRLTDEYHGLGWHERYRIIKGVCQGLRYLHEKVNIVHLDLKPENILMDQNMVPKISDFGLSRLFDREQTRAIASKLHVSWGYTAPEYLENHIITFKSDIYSLGVIIIEVLTGNRTEPSVENVRTYLNNIPLTIHVLANWKNRLQKSSSSSSLLEADCHQVKRCFEIALMCRQFNSKERPNIGEIINMLDKTETGYQENETVTSTESLHIQPMELRFPFKVNMPITCPVYLTNRTDERVAFRLQPGNPERYFTEWLCGVVPPRSTYTLTVTMKQQQQPSLEPGEFLLEQSGIMDNDKLKYISQGAADDDFDNFFLEVEELGADRVHELTLTAAMSGPPAETGSERIRSSWHGTFNKIILTVNFIARKHWVLAGGGDYCIHVYNYNERKIVKTFKALTEQITSLAIHPTEPYVLSASYDFEIRLWDWENGWRSKRKFKEEHSNSVMQVAFNAKEPKAFASVSKDKTLKIWSIDSARSMLTLKGHTSHVRCLDYFTRGDKQYLITGSDDCTAKIWDLQEKLCVETLEGHANRVSAVCCHPALPILVTGSRDGTVRLWNSGTFRLEGVLNFGLRKVHAIACVKNS >Et_5B_043153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11835312:11836220:1 gene:Et_5B_043153 transcript:Et_5B_043153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEHPSTERVGVLPIVGPGYVGKSTLVAHVCHATRVRNHFSHIVVVNGFIIHKKKTSCGDERLLVIIEYSEDVDEVAWNSFYSSSVGCLVRGSRIIVTSRSDTIIKFGTTKAIVLNFLPLEAYWYLFKILIFGNGNVPVITMYDVVSGNVKCEGTFEVLSWKSHMLPYKSYIMRCRIQKAKLNKRKRSNY >Et_1B_012238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30019035:30022859:1 gene:Et_1B_012238 transcript:Et_1B_012238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCPSELELEAFLGGVERAAAAAAAAAEQKPGHVAPFGAGGIMFPAGGADLSAFSFADSNTLNGSIPNHIWSHNHGARHPAISTTIESQSSICAAASPTSATNLNVKESQTLGGTSGSDSDSDSFLDIEGGPCEQSTNPLDVKRMRRMVSNRESARRSRKRKQAHLADLETQVDQLRGENASLFKQLTDANQQFTTAVTDNRILKSDVEALRVKVKLAEDMVARGALSCGLGHLGLSPALNPRQACRGPDVLSGLDFPGDDACFTGLSPTEQVQNSPLQSIASLESLENRMPSEVTSCGGGAGVDVWGWDSNGGLSNTSSSYPTTAMPPASVTAPSAAASPTQIFFLASSSLNHSSAPRCRFAAAATPAASAATASRALAAASTSTDSTARAQPTMRRGMSSPSLTQLRAQPQSAAAYARCDSAHASSAASQLRSSEASAPAAEAARVWPGGGGGPLSPPPSTPVSGRAAAAEDDSIALASSAVRFIARHSIGTVRARSNSDLPSDELRELRQELVVAHRDDPPRHGVDGGDGDVQLGTEVPDGGPGLLGGERLGAIGVLAPQQRDLLLVGQADLLPCLWFRKCPVQRFQSHCTQKRIEQRNQACTSARTLTSSYSLFSHS >Et_1A_005324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40522475:40522734:-1 gene:Et_1A_005324 transcript:Et_1A_005324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGTGPAAVLHLLPNLNDYPPPPDQELGVGVLIMNSTCTTATTTTAPQEDADHGLNLELRLGVSS >Et_10A_000788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17197510:17198854:1 gene:Et_10A_000788 transcript:Et_10A_000788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVMLSSLSSSRNILEVSEASGPAQPTDDAVAAHEQQAVRPAVRSGYAIQRERIKKLKQEAARKKLRVSATALKGIRRKFRIKRWPYRTLQCIDTQITNLSNGNDSASVMEEIEKLTNSRRKIIDGQLVPE >Et_7B_055440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10739951:10749111:1 gene:Et_7B_055440 transcript:Et_7B_055440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALRYKTSLRRTPPSPTSSAVHALATVAGHLSLPDTRPNTHLLLAYSRLARPLPAALLLLFRSSLRLSVPPTRHSLPLAISAASSSAFHLPIALSLHTVAVVRGLLPFPHVANALVSLYAKNVRPDSARRLFEEMPAPDVISYNALVHGYIRAGRMKLALQVFGGMPVRDAASWGTVVAGCAKAGRPEEAMGLFDRMMEEGLIPDDVALAVVLSCCAQLGALEKGREVHEHIKQSRPRPNVFLCTGLVDLYAKCGCVEAAREVFEACAEKNVFTWNALFVGLGMHGHGTVVLEYFNRMIAEGIQPDGVTFLGVLMGCSHAGLVDMARRVFCEMEGVHNVPREPKHYGCMADLLGRAGLIDEAMDMVRKMPMEADSYVWGGILAGCRMHQNVEAAEVAARHLLELNPDDSGVYSAVAGIYADAARWEDVTRIRKLMDEKIRGKAIFQHFASFRKSDESGGVAFALGITDSRSGRMDLKQAKVDSVLDSRTPELAFAALYHAAKRCIGTTRTSTALLSAKLLISAQSGAQRPPTSKGNNPINEPSISSKEDRHSTMVLKSYFRDTATVRTFYLQWTLCNG >Et_8A_056844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17804550:17808269:1 gene:Et_8A_056844 transcript:Et_8A_056844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACSRAATMSSIRGLSVHPKDLLGLVFRFLSKVPGIPPTLLKKLHKNEYAQTPAIELETVVSTLLELPNDMLMNIFATLDIPDLIRVGSVCSTLHAAYTSLRDPRLYKHSETPCLFYTSESAGENVGCIYSVVDQSEYRITLPEPPIRNRFLIGSSNGWLITADERSELHLVNPFTGEQVALPSVITIEQVKPIFDDLGNVHKYQLSFYTEEKVYKAPEIYTLNDLREYIYYKAFVFPDSSTGHYIVVLIHNPYGQLSFVRSGDDKWTWLPSNAGYKDCTYMDGLLYAVTSVGEIDAFDLTSTTVTRKVIMDKVKNGSYENMYIILAPWGDLLQVWRTVDHPSHANDNVDSLGMANAPGHEDEDADARENSPAARVRYVTNNIVVYKVNMEAKELVKINSLPDHILFLGHHQSLCLGAAKHPQLKANHAYFTDDYEDLIQALKNDIRDIGVINLENRRRAAIGSQIWSNWPCPTWIIPNLRKTNLAFSKQVPEAVVFLTGGRYPRPEHVARSHPASYGPCIFYGTKIGVHNAQFMEGHYKF >Et_9A_063464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3060779:3061846:-1 gene:Et_9A_063464 transcript:Et_9A_063464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGAERAETMAQIANGCEEWGFFQLVNHGISMELLERVKKVSSECYRLREAGFKASEPVRTLDALVEAERGGEAVTPVDDMDWEDIFYIHDGNQWPCDPPAFKETMREYRAELRKLAERVMEAMDENLGLDKGAIKGFFSGDGRHEPFFGTKVSHYPPCPRPDLVTGLRAHTDAGGVILLFQDDQVGGLEVLKDGVWTDVQPLAGAIVVNTGDQIEVLSNGRYRSAWHRVLPMRDGNRRSIASFYNPSDAATISPAVAAGDEASYPKYVFGDYMDVYAKQKFQAKEPRFEAVKAPKSSPAA >Et_1A_007217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32125945:32128529:-1 gene:Et_1A_007217 transcript:Et_1A_007217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQAWVWLFLVPFLLFLALLRLAEKQFAKRSKNKQQADDQGQGHRLPPSPPGLPLIGHLHLVGFLPHVSLRDLAARHGRDGLMLLRLGSVPTLVVSSARAAEAVLRTHDHLLASRPPSTTARTLLNGTLDVAFAAYGDHWRQAKRLLTTHLLTARKVRAHRAGREAEVRLAVAKLAGAAAAGEAADMSELLYAFTTDLMCRAVSGGRFFRVEDDRGRRFRELLDATAALVGGFNAEDYFPWLLRVGAYRRAVRGKAERLRKRWDELLDKVIDDHEGKLVQQQEPDFIEVLLSLQHEYGLTRDHIKAMLIDIFFGGTDTSYLVLEFVMAELVRNPRVMSRLQDEVRHSVPKGQEMVTEDDLTSMSYLKAVIKETLRLHPPAPLLAPHHSMADVHIDGYVVPAKIPILVNAWALGRDSSVWEDAEEFKPERFMDMGSDANISFKGTDFQFLPFGAGRRICPGMNFSISSLEIMLANLVYRFNWEVPAGMRGTSIDMTEVFWLTVHRKEKLLLTSQIRKKNP >Et_9A_061906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17703999:17708059:1 gene:Et_9A_061906 transcript:Et_9A_061906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRVYETWKGNNKFFFGGRLIFGPDAKSLVLSVSLIVIPVLVFCMFVARHLRHHFPAYNAGYAIIAVAIVFMIYVLVLLLITSAQDPGIVPRALHPPEEDFTYGNSLSGATPGRLQFPRVKEVMVNGMLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRFFFMFVSSSTLLCIYVFAMSALYIKVLMDEGYTTVWKALKHSPASGALLLYCFIALWFVGGLTGFHLYLISTNQTTYENFRYRSDSRPNIYDQGCLNNFLEVFFSKTKPSRHKFRAYAQEDVRPPTVNFGRGAEEEPVGGPRSKVEDDLEIGSDLLKISQRRNYEDVDVEMGGRCSNDLEGIATQIPAVGSDVRVRHSSWDRRSGNWDMSSDILTRSASDVVTRNASDVIGSSVLGTEAAPQSQTETQ >Et_4A_034763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6326912:6327426:1 gene:Et_4A_034763 transcript:Et_4A_034763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTITSFQDSRHIVAHIQWVFIDSARDLQGAKKIALKARALFSALEGIAQRPYLVSEVKVAGEKDWSSILNVTPTADNETLKQQYTLFLSSTRTRIYRWVLRVHFIWSKRHIRCYLTKISEQYMTKRGT >Et_3A_026006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34608227:34609562:1 gene:Et_3A_026006 transcript:Et_3A_026006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPHTYPNRFSNTVIGYLNLLTLVASIPVIGAGLWLAHGSSATCESALQVPLLTIGFIVLLVSLAGFIGGCYHVTCALWLYLLAMLLLVVALLGMTVFGLAVTAGGGGRQVPGRPYREFRVTDYSAWLQKHIEVERFWRPALACVAGSRACSKIATWTPLDYLQHNLTPIQSGCCKPPTSCTYSQGVPIAPQDDDCYRWNNAPNILCYQCDSCKAGVLEQVRRDWHNITILNVVVLVILIAIYSCGCCAFRNARRAESEYPYGVNRMSKINPRWDYFWSRWWNGHREQLY >Et_1B_010274.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:3476456:3477451:1 gene:Et_1B_010274 transcript:Et_1B_010274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSDAVPVALQGRGPVDDFVNYDLPEHYIDHFKLYDPVGGEHSNVFAAGLKMADRVVTVSHGYLWELKTSEGGWGLHDIINQNDWKLHGIVNGIDMNEWNPAVDVHLHSDDYTNYTAETLDTGKRQCKAALQRQLGLEVRDDVPVIGFIGRLDGQKGVDIIADAMPWIAGQDVQLVMLGTGRPDLEELLRRCEAEHRDKVRAWVGFSVQMAHRITAGADVLLMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFGDTGLGWTFDRADAGRMIEALGHCLNTYRNYKESWRGLQARGMAQDLSWDHAAELYEDVLVKAKYQW >Et_4B_036628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:178032:178684:-1 gene:Et_4B_036628 transcript:Et_4B_036628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRPTHPPTREEPSREKWPAVSPRSSAATAPPPAPPSPPFATALRQAHRPRQTHSVPQLPTNDELVWNNGTPFPEPCIDRLAPHIGKYEALAWLCGGLGFFATLGLAAALNDKASKVPYVSASSIVNTEFHLPPCFAELTPPALQCCSFLFVYFADRCVNYLTIPLN >Et_2B_022962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9633722:9641344:-1 gene:Et_2B_022962 transcript:Et_2B_022962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDAPSCPGSIADHPSPPSRLLSKHRPRRRAAAPRPPLPPPAPPAATRGQPDLSLCHCCGVRFPPPQPGSKRRPVRPLGSFWRVVLLCPECLSLVRSADICSYCLSLDNLPLEDGSAVACGRCRRCVHRSCIPGEHRTALIQPVDLDNFICVDCCPTLRSKNGASSFGLNLKAYTRDHTSVAGGNASRKAVEVNSAAKQGKGVLALAGIGGDGSDGRGSGDPALLDEEFALQLHLAMNGSQRISRPGIPSGGGSPEKDKGNKDVVGVRKGNVEKEICVTNMMAQLDDESEEARKKMPRRVRKLPLVTVVLALECVKGRRTQKSMKAKRKDPPETKVQDDSVDRYKKKYSKRNSAKQVKSEDVVCSTMHDGKDIDDDRGGNGSAPLNWSNFKTSLRLLLSEVKVRSQSLPATYLKAIRSFKCLRSTPSSLRSSFFSRTARIRKLWAALEEVDREKVKLNAVCEMTKSNRHAKAKGKKIVGELIWKAAIWWLG >Et_3A_023989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15734755:15737107:-1 gene:Et_3A_023989 transcript:Et_3A_023989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLRLLVSVVSYLSYLLLWLIGNVRAFLFFRLRSGSKPRHLIPNFAVHDDPARTRLPDNLPRRFSFRELNAATDGFSERNRLSNGSFGVVYRGVLRNGNVVAVKRLINLSVAGSAQDEQWQLENEARLLQLGHGNIVQLVGYCCEGAHRILCYEYMAKGSLDGFLFGKCSTLDWAARYKIIEGICRGLRYLHEECKLDQNMLHLDIKPSNILVDDAMNPKISDFGLSRLFDRCSTLTISNVIAPIGYRAPEYFMGGKVSEKADIFSFGILLLEIATDQHNLAYQRSPVDEFMNYIHFTPAEA >Et_2B_021374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29073741:29079165:-1 gene:Et_2B_021374 transcript:Et_2B_021374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASRRSDNPVGFLRRGSGSGISIRNQSNEERPLQYSNKAGKTTNLNPMKARWAGNKEKPRYLHEPFHSPSCKVSSASSSEAPVRKYYDEKQKRPFLAEVDNADSSNRRTEIRRLQNGKKAVVYEDDHSYTRHSGPEGPSSSTITEGCLPEEPDPDVLETLVSSGTSALTVDSTVNTALSTKPRRQKDKEESSSGRSQGACTFVRQPNVPQISTFGINSTNSSGTGVQRRGLKNLGCASISDVLPSGCSSSDSIYNRRVEVRKKRISDGETSSRSRASRQTSVGDPPAIYPGITARTCSRSARESVDSVRTRRPSTQRTRERVPVEREDGVFTLRETVTRVRHAERGHFPTDDILPRRLARPFYAELPHAIYSSNSQGSGSRTRRRSSSRPEGNPPQMLHGQLGEGDGYRHINMEGIAEEEYIGGEEIGCNVTISTMSAAFMNGLDRRTGVRYAKLQQYLRNWTRRLHESRWLRSLPLKCLSVNGSFKHYKKKTGIQSMGDKYNVNMIMKVKKINSSQRQDPDYTSCNEDKTKTGTILFIGKQP >Et_2B_022567.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26006231:26007055:-1 gene:Et_2B_022567 transcript:Et_2B_022567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMTTSYTLVGPPVDGLRAATARAAAAASAAAAAMPTTGDAFMDLMQASFNKPATTPKPAPAKAITENASPTFASSGDPCLDFFFHVVPGTPAASVTALLAKAWAAEPATALRLACNLRGVRGTGKSDREGFYAAALWMHGRHPNTLALNARPVAEFGYLKDLPEILHRIIHGGVSTRTPGKKARLAALGGFVVRCKDGHRRFVRRRVERTKRRKGNAPRNPATRDARIAANNERDQKTSADAAVERRKKRAEAAARAVDMYARDPSYRLLHD >Et_7B_055303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9132706:9145617:-1 gene:Et_7B_055303 transcript:Et_7B_055303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSARKKKKRGAAGRKAAKDHAAQLEGDQTALDEELTALAAIFLDDFKVTSESPQTRLKICVRPYSDGMGFEDLNVSATLDVICFPGYPHKCPKLRIIPEKNLSKEDADRLLSLLVDQAPRLDSSTIHQTTDVDLKVSLDSDHHPIVSYLYSVFDLYSQLYDDTSWNGQSPDPTADISRKNTGSQVRYNDRSKRKTLLEKFRVPADKVNIAKISFEDKSETRHGTKHGVIREATPNLHVLEEETENDTKTSSFSNAGNTSDTQERSFSSLHEPGDSDLADEAWNEEDCDSDSGPSNAPSDASDLLDDALRNKKRDLILVHLLRLACASKDSLSAALPAISSELCNIGVLSEWAKDLISESPDVFGETFGHVFGQQMISSECSLFWRADNSSSRPNSRYLNDFEELRSLGQGGFGRVTLCKNKLDGRQYAVKKIRLKDRSPQVNQKILREVATLSRLQHQHVVRYYQAWIETEYGHHDILNAGGSRTAESSMFSYEDISLSDAGGGNKQESTYLYIQMEYCPRTLRQDFETYNSSFDVDHAWHLFRQIVEGLAHVHSQGIIHRDLTPSNIFFDVRNDIKIGDFGLAKFLKLEQLDQDQYLPTESMGVSMDGTGQVGTYFYTAPEVEQKWPQINEKVDMYSLGVIFFELWHPFATAMERHLVLSDLKQKGDPPISWATQFTGQSNLLRRLLSLSPSDRPSAVEILQNELPPRMEDEWLNDILRMIQTPEDTYVYDRVISTIFNEDRLIAKSQCQHESSKKPTSNIDNSELLDTIIEVAKEVFKRHCAKRFQISPLNTLDGNFNENRGKTVKVLTQGGEMLELCYELRTPFVMSVAANQTSSFKRSEISWVHRRAIGHSTPYRFLQGDFDIIGGASPIPEAEIIKVVLDLGSRFYDSKALVIRLNHSRLAEAVCSWAGVPQERRKNVAEFLSSTLVQYWPNKADRKSQWSLIRGQLLQDLRLSEEVVEKLHKADQRFCGSADQVLARLRGTLFYDKSACKAIDDLSTFLKCLRVWSVDKQITIDVLMPPSECYYTDIFFQVYSKEGNHAPNFHEKLLAVGGRYDRLMEQAWDKAYKSKPPGAVGVSIALEKFLPNNPSSDLGLPRLLSRIEPSISVLICSRGGGGLLDERMELVSELWKANIKAEFVPQEDPSLQEQYEYASDHDIKCLVFITEAGLPQNDLVKVRHLDAKREKEVKREELVKFLSDAICLQFKNPTIWS >Et_8B_059287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16085961:16086406:-1 gene:Et_8B_059287 transcript:Et_8B_059287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPLLLGRLSRAASGFVAKSTSGFHVFRIDGYSLSKTLPGGACVGSDIFREPPVVADRLLPERRGDSDHVSLLLSFTHNGTGNERVQARYRLSLLDAAGDVVYARPAETAVFTSPGRGDDRVALARGYREFIGKEELETRA >Et_4B_038834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5160585:5162450:1 gene:Et_4B_038834 transcript:Et_4B_038834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRPKKRKSMEFALVSWHKIIEGPQKMRNMSTSEMDWAWATNRLIEKDEAETLDDGPLNYLPRKRLILSTQLIQQLLPAIPATILRAQAVSAYESATYTLSMLTLRDACSMASSSLYNSCCPVDNENNPSEQTMSVKKMEDKLSKAVEVFIGRLRKMENDFMSLNKRASMLDVQLECQDLERISIVNRLGRFHGRNHAAAVEGSAGSEMIPRRIFPERHVMSFAVPGNLPDGAYCLSL >Et_1A_006307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20292313:20293416:-1 gene:Et_1A_006307 transcript:Et_1A_006307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYLANPRHFLPRGFCVEAWPPVETRHRPHRRLCSFVGPIQKVNEMAGIAILHPEVAQEDFSSMTSALRDYLYHQCQTSEWVLPQGDEIPPPDDAFAHPLPPSPPQWMGAHPADGLGVANSEISSALWHPTRSADRGVSNGNVTSLTSRTSNTFILKWLKEHGSVPGKMLVLATTHLWVASLLHKFDWVPAATAGVNLSEHLSVSLSHNCLIQIDSSRRYGHINPLWLGPS >Et_2B_019676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12018034:12023096:-1 gene:Et_2B_019676 transcript:Et_2B_019676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSFSGLNALYDTVGGGGGDVWINDYRFRVLRRLGDAGGGGSSVFLVKEVIAAAARSDGTAGAGPGAVGLARKKGVEPSHISADGTYALKKVLIHNNQHLELVRQEIQVSSQFSHPNLLPLLEHAIIAVKGVQDGSQNHEAYLLFPVHLDGTLQDVTKIMLEKKEHFPTITVLQIFRQLCAGLKHMHSFDPPYAHNGVKPDNILITQRKEQPHLAILMDFESARPARITIRSQAEALQLQEWASEHCSDHYRAPELWECPSHADIDERTDIWSLGCTLYAMMYGKSPFDYEIDESAGESLHAVVKSAQIKWPAEAGSSYPDSLRQFITWMLQPHPAVRPHIDDIIIHVDKLIGKYST >Et_8B_060188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:781315:784560:1 gene:Et_8B_060188 transcript:Et_8B_060188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDVPMLDSSPQPAAPAPAAAAAPAVSTVHHLKEIAALIEAGSLSKEVRRVSRAVRLTVVLRRRIAARDVSAFIAFALPASSDAYARLSALLPKEDDTEMDVDAAAPATQISIKHGLPEIEIYCYLLVLIFLIDQKKYDEAKACANASIARLKNLNRRTVDVLASRLYFYYSYVYEITNSLAEIRGNLLALHRMATLHRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTSALGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMKKALSPYFELTNAVRVGDLELFRSVADKFASTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSKDPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKAMRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >Et_2B_019770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13813579:13822770:1 gene:Et_2B_019770 transcript:Et_2B_019770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLLVLLLLQVAVVAVLLEGARGAGTIGKTNISAVFFFGDSIVDPGNNNHRITEAKANFPPYGQDFPGGIATGRFSNGKVPGDLFASKLGVKELLPPYLGDNIQPDDLLTGVAFASGGSGYDPLTSKLATTLSSTGQLELFQDYKEKLKALVGEEEMTRVISQGIFFTAMGANDIANNYFTLPLRRHQYDVSSYVDFLVSSAINFTVTLNDMGAKKIGFVGIPPLGCCPSQITLAGVPSGECDPLRNQASALFNTRISKEIDRLNAERNVYGSRFAYIDIYYNLLDLIQNPALYGFKEVSQGCCGSTVLSAAIFIAYHSACPNAVDYIFWDGFHPTEKAYNIKLNQMGAKRIGVISIPPVGCSPSQRTSTNECDPLRNQASELFNSKTRKEIDQINADLNSYGVKLSYLNLYLYLFDLVQKPLHYGFTETTEGCCGSTMFDAATFIAYHNACPDVGDYIFWDGYHPTQKAYKFVVDKFIKQDVILDLWQQLQHFSWSTGKVEWEEEDAQLNIIIVHLGVTT >Et_3B_028696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18172310:18177354:1 gene:Et_3B_028696 transcript:Et_3B_028696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDGNTAKDYKKTSIILQHILLAIRNASPTAIFCPTSTGLPKKTVENAYSSGSKEVESPKKVVSQSSNRHREFTLMDHDLLLLVMELNGNTTKDNKKTRIIQRHNLLEILNNEELGRLLASITIAHGHVLPNIYPVLLPKKTVEKAFKDGSKEGQVSQEGIDVTQVRELDLNTTKDNNKTCIILCHVLLAIRYDEELERLLAGITITHGHVLPTIYPVLLPKKIVDKAFNDGRKEVECLEHSRLVPSITIAHNRVLPNIHLVRLPKKTASNDGSKEVESSRSLLSRPPRWHKELSLRDYDLFTAFDDDTKDKDREGKQEGLHHPVTHSLGNPQCRGAWEAPDSSPSPTTVFCPTSTRCFSPRRPWRRHPAAGARRLLDGIIIAHSLVLPNTPGVAPQKDFGEGDQWREQLRTSSLLRRLLSSPPRWHRELSLKDYDLLLPVMELYWNTAKDNNKTRIILQHILLAIRMMRSPGGSASPSPTTVFCPTSTQ >Et_6A_046072.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21591057:21591302:1 gene:Et_6A_046072 transcript:Et_6A_046072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYGRVFVTSFLFVEVLGNGIVFYHAMTRKTCHQTEVGYAQSISQMLPKTSSWVGQGGQIEGCFKKVCRCFSHCFLLCLT >Et_10A_000739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16135792:16136328:1 gene:Et_10A_000739 transcript:Et_10A_000739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQSNYMNHTATSKKEWSPGRFERNNTKITQTGPEQLRLAPRLTFSRVFAWEPSNYIKHMAKNEKERSPSRFDRSNTEISETAPEQLRNTPVWPACNETHIFDSLRMGTIKLHQAHRNK >Et_1A_009442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5464438:5470604:-1 gene:Et_1A_009442 transcript:Et_1A_009442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRKRKGMSSSWTDNQNKLFERALATYDRDTPERWRNVARAVGGGKSAEEMKRHYEELVRDVWGLICGFAGDVVDRQLYSC >Et_4B_037734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2290145:2290784:1 gene:Et_4B_037734 transcript:Et_4B_037734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGGAGSPCGACKFLRRKCAAECVFAPHFCAEDGAAQFAAIHKVFGASNAAKLLQQVAPGDRSEAAATVTYEAQARLRDPIYGCVAHIFALQQQVATLQMQVLQAKAQVAQTMAAAGGQAAGSPHLLQHRWPMEPESLSTQSSGCYSAPSCDGSASMYCFGEQEEGSYNR >Et_6A_048119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6993274:6998134:-1 gene:Et_6A_048119 transcript:Et_6A_048119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASTSSVPTCGDLPAAVSAFADAFVDFAVSGIFFPASASASASASTPSPPSPSPPTTFIPSPSRLVAIGDLHGDLPKSLSALRLAGLVPPYSAATGGTDAASASTSWAAGPTLAVQLGDILDRGGDELRLLYLLRRLSLSAEARGGALLPILGNHEVMNVSGDFRFATPQGFQEFSAWAGWYRAGLAIKRRCGGLEPQPRNPFLGVPRAFPGVKPEFWDGMRSRLAALRPDGPIARRFLADLPTVLVVGDSVFVHGGLLEANVEYGLERINAEVSEWIRGSGGANARAPEYVRGRDAVVWLRRFSDGYNCDCQRLEGVLGMIPGAKRMVMGHTIQTEGINAVCGAQAVRVDVGLSKGCGNGLPEVLEINGGGTEVRVITTPPAEAWQYRKQEAEKAAKAVKEKTGEVKDGLALLIVKKIVFHLVWIDYSAQMTFSEAIVMNSRQYRSVPLIYQQHNDNG >Et_6A_046091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23532508:23533266:1 gene:Et_6A_046091 transcript:Et_6A_046091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRKKSVAYFAHDPAHRLLPVTGDDEGEGGKFTCDGCLVAGAGQRYRCSHPGCGFTIHEACARAFPRKLKSAVHPRHKLRRRVGVAADDIAGACEVCGEDVKGACYGCAACGVAVHPLCARMPGIARGAAHAGGEHEAWLVRVAGDDGDGEKKKKAAAAAASCAACGRPVVGAWRYRCVTCGVELHPRCLVQAADQCFGAGTSGEGEDSLARSCCCGLLHDVTRCLATLGTARHYRGYYNG >Et_2A_018568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3383652:3386658:-1 gene:Et_2A_018568 transcript:Et_2A_018568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEELEDAERFGDGETGVEEAAELPLHQQMESLCENTIAADFKQNKLCNFVPMIRSGDWSDIGGRRNMEDAHVCIPDLAKKFGLGSLDDEVASFYGSPYHCHFHSTRISLHLSADEPLMTNTDNWHVYYRSLLVANAGDCRAVLSRCGTAIEMSMDHRPCSLSEKLRVESLGGYVDDGYLNGLLGVTRALGNWHLEGMKEVGSRTGGPLSAEPELKMITLTKDDEFLIIGSDGIWDVFSNQNSVDFARKRLQEHNDVKLCCKEIVEEAIRRGASDNLTAVLVSFHLEAPPQIKVNRPGRVARSISAEGLNSLRILLGRQ >Et_8A_057362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2401630:2415606:-1 gene:Et_8A_057362 transcript:Et_8A_057362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLAYCDRRCRLPGSEEKSALILCLQIFRPTGYTIADRCEKLSGVQHPQLSSTIQIPKPAPEIAKSEKAVQRGRLLSLRPVDMKALICMNEEDMKSLGIPMGHRKKDITSIGLQEEEVFNHRLPPNSFSAVLKQGRQQECGADLPGKKPISPWECGGAGQAGTALPAVAGCETDYPNPLVPDAPSFLHAPTAGASRRYYSPKLRQSVFARFAGWCSSDGGVARGRKWSGKRKLWAVIDLSPACAGAAADRP >Et_1B_012170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29608952:29609568:-1 gene:Et_1B_012170 transcript:Et_1B_012170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAPGCAYPYSCAARRRSAAKSGSPSSSARTAKRSTGPPSSPTHTGTCPAGTPSPPPAAADFFFGRSCRHAAAAVYEQEEESSAAATLRDSLLSSRFMVRRERWRRRSSGLRRRKPRGVRSARAEASGGGCTGERVDWSKALRCAALYRKAGRGGRAGEGEGRTRCGTGSVPAGTQREREWGDRKPVGRARRVAPTRPARPF >Et_6A_046232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9996450:9997700:-1 gene:Et_6A_046232 transcript:Et_6A_046232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCNQEPHSGAPARSGGAALIVGATGYIGHFIADACLASRRKACVLIRPGKRHPERAAAIDALRRKGAVVIEGDLDAVEAVVCAHGVEVVISVMGGANILDQLRLIDAIRAAGTVKRFLPSEFGHDVDRARPVGAGHGFYEEKRRVRRAAEASGVPYTYICCNSIAGWPYFDNKHPSEVPPPLDRFQIYGDGTVRAFFVAGTDIGKFTIIAAYDPRSANKIVHFRPACNLLSTNEMASLWERKIGRKLPRVTLSEDDMIAVASENIIPTSIVASLTHDIFINGCQTNFAIDGCRDIEISSLYPDVPFKTVKECFDEYASSLHP >Et_9A_061118.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16783053:16783406:-1 gene:Et_9A_061118 transcript:Et_9A_061118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIMCAYPLLVRQHLILLQRSNCGPGTGSRRSWPGARWGPPPHAAAHTHQGTAPWSIGAAWVAGVATATSLLSSAQRARRTSGSGPPGTAPSRMDRQQCRLGSAQPCRSRTQPSR >Et_7A_050283.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:19469484:19469906:-1 gene:Et_7A_050283 transcript:Et_7A_050283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKANIRLRLLFSLVLVAVTTQSAIAFDCWQEKVIVIKKCKRTVNYEKVPYYTPTDECRAEVERSDMNYICMILNDHDVIQEDVSTEKLVRLAAEGGKPVCDNVTPGSNDRNRIHLYACPGSSFHAHRTIYVINEQYLY >Et_1A_006812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27857740:27862756:1 gene:Et_1A_006812 transcript:Et_1A_006812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIYFTIANLRGIFENLPTSMALLAYLVVLCFLPHCLWRSFADVDFLYNGFQNAANLISVDGSASILRGGVLQLTNDSSDVMGHAFFDTPVQAVRDDDVVVSFSTAFVFDIVTVGRFGGHGLAFVMAASKVLPDATAHQWLGLLGKNNLGNSSRRAGLLNDIDDNHVGVDLNSLVSNVSEPAAYFTDDDGRNVSVKLESAQPIQAWVDYDGRTKVLNVTIAPVSVTTSRPRRPLISRAIDLVPIFKQDMYVGFSAATGKLASSHYILAWSFRTVGEAAQAIDLSRLPRVPKPPAPPPSRSAVIRIVAVSCVVTLSVIVAAIVFALWLRRRSVLAETLEEWKLEHPHRLPYRELYKATKGFRASELLGAGGFGQVYKGVLRRSGDVVAIKRISNNGTQGMREFVAEVASLERMRPRNLVELRGWCKRGQDLLLVYEFMPNGGLDAHLFGQAGEAQARPLLAWEQRVRIVRGVASGLVYLHEEWERVVAHRDHGADPATTRVVGTLGYMAPGLIVTAKASTATDVFAFCALLLEVACGRRPIDPATGENLLLRVRDHAAKGDLLRAEEAKLVLWLGLMCSQARPDVRPRMRQVCQYLDGEADVQDAMLVFSDVDFGSLASLTWSSCNTMLVDDCRRSDTTAVAGTMGYMDPECVLAGRAIVESHVYSFDVVLFKIARDCLRPAVPGSEGMGLVHLQEHPLRC >Et_4A_035857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7309858:7312706:-1 gene:Et_4A_035857 transcript:Et_4A_035857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTGSNGAGAAPGHRRRSSSHGHGHHHQAPPPPPPQEAAPNRYVFAAATPYPPQYPNPNPPQYYPQYGNYYPPQPPSVPVPLPAPYDHHHRPPTAATGEFPPPAHPHHYPGWAGRYPSYGPHLPMPTPYVEHQKAVTIRNDVNLKKETLRIEPDEECPGRFLVAFTFDATVAGSMTVYFFAKEELNCNLTAMKDDKIKPVTVSFKEGLGQKFRQPSGTGIDFSVFEESDLLKQGDMDVFPLAIKAETALSVDQPLEGEAEKIKTPNSQITQAVFEKKENGDHQVRVVSQILWVNGTRYELQEIYGIGNSMEGDADANDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRYQTTRCPICRQPVERLLEIKVNNKSEEQQQTPQPPALPSLPEEV >Et_2A_018712.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5683966:5684457:-1 gene:Et_2A_018712 transcript:Et_2A_018712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAAAVPDDTSRRGMQTLVAAADAAEAVAASRRAAGEGEEEGCWVPYGWRRGGLRRLPPAIPSLGRLARARTSDGRLVISREAAAPHRVCGGKVEDRLVLRLVDSDDACTAPPQQQGRRWSHPLAAQDASTPTAIDDDEEEEGIRAAVQSHAPAPASSLAG >Et_6A_047452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:659693:661960:-1 gene:Et_6A_047452 transcript:Et_6A_047452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DPASSYSYTSFKSIDEPSKLGLWQTLARKAKEIIDEDGLAHKFEDLRKESPRNNSGSSSGDQVPQSRWSFENHWKPGDAASRMRPEAISASVNQLSGRIRNAFEEGLTIVDHKTSSIIQETKKIQIRRKPKSSSSDMPNSAVDTLSTPNLSLDQTDTAAQGTQLKASRDVANAMAAKAKLLLRELKSVKADLAFAKQRCAQLEEENKLLRETKQKGHKTEEDDDLIRVQLETLLAEKSRLAQENSTYARENRFLREIVDFHQFTTHDVVSLDDGDMEDDGPQEDSSIIYAENMLTVVEENSAHEDDLSPAPSRPDSPTLSPGEQSSPKSSSAHSVADSRMDETSNPNPSDTA >Et_5A_041031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1720665:1724701:-1 gene:Et_5A_041031 transcript:Et_5A_041031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVVAHLVRLGVLSSTSDHASVVSINLFVALLCACIVLGHLLEENRWVNESITALIIGLCTGVVILLTTKGKSSHILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFGAVGTMISFFTISIGAIAIFSRMNIGTLDVGDFLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNALQNFDLNKIDAAVVLKFLGNFFYLFLSSTFLGVFAGLLSAYIIKKLYIGRHSTDREVALMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWRFASDSPGKSIGISSILLGLVLVGRAAFVFPLSFLSNLTKKTPIEKITWRQQVVIWWAGLMRGAVSIALAYNKFTRSGHTQLHGNAIMITSTITVVLFSTMVFGMMTKPLIRFLLPASSHTVTSEPSSPKSLHSPLLTSQLGSDLETASHSHIVRPSSLRMLLSKPTHTVHYYWRKFDDALMRPMFGGRGFVPFSPGSPTEQSVHGGR >Et_1A_008862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16433940:16436154:1 gene:Et_1A_008862 transcript:Et_1A_008862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKDLAARKPVLATIRLIVPAGAARPAPPVGPALGFYRLNLMAFCKDFNARTQKYKAETPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIETASSRPGHSNVSSLTLRHVYEIAKLKQADPFCKHMSLEALCKSIIGTANSMGIEIAKRL >Et_1B_014306.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5601322:5601909:-1 gene:Et_1B_014306 transcript:Et_1B_014306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEKAAVAVAGVVDEWQCRKHPGPRSGGGVCPYCLRDRLLRLCPNCAHVRPCACTSTCASPSSSSSASGDAVGRVHSLIEREHRVARSLSVAAGSSAAFAAPALAAASALSGGGRRKVKVWGWPAPFWRSAAREGVAAEPADEEEGLGLPRSSSVSATAVESRTAAAARWGWHFPSPLKAFRHRRSSASVAGRA >Et_2B_022110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9240637:9243476:-1 gene:Et_2B_022110 transcript:Et_2B_022110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGPRDKTLNSEGSVLIFLFCFFVPQTSSGSKKIVGVFYKAGEYADKNPNFVGCVEGALGIRNWLESQGHHYIVTDDKEGPNSELEKHIEDMHVLITTPFHPAYVTAERIKKAKNLELLLTAGIGSDHIDLPAAAAAGLTVAEVTGSNTVSVAEDELLRILILVRNFLPGYQQVVQGEWDVAGIAHRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRLKIDPELEKEIGAKFEDDLDAMLPKCDVVVINTPLTEKTRGMFNKERIAKMKKGVIVVNNARGAIMDTQAVADACSSGHIAGYGGDVWYPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAEGVKDMLDRYFKSEDFPVQNYIVKEGKLASQYQ >Et_2B_019045.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27025592:27025867:-1 gene:Et_2B_019045 transcript:Et_2B_019045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTINSVTIALACLIWSNVPSSSMFLRLGSASLSRIANLAPVTRDMCFVLVPPFPMMPPARDASTLILAVAEAPNVEGGTMSGIGIGGGMK >Et_8B_060571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2559402:2560639:1 gene:Et_8B_060571 transcript:Et_8B_060571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASVRLSSHPLHLPQSCKPPPFTVHRTFLASSPVALPCPAHAQPPRRRATAAPRLRATAADAGDAAALMVARAEAGDFEEAQSLWAQLLHSSAAPCLPAAAPRLLPAYARLGRFDEILLAVRELSASDPAAARALYPLAVSCLGAAGELARMEDAVLEMGRRGLRVDHATGDAFLRAYAAAGTVPQMEAAYRRHKRTGLLVSPGAIRAVASAYIAGQKYYKLGAFALDAGLPGRRDAGNLLWNLYLLSFAANFKMKSLQRAFLEMVAAGFRPDLTTFNIRAAAFSKMCMFWDLHLTADHMRRDGVAPDLVTHCCFVDAYLERRLARNLTFAFDRLDGDAEPVVATDGIIFEAFGKGGFHASSEALLEAAGGKRRWTYYKLLGVYLRKQHRRNQVFWNY >Et_2A_017034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30314783:30317519:-1 gene:Et_2A_017034 transcript:Et_2A_017034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISLRLHLLLISLVILPSLAAAQSRPYGGALPGYARYLLDATAMPAVEQYDYIVVGGGTAGCPLAATLSGPGGGRVLLLERGGAPSEFPALATAGGFVRTLAMADPAPESDAPAQAFTSEDGVPNVRARVLGGGTAINAGFYSRAHPGWFHGHGEETEVTNWDMRLVNASYEWVERQMTFQPTVHGWQAAIRAALLEANVTPWNGFTVDHVAGTKVGATTFDASGRRHSAADLLAFARPGRLRVALRATVTRIITNPIDPADRRGRPPQPAVAAIGVVYQDRLLEQHRALLRPGGEVILSAGAIGSPQLLLLSGIGPASDLSYLGIPVAADVPDVGKHMFDNPRNGISVIPSVPIDHSLIQVVGIPSANGSESYLEAASYIVPLAPALSSSGPFTGSTTPLYITVATIMQKVPGPVSEGSLWLPSGNPLESPALRFNYLSRPEDLARCILGVRRVAEVLESRALDGFRSAVGSTSRRGPVRRDFRIVGTALPVDWRTNDRALANFCLQTVATLWHYHGGCVAGKVVDRDFRVIGVRSLRVVDASTFSETPGTNPQATVLMMGRYELEYFYIFV >Et_1B_013959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25436946:25438619:-1 gene:Et_1B_013959 transcript:Et_1B_013959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSCPAAAGDATAEELLERARGLVPAALAAARSATGFGGRWKAIAARLERVPPCLSDLSSHPCFSKNSLCRELLQSTDVRELLARLQIGHAEAKHRAVDGLLDALHEDEKSVLSALGRGNVAALVQLLTATAPKVREKAATVICLLAESGSCEGLLMSEGALPPLIRLAESGSLVGREKAVITLQRLSMSPDIARAIVGHSGVRPLIDICQTGDSISQSAAAGALKNLSAVPEVRQALAEEGVVRVMINLLDSGVVLGSKEYAAECLQNLTSSNDNLRRAVVAEGGLRSLLAYIDGPLPQESPVAALRNLVTAVSPDSLVSLCVLPRLVHVLRDGSVGAQQAAAAAVCKISSSMDMKRVVGEHGCIPLLVRLLEAKSNAAREAAAQAVASLMSYPPNARDIKKDEKSVPNLVQLLDPSPHNTAKKYAISCLLSLSASKRCKKLMISHGAIGYLKKLTEKDVAGAKKLLEKLERGKLRNLFARK >Et_10A_002303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7898303:7898950:-1 gene:Et_10A_002303 transcript:Et_10A_002303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFSSIWSAEDWATQGGRVKTDWSKAPFKAEYRGISLRTCECSSAACSDGCAAAGNWYAAPDLCKLSDRQLHEMRSVQLGYTIYNYCDHPRSTTARCRPSAAWRSTDDIEQTKSDAWRLQCVTSVAANIMSIVENI >Et_10B_003811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6357516:6363877:-1 gene:Et_10B_003811 transcript:Et_10B_003811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLIRTARCCLRARARAMATIPLAPAAISSTTSTTRPLSSSLRPHRLLLARFLHSAPAPAGARALRTAAAASAIEVGGVKIAREDVVKEDDPANNVPDTIFSKIGLQLHRRDSHPLGILKNTIYDYFDKNFAGQFNKFDDLCPLVSVKQNFDDVLVPADHVSRSYNDTYYVDGQTVLRCHTSAHQAELLRDGHTHFLVTGDVYRRDSIDSTHYPVFHQMEGFRVFSPDDWSGSGMDGTAYAAADLKKTLEGLARHLFGAVEMRWVDTYFPFTNPSFELEIYFQDDWLEVLGCGVTEQEILKRNGRTDHVAWAFGLGLERLAMVLFDIPDIRLFWSNDKRFTSQFSEGKLGVKFKPFSKFPPCYKDMSFWINDAFTENNLCEVVRGIAGDLVEEVKLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINDLQWNVREAVKMFSDVLKAIKKRKLLKIRSN >Et_4A_032254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26409309:26409821:1 gene:Et_4A_032254 transcript:Et_4A_032254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACNSCEATAVAAAPCHTSAEARVVLADGALRRFPGGTRASQAVKASVAGAAGSGPAGAWFLCCADGLELGGAVAGVGADEELQPGQLYFVLPAAMRRRPLQAEEMAALAVRASAALASSGKACRRSRRGSSRGRDFVPDLGAIAE >Et_4B_037037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13909169:13912571:1 gene:Et_4B_037037 transcript:Et_4B_037037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGARPSGQQTATAGAAAAGASVADEPRDARVVRELLRSMGLGEGEYEPRVVHQFLDLAYRYVGDVLGDAQVYADHAGKPQIDADDVRIAIQAKVNFSFSQPPPREVLLELARSRNRIPLPKSIAPPGSIPLPPEQDTLLAQNYQLLPPLKPPPQIEETEDDNEEANTSLTPNSVNPNPVFSQDQRGNEQQHAPQHGQRVSFQLNAVATAAAKRPRMTMEQLNMG >Et_10B_004265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2483513:2484619:-1 gene:Et_10B_004265 transcript:Et_10B_004265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVHGLHAAAGTPAARFWEQALPGSPMPDSIAELVQKGIDHSPLKERDAAPYLLASACGFGYKYEITCGGPSAAGTEEASATTGLFFHEHQARAGAAMTVSLPPAAATPGILPRAVAETVPFADADAVLAAFAVPPRSDEAARVRDTIRGCRAPPLAGESKACATSLEATVRAAARMLQGATGGGRGIWAAASAVPYEGLPRRAYAVAAVEELGGDRHVACHDEPFPYAVFQCHMTGRSATRAYMITLNSGNGPTATTVAMAALCHRDTSSWNPAHPAFEMLGTKPGGAPVCHFMPYAHLVFGQKVAH >Et_7A_051474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19129234:19130703:1 gene:Et_7A_051474 transcript:Et_7A_051474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSKSFLQLKRCNWNDKTNTVLCQLLLKQIEMGNYNNGTMNGRGYKQVIERFYAATSLRHDRKQFYNKIRQLKIMYGFIKDLNKKTGLGRRDDGWIDADKNWWETESQGVAELKKLQHGPPPFLDMLEEIFEGVAVDGSSSYTPEQMSMDVEEDGHDDDVLEIHEDSPNSNGSHKRTSSTSTTATSPSKKSKSPMLRIMNKWFTSSSATAEHQTNLFKQMASIKVATNALETYLERQRAQEDRQRAEEEKDRAERKLVQQLALEAGVSETSAEYYAVSFICQKKELREFFIDMQTLEGRVAYLTRWCRDHGLIHGGFLNLMFNNSMGVVMYHDIAVMLFEDDSDDDDDLLAMMFAMQQHQRRVQDGLLLF >Et_5A_040238.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:2795238:2795621:-1 gene:Et_5A_040238 transcript:Et_5A_040238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSSCNNFLRSLLCFVVVRSGRNKRLGRICRLVFLFPRWQKEEEERHKKEEVSPLRLQECWLPFVGLLFSSLLPGVCPCMPSVCLCSLLYEASYRFGVGILDYTRLLDDQVVFLCVCNLMMYSTMF >Et_4B_038362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28426843:28429067:-1 gene:Et_4B_038362 transcript:Et_4B_038362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGLGVVGGGAMEDDAGEWVNDSSVDHRGRPPFRAATGSWKAAIERLSYFGIATSLMIYLTKVLQEELKVAAKNANYWMSVTTLMPLVGGFVADGYLGRFSTVVFSTIVYLLGLVVLATSQLAPRLKPEHSLHLHETLFFVGIYLVSVGTGGHKPALESFGADQFDESHAAERVQKMSFFNWWNCALCAGVLLGVTVIVYAQERVGWGAASVVLAGVMAASLVVFLAGWRSYRYRVSEGSPLTPLLQVVVAALRKRRLQLPADAGELYEVKPQNIKKRLLCHTDQLRFLDKAAIVEHGADAEARGPWRLATVTQVEETKLVLAMVPIWVATLGFGMAAAQVSTFFIKQGSVMDRRLGAHFVLPPASVFALSALAMIATVALYDKALVPYLRRATGGERGLSILKRIGVGMGVAVAAMAAAALVERRRLRSPAPAAVHVAWLVPQFVLMGVADGFALVGLQEYFYDQVPDSMRSLGIGIYLSVVGAGSFLSSLAITAADKASSRGGRASWFAKDLNHSRLDLFYWLLACVGAVNLAFYMFVAARYSYKTVKGAARVGVDKTAAGDVECRILAS >Et_8A_057385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2550113:2551920:1 gene:Et_8A_057385 transcript:Et_8A_057385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRAAGGGDYIASLLSSTPRLDFGLLGGVPAMDGAAGDGGDALEKLCGDPGFAERAARLSSFSGQRFAGAGGLFGLPPPALAASNGDFGGSREASSVSDPASAMRDANAKKRKAPAAAKGKGKEPPCQAGEQNESDAKRCKTDGADKREESPVKPKAEQAGSDISVEDSGQKPGKGKNAKPVEPPKDYVHVRARRGQATDSHSLAERVRRERISQRMKVLQDLVPGCNKVIGKALMLDEIINYVQSLQRQVEFLSMKLATVNPVDFSNLPALLQKDMFQACGPSASSVFSLESSNSGFPFSDQGDVFQSFVPNGMENQCALNPLDLALSQATSAQYAFQDGTPSSNLQQRNFWEEDLPSVFQIDNGQSQENGVSAQSFHGQLQAGHMKMEF >Et_2A_014603.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27405610:27407541:-1 gene:Et_2A_014603 transcript:Et_2A_014603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRPLSAPASSAQLPARLRPHLARLATFLIVFAVGYSLGLLSSSSSTRPSPKPSQTVIRPHAAQEPNGTAAAASGYPRSPPHDLFRFREECGEPVPSAAVVPTLLEKLFDGASPYAGFPAPHTAALLHPAASRPRGWGSTGAVFVELIEAVRPATVIELGAFLGASALHMAAVARNLSLSTVILCVDDFRGWPAFRDRFRRDVPPPRHGDALLLPQFMANVVAAGDDATARVLPLPFSTVSALAALCEWGVYADLIEVDAGHDFHSAWADINLAWAVLRPGGVMFGHDYFTAADDRGVRRAVTLFARVKGLAVRPNGQHWVLSPKPHGRHDDGR >Et_7B_054587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2662448:2663807:1 gene:Et_7B_054587 transcript:Et_7B_054587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAPQQPTTMSDKCNSKANASIEDLDESTVIWEILVRLPAKDILRCLAVCKSWRRATSTHDFMLAHHRRQPSLPVVQFVPINDYQDVDLGMYPDLVTSRNPGLVVLCNRNLRPLVQYATPSDDYMDLHATCDGLLLVSNTSAGYDRRCFYICNPATRSCNALMQVSVLASDEFRFYVVGFQYHSHDLLISETAFGPSTVKIRVVGFYGYKSGEYRVLYCVHMGGVVDISEYYIWTVGSNKPRSIGDGAPTDEISVEVRSGLLAQDWHSCINPPVMYRNCLHWNINGILAVFNTEEETFRGMYWPAPDFTLMDVSSDLMEMNDTLGLCIYSIECEDAPLVEFWVLRDYEAELWDFKYKINLSEVVAPLAQPLQDDSLDSSTHLRATLISEREMLIQVYGSMFVCDLDGKVLENVKRDEEMYVQQITWHWYKESLLPLPEMQGPFLCG >Et_1A_007323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33297645:33317918:1 gene:Et_1A_007323 transcript:Et_1A_007323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRAMQPTTNNGVAATAACSATDGAGKGSKKGSDAAGGGRVVDIPLHLTEKILCHMSLMESARLATVCKSWASTVAALRAAPAPHLYVCMPPDNASDRRGLVACVALEDYGALAGGGGGAPATVRAGVRSSETNGLRCIGATPSGRVAFGAGCFSEDVVVVNPITGARQRIHVGVSLLGTDPRYSQVLAAGAGAGGVDSLFAVDGDKLMLWRQAPGDGEEWSTCAVAATLEHLSSPILSAVNCDGCYYLLHADGSLSMVDATAPEPLRMEKLPVARLVDPLGGHYVSGHLLESEGEVLFVQPQVTYNEGGSLSVGCFEVHKLDLKENCWTKVNELPGDRALFVSAGSSFAVRASDTPGCRRNCIYFVSEKRYYLNPACHEGRGSSWGVYSMEDRRVLFEHAVTGPGSFTEAMWFLPRLPTNGAAATACTSTAADKVSDSDTAGGGPPVELPLHLTEKLLRHMSLLNSARLATVCTSWASTVSALLAAPVPHIYVCTPTDVDESGRRGLVVSVALDGARSPPPATIPARVRSSDTNCLRCIGATASGRVAFAASCWSKHVVLVNPITGEHRTIDVGTQRIDPLQRRVLASGAGSDSLFAVDGKDLVLWRQAPGGGGETAEKWSWSWCAMAAKLHRRPINPVSSAVNCNGCFYLLRADGSLSMVDTAAPAPLRMEKLPVARLVDPSGAPCVSGHLLESDGEVLFVQQMVVGTEGVSLSAFGFEVHKLDVKEQRWTKVNELAGDRALFVSAGSSFAVRASDTPGCRRNCIYFVGEKRYYSNPACNNEGRGISWGVYSMEDRRVLFEHAVTGPGRGTEAMCRPTGWQQRPAQTNISSGKVSGGPVDLPLDITEKILRCISPLESGRLATVCKSWADTISDQLATPLVPHLFVTERVRTRPNGSNTGGFHLRGYMVSVPLDGSARLPSPAIIPLRKNLEHLLSQGGAKIVGVTAGGRLAVENSKEVFFINPVTDASKTLNVMGVPGFTGLAGDIGDTVLSHDNEFSPNGTRRRTTLYWREHGSEEWSWTRRITLPAYRLWPTCGGVVYVLYWDGSMAKINTNARPPLVIEDVFYSRTWRRGNDNSLASKYYLLESDGEVRFVRQLLRQKAKTCPSEYHRLYETIGFEVYKLDEIDSRRWVKVETLDGDRALFVSTRSSFSLRASQTAGCRSNCIYFVVESQHCGMCREDCMSRWGLYSMEQGKVLFEHTIAVTERHTMAITSTEAYGARSSVSRRSDDRIPEDGNAGSVELPLHVTEEILGRISPLESVHLTAVCKSWAATVSDRLARPLAPHLFVCATPDNMSDRRGVIVSIPLDRAVDGGSHLPPAVILPARVQWAHTRDHCCIGATPKGCLAFANVQSGRVFLVNPVTGVAPQSFHMDMMSRRPVLGTGNGDSFICSIDIIRLVLGRPAGGCDDGHDNWTEATLAMTPHLTDNILSAVERSGCLYLLHKDDVFHVSMVDVTMPPPLRKEILPNVPMAGSQTGNNREGYLLESDGEILFVLQLHASKQVAFSFCHHTKFHPVTIGFEVYRLDVMHHCRTKVEKLVGDQALFVSAQSSFALRSPETIGCRSNCIYFIGKKKYCYQCKDDRENNTWGVYSLEERKFLFEHTFKQPGGTFTLTFWNAPESAEGKLEEDDDASIRSVELPLHLTEHILCCISPIESARLATVCKSWAATVSDRLARPLVPHLFVTMTDNVSVRRGFIVPVPLDGDAAIPARENLADLVRQWLRCHGATPCGLLALASADSNSVLLVNPVTDAVQSFNVAGSWHALLASGGGDYSFLCFDVILNGLMLWTREGPCKTWSREKVHTAPNQGYNNILSAAICNDCIYVLQHDNKCVCKIDTSTSPLRMKKLPVASVTEHFATPRDRPSSGSHLIESAGQILFVRQLLAHVECAAVACTHGEFLRVVGFEVYRLEETAKRWTLVEKLAGDQAL >Et_7B_055269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8965307:8966878:1 gene:Et_7B_055269 transcript:Et_7B_055269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IPHGVASDAIERRQFVTDTNTLEPNARARRPAPRRRAFSRKKISAHMVANKKVVLKSYVTGSPKESDMEVVATGTAPSKLPEGSAAGSILVKNLYLSCDPYMRGRMSRPCSYIDEFVLGEAMAGFGVSKVLDSSHPEFKAGDCVWGITGWEEYSLVEDPKNFFTIRHPDLPLSYYTGLLGMAGLTAYVGFYDLCSPKAGERVFVSAAAGAVGQLVGQFAKLTGCYVVGSAGSDEKVNLLKEKFGFDDAFNYKNENDLDLTLKRCFPDGIDIYFDNLGGATLDAALLNMRLRGRVACCGMISQMNLQPGALDPLRNLITVIPRRVRVEGFMVFDHFHRYREFEETVAGYVGEGRVAYVEDVADGLESAPAALVGLFAGRNVGKQVVAVGTEQ >Et_7B_055560.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:14407990:14408535:1 gene:Et_7B_055560 transcript:Et_7B_055560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQGERELQLQLLPPTPAARRGLHDVVPAAAVAGSSPDHPQLDLDLSMSIGPRHPPTPAAPATATENNMRAAAAVARQQHQHQAAADVRAVKLQAAEQARMASAERAYAERVRELARRELEVAEREFARARAIWDRAREEVERVERMKLQIAARRLVGTAASSAAALEITCHACMQRFHP >Et_7B_053227.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:13193151:13193447:-1 gene:Et_7B_053227 transcript:Et_7B_053227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSPAGASRPSPAHFSSAAVALRLARPSRNMRLRRSSSSSARPSSSSPSGMGQRVTTMGSGSVDSVENVPRRARIERRAEDRVARAAARRSTAAIS >Et_3A_027028.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3053572:3053991:-1 gene:Et_3A_027028 transcript:Et_3A_027028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVSAYLWKALAGVVGAANAHFRMRWRVEGRARVTAPELARAATRNYVGNFIAFSVRKARVEEVLRVPLPEVAAMVREAIAAPAYDDERFQDLVDWVEEEHEDERYVETAIQLDGRGLRLRTRRDGDAELTEGRDNGE >Et_5A_041917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4265440:4268281:1 gene:Et_5A_041917 transcript:Et_5A_041917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAADWAWWLGLLLGAVPLLALAVWHCKDAAHCAVFALKQFRRRRRARLPPGHMGLPFVGESLALLWYFKFARRPDAAALRRRRRRGRVQDAPLRLADRARVLAGGQQVRAAVPGQLRRPVAGVSCVINVEGAQHGRLRGLIVAAVNRPSALRVIAEVVQPRVATALRSWADKGTVTAATEIKKLTFENICQMFVTMEPSPLTDKIDGWFAGLVAGIRAFPLDLRNSCRKKLDAVFREEVERRRKEPLDDEDLMGGLMQMKDEQGCKLSDDEVVDNIVTLVVAGYESTSNAIMWRPITSPNHPMLFASSESKNGGFITLDDIPNTKYTAKVIEETIRLANISPMAFRVANKDVECRGYTIPKGWSVVVWFRSLHTDPEYYDDPLNFNPDRWDEQNDIKDKL >Et_3A_025104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26946635:26947628:1 gene:Et_3A_025104 transcript:Et_3A_025104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRYHYNVADARLHQHIEKGNEDGLFISSVASSTNLWALQLRAVQISTRRLQLDGGSDDVVADEVVGGSGRGKLISSSMTSPATRICTPRELAQRGFARQRSRPLHWCAGLVWRPATAGEAFPRRPQQATTVAASRRHLQIRVRLQPHPPGCRWPVTAAEYSSDERGTSPTSGVHLWRWLPDLVAG >Et_6B_048239.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:14849706:14851424:1 gene:Et_6B_048239 transcript:Et_6B_048239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFASLSSRGSLSLLRRVPMPAEVGADHVRFVGSSDGWLVGVTPSKDRSEFYRDADEECFLLNAFSHAVVRLPRPRTLHFDFSGYSCKTLPVINGRHQLYFRVNDQCIMSLRQVVLSASPESGSKYVVAASSYNMANQKIALWQPGMMSWHVCFGFDIQGPVDLAFYQGKLYVLRRPFALLYVFELEEDDRGVIVSRVEHCVTQPLQPHPIGYSKSCNMVVWRGNLLLIIRYYDNYTMRSVVKVEVFAVDFSTDPKGLIKIDNFDGDCIFVDSGGCKSFPANLHDGVEGDHTYYVPDYFSHYDSFVYSMRDGRMRPLAARLLLRNVEEDNLDFPVWLLPSDAVEQI >Et_4A_032835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14470131:14472961:-1 gene:Et_4A_032835 transcript:Et_4A_032835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPRPKSPPASPDPCGRHSLQLAVDALHREIGFLEGEISSIEGVHAASRCCKEVDDFVVRNPDPFITIGLEKRSNDQSLHSKKFREELPELPVVDDLLLRCLLAVPSQEAAGAELLLRRAAEAAVLLLLVKRERLLLPVRRGVRELLLRRLLRAVPALLVRLHLLVPQVLLRLPQVQRRLLRPSMLSMLV >Et_4B_036721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1120770:1124726:1 gene:Et_4B_036721 transcript:Et_4B_036721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHEACCGTMFWVYLMSCVGLVMFAGLMSGLTLGLMSLSLVDLEVLAKAGTPKDKLNAARILPVVKNQHLLLCTLLIGNSLAMEALPIFLDTLVPSFVAILISVTLILAFGEIIPQAICTRYGLSVGAMAAPVVRILLIVFFPVAYPISKLLDKLLGKGHFALMRRAELKTLVDMHGNEAGKGGELTHDETTIITGALELTQKIAKDAMTSISETFSLDINAKLDQHTMGMIMTKGHSRVPIYSGSPSNIIGLILVKNLITCRTEDEVPIRNVTIRKIPRVADDLPLYDILNEFQKGHSHMAVVVKRRKEAGASTENQKNATSDYKINLKDIHADGSSPSHVTIAGSRRYNTEKVGDGRPHNKKSGRKRVNILDFNTDPLPSYSMDEEAVGIITMEDVMEELLQEEIYDETDEYVDVHNKIRINMLPPGRSLSPVISPGAGPQSQGLRRTPMASPLSPYHQPSPVSNNARQSPGTLPTILSPGRSPAPQTPGHSSPNTSRASRKPDEKHKQDIES >Et_5A_041514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23901097:23902791:-1 gene:Et_5A_041514 transcript:Et_5A_041514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLAEAGEQGKDAVDKLTYDIFSILESKFLFGRGVYSGAGGLPFPRAPGKVCVLSIDGGARPVDGLLGAAALVRLEAALRRRASGGKQQQDARLADFFDVAAGSGAGGVLAAMLFARDPRGRPMYSAEDALGFLLRRVRRGRWPAPRAGAGLVRRRTASAFGRVLGELTLRDTVRPVLVPCYDLATRAPFLFSRADAAQSPAHDFRLRDVCAATCAGAGGAAAVEVLSVDGATRIAAVGSGVALGNPTAAAITHVLNNRREFPGASTIDDLLVISIGTGDAVAGGCRSKPPEMARIAAEGVSDMVDQAVAMAFGQSRTSNYVRIQGTVARRGGGRSPRTACGGETTEQAVWKAEAMLLQKSVESVLFQGRKLAGETNAEKLERFARELAKEHSRRKQQQQQTAAQNVTATPAVTAVVATSEAAFQAPLPRRRRRTAVRTAVAATKRAAETATSSVPGQ >Et_8A_057118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21343419:21345805:1 gene:Et_8A_057118 transcript:Et_8A_057118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLLSLPTVTVVTLTDVRTLPPFAGHAAVQEPLRVAAKNPRRRLGEAKRITRRDVVVQSSGSAKGREPLWRGTVSPCLSVPDHIPRPPYVGTNSLPEVCPDRQMHDRVSIEHMRAACELAARVLQYAGTMVKPLVTTDDIDRAVHQMIIEAGAYPSPLGYSGFPKSVCTSVNECTCHGIPDSRGLQDGDIINIDVTVYLNGYHGDTSRTYLVGDVDKSAEQLVKVTEECMMRGISACKHGASFKEIGHQISEVAGKYSYGVDPFVGHGVGRIFHCEPIIWHTYDYEPGFMVAGQTFTIEPTLSMGSTQCVLWDDGWTAVAVDGSLSAQFEHTVLVTADGAEILTRCL >Et_10B_003289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18505757:18507950:-1 gene:Et_10B_003289 transcript:Et_10B_003289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDNRMPDAELPQISPSMHPFFMHSDADQARASMEVIAGMLLHVARRAPSMLHLDPDHPLRNRALLTSLRARDPDAGDDHDDISSLYGDGGFGAVPASGVAMACLPERTVGESEVMMQKAECAVCLEAYEAGDALRTMPCSHGFHENCIFGWLRVSRLCPLCRFALPAETEDGEARVLRPMLHSDPVWGVWAPRVHVDGGGIGADDGGAYGNGGFGAVPASGEAIVGLPERTVGESEAMMQKAECAVCLEGYEAGATVRMMPCSHGFHESCIFGWLRISRLCPLCRFALPAENETEEEDGEDG >Et_9A_063347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2167874:2188475:1 gene:Et_9A_063347 transcript:Et_9A_063347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLLPTTAAADSIKGFTHQKDAIFKNHSGSNLVPSFIWSSVDEQGNMAKQLEQNSSGDKIDMVNNPSSLETSTGTGVAGDMVKVKLETMAIIKSIPWSKSCNDFPVLVRVTAPPPDDETSRAGVDIVAVLDTSEGIAVENRLENVKQAVKLLIQQLGTHDRLSLVSFNNKKAQRLMELSEMTDENRDKARAEVDKLKARGGNDVGVAMQEAALILGQRKEKEKSSRDGRVLVLSDDKTTVPAEIDDPRVMRYYIADSTAADGNQDDLDDEDKIKDAMKQSIIRGGAPVIATGLQINLQTHEGVAISSMESGSHCASFSPQVRSSMIQIQELRTGEQKNFVVYLTVPEGKEHLINFYGAYNSPNLSGEENSSVQLDHSEIAISRPKESTPSDEETVVYPEEGAEFIWLRLADGLSTTVEHRADDRLHKLWEVLNSEKKVKPENIPVHEKAKIMWEKCVNWVVCKPYLYVLPHVSSVKKIIMERMTHKLVHTNPPLEQQENTRKKTEIGQGKGKLINNCSSYTTCVIAAVLLVAFLCLMKSLPLTRRYPDVVPHQMDISQHPGLPKIEKSLEATMLQTMEEDVGISSILHGASIESMSDAINRYIYLGLVHTLGGGCTSNSVEAEIVSLLEQKVTTIEVEKDLRVKMEALAKEEEKVSTSNCKENKEALERVNARIAELEKQLQDKKAFTDSLLLEAANADTHLKTCNRRADMLEANVRKMEEVFNNKMRTNKSYWQRSGDELCTLDAQKPTLEDLKTTGSNDKVKLETMARIKSIPWADRINDFAVMVRVTAPPLNESPRAAVDLVAVLDISGSMAGARLESMKKAMDFVIDHLHPDDRLSIVPFDHNVRRVTELSVMSEGNRQIARAAVLALKENGGTDMGKGMQEAATILRERQPEEKTTRPGRIIFLSDGQDGSVFNQTISPEFPAETFGLDAGHDPEVLRFIADKTLGIYSYVNEDLEKIKSAFAQSIGGLTSITAMDLQIQLKTLDQGVTISSIESGSYPKSISSDGGSGTISVNDLYAGEQKNFIVFLTVPEGKKKLMTVGGCYRNPKISNGSSIPLDDSEVAVKRPRWNIFSEVDTTVNPAVAAELARLQLVKGVSDIEKNKQPAGDMLVKLWGTIRGSEDGRLTPEPILAKLDKEVANMKKEGGDKKFMLSWLTSHLWQRATTKGSPSESSAFQTTEMVNNVCIAENKQKPVPVTVTPTTTPENKIIFNPKYCAFACIALLLLAGALFLHITRPVPPVPVPVIDDEPSTSPVDISKNEGWLKVQESLEVVMLKKMQDAGITSLLHGASAEYMSHTTSRYLLLALVHASVLGSRCNSAPTIALLEQKVERLEAEKLELSVKIESLAQETETEEEEETRRIACKDNEQALERANTRIAELEQQLQNKKAFADSLMSEVANADAHLKACNQRADILEAKNFSLLFVMDSI >Et_1B_012247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30079117:30080118:-1 gene:Et_1B_012247 transcript:Et_1B_012247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHAFSITDDDDLIGGAVGGPRGAPVKEIAFAAALLAFGVLGVVGGLVMAANQVGGDRAHGIFFMILGIVMFIPGFYYTRIAYYAYKGYKGFSFSNIPPI >Et_6A_047041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24583906:24588322:1 gene:Et_6A_047041 transcript:Et_6A_047041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEPGPVPTGGDRLSSLCDGVLGRILSFLPSDEAARAALLSRRWRHVFAAVDVISMKETAERPIPEWEDGDWSPSGYDRPDVDPFYVPSQPFVNRVNAAMLGRIRAPRAPIAPLRSLRVAFKEFEGTDARSATAVDGWLSYATIQAGDELHVDLRFDEEPFCESAYALRPPDDVDMMVDNHMEVLDHRDDGMEEEEEDTDDDDMEVAEEPATSEETEYVVPNFLFRCAALRTLRIGPCRLNPPASISLPSLDTLLLTDVSDQNAAIKRLVSGCPRLADLTLQACNNVTKLSVPRTTRLRRLALRCCHYLEVVAADLSELRAFEYRGGVPPPKFRRSNHSPSRITSCVLDFCGEEVSDSGNLVRLRNLFHLFPSATHLQLKSARLGAGVGHGVFSSAPAFPVLTSLRELELTGIVLDEDTTMIATVTRILERTPSLEILSLFFMPALVEFKNKTYNKEDIVNEHWLKYDRYATLVFPVGKKIRCLRRKTKEINLVHYQGALAQRTLANNIVDREVQLQLQDLCHIEILKKPPEG >Et_10A_000726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16070419:16075112:1 gene:Et_10A_000726 transcript:Et_10A_000726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSGKAPGVGLLHAPFSLLPMSFPRVYWNQALELAPLFNELVDRVSLDGEFLQQTLARTKQVDFFTRRLLNIHSEMVKLNKNENIRLGLTRSDYMVHGATNSLLQVELNTISTSSNGLACGVSELHRNLVRMHEMNLGLEKASVIGNTAIAQHAEVLARAWAEYNNQSAVVLVVVQAEERYMNGRPVAVVYFRAGYSPIDYPSETEWRARYLIERSSATKCPSISYHLVGTKKIQQELAKENVLERFLDNKTDIQKLRKCFAGLWSLEEDSVVMSAIESPELFVLKPQREGGGNNICGDDLRKTLVRLRKYDRDELAAYILMQRIFPPASPCYLVREGICAKDNAVSEFGIFGAYLRNKDRVIINDQCGYLMRTKAASLNEGGVVTGYAFLNSIFLMKKYALRDGKYCMKGVLHSARTQKPAL >Et_8B_058601.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5992619:5992939:1 gene:Et_8B_058601 transcript:Et_8B_058601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCEGLLEYYRCSIVRQHWGAQHLGNRSPRMSAVETLPLFSSTLLLDDLETQDRFIFYSEPLSLCPSNGCYGHAVKKQGSGVIACREMMHPLLMPGASFFFQSCK >Et_10A_001255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22712932:22716472:1 gene:Et_10A_001255 transcript:Et_10A_001255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILICAFLLQLLLLGSSRVAAQSQPLSPARMLDATLQDFAFRAFVRPRTGIVFNATLPANLTGIAASALRLRSGSLRRKGFAGYFEFDIPAGVTVQPYVERVVLVYHNLGNWSHYYYSIPGYTYLAPVLGLLVYDAANLQAVGLQEQNTIVTGDPISVTFSNVREVPPGGPAPRCVYFDLSGVPQFSDLNSSNVCSTYRQGHFSIVVNSSAVPPAPAPGPSGAIAPPIPPAGSHGKKGSSKAWKIAVSAVGGAIALGLLASLLICLVRHKRHKKLEVMERNAEVGETLRMAHVGRTQAPVASGTRTQPMIENDYAKVHQLYKEMDSVGLACLVNVSEITRFDITERGNVENSPVSVLKVLYEC >Et_3A_025725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32166754:32168344:-1 gene:Et_3A_025725 transcript:Et_3A_025725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTKADKNLRFLLQKVLKQSDVGSLGRIVLPKKEAEIHLPELKTRDGISIPMEDIGSSRVWNMRYRFWPNNKSRMYLLENTGDFVRYNELQEGDFIVIYSDVKSGKYLIRGVKVRPVPEHGNGVLGKHKQGYPAERAGASSGSEDGGGVDGKPDACKSRPPHSVRRARQEAAAMGQMAVII >Et_2A_018537.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3261736:3261921:-1 gene:Et_2A_018537 transcript:Et_2A_018537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYHTLRLNAHGEKKCLNTGSEALAPNGWRAMAGFVMPCRFAGVQAPRVPALLLSERAQA >Et_3B_028941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20752904:20755121:1 gene:Et_3B_028941 transcript:Et_3B_028941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFLDAEELVVTLAPLVVYWVYSGIYEVLLRRTTVLDRYRLHSTREEETKNIASRKDVVKGVLLQQAIQAAISVALTSGEGGGASTTSPAPAPEPFLVSAARFGVAMVVLDAWQYFMHRLMHSSAYMYRRFHSWHHRYGHPVDGVLTETLSGAAAYLASGMSPRAAAAFFAFATVKGVDDHCGVAAPWNPLHAAFANNTAYHDVHHQRGGGRRNFSQPFFVVWDRLLGTHAAYDLRQRHGDGGGGGLEVKVFKDHQMR >Et_2B_021320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28617569:28620771:-1 gene:Et_2B_021320 transcript:Et_2B_021320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGTCYLYPLLPALVLLLLAGECRAQPAAGAGDRDTLLAVKKDWGSPAQLKAWDPATADHCRWPGVKCSGGGAAGVVTELSLASLNLSGSVPASVCALKSLTRLDLSYNNITGPFPAAALYACAQLRYLDLSNNLFSGPLPADIDGLSPAMEHLNLSTNRLAGEVPPAVARLPALKSLLLDTNRFTGAYPAEGISRLAGLERLTLASNAFAPAPVPPEFAKLTNLTYLWMSEMNLTGPIPEAFASLTELTMLAMSTNMLSGPLPAWVLQHAKVQQVYLFSNNLSGDLPRNITATNLVELDLSTNQLTGEIPEGIGNLENLSLFFLYNNRLTGTIPASIGLLPRLTDIRIFSNQLSGELPPELGTHSPLGNLEISNNNLSGPLRETLCSNGTLYDLVVFNNSFSGDLPANLADCVLLNNLMLYNNRLTGEFPAKIWSFPKLTTVMIQNNSFTGTLPPVVSFNMSRIEMGNNMFSGSLPTSATGLRVFKAENNRLTGELPADMSKLTNLTDFVGLIILFSMLAGIVLIGSIGIAWLLFRRRKEIHEVTDWKMTAFTQLDFTESDVLSNLREDNVIGTGGSGKVYRIHLAGRGRGDEESGGAGGRMVAVKKIWNARKMDAKLDKEFDAEVKVLGNIRHNNIVKLLCCISSQEAKLLVYEYMENGSLDRWLHHREREGAPAPLDWPTRLAIAIDAAKGLSYMHHDCAQPIVHRDVKSSNILLDPDFQAKIADFGLARILVKSGEPESVSAIGGTFGYMAPEYGYRPKVNEKVDVYSFGVVLLELTTGKVANDSGADLCLAEWAWKRYQKGAPFDDVVDEAIRDPADLQDILSVFTLGVICTGEDPPRRPSMKEVLHHLIRCDRMAAEAQACQMGCEGGGGGTPLLEAKKKGSRRRSMSSSGRWDEGDEDSGNFVVHAV >Et_1B_013341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7533518:7547124:1 gene:Et_1B_013341 transcript:Et_1B_013341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSPPLPRPSPSYCRAQALTSYCLIFVSCSGKDAEAVPAPSYRSLAAPVSKPVDKFALLPAFLKVRGLVKEHIDSFNYFVTKGIKNIIEANNTLTYMLARHPYKWITKLKISHHTFAALLIARKQHELKRKKNFIIGYTPIMLRSRPCILYGKDEAELARHGECPLDPGGYFIVKGTEKVILIQEQLSKNRIIIDTDNKGRVNASVTSSTHEIKSKTVIVMDKEKIYLSLNQFSKLIPIIVVMKAMGMESDQEIVQMVGRDPRYGDLLFPSIQECASERIYTQQQALRYMDDKEGRSNSILRDVFIAHVPVNNGNFRSKCIYTAVMLRRMMDAIVNADTFDDKDYVGNKRLELSGQLISLLFEDLFKTMNTQAVERMNKISDKTHSSPLDFSQYILQDTITTGLERAVSTGNWDIKRFRMHRKGSFEAIFHGIFRLHDADNTTWGMLCPCDTPEGEACGLTKNLALLSHVTTDQEEGPLMNLCYSLGVEDLSLLSGEEIHAPGSFLVMFNGLILGKHRQHQRFAKAMRNLRRSGKIGEFVSIFVNEKQDGIRSFDDFLRDGLIEYLDVNEENNALLFRADSLLYLLVYAQRPLLTTKTIELAGYDKLGAGQNATVAVMGYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVLKDNYGDGVSDRIAKPQRDKDGALLKQNMRALDEDGIAAPGQIIRNHDIYVYKQTPRNAGKRTGAPLTDRDYKDSPAFYKGVEGETTVVDRVMLCSDTNDKLSIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPSGNADKVEDISSTLVKHGFSYNGKDFLYSGILGQPLEAYIFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLLSSDPYQVQVCRKCGLLGYYNHKLKTSYCSMCKNGENMAKMRLPYACKLLFQELQAMNVVPRLKLTEG >Et_3A_026897.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:25345655:25347082:-1 gene:Et_3A_026897 transcript:Et_3A_026897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGRCVVLYAGFGLGHLTPMVELAKLFLRRGLSATIAVPTTPGSQSATAFAGAPTVASLAAANPAISFHLFPPPHYPNPDPTPFARMLDMLRLCAPSLRELLRSLPSVAALVVDVFCAHAVEVAAELRVPAYIYCTSSASAFASFLHLPHYFSKTVKSLGDMGKEELLHFPGLPPIPASDMPSTLRNREDRNYKVRAELYARVAHASGVLVNTFEWLEARAVKAFREGACTPDRPAPPIYCVGPLVAADASVEADRSERHPCLSWLDAQPDRGVVFLCFGSVGAVSAPQLRETARGLESSGHRFLWVVRSPPGPRKFFEPRSDSEPDLAEKLLPDGFLERTRGRGLVVLSWAPQVEVLPNKATGAFVTHCGWNSTLEGVAAGVPLLCWPQFAEQSLNKVFIVEEMKVGVVVEGYDEELVCAEEVEAKVVLESEEGERLRERLTLAKEKAAEALMDGGPSRLAFDELLENLAGSK >Et_7B_054464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21658557:21665770:1 gene:Et_7B_054464 transcript:Et_7B_054464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGPPRWSRRNGAQNATSWCSSDVSSTDRCPRVYIAVESASRYADQRKNFSTLEELRLRIPPKTRRNTCNTKLTRTRICIPHSFHSYDEDHVFTPFGSMLEGELALEEVFPSRISQL >Et_8B_060664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4211102:4212954:-1 gene:Et_8B_060664 transcript:Et_8B_060664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADERGKKGGAGGGGDGGLEWELERQFAFEREMLLTAEDAPGAEPQKPPRGRGRRPFAADLLQNCDLPPPAKLFGPVPTLQRLESAAGADQKAGGDNESLLRALRLSQSRAREAEEKLAAAGASNGDLAALLVRDSVALSAHRRWVMMLEAENSLLRGADPDDGEEEGAAARRGGGKFRQKQIAKAFANIQTKIRNILHHHSSSGGVSASSSFWTGWKHTGGSTLEGIVLSTAHLRY >Et_3B_030875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8168824:8175271:-1 gene:Et_3B_030875 transcript:Et_3B_030875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNSRTCPARSGGGGGGGGGGVRLFGVRLTSAPAPALMKKSASMSCIASSLGGGGSSPPAGGAAGVRGGGDGYVSDDPAHASCSTNGRAERKKGTPWTEEEHRMFLMGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQTNSSRRKRRSSLFDMVPEMPMDESPVDAELFPRQNIQDEATSSYHLPSLHFGQQKEAEFAKQLPTLQLRQHDESEFTERSLPLADLDMNPSVPAFYPAFVPVPLTLWPPNVANVEDAGTTHEILKPTPLKGKEAVKADNVVGMSKLTIGEASSMEPTALSLQLVGSMDTRQSAFHVSPPRTRPDLSKRNNSPIHAVNDELT >Et_1A_009081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28583364:28586570:-1 gene:Et_1A_009081 transcript:Et_1A_009081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEGWVVCRAFKKRAMAPPRSIAAGAWDMSYHDSILAGAAQFKQESLELDAAALLQYSSRLAELPQLESPPPPNQDSLRGGTVDGQGEHDLDARHDTTVSSDWRALDRFVASQLSPDEERADRGLPREFDKPAGSNDGDNGDATDIVAMLLLDSVLEKDAGLLGSITTSNPTIETIRISHLHLDTRVEAARSFFLSNTSIPPISDTLRVN >Et_3A_026095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4248875:4250988:-1 gene:Et_3A_026095 transcript:Et_3A_026095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EEEKKRNSSSLSASALPSLPDASNPGFPASRSRERRGTRHGGARRRGALQSHPNPIPFQPAGHPRPKRPSSSFARATVVRPSESAVRCGGEVGGETAAQSAMSSSVLRAAADRAVRRQALSLTDAAASRIRQLLSLRQRPYLRLGVKARGCNGLSYTLNYADEKGKFDELVEEKGVKVLIDPKALMHVIGTKMDYVDDPLKSEFVFINPNSKGECGCGESFMTTGSKGSTS >Et_9A_062261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21240524:21241120:1 gene:Et_9A_062261 transcript:Et_9A_062261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEAEAAKTKPSLMDKAKNFVAEKIAHMPKPEATLDSVSFKSMSRECITLHSNVNVSNPYDSRIPICEVTYTLKCAGKVVASGTMPDPGWIAAKDSTKLEIPAKVPYDFLISIVKDVGRDWDIDYVLEVGLTIDLPIIGNFTIPLSTSGEFKLPTLKDMF >Et_2A_014640.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:30853727:30854278:1 gene:Et_2A_014640 transcript:Et_2A_014640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPMCFSDPDITSPMMIKYTTRTPALLMMTHNCATTLAGRPSALCTTSPYDSEREYTENRTSSSMVTSDRIPMITIEISPTATPSTFMVAGSAIMPAPMIEVARLNTAADTPDPSGGPAGPSPMSGSSSFSPPPPPPPSSTSPKKESCLEILAWPLAKPLAAALDLAPLDWSSMEGEVNGSDE >Et_7A_052339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7008217:7012156:1 gene:Et_7A_052339 transcript:Et_7A_052339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSAMAKKGYKQHHKHEKVQVGCMSGLIRMLDFRRHPKLLSDGRVKRDPKVSEEIHGSIPADNDKDRRAELIYAGRASIKTLMEEEMASSTQPLMQGQRSVTGLCSDDIDLNLAASLMEIYRNHNQGQEISNSVESEFTSDSTGDESNTDPSTNLNQIRSNIQKALEDVAEAVIRHQSANTKHIPSSGEARSKEFVDALHLLSSNKELFMMLMQDPSSRLLECLQNLYMSLGSSKLECEGYDDETELQGTPYSPDRSVASPRMLQRRHNSFLMEDKLVMRKAPKLNNNSRGLSRIVILRPSPPRNHTSLVSSSATSSPLSNHTNFHVQEDGDKPDHHFSLKELKRRLRLAVSNNRKDNQLNSMSSTFHKAEVDSSKQFPDTSMSVASTDTSDCKGAEEPSVVDKETVPEDSGSGMRNDGTHGVGSFSYEKAKMYLIERLNSQGEDSSHIVQKSESFERLILSPENDTFSQSHCPQEKVGLADESTGASDLETVEQDDNSASPNPAILYQDTVSDHINNFANQTSVELKIDHGDRSISESTNSHDLNNEGVNIMQDIVENPPLCAETETLQERVVEESHDQCFLEEPQSMNVLPEVALHSPDDPFNEQENHSPSEVIQSVKPSVLTCPCSPENTNDREEKLSPQSVLDSVVGDITSPMHKTRKQDGFSIPVSRGLFKELDTTSASPALWNGPQVPKSILDDKEARFCFIKTVLNTSGLLSEEISERWYTEESPLDISVLAEVGNLYCLTDEAVLLFDCVEEVLLKIRENFFNVDPCVSFLKHNVRPAPAGRNLLHEVTKYIDALVINEFPNTLDQVIMKDLEVESWMDLRHDAEGVGIEVWDGLFDDLLEEMVFPLLVRYTTVLLLCFNIRGAYLLWMCLSRPWRSCPTSKDSQVTIHG >Et_6A_046817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2139757:2140268:1 gene:Et_6A_046817 transcript:Et_6A_046817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVPRERRYILEVLFNGLRRLEYRGYDSSGIALDADHPASPSPWYVGAPPLVFRQQGKIENLVQSVYSEVDLKDVNLDAPFSAHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNYE >Et_2A_018202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1862754:1863732:1 gene:Et_2A_018202 transcript:Et_2A_018202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLREVSVLGSQCLSIKGEERPSMTQVTDKLKAIRSTWRELLSLKHNETELLIENSGANAACRLSPSMNWTARMIGDGCRSTTSFEQWNTGVKIKLSKVERPAENSPARNMPTDFGEEISQREVERFHSEHRYVHGRFEFCSGIPMTKQGDSEINVGVDSPIERRRGSSRLRVVDGMRPG >Et_4B_039343.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:10816402:10816971:-1 gene:Et_4B_039343 transcript:Et_4B_039343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAPAAAPAPKPSLSRKPSPSFRLRNGSLNALRLRRVFDLFDRNGDGEITLDEMAAALDTLGLGADRSGLEAAVGGYIPAGAAGLRFDDFESLHRALGDALFGPIPEVEAEADDEGDMKEAFRVFDEDGDGFISAAELQAVLKKLGLPEARNLATVQEMICNVDRDRDGRVDFGEFKCMMQGITVWGA >Et_8B_059108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1461869:1464087:-1 gene:Et_8B_059108 transcript:Et_8B_059108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCKLGMTSDARRVFDEMPFRNAVSWTAVVSGYAAGKCSEEAFELFRLMLLECPLEKNEFVTTAVLSAVSVPSGLCMGVQVHGLVVKDGLVGFVSVENSLVTMYAKAEYMDAAQQVFQSSKERNSITWSAMITGHAQNGEAESAARMFLQMHAAGFSPTEFTFVGVLNACSDMGALVLGKQAHSLMVKLGFEMQVYVKSALVDMYAKCGCIGDAKDGFLQLYDVDDVVLWTAMIAGHVQNGEHEQAMMLYAKMDKEGIMPSNLTITSVLRACACLAALELGKQLHAQILKFGFGLGGSVGSALSTMYSKCGNLEDGMAVFRTMPDRDVIAWNSIISGFSQNGCGNGALDLFEEMKLEGTAPDYITFINVLCACSHMGLVDRGWIYFRSMTKDYGLTPTLDHYACMVDMLSRAGSCRSLRDFDVGAYAGERLMELGTEDSSAYILLSNIYASQRKWSDVERVRHLMRLRGVNKDPGCSWVELNSRVNVFVVGEQHQHPEAENISAELMRLAKHMKDEGYRQTSQFSFSEELIVPGECPEDDQLELFISVVKLELGAKIKEYGIDAPDTKNTLSDPYPFNLMFQTSIGPTGLSVG >Et_4A_033372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2251470:2254939:-1 gene:Et_4A_033372 transcript:Et_4A_033372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKTPPQLELFNSMTKKKEPFQPRVEGKVGMYVCGVTPYDFSHIGHARAYVAFDVLYRYLKFLGYEVEYVRNFTDIDDKIIRRANENGETVTSLSSRFINEFLHDMADLQCLPPTCEPRVTEHIEHIIELITKIMENGKAYAIEGDVYFSVDTYPEYLCLSGRKLDHNLAGVRVAVDTRKRNPADFALWKSAKEGEPFWESPWGRGRPGWHIECSAMSAQYLGHVFDIHGGGKDLIFPHHENELAQSRAAYPESEVKCWMHNGFVNKDDQKMSKSDNNFFTIRDIIALYHPMALRFFLMRTHYRSDVNHSDSAIEIASDRVYYIYQTLYDCEEVLSKYREEVVSVPVPAEEQKLIDTHHSDFLDYMSDDLKTTDVLDGFMDLLKAINSNLNDLKKLQQKLEQQKKKQQQQKKQQQKQQQQPQKQPEDHIQALLALETEIKDKLSILGLMPPTSLAEVLKQLKEKALKRAGRTEEQLQELIEERTAARKNKQFDVSDGIRKKLAALGIALMDEPTGTVWRPCEPERES >Et_4A_034465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3477571:3479612:-1 gene:Et_4A_034465 transcript:Et_4A_034465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGMASSPSSFFPPNFLLQMQQTPPDHDPHQEHHHLPPPPLHPHHNPFLPSSQCPSLQDFRGMAAPLLGKRAMYDVGGGGDEVNGGGANEDELSDDGSQAGEKKRRLNVEQVRTLEKNFELGNKLEPERKLQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDVLKRQLDAVKADNDALLSHNKKLQAEILALKGGREAGSELINLNKETEASCSNRSENSSEINLDISRTPPSDGAMDPPPPHQLAGDGGMIPFYPSVGRPAGGVDFDQLLHSSSGPKMEPHGNGGVHQTPETASFGNLLCGVDETPPFWPWADQQHFH >Et_10B_004229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19926951:19932575:1 gene:Et_10B_004229 transcript:Et_10B_004229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRKRGSDAGEVQNLHNKVPRAAATSQEELEQKQQKQEKLAEMAGRAPEIDEDLHSRQLAVYGRETMKRLFGSNVLVSGLQGLGAEIAKNLVLAGVKSVTLHDDGKVELWDLSSNFFLSEKDVGQNRAQACVPKLQELNNAVIISTLTGDLTKEQLSNFQAVVFTDISLDKAVELDDYCHNHQPPIAFIKSEVRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVSFSEVVGMPELNDGRPRKIKNARPYSFTLEEDTSSYGTYIRGGIVTQVKPPKILKFKPLKEAIKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRNELSRFPVAGSADDAQKLIDFAVTINESLGDSKLEEVDKKLLHHFASGSKAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVEPLEPSDLKPENSRFDAQISVFGAKLQNKLEQAKLFMVGSGALGCEFLKNFALMGISCSPNGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEALQNRASPETENVFNDAFWESLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPSGYATAARTAGDAQARDQLERVIECLDREKCETYQDCITWTRLKFEDYFSNRVKQLTYTFPEDAMTSSGAPFWSAPKRFPRPLEFSSSDPSHLNFLLAGSILRAETFGIPIPDWVKDQKKLAEAVDKVIVPDFQPKQGVKIETDEKATSLSSASVDDAAVIEELIAKLEAISKTLQPGFHMNPIQFEKDDDTNFHMDLIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHKVEDYRNTFANLAIPLFSMAEPVPPKTIKHQDMFWTVWDRWTITGNITLRELLDWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDKKVVDVAREVAKVEVPSYRRHLDVVVACEDDDDNDVDIPLVSVYFR >Et_2A_015548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14725509:14729081:-1 gene:Et_2A_015548 transcript:Et_2A_015548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKCRRLEGKVAVVTASTQGIGLAIAQRLGLEGAAVVISSRKQKNVDEAVEGLKAKGITAVGAVCHVSDAQQRKHLVDTAVKNFGHIDILVSNAAANPSVDGILEMKESVLDKLWDINVKASILLLQDAAPHLRKGSSVIIISSIAGYNPEPGLSMYGVTKTALFGLTKALAAEMGPNTRVNCIAPGFVPTRFASFLTTNETIKKELIERSTLKRLGAVEDMAAVAAFLASDDASFITAETIVVAGGTQSRL >Et_3A_026664.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:12656269:12656451:1 gene:Et_3A_026664 transcript:Et_3A_026664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGYAQPSPAARHHGGGGIGMGLGLGLLGGAVGGMMIGDLIGDAEVDAAYDAGFNDGFEF >Et_2B_020094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16872153:16873133:1 gene:Et_2B_020094 transcript:Et_2B_020094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRCVSTNSRKLSGRLPSEAAVPETSEVERPDEDDGEIVHLSHPEHRLARFDFPYLFMCMGCKEYGAGKRFMCQTCGFQLHEFCALAPPSLHDHPFHPKHPHLLFFERPAGGFVRCKCDICGKSVKGFSYRCASCGFDMHPCCAAMTRVMDLPAAHEHPLLLAPAAAAADGAETSLVCQVCRRHRRSMSSSSGHHLVYQCLPCGYGLHARCAKDMKRNVIAAAAKVTVNALFGVIGGLIEGIGEGIGEAFVESLGRSRRSFR >Et_4A_035424.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24306555:24306926:1 gene:Et_4A_035424 transcript:Et_4A_035424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQESAHGTVCKDADLRNPSHCHQPTSVGALLEARREDIRAENGGRFPKSPEKSCPGELQPEGYFVHLFHVQRHLTPQRNVHERVCRLRVQPAHGGVLSVHADSTASIRRWRQEAEGNNRNTI >Et_9A_062291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21554336:21555434:-1 gene:Et_9A_062291 transcript:Et_9A_062291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGRSRGRGGIAGLPEEILQEILIRLPAKSLLRCRAVCRGWRRLTTDPAFLVAHHRHQPTLVLVRSYWPDEYIIFHSSCDGLLVTDDGVICSPATRQLVPFLKIGEQLVGLYQHQPSGEYRVLYWRSSSIPSEVYCANDYCVRTVGSNRHRIIHCSITPVDMELISGKGPTIRDAPVTLHGNPYVHWQNRFDGRYHRILVFDTVAESLRQTSPPVANPQHVMQLFDMDGTLAASSSKVNMTTELRIFALLDYKSEVWSFQFRIKLPVMEIRRFQEQGDWLAKIVSGQCDVFVSCFGWLLHCDRKGNLLAKYRYDDDLPVVIPLGFKESLIQHTLFQKPRKN >Et_2A_017227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32130220:32133425:-1 gene:Et_2A_017227 transcript:Et_2A_017227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYLLHGTLDATILEADHLINPTRATGGAPSIFRKFVEGFEDTLGLGQGSTQLYATVDLGKARVGRTRVITGDPVNPRWYEEFHIYCAHFASDIVFSVKAAQAISATLIGRAYLPVRDLLAGHEIDRWLDILDAAKRPLSHGPKIRVRLLFRDVAADRHGWGRGVGGARYPGVPYTFFSQRPGCRVTLYQDAHAPDAFAPRIPLSGGRNYEQGRCWEDVFEAISNARHLIYITGWSVYTEITLLRDGTRQRPGGDVTLGELLKRKASEGVRVLMLVWDDRTSIESLGMMKGFMSTHDAETAEYFRGTDVQCVLCPRNPDAGRSAIMGAQIAYTITHHQKIVVVDHEMPVRRSDRRRIVSFVGGLDLCDGRYDTQFHSLFRTLDTAHHKQDFHQPNLDGASIDKGGPREPWHDIHAKIEGPAAWDVLYNFEQRWRKQGGSNDLLINLKAMADLIIPPSPVTFPDDQEAWNVQLFRSIDGGACYGFPNTPEGATRSGLVSGKNNVLDRSIQDAYIHAIRRAKNFIYIENQYFLGSSYGWKADGIKPQEIEALHVIPKELSLKIVSKIEAGERFAVYVVLPMWPEGPPASGSVQAILDWQRRTMEMMYYDISVALEAKRIDANPRDYLTFFCLGNREVKMSGEYEPAARPLDGTDYARAQNARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGGFQPCHLNTKGQVARGQVHGFRMSLWYEHLGILHDSFLNPESLECVQRVNKMADEYWDLYASDNLEADLPGHLLRYPITVTKDGAVTELPGAKYFPDTQALVLGMKSKNLPPFLTT >Et_10A_000661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14882722:14883626:1 gene:Et_10A_000661 transcript:Et_10A_000661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIAMLDMELTLEERNLLSLGYKKVTDEKRASVKALVYMEVQEEEKGSESRMKIATEFRQKVEAELENLCNDVINTVDKHLLPYSSDAESKAFYYQMKGDYYRYLAEFKMHPEYSEVVAQSLKAYEAYFGCMCPQNASNIAHINLSPAHPVRLGLALNVSVFYYDMLDSSDRALQLANQAFEDAFPNLCLLDADSYNKSIIHLQLLGNNLARWNLNSNMDVEE >Et_10B_002728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10045740:10050804:1 gene:Et_10B_002728 transcript:Et_10B_002728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LILYEQEIHIIKHRPADTTQWNRVEYPRTAKTQLMETVQMLLTLGLAVFIFSVVVVFRLRTTYAFPAPILPRILVRDPAVARRMLFDHADAFSNRGVLSFPVVFKGDPHLKRYSINTVPFGPAWRAFRRNLTADIIHPLRLGRLGHLQREAVKALVAGLAEQCPGEVVVRDSLHKAIFPLVVRLCFGDGVDVHIVPDNDDDNVNNNNNNNMGGKDVHHRRYLRNDQITALVSEFLGAGTWTVASIIEWALAHLVVQPGIQRKLYQEIAAGHDGDYLRAVTLETLRLYPAVPAVTREVAGPEAAKACGATALASSLVSKRKVPVAACSSVHLVVSVKDIGRNREAWTDPDKFVPERFLAGGEGENVGPVPGSKEIKMMPFSAGRRSCPGAGLSMLQIRMFLAALVREFEWAPSAGDGSGVDLTEKNAFFK >Et_5A_040865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13685718:13692864:-1 gene:Et_5A_040865 transcript:Et_5A_040865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVQNCVMKLRSNPIRHRDKVYVGCGAGFGGDRPMAALKLLQRVKELNYLVLECLAERTLADRYRIMASGGKGYDPRVKEWMSVLLPVAFKQKVCIITNMGAMDPLGAQKEVLDVASSLGLEIIVAVAYESSTKPQGSALLSDESAGMGGVYELGWNWTDTEELAQGTLASHLLECGCQLTGGYFMHPGDAYRDFSFDQLLNLSLPYAEVSYGGDVYVGKADGSGGLLSVSTCAEQLLYEVGDPGNYITPDLVVDFRNVRFNQILQDKVHCRGAKPSYACRPEKLLQLCPTVRSWMDERYPGIDGKIVSYIMGYDSLKAVGGNESSYHVKEVMDARLRMDGLFDLEEHAVQFVEEFIALYTNGPAGGGGVSTGQRKEIILQKLLVDRENIFWRANAKKANVSYFQIQAKDSDTGEPHILQAQKKPTSQAMGIQNVERSMAMPPSPVPASSGKKVALYHIAHSRAGDKGNDLNFSIIPHFPDDIERLRTVITPSWVKNAVSPLLDVSSFPNEQAIQHRNNQLDLVHVEIYDVPGISSLNVVVRNILDGGVNCSRRIDRHGKTLSDLILCQEVILTPMIDSATMCRPMYC >Et_3B_028733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18554166:18555718:-1 gene:Et_3B_028733 transcript:Et_3B_028733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAAYGCCSAALPPFAAFPGSISARRALPRPTRVSLVGSLNIKVTAPGCGPVQAASSRFCLGSPVSELVLSLFAQVEGFVSSTEAAHLGLLLCSDAAALPTEATVDLKLPRRSLLVQFTCNKCGERTKRLINRVAYERGTVFLQCAGCQVYHKFVDNLGLIVEYDLREENGEKEDNLVNTDSED >Et_8B_060614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3318740:3319260:-1 gene:Et_8B_060614 transcript:Et_8B_060614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATSICSDKTGPLTTNRMTVVKACICGKTSQHPLGNQHFLAVRKASTLVKVEPFNSARKRMGVVIQLPTGAMRAHCKGASEIVLASCTNYLDERGGVADLDGAAADRLGATIDSFANEALRTLCLAYVDVGEGFSLNDQIPVGGYTCIGIVGIKDPVRPGVKESVAILL >Et_2B_019604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10952779:10959046:1 gene:Et_2B_019604 transcript:Et_2B_019604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGLPSLPCGLLTADAARSLVDSVDAFLFDCDGVIWKGDKLIDGVPETMELLRKLGKKLVFVTNNSRKSRKQYSKKFSALGLEVTEEEIFTSSFAAAMFLKLNNFPSEKKVYVVGEDGILEELKLAGFECFGGPEDGKKSIKLEADFYFEHDKSVGAVIVGLDQHFNYYKMQYARTCISENPGCLFIATNRDPTGHMTSAQEWPGAGTMVAAVSCSVQKEPVVVGKPSSFLMDFLLKSFNLETSRMCMVGDRLDTDILFGQNTGCKTLLVLSGCTTLAELQDASNKIHPDHYTNSVHDLVGLGAHQSSPGLASGGVAWTLSAEVVARMTVELGRGGSEKDNDGMKERVGNGGLGGLGSCQPLAWSFDETLARQGRMWGWGNGDG >Et_5B_043008.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:10893642:10894174:-1 gene:Et_5B_043008 transcript:Et_5B_043008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHSRKNAMVNHKFNTEIAVDLSPWLLKYKDGRVERLAASTFVPPSEAPGPTGVATRDVVIDPCTGVSVRLFLNVAAAATGKRLPLVIYFHGGSFCTGSAFSKLFHRYATSLSAHAGALVDSVDYRLAPEHPIPAAYDDCWTALQWATTLSDTWLQDH >Et_7B_055064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:691491:693962:1 gene:Et_7B_055064 transcript:Et_7B_055064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVVRSCVQTALKAVNSVVGLAGMAVILYALWMLREWYREVTELDQRLPVPWFIYTFFGLGVFLCLLTCSGHIAAETANNHCLSCHMILVFVLIIMEGAITVDVFLNSNWEEDFPPDPSGKFDEFKAFVRSNLEICEWVGLSVVAAQVLSIILGVVLRTLGPDRETDYDSDDDAIVPARLPLLRNQSTQHASDYVEPNLAGRSDSWKVRILDKVRCFPASALFCSLLHSQFVRSSKPLEPVPFRSTTNILRLSYDGPFRRCFASRVTAYLRKLYLFFSDGEMILMIWK >Et_3B_029814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28133402:28135241:-1 gene:Et_3B_029814 transcript:Et_3B_029814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGLTCRLFGAQRSLHDLLGGGTVADVMLWRRKEVAGGLLVLVAASWVLFYFVPGYTLLSFVSQVLMILLTVLFVWAKAARLLNRAPPPVPFIKISAESMSEAAETVGNYMNKILQDFENIALGKDSSLFYKVAVVLFLTSIVGRLTNLITLVYASLVISLTMPALLENTEEHIARFFNKASTYIQAFIEACKEYKFKMRNRVLEKKLC >Et_1B_013459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8629561:8630743:1 gene:Et_1B_013459 transcript:Et_1B_013459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVGSAARPLAGVARRAALVSHATSAGERAFGMKQPPGVVVTGRESSSSSACRPGPEAFPVPQSTRVGASRAAPVDDAATAGERAIRKRLRSAVPAAPCEMARDRKRIKVAQGVTDVEMVVFAASVKMPLKNAQDSLKKRLAAELDALRELVKKAEALSGGNGRFVAAEPRRLEKAPSPKECEIKIVDTEEENDICGGVSPVIAIRDTSPLVPIEASGSDSVDSDEEVEIPAAPAALPPEENATPEPSRLPEPASETVQSTEPESDSYESVTSPAPSAVLPMKIDSSAQAPKPAMVMSQSAKLETKVQGSQPISVLLARAKEAYEIRQQKGNGWEREKVRREVREMEKAVLPDETIHPQDLKELGIAGFGYVLDKLGVFLRPDV >Et_7A_050557.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:24622969:24623196:1 gene:Et_7A_050557 transcript:Et_7A_050557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDPSSIGDLWQEIQELGTCLLSFRISFVHREANSAAHCYAKMPTVSESMWSSFGYAPDWLLGVVTKDCNSAML >Et_10A_001268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22759127:22762562:-1 gene:Et_10A_001268 transcript:Et_10A_001268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEEKGAKPCPARKRNGLWSPDEDERLYSHIRNYGVGTWSSVAELAGNRWSSIAARMPGRTDNEIKNYWNSRIKKKLRMMGADRHQSPGTSQTAAKSTHCNTEYGNLDVNTSHNSCANEMPHPHFPIFECDSLSDEQNCEQAAYSSLSKNNEIDLLVEDYVDFLMSLPDDLHADI >Et_8B_058968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11686798:11688677:1 gene:Et_8B_058968 transcript:Et_8B_058968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSEHVPLSFTATFCRRVGRFDNALSLLLETTEHDAQRNKLKETLPPYNNSKKTPPRRSYPVLLFVIENEFAMVAGLKPLFCMKESILKHISSGTSRSAPWSLISTASSNDPFCQHETTQSNNIIWLHSFVVCSMGFRPQMTSRTNIPNENTSVSGSPCMCVPILEQDILWYQQHVWFEDLNHGHRALLDQNHLDIHSYHYRGARCLV >Et_4A_034453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3384710:3385329:1 gene:Et_4A_034453 transcript:Et_4A_034453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGTWTSWYAENEHPPIPSRDSPLGYEPAVFCNCIPAALWISWSDEDPGRRYVQCAKASEGGCGFIGWYDGPHDPFVQTLLIDLRDAVRTLRSQKAVLRQAVNEFIEDVDQKENELAEMKAEVARLDPIEGEKEYLEGKVKDLQLEKMVMRAVGGFLASAVAYLLFR >Et_4A_034327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31799222:31803029:1 gene:Et_4A_034327 transcript:Et_4A_034327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAAYSASTTVVVDRRPPRITSNVKQSLRILKFWKDYERKQTSGPQPATRYRKKKVIKEVLPDDFFEDPSSTLHVTNEGLEIASPVVLVDGYNVCGHWGKLKHDFLNGRQEIARQMLIDELVSFSAVREVKVVVVFDAEMSGLSTHKETYKGVDVVYSADLSADSWIEKEVEALVADGCPKVWVVTSDALEQQLAHGEGALIWSSRRLVKEIKESEKELDEELKETRTKSLQGKLLQHKLNPKVVHALKGLRNKLEEQERRKR >Et_7B_055910.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7213036:7213560:-1 gene:Et_7B_055910 transcript:Et_7B_055910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRQTKGFRLGRKLLGVWRWALCSRRRRRGGYLRLRTCSGGGAGGGGDDCAERAARKLAPVLRWGRSLARRLRLGWRAGGGTRMLDDGEAEPAVSTPKGQVAVYVGGAAPGESMRYVVPVVYFNHPVFGELLKEAEEEFGFQHPGGITIPCPAARFERVAAVAAAGKKGFGRW >Et_5A_040495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25780901:25782121:-1 gene:Et_5A_040495 transcript:Et_5A_040495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRQGSGAELVGSGPRSDAGHRCPGARHGDYLGLHPLPRCLPPVARRRLLPAWSRLDRSRFHPRRWMLFPEGYGQFPGHRAHGGHARFFDLSTAGAFVRVPLPELKNHCILDSPEGLLLLQRDEDSAVRLLHPFTGDVAELPSLKSLAEQCFPAWLGSGAFYLQQVRKVCAAINVTATGVVTVMLALEQIGRVAFASAGDYEWTISSWVVNQLDRVLPYQGKMCVVNREDDGLTNVLLIDPPPPQCEGEGSPSEQTMPPPRTVVTFSSEELHLPYLVELNSEIMLVGYNDSSFSRILILKLADLVTGRTVPVTSIGDNVLFTGAQSLCVSSSWLPSIENYLAVYDFRTETWSPASDGHHMLSPPPRPCGLIHHIFTCCYRQFW >Et_3A_024152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17936099:17941854:1 gene:Et_3A_024152 transcript:Et_3A_024152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSLSAPHQLRPSPSHARHRRPLVSQPSLSVRRALPLRALAARGASASDGAAAQDDAVTVRRFPAAPTKGGRLAGVKKIMILGAGPIVIGQACEFDYSGTQACKALAEEGYEVVLINSNPATIMTDPDLAHRTYVGPMTPPLVERIIAAERPDALLPTMGGQTALNLAVSLADSGALDRLGVRLIGASLPAIRAAEDRQLFKQAMDRIGLKTPPSGIGTTLEECLAIAEDIGEFPLIVRPAFTLGGTGGGIAYNRAEFEDICRAGLAASHTQQVLVEKSLLGWKEYELEVMRDMADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDASVAIIREIGVECGGSNVQFAVNPADGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSIGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSEPILTTQMKSVGEAMALGRTFQESFQKAVRSLETGFSGWGCGPVKELDWDWEKIKYSLRVPNPERIHAVYAAFKKGMKVEDVHEISFIDKWFLTELKELVDVEQFLISRTLDQLSKDDFYQVKRKGFSDKQIAFATSSSESDVRSRRLALGVAPTYKRVDTCAAEFEANTPYMYSSYEYECESAPTNKKKVLILGGGPNRIGQGIEFDYCCCHASFALREAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVSNVLDLERPDGIIVQFGGQTPLKLALPIQRYLEERKMVSASGTGLVKIWGTSPDSIDAAEDRKRFNAILEELGIEQPKGGIARSESDALAIASEIGYPVVVRPSYVLGGRAMEIVYNDEKLIKYLATAVQVDPERPVLVDKYLIDAVEIDIDALADSAGNVVIGGIMEHIEQAGIHSGDSACSLPTRTVSTQCLDIIRSWTTKLAQRLHVCGLMNCQYAISTAGEVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGVTLPELGFTKEVIPKHVSVKEAVLPFEKFQGCDILLGPEMRSTGEVMGIDYEFSGAFAKAQIAAGQKLPVGGTVFLSLNDLTKRHLAEIGRGFRELGFNIIATSGTAKVLQLEGIPVEPVLKIHEGRPNARDMLKNGQIQVMVVTSSGDALDSKDGLQLRRLALAYKVPIITTVDGARATMDAIKSMKNKSIEILALQDYFKTVDASPNLQAAQTTS >Et_3B_028710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18288950:18292879:-1 gene:Et_3B_028710 transcript:Et_3B_028710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAANAAVVVVVFDFDRTIIEWDSDDWVITKLGAADAFQRLRPTMTWNALMDRMMAELHARGKTAEDIRECLRSAPLDAHVVSAITTASALGCDLRVVSDANTFFIETVLEHHGVLACFSEIITNPARLDPDGRLRISPFHDSASEPHGCSLCPENMCKGKIIERIQKMADAKKQHFIYIGDGKGDYCPSLKLGEGDYAMPKENYPLWNLICSNKQLLKAEVHPWNSGKELETTLLKLVNKLISPPAQASQLDYKCEMSNPARTSLCGILSNTIQASTKKQRLRDIRICRACGREPGKKAREEPLAAADCDAGKAVLQRCAIGLELVGVGVSAEALTGVGMRGLHLEESGKDWRGASRP >Et_4B_040062.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:8824008:8824784:1 gene:Et_4B_040062 transcript:Et_4B_040062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRRPPPAWTPEPWSDGETSALLEAWGPRHLRARGGPLRPADWRACAAAVTSRRAAAGRAPRTVDQCKNRVDYLKKRLRAERKRPKRAPAPPPAVSRCLDRLRTLLRLAPSAPPGFAQRGGGGTDAPMVKKEEQDNDGDDEEEESDGSPLPRYWPPVPKRPRTAVSLSPLAAAAAYGENPEGGGRNGVEVAAALDRLAGTYERVEAAKQREATRLEERRLEAMRDLEIERMRLLVDVAVTASVGVDGAAAAAAGDF >Et_4B_036650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10040470:10043115:-1 gene:Et_4B_036650 transcript:Et_4B_036650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IRREICTMKLIKHPHVVRLYEVMGSKARIFIVLEYVTGGELFEIIATNGRLKEDEARRYFQQLINAVDYCHSRGVYHRDLKLENLLLDAAGNLKVSDFGLSALTEQVKADGLLHTTCGTPNYVAPEVIEDGGYDGATADVWSCGVILFVLLAGYLPFEDDNIIALYKKISEAQFSCPSWISAGAKNLITRILDPNPTTRITIAQILEDPWFKKGYKPPVFDEKYQTSLDDVNAAFGDSEDQHVKEETEDQPTSMNAFELISLNQALNLDNLFEAKKEYQRERRFTSQCPPKEIITKIEEAAKPLGFDVQRRKYKMRMENPKAGRKGNLNVATEVFQIAPSLHVVELKKAKGDTLEFQKFYRSLSTQLKDVVWKCDGEVDGNSAAA >Et_2B_020871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24422438:24423897:-1 gene:Et_2B_020871 transcript:Et_2B_020871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALICRGSICAIAILLSAVTILSSSWLFLTNGSGSAELPPQPARPPPHPDKARIAVCLVGAARRFELTGPSIVRNVLGRYPGADLFLHSPLDGDAYKFGLLKDAPRVAAVRIFQPRHIVETEAHRRLLTPKKSPMGLQGLLQYFSLVEGCLGLIRTHELRNNFTYDWVVRTRVDGFWAGPLEPSAFRLNTYVIPEGSRHGGLNDRLGIGERWLSTLPLSRLSMLDNLAAAGYNGLNSETAFKYQMKMGQVSSHEHKFEFCIVTNRRYKFPPGPYDTPVASMGSRGPLSGTKCRPCRPAYDGPQADQILARLNPTRGWVEWRNQSLGLCNAMGEWEKGWEEAFDKAAGPSFVMTRRRVAAMSIAECNLDLEVLKAKTSKWDAPDPATI >Et_1B_012664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34194228:34197010:-1 gene:Et_1B_012664 transcript:Et_1B_012664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAGGEGGGDGGGSVVRIFVGGLAEGVSAADLEAVFGSVGRVAGIEFVRTNGRSFAYVDFQCPSDKALAKLFSTYNGCKWKGGKLRLEKAKENYLTRLKREWEQEAAAAAVAVQEAAVKDNVEKQEKPKFDKAALEGMKINIYFPKLRKVKPLPFKGSGKHKYSFRNIEVPSYPIHFCDCEEHCGPPEAANDEYASVLNAVSYEKERNIMNSVMSKIFEKENEHLDSSEMEKCDVHINTMEPSDPLNSMQMEKAEEAPDEDLEDMQMEETEEPSDDDLDDDLVINIAPRKSNKSTVQVKTEDLQVSKDSQSRKRPRFEETSQIKKRQRFEGSSEFRKGKQEPTSVIPGARNTCKSLPAIREASQNQPKSPGLAGKGTFEFSSAIPRDKSPADPQDVLTSSTKSGERNMVSTQPKKGSIWIQKASWRDLVGSMGSTPFSISQVLPNSNPVVPNVNESGKSENILETTTQPLSEQMLPSSTGVLSIDTTDVATGHATGESKENNKAQKVRVVPKITIGEVCPFMRNTESQKQWSKAKKVLSGFNKKSKERSESDTAKGKPSKRR >Et_2A_016933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29690513:29691021:1 gene:Et_2A_016933 transcript:Et_2A_016933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRRQRVVSAFFFLGAVTSPGGGDPRRRERRGRAAGAGQRLRRRGARPLVREATIPRRGDFENWWNGSVNLVLDGDYDRCAASSPLQRIADGNTTTRVRLHVPGVFHFVGGSPARCRAGERMVVRVSDR >Et_3B_030767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7186625:7192450:1 gene:Et_3B_030767 transcript:Et_3B_030767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGGGAAAEGGGFEWDADSQLYYHASTGFYHDPVAGWYYSSRDGQYYIYENGNYMPLTTDLGSDPKAHHPCDEANQNVLECSWQEPAVPDNENETAGPPSEWMEETLINLYLSGYKSIEVNAEKSLGNQCSNEEGRNETVGNLSLDNASSPNDATLPQNEDEMETKNSTSVHETLSEEEEKWLSQYGQVEIINDDIPLLPAIDLWDWDMVQEPVSKGPPVVKLVGCLSRGSSKLHPSLPARGGLLRTAPVREVHLDLIRVSTGKLYRLRNPCRKYLTSLSTYDSSNPTKDWGFPDIYANPLHKHPIPQCQSEVADESSIESDTSTASGKDHKTKAYRDRAAERRNLHGGLGIGPGQKRSNIFDSDEYKESSEDMDPMELVDMDFCSSGLHSAKRIMESMGWKEGEALGKSGKGIVEPIQPTINKHGADPWMMLWID >Et_7B_054612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2839377:2843207:1 gene:Et_7B_054612 transcript:Et_7B_054612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTESQLLCRLSWPHHCLLEQEPSQTMDSTSSDILSLLLSFVLLLSPTSALAVDSFSKGCNITDNNTTLVSADGAFTMGFFSPGVSTKRYLGIWFTVSRDAVCWVANRDRPINDNSVLEIK >Et_2B_020191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17797006:17798095:-1 gene:Et_2B_020191 transcript:Et_2B_020191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAGVLLALLLSLSTLSASAEEAHKQRLSDNAALLTGRKLFRGRKIMAAPGHGDSKKDEVMEGKGAKSTGENPEADASAEAVHEEGKRSKATATHAMFPQPRHSDAAAMAPELLSMDYNFKLPARHHRPINNDAPLDVLAKKP >Et_4B_038210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27109446:27112683:-1 gene:Et_4B_038210 transcript:Et_4B_038210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRPLVSVKALEGDMATDSAGAPLPDVLRAPIRPDIVRFVHKLVSCNKRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRRANVNLRRVAIASALAATAVPSLVLARGHRVESVPELPLVVSDSAESIEKTAQAIKVLKQLGAYADAEKAKDSVGIRPGKGKMRNRRYINRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTECAFKKLDEVYGTFDTPSEKKKGFVLPRPKMANADLARLINSDEVQSVVKPINKEVKRREPRKNPLKNMSAVLKLNPYFGTARKMAALAEAARVKARNEKIDSKRTKLSPEEASKIKAAGKAWYKTMISDSDYTEFENFSKWLVYLWSLNGVDDSEFAMGNLESGLVN >Et_6A_046192.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:9186862:9186969:-1 gene:Et_6A_046192 transcript:Et_6A_046192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVPRPGPGPRHPRARRAAAASRACCCSPADTCA >Et_9A_062581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24219768:24223961:1 gene:Et_9A_062581 transcript:Et_9A_062581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGASLGLRSSGSYGTLQQQPACQSPAPSPSPPLAPRKPAKMSLGGAGRGLLCARICKLAGRRQRMLLVFLVAVVVAVCFFFSSLVSRGAGCLVSSYSISIRFGCFSASVTRSKEDAPPGTEIRVGFSDHVRSFVNPGWTSSGGAVAHKDSLSANGLNAPSETEKVQDLLPSFPPAVVLDHHPCENFSFSPPPMDRKRTGPRPCPVCYVPVEQALALRPGEPSTSPVLQRLNYLIEDNLIPKDSNGGSLFGGYPSLEERDKSYDVKDSMTVRCGFVRGNIPGLNTGFDIDEADLSEMRQCQRTVVASAIFGNYDIMQQPENISEFSKNTVCFFMFLDEETEAAIKNSSTIDHTKRIGLWRVVVVRNLPYLDARRNGKVPKLLLHRLFPNVRYSIWIDGKLKLVRDPYQVLERFLWRKNVSFAISRHYRRFDVYEEAEANKAGGKYDNASIDYQIEFYRREGLTHYSTAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIRSRVNWTADMFLDCERRDFVVQSYHRELLEQRQAALRRQPPPRPPSVHVQSRKMLPDNAVKSSATKKLPAKHRKSNSKRTHRSKVVTGVKESVQL >Et_9A_062860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:593211:611740:1 gene:Et_9A_062860 transcript:Et_9A_062860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDLSRGAVAEVSASEDGAHEADRPPVLQVADLRLICPPGRCPPHFMMALSDGVQSVQRILPASVIPLVRDGALCRGTVLRLLEYACNTFQNSRHRSRSCRKTVVQINTENLVCSEQEFVVVKATPTFINKENVCYAACPLVVNGKQCRMEVSSSGDGWSHCHSCNQTFVTCDYRYRILFQLQDSTGTTYAPASQQAGEDIFGRTAKELYLMKCEQQDCAQFDNIIGGVLFRDFLFKLKLKTGAIQDNKFPKCTIVKAEKVNPSTESRRLLREINKLTERAISTKVRRTSVALGLVSHIWKLDRRSRRSVVISMPLIMVANGIWSRRQAATLTPGAVVAIAEHPDGYGRLKPVLQVMEVSERSMLMVLSDGVHTLQFMVAHAENQRISDGSIVKGTIVHLQEFTCNTIQQHRVIFIIKLDIVQVCHNWKPRTLWVHEYTEQGPNVPAAAAQTYGATYSGDPGLTESSVTPRASQVANNQPIAKRKKVANNHQGPNVPAIDNVGLPTYIFLIFTFVKFEILQTECTRIEHGQGIRLSSTALRPAEKSANLTYTGYYGEFHSAGGGIEIEAGHVRKTFAEIKADNLDCSYYPYHFIVVKATPTSMNKESICYTACPLVVEDSQCCMEVSRNRDGWWYCHSCNQTFASCDYRYRILIQLQDSTGMIYAPASERAGKDIFGCTAKELYMMKIEQQDCAQFDDILLETRFLDKKFPKCTILRAKRVNPSTENHHLLREINKLKESSGSTVVCRISVGSRIAFSYLEARQRVHNSGGDQCAMNNRGAWDLRRVPDMGGGLEADLSHGAVATLWCSSSGSTAAGWRPVLQVADVRRMVEDPEAELRYSVKLSDGVHFLPGRLAISLNPLVRNGALRRGSVIRVLQFVCGPIENNRRTIIIFWLEVLQTYCPLIGSLKPYEPTRNAWGSDTRSAARGEPSFGGKCKPAVEVTPSSTSPLAEPAGLKVLAQIKDENLGYSDKPDIITVKAVISFIDTESFCYPVCPLVVNGVPCNVKVDRVGDRMWHCKRCFQDIKTCDYRYKVHIQIQDHTGTSSAIMHQKASNKIFGRTAKELYFLKDEPRGFPWFENIMHGVLLKQNRSLMGSHVCTVLEAEKVDPSTEANRLLGVIEKLSKKGSLSNSEVCSGIPTYIGLSDFKARSRVQSPNSGPAISLGRASWLGSMDGFGYAVKPASTLTSKDCCGFGGHCPQTCPPGVDGQGPATTGGFMDSSTMRRACASNPSRPLSTRPNTVQGSHKASGDMASWAAMGGGRGGAMEVDLTRGAVAAISRMADGLRPVLQVADAPRRSVAAAGAGTVRYRLLLSDGVLASSLNPLVRSGDLRRGTVVRVLDYICSVIQNQRKIKFITEIYLVGHYCYPTRDLQTECALIGSPIIFEATSTQPTAISYLDGLGSHEPWVVPGAQQVTDNSSFVSGQFMLDSSFGPRAEHGLQYGGCYGSVPPQNTVDAKMQQFSLDDHRKQMLMVTTTGENFGRGSSNGSPLPSSYLLPPSVCSDRSHAAANKPPCHVIPVAALNPYQFRWTIKARVTAKTDVKHYSNARCSRKVFSFDLRDAQDGEIRVTCFNLQVDRYFDLIEVDKVYQISRRAVKPADKKYNPLNSDYEIVADDSTSIEICSDDDSRIPKLQYNFRQIREIENMENGSLVDLLGVVASVSPSATVMRKDGKGTQKRTLRLKDMSYRSVEVTFFGKFCDGEGQELQQLCSSGSNPILALKGCRISDLSWRSLVTISSSQLKVNPDFPEAERLRQWYLTEGKTTAFVSLSQEVSSMSKNHVWKTIAQIKDENLGRSGNPDLITVRATISHVKAHKFCYPACPLEFNGKPCYRKLTRNNDGAWYCDRCPLSSPGCEYRYMLMCQLQDHTGVTYATAFQEAGTKIIGCSAEELFILRDQDEAKWEEIMLGVCWQEYLFNLKVKEENFYGEPRVRCDIVGAEKVDASARNHHLLEEIDHCLKGVSRWTPEDASHYIPTVEFSNVKARQSEKTSNACGNVMSVRGAVYGQSADEFWLQAARNGRMSAPSSGLCKCSSRNSIFMDWSLGTRPFVRDGNWDPYATGIRVSDANSLGVRVSDAMTLGIGLETALGCLLPRTRDSDA >Et_9B_063931.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:2882072:2882308:1 gene:Et_9B_063931 transcript:Et_9B_063931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NSCAAALLEYASPFNSTSLDESRIELIFCIKGRCNYGQGWHECYCCGVPTAESCYTTEQYCRSNCAACNPKCPLPPSV >Et_2B_022704.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3435914:3437197:1 gene:Et_2B_022704 transcript:Et_2B_022704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVLTTELVVPAEETPAGAVWLSNLDLAARRGYTPTVYFYRGNGNPDFFEVEAVKDSLARALVSFYPLAGRLGLDDTGRVQIDCTAEGAVFVTARSDYVLDDLLNEFVPCNEMRDLFVPATPAPNPPCALLFVQVTYLRCGGVVLGLALHHASVDARSAAHFAETWASISRGSTGDAPLPPCFDHRLLAARPTRTVLYDHPEYKAEAEPVHPVTAANYASAIITLTKAQVTALKARCAGASTFRAVVALVWQCACRARSLPPDAETRLYSMIDMRARLAPPLPPGYFGNAVIRTSALATVGEVIANPVGYAARRALAATSQGDDYARSLVDYLEGVDAMNLPRSGISRAHLRAISWMGMSLHDSDFGWGAPVFMGPALMYYSGFVYVMQAPGKEGAVSLALSLEPESMPEFRKVFADEMARLEL >Et_1A_007999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4028099:4033016:-1 gene:Et_1A_007999 transcript:Et_1A_007999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRPPGPAPARLVAVALLLAALTGGAAAGAIRSDGYGRARRLYMKNKVLEMFYHAYDNYMAYAFPHDELKPLTKSFTDSLSELGNLNLEHLPQDYNGSALTLVESLSSLVVLGNLTEFERGVLWLSENLTFDVDARINLFECNIRLLGGLISAHLLAKEYISQLKDGLYQDQLLHLAVNLGNRFLPAFETPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGVLSRLSGDSRYEAAALRALRKLWSMRSSLNLVGTTLDVLTGKWIEYSTGIGAGVDSFYEYLMKAYILFGCDEYWDMFHSAYLAVQKYFRHGPWYHEADMRTGEATHWQLTSLQAFWPGLQTLLGDVAAANLSHREFYNVWQRFGVLPERYLLDYGMLHPTEKYYPLRPEFAESTFYLYQATKDPWYLEVGEAIVGSLNYYTKVDGGFASVRDVSTMKLEDHQHSFFLSETCKYLFLLYDDSFLKNQNYIFTTEGHPLPVRSTWHEKFPATHVPNNWTFVKDDNQPIRVSALSSQVCPETIFQKSFGSQWESACHVPDVYPSHRCSTDDDCGIESVTCRKRTCSMAGYCGLWLVVY >Et_10A_000478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1152584:1154105:-1 gene:Et_10A_000478 transcript:Et_10A_000478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSVARGGVALSGAEAKVMSEGIDLIFGFWAALQLASFNAELEDGSIEEVIEILGTTTMHLRKICTICVFSYHHEQVQKLSTLLITIVKHLMILHTKNLKQKHSYIDKLRKTRFAGSAITRSKKIRPTYHHGIGNDISDDDEAGPIQQAEAPPAQRNPTRPAKPVPDEDGWTAVPPRRQRK >Et_8A_056476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10555206:10561683:-1 gene:Et_8A_056476 transcript:Et_8A_056476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKDPGADMEALVRRLSLHQAAPSAYDPSPAVTPSVAGGSGELFRPRRAAVLVCLFRGAAGELRVILTKRASTLSTHSGEVALPGGKTEEGDADDTATALRESKEEIGLDPALVTVVASLEHFLSKHLLVVVPVVGILSDIQAFKPILNVAEVDEIFDVPLEMFLKGPGVDMEALISRLRLHRPGPSPYDSSPAATPTPAAPAAGELFRPRRAAVLVCLFRGAAGELRVILTKRSSSLSTHSGEVALPGGKAEEGDAHDAATALRESQEEIGLDPALVTIVASLEHFLSKHLLVVVPVVGVLSDIQAFKPVLNVDEVDDIFDVPLEMFLKSARSSARPA >Et_1B_010930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15575637:15576282:1 gene:Et_1B_010930 transcript:Et_1B_010930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRSTGPRGKPAPGATSRKSPPVPTTTLSWTQRVRIALDAARGLAYLHEASVAHGDVRSTNVLLFQGFTAKIADYDLFKQLPSGLVEDGYCRHFTMAAEGYRRPEYSDVFSFGVVLLELLTGIKPGDSRFNSVANLGATPRVDPKLRKQYPLAGARKVGRSVSRQLA >Et_5A_041252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20022464:20023687:1 gene:Et_5A_041252 transcript:Et_5A_041252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTRSRGTRRRRLGSRLGNDGSGKGTQLEYNDEGQRLGDDSGEGGRRGDDGAGEGALLGVDDEGAATATAVAKAHEERCCPAAGGKAADIAGSGGKGRVTQRTDCAKSSFDATIWICVSSSYNVNKLMQEMKGKIPEVQGENKNTSDEELIQQRLKSKRFLLVLDDIWKCHEDDWRRILAPQGKQEAMWFSTKLGTSKDLIIELNGLAKDPFEKYFLACVFGQQPHGQEHDALVKDIGSQIVDKLKGFPLAAKTVLESKEWERQTSDLHDIMPALKLTYESTVTVPILPFER >Et_8B_059969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4536077:4541246:-1 gene:Et_8B_059969 transcript:Et_8B_059969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGSVAVESGSNLVMEKDQRRPNSKKTPVPMKPSTNPTTPQGIKNRSQSRRDRKMALQQDVDKLRKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLELLAEVAVLEEEVVRLEEKVVNFRQGLYEEAIITSLAKNACFPDGDRCTPARHKQSAQLQSPEVSTSTRQGCDQDANWSSLKRVANVKQTPRRPGTSLSQGDRPGKENQSCGTNSCREFSRPPKCRMPVEEKCAGVQTTSSVEHRHQALDDSNSIGVDKASASANRVSEELLTCLMAIFSQMSASSTQDEERGSSPSVSGSCASSSDGGWATGDPYGVLEFGWRDIGPYKQFRAVDAASLDQDVFARDTLLGRRLKELLRKLSSVDLVGLTHQQRLALWINTYNACMMNAFLEYGAPTTPHLLVAMMPKATINVGGRVLSAMTIEHFILRLPYNVKHVNPGGVKGDDMVARGVFGLEWPEPLVTFALSCGSWSSPAVRVYTAARVEEELETAKRDYLQAAVGVSLPGGLAIPKLLHWYLPDFAKDVSSLVDWVCLQLPCELQRDAVRAVETIVGRQGPVAPRRVRVMPYDFRFRYLLAS >Et_9A_061733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15893015:15897968:1 gene:Et_9A_061733 transcript:Et_9A_061733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFTDIGVSAGINILSALGFLLAFAVLRIQPINDRVYFPKWYLKGTRSSPRTLGAFSKFVNADLSTYIRFLNWMPAALKMPEPELIEHAGLDSTVYVRIYLLGLKIFVPIAVLAFAVLVPVNWTSGTLETEKSVTYDEIDKLSISNLGKGSKRFWAHIGMSYVFTFWTFYVLYHEYKVVTTMRLRFLANQNRRPDQYTVLVQNVPPDPDESVSEHVEHFFAVNHRDHYLTHQIVYNANHLSGLVEKKKGLQNWLIYYENQHAKHPAKRPTMKTGLWGLWGRRVDAIEYYQKEIEELCKQEDEERQKVITDPNSIMPAAFVSFKTRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWANLATPFVELSIRRLLMAVLLFFLTFFFMVPIAFVQSLANLDDIERRLPFLKPLIERNSLKSIIQGFLPGIVLKIFLILLPTILMTMSKIEGHISLSGLDRRTASKYYLFLFVNVFLGSVITGTAFQQLDNFIHQSANKIPEIVGESIPMKATFFMSYIMVDGWAGVAAEVLRLKPLVMFHIKNTFLVRTEHDREQAMDPGSLDFYNSEPRLQLYFLLGLVYAVITPILLPFIIVFFSLAYIVFRHQIINVYSQQYESGAQFWPDVHRRLLIALMASQILLLGLLSTQEAEKSTVALLPLPVLSLWFHWVCKGRFEPAFVKFPLQDAMVKDTLERAHDPTFSLREYLKGAYVHPVFQKNDIYELVAIDEEEKNPLVVTKRQSRMNTPVGSKFTSSSGTNEGEFGRLPT >Et_3A_026269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6177288:6181029:-1 gene:Et_3A_026269 transcript:Et_3A_026269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTTRARFVHNRRGRRRAGGGGQYAVDEASDDDEQRATSSSSSDEEADDEEEEAEASGEEVDEGDDEEEDVEEEARATETAAKESAPAPAAGERKGGRKGPITISLKKVCKVCKRTGHEAGFKGAAKPKFLIPNQLECGNIKFHQRRVTCLEFHPTKNNVLLSGDKKGLLGVWDYVKLHEKITYDSVHSCILNSMKIDAANDGILYTASSDGTISSTDLDTGIGSPLLNLNPNGWNGPSSWRMIYGMDLNTDKGLLLVADSFGFLYLLDRRSKTRIGHPVLIHKKGSKVTSLHCNPAQPEVLLSSGNDHYARIWDTRKLEANSSLASLAHGRVVNSGYFSPSGNKILTTCQDNRIRVWDYIFGNLQSPSREIVHSHDFNRHLTPFKAEWDPKDHTETVAVIGRYISENYNGVALHPIDFIDTSSGKLLAEVMDPDITTISPVNKLHPRDDVLATGSSRSIFIWKPKTEADITEERAKQKAKEYVYGSCSRKKPNGKHNNSSDDDSDGSPDGKNKKAKKTRYTRTVKGKGKSKV >Et_5B_043542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11669110:11679337:1 gene:Et_5B_043542 transcript:Et_5B_043542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPPRRPSAERRSIRRELQRRRPKPLAPLKPTANKKPSAPPRGGTSDKSREPRQEVPPSTTHAPPPPPRRHQPSSTASAPLAPPSPQSGSVSVPVSHASAATPNAGERLKPGAAVLVRTRTQMLIDWKTLVLWLAATVVSSTTDGEYEVIYDGNLPRENPFSTVRVPLHHVRPRAFKPLLPTPPSKSPSAAARPTTAGKSLRLLPKLESEMPAAPRPTTAGKSFHVVRKILSEMEFQTRTIIHRELERRRPKPLAPKRPPAKKTPAPPPSSIGGAGNKVRRPRVLPPCKNPSSITCKSSSSAGTVDAEPLHHAPPCASSAMAPSPPPPCRSAASSVVSASEAISVSSARLKLGTSVCVRTRIMVKMARKSEPIWLWLPAIVVSAAADGSYEVVYKGKLPPGDPFSTVHVSSDHVLPEKQPPPLPSCAASLSIAVSNTQVAPSKNSSMQCQARPTTGTNLQRRDPLAAVHVARDTKTPPPPRIASSGATLSAASKTWKIQSAPRPTTAGKSLRLLPKLASEMQAAPRPTIAGRCICVGPKITSSMEFQAQAMLPGY >Et_10A_000201.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:17080363:17080785:1 gene:Et_10A_000201 transcript:Et_10A_000201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLPLRSSFVTDLDGAIIILPADVAIELRWRERVAKLVCDWGVALREESDTRGWSSAVWERDLALRRRRGPASSTSRDCGLIVGAAPAPAPATTEEEPGCRREEAATAGPGEAVGVAAAEETVMDDSSYLAFFYIGVL >Et_9B_064854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19201336:19201637:1 gene:Et_9B_064854 transcript:Et_9B_064854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRGCARWRRCTWRSCWRTGSCDGVLTPNHCSGINHFVVLLLSFRIISTNDSYALSLRFIAAGMLQKAFVLTVLATWSCCLSRRPSTSSSSLAAPLD >Et_5A_041534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2430274:2431205:-1 gene:Et_5A_041534 transcript:Et_5A_041534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNEGTSIRADDEDRIGMLHDDILLFILGRVDITTAVRTSVLSVRWKHLPWLLHELTIDVKDFLPVPEPKLIEAEHMDEAMSSLTKATRSFLAIPRESPITSLQLELYLINNYACDIGPVVSEAIDIGILKDLSLAILDEKEIADCTEEQMLQQALVVDCYKCKITTLLTEKKSTYVQKFSIYSSPEIIVSFSQAYNTQT >Et_4A_035260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15119244:15120338:1 gene:Et_4A_035260 transcript:Et_4A_035260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQPAMTIKKMKIVIIVLSIILIFALVIGYYKPIDDGPTKCSVELVGARGLGSSLAPGAVSPAYKILVHIDNGHYFGLRDDAGSSVVVSYASVPLASGRTPALYVEEKKVVTVAVNATSDALGIPEDLFHLMSAERQSGVAQLEVDLWLPFGLFTCSIDLDGPQRASRVTQ >Et_10A_002110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22886866:22891896:1 gene:Et_10A_002110 transcript:Et_10A_002110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGKVLMERYELGRLLGKGTFGKVHYARNLESNRGVAIKIMDKDRALKVGLSEQIKREITTMSRGVFHRDLKPENLLLDENGDLKVSDFGLSALSESRRQDGLLHTTCGTPAYVAPEVIGKTGYDGAKSDIWSCGVVLYVLVAGYLPFQGPNLMEMYRKIQHGFDLSGLFIEKQCRKETRFTSDKPASVIILKLEDVAKALNLRVRKKDNGIVKIQGRKEGRNGVIQFDTEIFEITPSHHLVEMKQTSGDSLEYWKLLEEGIRPALKDIVWAWHGDDQQQKQEKRFRKEAGSCHASITVSTLKVKKLETEVPGIEEMVFTSSIWRRFGSNPVKIYNVEVFVGVGHQRFIMREYTTLPDKSNK >Et_6A_046383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14045296:14045578:1 gene:Et_6A_046383 transcript:Et_6A_046383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSAAVRWRLHADEVLCVMFLPPARELGRLADFPITRAPGGDEQEGGGEMTGDAAASRWRCRRAPPWRARGGYRPLPGTRILEQSWPHV >Et_2A_017307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33070087:33074208:1 gene:Et_2A_017307 transcript:Et_2A_017307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWGSKQAAAGAFWREKEGARRERERERERGNVILTATRKQSAAAGMGDSGGSVVSVDVERISFGGKEHHIQTNHGSVSVAIYGDHDKPALITYPDIALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAAPILASTPVASVDDLADQVADVLDFFGLDSVMCLGVTAGAYILTLFATKYRERVLGLILVSPLCKSPSWTEWFYNKVMSNLLYYYGMCNVVKDILLQRYFGKGVRGCSSDPESDIVQACRSFLDQRQSMNVWRFIQTINERKDLTESLKQLQCRTLIFVGENSQFHAEAVHMTAKLDRRYSALVEVQACGSVVTEEQPHAMLIPMEYFLMGYGLYRPSQINCSPRSPLNPFCISPELLSPESMGVKLKPIKTRANLKL >Et_10B_002469.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:9959076:9959372:1 gene:Et_10B_002469 transcript:Et_10B_002469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VTLVQNQKHWTVYCCIVSIAEKLGSGCFDAWGYITWPRPSTNPRLLSGGLGRGKQYPINYVQGMTLLLFWSHEGFGGGATRGYMNSKICIRSCWLTKS >Et_7A_051867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25751121:25756559:-1 gene:Et_7A_051867 transcript:Et_7A_051867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAAGLPAVIFIRRDASFAAALRRRFHVLDFFASSKPLPSFLAAAATIPEPPRAAVVWSGGAVRVDAAFLDAVPSLRCVVSTAAGVDHIDLHECARRRVVVANSGRVYSTDVADHAVGMLIDVLRRVSAAERFVRRGLWLLQGDYSLGSKLGGKRVGIIGLGNIGSLIAKRLEAFGCVIYYNSTRPKDSVPYKYFPNVLDLASESDVLVVACALNKETRHIVSKDVLEALGKDGVIITSVEEQTSTEAEMVRALQEGRIAGAGLDVFENEPAVPAELLSMDNVVVSPHVAARTSESRLDLRDHTIANLEAFFSGKPLLSLMLPHLDAAFNSALHQRYHVFDFFASAPPGLAAAGAVPEPPRATVVMGLGTVRVDAIPSLRCIVSTGAGVDHIDLDECVRRGVAVANAGRVYSADVADHAVGMLIDVLRRISAAERFVAADSGRCRGTALLAPSFSPQWHPPARPSASPRPSSSFAPWTRPSPPRCVSASASPTSSRRANHSRPSLPPPLSGQAVPSLRCVVSTAAGVDHIDLRECARRGVVVANSGNVYSTDVADHAVGLLIDVLRFVRRGLWPLQGDYTLGSKVASVLASLAWATSAKRLQAFGCVVFYNSRRPKDSVSYKYFPSVLDLASESDVLIVACELNKEGDTAHRQQKSSGGPGKGWGCHKRAGLDVFENEPKVPAELLAMDNVVLTPHVAVVTSESRSDMRDHAIRNLEAFFSGKPLLTPVRFPS >Et_1B_014128.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31860389:31860721:1 gene:Et_1B_014128 transcript:Et_1B_014128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGFVLFTLICVMAVYWYPGRQHSIMVPYLNQLALIVCLKRYEKAEPGTQLRDRLKLKVAIWLLAMALALLFSYCMVAAADVHHAVAVFACPVAVGAVAGALCYFLPPT >Et_5A_040257.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:741664:742008:1 gene:Et_5A_040257 transcript:Et_5A_040257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIFSFAVPVLSTCGVLWGPANQETLWRNSGSWTCRDRTWAIGKRAPPFLLLFFGIFGNVGMLKCFAMRLRRIVLFLAGVKMTSSFGPSDQRKCCVNQYQVLRAFHFSALAAL >Et_1B_011275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19919736:19930543:1 gene:Et_1B_011275 transcript:Et_1B_011275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMAGSSSGGGGGRVLDCRRFWKAGAYEAPAAPAREYHDALETGDFDRARVHPKFLHTNATSHKWAFGAIAELLDNAVDEICNGATYIKVDKSTNLKDNTPMIIFQDNGGGMDPEGIRQCMSLGFSTKKSKTTIGQYGNGFKTSTMRLGADAIVFTCAIRGSNITLSIGLLSYTFLRRTMKDDIVVPMLDFKIQDGDIVPLVYGSQGDWDSSLKIILDWSPFSSKEELLQQFQDIGNHGTKVAIYNLWMNDDGLLELDFDDNDEDILLRDQGSASGGFTKIQKEIVQQHISYRLRFSLRAYTSILYLKKFDNFQIILRGKPVEQITITDELKFKKVVTYKPQVTVKVDVGFAKEAPVLGIFGMNVYHKNRLIMPFWKVLQEGSSRGRSVVGVLEANFIEPAHDKQDFERTPLFIRLETKLRQIIVDYWKENCHLIGYQPMDPQLKSQYKATLKDSGGPGTQVQQKASSARRTGGLQQNLLPETYDDISAVGLAANGSRSQSSAQAQENSMESEGLDEDVVEIGSHGVLDPNFIEKLSEENIALFARREEGRQREIELKQTVGALEQELEETKRKCNQLAAELKMRKNQQNFPYT >Et_4A_035478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26047835:26053892:1 gene:Et_4A_035478 transcript:Et_4A_035478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITARPEHCTLSRVVALTAVCLVVVVCTYLASSPAGGADKEQKFFNMWRRRSSATSTSKLNPSLINSWHCDGQAFAPDDLEVALRGAAYANNKTLILTVLNKAYAEEDGLLDLFVESLRGGEGTAQLIDHVLFVAMDAPAFQRCRSFGGLRCYLLRPEVDGAGDLSSEQLYMSDGFIRMMWRRIRFLGDVLKRGYSFIFTDIDVMWLRNPFPKLDRRADEDFLISCDKFNRAPRDYASNELNTGFFFAAAGNRTAALFDVWHAARLASAGMKEQDVLNRMARRGAFGPLGVRARVLDTARFSGFCQDSRDAAQVATVHANCCRTQRAKVADLRAVLRAAAAGRLSNGTAAADLRWPAHLECAKSWTSFQRSPRTDSGRGIYLVDGGVVDDFVGEPDALVGELLARLVGDLHGSLDAPAEAVGLGELDGDGAPGVGVAVVLERLDHITCVRINQLDSPQHESMNKTVKRARIRQQTNHILLSLRVRLWRKAFLSKSGSSDAADDEEAAGGDASHRTEEGARRLPPTRRELLDAGESSAARSGVVMVAIAARGAQWRREGAALAEKQQQADGKWDNRCVPRLGTGDGDPLLLSLRPVNAVCPVKRDGLFRGARGLAASAGGSVLFRRARAGGEISRTVGRSRTHKFRGLTV >Et_1B_012629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33947591:33952975:-1 gene:Et_1B_012629 transcript:Et_1B_012629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSENKLVCSNSGRSSQRRKQTAAKSSTVSKKPSRRNAPRKCKPDSRLKRSPRKVRNATLAKCIRNKYHCSPQKQQRGSDSVAGKNVTGLTARRKRKRQNTDEMTRLERRARYFLIKIKCEQNLLDAYSGDGWNGQSREKIKPQKELQRAKKQIIKYKIAIRDVIHQLDLSNSSGSIGDLAMPADGSVVEHIICLSCKSHESFPSNKVIFCGGACKRAYHQKCLDPPMDKSVVPTSSHGWFCKFCLCKMKILEAINAHLGTSFAVNCPSEDIFKEATETIDSEGGLDEDWLSEYSGDEDYDPEENEVSSTTSRSVDSGEGVMSDGSSGSGSPLYSPNDDIPDFISADFNDAEGLCNTNLDLSVDCGDDDVPQILTYQRPRRDVDYRRLNDEMFGKLVENEEQSEDEDWGGCKRKKRRVNSAVVGVNSVEGFSNDIPDGKVQKQRRKLFRIPPAAVEVLRKVFAENELPPRNVKEDLSRELDISFEKTEGESHNTAPSKRSRTGEGKAGISGKAEENSHTSPSNSSRTNEGAGISAKVDLVDNSYFVPLSEIINVPARLQKNLEKRKAESTISSLRRLHDNGSCSFPTGQVKVGTTQGQFHPINTDTCAEEQDASGMDTGALTCLQGTTSPKSQSCLLTDLSHPTNNEVSCEEQVASCMDAGISDYQPFLDVIDEMCVLENRLQMLRENMLSSGSVGANDESDLQNQTVVLVPAAELKEKA >Et_3A_023429.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:26927120:26927404:1 gene:Et_3A_023429 transcript:Et_3A_023429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEERCRALVATMRARPSTAPCSSPGPRSVGIRSRRRPATPVAAAMRQASARRGENRTKRTRASFMFSCTCTVPAGAARGSMFALFIVCVSV >Et_3A_027083.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32300203:32301081:-1 gene:Et_3A_027083 transcript:Et_3A_027083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYIRLNDAVRARLRGDAAGAGCTSSGSDHDASACLSDLVQAFLETDAGAAEDAAGPAPKEYDSDEADAAAAAAASVRELLDPPAEEDVFRIRLAAAAAAAVEAEAATRAHGAAFRRAVARRLRAAGYDAGVCKSRWEASGGITAGTYEYVDVVVAAPAARGGKSCRYIVDADFRAGLEVARATPEYAAVVAAVPAAVVVAREESVGRAVRVASDAARRSLRAHGLHVPPWRKTRYMLAKWLGPYKRSTAAASPASAAGAMPMPGAAGMDVKCRAVGFFPPPAAAPAARIK >Et_5B_043392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:150680:159309:-1 gene:Et_5B_043392 transcript:Et_5B_043392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKRLLRKALHHQGAAASGVPQMDAHVALHYGVPCTASLLAFDPVQRLLAVATLDGRIKLFGGDNIEGLLISPNSVPYKFLQFIQNQGLLVAISNESEIQVWNLEFRQLFYSSQWDVNITAFAIIEGTFLMYLGDENGLLSVLKYDVDDGKLQKMPYNVPIQSVAEADGISLLDPQPIVGILPQPDTFGTRVLIAFEKGLLVLWDVSEDCAICVRGFGDLHMKGQVTGAPRDVGEDQLDNTTEESEEEREICSLCWASKGGSIMAVGYITGDILLWDMTTRSSRQGKQSDVSSNVVKLQLASGNRRLPVIILHWSAGSAVDIHKGGHLFVYGGDDMGSEEVLTKFCGRQNATPFISGNMKWPLTGGVPSEMSLNEDHVVERIYIAGYQDGSVRIWDATFPVLMPMFVLDGKVADVNLDGANASVSSLAFSTVNMTLAVGTTSGLIRIYALREHTGGSSFHFVSESKQEVHVVHHERGFHYHIAFMASNSPVQSLVYSASGEVLAAGYQNGQVAMFDTSQLSIMFAGDCASGTNSPVVSLSISSVAVFTENADQSKKGSPQSEKLPREVLLSLTKDARVTLLDSITDEASDQKQTQLSGDKSPSQGPTGKERNDLDKRQAHVVDKHPKSATQLSHNGGSDSLLLVCFEDVLLLYSLASLSQGSGKHLHKTKLAKPCCWTAVFKNMDGRTCGLILAYQTGTIELRSVPDLAIVAECSLMSLLRWSYKTGMDKSTSVSNGQIALVNGSEFAIISLMASENEFRIPESLPCLHDKVLAAAAEAAISFSNDQRRKNPAAGILGGIIKGIKGKAEEHAEMKGIISAQTPTELLESIFLKGSFVQPSAPNPDDPIEELSIDDIDIDDEVPLAPASSSTSHMNKKTTVEEERAKLFEGSSDGDKPRMRTPQEILTKYKFGGDAAAAAAHAKDKLMQRQEKLERISQQTAELQNGAENFASLAQELAKTMENKKWWKL >Et_4A_035519.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27471806:27472426:-1 gene:Et_4A_035519 transcript:Et_4A_035519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMFSAGTETTATAAEWAMSLLLNHPEALKKARAEVDASVGTSRLLAADDVPRLGYLHCIISETLRLYPPVPTLIPHESAADCAVGGRHVARGTTLLVNAYAIHRDPAVWEDPAEFRPERFEGGGADGKFMIPFGMGRRKCPGEALALRTLGLVLGTLIQCFDWDTVDGGEVDMAEEAGITLPRAVPLEAMCRPRQAMLHVLRDL >Et_1A_008759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1232801:1235794:-1 gene:Et_1A_008759 transcript:Et_1A_008759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMLVFLGVNLVLVNTISPVYDFVCFHPYWERRVLHSSPFSSFSQRLDVTNITHNMLWFVERETNRSEGDDYVNNARIHDSDEEAMCNENRFKEG >Et_2A_017962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8399793:8400660:1 gene:Et_2A_017962 transcript:Et_2A_017962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLAPAFKFHFKEGRRTMILSVLSSPTLVSGLMVVRAKNPVHSVLFPILVFCDTSGLLILLGLDFSAMIFPVVHIGAIAVSFLFVVMMFNIQIAEIHEEVLRYLPVSGIIGLIFWWEMFFILDNETIPLLPTHRNTTSLRYTVYAGKVRSWTNLETLGNLLYTYYLIIVEGFHSTKDKGARKRMRFSNKALIPCSFAWKLNPLASSFASTLVLSASSYRSSVKEGAPTSAPSRNLNAGSILAKQARGTPVGRGKERSGEEAG >Et_6B_049511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:604987:610492:1 gene:Et_6B_049511 transcript:Et_6B_049511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDASNLVLHVKRIACSSTRLCYQYACDYPVVLGAGIFLLFLHKLCPSLFMFLVSFSPVCLLTALLLGALLTYGEPCAPVIGEETLENRQTSPRQSKTSTDCSTKKIEHASVVAYVEKSFESQVVCIEERTFDSIVHDTHYDEEKVTSVSIDTVVCAEESSEFAKSNAIVESGVRTKEISKNVELQEFESINTESYHHEVNNKYQLGELMSSCWQPVMRQDPCSDSESDFSGSSSDASMTDIIPMLDELNPPVNIGTSNPSSTVRGSQDSSSDEEGESEDDGDLDGNDSNYVNGVESSGTENGNNLETLAQRRRAKNILKFDLDKKLMDLEAADAIQKMEEASCFRVQVPSISTPRPKPFVLSNGSEEIVELQHIPDSAPSVLLPWRKPFEISFDQIVDSERKLLETWTPRSYFQSTRHRKNGNLHLRKSTYLQQHNGITAEKTELIGKDACDRNNGKLFGSLEAHMGEEIKILSVAILDACNEQSTLCSLPKENNSEQHVEADSISEVNSLFKCRMEEVLVQSISESGIGQPLTIKLEDELSGTFSANSAMPVVEASSVEELNSRILVPTNETLTSVASDHTCDDELIQNRSSEALLVENGHASQLPIKDGSNAVKIVNELLTDNGELPVLEASSTEEINSSFKKTEDEAHEEIYPSSMHKFGLDEGATSSGMLVLESKSTEDITSAFGQLSNDHDMSQNDACVLEANYEGDEEAGKHSNFNDGNNQSALCARSKETTSSQHIVEAGSISEVN >Et_1A_006469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22937504:22939024:1 gene:Et_1A_006469 transcript:Et_1A_006469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCSSVMSGGGAGGVDGSSGNGGAGGGGPGGPCGACKFLRRKCANGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLHQIRPEKRVDAVVTVCYEAQARLRDPVYGCVAHIFALQQQIMNLQSELSFMQSTLATMELPQQQQAGQFPVQPQMPVTAGAFSVSNLPSSSTILPNTVDLSALVFDNQHQQQQQLQQNQYAAGMGASSGEASGSGTDTFTGDGTDLHALARALLNRQHVRVAGTEPPQPRAHQ >Et_7A_052240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:619498:629152:1 gene:Et_7A_052240 transcript:Et_7A_052240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRFASGVGPTRAAPRPALQIGAAAGNGFRTGSLRRARHRGGGGGNLMVASALRGCASSGGGPFHLASKHGCPLGLCLRGRPLPRLRCQGSDSLAYVDGPPEGTKGSSEGNNDEAASSGFDDEKEVDVDNLRELLNKTKKELEVARLNSTMFEEKAQRISESAIALKDRADSAQRDVSMAVATVQEIISKEADAKEAVQKATMALSMSEARLQLAAEAMEANRGSVGPMEFSIEGVEEEALVSAQEEIKDCQAVLSKCEEELRRIQDKKRELQSEVDRLTELAEKALLDASKAEEDVANIMVLAEQAVALEMEAAQHVNDAERALQKAEKAIASADTLVELPPVAEEQKATEEDRGSEVFEYTGDGMYEISDRDEVSNIERLMVGDLALEGIEKVESSREISDEVSGDKLLVEPQKEAEPDTEKSSKQGKKEETERKEFTKGPLSAPKALLKRSSRFFSASFFSSNADGEFTPTSVFQGLMTSVQKQAPKLVLGILLLGAGTLFLNKAQKSQLLQQQGIATSIEKVTSTTKPIVREIKHIPRRVQKLIELLPHQEVNEEEASLFDVLYLLLASVIFVPLFQKIPGGSPVLGYLAAGVLIGPYGLSIIRNVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLATTAAVGLMAHRFAALTGPAAIVIGSGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEAMGMAAVKAIAAITAIIAGGRLLLRPIYKQIAENRNAEIFSANTLLVIFGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPSISVILSLLIIGKTLLVTFVGRLFGVSTIAAVRVGLMLAPGGEFAFVAFGEAVNQGLLSPQLSSLLFLVVGISMALTPYLAAGGQFLASKFEQHDVRSLLPVESETDDLQDHIIILGFGRVGQIIAQLLSERLIPFVALDTRSDRVAVGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRAVWALNKYFPNVKTFVRAHDVDHGVNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPTSEIQETINEFRNRHLSELTELCATRGSSLGYGFSRVMPMSKSKSLTPDDESETVDGALAI >Et_2A_017705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5327202:5333282:1 gene:Et_2A_017705 transcript:Et_2A_017705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERREIRRIENAAARQVTFSKRRRGLFKKAEELAVLCDADVALIVFSATGKLSQFASSRHAHSHHTTLLPSAPPLHALFRVDLNSIIDSMNEIIDKYSTQSKNLGKSHQQPSIDLNLEHSKYAGLNDQLAEASLRLRQMRGEELQGLSAEELHQMERKLEAGLHRVLNTKDQLFMQQISELQQKMPQVPTAVTPVAATDTENILAEDGQSSESVMTALHSGSSHDNDDGSDTSLKL >Et_9A_061579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1419942:1420643:1 gene:Et_9A_061579 transcript:Et_9A_061579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHDESDKGLFSNMMHGVAGGHGYPPQGYPPQGYPPQPGAYPPPPGAYPPPPGAYPPAPGAYPPQHGYPQPGGYPQHGGYPPTGYPGGSHQGGMFFNSLEAQPLQLLLMEHTNSLTAATAEDMGSWEGTEA >Et_3A_023903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14297613:14305387:-1 gene:Et_3A_023903 transcript:Et_3A_023903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGRKIDIEGQRSGRVAAVGIGGGSEIEIEMVPATVGGEASSSGQQDDVEDELLQRPAWKRFLTHAGPGFLISLAYLDPSNVQTDLQAGASHKYELLWVLLFGFIFVLMIQSLAAKLGIITGRHLAELCMCEYPKYVKYGLWLLAEVGVIAATIPGGTALAYNMLLHIPFWAGAMICGATTFLLLGLQSYGARKMELIGVIFMLVMAACFFVELNSTNPPMGEVIEGLFIPRLRGGYATSDAVALFSALVVPHNLFLHSSLVLSRKISSSPKGVKDASALYMIENAFALFLVLLVNVAIMSITGTICADNQSVDDINTCSGMMLNSTSALLKNMFGKSRSTIYGLALLASGQSCAVTTSYSGQYIMQGFSGMRKCVIYLIAPVFTIIPSLIVCGIGGVFRVRGLINIAAIILAFVLPFALIPLLKFSSCSTMIGTCKNSHSIVRTTWILSTVIMGINVYFFCTSFISWLVHSELPRIVNAIISTLVFPFMAAYIAALIYLIFRKVSVTGPFPSMSVSCASQVDERRRQDDKHDDLSVC >Et_1B_013537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9345323:9350009:1 gene:Et_1B_013537 transcript:Et_1B_013537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFGVLVSDPGLQSQFTQVQLRTLKTKFVSAKRPGAEHVTIQDLLPVMEKLRGIHEVLSEEEVSKFLSETYPDMNQSIEFEPFLKEYLNLQAKGTSKNGGKKKLKGSVSFLKASTTTLLHVINESEKTSYVNHINNFLGEDPFLKNFLPIDPASNELFNLVRDGVLLCKLINVAVPGTIDERAINTKKDLNPWERNENHTLGLNSAKAIGCTVVNIGTQDLIEARPHLVLGLLSQIIKIQLLADLNLKKTPQLAELVADDGGKGAEELVTLAPDKMLLKWMNFHLKKAGYKKTVTNFSTDVKDGEAYAYLLSTLAPEHSSKTMIETADPKERAKKVLETAEKLECTRYVTPKDIVEGSANLNLANGLSTNNVAPVEDTPDDVEASREERAFRLWINSLGIATYVNNLFEDVRTGWVLLEVLDKISPGSVNWKQASKPPIIMPFRKVENCNQVIKIGKELRFSLVNVAGNDFVQGNKKLILAFLWQLMRTSILQLLKNLRSHSKEKEMTDADILIWANNKVKESGKTSRIESFKDKSIADGVFFLELLSAVQSRVVDWSLVKKGEDEEEKKLNATYIISVARKLGCTVFLLPEDIMEVNPKMILTLTASIMVEENKAAAAKKFWYIVDSFFACQLAATQVS >Et_5B_043652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13557641:13561587:1 gene:Et_5B_043652 transcript:Et_5B_043652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLVLFAAFVLTAAIHVVSDQLDFLSIDCGLEANYSGYNDNSVGNIVYFSDDNYTDAGENCVVAEAAGGRRLPAMQTLRSFPSGERNCYSLPTVVGDKYLVRLQFAYGNCDGAINSTSSVEFDLNLGSSYWDTVTVQPGEGNVTKEVLFVAWASWAPVCLVNTGRGTPFVSVLELRPLASALYPPVAANQSMSLYKRLNMGTSVSLTRFPDDPHDRFWWMMVSPRWMNLSRTEADIKLDPTFDVPLPILQTAVAPVGNDTSLTIMTWQEKSYTFMVFLHFADFQNTQLRQFDIYFNDKPLAASYSPLYLADTYVCNSEWYRAPDDKYNITIVASSAASMLPPMLNALEIYTLMDLDDTRRTYYIDCKSFLIRLLSHIDL >Et_1A_008070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40536263:40537845:-1 gene:Et_1A_008070 transcript:Et_1A_008070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKQEVSSLLIFPSSTLQVEEDISSLVEEKEKDHGGSFSAEESASAASSSPAKDRATSCLLPADSLRSTTAVPAAQAQSQANNNTGGIQSLSFSKLLSFRVPSSLSTLSCIDQLDADAAASNCSILTRVSKHKGSMRHKKKKYLHVWLHSFIIASADPIDGVILCDKEKQICRSQSVPTSVRRFNAKAGLRRVGPAGGGFRVVSDDPEAAAGKDAEDTIAAEEAVCRICMVALSESEGEAVLKLECCCKGELALAHRSCAIKWFSIKGNGACDVCGQEVLNLPVTLHGHHPAAAQAQEATQQQQQPDPITTSRYRVWHGTPILVIISMLAYFCFLEQLLVGDHGTAALAISLPFACVLGLFSSLTTTKMVSRRYVWIYSAVQFLFIVLFTNLFYRYVRMQAVIAIILSTFAGFSMAICANSVLLQILRWRARRAASPTMTEVQQEPRNQREPPMVDLEIAPPLP >Et_1A_005478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10781512:10782731:1 gene:Et_1A_005478 transcript:Et_1A_005478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGGPLLTIGDLLSDLAVDGDDPLASGGDVSVPSSPLATQPAVEADPADLSRLFEEHYNNLMKALQENDPSWPSLMLKLCTALKTADKLVSSANTNAEQLLQKVKSLESVLERGDRTVADVVEGLQRSSLAKDQRSSQSKSARK >Et_3A_022975.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:10974415:10975203:-1 gene:Et_3A_022975 transcript:Et_3A_022975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPPATCRTSFLVHAPTVTTHLAARNSSPAAVLTATPPSGPLLTMMSTTSALSLTTAPFLAASAWNMATASVAFSTPPPRSLTAATHPPPSSTPGGGVRNAPPHVRERSSTSAAPELSTAAISAALLRGPMESCGAGVSTRRPDSASASAHRRRARRTMGRYCGFGYASRMVRDAPCEEPRWWSRSKRSRSRARAPRDAAACAAQLPMMPAPTTITSKSKPPEAAGAAGATAMAPTLGLFLRCIVMMSDVPLLREREVIL >Et_4A_032732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13158189:13162330:1 gene:Et_4A_032732 transcript:Et_4A_032732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSPGLSSFAAEPARAGESLRPLMEFAKDKVGGAAAQTEVRLMATAGLRLLDASAQEAILASCRDMLRTSGFRFEDAWAKVIPGSDEGIYAWVAANYALGTLGGDPHKTVGIIELGGASAQLTFVSDEVLPPELSNNFTFGETTYTLYTNSFLNFGQNAAQDSLLEILRFRGSSNNGTVVDPCAPKGYSRNEHVMVRTSSDSRSTLENQFVDNGNGNFTECRSSSLLLLQKGNEKCQYQQCHLGSTFVPELRGHFLATENFYFTSKFFGLKQSSSLSDFVHAGELFCNQDLSTLRKHYPNQSDEAFSRYCFSIEFSNQIGDIQVEWALGAFIVVMQSTNSKSSHTAASSTHSNKQWAAVLGMFLLCGLFLVSRWRKPKTKIIYDLEKGRYIITRIS >Et_5B_044596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:398025:400417:1 gene:Et_5B_044596 transcript:Et_5B_044596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAPSKIDDDKVLQLCQERKRFVREALDGRCALAAAHCAYILSLRKTGHLLMKCFELEVLKESIPNGTRENSEVTPVNEPYPDVSNVVKHLDSCMKKVDILFVRASNSGKEVLRILEKGRIQFSPLLQEEIAHGSKPSSFIQTLFACCWEDLSIPEFPSQAEIKYLTWHRSMSSQLPTSSDPFVTNDGIHISMLDRFSAICRRYDEKCKKPKQEESRGDNRIAVNFTRAAVKDLHSRVLVSLQKIDFISKNIEDIRDKHIQPQLDELIGRSYNLKISSQSECQCHVAILLTCMKPLKRRKGYKKKNAVEVVPTVCAVAPIFTTCEVWIKLLNDLPARDMEEAIEGLLADISHSLSHQDETPKDDGMGVGVLTSYTPADKYIDLKKDISAAKEMTKGCENKCTDRVKLV >Et_4A_035227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13275439:13276136:-1 gene:Et_4A_035227 transcript:Et_4A_035227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSTTTTADIRATADATGDHGGESGVAAAHPVAVKGSATLSVSVVLLALLVASLAVFLMSSPPHAGNKQMEGAAGEGGKQRAGEPVERAVGGGVGIPGWNSRLDAFRTWARLTWMKLRQPHSDEPRYDGAAGSVAGAAKKSFEMGKETVEQAAATAAKATGEAVEKTKEKARRAAVAADDDDDL >Et_3A_026380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7405293:7407203:-1 gene:Et_3A_026380 transcript:Et_3A_026380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQRHVRLYLHHAIGIGTSQPSMNLSVMKAPPTPQPSYVTFSIFANYRVPISLWTSKPIHLKTKSQQTLDKQDVIQVFVDIVNSVLRYGPDKKSSFRFPGAQSQGNFKDVFNIAFLSLAFLVCIYEAPRDLRPGCLDSLRRCCKELVKMLGANLEDQWMQTMNLAVTNWIVELRSSNQSFGVSSPLFSYALSASGLWKVQLYCPVIAMAMGKEEPAEVTPDERLHFSLTYQQLECVIQLAYRTVRRDNWIDVEVKVDNIRCDVDSLVSENLMAERGYGSEEKHFPSRVMLQITPMQQSDVLSVSVGKSNDNPTHEFGIEKGFEGSFDPPNSFGLKASITESLTLAMKPWKFEQSVHGNTATLNWSLHDGVNGREVYTLKPSKLSLLQPRAWFRDRYSNAYRPFTKQGGVIFARDEYGDSVWWKVCGATLGKTMNWEIRGWIWLTYWPNKQRTFHSETRWLEFRECLQLPLTKLS >Et_2B_020815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23933291:23942079:-1 gene:Et_2B_020815 transcript:Et_2B_020815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRALLLAATSYRTVRSLAAAGSLLPSRPRLSPPVAPPAVLTASRFATRRPATSSPQDDSRHSRNDRPPKETASSVGSDPDETVTSDSAGCDEDDDDDSGVEETTRWVSRPPRGTTPFEGCDYKHWLVVMDPPRGDPINPATPRDEIIDSYIKTLARVVGRYSCVYTSLAARTYTCCVLRTKQGRRSIQCQLGVTLLLEPLYLKELSHKLNELPKVRAVLPDSYLDADNKDYGEEARQRIYSVSTRHYFAFGALVSEELSLKLKELPKVHWVLADSYLHAENKDYGGEPFINGKAVPYDPKYHEEWLRPVAAAVGSLLPAAARPSPAHARFLATQPAQSSLRDSSPNWNNRPPKETILLDGCDFEHWLVVMDPPPGNPGDPDIPREEIIDGYIKTLAQVVGSEEEARQQIYSVSTRHYFAFGALVSEELSYKLKELPRVRWVLPDSYLNVKNKDYGGEPFINGEAVPYDPKYHEEWVRNNANAKDRPRRIDRHRNFDRSRNFERRRENMQNFQNRDATPGQGFNNPPPPGQPGMPPRDGPPMHHAQPDMPPPPPNAGAPPYQAGYAPGSGQNYQQGGAPSYQGAPPGYQGSNQGYQGNHGGNVHGGPGPAYRSNPGYQGPAAGYQSGSPPPPPFQGGSQTPFQSGNPPPYQGGNPSYGSGPNYQGQPGNQSYQNAGVPPYEGNGPGRNYQ >Et_3B_027844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22303347:22304573:1 gene:Et_3B_027844 transcript:Et_3B_027844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HPVEPLLRVERPRQHRHAGHHGLQHRVPAAVRDEAAHGRVRQHVTLRRPRPDHEPSVSGAGEEALREQRVQVRVVAGRGADHPQEPLPAGLQPGRHLPDLRRAEPADAAEAEEHHAALGLRVQPRHALHLAAADHLLRLDQGPDAVDAHRRAPRGVDGARLQRPERVDDDAIGAAEVMAHVEEPFVGRVAALHDGQDGVRGRERRDARHAHELRPHLPEARGRGGVQDGEVQEEGQHLCARREEEVGRHAELPGAPQRDAAEEVEDEDGRGRGGQEGAEVRVGEADHLEGERLLVLGRDRPEAVDLGEDVEGDGRVGGRDAGQEGPRGARVVRRVDDHDGDGQPARAQRLAQLDHGHQVAHPRRRVQHHRPRRPAACARTAVHFPPTFPRCRWCGLCL >Et_5B_043596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12333725:12338098:1 gene:Et_5B_043596 transcript:Et_5B_043596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDAARYAHSPAHHAVATRDHAALRRVLDALPRARRPEEIRTEADSIAEEARAEAVSAVIDRRDVPGRETPLHLAVRLGDAAATEMLMAAGADWSLQNEQGWSALQEAICAREEALARVIVRHYQPLAWAKWCRRLPRVVAAMRRMRDFYMEITFHFESSVIPFISRIAPSDTYRVWKRGANLRADMTLAGFDGFKIQRSDQTILFLGEGSEDGKVPPGSLCMINHKDKEIMNALEGAGAPASEAEVQQEVTAMSQTNIFRPGIDVTQAVLLPQLTWRRQERTEAVGPWKAKVYDMHHVVVSVKSRRVPGAMTDEEFFSSCNENDTESEGFDEVLTEEEKKQLEAALKMDSPGASGEDQSDSFSGPRHSCFEPREREIPIEDMSISGNGESKYDKKGWFSNWGKKSQVSKPEGMKRMAPPRSSLCVDEKVSDLLAESPSNVQMRPGRHSVDVVRIDENRRVRERDHRRTLAPAENGHRRKESTKESEYKKGLRPVLWLSPNFPLRTDELLPLLDILANKVKAIRRLRDLLTTKLPPGTFPVKVAIPVVPTIRVLVTFTKFEELQPLEEFTTPPSSPDNSKSPAAQSSSGSWIQWIKAPYRQNFSTAPGPSSRVEDIQDPFAIPSDYTWTTPEEKKKKTQENKNKSKKGRNGT >Et_4B_039778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29222803:29226010:1 gene:Et_4B_039778 transcript:Et_4B_039778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVQSRDLARLVDTLCASGRSAEAHHRVSLFLSATSPLPRSHFDELLRRLLHARTPLLTLRLLQHAAASALAPSLPNYNRLLALLCRADTPPPPLRVHLAHRLLLRMRAPPDAASYAALLDGYARVPDPRAARKLLDEMPGRGLVPSSLARTFLVKAFLRSRNVDAAMDLVDNHLWPSTVDCRQYQENQEVTNAAFANLVQCLCAEGFFHVVFRIAEEMPHRRCGVDDEFAYAQMIDSLCRAGQHHGASRIVYIMRNRGMWPSTVSYNCIVHGLCTNQKPGGRLRAHQLVMEGARFGYRPREVTYKVLIDELCRENEVGKAKDVLELMLQPQFGNDKGGDEETRTRIYNMFLGALRTVDNPSEQLAVLMSMLQAECKPDVITMNTVIHGFCNARRVQEARRILDDMLSGKFCSPDVVTFTTLISGYLNAGDHAEALDVLRTLMPRRRCSPTVVTYNCAIKGLFALQQVDTAMQVLEEMKANNVTSDSVTHAVVIKGLCDAGQLEKAKAFWDDIVWPSGIHDGYVYSAIFRGLCKQGKLEQACDFLYELADCGVLPSVVCYNILIDAACKKGLKKLAYQMVKEMRRNGLAPDAVTWRILGKLHHCDKEEEQESHPLLSLNVAKRSTDGMEPSISTENVMPSLSSSISSHDLNAYNNKANIKDEVNVDGEGNWTKITEEPADNTELAKEQEGSLIESRCETTTDEGSLAQEDGLMKPDEQPVIRLPLSRVARKTLLQELECLFKDVQGIALTACLSALEVSGNPSTNNELDNESCLLEVKSMFPHYRCYLLL >Et_3A_027157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3632562:3634880:1 gene:Et_3A_027157 transcript:Et_3A_027157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLFLRAVIGGGADEGLASALAPKEVARQEPEKMRFPPPRSVTPCSFGNGVAEEEAERTSQMVGVLERECFNPSRQKEGEDNRLQEEVAAMESIE >Et_1B_011444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22321655:22323615:-1 gene:Et_1B_011444 transcript:Et_1B_011444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLDTLCGQAFGAGRHHMLGIYKQRAMLVLALVSIPVSVLWVYTGEVLLWFRQDTEIAAGAGSYIRCLIPALFLFGQLQCSVRFLQTQNVVVPVMLSSAATAVVHVAVCWLLVMKLGLGINGAALGNAVSNLFNLSFLELYVRLSPTCKATWTGFSCEAFRGIPDFLKLAVPSALMLCLEWWSFELLVLLSGLLPNPKLETAVLSICFHTYAFIFMIPTGLGAAASIRVSNELGAGWPQMARLATRVVTLLVFSMSILEGAVMVLLRNLLGYVYSREKEVVMYTAKMVPILAVSLLFDSMQCVLSGVVRGCGRQKIGAFINLAAYYLAGIPAAAIFAFVCHLRGMGLWFGIMCGVVVQMLLLLSITLFTNWDKEALKAKDRVFSPSQLLDVKTSGCIEQANDTQATTKATKGFVEPNEG >Et_6A_045957.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:13618841:13619134:-1 gene:Et_6A_045957 transcript:Et_6A_045957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YSAEITQLLRKKSRPNLKERIRWEKPGEGVLKVNCDALFHADTADTVGVSSLDRESDRDVIMARKGWYDHLMIPFQAEIVACFQGAQAATDLGAQKV >Et_3A_025272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28481814:28484754:1 gene:Et_3A_025272 transcript:Et_3A_025272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLTPPGTPRVSALDAPEKGPSSVVSKRTVTRSSSTTRASRLSTSEPEKHSTFPTRPARSNSVSRPSIQSTLMSSNNRSSVLNASISSISSRPTTPSKRTSTLTVSKPSIPSSRPVPPRSSTPTKTRPSTPSKTRPSTPVKNCPSVSNSMTNTAAPKITSAPSSRSATPTSRSRITSSSSSSITSLSRPGSSSGKIPAITRTTSTTSTIPSVSRPGSRSSTPTRQPVIRSSAPSVGRSPSVGRSSNMIPNGRSLASNGRNSAPSSAPSSRPSSPNTRSRAPVRPLDIPDFPSETPPNLKTKLPERPLSAGRARPGMVSGARSTSNAEPVQSAPVKKMSVPAITRSKTSSLTNGHQNRQSERSVLEGQPARTSRSATGTDNGFGRTISRKSLDMAIKHMDIRQNFGGIRGASLFPHSIRSTAAKGRPARGSDPGGHSISNGDRYNTDNSSSNGHFSGDSSGALSHNGGSSIGSPDRESIGAKGVLSELDIYANSRYEAMLLREDRKNTNWLHSADDKSDQSPVFDHRFEPLPEPFGPL >Et_5A_042936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7226283:7227219:-1 gene:Et_5A_042936 transcript:Et_5A_042936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIMAASTPRVAAKTAFLGQGRTAGNAAPLRDVAAAAGGRITMSNDLWYGPDRVKYLGPFSAQTPSYLRGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGALGCITPEVLEKWVRVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGFRINGLDGVGEGNNLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDDPVANNAWVYATKFAPGS >Et_5B_044485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2848144:2849043:-1 gene:Et_5B_044485 transcript:Et_5B_044485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDGDGEQTQDYLFKIVLIGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVVTILVGNKTDLKHAREVSTAEGQALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYSILSRKVFQSQEQKKSELQSLSNGKAVVLQGETNETNSGG >Et_6B_048379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17352976:17354973:1 gene:Et_6B_048379 transcript:Et_6B_048379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLAQGGEAASRGVEEDILVPLLARLTAIGTLLDSTAPPSPAVVRPPARDAATTFRDRARAQLEKVRAEMAQLERVFRRIDDAERRIRHSFDPVERHLDDALQHEPPDAERIHAGLLAVDAGIAAIKESIRDVYNLACVVVGGGGRDGPSAPGTAAMMMAPKVARDVSRSPQMNHLRLTVGGLEERLLGCVLCLAAFPEGAVIKKRLLIHWWMGEGFVRSAGEGKRRFDELAAKGFVAAAPAAPPLCGMAHRCTVQPWMRDLIVGLAKRHAFLETDDEDAGDDLAFARRVCLHGGKRPPGFGAAARAIYNVGQKYVELGERWLAAGKKKKKKDLRMLQLGQWREFSAREQIANPMASHVEISSVARFRDLETCKSLRYVSFRGISGIESLPDAIGKLRELVVLDLRACHNLEELGHGITKLDRLEYLDVSECYLLVGMPKGLGQLTRLEVLKGFVVASSNSREACHLNELTKLEKLRKLGIVIGKTAVPLEDEFLKLAEFGALESLKISWGTVTCSAKKNGSTEASPRRRSAATMKYALPPNLKKLDLHCFPFTDFAQWVQPTGVKKLYVRGGRLSTLGDQEGWEAEVLRLRFLSDLKYDHALLRRLFRKLKPETTEIHECPNFVRDNDAEGSAEPEEI >Et_5A_042793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4509479:4511845:1 gene:Et_5A_042793 transcript:Et_5A_042793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNHGGGVDRLSDLPDDILGHILSFLPTKDAGRAAVLSTRWRYIFASVHTLEFQDTQPYNFWGDTYTFYSDSEERRSVNGYFIDSVNAALLCRRRCVALSRNASLRAFRVGLNYYYPWDEDMVNKWISSALQQSFGHEFHLDLRLHEYEVCERGNAPLPGEDGMCNRGYKKEQRAKAGWSLSFLRKLFSCAALRSLRVGHCQLSPLEAIALPSLEMLHLTAVGDSEGTIHRLIASCPHLDDLTLDSCSTLKRVSILDSRLHRFSFRCCHEVATVTLDASKLRVLDYRGAVPSESLFRLHGSPWIHSVTIAFCGPSLSSEAELAAFLKFLANFTAAKHLHLKSRRLGCSIESEFFTGFPAFSNLRKLELTGCLDRKATIRAVPRILEQTPNLEVLTLFLRPVSKRTVANAPLEYNLATVPDAPALLCLQQRLREINLVHYKGSNEQRMLAKLLLGNALVLQGLCVSFSNPSLGRQTTLTNEFKRMVIHCDAPVHD >Et_2A_015672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16791697:16798660:-1 gene:Et_2A_015672 transcript:Et_2A_015672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFSARTIHASPFFLVFIYTMQLGFEFKILGPNESNSTCRVVSGPAGHVAKPKRADRPTESEEAVQQEQRPGAERDEGKREPTRSPPSAGDRHRAMASAKLLYIVVVDDGASSFRYTRSLLHSTLQLMGCKPRHAFEISRRVFDVIRGDQHGDSAAAAGVQRYELAEATPTTSPRQFQFELYKRRTTVLLPRDLFLDRVCDALALYKYVAPNQRADLMLACRIREKKESVTVLLCGTSGCGKSTLSTLLGSRLGITTVVSTDSIRHMMRSFVEEKENPLLWASTYHAGECLDPVAVAESKTRRKAKKRSGMSSNSSIDRDKSGASVEKIDGKTIGKKQMAIEGYKAQSEMVIDSLDRLITAWEDRKESVVVEGMFKLIQRLGSSRKLMAIINDDGSVSKAWPVGSSGGDEKCSSDSSFPKSAGNPIYGPLNIGRAESVNLQFGTFGISAWPTDTGCTSQAGNTDESWGSATEGSSRHDPSSASSPKKSDGHCKEIKESSAASGSDEEEEEADMEGSVDEECNRSDEEYDDLAMRDSMENGYLTDDGVFYAGLRKSSSIKLLDVNQRSHSMPRKHRENLRTLSSKLDVGVPETARSSSGVPASISNRRQAARKWKRSLSDSLHSRPRSAPESVSTYKGSPPVPVAPERKSLPVTLSSYAYMECEININGFPSNA >Et_6A_046890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2270698:2276476:1 gene:Et_6A_046890 transcript:Et_6A_046890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADNHHSEHGDGDSHFLVVAGGMQGHLNPARALARRLARVRGVARVTLSVPAAAHGRMFPSSLASPAAGDDEAEASDGVISYVPFSDGLEFGAWPRTAAEKARCRRASAESLSAVVGRLAVTCVVSTISLPAIDVALEHGIPFAFYWIQAAATFAAYYHYFHGYEQLVAAHVADPEYEVSLPGLGPLPIRDLPSFLADSTGSEHSKASIELLRAIFEHVGREKPKVLVNTLDMLETDLLQAVRQHVEVFAVGPMVPHLQQQTDATEDRIHLYKQDERGYIDWLDAKPDRSVVYMSYGSMLTYNKKQVEEILQGFRACGRPYLWVVRKDGRPDEVDSCLAQNRCLEQGMIVDWCDQIEVLSHPSVGCFVTHCGWNSTLEAMTLGVPMVTVPNWSDQALNAHLVDQWGIGVRAKRNEEGLLVGTELAKCIEIVMGNDDKATNMHEKVNGLKGNVQKGVIEGGLVELSLQNFVTLTNRTVTIDMGDEFSREEAHRRVRELLLLGLRDGRYQANEFYPRGTGFQRLAAAAAAAAASQRYTEETYGRHCFGGTPASGEAIVGLPVTSVKEGECGVCLEDFETGNVLRMTPCCHPFHEECIFKWLRRSHGRRQHSSCLPEGHHHFLIVVYGVQSHINPARVLAHRLTRLGVDGSIRATLSLPIATHRRMFSSSDNVVAAADGGAISYVPYSDGLDDGSLPKDADERARSRREGFESLSAIISSLAPPVTCIICTMVLPSVLDAAKEHGIPLAVYWIQPAHVLAAYYHYFHGYSELIASHATDLDYKVSLPGLTRPLRIRDFPHFLVDTTGSEVTKAINEAMQELFDYMDQRRPKVLMNTFEELEPTVIAEMKTHMDVFAVGPMVGSSTEARLHLFKHDDADRKRYMDWLGTQLEMSVVYVSFGSLSKYKRQQMEEIVQGLKQCGRPYLLVVRKDGLEKEDSMSDILEPVENQGMVVEWCNQLEVLSHTAVGCFVTHCGWNSTLEAVVSGVPVVGVPNMFDQPTNAYLMEEEWLIGVKVERNSKGILTGTELARCIELVMGEGAKASVVRERTKALKGIAQEVADAGGHSEKNLLDFFKTVQAHDTFCVNNADQHVRSAKSE >Et_3A_023668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10664217:10666777:-1 gene:Et_3A_023668 transcript:Et_3A_023668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLARINGVAVAMAVVVCLLPATARAQLRVGFYDATCPSAEALVRQAVASAFARDAGVAAGLIRLHFHDCFVRGCDASVLLTVNPGGGATERDAPPNNPSLRGFEVIDAAKAAVESACPRTVSCADIVAFAARDSVNLAGNLVYAVPSGRRDGRVDSGLSPSYAALLRAACPPNATQAITTAMDPGTPDALDNNYYKLLPRGMGLFFSDNQLRVDPAMAALVTGFAANETLWKERFAAAMVKMGRIEVQTGRCGEVRLNCSLVNPSSSLALAVDELGRSSAPAAGGEDGVAAS >Et_9B_063726.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:5701864:5702160:-1 gene:Et_9B_063726 transcript:Et_9B_063726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQLLLHLVCGCECLLRLLLKPVGDGAPADLRKVAMGFSLQRRQPVAVDSTVRQAHAPRVSLCYFYFLGAFVQNRQLPSVSFQNVTIFGSCVRFSYQ >Et_4B_037164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15756365:15762253:1 gene:Et_4B_037164 transcript:Et_4B_037164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPTLFSSAPSPRLLLRPGRLNPVSAFLHLPVHRKRSVSFPFPAMSSTPRFLVMDDADDPLDFGAVASAAFLPLQRCSRRRQRAASPEVIEVREESPEVFEVRSDGVGRAGDEVKAHATKKGKSNTQLGKKAVKIMTYNVWFREEVELNIRMNALGNLIKCHNPDLICFQVQYTNDPSIYIPYMCFRYSYVKLQEVTPNIFLLFEKSDWWESYKCSLSHKEAMIHSYYCMQNSISCFDYLFTLFLMLLDYKLIQMSKLPVKSFDSIPFSYSQMGRELCIAHVNIGGVIELVLATSHLESPCPGPPKWDQMYSKERVAQANESLRLLEAFRNVIFCGDMNWDDEGDGPFPLPDGWIDAWVELKPGEIGLTYDTKANVMLKGNRKVQKRLDRFVCKLSDFKVDSIEMIGKEEIPGITYIKEKKVRNEIRQLEVPVFPSDHFGLVLTITY >Et_9B_064322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1452414:1453829:-1 gene:Et_9B_064322 transcript:Et_9B_064322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQENHALPVSTAAGDTKPGSGSGRLLTAGMVAAWYASNIGVLLLNKYLLSVYGFRFPVFLTACHMSACALLSCLAHAASPSATTRAAGARSRGQLARVAVLGAVFCASVVAGNVSLRYLPVSFNQAVGATTPFFTAVLAYAVAARTEACATYAALLPVVAGVVIATGGEPSFHLFGFIMCVGATAGRALKTVLQGILLSSEEEKMNSMDLLRYMAPVAVVLLVPATLIMERDALGVVVSLAREDPSFIWILICNSSLAYFVNLTNFLVTKHTSPLTLQVLGNAKGAVAVVVSILIFRNPVTVVGMLGYGITVAGVVLYGEAKKRNK >Et_9B_065975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19077077:19077974:1 gene:Et_9B_065975 transcript:Et_9B_065975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSNKGSWTQRQNKQFECALAVYDKDTPDRWSNVSRYMGGAKSPDEVRRHFEQLVEDVAHIEAGRVPFPCYGSSVGSFPPARGLDAAARSKYLKYQ >Et_1B_012511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32669965:32672825:-1 gene:Et_1B_012511 transcript:Et_1B_012511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVGGGGAAGAGAGGNGGGGGSGPVRVPSWKERENNRNRERRRRAIAAKIFGGLRAFGNYRLPKHCDNNEVLKALCKEAGWTVEPDGTTYRKVSKTASHPLFFFLGDALFVSFGFSCRSFGLQPPPFAGDHTGIFRSAMASACPSYPLTPNPGSSSSHITLGGLTTSNNYYFPGAAGGSGAGGSRFIPWLKSMTASGSGGEPSFPGYGANNFSAPVTPPDSSSSPPPSPRLKMPRWGDYPAGAGAGSNAALPPWVTGASSSRYAAALNQTSPPSPRGEFRAPADPATWLPGVHISSAGGKSPADPATWLPGSPAEPATWLPGLRLSSSAGGRSPPFSFTPPPPPPFGAYGRAGASRKRSLGQSSRPSSPLGAHGGGVALSRLANAAKADEPAPAESSPVSAWEGEVIKECPEEELELTLGSAKTRADRA >Et_10B_002578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16905237:16906520:1 gene:Et_10B_002578 transcript:Et_10B_002578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPSHPSAKRIHATAAPPSLLSLTDVLLAEIFLLLPTLADVGRAAFRGVIADHTFLRRLRRAHSAPLLGVVSAYSFHPAEPPHRWAPAAQALARAADFSFSFVVPSAVTVPCRRNLADARDGRVLLSLDYNHREFAVCDPVSRRYTVLPKVPHEDPAASSADEEPRIEAAGEVEAEASFKVLWMEEWSHEPPVAFVFSSATGQWSRLAMDGVPHICFYWILTRGIGIDEINCDDKLLVLDTRSMEFSTIDLPPGLDYYGFHDYAIVEAGEERIGMFTLHRGVFHSDDAPRIGYAARAVDKTVLTLPSHRDYKVVGATDRCLLLDGCPIMTLLQRSLYDRSNPSETSNVDFKFFSLDFESMQITRVCQRRSLFPSAMPYTGFPPSLCLPTV >Et_2A_017009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30005121:30016870:-1 gene:Et_2A_017009 transcript:Et_2A_017009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAESGNGIKSAMVTLISSDNVRFEVDNAAASLSGRVHRMMTEDGAGAGHDGITIPDVDGKTLAKYCNKHAAFVAISSEEQGGGPSNAAAAATLAREELERFDKEFVYVDPVVALPSTINAAYYLDIKGLLDITCQKAADMIKGKTPEQIRQTLGITDQFRPEEEEEIPMAAAMSGDGIKGAMVTLISSDNVRFEVDKAAVTLSGRVHLMMTMLRSVMDAAESGDGDKGAMVTLISSDNVHIEVDKEAASLSKRVARLMMTDGGARDGITVPDVDGKTLAKVLEYCDKHAASAAISSEEQGGGPSNAAAAAALAREELERFDKDFVNVVALPSIINAAKYLEIEGLYEIACQKVADMIKGKTPREIKETPAQNLRRAKDRSAMAAAESGDGNKGAMMTLISSDNAHFEVDKAAASLSGRVHLMMTEGGGRDAIALPDVDGRTLAKLLEYCNKHAPSAAISSGEPSNAAEAAALEREELEGFDKEFVNVDSDTLASVITAAHYLDIKGVLEIAGQKIADMIKGKTPEQLRRTFAVANHVPTEEEEEFRRQNAWAFELASMAASAESGDDGSEAQGVTTVTLISSDNERFEVPAAAANMSQTIRHMIEDGCADGGIPLPNVTAAILAKALEYCKRHAAASSEAADAGGSANNNAAAAAAASSSNAGSSSSSNAAAPPKQQQEEEDLKSFDKAFIDVDQSMLYDLLLAANYLEVKGLLDLACQKVADMIKGKTPEEIRQTFGIKNDFTPEEYEEIRKENSWAFPEQARRRCRRRRRAATRRA >Et_1B_011064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17159810:17166844:1 gene:Et_1B_011064 transcript:Et_1B_011064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRKRGRTQRRHFKQGRENVWKHNTQRPPAASGEGGDGNATWQPFATENPGFEEYYKGQKIIPEEEWDDFMNMLRKPLPATFRINASCQFFQDICSQLENDFRKSLESEVSEEHGEDAIRPLPWYPGNLAWHLNFSRMELRRNQALEGFHEFLKRENEVGNITRQEAVSMVPPLFLNVQPDHHILDMCAAPGSKTFQLLEMIHQSTKPGLLPNALVVANDVDVQRCNLLIHQTKRMCTANLIVTNHEAQNFPGCNIAKFCPEMCSDSKLQRLEFDRVLCDVPCSGDGTFRKAPDMWRKWNAGLGNGLHRLQVEIAMRGMGLLKVGGRMVYSTCSMNPVENEAVVAEILWRCGDSVELLDVSNELPELARRPGLNTWKVRDRGSWFGVHEDVPRYRKSVVLPSMFPSGKGSKEIPIVKSSVDINTDVVDADMKDSPDNGDGEQETAINGSKSDNLNTEERTKVDCESGEVTNSSSKKLDSTSIRTELSDYPLHRCMRIVPHDQNSGAFFIAVLHKLSPLNENQVVEVINSEHIISKERTEKLEEDKVPSEENTVHQQVTDDTNVLDGEQNGDMDNKDSKDKSSEDTKVIFKEAENGQEGKRDRRRSQNQGRWRGVDPVIFFKDKATIDSIVSFYGIKDSFPLEGHLVTRNPDASHVKRIYYVSKSVQDVLELNIKVGERLKITSLGLKIFERQSSKDGSPCTFRLSSEGLPLLLPYITKQILYASAVDFQHLLQYRTIKYPDFVDAKFGEEASTLLPGCCVVVLREGHQDIESIAMDPSAIAIVCWKGKTNLCVMVSPLDGKELLERVSLRFGLELPIADEEKPKQRIDGSEEQPDCATEQEDLESLPESKASDMEIADISEVE >Et_5B_045663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6470295:6472688:1 gene:Et_5B_045663 transcript:Et_5B_045663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAQYVDALNLIPDISGSRPVTGQRSVSGVVCYRHSTPKQKSKEAYNAPWPAGRSLTPPYPTPTLYHPTPPTPLSMRCLCYAPLKSPCRPSQALPSLTTLCLLALPAAARHCWPDNLSSFQASCNLVRVLLRIAAATSRKQSRADPSRGGLQGGIKEAEQARMVFFCFLVDQRRTVRSSKPAAGICSRCGGCASVADMETATRLCYLLTVHRRTWRAIICTFCGAMLKSYRHYRLY >Et_3A_023323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20668094:20668480:1 gene:Et_3A_023323 transcript:Et_3A_023323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQLERGEASGGGGKAVWDTGSSLYDSYELAAVRRLIDRRLADARPLPETERQREQESKQQVVVASRARRGRKVTLRALFRAVASWAIRSRQKDACACVGMAHGGKVEPVVPSHGT >Et_1B_012300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30617626:30623615:-1 gene:Et_1B_012300 transcript:Et_1B_012300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLPSAAGSSPLPRALLLLAAVALFSLSFLSLRSLRPADAPSLAADTSRLLTPPSSSVYHSPEAFAAGYAEMERSFKVYIYPDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFRTDDPDQAHLFFVPISPHKMRGKGTSYENMTVIVKDYVEGLINKYPYWNRTLGADHFFVTCHDVGVRAFEGLPFMVKNSIRVVCSPSYNVDFIPHKDVALPQVLQPFALPEGGNDIENRTILGFWAGHRNSKIRVILARVWENDTELAISNNRISRAIGELVYQKQFYRTKFCICPGGSQVNSARISDSIHYGCVPVILSDYYDLPFNDVLNWRKFAVVLKERDVYQLKSILKSISQEEFVSLHKSLVQVQKHFVWNSPPVPYDAFHMIMYELWLRHHDLELDVSLNILGSYRERDGANLDFYAYAHFTNQNYPSVGRTQSVAASLPLRTSRSSLSTSRPASKCSWTMCPGASTWVPACTTMRSSDTLLMDPFAIDSVRRVTGPSPSRPGAGSSGRYACVMSTIRPSPCSSRRTPAESTAAA >Et_8B_059874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3619084:3619972:1 gene:Et_8B_059874 transcript:Et_8B_059874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGATTAYDDAIVQLAFDKEKTEAEIQCIILTAAQQTCTTLAGDQMALYEAQKSYLKTTSNLDSNYKNTEQSNDLRRDGSEATGSPNLQRLIADEPGYWETQERTSGQQKPVIRWLASSRLRL >Et_5B_043014.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:12293831:12294512:-1 gene:Et_5B_043014 transcript:Et_5B_043014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKVGIILLLVSAIVSPRQAKIGCRSYEKENILRECEDEIKPGDGDVYPGLYSDCCWAVRAVPLKDMDCIVRLLTPEEKKMHDESKIRRLKHRC >Et_3B_030639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5770680:5781338:1 gene:Et_3B_030639 transcript:Et_3B_030639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTSSACLRRLNPLLFSLARRRPAWSPRRAARRFCAAVAAERDAFTSPEVSKSFDFANEERIYKWWESQGFFEPNLDHGGDPFVVPMPPPNVTGSLHMGHAMFVTLEDIMVRYFRMKGRPTLWIPGTDHAGIATQLVVEKMLAAEGIKRTDLTREEFTKKVWEWKEKYGGTITNQIKRLGASCDWSRERFTLDEQLSRAVVEAFVRLHEKGLIYQGSYLVNWSPNLQTAVSDLEVEYSEEPGNLYFIKYRVAGGTRDDFMTIATTRPETLFGDVAIAVNPEDERYAKYVGRLAIVPLTCGRHVPIIADRYVDPEFGTGVLKISPGHDHNDYHIARKLGLPILNVMNKDGTLNDVAGIYSGMDRFEAREKLWSDLVETNLAVKKEPYTLRVPRSQRGGEVIEPLISKQWFVTMEPLAEKALHAVEKGQLTILPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKKCEEDYIVARTEGEALAKAQEKYGKSVQIYQDPDVLDTWFSSALWPFSTLGWPDISRDDYKHFYPSTVLETGHDILFFWVARMVMMGIEFTGSVPFSYVYLHGLIRDSEGRKMSKTLGNVIDPLDTIKEYGTDALRFTLSLGTAGQDLNLSTERLTSNKAFTNKLWNAGKFLLQNMPDRSDATAWDVLLGNKFDTESSLTKLPLPECWVVTRLHELIDKVSTSYDKFFFGDAAREIYDFFWGDFADWYIEASKTRLYHSADGSASSTAQSVLLYVFENVLKLLHPFMPFVTEELWQAFPYRKQALMVTPWPTTDLPKDLRCIKRFQNLQSLIRGIRNVRAEYTVEPAKRISASIVATTDVLDYISKEKQVLALLSKLDVQNVQFMESAPGDANQSVHIVADEGLEAYLPLADMVDVSEEVKRLSKRLSKMQSEYDALVARLNSQSFVEKAPEEIVRGVREKASEAEEKISLTKNRLAFLESTVST >Et_10B_002792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11059844:11078914:-1 gene:Et_10B_002792 transcript:Et_10B_002792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGAVLIYVAIHVVFFTLDHHDVENFMSGIDDEALKKLKNELDLVDKKRKRLLLFAILAATITYQAGLTPPGGFRVKDDGSGHHAGDPVLLNNFPRRYLAFFYCNSVSFMMSIALIILLINKNLYRPAIRSHALSVCTAVGMFSLIGAYAAGSTQHLKTSFVIFALAAFVLVSVAALVGVFLYIQKRRGQQVVEARSSPGEGAADEDTRTHAPDQQEKLHAKWKYLMLLGILVASVPYQAGLEPPGGVWQSDGAGHEAGNPVMHDNRRSRYLGFFYSNSTSFVASVVVIILLLLESLQQDKMWPLPFRVMNMTIVLDLLGLLVAYAVGSSRSWKTTGIVFALVGAVLAYVGIHVVVSCISRRKKNADRTADDGEHQEGEAGRPAMAKSGSDDPWEYNLRKYLLVLATVTYAAVFNPPGGKSGRLAGDPIIRDTSYFRYLAFFYCNATAFASSLVVIVLILIQAVLHDRGSTTLAPVRCLRVVMALDLLSLMGAYAAGTCRDSVTPVYSSVLVLGVVAYVAVHTALAMRSGRKDDSPLERLRKVLMLLATFAVSVTYLAGLSAPGGFWTSTDSGDGRRRPGHALLKGGPHDARLKAFFVCNTTAFVASLLIIVLLLDKGLRRSDKVRSYELYGFIFVGLVGAYSAGSCRDAYTTIYINCLVGAVLACILVQAVVVTYFEQALNCLLAILQSVSALLRKRLPLFWEQETAITYQAGLDPPGGLWEEDGDGFMAGDPILLTTNPRRFKAFFYCNSVAFVASLVAIILVRQKTLHQHNALEAAMILDLFGLIGAYAAGSCRDVRTSIYAMALAGAVLVYVVIHVVFFTLDHDRGRRHKKEELEIVEKRRKRLLLFAILAATITYQAGLTPPSGFRVKDDEFGHKAGDPVLLYNFPRRYKAFFYCNSVSFMLSIALIILLVNKNLYRPAIQSNALSVCTGAGMFSLVGAYAAGSTQYKRTSVYIIVLAAVVLIVVLVLIVVFLKMHTGEEQSEEDAENNISPEDNADEVNGSRVEETRADMPHVPETAETEAGQGKKLHAKRKYLMLLGILVASVTYQAGLKPPGGVWQSDEDGHEAGNPIMYDNRRPRYLAFFYSNSTSFVASIIVIILLLPESLHREKWWLGVRNTTIVLDLLGLLVAYAAGSSRSWKRSGCVSALVMAVLAYFTIHVVLSRISRRRKQARSQSARQLKEGGNSGHQRQVAPAGEAASYPQSNRTRTQGQVVTPKETKKKRKNYAHLCTFAAPRHHRDKLKRGPRAPGRLPEAASDRARPAMAKSNAKDPWEYSLRKYLLLLATLVATVTYAAGFNPPGGVWQDTDEKSGRLAGDPIIRDTSYFRYLAFFYCNATAFASSLVVIVLLLILSVMHDRGSTNPAPLPTLRVVMVLDLLSLMGAYAAATCRDWMTTVYSLLLVTGAAAYVAAKVAVSSWWGGAKNDTELDRLRKVLMLLATFAVSVTYVAGMGAPGGFWDEGGIAAGHRPGRAVLAGGRHEKRLKAFFVCNTTAFVASLLIVVLLLDKKLQPRDRLRCFELYGFIFTALGGLVGAYSAGSCREIDTTIYVNALIGAVISWILIQVVTVKYFGRAITGSWIWNFLGTKWDSFTDWVKECRPFCWAKDSGHAQTGSGNASKEQQQTQALEKARSLVLLLATLAAAITYQAGLDPPGGLWQDNGVGYMAGDPILLTRNPKRYKAFYYCNSVAFVASLVAIILVRKNTLHKHNALEAAMILDLFGLIGAYASGSCRNVNTSVYAMALAGAVLVYVVIHVVFFTLDHHDVENVMRGMDAAALKKLEEELEIVEKRRKRLLLFAILAATITYQAGLTPPSGFRLQDDVTQHFKTSIYIFVVAGVVLFVVAVLVLVFLFIRHNKEEENAETETEEGRAGSNTQEGKAESNTEDGRAEIEMGRTEGFFAQTGSRTARGTETKTEVSSAQNGTGTDAAREINTQEERAEEGRTEESSALTREGTDPEKKKEKKEMEKKTHSKRKYLMLLGILVASVTYQAGLAPPGGVWQSDGAGHAAGNPVMHDNRHPRYLAFFYSNSISFVASIVVIILLLPESLHNKKWWLGVMNITIVLDLLGLLVAYAAGSSRSWKTSGYVSALVIAVLGYFVIHVALSCFSRFCKRTAPPPGASPKQVNGGEGNQILLSMNILTGIAPGRETKTEEGRVESSAQNGTRTGAAREINTQEETAGEGRTEESSTQNGTVTDPEKEKEKKLHAKRKYLMLLGILVASVTYQSGLDPPGGVW >Et_4A_035370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2203797:2204172:1 gene:Et_4A_035370 transcript:Et_4A_035370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISALMLQGGWRKGPWTSLEDRLLTEYVQQHGEGSWNSVARLTGLRRSGKSCRLRWVNYLRPDLKRGKITPDEETVILQLHAMLGNR >Et_6A_046226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1027338:1036143:1 gene:Et_6A_046226 transcript:Et_6A_046226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSRMPSLPAGFRFHPTDEELIVHYLMNQAASIPCPVPIIAEVNIYQCNPWDLPPKALFGENEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKAILSTPTSENIGVKKALVFYRGKPPKGIKTDWIMHEYRLAVGNKTTKRKGSSSMRARMGEKEWYFFCHKGMKYPTGSRTNRATKEGYWKATGRDREIFKTASASAGGGRELVGMKKTLVFYMGRAPRGSKTNWVMHEYRLEGKSKDNNHSNLRFNPKDEWVVCKVFNKKMEEKKAAVEYSAGTPNVSSISVDAAGDDGGDDFLDSMIDPMSYLNSTVGTMNDAVAPYNAAATTTTSANVGSFFDLPNYTFSDTTSRNLHQAAVAHSTVPTSSSGYSSSWNLHQANHAMGNSYNLHDQAMMARALGGVISPNLAGGLPSYLTSFTGISQQSSLGVPQQKLEPDYGGSYATNYLASAAAANSAVTGKASRNLGA >Et_6A_046194.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:9382354:9382443:1 gene:Et_6A_046194 transcript:Et_6A_046194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWRQLRGGPLATYGSFAGSAILKKLHA >Et_6A_046089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23215312:23218272:-1 gene:Et_6A_046089 transcript:Et_6A_046089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRKIAEELKLDHTTMKVFDMQDEEDDFNGVDHSSRDEIQSVGALIYKSLKDINLMTLFINGSDDEMDITGFGIPVAKYHSSVVLWTFNRRLLTVHDSYQSEIKNKLRGTHLFFEASDIHRLSASDFSALLNEEVTSIVARHPCLQAVNLRMVTNCCLYKLFLHYNFHITMGFDWTAHASNYWMCASIIEGDATSKLFHKFTGDPKFPFFSDNYYYNIETQERKNYQIRPYDWVCITSKYLTMPDKDMKTILERSSSLFVLFERCNDPQPGLPNGLFKHCSNLVVLTLSFCAFSFESPPFLVCQTIKFLGLEHCTDNKARKANYPTNWAHLHSLWVLDLRNTNWDAILSEEKLKIMDNLTELNIEGSRCWQYLSQLKNILPCLQRLRIINPIHQAAAPTDTIDSFMDNKMLQILDLSGNKSMKCLPTSITNASNLEVLVLDGCDEIENVVLPNEFLSSLRSFSFDGYGSIAQWKSMIDLPPESSRPKRPYYANKVDAKTMKISLQGFKQLQNLFLRGLPNLEELDLSGSTIKRLFLLGCENLCAIKWRQPELELLCIDTRPGWSTGCARPSLHKHKSYRLQVYAIIVDARLVRSLYSEIQAEEVLSDSVYFSIHITKSDMGGGAGGFVHEEVARKETVGATDQPDVVLAVQYDDVSKEIIDDLPSPMEAFPEPPPHTRHHIEIGDGSHIMKNEMAKHDGLDSLMTFNVESLNVHDSSKISRLPGGYWYTLRWCRVSRCPNLDTFFSPSPFDHNNNDPDIMGKYIIFGNLQHLHLCFCPRLRFMLPARGGLSFPNLRTLHIISCGELEHAFVVDNESHVDQVPIHGVPFPKLTTIHLHDLPKLRQIISEHRMLVPALESIRIRGCFALLRLPSLEGRVPGVKKPMVEMEKDVWNALEWDGLTAGHHPDLFESPVHSRHYRRLFLRGTVLRYILALFTFQT >Et_2B_020192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17818631:17820858:-1 gene:Et_2B_020192 transcript:Et_2B_020192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNNESNQEKGMESNRTSSGGAGIPVEWQSQFSAAFPCAPAQQQQAGPMMDSFASAGLWASTSQAMGLSDVSAMSAARGHGFLAPVPGFLPQGLGNFPVDSGFIERAARASCFGGGGGGLMGGNAGYGAADQPMNNAFSGSSEALLDHQRKDGNDKAEPDHGRRNDHDEVPSSEAAGGDCSSKGTSDSKKRRRPNEMGADQVQSSNLPADSANESVHSKDKGEENSPATTNGKSKGKGTKETSESQKEEYIHVRARRGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDLNIEGLLSKDLLRFPGVSSSSIGFSPEMMHPQLQLSQPGLMHGGAAGMANPDVFRRIIQAQLSTIDGSQMPHTLNGSFSDVTQMAYPSLGSQDLSMRPSQDGFQM >Et_4B_038152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26863785:26869099:1 gene:Et_4B_038152 transcript:Et_4B_038152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRRSQTAGSPQVTGVGEPESSRSREKLRRTPPWAALGSDWRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIAFGYGHLTWEWQRGLRSYLHPFIFAALYKILALLHLDTPWFMMTAPRLLQSIFASVGDLYLYRLSRLIFNVQVAQWALFSQLVNWFMFFCITRTLSNSLETVLTVAGLYYWFSAMESSKGASVVSKQQATVNPSASSRKVALIIAALSCAIRPTSAVTWLYVGLLDFIQIKSKCRLVFLEVIPIGAIVLAVTTFLDWWMYGSQVIVPLNFLKFNLFSSGGDYYGTHVFHWYFTQGFPSMIWTFLPFAICGIVKSRVWRLSGLIAWVLGVYSILGHKEFRFVLPVLPLALMFSGYCLATMSQVKGKNLEGKGRFSRLQLSVILLILTNVPMALYMSLFHQRGTEDVMFHLSKEAHDGRVKSVLFLMPCHSTPYYSSLHYNLPMRFLDCTPSDIKGTMDESDRFLTSPSDFVGKVYGNLSSFSHIVLFESEERHVLDMLLQNSFVEVRRFFHSHFKVDRDLQSSVVLYSRKDATTEKLYRIPMVDM >Et_9B_064782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18584071:18586595:-1 gene:Et_9B_064782 transcript:Et_9B_064782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQMMHGRPCASRCSSSSSCSSSWMMQATPPNKSYNPLSRGSVSSESLAVLALHLLLKRHKYHGGNIVKTPASSSGVLQITENKSSSLTKRKVNAERIALDDALDRNKQLDRLNKEMSYWVDMGYTNSNLQYSLLMKNLDELEISLAGEELLMLEKDVLVHIEQLGALKLFNESMSMTTLDTLTQTSHESDCALLDEIIRLDPETPLTKLDDTEVIVRSGKSQERKLKRMRALEKASGTSVNVSKRKQKKSRKSSSSQFISDWKNYPVRRRSIVREQSALLVTIKECANLEKIRENMVKEGQEVSYQRWAEEAGVDEVVLKSRLEAGYCCRERLLVTTEWLVKYIARSYTGMGTAFDDLLQAGKMGVLDGAEKFNSEKGCKFSTYVKYWIRKGMLALLAENSGVTLLPARMESIIRKMKEARRSIRYSAGRNPSDSEIATMIGVSVANVRLARKCSRRVVSLYTEIGLGQHAKFTEVIPDTSLEAPDEAIFRSQLRERLLLVLDRLPAREGRVLKLRHGLEDGKCRSLEQIGGIYRVSKEWIRKIEKAAMAKLRNEDVRRELDDFRGF >Et_6A_047035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24388783:24394846:-1 gene:Et_6A_047035 transcript:Et_6A_047035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATASGGARPEEATAPPSASTSAASCMGTRPEELTARLAAAGASAGAGGGEVERERVRALREIKNQIIGNRTKKLLYLRLGAVPAVVSALTEPGASPATLVQAAAAAGSFACGVDDGARAVLDAGATGHLTRLLEHPDEKVVDASARALRMIYQSKLAPKFDVNNEKNMNFLLSLLSSENENVTELAATIISHSCESNAEQLVLYSAGVPQRLVSLFGGSMNLRDACLDSMTAITRNNQEVASRFASMDHGKAFRSIVGLIHDRSPRTRLLACLCLIALGHASPYHFQDRQIKTKLILVLLELMEEPGHVGDEAPLALTTLVKDSLELQRQALTTNAVEKLSNHLLANSLETRRAVTILLALSELCSKLEESRSQLMSVEVSALILDALKHDCADIQVAACSCLKNISRSPKVLSGGRLSCDTVIGPLVQLLYDSSTSVQVAALGAICNIAVNLTPRKSVLLHSGVVSQLVHLSKSMDPTLRLKSVWALRNIMFLLSPKDKDFIMKELTLSTLSSLICDSEHFVQEQTLALVHNLVDGYVDSTHYVIGEDGMIINAISRQLNNASAPGVCIQGMFVLANIAAGNALNKEAVMNVLVPHRGDRVKPSFVVNFLQSKDKQLRVATLWCILNLIYPKCEAASGRVVRLQSAGVILQVRSMINDPCLDCKLRVRMVLEHCLDNTDDFFM >Et_1B_011884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26871973:26874398:-1 gene:Et_1B_011884 transcript:Et_1B_011884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPAAAARLSDDNPDCWVLLGVVGRAGRCDNATTAGTVTCAGSPIEASPSRSSPRPASHAASPTAPTSSRPASPARTAPLSSYAPTSAGRATAKFKAGAAPTSSSTSALPPGAPSLHVVPKPYPVGFLSDGVGVRSCGDDHHCVVVVLPPLRFDGDEFDLSVFSTRTGSWSKKKVARVEHCGESEIHYSQLLEPSRVFSVGGHSLAWVDLRNGILLCDVLADEPEARLIDLPPLLPANAFRFRVRPEDGYCGGPLDPIRDVAFDGGDTFRMVELVLASSKRNGQCTATMFRRTLFSEHWDVYRTVDFADLSPAESCCADMFPAIWDGEEKRLALERVVNKTPALDMRKDDLFYVTSMVDPSDPNSWAAVLAVDAGSNSTSARQAPNSLLTDNTVILDRSTTSQKFAFWVLLDTVCRNEPCVNETTARAVTSAGWLLEVSFQLVDPPGLSRCLFHYAEPTSSEFGDCSTSSARVTGADGAFVLISLVFPSPDGDDWPAETEFFVYRAGPGAPSLHLIPRPHPCHLHSNYVAVVSRDDDGGVPGGSHCLVVVPERKREADGRMSYDYDLEIFSTETMLWSSKVARMACDTEAYFYSSFHPGKVLSVGGGAVAWVDMRHGILLCDDARREDPEMRVIQLPPLEPSNEPHLGRDSWGSMAALDQIRDVSCRDGWLRFI >Et_9A_061475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12422613:12424241:1 gene:Et_9A_061475 transcript:Et_9A_061475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAGAAAPPQPPVPVPVASAVVPVDFTVVKKGPEMALHDATGRLAFRVAAAAGGVGRALLDGDGGVLVTVRGSGEGEWRAFSGSSGEPRDLIFTAKVISTSSNRKELHVFIPPRSTFEDPKPSYRLIGSTFRRACTIINGNSIVAQTNLLYKLKKVIYSRRKFRVTIYPGNDHIIVMAMIMTFFVQK >Et_9A_062893.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:6339747:6342080:1 gene:Et_9A_062893 transcript:Et_9A_062893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQLLLVAVMASVLLNTTDVSATTAYDVLVKNNLPQGLLPNGVQSYVLKPDGTLEVTLPSVCAVAVTSGGQQYKIQFSRNIFGVIKAGSIRELHGMSLNVKYAWITVSKIERAGNQITLTVQNSPCHSPSAASPRAPPAAEAPFDDT >Et_4B_039975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:775410:777830:-1 gene:Et_4B_039975 transcript:Et_4B_039975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQAEPTADVHGLLFVMDVGISKFEQETDASSLKTALTSSSLDLSTNGVLFKDIKFLFFSYGIFTIKAMDY >Et_5A_041913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4112131:4114418:-1 gene:Et_5A_041913 transcript:Et_5A_041913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWKQVFSKTEFHVLILGVHKAGKTTLLEKLKSIYLKVEGLPHDRIVPTVGLNIGRIEDANVKLVFWDLGGQPGLRTIWEKYYEEAHAIIYVIDSSAASSFEDSKSALEKVLRHEDLQGAPLLVFANKQDSPAAVTEEELARHLHLKELNERPYMFVAGSAYDGTGIKLGVDWLVEQMGRSKRTEALRARTEAAGKI >Et_1B_013041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:531597:537069:-1 gene:Et_1B_013041 transcript:Et_1B_013041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAAHLLLLLAAAARAIDAPRLSFAQTSNDYDDGTGGTGDKGAQAIAGSPIVAGVMNERLKALTSSFAKAIRKQLDYCIKDTDMEWNAAFDFSKDTTFLTNCMKETEGDFQQRVCTAAEMKFYFNSLLDSGENGEKNYVRPNKNCNLSSWIDGCEPGWACSVGKEQRINLQDDKEIPYRALKCQTCCPGFFCPHGLTCMIPCPLGAYCPLSSLNKTTGICDPYNYQPPPGNPKHTCGAADNWADVITTDDIFCPAGFYCPSTIQKLPCSSGFYCRKGSTSQTRCYKKSSCPPNSATQDITIFGALLVVASCLVLLIIYNFSGQILTNREKKQAKSREAAARHARETAQARERWKSAKDVAKKAGVGLQSQLSRTFSRKKPSTQAGPSKVGAGMSQDMGGKKNNLTDMVRSLEENPDNEEGFNVEIGDKALKKPRGKQMHTRSQIFKYAYGQIEKEKAMQQENHNMTFSGVISMAKDHDESSRSVIEIAFKDLTLTLKGSKKKLLRSVTGKLSPGRVAAVMGPSGAGKTTFLSAIAGKATGCETSGLVLINGKIEPIRGYKKIIGFVPQDDIVHGNLTVEENLWFNARCRLSADMSKADKVLVVERVIESLGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGMTVYHGPVKKVEEYFTGLGIVVPERVNPPDYYIDILEGIVKPSLSAGVTVKDLPLRWMLHNGYDVPRDMLQSSSDSESSFRGSTDHSISGDDAGASIASVLWGNVKDILGQKKDEYDYNKTSEDLSNRNTPGILRQYRYFLGRCGKQRLREARIQGVDYLILCLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFALDKIYYWRERASGMSSLAYFLSKDTIDHFNTIVKPIVYLSMFYFFNNPRSSIWENYVVLVALVYCVTGIGYTFAIFFQPSSAQLWSALLPVVLTLVATQQKNTIFADLCYTKWALEAFVIANAQNYSGVWLITRCGSLVRSGYNIEHKALCVVVLIANGVISRCIAFFCMVLSDKSYHVKNNPSFGQKAPSN >Et_3B_028827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19617997:19618746:1 gene:Et_3B_028827 transcript:Et_3B_028827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEEGVGGEEERREKGLVSGLVDKAKGFVAQIPKPEASLERVSFKGVSRECITLHSHVDVNNPYAHRIPICEITYTFKTDGNAVASGTMPDPGWIAASGNTKLELPVKVPYDFIVSLVKDLSRDWDIDYVLEVGLTIDLPVVGCFTIPLTTEGEMKLPTLRDLFC >Et_6A_047746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13025821:13026630:-1 gene:Et_6A_047746 transcript:Et_6A_047746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPWSSSSSCTSSFGSIDDDAVFKPGSLAAAAAAANNNGNVKFLCSYGGRILPRHSDGALRYVGGHNRVLSVDRSLQFYGAYLHATTSLAIVSVCGTTELQRKLREMCGWDVSLRCQLPTEDLDALVSVTSDDDLANLLEEYDAAGKDRLEPLKIRAFLFPLAPSMPRSSSPSTPLPASRPSPNAHQLHRQNSSSGAGRVSPTCAPRWWVAPKASRPARHQQQPQARSHGYLVHNGSHWQ >Et_9A_061575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1450190:1451492:-1 gene:Et_9A_061575 transcript:Et_9A_061575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVSSALLELLVARQPDPGEPGFWREFLVGMLKPLAATAVVAMAVALSFTQRLGLEGEMLYAIARAFLQLSVIGFVLQFIFTQKSALWILLAYLFMVTVAGYTAGQRAKQVPRGKYIACVSILVGTAITMFLLVVLNVFPFTPRYIIPVAGMMVGNAMTVTGVTMKKLREDVKIQRNLVETALALGATPRQATLQQVKRSLVIALSPVIDNAKTVGLIALPGAMTGLIMGGASPLEAIQLQIVVMNMLMGASTVSSILSTYLCWPAFFTKAFQLNDKVFAD >Et_9B_065553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6747083:6751961:-1 gene:Et_9B_065553 transcript:Et_9B_065553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGGGGGGDGFEAWRGALSPAARYAESGGASLTWENLTAVLPGSGGRGTKKLVQGLYGYAVPGRVVAIMGPSGSGKSTLLDSLSGRLARNVVLTGKVLLNGKKRRLDYGLVAYVTQENVHLSTLTVRETVTYSALLRLPSSMRKSEVRRIVDDTLDEMGLRECADRNIGNWHLRGISGGEKKRLSIALEILTHPRLLFLDEPTSGLDSAAAFSVVQTLRQLAVDGGRTIISSVHQPSSEVFALFDDLCLLSSGECVYFGDAKLATQFFAETGFPCPSRRNPSDHFLRCVNSDFDDVAATMKGSMKLRAEADLDPLLKYSTTEIRERLVDKYRISEYAMMVRNTIHEITKIEGVMEERIRGSQASWFKQLRTLTNRSFTNMSRDFGYYWLRIIIYIIMAVCLGTIYYDVGTSYTAIQARASCGGFVSGFMTFMSIGGFPSFIEEMKVFTLERQNGHYGVAAYVISNFLSSMPFLLAVSWASASITYWMVKFRPGFSYFAFFALNLYGGVSVIESLMMIISALVPNFLMGLILGAGVIGIMMLTSGFFRLLPELPKIFWRYPVSYIVYGSWGLKGAYKNDLIGLEFEPMMPGQPKLKGEYIITDMMGLSLNHSKWLDLAMIFVLLFSYRLTFFLVLKVKEYASPYIRVAYTRFTVKRLERRASFRKTLAMTSLSKRHNPPHPMAIQEGLSSPMPY >Et_7A_053038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5371262:5372586:1 gene:Et_7A_053038 transcript:Et_7A_053038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRAPCCAKVGLNKGSWTPEEDTRLIAYIQKYGHANWRALPKQADANGFELRIHTGLLRCGKSCRLRWINYLRPDLKRGNFTAEEEATIIKLHAMLGNKWSKIAACLPGRTDNEIKNVWNTHLKKRVAPRGDENDHGAGARKKKQKSAAAAEEEAAVIPSPSPASSTTTMTTNCSSGEYSSGEQQSNATDELDLRSLEIPTMLDDACFDFGDMLVDPSVPELYCPSVSVPTSPCVSSTSPPAPARGGVDDLLELPEIEIDQELWSIIDGDGGTFAEALPPATRPGNATEASAATTSQAEEEGKEWWLENLERELGLWGPMEDYQNPMGPLGPIAHPDPLPAMVQDPVSCYFQPGPEHAAVLTSNRI >Et_8A_057490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3552335:3554648:-1 gene:Et_8A_057490 transcript:Et_8A_057490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAADDDYMGDLSHFLPPSPSSSPSRGLGRRKQPAGQAQQPAAAPRPKRAKGVPWRERRRQERERKQSEEDARTMAGLAKAIPESNVGFRMLKQMGYDPGTRGAAEPVGIEIRRSRAGLGAEPPVAPAPASVPVERSPEEVERERRRQEEMMVELRARKSTQWRGRRVVWDYRKAEAALAQLENREVEPPAPEGEDKEKGAEEEEEEVITEEDLQNILAKLRDHHHYCLYCGCKPKKWTESSKERYICPWAYGMKYSLLIIMKAGAMLQLQKTLISRHSPSGCWKGPNNIAMQFAAEREDL >Et_6A_046451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15274458:15278091:1 gene:Et_6A_046451 transcript:Et_6A_046451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSLAWSVDTRSEWQRALTTLEQILKLRREPVHGWLWQHHRHGHGVLLATVLHGNDVAQRGYGVRGATVHRFTPPKVQAVDPDMPDIRHDEGVDVPQVHVRRPASLLEQLEKVNHGPNVLRGDRGLRLLHQRHRVGQRAGQQRPGGGHRHRTQESVAVVAGGDHQPGKFPDVHDDREPDRLVRWDLQRGRASAPECRRERLEGRGGVLEARWKPFLDRGNIRRTEGEGPHDHVAAGRSSRDHTGVTVGVVSPRACSATADMNWARPVASQMVWLKRIARTKPPQSSRQQGAVEYATRAFNVKQQLVHARERRPQPASRLQLRQPHVGVGLHKQHPLAGAVHHQLPAASLPHPPGDRVVRHQSLADGAPDDAGRVAIIVVPAAAAVEEDEPHMAAQDLLVVDVGEALGLAWRWCFRANQQRRLPVHCEAEWKASNGNEARWREREARMETKLDGGRGRLDRSGGKMRRQGQRTGVAADAPRHMGRERRMDCKSSRPIRR >Et_9B_066140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4696498:4697495:1 gene:Et_9B_066140 transcript:Et_9B_066140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKERDAEERRMRARELRQKATKAVNGGSSDKNAYSIEQQPYFSEFQTMEAHQVSIVKRDQIENAVLTLMGKEIDAEERRMRARELTQKAGCEWRAILQKCKGSDLAYGIQQQSYCSEFQ >Et_7B_054194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1710047:1713162:1 gene:Et_7B_054194 transcript:Et_7B_054194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSAMNRNGKKKRKKRGDEEAATDGASPSSASFDRRFFPVLLAAAERQSNSSYSATLAARLLRRVLSCSPQPLSPLPDSLVALLPLLLSSNCTSVAALSCEVLGAAALKSMEDGETLASDSGIANGLARALGSRSQRVIDAACNAVMDLSASSVGRERLAGSPVLLRILYLFPRMESIYGFITSKSTGCPERAAKGEKVMYLIIDIVVLMVNSCNVDNLRSLHQELVINVLSLLFKIWKLSRLSSDCNSWKDRLQSRGYEISEAIFRLSMDVASPASLRPDIVRESIFGQTGSGIENFVLDHWEKSPNLYKRKQAIQNDNPVFAALHNAFNLRTRPETMIETFIKRLVSCPAIPSDELNIISFLQEVHGLLGASARHRQDVRVVRTRKPTDKTLGGCGVEQHFFDDEVVFLDEDAFVEQCKSAFKNGYSIALRGMEFRSEKVAAISSALADLFGQPSVGANIYLSPARSQGLVRHYDDHCVLVWQLLGCKKWILWPNPKRILPRLYEPFDSLDGVLDDNSRRVEVLLEGDMMYIPRGYVHEAHTDVGESQANAYADYSLHLTLAIEVEPPFEWEGFTHIALHCWTEKQKTGSSVFVKPRTKEETSLFALLLHVAIRLLSNTDPTLRKACMVAAKLPLSDSGTASHLEAVRSSQRSIFDEIIGKIDKNCSFKEALNCIELSVEGRDDEAFQWMSWLRHLQQDGDVNGRINFCNILGALEELLDTFKSNPEQFLSDFMGFKSNFCRGVVYEDACESFELLLQMYRTTRNQYTRGMLGLHGVHVS >Et_3A_026991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2926516:2930431:1 gene:Et_3A_026991 transcript:Et_3A_026991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKLETRAAAATRAAAAKAAAEPEAPSSQTEALAYSTVPAEAMKEEKLALQSGDEVEEEIEVEEEVEVEEEVEVEEEEEEEDEDEGESDPASIQALLDSFAKEQLVELLRDAAVAHVDVLRTIHRAADSDPAQRKIFIHGLGWDATADTLTEAFSPYGEIEDLRVVTDRNTGKCKGYGFILFRRRSGARAALREPQKKIGNRTTACQLASVGPVPAGGVVANNPIAAPAALQLPPVSEYTQRKIFVSNVGADIDPQKLFQFFRKYGEIEEGPLGLDKVTGKPKGFALFVYKTIESAKKALEEPHKHFEGVVLHCQKAIDGPKANKTAGLGGFYGAGASIGNKGAVGYGATSHSLPGTVGLGHAISPIAPSLASLPGAIAATPGVDTALGQALTALLATQGAGLGLNSILGVGGNASGVPQPGASGTLGSSGVPGMPGGYLGGYGGVGGYGGAPSGGPGRNYMGHGKLLKRPNGMRPSVTSRSWISGDGVASASKKSVFRRLCKVVWMGAGS >Et_4A_034287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31386061:31392283:-1 gene:Et_4A_034287 transcript:Et_4A_034287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLAYPDRFYAAAAYAGFGADGSTSSAAISRFQNDVALLLYGLYQQATVGPCNVPKPRAWNPVEQSKWTSWHGLGSMPSAEAMRLFVKILEEEDPGWYSRVPDFNPEPAVDIPMHKPKEEPQIVPASTNVTSIPEPKTISENGTSVETEDKDVILEGLSAVSSHDEWTPLSVSGHRPKPRYEHGATVLQDKMYIFGGNHNGRYLSDLQVLDLKSLTWSKIDAKLQGESADSAKTAQIAPCAGHSLISWGNKFFSIAGHTKEPSEGITVKEFDPHTCTWSIVKTYGKPPVSRGGQTVTLVGTTLVVFGGEDGKRCLLNDLHILDLETMTWDDVDAIGTPPSPRSDHVAACHADRYLLIFGGGSHATCFNDLHVLDLQTMEWSRPKQQGLTPSPRAGHAGATVGENWYIVGGGNNKSVSETLVLNMSTLTWSVVSTVEGRVPLASEGMTLVHSNYNGNDYLITFGGYNGRYSNEVYTLSLKSDSQSTAKEETVAENTSRFLEPEVEISQDGKIREIAMDSADVELIQQNRNDEASEKLLTALKAEKEELEATLNTEQLQTELQAVRGQLAAEQSRCFKLEVDVAELRQKLQNMDTLEKEVELLRRQRAASEQAALEAKQRQSSGGMWGWLVGTPPDKSES >Et_4A_034873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7430134:7433877:1 gene:Et_4A_034873 transcript:Et_4A_034873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPPASQDASPSPSGSGSGSSRRRFRPRLERRNASKNIDYNAPDFCSYPPSPTPTSAPTSLAGSAACSLDLVTSFRIGGSGDGGGDVALLCQSLGLSGPDEFAIPLADWEAHKAVRLSSASSSPSSARATPARDSSPAQNSPLRREEPAQPADADPEPPAKARDAPIEAPERPARVDPPEPPAQRLDVKRVAGEGGIKGVRPPPVLKPPPSMAMPAVCRAGSTWDILASFAPDEEEHALTSRSGGGFGRQEEDEDDEDAAVSLTLEELNLGETSEDFTGTSSLSTTNDDETCSTTTESMFYISPNGRFRRKIRSWNRGVLLGSGSFGTVYEGISDEGVFFAVKEVSLYDQGSNAKQCIFQLEQEIALLSQFEHENIVQYYGTDKEDSKLYIFLELVTQGSLASLYQKYRLRDTHVSAYTRQILHGLIYLHERNIVHRDIKCANILVHANGSVKLADFGLAKEITKFNAVKSCKGTVYWMAPEVVNPRMTYGPAADIWSLGCTVLEMLTCQLPYPDLEWAQALYRIGKGEPPTIPSILSRDARDFIRQCVKPNPDDRPSASKLLEHPFVNRSMRSIRSMRTSCRSNSSTRGVN >Et_3A_026698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1563238:1564641:-1 gene:Et_3A_026698 transcript:Et_3A_026698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGGEHEAPPTVPHLDDGIVAEILHRLPTKDAYRCPAVCPRSRAIVSEPAFLCRHLSPRPLPLVDDGPDAFILQPQRKVGYTHLTLVPTSPGDRSFGLNMPLDHKYTEQYAREILLKRMGKVLPTSLVHTEEPASKAALPPPVEVEDHVAFFERTVPKLDVSIVASHGRLLLRYFVCDPAANRWVVLPPSSFPPTHETASGLHYNINASATGCLSFTVVLLVRIARRRVLVDTFSSTTGRWDTKVMTTQGVARCLGAASPGIHVGTSFYWLSRRRGHVVRYDAARCYASVLRKPAMAEGSQERVGRALGSTAGGRRLRLCAFDIRDDKSGSMMPHDDIEGVHGVWAMDAGAGAVAGTSWRRVHEAVVGGISAYYFGRLCGHEVPVDFAGACSDSIVVESEYLLRRYDLGSGRQVTLASLLTMGSDLRELYGSYRAFPLLQAPLTALASHRFPSHHQAYFS >Et_2B_021715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4796373:4809095:1 gene:Et_2B_021715 transcript:Et_2B_021715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRGRWSWDVPGFEPPATAASAAPPPTAMPRAPPTAMVLRPAAGAPRAAASGAVPVADRLEQLADSVQLARDDCLELRQEASDLLEYSNAKLGRVTRYLGFLADRTRKLDQAALETEARITPLIHEKRRLFNDLLTLKGNVKVFCRSRPPFEDEGPSVVEFPDDCTIRVNTRDESLTNPKKDYEFDRVYGPHIGQGELFHDVQPFVQSALDGYNICIFAYGQSRSGKTHTLEGSSHDRGLYLRSFEELFDLSNSDTTSTAHFNFYLTACELYNDQVRDLLSESRSPVPKVRMGVQESFVELVQEKVENPLEFSGALKTALQNRSVNLVKAMVSHLIITIHIHYRNYVTGEHLYSKLSLVDLPASECLLEEDANRDNVTDFLHVSKSISALGDALSSLSAKKEPVLSGNSRITQILADSLGSSSKILLIVHVSPSASNLSRTLSTLSFSARARNAELSLGNRDTIKKWKDVANDSRRELQDKEKEVVDLRQEVLGLKHSLKEANEQCTLLFNEVQKAWRVSSTLQADLKSENLMLTEKHKIEKEQNNQLRDQITHLLKVEQEQKLKINERDLTIKSLQARLKSIESQLNEALNSSDARSTIGSESASVISSPKVMESTADSLSVTKRLEEELAKRDALIEKLHEENEKLFDRLTEKSGLGSLPQASSPSSNKPTNAQGREIGRSDSNKSQSSDVFPSPVPQDKTGNSGTIVKSSNEITKTTPAGEYLTSALMDFDPDQFEGFAAIADGANKLLMLVLAAVIKAGAAREHEILAEIRDAVFSFIRKMEPRKVMDTMLVSRVRILYIRSLLTTSPELQSIKVSPVERFLEKSNSSRSRSSSRGSSPGRSPVYPHDHGSRTALVDEHVHGFKVNIKQEKKSKFSSIVLKLRGIEEETWRQHVTGGKLREITEEAKAFSIGNKALAALFVHTPAGELQRQIRAWLAENFEFLSVTGGDVAGGAAGQLELLSTAIMDGWMAGLGTAQPPTTDALGQLLSEYKKRVYTSQLQHLKDIAGTLATEEADDPAHVSKLRSALESVDHKRRKIMQQMRTDTALLTKEEGGSPIRNPPTAAEDARLASLISLDNILKKVKEVLRQNSTGPLRKSKKKALLASLDDLLAQMPSLLDIDHPCAQKQIMEARNAVESQEEDPDDNPNSLGESEVSQWNVLQFNTGTTAPFIIKCGANSSCELVIKSDLRVQEPKGGEVIRVVPGPSVLADMSFEEIKGVFEQLPEAVSLLALARTADGTRARYSRLYRTLASKVPALKEIVAEMERGGVFKDVRS >Et_6A_047791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16269217:16272618:-1 gene:Et_6A_047791 transcript:Et_6A_047791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAADAGDGGARRRTASSKRTAGLEDMSSSIEPRLLRACSIVDVEDGRAGGGRRRASVEDCTAALRAVDVRACVRAHISFLTIKPTWLAWLRLALFPVSETEEAEQNRVLALAHSAAPRQGKQKHTLDGVWTAASVLPAYAEMRIKEEDVLNIKGHNLDKFIAHNPKHRGHYRVLQMRLRQIHRNVLALASSQSCFVLGDNLPSGCFPYHEQLDFIRLLGAVVKL >Et_7B_055399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9966940:9971318:-1 gene:Et_7B_055399 transcript:Et_7B_055399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPLDFTGDFVLPDPIEYTPDMIPPGMVFEANGRCLLEHYLIPKSLHGRLPYDDSHIQGAIAEGVDVYAVRPEALPFPNRQRRVSEFDPVTWGYFFAKRPAAASAGGGGGGGDGSEGDVRDVAAGGCWRWSGGEKAYAGEDGEVYAFRNKFAFHEPAAEGDGELTPWRMKEFRLDEAAPAFRDVTFHPSAKDLVILKVYHEPDIPEEEPAVEYYTDDEYYIVEVEEDTAGDARAIHPSMARPGDPPRRMAESPTGAVFQPKPRNLVDHYLVPRALHGRVSGDAIQGAVAEGVDVYATRPEALPFPPSHRGKYGGDGSVWGYFFTARPAAAAAGGPRGNARDVAGGGCWVWCGGRDKEYAGDDGDVYAFRARFAYYEDGGKLTPWRMMEYRLNEGAARFRGVAFHPSANNLVVWQVYYKVEIAGEKPPMGYYYNSNDGDENEVKEPTTAIHPTIGSSMAQPPQSATTHAPAPGKRRLCLAELPPGYVFQPNPRELVDCYLIPQALRGRVLDNVIQDGVVDGVNVHAARPEALPFPSCNRKVCHRDGDHTVWGYFFTTRPGDAAAGGSGKYVREVAAGGRWCWCCGPDKGYAGDDGEVYAFRTRFAYYEDGGKLTPWRAKEYRLNEGAACFRGVAFHPGANNLVVWKVYCIVVIPKEEPPIEYYDSSDDGEEEPRRKRIKTG >Et_7A_051992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3482047:3484201:-1 gene:Et_7A_051992 transcript:Et_7A_051992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPDELLADILGRLPPRSLAASRCVRKDWCAIIDARRLLRADLLPLRLDGFFCLIDPYRNPEAIGVEHYHTVFFARPSTARRINGDLDSLWDDDHDPHNPWVSDHCNGLVLLFPRVIFNPATGQSVRLPPLPRLVEFYDHELIAYDPITSPQHYEVLLFPYIPNTQEDNKDSEWPPPSLTTQVFSSRKWRWEGRSFVREGEAAGTIADMNTIHAGEINRTVYLRGVLYVPCPNNSVMRITLRNNRYQVVKVPNQVLFQKGYGYLGKSQKGVYCALLYGRSQFRVWLLDECGEMEWVLKCDINLRMVGNSPINDHAAGYNTRWIVNYEKDVSEAETEDESEWDFESGVVFHERKDEIIRSYEETSFLGFHPYKEIAFFLVPFSRVISYHLNSSKIQELGVLEKRVVESFPYTPCCIAEFFSER >Et_9B_065235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2676273:2681855:-1 gene:Et_9B_065235 transcript:Et_9B_065235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQERKTIDLEEGWAFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPNDYSQQLYDKYRESFEEYITSMVLPSLREKHDEFMLRELVQRWSNHKVMVRWLSRFFHYLDRYFISRRSLTPLKEVGLTCFRDLIYQEIKGQVKDAVIALIDKEREGEQIDRALLKNVLDIFVEIGLGQMECYENDFEDFLLKDTTEYYSVKAQSWILEDSCPDYMIKAEECLKREKERVGHYLHISSEQKLLEKVQNELLAQYATPLLEKEHSGCFALLRDDKVEDLSRMYRLFSKITRGLEPISNMFKTHVTNEGTALVKQAEDSAGNKKPEKKDMVGMQEQVFVWKIIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGCSEKLSDEAIEDALEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFVAGHPELNPGIDLAVTVLTTGFWPSYKTFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFDTKPIELIVTTYQAALLLLFNGSDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILNKEPANRSISPNDVFEFNSKFTDRMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVMGHQQLVAECVEQLSRMFKPDFKAIKKRIEDLITRDYLERDKDNANMYKYLA >Et_6A_047692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9853325:9854673:1 gene:Et_6A_047692 transcript:Et_6A_047692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAQSIVPTDAELLQAQADLWRHSLYYLKSMALKCAVELGIPTAIHRLGGTASLTDLISALSLPAGKQQFLGRLMRMLASSGVFAEADSTEPIYSLTPLSYLLVDGITADGPINHAPFLLTVTAKHYIDLAMGLADWFKKEEKTPPFDHVHGASLFEESMERIDPEFHKMSIKGLLIHDNFGVDIALREFRDIFQGITSLTDCCYHGDGTTAKAIAMAFPNMKVTHMDLPQEIRKIPADGIVNYVKGDMFKSIPPAQVVMLKMVLHHWSDEDCVKILANCKKAIPSRENGGKVLVGDIVLDPNSGPVMYETQLLMDVCMMLMKGGRQRDLNDWRELFMKAGFSDFKLISKFGARGVLEAYP >Et_3B_028412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1534109:1537083:1 gene:Et_3B_028412 transcript:Et_3B_028412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVTQAVENLKKEWNQAVTQLKDNIAAIESCGKTGKGTAEANSLPRLNGSAQDALQLLKSLQFRLDLLAQQLPTFEEVQSGQATLESWDEQYKKLRADLRNANLQAKENIKKAAQEERELLLGGGEESTIRRRNLQTKAGMQSAAEIITESLRRSRQMMVQEVERSANTLATFDESTSVLRKAEGEYQGHRSLLMRTRGLLSTMQRQDVLDRIILTIGFILFTLAVLYVVSRRIGLLTLQRKLADAIRSGSLSAEDIVAKAQQGPAPANVPPAPTPTVYDEL >Et_4A_035663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3398955:3402192:1 gene:Et_4A_035663 transcript:Et_4A_035663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDSDSDTSTESNFFHQVNGSHSESLYVMDIAPRCRFSFEVLFFSSKGWLWRPLPPPPFIRNPGYKPRWDSSVVYKANTIFISPSTDQEKRMGTYCFNTVTQEWIKAGDWVLPFLGRAEFIPELGHWFGLSHCSPYHFCASSSLDPPKVNYVWSDLDVPDNWSLFDHQLVNMGSGRFCTVKFFDARDPGAEDAIINIFAVFTGVEVVCCVDKTGVFRLEMLKHKSKYLSNLDIKIKIGSNSLFKIISALSSALRCPSLASPLRLPSHRHALRPPPGRAPFPPQPLPAGARPSRASLPARPVPAASAHSSRSRKSHVTPTSSSGGSATTRDSRRRPFLSHAAVGLSGGGSRKWSDGDDAASGSHRAT >Et_7B_054176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16539634:16548809:-1 gene:Et_7B_054176 transcript:Et_7B_054176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLTVPRMKLGSQGLEVSAQGLGCMGMSSFYGPPKPEPDMIELIHHAVAAGVTFLDTSDIYGPHTNEILLGKALQGGVREKVQLATKFGLSFADGKREIRGDPAYVRAACEGSLKRLGVDCIDLYYQHRIDKRVSIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWTRDVEEEIIPTCRELGIGIVAYSPLGRGFFSSGPKLIDSLSEQDFRKHIPRFQQENLEKNAQIFERVNAMATRKGCTPSQLALAWVHHQGNDVCPIPGTTKIGNFNQNVGALSVKLTPDEMAELESYAAAGAVQGDRYPSMANTWRDSETPPLSSWKSEEKVELATKFGVIFGDGNKREIRGDPAYVRAACEGSLKRLGVDCIDLYYQHRIDKKVPIEVTMGELKKLVQEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFLSSGPKLIDLLSEQDFRRYMPRLQPENLDKNLKIFERVNAMATRKGCTPSQLALAWVHHQGTDVCPIPGTTKVENFNQNVGALSVKLTPDEMAELESYAAAAEVQGDRYPSMANTWRDSETPPFTQLQAGHIRHLATATAAIVMLPRLKLGSQGLEVSAQGLGCMGMSAFYGPPKPDPEMIALIHHAVASGVTFLGNEVLLGKAMQDGVREKVQLATKFGVILGDKPVIRGDPAYVRAACEASLKRLGVDCIDLYSQHRIDTTVPIEVTIGELKKLVQEGKIKYIGLSEASASTIRRAHAVHPITAVQLDWSLWTRDAEEDVIPTCRELGIGIVAYCPMGGGFLSGGPKLIESLSGQDWFEKKFIRAGLPQGEFSCLACNLLSFQPENLDKNLKIFEHVNAMARRKGCTPSQLALAWVHHQGNDVCPIRGTTKVDNFNMNVGALSVRLTAEEMAELESYAAAVQGDRYPSMANTWKDSETPPFSSWKSE >Et_3A_025180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27623469:27634070:-1 gene:Et_3A_025180 transcript:Et_3A_025180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNTRTNRALLSLRVLLFCALAAAAATILFPRATSPCDYSMSRAVLALTGVDPYIFSCTGDASQAALSAGKNASSGGPIATDLLLCGNPDLPPHALPQLRCCPPMSASEPVNFTFPDPADQPFRTRRPVHGVGAEYMAKYARAVALMKALPESDPRSFYQQSHIHCAYCNGAYRQVGRPELPVQIHYSWLFFPFHRAYLYFFERVAAKLLGDPGFALPFWSWDVPEGMRIPAEFADVASPLYDPIRNPRHAPPAVADLDFMEVEKNYTDEQQIQHNLWVMYKQMISNAPLPSLFHGQPYRAGDTEMPGAGTVEVYPHNTMHVWTGDISRPNYEDMGAYYSAGRDPIFYPHHANIDRLWEAWRRIGVARGNRRQVDFTDPDWLDSSFLFYDEEPKLVRVRVRDVLDTDRLRYAYDGVGVPWLNARPPMTPGVNRGSGGKLRSVNFPVTLDDVAVSVEVRRPAASRSRREKETTEEVLVVEGVQTDGAEFVKFDVYVNAVEYKKVGPGGREMAGSFVSLKHPGKEGVTARTSFRLALNELLGDLGADGDRSITVTLVPVKGKARVGGLTIVYAAEPAAFTLSSPRTSSNRKSRRAAVQRVPCSSSRGERSEPDVPKHDRRDVLLGLGTLGASVATAGIILSPRRAFADPVATPDISSCGPPDLPPGANVLTCCPPLISNNAPPVDFAASSSSSPLRTRPAAHSAGADYVAKFNAAIAAMKALPADDPRSFAAQASVHCAYCDGSYSPEGFPGVDLQVHNSWLFFPFHRCYLYFFERILGSLIGDPSFAIPFWNWDAPDGMRVPEMYADQSSPLFDQRRDGRHAPPKLIDLDYNGREPRFSDSQQVDHNLRVMYRQVRTPRIVTMISLSPTPSLFFGSPYRAGDDPNQGPGAVENIPHGPVHIWCGDPNQPDGEDMGNFYSAGNDPLFYAHHANVDRMWSVWKGLDARRHTDIADPDWLDASFLFYDEEPKLVRIRVRDVLDTDRLRYRYQDVPVPWTNARPTVTTTRRRADSLLTPAAQAAGAKKAGKFPITLDAATSVTVKRPVASRRSKAEKSDKEEVLVIDGIEVDRDVAAKFDVFVNAEDHSAVGSGGRELAGSFVNVPHRHKHDKHGRGIKTKLRLALNEQLEDLQAEGDDSVVVTLVPRQGKGKVKVGNVKIELMH >Et_5A_042638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23468095:23470152:-1 gene:Et_5A_042638 transcript:Et_5A_042638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPTTKMTDLIRKRTEKLDEARSALPPPQSPPHHQPPLTTRCGKMNIDRFLEKKTRLFNGRCVLSEPVVAGGDSWRIVFYPNGKFPGGSGDAVSLYLHLDDAGAVAEVINVEFRFKLYEFGGGAPLFTSAKFVGNFGGRLVEELGFERFVTMDDLDKAGILDHDRFTIGCEFSISSSPSPEPSSVEMPVPPPSASPDPSVEMPAPPELARAPPPPTKEGADVSFEVRGKVFAAHKLVLAARSSVFKEDFFGSTKKETTTSYVCDMNPKAFQALLHYIYTDTLPKMEKQAVLALDNLLVAARRYDLNGLKSIAEDKLCNYVNVRTVWQMLAVAETHQCWKLKKTCLEFIPLMRDTKRIVATKDVERMAQTCPSVVKDVLVEPQPQL >Et_4A_032554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11301177:11303495:1 gene:Et_4A_032554 transcript:Et_4A_032554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQGARNAVRRFSRLAAAAEATAAAAPRMPAFNHVPLPYDGPSAAEIARKRAEFLSPSLFHFYSKPLNIVEGRMQYLYDEQGRRFLDAFAGIATVCCGHSHPEIVDAIAAQARRLQHSTVVFFTNSGTEANELAILMARLYTGSHDIISLRNSYHGNAAGTMGATAQKNWKFNVVQSGVHHAVNPDPYRGVFGADAEKYVRDVQEIIEFGTTGQVAGFISEAIQVRSDAALALVRVDAHDIRKARTNKVLGVRAQGVGGIVELSPGYLPLAYEKVRKAGGLCIADEVQAGFARVGSHFWGFETHGVVPDIVTMAKGIGNGIPLGAVVTTPEIAQVLTRRCYFNTFGGNPFCTAGGLAVLRVLEKEKLQENAFIVGSYLKDRLRGLQEKHEIIGDVRGTGFMLGVELVTDRQLKTPAKDEICHAMEHMKDMGVLVGKGGFYGNVFRITPPLCFTKEDADFFVEVMDIALSKI >Et_4B_037495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20430892:20434035:1 gene:Et_4B_037495 transcript:Et_4B_037495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPEFFPKEIEKVESNIKLLKKEKREHEEMMTRVAMEETKKHAKEIKSLERRIKWLEKKKKCLELAIEKSIDLLAAQNLVEQQQEEEEAAALKEKTEETMREQQPAEDSSLPPLPFRCRPLPKGCDNDEYLEWVREYKRVLDLNDADYNLPTLNTKHKDPETVSAVVVPDDKRKLLRVADAVVNIYVRWQYIEDTVFEAELLFISPHYMIAVLEIAFMEMPVDIPTEIVPSFGSITKSGQEVFALARDKDLSLMVRHGTILYRQESIDELRQGYLFADYEIPECGPGGPVVDQNGDVVGMSFSFEEKGMSVILPIVIARTRVTRPSIGMCLNIVELEDEVSGDTIDEFVVDQVYLNSLSVITLLMGLFQSFPKRF >Et_2A_016793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28197653:28200167:1 gene:Et_2A_016793 transcript:Et_2A_016793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPQLFGNGMPVPFYGEMFVLARDGVEFHVDKIPSAPSGHVKTKGTIYLSNIRMVFVASKPVGNFFAFDMPLLFVHGEKFNQPIFHCNNISGFVEPVVPDNQNRALYSTHTFKILFKEGGCGTFVPLFLNLVASVRRYNQFEAQSAAAANVAPRVDPLQAAQTPVDDMMRHAYVDPNDPTKIFLQQPAPESQLRRRNYHGPADNAY >Et_8A_057739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6640947:6645820:1 gene:Et_8A_057739 transcript:Et_8A_057739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSASEAGSEKQGTTSGGSAAPMSANGCGFFGSAATKNLFQDMISKAVDQATEQMAGTTIKHDNNTVAETSAAAGEATKAVTKEKDESSATILCADGCGFFGSAATKNMCSMCYVDNLKTVDAAPALLAKIKADEAALAPDQPVPAAEEATASVEAPSVKAAPNRCAECRKKVGLLGFACRCSGTFCSAEKHACGFDFKTADREQIAKNNPLVVAPKINKI >Et_3A_023061.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:22694042:22696476:1 gene:Et_3A_023061 transcript:Et_3A_023061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLCLIILPLLTILPCSYASSSSTLSTGSTLSVEDYKQNLLTSPSADFSCGFHEVGTNAFSFSIWFTNAVDKTVVWSANPKAPVNGRGSKVSLKHDGNLVLTDVNGTVTWDSKTSSGRGTTVALLDTGNLVIKDSNGAILWESFSSPTDTLLPFQPLTKSTRLVSNYYSLYFDNDNVLRLMYDGPKISSIYWPSGDYTVWENGRTKYNSSRTAVLDAKGLFLSSDGLNVKSSDWGTVIKRRLTIDYDGNLRMYSLNTSSGNWIVTWEAIAKMCDVHGLCGQNGICQFLPSFHCSCPPGYEMTDPQNWNKGCQPKFSRSCNNTEEFEFIKLPQTDFYGFDVSYNQSVTVEECKKICLDTCSCSAFTYKEGSASCYTKAVLFNGYSSPNFPGDNYIKVSKKMGKSTSLVSRKSGLTCNPDIPEIIQGSASMYGMNNLDKNWTTYYVFAAIMGALVLVFTGTSWWFLSSKQNIPKSMEAGYKMVTSQFRVFTYRELREATGKFKEEIGRGGSGIVYRGVLEDKRVVAVKKLTNFSHSEEELWAEMSLIGRINHMNLVRMWGFCSEGQHKLLVYEYVENESLERYLFGNVSSERLIAWSQRFKIALGTARGLAYLHHECLEWVIHCDVKPENILLTRDFEAKIADFGLAKLSKRDSSSFNLTHMRGTLGYMAPEWALNLPINAKVDVYSYGVVLLEIVTGTKMSSGITVDGKEIDLRQFVQGLKQFLESGDVNDIVDNRLHGHFNPEQ >Et_9A_062645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2715389:2725923:-1 gene:Et_9A_062645 transcript:Et_9A_062645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTEAIADAAAAATIVEVDAAEAVAVAVRGAGWLIRFERVTQSLIVANGQKKGGTMRPAQPVACAVARRCRAQSGSHNRMLRRLWTLRTCSRVRKPMVTMHRPAALGQAGKSNQLTAYLGASLNQTPRRIRPQYKHSIQHQQTDSSASASTLAAIDSTNRVAARNGGASQDRAVGRDDGDQRDITVAPHRLDSIVIRFGAAVDAISFTYAGIDGTSHTTGSWGGSGGEEHTVKFADTEVVTEVSGTYGSYADYPCIIRSLTFVTNVRKHGPFGESDKGTPFSVPVQNGGRVVGFFGRSGSLLDAFGVYQAMWGLLMLLVLLGGLLPAASPADEQFVFNGFKGTNLSLDGMATVTPDGLLMLTNGTIQLKGHAFYPAPLQFHHRGAPGSMAMESFSTAFVIGIIGAFEDLSSHGMAFVVAKSRNFSTALPGQFLGLVNSADNGNATNHLFAVEFDTIINSEFNDMNDNHVGIDVDGLNSVDAADAGYYDDDDAGAFRNLSLVSRRAMQVWVDFDGQTMQVNVTMAPLDVAARPRRPLLSTTVNLSSVIDDDTAAAYVGFSSATGVLFCRHYVLGWSFKMNGAAPALNVSSLPTLPVTFPKPRSSKTLEIVLPVASAALVFAVAAAVFVFLRRRRRYAELKEDWEAAFGPYRFSYKDLFHATDGFSDKRLLGIGGFGRVYRGVLHLQASSSKKMDVAVKKVTHGSRQGMREFVAEIVSIGRLRHRNLVQLLGYCRRSKGELLLVYEYMPNGSLDKYLFHNTKPVVLDWAQRLRIVKGVASGLLYLHEDWEQVVVHRDVKASNVLLDGDMNARLGDFGLARLYDHGVDPHTTHVVGTMGYMAPELGHTGKASTASDVFAFGAFVLEVACGRRPLDATDDLVLVDWVLDRWRAGALTDTVDRSLLVGDVDEQREATILLVLKLGLLCSHPLPAARPSMRQVVRCLDGDVPLPELSTTSEGLDMLALMQDQGFGPYIMSFPMTPVSAGTMSDLSGGRAPANRLSQSSPQTVLQQGMEGLVKIGPWGGRDGRPQDITVAPHRLDSIVIRCQGAVDAISFTYTTIDGTSHSTGSWGGSGGKKHKVEFGENEVVTEVSGTYGPYGGHAGIIKSLTFVTNVRRHGPFGEPAEGTPFRIPVQNGGRVVGFFGRSGWLLDAFGVYVHP >Et_6A_047130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25691785:25692575:-1 gene:Et_6A_047130 transcript:Et_6A_047130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSARRPGNTVDPKDYPPTPMAAERMGIVFYSLEKIVEMVAAITEAVQTVRQNKKECEDIAACVASVSTVLTRLHQSTPMAADPAMGGALGELAASLGRALELVRKCQRSSKVRRYVGAGDMAKELRRVQDDIDRKVTLASFAANVQTNVTLNNMQYASPPPSPMWTAPMPYNVAPWSMPSPPVLAPQPTPWPPQANHWSASHPVAPCQKPPSMAELCCPRNWLG >Et_2B_021378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29134774:29135833:-1 gene:Et_2B_021378 transcript:Et_2B_021378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVSLVLGVLLACSALAAANFNQEFDITWGDGRGKILNNGQLLTLTLDHTSGSGFQSKHEYLFGKIDMQLKLVPGNSAGTVTAYYLSSQGATHDEIDFEFLGNVSGEPYTLHTNVFTQGQGQREQQFRLWFDPTKDFHTYSILWNPKHIIFMVDDLPIRDFRNLEGKGIAFPKNQPMRLYSSLWNADDWATQGGRVKTDWSHAPFAASYRGFRADACVAVAGGRTRCGATVGTEGTAGASAAGDWYNQELDLTRQQRMKWVQSKYMIYNYCTDPKRFPQGLPAECSM >Et_9A_062098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19785727:19786163:1 gene:Et_9A_062098 transcript:Et_9A_062098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRKSLPHTQSVTQESIPASKSDGWSFVIRDLDGDVVCAGRGKMDHALRPLHAEMMAGVQGVRRAIILSIGHIQIETDSMMVTQAAVNQEHADPILDSLPVCIEQLVAWESAANQV >Et_3A_026187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5263425:5274547:1 gene:Et_3A_026187 transcript:Et_3A_026187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCSCRMPTGAPPAASRSPFICGNRRLSRSLLERREAAQRQHLLDDAGKRSQRRVKDHHRPTGSGHTGVSNLLRPVVSLNLPGKHPRVYNKNFIYCKNGHAVCKTCCDRAQGKCPFCREPIGDIRCLPLEKLIAGMVPRLSVSARSPAARLHHKTACKNGHSVCERCHGRVHGKCPFCREPIGDIRCLPLEKCIARMVVPCAFRARGCTQGLTYAGKWIHEAFSCEHAPDHILEAHAADGDDATVSFVGEVTLTLDTSMLPRVLLHAPDSRVFLLLNDGNLSQGRCLSLFCLGPCPNMEQKLMYTMTARAGGEQGAGEMMSASGPVLCARRWPGPGHHPGWSMGLPDDFWSSSGSVSVTIELLNQAVAKILFTLHTPEVCTSSLLKPVTEKKSTAKPMENGDAPKKARIVRKPAAAATKVPPQEEGEDTKTIQAYFEQDTLECPICLCPFEAAIFQASSSSAQCTNGHATCEGCCSRLKGLCPCCRKRIGDIRSRPLENAIAAMSVPCAFARNGCTQRLKFAEKRAHEALHCQHAPCACPLKGCAYAGLELHDHILSAHAAAAGGGAAARARDASSFVLRVAALHWGTPFRVLLHAVDARVFPLLNGGDVPGGRSLSVVCLGPRPAGNQALEYKMEASATAALSMSPSNGVFLCCGNAHGENQTLEYKVVVSAGDALDVGIRARILGRALPDQWVPPRLGRIMGLLRQHLGHCRGAEADGRRGLKD >Et_5A_041438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22847439:22853763:1 gene:Et_5A_041438 transcript:Et_5A_041438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPMVRRSRTSRRLCRLRRRRTPPHLTDDIINEILLRFRPDDPACLFRASAVCRTWRRIVTNPAFASSYRSFHRTPPLFGVLRRRAPIFTGFVRTTTSFHPTIGRKSRDMVVLDCRHGRVLLYDSSSGELVVWDPITGGQHRIHYDFRIFQDFPWNAAVACAAGDCCDHTGCHEGPFRMAAVATIFDLGILRACHYSSDTDREPSSIDTHQLVDPTEPVTLVGDALYFPCKCGGDLLRYDMAGRCLSLIGRPSSYDGGVSVMRTDDGGLGFAGVDRDNRLYLGLRNGDAGRWAAPHRIIDLKELLPLDFGHNVPIMMGVAAGGSAIFANTDDDVFIIELKSLKARKVCKRESSSCVFPYMTFYTPGTTGFLSFLCFLFKPRRLRRPRRRRSPPQLMDDVVDEILLLLPPDDPACLFRASAVCRTWRRIVTDPAFAGRYRAFHRTPPVLGVLRDIAGFVPTTSFRPTPDRTGYMVVSDCRHGRAPITLSGIRSPATSTASRRFPKLRNPPPPPAAGGRCDHSGCAGGPFRVAVVGHGDDESAAHACLYSSATGAWSAPTSIQTYEFVDSTLPAALVDDAFYFPLELGRYILRYDTVGRCLSFIKEPPSSLYGRRNRIILTDGGSLGFASFDDEDYGLYLGLGKTGLGGDVEWAAPHRVIDLKGLLPRGVDRKVPVLMSVADGAYAVLANNEDDVFIIDLRSLTARKVCHREKSYCVFPYMSFCTPGTGLPYPCELRHEYCNPPWCYCCPATNDRCFQSAEECWRNCPRELYSQQAATSTPPST >Et_5A_041995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:527054:531722:1 gene:Et_5A_041995 transcript:Et_5A_041995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPRSRFASMFHALVAMCALCWALSIRYVEGQTQTGQLSVDASPQNARKIPDKMFGIFFEEINHSGAGGLWAELVSNRGFEAGGPNTPSNIDPWLVIGSESSIIVGTDRTSCFQRNPIALRMEVLCDSKGTNICPSGGVGVYNPGYWGMNIERRKVYKVSLYIQSSDSVSLTVSLTSSDGRQKLAAHTITGSKKAFAKWTKIEFHLKSSQNNTNSRLQLTTNKRGIVWIDQVSVMPLDTYMGHGFRKDLASMLANLKPQFLKFPGGNYAMGNYLRNAFRWSETVGPWEERPGHFNDAWGYWTDDGLGFFEFLQLAEDLGASPVWVVNDGASLNEEVSTATVASLVKDVVDGIEFARGGPTTPWGSVRAAMGHPEPFKLDYVSIGNQECWMLYYRGNYQKFYSAIKAAYPDINIISSCDRSTVSSSNPADLYDIHVYTSSSNMFSKTSMFDNTPRGAPKAIVSEYAVTGNDAGKGTLVAALAEAAFLIGLEKNSDVVEMASCAPLFVNDNDRRWNPDAIVFNSWQHYGCPNYWMLHFFKDSSGATLHPTIIQISNYDQLVVNFGNNAVDLNISVAGLANSIKNAGSKKMILTSSGPLDENSFQQPEKVAPVLSPIANAKEQMGVSVSPYSLTSFDLLLEPSKHSSM >Et_1A_007145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31265273:31268616:1 gene:Et_1A_007145 transcript:Et_1A_007145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPQHARRHGPHSAASPYTRNKRIGVDRRAAGLIDDGASSLPDDALARIFSRLPRTSDAVRCAATCSPWACVVAARAADLSRSLPPLGRFIPELGVGVFHQEKDGPTARTRNNRTAVSGAQPCFLALPAAGRRRPCIGSPFDDEKVLDHSRPVASRNGRLVLELRRDSRADNLVFWERPTDYGCALLTGDDLHPRRGAKLFFRLLLVYNNRQRSSTAFRCYSSDTGQWGEEVASPVKVSSRELHRIGLSVVRRGVAFWSLDHGALGVRLAADDGLDRAADAHLLPYDVPDRWPEQRLLGVSPDDGRLFLMHFSIRAVLNILTAKISYFDIPGDDIRAGRKESSSLEQAVMMHQMEMTWQDVTELKLRWVGEKSGVVLFTMQGRRSSSSSRHDEAFALSLRDGTVEKLMPGVGNSWRNVVGFEMDSATYLASLAHGFEN >Et_3B_028056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6349652:6350026:-1 gene:Et_3B_028056 transcript:Et_3B_028056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFRKEKYPRCTQ >Et_1A_009617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9640734:9646650:-1 gene:Et_1A_009617 transcript:Et_1A_009617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRHGGLRGRDVAREREREREIDLERSRRSKEYHHHRCHTSRDRDSDRRRDGGRSRGREPSDGHSRRRSPHPPPRSRPSGRVEDREPGELSSRSGSEESHGAPLKVREPRENGAVGVCRYGSSLSPSRKRKHSPVRDANVSKLQATYSVRSRREIDTIATELPQPSPPPLSDASTVATAGECSPMDFSHEAEQLPDHGKNETLEVEEECPMMRNIFTSRWADAEEEEEVAVPKKKSSSFANSVEQRSTKGASSLEPGEVLGDNISGGNSSISPNSMVVQGSENEDLEVDKSDCMDAAMEDNIDSPAGYLLDTDSDNNVRRSQTPENAQPSRRCINMLQSCRSIDEFERLNTINEGTYGVVFRVRDKKTDEVVALKKVKMDKEREGREGFPLTSLREINILLSLHHPSIVDVKEVVVGGCDNDDTFMVMEYMEHDLKGVMETMKQPYHQSEVKCLMIQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQPVVTLWYRAPELLLGAKEYSTAIDMWSLGCIMAELLTKEPLFNGNSEIDQLNKIFRMLGAPNDEIWPGYSKLPGAKAKFVKQTRNRLREKFPAVSFTGGLTLSEAGFDLLNRLLTYDPEKRISVDAALNHEWFCEVPLPKTKDFMPTFRALNEQDRRFKQYVKSPDPLEEQLLKEQELREVKGSVPFAFREEIDLLYGTLSLKLQYYQVTEALKDLRITENAVLLIPVVYIGLEHRVNVFSPKMVLHGLVLTLNLF >Et_8A_057312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23495421:23504046:1 gene:Et_8A_057312 transcript:Et_8A_057312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDESWTRGGPVDAPAPASPVASTSGGASTSYAAAGASPDSLRNTPSNIARLEDAIENCAARRKYLARTKSPSDGEDVRWYFCKLPLGDRVLCSSVPRTEIVGKGDYFRFSMRDSLALEASFLEREEELLAYWWREYAQCSEGPRGSLVKCDDSDSEDLYKVEEERVGVPVKGGLYEVDLIRRHCFPVYWNGENRRVLRGHWFARKGGLDWIPMREDVSEQLELAYNCQVWRRRKFQPSGLFAARVDLQGSTPDLHALFTGEDDTWEAWLVFDTGPKLGSNTIKLRRGFSSSGSAKPSQDELRQQKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTANLAERYLTPYQRSTQRVLFIPCQWRKSLKLSGEHTVEKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSNQLNQLYMKFLKRNPGYSGKVSLYGHSLGSVLSYDILCHQESLSAPFPTEHLKMEITSDGQTLKSPNTADVHDLSTEEHNTTTVGRSCADNINGIANEDNITDPSRTDDTPESCMLENMPKNDETPASPIAVDEDQKEVECKAESHQNTHAEEGAMSSVSTKDVDASNISTSAEEVPGEVLDKDKLISSLEEEVKHLKARLVELEQQNDLVAENIGCHEGKDANHIRNMSSGISMVQGSSVQSYTPQIRYTKLNFKVDTFYAVGSPLGVFLSLRNVRIGIGRGQDYWQDKNIIEEMPCCWQMFNIFHPYDPVAYRVEPLVCEDYINKRPVIVPYHRGGKRIHVGMQEFTKDVAARSQAIGRQLKSLKVKAVAALLALSKNDAEEDSQSTKEEGRSYGSVMMERLTGSPDGRIDHVLQEKTFQHPYLSALGSHTNYWRDHDTALFILKHLYRDIPEEPPADETERAPIRLFYVRDPIAEDTPLTFSDNSLVREFSRKVRTYSRKAENDANCEAP >Et_2B_021418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29503316:29504800:-1 gene:Et_2B_021418 transcript:Et_2B_021418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRWFIASCGDMRPSRPGRRRDEPPPPPQRPSTSSPLKLRKVGSEGTLAMPRDVEEFRNMSAYGHLKLFTHDELRQATGDFDPAQIIGEGGFGAVYRGVIDGAVRKGYPPTEVAVKELNPEGLQGDREWLTEVSYLGQYSHQNLVELIGYCCEDDHRLLVYEYMAKGSLENHLFRRSCNLSWTTRVKIARDVARGLAFLHGGGRPIIYRDFKTSNILLDADFNAKLSDFGLAKEGPMGGKTHVSTRVMGTYGYAAPEYMATGHLTAMSDVYGFGVVLLEMLVGRRALEPSRAGARDGSLVDWARPILIRPKKLERIVDRRMGELGAGQFTARSVERVARLAYDCLSQNPKVRPAMARVVQTLDAVLSAGAADDGDAAADAPAR >Et_3A_024976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25821419:25823930:1 gene:Et_3A_024976 transcript:Et_3A_024976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIAASAFLPSAFSTRHRRLVRPTSRRVGVAGLTIRCETSDKQKRQPLEALVPREERFMFEGDELCGPDIWNTTWYPKAADHVTTAKTWYVVDATDLILGRLASTIAVHIRGKNEPTYTPSVDMGAFVIVVNAEKVAVSGKKRSQKLYRRHSGRPGGMKIETFDQLQKRIPERIIEHAVRGMLPKGRLGRRLFTHLKVYKGAEHPHEAQKPVPLPIRDKRIKKID >Et_3B_029235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23273466:23274946:-1 gene:Et_3B_029235 transcript:Et_3B_029235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSAKIIAAEEDNKSEVAAHPLVKTYMFDKGALSNPCCTDDGKHVGISIAGSFWSEQEVEDGFYLHLPAEHDLVKDQVRAVRLPADARVSPQKDDELLHVCHQRLEAVEHLLQLPHAVGVKHAEGVEHVVATVHALPEHLKLPEHRLAGDVSPLGLLDYGVNPEQHALQPLKLVLCFFRLVFHVPLDHHGQG >Et_1A_004699.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31861653:31862861:1 gene:Et_1A_004699 transcript:Et_1A_004699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGEVVSRLARPAELPAAAIAAVIGDDDLLREILLRVGFPRTLVRAALVSRRWLRHASDRAFLRRLRDRHPPRLLGFYSNDMGGPRQRFVPISRAPELAAAARLVAVAAGRFSVFHSRNGRLEVTEHGETSMDHRLGVLLSPLNPARGTAFLPSHPPEHTRSWSFLAGDDDGAAAVGIFSIGTKLQVDLLTLRSGAWVVRRTAVLDHLETLPEITGMLPPAGGKIYMLSHPYDLVQPVLTRTYHARIIRLDRPPPTFLSSRSRTQ >Et_2B_019623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11238210:11245645:1 gene:Et_2B_019623 transcript:Et_2B_019623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATGEIQMGSSLRRDNGSMWCRGDTVFSRSSSRATEVDDEEALRWAALEKLPTRDRVRHAIFHPPDAHQGAMDVVDVRSLGHRERHALLERLVRVADEDHERFLLKLKDRLQRVGIEMPTIEVRFEQLNVEAEVRVGSSGHPTLLNAVTNTLEEVGSALHLVRSRKRPMPILHDVSGIVKPRRVTYNGHEMDEFVPERASAYISQHDLHIGEMTVRETLAFSSRCQGVGSRFDMLTELLRREKAENIKPDSDIDAFMKASAARGQESNVVTDYILKILGLETCADTLVGDELIRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVDCLRQSIYVLGGTAVISLLQPAPETFNLFDNIILLSDGLVVYQGPREDVLEFFETMGFRCPERKGVADFLQEVTSRKDQKQYWTLPEESYRFVPAMEFANASKLFHTGRDISNELSVPFDKSMSHPAALTKTRYGVSGKELLKANMDREILLKSGMLLFICSGPLR >Et_3A_023523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32463809:32464711:-1 gene:Et_3A_023523 transcript:Et_3A_023523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRPEMRRAMTLSEQLSTPDPAIRDFLKIPQDDADAGLSGEDGSPTARDADSGGGGMINWKPLRDRLRLRRAANACHAPAQSKAAAAAPEATDSSANRSNKYNYSPGEAAAAFSRTFSRAPSLRTTPTFTRVGSTRVGPNSSSRSSSRRPAVHIDLRSEEHEDDHRDDADDGEDEEEEEEEKEEAPAAQMSLMALLEQTDSWDEDEEEDGGGARKNGGAAAADDDDDGEGREEEMVHVCCVCMVRHKGAAFIPCGHTFCRLCSRELWVSRGNCPLCNGFIQEILDIF >Et_1A_008468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:856355:861240:1 gene:Et_1A_008468 transcript:Et_1A_008468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGGGVLLLLLLLRAASVLHATLLPSPAPSPSHPSEVKTFPPQIAPAFHPRAMSPQSPGIVPRHHSHKHLHHRGSKRCSSYICTEPMTTTPIGSPCGCVLPISVIIDLDVAPYLLFMRIAELEVEVAAGTFLKQSQVKIMAAVPSIQDDQKTRVTIYLVPLREHFDTYTASLISDRFRDKKVQINSTIFGDYKVINISYPGLRSPSPSFPWGSDPSGTGEDPITAEVPNQKKKHKKLNIWIIVVVAGSALALMLACAGIMFLIVKWTKYRRLHESMSLSSTPAVNRRYGTRSALSTSMVSSASASMLSTVATCTTSVKTFSLAQLQKATDGFSSERVLGQGGFGRVYHGTMEDGNEIAVKLLTREDRSGDREFVAEVEMLSRLHHRNLVKLIGICIERSKRCLVYELIRNGSVESHLHGADKAKGMLNWDVRMKIALGAARGLAYLHEDSNPHVIHRDFKASNILLEEDFTPKVTDFGLAREASNGTQPISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVSISDSKDPENLVTWARPLLCNKEGLETLIDPSLDGNFNFDNVAKVASIASMCVHTDPSQRPFMGEVVQALKLLYNEADEACDDSYSPRDSSDPDGDYHGGLVFESGSWGMGTSGCLDYRNSLPFVNMEYSSGRIEGLHDPRAALSMGSQAQSPVLQNRSGPLRTKKKLSSFYRSRGSISEHGHLPRR >Et_3B_029670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2774879:2780944:1 gene:Et_3B_029670 transcript:Et_3B_029670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVWAAESVRSVRAFQEVDGKDDETSCALGFAYEYLPSPPVTPVSRLPAKDAALTAPLAARWRGLWRSVPLVFVDTHLLPECREDPLWRPPVDASMGVTNAVSHVLAAHPGPFRCVQITCSYMNMNKEKIKQWLKLLAAKGVQELAFINRPWPLNLPLPAALFSCTALTRLHIGAWKFPDTAALPRAAGFPHLQELFLSLMLMKDRDLAFLLDRCPALEVLTIIASQTDVRLCLVSRSLRCLQLVASSVGDIAVAEAPRLERLILFLTMPRRIGGNKFSRIKIGNAPNLRMLGYWLPGQHELQIGNAIIQEGTKVSPSTIVPSVHILALEVHFDVRNEVKMLPFFLKCFPNVDTLHINSMEAYTPTGKVNLKFWQETGRVEGVELHLKKLVIHGFRGKKSELLFLKFIAERAQVLEAMVIVLTPECFPSVKDIKAKLNPLTTAQWASKHFKVILFKSPEPEGGPATFSPRIAVDFSCNDPFDLMMAEAKLGGGAVLDGWAVESVRSVRAYQDVGRNDDETGFALSFAYEYLPNPPVTPDAPLAAAGAAWSGGPGDGVDRISSLPDQILQNIVSRLPAKDAARTAALAARWRGLWRSVPLVFVDTHFVPECREDPYWRPSLEGTLGINNAVTEVLATHPGPFRCVHITCNYMDLNRGQIKHWLKLLAAKDVQELAFTNRPWPLDLPLPAALFSCTALTRLHIGAWKFPDTAALPRDAGFPHLQELFLSLILLKDRDLAFLLDRSPVLEVLTIIGSQTDVRLCLVSHNLRCLQFVGTRVGDIAVAEAPRLERLILYMAMPRRIGCNKFSKIKIGNAPNLRMLGYWKPEYHELQIGNAIIEVKFSEGTKVSPNTVVPSVQNLALDVHFDVRNEVKMLPFFLKCFPNVDTININSMEANNPTGKVNLKFWQEAGCVECVERHLKKFVIHGVRGKRSELAFLKFIAERAQVLETMMLVLTPECFPSMADVDIKLNPLTTAQWASEHFKVVLFKSPYPEGGPAPFSSRVAVDFSCNDPFDLGVAEGLVAYKSFPDGRPSPDEHGRVPHPGLHLGLDSAVHRPPPLHLIPAGGGAVIVASPHMPPRAGAGGEVGGDRGPALVEHGHHQHLRPDKELLVRQRLHALVVRVVQEQVPRHGEPRRRGLPDELGAVELPGLVHLGASREVRQRPAV >Et_9A_062236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2134938:2138757:-1 gene:Et_9A_062236 transcript:Et_9A_062236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPAGAASAAPLTVASAVFRVQTALLDGRLANNEALLHATAPALLSRADYDDVVTERTIYDLCGHPACPNPLPAAKEGTPRFHISLREHRVYDLEEARRFCSERCLVSSSAFAASLPTDRPFGVPPDRLDALVALVEDGGGGGHELGFGAAADGKKENKGIKVEIKENEVAGPGEVTLQDWIGPSDAVEGYVPRRDRAAEGPKPEAKQNKVAGSEQLRNMTLDSRNTGPGEDGEDGTSVSSSSSGTHLSSEVIAKRMGDMVLSEKTKTQKKKATETQSKMFKEETGDMLSSCISDSIAKQLENVVLEEKKSSKKKKASKASSKSQKSKPTAKPSARDEHEVNFTSTIIIGDSLTNMDQGTMGQYKYMSSSILADNHPSSSQYAAEDSAQAQAEQLHEKFSRAVNIGKDETSDEKMTAALKSSLKVSGSKSGRQSVSWADEKGSILETTKAYDSHSNYIKQSDEGIDSSLRRASAEACAAALIEAAEAISSGTSEVDDAVSKAGIVILPDTLHTKQFENENASGEDDEFEIDRGIVKWPKKTVLMDTDMFEVDDSWHDTPPEGFSLTLSPFATMWSALFGWISRSSLAYVYGLDGDSVEDLLVASGREYPDKIVLKDGQSSEIRRALDTCVCNAVPVLISNLRMQMPVSKLELTLGYLIDTMSFIDALPSLRARQWQLMVLVLLDALSIHRLPALAPVMSNSKLMQKMLNAAQVSREEYDSMVDLFLPFGRSIQTPIP >Et_4B_036911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12574547:12581400:-1 gene:Et_4B_036911 transcript:Et_4B_036911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WRPWSRGLRCPAPASLSVRSSGRAAARLVFRSLQAAADAARELWALRLEGLHSLTPQLPEPALASHASPLIASLFADHASRLLDSGLVNLSAPRSAELAAAIQDMKQATRSLRDFHQLCLQKETLEAEKALVDAKIAEYKAAMSSIHRTMLCGTVDDEEGVDVFGIVKEGDFDFARVHKMLLRECRRLKEGMPIYAYRRKILNHIFSNQFLADSGLAADGSIVCTQPRKIAAISLAHRVDEETNGCYGDNSVLFYSTFSKSQDLGSKIIFTTDSCLLHHCMSGLGLDGISYIIVDEAHERSLNTDLLLALIKKQLLDRLDLRLIIMSATADADKLAEYFYGCQTFHVKGRTFPVEIKYVPDISAEPSWNTVPTISRAARATSSYVSDVVRMVSVIHKIEGEGAILAFLTSQLEGERACEIFSDPDAVVLPMHGKLSHVEQSLVFKSYPGKRKIIFCTNIAETSLTLKEVKYIVDSGLAKECRFVPSSGLNVLKVNWIFQSSANQRAGRAGRTGAGKCYRLYSQSDYGMMEVHQEPEIRKVHLGTAVLRILTSGVRDVQNFEFVDAPDPDSIKMAVNNLEQIGAIKYKCNGFELTDTGCHLFELGIEPRLGKIMLDCFNVGLKKEGLVLAAVMANSSSIFCRVGSDEEKYKADRLKVPFCHPNGDLFTLLAVYKQWEDEHENKNVWCWQNSFNAKTLRRCQETISELENCLKHELNIIVPSYWQWNPEEPTMHDTSLKRIVLSSLRSNLAMFSGHERFGYQVISADQPVQLHPSCSLLIYDSKPEWVIFSEILSVPNQYLVCVTAVDHDAVYTLHPMTSIKQLEKNKLHMKVIPGIGDISLRRFCGKLDQNFQKIVSLLMEGCRDNSIAVERDFRNNEVLLFAKEHDMEKVFRVVSDALELEAKMLRNECLERSVYPGRLGCSPLALFGSGAEIKHLELGKRELTVEVMHQNARDIDDKELINLVDSLVPGIAHFHKIGNETKWGKFTFLKPENAEEAVSKLNGMEFHGSLLNVVPVRSYESRGFPFPAVRAKVSWPRKPSKGVALVTCVNGEAEFVVKDCFALGVGGRYVNCEVSKKYENCVFVTRVPLHVTEPELYDAFRASTTRRILDIHLLRGPPTASSSTSECEEALRREISLFMPNRNFPGQNFRVEVFPPEEKDSMMRAAITFDGSFHREAARALDHLEGRTLPCFLPWQIIQCQSVFTSTISCPVRVYNVISPAVASLLESFRSQKGVSYNLEKNESGNFRVKLTANATKTIADLRRPLELLMKRKTISHPDLTLIAVQLLLSCDGMALLKSVEKDTGTYIWYDRQSLNIKVFGQPDQVVAAEEKLVHALLQLHEKKPLEIRLRGRNLPPNLMKEVIKQFGADLEGFKKEVSAVELQLNTRRHTLYVRGSKEDKQRVEGMISELITSMDHNALGQLSSENSCPICFCELEDPFKLESCGHLFCKACLVDQCESAMKSQDGFPLCCLKNGCKKLFLLVDLRLLLSSDQLEELIRSSLNAFVASSAGLYRFCPTPDCTSIYQVATADAEGKPFVCGACSVEICTKCHLEYHPFISCEAYKEYKEDPDATLLEWRKGKENVKNCPSCGYTIEKSEGCNHVECRCGSHICWACLEKFKGSEECYSHLRSVHLSY >Et_6A_047232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:27090538:27093291:1 gene:Et_6A_047232 transcript:Et_6A_047232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGRPVFVLFGSSIVQYSFSNGGWGAALADIYARKADIILRGYIGWNTRRALQVMDKVFPKDAPVQPSLVIVYFGGNDSIAAHPSGLGPHVPIDEYIANMRKIAEYLKSLSEKTRVIFLSCPPLNEEMLRKSTSSTILSEIVRTNETCRLYSDACVSLCKEMDLKVVDLWNAMQKRVDWMTACFTDGLHLSEEGSKIVVEEILKVLKEADWDPCLFWKAIPTEFAEDSPYDLVSSSGESTVNPSEWTIHRTIPWD >Et_1A_006693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26417648:26422062:-1 gene:Et_1A_006693 transcript:Et_1A_006693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPECGGSEGYRELRDLRIELNSETVRGGWEGFAVCFWLYLSRSAGPSSVILHQIAGRDDNKLPFLALGEGNKLLLFPLLRLHKEAPVPPGSYPWTDTINLTSINGCPLEKWFHLGCEVTENVMRLHIDGDLINETHLCSPSNEPDHQDDGNLIKLVGSDDQFEGYVYNTEPSCRRNFMLELVLIDAFGEPVKDIEVVASLVYADNGTLVEKSRDDSEPPYSLPLSSKCDNKLFRIHFSIPHMKRYPFMEAYSKPIRCISRHRTNRPLGSGKRVTSATTGEIIVLSDGQGFVNADKVNGRLNSHGQSSVGCSHPSMSVKTEDGVAMAVDTNKIVSQNKHSRKMVVSKEAHNVMGTDSSTSEYDSFEAGSSWSGSDGDEVESFSDAVIFRYCLDSTDERSKFLRGAAPTVNKDALVKLADQVSLYSGCSHHRDQILISKRLLQEGADTWSMISKNKERALWSSAIPEIITKFMGIAHPTNWGLSEQDLEVLRGIAGCGDDIGRNEFEKLWYWLYPVATSLSTEKIKNLWECTVPRWIEGLITREEAENALRSSKGLLKEPGTFILRFPTTRSWPHPDAGSLVVTYVGFDNLIHHRLLSLDSSDAKAGNLPDLLLQEPELCRLGRVERLPTPKQR >Et_1B_013834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19367322:19373720:-1 gene:Et_1B_013834 transcript:Et_1B_013834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPAASAPPAADELAADLAAATLSKKQQKKDARKAEKAEKAAQRQQQQQQATDAEDPFASNYGDVPVEEIQSKDISGRVWSEVGDLDEAAAGRSVLVRGFAQAIRPVSKKMAFVVLRQSMSTVQCVLVANTDAGVSTQMVKFATALSKESIVDIVGLVSLPKEPLKATTQQVEIQVRKIYCINRAIPILPISLEDAARSEAEFEKAEQAGEKLVRVGQDTRLNYRSIDLRTPANQAIFRIQCQVENKFREFLLSKDFVGIHSPKLIGGSSEGGAAVFKLMYNGQPACLAQSPQLYKQMAICGGYERVFEVGPVFRAENSNTHRHLCEFVGLDAEMEIKEHYFEVCDIIDGLFVSIFKHLTENCKKELETINRQYPFEPLKEAGTEIDPMGDLNTEAEKKLGRLVREKYGTDFFILYRYPLAVRPFYTMPCYDNPAYSNSFDVFIRGEEIISGAQRVHTPELLAKRATECGIDVNTISAYIESFSYGAPPHGGFGVGLERVVMLFCALNNIRKTSLFPRDPQRLVP >Et_9B_065655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8880629:8881171:1 gene:Et_9B_065655 transcript:Et_9B_065655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFSITAPSFSAHQALSSSSRFSKIPCLPPSPRTRAFRVRAAKLPAGVEVPRVQPKLSEPFLGFTKTAEIWNSRACMIGLIGTFIVELVLNKGILQMIGVEVGKGLDLPL >Et_1A_004668.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:29542215:29543153:-1 gene:Et_1A_004668 transcript:Et_1A_004668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VTEEVLHHLFDPYGAEKVQVLLETAHVEALVSFHSAHNAMQARDACHGRCIWDGCNVMEIYLVLQPTSDIMPETPTNCGTDTSNAHVTDMSQSTAPAPKVAPIKKELSPNLTEKMFADVELDNMMATTCLMDCRSKDCDVRGVSQIETIATVLSDAIPRQKVVQRAARRCRTVKWVSKVRVGYRPVHWPVRALHDNVVHSHVLTHVDGCSLFSENDIEFAVPIDEVDSGKASFTVNDDKEKVIVAVQLCVDRYGTLAQWIWALVLSLDYIVFRLLQDGKPRNHLLQEHFMVCSWSVSMLSAWTVVDVKHFTV >Et_1A_007074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30586201:30590828:-1 gene:Et_1A_007074 transcript:Et_1A_007074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAGNGAGMREAAVAAVAAELEDAGGKAAAAGTAPPALSPLSETLWRDRAGGGALLLDGDVSARLAWRDLTVTVALGSSGDTQAVLQGLTGHAEPGTITALMGPSGSGKSTLLDALAGRLAANAFLSGTVLLNGRKANLSFGAAAYVTQDDTLIGTLTVRETISYSARLRLPDDMPREEKQALVEGTIVEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLARDGRTVIASVHQPSSEVFELFDSLYLLSGGKTVYFGKASEACEFFAQAGFPCPPLRNPSDHFLRCVNSDFDKVKATLKGSMKTKFERTDDPLERITTSEAMRRLISYYQHSQYYINAQHKVDEMARVKGTVLNAGGSQASFAMQTYTLTKRSFSNMSRDFGYYWLRLIIYIVVTVCIGTIYLNVGTGYSSILARGACASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVLAFVISNTLSAMPFLILITFVSGTLCYFMVRLHPGFMHYLFFVLCLYASVTVVESLMMAIASMIPNFLMGIIIGAGIQGIFMLVSGFFRLPHDIPKPFWRYPMSYISFHYWALQGQYQNDLKGLMFDNQDDELPKIPGDYILENVFQINVNRSKWLDLAVLFSMIIIYRLLFFIMIKISEDVTPWVRGYIARRRVQNRRQRSAELAAVRSPSLRGYVVDAASLPADHP >Et_8A_056246.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17899917:17900111:-1 gene:Et_8A_056246 transcript:Et_8A_056246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLKLVCESALCMSHDASTVVATLALAEQLQLTTLRSACLHQSLSLRRWGKRNDIHLFYCSLL >Et_2B_019826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14357647:14365768:1 gene:Et_2B_019826 transcript:Et_2B_019826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPNASGMPPMASFMATKASMAEFPALNGQSVSYAVLMFQPDAVNPTHTHPRAAELLLVVDGALSVGFVDTAGKVFTQDLDTGDMFVFPKGVVHWQYNKGPKAAAAFSAFGSASAGLVSVPVTVFGTGIDDTVLAKSFKTDVPTVQKLKAALTPPPKKAHSLAMAAFNSLSILAFLLLALSSPLAVVAGDPDLLTDFVVPANLGIPFNITGEYFTFRGFRGSFNRSAPPHESFNVTKASVAEFPVLNGQSVSYAALSFPPGSVNPTHTHPRASELLLLLRGALAVGFVDTAGKLYTQDLVAGDMFVFPKGTVHWQCNLGNEPAFALSAFGSASAGLVSVPVTVFGTGIDDTVLAKSFKTDVPTVQKLKAALTPPPKMTSSCRTTPTHQRHLLHQHTGLLSGLADAADPGKFAVSKAGAAEFPALLGQSVSYAALAYGPGAVNPPHIHPRASELLLVTQGTLLVGLVDAARNGTVYEQALEAGDMFVFPRGMVHWQYNGGDGGEDRAFSAFGGASPGTISLPFTLFETGIDDDVLERSFRTDRDDRVSAAVPLRGAAPMYRAVATLLCFGAASLFVL >Et_4A_035913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8483273:8488082:1 gene:Et_4A_035913 transcript:Et_4A_035913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVTDKKVSLDPHRFPFKAQLKCITLREYPRLTLGMSENLDFLRRTPGEARVVVRRKETRMNGNSKCKSLPSLMDESAMAAEEEEGEMVQWLHVLLRTRFWEPCNRKHMVEKKADGCIFCIKCCEVFCPHCTHHKPGHRLLKIRRYVYRSVVLTKDMDELNIDMSRIQTYIINGQKGVHLRPMRRSPQFRPQPGSPRCLTCSCWLPTTPNAFCSLTCKRKADVSQEDFSGPEAEHRYRSLKTYMAPTTVEHLMPESELEPKAKPKPESETELELESKPKPVLELEVEPKPKPEHGTEPDHESGHEVEFFAPQHALPLESPYPLDIGSFRKRSRKLVEPQRAPFF >Et_8B_058649.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:13049592:13049735:1 gene:Et_8B_058649 transcript:Et_8B_058649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDMITSELEAASQAAQKPLSSPRKGAVPRPPSSWPGRSSSAIAAAQ >Et_1B_011522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23184480:23186877:1 gene:Et_1B_011522 transcript:Et_1B_011522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKRSSASPAIPAPSAPPPRAKKSAPAAAKVKGSAPAAAKVKGSAPADMKVDRSVLAAMEAAADTTPAAKVVAAAAANVIEIPSSPDYSEGGAKGSSKKKARKRPTPVDFDDEIEMWTPREKRRLEEDCQILSGDPLAAAEVAPAAAAGNDDIAVVAERGKVACRDYPHPRSACAKHPFSTTPHERHCDKCFCYVCDVAAPCVSWKGTGGHCHASDKDKKWKSLRLLRHKEMK >Et_2A_016059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20660536:20668618:-1 gene:Et_2A_016059 transcript:Et_2A_016059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVAPSEVGHAAAAIGRGVVGGAPLELAGKEEPPLAEVVVAAAAEEEGPPAAINGATGGGDTLEVAGKEEEPPAEGKLAVEADGKGAAAPVEMEGAPGAVTAEGAPGEIKAEGEEEQGDDVGDNKVEAKGAAAEEEEEGKWLKHYSSLHGILTVGDGDFSFSLALATAFGYGANIVATSLDTYEVVRGKYSKAESNIMELKRLCTTVLHGVDAKTMMFHTDLKNKRFDRIVFNFPHAGFKGKEDDLHLINMHKELMWYFFYNARRLLRRYGEIHVTHKTGGPYDRWDLERLASECSLALVMKVDFQKEDYPGYNQKRGDSARCDEPFHIATACTFMFQIGDLKKLKKMNRNRAGSISNIGAWPMASGQWVTDTGPYLLPPAGAWPPQGLQPGQWTTEREPFHPLPPAGAWPPQPGQWSTDRGPFHPLPPVEAWPPQHFPPPVNAHHMPLHPYIADERQHPCFPLNSDGIKADPYFHEHGIFHPMLSMPGPSLNYLPAPDDIPPPMGRMPCPNFLPPLEQPWYQPRTISDPPESDNYSFFAREYQRSLQREYDMRRHLMPGSTSSNYSAFLEHRHTESVKKQEWLRSMIALGGARMPVGMAPPVVGHTVAAIGGGVRAETPLEVAAKADPPPEELSVMGSAETMEGGEDGGEESDDDGEGEGHGEAEDDDVEEEQETEEEEEEEMEDEEGEEGKKWLKHYSSMHSILLVGDGDFSFSLALATAFRSGTNLTATSLNTYEDLKTKYSKAESNIKELKRLGAEILHGVDVKTMRLHIELKSRQFDRVVFNFPHAGFKGKEDQVHLKFDFSYISYLKVAPRFVLIFPSFFFVCALHGNCYHSLSEVSSLHKKPVRSFFDNAFHMLYPYGEIHVIHKMGLPYDRWELESLAAEVSLVMFDKVCFQKEDYPGYDQKRGSGSRCDQPFPLGPCCTFKFQIRDLKKRKKLKQNRTGPFSLIGGQNGRADNLAKHIRPPHLPPLVQAWPFTHFPPVVHTVPMLTALQHCVVVQRQQSGFSLNFNSPVKALYINQQGTIQPALSMPGPSLKALLEIAAGNMFRKRSCCAGIWRCAAHNDLKDSRDLGGVSAASSMRIDRVEEL >Et_2A_018457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28619357:28620298:-1 gene:Et_2A_018457 transcript:Et_2A_018457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPAASAPAFPWREHLFGDAVSARQSALVLASYPLLLLLVILSAFIRYLWVALAMYCALLFVLSCAARSVVDRRAAAAARRAGSLGGLSPAAIAAVAPAFLYDASAQGGGEAEAECASRESP >Et_7A_051326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1713543:1715705:-1 gene:Et_7A_051326 transcript:Et_7A_051326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSAKADEVAAYQSSEAKQARLQSMLSALLDDPILADVPRKPSLADVDTLINLELGSAMRVTVVKLDNTSFDVAVLNSATVKDLKLAIRKKISEIEQEQMGHRHISWKHVWDNYCLTHHNEKLMDDNSALSSYGVRNNSKVCFSPHIMSRVHRKHSRRRKHRFFHGLSKKL >Et_6A_045858.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20141748:20142230:1 gene:Et_6A_045858 transcript:Et_6A_045858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMGAGRKLRPTAGTSSGLTRHTGRTTWATSERNLLMDHLISCQGHCFGEYVCYIRNCVLFYMLVPLKCDASIVSGIESKQLNSAICKCTRVQMVLSPRTYSCGGSAVAVAAQGDDGDYYSRRYIWLVPRSTLYICPLQPPPGIVPNFASRRLLAGHGN >Et_8A_058029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14919549:14924070:-1 gene:Et_8A_058029 transcript:Et_8A_058029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLVIRENNIDRYVYTHLKSKNNVPRASTERGGGPAATEAEHQRLPHTSIKLHHLLNVSAPNKPHHFQGYREATMSKWSHLACLAVALALVQAASSAHWLNDYFFTDGNVRAAYDSSGQQVAMVSLNQQSGGGFNSKKKFLFGEFSIKMKLIPGNSAGTVSCFYCCCSIKYLTDHLVGRKWILQLSSGTDDYRDEIDMEFMGNATGQPVVLNTNVWANGDGKKEHQFDLWFDPAADFHTYTIIWNPQNIIFQVDDKVIRSFKRYADLAYPNAKPMAVHATLWDGSYWATEKGKIPIDWSHAPFTVTYRNYFADACVGGRKCPAGSGKWMRRLPSKAEWGTISWAEKNYMRYNYCQDGWRFPQGANARTIIIDGTPPKMVQYRKK >Et_9A_061612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14487728:14488882:-1 gene:Et_9A_061612 transcript:Et_9A_061612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRTLELTLISARDLRAANLVSKMEVYAVAYLAGDPRSWQRVPTDRAGGRSPSWNATVALVVPASGAVRVLLRTERALGGDRDGPTEPTLACFQVRGVGSGKPGGVLNLSYKLGAVLPGDDAAVAKVQKHLRVKAGDPTSPATAHLAAAASVYSAARPAQSYSVPPMGVVCPGIRHAYIANGKSKKGVSRPFGNAWLDGIDLFLGDVLTGAREAQRPRLQAGEEAAAPAREGAGAGLLERVHVCPVLRTTSTRPDGGVYWQRERHK >Et_5B_045155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10169368:10171615:-1 gene:Et_5B_045155 transcript:Et_5B_045155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTSCRTCRRDQVSSPCCLGGLPRCSRRLRRRIEGVDLISALPDDLLLQILARLNCAGAAAHTSLLARRWRGLWTRLPELTFHNICPDPLDAALAQVSGPAVSLLDISVGHNHPPAPARIASLLRAAERLLPAELSVSLWGATPHSGNAHVVELPGFNRATSIWLNIQQFVRFMLPKGNFTALEVLSLASCQIDLADLLPRCSRLRDLRMYDWPHNSIVVHSLSVEELHVQTFQRCQCLDIGAPSLKKLHFDADSGISDEFTLSYSAPAVEELSWYCKCPSSVVGFGQIWWLGRLKLATPKPLGPRKHVSDGENTCLQLQQQPRVRLLYFGKETHDILGGAVQSIEKQLFRSLIGNFATMELEILTRGHAYGAMVLHLLGFCTPIQRLKVELYEVK >Et_9A_062428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22861978:22866994:-1 gene:Et_9A_062428 transcript:Et_9A_062428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSARPRRCRRHPPPPPRRRRRGRRRALQRQNVPEVVPLRRQREHPLPVLPPRRDHGHRRVRGALLLRLPGHGRDLRRATLRLRDRDYTVLAIDYDNHTVTVADADVLGAAGDCPRVTHNVTVPAETWLNRQREPRLLLRLRVHRRRSTAQGESFVAAEHDVRPRDDLPRACKEVIAMPVLKDWLVEYFPRLNDDGYGKLTWDPSAGPCYLCEDSGGQCSYNQTGDFLGCLCSDGHVRNLGCALLLFIIFLSSLPPSKPQPNAYFRYSNCTPTPYQCGSLKFDISYPFSVNGVDRPDYCSFPGYRLSCTNDMLLINMDSAPFQVTSVDYDNHLLTVIDQSLVEHTCLQPYRNSTIDDAMFMYTDRDLFLTVYINCSAKSSSLPLAYDLFSCLSGGQSYYRQENGSVAPDVLGSCSSTLVLPYNSTMAGSLAAGNSSLEDAIKGGFAVRWKEGVGWCGDCKNSGGRCGHNTSYPGDHTCFCPDGPSIGSCSSGTSVAAGVLFLLLVGVLCLYIRKRRQYKMTSSSRLLKYTASGGTPRSRGSSDMESGSVHDLQTHHFTYEELEEATEGFSAEREIGDGGFGTVYKGHLRDGRVVAVKRLYNNSYRRVEQFVNEAAILSRLRHPNLVLFYGCTSSRSRELLLVYEFVPNGTVADHLHGPRAAERALPWPLRLSVAVEAAAALAYLHAVEPPVVHRDVKTTNILLDANFHVKVADFGLSRLFPLDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDVTRDRDEINLAGMAINKIQRGQVEQLVDLQLGYGSDEATREAMTLVAELAFRCLQQNGEMRPPIREVLDALRRIQEDDGFENEKKDALLIAPRSPDTVHAPWDSMSTTPSVSQ >Et_2B_020075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16615395:16619133:1 gene:Et_2B_020075 transcript:Et_2B_020075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KQAKLTIPASSAPAAKKKTKPAGVKKGKRGARLAGPNKLEEEGQEATIEAYHGDNDKIEEGAIEDDMDPSRPVQILEKFDLEKMQKLARLRSEWLAKSKTNDIIIQDPTSEWVRDAFLEKTPRLRTILEKDSVRCFLRFFSRNKNGMIWDHSITSQTLTYMVSVDALRCAKVALEGKEPELNWRRANPNCINPFGYFPLHEAAEKFSVDMIKLLFRHGASANVRTVGDMVAEDLLPLHVAVENACLHKYLEDNLLPSQEPQDYMYNLIRLLCLPDMKIFLDTIRLLAKETDNLVDELWNYIKDKKLLQTVILLLAAHEKIRGDSSSKRNVNGKQNGFPIIMNRIVEQLSSRNTKGEDMNTQEQLEERMSLGETFRLVNIIYEAGGDLNNYIQAHSEVSHVDVLEHVSSILKDHGFCPTGEGIDVTNLSLIFFKFQLRQALRKKRPRGWDPDYSRRMFFPYWRSVLVAKYRVKVYPHYAPEIIRPEINFGELLNPRRGLTAKQSSKKPNVNHSWLGRLQQPRTFSTAAFTLLKKVLR >Et_2B_020760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23270139:23292500:-1 gene:Et_2B_020760 transcript:Et_2B_020760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWRAGPIDAELRFRVSPFARARLAGAERDQVLPRRKEVYRVSSPAGESAAAVALGSDAFELELVVAGEAKYEAHPHRRGFRASCALKLALSTATAPAAFAKEASLERLALTKPAGHGTPPSLAYNLSLAVAVRNPNRGTWVWRTAPLDAELRLAGRPFGAVRLAGAEDRKLIDPRRSLVYRAAAVAESAPVALRGDERAEFAWESALGLFWLEVVVSGEVHYEMHPRRSSFTVRCALRLPLSTAPAAVAFARLEGTLPPQACVMQIFVRSPSGRMISLRVYPDETLYDVKKKIMEKHNLVFDGVRMEDYLTLADCNIQHQSTLDLQEKMQIHVKEALQGLTFTADIKDKIEVAHGFPKGRQCLIFANQQLEGEHTLADYNICKDSTLLLVLYPSPGGRMQISVKTIYGKTHTFKVQSSDTVDSIKAPAPHINASSLPAKQLQDDRTLADYNIETDSTLYMALRLCDCAKDCVACCLALFMIAGAILLMVLILAYGGTSPVEVTVEEASLGRLALAGGNGTMPARLAYNLSLAVSVRNPNWAIRAWRTAPLDAELRLAGTPFAVVRLAGAEDLEELIRPEKSAVYRVVAAAERAPVALGSDEQAEFARERESGVGLFRLELVVAGEFKYQGEPYRRSFKMRCPLKLPLSTAPTAVGFARVEGTLPPQACEYRGSWAARLTPAASSSACSQSPGCSSWRSPSPSLFRSPSTRPTSAASPGNGTPASLAYDLTLVVTVHNDNWAMGVRRTAPLDAELRFAGRPLACFRLAGAADWDWIRRSTMAIDSFSLVGKVALGRHGAAEFARERASGVFELELIFAGEFKYQAHFHSHSLRLRVICPLTLSISTPTIARVKARIMARAHVVACWFLLLAVAAVLVTAFAFVIPVEVTVDEASVSLDVTKLRNGTLTCLSVDISLAVAVHNHNWAMRVRRTAPLDAQLSFAGPTGVTGFVLQMAGPGDVDRIRRSSMAAYRRAWRLGCFAPPDFARARASGVLDLDVIVTGEFMCQAHSRSRSFKVSCPLKIPISSATIAPAAFSRVNQSHAHWIMNIKGAIHLCCALAALALLAVLLAAYGVVVPVQVTVIEASLWRLDLVAARPAPRNGGGTARLAYNLSLEVAVRNPNWVMSVRRTAPLDAELRFRGVPFAGVQLAAGADPPDRIHAHRRKVYRVSLAASESAPLALGRAAAAEFARESAAAGVFELELVVAGMVKCQVLYPKRRLQVRCPLKVSLSKTATTAAAAFASSVELSNRECKQRHMPCERPSQPPDIASPSRGPCGLQWRCFDSWKRVTGLIRLELNVSGEVKYQEHSRPRSFTVRCPLQLPLSTEPTAVAIAHLERTLPQACVSVSVQEASLGRLALAAPPSGNGTTPARIAYSLSLAVAVRNPNWAIRVWRTAPLDAELRLGAGRPFALVRLAGAEEVEELIRPKRSAVYRAAAAAESAPVALRGDEQAEFVRESGVGLFRLEVVVAGELYYQAHPRRRSFRVSCPLRLPLSTAPAAVAFARLVRTLPPQACVYV >Et_3B_029204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2303850:2307172:-1 gene:Et_3B_029204 transcript:Et_3B_029204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRAGNPIRYRSSAAVSLVRARAQQVLSARGVANLGVLLNEDAKSVEEYHGKDCQGPCCRHQSKALAFRLTLSSGQFALSRAFSSQAGANSGDKEDELEDGFSDLEVPPEAGNKDASLTSEDSSDEETVDEIGLLDAKPEKEHLKKFEQSPLLKLMLDAPRTEVAKALENWAKDGNTFDRSELYFTVLNLRRRKWFVKALQLLEWVEKSKHIELGERDYASRLDLIAKVSGIHRAEQFIEKIPAAHRSEIVYRTLLANCVSGSNVRKSEQIFNKMKDLGFPVTVFACNQLLLLYKRVDKKKIADVLTLMEKENLKPTLFTYKLLVDTKGASGDIEGMEKVVESMQGEGIEPDVSFQSTIARHYIFNGGRQKAEAILESMEGDDIKGNRSACKMLLPLYAFLGKKDDVERIWKVCEDNNARVDECLSAIEAFGKLGDVEKAEQIFEDMFTNLKRLSTRVYNAMLKVYANHNLLEKGKELVKRMEDNDVRLLTPTLDALVKLYVNAGELDRADSILQKLSQKYNIRPQYSSYLMLLDTYSKKGDVHNSEKVFNKLRQVGYTGRIRQYQLLLHAYLHAKAPAYGFKERMKADNIFPNGALAALVAKTDPFGKKDSISELLD >Et_4B_037889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24464766:24468238:1 gene:Et_4B_037889 transcript:Et_4B_037889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGSGGVVNDVDALDGVRSIVLKPSESLDESRFTRIAGADFNDAGLGLDGLLASFASTGFQASHLGDAIDVVNQMLDWRLSHEKPREDCDEAELDPKHRESVKCKIFLGFTSNLVSSGIRDIIRFLAQHHMVDVIVTTAGGIEEDLIKCLAPTYRGDFSLPGALLRSKGLNRIGNLLVPNDNYCKFENWIMPIFDQMLLEQSSENVWTPSKVIARLGKEINDESSYLYWAFKNNIPVYCPALTDGSLGDMLFCHAVRNPGLVIDIVQDIRLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDASIAFPLLVAATFARKVHSSKSTN >Et_1B_010935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15619727:15621927:-1 gene:Et_1B_010935 transcript:Et_1B_010935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPPTLLDYGTDVDSSEMIDIDIMEIKLLLIGPKDERNLDECLGGIFLLFDWLVISEISLEGMGYELYTLTRHVEVALEHEFTDHDLEDYVEPNMLVSNAPGPFLSPLRRGLRRIRRRGRSWGVQLSYLFGSQSPFTSQGVTKHGRKNNDHWTDDEMRKLVQSVSIKGVGNWSKVKEDHFPTSIRTAVNLKDKWRNLVKACRAKVNRRKKVKVQEATKLIVREFKRHILAIDAGKNPARKKKRSNNRSFSLY >Et_3B_031580.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:5356440:5357144:1 gene:Et_3B_031580 transcript:Et_3B_031580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVALSCATGNRGPGRTPLEWAARLRIAAGAARGLAYIHHAGRRGSGTPKLAHGNVKSTNVLLDRSGAARLADCGLAQLGSSPASSAGYRAPEAPPPPRPWASQKGDVYALGVVLLELLTGRFPGSELPNGGVVVELPRWVQSVVREEWTSEVFDLELMKDKGIEEEMVAMLQLALSCAAAAPDQRPKVGYVVKMIDEIRACGEASPSHDESMDESSGVSDSPAVSEGGAISQ >Et_5B_044048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19324080:19327855:1 gene:Et_5B_044048 transcript:Et_5B_044048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGSPAGGSATSPQAPGGETLRPRRQRGGSDPLLIVCRCFSVVTAATALLCVAVNVLSAVQSFRAGIDIFGGIFRCYGVVFSLFVGVIETEWGFIIKFWKIFEYWPARGMLQIFVAVMTKAYPSIQRNDLVLLQEIASYMLLACGAVYIISGILCLGVLKRSREQKATSREQAAKDLEMTAQSPET >Et_2B_019146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14000266:14001213:-1 gene:Et_2B_019146 transcript:Et_2B_019146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFARAAGHALVVLLCAPLTICCCTAGDTVITYSIPAFNATTADDFMVVADPGYVSSSSLLFDGPNALFPEFNSSEGFLVLSRAVNLWRAGDREASFNARSTVVAGAPAAVAFVVLKDAFPLLAVGAANYTALAGTAESANATGPLAVVEVGPVMAYGPRAPAPGLNVTVTPRGVAPAGDRAVWVEYDAAAHRLSVYVAGGAGEPRPVAPLLRVPLGVDGWRWTTESAIVGFFAGKISDIVVGVRNWDMTVDDISEKKGTAWWVILLAVMGSVAATAIMAAVVFYIRFRRRRQTTNMEPNI >Et_5A_042642.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:23490779:23491450:1 gene:Et_5A_042642 transcript:Et_5A_042642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSAEEVSVSTVAATATATGHHMLKIEGYSRLKKMNCNGSSFVSPEFEAAGHTWAIHCYPNGAQEQDVGVFSVYLGLADADNETAVLVEFEFALMYHHGTAKLSPPYVARSLSTFRKDRISGFPMFISHDILEESRFLKDDCFAIRCKISTIDTSFVKEVVQTQDLKRLGMARTTKTTKGLKQRIARFFGSLCGSSRGVQRRSGQTGNQTGNSAEDYATATV >Et_5A_040572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:136136:138347:1 gene:Et_5A_040572 transcript:Et_5A_040572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKDGGGGGKGRLFTVGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMAACSLFSYAAIAWLRIVPMQLPRSRLQLAKIAALSAVFCTSVVSGNVSLRYLPVSFNQAIGATTPFFTAVFAYIMTVKRESFLTYLALVPVVTGVIIASGGEPSFHLFGFVMCVGATAARALKTVLQGILMSSEGEKINSMNLLMYMAPIAVILLVPATIFMEDNVIAVTMELAKKDFNIVWYLLFNSSLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVISILIFRNPVSVTGMLGYTLTVIGVVLYSEAKKRTKQ >Et_3B_030515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4555007:4558142:1 gene:Et_3B_030515 transcript:Et_3B_030515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATAKLSTPATSLLAGGRTRRSAPARRATVIRAAAGSYADELVSTAKSVASPGRGILAIDESNATCGKRLSSIGLDNTEVNRQAYRQLLLTTAGLGEYISGAILFEETLYQSTTDGKKFVDCLKDQNIMPGIKVDKGLVPLPGSNNESWCQGLDGLASRCTEYYKQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAIAQDNGLVPIVEPEILLDGDHGIERTLEVAEKVWSEVFFYLAQNNVLFEGILLKPSMVTPGAEHKEKASPEAIAKHTLTMLRRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHNSVLKTWQGRPENVEAAQKALLARAKANSLAQLGRYTGEGESDEAKKGMFQKGYTY >Et_5A_040709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11434405:11435434:-1 gene:Et_5A_040709 transcript:Et_5A_040709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAAAASWVHARVSFLIYHVFIPLFVEALAVTILQVFIPTIFEYDFLNGEDDDEFEGFMDRGSTESDMAE >Et_5A_040739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11858291:11878936:1 gene:Et_5A_040739 transcript:Et_5A_040739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCWKCRSKQKGCCSGDLCRRRERSQLRHDSDPDGMDLIGALPDDLILHILARLGCARAAAHAGLVARRWRGLWTLLPELAFHHIAADKVDAAFARRSLSLLHIRVPCSRHDGVAVDSAARVSAWMRAAARIAPAKPIISDDVVVRGVDEVAVELPCFDRTTSITLEVLGARFVTSPAAGDLRALESLSLLNCHVDDLGDVLSRCRRLRKLRITGWKQGLKVHSPSLEELDVEVYLHDVKARRVDIEAPVLKKLRFASGPRPCKWTGAKEFTLTYSAPMVEDLHWRCFCSSITGRFGVWWRLFHLTLKTDKPIHLQRQNCPQVVHTLSLSIGRPVHGNVDQDFGRFQIIPVTRFQVLELRIKTEGHVYGAMVLHLLGLCKFIRRLRLELHQCRRDQTGCCSKRPRRRTSTRPRRAPKAVDRISALPDDLLLEILARLRCARAAALTSLVARRWRGLWTRLPALAFHAACPDKIDAALSMAAHPSLSQLEIVVPGHHVTMQSARVSAWMRAAARLAPTNILIKNIIVVRDSGREVELPCFRRTTSITLEVFGARFKLPPVGDLPALESLFLNDCRVDLGDLLPRCPRLRKLHITGWDHKLLKVHSPSLEELDVDVDLPDLKIRHVDIVAPALKKLSFASGSRSWRWSGAKEFTLFYSAPMVEDLDWRCACGSDTDIFGVRWRLFNLTLKTANPLAQTCLQWQQHPHVVHSLSMSIGLPFAVSRSPCLINLPSLLAVATNRLRILYYVFGDEDEDFGQLISKIIPVTNFQVLELRIDTEGHVYGAMVLYLLGMCTFIQRLRLKLREETCTCSARCPCDHPSKWRNQSVSLTDLKEIEIHGFKGKCHEVDLLKVLFRSATMLKRTDIYFASMVSPSTNGHEEIYGISNMAGRSSCRNCRDKQKGCCSGGLRRRRKNGSVPEGVDRLSALPDDLLLDILARLGCARAAAHAGLLARRWRGLWARLPDLAFHAACPDTLDAALLAAASRPSQLSLLSIQSDPHGPIPSARVSAWMRAAARLAPAKLIISRVSSCIASITLDLFGARFVLPPEGYFPALENLSLRSCRVDLEDLLPRCSRLRKLQITAGWPHELLKVHSPTLEELDVDVQFACNLKIRVDIVTPVLEKLRFDSGSRPWRWSGEKEFTLFYSAPMVKDLHWRCVCDSDTDRFGVRWCLFSLTLRAAEHHGQMHFQRQHRPHVVHTLSMLIGLPFSVYGDEDKEFGHQISKIIPVTNFQVLELRIETKRHVFGAMVLHLLGMCTFIRRLRLKLYQEDYRCSVKCSCDHPSNWRSQSVSLTDLKEVEIHGFLGRCHEVDLVKVLFRSATMLERIDVHFASMDSPSNDEREEIYGISNAYPSIKRRRLAASVGQPDGSLPPVPQQAARLLHRWPSSPAQEIPDRRRGSPQRPPDDVLLDNLARLRCARAAAHACLLARRWRGLWARLPALAFHAACPDTLDAALLAAASRPSPRLSTPRSSPRGCAAARLAPARLIVADVIIRVEAAWTVEPVELPRFDRAASIALEAFGARLVPPPAGGDFPALESLSLRFCDADLDDLLPRCPRLRKLQITGWDYQESLKVHSASLEELDVDVHLPDRLWIWHVDIEAPLLKRLRFASGSRMSGANDFTVLCSAPMVEDLHWRCDCGSDTNNFGVRWRLFNLTLSTANLPLGHRHCLQRPQVIHTLLLSIGVPFSVSGDEDQDFGHQISKILPVTTFHVLELRIKTEGHVYGAMLLHLLGMCTFVRSLRLKLREDTNRCSLNCPCDHPSNWRSLNISLTGLKDVEIRGFKGEGHEIDLLKAVFRSATMLERTDVYFSSFVSPSNNDCFEINGISKAYPSMKCNIYHEKQTDIVVVTKDEQWYKRLNMLNMSKFPDVAAKLIGQAAYGVGSRTVLPLEYMQAASKQVAVSNRQIFKCK >Et_10B_003943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8002259:8005906:-1 gene:Et_10B_003943 transcript:Et_10B_003943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRSLRSGHILRLLPRATSLSSTASPPDPIELLRIERILHSPAAAQPKEHTRATATSSLHHLLHLTAGLTAADSAALLRRLPGTNSHHRLGRLLQELSGLRIPGAEIKAALASDTDGLLAMDPGEPSRLLEFLGELRCRKAVKDELLAHGALRAAVAARRRVELLHARGLTRREALRVLSGEPRAMLYPLQDVERKVEFLVSTMGFEVAWLVQYPEFLGVNLDNWIIPRHNVVEHLRSVGGLGDPIEMKHYVRFSHLKFYNMFVKPYPECERIFGRRVRERRDETVRRRHPTGLWKLFTPVKHERTVEDVATMKQIVGSLHDKIERKSWFESDP >Et_1A_008594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9006931:9007603:1 gene:Et_1A_008594 transcript:Et_1A_008594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGRIPSNLYATAAAQFAVETAAEDNLPPVLANGSLRWLVGHEYSFMHPAAAIITFSIADETFGCIESMGVHLVELDGCLCASPRHASPALKIWKLQDQTTGVWFLDTRIDLSDETEPNLLEGGVIRIFGAIGDRTVHVYDFLSKNVQAIVSFADMDRRAAIRICLFKETLAPVTLHSDDAYRNIDPNV >Et_1A_005144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29241160:29242434:-1 gene:Et_1A_005144 transcript:Et_1A_005144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGISTFGCSGFPAWVLIDTTAVLGHCDDETTARGTTREGSPVEVSFVLVDPPALSRCVVHCPDLPASNTWSPALVTGADGAFLLIRLFFPQPDEDHFTDVFVYMAGSPSLIRIPEPYPVRFLSTRVGVLTCGDDREHCSVVVPAWRFDEYGRMSYVLNVFSTMTKSWSTKVARFHPSKVLSIGGVSLAWVDLREGILLCEQVEDPAMRLIELPALMPTNVARYGLRSDGRGRSLDRIRDVTFSNGWFSFVEVESLEFVDSTEPSGWRVTVLKREAYSDNWQLFHAVNIPQFDNVIKQTINNVSYPTLDMYNGDVVFIIAKREGSDPDGWVFAVNTKSNTLCKRVQFYAEKLYSRCFYLQCAFSKYLTKASGDLILFSSSIVAGNVMSWLVDVRCYLLQYIFALKQLSYTN >Et_5B_044204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21437753:21440450:-1 gene:Et_5B_044204 transcript:Et_5B_044204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYLLASLATTLLTSAVHSLLLLLRLLVPSFLRRTDPDNGVPAAARLYEGRVRHSRRRPAAHAFVYPVRYALVDLDRLPLPDHLSAADARRIASTSGPVRLLTIPKSVGYEQNPLSIYYCYDSAEQGQNGELKMCIAEVTNTPWGERVMFTFQPGSDLDMLGSWSIRADAPGDSLYVAISVQHPTLGNYFTAALHAKLVGQTSNSVRLAAFFWLMPHKALRLWLKNVKFQDHPRYLNPNYRDEALKRDLDIRSCCSFIHKQKVNDQSSGITKKTTDNLDNRENGDENIVKRWCVWRDAQWPWS >Et_7A_052279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6482209:6484845:1 gene:Et_7A_052279 transcript:Et_7A_052279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWITCTGVAAISIPATQPPTMRPSLPASAMLKPPVLLRVSPSSASRNEPDRERGRPLRFPSGLELDPPPPDCPPASVEAAGFSPRPMLPSGPYFLGLPLFFFTTSPPLPPTTAPPAPLPTRWCCIPGAGAPVSGRNMAPGGMSAGFMGDEAPGDARGLNPSGIPCITFAAPGGIPGGAAPNAACGGIMTPPPACVGEPTRAARCCCC >Et_3A_023848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13458343:13460238:1 gene:Et_3A_023848 transcript:Et_3A_023848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSSTNCTTPPARRGTTSSPPASASSSPSSSASNAAFSPLSLHVALRLLVAALGNGGAEDLQALAKKMVRFVLADGSGAGGPRITLANGVFVDSALKLKPAFDKVATRQYKAETRSAAQAAGQVNSWVEKVTSGVIKELLPPGSVDQTTILILSYALYFKGSWAQKFAASETKDGEFHLLDGTSVQTPFMTSTEDQYITSYHNMQVLKLPYHQGRDKRQFSTYILLPRKQDGVWTLAKDLSCQPEFLEKGMPPCKVPVREFKVPKFKISFGIEASDLLKGLGLQLPFSAEADLSELSEVGQNLCVSSISHKSFIEVNEEGTEAAAGSALTVWICGVLTPVNFIADHPFLFMIREDTTGVVLFVGLVANPLLAP >Et_4B_038686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:393047:399382:-1 gene:Et_4B_038686 transcript:Et_4B_038686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDLTHPALRLASADEVSAIVIDVGSYSCKAGYAGDDTPKAVFPSVVGSIEQTEDADESKAEKEPDSASESKNGAKPMDVDKAKTKRKLYVGQELEFRRDHMEVISPMKDGTVTDWDIVDNIWNHAFRRRLLINPEEHPMLIAEPSTNTAQQREKAAELMFENYKVPALFLAKNAVLTSFASGRATSLVVDSGGGSTVVSAVHDGFVLQKSVSSSPVGGEFLTDCMMKSLASKGVVIRPRYSFKKKEISPGEYKIVDLDLPNTTESYKLYCMRAIVSDIKESVCRVPDTAFDEVAYANVPTTSYELPDGQTIEVGADRFKIPDILFNPSLSQTIPGVDGFADSMSVRGLPRMVIDSINRCDVDIRKELFSNILLSGGSSSILQLKERLEKEVLEESPQAARVKVMASGNSVERRFSVWIGGSILASLGSFQQMWFSKAEYEEHGVSYIQRKCP >Et_5A_041087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18023633:18030327:-1 gene:Et_5A_041087 transcript:Et_5A_041087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAFRFLARSNLCAKEMSTSEIQADEASKDTNVRKVGQSGLKIRIHLPPRKRSSDGIQIMSTGIPEDSENLTAKNVPEQTDNNTLSTTCTAAEVKVEEASSNLPGTQKDTKSLSSKMVPEQADNDTEGVSFMVSGENVEEACSNPPGEELCKDVNSDDQSETFSNETPPENSSFIAPSTNHGTMSGVQGKEKRLGNGGEEGNKNILNKVLSPGNSCITPVNESCVGATDKISSENLAITGVYGEVEKNYYGTGPCEDASNNALSNRVLYETNSNTASKDLLEEAAINCPSKNLTTSAVKCEKSNDNPVGNSLSEVRNLIATEKLSAKAQNNVSRNRPAVPANDKTCKKKLRTSVVHATDTCKNISGTKPFPSVGQDVERSTSAANVEAIKVYKEFEEKVRRTVYLDNLSHLTTEAVIKVALNQFGTVRTVNFLINYTVPYDIPQSALVEMESEKDAAPVVSMLHEFPFMMSGMPRPVRGKRATAVMFNDRPRKPGSKLEFHWVDPTDPDYHNVRKFKLMTKRHEVENLALIRHELEGEELLAKQQQDDLNCNYRKLEAVVTVVMTGWVNRLSQIYNMSRCRKIKYNESGYENKTIQKWESDELYRGWNIPEWRSREGEAPTTHLTRSPSDSESPEAWSESPSPSEKDPEPESSESRSRLPGSRVSPPGTVAGSIAGWMRAKDGKGGYWAVRSDSGR >Et_2A_015082.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29126289:29126666:-1 gene:Et_2A_015082 transcript:Et_2A_015082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLQASSVACHTTRLLQDTGHRTPETGGGGRRSSSAGGMCNGRECRREHAFRASLQDAKTEPLPAAGCRAATMEREGVEAEAVRAKVRAWEAEREWRRPRPREEATAEMERTEKLMHLLVWGPN >Et_4B_040059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8790350:8791171:1 gene:Et_4B_040059 transcript:Et_4B_040059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSLSSSPPRATARLGGVPRSSASYTQLAFRSRHPFQKLPTPELLLLSSPARNKHARTVRRATENNQAAPAAAQETAAAPEGKAVTPSNGQPQQPGAGANANGSERPKRAPLTARERLRAARVLGKYAEPSSAKGSAASASSKGSPSAAASRPAKPEFGSGVLDALREADAKKGGGGRRRSRLPEAPGNLFDDSKRGMPKDGWTFELPFGVDVFLVLVSFTLITTIMFGTAYLVWKLGAIHFNEY >Et_5A_040369.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:17836327:17836662:-1 gene:Et_5A_040369 transcript:Et_5A_040369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FATPLVTTLPLTIHYVSLDQPNKADFLTYAYGVSSSSNSGASVPSRRWRVPRAPRQRHQHLGRRRVRDFTRRAPRRWVPDVHNGGARHGGAATAHVPSVRGVPTAAQAEPK >Et_2B_020877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24542652:24544012:1 gene:Et_2B_020877 transcript:Et_2B_020877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLPARFRASFTAYSSFRLRAPKLRVFNWRCCYADEVRVESVGRLSDVVVELAAGRKPRTTDEELGYVTVEQRDKLLTDILQGLMPGLQPLNWKSVMRKCVQRDDRWVCFEITNAMRLLS >Et_3B_029171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22696472:22704115:1 gene:Et_3B_029171 transcript:Et_3B_029171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTQEEEDALRRGVLKHGAGKWRTIQKDPEFSPTLSSRSNIDLKDKWRNLSFSANGQGSRDKIRVPKITGPSSSSLPSSQALLLPTSTKIAETSAPADAEKKAQDGKTPPKYGAMILEALSELNEPNGSEVNAILGIVEDKLGVRGQPNFRRVLNSKIRRLVDTKKVEKIDNKLYKLPESFATKTPAPIKASTQKQKDPSKPSKGSKSLGLFAAVSPALEAAAAAAAKVADAEAKAHLAHEHMMEAERILKMAEDTESLLTLAAEIYDRCSRGEIITVNPVSQKEF >Et_2A_017511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35018775:35022360:1 gene:Et_2A_017511 transcript:Et_2A_017511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRRQHSYTHELAQCIHDCENANDKVFRTIVRTRVALLNIQIKKKKKRMAPMTTMGGQGHVRSASQTSWQHPVVMDVEEQLLALRSWTSNPGQNALSLAHVRALLCVLDELLHLPLAQAALRRSSLLLLDGFLVLADAFGSFLAALLALRQHAADLRAAVRRRDPAKTASAARAQRELGKELEQLAAAARCARPATLPPQSDVVMRTVAEAINDTAVASASVFLEVGAVADAAAAMAVASPSSPPKKQMRLPLGSRTKLHNRAAGCCDVYEGDQLIKEAQALEKLHGLELCIGELESESEKVFRSLVQTRVSLLNIHTPTI >Et_3B_029752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27676855:27678826:1 gene:Et_3B_029752 transcript:Et_3B_029752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIYAIVQARVGKGPIFEDGPGFESAFKLFHGRDGIVPLSGRSYVPDEKQNESIDVTPEPALPFNPLAARAATISLSAFGPFGFNFFNGKGKRQNKKPNNLDQSHKKAKTPDQSSMKKGSNPPSHEAFSDEWLENGQCPLARSYRAMSGVLPLVAKALQPPAGMKLKCPPAIVAARAALARSTLVKSLRPQPLPAKMIAIGLLGMAANIPLGVWREHTKKFSPQWFAAVHAAVPFIAMLRKSVVMPKTAMAFTIAASIVGQTIGSRAERIRLRALAAKGDGDSSTTCTMYPSMNGNCSDTEGKSWDPLAAKVGGLARGSPAPTPGIVLHLLLNFTASIDMNN >Et_9A_061960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18353571:18356787:-1 gene:Et_9A_061960 transcript:Et_9A_061960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLCVISEKLFKVAGDDGGGHSPSADGQIPLARRSYYVDVPHVQQAFNWDCGLACVLMVLRTLGNDFCGGIADLEKLCRTTSIWTVDLAYLLNKLSVSFSFLTVTLGANPQYSAETFYREQLQEDIDRVDELFGKAIDAGISIHCRSISAYDIAFLLLSGHCIAIALVDKSHYVVICGYDADACEFEIRDPASSRKHERVTMKSLDEARKSFGTDEDILLVSLTGKNGLKLSRKLLADSM >Et_4A_035468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25933585:25935620:1 gene:Et_4A_035468 transcript:Et_4A_035468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVSGPYFEPDFDPVLDRFGTPGVVIDNETREDCTLVKVDSVNRDGVLLEMVQLLTDLDLVISKSYISSDGGWLMDVFHVTDQTGRKLTDPSLPEFIQRALAPFHRAGNGPSPKFTTCLGNVVGPGGPDVSDCAALEFTVHDRPGLLSSITSVLADNGCHVASGQAWTHSGRAAGVLYVTATAGGEAPRPSRWARIERLVNAVVDARENVTGERHWVCMSEPERGRVHTERRLHQLMHDDRDYESGPAPTPVDEELFSMGEKAATSSRAARRAETRVSIDSWEERGYAVVKMTSRDRPRLLFDTVRALTDMQYVVFHATVGSQGPLAIQEYYIRHKDGRTVDSHAERQKVSRCLRSAVERRATHGVKVEVRANDRSGLLSDFTRVLREHGLSLLRVELKRHKDEAFGIFYLVTDTGGEVRAEAVRAVQERVQEMDISLDVVKEAPGWPPVRKTSVPAPAQEGQRPSLGSLLWSHLGKLSNNFGYISS >Et_10A_001561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5087741:5088342:1 gene:Et_10A_001561 transcript:Et_10A_001561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQKPKTTAGTTRRSGTTTVFPVGEPAGPRPASGGGKGKAPVKLLTNVERLRLLTKAERAGLLSAAEQAGLSLSAVERLGLLSKAEELGALSAASDPATPGALLSLAAPLLAAGPAVAYLVPEEHAWQVALQAAVALVSVVGGAAAVAASSFVSSLQGSSSKS >Et_7B_054744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:456153:457288:-1 gene:Et_7B_054744 transcript:Et_7B_054744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGSASVVVPRNFRLLEELERGEKGLSDGTVSYGMDDGDDIFMRSWTSTIIGPLNCVHEGRIYQLKLYCDKDYPDKPPTVRFHSRINMTCVNPETGLVEGKKFHMLSNWQRDYTMENILTQLKKEMCAPHNRKLVQPPEGTFFE >Et_6B_050087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5837191:5839850:1 gene:Et_6B_050087 transcript:Et_6B_050087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTEAIMMVHVDLEHGVHVGEVDGMGPEQAMPGGAAEGAGAADDGELPDLGREEVPGQHGDGTAVVGARGEHQVEAEHQGQLRLGRDKVEPLCAPDGPGPCVGPFRQRVVEDVHVAEPGPGVGRQRRGPPRDARRRRRLPRQRRHNVVQVEPQVGCGGLGAVAGDGQRCQSEEFQVEQHWRVLAGAHEKA >Et_2A_018420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27401356:27404692:1 gene:Et_2A_018420 transcript:Et_2A_018420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCKGLAMELVKCLAETDCVKVQNRPYKECAGEKVPNITSECVGLRETYFNCKRGQAYRTATIPVKRPQFFSSHREASGRRKVTPDFTGASDLRPRAMIAVPVPSDLDTVPASAPT >Et_3A_023830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1332906:1342822:-1 gene:Et_3A_023830 transcript:Et_3A_023830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFWKPGSEKPTTLLVDDEEGGVVFLSSSTSSASSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWADGGRLIGCTQPRRLAVQTVASRVAEEVGVKLGEEVGYTIRFEDQTNPGMTMIKFLTDGVLIREMMEDPLLTKYSVIMVDEAHERSISTDMLLGLLKKIQRRRPELRLIISSATIEARSMSTFFNIRRRDSLLGSSDDMPNPEPAILSVEGKGYTVEIHYVEEPVSDYLQAAVNTVLLIHEKEPPGDILVFLTGQEDIDTAVKLLNEEIQHRGRHYFDLLILPLYSGLPRADQDLIFASTSKGKRKVVISTNIAETSLTLEVSDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEYYINEMQAEGIPEMQRSNLVSCVIQLKALGIDNILGFDWPASPSPEAMIRALEVLYSLGILDEDAKLTVPIGFQVAEIPLDPMISKMLLSANDFGCSDEILTIASFLSVQSVWVSVRGVKKEFDEAKLRFAAAEGDHVTFLNIYKGFHHSGKSSQWCYKNFLNYQALKKVIDIRGQLLRLMRGFGIPLKSCEGDMKAVRKAIIAGSFANACHLEEYSQNGMYKTIRTSQEVYIHPSSVLFRVNPKWVVYQSLVSTDKHYMRNVIAIEPSWLTEAATHFYQYRTPNPALN >Et_6B_049140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18497023:18500625:-1 gene:Et_6B_049140 transcript:Et_6B_049140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLLAVLLAGAALASARAPFACAPGGPATSLPFCRQSLPPRARAKDFVSRLTRAEKVRLLVNNAAGVPRLGVAGYEWWSEALHGVSDTGPGVRFGGAFPGATAFPQVIGAAASFNATLWELVGRAVSDEARAMYNGGQAGLTFWSPNVNIFRDPRWGRGQETPGEDPAVAARYAAAYIRGLQQPYGQANSLKLAACCKHFTAYDLDSWGGTDRFHFNAVVSPQDLEDTFNVPFKACVSRGGAAAVMCSYNQVNGVPTCADAGFLKGTIRGKWRLDGYIVSDCDSVGVFYNDQHYVRTVEDAVAATVKAGLDLDCGPFLAQYTESAVAKGKVGDADVDAALVNTVAVQVRLGMFDGDPAKGPFGRLGPGDVCTPAHRALVLEAARQSVVLLKNDQVRGKRGVLPLAGKARRGTVAVVGPHAEATVAMVGNYAGKACSYATPLQGISTYARTVLHQPGCADVACQGGGQPISAAVDAARKADATVVVAGLDQKEEAEMTDRTSLLLPGRQAELISAVAKAAKGPVILVILSGGPVDIGFAQNDRRIAGILWAGYPGQAGGQAIADVIFGHHNPGGKLPVTWYPQDYLHKAPMTNMAMRANPARGYPGRTYRFYTGPTIYPFGHGLSYTRFAHSLAHAPAQLTVQLSGHHHVASTSTASLLNATRPDHTAAVRVEHARCEGLAVPVHVDVRNVGDLDGAHTVMVYHAAPAGEEEGAPVRHLVAFEKVHVPAGGVARVEMSLDVCEELSVAGRDGVRRIHAGEHSLMIGELTHSVTLGVEQLGV >Et_5B_044289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22250299:22253999:-1 gene:Et_5B_044289 transcript:Et_5B_044289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSRTSEARRDDVFGRWVVFSPARSRRPTDLKSHNPTNPSPAPGADAPKPSCPFCAGRESECAPEIFRVPPAAPEASPWRIRVIENLYPALRRDLPPPVPLPGEGGEEDETAPGERAMCGFGFHDVVIETPRHDVRLWDLDAEGVRDVLLAYAARVRQLAEHPAVKYVQVFKNHGASAGASMAHSHSQMLGTPFVPPSVTTRLNHMKEVFERSGHCSLCEIRSEYTLISETPNFFAIVPFAASYPFEIWIIPQQHISYFHEIDQDKALELGSLLKTMLQKLCKQLNDPPFNFMIHSSPFGLPSSRLPYAHWFLQIVPKLSVIGGFEIGSGCYINPIFPEDAAKILRELDCST >Et_4B_039560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21995762:21997362:-1 gene:Et_4B_039560 transcript:Et_4B_039560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLPQDALAAILSSLAPRGLAVSRCVCKEWRATVDARCKLRKDLLPISLGGIFIASPAFFARPSMARKIDGKLDSYVETEYPGDLPPIEDSCNGLLLLYGGCVVNPATRQSASLPPFPQSPDGFEGLCFDHDMCIVFDPTVSPHYEVLLLPYVPFDFEFEGNLQEGATWPPSPCIILVFSSRTGRWEQRSFMLEGEPAETIGNVKFSSEQFTHRHAVYWRETLYVHCKGDFIMRLKLSDDIYQGSPWERSYTSLLQKISQNT >Et_3B_029706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27262292:27265475:-1 gene:Et_3B_029706 transcript:Et_3B_029706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKKTKKAARVLDGEQTEGSPLVNIKESGESDLQDATGQVSAKKKESAKKKERGKKRTSSALDSTNDTVNEGNPEYNLNEPTMEERLATLNLINRNVEDNDTQEQSLSMAPPSADSVNILLKQALRADDNVALLNCLFNRDERVISKSVSLLTPADVVKLLKFFVLQIQSRGAVLVCLLPWLQTLLSQHMSSIVSQESSLQLLNSLYQLIDARTSTFKSALQLSTTLDYLFSDVADDEGDEEETIPPIIYEDKDTDEESDFDAMETDGEAEELGDVTDASEHSDASEIMSD >Et_1A_007890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39230342:39232920:-1 gene:Et_1A_007890 transcript:Et_1A_007890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGGGGAEPAAAAVETVAAGSAAADAVVSGSDVDGKEQVDGSTRPPAASYSAVVIGGTFDRLHQGHHLFLKAAAEFARERIVIGVCDGPMLAKKQYAYLIQPIEKRMEHVKDYLKSIKPDLEVHVEPIVDPYGPSIVDEALEAIIVSKETLPGGHAVNRKRSERGLTQLQIEVVELVPEESTGNKISSTAFRKMEAERELQQQKQQETQQQTVELECKT >Et_5B_045314.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1873481:1875451:1 gene:Et_5B_045314 transcript:Et_5B_045314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANMPSTQWISASLLVLLLSLHSGVQAFYLPGTFMHTYGTGEVISAKVNSLTSIETELPFSYYSLPYCKPPEGVKKSAENLGEILMGDQIDNSPYRFRVNVNESVYLCTTDPLTKEQAELLKKRARDLYQVNMVLDNLPVMRFTEQNGMMIQWTGFPVGYNPMGSSDDYIINHLKFRVLVHQYQAQGDVVVTSEDGVAMVESDRKSGFQIVGFEVVPCSVRRDPEAMSKLKMYEKVDSVNCPLELEKSQLIRENERITFTYEVEYVKSNIRWPSRWDAYLKMDGAKVHWFSIMNSMMVVFFLAGIVFVILLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPCCSKLLCVMVADGIQILGMAIVTIVFAALGFLSPASRGMLLTGMIILYLFLGIIAGYVGVRVWRTIKGTSEGWKSVAWLTACFFPGIVFIILTVLNSILWGKKSTGALPISLFFTLLALWFCISVPLTLIGGLMGTRAASIEYPVRTNQIPREIPERKFSSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLFIVLFLLVIVCGEVSLVLTYMHLCAEDWRWWWKAFFASGSVAFYVFLYSINYLVFDLRSLSGPVSATLYLGYSLIMALAIMLSTGAIGFLLSFYFVHYLFSSVKID >Et_2B_022245.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15026177:15026755:1 gene:Et_2B_022245 transcript:Et_2B_022245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSAVIAVGVLLLFAVLSVTAACTEAAASGAGDHLLPVCKTVGGGSPFFDVEFCMSTLRSDARSAAAETYRDFAAIAVGLLTNNAVMTVARIEGLNRAGGGKDKARTRCLESCEALYQGIMDRNRVCDAAIKGGKFGDAAAGMEKSAAEVKECEGGFAKSGLESPVTAEDNNAFKIAKLAVALLRFAS >Et_1A_005741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13305204:13308975:1 gene:Et_1A_005741 transcript:Et_1A_005741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDDDMMGGFGLPGYGGMGGLGMPGYGGMGGLGMPGYGGMGGLGLPGYGEEAEAPPAEMKEGEEREIGKEGLKKRLVKEGEGRARPADGDEVEVHYTGTLMDGTKFDSSRDRDAPFKFNLGQGQVIKGWDLGIKTMKKGENAIFTIPPELAYGEDGSPPVIPPNATLQFDVELLSWVNVKDICKDGGILKKVLAEGEKWENPRDLDEVFVKYEARLEDGTVVSKSDGVEFTVKEGHFCPAISKAVKTMKKKEKALLTVKPQYGFGEEGRPTSGEEAAVPPNATLHVDLELVSWKTVTEIGTDKKIIKKILQEGEGYDRPNDLRLTGKLEDGTLFVKKGHDGEEPFEFKTDEDQVIEGLDKAVLSMKKGEVALVTIPPEHAFRANETTQDLATVPPNSFVCYEVELISFDKEKESWDLKENTEKIEAATKKKDEGNVWFKIGKYARASKRYGKALSFVEYDSSFSEEEKQLSKALKISCKLNNAACKLKLKDYKEAKELCTEVLELDSTNVKAFYRRAQAYMGLVDLDLAEEDIKRALEIDPDNRDVLMGYRRLKEKVKEYNRRDAKLYGNMISKLSKLETQEANDQGSHAPSKKHGLWALAALLRRFFTTDGSKGSTLWLVLRLLALVMLVLAVCVGYYMQSSVQEIDCMNC >Et_8B_059462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18188685:18189028:1 gene:Et_8B_059462 transcript:Et_8B_059462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKMGIDFKTIVPTMGIGRIVLETDAMLVRLAIDSYSHDSSQVGGLIAELRHLLAEVVFKPPECNKVAHSLAALGSSCPVSAYPILESLVDCIHELVASDSAARVS >Et_2B_020703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22910880:22915052:1 gene:Et_2B_020703 transcript:Et_2B_020703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLYNYYHRKQFPLLAFADPKRFILAALLAACDLESDLLVYLKHEGRDAEAGTSVTARAVEDACGIAEALDANVDSPRMLTWPVSKVAVLLVDPTGKKCLIERSSVTKGVWSIFEKDFTVASIDVDLSGAGASNKVAFHSEIYMLQAAPYSLVEAKTDMKHDSLRFIEEHVYERTVNSNLTEMPIKDLISRMSGPIFIKNEDKACLKTSVVEYYHILPYKEVLLNLLNRERSLDSSQSIPKEQPLRKGKSSLHFEKNEIFKEQVATGKSNIKNTTNASDAKKNKGMEEVGNSGTKNCITSKKRKNRKRKSEVLKPSPKKDNGSLSNHDAETSKLVSNAGNAEKPRAQSGGLDDVVKWTRANQLEDLTAYKPVSLINLTMYESLQSLQKMRDDVVRMHCMLGDRSAQLDMDIQTILTEGKMTPRVISILKKYEENSSNMMKAMQELDHICHENNWLLPRYIVLPSLIDGFYQATVNLVCPDLILNADGGMKTTPREARDSAATAMLHQLHTKAKEKLAELESNTPTDAIMLLDKIPKK >Et_6A_047381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4878087:4881833:1 gene:Et_6A_047381 transcript:Et_6A_047381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLQSTVTGALQSMYMRALTRAVQSVLEKQIRFWKEVFRKALAQTNISLACNKRTFDRFYVMLQDPHAKPIVVSLEFLNFITSDFSEDQVLGRGGFGVVYKGILQNRKAIAVKRLKICQENLDDAQYKKELKNLFGLQHKNIKESQGTGKCVMAEIRERMLCFEYVSEKSLREHISDESCGLSWQERFEIIKGICSGLHYLHTKRDIAHMDLKPENILLDKNMVPKIADFGTSRLFDKNESRIITTNCVGSLGYMPPEYMNEGLVSKKADIFSFGVIIQELLTGSKSNDIQDKRNLRKYVVGKWKNRPDADSRQVRTCIEIASECVDTNREKRPTTDYIIQKLNEVKVVLALT >Et_1B_014403.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7781369:7783510:1 gene:Et_1B_014403 transcript:Et_1B_014403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECEKARVAANGDALCMVAPRADPLNWGKAAAELMGSHLDEVKRMVEEYRQPLVKIEGASLTIAQVAAVVAGDGEARVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAVVKLLNANVTPCLPLRGTVTASGDLVPLSYIAGLITGRQNSVAVAPDGSKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAILAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKEAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVARSKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIEILKLMSSTFLVALCQAVDLRHIEENVKSSVKSCVMTVARKTLSTNSTGGLHAARFCEKDLLQEIEREAVFAYADDPCSANYPLMKKLRNVLVERALANGTDEFSAETSVFAKVAQFEEELRAALPKAVEAARAAVENGTAAIPNRIKECRSYPLYRFVREEVGTEYLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWNGEPLPIC >Et_10B_004184.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17937272:17937496:1 gene:Et_10B_004184 transcript:Et_10B_004184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFWNALRNSIHGAPKQEQDSCFMLVSWMIWKARNARVFESCFSTTYAVTERIVEQGKLWQIAGAKRWREFWI >Et_5A_042666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24788426:24789831:1 gene:Et_5A_042666 transcript:Et_5A_042666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNTIKRPEHIQLKIDELSPREGHKGSRNEMIHNPVHRIPEKSGRCCLAVREVPDWDMHCISLLLTHEDKSKIYILKLLNLGDNCAVETPPPPAPPSMNQGEGTTITGNDAHGRNKEFEI >Et_9A_061855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17133040:17137408:-1 gene:Et_9A_061855 transcript:Et_9A_061855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGEIQKVVSMRRGGSGSMWRRGDDVFSRSSRDEDDEEALRWAALEKLPTYDRVRRAIVPDEAAGSGLVDVDVFSLSPQQRRALIERLVRVADEDNERFLLKLKDRVDRVGIDMPTIEVRFENLEAEAEVRVGSSSLPTEAANALHILPSRKRIMPILHNVSGIIKPRRMTLLLGPPGYGKTTLLLALARKLDKDLKVTGKVTYNGHEMTEFVSERTSAYISQHDLHIGEMTVRETLAFTARCQGASARGGKEANVVTDYILKILGLEICADTMVGDEILRGISGGQRKRVTTGEMLVGPARALYMDEISTGLDSSTTFQIVKSLRQSIHILGGTAVISLLQPAPETYNLFNDILLLSAGQIVYQGPREQFMGSRCPKRKGVADFLQEVTSKKDQKQYWARHDEPYRFVPVKEFSTAFKSSFHTGRALANELAVPYGVSGKELLKANIDREILLMKRNSFVYIFRTFQLMLISIIAMTLFFRTKMKHDMVTDGGFYLGALFFGVLMIMFNGFSELALTVSKLPVFFKQRDLLFFPAWSYTIPTWNVRIPITFIEVAGYVFLTYYVMGFDPNVRSFLKQYLLLLGVNQMAAAVFRFLSGAGRNMIVANVFASLMLLVVMVLGGFIIVKDKVKKWWIWGYWISPLMYAQNAISVNEMLGHSWDKILNSTASNEALGVQALKSRGLFTEAKWYWIGFGAMIGFTILFNALFTLALTYIKPYGNSRPSVSEEELKEKHANMKGEVLDGNHMLSASSHHSRGVNADTDPTNMQDDYVPNKKGMILPFVPLSLTFDNIKYSVDMPQEIKAQGAHEDRLELLKGISGSFSLMC >Et_3B_029160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22588310:22589212:-1 gene:Et_3B_029160 transcript:Et_3B_029160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQERANYHAGETKARAEERTGQMMGTAQDKAREAKDTASDMANRAMGRSHDAKESTKDKARDAADRSMGMGHDAKEATKDRAYAAKDTASDAADKGRGAAQATKEKAYEAKDKACETAQAAGDRARDGAGQTGSYISQTAEVAKQKAAGAAQYTKDTAVAAKEKTGALLQQAGETVMNTAVGAKDTVVSTAVGAKDAVMNTIGMAGENKDGTTTTTKQDTSTYKSGRDY >Et_3A_026541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9472572:9485927:1 gene:Et_3A_026541 transcript:Et_3A_026541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKRATLSESNVVTFLEKYFEKASSADAVTPTIDQGNTMVHKKTDLQRIANESRRRAVFNIRCEHLFKKASELSTLCGVKVCVVVYGENEAQLKVWPSIPEATDMLTRYKTMPKCEQRKRETTYEEYLRYRITKLKEYVIKQKQENRELETFTLLVEYMAGRCPDLAGISNEKLIDLREMVQRMMKKVKERMEQLGAMARKKVNLQWIANDKTRRATFKKRCTALMKKTSELTTLCGVKACMVVYEDDVAKPEVFPSVPEARQLLKSYKSIPDELENLKKAMNMEGYLRNRISKLHEQMCKSDIEKRNDRALYLLHEAMDGRLPGLVDLTKEELASLEWLVESKMRSTKERYKQLGFKEPLLPLQEHATSSSPQQAPFTSTEMQTMTPVEETPTQQEDWFADYSAQKGGELGSVVHGDLGASCGGAGPSSSGTGTNTIPHYNIWGTGISAVNESSQRGVFNSCCESFAKKKTAVDMARKKVNLQWISNDSTRRATFKRRCTALMKKTRELTTLCGVKACVVVYEAGAAQPEVSTSVPEARRLLESYKAMPDELENLKKVMNMEEFLRSRISKLQVQMCKSDLEKRKDHTLYLLHEAMDGRLPGLVGLTNEELASLEWLVESKMRGTKERFEQLGFKEPLLPLQEQAVSSSQQQAPFTSIEMQTMAPAEGTQAQQDDWFAGFAQNGAELGSAVYGDGAGPSSSGADTMQRYGIEILPLDIFYHEGKLGLCAWGSTNY >Et_2B_020908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24740213:24746020:-1 gene:Et_2B_020908 transcript:Et_2B_020908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRAAAIRRGAAAAFSTVSAKSETGLYGFDVLRTAKGFRRFVDDAIRRSGELVAYVAQMPPSAEIVRAMDEISNTVCTVIDSAELCRNTHPDREFVEEADKASMMIYEHLQREGALLTDEAQRAATTLRVDFEKGGIHLPKDKLDHISQLNLEIAQLGRKFNENVMNKPGFVDVYPASRVPRHMQHLFKTVYRFKPGSSEKQSNRMDPTRQRGLRIVTDSGNLSTVLRSVSDEDTMGCKSYADFAIRPNMAASADVVMSFLGDLSNIVRLKAEEEFKSMQDFKRRVCNEKSADLEPWDEDYFIGMMKSSVHNLDSSVIAKYFPVSQCLKGLNVLVESLFGATFHQIPMRHGESWHPDVIKLSLRHPDEGDLGFMYLDLYSRKGKFPGCAHFAVQGGRRPSDSNYQIPIIALVCNFSSGITARLSHGQVETLFHEFGHALHSLLSRTEYQHFSGTRVALDVAEAPSNLFEYYAWDYRVLKKFAVDETTGDPIPEKLVKALNASRNMFPATELQRQIFYSIMDLTLFGEQASTPMDTVSTVADLKRKHTCGKYVEGTNWHARFTHLINYGAGDAIISNSGTGIIPDISSLCREIGL >Et_4A_032667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12362019:12365212:-1 gene:Et_4A_032667 transcript:Et_4A_032667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKVSVAPLNHEISACPKVAEVIAGAFEPCVWGDFFISYTPPILQLSSLISFKTKLRAQMQELEEQMRDRADRLKGDVRRMFEAGNAVNMANMLILVDTLERLGIDNHFHDEIDTALRRIHSEELEFGSYNDLHIVALRFRVLRQHGFWVSPDVFDKFKDDTGSFSPSLSSDPRSLLGMYNAAHMAIPGEQSLDEAISISRRHLESMIRGNKLTSQMNDQVSRALDIPLPRLPKRLETMHYIVEYEKEEGHNSTILELARIDFNLVRSLHLRELKELTLWWKDLYGSVKLDYARDRLVENYFWTCGVFHEEEYSRARIMFAKTFGLLSLMDDTYDVHATLEECHKLNEAIQRWDERAVSALPEYMNLFYINLLKNFQGFQDTLEPDEKYRVSYATKAFQSSSKYYLDEAKWSSEKYAPSFDEHMEVSVMSSGFPTLAIVLLMGAGDLASKEVFEWASTVPDVVIASGEVARFLNDIAAYKKGKNKKDVASSVECYAKQHGMAREEAAVAVAAMAEHAWRRINQACMEMDRTLLQAAQLVVNLTKTLEVIYLGGRDAYTFAGDLRDLVTSLFIKPVPI >Et_7B_054434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21345441:21357082:1 gene:Et_7B_054434 transcript:Et_7B_054434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAANITKLRAALHAPVVLLSWAALISISVASGDVVTYSVPAFNATTANDLAAMTNSVFDAGASLVFDPDASSSEFNSSEGFLLLQQDVAIWRAGNPDLEASFNTSFTVVAGAAPVAFVVLEYDYPPFLQDGGLRGAANNTALAGTAERSNATGALAVVEVGPVMSYTPAAGLNVTVTPRGVGGRAVWIEYNATAHRLSVYLAGAGEPRPDTPLLRVPLGGGGWHWTTQTASVGFFAGKLTDVIAGVRDWDLTVDNISGDGKKGTAWWVILLAVLGSVAATAIIVSAVVMFCIRSRRPGEPRPVTPLLRPLGVDGWHWTWRARKISDTIVGVQDWELTVDNIFVTGRRARREILLTVLGSVAATALCPLWWCFVSGPGDVGNPSWTHQLALLLCVVLTISAGTATAGDVVSYSFPAFDATTTGSFVAATNSSILTPASLLFGSPSASLLPELNHSEGFLLLSRTVDVWRADPRAIFDVEASFNASFTLLAGAAPVSYVVLKDSFPPLNAPGGLRGAANQTMLPGGPVPSNATGSLAFVEIDAVRSYDYGPESSAFGLNVTVTPRGAAPAGGRAVWIEYRAAARRLSVYVAGAGEPRPADALLVMPLGVQGPWDTEAAFVGFFAGTIRDVIVGVRDWVLTVDRFPGDDGKTKGTSWWVILVAVLGSVAAAAAIVTVVATQAAQHGSAEDIAIGWRI >Et_2B_021560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30525567:30527134:-1 gene:Et_2B_021560 transcript:Et_2B_021560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSADTAAAVTSSTRRTRICAASFLSFRPTARLSGAVAASASPRRCQKDDNKQVFAAIDDDVDDLVEFFCDMLMRVHLRVSQDPLFYFLLKSKKLSLHDEGLFHAVTDSILGYLILFTVLDVSEPTWDELVLRCETPVLVEFWAPWCGPCRLMHPIIADLAKAYTGKLRCFKLNTDKNQDVATRYGIRSIPTILIFKNGERKETVIGAVTDSTLAMTVERFL >Et_6A_048132.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7272719:7273336:1 gene:Et_6A_048132 transcript:Et_6A_048132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSRKATTSAAVVLATAVTMALLAAPATALVPYGGLWDLLDDPFRVLEQSPVALSSSPRPAAGDAAGAGVALARCDWKETPEAHIISVDVPGVRREDVKVEVEENSRVLRVSGERRADEEKEGERWHRAERAAGRFWRRFRMPAGADVDRVSAKLENGVLTVTVPKVAEHRRREPRVISIAGEDGDGKGGAGAAEVKASKAEM >Et_4A_033883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27626950:27628930:-1 gene:Et_4A_033883 transcript:Et_4A_033883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGATYKHQLDHKHISSPLMDKAYIAILSIFLFLLHCLVGRYGSGGGHGTGARKLPPSPPAVPFIGHLHHVKTPFHKALIRLAARHGPVFSLRMGSRRAVVVSSPECARECFTEHDVTFANRPRFASQRLVAFDGAMLFTSSYGPYWRNLRRVAALQLLSAHRVACMSGAIAAEVRAMVRRMSHAAAAAPSGAARVQLKRRLFEVSLSVLMETIARTKTSRTQANADTDMSPEANEFKQIVDELVPYLGAANRWDYLPVLRWFDVFGVRNKIRATVRRRDAFLQRLIDSERRRLDDGSDTEKQSMIAVLLTLQKTEPEFYTDTVIMALCAVKLIWRRNGDHIYHTTEWAMSLLLNHPEALRKAQAEIDATVGTSRLLTVDDVPHLAYLHCVISETLRHYPGAPLLLPHENSADCKVGGYDVPRGTMLLVNVYAIHRDPAVWEDPAEFRPERFEDGKAEGRLLMPFGMGRRKCPGETLALRTVGLVLGTLIQCFDWETVDGAKVDMTESGGLTIPRAVPLEAMCKPRAAMRDVLQEL >Et_9B_064649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17339732:17344281:-1 gene:Et_9B_064649 transcript:Et_9B_064649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPSPAAAAVSLAPRKRWRYLAATCAAVALLFFSVVVPLAVLLGLHARFPSMYLVDESAVSVFDDSEGGSWEPIPSEENDIIQVNNTMEEHVPVISKVNFSSFCQPLLNLKLFYAQNGRSLPIPQATTLENSSLLNITYIDLKDKDNFEQGLPGDESGKSCQLEFGSYCRWSVEHKEVMTDSTVKRLKDQLFVARAYYPSIMKLEGMEKLSREMKQNIQEHEHMLSDAISDADLPVLHGVNMAKMDQTIAAAKSCTTECANVEKKLRQLLDMTEDEALFHAIQSAYLYRVGVQTLPKSLHCLSMRLTVDYFNSSADREHSDTEKLENPALWHYVIFSTNLLASSMTINSTVINSEESANMVFHLVTDAQNFYAFKNWFIRNSYKGATISVLDFEDFQRKNLDNGEVEQLSPSEEFRITTHRNASMLNTRMRTEYISVFGHSLFLLPELFSNLKRVVVLEDDTIVQRDLSVLWNLDLKGKVIGALQFCRVRFGQLRAYLPDIPYNSSSCIWMSGVSIIDLDKWRKHGVSRIHHQMLQKLKHDSEASWRAAALPTSLLAFQDLILPIEDQWAQFGLGYDYGLTHGAIKKAAILHYNGNMKPWLELGIRRYRKYWKKYLPRDEPLMIDCNVNP >Et_2A_015916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19016857:19019835:-1 gene:Et_2A_015916 transcript:Et_2A_015916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGHRRRGARLFQLLQPLVLLILLAAAEGKEKGAARRLRFRRDSGTFKVVQVADMHYADGRRTGCEDVLPSQVAGCTDLNTTAFLYRVFRAEDPDLVVFTGDNIYGSDSTDAAKSMDAAIAPAIDMKLPWAAIIGNHDQEGTLSREGVMRHLVSMKNSLSSINPDGIEIDGYGNYNLEVLGIEGTSLDEKSVLNLYFLDSGDYSTVPGITGYGWIKASQQVWFQQTSSRLQRKYIHESPKQKEPAPGLVFFHIPLPEFSSFTTANFTGVKQEGISSASINSGFFATMVEAGDVKAAFIGHDHINDFCGKLNGIQLCYAGGFGYHAYGKAGWSRRARVVSVQLEKTDSGEWRGVKSIKTWKRLDDPDLSTIDSEVLWNRGSNGRRKKNPDVIGTSGLNVIN >Et_1A_005260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35920403:35920665:1 gene:Et_1A_005260 transcript:Et_1A_005260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHARTRFGSFIFREIVMVACWTIWCHRNGIIFITRCYPLMHGRTASLRR >Et_3B_030080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30343606:30350552:1 gene:Et_3B_030080 transcript:Et_3B_030080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQASSSSTAAAPSRKEHLEAGKKRLEQFRKKKAAKKSAAAVEQAKPPVPDVVEKTPPIANTASPGDGLASDVELNTASTSSLPSAKYENGPISSSRTAEFQSNGPAPVSASAGDSSVSLQQDAVSDGGSKFYGNLSFSDLVNGHHENWRGNTLKKDEPSPEKEVQSTSKLNAFGNINSLGSPPSVDTLPSWRRDSLSSQVRDTEQSSSSTSSTLFGRSESTYSQDYSMNNDIFGRFRATSKVSSQVEQSVYARDYGSTLNSSRIVDTVDHNTNVEINWKAEDSTPVSFEKHDPFLSAGYPTTYTRSRPSFLDSIGVQRAPPTTQASYGEPPKANQLSSNSNYQGSFLQQSNQQSTSSNVVDISLRSGSQEYNHDKGSYDNSIPPDFPVSKEERSLQHGNQTFQNFTTHGKDDDFATLEQLIEDLTKEKFSLQRTLEKSQELAETLATDNSALTDKFNQQAHVISQLTSDMERLQEEIQAQLLALESVRTEYANAQLECSAADERAKVLAAEVILLEDKALKLRSSELKLEKQVEGLHSEISSYRRKVSSLEKERQHLQSTVEALQEEKKLLYSKLRNLPVNEKVNITEKPSADKRDASTATEDLDIGEISSSETLASTVDTLEDAGTSVLRFNNMSDIPSLEEISTSIPDDQLRMIDNINSLMSELAVEREELLRALRIESSNCSKLKELNKDLTQKLEIQTQRLELLTSQRMANENVLAKPIDTRSINDATMYADEGDEVVERVLGWIIKLFPGGPKRRTSKLLRYSVSAAATVFVVISLQPQLQPAKQPE >Et_2B_020404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19967833:19970059:-1 gene:Et_2B_020404 transcript:Et_2B_020404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPRTAMATTLRPRKASALAMLLLSLLAPASSAQQQQQQPPPPALRPDNCRDRCGNTTVPYPFGIGAGCYRDGLEVVCDETRSSPLLRIASLYLEIDGLSVATGEARLHPNASRSCHNATWGSTSGNSTFAPVALGSGSAYRFSAARNRLVALGCPNLSYFVNGVGEYASGCVSVCSQSGASGHDGDDDTTTQQCTGVGCCCQVGIPRDTYHFEPYLYRDFAHQQGLNASGGTACRYVFLAEDEWWSGQRQSYRAYLSRTDDFAVPVVLDWAIRNVADCSAAVSNETADYACRSANSRCVDSTNGPGYRCECFDGYEGNPYLEGGCTDIDECNPNYKLPCYGICTNTPGSYICECPPGTNGNTSMPNGCRAKDKFTPALKVVAGVSAGVSLPAFMCFWIYLGLQKRKLIKTKQRFFEENGGVLLQQQMRSYGGGATGFKLFSEEEIKKATDNFAADHPRRRQDVQHPARHHNLTAKVSDFGASKLAPTDEFEIATFVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVLLELLTRKKALYFEGPEEDRSLVSCFITAAKAGRHRELLDAQARNEVRGEVLDEIAHLVLQCVSMTGEERPTMKAVAERLEMLRRYQQHSWVQADDDDLERQELLGIEQHNSLPYKKFDSD >Et_10B_003704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5017268:5019222:-1 gene:Et_10B_003704 transcript:Et_10B_003704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IFIIWRLPFSLNCCKQVLQAHSTAASNARKHVEGGGQVPPAIFLGKGSNTLSLSNIMAHKTTGPSYKKTMRVNQGQFKPGTNRSLTWQKPVPSDNLVITFPDDDSEADSEKIKQGRVRDRKASSEGKQKTGNSMQTRIMREEASRQKNYGAKIGSTNFPAFPLSLRNAGPCRKSSLCTKLLLKAKQKDGNGGGGNSADHRLESWRHKIAARENELKGQKRPMIFVMIKQGCHQKKKRLEASNSGECSHIDNLFDHDARPNKRVKLNQQHSESVTVAPVTSSGVNSVKSSEFDNGIRMNCNADETEHRVTTKPSGQIQQGEAAKNLPSDKIHHKSTEGAGNHDTVNLHRRLAAAPFTGGQSIPEDTNALMPVTSAQVRQRVPPVGTSTVSNPRPHLEPGDENADPFKCNGRIGVEGRDSRLFSLLEMEELQERELEEAREDSRKCEVEERSSESLSQSTKSFT >Et_8B_060388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12354702:12361953:1 gene:Et_8B_060388 transcript:Et_8B_060388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDAGGAAEEISAAAASGGAEGAAGEKRDKSSLMLRERGRFSPARYFVEEVISGFDETDLYKTWVRVSLAASAVFLRLPALPSFRLSAATAWSRASLWWHLRLFLTSAMRSPQERNTRLENMSWRIWNLARKKKQIEGEEASRLSKRRLEREKARQYAAADLSEDLSEGEKGESINEPSVHDESMRVRMPRIGSTDAIEAWASQHKDKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSTPGVYRVDLLTRQISAPDVDWSYGEPTEMLSPRSSENLGHEMGESSGAYIVRIPFGPRDKYIPKEHLWPHIQEFVDGALVHIMQMSKVLGEQVGSGQPVWPVVIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQLLKQGRQTRDEINTTYKIMRRIEAEELCLDASEIIITSTRQEIEQQWGLYDGFDLTMARKLRAIPPGMEFSHIAPHDIDLDGEEGNDDGSGSQDPPIWGDIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHRELRNLANLTLIMGNRDVIDEMSSTNAAVLTSVLKLIDKYDLYGQVAYPKHHKQSEVPDIYRLAARTKGVFINCAFIEPFGLTLIEAAAYGLPMVATRNGGPVDIHRVLDNGILVDPHNQNEIAEALYKLVSDKHLWAQCRQNGLKNIHQFSWPEHCKNYLSRVGALKPRHPRWQKSDDATELSEPESPEDSLRDIHDISLNLKISLDSEKSASKEGNSTTVRRHLEDAVQKLSRGVSTSRKDGSGENAEATTVSSKWPSLRRRKHIIVIAVDSVQEADFVQIIKNILEALSNERVSGAVGFVLSTSRAISEIHALLISKGIEISDFDAFICNSGSDVCYPSSSSEDMLSPGELPFMIDLDYHSQIEYRWGGEGLRKTLLRWATEQNNESGQKVVVEDEDCSSAYCISFKVKNTEAVPPVKELRRTMRIQALRCHVLYSHDGSKLNVIPVLASRSQALRYLYIRWGVALSDMTVIVGESGDTDYEGLLGGVHKTIILGGSFNAAPNQVHATRSYSLQDVVSFEKPGIASVDGYGPDSIKSALQQFGILKG >Et_10B_002374.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:1538933:1541153:-1 gene:Et_10B_002374 transcript:Et_10B_002374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLDTLANLGLLFCLFLVGLELDLSSIRRTGRKALAISLSGIALPFALGIGTSFAFRATIVKDAPRAPFLVFMGVALSITAFPVLARILTELKLLTTDLGRTALSAAAVDDVMAWILLALAVALSGTDSSPIVSLWVLLTAAGFVAAAFVLLRPALAWMARRCREGEPVREAYVCATLALVLAAGFLTDAIGIHALFGGFVVGVVVPKDGPFAGMLIEKVEDLVSGLFLPLYFVSSGLKTNVATISGAKSWGLLVLVVANACIGKIGGAVATALLVKIPVREAVTLGFLMNTKGLVELVVLNIGRDRKVLNDEAFAIMVLMALFTTFITTPIVMAIYKPARPSAPYKRRTVECELRVLACFHSSRNIPTLLNLVEASRGTGRRRLAMYAMHLVELSERSSAITMVQRARRNGMPFFNSSAGDREEGQNVVVAFEAFERLSAERVRAMTAISDLDTIHRDIIDSAVAKRAALVVLPYHRALNHDGSFTSLGSAYRAVNRRVLREAPCSAAVLVDQGSAATRRCPPRASPSPSRPSSSGAPTTGRRSPSRPAWRSTPASPSRSPGSAPTFFFITLTPATTPSATPTRPPSRRSRQTTSAAR >Et_1A_008585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:930078:931096:1 gene:Et_1A_008585 transcript:Et_1A_008585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGYKVTLNVYDLSNGLARQLSTSFLGKPIEAIWHTGVVVYGNEYYFGGGIQSSPVGTTPYGRPMRTVELGVTHIPQEVFEDYLRDIAPRYTAETYRLLTHNCNNFSNEVAQFLVGVGIPEYILNLPNEVMSSPMGPLIMPMIQNLEATLRSNTAPQATQFVPTPASVSVPPQPQKTAPAAGSDTKREAPPAPAAEEKKAAKQTTAPAADPLGSARGKVQEEVMREFAAIMASGTLRASEAAALAMRRVMERHGDGATMQQS >Et_4A_033561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24418884:24429967:-1 gene:Et_4A_033561 transcript:Et_4A_033561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAAAPPTHCRLLQLALLALVLVPLGGHGLRVRAVGADTGGLSRAAFPKGFVFGTATSAFQVEGAAATNGRGPSIWDPFVHTPGNIAGDANADVTTDEYHRYKEDIDLMKSLNFDAYRFSISWSRIFPDGEGKVNEEGVAYYNNLIDYLIKQGLTPYVNLHHYDVPLALEKKYKAWLSPKIVDIFADYADFCFKTYGDRVKNWFTMNEPRIVALLGYDTGTDPPSHCTKCAAGGNSATEPYTAVHNIILSHATAVARYRSKYQASQKGKVGIVLDFNWYEPLTNSSEDQAAAQRARDFHVGWFLDPFINGQYPKVMQDIVKDRLPSFTPEQAKLVKGSSDYFGINQYTTYYISNQQTPQQTPTSYSSDWNANSNWLYIVPTGMYGVVNYLKEKYKNPTIIISENDGCSVGGDAGGAAGRVRRSARRRRRDVVGGREDVARRAEPDVLSGRRHRRTRSRAWRTPADGGHPSGTHSRTPQEDVDLMKILNFDAYRFSISWSRIFPDGEGRVNPEGVEYYNNLINYLLQKGITPYVNINHYDLPLALDKKYGGWLSAKIPELFADYADFCFKTFGDRVKHWFTFNGPRIVALYGYDKGTSAPKRCTKCAAGGNSATEPYIVSHIFLLSHATAVARYRTKYQAQQKGKVGIVLDFDWYEALTNSTDDQAAAQRARDFYIVARNGIQIGPKAKSNWLYIVPTGMYGCLNYIKQKYDNPTIIITENGMDQPGNLTRDQYLHDDIRVQFYRSYLTELKKAIDDGSNVLGYFAWSLLDNFEWALGYTSKFGIVYVDFDTLKRYPKASAYWFKDMLQKH >Et_8B_058638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12067821:12068280:1 gene:Et_8B_058638 transcript:Et_8B_058638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDGGSGLAPPPPPPRYVMLTEEYGSDTLARRPPRHAGDGDSGCCGCVCWCCCFLLLFLAAAAAAGAYLVHVLKPRAPSYSVSDMSVAEFDASASDRTPTTRSASATVPARAPSWRTGAPRSAPAACPSSTRATATRR >Et_5B_045453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2384706:2386079:-1 gene:Et_5B_045453 transcript:Et_5B_045453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETNNGTTVQSAEEDRLSMLTDDILLSILGRVDISTAVRTSLLSARWSNVPWLLPELNVDVKDFLPVPNPNTIEASHMDDAMASLTKVARSFLSKPRSESTVTRMHLTIYLINNFSGDVGPLLIDAIDSGRLKDLDLIIMDEKDPPDCSDEEMLQKAQDVNGFFNACPSVLVCLTKLSLYNVCFGQLDIHHVLFDFCKQLKHIALFHCDAGRRSIWKIDAPHSELWCFRALYVLQCCIEKLEVVCLPKLEKLHCDSHVRTAMLSAHLGVLNGARLPKE >Et_8A_056156.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8574145:8575770:1 gene:Et_8A_056156 transcript:Et_8A_056156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LAPPPAVVSALLPLLDGAVAAAGEVRLLPPLGARAGTDDDEARGVYGVHAPVRAWRLAGGGAVEHGGVVVQADGEPHHHLGQAQRLGGVSVAVLLGRRRGAREDGDEVHHLEHEVSHEPERLRGLRPGVCGGGGRVEEAERLEPRGGRGERERGEVDGEVRLRQQQRAVEERQVGPHAADQGREHEEREEEERDGRRGGRRRRPPPAQDRAHAVGDAGVRGGEVRLGLVREARQVRHQRLLQPRREAAELGDEVVAGALLRRPVSEQDHVLVHLHPRLLARRRVRLRAGLPLDSNNRRRLLPGFRRLGAGVRGRIGRFPGFGAGRRGLGFLLLRRLRRLGRGGGSFRNLGRLRRRIRALRRLPGRRLVRLRNLGLGNGAVRARFPGGGLLCGPLRRRLRFLGLGKLGLVLGVSGDYLLLDLSPSRGGGLLDRLHHHRRLLLPVVAVVVHGRRRQQRLAPAGELGLRLPGVGGGGAERNVGAEEREQQDEHQHGPRRPRRHLFLFFSFSGSRGRSGGAERVVVCTAAGSGWRCWIWTGRTEA >Et_4A_031786.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:14552264:14553154:-1 gene:Et_4A_031786 transcript:Et_4A_031786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMYDVVKAYLDRLLIEVLNDSLLNMIYARSLAMSQMMQLAGNISVLEQACGMFLLHAAHLCGIPKRIAERSHSSLTARAVLKASQNAVYNALINLANFKVDEFMILLENVNWIAEEAPDISNDYMNEVLIYLETQVSTAQEILPLEALYKVVSGIMSHISDSIMTTLLNEGVKRFTANAVLGLDMDLKILEAFADEKFESMGLSVSGKETTFRDCLVEIRQLVNLLLSSQPENFMNPVIRQRNYGSLDYKKLSIICDKYKDAADGLFGSLSNRNAKQSARKRSMDVLKRRLKDFS >Et_2B_021716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4810009:4812250:1 gene:Et_2B_021716 transcript:Et_2B_021716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPLPPPAQPPVPPGADGAAALLPPPGTDMTGICFRDQLWLNTYPLDRNLVFDYFALSPFYDITCNNESLRSRQIHPLDMSHLTKMTGTEYLLTDVMEPHLFVIRKQRRENPEKSTAMLAYYILDGSIYQAPQLCNVFASRISRAMHHISKAFTAASSKLEKIGNVETESDAATSESKTQKEAIDMKELKRIDHILSSLKRRLPAAPPPPPFPEGYVPPSSEQEKAPDDTLASEALPPQIDPIIDQGPAKKPRFQ >Et_1A_008175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:514815:516470:1 gene:Et_1A_008175 transcript:Et_1A_008175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHRRGSLSSSSSSSSGRRTPEPERIALSRPLSEAGDGRSGRLRSLFRSIGVWFSSVSTSFAASTSGATATATSRKNRIKEAPEDDVVKKPPLPGHTGRPSARGLYSGSGRQQQFQSSVFSLEEILRATNNFSPALKIGQGGFGAVYKGVLPDGTVVAVKRAKQRMQNPHVDVEFRSEVKIMARIEHQSLVRFYGYLECGEERIVVVEHVPNGTLRDHLDRSEGRFLDFGTRLDIAIDVAHAVTYLHMYSDHPIIHRDIKSSNILLTDSLRAKVADFGFARLGAGLGQGGHVSTQVKGTAGYLDPEYLKTCQLTDRSDVYSFGVLLVEMASARRPIETKREMRERLTARWAMARFINGAAADVLDPYLARTPAADRALESLLELAFRCMGPVRNDRPAMSECCRALWAIRKTYRDALAAADVVIKPQLSQHKSGDLWRT >Et_10A_001034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20357064:20360053:-1 gene:Et_10A_001034 transcript:Et_10A_001034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMESPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKSLMVSNQL >Et_10B_003298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18682811:18684711:1 gene:Et_10B_003298 transcript:Et_10B_003298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHQNVVVFIQGSVPNWIKAMAKESSYAEKLAFIEEMTTDVDAVQERVLAEILGRNGDSEYLAKKCGLAGATDRATFRAKVPMVSYKDLQPYIQRIADGDRSPILTGAAHPVSEFLTSSGTSGGERKLLPNVEDVVGRRMMMPSLAMAVISQYVPGIDKGTTLYFHFVKSETTTPGGLPARTVLTSLYKSNRFKNSSSTTSPLAAILCEDTFQSMYAQVLCGLCRRRDVVRVGAVFASGLLRAIRFLQTNWEQLADDIEAGVLNPRIVTDPSVREAVSGVLRADPELARFVRAECSKGDWAGIITRIWPKAKYLDTVVTGSMAQYVPTLNYFSGGLPVASTMYSSSECSFGINLRPFCDPSEVSYTIIPNMAYFEFLPVDAQDEDARDLVELARVEVGREYELVVTTYAGLNRYRVGDVLRVTGFHNAAPQFRFVRRRNVLLSIEADKTDEADLQRAVERASSALLRPHGAAVLDYTSRACTETIPGHYIIYWELMMTNKDVAVDGDLLERCCLEMEEALNAVYRQSRVADGSIGPLEIRVVRSGTFEALTDYAVSRGTSVGQYKVHRCVTTLPAVIELLDSHVVSCHISPKLPHWAHSQRSHSTP >Et_1B_013871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21772572:21777626:-1 gene:Et_1B_013871 transcript:Et_1B_013871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTAPSFLRPAPLRHHPHPRVRLPPPSASFRVSEILGGRGLCNGEVGVRKELSSSPSPATSPPAADSSPAEAAAPPAAAPPAVDPDAFEKEMMGLTGGFPGGEVGLKDFVAKNPPPPRRKKGAKSRQQGGLAVAGPPRPPELPLFLPGMVVLVKNPNNAYHNYCGIVQRVTDGKVGVLFEGGNWDRLITFNLDELEGRETGPPMVNPKSVVLEALVAELEGDGQKEAKEEEKKEAAAVYVTYEVVRGEIKYVEVP >Et_4B_039356.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:11270144:11270419:1 gene:Et_4B_039356 transcript:Et_4B_039356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPPAVVSSDAAAADAAEAERKRQEEATRPAERKAKAERLKAERRHLEAEAARISDALRQLDAEEEALQDEEDQDGLPPDARECPSLRT >Et_1B_012817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35320885:35322457:1 gene:Et_1B_012817 transcript:Et_1B_012817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRIVQQLGGGCFSLVELGEGHALYLEVVVASEELCDEHAGGVDDGVLGGALLVPGLRLAGLRAVGPVEVVEQGVALVAEEPVGDEVVGAVEHVRVPHGQRLVSAEQLAPRDGERGVVRAENKVPARAAELQRHGRPHPQHLVHGRPHQRHLPHRREANIRGGVAIQVEQQSSPHLVPEARSESRGVPGASDLGDEEWQEDGEAAEGVEPQDEEDVVDGVLLGEAVPRLAERDGAGAVLVDEAVEAVVERGDGEGGEREGVQEQLLERLEEAHLLRGDQLQRQPHVQDPEAVLVQERLGGRVRAHQRLAQETEQAALQLNDEERRRLWGIVVVVFFTGFLLLLLEVGLDCVEHGVDAGAELGGPDAGAAVEEVHGEGLGAFVLGLLRVEVHADAGAPREARAGVVGPDQHVALVHQGRVEQRREGGGVHHGHHARVHAHEGRGDVGAEHGAAVRGGAPTEELLGALEPEVVQPVAEGTDHRRAVASRYRTQRRRRSRRRRRKRRQHGS >Et_8B_059341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16885044:16890260:-1 gene:Et_8B_059341 transcript:Et_8B_059341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPKKRGSRIEPFRHRVETDPKFFEKSWRKLHDAIREIYNHNASGLSFEELYRTAYNMVLHKHGPKLYEHLGANLKEHLEEMRASIEAAQGGLFLEELQRKWDEHNKALQMIRDILMYMDRTYILTNKKTPVFDLGLELWRDTIVRSSMIQGRLLDTLLELIHRERMGEVINRSLMRSTTKMLMDLGSSVYQEDFERPFLEVSASFYSGESQQFTECCACGEYLKQAERRLNEESERVTQYMDSKTGEKITAVVVKEMLANHMQRLILMENSGLVNMLVEDRYEDLTRMYSLFHHVDEGHSTIRSVMASHIKETGKSLVTDPERLKDPVDFVQRLLNEKDKYDNIINVSFSNDKSFLNALNSSFEHFINLNNRSPEFISLFVDDKLRKGVKEASEEDLETILDKVMMLFRYLQEKDLFEKYYKQHLAKRLLSGKAASDDSERSMLVKLKTECGYQFTSKLEGMFTDLKTSHDTTQNFYAASSSDATEAPTISVQILTTGSWPTQPCTTCNLPPEILAVSEKFRAFYLGTHNGRRLTWQTNMGTADIKATFGNGRKHELNVSTYQMCVLMLFNSADALTYREIEQATSIPAADLKRCLQSLALVKGKQVLRKEPMSRDISDDDSFCVNDKFTSKLFKVKIGTVVAQKETDPEKLETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIMTEVTKQLQPRFLPNPVVIKKRIESLIEREFLERDKTDRKLYRYLA >Et_6B_048214.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:11139248:11139892:1 gene:Et_6B_048214 transcript:Et_6B_048214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQERFYNERKVELTTIDLSSNFLTGRIPEEIVSLGNLINLNLSRNYLRGTIPGKIGAMKKLLSLDLSRNMLNGEIPRSLSNITSLSYLDLAYNNLTGTIPSGSQLETLYAENPNIYNGNVGLCGPPIQKKCWSNDEPKQGHQVAAAQNLLMEQFYFPLVLGFIAGLWVVFFALLFKKTWRVEYFRLSDMLSDKVYVTLVVGWARLTRKTVEE >Et_1A_005819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14296678:14300025:-1 gene:Et_1A_005819 transcript:Et_1A_005819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSGVAMAWNVFRFCTALRGLGSVMILLVLAIVGATYYALVLCNYGPALLAAAGPLDALAALAVLLFHFLLVMLLWSYFSVVFTDPGAVPPNWRPDVDEERGETAPLTTSDFDSLQSMALGDPGSPRIRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKFFLLFLFYTFLETALVTMSLLPHFIAFFNDVEIPRTPGALATTFLTFVLNLAFTLSVLGFMIMHISLAYEKKTTSFWKYDLGRKRNFAQVFGINKWYWFIPAYSEEDLRRMPALQGLDYPVRSDFDGQGL >Et_2A_014771.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:13626425:13626487:-1 gene:Et_2A_014771 transcript:Et_2A_014771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYSKRYGRRCGLGWTRQG >Et_7B_054933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5767398:5771612:-1 gene:Et_7B_054933 transcript:Et_7B_054933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYAQAVPPPDLNKNTEWFMYPGVWTTYILILFVSWLLVLSVFGCTPGMAWTLVNLGHFAVTYHFFHWKKGTPFADDQGMYNRLTWWEQMDNGKQLTRNRKFLAAVPVVLYLIASHTTDYQHPMLFFNTIAVFVLVVAKLPNMHKVRIFGINAGN >Et_4A_034684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5386313:5390265:1 gene:Et_4A_034684 transcript:Et_4A_034684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRILSAAVRRRSAIAAAAAGNAREASTAVAAAPGVIAPDATPVQAPVMQYDRIAEAVNARIRRLEHPDPRFLRYANPVPAHADHTAILAAPATRVTTLPNGLRVATESSLAARTATVGVWIDSGSRYENEEAAGVAHFVEHMLFKGTSKRSAAQLEEEIENMGGHLNAYTSREQTTYYAKVLDKDVPRAMEVLADILQNSNLDEARIERERDVILREMEEVEGQSEEVIFDHLHATAFQYTSLGRPILGSAANVKSITKADLEKYIATHYTAPRMVITASGNVKHEDIVEQATNLFNKLSTDPTTTSMLVAKEPASFTGSEVRIIDDDMPLAQFAVAFNGASWVDPDSIALMVMQSMLGSWNKSAGGGKHMGSELVQRAAINDIAESVMAFNTNYKDTGLFGVYAVAKADCLDDLAFAIMQEMSKLSYRVTEEDVIRARNQLKSSLQLHLDGSTAIAEDIGRQLLVYGRRIPIPELFARIDAVDASTVRRVANRFIFDQDVAIAAMGPIQGLPDYNWFRRRTYMLRY >Et_4B_038374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28553529:28555846:-1 gene:Et_4B_038374 transcript:Et_4B_038374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NGAAEDDGTGVPVFAEYSLDELRDATNGFAPDRIVSEHGEKAPNVVYRGTLFSSGRIVAIKRFGRSAWPDSRQFLEEARAVGQLRSGRLANLIGCCCESGERLLVAEFMPHETLAKHLFHRENNPLNWAMRMRAGLYVAQALEYCSSKGRALYHDLHAYRVVFDVDGNPRLSCFGLMKNSRDGKSYSTNLASTPPELNPKSVLYSFGTILLDLLSGKHIRPSHALDLVKGKNFLVLMDSCLEGHVSNSDGTDLMRLASRCLQYEARDRPNLKAVVSGLASLQKDASTPSRTLLGIQHDNEVSDQLALSAIGNFAQADLNIVHEILQDDGYNEDDMASSEVRCPFASKPFS >Et_10A_001407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2825701:2830113:1 gene:Et_10A_001407 transcript:Et_10A_001407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKGSCDAELSLRPPEPPRDPLEFLSRSWSASAADVSRALAAAPAPALGAGTVIAEDVAAKLDGDGGGGGATAAGSSFSFASAATSQLVLDRIMEPSQEVSPLTSGRLSHSSGPLNGGGSLSDSPPVSPEVDDSKFCRAASTPKQQPVYHRGGSKTVGRWLKDRRERKKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAAASGKDGRADAAVASAATLVAAQCVEAAEALGAERDHLAAAVGSAVNVRTPGDVVTVTAAAATALRCSATLKARALKEVWNVAAVIPVEKGAMGGGGGHQRHGDRHNGGYSHHKQLLQLEHRELESSSSFGDELVPAEENAFLGNCTLELLARGTQLLKRTRKGALHWKVASVYINRNGLVMLKMKSKHVGGTITKKKKSVVVDVCRDVAAWPGRHLLDGGEHRLYFGLRTAEHRVIEFECAGQTEYDMWTKGVARLLSIVDGRKRLA >Et_9B_065942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18343640:18347491:1 gene:Et_9B_065942 transcript:Et_9B_065942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRLAAEAPGAWPATTSPSPPTHSITGEIPQGPRLLPRHKRRRARGQTPRRRRYGRCGNATVFRLLQAIPDMAAHAKETDISLGSKIDAGGVQSWVSPSGLFAFGFYPNAEGFSIGVWLVTGASRIIVWTANRDDPPISGGSIQLTYGGSLQWIPANGGSPVKSISSTTSQVASAAMLDTGNFVLYDIKKQVIWSTFASPTDTLLPGQNLPPGSQLFSSVSDTNRATGKYRLSNQQDGNLVMYPVDAIDPDSSYWNTGTFGSDYLFTLSLDPNGTLWMFDQNTAYMDVLFLTNQSSKASSDTDVKYRLTLDADGILRLYSHVFFKQGRSPVTEVRWLKPSSDRCEVKGVCGPNSFCQVGSNGETSCSCLPGFEFSSAKESMQGCWRVHTGGCPGNSSNDDIRSVATMIEVKNTSWSDKSYAVPPQTTSIEACKALCLSDCACEVAMFNSYCSKQMLPMRYGRILPRSNTTLFVKVYIYEPKGAIKKNRSAGSVSMLISGAALAIFSLILVSASMLLCKHRLSLRYMRAPQQQTSEFGDESIVIRSYSFQDLELSTEGFAEELGRGAYGTVFKGVFTNSNTDIAVKRLERMAENGEREFQREVRAIARTHHRNLVRLFGFCNEGMHRLLVYEYIPNGSLADLLFKSDALPSWSNRVAIALDVARGLQYLHEEIEGPIIHCDIKPENILIDSTGRAKIADFGLSKLLIGGETRTFTGIRGTRGYLAPEWSKNMAITVKADVYSYGIMLLEMISCKKSMELKLCGEECNISEWAYEYVISNDLKKVAAGESVNEIELERMVQIAIWCTQNQPVTRPTMKNVVHMLEGSAEVRQPPPPASFSQSLERPSGGS >Et_4B_036988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13128565:13131540:1 gene:Et_4B_036988 transcript:Et_4B_036988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVSLSVEDVLRVNGSRRFAAAMAAASPFATLADALLAARRIWLNEVDVNGWLEAFAAHPAIGTTSPSVPKWCKEEQSAALSTATDSTAQELAEWNARYREKFGFVFMICASGRTTPEVLSELKRRYVNRPIIELEVAAQEELKITELRLAKLFSSEATVPSTTTVGPTIKSDKAAGSSNRTRPPITTHVLDVARGSPASGIEVHLEVWKEVSTPPSFSDKDFKGWKTVGSSVTNNDGRSGQLMDIVDNVAPGYYRISFNTSKYAPSGFFPYVSIIFEIKENQTAEHFHVPLLHSPFSFTTYR >Et_6A_047714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1068074:1070743:1 gene:Et_6A_047714 transcript:Et_6A_047714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCPILLISFPSRAAACCNTSPSHLPMGPKQDQSMANGTAARKETKVVVHYRECQRNHAASIGGYAVDGCREFMALGAEGTAEALVCAACDCHRSFHRREVADQPDGDCSSTTTTG >Et_4B_038204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27114362:27117898:1 gene:Et_4B_038204 transcript:Et_4B_038204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTVRALHLPTPPKPSNLSSHLPLIPSHIRLRRSSRGHRIAASSSDLTAFPEPTPIPNGVYVPLAAAHPAATIDVDAATEAELRENGFRSTRRTKLVCTLGPATCGADELEALAVGGMNVARVNMCHGDREWHRGVIRAVRKLNDEKGFAVAVMMDTEGSEIHMGDLGGAPSAKAEDGEVWTFTIRSSDTSLPDRIIHVNYDGFAEDVKVGDELFVDGGMARFEVIEKLGPDVKCRCTDPGLVLARANLTLWRDGSVVRERNAMLPTISSKDWLDIDFGIAEGVDFIAVSFVKSAEVINHLKSYITARSGGSDIGVIAKIESIDALKNLEEIIRASDGVMVARGDLGAQIPLEQVPSIQQRIVKMCRQLNKPVIIASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGRYPEKALSVLRSVSLRIERWWREQKRHEALELQNVSSSFSDKISEEICNSAAKMANNLGVDAVFVYTKDGHMASLLSRCRPDCPIFAFTSSTSVRRRLNLQWGLIPFRLSDSDDMESNLNRTFSLLKARGMVQSGDLVIALCDTLQSIQVMNVP >Et_1A_009543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7687554:7690195:-1 gene:Et_1A_009543 transcript:Et_1A_009543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFSGDVDDFWLQLIREQLHGAEAGVPVPDAASAYAAPQVHPATAAPFQHASFIPQQQQQMQPQTQQAAYVDLTHEYAVDATAAAAAAAFRAEPLMIRFGGEPSPVSDPARRPSLTISLPPTSHAWVPGGAGVAPLPATAAANCLDVDDFRKYRGVRQRPWGKYAAEIRDPKKRGSRVWLGTYDTAVEAARAYDRAAFRMRGAKAILNFPNEVGSRGADFLAPPPPPPQSHNKRKLHRDVEAAEPQAKKFVKAEAFTTSPAASPPQSTSLSPATTTTASTVTTTSSTTSSAEAGGAAPAHEVFPMTPSSLAWEQWESLFGILSPLSPRPQMGFPETAAFALDSSRGLWLCLEVHVSMCVQHEGGDRILAIVSNLRK >Et_10A_000275.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20920027:20920320:-1 gene:Et_10A_000275 transcript:Et_10A_000275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTESKILCLASAPRKTVDLRTPKTTQSLGRRYMLSMRPGAGNGGSSAPTLQLLQASLVAYPVHLQNRLHQYRQYWPTRLVAAAAEGAPNEASEGH >Et_4A_032540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11177352:11180303:1 gene:Et_4A_032540 transcript:Et_4A_032540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAPRFFLTQSLLPLPLATRWRRGPSIRATRAFAMAASGLSGGDTFGLSDAPGAGVLKLLKGDITQWSVDGATDAIVNAANERMLGGGGVDGAIHRAAGPELVQACRKVPEVKPGVRCPTGEARITPAFKLPVSQIIHTVGPIYDVDKRPEVLLKNAYENSLKLAKENGIQYIAFPAISCGVFRYPPKEASEIAISTAKQFSKDIKEVHFVLFLDDLYNVWRETAEEMLSQFGK >Et_2B_021621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3672407:3673011:-1 gene:Et_2B_021621 transcript:Et_2B_021621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGSCYHHPSSSMAMISRRFGTRKEAFRFSISAHRSSSSSQLSVAVTATDRKKVFEDQLRGIVCYRDEKGEMICEGYDEGPRIGMRLPEKACFPWPVGVQVTDFIQLATLRVFEDADVLHLNGDQKRQL >Et_8A_058284.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4258556:4258927:1 gene:Et_8A_058284 transcript:Et_8A_058284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDLTLPTDAFVEILLRLPTSARRRFRLVCKHWRDVIDERTPERQARSRILAFISGDRGSRALVFDDDKEGRRRHEWTYNSSFPNGGVYMVGTCNGLICLHDIDFTPESTVSTITVAKPTR >Et_5B_043592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12231934:12236629:-1 gene:Et_5B_043592 transcript:Et_5B_043592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWKEKVADRLARLLADSPPSSAAAAAPIEAPPAIPFPAEHFTSPKKATLSSYVLSLLPASNLGHERSSPCSETLRPLPPESLPKRWRGNDFTWKDRPLELSEESGSESERDEKNENFSNNKVVQSFRSVDNSNGNEETSTSDHAGNLHFLTEKSMLISPKLFSFFQSSLPGTLRGCHWVLLYSTWKHGISLRTLLRRSENIQGPCLLVVGDMQGAVFGGLLNSPLRPTEKRKYQGTNQTFVFTTIYGKPRLFRPTGANRYYYLCLNDALAFGGGGNFALFVDEDLLHGSSGSCETFGNSCLAHSPEFELRNVEVSLM >Et_1A_008129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4645658:4647505:1 gene:Et_1A_008129 transcript:Et_1A_008129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPISSGGEHHDAASDQGSEPLLPRKHGDDDDFHGASFSGAVFNLSTTIVGAGIMALPATMKVLGLVPGLLLIVLAALLTEASIELLVRFSRAGGATSYGRVMGDAFGKPGKMFLQACVVVNNVGVMVVYMIIIGDVLSGTSSSGVHHHGVFEGWFGENRWNGRFAILTITTIAVFTPLACFKHIDSLRYTSAVSVALAVAFVVLTAGIAMLKLARGQIPMPKLFADVHDWSSVWRLFTAAPVLVTAYICHYNVHPIDNELKDSSQIRPIVRASLLLCSAVYITTSFFGFLLFGESTLDDVLANFDSNLGIPYSSLFNDAVRVSYVLHLMLVFPIVFHALRLNMDGLLFPSARPLSCDNRRFGVLTGALLAVIFLAANFIPNIWDAFQFTGATASVSVAYIFPAAIVLRDRHGIARKRDKVLAVFMIVLAVVSNAVAVYSDACS >Et_3A_023724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11353106:11353733:1 gene:Et_3A_023724 transcript:Et_3A_023724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRCEIEERNKKQVHFLHSAAYLSLHRCCMMVFRQLMLLYSHTKGKIRVLYVQKYEEQKFLHNTGAENHKLEAADIYIRKL >Et_3B_031339.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26079015:26079437:-1 gene:Et_3B_031339 transcript:Et_3B_031339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPNKRPAVALLPLVAASLAAMLLLCSTGAGVDAGRVGVPSWGASVTALPFSPMDVLPILPRRVAMAALRALRGASDIFPVFVGAAKAGGPGARAPDSGQLEWKGACFYENVAWLEFHNNSGSKYGGGTLHIKVRPSPF >Et_8A_056843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17781249:17784364:-1 gene:Et_8A_056843 transcript:Et_8A_056843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HRLAPLQALPLARRRPAPALQWKDAHVQRVEVQILLPPVAAGDAVAVPNQLMTTKVEAAAAASSVTGMDQSKKRKLPESVTPPPPSQGSIAAGEGSASSFATWGLSQLARMAKEEVDKKEQVSKTEIALLKKEHTADVTRLGEELRAAKDVHNAEVLNTKEELKTAHAAHAAVLRQRMHHGTDFRLISPFSFTPRTRLPESICMNSKNPSWHHFKPYLPPGDGQRRLFNGKMSMYKGWKSKFFLLQSPPATPWPCLTKWGKQSHTSVRKTAVTTDAMKAAIEKLLEREQGTRASTSCRSSAGAPSPSATKPLFQFPCCSQRSRCKPPLPPPSLLGWTSRRSASRRNPLPLRRRRREASPLARAKPPPPPHGVCPSWHGWPGQSLTRRNRRCRRAKPRSHSSRRNTQPMSPDSARSFVRRKTRTMPRS >Et_4B_037348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18773967:18775429:-1 gene:Et_4B_037348 transcript:Et_4B_037348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGSYSSFILILVASFTNQNEKSWWIPDKLHEGHHDRFFWLIAGMSFLNLLVFIYYPHHIVRKILSTSVQLQESVVLSPGLPKH >Et_8B_058999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12001562:12013777:1 gene:Et_8B_058999 transcript:Et_8B_058999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SWILNLCGSPICSKQTVAPCVLKEIFDSRTCMNHLLVIGICVLLVIILALQLILRIPKNRASVRQLVTLSSPLQLFSVAFNGCLGFIYLGIGSWMLGSNFNQDATVYHPHLWLVTLLQGFHLILISFAFSCRLRYLGATYLCFLSVFLTIYAVFICCSSAVYMVAYMEMTMKGCLDVLFLPGMLLLLVHGVWHMKEDGYERIENNLCTPLNTETCDDMAEMNSHVTPFAKAGFFSVMSFWWLNPLMKIGYEKPLEEKDLPLLGATDRAYNQYLMFLEKLNSKMQLHPLGAPSVLWTIISCQKSDIVISGLFALLKVLTLSSGPLLLKAFINVSLGKGAYKYEGYVLSTILFLCKCCESLSQRQWYFRTRRMGLQVRSLLSAAIYKKQQKLSNLGRMKHSSGEIMSYVTVDAYRIGEFPYWLHQTWTTSVQLCIALAILYNAVGLATIASLIVIIITVFCNAPLAKQQHKFQSKLMGAQDVRLKAMSESLTHMKILKLYAWETHFKKVIEGLREIEYKWLSAFQLMRAYNRVLFWSAPLLVSASTFLTCYVLKIPLDASNVFTFVATLRLVQEPVRQIPDVIAVVIQAKVAFSRISKFLDAPELSGRVRKKDCMGIELPIVLNSCSFSWDENSSKQTLKDINLVIKAGEKVAICGEVGSGKSTLLNAVLGEVLKTEGMIHVCGNIAYVPQSAWIQTGNLQENILFMSPMDKKRYDETLLICSLVKDLEILPYGDRTQIGERGVNLSGGQKQRVQLARALYQNADIYILDDPFSAVDAHTASSLFNEYVMGALSDKTVLLVTHQLDFLPAFDYIVLMSGGEIVRSAPYHELLATCLEFRNLVNAHKDTGAVSDHEKAPLHRAEEISIKRTNYIHGSIYGESVKPSTTDPLNKTEQREMGDTGLKPYMLYLRQNKGFLYASLCVISYVALLCGQISQNSWMAANVQNPSVTTLKLISVYIAIGVGSMFFVLSRSLLIVVLGIETSRSLFLQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDVPFSFMFSISASLNAYSNLGVLAVVTWQILIISVPMILLSIKLQRYYSASAKELMRINGTTKSALANHLGESVSGAITIRAFEGEDYFFAKNLELIDNNAAPYFYNFAATEWLIQRLEIISAAVVSFSAFALALLPPGTFSPGFVGMTLAYGLSLNSSFVYSIKNQCNLANQIVSVERVNQYMEIESEATEVIEENRPSPDWPQVGRVELRDLKIRYRRDAPLVLHGITCTFDGGDKIGIVGRTGSGKTTLIGALFRLVEPAEGKIIVDSIDITTIGLHDLRSRLGIIPQDPTLFQVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASTDNATDVILQKTIRTEFKDCTVITVAHRIPTVMDCTWILAMSDGSLFRELAKEYWSYRPSIKI >Et_8B_059038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12765871:12767387:-1 gene:Et_8B_059038 transcript:Et_8B_059038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSETCLLKASSAARSVPLPLMFEVRLGLGEDEATPITELTKRWPTLPVQRSCLQKPLEQTCFLSDYETMSKSVCNSERSRLPHTCNEQARVRHFIEHLELKLEPDMLAPALNHPLLCPHPQQPWSSVRLPYASNRV >Et_9B_064572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16661859:16663591:1 gene:Et_9B_064572 transcript:Et_9B_064572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSQAKAAMSVVPVGGGGRGRMKRKVLSSPPVAAAEATAGENLAGNPDLLVDADAVMVGGAAEEVASVESVVQVEGNCGVMGDAVMIDAGTVGGVAEPSNFPYETELAGIIDTGGDRDGYGENIGVLNGGGGGKGKMGLEAGGLQSEEEAASEIVELSSYSEPHHAEAGKRVLPMALINFMYHILHVHSRFFFPTDMTLLNNVSECSEPNNSDRFARYCLPCLDNGGFRVSDLVWIKLEGYPWWPALFGDRVFAWSDESQLMPFMTNYVRMEKQCSSDDFINAVNHALEELSRRILSGMSCSCLPEELSDSGMSYMVENHGLRDGVGCCTVNKAEFLKYFNSENLLHYVKSLALFPGEGGDLLELVAACSQLTSFYRSKGSPELASFQTSSGWDDSAMDTSSTMNVTVEDVANAVHSDDDMPKRGRGRPRKRKPEDSIESMEKKGTSNLINSATYDDFNDQNMSKGNLDSFDDSVSKSHRSFNLGECTLQAATQLTVHSTERPDHMQDSYWSELSLHSDPIHSLEIASSSTRPRHKRKSSQENYVPPSQHP >Et_2A_014534.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20480941:20481376:1 gene:Et_2A_014534 transcript:Et_2A_014534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSEPHARTSTRLKPTGSLDLRMPANSLARTSPASKCVLPFCSGTCFATFSRWKLKKTKRPRKRETPVLRLITSDGLSSARAATDRRAGVARKDTEEEVRALGDGARRRPATDGGDSTAAAVEVA >Et_4A_033218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19998850:20005085:1 gene:Et_4A_033218 transcript:Et_4A_033218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPVDHLVEHDVGAMPEDPYVGEQLNPYSDVGKQYSEEPVREYSEPPADHGEPGNQYNEEPQNTYQEEVENAYNGEVRQLDNSQVNVEDKRWPGWPGESVFRILVPAQKVGAIIGRKGEFIKKMCEESKARIKILDGSSGLPERAVMISAKDEPEAPISPAMDGLLRVHKRIADSSDGESGQPLRAANIGPTRLLVPASQAGSLIGKQGATIKSIQDSSKCVVRIVENVPPVALNDDRVVEIQGEPLGVQKAVELISSHLRKFLVDRSVLPLFEMHMKMHSIQREQSMPAPQHWGPPQPWGPPNPPPAGPGYGGNPQCMPLRPQDNYYPPPEVPSMEKQPHYGISAYGREAPPSGVSAAGNQSHLGSQVTHSMQIPLSYADAVIGAAGASISYIRRHSGATVTIQESRGAPGEMTVEIIGTASQVQTAQQLVQNFMAEAASQGPPPASNHPAPPVDPSYGSYPPPYGTAAPYGSSAGAAPAPQYNGGSYGGPTYPPSYGY >Et_3A_026008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34508646:34520577:-1 gene:Et_3A_026008 transcript:Et_3A_026008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRDQGPPSKRVASARHYAPGAVAGLPPHRPPRSVSAAASISSTSVRKPPEPLRRAVADCLSPPAPHTHGPPAAAASAAAEASRTLRDYIANPSTIDMAYNVLIDHALAESDRSPAVVPRCVALLKRYLIRYIPRVQTLRQIDLFCANTIAKCEPMPNRAASVAQNNVSAAASSNSSSLAPPISNFASASLVKSLNYVRSLVARHIPKLSFQPVVPSVASKQPLPSLSSFLNRSLVSQLTPEVISNREHLEPKETHSPSDLMPSASEKVDGGEPGDDSKYISFDILSWRWHVYGERQASTSAKENNEFVGLQDFHAHGFLDVGAAALLVGDMEAKINDQQWKYSVIQEFSDIDLLQPSTSTPSTFASSQSHLKAITASKRMKSGPNQVWMNIPANTFQPRARPLFQYRHYSEQQPLRLNPAEISEVIAEVCSETTSNANPSIAPSRLTTQSRQPSADVAFSVLIKLVIDMYMMDSKTAAPLTLYMLEGMLSSQKSAARTKALDLILNLGVHAHLLEPVVVEDAQLMDKGESVNHSYLSNEYGSGIDEARAPEPEEEQKISPAIDQFESWILKILYEVLLLLVQMEEPQEIVWASALSCLFYFVCDGGKIIRSRLGGLDIRVVKTLLEISVKHSWAKVVHSKLICMLTNMLYQVSDVQNDVFSTHFIPERIELLGGIDYICLEYSRANSREEKRDLFFVIFDYVVHQINETCLAGGISTYNYDDAQPLASLLAFADAPEAFYISVKHGVEGVGDMLKKAISAALSQSAEYDQLNMLLDKVIRKLDGTVSTFSRIDNEFAYMIQVTKSCKCFGSIKDGCDDADVALRARLCWATLHSLLHSQISSYRHHGYIWLVELLLSEISEETDGSIWSKIQKLQEEIEVAGSQDLSCSEVSLPVCMLCGLLKSKHNFIRWGFLYVLDKFLMRCKLLLDDSDMQDHTDADHSKNRLDKAFAVIDIMNSALLLVVQNNETDHINILKMCDMLFSQLCLRIPSTNVVHLGGLQSLGQLFGCTTKNIESNLETLASHQNVGNKNFCRSETLQDISINQSAQSTLLCEASMAALLLRGLAIAPMQLVARVPTSLFFWPLIQLEGAASDDIALGIAVGSTGRGNLPGATSDIRAALLLLLIGKCTADQEALKEVEGNEFFRGLLDDTDSRVAYYSAAFLLKRMMTEEPESYQRMLQSLISRAQQCNNEKLLENPYLQMRGILQLSNDLGKKNLKLHSLLQIKKVKLTSSKGACCGYTTALN >Et_5B_045099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9565259:9574264:-1 gene:Et_5B_045099 transcript:Et_5B_045099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLSGPAALSSPSSANLNGPFAFSSPSSADLNDPAAFFPSSTGLGGPATAIRFALLLVTPLRFVSASEVDGLLSATASSTAGGRRSAGKGRGAQRGKEIRWVGKDASEWVLDAFVRRLSTQKIRRSIASPVRVPTRQSVTPRASFRASGLGSTGLRGRRKQAMVARRFVVRQDPAASGEGEVEEHAVEYDTDHGLDVLRLQIFSLTSVPPELQKIVVEADGSVVDDGTDLEAITERLRIVAIGEEEGDADAAAEAARAQEMSDEELARMLQAEEEALLLQQYTVQTDGGEVFRQRVEPYMNQVLMYEDPMRQGAARKTVPLHELKEKTLVSLAKEGNFSPSKEEEKHAFLLQLLFWFKQSFRWVNAPPCDNCGRETSNVGMGTPLPSEIEFGASRVEIYRCNHCPIITRFPRYNDPQKLVQTRKGRCGEWANCFTFYCRAFGYEARLILDFTDHVWTECFSNLYGRWMHLDPCEGVYDNPLLYEKGWNKKLNYVIAISKDGVHDVTKRYTRKWNEVLSRRMITSEDNVSAILTSITQNYRTGLSTNALRVLETRENEELKELERSVYLEAETNLSLPGRLSGSVEWRRARAELGQADSLSCSSCPVRKCVDAHVSEIYDALSGLRSHFYEKGIPKEIIIEVLNTLKTLFINLKDASFNSRSATLGKTHQVFEEIFPSIEELFSAMSLKTELDTNGHRFVTVVGNPVYSSLALPVALDAVDEILSNCKNNILCTEGNQFPRSNRLCSGSVLASREQLPFGIATAAFDGIHASKWEEPDGAKGCWLIYKMLYGQTCELESYDLMSANDAPERDPMDWVLEGSEDGGLSWITIDERSSEIFESRFCRRSFSVDKRCKANIFRFRFLRARESNANPRFQIGSIDLYGQGRL >Et_1A_005625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12141187:12142391:1 gene:Et_1A_005625 transcript:Et_1A_005625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYLACPTREHHRREVALQADVVHRRAVGVEEPVVPLRRRAGLEVLVKQREDVHVHGRGRAQRRALPHLDPLQEVADVGPGVLGRGPGLVGVAPRRPPGLGHLHLDVRVSQRLYGRVHGVHLRVQHVVVPEPRVRVHNAGVRLEQHVVKRHARRVVLVREVRVAVDEERRPRGGQERHERAQVRRRRRAERARGRREGDGHDVQRVRRRDAHAALEAQSHQRAVHGLHGGEDGRLQRRVVALEHLVAHGDAGDAGPRAEVRDHALRHPRPRRGRVHHRLHVLVADGHHELHARAQERAEDVRVRVVQLHLADAQRAEKPRHARRRREVVRDLPVVHADGQRLGHRRLLCNFPRITECSVISNQVVQNSCSTLLHSACVL >Et_4A_031763.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:11947904:11948185:1 gene:Et_4A_031763 transcript:Et_4A_031763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHVQQSVPFLHDAARPPSCERPVTNWSRKVFSCSVHELAKESSSTIARLNEGMANMLLWFLFLCKERWAIGSSAMRALLEEDKRHEIEGLI >Et_1B_013796.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:1764217:1765290:1 gene:Et_1B_013796 transcript:Et_1B_013796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGPPNSRALQQQPGTPGRSRRRPDLTLPLPQRDLTSLAVPLPLPLPPSSAPSSGSMSGPASLGAPTPPTSAGSAPPAPPPLSELERVRRIGSGAGGTVWMVRHRPTGRAYALKVLYGNHDDAVRRQITREIAILRTAEHPAVVRCHGMYEQAGELQILLEYMDGGSLEGRRIADEGFLAHVARQVLSGIAYLHRRHIVHRDIKPSNLLIDSARRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGAYDGYAGDIWSFGLSILEFYLGRFPLGENLGKQGDWAALMVAICYSDPPQPPGMASPDFKNFISLCLQKNPARRPSATRLLQHPFVAGPQPQPLAAPPS >Et_5B_043096.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:24006297:24006983:1 gene:Et_5B_043096 transcript:Et_5B_043096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFMELSRPAAGQARPLGELRLDAYRGTWPGCGKFLLSCRPASGSSPYPCQPSKIELLKKAFDGLPTTAEADRSCPRRVDRRGLVAPLGPAHRRPAQGGAVRAVPDRPKATRSRGRSPRTSPSHRRGSPPRVSSGRSGIIPAAATCSRTTVRGGWVPQAHDAVGAFMTHAGLSSLVESFLFGHPLVMLPLFVDQGLTARRVGLEVPRRGEMAGSVARDDVAATDNSA >Et_2B_020010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16034805:16035395:-1 gene:Et_2B_020010 transcript:Et_2B_020010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATPTMVFVYGTLKRGFPNHPLISASACPFAGAASTAAPASLVIGPYAVPFLLPAPSSSSGRIVSGELYAASPPALAQLDALEGTHIGLYERCRLTVVADGSGEAVEAEAYFANPRYAEALWRRCGGEAAEIAEYTMDHAARYVPPGERFAGASSLIDAIHSFIDTAPDS >Et_10B_003422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19703860:19704778:1 gene:Et_10B_003422 transcript:Et_10B_003422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLRDAWEQLKEMRDREEATNQRAAYLEHGFALAWEEGIHTDIIVKPGSGPPIPAHKAILAARSEVLRHVLSDDDERCKAPAGDSISLPELSHQELSCFLAFLYTGALDQLLIKEDESEQLLHALLVAGDKYDVPFLRRACEARLASRVEPRNVLRTLEVADLSGSAALKNRAMATLVDHAHQLVFSPEYHDFALRNASLCVDITRALLDHAKNNSTRTKQ >Et_3B_031279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2435944:2436527:-1 gene:Et_3B_031279 transcript:Et_3B_031279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASASAPSSAAAASVRSVLIIKSAPPDGCTSVRRVLRIRPSPAWSPEEDARLARLAADHGSRRWRRCRARWRHHLARDVYHRPFTAGDDDELARLFRRKDGRWKDISRAATGRTSRVMRRRWKEIRGTDAFLSKLWRPAATSTADFVSGSDSLAPQLPFAADILRPAGNHHLAAGLTCTPVF >Et_1A_009224.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:34125740:34126249:-1 gene:Et_1A_009224 transcript:Et_1A_009224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGHRDGSRGGGATAGGGCRAALPLQRMTDTVHLVDNGGELMLVHRMLRRRAHPDHRESNRFKRDYKRSYKVYRVNLEKGRATPARGLGDRAVFLGRCGAISVSSRVFPSVDGNTIYPGLDLVERSGDEQVGAYCLRDGSIESFDFDRDRGPAHPWTLADCLANYANG >Et_6A_047011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24028342:24029065:-1 gene:Et_6A_047011 transcript:Et_6A_047011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCKGEILINVGIFLAYVSNYAFAGMAAHLGWRVMYAIGVLPLVLLAAVELAMPESPRCLVLRAPRRTRPTSASRRSSKLPKGVVERDNPTAVGERAPDIRLRRRPAVLPRRIGHRTPPCWAPPLPLGWVKTCLILEAALTAGVTVALALVALTICFRATAACVVSVLAFVAVFLVGLRPLVSAYNAEIVPLRLRA >Et_10B_003148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17018162:17024924:-1 gene:Et_10B_003148 transcript:Et_10B_003148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDLRLVSYIKAHGEGCWRSLPRAAGLQRCGKSCRLRWINYLRPDLKRGNFTDEEDDTIIKLHQNYWNTHIKRKLLARGIDPKTHRPLNETAPSRQSQDDHLARSSCSPEASGACHSSDEGSAATGSMPETSSQSQQQQQPTCIDLNLFISPPSPRQEEEAGSSTMSSTKGTAGASTSKSERERICLCLNRLGLQCDERCTCGDG >Et_6A_046628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18455847:18463078:1 gene:Et_6A_046628 transcript:Et_6A_046628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRLAVLVAALAAGGGWWGGRGAEASIHTYDREPFREVGNAFLLSGGSEGIVADGADPAAPASSFIKFVNVTFWRTSESAESHAKMAHSTGLVQAILFEAADRDNIGGSAYGGQRSICCTPDLAKLEGCKQGEVIRRPSSDDPDWPVVLDTHFSANYLSVKLEDEEVRITKTGMYNLFFISCDPKLRGLTMSGKTVWRNPGGYLPGRMAPLMKFYVLMSLAYLLVMVVWFSQYIRFWRDILPIQNWITLVIALGLFEMTLWYFEYLNFNSSGVRPVGITTWVVTVGAIRKTISRLLILSISMGYGVVRPTLGGLTSKVLLLGLTYFLASEMLDIAENVGTINDISGKAKLFLVLPDAFLDAFLILWIFTSLSRTLEKLQARRSSVKLDIYRKFTNALAVSVIASVAWIGYEVYFKATDPFSERWQSAWIITAFWDVLAFVLLVGKRRPAAEKLNPGLVSSLRRRSASTSSGLCSHTTPSRASTSSTAGAAADPRPAPRTSSAARPRNSSPIRTASDLLSSTGTATFGAVSAGWAGSGSGSRRADARASRERRRDGRAAAGAGGVGACWDLGGTRGEEEDEKEARKEEAITGASARLLPPAPAPPTRVHARSIYGRRGGYDDAWPGFVGAVSHTRSCTAIVVRLTGLTGRVFSGLGKAQRQWRSQILDLGISIS >Et_10A_001731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7530471:7535373:1 gene:Et_10A_001731 transcript:Et_10A_001731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLVQVVAAVVLVDYVVLVDPVMLVDPVMLVAAVEVVAVEVLELVPVSVADLLGVVLLWDVAVAVMPLFVLHPAEMKMTRRMQIVARTTSVSQHGPIRCTWTTEHYVTFMDIIVEAQRNGQFMNGQMVPRCYPVVQQNFFEKTDLKHSKDQLRNKLCAIKGLYVLCKKVRSSTGLGCRPGNGWPTASDEWWNKMLKHDMEDSEDSDSDDSDSEDSDSDDSDMKQWMQILEDEEEEFNAQLSLIHPLNKRDPCPLVKTLLCSAQLCGADHFPKAGNSSGLSPLHPTFKQQSCSFHSRLNTTNQKLTQPLLLLPALRSCTLATWPLPATKPCHAKPKQQLLLLPRTKTKAFASERAETERPRRRALHCRSSHPMAEEWPLELSLATLGAQGSSSSAGEGTFRPAPRRRGRPSAKALYDELRALLPNIDPSRPATGSWLKGEVFFPPSFPLTSFSRRRQRLNQEDIVDAAVAQVKVLEDTAAVLEAYRGVRAPRRPEVAVAGAAVCFSARLPAPAPGALRRVLEAFARRGVEVLAVTLARPGGGGGVAADAVVTVTAVAAPPEVLEMIRADIACIP >Et_5B_043844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16779055:16781021:1 gene:Et_5B_043844 transcript:Et_5B_043844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAVQEACSVDAIDCGRPRRRRVVVFPLPFQGHINPMLQLADVLHSRGLAVTVLHTHFNALDPALHPEFTFVPVPDGVPAEVAASGNVIAIIEAMNAAMEANESAALRGVLESVLEGQPPAACIIFDANLLAVPAAAAALGLPTLVLRTASAACLGCFLAYPMLHEKGYLPPQESNLDLPVKELPPLRVRDLFYSSRSNHETMQKLLARAGEATKNSTGLLINTFDALETDELERIRQQLDIPMVLAVGPLHKISSKATGSSLLDQDYSCVEWLDTQTPRSVLYVSFGSLASMDSNDFFEVAWGLANSGHPFLWVLRPGLVQGSNGLALPDGFEDAIKGRGKVIQWAPQQVVLAHRAVGGFWTHNGWNSTLESISEGIPMICRPQFADQMMNTRYVEKRWGIGFELEGKLERGKIEMAIRRLFKERDGYVMRERAMVLKEKVTDCLKPGGSSHVAIDKLVQYIHSL >Et_3B_028044.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:524526:524822:1 gene:Et_3B_028044 transcript:Et_3B_028044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDLSGTPLGCNSLQRVFHQEPTDEVARNIAHRWAVWESQWLLYDIAERCTVAIPLEWRHAVQQLIQEYAKGPPVHRTAVPLSSDDLGCKAFMSSHK >Et_4B_038043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25742228:25749498:1 gene:Et_4B_038043 transcript:Et_4B_038043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSELVERLREVLRSSDLNTTTTAALRRRLEEDFGTDLSDKKAFIREQVDLFLTEVAAKAEPEEPKEEEAEEAAVPKEEEPEQEEETEEGEGEEEGEGEEEEDEEDEDGDSGGARKKRRSDKANDNGKKRGGGFTKLCSLSPVLQDFVGTSELARTEVVKKLWAYIRENNLQDPSNKRKILCDERLKKIFNVNSIDMFQMNKALTKHIWPLNSEGPVTSDRSTPKEKPQKRDRNEVKKQKGGSSGSGSGFRMPLQLSDDLVKFIGTGESMLSRADVDPSDRRKVICDEKLKDLFQVESFTGFTVSKLLAPHFTKTN >Et_2B_021233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27694908:27697069:-1 gene:Et_2B_021233 transcript:Et_2B_021233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQALPNVQPVDYPRLQARPRRRRRHRWKTTFVKRHVTGEFEKRYEPTIGVEVRPLDFHTSRGKIRFNCWDTAGQEKFGGLRDGYYINGQCGIIMFDVTSRLTYKNVPTWHRDICRVCENIPMVLCGNKVDVKNRQVKAKMVTFHRKKSLQYYEISAKSNYNFEKPFLYLARKLSGDMNLRFVEETALVPAEVTVDLAAQRQIEAEMAAAAALPLPDEDDDNMD >Et_7B_055920.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7431463:7432497:-1 gene:Et_7B_055920 transcript:Et_7B_055920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLAVVLLAAAAACAASAAAAGEVPEFREAPAFRNGAGCAGAPTIHIAMTLDATYLRGSLAGVLSVLRHAACPESIAFHFVASSASPARRLAALRRALAGAFPTLPATVHRFDARLVRGKISSSVRRALDQPLNYARIYLADLLPRSVSRVLYLDSDLLVVDDVARLWATDLGPDAALAAPEYCHANFTSYFTDAFWGHPEYASIFANRTRAPCYFNTGVMVIDLDRWRAGGYTAKLEYWMEVQKQEARIYELGSLPPFLLVFAGEVKAVEHRWNQHGLGGDNVAGQCRELHPGPVSLLHWSGKGKPWLRLDAGRACPLDSLWAPYDLLRRRGARDDLLAAVA >Et_9B_064413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1491911:1494822:1 gene:Et_9B_064413 transcript:Et_9B_064413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGRGSTSVLGIINFITFLISIPILGGGIWLASRANSTDCIRFLQWPIIIVGLVLMVISLMGFAGACYRQTWLLRLYLFAMFFVVLALLFFIVFAFAVTDRGDGQVVMNRRFLEYQLSDYSGWLRERVNDPQYWATISACLRDGHACAGMRRFARDPNTGMLVPETPGMFYVRDLSPIQSGCCKPPSSCAFTYMNETYWTPNPGVPTVVTDPDCSRWSNDQQMLCFQCDSCKAGVLAGIKKSWRKVAILNIVVLIILVIVYVAGCAAFRNAKRIENDEPIGMARMTKTQPSRFQL >Et_4B_039394.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13225864:13226790:1 gene:Et_4B_039394 transcript:Et_4B_039394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTATAPLLTSHKAAKPAKAPSIDDMIESYIGATGSRQLLRGILVGFAWAFDAQQVFISVFTDAEPKWHLVGPTSSNSLAAAAASPCELPPGAWAWDRPAETSVVSEWALNCAGGGGGPALVSLPASSFFAGCLAGGFLLATLADSVLGRKRMLIASLASMSVAGALTALAPNVWAYAALRFASGLARSMIGTCTLVLSTEVVGRRWRDAVSVAAFSCYTVGFHSLPALAYALRDASWRSMYLWTSVPCLLYAVMLCRIRRGHGLLRHAAQRRHPGLLQPLPKRHVQRTRRASLQRPAMAPHHPDQE >Et_1A_006869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28444086:28445309:1 gene:Et_1A_006869 transcript:Et_1A_006869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LYITSSENCTEQGGPGAQIGQTSPLSTGAPYIKAKYSSLLFKQQLTAYLGKLYGIIRDNLKKEITGLLGLCIQAPRVSRASQIKGYRSQANVLPQQIGHWQNIVNILTNYLNASKENYLFNSLLLQHECCSFGNREYVKSGLAELEQWFRESCSWDELKHIRQAVGFLVRHQKPKLTLKEITSDLCPLAINVCGYDFVSHFVNFQALSIKQLHRITTMYIGMTNMVPTLFHQ >Et_6B_048438.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:4361917:4362117:-1 gene:Et_6B_048438 transcript:Et_6B_048438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IQLEIDSSNLVKALQSCDFDHLPGSVLCKESRDLIRFCFDSAEVSMPQDHVIRVFISLLALVLVGT >Et_1A_006480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2372194:2387054:-1 gene:Et_1A_006480 transcript:Et_1A_006480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRKGSKVWVEEKGEGWVEAEVVEIKDRAVIVVTSHRKKVSVLPGKLLPRDTDEDLGGGHVDDMTKLTYLNEPGVLYNLKKRYALNEIYTYTGSILIAVNPFTRLPHLYNEYMMEQYKGVRLGELSPHVFAVADASYRAMVNESQSQSILVSGESGAGKTETTKLIMQYLTFVGGRAAHDDRTVEQQVLESNPLLEAFGNAKTIRNDNSSRFGKFVEIQFDASGRISGAAIRTYLLERSRVVQITDPERNFHCFYQLCASGKNAELYKLGNASSFHYLNQSKTYELDGTSNEDEYWKTKRAMDIVGISRKDQDAIFRTLAAILHLGNIEFSPGKEPDSSIIKDSTSNFHLQTAANLFMCESDLLVSTLCSRSIHTREGIIIKALDCAAAAANRDALAKTVYARLFDWLVENINKSIGQDVDSKVQIGVLDIYGFESFKNNSFEQFCINFANEKLQQHFNEHVFKMEQEEYKSEEINWSYIEFIDNQDVLDLIEKKPMGIIALLDEACMFPKSTHETFATKMFRNFSSHPRLEKTKFSETDFTMSHYAGKVTYQTDSFLEKNRDYIVAEHCNLLSSSRCPFVSGLFTSLPEESIRSSYKFSSVASRFKQQLQALMETLNSTEPHYVRCVKPNSANRPQLFENQSVLHQLRCGLGRTKVFLRAGQIAILDMRRAEVLDNAARHIQGRFRTFITRKEFMKTKEASISIQAYCRGCLARKMFFIRREAAASIIVQKYVRRWLLRQAYHKTRLAALLIQSYIRGFISRRYFSAIREHQAAIVIQSIWRKRKVVMLFKNYRQATVTIQCAWRQKLARRELRRLKMAANEAGALREAKNKLEKKMDDLALRLTLEKRLRAASDESKLAEILKRDKIIESLSAECAAAKSAAQNEHGKNLLLQKQLDDSLREITMLQSKKVMTAEAEKENFTLKNLVESLSAKNSVLENELTVARKSSDDTMKKLKDVEGKCNHLQQNLDKLQEKLANMENENHILRQKAFSMNNLSVAPKTLSEETPTPTKYLAPLPQNFTGSRRARLPVERHEENHEILLRCIKENLGFKEGKPVAACIIYKCLLQWRAFESERTAIFDHVIEAINDVLKGKEADGILPYWLSNTSALLCLLQKNLRSNGLFATPSRRSGGAQGLGGKIVQTLRSPSKLVGLSDGLQQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLTLCIQAPKTTRGHPGKASKSPGTGAQAPSNSNWDNIVNFLDLLMDTLRDNHVPSFFIRKLITQLFSFINIQLFNSLLLRRECCTFSNGEYVKAGLSLLEKWITDVTEEFAGTSWHELNYIRQAVGFLVIHQKRKKTFEEIRQDLCPALSVRQIYRICSMYWDDKYNTLGISNEVVAAMREMVNKDTQNLVSNSFLLDDDLSIPFSTEDLSMAIPAIDYTDVDLPESLQHYPSVQLLLRQQDPQPPFQ >Et_7A_053090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6462432:6463203:1 gene:Et_7A_053090 transcript:Et_7A_053090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRRSKQSAPGPGASVPSLPDEIVESILLRLPASSTFRFRAVCRSWTALLSSLGFADAYAVEADWPRPEQDPLLRAVALPRRSSPSTACAPVSCSFRANRSCSYRVCNPSSGELRRLPQQRRPGVRRSDKAAQDGDTPGCEVYTLCTPSRQWRPASSSSVRRRLRDAATYALVFEAAVTKVHPVFGNGCLHWQWQIYPHMDIDPDFFLPDTVAYPWLLQSLGPLLLNRRRVFQPGRRPCSRRYVL >Et_1B_013243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6688094:6690877:1 gene:Et_1B_013243 transcript:Et_1B_013243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNPPGRHLLRLTSGAVRSSSAIGGGGASTSAASPRPRTVAGGRPLRATSPPPPSAVAAASYWESRTLRRDGEEDWEEVVAGSEEAAGEMEEEEEYRVVFWSPPTIDEVTGAVTSIQEVFENPFGVDSDATDRQTALLSSSGHSSGNSSRSDDWIEPAAYVLNSTALLSREHRNVLDAFRLLQKDPTVQKMVVSLSCDKAVWNAVMNNEAVQDFRRSLHDGKEIDKRGSTAGPAEVLRWILESAQGKIVEFLENVMKIFSMLIHPHSDEEKPDVYSDAVKVSFMLTVFVFIVVAIARINSEHWDFKYFKQLLASGRRMELCCPVPSPGSEDRLFAVLQRLRAQHH >Et_9B_065974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1976924:1978698:1 gene:Et_9B_065974 transcript:Et_9B_065974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRHQVREEKPRCKEWPPSTWILSSFSSLTGKWEERSFAREGEPAEEMASNKDSPHWEGYSLLAGSHLCAAQCQTGASSVIRISMLNEKYRIIEVPTDIELKECDSAYLGRSEQGVFYASIRDRYTFRVWILDESCRQPKWVLKYHIDLELTVSRVNTYDDQGNEGPWMLQDFNNGKDYGQEISHHANDEEDGNASALMEREFEWDSDNDNIIGNECDSYSAGFVTCLGFHPYKPVVFLNVSLIKVAAYHLNTS >Et_1B_011647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24537882:24547231:-1 gene:Et_1B_011647 transcript:Et_1B_011647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDAPPPTAPSASSSLFSGEQLFESGPSPLVFLPLLLIQGGGMDLSRVGEKLLSSVRSARSLGLLPPTPSAPPPRPEVPERAAAAAAAARAIAGLPPHERINLPSNSEDLVSIYGSNPQGEPVEELEEVFYEEEFDPIKYILENIPDEGDTTYFDKQSTLRLAQLDKIAERLSHHVMGHHEEMVKGMQLVMELEQGLKVANVICMNGRRHITSSKNEVSRDLVVNVKSKKKQALLDVLPILAELRLALDMQMELETFVEKENYFQAFCLLPEYLQVLENYSGLSAIQEMGRWSEAWLARTIQKLDTHLIGVCQIFNEENYLTVIDAYALMGDVGGMAEKMQSFFLQEVLSETQCVLKDMLEEEVGNNTQRNRFTYSDLCVQIPEAKLRPCLLRTLETIFSLMRSYYAIMSFSPSEKSIESKAPDLADKNNTSQSNNGTSGDSGRSHSTEISDRMSSSEVSNPDASTSGVDSPFYQLRTDATKLVAHTFERGRRNLWQLATSRLSVLLSSSAVCSTSTYQFLKNYEDLNIFILAGEAFCGFEASEFRQKLKTVCLNYVVSFHRQNIYALKMVLEKESWTIMSAEASQIISLAGLTGDGAALISPTGSNSNLPINRYHGKSTTADSGKPKHGFASWLKIENPFSFKLENGSAESPRSNMLFDSAAGNSRGNGNNSSFDEENEDLLADFIDEDSQLPSRIPKPKIVKGNSSHWKDGDISSQTGSSLSLLRMMDKYARLMQKLEIVNVELFKGISQLFGIFYHHIYETFGRQDKSQSGKPLPDYQSSRLKAALSKITQDSEQWIKPHNVSYSPASPLSMNSSFAQMDVMPTAPPSSMFTSYGLKERCAAAETVSLVARVLNRSRAHLQSVLSKNNTSVVEEFFRTLVDSVPDLAEHIHRTSARMLLHIDGYPDKIANAKWEVKELGIEHNGYVDLLLGEFKHYKTRLDHGGISKELQHLLLEYGIESIAEVLVEGLSRVKRCTDEGRALMSLDLQVLINGLQHIVSANIKPKLQIVDTFIKAYYLPETEYVYWARSHPEYSKSQVVGLVNLVAAMKGWKRKTRLEMVEKIEAGP >Et_6A_045848.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:19455021:19455281:1 gene:Et_6A_045848 transcript:Et_6A_045848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHSATTMHPAACRWRETPCWSSSEASPATPLVTSPRGMKVKETAAGGGGSGAATRLAMSLAFIFATFFTTWTLMTLSSRLWPAR >Et_4B_038415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28884705:28885118:-1 gene:Et_4B_038415 transcript:Et_4B_038415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSCGMVYAAPLFIFVLLSLASSGHCRPKLLGAETSSKINISSNATAMASAALDDGKLNLIFCKKTKCGKFPWVDCYCCINQLPKEVCSYPLDECRSSCPVCNPHCPP >Et_1A_006709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26590966:26592157:-1 gene:Et_1A_006709 transcript:Et_1A_006709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFFRESSSAMIHSTTISSSYSGRKLNFGSDPVSLTISTSGLQADVNALGSTATVMTSSSAMDENSLSNPNKVVPVKSELRNAAPQMQVTLAPRSFPSFDLALAQSELVAEM >Et_9B_065409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4728355:4731174:-1 gene:Et_9B_065409 transcript:Et_9B_065409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYHREMRALSGKLLDVFFRALGLTDEQISAGETERKISETLTPAMRLNLYPKCPDPERAMGLAAHTDSVFFTIIVQNLVPGLQLFRPGPDRWVTVPVLPGAFTVVIDDLFHVLTNGRFHNVLHRAVVNREQQRISAPYGIGPPDDMKVAPLPSAVLPGTKAVFRAVTLPEYLMLRKETFGTDKSALEMLQIRTAERDYPTVEAAGRDAVPVVDMRDPDAARAVARAAEEWGAFLLVGHGVPAELVARMEEQVGRLFERPAPEKTRVGRFPGEDYGYEKLWWSEGYTFPATAIRAEFRRVWPDGGDDYDRFWYVRHAYSPSPTTFLSFVPMCMCLSCVVVT >Et_2A_014807.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:16383802:16383936:1 gene:Et_2A_014807 transcript:Et_2A_014807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYGPCMKTGAIFGTFSVTMMKCVAGSQYSSKLQKWHTPWMRV >Et_4A_035480.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:26101225:26101794:-1 gene:Et_4A_035480 transcript:Et_4A_035480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHRCHLLPCFLAVVLLLALHAAPAHGARTLATGPVATAPPAAGGSTNNATASEYLAPHNQARAAVGVPPLRWSADLAAVAGRVVAQQLQRGGCAFADMGGSPYGANQGWASYRARPGEVVASWVAQGKFYSHGNNTCAAGRQCGTYTQVVWRRTTEVGCAQAACPAGATLTLCLYNPHGNVQGQSPY >Et_8A_056771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16541212:16541854:-1 gene:Et_8A_056771 transcript:Et_8A_056771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRTRDWAGLPYDILWSILSRLPHTDILRGTELVCKPWRRVSVDEPLLWRRIDVSSVEYRHSYDSDDELEVEEATDRRLQMARAAVDRSDGRCELFRGPADRDFIAYLADRAPLLRTLVVTSELEVPDLEAFVDRVAKRLLLLEGVVLTGGIPTSEITCAFMDNCPSIKFLKHWYTDTGNYK >Et_9B_064666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17459374:17467376:-1 gene:Et_9B_064666 transcript:Et_9B_064666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKHPAKRTARENPKKQLQFERSPTRRSTAAGTPGRRKSPGQQVKKTHRWRPGTVALREIRKFQKTTDRLIPFAPFARLVREINEFFSRGSVTRWTPEALLALQEAAEFHLVELFEVAQLCAIHARRSPSLMGSRANNDLKKEDGLTDIDCKR >Et_8B_059937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4194916:4204314:1 gene:Et_8B_059937 transcript:Et_8B_059937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVASQPCHLPLGAGCEPRCTASTSKVYTLEKVYGFRFVCRSAIDLRSQKFHPRISKRKCYLRNTPAECDKIISARWLEFRRQKGIFQRTRRIVHIIPLASDDDGNGVSVNGAPQVGSTRGMEEIRLKLDKALQTEDISNGLVQSIHDAARSIELAFLEHTKSSNSSWFSKAWIGVDNNAWIKSLSYQAAVDSLLQAVIDVSSRGNGRDRNINVFVQRSLSRLLSPLEIVIKNELTKKEPTLYQWYSSDQNPLVVRTFVNMFENDPQFNSATAICSEGESVNTSESDLALLMLGLICLAAITKLGSAKVSCQQFSSMVPDIIGRFMDMLVEFVPLSKAYNLTKEIGLQREFLHNFGPRAALPKLTNDNGVEISFWIDLVQKQLLRGLDREKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTQGYLSSKHLTDLDDALNGIVRYLIGGSVLYYPQLSSISSYQLYVEVVCEELEWLPFYNDDMSTPVTDTDNREEMPREEVISRVLNVCSYWMTSFIKYSSWLENPSNVKAARFLSKGHAMLSDCMKELDIAKNNMSKGRGMPDSEEQSITGAESASFDKSLESVEEALVKLENLLQELHVSSSNSGKEDLKAACSDLEMIRRLKKEAEVDNKRRPFWNFVGRASGRKVEPAVRSTDQDGAVTNVEKDDMESNDIVRFEQLRRELIELEKRVQKSANDAQKEANDVPDEATTSPALSASPTTASKKENVITKSVEKVKETTTTVVQGTQLLAIDTGAAMGLLKRALIGDELTQKEKQALQRTLTDLASVVPIGILMLLPVTAVGHAAILAFIQRYVPSMIPSTYAPDRLDLLRQLEKIKEMEVAEGNSEDLLEAIGLRNDQVKLSDHIHGACPSNIEDE >Et_1A_007020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30107532:30108219:1 gene:Et_1A_007020 transcript:Et_1A_007020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPTPWMGLFTARPIWNSCSRRQAPLTKFPL >Et_1A_008025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40133523:40136414:-1 gene:Et_1A_008025 transcript:Et_1A_008025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWPPLAPAPPAAATSGAWGAAASAQRKVVAEESAAQAVSRLVASCANSSGVAIAVVDANAVISGAGALSTTAGRLVTVPEVLEEVRDAAARRRLALLATPVETVEPAPEFVKKVTKFARETGDLQTLSDVDIKIIALAYMLEAEVYGTSHLREHPPPLRVVNVKNLGEAPLPGWGSNVPNLKEWEELDQMSEAGGDINSRILPLKDLENQDIPMSDTNSICDTQEDSELQSSQKDECVAWEDDENNEGWTPAVSRSTHRRYLRRKARRDALKESGQSVETSCSVAPSVEGVQGPNDHGGNGDDVTAVDGPSSVPEKMNSSAGGLDHQEENEPEVAGERLHSDQVPNGDDTDACTKELDNLDIKCETEGGDDAHSVDDASSEQSWSLRSLSESTVACVTSDYAMQNVILQIGLRLLAPGGMQIRQLHRWVLRCHACYKVTQEVGKIFCPKCGNGGTLRKVSVTVGENGITMASRRPRITLRGTKFSLPMPQGGRDAVTKNPVLREDQLPQKVLHPKSKKPSKKDDDFLGVDDIFSHSGEKKAPLKPPVRKALAMFSGKRNPNDNHFSRKKH >Et_5A_040286.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:10781285:10781296:1 gene:Et_5A_040286 transcript:Et_5A_040286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPL >Et_4B_038508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29571947:29575169:1 gene:Et_4B_038508 transcript:Et_4B_038508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLTADLIWKSPHFFNAIKERELDIRGNKIAVIENLGATEDQFDTIDLSDNEIVKLENFPYLNRLGTLLVNNNRITRINPNLGEFLPKLHTLVLTNNRLTNLAEIDPLASLPKLQYLSLLDNTVTKQPDYRLYVIHKLKHLRLLDFKKVKQQERVEAAKKFHSKEAEEQAKNVSTKTFTPGELADAQDTTKEAHAPKVVGPTPEQITAIKAAIVNSQTLEEVARLEKALSTGQIPAEFAMPKPDTNMAEASEEADKMETDGQNQERVAGEQKQDDGSTPIEEVM >Et_3B_029997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29698331:29702261:-1 gene:Et_3B_029997 transcript:Et_3B_029997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRAPPTSMRLAPPAATFRLRRTALKTSFLNGSVSLRVVQVRQSNLNRLKCNAIRSNLFDRLGRVVRSYANAVLSSFEDPEKILDQAVLEMNDDLTKMRQATAQVLASQKRLENKYKAAEQASDDWYRRAQLALQKGDEDLAREALKRRKSYADNASSLKAQLDQQKGVVENLISNTRLLESKIAEAKQKKDTLKARAQSAKTQTKVSEMLGNVNTSSALSAFEKMEEKVMAMESQAEALGQLATDDLEGKFALLETNSVDDDLAKMKRELSGSSLKGELPPGRASVSNSGAARPFPDLEIENELNELRRKAKEY >Et_1A_006352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21075398:21083435:-1 gene:Et_1A_006352 transcript:Et_1A_006352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNGLLPNVAAGVTRRLDAERWAVAEERTAELIARIQPTPASEERRRAVADYVQRLIMGCLGCQVFTFGSVPLKTYLPDGDIDVTAFSNNEELNDTWAITVRDALEHEEKSEKAEFRVREVQYIQAGVKIIKCLVENIVVDISFNQVGGLCTLCFLEEMDNMIDQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALEILVLYIFHVFNNSFAGPLEVLYRFLEFFSNFDWEKFCVSLWGPVPISSLPDTSADSPRNDDGALLLSKSFLDVCSAIYAVMPSPHDNQVQPFVSKHFNVIDPLRTNNNLGRSVNKGNFFRIRSAFAFGAKRLSRLLECPREDLIVEVKQFFTNTWRRHGSGDRPDAPAQSPIHETVESISRRSNMILGVSGNHVMKPSQENQVPSMNASSNASIQVSNREDLSFATDENLSLVSEAPDIAHKTHPQEHDLIDAFNGQVPLPVQIPSHLSVAPPPIMVSSGYPQRNLAGILPPNFSFIGTPWLHNMQFVHGFAPPPMAHYVGSPTFAANSEEGNESEKSTATGRNGDDGGNWHEHGTGLSGNFNQERVDPDIYSFKDLSSSLHDIPGAHLHRPTKSVTEDNSEILREKYSDMFHHQVNGGIRFGARPSSSGRSSSSPVSEDHDPLHGHMHNPVFAPFFIGPQQRQAENSGLTFVPTGPPVPFVVYPFMPGNTDSSVTQFERSKERDHFPPAMALQNFNLHDADGHDADTRTPSGSPVAIHDKKSDILNSDFSSHWHNLQYGRFCQNPRPPAPVLYPVAMPSIYPGRQPAHSFNWAQVRGPGQGVVPMMPVQPASERVSGVFQRYEEDAPRYRGGTGTYLPTPKVPFRERPPGSRNYRGSYNSDRVDHNDKEGSWANSKQRNAGRSYGRSQSEKSGVRPDRPASDESHADRHWQAYRNDSYRRESAGLRSHSFKSADTSYDSVNTAYGIPSQPSTVPSGTSTSSGSSVQPVVMVYPYDQPVNYSATTKPIEFGSFGSVSLDSGDVQGPTRKAHANGFHEQRRGPHKGGSSRSPPDQPSMPHLRSYLKSKHPSESTQDLLGWALPR >Et_8A_058078.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:18060041:18062272:-1 gene:Et_8A_058078 transcript:Et_8A_058078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIVHTAIGIGEWVLSLWEVWNMEILLGASFVMQLVLSLLAGFRWRGATGSLRRVIWLAYVGADYVATTALGNLSISGSSGERQLVAFWAPFFLLHLGGPDNITAYQFEDNQLSMRAVLTLAVQVGGTLFVVYSSITGSWALVVAAWLMVLVCVTKYVERTLALQRANLDNIRSSLRRTSRRGRRRRNLGLAASGGTDGDLLLRAHYLFHICKHAMVDSLVENELDRDERAQTRHDLFQVQHFHLVETMEVELSLMYDFLYTKASVMFTWHGYGIRAVSPLAALGTLLLVELSNHERRHKLSDVVITRVLLVATFTLETVSLLRTLASSWTGFLICSSPRPPSWVRHQALCARWWHRLRRALASVGLIGEERQYRFWNGSIGQLNLYDCLTSGPPMAKEQVSSWSGNQVQIQDKEKTVLYRKLRHKVGAFREDLKKFGADKAGEKMKFANELSTDRGHNTLQLHGLYELLEHTLGSELHVGILTWHIGTDIFLNVCNVEEPSTEEAPVDVQKHAIRTLSNYMMHLLVERPHMLPDMVNRRHVLEQGRSELTQVGTDLTRIWKTPPTEGSDDSNSKENNSYLDDGIELCRFLIENQGRRDFKDRDGRAESILGVILQVWVDMLFYASYRCSKESHAKQLAHGGELTTIVWLLAEHAGLFIVPKSGKKQRRQTSGNTESHNGTVNTNSKPTTYSNIQTPEPATHNRTPSWSGGGDVMIQLHPPGFNSDAYYDLHTHDYFQQNYL >Et_3B_030736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6912542:6914109:1 gene:Et_3B_030736 transcript:Et_3B_030736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ISFVKHGKAKDMSSMRSLEYLSMEKQAQRISDGMYIYRHRREGGVEIHDIFVKKSTTRLLLSWVGKILLLANVCCTLLSKESLCLSSLWSISFSGIIAKYLRYDPVKKESLVIMPTFGVQLEQHFWSGRVYRKFVPIDKILIPVLNEHVTAVKRSHVTGAWHCFYVMNMNSWLFLRDCIHQSRCWFRSGELYLHSQTLMALLLFANHKIRMDMSNKMVLHYLKKFIEDMIHLRL >Et_10B_004087.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:1233765:1234265:1 gene:Et_10B_004087 transcript:Et_10B_004087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDDRRAAERLEAARRHVPCFMSSLVRATSQDILLSTRPYSLPELLIKPRHDKKTIHVLAGGARRAQPQPPVDAQLVERVRALRQHVVAGVLAQAHRAARLAGGRRGDRRNSPRPPSSAQPHRAPPRSMATDTYGGVTTAVLPPPFACGREHARSRKAGVTTAAS >Et_3A_026406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7805366:7807406:1 gene:Et_3A_026406 transcript:Et_3A_026406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAAAALRSSSHAGACRAAAAPYAPSRHAAAVVSSPTRQPVLRVVGGGRGLVRPLSLLEDGSRNRAPASVSPAAAAAVVPSGDAEESGDIAGTVQLGAMIVAWYLLNIYFNIYNKQVLKVMPFPYTITAFQLAFGSLLIFVMWATGLHPAPKLSLAQLGRIAPLALGHMLGTVFTNMSLGKVAVSFTHTIKASEPFFTVLLSAIFLGKVPSLPVLASLVPIVGGVALASMTEVSFNWIGFWSAMASNLTYQSRNVISKKLLASDKEAMDDINLFSVLTILSFLLSLPLMLFVEGVKFTPGYLQSTGLSLQELCIKAALAGFCFHGYQKLSYLILSRVSPVTHSVANCVKRVVVIASSVLFFRTPISPVNALGTGAALGGVFLYSRLTRTKPKDA >Et_4A_034073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29767031:29767341:1 gene:Et_4A_034073 transcript:Et_4A_034073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPKYAYPYPAQGYYQGPYQGPPVMAPPQYAAPPPRRQGGFLEGCLAALCCCCLVDECCCDPSIIFVS >Et_3B_030760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7043470:7044473:1 gene:Et_3B_030760 transcript:Et_3B_030760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVHPCCSEEKKMRKGLWSPEEDERLASHIARFGVSCWSSIPELAGLQRCGKSCRLRWMNYLRPDLKRGRFSQQEEDLIIALHKALGNSWSQIAARLPGRSDNEIKNFWNARLRKKLRQKEASTAARKDPAAASGSCHRGEDDDRKQQPPAASSSLGDSSAACTAVVDATQATKAGAVLADAGRSWNAAAADELVTPSASSTSTSTSTEAARRCEDDFLRAMVDDASYVLGDFYLDGSQDGLISFWEGHSFS >Et_9A_063525.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:6045585:6046064:-1 gene:Et_9A_063525 transcript:Et_9A_063525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSPAATAPYFEFRTADRLPETHVLWSGPHDYPTVEPVGRDAVPVVDMRDPDAAQALARAAEDWGGFPARRPRRAGGGGGARGGAGRAPLRAASAGEDEREAPTRGGQRLRRGALRVVLRQADVVRGVHLPRHRHPLRVSAASGPTAATTTFASGT >Et_9B_065434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:544278:548022:-1 gene:Et_9B_065434 transcript:Et_9B_065434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEILSVTITVADAGARKTEGTIDFAPRRSSSSDDDEADKLLRRYMREMERYRQEHQTDLQWELQGRLRDFDPKQGGTYYNRYHHVDLRKFDLDEESPLGPMRFTNAVYKDEDDYELCAGINIYSVKIACSDVGFPIHVYGTVIARDSIDRKCVYLFRRSDRDHCQIINSEDDSLVLTGPKRGLAKMDDVHVETDLKIRDHQGQDREHSKGVLTLGRIGSLKKLKVKIVSLATRLSTVDLLYGYMVDAVESTIVIEVIRGYFDGQITAHTTSTPNRLVLYDRELYGAVNGSDEDDIIVGDVKMRVEVNWSIMDL >Et_9B_064628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17146986:17147529:-1 gene:Et_9B_064628 transcript:Et_9B_064628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVVARFSLDVSAGCGGRHSTLLDEYERLAFEAQLNRAIVLRRCYSEPSPVRFARDHPPTTTAGDGHGEAEARRHERAASAGAGWFWRVHEAVARWLQALEPVLRWLRSAWERRRRTEQAQAAARRPPPAVPPRMQLLDYLRTADRKAFRSVVSSKVMIDVDRLSALHL >Et_7A_052078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4478817:4485422:1 gene:Et_7A_052078 transcript:Et_7A_052078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMQPSLNMPPSFAGASPPSPSPLAGGSGGPAMGQASKEKKMASAEQLVLDLCDPELRENALLELSKKREIFQDLAPLLWHSFGTIAALLQEIVSIYPALSPPTLSPGASNRVCNALALLQCVASHSETRILFLNAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDTEVISFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFFAVGSVLANMVVSLADQPSTRLLKHIIRCYLRLSDNPRACVALQSCLPDMLKDGTFNSCLRDDPATRRWLQQLLHNVTGGGMGGAPQPGLDHMMGI >Et_2A_018724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:602273:604937:-1 gene:Et_2A_018724 transcript:Et_2A_018724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPWWAGIRNMALTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKVEGNLPLYGYHDPASFVNSIQKPRVVIMLVKAGAPVDQTIATLAAHLEEGDCIIDGGNEWYENTERREKEMEARGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYVGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNSELQQVFSEWNKGELLSFLIEITADIFGIKDEQGDGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVEASKIFQGDYSTGLPVDKAQLIEDVRQALYASKICSYAQGMNIIKAKSAEKGWELNLGELARIWKGGCIIRAIFLDRIKKAYDRNPSLANLLVDPEFAQEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDMPGSFHTEWFKTARNNMN >Et_3B_028489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15994506:16009547:1 gene:Et_3B_028489 transcript:Et_3B_028489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVGGGGGGALRRVGKYEVGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSSILKHKMAEQIKREISIMKLVRHPNVVRLHEVLASRKKIFIILEFITGGELFDKIIRHGRLSEADARKYFQQLIDGVDFCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSAWPAQGASLLRTTCGTPNYVAPEDIMEPLLILGYLPFDEVDLTALYGKIESAEYSFPAWFSGGAKSLIRRILDPNPDTRIRIEGIRNDEWFKKNYEPVKEVEKEEVNLDDVNAAFDSPEEDTEDTFDDEAGPLSLNAFDLIILSQGLNLAALFDRRQDYDKLQNRFLSRKAAKVILSTMEVVAQSMGFKTHIRNFKMRVEGLNANKTSHLTIMVEVFEVAPSIFMVELQRAAGDTSEYNTVVSNYCSKLDDIIWKFPIEKGKSRISRIMNAVMRANKQGSNFTQSHQRKHNT >Et_7A_051988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3414177:3421427:-1 gene:Et_7A_051988 transcript:Et_7A_051988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTEPLLAAGAAGGEAQKKKKGGQRLGIDDALTAHAGEFGRWQLRHFVLVSAAWALEALHTMVIIFADREPAMACAASDGRCGDRCAAGAAGWEWVDGAASSTVAEWGLVCGERYKVGLVQAIFFAGCMIGAGVFGHLSDSFLGRKGSLQVVCVLNAAFGLLTSLAPNYWAYAALRLLTGFSTGSVGVLAFVLATEPIGPSRRGAVGMSTFYFFSGGIAALAGVAALFPHSWRLLYVVTSLPSIAFVVAVMPFVSESPRWYLVRRRVDDAMRVIRAIAAANGRHVPDDVTLKLDDEDEEEAAGHKNNGGDESAAASASGSIVDVFRSPTTRVRLVLSVFINLLTSVVYYGLTLNVVNLKTNLYVSVVVNSFAEMPAYLITALLLDHLGRKPLGIGTTLLSGIFCTAGSLIPGAGVMRVVRMACGMVGIFGVAATYNLLFIYTAELFPTSVRNAAMGCATQAAQMGAIVAPLVVVLGERVPFAVFGASGIIAGLLVIYLPETMNKPLYDTMAGMEEGEKSLLK >Et_1B_011923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27206429:27213960:1 gene:Et_1B_011923 transcript:Et_1B_011923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPDLDWLLLSLWDWGFDGMEQPEAHPDKLSEPENIPFRRSQPTRNIWTWGDPSATTINGSNDAVLFSSSLPSVPGKERERLVQSMDGMLPIRKRNTYNRVTDTMDDVAQHLIGNLLPDDEEELLAGVINDFDHVKLQNQAEESEEYDVFRNSGGMELDVDPLETVSFSTAKASVINGTGSGSSQYGLQSGVGTVTGEHPFGEHPSRTLFVRNINSNVEDSELRSLFEPFGDIRSMYTATKHRGFVMISYYDIRDARTAMRALQNKPLRRRKLDIHFSIPKENPSDKDMNQGTLVIFNLEPAVSNEELLQIFGAFGEVREIRETPQKRHHRFIEFYDVRAAEAALRSLNKSDIAGKRVKLEPSWPGGARRSFIQHTNYDFEQDETRRNVFHFGSPSANSPPSLWSQIGSPTEHNQLNTRSETAFSGGMSPLSGNNIPGLSSGYPTMKWPIGRSSNRNIIADYMFHRPSSFHNSHSFPEHGGIVSPSTLVSSAASSASTASGFTTMARTPCIWGNKNTLREHSLPSVFHSPPLSYSHFPSSYTQRQASPYRNLHGSFGPSEYLSQHHVGSAPSVFPLQSKFGYYPESRDTSYMRSGKFGSIGPSQVGGSLMTNFGLRSHVNAQSTLSVGFEGLLELGQSHTDGNLGGQDDSRMQYQLDLEKIVTGEDTRTTLMIKNIPNKYTTKMLLDVINETHEGTYDFFYLPIDFKNKCNVGYAFINMISPAYIVSFHKAFTGRKWEKFNSEKVVSLAYARIQGKSALVNHFQNSSLMNEDKRCHPMLFDPKHTENGYKIILDGTNISQEDVVLEGFELPGNPLKD >Et_9A_061474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12377833:12394161:1 gene:Et_9A_061474 transcript:Et_9A_061474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEMTSSPGSQLKPVDQEAQDKAARKKGGWITVPFIAGSMLGLGMAINGTSSNMLVYVLKEYNVNSISAVNTNNNVLGSLNLVPVVGAIISDSYFGCFPVIVAGTAVNALAFILFILTAAMPSLRPPRCAAPFTTCRHGTPGQLAVLYGAVCLLAIGTGGTRFNIATMGAEQFDSVQEQEIFFNWYFVFLGLSYLLGSTVIVYLQDSVSWVVGFSVCLAATVVSLVFLLLGARYYVMPKPRGSPYTELARVVVAAMRKARVDVSARVQYYVGDGAVVDADSDGAPSKRLRQSRFNSTLLSCKRSHNLTFLNRAAMITASDSPTEESGDRRSLSWRLCTVQQVEDLKSLLAIFPIWSSGILVSVSVGVLIAMAVLQALAMDRSIGQHFKVPAGSIIVASISGFVVASMTVDRAVFPLWRRATAALPTPLQRVGLGHAINVAAMVSAALVERRRLRIVHSHGGDGAEALGWVAPMSVMWLVIPLGLAGAGEGVHFPGNMAFYYLEFPKALRNMATAMAPLLLGLGFYLSTPFVDEVKRSTSWLPANINQGRLDNVFWTLAAVATVNFCYFVICAGMYKSSRNISQAFILFILTAALPSLRPPCCPAPFTKCQHGTPGQLAVLYGALCLLAIGTGGTRFNIATMGAEQFDTVQEQQIFFNWYFVFLCTSYILGSTVFVYLQDSVSWVVGFSVCLAATVVSLVFVLLGARYYNMPKPKGSPYTKLARVVVAAARKACVDVGALGQVQYYVGDGAVADEDSDSAPSKRLRQVICRFLNRAAMITATDNTISDGHHTSGGWRLCTVRQVEDLKSLLTIFPIWSSGILLSVSLGVSIAMAVLQALAMDRSIGQRFHVPAGSIIAASFSGFAASTLIVDRAVFPLWRRATGALPTPLQRVGLGQVVNIAGMIVGMLVERRRLSVVRAHGGAEALGWVTPMSVMWLVIPLGIAGAGEGVHFPGNMAFYYQEFPKTLRNMATAMAPLLTGIGFYLSTPFVDMVKWTTSWLPGNINKGRLDNVFWTLAAMATVNFAYTKAGSDHRRGRITVKLRWRLKPVDQEAQEKAERKKGGWITLPFIAGSMLGLGLAINGTTSNLLVYLLKEYNVESIDAAQIANIVRGSLNLVPVAGAVVSDSYFGCFPVILAGAAVNVLAFLLFTLTAALPSLRPPPCASPADAACQHGTPGQLAVLYAAVCLLAIGTGGTRFNVATMGADQFSSAREQDTFFNWYFVFLYASFMIGDTAVVYLQDGVSWAIGFGVCLATTAASLVLLLIGARYFRMPAPKGSPYTELARVVVAAMRKARVNVGAIGRVQYFVGDGSVADKDSDGAPSRRLRQVTLAH >Et_6A_046518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16557809:16558247:1 gene:Et_6A_046518 transcript:Et_6A_046518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLDNLDLQDNLLSGRIPTESIPSSLGNQTNLVSLKLQKNLLSGPIPSSQRNVKTLTGRTSPPPTEFVSLI >Et_3A_024825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24607777:24615578:1 gene:Et_3A_024825 transcript:Et_3A_024825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAARGQQQATRPVGLGILALFYSSLLFNAGFIAYYFLSPTRLSGGTCGLSWALQAAREAEATAAVECSGHGQVFLDGVAGEDGRPGCECSTCFGGPDCSVRKPNCTADADSGNPLFLEPYWRRHAAASAVVVSGWHRMSYTTTDGLFQSVELENRIRELHRAVGNAVVDDKHVVFGGGSMQLINALVYALSPDANAAAPPSRVVSAVPYYGPYKSQTAMFDGREYKWGGPTAVWANASGNSTGGFIEFVTAPNNPDTELRKPALRGSAAIFDRAYYWPHFTPIPEPADEDVMMFTMSKPSGHAGSRLGWALIRDEKVAKRAYEYVQNSIMGASRDTQLRMLRIVKAMLANLHGEEDIFAFGHDVMRTRWRRLNAIVSQSRRFSLQKIAPQYCTYFKRVREPSPAYAWVKCEREEDEDCYEVLLKANIITRTGTVFEASKRYTRVSLLKSNDDFEVLIERFTDLVNAEKDGTPAGNQQPRRRHADRVLLNSSLLLNAVFLAHHFFSPSLLGEGGGCGLSWALRAAREAEAVAATDCSGHGHVFLDGVAGKDGRRRCECNTCFGGPDCSLRTPNCTADADRGDPLFLEPYWRRHAAASAVVFPGWHRMSYLTTDGMFQSVELENHIRRLHRAVGNAVVDDKHVVFGVGSTQLINALVHALSPDADAASPPASVVATAPYHPPYRSQTTLFDGRKYKWDGTTAAWANATRNSTSTKSFIEFVTSPNNPDAQLQKPVLLGGSAVIVDHAYYWPHFTHIPAPADADVMLFTMSKLSGHAGSRFGWALIRDEKVARKAYEYVQNSTMGASQDTQLRMLRIVKVILANLRGREDMLAFGHDVMRTRWRRLRTVVSRSRRISLQNIPPQYCTYFKRIREPSPAYAWVKCEREEDGDCYKALLKAKIITRSGVGNEASSRHTRVSLLKSEDEFDVLMERVTELVNAEKYDAPGTAFM >Et_5B_045693.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:7686575:7687909:-1 gene:Et_5B_045693 transcript:Et_5B_045693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHDREAGFHRSPYRDLSFRLPYRNSVFRAAVLSWRSRRLIIQKVPRSVRDGLDKERRYFVPDVVAIGPYHHGSEQLSVMEEVKEAVVQEFCRSAMESTRGSAVVPFLEAVRPVVPEARLCYVDSFDGITDHDFANMMVVDGCFILAVVAILTDDYPDELEHYSWTHGTMLRILKDILQFENQIPWAVLRALMALRPVRVDKFVAKILAYLDIHSREPRFDGTPWYDLNPVHLLDLVHQRHLGGPAPAADGGIRYCDFAPPLVRFTSAVELAEAGIRIHGSGTSRVSDVRVEPGAVIGRLALPQLALSWLPRCWLINMVALECVTYRNDGRSGVSSHFAILGSLIRAERDVQELRSRGILFSTMSDRRTVEFFEGLLDTLPRQELYPRMLEAIVQLRSRRSTRSSLHGVYYRNRRIVLAAAPLLGFLVAVFGIALNNAFKNK >Et_5A_042759.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:3950965:3951516:1 gene:Et_5A_042759 transcript:Et_5A_042759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPLQLLLIAAVLAFLSPAAVVASSGDLKRTHIRVYMHERFSAGANATVATVAPSPLGDNSTFGEVGALDDELRAGPDAASALVGRYRGVFVGSDLAEDNYVSAVTVVFDAGEHRGSTLSLQGQYSFPGDNILERAVVGGTGRFRMAQGYSLLKVVDTPPEASVFQLDLFVFTPRVRY >Et_2B_019532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:122575:126806:-1 gene:Et_2B_019532 transcript:Et_2B_019532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLLRANPAAAQLPFPAAPPASFSWSSSAAARCSIGSSSKLSAVQFQEPLRSDSDPWSATEDAEEDQDEAYEEELGPEPDRKGISGIHVPRQRYIAVPKAALLDAVLPLFSDEDAAAEFKRFARCLDAVLHAEHKKMLEEMRTYYMLSKQQEEGTDEDAQQAMLNGKSSSFFGITQEDGTLFLTRSLGLRTLLGLSPDPDSQTRRGYATERQKGLLLVEKLDYLQSKLLQRIFFSLSRPLKKPGKWLNEALKRSTGNRGVQIWIDKLKLWLKEQTYADNSLLLIENSSWEKLQPDQLPDADVPIWIAAQKAVLRYEGILSPVGPRGRLLRRLLAWTGLIPSLPEATVKPDVETKHLEGYVRPNFLPRITLANIWEPASRESCDNNVWEIVKTSFRILFGRSTLQEPAFQELILLYTDEAAQSEVKDTDEMLPLQLKIYERIPIPDLPVVFPHKKLSFRILDTVRLDIATVVGSAFLLDIVATTALLILVFRVALGYKQTRDRYQLLVNKTLYEKTLASGFGSVYFLLDASEQQQYKEALLAYAMLLCRKKYQMSSRASIRDICEKFMYEKFKAKIEMPIDKAMETLLRLGLVIEIPTDGGSSVIALPCSEAYEILKSRWDSLLEEKTEQGRTC >Et_5B_044321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22654663:22658799:1 gene:Et_5B_044321 transcript:Et_5B_044321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKNPHVFLDISIDRGSPERITFEVLFANVVPKTTENFRALCTGEKGLGSSTLKPLYFKGTNIHRIIPGFVAQGGDFSSGDGRGGESIYGGKFPDENFKLKHDKPGVLSMANAGKNTNGSQFFITFKPTPHLDGKHVVFGKVVSGIALLKKLEAVGSESGVPSCQVKIVDCGEVSSIDTQDQPLGKKEKKLKRADDNSDGEGRAKTKKASNDNKRRKKRKHYSSDSYSSDASDSRSYSSDIVSESESYSSSSLDTSSSSDHRRKRRKGSKKDKQKSSKRKGKHTKSKRKTRGSRKKSRRSYGSSSDDSESSKTESSSSDSESGGHRTKRQENTKTANSTFEDVDKGKQTVTDDNRSHDEKGSGDRNDPGASSRINPIQDDVNLTKPGKAAGSSGAATSEADTEKNPSSNEPVATNGKDSAVGSADNGQPQRIRKGRGFTQKYAFTRRYRTPSPERPPVRPRYDGGRNDRWNNSNRYGRNGPYGGRSPVRRYRGSPRASSPSRYPRRDRSRSRSRSPVRRYDRGGYRRPSPRRSPSPAEEPRRGISNRVRSSQGGGPDSRGSSPPANRGRSRSRSKSRDPSRSRSPDPAPAKRGSSKYNRRRSSSSRSSSPDDGNKGLVSY >Et_7B_053809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12365953:12367512:-1 gene:Et_7B_053809 transcript:Et_7B_053809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRCLAIALALSFSSCYLSTPSSATTSDYGFLRCLSEKIPSELIFTPDSSTFNGVLVSTIRNLKFLTNTTVKPICVVTVTDASHVQAAVRCGRMNGVRLRVRSGGHDYEGLSYRSERSEVFGVLDLAKLRTIKASTNEGVPMAWVDSGATLGELYYTISKNNPEVAFPAGTCPTIGVGGHFRGGGMGMLLRKYGLSSDNVVDAKLVNANGDLLDRMAMGEDLFWAIRGGGGESFGIVVSWKVSLVKVPANVTVFNIAKKLDQGTIDVLTKWQHVAPVLPRDIIVRVIMQGQQAVFQSLFLGTCDELLPTMTSRLPELNVTKADCSEMSWLESAATIPARATPYPHRSGVLYNIQYITFWSGNGDGSTAKSWISNFYDFMGKYVTKSPRETYLNYRDLDIGKNVVVNDVSTFDSGKVWGKKYFGGNFQRLAAVKRAVDPTDYFRNEQSIPPCN >Et_8B_060712.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5338642:5339196:-1 gene:Et_8B_060712 transcript:Et_8B_060712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASISIVGLVASQILLLLCTAATAAATIPGSLAVVNGAAPPPAAATTASDEHPKLPFPFAGGGQPHHLPFPFSGGGRDPAECLEAVHQAESCVVDVLRSLVSPAPAGGARAGAALQGVSDRCFRRGGSLPLLPVHPFRALYAALVRHACGGGGSRPGPRARRPPRVTYVPARSLRMLRGHTVE >Et_1A_005566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11566431:11567768:-1 gene:Et_1A_005566 transcript:Et_1A_005566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASCFSRLLCSCCSTSSFPDPPYPHMDPTPPPPPPPPPHQVGGETPYLDADCTLRALAGRAEGFGRHAIGGLHGAVYHVTSLQDDGPGSLREACRQAEPLWIVFEVSGTIDLSSYLRVSSHKTIDGRGQRVVLTGKGLQLKDCHHIIVCNLLFDGGHGHDVDAIQIKPNSTNIWIDRCTLSKYEDGLIDITRQSTDITVSRCHFMQHDKTMLIGADPTHVGDRCIRVTIHHCFFDGTRQRHPRLRFGKVHLYNNYTWDWGIYAVCASVEAQIVSQCNIYETGPSRKTTVFKYMPEKAADRDDVVAGWIRSEGDAFLNGALPCLINGPGVEGVFRPQDYYQRWTMEPASPALKELVQLCAGWQPVPRPPDISTASS >Et_7B_054189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16941660:16942187:1 gene:Et_7B_054189 transcript:Et_7B_054189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASSTGRKIWAMLLCVMVFQQREEGGGVGMVAEETRLWRVGDSAGWSFGVMGWPNYKPFEAGDVLLFHYKPGTHNVVQVSSVQYALCQVSGNVTVWSSGDDRVTLARGMSFFVSNLPGDCERGMKIAVTARVVERT >Et_3A_027235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5509014:5510816:-1 gene:Et_3A_027235 transcript:Et_3A_027235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTATLLLLLPLLLSALPAPSHGWGVDGHLMVCQIAQARTSSRPLINLSLGRLSDAAAAAVKDLLPSYAGGNLSSLCSWADDVKFRYHWSSALHYIDTPDGLCSYSYDRDCKDEEGVMGRCVAGAINNYTSQLLSYGKSASPEYNLTQALLFLSHFIGDIHQPLHVGFTSDRGGNTIDVHWYRRKTVLHHVWDASIIQTAEDDFYGDGVTGFIDTLTKNITGEWSEQVHSWEECGKNQTACPDTYATESITAACNWAYKGVQEDSTLQDAYFSSRLPIVNLRLAQGGVRLAATLNRIFG >Et_2B_022832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6284281:6287451:1 gene:Et_2B_022832 transcript:Et_2B_022832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLGPPSIRGARPPLAADAADAKPEAEAELNTFLDLLDAHFNDPDPAKPDAEPRLARTENNSATYANSGNPCLDFFFHVVPDTAPERVRELLAAAWARDPLTALKLVCNLRGVRGTGKSDREGFYASALWVHARHPRTLACNVPALAEFGYLKDFPELLYRLVHGADARKADKEKAEARKKRRKAKEARGVRVTVGRKRARGRRGAAAPAPQPAAAAQPNSKPLLSDFVTAALSTKSKRRRRSAKPAAVVPVAATMETEDGEPRDQAMEDVQAKLGGMEIDRKAAMEIDGKAAPSTEKKGISSSKKARKAAKLAVQSLETYYGDRAYRFLFDSIAHFFADLLASDIEQLAPGGNRRKIGLAAKWCPTPGSSFDRTTLLCEAIARRLFPRDSSPEYADLSEEHYAYRVLHRLRREVLVPLRKVLELPEVYMSAQRWSELPYTRVASVAMRRYKKMFKEHDEARFDKYLEDVEAGKAKIAAGALMPHEIAAAAYRGEDDNVSELQWRRMVEDLRKKGSLSNCIAVCDVSASMHGHPMEVCIALGLLISELSEKPWAGRAITFSASPQIHMIKGNTLKEKLSFVRHMDWGGNTNFQATFDRILQTAVDARLAPEKMIRTLFVFSDMEFDQASAQPWETDYKAICRKFTDAGYGDVVPQIVFWNLRDSRSIPVTSTQPGVAMVSGFSKNLVKLFLENDGVVNPESVMTAAISGLLIMTYEKASAVSEISLPCTSFRLTEN >Et_3B_027760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17780531:17782120:1 gene:Et_3B_027760 transcript:Et_3B_027760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAGGSSVPSASCRDGRKRRVCYYYDRGIADVDYGAEHCMVPRRVDMAHALVSSYGLLDDVKRLRTRPATEKDICAVHDADYVNLLRDLTQAFDVGSVTKCKNGGQFIDNPAMAGLWDYCQRYAGGSLSAARALASGEVDIAINWSGGMHHACAAKASGFCYVNDISLAIHELLGHFRRVLYVDIDVHHGDGVETEFLESYRVMTVSFHQRTKDFFPENKGFVNDVGEGKGKYRALNVPMKEGMDDEGYHRMFRPVMAKVMEVFQPEAIVLQCGADSLSGDRLGGLNLSIAGHAQCVAFMRSFNVPLLLLGGGGYTINHVAACWCYETAVAVGKEIDDDIPPHWYDHYYKTQGYKLHYPVGKARRNDNTESNMAETINDVFKNLSQLEEAMPSVQFKDPKGGRIDAKALFYDSPPEEEDDPMVRLQRRCEEVDQRRFYVELGKRQMALDTDPLLYRPEVVKKYRL >Et_8B_059904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:398036:400873:1 gene:Et_8B_059904 transcript:Et_8B_059904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITARDFGFRGFELVEYQRFRRAQFKWDDVKVDKHRENYLGHSVKAPVGRWQKGKDLFWYAKDKKSSSEDALKEEIRRVKEEEEQAMREALGLAPKRSNRPQGNRLDKHEYAELVKRGSTAEDLGAGHAEAAQVQGLGLYKAPRDEGESSSFKLDPPEMMPEKADPVPAAKQEDSEDDRKGKRRREERRGEKERKREKHAEGKERRRDKHDRRHDSEDRSKRHR >Et_2B_022481.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:23343125:23344081:-1 gene:Et_2B_022481 transcript:Et_2B_022481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPADGGGEGAVALYHAAGNGMAATAAMGSSAVLPKPEPVPMEFLGGLQLANPRPAPKYRDRHTKVEGRGRRIRMAAACAARVARLTRELGHKSDGETIRWLLQQSEPAIVAATGTGTVPAIATTVDGVLRLPAEPPSAEADDEQQQSAPKRRRKLQPTRAAASGAAAHQATAVPAAYYPVAADPLLQGIGGGSISMSSGLAPVSAATAPPGAIPFLAIPAPAHGTSDGKQMMQPAAMWMVPQQAAAGGAANQPTHYLAFPTNPEFFNVGNFQQQSIFDAEQFVGDGESQVQQPSGHQDGEGEDEDIALTDSSSEE >Et_2A_015466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13331275:13332704:1 gene:Et_2A_015466 transcript:Et_2A_015466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDVTLGLAKSILELVILLYRTLPPLPNESDLNNDLNIIKDEFWMMSDYLADAAEGSGYRTNVGRAWIRQVRSLAYDVEGYFQEFVVHLENPSRAPLKLRQPRNTVVAKIRSLKQRIHEIINND >Et_3A_026394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7627398:7629305:-1 gene:Et_3A_026394 transcript:Et_3A_026394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TRSCVSARPGAARPTSEWIRRSCASGGGARWPATVAERGRCAGGAARRRGRRRVAGGGLGRAAELGGEVAAERGLIVGGATQRRGRCRVAGGGLVPAAEHKGEAVAERGVWVGGATRRRGRRRVAGGGLAAAGLGVWVVGSGAGDEELHSDNLRRKGSGEEEGGGAARRLEGGGRGRRGGSLSGKKTARWAGDGAPTGVVPTAGQTRGGLRRDYSLLAKLSSLLKLIAQTLLHLFARCSFARACWDSIGMVINIPRLHVPFLPLIKVLKSKLNVPFYMDMMSIWTPRNGWILNNFKEAGKSELNMVVHRAIQKHIDQLKQWIQDMISARAHVEPSPQRSAECLGEDQCEVVLPEMETAAAHAGRSGAFAHRTAADSSLHHQLPSL >Et_1A_007694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37359359:37360614:1 gene:Et_1A_007694 transcript:Et_1A_007694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVGCLVILRQKQRRQQQQDATVWKMTPFRKLDFTECDVLTRLREENVIGSGGSGQVYRVHLGRAGAGRVVAVKRLWRRGKADEKLVREFESEVKVLGDIRHANIVSLLCCISGDDHTKLLVYEYMENGSLDRWLHRREGGAPEPLYWPTRLGVAIDAARGLSYMHHECAQPVMHRDVKSSNILLDPAFRAKIADFGLARILVKSGEPESVSVAGGTFGYMAPECGRGAKVNEKVDVYSFGVVLLELATGRVANDGGAECCLVEWAWRRYKAGGPLHDVVDAGIRDRAAAFVQDAVAVFVLGVVCTGDDAASRPSMKQVLQQLLRYDRTASVDAACRDGCDDDGVASAQIPAVGKKKGDRAVNGSLDPGVFWDGDEESGSFVAHPV >Et_1A_005124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28027870:28028215:-1 gene:Et_1A_005124 transcript:Et_1A_005124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRGKLSAAILLLLLFVTAAACILAGPVEAGECFTRSATFDQLICLFEDSCFDACMEEGKGYTGGKCRRLKCYCITPCAAKEATWA >Et_4B_038146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26692798:26696666:-1 gene:Et_4B_038146 transcript:Et_4B_038146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPEDVDDAFLLAIDAAEAAAVDSSTKRRRLSTTSSSSSPTPATPPAASGPEGSYLAALKGSHSSAWQQQQALAYGPKRTDGTRTLAGGTIGTQVASGACFKCGDPGHWARECPQSAPSTGGGGGIGGGGYADAGGEVAEKACPCGAGSCLVLTSNTPKNPGRKFYKCPLRDNGGCIFFEWCDSPSPGPGNARSNTNFQSETSSVNIPCPCGAGTCKILTAKAGKNIGKQFYRCPGKQIRMFFKV >Et_3B_030227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31568491:31573171:1 gene:Et_3B_030227 transcript:Et_3B_030227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAPKQLKVRLPENEGVARYLHEKRLSVQEQTGGLKVHLDRTFAKAYRNVCDAAEPIRTLKEFSKIKGVGPWLMRNMKEFFADSNQDLSPTKGKKPRGSKSSLPKKSTAAPNSGKELSTKVGLSACSFSVIPVSSQRKFEPQASGTMGSFNILDNATPYLDNSVWAMPPRQLNEVFLETYEVVLILDDRENFGPHSRKVAASKVADKIHSQCKAPVEVKRLPIGDGIWIARHRRHRTEYVLDFIVERKNVADLGSSITDNRYKDQKLRLQNCGLRKLIYLVEGDPNRSNASERIKTACFTTEILEGFDVLRTSGYTDTVKTYSDLTSSIIEYYSTNFSTLSESSRVCPTYDEFEGRCHGLKRKTVSQIFALQLMQVPQVTEQVALAVIELYPTLLSLARAYSMLEGDIRAQEEMLKNKIKMANAGASRNIFKLVWGDGCSLQI >Et_7B_054785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4455160:4457431:1 gene:Et_7B_054785 transcript:Et_7B_054785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAGGAGGRDERVPQWSTQETRELIAARGELEREAVAARRSAKTMWEAVAARLRERGYRRTADQCKCKWKNLVNRYKGKETSDPDNGRQCPFFEELHAVFTERARNMQRQLLESESGTYVKKKLKRASGNRSSEESDDEEDCGEETEEDKPTHIRKRRAEDKKQQPRRMPEKSRAGTSSIHELLQDFLIQQQRIDIQWRETMEKRAQERLVYEQEWRQSMQKLERERLMLEQSWMEREEQRRMNEEARAEKRDALLTTLLNKLLQEDL >Et_1A_005835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14554863:14556116:1 gene:Et_1A_005835 transcript:Et_1A_005835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAGIQQMLVLGSRIYMVNTTGPTCCILVLDLPTASSFTVELPDESGHRGGSCSRVSWSLVSSSLMLRGYIFVHGMAMWVLVATISIREVCDHLNVQIRKPGDGGSYVWPFGVGDNSEFAIFMLHASYYYNGLAFRLPFQQPGIQGVFAWRPEKAAWAWGWMLLPGSGKNLSTS >Et_8B_059476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18315496:18319093:1 gene:Et_8B_059476 transcript:Et_8B_059476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIVVAARAPAPAAGRWGAAPPQELLERLKDYGQEGAFAFWDELGPEERDHLIRDIESLDLPRVDRIIRCSLRSQGATVQAVEPVPESSVSTVDDRTPEDRERWWRRGLRAISEGKLAVVLLAGGQALDSHLGSHFFNYKPNGFCVFRSWLLNALRVTFFQQGTLPCVSPDGRFIMETPYKVAKAPDGNGGVYAALKSKRLLDDMAARGVKYVDCYGVDNVLVRVADPTFVGYFIDRGVSAAAKVVRKAYPQEAVGVFVQRGKGGPLSVVEYSEMDAAMKTEINQTTGRLRYCWSNVCLHMFSLDFLNQVTNSLEKDSIYHLAEKRIPSVHGHTSGLKLEQFIFDVFNYSPSTALFEVLREEEFAPVKNANGSTYDTPDSARLMLLRLHSRWVVAAGGFLTHSVPLYTTGVEVSPLCSYAGENLEAICRGRTFHAPSEISF >Et_4A_034847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7094041:7096785:1 gene:Et_4A_034847 transcript:Et_4A_034847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLSLFTDIAGDGAPRLDAASGEELVRVDRAASVALGRRAPEPSGTLFVTNRRVIWLSEAEKGKGYAVDFLAITLHAVSRDLEAYPSPCLYTQIEAEVGTDEETGESNPGANGDLELSRVSEMRIILADPSQLDALFDVFCHGAELNPDPNAEHNGENGWFPGGGMTDGDWIHGDDDMVDENDLEPQFFNANPIGQNGGYDLNRSIYELQISDQRFEDAEEESESRENGH >Et_7B_055684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21402505:21412493:-1 gene:Et_7B_055684 transcript:Et_7B_055684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDKGNLFRIVAGPVKLNGPEFSFTFCKPRACGLSRTETASCSSVKHVPVILVLRFWAQDTAALRSAASALSLPCSQSADGGGFACRNPAGGGAKSKIQRGGRGAQESRRASSPTPSTLSLGHRDKVRTSTIKSYGDPSYGSALLPSLLALSRRYCHLNRNRRWHGTLGLRPDTAKGEHFLVVVPESPASVVAGQAMAYHPLAPRRPGKLLSPTFSCCRKLLWPTVTVGNLLSQMNLSGISEVANSCELGRGGTESASRGGGVLRQMRWSQAEETRTVAGDLQRLRRRWRRRPTMAAREALGRAEAAAGGDPWQTRPPEKPRSSQQT >Et_3B_029089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2255201:2256649:-1 gene:Et_3B_029089 transcript:Et_3B_029089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSSQAAGTNDAALELWCKFFGHMDEASREMEVLRIKAVILNHRHEQPIDLLDSLRRLQLMQLFVDIPKSIGIEDAVCALPKSHIIKELVRDIKKGWKVRPDQWIARQALMSSSPTPMTAQQLKFRFNNDVILTTFTSSAREVERFINKVHGDHRHHLVVGLDTEWLVVQKDGDLRHRTALLQLCVDKRCLVFQIHHAHVIPNVLKDFLECPWCMFVGADVKGDIRRLSTDFKIEVHTWSDLQTGAHKFNTYSSNKPSLKDIAQKMMDVTMDKDIVHMFWGEPELTLSQIKYAAVDAYVSSKLGLLMNIKPVTN >Et_1B_011136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1861469:1863393:1 gene:Et_1B_011136 transcript:Et_1B_011136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNYRRRSESEDEFVFFVLPTIGDSSRPSSSKTPMHTSKLSGASRVNEILTGHESIQELVKVLVLSWEGLACAAQERMNPNFSHQHLHRNETANLIHIEHPRQEIVTDMHRSHLLVELKEQKHSLTFLHNGPYHGEFSLLHNDLHNNFKR >Et_4B_036829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11839751:11840878:-1 gene:Et_4B_036829 transcript:Et_4B_036829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSADLFYDILKRLDGAALARAGCACADFRAISNEEDLWENACRSLWPSTRGDDVRSLIISVGGFRKFYADCFTLILNKDVPVLQTKETNPFAEEWTESDYYYDDLDELENSLPSDFVSLIDVWYKDRVLYSKVIWGVPNSDGANGWFYNCPFRIDLFHQSTENNENNNEEVFLSTINDLPTVPSMEQERKDGKLWRELNNGIKLSWIIVNQKLKRAVNLTSWHPLSGQRHWPTDTDFVLRFGSVLPAKEVLPCQIEDMGGVHLNGRCSLHILKEALSCHRSRNYDEVLESCNLYLKAQSELKEEKIRSECRFDTFCIVSGITVFAAFCTMFYRKLENC >Et_1B_010686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1346281:1351389:-1 gene:Et_1B_010686 transcript:Et_1B_010686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPPLDDCLRLLRGERDEQKLAGLLVAANVCRAGDAAAVAEVYRAVGPRFLRRLLNTGLGKVEGGKEEEREAYLRLSVTVLAGLARVPEVAADEGVVSTVPLVAEIVSKSTDPAITEECFELLSLIAIASEDGAYRFCEPGVMDMVFLQISSLPDGSKSLELAVNLMQLLVHKLRADNMSVEKLQGITSMVTCLARLFAVLHTAVKFDALHMLTTLLSQKESPLHDSLRSMPASIWESHIRVGITAILQNRVVSSEKLHALLLAECMMSILGEDWLSEDNKNQDNQSAMPVDKFVLLVLESARVEVAVLLNELAYLKYESSKTSQTDDAISQKQRNLAILFSLIERIIKMISNASSGEGEPSQTIRESTIMQAITGLNETISLVLDFLQDAKDHGQRKGDDLLAAARIVGSYLAEAPYACKEKTRNLLEFIFSVEGQDEPSPFYSICFMLPMLSQITMESDGCRILASFGGYKTVIDCLIKMTERDGMIDNGSMFLACDTIINFMSSRKNVHIPMESRFIRLLQALVSWAGTTDASSVIMTASCVCTMVLDLTSEEFLLSCYGFDTMTLQSLSELIIRSLQLDIPDDDREQFNQKQIIVSGYKRWADRFPHVKNVVEQHVSV >Et_7B_054225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17911555:17918435:-1 gene:Et_7B_054225 transcript:Et_7B_054225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVSFLLMSHLLIFLYSNSIQILGAESVNKSEIDRQALLSFKRAIVHDPLGVLSAWLSLDFYSWRGVTCGKTLPLRVVLLELNSLQLVGTLSPFLANLTSLAGLDLGNNQFSGSIPEELGTFQQLQSLMLASNNFAVIPQNLFNGSSQLRVSNLGRNFFTGQICKFLTWKRTIFLEAYLHH >Et_2A_018056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9539977:9547616:1 gene:Et_2A_018056 transcript:Et_2A_018056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPSVPFPLLQGPVETAYRACTIPYRFPSDNPRKATPVEIQWIDLFLNSVPSFKQRAENDPTVPDAPAKAEKFAQRYTAMLEEMKKDPESHGGPPDCILLCRLRELVLRELGFRDIFKKVKDEENAKAMSLFEGVVQRNDEIDDDSKRVENLVRGILAGNIFDLGSTQLAEVFAKDGMSFLASCQNLVSRPWVIDDLDAFVSKWTKKSWEKAVIFVDNSGADIILGILPFARELLRRGTKVVLAANDMPSINDVTYPELVEIINKLKGADGKLAGVDTSDLIVANSGNDLPVIDLSSVSPELAFMANDADLVVLEGMGRAIETNLYAQMKCDAIKIGMVKHPEVAQFLGGRLYDCVFKFNEA >Et_4B_037669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22327901:22329605:-1 gene:Et_4B_037669 transcript:Et_4B_037669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATYNAILLALLAVSILQFLGPWGSRRRRPPGPRTLPVIGSVHHVVNTLVHRQLRDLASVHGPLMELRIGPMPLVVVTSRELAREVLKTQDPNFANRPRLLVGGICGYGWADIIFAPTSDYWRRIRKLCIHEVLSPKRILSFRHIREEEAARAVDAVRAAGPDEPVNVTRMVYDISSRTISRSSFGEVRPDMAVFQDAIKRVIGLSSGFNVPDLFPRLREVLGEVTGMKRKLREIHATFDAILVDIIAERRRVRAAGKVVVDENVVDVMLTLQQSDEPWGFPLTDNTIKAVVLDMFAGGTGTSGSSTEWAMSEIVRNPRVMHKLQEEIRQTFHGKTTITEADLRNSSDLKYLKLVMKEAIRLHPAAPLLVPRESIGAAELGGYAVPGGSRIVVNAWAISRDPRYWKDDPDEFRPERFAEDGAPDFHGLHFEFTPFGAGRRMCPGYNYGLAGMELALLQLMYHFDWSLPAGVEEVDMEEAMGLGVRRKNPLMLCATPYVPPPVACVG >Et_2B_022501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2437825:2445509:1 gene:Et_2B_022501 transcript:Et_2B_022501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCACPANTVYHYKFSEDVEVEVSREGETASVITGCRTFCGRIDSKVPNELELNPPVEGTGYWNSIAQVGQVSEPEARAAYVHSPAQLRIPPFRPKAKDG >Et_1A_005232.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:34403321:34403446:1 gene:Et_1A_005232 transcript:Et_1A_005232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDMLGLENRVSTAPERRKQPPFWTPSFWYTRSSHRMAAAR >Et_3A_023812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12774338:12775473:-1 gene:Et_3A_023812 transcript:Et_3A_023812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVRQRSPRVASDRSQQLTIEAAFHVDKSTQPEESDAVPRTTIHTPTLYKHTADQSRSLISISMAPMKLYGWVVSPWMARARVCLEEAGAEYEIVPMSRNGGDHRRPEHLARNPFGEIPVLEDGDLTLYQSRAIARHVLRKYKPELLLAGDLAGSAAVDVWIDVEALQLEPVVLPIVVNCIIGPYVGRPRDQAAVDENLAKLKTVLEVYEARLLASKYLAGDDVTAADLCHFGFMRYFMATEYAGVVDAYPHVKAWWDALLARPTVQKVMADMPPDFGFGSGNIP >Et_4A_031843.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21885895:21886788:1 gene:Et_4A_031843 transcript:Et_4A_031843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKILAFFALLAVSASAATAYHTAFHYPQFPALSVPCVQYATLQQVMAAGISPSSACTLQRPLAILRQQCLTHLMLQGITSFPQQQAISIAQQQIIQQQLLPILLQQAQFQQVQQQQAWSVVQQQVLQQQLLAIQLQQAQQYQQVLPIVLQQVQQQVLLPYLSNIMAASNPIAYIQQQLPYLYNTMAALNPFSFGQQQLLPFTFNAIAATNPAVCAQQQQFPYFYNSLTATNPAVYWQQPFSASPCF >Et_1B_013156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:610759:614938:1 gene:Et_1B_013156 transcript:Et_1B_013156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLHCCCATATPAMAAATGSSAGLSPAPRFRRLGSGSGQGHLRLPPSTALCRRRLLLRCAASGGGDGGGSDRDLEEQKRRQAELSARIASGEFTVHGPGWVAPLVGKLSKLGPPGELAAALITRLAGTGAARGGPEIPQAVGSLNAVVGQAFFLPLYDLFLTYGGIFRLNFGPKSFLIVSDPGIAKHILKDNSKAYSKGILAEILEFVMGTGLIPADGEVWRVRRRAIVPALHQKYVTAMIGLFGEASDRLCQKLDKAASDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKDISPRQKKVNEALSLINTTLDELIAICKRLVDQEDLQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKYPTVLAKLQDEVDNVLGDGLPTLEDVKKLKYTTRVINESLRLYPQPPVLIRRSLEDDLLGGYPIGRGEDIFISVWNLHRCPKHWDDADVFNPERWPLDGPNPNETNQNFSYLPFGGGPRKCVGDMFATFETVVATAMLVKRFDFQMAPGAPPVEMTTGATIHTTEGLKMTVTRRTKPPVIPNLEMKVVSDSQEPARPTPSMVVSAATVSSGEGQRDIS >Et_10B_003105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16584614:16615813:1 gene:Et_10B_003105 transcript:Et_10B_003105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQQNQPLPTLTSEELLQAQAELWCYGCGFLKSMALYCAIKLGIPNAVSRYGGAVSLSELQALLPVAPSKRPCLSRLMRLLAASGILKEDYETNPAQSEVTYRLTAVSRLLVDDGGDVASLSPFMTTCTGSSCYFTASLRLADWLKIEDDVAAVETPFMMAHATDLWGMVGRDAEFGAGFNEAMASDSRFVARIVVRECREMFTGVRSLVDVGGGDGTMAKAIADAFPHVRCSVLELPQLVDGLQADDGKVEFVAGDMTEFIPPADALLLKFIFHDWSDEDCIRILKRCKEAISSQEPKGKLIIIDTVIGSATSKQTLEAQLLMDLSMMVLLAGKERGEEQWSRMFMDAGFTRYKISPILGTRSVIELSTDLSIYLSIYLSIYLSIYLSIYLSISLSLPTIARFQPQLSRFAYRPIYDHEDYDQTAAAQGEVTYLLTAVSRLLVDDDGDVGRARAGLSPFVDCRFVPAMYTAWLRLPEWLETEDCDAAAQAAAKTPLMMAHDTDFWGIIGVNAELGAGFNEATQSDSRFVAGIVVRECGEVFAGSLVDVGGGDGKMAKAIAAASPTCDARCWSFPSLSMACRLMTIARGHDEFIPPADALLLKFILHDWSDENCVRILKRCREAISTREPKGKLIIIDTVIGSAASKQTLEAQLSIDLSMMVLVAGKEREEEQWSRMFMDAGFTRYKISPILGPRSLIEVHGCCSVTISQFQCPMSLACPFLNMATWRNAVHTPTTNLTR >Et_8B_060662.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:4250344:4251534:1 gene:Et_8B_060662 transcript:Et_8B_060662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSNGRRRLRLLLAAVALAGSAAAQQQSPPTTSGPGPSYFDPKNFNPSMAIVMVVLITAFFLLGFFSIYLRRCAGPPLGAGPDEYPPRPGAFLAASRSTTRTRTRGLDRAVLDSFPVMAYADVKAHKAGGKGALECAVCLSEFDDDEALRLLPRCAHAFHADCIDAWLASHVTCPVCRAVLVPDADAGPAPAPAVAVPVTVQVDAPVAPRQPAPETATATEQVAVVVVVPAEETEEERIRREEAAELMRIGSVKRALRSKSGRRPAQFPRSHTTGHSLAAGAASAAADDDDERYTLRLPEHVLQEVVAASNLRRSASVQASSWTGGGFFGGARGAGRRSVRLGQSGRWPNMSQLARTFSARLPAWGGSGRRGEADAPGKGAKSAAGDGACPLGGV >Et_7A_051779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24518848:24526955:-1 gene:Et_7A_051779 transcript:Et_7A_051779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNDDMFNIVFSLESGSATVRKAQRIPNAVSPADHSSSSLPSPDPPPSVRRPSRSSAAPRSVQRTMYDGYGNPPGMQMPPPNPHPGQFGNAFNGPSSGLIRTGLEAYGGKFLDSSSEFMQSNINRYFSNPQYYFHVNDQYVRNKLKIILFPFFHRGHWTRISEPVGGRLSYKPPIYDINAPDLYIPFMAFGSFIILAGFTLGFMGKFTPEAINLHFTRALIGWALQLVILKGLLYSMGGGEVPLLDLVAYGGYLFAGLSLAVVARLIWAYSYYIVMPWMSLCMGVFLVRTMKRVLFTEMRSSERHSSRQHYFLLFMAIAQFPLFFWLGSIDLEG >Et_3A_024139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17754446:17755746:-1 gene:Et_3A_024139 transcript:Et_3A_024139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRSQEAPCATGRSSLLTSSSACSASHGWLVTADDKSSLHLLNPVTGAQIALPPPDSMIGVDPCFYGGVHDRYCISDLNVKRRRVSSRNFPQFLGLEKTRLYLYEKAVLSSDPSNGDCTVLLRHRPWEHLSFARVGDTEWTWLDAMERCDHYHDFVYNDNDGLLYGIPLQNTSEEHHLLDAIDEVAVGKVDLTCRKVTRMKNLRDHVLFIGFNSTVMLRASDFPDLTPNCAYMTNDNTELIYHNPGSGRKLVCVNLEDGSFNDLPVSDSQLDWPPPIWFIPHVLCT >Et_8B_060835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8683812:8684235:-1 gene:Et_8B_060835 transcript:Et_8B_060835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKVSLQEARDIEGRSALHLAAAWGHLAVCRFLVEESGLDVNCVTSRASAPCKAGGSVHGVASNLSPALRSSLAAESPVLLAAAGGDSGVLEYLVGRGGDPRMADARGSTPLHDAAERGAFLGRCYGQLHQRTLRATV >Et_8B_060448.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:16550990:16554142:-1 gene:Et_8B_060448 transcript:Et_8B_060448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDDERVAVKSSKHHHRDKDKDRDRSSSRHHRDKDRDRERSSSRHHRDDRDGDRDRDRHHREKDRDREERKEREREERKAREREEREREKEREREKARRREERDREERSRRREAVDEEDEDRDRKRRRRHHHHHHRDAEPEEAPPREEIDDEEAERRRQKKKEEDMEAEQQRLDDEMERRRRRVKEWQEKRREQQVEQDGGAGGSSAAVAAEADGKEGKKWTLDGEESDEEGDKEDGNKAEENGGAGDMDVDLLNGGSDANGSAVMEEDEIDPLDAFMNTMVLPEVAKLESAAVAMDSVSAATADDKKDKSIKNATSNGDKKGPKRAMGRIMQGDDSDSDYDDDDEDGGREEDEDDEEFMKRVKKTKAEKLAIVDHSKIYYEPFRKNFYIEVKDITRMTTEEVVAYRKHLELKVHGKDVPKPIKTWVQSGLASKLLDTIKKLGFEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIMAPTRELVVQIHSDIKKFSKALGINCVAIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTKPVEIQVGGRSVVNKDITQLVEVRPDNERFFRLLELLGEWYVKGKILVFVHSQDKCDSLLKELFQHGYPCLSLHGGKDQTDRESTLADFKSNVCSLLIATSVAARGLDVKELELVVNYDVPNHYEDYVHRVGRTGRAGRKGFAVTFISEEEERYAPDLVKALELSEQAVPQDLKALADRFMVKVKQGTEQAHGTGYGGSGFKFNEEEDEARKTAKKAQAREYGYEEDKSDSDSDDEGGVRKAGGDLAQAIANAHAAAALAATKAASTANQQVPGSTATPLIPILAAANQQNDEATARALQAAMNLQQNLARIQAHAVPEHYEAELEINDFPQNARWKITHKETLAPIQDWTGAAITTRGTYIPPGKIVGANERKLYLFIEGPTESSVKKAKAELKRVLEDCANQALNLPGAAQTGKYSVI >Et_5B_045417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21761196:21762838:-1 gene:Et_5B_045417 transcript:Et_5B_045417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGTEEEEETSKAMSSSGTEEEVQSRCAVCQHLQQPCVPRCDPPPPPESFAADHEASGAREPCAACEYLKRPCAPDCDFAPHFPAGDDAATARFATVRAVYGTEYFADYLRRRSPEQHAEAIDALVQEATEEVNRLMAPVLKEMRKKNEQRLEDPATGAAEAVVVEQPAADAAAAGVADFEDEDEDGVVKLALLEALLEQRAAADVPGSSTGASSTVPEPAAFLMQQSPPQAPTAAADDDGVQDAGLPEAEDASDKSSTGVVQSISHHAHAQSYNYQANVMLLEAYFTN >Et_5B_044089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19898195:19899949:1 gene:Et_5B_044089 transcript:Et_5B_044089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIHSTLCCLLLVLMLPADHASAVSAGTCYYSDPWVPFCNKWLCKSECWMETKLIFQNVVVKEHKCIKGGLKGNIFRHQNCYPAKSPMKRVSRIGDIYSSGK >Et_4B_036006.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12298439:12300242:-1 gene:Et_4B_036006 transcript:Et_4B_036006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLSSEVKWWEEWQLRILVLGSLSLQFALFIGSMARHARALKSCMWLAYLGSDALAIYALSTLFNRHKFPAAFSTAIQLEVLWAPVLLGHLGGINTFTAYSVEDNELWGRHLVTLVSQVTVAIYVFWRSWSSGDRRLLQAAILLFIVGILKFIQKICALKAASFSSLMASSSSVANRQRRAKGIIHMLFTSSVIMSHSVKNAVSLREEEEHDLSLEDYIKEARKLALTVKVDPNFKITEPLHPMDKSFLDMSAPYSRRLKNMSSFLKLNSKGVDAGLRNQLVNSFVQIYTNAKLLFTIPGFLLVFLLPFMSLASAVLFDQSHKDGYKEDDVRVTYILLWGTTVLDFFPFLTCPCLLGTFIWSIKAAQHNILSFSARKSGPTKLMRVSIVTCIKDYINKHLYIQHESGDVCLAIIESVVKHLRDGWKQFIVDAACYKRFNNFRGQ >Et_8A_056606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13439667:13448275:1 gene:Et_8A_056606 transcript:Et_8A_056606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SWILNLCGSPICSKQTVAPCVLKEIFDSHTCMNHLLVIGICALLVIILALQLILRVPKNRASVRQLFAATVVQCSIQWQLGLHLSWYRIVELGSNFNQDATVYHPHWWLVTLLQGFHLILISFAFSCRLRYLGATYLCFLSVFLTIYAVFTCCSSAVYMVAYKEMTMKGCLDVLFLPGMLLLLVHGIWHMKEDGYERIENDLCTPLNTETCDDMAEMDSHVTPFAKAGFFSLMSFWWLNPLMKMGYEKPLEEKDLPLLGATDRAYNQYLMFLEKLNSKMQLHPLGAPSVLWTIISCHKSDIVISGLFALLKVLTLSSGPLLLKAFINVSLGKVAYKYEGYVLSATLFLCKCCESLSQRQWYFRTRRMGLQVRSLLSAAIYKKQQKLSNLGRMKHSSGEIMSYVTVDAYRIGEFPYWLHQTWTTSVQLCIALAILYNAVGLATIASLIVIIITVFCNAPLAKQQHKFQSKLMGAQDVRLKAMSESLTHMKILKLYAWETHFKKVIEGLREIEYKWLSAFQIMRAYNRVLFWSAPLLVSASTFLTCYVLKIPLDASNVFTFVVTLRLVQEPVRQIPDVIAVVIQAKVAFSRISKFLDAPELSGRVRKKDCMGIELPIVLNSCSFSWDENSSKQTLKDINLLIKAGEKVAICGEVGSGKSTLLNAVLGEVLKTEGMIHVCGKIAYVPQSAWIQTGNLQDNILFMSPMDKKRYDETLSMCSLVKDLEMLPYGDRTQIGERGVNLSGGQKQRVQLARALYQNADIYILDDPFSAVDAHTASSLFNEYVMGALSDKTILLVTHQLDFLPAFDYIVLMSGGEIVRSAPYHELSATCLEFQNLVNAHKDTSAVSDHEKAPLHRAEEISIKRTNDIHGSIYGESVKPSITDPLNKTEQREMGDTGLKPYMLYLRQNKGFLYASLCVISYVALLCGQISQNSWMAANIQNPSVTTLKLISVYIAIGVCSMFFVLSRSLLIVVLGIETSRSLFLQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDVPFSFMFSISASLNAYSNLGILAVVTWEILVISVPMILLSIKLQKVTLLLVCFPDCFVGMTLAYGLTLNSSFVYSIKNQCNLANQIVSVERVNQYMEIESEATEVIEENRPSPDWPQVGKVELRDLKIRYRRDAPLVLHGITCTFDGGDKIGIVGRTGSGKTTLIGALFRLVEPAEGKIIVDSIDITTIGLHDLRSRLGIIPQDPTLFQVMEDGSNWSMGQRQLFCLGRALLRRCRLLVLDEATASTDNATDVILQKTIRTEFKDCTVITVAHRIPTVMDCTWILAMSDGKLAEFGKPMKRMETEGSLFRELAKEYWSYRPSIKI >Et_9B_064781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18577183:18579790:-1 gene:Et_9B_064781 transcript:Et_9B_064781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNQFDLLDDVDNDDPSQLIAAAERKAAASPKPAAAALAPAKLPTKPPPPAQAVRDARNYGVPPRDGPGHGGPGRGRGARGGRFAPRRDYGDADANGFEGGHGGGFGDGGVARGENGEGRQAERGRGPRQPYRGGGRRGGYTDGQDGDESGRPRRPYERHSGTGRGYEMKREGAGRGNWGTVTDEGLAQLRWFIAYLILCPVLVSEDIVDSANTEESPAVVEDEKKPEDAPQSEVEKDKEGAENEEEPEDKEMTLEEYEKVLEEKRKALLALKTEERKVEVDKELQSMQQLSVKKDVDEVFIKLGSDKDLKKKENAERDERAKKSVSINEFLKPAEGERYYGGRGRGRGRGRGRGERGGFRGGYNGGGYGAAAAPVIEDPSQFPSLGEK >Et_7A_052478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8419870:8421269:-1 gene:Et_7A_052478 transcript:Et_7A_052478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAISSPPKRIAVVTGGNKGIGFEVCRQLASNEITVVLTARDENRGTAALEVTDAPSIARLADFLKARFGKLDILVNNAAVVAVEYLHDRVDVSEEKFTGMDAAQRLEWLSKSSRETYESAKQGLQTNYYGTKHVTEAILPLLQTSSDGRIVNVCSGWGLLRQFSNEELKYELNDVENLTEERLDEVLAKFLKDFEAGALESQGWPIESSAYKVAKAAMNAYSRILARKHPELRVNCAHPGYVMTDMSINSGVLSPEEGAGNVTKVALLPTGGPTGVYFALGKEAPFV >Et_5B_044394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23743137:23747495:-1 gene:Et_5B_044394 transcript:Et_5B_044394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGASLESLAKGAVCFSHTFTLQELQDRWYSLLYDPETSAQASARMANYETELSASNPVQANKVFRSKAKGFSVYKRKIDSVKIQYYAKRKRVFHEPCLSDDFGYVVVPCSCTRKDGDGCTCGVDPSGGAVSSYGCVGGSDANRQHVHSNGNGQCSFDTEHASSDGSIVIDGNNNHGSLQGCLAEDQLHGDDRMQKNPETSERNTVTTDNRSDLINQYDTRVIGSKALSSMDQDGIKHDQFGRNSTGGFLQSDLFNVTRSGWCSQATSIPTRGKLLGVRSPDTLTDVYRIEQETQFSDDKMEINNKDAPAFQANLDGEMSGSSLGNVVVSEGKFTHSKLEGFSGKELELLSNEHVLDSALDKNKEDVDPFQKKYNVEDVYGVDTVPASSEVLYPRCDDSQHDMCLVPTKTTNMENFLPSPPPPPPIKLEPAMVEQEEITVSLNEGCIVGSVPPGMQVDFGGSNANIHMTAMHSVDDDEETTCGVFQHDCCDNLQSLTLHKSIQVSDQMNCKPLADKPRLGFETNIQSRMMSHALPDTEFHNPIASMSPSGQAEESDSEDSVPDYFDLEAMILEQDLIPWDEESDFIQSEVSRFQSPESRKDLIKLEKGACSYMNRSIMSNGALAIIYGQHLRHYIRNPEVTLGRETEEVHVDIDLGKEGNANKISRRQAVIKMDNGGSFYLQNIGKSPIFVNGKEVPCNKRIQLISDSLIEIRNLKFIFHVNQCAVKQHIVRAMRGSSQGKSTAFDWNQNP >Et_8A_057917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9346043:9349615:-1 gene:Et_8A_057917 transcript:Et_8A_057917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKRATKSWDATCPACPRVPACGWTHGFEISDGLEGAYSAVKDSDVLVDQSTVAIKESFGKFDEILEPGCHFLPWCIGKQIAGYLSLRVQQLDVRCETKTKDNVFVNVVASVQYRALADKASDAFYRLSNTREQIQSYVFDVIRASVPKMNLDDAFEQKNEIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHVKRAMNEINAGKLHILVFPARMRLAANEKAEAEKILQIKRAEGDAESKYLSGLGIARQRQAIVDGLRDSVLAFSENVPGTSARDVMDMVLVTQYFDTMKDIGASSKSSSVFIPHGPGAVKDIAAQIRDGQLQAGML >Et_5A_040391.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:19004998:19005165:1 gene:Et_5A_040391 transcript:Et_5A_040391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRKRFGSKISRELLIVACSTFWCHRNNTIFNEGPMHGAFSVQREKRECVILNMYI >Et_4A_033726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26232773:26234445:1 gene:Et_4A_033726 transcript:Et_4A_033726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKGVYHLFYQYNPKGAVWGNIVWAHAVSTNLVDWTMLPPAIYPTAPFDVNGCWSGSATVLPDGTPAIMYTGIDGQNRQVQNIAYPKDLTDPYLREWAKPEYNPVIAPGPGVNATAFRDPTTAWKGPDGLWRLVVGTKDNHLGLAVLYRSRDFKQWAPAPRALHSGDSGMWECPDFYPVAAHGVTSGATGAAAGEKYVLKVSLDLTRFEYYTVGEYDHATDTYAPDAGQRDGDDGLRYDYGNFYASKTFLDTGKHRRILWGWANESDSTADDVRKGWAGVQAVPRKVWLAPDGKQLMQWPVDEIESLRGGLVNVTDKLVKGGDHFQVAGLSPSQADVKASFQVMDLDKAEPFDPAWKAADAQTVCAARGADAKGGVGPFGLWVLASDDLTEKTAVFFRVFKNGEDGKHVVLMCNDPSTSSQADGLYKPTFAGFVDVDIAQSGGKIALRTLIDHSVVESFGAHGKTCILSRVYPTKAVGDMARLFVFNNGESDVKVNHLHAYDMRSANITGSMEQST >Et_4A_034021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29232534:29232995:1 gene:Et_4A_034021 transcript:Et_4A_034021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRATLLFAVAVVAVAALLPATASAKSYRVGDDFGWDNGVDYDAWASGKKFKVGDTLEFLYSEGFHNVVSVDAQSYAACTVPGNAPTLTSGDDQVALNQAGTWLFICGVEGHCESGMKLAVNVH >Et_5B_045555.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:4361594:4362829:-1 gene:Et_5B_045555 transcript:Et_5B_045555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAETAARKLLHGGGGGGGAAVARGVAAAGTFGFGLVDGLWQLISGFFAGIVGSLLSALAGAAHLLVLPFEALWRLILAAVAGAAGAISGLWHLVTGFFANLLAALAGAAHQLVLPFEALWRLLLAAVAGAAGAISGLWHLVTGFFANLFAAVAGAAHQLVLPFEALWRWLLAAGASAAGAISGLWHLVAGFFPRLFATVAGAISGLWHLVAGFFPHLFAAVAGAAHDAAHHLEAFWRWLVAAGPGAASAITAGLGSLWQLVASAAHDAAHHLEAFWRWLQAAAAVALPYALAVAALLLLAALVWFCWPALCVAGLVVCRALVWAVCCLGYGLCFVGARCLQCCAVATMKAPGAAGFLISRAAFVANPALYFGILRAAGPVVASAVFCATSVASAVAAPVAALFRVPVGA >Et_5A_042725.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:388446:388835:-1 gene:Et_5A_042725 transcript:Et_5A_042725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRVHDGQNQRGGDKRPHRRKHLYLVLDDWNNGFSIHKMDADTINSDSIDNTGYLPEPALLRLESPIGPLPHTCMFFSAMATKIFAFMNQRCALVYNTETAVMAVGPHAPARMRCGFGITVVSGEKLY >Et_1B_009685.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:14986096:14987668:-1 gene:Et_1B_009685 transcript:Et_1B_009685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTTSSRIAVTFSGGILLSVSSMLTFRPAMSVCMMRTDQLWEYFGRCSAYCVYADPGSENTGMHPATTASNVERRVGVSPRGWRQNVELSRTRITGPGDDSQLDVTLSVAFVQWKCLHRLDAPHCRMISARTVLFLPSRGPPTKEKSHLRSSRRAARLLLPRVHVGGRRRVEVGRVRHGEVDVPVVPRAGLQDQRRRHVTDGRDVENLGSPLPVSVGLPPEVPRGPSRHHEVVAAARGAPLRAPRQPRDEVQRERVPCRGAAPEVPPAVQAGIERHEHGGGEDRVLGEALVALDPAVEGHVEHELVGGVPAAAREDAAPLVVVVAQRLAHAAVRHVRAHVHPRTEIAAAADEVVVEARRQVVHVDVPPRATVVVARRRHAPVLRRRRRCVSGEDRWRLLEWWWAPGAASDAGQKGKRERRGFEQHGRQAAAAALRAGAKVEHQCPEGGGNNDDVTY >Et_7A_051958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3226055:3228583:1 gene:Et_7A_051958 transcript:Et_7A_051958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKYRSRGHTMGNNKKVPPYLVLVLLAIGAAALSVGILHKMRERRVLTVLLEEHDQQLISLQVLLEKELEAALVEKESHINQMKENAVTSTPDQTSIKELLQQKETDLGKTENSSDSVPAPSEEENSKTTASESNHQDESIVDGANNENATSDHMLLDKSENSNDSIPTPAEEQKSNTGASESNNQDESIVESTNNDNAATETEVPEKKDNSTDSISAPAEEQNSYNATASESNQQDNSSAEDQFLRLTTNLEDGPLQENKVDGNEHSDDVPEGSGSDKSELPQLSQKLTDSREVSKEQPDGTSQTEDPQGEVSSHNRDSKLMETEDGNAVVKEAEKEMNPEGKSETTEGSLSESNQNTTQGVESLETVAVPTDANTSMSTNNDERKDTSKRHRRRRFRSRRKKRTTVAASNNDGSHETEVDAAANTT >Et_10A_001041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20473916:20478656:-1 gene:Et_10A_001041 transcript:Et_10A_001041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDALRRLCEEACWSYAVFWKAIGAADPVHLVWEDGYCGHTSCSAGSEASEIGREQGSSVCTLVRKVMASQVHVVGEGSIGRAAVTGNHLWIVQDGVNDHKLRSEVAAEMNHQFLAGIQTIAIVPVLPRGVLQLGSTSARYEPEGSVTPFECSPNGSPVPYEQCYGLHATTVSNSTLSNTGRNASMLMVAQKNGQPVKEHILYAPDLKFRQQTTYCDTRPESNTQSSVVSSGFFSSVSTGMEKHPLMRSNSGRLEQGNMEDFSDPRNVLLKSLACRDPLVHENANTALLHSRSQAPDFLNGQGNFDFLPGGSRLVKGNLYGSAENQMLGQICNSISGMTGYRSTISYKIPQSAQLVMKMESPKRETFQGSVALPGSDIKVSCGLRTSVSQENQMSSSDPVCQKKVNEGNDPADVIVQVVKNMDSRKLPDTSDERAASLLVDPTTENDLFDMFGTEFHQLYSSVDNDLTWKAAKPESSTRDVPESSVHHDTSRAYDSVGDFPYSGIFSLTDTDQLLDAVISNVNPGGKQISGDSASCKTSLTDIPSSSYCHSKETKHCESSGAPPLPMKNELSVSNFVKQPCFLEKAEDGCLSQNNGMQKSQIRLWIESGQNMKCESVSASNSKGVDTSSKSSRKRSRPGENPKPRPKDRQLIQDRIKELRELVPNGAKCSIDALLEKTIKHMLFLQSVTKHADNLKDSNESKILGGENGPLKDCFEGGATWAFDVGSQSMTCPIIVEDLERPRQMLVEMLCEDRGIFLEIADFIKGLGLTILRGVMEARKNKIWARFTVEANRDVTRMEIFLSLMRLLEPSCDGGGPGENPNNVKMPLGVVQYPVIPATGHLR >Et_6A_047876.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:22162377:22162877:1 gene:Et_6A_047876 transcript:Et_6A_047876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRPWRRRRMDSPPPLSRYGFDYFPGTNLPMYGSYYDSPPNDDHPYRTGRYTVELLVPGDGDDDRNSCNKRDSGVADDDATLRRQLLEVSPSSAAGCAICLQDLDPEEEDEEEATPRACPAPTSSTSAASSSGFAATATALSVAGLCPRRNNRSTVTTTTIDCR >Et_2B_020054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16447221:16449838:-1 gene:Et_2B_020054 transcript:Et_2B_020054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPLLQGDVTLGVRSHIAADGGPLGSEILSPRHKGNRGGVETGKERGMGEASPESGGAAAGSAPPRKGKSCKGCLYYSSVLKSRGYNPICVGIPRSIPQVPSYVVDEPKEEAASQGHDLRKFRYGCAGYSMFVDNRDGQGGESDGKTLLPYCRGLELLVDSRLVERKPTTAEQAPAYVSKDVSTRANQQGQQRPANLAREEFMGRKVTVRVLSSWSSLCYIDLLMFKRSAGLVASGVVKNLNRTANYVKGYIEDIVYPDRRSPK >Et_7A_051700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23257577:23269617:-1 gene:Et_7A_051700 transcript:Et_7A_051700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFGDGGGGEDEGGGDALRGWDPLRSDSAPPTMEGSAAAALAAEGLFVGGGGGGAAAGEASFFSGTDGLGARLHEVSRRRDAVAQEHFGNSGSLSVGPPGLLFNGTSDLEEKPFGPSRVHSVGPRANYSAFDAGSLWLDAETDSGEFRRHTQNRFMSERLDVHSLPVYGDGILRRQTSALDGSNVSRISCHHIKDADHLYVAEQLAIMRSSNLRREANLFRNATMTSPMSNRYNSIRDFDFVRNRKEFLEDVLERQYPQDESLFHSIPGFPYKDSRVYHEEPRFPYSRMQRSGSHFHPNTGNIQSHGDRQSRHLSFNRKATGRNMGSQLYHDNPLSNYLEVPLDNADRNGVDSLELSAVLRNMDQYGSRFIQQKLENASADEREKIFPEILSNAIALTTDVFGNYVIQKFFEFATESQLIQLSDQLKGHILELSLQMYGCRVVQKVLEVVDMDRKIDIVHELKNYVLKCIADQNGNHVIQKCIECVPEDRIPFVIEPILSQIFVLCTHQYGCRVIQRVLEHCHDPATQSAIMDEIAQHTFRLTDDKFGNYVVQHVLQHGKPEERSSIIQKLSGQVVTLSKQKFASNVIEKCLTFGTPEERDGLIGEIISSGQIFQELMKDQFGNYVVQRVLQTCDDKYLEMILSSIKLHLNELKTYTYGKHIVARVEKLIVTGVLRRLEHPISYNLRQVVIALLLIRPEGGRTLPGQQPGTTEEGGSGCALGFRKLHAVIAEE >Et_8A_058342.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:5341640:5342410:-1 gene:Et_8A_058342 transcript:Et_8A_058342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMLMSSTPEAQLELMNAMLQLEQQLTAFPADHGAMMMVPSTPPSPCVQQAPRHHFTSVPQHMSTTGANGGRAAYQQQLQDHHYYSPPQVVTAATSCNSNSNSSSNRSAEHTAAACGNGGGGREEADVVGSSAMREMIFRVAALQPVNIEPEMVRPPKRRNVRISTDPQSVAARMRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERAAAASGRRPAASPEDNNGATVAYAAGRMNGQW >Et_9A_062720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3711858:3714125:1 gene:Et_9A_062720 transcript:Et_9A_062720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDATGAAQGLRDAGSAVNPGRKKPPRDPSMTGAKRKARQTSTTQAASMIRGDQTTPCNPVVSDVPLAAYNAPPAYSPTTDLPESVDDDTFLNIMDGQNFGADPSLGFDQYDDAVSSENELEVRKKRGGRGANFTIVEDETLVKAWQAITLDPITGDEQPGSTYWNRIHEHFCQHNQSGVHRSQHSVSHRWQIAAACTKLSSCLLHVERLNPSGADAADKVNIAQRLYKGKPKKKGGKPGKAFGLQHCCVLLQHDEKWRTRNDDVPTKSKKSSNSCSPADDNVEDVEDEDDGDSDRARSPTPSSTRESKRPPGRKAEKEKLKKGGEGAYKESLDNMVTTRKELAADRKEYKNTCWMEMKEVEDRKAAAEKRRAAAEDRRVAAEERRAAAEEQRAAIEARRVAAEEQRAAIEERRLMAEQTSKRLKQEQKIMFMDGANLDEKGKAYLDIMKNQVLAAQSMEGFYMGGFMGGGGFGANDGNGDSFAVGGGN >Et_3B_028277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12805841:12812091:1 gene:Et_3B_028277 transcript:Et_3B_028277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNSNVNIWIVYLTLVGFLVLGATSDDVWPCRAAGKRTYKPIQSNILSLSTKALKGNKHFMDIGKVDLSGVEPGTPGWDEARAAVTASLVANGCVVIAHDVLNPELQRSLFDRAMPEIFALPVEIRQRNVSSKGKFRGYLGTGNWDSVSVDEPTEEGNINDFTSLFWPRGNPEFRDVMLQFGRSLLKLKEMVEKMVLESLGVREENIDSHLRSLSHTLRLTHYSALPEDADNSFSMRVHTDFNFSTMVLQHEVEGLEVQTKDGSWHFIQPEPGTVTYQAGEILRVVTNGRLPACVHRVRTPSNRDRFVVVFGCWSREGAEVSAMDELIDGDHPEMYNPCRPDEFVEFLYSEEGRKCVRDPLKAFCGVERA >Et_7A_050893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12074080:12078526:1 gene:Et_7A_050893 transcript:Et_7A_050893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSDWAWGGGALELKARGGGSMRVDGDTRPAKELYTRQKLQQSTFRRRYYMPNASPYQLWSRSFASDNGDKFEAVVPFMGESVTDGTLATFLKKPGDRVEADEPIAQIETDKVTIDVASPESGVIEKFIASEGDTVTPGTKVAIISKSAQPAETHVAPSEEATPKESSPPRVEEKLKVEEKAPKVEPPKKEAPKPTTAPPKTSPSEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDEFVKKHGVKLGLMSCFVKAAVSALQNQPIVNAVIDGDDIIYRDYIDVSVAVGTSKGLVVPVIRDADGMNFADIEKGINNLAKKATEGALSIDDMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVDGNILARPMMYLALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >Et_10A_000106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3339938:3340301:-1 gene:Et_10A_000106 transcript:Et_10A_000106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLINSAIYPPLSFLVFCIKDLLRYSKDFLLSQGALRNSHSHVSTTCRHTNVT >Et_1A_005385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:184685:188193:-1 gene:Et_1A_005385 transcript:Et_1A_005385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPPPSISPQEWEQLLDDFSSSSSPSRRDRWLHLPLLDLALSSLPRRDLPSHLKPLLLSLIDDHLLPPQSAHHLTLLLGSLQSFPADHPLRDHLLVTVVSAFASALAAPVSKDEASPLASLVDALLAAANRPNHAPDRAARALACDSLRAIDAALPGLLADVLGHLYALAAAERSPAAQAYILLLASAARHSVRLGRLSSTASILAVSGPPTPFSVPAHLLSPHRTPAASVAPSELNVRDIRKVLALLMDRPQVLTPAAAMEMTAILAELASAVLQWAPAIAAHIKVQFGGMLHSSNPMLLHSLLTLFLAFPDAFGAEDERTMARRLALAAHETHRPLAARLLALHWLLGPARFRDLTPGLACFFYPAVFDPLALKAKKLDCLAYVAAGIDANKIAGESGGHWGTALVDDGLVCVSAFRWLPAWSTETAVAFRVLHKVLVGAAPHSTDGACCSGAGELLNSTIFHHLQAMLVDMASEHRGLVPVIADFINRLLACNSHRWAGERFLHTLDESLLPRLEPGYQLASYYPLFEKIAQNEMVPQLRLVELLTKQMACLTKKHSPDTELKSWCQGSKVVGICRVMLKHHHSSHIFIPLSRLLVHTIESFPDLEVRDHARICLRLLSCVPGKKLRHLMITGEQPSAPSHQGSLFDMPSPHPSQDLKGMPDLKSYIHLERVVPLVVKQSWALTLPNFNIQSRTPGSILSIQDVSSTGPEEEKPPGPTIERIGYTHEALRVMDSKGAETLQILRRYFACIPDYLHSAGLKIRIHCTFRFESEPFTRAWGADSSVSGSDGIDELPALYAVTITFQSSAPFGNIPPCHVPFILGEPPGSGMDIVPLDNQNREESTYCASLAIELEPREPSPGLIDVSIAANTENCQVISGSLQHVTVGIEDMFLKASVPPDTPKEGVAAYYQDLFHALWEACNSSSNTGRETFPLSGGKGSVAINGTRSVKLMEVTPKVLIAAIERYLAPFVVSVVGDSLITILRGNGVIKNVVWDESNLDATVGADALVPYSPDSNLQLQLIDNDEIGVGAERYGHQSKRDMGVVCVMIFLPPRYHLLFSMEVGCASTLVRIRTDHWPCLAYVDEYLEALIY >Et_8B_058907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10866438:10869612:1 gene:Et_8B_058907 transcript:Et_8B_058907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSCSLLLACAHARLPRPLPRPPPGSLLARPAAAVTTATASSPLFVRRPGGAGWRSRGCSQICRDSSLQGPPSGADSSARGQEEDKKENEAVVAAAASGGGGGSLSDWTTSVLLFGVWAGILYYVFQLSPNQTPYRDTYFLKKLLNVQGDDGFRMNEVLVSLWYIMGLWPLVYSMLLLPTARSSKSKIPVWPFLVLSCIGGAYALIPYFVLWKPPPPPIDEDELGQWPLKFLESKLTAGVVLALGLGLIIYAGKASGEDWKEFIRYFRESRFIHATCLDFTLLSAFSPFWVYNDMTARRIKGSLLLPVSLIPFVGPSLYLLLRPSLSSLLEASTSSSNEIQ >Et_2A_016790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28178258:28187568:-1 gene:Et_2A_016790 transcript:Et_2A_016790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSFAVLRLLPLFSLAVVVGFLIRDASALTRHDFPDGFVFGAGSSAFQVEGAAAEDGRKPCVWDTFTHAGYSFDGSTADVSADQYHHYKEDVKLMHEMGFDAYRFSIAWTRLIPDGRGDINPKGLEYYNNLINELILHGIQPHATIYHFDLPQVLQDEYGGLLSPRFVEDYSVFAEACFKNFGDRVKHWVTVNEPNIETIGGYDIGSQPPRRCSSAFGENCTGGDSSTEPYIAAHHLLLAHASAVSLYRDKYQANQGGQVGITLLGWWHEPATNSSQDAAAATRMNDFHIGWFMHPLVYGDYPPVMRSRVGDRLPRLSAEESAKVRGSFDFVGFNHYIIMRIRSAETHSGWKPRDYYVDAAVQNVRSSTQESVTDCSFMQGKVESHPWALGKLLNHLKLKYKNPPARVSSDELLVLVPGAGDAPNPPGAIVYDDEFRSEFLQGYLQVLHASIRNGSDVRGYFVWSFLDVFEFLFGYRLRFGLCGVDMNAAARTRYLRSSARWYAGFLHGGEIRTPASRPDEMSYYAADDARSHAAALLPILLLVVIVVVPAALAAPVTRRDFPPGFVFGVGSSAYQVEGAAAEDGRKPSIWDTFTHEGYSVDQATGDVTADQYHKYKEDVKLLHEMGVDAYRFSIAWPRLIPDGRGAVNPKGLEYYNNLIDELLSYGIQPHVTIYHFDFPQALQDEYNGLLSPRFIEDITAYADVCFKNFGDRVKHWSSVNEPNIEPIGGYDQGILPPRRCSFPFGFACDGGNSTTEPYIVAHHLLLGHAAVVSLYREKYQAEQGGKIGLTLLGWWYEPGTQDPEDIAAAARMNDFHIGWFMHPMVYGDYPPVMRKNVGSRLPSFSDEERKRVTGSFDFVGFNHYIAVYVKADLSRLDEKQRDYMADAAVKYDMPFLKSRNQFPFGLTNDFMSSTPWALKKMLKHLQVKYKNPAVMIHENGAAGQPDPSGGNTDDDEFRSQFLQDYIEATLQSIRNGSNVQGYFVWSFLDVFEYLFGYRLRFGVYGVDFNSTARTRYQRHSAQWYSSFLRGGELRPVALPDRAYSQ >Et_3A_023184.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:6782794:6783195:-1 gene:Et_3A_023184 transcript:Et_3A_023184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPYLAGLLARRSLLFYAATWTAVATTAVAVAAFAPELAFVWALGPGTPLSRACSDGGDPTSVGLPLDGPPWDVVCLPAGTFGRTPPDVIIPLVFAVVVVAGAVWFTTAVGVWEDDDNDDGPSAAAATMEFV >Et_4B_038961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6359324:6372201:-1 gene:Et_4B_038961 transcript:Et_4B_038961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGGGRGGRRDLGDLGAPPHGQGRGGGGGRASGFVWPPPSTTTPRPVPGQYQAVPMSAPAPSFSASSAAALAATQEGKDPAAEDEPVDLAHPARPGVGTAGKEIEAEVGTDEEPGESNLEENGELELSMVSKMRIILADPMDALFDVFCHCAGLKPDPGKLSPIKLLLILQEKFCYKSEYSFEFSEHSGENGWLHGVNLAVGGSVHGDVDMVDENDLEPQFLNANPVGQNGGYDLNRLIYEIKKALSGVRIETSHHQDKIRRYKITGITLIPTNQLIFPVDEQGTRKTEYFWDKYAYRLKLAEILILSIFLKSLTKFQVGKIIEGQRYSKKLNEKQVTNIPRATCKRPQEQRIRDTIPHNKYADERFANEFSVNVNHDLVSVPARVLPPHLSKYHGSGREKVCDPSVGQWNIINKSLPPPPLNATLYCALFQKVINGGTVDNWTCLNLSRMRPEEARRFCLDLIHMCNATGMVKVLTLFSCVVEASSIQVTLVLSTLVFNPHPFIEVMSAPPKHIENASRDVRKRAAQLYCRQGIGNELQLLIVILPDISDCYVSMEFDRIYAILWFAGKIKRVCESVVSQCWLPKHARKPSRQYLENVASKINLKVLSSMNLPELTKYRGLVSAQPHQQIIEDGASDGQLTHGSHYMTDRSENVLSVPLGLERTIRICIENRSISVNSYDSCTLEAALSSVLEAKGVNLDEFSLIAGAKPLTLSSTLTEIQGSIINANIRLLGGAFTYARIPLEEWIIISRQYPYGFFGRIFVPDVLLGRNMRQKFLIPLGPNGTNLLGMSLVSLENVHCRGRSYGGNFRLRNMFYNLTLRVIEIDAEELPFTCKNYIFDLSEVVTSVINGFLQYEDEFGDKVYPMHVKNLVSHINRLAVLIAANPGYQLHWSKDHRSLVYDHPATWSLCRQINTFTGVMIQYRVGFSEADWLKFDSVMGSGSHADPSCKDWHYLVSRNGAAKELKRVYDCRYKEDQYSNDFKGRFNYCKCVLGHAYTPKLATLASVYLHWEDQLADAHKKLVIDFGRGAAAIAAAAAAAAAEAAGVGDGNAEMAARPVRLPGAGSAPRLPVHMPMIGASGFPKRDDIPETRWSDIKEVLGHQD >Et_4A_032287.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28469363:28469584:-1 gene:Et_4A_032287 transcript:Et_4A_032287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVLVISLPFIFFSILLGFGCYFLGKHKGREEMRAGVGAQIYGTPLPPPGVMGGSSPAAEPFPMKKEGAENV >Et_9A_062188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20555805:20558488:1 gene:Et_9A_062188 transcript:Et_9A_062188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSKLFQAASKAAKSLLSSSATGLVAAESRTAALAALTNLGRKTLPTAYSYHKAGGSHHASGWLPTIAAIPAAVYMLQDQEAHAAEMERTFIAIKPDGVQRGLISEIVSRFERKGYKLVAIKLIVPSKEFAEKHYHDLKERPFFSGLCDFLSSGPVLAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEIALWFEPKELVSYTSNAEKWIYGVN >Et_4A_032408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:78956:80891:1 gene:Et_4A_032408 transcript:Et_4A_032408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASRARSCGYATTYTHCSIGFSPRLRPCRSWIRAAAEGGGDPRRSSAASLAADGPRVVEVAAAPVGGASFGARDAELAMWDKLGAVVRLSYGIGIYAAMALAGRFICQMAGIDCTGGFHPSLTALVQGLGYAAPPIMALLFILDDEVVKYSPHARAIRDVEDEELRSFFYGMSPWQFIIIVTASSIGEELFYRAAVQGALADIFLRGTELMKDARGIASLSGMVPPFVPFAQTFAAAITAALTGSLYYIATAPKDPTYVVTPAMRSRTGRENLKKLFAAWYERRQMRKIYSPLLEGILAFYLGFEWIQTDNILAPMVTHGIYSAVVLGHGLWKIHDHRRRLRQRIQQVRRQGSSDTF >Et_7B_054362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20360955:20364146:1 gene:Et_7B_054362 transcript:Et_7B_054362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSKLDIIVDGQDMLKDADQSTPAAAASGVEADGDKFAPSIQEECMRARAELLNGQVRQVFKANIDAMGVADLVTYVDTLERLGIDNHFPEVIRAALDRIRTEEPGESEVFNCLHIVALRFRLLRQHGIWVSTDVFGKFRNETGSFSTEICTDPRGLLSLYNAAHMAVPGEVVLDDAIAFARGHLEAIKGKVRSPLAEQISRALDIALPRFTRRLETMHYIAEYEHEEAYDTLLLELAKLNFNLVRILHLKELKALSLWWRDLYDIVKLPYARDRMVEIYFWTCGMLHEEEYSHSRMLFAKTFGMVSLLDDTFDVHATLEECHKLNEAMQRWDESVVSTLPEYLRMLYIKTLSNFKEFEDILEPSKKYRMSYAKKEYKLSSKNYLQEQIWTSQKYQPSFKEHEEVSIISSGLPMLTIVTLMGYGDVATQEVFEWVSSVPEMVRAGSQVTRFLNDLSSFKLGKHKKDMPSAVETYMIENRLTEEEAVEAIAALLENRWRILNQANMDIDRTLWPAAQVVVNMARTNEIIYLHGRDGYTNGDDLKDLVTRLFLQQVPL >Et_2A_018774.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:7248573:7250060:-1 gene:Et_2A_018774 transcript:Et_2A_018774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSASAAGHQLKQLKPLYQHVVNNFVAVVAAPLVVAALVNAARVGPEELVVRLQSLRAVHVFLAAFLPAAAATLYLMLRPRPVYLVDYACFRTRPNCRVPFATFLEHAKLVTFVEGASIDERSVRFMTRLLERSGLGEETCLPPAHHYIPPYRNMEASRAEVELVIFSAIDDLLAKTGVRAGDIDVLVVNCSLFAPVPSFTDMIIHRYGMRSDIRNVHLSGMGCSAGLVSVGLARNFLQTAPRGARALVVSTETITPNYYVGKERAMLLPNCLFRMGGAAVLLSTSRHNARFRLARVVRTLTGAQDSAYRCVFQEEDGEGHRGINLSKDLMNIAGDALKANITAIGPLVLPASEQLLFALSFIARRVLNRRVKPYLPDFRTAFEHFCIHAGGRAVIDELQRSLGLSDEDVEASRMALHRFGNTSSSSVWYELAYIEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIAPPRSVEGPWAESICRYPVDIPEVLKH >Et_4A_033627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25149547:25153103:1 gene:Et_4A_033627 transcript:Et_4A_033627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYDHCQTVGRSIHIVNLDPAAEHFNYPVDMGKELRMDAFCALYVQNVYTILESSYRWRMLWRSLGWGQMVALSIAWSQIELFTHVPVLRNFVEHLKRKNFNVCAVYLLDSQFVTDVTKYISGCMASLSAMIQLELPHINILSKMDLVPNKKDVEEYLDPNAQVLLSQLNRQMGPRFGKLNKCLAELVDDYSMVNFIPLDLRKESSIQYVLSYIDNCIQYGEDADVKVRDFDPEEDD >Et_4A_035289.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:17700420:17704283:1 gene:Et_4A_035289 transcript:Et_4A_035289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPDGTAMTIALPPAVGWSASAFVAAVLARLIRRGLELVSELDDAGAGHLRRLEGLLPSVWRVLDAADAGAVDIGGRPLQDLLDAAYAADDALDDVDLAALHGAAEDGDARRRSPSTTTTTSSSSARKPRSPLRFLLCFSPPRSSLAGSSTHGKGSSKSKNLGNVNLDALRDALETMAQAAYRCTSLYEHVEPEKNYATIVVTTGRTEEAGQDDDDDIFGREAEAERILETVRSGGGGGDDPHYRLGVGVLAVAGVAGVGKTALARFAFGHDAVRAEFPVRMWVHVAGELRVTKCLNLIDQMIRGAAGPRGGLLEGADDDEATELLVKELLAGKRFLLVLDDVTAVDETQWRDLMEMLRPAARRSLIMVTTTQSETVAAAVGTMMPALTLGPLGFKDYLRMFKHYAFGSTEETEECTMLGEDWDDVEEEEEEEVEKEQELSPMEQIVSELGKKMNRLPLPARAIGRALHFRRDDEDHWRNVLGDKIWDSQDVGGISPALWLSYRHLDPRLKQCFAYCAVFPGNYVFRKEEVVQMWIAQGLLYSDNAGTRLEDVGGEFFGELVQRCFFQSVVKNRFVMHNSMRELAREVATSRFFMVTDDSGDVPPEARHLTITTNNLSKLKQDLAVQVSPIPDHHFLYRLRTILFFADFSDSDDFVDVLAHVFSVAKSLRVVGLPSANITHLPPEIGLLRCLRYLDLSGNRIIDLPETLCQLYHLQVLNIQSNSPSLRPPNGISGLIQLRHLRASDLFLSSIQDIHNLSDLQELDAFCVDNNTPRINALGRMKQLRGMLRIGDLQQVDASKVPKGALKGMQQLDALQLSWSKCDGQSKEISKDEEVLECLQPHENLKDLRIIGYEGVKSPSWLIKTSGYLSNLTKMYLTDCRNWKSLPPLHVLPNLEVFEIRNMLSIGKVSSAPQKSDQELFPKLKRLVLEGVPQCIEWTTGNSNLRGTTFPRLRELEIRNCPKLITFPDLPLSLTVMTVENVGLELLPRIQDNEPSTEEALEATSKEGRWTSRLTTLQVHQCHKLRFLGSGVLQQQHLLKSLEIISIKSCDNVICDIPEGFKDLTALRDISLYDCPKMLVDKFHTSVHTLEISECFVAQGAWTEDHPFLFSVWKLKITGCSHVSNTDQGNMIQPLDWLNSLLNVYSLHLENTQLLRLSMFDLLPSLEILDIDGCDAFFSDLNDFAWLDRLQTLSIRNCKEMCGLPENLCTLPELEELCIENCPAIEAFPVNGLPTSLKRLSISKCGSQLIDRCLDDDLDAPKIAQIDVVYIDGRCIHVKQTDM >Et_2B_020796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23698242:23703246:-1 gene:Et_2B_020796 transcript:Et_2B_020796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVKTKNVRKPQQREMSAASSDAGSGDAASQEASFSTEEAVVSVSGREQCGHYGRDIAHLDKVLLEILSSKHFASCEHCREDAPRKKGGKEKGGKQQKKKGGGSKAKTESKMEKSGMWVCLDCGRQFCGGEGADTKPYGHARRHAKQDRHWWAAKYDDPTVAYCLSCEKEVSIEMPKINTAVPVAANDTMVGAADSDASGLANCHGNAIKGLPNLGNTCFFNAVMQNLLALDGLRRKMLGADVPTGPLSMSLKKLFVETSASNDTGGTLSPKNLFSSICSKYPQFRGFQMQDSHELLRCFLDGLRMEETEARKIADDASTVGVPTIVDSIFGGQLSSTVSSTECSHSSVKHDQFLDLSLPVPSRRPPAKSVASPPAKRATKQSIRERNKSRRYGKTLAPPSTVEESNAEKIQTVAECNDSQVHGSESGQVISEKEPEPSGCSESCASVSNQEPKDTSNVEDNMNWLDYVADAGETQSEIVDSADSTEAGEIGESKNAIHDSFHRQDDALPKEQILGSEHSGENAVDDATSSQPVILLPYKECDGTAKELDGTEENSQTAYAEPPAVSPVTENNIQPASGGDVEQDDFGFGDMFNEPEVTSEIKKRSGKVEDIDVMAWSSHSADDEVDDSNAPVSVEGCLALYTEPERLSEPWHCEHCTNAARTNTNEAKNGAEMMDNASGRKGNEEMMEDGDERQNGEKLVMNCSNKEDIDQTMTTDGCSDNVHCDMQCTKGECANPSLAEPAQTSDGNLPEIGNTTAQEIDAVSTIDKIEQPNSETYQHDHCPDTKSSVVEFTSLSKQPHDSVMQQNDGHNVDITAEATSEPLNCGDNNSVSCSATNIVKPESGGLAGEVVTGGLAGEVVTSILPSDAHGILSPVKDNEDANTRNQGRRKRMKMVGKARQGQDNKNEQKENETKIFRAAMRRILISKAPPALTINLNRFSQDSHGRFKKLKGHVRFKEMLDIQPFMDPRSVVGSSSSKALALNPGSMQAMDMSEWLPWRKF >Et_3B_029871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28706503:28708672:1 gene:Et_3B_029871 transcript:Et_3B_029871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNHGDTIPLHPSSAQSDMDEIESLIHAAPSSATVLPARPPSPPRASIPVSSAPAPVPSKPPLPAASIPISVSPAPPLPSASVSVPIASDGFGPAPNTLTEPVWDTVKRDLARIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALVCMLKDNVILKIVVVTVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYISVGFLIIAID >Et_6B_048615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11576178:11578274:-1 gene:Et_6B_048615 transcript:Et_6B_048615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPPIPELGDQKKLLFTELFGNGLNRSISTTLGKLSNLISLDQVAWEQPDGLIPSSLGNLTYLVNLEFHKNLLSGPIPASLGNIKTLRSLNLNGNMLTGTVPHEILSLVSDGSLSELRNIGEDTREPKIQYLCSIKGRAAVSVSISRSFTQRMRLTGPPSSACCYSHAHANAPAAPRTALLLPACACASRVRQPARAPALHRVTVPPNLSSLPVACAEPASAAPILGSPPVEP >Et_1B_010679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12983736:12987371:-1 gene:Et_1B_010679 transcript:Et_1B_010679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDADELEAARRAAALAMEELSRHDSSSPAAPPHAGLPALVRHCVGLLPYLDAGDPGLAARCRRRLIDSLRGVLSRGPSPSLLPAIEVFAENLVFDVKLRTFFSNYDRAVPEGSRVFTVASRCGGELHTILELACSHFISSLEDEGGFQAFLSALLWSGNDPQGSPQIGFQEALALTYRTRLFSLPEVVQAHLLLLASRCISGPDLGSHLLAFEDSMHRYLSNYLPALGIFNRTTGVEAPLSCLAVKKPFACCIKEVTDQKLKNQVDGLLSFCQAHFVDNMPISESGIFDSSDRLIEENQHMLHEKFRQEATAVVRRILSNILHCAKKKEVLESDAEVTEEIICLAAVLRLMGSLLQQILYHFSQTRAAGDKKNANHVARCMDYSFLCDTICLLKQYEANELHRCDLVGSIGQSVYRERVSMQMLVHFASISVFCVRRRFGSLWKLCIIMMMMAINLVFVEEDSTDMFRFLIDASKESADIPHTENSNLRVYVRRRSSRVIALRLESLQNLSAETANGRAFLLSHPEYKTSWSDLDDFIECKQGKDYSNSLYQREKFRSTFKEENYKSSGYAAFQENEKEGDAKEIFVNWFLANYILADVFSTQSFRLVCVYGDPRHAPMSLRQLTTVVDIGRFAPMLINKDYRDK >Et_3A_025412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29705701:29711141:1 gene:Et_3A_025412 transcript:Et_3A_025412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFTLNTGARIPSVGLGTYKVGPGVVADALAAGIKTGYRHIDCAALYKNEKEIGVALKNLFDGGVVKREDIFITSKIWCSDLAPEDVPDAIGSTLTDLQLDYLDLYLIHWPFQLKKGSEVSPENFVQPDIPKTWQAMEHLYDSGKARAIGVSNFSSKKLADLLAVARVPPAVDQVECHPGWQQAKLRAFCHSNGVHLSAYAPLGRMKVVANNPVVISIAEGLGKTPAQIALRWGIQQGQSVVPKSVHESRLKENIDLFGWSIPEELCAKFSEIEQVKRIRNDSFVHPQSVYKTIEDLWDGEI >Et_3A_026021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3554966:3555616:-1 gene:Et_3A_026021 transcript:Et_3A_026021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDSFVQIFKASQASLQIRKLILKVFDPSTTKSQFVESLRWLSYENIWGPGLVRFLLLSTQFSVFVRDHLRPKDRYKFFGGAAVKGRFPLFH >Et_3A_024790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24215672:24219672:1 gene:Et_3A_024790 transcript:Et_3A_024790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEARNHFHTRVRGSTRGGGTAAERDLLLQWGNRKRLRCVKVHRRDVAAAATVAAEKAAASQRRAAAAALQHHSLRNSEVRSSAQLQQNNVFHKVASPDREHTVKVGNNKDNGHLSASPDDRKGSSSGSEASIWPNFAIALTNKEKEEDFFVFKGSRPSHRPKKRTKIIQKTINFVCPGTWLCDLTLERYEVREKKVSKKRPRGLKAMQSMDSDSE >Et_10A_001580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5434138:5438552:1 gene:Et_10A_001580 transcript:Et_10A_001580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEQQPPVALVPAASSKERVAAAVEEASSGGWEFRNAYRRQLLALSRRIRLLGPFAEELREVRRVGAEEERALAPLADALEMALVLLRLGREGSRIFLVLERDNVMKKFQDVVAQLEQALCDFPYNKLDISDEVREQVELVHAQLKRAKEQVDMPDDEFYNDLLSLYNKSYDPSAEQAILERLSEKLHLMTITDMTQESLALHEMVASGGGQDPGEHIEKMSMLLKKIKDFVQTQNPEMGPPIASKLLDSNGEPRPVTIPDEFRCPISLELMKDPVIVATGQTYERACIEKWLASGHHTCPTTQQRMANTTLTPNYVLRSLISQWCEANGIEPPKRSAEPNKPTSACSSSERGNIDALLSKLCSPDPEEQRSAAAELRLLAKRNANNRVCIAEAGAIPLLLSLLSSSDLRTQEHAVTALLNLSIHEDNKASIISSGAVPSIVHVLKNGSMEARENAAATLFSLSVIDEYKVTIGGTGAIPALVVLLSEGSQRGKKDAAAALFNLCIYQGNKGRAIRAGLVPLIMGLVTNPTGALMDESMAILSILSSHPEGKAAIGAAEPIPVLVEMIGSGTPRNRENAAAVMLQLCSGDKQLIHLARAQECGIMVPLRELVLNGTERAKRKAVLLLERMSRFLVQQQEEQEAQMLASALALSQAPEQVQEADIPEQLDSPAPLFPAVGWT >Et_7A_051141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14888480:14891915:1 gene:Et_7A_051141 transcript:Et_7A_051141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFWGVEVKPGKPYTLTPEDSLGRLRLTQATLGDAVGKGEKGAGGKKCVLQCSVENKDPVYLCALIPEKSETCHLELEFDQLEFEEESVTFSVIGSRSIHLAGYYIPEGCEEGMCNRDADSLEGSDEDYLESDDDGNMVMGDQMSTDSEDDGSDYDSETDDSEMEYNQRRGKSSVVIEEIQEDDKPAVGEVQKGSNKSQLQLAVRTLPAESMESEDEDGFPVPIAESKKSSESVSKNSKDTSNEDRKRKSEAISNHCNSSGEVKKEKDGASKKKKKAKDKRIAVDNGNANNEEKEVKQQDSPADPVDAKQKKKNKNRSSSEIVTDQQSAKKNQTDAEEVTAQEGSKKKSKKQKTQETNKSENQAQTGLAESGSRREPLQTRTFGNGLIIQELEMGKPDGKRATRGKKVSMRYIGKLKNGKIFDSNVGGRPFEFRLGVGEVIKGWDVGVDGMRIGDKRRLTIPPSMGYGNQKVGQIPQNSTLFFDVELVNVK >Et_1B_012713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34659987:34666615:1 gene:Et_1B_012713 transcript:Et_1B_012713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGPDDEWDEAFVAELFLKTDEAVASRNPNPTPAPSPAPAHDPIAAAPISYLPAASASVSYLPASSASVSCLPAASVASHTSPAPRFSPPPELTQRLPLPLPPAVSCDGDAVAVGRGFSPPPELSQRPAAEESHCAIVAVPGPTVGDRVMGTSGNAGAKREREARELEKLKRDFNRVSKQMNDLKNECSELRKDRTKKDIQIRAQEMEIQNLKKANVGFAGKDVCNGGMNIDQSVHVPANGTLHAADAWASTRADKPNGTVKEVHSLQEDLCSEQRHQTDLPEALELKQRTMIDNNSTWNNDHFEHKKVLPERISSNLCALWGMPTNILSGRNLISKIVVSCSEEILALLQCTRLPDKSDTSSEPSSSLNDAISQLYDIFVKMSNEKIPLQTFLEALLNLCSFENVRIGHLNFFIFFIPNFADIAENLATPAESWNRFKQKCTLRNNVSVEPYVDVHMENKHENSSTLLIRPGTEDLLRRRKMSLPFTFWSSVFTLMLQVGVNYSEESIRVEALSIMILIVRTTDPIEERENWQCCMLLTLLLLFRFEFTPVMERLHQLLRKDNGLPVKKHSVRLLFLLLNCPAMLKLLCSGGKDCSGLMEPEGSERDRTKQAISSVLEDLSECLTCEATCSQGIELCRFIIILLAYIASSGKLGYEVLIGSVTNCGASFLELIMEVLSSQMQYETQEFLKERCLLMREALILLNRLASHANFSKPTLEVLTGSKLCATLTIDVANRLPQSQMGSDLAELAQKFRSRVYAFLEEKPLTVDGSNPNASFKGYKFQEQENSSVNHSNLR >Et_4B_036749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11201554:11203461:1 gene:Et_4B_036749 transcript:Et_4B_036749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTSRLPTSCVLPTSGVRGRSIRPAVAAVGCAPGGSRRSVGLILCRSSSTAGAQGGRRMEDYNAAMKKMMRNPYEYHHDLGMNYAVITDDLIVGSQPQKPEDIDHLKNEEKVAYILCLQQDKDIEYWGIDFQAVVSRCKELGIQHIRRPAVDFDADSLRSQLPKAVSALEWAISQCKGRVYVHCTAGLGRAPAVAIAYMFWFNNMDLSTAYDKLTSIRPCGPNKRAIRAATYDLAKNDPSKEPFESLPEHAFEGIADWERRLIQDRVRALHEA >Et_3B_029000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21200530:21204853:-1 gene:Et_3B_029000 transcript:Et_3B_029000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEMPDAGGKARSVDSSSSYGYPPSAPPQPQHQQYGTFGPPSASGEFPQPAVGFPQPAPPPGFQHYPTPPPASYAVYPPPPQPYSGTATYYAQGYQAVQGYIPIAEGRPVRMRRLPCCGLGMGWFLFIIGFFLAAIPWYVGAFVLICVRVHDHREKPGYVACTIAFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRSPCIQIIKTATVHFKLCKRDNTKQFHNAKIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >Et_6A_047574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7579357:7580880:1 gene:Et_6A_047574 transcript:Et_6A_047574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYIVMELGGRALSKDDVRVIMKSLLPGLKNMHEKGIIHRDLKPSNILIDSNVRHVEGKICDFGLAIYYDQAVATWSRIPRGTYGYMAPEVHKAKSSCTFESDMWSLGAVMYEVITGSPLIKGRDPACMITCMRSLFGTLSDKASTSLEVVEGPQTDPKWATHGALIHRQFSPQCLEMSTKPMLCVQYG >Et_7B_053933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13500067:13506406:-1 gene:Et_7B_053933 transcript:Et_7B_053933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIHPRESYYHFCNVNTSTPLIRKIRIATLLLKRKQPSSQGAIAGSASGSYGPPGGSFGHLFRPEPPRPASGQRRRRDNRGRQVNHTSTPYYDGHLWRKYGQKNIKGALYPRLYFRCSYREDRRCMAAKLLQQLNNPPLFEVTYLHEHTCKAELVPPPDVVDTPPDVSGGGFVVSFGSSGTCGRHRDALVQETRQPYQLQPVPTSPLFSTTAFGSSSNSQLVPDFYPPDVPPATASWSPIESWPSPLSMSSDEGDQLFSTFGSFNGGFDDDVVPYPGECSLKIFMKIKQSIWLLVTDYKVTLISFPQRKKLKVNLISFVPESMFPLETMAGVHPSHDQSMYGY >Et_1A_008903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1816928:1817278:1 gene:Et_1A_008903 transcript:Et_1A_008903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSGRPARYHPAASDLLSTLANATTALADVQRRLDLEFRASYPDHANPAKLVARVKRIQEEVAALKELCRDLLAQKQVVPPLPKP >Et_1B_012678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34299505:34302831:-1 gene:Et_1B_012678 transcript:Et_1B_012678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EDFMECLTTSFARNVGREHNFACPSKSVSEKQWITRRSHCYFPTSTNSSQRHKFNTMAYPMRPPVGRRSPWRSFAASLNLEDGPAPSDSTSSSSEQASDANGIAGADASENLLSRRPSSDELKSLLADSERSKLLRRLSEANQYNRFLKRQLQVKDDAVLKFKSELAVLELELQALVGLAEEIANFDVPSGSRKINGKYIQSHLLSRLEAVHGKVMEQIKDIDSLKPREVSVYWVGMAEDVQIMGSFDGWSQGESMTMEYSGDYARFSATLNLRPGRYEIKFLVDGDWKLSAEYPITGEGMMQNNILVVE >Et_4A_033852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27410570:27413309:1 gene:Et_4A_033852 transcript:Et_4A_033852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMDVDAAAVAGRGKRPSDKELFGAAESGDADAFASLSPADLTAALSLRNEDGRSLLHVVRALAEAGGDAAPSVVNAKDDEGWAPIHSAASTGNVEIIDILLERGADVDLTTDGGRTALHYAASKGRLSIAEKLIAHHSNVNKKDKFGCTPLHRAASTGNGELCEYLIEEGADVDAADRTGQTPLMHAVICENKGVALLLIRHGADVDAEDKEGYTVLGRASDSFRPALVDAAKAMLEG >Et_7A_051343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17165843:17166790:1 gene:Et_7A_051343 transcript:Et_7A_051343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELPEGHRPESTAVASCSWSTSVARAPPEPYSVSMCNPTTGDVQRLPPPPLCDVVPFPCPGHRHRLPRAGPGVQGGVGERRGRQPSRRSAESNIIYKQNMNVRMYLQNIWHYMTATSRKP >Et_4B_038749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4662144:4671170:1 gene:Et_4B_038749 transcript:Et_4B_038749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAAATPRSGARRKRQRSRSPPRDGEGTSEPKTARPRLVGGGGSAGGAWEHLDLVLSLQGKELSLERKIELAVDFLRTQSDSSSNDRKVHSIQLSRLISFIGNWVQSILNFSENSKKTPQPFDPALDSRCWVILRVCIEKNPSISISVSLLKSLSRVARDGLSRVDSNESCADNESFELFEQVLDCISLLFSSNTRAFFNAGVDLWSSCGIEVINLAQKVSANERNGCPVLRKLANCLLGQFSSFLRFYANPKNIFHAFVEKILEPLLELLVLLNSQASSNKHTQAGAMLKVVEDVLSNGLFHPQHLSGYFGLKSLTKTSVAKDIKGSYHRHLFERFKGIKENKAVLLAGFGYLLQLFVNRVRGQKTALAPSGTALSRLLKSIEGSDEPQQHRESLFEVFMQFMEPLLLECKLYSQKEFSGLGVTRLVEVHCMLKSINVMLKTVIEEKIYVPTEDTSEGSYFNFLQDIYKVLISVSEKMYEFWVSAVHLEDTSIKKILPLMFAEVTAAVGHFLEIEYKVLGDDHVKLWLMVFALSAINVSSEDIKPCFLLASKISSLSSQMIRTFSELRQVSRSMFRLCDAVRTFGAGGPDVVKGSFSVASLSSQKCLASLTTLLSSETLIDAIRTSVKSMPEGQSSRCIDELTLDLVETLKWTKGNSFEDHLKEQGGSHLVGSKSILYQKAEILGRHLSELYASVLESITITASNSTLVGKAVAKLISAIRPNFTHLVRTDSNKFSEFISSVMGASISKKQLARWQKIPSFSWIFVIFFRLYISCRSLYQQSISLMPTDAAVEATELVGNSFVVCSGKEWTNPANILGEGYFAWIVESSSSLVDVIECLSESVSRTCPSFALVIYSFHVMILQRLNDLNRQIKAFDYFIEDGAHEHDKDSTGNTKVLKVTCSHEATRLTSFMMSYVRLLSSEENDPFGSYETSASWDLSLCSLDKGSFPTATWQLLCDNIDIWSAHASKKDLKNFFSNLIRFAFMPKGSCTDKENSGTQSSNREINLHNISSILLCDAIIYDQKTPVTEIARSSL >Et_3B_028019.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:31889068:31889202:1 gene:Et_3B_028019 transcript:Et_3B_028019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRMGKFMRHFPLPESADLDSVRAEYKDGVLTVTVDQKPLAFQ >Et_1B_012705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34599601:34602741:1 gene:Et_1B_012705 transcript:Et_1B_012705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGMRRGARTMMFLVFMFVAVARSAVGDVDGVGAGGEDGESYLSFGAAGDGNADDTQAFLNAWKKACSLDNAVFLVPAGRRYKVGASKFMGPCKKKMMIQIQGTIVAPEEPSEWDPRSPRLWLLFGGLVGARIEGGGVIDGSGSKWWAKSCKIVKSNPCRAAPTAVTIDSCRGVRVRRLRVQNAQQMHFTVSRSRDVRVAGLHVESPEDSPNTDGIHIAESRGVAVQSCRIGTGDDCISISNASFNIRMNTIDCGPGHGISIGSLGKDGSFAAVANVALDKARIRRAQNGVRIKTWQGGKGYVRNVRFSDVAVEDVDHPIVIDQFYCDARTPCRNSTSNVQVSNVAYRHITGTSTRAEAIRFACSDAVPCRGIVLADVDLRRADGGGEAQALCNCVMGLDEGGVRPAVDCLRTSACGGEAEDHHTDDDEEGKVEAIRHTEL >Et_7A_051431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18410616:18413542:1 gene:Et_7A_051431 transcript:Et_7A_051431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SEASPSTIRRAHAVHPITAVQLEWSLWSRDVEADIIPTCRSLHSFLSRCICISWTLFLNSLFVHDFRELGIGIVAYSPLGRGFLSSGPKLVDTLSDRDLRKNLPRFQPENIEKNGRIFEQVNAMAVRKGCTPSQLALAWVHHQGNDVCRIPGTTKVENFRNNVAALSMKLTPADMSELESYASAELTPEDVAELESYATANVEGDRTHDFLRTWKDSVTPPLSSWKA >Et_10B_004113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13144473:13145974:-1 gene:Et_10B_004113 transcript:Et_10B_004113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPLHLVICPWLAFGHLLPCLVLAERLASRGHRVSYVSTPRNIARLPPVRRPGAAPRLEFVALPLPRVEGLPDGAESTNDVPAEKLELHWKAFDGLAAPFAEFLRAACAADEGGRKPDWVIVDTFHHWAAPAALEHKVPCAVLLLSAAKHIAGWTSPPSGGEQPASRQPRYESERNAKLLDNHGASGMSVIQRCSLTLQSCALVAIRSCVEWEPESVPLVPSLRGVPVVPLGLLPPSPDGARGVSAANRGDDAAVRWLDAQPPTSSVLYVALGSEVPLRAEQVRELALGLELAGTRFLWALRKPSGVVLDAEDVLPPGFEERTRGRGLVTLGWVPQVSVLAHRAVGAFLTHCGWNSTIEGLLFGRPLIMLPIDTDQGPNARLMEGKKVGKQVPRDDKDGSFDRAGVAATIRHVMLEEESREVFVANAKMLQQIVADHELHERCIDQFVQQLRSSNK >Et_2A_018552.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32561907:32563836:1 gene:Et_2A_018552 transcript:Et_2A_018552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMAFSHKLLKCRRSAVAGVAGATTWVLVLVFSVVFLANREAGVFRAVTAIATLSASSPLGHGQGKCQELQSLPDNVARCRYVRSHPPCAPQGYVDYLQVFYCGFGRWPWLGGAALLLWLLVLFYLLGDTASQYFCASLEGLSAALRLPPAIAGVTFLALGNGAPDVLSSVVAFASAGGGKGGADAADVGLSSALGGALFVSTVVTGVVAVAARSRDAVVIERRAFVRDVCFLLVALLYLLAVLLAGAVTVWAAASFLSLYAAYVLVVGISHCCAAAVEPDIKPDLVAPLLVGDDDDAPPPLPVSGKPAAAPRSFPRCLSDVLHSPLYLPRRLTIPDIAAHRWCKPYAVASALLAPLLLAATSSPTTPSVLLSGAGAGALLAGAAAAATRASAPPRSTWARLPWLGGGFLMSVLWSYMLARELVALLVAIGFVVGVSASLLGATVLAWGNSLGDLVADVAIALHGGPDGVQTAVSGCYAGPAFNMVVGLGLSMTLAAGARYPEPYVIPVDASVYEAVGFLVAALVWAMVVLLMRGMRMGRMLGAGLLFIYLFFLSIRTCESTGVFSLGRAGTSVT >Et_4A_034189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30676385:30677527:1 gene:Et_4A_034189 transcript:Et_4A_034189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTDIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLSETDSKPEGGGTIKIKPTEGEGEASGAQKSACCGS >Et_3B_031048.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:1243772:1244263:-1 gene:Et_3B_031048 transcript:Et_3B_031048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMLPACLVHQGTAALPAMKPRQRLISLKLLVKAVHKMKKRHAGSNDGKKAKIDCTKSSSSIEEKGGGGGELEVMRKINSNPKGNVLRSRLHHGRGGHKKGVVRVKVVLTKEEAARLLTLTIGGQKTAAQIVAEIKRMEARRANAAAHGWRPALESIPEESS >Et_10A_001652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6428071:6428726:-1 gene:Et_10A_001652 transcript:Et_10A_001652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLATASMFAAAAIAVCPDVLLLGSSATATTTTTLVKLAHLLCFATSWGATVWAVFISGIIMFLNLPRHMMGGLRGKVFPACFALTAVSSAVSAAAFAWLHHPWLEASTVERRQLAVLLSAAGLDLANLLLFTPKTLKVTIHMHYHERVLHHYVCRHAYACPTAIIVEITEEKKATCIR >Et_1B_011851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26497431:26503404:-1 gene:Et_1B_011851 transcript:Et_1B_011851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYAGAQPVSPAAARHQTPAAAVAGQQNHSLAFRVMRLSRPSLQPDLAALLRVDPRDVFLPEDALTSPDPSAAADFLHRLLHPADSATAVPGDFSFRDRFLLRDPADALALPGLLVLPQSFGAIYLGETFCSYISINNSSSFEARDVVIKAEIQTERQRILLLDTSKSPVESIRSGGRYDFIVEHDVKELGAHTLVCTALYNDGDGERKYLPQFFKFTVSNPLSVRTKDITYLEACIENHTKSNLYMDQVDFEPAQQWRATRLEADEHPSAVKSAIGDLCKQPVLIRAGGGIYNYLYQLRSSSDESGQSKSEGSSILGKFQITWRTNLGEPGRLQTQNIHSTPTASKDVDLRAMKVPPIIYVERPFMVNLCLTNQTDKTVGPFEVFLAPGMSNGERSVQINGLQKLVLPLVEAFESIKFDMSLVATQLGVQKISGITMYAVQEKKYYEPLPDIEVITAMTAAPIQLYPADKLQFLQLKLVSGDPHSCTSEMSESLGLELAAAISNSSMSEILFLLCAASCLGLSSDASRMGLSVGCCCWFCCCDTIA >Et_9A_063084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9798867:9801741:-1 gene:Et_9A_063084 transcript:Et_9A_063084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGDVVVVATDAAGGYDAGGDVKQKRAVYQARKPKLQPKRHDDAQSQAGVGNVQGFVRATPESSEEQSARSSECSNIDDDWDNRSGDDFDTLLPDEKGVETEENYGEGSSNGTARKLRAPICCILGHVDAGKTKLLDCIWRTNVQGGKAGGITQQIGATYLPVENIRERTSLKAEAIIKVPGLLVIDTPGHQSFSNMRLILHGGLRCRQLNRLFAVSLNKVDRLYGWRTCHNAPIVKALKSQSDDVWRELKWRVSEVTKIRRLKKWLTLSLPVLLGNREGIPDLLLLLVRWVPEIIIEKLTYVNTVEFLVSFVLRVCNSHDNKILISSPESLFIKVLMGFCFVNKVKEFETTIDVVLINGTLKKRDQIVVCTRLGPVTTSIRRHLLPPYPLKELRVNETPFHKSALISQTCYLEFHLDLMNVIRSLKLDKGLKL >Et_10B_002606.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18031335:18031598:-1 gene:Et_10B_002606 transcript:Et_10B_002606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAKSNLLLQNLCIMQRNEELRRKARQLDQENKALLTQLQRKHHQQQQQAASSSSAPATQAVVPGGEPSSGGGHSAAAAGGNKQPK >Et_2B_022711.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3518661:3519983:-1 gene:Et_2B_022711 transcript:Et_2B_022711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLDEELYPSTPGKVKVERAGAMSRHLHRCFASTGTMFLWALFLVVLTATYFSVHSFVNTSSRYFAASWGGLHWERQIRASASPRRPPGSAEGAGLSVLVTGAAGFVGTHCSLALRKRGDGVVGLDNFNSYYDPSLKKARRALLSSHGVFVVEGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNIAGLVSLLEACKDADPQPAIVWASSSSVYGLNDQVPFSEAHRTDQPASLYAATKKAGEEITHTYNHIYGLSVTGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGRDHVDLARDFTYIDDIVRGCLASLDTAGRSTGTGGKKRGPAPYRIYNLGNTSPVTVPRLVSILETYLQVKAKKNVIEMPGNGDVPYTHANISLAREQLGYKPTTSLEMGLKKFVRWYLSYYGYNRGTQGFKNL >Et_8A_057185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22076390:22078588:1 gene:Et_8A_057185 transcript:Et_8A_057185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPADGGGGGDDWFLDCGILDDLPAAACGAFPWDASPSSSNPRSTGRCLPRIRTSRCSSLIIVRFPLVSGDGLRKYGVLYRGDEDCTGLVSSTQASDGCRGDESFLGIRGYLVGNYVNTTDVFKEPSSNKRPGSSGSGRPTSKACREKQRRDKLNDRFVELGSTLEPGKPAEKDELREEKHKLKVEKESLEHQMKLMTATPAYMHHPTMMPAPFPHAPLAPFHPQGPAAGQKLMMPIVGYPGYPMWQFMPPSEVDTSKDSEACPPVA >Et_2A_015017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26334721:26335877:1 gene:Et_2A_015017 transcript:Et_2A_015017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPTSIRCRSTRRCPPSLRPPPLPPPRHPPTRTASSSAPTRRRPRPRRRTTRTAVAPPSTGMTSTCRPARPRPHRRRTRRTPPPLPPPPPPPRRARSNLHRSRTAPAMAPLSAAALAAAAAAGDQTPEPPRRPSIVLHAFLFLLAYLALGITFYAAAPGNFVSSAGTTHPVVDALYFCIVTLCTIGYGDITPKTPAAKLFSISFVLVGFGFIDILLSGMVSYVLDLQEHLLITALKDPHSARKHRHNYIFDVKKGRMRIRMKVALALTVVAFCVGIGATVLRKLESLGWLDAVYLAVMSVTTVGYGDQAFRTMPGRLFASAWLLVSTLAVARAFLYLAEMRIDKRHRAMAKWVVSRDMTVSEFLAADIDNNGYVT >Et_9B_065782.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:11647727:11648412:-1 gene:Et_9B_065782 transcript:Et_9B_065782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGGTSPAPVSLPDNDDLHGEILLRLPPLLSSLLRASLVCKRWRRLLSDPGFLRRFRAHHRKPPMLGFFVLDYDNWLAPVFTPTLPTPDRIPTARFSFPQRPGEGQWLSRPPLQPGEA >Et_1B_012587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33438966:33446179:-1 gene:Et_1B_012587 transcript:Et_1B_012587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTQIVAVGLLALSWALAVAAVDVATNASAPAPAPSLASTAWLKAHATFYGGADASGTMGGACGYGNLYSAGYGTNTAALSTALFNDGLSCGQVPCVRRGGVRFTMRGFDYFNLVLVSNVAAAGSINSMDVKGTNSADWIPMAHNWGAHWHALAYLSGQMLSFRVTITDGQTLVFTNVIPSGWTFGLTVASNLQFKFPNRKRDITKYVVRWVHEGDLQSTAEYLVIRFTSLLLLHLLLLVPTSNRLVYLKRSPTRYKTITPEPPGTQIRSKDGCAGYPGEHDEEAPLVLPRELP >Et_4B_039223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8953457:8956070:1 gene:Et_4B_039223 transcript:Et_4B_039223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRSCNGDQCSVAGSRRRQLLPPAPLLLIALLLLHQVFVPATGQEELDGVPATGPASDGVVIAQADLQGLQAIRQSLVDPRGFLRSWNGTGLDACSGAWAGVRCALGKVVAIQLPFKGLAGALSDKVGQLTALRKLSLHDNAIGGQLPAALGFLRDLRGVYLHNNRFAGAVPPALGGLVLLQTLDLSGNFLSGTIPPALANATRLYRINLAYNNLSGVVPSGLTSLPFLMSLALDHNNLSGQIPPTIGNLRILRDLSLGNNLISGSIPDGIGNLSKLQRLDLSYNLLGGSIPVSLFNLTSLVELNLEGNDFGGPIPESIGGLKNLTKLSLRRNVLDGEIPATVGNLSALTLLDVSENNLTGEIPQSLSGLANLTSFNVSYNKLSGPVPVLLSNKFNSTSFIGNLQLCGFNGSAICTSASSPLTSPSPPLPLSERPTRKLNKRELIFAICGILLLFSLLFCCVFIFWRKDKSESSSPKKGAKDATTKAAGKPGSGGGSGGDTGGDGGGKLVHFDGPLSFTADDLLCATAEILGKSTYGTVYKATMEDGSYVAVKRLREKIAKNQKEFEMEVNALGKIRHPNLLPLRAYYVGPKGEKLLVFDYMPKGNLASFLHARAPDSSPVDWPTRMNIAVGVARGLHHLHADANIVHGNLTSSNILLDEDNNAKIADGGLSRLMSATANTSVIAAAAALGYRAPELSKQKKANTKTDIYSLGVVMLELLTGKSPGDTTNGLDLPQWVASVVEEEWTNEVFDLELMKDAAAGSETGEELVKTLKLALHCVDPSPPARPEAQQVLRQLEQIKPSIAVSAASSFTTGEPSHTTATGTSVTDETKSTITE >Et_10A_001411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2797696:2798484:-1 gene:Et_10A_001411 transcript:Et_10A_001411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTESSRAKVQSLSRRGSALWRLRLRPGRRRQPHRPRPAASGCLAGATAVAHGAGGSEAVTDVTGKHAPQSSGKRSIMADKTHGREDDDLTLTVQEVVDKSSQENVRVVEVHDGGEAYGVQRACARRGGSRGNSNPRSRTPAKAEPPRTLSGRFADASLASASEPPCRRKPWRDAPAFPSYMANTESSRAKARRSQSAPGRPSVGLRSVAQLEPEQLTATRGAGAWPRRASRTPEGNECGSSAWRN >Et_1A_007479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3570390:3573192:-1 gene:Et_1A_007479 transcript:Et_1A_007479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYPSTSTPWPQRHPGGATAPHHVRPAAAAAARNKRRGAGATEEGVDEAAEAAELVRSLLRRTGGGKERLVAVLDKHVRVVRTEHCFLLFEELGQRDGWLQCLEVFRWMQKQRWYVADNGIYSKLISVMGRKGQIRMAMWLFSQMRNSGCKPDASVYNSLIGAHLHSRDKSKALAKALGYFEKMKCIERCQPTIVTYNILVRAFAQAGDTKQVDLLFKDLDESIVTPDIYTYNGVIDAYGKNGMIKEMESVLQRMKSKQCRPDVITFNILIDSYGRKQLFEKMEQVFKSLQRSKERPTHPTFNSMITNYGKARLRDKAESVLDKMQELGFKPNYVTQECLIMMYAHCDCVSRARQMFDELVSSQNNVNLSSLHAMLEAYCMNGLHIEADRLLDTAIQKGVLPSGSTYKLLYKAYTKVNNKDLVQKLLKRMDKQGIVPNKKFFLDALEAFGTSERKPRTLRSTNSASKPSSDSAANSETTTSSKPQAGISQLASHPLQLSTSDDFYLHSTCSSKLLKNNAPVGLVIELVDNSSIVYASN >Et_3B_027983.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:29838787:29838984:-1 gene:Et_3B_027983 transcript:Et_3B_027983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESENSALCTEVSNTNLVYRNVVDHAAGEFTQVLYDDVASDPTLPRTKSDEMQSLGRRTRNREF >Et_9B_065329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3917535:3923956:1 gene:Et_9B_065329 transcript:Et_9B_065329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATAATVPAGGRPYRRTRARCGPFRPHRLRLPATAAAAAAAAASSSPPAPSTSAPVEGGGRLVAELVGAFNELTERMGEDLATSSSSRLLFRSLKLALPALRDGDGGRALARALVIAASLADLQMDAEVISAGILREALDAGTLNMRDIKAQVGVSIAHLLHESLRLKHAPSKLDVLDDESAGALRKFCLTYYDIRAVILELVLKLDMMRHLDSFPKYLQQIKALEVMKIYAPLAHAVGAGNLSLELEDLSFRYLFPHSYDHIDQWLRNQETECKLIIDDYKEQLLQALKSDDEMKRIVQDISIQGRYKSRFSTMKKLVKDGRKPEEVNDILGLRVILDPRCDGDSSDLGPRACHRTHEIIRSLWKEVPGRTKDYITRPKENGYQSLHVAIDVSEPGKKRPLMEIQIRTKEMQTLAVGGAASHSLYKGGLTDPGEAKRLKTIMLAAAELAALRLRDLPASDQIRGNSKNRAFCLLDKNGDGRISIEELTEVMEDLGAGGKDATELMHLLDANSDGSLSSEEFESFQRQVELMQSLEDNDDHYRKILKEKLQTIDSAGLIHVYRKELSDKLLRLMTLQLRLTSPCVPDRTPIWPILIMDLANLVLHVASLRAKESTSAFTVAFLSSAASDWNMPRLLLSPT >Et_3A_023530.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32835765:32836223:-1 gene:Et_3A_023530 transcript:Et_3A_023530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVATTTSGKAGIRKSLISRTLDRCRSGRGVGGAASSPVAGCFSVYVGPDRERFVVRADRASHPLFRRLLDDAEHEYGYAAQGPLALPGCDVDAFLDVLWQMERDGIDDDGEISEAASSPICGLRSSSKGRAAGYRMLSPRSSPVAAWRRS >Et_4B_039723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27876343:27879780:-1 gene:Et_4B_039723 transcript:Et_4B_039723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGEASIGAFRIGPSTLLGRGVALRVLLFSYLWRLRARASAAISRVRSTTLPVVASWLHLRNTHGLLLVFVLFGLSLRKLSGVRSLASLARRRRLLEKIMRHASTYEEWARTAKVLDRMSEQVHEAYFYDDDLIRNRLEELRRRREEGSLRDVVFCMRGDLVRNLGNMCNPELHKGRLEVPKLIQDYIDEVSAQLKMVCESDTDDLLLEEKLAFVQETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIIAGSSVGSIICSIVATRTWPEIESFFTDSLQTLQFFDRIGGIFAVTRRVTTYGALHDISQMQRLLRDLTSNLTFQEAYDITGRVLGITVCSPRKNEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRFGNIVPFHAPFSTDPEQGPRASKRRWRDGSLEMDLPMMQIKELFNVNHFIVSQTNPHISPLLRMKELVRTYGGRFAGKLARLAEMEVKYRCNQILEIGLPLGGLAKLFAQDWEGDVTMVMPATVAQYLKIIQNPTYAELQMAANQGRRCTWEKLSAIRANCAIELALDESIAVLNHKRRLKRSIERTEVASRGHVNYVRTKTPRRVPSWSCISRENSSGSLSEDIFAAATSSTHQGAVLVGAPNISHHIRQNSYDGSESESETIDLNSWTRSGGPLMRTASADKFIDFIHNLEIDTEFSRAGTVEDETTGVFSESTFPNDPRLNSSSRITTPERCTEVSEAEPCSTGNNRASQASSPTSIAVSEGDLLQPERTTDGILLNIVKRDAMLSQHNSVIELIENSSAEVYATSDAISMSDGAEDNNDVAGSSNPSVDYAAAAASHGSSVD >Et_2A_015256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1009486:1016692:1 gene:Et_2A_015256 transcript:Et_2A_015256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTHAGTLKSTSINGVKLYSLTGNRYVAPWVLAKKKRALRKDKEYQRRLDLIHDLRFETATTKIKVSPDEQYVIASGIYPPQVKVYELKELSMKFERHLISEIVDFQILGDDYSKLAFLCADRSVNLHAKYGSHYSLRIPRMGRDMAYDCWSCDLLCAASSPDLYRINLEQGRFLASLSSQSPALNVVTRSKIHGLVASGGEDGAVECFDMRKRSSVGRINIPAVSSEDYNQEVTSLQFDEDQGYLIAVGSSTGKISIYDLRMSSPLRVKDHMYGSPILNIKWHQTLNSTEPKLITADMHIVRVWDPNTGNNMTSIEPDGGAINDVCIFRNSGLMLLALDNSQIPAHFIPALGPAPKWCSHLDNLTEEMEEQPDTAVYDEYKFLTNEDMERLNLTQYIGTGAVRAHLHGYVVRYELYKKQRAEVAPVEYEALKEEIKKKKIEALGKSRITRVSRIPKVNRNFTDSIVEQEMDIDVENGDKSSMKKKKKKLELSKAVYHDERFQEMFTNEDFEIDEESREYLALHPQASIKEPRPIEDYFDSVSEDDGNASDASEKSDSDDDMHNSKRIRLYEVKDDRHAEAFLNSASLANEDAMPLEERVAEMDRQQNSKALGKVKYGPGGSREISFFTRSSRRYKEDAHSDEEPKDFKRRGVQSLGLKQGKAEYYMFGGSRGRGGRGGGRGRGGRGRGGRGGRGRGRG >Et_6A_045901.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:3974221:3974616:1 gene:Et_6A_045901 transcript:Et_6A_045901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLKNKFPSVYGAEPDHQSSARSETPQSQSYRSPQGSYLRTCASRANSGDSPSHNDQTIKRSNRDSLGSLGTENEEKFSSIHTTLQRTTKQNTMQLNNQSRTSILGVQLPKFLQTLQRSSRQHIIHNYKD >Et_1A_005787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1391186:1394827:-1 gene:Et_1A_005787 transcript:Et_1A_005787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGATGIRKYVGALKDTTTVSIAKVNSDYKELDIAIVKATNHVENPAKEKYIRDIFYHLSAGRSRADVAYCIRALGRRLSKTRNWAVALKTLIVIHRALREVDPTFRDELISYGRSSSHMLNLSYFRDDSSAEAWDYSAWVRNYALYLEERLESFRVLRYDVEKDPSTTRDLGTMELLDQLPALQQLLFRLLDCQPRGSSSYNIIIQHALSMVALESVRVQTAINDGILNLVDKFFEMQRDDAIRALDIYKRSIHQAEQLSEFYELCKSIHIGRGERLLKIEQPPASFLAAMEEYVSNAPLASTVPRKQDVLAIEYKRKSDVEEPSMLPPPPPPASGPARGSEPEPEPVKEVLPVTEPTDLLRMDESNPDTSEIDQKNALALAIVSQDNAPKAPAPSSTENMTASWELALVTAPSSSGNAVTSSKLAGGLDLLTLDSLYNEAHRRAQQNVSYNPWETIPASGQGCSSVQATHTQDLG >Et_10A_002337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9551745:9554689:-1 gene:Et_10A_002337 transcript:Et_10A_002337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETMGNDEMYLLIGALGLLKPNVNSATVRNVWEKCKVDITKDHVMSKCKAFDNQCDIVSRILIHDGFEWDQDWDRFLIRDGNAWSRYLESSMLEDMLEVLFPFNDLENKKSEQGLGSVLNK >Et_9A_063260.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17852836:17853141:-1 gene:Et_9A_063260 transcript:Et_9A_063260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLVSNGKFRSAEHRVVANRSRDTARVSVAAFCSADVIRSTRLYGPIRELTSSDSDGSAPPLYRSITIHEYLAHFLNKGLDGRPTLDYFLLQQPDPAAAV >Et_3A_027246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5787593:5792133:-1 gene:Et_3A_027246 transcript:Et_3A_027246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISYINHKHLHHRSNANSTASASKVAITEAEASIDFGSAAGIRAEAVFGACLLERPLFDTLLFDEALATGFGDDLEANTTAFLSSVLLAAAAHPTFFRDTLFGPDPWLEILLVVDANPLRLITSLHCVFRLTEPMTAARSHSVSSAGRTGGCNRVERSKVDRLPERERKSSAIPEPWCKAPEWPSLRCCGEEQAYARASLALARELARAPGSSSFAAAACTSRVRLGLLAGRSDPWWLLGADAAAGAGAGAGGRQLVGSTSITADESPPSGGDASTFLCFCGRGAPAAPPGQDSRPMSRATTPGAAPSAPAAACGAGGGGVGDGGGAGSLRGAERRDEGRSRRGEKSRRLRARRRCQSRRWNSTPPAQPPSPFSGGFLSTIARLLIAPLLPARPLPSRVRPCHSLLPAPVSFTEPCFDPGALKGVVAGTQRQLALLPHRHARREGRSREGRQQDRARGPACQWQ >Et_7B_055147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7716622:7720389:-1 gene:Et_7B_055147 transcript:Et_7B_055147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSLLASKAAAMKLRALLHMYAAVVALLLCSSVDFIQSPTDVFGPVALLEPPPSASRDFGAVVSDAPFAVMRPESTADVAQLLSALSSSSSASPRAAVAARGAGHSLHGQAQARGGIVVETRALSHAVEVVVARRGDAYADVSGGALWAEVLEECLKLGLAPRSWTDYLYLTVGGTLSNAGISGQAFKHGPQISNVLQLQVVTGRGEVVTCSPTMNSELFFAVLGGLGQFGIITRARIPLQLAPPKVRWARAFYDSFQTFTQDQELLVSMPELVDYVEGFMVLDEQSLLSSSIAFPAHVNFSPDLGSDGDRKVYYCIEFAVHDFQQQGSAADQVVELVAAGLSYLRPHLYSVEVAYFDFLNRVRMEEESLRSRGLWDVPHPWLNVFVPKHGVERFRDMLMDTIRPGEFEGPILVYPLVTDRWDGNTSAVVPSSPDGVMYIFSVLRSTDPSRCGRACVEGIMEQHRRLADEACRDGGVGAKQYLARQPSPAHWRDHFGSSWDRFVARKARFDPMNVLGPGQGIFPMTTDSVVSSM >Et_4A_032111.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:18558449:18558598:1 gene:Et_4A_032111 transcript:Et_4A_032111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWMKWDHLTWPKSRGGTGFCDLKLFNLSMLGKQGWRLMTRHYLRKPQR >Et_2B_022512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24312985:24314471:1 gene:Et_2B_022512 transcript:Et_2B_022512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEGRGAPLPSPRASGLTVVLAIVLATAAGLPGARARRRSLVSAATGGCDLFHGRWVADESYPLYDASACPFVPDVFDCRRNGRPDDAYLKFRWSPAGCQLPRFDGADFLRRWRGKTIMFVGDSLSMNQWVSLACMLHAAAPAPARVTYAAGEPVSSVHFEDYNLLVVLYHTTFLVDVVQEDIGRVLKLDSMRNATAWLGAHLLVFNTWHWWTYRGASQVWDFVQDGNNTYRDMDRVKAFFKGLSTWARWVDANIDASHTKVFFQGISPSHYMSKQQDKEVPAQGGSCLKQTRPLQDATDSPATGESVLPEQAVVRGVIGAMTSPVSLLDITALSQLRIDAHPSVYAGPGRDGCDCTHWCIAGLPDAWNHIMYAMLLQQG >Et_9B_063962.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:6725841:6726056:-1 gene:Et_9B_063962 transcript:Et_9B_063962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IRRESNVIAHKLAQLAKRTTHSAVWRTQVLRCVESMVAQDCNFALSNQQKSLFLHKKKDLSHFVQRNKIVS >Et_1A_008210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5215168:5217995:-1 gene:Et_1A_008210 transcript:Et_1A_008210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLSVSGRQTLSITQRRSRRRRMVIVSSGGAGPPPKLVTFLGKGGSGKTTAAAVAAQYYASEGFKTCLAIHSQDPTAEQLLGCKIGNSLTECAANLSVIKLQTSKMLLEPLDRLKKVDAQANFTQGVLEGIVGEELGVLPGMDSMCSVLSLQKLLNFFSSGSNTSQPEFDVVVYDCNNTEEILRLIGSTDRARSYLRYARDLAEKTDIGRLASPSLLKLTYDAARPNGKTSEGRLSTEIWNEIEQLLERISAWFVDPSKFACFLVVDPKRSISVSSALRYWGCTTQAGGQICGAFGYTENPSDTHQEVADKFLPLSFSLLPFLSNDSSADWDKALGSLCQITKQQLRDTNVRVYPSVSFDSVQKLVTLFMPGFDKSEIKLYQYRGGSELLIEAGDQRRVIKLPPTIQGKVGGAKFVDRNLIVSIRS >Et_9A_062143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:280003:284020:-1 gene:Et_9A_062143 transcript:Et_9A_062143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRQRVETQLTLFLLGEVLDALAEAERVGERDPFAERSGAREGGRALARGDEVAAAGDRAVVAAAGAVVPVDADPVASVAINRAQVAHRADAAGVAGGVHALAQAEAGGGHDWKNGSLQSRASIHGLPSEAQPTGPNCLLGPNNEQGEAEGREDTGISKRIAASDYLFKLLLIGDSSVGKSCLLLRFADDAYVDTYISTIGVDFKIRTVDLDGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDMESFNNIKQWLSEIDRYASDSVCKLLVGNKCDLFDSKVVDTEKAKAFTDSLGIPFIETSAKESINVEEAFLTMSSEIKKRMATQPTVERKTHRPCSHERAANTAEKQLLLIVG >Et_4A_034406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32286555:32292694:1 gene:Et_4A_034406 transcript:Et_4A_034406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACVKEDGGREDAGRSAAGDTPTCRDPVKSLTSQLKDMVLKLSGTNRQGTHHRRGGSPPPRGRATSLYRSGYYRPGVVQDDMAVPPATYLGASSASSTPVWDIPARAEGEAREWVAQVEPGVQITFVSLPGGAGNDLKRIRFSREMYDKWQAQKWWGENNERIMELYNVRRFSRQVLPTPPRSDDGEVSHSLRGSLVEKGASPGLTLPCEPGLASGGEPILLSHFSKGKLENTGPERESFYSQSQVGSTRESPAATPSPAPLTPDRIGWGAYARPPPPAPGDGGAGRQHSFRPMSPPPPSSSNPSERAWHHQQQQQRHNGGGSKSPPPEAARTTTSSRDDVSISNASELEVTEWVIQDEPGVYITVRELADGTRELRRVRFSRERFAELNAKLWWEENKERIQTHIALVFLAGAGKDKGGIRKGNVYACKNTKTTKHELEYDILTAK >Et_9A_062804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5169110:5170208:1 gene:Et_9A_062804 transcript:Et_9A_062804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTAARNTTALGTKKPRKPYTISRPRERWTADEHESFVHALLVFGRGWKTIEHFVGTKTATQIRSHAQKYFLKAHKLGLAAALPPPHPRRAAVLAAAGAPLQSVADWEAHDGLFMASSNEEASVLHQKEDTVQVLPLSPPPDDLRFAEVYRFIGDVFGSGAPRPVEAQLQRLHGVDPVVAETILLVLRNLQDNLLFGRLWLRRAAASQTVEVQLQRLPTQ >Et_9B_065700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9284136:9292015:1 gene:Et_9B_065700 transcript:Et_9B_065700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATETVAPPPLKKAKKVDNMTANVAPPPKKAKKVVKKTEILKKPSKQYIQAEMEMVAARLKKNLQVAERVHLLSLYRSVCTAGNFKTAAEALGLCRSAVV >Et_6A_046895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2205026:2208774:-1 gene:Et_6A_046895 transcript:Et_6A_046895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRWVVSCLGLIRLLGPACYSIEEPSSTSDDPVPIHPAQSPHFTHLAAGLPQIPPPSVCRLPSAAKLEGRAALLSPPPPSRRGAPPSICRRRQLGGARRPPFASHPVRARGPASNRLPDLAASLPTPRPLSSSIAGPPLTMCLEMRPPFGTTRRVIWPVLCSQRQAPASFRSARKVTSAPSLPPPNATNKNTVIVISGPTGAGKSRLALEVAKRLGGEIISADSVQIYRGLDIGSAKPSAEEMSMVPHHLIDVMDGADDYSAGMFFRDARRATYDVLDRGRVPVVAGGTGLYLRWYIYGKPSVPQSSLDVTSAVWSELASFRESGQWEEAVEMVVKAGDSKARDLSVNNWNRLSRSLEIIRSSGSPPSAFALPYNTFSEQHGTEPMDDALTDGTCEARELDYDFLCIFLACPRVELYRSIDLRCEEMLADTGGLLSEASWLLDIGLHPNINSATRAIGYRQAMEYLLQCRQNRGESNLQEFMEFLARFQATSRNFARRQLTWFRNEKIYQWVDASQPFDAIVQFICDAYHDCGARVVPESLAMKRESCIHTSRDLKTYRTENKVFLGDDDCCHIIEFPWKSIMLELVEYGRSLLATEDYLSYGANHPIRALSIMKVVLDQLAEAMVHWYTKPPTCNGDSRMSQEFHC >Et_2A_018439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28263087:28266314:1 gene:Et_2A_018439 transcript:Et_2A_018439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPTLVDDLVEEFLIRIPPDDPARLIRAALVCKRWCRLISGAGFRRRFREFHGRAPMLGFLTDIPLRSSNYVRASSTCPPLSIGRKLTAIDAPRPPPPELASAWLRRPGSNHGRAEETAHADSQFHELERRGALFCHANSRLLLRPPRLPPRTVLCCVLGLRWEIFAYIYSSEAGAWSVLISVQYTCDGFAQLPSALVGNALHFVLKDSKKILKCDLGRREISVIDLPPRSFLRHIALMTTEDGRLGFAEVEYLTLHLWSREAGSYGSVRWTKRKAIELKTLLPKCVYLKFPYVVGYADDVDVIFLWTSDGIFTLDLKSVRATKHLKFDLQWRGASKLLQYMASE >Et_2B_020467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20495972:20502353:1 gene:Et_2B_020467 transcript:Et_2B_020467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSVSGGSGPGGVSPDAIIEWLQDEMGYPSAPPAPEQLRKICRGNMIPVWSFLLRRVRSERTVATARRNILVHGVAARRAREGGPGAGGVGAGDAAAREAEARERDLAAEEAERLRGVVRRQRKELRARIAEVAREEAERKRVLGERSNARHKQVMLEAYEQQCDEACKIFAEYQRRLHQFVNQARDVRRSSIGVSGAADSVDDMKLKSDREDLYSSVKSNRLSEDLVETADERGIRKACETLAANMIETIRTSFPAFEGSGINSTCQLDAAKLGIELDGEVPTDVKAVALDSLKNPSLLLQSIITYTSRMKTLIHRETDKIDIRADAELLRYKYENEQVIDAASTDASSPLPYQVYGNGKTGSQLSTRGTYDQLLERQKEHVQQFLATEDALNKAAEAKVLSQKLLQRLHGTVDMAGSKKLPAGNTSQTVTNSRHLELDVWAKEREVAGLKASLSTLTSEVQRLYKLCAEWKEAEDSLRKKWKKIEEFDARRSELECIYSALQRANMDASAFWEQQPLSARGYAGQTIIPACNSVLEMSTNSRDLIERELSAFGQSLDNSLCKLPATPQALLEALGSNGATGSEALASAEKHAALLTARAGARDPSAVPSICRISAALQYNSGTEGTDSGLASVLNSLEFCLKPCGSEASILEDLSKAINLVHTRRNLVENDRVLLNRAHRAQQEYERVANYCLKLASEQEKVVSERWLPELRNAVQEARRCFEDCQRVRGLVDDWYEQPAATIVDWVTIDGQSVGAWINLVKQLHMEISRRTLAMSSIGDD >Et_10B_002976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14935542:14936389:1 gene:Et_10B_002976 transcript:Et_10B_002976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNVSGFFPAIAAADLQGLRSRMAPLVATAYGIIDREFEQRLRAREVGEPRKNDMLDVVLDKEHEWRQEGSVIDQNPIKVHDTSSTTVEWAMAELLQSPEVVKKVKAELREVLGKKVQVEESDITNLPYLQAVVKEVLRLHPPVAMTYYRADATVQVQGYTIPQGTTIILNIWAVHRNADIWEDPDKFKPERFMNTESDFSGKDCRLIPFGGGRRICLGLPLAHRTVPTDFGIVIASIRMESS >Et_2A_016418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24562476:24567530:1 gene:Et_2A_016418 transcript:Et_2A_016418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKFSVDACRIHVPCAFQLLPARGYHSRSYSSGGSPRPMRQFSEQNESSPKPLIYYIVPSAALFVAGLATFVHYNDERRAVPLGQGGVPKRCTTNRPAIGGPFKLYDTENNVVTESKLRGNWTLMYFGYTSCPDVGPRQVQKIADVVKVLESKYGIKITPLFVTIDPQRDSSAQLKAYLSEFDPRIVGLTGSISAVRQIAQEYRVFFKKVEEVGQDYLVEISHNMYLLDPCLETVRCFGAEYEASDVAEAITKEGDLYNELHPISTAVPSTGHHLFQLLLLERGSPPPPTSHVSLAMSIDSFRNPGAELMNTISSLSSSAATPSSSCAVPLSSCSVSLAGGWSAAAILDSPFAGAERHRMSSSAFGGGPADAHSSAASLLRRSSWSSRSRSSSSSPESCSGAGSSPP >Et_1B_011733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25515914:25535286:1 gene:Et_1B_011733 transcript:Et_1B_011733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAARAPPVTLAAATVSNPERKHRFKRRPRGMMVRLPTNPLLTHVEVVVLLLLLALLLIPAMACPTAAAATDDGDDGSDALLGLLQRVQAEAQRVTPPDVFDPKRYVDLPLLTGDLRAAEAALPRPAASLAEMEAYLKRYFGDAGSDLVPAEPRDFHDDPPGFLPRVESREARAWALKVHALWKELARRVAPDVAAHPERHTLLPLPGAVVIPGSRFREVYYWDTYWVVRGLLVSKMYDTAKEVTLNLVYLVEKYGFVLNGARSYYTNRSQPPLLSSMILDIYKATGDLDFVRRTFSSLLKEHRFWMSEVHNVVIMDEHGQVHNLCRYQAMWNKPRPESATIDEHLASKLTSAAAKEKLYHQVASTAESGCDFSSRWMRNSPDMTTLATSFIIPVDLNTFVFKMERDIAFFAKLIGENATSDKFLDASKARHIAIDSILWNSEMEQWLDYWIPTDGNSKGVYQWKPDSHNRNIFASNFIPLWLNAHNSEPVQFADEAKSVRVMRSLQRSGLLRAAGIATSLSNTSQQWDFPNGWPPVQHLIVEGLLNSGSEEAKIFAEDIATRWVRTNYVAYKSTDAMHEKYDVEICGKSGGGGEYRRQTGFGWSNGVVLSFLEEFGWPQDKNIGCS >Et_4B_036139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27565731:27566415:1 gene:Et_4B_036139 transcript:Et_4B_036139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKYMMTALQVIGMSIAMRSPFWNMVSRAFATVLKTQMQQHHAADNSQKNRTVVHSSTLSSAEKKEKLTSLDSEAPSMTYGSLDDHQDLHPSTQWLYYHRSCFGKAKVADNIRQC >Et_9A_061532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13219146:13229369:-1 gene:Et_9A_061532 transcript:Et_9A_061532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKWTTTLMVIMCLVILGLNVNLATASECSCCEAARAKACCFACIAAGGSDNVCKNTCCFPCYLSGSDDNSYIIRSISTAYIKRDKVPSPP >Et_6A_046471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15656044:15659143:1 gene:Et_6A_046471 transcript:Et_6A_046471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMGIEGRKDEGKEAKKEKTQRGAAKDGDSTGAGKHFFKIFFPEQSGECLKIPPPFHEYLEKEPNRLISLKGPSGNIWKVKLASGSKGHAFTHGWKGFVGDHSLEQNHLLVFTYDGCSEFSVVVLCPSGVDDKLAFCAQPCKEIFVKAEVERWVVNSKAAGTSKMELPGLPSVEDNGKIRKRVRQMTDIMINGSALKKRISAQKKQEKRKPEAISSPSKPVPTFILNSKKDFPYLLDESSCSRKTQIRPKDVPRSVKSKKFRLPVVISQRRPITEEEKDHALKRANEFKSKNPFTLQVMTKCCVYEAFFMNIPCEFVKESLPQTSKKMTLWDPQGKSWDVNYQYYNGRCVAAFTGGWGQFALGNNLEKFDVCVFELLKEDSIKVHIYRVVSEITLLMRYSSM >Et_9A_061529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13106619:13115928:-1 gene:Et_9A_061529 transcript:Et_9A_061529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGIEEVDDAVPVPAPPNGRRYRPVGSSDSAVVQMTSMEQGSSSSYGITAAVTPQPPRNLNPGANLTIDPSAREDSPDDQATSSGSQGDSKLELFGFDSLVNILGLKSMTGEQVQAPSSPRDGEDVAITIGRPKETGPKFGTMMGVFVPCLQNILGIIYYIRFTWIVGMAGVWQSLVLVSFCGACTFLTAISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPSAGFFQESVTVVNNTLLNGTATAGTATISTPSLHDLQVYGVIVTILLCFIVFGGVKIINKVAPAFLIPVLFSLLCIYLGVFIAPRHNAPKGITGLSIATVRDNWGSEYQRTNNAGVPDPNGSIYWDFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLSATLTTTAMYLCSVILFGALATREELLTDRLLTATVAWPAPAVIYIVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHELAEDAKTACRQLDAYIDYKRCEGVAEIVVAPSMSDGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCIIANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCISEEDTDAEELKADVKKFLYDLRMQAEVIVVTMKSWESHMENSSSGAQQDGSHEAYTSAQQRIRTYLDEMKETAQRERHPLMENGRQVVVNEQKVDKFLYTMLKLNSTILRYSRMAAVVLVSLPPPPLNHPSYFYMEYMDLLVENVPRMLIVRGYTRDVVTFFT >Et_9B_065398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4689366:4692587:1 gene:Et_9B_065398 transcript:Et_9B_065398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTDDVPKPHFVLVPYMAQGHTIPMIDMAHLLAKHGALVSFITTPANAKRIEFTIDRARALNLSIQFVPLKLQCAAVGLPNGCENADMILDKGLLKKMIDAYRMLHEPLVLYLRAQSNPPSCIISDLCQPWTGDVARELGIPRLMFNGFCAFSSLCRYIIHQKKVFENVADANEFITLPRFPHRIEISRARSPGNFSNPGFEEFGNKILEEERRADGVVTNSFYELEQLYHEAYQNEIGKKVWSLGPMFLCNTDMSAMASRGDNSSVAEKHCLKWLDSMKKGSVLYVSFGSMARTVLPQIEEIALGLESSKRPFIWVIKSDDNASDIDKMMAEGFEEKTKGRGLIIRGWAPQAMILSHPSVGGFMTHCGWNSSIEGISCGVPMITWPHCSEQFLNEKLILNNLKIGVPVGVQSVTTRTMEANEVSVVKRDQIKNAVLKLMGEGIDAEERRMRARELKKKATQAINGGSSCNNLKPMHKVLDDRAFQVLNPSSLSMGQWATVSGARSAGGAFRRTVGGCRAVDTAPAFTYTPTGCVGASLRGRAPEIFLSLYAANN >Et_3B_031657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:727750:734638:1 gene:Et_3B_031657 transcript:Et_3B_031657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTPTYSAIVAHTSAFLAELIADPLLRRHLLSSAAAAADGGGQQHPAGTLQALSLVSGALDAAATASPSPSSLRAAERLLLSLPAATPLSCLLLALACAARRRGGAAAAAAVLDLFALDPALARHEIAPAAFEALFAPRLLPVMRHFAARRASAAAAASADEDRSVETAALSAMRVLSTMSGAQAQEMRALEREYEKVLDVNCKAYALYLKKILEAEDASTASTPAPPPPEIVFGVGEENGGEDDAMAENDDETVGSQSDVRHNPMWDEAVDLYPRRLSSRRDLMRPPSLYPQRVPPHLIVPQQQQQSPPMAGGSPASRLRAEQSPSPAAPSDDSMEESSSELYAGKEEKSTASPLSQPQGAPPRTREDDAQLSPEPARDPVLIHTYYRSPMRGDGDQVVAATPKDFVCPITSQVFDDPVTLETGQTYERRAIQEWLDRGNATCPITRQRLRGAQLPQTNYVLKRLIAAWRDHQEGEAQAAAASPTMADSPASTPAAAFTKINSPSPDTSMSHASAPSPTSVIALASLEGAVAELRAAVSCLCTSEEPAEMERSALKIERLWREAAEPMAVFAAALSRPAVVNGFVEILFNSVSAQVLQVAVFLLAELASRDDAVVQTLTRVESDVDCLVALFKKGLLEAVSLIYLLSPTPEQLAGMDMADALVAAIRRGSSEDDDQTVKMCVKPKAASVILLSQLLAFESADASSDSSSSLGRAALLSERFIRGVAASLEADLVDERLAAVRILLRCIAEDGHCRATIVDKQASSSSLAAVLDAFHAEADKFDIVRFLYELLKLKRRSAAERVLRAIKEGGSVGSTMHALLVYLQSTPPEQTPVVAGLLLQLDLLVEPRKISMYREEAMDSLIQCLKNTDFPRSQLLAAETIMCLPGKFSSSGRPLTRSSLLKLARVKERYRHQSQELSIVRGDGGEGEMEEEKVAVSEWERKAGYAVVSHEFGLVFEALSDCLKTKNADLFTTSLVCATWLTYMLSQLPDTGVLGAARVCMLRQFVVVLRSAKHGSDRVLAMVALRSFMNDREGMHDITSYIKDVLKTLRDLKKSSGLAFEMLKLLSDGQESSVDMWNHREINLVDCSSNGEVTSVVYFKSYIFSGHSDGTLKVWEGSENILRLVHESQEHSKAITSLAVLHSEDKIFSGSLDKTIRVWQFQDGVLRRVEIHDTRDPVQSMVVANAMACFVPQGAGVKLLTWNGSSKLLNPNKSVRSIALLHGKLFCGCSDSSIQEIDLASGTLGVIQSGNKRILGKANPIYSLQVHEGLLYTGSTSLDGASVKVWNSSNYNLVGSIPSSMEARSLVVSADLIYLGSRGGVVEIWSREKLIKIGALQAGGPSCRVQCMAVDGDGDVLVVGTSDGRIQAWGLT >Et_5B_044669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4411877:4412383:-1 gene:Et_5B_044669 transcript:Et_5B_044669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLQTGSSTNLSISKDEATSILATGDDKAKAVHLAISDGSEGPETPSSLPARMVQPTERKLAAVSFLREKNDTSY >Et_4A_035486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26668618:26671442:1 gene:Et_4A_035486 transcript:Et_4A_035486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQGLTGEQMVAFQEAFSLFDKNGDGCITMEELAAVTRSLGLDPSDQELTDMMREVDTDGNGIIDFQEFLSLIARKMKDGDGDEELKEAFEVLDKDQNGFISPTELRTVMINLGEKMTDEEVEQMIKEADTDGDGQSTVPTPVSPASCRPRACSGSARRCSCSGAALLRRRPCSGTAPTPAPPLLRLLPCSGTALALAPPLLRLRLCSGTAPALAPPLLQRLR >Et_1B_013790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16815916:16820326:1 gene:Et_1B_013790 transcript:Et_1B_013790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATLPFQASSAVAVARTLSRAFSPRHPRLLHASRRGRHHLCQPLACPVAPRGLRRAFSISASAASGGSNGAAPSGGSGREYDYDLFTIGAGSGGMRASRAASSLYGARVAVCEMPFATVASDALGGVGGTCVLRGCVPKKLLVHASKYSHEFEESRGFGWTYETDPKHDWTTLMTNKNLELQRLMGVQTNALKNSGVTIIEGRGKIVDPHTVSVDGKIYTAKNILIAVGGRPSMPNIPGIEHVIDSDVALDLPSRPDKVAIVGGGYIALEFAGIFNGLKSDVHVFIRQKKVLRGFDEEVRDFVAEQMSLRGINFHMEQTPQEVTKSDDNLLSLKTNKGTISGFSHVMFATGRKPNTKNLGLEEAGVKMGKNGAILVDEYSRTSVDSIWAVGDVTNRVNLTPVALMEGGALARTIFGNEPTKPDYSAVPSAVFSQPPIGQVGLTEEKNSSKTLHSQAFEKYGDIDIYTANFRPLRATLSGLPDRVYMKVVVCANTNKVLGVHMCGEDAPEIIQGIAVAVKAGLTKQNFDATVGVHPTTAEEFVTMRNPTRKIRRDSAAAAKTEDEAVHEKYMLLAGEASPNSWFGT >Et_4B_039157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8364921:8366683:1 gene:Et_4B_039157 transcript:Et_4B_039157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFGAGDLLSEAISSGARVVLVEDCVEAPAAFVLHILLKRAFAAGGAAAFLALSQPFSHYDRVLRKMVGQRKLPLPIVSFGFITVVEANWTGENAGKLTIVIDDVSLLEVAAHGSLNDVLDFLHYCVTLTSGMNCSLVILIHEDIYASEENMGLLVHLRQIADLVIKAAPLNTGLAADVHGQLSVVNKGMLEEHRSKAQKVWNFHFKVKENGAEFFYPGSRH >Et_7B_053838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12612684:12615134:-1 gene:Et_7B_053838 transcript:Et_7B_053838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGKEVEEGVMRVLLVDDSPVDRRVAQLLLNSNSCAGSFHVIAVDSAKKAMEFLGLTDGKALNPLKPIPVIVMSSEDEPQRISRCLSAGAEDYIVKPLQSKDVQRLRNCSLAKPKGSSPCDAVTKRKPLPAPDHAAAVSTASSSGRRAHFTGVLHSSSVELSQYFPLLFKLVLLLYAVLCLGELLHRWSSRGCPLSLWCA >Et_4A_035923.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8615614:8618190:1 gene:Et_4A_035923 transcript:Et_4A_035923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALLGTLLQLLALSSLFAAAHAFTPADTYFVLCGTSASATIGGRNFVGDGTLPSSVLAAPQSAAANASASSSPANGADEPELYRSARVFTAPSSYTFAIKRPGRHFVRLHFFPFRYPSGDLAEDARFSVSVQGVAAIDGGYAPKNGTAAFREFSVNVGGDKLSIAFTPTPAKSAFVNAIEVVSVPDDLILDAATTVSPAGTYTGLSAQALETVHRINMGAPKITPNNDTLWRTWLPDQPFLRVPVSRIAVAVHRDTPPSTLQRTQGFATKETAPDMVYATATELNPALSAGTMNFRLNATWQFDAPAGSAYLLRLHFCDFISTAANVLAFNVYVGGAQVLREYEISKDTYGALAVPLYKDFVLGAKDATTGTITVSVGPSTLGNVEPDGFLNGLEIMRMIGSTGGGGSASQHGSKKLATIGIVAGSVAGVAALMAVGFVALRTLLRRKKPKKKPSSTWAAFSASALGARSRSRSFGKSNSGSLRHTTVTLGQQGVGAGYRFPFAALQEATRGFDESMVIGVGGFGKVYKGALRDGAQTQVAVKRGNPWSKQGMNEFRTEIEMLSRLRHRHLVSLIGYCDERGEMILVYEYMARGTLRSHLYGSEEVPPLSWKQRLEVCIGAARGLHYLHTGSAKAIIHRDVKSANILLDETFMAKVADFGLSKTGPDLDKTHVSTAVKGSFGYLDPEYFRRQMLTEKSDVYSFGVVLLEVLCARTVIDPTLSREMVNLAEWATQRLDDGELDSIVDQRIAATIRPDSLKKFADTARKCLAEYGVERPTMGDVLWCLEYALQLQVASPDSSGADSMVHVPGTPALFQRNQSTVSEGADAAVAANLGDLDGMSMRRVFSRMVKSEEGR >Et_5B_044878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6816443:6816882:-1 gene:Et_5B_044878 transcript:Et_5B_044878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIWLPLSSLPSLDIGVSLHLPAGIVRVQSPTICGEAASSGQVLGGESLVLDPFRMEFSMVNIDLSGYQLVDRNQSGIVVRTTLLDDFFSLTKLAMPCLNLLLKSSIPLPRRYKCFILAAAVAFLFLCGKEISRQ >Et_8B_059658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20309241:20313860:-1 gene:Et_8B_059658 transcript:Et_8B_059658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERKEAMEEVLELVTGTAANAAKQTELLPMMMLSVAHTLVSCELAPTDPELKDMVAKSEAIALELMHDTDDPVEKRRPYVAEYAARPGGEAMAEALRKKSAAYAALKERAQEVVKFMRAMAAISASEEAAAEEAAAARPVGAREAMEEVLELVTKTVADAAKQTKLLRVMMVTVAHTLVSGELAPTDPVLKDMVAKSEATALEVMHDADDPTEKRCPDVAVYAAGPGGEAMAAALREEAAAFAALKERAQEVVKFMRAMAAISAEEEAAARGRPVGATRSRRPNARYFGPEWTN >Et_3B_029124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22233268:22235336:-1 gene:Et_3B_029124 transcript:Et_3B_029124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DHEETSRDRINELPDHLLATILGNLDTRSSAATSVLARRWRYLWKSVPRLRFSCHDAMQPTYLRRFIRAHKYAFVKPSLCQWRRQVRVSDERLINRHESRILERSLSGFLHASGYDGKTSNNTRIISLVLDCYMKDHYAKLIDQLLRLAICRGVEDLNLRTTVGYHSTETGTTPYQFPLYLFSGSTGSSLMKLKLGECTLNIPVGFDGLKSLIEISFDRMHISEEMIQTLLEKCPTLRSFHLNSCLGITNLKIDSKRLELRELIVNNCSWITKIEVAAPKLQRFRYTGKCITMVLAPDLTIGHAWLDNRNRHDGDSIKYIIEKLPVDFPQLTCVNIVVSTYQLKWNPVTPRTLPAIFKSLRSLTLQVTMHLNDDLAWVTMLLDAAPLLENFQIQVFANERREQQGGVVWDPTDFEHRHLRQVKLYYFRTRRREMALVRLMLSRAPLLQTITFFHGCLDWHEDWNSEYVESEEDWSREQQSAIRESLAEWNRFGACLQFRP >Et_1A_006970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29680348:29681893:-1 gene:Et_1A_006970 transcript:Et_1A_006970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFSVPAMYVAIQAVLSLYASGRTTGIVMDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSIEKSYELPDGQVITIGAERFRCPEVMFQPSFIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISRAEYEESGPAIVHRKCF >Et_2A_017941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8078798:8079764:-1 gene:Et_2A_017941 transcript:Et_2A_017941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEIPMYPVSTTPPVAGEVIIDDGTVTPAPPPANAKPTHVLISPIVPVAAPDGATAAAAATSPSPAFTAGMLYLDPQTWEAAVGRDDDPNKRRERWLQEMRGWLMVLAASVTYQAGLNPPGGFWQDDGKGHVAGTPVLESKFPKRENRWNWLEQRTRAML >Et_4B_036019.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13945600:13947862:-1 gene:Et_4B_036019 transcript:Et_4B_036019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQPAQGKKRSVVDSGDGGLGSSLATFIANGDDLGPIIRHSFESGKPEALTHCLRSIVKKKEVEIEELCRLHYEDFIVAVDELRGVLVDAEELKSMLSGENSHLQQASTAQLLKLDELLELYSVKKNVGEAITTLKICVKVICLCMTCNNYIAEAKFHPALKTLDLIQKGYLQNISLKLIKRVVARQIPLIKLHIEKKVTSEFNDWLVHIRRMAKQIGQVSISQASLARQREEEMRARQREAEGHSHAGPDEHLYTLDLENTEEESALDFDLTPVYRAHHMHICLGIEEKFKDYYYKNRLMQLNLDMQISTSQPFLESHQPFLSQVAGFFIVEERVFRTADGLLSESQVETTWETAIGKVTSTLEEQFARMRTASHFLLIKDYVTLLGAAVKKYGYQITQLIEVLEKSRDKYHQLLLLECRKQIDDILIND >Et_7B_053547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:45202:46829:1 gene:Et_7B_053547 transcript:Et_7B_053547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLRPGDRAVAEKVTYLFRRPSIGDIVFFKVPMALQNYGLNKDVIFIKRVLATPGDFIEVRQGQLIINGVAQIEHYTSTHAAYTMEAMRLPEGHVFVMGDNRNNSCDSRTWGPLPIRNIVGRYMTSFTRSYLQ >Et_10A_001931.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:1227177:1229015:-1 gene:Et_10A_001931 transcript:Et_10A_001931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVYKLHKCRRNAAAAAAASVSFLVLVLIFSVFLATRHHDELDDAGDFFFSSGGGASATTTIPSSQLRHGGDGSEQGGSSCRELQSLPDHGARCRYLSSRPPPPPCAPQGYVDYLRLFYCGFGRSPWLGGAALAAWLLVLFYLLGDTASEYFCASLEGLSAALRLPPAVAGATLLSLGNGAPDVLSSVVAFSSSSGEGGDGDDAGDVGLSSVIGGALFVSTVVAGVVAIVAGRRGAPAIERRGFVRDACFLLVALCYLLAVLLTGSITVWAAASFLSLYAAYVLLVSTSHCCAAATAADDDEKLLLEHSYNKSGGAAGDLAVPLLLDVDGDDDTPPSLPISSSKPASPSSTPIKKTFSRSAMDALQAPLYLPRRLTIPDIAAHRWRKPYAVASAFLAPPLLLAATTSSSSPTAAVLVPGLLAGAALAAAAAHSTAASSPPSSRLARLPWLAGGFAMSVLWSYVLARELVSLLVAAGVVAGVKPSLLGATVLAWGNSLGDLVADVAMAMHVGGAQTAVAGCYAGPAFNTVVGLGLSLTLAAGARYPEPYTIPVDAEVYQAVGFLAAALVWALVVLPARGMRLDRVLGVGLLVIYLCFLCLRLGSLTALGSPS >Et_2B_021479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3046660:3049526:-1 gene:Et_2B_021479 transcript:Et_2B_021479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAVKAGSRPPWLGLGAAVWVQVAGGASSTFALYSHALKVALGADQSRLALLGVACDVGENLGLLPGVLCNRLHPALLLVIGAAACLLGYGTAWLTVSGVAPALPYWLIWFALCLAANGGAWMGTAVLVTNMRNFPLSRGAVAGILKGYSGLSAAVYTELYTGVLQDSPTNLLLFLTLGVPALCLLTMYFVRPCEPSLVESSAEQTHFVFAQMASILLGVYLVGATILDHVVTLNDALNYTLLAIMVFLLFAPLAIPLKMTLLQRNRRKGTSDSSESSPTSDNDHTELLLPSSSASNLADIEDEDSFDIDILLAEGEGAIKQKRRRPKRGEDFRFREAILKADFWLLFAIYFIGVGSGITVLNNLAQIGIAAGAVDTTISLSLFSFCNFFGRLGGGAVSEYLVRSRTLPRSVLITCAQVVMIITYLLFALGRHATLFVSVALLGICYGVQFSVVISTSSELFGLKHFGKIYNFIALANPVGAFLFNSLTGYVYDLEVEKQRATTPDSDIACHGPNCFRLTFCVLSGAACLGTLLSAILTVRIRPVYQMLYAGGSFSQPRSSAH >Et_7A_052757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15522742:15526369:-1 gene:Et_7A_052757 transcript:Et_7A_052757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIILSFAGDALYSLRQSLKDNNNVLQSWDPTLVNPCTWFHVTCNTENSVIRVDLGNAQLSGELVPQLGELKSLQYLELYSNNISGSIPDELGNLTNLVSLDLYLNNFTGYVPESLGQLLKLRFLRLNNNSLTGEIPKSLTNITTLQVLDLSNNNLTGEVPSTGSFSLFTPISFANNPNLCGPGTTKPCPGAPPFSPPPPYNPPTPTPTKGVGASSTGAIAGGAAAGAALLFAIPAIGFALWRRRKPEEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFTNRNVLGRGGFGKVYKGRLTDGSLVAVKRLKEERTPGGELQFQTEVELISMAVHKNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERQPDDPPLDWQTRARIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEPLVDPDLKDGYVDHEVESLIQVALLCTQGSPMDRPKMSEVVRMLEGDGLAERWEEWQKVEVVRRQAELVPARHNEWLVDSTYNLRAVELSGPR >Et_3B_028832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19678342:19680323:1 gene:Et_3B_028832 transcript:Et_3B_028832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGGGGGGGGDHHGDYHQHVHSDLARADGADHYVFNHNDMESFFFNQPAASVGGGGRNSADELVPPYSNLTDYLQGFLDPSGLARHLDVPLSAEDPVVKNELSVDVSHDSQGTSGVAGEGAALLTPNSSVSLSSSDREGEGQRSRSKKGRAKDEEEVEDEKNQEEGENSMKANKPKKKAEKRQRQPRVAFLTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTPKCGVKKRVERSYQDPSTVITTYEGQHTHHSPASLRAGSGHLFMSNAHALPPHLMPSGFRSDLMSMMHPIAMGMNPNMYLPSMPPPPPMPTSPAPPPQQGHFTDYALLQDLFPSNMPNNP >Et_3A_026097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4253066:4258356:-1 gene:Et_3A_026097 transcript:Et_3A_026097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKDSSSSGATVGGKKDKPMSVSAMLASMDAPAAKGKSSKAAAPSSKPKGKPSKAPVSSYLADVDLPPSDDEEDEADIAAAAAAKPKSARAAAVDLNAVAGPSQKDAKKKDKREAMAAAAAEAARQEALRDDRDAFSVVIGSRVPGSAAGGADGDGAVDDNVKDIVLENFSVSARGKELLKSASLRISHGRRYGLVGPNGMGKSTLLKLLSWRQVPVPRNIDVLLVEQEIIGDDRSALEAVVAADEELTALRAEQAELEASNNPDDNDRLAEVYKKLNLRDSDAARARASKILAGLGFDQAMQARSTKSFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEEYLCSQWKKTLIVVSHDRDFLNTVCNEIIHLHDKSLHVYRGNFDDFESGYEQKRKEMNRKFEVYEKQMKAARKTGSKAAQDKVKGHALSKAAKEAAKSKGKGKNTADDDDDQKLVAVPQKWRDYSVEFHFPEPTELTPPLLQLIEVGFSYPNRTDFKLSEVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLTPTEGEVRRSQKLRIGRYSQHFVDLLTMEENAVQYLLRLHPDQEGMSKAEAVRAKLGKFGLPGHNHLTPIVKLSGGQKARVVFTSISMSHPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCEDEQRSEIWVVEDGTVNRYDGTFEDYKDELMEEIKKEASFGVRLFYPGFYETDDFVANIVCGFSAGFGISTRIIGACEDENQGFFISQDYGQTKGTLHKLKLIDLLETGNIDLCFANEDEAR >Et_8A_057916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9334207:9343431:-1 gene:Et_8A_057916 transcript:Et_8A_057916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPEDFRGQARLPHFASPLRYDLRLRPDLTACTFSGAAAIGVAVSAPTRFLVLNAAELSDLAPSEVVQFEEDEILVMGFDQELPVGEGVLKMNFTGTLNDQMRGFYRSKYESDGESRNMAVTQFEAADARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPAVKETVNGPLKTVYYEESPLMSTYLVAIVVGSFDYIESSTSQGTKVRVYAQVGKCNQGKFALDVGVKSLDLYKDYFGTPYPLPKLDMIAIPDFAAGAMENYGLVTYRETALLYDELLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVESLFPEWNNWTQFLDETTSGLRLDALAESHPIEVEINHASEIDAIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEESGEPVKDLMTTWTKQQGYPVIYAKLNGHDLELEQAQFLSDGSSGPGLWIVPITSCSGSYDVQKKFLLKDKTGKLHVKEFTASLSADGEKDPNFWIKLNIDQTGFYRVKYDDELAAGLQNAIKSKKLSLMDKIGIVEDAYALSVARKQTVTSLLRLLNAYRDESDYTILSHVCLSISKISVDATPELSKDIKQLLINLLLPTAKKLSWDRKAGESHLDVMLRSLLLIALVKLGHSETINEGVRRFHIFLGDCKTSLLPADTRKAAYLAVMRTVSTSNRAGYDALLKIYRETAEAQEKSRILGSLSSSPDKDIVLEALNFMLTDEVRNQDSFYILGGISLEGREVAWTWLKFSSEAKAAEVSEFFAGRIKPSFERALKQSLERVRISARWIESIQSETNLGHTVHELLQSGV >Et_5B_043368.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:9234402:9234473:-1 gene:Et_5B_043368 transcript:Et_5B_043368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCSWISCTHNFLLGLISAMLN >Et_3A_024842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24758808:24760781:-1 gene:Et_3A_024842 transcript:Et_3A_024842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPRTARLALLSTPRAYSASAAAAGASPSSPAPYSGAPPPAMSKAAEFVVSKVDDLMNWARRGSIWPMTFGLACCAVEMMHAGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWTK >Et_5A_041039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17014142:17017497:-1 gene:Et_5A_041039 transcript:Et_5A_041039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWIRPEVYPLFVTTGVAVGICAMQLVRNITTNPEVRVTKENRAAGVLQNFDEGRRYSQHGVRRFWLSKRRDYMQALDNVYPLFAATGVAVGICAMQLIRNITTNPEVRVTKENRAAGILENFDEGKRYSRHGFRQFIDGKRPEIMPGLNSFMADPKQ >Et_4A_033832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27224110:27225992:1 gene:Et_4A_033832 transcript:Et_4A_033832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLTKLYSMKEAALHNTPDDCWVVIDGKIYDVTKYLDDHPGGGDVLLAATGKDATEEFDDAGHSKSAKELMEDYFIGELDPTPEMPEMEVFRKEDVGFASRLVDNAVRYWAIPAAAIGISVVVAIFNLEGCTWMALLQGIAKTDMWKVRVMSFKLGFALNGN >Et_3B_029100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22022778:22034664:-1 gene:Et_3B_029100 transcript:Et_3B_029100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAALLLLPVLLLLTSLADAFPASCSNGATCGEHEIRYPFRLLNSSASDGNCGYPGLGLACEDDGTLILPVHSHRYRVLKIYYDTHAVAVSDTDLDEYGSAGCPRLHSNLTLDYTTSWLQLAPSDSNITFLYNCEKNISRFLSSARELSGCRGEYDSKRSYVLLPDGATTGNEAFEYECEEVVVAPVLDVHKAEMVDPPGGSPPLTNGTTFGDVVRAGFQLMYNTHSEQCDKCEKSQGWCGYQRNESTSSGLRFTCFCDGGPTPDHCSTYSSPSPRITFSGFQLLGVPDMNVHPLRKSGLVFLLVVHVPALHGSPLPSTYDGSICSESFRCGGVEIRYPFYLANAIRAAPDYGPLYSCGYTDLKIFCHDDGAGAKTTPLIQLGQFNYTVKEISYASSTFRLVDADAFSGGNIGCPNVRHNVSFGPDLLRYTGSFDNLTFFSSCDSNEDVRSGLEAYQIGCPGFGGGASFVFSSDQHDASGQHDLADHCHDIVVVPVLRDSLAPARNRSTLRREYGLVLSQGFELAWKETTAGDCYRCEQSGGRQCEQSSGQCAYNQAGEFVTCLCSGGRVDSHNNCSSGAVAGGFSALLVAGVIAFFVIRKKKQKKGVNSSSKLLKYSGSGGTPYSRGGDTESGSIQDLQTHVFSYEELEEATDRFNDNRELGDGGFGTVYKGYLKDGRVVAVKRLYSNSYRRVEQFVNEAAILSRLRHPNLVMFYGCTSSSSRELLLVYEFVANGTVADHLHGDRAPARALSWPLRLNIAVESAAALTYLHAIEPPIVHRDVKTTNILLDADFHVKVADFGLSRLFPVDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRHRNEINLAGMAINKIQKCQLEELVDLELGYESDPATKKMMTMVAELAFRCLQQNGEMRPPIKEVLEVLKAIQGECRLEKDGDKDKDLAGPFSPNTVHATWESRATTPNTSRD >Et_10B_003510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2227421:2229809:-1 gene:Et_10B_003510 transcript:Et_10B_003510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGGASSAPKKDSYVRAGDFDLVNLDIQLEKQLAKTWEKHKGKSQGPSEVWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAVKLLDWGEDGFATETETAALRASFKQEVAVWHELSHPNVTKFIGASMGTTDLKIPANSSNSGARTELPPRACCVVVEFLAGGTLKQYLIKNRRRKLPYKVVVQIALDLARGLSYLHSKKIVHRDVKTENMLLDTQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLEGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPEIPRCCPSPMANIMRKCWDANPDKRPEMDEVVRLLEALDTSKGGGMIPEGQASGCLCFFRARGP >Et_9A_061363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10620827:10622902:1 gene:Et_9A_061363 transcript:Et_9A_061363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYISCSIFKGEHMLTGSDDVQICLWDIKANNKNKSLDGLRFVRIMIVALKLLLGICDMNTCKLSCFLSLQWVVATDKLFDLWLSQRFFQFSFLNCLTYYVIREEVIQLDWSPMNETILASCCLGRRLMVVWDVSKIDQEQTPEDAEDGPPELLFIHGGHTSKVYDFSWNPFED >Et_3B_028811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19348463:19353964:-1 gene:Et_3B_028811 transcript:Et_3B_028811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGQPQFRYTQPPSKVIHVRNLPWDCTPEELVDLGTPFGKVVNTKCNVGANRNQAFIEFADQNQAIAMVSYYASSAEPAQVRGKNVYLQYSNRQEIVNNKSTGEAAGNVLLVGMEGVAPDSVSIDVLHLVFSAFGFVHKIATFEKASGYQALIQFSDAQTATSAKDALDGRCIPSYLLPELEGACTLRISYSAHSVLNVKYQSRRSRDFTNPYLPVLDSGSDGSGPDGKKQEAESNILLASVENMQYVVTIDVLHEVFSAFGFVQKIAIFEKNGFQALIQYPDIQTAVAAKEALEGHSIYEGGYCKLHLTFSRHTELNVKVNNERGRDYTKRNITPGSDQPSILGPQPTQNTGAVIPPPHNNAPSAATNTLMPPGVPLPTTGEPSVVQPHPSSEPRSQTADVPSGGLPQYPPGAVPQQFPGYGAPQFTRGPIQAPMMQPSGQGSHQMSSNVNYQLPSGSPQFMYLGNVSHPPANAPGPQAMPFPGLGGQQLPPGPPMMQAPGYSGLAFPQGPGQPMPQFPMFGSQQFPPGMEPQMMRFLEQGGQQLPFGPPRHPYNR >Et_5B_044242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21905560:21920213:-1 gene:Et_5B_044242 transcript:Et_5B_044242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPCTKKRRLLLPQMSSSPVDWASLGHDPLELIGSLVLLCGDLLDYVRFRAVCRHWRTITPSPNGNGVADPRFHPRRWMMLPEGHGLYPGHPGLGGVVWFLHLSTGAVARVRLGPLMADHAALDSVDGLLLLHRDRDTAVRLVNPFTGDVAGLPPLLSFLLQMEPRRYYSERQKRGFLMSVGATSVSVAGSGGSSTRIVTVMLALHLPHRVAYASTGDQQWTLSPWKFQPLLRPVSFQGKLYTIRYLFENKSRKDVSCTDLVVYRLSDLVSGKDVAPVTSIGDNALFVDARCICVSSGKGLPSVSRNSIVCIQKQSLIGWSSRNGDLGLDGFFICGRRAMTIPCTKKRRLLLPQTSSSSRDWASLGHDPLEMIGSLVLVTGDLLDYVRFRAVCRHWRSSTPSPNGNGVVDPRFHPRRWTMLPEGHGLCPGHPELRSFVRFLNLSTGAVARAHLGPLMADHAVLDSVDGLLLLHRDRDTAVRLVNPSPAMSPTSRRCRRSFPRWTPASGATASGTGAATSYESAPPPSPSPSAAAATLLGPSPSCSPSNSCTALPTPPPATSSGRSRPGSSSLFIDPVTFRVYIGPVSFQGKLYAVHYSAGNGVSKGNPQIYRIDPPRLPTDALPAPEKIAECPRSKIHHVFNLAECGSDLLLIGYKDASCTDLVVYRMSDLVRGKVVPVTSIGDNTLFLDERCLCVSTKGLPSVSRNSIVCTHSYPLSPEVVRFEQYSLDTGT >Et_9A_062227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20918491:20921151:-1 gene:Et_9A_062227 transcript:Et_9A_062227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNQFDLLDDVDNDDPSQLIAAAERKAAASPKPAAAAPAPAKLPTKPPPPAQAVREARNYGGPPRDGPGRGGPGRGRGGRGGRFAPRRDYGDADVNGFEGGYGAGFGNGGAARGENGEVRQADRGRGSRQPYHGGGRRGSYTDGQDGDESGRPRRPYERHSGTGRGYEMKREGAGRGNWGIVTDEGLAQDIVDAANTEETPAVVEDEKKPEDTHKEGAENEEKPEDKEMTLEEYEKVLEEKRKALLALKAQERKVEVDEELRSMQQLSVKQDADEVFIKLGSEKDVKKKENAERDERAKKSLSINEFLKPAEGERYYGGRGRSRGGRGRGEPGGFRGGYNGGGHGAAAAPVIEDPSQLPSLGGK >Et_1A_005951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15909956:15914671:-1 gene:Et_1A_005951 transcript:Et_1A_005951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAKRGGDHLTRSSRKRRRRASKNEPLASGRLLWDSDQGVRSGLSDGLISLLSKSVASIALYNGDTVLFSCSSIAMERQGYLTRFLTSASLVRALNGTQKDQDDLKVLEVRHEGSKVYMGVVSEFDLDHNFAIVNVHGLLDVQVGSFQCAPEVLPHGEILVVIGRGVSGEIMIKNVEVDGDSKVSEDDQDLDCKISEAWESGPVLSGDGKVVGMNLFLTTRRAFFLPWGTILKHLEHYWTSKQRKTGLAWLKTLKVSRFGARDDTSKSHPEVHGEFVNQELLDLDSMGYPKLPSSMSGAGMILVNTFEETFGDIHGEGVWKEFSKKASSLNCSVVALASFNGERRVFACTGFFIEWNGSTIILTSASLIRNSGDENKIVENLRIEVLLNGQRREGTLQHYSLHYNIALVSVKDYHALCPSRTLLHWHKSFKVAAVGRCFKSGALMATSGDLVSWTGTLDCNFLARSTCKITKAGIGGPLVTPDGDVIGMNFYDKRIGTPFLFLGDIYKILASFETRSKPGEFGNDSDSYGAPFWKMDKDDKIRMNRWPVPMPCWRNSDYAESDDDELGVDPKSGLRPTYTYFKGKKLIMLF >Et_9A_062342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22017717:22018443:1 gene:Et_9A_062342 transcript:Et_9A_062342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQELAPCRCGGAAAGSSAYSLLFPMAGGGQFGYGAEVDGGGGGPVDCTLSLGTPSTRRAEAGAHARGRQDDKESGRGSLRRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAVAVAPQVDSYACGAYVRQQQPQWGCYGPAATKSASFSMYGGGVDVVDAAADGPCLSWMLNVMPSSSPAFAVRERATLFQYY >Et_8B_059767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2507647:2511507:-1 gene:Et_8B_059767 transcript:Et_8B_059767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEDAVRGGGGGGTKLALASIGFADVRVGGAVTAGEDLLVVGLPVPRGGGLDVRLPDVGAAFRNFLRNREVAEFVSGAMAGAMAKAVLAPLETIRTRMVVGVGSKQIFGSFVEIIEQNGWQGLWAGNAINMLRIIPTQAIELGTFECVKRSMTSAQEKWKEDGCPKIQIGDLKIELPLHLLSPIAIGGAAAGIVSTLACHPLEVLKDRLTVNREAYPSIAMAINKIYRTDGIGGLYAGLFPTLVGMLPYSTCYYFMYETIKTSYCRANKKKSLNRPELLLIGAISGLTASTISFPLEVARKRLMVGTLQGKCPPHMIAALAEVFQEEGFKGLYRGWAASSLKVMPTSGITWMFYEAWKDLLLAPQPKT >Et_2B_022480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23321488:23322999:-1 gene:Et_2B_022480 transcript:Et_2B_022480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGPDCGECAVVCCLACCEGACEACAESGDDLPCCAACVLAWLALAVVLVAAFAFVVPVRVSVDEASLGRLALLSAPGTNGTGAAEPSFSYDISLGVALRNPNWAMRAWRTGPLDAELRFRGSPFARARLASAEWDRIRPRRREVYRVVAAGESAPVAIGSEGAAEFARERAEGEFEVELVVSGEAEYEGHLHRRGFKVSCPLKLSLSTATAPAAFSRIRVKSR >Et_3A_027367.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:9070844:9071209:1 gene:Et_3A_027367 transcript:Et_3A_027367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVGIRRGLTIDPAGEEEAPAERVGRLIRESPVVIFARRGCYMAHVMKRLLAAVGAHATVIELDAGAADELAAAAEAAGHGAVPALFVGGAPVGGLEGLMGLHLSGRLVPRLREVGAIRA >Et_3B_029714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27314797:27315117:-1 gene:Et_3B_029714 transcript:Et_3B_029714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWRKTAVCSVLLVLLIAASSEVAFVEAAECWKEDNHHTFCFDADCKLTCRDHGNVDGRCTWGRSLWPYCECLASDC >Et_7B_054218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17826671:17828294:1 gene:Et_7B_054218 transcript:Et_7B_054218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTPNHTQAVTGWAAMNESGKIEPFIFKRRETGVDDVVIKVQYCGMCHTDLHFIQNDWGITMYPVVPGHEITGVVTKVGSNVSGFKAGDRVGVGCIYASCLDCEHCRRSEENYCDKVTLTYNGVFWDGSVTYGGYSNMMVAHKRFVVRIPDNLPLDAAAPLLCAGITVYSPMKQHGMLKSGGSLGVVGLGGLGHVAVKFGKAFGLKVTVVSTSPAKEREARERLKADNFIVSTNQKQMQAMTRSLDYIIDTVSAKHSLGPILELLKVNGKLVLVAAPDQPVELPSFPLIFGKRTVSGSMTGGMKETQEMMDLCGEHNITCDIELVSTDRINEALARLARNDVRYRFVINIAGNSKL >Et_10A_001269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22786766:22790436:1 gene:Et_10A_001269 transcript:Et_10A_001269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRPAGTMLRLLVCAVFLLGCCHGRFVVEKNSLKVTAPDDLKGTYECAIGNFGVPQYGGTMVGFVAYPKANKKACKDFDDFDISYKSKPGAFPTFLLVDRGDCYFTKKAWNAQKAGAAAILVADDKDEPLITMDTPEESGRAEYLENITIPSALITKSFGDRLKKAIDNGDMVNVNLDWREALPHPDERVEYEFWTNSNDECGPKCDSQIEFVKSFKGAAQVLEKKSYTQFTPHYITWYCPEAFTLSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVFKVAKEHKKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKSLGLDHKAIDKCIGNPDADEENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKALCAGFRETTEPAVCLSEDIQTNECLENNGGCWQDKAANITACKDTFRGRVCECPVVKGVKFVGDGYTHCEASGSGRCEINNGGCWKETRHGRTYSACTDDGCKCPDGFKGDGIHKCEDIDECKERTACQCKECKCKNTWGSYECGCSGGLLYMKEHDTCISKNAATEVGWSFLWVIFFGLVAAGIAGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGDVPNHSHHIEM >Et_5A_041092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18258526:18259179:1 gene:Et_5A_041092 transcript:Et_5A_041092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVWKAVNNGIPTRANKCYRHIEAQTSGRGLPSFTDYMSTCCRLTTCPGPEWLLVLLYKYPSEVLGNFFMQMWRAWNVRNGVLKAGETLSIEGSSDNKSMFLWGKGSNGVLSLVSPWDDKNTASWLRPPYGVLKVNVDGAFHGQSGRAAVRVIV >Et_1B_010468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10789023:10791822:-1 gene:Et_1B_010468 transcript:Et_1B_010468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVVWDCIRHGHCSFMAKVETAIFCRNPYNAMGICNRSSCPLANSRYATIRDHDGVFYLYMKTAERSHLPKKIVGEGQTTKELRKSNRSYRQASCEFWPKLLVHKIKQRLTKMTQCRIRMKKLQLKVRKALSQLDLYCSSTIFLFLSVREKIVTVPRKKALRAIKGEDKALKAAQIDNVCIQSELFERLKKGCYEGIYNDEYEKFMKILALKGEPDPEI >Et_7B_054410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21006691:21007116:-1 gene:Et_7B_054410 transcript:Et_7B_054410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSQRFVDAHLEFSKARPTIDAWLACRGKKEYHLLDGLPQRCRRAGSRRTLAERFGHALRRLGPRLHTGQGSLPLHTRRGDDGVQPDDQGIRFPAQGTTYRILMCTRLDLAAILVQTKRVQGDEVVLPT >Et_2B_019764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13778175:13781175:1 gene:Et_2B_019764 transcript:Et_2B_019764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVSPAGCAGLEERAGGAAGVVGMEADAIGAVSDLDFDFSVDDIDFGDFFLRLEDGDALPDLEVDPADIFTEFEEIATGGNGVTDQEVPSVHNPSPDGAHVADVVDPCTGVLGEENPSLADAQAEGKGECNLADEAVAGNNGDFAGGGCAAAAAAVEEKSTSSTTSSSQEAESRHKSSSKSSHGKKKAKVDWTPELHRRFVQAVEQLGIDKAVPSRILEIMGIDSLTRHNVASHLQKYRSHRKHMLAREAEAASWTQRRQMYATGGSATAVKRPAEPNAWTVPTIGFPPPPPAPPSHPMQHFARPLHVWGHPTPTVDSPRVPMWPRHLVPRTPTPPWAPPPPPADPAFWHHHAYMRGPGHMPSQVMAMPMPAAISRATCKRSFAALSSPIVQTTRSSNTCKQDPARYAASSNESIDAAIGDVLTKPWLPLPLGLKPPSVDSVMGELQRQGVANVPPACG >Et_5B_044862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6665928:6667302:1 gene:Et_5B_044862 transcript:Et_5B_044862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKSGLKKGPWTPEEDEKLVAYIKKHGQGNWRTLPKNAAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHAPRLDLLDLSSLLKPAAASYYPTQADLDTLRALEPLANYPDLLRLASTLLSSTPAVADQQQQLLPWLQLQAQMTQAAAAAAAMTTQQVAPTTQADQFVQQVAASAACQMPGLVHTNPTMQQQQQQLQDTAAACQGAPLPTTTRYVDNNNLDVPALMQMVQQSDPQQQWSSTVTSSNNNVGGSGVSTPSSSPVPGVHNNSTSTTTYGTTPNDVAVAGDVDAAALFNMQLSDLLDVSDYM >Et_1B_010806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14274008:14275176:-1 gene:Et_1B_010806 transcript:Et_1B_010806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SEIPVPSLKPRPNHTPRRRSLAKALPEAPRSRRPLSRKGTCACTGIVLESGRRSQGPRLPSLPRTCACFYLHPMLLRRRSPRRGRDCRQGHAASPVGRVVGRLGWDENDRLETKASVAARFRLCHELLWQRRWREMRGCLAEMVSEQGYDSAPTLCDILWNVFREWDSNDTIWDALANSYVRSQMIHDALYVLSQMNSLNMQISLSTYDSLLYSLRK >Et_2A_014846.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18522291:18522815:-1 gene:Et_2A_014846 transcript:Et_2A_014846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARGLGNPRQASTIRVSVDGRPRLAAPPGYFGNLVLWAFPTAAVGDLLNRPLRHAAQVIHDAVARVDREYFQSFVDFAAGSGGAVEKEGLEKTAVLKDVLCPDLEVDSWLTFPFYELDFGAGSPSYFMPSYFPTEGMLFLVPSYLGDGSVDAFVPVFEHNLEAFKQCCYSME >Et_5B_045026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8513740:8516405:-1 gene:Et_5B_045026 transcript:Et_5B_045026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAADGRRALDAYRKALATAASAAAYAVMARSMARELLPKELHAAARWAASAALARLGRRERERRTLVVRSAGGAGGMEENLFFDAARAYLGSRLDPRAMRRLGLTLARTRDVDDRGSAGWIRRLFIDPGDSTVDVFDGVAFTWTWVGSPRGGGGGGGGKKKATSGGEAGTGGDLEFLLELSFDAEHADVALERYVPFIMGAAEDAEQRERQLKICMNEGRGWYRFNHHHPATFDTLAMDPELKRSVVADLDRFLKRKDYYRRIGKAWKRGYLLYGLLGTGKSSMIAAMANYLRFNIYDLDLSAVQYNSNLQWLLTSMSNKSILVIEDIDCCFTARSRNEPEKSDADVLHPSDSAEQGITLSGLLNFIDGLWSTCGEERIIIFTTNYKDRLDPALLRPGRMDMHIYMGYCGWEAFKTLARNYFLVDDHALFPEIQELLLGVEVTPAEVSEMLLRSEDADVALQGFVEFLQGKKQGTQEHANEVEKKRIESQPLQHRVEAHFGKIEIIQVEAEVVGHGGDEAGLAGAGSRYPRFHAFPIRR >Et_3B_031344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26345670:26349125:1 gene:Et_3B_031344 transcript:Et_3B_031344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMPAAPPLQPWAGLDANLPSSVVDRCTVKDYAACRAVCGAWHSALPPSLSQPLAVLPADGAAGHPVSLAACLLHTRRWVRLPGLHQPTGLSAAHCRCVGARDGWVALVAGDAAILFNPRSGEEIALDASSLYEPRHDPAPKIAFSRNPAPHEFAAVSLCRPKRVAVQVRANHGGGSFSAVQDTDMFMDGAVLVDVAYGDDGRVYCLATTGQVYVIRFERRRHRRGSRSLPAVEVQPLLSGRLGADAFPPPYDAISRLASVKNLVLCDDGVMYQVWRRPTGAGPATGDQTKQQWPVHVYEGEVFVLRYNPRSWPRWTAVEGKDLGGKAMFLGMNDAVVARGDGVRPNSVYYWDNAGVGDYVPVGYSLATGSSVRWPAATGGLSTPVWYFMPEEDQSRRVEAPDMESTVADGEAEATCPEYDDEDDTPGILIYHRFCSKKNRTYASFSYVDLHDDILRRLNLIRRAPAAHVSSSITLPCPPLPVSGKQTQSSAPAPQRRTKMQVPRMLELDEGPRKEVVDRMPRRPQAPRTEKRRRTRHEEEEAATPTLGTADPKSCTVDARCCACELMPASRHARPVCSYSRRLATRALISRSSATSAAHLASPASPAAAAARAAARSSAASTRNRSRATSTDGSVSAAATTTGLCTVASSSPATSTDRPVAASLYVHLTLTRRVASSAAIGTVRNSSRRLSSSAYISPTSTDAARPSASTRLS >Et_3A_024832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24637946:24638475:-1 gene:Et_3A_024832 transcript:Et_3A_024832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIYTAGDKAKCPCSTIPCTIKRAWKYHPNLSSGEGATMGRGALATMNSKRPPRGQSRG >Et_8A_057699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6183600:6188204:-1 gene:Et_8A_057699 transcript:Et_8A_057699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPSAEAAPAQKKRSASILGPLRVAIEKVRFLLNFSATRWMLLSSVVARRGGAPRRAISFNPRSPSLLDAAEDGVASPATSRSASMGTATTRSVSRTSSGSAASPEVLTRTSSGASASASPGGDEDIDRRADEFIANFYRQLQMERQVSLQLRYVRGNSWDSHGRRLSVGGGACQKEAVGVDPRAAPGGDREGHDSPALLQRGEAGAVEELVEAEQDAVECAGVPADVEPRFAPDVHDASLPRLLQLRGLIRRRRGRGHRCLPLDVVPQGAHHLLRGVVVLGVERVGVPDGEVASPEVLTRTSSGASASASPGGDEDIDRRADEFIANFYRQLRMERQVSLQLRYVRGNSWDGSP >Et_6A_046839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21252686:21255292:-1 gene:Et_6A_046839 transcript:Et_6A_046839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGAFSLGSLPLSSSSSLTKKGRSHRRAHLRCKQYPPFDDFYILSIDIANQARQTEYVSQMNLTHLCKDTLVTIVNGQLPGPATELREGIQHGVRQWLNCWYDGVPMITQRPILPNSDFTYRFNVSGQRHLVVVCSRPLPSAEWWEKNLTRVARNMTNGFHDDYSSASTINGKLGDLFSCSSKPIYYIIYVSKSENRVLEDNYICAGRGARQDHHDTITSFYFHGNLSSLRHRQRFQVLMEADERLFIVLGLLGSICRNGGQSCKRGDSKENMLTANKNNVCFHLPTAMASPILEVHYYHNDMDNTLQKLADRPPVMFNFTDGELIPFGPKELRLEPKSRATLVQRFSLLQGDSNPMHLHGHDMYVLRTGSATAMLPGMWRGTPCWFRILGGLPYDSSQIIQDGPTVDTSLPPPPIDVLTNDFYNNLMQNELYPQTNKCEVS >Et_1A_005859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14849361:14849862:1 gene:Et_1A_005859 transcript:Et_1A_005859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQAGGIDNVFREYFAVEKEKKLVKAFQSYLSTIAGPIARMLFISTEKIAFHNDRPLNLASPKGRSTRMPYKVLIPAKTIKSASVRGNLYSPDEKYIDVVTVDGFDFWFMGFVSYEMSFSMQFLS >Et_3A_023576.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:6266918:6267538:-1 gene:Et_3A_023576 transcript:Et_3A_023576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRAKGKVKWFNAVKGTGFVIPDYGDELIFFHQSSLKSDGFRFLDVGDSVVFEVITDRYGLSKAVDVTPCGGAFPGGFRTDGGGCGAPGGRYCGDADHGYGGGGRYNDGGSCSCNDGGELGGRGTNLLVQARAPCSAPQAFDEMTLKDVAAESKECGVFLSAGKSEGVASEFTGETHQTGVIWAEPRLTWWIVATVSHGYRVSG >Et_9B_064299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13773096:13780536:1 gene:Et_9B_064299 transcript:Et_9B_064299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLIESPLPAAGAWGSLYSAPEPVKPRQMLIPAPAPAKKPAAYGVRRNLETCTEPLGCETGAVDTTPAAGNGFDADVGAEAEYCAERKRRAREDEEEEAMARRQGRHGRAGRPLPPPLTTLARGGSRVRMVHERRDGRLEVYAVRTAGVLEAERSGGRLRMRLLGNAASMEEEAKEAKVVEEEEAKEAKVVAEEEEEYGFATYVRGGRCVEPEDGAAAARRGNQQQKLPATSGWGSLYPVEEQSVKPGARSLPVPAKKPAAYGGRKKNLETCTEALGCETSGVDVCDGGVVEAEYCAERKRRAREEEEETVTEATRACRVRGPLPPPLTTLASRVRMVHERREGRLEVFAVRSPGTLEAERSGGRLRLRLLPLLCRAGNDDAAESGRQEAEAEEEEEEEAKETEEYGFAKYVRGGHCCVDLEGGAAAAAAMRGKQWEPEQAAAFWVATS >Et_5B_044376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23426481:23430379:-1 gene:Et_5B_044376 transcript:Et_5B_044376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQPRGRGTGKLASSGHLTWGPIAPFVNALLHFMIANTKHQIAVVDVQGKTRWIIPVPAMAQGMLRRSGYIAQSQGSLHYIGQGSVDQLSVWVLEDYDTQEWVVKHRVSFLDMFGLAMWPRHVQAYDLVAMHPDRNLVFLVDYRNAKLLSCDMDHKLVSVICNIEKPWTVNFAPYVPYYSESSTPLLISLNRVCNSSLPSPAAAIAPIRTLSGGTAMDCPKKGGAVADLPDDPLVEILSRVPIKSLCRSKCVSKVWRDLIADPVYCKKPPQTLEGFFYAHHERMEGDGLGSGDGDGVDGGNGSNGDGKNVSGQLAGGEVVYRNRRGTGRFIDLSGRSVPLVDPSFSFLTEVAGIQDIRLLHGCNGLLLLRYGRNPHSSGSLGYIVCNPATKEWVAVPNSGWNSDSEEEDDEDQEWFDVPNSFWDLFPIPEEYEEDEEGYAMEECELNYLIYDPSVSSHFKLVQFLQVISSADKWVGVLTYSSETGVWTEGASKQPLETVVKTKS >Et_5A_041883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3805748:3809852:-1 gene:Et_5A_041883 transcript:Et_5A_041883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVIKDIGSGNFGVAKLVRDVRTKELFAVKFIERGMKIDENVQREIMNHRSLRHPNIVKFKEVVLTPTHLAIVMEYAAGGELFERICSAGRFSEDEQICHRDLKLENTLLDGSIAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLARKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPRNFRKTLTRILSVQYAVPDFVRVSMECRHLLSRIFVANPEQRITIPEIKNHPWFLKNLPIEMTDEYQENLQMIDMNLPSQSLEEIMAIVQEARKPGGGLKLSGQIPGLGSMDLDDIDVDDIDVDDSGDFVCAL >Et_8A_058429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7566587:7571301:-1 gene:Et_8A_058429 transcript:Et_8A_058429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGGETPPTISDGVQSTSVSPDRRQAMSVDEVTTHPLKLTEDIERDPKSQSKMARRGPLLRNWPDPYCLLVCNKYIPNNGIPLSRMTSDWTKYRTDEEGLKLVEFARRLGPAIRAKYNLQCVMKLDDGIKEDDEVLFEKSDEMKPSCKEYAAPDLENVGHSSESPSLPEQSEVLTGSKTHQVEEYCMSDAFIEELGRTAKAYFERIDKQDFDEEKIVENGFQYMKNEAFLAFRNYIAENDLFEDSDYQFGKLLHHCFTAEGYRKVYTHYNFTIDIKKKDEIDWTPRRRCYSCSNKNVIELQHPSGGGYEEGSCRFLGKAVLGLRNPWYVREEEDLVFKEVTGMLGGAFGTNRFISYIL >Et_3B_028597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17116059:17121347:-1 gene:Et_3B_028597 transcript:Et_3B_028597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILERIKEIEAEMARTQKNKATEYHLGQLKAKLAKLRTQLLEPPKGSTGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKRKKTGGISFNTTVPLTHIDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRRYIKCVYVYNKIDVVGIDDVDKLARQPNSLVISCNLKLNLDRLLARMWDEMGLVRVYTKPQGQQPDFTDPVVLSTDRGGCTVEDFCNHIHRSLLKDVKYVLVWGTSARHYPQHCGLGHVLQDEDVVQIVKKKEKEEGGRGRFKSHTNAPDRISDRVKKAPLKQ >Et_4B_038033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25638046:25639250:1 gene:Et_4B_038033 transcript:Et_4B_038033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPSRTVLLLAVVAAVASFARLGAADLKTDYYASTCPNVETIVRGVVQQKMQSTIRTIGSTIRLFFHDCFVEGCDGSVLIQSTPGNQAERDASDNLSLSFEGFETIRSAKAAVEDACPDTVSCADVLALAARDAIALSGGPFYPVELGRLDGLSSKASDVPGQLPEPNHSIDQLVAIFKAHGLNISDLVALSAAHSVGLAHCAKFAYRLYNFQPGQPADPSLNPKYAAFLRSKCPNGGPDWMVLMDQATPAAFDNQYYRNLQDGGGLLGSDQLLYADNRTRAMVDALANSTNAFYQAFADAVTRLGRVGVKSGKQGNIRKQCDVFN >Et_7A_051422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18259376:18261171:1 gene:Et_7A_051422 transcript:Et_7A_051422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAADLLFSRCFSGVVLQNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKND >Et_4B_037500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20528851:20531084:1 gene:Et_4B_037500 transcript:Et_4B_037500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAASAVPRLRLAAPPPPLRQAPGRSQWLLPRRRSLSSSVPNVTPAAGAGTLEPPDLPRLANAARISLSPKEVWAASSSSSLREDKPETFAKRDAIVEAIPSYDDPYIKVPRVLNKE >Et_1B_012171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29613165:29623893:-1 gene:Et_1B_012171 transcript:Et_1B_012171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCAANAMTGKDKDYRYMATSDLLSELNKEAFKADQDLEPKLTSTVLQQLEDASGDVSGLAVGEETVVEMTNKLCDKLLNGKDQHRDTASIALKTIIAEVTTTALAEKILISLAPQLINGVNTGKNAEIKCECLDILGDLLHRFGNLSKDHEDMLTALLFQLGSNQASVRKKSISCIASLAPSLSDDLLAKATAQVVLLLKNKSSKSEITRTNIQMIGSLSRSVGYRFGPHLSETVPLLISYCTNASENDEELRILNLALKYVSYDPNFTDCMEEDTDEEGQDEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLDACPKLIERFREREENVKMDIFNTFIELLRQTGNVTKGQSDIDESSPRWLLKQEVPKVVKSINKQLREKSIKTKVGAFSVLKELVVVLPDCLADHFGSLVPGIEKALNDKSSTSNLKIEALVFTRLVMASHSPAVFHPYIKALSGPILLAIGDRYYKVTAEALRVCGELVRVLRPNLDASSVDFRPYIGPIYKAILGRLANQDQDQEVKECAISCMSLVVSTFGDSLQRELPACLPILVDRMGNEITRLTAVKAFSVIANSPLRIDLSCVLDHVVSELTAFLRKANRALRQATLGTLNSLVVTYGGQIGSSSYETIIAELSTLISDMDLHMTALALELCCTIMVDRKSIQNVGLAVRNKVLPQALVLIRSALLQGQALQALQKFFASLVQSANTSFDALLDSLICAAKPSQSGGLAKQALSSIAKCVAVLCLAAGDQKCASTIEMLKGILKDDSATNSAKQHMALLCLGEIGRRKDLSNHVQIENIVIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDHTGQSELKDSYIEKILALLFNHCESEEEGVRNVVAECLGKIALIEPEKLIPALKERTSSPAANTRATVAIAIKYSIVERPEKIDEIMYSEISTFLMLIKDSDRHVRRAAVLALSTAAHNKPNLIKALLPELLPLLYDQTVVKQELIRTVDLGPFKHVVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPFLLSGLGDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVEPIEKTITHKPKGDAVKQEVDRNEDMIRSALRSIASLSRISGSDYSIRFKNLMNKISSTPTLAEKYSSVRSE >Et_2A_014854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18726469:18727701:1 gene:Et_2A_014854 transcript:Et_2A_014854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSHLPSPARLGLTASSPSLPPNPAPVNPTSSPPHGNLTAGAGAIAAPTLTTSPSLLPLLPPLPRAQSLLHLISSLASNLFELSPNRAAWNSAYRGSLPTFLPSSSTAAPLSSTVSSTKEALSLLNSLQTQLFEAVTELQETLDLQDSRARLAREARAKDAALVAFAKKLHEAHHVLDRLVDNYSDYRRDPKRPRGAAAADYPEPVSDGDFGASLHSRLKVDDILTYAHRISYTTFAPPEHGAGLPLRGALPPAPQENEMRMSQLYQFADLDVGVPKKPLEPKEGIPADVEPPQPPKLPITLPPGFPEDMPLPPPGWKPGDPIEIPKNMPLPPPGWKPGVKAEEPKASVPEPHISVRPAAPTGQQTIEVKPVQLDFESDSSDEYSSDVGSSEEDEED >Et_3B_030588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5116167:5116695:1 gene:Et_3B_030588 transcript:Et_3B_030588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RQEDSPLDLNNLPEEYGKQAVESSTTTATSSAATARVKRKSSGGKDDDANKVYECRFCSLKFCKSQALGGHMNRHRQGKITHQP >Et_3B_031217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21451418:21454592:-1 gene:Et_3B_031217 transcript:Et_3B_031217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQAAVAAVAAEAVMEVVEDGEPEDQEERWARLLPELLSEVVRRVEASGCERWPARKDVVSCACVCRRWRDAAVAVVRPPAESGKITFPSSLKQVRTDSAVLARRLSLVSMDRPGPRESPMQCFIKRNKKNSTFYLYLGLTNSPTDKGKFLMAARRFRRGPHTEYIISLDSEDLSQGSHAYMGKLRSDFWGTNFKIYDSKPPYDGAKASSSRSSRRFGSRRISPQVSAGNYEVGQVSYKYNLLKSRGPRRMYCTLECPSTQETWDSALKTKFRKPTGPTILRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVAAADPSDPTNAVDEDTVLLQFGKVDDDMFTMDYRQPLSAFQAFAISLSSFGTKLACE >Et_8B_059875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3620972:3625054:1 gene:Et_8B_059875 transcript:Et_8B_059875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAPPPSTRLLTARSSFTFCRTWGNGAPRPTPTHRRTPTSAGTPSASRWITVGTLVASLSRVAPGDGACAPQPGKNGFTVHGCTDGAAAGPPPPPAPRACSRATATAPPCTARITAPRARSLTSDRLRVHAEAENSRSSVESDVHSSNALKARHLGVGVTGNCIHKGLARRCDRRRPEVTAKRMVVVWWGDWPGEVMILVMMSWMLQRTQDALENVCTIQLLNWDPSDDFDVYDDECSGPVHLEESIEEGSQNIKHLEFRLEESPFKEKDSKILHRGEKTEAEIQCIILTAANETWATLAWDQMALYEAQKTLSEDYKQLGIKLQTSLPYERVCLMSKFATDRLLQILPFRHVPICYF >Et_8B_059124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14115500:14118002:1 gene:Et_8B_059124 transcript:Et_8B_059124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKNGACRFYFRGGIAGLPSSLSASLIFGRFAGIHLTADPGSHSKKGSRPLRPSHHRPHRRPATRPTDRAIRIQVGTLPSPATVHLLFSPPLQLACSGAEICESRLEEDSKYQFNSIMVLDALSSPHRRSQNTFFMPPSKKPQSSRDDVGSWSALVERHRFLLTTLVVLAFLCTIYLYFAVTLGAPDACSGLADTERDECLAKSVMQHGKLKFH >Et_6A_045953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13250548:13250862:-1 gene:Et_6A_045953 transcript:Et_6A_045953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDRKKKKLTQERKKVSLLCLHEGWLLFVGHMPSAFLPSVCLCSHLYGSSYRFGVEVMGYTKCEYNLDDRVLDIVFQCACNMMTYSAMI >Et_7B_055998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:952125:954413:1 gene:Et_7B_055998 transcript:Et_7B_055998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMMIKTPATLLVAAFLLLAAAAAEASSFDYAGAFDKCLQFFEAQRSGKLPSDRRVQWRGDSALKDGFSQGVDLVGGYYDSGDHVKFGFPMAYALTMLSWGVIEFEQEMIAANNLQRTLEAIRWGTNYIVKAHTEPNVLWVQVGDGDSDHLCWERAEDMSTPRTAFKIDRQHPGSEVAGESAAALAAASKAFKPYDSMYSDLLLLHAKQLFTFADTFRGRYDDSLKSAKKFYPSASGYQDELLWAAAWLYEATGKADYLHYISQNAEAFGGIGWAVFEFSWDNKYAGLQVLLSKVLFEGGSAGYADTLKQYQAKAEFFLCACLQKNNGHNIKTTPAGLLYFDEWNNMQYVSSATFLLTVYADYLGVSHGVLKCPDGEVKPAEIIKFAKAQADYVLGKNPKGMSYMVGYGSSFPTHVHHRGASIPSIFAMKDVVGCMDGFDRYYNSKGPDPNVLHGAIVGGPDANDGFVDDRCNYQSAEPTIAGNAPICGVFARLAAQPADASDDNSPAYQQPHDSTPSNGSPLEFVHTVSNSWTENGVQRYRHVVTAKNTCGHAITYLKLHVKELSGPIYGLSSTPAKDMYELPSWLKRLNPGEQLTIVYIQGGPAAKISVVNYKTA >Et_6A_047128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25675089:25683120:-1 gene:Et_6A_047128 transcript:Et_6A_047128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNFPSRRNHGTPKDGPFLELNLVERIVKVALAIKEAVETVKQNEEECRDIQRCVVRVSALLKQLDQTTETMKDEVMRGTLEDLEDSLKRALELVMDCQRKNIFRRFLWARDMAKELGRVRDDILWKLTQGTFATMVHTTIMMTNIQNNGAAPRPQWNSHNLNYVGFAWQGLLRNGQDVAIKKLLISDDFPERNPHHELNIGAKLQHKNIVRLLGYCLDTEIIRGIAQGVHYLHKQRVLHMDLKPANILFDSNMNPVITDFGVSVVLDAGDDEIIWDALVGTLGYIAPEKITQANVSMKSDVFSFGIILTEIITGRKVSPSDDTLEWASVEMIGEMNGLFDPALVDECQLIEINRCLKVGLMCSEWDPKDRPTMEDVLEMLKG >Et_3B_029473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25341901:25345481:1 gene:Et_3B_029473 transcript:Et_3B_029473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKHLETARADRSVWLMKCPTVVSRAWQEAATASASSSSAAADAGGANPNPVVAKVVLSLDPLRDDQPLQASLLSISAPSSNSWLAADPWLGPFKMEMTQTDNGNTPKSYSLNMYNDFVPMCIFSESNQGKLACEGKVEHKFDMKPHRENLAEYGKLCRERTSKFMTRTRQVQVLADDSGMRMRPMPGMVGLIPSSGPSKEKKKTIPAKPSDMKRTRRDRTEMENILFKLFEKQPNWSLKQLMQETDQPEQFLKEILNDLCVYNKRGPNQGTHELKPEYKKSTGDNDAT >Et_1B_010300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35597803:35598669:-1 gene:Et_1B_010300 transcript:Et_1B_010300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRAAGSGFFALPIEAKEAYANDPSSGRLQGYGSRLATNASGQREWEDYLFHLLHPDALADHSRWPAHPPDYVAATREFGARVRQLASTLLAILSLGLGLHSSTEEKDQQDLLLDLLLQLKINYYPRCPQPELAVGVEAHTDVSALSFILHNGVPGLQVHHAGRWVTAPAAGDSSSIIVHVGDALEILSNGRYTSVLHRGLPPPEAVILRPLPELVTNDRPARFTPRTFKQHLDRKLFKKQQQQQQTIQHHDVVNPKTN >Et_5B_043184.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:15963278:15963760:1 gene:Et_5B_043184 transcript:Et_5B_043184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSPTSSPTRPTTPRRPPPPAPPSRRVARSSSPAPEASHTSSASTSPTPRPRPGPRTPSTAAAASPRFTTEEIVAAARLPRAYPPFAASVPTPMRGRWREKMAVVGYRDRVVRPVPKAEGTEYRAGKVRPPFSGPVTVPGVVVQPEGKGFQTDGHVVR >Et_4B_038273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27773103:27774956:-1 gene:Et_4B_038273 transcript:Et_4B_038273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCFYNHALITFPYFRSKKIFGLSISLILINLASILERADENLLPAVYKEVSAAFNAGPTDLGYLTFLMNFLKSIASPVAGVLALHYDRPAVLAIGTVFWAVSTGAVGVSHYFGQVAFWRAVNGFGLAIVIPALQSFIADSYKDGTRGAGFGLLALIGSVGGIGGSVLATIVAGSDYYGLPGWRLAFIAVAFVSLFIGFLVYFYVIDPRKISPSHIGSDEDQERSVLVSNGVLPPHSIWKDSWTAARSVLKVRTFQIIVLQGIVGSLPWAAVVFFTMWFELIGFDNSSSAALNSFFAIGCAIGSFLGGIIADRLRDNARLASIKEQELI >Et_9B_065112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21366659:21368337:1 gene:Et_9B_065112 transcript:Et_9B_065112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAMRWVKKVFTGKKDGDKDSSKELHSFAGSNGGPLEKRRWSFARPRNSVADASRRPSVTAVVAGELSQVRPCCCGQDREVDAAVMIQKAFRGYLARKALRALKSLVKLQALVRGYLVRKQTAMTLRRLQALMRLQASSHALKTASSRRSMEQERAIAREARLMKPLALPAVHRRRLSDGGDSAFERSPRIVEMDTCQLRCRSTRITSRYAADPPGSSPLLYYYNHHKPAARLLEREPPLPKTSHNTPRLGAFPGYLGSPAKGGRASPCRADAAGSSPRYMADTASSVARARCQSAPKQRTEPRRSLGRSGSRKQQASQQDSFSFKSSEATSRVADSEFSDEVTRDYYLDRLW >Et_3A_023107.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28140669:28141052:-1 gene:Et_3A_023107 transcript:Et_3A_023107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVILPLMAFALALTMFVAHQAGAEQDCYHEKDRVIRDCTDFLRKYGSTLHPSFLCKMAVEESDLACICRILAEHDEGTISAEKLVLLARLEGKVLEAGTKCGSKCRIQFHLKATLAQRAKLLMA >Et_3A_023295.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:18698512:18698850:-1 gene:Et_3A_023295 transcript:Et_3A_023295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHCSTAAAWAAEWWTVHTLLTSTGDNRSRAAWLCLQQGILVQATQTAQFAAAHSESRGRSNRGLVVDGEETGEQRRQKRFRRTGRTGGMRNLEGKKLKGFPKQQHQHGSPG >Et_4A_035541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28317644:28326146:1 gene:Et_4A_035541 transcript:Et_4A_035541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDADTGDRENADPPSGLAVDAPPPPPDTTEEKPDLNSNTPVSPQSPLPILGEKKEPGSNGSHSSSANTSGNGDESNSAAKKRNVFRPSVFDRQTGHGDRWRNDDMEPNSGSYQNRWKEKEKENSGMNKTERLTDDSRNHLDSHCRPQERWGNFTNKEGNYDQRHDNNWKFRWGPTGKGSENWRDKCTDSGKQNDPTHDSNTVKEIERDNNISQSWNSSSLTSCGTGGTYDHLSHAPQKSSHSFGYSRERQECENPNFNRRPGGASRDSMSYSRMKLLEIYRKTDVKNFVTPFPDTEEISSLWLEDSAEPLALTAPSTEEAAILKGIDRGDITDSEVCKDDGKEDQAGSIEDFKGDITENIKGPGNSSLTDPFQPYKSTDAAPQEFQSVGDHIHGLTAEFRQQNNVLDQGAQIDEMVGICDTVTPEQPHPENLSLYYKDPQGKTQGPFSGTDIIDWFEAGYFGIDLLVSVASAPPDAPFLLLGDVMPHLRAKAMPPPGFTTLKPSSVPETSDYLGISDYGSINKSNNTTEAENHFLESPMSSNIQNPIADTTCDTGAQKGTVRCSSHAKWFPQMVDPSSETLHSQNTDLLSVLLPAEKHQAPAANCGLQPWSGNFESGSVDLKGVLGVHHNVHNSHQIGIDVQQHYPITKNQPTLALLDSQITETEKLPSEISQDPKLSNIMQQQYMLSQPPLQPQKPVMPPLEPSLFSNMLPLRQQEQHLSQVLTHGRSAQQLHDPSDGPNHASVSSGNCMKLCLQRTQEILDLARKLPGHSMHEIQLPNHVNVQLGGTDVLGFSESRAPALPLPHEMIGHAPQRECAASLAQHRECFVNEVSQESIAESPFTKITSGKFSKLTSFEAKDFPDSRQDHANSDAVLSNISNQVCEMELSSTNLHPWKLAPGVRPKSLLKIQAEEQLRAQRELAMEHANLTIAATSVSSNPWSSTTKCSEQLFGDVTKSMGSQENVNISRTIRSQLHDLPTEKVLFKSNDMGPAIIDADNASFPWVPCVAQSGAHSRDDSDFIEVKNSKKKRNKEEKSKVSAAKSPTLCSFDPPVISVPVGKSGKQVQQVKKDLSLSNVCASNEYFSAEAMDFREWCENEWDKLTGTKDTSFLEFCIKQPASEAEMLLVENIGSRDHNRNFIDKFLSYKAFLSTDVIDMAFRDHISSKQHEDSPSPGNLGGMTAEIGWENGGHKHEEGGDDDHIVKEINQIIGSLLRALASTGTLLDVLRRGWRLIAGTDGGTGTGRRHGAPPDATRLGHDLGRLEHLGRRRRHSRRQRVVKRLLRHLLALHLRHSRARRTLFIGVIVFADIGAIIDVIVLATRLVFLLLVGTVGVIILIRIVVLLRVRTTNVVLLFKVALFRNGTITVTVLLHGTSYGIVVILIHGGNAITLIFLVTVEKLRDITYDIVIIRIRVAVFSARTIFHIRVAVFSARTIFLIRIALFRIRIALFCIRTPCVIIILILIRLIVIAPFHVVTVVLVILIIAALFLIRAALFITTAVFCA >Et_5A_042811.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4749693:4750643:-1 gene:Et_5A_042811 transcript:Et_5A_042811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAEARKLLHGRGGGGAAVARGVAAAGTFGFGLVDGLWQLISGFFAGIFAGILSALAGLAHLLVLPFEALWRLILAAVAGAAGFFPHLFAAVAGAAHQLVLPLEALWRWLTAAGAISGLWRLVAGFFPHLFAAVAGAAHDVAQHLEAFWRWLLAAGPGAISGLWGLVACAARDLAPKLEAFWRGLQAAAVAALPYVLAVAAVLLLAALVWFCWPALCVAGLVVCRALVWAACFLGYGLHLVGAQCLQCCAAVTMTAPGAAGFVIERAAFVANPALYFGILRAGGPVVASAVFCTKSVASAVAAPVAALFRVSVGA >Et_3A_026424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7891300:7897924:-1 gene:Et_3A_026424 transcript:Et_3A_026424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLDNPNDSRGSQRKNMRDPFDAPVDLISADLKAGNEFTRTNMGMSARDYGLQNGDAKPFATNPDTLVRHELKGSSQHKDLTVEDPITRFMDPETKELYFRSRSQEDEILLLRKQIADASLKELRLLSEKHILERKLTDMRMAVDERQEEAISGAMKQLSQRKSHLEENMKLANELKVEEEELYFFTSSLLNMLAEYNVRPPQINASTITTGTKRLYQQMHWKIRSLNATMRCLDRAMLNAVPFRFLRTQCFTADSLGDTTEPGNMYNTNHQQVTPLRNDPSPSYNMDRNRNTLNQYAQDPSDRNAEQMYPGPRFQQDAGTGATPSNYFEENAGTGDSQLYRHDNQDYLADGDPLPGIEGFQIVGEPKPGSTLTACGFPTNGTTLCNFQWVRYLEDGTRQSIEGATMYDYVVTADDVGTLLSVDCTPMDDNGRQFPSLFADPEMQNEIDSYISNGKADFEVFVLAYSPEEWELATLMLRRPGYQIKFKHTGEVVIDEKYSPNLQTKIPNGRNTQCVLVSSGGVNLPFNTHGITEQNDEDYDVRLRDLIVLVMRTFQSK >Et_2A_018400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26787530:26788429:-1 gene:Et_2A_018400 transcript:Et_2A_018400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNLAFGRFDESFSAASLKAYVAEFISTLVFVFAGVGSAIAYTKLTGGAPLDASGLIAVAVCHGFALFVAVAIGANISGGHVNPAVTFGLALGGQITILTGLFYWIAQLLGAIVGAVLVQYCTGVATPTHGLSGVGAFEGVVMEIIVTFGLVYTVYATAADPKKGSLGTIAPIAIGFIVGANILVAGPFSGGSMNPARSFGPAVASGDFTNIWIYWVGPLVGGGLAGLVYRYIYMCGDHAPVASSDF >Et_2A_015749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17576518:17581515:1 gene:Et_2A_015749 transcript:Et_2A_015749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHGGGHGGGDFRQKVWSMTGGPYCRPVHWRRNTAIAMFGVFLVCIPIAMKSAELEQRPHHPVRPIPSQLWCKNFGKDAIQIRTFK >Et_5B_045339.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:18867512:18867934:1 gene:Et_5B_045339 transcript:Et_5B_045339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRHEEPLNRSADDTTPISSSAQDPAGHVVDEDDDTATWMASFVEEEDAARWAAFRARFSSAAQRGQVLRYCSEDGARPLWAAASGSLTPDAVPPCAHCGGPMLYEMPQLLHYFCVESRDPDPLNWAGHRRRVHVRAVV >Et_1A_009342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:423687:429956:-1 gene:Et_1A_009342 transcript:Et_1A_009342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLEPASCHQPVAGDAAALVLSRLPHPDTTAFVDAVTGESLSFRALHRAALSLASGLRLGLGLRRGDAVLVLSPNSLLLPQVILGVLAAGGVAVVTAADATAAEISAAAHGSGAVIVVAAPEVAGKAASVGVPLLLTSRSPDPRTLSAEELIDGGDPTALASPEVDLERPRPSDVAFVAYSSASKTVAMTHADLIAAAADAGLSDDGRVCLASLPMCSVHGLPLLALGLPAAGVTTVLAPPPSDPRATRDAVAAHGATDFVASPEAVAVLAAAMPQDGKLSSLRRVIVAPAPLAAEARLEFRRRLPWVELTELHGTPEDETVPASEAPAQLGGPPVLTQTDAAAVIQNNSQTADQIAVTRHKQLSQKQRRRLQLVIGEGEHKNI >Et_7B_053914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13283769:13290998:1 gene:Et_7B_053914 transcript:Et_7B_053914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLTGLRSLEGFRSLAGSTSTAMKAANPKPSSDAGGSTYGSFANLKITAEKLVKEQASVKTDLEMAHTKLRRATEQINLLEGKLQQAVNENAKLKVKQTEDSKLWQGLDSKVSSTKTLCDQLTETLQQLACQTERGKQQMLQIKHEKEEMDQSYKERLCANDTTIKEKDSLIKQLESSVDESKARLICLDSRLQCMEQELKLKDDVCIRLKENLASAEGERNSLKLRNQGCSLEIAKLCKNNKDLNDLLSSFVAKVTELDKEHASMSSHVSRLLSSFEKFQGMVQEEKMLIARSSKEKIEELQNQYVKLMSENNGLKIEIEELKCRIIELQKTQEIVMVQHVEECQLAEDKIRRLESEAEISASNINRLEKLASELQGRIQKLLEDSTVAENHQHELLEKISKLESDNQELVGKVQSITDEKSNNAESLQGEIAKRDQQVDTLESQVNQLRGILDEKEQLYSCSLEREKTLEEQKLQIEASLAATECQLIEAKKQYDLMLEGKQIELSKHLKELSLKNDQAINDIRKKYELEKIEITNAEKQKAEKLIREMESRCNEKISENKKDSESYLMHLKEEHGAMVARIQQDNEHKESTLRAYHKEELQHIQSQAENELKERLSLLRKEHEHQIKSQRIQHEEECQRLQEELELQKSKEEKQRALLQLQWKVMGENQQVDQEVNSKKEYSVSSIKRRDPYGRKEHELQLVSPEVKRKDVNLSGILQSPISNILRKVEKGSQDIPKHRKVTHHEYEVETANGRITKRRKTRSTVMFGEPNTQKSLHSTIDKDVTKMRKVAAGSHAHPANIGELFSEGSLNPYADDPYAFD >Et_4B_038082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26090420:26090930:1 gene:Et_4B_038082 transcript:Et_4B_038082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAARSGLRSLAARAKPAAPARRRMSSAHDDAYETAKWEKITYVGIVSCTLFAGYNLSKGHPHFEEPPAYPYLHIRNKEFPWGMDCLPPSHFDMA >Et_3B_029319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2462060:2465008:1 gene:Et_3B_029319 transcript:Et_3B_029319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAASPEPATEPPTAESVLDILGAEVLAVMCPVSICMALVVLLISLLSPASPGDAAATPSPSPTDSPAQKLVGALLDAAVFVALVAAVTFVLVALYYYRCTGFLKNYMRFSAFFVIFSMGGAIVAAVLRRLDAPLDAPTAFLLLFNASALGVLSVFASAVPILVRQGYMVTLAVIVAAWLSRLPEWTTWIMLVALALYDLVAVLAPRGPLRMLVELASSRDDELPALIYESRPTVGPATASSYASVMGSVEMQTMAGQAGGSQYDRVEQEEDGSRAVVEMQNLGGSRSGIGENNRSRGSVHQMDNLEREVPVSVTGLSSNQAESSQHAVIQIEQHEEEETAPLVSAASTNNAASNEEPRESSSSSEPIDFEMFESTRGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIIAGLGCTLILLSICKHALPALPISIMLGVTFYFLTRLLMEPFVVGASTNLYRSSFWAVPRKKAGTAAYSSSGISSAPSRQIGGKKSQNMVPAMNDNKSRKVTGRGSGGHPFAFHRRAHQSSARQHAPWMRKKTTISPVGGRRRR >Et_5B_045503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3241771:3245280:1 gene:Et_5B_045503 transcript:Et_5B_045503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPACSLLDPRHDRLDRTEFGYTMETVVDAPAVVPEKEEVAENILGGKKVTVVFVLGGPGSGKGTQCANIVEHFGFTHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPAEVTIKLLQEAMIKSENDKFLIDGFPRNEENRAAFEDVTKVTPTFVLFFDCSEEEMERRLLGRNQGRVDDNIETIRKRFRVFVESSLAVIEYYNAKGKVKKIDAAKPISEVFEDVKAIFAPYAPKIKEQYPLTWTRTSSLKYEYMPVIVNPQKQKLLLIRVAIVPGSEGALLLEAGGLFLGGDH >Et_8A_058330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5019391:5021451:1 gene:Et_8A_058330 transcript:Et_8A_058330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSPQVLIVDCTATGRMYKTRLLSKFKFRATAVSSVEEALNFLDVENDVNLILSDYFMDGGMRGHDLLKKVKESSKLKHIPVVITCTEDDPDLINKCMEGGAKGYFLTPLKFEDLKRGHSGSMRDLDGSGKVTTTNHLVRRGGIDWKDGILLIGV >Et_1B_012080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28735817:28743510:-1 gene:Et_1B_012080 transcript:Et_1B_012080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGDAKDLCRVSDIHVSQVKTGKITGGQSEYRVTFDNQCSCMPWKLLNGNRSMKLKQVSDVHVSQVKTGKILSGQPEYRVTFDNQCSCPVANVAVRCNGLASTEPVDKKKIQVVQGHLCIINDAYPVVKGSPMSFTYATKTPQNFPVVYLQPIETKQRCSPEPLDWRLVTQRACARPKQRRLAATAAVADRHLAAVGVAGNFPAGSRVTVSNRGVAAAGDNTSRRAHRIGLSTTPPACAGATSPRRPGQRCQILGATRLGRRRGRQRAVRTRNGTRTEGAPRLIGDGGES >Et_1B_012731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34764983:34767688:-1 gene:Et_1B_012731 transcript:Et_1B_012731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYYSGSSPAYGAAATGGWSYDSLKNVRQISPAVQTHLKLVYLTLCVALASSALGAYLHVVWNIGGMLTMLGCIGSIAWLFSVPVYEERKRYGLLMAAALLEGASVGPLIKLAVDFDPSILVTGFVGTAIAFACFSCAAIVAKRREYLYLGGLLSSGLSILLWLQFAASIFGHSNSSFMFEVYFGLLIFLGYMVYDTQEIIERAHSGDMDYIKHALTLFTDFVAVLVRILVIMLKNASDKEEKKRKKRS >Et_3B_030157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3091983:3099188:-1 gene:Et_3B_030157 transcript:Et_3B_030157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPYILIFYAVLLAVICIHVPAAAIVVVPNSSCYTFDNESRLVDFTHLAGKGFEYNEKSLIPTDLFVEFCKDVQRRSQGGYIEFGRFVSSDSFLTGQEQVGYIQVNIICGHCSNKACKDEQGCICGISYDERMCRVVIELAIRCAKSGPRVFKGFTLGFHPRSSEIIYNGLTQLGFEKLHHGFSFQTEQIHVSLYLSAMSSLSSLVGKPTFKVNPVTGLGVTLTGSAVNGAVPTTLSPTVLNVDWRCEIARSSPYEVNILIPVEGYDPIEFTLSKECGYKQEKQSDPMKGWATFGIFCCIFVILSSLFCCGGFIYKSRMENLYGLDALPGMAILSAFLDAIGRPRGYLRADNPSGSHASQASWERAPDTAQAAQRTNDRTYGSI >Et_5A_042012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5200510:5203040:1 gene:Et_5A_042012 transcript:Et_5A_042012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKSKKGGKKKTVDPFAKKDWYDIKAPSVFSVRNVGKTLVSRTQGTKIASEGLKHRVFEISLADLQSDEDQAYRKIRLRAEDVQGKNVLTNFWGMSFTTDKLRSLVKKWQTLIEAHVDVKTTDGYMLRLFSIGFTKRRPNQVKRTCYAQSSQIRQIRRKMVEVMANQATTCDLKELVSKFIPEVIGKEIEKATSGIFPLQNVFIRKVKILKAPKFDLGKLMEVHGDYKEDVGVKLERPAEADEAMATQEVAVAE >Et_3A_026769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19849015:19851304:1 gene:Et_3A_026769 transcript:Et_3A_026769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPRLNLRMQKEIKLLLKDPPHGVSLNLSEDESALSSLSNIEARIEGPEGTVYSMGVFILKIQIPERYPFQPPNVTFVTPIYHPNIDNGGRICLDILNLPPKGAWQPSINIATVLTSIGLLLSEPNPDDGLMAEISREYKYNRQVFDTNARLWTEKYANPAAVGASGWGSVDVAVMAKNTEMEDTERIAPLPNASNKDHEGNQRKRPLLGRKLSLKSERSEKNARAENQDPVASHLLPTASSTYPTACFSDASGKQNSISENLSTSAASGVVSNKECEGHRNNLQVTGQRLSVTSVCEGKRKNLQLPVQSLSVTSVVPSKRSNANDMLPDHPPASVSNAKDRAMQSSNDVVENSLNKPLEGNRRNIRTLGLKLSLKSIKPEKKNDEQKENMVLNHMLSHSGFNNLHKRPLEDVSSKNLSEATTLTSQKSSTENQLPKSQLLSNDKCNQGRKKLCLLSKRLSLKAKLPETERTSDKESRLPAYPQGDDRKVANELPLTAPVLKTETVAQTELPLSAPVFKSQTTAVGSQNEGNPSNVSIKQSPAAIKNLVVSDSEDSADECERPPRSRLSLMRRRLALRK >Et_4B_036638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1082346:1085442:1 gene:Et_4B_036638 transcript:Et_4B_036638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAKFLRHATVAATLCAVALLAACAGGARASSAEGCRKHVAKITEYGAVGDGKRLNTAAFAKAVADLSKRADDGGAALVVPRGKWLTGPFNLTSHFTLFLDEGAEILASQDMEDWPLIAPLPSYGRGRDEPGPRYSNFISGSNLTDVIVTGRNGTINGQGQVWWDKYRAKKLKYTRGYLLELLYSDNILIYNVTFKDSPSWNLHPTYCTNVTISGVTILAPVHSANTDGIDPDSSSHVKIEDCYIVSGDDCIAVKSGWDQYGIEFNMPSQHIVIRRLTCISPTSAMIALGSEMSGGIRDVRAEDNTAINTESAVRVKSGVGRGGFVKDIFVRGLSLHTMKWVFWMTGNYGQHPDNSSNPSALPEVTGINYSDVFAENVTMAGRMEGIPDDPYTGICISNVTAKLAPHAKKVQWDCTNVKGVTSDVSPQPCPELGGEGKPCAFPEEELVIGPAELPKCSY >Et_1B_011607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23998427:24031824:1 gene:Et_1B_011607 transcript:Et_1B_011607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNLEVDHDSLLDTFKGHSQCVMINYYPPCRKSDKVLGLSPHTDRGGMTVLRVNDVEGLQIKNDGKWFPVLSMPGALVVNVGDTLEILTNGRYKSIEHRAVINPDKERITIAAFDMANHLCMIGPLKELLKSGEVRYKAIDAVEFTKGYVSAKLEGRSHGGPQEVIAKLLIGIVEFVKLPFEATKSLEGLALADMFCFQETTAPAKKIVAMAHARNTGSLPVGNVQELAETSNRSDDQVPERYMRAEVGTDEVIGRYDRTLEIPVIDLSKLCNPQSSYEERAKLGSACQQWGFFQLINHGVPDEVICNLREDISDFFKLPLEAKKAYSQLPNSVEGYGQVFVVSEKQKLDWADMFYLVLRPNESRDMRFWPAHPPSFRASIDRYSSETSKVVRCLLESMAMDMGVEPESLLEMFQGQPQGFRMNYYPPCRQANKVLGMSPHTDACGLTLLLQVNDVPGLQIRKDGKWFALEALEGAFIVNVGDVLEILSNGLYRSVEHRAVVHPSRERISAAVFHRPCQDALVGPLPERVRNDGGKARYISVGYMDFMKRYYSAKLDGRNHLESLRNELDTLDRYSLELANVSTQLLKFMANNLGVDQDALLGAFKGQPQSGQPQGLRMNYYPPCRQADKVLGLSPHTDAAGLTLQLQELAQTFIGSDGQIPERYIRVEEGAEEVIGGHDISSAIPIIDLNRLLDPQSSEEECAKLGSACKQWGFFQLINHGVPDDVIRNFRNDLTAFFKLPLLAKKVYSMLPGNLEGYGQHFVVSENQKLDWADMFYLMLRPTDSRDMRFWPSSPPSFRNSLDRYSSEAAKVVSCLLRFLAMDMGVEPESLQDIFRGQPQSLRMTYYPPCRQADKVVGLSPHTDGTGLTLLLQVNDVQGLQIRKDGRWIAVKVLVGSFIVNCGDILEIISNGRYKSIEHRAVVHPTKKRMSAAIFHQPCQDAMVGPLPELVKKDGEARYSSIGYMDFIKRFFAAKLDGRDHLESLKNYHQHKRKRETIIMAHAKAGGSLPVPNVQALAQTYIRSDDQIPERYITVEESAEENIGGLDISSAIPVIDLKRLLDPESSKEECAKLGSAFEEWGFFQLINHGVPDKVIRNFKNDITEFFKQPLEAKKVYSMVPDNLEGYGQHFVVSDNQKLDWADLFYLIVRPRDSRDVRFWPSNPPSFRSSLDTYSSETAKVVSCLLRFLAMDMGIEPESFQDIFKGQPQSMRMTYYPPCRQADKVMGLSPHTDGTGLTLLLQVNEVQGLQVRKDGKWVAVNAVDDAFIINCGDIFEILSNGRYKTVEHRVVVHPTKDRMSAAMFHSPCKDATVGPLPELVKKDGAAWSSLDSYSSETAKVVSCLLRFLAMDMGIEPESLQDIFKGQAQSMRITYYPPCRQADKVMGLSPHTDGTGLTLLLQVNEVQGQQVRKDGKWVAINAVDDTFIINCGDILEIPRNGRYKSIEHRVVVHPTKDRMSATTFHLPCQDATVGPLLELVKKDDVARYSSISYMDFVKGYCVAKLDGRDHLESLRRPACKERWCEGALAQTYNTSEEEVPERYIRDEEGAEVSDGPDTSSAIPIIDLNKLMDPRSSKDECAKLGSACEQWGFFQLVNHGLPDEVIHNFRNDMIEFFKQPLEAKKTYSMVPDNLQGYGQHFVVSEDQKLDWADMFSLVLRPCDSRDLRFWPSTPASFRDSIDRYSSEAAKVASCLLRFLAMDMGIQPEPLLDIFRGQPQSLRMTYYPPCKQADKVIGLSAHTDGTGLTLLLQVNDVHGLQIQKDGKWVAVNALDGALIVNCGDILEIVSNGKYRSVEHRAVVHPTRERLSAAVFHHPRHDGTVGPLSELVKDGGLRYSSMAYMDFRKRFFSAKLDGRGLIESLRS >Et_3A_024489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21287443:21288536:1 gene:Et_3A_024489 transcript:Et_3A_024489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMLYMNFLVAMGLAMTKRKVDAISSAISSGRERSQNWHEDQTKYMLEWHIDYLKKQHTGFKFRKPHHMLCADALNKKFVMGMTVGQVDRHYRIEQDACSISPSSSLMKCKSFFTRSSADGSFAADQNTCMGGSDGSDSDDSRDLINLNGYTQPKDPLGEDSNTLPTLTRHGNVDNNSSSTSRGNSKRPKRKKTPPTEKPQNQSQLAESTEEITATMKSLRETLATTAPLQMPQLIDPHATLWQKLETIPMASDQRVLVGEHLSSKENKGKRSWLCSVSAETLHVWVFKFLCEKEGINL >Et_10A_000956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19530115:19532289:-1 gene:Et_10A_000956 transcript:Et_10A_000956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSYYYGFLAAFFFIELCFIAFGWWFMARRHSAQSETWAAEEGYRVVTNHFRRFTYMELRKATKNFMNELGHGRYGSVYKGILHDKRIIAVKKLKDVTKGEDEFNTEVSVIGRIYHMNLVRVWGVCSERKHRLLVYEYVANGSLAMFLFGNNGLLQWDQRYKIAVGVAKGLAYLHHECLDWIIHCDVKPENILLDEDFEPKISDFGVAKLLQRDQTDPNMSKVRGTRGYAAPEWAFNIPINEKVDVYSFGVVLLELVMGFRASELGSNGGSDSEAALRQLIWTIKENLKCGDQSWIAGFVDRKLSSNLVHKQVSLMLEVAVMCMEIERSQRPSMNDILRSSLLISASLMLVCGSWQRNSRQIQGYFISRSFPYVHSQGIAVTTRNFKDEIGLRRHGFMYQGIIHDRPVIAIKKIKGMKGGEAF >Et_3B_029010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21339783:21352071:1 gene:Et_3B_029010 transcript:Et_3B_029010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGSGSGSGDEDAAGKAPAVEAPAEMTPPPPAPAPAPAAGPSSASGAGAGASGSGEKPVKRMMKTPYQLEVLESTYRAEQYPSEALRMELSAKIGLSDRQLQMWFCHRRLKDRKPPSKRQRRDEEAALSPVMAPPSLLPLPAVPLASSNLMMGTPAPYEEPLHPIHLRRGAGRSSAMARISMPDIGRRYYEPPPVLFPPTTASVQLTQSELRQIRSVESQLGEPLREDGPVLGNAFDPLPPGAFGAPIVPEQQKQPVRSYDAKIFSRHDPKLLKASAFLPTMEPPFVPTSFGGKRKSTVGNPTIVQSHAGSRAVHEYQFLPEQPGDTYERASRSHYYDTPVEVSNSRISPLTSGSQLLHGSDEAAPTFAYQGQTSGSGILPQSDRSQTAVPGNYEMAQSSSNLNSVPVEGHFGVSQVAGFENPLISSERRVYDDEETSRVDRKRKHNEEAKIAKEVEAHERRIRKELEKQDMLNRKREEQRRKEMERLDRERRKEEERLLRERQKEEERFQREQRREHERMEKFLLQQSRRAEKQRQKEEIRKQKEAARQKAANERATARRIAREYLELVEDERLELMELAAQGKGLPSMLHLDSNTLQQLDSFRGMLSQFPPQTVRLKVPFSIKPWSESEDNVAKLLMVWKFLITFTDILGLSPVTLDEFVQSLHDYDSRLLGELHIALLKSIIKDIEDVARTPSVALGVNPEGGHPQIVEGAYAWGFNIRSWQRHLNLLTWPEILRQFALSAGFGPQLKKRKVEEAYYRNDNEGHDGEDVISTLRSGSAAVNAAALMKERGYTHRRRSRHRLTPGTVKFAAFHVLSLEGSGGLTILEVAEKIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVKSPYRKDPADSEAVLSAAREKIRAFQNVLSDSEAEKEADDAERDEDSEADDADEDIDGDDVTTVIGGDKDPLLAVKAQDGVSSTATAGGIKTEANSVGDVLNSQSSFTKSAKAAPLSTACTSSDSPLGASSKNHEAIPGDSEDTQIDESDQVEPWLQALAEGDYCELSVEERLNALFALVGIATEGNSIRAVLEERLELANALKKQMWAEAQLDKRRFKEEFATRMQCNSYMSLKADINHENNAADGTPTPGFNADKENDGNVGALNNYEMLGQHSQGNDGSVSYERNGAGQEISATPDASAIQHAYADKTRSQLKSYIGHRAEQLYVYRSLPLGQDRRRNRYWQFTTSASSNDPGSGRIFFESIDGHWRVIDSEEAFDSLVSSLDTRGSRESQLHSMLQMIEATFKEAVKRSAAIEQSFGRNIKNGASDMIRANFCGEFGSPSSTSDVAKVYSDCFKIELGRNDFEKIAISKRADGFLKWMWRECYDQELTCAAKYGKKRCSVLIHSCKCCYQIYLAEEGHCSSCHKTFKSIHSFSEHTAQCEEKQRTDPNWKMQISDYSVPIGVILLKLQLAFIEASIPSEALQSFWTDACRKSWGVKLYSTKSVGEVFQLLTLLESAIRRDFLSSDFETTSECLKTNSQDTTSQNPVGLAGSATVLPWVPDTTGAVMLRMLDLDAAILYIQSQKMERDGGDFMKLQSRYTVFKNTQEPAPVERSGFDLYDGRWLPSSGRRGRGRGSRGGGRGGRGRSRGGRVPRGISSSSKIEFKDDTAAHEKMPRKNARGGRTRGRGRGRGRGRRTVRPRQPSESRGRSIPKANLLGSFSMLSSSKPATVESPRSSGADEWGLETRIPYIEGDENCSGSESDRSEDNEENGQPMDVEYELQVPDYSVGYSGVSRPHGLMPATDRETTDEEDEDAEGDGDGDDYVEEDDADNAADDIDAEMEEDDELDGVEMDADEDEGGTSYSSDYSE >Et_2B_019464.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5229221:5229430:1 gene:Et_2B_019464 transcript:Et_2B_019464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAKVRSTLEQRMAGFIMTPAAMSGAGTPRVPPRKASTASGLERERRWEILLAALFACAEVRPHLATR >Et_3A_026603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10240742:10244164:-1 gene:Et_3A_026603 transcript:Et_3A_026603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSLVGGGSKPAARFGFGFSWADEVEREEQQQQEEEGEGREAKKKQPTRADPFGAARPREVVLAEKGVDWRARDRELDAAATAARTRAAEAAASSRARTVPAPASFAATPARRAPREAGRAGRTPRPRRQAAAESAPRAEDAPLPVSRSAWGGGKRKCAGDVPAPATARRGRLVGDQGTRRVFGELNVGVGCGSTFRSAAKKICNSGGRNTEKGNEASEAAVADGGGSSGCSAAAATATEDESAVAPIMQDQ >Et_1A_005664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12497481:12497949:-1 gene:Et_1A_005664 transcript:Et_1A_005664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSLLCLIKSLAQKKILYSCGSEVPWPVLYASQGSVGCSTYEMVTTGHSIFISRLLSQFWTTWFLKRLSEIYAPVTLNPEVELA >Et_4B_038904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5811614:5817378:-1 gene:Et_4B_038904 transcript:Et_4B_038904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLSQPGHPSTVLIRHSVEYPPRAAAAMLLRPRPSLLQALAPLPFFRRATVPAAVPPREIVRTALFLPPGVEQDAAVTPEMVIPGSNIVVGPYAGDAKVKEAEYVKSSASTRDCPKDDRPEFAVLGRSNVGKSSLINSLTRRKEAALTSKKPGKTQTINHFLINKSWYLVDLPGYGFAAASQSARMDWSSFTKGYFLNRDTLVGVLLLIDASIPPTKIDLDCANWLGRNNIGFTFVFTKCDKVKKGKGGRPEDNIKVFQDTISGLYSEPPPWIMTSSVTGLGRDGLLLHMSQLRNFWDNEAV >Et_3B_030085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30315664:30319489:-1 gene:Et_3B_030085 transcript:Et_3B_030085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYDLRFADPSSYHDRRSDLAVAPMLPVPVAATNSYSAPYQPAAPTGGDYSRFGQGGRGRGGGGWSGRGGGGYGGGGYGGGRGGRGRDGLDTLALPKPDFHSLIPFEKNFYVESPSVQAMSETEVSQYRRLKDITVEGHDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDLIGIAQTGSGKTLSYLLPGLVHVGAQPPLGPGDGPVVLILAPTRELAVQIQEESTKFGSYSRTRSTCVYGGAPKGPQIRDLRRGVEIIIATPGRLIDMLEAGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIIAQIRPDRQTLYWSATWPREVEALARQFLQNPYKVIIGSPDLKANHSIQQIVEVISDHEKYPRLSRLLSDLMDGSRILIFFQTKKDCDKITRQLRMDGWPALSIHGDKAQSERDYVLAEFKSGKSPIMAATDVAARGLDVKDIKCVINYDFPTTIEDYIHRIGRTGRAGATGTAFTFFTHANAKFSRNLVKILREAGQVVNPALESMSKSANSMGGQGAVVVGLVTGDRSLDQIPFNLGEEEGHIDDTAEAENNGTI >Et_1B_013629.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10037661:10038884:-1 gene:Et_1B_013629 transcript:Et_1B_013629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVKPEEEAASEAATEQACYSCASENKKHERDMAVGAEEDVGEDDNRELIPGLPDDVAMECLARVPSRSHRRMRRVCRGWRGAVVSAEFRRRRRTAGAAEDVVFLVQAAPAGGSGGDGKCSAPACALVAANLTTGEWRRVEAVPLFAQCASAGDGRHVAVVGGWDPDTLRPTRDVRVLDVPAGAWRRGRPMPGDARSFFGCAGGGNGEVYVAGGHDGSKNALRSALAYGVAADAWRALPDMAEERDEPQLVAVPGGRGGAVLLAASGYPTEAQGAFKNTAECYGGGDAWTTEGDVDVVPAGTCLASVRGNVWAVGPGKGGVRERVDGAWRDVADGPPGMKACVKAVGVGEGDGAAVFVFGTVADAAAEGGRHAAWVMDDAATAAWRRVPVPPGFDGFVYSAAAVRV >Et_4A_032373.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:32476654:32477100:-1 gene:Et_4A_032373 transcript:Et_4A_032373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLHSDRRGARSWKHSWTVRALSSCSLPPPQLLAFFAIVVFFLAVSGYVDYKTIERRAEIGARVFAVPLILMAVFLLFAALSWRRRYWTTRVRRATTPAPAAAASASTAAPWGVALVVALLLVMVSFQESVHSMWFRPLWDSDYNS >Et_7B_055132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7619631:7621702:1 gene:Et_7B_055132 transcript:Et_7B_055132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVHSIMDPNMKQLQEALVDIETDAEQVLLARHQLVENDKVRNANREALTALRKKARTTKTSVPSPFEVIMKEMEGSSGKLLIKEICPTCGDHDPKEHTWLMLPGSDIFARVPFHVAHTVLEKDQERLDFDTKKLQSFVKERSLVIAEKGAVAGSVGLDTVKSFVSLTDKPKSARGAGEMGQHPEVRYQLG >Et_9A_062850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5851495:5852591:-1 gene:Et_9A_062850 transcript:Et_9A_062850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDKAATAAGGEVQEQKAAGGNRIQVSNSKKPLFFYVNLAKRYMQQHGDVELSALGLAISTVVTIAEILKNSGLAIEKKIRTSTVEILDVTSGRSIQKAKIEIVLGKTDNFDELMAAAAGEAAVGDGEEQS >Et_2A_018723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5930696:5937561:1 gene:Et_2A_018723 transcript:Et_2A_018723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSEPKEVKLYGAWGSAHAAMARNALALKGVAYEYVEEDLEHKSEALLRLNPVHEKVPVLVVDGRPIAESLVIIEYVDEAWPGRGPPLLPRDPRARAAARFWARYFRDRVSPLSHAVLFTEGGEAERAALTIGEMLGHEGAAGLGLTSRN >Et_1B_011917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27136603:27139032:-1 gene:Et_1B_011917 transcript:Et_1B_011917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHHRVLLLALLCATSALAASAQKYNAIFNFGDSITDTGNLCVNGKPSMITFTQPPYGQTYFGTPTCRCSDGRVVVDFLSDKFGLPFLQPSKASNGTDFKQGANMAITGATAMDADFFRALGLSDKIWNNGPISFQFQWFQQISTSVCGQDCKSYLAKSLFVFGEFGGNDYNAMIFGGYSTDQSRRYTPKIVNTISRGVDKLVAMGAMDIVVPGVLPIGCFPIYLTVYQSNNTADYDDLGCLKKFNDLSTYHNDLLQKRVAVLQARYRKRGVRVMYADFYNGVYDMVRNPQRYGFSSVFETCCGSGGGKYNYQNSARCGMSGAAACASPASHLSWDGIHLTEAAYKQITDGWLNGPYCSPPILHS >Et_3A_024062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16944745:16946008:-1 gene:Et_3A_024062 transcript:Et_3A_024062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAAATRPKLRRGLWSPEEDEKLYNHIIRYGVGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGSFSQQEEDLIISLHKILGNRWSQIASQLPGRTDNEIKNFWNSCIKKKLRQRGIDPSTHKPLNEDPNSDECNKRQLPVDEHCLAIAAGSDLLAAPHSPAVSFDPLSVTTVPAAAMQQGSSYGAAAHSFRSDNLCDYGGVDVVSDAGTYSAYTGGGDSSSNNSNSTWTCGNVGGGEAMPHMDIFGRDVEPFAFDPAKFSPWNNHQHHHHDAGAASFPIRSMSRDLPESCFDLARGALEDEFSVDFL >Et_6B_048977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16186835:16201740:-1 gene:Et_6B_048977 transcript:Et_6B_048977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVHSSKLVKPAYGGSGVGAPPSPSTQQHESVPLTVFDKMTFDQCISSAMNFFHPPAPSTAILEAGLAKALAACRVWAGRLGVDSDGRHAIILNDAGARFVAATADVALAGVMPIEPAPETLSLHPRRDAVDEDELLLVQVTRFACGAYFVGYTLHHLVADGHAMATSMVAFDQATLGVAIDPVPGSCRLPTRRGEDGAQSGLRACWGSFAFRDIDFGSGAPFFHMRGYVAEEGLVFLMPSLSGDGSIYAYVNLFRRDVEVFKDCCYSLLAAADARLYALQGVMAHLSRAARRLDGRVATVARVAVDGCTRLRHPPVAHEYIGNVVLWARPAATAKDLVDRPLTQRLPRGGAHGRPLLPVVLVDFASSGVVESERLAPTADAAKIVLSPDVEVYSLVGFAFRDIDFGSGAPFFHMRGYVTEEGLVFLVPSLSADGSVYAYVNLFRRDMDATRGAAVDPVPVTESERRLSVSCSSTAVPKREQRSRRRFHRVELVAEVDGVAAGRTASCREWVAHLWRCITAARRLDGSVSTVARVAVDGRTSLRHLPLAVPREGTLAHVRCSRPRTTTAGRTPGPTPASKRTAGPCQNPGRRAGVPILAMTTAPRS >Et_6B_049466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5142467:5144548:-1 gene:Et_6B_049466 transcript:Et_6B_049466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGPDASSDWVFPLPAMHRRPCVRAMYLHAGLQGDRQFPKRALGPLVIDCHSAFTDRWGHEHGLRQFSPDVDVGALGDQVVGAKQDIPRHVMEQLSATAAAAAAAAVVRRRQERRRHHEVRREPHLRRGLERRRPDVVGLGGGRAAEAVDEAREVEARVAAAQAQRGDVVRHGGHLRRLEAAEPDARLLAGLPDLRHPLAPLALPHAPVLPGHLPGRHAGDIVKHHLHCCNTHRPNLLVQY >Et_7B_054753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4064810:4066663:1 gene:Et_7B_054753 transcript:Et_7B_054753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMGGGEEGKKNVKMAQLVGVETLLSSGGQAVPLSSIEGKTTCLFFSAHWCRPCRNFTPKLLEIYTALRNMGKNIEIIFISLDRDESSFLDHFKGMPWLALPFDTGLTRKLCAYLDVEHIPALIPLSATPSGGFGFDENAVKLVEEYGVDAYPFSAKRRRELEAMDEARIQGGKLQELLGCKERDYVTSAGGIKVPIAGLIGKTIGLYFGAHWCPPCRVFTKQLREVYKELTILSPGSFEVIFISIDRNKEEFQASLNAMPWLAIPYPGTTRQELTRMFSIKGIPALLILGPDGKAYICDGCQQQGRYWVFSCKQCDFDLHPSCVAENTLGSVWMQATFHHTTDATAAVLVAPKIDATFSTPAAGQT >Et_8A_056293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19962132:19963330:1 gene:Et_8A_056293 transcript:Et_8A_056293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELTRVRRQQGVFVSESVGESGAAAFELEYARWVEEQGRHAVDLRAALHAESPDAHHHQHQHLRALVDAALAHYDALFDAKSAAARRDAFHVVSGAWRAPAERFFLWIGGFRPSELLATLAPQLGPLDEAQAAAVAALRRTARQLEDALSQGLDRLHQTLTEALVAVDVDGGGYAVRQEQMAGAVGKLAGLASFVEQADHLPQQTLRNMHKILTPQQAARGLLALADYCQRLRALSSLWAARPREPA >Et_4B_038706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4164029:4179205:1 gene:Et_4B_038706 transcript:Et_4B_038706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPNTRNKKKRPRADETESPSAAVFKKIHATRNVTKSDIRQLYMVWKPLCHGCHGNSKDSPNCFCGLIPAANGVRKNGLWQKTQEIIRDLGPDLSKDIRDPAEAPAGLTNLGATCYANSILQCLYMNTSFRSGIFSLEQDILKNHPVLDQLARLFAQLHSSKMAFIDSAPFIKALELDNGFQQDSHEFLTLFLSLLERSLSHSKVPGARTIVQHLFRGSVSHITRCSSCGKDSTASSKMEDFYELELNIKGLNNLEESLDDYFSKEALDGDNQYFCESCQKRVDATRCIKLHSLPPITTTKKKISSTFSFPGQLDMGKRLSNPLSGYTYDLATILIHKGTTANSAHYVAHIKDESNGQWWEFDDETDESATESNNKLEFKDSLPRHFLDEINEQNSSYVKACEDYQSKKANQLGCISERRQEVKSVLIEAPVNPEDDSYFWISTDWLRQWADNITPPSFIDNNQVQCEHGKVPASKVTLMKRLSAVAWLKLYTKYGGGPTLSSDDFCVECLKDGAKNVVSADVYRDRKASFKNLAEAMLAGTSPDGPSYFISRTWLTQWLRKRKGDVPSDADNGPTSALICCHGNLLPEHAPGAKRVSVPESLWLFIYETVSTRNGDEVVTFPSDSQPCETCNEELSEVASHEGNLRAMKLNQQQNHEKLISGKSYTLHPGKKYYLVPSSWLSDWRAYITATGKNISSFPEPQNLEVIVSSLICEKHSRLLQRPLDLTCKHGSITQKISNTDGLIMILESDWKLFSEEWSATPGKGLSAEIVFMKSSQDKLRGSSEAMPITIEDLEQSHDDANDNLGAREPYVKTYPEVCEECIGETESCALVEKLNYQNEDIHVYFVRGKEAPKSLLEASKAAAVFDRRTSKRSRRTSSGNSISLKVSGSTTVYQLKLMIWESLGIVKENQKLHKGSVEIKDEFATLSDKSIFPGDVLWVRDSEIYEDRDIADEISEQKADVQQTEEGFRGTLLTSNR >Et_2B_019200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17110516:17110986:-1 gene:Et_2B_019200 transcript:Et_2B_019200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEGWIKINVDGSFVEQSGAAGVGVIAVLFRCADAVETEVMASVEGLRLAADWAGGPVVLEGDCSKAKQLMRLLPDVKICLVSREKNKGAHELAHLARRNVHIYCCMVGASTCLCFGAPKL >Et_3A_023304.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:19744242:19744979:-1 gene:Et_3A_023304 transcript:Et_3A_023304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRDPTQPPPAKSAALSNLTTIDSLGEDLLLDIFLRLPSLATLIRAALSCPAWRRAVASSPSFRRRFRELHPEPLLGIVARPLRDALPTFTPAQRRDRDVLAVIRGGDFALTCLLDPDGDACDVPLRWDIWDCRDGYFVLANWDAGLLAVVNPLDRSCTQYIAMPFDTSAPPAPAAGPDECIASRDIHLLRWGEDPMEFRLIWLIYEKSRVQATIYTSDTGDWNFLPWVDVAERAPPHDADRG >Et_3B_030683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6317129:6320948:1 gene:Et_3B_030683 transcript:Et_3B_030683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSSADRLEALSLEIERKLQKVPSVPSPRARGYPIRKGGAFAVSVSSLVHLGKAVMWSPALSSNSQRPQILQQLFADISLKVDDRARDAIISENDDGIAPVNDREDGWLCFYEILANHFVRVPERGRRILELIVQLWSQSFAANIFALLFHKWLFEALFDGKEISLRYSSALVQGATNYLLEDVALVPDQLSKISSQTGRDLFLLLSRFMFFYDQEHLLSSFLEHFPAFPNSFLVGGPADYFVIELTDQLQKLKIEPVLLHYLSRMTILQGWELRMSTSTRLKACLYSFTSPGGPTYPTRAVRHAAWNTLDFLFPIGRYPRHVISLFFRLLYPWYWPSSCWNFVMTCVMTVYYYILNLLVSISENLRRTNHRRTHSE >Et_2B_019360.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25317269:25317625:-1 gene:Et_2B_019360 transcript:Et_2B_019360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FVIRDNDGDVFCAGRGKINHALEPFRSEIVACFNSVKATMEMGMGIVVLETDAVVVKQALGSSSHDAGPYGVLIRELERLLQFNFLNSSVVSIPRECNTAAHSLAALGSTCIQELVDS >Et_6A_046416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14690098:14690698:-1 gene:Et_6A_046416 transcript:Et_6A_046416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAIGDVRSFLDHDDSGEVNDEIDDKLVISKDLKGSESLTEQYCRSEAGFVQETKAEEEQVVVIQEEVLILSEIDDVVGDVNVEKRLECDAVSVEIKKCDPVQVQAMELEAKKWESAQEIEMMRAGTSNGSQGHVESIGIRKSRSSIAQRIKLWETKASDYFKTVIEDKEDISVKFSLASDSIKDAVLRWRPLSDD >Et_9B_064216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12714580:12714996:1 gene:Et_9B_064216 transcript:Et_9B_064216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRELNPRMVDSQSTALIHLATSTPYPAKGFSIFSTHHYSIYSDLHTSIEIVDIGCHSLKKKKTRHLLTKIKKVNMKEEKETIVTWSRASSILPAMVGHTIAIHNRNKHLPIYITDRILVFS >Et_9A_061522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13073190:13078667:1 gene:Et_9A_061522 transcript:Et_9A_061522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQMAATVEEQMMVKAIREESVWEELPKRIQAAVVTKEDWHRRIMDYCISKRLPWNSCFARSVCKEGEYYEDLMRYLRKNLALYPYHLADHICRVMRISPFKYYCDVLFEAMKNEQPYDSIPNFSAADALRITGVGRNEFIDIMNKCRSKIMWKLNKSIAKELLPAQPADLAIEPWWGVRFVNFTLEEFKKLSEEATSAIDKICKEEVNSYVLFDPEVICGLYRKGLVYFDVPVYPEDRFRVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSENATVAELAATLQADLFQLQAAASFACRLGWAVKLLDTDSVLRYSSSSALPSNLLSDDDDGSRTSITSEKSGHELLINDSDGHRKISGASYVGFIVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIADLCKDLESLEGKKFEGVLQEFANHAFSLRCFLECLLSGGTSPNETNGKTSETENQECSFHDAPDTPSTNETIRDGGRVSQQEHPTGDSDAEGSSSIVSEKMESILEQDFDNVQTTELGGSTGNSPSSESRRKYRVNILRCESLASLAPSTLERLLLRDYDIMVSMIPFPSSSVLPSSAGLVHFGPPSYSSMTPWMKLALYTSGSCGPISAVFMKGQRLRLLPEPLARCEKALIWSWDQCVVGGLGGNFEGNLVKGSLLLHYLNSVTKYSAVIVQPLSMEDLDETGNIITMDVPLPLKNADGSIASTIAGSNLPEEQVKNLILLLEDLSSKVELSTVGYLRLVRLHRVSESSDLPEDECYAWIPLSLESGIPLFNPELCGRICERVVESHILQKDDITEHYETMPNVKKQLRELCTEYQATGPTARLFNQRGGSKNTSPRKLFNIASGRWNPFHDPSMHTNGGSPHEHERAKPPKKQRCFTEVLSFDGNILRSYALTPVYEAATRSVSEDQPSPVAKPDHEDANSKDVALPGVNMIFDGTELHPFDIVACLQARQPLSLISEASAGSLATK >Et_5B_044542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3315554:3322464:1 gene:Et_5B_044542 transcript:Et_5B_044542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIRKYPMSNQPPDIPQILLEAQNRWLRPTEICQILSNYKKFSIAPEPPNRPPSGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENSNFQRRTYWLLEEGFMNIVLVHYLEVKGGKENFSRAKEAEEIAGLSNADSPACSNSFASQSQVASQTMDAESPISGQISEYEDAETGYLGHMQPSTANLNNHFVSRKDISSVFNGSGAGLRGISNTPLSSLDSVQFGEPFPEYGSGFMEPTLYSSVATMESNNLDDSSHLQTFESEALYTSNLTQKEADALSAAGISSSQAENDSYTDRSVRYPLLKQSSLDLFKMEPDGLKKFDSFSRWMSSELAEVVDLDIKSSSDAFWSTTETVNAADGSSVPINEQLEAFVVSPSLSQDQLFSIIDVSPSWAYAGSKSKVLITGTFLANKEDVEKCRWSCMFGDVEVPAEVLVDGSLRSYTPVHHAGRVPFYVTCSNRVACSEVREFEFRDSETQYMEDSDQHTTGVNEMHLHIRLEKLLSLGPDDYEKYVLSSGNKSELIDTINSLMLDDRFSNLALPSDEKFSTVRDQNLEKLVKEKLYYWLIHKVHDDGKGPNVLGKEGQGVIHLVAALGYDWAIRPIVAAGVNVNFRDIRGWTALHWAASCGRESTVSALIANGAASGALTDPTQQFPSGRTAADLASENGHKGIAGFLAESALTSHLSALSLKESQAGNVEEICGSAAAADFAESSSDQLACVDSEAEPLKDSLSAVRKSTQAAARIFQAFRVESFHRKKVIEYGDDDCGLSDERTLSLVSLKNARPGQGDVPLHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHQVRKSFRKIVWSVGIVEKVILRWRRKRRGLRGFQAEKQLEGPSQIQPAGVDQPARVEDEYDFLKDGRKQAERRLQIALDRVHSMTRYPEATEQYHRLRTRVNELQESQMQDRMLSDSAGADGSDFMAELEEICRDDGDTPMSTIS >Et_1B_014171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33913765:33928399:-1 gene:Et_1B_014171 transcript:Et_1B_014171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRGGGGGGNSNRTDLLAAGRKKLQQFRKKKEKRGPGKKAKADAEAEEGPAKAEEAEPKSPVGLKLLAGEGGSSGGTPFEAHLSTQEAERSQAEQCNGKGPGTVESSPVENADVVHVQETGDGCNAHNLGASEQGTSELESPGPADGEDPAIQATSGEVSSDAVEEAPLDAVNVSEELPDFILKENMKLQTPYQGDKPDDDSNQPEECPQPQVEMDPVDRETCSDSKEVTEAPIPSQGISIDNGNEEEESEAAVMNVSVSPSDDSVHHEVAHTIDTGINSETAHEELTVAAAYEIPVSTAIKETSNETDRVGNETVIENPSAANILEEAVTADDLSGSSVLQSIMLEGLEDIRRHLYVITLSRDFLQLQLDESACLYSEFTQRSSDETTKLQILLKETEESKLAVSEELHQCKHELSEVNTAKGELKLIISSLEEEISASNVKCAHLEIQLHSSEENTRKIQSELTDSRLLLEALQKENLELSANLALEKEAKKEVEEHLDHLSSDNKKLLSKLSDLELSLASVKEEMDAGSSRCKVLECDLRSNNENMEHMLTELTNCRALLETLQKENSELSVSFASEKEANKKLEEDNVDLRNEKDRLSSDLSELNDKLHLSYAEHEQLESHVKDRETHLEQLTEQLIEESLFRSSSTDIYRSVIEDMDAKYNLVLGQFQNVMHQENKLHLDSPEVTTENAERAITNPGVIDHSLKGHLLMAKGDLHDLDKLLERISSRSDGRVLVSKLIKSFESKGTEDDTGTSEGEHDDLQKLTREMIHRLGKTLRAMSLDVTKAEEYMAELCNRIDLSIKSAVQDDSDRQHIVLLEAKISELTGKLTIYKDTIDNLHNELDIMQQDANSNAERLIDQAELLQKDTAEKIGLLEKDKMSLSDLLIEITDKLSSLRGVVLPDDFSESEDLSFRALNCVDLVATSYQRLQENLEAAHVDNAQLSSSLLELKKASSAAQERSEQAYETVEKLYNSLHELLRHSLDSDEFVAGYNTEEPIENQYGRLIEHLKNWLHDHQNVLSTNADLESRLLSKCEEVEELNLRCSSLTDNLNDICVLNEELKSASLSTNVAQDELHSRCLAIAEKLFSHSVNHSSMMPALMSDGDAEGFSKGHHILTTLLPCIEEGVTFCIEKFENTIEEIRLSKSCLQEIIIFDRISVDKRSLPLPTLIKEEIVPKLCDLHHRIEQLNVLNIELETEVSVLRDGLKKLDEALGTSRSKLEKKHSELEQLDQKLSSAKEKLSIAVAKGKGLIVQRDNLKQSLLEKAAELEKLTQELHSKDELLKELESKLKSYTEADRIEALESELSYIRNSATALRDSFLLKDSVLQRIEEVLEDLELPEQFHSRDLVEKIELLSKMAVGSSFIQPDEDKRFSVDGHSKAGVAADGINYEQNSNSNNLSDEAKNKYDELHRRFYELAEHNNMLEQSLVERNSLIQKWEEVLGQISIPPQFRMLEAEDKISWLGNRLLEVEQERDSLQLKIEHLEDSSEMLITDLEESHKRISELSAEVVAIKAEKDFFSESLEKLRFEFLGLSEKAVQDEFVRDNLRKDLSELHEKLAEKTEESKHYHDVDIEIQRLLNLVQGALQDGSNSDSPSGATSDVLCLVELLRKLLDDYGTLLSKSIVDAVAEREIHLEETKSSNNTSTSETGTDNKMELNTLSNELNHARDSLAVVEQQRNEALEKVQSLMLEIETLHAEINNLQESGVEQTQRYQSLLLEIESAAKQRDDLQEQLNREEQKCASLREKLSVAVRKGKGLVQHRDSLKQTMEEMNVVIEKLKDERKQHIASLEAEKSSLTARLAENEKILHDTNQHLSGLLNALNEVDVAREFDMDPIIKIKQIAKFCLDLQATVVSSQNEVKKSKRATELLLAELNEAHERDDNLQEELIKAEAALSESSKQYNLMESARDDAVRQLEHIMYVQSQTRQKQVDHLTELNSRSSQLREACFELSHCLVSAFSKDVDLICHMESFMKSSSKWMDGGNMVDIPIASKHVLSNSINRKKAHIPNAPLEIKMVDTDERQILHYLAVACRALSDCIKDCNDIKRSIDEHGFSVEQKATELFDVMTNLQNRFTSQHNEVESLREKLSDLQSEMKERDEEIVSMHRNMSLLYEACTSSVAELEGMSDIYPGDRSYGVEHSAEECIKSIVDQLVVSVKTSQNSNDGSTKELKAIVLELQQELQAKDVQISTISSELSSQIREAESYAKQLSIELEDARMEVRDLKKQGDELHAQKKALETQVNDLKDMESVASEQHGRIKELTDELSRKDQEIEGLMQALDEEEKELEVLENKTNQLEQLLQEKEFALKSLEVSRTKALAKLATTVDKFDELHSLSESLLAEVENLQTQLQERDSEISFLRQEVTRSTNGLLTTEESNKKYSSQINDFIKWLETTLLQFGVHCESIDDCDCTQVPVYMDMLDKRIGSLIAESDDLRVIAQNKDSLLQVERTKMEELLRKSEALEASLRQKDSQIGLLRRDRTSSQPSRSVYLPGTSEIEQMNDKVSPAAAVTQIRGARKVNNDQVAIDVEMEKDKPLDEDDDKAQSEPLDLDHALMDGIDTCAPAPYVPSIPLLALAFPSCMEALAAGSAVLSPPAIAGEASPSPTLRRVTAVFPRPRKNYYSLVISTRRPRAPPGCGGRLLAGRGENGSPNPEDDAGDQAEDLALFENNVNLCESHDEGTSKREDICFPGTGGSRAGLFRTPVSGGVHSATAVHDLPPPALAVRNLMEQEWAHQQFVSKHQQWASQQWGNFYYYRMHTISDIYFIGGFGTVAWIDVKEYEALQPDKIAIDGGEHNLKELNAVFSKPLKELLSTEGEVDDVALISMDSKGVDIRVRQGAQFNVQRIAFEVDRTVETLDEAKEALRRIISKSRWHTKSSSAGRP >Et_2B_020646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22299123:22301083:-1 gene:Et_2B_020646 transcript:Et_2B_020646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINFYYECIYIKMQSFLRISRYILEFFSFAYRFIASRVRPFYIHLSYFLVISFIGSALLVMLKPSNPNYSPRYIDMLFLSTSALTVSGLSTIQMEDLSSSHIVVLTLLMFAGSEIFVSFLGLMLRSPTQTSKPVSSAAGNKISSVPVEVELEAVEASADITSSDAELHADEAALAIPSLSSDALCENSRMSRYLGFIVLGYLAITHVLGFLLVFLYITHVPSARAPLTKKGINVALFSISVTVSSCANGGLVPTNENMAIFVKNSGLLLMFAGQILAGNLLFPLFLRLLVWFLWRVTKLRSLELMVRNPEALRFTHLHPKLPTAFLVSTAVGLVAAAVALFCAVDWDSSVFDGLSNYQKFVNAFFMVVNARHSGENSIDAGLISPAILVLFIVMMYLPSSATFAPPTGDDDKAEDEKVVPQRGSLVENVAFSQLVCNAVFVIVICITERRRLRNDPLNFSMLKIIFEVISAYGNVGLSTGYSCSKLQDLHPESICNDQPYSFVGFWSDEGKLILAFVMLYGRLKGFSTGTGKYWKIA >Et_4A_033835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27257254:27262642:1 gene:Et_4A_033835 transcript:Et_4A_033835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNLRIKTANRAPLLDKPETSRALSDLEEGSNVQAANVGFCRVIKLAKHDAGKLVLATIALLIASLSNILVFAQLFGHGSSTLLVREWLLDLGRTYSEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNITTTAIGLGFMFSTSWKLTLLALVIVPVISVAVRSFGRFLRELSHQTQAAAALASSIAEESFGAIRTVRSFAQEPHEISRYGEKVEETLKLGLKQAKVVGMFSGGLNAASTLSVIIVVIYGANLTINGYMTTGSLTSFILYSLTVGSSVSALSGLYTTVMKASGASRRVFQLLDRVSSMANSGDKCPMNENDGEVELDDVWFAYPSRPSHMILKVSIVSQEPVLFNCSIEENIAYGLEGKASFADVENVAKMANAHNFISSFPDQYKTVVGERGIRLSGGQKQRIAIARALLMNPRVLLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVKSADTVAVVSDGQIVERGTHDELLSRDGIYTALVKRQLQGPKFEATGSIGGTAEIEVVEPSSNGQ >Et_8A_058074.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17740155:17740427:-1 gene:Et_8A_058074 transcript:Et_8A_058074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDRLQIQCDVKVITGTPVLLQSTNTSCEIQVPPSDLLQDIQKFLDEEKKADVTFKVKDEVFHAHKFVLAMRTPFFDAELNNNGPRGGT >Et_5B_043035.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:16045743:16046099:1 gene:Et_5B_043035 transcript:Et_5B_043035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRPPHPVPGARQAAGAARPRRDLCVDAEERRPAPAGAGDEPVRLMSLPCRCRRRSGCRRALSRRPTCRRRRSSSGRPPSTASARPSPTSSPPPAPATVFMGCR >Et_4B_038629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3557674:3562278:1 gene:Et_4B_038629 transcript:Et_4B_038629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFTSLGAVLKGVPKPYCKLFILISNMSIEEGKLSMINKSTALNPNAEAFVPSSLRSFNDASKRSDAIAAVVSGPSKETSSSESITRSNSDEEAHLYWQQQLPDDITPDFKIDEIPEPESLSLTGLSINDDIGASIFSPNQTLNMQHRASPFIRDKLSARPTIELPGPLYVNERPQSTIISPSAGSMSPTASPWIKTGRNGGQYTANRRDVGHYNGDSSIGASLHNLTDVYHGNRRSLNSTMDIMNHLENKVDGRLGQNLRSLSFGHSNPPSPAPYAQNGLMNYSKEASGLPNGPFRSHSAILTDDILSPSPGREHVFLDSPRGRYKAASLPVSGLGSLRGSQLLGGSYNGHDGISNIAGIQIGPTWLESDAAASTYLDSKDEAHDFASLRQAFLEQDRPAFLTGGNPLTKELTLKELYNVQSRLAQEKARETTYHQRFQMPELQGLIQEHNPPIDLCGLHVSEAIHVLNYELNNRRKIARSTGRRLQVIIISSTRNPARLTVAVEQYLMEHGLQYIQAQPGIFRVLLQ >Et_5B_044324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22638168:22642373:-1 gene:Et_5B_044324 transcript:Et_5B_044324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMMASMSSLVAPAGRLPSQTALPPRRRALQVVRAQSPKPPADPTEEDMTVVNTTPSSSQSSPATNNKPGLLDALAFSGPGPERINGRLAMVGFVTALAVEASRGVGLLSQAGDGAGLTWFAYTAVVLSAASLAPLLQGESVEGRSGGFWNADAELWNGRLAMVGLVALAATDSLAFASGVRAGRVAPVYGLAPRRRALVVRAQTEPDVEPTEETSTATSAPSSPLPSTPTPKPKAKPAKPGLWDALAFSGPAPERINGRLAMVGFVSALAVEASSGGGLLTQAGSGSGLAWFAVSAAVLSAASLAPLLQGESAEARSKGFWSADAELWNGRFAMLGLVALAVTEYITGAPFVNV >Et_2B_019005.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22850401:22851327:-1 gene:Et_2B_019005 transcript:Et_2B_019005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDHMSDSSGAPLLVWDCGSALYDSYELTAFKRQLDATVLACGRSLSMPHLSAGAGVDADVQRQLQQPAGGRGKRCRLPALFRRLFSKVIRLRLFPAVARTRGARYGIGGDCSGGAGSPWSGSGALTSIPEEEQSPEKGSSPVVDHGPSALRKAQSERFIGSKTASSMVQFEVVL >Et_6B_049192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19224218:19242937:1 gene:Et_6B_049192 transcript:Et_6B_049192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREFSMSFWVLEHVVLRLQIVLFTNYINANNDDVGLNVQIQMGNVHVSACGSGSWFPCAEATVQQARQGPLMNHGGIQSSYIPSRAPGPVEGNQEDFHDIMAIQHAYICALKDPDQITPLKLLQLSPNALKHHYARDITFMLQSGENSTDVLTQQPQRASRSDGVPQGTMPPVDNAATIICVKGRIAGLCADANGSRFIQNALETATPEEIVMVYDEVIPHARALSKNVFANHAVQKLLNHGPQLYIIKFIGRLIGHVLPLSRDMYGSRVMQKAFEVGDLDLQIQMAKEFLGQVDKCVLEQSANHVIQKCIECVPWQHIQFIFRTMCGNVTVSCTNTYGCHVIKKVLVFCKDPEIIDALVSEIIADVVKLAHDQFGNYVVQHVAMYGGPVVRSIMVEKFRGIVVNMSYHQSASNVIEKCIALSSFQDRQLITTEILAAGYGQLVDMMCHMYANFVIKKLATIAEEGPLRLLADVARRNKPRITKVQHGKHVIAHIEKVLADRGWVMPPVRIAGPQLPMALKRCEKMVGLHLSGEP >Et_9A_061537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13302690:13303163:-1 gene:Et_9A_061537 transcript:Et_9A_061537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKRTTALMVIMCLVILGLNVNLATAEECSCCVAARAKACCFACIAAGGSDTVCKNTCCFPCALTDSVAAKMEEMGILAKMQEIQA >Et_2B_022431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21617869:21618686:-1 gene:Et_2B_022431 transcript:Et_2B_022431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTATPASVAGGLLRRLENHWGLIPSTLHEGMKWPLLILSDMLSMVSAKQPVDEMVGARTTEIMQALYDAEDLMDDLEGRPISKHCELVHTLEQHESLVNVHDATLIGRCREKEEIKDLLMQNDGETLSIVSIVGLPGIGKTSLARLVFEDKGEGWDFDFRIMD >Et_10B_002794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11123271:11124731:-1 gene:Et_10B_002794 transcript:Et_10B_002794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTTFVVSVAYLAGLNAPGGFWDHEENGHRPGEEVLKGRHDMRLLLFLLDKNLSSDNKVLYLQLYVSVVLSLCGVVGAYIAGSTRENNTTGYMIALLVGLSTCIIIQMFIVKRYKDLLKECCFDEKKGTGATNYWKARSLVELLATLAAVITYQGGLDPPGGLWEEDGDSHQAGDPILLTRSPRRYKAFFYCNSVAFVASLVAIILLRMRVVIRYHALESAMILDLFGLIGAYAAGSCRDVTTSIYAIAMAGAVLVYVVIHIVCFTLDHEHNKEDGQASSENKAKIDETWEKRRQLLLLFAIMAATLTYQAGLTPQTGFRLRDDESGHHAGDPVLLYNYPRRYKAFFYCNSVSFMLSIALIILLVNRNLYIPAIRSNALSVCTAVGMFSLVGAYAAGRTQHLKTSIYIFVLAAVVL >Et_6B_048227.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:13549160:13549721:-1 gene:Et_6B_048227 transcript:Et_6B_048227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEALECLQSSEGGIILHGSISPSNILLDHDFTPKVTGFTNSRRLAKEEYQAKLLVDDIYTDPIALQSGFLGVKSDVYSFGIVLFQIISRKEPIYGTDCLLVTEFKKAYQTHCSGEALFDADITSDEDIAVLEKIGRLALECTSFDIHERPEMNEVAERLRMIR >Et_7A_052548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9117505:9118194:-1 gene:Et_7A_052548 transcript:Et_7A_052548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NGALKAGEKISIERSVLFLTRYIDGLLSARQQYYARERKGKSQVVTLSMPSLIQKTNEDRRWVPPTRGTLKIIVDGADIREKCRQLAEVEFCKIEREQNAVAYTLTHLACRLGESCVSFSQVPDCIKDLIVTDRLVASACNEPS >Et_1B_011374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21274395:21279671:1 gene:Et_1B_011374 transcript:Et_1B_011374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLTGGEIFLRLPPHPACFHRVSLVCKHWRRLVRDPVFLGRFRAHNRHTAPVIGFFGEDASFVPAGEPPDRVTVAPFCLRRENWRALGCRHGRVLLGSSYRRSANGRSTYRPLQLAVWDPTGRSPLSMFQGPRHERIPGDRVALPIRGSLICGSGVHDHGSQGEDCSSKPYRVVLLFYGSNSMFAGIYSSSEATWCELVSLVIPPDLFDGAQSGVLVGNAMYWLSPNESKVLEYDLDTKQLHIIESLPTDSHGPYDFYQIMNATDGFEWPDRLGVAAMRGSYLHLFASIIYSEGTTTWLHFRVVEVDTLQHLSPLVKALLIPDEDRHTVFLETLDGGFALYLESMEVKKVLACDQALSTRLQEFLRRRYYISCSAYKNFIMGGAASSPATAIPPDDIIVEVFLRLPPHPTCLLNVSLVCKHWCRLIREHSFLHRLSARHHHVAPLLGFFHQQGFVPTGDAPDRLAAAHFSQLQGSGWRVLSSRHGRVLLQNSSSLQTEAQLLVWDPMTGGRSYLPIHPKYRRHKFYQPIVFHAAILCGDSGGQDHHTSGDGCGLRPFIIVFLFTIRGRVHAGVYSSQTEVWSRLVSMGIPRELVSIKEMKSVFICNALYLLWPCNYKFKIVRFHLRTKSLCVEDFPVNILGSLACDGELGVAAVRGSHLQMFVLTNTKGSTMWVEYRSVQLHDLHSSLPLFDSDMNIYRPLYFTVGYDEEGNRIFLQTNSGVFALQLGSMKVNKVLDEKCSIALRSWAMPYMRFYIP >Et_10A_002069.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21164156:21164755:1 gene:Et_10A_002069 transcript:Et_10A_002069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPSSGGASRKRGALHMDAPSGASEEPDNYGTFFQVRRIAPHPPERYEAVTLPLRRRWVPGDHDEDEETIRPLPRFLLRPQDDEEDELYDGGVDTVEHVPGYDEAEEEQRSMIYKRPRVPASSKAIQGLQEARDGDAGLPAECAVCLQDFGAEDKLRAMPCSHAFHQHCIFDWLRRNGVCPLCRYALPGQRPDDEEEY >Et_9A_062110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19798076:19803204:1 gene:Et_9A_062110 transcript:Et_9A_062110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFLQKLKRLDAYPKVNEDFYKRTLSGGVVTLVAAVVMLLLFISETRSYFHSATETKLIVDTSRGERLRVNFDITFPSIPCTLLSIDTTDISGEQHHDIRHDIEKRRLDSHGNVIEARKEGIGGAKIERPLQKHGGRLDKGEQYCGTCYGAEESDEQCCNSCEEVREAYKKKGWALTNPDLIDQCTREDFVERVKTQQGEGCSVHGFLDVSKVAGNFHFAPGKGFYESNVDVPELSVLNGGFNITHKINKLSFGTEFPGVVNPLDGALWSQPASDGTYQYFIKVVPTIYTDIRGNRINSNQFSVTEHFRDGNVRPKPQPGVFFFYDFSPIKVIFTEENRSLLHYLTNLCAIVGGVFTVSGIIDSFIYHGQKALKKKMELGKYR >Et_2A_018207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18183837:18187116:1 gene:Et_2A_018207 transcript:Et_2A_018207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGNLILRSSNGTVLWQSFDHPTDTVLPSMKMWRSYKTQDGNRLVSWSSRDDPSPGTFSLTSETDPFPQSFIRNGSRIEWRSTVWTGFTVSSQYFQTNASFLVYFQYGDRTEEMYSVFTLSDGAPPLRFVMSYSGGLETHVWNKDLSNWSILGVSPANDCSRYGYCGASGYCDYTGATPTCKCLDGFKPVDKGEWSSGRFSQGCRRKEALRCGDGFVALSSMKVPGRFVRIRNKALQECAAECAGNCACVAYAYANLNGSISNGDVTSTAGANTGETLYLRVAGFDGSARNATLDWRARFKIIKGVAKGLLYLHHDSRLTIIHRDLKASNVLLDSDMRPKIADFGMARIFGENQENANTRRVVGTYGYMAPEYALEGIFSIKSDVYSFGVLLLEVVSGIKISSVDRIIDHPNLIVYAWNLWKDGKANELVDKCMVENCLLDEASLCIHMGLLCVQENPDDRPFMPSAVFNLENGCTALPVPNHPAYFAQRNNELEQTREDILNSKNTMSLTVIEGR >Et_4A_033873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27508621:27510959:-1 gene:Et_4A_033873 transcript:Et_4A_033873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAALLSFSPLPVHRLGAASPTASFAPRRAASAVVVRAAAASSKSPAPAAAPKKKPTGITLPKPVSPALQAFVGAPEIARTEALKRIWAYIKQHNLQDPADKKVIICDDKLKVLFAGRERVGFLEIAKLLNPHFVK >Et_7A_053140.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:7931960:7932604:-1 gene:Et_7A_053140 transcript:Et_7A_053140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRIATTSPSLRFLGLLKQPGDGSGADSVQELELDERDVVWSSSSGSATSSSSTSAASSPSPTPSPSAGLRRPGPSASSRHFPAGGSVGLSALLAGDDDRAPPTAAIPAAARRQKQQPPPPPYHQSAPVAVPAWPRGRTTTTAATDVAARYGELVDDDDDDGGEPVVPPHEIAARRAAAAASVMEGAGRTLKGRDLRRVRNAVWRTTGFLDL >Et_8A_057174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2196854:2205862:-1 gene:Et_8A_057174 transcript:Et_8A_057174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SFNSLRPLAFQLLLGEVDDLAAEAEGSWGGKGKQQEAAAAAVASAAPPATTMGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSQSMPKTLEKYQKCSYAGPETALQTRENEQLKSSRNEYLKLKAHLDSLGIKELEHLEKQLDSSLRHIRSTRTQHMVDQLTELQRREQMFSDANKCLRRKLEESNQVLWQQAWEHGERQPEVQQPQQLHGGNGFFHPLDAAGEPTLQIGYPSEALTTSCMTMTTFLPPCSLWRRRMDG >Et_5A_040532.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6071666:6071776:-1 gene:Et_5A_040532 transcript:Et_5A_040532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPLCYRLNILWIIISNLQSYSYHYVTYYLYTVT >Et_5B_045771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9090716:9092742:-1 gene:Et_5B_045771 transcript:Et_5B_045771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSGGFPGWLRPSWSAWRHGSLLLGPGGGGPCASAELFRRRSLCPARRRRQLLLMSSNSDNSWNLQ >Et_9A_063225.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16249081:16250373:1 gene:Et_9A_063225 transcript:Et_9A_063225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIAVSTALLFVLCFASVGHAAGPPKPRAVMLPVRKDAATGQFLTTFRQRTPLVPVTAVVDVANPTTWVDCEKGYASSSYRAVHCDSKLCRLTGSGACGFCSRKPSVSCLNNTCGALPSNTATGVGTSGDFLTDVLALPTTPPSRSGPLATAPAFLFTCGATFLTKGLAAGATGMASFSRNRFALPTQLAAAFGFPRRFALCLPSSPAAPSVVVVGDAPHTFQPGVDLSSSLAYTPLLVNPVSGLTHFQGDTSDEYFIGVTGIKVGGRAVPLNASRLAIGKDGRGGTKLTTAVPYTVMEPSLYRAFTAAFAAATAGIPRAPPVKPFKLCYDGSKVGSTRVGPAVPSIELVLGNKGASWVVFGANSMVAVKGRALCLGVVDGGEFQRTSIELGGHLLEDNLLEFDLEKSRLGFSSSLLFRQTTCSNFHLG >Et_8A_058355.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:5702644:5703138:1 gene:Et_8A_058355 transcript:Et_8A_058355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPPRRKPRLEAGEEDEAPPPRDMLSSLPLEVLDNILSRLHIYAVVRTSALSRAWRRRWESLPTVDLTRSGGIVADEVDALLLRRSALIRNFRLIAYDTWYIDALHGSFTSPATASRTSSSGPGCSTSGSTRASFPAASSPPSASNPAASHPRPRGSPDLRA >Et_7B_054363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20381968:20382993:1 gene:Et_7B_054363 transcript:Et_7B_054363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLILHKVVNKLTTSCFKELKKLNQGFCFLMETNMRFAARGQYKQSKKPLDTRTRRLEKEKSEVQINLEREMDKRSHDWSDRILKFQSEEERLHERVRELAEQNISFQREFTFLEANKADASAKLQNKKLNDELKKLRCKHDDLHNSSADLHACVTEGTKKRDHLWGYLKDKEDENRALHKVIPRLHMTCNEQERTITILRQGYRSELDKSVECSSDKMNKLQTEFIRLTGVEQKLRGEVRSCHLDVESLRHENIALLNHLQSAGNGSSISMIHLDQQLQAIVDKLQTQGPIFCLIR >Et_5B_044071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19714691:19715151:1 gene:Et_5B_044071 transcript:Et_5B_044071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTIPSGPRRSRRHLLLRIALAASHLSNLKVQANDGGADGGGGVFTWPELLLGDNNAIARHGVRGKQRSMDMKIHGGLLKEGDNTIYITQMSVLTELVGVMYDYIRLEGPPSQ >Et_3B_027972.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:29010811:29010864:-1 gene:Et_3B_027972 transcript:Et_3B_027972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGGCKASETTSCTYG >Et_10B_002856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12584592:12590088:-1 gene:Et_10B_002856 transcript:Et_10B_002856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDAAESPRSAPRRPGAAAPKDGGAGGLLSPRFRSAAAQAGWDEESILLATLIVEDTPVRESRRKRRLSTSAAGGGGSAGSNTRKRRCRRQASAVIPPVVLSLDDEDKPDDAADGKKEVKEKEAEKVVVVGKEEASGSGEKTAAAGKLPCMDRLQEELSCAICLEICFEPSTTPCGHSFCMKCLKHAAAKCGKRCPKCRQLISNSRSCTINTVLWNTIQLLFPSEVEARRTSIASSSSCNDDVRNSPPRSDSFSQGGMRTRNSSGALITEGRTRSSYRNFVTPGNTTSGNTSGNLVPNRSSVRSDQSDDAALAYRLQQEEFMNAFETEEVEIQERQPQNNVSTARDHLRAMASRAIRFRARGGPIYLFGWMMTVVTIIAMALFFAAAAMNTDGERHINAGNKCTVNPGSPMFASATVLALVTAALQIASYILLQPAPAPKMLAMTEQLTEVVVVGQPVPQLETQPDVEQQVVNGDDQPLPSASAALSDQAR >Et_9A_063428.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:2450934:2451551:-1 gene:Et_9A_063428 transcript:Et_9A_063428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPQLVDDLVGEIFLHVPPDGPALLLRASLVCKAWRRLLTSAAFLSRYREFHGTPPLLGFLRNRYHESTPCFVPTASFRPRAPGCPRGSVLDCRHGRVLFEVDGGEQCLVWDPRTGEERYVHNAQILQHDYFNAAVMCAAGASCGHQDCHGGPFRIISLLGAKEDEERVTYACVYSSESEQWSAPTTLQFEYFVDMLPPVIA >Et_4B_036740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11095655:11097934:1 gene:Et_4B_036740 transcript:Et_4B_036740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQQGARNVVRWFSRLAAAAEATPAAAAPRMPAFDHAPLPYDGPSAAEIARKRAEFLSPSLFHFYSKPLNIVEGRMQYLYDEQGRRFLDAFAGIATVCCGHSHPEIVDAITAQARRLQHSTVVFFTNSGTEANELAILMARLYTGSHDIISLRNSYHGNAAGTMGATAQKNWKFNVVQSGVHHAVNPDPYRGAFGADAEKYVRDVQEIIEFGTTGQVAGFISEAIQGVGGIVELSPGYLPLAYEKVRKAGGLCIADEVQAGFARVGSHFWGFETHGVVPDIVTMAKGIGNGIPLGAVVTTPEIAQVLTRRCYFNTFGGNPFCTAGGLAVLRVLEKERLQENAFIVGSYLKDRLRGLQEKHEIIGDVRGTGFMLGVELVTDRQLKTPAKEEICHAMEHMKDMGVLVGKGGFYGNVFRITPPLCFTKEDADFFVEVMDIALSKI >Et_2A_016254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22590613:22591343:-1 gene:Et_2A_016254 transcript:Et_2A_016254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDEPNGDSPSPYSYAPVLYRYTTDMGNGYGYNLVSSINYARSNPPSPALSYIRASPPCHQWQRPTLPDDEDVMPELEEISKLEEKDDELGVENAKLDKKEEDRTSAMIEESYSDSSDFGGTPYPILSMHWITVRRKSN >Et_1B_012928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4144551:4144936:-1 gene:Et_1B_012928 transcript:Et_1B_012928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDEIVRHPPAAPVDHPIVHRGARADKPPVWRGTEAASDDRSSDGCSRASAPRRSSTTRGGAGATVAPPSSSRARSSTSRRTTRPRCTLGSSQAYSRYRV >Et_9B_064958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2008452:2010999:-1 gene:Et_9B_064958 transcript:Et_9B_064958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHLRRCGGGALAAFRRLRHFPAAAPASSPVAWRRPSVLPRPYSTAETSPELPANLVAIMEQRMKLIEQKSAYLQDQINQPAASPEEYSRANKEFHKLEGTMEMIKELRSKQKFEICEDKDMREMAAEELLEAVEEEKQLQHELFRSLLPKDEADERDCILEVRAGTGGEEASLFAMDIFKMYEKYAQKNGWKFDVIDVMESTMKGYKEASGTISGPGAYGTLKFESGIHRVQRVPVTEKSGRVHTSAVSVAVLPQADEVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHIPTGTVVAIQDERSQHMNKAKALKVLRARLYEMERHRLHMDRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIADVMEGENLDVFIDALLLQEELDAIASFAA >Et_3B_027916.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26468567:26468788:1 gene:Et_3B_027916 transcript:Et_3B_027916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLRTVSAGAGVLPADVVGGGGSGHEGQEAGDLAYMRAHFDRVVGSRDSESFYMLNPDGNNGPELSIFFIRI >Et_4B_039393.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13219885:13221072:-1 gene:Et_4B_039393 transcript:Et_4B_039393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSAGAPGDGRAQAERWLEIAEKLLSARDLVGCKRFAERALEADPLLPGADELLAIADVLLASQTTLPTGQPNPLAILQLPTSVNPEQAAVSRAFRCLALLLGPTNTHPGREMALRLVNDAYAALSDPSRRPPLTAGSSNLATGNSSQPAAPAPPADPTEFWTACPFCCYAHQYPRDLVGRALKCPNEGCRRGFVAAEIPTAPTVVPGTDMYHCAWGFFPLGFPNAADLGGNWKPFYKMFPWNTAPSGHGSGGRSHGNRGGSYSARQTENGSARGGSSRGRVKKTTARKKVGAGLKRRSFGGGGGVESGIDASMLGQEEWAGGEDGEHGREEVRGININEAAQATDGTGRVNVSGAAGVEDMGNFHIDVEPTEDILGNLHNLPFLRVDNLGRML >Et_1A_005703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1317330:1318234:1 gene:Et_1A_005703 transcript:Et_1A_005703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTAHQRISRRRRLHRPRRPRRRLRRVDPGAARNREFLDQHEATARFTDALARFLAAPPSQRVLEKLSVKFILTKRDLVSPARSGTWSSRSSRSYGDRFKHLLKDCPGSRGSAIGATSEESSWCRRSATVLSASTIGCAPSSFEGLFLSHYQGDTYSFKFKLSDLLANSGGKLEWLILMFENSKHISFHRVFHVEKDLPMARLFMGLAVNLQTVTLGVKSLGCQKCLDALPNFPDLAKSRLRFAEAREYYVDALVKKLKDGSTSSAQIEIRIPDLV >Et_9B_063879.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19045526:19046011:1 gene:Et_9B_063879 transcript:Et_9B_063879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHLQEGPKLCSRRPSTTIRGKEEGAVKSLEAQSEMDEAITVQKRKANKLRTAPKDGTANSFQFLSQSARYCCNSVDGVVWGACRMILCVIRQARWQYKSLGSFYFHSFIFQFKPVLQREREREEIHIDDLGDADGCGGVGPPPVVGAIGERDGLPFPRP >Et_1A_006092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17642821:17649333:-1 gene:Et_1A_006092 transcript:Et_1A_006092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASPVAASPRRRHSHRDDDSPRHRKRRSSPSPSPSPDAEADLDRRRRRSRASPPDRDRRRGRDAKPSEENGHSKPDGEADGGSPPRRARVSDGEEDGDRRRRRARVSDDEKEDDRRRRRPSSESGSSPDDRRSRRHRRDEGSRRRDERRRRDDDREERRRRSPEKREPTPLPPPPPLLPEMIPGRTGGIYIPPFRMAQMLREVEDKSSPEYQRLTWDALKKSINGLVNKVNATNIKNIVPELLAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLPQLLAATKFIAHLVNQVVAHELVALELLTVLLENPTDDSVEVAVGFVKECGAMLQDLSPQGLHAIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDELDPETNLKAGHKLMKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLNDPTMQGSFESIFPKDHPKNTRFSINFFTSIGLGGITESLREYLKNMPRLIMQQQKPESSESESSGSESGSESSSSGSSSESESESESSSDESDRRRNTWVGRKITSSTCSIYNAEPGPESSIDEPCFLQGSLQLYMYAPEPMFSNAWKCIEL >Et_2A_015083.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29148991:29149299:-1 gene:Et_2A_015083 transcript:Et_2A_015083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLGEEGLMQELASGFRLLMDPARGLITFDSLRRNAPLLGLGAMSDDDLRGMLAEGDFDGDGALSEMEFCVLMVRLSPELMDEPRRWLDDAVAQASQFLF >Et_8B_059320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16594850:16600316:-1 gene:Et_8B_059320 transcript:Et_8B_059320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGCSVSSLAARFAFFPPEPATYAVRKDEATGRLVASGVPRDNAMDVLLVDTRRGNKVVAFYFRNPCARLTLLYSHGNAADLGQLYDLFVQLKVNLKVNLMGYDYSGYGASTGKPSEENTYADIEAVYQCLETEYGISQEDIILYGQSVGSGPTLHLASRLPRLRGVVLHSAILSGLRVVCHVNFTFCFDIYKNVKKIRKVKCPVLVIHGTDDDVVNWSHGKELWKLAREPYDPLWIKGGGHCNLELYPDFIRHLSRFIREMETMTTKMRLKKIRQSLQSTKKVHRVNIATTTTFTTNCCCRIRVRKPTCPSCNFSCGCCELKNCFKFRLFRCPTCLSCSGGCCCCRRSCFKGCCGGDER >Et_9B_064654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17424586:17427572:1 gene:Et_9B_064654 transcript:Et_9B_064654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMQGQRTETMVAVAVAVVAVAAGAAYILLRSKKPRGEYMQPYALRIPRIHILLITISLPARLPNSVPEARNPEQWAPWRTGLRSFGSEPAGVLREYYNRFVLCADTCRVHSPLVHIFRDSCLDPENFKEFKLVEKRQLSHNVAKFKFALPTPTSALGLPIGQHISCRGKDAAGEEVIKPYTPTTLDSDLGRFELVMKMYPQGRMSHHFREMKVGDYLSVKGPKGRFKYQPGQVRAFGMLAGGSGITPMFQVTRAILENPNDSTKVYLIYANVTYEDILLKEEMDSLAESYPGRFKIYYVLNQPPEVWNGGVGFVSKEMIQTHCPAPAADIQILRCGPPPMNKAMAAHLDDLGYTKEMQFQF >Et_2B_020039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16300914:16305451:1 gene:Et_2B_020039 transcript:Et_2B_020039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGWFKQRSARSGGSSSGPRAASAPAATTTTVSGSTVSTSRSDDSGAVRPLSKSAGSTASAGSQRSISSLYEERGHGQLRAFEHEELQAATADFARAQKLGEGGFGSVYKGFIRAPDGKGDRVPVAVKRLNQRGLQGHKQWLAEVQFLGVLEHPNLVKLLGYCAVDTERGAQRLLVYEYMANKSLEDHLFSRVNPPLSWNSRLQIILGAAEGLAYLHEGVEIQVIYRDFKTSNILLDKDFRAKLSDFGLAREGPTGADTHVSTAVVGTHGYAAPEYMETGHLTAKSDVWSFGVVLYEILTGRRSLDRNKPAAEQKLLEWVAQYPPDSRSFRMIMDPKLRGEYSVKSAKEIAKLADSCLLKNAKERPTMTEVVEVLRRAVHAQAEPAGGDKRNGKGKKADAAAPSRR >Et_9B_064908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19740418:19743055:-1 gene:Et_9B_064908 transcript:Et_9B_064908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTGFALKFQPSSSESQPESQKSKQSIFQSERISESFDRVIQIPNPQPPKLQSMASHHHHSHDDHHHHHHSHGDGAAAAAGGSWVGEDGRVWHSHDGLAPHSHEPIYSPGEFTKRAPPLASRSFADRAFTVGIGGPVGTGKTALMLALCRFLRDKYSLAAVTNDIFTKEDGEFLIKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKADLLLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAPAVGADLSVMERDALRMREGGPFVFAQVKHGVGVEDIVNHILQAWEIATGNKRR >Et_3B_031238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22473869:22477542:1 gene:Et_3B_031238 transcript:Et_3B_031238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPELAGVLAVIPDTLVKLHTTHSWDFLGLRRGEQATEAWSSSGFGVDTIIGNIDTGVWPESKSFQDNPYAAVPSRWRGTCDAGSDPTFRCNRKLIGARFFSKGIKLLRKLEDGDDDGGQQPSKKDLSSPRDYVGHGAHTLSTAGGAAVRGASVFGHGHGSAAGGSPGARVAAYKACYEPAGCSSFDVLAAILAAVADGVDVLSMSLGVDVAGDYLTDPIAIGTFFAVQKGVTVVCSGGNSGPGPSTVSNVAPWMFTVGASTMDREFPAYVTFGRSTIKGQSLADSTSPSGTPLPMILGEDANAAYIPTANSSLCLPGSLDPAKVNGKIVVCVRGENARVEKGLVVKQAGGAGMVLCNDASTGEDVIADPHLIAGAHCSYSQCVKLFDYLRSATDPSGYITATDAKFDVKPAPAMAAFSSRGPNPITPQILKPDITAPGVSVIAAYSEAVSQSGLPFDNRRVPYNIMSGTSMSCPHVAGIVGLLRTKYPWWSPAMFKSAIMTTASTEANDGNLIRDEAGAAATPFGYGSGHVDPISALDPGLVYDTTPIDYVNFLCSLKLTQDPLPNLPVPGNVPVNLPPVQPTLPLFDAAGNPCTCSQGSALRPEDVNYPSIAVPCLAGSATVKRRVKNVGAPSCRYAVRVVEPKGVRVTVLPNELSFGSIGEEKEFTVTLEVYDAAAAAEYVFGSIEWSDGTHRVRSPIVAKTKCG >Et_1B_014345.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6395657:6396043:1 gene:Et_1B_014345 transcript:Et_1B_014345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAAAPVPRRDGRKLARCPRLQLDTKTVSAIQQSTGQSIVDDEAAGEGGGMRVKIVLSKQQLKQVAAAVAGGGSFSLPPALEQLVSVLKRQHAKKQAAAAVAEVAVGRRRGRWSPALQSIPEECFS >Et_4B_037810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23650072:23653322:1 gene:Et_4B_037810 transcript:Et_4B_037810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KPPQKILTVTPGHRSSSSHPIPLPRLPPFITRSHFTVAARDRRGASHRYRATVVYTRDYTLGCCTPEGQSRKFVIAPWHSPSECATAEPTPYCFKSPAAHLRRSPLRLTPKPHRRIPRARRVPTIPPASLPYGGAAWPHTEHFFADAFVGAAPAADAVFSDLAAAADFDSDGWMDSLIADAPVFADSDLERLIFTTPLPPVPVPAPAPAAEPVEAAAHQTKAAAAPASLPQAAATTPAACSSPSSLDASCSAPILQSLLACSRTAAADPGLAAVELVKVRAAASDDGDPAERVAFYFADALARRLACDGAARPSTAVDGRFATDELTLCYKTLNDACPYSKFAHLTANQAILEATGAATKIHIVDFGIVQGIQWAALLQALATRPEGKPSRIRISGVPSPYLGPKPAASLAATSARLRDFAKLLGVDFEFVPLLRPVHELDRSDFLIEPDEAVAVNFMLQLYHLLGDSDEPVRQVLRLAKSLNPSVVTLGEYEVSLNRAGFVDRFANAMCYYMSVFESLDVAMARDSPERVRVERCMFGERIQRAVGPEEGAERTDRMASSKEWQTLMEWCGFEPIRLSNYAMSQADLLLWNYDSKYKYSLVEQQPAFLSLAWEKRPLLTVSAWRKAVIGIQTKHLFGLLGLCPKS >Et_9A_062216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20766769:20770374:-1 gene:Et_9A_062216 transcript:Et_9A_062216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLATTPMRSQRHRPPSLENLPTDLLYKVLSQLSVKEAGRTSLLSSRWKNRWTNHSNLCFDGTSELSSYDADRFVNHVTAVLQHHSRLAVDRFEVRSPALGTQHTCHLDRWIGFASASKVKHLVLDLSPPSNYIHRIDEASKKYKFPEGSSSWISSTAISLALGNVCFELPIPDNGGFKMLKKLELKLVAGLGDLTPLLSNCHALEWLSINGSYVPHLVVPQIRNLHYLHVKNCGTKIIESHAMNLTTFEYIERCFVPVKVYQTGKLSKANIDICCWGCDTVNYFWDELSCLLTHVDRLFLTVCMDSKTTGLINNPIALFHLRYLTLFCNIVRNPHSELVVLRMTQILKAAPRLEHFVLHMDSCSREPLSLKTTNCIRPDVHHHHKTRWDGIDPIEMLQVPVAHRKMKTQLAIPFSSPVLCRVPQRSMLTLPFD >Et_1A_005284.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:38045900:38045947:-1 gene:Et_1A_005284 transcript:Et_1A_005284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKPALLSSARISG >Et_10B_003272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18409446:18411302:1 gene:Et_10B_003272 transcript:Et_10B_003272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDSFPSDDDEVAAAAAPPGRSICHAGCGRPSRVCLCPYLPPSPLPTSTTVVILHHPHALRRNPLSTLPLLARSLSNLHLLPGRRLLPSSTPLLPPPSPNPVLLLYPSPAAADLASWCRSTPPSARASPTLVLLDGTWKQAKEMHAASLPFLSSFVTPVSLPVDCGVDGDSMFESELVVKKEPHKGCMSTMEAVARALRLLEPEGRGKEIEEAMLGVLRAMVGFQAEHLQQKSVKPRVKMRKKKELKREEEIRRNAESNLSTELVNHSRDALHN >Et_1A_007413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34196282:34199506:-1 gene:Et_1A_007413 transcript:Et_1A_007413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRRRRGVSQSNADAAGGDMRSNILKKIYGYYKEALNSLPLEHMPALAPSLLDAGICFGFADPVTSIIANTIHSLPDEYGGRAPGEPEPNGGKKRKRATEASWEARERARERKKVLSNIVAGDAPSTPESRTIAERSVDGLVNFLTCYFRYLTTSDAMHYLFLAQADILVAVYLIEQDRCCRIKDEFDISSEAVKTAIKCTAFSAGINVDAFFAGSFALVSHLDSITHAVTERRGRLSVPHVRRLFGLLQNCLKVKKSDNPMRLAAGLCHPCNRDSSIAKVPSGLIESLRGVLLDRIHCVYLKAVSRIPMKDLRIRYHRSLLKAGYCYGPFSPVSNIIVNTVWYDTAFPAVEETETDMIFSMARIQSRSLDGLIKLILGCIPNMSEHDAMAYLLKNDSQPRKAIQIAKEQGHVTSAWDNGVYKAAADAAYHPQSEAFVDFVSQSLPEAQSSIKSILKASHSLSSSDVLSLSLLLSSSKNNAHRSQEPAVELNKDAMDMISRYKDAFIIEQSFVRGKIEAALQKYEQTKGHRYEFQIICGLNENVGKERWIRDSKRQYSHVNFWANSKDGKTRRLFFAEFSSDEDIENHQSFCYPLKALSTDGTRILHPNKRYCGDSMDFEKIACGEHKLTHADIISHGELMASTVGSLFEEDYIYLDPAHDFNLAQEVNLDARELNYDREDQIRMMQEMHAARTGSQDTATNAAH >Et_4B_037864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24183202:24186694:-1 gene:Et_4B_037864 transcript:Et_4B_037864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPALVANGAAAGAGEGKRRRRRGRLWYAAAGAGVLVALLAVALSSGSFPGIYSSSSRGGCGCPAARKYTGMVEDCCCDYETVDAINEEVLHPILQDLVKLPFFRYFKVKLWCDCPFWPDDGMCQLRDCSVCECPENEFPEQFKKPYNGLSPDSMICQEGKPQAAVDKTLDSRVFKGWVETDNPWTNDDETDNAEMTYVNLQLNPERYTGYTGDSARRIWDSIYKENCPKYPSEDMCQEKKALYKLISGLHSSISVHIAYDYLLDKKNNLATDYLEQAEYNTGNPQDDLETQSLVKQLLYNPKLRTACPLPFDEAKLWQGENGPELKQEIQKQFRNISAIMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGDSHLNQPLQLQRNEVIALFNLLNRLSESVKFVHEKGSSIEEVIKQQSPSNVQKGPSKPNLKLVVSSSSLDQL >Et_3A_027070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31822076:31824897:-1 gene:Et_3A_027070 transcript:Et_3A_027070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEFQWQREIEKCEAGGEAELVELAAAAREERQEEESGEEEEAPPPPWREQLTARGLVAALVIGFMYTVIVMKLILTTGLVPTLNVSAALLAFLALRGWTGALARLGVASRPFTRQENTVVQTCAVACYTLALGGFGSFLLGLNKRTYELSGVNTPGNVPGSTKEPGIGWMTGFLLAVSFGGLLTLIPLRKVLVIDYKLTYPSGTATAVLINGFHTPQGDKDAKDSDLTNIANFRKQVHGFLKYFGISFLWSFFQWFYAGGDVCGFVQFPTFGLKAWKQSFFFDFSLTYIGAGMICSHLVNLSTLFGAILSWGILWPLISKRKGDWYPANVPESSMTSLYGYKSFLCIALIMGDGIYHFAKVIGVTVKSLHQRSKDRKNKRRGGANEDNGDDLRLDDAFNRDTIPAWVAYSGYALLSVVAVVTIPMMFRQVRWYYVILAYALAPVLGFSNSYGTGLTDINMGYNYGKVALFIFAAWAGRDDGVVAGLVGCGLVKQLVLISADLMHDFKTAHLTLTSPRSMLVAQAAGTAMGCVLSPLTFFLFYRAFDVGNPDGYWKVPYALIYRNMAILGAQGFSALPRHCLSLSAGFFAFAVLTNVLRDVLPSRYGRFVPLPTAMAVPFLVGASFAIDMVIGSVVVFAWNRVNAKEAALLVPAVASGLICGDGIWTFPSSLLSLAKIKPPICMKFTPGS >Et_3B_030851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:856597:858728:-1 gene:Et_3B_030851 transcript:Et_3B_030851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METDEAQRPARVQPEAAGVHAPSCRHAEDPAFVRERHGDGVEIDMAAAYSPFPAPVLPTSISLPASPTRFDVARTRTGVDQLQRFAIADAAARMQPPAAMSQPDRVVFRSQPMPPHAKINSGMDRAKQQQAGARGRDRSYDSFKTWSGKLEKHLLGSRPLHQEEPEQEQEEPEAEASDGHHHHHRPMPRVQRFFAALEGPELDKLRSSEELILPSDKTWPFLLRFPVSAFGMVLGMSSQAILWKRVAISASTRFLHITVKINLVLWCVSVALMCVVSSLYAAKLVFYFEAVRREYYHPIRANFFFAPWIACLFLAIGVPEAVADALPHWLWYLLMAPILCLELKIYGQWISGGQRRLSRVANPSNHLSIVGNFVGALLGGIMGLKEGPLFFFAVGLAHYVVLFVTLYQRLPTSETLPRDLHPVFFLFVATPSVACLAWARITGEFDYGSKIAYFIAMFLYASLAVRINLFRGFQFSLAWWAYTFPMTSAAIASIRYSSEVKNVFTQSLCIGLSVAATLTVTALFFTTLLHAVVLRDLFPNDISIAITERRSKRPSTEMVMMMMPEERKPKPPAPAAPSDTRDLEAAVATSYT >Et_3A_026717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16804163:16811569:-1 gene:Et_3A_026717 transcript:Et_3A_026717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDSLFCSHGLRKRDIGLYAASWLLIISGLVCLGYILIDDPDLEFGAMKFSMEPIVHTGFEAGAAAMPPAFNVTLHARNTHKHRYCGRSTTVRVAYADVVVAIARMDPFCVEAKETSVLTGSALPGWPVLPLEFRERVQRDRAGGRGAEMEVDVKFYNDVQGSMWVRLFLVQAETQRQHFTEVEESMRMLIIVLVALFFTSTEASVDCSGVWKDESKDQMGKTNSDVFRLRLLHPRHPCSPGGPQADPSLSRLRSMSLEHERQLATRLFYRRRRRHMPPTNISAPVTSAGTFYGFVAQVGLGTPPTRQAVLVDTAASFSWVQCFDQDDGQRFDPGASTTYRKLPCAPPSCLPPPPHPAPAAPRSSPPHPAPAAPRSSPPQPRSRPCPRCSGPRPRPRLRLASPRKSQPPEAAAPRPPAPPPLRPGLDPALGGHGRSALPRPGPEATPSRAPGPGSSPASTRPRPSCPVAALLPGVLLRLRQGDPSIRFSPSVMVMEFQRFSRIHAHPLSGRDSRHFPVGLVVVSGKTNEAAPRR >Et_1B_010015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18125197:18126855:1 gene:Et_1B_010015 transcript:Et_1B_010015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARLSVTPSFRSYNVVLSVLARADCHTDTLALYRRMLTDRVQPTTFTFGVVARSLCRLGRADEALALLRGMARHGCVPDAVLYQTVIHALCNQGGVAEAVTLLDEMFLMGCTADVNTFDDVVRGLCGLGRVREAARLVDRMMMKGCAPSIITYGYLLQGLCRLRQVDEAWAMLGRVPEVNVVLFNMVIGGCLANGKLDEATELYERMGTKGCQPDVRTYSILIHGLCKSGRLGSAVRVLRDMEDKGCAPSVVTYTTLLHSFCRNGMWDEMRAMLDEMSAKGVSMNSQGYNGVIYALCKDGKMDQAIRLTQEMKSQGCSLDLISYNGLIKALCIDGNVDRSMMLLEEMTEKGIKPNNFSYNILISELCKTRRVRDALELSKEMLNKGLAPDIVTYNTLINGLCKMGWMHAALNLLEKLHNENVNPDVITYNILISWHCKARLLDDAVMLLNKAVSLGIIPNERTWGMMVQIVVRQLVSLEGY >Et_3B_031480.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:31114818:31115186:-1 gene:Et_3B_031480 transcript:Et_3B_031480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATAAMLLLAVVVATAAAMSPPDAVDDPPAAAAGGTAGTFLPNGNPRRSSDNGDGQNSPLTELALCVSTCGSNVANCIMTKCYEPLARGKGNPVMVPFCLLACTTDVMSCATSCPNDFAH >Et_6B_048328.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:12681243:12681404:-1 gene:Et_6B_048328 transcript:Et_6B_048328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGMEQYCSSIQPKIFNYIYSFSSETKRARYEKGVSSCKRFVWRKRFWLGF >Et_5B_044928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7449563:7457375:1 gene:Et_5B_044928 transcript:Et_5B_044928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSPSSSQQWTTWPSRAASPPPALRPTPVVAGRHGVTDSPRTVGSGPVRAVASEPSETSPVPQPPPMLADEETMLANYVPVFVMLPLGVVTPDHELEDAARLRSQLRRLREEARVDGVMVDVWWGVVEGAGPGRYEWRAYRELFALVREQGLALQAIMSFHACGGNVGDAVNVPLPRWVLEVGDAHPDVFYTSRSGARNREYLTIGVDDEPLFHGRTAIQLYADFMKSFRENMADFLESGLIVDIEVGLGPAGELRYPSYPESQGWVFPGIGQFQCYDKYLAADFKAAAAAAGHPEWELPTDAGEYNDTPEDTGFFAAEGGTYLTEQGRFFLTWYSNKLLEHGDRILDEANKAFLGCKVKLAAKVSGIHWWYRHPSHAAELAAGYYNLAGRRDGYAPIARVLARHDGAVLNFTCAEMRDAEQPEAAASSPERLVRQALSAGWREGVDVACENALSRYDRRGYNQMLLNARPNGVADLSGVGTAARRRVAAVTYLRLSDELMAGKNFRIFGTFVRKMHADQDYCSDPARYGRPIRPLQRSSPKIPMDRLLEATAPAPPFPFDPETDMSVGGGLAEAFDWLDVITFDNTFEKADEIRAQLKKLTEAGVDGVMIDVWWGLVEAKGPGEYDWTAYKQLFKVVQEAGLKLQAIMSCHQCGGNVGDVVNIPIPQWVRDVGEDDPDIFYTNRAGNRNIEYLTLGVDDQPLFHGRTAIQMYADYMKSFRENMAEFLDAGVIVDIEVGLGPAGEMRYPSYPQSQGWVFPGIGEFICYDKYLEADFKAAAEEAGHPEWELPDDAGEYNDTPEKTQFFKDNGTYQTEKGKFFLTWYSNKLIKHGDKILDEANQVFLGCRVQLAIKISGIHWWYRVPNHAAELTAGYYNLDNRDGYRTIAHMLTRHRACMNFTCAEMRDNEQSSEAKSAPEELVQQVLSAGWREGLHVACENALGRYDATAYNTILRNSRPQGVNKNGPPEHKLYGFTYLRLSDELLEGQNYATFKTFVRRMHANLDYNPNVDPIAPLQRSKPEIPIEDILDVAEPRLEPFPFNKNTDLPV >Et_7B_056007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9119489:9120362:1 gene:Et_7B_056007 transcript:Et_7B_056007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQCDACGGAAATVVCCADEAALCARCDVEIHAANKLAGKHQRLPLASCDSAALPRCDVCQERPAFVFCVEDRALLCRDCDEPIHVPGTLSGNHQRYLATGIRVGFSSSVCGATSADALPPPKGSSKPAGAGAGAKAAATKATAPAPALPAAQEVPSSPFLPSSGWAVEDLLQLSDYESSDKASNPQPFSCWLTPLSSSPDSSFLFKDSPLGFKELEWFADIDLFHGHAPGSTAAEVPELFASAPLPASNAGFYKMSGARQSKKPRLEVVPDDDEDYFIVPDLG >Et_4B_039222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8949173:8951935:1 gene:Et_4B_039222 transcript:Et_4B_039222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRLLPRRLLQALTGVAAAGDLRRRAFSSSAASSPSLSIWRRKKEMGKEGLMVVAQLKRLAALPPVGRSPRLEQFMRSHVSRLLRTDLLAVLAELLRQDHVILSMKIYGVVRKEVWYRPDMYFYRDMLYMLARNKKIDETRQVWADLKSEDVLFDQHTYGDIVRAFCDADLIDLAMEIYEDMRSSPDPPLSLPFRVILKGLVPYPELREKIKQDFLELFPDMIVYDPPDSLSDVDDEFKF >Et_2B_019761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13682302:13689314:-1 gene:Et_2B_019761 transcript:Et_2B_019761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTAALLFLRRRGPKPLEQYAACLSTVAEHAALDSGGGEEKKKRWVELPPFAPLDANAAARAITRGDGGEGTCSNATAIRWVRQCCPHLPTSLVQKLFRQRKVKKNFVTAGTSAADASTEQQRLRRVSAKDELVPGDILFLPVNPQESSVPEKTKKFDNRNEIDFLRSLEIYKDKDIIVINKPPGMAVQGGVGIKNSIDILAQMFVENSSEVPRLGTHQVLQRKYVALVLGIPRHPKGLLSAPLAKLVSQDGKSERLTVRAGPNTTSVQDALTEYRVIESSPQGYTWLELFPRTGRKHQLRVHCAEVLGTPIVGDYKYGRQAHQNWKPLPMPQTIDEEMLKKRRLPFGLSMGGGSIAEEQPQLHLHCKQMILPDISAAVQQLHSPEAERDFSNLEKLSFVAPLPLHMRLSWEILKSVRK >Et_8A_058409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6741768:6744287:-1 gene:Et_8A_058409 transcript:Et_8A_058409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVTIGSISGQAGLIPKPRSNGATSFARLKVSPSISPVSQSPFLGSNVSLRASVAPRIVPKAKSTSQISPEASYKVAVLGAAGGIGQPLGLLIKMSPLVSELHLYDIANVKGVAADLSHCNSPAQVLDFTGPSELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINAGIVKTLIEAVADNCPEAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVAQKKNLKLVDVDVPVVGGHAGITILPLLSKTRPSVTFTEEETEELTQRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTFIQSEITDLPFFASRVKLGKNGVESIISADLEGVTEYEAKALEALKPELKASIEKGIAFAHKQQEAAASIVMGTIGDRDGWKTSIPFVSSNGYMGVWTSQISMGTIRDRDGWKLAYDWILMGMSQTGTAGKLAYDWLDSVLGSSYNKVPGLGTIEKAL >Et_3B_029172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22693725:22694360:1 gene:Et_3B_029172 transcript:Et_3B_029172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPKQKWTQEEEDALHRGVLKYDTDKWHTIQEDPEFSPTVLTLTSRSQPIPDMLLALLLYLIRILVRVM >Et_2B_022344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18744284:18745621:1 gene:Et_2B_022344 transcript:Et_2B_022344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGETMADAGRDLVLGLGMGMGVRREEEEGEQRRSKRSREEAVAAGELEFGAGRCGRSSPEPSARLTFLSMVPSLGLPWQSPENGEWCMHPAVMGHLEASTRGFDVNRAPSCAAGEAGEEDEEQDDAGAGGAAVSSSPNNSAGSFPTDFSARGGPAGHGGGASRGSDEDDGGSARKKLRLSKEQSAFLEESFKEHATLNPKQKQALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELAELRALKTVNPYYMHLPATTLSMCPSCERVASNSSAPASAASAPAAAAPAPASASPAPANGITTAAPEQRPSSFAALFSSPLNRPLATQPQAPASS >Et_4B_038884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5636237:5641196:-1 gene:Et_4B_038884 transcript:Et_4B_038884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQKASGVQDNDCEASVATNATAYGNCLNQALLCRAEWQILSSIGGRSNGERRSREAAAVDAGAGDRGGAVESADQALLPAVYREVGAALGASPTALGSITLCRVFVMAVCYPLSTCAAARYDRARIVAAGAFLWSVATVLVGVSGTFLQVSSINALLSSLVLCPDTKQENGDGEGGFNGVGLALVLPAIFSLVADYSDDETRGSAFGWVQMAMCMGYVLGRSLGVLLAPTTFLGVPGWRLAFHILALVSLLLAALTWLLAADPRPSSTKAAATAADLVAEAKGVVSVPTFWIIVAQGAAGCLPWAALNFAAMWLELVGFTHWETTLIANLSSLANALGSVFAGVRRGPHGAALPRHGPDPAGAGEHRVDGPARRGPAARAPRQSIGGCCVCRRFLLPGLRHAMVPRLHQLIVPEKARTTVYALHRCFQTVFESFGTPVVGILAESVFGYQSTGSGQSAGADRKNGAALGKAIFAEIAVPATICCLTYTALYWTYPADRHRAQMEALQAASDDVDGDCEASSVAD >Et_5A_042084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6013660:6018078:-1 gene:Et_5A_042084 transcript:Et_5A_042084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NIPLSQYKATSLQAHAVGTYNPLPKLLGAFVLLLMWIAASSFDPPSPDEEANDYLRFAEVERHCGFVLSTAAGLADDPNRAGFVTRTLSFEKGDWHQATGHAPLMPFDGGDVPSDDAGGRPLEPLSLATFVLTDVDGANGGQTTALNVNGVLYLSISRKNRGSDIWPRVPVGPVTSPEFKISPGDTKLRILFEGVYTERATGDNDGDQADERVLCMVGRALLPSRGVDGVDPWDWAKNSGRSGFAPRVTADDRVLLVLRYPKEPTLTNRAVLGEMRSTSAASAPAYFDPVRLVSRLWWYPMHLARSEELVSGACTPLPSIDANDDDVAGDHERKRYRGSFFCEVLPRHRAQQRHCDSAATTACRSLGPFEMDRAADEDELVGVRIVMQDLQCDLEGAGVQRVSAVFWAIPPWEDKYTTVRRSGLGGMTLTAEGVWNVSAGQACMAACRGTGDKACHFRVCLYMSLTVSITRRSALVGSITSINASSGWATRSSLSFQLVLALPLYWGWDGERLAFTYNYTKVELAGEILRRRESLFDLRNKISMLFPLRYPKADYGNVDHTASLAYLTDELTLRFMDKPRMFLPEWMEKPAVLNLEIIFLGQVSDRSVLKGVSKSSTRVASAGPAAPEWQSSPINVSAELTAVGYPRVRLSAPASFMRSCRNQGENDGARARRARAMAARQRGARRRARIESNRIDGRPEAGRGQGCGEHGAGEISRKGWTAPSRCKLCTRRRGLSMHRDLKAHADVAPYVSLAMLGVQALGVPLVTDIQVLRTKATLRSDDISGLPTSSDMLNRMSPAYQSIYLAVKFLSLVALVLTLARVLARSPLEPGRVPDDAKVLVHLALVVFQFLLVVNGLRAMTFEQHVVLMQDLFLLPQVICNAAWRVNCKPLAGSYYMGVTAVRLLPHVYDYVRPPPRVAYSPEYVNASWSVFYPSAGDLVVPAVAVLRGLAVYVQQRWNYLIVGRMGVAEQRKSLHSIPNMVAS >Et_7A_051748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2404122:2406887:-1 gene:Et_7A_051748 transcript:Et_7A_051748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHPTAAAAATNNRLPLQPPAPAHHRALAPAVLRLPLRAQAPHHAQRARISAPLVAAAAAPAASTASTDGPATGAVTGKPTVLVAEKLGAAGLALLREFANVDCSYGLSPEELRAKISLCDALIVRSGTKVGRDVFEASGGRLRVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLTSMARNIAQADASLKAGKWMRNKYVGVSLVGKTLAILGFGKVGSEVARRAKGLGMHVIAHDPYASADRARAIGVELVGMEEAMTTADFISLHMPLTPATNKMLNDEAFAKMKKGVRIINVARGGVIDEDALVRALDAGIVAQAALDVFTKEPPAPDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVIGALKGELAASAVNAPMVPAEVLSELAPFVVLAEKLGRLAVQLVAGGGGIKAVKVTYASARAPDDLDTRLLRAMITKGLIEPISSVFVNLVNADFTAKQRGVRITEERILLDGSPETPIDYIQVQIAHVESKFPSSIADGGEITVEGRVKDGIPHLTKVGAFEVDVSLEGSLILCRQVDQPGMIGSVGSVLGEENVNVSFMSVGRVAPRKHAVMAIGVDEEPSKSTLTKIGEIPAIEEFVFLKL >Et_7A_052856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20131601:20135263:-1 gene:Et_7A_052856 transcript:Et_7A_052856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHGKEHKRGVPRPPPLALYRTWEEEEETVKTLTRQSPLGRSSSMHRDMAGNSSSNNKKRLSKQLSMKETTREVKWEKRRRQVHRRRSSMGLSEPDQDTAGASSSSVVNAVVDGEAAPRPSMERAAKGLTDADLDELRGSMELGFGFDEDKGGQNLCDTLPALDLYFAVNRQLSEPKMRWSTSSAPSLSATSSSSNLCGTPSPGSPSAQSNSMDSWKICSPGENPQLVKTRLRHWAQCRKPKATQRSESGNDHGGEPDHQVVGVRRLAGALRRHRPPVAVHHVNPAERARPLAGRLEPPVDAVPVECVPAGQPPGGLAGADPRKAHAALLAVVAGRCCLRWRLDAQQLGDVGEEVAHGW >Et_8A_058057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16686372:16694232:-1 gene:Et_8A_058057 transcript:Et_8A_058057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDPEPEPVEEKRPSPGEEAVAAEAEETRPTPAETPGAPVEEKRPAPEAAAEADARPPPEPPGKPPGFAAVLDKGVEVKAEPGAGDKLDKEMKKKGKIEAKVAKVSEVKVEATRRPAGSSAEAPILAVPMVAVPCFIAPPGFPGQFVMSHQAALASVTAQAQMHLQSPTSSAYSEAPSSPFYITPKAVVPLQQAPSASEVTICSTPKADRLSSSEPKSPHHVVVNMVADGFNWRKYGQKQVKSSDNSRSYYRCTSSGCLAKKKVEHFPDGRVVEIIYRGAHNHEPPQKTRFAKERVPPIRVPSGDETLRLVNTEIVDSQTPKHKLGQSSVTETSEHASEQQLFCSSDCEGDAGNKSEDEHPSAEPLPKRRTVEFSTPNFTPVLRTVKEQKIIVQAGNMSDGYRWRKYGQKIVKGNPNPRSYYRCTHGGCPVRKHVEKAPDDDNNIVVTYEGKHNHDEPFRRDMSISVIPPSVITTEEPNMSPSTSVITPSATTIEQPSTSTSASDKKLPTSSQKDAVIEPVKDTASELGGEKAIESAQTLLSMSTNSDEMKNSVLKETSPALQLWGSGRFDSDKKPFSVCEGTTNFLKIHVELNGRKVCSQGTYVQSNGDDPERCYTGVNAKLGAGSKSFTAFFTASTSMSISFPVADEMTVQLALSSTYGRNSFAFSAEENSATLDEKGTKHKARHGMRNTAARTETLCDCDVTSLFTMECRGRWRAGRRRCWSRRHRRSR >Et_7B_055690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2281445:2283470:-1 gene:Et_7B_055690 transcript:Et_7B_055690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWASSDLRIHGARVELGSSAEPRPMPISFPASASIAATPATRRAPSSNPHPLAVSGLQEAATMPLRPGSSSLVTPTASAYGSTSAPPVLGGPPAPPTAGTRVVGAAYRREEDLRLGPFPSSSTFPISEPARRANSGGTRPPRPSVVKKKKRPLFIAPHPPSRAPPPVGELPHRQESPSPGGGLPRQFLNSDVDGEFSPTRSPIFDWTPDNAAEFLFYKLRMLLQAQAVYASKNSGKRCPFIDCWLVVRHTEKFATLHDINKTKNKRSSKSTNLNLNIPAGSEGDEGGEDTMQAQESSSKKPRPPGRKQSKEKLKRGEGDDDEYKGMMKSLIELKAMEMKRKEEVDQCKIELEERRLQWKQEERIMFCDVSKLDQHTKTYVMSRRVEIARLAALRASLGESGSQRLPVPSFSSEFNVFHVWQYKANVVWKFLGTTVQI >Et_4A_035181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10585023:10585506:1 gene:Et_4A_035181 transcript:Et_4A_035181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRDGVAIREVREVDGSHGKHFRVCGTKGGREGTGVKCLYPYELQKLSASPVVFRRLPPSLPTRRIVGAPPKIVAVVKTANPSEDLKSTVPKEKLKPVVVIGDKRPRPAAEEMFQGWVPW >Et_10B_004303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3194716:3195418:-1 gene:Et_10B_004303 transcript:Et_10B_004303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTVKFVKRLASFLGDPFTSEEENGGVPEEVVRLCSFKTLTSLKTNQNDVLHRGGRTVKKSAFFRKGEAGGWVNYISEEMGKKLDDIVEEKQGIWPYYYPLCLGQNTLHTNFLLARRHDLELCALQRYCSNGGIGKMMATSAAEAGDESPWRQELAGRPVLG >Et_2B_020540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21140839:21144810:-1 gene:Et_2B_020540 transcript:Et_2B_020540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAPRELGQFDGWESSGEEERERWGWCRRSRRGSSRRRASPKGGDDTTVATGCCIRLWPIGSCPAPPRSKVDTSTSSASTHGRKSTENGSRNQPVASVVSGSTTTSNAESSSSASKVGEEIKVASQLRKFAFNDLKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLHHPNLVKLIGYCVEDDQRLLVYEFMPRGSLDNHLFRIMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPLLGERQRFYKLIDPRLEGNFSVKGAQKAAQLARACLSRDPKARPLMSQAVEALKPLINLKDMASSSYFYQTMQAERMAHSSRMAHSSSMNGRNSHDIKTQGSFARNGQQPMRSLSDGPRASPFRYSPKPNVK >Et_4B_036784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11526147:11536810:1 gene:Et_4B_036784 transcript:Et_4B_036784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRDGDNNRQDDFLTEVSVISRLRHKNIVSHIGWSYNRGKPVLVYEYMPNGSPDQHLFRRSTSSSGNMQPPAPICQWHTRYNIVKDVATGLRYIHSEYEPMVLHRDIKASNIMVDSSFHGRLGDFGLACVVTDGRNSYTDPGVPGTHGYMAPEYWYTGKATTNSDIFAFGVLVLEIVSGKRAICRNVQFVGHITDRVWHLHSEGKLLDAVDDVIPAEQLRPCSQNFSPMETNSPLTLRMPKRLLLHGLACSNPNPSDRPSMEEALQIVTKLASPPDTTTEQNFPMSFKPSRK >Et_7A_051942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3061280:3061985:-1 gene:Et_7A_051942 transcript:Et_7A_051942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARAIICELPPQKASAAQVPAQAPRRRDAGKIVLQPRLCTLRSYGSGVVTRRMLAAGEEQEGAAGGADAGGSSPFFASLADYIESSRKSQDFETISGRLAMLAFAAAVAVEVTTGSSLFKKLDTLEIEEAAGVCVAVVACAAAFAWATSARNRIGQMFTVGANAFVDSLIDNIVEALFSEGELQDWSDDL >Et_3B_030670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6137063:6137694:1 gene:Et_3B_030670 transcript:Et_3B_030670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AQYDSIFRFIKKNLGRKDSNLRITGPKPAALPLGHAPFRFIYYMKVEFLPFSFENENTRSFFFAKLPEAYAIFNPIVDIMPVIPVLFFLLAFVWQT >Et_1A_008665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9732415:9735972:1 gene:Et_1A_008665 transcript:Et_1A_008665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGGVSLRPSSAQAPARIGKLPSVDFLVRAAPRRQAARRALVVEARGGRSWSERQLQQQRRMPQLPKIEDDGNPRFVIFIRTANVYFWYPLNVITGGTTAKIMLAAKDNFLGKYIYKDTLARNLAAVIYKDEDEIIDTAKAQYRVLKNENEFRYGYKVVEKGNIRSALTTSNVIELPKKDELKTVVDKVKDFFGDVTTGAKESFAQITGSAVSKEDEEAEGQEEKFRSKKRKKRKSKQSLSKYIKTLFHQFNTCVTEGELILSLSTSEGRQTKKQLRFCRVRSSNAAKNDLYWQLYRELRNSE >Et_2B_018957.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1941761:1942873:1 gene:Et_2B_018957 transcript:Et_2B_018957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKHVGGTTGRSVLVVLHVEALGDTLTDGRITLVRAEGSLGVSLAPGEEGRPGLLNVLLLASPGLEGARLKSTAERERQRPRLLGVELVHCIQVQGSLLLALSTREEDNGRHSSRDGPLKGTDSVLSNDLRGHLLGVGSGGDHVGLQEGTFKENVLLVESLVAGSKDHLRDISAALNVMRSINKDLRLNNRHQTVLLADDGIASQALSVQINGELRWLIGANLKDSTPLGETGTGLVVLGAALAKVVMTLGGGLLVSASNLNSALVHLDAREDATLLEDINEGLAILGLLVEGLLKEDHTAEVLEGTRSAEEELTEGATVLLNVLDIDAGKALANGASGLISSKDTLAGGTNVGSILDELVCKIDNTEIS >Et_9B_064641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17261567:17265998:-1 gene:Et_9B_064641 transcript:Et_9B_064641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHGTDACFSPGRAMSPQVRPPGPPDIGSQYLAELLQEHQKLGPFMQVLPICSRLLNQEIMRVSNMRRQHGVGDFERLPVPSPNQMHTSPPMPNFCGNGFSPWSGMHPERVGVPQGAMGWQGPPQSPSSYIVKKILRLEVPTDTYPNFNFIGRLLGPRGNSLKRIEASTGCRVFIRGKGSIKDSSKEEQLKGRPGYEHLSDPLHILVEAELPANVIDARLSKAQEILEELLKPVDESQDYYKRQQLRELAMLNSPLREDNPHPGGAPPSPFSNGGMKRKPPISPCLHNLIFHEQAFMMVLALCDILYCTSYDNLTNTKAYKLMKETGSYEAIASVIHAGGFEQRAK >Et_8B_060626.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3653121:3654236:-1 gene:Et_8B_060626 transcript:Et_8B_060626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAKKSGRSPSGLDYDDYARSLPTAGKAAPYNYAYQAPSESNEARDDDVPFNYSYKGTSDGGEVRDDDVPFNYSYKESVDVEAGKSSGATASEERAGGEATSPSKDGKENVGAPYNYSYKAPSGGTTARGGGGDKATTTTTTVFFHEESVRVGERLRFRFPAASPAPLGLLPRHVADAIPFSTPALPRVLALFNVAPGSAQAAAMAETLRTCEWPPLAGEAKFCASSLEALVERAMSTLGTRDVRPVTSALPRAGAPLQAYAVRAVRRVEDGDGAGASSFVACHDEAYPYTVYRCHTTGPARAYMMEMEGADDGAAITVATVCHTDTSRWNPEHLSFKLLGTKPGGAPICHLMPYGHIIWAKNVKRSPA >Et_2A_018491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:340464:351047:-1 gene:Et_2A_018491 transcript:Et_2A_018491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPVAIVVAVVILAAAAAHVASAHYSPQPFKTSDWHDGSATFYGDSSGLGADFGGACGFDANNILSLYSTYTAALSTPLREIPGISCIMLISLALRAR >Et_9A_061826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16916076:16917518:1 gene:Et_9A_061826 transcript:Et_9A_061826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVSLPTTCSAFCLRGMELHDPCRPSSNARAASLVNMGSCPRFSRAPRGRLVLAGRARAQPNEYKAVQLVLGGRLPGDYDSDSESSDDEEGGGEEARMTDAERRTLRRKIRDMMDKVPETAELTDPEERKAKMRELMTKYELVVEEEDPNWPEDADDGMGFSLGQFFDNITIKPEKKDEDDDEAEDRKEIVWEDDNYIKPIRDVKTKDWDASVFTDFGPMIVLVHNRYKRPKENEMARDELVKAIEMFWEHNLPSPRCVAVDACAEPDLVDALKVSGFPEVLFTNAGRIIHRDKVVRSADEWSRMMAFFYYKAARPSFLSEADGQGQEKVPLMS >Et_5A_042509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17178231:17180060:1 gene:Et_5A_042509 transcript:Et_5A_042509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPASWERDGDEYDYLFKVVLIGDSGVGKSNLLSRFTRNSFALDSRSTIGVEFATRTKVEGKTVKAQVWDTAGQERYRAITSAYYRGAVGALLVYDVTKVMTFENVKRWLKELRDHADSNIVIMLIGNKIDLKHLRSVAVEDAASFAESEGLFFIETSALDATNVEKAFQTVLAEIYRIISKKPLSSEESKSGPGNIKEGQSIHVSASNSSSFTSRCCST >Et_3A_023241.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:13399345:13399425:1 gene:Et_3A_023241 transcript:Et_3A_023241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILCNILVAQLQSQTLRGTRSHFQN >Et_2B_021536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30443510:30445295:1 gene:Et_2B_021536 transcript:Et_2B_021536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NQPPTMADVQEPLVRRKRKKVLVDYLVQFRWILVIFVVLPISALIYFNIYLGDMWSAMKSEKKRQKQHDENVQKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDKERMVAKVEPLVNMGQITRATCPMNLALAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDTVVAMEVVLADGRVVRATKDNEYSDLFYGIPWSQGTLGFLVSAEIKLIPIKEYMKLTYIPVRGSLKEIAQAYADSFAPRDGDPSKVPDFVEGMVYTANEGVMMTGVYASKEEAKKKGNKINSVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQFWFRFLLGWLMPPKVSLLKATQGEAIRNYYHDNHVIQDMLVPLYKVGDALEFVHREMEVYPLWLCPHRLYKLPVKTMVYPEPGFEHQHRQGDTSYAQMFTDVGVYYAPAAVLRGEEFNGAEAVHRLEQWLIENHSYQPQYAVSELNEKDFWRMFDASHYEHCRRKYGAVGTFMSVYYKSKKGRKTEKEVQEAEAAILEPAYADEA >Et_4B_038156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26791208:26791549:-1 gene:Et_4B_038156 transcript:Et_4B_038156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGTMKSKKIPFPLILLIFIVIASQEDMVMRVGADSAGCGIPGFSRCGARCFKSGKCDQCCKNHGFPNGGKCWALLCFCCTE >Et_1B_012351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31078424:31082374:-1 gene:Et_1B_012351 transcript:Et_1B_012351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREPEIMPRPVTQNRPTWQTHYYRLLVCTAPALPATARLIHRPPPLPSPSQRPRAAYRRLMSCLRRAQLLPFLRLRRGLSSSSSAAEAGAFPPPRTGAGRRVVVTGLGAVTPLGCGVERTWDRLVSGECAVRALAAEDLRLPGDAASVGRTLEQLPSRVAAAVPRGKGEGEFDDEAWTKDKSVSGFISYALCAADEALRDANWLPSEDDKKERTGVSIGGGIGSISDILDASQMIIENRLRRLSPYFIPKILINMASGHVSMRYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTESSIDALLRALSTKFNSSPEAASRPFDCSRDGFVIGEGCGVMVLEALDHAKERGAKIYAEVRGYGMSGDAHHITQPQHDGRGAILAMKRALEQSGLRANEIDYLNAHATSTPLGDAVEATAVKSVFGDHATSGGLAFSSTKGAIGHLLGAAGSVEAIFTVLAIHHGLAPPTLNLEQPDPLFQDAFVPLAESKKMPIRAAISNSFGFGGTNTSLLFSCPP >Et_1B_013100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5518364:5519475:1 gene:Et_1B_013100 transcript:Et_1B_013100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRTLLVFAWAAMVVSSVALASPAALEVGFYKHKCPQAEDIVRNAVRRAVTRNPGLAAGLIRMHFHDCFVRGCDASILIDSTPWHEAEKDSPANNPSLRGFEIIDEAKAIVEQHCPRTVSCADILAFAARDGAYLAGGIDYAVPSGRRDGRESVMDEVLEHVPFPDSTVAELVDKFKRKGLSAAEMVTLSGAHSIGRSHCSSFTQRLYNFSGEPGRTDPALDPAYAADLKRRCPPSTYDMSDRTTVPIDPKTPDVLDNQYYKNVLAHKVPFTSDQTLLDSPWTAGLVAFHAAVGPAWEAKFAAAMVKLGAIDVLTGDEGEIREKCSVVNHY >Et_1A_007309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33176735:33180711:-1 gene:Et_1A_007309 transcript:Et_1A_007309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAGLGYALIALGPALSLFAGVVARKPFLVLTLLSSTLFWLLTLIILSGVWRGFLPIKSGAWWPYIILILSSVALQEGARLVFWRLYKKMEEMLDAFADRISKPRLSWTDKMLIYLAIVALGFLVVHTFSMIIAFNGYDEKKKSDQIFVPVVHVAAAVMTLVNLAPGGCLIGTPLLSVSAGLTLHYCWRVVCRRLTEHQHRQLTN >Et_7B_056026.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:9749431:9751512:1 gene:Et_7B_056026 transcript:Et_7B_056026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVHTAAGLPAPAAPLPARATLGHVPQLHAQLLKSGELTASPASFHSLLEATALAEPPASPAHLSYALRLFRLGPRPPLSARSYNILMRAFLRAGHPEDALHLFVEMLDAALACPDQHTVACSLKSCSRMCALDVGRGIHAYAVKNGLMVDQFVLSSLIHMYASCGDVAAARLLFDAVEDKGVVMWNSIIAGYFRNGDWKDVVDMFKGMLEVGAPFDQITLVSVATACGRIGDAKIGKWIGRYAEENGFMGNRNLVTALVDMYAKCGELDKARRLFEGMQSRDVVAWSAMISGYTQANQCQEALTLFSRMQATELEPNDVTMVSVLSACAVLGALETGKWVHSYVRRKHLPLTVALGTSLVDFYAKCGCIDSAVEVFESMPVKNSWTWTALIKGMASNGRGREALELFSSMCEAKCEPTDVTFVGVLLACSHSGLVEEGRWHFNSMSQDYGIQPKVEHYGCIVDLLGRAGLIDEAHQFIRTMPIEPNTVVWRTLLSSCTVYKNVEIGEEALKQIISLEPSHSGDYVLLSNIYASVGRWKDAAMIRKEMKDRGIERSPGCSLIELDGMIFEFFAEDSDHLQLREIYKKTEEMIDQIKKAGYVPNTADARLDIDECEKEVSVSHHSEKLAIAFGLMKLQPGVTIRLSKNLRVCTDCHSATKFISKVYNREIVVRDRNRFHHFKDGFCSCNDYW >Et_2A_018236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19861074:19861965:-1 gene:Et_2A_018236 transcript:Et_2A_018236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRDSFLDLLLIPLSLLLPMAYHAWLRRTVRLSPLRTALGINSAARRIWANSMMKDNGKHAVTVVQSVRNVIMGSTLMATTAILFCTGIAAVLSSTYTIKKPLSDTVFGAHGEYMMALKYVALLLLFLFAFLCHSLAICFLNQASFLVNTACALVASDDDESGRSLVIGVPDARGYIHDVLERGFTLNFVGNRLFYAGVPLLLWIFGPLLAFLSSLVMLPILYNLDIVNVKSDAGCVNGKSSETNGGVDCMHV >Et_10A_000635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14120891:14123832:-1 gene:Et_10A_000635 transcript:Et_10A_000635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTAGRMREVEEDGEVKMGRNRYATDENSDLKEHGHLTIHHRHPHLRREKTETQPFRKRGHKQILSLTLIEGRAASLDSSSQGMEHTADLMTPYKMGTFNLAHRVVLAPVTRCRSYENLAQPHNTLYYEQRAAPGALLIAEASAVSEMATGYPHVPGLWSQEQVEAWKPVVEAVHAKGALFFCQLWHTGRKSPTKEFGAPTRMDPEEIPQMVMDFRVAARNAIRAGFDGVEIHAANGFLVNQFWFIIDIGRVDSQSPLRMDQFTQDNQLNGGSSLDDVRRRFATDVVAAVVDEVGAHRVGVRLAPFASYMDCTEADAEAHALHLVHFMDKLGVLYCHVVEPRKCVNGDDGKHRLSLFRKAFRGTFIVNGGYDQEEGDRVVRDGYADLVSFGRLFLANPDLPERFRKKAGLNKYDRSTFYTSDPVVGYTDYPFLGQETQVA >Et_2B_022863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6925555:6930999:-1 gene:Et_2B_022863 transcript:Et_2B_022863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDKDAPRRPVRAIPPSVPMSCLQLILTPSRRRPCCALRLGEQVQQSSTKPFDVERLGKRSKMFGFFGRNTDATPPTFKVFSKADEGRCLAVRDGALVLAAADAGDECQHWTKDVRYSRVIKDEEGNPVFSLVNAATGLAVQHSRGPGHPVRLARFYPDGYAESLFWTESADLRKAFHMMHNVDLCMDAPAIDGGTAATVVLSYVDDSRSDGQSWKTVPWSGEASFDDELGSLPTCRIYCRADEGFSVTVRGGAVCLAPTDPDDEGQHWVVDKRPGDMIRDMDGSHAFVLVSKVTGEAIAGGNGYTIDDVQLKLKPYNPNFLDVPVLWTTSPDLGHGFRCIHQVDNTSANFDAFQDGKDVHGEVRDGTRIRDRLSSAPEF >Et_3A_025896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33868526:33873838:1 gene:Et_3A_025896 transcript:Et_3A_025896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDELVEKELPVSEAIQSAQSNSKFRTLSPGPIPIPAAPSIRSLVESVSNEKANVDVIASHQSENGSISTASSTVSLLESEKAAYEFLPQTPIKSTDAHLVEFSEAMRTVAKALRRVAEGKATAQAEATEWKRKYELEAAQKQQTKIKGCSCCSSNNLEKLASQLTLETPVSDQSVCCGKHGICSHEVLQDEVPGPNPRSSHKMVGRKASFKLSWGCNGDKNGQHKHDFVSFEKGDITTAERSNKQILLKWESRPQTVLFITKPNSNSVRVLCAEMVRWLKEHKNINVFVEPRVSKELLTEDSYYNFVQTWDNDEETKLLHTKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFALGSLGFMTPFPSEQYRECLDNVLNGPFSITLRNRLQCHVIRDSAKDELETEEPILVLNEVTIDRGISSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPFNSRGQAWASFDGKDRKMLSPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKTQSFDGPRE >Et_10B_004283.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:292719:293045:1 gene:Et_10B_004283 transcript:Et_10B_004283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHADEAALRAVQKPPAKPWRGGGTGTAAPPPKVYRVEPREFRDLVQRLTGAPPPTRQQHHPHQMPPVPVRAAGAEDHHHHPQQLYAPSWFSYPMPGMDHGGNGALM >Et_4A_031929.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30093436:30094299:-1 gene:Et_4A_031929 transcript:Et_4A_031929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NRKEKSKLQDKETSLKKAAAKGSKAEQKAKKKQVEEEVSRLSAELEARHAAELASFGYKPSGSSDKGNLDNLVKAIAGVSVSSNSESAKPSKGARRREKKAKEEAAREQRIQEEQSNLVSDRMMEDEKLENKLEPLGLTIQEIKPDGHCLYRAVENQLSLHSNGTTHSYQELRQMTAKYMREHAPDFLPFFLAEGKVVTGLDPSESFESYCQEIESTAAWGGQLELGALTHCLKKHIVVYSGSFPDVEMGKEYKSGSGDNSSVRLSYHRHAYGLGEHYNSVIPTELS >Et_10A_000363.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5518351:5518638:-1 gene:Et_10A_000363 transcript:Et_10A_000363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLPQEELNTLFGLSCTKGSGLQTGWFVVAWTLMIHEMCPLCGQEPETIQHLLWRCSYTKHGWRLMATHLHLPLPAPARTVQGGWKRWRRLLPY >Et_2B_019129.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:12343896:12344060:1 gene:Et_2B_019129 transcript:Et_2B_019129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEQRQLFTSSCYMEVIMVVAWAIWLHRNDIIFNGSISFGSSSISLCIELSRA >Et_1B_010622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12133397:12135536:-1 gene:Et_1B_010622 transcript:Et_1B_010622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPEALIGTPRPAERPAGGRPPHRPLLPPGAGGGAETSVLARSWRSLWRSAPCIAIDQREFGVRARTREKPNWARLARFADNLLSRRCGSSASLDRFRLLAAGHEEPVCDWIRRAIECRPAVLDFPHAGSPLYYSRLKKLRLEEIELDVNFTYLVSSCPALVVLELVRCFYYFSRIASDTLENVVLDSCYDCTIHEQTVVITAPSLTSLELDTDDETFPGGVSVCNAPSLVRACIRRRKGEYFHEKEHATMLLGSLFNVTSLELKGFNTLGNSDKFPMFPNPRDLSLDKCFLDDECDIQSNLLDLGSFLQNAPCLEKLTLRRCMGS >Et_2A_015044.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27438589:27439065:1 gene:Et_2A_015044 transcript:Et_2A_015044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELETGEGTPGDDVVNFWKDPNAESCCICGEEAAEARHTELACPYNYLNPAWYVPCRARFSAWREAHGATSGHRWFLRRFVRVNNLPGTCLPIRLARLFARFGPLLMWHVAMDDPGTCKGFACMVFERREHAVEAIDELNCYSFDGFSLRVDWAYPSA >Et_3A_023356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22533277:22533518:-1 gene:Et_3A_023356 transcript:Et_3A_023356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEERREEERRKMRQKEEERRREYEAKRKGTRDRKERMRERARRARAPGPDEEKYPRCTQ >Et_8A_056415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:45111:45607:-1 gene:Et_8A_056415 transcript:Et_8A_056415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METRLTTNDNRTTVFTTEKFEKKKQMFTTSGNRTIVFQQSKDIIDREDPLAIAADQPFQFPATFAFVVRSFSVLDGIGKALILGLIFQRLLSREYTFSITRILLHLALILLKA >Et_5A_042591.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:21363322:21363795:-1 gene:Et_5A_042591 transcript:Et_5A_042591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPKDRLEQIGELVLAVAIEVVVGQMISAVIDRYCAWCAKQSIDDGKLERLQLLVIKLCSVVERAEGVQIRSWMMDQRLSRLRDAAMEGDQVLQLFKQSYAVEADANNALWNVVKRVLIWPSKCLLASDRLSQTVARLEEECAGIPGFFVMLDRET >Et_5A_041439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22886037:22893825:1 gene:Et_5A_041439 transcript:Et_5A_041439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCLSNGGAASEAAPAPAPKDTALVLLPTGELREYPRPATAERVLDDSSDDGWFLCDSDRMGFEGAVTAVGGAEALRAGRIYFVLPAETGRRGLRREEVAALAVKAAAALAKAAASSASSSGGRRRRCGSVAPLVFAPPEEEDEETAFPARKAAAPTASGKRQSAAGRPRRRFAPDLTAIPESEMSDGAAAEPRRATALVLLPTGEMREYPRPATVARALEEAAAEAGNGKQKEGWFLCDADGMGYEGPVSAVRGDEELRAGQIYFVLPAEAGRRGLRREEVAALAVRASAALARVAAASGTGRRRRGAVAPLLFSPPPEVENETSVAARKTAPLSAGKRCARRTHRRFASDLTAIPECEISV >Et_1B_012294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30556278:30560832:-1 gene:Et_1B_012294 transcript:Et_1B_012294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSCTPAAAAAAALHNASPSRRAVNHVLFRQKLSFLTVQHAKCSPHLIRSVVKGIRKDTTNGDNGAIEPARELLERLFAKTKSLDPNASQDSELSMSIEVLKTEFEAALSVLRKKERDLRDAERKVSVDRSRLNQTKQDLDQREEDIIKAYARQREMEKALMKASRDLTLQVRQINNLKHLVEEQDRKIVDSQAALSQKVIEVDKLKEDMRKKNEEAAEMRSEVKSKEKQLHAANQALARQEATIAELQREIKRKEAAIAKSNELRKANEEKLKIAEEELTKQNLGWIAAQQELKELAQMASKDKDNIRNTIDDFKRVRSLLDVVRSELIASKEAFTVSRQQIEDQATLLNKQMQELTDQRVLLISYTQDLEAAQVEIQGKVKELNDVRFRCSELESQLHKEKERVESLEAVLTKERESLEQKTKEVNLLQEELAQKEDEYRNSQNLVQVKESELLQARHEVEDMRLKVDSIQLAVQEKDSELLKTQQRLAEVNSEVVELKELINSKDDQLVQIRTELQDKEQHLHTMQDELDKMKLGRSKAESMLRKIVDLTGDLTGSVEGGECDIYSLLDDEISSTGTALEFNLHKQKQLEADIDMLKESLQQKDMDLRAAYKELDAKDQELKAVLRRLDVRDKELDKLEDLSIDPNDIRRLSSLTDEATEGNIKAEPVEVEALAAATTLNKLAALTKEILRRQKTESACKGTRTVGSRYRSFFLLTESPISSVMSSSPGETPSGSSQSKWYRSEASTSSMVACANAMPGHILRPAPNGMNSKSLPLKSTLQLSNRSGLNASASSQYSGSLLIIHTFTKAVVPSGTSYPMTLQVRRHSLGTSNGDTGCSRRVSLMMSFVYLSSAMASSVTDDFPARLFRISARAFCIAPGFLISSAMAHCSVFADVSVPAPRRSCGVISANTS >Et_1B_013462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8677208:8680847:1 gene:Et_1B_013462 transcript:Et_1B_013462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSLAALAALLLLVVGYPCHARPEPEPEPTEDESFGTTLVDGIGLIYNFGDSLSDTGNLLLEGDASGMLNYTTSLPYGSSIGIVTGRCSDGFLMIDFLATDLDLTCLSPYLDPNGYFARGANFAVAGATALDAAALARRGVAVPHTGSSLAVQLQWFKNLMISTDYGRLNTTMQDTREMLSYALVVLGEIGGNDYNYAFASSLLKSAGGRRNFGRAVSKAVALVPDVVREITNTARELLDMGATRLVIPGNLPLGCVPSYLSAANEKDPKAYDANGCLVGLNAFAQMHNAELQKGIKELRASYPAAKIAYADYFHAYVQMLRNATEMGFDRGSVTRACCGTGGGKYNVDLDRMCGSPGATVCASPDRYVSWDGVHLTQRAYRVMTDLIYHEGFASPAPVEFPPRASRTARERPSGLLQYIGKLPYGMDIHGPTGRCSDGYLMIDFLAKDLGLPLLNPYLDRSADFTHGVNFAVAGATALSTAVLAKKGITIALTNSSLDVQLKWFKDFMASTTNSTQEIREKLASSLVMLGEIGGNDYNYAFLQTWPKAGLDNIARMAKSIALATELVPEVVQSVANATKELLDIGAVRLVTPGNFPIGCVPSYLATANITDDPLAFGADGCLAPLNAFAELHNAALRDAVAALRRAYPPATVAYADYFAAYLRVLRGARAYGFDAARARTACCGAGAGAYNFDARRMCGSPGARACADPGAFVSWDGVHMTQHAYGVMAEMLYRGGLADPAPIQWP >Et_2A_016744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27852915:27854877:1 gene:Et_2A_016744 transcript:Et_2A_016744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKKTMRALQYDKYGGGAEGLKHVEVPIPSPKKGEVLLKMEAASINPIDWKIQKGMLRPFLPKKFPWIPVGDISGEVVELGSGVTNFKQGDKVLAISFPNGGGLAEYAVAPAALTVARPPEVSAAEGACLPTAASTALQQLKAAGISSFDGSGVDSNAAPKNVLVTAASGGVGHYAVQLAKLAGLHVTATCGARNLGFVRGLGADEALDYKTPEGARLQSPSGRKYDAVVHCATGTPWSVFAPVLADTSTVVDVTPGLAATAKSFLQKVTRAKKRLVPLVLVPKKEEMEWLADMTAQGKLKTVIDSRYPLSRAQEAWAKSIEGHATGKIVVEMGSEE >Et_9A_060997.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:3460667:3460939:1 gene:Et_9A_060997 transcript:Et_9A_060997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KSGWFWSPTASGGLHPLLGFLYSGVRGLELDVASVPFYSGEEMAVGHFAQLVHSASTRHVGCSDVLLMVPSQNLEVASPAATTGSCLTRT >Et_5B_044102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2080592:2082292:-1 gene:Et_5B_044102 transcript:Et_5B_044102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDFSIILFTGQQELKSMVTKPASHKSFTENILNAVAKTTKYTYSRAERTLTLELRAAAASEAAPASALQKGKELGTRSLTARRCAPACAGSRALLAARGRAFPLPQLFIGDRPVGGVDEVQHLNETRQPRQLLDGAAGEDPAFVCGGVRFVPCIVSCLKVTTRRKIASSAVASELGTNWYAALIAVLDR >Et_3A_023172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34616097:34616780:-1 gene:Et_3A_023172 transcript:Et_3A_023172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLWKQLTADFLILICRGTPKKKKKKANFAQSSIFPLEEDAPAASMSFHRADLWRFQVRKKDNILAATLEHQRGQEVVQISLLSHSMDRPSITHRAAYEGKAKKKGQTNKEGSKQS >Et_8A_057227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22557457:22559105:1 gene:Et_8A_057227 transcript:Et_8A_057227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVQQVISAGNNVREERQLKVELECLRASLPKARLLISRGEWGMFNDKGRDDEELRQKIEDAGRTWAGQLLSSSLNLARNVIHGTKTRVKEAQNKLDKAVADIGVLNFMGLNIESVQFMPETSSVISAPQVFGRDEERDALMEMLGVPIGRVDKIDQVIKQLGVPLTMGGGGRKSAGSKGKGSVAESSSMEMCIFVKITQKHILACKILVRAFLLGCAGLLWLPKLSDAC >Et_2A_014663.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33057251:33058153:1 gene:Et_2A_014663 transcript:Et_2A_014663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSQNKRQVRNAGEDDDAEKKHAKKQRKTATTSGWFLLDRCGYQNQSQTDDGDSASLWTASGVTSQGARFELSLRAQAPPGVTRLVFTAGVPADVLTSYKPPDTAPHHFFPFRTRSAEFNLRVVASDDTAVLVQSRCLDMDYFVLDYRVDVDGLSPPALTRLPGTPQPVYYGMGIMRRGGEGGGYVVAALQEAVAPEPSWHVYFFSSSSDSNAWRRREARLPAEVGDWLRWRNVAVVACGGRFWWVDLQRGMLSCSVNCRWCSGSRRSRTCPWSRPRTRATPTTRWSKTGASRPARDA >Et_9A_061991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18680485:18684492:1 gene:Et_9A_061991 transcript:Et_9A_061991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLAAGADLSAADPKLHDMQRNTGLGFFGRRDGPMQERAVEASTPLPLQQEPERLSKRVHARISRFKYQFLSKQNRVRRMVEAALSAYNRDQVTPYVLHAICGVNELVSGPEFCLDKTHRGYNPWSPFKYHHSHINFLATQCVGAPATLFFAECSNHGTQRPWCVPVSLQHPQAGKIRCLYCELECDRILHPALTVFQGCGQEFVKMLSGEPPFGSGTDKYTTDEVIGLSVALVDQAECTAGPRVHGCPVCGVEFAVGQALGGHMRRHRASAAAQGSRAARSHARSSGSAASAKAGGNGEDCVDGICLELNLTPSASCAKCQKNADLGAKEPGAHKTLVLDCIL >Et_2B_020700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22822254:22827609:-1 gene:Et_2B_020700 transcript:Et_2B_020700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRALQRRRRPVLLLLLFLFLVATGQSLGRVTRRRSVDGRIKTVVVLMMENRSFDHMLGWLRTSRPDIDGLTGREFNRLNASDPAPAVPVYAALATEFAVLDRWFASVPASTLPNRVFLHSATSHRLSSNIGGHGHLSQPQRTIFESLDADGLTFGVYYQDIPTVLFLQRVRRRRFLRRGFHIYRRAFRRHARRGTLPNYCVVEPRYFDTARRPANDDHPSHDVSEGQRLVKDVYEWNETALLVTYDEHGGFYDHVPAPAGARRPEPPPFRFRFDRLGVRVPALVASPWVEKGAVVHEPPQGPTPTSRYEHSSVPATVRKLFNLSSGFLTQRDAWAGTFEHLFASRDTPRTDCPEKLPVVRKTLRPFGPAVGAAKPLSGFQEELMQLAFELTGEYHPGTFKDAVRGMTAAEACRYARHATA >Et_2B_021789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5484096:5486594:1 gene:Et_2B_021789 transcript:Et_2B_021789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGADFYHVMTAMVPLYVAMILAYGSVKWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLIVLALLTVWSHLSKRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARILITEQFPDTAGAIASIVVDPDVVSLDGRNDAIETEAEVKEDGKIHVTVRRSNASRSDIYSRRSMGFSGATPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFAAGDAFGGAKKAANGQQAKGEDGKDLHMFVWSSSASPVSDVFGNGAAGEYNDAGAVKAAASPRKVAADGRKERGEDYVERDDFSFGNRGAAERDAEAGDEKSALEQGKAGLAGPAAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIILKSISILSDAGLGMAMFSLGLFMALQPRIIACGNKVATFSMMVRFLTGPAVMAAASIAVGLRGTLLRVAIVQAALPQGIVPFVFAKEYGVHPDILSTGVIFGMLIALPITLVYYILLGL >Et_10A_000063.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21230771:21231115:1 gene:Et_10A_000063 transcript:Et_10A_000063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VPHTHASPEQQRDEAEEEHGHRCKRSRVAASSKAILGLHEVTACETRHLECAVCLQDFDTEDKLREMPCSHAFHQDCIFEWLRRNHVCPLCRHALPTLDDEEEEQELSMPAPEA >Et_3B_029721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27381555:27385805:1 gene:Et_3B_029721 transcript:Et_3B_029721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHVKPLAVAPERVRLLPVRRVVADLELLVGHAQRDEEADAEQDDRGDHDVPRDDEERAAELFAELGDPAAVKDAARAGDVRVERREVRGGEEAREDAAQEPSDGVRVEDGEGVVHLLEELGLLVEDHHGDPRQAAGADTHQYGGPCVHQSCMKGGFYFIILQFEQILLPAPAVMQTSPVIIPCTAPITEGLPKKMTSRQVQVKRLAAALTLVLSTATDAVTLGAYGAPPLNPAQPSHKSPPPAIMSRMLLGANLSLSLFCLGPTCQEHTRSCTYPVCGGEAGDAGGEVDDVSAGVVDDAPVVEEAAAPQAERADGVGEEQPQRRERHPRPDVHAPEQRAGEQHERDGRELELEQHQRRLRVERLEARRHERAVLAVVRRGRERRAADEEVLGQRRPGFSPEREEPLAERHAEAHQDPDDERRRVRVHRHEGGVHGPFLLDDAAVEHHQARHGLDAHERGRRQLPRVVAFVQPRRHRREVHRVGAWLRRKRGHFFSRRRAEIARLPRVIAGRGVTTQAGAPRRGSLATMLNKHQRVADQKLRHSTIKQPKDLQLNGDASGTHAGQPLLDGVLPRRAGSSARPGGLRARDVELLPVAPERVGLLAVGGVVADHELVVGHAERNEEADAEEDGAGDHQVPDRDEERAGQLLADLPDAGAVEDAAHARDGGVEGAELRGGQEAREDAAEHARDGVGVEHGEGVVDLHEEGGLLVQDHHREPRDAPRERAHQHRRPRVHQPCTMQNQHKLSSADQISVARLILLCLEIVLPAPGVMQTSPVIIPCTAPITEGLPKKMTSRQVQVRRLVAALTLVLSTATEAVTLGEYGAPPLNPAQPSHSSPPPAIIRRMLFGENLSLSLFSLGPTFNDAPLVEESAAPEAEGADGVGEEQPERREHHPRLDVHAPEQRPGEQHQRDGRELELEQHQRRLRVEGLGARRLQHAVLACAVAGNAARCTRKSWVSAGPALAQNGSIRSPNAMRKPSSTHTMSVDAYAYIAMKAELTAHFFFTMLP >Et_2A_018544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32239390:32240543:-1 gene:Et_2A_018544 transcript:Et_2A_018544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPATNAGRHGPSRARFVSAAKRTTRPSASVARTATRIRTAAVVADMWRQVQGSDDWDGLLQPLHPVVRESGKLVDACYDALDTDPSSARHMCCKHGKESALDGAGAAGRGYEVTRYIYATPDVGATTSSGRSSWVGYVAVSTDEMTRRLGRRDVLVAFRGMVTQAEWAANLMTSLEPARLDARDALPDVRVESGFLNLYTSAGKSMGSCREQLLREVSRLIAAFSKDQDMRAMGSALATLLGYDLAELGLNRGASGRRVPVTVFSFGGPRVGNAAFKARCDELGVKALRVANVRDPVTMVPGAFVNEHTRGLLGSAWAGDCYTHVGVELTLDFLRILDLGSVHDLSSYVASLQAETGDKQVSSAANGDQIGSFVQA >Et_3A_026644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11744444:11746835:-1 gene:Et_3A_026644 transcript:Et_3A_026644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGASTAFLPLTSSIISSLLEKAREPRPDGTPPPTGKSNPDVRSIATPAVGGGGGGVIDGMSVRPPVELVAQYAWTAYLVVVCFPLAGWLGAANKAVFVAFGVLGLVKLALKLAAFYGAGYSFALGKNAALVAGYMEQQLDVAGVGHEQVPRYIVTGVRKRHVEESSRGYRVRRDALDDKRSGLVTLDRILRLRLSGYPMREAGSVEAREFVLRGMDTVGAGAVDADRVFRVLEDELWFSSDLYYSAVPLSTFGGWSAVVNHVCSVLIVVGAVAVGWIYVAKEVVNTVPYYVVTFSLLVVVVLVEAWEVVAGVCSNWTKMALLGHYIRHQSAWRRFSFVHTALASLLRLRPARRWRDKIGQNSVLEPRRFRMRTGLLSEQFYGRAGLMKSIKVSPAVKDASLKAVWLRSDSPEKK >Et_5B_045754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8793259:8795156:1 gene:Et_5B_045754 transcript:Et_5B_045754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLLFLFIHLGCLLSSASAADEQFVFNGFKGANLSFDGTATPDGLLMLTNGTSQLKGHAFYPAPLPFHRAPNSTAMESFSTTFIIGIIDAYEDLSSHGMAFVVAKSSNFTSALPGQFIGLVSSANNGNASNHLFAVEFDTILNSEFNDMSGNHVGIDVNGLKSVDADNAGYYDDATGAFRNLSLPRGDAGVARPKKPLLSATVNLSSFIDGTTAYVGFSSATGILFCRHYVLGWSFRMNGAAPALHISSLPSLPVTLFPKPRSKTSLEIVLPIASAAVLIFAVVFVFLRRRRVNAGEVEEEWEAMAGPRRFSYKDLVHATGGFSDERLLGIGGFGRVYKGVLESSDKMDVAVKKVSQGSRQGMFMAEVVSIGKLGHRNLVQLLGYCRHKGELLLVYDHMPNGSLETSVASGLLYLHEDWTQVVVHRDVKTSNVLLDGDMNGRLSDFGLARLYDHGVDPDWTRVAGTRGYMGNAKHRLFVLEVTCGRRPLGVIAPGDHQNDVLLLDWVQEHEHQGTALHTMDSRLGGVYDADEARLALKLGLMRAHPVADARPGMRLVVHCLEGDVPMPEVAPTYNMLAPAQQNDQSNPVSTGVSAVCLSGGR >Et_4B_037079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14321405:14324488:-1 gene:Et_4B_037079 transcript:Et_4B_037079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAASSLPASAGSGENLVLILDFGSQYTHLITRRVRQLGVLSLCVSGTAPLASLEGLRPRAIILSGGPHSVHAPGAPSFPKGFLDFAADAGAHVLGVCYGMQLLVQSLGGAVESGERQEYGKMDIEVTAGSSALYGGEAEVGKRQTVWMSHGDEVVRLPEGFEVVARSVQGAVAAIENREKRFYGLQYHPEVTHSPQGMETLRHFLFDVCGINADWKMQDVLDEEIRTIQSMVGPDEHVICALSGGVDSTVAATLVHKAIGDRLHCVFVDNGLLRYKERERVMSTFESDLHLPVTCVDASEQFLSKLKGVKDPEQKRKIIGREFIAVFDDFAQKLEQNIGKRPEYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRKLGSILNVPDSFLKRHPFPGPGLAVRVLGDVTEGNALETLRQVDEIFVQAIKDAGLYDIIWQAFAVFLPVQTVGVQGDQRTHSNAVALRAITSEDGMTADWYYFEREFLGDVVNKICNNVRGINRVVQDITSKPPATVEWE >Et_5B_045141.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1046889:1047617:-1 gene:Et_5B_045141 transcript:Et_5B_045141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTARALRLAASRPFAAHRNGLQAPLLHRRVLSAASTEAAGAGDPAVHSSDDAPSVEYTERPARFSGAEEATTGKHQQPPPEPSKERVPPFGPSGKLGTQELADAAAGSSFTPKRRLSSPSGRDAREEATPGREESAARKVREDDREYYRTHKPSPLAELEFADTRKPVTQATDGGAADRFADLGQGRTVEDTADDSLARAEAMFREARERGIPELPHSRALVQMLARRREEGNVDAPWGS >Et_2B_022324.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1850882:1851211:1 gene:Et_2B_022324 transcript:Et_2B_022324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPINPVDDHDPAATTTRAWSARLLLSATAQVLAAELALVVPKRLLAWFACMVALRTGYHANALILRIVTGHGQLQGALNHYLWLGLQASLQIAVPAACAVVALFGEE >Et_4B_037075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14349080:14357235:1 gene:Et_4B_037075 transcript:Et_4B_037075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVDEVAKNDRKVAGSGGGAVRNGGGANGRRRRWTGDGGGGAGGGCRQHPIIQAYPALLPLPIHAGNARANGAVSLPLPPPVLLYLHPPPLLFPKAAACYGKPNGPPPQRGAVWRSRKPPPPPHAVTAALLPLPQGVEVLQHKRSSIHEKEPANMIPNHVNTHQNSSLAIQGATIALRPDVGGVEGTMIPLYANHFIVRFDPGQKVFHYNVDISPRPSKETARMIKNILVAENSSALSGALPAFDGRKNLYSPIEFQQGKLEFFVSLPVASAQFIPAEENDHMLDKKKHKIFRVNIRLVSKLSGEGLSKYFNEENGGVPLPQDYLHALDIILREGSMENSVPVGRSLYSRSMGDARKIGGGAVGLRGFFQSLRPTKQGLALNVDLSITAFHESTGVIAYLQKRCEFFKELTQMKTRVLTEDERREVAKALKNIRVFVCHRQTDQRYYVHGLTEATTENLRFRDRSGKDYMVVDYFKEHYNHDIQFRNLPCLQIGRSKPCYVPMELCKVCEGQKFLGKLSDEQTSKMLNMGCHRPSERKGIIKGVVEGAFAARSNSCVDQFNLQVSKDMTQLSGRVLLPPKLKLGNGGRIKDITPDRFDRQWNLLDSHVAEGSKIKSWALISFGGTAEQHSFLPKFVSQLASRCEQLGILFNKRTVVSPLFERIELLNNVDFLESKLKKIQEAASGNLQLLICVMERKHRGYADLKRIAETSIGVVTQCCLYSNLNKLTFQFLANLALKINAKLGGCNVALYNSLPSQIPRIFSDEEPVMFMGADVNHPHPLDDSSPSVVAVVASMNWPSPNKYISRMRSQTHRKEIIENLDVMAGELLEEFLKEVGKLPSRIIFFRDGVCETLFCKVLKEEMHAIRVTCSRYPGYKPSITFIVVQKRHHTRLFHREKNGGSTHYSDQNVPPGTVVDTVITHPREFDFYLCSHWGTKGTSRPTHYHVLWDECKFQSDEMQQLIYNLCYTFARCTKPVSLVPPVYYAHLAAYRGRLYLERSDSMAASRTTLYRATPLQTAPLPKLRDSVKRLIQGRRQLARVLGSASFGNGREREYLGERTTGCVHGV >Et_4B_037594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21495953:21501469:-1 gene:Et_4B_037594 transcript:Et_4B_037594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KEMKVGGLLTSAGINIGLCVLFFSLYSILRKQPQNVKVYFGRRIAEEHNRLREAFMLERFVPSTSWILKSLRCTEDELLATAGLDAIVFNRILVFSIRIFSLATILCVFGVLPLNYFGQDMHHVKIPSASLETFTIGNVQERSRWLWVHCVVLYIISVVACLLLYLEYKHIAKLRLCHVSRATSNTSHFTVLVRGVPKSSKESFSRTVESFFTKYHASSYLSHQVVYKVGKVQKIVTGAKKAYRKFKHLKGTTVDQRCRAITLRCCFCGATSNSFRLLPSEIEQEGEKPQESEKSEVNESSLHSPDEECGAAFVFFKTRYAALIVAEILQTSNPMRWVTSLAPERDDIYWSNLWLPYKQLWIRRIATLLGSIVFMFLFLVPVTFIQGLTQLEMLQQRLPFLRGILKKKFMTQIITGYLPSVILQIFLYSVPPIMMLFSTLEGPISHSERKTSACCKVLYFTIWNIFFVNVLSGSAISQVISLSSPKNIPMQLAKAVPSQATFFTTYVLTSGWASLSSELMQLFGLIWNFIRRYILRTKEDSGFVFSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLLYFFLGYIVYRNQFLNVYCTKYDTGGLYWPIAHNTTIFSIILTQIICLGVFGLKESPVAAGFTVPLIIFTLLFNQYCRKRLLPLFKTFPAQTLIDMDTEDEQAGRMEDLHHRLHSTYFQFHDTADDIPLEGVHTVGIDEDGCGTSGESNCKESPDQPESDLSHPTLEGLPVSQLRNAARSLSFIVRLQKRGLSV >Et_8B_060318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9234369:9236195:-1 gene:Et_8B_060318 transcript:Et_8B_060318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAVRFPVYGIVRMLGVTAAAAILFWAIHFRGGMTLSSSVEDKLLLFNVHPVLMLIGLVALNGEALLAYKTVPGTKKLKKLVHLALQFLAMLLSLIGLWAVWKFHDERKIDHLYTLHSWLGLSCVMFFSLQWATGFWTFWYPGGSRSGRASLLPWHVFFGVFIYVLAIATSVTGLLEKSIFMQSAKMIGRFSTEALFMNSLGMLLVLMSALVILAIVSPGTGRIDTYRGSSE >Et_1A_007094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30794225:30812566:1 gene:Et_1A_007094 transcript:Et_1A_007094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHENPEERPDIKQIIENLGISENMNRHPVRTRDHCVIPIGQRPGVWKRFTAPNQTYIRIQTPDGISPKKEGKATGRWTCRTSRMVFCTIAIAIILAIPVAALGFLRDLSITVEDASLTKFSLTTSPAPMLDYNISVTLRIYNPNWVMSIKSTGPLEAVYSFDGKRFDRVQVVEADSPNWVVMSTKKTEPFEAIYSFDGEHFDGMELVEADKLPAQRSRIYSIQLFPENRSVALGSAGMSNYMKQKKRGVCGAGWPSDVHGAATAQPADNDRAFRSNLASALAALPSAAAAARNGFATTKAGRAFARGLCFGANRSSSAGACRACLSAAAEDVTGGCNSRRAAVWRAGCFLAYADYSKASSAREDAFRGWFYAGLTTPAAQLDGGYCLLDSAAGCDRCLHVSARAAAKLGWLQRIRGEEVVMVGYTCALRVRISAGGSLSGLSALLLVLVVVAGMAEPGVALKLEADNTSRHFPPLLDYGPASPAPSSTAFRANVLSLLAALPSAAAAKNTGFAATRSHGRGPDRAFARGLCFGTSGGGGDCLACLSAAASDVAARCNGSRRGGTWRAGCFLSFADTNATSARERAFRDWFYDDGSSPTAALTSRCAGDRAAAECSRCLNESALVVPELKRRRHQLSRIHGDSVVVVGYDCVLRVVLVPPPPLWEIIGELALDRSLCYPGKQKKRAVTVILLTIFCPCSILRHLRYRRGWSRSHRSMRDTVVHQVCRPTNESKSSMRIAGTFIDRPVAFGRATISIWHGALTDRCNTENDSECCVDGQRYTTSRAGYTATEAVALSTGWLHGDGGRCGKHVVIRAANGNTVRALVVDECDSQQGQHGQSPPPTSTRLRPGADAAFEERRRSISHQFVLGARRPPFCHRFHATGHRHRAGVHIGRAFARGVCYDANTTSTPAAAGACCACLSAAARDVTGGCATSARAGVWRDECFLSYADTDASSPREDAFRAWIYAGPTTPAALAGGYCLLDSASDCDHCFHDSARAAAAALGWRQRIHGEKVLVVGYTCVLVKTSSSLPWGPDAALLLLVSVVGMLDTGVAVVVEVSTSGHHPPLFDCGPAPKTTPSKNDDAAFRANLSSALAESRFIAASVPEAVATMQVGRAFANGACFGSNVSSPACNACLSAAARDVIGRCGATRARAGVWGAECFVSYADYDGSSPGGEDAFRGWFYAGPTTPSSLDSSFCILDSGADLDSCLHDSARVAATLGWLQRIHGEEVLVVGYHCLLRVKISSLPRGPDKRDAKSVVWVLWMGLIVETEAIILGMVIFRSVKIARALPQMSSPESSDVPLWDDEEEDVSDVDDLLILACLREGSRRRTSEIPITQQRIKVTYTRSTGCRRGKGHLGNVEARCLEEVRRRQHASSWTLQPRMAEEDRAPPKLVQGTGAKMGIVGAGRGERATASVAAKGWGFGDGARRVAGDAAVSGLTPAIEAEEGQPLGTGRGSVAHPSLPRAPWLRSSELAPCAMAAQLGARAARAARRVGRWRPGASTAASEIGRRRKKREKKEKRREKKRKMKIKMVGPTDEGRDWRTLRMGVWS >Et_3B_031663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7229577:7232107:1 gene:Et_3B_031663 transcript:Et_3B_031663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATKAGGRVFARRGVGLGGRAPASALSTAATTPQLISHYLAHQPRATWEALSAAFPAAAAAPHVHVDAVLLSLARHPHASPEPVAKNALTFFHWSASSSSPSPPSSSSYHHSLRSYCLLVHLLSRAALFRDASVLLESAIAKHSSSPTSSFLDAFFAAYEDSGTAATTRGLHLLVHAYARLRLPGEALEACRYLAQRGVLPSSSAFNAALHAAQGAGAFRVAWEVFELMTLKRVYANQSTVELFIGVLSLEGKLARTAALVGRIHGKKCSPGIVAHVALALRMIEEERVEQAILLLKRLLQRNILLDDIAYSLIVHAYCRIGDLKSAFEQRDDMVRRGCRLNAFVYTCLIRAYCHDGAVDKALQLLQEMLSKGLKPYDATYSHLIVGCFRQGKVEEGMKYFQDMLRDGFVPDLTNCNEMLEGLCNEGEVHNANGLLTAVMDKGLVPDQDTYKRLIDGYGKVGDARGIVQIYHEMEHKGLNPGVDVYTTLIRCLCQCGNPVEADKFLAVMKKKAVAPTSGLYDMLISSYCEKVLYYNVQTRKRQREQLEALTAKSYHRSAVCIFGCGDLSGLAALSAGFSSAA >Et_4B_038929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6030650:6035534:-1 gene:Et_4B_038929 transcript:Et_4B_038929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMASITSELLFFLPFVLLALLTFYTTTVTKCHGWRGTKRKRPNLPPGAPGWPLVGETFGYLRPHPATSVGAFMEQHVARYGKIYRSSLFGERTVVSADAGLNRYILQNEGRLFECSYPRSIGGILGKWSMLVLVGDKHREMRTISLNFLSSVRLRAVLLPEVERHTLLVLRSWAPSDPDGACFSAQHEAKKFTFNLMAKNIMSMDPGEEETERLRREYITFMKGVVSAPLNFPGTAYWKALKSRAAILGVIERKMEEREEHLEIARRQRLRGDSKLSWEDYKEMVFTQCIINETLRLGNVVRFLHRKVIRDVHYNGYDIPRGWKILPVLAAVHLDSALYEDPNSFNPWRWKSNTPFAAQSGSFLPYGGGPRLCAGSELAKLEIAVFLHHLVLNFRWELAEPDQAFVYPFVDFPKGLPIRVQRIAHEEE >Et_3B_031348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26292557:26293982:1 gene:Et_3B_031348 transcript:Et_3B_031348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFASGENIVEGDPTKTPKPMGNREAVRKYREKKKAHTAFLEEEVKKLRATNQQLLRRLQGYAALEAEVVRLRGLLFDVRGKIDSEIDASGLQKHCIVGSGECTEPVVCFNTDAELAAREKSSGPTIVDFEIDRSGNISRDLDNPEVDNAMDAVASLGKLEKSSLTVRLEKQVFDLKVPLHKLASFISKSEVIVITSTVEISI >Et_2A_016008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20020864:20024131:-1 gene:Et_2A_016008 transcript:Et_2A_016008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWREKIRSSTPLHVVGLAEILAICGLVASLIYLLSFFGIAFVQSVVSNSDDEEDFLIDSRAPRAQGKPPKPAPVPAPAPCALLGNPAAAPEKMPEEDEEIVAAVVAGKIPSYVLETKLGDCRRAAGIRRESLRRITGREMDGLPLDGFDYASILGQCCELPVGYVQLPVGVAGPLLLDGRRIYVPMATTEGCLVASTNRGCKAIAESGGASSVVLKDGMTRAPVVRFPSACRAAELKAFLEDPANFDTLAVVFNRSSRFGRLQGVKCAIAGRNLYMRFTCSTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVISISGNFCSDKKSAAVNWIEGRGKSVVCEAVIKEEVVKKVLKTNVQALVELNVVKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSQCITMLEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRESPGSNARLLATVVAGAVLAAELSLISAQAAGHLVQSHMKYNRSSKDMSKAAS >Et_4B_038624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3464201:3465916:-1 gene:Et_4B_038624 transcript:Et_4B_038624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLLPTTSGGAHICPSPPRPRRGRCRHVIAAASVPPPPNGVGRRAVSLAGAAVWLATTAGQANASPFDKYVKRKKLEPLETYVPAVLLTRDMFVDLEKSLKFEKPKYDESRSLLRSGPASSLRVNIRAVAQYASNNGQGKAASDAVDECLRALEDLDSLLLRASRNDSSTSVETMRSKISVALAALDNLLQTVPSAVMDKGKAIADAYRTPADDYVEQNAAELDPRLKQLEDIL >Et_9A_061193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21423942:21424800:1 gene:Et_9A_061193 transcript:Et_9A_061193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRAVCHPWRSATTDPKTSPDRRFYPTRWIILDEFYQNLDGGSLFLNTSTGRFLRKELPLRGYSLLTTTTGGFLLFEKEMRMGSRGFAVDWGDGQVLVVFKQPGKRMVVLSIDFAGRVVEQVKSIGSRALFLGTRSLVVDANRFPTIGRNKIYYQLEENDPVDQYGRVHLRVSHRGR >Et_8B_059266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1643019:1646233:1 gene:Et_8B_059266 transcript:Et_8B_059266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMPSLPGGADGRGEAADGELPRVYQVWRGSNEFLFQGRFIFGPDVRSIFLTMSLIIAPVVIFCVFVARPLMDEFPDHWGISVMVVAVVFTIYRNYRFFYMFVFSTTLLCLYVFAFCWVYVFKIREAEHLSIGKAMLKTPASIALIGYCFLCVWFVGGLSVFHFYLMSTNQTTYENFRYRYDHRANPYNRGILNNFLEIFCTAIPRSKNNFRARVTVEQGLQQSRMQSRGFMSPNMGKPMGDLEMGRKPVAWDEPRTAADIRDLEAGLGGMFDEKEAGRITHASPDLSRDGLQSEVVEGRAGMHSRHSSWVNRTGTSDSVDVVSMQMTAMEASLGGHTAMRGAR >Et_10A_001380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2484042:2485235:-1 gene:Et_10A_001380 transcript:Et_10A_001380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARRAGRRAVGGHRHLRRQARRRRLEPQHVNSLPDGRPEAAVRHRARHLPGVELAAHPGVGGVEDAPAAVRLPDPLHQVDVGAVIVVVPDAHRRAPAAGDLEEEDAEAVHVGLHTGPPGERALRVHVPERAADARGVRAPPVVDEPGQPEVAELGVEGRVEHHVARLHVPVHDAALGVLVQVQQRGADAERDAAPGRPRQRALVRRLVVQMRVEAAVGHEIVDEEELVVAAVAPANELDQVAVPEPADNPHLGGELLPALSRGLGHPLHRHVAVRIGQEPTVHRPEASSPEPSVGGEVFGGGGELFVAELARPGLALLEVVVHGYTTEESCALRCLFGCRLRLPFPVPEEEPNGQADGEQERGTHAGGHRRYHAALRARGRGRTLISKPNP >Et_7B_053802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12374105:12381450:1 gene:Et_7B_053802 transcript:Et_7B_053802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTREPVAMEIPAEEEGPAARAPPRRIRMRLMEGARGGGAPASVEEIEARLREAELRRQQFHEWLACKARKKPRSPSWSSQEEDHGQRLEAKLQAAEQKRLSLLAKAQKRLAKLDELRQAARNDVEMRFEKEREELETRVESRVRQAEENRMRLLHAHMQKRAALKERIARSLVQKATSESNERRKLKEQLDNKLQRAKRQRAEYLKQRGSPRSSAHADYIKHADFLSRKLARCWRRFVKSRKTTFALVQAYHVLGINEKSVKSMPFEKLAMSMESPAVLQAAKALLDRLETRLVLSQSAGSSPVENVDHLLKRVGSPPKRKVPPSRSRVSAKRPARNTATSKLPRYSLRVVLCAYMILAHPSAVLSGQGEREKQLMESAASFVKEFELLIKIVLDGPGPSSLGTAAAGSSKFRTQLANFDKAWCTYLYSFVVWKVKDARLLEEDLVRAACKLELSMMQTCKLTAEGQSSNNLTHDMKAIQKQVTDDQMLLREKVQHLSGDAGIERMNSALSDTRSKFFEAKENGSPLATPVANVSTPLSINSPGQIPLSKANDNSREASSSVVRSLFGSSSSPGSASQMKLPTENEQMVNELLHEDSAFVGRTESAGAAEKNFEAKVRETMEKAFWDVVTDSMKGEQPDYGQLVNLVKEVRDSLHELAPKGWKEEIMENIDLEILSQVLESGSQDAQYLGQILHYSLNMVRKLSAPAKEDEMKKSHDKLLSELSASSEVDGNGISSFIIVVIKGLRFILEEIKELQAEVSKARIQLMQPIIKGSAGVEYLQKAFADRYGPPAIASASLPLTLQWISTSKNTVEQEWGEHLDSLATLPSAGQTPALVTVLRAGHGAPAGQPSSSSAAGTSGQPECKAERLDKLIRIGLMQLISGTEGLQMQSTPESFQVNLLRLRAVQGQFQQVIVIATSLLVLRQVLMSENSKPLEVESAVAGLFEALVKLLDNSPDAGTEEIVEAMMSSSASVGSPSNDKIQTRRQIITRVFLKSLQPDDAVFKKVSRSVYCAFRSVVLGGSGPKGQKLADAVLRRIGAAKLVDRVVKASEVLIKVATVSEKVHGPWYQALISSCNGFIYQNP >Et_9A_061355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10434053:10436444:-1 gene:Et_9A_061355 transcript:Et_9A_061355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFYLARGASKVVRRVTSETAVELKILSEKWRLLLAGLLFQYIHGLAARGVHYLHRPGPTLQDLGFMILPELGKERGYISETLFTVVFLSFVLWTFHPFILQTKRFYTVLIWRRVLAFLCASQFLRIITFYSTQLPGPNYHCREGSPLARLPPPKNVAEVLLINFPNGVIYGCGDLIFSSHMIFTLVFVITYQKYGSIRFIKMLAWCIAIAQSLLIIASRKHYSVDVVAAWYTVNLVVFFVDRKLTELPDRSVGSTSVLPVSVKDKDSKLKEESARLLNNSNPGDSADRRSRTQMNGKLVENGNHVENETMKT >Et_2A_017260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32569227:32573277:-1 gene:Et_2A_017260 transcript:Et_2A_017260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSSPKAAALLLLLCFTIATLAAAQPLVSSQAKALLRVRRLLGNPPALEPLRRAPDPCALPPTPSLAVSCSNGQVTALSVVGDREPDAASWRAALPATFSSDALFTTLTRFPALSRLSLVRLGVWGPLPGAKLRRLQALQVLNLTANFLYGAVPDDVARMYSLQSLVLSMNRLNGSVPSLSGLQFLNDLDLSRNALGPAFPDVGNAVERLVLADNNFTGRIPSGLSKLGQLRFLDVSGNRLQGWIPSSIFALPALRRIDLSRNRFTGQLPAATACAAALEFVDVSANMLTGARPACMRGNSSARTVLVAGNCFADGAKQQRPSAYCSPGALAAVLPPPQGSGGGKGKGQVGLVLAVAGSVVGGALLIALVMVLVLRAVRKQHPQVTVLPTSPASTPAKKADSRKASVKAMEKIIAPADKRHASQAARVNTLEVPAYRVYTLEELQEATKDFSSSNLIKSSPLAKHYNGQLQDGSRVLVRCLRLKPKYSPQSLVQYMEIISKFRHRHLVSIIGHCIVNDQENPNIASFIYLISECVSNGSLRSHLTEWRKREMLKWPQRVSASIGVARGIQFLHNVTTPGIVQNGINIENILLDKTLTSKISGFSLPMISTSKNGKIFSETPFAVDDDIGSVHNKEQGDKQDIYQFGLILLEVITGKPTESQSELESLKAKISEAMAEDPELLKDIADPSIRGTFAVDSLSTVAEIALNCTADAPGDRPSIDDILWNLQYSMQVQDGWASSESLSMSIKSMA >Et_7A_053034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5301635:5303237:1 gene:Et_7A_053034 transcript:Et_7A_053034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTVHTSTANTTPYLLGTRRRAFAFRVSCSTEAADRTVVIGVAADSGCGKSTITRRLTSVLGGRAPFGGRAEPPRGGNPDSNTLISDAATVICLDDYHSLDRAGRKAKGVTALDPSATDFDLMYEQVKAIKEGRAVEKPVYNHVTGLLDPPELITPPKILIIKGLHTMYDERVRSLLDFSIYLDISNEVKFSWKIQRDMAERGHSLESIRASIEARKPDFDAYIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVKLIMKEGVAHFSPVYLFDEGSTVTWIPCGRKLSCSYPGIKFDCHPEVYFGNEVTVLEMDGQFDRLDELLYVETHLSNLSTKFYGEVTQQMLKHADLPGGNNGTGLFQTIVGLKTRDLYERIVATRYSGQALDV >Et_9A_062368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22271109:22273165:1 gene:Et_9A_062368 transcript:Et_9A_062368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRSGLLLTANQTRPTRRPHVRAEPPDRARSLVHLPEVSTPVSTLLFPPSLSRHFPLPLFSPLLAPNLTTTSRTPRRARVSRENRASSGTRRAVPANEAQRSKSTMGLDYYKILGVDKGASDDDLKKAYRKLAMKWHPDKNPNNKKEAENKFKQISEAYEVLSDPQKRAVYDQYGEEGLKGQVPPPGAGGAGPGGATFFSTGGDGPNVFRFNPRNAEDIFAEFFGSSSPFGGMGGMGGGGGMGGMGGGPGMRTGGTRFSSSIFGDDIFGSAFGGGPDGHGMHTAGRAVKAPAIERKLPCSLEELYKGTTKKMKISREIADASGKTIPVEEILTIDVKPGWKKGTKITFPEKGNETPNTIPADLVFIIDEKPHPVFTRDGNDLVVTQKIPLAEALTGHTAHLTTLDGRSLTVPISSVIHPGYEEVVRGEGMPIPKDPSRKGNLRIKFDIKFPSRLTADQKSGVKRLLGQ >Et_2A_015790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17968297:17968745:-1 gene:Et_2A_015790 transcript:Et_2A_015790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VESMSNQEEVELRAKIEALGLEVTKVPEQAPKHLDELVHGPVGM >Et_10B_002884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13029045:13033884:1 gene:Et_10B_002884 transcript:Et_10B_002884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIRAELESSGFSVGGASPADAAQILSTLLTYCINYKMSPADLVSNWEVYYLNRQLDGLKIESSYLDGFLSHLQNEVKDRIIEEEANLHVYSSNDVDMLLGSTHTDEEGFLDTPSAKQEKPNVESSNSELTPLTADRPSSSRMAKTNGDRITPFATRVNKFTQQHILRADNVASEPHRNEGETTEDEVIRRIQPSQRCSLQVIRSQPEPGCRFMYDRMEDRFNYLEDRIRKPASLFSASGFCGEPADATLASEEKMFAVGMVVCDGEGRLNEKSILLQGSVEHSRGQRVRLDLKNLDHFSLFPGQVVGIDGHNPSGHCFIASKLIDSIPISADAQLPSAKKQAVGNESPQNSNTDTPSRVLSTVIAAGPYTTTDNLLFEPLQELLSYACRKQPQLLILMGPFIDSDHPDIKKGTVDQSFNDIFHFEILRKVQDFAQYLGNTVRVILIPSVRDAHHDFVFPQPAFDLNLPEDFTHQITSLANPNLFSSNEIQFGCCTVDILKQLSSEEISRKPPGGKPGDRIGRLATHIVKQQSYYPLYPPAAGVPLDFSLAKEALEISSTPDVLLLPSDLAPFVKVLSLGEGNEDEKRFICMNPGRLAKGIGGGTFVELYYNEHIDKTNASIVRI >Et_1A_005690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12864230:12865223:-1 gene:Et_1A_005690 transcript:Et_1A_005690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCRVLALQLLPSSAPSRATAASMARVSVPHRKKQAPSNGVTAPVHVVSISPCPEARHPRTPRPTTFTLRDKLGPLKPKINYSKKDSSVIGVISGGRRKRVKRGSNLLQAHVPICIRKG >Et_6B_048853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14561212:14563308:-1 gene:Et_6B_048853 transcript:Et_6B_048853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGASFAAMALLPWSLLFGGLLSLFLVWQMGQMLNKLWWQPRRLERKLRAQGLRGTSYRFLTGDLKDYGCLNNEAWSRPLPLRCHDIAPRVAPLVHKLVKEHGKWNISWFGPTPKVTLMDPELIRDVMSNKLGHFEKLRFPALCKLLGDGVGSHEGEKWVKHRKILNLGFHLEKLKGMLPAFSACCEDMVSRWVESLGPDGSCELDVWPELRSLTGDVISRTAFSSSYLEGRRIFQLQGEQAERIIRNMQKIIIPGYLYLPTKSNRKLHQVNKEIEKILRGIISKRIQAMKDGESTKDDLLGLLLESNMKHSDVKGQSSMGMTIESVVEECKVFYFAGMETTSVLLTWTMVMLSMHPEWQDRAREEVLGLIGKTKPEYDGLSRLKIVTMILYEVLRLYPPIIAFVRKTCKDMDIGGITYPAGVVIELPVLFIHHDQDIWGSDVNEFRPDRFSEGIAKASKDQGAFFPFGWGPRICIGQNFALLEAMMAICMILQKFKFELAPSYTHAPHTVLTLHPMHGAQVCLRAI >Et_5B_044701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4885531:4888101:-1 gene:Et_5B_044701 transcript:Et_5B_044701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARDRQEKVRKYEEFVDRRLKPDLANAIAQRDKVFQQQKTFSDLKRNIENLEKNGVTSMRSMINLGSEVYMQAEVPDTRHIFVDIGLGFHVEFTWQEALQFISVREARLASQIDEYTHLIASIKAQIKLVCEGIRELLQLPPEKELAPRNTW >Et_5B_044086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19873167:19875685:1 gene:Et_5B_044086 transcript:Et_5B_044086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNCLSAIHPPAEHSIIGGIGLMSMEDGSLGVAGFTGSRLCLWSTNVGPEGIDGWVRCREIELMTGIPIIPCGEARVFAAADGLGIVFIVTDVGLFMVNLKSGRKRMLMDDAIAEILLRLPPEEPAHLVRAALVCRPWRQILTDPAFPRRYRAFHRAPPLLGFFDNHLTRDGGARLPRFFFTQEAASPFPSQAIDRANWRVLDCRHGRVLFRLFNERVNLAVWDPLTGEHQRLPNPEPLSGCDQTAAELCAVHGCDHLDCHGGPFRVVLVSIWFGMRTRLYSSEAGTWTASAQLGPCPRVRRQPSALVGDNVYFQLMSDDLILKYDMERNCLSTVRLPAVYAGNGSISLMPMEDDSLGLVGIIGSRLCMWSRNVSPEGNAGWVRCGDIELMTDIPFMPCSKAQAIGSAEGLGIIFV >Et_9B_063775.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:13627040:13627198:1 gene:Et_9B_063775 transcript:Et_9B_063775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGDPVELVAAGVARIDDAYFRLFVDFVSSGAVEREGAAGADGRLGGRSSR >Et_3B_029317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2444517:2450572:1 gene:Et_3B_029317 transcript:Et_3B_029317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLLLAALLLWTAAASKPPLDTLGIPPQDESYYKGGVIKCRDGSGKFTRDKLNDDFCDCPDGTDEPGTSACPEGKFYCKNAGHTPITIFSSRVNDGICDCCDGSDEYDSNVTCKNTCWEAGKAAREKLKKKVATYKSGVVIRKQEIEKAKAAYAKDEAELAKLKGEEKILQGLVDKLKEQKKLIEKAEEEERLRKEKEEKKIKEEAEKQAAVEKEAPDASQHVDSKETNEHVEEDESKVADQHDGLATEHDIHAQESGTSADQHDSEAETVDDTAAKESAAPIKEQDPSADNPEGLSREELGRLVASRWTGENVNDVTKDDNKGHEDEPEIPEPAEEALEDELEVPEPAEENYGGYRSEIEDDRHKYDDEDFGNESEDEYVDDHDEHVESYKSDDDQKGNDHSDLTASGKPSWMDKIQQTVQNVLQKFNFFKTPVDLSEASRVRKEYDDASSKLSKIQSKISTLTEKLKHDFGNEKEFYSFYDQCFESKEGKYTYKVCPYKKASQAEGHSSTNLGRWDKFEESYRVMQFSNGDRCWNGPDRSLKVRLRCGLSNEVNDVDEPSRCEYVAVLSTPARCVEEKLKELQNKLDAMSSKLPGHDEL >Et_6A_046591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17899340:17903399:1 gene:Et_6A_046591 transcript:Et_6A_046591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RDILIFISASGGAQHHYLYGHPRPETHQDTPLTVAPFFLVATAAPNVIEDKEDRGAKHVAVLAEHAAAARQLPWPQAECRLVASRIARPPGCAAQKRPFQPSSPPARPSGASALAPLNAVADNLRQLGGQVDVEATLPELDNHGALRSGHRRLRHGHHVEDGALAGAGAGADDDGGCAVAPQRLGQEGAEVDARLLRGAEGGEEDVGAGHEHPRAAVVLGDVLGDAERGGAGGAAVEVEHGAVHGRAQAQERRQAEVGARRAAAGVGAEDEVRDVGRRAAHSAIAFAAAAAASSGTASAARRSRVPSEGAAGSTYSLCLVEELGEVLAVAETEVPVRELILAHAGGRVRRADSQHGRGSIGTLRRPSLLKGDGGRKSRCRHGDDELGYELNGCKFTVLAL >Et_10A_002238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5210058:5214502:-1 gene:Et_10A_002238 transcript:Et_10A_002238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSYDPYPSPSPGSGADDQSLYLYLSDIIPESPSSYLDLPPTPHHEQPQQQQLQPMAAAEGGGPGDAAGPEDLVLPYISRMLMEDDIEDKFVYDYPDNPTLLQAQQPFLDILSNSDDTTTTTSTTSGSSAHHGVGGVPSPSSSDGASFSVSNTAAAAPPLTPAAVNSYSPFELDPAAFFGNGANSDLMSSAFLKGMEEANKFLPSEDKLVIDLDAPSYPSGDGVRETPNRFSPGENKLAVRSAGFPAPAPPVVRSVKQEAVDAVPGVGRGRKNPYADEELEIEGGRSSKQSALQGDDDATRDMLDRVMMPSHESCLLQMQQLRIAMEEEAAKNEAANGKAGNGKAKGRRGGREVVDLRTLLIHCAQAVATDDRRSATELLKQIKQHASPQGDGTQRLAHCFAEGLQARLAGTGSMVYQSLMAKRTSAVALLQAYQLYMAAICFKKVAFIFSNYTIYNAALGKKKIHIVDYGIQYGFQWPCFLRRIANREGGPPEVRITGIDLPQPGFRPTERIEETGRRLGNYAREFGVPFKYNAIAASKMESVRKEDLKIDPDEVLIVNCLYQFKNLMDESVVIESPRDVVLNNIRKMRPHTFIHAIVNGSFSAPFFVTRFREALFYYSALFDVLDTTTPRDSDQRMLIEQNIFGRAALNVIACEGTDRVERPETYKQWQVRNQRAGLKQLPLNSEIMQVVRTKVKDCYHKDFVIDVDHNWLLQGWKGRILYAISTWNTAENPISDSMKLLEITEHRGENEAPYGPGWQDAMRGSVSSLGSDGSDGVVDSAWD >Et_4A_035047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8987542:8991249:1 gene:Et_4A_035047 transcript:Et_4A_035047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNVKGGGHSDALRNYTLGRTLGIGTFGKVKIAEHKLTGHRVAIKIINCHQMRKMEMEEKAKREIKILKLFIHPHIIRLYEVIYTPSDIYVVMEYCKYGELFDYIVEKGRLQEQEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGRLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKRIKGGIYTLPSHLSALARDLIPRMLVVDPMKRITIREIREHQWFQTRLPRYLAVPPPDTTQQAKMIDEDTLRDVVNLGFNKDQVCESLCNRLQNEATVAYYLLLDNRFRTTSGYLGADYQESTDRNLNQLASADSAGSSARHYLPGSSDAHSNGSRPHYPVERKWALGLQSRAPPREIMVEVLKALQELNVCWKKNGHYNMKCRWCPGVPEVHDMLDINNSFLGDSTIMENDDTNERLPAVIKFEMQDKGREVPSRHAESYGAATPFPGLLCGLPYQAQGFIVCPVSLQSDCRLWRWQLMGKSLCLLLVLLYCDQT >Et_1B_010265.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:33482022:33482633:-1 gene:Et_1B_010265 transcript:Et_1B_010265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PSAPSTAAAGARIFPKSTFAGSGSSTASIHGAPRGHAVQESHRTSPPKLPQIDAVPGRPTAESGPRGQRGHGFARDLVGSAGGGGAAGGGGAGAERREGGGHLGLEAEVGGGGGGKGKEKGKERGGIYSFRENTRRPWGPGGRLTRSLRGGAAHTTPRGCCTALRHTTAAWFSGFSGFHFSFFSPSFLCAGFRISFYILLEFF >Et_2A_014764.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:13482412:13482603:-1 gene:Et_2A_014764 transcript:Et_2A_014764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNSRTRNWKVTEGDPSFCNENNIKNSGQFRNQTKRLNTYAKKFIIGPPLIPRFSFYESLLV >Et_1A_005878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1568879:1572103:-1 gene:Et_1A_005878 transcript:Et_1A_005878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAFTVSSPAVPAVAARTKVPRAPSRSPALAHLLVSRTDVFTIRGGISVLGGGKNPGRNGCRAGITRKNFGRVMMALAVDVSRFDGVPMAPPDPILGVSEAFKADTNDLKLNLGVGAYRTEDLQPYVLNVVKKAENLMLEKGENKEYLPIEGLAAFNKATAELLFGADNPVIKQGRVATLQSLSGTGSLRLAAAFIQRYFPEAKVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMIADIEAAPEGSFVLLHGCAHNPTGIDPTPEQWEKIADVIQEKKHTPFFDVAYQGFASGSLDEDAFSVRLFVQRGMEVFVAQSYSKNLGLYSERIGAINVVCSAPEVANRVKSQLKRLARPMYSNPPIHGARIVANVVGDPTLFGEWKQEMMEMAGRIKNVRQKLYDSLSAKDKSGKDWSFILSQIGMFSYTGLNKAQSDNMTDKWHVYMTKDGRISLAGLSLAKCDYLADAIIDSFHNVN >Et_8A_058011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12386348:12388206:-1 gene:Et_8A_058011 transcript:Et_8A_058011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFLYVFPSASSAATATRRLFPRSKSNSLRDLRGHLVATDLSMAAVASKDRISELSDDLVAHILSFAPAKEAASTAVLSRRWRGRALWLQSGALNLDTRSRRAAPNSSPSSGASPRSAGPSPTAPTKRSTHCAPTAPPLRRITVTAAELTCGRCGEFVDAVLPSVLHLPAARRLEELRVTCIPIMRLSNETDRGAMYALSPVSLPCADTLRVLDLTDCTLQLPPPWPRMPVMPP >Et_7A_052395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7642778:7643446:1 gene:Et_7A_052395 transcript:Et_7A_052395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IWCGFVSFSPTNLTGSFISHGYGFGCFDRLPCAVDGYGNAKFMEVAQGFHPRGIPKSEARGGAGEAVKKVKRWLAKMDKAVDYDFYEDEELRYSRFKLSSTPVPSSSAIHASGRMKGSAPSAWSGAATRICESDPDLLMTEEAAFGDFDRDDWEYEDEQEECRM >Et_2B_019589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10551375:10553424:-1 gene:Et_2B_019589 transcript:Et_2B_019589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFNRIFGKPKEQANSNALATLDKLNETLDMLEKKEKVLEKKAAAELERAKEFSKAKNKRAAIQSLKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGASADFDEDELEAELEELEGAELESQLLEPVAAPPVHPVQVPANRPATRPAPQKATAEDDELAALQAEMAL >Et_1B_010418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10291601:10307847:-1 gene:Et_1B_010418 transcript:Et_1B_010418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAAKRVKVDRVAAPPQGEDDYVPGNIVEIELCNFMTYDRLVCRPGPRLNLVIGPNGSGKSSLVCAIALGLAGDPNVLGRASSVGAFVKRGEVSGHVKISLRGDTPDDKICITRKIDTQNKSEWLLNGETVPKKEVIDVIKKFNIQVNNLTQFLPQDRVSEFAKLSSIQLLEETEKAVGDPDLPIQHRQLVDTRKEQKALEVALKQMEQTLNNLKALNAEQEKDVERVRLRNELLRKAELMKKKLPWRKYDMLNKELIEVIQKQEETAKKKMEEASKILEDSKKPIEYVPWFQKSLTNSPFHMVPLYKFWKFVALHGLVFVKKMDLKKVKETHASSINKISSQITRNTDSRRGVADDEQHLDALLKSTFDDIEDLKKQEKSREHRILKAKKDLAAAEKELEDLPAYEPRGAELVNLLLHLFSLASIKLLSCPAQLTNQVALVYFDIKGLKEDRRAKNSQLARAKESMDQCSNRLKDMESKSSKLLQALRHNAHCDKVVDAYRWVQDNRKHFRKEVYGPVLLEVNVQDRDLATYLENHVSQYLWKSFITQDASDCDKLVSKMKHYGIPVLNNTADRGMRREPFNITPDMQQLGIFSRLDQVFEAPPVVKDVLISQAALDCSYIGTEETHRGAYDVPIKLGIFDFWTPANHYRWSKSLYGSHMPTSVQPVYPSRLFKCDVDVSDIERLRAQIENHEISSQGMQEELKMLERKQRQLEDEEARIHKMKEQIINTMRSQKKNRDNIQRRVDIKRRKLEDMYKEEDVESRKKKHVDDVTKLNDQRFKAVMRFKNLLMEAVVLKWSYAEKKMAYIELDTKVWEMERGLKQLVKDARLAVAEYERCKRVTQERKRRLSIAKQHAESIAMITKELTKEFLALPTTIEELEAAIQDIESEANSMLFLNQNVLQEYQTRQQEIESISNKLQDDKEAYKRCCSKIETVKVIWLSTLQTLVSKINDTFSRNFQEMAIAGEVSLDEHGQDFDRYGILIKANKSVAGAKCSSPIWRGMDPINERKMFQQLVRAASKLNTPQCFLLTPKLLPDLEYSDACSILNIMNGPWIEKPAKAWSAGDCWRTVMSLGGHS >Et_6B_048202.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:126094:126530:1 gene:Et_6B_048202 transcript:Et_6B_048202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPTHLDEQRELLDAEGSRGGERVEGEVDGGAASGVPAAAGAAAGERPALGRRHGRLAAVQELQHQHQHLVPDRAHRDHQLRRATACAAAGGRRRRRRLVVGVEAAEELA >Et_1A_005752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13498083:13500672:1 gene:Et_1A_005752 transcript:Et_1A_005752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAEEVSMEGEEKCGGGGGAGGCDGEAAAAVSLETLRKRMAEFARERDWEQFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPGWDDNEKEHLGEELADVLLYLVRLSDMCGVDLGKAALRKMEINACKYPVGQCKGSSKKHTCYNSNNNVGANDNTSGLTANKEHNNGV >Et_5A_040974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15944542:15955667:-1 gene:Et_5A_040974 transcript:Et_5A_040974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNLLTEQAEARKKEKKSKKDKKRKLAAEAEEAAATEEVPKSSKKKRAEDVPGEGGETENGAEKTVAVTGKGFQDAKYAPLLSFAASDLPSQVLDCCKAFARPSPIQAHAWPFLLDGRDFIGIAATGSGKTIAFGVPALMHIRKKIGEKRKKAVPRCLVLSPTRELAQQIADVLAEAGAPCGIKSVCLYGGTSKGPQISALKSGVDIVIGTPGRMKDLIEMGVCCLSEVSFVVLDEADRMLDLGFEPEVRAILSQTSSARQMVMFSATWPLAVHQLAQEFMDPNPIKVVIGSEDLAANHDVMQIVEVLDDSNRVLVFVLYKKEAARVESMLQRRGWKAVSVHGDKAQHDRTKALSLFKEGKCPLMIATDVASRGLDIPDVEVVINYSYPLTTEDYVHRIGRTGRAGKKGVAHTFFTQANKGLAGELVNVLREAGQHVPEGLMKFGTHVKKKESKLYGSHFREITADAPKSTKITFDSRQLVTTNGPRTMCLPSDHVMEPDRVLFAAMFLPEKLTWKASFFTLLVNSSLLGVTFTSKPAGALTVTV >Et_10A_001355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23710228:23716714:1 gene:Et_10A_001355 transcript:Et_10A_001355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPDDSVLASCKVCLPPFPLVSISFGVLVLWGKVAKLLLLVSPIVMFELTCSTNTTVTNDLLQYKLNHFRIKELKDVLHQLGLPKNGKKQNWLIVFFLLVIKMNGVQNKKMVERETLVKAVEETFRKMQDPTNPVAAESGHSAKPKKKPDDSPKLDAVCCPCGNSMPNESMIKCVDPQCNVWQHVSCVIVPEKPADNSAPELPSCFYCEMCRISRADPFWVTINHPLLPILIAPTNIEADGSYTAQYTSKSFALSRANRELLQKAEYDLQVWCILLNDKVPFRMNWPLHSNMQVNGVHLRVVNRQPTQQLGANGRDDGPVLTDYLREGPNKISLSRNDTRTFCLGIRIVKRRSLEQVLNLVPKEQDGEKFDDALARVRRCVGGGAEANDADSDSDIEVVADSVSVNVRCPMTGSRIRLAGRFKPCAHMGCFDLEAFIEMNQRSRKWQCPICLKNYSLEDIIIDPYFNRITSLIQSCGDDTSEIDVKPDGSWRVKGGPGLKDLTKWHLPDGTLCAATDSGAKPNMDIVKHEVKEEPLSEEPGFRLKLGIRKNSNGRWQISKKISVPSAGDDRARHFENKSCTTLTNAIDDGSTEEETSEPGINDRPTPMSQVHDLESSPADEDVPPAPEDQDIIVLSDSDDDTVMVLSPSAVNCGSAPPSLVETSGVGDEQPDGSLNGISLLAMKECFGDLDSFWDFPLSPQDDPNNQMVDPSARVSEVQIYPAKDQSKHEPASVGDLGAMEVPANPLENGHDGVLQACNHTERCHDLHSDDSDESLVAAKTASRKRRNCDEGITAEAVIFRDLKPSHILLDAVAHGYHPRLSDFRRQQQQQQNHRHQPTRRRAEPRRVGRRAAGPAQQAGGPAAFVCLQEHHALRPVMADVVTNKNESD >Et_1A_004875.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:13274527:13274697:1 gene:Et_1A_004875 transcript:Et_1A_004875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFSTLSSFWLHGGLEGKKQSTFPNFKPFNWWPWLRRSLTKQRSREQRASPSYGI >Et_7A_051790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24747110:24756173:-1 gene:Et_7A_051790 transcript:Et_7A_051790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQHPRSIEQAHGLVLAAVVDARAMAVKALDLWNRWGIQILLLLSLCLQVLLQPLAGVRRRRASSFPRGFLWLAYQLANSTAIYALGHLSLSTPARERQLPAFWAPFLLLHQGGPDSIGAYALQDNNYWLRHLLLLIVQVVAATHVLYKHLPRGDQFLQVAAFLMWAVGIVKYGEKIRATVDSWLDKDPEQSTLEMLKALRNKDYKGMWAFAEMVMSLMYDILYTKAGVVHTWPGYFIRLITSSLAVPASFLMFHFSRKDGHSKVDVAITYTLLAVAFLLETTSLLGALGSTWAYAFLSTTRWSWLRYATLCTGRWDQLRRLVEAIKVRSGGDISGRRWSGKMGQYNMLHYSSRQNTAYRPILGKLVTMLGFGEFWNRKHYSTTVDISDDLKQMLFEYIQRITQTGLNTHGVIRKSWGQEALEGEDKDLYERIKKDRKLGVEFQEGIILWHIGTDIFLAKRNRDDSDTDHLVKIIRTLSNYMMFLLVDRQNMLPGLPQTTVYRRTCENLSDMCKNQGHPMLKEIFRLRDGPVFTKKRHIDKLAGIVYKERPQYSPSVPRLCYANGVAEELLHREKQKGSSSVLKLLLNVWMDFLVYAANRCSRESHAKKLGRGR >Et_2A_016325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23367700:23374972:-1 gene:Et_2A_016325 transcript:Et_2A_016325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAAAAVAFFSPAVAVSSRALPLRRARHLAVRAVASPPASKPASAPTKTGKWQWKFENQPVNIYYEEHEQETAENVKNILMIPTISDVSTVEEWRVVAKDIVGRKGELGYRATIVDWPGLGYSDRPSLNYNADVMESFLVQLMNSPSSPVANADGELVIVGGGHAATIAVRAAGKGLIKPSAVAAVAPTWAGPLPIVFGRGSDMETRYGLLRGTLRAPAIGWMMYNVLVSNEKSIQSQYKSHVYANPENVTPDIIESRYELTKRKGARFVPAAFLTGLLDPVQSREEFLQLFAKLEGDVPVLIVSTLNAPKRSKAEMEALKGVKGVTKFVEVPGALLPQEEYPLAVAEELYKFLQESFSAGR >Et_10B_003390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19389305:19392238:1 gene:Et_10B_003390 transcript:Et_10B_003390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTPGVLLKLLQSMHTDERVAGEHRSPVLQVTAVVPAVTASTADSLLSPTNGFLLNLSDGLHSTYVQLPPADADALLSARPQLVGHLVHLDRLRFARPVPRAVGLRPVPSSRALPCAGNPEPLVARSASCARGYVIQPAASPSDAAPPLMPSSGSNLNDNIAVKRTVLAPKNTVSDEAPPSGNSEVKRRFSSPAPSKQRYPSPSVKGASRASSPSVKGASRASSPAVRGTPRATSPAPSKCVVPSLVQAKEENRRAAREPAIVVPSRYRQPSPAGGRRGASSPAVGGRRASLSPSSRRLSGEGCGKKKVGVLVAGISKMTDLGNGSAVKPGRKSWDDQTLALAAAAAGSVMKSRAKVDKDTILRTQEAMSRRLSDATTEQSSNDDSSVDERPKPRKKIDSSSVKMKITAPKIIVHDPKWTDGSMPLDAVSDKLSKIGKEAMERRDAVATAAASALQEALITESVIRNLSKFSDICSSSTTSNPLPTIDLFLAVYEDTLKWKTMAEAVVANGEDEAFLEKSTAHWVDAALATDLEVLKLLNGATDSISRRKSNNKPKTTSVVEPPRTSLPRKQSLGASAKIQSKVSPSPPVSCTWSNAEGMNETVELAKTLWREMHMWFLNFVNEALDVGFHLFEDQNVATRAKHSSNITMVLSQFKKISDWLDGVGKIAEEKTTKEKVECLKRKIYGFVISHMGSAFEGSVSISSRS >Et_8B_059717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2137113:2138628:1 gene:Et_8B_059717 transcript:Et_8B_059717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTQKIVKKRVKQFKRPHHDRYICLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >Et_2A_016604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26518188:26522340:-1 gene:Et_2A_016604 transcript:Et_2A_016604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADTPSHPAPTPLLPSGDVSNAVADVSAAPPPPSRRASPHIPSRRPPHPGPPLKEGAPATADEGAGPAASPRRKRRGTREPRTAGVAASTPASTVKRNVRPGRVVENGKEVLEEEAVEKVRRRKISRKAQTSGSKGSLVLVKEEGSSLALEPCPPTNLTPGANNEGQNGWEGLLETVIDLVMWNNVAKSTFWFGSGSMSHLSVLPIWRCDVGLGFLQGFCISETAGETYKTISIDRRRFVNTVISMTQSVFSGDPSMTLKVLPFLLFGAKFGHLLTIRSILATGFFSCFTLPKLYRCYSAQLHTIAKGFKDQILNAWKSCPRKKLVMAAAVTTCWNLISVKTRILAGTARDSDVNSKEELLQYNYFVRVLLFGLVREKKIAMDVAQPLRRTLAQYTAALLRNH >Et_2B_020418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:264084:265439:-1 gene:Et_2B_020418 transcript:Et_2B_020418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMDIPEEVTVKVSAKVVTVEGPRGKLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRRTMAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNGNRAIEIRNFLGEKKVRKVDMLDGVTILRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGAIQEEQ >Et_1A_007354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33694914:33717105:1 gene:Et_1A_007354 transcript:Et_1A_007354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKGVADLLRKSAPAAPGGSGAGGGGGGGGGDRGSPSVDRVAAAPSPRVRFSDSGEEGVLNLLWQKYETAIDKAEKKKSLQIFALHFVKVFKDWDPDHTGHSVDQGSLSDDTVLGCYIGHPTEVILILVQEISQITSSITESSSCPDSSANISELLNDMGLSTEGLTILECLTIITRSVHNCKVFNYYGGVQKVTALLKAAVVKLKTLTSLLAADEQLSNKTVENMRMMQKILVYIVTVISNFMDLEPSATKISQFINTSRLSSNYLATVTPSPTKSIDINWQKKAIVSVMEAGGVNWLVELLRVIRRLNLKEQWTDLSLHFITLYALRSTISENTRAQNHFRSIGGLEVLLDGLGLPSSKFSVSKQSSVPSDERNGILQLQILSLEILREAVFGNVNNLQFLCENGRIHKFANSICWPAFMFQEFHQQKFLDHKAVNLKLDKEGTGTPPLLESLSNPIDILDTTEWNEYSVKLSIALSSFLLPPKEIKYCRGASDASRISLSISLAYWEQCARWIIKVLSTVFPCIKACASETELPNHIRSLANTLQHYMLCTFRKVLISAPALLKSFREEGLWDLIFSEKFFYFGSPVDYINPIIQETWNDQIIDASESTGSKSSNQAGASILQVEAISFLEFAATLNENSNNLPECSALVGALDHCIYDPGLAGAIIKSFHVILQLAPEQTLASFKSIDVLTRVLKVACVQAEELRKLSHPLDDLNENGFQSKNFQTPSDERVRNSRTCVELAFNLFKEYVTISDLGRIAILHNASCIECLFDLFQEENLRKHILEQVLALFRLPPSSPQDHAAKLQLCSKYLETFTRIKEKEKGFAELLIDLLINMREIILIDRMYYQNLFRNGECFLHIVSLLNGTFDEIVGEQLVLNVLQTLTALLAENDESKAAFRMLVGVGYKTLQSLLLDFCKWVPSRKLLDALLCMLVDGAFDINEKTTIKNEDVIILLLNVLQKSSNSLQRYGLMVLQQLLKGSITNRTSCFRAGLLSFLLDWFSVEEGDDIVVQIAELIQIIGGHSISGKDIRKIFALLRGEEIVVKQQHSSLLLTSVSHMLKEKGPEAFFEFSGHDSGIEIKSPVQWPYNKGLSFCCWLRVEDFPENGMMGLFSFFTENGKGCLAMLGKNTLIYECVLLPLSLPTKQWKFLCVTHTIGRTFSGGSQLRCYVDGDLVSTEKCRYAKVNEVMTRCSVGTELMPIGEEPSSLGFESTFAFTGQMGPVYAFSDALSSEQIRGIYNLGPSYMYSFLGDQNLLMNDDTLYKAILDARDGISSKMIFGLNAQASNNRTLFNVSSVLDNLDKSKFEATTMGGTKLCSRRLLQEIIYCVGGVSVFFPLLIHFDDAVIHDGESATSDELAGQVIELIASVLDGNVANQQQMHLLSGFSILGFLFQSVSPQLLNFKTLSALKYMFNVLKNSGMSEVLLKDALSQFYLNPHIWAYATYEVQRELYLFLLQYFEDDGNLLPILCGLPRIIDIVRQFYSDKVDPRSSKPSLHLVTKKVIGERLSMEEIRKIRLLLLSLAEMSLKLKVSQHDIRALVSFLERSQDVECIEDILHMIIRALSQNSVLSSFLEQVNSLGGCYIFINLLKREFEPIRLLGLHFLGKLLVGVPSERKGPKLFGLPVGRPRSISEDIRKGAIAAPQLFFYSISERLFKFPLSDNLCATLFDVLLGGASPKQVLQKRSQSDASKDKSSTSASLPPFFVPQILVCIFKYMQSCQDASARTKILSDLLDLLDSNPSNIESLMEYGWSFWLDTSVKLDVFRNYKSVSVSKGNNLEINELILVRNMYSLVLSYCLFSVKGGWHHLEDTTNFLLLKIEEGQLPNSCLLRDIFEDLVGSLLEASSEESIFISQPCRDNILYLLKLSHELFLDQIGIKLLFPLPDMSAQVSSDDSLKEDINTVVAEIMNTESGDQLTSLPWSNNLFADGEKLSDDWWGFLDKIWTLLCNLNGKGQNRLTPKGSTAAAPSIGQRARGLVESLNIPAAEMAAVVVTGGIGSALSGKTNKIADKAMMLRGEKFPRIIFHLMIIYLCKAGLENASKCVQQFISLVPSLISEDDQSLLRVRSLYGELDDGARFHVISHLILETIIYGKSMLATSMLGRDDSTEPNSNKEAGFILNLVQKDRATDEVNYLKDAKADRVRQLQELHSKLDERSIEDIEQLQSFEDDIQFAKAAAISADDSRKAAFQLAFDEDQQIVADKWIHIFRALSDERGPWSATPFPNNIVTYWKLDKTEDKWRRRLKLKRNYKFDERLCHPSSTKSSNDNTASSVEASVNAKIPAKMKHLLLKGVRGITGDASSESYEENTDMSESSQNNLSENQGISDVVDSADSSDYSTIVQSRKEKSSTSDDNDYIEVLSSVHCVLVTPKRKLAGQLTITRNALHFSFEFMVEGTGGSSVFNRFQDKKDSDFKDSKPELGGSEKLKGNLDVGRGNAAESCDTLIKNQSNKIKHHRRWKITRIKAVHWTRYLLQYTATEIFFDDANAPIFLNFSSQNDAKSVGSLLVSLRNDALFPKGTSKDKNSLISFVDRKVALEMAESARESWRRREISNFEYLMILNTLAGRSYNDLTQYPIFPWILADYSSEKLDFNKSSTFRDLSKPVGALDEKRFKVFEDRYLNFVDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTALHRGLQGGKFDHADRLFQTIESTFRNCLSNTSDVKELIPEFFYMPEFLENSNSYHLGVKQDGEPLGDVGLPPWAKGSPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGKPAVEAANIFYYLTYEGAVDLENMDDMLQKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPQSITVTSIIPSTSTPSSSVLFIGLLDSNIVLMNEGLILSVKLWLTTQLQSGGNFTFSGSLEPFFGIGSDVISPRKIGTSLAENVEFGRQCLAAVQIHGDNYLILCGNWENSFQIISLSDGKIVQSIRQHKDVVSCVAVSSDGSVIATGSYDTTVMIWHAFRGRSVDKRSRNANYDLSTKDHVIIESPSHILCGHDDIITCLFVSTELDIVISGSKDGTCMFHTLREGTYVRSIQHPSGAGLSKLVASQHGRLVLYSDSDLSLHMYSINGKHIASLESNSRLNCMELSCCGEFMVCAGDHGQIVLRSMHSLDVVWRYEGSGKTITSLVVTPEECFLAGTKDGSLIVFSIENPFLCKGNMQRNKTKSSAGYQQRCKREQPITPVQ >Et_6B_049107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1790906:1795054:1 gene:Et_6B_049107 transcript:Et_6B_049107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KIPLSLKLGTNSSSTSLYKTALAPLNPTEPKLEEPLFPLLKHIVVYLIGPVNIVCSVRLAMSDNNGGVGGSGVGGAQRELQLQLRLAPPLRLVPPGDQRIEVHEGGNSGSSSDNSPASSRSVLVVGGCLRCMRYVMVPKRDFPICTNCKHPTLLDPLDCIGTGGVAGEKKHGPRDDNNGGIGGSGVGGAQRELQLQLRLAPPLRLVPPGDQRIEVHEGGNSGSSSDNSSASSRSVLVVGGCLRCMRYVMVPKRDFPICTNCKHPTLLDPLDCFGTGGVAGEKKRGPRE >Et_1B_010885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15067104:15068177:1 gene:Et_1B_010885 transcript:Et_1B_010885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGVSSTSASMLPPPSRPGKRETLPSYLLALPLLAASGFPQPKSHPYMSNRGELLTNMALAPESLNATTDGGAAARRARIAASGRVRRHRAPHGRRRPSVAARHRQELRRRHGRRRHGGDAVPEVLRRQRRPEAATVVGGRAEHRLLLLLLRRRRAPRARDAAPQQRAQRLEPGHGRRIGRVAGGQELPPRPLLECIQGLCREVQHPLAQRRRRCRRPRRCEAREVGARERNWRDGRASSSIGRPTLQQRCRSRMTAAASGPRVVVDEPQEDAVWDVGVGREDVAV >Et_2A_018181.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1756649:1757029:-1 gene:Et_2A_018181 transcript:Et_2A_018181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCTLRIESYRSEGVVRLEALLEHGGLVLGQPQLLARAAVVVLVAAAGRPLRRALPAAAAARHRATSRRAPPLFRRGRAHLPLRQGNDIAGVKEAWLLRRRRSQAQAKAQPKIQALRRHVYLIPT >Et_9B_064420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1570551:1580737:-1 gene:Et_9B_064420 transcript:Et_9B_064420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLPAVLRRAAATGGGARLFASSSTLLFDDTQEQFKESVRKFAQETIAPHAAAIDASNHFARGVDLWRLMGEFNLHGLTAPEEYGGMALGYLYHCIAMEEVSRASGSVGLSYGVHSNVCIDQLVRNGNPEQKHKYLPKLISGEHIGALAMSEPNSGSDLVSMKCKADKVDGGYVINGNKMWCTNGPWAQTLVVYAKTDVAAGSKGITAFIIEKGMPGFSTAKKLDKLGMRGSDTCELVFENCFVPRENILGKEGKGVYVMMSGLDLERLVFAGGPVGLMQACLDVVCPYVRQREQFGRPIGEFQFIQGKLADMYTSLQSSRSFVYSFARDCDNGKVDRKDCAGVILVAAERATQVALQRLLPAVLRRRAALAGGGARLFASSSSLLFDDTQLQFKESVHKFAQDTIAPHAAAIDASNHFPRDVDLWRLMGDFSLHGLTAPEEYGGMGLGYMYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRHGSPEQKQKYLPKLISGEHIGALAMSEPNSGSDVVSMKCKADKVDGGYVINGNKMWCTNGPSAQTLVVYAKTDVAAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPQENILGKEGKGVYVMMSGLDLERLVLAAGPVGLMQACLDVVCPYVRQREQFGRPIGEFQFIQGKLADMYTSLQSSRSFVYSVARDCDNGKVDRKDCAGVILFAAERATQVALQAIQCLGGNGYINEYPTGRLLRDAKLFEIGAGTSEIRRMIIGRELFKED >Et_1B_012922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4140257:4141748:1 gene:Et_1B_012922 transcript:Et_1B_012922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSKAAAAAGAMGGKGARACDSCLRRRARWYCAADDAFLCQACDASVHSANPLARRHDRLRLRATSPTTHRSAAVASTSPSKRSRQVAPAWSKRKARSRRPHVKSVGQLLSRKLVVVPGQVAAESTTSEERKADDDGAGEEEEEQLLYRVPSFDRAFAELCSPPPGVDDVASGAASYCRENADDGAVDNAQNNKDPAVSPVQQLPDSLAGGFGPTDAELREFAADMEALLGHGLDDSSLRFMTPIEDDDDGHVKMDPDGAMSNSEGALGFGHAETMKAEASGEVLDIDFNYCSPAMVDDDDDNCSFQQKATTASNGDAADAQFLRKSLDLRLNYEAVIESWGSSPWTDGQRPDVQHDDFWPQAYHSGAWTAGGGRPGGEPLLTPRWGADGGREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRPAAGGGGAAAPCGVT >Et_2B_020669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22624374:22626286:1 gene:Et_2B_020669 transcript:Et_2B_020669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTTVTEPDSNADASPPSPSSSPPPKKATSYELAARNIYYAKPVAAPRSLARLLKPYCGAAAPAPDYILRDVSLTARAGEILAVVGPSGAGKSTLLDILAARTAPTHGRLLVNSAPLRASSFRRLSAHVPQADVALALLTVSETFAFAASLLHPSSPSRASAAVAELLADLRLSHVAHTRVSPARLSGGERRRVSIGLALLRDPGVLLLDEPTSGLDSSSARVVVGCLRAVAAARGTTVVLSIHQPSARILSAVDALLLLSRGAVLHHGSLASLDAALLAHGLVVPPQLNPLEFALEVIDQLPHPDPSPSATPEPKSSSPTTKDEQLAGSPSESKSNPHHRHHKAATSSRLQEVGVLYKRAWKVVYRSKQLLLTNFLESVLVGTLLGTIYIHAGDGEAGAHKRLGLFAFTLTFLLTSTTETLPTFVTERPIVLAETASGLYRLSSHAAAATLVFLPYLLAVALLYSASFAPDYIAGMSLVSVSLAGFFLFSGYFLSRGSMPSYWVFMHYASPYKYALDALLANEYACAADRCFGVVSGGGECSETGRDVLAEKGLTPEERWTGVQVLFGFFLLYRVLYWVVLSRRASRAKR >Et_3A_024406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20488002:20490317:1 gene:Et_3A_024406 transcript:Et_3A_024406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKPAAVSRKCTLATSLCSLACLFLLSAALLAAVGHSPFQPRAAPWDRFSAVQKSAPAPRVASPPAAGRRDAVVAPAPEDLDGDQLGEVVEDAGLAAPAPAPASEDEDNGECDMFDGTWVRDEEAWYPLYESAECPFLSDQVACRRNGRPDSGYEQWRWRPRGCAGRTRMGGAEALELCRDKRLVFVGDSLNRNMWESLSCILYAAVPDRSRTRIVEDAGEEIFRAMDYNCSVEFFWSPFLVKLETKSNGTRALKLDQLSPMLQRTLGADVLVFNTGHWWTHTGKLKAWDHLEKDGKVVELAGEEAFNRALRTWAWWVDQNVDQNRTRVFFRSVSPEHKWTNWCHNQTSPISKGAVASWFPKSLVTIVERNIRVMRTPVTYLNITHLSELRIDAHPSVYTVDREGKPLSTEQRQQPIKYGDCSHWCLPGLPDTWNVLLLASLRIPPSNVHLLG >Et_2B_019103.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:9665577:9666068:1 gene:Et_2B_019103 transcript:Et_2B_019103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISKQPFRKSKQLFRKSKQTFHKSKQPFRKFKQPFHKSKQTFRRRSRIGPRDRIDYRNMSLINRFISEQGKILSRRINRLTLKQQRLITLAIKQAHILSFLPFRNYENEKQFQTQSISIITGPRHRKNRHIPQLTQKFNSNRNLRNSNQNLRNNNRSLSSDC >Et_5B_043946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18159223:18162936:-1 gene:Et_5B_043946 transcript:Et_5B_043946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPPPPPSWSRSVTETVRGSHQYTVKGFSLAKGIGPGRFLTSDVFAVGGYHWAVYLYPDGKNPEDNSAYVSVFVALASDGADVRALFELTLMDQSGRGRHKVHSHFDRSLQAGPYTLKYKGSMWGYKRFYRRSLLETSDFLKNDCLVLNCTVGVVKNRVETPKNIQVHIPPSDMGNCFKELLRRGIGCDITFQVGDEKVRAHKWVLAARSPVFKAQFFGPIGKPDLQTVVVEDVEPVVFKTEGFSYLEETCPSLLSDLLATVAVVDDNPASVNRKRGVCGNEVSVPVDSVEAFFERRSRRR >Et_3B_030936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9011931:9018757:1 gene:Et_3B_030936 transcript:Et_3B_030936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVNLQWIANDKTRRATFKKRCAALMKKTRELTILCGVKACVVVYEDDAAKPEVSPSVPEARQLLKSYKAIPDELENLKKVVNMEEYLRSRISKLHEQMCKSDLEKRKDHTLYLLHEAMDGRLLGLVGLTNEELASLEWLVESKMRSTKERFEQLAFKEPLQEHATSSSQQQAPFTSTEMQTMTPVEETPAQQENLFADFVQKGRELGSVVPGDLGARSGDAGPSTGISAGSWDPFPTMEFLLETEGCWAMARKKVNLQWITNDSTRRATFKKRCTALMKKTRELTTLCGVKACVVVYEAGAAQPEVSPSIPEARRLLESYKAMPDELENLKKVMNMEEYLRSRISKLHEQMRKSDLEKRKDHTLYLLHEAMDGRLPGLVSLTNEELASLEWLVESKMRSTKERFEQLRFKEPLLPLEEQAASFSQQQAPFTSIETQTMAPAEGTQAQQDDLFACFTQNGAELGSAVYGDGAGPSSSGTDTTQRYSMLCSGFSSELWDHFPMMDWRAMFNKHCERLMKKAMYSENEAQPEVCPSTPKAIDMLTRYKAIPESEQ >Et_3A_024691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23309803:23314237:1 gene:Et_3A_024691 transcript:Et_3A_024691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAFSHRIAFFFSNLVQTASHGPRVLALASTNFLTCSLLLFYFIPFFERPLARSAQGTSIAFLPSEHRPQQTIPRAPRIGSTPTPPLRTYITKIIRQPHLAPTAASRQLNAAPKAQPPVRDKAQLAIAITSGRGPSACAAAMGNFASCTMATASGGSGGTSVVLPEGRVLPVSLPAKCAELMLEAPGHFLADARALRPGRRIEALAADDELQRGVLYAALPMKRLGSPAAPADVARLAAAVVASGDKARSGRSRRRRSALSAAATAKVAAVVAPLEVLEAVAAAAASVGEDCAPRSTTRVALKLDEMAVDGAEAAAQIEELKQRLSSGGRRSRRPTLETILEESYAPVRC >Et_10B_003905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7341206:7348082:-1 gene:Et_10B_003905 transcript:Et_10B_003905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTSMNVTCNYLTESVRSVRLLKIDGCPPYPSFTGASGHNKYITSTWEVEGYNWEIRSDDGASHMVLELVFLSEARGNDVTANLSCRLVDPTGVLQPSAEKSAEKSGPSKLFRRPSDSSEKFRIMSRHDAHSWGYLDKIGSVSVECAVTVYKDHDAITEKTSQCIEIKEIEAVVFKAMVGFLYTDTVPELDEKQEIAATMAQHLLVAADRYGLDRLKVMCERKLALGIAAGTVATTLALAEWHGCSQLKVKCIEFMVEARHFVMHEPEHIYFLTASLSCRLVDPSGIRQQSAEKISPSKPFEHPSDSSGKFTIMSRCDADSSGYLSKNGSVSVECTIIVFKDPEPILVPSSDLPKDLGELLRSEDGADVTFIVSGESLSAHKNILAARSPVFKAEFFGQMKEKSSRLIEIKEMEAAVFKSMLGFIDTDMVPELDEKQETATALAQHLLVAADRYGLDRLKVICARKLALVVEPGTAATTLALAEQHNCSQIKEKCIEFIVGASPEILGVVMATEGFKSLEASLLTELFMAAHGRNKK >Et_5A_041502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23717202:23721685:-1 gene:Et_5A_041502 transcript:Et_5A_041502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSFRPLLPPTLYSSDGGARLNSSRSNSGRASQDGKRSHCYGSCDVSSFLLNCHTMIGIIFFLIIAFGCAIRYRRWTGETTMTAPVPDSRIRHLTVEKFIWEIRHEKPFRFTPDQIAWFTNNYSTRLGAGGFGAVFKGALPNGLAVAVKIFHSSLDQKSGEEQFMAEVGTIGRTHHVNLVRLFGFCFDDAVRALVYEFMELGALDSFLLHRGRDVGLETLRSIAVGVARGIRYLHEECQQKIVHYDIKPGNVLLDATLTPKVADFGLARLVNRADTHVSVSGVRGTPGYAAPEMWMQSGVTEKCDVYSFGMLLFEIVGRRRNFDEAAPESQQWFPKLAWIKYESGDLMELITMPSPSLIIIVLLLKIIVCGCVIRNRIRAGTTVVPDSRIRHLTIEKFIWDIRQEKPFRFTPAQIAGFTNNYSTRLGIGGFGAVFKGSLPNGLAVAVKVFHSSLDQRSGEEQFMAEVGTIGRTHHVNLVRLFGYCFDDAVRALVYEYMERGALDAFLLRWGRDAGLEALRDIAVGVARGIRYLHEECQQKIVHYDIKPGNVLLDATLTPKVADFGLARLVNHAATHVSISRPRGTPGYAAPEVWRQSGVTEKCDVYSFGMLLFEIVGLRRNFDEAAPTESQRWFPKLAWTKYESGELMDLVVAAPSVGDVARRKEMVERMFKVAFWCVQELPEARPPIGMVVKMLEGEMDIAPPVNPFQHLMMPSVVMNLWTLTATSDVIGNGASANVISEGRNEIVSL >Et_4A_033473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23437398:23439432:1 gene:Et_4A_033473 transcript:Et_4A_033473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCFCGTTSTSPDEPEVKDGAPPQGKRPATPPSSQGNSHQEAIPETKPKPRPRAKPKPKPNPYDWAPPPAPSRGGGAPSTRVLDGVVPHSPCLGVTDKYHLGPELGRGEFGVTRLATDRATRERLACKSIPKRRLRTAVDVADVRREVAIMASLPDHPALVRLRAAYEDADAVHLVMELCDGGELFDRIVARGRYTERAAASAARTVAEVVRACHAHGVMHRDLKPENFLYAGASDDAQLKAIDFGLSVFFRPGERFTEIVGSPYYMAPEVLRRSYGPEVDIWSAGVILYILLCGVPPFWAEMEQGVARAILRGRLDMEREPWPRISDGAKSLVRQMLQMDPKKRPTAQQVLEHPWLQNARKAPNVPLGDVVRARLQQFSAMNKFKKKAMRVIAEHLSVEEVEVIRDMFAIMDADKDGRVTLQELKAGLKKVGSKLAEPEMELLMEAVSTDPNSFELTIPLCEPRTEPRPQNADVNGNGYLDYGEFVAITIHLQRLSNDDHLRTAFLFFDKDSSGYIERAELADALADESGDTDDAALNNVLREVDMDKDGRISFDEFVAMMKAGTDWRKASRQYSRERFKTLSNSLMKDGSLAMAR >Et_9A_063484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4012772:4017054:1 gene:Et_9A_063484 transcript:Et_9A_063484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSCAPWQSQSPKLAWDAASLAGALKDAASRRSAPHVRPLHGVLLKLGLSASAILATSLAHLSLRCGFPEYARDLFEEMPLPDVVSWTSLITGHAHQGLHLDSLALLRRMATSGVAPNGYSLSGGLLACAGVGQDALALGKEIHARVFKLSLHGPVDAVVENGVLDMYARCGSIENARRVFSSMLVRNIVALNSMMSALLGTGQAEEALRLFVSMVSCGIAVDGFSFSIIVDACGELALLKQGMQVHARIVGGGFEADVVVRNSLLNMYAKCGCVDSAERVFEASSSRDAVLWTTMIAAYGKFGRVQDAVCMFDRMAQLGIKQDGVAYLAVLSACSHGGLVREGWKYFRLMSDGQTSLRVQPEHCGCMADLLCRKGCLKEALELIENMPFDSSVAAWSSLLNSSRIHGNAKMSQLAATQLLKLDPENHSNWVALSCAHALERDWHETWMIREIMSRGCMKKEPGYVVKLGTGIKEK >Et_1B_013382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:795169:797481:1 gene:Et_1B_013382 transcript:Et_1B_013382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HFTDGVNEAGLKQIEMGHFMETAYRHTSPMNQLHMGRVPTCRSSAVTIVPALSEGALPNFPMHRPSYVESELPCSASLPALQSACTLPSNFTSSDLRTYNESQSPYGKLSSGSYATEQFDPDTLLPSAYPAFKGNSSTLRTILPKESERVSWNQEPLQGVFDSPTSVYFSNQQNVNPVGKRIHDSITMALNAQLAERHEIFSSGGSMEFLGSAGSVLKAVDARSLTPENYSYCQVQSSVPPFNSDEVSHDNLPSNTTPTKLRMRWTPELHEQFVEAVNMLGGSEKATPKAIQKVMKVKGLTIYHVKSHLQKYRAVQHRPESSDAGTPAKRGSLSDEVPFQQSKCLKNVEGLRTQIGLQKQLYEQLEIQRKLQLQVEEHSKYLEMIIAQQSESLKKLGALPGSQDRPHPALDNNKACEERTRCTNSATEKMNDQKQ >Et_1B_013176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6020369:6024707:-1 gene:Et_1B_013176 transcript:Et_1B_013176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEADGRFGNKRVHNRLGPGSGVPPSSTTGKVCNYWRQGRCNRFPCPFLHSELPEAAAPNKRSSGPGGNVWRNPNVGGGRGGGGGHNRWGRGPGGGSGGVSQKPPDRPCKYFLAGDCSFGERCRFPHSYHISDSITMLTPLKGHEKVITGIALPAGSDKLYTGSKDGTVRMWDCQTGQCAGVISMGREVGCMISEGPWLFVGIPDAVKVWNMQTAAEMNLTGPTGQVYALAVANELLFAAIQDGRILAWRFSAATNCFEPAASLVGHQLAVVSLVVGGMRLYSASMDKTIRVWDLATLQCIQTLSDHTDVVMSVLCWDQFLLSCSLDKSIKVWAATESGNLEVTYTHTEEQGALALSGMPDAQSKPVLLCSLNDNTVRLYDLPSFSDRGRIFSKQEIRAIQMGPSGLFFTGDGTGELKFIFKWIQEFSQLPSIVDVRIH >Et_10B_003732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5335317:5341439:1 gene:Et_10B_003732 transcript:Et_10B_003732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEDRPAATTKYVLITGGVVSGLGKGVTASSVGVVLKACGLRVTCIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFIDVTLTRDNNITTGKIYQSVIEKERKGDYLGKTVQSSIIQVVPHVTDEIKQWIQSVSSVPVDGQSRPADVCVIELGGTVGDIESMPFIEALRQLSFSLGKENFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDILACRSAQNQKAHEAIIKQLNLASSAGPPELRDWTEMAESYDNLKNSVKIALVGKYTDLTDSYLSVVKALLHASVACSLKPSIQWIAASDLEDATATTAPDAHAKAWEILKGSSCILVPGGFGDRGIQGMILAAKYARENKVPYLGICLGMQISVIEMSRNVLGLEDADSEEFNKDTPNRVVMYMPEVSKTHMGNTMRLGCRRTLLRRPDCLASKLYGSTSHVDERHRHRYEVNPAFVPMLEDAGLHFVGCDESGNRMEIVELQDHPFYLGVQFHPEFKSRPRRPSPPFTGLILAAVKHLGTLSNNSNGSVDSSYRVRKAELQTS >Et_8B_059571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19316561:19320505:-1 gene:Et_8B_059571 transcript:Et_8B_059571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVRPSHLVLAAGAAYLLLVSLKFRRVLDLAATDLDGPAAFSSPSSADHLPPSNSTATSASASSSASPFPVHPFWHRYDRVSLPDLASRNRSALDRMADDAWALGLTAWEEAAAFAGDPWELAAQHATRASTDKCPSAVSVRARGRCEKWIRNDIVDTKESKTTSWLKRFIGRAKKPAMTWPFPFVEERLFVLTIQAGVEGFHIYVGGRHVTSFPYRPGFTLEDATGLFVKGDVDVHSVYATALPMSHPSFSLQQVLEMSEKWRSRPLPKDPVFLFIGILSASNHFAERMAVRKTWMQTREIRSSQAAARFFVALNSRKEVNVMLKKEAEYFGDIVILPFIDRYELVVLKTIAICEFGVQNLTAANIMKCDDDTFVRVDVVLRHIKLNNGGKPLYMGNLNLLHRPLRTGKWAVTEEEWPEDIYPPYANGPGYVISGDIAKFIVSQHANQSLRLFKMEDVSMGLWVEKFNSTKPVQYSHSWKFCQYGCLENYYTAHYQSPRQMLCLWDKLVRGRASCCNYR >Et_1A_009535.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:7642413:7643354:1 gene:Et_1A_009535 transcript:Et_1A_009535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATPSPAPTKTSHVSAPAARPSFPPGLAPVVLVLAAAVGLLSLLPSLAQAVWEVPHLVLLGLVISYGVFAQRNTDAVDKAGGRDGNGAVAWRNARYRPDEPLIVVADHAAMSDGDREGAMERPLSLPVRRLKQSPATQEPDTAGVGGDETDSSASSSSAFWAGAHTAPSPPSVLDANLGLSPPCSSQPQSPPFFADDASATTKSRGFSAYQPCVPRDEPSSEDEGEVTDWDDEDADDDVSEEMTVSSERSARGDDFTACANDHSSDGDASVDEELLKLASKAAVPEGEDEVDRKADEFIAKFREQIRLQRL >Et_10A_001575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5354038:5357381:1 gene:Et_10A_001575 transcript:Et_10A_001575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMAVLARTAPPLAGTGRRPSAARRPSSSLSFAAASSRPRATLGLRAAGGARVAGARRAALRPIVASSEVEQSYIMIKPDGVQRGLVGEIISRFEKKGFLLKGLKLYQCPKDLAQEHYKDLKDRPFFPKLIDYITSGPVVCMAWEGDGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRNVVHGSDSPDNGKREIALWFKEGELCQWESVQTPWLLE >Et_5B_044106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2017068:2019694:-1 gene:Et_5B_044106 transcript:Et_5B_044106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSDATIATSTCAHCQREIPSSNIALHSVHCARNLQKCDHCGDMVPRKLMDEHYDENHAPVNCSLCKHAIERELWDLHTGIQCPQRMLACQYCEYELPAVDLFEHQDVCGNRTEYCQECRKYVRLREWIGHEIQFHRNSSADAEFSSDGATLEKEEHATAPGQPLQHWHIAYLISAVSSGHDSDTKEIVKSFRS >Et_1B_012735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34830159:34833249:1 gene:Et_1B_012735 transcript:Et_1B_012735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVPKPDHHKTSWPELVGWRISRRVSGSPTTDRMSGSTSSASARSLPRASTASASASSSPTNHIVVRTPKRETTMSTQKTSWPEVVGWPASAAVTQINSDRPDVAIEVVPDGTTVAPGYNPQRVRVYFDALNPVGPVKYTPVVG >Et_6A_047089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25051627:25056362:1 gene:Et_6A_047089 transcript:Et_6A_047089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMFGFSRRRMKLGRFKGHLNDHFHGSRSPSRHAKRHNHPNGEDPVTASVSGRADDLAWRCSSDTFDLNGRAFESSENWAVLSTEGDKPVPRFDHAAAMVGSKMIVFGGDSGNRLLDDTKILSLDQLTWDSVAPKVRPSPTGRSPKLRPCKGHCLVPWGKNVILVGGKSDPPSDKISVWTFNTETELWSHMEAKGDIPVSRSGHTVIRAGPALILFGGEDAKGKKLHDLHMFDLKSLTWLPLNYKGSGPSPRSNHVAALYDDRILLIFGGQSKSKTLNNIHALDFETMVWSRVKTHGHHPSPRAGCCGALCGTKWYIAGGGSKKKRHPETWVFDVLESKWSVCVVPPSSSITTKKGFSMVPLYYRDKIVLLAFGGNKKEPSDKVEVLVVLQNEHCFSWRSAPDVDPLLYDDSPPSSRELADHLNNCAPLYSTSSAARSSLATTVDNSSGRKSLPDSLLHNSNLGSSSLRRQFRQEEDCSLAQKLQKPIDDDKYKDVDDCCELPSITNQKQRDDTHHSPDADARMKRLGRSSSDINHHHDAKITNLVRRNIALEEQLSAVMASKDEAEKNLSLVIDSKDELEKRLVERDREVEALKEKVTGLELAQEESNNISNTVHADNVRLEREELHSTRGVLAGERARAFQLQVEVFHLKQRLQTMDGRSPTPRKPQNP >Et_9A_062379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22384696:22388061:1 gene:Et_9A_062379 transcript:Et_9A_062379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPAPLEARDYIGLGAAGASSCSSSSSSCAGGEAHLALRLGLSGSESPGRGAEAEDVDAALTLGCPAPLPRSGGAKRGFADSQLDRSSSRDVDAAAAGGLKGEKGAAEAAAAAPPASKAQVVGWPPVRSYRKNTLAATANATKSKAEDEGRSETGCCYVKVSMDGAPYLRKVDLKTYSSYEDLSLALEKMFSCFITGISSPCKSSRGDRLGDGSRADALQDQEYVLTYEDKDADWMLVGDLPWDTKMIETERGRNK >Et_2B_019041.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26810829:26811818:1 gene:Et_2B_019041 transcript:Et_2B_019041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRSVIAWNAMISGCARGGEEARAVELFDAMRAEGRRPDQFTFASVLCACARLAALEHGRRVHGVAVKSDVSGNVFANSALVDMYLKCSSHEDAHRAFAGAPERNVTMWTAVISGHGQHGRVAEALALFDRMVSDGFRPNDVTFLAVLSACAHGGLVDEGMRRFASMTSDYGLTPRGQHYAAVVDMLARVGRLRDAYDFVKNLPDCQEHSVVWGALLGACRKHGGDVQLVELAAQRFFRLQPGNAGKYVVLANTYAAREMWGSVASAHEAMNALGVRKDPAWSAIEVQGKKHTFLAGDSYHDECSAIYEVCNALAHAVTEQSAGAADG >Et_5B_045269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1643003:1643980:-1 gene:Et_5B_045269 transcript:Et_5B_045269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAVATWFPAAGADELRRIVEGMNEMEGQLLLPEDVARAALYLASDESKYVNGHKLVVDGGFTTN >Et_8A_056224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16437149:16438477:-1 gene:Et_8A_056224 transcript:Et_8A_056224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVPARVQRHLPVALVGLPAPRGHRPPPPEVAAVHVEPRRATHHHASRRVAHPHRVHHGPRRPRRRARPDGRVGVRRHRAPHCRRRPPVPAWGQQELRRARGRRRGHAVDAVAEVLRRQRRTEAAAVVDRRAARRLLLRREAPRAGDGPPYEGGERLEPRHGGRTGVVAGGEELPPRLVLERCQCLLGPLDQRRRVGARKHGRRRRPALEQGRAARRRRRRRREAGARDLRPRVDEVPERRAVGERVVAREPEEDAAVGELGDLGGEERKLVAVAADGVAEREERQEDAGRHHVGGGDAVRDREEDLGALAAAVDGDRASHNVSVATLAVAVLDGDEAAGKRVVNGERVGEGRLEVVDEAGGGERRPREEEALLDVDGGEHRGVPRRERDALVRSGRRLHGAPRDHAHRRWGRPHGCSCLPPLRPIVDFL >Et_10B_004423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6849494:6853203:1 gene:Et_10B_004423 transcript:Et_10B_004423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCSFSRQPDVEKVRPQPSAAAGAVRRQEQLRKRKAAAHMKQPYHANSHEDLVLMVSLDAITKIGFPNHWWYI >Et_9A_061756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16019835:16029224:-1 gene:Et_9A_061756 transcript:Et_9A_061756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALSKPQNTPPWLPNIWDTILVCNQLTSIFKKLHRKKTTSSGQETHSEYVVILRFKINFYDQKVIKHKKALRHCYGEVFILFFNPHEINPYRAGGILFASAFSSSSTSDTLSPPPAIPRMEAEQQPQRPRRKGQKRKLEDEAAAAVAAAAAAAASSLGSAGADDDNEDDGSAGPEICCRRSHAALAREVRTQVDDLLRCTSWRHEDRAAAKRATHVLAELAKNEDVVNVIVEGGAVPALVRHLVEPTTTAQEQPRPFEHEVEKGAAFALGLLAVKPEHQQLIVDAGALPPLVNLLKRQKNTSNSRVVNSVIKRAADAITNLAHENSNIKTCVRVEGGIPPLVELLESLDLKVQRAAAGALRTLAFKNDENKTQIVECNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLNAGALQPVIGLLSSCCTESQREAALLLGQFASADSDCKVHIVQRGAVRPLIEMLQSADVQLREMSAFALGRLAQDTHNQAGIAYNGGLVPLLKLLDSKNGSLQHNAAFALYGVADNEDYVSDFIKVGGVQKLQDGEFIVQATKDCVAKTLKRLEEKINGRVLRHLLYLMRVGEKSVQRRVALALAHLCAPEDQKAIFIDNNGLDLLLDLLTSMSSKHQQDGSAALYKLANKAAALSPMDAAPPSPTPQVYLGEQYVNSSTLSDVTFLVEGKRFYAHRIALLASSDAFRAMFDGGYREKDARDIEIPNIRWDVFELMMRFIYTGSVQVTNEIAQDLLRAADQYLLEGLKRLCEYTIAKDVNLDNVSDMYDLSEAFHAVSLRHTCILFILEQFDKICTRTGSAQLIQRVIPELRNFLTKALSPNQKHAQT >Et_5B_045221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12371054:12373370:-1 gene:Et_5B_045221 transcript:Et_5B_045221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLPSVQTYVLMAVTGLGYLALTWSTVVLLGSFVTLLGKKDFSSSNLLPRLPVATLVLWDQL >Et_1B_012809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35232911:35234099:-1 gene:Et_1B_012809 transcript:Et_1B_012809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAPLHQTLPDGRFVVPAEHRPPASTDGAVSLPVIDLSLPRDEVRRAVLDAGRDLGFFQVINHGVPEEAMADMEAACAEFFGLPAEDEAKARYYSEDTDRTNRLFSSTMYEVAGERYWRDCLRLAVFPVDRTRSGWPEKPPRFRERFDAARGDAPPAAAGIGLRPDYFDGDLSAGDAIVNHYPPCPDPGLTLGLPPHCDRNLITLLLQGPVCGLQVAYDDGGRWIDVDPVPGALVVNFGHQLEIATNGLLKSVEHRAVTNSAHPRTSVATFIMPTMDSVIGPAEELLKAPRPPPPPPPAVPRSFTFREFTPRERQKGIQALRWTITFTSHLQQEIVFCNHAKYKLIKKLLLDVAVTGTLNSQSNIRRNKSSFTRLVQEHRLVKERAPVYMM >Et_3B_029574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26081549:26088613:-1 gene:Et_3B_029574 transcript:Et_3B_029574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAVTQNAVTSWADDGEWELPSTAEARGAPAGAATSGRTADQHMAEVRVTKRQLQELLEKAGSRDGKVRQVEKVLAELMTSGVLLVDIMNSGEVHHHAEAHWHWKPALQSIPEAVES >Et_10A_000586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13099579:13109522:-1 gene:Et_10A_000586 transcript:Et_10A_000586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAATAAGYHAMAPDWHGYGLSEQLPESELSWDDLVVDVLAVDGAFVVGKDFGSIPAFYFALRHPNRTRGVASLGIAFSPGPFDFDTMPEGFYIPRWREPGRAEADFGRYDVRRVIRTIYTLFFRPLPDPSPFDFDTMPEGCYILRLPEWFTETDLDAYASLYQNCGFRCSLQMYRALHKMPHLPDARFQVPVLMVMGEKDYCNKFPGFEDTVRSGAMGSFAPDMKTVFIPEGSHFMQEQQMPEQVNELLVGFFSDHPAVAG >Et_6A_047329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:464999:472134:1 gene:Et_6A_047329 transcript:Et_6A_047329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KLARVPHVPEGTSKLIFASPKEAMVLHVGRGHPEDDDITDSQLAEVVQTQPVPDGDDGDSDGSEHPVNLSKKQLARKRKVGDSGASGSNAPPAQLATKAPRKQAQPKQLATKAPRKQAPPKQLASRKRKGDSSAVDPPAKRTTRSCVQRSTSHCDEEDEEIEEDDDEVEEIEDVEVAVKSRKPNVKTYDEEKQDNEYRDMKKFFGVVGKGNIEFKMLKEHIRKGGLDTMTLRLDVKDRNSTIYCLLDQVKDNDGIDEGGNSFRVPGLHEVLASKLIFLEGSVREEAESALQNFDFAVKEEMNKIAAAQKSIQMRHLEIIDTIGNIFENSSSVGQIELLLLPHSDHCMDNLAGGPTDESASIAESNEDAAAVAITQLGIETASAVDRVPRREVADTVPPVPAVEAIESSDPTETAPQLHAAVELDAAPGQGNLERSPGGHVTDREDAEIVPERAGISETAVEKGDTDRSVAREVTEHVEAEVVLEDSNTTEAAPQQDVAVCPVTSPPHVAQQSIATGIDHTVVSPHDAPRTDVISVVSSDLVIPPNERTEVTEVGGGPSDERTDEDLGHHVGPVLHVEEPGPHSNNGQVSYPSLEWLTKQLNNDLPCDETENEQMNLKGIEVLQGFNKCLTDGNIEIDSSNQNEQGLSNLDSLTPEFLPISLTPDAPAAEMKAVRQRKQVEDDDGAQLIPSGVKRRTYLPARFLDADAGLEVEFIRASPSSKHALKLRDLFLDPSSPYEKENLLMFNSATLDGERIRKCFGDGEEVDVGVLEAFVQCMWYEDKKFRPHLSQERLILHPNIMVSANITCEDDEFNEDALDLAWEVFMGDLPINWKALKLIVIPVHHRSHYTLYCVNLKNKRVDVLDSIDYPKRGSDWKDHHKDIGKRWRATPFPAPFMTKANDCAFMSFKFMEYFTGAEGCLSNLLDPDKSSELRADYLYYLLFHPCNRAVLPPEIIKFRIPGVPFPEDSAGA >Et_4A_033685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25816083:25816952:-1 gene:Et_4A_033685 transcript:Et_4A_033685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICCCSRKKKMESSAADAGSTRRELSLVVQIGSYFILSDGVCGWVGGGDLFKKKGSKQYISLFRASDDEHARLPIKSDEHSHEWFKLNIDKGVVHIDAWVNDFVGPLHRALHLKVREKLLETLSTPSFELDPCVDPTQVTQDTSTYTKEAATSTKKERAISTKKGKKLKKKAPNEESVGVDEEGMYSDTYSLVALSLIAMTLIWLLHLTLTLIPPTLSSILMMK >Et_4A_034993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8618732:8623677:-1 gene:Et_4A_034993 transcript:Et_4A_034993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGQDEGQGRDGSASSAAEPVFPAWARTPSECLAELGVSADRGLSSDEAAARLQRHGPNELERHAPPSVWKLVLEQFNDTLVRILLLAAVVSFVLALYDGPEGGEVGVTAFVEPLVIFLILIVNAVVGVWQESNAEKALEALKEIQSEHATVKRDGRWSHGLPARDLVPGDIVELRVGDKVPADMRVLQLISSTLRVEQGSLTGETASVNKTSHKIEVEDTDIQGKECMVFAGTTVVNGSAVCLVIGTGMATEIGKIHAQIQEASQEEDDTPLKKKLNEFGEALTAIIGVICALVWLINVKYFLSWEYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSAMRLVAVGRWPDTLRSFKVDGTTYDPTDGKIHDWPSLSMDENLQMIAKIAAVCNDASIAHSEHQYVATGMPTEAALKVLVEKMGLPGGYTPSLDSSDLLRCCQWWSNAAKRIATLEFDRTRKSMGVIVKADSGKNLLLVKGAVENLLERCGYIQLLDGSVVLLDDGAKALILSTLREMSASALRCLGFAYKEDLGEFATYDGEDHAAHKYLLDPSYYSSIENNMIFCGFVGLRDPPREEVHKAIEDCRAAGIRVMVITGDNKETAEAICREIGVFSPDEDISSKSFTGKEFMSHSDKKKLLSQQGGLLFSRAEPKHKQEVVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITPWILFRYMVIGLYVGIATVGIFLIWYTHGSFLGIDLASDGHTLVSYSQLSNWGQCSSWEGFKVSPFTAGARTFSFDENPCDYFQGGKIKATTLSLSVLVAIEMFNSLNALSEDGSLLSMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSFNEWLLVIAVAFPVVLIDEVLKFVGRCLTASARKQSGKRKAE >Et_10A_000595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13391522:13394326:-1 gene:Et_10A_000595 transcript:Et_10A_000595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKVVAHSSWQAGLARCTDGLVSEEKKDTRKKKTSLNSAYWNVGCHLLGSTCLTATH >Et_6A_046056.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20999850:20999909:1 gene:Et_6A_046056 transcript:Et_6A_046056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSGVIVFAGHVLDPTS >Et_4A_032346.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31012976:31014421:-1 gene:Et_4A_032346 transcript:Et_4A_032346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAVTVGDLIHRVATSCLSNRLPCNYTLRDSGDSDLEDEDDDPFADFADAVSSSEKCRRSTSAAEAEDEDADEEEEKLKIWEEGEEEKRKAASAEAKGAERARDAETLMAEVFDAVSGVRRAYSALQGAHCPWDPDKMRTADAAVVAELRHLARLRDRFRRSAAAGHIPRPNPSAPPLREAVAPYEAALDDLRRQLQAKQAEVDGLKEKLAAATIRRNGRHHHSKQNGAGGGTPTAELFVSCAEQARAATRALAGQILHLMRAAGLDVASATRSLTKIPVSSSSSPQLAKHALEAHVTRVLLGGFEHESFYLDGSLSSLLDPAAFRRERYTQFRDMRGMEPAELLGVLPTCAFGRYAATKFASLLPPKVEEAVLGDGEHRKVVAGGAHPRTPFYGEFLRAAKAVWMLHLLAFALEPPPSHFEAGRGAEFHAEYMESVTGAPPHAGAGMVVGFAVTPGFRLGNGAVVRARVYLVPRGGRP >Et_5A_041721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26268471:26273781:-1 gene:Et_5A_041721 transcript:Et_5A_041721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSRKRKTKPQQTSPPPPAVAVAPSQDTAVPAELLPRAASTLARVRRSSVAPRVTCGLCGGILRDATTVSECLHSFCRKCIYRKIEDEDINYCPTCNTDLGCAPLAKLRSDHSLQRIRSLMFPAKKHKVEGILPRHPASISLSSPSPETDVKDHPAKKTHAYMMGEPMNTKIETEPDEKLGMEHSTTLTKPSALSLDSFSHLPATALIIQGGNEVEEEEIAPDHPSALVQREAISPDYAVPVQRQATSVAPVPDQIDIEIQANSPFMPPASTGVASSGPIRVQTSVWELQNAMSEKTAAFGRSRVLENILKEQNERLQIESERSQAIEAACLEMLQEYRELARLKEFEMSEKDVELTSLKHNSSMIEKRNVDVEQRNAVLEDQIDHVNRLLESEKLNSKKLSEQLGKTVDEKDKLMAELNTYNNVLAGIKGITHAGLTETPYELNEKCEEQAERLHSESNWTQATEATLRELLREYRARKSEASNKVEELTSLKHNNNMIRERSIEAKQRNNELKTYNGGLDMIHGCIRRSLTARISRLRQASTTVKVGHYEDLLARIDALGQVKEHLPKISETIEIAASLSAYSAAASIFVSLRAYHGYNFDLQRLLLPLHLNKQEYARIRNAVDPLAAQVAQKYE >Et_3A_025023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26169034:26171443:-1 gene:Et_3A_025023 transcript:Et_3A_025023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADELRQDLEELRRLEGLAKRPRVQSLLANEIRNVDAKLVKATAPAPAPAPQAAAPAPTAAAAPGLSYVTLGSFSWDQDNEKIRIYVFLEGVEQEKVETTFKPTSVDIKFHDVNGKNYRCAIPKLNKEIVPEKCKVVVKPTKAVITLVKASKGNWLDLHFKEDKFKPSMDKEKDPMSGIMDLMKNMYEEGDEDMKRTIAKAWSDARSGKAADPMKGLP >Et_1A_007632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36641430:36646950:1 gene:Et_1A_007632 transcript:Et_1A_007632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVRAPAIAVAVRPGGSGSRLAARWVAAAAQCDGRATAVAVVHVIPPLTFVPSPTGEQVPVAQVAREAAEAYARDRRALAEEELLPFRRLLDRANVTVETAVVEGDGVAQTLLRVLSIPNVPSTILEAMQNSCNVFVVSKRKLIMKLAGYPPSRESNGNVRIESINLEAFSQTHMSLLFDNFTDDEAYSDSCSQDCSFHSVSNTVPSSESCEQLILGYSSSNTTGTEGNKNYDALSSVGEAPYSASNSSEECQYIDEVDKLRKELRDTLVTYDKACEGLIHAKKKIQVLSTVCSEEARKVEHALEWEEALKRIVAGEKLKQFEAVNEVEQARRSSATDNFSEARKIGEGGYGYVYRCILDHTEVAVKVIQQDSTDKTDEFLKEIEILSELHHPNLVLLLGFCPEIGCLVYEYLKNGSLEDQLFNNKGHQPMHWFLRFQIIFDVCCGLAFLHARSPEPIVHRDLKPGNILLDKNYVGKIGDVGFAKLMYDLAPDWQTEYKDTVVAGTMYYMDPEYQQTGTVRPKSDVFALGVIILQLLTGRRPNGLILSVEKAVRNGRVNDILDNSQADWPVAEAEVFAKLGLRPDLESDVLPKLDEILHRITCTLNLGNPKLSGPSHFICPITQELMDDPHVTAYGHTYEHYAIKAWLKRHKTSPVTRRKLPNQSIIPNHSLRAAIQQWKSQHEEGVGWETLQRKYDSVTSIWTVDHVGPSRSHHCSIKDGIDCVPLYGKI >Et_3B_029238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23300213:23301516:1 gene:Et_3B_029238 transcript:Et_3B_029238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKTRVKRGPWSQEEDAILRSFVQRFGNAGNWIALPQKAGLKRCGKSCRLRWLNYLRPELRHGGFTDEEDNLILSLYGEIGSKWSVIASRLPGRTDNDVKNYWNTKLKKRFLASAKREGTPSPPPPSSPPSSDDSIVPVDVALDSRPQDDHRTSPQPTTPSIDNDLDTVAIVDDDELLLKSEQLYSELVGLIEQPHQSTSTSAGTSTGESSSSGTSPAASSSSGSCNVWPMDVQDTTLLSESISSLLFDGHGGDDAFGAAHLPASYSFQDLLAASYDEFTTMTQELYY >Et_9B_066081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2775741:2779762:-1 gene:Et_9B_066081 transcript:Et_9B_066081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGVRIELNAPVKLWKRDAGETGEGKEEALVVLATQLSRALCKLSIVRINLLAEKSIDDSELRQKFTSDLNLDDLKEMLGRVMIDSDAVSFLAREAAVAMAAIEADSSIEKPQAGDEKEVGSSTEKSQAGADEAKGDKKSKKNKTLGKGTSAVLMLLRDHVNKGSDVSCVNYDLISTWEDALKLLFDPECPKLESLVEKVKEIVESNEVRRLPKIPKVDEKGISDETAEAIGNLVKTRGHPLEVLEELKKEGSKFMENGGSVVALKELDILFQALEKANAMDKIVFDLSLARGLDYYTSVIYEAVFKGTTQSIRPTETEVLVSILGKDLTLAAELVSELWNAGIKAEFKLTTRMQNHTNYAVQSRIPWMVLVGGTEIEKGVVKLKDLKARQDEDVPRENFVQELKNRLVRWYSGTYAGRDDAAANVFGVDVAAGGVASIGVLDAEGHGRMGWDAWSHGNTLIREAALGPATTAARDTFIPIKVLFPRSLLPWPSSSFPRLFGCVAA >Et_4A_032153.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21300364:21300468:1 gene:Et_4A_032153 transcript:Et_4A_032153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCVVSKMDEHDKTYVMARRVEIARREALRASLL >Et_7A_052904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24884565:24889359:-1 gene:Et_7A_052904 transcript:Et_7A_052904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVDLLQGILLCDVLLVKDPELHYIAFPHTDHKETDDWDIVVIQGHIKLLEHQTHVRPGSWSEGTYISDDWTAIVRSWKMNSSHCWKGKWVKERTRDASRISGSMSKLLPGYNNDDDNATPPLPGLQNLHTGHPTLSLDEDDVVYFLAKVDHHDEQAFVVAVDMRKGILQRADYFGAERMKGMECLS >Et_3A_025863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33476156:33481349:1 gene:Et_3A_025863 transcript:Et_3A_025863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVVAPLLAAALAIAAFAATATGARAIGVCYGVIGDNLPSRSDVVQLYKSNGIGNMRIYFADREALDALRGSGIGLILDVGNDKVGDLAGNPSNAASWVKDNVQAYYPDVNIRYIAVGNELTGTSTASSVLPAMQNVHDALASAGLAGNIKVSTAVSMDTVDKSSPPSDGVFRDSSVMSPIVQFLASNGAPLLANVYPYFAYKDNQGIDLNYALFQPSSTTVTDPNGLTYTNLFDAMVDAVRAALDKVGGGGVDVVVSESGWPSADGRGAGVDNARTYNQNLINHAGKGTPRKPGAMEVFVFAMFNENQKGGDPTEKKFGLQDAGVDSIGVCNGMFGDDLPSARDVVQLYRSRGISNMRIYNPDSHVMEALRGSGIGLVVGVFNGDIPGLAASQANAAAWVQNNIRPYYADVKITYIAVGNEVEDGVAQSILPAIHNLEGALAAAGLGGVIKVSTCIRLDVIANSFPPSMGTFAQPYMSGIARFLATTGAPLLANVYPYFAYRDNQNDIGLNYALFLPGTTTRDAGNGMVYTNLFDAMVDSVYAALEKAGAASVRVVVSESGWPSAGGTAASVQNAQTYVQNLINHVDKGTPKRPGPLETYVFAMFNENQKPGELTERNFGLFYPNKSPVYPNYYSLSFKNLVLSSHPNNTSQNSWVRTNVLPYHPDVKILYIAVGNEVKDGNAQNILPAMQNLEDALAAAGLGDVIKVSTSVSLDAVTDSYPPSMGTFAQPYMGGVARHLASTGAPLLANVYPYFAYRDNPRDISLNYATFRPGTTVRDDGNGLTYTNLFDAMVDAVYAALDKAGAPNVRVVVSESGWPSAGGFAASVDNARTYNQALIDHVRQGTPKRSGPLETYLFAAFNENQKMGSATERNFGLFYPNLFAALLFLSATVKNKWIYYHVSSNYNK >Et_5B_043415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10110818:10115993:-1 gene:Et_5B_043415 transcript:Et_5B_043415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAACRLLRLAPRRLPSTNGLRFPPLLPTPLAAASGRRHFCAAAQASAPATAAAGEAVGEFRKRLRVSEVKGGEDEGAAWVGKELAVRGWVRTCRAQRTVTFVEVNDGSCLSNMQCVLTPDTEGYDQIDSVTTGASVLVEGVVASSQGGKQKVELKVSKITVIGKSDPTSFPIQKKRASREFLRTVAHLRPRTNTFGAVARVRNALAYATHKFFQDNGFVWVSSPIITASDCEGAGEQFYVTTLLSNSAEGGCLVKDIPATKDGRVDWSEDFFCKPAFLTVSGQLNGETYASALSDIYTFGPTFRAENSNTARHLAEFWMIEPELAFADLNDDMACATAYLQYVVKYILENCKEDMDFFNTWVEKGIIDRLNDVVEKNFVHLSYSVAVELLLGSKKDFEFPVKWGLDLQSEHERYITEVAFGGRPVIIRDYPKEIKAFYMRQNDDGKTVAAMDLLVPRVGELIGGSQREECLDHLEARLDEQNLNKDSYWWYLDLRRYGSVPHAGFGLGFERLVQFATGIDNIRDAIPFPRVPGSAEF >Et_8A_057586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4861545:4864856:1 gene:Et_8A_057586 transcript:Et_8A_057586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRLTRAGIFVFGFLSALQLSRSSTDVDFSKVRAVNLGGWLVVEGWIKPSLFDDIPNGDMLDGTQVQLKSVGLQKYVSAVDGGGGNVTVNQEVASSWETFKLWRISTSVFQFRCLKGQFLTAIDGDVISATANLPGDSATFYMERNNTMIHIKLSNGSYLQVTNDSQLTSNHPSQPGWDDGMATFEMAIVANNLHGDYQLANGYGPEQAKAVLTEHRKSFVTGSDFSFLSQNGINAVRIPVGWWIAYDPDPPSPFVGGSLNALDKAFYWAQIYGLKCIIDLHATPGSQNGMEHSASRDGSVDWPYEANIEKTLNVINFLAQRYADNPSLLGIELLNEPSAAAVPLDTLVSYYKKGYKIVRSYSDTAYVIFCQRIGDADPMELYQAYLGPTNTVVDLHYYNLFDPYFEKLNVTENIQFIYKNRMPQVQTLNRANGPLVFIGEWVNEWNVTNASQFQYQLFGKAQLEVYDEASFGWSYWTVKCNSVHWDYEWNIKNKYLIGEMWFTIRKPKLHVACGCMHHVPFVCSAMIRGETYQQKTV >Et_2A_017682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5023106:5024310:1 gene:Et_2A_017682 transcript:Et_2A_017682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AIKTAHFGKLSSRGMESTSLHTPLIPGLPDEIALTCLARVPRRYHNVLRCVSKRWRAMLWSEEWLSCRKRNNLDESWIYLICRETGIKCYVLAPDPSSRSLKVMHITQPPCIGRQGITIEVLGKRLFVLGGCSWLNDATDEVYCYDASSNLWSIAAPMPTARCFFVSASLSDKLYVTGGHGLTDKSPNSWDIYDLGTNSWCAHKNPMLTPDIVKFVALDDELVTIHRAAWNRMYFAGLYDPLDRTWRGTENEIARCFSSPTVVVDGTLYMLEQTLGTKLMKWQEDTKEWVMLGRLSDKVTRPPCELVAIGRKIYVIGRGLSVVSIDVDTAARVDGFLVTSSTGPLVEEDLSPERCRVITI >Et_2B_021185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27238504:27247251:-1 gene:Et_2B_021185 transcript:Et_2B_021185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGHNDPLLGETTCGSLLQQLQVIWDEVGESDDDRDKMLLQLEQECLDVYRKKVDQASSSRALLLQQLANSKSELARLVSALGELSISGIPEKTTGTIKEQLAAISPSLEQLCRKKESRVKEFADVQHQIQTLRGEIARNLQVGSHMETSHVNEDDLSVKKLNEFLSELQALQKEKSNRLHKVLEFVNSIHDLCSVLGIDFVSTVTEVHPSLDDSGSQSKSISDETLSKLSKMVIGLQEEKERRFAKIRALASLLSDLWNLMDAPAEEQQPFHHVARNLSLTLDEVTVPGALALDVIEQAELEVERLDQLKASRMKDIAFKKQTELEDIYARAHIAKDCSAARDRIMSIIDSNSFEPSELLADMESQVLKAKEEALSRKDILERVDRWMSACEEESWLEDYSRDDSRYSATRGAHLNLKRAEKARLLVNKIPATVDTLIARTRAWEQEQGMPFTYDGVPLLAMLDEYKILRQEKEEEKQRMRNQKKINDQLAAEQEKLFGSKPSPARPQSSRKVAGARVNGSGTANGTPRRLSALQSGGRSASRDGRKNTGRPAAPVNYVAIAKEDAASHVSSNHTGPSTP >Et_8A_057288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23222884:23227655:1 gene:Et_8A_057288 transcript:Et_8A_057288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMEEEVPEATAPAPAPAHGGGDDAEMAAAPPAGSDSDSSDSDDDEGGGADDLRIQALEQALQEQPLDYETNVQYIQCLRKSGNIKKLRVARENMNMYFPLTPKMWQEWVKDEISLSTSAESFADIEKLFERGVQDYLSVNLWRDYLDYVEEHDQSVAQCSPAGLSKMRELFERAITAGGLHIIEGSKLWAAYREYEMAILITISDGNEDEKAKQVQRIRTLFHRQLSVPLADMEATLDEYKRWEAEQGNANDPDSDFDGVPSNVVSAYKKANALYNERKQYEDRLSNVVASEADKLQELLAYLKFEESKGDPARVQILYERAVSELPVSSDLWVEYTGYLDRALKVPSILKSVYHRATRNCTWVGELWVHYLLSLERIHASEDELRQVFERAVQCSFVTVKEYLDIYLTRVDSLRRRMSDGLDFQLIRQTFMDAAEFLVPQLGAEELLLLNSYWAKLERNLGNDVAAARRIWEDTIKKSGSVLEVWQRYISMEIE >Et_8A_056064.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:15544349:15544833:1 gene:Et_8A_056064 transcript:Et_8A_056064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIEQCSLSGKIPLWLSKLTNLELLFLYGNRLTGPIPSWISSLSHLFCLDLSSNNLTGEIPIAIMKMPMMKSFDSAAHLDRRPIYLSIYRDPSHEYGSLTSFPKTLQLGSNNLTGAIPPEIGELKALTVLNLSSNNLYGEIPQSICNLTNLQTI >Et_9A_063111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10530174:10531238:-1 gene:Et_9A_063111 transcript:Et_9A_063111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRTRSPASNRSAAVRHRHMNAPTRSNREVVARRLAGARERSAAISRGPKKKAWVANASSVAEIASLSGLGRGAYSTWSCVMAGGCGDVRSSRSHTGRTVGASGTSRANSRTVDDIRRGPLRLTQQLVIARHGRRQRVPELIHPDVGVGLDEDDPLAGALHHELPRGPQLAEPAGERVEVHERLRERRPDHPHGIKARLGSGVAAVEEDAGAVEAEDVLVVDVGERLELVRSRPRGAHELALPAPDRERYKRWRTTSHMFLLR >Et_4A_032391.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:6225343:6225549:-1 gene:Et_4A_032391 transcript:Et_4A_032391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NKYYLKEVRALATELREVEIKHCYRDQNRVAHFIVNKSCNESIIAVWLGRASDFAANVVTADCNPTMI >Et_1B_013138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5844847:5848115:-1 gene:Et_1B_013138 transcript:Et_1B_013138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASSARKASAVLYHYPCPDGAFAALAAHLYFSAAALPVRFFPNTVYDPIRSHALPFDEIKEVYLLDFVGPPGFVHDIAPKVERVTILDHHKTALEALGGNTTLGENVIKVIDMQRSGATIAFDFFRNKLLTEASTLRSNGSGTDVAEVKYVPDNKVEMVHKLFKFIEDGDLWRWKIPNSKAFSSGLKDLDIEFNVNANCKLFDQLLELDPDHVISRGQVTLLEKQRLIDDCLEKSYEISLGYGQFGNCLAVDADAISTLRSELGNQLANKSRIGAVVYKVPELNNDQMLKISLRSLEQEDTTSISQEYGGGGHRNASSFMLSVTEFETWKVRAEPSESKDA >Et_4A_033387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22120410:22129611:-1 gene:Et_4A_033387 transcript:Et_4A_033387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSEFRDFKSDQPALIFQHSKNIPEPSTGARLVLDAMDTSTISFTSSPPTTPPPDQAAAADLDAVSLGRLSAHLDCLLDPAFFNCADAEIVLAAGGGDAVAVHRCILAARSSFFLDHLSSLPAAAGEKPRLELAKLVPGGRHIGRDALVAILGYMYTGRLKLPPREAVVCVDDACGHEACRPAIDFIAESTYAASGFQISELVSLFQRRLCDFVNIASSEDIVPIIHVALTCQIPELLHQCIQMAATSSTFNRLCLEKELPGDIYAKIMEIRRNTFPDESDYVILDPQHERSIRNIHKALDSDDVDLVSMLLNESAFTLDDAFAIHYAATYCTPKVVAELLKLDSANVNLKNNNGYTPLHLACIRLEPGIILSLIEKGASVLEWTLDGRDALTICKRLTKEKEFNRNLEKGQKRSNAYLCVDILEQTKRASTSDPVAVDETVVTPLLVDNFHMKLIYLENRVAFARIFFPSEAKLAMCMAQADSTEEFTGATLSRLKEVGLNGTPTMQNRRLRERLDALAKTVELGRRYFPNCSEVLDKFLHEESTDLAFLEIGTPEDQQSKRMRFYELKEDVRKAFDKDKAAISAVSSFSSTPQAAPADADAVCLARLSANFERLLNPAFLNRADAEIILAARGGAVVGAYTCILAARSPFLHHHISSLPAGEKPRLELAELFSGGCHIGLEALEVVLGYMYTGIFRVPPQKCVDDACEHKTCRPLIDFFVETAYAAYGFQIPELVKICQRRLSDIVDTAYDEDIVPIILVASTCQLFDLLNQCIEKVAISALNYYLEKQLPADVNNNIDGLCCFSLFDKIDCFVPDPRHEKTVKSIHMAMDSDNVDLVGMILKESSVTLDDAFALHYAAACCTPKMLAELIKLNSANVNLMNNGGYTPLHMACMRLELEPGIILSLVKKGASVLQRTPDGRDALTICKRLAREKDFNRELENCQKRSKACLCIDILEKAQGKCFTSDQASVEDTIFTPVLADDLHARLAYLDCRVNLAKILFPSQATVALCTAEADATEEFTGTSNVKEIGLNKTPTMQNRMLLKRIYVLTKTGSVNASFFTQVHKHGVYCHLIINFCSSSAVELGREYFPNCSKFVDKFCDEDGADLAWLENDNPEDQLIKRRRRLLEIKEEVHEAFNKDMAAGASIPSIASSSSSPRYEL >Et_5B_045208.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:11979167:11979433:1 gene:Et_5B_045208 transcript:Et_5B_045208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGAEATEKKDKGKKGIRKNRKKDKDKAGDDGGSNTCQDLPAVPKKSNRRKNKGSSEGSGGGAAKDTAEAAAEEGSAAALPPVAED >Et_3A_023909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14485076:14487981:-1 gene:Et_3A_023909 transcript:Et_3A_023909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKGQSCMADQIENEVYAVAVSPTDVSLVASGGKDDKGFLWKIGSVDGVLELAGHSDTVCTVAFSSDGSLVASGDFDGHINVWNTVTRTFQGTLDGSGSGFEWLKWHPRDNLIIAGSEDCNVWMWNTDANFILNTFAGHSSTVTCGDFTPDVNLYDEHAFWQKWSPVTTEYIPAKNTLLGCTAYYIILDHGCAIISAVTIGWPRGRWIKSSLSGILLASPVDALLSMT >Et_7B_055740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3200924:3203838:-1 gene:Et_7B_055740 transcript:Et_7B_055740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTSPASDNWGFVKFVEKSRLLQPGSPYLDRDCLTIRCVVTVVKQSRTVNGEINSVVVPPSNLHLDFKQMLNDGEGADVTFTVDGQSFSAHRCVLSHRSPVFRAELFGPMRENQRAFRSTIWSRQALLHFIYTDRLPASCSDGRNASMQHLLVAADRYGVERMRLVCESKLSEAIDVETVATTLVLAEQHNCSQLRRACIWFMASPNMLGPVMGTEFKHLIASCPLIMKEILDEFRAMGFKQLKVCVCELPSLCSCCRGATQSSSADGNTDGQQWPRCMTPQHLETVEVWRMWAASDGKKKIPQHL >Et_5B_044643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4212613:4216089:-1 gene:Et_5B_044643 transcript:Et_5B_044643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLKALAYGAGGVAVVGLAALVALQERLVYVPVLPGLARAYPITPARLRLAYEDVWLRAADGVRLHSWYGESEGYPSQKGITYDAQAALDHLVQRKDIDTSRIVVFGRSLGGAVGAVLAKNNPDKVSALILENTFTSILDMAGLMLPFLRWFIGGSSSKGPKLLNCVVRSPWSTLDIVGKVKQPILFLSGLQDELVPPSHMKMLYDKAIEHNRTCRFVDFPNGMHMDTWISGGDRYWRAIQLFLDHYAPEVQSGDASWKSEISEDGKSISCQGYCIFMFLLLLQWRLGYFL >Et_6A_047444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:574990:576192:-1 gene:Et_6A_047444 transcript:Et_6A_047444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPDDREGAAKEVAKVYELIKTHQPLLFLHHNCCHHGEELTKLAQNLLSEAQRALNIALSSNKQQQESSSTTASPLAVKAEPQLSSSSPASPAADSKGATSTSMRRSGKRRRSVIEGKNSSWGMSTTVPYEDGYEWRKYGEKRINGSQFTRSYFRCTYKDDRGCLATKQIQQKDNSDPPMFQVTYNNEHTCNCTTAAKNNSSSSNLPSQSYCNIGGAIEPPDGVQSMVKKELSALPPLVEVSAIPSCEEHLPISNLIYGGVSEYDAGIPSATNTESSCMGGVRSDECLNMELTMMEPAGDDALRALELFLMYDTFKYN >Et_10A_000080.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22333226:22333937:1 gene:Et_10A_000080 transcript:Et_10A_000080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTRPPAGTVQCFGRKKTAVAVAYTKPGRGLIKVNGVPIELIRPEMLRLKAFEPIMLAGRTRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVAYYQKYVDEAAKKEVKDIFARYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >Et_1A_009420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5036037:5037046:-1 gene:Et_1A_009420 transcript:Et_1A_009420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGKKLTLVRSHEDKGSSGEEVVPARKRRGRPQKRFAEKIDQPDIENFVEKVDGDEEQLVDDAKLKNSTAAVGNKRGRPLKEGSNIVVEENNTGIRSSSDESTRTNGFRQNGSRRKNKPRRAAEAGLECKLVFM >Et_5A_041951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4631376:4633870:1 gene:Et_5A_041951 transcript:Et_5A_041951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVGEHHHGLHSPTPTSATKISIPFSSGEAALFGKGRHKTWALAAIALLALWSMFAASVTLRWSSGDLPATLSDASDPIIDDLDPLEMEEKERLVRRMWDMYTRTGDHVRLPRFWQEAFEAAYEELAGDDMQASDAAVSEIARMLESGPFSQQPSNKWSLLVHSNGPEWGQEVRVEA >Et_3A_024201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18379753:18385395:1 gene:Et_3A_024201 transcript:Et_3A_024201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFQCEDCGENLKKPKLAGHFRSCSAYKLSCIDCGEFFSQDTIQGHTQCISEAEKYGPKGQNKPSNGVQGKPDKPKPNADVDINVGLSTHPPWSCSLCKTITTSQQTMLLHADGKKHRAKAKAFHASQKQANGNEQTPENKESGGARAVESGSVNAGKSDNSDREEAKDAGKRKRKDGSATEQPDNVKRQNLSSSIGAVIQSENGKSENKTKSEHTADEQDSGVGCKNLDKQKIKWKKVITKALKTKPDGAMTLQKLQSWSSRNFRNLIASSSRFSVDGKHIRLVARVKRNLKTEYEDDPAQNCRSVLFLHKPTRQT >Et_10B_003315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18745116:18746140:-1 gene:Et_10B_003315 transcript:Et_10B_003315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGDGVAAAWIPASSSAKEKLAFIEEMTTDVDAVQERVLAEILERNGDSEYLAKKCGLAGATDRAAFRAKVPMVSYEDLQPYIRRIADGDRSPVLTGAAHPVSEFLTSTGTSGGERKLLPNIEDEMDRRMPMPSLARAVISRGLLSVSETMTPGGLPARTVLTSFYKKSTGDVFRTCTSPLAAILCEDTFQSMYAQMLCGLCRRRDVVRVGAIFASGLLRAIRFLQTNWEHLADDIEAGVLNPRIVTDPSVREAVVGVLRADPEVARFVRTECSKGDWAGIITRIWPNTKYLDTIVTSVRR >Et_8A_056858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1823887:1824571:1 gene:Et_8A_056858 transcript:Et_8A_056858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVSGHSSCPDHPGRLTCVDSNDVSGARCGVCQAAVGVGARVHRCRKPGCGFVLHDGCFRLPSKVKRHFAHPGHRLTLGAVAGHDYCNLCAGKLDAHSHAYSCAATPTCATGGFRAHPHCCHLPPSMSDAEVHPHGRLVLHAPPAVGRQKWPERRRRPRTPQEVPQTNTAKAWSYQCTDAACNDKEICLACVLGNGDHDDDARCCCCVQGCGDVDPGRLGEC >Et_2B_022008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:868602:870880:1 gene:Et_2B_022008 transcript:Et_2B_022008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PPCTLVLHAKRKPLTHPNPSSLFPTRGSLAAAAAAATDGTISCPALSSTLALGRRLFSAAAASESAAAASTSAVRKAQNPLEEFFEVERSTEEDQPRPHYGRSWKASELRLKSWDDLQKLWYVLLKEKNMLMSQRQMLHSENMRFPNPERVSKVKKSMCRIKHVLTERAIAEPDPRRSADMKRMINAM >Et_6A_045895.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:27056797:27057861:-1 gene:Et_6A_045895 transcript:Et_6A_045895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEQIGKYWGLWASRRSGSEPSWEEQAFARDAAHHGGCVWPPRSYSCSFCQREFRSAQALGGHMNVHRRDRALLRQRRSSSPDDDGQEAAASGQQPKPQGPLFCRAACSSNPSTTVAAAFPTAKGDLNPGPAPPPSYLASIIKESKNKRLFMSMTPASMSMREEVMDQSDENDDGDVESPRMKRRRLLDPDPLVAVSMVAASESQGIVDPDAKVTTKTIISSPSSNIPPLEEQQEVDLELRLGTTPKVT >Et_7A_051441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18602253:18606042:1 gene:Et_7A_051441 transcript:Et_7A_051441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPFLVLLVVVAAAPVLFSLLAAAAPKEHLVAGLPGFRGAFPSKHYSGYVTVDEASEKSLFYYLVLSERDPAADPVVVWLNGGPGCSSFDGFVYENGPFNFEPGNTPGGLPKLQLNPYSWSKVSNIMYLDSPAGVGMSYSLNKSDYTTSDLKTAADAYKFLLKWFELYPEFQPNTFYLSGESYAGVYIPTIVDEVVKGIEKGMKPRINFKGYLIGNGVTDDDYDLNAFVPFAHGMGLISTDMFEDVKVTCHGTFWGNVDEICQENIDRVRWELKDLNKYNILAPCYHHPEIQEAEFINSSLPSSFRRLGEAQRSFPVRKRMAGRSWPLRLARRDARAPMWPGLGGRSLPCTSDELATVWLDDEDVRAAIHAKPKSLIGSWELYTARIDYYYDTGTMVSYHKKFTALGYRVLIYSGDHDLCIPFPGTEAWVKSMGYQVVDRWRPWYFSQQVAGYTEGYDHNLTFLTIKGSGHAVPEYKPKEALAFYRRWLAGEKL >Et_8B_059943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4252609:4260235:-1 gene:Et_8B_059943 transcript:Et_8B_059943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGTFCSYVAYDFTIDTPPHLSLSASHKRGEAGMPPPMASVGDPWEYSLRKYLMLLATLVATVTYTAGFNPPPPGGVWQDTDAAAGHLAGDPIIRSTNYRRYLMFFYSNATAFASSLVVIVLVLILAVLHERRSTSLAPLCILRLVMRHPKVLMLLATFAVSVTYLAGLNAPGGFWDDQGAGDGHRPGDAVLKGGRHDARLKAFFVCNTTAFVASLLILVLLLEKKLRYSPKVRSFELYGFIVVALVGLVAAYSAGSSREVDTTIYVNSLIAAVLVCILVQVVIVKYLKDTSRLRNNYFWKKLERMRDSSDDAAQPAASVGEQQAVPTSRALEKTRSLVLLLATLAAAITYQAGLSPPGGLWQDAGAGYKAGDPILLTTNPRRYKAFYYCNSVAFVASVVVVVLVRRKTLQQHNTLEATMVLDLIGLIGAYAAGSCRDVTTSIYAVGLGGAVLVYVVIHVILFTLDRSAVAHDDEASLEKRRKRLLLFAILAATITYQAGLTPPSGFLLEDDETSGHRAGDPVLLHNHPRRYKTFFYCNSVSFMLSIALIMILVNPNVYRPAIRSNALSVCTAVGLVCIMGAYAAGSTQHLKTSIHIFDLVAAVLASVVFFVVVFLLKNDEHEDRSNGSRSAMTGDVEMDAARVKAEAKELHAKRKYLMLLGILVATVTYQAGLAPPGGVWQSDGAGHAAGDPVMHDNRRARYMSFFYCNSTSFVASIVVVILLLPEPLQKEKWWLGVMNATVVLDLLGLLVAYAAGSGRSWRTSAIVSALVVPVLAYFVIHVVLSCFRRRGVHMRLLPDNAG >Et_4B_038296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2791808:2795081:1 gene:Et_4B_038296 transcript:Et_4B_038296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAFAMDRELFRTVRQATANHARQLYHRLVGRLPHLLAVTLLVAAAQLAPPPWTAATPLLAGVWREARAHAAAVVAACAGLAAAAYAYAASRPRPVYLVDLAGYKAGPAHEATRAKSIRHFALAGRFSGESIKFQTRMLERAGVGEATHFPASLLTVPIDMCLRTAREESEAVIFGVVDEILAKTGVRARDVGVVIVNSSLLSPTPSFTSLVVNRYGMRHDVVSHNLSGMGCSAGIIAIDLAKHLLQVHRDTYALVVSTENITLNAYLGNHRPMLVTNTLFRVGGAAVLLSNRRSDRRRAKYQLVHTVRTHRGASDQSYGCVSQEEDAEGYVGVSLSKELMSVAGEALRTNITTLGPLVLPLSEQLRFLATVLLKRVFPRADVKPYLPDFKLALEHFCIHAGGRGVLDELEKSLKLTDWHMEPSRMTLYRFGNTSSSSLWYELAYCEAKGRIKKGDRVWQIAFGSGFKCNSAVWKALRTVDCAVAGESNPWSEDLDKLPVHVPKVVPIEFDDDEEPPSSKHAPSA >Et_3A_025768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32702984:32708305:-1 gene:Et_3A_025768 transcript:Et_3A_025768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPAGGGAKGGAVDPSLPRFKCQECRRALVVVGVETYADRLPAHAAPGMHASAVQGSIMGASRMDNSYVVLSRQNRSQGPGIPPRPPSAAAGHIDPNQATRAIEGSYIVLPPPAASIYKTSASEGGGAQPQQPGMNSSSPSPGNNSGFHSSVTVLKRAFEIATSQTQVEQPLCLECMRVLSDKMDKEIEDVNADIKAYEACLQRLELEPYNVLSETDFQKEKQKIEEEEKKLKAAIEEAEKQYSEVSTEMKDLEIKSKQFEELEERYWHEFNSFQFQLTSHQEERDAVFAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLSSVEVEWDEINAAWGQAALLLHTMAQYRIKIHPMGSYPRVTDINNNTYELFGPVNLFWSTRFDKAMTWFLTCLHEFAEFAVSLDKKNNVPLEKTLKLPYKIDGDKVGSHTIVLSFNKNENWTKALKYMLCNLKWVLYWFIGNTSFAPPAGSLHTQSPKNKS >Et_10A_001417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2914772:2915932:-1 gene:Et_10A_001417 transcript:Et_10A_001417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSVLLLILVAAAGHAGVHGLHAAAGTPAARFWDQALPGSPMPDSIAELVQKGARRRPLSAGQRLRLRLQVRDHLRRAERSRDGGGGGDDGALLPRVGGARRRRHDRLLPPAAATPGILARNVAEKTPFADAAAVLAAFAVPPRSEEAARVRDTIRGCRAQPLAGESKACATSLEATVRAASRMLQGASGGGRGIWAAASAVPYEGLPRRAYVVAAVEELAGDRHVACHDEPFPYAVFQCHMTGRSATRTYTITLQSGLHGDGATATTVAMAALCHRDTSSWNPAHPAFDMLGTKPGGAPVCHFMPYAHLVFGQKVAH >Et_6B_049544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6313012:6320454:1 gene:Et_6B_049544 transcript:Et_6B_049544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFINAKHSTHLLGVAADHELVGAGRASDVALAGGRADDGDRPPQCLAELDGDLAEAAKPHDDVVRSGRCHTIGVYTVTPMPSSGAAEAGQDADHVALIHDDGASEKPSPVTALPYIDRDGQATYFLTGEEVILRSIRREVSVVVKMGSYFSLSDGDQRHIANGNSITNYKGVVHIIVEINNFEGPLQCSPTKRSLHPMKHNTQSLNLDPCVDPTQLTQATPTKERTTSIKKKVTFIKGGKKSKIQSHDDGSVDADVEGMYSDTDSLVPMSDTLILLLHLTLTLISLTMSTNLRLL >Et_8B_060621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3564470:3565229:-1 gene:Et_8B_060621 transcript:Et_8B_060621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAEIKDEQETIRRQETTLDPPRHGHPAANGRTGTAGIGILICATAGHIVPAIEAQALAHQVADPLQAEAEALLLASRINAIVNPAGVRYRTDRIVEDPGHWSLRPLLYEFQANTAGRGNEWSIYNSEAVQHDAEHQR >Et_5B_045202.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:11752445:11752735:-1 gene:Et_5B_045202 transcript:Et_5B_045202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGKTTLVTRVFKELASSRFEVAAWVAVSQSFTMDDLLRKILKELHRDALARGVNGGSDTGADAGNRFLVEAVRSHLCRRRYLIVLDDIWDAHL >Et_9B_065652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8840171:8844455:1 gene:Et_9B_065652 transcript:Et_9B_065652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVNPLTGFRVDGRRPNEMRQLKGEVGVVSRADGSALFEMGNTRVIAAVYGPREVQNKGQQVNSKEALVRCEYRMAEFSTGDRRRKPKGDRRSTEISLVIRQTMEASILTHLMPRSQIDIFVQVLQADGGTRSACINAATLALADAGIPMRDIVTSCSAGYLCSTPLLDLNYIEDSAGGADVTVGILAKMDKVTLLQMDAKLPMDTFENVMGLAIEGCKAIANYIREVLLENTKRLECQRVDKISITSALIMSIT >Et_6A_047585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7737959:7741080:1 gene:Et_6A_047585 transcript:Et_6A_047585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPHTRAPTRGGGILNRFTVEDFLVSWGLVVYMNTLRMAVDSCVDVSRSSDGVLFVLHDRSAKNVWYLECKSWPLEHVQGFMSENVFQTTKFLKQKMLWRSGKQSAKIDLSGDELGKGVIKLYKDVLFKTELVRTKGAKVAGVYHSLIHEKVMKVMHSLSDQIVGAD >Et_7B_054608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2767249:2768033:-1 gene:Et_7B_054608 transcript:Et_7B_054608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHIFSACKPHHSQPAAALLPSARPLLRRAAAVAFFPGLPVPGRERRREGCGELKGTGATSRLVVRRRCQEEGKQQQQQQGGEEEGQRRTFLTLEEAGLVEMSGLSTHERFLCRLTISSLNLLRVISEQEGVPIEELNAGRVCDWFVKDKLKREQNLGTAVLQWDDPGF >Et_6A_046916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22340551:22348861:1 gene:Et_6A_046916 transcript:Et_6A_046916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLEDDIGLDWRRRMGKEAFLPLLPSHLQPIPFCLFCFVSCEIDFYFLYVSVGQSREEHFWSQAVYCEIPMGSSPSKATREDALVLCKDRMRHIRRAIDSRDALSAAHLSYTQSLRTVGTALRRYAESEISPESSLSISEVDKSPSHSSMASPSPSRAVDNVSSPMHRGSQFTPPSTRIHCMKAAGTTPLTITIDPSVAEFVGQESPVSAFVPPPPPLPPELCTSWDFFDPIDAAGSASSNNVDGLTLNFSRLKGLREARVAEIKPLKEEEEEEEVEATMSSRRHTEVPGDIVSPKKESEPKQSGMSEPVKESRFAVASTKATSSEGAPSKVESEMEKELCAETEDPSEFITHRAKDFVSSMKDIETRFIRAAEAGNEVSRMLETKKIRLDICAKIPGSPGKPPTARFVSALRVCCNRDIILNQETAQHVSKVVTWKRSVSSLSSSSKSALMTAIIKDDVDDSNSDFVEEFAMVSGSHSSTLDRLHAWERKLYDEIKASENVRKAYDEKCNLLRRQFARGLNAQLIDKTRAVVKDLHSRFITITLAYHVKSSTSVQLGEHHRQAAMHLLNEMDCFSFSFKIWITAHKSYVEALNAWLQKCVLQPRQDRRRRRRKASFPPRQAVSPPIFILCRDWLAMLESLPTDELCKSIKDVMQLIRNSSEHHDDQNKPKSESQECGMLENNEQEEASGSVAAAEGLQSKLTTVLDRLTKFSEASLKQYEELKHKYEMARDDYKTFGPNGKYIHKIHTPYPETDMLSVLCIGLRLHANRGPDDEIWKIKCVNEFSCHEPHLVAELLVVMNDIINLVNDGSLTD >Et_7A_050285.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:19568464:19568925:-1 gene:Et_7A_050285 transcript:Et_7A_050285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHFLELLRPRHSLSTVPSFHVTFFLARRFSRSTTASDGAGAAVGGGAEDEDGEGSTVTVTDPVDDDEDGGGARVAMAIWDFLSRLVLNYFPFFLGVLEVAVSWTGKEETRARLRVLGINKGILFVKLVKSQRPTSKIKYSSNLNIMFDPSSY >Et_1A_008726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10820411:10826090:-1 gene:Et_1A_008726 transcript:Et_1A_008726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQLKYNNHTKYDYSLLDNCNELQSYTYAVAAAAIGMAGSVLQTPLAVYLLCRSKRTASAAVLVQDISMYTDILVTVVLASGVDAGFGATDDVLQYINHATTKWEAEDEKRDLVD >Et_2A_017019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30098878:30105383:-1 gene:Et_2A_017019 transcript:Et_2A_017019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLRSVSYFSVARMIAMAEKDGLFTCLIRSSVAAINGLYVSLGSPTLPGWTGNGGDPCGELWQGITCTGSSITGITMNAANLGGQLGSLGNFTSITTIMLSNNNIGGSIPEDLPITLQNLFLSANQLTGRIPSSLSKLNSLTAMSLNGNHLSGELPDAFDSLSKLVNFDISSNNLTGALPPSMKSLASVTTLHMQDNQLSGTLDVLQDLPLKDLNIENNLFSGPVPASLLNIPNFKKDGNPFNTSIAPSASPPSASVGPAPTPTPAGPKLAPTPSSAPAGSNPPAPTRPSPPSRAPPPSKSTSNSSDGSTARDSTSSSNKKKNTKTLKIVGFVLLGVVLFIISVLLLLFCLSKYEERRSRNDHNRSQLARVHHRVEPQIKPSPAQQSDDVKKGPPGEALHKRGRELNSAAAALPKNSPEKQKEHIINFDRTDSNIFSVPPPPPPPPPLPPIERVVVNPIIPPEKKSSPPPRTSSPTSATPFSVASLQQYTNSFREENLIRESRLGKVYLAELPDGKLLEVMKVDNANGRISVDDFLEHVARISEIKHPNILELVGYCAEYGQRLLVYNHFSRKTLDDALHDREDTDSALSWNARLQVALCSGKAIEHLHESFHPSIVHQNFEPAIVLLNNKFSVCVAECGLAELMPSGSVSQLSGRMRALLYYEAPEFQDSGIVTEKGDIYSFGVVMLELLTGRKPYDSSRPRHEQHLVRWASSQLHDIESLSKMVDPSIQGQCSEKALSRFADIISRCIQHEREFRPPMSAIVQDLARIVNATGEESECKLRQDSDKPASAQPHTSKTQS >Et_9B_063777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13796588:13797766:-1 gene:Et_9B_063777 transcript:Et_9B_063777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGSSKAGAGDAKSFPSPASSSSASSSEFEFTVTLSPASKQRSAAQLCPADELFYKGQLLPLQLSPRISMVRTLLLSSASTSSASASDSTSTSNSSRDSNGSTSSSFSADCAALLLPDSAASSSRPSSATDDDRHHLNPTPLPPSSAASFAGAASKRSGKQYLSSFATRFSSVFHRGGAPPAPKKPSSKSLAKEVIKKYAKKVKPLYEKLSQQQGFKKPFTFSIRKKRADDDTAAVAAAAAVGAEVVGGGGKYAHSNSFSGNLRFPRQKRCAASCPSSMRSSPSHSGLLTFGGAGGAGFPDVPAAAAAAVAAAGGAVGPVSLSTASSMEELQSAIEGAIAHCKNTMVGVAMPAYPRRAADGDEICAF >Et_1B_009881.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6395448:6396319:-1 gene:Et_1B_009881 transcript:Et_1B_009881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSAGDHRPRRLPTATSATAAAACFLACCRLSTLTSCSSAGGSEKEPPPATAAATCLSCCLLSTIFTRIPPPSPAASSSTMLCPVDCWIAETVLVSSCSRGHLASFLPSLLGTGAAASNSIGSDLICSDASLATRSLCQLNCSHLSLFRSSCCNASS >Et_4B_037058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14038975:14044435:1 gene:Et_4B_037058 transcript:Et_4B_037058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDNNRNLLVFGGLDVDLITKKHILTCYVSHITDNDGFVIPSLSVEESDLGDWEASRASDPQPPPKQPAKDTENIYLGPHGAPPSRAKKPEDTSATTGYRDKNKVREVDQKAFGTGRNNKGGNTGDFHRYNAANHNTVIAGPVIIQLQGWMTMGVRKTML >Et_5B_044115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20171497:20171875:1 gene:Et_5B_044115 transcript:Et_5B_044115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFYGPVLTDGVMSCSSSSTAAAGLARNRDRIGWRARGKIDQCTMLLQAEPTADVYGLWFVMDVGISKFELETDA >Et_4B_036260.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13513384:13513590:-1 gene:Et_4B_036260 transcript:Et_4B_036260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEHRRQADGRILCSWWNSWNERNTRIFEGKSRETQVAYLAKEEINVMLTAVGKHQAPQLANEKPVI >Et_1B_014457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9634959:9636232:-1 gene:Et_1B_014457 transcript:Et_1B_014457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDVHHHLHQQRQQQQSMELPPGFRFHPTDEELITHYLAVKAADPYFVAHAVGEADLNKCEPWDLPARATWGEKEWYFFVVKDRKYPTGLRTNRATESGYWKATGKDREIFRGKALVGMKKTLVFYTGRAPRGGKTGWVMHEYRLEGKHAANGAGSSSSLLPSIKAATSKDEWVLCRVFKKNIELPSRMGMADVGPSSMSMADELAACAQLPPLMDVSGSGGGRHMSLSAATAASMELPPPPAHVTCFSNNALEGQFLNPPFLLPSASAPADHLAMASSAAPPFMASVQMQYDAAGGMVQELLQEGGGGWYSKLGERERLSGASQDTGLTSEVNPAEISSSRQHMSHEASLWDY >Et_2B_019088.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6125325:6125974:1 gene:Et_2B_019088 transcript:Et_2B_019088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GCCSVRLLFFIRLLGLTATVRLPQCEKFVLLCGRFGLAPHCGCSQSLLETAMGLGLGRAGAGSLGTTTVSTPFSTPALIPSTRTFSGNATARANSGFPRSVTCHTTPSSFSSPSSFPFSFLGAALIVSTLPSSTCTLTSSLPSPGTLIRSTWEVGVSTQSMAAAEDEVQSTANGRPWRRRRNGLPKKNSAIGAGAQARLISVARSCS >Et_4A_035968.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9651644:9652678:1 gene:Et_4A_035968 transcript:Et_4A_035968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDFDSTCSTPFASAPSSPGRSPVFGGGGGYFFSAPASPIHHLLFSASSSASAATGAGRSCAGDAEFEFGGPGGPMISADELFHNGQIRPLTLSPLPDLDPGSDDDDAPAPARGRNLTPRCGSVHRRARSMSPLRSASPRLKLLNALVQAPLDLGPAPDASAGAAQEEAAPPVTASSRSSSSSSTSSSSSASSSGRGSRRWVLIKDMLLHRSKSEPGSGAARADDSPAGAKPERAWPFSPAWAARDKVAAKLRAARAPAPATDEDAPTTTRGQGRAKGRRRSTTVAAAHERLYAAPNRAQAEEMRRRTFLPYRQGLLGCLGFSSRGYGALHGLTKALNPVFSR >Et_6B_049317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3195754:3200637:1 gene:Et_6B_049317 transcript:Et_6B_049317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWSVDSRDGCEIVPHAHRELAAAAYLSYVQYKAEEPVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPAHKWGGLGEVLGGNELIDSQIDIKFLKNVDKGSICTIELDASKVQQFTDAIENSYWFELFIGFVGETDKNNENKHYLYTHKNIIVKYNGNRIIHVNLTQESPKLLEAGKKLDMTYSVKWVQTNVAFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVSEESGWKLVHGDVFRPPRSLMFLSALVGIGTQLAALILLVIVLAIVGMLYVGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKAMILTASLFPFLCFSIGLVLNTIAIFYRSLAAIPFGTMVVIFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSTLFVRRIYRNIKCD >Et_1A_006235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19490559:19499994:1 gene:Et_1A_006235 transcript:Et_1A_006235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPPPPNSPPPVNWEALDELVLDFVRSDRLVLPSPAASPSPQSSPTSSSTTTATSSSSGSSSISSSSYRSRQLIRCSRRALEAGDVDAALELLRAHAPAALRDHRLLFHLHKQRFVELVRRGTEADREAALDCLRTALAPCALDAYPEAYEEFKHTMLVLIYDKDDQSSPVVNEWSIKRRLELAGLLSSILRANSQAYDPILSMTLRYLISIHKVFCSRQGISSPISDLTERLLLEDRDPPVVDVQALAHAVELTRQGAVDSLKFAKGDLYQAFQNELCRMNLDLSLMDQLVHEYCIYRGIVEGSTHVCPGAADQKCSPNNDISSVNKQGVNKETAPECEMTNNYNGDCTTSDITRDDSWSRRLRRVRSSSSGQRRRKRWRGRVDDLDYACATFLDANKDNTMLHAIDMDENTVTEKQDFEADSSDTANTEDQKYEIILEMRDLTQKGMASKVVEEINSIDPEFFSQNPFLLFQLKQVEFLKLVAAGDNVAALKVASTHLGPLAANDRTLLKPLKETLVTFLLSGEDAFTNAVSLPVLASSLQVAMSRRLGIEEPQLMKIVRTTIHTHTEWFKLQMCKDRFENFLKINCLKEVDPSVANRSMSKVHTDECGNGSSQITTCSSGKAPDEGSSPQVSSEVACDENAILKVMEFLALPRADAIQLLMQYDGSAEAVIEHIFQ >Et_2B_020263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18506903:18508579:1 gene:Et_2B_020263 transcript:Et_2B_020263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQKLVSLIFEICVKRPKLSQITLLPKTSFKCALSLGSADTPHPTFSYSDSDTGPSKWATLQKDWAVCGSGTKQSPIDITKVEVSKDLGPLEQTYKASAGTVQNRGHDFMLNFKGGSGKLTIQKKEYTLQQVHWHAPAEHTINGTRYDAEMHMVHEDPSKARAVVSVLFSTKAGRPSKLLSDLGQYFKRLAGKENAEEEVKDRVDPATWIDKASGYYRYEGSLTTPPCTEGVIWTIMSKVSDASKEQVDLFKSVSKIPEPDARPIQKINNRVVRYYKGVETS >Et_6A_047250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:294694:295562:1 gene:Et_6A_047250 transcript:Et_6A_047250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFEEDDHAGGDHYPYHRPTSRPSYQPPPYPYYLHDQPSAAHPRPHQQALSPHDVMTRDEEGLQNFWTRHRDNERVVYVEKTVEFASKSPSSSSSSNPQKTPAATTISQSQ >Et_4A_033721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26115692:26118345:-1 gene:Et_4A_033721 transcript:Et_4A_033721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQHLMQMNQGMMGGYASPTAVTTDLIQQYLDENKQLILAILDNQNNGKVEECERNQAKLQHNLMYLAAIADSQTPQAAPLSQYPSNLMMQPGPRYMPPQSAQMVSPQSLMAARSSMMYGHPSLSPLQQQQQQQQAAAAAHGQLGMGSGGSTTSGFNILHGEASMGGGGGGGGGNSMMNAGMFSGFGRSGSGAKEGSSSLSVDIRGGGGANSGAQSGDGEYLKAGTEEEGS >Et_2B_021153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2770043:2771942:1 gene:Et_2B_021153 transcript:Et_2B_021153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVAVPTSLTAPASRGHRRPASRAFSAAGEPPRAWAPASAEERPRRGKAAEDEDDEEAERRRKEEVNRKIASRKALSVILRREATKAVLDTRKPGKGTRRLLPRTVLEALHERIAALRWDSALKVFELMRDQVWYRPHIGIYIKLITMLGKCKQPEKAHELFQAMIDEGCAPNLESYTALLSAYSRSGSFGEAFALLDRMKASPGCRPDVQTYSILIKSCLHAYDFEKVKSLLADMTRAGIRPNTVTYNTLIDAYGKSGRFAEMESTLLKMLSENCKPDVWTMNSTLRAFGGSGQIETMESCYEKFQASGITPNIKTYNILLDSYGKAKMYEKMGAVMEYMQKYYYSWTIVTYNVVIDAFGRAGDLEQMEYIFRLMKSERIKPNCVTLCSLVRAYGRAHEVKKIKTVLRIVENSDITLDIVFFNCLADAYGRVGALAEMWEVLDLMKARRCKPDKVTCTTMIKWFLIKGIDDRRVQYLRELKSGRCPDDI >Et_4B_036402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21839383:21840444:1 gene:Et_4B_036402 transcript:Et_4B_036402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKPSPELLRLHPRVVDGVGEPLVQVQLTRFACGSLVVGFTAHHRVADGQATSNFLVAWGLASRGLPVAPLPVCDRATRFAPRDPPLVEFPHRGTEYRLPAKKRGEAHDKIKVHKVHFTKEFVSRLKARASQRGRTGYSTFESLVGHLWRAITAARGLGAGDVTKLRVSVNGRSRIHAADLIHRAVSRVDDAYFRSFVDFASSGAVEAEGLAPTADGSQPVLCPDLEVDSWLGIDFYDLDFGGGCPFHFMPSYLPMEGALFLLPSFLGDGGIEAYVSLFERHLEEFKRICYNIA >Et_7A_052148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5076274:5077295:1 gene:Et_7A_052148 transcript:Et_7A_052148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAWASLQEKLQGRRWKEKQVRKITDRVFDRLTEDSKKRDKEALTFEEVYIAVLCVYNDINKYLPGPHHDPPSKERLQALMDEYDVNLDGLLDREEFAEFIRKLTKDSLCAISLKLVITLVAAPAIAMATKRATEGVPGVGKVVRRVPNALYASAITLAVVLVQKSSEGIE >Et_2A_015574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15211052:15212638:1 gene:Et_2A_015574 transcript:Et_2A_015574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFRRGTYPDESWWDAPVPSPFPFPKCECNSIAVVTQSRHPLTAARAYFCCANDGIEEWDFFQWIDGPDKYDERILLVPWTVKKAPYGKFKRWVPPPPNPPPMSREEMLAKFAERKVNPLLCKCGYRAELERPPPDLKYCPFFRCPIALSGNKRGCDFQEWPHGQKSHYPNPKSLLDEVLYGNELPCLNPPPLLCQCGVRAREGVVPSQLGYGYFCGNTVGEDDEWDTRRCDWETFEGKEKFLTEAKKRGQEYFRTAFVKRRRKIRYKYLTTPPTFIYNTICSELKVKRENPLWEGAESEVVIEHWRRNRDRYPPKSCWELLDPPYGLQSESAKDCMAWSMARMKQLCDHVYIEEKRRKEEEERKKLAQEAYEARIRDPNCWEHYFHKLAERKKKRKMEMEEEAREERKRKGEEDRTAIQLMMDAEAIDKMVEIAKNVMGNRSKDKNDDH >Et_2A_015354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11529299:11539166:1 gene:Et_2A_015354 transcript:Et_2A_015354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELSLAAAPPAGGLAPPPPPPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIGLVVGGLANISNTETNTSLSPKPFFANFGAIVTFAILGTFIASVVTGVLVYLGGLTFLMYKLPFVECLMFGALISATDPVTVLSIFQELGSDVNLYALVFGESVLNDADNVISQKSCSSWGELFYDDSSSPQLGVLPFRSLSVFLVYVSRRTGLVRNCVYIIHWDGSFIWALILPWKNIAGHMSASYSSQLAANVFSCAYLVNISRPEHRRIPLKHQKALWFSGLRGAMAFALALQSVHELPEGHGKTIFTTTTAIVVLTVLLIGGSTGTMLEALDVVGDEITSIENYEDNNGYIPPSYEEGTSSGGGLRMKLKEFHKSTTSFSALDKNYLTPFFTSQTDDDDEFNPADIHRSSCRYLSRKPPWIKMWARE >Et_2B_019686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12255460:12258183:-1 gene:Et_2B_019686 transcript:Et_2B_019686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASLNALALRAPSPAPGAPAARRDGAVPALAWTPRSRFPSLRAARRVAARAAAAGGDPEGEWGKNSAEPAADQGGAASATAAAVAEAPAPEAPAVSEVSELKAKLKEALYGTERGLRASSETRAEVVELITQLEVRNPTPAPTEALTLLNGKWILAYTSFSQLFPLLGSGRLPELVKVEEISQTIDSENFTVQNCIKFSGPLATTSVSTNAKFEVRSPKRVQIKFDEGVIGTPQLTDSIVLPEQFELFGQSIDLGPLKGVFTSIENAASSVAKTISGQPPLKIPIRTNNAESWLLTTYLDEELRISRGDGSSIFVLFKEGSSLLY >Et_9B_065289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3222800:3226698:1 gene:Et_9B_065289 transcript:Et_9B_065289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAGQKNCEATTAVPVLYDLVAFKNKGNHGERGLVVYTTPKDNKLAVMCVDGAVVYEAAGDLTVVDRSYIRPGDIVVSSSDPGGQIGVVTKATTTLDLVRLGNGINGGETVARGLSPDELRRVRKLSVGDYVVRVVDVRFGDGAVCRVADPEDKLAPVTGGGNVVCRDTNTSFYPGQRVAAAVPTAFSSSQWLNGSRWHRFHVEGTVAKVEMAGALVSWIASPELGTNRYLVKASAPPAWQDNPSDLTFLASTGDCFWGVGDRCFFRNAESDSLERPMCVSDSRTTANVVWQDGTRQHGVPSASLVPSEVLSNMEFFPGDSVISWAIADAAGAAARYGVVRSLDVRDQTVRVSWFRAGKHGGELECDETVSAYDLQYHHDIFYGNIVVRRRPLETASGSNEGGGRTQTPIQGGTKGATIAAHDLSWVGRVIDLCNDGRVQVKWGDKTTSKVFPHEIFVVEEQTIKEMKDEMGATADNATAGTPMVSRIMQRLVRLVAEVWAKGKKFLVGDSRTTPSSEPVAMENDAQQSNADSSETMENSAANVATRGDDDLFGFPQFDIVQMSPRDHFFLIDANQGTDGANKWTKRVQKEWKILKNDLPDTTYVRAFEDRMDLLRVAMVGAAGTSYQDGLFFFDVQLPPAYPAVPPRVHYHSFGLNGLVLTSQPFYNESANEKHHGSEKAARNEIIYAEDACLATLRTMLQLLRRPPVGFEELVHRHFRRRGSFILLACEAYLHKGFPVGTLDAEARTTEVGCGQTCSAGFKLALTRFMTRLVEAFTEIGADGCDQFDTIGPPCTPTIKHLRVTKRSGKFNTIAYTITPIFILNLICVDGAIVYEDAGDLAVADRSYIRLGDLVVSASDPGGQIRVVTMATTTLDLVRLGNNGGEGRVAGRAAARQGAQRRRLRRVRPWLGRVMEVSVDVDVLIGDGVVCRVADADKLQEVIGGRYVRPVTNTLFCPGQRVKLNGSFEGTVAKVEMGAVLVHWVASAALGTNRDLVKASAPPAYQQNPHDLTLFASCDDWYWGVGDHCFFRNGESLPQPRKKTLFKLGIKRREETGDELRLVQVERPMSVADTHTTADVLWQDGTRWHSVSSASLSTY >Et_3A_025973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34318002:34330647:1 gene:Et_3A_025973 transcript:Et_3A_025973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAAGAVVLEITDTSSSTTGEAPSSPPPPPPISASDLASIDPLPSPTIASSSYFRALLGGSFSESGREHVQLGCNLESAVQVLRYLFDPCESFVITHENFLPLLEGAMFLAVENLLMECEKWFSNMSSQTSSQLLPLDFIIEVWYFAQEHGVTFVQEICPRYLAQNFVQVISRKSFNKIPYDLLCSTIECPHLTVDSEKQLCKALLYWVSENVKPCEQPCQNTIDGQLCLLNKVKTCLLPLEFAAGTKIHWLDFGNNIVCTILSLLKNSLQILLDSVADGTLERYRIRITKYSKNIVLSGCPQITAAFLYISVLPTDLDDAFKRRIVSSYNQIDHKSFILYDELETAVKTLSFRNVHMVDLSKCPKVHFGSAIDWLKLSFPELKTFRASYCLSFEFNDLLYLLLRCPWITEIDLTVDTSTVMPRHSVISSSSEILGKVKPNLRRYYVQYPSYDNQLTSVFSNISKLTLEGRNDIDDVNLLQISVLKKSLCYINIRNCTMLTDDGISTFLLKCKKIHSMVLSYTSFGDQSIQTLCMSMPSDSTDHNDEHAHVMAVNMQELHLAGCKGIGSAALSQLMSNINATKALCLRETSLTDGALCKFVGSCLEYLDVSETKVSMVSLASVIRRNSYLNCLKTAGCPSLLFEYNEVEPMSDSNYGDFLQEIKSICYLEDIEIGWGFCPILIEDLIPSFSRVRKMTIGLGTTLAESVLHSLPEICPFLEFLILRFQVISDRIVRNLLESALNLRVLCLHCCLGSLTSYSFQAKAPALRILRLEWVTPWITNDDLTILTQNCSLVELSLSGCKLLDSSSQEIICSGWPNLTLLHLEECGQITIDGVSSILNCQALEDVLLRHTGRGIGRGIVSDAIRELPLLRKLALDLCDASEEGYDSPNNLEGTMIRTVRMSRCKSWRSCFEGSSKRVHKDTIVLEWSSRRLTTTIVKERL >Et_3A_025590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31059324:31061214:1 gene:Et_3A_025590 transcript:Et_3A_025590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKDEYKVLIEQASQDVADPQHEEEDDDDDSSSFILLLNLVLSGTARLNVLLPTATILAFAIFAPILTDDGKCARVNRILTAAFVVLCAASCIFFTLTDSFRSASGRLRYGVATPSGIRTFCGSSHRKKGPREPEKYRLRWSDLFHTTLALVAFVTFAASHHDIVQCYYPGVPRKVVNTVPLVIGFVVSLLFVLFPSKRRGIGYPFLLRTDLRVAFSFFCIRVRLKARDLLQRLPNKIPPASSNRSNVAAFHDHSDFGAEVREYGQELQLSLGQNLKIERSRCRWNSQITIDVGVSVTVSVCQHLSFDDPDNEAFGVRVQQAEPVVHLRREVPPRHRLPPEARLHGDPSTRRVSNSLGNGGRRNTNTAGAETITDRADGVDLGERGLRVAEAARGEVEEVVPIAFEAAEVSALVPALVRRVDGQRLVLLHVVLPLAQVGLHQVAAHEVQQRAPRRHHRRPPATRRAAAPAAEEIRRGERGGHRARRCRRRPHDACRVMHDRVCVGGSCVVQTVAGR >Et_1B_012808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35204264:35207170:-1 gene:Et_1B_012808 transcript:Et_1B_012808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWPPLAPAPPAAAASGAWGAAASAHRKVVAEESAAQAVSRLVASCANSSGVAIAVVDANAVIAAAGALSTTSGRLVTVPEVLEEVRDAAARRRLALLPTPVETVEPAPEFVKKVTKFARETGDLQTLSDVDIKIIALAYMLEAEVYGTGHLREHPPPLRVVNVKNLGEAPLPGWGSNVPNLKEWEELDQMSEAGGDINSRILPLKDLENQDIPMSDTNSICDTQQDSEHQSSQKDECVAWEDDENNEGWTPAVSRSTHRRYLRRKARRDALKESGQSVETSSVAPSVEGGQVPNDHGGNGDDVTAVDGPSSVPEKMNSSANGFDHQEKNEPEVAGERLHSDQVANSDDTDACTKELDNLDIKCETEGGDDAHSVDDASSEQSWSLRSLSESTVACVTSDYAMQNVILQIGLRLLAPGGMQIRQLHRWVLRCHACYKVTQEVGKIFCPKCGNGGTLRKVSVTVGENGITMASRRPRITLRGTKFSLPMPQGGRDAVTKNPVLREDQLPQKVLHPKSKKPSKKDDDFLGVDDIFSHSGEKKAPLKPPVRKALAMFSGKRNPNDNHFSRKKH >Et_7A_052818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17575088:17577776:-1 gene:Et_7A_052818 transcript:Et_7A_052818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTGTGRAGVVASSDVETGAGNNNTFVKAAAAVDAGAAFVLESKGTWWHAGFHMTTATVGPALLSLPYALRGLGWWLGLAALTALAAVTFHCYLLVSRVLDHCDAMISGYWLMFLPGSKWASYLVLTIQTAINAGVSIGSILLAADCLQIIYLRLAPHGSLKLYHFIIVVAVVLALLSQMPSLHSLRHINLCSLVVSIGYTVLVSVACICAGVSGNAPAKDYSLSSSRSERTFNAFLSIAILASVFGNSILPEIQATLAPPASGKMAKALVLCYSVLFLTFYFPAITGYWAFGNQVRSNVLKSLMPEDSSAPSRAPEWLLILAVVLVLLQLIAIALVYSQVAYEMIETRSSDAAQGRFSRRNLLPRMALRTAYMAACALVAAALPFFGEIIAVVGAVGYIPLDVVVPVVMYLMALAPARGTQSPARIANVAIAAVFVGLGGIGAVASVRKLALNAGRFKLFSNGFS >Et_8B_060392.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:12608660:12609430:1 gene:Et_8B_060392 transcript:Et_8B_060392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAASPPPTAKLTQEELKRVAAHRAVELVESGMTLGLGTGSTAAHALDRLGDLLRTGALAGVAGVPTSLKTEAHATRVGIPLLPLAGAARIHLSIDGADEVDPDLNLVKGRGGSLLREKMIEGAGERFVVIVDESKLVPRLGCTGAVPVEVVPFGAVHTLGLIRKVFDGLPGFSARLRTVPSKAAEEGEEAPFVTDNGNYIVEMFFDDGIRGDLRDISDRLLRITGVVEHGMFLGMATTVIVANKDGTVAVINKD >Et_6B_048875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14852572:14855249:-1 gene:Et_6B_048875 transcript:Et_6B_048875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAKPHPLVCFKWPWSPNPQSGPSRSPSPCGDLELPWLFKSIRTLAQGLLIAGDLPSPASASYGGGVRGRRRQSGVATVEADRGEVEQRALAAALASGRPATVLEFYSPRCRLCASLQGLVAELEEGAGGSASFVLADAEDDRWLPELLHYDIRYVPCFVLLDKHGRALAKTGVPTSRQHVIAGLHHLLKMEQPSGHQRNQSAPPP >Et_2A_018610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3496225:3496872:1 gene:Et_2A_018610 transcript:Et_2A_018610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPLLYATNHGVLGLDRSFRKTRIWLGTFETAEDAARAYDEAARIMCGPRVRTNFPGGGGDASSSSSSSSFLSPALVAKLHRFNLASVQAAQQQQHHARGGKGDASSSASASAALPRAAMMMMPAAGNAAGMGIGVPPSPSASAEWGGGAFLEEQYVDQMIEELLDSNFSMEISY >Et_9B_064511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1591778:1599151:-1 gene:Et_9B_064511 transcript:Et_9B_064511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPDRRDYLYREGRRHDSGGGGDPLPPPPAPTPPRWRDSPYHPPPPPPLRDHARPSPRRAPSSVSSGTPTPRKSSDLRRQLPTLQRPPSSAPLISPNRSAISEGYYRQGGGAYDRSYPDEMPLGYTPSRSDRYWMDGEGGGGYKGFSRYGGGGGRRDGRDMRGSYRRSPFRSYGSDFSRNHQEPPPPPPPRRSPLRSVAVPICYDSPSNRVDRGDRDNLPRVTPWRRRESRSEVAGAAGSGHGSAGQSSRPAASEKEVSAHPPAVTSPHGTDEEAPRKKARLGWGQGLAKYEKLKVQGPTDPAEVAADGSSADAGHKAVSPAPALRASPVARPSPTPAPPPCASPVAVPSPPPAPPRCASPVAAPSPPPPAPPRCTSPVAVPSPVPVPPPCVSPVAAVPSTAPAPPRCASPVAVPSPAPLYCSSAAAPVDKSCQLTANTVMNSSQDAPGADDQACNDEFPIKLDQLGDDPSDSLANLLAKFLQNDSSSGDSKGLTSTSKLLLLKESISKEIEKTELEIDSLEGELKSVSTEAGTTVEDSPTGVTYTENISPSSGTSKVPGSAKICDKSLVKEHSKTSPCLKIPVVQANAKDAEMMEIETAPVHNAKTASEESAACPEVAMGQACAAADVGDTKSLGAKPQIVAENEKLEASSCHIDTSFVKLDVSGDLPGRQYSYPSPEYNLLGSLTSANNDIAKVANESVFISLPADTPRLDFLASTHVSSQRSDASTIKVRLTLHKNRLRFKEQALTFKFRALRYLWKEDVRLLSARKQRSKSNKRIDQSSRTSLAGSQRQRASNRSRLAMPAGNLSTFPTPEISDVANKLFSEFQIKRCRNYLKMPALILDEKEKECLRFVSKNGLVEDPVAVEKERVMINPWTQEEKEIFMEMLAKFGKDFSKISSFLTHKTTADCVEFYYKHHKSDSFREVKKLLDLRQQHPTSNYLGAKSGKKWNREANAASLDMLGVASAVAAQGLEYGNGVEKISAKSLIRNDPGQKISIARVDQASTPEIDKSVDEIDTISDQECEVDPVDWNDDEKSIFIEAMNNYGKDFARISSCVKSKSYEQCKVFFSKARKSLGLDLIHQGVADISMPTSDTNGGRSDTDEACAAEMDSAICSTQSFAKTEMDVCPTEGAVQGPNSCAISKKTEGDGSKFDCDVLDVKTEEGESKADKICNLADHERFSEDAHRSTCGPIDINCPETAEKLDGTDNTVGQMTMHNISDISSATEQAVSVHLDARIDGCSHQSLDNILVKVENSVPSASVAADTGLKENVHFSKVTGATSTSPTSISSYQHSMPGGIAPSKPKPQVTPLTPKDLMPVQFSSVLPDPTSIRFEGIASITTPSFEDNGNRVCNGLGAKDMNKYPAFKDESGNRHDTLFRNIDGYTNHLTTESSIFSERSASGTVSTSQPDRFTVTKFQNGRSSSLGLPNSTDGIQWTRKHNEVLDGSLRPCSHNTSSEGNEQVKRPGDVKLFGQILSHQSSLQGSGSSCNGSKSKPSSPKVDISPMRLLNNPRDRVACSSRPAVTGHLGPEDRSMRSYGHLDGSTAQPEHLLVMAKCQTSLAGVPFYSAKNGTLGVFSDYQQPLMQAHQSDPKRLERYSDPQKRNGMDFMSGFQQPGKVTRFGGTGILVSGVSDPVAALKAQYGPSSKIMSSDVHPWKDIGSSLLGGLCKMR >Et_10B_003820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6427016:6428471:-1 gene:Et_10B_003820 transcript:Et_10B_003820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAAMSVAWALVVAFIVFVLVSSIAWPLSQRKALKLPPGPRGWPVFGSLGLLAGKLPPHRTLAALAARHGPLMHLRLGSFHVVVASSADTARLVLKNHDAALADRPPTAAGEIMAYGRKGILLTPYGAYWRMARKLCATELFSPRRLDLFERARAEETRALVCALFERCAAGRAGVEVREHLESFGMRNILRMAIGERWSGLYGSEEGAAFRASLREVFAVSGAVNNVGEWVPWLGRLDVQGFARRMRRVRALFDRSSTSTWRSGGGGVQPGAETDNFAGRDLVDVLLQIAEEDEGAGGESESRLTRDGIKGFIQDIIAGGTETTAATMEWALAELLRHPNTMAAAVEELDRVVGRHRWPTERDLPDLPYIDAVVKETLRLHPPGPLLVPHHAREDAVVAGYDVPAGTRVLVNVWAVGRDPASWPDAPEEFRPERFLPGGGAHGVDMRGAHFELLPFGSGRRICPGYNLAVKEVAMFL >Et_9A_062647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2760913:2770693:-1 gene:Et_9A_062647 transcript:Et_9A_062647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVDAAAVPVAPAFEEAGDAVEQARTLIGALNLLSRNLPLPPAILRAVSSIYHDGGAVGEEEEVLVKGDAEKVGDEETPVTDVAGQGNAAADGAAEGATLMEELEDAIFKNQRTPISYSELAALKEGRFNASIQHRLAELEGLPSTRGEDMQMKCLLELYGLKLLDLQKKVRSDISSEYWLHKKCAYPDRQLFDWGMMRIQYPFTMYGIGDSFLMDSDDVQRKKRFTERISRLEEEEKNQADMRKRKFFAEILNATREHQVQLGTTHKQRKQRNDGVLAWHVRARQRISRLEKTRMVALKNGDQEAYMRMVEESKNERLKMLLDKTNELLEGIGKAVQRQKDAEHVSHPEVSEVPKGSESENISGIKSESSGGSPSDNDEDPPGSANESKFNAGRRLDSTVHSIEEKVTEQPSALEGGELRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTIAFIAYLLEKKEVTGPHLIIAPKAVLPNWSNEFKTWAPSIGAILYDGRPDERKALREIYFEGLQFNVLLTHYDLILKDKKFLKKVHWHYLIVDEGHRLKNHECALAQTLVSGYQIRRRLLLTGTPIQNSLQELWSLLNFILPNIFNSSQNFEEWFNAPFTCDVSLNDEEQLLIIHRLHQVLRPFLLRRKKDEVEKFLPVKTQVILKCDMSAWQKAYYEQVMSREKVALGSGLRSKALQNLSMQLRKCCNHPYLFVEHYNMYQREEIVRASGKFELLDRLLPKLQRAGHRVLLFSQMTKLLDILEVYLQMYSFKYMRLDGSTKTEERGKLLADFNKKDSEYFLFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKNEVRVFVLVSVGSIEEEILERAKQKMGIDAKVIQAGLFNTTSTAQDRRALLQEILRRGTSSLGTDIPSEREINRLAARNEHEFWLFEKMDEERRQRENYKSRLMDGNEVPDWVFAKSNEPTKKTLADEYQEILAGSKRRRKEVVYSDSFGDQWMKSEDGFEDIPKMTPRAKRTAYSSDLQGADVSERRKRPRSIENSADGASNPTWAPERGMSRVSSYSKDENEDDGDDEVITSSLQQGSSFTWKTLGRKRSSHLNSSSDSKGRPSF >Et_4B_038804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:587296:588686:1 gene:Et_4B_038804 transcript:Et_4B_038804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ERKMSSLDATRAELGLVVLYLNKAEARDKICRAIQYGSKFISNGQPGTAQDVDRTTTLARKVFRLLKVFVNDLHTLISPPAKGTPLTLVLLGKSRNALLSTFLFLDQFVWAGRTGIIKNKEATDRVSRLSLYCWMASSVCGELKRLSKSMRKLSRELRDVDKYENEQYRSKMQQSDARLLALVRAGMDVVVAIGLLQLAPKKVTPRVTGAFGFITSLIACYQQLPSRAPAAKLKA >Et_2B_020346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19211584:19217149:1 gene:Et_2B_020346 transcript:Et_2B_020346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIQSFSKHAVLLAVLCGKHAEKRAPAARSGPEAKRLRPSYPFPELSSAGRLEVHTLFNPTPEQFLEAQRVVQPNFLYVQGQQQEDEKEIGSLVWGDADVSDPQAFSSLISPPFPTIVYLEVPIGEKLAQAVHSKGIPYVIYWRNSFSSYAASHFRHALMSVIQSSVSHTWDAFQLAHASFRLYCVKNNHVQSVKLGPRLLGDAPKINISPPENEMAEEEGSSEVFPAVKIYDEEINMKFLLCGVPCTLDACLLGSLEDGLNALLNIEIIDLFVLSGSASPPPLEAASLPRGMVTMRCDISTCSSSHVSLLVSGSAQTCFDDHLLVSHIQNEIIEKSQLVRALPNSEDKLSSSEPLTSMSTACGASTFEVWMTLPKWAAQVLKHLAPEMSYRSLVALGIGCINGTPVASFERQDADRLLFFCTSQRKDFATENGPYFHLPRWSASLTKDRTKVGSELKPNGVLEDKKRLMEGPSSFPSKSKLKPATMRPIPHSRKQQMHPFMGFLEATVQDASQIKPNLPAAPPAKHSLAAAVPATHRKSTSGPSHTQSVIQLNPLPMKKHGCDRLPIQACSEEDFLKDVMQFLIQRGHNRLVPHGGLAEFPDAILNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMRNHTATNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPHCSLANYKKKPPPPKVANGFANAASVSRNG >Et_8A_056875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18391317:18396178:1 gene:Et_8A_056875 transcript:Et_8A_056875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDSRPAGMRLFGVTIAPALEADPSDRDPSPNPPMAAREDVMRKCKSMGNLAAAAAALDGGGAGDGYLSDGGLMQSPGKRRRAQERKKAVPWTEEEHRTFLAGLEKLGKGDWRGIAKNFVTTRTPTQVASHAQKYFLRQTNPNKKKRRSSLFDMMPSDTSQVPNYPILPTPMAKVHDVVAMTKQLQNSKLEAVSSSNSANVSSQVGMNLPPIPSFKTTKIDSNFSKMSPMERWRTPFPFRPVPRASEGTSSIAATANIAAMPSQTNLTACTTTFLSPKSDPSSPPLPKADHAPTEEKKDLELTVGPPSQQNMTNISSSNAVGVIQVI >Et_4B_038592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3105529:3106567:-1 gene:Et_4B_038592 transcript:Et_4B_038592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRAVPAWQGRASSPSTEATRRRWTIYVLIKGKDSGAALKRLQNEGRTTTASSRGSWFRSSATSGRPTSALPQLADQIEDEVDVIIYSAANTTFDERYDVAMDINTVGPFWIMSFAQRFQRLKLFLQVSTGQSVKMKFQPRPTRRERPGPHVSGRGAAHNVECDDDANAMPEKYVEV >Et_1A_004675.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:3012644:3012934:-1 gene:Et_1A_004675 transcript:Et_1A_004675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGVQPGHLVPVHLAVSVSVGRAHRLPRLPPREPQVQAAQRLLQLLAADPAVAVRVELAQPRPELLHRNLPLRQRPDGRVPH >Et_1B_010028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18921976:18922468:1 gene:Et_1B_010028 transcript:Et_1B_010028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAGAKKLPLLALITVLLLLLLQPCAAARPIAAETTTGTIDGSRSQHLNLKGSLLRGPESVAFDGDGAGPYSGVSDGRVLNPGYYNAKACTESQCGLRFHYKSGNLYIAGAYKGLMRVGPGGAESTLIR >Et_4A_034204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30841481:30842893:1 gene:Et_4A_034204 transcript:Et_4A_034204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPPRGRLILTADVMKVRQARPEPFKRQQIQLSATPGCAMALQLQAAAQPHPSFPLPAPRRRFAPPPPPLLSSLRRAVDSTCPSSSARLSCRGPARSSVKVRAGAGGRRRESPYEVLGVSPSAAPDEIKRAYRRLALKYHPDVNKEANAQEKFLRIKHAYNTLMNSDSRSKYANSNSDSSWTSSSRENKSSAAEEQFYADFLKDLQTEFQNWEAGLNSDQKPKSLWEELAAIGEEFVEFLENELNIDDSNSEDDSGKDQYAQFGGQANNAKDDKKSTNSFDDGISEIEAALEKLKKELGLS >Et_3B_028856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19940593:19942905:1 gene:Et_3B_028856 transcript:Et_3B_028856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAREAAAGKLAAGSGGGGASSTAAGEYWSEALKSFLDHIPVSSVPGALHPSASPAVEVKLDGCVRDAIDAMYGSNAAGAVIVDDVRSSFGKFVDRDIGVVELSSLLLWALEELGKVDGDSNDNSSDFLSTLKQQPQIAETKIAWLAKLFLWEPFFPVRSGDTLFHAMLLFSKHHKLNVVPVVESMNSSVIGFANGRKPVPVYSDQTLIDALHILSKEKMGIAVIDRKTSSLIGSVQCNDLYLLLDDSSLFKNRKTITLEEFVTLKNKTDNGTAESSSASEGQNILVLRRAGHPRSSLPVTNSKSDTLKQAMEKLVASSSSCSFIIDDQGHVDGVVTSRDIISVFSPPCMDSRIDGGTFFTGALEQAGCRVENGQMIQN >Et_4A_032250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26382546:26383964:1 gene:Et_4A_032250 transcript:Et_4A_032250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRRRHAPGPPTKRRKTTESTTCRVTPPDGLVVEVLLRLPARSLARLRCVCRSWDAEISSCGFQQRHHDLAAAYKFAFLPMAARSHSAFFQVFAGGARPAQQVADCKYCPGLIGSKPCRGLVLVKRPCAAEGGYSVCNLTTGEILPLPLSHHRHAVIGIGFHAAAGEFKVVQVDVEPGKPRGRVLTIGDARGWRAPAASINVQPVFADGCLHWSFMTSDMHVDQPHGVLSFSLADESFRRLPHPPFSTAVDLVPYDYHDLSHEHVDKIFKCGLHGQQEQQVHVPVGQTLAELDGRLCMVRDVRHRRGDGVGCSLFEIWKVQDYEAGSWSLDYRIDMSTPGRMAERLTKPWLVLPLRYLDGGGGGPGEKRKLLLATTAQEAHVYDPDSGTLRTVASVAIGGNTDDSVRLVLYQESLFRFAGMKQGKRKIKFVHLDSTCRI >Et_5B_043123.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6989002:6990117:-1 gene:Et_5B_043123 transcript:Et_5B_043123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFACFGGAAAVVDDEAAAAARRQIQRRGQSSSFRKKFLSGGKGCKKTKPSPAAAAADEKKIRGADDAAYSVFGASTASSVPSSAPLSSAASLDSSRSSSSRSSVSSSSSTTSSLRALPPPRPRTPERRARAACPAAGAAALVLCLLMVVLCGRFGATLLTSTALYFLPRRWTPSTAPRERKARRHGAQSDDAASASASEAEEESEKRKVVRDGFLGRNRNK >Et_5A_042549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2023787:2025238:1 gene:Et_5A_042549 transcript:Et_5A_042549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVAVPSPVPTPSDDAESLKKALQGNAPDCLALIASGWRADKGALVEILCRRTAAQRAAIRRAYVFIYREHLLSCFRDNFSRHCQLSVDFWKAMLLWTMDPAERDANLLHEALKRQKDENYVLVLIEVSCASNPDHLMAVRNFYRDLFGCSVEEDVASSRALREPLKKAGEHVDVDALAKLDAAQLSEAVREKQLHGNEVARIISTRSKSQLTATLQCYKEEHGTDIVEDINSHCKGQFARMLKSAIWCLTSPEKHFAEVCSTNIAQYKQIVYA >Et_4A_034606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4808130:4809387:1 gene:Et_4A_034606 transcript:Et_4A_034606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSINPESKSRQTNREVLNELIKLHGQTSLGGKLPAYDGRKRLYTVGSLPSKSEEFVVALVDLEKEKEMDEYKIMIRIAGRTGMYHLMQFLRERKRDVGEGLECWRGYYQSMRPTQMGLSLNIGTDASATSFFKYAEDRFAKEFGIQVCNDLVSVPAPVLPPPLLKYHHSSREKVCAPNSGQWNMINKKMFNGGTLDNWTCLNFLLMCPEKVHRFCMDLILMCNGTGMVHHLLFFMFCEVIPDINLSTQEVKSASPYQIESALRDVFNRSSKICATQGIGNHLQLLIVILPDDSDSY >Et_8A_058130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2085663:2092287:1 gene:Et_8A_058130 transcript:Et_8A_058130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRAPPPWADLPADELGEIAGHLSDAVDLVRFHAVCRPWRKAPAPPHHRLLPWLIAGPISEFRPCLPIRSPFTKKSLYLRRVPELRLRKLECADAANGRVLAVGIMNDDPMAALVNPLTGDATCFPLPSREPAIDKVGVFSGTIKFHLMRAMLRDDASTGTEKRTVVPLTYGPLRYPESLLLDRHSLYAAALCSSGDLAGGACAMAELPQAKSKSDRYVLECQGKLLCVDVQQPWQHPTHAPASVSVYMMEVGGSDAGRGPRWVKWRGADHDGHICFFLGGESSFAVDAWEFAAGIELCGAVGSCAFYVGRHPKWTTKEEVYGVYRYSFEDRVAVLVDELPADFHIGSMWFSKPKRNPTNTTLSYQSTSGRHGSRRATTVGRALGEIAGHLGDAMDLVRFQAVCRPWRKAPLPHRAPSLLPWLIARPTSEYCPSLHIRSPFSKKSLCLTRVPDLRHRQLKCSDAANGRVLAMAFLNHDPMAAVVNPLTGAATCFPLPLSDPKRDFFEYLDTILFHLLTAMLRHK >Et_10B_003244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18158468:18164417:1 gene:Et_10B_003244 transcript:Et_10B_003244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTCISTTMSGAKARPASHSSTEGKDIDLPECPRALLKKQCIKYLGPQEREHYEYIINEGKIIHKMSGEPLDTSQGPKGTKWIFVMSTEKRLYAGKKERGVFQHSSFLAGGTTIAAGRFTAENGALKSIWAYSGHYKPSAENLSNLMNFLQENGVDLKGVEVRSSTKEDYNEDPVPSDTQNLTSALDPPQETVPNTTEGNEGEKALTIEAKPTYQRTLSGGLQSPKTTDVPQKAILERMKSKSASKSFQLGHRLSLKWSTGAGPRIGCVKDYPMELRTQAMEMVDLSPKGSTPPASRRLPCFSPTSPTSPLAPVQTSLPQPRALVLLLLLLGVPAIVILCSARLRNQTKPLAYYLGR >Et_3B_030609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5382912:5384877:1 gene:Et_3B_030609 transcript:Et_3B_030609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLHNGYEQEPEQPDDKRMRRLPSFSTVIREAMIAKNMQSFFRVLEPLLRKVVQEELQAGLASSPRLIERSPETPPVEPPTLKLVFRTPPMLPIFTGSKIEDINGSPLEIVLVDVHTGLPVEHHQALRIELVPVFGDFPQDDGDSWTADEFQKNVVKEREGKRPLLTGEVSHTMRDGRVTVNELQFTDNSSWVRCRKFRIGVRVVPSSGAGGFDCGRVLEAMTEAFVVRDHRGELYRKHYPPVLADDVWRLEKIGKEGAFHRKLAQHRVKTVQEFLRMLQVKPDELRAILGDGMTDRMWEATTNHARTCVPGDKVYAHSAGHGTVYVNSVFEVLKVEIGGVELPLQQQLDRAQTTFVQHLVLDAYEHRHGLAEVDAVAVHGHANATNIPLLHNAAHVALPAPADPALWFPNNGGIDFQIVDDEVPLPQAPNFAIQWPGQMFHMPG >Et_7B_054493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2263368:2277454:1 gene:Et_7B_054493 transcript:Et_7B_054493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLRIQSVDLPVAPATAGAEEVGTSSAGAGATTSKPLSPRSSHPTTSTAPSSLTPLELPGATSAAPARSPRIHHTRGIIHLYRSCPTSSSSSSYASAVAATSSSSSTGPTAPPPACDSLLPPWRDTCLLVLAVPTRVSPDDFVRFCGPYVERASDIRFIRDDGVEDRYSVLVDFEDQKSADRFYLDVNGWRFSSSEGEVCRVLFILSVQYTPSTEIAALPPIGASELPTCPVCIERLDQDISGILATTCDHSFRCSCVSVWANSSCPVCQFCQKLCEDSTCSVCQNTGNLWICRVWDYVGDTYVHRLNHSKSDLKHAKFKSKCKYSGDDCINCSCNDDSDMVGAIFSSKVETIVDEYNRLLASQLETQREYYEAQLSEAKREKERLVSEAVDKAVNDKLKEMHLKLENLIVERKKVADMNEKLTRNQDVWRQTLRDIEQRERAVLQSKGETIRDLEEQIKDFKFSIKVQKSIEKNGGGLKGGTLVPVPVVSDSGGKGKRSSRTNKRRNQYSVDLPVAPATAGADEVGTSSAGSGATTSKPLSPRSSHPTTSTAPSSLTPLELTGATSATPARSPRIHHTRGIINLYRSTVVAASPGPSSYACAVLAHPLPPPG >Et_2B_020898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24647340:24653834:-1 gene:Et_2B_020898 transcript:Et_2B_020898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAELRRDDMEVVEEASSDAKAFRFIERVLQSVRMDPFLVDLSDKDHYDDLLSCVDSTKKRSADDEALLVTTLKALSEAVSKIDIVYHHALLHNIFTMCVWYFRRDTRDALLDLITKLAAVADQFLRECLQMLVNNFTPPVPILPFMEQPRWLARKKEIYSQLHGSLKLISDTVPLAPMMLKDIIDRSMPKLFDNKAKMVSFVECMLGLDTDRMGDLLGALLLAKVVDLLTELDVNITWEDILQEEHNQGIFDMEIEDLDEDEDNLGHGGAKALFGGNACAEKLDGLMVVVCEHLKSCHEHGRLPQEFDILKTIFRTSVLRVHKSKFAQFIMFYACSLDPDFCGLDFACRMSAVSYVGSYLSRARFISTDMVLVVLKKLVDWCSSYCKLQKNRTVTKPIDHKIFYAGCQAVMYVLCFRLRSIMDHPTHKSELSKMKIRDILNDPLKPLKVCLPSIVNEFLRQAKAASLLDSSMELGFDDAIESDLSKAFGGINRLDMFFPFDPYLLKESDRYMRPIFEFWSMVKTTYSDNSDDDDELGDLDAPEMNVEESLDDHVEIDFNSTLEVSMDNMSITPHRTFHHPIGMNNDRGLSMPAKIRPSVSPPS >Et_8A_057638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5545295:5559088:-1 gene:Et_8A_057638 transcript:Et_8A_057638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SHINIFIEYFKNKIVYGGVLNLVSSAFFSADVGSGSSRGLREVVEEIVSAVNKSNVSDLFPFLRPLDLQGRRHLAVHLEKLWLLGATLAVSILFYLTNLTRRSATTGRQPPGPRPLPVIGNLLDLRGGNLHHTLARLARVHGPVMRLKLGLTTAVVVSSRDAAKEAFTKHDRRLAARAVPDTARAMGFSERSMIWMPSSDPRWKTLRGIVATHIFSPRSLAAARGVRERKVRDLVSFIRGRAGEEVDVGQIVYGGVLNLVSSALFSVDVVDLGAASAQGLRQAVEEIIEAIAKPNVSDLFPFLRPLDLQGWRRWVAVRFEKVFRILDDVIDRRLAEVSSSESTRGDFLDALLKLTSAGTIAREDVRAIMFDVFAAGSDTIAITVEWAMAELLRNPSVMSKARAEISGVLRGKEAVEEADAASMPYLQAVVKEAMRLHPVAPIMLPHQAVEDGVEVGGYAVPKGCTVIFNTWAIMRDPAAWERPDEFVPERFFDGAAAEVDFRGKDFEFILFGSGRRICPGLPMAERVVPFLLASLLHAFEWKLPDGMSAEKLDVSEKFTTANVMAVPLKAVPVSCLNKAPKHTMYASDMGGELWLLWATLAVALIYYLTVLRRDPGTVRQPPGPRPFPLIGNLLDLRGGDLHHTLARLARLHGPVMRLKLGLVTVVVISSPDAAREAFTRHDRRLAARAVPDATHSLGYSKRSVVWLPSSDPLWKTLRGVVATHVFSPRSLAAARGVRERKVRDLVGYFRDRAGREVDVGQAVYGGALNLVSSAICSVDVVEVGAGSATGIRKLVEDLVELIATPNVSDLYPLLRPLDLQGWRRFAAKHMEKIFSIMEEIVDRRLAETASSKSGVHGDFLDALLELMSAGKMARDNVTAIMFDVFTAGSDTVAITVEWVMAELLRNPAVMAKVRADIEGALGGKEIVEEPDVAGMPYLQAVVKEAMRLHPVAPIMLPHKAVEDGVEVGGYAVPKGSTVIFNSWAIMRDPAAWERPDEFVLERWLSGGAAAEVDFRGKDFEFVPFGSGRRICPGLPMAERVVPFILASLLHAFEWRQPDGVSPEQLDVTEKFTTANVMAVPLKAVPVPIN >Et_4B_039780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29344054:29345743:-1 gene:Et_4B_039780 transcript:Et_4B_039780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAMMRHLFSSSSSASPPTYTSALARIRTSTHRLASQLQKRTSTPTNKLLLSVFLILLILPPLTFFVLLPGRTMSSSSSSSDAAVSAFEKPRTVVKKILAESQPEGQGATVRRSIGRCLPTIPTELPPILPVDPDFLVLIDATLTSVMRRPELRNLDPFLMLDEFSVSKPAGFPDHPHRGFETVTYMLEGAFTHQDFAGHRGTIKAGDVQWMTAGRGIVHSEMPAGDGVQKGLQLWINLSSKDKMHGADNLDTLLIEPRYQELQSKDISRAEKDGVEVRIIAGEAFGVRSPVYTRTPTMYMDFTMQPGSQLHQPIPEGWNAFVYIIEGEGVFGREKAAPISAHHCIVLGPGDGLSVWNKSGAPLRFALVGGQPLGEPVVQHGPFVMNTRAEIQQAMEDYYYGRNGFEKARQWSSSA >Et_4A_033084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18092754:18094187:1 gene:Et_4A_033084 transcript:Et_4A_033084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSCSRPSAAGTGAAFRRPARRGVVVTCRSTSTSSVRTAAAATATATPAAVEQQNKEVDSLPTWAEFELGRAPVYWKTYNGLPPSPGEGLTLFYNPAAAKLAPNDVFGVAFNGGFNQPIMCGGEPRQMTLQVRGKADPPIYTIRIRVPQHALSLVFSFTNGSDWDGTYTLKFRVPKPWQNKPPSFFNEGLADELSREGACDRAIYPDENIVITSCAIGGYYEEGGDRCKLDIVSGCTDPSSHLFDPMATVDDGSCPLESDAEE >Et_8A_057348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23980126:23982040:1 gene:Et_8A_057348 transcript:Et_8A_057348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGGGGGLSGPDSRVETISRMAQWRIDTFGPCSYRRSDPFKLGIWNWYLSVEKSRSIYVRLFPEPGRVAKEQPPLARFVLRVSWAGPPRRSCVSPVLEHLLRSSEDFVWQVDVMSHGRFTIDVEFLDLRIANNNAKSSPSIWPSEGMVQNIASKSTLGCLSRMLAESIHADVTINTTDGVLKAHKAILAACSPVFESMFVHDLKEKESSTININDMCLESCSALLGFIYGTIKHDQFWKHRLSLLAAANKYGITEIKDCCEESLLEDINSSNVLERLHMAWLYQLERLKKGCLTYLFIYDVRDEMHSFFHHADRELMLEMFQEVLSIWKPI >Et_5B_044286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22215265:22217081:-1 gene:Et_5B_044286 transcript:Et_5B_044286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVFDASVLSQQESIPAQFVWPAEEAPAAEEIDIPVIDLAAFLRGGALPAGVAEACEGHGFFQVANHGVDPALLAEAYRCLDAFYARPLAEKQRAQRVPGETYGYASSFTGRFDCKLPWKETLSFHHSAAPGAERAVVDYFVAALGEEYRHMGEVYQEYSDVMTRLALDVTEVLAAALGLADRGALRGFFSGSDSVMRLNQYPPCRQPHLALGTGPHRDPTSLTLLHQDDVGGLQVHVGGAWRAVRPRADAFVVNIGDTFAALTDGRHASCLHRAVVNSAAARRSLTFFLNPQLDRVVRPPPELLAADPARPRAFPDFTWREFLEFTQKRYRSNENTLEAFVAWIEAGRGKGPEPEEK >Et_1B_009953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13981866:13982429:-1 gene:Et_1B_009953 transcript:Et_1B_009953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLLLLLVVAAASLLAADARPCRTFFVSIPADPYTRGDGAVHHRLVPRVATVVTVFRVRRLGPHANVQIHRPELPHPAAVAHAAAAGPQERATDTLVVVVALLFGVACGALTAASLYLVWSVVAGAAATSTYDELFSDDEDEVSDTESPKKVGYVIIQELEVHDGGKN >Et_10A_001996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17570345:17571527:-1 gene:Et_10A_001996 transcript:Et_10A_001996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATAAVTPSKRPRGGGQHHRTPSPLPLDILGEIALLSDPTTIVRIAATCKDLRHHIADDGAASLRRRLRHADGRFVRSLVSGLLVRERKNQYRLLQASCWRSPSPPLGPFTLTLHERERPVAARDGLLLVRVTGKETPAAAELRVYTCATGSCETLPSRHVTLHGKFVLLVCRSSFKVFNAKLVLSNHHPCLRIQIFSSDLGAWGRRTRIPIPFILWSTLLRLLRRPLVFNDAVTMTKLPDDFPWSVPSQLLLATSSLGGSPIVLVADGTKISATGMWKKRPQLVIKNDAIILQINNKRVTGTRQQMQGVVRLEWFGERSGVVLISLPNNDGFGWLDLQTKEIINCVIDPRTTYDKFYFPYEDLSTWTPAFCISF >Et_6A_047862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21563602:21566139:1 gene:Et_6A_047862 transcript:Et_6A_047862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGDEAFEKGNAQHCRLSDLAVTQTVLPGKVRGLTQYTATVENRCICSQADIKVACPGFASSIGVDPAILRPDGDGKLCTVNDGRPVSMGPNYAVKFRYASSSQIGFKPVSSTIACS >Et_10B_003139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1727808:1730513:-1 gene:Et_10B_003139 transcript:Et_10B_003139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYERIHKAQMGVMSPTKLRMKLLGSHGAGKKGEGDGKSPRASPSRLDDVDGDDHPKNSLLVQELDEGAFLCLTRKKKIIFAINCIAPISLVYISQYPKDRSDSSRSRSDASHGRAALSGGDSGHENGGAGAGGNFEFHREVRGTAAAAAGPFFRQVPSKWNDAEKWIAGRHVVHSNPIFSKKPAAPLPSHAPRVAPESAAASKGGDDQSSRGRGAAAVSAVTELSSSSKSSSPSSSVSGPAASRPPPHKKLRAAAAAAQSVSMRDVGTEMTPIASKEQSRSGTPAGAATPSLLSPLCSVPSSPRIGGGGGTSSAASSASDRELRLRTRREIAALGLQLGKMNIASWASKDEGLLAAQAAAAAPADVPGDIDDELKTKEFEERAAAWEESQKCKLASRHQKKEVKIQEWESCQKFKFEAKMKQAEVKAEQMRARAKQELAKRLSALSHKVEGKQARADARRSRQAARLARQVERIRKTGRAPSRFRRCCTWFL >Et_4B_038739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4567912:4569207:1 gene:Et_4B_038739 transcript:Et_4B_038739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEVATVVIQHPAGEGGGRTERAYLALSAGAVMAANPGHYVAAVITSPPDPNSGGGAAAPVKHLRLLRPDDTLLLGRVYRLVSFEGTLCSPASFLPSTEVLKEFTSKRHVKLSRVTVKVKDEDGGGEEEKAKPNEQPANPRRRRATDTTGGGEIRKESERSLAKVMRQTEEPEPAELERDPGHSSGPNATTAADAPSDLDGDLEALVPPHGLVIGRRFARQWRPALQSIAEG >Et_4A_032214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24680194:24681978:1 gene:Et_4A_032214 transcript:Et_4A_032214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAPAGEQDEEVDELLAALGYKVRSSDMADVAQKLEQLEMAMGMGGVPAADDGFVSHLATDTVHYNPSDLSSWVESMLSELNAPPPPLPPAPAPPAPQLVSTSSTVTSTYALKPIPSPVAAPADPSADSAREPKRMRTGGGSTSSSSSSSSSMGGGGARSSVVEAAPPASAAANAPAVPVVVVDTQEAGIRLVHALLACAEAVQQENFSAAEALVKQIPMLASSQGGAMRKVAAYFGEALARRVYRFRPAPDSSLLDAAFADLLHAHFYESCPYLKFAHFTANQAILEAFAGCRRVHVVDFGIKQGMQWPALLQALALRPGGPPSFRLTGVGPPQPDETDALQQVGWKLAQFAHTIRVDFQYRGLVAATLADLEPFMLQPEGEENDEEPEVIAVNSVFEMHRLLAQPGALEKVLGTVRAVRPKIVTVVEQEANHNSGSFLDRFTQSLHYYSTMFDSLEGAAAGTDQVMSEVYLGRQICNVVACEGAERTERHETLVQWRNRLGRAGFEPVHLGSNAYKQASTLLALFAGGDGYRVEEKDGCLTLGWHTRPLIATSAWRLAAA >Et_10A_001642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6311538:6316660:-1 gene:Et_10A_001642 transcript:Et_10A_001642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDSSISTSRVLAKQEVSAMSAMKFCRECNNILYPKEDREKKVLLFACRNCDHQEVADNNCVYRNVVHHSAGEFTQVLQDVAADPTLPRTKAVRCASCGHGEAVFLQATARGEEGMTLFFVCCNPSCGNRWRDNNILYPKEDREQKVLLFACRNCDHQEVADNNCVYRNVVHHSAGEFTQVLQDVAADPTLPRTKAVRCASCGHGEAVFFQATARGEEGMTLFFVCCNPSCGNRWRE >Et_2A_014997.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:25472436:25473062:-1 gene:Et_2A_014997 transcript:Et_2A_014997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNRMPMPDIADKMPPRAFLPRDIAAKIPFQTEAVSSLFGAAPGTAMAQAVSSTVAECARPPSRGETKRCATSAEDMLDFAVEMLGGGGNVAVRATESTAGGGGDVRLGRVAGVAGGSVTRSVSCHQSLFPYLVYYCHSVPRVRLYEADILDVDTNRKINHGVAICHLETSDWSPNHGAFIALGGKPGDIEVCHWIFQGDMTWTLAD >Et_3B_029765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27740542:27741671:-1 gene:Et_3B_029765 transcript:Et_3B_029765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQAKSGLFVGINKGHVVTKRELPPRPSDRKGKATKRVSFVRGLIREVAGFAPYEKRITELLKVGKDKRALKRAKKKREEMAGVLRKMRCVISPCHLYCY >Et_4A_033067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1824059:1827019:-1 gene:Et_4A_033067 transcript:Et_4A_033067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSASLHHHRHPLLPFPPSSRALSPFAAVSRRFHPRHKRLAASLYPRPLVSSSTFAVAAVDDDEDVVIGVCLVFDEDAFEAPDLDLPSSPPLPSNSRPGRKAAAQAPGESLVPERWRAAEEEINLTKKDKRRIAHGLRFGSRLERRLPPAVAAPDEFSAYREGRLEAEIEHVASVYRGPLDRTPPTEKVEEAPPPEPGTRVAPRNPRMGMEVGSLDDITELFSSENYVPSEMEDNSSPKSRRKLFTNEEKVLLNKRVPDLQAATSSKWLPLHTIAASGDFYLFDSLLKHNVDVNALDKDGLLAIHKAILSKKAAIINYLLRNAANPFIQDKDGATLMHYAVQTACIQTIKTLLLYNVDINRPDDYGWTPLHLAVQTQRTDIMKLLLIKGADRTLKTQDGLTPLELCLRLGHHVRTYEIIKLLKSFRGQKQHIPVQHIEPV >Et_4B_039862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4339745:4342072:-1 gene:Et_4B_039862 transcript:Et_4B_039862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQSQAAQCPYCRASGPARCATTQPPLSRAVSECSACARIVLERHLHTHPFFPLLPSLHPLPLVTPDLAAAAAAAQPSPDAEDDDDPFLPAGFVSAFSAFSLERHPVLARSASAFSGLLAELERALAVDSAASSSPDPAGPMVSVDSLRAYLQIVDVASILRLDRDIADHAFELFKDCSSATCLRNRSVEALATAALVQAIREAQEPRTLQELAAHIGEVVVNKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPPGYTPATPPEKAFPMTTIYSGRSSSGKDLYQDKILDSIKQKGPEPPEPDHMVIVKEEEDRKINALSRPAKLEPHELSKAFWQPNAPFSASTKSDRDKMETNVRGFNLNEATCAMDCDRADATINPTFSDRFLNESNRQAASWQAKQAAPATGSSYPRLREQQLGLDLVAALKGFGKRSAGDGDGRDKEGK >Et_5A_041203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19366736:19367161:-1 gene:Et_5A_041203 transcript:Et_5A_041203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKKSFNLLVLRIIIAGMVRKRPVPIPGNGMQLTNISHVRDLSSMLAAVIENPGVAAANIFNCVSDCAVMLDGMARLCAAAAGADVEIVHYDPGHRRRRRRQEGVPIPQHDLLCFEIVSLMTKNKWW >Et_8B_058608.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:8311044:8312137:1 gene:Et_8B_058608 transcript:Et_8B_058608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAQLWWPLSPWLSAGAAWFIFFNGVVAAIAVMSSSSREEDGHVPSSRRRLCRSASSAFLDQLRSFSLFSVHPTAGGVTGPLLDGHYCSSQEAEEVSSPQVTQASMVVPETPPAGESVVMPACVVPPPQCEAPAPAAAELASSAPGSKDDEADAEEEQGKSISLDEAYAMIQQSRRRQPAATAASVPKKMDLAAKASKRRARTRDHEAEEMVEGKADLNARVELFIRQFRDELKLQRLNSLLRHTHTFGTRDGAPTAAVR >Et_3B_031752.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:9631487:9632395:-1 gene:Et_3B_031752 transcript:Et_3B_031752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNKTDEIMARFRPIAPKPMLTLAPPPLPPPPALGSAASSSGARKYGRQEDYYILPPYHLRPPVWWLAGVGTAVWRRRVSMPNLRSLRTCEDDPLVRLSLAVSRGTSSSVPLDAPTRVVPMERDLLSKLKVPKVIAPHPSRPLRTTICIDSSSIAGDKLVAGAITESKKTVKEVEAEVEQDARPAIVSDCHNRVLLVNDAYKAMVGQPVCLWLDTLPGTGLSTRINGEVVLNVQTFCPASRLPNDGGAFSCTARISWERDGAMASLTVPCTIEHLTGNSGNYRSIWRFDSVRASIVYCLQ >Et_1A_009396.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4715449:4716240:1 gene:Et_1A_009396 transcript:Et_1A_009396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVSFPAGGAANGSAGGGGNNNNNNGAQQQPAIREQDRLMPIANVIRIMRRVLPAHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSRLGFDDYVEPLSVYLHRYREFEGEARGVARGGAGAGGGDHHHHHAMLKSRAPMAHHHHHHVDMQMHAAAMYGAGGGAAPPHHHGFAAMAPPHLQGQYVPYPYDAYGHGEHAMAAYYGGAYGPAGNGGGDGSGSSGGSAAHTPQAGSLQEHQHPFAYK >Et_2A_018762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6942798:6944687:1 gene:Et_2A_018762 transcript:Et_2A_018762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQHSSTTGDLEGSVTEQESRRRRLEAAEEAVARWGPQDAAVGLDTGGGSRELAAATAMAHPEDEFRQVLISGTIFHLDDNLQESLHDNVILPARSYSFSSFPNLEVQSISSFSTTPTDDSQTYCAGFSRDSVSMEELYLYLIDPEASLLLKEIAELIILAGNAPNLCHIYSEIRQNSLMQFLYLLGVHIEPHCHSPLAATSGGGYNMQIDGRKVKLWIKGLKIIVGTVLPEERQSCAQIFGRDKMVEQDCFTRATTRVTEELLSFGSAIAQI >Et_3A_026161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:491050:493774:-1 gene:Et_3A_026161 transcript:Et_3A_026161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEARHLVGAAPAPFSHRLPAKGTEAGRGGRRQPRSSLEKVRARPGGPSCKLEIAEEVQEARRLLEHKPAPAGYPVLPRPGGGHEEGAAWTRAPPRFVLPHLDLLDKAQLEKLEAELNRSMLPSPSEQAAMDRNLVRECLRLYNSMHPNNEYEPAPGVVTAYSSPRNGSCWTHGNFVARPKRSGCFSFLPAPRTLFFYELVAKDDFTGVMSESLKPTVCLVFVLGGVLVVMDVRIADARHAAVSSTGRVLLWGNHFRVDITRQSVSVKCATRYVVTLQPLPENSECGWYSQDVKAPCSSQLSIITLKSLSTKKGFIQQLV >Et_3A_025207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27979014:27985289:1 gene:Et_3A_025207 transcript:Et_3A_025207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAALHVVAVPFPGRGHINPMLVMCRLLAATDSALTVTVVITEEWHALLSSAGVPPTLPERVRLATIPNVIPSERGRGADYAGFIEAVHAKMGEPVERLLDQMMLERKPEAIVVDTYLTWGVGAGTRRGIPVCSLWTQPATFFLALYHMDRWPPANEPEGEEGQSCRSLDQYTPYPALSSVKCSDIKIFRTFKLPMKRASEVFSNVRKAQCVLFTSFYELEACAINAVSQVVPYPVYTVGPSIPHMPLEADLDRIHHDEYINWLDAQPKNSVLYVSFGSYVSLSSSQLDDIAMGLHDSGVRFFWVARDNATTTSLLQISGDKGLVVPWCDQLKVLCHPSVGGFLSHCGWNSTLEAVFAGVPLLAFPIAWDQLVIGQLVANEWKIGINLREQKNENGIVNRAAVSAAVTKLMDLGDGDSQEMRRRAEKLCQASRSAIQECGSSWRSLSNFVEDIIKGRLNPEPRVRGCHVVAVPFPGRGHVNAMMNLSRLLAARGAEVTFVVTEEWLGLLLASSSSPAPLPAGVRLRAIPNVIPSEHGRAADHAGFLDAVAAEMEAPFERLLDRLEGPPPAALVADTFVPWVVGVGNRRGVPVWSLFPMPAAFFAAYYHYDRLPAWLTEEHAPATDKSDQKLGHYVSAQASSSIRLSDLEPSIHNKRTVKHILAAVSSIKNAQCLLFTTMYELEASFIDSLSFLTVSASQLDEIALGLASSEVRFLWIIREQSPRVQKLIGESDKGLILPWCEQLKVLCHPSVGGFLTHCGMNSTLEAVFAGVPMLALPLFFDQPIDGRLIAEEWKIGLNLKNWTSKDGLIGRDYIARAVKRLMVSDEAETNAIRRRALEWKEASRRAVNKGGSSYCNLSSLMEIARTS >Et_2A_018058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9625357:9626373:1 gene:Et_2A_018058 transcript:Et_2A_018058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPYNKETLPLGDGLIALMCLCGTLCKLVKSLVLGDDYGKRLWMCNNYQYKLPLQRLYFSDGRPKLSTWYFSPPSLCEFIEYIDTEQTPENIAHVYHVAERARRHWFDMEAEKRREEERRKMRQKEEERRREYEAERKQREETERRRKQEEDRLAYEAREAERERMRERARCARTNLQVHRAYPEYVWEFDRIRGDEDEDVRWTPYSPEDVAARAPAGLSTFCTLDSEYWLTRKALIFDIFVEEYSPHRVMRQFGRHQAFSLTVPRVVTPAAHSYIWKGQAAGTVWWSRMEPWV >Et_7A_052439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8062476:8070370:-1 gene:Et_7A_052439 transcript:Et_7A_052439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSRFFYKRPPDGLLEFIDRIYVFDSCFSTEVLPDGMYPVYINEILTDLHEEHVDSSFLAINFRDGDKKSQLADILRGYNIPVIDYPRHFEGCPVLPLSLIQHFLRVCEHWLSTRNNQNIILLHCERGGWPLLAFLLSCLLIYKKLHGAEHKTLDIIYREAPKGFLQLFSALNPMPSQLRYMQYVARRNISPEWPPMERALSLDCLILRAIPCFDADNGCRPLIRIFGRNLLGRNSSMTNMIFSMPKKKSLRHYRQEDCDVIKIDIQCLVQGDIVLECVHLDLDPEKEVMMFRIMFNTAFIRSNVLMLNSDDVDILWGSKERYPKNFRAEVLFCEIGGLSPPRAPTATLNGDMKGGLPIEAFSAVQELFNGADWIESSDDAAYWLLKEFSANSLQEKFQKLILNDMKELSKMQAKVGLQMPLMSPLDSDEEKYSVASDSISSVDHEKVQLGGNSSDSENIDRDLTTDDSESTGIASHTNQFLAPWPIQPRTPALSLPLLFVSLLLILLFITTLIMITIFAATLSLNNSPPQPGPPPPPTPGISSSLSLSSVSTTGQSEPVTESLQEPACPPPPPPPPPIGRKPVTSLPPPPPPPPPSGGKPVSSSPLPPPPPPPPRIGSVGIAVPPPPPPQNSTITFSIAATEKCMCSPPPPPPPPPPPPAHGISNKGPPPPPPPPPPSSGKAPTTAGATHSRGPPPPPPPPPSRTAGPGAAAPPPPPPPPPGAIQRNPPAPPPPPGAIQRNPPAPPPPPLMTGKKSPAPPPPPKAPGTAPPPPPPSSKMSNAPAPPPPLLGRGRGNLTGSAKGRGIGLAQQSNPPKKASLKPLHWVKVTRAMQGSLWADAQKQGNQARAPDIDLSELESLFSTAVVTSSSEKGATRRGSAISKPEIVHLVDMRRANNCEIMLTKIKMPLPDMISAILALDTSVLDNDQVENLIKFCPTKEEIEMLKGYNGNKEMLGKCEQFFLELMKVPRVEAKLRVFAFRITFSQQVDELRTNLTTINDATKEVKESLKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLAEKLPELLDFDKDLIHLEAASKIQLKLLAEEMQAINKGLEKVEQELAASENDGAISIGFRKALKSFLDAAEAEVRSLISLYAEVGRNADSLAQYFGEDPARCPFEQVTSILVIFVNMFKKSRDENARNAEAEKKKLEKEREKASASGCIVNSKFFFVGISMLYLQYESMDRRPKKYRDARLLGILIL >Et_5A_042716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2953724:2956626:-1 gene:Et_5A_042716 transcript:Et_5A_042716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTGDDPSAAAAAGMSFPDADGGGDSEDGDFPDTHLLDPSDPGLPNPTTTSASALPHAVPAGGSGGGPVTSGNGGERRPLFQRLWTEEDEIVILRGFAEFTAARGTAFASHQYDTDPFYEDMRRRLQLDFSKSQLVEKLRRLKRKYRNCVSRLRDSGDAFSFRSPHEQAIFEIARNIWRPANKHGRDPAADSDDEEAVGAASAAAVAAPVPANTSPNGEVKSPSSGRQRRRRRATEVATAAGTAPVINVVQPPQPAQLPVSVPVKMEDSLPALSQAPMPVTVTMDGSEPLRLPVMSPQSGVLDAEKNGLTPLFKEMIRAVINVGVNPFGAKLPEPPLGLSMEGEKWKKQRILELEVYLKRIELLQDQQLLALK >Et_1B_011509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23014781:23030510:1 gene:Et_1B_011509 transcript:Et_1B_011509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFASRHAVPEATPAPASSAGAGAEEPEYLARYFVVKHSWRGRYRRILCIASSGVVTLDPATLNLTNSYDAGAEFDRAEALAANDEFTIAVRTDARAKFKAMRFSSPLRAGILTELHRLRPVHKAFDFPVLHLRRRTHEWAPFRLKVTSVGVELLEASGHLRWCLDFRDMASPAIVVLANGYGNRNAEGGGFVLCPLYGRKSKAFMAASGSTNTTIISHLTKTAKSMIGLSLSVDNSQSMTAAEFIEKRAMDAVGAAETRHGEWSVTRLRPAAHGTANIESLSLGVGPRGGLGEQGDSVSRLLVLTNTSLVERRPDNYEAVIIRPLSAVSALVRFAEEPQMFAFEFNDGCPIHVYASTSRDNLLATVLDVLQNQRQSAIPVLPRLTMPGHRIDPPCGGANPQIPHHGPFDMEAAIMHIKHLATVAKEAVVSSDTIPGAKIRLWRRIREFNACVPYTGVPVNTEVPEVVLMALISLLPATPQNLPADAPPLPPPSPKAAATIMGFVACLRRLLTSRSVASHVMAFPVAVGRIMGLLRNGSEGVTAEAAGLVAMLIGGGPGDTSTLMDTRGESHATYMHAKSVLFSQPIYVPILVNRLKPISVSPLLSLSVVEVLEAMLCEPHGETTQHATFVELLRQVAGLRRRLFALFAHPAESVRETVSVIMRTIAEEDAIAAESMRDAALKDGALLRHLLNAFFLPDGERRDVSRQLVALWADSYQPALDLLSRILPPGLVAYLHTRSDEDSQSQYDEAPLSRRQRRILQQRRARGSKTMATPEQGMPQNGVDDGELFRHTNMGTYEGADAHQRHVGQYPSVHAPSPGINIDSSPAVSVPHGAVPEGLYDNNYPTGAPQMDSHVYSVDSSGNGNLISSTHSDFSVPAQVVVENTPVGSGRLLCNWYGFWKAFSLDHNRADLIWNERTRQELKEALQTEVHNLDVEKERTDDIVPGSSVTEDANGGDSLPRISWNYAEFSVRYPSLSKEVCVGQYYLRLLLESGSNYRAQDFPLRDPVAFYRALYHRFLCDADIGLTVDGAVPDELGSSDDWCDMGRLDGFGGGGGFSVRELCSRAMAIVYEQHYKIIGSFDGTAHITVLLDRTDDRALRHRLLLLLKALMNDLSNVEACVLVGGCVLAVDLLTVAHEASERTAIPLQSNLIAATAFMEPPKEWMYIDKDGTQVGPFEKDAIRRLWSKKSIDWTTKCWASGMSDWKRLRDIRELRWALSVRVPVLTPTQIGDAALSILHSMASAHSDLDDAGEIVTPTPRVKRILSSPRCLPHVAQAMLTGEPSIVEAAASLLKAIVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIAQLFSATHTHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPSAFAGAMVSDSDTPEIIWTHKMRAENLIRQVLQHLGDFPQKLAQHCHSLYDYAPMPPVTYPNLKDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLAMWREELTRRPMDLSEEEACKILEISLDDLVLGENGSSKQASELSSADLGNKIENIDEEKLKRQYRKLAIKYHPDKNPEGREKFVAVQKAYERLQASLQGLQGPQVWRLLLLLKAQCILYKRYGHVLEPFKYAGYPMLLNAVTVDKDDSNFLSSDRAPLLIAASELIWLTCASSSLNGEELIRDGGIPLLATLLSRCMCIVQPTTPANEPAARIVTNIMHTFSVLSQFESGRVEILKFGALVEDIVHCTELEFVPSAVDAALQTAANVSVSSELQNALLAAGFLWYVLPLLLQYDSTAEENETSETHGVGARVQIAKNQHAIIWNSSTRGELLKFVDEQRASPGPDGSYDLTESQSFIYKALSEELNVGNVYLRVYNNQPDFEISDQEEFCIALLKFVEELVQKWNSIQLKETSMDQHYSVVDTSTSENDKVSDSVRERKEDNSLEKGNTGTDGGSEVIITNLQSGLTSLQNLLTSNPGLAAVFASKERLMPLFECLALHVPPESNIPQICLSVLSLLTKHAPCLEAMVAERTSLILLFQILHCNPHCRDGALAVLYSLASTPELAWAGAKHGGVVYILELMLPLQEEIPMQQRAAAASLLGKLVGQPMHGPRVVITLARFLPDGLVSAIKDGPGEAVVSSLEQTTETPELVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYVSSVAATHYEANAVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAMAYEGRRETMASGQDTSGSQEEPGEHEKSDGHSETSVVQTPQERVRLSCLRVLHQLASSTTCAEAMAATSVGTPQVVPLLMKAIGWQGGSILALETLKRVVGAGNRARDALVAQGLKVGLVEVLLGILDWRAGGRQGLCNQMKWNESEASIGRVLAVEVLHAFATEGAHCAKVREVLNSSDVWSAYKDQKHDLFLPSNAQSSAAGVAGLIESSSSRLTYALTAPPPQPALVRLPSTAPSPPSAPANPTYAELSLRKMGEE >Et_8B_060217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7595640:7599774:-1 gene:Et_8B_060217 transcript:Et_8B_060217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRMGFDSGGARRGGGPQMLLFGGGGSANSNGFIRAFSRLGAGVPMAVLGRDDDARVGKRPFFTTHEELLEEEYYDEQAPEKKRRLTPEQVQMLERSFEEENKLEPERKTELARRLGMAPRQVAVWFQNRRARWKTKQLETDFDRLKAAYDALAADHQGLRADNDRLRAQVNSLTEKLQGKEISPSAIIAAQEVDQSDDHAAISGTGKLLAQQLKEALSRNDCTGLGAVSSEEEDGGVVSDEGCSFDFPDAMFAVGAAEEAQLGSLASWFGFQFFPVGDEDDGGGW >Et_7A_052494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8598686:8601959:1 gene:Et_7A_052494 transcript:Et_7A_052494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAKMRPGTETTTKYRRMGPEEAEEELDEEAWALRAKAQSIRRRKGERYVFTCALFASLNAILLGYGSVAMAEAGAAANGRNKYAALDRSDEPELDAGPAGPRKPSVSESERRRTERFVYTCAIFASLNAILLGYDVGVMSGAIIYIQKDLHITEFQEEILVGCLSVVSLLGSLSGGRTSDAIGRKWTMGLGAVVFQAGAAIMTFAPSFTVLMIGRLLAGVGIGFGAMVSAVYIAEISPASARGTLTSLPEICINLGILLGYVSNYAFSGLSEHINWRIMLGVGILPSVFIGFALFVIPESPRWLMMEKRVPEARAVLLQISESEAEVDERLAEIEEAAGLLKSMKSEEKAVWRELLNPSPAVRRMLYAGCGIQMFQQITGIDATVYYSPAIFKDAGIKSDQELLAATVAVGFTKTMFILVAIFLIDKVGRKPLLYVSTVGMTICLFLLGFALSLQKHAMGLMSPRVGIDLAIFAVCGNVAFFSIGMGPICWVLSSEIFPLRLRAQASALGQVGGRVSSGLVSMSFLSMARVISVGGMFFVFAVISTISVLFVYFCVPETKGKTLEQIEIMFESGKEWRGGEIELEDTQHLIQGDKKSGSLD >Et_7B_055275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:909785:913062:1 gene:Et_7B_055275 transcript:Et_7B_055275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRALPAVSRSPVPASVKPRLFHAATHHHHHHQQQEHFPSCPYVALLQRSAATADPRLAASLHGALLKPGLLASDIFLCNHLLIAYFKSRRPLHGLRLLDEMPHRNAVSWSAAVAGLTQGDRPREALALFRRMRLAGCPPNEFALVSALNASSFVGGAGRARQLYALAVQLGFDSNVFLLNAFLAAMVRHGQLVDAVQLFDGSSVRDIVSWNTLLAGFARHWCEYAWLLWRRMLKEAVRADGFSFSAMLSGLAASTSLANGLQVHAQLVKSGFSDDVYVGNSLVEMYMKNKVLVNGARAFTEIRRKDVVSWTEMAAGCLHCGEPAKAITILTDMMLDGVTPNNFTFATVANACAILSNLDEGRKVHGYVIKLGDVSDIGINNSLIDMYAKCGSVSCAYKVFQSMEQRPVITWTAMIMGFAQNGQAREALQVFDDMLLKGVAPNYVTLISVLYACGQGRFVDEGWIYFNAMEDKFGVKPGEDHYACMVDLLGKAGHIEEAEELISRMPFRPGVLVWQALLAACHLHGNEAAGKRAAEHALALEKEDPSTYMLLSNMLAGRHDWDGAGRARDLMGDTEILKLPGSSWFQSTPDRNQASNMMNLLPILEEDQGRETEDLFYNTEISIDPDAKLLSNALSSE >Et_9B_064909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19748850:19753161:-1 gene:Et_9B_064909 transcript:Et_9B_064909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSQEQEADGGKPGYSSSGPLPPSAPPHLEGQPPQQYQYGYGTFQGAQSGSGEFKNPPIGFPQPAPPPGFGGGGYHHQQQPYYAQGYQPVQGIAGFVELPDEDKGLMLTCKLETHMVHCVPGYDPIVEGRPVRRRRLPCCGIGLGWFITGFFLAAIPWYVGAFVLICVRVHDHREKPGYVACTIAFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRSPCIQIIKTATVHFKLCKRDNTKQFHNAKIKFPLVYRKVRPPTRKLKTTFKASRPNLFMVSLI >Et_2A_016632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26797386:26798586:-1 gene:Et_2A_016632 transcript:Et_2A_016632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAAALVALFALVAVLELGLVGANFLDTCDITWEPQNAVFDEGGDHLTLSLVSNSSGCMLRTKKQFVFGSVSTMIKLVKGNSAGTVTTYYTSSIGDKHDEIDFEFLGNETGQPYTFHTNVFADGVGQREMQFLPWFDPTADFHNYTIFWNPCMIVWFVDSIPIRVFRNHEKEGVPFPMHRPMYAFSSIWSAEDWATQGGRVKTDWSKAPFKAEYRGISLRTCECSSAACSDGCAAAGNWYAAPDLCKLSDRQLHEMRSVQLGYTIYNYCDHPEKYNGTVPPECGMAQY >Et_1B_013153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5979947:5981179:-1 gene:Et_1B_013153 transcript:Et_1B_013153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGNRYCLPYESLWDDPVPSPFPIPKCECNMTAVVTQSRHPLTAARAYFCCGNELERPPPGLKYTPFFRYPIALSSNKRGCDFQEFIHRPKSHYPDPDFLPDDVLKIAMLVSSPLLCQCGVPARQGVVPSELGYGHYCGNTVGEDDEWTFEGKKEFLIKSKKRGREYYKKALATRRSNIRHKYLTVSPSFIYNTICSELKVNRECLFWEGPEADVVVQYWRRNRDKYPPKNVMETNSKEKNDDNE >Et_3A_025117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27044063:27050173:1 gene:Et_3A_025117 transcript:Et_3A_025117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCSKAAKMTEKASGDYEDCLSSLHEDILNRILSFLPSRELVQRTCLLARKWRNRWKSVPTLRVSEDDIFENAHEMNKFVNYLIFHRGSNPLVECEIYIGHIHDTFGYVDLWGRYAMSCEVQVLRIIIDLPDGLCPLPTKLLVSNNHLTTLELWRMLLVEPLLDFSTCSALQELNIIHSSLDVRHIISPSVKRLRIQECIFLGNARARISAPNLIGFKLVSYSGCTPFLDNMPQLVSASICHEDYVRDCCRSKFEIGGCADVSCQGCAHNRGESNKSVSLEGLSHALHLQLLTFKVEMVCLHLSLLLILVTMSVNYLGFMMIDVADPPYINRVACSFPCLLLAFSEMGMFPLCFFIFRRDLTLCPVFSKLKTLIINDWCMVANVHALIVFLKHSPVLEKLTLLISEGAKYEGAQQPFDFKQLTVEVECSKISERIKRNLKAAKLTEKASGDDEDCLSALHEDILNRILSFLPSRELVQRTCLLARKWRNRWKSVPALRVSEEDTFENAHEMEKFVNYLVFLRGSDPLVECEIYIRHIDDTFGYVNLWVRYVMSCEVQAVLLFLTTCHSWCQHPFGTMTLTAIVAKIGGCADISCQGCARIRGDPGEGNKSVLLEGLSRASHLRLLTSTVAMFIFRRDLTLCPVFSKLKTLVINDWCMVANVHALIFFLQHSPVLEKLTLRISEDDEGEVAKGAKYEGSKQPFDFKYLTVEVECFKISERIKKNLTVLTTCGVPPGQIKIHQSSLGSLCSFASEY >Et_9B_065074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2144993:2151849:-1 gene:Et_9B_065074 transcript:Et_9B_065074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAANCIDILIAIILPPLGVFLKFGCGHEFWICLLLTVLGYIPGIIYAIYAITNTSSGSACCSPSSATFPGSSTPSTPSPSRAAASQLFK >Et_8A_057431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:275071:277691:1 gene:Et_8A_057431 transcript:Et_8A_057431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHMRSVSLPSSPRSNDTSIEEELQSLKAAISSPSATIEIMVDGLTKLGSIFSRIDELISLPSSQCQQRKAVEEELECSLVLLDLCNAIQESFAELKENVMETQLALKRGDHAAAQAKVQSCARLAKKAQKQFKKINNKAASGIEGCKVVKLLAEAREIVVSILGSTLDLLLKKVTMPSSSKWSLVSKVFQKKRVVCEEEQLQALELDVTDLESGVETLFRRMIQSRRKAKHYNFHYSHGIAPQRLESHAAVSKSLKESTTALESLHSCAKAKSSSRAGARGLTCLARPLQWCHARELWTA >Et_5A_041736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26503503:26504897:-1 gene:Et_5A_041736 transcript:Et_5A_041736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRGPNLVFALGPSKILSRPCHGRVLLHSSPWTYKPCSDLAVWDPITDYQRQLPSLPSFAAGAGMPRFSVPPHSCRRLRPPILLHTPPDEPERLLRAALVCKGWSRLISDPGFRRRFCERHRSKAPTVLGVLRNSAKKRDIMTSHFIPTCSFRPRNDAFRGWRSIDCAATTADRGKSTSKCWYHTLVVWDPITGNHRNLPPVPCTDGTWRAAVLCATKCDRLDCHRGPFHVVVAGTYRDGMTSVYAYSSESDAWSEPTFEEHQICLSEESRAAHAGNTLYFMYNYGQHDHNSGILAYDPVTRKMTTIDPPPMSSCCTALMTAEGGGLGSAVVTGSLLYLWSRETAAGSHRDMRWVTKRVIELKLLIPATLDVVSSADGNGVVYLGTSRGSFFVDLKTGWFTKLRNVNGSEDIVPYMTFYTPALGVAFTSERMQEISL >Et_2B_018879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12413088:12413738:1 gene:Et_2B_018879 transcript:Et_2B_018879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QLPPLQPLTFRTPIPSTCTPCIPNAGPFFQISGSEVALRCDIPIAQRLFSTTNTIGSFQSCAMFRHSKNWPLLQVPSPKNANVTSSSWRYLAAKAAPVTTGIPSPMKAKPPRRLWGAENMCMEPPCPRQQPVALLKSSAITERAGTPFDSAWT >Et_2A_015206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6375444:6375818:-1 gene:Et_2A_015206 transcript:Et_2A_015206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATAALRGHTKAVLCLAAAGDVVCCGSADTTVRVWRRGAAAHDGLRLPGRAARPCWSSQELGECCSCLQRVVRLPCKDLEGECFLFVKTTILQAATTVSVTVSFLLRKELKI >Et_5B_044901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7133577:7133883:1 gene:Et_5B_044901 transcript:Et_5B_044901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDAYYSLLGLRAILLSNFLSVPKPNLVPWRSLYAYGFKLTGDPAQGDDGLMYYTFTTYNTSLPVHAPPQRHRGVLYAPRRLRSVGDYLDSTFQHTCAD >Et_10B_003624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:422870:427397:1 gene:Et_10B_003624 transcript:Et_10B_003624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAARRLLIAGARRRCFSTDAAASPSSQLPRGKRWDAVVIGGGHNGLVAAAYLARAGRSVAVLERRGVLGGAAVSESDLVPGFRFSRCSYLLSLLRPTILRELELERHGLKLLPRSPSSFTPCLDGRYLLLGPDAEMNFSEISKFSKKDAMAYPRYEQQLEKFCKLMDFVIDSAPPELRQEYHTSMVDRMKDRVDKSAFWGSLLRHVMQQGQKNMVEFFDLLLSPASKILNNWFESDVLKATLATDAVIGTMAGVQTPGSGYVLLHHVMGETGGQRGVWAYVQGGMGSVSSSISKAALEAGAHIVTNTEVSHVMVNETSGRVEGVALADGTEVHSPVVLSNATPYKTFVDLVPADVLPDNFLSAIKTADYSSATTKINVAVDRLPQFQCCKGTNPEGGPEHMGTIHIGSESMEEIDVAFREAASGISSKRPVIEMTIPSVLDKTISPPGQHVINLFVQYTPFKLSEGSWQDSNVRKSLAERCFSLIDEYAPGFSSSVVGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPAKGWSDYRTPVKGLYLCGSGAHPGGGVMGAPGRNAASVVLEDLRAK >Et_4A_034321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31718252:31719876:-1 gene:Et_4A_034321 transcript:Et_4A_034321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVPLLPSQLLAGLPQQWQLGLLALLPVMLLSFVVLTWTRGSSTTAKNGGVRLPPGPAQVPVLGNLHQLGTLPHRSLRELSRRHGPVMLLRLGTVPAVVVSSAEAAREVMKAHDVDCCSRPVSPGSKRLSYDLKDVAFAPYDEYWREMRKLFIVELLSMRRVKAAWYAREEQVISSSPSFPLMITSPAASILDPTHNQPASAASKVYNGGIDTSSVTMLWAMSELIRNPRALKKAQDEVRAVVGNNNNKEERVQPDDVPKLTYLKMVVKETLRLHPPATLLLPRETVRDVKICGYDVPAKTRVFVNAWAIGRDPASWTDDAEEFNPDRFEGSDVDYNGAHFEFVPFGAGRRICPGLAMGETNVEFTLANLLYCFDWALPEGVKPEDVSMEEAGGLTFHRKTPLVLVPTIPCACSSPCADAAAAMAEERGDSPAVQLQLTLRSILTTPPALQP >Et_8B_060713.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5367917:5368297:-1 gene:Et_8B_060713 transcript:Et_8B_060713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGLSSKLRCMIRRWHSSSRISRDEENIAASHGDGARAASFHGADEVPKGLCPVYVGKSRRRYLVAEDVVRHPLFQTLVDRTGGEPGGTVVGCEVVLFEHMLWMLENADPQPESLDELVEYYAC >Et_9A_062524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23779027:23785686:1 gene:Et_9A_062524 transcript:Et_9A_062524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAGAGPPVRPRWGSGATTPRSLSTGSSPRGSDRSSDDGEELVEVTLDLQEDDTIVLRSVEPAAAAAAAAAGHPASRSRSPAMRRTSSHRLLQFSQELKAEAMARAKQFSQDLTKRFTRTHSRAHLVGDHPAASSSSAAAGPSSGIESALAARDERRKRAQLDRTKSGAQRAIRGLRFISGSSKPSNAWIEVQANFDRLARGGYLSRDDFPKCIGMTESQEFAMELFDTLSRRRRMQVDKINKDELREIWQQITDNSFDSRLQIFFDMVDKNADGHITEAEVKEIIMLSASANKLARLKEQAEEYAALIMEELDPEGLGYIELWQLETLLLQKDTYVNYSQALSYTSQALSQNLAGLRKRSPIRKISSTLSYYLEDNWKRLWVLALWIGIMAGLFIWKFIQYRNRYVFHVMGYCVTTAKGAAETLKLNMALILLPVCRNTITWLRNTKAARALPFDDNINFHKTIAAAIVVGVILHAGNHLICDFPRLIDSPEDKYAPLGIYFGQHKPTYLELVKGVEGITGVIMVVCMLIAFTLATRWFRRSLVKLPRPFDKLTGFNAFWYSHHLFIIVYIALIIHGERLYLIHKWYKKTTWMYLSVPVGLYVGERTLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPAFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFSAACEPPVAGKSGLLRADENTKKALPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKMEEEEEASSDLYPPISHNKAHVDLDTLMRITSKPKRVLKTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNFKRVLSKVADKHPYAKIGVFYCGAPVLAQELSKLCHDFNGKRTTKFEFHKEHF >Et_1B_013772.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:1671782:1673101:1 gene:Et_1B_013772 transcript:Et_1B_013772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSWLLPPPQPPASPSSLDNVQTKISPSIVFVVAILAIVFFVCGLLHLLVRHLLRLHRRRRAREDAESVTAFQGQLQQLFHLHDAGVDQAFIDALPVFIYRNVVGSAGAGEGKDPFDCAVCLCEFSADDQLRLLPKCSHAFHLECIDTWLLSHSTCPLCRRSLLAELEPTCSPVVMVLESESSRDMAASAARAGDDDAGGSEPSGAADDAAAGQDGAEEVVEVKLGKFMCVEGNNNANVANATDEAGTSNGDANSKAGPGQRRCHSMGSYEYVMDERASLRVAIKPPKKKPAAASKSRARGAVSECEFGASRRVGGSLRLSLPRTAEKHHQQQLLPDTATAKLAKDSFSVSKIWMVPSKKDADAAGERRAVSFRWPASKGMEEGDEKKKSGSEADLDVEAGSCGNSVSSLAEERPSFARRTLLWVVGGRHNRVGSCS >Et_8A_056410.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:9619347:9619532:1 gene:Et_8A_056410 transcript:Et_8A_056410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFNIPGHKTYKRDRESANKILNAQYFVERPIYNPDHFRRRCVLDLKHNFYCIVNQISKK >Et_3B_028098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10116581:10120088:-1 gene:Et_3B_028098 transcript:Et_3B_028098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPCPLPDQTPDPDASPPPAPMTPRAPPPRHHPPHLLLAEAVASWYPFHKKPCNSDRSTAPLSSAHFPDAETPTPAPSGGGSGGSFRWLGLRKRRRRGAGSRSVSGRSSDRRRSGTCSDFHFTCGAGGGGATDSSGEMWASDVGEVRMRDVPMATEFGPAPVGGAGAGAGVIGAVAEAAAAESGYGSEPGYRGDVELGYGDEIDEEEEDGKQQLFWGGVIGDMSKMGINDNKFGEQKSHHRCRRRKHDVRMLDPLRLQHKNVQGLASLFAYSKSCNRIQKFMTKVQ >Et_1B_013386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:829791:832987:1 gene:Et_1B_013386 transcript:Et_1B_013386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPEVSRKMPSFLALVVAALLVSSCYASRQSPAGANGIVIQDDPKCEVMLPSGPNNCVPYCQSIGLKGNAWCTFMPDMQIYCCCRHFLFPPVPRQGTVLQPKGVVINDDSKCEVMAPCNRVNCCNYCLSIGLRSNAFCTFKPDFQFYCCCIILPQASPILSPAVTGSKIHTDVLRCSV >Et_2A_017563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3645624:3648244:-1 gene:Et_2A_017563 transcript:Et_2A_017563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNGREMAGGDGGGGPQADDFFDQMLSTLPSAWADLGAGGKSPWELSAGAAADDHAAQAPFGDDSSALLTARLRQHQIGCDNKSSSPVMLHLSDLHRHGLAGGGGGEEGGGFSPLPLFTDRSAPAREEMEGGFKSPNATGGDHAVFNGFGMHGAAAVQQQFGQGGSLSPQSLGAPAASGGAPTGTTSSAGGGGAAPPRQQRVRARRGQATDPHSIAERLRRERIAERMKSLQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAGMAPLVASMSSERRHSRVQGNSNGSSSGAGAKGGSAATKGNGTGENGGAGGGGLRVTEHQVAKMMEEDMGTAMQYLQGKGLCLMPISLASAISSATSSASLLSRPSVRHPAAVSGGQLHDGNSGAAAATSPASANGAGGDDARPVSDGGAGGAKQ >Et_9A_063588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8514158:8550690:1 gene:Et_9A_063588 transcript:Et_9A_063588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKEESSVEEVHHQQAAEEAISTTEKQERKRKPQEPSAGDRKKRWKRPIHEMLQLAQEKMDKCEDAQEREERMAVVRHLRRQEEKIDEQDDDAWAAAHPPKRVPESKVAYYRSVLEEEEEKPPLFDESSAAFFPGGLDAIRKFNDMFRESVKDFKELAATMVDEYEANGYIEHLDADDFELTPELNKSIKTEEEKNQIRSGVEFLQERAREIDNQGSRRGMDMITRPCTEHYSPITK >Et_5B_044277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22197677:22200344:1 gene:Et_5B_044277 transcript:Et_5B_044277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAGAVLAVVAAVAFWAAASAPGAAALGMNWGTQASHPLPPKVVVQLLRDNGIKKVKLFDTDFAAMSALAGSGIEVMAAIPNNMLADLAGSERAAKDWVKRNVQRYDFDGGVTIKYVAVGNEPFLESYNGTFINITFPALQNIQNALNDAGVGDRIKATVPLNADVYNSPPNNPNSAPFTVNIYPFLSLYLNDNFPLDYAFFDGGATPVNDGGVLYTNVFDANFDTLVAALKAAGHGDMPVIVGEVGWPTDGDKHAKASYAERFYAGLLKRLAANTGTPARPNQYVEVYLFGLLDEDQKSIAPGNFERHWGILRYDGQPKYAMDLAGQGRNAMLVPAKGVKYLSKTWCALNPNAKDLSKLGANIDYACTYADCTTLGYGSTCNGMDTAGNATYAFNAYYQVRSQEDSACDFQGLALPTQTDPSTATCNFTIQIVTGAAPALSGAAVAGVLLALLQLLALW >Et_1B_010233.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31255858:31256073:-1 gene:Et_1B_010233 transcript:Et_1B_010233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNATLVNKTLVDEKTIILQFCTSNSCGHHDCYCCQNIDDCYNTRKDCRDHCPACNSECPPQPPPPRVFI >Et_4B_039133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8087674:8094916:1 gene:Et_4B_039133 transcript:Et_4B_039133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTPNPSRRSWVGPAPMPFLTPRPERSERRQRELRWADGGSQSSVRRSGVGAGGGGGGGGDRDREVNVQVVLRCRPLSKEEQRSNVQSAISCNDTKREVTVLHSLFKQADKTFTFDKVFGPKSQQRSIYEHAVAPMVHDVLEGYNCTVFAFGQTGTGKTYTMEGEMRQKVGELSDTAGVIPRAVRHIVDVLETRKADYSMKVSFLELYNEEISDLLALEDQSRFSEDRQKRPISLMEDGKGGAIIRGLEEIVVYSPSDIYSLLECGSARRRTADTALNKQSSRSHAVFSINIHVKETTVGNEELMKCGRLNLVDLAGSENIARSGAREGRAREAGEMNKSLLTLGRVITALVEHSVHVPYRDSKLTRLLRESLGGKAKTCIIATVSPSVHCLEETLVTLDYASRAKSIRNKPEANQKTCKSVMLKDIYQEMERMKQDVKAAREKNGIYIPNERFALEEAEKKTMREKIEHLELTLQKQDKEVEKYKGLYVAEQEYRLDLESQNKELKVNLENWKGKFLDLQEAHSRANASLKEKDFIISNLLSAEHLILERAKEMRSTLENASGDITMLLSKLERQSKTEAANEGLLSDFRSGLHHSLGVLQSTVIGSVCEQRKILESMNEQIKSYFSANTQSANQLERRISKAKDMYASGVQCMRELASTLRQRSIMDSEQMLLNISAHAVAVDNFLAIMVSEAEQVLNDVLKSTSELKELLSFSAEQQTAGLQRSLTSAQAMSKTSIDFFRDIRIHVSRLIKLMEQNQIERSSQLAEFEEEFKETCTQDEQAALNKIAGILSGLTARKTTMLSEHIGQLKGKYSEEQKHLKLELSNLQQVSDNGKEEAVAYAGMVESQFQEDNSLQAKLRDQMEDILLKCLKQGDHSVSYWSHTQSSLHHLCKSSIMEADDFIRERRKDNHSIFHEKLLLSSQNDAGFHSITSDMLTASENSLSLDHETRKIIETVSATFTENLSLLNEKHSEDTESLRNVASNCLEKNYKANSPVRHHPRELLTDANSLESIEKLRASVSDLVAKFRSENKLDEADKGKQYSNQKTRTPRGPFMPERAVLEEQLYWARLERQKVVALSAEADETIWDLATLARRTMQERDEARNQARMILADVQARANVPMTMLHGRAHPGAARPAGVFAGAGANSQALAPTPFRPLRDMAMQGQHDHTGTGYCVASSSNSGHRNRVSSMDAYAVPPSLHGIASSTQEHVPGGRPRNSARFRSGNRGLLRSRKKFWRF >Et_1A_008368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:716473:719769:-1 gene:Et_1A_008368 transcript:Et_1A_008368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKNLGRSGLRVSQLSYGAWVTFGNQLDVKEAKALLQACRDAGVNFFDNAEVYANGRAEEIMGQAIRDLGWRRSDIVLSTKLFWGGQGPNDKGLSRKHIVEGLKGSLKRLDTDYVDIVYCHRPDASTPIEETVRAMNWVIDQGWAFYWGTSEWSAQQITEAWGVANRLDLVGPIVEQPEYNLFSRHKVESEFMPLYSTYGIGLTTWSPLASGVLSGKYSKGNIPADSRFALDNYKNLANRSLVDETLRKVNGLKPLASELGVSLAQLAIAWCASNPNVSSVITGATKESQIVENMKALEVIPLLTPEVLDKIEAVVQSKPKRTESYR >Et_2A_016890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29117415:29124377:1 gene:Et_2A_016890 transcript:Et_2A_016890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAADGLPVEPQSLKKLSQRLAGPLRARPLPTLRTRRRERIRTGSKVRSEYGAVKDLLPEQGRGGQGKATAAPSTSTALALPGTQDTKDAHREGTSNAIVPAPLMLPKAPESTIPGKNTTLSIPGSSDRFSTSALMERIPSRWPRPVWHAPWKNYRVISGHLGWVRSIAFDPGNEWFCTGSADRTIKIWDLASGTLKLTLTGHIEQIRGLAVSQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDVLLTGGRDSVCRVWDIRTKAHVSALTGHDNTVCSVFARPTDPQVVTGSHDTTIKFWDLVAGRTMCTLTHHKKSVRAMALHPKEKSFASASADNIKKFSLPKGEFLHNMLSQQKTIINAMAVNEDGVMATGGDNGSLWFWDWKSGHNFQQDQTIVQPGSLESEACIYALSYDVSGSRLVTCEADKTIKMWKEDLTATPETHPINFKPPKDIRRY >Et_3A_025426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29866873:29872005:1 gene:Et_3A_025426 transcript:Et_3A_025426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASPFLLPCLFPKPSLLAATLHPCLPRGRNLRCSPNGAAVPESPKPASRSGRKKSPSPSAPKEKTTRRRTKKSDKEADSEGEEKPAKRTSRRTRKTKQEVTEEAAVAASRETEETNLGGEEEDVVDAGSNYDDGEDFADEWPPLVCCFGAPRWEFVPTVRVSERQMHPDQYSTWLHLQWEPPEFARAPGTAASNVAVSHTRLGGRAAVLGKVGDDDFGRELVYRLNRERVQTRAIKFDEGAATAIARMKVSFRDREDGKGGTKLVAETVKSPAEDSLHKTEINLDVLKEARMFHFNSEALLTPSMHDTLFRAIELSKKFGSKVFFDLNLPLPLWNSRDETKKVIERAWKAADIIEVSRDELEFLLDHEYYEYKRNTPPQYYLEGFHFTRNWPQYYHYTPEEIAAIWHDGIKMLLVTYGTLRIHYYTPKFHGCVVGTEDALITPYTTDRTGSGDAVVAAAMRKLISCPEMFEDQDTLERQLRFAVAAGIIAQWTIGAVRGFPTESAAQNLKEQVYVPSMWSMVALVRQQQGR >Et_8A_058387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6365603:6366390:1 gene:Et_8A_058387 transcript:Et_8A_058387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPKRRKRAASLPHEILNEILLLLPARSVLRFRAACRQWAAHLSSAPLLSQRGTATDPLFTVDGLRADFLSLSSRPSRGLMLFRDARAAGGDYWVCNPRTGECRALPRQRPRGVIDISSVGLVADDRMRECKVVHLFVENYMDLVCEVATLGDSASCCRWRPPAAADLASLGVRERNMMVSALETEDAVTKVPPVSADGRLHWLIYPRHNGWSPSDAILRFSATDESFDLVAAPPVSMGEVGTWWEEHSPAVPFT >Et_4B_037641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22018627:22024139:1 gene:Et_4B_037641 transcript:Et_4B_037641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMCFCGTTSTSPDEPELKDGAPPQGKRPATPPSSQGNSHQEPKPRPRAKPKPNPYDWAPPPATSRVLDGVVPHHPRLRVTDKYHLGPELGRGEFGVTRLATDRATRERLACKSIPKRRLRTAVDVADVRREVAIMASLPDHPALVRLRAAYEDADAVHLVMELCDGGELFDRIVARGRYTERAAASAARTVAEVVRACHAHGVMHRDLKPENFLYAGPSEDAQLKAIDFGLSVFFRPGERFTEIVGSPYYMAPEVLRRSYGPEVDIWSAGVILYILLCGVPPFWAETEQGVARAILRGRLDMEREPWPRISDGAKSLVRQMLQMDPKKRPTAQQVLEHPWLQNARKAPNVPLGDVVRARLQQFSAMNKFKKKAMRVIAEHLSVEEVEVIRDMFAIMDVDKDGRVTLQELKAGLKKVGSKLAEPEMELLMEAADVNGNGYLDYGEFVAITIHLQRLSNDEHLRTAFLFFDKDSSGYIERAELADALADESGDTDDAALNNVLREVDTDKDGRISFDEFVAMMKAGTDWRKASRQYSRERFKTLSNSLMKDGSLAMAPSNELPCWSKRDRVYGQRELQEDGPRGRRRPFYTAAARHHQQQQPAEQQPQVPFHRATDGGLRIAEVYRLGRELGRGASGAITRLAVRRKPSAVGEPNELACKTIRKSKIPEQHLWLEPFIMASVPRHPSLARLREVYEDDDALHLVMDLYDGGSLADKISIWKHRTEEEVAMEAVAVATAVRALHGAGVMHRDLKPHNMLYSREDGQLKVIDFDLAVKFRPGDVFTETVGSPAYIAPEVCEGKYGPAADVWSAGVIIYEMLYGRRPFRAGNNQLTRAPAPISQ >Et_2A_018363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24527889:24529104:1 gene:Et_2A_018363 transcript:Et_2A_018363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTNGGQHSCRRNWQLSVDGFALSRSIVQTACYPLAAYLSARHDRLTVIALGAFLWAAATFLIAFSTTFPQMTAAFNGVGLALQIPAIHAFVAESVDGASRGMAFGCLAVAAKAGTVAGTSVGLLMTPTSFLGLPGWRLAFLLLGGQRCRSRRCGWSSSGSPTARRRRS >Et_1A_007521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35535170:35540194:1 gene:Et_1A_007521 transcript:Et_1A_007521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRGLTAVSRLRSRLTQEATTLGGVRWLQMQSASDLDLRSQLQEMIPEQQDRLKKLKSEHGKVQLGNINVDMVLGGMRGMIGMLWETSLLDPEEGIRFRGLSIPECQKVLPTAVQDGEPLPEGLLWLLLTGKVPTKEQVDALSKDLLSRSKVPDHVYVAIDALPPSAHPMTQFTTGVMALQVDSEFQKAYEKGMPKSKFWEPTYEDCLNMIARLPPVASYVYRRVFKDSKRIAADTTLDYAANFSHMLGFDDPKMLELMRLYVTIHADHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVIEETGSDVTTDQLKEYVWKTLKSGKVVPGYGHGVLRQTDPRYTCQREFALKHLPEDPLFKLVSKLYEVVPSILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSIGIGSQLIWDRALGLPLERPKSLTMEWLENYCKNKAP >Et_4A_033610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2584005:2584519:-1 gene:Et_4A_033610 transcript:Et_4A_033610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPALPAAAEMPWQVDRSRAGKISAGTMNVVALGPKLAKKKVNPYMTAKPTWLPGVVQWWYGTARPSMNTVIIAKPRSWMAKRPTTSMRKTVNQYPGTVPKSAMSVCARDGTPPPWRSWCAPWGSNGCWNHVVAVPRRWRPWRFRKRDEKRP >Et_2B_021529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30376581:30380665:1 gene:Et_2B_021529 transcript:Et_2B_021529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRWALARRVAALTATRGGAGCAVQAQRHLSSSSGAGAAFLPGRHHLPHAFQIRSKVLGCRGPAFLTSSSRWLHDAQYQDGASRPEERQDPFELVADELSLLANRLRSMVAAEVPKLASAAEYFFKVGAEGKRFRPTVLLLMASALKFPVPEPTEGGVLSMLSNKLRTRQQNIAEITEMIHVASLLHDDVLDDADTRRGVSSLNLIMGNKLSVLAGDFLLSRACVALAALGNTEVVSLMATAVEHLVTGETMQIATSREQRRSMEYYLQKTYYKTASLISNSCKAVAILAGHTADVSMLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPMLYAMEEFPQLHDVVDRGFENPANVDLALDYLKKSRGIERTKELAQEHANRAIKAIEALPDSDDEDVLTSRRALIDITERVITRTK >Et_5B_045137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9967995:9973599:-1 gene:Et_5B_045137 transcript:Et_5B_045137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPAVAAVPALGRGCWEDGAISLGLVAVQLGGAAYMVVVTPVLALGLDPLFLVAVGSLCTGVLTLPFAVKLERKKWPSELSNRLLLQVTGFQALMLHGMKMTSPAIASAMPNLAPGFIFIVAGCLGFERVDLRCRYTRAKILGTVLCLGGAVAMSVLQSPATPRGHALHWTLDRATTAAVAKTHRDWAAGCLFLLGAVLVLSGTIVLQAATMIHFPAPFTLCSVTSLIGAVLTAAFQVVTAGRFSPGTPQISLEIVLSLVLVGGLVSSACIMFQTWAIEKKGPVMVSMFSPTQTVGSAIFSALFLGRVMQPGSILGMVFLFSGLYVVLSAKKKEGQVLAADRMATDLPGLVEHDMEKPLLFH >Et_1A_005658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12481257:12483541:-1 gene:Et_1A_005658 transcript:Et_1A_005658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAGRSLSSALAWRPAAAAATRGPLAGGLPRDDDDDSRDRRPRFAIDSPFFTAARGFSAETLVPRNQDVGLAELPATVAAVKNPSAKIVYDEYNHERYPPGDPSKRAFAYFVLSGGRFIYASLLRLLILKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDVASLRHPEQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG >Et_4A_035642.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3250131:3250733:-1 gene:Et_4A_035642 transcript:Et_4A_035642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAAATAPPWLILGRVARVLEVSDEAPGNPPVSIALASPPRASTLTVSKTFLPVPEDGDDNIDRRAYVVAVAADAAYILLHSSHWPFVGMDVDCDPPGILLLARDLRLIDSNPPSFVTTASVRVPGRSGALQPNPIRNLGLVSLPGSRGAKYMIAELRVADGNEDRATLLSYRSGSEAWVERAPRCPPPPPPPPCPG >Et_5B_045048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8927535:8930316:1 gene:Et_5B_045048 transcript:Et_5B_045048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATASISSHTAVLRDVKAARIGSVRPQVSAAPSAAAARGQRARAVRPLRAAEPGRQPVSASAASAAPVAPVAEEVPAAAIPAPVDYEALAQELESASPLEVMDRALAMFGSDIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYQFFDKVEKHYGINIEYMFPDSGEVQTLVRSKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRASIPIVQVDPSFEGLDGGAGSLIKWNPVANVDGKDIWTFLRTMDVPVNPLHAQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNIEKENQAAPKANGNGNGAGAADIFQSQAVVSLTRTGIENLLRLENRSEPWLVVLYAPWCPFCQAMEDSYVELAEKLADSGVKVAKFRADGEQKPFAQAELQLQSFPTVLLFPSRTARPIKYPSEKRDFDSLLAFVNSLR >Et_1A_006854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28306341:28306807:1 gene:Et_1A_006854 transcript:Et_1A_006854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKAKGESSNQACTAREWERVWTGKAVPTKKISSLGFRGGGHNIDNILGIYGKLEMMPKMVKEHHIPLLFVK >Et_1A_009378.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4363141:4363728:-1 gene:Et_1A_009378 transcript:Et_1A_009378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVARQGRELQRYSKNTGGRIVVGCIPYRVRGDDGELEVLVITSQKGHGMMFPKGGWEEDESMDEAARREALEEAGVRGDTEPVLGFWHYQSRRYVDQTYEGFMYPLRVADELHQWPEMASRKRTWVSSPFLSSTACRFIGNYYCKDMSSNCELANLIEQATVQQVMDGCSHWWMREALEKLVARHAMLQSAL >Et_8A_057656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5782064:5787536:1 gene:Et_8A_057656 transcript:Et_8A_057656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLRSRAKAGAPSPAAAPPSPPPAAGVPSGTPAPSPTTSLGLGLGAASPDDVAGSLKRGRGRGRGRGKPRVSASPAASPIAGDSGSDGGGSGDKVLAGGGSGGVGAFMSLRSGSRVAKRQVETGAQRDAAISVKRCKRVLVGGVETDYVADSESDSDEDCVMQGEVCTRLQLSIGPSKEEIGDGVSMKNDFLNGKVGQMYNFLGTSVGVAIEQAASPVGSPSRPEMDMQADMYFKEELRRYDSRNRGKGKGKLVLEDNDSGAGASDWPGPFSTAAKIYEDRDARLRARESSSSKLKQSANKAILWTPSNDRKSPLRSAPSLTDLCLNTLADHAEAIESLGGIPEELKHRLLKMLCRSRKMNTHLLNELWCDSPTELQLSECSWLSEDDFEKTFGKCRTENLQVLQLDISGRCLPDYILRTTLAKVPNCMPLKLTSSSIKTIGQNCPHLSSLDLRNLNRLRDSAMRHLRNGCRQIKKLKLQRNSFSDEALSQYLEESGGCLAELMLNNVEKAGDLCALAIASKCSVRLEILDLSFCREMTNEALGLVVDSCSSLRLLKLFGCTQISDIFLKGHSNRSVKIVGLEGNILEQMDSH >Et_1A_008213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5251409:5253502:-1 gene:Et_1A_008213 transcript:Et_1A_008213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVHRARAASLLLLYVAAACCHVLPITRAAAATLPDPALVFPSAATPAQPTATGGTIPAFPEQSDTVTSATCPLAPSPSLLPAVRSSCNDATAPRLRCCPALAAWLLAAYAPTGLAARPARSAAAVVDMPVPPDDSEACAGAADRALPLPARAPGGGGNGTCDVAFCYCGVKLRRMACGPPLVRGGTWAPADEVAKRLESDCARPGVPGCSKCLRALTTIKPNPGGGAAAPAGKKQAGRPSENDRDCQLMGIMWLLQRNATRYGAAATAVIQALMAVDEASAAGVAALADAGPSAACSLPVDDMPLPAEYAQLNAAGGPTGVCCVHLILLLAVLSFRVVYSL >Et_10A_000669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15028580:15029805:-1 gene:Et_10A_000669 transcript:Et_10A_000669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAARSRSTRRKRTTVAGVVALPPDLLYEVFLRLPPGAACRFRVVCRSWRAQLSCRRFAAAHSALHAPVMARRLLDLAGDIVRRTRTPEAITELFTCGGLACAVGEDNRAIVVDPVTGAVTRQPHGLSERNSQFDGRFGVFTYAFGRAASTTGKYKLLRILHVRAHHRYGQLVEALTLDDATGASTRWRAVQSPPFAVSGAGFCGNGIAVVNSIVYFAALPIEHHMFDIDHGNNVGPPGSIAPFNLETETWMPVLRGPLHGHQQYQDDPMYQQEEPLLLPLLSLTELKGFLVAVQRKRLPHTATELWFLTDSIKETWVKKYSIQIELCPRRREFYAHPLFVLDDSKIVLRLQPEGGLLLYDLQKGTYRGFSLRRYEAVVLYKDCLLSLGGADSE >Et_4A_033348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21809651:21812658:1 gene:Et_4A_033348 transcript:Et_4A_033348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPAVRTFSSSVSAKPLRLPSGLHTRRARALGAPLPPRAVASSRAPLVVSSPPPPPAASAPAAPAHAKVDRSGRFCSPRAARELALIISYAACLEGTDVVRLFDRRIRERREPGFIFDKACLLSYNHMSFGGGPLEVGTEEEAEKLTSQNEEDSANEAEVLSAPPKLVYNNFVLRLSRDLLVAVASGWDKHVDIINKIIPQNWKDEPVERILELCILHIAMAEMTSKGTPHKVVINEAVDLAKRFCDGGAPRIINGCLRTFLKDHVNVAGSSQPAES >Et_7B_055300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9126004:9127361:1 gene:Et_7B_055300 transcript:Et_7B_055300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEGTGGKNPDPEEGKRGEKKQEGRLAVSSHMLRKQAVALPSSQFPKPFSVSHRSSPSSGLCAMELPAAGLHATSGDSSGKRRRSVKRSLEYPCVSRFRHRRLLAYLRRHRLDDSFESLALETNVFYCVEHLQDLAGRGKWVDAIKYIARFAPSTDDLGDEGLVFYNFVVMHRVLDSIVAGEEYGAFIAGEYERYLEENPGAPPGNVKLVRILLSVLNSDKLRASINWYLVRQKAADMIEELIDQAPEFNDLLRMPSCPTRPHNVLPIGSSSRRPRRHIKEVDRVPASNLARFYLETKRCNMAYIADRS >Et_3A_027054.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3153534:3154496:1 gene:Et_3A_027054 transcript:Et_3A_027054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRGIGSFCHGDASTSTLQRQLHGKDLRLGGSSAADAASSSFLTVPPSVVGSSCVAESEAMSGVAGGVCGPSPPDHQPAVTLEQMILQLDLEEEAARKAKRRAAMAEEQDGGWCPPRRMSCVDGDHVLRSARDALSQYPRFSLDGRDAMYRASFSGGGYYDGMGGHHRPARASAACCAGGAAWCGALACAAGYEMDLERTLRMPATVAGESVVWCKPGVVAKLMGLDAVPVTVRGGLRRRKASGGPPPAATCVAGGGVRKQRQRRTGQEELAFQKERLFMALHGYDVVGAGACHTGARRSDASGIGRHGDGWQFGLRR >Et_3A_023649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10352783:10357780:-1 gene:Et_3A_023649 transcript:Et_3A_023649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDATATAAAAESTSGPGAGAAVRPPLVLSDASGLPAEELDDMYAPYARRDAYGVMGRGPLPAAEAARLALVAAVLVPLRFVAGMLVLLAYYLVCRACTLGVAGAEQGGRFRLAGWRRDAVLRSGRGLSRAMLFVFGFYWIRETHRGLPNAEDVHQDQSKELERPGAVVSNHVSYVDILYHMSASFPSFVAKESVSRLPLVGLISKCLGCIFVQRESKASDSQGVSGAVTERVQEVCLDKNSPMMLLFPEGTTTNGDYLLPFKTGAFRAGAPVQPVILRYPYRRFSPAWDSMDGARHVFLLLCQFVNYLEVVRLPVYYPSKQEKDDPKLYANNVRKLIAVEGNLILSNLGLADKRVYHAALNSNSLPDLHLSDAVWMVQIDT >Et_1B_014398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7711287:7714035:-1 gene:Et_1B_014398 transcript:Et_1B_014398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEASGPEAGEFTAKDYTDPPPAPLIDVEELTKWSLYRAVIAEFIATLLFLYITVATVIGYKHQTDATASGPDAACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAVLYIIAQCLGGICGVGLVKGFQSAYFVRYGGGANELADGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNNDKAWDDQWIFWVGPLIGAAIAALYHQFVLRASAAKLGSFRSSA >Et_4B_036494.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26900391:26900546:-1 gene:Et_4B_036494 transcript:Et_4B_036494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SAVQLCSTISRTLRLYSRLFSLNSFAASELAGEFGFGSQSRDCKEKHGNRK >Et_9B_065488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5826907:5828102:1 gene:Et_9B_065488 transcript:Et_9B_065488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHSKRTSVPAPREILSPVPQAVPSPATHPVPPAAIPSMSGPGAWCPPHSVAPSSMPFRFPGLQHPGMTGSSAQGPWWAPAGIGSANLENSDLHVWSVFLIFQLLGVDSCPPGGLVNFLNKNTPNHGPAQAVSNGSSSQPINNVGDDTNGSDCPRTEKRMVLALRTMLCYGDCWCYFKNVGAIDWLFFELKLQLRLCAFRLRKAY >Et_7A_051853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25616912:25617194:1 gene:Et_7A_051853 transcript:Et_7A_051853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFGLLLNREIGLCPTDNENRSYLMSDSGLYDRSIEILQDSTFVIYSIYHIR >Et_3A_025273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28389250:28392497:-1 gene:Et_3A_025273 transcript:Et_3A_025273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNFGPQEQVLWPASILAGVLMCGLVYEITRKVSSRCFKSYNGLSHMQKAEWNNRGFSTFHALVAAAVSFYLVLISDLFNENVHNGIIIDRKSWLSDSMFGVSLGYFLTDLAMILWYFPSLGGKEYLLHHGLSMYAIGLALLSGKAHVYILMVLFTEVTTPFVNLRWYLDVAGQKTSNLYVHNGMALFAGWLIARIILFVYIFTHMYFHFDQVKSIFTLGFYSVLAVPSAVAVMNVIWFLKIFKGMVKTLSKRKKHSENGKTE >Et_3B_028389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14615040:14619312:1 gene:Et_3B_028389 transcript:Et_3B_028389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRAGTDFLYPPRTRPVAIPSSSPPSRPRRQVPQIQSTPQNHRDPAGFPLPPPPMAPATPAPAAGPSLVETLFQRSLDDLVKSLRADPSATGESAAVARALSEIHREIRAADAATKAVALQKLTYLSSLHFAPVASHPLAFPAIELLASPHLPHKRVAYLAASLSLHPASLSLLPLATHQLHKDLSPSASSAAAHRHVSALALQLLVSPAAAAAPDLAIHLAHDLVPHLSRGCPRAIAAASRVIASSPSAAVPVLFKPLAACLASPDPRASTAAAAAFCDLSAPPADAAPFLPLAPDLYNLLTTSRSNWALIKVLKVFARLAPLESRLAARIVDPVCQLLARSAAMSLTFECIRTVLTALPAHDVAVRLAIGKAKEFLAAEDDPNLRYLGLLALGMIGPAYASTVHDCRDVIAQSLGDADSNIRREALHLMMGMVDENNVMDIAGMLVSHAAKSDPEFANEILGAVLAACGRNVYELVEDFDWYASLLVDMARSLHCAQGDEIGRQLVDVALRVHDARPELVRSARTLLIDPALLGNHFLCPVLSAAAWISGEYVQFSKDPVELVEALLQPRTSLLPMSVRAVYIHAVFKIITFCFSMYVERLDNSSEAVDAVFDELAVDQNVGEERNVAHVSGEEQGIIPISVEKDPVSGEEKGNRTHTVEKDPFSHESILYMINLIQTTLGPLVECNEVEVQERARNLIGFIRLAREIQELKERKVNGDKNSRVKELVVTVRTIFCQELGPVSANAQKNVVPPDGLVLENLSELTNIVSEDDTTPSTSVVFHPRSRHSAEVMDEPAVSIGSSSLSEHWKRHGLFYLPTGKIEDETNDYPYANDPLLPATDETVIDDKLKTIEPVFAGKKIKSTKSRPKVVKLDGEDFLSTMVANASVPKEDPLSGAVRGVLLARDAKPSSSQNVSVIMSEGMLNKTGTCESSSQWMEKRESDLGGHPTSSSRTGKHRDKEKGTNLPEIDGNESMKHRSSSRSGRRQGKHKHRERSSTQPDIVPQAPDICPHYRLQNPDQV >Et_7B_054606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2744189:2746055:-1 gene:Et_7B_054606 transcript:Et_7B_054606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPFLDQRRPSFKRRWQQRPWWVRLVLSLLLALACVLLLAVLLGSPDPGASSSSSTVSSGSEASSSPLLRQRSYLEGITDGLNMTDEMLSARSFSRQLMDQISLAKTYVVVAKEANNLQFAAELSAQIRRTQSILSRAAANGGTVVEEEAEKAIKDMSLLLFQAQQFRYDSGVTIMKLKGQIQSLEEKSKAETEKSTKYGQIAAEELPKGLYCLGVRLTMEWFKSPELQRKFSDRSPAVQSNLRDNSLYHFCVFSDNILAVSVVVNSTAVNSKHPEKVVFHLVTDELNYAPMKAWFAMNNYRGVTVEIQKVEDFTWLNASYVPVLKQLQNAATQKFYFSGSGSRGTPIKFRNPKYLSMLNHLRFYIPEIYPELHKVVFLDDDVVVQKDLSELFTINLNGNVMGAVETCMETFHRFHKYLNHSHPLIRAHFDPDACGWAFGMNVLDLVEWRNKNVTGIYHYWQERNADHTLWKLGSLPPGLLAFYGLVEAVDPKWHVLGLGYTTVDPATIKEGAVLHYNGNMKPWLKIGMEKYKSFWDNYVDYSHPLLQRCFMC >Et_4A_034242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31127438:31131974:1 gene:Et_4A_034242 transcript:Et_4A_034242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEPRGDCATSSNEKPKACGSSSEVARNLHLDNTSDEELARIADSGQGACTGLSREVVSKISGTVVSLGSYNGTVVDIDSFFTSVLTSANVMRYSEHSRWMGDFSTYVCLPNNEMVIGWTRDIDYDLNIAVINFRTVPGCREACLDHHVQSGYNSNVIALGRDFGSGDLTYSTGVVTDRSSESYGGSMIATCKFIESGIGGPLFDFDGNFVGTNVFYNTNEGTYFVPKEKILKFLPSSIPRVRVKQGSDHATERSTGSSREKSQSSIQKTSVSSASDPESESGSELEGDSGCQSERDLESESEIDSENESSQEFTKTLINDLNSRGYPVPITLDEGMHLRYSFEEEFAPDTWSKLPQKVAYNTSLSVVSLASFKGNKERVFACTGVFIGRHKCTTRILTSASLVTIAKENVIDNKLEIQVYLPNKRCAKGKLKHYDLQYNIAIVTIRGYHCRQTAKIDVKEPVEPHNGVIAIGRTFETGKLMATSGMLTTESIELECNELAFSTCKITKAEIGGPLIDFRGNFIGMNFYGVKRTPYLPRNVILEQLRRLYGKETVAAIDKPRPNRWPVREPYWHYPNAEPRDNWPSRKLL >Et_1A_006278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:279241:284624:1 gene:Et_1A_006278 transcript:Et_1A_006278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRILKSARESGSLNLSNRSLRDIPNEVYNNLDTGSQDEKWWEGVDLQKLILAHNNLEVLREDLRNLSSLVVLNISHNNISSLPAAIGDLPLLKSLDASFNQLNTLPEEIGLAASLVKVDLSNNHLTELPASLAKCVALSELKASNNNIARIPDVLADCSRLTKFDLEGNKLVTLSENIFISWTMLTELNVAKNLLTTIPNSIGALSKLIRLDLRQNKITSIPPSIRGCSSLAEFYMGNNLLSSIPADIGMLSKLGILDLHSNQLKEYPVGACNLKLSFLDLSNNSLSGLPAELGKMTTLRKLLLTGNPMRTLRSSLVSGPTTTLLKYLRSRLSSDEEASGSRNTPTKDDQIAAARRLSLSSKELDLSGLGVTSVPPAAWETSDVVKLDLSKNSLEDLPDELSLCSSLQSLVLSNNKIKRWPRPVVSSLPNLSSLKLDNNPLAEVLSSDLASLSKLEVLDLSGNASALPEPSAVSALPHLKELYLRRMKLHEFPSALLDLKQLRILDLSQNSLTTVPEGIKEFTVLIELDLSDNNITALPAELGLLEPNLQVLKLDGNPLRSIRRTLLERGTKAILKYLKEKLPAE >Et_1A_007681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37145904:37152241:-1 gene:Et_1A_007681 transcript:Et_1A_007681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLATTYSGRIAAAKPSPSGPSLTVTVTPAPPPTQFDTRGYPLPRRHLICAAARILRSPASPSPLIDLADYLSTHHLTLTAAEASEVVKVLSPDPALALNFFRFAASLPGFRHDAFSYNRILVLLFRTRSDPSEAMRLIAEMERDGVAGNISTVNLLIGMGVEVDKCLELARKWGLRLNGYTYKCIVQAHLRSREVRKGFDVYEEMRRKGYKLDIFAYNMLLDALAKARMVDQVYQVFEDMKQKHCEPDAYTYTILIRMSGKAGKTSKFLSLLEEMVSKGCALNLIAYNTVIEALGKNQMVDKVIFMLSKMIESGCQPNQFTYNIILDVLGAEKQLHRLDEVLVICSVYLNRSIYSFLVKSLCKSGHASEAHNVFCRMWSSHEKGDRDAYVSMLEVLCNAEKTSEAVDLLHMMPEKGIATDVGMYNMVFSALGKLKQVSSISNLYDKMKVKGVAPDVFTYNIMIASFGRVNLIDKALKIFEEMKARSCKPDVITYNSLINCLGKNGDLDEAHKLFKEMQEKGYNPDVFTYSILIECFGKFNKIDMACRLFDEMIAERCVPNIVTYNILLDCLERCGRTAETHELYETLKQQGLTPDSITYSILERLERRSQQTVRIRKPNRVTGWVVRPVIHNTINSDQGYSLSDIQEMSDYKEMAKREHKRADEVGTLVTSFGGVSFTKNLRLSMCTKYSKVAVHCSDISAGVVSKTRNASVIRVNASKTPNASLEN >Et_4A_034245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31118995:31119915:-1 gene:Et_4A_034245 transcript:Et_4A_034245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLHSEFCLKCMLAVKWLGECGDILLLFETPSGFALFNYDGVMLFDPKALQKIWADFAKDYLAEDFTSISSSTARKASPQPVLRAGARRGIPRLLAPGVDFSCLAMVDSATFFRNRILHAASLFYASLMNTQKRRTENQAPPLGRWIAGGDARQY >Et_9A_062526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23731747:23744477:-1 gene:Et_9A_062526 transcript:Et_9A_062526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVPPSLPDDIIAEILSRVPYKSLCRFKCVSIPWLALCSDPSVRRKCPQTLSGFFFMSSTGSPASYNRHFINASGRGPPMVDPSLSFLPPEHRDSIIFDFCNGLLLCKRRNAHSPIYFVCNPATEEWMDLPDTERMKTSDQAIRLGFDPVVSSHFSVFLLVHTETNVQWRYRVTGVEIYSSETGRWTYRPSLWGHDTTLLGTSSTSAFFNDTFYLRTFDFSVITVDTDGKTWRKIYTPRRNFDFIGQFKRQLYAVHRENDDGLLSVWVLEDTGGHQYWILKQIAGVPIGQVHVIHLENNLIFLTVDAGLQRNLISYDIDTSEVNIIRTLEANSSTRLGPYIPCLSEWMEEPPGKKKQRTASLIPDDLVTEIIARVPYRSICRFKCVSRAWLTLCSDPGLRLKCPQTLSGFFFFPRHIRSFVNVSGRGRPIIDPSLSFLPRRRGHSVGILLGSDCSSISSSVACLKNVELQRDKEFICHDRKMKKWIDLPKYTRGTNLHAPIVRLGFDPAVSKHFRVFLLLYRRREYDLPCQAYGVKIYSSESGEWRTHDNDCWGDAIITGYPAFFNGTLHLNTFRSSSVVTVDKDGEMWRTIPTPRDFDFIGQSQGKLYATHKVERNGFPRLSVWVLEDYASRQQWTRKHVLRTEELFGAGSRRTGEVTVHAIHPERGLIFLTVGKLRSLGSYDMDTKKMCHVSNLGTKAGSVYPYIPSFSELSAAPPGKMDERAAASQLLPDDLIAEILARVPYKSLCRFKCVSRPWLALCSDPSVRRRCPQTLSGFFVNSTDSSSRRYIRKFVNLSGRGPPMVDASLSFLPSSHRDLKFIHCCNGLILCERQDISWLVESARYFVCNPATERWIDLPYTQGMRAQRLSIWLGFEPAVSSHFRVFLFIENAMQDLSGVEIYSSDTGGWVYRQSEWGDGTKPLGRPVFFNNTMHFTTNGDSSLVLTVDMDGKTWRKISTPHAFYVIGFSQGQLHCVQINNRNNQLLIWVLEDYDRHQWILKDTVSTVELCGRRNTSEEYFSTVCAIHLEHSLIFYDSAGRLSRSLMSYDMDNKNAHTVVTCGDDYWCIHPYIPCFLE >Et_5A_042974.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:8427948:8429039:1 gene:Et_5A_042974 transcript:Et_5A_042974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPAPAGYDRLSELKAFDDTKAGVKGLVDAGVSAVPRIFHLPAPCVPGSEQPPFRQHDENRVPVIDLAATARSHSHLVSQVKAAAETVGFFQVVNHGVPGDLLAETLASVKRFHEEPAEAKRPYYTRDPARRVRYQSNFDLFQSPAANWRDTLFVEMSPAAPEEETEAEMPPPCRGVVSEYANHLHQLGATLLELLSEALGLPGRYLERDAGCLAGLAVAAHYYPPCPEPHLTMGTTRHSDPSFLTVLLQDGVGGLQVLVDGRWVDVPPVPGALVVNIGDFLQLMSNDRFRSVEHRVLAMAAGPRVSVACFLRTNYSDGRVFGPVMGDERPRYRSTTVKEFLGYYKDKGLDGRSALDHFRL >Et_1B_012946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4257770:4260850:-1 gene:Et_1B_012946 transcript:Et_1B_012946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDSSSAEAIGSIRREISVVVRMSSYFSIPDGLPKTYCRRKTLSPIVVDPSSYGLLQLVNHIADHFLWGSKQYISLWRESEHDDDVRFPIKSDEQLLQWFELNLDKGVVHIIAEIDDFEGPLQCSPTKRSLHPKVRERLLETPSTPSLDLDPRCKEAVTHHAIINNHAFRHTRSDSDKFRAVCKRAEQGCKWKFYATTSKKKYIGCKVKISGPKHTCGSVNQSVQVGLQVEAEVKSKCKWGCKGKRKRKRKRYGKRNKWRENCRM >Et_1A_005995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16499676:16508152:1 gene:Et_1A_005995 transcript:Et_1A_005995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSCTATETSPPMHCANESWMASWLSTKANMPPVASAMAKVWIGTALLPARSAPLNERGTSTRRVLPIGEQDDTGHGVPVPTVREHLRRHAEAVADVGAAARGERLRGSLRRRLPVARHAREPDHASRGVGEAHHAEVLDDRRHRNAVAGIILLSDGRDGFVKRPRYVDLVPASLRATAANRTVPIHTFGFGADHDAAAMHAVAEETGGTFSFVENQKAIQDSFARCIGGLLSVAVQEARVAVACVHPGVRVLGVRSGSYVNHIDADRRAASVDVGELYADEERRFHNSAEDTEDATQLLKVSCTYRDTARGQAANVAGEVTVVLRPDQVPDGDAHLSMEVERERVRVAATEDLAAARAAAERGEHAEAARILESRQDAVRLSAPGLARDATCAALEEELSDLRARVASRQEYEQTGRAFMLAGMSSHGQQRASSSATLWGSAGRGRGRAGGRFGGRGRLYETPTMRSMVNKSQSAREHQELTTRALAARLCMRFPARHVAIVDAPRELEYVEIPVPAAEAEEELQEREKSSAPASPAPASARRLSRSGSRSSAKICAICLGGMRSGHGQALFTAECSHKFHFHCISSNVQHGNHVCPICRAVWKELPFQGALLADAGVLGPAPSDWPQGRLSRINTVNRQDQIPPFRTPESAIFNDDEQINLQSETAVGGGGNGDEIPAAVEIMAYTEFPAIQDSVTHENFAILIHLKAPHSPVSLSSRAPLDLVTVLDVSGSMAGTKLALLKRAMSFVIDALGPSDRLSVIAFSSTAWRLFPLRKMTAFGKQQSLQAVSSLAATGGTNIGDALRKAARVMEDRQARNPVCSIILLSDGVDNHIAPPARGVRPDYSQLVPRSILPGSGHHVPVHAFGFGSDHDPVTMHSVAEMSGGTFSFIDAVGSIQDAFAQCIGGLLSVVAQETQLSVECVADGVALTSIKSGGYASGVAADGRGGFVEVGDLYADEERGFLVTVRVPAARGDTALLLPSCAYRDAVTMETVRVEGDPVTVARPAAAAASVGAAMIMSPQVEREWHRIQATEDMAAARAAAEENDFARAASILESRRRALESRASLSSDPQTQALVAELREMQDRAESRQRYEESGRAYILAGLSSHSWQRATARGDSTELTGLVHTYQTPSMVDMLHRSQALLPEVVEALNRSPTVAPSRALPTPTSPQPRRGIRPFRPTKSFTGRTS >Et_4B_036435.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:23947574:23947684:1 gene:Et_4B_036435 transcript:Et_4B_036435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESISQLQLYTIFRCHTINDTLYTMGSNRREPAMNG >Et_8A_058189.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23183040:23183486:-1 gene:Et_8A_058189 transcript:Et_8A_058189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVLELVTKTAADAAKQTKLLRMMMVTVAHTLVSGELAPTDPVLKDMVAKSEATALEVMHDADDPTEKRCPDVAEYAAGPGGEAMAKALREEATAFAALKERAQEVVKFMRAMAAISAEEEEAVGRPVGATRSRRPNARYFGPEWTN >Et_1B_011382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21290862:21291738:-1 gene:Et_1B_011382 transcript:Et_1B_011382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRLLGLSAVSGRLRRGFSTSMPRSSSLSAASHLPLPRLLRRWRLLIRDPRFLRRFCAFHRTLPLLDFFHNSPCSPRFVCGSAPRARRAASSTRRTRRRISAPRDRGPLPGAVWLRGSFGLELRRALPICRRPLTALSAWSSCARREPARGPTPDCPFLYWLLDDSSILVFELGSLRLVLVELPSETFSRGTFEVRGQQAWPNCCEEFQSAHVGVGGCRRGHCEHREIDLHQLLALPLTQPRMGSIPLWKSRLVRMAMRYSENYGWNLHWFGLSPTNLRCSLILLVS >Et_8B_060516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19501143:19505586:1 gene:Et_8B_060516 transcript:Et_8B_060516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYCPPTPMRLTPGIQLQPPVRLRPVQLPPLLPARTAMNAAQVAGCGAAFAIMAGALCRQPSVGASTSKWNFRSTRRTPSIVSMGQNHQTKIPAYAGLRVVHTPAATPVLGFKSIDAFISASSRGQKYDRLITRASLNSFGEEVMNVIALAQEETQHLGRMIGRNHILWSRISECICLFLLDIVLNAAFQMVDANTGRGSSKTSFKTEKKMSSKTSSETECKMATPTLDEYGTNLTQLAHEGKLDPVIGRQEQIDQVIHILSRKGKNNACLTGEPGVGKTAIVEGLAQLIARGDVPETMQGKMVISIDMGRLLAGTQYRGDFEERLKNILEEIKRCGNIILFLDEVHTLVGAGATTEGAVDAANILKPALARGEVQCIGATTTDEYVKHIEKDPALERRFRQVKVPEPTVDETMEILKGLRGQYETHHKVQYSDEALSAAAELSHKYISDRFLPDKAIDLIDEAGSLARLRNVQPQWKPSKEVEDLLAGLKKIMKEKDEAIHRQDFKRAKELRERELGITSLINESKATNGDVDPGMSAMPVVTKEDICRIVSSWTGVPVHQVSTNETNKLLKMEATLHTRIIGQDAAVSAVSRAIRRSRVGLKDPRRPIASFVFAGPTGVGKSELGKALAAFYYGSEDAMVRLDMSEFMEKHAVAKLTGSPPGYVGYGEGGQLTEAVRRRPYTLILLDEVEKAHPDVFNLLLQVLDDGQLTDGMGRTVDFTNALIIMTSNIGGGIVVANNGGGVSSDDRVKELVEEEMKRYFRPEFLNRLDDTIVFKQLTKLEVKEIATIMLRDVAAQAREIGIELKVTERFTERVVEEGFDQSYGARPLRRAIVRLLEDTLADKILAGEIKEGDSVIVDADLGGNVFFLGRNDTVPVVVEDLQPLSFASC >Et_2A_018064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9791517:9792264:-1 gene:Et_2A_018064 transcript:Et_2A_018064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNDENESSLFLARAAEKEGTVVCSSEGDFSESCQADGDVRVNGRALSVTVVGPTKNGSERLEWRIRPYAQKYVDEMRKVTVALVPEPAGAPAACTVAHTMPAVLFAIGGHSGRNFFHDYSDVLVPLFAASDGELVRRDGGRARRRAHQRLVVPYGGMEAIARSEFGDPMTDMGLTYLEYVVTLEKSTLLETLGPEHPALRDPEAVHRSGWDQVNEFYLKRQDVTCASSSSSSSRGS >Et_4B_039273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9397921:9402582:1 gene:Et_4B_039273 transcript:Et_4B_039273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHRLPRPAADRRCAGHRRYHHRCTPACRAVAAILLLVAVVGAVFVILSPSTSDVVALPRIRLVFNDAPVVHTDDVPAPPPAASNATDDEDSGLPPPRQLTDPPYSLGRAILDYDSRRAAWLAAHPEFPARVHPGGRPRVLVVTGSRPAKCPDPDGDHLLLRAFKNKADYCRVHGLEVFYNTAFLDAEMSGFWAKLPLLRTLMLAHPEAELLWWVDSDAVFTDMAFELPWDRYAHHNLVLHGWEAKVFQERSWVGINTGSFLIRNCQWSLDLLDAWAPMGSRGPVRDRYGEIFAQELSGRPPFEADDQSALVYLLLTQRGRWGNKTFLESTYELNGFWEGIVDRYEELRRKGKPGELGDNNRWPLVTHFVGCKPCRRYVDSYPADRCRLGMERAFNFADDQILKLYGFEHESLNTTAVRRARNDTGGPLDADDEELGRLLHPTFRAGKPTLLLALVIPVVLFYVVFLLTSPDMALPPMDTASEVDGDEEERRPPPLHQLTDAPYSLGPAVPDYDARRAKWLRDHPGFPAFVAPGRPRVLMVTGSSPRRCEGGTGAGDHMLLRAFKNKADYCRIHGFDIFYSSMVLDAELTGFWTKLPLLRALMLAHPETEFLWWADSDVVLTDMLFEPPWGRYARHNLVLPGWDAKVYGARSWLGINAGSFIIRNCAWSLDLLDAWSRMGPRGPVREMYGKVLGEALSDRGAYEADDQSALVYLLVTQRDRWGDKTFLETSYTLHGYWVMIVDRYEEMRRNGAPGLGDDRWPLVTHFVGCKPCGGEYASYDAARCMRGMERALNFADDQILKLYGFEHESLNTTAVRRVRNDTGGPLDADDEELARLLHPTFRATKAHVADS >Et_6B_048395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18454881:18455960:1 gene:Et_6B_048395 transcript:Et_6B_048395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAAQPDADPAAGACRDPFFPGGGGVGCDSECSTPFVSAPSSPTHHHLSSYHGACFFSAPASPTRSGSGGGLGGAGCLDFDFDFSSRFPSPSAAAMSSADELFCNGQIRPVRLAAALLQPQQPPAPLLGDAEDAGAEEEAMPDERGRIRSRSVRRKARSPSPAPPPAATEPESAADEVPAATPAASRSSSSSSTASSASSSSSRGSRRWGFFKDLLHRSKSDAPKRSASPLRSPSPSPAGAARAKGAAAATGRSRRRSAHERLYEARRAEAEEMRRRTYLPYRHGVLLFGCIGLGSRGYGAVHGLARGLNAAAATVSSRS >Et_3A_025361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29193597:29197823:1 gene:Et_3A_025361 transcript:Et_3A_025361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGDQRPTLDGITVDGGRASPAGMGRPPPGPGFARGLMKQPSRLASGVRQFASRVSMKMTRMQSSAQIGLRGLRFLDKTSGGKEGWKAVERRFEEMNKGGRLPKESFGKCIGMADSKEFAGELFVALARRRNLEPEDGINKEQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGMLTEDEVKEVIILSASANKLAKLKGHAATYASLIMEELDPDDRGYIEIWQLETLLRGMVSAQAPTEKLKRTTSSLARTMIPSRYRNPLKRHISRTVDFIHENWKRIWLVTLWVAVNVGLFVYKFEQYKRRASFQVMGYCVCIAKGAAETLKLNMALILLPVCRNTLTTLRSTAIGKVVPFDDNINFHKVIALSIAIATSIHTLAHVTCDFPRLISCPSDKFMATLGPNFHYKQPTYGDLVASAPGVTGILMIIIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVLAYVLLVVHSFFIFLTREWYKKTTWMYLIVPVLFYACERTIRKVRENNFRVSIIKAAIYPGNVLSIHMKKPPGFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTSELRNLFGKACEAQVTSKKATLTRLETTVVADSQTEDTRFPRVLIDGPYGAPAQNYKKYDILLLVGLGIGATPFISILKDLLNNLKSNEEVESIHGSEIGSFKNNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDRSNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGLDIVSGSRIRTHFARPNWRKVFSDLANAHKNSRIGVFYCGSPTLTKQLKDLSKEFSQTTTTRFHFHKENF >Et_3B_031509.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:3356813:3357109:1 gene:Et_3B_031509 transcript:Et_3B_031509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALSGSSARAALLAPRARGYAASAATGAMRRAAAAVEGGAAAGEARREAGRGAGAAGEISWVPDPVTGHYRPSNWAAAVDPADLRAAHLARSYARA >Et_4B_036798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11609260:11619988:1 gene:Et_4B_036798 transcript:Et_4B_036798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRPPPRGGSANGGGGGGLSYSTLFNLEPLLNFRVPGPGDLARYGNGSPNGSASSEGQGSLLDQYNGSNDVSQGQHRKRKRHLDGASDEDDAGAYSNQITEEQYRTMLSEHVQKYKRSKLKESVFGSDPSRAAIPQAKHKISGKSTTKHTSDFMNVATLDEVEVSHQYNGTECIRTYGGFNKIVASLDSTYLDMGNNIRYLVPEGYDKLASSLNLPVSSDIRVEEHFLKGMLDLRTLAAMLGTDQKFEATNRGGLAEPLPQYESLQERVKIHKFSLQVTEDPFAIPEGAAGRIRRLIMSEAGSLQVHYVKVLEKGDTYEIIERSLPKKQITKREPSLIVKEESEKTYKLWQSIATKSIPRHHRNFNALLKKRQIDAKRFSDSCQREVKLKVSRSLKLMRCAAVRTRKLARDMLIFWKRVDKEQYELRKKEEKEAAEALKREEELREAKRQQQRLNFLLSQTELYSHFMQNKAGDSAQPAEGSASEEDEEEDPEEAELKREAMKAAQHAVTQQKRMTNAFDSEVVRLRQTSESGIPVDDSSTMDPSKIDLLHPSTMPETSSVRTPELFKGVLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTVQAMAFLSHLAEDKNIWGPFLVVAPASVVNNWAEELIRFCPDLKILPYWGPERIILRKNINPKRLYRRDASFHILITNYQILVNEEKLLRRVKWQYMVLDEAQAIKSSSSQRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIEGHAEHGGALNEHQLSRLHAILKPFMLRRVKIDVIAEMTKKKEEIVPCKLSSRQQVFYQAIKNKISLNELLDGSRGNLNDKKLLSLMNIVMQLRKVCNHPELFERNEGSSYFYFADIPNTLLSPPFGELQDVHYAGKRNPIKFEIPKLVYEGIIRNMEILGNGCGFRNGYLNRLFNIFLAINIHYSAFPEDNSSDESVVLSGAFGFTRLTNLSPVEASFWATCSLLERLVFLAMQWNKTDSDEIMNAFLYSEGPDDQFSQNDATRVRAVARLLLSPTKAKSSLLRTKIGTGPSDSPYEALLLSHHDRLVSNIRLLRSAYAFIPPARAPPVDIRCADRNFAYKYTDEMHDPWAKKLFLGFARTSEFNGPRQPVGLHPLIQEMHTDLPIPEPMLQLPYRIFGSSPPMSNFDPAKMLTDSGKLHTLDMLLRRLRAEGHRVLLFAQMTKMLDILEDYMNFRKFKYFRLDGSSAISDRRDMVRDFQNRNDIFVFLLSTRAGGLGINLTAADTVIFYEIDWNPTQDQQAMDRTHRLGQTKEVTVYRLICKDTIEEKILQRARQKNAVQELVMKGKHVQDDHLMRQEDVVSLLIDDAQIAHKLKEITMQAKDRLKKRRAKAIKVDKEGDLLLEDLDETNAEGAEQANTGNKKKKSTHKKAVKSHDNDNLDKNAGAPTGADNPGSGNTENEQNAEPRPKRSKRLMKSSGDDKESGAATDHEKLADEAENHTAHDHDDTEEMQDQTTA >Et_9A_062617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24475286:24479211:-1 gene:Et_9A_062617 transcript:Et_9A_062617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDGAVELVAPRLVESEASRVHELERFSHYVARQIGFDNAKECPHLCTLAYDYLRKNRGYEENIFAFFQSSADPESLIVKFIEELDKCILGYFSFHWKYATYMITQVLTVEGAPKRKLRNMVLEATREQRFERVTRNLKVTRLFSTLVEELKAIGPSCHDEKTRNDVMVPVAHCDRSPVLLLMGGGMGAGKSTVLKDILKEAFWSGAAANAVVVEADAFKETDVIYRAISSRGHHNDMLRTAELVHQSSMDAASSLLVTALNEGRDVIMDGTLSWEPFVQQTIDMARAVHRQRYRMGRGYKVTEDGTITEEYWEPVEGGEIEEQDEANARKPYRIELVGVICDAYLAVVRGIRRAVITGRAVRVKSQLQSHKRFSTAFNSYCSLVDNARLYSTNTMGAAKLIGWKDGESRLLVDPEEIGCLERVSSLNEEANCVHELYADGQPAGRSSSVWQDLVMSPSRASVQRELRVAIQNNEARFRPA >Et_2B_021151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2744678:2745996:1 gene:Et_2B_021151 transcript:Et_2B_021151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGGGGGNPWAKEMTIRRRIASIFNKTQEHFPSLRDYNDYLEEVEDMTFNLIEGIDVEAIEAKIARYQQENAEQIYLSRAKRAEDLAAALKASRMNPGKAEANDTAAGSSQGISGGSGAQGQYAPAAVPGGLAQPRPTGMAPQPIGGVSDPLQGDDEETRRLRAERGARAGGWTAELGKRRALEEAFSAIFI >Et_3B_030742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6940304:6947535:-1 gene:Et_3B_030742 transcript:Et_3B_030742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRLEEEGSRKSTQSRQRQYFEQKKRQQQQNQNDVAGGQVSHDKEPRSLDVLNINNLATPNSHPPNDPADAEGAIPQSNFTLSDASPTEALKKITSLCNNNTNEAGSQPRLSSTFGHQDVAAAVNSHEQLGCKISPSINYSTKRQIQNLGLDGEISLIDLACYEGSKNKPTARPAREAHVSFSVKGLGHIKMETPPHTPRPIKRDLPLPPKAMRFTHKAKRSIPFDVTKTLDSMRASINMLNERRPPDKLGKLLDESDYVRRKQSNCYFPDSFNNHNADLYFEDEDMFYESRAEKDLQSKRSRSDGNLADENSDRLWKLDQFNSKDHFPNSREEHFDSVDYGSKDRYSPERSFSCSLISIFVEGIPSSHDLFSDHSLMDDDKGTELFEWERHPTSKKISNSNSTFGPSAWPFDMGDDSEKRRSPISEESCSSAAGMKDRSCKKPSPSVKNEMNKKDEFHMSLDELGIPNMDAHLHGMSLFNSPEKMDSKRTTDQKKLGTGYWPERATDQTREPSCRISLNEKFSSWGSPTSHSKNSTGLSTEMHEDKPFFKSGPDMSSYQTVETTEKRPASKVHSVHDDKPFFKSGPDMSSYQTVKTTEKRPASKVHSVFHGSDNAIFEGGINKQHPVSDIFGDKMEMSNPFRTKDLQSDIDMGTFFGQKFDKMQEDNFATLSNRNTDIFLAKKAESSARQTVGRHSICPQPSGTDSFRHGSNPGFSFQESKPNAFWEDSHVSDGTFQGDLSGLLARENSDKNNGTIEASAKPDNKTFAKTCQLSADYRNELSGTETCSDGSEVSNSPEVHKDTSAATKQISANLNCPGETSAELFQSHAYVRPVTREKLDDPGIDYEAPAHLRNKFHNVGDQSEINAMFQSPFPGEVGVEKKIIASVSPNNSDVQYQFMLEQRVLRRLCVQKIVVSTPRKDKLDKDKRFRMAEDGSHILAKSV >Et_1A_006054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17205436:17219765:1 gene:Et_1A_006054 transcript:Et_1A_006054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGILAKRCVQFRPANRPSMDTVARVIYQQHRQRSAAVPVDVPELTVRELQKATGSFSPSRQIGKGRFATVYWASLQNGRIAAAKRPDLPCTSGRWDAVTVLRRQVNVMSNLSHPNVVRLLGCTTAASGDLGVVLYGRHRKRLAAGGRVRIALDIASGLKYMHEEARQTTTHGDVRSTNVLLFDGFRAKVGDNNLFRDAETSNLPMRVGCLILVLGLAANNRFLTNDGGAERRIIVTGCRATPKTDTYSFGVVLLELLTGRLVWPVWDGNDRVCLVEWASPFLTEGRIQECIDPKLGDQYSPAAALKLGRIASRCLQSRATDQRSAAIAVDVPELTVREIQKAKGTFSPSRQIGKGRFATFAPMGTLHDALHGPSEASRRGRRPAGVSSVPPQAKVCLSWAQRVRIALDVASGLKYMHEEARQTSTTHGDVRSTNGFRAKIGDNNLFRDAQDRNNPMRVAGVGFLPVVYTAPEYSWLLLNHRFLMNDGGGAERRIVSTGRPATPKSDTYSFGVVLLELLTGRLVWPVWDGNHRVSLVDWLTLRTYVLMVPADDPDLEQASPFLTEGRIQECIDPKLGDQYSPAAALKVGVALVSHLKTCYFLPLNQLICLRPA >Et_8B_058637.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1271932:1272078:1 gene:Et_8B_058637 transcript:Et_8B_058637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLSLLGCQDGHRSAMDIFLIDAARHRHSRRRGEAARLRRGAVPLRR >Et_5B_045385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20615058:20628415:-1 gene:Et_5B_045385 transcript:Et_5B_045385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGENAIGMQSDGSGVSAVMLSSYGSRGDMMMQPVHSGITEILSSMVDQNEFFKPWIVLDYSSNSFEKKIPSGGETVICQENHGNQPHDCRLRSATGSNKSPSFPFSGRRLLVTVSPPDCKAGYGLL >Et_5B_043362.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8082322:8082405:-1 gene:Et_5B_043362 transcript:Et_5B_043362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRGHGTPADHLTICNSSTWTCAPA >Et_1B_010498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10984201:10984958:-1 gene:Et_1B_010498 transcript:Et_1B_010498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVQKVLKPEFLNRLSDIVIFEPLSRDMLKEVVKIQMKSISAGISDKGISLSTSDSALDLILSESYDQMYGARPIGRWIEKNVVTKLSEMLIKGEVDTGSTISIDATDDKEELKYEVMKKKEQKQQVVATPRGERLPLEVNDSESDSDDVVEVPPVAKKVKVERELGNN >Et_7A_050387.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1193995:1194255:-1 gene:Et_7A_050387 transcript:Et_7A_050387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSNKIWVRVNEFGDRAFFLDRIAWGASCSASQSGLDQGSVYFLSGKKGVVIISRPKVGSRQLWSQRGGLNAEQVMYSFLMPLAS >Et_5A_040899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14367317:14368398:-1 gene:Et_5A_040899 transcript:Et_5A_040899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVDVSTLEAGGVRDYADPPPAPLVDIEELGKWSLYRAVIAEFVATLLFLYITVATVIGYKHQTDASASGPDAACGGVGVLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRALLYMVAQSLGAICGVALVKGFQSGFYDRYGGGANEVSAGYSVGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVVYNNDKAWSDQWIFWVGPFIGAAIAALYHQIVLRASARGYGSFRSNA >Et_10B_003086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16355666:16359008:-1 gene:Et_10B_003086 transcript:Et_10B_003086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLREREAVAMEAEQEAKGMATLLSLLRVTTSDQEKAGAAEEKVAWLRSQLIGNDVEFDTPFGRRALTYADHTASGRSLRYIEEYIVNEVLPFYGNTHTEDSHVGSRTTRLARRASRYVKRCVGAGAGDVALLFCGAGATAAIKRLQEVMGVAAHPSAELRRRLAPRLRADERWVVFVGPYEHHSNLLSWRRSLADVVEIGVDADGLVDVAALRRALGSPEYADRPMMGSFSACSNVTGIVTDTREIARVLHEHGAFACFDFAASGPYVKIDMKSGEIDGYDAVFLSPHKFVGGPGTPGILLMNKALYRLNSQPPSTCGGGTVAYVNGFNEEEYIGHDNMILREQVYSVMAMKKLVGNPNVRVLGNTRVERLPIFSFLIYPPFVDSLRGAVTDEPGSDTPLNDVRPRRLPLHGRFVTKLLNDLFGIQARGGCACAAPYGHTLLNIGNELSLRIRSAILKGYNGLKPGWTRLSFAYYLSNDEFRFILAAIEFIAQYGHRFLPLYQFDWITGDWMFRKQAIKYHIMKEELAVAANVFHLNEMVSAKVVDTPKRNLVANHMKFERYLESAKLIALSLPDIRQQVVSVPKGIDTDMILFHI >Et_1B_013527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9263831:9267946:1 gene:Et_1B_013527 transcript:Et_1B_013527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHPLHLLLLLLAFLTVVSPSAGAAPDAAAVVSRIAFGSCANQSAPQPIWDAVAGFDPQVFIWLGDNVYGDNKRPFRVFGKERTVGPWKNVPRFYPSTEDELRRKYELAKAQPGYARLKEKAQVIGTWDDHDYGLNDAGKEFSGKVFTQRLMLDFLDEAEDSSRRKQAGVYTSYMFGPEGKRVKVILLDTRYHRDPLLSDGTILGDPQWQWLERELHGPPSEITIIGSSIQVVSNLSAVTGPLFHVESWARFPRERERLFRLIDSSKRNGVIFISGDVHFGEIARFDCGAEYPLYDVTSSGLTQSVENAVPAVFRPLMRFLALVTPTTMRVLSSNCQYKSCSYDVYAAKKQARGFQRYCTLETELPWLTRYRLALLFFGTTAAFFIAMVLLVFTCLSTGKKCKKE >Et_4B_036729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1158886:1162006:-1 gene:Et_4B_036729 transcript:Et_4B_036729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDESLKTYKGSRDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLNVTMKSDEKELMGKALMKRVMQTWLPASTALLEMMIFHLPSPATAQKYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLSEFEDKL >Et_1B_014285.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:526896:528167:-1 gene:Et_1B_014285 transcript:Et_1B_014285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPKPKANRMCKSKSAIAATTASSSSAAAAAPRNHRSPRTTTTSTFPASYSFSNSSSTASSSATSLAALRDSLPELPLLFTYHDLAAATSNFSSSHRLVPAASSSSNSFRCSLRGHPAAVFRRPLRRDARDVSARLAVLGHCHHAAIARLLGAAASPDRTTLFLAYELVPDAAPLSALLRNPKNPSFTPLASWHARLQIAADVCDALHYVHLQADTIHNRLSASSVLVCGDGPLFRAKIAHFGAADLAGELPEDKKDEDEDAKGSGHRRTGSRGRRIEGTRGYMSPELIAGGPPSRRSDVFALGVVLLELVSGQEPVRYELVNRAKGEYERTSLIETAEAAAAEGGGEAMRRWVDRRLRDSFPVDAAESLTALALRCVAKDPAARPDMSWVAAKVSKLFLEAQEWAGKFRIPTDISISIAPR >Et_8B_059870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3490964:3495940:-1 gene:Et_8B_059870 transcript:Et_8B_059870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRGGVHDGRSTQSPEIPHATNGARTLRPNELDLSVSIYSKQIRAEGPSDSPDGSQAKKKRLHRFTSHQSEILEGTVEHPNENERQQLSETTGLSENQVKFWFQNKRTQVKCLKGKEENYRLKAENVILNDENKRLKLAKMTITCPSCSGSSTKLPILQEIERLKLENGWMQQELARLNSELAMNSNAPRHVFQHGSSSGSVVVLQGDQMLAKIARTVQKFAALASSSSPLWLSVSGDSLETLNKMAYVQAFLWQNSAMGLKMETTRANAVVMLDSQNVVGFLMDAESYGTYFPGIMFGGTATKVYNWPSDRNAGYDGAMELLTAEVVFPSPLIPARKCTFLRCCKKLKNGATAIIDISVENVAGNFLKCRKLPSGLLIQPIKPDSCKITAIEHVRVDDAGIHDLFKPCLTSLLFGARRWVVSMARQCARIRDVYHVTNSPMGAGPKWRQIILKMADTLLANYSGGIAGIPAEAWTVQCGKGMEEDVKVVYRRKDDGSNTAVVCASASFLLPVPMRRAFDLLKNNLLRVKWDVLMEGGSVKEEVCVANGVGSDDSISILHVKFQHGNVGDTKMILQNSSYDVSGSFLVYSSLDDQLIDKIMTPGGDQEMDNVHLYPTGFFLVPISDAAQTSAAIGETGSTVMTAGFQTPMKLARGTGLCPRQVSSAIRIMSDRIENVKDMLVNCHPIFYRVE >Et_8A_058285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4202984:4206450:-1 gene:Et_8A_058285 transcript:Et_8A_058285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVAYPPAAYPAPGAVAYPPAAAYPGPGAVAYPPAVGQTSTQQGTATQQQLQMFWAEQYREIEATTDFKNHNLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRGWAHAEENKRRTLQKSDIAAAVARTEVFDFLVDIVPGDEAKDAEAAAAAVGAGIPHPAAGMPATNPMAYYYVQPQHKEDANLYLQESWDLEHFVQVLYLAPQNLMMHYWEILLSDEIDVTHKQRNH >Et_6B_049151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18783733:18785018:1 gene:Et_6B_049151 transcript:Et_6B_049151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKEDVKLLPQKKDVKLLGSPVSPFAIRARMALNMKGLSYQYIEQDLFIKSQLLRSSNPVYRKVPVLIHNGKPICESLIIIEYVDESFVTAAPSILPADPFDRAIGRFWAAYIDNKMLPDWLGIMKASATLEARLEMVKLSDAKMKRMEDVFAKWSNGKHFFGGDSIGYLDLALGSFLFWFKTIHKMFGVDIINVDNTPSLAIWATRFMETATAKEVAPEENRMVEHMKKVYGAAASSAL >Et_1B_013718.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:13972315:13973628:-1 gene:Et_1B_013718 transcript:Et_1B_013718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTNLGTLALPTLPTEALMEILARLPAKSVGRFRCVSRWWCSMLSSDYFFEFHRRHANRPDRPRLLLTAVGSSYEGHLHSWQPSGAVERLMPDDFLDGEIVPVTKPCEGLILVFRGTDYGGYFVCNPSTGTVLPLPDSKAPLKMIRRTKLFPPYVPPFFLNVSYGLGYCPVRKKHKVVRLFSNPEGEDDMTPTSCEVFILDAPAYWRPSAEQPPLCSVEENPAVLLHGRLHFLCSDGGITTFNIRDETFGLLLPPRGFQNVEPVLAELDGSLCVCYGEPDSQDTYHVSVLRDYKEGRWEMLCYIDGTTWSESDRALLKSLWLAPLGMYCSDGGQKIMFGTGACKVFAVDFDGCAPQILFTPDETIIGSCEDSNVPMLGLFEESLVHVGHTVEEMIASSPSTEAWFNILKWLPTRSVLEFGLVCRAWRAMTMMDEFI >Et_6A_046802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20816059:20817680:-1 gene:Et_6A_046802 transcript:Et_6A_046802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQVRIVDVSYVAVPADGAVLPPEPIKLNAMEAQWMKLPLLQHLLFFDGEQLPPFNAVVHSLKSSLAATLATYSPLAGKLVHLADTGDVALRCSSDDNGVKFVVAESDADARRLAGDEEHDARTFERLAPAVDMSALPAPVLAVQATRLEGGGVAVGVTVHHGVADGRSLWRFGDDDAPLTPAPVFDRSRVRLPGGEELARSALRRYAPNLPLVRTLPQKEFLSLAIASTVVLKDRLKLTRRTFSLDAGHIEQLKQRIVRLSEARGGGAPLLRHPPSTFVAAMALIWTCCVRCRPSLPPDVFLSFSADARKRLGPPAGADYFGSCLSGCLPRLPARELRYSEAEHAALAAAASAVQRAIREMAEDPVAGWDVFKHLEGVPRDRLVNVSGSWSFRAYDAADFGWGRPRRTELARMIRDGHVALVRARDGEGVQVSVAMLDRAHMDAFKSELLKQIAQ >Et_9A_063382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22299186:22300612:1 gene:Et_9A_063382 transcript:Et_9A_063382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAITAPSSLDQIPLILCPKTNAVEPSAIPSVDLSSPGAAAAVVDACRSVGFFRATNHGVPARVAAALEARAMAFFALPAQDKLDMSGAARPLGYGSKSIGLNGDVGWLEYLLLSVSSNSVAKSSLPVDLRAALEEYTAAVREVGGRVLELVAEGLGLDRALLRSMVEGKEAGKSDEILRVNHYPPCPLAPGLQDCGVTGFGEHTDPQIISVLRSNCTAGFQIKLRDGRWAPVHPDPESFFINVGDSLQVLTNGRFRSVKHRVVAPEGMQSRLSFIYFGGPAPSQRIAPLPEVMRAGEQSLYRAFTWAEYKSAAYKTRLADHRLGPFELRAASFPLPGGDHTADPQHCSSSSSSACMPRQQQEVAQVY >Et_8B_060589.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:2945837:2946328:1 gene:Et_8B_060589 transcript:Et_8B_060589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAILETIKPRRSASREDLPVTTAGVKGGEDHLAGLRRRMSATFSARIQPLSSSEALRRARSMPSVKALAAAGALRRWWEWGLDWVMARRPPFARGLEMSDDEAAALGGCHNRGTWRHVIHKLRAGARRLLGRDGRPQLPAQDFRYDSVSYAQNFDDGDAA >Et_8B_058530.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:13583415:13583735:-1 gene:Et_8B_058530 transcript:Et_8B_058530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATSTSRSTSTTRARASSDTARCTRRCPCSSATASPYASRGSLWSTSTRPSLPAGHGNGRASLLPADPYEGATARFWAAFIDERVAGPIRRAGRGQAVRAVVTDVRR >Et_10A_000078.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22176585:22177685:1 gene:Et_10A_000078 transcript:Et_10A_000078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVASPSKAGWIIGVGGYAGRTIILDTTTKPALTTIRGPDLLAAKLGPILAAVGHKVYALCSSPDYIDEPNFVPWFEVLDLSKRTVVTESESDGTGHRLDGCVWEELPCPPFFPRKLSPTGYLHPPIISVRSYVLVPPYMLLSLNQTRSCTYAFDTGSGDWHKINGDKSLPFVGRATPHGHVFLGTSLRNWSVNAYRISVHSSASSSCFRFPSTVLGGGVSNSGGNGSATALRLSIAEYSIRSKNEHGEVQRVGTTAGQAIVSLDGERFSLLTFSLGDRRRSSRMSCYFGHDDDDEFDYHSYYSAKLVAKFTTYRVEDPALLEEDEEKLWAVRHQIAVCKLHEQEFEFSSSGGFSSSPIPFALSI >Et_4B_036707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10854890:10856075:-1 gene:Et_4B_036707 transcript:Et_4B_036707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVSYMDNACRGYISAVVRHVAPMASPTKPVFRRQLTLLLIMLLPHLFLDAVGARQCFWPIPAPEEAGCLSWRVMVEANNARGWRTVPPQCVGYVRGYMTRGQYLRDLAGVMEQASAYVDEVAVDDDGLDAWVLDVDDTCLSNLFYYETKQFGYAPPITCPSFLFVVDEKPAPRRSFVTEGDGWVMWIYRAYDPSAFKDWASRRACPGIPPVLQLFTTLLDKGFKVFLLSGRDEETLGACTAENLEAEGFSGYERLIMRTPEYRGQSSSLFKSAKRKQLVEEGYRIRGNVGDQWTDLQGDCVGDRGFKIPNPMYFVP >Et_8A_056552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12153280:12154542:-1 gene:Et_8A_056552 transcript:Et_8A_056552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGFHSPRSSPSSSSPSSTTPPPSRPNSICPPTPPPRRREPLLRRLVVATPQPYYGVTFADLHDACLRHNCAAGYFHRLATVLYGPRIGDGDHDVYCVVRSNQGSTPILPGSWRAAVASGGDVCYVEVARMDGDNFRIRCWLVWTCSRRCTTYPDEALSFAIDAISAPCGGATTSGGVTTRAAPSLVINAALAFAFLPRPVAATAVVLAYLPSLVRAGVFQEAFLKLNHATCAVYPYDHNTGAVERARQVPGLREMCLRPLCLDFDGDADEGPLSVANVNAARTLERPAPHLLCRALPPGSVVAVRLLPMEEHLAHLPVADPGQAAAASGVDVCYVELAHLDYTEGYYILCPAVDHHARLSCTEIPEEAVASAVWKLTYVDTVGPRCERYLYGATSPLFRDSASPLFRDYHYDADL >Et_3B_030629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5612374:5615588:-1 gene:Et_3B_030629 transcript:Et_3B_030629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTPEEFFAVGLMEPAPPSPSVFVDLPLTPDGNSEGSLYPDDVVPSSIFHMLTEDDISNGKLLHQSSDHPTLLQAQQPVLQILSSNSFVANNDNSCNTEGAEELPGGGGDQSSLSSSFSNGVDVVGAFFKGTEDAIKFLPRDNSFRSDDQVKEKTFMESRNHKATKKRYSRGEYLEEDVRSTRKAMMTMMEEQEENGVHEILEEMMLCGYETCTKEMENLCIALNNGGKKNKLRGGSKATKDVVDLPTLLIHCAQAMAAHNHMIAIEMLKKIKHHASATGDARQRLAQCFVKGLEARLLDTRSQLWKLLMTERPSVKEFLKAYKMFRAVCCFNNITLTFSIMTIMDAIVGKRRLHIVVYGMHYGFQWAIFIRWLAERNGNQLELKITAIGCSHHMFFPAQKIEEQGRWLSKCADKFGLPFKFHSITTEWEKVSIKDLNIEVDEVLIVNDQFNFMSLMDESIFFDKPSPRDTVLNNIRKMRPDVFIQSVVNCSYGSSFLSRFREALFHYTALFDMFDATMPRESESRLVLEQGWFGRHVLNIIACEGADLVDRPEKYRQWQARNQRAGLRQLPLNPGIVRVIRDKVKMHKDFMLSEDGQWLLQGWMGRTLFAHSAWVQYHKEFVIDEER >Et_7A_051894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:26185036:26188067:1 gene:Et_7A_051894 transcript:Et_7A_051894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRPHSPAKHHLLRHHAPFNSSPPSSPLRHSSSSSSSSSPRKTGYPHPFLFFSRRPLPRFAAFFLLGSFLGLLHFLSHLPHTTHLHPSMPSSSSSSPGGDTSLLSPPTSHRLIHDDDPVADRTKLLIVVTPTRARAAQAFYLSRMGHTLRLVTQPVLWLVVEAGKPTPEAAAALRRTAVMHRYVGCCDKLNASSSSPGDYRPHQMNAALELVENHRLDGIVYFADEEGVYSLQLFHRLREIRRFGTWPVPFISQNTKDGVVLQGPVCKQGQVVGWHTSEDGSKLRRFHVAMSGFAFNSTMLWDARLRSHLAWNSIRHPDTTKQGFEGTTFVEQLVEDESQMEAIPADCSQIMNWHVPFGSENPAYPKGWRVATNLDGSTAFERYTQASSRRQ >Et_8A_058335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5162404:5163574:-1 gene:Et_8A_058335 transcript:Et_8A_058335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRLYDLDASRYGTASELKSLIAAFHDRGIQCVADIVINHRCADKKDARGIYCIFEGGTADDRLDWGPGAICSDDTQYSDGTGHRDTGEGFAAAPDIDHLNPRVQRELTDWLNWLKRDVGFDGWRLDFAKGYSPAIAKMYVQNTKPGFVVAEIWNSLSYSGDGKPAPNQDQCRQELVDWVDAVGAPAMAFDFPTKGLLQAGVQGELWRLRDGNGKAAGLIGWAPDKAVTFVDNHDTGSTQKLWPFPSDKVMQGYAYILTHPGVPCIFYDHMFDWNLKQEISKLAAIRDRNGIHAGSKLRILAADADMYVAVVDEKVMVKIGTRYDVGSLVPSDFTPAAHGKDYCVWEKGSLRVPAGRHL >Et_1B_012485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32431095:32433764:1 gene:Et_1B_012485 transcript:Et_1B_012485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGAGDPRAAAPGDEAEAEMETEEQEGPVLCLDLTSYQLHDLSEVEIPPTLEELDLTANRLSSVDPRIGRLAGLRKLSFRQNLLEDAAVAPLSSWDTIAGLQELVLRDNKLTRIPDTSIFKGLLVFDVSFNEISSLSGLSKVSSTLKELYVSKNEVAKMEELEHFHALEILELGSNRLRVMENLETLTNLQELWLGRNRIRTVNLCGLRLIKKLSLQSNRLTSMDGFQGCIALEELYLSHNGIQKMEGLSTLQNLRVLDISSNKITAIENIESLTRLEDLWLNDNQIPSLDGIEAALAGSREKLTTIYLERNPCAKTPNYSATLKKIFPNLEQIDSDIIA >Et_1B_012433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3264739:3266157:1 gene:Et_1B_012433 transcript:Et_1B_012433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVRFRLFDGTDIGPSKYDPSTTVSALKEFILARWPQDKDIAPKTVNDLKLINAGRILENNRTLAESRVPVGEVPGSVITMHVVVRPPQADKNSGIADNFSLFVRISFLVL >Et_9B_065620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8121942:8125129:-1 gene:Et_9B_065620 transcript:Et_9B_065620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETKRKRCMDRGRLSFSCAFEDGSGNLHKPSESSLTAFEVGFTNLIEPCESSTKFEDGFNNFTKLCESSMSELRKRIASGLSESVVAVASFVGKYIISAWLKLLPSLGGTKLFECTGIFIENLCPDATSTSVLTSANICGSPNDVITDNLMIKVCLPNGRVVNGWIHHYDSKCRIAVVNIRRARGFQTSRLSSSSRVQIESSIKVLAVGRCFDSGKLKSGDGIVIGSARNELYEFMSSTYEENMMNIWFTLTDTITSTIRDCVVPLASFNGDARCFACTGVFIGCYSSRILTSASLVRTGDGNIDKNLQIQVYLRNKKCVAGTLKYYNLRYNVAVVDIMGFCIPRAMDLKKQISFSPNTEVIAVGCIFKQRKLMASNGVLVDRRSKLDCEELRISTCRITKAGIGGPLVDNCGNFIGMNFFHAEETPYLPREKIQELLENADTEGYGLTPFSCLLSD >Et_2B_020422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2025440:2027859:1 gene:Et_2B_020422 transcript:Et_2B_020422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKPKQGPDHLLILVHGIMASPSDWTYGEAVLKRRLGDNFFIYASSSNIYTRTFDGIDKAGRRLADEVSDVVRKMSSLRKISFLAHSLGGLFARYAISILNSPETKNTDQSGTSNVPTTRKSEKSQCTLGLGAIAGLEPINFITLATPHLGVRGRNQLPFLQGLSILEKLAAPLAPLIVGRTGAQLFLTDGEPSKPPLLLQMASDREDKKFIVALATFKNRILYANVSYDHMVGWRTSSIRREKDLIKPLHRSLNDYKHIVNVEYCSPISSDGPHFPSKAARAKEAAQRTPNFENTEEYHKIMEEEMIHGLQKVGWKKVDVNFHSSLWPYSAHNNIHVKNEWLHNAGAGVIAHVADSIKQQESRPCLPANL >Et_2A_016567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26095882:26101929:1 gene:Et_2A_016567 transcript:Et_2A_016567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPALLPIHGSELPSPPASVTCSLLLQLRQRGARACGFSFRISRFDLKLRENLVSDLQDSVLQLLPDQESVSRLIEVEGNNPIVDQFGIWKLRAKMMPSLQKVRIFCCDPDATDSSDDEDNQNTKEKKMIREVLIPLKNPKAMLVPAKNSKAVPVRAKNSKAALVPVKKSEALTSVKTLAPCETEDLKGPEKKEASSRFRGVRRRPWGKWAAEIRDPVRKKRKWIGSYDTEEEAAAAYEAQAREFRAEVLAMKAQLPVSQPAALSSSSSVSCVSSSVSCEQITQPAENRAFTEIESEEALDEILPDFSETPKAKEISMDVLLGRMDVLLVGDSVRRADELQHDDFTSPEDGFPVSDFVGVTHEPLDDDYIGLADISHLPLPIKDPEFNLDAELDWSGFDFASMEHELGMAPPMRKLRIWLSDPEATDSSDDEDDQNRKKGKKLIAELPLPQVQSSSYRDTEEEAAAAYQEKWERYNAEKLAMKAQLPVLVGTALSSSTSLSCVSTSMSCKQKAQEVHTGVKVTMDTESIDERVLNFSPTPKVTSVDVSLSQIDEPVGDSVVPADKPSPEDVFPVNDFVVGVNEPPDDDYIGLADLSHLPLPFEVPEFDPDAEPDWSVFDLDSLFNEDP >Et_7A_051438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18495242:18504557:1 gene:Et_7A_051438 transcript:Et_7A_051438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLIFITAAAALLCSLAAAAPEEHLVAGLPGFHGAFPSKHYSGYVTVDEANERSLFYYLVLSERDPDADPVVVWLNGGPGCSSFDGFVYENGPFNFEPGIVPGGLPKLQLNPYSWSKVSNMIYLDSPAGVGMSYSLNKSDYKTSDLKIAADAHKFLLKWFELYPEFQSNPFYLSGVICRGTDKGVEPRINFKGYLIGNPATDVDYDYNSFVPFAHGMGLISTDMYEDVRAACRGTFWGTVDDLCQEKIDRVRWELKDLNLYNILAPCYHHPGIQERVFRHSRLPESFRRLGETERAIPVRKGMAGRSWPLRAALKNGRVPMWTGIGGRSLNCTSDELATLWLDDEDVRAAIHAKSKSLIGSWEFYTARLDYSHDTGTMISYHKRFTTLGYRVLIYSGDHDLCVPFPGTEAWVKSIGYQVVDRWRAWYSEHQVAGYEFSVCDGGRGQREELFYYLVLSERDPAADPVVVWLNGGPGCSSFDVFVYENGPFHFEPGSTPGGLPKLQLNPYSWSKVSNMIYLDSPAGVGMSYSLNRSEYKTGDLKTAADAHKFLLKWFELYPEFQSNSFYLSGESYAGIYIPTIADEVIKGTEKGVEPRINFKGYLIGNPATADYDFNSFVPFAHGMGLISTDMYEDVRATCHGTFWGTVDGLCQEKIDRVRWTLFRHSSLPESFRRLGETERAFPVRKGIAGRSWPIRAALKNGRVPMWTGIGGRSLNCTSDELATIWLDDEDVRAAIHAKSKSLIGSWELNTARLDYSHDTGTMVSYHKKFTALGYRYHVVDRWRPWYFEQQVAGYTEGYDHNLTFLTIKGAGHAVPEYKPKEALAFYSRWMAGERF >Et_5A_042914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6794319:6794758:1 gene:Et_5A_042914 transcript:Et_5A_042914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYDPLSSKLGHSRVHLMDGTSEARNIVGSGVYGMPRHGSRATMDPGLAYDTGAWDYVDLLYALNLHHRAATPVRS >Et_3B_028181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11394995:11398257:-1 gene:Et_3B_028181 transcript:Et_3B_028181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTRASMGAMEGAAVDEVVRRLVEGGRGGRQVQLSEAEIRQLCVEAKRVLMSQPNLLRIHAPVKICGDIHGQFVDLLRLFDLGGYPPTSTYLFLGDYVDRGKQSLETICLLLAYKLKYPDKIFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFCDCFNCLPMAALIDDKVLCMHGGLSPELNSLDQINDIERPTEILDEGLLCDLLWSDPSSDTQGWGESDRGVSCTFGADKLVEFLEKNDLDLVCRAHQILKPNETGAPRSKRPIPNK >Et_9B_066207.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:766409:767029:-1 gene:Et_9B_066207 transcript:Et_9B_066207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAIGGIAKRWRELHGEHSWNGLLDPLDVDLRKSIINYGELAEATYDGFNTERRSPHAGACMYGYDDLLDKSGCAAAAHYKVTKFIYATSSLPLPAAFLLLPLAALKDVWSRESNFIGYVAVATDEGVAALGRRDIVVAWRGTVRPLEWSHDLDVTPAPAAPLLGAAASRHPLAMVQHGFLSLYTSSHAGSKYNTNSARDQAIN >Et_5B_045416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21743269:21746700:1 gene:Et_5B_045416 transcript:Et_5B_045416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSVRLSPAPAAFAGSSLRSKSASVPSVSSLKPSKYVVSSLRPLYLAPLDGPHTAELKPQRQPLEFRCAASAADDKESKAEVVPVPSEGAQRLKISIYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLACGSAMMLFSWATRLVEAPKTDLDFWKVLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSAEPAFSVLVSRFLLGETFPVPVYLSLLPIIGGCALAAVTELNFNMVGFMGAMISNLAFVFRNIFSKRGMKGKSVSGMNYYACLSIMSLVILTPFAIAMEGPQMWAAGWQKALAEVGPNVIWWVAAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSIIIFHTPVRPVNALGAAIAILGTFLYSQVLKF >Et_6B_048269.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:3948540:3948809:-1 gene:Et_6B_048269 transcript:Et_6B_048269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRNLETTGNKSFTSFSISQVETKTSNLGISLGRNDNKIYSSVVSLKNIEIDRLTVPRKGVSKQRVVSNMSGDEEDNFDAILNHACV >Et_3B_028753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18860771:18868929:-1 gene:Et_3B_028753 transcript:Et_3B_028753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREVHRMASLRRDSSLWRRGDDVFSRSSTSRFQDEEDDEEALRWAALERLPTYDRVRRGILALDEDGEKVEVDVGRLGAAESRALIERLVRAADDDHERFLLKLKERMDRVGIDYPTIEVRYENLQVEAEVLVGDRGLPTLVNSVTNTTASIGNALHILPNRKQPMTVLHNVSGIIKPRRMTLLLGPPGSGKTTLLRALAGKLDKDLKVSGDVTYNGHGMNEFVPQRTAAYISQHDLHIGEMTVRETLAFSARCQGASAMGGQESTIVTDYILKILGLEVCADTLVGNEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSLRQTIHILGGTAVISLLQPAPETYNLFDDIILLSDGHIVYQGPRENVLEFFEFMGFRCPARKGVADFLQEVTSRKDQGQYWCRQDRPYRFVPVKKFADAFRTFHVGRSIQNELSEPFDRSRSHPAALATSKFGVNRKELLKATIDRELLLMKRNAFMYIFKSVNLTLMAFIVMTTFFRTNMKHDVVYGGIYMGALYFALDTIMFNGFAELSMTVMKLPVFFKQRDLLFFPAWAYTIPSWILQIPITFLEVGVYVFTTYYVIGFDSNVTRFFKQYLVLLALNQMSSALFRLIAGLGRDMVVSHTFGPLALLAFQTLGGFILSRPNIKKWWIWGYWISPLSYAQNAISTNEFLGNSWNIIPAGKNETLGLSVLKSRGMFTEANWYWIGFGALIGYTLLFNVLYTVALSVLGPFADSQGSMSKEEFTEKQANLTGQVIEEHKEKRSRRQELELSHSVGQHSATSSEDPSQGRKGMVLPFAPLSLTFNNIRYSVDMPEAMKVQGVNEDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGEITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLFSAWLRLPSDVDLETRKMFIEEVMDLVELTPLRGALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPVGQNSSKLIEYFEGIEGISKIKDGYNPATWMLEVSSSAQEEMLGIDFSEIYRQSELYQRNKELIEELRTPPPGSSDIHFPTQYSRTFFTQCLACLWKQKLSYWRNPSYTAVRFLFTIVIALMFGTMFWGLGSKTKKQQDLFNAMGSMYAAVLYIGVQNSGTVQPVVVVERTVFYRERAAGMYSAFPYAFGQVAIEFPYILVQTLIYGVLVYSMIGFEWTVAKFLWYLFFMYFTLLYFTFYGMMAVGLTPNESVAAIISSAFYNVWNLFSGYLIPRTKIPVWWRWYSWVCPVAWTLYGLVASQFGDIQHNLEADEVTDKVQTVAQFVTEYFGFRHDFLWVVAIVHVAWAMAFAFLFSFAIMKFNFQKR >Et_4A_034105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:363958:369029:-1 gene:Et_4A_034105 transcript:Et_4A_034105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLQNLLKEPPVVGSRSMRRPTPLNLAMVRGGSRRSNTVRTAPGASTSGAESSAVEAGTEKSDAYSTNMTQAMGAALTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRKIGVKTVFCLQQDSDLEYFGVDIQAIQDYSLQFNDIEHCRAEIRDFDAFDLRLRLPAVVSKLHKLVSHNGGVTYIHCTAGLGRAPAVALAYMFWILGYSLTEGHQLLQSKRACFPKLEAIKLATADILTGLSRNCVTLKWQNGSCSSVEISGLDIGWGQRIPLTYDAEKGAWILEKELPEGRYEYKYIVDGNWLCNQNEPITKPNADGHVNNFIQVSRDGTSDEERELRERLTGPNPDLTDEERLMIKEYLEQYVES >Et_2B_018919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17077248:17078258:-1 gene:Et_2B_018919 transcript:Et_2B_018919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LHARVAGRQRRAAEALVLRVAVRPVRRHEPPRRNLAAEFVVADVEVLEVDVQQRLGDAARDPVVAEVQEPEVVRERDPRHVELQLVPRQEEQGKQRKQRGGGRH >Et_5A_042826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5013821:5016535:-1 gene:Et_5A_042826 transcript:Et_5A_042826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVVAVVLLLSSNLAASQWCVCRSDAPQASLQKTIDYACGAGAECNSIHETGSCYNPNTVVAHCSWAANSYYQNNKGRGATCDFTGTATLSTSDPSSSGCSYPTSASAAGTMTPTTGGTMGGTPATGTFTPGVGTGTGTGTGTTTGTGTGTTTGTGMGTGATGTGLGSLGPTGTGMDTAAAGLLPKAGLAATFLAVLLSTVAFA >Et_6A_047505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6712155:6714464:1 gene:Et_6A_047505 transcript:Et_6A_047505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATSSRKGGGADRLSTLPDEILQQILSFLPAHEAVRTCVLARSWHHVWKLMRRLCISGTSTPASVQQVGWFMSRLFFICHCELDKAPLDACKIIFEDFCDHDVMLMNFWIEWAIRCKIQSRRLDIFRDENDFPWFQIDQDPLISSHLTRLELNGIQFIRSFADFSSCPALQDLQISKCDFTRVAELVSPSLKWLMITGCCFGQRSRLRISAPHLVSLKLDDPSVDRTPLLERMPDLVGAYVRTSCNMDSCYCADRMDCIHTMGGVSDSETDSDVEISSGDDDDNSIVKSVLLGGLSEATNLTLMSVDTMYIFRRDLRWCPTFFKLKTLLLNDYWCGPDDCRPLACMLEHSPVLEKLIILFSRKVEPEYKVEMKGCLDNSIKRPIAISEHIKIVKVKCNMVNERFHNLMKFLQTTGKVRHPISAISENLIQGMP >Et_9A_063460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3071606:3073848:1 gene:Et_9A_063460 transcript:Et_9A_063460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGYVTAEGPGGGRARGGGGRYPPLSALVVSAIAAFSAVIVLAVLHSAYDDALSRTRTLLGHNLEPTPWHVFPHAKGRPPARAALRCAPRVACLPPLSQPRPPPPANASSSSSRPRRQCPAYFAAIHRDLAPWRGPGRGVTRALLDAARRRAAAARGPVLRSRALFTVWSILQLMRRRPGRVPDVDLMFDCMDRPAVNRTEHGGNGDPPPPPLFRYCTTRDHFDIPFPDWSFWGWTETNIEPWDRQFESIKQGAEATKWQDRVPTAYWRGNPDVASPLRLALLGCNDTNLWRAEIMRQNWDEEAKSGYSHSKLSSQCTHRYKIYAEGFAWSVILKYILSCGSMALLIDPEYEDFFSRGLDPKVNYWPVRRFAGMCESIRDAVDWGNANPAEAERVGRRGQRLMEELRMDAVYDYMLHLLTEYARLMDFRPAPPPTAQEACEGSLLCLADGKQRRFMEASAAEPAVDEPCVLPPPE >Et_1A_005398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10061940:10062334:1 gene:Et_1A_005398 transcript:Et_1A_005398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFPALMRQWPSPPLIPASTLLPVPATSQEDELLLAMAEADLEDKLNEIRKANSNMVIIGKPAGDVKEEFDAEAEDDDADNVEDSDGDDFDQETG >Et_5A_041373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21961767:21963741:-1 gene:Et_5A_041373 transcript:Et_5A_041373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAECTKLNASKYGNRKPANRIAAANINSDEFIALGQEDDIKTFRNTNQMRLDKVDRISHNGSACPSDIDTAAPWSKIWPAPRSRLRRVDIREELFSSRAHNDDHEGSAATVEVVACPSSGTAHRDGPAFYMFQGGRVRVPNNEATVLEAAGVLWTNLKEDAAVTSIPQSHLTVICKRQAGGWSGQEAGDAVNNFQIPEVAEDWGRSMELPESAAEAGVGDETAPTLGDQGGADQACWLVRRESEQNLFDELVHQGRRRPRPRRRHGFLDPVER >Et_2A_016409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24324391:24327312:-1 gene:Et_2A_016409 transcript:Et_2A_016409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALAALAAVLLTLLVCCSLQARCEEEADVRQSLVAFLRELAGGDEQVVRDLRWDASVQPCAAAAPWASVDCGGDGRVRRVLLESLGPRLNGTFNAALLCAASTVRVLSLRDNALRGGVPAEIAACKALTHIYLSGNRLSGGLPPSLPQLGQLRVLDVSRNGFSGEIPGGLNKVNLKAFLANDNRFDGTIPDFDLRKFDAFNVSNNNLTGRVPTNTGVFGVDRFTPNAAGMCGQPIFAPCGSSPSPSPKTPSSGAAPPSTDSDVEATPPAGTASKAEEGKSGKSKSTKVVMYLGYVLLGVVVLALVMYLCFKKQRRSKLGSRKSMLGGRSSRRRVNDSTSKVTTTSVSASKSVYSLPTSVAQSPAAASAAAAPSTSLVVLRRSGTASITSTAAAAAAKELRFEDLLKSPAELLGRGRFGSSYKVVVPSGAALAVKRVKDTAVSEEEFRRRMERVGRAKHLAVLPPLAFYCAMQEKLVVYEFQSNGSLARLLHGSIESSQGPVDWPTRLQIAAKVADGMAFMHATLRGDGTATNSSSDSPSGEESAAVTDGPISHGSLKTSNILFTSGMDPCISEYGVTTPLLPSDGGGAEAALVADVRAFGVVLLELLTGKATAAQGDGAELARWVTSVIREEWTAEVFDRAMLAGGDGSSEQRMVRLLQVAMRCVDASPGAAPPTMREVASMINAIREEDDRSISSEA >Et_2B_019644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11767936:11775900:1 gene:Et_2B_019644 transcript:Et_2B_019644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPRVRALSVTHVRPNPPLPHDGDHHTIKLSLFDIMCLPYSLSQRVFFYEGDDLPPYPALVSALRSSLAATLAVFAPLAGKLAATPSADVAIDCSPGAVTEGVRFVEAEYAGDMRRLAGDAEHDAEAFRQLAPAPTLEASALQAPVLAVQVTRPKPDGADGGAIGAMVVGLSMSPVVADGKGDISAPDTSDTRRPDGKGLWQFVGAWAAVARGRGGSLARGPMFDRAAINRHPKAEEAARKFLRILSPALPTVGFRRTSHFSASQKTYLLTAGQIRSLKERISLAFAKSEAAAAVKPPTPSTFAVVASLVWTSVVRAKNALNRAADYDACYLTSRTAARACARRRCSTRSSATASRSGTRGPQWAGSAASAATPRWPARGVRCGTREFVAVVGAPDGGVQVYVPPWCLIGSTWTTSRPTSWRSCRVPRDTGCRAGIIK >Et_3A_025418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29738381:29742327:-1 gene:Et_3A_025418 transcript:Et_3A_025418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGGYGGSAGAEHHCHGHGHGDFLLHHHHHHHHAQHVAAGQLYHVPQHSRREKLRFPPDAAAADSPPHAQHPGAWNTPPSHPVLLAYMASSAASSSYSPPALPSQAQQLLAHGLAAPPPSQIPTPQNFALSLSSSSSNPPPTPRRTTHLGGPSGPNGPFTGYAAVLGRSRFLGPAEKLLQEICDVGGGATAAAHVDRSVSDEGLLDDDDDPMEAIDHDMDGGAASDAGGGPMSGAEQQWRKTKLISMMEEVCKRYRQYYQQVQAVMASFETVAGFSNATPFAAMALRAMAKHFKCLKGMIQSQLRNTAKVAAAKEGLSKEITMFGLQRAGALTAFGQPHNIWRPQRGLPERAVSVLRAWLFEHFLHPYPTDGDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQVHKHPALDKSPHGMHHQTHHSSECSGKPSDPSDSQLGQSSSITRNHNIAASQGFPDELSQVSHSIQGQVTFAYNGLSTPTHQHSLASSQHQHMGGFGGGAANGGVSLTLGLHQNNKVCIAEALPASLPPNLAHRFGLEDVSDTAYVMGSFGDQDRHFAKEIGAGHLVHDFVG >Et_6B_049348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3555925:3565525:-1 gene:Et_6B_049348 transcript:Et_6B_049348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGRGGKRRGPPPPAPSGAAAKRAQPSPGTPQPPPPAAAAAAVEEDMMDEDVFLDESILAEDEAALQMLQRDEALASRLSRWKRPPLPADLITGCSRAVAFQQLEIDYVIGESHKELLPNSSGPAAILRIFGVTREGHSICCQVHGFEPYFYIGCPSGMGPDDISRFHQTLEGRMKESNRSSNVPRFVKRVELLQKQTIMHYQPHQSQPFLKIVVALPTMVASCRGILERGITIEGLGSKSFLTYESNILFALRFMIDCNIVGGNWIEVPAGKYRKAARVIYSDLVSHAAEGEYSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQIANLVTLQGEGQPSVQNVMTLKSCSPIVGVDVMSFETERDILLAWRDFIREVDPDIIIGYNICKFDLPYLIERAEVLKIAEFPILGRIRNSRVRVRDTTFSSRQYGMRESKDVTVEGRVQFDLLQAMQRDYKLSSYSLNAVSAHFLGEQKEDVHHSIISDLQNGNSETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVIPNIKGQGSGQDTFEGATVLEARAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVPPEDASKLNLPPESLNRTPSGEIFVKPELQKGILPEILEELLAARKRAKADLKEAKDPFEKAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTLGGYEHSAEVVYGDTDSVMVQFGVSTVEEAMKLGREAADYISGTFTKPIKLEFEKVYFPYLLISKKRYAGLYWTNPEKFDKMDTKGIETVRRDNCLLVKNLVTECLHKILIDRDVPGAVQYVKNTISDLLMNRVDLSLLVITKGLTKTGEDYAVKSAHVELAERMRKRDAATAPTVGDRVPYVIIKAAKGAKAYEKSEDPIYVLDNNIPIDPQYYLENQISKPLLRIFEPILKNASKELLHGSHTRAVSISTPSNSGIMKFAKKQLTCLGCKAVISGANQTLCSHCKGREAELYCKSVANVSELEMLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRRKAQKDMAEARLQLDRWDF >Et_1A_009305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3858871:3859726:-1 gene:Et_1A_009305 transcript:Et_1A_009305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFWGGGGADEVADFDEYDPTPYGGGYDISLTFGRPLPPSEETCYPISTSSTSSSSSHDRPQQQHGYDAGDHRRKPHADESQVSGAGYGGRPQPHEEETHGSVAAGYGYGRKGHGDDDDEQGYRKPKPAYGDHDEQAYRKPKPAYRDDDDEQGYRKPKPAYGHDDDEYRKPKPAYGDDDRPNKYHGDERPSYGRKKQGDDDSDDDDDDKRKPRYKKYDNDDSDDEKKKRYEKNNRRRHDYDD >Et_4B_037798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23505863:23507464:1 gene:Et_4B_037798 transcript:Et_4B_037798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETNYVQWEEKPFLSVSAYFIADEFQELVNTKLFYNIATKRGYTNNTPIELPATPLPPKTIYEALSNI >Et_3B_028746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18734198:18744488:1 gene:Et_3B_028746 transcript:Et_3B_028746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CTDGNFLLACSGTVVDHVSSQTWILTSATLVRKPGNEYEAYDSGNIKIKVVLHDLQTVEGSLEMVDLHYNLAIVTIKSPTDLPALELSDLPVVDYMGPMSVVSLGRGACSTLLMKCGKLIRENSELDCNELLVCTISLGTCHGFGKKVPWHHLFVQSNNAILASWYGRKMPEILQIQCRTLLPRLLISGQAVHMLDLPVLERLCCKYAVIPSGILVNKVDGASLDHFGGIKVGDIISELDGVPLCSVAQFSVPLLDKLEAAKSTQNTVTLQASVKRPPDTTFLAELNVGGIFSEEFGKSFQNRLALIMKTSILACLMIFPG >Et_4B_039352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11062401:11063779:-1 gene:Et_4B_039352 transcript:Et_4B_039352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALASVSVLLGVLAAVASAQLSATFYDASCPRALATIRSAVTAAVSREPRMGASLLRLHFHDCFVQANPLISSGCDASVLLADTGNFTGEQGALGNRGSLRGFNVVDSIKAQVEAVCPRTVSCADILAVAARDSTVALGGSSYPVLLGRRDSTTASLSLANSDLPAPTSDLASLINIFSRKGLSITDMVALSGAHTIGQAQCTNFRSRLYGEPNINPAYAASLRANCPQSGGDGNLAPLNAATPNAFDGAYFAGLLSQRGLLHSDQQLFNGGPTDALVRAYAANAGQFRTDFAAAMVRMGSIGVLTGNQGQVRVSCSKVN >Et_2A_015671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16883341:16887172:1 gene:Et_2A_015671 transcript:Et_2A_015671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELLIFTRGGLILWSSCRALGGAALKGSPIDALIRSCLLEERSPDASFSQDNYALKWTFHNELGLVFVAVYQRILHLLYIDDLLAAVRKEFSQIYDPKRTNYDDFSDIFRQLHLEAEARAEEMRKSKQAIVSRPSPAVSNKTAPKVRGGGNAAGKKGGSGKDDSDGDSGKDQPSLANGKENGGPKDNGAFDVNKLQKLRNRNNQKKNVPTENGTKKLTKPDTKKKVKDNRVWDDKPSNKKLDFTDPADERGDEVTDQVLVNQGESMMDKDEYVSSDSEEDEEVEDGPKKKGWFSSMFQSIAGNNALEKSDLQPALKALKERLMTKNVAEEIAEKLCESVAASLEGKKLGSFTRISSTVQTAMEEALLRILTPRRSIDILRDVQSAKERGRPYVIVFVGVNGVGKSTNLAKVAYWLLQHNLSVTLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEASRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNNPDLVLFVGEALVGNDAKLADLSAVPTTRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >Et_4B_037757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23145864:23147769:1 gene:Et_4B_037757 transcript:Et_4B_037757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCAAECALSLACARWAVHRLSLSGADDSASWPAALSESFAPVPRACRAALAAYNDEQQTPPSPLCPAYRILHDRARGEVVLAVRGLSLARPEDYRVLLDAGGPEPFAGGHVHRGLLRAAVWLLDREGPNLRRMVAEAGPGPCRLVFVGHSLGAGVAALAAVVADDFLPRTPAPLQHIFGSIFCLPCLLCFICTRDTFASHGKLTDPTKLYAPGTIFHIVERKNCRCGRFPPQVRTAVPTEGRFEHVVLSCNAAADHGIIFGSKERLKRLWISTSPPSQQKIIRAQSVKTKEGTIDTGSIKYPVFLEETSQGDVFSSPLDSPSTSMASRSTSSSTSDQCEWDELVETFLGDLEQDDVIRRCSNGVFFLCGIVKERLPSRCK >Et_4B_038028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25585547:25589117:-1 gene:Et_4B_038028 transcript:Et_4B_038028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVESKEGKSPEELLCSAAKSGDEEAVVRLLAAGADATHFDSAGLTPLMHAAEGGHAAVARLLLEAGAPWNALSPSGLSAGDITSDPATYDLILDHALRCELLLGTVARRQAPSGNTSDGASAPNYLDSRVSFSEDKVMDTESKAVMMAWERPLMEAHARAVCTVAGGKVLNIGFGMGLVDEAIQRYEPEEHTIVEAHPEVYARMLKLGWGEKKNVRIVFGRWQDVMPQLGSYDGIFFDTYGEYYEDMREFHQHLPKLLKPGGIYSYFNGLCGDNAFFHVVYCQLVALELANLGYSTQFIPLPVKDCHAADVWEGVKQKYWQLDTYYLPVCQSESESE >Et_2A_014726.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:10129436:10129600:-1 gene:Et_2A_014726 transcript:Et_2A_014726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLILTVAMRWARFVILGFATYYDQAVTTLCRIRRGTYGYMAPDVHKARSSCL >Et_4A_034760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6191408:6194082:-1 gene:Et_4A_034760 transcript:Et_4A_034760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSSDAVKQHAAIAAGVGAWAGVDASLLMRRWREFTRSGTPARFLCFEENGWADIADEAAAEELRAAFRDRRVFAEVAYGGRAFLFDFLRMARIDEATAEQAALGWIDDRGACFFPAPLDASRKRRRSDDGDSDDAESSSSSSGVDERSGESRGTGGGARPTKNKKARVAWRDGAAALEERDKHSQVVSKLFLGYGMAARGAEITAVRRVAQGARAAEFQRQGQILADARGAAAAAAKFAWYGAPAEDVAAAVEQGIARTNALLLGARAHGDGVHLSPPQCPYASAMLAKADETGEAHIVLCRVLMGRPEAVPAGSSQSQPGSEDYDSAVDNMGNPRWYVVWNKDMNTRILPEYVVSFKCVNLHARGSSEATSKPKKPSQVARDMFPTLLAEIKKFVPSEKCERLQGTYDRFKKGQVKKEQFIRFLRSYIGDNVLTTVAKKLRGC >Et_4A_032004.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:11548768:11548842:1 gene:Et_4A_032004 transcript:Et_4A_032004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPIRPIQLLKGSPSPTKSSSLC >Et_8A_057808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7550806:7556320:1 gene:Et_8A_057808 transcript:Et_8A_057808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDESAGGGAFHELFDSVRRSISFRAGGAALDEPASSSSSFAAAAGGGGFRERIGNRLRRSRGMGLLGMSSKSPLPAPRLLPPPSPSSPPHMATDPVRGGGESGVGGRGGREENPPPIRWRKGDLIGSGAFGQVYLGMNLDSGELIAVKQVLIGSSNATREKAQAHVRELEDEVKMLKNLSHPNIVVSALHIATGFTFLFGAGVSSDPVSFDQRYIGTAQEDNTLNILLEFVPGGSIQSLLTRLGSFPEAGANILVDNKGCIKLADFGASKQVEKLATATAAKTMKGTPYWMAPEVIVGSGHNCSADIWSVGCTVIEMATGKPPWSHEYQEVSLLFYVGTTKSHPPIPEHLSPEAKDFLLKCLQKEPEMRSDAADLLQHPFVTGGLEDVSQLNCAAPKETPSNKLPANVMQTDDSDLSRPGKLRTLNSYKYTRPLWDLDSGDSQFPDKDDVPMVGSSFNPMLEPSDEWESKLNISPEQRISQSREFGGLAKLAEIQMSENDFTFPCEGSGEEDDEFTESKIKEFLDEKATDLKKLQTPLYEFYNTVNAGVSQGVGDVCRASKMSNPQLPPRPVKMVGGTAVEPICDILNHSPKSCTRRFSRSSVESSRVLREIVSPQLNKFEDKIPDDIQDNASCSFSEIQRKWKEELDQELKREREMRSGGYGKAPSPKGRRLTGKRDRNPVY >Et_4A_032826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14384293:14389984:1 gene:Et_4A_032826 transcript:Et_4A_032826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAGGGGRWCVVTGGRGFAARHLVTMLLRSGEWRVRVADLAPAIKLDRDEEEGILGAALREGGAAYASADLRDKAQVARAFEGAEVVFHMAAPDSSINNFQLHYSVNVEGTKNVIDACIRCKVKRLIYTSSPSVVFDGVHGIFNADESMPYPDKFNDSYSETKADAEKLVMRANGREGLLTCCIRPSSIFGPGDKLLVPSLVAAARAGKSKYIIGDGNNYYDFTYVENVAYGHVCAEKTLSSEDGAKVAAGKTYFITNMEPIKFWEFMSLILEGLGYERPSIKIPVAVMMPVAHVVEWTYKKFAQYGMKVPQLTPSRISLLSCNRTFSSSRAKDQLGYEPDGLKRTIESYPHLHAQNERSLSKASMFLGNGNLAKTLLWEDKKQTATVLLLLAVIYYHLFTCGYTFITAMAKLFSLTALFLFIHGIIPSNLFGHKIEKLEASNFHVTQVEAHHMAHSVSSSWNSLAGGLRSLCRGNDWALFSKVVFFLLVVSILSSMSSQTAFKIGFKAYEKWEDTIDSLVGDACSIILHLGSTKKSSQKQ >Et_9A_061099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15869187:15869864:1 gene:Et_9A_061099 transcript:Et_9A_061099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTAAASSSRSAAGGAGGNHAHAHNAPAAPSSAASPPCRHTPSSATLDLLILLLVLFPLAFLVASWLAHVSRALAPLLYSPPVEAALAAAAAALPYLAAAAVLAAAGLLSCLRLPRRRCRNPRCRGLRKALEFDVQLQTEEAVRTGAGSTIEALPWKGGQGGNNPDYECLRAELRRMAPPNGRAVLLFRNRCGCPVAKLEGWGAPKSKRRNKK >Et_3B_031690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:804667:807163:1 gene:Et_3B_031690 transcript:Et_3B_031690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLWRWYQQCLASHPVRTQVVSSGVLWALGDTGAQAVTHYSARPDRRANIPEDKDKEFKIDWKRVGITSSFGFAFVGPVGHYWYEYLDRFIRRRYQPNSFKFVASKVAADGMLFGPLDLILFFSYVGLASGRSVEHVKDDVKRDFIPALVLGGLIWPGVQIANFRFVPVRYQLLYVNLFCLLDSCFLSWIEQQGDASWKQWFSRSFQKIEDQKSKV >Et_5A_041945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4538826:4540997:-1 gene:Et_5A_041945 transcript:Et_5A_041945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGRGRGGRGRGRGSAFDYRANHSEHENFPEITLPEMTCAKASDEEKRQLQSTLKFEEFWKTSCYHLEEDAPKKKNEDKEIERFSDRRRKTQTKREALSLYLKLTSSNFPGELAQGKKPVQTTNKKLRWDNDSDVKAFDVFEKLEQTHKARASSLYLSFKILIDLLSRFKNQFGTDLFIIITSSPHDDGEKKEEKEGDDEDEEEEEVAEEEESSDDDYNQNIEFDDDDDDWNQEDET >Et_2A_014591.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:26026840:26027202:1 gene:Et_2A_014591 transcript:Et_2A_014591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFVAVALSCVFGLLISFFGFAARKAVSATAFTVTGVVNKFLTVAINVMIWDKHASAFGSVCLLFTIVGGILYQQSVTTKGNTAARRGPVSEQPKDDIDTKEFDEEKQSLVSSAKQSNA >Et_5B_043119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5604562:5605527:-1 gene:Et_5B_043119 transcript:Et_5B_043119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTRRSTSLVACFQDQYVPPLIQHRHQDAKLKVLFQCQDAEVEAFKHFEDAEQQTPCLYEDEELRNPLAPPHEDDEEKTLDQYEDEQEKEPGQYQDHEQKKSEQHHDGDDKKPEQHQDGEGKKPDRYLDYEKTLQQYQYEDDNQDEKQLTPKVYGYDDDGHKASQQCQEADEPASEQHVEEGEEQKAQLESCELGLKTPEQRQGAKNLHAPPSSIDGVPRFSLQELIQEKQLPVREARLTSKLGGREENVLADYKVSGSGGGATGGTTLAMVIRRPEGGKKSMGMIRRCVKALNQMIKAKHSSKKNSTL >Et_2B_019331.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24111554:24111727:1 gene:Et_2B_019331 transcript:Et_2B_019331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVDDIYGQFFMHIFSKDLEVCLKLQELTSPAWRLAWAWTKVHDESFHVHRYFSEW >Et_7B_055309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9233240:9238225:1 gene:Et_7B_055309 transcript:Et_7B_055309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVISGHFAGASSELKGTNFEQQPSRNLNNETREPGWVAGGLDLFYWVVTVIGLLGFLNYSYLYWAKKYVYRQDPRIRRQTFRSTMAIGGFVDWKGTPINRILTVVTNMVNVPLFLNLVTYLHGTMHMGVSGSATTVTNFIGATCGFALIGAFLSDSYITRARTILIFGPLEFLYLLLVIEHAHAQGFALLALQAYLPSLRPPRCNIEAEPSNCKEVHGWNAVLLYAALYISAFGEGCVRACLPSLGADQFDHEDPTESRQQSSFFNWFTFGISFGGLLGLILIVWLENYKGWDIGLGLCSILILIGLLVVAAGLPFYRNQVPEGSPLTRILQVLVVAFRNRRVELPEKLEEAQETSAGPGTVEVHPETNNLKFLDKACINRGKDGAWSLCSVAKVEETKIVLRLLPLFLSSMIGYVSNPIVLTFTVQQGGMTNTRLGKIHVSPATLFIIPITFQTVMLALYDRFIVPFLRRRTGYAGGITHLQRISIGFISMILASIIAAVVERKRKEAAEQMSLFWLTPQFFLLGVSDVTSFPGLLEFFNSEAPRGMKSIAAALFWCEIGLSSLLATFLVQVVNKATRHGHQGGWLEGTSLNNSHLDRFYWVVTVVGLLAFSNYLYWAKRYVYRQDPRIVDEPPVDQDSL >Et_1B_012127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29140561:29141308:-1 gene:Et_1B_012127 transcript:Et_1B_012127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRAEGCQEEWCKRRVVARGSQGYNIVVAAAICNGCIYLLHLGDRLTLDKIWVQAPLTARISKPFLIECDGEVLLVRQLLARDEKYPVASCHKDFLHIVGFEVYKLDEMDKRWMPVEMLNGDQALFVSPESSEVKWGEVWRHVGSYRVYAGRLDVIELRVKKRQQLNVF >Et_1A_008750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11600200:11601580:-1 gene:Et_1A_008750 transcript:Et_1A_008750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKRCIGCTGSDWFLVLDEASRECFLTTLFLTDESSDTAAVVRLPPMPTDPPQLEFLFNCVLSAPTPTAPGCTVVLGLIGETYLRYCRPGDEAWSQADVLADHNDSFDGAVAFHGGKIYATTTASYTVVVDASSSPALRVERIDVTIPEPFPGDHPRRQHLVVTSPPSPDGGGGGNLFFVRAYFFGFPAEVVGVVLCRWDPSENSWREVDGIGDTALFVGSNCVAVSPATEAGTEPDCVHVLRSCYDGVRVYSVSLHDRTIRCNFVGISGLRGDDHGMPQQHRLREDVESGARELHEYIEEHDDDDPFLVATHNPLFLDGEFYCLARDGKLGAFDPKTASWRLLDDLVEPIGNGGDVSAGPLGEEGVYAYPVEWNGQVAAVFNDKDVQEPIEVFVLDRTRVAWSKVDELEDGAMFWDRKQAVARLSSSLASGFGCNRVYVPTFSETADGGRECLF >Et_1A_006230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19426448:19434745:1 gene:Et_1A_006230 transcript:Et_1A_006230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASKLGRGCMGLTGAYNSPLHEEAGAAVFPHAFRRGVTFFDTSDFYGPLANEMLLGKVLTPEFYQVTWQRTDCDRFRRDDGAWGRSTCAPATRPACAASYSAATSTSTTSTASAPRSPSRTLYLCSTLCLPLAVPFLCILFIPSEIMAAAQETCGGREGQRAHAVHPVSFLQMEWALWSRDIEPEIVPLCTWVPGSPPPLLPKLCLYRTGHGIVPYRPIGRGFFDGRGVTEQVSPGSNLQGHPRFSAENLETSKKIYLKMEELAIGKLLIKLTDEDLKGITSKIREDVAGRRPYTSFAHTIWKYADTPTK >Et_9A_061821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16876122:16877863:-1 gene:Et_9A_061821 transcript:Et_9A_061821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSSSLPATPGSVVTMASSPTASSISGGSIGGGAGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKILNELHPSQREDAVNSLAYEANMRLRDPVYGCVGVISLLQHRLRLAHQELGRAYNEISKYQAAAEAAAVAAVGSNGGGQAPMAGFVGNPVVPNCSQNFVNVGHSTAAAAIGGAGFMQHDAYATVQLQHMLARGYDGEASTARVGVNGNGGVGGSYSFGYSSGLASEHGGLGSLGNGPFMKCAAGTAGGDDRSTVAQ >Et_4B_039246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9036317:9040330:-1 gene:Et_4B_039246 transcript:Et_4B_039246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAEKLRGLRITSLDEEDDEAELAQEPPPAAADYEDDEEEDEEEPEVVLGFLEKPKRPGLLLRHLFPSKAGGIPAWLDPVNLPSGKSSCCGFCGEPLQFVLQVYAPIEDNAAAFHRTLFMFMCPSMACLRRDQHEQWKHKHGNPCRSVKVFRCQLPRTNAFYSSEPPKRNGSDKPLCAGAPVCHWCGTWKGDKICSSCKKARYCSEKHQAMHWRTGHKNDCLQIISSSDASNSVLLAAGKIPAHTPWPEFEIENECEGNCDSDSCDEDNPKSLVMQKHSKPDAVTQSWMDQFEVADADNKCWASFQERITRAPEQVLRYCREPKAKPLWALSAGCASNADIPSCSNCKGPLCYEFQIMPQILYYFGVRNEPDSLDWATIVVYTCRGSCDQSLSYKEEFAWGFDRGHLCAFRFED >Et_6B_049781.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:11380257:11381930:-1 gene:Et_6B_049781 transcript:Et_6B_049781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRKRTIIHSIPPQTVEHCPRLIVTGEYRHHVEKFPHGFFFKWLHHNQDDMTMMSNCSGLVTVDRIWLSDDKILTSTPWLKDLFLSFSLFKLLRCRFAGYTIAEAGFEEAYNFFLHVLLKDKDNHERVYGVITNELSFLHDYYYSSIPIHYSNFWLPILNIFVSLLTITYCLLLVGLGIPEIIADMNSGEHYRAQVSCWITCQANASDYENYDQQGVHFGNIAFDGVPVAIVVVLVVLAEARELATYICSNWTKVGLICRYAQKWQGSPSMQDWIGRVLRCRCKLMKHWDDKMNQCSVLVLHPRRSDQIVSLISHLLHLPANGKSSVKVPRVVKAGIVNALRSSSNNNNGFLADPTTFLRQSLQDRRNNFRWASEGKGIADIILMWHIATGILELRRHHSDDRIVATHLSRYCAYLVAYMPELLPDCDKWSRGLYMAIKKDCIHALAAGRTSALPAVPESLEYDKVVSLLQERAENEVLRNGVKLAKELVGWEGEEAAWSLLAGFWSQMILYVAPLENLNGHADAIARGGELITFVWALLMHAGIARRPATGERV >Et_1A_006972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29813998:29817828:1 gene:Et_1A_006972 transcript:Et_1A_006972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSRCSSLEITICRRVQSGSLGLEDALRLFDELLEQQRRRRPNPGSASAFNSLLTVFARDRGDGPARAVSLFSRMARAGAAVPDKCTYSILVACCCRAGRVDLALSPLAAALKAGLKLDVKFFTPLLRGLCSARRVEEAVDVARRMMPDFGCAPNAFSHSVILEGLRDHKRSLEALEFLRMMVRDGNEINAVLYTTVIDGLFKEGRVEDAVKLFDEMREQGVSPTVVTYGSVIDKLCKVGAMDKVDRIIRQMVSEGVAPNCFVYNSLVFGYSTSGQWKKAVIMFEEMRGKGIKPDVITYSSLMSSLCKHGQCSEARKVFDYMVKSGEMPDATAYGILLRGYASEGSPADVQNIFEMMLGAGIAPNDYVFRSLLQAYGACEMGEETLLVLRDTSKRGVNPDVVHYETVINVLCCVGRMEDAMSQFKQMIHEGVRPNSTSYTFLVQGFCKCGSWEQAEELVYDMIRRDVLPEANKFILVIRNLCMVGRLKEAQNLFDLLVDVGVKPDVVMYGTLIDGYCLAEKLDEAKKLFNSFIASGLKPNEFVYNFLIHGYCKCGRIDDALTMFREMLSKEIKLGLVAYGIILDGLFKSGRTTAALEQYREMIDNGVKIDISIYNIILGGLCRNDFTDEAIAIFQSLLSMNFQLKVQTYYIMIDGLLKAGKKKEAKGIFAAIPTNGLEPNAFIYRLMITDLIKDGLLEEADNVFSSMENSGCAADSRMLNDIVRLLLEKEEICKAGIYLSKLDERNFSLHASTTHALMSLFSDGKHEEQKSYSNGCPSGYMGKPDIYMLTWYLALLDWSELRILQGPWDWSGLRILEGQWKARPGSSAMLIFAGF >Et_7A_053157.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:8547992:8548270:1 gene:Et_7A_053157 transcript:Et_7A_053157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHAASARLPAMLLAMLLLLASELATLSCGHRIHRADVAAWRHGRAASTTTTTTTTERAAPPAPAADAEAAAAVFGDSKRLVPQGSNPLHN >Et_1A_006178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18870359:18880299:-1 gene:Et_1A_006178 transcript:Et_1A_006178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLPAADVEATLLAHLNSASEVPDSRSFASAIGVSHAELESVIKSLSAFRIVDSTDITKETWVLTEEAKGYAARGSPEAQLVAAIPPEGATKDVLKAKLGDVCFDIGYKAAARNKWIGFEKGNKDFVLRKVESVKDELQEQLKKLQDGETVPDKVIDDLKKRKLIAKEKYIWYSLKKGPEFVLKRKTLATDVTAEHLRSGDWKDLEFKDYNYGAQGQPIAKGYAHPLLEVREEIQNIFIKMGFVEMPTNNFVESSFWNFDALFQPQQHPARDSHDTFFLTAPAATKQLPEEYLEKVKQIHQSGGHGSKGYGYDWKRDEAEKNLLRTHTTAVSTRMLYKLANEKPFAPKRYYSIDRVFRNEAVDRTHLAEFHQIEGLICDYGLTLGDLIGVLEDFFASLGMSKLRFKPAYNPYTEPSMEIFSYHDGLKKWVEVGNSGMFRPEMLLPMGLPEGVNVIAWGLSLERPTMILYGIDNIRDLFGPKVDFNLIKSNPLCRLGLQDQIMAIIGVLKTDRNSSRPSGDQNVKEQASFFGFNGL >Et_6B_049473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5228892:5237659:-1 gene:Et_6B_049473 transcript:Et_6B_049473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLRAAACSGRREASDAGADGSGVRVAAQDPSGTALLRATNLGARPPHTSHGTHSPWPSASHRVHPAWRAVLASHHPGPAPPMRRRKESVPVWIHLACLEIKLWSPCTETFKSALHANSNPPYTCAPPHAYRVDPQDVTFHVGPCKGPASPSFRLRCTATSSRPVLLSVPERDKSAECGSSHSNRSPPSWPEDSPGDSRRLLHSRRPDRFTIWFKDEPRDLSVVGLTQDSALLLARRGVPGYQSVKITYTMYFNLLTEKVQRHKQMVEKGVIEQPTGVSQNHSPAQMSADPEKVDPGRLPVLTWEHKLSQVGRDLPSFRLTWKEIRQLAGIGFRLGRHILEETSKGRIAVIDPMKKRIAKSGQGVPLGGIGAGSIGRSYKGDFQRWQLFPGTCEDKPVLANQFSVFVSRQDGKKHSTVLHPGKPDLPKGSDISGIGSWDWNMSGQSSTYHALYPRAWTLYDGEPDPDLRILCRQISPIIPHNYQQSSYPVAVFTFTVTNSGNTDADVTLLFTWANSVGGRSELTGYHSNSSMITANGQPPVTFAIAAKGKENIHISECPYFVISGSSDEFTAKDMWNSVKEHRSFDHLDPMKTSMCSREGSSIGAAITASVKLGPKETQNVSFALAWACPEVKFSSGKTYHRRYTKFYGTDGDAAASLAHDAILEHTSWEGQIEEWQNPILQDKRFPAWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTAIGGKKFSLDMLNGEPDDANGMVPQNNTASDILHRMASVLERMHASMASNSAIGTTLLQDEENIGQFLYLEGIEYYMWNTYDVHFYASFSLIMLFPKLQLSVQRDFAAAVMMHDPEKLRILHDGKWAARKVLGAVPHDLGLYDPWFKVNAYTLYNTDRWKDLNPKFVLQVYRDVVATGDKSFARAVWPSVYMAMAYMEQFDKDKDGMIENEDFPDQTYDVWSMAGISAYCGGLWVAALQAASALAREVGDKASEKLFWDKYEKAKSVYSKLWNGSYFSYDDGDNKVSTSIQADQLAGHWYAKACGLFPIVDKDKAQSALEKIYSFNVMKFKDGKRGAMNGMWPDGTVDMSAMQSREIWPGVTYALAATMIQEGMVEQGFKTAEGIYHAAWSPEGLGYSFQTPEAWNNDDEYRSLCYMRPLAIWAIQWALSNPKLHSTPQTDIPEGSFPKNQFSYTRIAKLLQLPEDDSSKSFVRVVYEIIRNRFTS >Et_4B_036269.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13999004:13999480:-1 gene:Et_4B_036269 transcript:Et_4B_036269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVLDGSTVRSFVADEAAFARSVDARFADLDANGDGVLSRAELRRALESFRLLDGAGFGSAAPAPVPAEVAALYDAVFEQFDADGSGAVDRAEFRDEMRRIMLAVADGLGSQPLQVAVDDQGGSFLLEAAEHEAAMIAAKVDEERKKAAAAAGDDK >Et_4B_036065.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:20080334:20081656:1 gene:Et_4B_036065 transcript:Et_4B_036065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADRGRVLVPAVHRARERLLAERVQRVPGLQRHLHVLRQRQAAGFPGVAHHHAPDDQRDVHDPQEQEGRPLPVQLRHLHVGGVVERAGPRPEQPLEAALLPLVGAGQPEHAGAGAQDQHLHGRGALLHRARHLRPHPHGHAHRQHPDVPAVADRAARGDAREAARLGAVDAPPRAAAGAARARAPLRPVQVAQHPRRGRGAAGAEPAQGPAPRHQAAPLPGARPPGAALRQHGRAPARRHLRATQAQPLHRAHLHHTRGGPRGPDGVHHPRHAREHHHRRRTHGVLQPQPARGRGFLRGGAAHVGARPQGRGQPAVVHAHRQ >Et_5A_040358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16627607:16629604:1 gene:Et_5A_040358 transcript:Et_5A_040358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASTLLKLLFLFLNLGAFTAGDKQFVYSGFSDAKLVTDGATTVTSNGLLELTNGTDQQKGHAFYQTPLSFKSSPNGIVQSFSTSFVFAILSVYTDLSAHGMAFVVAPSINFPSTALPGQYLGLTDIKNNENSSNHFLAVELDTIQNKEFNDVNANHAGANVWIDYDDKVASITVTMAPLKVARPIKPLFTATCNLTTVISDVAYIGFSSATGTINTRHYVLGWSFNMSGSAGAIDIGKLPKLPRVGPKPRSKVLEIVLPVVTAVFVLVIGIIILLLVRRHLRYTELREDWEVEFGPHRFSYKDLFDATEGFKEKHLLGIGGFGRVYKGILPKSKLEVAVKRVSHDSKQGIKEFIAEVVSIGRIQHCNLVRVLGYCRRRSELFLVYEYMPNGSVDKYLYGLARLYDHDADPQTTHVVGTIGYLAPELGHTSKATPYTDVFAFGIFLLEMTCGRRPINENIQESQCMLADWVLEQWNGGSLIDSVDSRLHGNYNINEVCLALKLGLLCSHPFSNRRPTMRHVMQYLDGVMPLPEMSPADPRFHIMAVMQNVGFDDYITGSTLSTGTPSIISGGR >Et_9B_065130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21396214:21399403:-1 gene:Et_9B_065130 transcript:Et_9B_065130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQATMFRFVSLLSFCSLVSMALMLGYYGEVEVAVGPGCSRLVQASSVFVEGIKVSVPAGPQVNDGELVLYGLAGAPRMDLPAEWTETRRVVVPANLHREWVYFLNKGARIEAAYSVDSESTDVPYPLCIVIAQGKESFIMWTEEPTEHNTALSWHLVQESGLCSTAPREQSTDAHWVSMFAPTEYHFWDKMLPSYHPASERLDSDEQRLKLSYEPRWIAYVVGSAILAIVLMLLYEIVSMLFDPCARGGRSGVERRTPLLTSKEDDSASLGSSYDSVSHDGDDDDAAEWGEGGDGCVVCCDARKDCFFLPCGHSATCYACGAGIVEEHGSCPLCRRKLKKARRIFVV >Et_9B_065031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20647863:20649342:-1 gene:Et_9B_065031 transcript:Et_9B_065031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFEDCKGISVRGITLQNSPQHHLTFTRSSNVEANYLRVTSPADSPNTNGVHLVDSYNVHIMDNLLSTGDDCVSIVGNCTDVRLRALSCGPGHGISIGTLGENNSTDYVEKIKIDTLFISNAENGVRIRTANKMGGGFARKVKFESIVMRNVKNPIIIEQGRSHHLSDSSSDDKNATEPRAVLVEKINYIDITGTSGSKHAVTFSCSDVMPCRHLKLKNVNLTRVDGHKVKSYCRKAFGKTVGTVIPKSCLMKEDFVQQVPGRLEDNDDDEEY >Et_6A_047091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25011078:25021853:-1 gene:Et_6A_047091 transcript:Et_6A_047091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNLFTPLVNGSSSLDRHRQASAMLRRDGGRRSNGDSRDEHMSHEEPERKINAMDADDGQSILNGLRINTLASSQFHYSQSAPALLSMGTSSPARCSAMTLFVPPTRSPPMNNAGTAGTRPPSILASSLSISRPRGSLSSSWTAAFTPMSLRSDVTAWHMLQLLFVNTTTARSDASFVTRQSAPAFLSMGTSSPARCSAMTLLVPPTRSPPMNTAGTAGRRPPSILASSLSISRPRGSLSSSYTAAFTPMSLRRDVTAWHMLQLLFVNTTTVPQPSPTPAFSRSPLASFLWLTLLGSQVLQPERAGSLEHGHELAGEVERHDPVCPADEIAADEHRRYGRDAAAEHPGELPLHLPAPGLPVQLVHGSVHAQIGQKRRYRMAHAAVACREYHHCTLRRQLRNTSYSQSAPAFLSMGTSSLERCSAMTLLVPPTRLPPMNTAGTAGTRPPSILASSLSISRPRGSLSSSCTAVFTPKLAKSDVTEWHMLQLLVVNTTTARSDASFATRSINGGFLLVVLG >Et_1B_014062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29459400:29462258:1 gene:Et_1B_014062 transcript:Et_1B_014062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPPSSPLPAWAASNDLFHRHRRLLLLLFPPTSLRALLPVLSHCVVSGLARNPFVASRLLIASSSLSLPFSLLLLSSLPVASLSPFSFNSVIRASPPRLALRLFDQMRRRGVPPDPYTLPFLIHACSGGDPTLCQSLHGHGFRLGYGSNLFTQTALINMYFACGSVVAARRVFDEMMLRDVVAWTGMVSGYVGSQMYLKSVEVFREMRCADDLVRPNVATVVSVASACAGLGSLECAKGLHAYVEKVGFEGKLIVRNALIDMYNKCGSIESARGLFGLMHEKDLHSWTAMISGLASHGHGEEAVSLFFRMFEEGVMPDSTTFIVVLSACSHAGLVDQGISIFNSMETEYSVSPDIKHYGCMVDLFSRAGLISRAYELIITMPFEPNLVILGALLSACSINNELEIGELVLNKIESVCSYKGGADVLLSNIYANQNLWHEVDTIRRKIRSEAIARKPPGQSLVAAEDLAEEGCWGWGQGTTNGACGTCFLLWWWAGNPHAM >Et_3A_025770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32726175:32727630:-1 gene:Et_3A_025770 transcript:Et_3A_025770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAFTLAGAFQGLSLSSSPRPSFLRGDRAALSVGGAAIGVPVPARRLTIQMAHKKGAGSTKNGRDSKGQRLGVKIYGDQVAKPGAIIIRQRGTRVYPGNNVGMGKDHTLFSLIDGLVKFEKYGPDKKKVSVYPYEKQPENPNSYRARKREYFRMQRERKKARAEGAIEPQLVLAAVDETSEINADC >Et_6B_049738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9919917:9920557:1 gene:Et_6B_049738 transcript:Et_6B_049738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SHYPDLDSLPDDVLYGEKLPCWYPPPLFCQCGVPARQGVVPSELGYGHYCENTVGEDDEWDTKRCDWETFEEKKEFFIKSKKRGLEYYKKALPTKRSNIMHKYLTVPPSFIYNTICSELKVKRECPFWEGPEADVVIQYWRRNRNKYPLKSCWELLDPPMDCSSTMQRIAVENKGDFNPPKLYITIA >Et_7A_052189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5398443:5411596:-1 gene:Et_7A_052189 transcript:Et_7A_052189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKSHELETDVPALELWKIYGTLRAAQLVPELLPHIFTKCEVDNDNYVKEAAAIGGDMLNARFLSYMIRLRSTVEYKFQDARSELEAMDSTTPLAAAAEGFVKYIKFRRKTERARMKRSKSYEHETDVSAPELWAIYGTLRAAELVPEMLPHIFAKAEVVSGDGGVGTMVRMTFSQGEANNVVCFFCHSLGGTCTEKFVKVDNENYIKEAVVIDGDILNAGLLYYMIRLEIIGKGLTLQSTVEYEFDDRHPELEAMVSTTLMAATAEEFVKYAKEHKTPMNGSLCHEFETGLPAADVWEVYGGILVGELIPQLLPEVFSKVELVEGDGGVGTVLLVTFPPGTPGSESFKEEFIKVDNENYIKEAIVTQGAFLDRGFQKYLVRIEIIGKEDKTSVIRSTIEYEVNPEHTGDCPVVSTNGLAAIAEAITKQHSQEFRVTATMVKAIKSHELETDVPASELWKIYGTRRFVELVHQLLPQLLQKVVVVRGDGGIGTVVELALLPPGLNGDAKIWCFARGPSPVTYKEEFTKIDNENYIKEVTAIEGDVLRPGFSSLTRGPALQIISLESEFYFIELNYGCSGGFS >Et_7A_050730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10546171:10549391:1 gene:Et_7A_050730 transcript:Et_7A_050730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVRASPTFLASTSSSPSSSSQVPTPSASFGRSIQRRGGGLVSVAAPSQHHASRRSVMAAAGATKLEDADALIDSVETFIFDCDGVIWKGDKLIDGVPETLDLLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLTVNEEEIFASSFAVAAYLQSIDFPKDKKVYVVGEEGILKELELAGFQHLGGPSDGDKKIELKPGFYMEHDKDVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFLATNRDAVTHLTDAQEWAGGGSMVGAILGSTKQEPLVVGKPSTFMMDYLAKKFGITTSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSLETLQSPNNSIQPDFYTNQISDFLTLKAATV >Et_1A_009426.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5155397:5156086:-1 gene:Et_1A_009426 transcript:Et_1A_009426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPPSLSEKAPVQTYLPDVFPWPRARSIYARACLGNPLDHHQLQERTAQAKLARPEKRTEAHARCRVLPASEARRERQSRGGMGSLNTQQEEIYVRLASRRWRRRGFRLCPRNRFSVRRLRAELLTFLGVVGRYVRLLVRRLSKGGGGNSCRSSSGCGRSGSRRVLVGAGKDAAAANNSKAAPRRAPSPFVRSDSFYSQAIADCLEFIKRNSVPVEDYGTVSARRYS >Et_9A_061642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14749674:14753295:-1 gene:Et_9A_061642 transcript:Et_9A_061642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALAPRVAHVLAAERASLKRPRGTGAAAHMACGKMKFAHRVIGANSSAQIVPSVEEAAKRRKTSSPSKPAATQAAHQRTEPAAKKQKPLPDQPQRPMASLIDKAMEVMKRRRRDETAIAREKFRLELLEVEKAAIPDETIYPEDLAELGLTELQYAVTPTRKQALRSSARWLVRRGSRTMCCVCIADDGKDPKRWSGPVVV >Et_2A_015729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17296082:17297548:1 gene:Et_2A_015729 transcript:Et_2A_015729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHKTSPASISGVSKKHTRSRGRAKKPEDPYASAYGVVPFKELRRRPGTKAHVLGESAVGKRVLIFGTVQYIRPLSKTRVVVVLLNYSSTVRCVIDASAGADEGVTKRMMRFAITLRRETPIDVEGIVVLPCSQSSLIPTTQKVDIQVTKLHSIGYETVPSQDGKFARSSTSTVATPRPPNALGQEAEFGRSGQAGRDFAHIDQDPSLNHGSACINLPSLVDHAVFRIQSEVEFKIMGLLRSKGFVGIHTQSMFSGSTEADSTVVDPEGFSVQPACLVQSPCLDM >Et_3A_026518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9115619:9125797:-1 gene:Et_3A_026518 transcript:Et_3A_026518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALALVVVLLLASVLGSRGTTLAPSPAVSDSPANQAQTSSPPQPVITPAPVILPAAPPAPPVRPAPEKGDVSPPVPPEPQSAPSPVTPPKENNAPPPTEVTPPDPTDEVPPPVAPPQAAVGNPTPTPPGTPALLPSVQAPAPSAALKPNPPAVPPPSVNNQPPTPIIPGTPALLPSVQAPAPSAAPKLNPPVVQPPSVSNQPNRPVESAPPYPLPAFPTPRNGVPLYPPSGSFPAVLPPSTSGNTVPPANTSPPANLKNHHVPHASPPKESSGQTVHKSPITGSAPATSPLPQNTNMPSAPKNASSVPHAQPPPPSVTPQSAPTSKSHTRGWKSDKPKNGANPSFAPSYPPSHAQGPEVSHTPRQAGAKRQNHHAPPPISRDHPIFPVHSPSPSPVPPKGQTNGHKGHRISPTIPTVPPEPEPKAPSAHPIWALPPPPPNLDCKSLSCPEPLTDPPAGAPCACVLPIKVAIRLSVDLYSFFPLVSDFAEEVSSGVNMAQRQVRVMGANVANDQPDKTVVLVDLVPMQVKFDHATAFSAFESLWSKKLPLKSSIFGDYEILYVVYPGLPPSPPSAPEAVGDGALGKDRNARAIKPLGVDIRKPQKRVNGSLVAIIVLSTIIALIICCLAAWLLILGFRSSNDMTQRFPHSVLPKFSRSSGTGHTHIAGRYSSPSGPSGSLGSSMATYTGQAKTFKFSEIDKATNGFDDSKVLGEGGFGCVYQGTLEDGTRVAVKVLKRFDGQGEREFLAEVEMLGRLHHRNLVKLLGICVEENARCLVYELIPNGSVESHLHGADCETAPLDWNARMKIALGAARALAYLHEDSSPCVIHRDFKSSNILLEHDFTPKVSDFGLARTARGEGNQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPAGQENLVAWARPLLTNVLSLRQAVDPLLGPSVPLDNVAKAAAIAQMCVQPEVAHRPSMGEVVQALKLICSEGDDGIGSGRFSQELPVQTTAVYDATGMEAERVLLSEIYGSTPVFTPTAEAGSFRKQSSSGPLMTGKNMKFWQRLRSMSRGSMSEHGFSPDYETRSQYSDEKTRRKLLHGTTTKLASVMKQAKGSASSSSSLSSSAASSSLPSAPRIAIRRLRAFSASSHSFSSCHPDL >Et_9A_062825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5564756:5578217:1 gene:Et_9A_062825 transcript:Et_9A_062825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLILVVCCQFRYATTPRHLYKEGSQSLKDTTTAYCLLFSSISKEKKCHNIESFISMACHMRSASVPSSPRSYKSCVEEELQSLKVSISSASATVETICHGFIKLGSIYSAIDELVCLPSNQHQQRKAVEEELEGSLVLLDLCNSMQERFTELKEITMETQAVLKRRDDASVQAKIQSYTRFAKKALKNIKKISSKSTSDVEGCRVVKLLSEAREIALLMLESTLVLLLKQISGPSSSKWSLVSKAFQKKRVLCEEEQLQVLELNIVDLELGVETLFRKMIQSRVSLLNTLSLLEYISLYIEPSQRQTISVTFHSIYGKRRFSKLPFHSKHGLPPEVCGVPWSHHSNEASIEERLHSLKANISMPSTTISMTSVTIKATKAVEELEHFLILYDLCNAMLESFAELETSNQEMQLGDGTCVQLMPVAKRTQEQFKELAARLLLIEVVAKMLSEAREIDPIDIALLRSYYCERNEAHSLASSRNMACHLRSVSLPSSPRSNKTCVEEELQSLKATMSSASVTLETMIHGFIKLGSIYSSIDELTCLPSSQRQQRKAVEEELEGSLILLDLCNAMQESFAELKAIIMETHVVLKRGDDATVQAKVQSYARLANKALKQIKKINSKTSADVEGCRVVKLLSEAREITLLMLESTFYLLSKQIAMPTSSKWSLVSKAFQKKRVVCEEEQLQVLELTIVDLELGVETLFRRMIQSRVSLLNTLSFTHNCPALAISFSYIFQLNTDKSTNINMACHLRSISLPSRLQSSETAVQKELHILEAIISSPSTTIITMCDGLRKLGDIYNGVEEMIHLPSNQICSFQQRKVLDGEMERSLVLLDLCHTVQEIFVELKAIIQELQVALRKGDDAVIQARMQSYNRMVKKAKQDFKKANKKATSDNTDSAMVKLLTKAREITISLLESTLQLLSKQIEVPKNSLVSKTFHKKKAVVCEEGQLQALECIIGDLESEAGHLFRILIQIFRTLDAWWSRCCLRQERGKTKPGRHHCSSLPSLLFHFKGKEASQLSLLISMACHQRSASVPSSPRSNKTCVEEELQSLKATMSSASVTLETMIHGFIKLGSIYSSIDELTCLPSSQRQQRKAVEEELEGSLILLDLCNAMQESFAELKAIIIETHVVLKRGDDATVQAKVQSYARLAKKALKQIKKINSKTSADVEGCRVVKLLSEAREITLLMLESTFDLLSKQIAMSTSSKWSLVSKAFQKKRVVCEEEQLRVLELNIVDLELGVETLFRRMIQSRVSLLNTLSLYEKNAQT >Et_4A_035610.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3149251:3150396:1 gene:Et_4A_035610 transcript:Et_4A_035610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRQHLNLVLGRTGFGVTGVYSVNRLNISNLFYKSAAAASAATKRNAKKQEIPGFRRLAESSFFFQAFRSEHYGIIKGADMFAPFGEDGKLLFADGMGHTSVYDTASNFVHAMPMMTAPKGRLSLAFSIPRTEAHATSPAVCNSIDSNVKSESFIYRWVKGAHSESLYILDMARRCPAPFEALSFTSKGWVWRPVPTPPFFRVPRYKPRMDSCAVVDGNTIFVSPSGSQENGIGTYRFDTVTQEWDQAGDWLLPFFGRAENVSELGHWFGLSDCHPHHLCAVSSLDPPVVQHVWPDFDPPETWSLFDGCLVNLGSGRFCIVKFFRARDPSDYDAAGNIVAVFTGVEVVRREDDDQQARGGLKMIKHKSKCLTNLDIKHVL >Et_4A_034027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29196038:29218522:-1 gene:Et_4A_034027 transcript:Et_4A_034027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVVLAVNGTRYEAAGVDPSTTLLEFLRTQTPVRGPKLGCGEGGCGACVVLVSKYDPATDEVTEISASSCLTLLHSVNRCSVTTSEGIGNTRDGYHPVQQRLAGFHATQCGFCTPGMCMSIFSALVKADKASDRPAPPAGFSKLTTSEAEKAVSGNLCRCTGYRPIVDTCKSFAADVDLEDLGLNCFWKKGNEPAEVSKLPGYNSGAVCTFPDFLKSEIKSSVEQANGAPVAVSDDGWYHPKSIQELHSLFDSNWYDEKSVKIVASNTGSGVYKDEDLYDKYIDIKGIPELSVINRSSKGVELGSVVTISKAIEVLSDGNLVFRKIADHLNKVASPFIRNTATIGGNIVMAQRLDFASDIATVLLGAASTVTIQVASKRQQLTLEEFLEQPPCDSKTLLLSIFIPDWGSDGITFETFRAAPRPFGNAVSYVNSAFLARTSVDHRIEDICLAFGAYGVDHATRNRKVEELLKGKSMSSSVILEAVRLLKATTSPSEGTTHSEYRISLAVSSLFSFLSSLANDSNAHAKVDTANGSYPNGTANGSTKYTAELLKVDNNNLPIRSRQEIIFTDEYKPVGKPIKKAGAELQASGEAVYVDDIPAPKDCLYGAFIYSKHPHACVKDINFKSSLASQKVITVITAKDIPSAGQNIGLSYPGMGTEPLFADPIAEFAGQTIGVVIAETQRYAYMAAKQAVVEYSTENLQPPILTIEDAVQQNSYFQIPPKLAPKPVGDYNRGMSEADQKILSAEVKLESQYYFCMETQVTLAIPDEDNCITIYSSTQIPEVTQNVVAMCLGIPFHNVRVITRRVGGGFGGKTIKGLHVACACAVAAFKLRRPVRMYLDRKTDMIIAGGRHPMKAKYSVGFKSDGKITALHLDLGINAGIALDVSPSMPRAVIGALKKYNWGALAIDAKVCKTNVTSKSAMRGPGDVQGSFIAEAIIEHVASALSVDTNTIRRKNLHDFESLSLFYGDSAGEGSTYSLVSIFEKLASSLDYQRRAATVEHFNSSNKWTKRGISCVPITYEVFLRPTPGKVSIMNDGSIAVEVGGIEIGQGLWTKVKQMTAFGLGELCPDGGECLLEKVRVIQADTLSMIQGGFTGGSTTSEGSCEAVRLSCAALVERLKPIKENMEAKADTVEWSALIAKAIMASINLSAQAYWTPDPTSTGYLNYGAAISEVEVDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGVGFFTNEDYATNADGLVIHDGTWTYKIPTVDTIPKEFNVEFINSARDQKRVLSSKASGEPPLLLACSVHCAMREAIRAARKEFSVCTGPANSTTTFQMDVPATMPIVKELCGLDVVERYLESMGEAATATVVLAVNGKRYEAAGVDPSTTLLEFLRTQTPIRGPKLGCGEGGCGACVVLVSKYDPATDEVTEFSASSCLTLLHSVNHCSVTTSEGIGNVRDGYHPVQQRLSGFHASQCGFCTPGMCMSIFSALVKADKDSGRPAPPAGFSKLTSSEAEKAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNCFWKKGDEPAEVTKLPGYNSGAVCTFPDFLKSEIKSSVEQANGSPVAVSDDGWYHPKSIQELHRLFDSNWYDENSVKIVASNTGSGVYKDEDLYDKYIDIKGIPELSVINKSSKGVEFGSVVTISKAIEVLSDGNLVFRKVAEHLNKVASPFVRNTATIGGNIVMAQRLEFPSDIATVLLAAGSTVTIQVASKRQQLSLEEFLEQPPCDSKTLLLSIFIPDWSSDGITFETFRAAPRPFGNAVSYVNSAFLARTSVDHRMEDICLAFGAYGVDHATRNRKVEELLKGKSVSPSVILEAVRLLKATISPSEGTTHSEYRISLAVGSLFSFLSSLANDSNADAKVDIPNGSYPNGTTNGSTEYSPELHKVGSNDLPIRSRQEIVFTDEYKPVGKPIKKAGAELQASGEAVYVDDIPAPKDCLYGAFIYSKHPHACVKDINFKSSLASQKVITVITAKDIPSAGQNIGSSFPGLGDEPLFADPVAEFTGQNIGVVIAETQRYAYMAAKQAVIEYSTENIQPPILTIEDAVQQNSYFQIPPMLAPMPVGDYNQGMSEAEHKILSAEVKLESQYYFYMETQVALAIPDEDNCITVYSSTQIPEVTQNVVAKCLGIPFHNVRLITRRVGGGFGGKAMKGIHVACACAVAAFKLRRPVRMYLDRKTDMIMAGGRHPMKVKYSVGFKSDGKITALHLDLGINAGISPDVSPCMPLAIIGALKKYNWGALAFDAKVCKTNVSSKSAMRGPGDVQGSFIAEAIIEHVASALSVDTNTIRRKNLHDFESLAVFYGDSAGEASTYSLVSIFEKLASSPDYKRRAAMVDHFNSSNKWKKRGISCVPITYAVTLRPTPGKVSIMNDGSIAVEVGGVEIGQGLWTKVKQMTAYGLGQLCPDGGECLLEKVRVIQADTLSMVQGGFTGGSTTSETSCEAVRLSCDALVERLKPIKENLEAKADTVEWSALIAEATMASVNLSAQAYWTPDPTFTSYLNYGAAISEVEVDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFIQGVGFFTNEEYATNADGLVIHDGTWTYKIPTVDTIPKQLNVELINSARDQKRVLSSKASGEPPLLLASSVHCAMREAIRAARKEFSVCTGPANSALTFQMDVPATMPIVKELCGLDVVERYLESVSAASATTAKA >Et_2B_020374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19504814:19512034:1 gene:Et_2B_020374 transcript:Et_2B_020374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAAEPGLPAAFLCVPFPLLSTPLPTTAVSASPSLPSSYHASLLPRSRGGPRAALSVAMSIPGPASTTAGRLHRMWSEFARFVQLHGNQIAPLGFASLGLGLGGGGGGSAGEGGGGGGGGGDVDAVAEDEAAARAEAPKKVFVTDLWTDHTPWPFNQLPRSYSFLVKHGPLWKMTYYGTAPRVVHQPHFAATSTFIAREVAKGLMKYQPDVIISVHPLMQHVPLRILRSKGLLDKIPFTTVITDLSTCHPTWFHKLVTRCYCPSTEVEKRALKAGLKPSQIKVYGLPVRPSFVKPVPPKEELRRELGMDEDLPAVLLMGGGEGMGPIEATARALGDTLYYESLGQPVGQILIICGRNKKLANRLQSINWKVPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNDYIAGQEAGNVPYVVENGCGKFSKSPKQIAKIVADWFGPRSDELKVMSQNCLKLARPDAVFKIVHDLHELVRQKCFVPQYAWWAEAHPSTRLAPPMLLSCDDCRAGSQPVSLVACAVCTHRKVGDTVRSGELVSWNGKAVTPFMSDH >Et_9A_062691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3206364:3208056:-1 gene:Et_9A_062691 transcript:Et_9A_062691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKEEAARAEDIVIVGAGIAGLATALGLHRKGLRSLVLESSPALRASGFAFTTWKNAFRALDALGVGDKIRKQHLQAQAYVRCNTSHAKLVQELDLLLRVISSSTGEPVQELVNLLTMPGKRRGASDRHIRYSSKIVSIEEEDGSIKVLQLADGSVLRAKVVIGCDGINSVVAKWLGLATPSYSGRSAVRGLAHYPDGHGFEPSFLQFVGHGFRAGMMPCNENDIYWFFAWSPSENDKAGADDESTAAATKQFVLSKFRGSNMPAEALAVIERSDVLAAPLRFRSPLSLVGASISKGKACVAGDALHPMTPDLGQGGCAALEDGVTLARCLGEAVLGGAPKGAGTENQRIQEGLREYAGIRRWRSVDLIATAYVSGFVQQSRNAVVSFLRDRFLAGVLARRLLKMADYDCGTL >Et_2B_021699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4677083:4680553:1 gene:Et_2B_021699 transcript:Et_2B_021699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGLPLGGRAAAAARCCTCRRAAVAVCLGNLVAALLVARALYPPDYLASSPKSGEVKYSKEQMRWVEESIRIRRAAEPVELIEAVKKLRKVFAREAKRRKELPLELKQKVSYEILQKLRDLGEDRNSTEQREAIESWRIEKLKDIRRSSAQNSSNLGLSNEESRILKRALEFNWQLLLEDIGLWIPSSVSHIEHDDKPENAPEEEEIIPGPPLPPECNAEVHTDYGGAAVRWGLTHHKESAADCCQACIDQAKRAKPGALKCNIWVYCPSEYGCYSPDKYEHKHQECWLKQADHPKLNFKDRYSESYRDSHPTAPVVVPWMSGVIKLEGAYFQGIRRKLLEPSGGHHGKT >Et_4A_031807.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:16992969:16993625:-1 gene:Et_4A_031807 transcript:Et_4A_031807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WRTPRKSRLSRRACWPRGASSPATSQPRGSGCCPRSGSHRTSWATRRSPRPTTCTPRPRGGLSTGTTSWACRVPAAASPAAPSRSSTGSSLSWCTPTRTPPRRPTARSSSSRPPWTRCRRRRTRAAPAFGAASTLHADRTPSSPPRQRAAPRQRRERWWVSPPPPAPRRPIRPSAGVCPFCGAVTPYGKRNIRCMSCHWSAKGRSYVNDHDCFKYDYY >Et_6A_047844.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20321381:20322574:1 gene:Et_6A_047844 transcript:Et_6A_047844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHYFRARTLSLILRSQPIFSASHEPPTVSLNRLLCSAATTASSASSRRSFAVEDYLVSKCGLTRSQALEAAKKISHLRSCSKPDAVLAFLGGTLGVPAADIAAAVTMYPKILCSDVERTLAPHIPDLSDLGLSLDEITRLLRLAPRSFRSRSLCRNLDFWLKEVGSFDKLLPVIKVNSALLNVDLDKVAKPNMALLQQCGLNASDLLASNIYSPRLFTSNPKYLREAVEQVEELGVERGTLMFPRVLVYISLTSKDDFATRMQFLQKFGLSQDDVREIVRKIPSVLSYSDQKIQGNMDFLMKDIGLEVPYIVQRPALISYSVQRRLLPRHCLLKVLREKGLLNVGYSYYFTAMMAERDFMQKFVLPYKDVVPGLADDYASKCSVKAADRVALQQE >Et_2A_016671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27060007:27062177:-1 gene:Et_2A_016671 transcript:Et_2A_016671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNGGGAKRSRHSSGDHDVTGEDRLSALPDDALVLILLRLDTINAARTACSPKTSSSLITLVGLRQLAVVAPALIELTVARCFIHTPPRERVANISAPQLQSLEWDDAYDQSFVQLGNMAHLRRLGHWEFLVYGLHVLLSNRSCLGLLKRFKVIESLMITLLYLPEPTPCRSGCICDQSPNWKTEELLLNHLQELEIEGFQGSEHEFAFIKRLFGWAAALKQVTINFSYQIPGSESKIKDPDVPKLL >Et_1B_010762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13865183:13867852:1 gene:Et_1B_010762 transcript:Et_1B_010762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATTIITHNATTTEALGAGGLIAGLLPEVQTLELLVAVSIFVAIHSLRQRRSQGLPSWPLVGMLPSLLLGLRGDMYEWITGVLKARGGTFTFRGPWFTNLQCVVTADPRNLEHLLKTRFGSFPKGPYFRDTVRDLLGDGIFGADDEVWRRQRKAASLEFHSAEFRALTASSLVELVHRRLLPVLADAEAAAEAVDLQDVLLRLTFDNVCMIAFGVDPGCLSPGLPDIPFARAFEDATEATIVRFVTPTAVWRAMRALGVGHERVLSRSLAGVDAFAYDVIRKRKEEVAAAEEGQAKAAAGRGSRSDLLTVFTKMRDERGRPAYSDKFLRDICVNFILAGRDTSSVALAWFFWLLAKNPAVEARILEEIEGIVAARKKPAAAGEVEEELVFQPEEVKRMEYLHAALSEALRLYPSVPVDHKEVVEDEVFPDGTVLKKGTKVIYAMYAMGRMESIWGEDCREYKPERWLRDGRFMSESAYKFTAFNGGPRLCLGKDFAYYQMKFAAASILHRYRVDVVEGHPVAPKMALTMYMKYGLKVRLTKRDKSKL >Et_4A_035487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26621368:26622122:-1 gene:Et_4A_035487 transcript:Et_4A_035487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEINDEDADCCPVCNILLGCDPEKKLRPDHILQDIRNKLFPTDGDSSKAPIVYFQKRENRGPRLQPLRKEEEQIKSRIESSKPPG >Et_9B_064800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18746267:18750177:1 gene:Et_9B_064800 transcript:Et_9B_064800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDVVGKSRSDTAVTTIVNLAEEAKRAREDVKGPGHQVLTICKSLIAGGVAGGVSRTAVAPLERLKILLQVQNPHSIKYNGTVQGLQYIWRTEGLRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILWLYRQQTGEEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRITVQTDKSPYQYRGMFHALGTVYREEGFRALYRGWLPSVIGVVPYVGLNFAVYESLKDWLLQTNPFDLAKDNELHVVTRLGCGAVAGTIGQTVAYPLDVIRRRMQMVGWNHADSIVTGQGKEALQYNGMIDAFRKTVRHEGVGALYKGLVPNSVKVVPSIAIAFVTYEVVKDVLGVEMRISD >Et_10A_001295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23051260:23057716:1 gene:Et_10A_001295 transcript:Et_10A_001295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGDRTCPLCAEEMDITDQQLKPCKCGYDICVWCWHHIIDMAEKEETEGRCPACRTPYDKDRIVKMAATCEREYFGQYGKVLKVSVSRPTGPPSQQASSNNNISVYITYAKEEEAIRCIQAVHNFVLEGKVLRACFGTTKYCHAWLRNLTCGNPDCLYLHDVGSQEDSFTKDEIISAYTRTRVPQMASSVSQRRAGTVLPPPADDFSYSAVVSARHTVKNGTINTNNQARLSPPNSSSGRPTLPPAASWGHRDLNGRTTGTGVTSSVSLSKSKSEPQSSSTRIPSSWNDDTSALPRISEGRQVSEKDGSSKTLEPYKPGIAKETQALSSLNSSLDIDFSTIPSAWNDDDTVVSDEMSKGNEENQVTNGNGKLTRSGPKSPKKDTTVSSTSKSPSDFVSSLEISVPDVKIGDDDHPVASIAPRSPASENINCHLGADKKTLEDVGPKETDIEKLSVWISSVTLDVKDEAQSMAGNQEPDAMPCTSVAEPLPSENNNNVRSCQYGSDKHDWSSDIQSCSVAPLNSIGNSSMNTDKHHMTLLDGTIVPSYSSFIHLSDASDTSLWDDTESDHTLTIRNTTSSLMQTKQSSTNNTYTFVNGVQDGLGTVHTPGNVSGHPGMDSHQHGAISVRAGSIGNFDKTISVNKDENRIISDILLSEFDPWDASYASANNYARMLRESENNDAPFTMPSWKSGNSSKESRFAFARQDNQGNVSDASLRNCGNEKNFSLLSQNSRGNVYQNGLLFQSLENTLAMSDMTTSGTSRSKIPAPPGFSAPARVPPPGFSSGFPSQDGLNPPPGFSSGIPSRDGTNHTPRFPSGISSHDGSNPSPRFPSAFSSGFPSQDRPTSPSRFLSAFSTGFPSQDGPNSPSRFHPSFSSGFPVQDGSNPPSRLPSAFSSGFSSQGGSSQVYGSAYSGLFTASIYFAAYLTEQVQFLILRCLAETPLRDNLLGGLTNHYEPQLTRHTSDLEFVDPAILAVGKGRMPGLGDSGLEMKNTSAFPAQLQTPNNDQRIQLLMQQNVQSHQNTHIHDPFNIMSDNYLASRLLAQNHGSLSSYSQMPPPQPRSTNGHWDGWSELRQGSNAPISDMSRIYPSEANNLHMMGSNDLYHRAFGM >Et_3A_023547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33725963:33726604:1 gene:Et_3A_023547 transcript:Et_3A_023547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLAPCLHMPAAAAAVRLVYWGGQARLITEDDVVTAGDVAAEIPAAEHVVCPADSFFVGLPIPVMPAGEELLPGRMYFVLPAARFSCLKVLTAATLAALSPAPGKVALAGPGQCPFEYVKGAGGAALIRVLPEFIEKVITCDAGGGRRGGSKELCSTPELKRHYAQLVGSRNQQWSPSLDTIAEGDKSRWLKSPARLLSSR >Et_3B_028999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21271606:21279708:1 gene:Et_3B_028999 transcript:Et_3B_028999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSKPKRRRGGASSRGRKKHKRLDAIHDVAGAPSPPPPGGDGGGGGDSDSDAEARRRSTRVRRAPVMLDTSPLPSPRRKRPRRGGGGFGSSGGSRRGSKGRSRDEADGREMEEEEEDDGGNVAWRSRLRDRVKGKAKLESRAKSLWFGEDDYEYGEEVEEEEEKEDDKEEDEARMVLVDVRNVAEDEVVGEEINLTIDLNVESHEAVEGANLVREEEGGNEVRAEEKAAAVEEERGPTSSMRNDLEQDKEEEMVVESCLQQEEKTEELELPVQVGGNNGDELARDAGNEEVGASDSGGIEQRGVHSKQTAEESNIPVEQQMELSCPGPAGQGEDVQHDEQMDHVPDIVLAEDGPKEKIRKSPVSDEKLGVKVVKEGRRCGLCGGGTDGKPPKIALYEAVDSDNEAYEGALPSEEPNYSMWDGFGDDSGWLGRLLGPIHDRFGIARVWVHQNCAVWSPEVYFAGLGCLRNVRAALCRGRLLKCSRCGRPGATIGCRVDRCPKTYHLPCSRAEACIFDHRKFLIACNDHRHLFQPQGDKYTELLRKMKIKRMKADIRKLSHDAWRKDRDAEEKWLENCGEDEEFLKREGKRLNRDLLRIAPVYIGGSSENEKSYQGWESVAGLSDVIQSMKEVVILPLLYPEFFSSLGLSPPRGVLLHGHPGTGKTLVVRALIGACSQGNRRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPCRSRRQDQTHNSVVATLLSLLDGLKSRGSVIVIGATNRPDAIDPALRRPGRFDREIYFPLPTFEDRSAILSLHTKSWPSPISGSFLSLIASQTVGYAGADLQAICTQAAINALKRTCPLHEILQSAEEGVEHGRVRLPSVLVEERDWLAALAVAPPPCSQREAGIAANDLVSSPLDSCLVPSLLKPLVHLLISFYLDERIWLPSSLLKASGSIKEVVFSSMERNSVPHTFWSSYLHSLVRQKDIANRIGTILSSCGLVQLGNRCSMLASHVETHENFGRSGINSRGSHMKAGLPNKLSGFRVLVAGAPRSGQQHLIRCLLHGFTGQTVIHKLDLATMAQEGNGDILSGLTQILLKCLNLGRCIIYMPRIDLWAVDKVHIQIQDHMLNTGASNLSSSPSNDVQKCSEVWNALVEQMDSLLASVSISVLSTSDMKFQDLPGGVRGFFSAHVVDQCLASSEHTIPRFSVNIDSGFSWDEMIDSCALRLSYDLIQHHVQFLHDRSHNNNHEQKEVFASMEISAPVESKSSKNEQSSHGVVSRENPTQLAPGSSQQESAPNVKDKEENVQKIGFEDTIHRNPSNRVIKGNESLAIMAFGIQILQHPQFSKLCWVTSKLREGPCTDINGPWKGWPFNSCLLHSSTSSDNKSLNEGINVVKGKEKSLCVRGLIAVGLLAYRGVYTSVMEVCAEVRKVLELLVGQIRTKLLEKRNRFRYFHILSQVAYLDDIVNSWAYTFQSMKIPSQGMSCTNQCQSTKNAKETRAQVAPSGNPTEVQDIAAQNTSDHKVVPACGPNDRQDNPVQHTPDQLGIHTTVHVLDDDHLTSISSRDAAVHNLVHSASPDVSRGDCTHTDTITNDGEPIGVNNDEKIFRSTNDEENCRSDIQTYENSIESIEHLNGMQRGGNSVASSASADNTEISRNIVSSETHGDDSELKMNNSLEDLDSSHLIDGQQQDNLENLSFPKSPCLYKCCSACFRSVYKMVHGSLSNSLRPNLHRLTVDDIHDILSSWSLNLLATVRKYYSSQDMVSCEENFGKRQSQDTRLEHCACQADDATLSRECMCHREGNEDDETTNTDCHSLSGQRLTFYYKNGVWIPSDHNVEATLHCSFKRLCICSILGAISTSSQISY >Et_3A_026761.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:19520348:19521673:1 gene:Et_3A_026761 transcript:Et_3A_026761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQSTRVGTTAPLSEPLLLPAKTVDDDDSDVEAQLLPCYRAGTSVSRTCLNLTNAVSGIGVLSMPYAVAQGGWLSLALFAVVGAVCYYTGTLIARCMRADPSAIASYPDIGHRAFGDAGRRAVASFMYVELYLVAVSFLVLEGDNLDKLFPGAGVELVAGGYYRLEGRQLFVAVAAAVVLPTTWLKNLGVLAYVSAAGLAASAALTASLVWAGVDAEAGGFRTRPTSSNLLNLGGLPTSLGLYFVCFTGHAIYPTIYSSMKNSRHFSRVLLVSSVLCSVNYGLTAVLGYMIFGDDVQSQVTLNLPAGRLYSQVAIVMTLVNPLAKYALLAAPITAAIEERLSIPAGSSAPARVAISTAVVASTAVVAAAVPFFGYLMSFIGSFLSVMATVIFPCLCFLKIYKADGIPRTEIALIVGIVMLGVFVAVTGTYTSLQQIIGTF >Et_9B_064169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12074821:12075419:-1 gene:Et_9B_064169 transcript:Et_9B_064169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEAAVVPLIESRPINKDLKLAVRVGSFWSLPDGAPKTYLRGRKLPLIDVAMNYELIQLVDYVAEYYMWGSKQYLSLWRDNDDSYCVAIKSDEQLHEWLQLNIESGVVPIYCQIKDFEGPLQFSPTKRRFHPTVRNKVPINEGDTSKSATPTKAVFCQYP >Et_1A_007961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39812780:39814295:1 gene:Et_1A_007961 transcript:Et_1A_007961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATGEELELEVAAIICDLTRIIRARDRRRRRRMRRQQLAEAQEIPSWGRRRLRSVLEDGNNKPAPAAGVAERDGAASPDTPLAFPDGLVAEEEDDAAKKARAQDEVSAPRDLRARAAAVSRVFAACFFDSFFSGLMKKREKKITANWLLCSQWVQEQRGVVASLSQENADLLKVSSLFPLIDSTGIEKSTLFDSILTLVFFHVFFPKKQKQQIEEYRTRLQSSRSANESLKQLHKVRQHREQEQARKMRMLALRQGTAAPVSNFRPVVLVLDLNEPAVAPDDGDEAAARARARAAADERFWQMQQRVALQKAASTAEARRRRLEILRAKVASPLVSCRTRRVAG >Et_6B_049448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:534244:535319:-1 gene:Et_6B_049448 transcript:Et_6B_049448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSGLRVGGPNSRFVEFHVKRSRPIPPPHSPRRRASHQDSAAKQEGRPPFVCRRRSQAGGACRPPFASAAKLEGHAAATKLRGGGHRSLRGEPHPPFVVATKQEGVHNSPFASLPVGARAPCRLQPGPQPRRRATSLLTPPARRRVRHAGVLSLDPSEVPLASSYQALGNEAAFQYHVACHLARPVFITSDALTFGSARKVTSAPSLPPPKHKQEEHNHCPTGAGKSRLALEVAKRLGGEIISANFVQIYRGHDIGCAKLSVEETNTVPHHLIYVMDAADDYSAGMFFWDARRATDEVLERGRVPIVAGGTGLYLWWSLFETVKLFRKTIHSSGRWMGEQWELISY >Et_6B_049224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2035698:2038023:1 gene:Et_6B_049224 transcript:Et_6B_049224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNCGLGGSDGGGDQRELQLQLRLVPPGERIEVHEGDDNGSSSPISSVSSDNSTASSRSVLVVGGCSMCMRDSSAPISCVSSNNSLGNSRSGMAVSCSRGACGSSCMMLKRDFPICMNCEHSLVLVVVSVCSRCMQFVMAPKRDFPICKNCEHPTLFDPLYRIGVGGAVGDKKHGSRE >Et_1A_007594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36272101:36278774:1 gene:Et_1A_007594 transcript:Et_1A_007594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLKEDERNERIIRGLLKLPANKRCINCNNLGPQYVCTNFSTFVCTNCSGAHREFTHRVKSISMAKFTAQEVTALQEGGNERAREIFFKEWDAQRNAYPDSSNADKLRNFIKHVYVERRYTGERSADRPPRGRDDKEEYSENRRSDGNWGGSRSPPNGSYSDRRSYSGRSDDRNSRYSYGDRSPGYDQNDYKKSPRYFEVVDDRSGKTTPVQRFEDRRPSEPRRPETGSPNYQKEADASSPVVQPVRDILGDEAPQLRVGEPSKAVAEPPKPVIARPIDPPKPNGTRVLDPPPQAKMVSATNNVAAPEAPSEPTKVASAVSLIDFSEDPEPTASAAPPQQVPAPPQLPVNAQASHPGLEQGKGAPSVSGGDWASFDAFGQQQTVQSGSSANPLESALAQLSFSETPSVPNSSFLDSTLKANDGGQSSVVDQTPSLLFDASFGISGNQPSTVMSTQGSSVQPGLPSQTTANPQGTSGIQGAISSTDSRSSGRKELPADIFAALYQTAAPTMPGWQRAPQFGMGYAMQYPAGVYQGMQAYPQGPFPQPAYKQPPAYQQPAYPQQPYSQPVKASNPFDFGNEPAPVQAHMPPSGPPGAAAGPAPQTLMGTSVFGVPPQQPHQLYQSSAPSHFMMQQVPNSMPQQAPNNMLATQQGGLGSFSMGFDQQAPPRYPQPSNPPSYGSVGGNPFGLLAQSEAVFTISLRTGTCL >Et_6B_050056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4907358:4909204:1 gene:Et_6B_050056 transcript:Et_6B_050056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAALDGGDLTPQEKKSCELCHDGAHIIRQNPPSGGLVDPHVELIPGLPDDVAVDCLARVPHASHRALRRVCRGWRSAAAAPSFASARAAAGAAEDLVYLLQFGNPSAAAAGDEEDGPKEDAPANAPAYGVAVYNVTTGEWRREREGAPPVPMFAQCAAVGTRLAVLGGWDPRTFEPVSDVHVLDASTGEWRRGAPMSSARSFFACAEAGGKIYVAGGHDKHKNALRSAEAYEPLADAWDPLPDMSAERDECDGMATVAGDRFLAVSGYRTARQGGFERDAEWFDPASREWRRLERVRAPPSAAHVVVKGRVWCIEGNAVMEWMGARRGWREVGPYPPGLKAGTARAVCVGGGEKVVVTGAIDGEGGRHAIWVFDVKTKSWTVVKPPPEFAGFVFSVASLRI >Et_6B_049019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16690019:16695791:-1 gene:Et_6B_049019 transcript:Et_6B_049019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSSLKREPSMEVLTRLLPKLTELLVGEYNLQKEVKGGIKFLQAELDHMKAALERISSTPADRLDKQDNIWARDVRELSYDIEDKIDTFMVRCKGSKRGKHHGFKKVIDRSLDLLMQPKIRHKIATDIRDIKSRVEEVGKRRDRYKLDGIPANPVSATVDPRLLGQYKMATELVGINEARDELIRILTEGDDAYMQQGKIISIVGFGGLGKTTLANAVYQKISAQFECRAFVSVSQTPDMRKLLKHIFYELDKSINVETLDEGQLINELRQFLLQKRYFIVVDDIWDITVWETIRCALPHNNSGYRIITTTRNVKVAEHVGGACNMKPLSLDNSRILLNNRVYGNKNAEKSLDEQLAGISDKIIKKCAGVPLAIITIGSLLATKRRTKMDWYEVYNSIGTGMENSLDVENMRKILSYSYYDMPPQLRTCLLYLSVFPEDCEIEKDRLIRLWIAEGFIQYKDKRKNLFETGESYFNELINRSMIQPVYSFLGIIESCRLHDMVLDLVRSLSSQENFVTVLSDMENRSGFSKVRRLSLQNGEADHASTWDTRGLPQVRSLIVFSYAINQVPALESFKVLRVLDLKNCDLSEGHSLKYLGSLFHLRYLNLSNTGIYQLPKEFENLQFLETLDLQVNYISHLQLNIAQFKHLLCLLIDGITTVSNGIWSLKSLEELSWLRMDDELMEHIKELGLLTELRVLRMSLHTDKWNNKMVESLSKLQKIQTLCIDHDDGQRNVGGLDAWVAPGHLRRLDTQYCCWFSRLPAWMNNPSHLADLCELCIAVRELQEKDLNVLGKLPALTSLNLLVDHESLGIHGRFIFVAGSFPCLICCVLKGFVVPVVFQQGAMPRLTRLEFDFHVPEVRETAGSDGGFDLGFQNMPSLQQVYVDLQSVDSSASKEEVEEAKAAVRKAAKIHPNKPELVFF >Et_3B_031571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5075882:5079049:-1 gene:Et_3B_031571 transcript:Et_3B_031571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGANIREGVQVVGFEVPASPDASYNNPVPGNEDEAREPPLVPPHLQHTLLSFPPSHDDSSSLPPPQNVVLNHLYIEKENTRSVVALGITHRFRAKFVTVVLYKPVHRR >Et_4B_038281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27830213:27833240:-1 gene:Et_4B_038281 transcript:Et_4B_038281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAPVAGAPSTSEPAAEKKPIVVRVKRKPSQTRPDAFWLEINERPTKKAMLDFSSLSISEPSSSSSSAKASEEPRVKKLLVQHIETVHHSEAVGDVVHSILLADSNIREKKSKTKEWNDRIKQDKKQDQLRSAARQRHEDSGRNARFAQIWSRRKGENNEGDESLREICHLYDAIQGAVLCNFLPLLREYLPSAAEEIESDIISLAPSEESEVYDIYTVKEVDDIDMEDTSAASYPRLQVDDDEGECYDDDYPYDTDDSNAEDNPLYDYPEEASEDEDDGSDDEDPFGDSEGPDSEYEKEEVEVEEDE >Et_4A_035899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8140053:8142323:1 gene:Et_4A_035899 transcript:Et_4A_035899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGITKNPCFSGDPYAAAVASDPLPDDSLGHSFTYVPSSAAAAAVFDHPPPESPPFFSLSGAAISANPATSASMPSFHALNEMTWPPSAPRRRGCPCPARFSETSGTASTFSGTLSDGPFLSSGALLDRSLSASSGRLLQPSVPQLIAERRAARRGGNEQSQSLLRFFTRTASKLRFRSPRRYGGLPNEPAAKVSVGDHRSPPNGGNVEWAQGMAGEDRFHVAVSEERGWVFIGIYDGFNGPDATDYLFANLYVAVHDELKGVLWDDLVQGGVVGAGHHQEQPAAADNNAGEDGGAAEAKRRRTEERPVPRNAAAAAVHRDVLMALARALKRTEDAFFEAAEQRAAECPELGMMGSCVLVMLLKGPDVYVMNVGDSRAVLARRPEPDLDILGKADQDLERFKTEIMRQLEAHDRYGLQAVQITPEHSTAVEEEVRRIKSQHLNDRHAIVNRRVKGKINVTRAFGVGYLKQDPAVGHEIPSVPVKAAQPKWNNRLLEMFKIKYVGTEPYITCAPSLCHHRIGSHDKFLVLSSDGLYQYFTNKEVVDQVESFTAQYPDGDPAKHLVAELVTRAARKAGMASHELLDIPQGDRRNYHDDVSIIVISFEGKIWRSSF >Et_4A_032855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14738899:14741709:1 gene:Et_4A_032855 transcript:Et_4A_032855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGLRFYYLAAVYSAAQRNAGAKPFHHCLPHRIPITRRTCLLKAKSSNGRPQISASFGNEMLDGSLSGDGAPEQGGSTVSITVVGASGDLAKKKIFPALFALFYEDCLPEHFTVFGYARSKMSDEELRTMISRTLTCRIDKRENCGDKMEHFLQRCFYQSGQYNSEEGFAELDSKLKEKEAGKVPNRLFYLSIPPNIFVDVVRSASRTASSSSGWTRFIVEKPFGRDSESSGELTRSLKKYLAEEQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLVQILALFAMETPVSLDAEDIRNEKVKVLRSMRQLKLEDVVVGQYKGHSKGGKSYPGYADDPTVPKGSITPTFAAAALFIDNARWDGVPFLMKAGKALHTRRAEIRVQFRRVPGNLYRRNIGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSNLNLLYSERYHGEIPDAYERLLLDAIEGERRLFIRSDELDAAWAIFTPVLRELEEKRVAPELYPYGSRGPVGAHYLAANYNVRWGDINSDDS >Et_8A_057504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3772984:3773956:1 gene:Et_8A_057504 transcript:Et_8A_057504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTLSYLLLPLPSVQRNPYRRKAVQKDHGVPGGGGRMPARVKTARGGRNAGRFCVKALFGDGGGDGFRTIRRMVKLNSAIQNRSVREFLELIADECLYFMGNLRSVDLSQLSKDMFLILHAMMVRHNVSFVLKPTENDAGFDLGIKCFVTGPKSEALVLAEWKGKKLPWDLDCNVSTTHVYRGLLLISQVNKTAVPLIQRILQLIQQARI >Et_4A_032170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22091842:22092771:1 gene:Et_4A_032170 transcript:Et_4A_032170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAERKEKGLPSNLLREIYDAMTEVLERRASVPGCSGGAFVGAAPSGSGLHEHDVPMQASPLAQVLPPASPLHHPAGTYGHGVPHCSSDSESPERKRRRPSLPRDELPPGGGSSTTPASGTHYWPLHRRHQEEQHGHRHRAREDDRSDDGSSDEEEEDAVLTGAIGRCAAILSDALESREAAEERRHREVMAVEERRGRAAHARRKAGEQCVAGLANAVNQLAGSMLALAAAKRKDAASGGPGPAAPK >Et_1B_010475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10978993:10982858:1 gene:Et_1B_010475 transcript:Et_1B_010475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSGRPPAAQKILQSLRPPFAFVAPSRPPFAAPDDYHRFPAAPAAAAAPAAATSGGVGAESIEEGLVIRTPLKRKAASEENDAAESNECMIISPMLTPVSGKTSKTSKSKAKNNKAGPQTPTSNIGSPLNPSTPAGTCRYDSSLGLLTKKFINLLKHAPDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGSELDNGVSALQAEVENLSLQEQALDERISDMREKLRNLTEHENNQRWLYVTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGEYLQRRYRIVLRSTMGPIDVYLVSQFDEKIEDLGSAATPVKHTNLPRHQPAEDFNTINAGQSSTSQDVVHNVQHIQKTPQDPSASQDFGGMTRILPSDDTEADYWLLTEGDVSITDMWKTAQVQWDQMDFLSEEVTTPRAHNQQAVAVGGPQMQIQNMDKP >Et_5B_044359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23220861:23234799:-1 gene:Et_5B_044359 transcript:Et_5B_044359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEVIVADTIDAAAERILIELKKEPTARSISSRNNVFYFDGWDGLGASAVLRAVAARGTATSKQEPAASTGSGSVSAGLEFEQVIHIDCSKWESRRALQKAVAEQLELPAKVMEMFDKQDEDDDFRGVAQNSRRGVEHVTREMYQHIQKLNRRFLVIFHNGSSKEINLASLCGFPLSGYSTNKMLWTFQGRFRLKPKSKVDSALKSAGTTDAFLSATSPIPYSHDLWSYCVREEADELVAALKINTDPQGVISQQVLVECFMYMLELCRKRHQSIYYDLATHSANYWICDGVINQPRLGETGIGAYDGDDGLWRTAEALQCEMQLDAEYHQDLLPLHLARFVERKPYWTSPACGIFLLTPTTRAISQHSLDKIISVLKLWCCTINFPSFPFLCCHNLRFLWLDHCQVVKISSSTTDGSGTEDDDIRRCFQRLWVLDVRYTVGCDKILSAQMMDLMTHLRELNVMGAQGWDIGQLQGRLPNIRKLRVQNSTTIHCSCSEDDLFSEANKMELLDFSGNETNDSMRSLCVPGVGNSNSCLETVIVDGCARLEKISFKGCTNLKNILLRGELENLYALDISSTAVKTLDLTTIETLFLDELYLLDCKKLCAVMWPPKDKRDSNIPGKLRIDTTQSAQPTWCGGQEENSSTGTSLSYIPVLHGNQPVSEFDWYISLRDPRLLVSLEPVYSSSRKTYVEISSTNVATGSSKYERTVERGRRSLMPVISTQQQKQSMCALIYADIIMENLQQGDDESNGYAAGIGWMWPCPDAPHLPKQSCYMQIQDQQGTITVPDFVIHHAKILHVKDSLSITILPSSVASGSEWHVLEWCRIERCPELECVFEPGHIRGQSFEYKLKTFWASQLLKAYYIWKWGEPSIVYRIFDDLTYLHLDFCPRLLETVKIRGCWSLKCLPTVQKAVLCDCEKEWWASLQWEDASQKKLYRPIHPKYYKKATLLRGSADVDVETEVAAGAAPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSLVGVYNGKTFNQVEIKPEMIGHYLAEFSLSYKPVIVADTIDAAAERILIELKKEPTARSISSRNNVFYFDGWDGLGASAVLRAVAARGTATSKQEPAASTGSGSVSAGLEFEQVIHIDCSKWESRRALQKAVAEQLELPAKVMEMFDKQDEDDDFRGVAQNSRRGVEHVTREMYQHIQKLNRRFLVIFHNGSSKEINLASLCGFPLSGYSTNKMLWTFQGRFRLKPKSKVDSALKSAGTTDAFLSATSPIPYSHDLWSYCVREEADELVAALKINTDPQGVISQQVLVECFMYMLELCRKRHQSIYYDLATHSANYWICDGVINQPRLGETGIGAYDGDDGLWRTAEALQCEMQLDAEYHQDLLPLHLARFVERKPYWTSPACGIFLLTPTTRAISQHSLDKIISVLKLWCCTINFPSFPFLCCHNLRFLWLDHCQVVKISSSTTDGSGTEDDDIRRCFQRLWVLDVRYTVGCDKILSAQMMDLMTHLRELNVMGAQGWDIGQLQGRLPNIRKLRVQNSTTIHCSCSEDDLFSEANKMELLDFSGNETNDSMRSLCVPGVGNSNSCLETVIVDGCARLEKISFKGCTNLKNILLRGELENLYALDISSTAVKTLDLTTIETLFLDELYLLDCKKLCAVMWPPKDKRDSNIPGKLRIDTTQSAQPTWCGGQEENSSTGTSLSYIPVLHGNQPVSEFDWYISLRDPRLLVSLEPVYSSSRKTYVEISSTNVATGSSKYERTVERGRRSLMPVISTQQQKQSMCALIYADIIMENLQQGDDESNGYAAGIGWMWPCPDAPHLPKQSCYMQIQDQQGTITVPDFVIHHAKILHVKDSLSITILPSSVASGSEWHVLEWCRIERCPELECVFEPGHIRGQSFEYKLKTFWASQLLKAYYIWKWGEPSIVYRIFDDLTYLHLDFCPRLLETVKIRGCWSLKCLPTVQKAVLCDCEKEWWASLQWEDASQKKLYRPIHPKYYKKATLLRGSVL >Et_3A_025726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32203208:32206259:1 gene:Et_3A_025726 transcript:Et_3A_025726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTAAAFSCSCRPSPSSSSSTTNGHAFRRRNVDSVATLPSRRSGRLRLAAPLHVVDDSKEVEAGAGADRPEERSRTDVLVDGLDFGELCNEFECISSPYVEATARQLARDILELREDNRAFNCYAVSVKYKDPLRTFVGREKYMRPLWITKALESPKVTVQEMSMQSTSTLTIKWAFRGKPKNPIFATIGGDVIVRVESRFVLNQISGQVLEQVDSWDLSASSLPAQAYFWLSRRVYSTVESGKDTIEAAKSTASGTSSKKDENLEAYLDPSGDPTKFFQRPDDGLSQDVYQIALFLAVIYFVVQKLDFNCHSSSNRSNGLRKGPWRFRRRVGEDEHTVVTVDLDFTPIARSASAVRRESSLEGKVVGSSITVEKAI >Et_4B_039970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6919546:6919908:-1 gene:Et_4B_039970 transcript:Et_4B_039970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSNAENERFERALAAYGTDAPGLWERVAAAVGGGKTAEDVRRHYALLVEDVGDIETRYGSASSNGNNRNNRGRTSRPQS >Et_4B_037845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2424863:2429950:-1 gene:Et_4B_037845 transcript:Et_4B_037845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVPAAGYGADGVYRSLRPAAPIASDPDLSLNDLLLRRAAACPTALALVEAATGQSLTFAEFRSAVLKTAVALSSRAGVRRGDVVLILAPNCVLYPICFFAITALGAIATTANPLYTPREIAKQVADSGAKLAITVSVLLPKIADLRLPTILLDGVAATTPGATVTPYSDLVAGVRETDYRRPPTKQSDTAALLYSSGTTGESKGVILTHRNFISSSTMVTADQDQRGEGPNVFLCFLPMFHIFGMSVITFGQLQRGNAIVAMSGFDMDSVMAAVQRHRVTHLFCVPPVMIALAKHGKAGKYDLSSLKLIGSGAAPLGKDVMEVVAKNFPDAEIVQIRHIPLVIEYCRKCSPSMPQVLWCMGSLLQGYGMTETCGIISLEYTEKGQNRQYGSTGTLVSGVEAKVVDVATLKYLPPNQLGEICVRGPNIMQGYFNNVQATEFTIKDGWLHTGDLGYFDEGGQLFVVDRLKELIKCKGFQIAPAELEGLLLSHSEILDAVVIPFPDAEAGEVPIAYVVRSPDSSLTEVDVQKFIEKQEAVAYYKKLRRVTFVESVPKSASGKILRRELIAKTGLLCNSRYCCIPSIPVFDLLEHL >Et_6B_048720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1286164:1287144:-1 gene:Et_6B_048720 transcript:Et_6B_048720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFSGPYQVETWLISPEVHDTYDDDHGLDCGTLTQPSEKTSPPGLFTPVFWLIFKRKSVVRIDTRSQALDELLGGRVRCFGFPARKAEDEPGPQNQACIGGVTLERQAHESSSLQALDGRRARRRGVDRRGGLANGDALWSRRIEGSMEKVKAQVSRRVYETPPLYHREQIPPDAGMPSPRRPV >Et_3A_024985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25897498:25900407:-1 gene:Et_3A_024985 transcript:Et_3A_024985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYYKARPDAGDYTIYMGADKNENEELIKYGLPEDVWFHVDKMSSAHVYLRLKKGETIDTISEGLLEDCAQLVKAHSIQGNKMNNVEVVYTPWSNLKKSPSMDVGQVGFHNHRMVRMLTVEKRVNDIINRLNKTRVERRPDLKAEKEASNAAEKAERKMQLKEKKRREDLERLEKERWAEIRSYKGLMVAEKMTSNRQIASAGKSMQEMEDEFV >Et_2B_022744.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:4006879:4007448:1 gene:Et_2B_022744 transcript:Et_2B_022744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRATFAIAGNGMCAAVGAAALLPSLTRQSGVIDGAVHHVEELDVVAVLLVVAVATFFSALTVIFGHLRRNGGGVGGRRNLEVMFFGLCASVGVVVDIFLFLQPGAGELVVTQAQLTGAAAVLLPPVSAATFLLSTALMYVHVLTGGGGGAGGHQNPAAVELVSEITLGATLLTAVLTVIMVGLTFNTE >Et_5B_043348.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6228112:6228261:1 gene:Et_5B_043348 transcript:Et_5B_043348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRRELNLVAHDLTQLAKRLCHSVVWCFRVPVCLEHLVAHDCNDTLSN >Et_10B_003305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18697006:18702791:1 gene:Et_10B_003305 transcript:Et_10B_003305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPMASSQGENLAEVVVVRHGETSGNASRIIQGQMDLELNETGRQQAVMVARRLSKEAKPAAVYSSDLKRAAETARTIATACDVSNLVLDPALRERHMGDLHGLKFDDAVRIKPDAYKAFSSDDRNQEIPISFLTGRCVSYLNAIAVKHKGERVIVVSHGAAIEEICRHADPTSSKRKRIPNTSISVIRISGVEGHWIPEKFGDVSHLNEDSFLQNAFGGDGASAKRPACLGSWYFLAAREVVAASPARRFASTLSAPPPPPQRLMSRRLLPRITPLPPRRRNPNPLITPAVAASLAHVLATRSTNPAWPRALAALLPAPLSDARLAAAVSSLPDPDLALALLSWSQTHHHDSLPGPAATPLAHSALLRVLARAGRFDAVDATLQSMSRAGDGAGPAPTRSCLGALVAAYADAGMDGKAAEMCARARELYGALPVAAGCNRLLRLLVERRRWDDAWKLYDEMLAEEGGGGADNYSTCVMVRGLCLEGRVEEGRKLIEARWGAGCIPHVVFYNVLIDGYCRHEDIRRGLLLLGDMETKGHLPTVVTYGVIVNWLGRQGDLENIASTFDDMRVRGLSPNVQIYNTVIDALCQCRSASQAMAVLKQMFANGCDPDVVTFNTLIAAFCREGFVREAEQLLRKAIRMELKPNRNSYTPLIHGFCIRGEVMVASDLLVEMMEQGHTPDVVTFGALIHGLVVAGQVNEALIVRDKMIERQVMPDVDIYNVLISGLCKKQMLPAAKNLLAEMLENNIQPDKYVYTTLIDGFIRSENISDAKKIFEFMGQKGVCPDVVGYNAMIKGYCQFGMMNEAILCMSGMKKAGHIPDEYTYTTVIGGYTKQGNINAALRLLCDMMKRRCKPNVVTYSSLLSGYCKIGDTDTAEYLFENMQSEGLVPNVVHNTILIGSLFKKDKVSKAAAYFEHMLLNHCSPNDVTSHYLVNGLTNSTTWIINSNCSSTVKFHDKNALLDVFKGLVSDGWDHRISAYNTIIFSLCRHNMLGKALDLKDKMANKGYSPDPITFLSLLYGFCSVGKPRNWGSILPNEFQKGELETILKYKTLLDQHVVDSVCCEVLRVLQLYAEEFQYTQKPEQQYAEFNIDRVCPYRDETRKEND >Et_1A_007625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36582806:36584394:1 gene:Et_1A_007625 transcript:Et_1A_007625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLSILNLSFNYFVGEVPKDGIFLNVIAVAIQGNQGLCGGISKLKLPHCSTHATKKRAWKLIIITISSAVLLLIIHLALFAFWHKRNKPRQANTDLLLIDDLHIRVSYAELVNVTNGFASENLIGAGSFGSVYQGRMMIHDRQVIIAVKVLNLQQRGAYQSFVAECETLRRARHRNLVKILTVCSSIDFQGHDFKALVYEYLPNGNLDHWLHQHLRENGEDKVVNIIKRISIAIDVASALDYLHEYRPLPIIHCDLKPSNILLDSDFVGHVGDFGLARVLHTDNSDMSEKSSGWATMRGTIGYAAPEYGLGNELSILGDVYSFGILLLEMFTGKGPTDREFGEGLSLHKYVQMALPDKVINVVDRNLLSVDVDREGSTSNSGRIRKTGIICITSVLQIGISCSKEIPTDRKQIREALRELQAIRDKL >Et_5A_041599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24878551:24884605:1 gene:Et_5A_041599 transcript:Et_5A_041599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRLPSLLSPSKPLLRRRLPAARLSASASRGQASATAGAATPGATETRGGDREGQVTPRSVDFNAWYTDVIAAAELADYGPVRGTMIIRPYGYAIWESIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTKWIQSYRDLPLMINQWANVTRWEMRTKPFIRTLEFLWQEGHTAHATLEDAEKEAMQMIDVYTKFAYEQAAIPVIPGRKSRVETFAGANRTYTIEAMMGDRKALQAGTSHNLGQNFSKAFGTQFMDENGKIEHVWQTSWAISTRFVVIIPIWKKGDEKAAVLEAVDSVQRTLKEAGIRVKVDDSETRTPGWKFNFYEMKGVPIRIEIGPRDVTNKSVVVSRRDVPGKQGKEFGVSMEPSTLVNHIKGCLEDIQATLLQKAITFRDSNIVDVSSYGELKEAIAEGKWARGPWSASDVDELKVKEETSATIRCFPFEQPEGTKKCFMTGNLAEEVAIFAKSY >Et_3B_029333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24042507:24047253:-1 gene:Et_3B_029333 transcript:Et_3B_029333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGAVVGTAVMVCAAAAAAVGVAVVVSRRRRRRRDADDEKKRKAAAVIEEVEQKFATPTALLRGIADAMVVEMERGLRADPHAPLKMLISYVDNLPTGDEHGLFYALDLGGTNFRVIRVQLGGREKRVVKQQYQEVSIPPHLMVGTSTELFDFIASELEKFVRTEGEDFHLPEGRQRELGFTFSFPVHQTSISSGTLIKWTKGFSINGTVGEDVVAELSRAMERQGLDMKVSALVNDTVGTLAGGRYVDNDVVAAVILGTGTNAAYVEHANVIPKWNGLLPKSGDMIYEKMISGMYLGEIARRILLKLAHDASLFGDVVPPKLEQLFVLRTPDMSAMHHDTSHDLKHLGAKLKDILGIPDTSLEARYITLHVCDLVAERGARLAAAGVYGILKKLGKDKVPSDGFQTHRTVVAMDGGLYEHYKKFSTCLEATLAELLGEEAASSVVVKLANDGSGIGAALLAASHSQYAEAE >Et_4A_035530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28063624:28066337:1 gene:Et_4A_035530 transcript:Et_4A_035530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEETSRLFRIRRTVLEMLFDRGYDVVKADIEISKARFMESYGNPPTRDTLVFSRSMKDDKTAQVRPLPSSVPFRSSLAAARRSRVTCLPALQIYVFFPNEAKPGVKTIRGYVEKMKTDGVYNAILVVQQALSAFARSAVLDCAHRFHLEVFQEAELLVNIKKHVLVPKHQLLTPEEKKTLLERYTVKETQLPRIQITDPIARYYGMKRGQVVKIIRNSETAGQYVTYRYVV >Et_5B_044681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4616878:4627306:1 gene:Et_5B_044681 transcript:Et_5B_044681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLTSSASPALPPWPAASSRARVRVTASADAGATTAAAASARERRGGSGGFPSFLPPAVERIRDGDAIRLAKRIERTGFSKSPILSSCVRPLEQQQNGDPVVLLHGFDSSCLEWRYTYPLLEEAGLEAWAVDILGWGFSDLETRPPCDVASKREHLYQVSKLIFIGASVYSEGPKDMTRMPKVVPYAGVSILKSLPLRLFATHLAFSKIPSGFYFDWVQIGRLHCLLPWWEDATVDFMVRGGYNVRNLIKQVKQKCLIIWGEDDGIIMSKLAYRLHQELPDATLRMVGQCGHIPHVEKPRESAKHILEFLERNKVEMAEGAASLVTAVLGHMEHVYNQSNRVSDDRGGKEQDEQGVLHCCVTGAAGYIGSWLVKKLLDRGCIVHATMRIEACRVLLTLSLCSPFFILYNSTLFVELLDVSTSSAAAGDEKKVGLLRALPGAAERLRLFEADIYDAATFEPAIAGCEFVFLVAAPMLRHCSSGKVLSKTELLEYNNSSATRAFEVVTLLCGLVGGDTLLRHALPDSVRAAMAPLTGDARGVARRPQAHAGAPRRRTCRWCTSTTPARRTPSAWSCPGPTPAGSSAPPRTRACGTSWITTGASTPGWSCASKIEGVRVQAGTSKLVDLGFKYRYGAEEVLDGSVECAKRLGERSQGMGEAAKEAMSRRVVCVTGGGGYIGSWLVKKLLDRGCVVHATLRNIADEKKAGLLRALPGAAERLRLFQADIYDADTFEPAIAGCEFVFLVATPLSHDTSSTKYKDTTEATVDAVRIILRQCERSGTVRRVIHTASVVAASPLKEDGTGFADSMNESCWTPINLSYGFSNAHLDAYAWSKTLAEKELLRYNDEPDGRAPEVVSLVCGLVGGDTIQPYLWSSLPVIVSPLTGSEPHHNSLLFLQALLGSVPVLHMEDVCEAHAFCMDQPAMAGRFLCAAGYPSMSDIVDRFAAKYPDLKIRLKDVTGEGVRVPVDTSKLLDLGFRYKFGVEETLDCSVECAKRLGDL >Et_6A_047945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2514226:2515001:-1 gene:Et_6A_047945 transcript:Et_6A_047945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAQVTPASSEMSAAPSSWRPAGPNLARAQSAAKRPDVEKLLSPRMRRHGGGCPFGVGAVGEVDGLAVEGQLQAIDVVVPLGGLPGADVEPVASAVEEDGDGLDPCEVARHAVGAALADEVGVDVEVAVGDDAEVGVAAPVEEEGVAVAADEAGVAARPGNAAHCNRSKT >Et_2B_020228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18113155:18117120:1 gene:Et_2B_020228 transcript:Et_2B_020228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISGTAAPPSSAACRLWLRRQLLLRPSHLRLRAPHSIADLSRSSNSSSSSSSSPVPAPPLAAKNGDHGRGAVEKDPIKLWERYVEWLYQHKELGIFVDVSRMGFTEEFMQEMEPRMQRAFAAMQELEKGAIANPDEGRMVGHYWLRSPSLAPNPSLRAKIESTLDSLLAFSHDVVSGKIKSPSGRFTSILSIGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELATTLVIVISKSGGTPETRNGLLEVQKAFRDAGLEFSKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSELSAVGLLPAALQGIDVKEMLVGAALMDEETRNTVVKENPAALLALCWYWATEGIGNKDMVVLPYKDSLLLLSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANDRESISVTVQEVTPRAVGALIALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLLVLNEASCKDPAEALTLDEIADRCHCPEEIEMIYKIIQHMAANDRALIAEGSCGSPRSIKVYLGECNVDDEINAA >Et_2B_021745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:533279:536559:1 gene:Et_2B_021745 transcript:Et_2B_021745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKASAGASLPPPPPEVAHLVDQLQRHHLAPDASLLSNSAHADLLQAREEVAAERALYLEALAVYAEAVAMVEEYHAAGGAGAGKKLNCSPQVYESLEHRLAVAEAAQRLRLPLLSQDGEVHEEEIEKLSTLSRSSFDSTMTSATPSSSISTSYNNYSSTASATTVGAAHGGGSSEPAEPGVGGVPDRFLGITSDYLYQVQQEQPAMFVDMVDYQRTLAREIEARLEAKCDALADLFAMDERDSSSISQISSARLPERVKLIIEEIEKEEALLLDDLSSMDRKFAEHYNVLEQILAVLIQFVKDKKLEHQYQYDDLKKTWLIKRCRTMNAKLSYLEHHLLRDTYTKETVPALHRIRKYLVEATKEASNSYNEAVSRLREYQGVDPHFDVIARQYHEIVKKLEGMQWTIHQVEMDLKPHQDHSAV >Et_2B_021250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27885375:27893970:-1 gene:Et_2B_021250 transcript:Et_2B_021250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIHGALQSTMAAAAAAAVTNGAAATLHAANGATADNHAANGTTAANHAANGASNGAHESGRNHIVIFPFMAKGHMLPLFHFATALSAHHSRLRVTVVTTPGNAAFARSRVPATVDLVELPFPSLPPLPAGVESTDAVPCPSLHLTFLHATALLRAPLAEYLASLPSPPLALVSDFFLGFTRAVAAEAGVRRVVFNGMSCFSSAICKALSASPPAVAGDDPGALFHVPGMPDHVQVAAEEVPYGVMKRADPDNPVTRFFVDVIGDSDVRSWGVLVNSFAALDEEYVPALESFYEPGARAWLVGPMFLTAGEPDGEQAKHDPKGCLPWLDERAARRPGSVIFISFGTQARITDAQLDEILHGLVRSGHPFIWAVRSDTWSPPVDAAGPDGLIVRGWVPQSSVLAHEAVGGFLSHCGWNSVMESLAAGKPVLAWPMIAEQHLNARHVANVLGVGVRMDVRENMENVVVRGEVEEKVRELMDADGEDGRRMRERAAWAQQAARSAVTAGGTSAMALEKLLEELQRTYSDVASNASFNHTTQHTTPCGHGHHDHQRRAGVWPRPRGHPPVHGERAHAPVAPLRHGPVGAPQQPPRHPAHHAGQANRDFARSRLPASVELVELPFPSYPPLPAGVESTDALPCRSLYPTFLHATLLLRDPFAKFLVSLPSPPLVLVSDFFLGFTHRVAADAGVRRVVFHGMSSCFSLAISKAIIMSPPNGVEPGALFHVPGLPDYVAFTDPDDPVARFVVDNVGDSDDHSWGILVNSFAALEDTRRAGGPLFLGMDAKDAKGDGVERTEIEAKVKMLMDTDAEIGKRVRVMADWAQQMATSAVSDGGTSRLALQNLVEELQRTYDSDEAKCSNSSNKRRQTRMHNFFPPVIHTR >Et_7B_055394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9890012:9892281:-1 gene:Et_7B_055394 transcript:Et_7B_055394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMKLGTRPDIFFTSGPVRSVHTEVATDLEILVDDVLFRLHKFPLLSKCLVLQALCASESSSSSGGGCSFVELPDFPGGAEAFEACAKFCYGVAVTVGAHNVAPLRCAAGRLGMTEAAERGNLAAKLDAFLASCLFRRWKDALAVLRSTTRLAAACEELGVTSRCADAVTALVAATDPSSGVGSSAPWWARDVSDLDVDLFWRVMVAVKAAGTVRGAAVGDALKAYARRWLPSVSHNVHLAAEQSDDGGDGNAEVVTNNHRLLVEKIASLLPAERNAVSCSFLLKLLKAANILGASPATKAELTRRAALQLEDASVSDLLIPSVPPASSSAYDVDAVAAILEELALRQAAAAGSSEAASPALARGHHRRLRSAESSEFDGARRSTSAAAASHGAMVRIGRLVDGFLVEAAKDANLPMEKMIALAEAVPDCARPEHDDLYRAVDTYLRVHPEMDKSSRKKLCRVLNCRKLSETASMHAAQNELLPLRVVVQVLFFENARAASAASGTGTNGRFADLAGGVKALLARPRQEVNNDVEVTGEQSLRGLAAVDHEWSVEGLRRTASRIATLRMKLEEEDDADDEDAFVHVRPRAGLVRSASSRVRAFCAIPARKPKRMLSRLWPSSRSIAGRY >Et_2A_016015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20155136:20156187:1 gene:Et_2A_016015 transcript:Et_2A_016015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDGVVSDEQRRKKDRVKLIGKQYKMAGAASIGDEVAYVTNLGHGSRPLVTRRTSSSLRYVSLILVHVSSCLKRCRPKTDFSSYTYATSVPPPNGLQ >Et_10A_000793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17285791:17287600:1 gene:Et_10A_000793 transcript:Et_10A_000793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDYASVKQYLVDYAQLRASGGYTVVQDSISDFRDVLCTSMTVGGYADEIVAAAPAEAGNGVNDQEILAGAGADGVQPMLERDNMSAASGPSEGNDAVDGLEQEGQEVRSAARSKLSIQRERISKLELRDISRYFHITLKAACKELNISETALKNVCRNLHIKRWPYRTVRFSTYVITIFMGRDYAWVNQYLLDNAQPQASGGYVVVQLRFP >Et_1A_008777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12597381:12597980:-1 gene:Et_1A_008777 transcript:Et_1A_008777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAESEPCPKDDAHANCCYRWLKWYDRASSAARARPNLFIRLAGVEGLDPGASPPSPPTFHLVVAALRVLQRRWELDAAPLVPRHDSGMVVVLHTRWSPWRPRQRPLPEDVRGLVWSEQHVVGRSEFDVEGEVAELGYLHCKTFLLFLRGNTTAQNEGLYASFGSYMCGT >Et_5B_045429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22148095:22152225:-1 gene:Et_5B_045429 transcript:Et_5B_045429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDAGDASPPPAHAAPPPLPALLHLPPAAAAAPTQRDMSASPTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASETFTVGGYQWAVYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTIDYSRPHSIHVPDSDIGYHFGSLLDNQEGIDVILNVGGESDESDEEKSEIDESDELKEFAIDDMEPKVFKAMLHFIYRDTLVDDNELGASSSDGSVFDSLAAKLLAAADKYDLARLRLLCESYLCKGITVASVASTLALADRHHAMELKAVCLKFAAENLSAVIRTEGFDYLKDNCPSLQSEILKTVAGCEEEHSSGGKSQSVWGQLSDGGDTSGRRPQLQPTEQAHFFWCDT >Et_3B_027971.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:2962182:2962211:1 gene:Et_3B_027971 transcript:Et_3B_027971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQRKILS >Et_6A_046646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18659963:18663621:-1 gene:Et_6A_046646 transcript:Et_6A_046646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPPAVPQTFKLILGSSSVARKHILQEMGLEFEVMTADIDEKSIRRENPDELVMVLAEAKADAIMSRLNLANYQKEGDQPTLLITSDIVVVHEGIIREKPTTKEEARQFLKVVTNLTTGKLTGSLDKAEVYFHDIPDEIIENLIDEGVVFRVAGGLLLEHPLTLPFVEAVVGSSDSVMGLSKEIASRLIHNAVSRS >Et_4B_037825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23821353:23825098:-1 gene:Et_4B_037825 transcript:Et_4B_037825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADGGKGKRLRRRFLGAACLPRRGCFTVSAAGDEEGTSPASGSGEGGSRPKPTHLVVTVNGIVGSAENWRFAAKHFIKKHPEDVLVHCSGCNSAARTLDGVDVMGRRLAEEVISVVESRPELRKISFVAHSLGGLIARYAIALLYESDTQKDSHEECEKHDVDYSSKQHTVQGKIAGLEPINFITFATPHLGTRSHKQIPLLRGSNKLEKMAFRLSWIAGRSGKHLFLKDIEDEKPPLLLQMVTDYGDLHFMSALRSFKRRVVYSNLSLAGGHLQYAVSMSFPRYPHIVYVEKPKAQDVEFSDSMIYQAKTTSEMEEVMLKGLNRLAWERVDVSFKKSKQRIFAHSTIQVKTYFLNSDGADVIFHMVDHFLY >Et_10B_002746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10329126:10336338:-1 gene:Et_10B_002746 transcript:Et_10B_002746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGEEGGDGGGKKPKGEAAPAMAAVGDDLLGDIFLRLPDTASLCRAALACKRWRRVASDHALLRRFHSLHRPPLVGVILSDRGNKPVPYRCPKLQFVPVRSGNPHLAAAASTGDFFFNNLPEHNFDSDDEEGNRIRRDPWMLRCCDAGLLLLSRGRLPREDLAAYDPFARTAVFFRGPDLPFHVVYYAFLANEADASFRVVAAQFFDDNCSPPSSYRAAVYSSRTREWSRLPSYRAPHPWNARDATRAGRFACWQSNTKKYWESNDTERVMVLDTTTMEWSLHPVPFLGESYCIADMAEYGGLCFIGAREQCLQLFASTDDGWVKKKQVPLMTQFPFLKNIRREEGMRKLLPLAVRGGYVFMEFWSIKKSQSYILLLNLKTMNLEMVKNDSTGEEGSGGGGGKKPKGGPRRGSGRRRPPGRHLPPPPRHRVSLARRPGLQAVATDRALLRRFHSLHRPPLLLLGVILSDRGDMPVPYRCPNLRFVPVRSGNSHLAAAAAMGDFFSDLPESDSVPKDEPWMLRGCDGGLLLLSRGRNPREDLAVYDPFERTAVFLRGPDIPFHAVNYAFLADEADTSFRVVAAQFFDDRVYAAAFYCSRTKEWSPLPSHRAPYPWNAGDGMLAGRFAYWQSNTRKYWDCNTRERVMVLDTTAMEWSLHPVPFPVGESYCAADMAEHGGLCLVGANEQCLQLWASTDGGWVKKQQVSLLTQFPFLKKIRRDEWMKRVRPLAVRGDCVLMEFWSIRKSHSYFLLLNLKTMKLEMVKNNSNEPYRGSAFPFLVSWASPLFSPGI >Et_8A_058295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4405393:4407603:1 gene:Et_8A_058295 transcript:Et_8A_058295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSHSATGKKVLGYLKEMHGERLIQSYGCVLAFDEMTSKVIAYSSNAPKMLTSDASHPGIIGIHVRSLFAEPGASELEKALRQASLVNPIMVQTKTSNKAFYAFLHSTTNCVVIDFEPVVPVEFPALASTYTDMQPFDIAFKASSKVHSLHGGSIKELCNIVAQELLNLTGYGRVMVNMFHEDGHGEIIAEATSPGLESYLGMHYPGIPQAFRSLLMMNRVEMYMKHMKTTSSLTMAIIVKNNTDHEANCEVELEELTKKDLNNKRLWGLITCQSETPRYAPFLLRCACEFLVQMFAAHICNELDSEKRRHEKRTLKMLSALSGVLLRETSSPRSIITSTTNIMGLVKCDGAAIWQGDKVHRLHVAHTEDEIHWIANWLLDNHRDLGVMSTESLYDAGSHNTAMPAATWEGAKRGPSSEDKAEGMGLSSFFNPILFFEVEKLTKSPPWKDYEMEGIHALRLLLKENPKHKTLAPAPPHQGDTGGVTT >Et_8B_059695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20839774:20843282:1 gene:Et_8B_059695 transcript:Et_8B_059695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSSLKMGCLKGRRSFSFYLFPMFCLMAQLGACNVVLMANNTTLSFADVEATFTPAAKGSGVNGVIYAAEPLDACSPLKTKADHSSAIPFALHAGFKAVIVYDNDDSGVLVSMAGSSSGIHIYAVFISKASGEVLKKYSGQSEAELWIIPTYENSAWSIMAISFITLLAMSAILATCFFVRRHQIRRDRGRIPRAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSTCAICLEDYSFGEKLRVLPCRHKFHAACVDLWLTSWRTFCPVCKQDANAGTSNPPVSESTPLLSSAIRLPSGSATLASFRSTVAASPPRPISRHPSSQSISRTYIPGSGIPRTSNPHRSYANSPPICTSGSNVDLANMSSPCSRSSHLASAHSLCAVSTNQYHVYFTPFWLWIPQSQHPYLRHCTLSGPSLFTMVPQSPQQTHLQHGGDSETSLSAAASTQSFHQFYQQHCPDSDTSAQSLPGC >Et_10B_002439.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:3600131:3600973:-1 gene:Et_10B_002439 transcript:Et_10B_002439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTNICTGRAGTHQSTYYQSLRFKSILLCSDACSDGGCVLNLKLPGHAVGLGASSQETGPQAKAAAAPPSWNLAAVLAAAAAWPDLWMTELGFTLSECMNAACASSGSPMIILCAWKERLAALRSRLCAFWCPPSACELKNFLMQKLQENTLCGGDEEDEGLALGSDPEQLSGDPLPAAASVRLSHVAPSSCCSLVSCCCSELSLVTDASSATAACSISFCFTCTQVFLWAPALIGGLRPALALGCSSCVWCCIRTSLPVSFIKEDEVGRGREFLWGNN >Et_4A_033133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1931659:1939180:1 gene:Et_4A_033133 transcript:Et_4A_033133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HRRPRGTPPFSRALFPLANARHRATKTPQASPLPRSLLLRAPPLAAFPSPLFPGLPCAAAALRLAPPHPTYRLRASKPRGAQPEPGPVMGKYMRKGKVSGEVAVMEVPGGALLGVRTRSRTLAMQRAQRPPEKGEAEETGEYLELRSRRLEKPPQAPLKEAAHATRRVDGRKAAAAAAAADEDDVEVSFGENVLDFDAMERSTRETTPCSLIRNSETISTPGSTTKSKTSSSMTSRRRMEASVCRFIPSSLEMEEFFAAAEQQEQHAFREKNDLLTVANTILHCSNQPTLGTAPQGQAALCGARFAAPALVFPSPLFPGFPCCCGCGPPRPTHLPPSDSRASRGGTMGKYMRKAKASGEVAVMEVSGGALLGVRTRSRTLALQRAQRTPEKGEETGEYLELRSRRLEKPPPHPLAPKKGSAGKKGSVAAPAEPADQVSFGENVLDFDAVERSTRETTPCSLIRNSETIKTPGSTTKSKTINSMTSHCRVEATGCRFIPTSIEMEEFFAAAEQRGQHAFRERAVWRNVHTGAHRNAIFFLIHWLTLSCTNVIRYNFCPVNDCPLPGRYEWVSLDC >Et_8B_059431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17924755:17931109:1 gene:Et_8B_059431 transcript:Et_8B_059431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGSCSGVGCCTSRFYVGNTLDIQLMSMNSGGNHTRSWSLVVRLRTSRASSLGVARGVRRSVPGIEVRTVVDWVFSNSSCTRDRNSSNNGCLSYNSQCRDSNSTSGYHCVCKSGYQGNPCIRQGCQGFVVAIGICSGISVALVIIGALLVRRKLKVWKVRKSRKFFFKQNRGLLLKQLVDKDIAERMIFTLEELEKATNKFDEARKLGGGGHGTVYKGILSDQRVVAIKRSKISANIILLDERLTAKVSDFGTSRGIPIDQSGANTAVQGTFGYLDPEYYHTWRLTEKSDVYSFGVVLVELLTRKKPFARLLSEGASLTAEFILLVNQDKLSEILDPQVIEEGPVEEVKEVAAMAVMCLSLHGEDRPAMRQVETKLEALRSGLHGVEINTAGTDGGMPMLDNPSLDNVNAREHLSRRRSMEEEFLMSMSPR >Et_4B_036157.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29582656:29582958:1 gene:Et_4B_036157 transcript:Et_4B_036157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGLMILWPQLKKPRSARPAQGLALGCICTTKTRRHRGPTFLPSAAWFLSRFAMRSRGCGCLCYRISWLCQAISTVSRRWRMYHPCCTVNMWHIKLLPL >Et_4A_033167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19482284:19486117:-1 gene:Et_4A_033167 transcript:Et_4A_033167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCLRGKSAAAAGEAALRAAAPWLQTASASYHHTIQAVPRETAGPRAAARERRHGRVPAVLLSLAGAGPGEGVAHRKLLTADRKQLAEMLKQSPYFLSTPVRLQVRAGERSTAVVHSGTVLPIKVHKDETTGNILNLVMVQADEGTMLKVNVPVEFKGEDACPGLKKGGFLQKIRTSLVYLCPAEHIPPKIEVDLTNLDVGDRVLMHDIPVHSSLKLLSKNETMPVCKILASKPVE >Et_8B_059248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15857841:15860227:1 gene:Et_8B_059248 transcript:Et_8B_059248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVAGIKEEEEEEEEEEEEEEEEEEEEEEEEEENMLLELLSIAESCGCDGWRPQRHGPGLERPLHDDGELELLGEVHLLAGHLRPLELPHEVGDEVLKVQQRQRHAGADPPPGAERHHLDLLAPREVDVLPFPAGHEPLRPELRRRRRPHLLVEADVADGEVHRRAGGDAVPVQRGVLVRGVREHVVARRVAPEPLQHDGLEVRHPLQVLLPHLFVPGDDGGHLGPQRVLHRRVLDQVRQDPLQRGGGGVGAGAEELGAEADDLAVGERPPAVLRDGEPHQRVHVAVPAGAGLPPCPDQRDEDLLLPPPQREELLPAAAEHELGERREEGEDLEAEEVSQELPLRGLHLPDARVAEAVAEAHVHQQAEHGVLERLHHGDRSGGFAVGADAGEEDVEHPPPRGAEGAEPRRVEHPGGEVAAERAPRGAVGRGADVAAAGGEHGAGRGGGRAGGEGGAALDEGAVGRPARGDEHGGARGAQRREREHRAVLPRQATQQGPQVEVAAGQEEQRAQHRHRHGPRRQRRLRRAAAMGGGRRAPGPGAQQQRAEEAEQSGERVEEPVLHGVASVLAGDYSGGLFIRPEICFGMFGCR >Et_9A_061524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13011190:13015105:-1 gene:Et_9A_061524 transcript:Et_9A_061524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPRRKGALVVGAPSRRVQVAAVFALAALLGVSVLYDSAHIAASLRRHGGGGAARAYAKLSGADGTAATARSVQEEAAALAPPAQGVESAVTGPTDRADAPPHPQEEDASTAVAKTKPGASAGSSQQDSPLIEEVVNGGGGEQPQKPVTCDVYRGKWVYDEARAPLYKEHECSFLTEQVTCMRNGRRDDAYQKWRWQPVGCDLPRFEAKALLEKLRNKRLMFVGDSLNRNQWESMICLVQSEAPWEKKSLVKNGSLNVFRLQEYNASIEFYWAPFLVESNSDDPDIHSISTRVIKPTSIAKHAANWEGVDYLIFNTYIWWMNTPQMKTLRSGSFLRKSVKYDELERVVAYKKVLKTWSRWVEEHIDPNRTTVLFMSVAPVHMENEGWGSPNVIKCFSETQPVTNYTKKLELGTDWDLFATAQRVTKSMKKVPVHFIDITALSEIRKDAHTSVHTLRQGKLLTKEQKANPRNFADCIHWCLPGVPDTWNEFVYGHIVSSPSRQMTEDQSQR >Et_2B_019183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15999343:15999820:1 gene:Et_2B_019183 transcript:Et_2B_019183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDETGTFIDGAIGWLPAVESALHAEAEACRAALRLISSGEQRSVIVETDSKTMVNLWQIKIRMPVYLVHVKRSANFAAHLCVKETSHYRVNNVWFGQSPNLLQTCLQVDCYQLINKEESFWSKKKRGNRSNPATRFQW >Et_7A_050921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12449088:12449666:1 gene:Et_7A_050921 transcript:Et_7A_050921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHRLQCTVAEYMHLFMLGKERQIKPSQKWQPPQQGWLKAMSMAEVHEGGWGVVIRDDDGHLVAARAGKEANVADASYMELTAVREALNLAEELGISKRYSLRDRCSAYDAGNQARWIAHRQRLLSRVLSNKCHRFKIFGITHEGMSSWSWDGDVPAFIADSVMGDLPIIS >Et_4B_038885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5641230:5647241:-1 gene:Et_4B_038885 transcript:Et_4B_038885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDAPRRRRQWTVALVSVAALLERADEALLPAVYREVGAALGASPTALGSLTLCRALVQALCYPLATCAAARYDRARVVAAGALLWAAATLLVGSSGTFVQVSDHHNVLCLTPLTSGPSVLFSAGLCAASQERARFECCYMAMARGFNGVGLALVVPAIYSLVADYSDDATRGSAFGWVQMAQNLGPVVGGSLGVLLAPTTFLGVPGWRLAFYLVALISVALAALTWLLAADPRPSGAKTKALTTTLSEIVREAKDVVRVPTFLIIVAQGVAGSLPWSALNFSAMWLELVGFTHWATTVLTNLHHLADALGALFAGLVGDPLARRFPDTGRIALAQVCTASTVPLAAVLLLALPDDPSAGAAYAAAFFVFGFASSWCPAATNNPIFAEIVPEKARTTVYALDRCFETVFASFGPPVVGILAERVFGYQPVASGTSVEVDKENAAALGKAIFAEIAVPITVCCLTYSVLYWTYPADRQRAQMAALQKASGDQDHDCEASGAASATADDGLNQALLSRTDLERGKRATGSGAAGQRRRQWTVALVTVAALLARADEALLPAVYREVGAALGASPTALGSLTLCRELVKALCYPLAACAAARCDRARVVAAGAFLWAAATLLVGASGTFVQMAMASGFNGVGLGLVVPTIYSLAADNSEEATRGSAFGWVQMAQSLGAVARVSLGVLFAPTSFLGVPGWRLAFYLVALISVSLAALTWLLAADPRPNGAKTKTLTATLSEIVLEAREVVRVPSFLVIVAQGVAGSLPWAALGFTAMWLELVGFTHWATTLLTNLNHAANALGALFAGIVGDPVARRFPDTGRIALAQVCTASTVPLAAVLFLALPDDPSAGAAYAAALFVFGFVTPWCNAATNNPISAEIVPDKARTTVYALDRCFESVFASFGPPIVGILAERVFGYRPVASGTSVETDQENAAALGKAIFAEIAVPITICCLTYSAL >Et_5B_044519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3114666:3121789:1 gene:Et_5B_044519 transcript:Et_5B_044519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHVPRLLPAPPKPHYNAAALRFTVAASAAAPPPAAARKQAVIVGGGLAGLAAASHLTSLSVPFTLVEASDRLGGRVATDVVDGYRLDRGFQIFLTAYPECRRLLDYPTLRLRPFYPGALVFLGAGEPFHLLSDPFRLPLRSLSALLSPVGTLPDKLLVGLARLRAAATPDEAILSAPETTTAAHLSNLGFSPSIVERFLRPFLAGIFFDPALDTSSRLFELVFKRLALGDNALPEDGIAAIAEQLAARIPEESVRLNTRAAAVDRSGVTLDTGETIPGELGVIVAVEQPEAEKLLPRLSTPQKPKKNSSSERSTVCIYFTADRAAVQDPILLLNGSGKGIVNNMFFATNVAPSYAPPGKVLVSVSLVGSFAGRDDAELAGEVVRELAGWFGDDEVASWKHLRTYRIGFAQPDQTPPTNPAGRDPRVGDGVYVCGDHWCSATFDGAMDPPAKTVRKKITQTREPKRAKRPIGKPLARSAPTPTTKMGSMEASTAPENGTAAAGGGAACNGTGPVSNGGGVERRLRSSTASASWAAHLPLEVGTRVMCRWRDQKLHPVKVIERRKGLSSSSPADYEYYVHYTEFNRRLDEWVKLEQLDLDTVETDVDEKVEDKATSLKMTRHQKRKIDETHVEQGHEELDAASLREHEEFTKVKNIAKIELGRYEIDTWYFSPFPPEYNDCPKLFFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLEILKKHKSNISIKELSDMTAIKADDILSTLQSLDLIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDVSKLIWTPYKEQG >Et_9A_063554.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:7284177:7284746:1 gene:Et_9A_063554 transcript:Et_9A_063554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAQEQEQWQGAVEALLPSTLAAAAWPHVADFFALHRYLPGVDVCERVAAAGDGEDDDGAVRVGCVRHCAAYKPGTKEVATWAREELVELDAARRRLAYAIVANNMGFGRYVASVTVLPGAGGEEDEEAAGCRLVWAFECEPVQGWSLDVLIGYLDAGLKGMAERIEKAAAAAAAATTGAIAAGAVAA >Et_1A_008608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9181808:9182516:1 gene:Et_1A_008608 transcript:Et_1A_008608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAKKSGNDMTVVKGLDVARYMGRWYELASVPSFFQPRDGRNTRATYTLQEDGATVHVLNETWSKGKRDAIEGSAYKADPNSDEAKLKVKFYVPPFLPVIPVVGDYWVLYVDNDYQVALVGEPRRKFLWILCRKTSIDEEVYNELLEKAKAEGYDVSKLHKTPQDDPPPEADAAPTDTKGVWWFKSLFGK >Et_10B_002479.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:10480191:10480301:-1 gene:Et_10B_002479 transcript:Et_10B_002479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLLEGARAMHKLGILHRNLKPDNILVVGHGDVKI >Et_8A_057642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5612356:5614314:-1 gene:Et_8A_057642 transcript:Et_8A_057642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGSHSLLSPASPMSTAFVSRHRAAAVGGGACRPSKVGTKIRCCSKEEGSKEYADKGKVEEHTPSRRKCIACLCAVTLISASGPTICTPNGLAADMSKPGIQKAVCRNCNGSGAVICDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPEAKELLDKMYNGKILPNS >Et_2B_021161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27019527:27023136:1 gene:Et_2B_021161 transcript:Et_2B_021161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVDGEPVLSSAGAVRMLSTRDGSCPGGASGRELVAALAGSPLLRDAADRLKAAPKRWISVGEEGERRHVYVFQREYATVDPARVELVGTDEATTCVGVVVRNNKTGMTSVSHMDFPRIVEGGLKQMLELLGDDNEPFDVHLIGGFDDASTKVVHSSGKKHIKQDGYSYPLCCKIAEVLHKSHRQFNLRSFCVLENNTTTDSFGNAKPVIGGFVVETLSGVVTPACFDMNSRCPDEVVRRIRVSVSSYDPVWQGKLLETYDTQCDVFRIAPACWMPDWSDIASSLNQLSDSEVLLQCSTSPAAEPPHFVENERRIWKYLIDNPDWEETFPKYKPRVFHRTNDGSWSRFS >Et_5A_041856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3561153:3564701:1 gene:Et_5A_041856 transcript:Et_5A_041856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAVLVVLLAILGPVACQGASVCFNGWLKVLNPASCPRGSRNNFFTRQRRPAPSGSGLSYGYYNNRGSFCPRAEGIVRNAVKAATDQNPGIGAGLIRLFFHDCFVRGCDGSVLLTTTASGNADTEREGPPNKNSLRGFVVIDTAKAAIEAACPGVVSCADIVAFAARDASAILSNGNVRIRMPAGRYDGRESFANETDQLPGPFSNLTQLQDTFSAKGLSSDEMVTLSGAHTIGRARCLFFKSRFADMDPALAAKLTAQCNGNDDTNVNQDDVTPNVLDSQYYRNVIGKKVLFDSDAVLNSTETIAQVTQNANGGGAWERKFEKAMENMGKIGIKARTDSGAEIRRVCWKVNRSRTELLKPTDTVRCYRSNGFEPSVFLLFEGPWVLLTYLLLLLLLREVVVDAKHLAHLLRGLALDHVGDLLAGGLEEPLDVEEVSGFDDVIEGVLAEAIHELAVPLLQRLPGCAKAAVAAAALIDAVVGRGVGVLVAVLDHRGEGPGVDVGYRDVASGAAQSDHVGEEHRQPRRLL >Et_8B_058889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10457261:10464358:1 gene:Et_8B_058889 transcript:Et_8B_058889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFANKLKDIFILFLYVYLENTITPTPLKDNKSLINGLVAAAAASAFLFLLVLGIFRTESFSYDKLATATGNFSDSKKLGEGGFGSVYKGFLMMNLDVAIKRMSKHSRQGWKEYTSEITIISHLRHRNLVQLIGFCHTHDELLLVYKLMPKGSLDKHLHNQENKIPWKPRSHFIQTWSFAYFHSPNILFLNIHRSVEIVSGYGIVLGIGSALLYLHPDCEQGVLHRDIKPSNVMLDESFTAKFGDFGLAKLVHHCKETHTTEPAGTTGYIDLECTATGRFSMDSDIYSFGVLLLEMKCMLVVGLWCSQQDRRMRPSIRQGISTLRLESSLPTVANMPPVRRLSSNLSLELEDGTLSTRSMNLVSVTY >Et_8A_057588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4876382:4877811:1 gene:Et_8A_057588 transcript:Et_8A_057588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPSPHYPPKRANGERNGHPAVPSTGTGARGGNNGGIPVVDFDVLVNGTADQRSQAVRDLGRACEDWGFFMVINHGVPEDLKKELVEACKELFSLPDEEKAEHLEAEPMAPIRIGSGFYAVVDGAQYLRNYLKMFAHPELHCPAKPAKLRDVAAEYTAKTRDMLQQLARAISESLGLDGGRVSEALNLDSCFQILVGNHYPPHTGPGDLGVGLPAHSDHGLLTLLFQDGVDGLQVEHDGQWVLARPLPGAFFVIAGDQLEIVSNGRYKAAIHRAVIGAEQERMSFVSMISPSMDTVVAPVPELGRDSQGLEFRGVKYRDYMAYQQSNKLEAKEALNIARVQLGDTNGRIDRTA >Et_7A_051554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20191551:20204190:-1 gene:Et_7A_051554 transcript:Et_7A_051554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADLVQRCLEAGGRDGLLLPHRHPSSSSPPSPTSAAAAASSSSILQSLPLHVSFDRGFYLLVKAIQELRERKDGLVVTVGIGGPTGSGKTSLAEKVASVLGCVVIVSMEDYRTGAGGDDVSDVDAIDFYALAHNLQDLIKGKDTMMPVVDFQEKKRTGWRQLKIPSSGVVIVDGAYALRSTLRSLLDIRVAVVGGVHFSLLSKVQHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRIDNSFVCSFREPYYKLKCKNESPDGKKFYSFDSNKAETENFIEMYLRPPFASEEVKIDDWIKVRQCGIRYYLSLGDQRIVDKYFIIRPKAEFEVGRTTLGGLLALGYSVVVSFKRTCTSVYSDQLLIAAETIDTLNETFLVLKGPSRKIVAAEASKLSIKGPWITKSYLEMILESKGVPRLNTPPPVSRMLLTDSQEKKIAAPKPIRVSTDNIANLDDFVQPWTRSPPRKLDQEHVLAKWQFIPDSSSRSNIQLAPLPDSYDLDRGLLLSVQAIQALLENKGFPIIVGIGGPSGSGKTSLAQKMANIIGCEVISLESYYKPEQVRDYKYDDYSSLDISLLTKNIMEIRKNHKAEVPCFDFENFSRNGFKEIQVSEESGVVIFEGVYTLHPAIRKLLDFWIAVVGGVHSHLVTRIQRDKNRAGFSISQTEIMTTVFPLFQQSIEPHLVHAHLKIQNDFDPVLSPESSLFVLKSKRQVSYQDILKVLDATKVCSSVQNFTDVYLRLPGVPSNGKLTEGECIRVRICEGRFALLIREPIREGNFIIQPKVDFDISASTVAGLLKLGYQAVAYIEASAVIYQDGKILIEVDHLQGVTTPYIQIKGTNKEIVSTAGSELSLDGSYTTKSYLQIILESLPADDNVSAGMNNQQAARLQELVEFIQSQGGSFNSDASSPMREISSTDSVLDDMQSRIRKLERWNTINMVLWTILLSALVGYSLHQKRRH >Et_6A_046709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19720265:19724745:1 gene:Et_6A_046709 transcript:Et_6A_046709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVNLRRLFWQIDRYGHLSPKILSGHSNWRAQQVVVVATYVLGWLPLAQCPARLKRSRSLSHDATATLCSRNPPGLGLRHEYATEWGVAVASQSQSLLDSITPTYTGMDIANLTIWLAVAFVIAAIITKLARGRNSYDPVCNRPLPPVVTGGSIIGLIHTFLTKGFQAMIHDQYTKLGSVFTISFFGAKITFLIGPEVSSHFFQGSDSEISHGKILEFTVPMFGKDVGHAHGIDATTRNDQNRFVADALKPAKLRCHVGPMLQEVEEYFAEWGQQGMVDLKQELEQLLMLITGRCLLGREVREKMFGEVLTLLHELIDNSLSLPTVMFPYAPIPANRRRDKAHARLADIFAGIEDVLQNLIDVKHRDGRPTTEGEVTGLILSLIFAGKHTSSTTSTWTGARLLSNPKWLAAAVEEQPRIIAKHGENNIDYNVLQEMDVLHRCIKETLRMHPPAPAFLRTVKVNFTVRTRDGQEYEIPRGHTVASPVLFNSNIPYIYKDPEVYDPDRFGPGREEDRVGGRFSYTAFSGGKHACVGENYAYMQIKVIWSHLLRNFELELVSPFPETSWKKLVLEPKGKVMVRYKRRRLSL >Et_1A_006781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27499109:27499888:-1 gene:Et_1A_006781 transcript:Et_1A_006781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFVPETYSLGPEAAHISCSFGPVREEPTQLPFSGAADELRNRTTRHTQIRERERERERESEMAGRLTAAGARILGGGGGAAGRAAGSALRQRAGMGLPVGRHIVPNKPLPTNDELVWDNGTPFPEPCIDRLAPHIGKYEALAWLCGGLSFFAALGVAAAVNDKASKIPYVSPLSVCYCS >Et_7B_055921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7450310:7453469:-1 gene:Et_7B_055921 transcript:Et_7B_055921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRDRMEDFKEAVRVAAISNGYTEVSSIPAQLAALMSSFIMRKSPPKSPFTNAAIKTLQSIKELERFIVKHRRDYVDLHRTTEQERDTIEHEVGVFVKACKEQIDILKNRIHEEDKNGSAKTWLGTRDDSSRLDLIAHRHGVVLILSERLHSVTAQFDRLRSMRFQDAITRAMPRKKIQKKPEIKSAEPSKSNLVLKSDVSKFGDQEVSTAPMRVEEQLLDDETRALQVELTSLLDAVQETETKMMEMSALNHLMSTHVLQQAQQIQYLYDQAVEATNNVERGNKELSQAIQRNSSSRTFLLLFFFVLTFSVLFLDWYSK >Et_6B_049531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6142528:6145831:1 gene:Et_6B_049531 transcript:Et_6B_049531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESVHSPPRAPPGRLLPATRLRRRRRRCCPRGQDAAEKVNLWVKETTKGIITTLLPDGSVDQNTGLVLGSTLCFRGRWLDPADIRATAMQQFCCLDGTCVEVPFVEYDRTRLFAVHDGFKVIKLPYQKGKNERKFSMYIFLPDAHDGLFELTKKIFSDSTFLEQHLPTEKCHVDIRVPKFTISFQTDLKDFLKEMGLELPFLRDADFLDMVKEDESSGPLFLSDVVHKAVLEVNDKGIEETSVTIGLGKPSPAEHFVADHPFFFVIKEEVSDTVIFMGHVRLPSMMAQRKYVASLGHQSVHVDQKGRVSSERPLNATFNATFKLLPLKLSIHERSSTTRMI >Et_4B_039707.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27265966:27267789:1 gene:Et_4B_039707 transcript:Et_4B_039707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSGAGDVVVPVLSVRFARQVVLGRWFMVFACLLILSASGATYIFSIYSKVLKTSLGYDQRTLNTLSFFKDLGANVGVVSGLINEVTPPWVVLSMGAAMNLAGYLMIYLAIDGRTSRPPVWLMCIYICVGANSQSFANTGALVTCVKNFPESRGMVLGLLKGFVGLSGAIFTQLYLAIYGDDAKSLVLLVAWLPAAVSILFVHTVRIMPYPPRSGRRGSSSAATSNDAFFCFLYISIALATYLLVMIVVQKQVDFSHAAYAASAAALLLVLFLPLAVVVKQEYRIQKELEESLRDPPTVTVEKPAAAPLQIEPPAQQSTTTTETEHQKKAPSCLTHMFNPPAQGEDYTILQALVSLDMLVLFLATICGVGGTLTAIDNMGQIGQSLGYPPKSINTFVSLISIWNYAGRVTAGFASEIFLARYKFPRPLMLTLVLLLSCLGHLLIAFGVPQSLYAASVVIGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGSVASPIGAYVLNVRVAGYLYDVEAARQHGGSLAGGDKTCIGVECFRKSFLIITAATVAGALVSLVLVWRTRNFYRGDIYAKFRDNAAAGDDSSSSPRQPKGVPAEESTEVNGKKG >Et_3B_030281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3265155:3272458:1 gene:Et_3B_030281 transcript:Et_3B_030281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFHVYEAIGRGSHSTVYKGRKKKTIEYFAVKSVDKSQRSKVLNEVRMLHSLDHANVLKFYSWYETSAHFWLVLEYCVGGDLKGLLEQDKKLPENSIHDLAYDLVKALMFLHSQGIIYCDLKPSNILLDEFGCMKLCDFGLARRLKDIEKTNPGDVPQPMRGTPCYMAPELFREGGVHSYASDFWALGCVLYECYTGRPPFVGREFTQLVKSIISDPTPPLTDNPSRSFQNLIDCLLMKDPAERLQWSELCEHNFWRTSIPMVSLPPQPAFDNMVELSATPYLAERNGDKPSRQLTPPKPREYSGHRRKDENSTKAPTTPVKNVQSGKRNGVKPSGKADGFKGVNILRMSRIAKVNLQREKDKENYRRPTETSENETEVKIENNDMELDFCENPEADAPEDTDGLDNPGYAANEKSQAADGSEENQIDIFNDEGSVKSDIMTKTEHLDVATPPSICMRKVQRAKVAPIAATGSEPSNINEAFWHPTDLAVKPVMPSKKADKAVDTVPMLPFAALTASDYIKLPQEQMNAFNSQILQSLSGTFQVSEKQNTIRYLEMLSMNSDAANKITNGPIMLLLIKMLRLSKSPVLRVQIASLMGLLIRYSTALDVELASSGIFNALSDGLRDKHDKLRRFCMATLGELLFYISTQSDQDTKEFNAQESPLKDNKAASSWQVPSAVISLVSSILRKGEDDLTQLYALRTIDNMCSQGTEWTSRFASQDTIGHLCYIYKAPGKQESTRFIAGSCLSRLARFSPSCIHLILEKLSFKDIADDFQMTLLRVLEAATEEPSVILDEHKIFTSRILPSLSILYKGNKDGDARFLCLKILSDVIIVIFSDSSLTADEQVIANLKLISQKHFLPLYPSFAEDEDPIPIYAQKLLVMLMEHDCVKVSDILHKATVSQCFEFLLGDLSNANVSNVKLCFALASASEMDTHILSQLQVVRRLGTLLDSDPQISDLASDCVVLLLKAAPREATVGLLTNLPKLSAVLDLLKHDSCLLLTRLLYGLAFSCRQYLAQGMILSISVSALMRVEALVSAFKVSKDSRLAEAASYLGAELQRLPRC >Et_9A_060893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13321901:13322361:-1 gene:Et_9A_060893 transcript:Et_9A_060893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKRTTALMVIMCLVILGLNVNLATAEECSCCVAARAKACCFACIAAGGSDTVCKNTCCFPCALTDSVAAKMEEMGILAKMQE >Et_3B_029955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29349009:29350815:-1 gene:Et_3B_029955 transcript:Et_3B_029955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSEVPPGNPAAGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTNPGYSYSSANKNMAVIWEENTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKNATA >Et_3B_030503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4384655:4389308:1 gene:Et_3B_030503 transcript:Et_3B_030503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAPPSSSSAARPAAAAASSGSGSAAASPESYIGSLISLTSKSEIRYEGVLYNINTEESSIGLRNVRSFGTEGRKKDGMQIPASDKVYEYILFRGSDIKDLQVKSSPPPPQPASLHNDPAIIQSHYSQPASTSSSLPTAGGAVLPDLSSQAAQYGIQRPSFQSNLPLYQPGSAPWESPAAPPGGNASTLSAPSMYWQGYYPPSSGLPPHMQPPPFLQPPSGLSVPQNLQYPGLAPLPGLQKLSELQSSLMQPPVSSQGPSSGILPASTAPASAALLAPENSKPMRPNMGPLFTPPVTSLGAASPFASHPTSMAETSATASHNFTSLGNSKAPALPGSALAYQTVSQSVSSTVPASSSAQVELPVPLLSQSGQLLQNPASMLSSSLSIEAPLQMGSKEVKPLEPKAKVTEPLLPDPLLPDPPSRALPNNKEPILPLPKQTPQKYNGPGPHNHHSFRGRGRGRGSAFSQSVTSFTEEFDFMAMNEKFNKDEVWGHLGKKSQSRDKDGELGDDVFDEDLEVEETGNPELAAKPVYVKDDFFDSLSSGTFGRGGPNGRGRFSERRRVDTETFGDFPRHRQPYRGGARGYRGGGRSRGSYYGGRGYGNMGMGAPGNAYPHRGSYGRD >Et_1A_007012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30002714:30004599:1 gene:Et_1A_007012 transcript:Et_1A_007012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAPAKAVRALAASVAALVLLWCVHFRGGLAFSSPTNKGLIFNVHPVFMLIGFIILGSEAIMSYKILPWSHDTNKMVHMLLHAGALFLGSVGIYAAFKFHNESGIDNLYSLHSWVGLGAICLYGIQWLFGLLTFFFPGGTPTVRRRMLPWHVRSGLIAYVLALLAAELGFLEKLTFLQAGGLGRYSSEALLVNFIALLVILLGTSVVMYVTAPMHNEHTHGYSAVHKP >Et_3A_026781.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:20342913:20343518:-1 gene:Et_3A_026781 transcript:Et_3A_026781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLTTSVAILTALLIMHHPSFTAAATIADHTRTPANASLASGFSLQLVEPDLDDLDHTVRRGSDGFLHLRQSLRTNLTGANSSSAANATALGPDTTTNAPLRLPRALVINVGTGPAQNYLFKVVDDAGTIIWMQCHDCDPRSPQRHRLFDSSTSPTYHHVAGTDPFCQPPYWSVFSGRACEFRVDGPIEGHGHRGLHRH >Et_4B_036598.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:8189646:8189714:1 gene:Et_4B_036598 transcript:Et_4B_036598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLFSISLKKVLNLQELFMIF >Et_1B_012267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30303637:30303904:-1 gene:Et_1B_012267 transcript:Et_1B_012267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKPRGKKLVRVHQEYIDCLLKEPHPKVSDRVPNTELREELRTVQASARAPLQMLVDQDLDVLHQYRTKGFAEVEADIYDE >Et_2A_018795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7732447:7736216:-1 gene:Et_2A_018795 transcript:Et_2A_018795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFFGKNTDTTPPPTFKVFSKADEGHCLAVRDGALVLAAADSGDERQHWAKDVRYSRVIKDEEGNPVFSLVNAATGLAVQHSLGPGHPVSTSFSFSLSICWLSRLRGSRIFGRVLGLIRCSIGRSNIRIQVRLAGFYPDGYAESLFWTESADLRKAFGRIRMMHNVDLCMDVSAVEGGAAAGVVLSYVDGSRSDGQSWKTVPWSGEASFDDELDSLPTCRIYCRADEGFSVTVRDGAVCLAPTDPDDEGQHWVVDKRPGDTIRDMNGSHAFVLVSKITGEAIAAENGYTIGNVQLKLKPYNPNFLDVPVLWTTSPDLGHGFRCIHQVDNTSANFDAFQDGKDVHGEVRDGTRIGLSHWGGFGDGDDHNLQWKIVPWNECAGLELCTGAWVHDGVDEAKCQAIHDIPHMRKKTLELQEALPITLECDRDLYNAAVEFIST >Et_4A_035386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22517726:22518643:-1 gene:Et_4A_035386 transcript:Et_4A_035386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLTSDPIASQRWYAPFCRRSGGPRARRPPSSGSGHGCGWPPLERVAGWVGGGIAAVFFASLERCSCVNVRTQDDLLDDEQRDSEAPLMFDDGNNGIGCSTAGGAAVARRGSGRRSDKTKRNDGARFIATAE >Et_3A_025538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30574362:30578979:-1 gene:Et_3A_025538 transcript:Et_3A_025538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDGGEGASPSPGPRAGSGATPEPRPPRPQLTKSRSSISGSAAASVVAADRGGGGGAGRVRDSILVRRSSTAPLPPAAAEQAPRRLIVAVDDPSYAAPNGGVLDRDWCYPSFLGPHASRPRPPRQQQQTPTSGRRSTATNPTLPPRVAVSQREEEKNLASVVKRTALLEERRPLPPLPPPPRAPRFDLSPYLPLLLVITVTSSTLAIWQWIKVMRLQEKIRSCYDGSDANISGPAKMSWIDGDNASGFINSGNWNLAPTSTIIALALPLFLFKYIDQFRRRQTNSMRASSSEEEVPLKKRVAYKVDVFFSGHPYAKLLALLLATIILIASGGIALYVVSGSGFLEALWLSWTFVADSGNHADQVGLGPRIVSVSISSGGMLVFATMLGLVSDAISEKVDSWRKGKSEVIEINHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFGDVLISFPDAVPCGVKVASRGGKILINPDDDYILREGDEVLVIAEDDDTYAPASLPEVNKGFLPNIPTPPKYPEKILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVPEKEREIKLTDGGLDIGGLTNIKLVHKEGNAVIRRHLESLPLETFDSILILADESVEDSIVHSDSRSLATLLLIRDIQSKRLPFKELKSPLRYSGFCHSSWIREMQNASDKSIIISEILDSRTRNLVSVSKISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIRSAEFYLYEQEELSFFDIMVRAREREEIVIGYRRANTDQAIINPEHKSEIRKWSLDDVFVVISKGD >Et_1B_011649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24557327:24557969:-1 gene:Et_1B_011649 transcript:Et_1B_011649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNSMRALALAVAVVVASAASGAEAVGPKYEVKKFTVTGTVLCQDCTKNWNAYAYNAKPIPRSVVGITCVDQRSGRTVYHGSDATDEKGVFNIEVPALLNGGAIKIDPSGCLVRLSASGDAACAVLTNFNGGRVGEKPYRPVRTFPGEVTFAVGPYYATLKKCDVKDNEGCADAAY >Et_4A_035662.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3338444:3339232:-1 gene:Et_4A_035662 transcript:Et_4A_035662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAPKGSMTIAFPVARTEAHATSAAACDPGSSVNSESFIYRWVEGDHSESLYILDMAPRCPFFFERLSFSSKGWLWCALPPPPFLLDPRYKPRRDSCAAVNGTTIFMSPSTQEEVIGTYCFNTVTHEWSKAGDWVLPFLGRAEFVPELGHWFGLSHCSPNHFCAVSSLDPPEVKASWSDLDPPEGWSLLDLYLVNLGSGRFCTAKFFDARDPSSDDAVINTVAVLTGVEVVPCANQLGGLGFEMLKHKSKCVTNLDIKCVL >Et_5A_041128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18612128:18615077:1 gene:Et_5A_041128 transcript:Et_5A_041128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLNRLRKEMYRGYHTLDTYRCRAHKDQAPDHHESVSLSFRSSIFNPAKRFRFHSGSSSSSSEQEQVNEARGCLEMAIRDTTQLVVFLSGCPRLCRQPYNMYLIVDKCMFGRQMEMEWIMNFLLQEEGPVAEYPCIVPIIGPGKVGKTTMIEEACNDDRVRNRFSKIVCFSQDSIKDKRRIATLNTIARFGTTQAVRVQFFTQEAYWYFFKVRTFGSINTEDYPKLTSIAMEMARELDGCFFSAHFYSGLLKANFNTHFWSMALAIIKEFKRMNLFLFGSHYVDPWQVVEPVYIKKTSSEYLVILDDYQTGSAQNPAQSGSCHVEYSRCLIWKS >Et_8A_057037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20463965:20471117:1 gene:Et_8A_057037 transcript:Et_8A_057037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAVPAAAATAGSPSSAPSAWPSTLSKGSIGGHYRRRRRSVSCRATGADDGGEWSIPRRGVLAGLTGLAAYPDFAAALAAEAEATCLRGDTFTGEFFKCDRGNDLPCPPEPSSTTDSPKQEKPDDFKAPPDGAKLRVRRPAHIDQTSMEKYKEALTIMKGLPASDPRSFTQQAGIHQAYCDGHYKVAEATGDPADKDAPFDVHFSWVFAPWHRMYIYFYERILGDLIGDDDFALPYWNWDAPEGMALPKMFKEDPTSPLYDEHRNPAHLNATVDLDFVNNKKAGKTTVVPFDPKAEQYNDRVDKNIATVYRQGSLIGIDAPTFLGGKVCAGNKYDRSSGTSGTLESLAHTSIHVWTGNPEYKVKGRDGKDHANGDMGFLGSASRDPIFYSHHANVDRLWHLWSTELGGQNLTDPEWLDTSFVFYDEKKRLVRCKVRDFLDTAKLGYAYDYDEKVKDNEKSESPPLLWRNYKPTVRQAEVPLNPVQAVTSLLFTVPPPKFPLKLREGESVVVPGVAQPRRGKGETEVLVIDGVEFNPGESAKFDVAINVPREVAGGVGPRCVEYAGSFASLPRGGDDKPGATRKVPLKIPLDDVLADVGVGTEEAVNVVIVPRTSGVTISEPRIETRDSMSTKSTVATSSSRPCHVHRRRCRTGLSCRATGGELLWLPRRDVLAGLTGVAAGLAAASPDALLAAETCMRGDKVTDQLLSCKILDKGVPSPCPPNATKFPVAVDFTPPPSNAPPRVRRPAHLMDQEAADKYKLAVARMKALPDSDPRSFTQQAAIHQAYCDGHYRYDPTEKNAPFDVHFSWIFAPWHRMYIYFYERILGELVGDPNFALPYWNWDAPAGMALPAMFKEDPTSPLYDANRNPAHVDAYVDLDFLNNRGKPPVPFGQYNDDMVKNNLAAVYNQMIRQGRGTRCFLGEKFCTRYKGTQKSGSAGTLESKAHTAVHAWAGNPASVVDGHRGKQKNADMGFLGSAARDPVFYSHHANVDRMWHLWNTRLGGRNFADAEWLDTSFAFYDEKARLVRIKIRDVLDTAKLGYTYADDEPLLWLDSKPTVRRAGSAGSGAGAATPVFPLTLKEGEVVVVPGVARPRKQTGQLEAVVFDAVEFDPAEAAKFDVAINVPPELAAGVGPQCVEYAGSFASLPRGGDEESPKTLVVPLELPVEDVIADIGAGGHNSVDVVIVPRTSGITIISPPKIESRNCNPASA >Et_4A_033456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2379651:2382975:1 gene:Et_4A_033456 transcript:Et_4A_033456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPKSSYDCSFKVLLIGDSAVGKSSLLVSFVSASHIDDDIAPTIGVDFKIKFLTVGGKKLKLTIWDTAGQERFRTVTSSYYRGAHGIILVYDVTKRESFTNLADVWTKEIELHSTNKECVKMLVGNKVDKEEERMVTREEGLAFAQEYGCLFLESSAKTRQNVEKCFEELALKILEVPSLLEEGSSVVKRNILRQKQENAKQVGGCCQ >Et_4B_040080.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9107170:9108294:1 gene:Et_4B_040080 transcript:Et_4B_040080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVARLSGFFSAAMLMVVLSPSLQSFPPAEAIRSSQFDGSVRFPGQIAGGARGIAFRRAPSFRNAADCAAAAGGGGNATNVCDPSLVHIAITLDEEYLRGSVAAVHSVVQHARCPESVFFHFLVSDPGLGDLVRAVFPQLRFKVYYFDPDRVRGLISTSVRQALEQPLNYARNYLAGLLEPCVRRVIYLDSDLVLVDDVAKLWRTDLGGRTVGAPEYCHANFTKYFTARFWSDQRFAGTFAGRNPCYFNTGVMVLDLERWRDAGYTNRIERWMEIQKSPAGRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVFGSCRDLHPGPVSLLHWSGSGKPWARLGAGRPCPLDALWAPFDLYGPAGAGADKSR >Et_7B_054358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20319067:20320256:-1 gene:Et_7B_054358 transcript:Et_7B_054358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVWSQQQRIQAAASGGHKELYSGAQGFGAASKLLHQVPLGKRWDAVFTICYKAQTRLLNHFYVFIYR >Et_3A_023119.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28997051:28997317:-1 gene:Et_3A_023119 transcript:Et_3A_023119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQEALRWRYGDVDDSNFGVHDRGVPLLVALLLVLVCFVAVCLYLRWACYRYYHRPDLPLPRYSSSSAS >Et_7A_053092.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6464935:6465177:1 gene:Et_7A_053092 transcript:Et_7A_053092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGPPRSVKGMAERLQLPLEHPSVPGPEYCYYYSMRDQDEDDVGRHMCSWPSRTSCATGSRAVWSSAVHRYHTHDEGDF >Et_9A_061510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12826494:12834306:-1 gene:Et_9A_061510 transcript:Et_9A_061510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGLGRGIRRRVGQAVARGEMVFAGELEQVHVVMVPGAAAGIGGISGEIRGGELGLALWIGLSVLGFGGGNFGVFLSFACRDEILDCSLARCVVSWFENCHNWAMASGTKSDLMSGSPDGHGYFNPQRGPYAAASLERSGSFREGGDGYAMFPASSSSRSAVVDSATLLQSLAVDLRPATVDHKNSRFDVKKSISSILGTSPEESTSTPSLGRNIPSSVEEIRRVKSNLNDISNKARERARAFGGAILKIDRLCPNIVRKRSRGDGSSNERSSALLSGGTIPKNVPQGHLNVDDMEHGSQRIEERTKNAGQNRRMRTSSVEMDARTTGPSRGPGPIDRISDPGKATNGGSAVPEEKIRGLATGIDGWEKPKMKKKRSAIKGDMSLTGASRTADTDRESKQVQHKFSNDGRARMASSPSFRSGTIACASGTSKAELLSAQNGVVGRSLSRSDQDSGFHPTNKRDRQVILDKEMTSPRIINKTNEDDTVANISSLPKANGSARGPRSNSGSLLKSSPNIHRLQATSDDWEHPSSTNKLVSGSGSGNPKRTKSTHSLSPPTQWGGQRPQKISRSARKSNLVPIITSTDGALVPGSLDSPVNEDSAGLPRRASVNGLQQTKRGDHGLPTGSEGDEPGIAEKKLRDKTKRAGELDDGHGSGFQKIAMLGHPSKRNKLSTDEDIGDAARRQRVGRGFTPTRPGTPGSFDKLETAPTTKQRSVRAVSERNESKSGRPMIKKISERKGNTRPRHIGSACASPFWRQVEPFFGFLTTEDIAYLSQQIHLLDDSASCRSMEGDESQKHKGSLEYISQPSTPASSKDDHTALPNGYGLNQLENGIGVAWETSCIEPILDQLVQGIGVKGGASVGQRLLQALIDEDKVESITNNTYKSDAYPFDTHEIHFDEGGWKSHSQGYGLEPLMNFEDSIRGPSGLMLDSDWKYNEDLSHKSGNGMQKAKVWPEFQYSEMCFSDRIIIELSEVGVSVEPVPDLAQSEDEDINTEICKLEGQLRKEVVDKKNMLLKLDGIVRTAKETQQREFSRRAMERLLLIAYEKYMAFCGPNGSSSKNVNRAGRHAALSFVKRTLARCRNYEEVGASCFDEPTFKDIFVAATSHRSGPDAALQDNNTVKSVHRASASDASRASSHLSDLSFAKEDPWTSNVKQRELLLDEVVGSITGGTLKTSGLGTNLVSNTKGKRSERDREGKGHNRDGGRSGRPSSSNAKGERKNKTKPKQKTANISAPASITPRDPQLPAKITPSSNGKDNTATAAAAARRDDAANASNDAEIPDLSNLELPGMDGDFGGWLNIEDDDGLQDLDLMGLEIPMDDINEINLMI >Et_2A_014977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24336921:24337484:-1 gene:Et_2A_014977 transcript:Et_2A_014977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNRFTQWLWPGGAGRVATHELPSAALLNASFPDFPSGFREPDTVTFYTAGTGGRRARGEARVDREYDMVIVPSDGGGCLSGSESDDSDWSIGWLEPQAPELQTDGDPENCFAVLVPCYRRGRTEQTGRAESRFLGAGTLADGSLSGEHVYLMSIKCFLSINWQRMICSSSYFRY >Et_2A_017823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6738485:6742042:1 gene:Et_2A_017823 transcript:Et_2A_017823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSREVVGREDMAGDDAVAAALEKAVRFLGRGLDMTFDLRLKHCKGAGGCLVVRSGEKMAAAKVAVPGLVVVADVPADVKCGKGDRIRFKSDVLEFNKMSELFNHRNALAGKIPSGLFNSSFDLESSSWAEDAAATKCLAFDGYFISLLDLRLDCQLTLTDNIIGDVPAAWDPSAIASFIEKYGTHIIVGLSIGGQDVVYVKQDKSSPLSPSEIKEHLDRLGDQLFTGTCTLPPSHCKSRDHKFKVPEAFNVFDAQITQQRIEGMTIPVSSKEGVTVIYSKRGGDTGASNHSEWLPTVMTMPDVINFQLVPITSLLKGVAGVGFLSHAMNLYLRYKPPLEELRYFLDFQHHRLWAPVLSDLPLGPCSHRQGPSPALHFSLVGSKLYVIVPKLPVTGMRLHLEGKKNNRLGIHLQHLSNTPTFINERSDKPPIWRGSEMIADERYYEPVQWKMFAHVCTVPVKYDPSWCSADHQTAYIVSGAQLHVKAHDSTNILHLRLLYTELSGYTVVQSRWAHNTARLSGKGSFLTKSFAASSGAIEKEQHQPARAHIDSGVFAGGPPVPVGTQRLLKFVETSQVTMEPQDSPGYWLVTGAKLDVEKGKISLHVKFSLLAPVS >Et_8A_058250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3457123:3460841:-1 gene:Et_8A_058250 transcript:Et_8A_058250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGTTATHHYKALIRRAAGYRDLMLSFRTMLRAGVAPDHFTFPFALKAIAQTAHRGSPPPSSREPTLGCLHAQLAKSGHASDVYAASALVHAYASRGDAASARAAFDAAPHRNVVTWTAMIAGHAAAGEAREAVALFREAVAGSGGREINAITVAQVMAACAQCGDVESGRWVHATLRAWGVEPVLADVALATAVLDMYARCGGIRAAFQVFDAMPRRNEKSWNAMVEVCSRHGGSDKVLEVFARMHAAAMKPDKVAWLSILRACTVRGDAALGQGVHAYLEKTNGCQDVAVCTSLMDMYSKSGNAQGALQIFRRLDVKDLMAWTSMIIALAKHGHGIEAVRLFNQMEHGDAAPDHVAFLGVLIACSHAGMVDEGKKYFNSMKVIYRIKPTIKHYGCMIDLLSRAGQLVEAEGMVQLMPIQPSITIWGSMLNGCKLYGRVDIAERIERQVAEFNPQFGAIYVVLSNIYAGVGRWHAVEQIRWSIQKRGLKKG >Et_5B_044533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3204192:3205027:1 gene:Et_5B_044533 transcript:Et_5B_044533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAASLAVAVAFVLALASGAASQRAPAPAPSAGPDCSSAVSSLIGCATYVAPGSTQSKPPKDCCDGVKNAVKSPAAVQCLCDALGKDYGLPLNLTRAAGLPAACGGNPAAFSKCNIKLPGGAPTEGNQLLIFPLFFVRIFFFYVSCSYLARRCIRFGLFFFCEFISDCSCRSTLLIFTHFSSCERFIYRSRKDVKKSQNESILSFSASFEFWLKAKVKSCLTDSLESIVLINE >Et_10B_004098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12426954:12430548:1 gene:Et_10B_004098 transcript:Et_10B_004098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESQDGHYDSSSQSTDSLRIEPIYESFLCPLTKQVMRDPVTIDSGVTLEREAILKWFNDCRSNGRRLVCPVTRKELSSTELSPSIALRNTINEWMNRNEVAKLDVARKSLTSESSESDILQALQYVAEICQRNRSSKHVVRKDGLISMIADLMKNGSTKVRQKALETLCVIAKDDDDNKVEIAAGDNIRTVVKFLSHGQVLEKEQAASLLYELSENSALSEKIGSVPGAVLILVGLSSSKVENLLIVDRAEKTLENLENCEKNVRQMAENGRLQPLLRLLLEGSPDTQLSMAAYLGELVLSNDIKVFVAETAGSTLVNIMKKGNKEAREAALKALNQISSFESSAKILIEVGILPPLVTDLFTVGSNQLPMRLKEVSATILANVVASGAHFESIPLDHNRQTLVSEDIVHNLLHLISNTGPAIECKLLQVFVGLTDSPTTVQNIVDAIKSSGAIVSLIQFVEAPQREVRMASIKLLNNISPFMGQELADAFRGNFSQLSSLVRVIADNNGISEEQAAAAGLVADLPMQDSVLTRRLLQDGAFSTIISKVTRIRQGEIRGGRFVNPFLGGLVRIVSRITFVLDDDPDIVAVAREYNLTGLFTDLLQMNGLDDVQIVSATALEKLSHQSKHLTKIVPAPNPGLCFSIFPCLSQKSVATGSCRVHHGICSARESFCLLEGKAVEKLVACLDNNNEKVVEAALAALSTLMEDGVDIDQGVMVLCDADGINPILEVLCENRNEALRQRAVWAVERILRIDEIAYEISGNQNVGTALVEAFRHGDYRTRQVAERALKHVDKLPNFSGIFSKMGAQ >Et_3A_026133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4680209:4681292:-1 gene:Et_3A_026133 transcript:Et_3A_026133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDVAGKDVAGDEEERGVAVHVHDPEQPCRDEQLQVHVSRGLAAGHLIRELLVEFVSSLVVVFWSCAAALMQEMHGTLTFPLVCLVVALAVAFVLGWIGPAHFNPAVTATFAAFGYFPLPKLPLYALAQLAGSVLACLAVNGVMQPRAEHFYGTVPMAAGHTRLPFLLEFLASAVLMIVIATVARSSVNKAVGGLAIGATVGALGLVIGPVSGGSMNPVRTLGPAIVLGRYDSVWIYLVAPVTGMMLGALCNRLARSSDGIIAFLCGGDAAATIRAKRALAPRAVGAVVASQH >Et_6A_046824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20996088:20998276:1 gene:Et_6A_046824 transcript:Et_6A_046824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLPADVLAIVLGRAPPPAQPRRVPVRLPGVARRRRWPWPPPPAVAARPLHQLRLQRRALPPVLAKPPPSSSDPDDGVVPKNPWDWRCHRTRFVKDHCNGLLIYDDGHRSVYYVCNPATRRWAALPAPESLDYGRVGYLEVDEVLCLAFDPAVSLRYEVVRLRGLPGPDVRRDGGNKMSRKARRRAAAAEATRAATEWPPPVYELDVFSSATGRWEERRFARQGDAVVTVADITSDKLWPKRICDGPRRLYSEYWEGALYIHVRGCLLLRYGTVLPIPLTLDRSSYLQALHLTLSLSDNTYKSTRTPKSMEEGENSNTTIEDDEEGDRPYHDIQSYLGKSEKGIYFVTIDKFQIWVWSLNESSALMEWVLQHHSDLSPLSSTLLSDSVQVKASWIFEDYRSDSGNDDSDGDEEDNDSEVDDDEDQDTSEQNSSDSDEEDDNLEQDSCQCSSSDNSDDGGEECQEYSQWNSDDDYTLEIQNRMDADLRFSDLYFFGFHPYKEIVFLGEYCDVVAYHLGSCKAQYLGGCWPRGFDTTPRRSVMESFPYTPCFLDALPNGNGC >Et_6A_047915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23972080:23973208:-1 gene:Et_6A_047915 transcript:Et_6A_047915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFISPANSISMAGCFFRYASTAVAAWVFVHGGALCQVIRSLLRPRAKEQQLNYHAHAVVLVRRGC >Et_2B_022001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7885409:7893896:-1 gene:Et_2B_022001 transcript:Et_2B_022001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKTNWAKMKELRRLEWAQPGWEYSDMKRGAGLHVGGMERRHDARRAPRGASLLAATPRHATPPPRGTRRPPPLGTCAQCALAGRVPPLVGSGMAADGNPFSFSLTNLTNGDGGSYGSYYSLPALGDERIDKLPYTVLVLLESAIRNCDGFQITKEDVEKIMDWEKTSTNQVEIPFKPARVILQDFTGVPVLVDFASMRDAMSQLGGNPNKINPMIPADLVIDHSVTADVVRSESAVQANMELEFKRNRERYSCLKWGSSAFQNMLIMPPGSGIVHQVNLEYLGRVIFNTNGLLYPDTVLGTDSHTTMINGLGIVGWGVGGIDAEAAMLGQPMSMVLPGVVGFKLYGTLQNGVTATDLVLTVTQMLRKQGVVGKFVEFYGRGMAELALADRATIANMAPEYGATVGFFPVDHVTLEYLKMTGRKDETVLMIEAYLRANRMFVDYDEPLIERTYSSYLELDLRNVEPCVSGPKRPHDRVPLKDMKADWHACLGNKVGFKGYGVPKDLHKKVVKFDFHGITAELKHGSVVIAAITSCTNTSNPTVMIASGLVAKKACDLGLEVKPWIKTSLAPGSGVVTKYLLRSGLLKYLSALGFDLVGYGCTTCIGNSGDLDANVAEAITEKDLIVAAVLSGNRNFEGRIHPLTRANYLASPPLVVAYALAGTVDINFEEEPIAIGKGNRPFFLKDIWPSSEEVAEVVQSNVLVDMFRSTYEAITRGNPMWNELKVPTSAVYSWDPKSCYIREPPFFKDMSNEPSGPPSIKDAYCLMIFGDSVTTDHISPAGSIHKDSPAAKYLVEHGVNPKDFNSYGSRRGNYEVMMRGTFGNIRIVNKLLGNEVGPKTIHIPTGAKLYVYDAATRYITDGHDTIVLAGAEYGTGSSRDWDAKGTKLLGVKAVIAKSFERIHRSNLVGMGIVPLCFKSGEDMETLCLTGHEQYNIHLPTSVREMRPGQGIAVTTSTGKSFTCTLRFDTEVELAYFDHGGILHYVIRKLINSGLRLSPPAAAGITTCGVPSFLLTKGCLMCPPDVWPDNHGLLGAMDSCSTSIRLLNRDRPDRPSRQDSSRSPLACFPFWLWPTVP >Et_8B_058780.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:469706:469819:-1 gene:Et_8B_058780 transcript:Et_8B_058780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRLQDAEDPDFMARLMTNFLNHGDRMFDELTQLL >Et_4B_036316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17279274:17279567:-1 gene:Et_4B_036316 transcript:Et_4B_036316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVAERARRHWFDMEAEERRQEKRKKMRQKEEERQRQYEAERKAREAERERMRERARRAREAGPDAFRKGKYLRCTQ >Et_3A_023631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10077440:10081387:-1 gene:Et_3A_023631 transcript:Et_3A_023631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQIFSKTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSDGFQKLFFGQEEIAIPVHPTIEAACNAHPTADVFINFASFRSAAASSMAALKQPTIRVVAIIAEGVPESDAKQLISYARANNKVIIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVQKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALRDAGAVVPTSYEALESAIKETFEKLVEDGKISPVTEITPPLIPEDLKTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSTIIEQGFGVGDVISLLWFKRSLPRYCTQFIEMCIMLCADHGPCVSGAHNSIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVESMKKKGIRVPGIGHRIKSRDNRDKRVQLLQKYAHTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLSGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >Et_6A_047490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6463057:6484416:1 gene:Et_6A_047490 transcript:Et_6A_047490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQTSEAKKAAMSGGEDLISALPDGVAGHIVGFLPAEQAVQTSVLARQWRHIWSSSMRRLHFIASDGRLESSYNFNRLVYRVLLRRDPSMALEEVEFTATATRFWHEDTIDLNVWIRHALLCRTSLLRVHLPISRCPRLDGSVALASRYLKRLEISHVYVDGNSGDLSSCPALEDVEMAHCHIRTDRILSQSAKRFCITDSSFYPSVHIRISVPSATVLQLDYISIQAPLLDRIPTLETAAVEPKSLLHFCLGQVHGECCGICAKCQGNDGCTADGCLHLGGLTNAVNLELMSDSRIILFRRDMRWCPTFSRLKTLLMNAWCVAANIDPLVCMLEHSPVLENLTLLLGKGPKRSKDVEEKYAVMDRSPVIPDTLKKVVVKCEQCDDRVSKIANFFSTCGIDGMTKASMAKKAARPNQEDRLSVLPDGPLQYILGFLPAHEVVRTSVLASRWRRIWKSVRRLHITNPVDRDEDEENDPALCDFVNSLLLLRGHGILDEVKIDYDSNFHEQTDTWVRYALLCQAKVINVQIPRGPNSITLGDPPLVSTNLTKLELTCVFVKGKFLDFANCPALEILKMTACVIGTEMVLSQSIKILHIESSNFYRNDKRIRISVPSLIWLQLKKFRGTTPLLESMPSLETAFVKPHRWVTDSCKKGDSRECCGTCADCCGNDDHKGTCVLLGGLSSAVNLELTAYSGMFVFRRDLNWCPTFSKLKKLLLNDWCVAVDLYPLVCILKHSPLLENLTLQLRKMQRPHFTATIERKVIPMEKSAVISERLKIVKIKCEEVDDRVCKLLKFLSTLDIEVTIKRTEKVVVREAREPAMRSGVDRISALPDGVLEHVLGFLPADRAVQTSVLARRWCHLWRSMRRRRLECHDQHKWHIADRFTKFMSGLLLLRITAVALDEVEFRDSPIKDKDAACINIWTDFLWHLQRLEFGGVRLERNVLDFASCPILEISGCKIFCERISSQSVKHLIVRCSSFSSGARTRISIRTLVSLKLDFFKAGAPLLETMPLLQMAYVEPCFFGIEDYCTKGGSRKSCGKCADCCGEDGHNGHSVLLDGLSSAGYLELKAYPAKLSLFRAISKNGYFLMQFTFYCIMYCKIRIIFRRDLRWCPTFSNLKTLILNEWCVANEPSALICILQHSPVLEKMTLQLAKGPKDTMELDDIYNVMDKSVDISKHLERVDVQCEVVDERVCNILKLLKTLDTSGAKKPAAAAAAGPANRISALPDAVLEHILGFLPADHAVRASVLAQSWRHLWKSMRRIRITDLDPGNVILKENSLDFSRCPAEDIRLNWCHLYATRILSPSVKNLSITGYMLCIQRGRISAPRLVSLRLFHMLGYAPLLEKMPSLETAVYTIGPQDYCDKGATGEACGPCLNCCSDNDHNAAQLILGRRCPTFSRLKTLQLDVPVKAKVIRSLVCLLERSSVLEKLTLQLYKCAESGRDQISALLDEVVYHLLGFLLSRDAVRTSLLARGWRHHWKSVRRLDIEEWTDSIAETDRFVKHLLLQRRDPHDKCFISMYGIRERHIDEFRNFETWIRYSVALCHVCHLLASFLYFDNCATFEYLDIEYSYITVTKISCKSLKRLRITHFEFFEKYSRTYIYFYP >Et_7B_054925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5673826:5676304:1 gene:Et_7B_054925 transcript:Et_7B_054925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKVAGESSGMKTKRLKVAVIHPDLGIGGAERLIVDAACQLAAHGHDVHVFTSHHDKNRCFEETVSGPFRVTVYGDFLPRHLFYRFHAICAYLRCIFVALCVLLRWPSFDVILVDQVSVVIPLLKLKSSSKIIFYCHFPDLLLAQHTTMLRRLYRKPIDMIEEATTGMADLILVNSKFTAATFARTFCGLHAKGIEPGVLYPAVSVEQFHKPHAYKLNFLSINRFERKKNLDLAISAFALLRSVTSTLPGDALQEATLTVAGGYDKRLRENVEYLEELKRLAVDEGVSRQVKFVTSCSTSERNELLSDCLCVLYTPKDEHFGIVPLEAMAAHKPVIACNSGGPVETVVNEETGFLCDPSPTEFSKAMLKLVNDHDLAVNMGKQARNHVVQKFSTKTFGDLLNSYVLNVYHQRIE >Et_4A_034932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:784117:788186:1 gene:Et_4A_034932 transcript:Et_4A_034932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALPAVAAAAFPHLLSVYEKKEKIGEGTYGVVYKAVDKVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMNHGNIVRLHDVVHSEKRIYLVFEYLDLDLKKFMDSCPEFAKNPTLIKSYLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRQYSTPVDVWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEQSWPGVTSLPDFKSAFPKWQAQELATVVPNLEPAGLDLLSKMLRYEPNKRITARQALEHEYFKDLEMTLGADIK >Et_3A_026987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28841201:28851716:1 gene:Et_3A_026987 transcript:Et_3A_026987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYSIVIWHARNVRNLARQAGESISIEGSLRSYSLVLPAPVAPFGVGIGAAAAAALVHSVLGFPSLSSPLFASIHKAESREIPRDRSIATRGRQHLFSLQDATLHPPALASDDEGAYIPWVLLDDHAYVAKVDNATTAESTTWDSKRIQVTLCLARPPRVSYICVFCPGLDHTEFPLAPEILATEEDLVLLRIIISSRQNILQDMDYYIYQAADRAVGGAPSLKRLERPPSSYDFNSYSVGILRCGRNPRHHEERRLILHPHSASTDDSYVVAALCSPPSLVPGQFVLCHYNSKVSNSWSADIVSLNEEQRLQFNCHINSKVIVIGGDAGTMGFVDLWQGIVFCDVLSVIKGSKPIPPLRYVALPPPILPGRLQCGDPRLSRDIAVVKDKEGHMIKYVTLQIHRKPGQGPYAKDGWVFRTWKRPVSACLEDAWVVVCTSESSHIHVDRNPHFEVLPKVLNREGKPMPPFKGIDICQPTLSLSEDDCTVYFVIKKNQNDKKAWVIAVDTRDNTLQGVAEFAAERTVFVSFAYVHSRISEYLTSAPGTKGALKREGMLLAGPSSKRHPLMSFETWDIARPACDVEMEDLPIHHERGWLRVDLNSDLYIEYIVRESNRFRWRVPITAPCMPRCDDLPQLRYHLGHLKVEHLVLHLSKPAATKEDSNE >Et_3B_028380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14521646:14522801:1 gene:Et_3B_028380 transcript:Et_3B_028380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRSKKWILDNVSPCGLDIGYICSNRFRELLLHKPCEGFLNNLAPILLSPLVNTETKFFGLHPANRKMKGGSQAPDRMPQQSARRRSDGSQPSHHAFLAHRRSTWSRTLRRPYPTYPG >Et_7B_053614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10328922:10332017:1 gene:Et_7B_053614 transcript:Et_7B_053614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAASAAPCRLLGPLATASRALISLATPTTRRRLLLSGTTTIAAAAMSVASGSASSCKVIDSHLHVWASPQQVKEGYPYFPGQEATLRGDADFLLECMDEAGVDGALIVQPINHMFDHSLVSSVLKKYPSKFIGCCLANPADDGSGIKQLEHLIVQEKYRAVRFNPNLWPSGQKMTNEVGRSLFAKAGELGAPVGIVVMKGIGLYIQEIEELCRDYPTTTVILDHMAFCKPPANDDEEKAFSSLLRLSRFPQVYVKYSALFRITREAYPYEDTAQLLSRAISSFGANRIMWGSDFPYVVPECGYKGAKEAVSRVAGKIAVSLSDLEWVLGKTVRQLFQGAWVTP >Et_10B_003773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5810182:5815000:1 gene:Et_10B_003773 transcript:Et_10B_003773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASITFVLNRLGELAAKEAALLRGVDDDIRLLRDKLEWLQTFIQHADQQRRGAGGNSYVGLWVRQTRDVAYEVEDVLDEFLRKADLESLGFLPSWKKWIKVAATCTAHVSIRHVLRDRMDGIKKRLKEISDNVDKYKIEKVRPQSSTAVASNPTNSSAASAAWDEETKIVGFEKELVALKGQILSDDNRRSAIAIVGESGIGKSTLAWRAPDIRCHFDIRVSINIPPHVRDTDIIYFIYKRLCHEDDESKLLSAQDIHTALSNHLKEKRYLVMVDGLVNFSNLNSVLHSLPDNKGSRIMIITRLEDKEAAYADPKVSPLRMNYLEENESKDLFCHKVFGSTNQFDHKVSGSKALITIEEAKKIEKVYEDILDITHGLPLAIVVLAGLLRTKNFTEWEEVLKQLKTTEKSKRVKRILALCFDDLPSRLKSCFLYFAGMPENLIYNARHIVHLWVAEGFLKPKKGKTMEDIGQSYLKELISRGMINLVKKDPSGGIWLVAIHDRLHAFAQSEAHEESFLEVHDSADLLTPNSVRRLCLHNYMQSHVPMDTSFPKLRSILCDFAEERSGNSLKNQGHHNGLRYHGLRFLSRSKFLRVINLRGMRIKKVPNAIGNMVHLRYLGFRSQSLEELPSSIGRLINLQTLDIKRSHVETVAHAFWEIPTLRHVMAKMLILPKTVGVLSSIQTLTGLVCSGPWEKSISPLHQMIHLRHLEISGLTSGHWNGLEDAFKKLESLVYLHLAAAKSENVMIPFKRLTTFTLQRLQVLELYGKINMSEADIEKNYTLPNLTMLVLKSSMVNQTFMNRIGELPSLKELVLSEDSYDGSELLFSDSGFNKVENLVMAGLKNLVEWTIRPMSIPKVQRIALSGFSNLKIKLEGKEGPECLKSLMKDLEEVVVICNDMPADIIVEPANSEFSEKINRVAIRTKSENIVDAMLRAGRWRESMVAGN >Et_6B_048469.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:7973542:7973577:-1 gene:Et_6B_048469 transcript:Et_6B_048469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRSFSTLGQ >Et_2B_021746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4991408:4993011:1 gene:Et_2B_021746 transcript:Et_2B_021746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLLRHVAMYYGPFDRITPIPPMRYTDGPVSRHASDHYRTLQIFSVKIRELRRGLQWPLHVFGIVAVRDTIDHNRNIIFQRQRNNCQILTEEDPYLVQTGPTRAVVVCNPVYFEVVLKLKGSAESEDKEISFLTVSLTGGSDGSSYSCLINREYTSRLSTLELTFGSIVRSVEATINVQVTDGAWPAGFYGRFTAHTASLDDNIILLDSGDEEVPIDVDGVIKLSRRVASVERDGELKVTVVAFGYDNDGGVVGEDDEQFRPKRAGKSFGKLDLGFCKLEVTIFWSLISLFPDV >Et_5B_043224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18725508:18726671:1 gene:Et_5B_043224 transcript:Et_5B_043224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILITKTAVVLVSLSLLLLAAAAEDSKNTDKVLGCHAGDKAALLAVKAALGDPYLLSSWTPDTSCCDDWYDDDDNVTGAIPDAVPGLTRLTSLEIRHLPGVTGRIPPAIGKLSGLSMLVISWTGVSGPVPSFLGALTRLTFLDLSFNSLSGAIPASIAALPNLSGLNLSRNRLNGTIPPLLFSKLVGSQEQQVYLWLSHNNLSGRIPAGFAGVGFEHVDLSHNSLTGDASVLFGATKDLQYADVSRNALVFNLSSLQLPARLVGADLSHSARRWPAWPDSTSSTSATTAYAGRFPSSTPASPPGSTPTASSTTSASAGRRSLPPASDSRVESYCLMMQCNKAAVTNKIPMTDAYLPYHRASQSGSQDAQR >Et_1B_011307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2042391:2051190:-1 gene:Et_1B_011307 transcript:Et_1B_011307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASCCRVFSTQRCRFPLRRLAAPPRPFCTESGGLAAPSISKRRSRGPVMAAKKAAEGTKQEDGKYKHTVDLPKTNFGLRANSVVREPELQKLWEENQVLKRVSERNTGAPFVLHDGPPYANGDLHMGHALNKILKDIINRYKSMDKETLSALTPIKLRQKAAKFAKATVDAQMKSFKRFGVWADWDNPYLTLSPEYEAAQLEVFGQMVMKGYIYRGRKPVHWSPSSRTALAEAELEYSENHISRSIYAAFKITNQSKSGLLDEFLPNVRLVIWTTTPWTIPANAAVAVNPELTYAVVEVQPIQESESASGGKQRKVGSILNSGNKLFVIVASDLVPTLETKWGVKLVVKKTFLGSALEHCRYIHPVNDNECSVVLGGDYITTESGTGLVHTAPGHGQEDYQTGLKYGLPIISPVDDDGNFTAEAGQFSGLSVLGDGNAAVVKYLDERHSLILEESYKHKYPYDWRSKEPTIFRATEQWFASVDGFRNAAMDAIRRVTWVPSQGENRIVAMTSSRSDWCISRQRTWGVPIPVFYHVDSQEPLITEETIEHIKAIVSKKGSDAWWYMTTEELLPDKYRDKASEYRKGTDTMDVWFDSGSSWAAVLAKRDGLNFPADIYLEGSDQHRGWFQSSLLTSIATTGKAPYRSVITHGFVLDEKGFKMSKSLGNVVDPEKMIAGGKNQKEEPGYGADVLRLWVSSVDYAGDVLIGPQILRQMSDMYRKLRGTMRFLLANLHDWKPENYVSYNDLPKIDKYTLFQLENVVASMKDSYENYQFYKIYQMLQRFAIVDLGRVSFTRKSCQTVLRAHLLYLVRAIAPIMPHLAEDVWQNLPFQYTLQDGSPAKFVFDLKWPEKNEEWLSVRKDDVDFLSVILELRSEVNKILENARTGKLIGSSLDAKVYLHTESTETVTKLKELSSASNDADALHRLFITSQVEVLPSLNEETIASVSYTGKFSDPRTGDVWIGVTRADGAKCERCWNYTLDVGSFHDHPTLCARCHGVIDLQPQPAAAAVS >Et_9A_063184.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:14408661:14409437:-1 gene:Et_9A_063184 transcript:Et_9A_063184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRKKSPAAYYLSDDLIVEILSHLPAKSICRLRCVSGPWRDLISHPEHRGKLAQTVSGFFYYTVTSTSSLRWQRTGASFAATTTMDPPPHAAVIDPAFPFLPPSMALLDSCNGLLLRGLRLRRASSPQAGAAFVFFYVVCNPTTRQWVELPRPSHHAPGEHGGRQKRRTRSAALAFDPAVSATRFHVLQLVENNAARYQFVESVEIYSSETGRWSLRSSGWNIGGRVSYTGDNNFAFLNGAVYFCAGAVASSVDTKG >Et_3B_030084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30312702:30314841:-1 gene:Et_3B_030084 transcript:Et_3B_030084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASGAVEAELKADILVRDDAPKLLAALREMKDGLELVRGKVEALTRKVKENQLPTANGIGYLEAKNHLLLGYCQDIVYYLLRKAKGLSVDGHPVVRSLVEIRLFLEKIRPIDKKAEYQIQKLTNAADGAAAQVKTPNAEAKGKGEHSDEEDLLKYRPNPDMMSAPDVKDNDGIYRPPKFMPAVPDDEDKRHKQASRKDRALARMATENPYLKEIIDDAADRPEEWKETVGDESKEFLRYKRQREQQEKQEEELFTRAPVTKRDKKIERQMMEQMHGLRGLADDGFGMNMLFDGDNEDDGGSSKPYARSGKRKTHHKSKKRKRH >Et_7B_055311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9246799:9250615:1 gene:Et_7B_055311 transcript:Et_7B_055311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGLLECSVCHSKVAVPSPRSVSRAYDKHRNKISHKYRALNFLLVSGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVAKVVFAIVMLILQSRKQKVGEKPLLSLSTFTQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKIVMRRKFSIIQWEALALLLIGISVNQLRSVPEGTNSFGLPVTAIAYIYTLIFVTVPSFASVYNEYALKSQFDTSIYLQNLFLYGYGAIFNFLGILGTVVFQGPESFDILQGHSRATIFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLAQPLTVNFLLGISIVFISMHQFFSPLAKVQDDKPAVTVELGDTKDHRSMDSFVNMAAGAADDASHLSTTDERKPLLPV >Et_2A_016730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27712246:27717816:-1 gene:Et_2A_016730 transcript:Et_2A_016730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPCLPAVLLILLVLLKSAGGGMQILSKSRVEMCGRDSDTGGSLSCDKKIVVDLAVPSGASGGEDSLVAKVAAVEGDGTAEPTSILNPPVITVNKSAVYAAYALTYLWDVAYKPEEKFVETRKCEPDAGADVVHDCERYHVFGIGTRSLGFIIRVQVKKGSSISEFLVGPDNMTVVSGDNFIRVSVVGDFLPYKSMPTFEDTYLVIPRKGEGSGQPEVIGDEYSRWMLLKQFYFTENGLECNKIGVGYQAFQNQPEFCSVQLGNCLFGQLWHFMEEDNNRISKNQTPRHVVGGRFERINQHPNAGVYSFSVGITQALKTNLLIELKADDVQYVYQRSAGKIIGFKVPTFEALSQVGVANVTTKNIGELEASYSLTFKCLSGIADVEEQSYVMKPNESTSRFFNLHTSTDKAETYTCIAILKAANFSEADRATCQFSTTSTVLNNGTQIVPPIEQKKKAGMMGMFEDVKVFFRWIWNSVVAFFTGALCRLSVPSSLLLISVNLFLDSKSGPIILQQEQMFEPFRLQMSFSELMRWLDVAMLLWMMHERGFFDHLYDWWKDLLGLEQHHGAHTRHRRGHHHHGRHHAHQGHGSGPSHHHHPHHRVLHRHEERQPDAEEGGRRHRHREVFLGVQHGNEHKHRRGKEMDGPSGLHGADDRERRHHKRHGHGHHREHHHLRAE >Et_1B_012638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3479963:3487484:1 gene:Et_1B_012638 transcript:Et_1B_012638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGDALRAVVGDVFFREYSSPAAVARLLLRAWEQVRSELVVPLLRAAVLVCMVMSVIVLAEKVFLGIVSAAVKLLRRRPEKLYRCDPIVQKQDEEDASAAFPMVLVQIPMYNEKEVYQLSIGAACRLTWPADRLIVQVLDDSTDAIIKELVKSECEKWAKEGINIKYETRKDRAGYKAGNLREGMKHGYVHSCEFVAMFDADFQPAPDFLVKTIPFLVHNPRLALVQTRWKFVNANDCLLTRMQEMTMDYHFKVEQEAGSSLFNFFGYNGTAGVWRTQAIVEPGGWEDRTTAEDMDLAYRAGLLGWEFLYVGSIKVKSELPSTLKAYRSQQHRWSCGPALLLKKMFWEILAAKKVSAFKKFYMIFHFFIARRIALYSYTFFFFSILLPLHIIFPEIQIPTWQLIYIPTAITLINSVGTPRSIHLIILWFLFENVMALHRFKAVLIGFFEAGRANEWIVTQKLGNIQKQKTIANVSRNRRIKDRFHCLEIFIGLFLLVSGCFDYLCRYDYFYLFVIPQSMIRISVYRPQYVHALRAIGDAFFRAYDQVSTPGIAAAAVVDQLFQAWRLVRAELVVPLFKIALVVCMVMSVIVLAEKVFVGMVSLVVKVLRRRLERVYRCDPIAEDEEAGSAAFPMVLVQIPMYNEEEVYQLSIGAACRLTWPADRLIVQVLDDSTDATIKELVKSECEIWAKAGINIKYETRKDRAGYKAGNLREGMRHGYVQDCEFVAIFDADFQPASDFLVKTVPFLVHNPRLALVQARWKFVNANDCLLTRMQEITMDYHFKVEQEAGSSLCNFFGFNGTAGVWRAQAIVESGGWDDRTTAEDMDLALRASLLGWEFVYVGSIEVNSELPSTLKAYRSQQHRWSCGPALLFKKMFWEILAAKKVSIWKKFYIIYIFFISRRIVATFFFVFFFSVLLQLHILFPEVQIPAWHLTYIPIALTLLSSVGTPRSMHLIILWILFETVITVHRFKSMLIGFSEGGRVNEWIVTQKLGNVRKPKSTGCVTRNRHFRDRFHCLELVIAAIFLTSALYQYLYADGYGYVFVLPQSIMYFSTGFELIGVTVTS >Et_3B_030922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8940228:8944620:1 gene:Et_3B_030922 transcript:Et_3B_030922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPPLRAPRALARPHRGSVRCAVDSGLKDDLVFGDPNAPRFVLWEGKLRPVPSKPADLPFFDLMSIPGKLRAGLGALGIRPPRPGREESVEEFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEEAGGSIIGGTIKTIQERGKNPKPERDPRLPKPKGQTVASFRKGLAMLPNAITSRLGSKVKLSWKLTSITKSDSKGYVLVYETPEGIVSVQAKSVIMTIPSYVASDILRPLSGDAADALSRFYYPPVAAVTVSYPKDAIRKECLIDGELQGFGQLHPRSQGVETLGTIYSSSLFPNRAPAGRVLLLNYIGGATNTGIVSKSESELVEAVDRDLRKMLINPRAVDPLTLGVRVWPQAIPQFLVGHLDLLDAAKSALNRGGYDGLFLGGNYVAGVALGRCVEGAYESASQISEFLTKYAYK >Et_10A_000041.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18526875:18528467:-1 gene:Et_10A_000041 transcript:Et_10A_000041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATAYSWNALLHGHVRRGRGEAAGPVADAFAEMRAAGAGANEYTFGCVLKSISGSARPSMAMATATHATLIKNAFAGAPGMLMTGLMDVYFKCGKVKLAVRMFEEMPERDVVAWGAAIAGFAHKGMKREALEHFRWMVEDGIKVNCVVLTSIVPVIGELQARNLGREIHGFVLKRFGDRKDVARVQAGLVDMYCKCGDMASGRRVFYSTKKRNAVSWTALMSGYASNGRPDQALRCIVWMQQEGIRPDLIAVGTVLPVCTKLKALREGKQLHAYALRRWFLPNVSLCTSLITMYGLSNHLEYSHRVFHAMDKKTVQAWTSLVDAYLRNGDPSTALDLFRSMLLTSRRPDAVAITRMLSACSGIGALKFGKEVHGQVLKLRMEPIPLVAAELVNMYGRCGDLKASQRVFSRTESKGSMTCTAIIQAYAVNQRHKEALDLFAWMLSNKFVPSHATFDVLLRICDMAGLHDEALEIFNSMVQEYNLEASQENYDCIIRLLSGAGRISEAQRFADLKATLFSSPTPILEKHQ >Et_3B_028613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17315348:17315812:1 gene:Et_3B_028613 transcript:Et_3B_028613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVGYDAGICVLVTHECKNSVYFLSWKRRDEVNLEDGGGGDGDDIDSPFWYYLCMWDVLNRVATVVKKIPGVWDR >Et_2B_019273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20798324:20799361:1 gene:Et_2B_019273 transcript:Et_2B_019273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAKDDAAASVPLDRRQAPLLLFDYGRDTTTASEASDADAGFIFYSIPKKQITPQGWMLMAAPAGSPDTETFLCDPLFIGRRVDLPPDTEGFLKGDSRKRCLLSRKSVTAGDPGLLVLVVDLTDTVLWYCRLGGNEGWLRHEYEPAALGDAVLESMSAHLTLVDGKFFMDLGDKVVTLELSPEPVFSVFPVDRDRERSPPCTCFTSQLVESGGDLFDVCFRFSDIGGRFVAGIDVLKLDLSAGAWVKTESLGGSTFVVHPRELGASLDPQEVGLKGDCIYYYMPGDKALHVYDMERGTTTLHNPFPFFPDHCSHYKILMPTQTVLNV >Et_2B_019805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14102195:14110167:1 gene:Et_2B_019805 transcript:Et_2B_019805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSERTIVWFRRDLRIDDNPALAAAAREGSVLPVFIWCPVEYGQYYPGRCSRWWLKQSLAHLGKSLESLGCPLVVIRAEGSTLAALLECVHLIGATRVVYNRLYDPISLVHDDKIKKELSACGLSVQSFNGDLLYEPWDVYDANGHAFTNFNMYWEKCMKLSVLSPSCAPSRLIPVPGIENVCSCTIDDLGLESSKDEESSNALLGRAWSPGWRNAEKMLEEFVSSGLLQYSKHGMKVGGTTTSLLSPYLHFGELSVRKIYQLVKMQLAKCENEGKSEAEESVPLFLRSIGFREYSRYLCFNFPFTHQRSLLGNLKHYPWLMDEGRFKSWRQGMTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKFLQIPWIWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVQGQKYDPDGEYVRTWIPELARMPTEWIHRPWGAPSSILEVAGVELGFNYPKPIVELHTARECLDDAITTMWQLDTAEKLAELSGEVVEDNINYTKSSNIPTVVLKKELSPTVSSFSRRDISRRKQTGMEPVRLKMTPEPQVRRDVDIITVEYHTVAAGKSTARTVTADSVLRQFYLYTAYLVILGEWEPARNA >Et_6A_046790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20632937:20634564:1 gene:Et_6A_046790 transcript:Et_6A_046790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFGLPHDLYYCLCILLALVVLRTKLGAGRNPRQKLPPGPWRLPVIGSLHHLLRGLPHRTMRDLSLRHGPVMLLRVCERVVFVISSAEAAREVFTGHGTAFDQRPSSPGIEEIMRGGMGVLFAPYGEQWRQLRRIIVTELLSVRRVGEFRRIREEEAARLAASLSSSSASGRLVVNVDELLAAFVADSSVRAIFSDRLPETAAFQRLVRQGTELSTAFNLRDLFPTSRLVGMLPRSRKAELYGQDLFRHIDDIIRHHEERRKTAGDEYREQDMIDVLLRIQKDDDMRVILTPGVLRAVLADVFGAAFDTATSTLQWAMAELIANPRVMEKTQLEVRRVLAGQERVSEAALSGTHYLKAVIKETLRLHPPSPLVTRACVDDQKVQGYDVPKGTILAINAWAISRDPRHWEHPDRFMPERFEGEHALDFKGLDFEFTPFGAGRRICPGINFAHANVEICLATLLYHFDWELPAGAKPEELDMTEHFGLSVRRKSQLLLRPIPRIPLVDG >Et_10B_003198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17503857:17510401:-1 gene:Et_10B_003198 transcript:Et_10B_003198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAEAAAGGPGGGVEEGVGESSSPPRDAAPAPAASGGSGGGGARDICAQVYERLVADGHEGASDPEFRDGIFAHFARLPHSYQLDINVDKAADVIVHQKVLAEAKDPDRRPAFYVRFLRIEDVDPSYDSDASEEGADDGDDLSVRQETSYTNIHEIVFSTIDKPKLLSQLSALLSDIGLNIREAHVFSTFDGYSLDVFVVDGWPVEDTDGLDKALEASILRNEGSWSGSSHSSAAERTLPFQVKGGEWEIDKRLLKMGERVASGSCGDLFHGNYLGEDVAVKVLRAEHLNKNVWNEFTQEVYILREVQHTNVVRFIGACTKPPQFCIITEYMSGGSLYDFVHKQHNVLELPTLLKFAVDVCRGMCYLHERGIIHRDLKTANLLMDKDQVVKVADFGVARFQDQGGIMTAETGTYRWMAPEIPYDTMTPLQAAVGVRQGLRPGLPRKAHPKLLDLMKRCWEADPSNRPAFPDILAELEDLLTKVQGTSGKTSQKSDDSGAKD >Et_5A_042387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9414751:9419658:-1 gene:Et_5A_042387 transcript:Et_5A_042387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPHQPPMNGQHGPSPPQQVSSGAPPHPQQQQQAPPPPYYQQQPPPPQYYQQGPPPPMWGHQPQYAPPLQQYAPPPHQYGPPPPQQYAPPPQQYAPPPQQYAAPPQQYAPPQYGTAPGSNEVKSLWIGDLQPWMDENYLYTAFDQLAQQIASIKIIRNKQSQQSEGYGFIEFHTRAAAEHTLMNFNGRMMPNVDQFFKLNWASSSAGDKRGNEGSDHTIFVGDLAADVTDYMLEETFKANYPSVRGAKVVTDRLTGRPKGYGFVRFGDITEQTRAMTEMNGMMLSTRQMRIGPASNKKDMGAQQTYAANGGYQGSQGNYSENDPNNTTGKSIARQAQPNSEWIRWSDASGKERAAGLGFRGCRGALIPVGHRRRGFGGGGRDLAVRQWRRRSRGWQQGGGRGRRRGRLGGEFSAAAVYAGDAARRCGAAGRRWWRLAGEGLVRRAPTSPGTATEA >Et_3B_029159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22527804:22528513:-1 gene:Et_3B_029159 transcript:Et_3B_029159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHIGALILIAALTAAWSYGDARVVHPILNASDGGRRLFGPLACGECVALCQQPSYLASSYAILNMHDVQVHYKTLCTTMTTLPGVTTPLQLLDTALRITTTKAAMAEMKLDEAIKAASGQGTAMTSSLESCKESYASLVESLQSTRKTLNSGGSSADLMSELSAAGTFSTDCEDIFEERPELQSPIPGAQRHVSRLVSNCLDLAATIKQQP >Et_10A_000697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15750090:15750490:-1 gene:Et_10A_000697 transcript:Et_10A_000697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLNVQREKEDIEGIGQCPFFLENEDIEHLFLGCKRATSIWNGLGFSSPQMNTSIENLWNDIAQLYSTKLKIRNAVLTTHMDESNITIAAHCCTDLSLWSHRCHQSADRDLLKF >Et_10B_002400.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17876386:17877907:1 gene:Et_10B_002400 transcript:Et_10B_002400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAETEKFVCFFAGCWERASSVVVAARNSREERLMGAQMAADGGGLRRLFEKPMPENPTPLEALSAWSHRVHHPKKPIDTASFTEIFGELHFQEKPDHHRAVLLPSPPPCRPPPPRTTTSWAVAADEADKSKDDSSLDALLRPRPRPAGSGVKRSASFCVTKKGSSSASSLLLCTEGLGSESTVDADDMFKDADAEAEAAALGGRNSNDETDADAVEGAAEEEAKRRPPMSFPPPIRSIGRGGKPSVCFRAFRADGRFVLMEVVIPGKEILQAYREGGRLRLQFANAAAAAAAAAAAAGVRAGEEVHDGEDKRHAENAVTADS >Et_9A_061256.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:24473163:24473354:-1 gene:Et_9A_061256 transcript:Et_9A_061256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVPEMKGSMVGVYNGKYFNQVEIMPEMIGHYLAEFSVSYKPVKHGRPSIGATHSSRFIPLK >Et_7A_051670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22138039:22139051:-1 gene:Et_7A_051670 transcript:Et_7A_051670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSSLARVLVLLATTCFLWQEAVGFTSSGLNKAFATFYGDSDASGTMGGACGYGNLYSTGYGTNTAALSTALFNDGASVPCVKQGGVRFTINGRDYFELVLITNVGGCGSIQSVSVKGSRTGWMAMSRNWGVNWQSNAYLNGQSLSFQVTTSDGQTKTFLNVAPANWGFGQTFATSQQFS >Et_3A_023135.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30208109:30209296:1 gene:Et_3A_023135 transcript:Et_3A_023135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPLRRSFAVLLFVVLVGAASFPAALRRFVPPGPGRERSPPLDPARLNATLLRLAAVDPSEAPLRRDVDDLLEGRLPASSARARAWRRDRLLVHPLHLRHHQLPLHRRGHYPDHDHDPLLHPLPRLEQLHLDPSLRRALRFWHRLRRYDPSVLRSLPSLLSLPGRFPSCAVVGNSGILLRANHGPLIDSHAAVFRLNNARISGYAAHVGSKTNVSFINSNILHLCARRPGCFCHPYGDGVPILLYICQAAHFLDVAACNTSSTSRHRAPISVTDARLDVLCARIVKYYSLRRFVTETGRAAEEWDRAHDAAMFHYSSGMQAIMVAVGVCDKVSVFGFGKAADAKHHYHSNQKTELDLHDYEAEYAFYRDLAERPQVVPFLKDAGFAVPPVTFYH >Et_9A_062239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20994163:21002054:1 gene:Et_9A_062239 transcript:Et_9A_062239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRESGTKVHQANIQAAKVRIVSFTPSVLRAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILREIDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAQAFIDKNYHPTVICRAYTKALDDAIAVLDKIAMPVDVNDRGAMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGVDLGQGMREVDIKKYIKVEKVPGGQLEDSRVLKGVMFNKDVVAPGKMRRKIVNPRIILLDCPVEYKKGENQTNAELMKEEDWQVLLEMEEEYIKNLCAQILKFKPDLVITEKGLSDLAMHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTGAGLFEVKKIGDEFFAFIVDCKDPKACTVLLRGASKDVLNEVERNLQDAMSIARNILKNPKLLPGGGATELTVSATLKQKSSSVEGVEKWPYEAAALAFEAIPRTLAQNCGLNVIRTMTQLQGKHANGENAWVGLDGRSGDIVDMKERKVWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASAPKQPQIETEGDADNEQMIPE >Et_3B_027547.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26976966:26977502:-1 gene:Et_3B_027547 transcript:Et_3B_027547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LSRAGNCQGHQGEARICCSREQELETGKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESPGIHETTYNSIMKGDVDIRKDLYGNIVQRVRLCHCSQEVLLSATPFGVVSYVWVFFHGGQVMFVFCRQSLFWPLVSVVAPCYLHATFVLIFCCSVTSMRLLVELGWLDRL >Et_4A_032943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1632322:1639880:1 gene:Et_4A_032943 transcript:Et_4A_032943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGCFATEADEGSEDLKPSKANCNPSDEASGTGADARRKVAPDVANGYAQSFTFKDLLAATGYFNKANFIGEGGFGKVYKGKINGQMVAVKQLAQDGVQGSQEFLVEVLMLTMLNHKNLVSLVGFCAQGDERLLVYEYMPFGSLEGHLFDVPVVKQPLDWNTRVRIAIGVAEGLSYLHNVADPPIIYRDMKAANILLGEDFSPKLSDFGLAKVGPVGDRTHVSTRVMGTYGYCAPDYVVSGKLTMKSDIYSFGVLLLELITGRRIYDASRPKPEQNLLAWATWEKPSGGGRSQEDVRPWAAASSPGPGRILEAPRLREFTLAELRAVTRGFKPEMVLGEGGFGRVYKGWVDERTLNPARSNAGVVVAVKKLNPESVQGLQEWQSEVNFLGRLSHPNLVRLLGYCGEDRELLLVYEFMAKGSLENHLFRRGATFEPLSWSRRLKIAIGAARGLAFLHTSEKQIIYRDFKASNILLDTDYTAKLSDFGLAKNGPAAGKSHVTTRIIGTYGYAAPEYVATGHLYVKSDVYGFGVVLLELLTGLRAHDLNRPSHQQNLVDWARPYLAGGRKLTSLMDQRLAGRYPPKAALRAARLANKCLAGETKSRPSMADVVVALEEIEALQAAGSKGHRDLPPRPAARHDSSGLR >Et_7B_054735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3943682:3946675:-1 gene:Et_7B_054735 transcript:Et_7B_054735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEEEESPAGPVHGHRLSTVVPSSVTGEVDYELADADLAYKLHYLRGVYYYPAGDAARALTTKVLKDPMFPWLDAYFPVAGRVRRAEDDGAAGAGGRRRAYIKCNDCGVRIVEAKCDRDMDGWLRDDGDADRIKQLCYDKVLGPELFFSPLLYVQITNFKCGGLALGFSWAHLIGDVASAATCFNWWAQFLSGKKPDDTVLTPANKTLGHSPAGAAAPRSVKQVGPIEDHWLVPAAREMACYSFHVTEPTLKKLQQQQQVGPAGTFELVAALMWQTVAKVRAAGREVRAVTVVRTDVAARSGKSLANEQKVGYVEAGSSPAKTDVAELAALLAKDVVDETAAVAAFPGDVLVYGGANLTLVDMEQVDVYGLEIKGQRPAHVEYGMDGVGEEGAVLVQRDADGRGRLVTAVLPRDEVESLRAALGSALQLA >Et_7B_054413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21027598:21028516:-1 gene:Et_7B_054413 transcript:Et_7B_054413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGDNLGEQAKKGGGSVTWTSSVSSYMLTFLSNMVTDGIKTASGFKKVHYNIPNKRAKVAVENEEEGGLIGAFKSSSERLALAIEKASPDWLPPDLLEHVQEISGFDDTHKALYYSYLVANPRMGRAFPGLPFTYKI >Et_1A_007242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32535099:32542110:1 gene:Et_1A_007242 transcript:Et_1A_007242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQQQQRATPAPAALDNGDILRRIFGFLDVPADLVRSALVSERWLAAASDPAFLRDFAVRCPPRLLGFLAVFGPDPLGYEHSSEDGDGDEEVGIGGGDDVRDEEVAIGGGGDVRDEEASGDEDDGVFSRFVPLPYLPTNLAGAARLVAAAFNDGGRPGDLARACSNGHVLVVPGGPVDGAYSVRRPLRLTASGASDAVHLPAPPLAEDDADNDEERFVAEFLLVRGNQPGGGDDLSCCHGACVLTAVQDKEGVIDAKFVVHVLQDGAWVTHTSPPLHVEKPLDTYVSPYSLVVGGRLYMLIITGYIAVFDIAASSFSLHKLPAGVSCGDGGVLDHRLARGSDAGLYLVHARGTEIRILRHLPGMEDAMGVWGWELANTFFLGGVLSVLGVEIYAVGDDAAFVFLMVGDNIGLFLLDVKSTKVKMVLQASPTDDGHLYRVLPFMMPWPPVFPPALEEGNNYEAETWSLKYRIKCPVAEMSSIVENPWFHGMIVSENGDALEAGTVERISKDTMVARFCASLAVFVPSFVELNPAPLMAMVERKSDKWLGPSQVCSYTGGAH >Et_4B_037337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18597965:18600049:-1 gene:Et_4B_037337 transcript:Et_4B_037337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGEEVIAEFERLTKDAAAVQRETLRRILSKNAGVEYLRGCGLAGRTDPGTASVPACRLSRTKTLSLAFSASLMATPPRCSPPSPSAPSPSGWSRTSLLLSGTTQGKRKYLPFNNEIFKSAMHVYRTSFAFRNRAFPVEDGKALQFIYASREFTTAGGLTATTATTHLYRSPARRSSDVRLRSASPNAVVFGPDFEESLYCHLLAAGEVQVVSATFAHSLVLAFQTLERVWEDPCADIRRGGAALMPAPDPARADDVERICAAGVMTGVVAQRQHYVRKLRHYAGGDLPLVAADYGATEGMVGPNVEPAAPPESATFAVLPSIAYFEFVPLKKLGGGGEAEPIGLTEVAVGEHYEVVMTTFTGLYRYRLGDMVKVTGFYNSTPKLKSVCRRGLVLSINVDKNGEQDVQLAVDDAAKILATTDNNLEVVDYTSYADLSSDPGHYVIFWELNNEADGDGEVMQKCCDELDRSFADAGYVGSRKTRAIGPLELRVLKKGTIQKVLRHYRSLGISPNQFKLPRCIARSNFSVLRILSGNTAKVFFSTAYN >Et_4A_032018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12080063:12080280:-1 gene:Et_4A_032018 transcript:Et_4A_032018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCPCIFPFPTTLSSSAVQLLGPLHVLLLHLSIQRIRTLVCRLISLLVLLVLILG >Et_2B_021689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4513971:4516929:1 gene:Et_2B_021689 transcript:Et_2B_021689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRLILAVAAVLLLSGLPRPAHPFTALESEQIARFQDYLRIRTAHPSPDYAGAAAFLLPYASSLGLRTATLHFTPCKTKPLLLLTWPGTDPSLPSVLLNSHIDSVPAEAELWTHPPFAAHRDPATGRVYARGAQDDKCLPIQYLEAIRGLQAAGFAPTRTLHISLVPEEEIGGADGFDKFARSEEFRALNIGFMLDEGQASPTDAFRVFYADRLVWRLIVKATGAPGHGSKMFDGAAVDNLMDCVENVARFTKAQFRMVKAGERGPGEVVSVNPVYMKAGIPSPTGFLMNMQPSEAEVGFDLRLPPTEDIEQIKRRVKEEWAPAHKNLTYQLMQKGPSRDVTGRPIYTATNESNPWWSLFEQAIISAGGKLSKPEILSSTTDSRFVRQQGIPALGFSPMINTPILLHDNNEFLEDKVFLNGIKVYEHIIRALSSFKG >Et_1A_008133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4596428:4597573:-1 gene:Et_1A_008133 transcript:Et_1A_008133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSNVVLAFLNTVTLLVATALIAAGAYVLAHPATECQRLVRTPAMAIGGALLVLSLMALAGACCRAAPLLWAYATAMFLLIVAMFVVTAFAFAVTNKGAAAAAAGTGYGEYRIGDYSGWLRGRVRDYETWRRIVSCMPDAGVCGGWLGGVDGGIRAGEFYRAYLPLVQSGCCKPPAYCGFNAVNTTFWVPPASGPATTADAVDCQAWSNDQRVLCFGCNACKAGVLATAENNWRAVGALNFAILAILMLVYSVGCCAIRSNHRRY >Et_7B_055457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11028530:11032696:-1 gene:Et_7B_055457 transcript:Et_7B_055457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPCPRGAGGVDLISDLPSEILSAIISRLATAEAGRTAVLSTRWRDAWRGTPLRLDDLELPAPGARVAPTGAPWAARADAVTRALASHPGPVSRFRLARTSLRARVAAAEAWFRDLAAAKPAREVSLCVPPEWCHRVLADPLLACPTLETLALGECRFSDAGAAAVSAARLTELALSYTHVSEAALQSVLSGCPVLRSVMLKHVQGPRRIRVISCRCLVLLGVWQYKHLEELTVENAPRLERLLGDVRLEADITIVGAPKLTTLGYLVVGFRNVFHYHREFAGQEKVGKGLCAPIHSVKILAISVSFSSKKDVEKMMGLLECFPFLETLHFQKSRHDEDKYDTTGSRYYQELDPVSCVVNHLKSVTLESRVEDRNMMEFARFLLANAQVLRTMKIQSAMSVNPAWVTEQQDLLSQCHVASMEAEIAIAHRSKWSHSWYTNRKRKEKKRK >Et_4A_035693.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3807996:3808490:-1 gene:Et_4A_035693 transcript:Et_4A_035693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSNIARRLAAACGLLGQYARTSAAPSPASFVQQPAGAGANAEKQKLTIRYGGTVVVLDGCAPEKAAELIGLAAAAAGQGAPQLQPAPALVDPQIARSLSLRRFLSKRKGRDTAPEPVPYARKDGEEEPDEPAAKKGKLAVSREEAAASWLSLGSLATMHAR >Et_7B_055619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1762696:1766033:-1 gene:Et_7B_055619 transcript:Et_7B_055619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAWPVGAPRQLQPQTAAPQQQQPPLQQHQNGRIDHRELKSQMEKRLGLDRSQRYFSYLNGYLSQRLSKSDFDKLCLLTLGRENLQLHNRLIRSILYNAYQAKCPPPPAAGKPVGASANNVSQATEVFSTCNGDARLLQVQGSRPLGTAQSHPLKDQMNSMGPNGRVGAAVNHTQVVHGVSAALENGTVSSLELKRPMHFQQCEPAEPLAKHPCVEQLPPDNMLLQRISMSSAAGRSTEPSKSPVRAPLGIPFCSASVGGARKLPPPPTSAGEDHFTSCLEHGGLFNSELLHRRMEKRAETLGLAGVTMDCAELLNSGLDMYLKNLIRPSVGLIGGSFQRDARKGTPYKQQAYGKLINGVWLPNHVHMQSGSGPSGATSDIRSNHLISINDFKVAMQLNPRQLGEDWPVLLEKISVNIYIRQRKAQSCTAESLSSLSSSLGKARVANGREVFD >Et_7A_050457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16520572:16520928:-1 gene:Et_7A_050457 transcript:Et_7A_050457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATIATVASAGLGMLAGVAMASMPCSSSSSSSAPRCAACGGTGKEACRMCARWSDGDCGSCAGTRRAPCRCCGGGGKGRRAPVRVAAAARGAGMALRPVVRRAEEMR >Et_3B_029639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26703834:26706025:1 gene:Et_3B_029639 transcript:Et_3B_029639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVLAIPLSTPAASGFPKPPGALLFGASRLSASSRDPVPDGCGGGEDDPFYFPDQHHQELPPDVARAVDAVVAAAEGEAFPADAERRRGLLERCGAEASEPLVVAALARLRNSCAAAHAAFRWAKAQPGYAPGRRACHSMLDILAKHRRFDAARALLDEMRRASTASPAAVLLLIRRHCAARDVAGAVAAFRALPTFGFRPGVAEFQGLLSALCRYKNVQDAEHLLLSSEKEFPFETKSFNIVLNGWCNIVCSVREVKRFWSSMEIKGIERDVVSYGSMISCFSKAGSLDSVMKFFNRMKEAGIVPDRKVYNAVVHALGKGRCVDEAKALVQSMEDMGVAPDTATFNSLIGPLCKARQVQNAMEMFEAMLGRGLSPSVRTFHALLNVTRSPSEVFDLLDKMKELRCEPEMDTYIMLIRKFCRWKQHESVEKLWNAMPANGLSPDRSAYIVLIHGLFLNGRLEEAAKYYEEMKAKGFSPEQKTEAMIQAWLAGRELAKASASVRSKGGSVSLRLPRRRSSKATVQRSFGSEFQDGQYWEGRAARATHRIGWSRTLQGQVIWGLSL >Et_7A_052211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5734247:5737354:1 gene:Et_7A_052211 transcript:Et_7A_052211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTELETRPRQPLMLKEWLELESSAELSRDGFSCYPRHLAAELRSASGRRRNGGDVIARVSAAVKAALLRTPSGREGEAGALPRSLSKRLRVGFWKKRRGEEEEEMDRRVPSCSDAVSCGRRDGPSSPPMSPRRTSWEGRRVGVDGAAGLGSRRRSHEAEKVAGSETTRHLDEERLSPVSVMDFPGQDEDDGSNQTVGTCHDEDDGEDETMSPTFARNMANIRRASQLLLQKIRQFEQLAELDPSDVDAATTATEDASYHIAESDIEDETIQDLLGMLQVNSPVAACQFQKLLEDFFRDGLASSSCHRERYDDPDTAKLLLENAKSWLEGRCSVPRPYGKAEVEEIERFGRWRCFREDEQQPLAVDVEGDIFWSLVEELVDDLC >Et_2A_016916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29420429:29425923:1 gene:Et_2A_016916 transcript:Et_2A_016916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSSGSVLPAQAASPEAVAEQKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEMESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLNPQELKDPYLPAELGTANKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELIAKDLHGNDWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPTGLPSLHGGKDDDLTSSLVWLRDSTNPGFQSLNFGGLGMSPWMQPRLDASLLGMQSDLYQTMATAGFQDPTKQLSPTMLQFQQPQNITGRAAPLLSSQVLQQVQPQFQQQTYLQNISESTIRASQSSPMALQNILPFSQAQSFADTNVSSLSPSNASTMQNTLKPFSSEAASHLSMPRPTAIPVPDPWSSKRVAVESLLPSRPQVTSPMEQLDSTPTSISQSSALAPLPGRGCLVDQDGSTDPQNHLLFGVSIDSQSLLMQGGIPNLQNGNDSTNIPYSTSNFLSPSQNDFPLDHTLNSSGCLDDPGYVPCSDNSDQVTRPPATFVKVYKSGTYGRSLDITRFSSYHELRRELGHLFGLEGQLEDPLRSGWQLVFVDREEDVLLVGDDPWQEFVNSVSCIKILSPQEVQQMGKGLELLSSAPGRRLGSSCDDYVSRQESRSLSTGIASVGSVEF >Et_6B_049710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9280550:9291883:1 gene:Et_6B_049710 transcript:Et_6B_049710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSAAPPAAAADPDGPDAVRLTWNAWPRSKVEASRCVVPLAAAVSPARAQDPSAPPPLPYPPLRCKPPCSALLNPFARVDFAAKIWICPLCFSRNHFPPHYAAISESNVPAELFPQCSTVEYIVGGAGGGVPGAPASAAPPPPVFLFVIDTCVIEEELEYVKMAMRKAVALLPEHALVGLVTFGTQVHLHELGFSDLSKIYVFRGTKEISKEQILDQLGLAGAGRPGFPKMPQQPGLLDELQPDQWPVEAGNRAIRCTGVALSVAAGLLGACMPGTGARIIALLGGPCTEGPGVIVSKDLSEPVRSHKDLDKDAAPHFQKAVKFYDGLAKQLVSQGHVLDVFASALDQVGLAEMKVAIERTGGLVVLSESFGHSVFKDSFKRIFEGGEQSLGLSFNGTLEINCSKDIKVQGIIGPCTSLEKKGALCADTVVGQGNTTAWKMCGLDRNTSLTVFFDVSPSERSSQPGHQNPHLYIQFVTSYQHPEGQMRIRVTTICRKWVDGSTNTEELVEGFDQETAAVVLARYISLKMEMEVFNNSPDETAYFRMLLNRESITNSVAMIQPSLISFSFDSPPSPVFLDVASIAADRILLLDAYFSVVIFHGMTIAQWRNMGYQNQPEHEQFAHLLQAPHEEAQMIIKGRFPVPRLVVCDQHGSQARFLLAKLNPSATYNSAHDVAPGSDIIFTDDVSFQCKEWRERKKDGPLKRPEGVVEVEDDEARQHGEVRHQLQRLGLHRFRVSLRRRGRPTWAFPTPPRLGGVAAIP >Et_3A_026449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8272057:8275078:1 gene:Et_3A_026449 transcript:Et_3A_026449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKESPKQREGKGKKDRSGGKIRQARLQSKEAGSERFESKRRFLTSTNREGQMGLANRKLCGGQVHNLPLLMDHYGNLSHRDHSIDIPRNDVTSQSTSLQDNHNSLDELHHSRVLSNEVLPVPESSSGTAVVSNSGDASGTRRDQDHQQQNPLNSVLWIYVDLIVNVSQIIAAISVLSVSRNETPHAPLFEWLLGYTIGCIATLPHLYWRYLHCNRQSTEQEPTSQGSSETNMSEPDSRAVSSFHASEFMDSSNSTGVSGSNLPIASPRVYAWVACFKLALDCFFAVWFVLGNVWIFGSRASVHDAPNLYRICIVFLAFGFIGYLMPFVLCTMICCCLPCIISVLGFHEDMDMNRGAATEAINTLVAYKYKSRKIRDGDVAQDGGGVLAAGTDKERTIAAEDALCCICLSKFSNNEDLRELPCAHVFHMECVDKWLQINALCPLCKAEIVGSASVPKTDSQNPNDDNRVGDDVESQR >Et_10B_002467.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:9265838:9266161:-1 gene:Et_10B_002467 transcript:Et_10B_002467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATGIFAGRVGRGASCRRTLIACLIDGLTVRSGCAHHSAVTIMRSISWLSNSPFSRPSETSRILPWLYNSHTHCTRCMLAYSSESFTTTIGRRPHVISRMTTPKL >Et_1A_004730.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35161710:35162261:1 gene:Et_1A_004730 transcript:Et_1A_004730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLVGLKNMHEKGIIHRDLKPSNILIDSNGRHVEGKICDFGLAIYYDQAVATWSRTPRGTYGYMAPEVHKAKSSCTFESDMWSLGAVMYEVITGSPLIKGRDPAGMITCMRNLFGILSNEASTSLEAIDGPQVDPKWATHGVLIRRAWRFSMDY >Et_8A_057057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20649591:20652899:-1 gene:Et_8A_057057 transcript:Et_8A_057057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESSAAPEVSVDWRGRPCRRRHGGMRAAVFILGVQAFQIITLASVGSNLITYVLGELHFPLSEAANVVTNFVGTAFLISLLGGFLSDSYLGCFRTLLTFAIVELAGLVLLSVQANLPRFKSAPCNMLTMQGSCEQAKGTKAAVFFVALYLVALGNGCVMPNMTAFGADQFADGTAAEDSNSKSISTYFNASYFTYCIAELVALTAVVWAQTHYGMGVGFGVSATAMAAGLICLLAGALLYRNKPPKGSIFTPIARVFVAAISNSKRKQICPASSPNPANPEASDAAPIDGNFRHANKFRFLDNACIGAAPQDAVLDGTRRPCTMAEVQQAKTLLAVLPIFACTIVSNTALAQLQTFSVQQGYAMDTRLSSSSFHIPPASLQAIPYAVLLLLVPAYELLLIPLMRRLTRTRSGISPLQRIGAGLCFSALSMVAAALVERRRRDTATAMAAGGGERMSILWLVPQFLVFGVSELFTNVGLMEFFYKQGGGGGMQAFLTALFYSSFSFGFFLSSVLVSLVNRVTARHGSHGWLGDNDLNKDRLDLFYWTLAALIGLSFLCYLVCARWYNSGGGGSDDAADRVVHKQEIAENNSSGLY >Et_4A_035692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3835856:3838873:1 gene:Et_4A_035692 transcript:Et_4A_035692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAARSRNCLTQLLRRQDQGQWQCRCPYQLSHSRGMTRGRSVKERSKKKRVHALEVAIERWKVLSKVLTVVDALKKEEEHVTPLKRLEILRPQLGLTKPHKVAHFIRRSPHLFEVCRDSRGVMWAGLSPQAEDLVEEEARLLDEHAPMAAQYVTRMLMMSVDQRLPVDKIAHFRRDMGLPHDFRTRWVHMFPELFRVVRLEDGDYLQLVSWNPNWAVTELEKKAAALAGNANVKSNPGTPGELSLPFPMKFPPNFTSYYKFRGKVHHYVKTGNTEQFQKITYLSPYAEAKGLTPGTPEFDKRAVAVMHEILSFMLERRLVTDHLTHFRREFVMPQKLMRLLLKHYGVFYVSERGKRLSVFLTEAYDGTELIEKCPLVRWREKVLQLTGYRGRIKNLGKLGAPSDSEDYFLGVDGASSDDDDVLDVESEYSDDILDDPSISDDSEIDLDQLAVIFCEKADETKHNNKFLEHMYSAYSHL >Et_1B_012901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:393442:395902:-1 gene:Et_1B_012901 transcript:Et_1B_012901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDVDSLSELRPVYGLIFLFKWMAGEKDERPVVRDPNPNLFFARQVITNACATQAILSILMNRPEIEIGPELSQLKEFTGAFPPDMKGLAISNSESIRMAHNSFARPEPFISDEQRAAGKDDDVYHFISYLPFEGVLYELDGLKEGPVNLGECGGPDDLDWLRMVQPVIQERIERYSQSEIRFNLMAIIKNRKEVYTAELEELEKRREQILQEMKATPGTESLNNSLTEVVSAIETLTEKIIMEEEKFKKWKTENIRRKHNYIPFLFNFLKMLAEKKQLKPLVEKAKQQKSSSPSSTR >Et_1B_012577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33331604:33336080:-1 gene:Et_1B_012577 transcript:Et_1B_012577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEGSCSAPAAASGAQQQQIRVVRCPKCDKLLPELTNYSVYVCGGCGATLQARKSSASDTSSEKSDGEHVKYLEVLESLPDKKGLASEASSVREAETKKAEARPLERFAPDRMAAAPGFSFDDNQMTAAPSSMKVEPAIRDDSREIREAKYRRIRQEDKGEAKLPPRVRDRSPRSVVNSISSNAYPGESPPEYVVKPGFRHANGEHADRRILDGPSRVNGLEKDRSELLRMLDELRDQVQRSCEISEKPSASTNRVVDAASSYNPHERLSRLRYGSPQLQRNGSQHSPSLNAQTPVIPHAYTSVPAQQDLHGYGEPVVHMGARSYPVGPYPWRNFDNYLHGQCDPDPLISYHHDGFYHQPACSCLHCYHREFLPVHGAPLGFNHHRPPYVTNNTSLYPVEGPVMFGGQNYNSRGINALMRRNQLRPTPNKKPAQTCEPIANAAPFTICYNCYEVLQLPKKSSLLEKDEYQLRCGSCSHAIVVKLDGSRLYVSAPTPVSHLSPGNCSNDGQGSNGHNADERLLPSYSSHCSHEKDLPSNSSEADKMQSLSSASSISEDDNSPARSNSQKHSSGSRDHPPESQVPTRVPSLHLRDHFEYSPSERVVDGSGKGSRSTRSEHEKGVLTESFKPNMVKDVPVASVLDLSDDDEYDDPEYNQDPGDAAKYVDHPRATKSGDSFFSNLIKKSFKINGGMGNGRAKVFINGYPMSDRAVRKAEKIAGPIYPGEYWYDYRAGFWGVMGQSCLGMIPPFIPEFNYPMPKNCAGGNTGVFINGRELHQKDLDLLVGRGLPDSPGRSYRVEMSGKVSDEVSGEELYCLGKLAPTVEKMKRGFGMRVPRIIQ >Et_2A_015042.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27226239:27226415:-1 gene:Et_2A_015042 transcript:Et_2A_015042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRGGRCSCRATASRWTPGGAGRRTTTRRGVFAGAWRGGCGRPWRGRAGGAWARGW >Et_6B_048439.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:4569950:4570147:1 gene:Et_6B_048439 transcript:Et_6B_048439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SGAIRYPVCRQQQFLLRIGETGAEQEHNIIASLMRLQRKTRRNTDRLGSLVFRSSVHLLLLLPVR >Et_6B_049719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9422984:9431843:1 gene:Et_6B_049719 transcript:Et_6B_049719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFVLLVVAMSCLCTVSGDSILGRKAGIAGIADEQMANLVAPGKSTERYAVIFDGGSTGSRVHVFKFDQRMDLVQIGDQIEFFAHVKPGLSAYAGQPQEAAKSISPLLEKAKGVVPKRLQKRTPLKLGATAGLRLIGDAKSEEILEASKFQYKPKWITVLDGSQEGSYLWIALNYLLGKLGGDYSKTVGIVDLGGGSVQMAYAISDGSAANAPAVPQGTDPYVTKENLGGKQYNLYVHSYLHYGLLAARAEILKMANGPFCNCILRGFSGTYTYNGEDYNATASPQGAAYDKCRYDATSALNLGAQCESKNCTFNGVWNGGGGAGQANLYVASYFYDRASQVGIVDPHAPNGKSTPAAFRDAALKVCSLSVQEAKALYPDAWDSEYLCMDLVYEYTLLVDGFGLEPTRDITLVTKVKYGEFYVDAAWPLGDAIETLSGQKLNQLM >Et_1A_004792.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5876024:5877373:1 gene:Et_1A_004792 transcript:Et_1A_004792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAKRPHQTAGASDQPSSPFPLASAAVMGDAAIRDWGGLPDLPLSEVMRRLLPCLRSLYAFAATCRPWRRLLRASAADLLRPGLPPLLLDAACRQIVPFSPQVLPRPLPYRELPAEATALLSTSRGHLLLRRGHLLAIVDAVTGAERGALPLPSPHFAYHYAALSPSHILLFHSRHAFFALPLPGPGPNAGSDWTKHSLPRAASFVTMVIEFRGRVLGLTDRAQLLEFHLGATPPSQTVQMLPAAGLPDPTMFERWHFGARLVAAGDQLLLVLFLLRPKSGSLTLARRRVHRLAVYGLDMARMRWEEVMNIGPYSLFVDCAGRSTAACVDVGSCGVEENRVYVAAPGCRTWKAFPPWWEGSHASAGYGLFTSRAMERPTWPSQIWIYPQLLF >Et_1B_012862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3621988:3623173:1 gene:Et_1B_012862 transcript:Et_1B_012862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAVVLQHPGGRVERLYWSTSAAEVMRANPGHYVALVTLRVAEERHDAAAGERRTVRLTRVKLLKPKETLLLGHAYRLITTQEVTKAMQARKEEKLRKAQQQLLESKQSKARGAAEDVDDDEDALDASLDQLARQDNGNRSSSARHRQWRPSLQRIDEAGS >Et_1A_009002.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:24970646:24971056:-1 gene:Et_1A_009002 transcript:Et_1A_009002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRKHHQSTTTRARPAKAPSPVRIVRLPVEVLLEIAARSDAETLLRCAATCKLLRREILTLDFFGPVCAAPPGLLPTRLHSFVDKTFCLLEHPAASALPFSDSEDHPPGAGFVASRFWSWPALCRLYATRSRGGK >Et_1A_006321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20598488:20600895:-1 gene:Et_1A_006321 transcript:Et_1A_006321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDSARNHLESMLLDERVEPKPLPISLLESITTYFSDDQKIGRGGFGVVYKGLLRNGTVAVKKLQFDTADTDENKFIKEAACLLRVKHQNIVRFLGYCADAHGEMWKLEAKTVIAEKRQRLFCFEFLPNGSLDKYITDASRGLEWMIRYKIIKGICKGLHYLHQQKIVHLDLKPANILLDHYMVAKITDFGLSKCFVENQTRVITSNVIGSVGYMAPEYCQGGSVTFKSDIYSLGVILIEILTGQKGYYEIENVLESWGTRLGTSMGDTRLEHIGACAELGIVCTDYDPAKRPDAQSIIERLDDMELKYGISVDSSSETEG >Et_2A_017926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:819134:820446:-1 gene:Et_2A_017926 transcript:Et_2A_017926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSSSHQQKFRCHLEFPKQNAVVTSTQQMSSKGARMLRPALACCKLYVSEARNAPALRAIERAAAGFRPAAVLVNAFADDSYNRVGYTLVSRLSGGGGDSAPAPLHRAAFGVVAAALEAVDFGSHAGTHPRLGVVDHVAFHPLAGAQLDDVAALARAVATDIGDKLQVPTYLYGAAHSEGRTLASIRRQLGYFTPNSPGNQWQGPSDASSLPVAPDAGPGTPSRSKGVVVVGATAWVDNYNVPVHNSDVGAAKRIARAVSERGGGLRSVQAMGLVHGDGATEVACNLLDPARVGAEQVQERVRQLAAAQGLDVGGGYYTDFSQEKIIEMYMRSAEAAEASQH >Et_1A_006005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16573002:16573734:-1 gene:Et_1A_006005 transcript:Et_1A_006005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIDLGRGGSAAGRGGKPRLVMIIADPGRESAAAMEWALSHAVVEGDDILLLHVNMPYPYNGAAGAGPSRTGSGGGSGSPLAVLLLGAQQQDAAAGDQFMEAMRAACRARHPRARVHAERVEPATEGREAKAQTILAESQRRGVELLVIGHRRVSSFLGLRSASGSSRGHDSTAEFLIEHSKCICVSVQKKGQNAGYLLNTKTHKNFWLLA >Et_4B_037210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16671626:16677219:1 gene:Et_4B_037210 transcript:Et_4B_037210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYHADEVEEMEDEYDMNEPDDDMVEEEEEVYHEPLERDDEDEDEDQLHKLTDTTLADVRSGKDIQGIPWEKMAITRETYRQARLEQYKNYENIPNSGEEAMAACKSTEKGEAYYEFRQNTRSVKSSISHFQLRNLVWATTKHDVYLLLHYSVLHWSAVSGVDTEIMDVHGHVAPTEKHPGSLLEGFCHTQISTMAVKDNFLVAGGFQGELICKHLDRKGISFCCRTTFDENAITNALEIFNTTSGALHFIASNNDCGVREYDMERYQMCKHFRFDWPVNHTSLSPDGKLVVIVGDDTDALLIDSNSGKTVYSMKGHLDYSFASAWSPDGRTFATEAADFVHIFDVKSDYNKRQELDFFGEVSGMSFSPDTDALYVGVSDRTYGSLLQFGRLYNHSYLDSLL >Et_3B_027438.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:17141924:17142538:-1 gene:Et_3B_027438 transcript:Et_3B_027438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVTLPLMAAFVALTMLVAHQACAEKDCYNEKVHVIDECTDFIRKHGSTLRPSFKCTMAVDVSDLACICRILNDHDEEKISPEKLVRLARMEGKVLEAGTKCGSKYLVPFHINAIFANGMSLKKKVD >Et_6B_048526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10228850:10233551:-1 gene:Et_6B_048526 transcript:Et_6B_048526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGPGEALLRGRRRAPARLWVAVAALVAGTIWLCSSSSLGLTRGTSYYRVQYCNYDAHTVTQCLLLFSLIYCTDIGATVKDVDVNKLWGTADSNGWRASSAPRSYWPPPPTKSESNGYLRVRCNGGLNQQRSAICNAVVAARIMNATLVLPELDTNSFWRDESGFVGIYDVPHFIKTLKYDVQIVMSVPEITTNGKTKKLKAHQIRPPRDAPITWYTTVALEKMKKYGAVYLTPFSHRLAEDIDDPELQRLRCRVNYHALRFKPNIMKISSEIVNKLRSEGHFMSIHLRFEMDMLAFAGCFDIFTPKEKIILMKYRKENFAEKRLVHRERRIIGKCPLTPEEVGLILRAMRFDNTTRIYIASGELFGGKRFMKLFKAMFPRLENHSTVGPGKLEENTRGLAGSAIDYMVCLLSDIFIPTYDGPSNFANNLMGHRLYYGFRTTITPNRKALAPIFMDREAGRTAGFEERVRQVMFNTHFGGPHKRIHPESFYTNSWPECFCQTNARNQADRCPPDNINDVLESQFQSVEDMEELKSANQTDLASHTEETMI >Et_1B_011549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23487609:23489981:-1 gene:Et_1B_011549 transcript:Et_1B_011549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQAKAHWTVTIAVEAQKRRPCRAAVHAQPLPLCLQPPNKAKTHDADGHRQGLSLPLPPMPPPRRALLTSLLRLRAFSSVTSHYPPPTPPPLRRHQFVADPSAASTSTSRGGFGGIGGGGGNPLDPTQLLRDDPVAITASLWVSSFRAAPVGGPAPPLAPFLSRLELWVLAYQKAYADETGSYLPRSSIPASTLASLLALRNAVLDDRFRFGNRLTPFIQSPRAANSPDPSTLSKRKLRALLTTPGPPPFQDRVVQELLLLLLEPVYEARFSTKSFAFRPGRSPHAAIRTIRRSFAPYLWYIKGDLSPLLHSPDPALVVGALIRDVRDKKVVDLIRSALLTQVVTGRPGDDAPKKKTKRKYQKKKVLPEGEPKPDPYWLQTFFGFAPEEAITQPDWGHCGVLSPLLANVCLDELDKWMEEKIKEFYKPSKIKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTASGGKIISEKGVGTLLSVTASLKQCIKQFRKLEFLKGDREPDPQPCFRMFHATQAHTNSQMNKLLLTMAEWYRYADNRKKVVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIASRNLSRPLKDKKGQSPEYHNLLRMGLVDSVDGLQFTRMSMVPDPDYTPLPSGWRPDHEKILLEYIKLTDQQTVEEQRNCIREEGLITPQDYISMLVWGYKKNAVLLPSSKESDAQRSTEDLGSDTDELDEKKLGKEGDQGFPK >Et_2B_019358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25244121:25245002:1 gene:Et_2B_019358 transcript:Et_2B_019358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGGGGLADSPGGGAVAAASGDAPRPSRYESQKRRDWHTFGQYLRNHRPPLELSRCSGAHVLEFLRYLDQFGKTKVHAAGCPFFGHPSPPAPCPCPLRQAWGSLDALVGRLRAAFEEHGGRPEANPFGARAVRLYLREVRDSQAKARGIAYEKKRRKRPSANSQKQQQQQTSSSSSATATTPTPPRTSSPALSEPAAHTHHFFIPHPAQFLHGHFSLMPPGNTGGAVYAHGPGNGDEIALAMAAAAEAHAAGCMLPLSVFN >Et_6A_046466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15590003:15606291:1 gene:Et_6A_046466 transcript:Et_6A_046466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWYGILQVDVAADETSIKRHTANLPCYFNRIKISSLVPMQLSTNQLFVIKQGSPSTSLGRRMDPSRRRVKLKGKQGMVAKTSREPPSSEHKFWTMCPNCLTRYIYGIGVLNKKARCLHCHQIYFTSHLMSSPTENGHTMAVATSIDADDNTTASAGNSTDYEDNTTTEATSGEKQTFYSDVLAVPNPGVKFRDVNNLVKGSGQIWALYDSHDRMPRSYAQIKCVDTTNSKVNLNLLRYVRTEEEEREQAGKGVRCARGGICNGHQIITDMSMNSCQTCQLRAVPLLYLWSGLRDLLANLRQPRINPHLIYLQLSYFDFLIEYPFRGQLDMKRIFQEHKFVHQASKNMWHEDFYNSTPYVCLLTCMKHICSTLETYISLIRQPEILTSDIFEYPDPDFHNFEEGSSYENFECGQIWVLYSHVDKFPKLYCQIDKVESKPFRVHLNWLDTYACTQAQKFLYIICGMFKVRTTLFRIIIKKKTLFRTTAVFSHLQDATETSNEWHFEIFPRVDHRSPEFHIEWLPPGWATATRKCGDGISQDSFNTDPLNQKVYRSRPAAVQCFGSGRDLDDSRYPHASVTTLYAKGTIKRGIFRRPWSRDTRWRHEGVALKACMAHGPTRAGTQCKPAQQANGAYNQLAVIITTLHAEGPYF >Et_1B_012436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3238867:3240402:-1 gene:Et_1B_012436 transcript:Et_1B_012436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPISSLSSLAASSPIPPPPQLPKPSRRALAVASCNAASPSTSTSAASAPQAAPAGRRGLLALGAGFLASAALLGHAGDAEATRIEYYATVGDKLCDLNLVKSGLAYCDVEVGTGVQPPRGELINVHYTARFTDGTVFDSTYKRGRPLTMRIGAGKILRGLEQGISGGGGVPPMLVGGKRKLMIPATLAYGPEPAGCFSGDCNIPGNSTLMYDIQLVGIYNFVDSIEVFRLKFYLADEGKHAYVRLMVKTSV >Et_7A_051017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13662356:13663751:-1 gene:Et_7A_051017 transcript:Et_7A_051017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPKQYLKVMLGYGTSEVRIILLKLLIHLIWPDQLHVLAGGRVSVATQQSAYYPVLIYGQ >Et_10B_004280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2852649:2857632:1 gene:Et_10B_004280 transcript:Et_10B_004280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKRFQAKNITDLAFAAIFKSYLCKASTKHAEGSSVEKSLILIAPASTMSRIAAWKREKGSFLAAEQKKASCISRRVRPPHRATAQASSPSPASSFCPGGADRGGAAWIEAGRHGFGGFFSIGPISVATDEIRRPPLFRELLGLKVVRSAYIAREARLAASLGVPSPVHACIQDTHDCYNGCAAFLLDRVRRGTASVMLATHNVESGQLAAARAQELGIPGGDPNLQFAQLMGMADGLSLSLRNAGFHVSKYLPYGPGDELLLHRPQRQQCGLRRPRRLPRPRRLSKRQQRMQWR >Et_4A_034975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8439000:8442136:-1 gene:Et_4A_034975 transcript:Et_4A_034975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSLSSALLRLLLQSSTPTSSSILRATLCSSSSSPSPTSSLPSSIFGDDTEVANLPPLTTPNLFVSGLSRLTTDEKLKGAFDPFGRVLEAKVVTDRISGRSKGFGFVRYASLEEAEKARQEMNAKFLDGWVIFVDPAKPKQPKPPPQQDTRSSHAGFTTNKTVGWCADKMVNPSFSDQIGAISGSTGTVTYVTL >Et_8A_057647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5682614:5700308:1 gene:Et_8A_057647 transcript:Et_8A_057647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPPSRKPRVAAAAADALASLPLDVLDNIFSRLHIYDVVRTSALSRAWRRRWESLPSVDLCSSHGISASDVDALLLRRSAPVRSFRLLAGDSSLPEAAFHDWLLYLSRRGVRELLSSSRTRSLIIKGVSVQERAGKVLEALIAASPVLEELTLAFVGLLGDNSDSGWTIRAPNLRKLVIAGSFDYGGRTEKLPLLEEAELFGPNYAKFLTGMAGVAKLQFYCSDILLTSLKLKSCRLPPTPPEGAGQRHAGREFASLIAASPVLEEAELLFLMLVGDGPDEEWMIRAPNLRKLSIIGPYAFGGQTENLPRLEELFSLARIMPSS >Et_1B_013999.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2721959:2722705:1 gene:Et_1B_013999 transcript:Et_1B_013999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLERGGFMLPNAEQENSLLLRALISVVSGDTAVPALELPETKPVVVAAAACARCGVDGCLCCEFFGAGAADAAAAATTESSSSDSEECESGNLAITGGVGKRGRKRRTKRVSKFRGVRRRPWGKWAAEIRDPHRAVRKWLGTFDTPEEAARAYDVAAVEFRGHRAKLNFPAAATASSSASVSASVPPVQPAPESLRENCGSNAASPVRVAAVPAGQQGGPAAKEIWDGLNEIMMLDDGSFWCKQP >Et_3A_027174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3797855:3800692:1 gene:Et_3A_027174 transcript:Et_3A_027174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALPLRLATPRSSGGSGSFSPLFKPFPKPTTAPLHPGSFVRVPPKLALSHSRAVSEDRAETEADQATPQWKLDFLGADAGNREPQLEEEEEEDDELLPAEANDWCVRARRSALRSIEARGLAPSLQKMVSPPKKKKKKKASKKDIKKAEAELKRRKKQLAKSKEEKEEDDDDFDDDEDVIDDLQDMDDLELRVAQFADGMFDEKRQRNREAFVEKLSRFSTAPSNRSREVSLNRSIVQAHTANEVLALAAEVMADVAKGLSPSPLTPLNIATALHRIAKNMEAVSMMQTHRLGFARQRDMSMLVGMAMVALPECSPQGVSNIAWALSKIGGDLLYLPEMDRIADVAIAKVQDFNAQNVANVAGAFASMRQSAPGLFSALAQRAAQIVQTFKEQELAQFLWGCASLNECPHPLLDALDAVFQDNARLQCHVDDATSGGPQSSVEETSGGEKDGNSTRSLNFSRDQIGNIAWSYAVLGQMDRRFFLHIWRTLSQFEEQRLSDQYREDMMFASQVYLANQSLKLEYPHLGICLEGDLKEKITRAGKSRRFNQKTTSSFQKEVGRLLYSTGHEWIREYAVDGYTVDAVLVDEKLALEIDGPTHFTRNLGTPLGHTALKRRYIAAAGWKLVSLSLQEWDELQGEFEQLEYLRRILGLDVE >Et_5A_040436.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:21959198:21959368:-1 gene:Et_5A_040436 transcript:Et_5A_040436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSEKNNHPCTSCLLLVVLTPKFGSIVCCVMICMYLNKGVILSLPRWPNHCFVL >Et_1B_013947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25125593:25126484:-1 gene:Et_1B_013947 transcript:Et_1B_013947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTSFLALVVYVVIMATAANARFTAMPWTPAHATFYGDETAAETMGGACGYGNLYATGYGTDTAALSTAFAQLGGKPLSFKLTSRTTRQTIIATDVAPANWCLGLTYEARVNFS >Et_6B_048501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1015301:1023002:1 gene:Et_6B_048501 transcript:Et_6B_048501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGSDNAAFPFSTASSPRFCNPISSRRIFSDVAEDVTVSVDGQSFLLHKFPLVSRCGRVRKMVIDSKDPDLSKLKLVNVPGGAFAFELAAKFCYGSNFEITAANVAHLRCIAEYLEMTEDYQVENLIVRTETYLDEIVFKNLDKSLEVLCACDGLDPMVEELGLLDRCVDAIALNACKEQLVSGLAHLECDGGSGKLRMHCQDWWVEDLSALRIDYYRRVIAAMRRTGVRPESIGTSMVHYAQTSLKGIERHQVWDSGPLVGDNQRVIVETLIDLLATENITTVTLSFLFGMLRMAIEVDAGLKYRMEVEKRIGLQLEMASLDDLLIPTVQTSDSMFDIDTVHRILVNFLQRIDEDDSGDLSPCGYDSDGLKSPSHSSILKVGRLMDGYLAEIAPDPYLKLQKFMALIELLPDYARIVDDGLYRAIDIYLKAHPSLTDSEGKKLCKLIDCQKLSQDASSHAAQNDRLPIQMVVRVLYFEQLRLKQSSFSGGVDGSMSQRMMMCSGSGVPSSCVSPRGDNYASLRRENRELKLEISRMRVRLTELEREQGIMKQQGRSRPGGGEHGRAFLASLSRGIGRITMLRPAATAERRRKKSSSQGSEGKSRRRQKASFAVASQEVPSDLTVRVGDSVFPLHKAVMVPKCGYIRRAVVAEASSKDAATVVELDLSSVPGGADALEKAARYCYGANFEITARNAAALRCAAAFLDIQQLERRVEEFLAQAGLRTLRSAVAVLRSCEGPLLLVPAAEELGLARRAADAVALRVCNEAMFPSRSPPGWWTAELAALSPASFGKVATALRCRRADPAVVAAAAAAYAELALAEVLAEPRETEHNSLLVESVVEVLPSSADAPLPASFLCRLLHAAVNTNSSAKTCRDLELRVAAVLDQATAGDLLGVALDGAGERVTHADTVRRVVAAFVERNQASTATGRSRRASLSGAADEVVDSSALLSKVGRTVDEVAAELATEAALPVSKFVGVAGAVPKDARASHDLVYRAVDIYLKTHPGLDEIEREKVCSVMDPLRLSHQARIHASQNNRLPLQVVLSALYYDNLKIRSAEADDEDWDKQSSAAGKARADASLARENEALRSELAQMRAYLSGMQAHSKGSASSARAPSPPGKKASGFLGSVSRTLSRLNPFNARWAKDTSTIADGKKTTTRDHVAVKPKRRRFSIG >Et_2B_021078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26245060:26245959:-1 gene:Et_2B_021078 transcript:Et_2B_021078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHVEQAGRTNSADQSTRLHGPGPALLAFRALDRRAPAGGPAAHASRRLHGRQTSRTITISTDHDKRIPHGSSADPPRRPTPPTSGVHPPRPVPPYGYIGCRTNATTAEGFTKDGKRVKVTFWEAKPPHGSYFTFCSPDLENSTAFAGIPRPYHDHGGRPRQELEPKSNHYFVYRASGAEADKPPSLELIPCPPLFYFADAEAGLLPCRTGDDGMYDFVAILQPALPVTVPRQYVLHLYSSKTKAWTTKQMQLHENGGAYMNSSKVIAIGGEHGSTCGGAASYVTF >Et_7A_050564.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2526655:2526789:1 gene:Et_7A_050564 transcript:Et_7A_050564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMKVEKAKAYVVFKSDINREIFLCSYEEDQEAALIWLKGEMA >Et_9A_062499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23522394:23526165:-1 gene:Et_9A_062499 transcript:Et_9A_062499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEYEYVKREFEFDRRLPPSNWIVVRIDGCHFHRFSKIHAFEKPNDKNALELMNACATAMLEKFADIVFAYGVSDEYSFVFREETEFYHRRESKILSLCVSYFTSVYVTKWKDFFPNKELKEPPYFDARAVCYPNVKTIRDYLAWRQVDCHINNQYNTCFWMLVKSGKSEQEAQQALKGTFAKDKNELLAHQFQTNYDDEPAMFRKGSSVYREKVETIVKTDDYGDHIKRARLMLTVEHVDIIGPEFWQNHQHILRKGKCRDEFLKKFDINHILPPCNWIVVCINACQFDQFSTIHSFEKPNDAAALRLMNATASSMMEQYPDIAFGYGFGNEYSFVLHEKTELYQRRESLLLSSFSSYFTSLYMMKWKEFFPHKELMQPPRFDAEARCYPKLKILCEYLSWRQAECHTGNQYNTCFWMLVKSGKGEKEAHEILKGTLSKDKNELLFQQFQMNYNNEPAMFRKGSCIYRQKVEELANMEGSENSTRREGWAVKVDHVDMGSGFWRKHPWILSTSDYN >Et_2A_014701.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:7248131:7250159:1 gene:Et_2A_014701 transcript:Et_2A_014701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGRPSLRLQVHPQQCVVARGRDSEQWKIYLGTDRSTVRARLRLVLEHLGDVDGVAADALGPRPLDGARRRDALPRRAVALEPGPEPDHPHAVALPHPALRLDVRQLVPHGAARRVPEPVQRHPRRLHVLIRQAQAALQLVDDGPPARVDAEVLERGAEVGEVRLHAAVQHAPRDEGQREQQLLRGGKHERPDGRDVGLERVPRNVHEVLGQVDPAVPLAVLLLEHAPVRAVLRAGQRAHHAGEAEPRVVPGRGEKHRRAAHPEQAVGQQHRALLPDVVVGRDGLRGHHQRARAAGRRLQEVARQPDGDEPRAAPHPGQVHVADVAAHPVPVDDHVREGRDGREQAAVHDEDVDVPRADARLGEQVVDGGEDDQLHLGARRLHVPVRRDVVVRRGQARLLPEPRPLQQPRHEPDAALVDGRALHERHQLRVLQERRERDAAVGPRPEARVVHQVHGPRPQHQVQRCGRCGEEGREEDVHGAERLQPHHQLLRPDARRVDERRDHQRRRDHGNEVVHHVLVQRLQLLQLMTSRRRRRRAHACCSIERAQCGTVECETLALLCSPLLKC >Et_9B_064614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1710810:1711677:-1 gene:Et_9B_064614 transcript:Et_9B_064614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTSVGAAPVLVKSRLAALDNEERVIRWDEVALEGGDVAAPKFKSLAVQYKVEPAGADGCVAKITM >Et_3A_026790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20767437:20767876:-1 gene:Et_3A_026790 transcript:Et_3A_026790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKEMLPLGDGLIAPMCLCGTPCKPVKSLVLSDDYGKRLWMCNNYQYELPLQRLYFSDGRPKSPPSLCEFIEYIDTEQTPENIAHVYRVAERARRHWFDMEAEKRREEERMKMR >Et_5A_040550.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:8288106:8288165:-1 gene:Et_5A_040550 transcript:Et_5A_040550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASNKTLLAFMSRWTIFF >Et_9B_064793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18600877:18601730:-1 gene:Et_9B_064793 transcript:Et_9B_064793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLRSSMMDCFSFWSMASVSNVDGWLRSIGDHVSEYSFMNILRGFLLVLLVEAHLIGVELLLISAAVAGVTRFFLADEAPFELHAVIYVSHLLALCHANHHHVIFVHAADSQARLQSGVDACRKVPDAREQQIAHLIWHQLVHHAAHQHQGRCDGCSRGDGLLVGLDDLVVGRHGGKILLSCTLFVCELMFLFVLDMEQWYEKMRLRNEGGSRRWMVRQGRWEELGELKC >Et_1B_010431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10473510:10475282:-1 gene:Et_1B_010431 transcript:Et_1B_010431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAWGKNVKAKRQPMVVSAKPDLPFGADSDSDEVDKEGKTEANPNSPGTEPADTTESLQRQGNKLAEEGKFQEALGKWEAALTLTPDNAVLHEQKAQVLLEVGDAWRALTSASRATELDPLWPEAWVTLGRAQLNFGEPDAAILSFDKALALKPDYDEAKADRRLVKKRGQLHSTGLSANKRRFTVGENSGGDAEDEEKREVTAVE >Et_6A_046665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19157025:19158471:1 gene:Et_6A_046665 transcript:Et_6A_046665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPETEMPSSSREMSVTDRISDLPDEMLLQVMFYLTLQEAVRTCVLSRRWKNVWASLMWLTFDAAKFSSMKAFKKFVDNLLLYRNSFPAPVPLDAFWISAVCDNADDSLDYSDIHPWIRHALDSNAWALGILKHSGPRPLSIEGYPFPFTSVHLKILALCHFSVDDCFVKNLSSCCPLLDDLELTNCAINITMFFSTSLKSLAMTSTFTARDFPKEFQHLVIYMPNLVSLRLEEIPRRNIYLLDVSSLETASIYLYSLSFENSNVDCNILSSLSNATSVELISASVFEDVRNPLSELAITPVNYFCMLFETFPTCFQVVPKVLQRDLPRCVTFSSLKRLHLGEWFLSRGCYPLIYLLQRSPQIQKLSGAEDYGNRVAFPNADAEIDPREEAQPTFSCEKLRKIRIHCPPDDKRAQIIVRILSAHLSPLPSIKIKPI >Et_7B_054274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1958243:1959840:1 gene:Et_7B_054274 transcript:Et_7B_054274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CASASAPCLPDDPPTAGAPSDLFGIPAAILLSYSPAPPRDAEVTPWAEAKGKPQGRESTVLAAFLLSSKQEPLRPSFLSMATGTKCLGAAPLGARGYLVHDAHSEVVARRALLRLVYSEVGSESPPDWLVASDDCARWKLRDGLALHLYITQLPCMYGVDFRLLILKRAVELPREQLDSVNGCTDAGFVQKKPGRGDATLSMSRFDKITRWRVTGIQGTGGEQTVPVKIHDSGGEQEDWCRGSSNSTR >Et_8A_056379.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:5901731:5902219:-1 gene:Et_8A_056379 transcript:Et_8A_056379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFMLVLLLAQTQDAELFELFLPRHAVLQLPKGGVVLRGSLQLLRLQATLRPLPAAVRQLLLFQQLRLRGLPMLLPQLRLLLWFFLFRMRWLPRCLEAVPELPAAFMLQVPVVVLRGRASLLRRLVRRRPGGAGVVPRVLLRLRVLLPQVQGRRMQVPAVRS >Et_7B_054941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5849003:5854197:-1 gene:Et_7B_054941 transcript:Et_7B_054941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAFFGIRDGDQQDQIKPLISPQQQQLAAALPGVIALSPKTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPLQAQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRVTWAMHAPTLPSLLPFSELYGTCKAYLSRHHLFTVHFHCASSLLGRDSFITHRAFCDALAQESARLPPTSLSSLTSHLYGHGAANAGNMALSLSQVGSHLNSTLGHDGHGHHHHPSPELLRLGGTAGGSSIAARLDHLLSPSGASSAFRSPQGPPSSAAFFLNAGAQDFGDHDGAGNGPHSFLQAKPFHGLMQLPDLQGNGAGGPGASGPPGLFNLGFFANNGNSSGSSHDHASQGGLMNNDQFSGGGGGPDQASSAAAAIFGGSYVGGGGGDHVPHQAGLYNNDQAAAAGMLPQMSATALLQKAAQMGATSSGNGGAASMFRGFVGASSPHVRPANAASHMEQQQQQSEANLNDLMNSLAGGGGMFGGGAAGMFDPRQLCGDMDGQEVKFGQGGGGGDMTRDFLGVGAGGGIVQRGMSTTRGGGDHQSSSDMSSLEAEMNKSASSFNGGRMP >Et_2B_021419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29505834:29509493:-1 gene:Et_2B_021419 transcript:Et_2B_021419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMFPHTHLWAAVEIVNSGMGSSGMNWNHKNSMVWEWGNLTPSPNAIENLNSGALPKPRDADTVATRYGSVSSSDAAFTSSSEVGHGSSKSSLSASIGSPLREGNVLEFNFAAVSRRDMNMENVKASRVDDLGTSSPSMMAICHREPLISLRLGKRNYFENVRGGQDVNNSATTGATCPSTVVKKTKESQQSTQNSCCQVEGCNVDLSSAKDYHRKHKICEAHSKAPKVVVAGLERRFCQQCSRLHGLAEFDQNKRSCRRRLSHHNARRRKPHADAISFSSSRLSTMLYSSPRQQTELFFNQPPFSQVRSNAVSSWDNLEGFRFTEMKFPPTKPKKMVGFDETHFSTSQLSNTVVAHDVHHHNLDGVMSLKGTNIKAVNQGMEASTIASNLNGAPVIGRALSLLSDDSWVSNPTVNHQPRSHVHACALPHLVSVTATNPVMDELARPSSTRRNFSDSVTRTPLNTVHCTEDSSPGNSWSIL >Et_9B_063760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12384785:12385528:1 gene:Et_9B_063760 transcript:Et_9B_063760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHHLPPEPVGRHHKGVHAGDLKPGRRRYGYYYGGGGGTGGDGLRSAVFAVLVLLLIVGIVWLVLYVVYRPSTPTFHVTSAAVLGLYNATGAGPTLVAASFQFTLVLRNPSGRSAARYDRLTAYAAYRGEALTPPTTLPPLRQDAGDAVAVAPVLGGAAVPVSPDAAEALAADVAYGVVPIRVLLLGRVRFVSGPFHHRWHSMYARCDMLLGVRNGNGGAGEQAPLLGNPDCDVDI >Et_4A_032412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:129114:141892:1 gene:Et_4A_032412 transcript:Et_4A_032412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAVAALQSCFRTLQPDAVPAVVDCVLASSSATSPSQLFHALLNSSLSKEHQEQDLHAAISHAAALCHLLARFDSPLKAKDALHLLLWRVFLPLLRDGIQPNHHALLHQVVALMCDAVSNTGSWDLLGATIVPFCIRSSASAMGLSTGHDSMLYHNTMEVDFAGDNVSRFLSLSKASSVLASLLGDTLKRRRTVLCSGLLASQEGATDLDELVQNLTWDLATLALKMFAHDQEYRSCASRILLQPVLISLADVSCITVMFGTVQHKLSRCGFLEQIWNSCISLFSLGHGERLDAYTILSLYFSTLKLGHQNAVLGADEVREFDLRNVSTFWDELRRGLVDKDSLLRKHAFFVLKISLSIFTTSPVDGTQHCSSRSSAALPSQAKSSIGATKRERWANKEASSLGVREMDQSGEHCSSGHDRWKVFLLLYEMLQEYGTHLVEAAWAHQVVLLFESTPQSNYLSHTSHGAFHAQMESFEGILHWMAVLWERGFNHDNPQVRCLVMQSFLDIAWEHYNFCAQILPRGFVLGSLLRGLNDIVHHKDFGIGSVYHSKTIKGAESFFSTYAQNLTRRGRIYLVWSLASAAKQDSFGRAGLMTLAFCVASCAWQSDTNDAPCATSGKEVAKCDGDAPTTVSTVDLLDVLCILSEKSKQHFNPKYRLKVCEQVIKVATSLIDANEIPLNQLLHFISTIPREFTDHFGPLRVIVNKWLVQKDCSADDTLLNKLIDFPTTFVKDKQEEVSNLFDDEDLGVWEAEARRWSRALLLVTSEEQYLKRIFVFFIIVLSLIEELEVRQQKLFCQNNTILKVGSDRENGLGHHALSEKLAESLSLVLENMVIFSKTSCSVFWLRNMDNMDLPYSVKGKLGGPSQRRLATSITSSVLQGIWSMRCISSVSSWCSRYSSDDSLSSTFSFLWDFCWKVIQHSTKTTETGAELHLAAYEALAYVLVALSSAQSSELMDLVEWNKKYHASKFSLDILVTTFLNNINYLLTNGILTRSRRAVLMNWKWLCVDSLLSISCCCGANVIQLKRSDPLFSDSTLQCVFHDVTESLENAGESSVLSILRCVRSVLRLLHLNMGFRNSTSLGISYEMMMQLVKSSWILHLSCNKRRVAPIAALLSAILHPAIFPNMEMHQKNEKGPGPLKWFIGNLLNEGLKSPRTIRLAALHLSGLWLMYPKTLRFYLEELKLLSLYGSVAFDEDFEAELSENHEARFEVSMLAQSPDREFTEVFINTELYARVSVAVLFHQLWKQIGQKTRLETEDALQCGKLFLLELLESAVNDKDLSKELYKKYSSVHRRKVRVWQMICVLSNYVDDDIVREVTSIIHICLYRNNLPAVRQYLETFTILIYLKFPMLAEEQLIPIFHDKGMRQQALSSYVFIAANVILHSRDQATQLNHLNQLLPPILPFLTSHHHSLRGFTQLLVHSVLSRMWPTFQLETSEDVVFERRCFQELKSYLTGNSDCVRLRVSIEGFLDVFDPDASGTPMGIFSARPEGSDFECVPVSVMERVIDFLNDVREDLRISMAKDSVTIKNEGLIVDGHSEFKTDESPPEFLQHSHDVLNFQKKITPHEQPSSTRGHPTVSDDDVSKIISEMEEDDQLFYLALEARKDAVETIKRSQQELIVVASLVDRIPNLAGLTRTCEVFKAAGLVVADKSVVEDKQFRLISVTAEKWLPIMEVPVSSVKVYLEKKRAEGYSVIGLEQTANSKSLDEFAFPRKTVLVLGREKEGIPADIIHVLDACVEIPQLGVVRSLNVHVSGAIAVWEYTRQHSGALNNHVSDAAC >Et_6B_048297.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:10594674:10595531:-1 gene:Et_6B_048297 transcript:Et_6B_048297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPKQMLTVIIVVFSVLSFVKLLLLTTSTFSSASSSNSAWDDGGGSGGNGTGRSALAAKELALLRSLVAARAPCRLLVFGLSPELVALAALNSGAGAGAATAFVTDSADAAAAARRALRSGEAAAAADAAVAVHRARYRDAAREAWPLLRRARGSPACRRPTGDVRKSGCPLALAAALPRAVLDARWDVVVVDGPSGAAPEEPGRMGTIYTAAALARAAAEEGEGGAAVDVAVHDVDRTIERWYAWEYLCEDNLVAAKGRLWHFRITGGGPSDAFCNIGPAQIL >Et_3A_024517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21541160:21543876:-1 gene:Et_3A_024517 transcript:Et_3A_024517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDKAQQAEEELPAARAWVPGAVIVGAGPSGLAAAACLAARGVPATVLEMSDSLASTWRHRTYDRLTLHLPRRFCELPLLPFPEEYPTYPSKDQFVAYMEAYAAAARLLMARWLVVATGENAVPRLPDFFPGARHLFAGRVMHTCEYKSGDAFAGEKVLVVGCGNSGMEVSLDLCRHGAKPTLVVRNTVHVLPREMLGLSTFGIAMALLKWLPVRLVDRILLAAAHLALGDTGQLGLRRPKTGPIELKNLTGRTPVLDVGTLDYIKSGKIKVVGAVKEVTRRGVRFADGKEEQFDAIILATGYRSNVPSWLKDGGDVFTREGMPKTPFPNGWKGKNGLYTVGFSQRGLLGTSSDALNVANDIHSQWKDTGRLTNNVLDSNNSV >Et_7B_053776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12019019:12021660:-1 gene:Et_7B_053776 transcript:Et_7B_053776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAHRLSAALAVRGRLVPLAAVLLLWSAAASARKVGQTCAADRNCDAGLHCETCVANGNVRPRCTRVTPVDPQTKARDLPFNRYAWLTTHNSFARLGQRSQTGVAIATAWNQQDTVTEQLNSGVRGLMLDMYDFRNDIWLCHSYGGICQNFTAFQPAVNVLREVERFLSRNPSEVITIFVEDYVESPKGLTRVLNASGLLPYMFPVWRMPKSGGDWPLLSDMVRDNHRLLVFTSKAAKEAAEGIAYEWRYVVENQYGTQGMVRGSCRNRAESAAMNDLSRSLVLVNYFRDLPNFPEACKDNSAALLDKITACHGKSGDRWPNFIAVDFYKRSDRGGAAEATDKANGGLVCGCGSISACSANGTCTPRHGRTPKGIFNATSDATAWRPPPVLQWKRLVQLLLPALVPVILSL >Et_8B_059213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15389150:15390273:1 gene:Et_8B_059213 transcript:Et_8B_059213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACSRAATMSSLWGLSVHPKDLLSLVFRFLPKLLGIPPTLLKKFQKDEYAQTQAVELETVVSKLLELPNNVLMFIFATLDIPDLIRAGSVCSTWHTAYTSLRDPGLYKHSETPCLFYTSESAGENVGCIYSVVDQSEYRITLPEPPIRSRSELHLVNPFTGEQVALPSVITIEQVKPIFDESGTVHKYQLSYNTRACSIRVTKSTT >Et_10A_001757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7879739:7881514:1 gene:Et_10A_001757 transcript:Et_10A_001757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIQATSLDACRTLCLARCSCLAFEYRSDNNGCFLKSVLLNGKTVNGYPGTAYLKVPESLLSEIISSDSQHIEVLACNMSSIHEKILIFDSDVNRDGGRATMWSYYYGFLAAFFFIELCFIAFGWWFMARRHSAQSETWAADLGSRGRFTYMELRKATKNFMDELGHGKYGSVYKGILHDKRIIAVKKLKDVTKGEDEFNTEVSVIGRIYHMNLVRVWGVCSERKHRLLVYEYVANGSLAMFLFGNNGLLQWDHRYKIAVGVAKGLAYLHHECLDWIIHCDVKPENILLDEDFEPKIRDFGVAKLLQRDQTDPNMSKVRGTRGYAAPEWAFNIPIKELVMGFRASELGSNVGSDSEAALRQLIWTIKENLKCGDQSWIAGFVGRKLSSNLVHEQVSLMLEVAVMCMEIERSQRPSMDNIIASGKETVLLCTDIIISITVEIKKMVDQGLEILAMAYKEISLILKQITSTDYKSTPINANS >Et_9A_063564.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:7520077:7520448:-1 gene:Et_9A_063564 transcript:Et_9A_063564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVCFTQELEDVASRLLPPEIFDEIGTVGTTAPADTCRGTHAVIEELAMHLNSILGLADMRTEHKPPQANAIPFPSADEQILWSNGEDGIGSDVLIRSNGGMANLVPGFGPDDDLALRSVAV >Et_9A_060989.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:24094211:24094618:1 gene:Et_9A_060989 transcript:Et_9A_060989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEDMATLRRRIREARAAALSEDDDTDTDADDGVPAGWTELERRHHGSYAAGVRGATCLLEVLLLNARPGLGTVVVALLLLGVPASVVFLACAKLIQAVDTISSVVIGR >Et_6A_046876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21875881:21876292:-1 gene:Et_6A_046876 transcript:Et_6A_046876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLASSSTMCWHMYYRIWIVFRPHIRSSDSNTSRTFVTSGRECHLIIPLLFAVHRENVFLNRPDKPECQFYTKTGDCKFGVVCKFHHPKDRTVPVLNCALSSIELPLRPGEPICTFYSRYEMFKYGAK >Et_2A_017613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4173479:4174827:1 gene:Et_2A_017613 transcript:Et_2A_017613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IIFGRKQLSDENTLCIHRISFSAIVFGRKQLSDQNILCIHRISVTFVNKDGSEQTISVPVGMNMLEAAHENDIELEGACEGSLACSTCHVIVMDVNYYNKLEEPTDEENDMLDLAFGLTETSRLGCQVVAKPELDGIRLALPAATRNFAVDGFVPKPH >Et_2B_020824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2394182:2398656:-1 gene:Et_2B_020824 transcript:Et_2B_020824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSSRGRLFEFSTSSCMYKTLERYRSCNQSSEASAPLEAEINNYQEYLKLKTRVEFLQTTQRNLLGEDLGPLSTKELEQLENQIEISLKHIRSAKGQQLLDQLFELKHKEQELQDANKDLRKKIQETGSCENLLPMTLQDVGPSGHANEPSQELRLGVCDPSLHIGYQVYMDHLNNESS >Et_5A_042138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6697452:6699939:1 gene:Et_5A_042138 transcript:Et_5A_042138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRFPTATSPRLPPPPAGNRAAIAATIAAAAAAAAAAAAGLTLTAKSAGRPLPHSGPSAPLWASLSLADGVYANGDDLKQQLKEKYQKFSVSELKENAELIKDALERDIQMTVRLQIVYGRLSIRSVRSAFEKSVGSRLEKFGGQDTKDLLQSFVSLFKDEYKLPKGSVIELSRESNHVLKISIEGEEVGSIQSSLLCRSILDLYMGDDPFDKNAKDNIQENIASILKS >Et_1A_004837.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:11019956:11020138:-1 gene:Et_1A_004837 transcript:Et_1A_004837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSLCQLVSQQRRRTLSQMKPYGRCTSAGASITTRSVTQRKWLSGSTSSRRQHSTCTK >Et_2B_021224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27592456:27596247:-1 gene:Et_2B_021224 transcript:Et_2B_021224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KHAHTKIPNPTPHSTSAISFSATEPRPERTGERDAFCSGPSPISIRAGAAGPTRRGRQRRTNAKVNPPLPHEPFRRSAPWLVPFPPRPAPPPAPAVTSPSRRHATPHPQPSPAAIKPALPPAARSGGRHDAGGEEEGRGMLEAKPPSPGSGGGAAGPGAAAHIHAHRRWAAPLLASVLLSSLLISASLFFSSSRALLLSFSPLPSAASAEPLFVEAKLRQQMRTEERPPRGAVPRIAYLVSGSAGDGNVLRRTLRALYHPANRYVVHLDLEAPAAERAELAAAVRADPVYSKFGNVQVVTRANLVTYRGPTMVANTLHAAAILLREGGDWDWFINLSASDYPLVTQDDLLHVLSELPRQLNFIEHTSDIGWKEYQRAKPVIIDPGLYSLQKSDVFWITEKRSVPTAFKLFTGSAWMMLTHQFIEYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNVPEFRNTTVNHDLHFISWDNPPKQHPHYLILDDYDSMVNSNAPFARKFGREDPVLDKIDQELLGRQPDGFVPGGWTDLLNTTVKGRPFTVERVQDLRPGPGAERLKKLITGLVTQEGFDDKHCL >Et_4A_035004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8756700:8759113:-1 gene:Et_4A_035004 transcript:Et_4A_035004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASALASSAKEVLPPALGSTSEPPSVFDGTTRLYICYFCPFAQRAWVTRNFKGLQDKIKLVAIDLQDKPAWYKEKIYPQGTVPSLEHNNEVKGESLDLIKYIDSNFNGPALLPEDAEKRKFADELIAYADAFTKALYSPLISHADMSEEAVAALDKLEVALTKFNDGPFFLGQFSLADIAFVTILERVQIYYYHLRNYEITKGRPKLQKFIEEMNKIEAYTQTKNDPLSLLDIAKNHLKVRQNGNRNIVQLLALWLLEDTYGKPVFRRLMAPRGFLLPCQLYCKIFQWKNALVLYYE >Et_1A_004726.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:34767446:34768739:1 gene:Et_1A_004726 transcript:Et_1A_004726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NVVLQGSCHGPATGTRRRVACPYRTNAISVPAPPLLPSTPHATPRRATARSEHTSPALASARTFPMASTGDAALGEAEAGSTKKSRRRISDYLGDSDGGEVDASPQLRLPRFTCARFRFVRLGRKRGGQKEVAAAVRSEDASVDNSGWPHVSVLSQILIAMKRSDRSLNSPAIAGTKQAETSATSASTAEAGIGVSMLLLLARTCVELNRMAEVRAQMETLLNEIRDEAGRVKASADHVVGTPGTCCNLQPPSSTTVSSSCTSDTDTNRHATDTEIARARRKGESEAAEDGELEAELETEHAQHRLLEGSNTEHETSEVRFLSACVRDRIWGICFAHVFMLLLGSVVGRRVHRARWRPFWRRRSRVGRGRRRQRRRKIDRLAGAGRRGVRD >Et_8A_056589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1300242:1309104:-1 gene:Et_8A_056589 transcript:Et_8A_056589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRDGLPPAAVELAGTVDQGPATAAGRREPPPLTHDDNRGFLQMLREKKERLGVEATKVEVAFEQLTVEADVRVGRRAVPTLLNCAVNAAQELATSSHMCATRKRPIRIINDVSGIIRPSRMTLLLGAPGSGKTTFLKALAGKLDSSLKLKGKVMYNGEAMNYSTPQYLRAYVSQYDLHHSEMTVRETIDFSSKMLGTSDQFEMLGEAIRRKKGVINKVDQDLDSFIKATSFGEGSNLTTNYIIKILGLFECADTLVGDELRRGISGGQKKRATIGEMLVGLARCFFMDDISTGLDSSTTFEIMKFLQQMTHLMDLTMVISLLQPPPETLELFDDIILLCEGQIVYHGPRENATDFFETMGFKCPSRKNVADFLQEVTSKMDQKQYWASDENKYRYHSIEKFAESFRTSYLPQLVKDKICSPSHIGKNKKIKVNVSRRISRWNIFKACFSREVLLLKRNSPMHIFKIVQITVMALVISTLFLRTNMNHNSVLDANKYMGALFMAVVIVNFNGMTEIAMTIKRLPTFYKQRELLGLPGWALIASVFLISIPISLVETSLWTGLTYYVIGFAPSLIRFIQHFVVLFAMHQMSMGLYRFLAAIGRTQVMANMLGTAALIAIYIFGGFVISKDDLQPWLRWGYWTSPFTYAQNAIALNEFLDSRWATEFHYDNANNVGEAILKIRGQLTEWHWYWICVSILFGYSVVFNILSIFALEFMNSPHKHQVNMKAPKVNLEYHCHMVGKGDVSSDKAILPFRPLSLVFDHINYFVDMPKEMVKNGVTEKKLQLLQDVSGAFTPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTIKIAGYPKKQETFSRISGYCEQSDIHSPNLTVYESLKFSAWLRLPSNVKPHQRDMFIEEVMSLVELTDLRNAMVGIPGATGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIEIFESFDELLLMKRGGQLIYSGSLGPLSSNMIKYFESIPGVPRIKKGQNPAAWMLDISSYTTEYEIGVDYAEVYRNSSLHRENRLLIDELEKPKPNTEDLHFPHGYWQNFTTQCAACLWKQNCAYWKNSEHNVVRFVNTFAVSIMFGIVFWKIGATIPNSDFVCNRKDEQDVFNVLGIVYGSALFLGFMNCSILQPVVAMERVVLYREKAAGMYSTMAYAIAQVSIELPYMFVQVLMFSAIVYPMIGFQLTAAKFMWFVFYMVLSFMYYTLLGMMTVALTPNIEIAMGLSFLIFIFWNVFSGFIIAREMIPVWWRWVYWADPAAWTVYGLMFSQLGDRTELIRVPGFGEQTVREFLEAYLGLQDRYFELVTCLHLAVIALFAFLFFLAIKHLKFQRR >Et_4A_033391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22150273:22187933:1 gene:Et_4A_033391 transcript:Et_4A_033391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSWRDVGQQEEDEDLVQLLLDDALGDVLRHLGPRVLAASRCVRKAWCGIIDGRRLDASAPPSLLPHEVGGIFIKFNNPDSLGFSTRPTTGLKSCDRGETELPEIALADVLRCLAPRDLAASRCVRKAWRDIIDSRNLLLPHRLPLTVGGIFINFNNLDSPEFLARPTTGPKVSGDLRDFQPYEPRWAPSLIQDHCNGLLLLNRHVVNPATGRWDQLPPRPPRLTCKDYFDHDEYLVFDPMASPHYEVVAVPRIMEKKSPRDCGYDSLRDNSFDSAIEKSEWPPSQWIMCVFSSRRGQWEERSFSREGDAMRTVADMRPRLGHGTKEHYAAYWHGDLYVHHDANFVIRICLSDNKYQVIKPPIHINDSMSLGLYLGKSEKGVYCALLVTHEDFGFGLPEVCGRMKWVLKDNTDLDLLLKHRRYDEDVDGPWILQDINYYAELDENDDGKAIAPAEFEWDSDDDNVLEIGDRVHDFYRYYSFLGFHTYKEVVFLCESRRRGLAYHLSSSKVLLFEKSEWPPSPYVLHVFSSKTGKWEQRSYTGQGEGAGTVADVRFNCFLGEKRYAIYLPGELYVHCEADFVMRISLFNNKFQVIKPSEGNEDDPAVAFSVHRLIIENLQINFEFGSSRTAVDKWSGCFSIKPILGQCCHDTSIINQSVDPGCYEKETIAMPEKFEWDSDSDDVLENLDRVDEDLKDISFLGFHPYKEVVFLAESSRRGLAYHWNSSKIQLLGNIYTKEPCYGQLFSPISKTFLYTPCWMKETRDFQQEAKEGSTMEEHGDLLRLLPEDTLADVLRRLAPRDLAASRCVRKALRDIVDDRRLLLPHLLPHKVGGIFIKFHSQKFWELFSRPSAGPVVSGWFDFVPGGTKNVFQPPPLDHCKGLFLFNGKFVFNPATRKYACLPPRPSPVMTKQYFYEDPYLLYDPAVSPHYEVFLMHRMSYKNEPGCTLYRADRDALDPAVEKLEWPPSPYVFHVLSSRTGEWEQRSYIRQGEGAGTVADVRFNSFLYQKRYAIYLPGELYLHCEADFVIKPPKDNEVSLFNGLYLGRSKTGIQCAKVDNSGHPCRLRIWILTDLCSQMEWVLMHQANIGPMLPRHEYNQPVGGSWMLQDINYFEIRHRYYRKKETIAVPEKFEWDSDNDDVLDTRDRVYDVKLSYTHHEMEQQKDLARLLPDDALADILRRLSHRSLAVSRCVCRAWRDVVDGSGLMLRHVPPHSVGGICIDFGGLGSTKFLARPTTGPKVSGSLDFADMGCILKDHCNGLVLFDDLSVANPATRRWAYVPEQPLPRSGRIKYFWHDEYLVFDPTVCLHYEVVLNVPCILFKLLPGQPGYCRFRDKLDLTVEESECPPSPLVLQVFSSRTGQWEVRSFIREGKPAGTIGEIRSAGGFLEKRSGVYWHGVLYVHCGANFVMGISLSDNKYQVIQPPPDVEASPVDGNHGIYLGRSEEGVYCALVDDRDHLCKLRVWILTESNDQMVWAFKHQTSLKPVLGRHKCNEQSAGPWILQDVNSYNHHVKDDTYEALEQQQIEWDSDNENAFDNEDAVNELGYINFLGFHPYKEVVFLGESMRRGMAYHLNSSKFQDLANIYPRHYNHLSTKVSRINGAFPYTPCWIDELPRSTYTVDHQVEDLVLLLPDDAITNVLRRLTPVDLAVSRCVRKAWVEIIDDRRLLLPHLLPHAVGGIFIKFNCLDSWEFLARPMAPPSMSRTIDFLPDHKHAMDHCNGLLLMEDYVLNPATGRSAPLPPRPQLPRMGTKYFYHDQYLIFDPTVSLHHEVLVIPRIKYHTKMPYSGFNEELDTTIEESEWPPSSCNLLVFSSRTGQWEDRLFSRKGKPMGTVADMRLASLLVAKRCAVYWQGEVYVHCEADFVLRICLSSGKYEVTKPPIDITDSKGSGHYYLGRSEHGVYFALVLNSSDRSSRLRVWILKEFCGQLEWVLKHQTNLKHVLARQKFDQKIYRPWIFQDFNYFRYNHEDGDDEEEEEIEDVKFEWDSENDDILETEDARVDEYDKYIVFLGFHPYKEVVFMSEDISRGLTYHLNSSKVQDLGKMIPLNYFEQSGHHGLIRKSFVYTPCWIGEIPINGLSALLPEDVLVNILGRLAAPWDLALSQSFFARPSNTAAGNFGKHDYLPEGSSGSWSTVVHHSNGLLLVEDSVQYGGVCVLSVVNPATGYCARVPPCPPPCTEMNALEEQYLAYDPAISQEYHVFSIPRFLGNRSDKEEVEIVEQSEWPPVTCVFNVFSSSTGQWEKRSFVREEGTARVVTDMQRQCWASEEFVAVWGGALYMRTFTSLTAKKTFTSYKRFSYIYVLIGFYSLCLSNNMYQVIEPPAGIEDSLQFCLGKSEKGIYCASIQQHYRLRVWTLNKSCCPMEWVLNMTRTFFRV >Et_4B_039662.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:25832474:25832764:-1 gene:Et_4B_039662 transcript:Et_4B_039662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLSPLSPWYAFMPSTHLFFADAGAASDGIVATANDDICSLQDINTAAHKLLRIVLTCNDGENEKNANVACTYGYLFLLWCSRARVWPAAVDVA >Et_5B_045234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1376790:1380942:-1 gene:Et_5B_045234 transcript:Et_5B_045234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGLYFTTSNYLVTVTKMSSIMMAGMDLERFWFLDCSMWESRRMMQRKIADKLKLGHKTMEVFDTLDEEDDFNGVDRSSRDEIPSVAASIDQALRLSRAPLDLIGRLMLVSRCAADGASEPSPDEGSNTSRFCEQVDKFVMGRIAALRAQAAKYDKAGQLAGMISLRALMAIRMSLRVTANAHLRVDESSEMSPDTVKQIIRTYVCTFLKAAEDASHRKVDRMVIVSFIDALGVYVCLAAFAFYIVNCDASSIGIFQANN >Et_7A_050282.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:19240337:19243092:-1 gene:Et_7A_050282 transcript:Et_7A_050282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMGCVPDVISYNILLKGLCDDGRSHRALELLHTMVTEGGGSSPTMVAYSTVIHGLFKEGEVAKACDLFHEMMQQGIQPDVVTYNSAIDALCKVRAMVKAEEFFRQMVGKDVLPDTTTYTSLIHGYCTLGKWTDANRVFKEMIKRGVLPNAATWNVFVDSLSRHGRIKEARDIFDSIAMKGQKPGIISYAIMLQGYAREGFFADMTDLFNLMLQNGIVPDLHIFNILIKAYADNGMMDEAMLMFEEMRQLGLMPDEVNYGTVIDALCKLGRLDDAMEKFRQMVDLGVSSNIAIYRCLVQAFCNHGDLVKAKELISDAIKEGFCFDNKFLNSVINRLCKEGRVTEAQDVFDFIISIGQRPDVVTYSSLMDGYCLVGKMEEAMRVFDNMGSAGLEPNDVTYGTPINGYCKIGKIDDGLNLFMEMSLKGVKPTTFTYNTILDGLFQARRAVATKVKFNEMIENGVPVGIDTYSTVLKGLCKNNCIDEAITLIQKLRVMNLKLNIITVNVMISALFKARRIEEAKDLFSTLSANGPVPSVVTYNIMMTNFTKEGLLAEADDICTSMEKTDCAPNSRLLNNVVRVLLKKGEIVRAVNYLSKIDEQKFSLEASTTELLISRFSNRTCQKHRELIPAKFQFLFGADHT >Et_4B_037765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23100482:23106493:-1 gene:Et_4B_037765 transcript:Et_4B_037765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDLLELAWLPGARSSAAPVGVSGARPRSDFRMADLADIGCCSCFSFLRKPSASVHQAQDADGVLSKDLLKHKLTEDPDGSFYTGDDLDGSYFNLDGSFYNGDDLDRSFYNGDDPNRSFYNGDDPDRSFHDRDNTDYFDGTHDGPPRKSSEDIIQSRAQNGFACREILVKETTKVFRSEDESGNKMINQYVHLGKIGSGSYSKVVRYRSMKDGKLYAVKVLNKPYMMKVRVVRSETAMTDVLRENIIHGDIKPDNLLVTSTGNVKIGDFSVSQDDDDMLWRSPGTPVFTAPECCQGLAYHGRASDTWAVGVTLYCMVAGHYPFLGDTLQETYDKIVNDPVQIPDSMNPQLADLLQRLLCKDPGDRMTLQAAAEHPWVAGDRGPVPEYICRCGFGRNKRNDFREEVQ >Et_5B_043339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5125148:5125692:-1 gene:Et_5B_043339 transcript:Et_5B_043339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKRQRKSCAVHGLHNLQEEILCPVHTTLVSKFNQGQYRQKGAHFVIRIVLCSLKIIKKKKKIRCAFFLFHFYFVSFASICLILSFLFSFLSVG >Et_1A_009059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27670268:27674406:1 gene:Et_1A_009059 transcript:Et_1A_009059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQESNDQSSVNELALSAGASGSTKDLLDAAEETIEELLNEAQMWERHSLQLKNDLETLQKECDEKSKAESELLLELSTSQAERESLRQEIEELKLSMEVETAQQTATGISKPGNMIYTQNELKDEVQFLRESNENLTTQLKKTQDANIELVSILQELEETIEAQKVETSYQVSKDALLDQINEEWERNMSLKEDEIVALSQKLDRALNIQNAGGAGSDAIYFELEKENDFLKVKIQELEQDCSELTEENLDLIYKLKEASGVDGQDTCVPDSLEISDADDLSGTSASRVKLLERKCSDLELKMLIFRSESRELEEKFRKSQAELKERNLELSELREKLCSFRTMELEGGEVGTGKHCQLKSEELGDTESELNLLKCRVQIKEKEIEDLQHSRLEMENFIDSHVSTNKVLEQKISELESCKVDLELHISKLENMNIELSDSISGLEVQLTNLNSEKDSRVQQIDDSRALITGLNDKIESQQAEMELQKLELKKKQLEFQKRLSEVQDDAEALRRLNAKLQGTVGSLVEECNSLQTLTTDLKKQKLELHSCATQLEQELEHSKRKITGFCKTVEFLEAKLSSIQKDISSKEQSFLLELDNIFQDHKEHEERINHAHFLLNKIEKEKIAEVENLEREVMSLTAQVSSTHEGQESVTLDTIREASILRADKAKLEANLQGVNEQLRHYEYQLEDIRKESKSKIKSLADSLNASKQNEDILKTDVEHMRRLVEAAKSNEETVRKTSCELELKYKSSDYEKQQIIDENSGLKFQVQKIAGLQVELLKLQSSLDEAKFQKGKLEEQLKFVSEECEELKVQKCVLSDKVSNGKDNLNDINEERRSKTTMQAKNDNGVEKGNNDLATDNGGCSPVNEEQDMQTKIQLLESRLAQALEENSMYKSQLKSSMPEEQPGSTDGKENNDDKIVQLESELKDMQDRLLNMSMQYAEVEAQREELVMELRNKFGHTKQQVETNLAACSVSMSM >Et_2A_018553.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32530069:32530590:-1 gene:Et_2A_018553 transcript:Et_2A_018553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSFFPRPATTEVRVRSAEDPGPQPGTLREVTLERSSASSLPLTALALRRTCSTSASMRARMAFTARASCADLLSSFADWRRLYMPSRSFMSPFTASTSSKNLSSSMFIALSPPADSMSPADGCGLASAYFLNELDENRSFILTPTS >Et_10B_003233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1790124:1794575:-1 gene:Et_10B_003233 transcript:Et_10B_003233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCNEAKPSLSQKKKKPKPSLRGLNPTLAVKSAASRKEQSRASRLRRFSRVSCSGDVGKRSRSTWKEVLRLALLIYNMAAIDGTTKEMEKLHIEETKDMSKVGEVAHSNGADPAGQSPEDDDGVQADGPSQDGVQEAAKKKKKKSKAKKKKDPLQQTDPPSIPVDELFSDFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNSVRRAAEVHRQVRKYMRSIIKPGMLMIDLCETLENMIRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGHIVDCAFTVAFNPMFDPLLQATRDATNTGIKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQVKSVRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGRGFVREDLECSHYMKNFDVGHVPLRVAKAKQLLGTINSNFGTLAFCRRYLDRLGETKYLMALKNLCDVGIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >Et_8A_056043.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1176792:1177250:1 gene:Et_8A_056043 transcript:Et_8A_056043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPMVVQAQSCETELSAVQQSGLGSGAPRTAYARHARRRFSCGTHSPPRCAATPRSIIGPRPPPPITSRTRHAAMSARWTTAAAFAGAIAACRTSSDVLGLWILASLLLLLSLCMGGRVVHAVLFVAVRNGGGRRASHGSPKGSDGAGGGCA >Et_2A_017013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30081528:30086334:-1 gene:Et_2A_017013 transcript:Et_2A_017013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTWRKAYGALKDSTKVGLANFNSEYKDLDIAIVKATNHVECPPKERHLRRILFATSASRPRADVAYTICTLARRLAKTKNWIVALKTLIVIHRLLREGDGTFKEDFLSYSYRGTILQIPQFKDDSSPLAWDCSAWVRTYALYLDERVECFRVLKYDVEADRLLKLPQASGKAHSRTRTLPCEDLLDQLPALQKLLLRLISCQPDGAACTNYLVQYALALVLKESFKIYCSINDGIINLVDMYFDMPKYDAIKALEIYKRAGQQAEKLSAFYNHCKHLELARTFQFPTLRQEYEEQNEPSDNEEVPQETDKPVEEEKQEYVEPNEEPEPVAEPTEQVVEPQPPMTTGDLLNLDEEVNPMIADLEERNALALAIVAPGSENKASTSRDLFALDKAGWELALVTAPSNHTNQSVDNQLAGGFDKLLLDSLYEDEARRQQIASVTYTGKSNRKLEHGNGDEILTVDKVGRARVPAGGRGHGADLVDGKPPPQWRQVHPSAVAKEREDPAGRPRRPGRREVGGPGPERRPVGLSHPRHGAVDSLLHPPGHGGAIRRCGSVRTLRRPNRLRFCILCHAPAGVAVPAAEDPAKLGLRLRPEAARQACRPRECPRHGRRRSSGDGAEMDLRVRATARAGAAPCGGLAKVWEQSRSGR >Et_5A_042518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1823680:1824697:-1 gene:Et_5A_042518 transcript:Et_5A_042518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFRALQRVLPGKSRALSAFARHSSAASDPHQRLAGKVAVITGGASGIGKATAVEFVRNGARVILADVQDDLGHAVAAELGRDAACYVRCDVTDEAQVAAAVDLAVARHGRLDVVFNNAGVSGDLTPSPISALDLADFDKVMAVNARAVVAGVKHAARVMVPRRGGSIICTASTAGLLGGVAMAPYTVSKHALVGLVRAVAGELARSGLRVNAISPHYIPTPLVMGAMATWFPATDADELRRIVEKKMNEMDGQVLEPEDVARAALYLASDESKYVNGHNLVVDGGFTVSKPPNMPTPAC >Et_4B_036895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12427379:12445818:1 gene:Et_4B_036895 transcript:Et_4B_036895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTTMSACAQSMIKELENQVSGSKNGEIEVDISKEFRELSADVISHTAFGSSYKLGKEVFHTQHELVAINMASFLDVQIPGLKYLPTERNRRKWMLEQKLRRSLLQIIQPRLASTSSDYGNDLLGLMLHTSMASKQGSQEGSPSLSIDEIIHECKMFFFAGHDTTALLLTWTVFLLSMTMVLLEALRLYCPALFMQRKPVTDITVGATKLPQGVAVVIPIPIMHRDKEVWGDDADEFNPLRFENGVTKAGKIPHALLAFALGPRSCIGQNFAMLEAKSVLTEILQKFSFTISPNYKHAPTDLFMLRPKFGLPLAVLLALPLALWHLVWRPRAVARSFARQGIRGPAYTFLAGSMPEAKRLVAAGRIGVPPLDAGCHDISPLVLPQFHTWVAQYGKTFLYWIGPIPAILSLDLELIKQVLADRTGLFPKDFMIPVLKILFGNGVILINGDEWKRHRKVVLPAFNHDKLKSMTVVTAEVTEQVIQRWHNQIWQSDHDQTAEIDVNLAFNDLTEEIIGRVAFGTSQNHPDAREVVLAMREMQKIGTRAMMDPPILWYLPTRRNMRVKSLDKLLRTKIMSIMQARVDAKSSGRGGYGDDLVGLLLEAWSPEQQGKGETLTTQEVIDEWKTFFAAGQETTATLLVWAMFLLSVHPEWQDKVREEVLRECGNSGEDGEASNVVEALGKLELLHMVLLETSRLYPPIVYIQRRAASDVRLKDISVPKGTVISIPIGMLHRNKEVWGPDADEFNPMRFQNGISRAAKDPKALLSFSQGPRACTGQSFGLMEAQVVMALILSKFSFSLSPKYVHKPKYLISLTPKLGVLLALLVIAVLWRLAWRPRAVARSFARQGITGPSYAFLTGSFLELKRLAAAARIGVPPLDAGSNDFMPLVLPAFHRWTNDHDATYVLIPSSGRTFLYWIGPTPAICSTDLQLIKQVLADRTGLFQKDFMIPVLKFLLGNGLILINGEDWKRHRKVIRPAFSHEKLKSMSAVAKEVAEQTTQRWREQILQSGDKQAAEIDVDCAVFELSKEIICRVAFGTDHREADDVTFLLRELQKHASAAMLDPPILCLSPSRAHFPQITRLTSHLPTRRNRQVRHVDKLLSTKIMEMMQARVAAKNDKCGYGDDLLGMVLEAWSPEGRGSDVTLTTQEVISEFKTFFGAGLETTATLLVWTMYLLSTHPQWQQKVREEVLREFADGGEVVNPDNLSKLKLLHMVLLETLRLYPPIVYIQRTAASDAMLGSIQVPQGTVISIPIGMLHRDKQVWGPDADEFNPIRFENGISKAAKDPNAMLSFSLGPRACIGQSSSIIEAQIIMAIILRKFTFSLSPKYVHKPKYLLSLAPKCGMPLVFRNLD >Et_6A_047346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4236710:4237894:-1 gene:Et_6A_047346 transcript:Et_6A_047346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRRLVPGGLDGLNNLFRCRRSISRRSIHLVPDAPATAIPNKDLSWVLLNCNNVRSDDRPVTDDKTAAECRTSTGRLVRVRFDVAPPPASSYLHYHCARTKPQAKPATFVSSRPTATTSSSGWHLRMYCDHLLYKAGAAAAAARPPPLSLLPARDIPKKYERGTTNEPYLDPGCRVLIDDDTGLLRRGEDGELLVVQLQVRDDLELSDEEGVTADLCTLHLGTRKWELKHSIPILHQEGEDGGETARSASWSFLADKVINVGDRFMCWADCYKGVLVCDMDEASPKVRHVPMPILPPDPTTCPPLGHSKAIGAAGANALRFVRIESRCCCAGHGRSSCPRSRYAFTVTTWTLALKTDEPMTWVKESVLDCEEIWALPG >Et_1B_014012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27376009:27378376:-1 gene:Et_1B_014012 transcript:Et_1B_014012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGRRKRIKVCAVDQQDHISSLPDDLFLSILTKLRSTSAAVRTSVLSRRWRRVWAALPELLLKDVSATESVDAALDACSAPTVSRLEIAVSPPRYESLDAARVASWLRFASQRLAGELSLYVPRINGELVLPPCERLTTIKFSYRFRGTTLRLPSHGSFAALTAARIVGARMEAGDLETFGSARCPCLRTLDLRGVILATASDVSIRSDTLERLSFLVRNVWKLEAITPSLRYLRGLAPSGAAVDLVHHPGQAHILAPKLVEVEWYGAYHPRHHQFVQAGRHLRKLFILQQQVVQLMRRFDIVDHLVLSLRISNGIHGYKSFLQLITCKLATCDTMEVHMNARQHAFAPSLLHILRQSSGIRKLVVELPRVTLEMVIKGDPCMSDCPCSSPESYMMDDFKLGSLEEVVLIVFINKRGSCNSKCHVLSGRWCLFSTLDSVDATLAACSAATINRLAVTAPLLFPNDLTTARVAPWDLFASAS >Et_5B_045273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16064958:16068742:1 gene:Et_5B_045273 transcript:Et_5B_045273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVVIRKSSPVVVRPSTPVPTPGDVKLSFFDKNVVDMPAIFIFVFDHPIPKPAETIKSALSQALVHYYPLAGRLDLGADDGKPFIRCSGEGVVFVAATADCALKDMELLDRPLRSTTPLDELAVDYPGERCSRGEPLMILQVTEFACGGFIIGLTRNHVVSDAAGMAQFLQAVGELARGLPAPTIVPVRYDESFPGIPPVVAAPKRTLTEAPEHLSFLDVIIPSSFIDKIKANFSKMYSDCKPCTVFEVVAAVLWQCRTRAIMSDTEAPTMLFFTGSARKLVGAKRGYYGNCVTSASLTETSGAVANRELMDIVKMIKQAKEQMVVKMPSSEDKGGGGSHDDIRRNMYNNLGLSSQRHLGLDEVDFGGGTPARVMNRVPHIGLPICAVCLPWKGKDGANVLTNCVKEEHTIDNPASAERS >Et_10A_001204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22128655:22131424:1 gene:Et_10A_001204 transcript:Et_10A_001204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASALPLLLVPRPVSARVTAATFQSRGLALPRQATTFSVPAATHRRKWGRLQQVHAASCYSSSAASASTAGSGARLLAWYLMALDKDPVMTKAVTSAVLTLAGDLICQLAIDRAPKLDLKRTFVFTFLGLVLVGPTLHVWYLYLSKLVTISGAPGAIARLLLDQFIFSPIFIGVFMSLLVTLEGKPSLVVPKLKQEWLSSVMANWQLWIPFQFLNFYFVPQKLQVLAANFVALAWNVSANSTVGEESSVKLAE >Et_1A_008505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8193979:8195317:-1 gene:Et_1A_008505 transcript:Et_1A_008505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHLLSHVASDLCIGRPRVLTLPSSTPVAAALAALRAGADPFVFVDAEPASRAKRTAPATYVKVSVADILCYVCGDASNLRDPAAALARPVAAVGGGHGGVARRVDPKTSLLDAIDALLTDGCQGLLVPLHARARKRSQNHHVLPSSSDAAANDCCVLTREDIVRHLFGSISHFSPVAALTVASLGLVRRDAHAVRVDADGLDAVPLLQKAVSDGTAVAVVDDDDALVGEICPGVLASCDVELVSAAFAALSAGDVMAYIDCSLSHAPPEFLVRSVRAQLRDRGLDAMADLMDAWDAASDQPLSPSSSSTSSDEDSPLGRARRPRRMSSGSFGWRSTEDVVACHSESSLVAVMAQALAHRVGYVWVVDEASGALVGVVRFADVLAVLREHIRPQVMCR >Et_3B_027490.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21874456:21875416:1 gene:Et_3B_027490 transcript:Et_3B_027490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFASCTVARASGRGSGASVVLPDGRLRQVPLPATCAELMLEAPGHFLADARALRPGRRIEALAADEELQRGVLYAALPMKRLGGPAAPADVARLAAAVVASGEKARSGSRSRRRPASSAAATAKVAAVVAPPEILGSCAAVAVEADAASSKPRAAPRLDEMDVDDAAAAAEIEELKQRLSGAGRRSRRPTLETIQEESYAFVAAA >Et_1A_007752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37906252:37914972:-1 gene:Et_1A_007752 transcript:Et_1A_007752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYFYGPSGAVSPADASGDAAAGSYRVCDTVVLVCLACASSVIILTVAICFRRAFADGYAAAAAVGASGAVAGRRGGLASSALEVLPKLAYRRVAGAGLAQCSICIAVVRDGETVRQLPACGHLFHVDCIDLWLRSQATCPLCRRDVVGEAPPTEKGGYSTHDTLILLGIGFCATSVSIAIIVLCECLCCRCRRRGAGGTVVYVAARPFFVHDGAAATLDGGGGGAGLSPAAVAALPSLVYHRGLAAGSGGAGAGDGSSRGGWAQCAVCLSLVQEGEVVRRLPACMHLFHVCCIDMWLRSHSTWPRWSLPKRRLRRSRRLRDDDGDGYGVFGAPAMDGCVTIVMFFVLAASVVIWEACAFAAMAALLVGAIWCIVPKRRARTEAPAAAVASSVVGDGLTDAFIEGALPASPHERRRVDDPAGGVTCSVCLEDVRGGEMVRSLPECRHLFHVRCIDVWLHLHATCPLCRSDLSPRRRVAAAPLPPQVPHV >Et_9A_063131.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:11154784:11155179:1 gene:Et_9A_063131 transcript:Et_9A_063131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEELFDWWERCKRGLHKKLAKGVDTHPAGGMAYLEGAERPCVFNDESTSVQQLTTLVREDIHLLIAAGTRNLASLQARAHSA >Et_5A_040213.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:23358327:23360417:1 gene:Et_5A_040213 transcript:Et_5A_040213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTAAASATKAAASSAHPTWATPTSTAGRLHFPSPCTAADMTNPRKLPNGQSPCWPQSPLPRGLHPGDPLRPNGCAAVRSMANRPPLDAGTLDSATHTPTPIPVGSGTRSSNRYPRQSPAPAPPARSRQHSRRPHGFHVPSPGRRMSQAPGLERLTRSDPGDATKGVTMEASATGEPDRSCTVTDQPFRSLPARSRSEPSLASHARAPTPVVLVVRRPPGSECTERERRSLALRQENLHVNMGCRIAPRTCSTCGLHSGSPSNSNTNRGSGSARTVRFSCACPPEFEVEEPAGDGDLRPDRRLPMATQPLWKTTGLVSAPLRSSGTTTFPMSRPELTPPQVAPRRPA >Et_4B_036059.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:18440519:18440887:-1 gene:Et_4B_036059 transcript:Et_4B_036059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ESEANVREIFDKARLSVPCVLFFDELDFIATQRGGDAGGATDRVLNQLLTEMDDMNAKKTGRTSSTWRCSVPAASTISSTSPCRTKLRVCRSSKRAEVSCRRKRRSRRAREVHGWLQWRGHH >Et_3A_025072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26682675:26683328:-1 gene:Et_3A_025072 transcript:Et_3A_025072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAPVARRMGDWSISFHWNAENLVGRLGIVVHAAFLYTGFRPHGTPAATRWSLPSRYSLPQPARRDGGGYGTVVVTRLSGRRRRYVATLQTHSVASSNSCRRRYRERQTHFLDADLAAALSGDLDETSRELRTPGSAARRLWQLLADELCQGYFLHVSRTNGVPVTRFASLPGCSRQGTSLAWNASARR >Et_4B_040095.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9451792:9452763:1 gene:Et_4B_040095 transcript:Et_4B_040095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAALVQKITGLHAAIAKLPSLSPSPEVNALFTDLVMTCVPASPVDVTKLDTETQKMREELIRLCSDAEGKLEAHYSDLLAGFDNPLDHLGRFPYFSNYINLSKLEYELLVRYIPGLAPSRVAFVGSGPLPFSSLVLAARHLPNTLFDNYDMCGPANERARKLFRADKDLGSRMSFHTADVATLTDVLGEYDVVFLAALVGMAAEEKAKVVAHLGRHMADGAALVVRSAHGARGFLYPIVDPEDIRRGGFDVLAVYHPEGEVINSVIIARKVDARATGLQNGHAHAQGAVPMASKPDECCKMEANVRQKMEEMSAAEEQLAF >Et_1B_012715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34609165:34611701:-1 gene:Et_1B_012715 transcript:Et_1B_012715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLSSLRLRFAPDAAGAPTTPPRPAVILPGLGNNTADYARLAAALRDDHGLPAAVVARVSRPDWLRNAAGLVDGNYWRGTLRPRPVLDWYLKRVEEAVAEAKELGAPDGKISLIGHSAGGWLARVYMEEFGASDISLLLTLGTPHLPPPKDVPGVIDQTRGLLDYVEKNCAPAVYTRELRYVCIAGRYIQGAPLLGNSAVASDEILAVDTPSGGGEAVIISNNDKSTPSRATMRARFVGQGYKQVCGRADVWGDGVVPEMSAHLDGALNISFDGVYHSPVGSDDEQRPWYGSPAILKQWVQHLLS >Et_1A_007131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31137025:31142760:1 gene:Et_1A_007131 transcript:Et_1A_007131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRRRATSARRAAAPSPTWRLPSDILLEVAAQTDTATLIRCAAACKTLRREILRPDFIRRVCNEGDGIVPPKLTLGFLAESTFHLLHPATPATLSLAADHLAPFLSRTAAGLLGQYRPLTSRGGLVLLERRCVNMRRWSERRSDMCVYDPMTNSRAFFPFPSEYVLLTAADDGVGCSFFLVAADIMSCSNERVPLRVQTLSSADDGGKWGPVANVYNPARPGRAFPCSRHRCRRGHPLAVGVKQPHPNLQHQHGDGRFGPAPRGYQLLCVRVLHRVVSGRETVLVHHGRVQIIHLVSITSWWVEAARGSRHEGYFAFTDDPEGVGRPRHRVRGLWRSKERGAVLLRLSGPGGWNELLVLDMETMKTRWVGHTSGLPFEVNLTSPMPPRKRRRRGSAVSSASTTCRFPSDILLEITARSDAATITRFAAACKSLRREILNPDFISRVCSDPDDGGVVPGRPLLGFIDDESTFRLVHPTTPAAVSLAEHHLAPFVSRGVAGLLEQYRPLTSRGGLVLPERRHINTRRRSERRSDMCVYDPMTNARAFLPMPAAVRGGAYDYSGKPRHHGPLDHLHNHVLLTPADGIGCSFRVIAVDIVTCVRESLPFRVQTVSSDDAGKWSPVVYVGNPAPPGSMPVERDNGGVFIDGVVHWLMMFSHHVLTYKLETSTAGMIRLPVDRLPAGWRPMDSCLGSSPSGKLRLPTMDGLSVSIWLLSPSPGGWTRHAQVDIHPALCSLSNRTECSGHHWIELESSGDQRSGAVLMRLRTPPFFHQELLVLDTETMETSKIEKVSGLPFEVNLSSRLSAMKNFC >Et_2A_014500.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:17003798:17005728:-1 gene:Et_2A_014500 transcript:Et_2A_014500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDTVSASTSIIAPHLFDQRTRPAHLRRTLHVVACRPLATGFAGRRLVARVTKQLPPRLADWPVKALAMGVTKEASPRREYRGIPGDGGDMEDIEVTDPAPSWPPRNRADDPKLQNPLLRLERMGCGWLGVIFEWEGVIVEDDAELERQAWLTLAQEEGKSPPPAFVLKRIEGMKNEQAVSEVLCWSRDPSELRRLASRKEEIHCSLRGGTFYQMRNGSREFMSTLANYKIPLAVATTRPRKVIEEAIEAVGVRSFFDAVVSAEDVYRGKPDPEMFLYAAQLLSFIPERCIVFGNSNSTVEAAHDARMKCVAVASKHKIYELSAADLVVKQLDELSVVDLKNLADIESPEFGMEPELEMEEEETSPRSSAVGVDDLF >Et_2A_015600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15894167:15898880:1 gene:Et_2A_015600 transcript:Et_2A_015600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFGGDGLRPFPTGPEEAVTEEAVPEECGAEEAVPKESGAEEAVLEDSEREEAVGEESVAEEPRAEEVVPVEAGAEEEVSEEAAAFVSGAFILVDAGIAVAELCPCPVNHGGRRLLFVVRLVTLAVAPQSNHLDGQNRIYAFIKRIHTETSLGCDDDGWPTADIKWWQLNGEGEPSYKKLEYGPPAYLDLMEQIFAGVGVDGSGAYAPGQHMDMDMDEDEDEDVEDQDEEDADEYEIHEVTPTSKGSQKRASSTSTTGSSPSKKNKSLTIIQNMFQKNTEQTEEQTKLFKEVQAFRMATKNTAMDALIERQKAQLDREKAQLEKEKAEEDTAKAERKLAQQMALEDGVLETSAEYYALSYICKDKESRDFFRNMTTTEGRVAFLTRWCRDHNINRVLNKTAIVHLQPVDILIDICPDEFAVRTLSEVLQAQGDAVGPADDKVFAMVAENLLDPLMPCFVVAATTAASSSFFIAALVSASFVFPMVAAASMFFIAARSRFFVAMMVVDARVQV >Et_7A_050814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11229606:11231705:1 gene:Et_7A_050814 transcript:Et_7A_050814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DIMARRGHIDGLSAHAPRLMRHDPYGATNLSSRPLDSSAMLEMLENKLAMQTAEVEKLIRENQRLASSHVVLRQDIVETENEMQRIRTHLGEVQTETDMQIRELLERIGLMEADIQSGDAVKKELHQVHMEAKRLITERQMLNLEIENVTKELKKLSASADNKSFPELLAELDALRKEHHNLRSQFEYEKNTNVKQVEQMRAIEMNLITMTKEAEKLRADVSNAEKRAQAAAAGAQVTASQAGTAQATGVPGAPNPYANAYAYHPSVYQQGAQAGAFQQGAQAGAYQQGAQPGAYAYSSYDAATAYQMHAAHANAYAGYSGYPVAGYAQGAAPSYPASYAAPPQPMTSGAATDVANLYCATGSTGYPAGMVQVSSAPAANAGPAPPPQAPPPPPPTTPYPGTYDPTRGARR >Et_8A_057835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8032002:8036002:1 gene:Et_8A_057835 transcript:Et_8A_057835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHNLVFDESRQCLIFANQQLEGERTLADYNICNDSVLLLVLYPSPGGRTQIYVKTHSLKVQSSDTVDSIKVKIYEMESTRPAHQHIIFAGKQLQDGRTLADYNIETDSTLHMMLCLCGC >Et_5A_040722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11591269:11595157:1 gene:Et_5A_040722 transcript:Et_5A_040722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIASEFTKQSISSLVHLATNEIANVLCVKNEISRLTRKLQSMEAIISDAEKTVKQYETTKDWLKKLKEVTYEAENIIDRCTIEKERLQTSQLQECNPSSVFKCCRDVGIDYKIASDIRELNQKLDDIELESAILHLKPLNPMSEDQTKLDLDVGPDLEPDIMGREVENDSDSLIELLTREDIPNRPLFAIIGTIGVGKTTLARKVYHKAAAMFETRVWVHFSKDLRHLAMWSGDRFSEGETAGQQVQLRGWLQGNKFLLVIDDVRKNVWDRLLEIQAQHGKPGSRVLLTTRDERVARRMGAVHLHRVKGLNEDDGWWLLRTRAFLDESTGDMQDIGRQIVQKCNGLPMAIRAIGCLLRNVEPKEDDWERVYCSDFCGISSRIRNSINTSYLELPYYMKRCFLYCSLYPEGSVIDRQRITQQWIAEGFIMPQQNMTQQEDEAGNCYEELIGRGLLLQENDAFGAEGSKMPHLFRSFALLQSQDENFTGNPQDIGDMLKPYRISITGGGVETIRNGIKKLRSLRTIILSGSLLNNRALGDIFQKFMHLRVLDLQDTQIEFVTGSLGRMTHLRYLSFANTQVREIPAAIENLRMLQFLILKNCSRLSALPESVGRLANLKTLDISGAGLNQVKFRFSFMRELKCLQGFLVREGGAENQNGWPFLELSSLSQLTSLRILRLEKTLTLEDARQSALQSKRHLKELELCCGTDDGATEISRARNIKDVYEALKPGPSVISVKLENYHGHGFPSWLASSHLRELQRLTLDGCLHCQCLPSLGQMKYLKFLVISGFSMSSCIGPEIRGTPDNGVAFPRLEQFHISKMCNLKSLSGLEEGDMPLLMNFSIVECPKLDSLPNCLKHCMALTNLHIEHADSLETIDNIPSLKELEIRENSKLKMISNLRRLEDLKVVNCLLLDVVQDVPSLRTVLLNERSSAEFPQWLQPEKPFMLRRLEIVGPEVLLDSCSSATAPYWSVIQNADHVYANLPDGSFYFSYSKSSGNIQRSARSLAQCSLHSTPSFTMPIALQVEDAVSKDEDVSSKEQIGQSTSRPWMRTDLLFTVLLFVAAHIFFLSTEY >Et_3A_027165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3740496:3742056:1 gene:Et_3A_027165 transcript:Et_3A_027165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFQVQLPDDLLRLPPQQPSSLLRASLVCEHWRGLATDPRFLTRLRGPLVLGAYHNHHTPAIGDFRPARPQASSLPALLHDCRRGLALLTVFNATTSLLVWDPVSRDKRLVPQPPLYGPYANGFAMVLLLGRDDDHASRSGFFRIAAAYVGDDGVAVATVYSSGAGAWGRHVTAKVPAAFGSSSTIRRHKPGAAVGGDAAVYWLLNDGRVLSLDLRAAGGHPVLTVLQPRNATRVLEQNEHDVPGRLIIGLMGHILRKGKRPIRQGKTLPDVRGGDADAVVVTAGEKHQSEAVGVAAAGARAV >Et_6B_048510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9980769:9994126:1 gene:Et_6B_048510 transcript:Et_6B_048510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVSSNSRSTALSNPPHLGQRFLVFCQLDGPADYLFVVLPTRLSSMLEVTSGTARDEKNALLNGRRGQNMDLITLLLARFHELTLGVEDGLSELQRRMREIQCFLFDAEKRRIEEAAVDNLVHDLKDAMYDADDIIDLAKFEGSKILMDNQSSSSSRNTTHPSGTLLLKDKTFCTLKNVTSLGKSSETNYRKSSHVVQPILVGNEITYATARLVDMLLVNKEKQEYRIAIVGIAGIGKTTLAQKVYNHQRMISSFSKRAWICVSQKYSEVNLLKELLQCINVHGVQGEAVGELQIRFSVLKNESFFLVLDDIWPSDVWTNLSLTPLLDTMRGTILVTTRDEMVVQKIGVEHIHRVMLLSINEGWELLWKNMKIKKEKELENLRGVGFEIIKKCGCLPLAIKEKTETLWREFLTDNAWSMSRLPAELRGALYLSYDELPQHLKQCFVYCALFPEDHIIRRDDLIRLWDAEGFVQEQESQILEDIAEAYYYELIYRNLLDTHPLYMDHSRCRMRDLLRHLAWEIQKC >Et_3B_029781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27930448:27934709:1 gene:Et_3B_029781 transcript:Et_3B_029781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGELVVKSRPTTSLRPRRPTTVSWLQVLATWPPLWPDTFASLGPVASDFQKLPAVSWPRMPASIQRPGACHVSDPATSASDVAPLPPKKLIRPNLAAHSLTLGKQVAAASHFAAPQPTPCSLRSHPRPPAIEAETIAEKSAVDSERPEVLEAMNGRGGGGVVVVGGGEEEKEEDGGGGAGCAGGTGNKERVVLMWGYLPGVSPQRSPLLGPVPVRLPPAAAAAGGDGWRDVCGGGCGFAMAISESGKLLTWGSADDMGQSYVTSGKHEETPEAFPLPSGVAIVRADAGWAHCVAITDEGDVYTWGWKECVPTGRVVTDHSSVGTLEKDERQSAMGIDQVSPRSQVSRTSSGAASGPPESRGTEDSTKRRKLSSSKHGPESSTSSDESLSAPPCVVTFNTGVKIVAVAAGGRHTLALSVYGKDRPSAMKGNKTAEGHINKAMGNCVKAIACGGRHSAVVTDSGALLTFGWGLYGQCGQGNTDDVLSPTCVSSILGVKMQDVAAGLWHTVCTSIDGDVYSFGGNQFGQLGTGSDQAETVPKLVDATSLENKNARAVSCGARHSAIITDEGEVFCWGWNKYGQLGLGDSVDRNIPCSVPVETYQPLNVSCGWWHTLVLAESPT >Et_3A_023690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1122546:1125310:1 gene:Et_3A_023690 transcript:Et_3A_023690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPAVVCAAAVVVVALLAACTAAAAVSITRKQHQRGAASAARSCDVFAAGRWVEDASYPLYDAARCPYIRDEFNCGQFDRPDKNYLKYRWRPDPPCALPRFDGVALLRMWSGKKVMFVGDSLALNQYESLLCMLHAAAPNARTTLSPASGKIDPSSTVRFEDYNVTVVYYLTHYLVDLVNDGRSGRVLKLDSIDQARNWLGADVLVFDSWHWWPRSGPTQPWDYIQAGNTVMKDMDRTQAFTRALHTWARWVDANLVQTNTKVFFQGISPSHYKGQEWGASAKTSCMGQTEPLNGTAAYPGGPIPQQTILRSVLAGMAKPVYLLDFTYLSQLRKDAHPTRYNGGIFGEDCTHWCIAGLPDTWNVLFYAALTGQD >Et_2A_016264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22753739:22756337:-1 gene:Et_2A_016264 transcript:Et_2A_016264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSQSLSAAAALAATAVVVLSCLLYKRKCGRLAARVRELEASLAAATDKAAAERRGRVRAQQSLRKALSEQEPRPGEGMPAKAPALTSYPMAPIGTVHSCFSTRNGTPRQPLVVPLARATVALDPARVPVGALEGLASYSHCWILYVFHLNTDLDKMWKDPARSKLKAKVRVPRLKGGKMGVLATRSPHRPNPIGLSVAKVEAVDGHSILLSGVDLVDGTPVVDIKPYLPYSDGVNDATVPDWLEVDGALAVESIRCTENFISALRNCWMHIKNQSLYASADEFQNLVKEVLSWDIRSLSQRIRPHQVTMEREENTHCGEEADKNSRDEASFNVVYHLHLEGINVSYRIDQNSNIVVEDAALLPGVVD >Et_4B_038424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28968483:28973117:1 gene:Et_4B_038424 transcript:Et_4B_038424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGEPGPKPLNHQNGQVCQICGDDVGLTPDGEPFVACNECAFPICRDCYDARHASSASKARCARVPGDEEEEDVDDLENEFNWKDKHDSQYVAESMLHAHMSYGRGTDFDGVLQPFQPIPNVPLLTNGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERMHQMRNDGGGNDDGDDADLPLMDEARQPLSRKVPLPSSLINPYRMIIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQPSQLAPIDFFVSTVDPLKEPPLVTANTVLSILSVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRYSLEPRAPEWYFQQKIDYLKDKVAPNFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCICCCCFGNRKTKKKTKTTKPKMEKLKRLFKRKENQSPAYALGEIDETAPGAENEKASIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKDIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRAAFKGSAPLNLSDRLHQVLRWALGSIEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPWTSIPLLFYCTLPAICLLTGKFITPELTNVASLWFMALFICIFTTGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGVDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGISNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKSDGPLLEECGLDCN >Et_2A_018567.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3371031:3372782:-1 gene:Et_2A_018567 transcript:Et_2A_018567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNHQSASRHGTPPLFDEVRWVVQIRSSLQEDAAAGDEDDDNGIPVSVFNVPKQLQVHKPEAYTPQLIALGPYHHWRPELYEMERYKLAAARRAQKRLRDGAKLDALVQRFARLERKVRAYYHRYLDFSGETLAWMMVVDGAFLLEFLQIYDVADGEGGGGRALKRVSSRMAHLVDFAGRKSAHNLILRDMLMLENQVPLFLLRKILEPQCASAEEAGAMLQRMVTGLMKELCPFKMMDNFPAVDVAKHVHLLEMLYYLLVPKPDVDDSAAAEADGAHVDGYDIEEQPVDGDGPEEQKPTAGCEYVKDLVVAVWGIVSGLNTGPMRYVTKPITFAVKAPWKMLTVVPGLSAMKNPVESFFASGADGGSTNNPCDPSKAGYLTRPPLIEEIMVPSVSDLASVGVKFAPTTGDLSSIAFDAKTVTFHLPTVTLDSNTEIVLRNLVAYEASAASGPLVLARYTELMNGIIDTDEDVAVLRQRGVVLNRMKSDGEAAKLWNGMTRSVRLTKVAFVDRAVEEVNRYYNGRWRVKMKRFMRKYIFSSWQLLTFLAAIMMLLLTTLQAFCSVYTCSRWFGTVTVPTAE >Et_9B_064443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15255987:15257040:1 gene:Et_9B_064443 transcript:Et_9B_064443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRASARTAPSCSLCSPRPSPRHRRRAELRVRRRVVCPSAYDIVQRVIQDARVTDPRIPASLIRIHFHDCFVNVSTIASALPLTGIMVATARFCLTMSSRRSRARRTSQQSPGARRDAERSAVEQQAVRELTARADLNVRRRGVRAGHVAGSNMCRMSYSGAKYPAGQQGAPTITESQQEVRRLRAPFLVWD >Et_3A_024762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2473342:2475949:1 gene:Et_3A_024762 transcript:Et_3A_024762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVAIYLIPLHFSFAFLFPLQRFLQCQLKNNVVAVTSAAALCFHVAITWLFFAKFQFGLAGVAMALSISWWVTALMLFAYVACGGCPDTWHGFSVEAFAGLWEFVKLSAASGVMLCLEHWYYRILIVLTGNLKDAAVAVDALTICMLINGCEMMIPLAFFTGTGVRVANELGAGNGNRARFAAIVSSTTSLLIGIFFCALTIVLHDKIALIFTTSAAVLNAFDKLYVLLAFTVLLNSIQPVLSGVAVGSAWQSKVAYINIGCYYLVGLPMGDLGWHDRWDGHPTLILAIITVHCDWENEVSELAMIASTRMHKLSQRPWADTCLLTAHLVIPQRELPTLLGPVTAGIWPSSPARVMLLRPIVDAPHLQLLSSLTSAAAAASSSSFSLPELRCACSSSLASIAVAGRSSSLFPAQASHLALFFYSLASLASIARSSSA >Et_3B_030300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32086542:32090591:1 gene:Et_3B_030300 transcript:Et_3B_030300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSASQQPRRPPRRAPPPPAANGKPPTSSSKPTSPVHDASSVERTVKKLRLTKPLTLPEATAVSEACRRMAARRVDAALLTDTNGMLSGIVTAEDIAGRVIAEGLKPEETGVVKVMTRNPVFVMSNTSAIEALQKMVQGKFRHLPIVEHGEVIAMLDIAKFLYDAISRMEKAAEQGSLIAAAMEGVERQWGNDSPGPHEFMESLREQMFKPSLSTIITENSSVPVVSPSDPVTLAAKKMREHRVNSVVVMTGTMLLGIITSKDLVLRVLAQNLSPEITPVEKAMTVNPDCATLDTSILEALHSMQDGKFLHIPVEGGSGATDVANTMIQKFWDSALSVQPAEDFDALMNLVWWHQTILTGIMYSLPILTVHSVIKLKIKEDVYTDSAVYDDDEGDRVLLTNDSDLTAAVQHAKSAGWKVLRLHKDDSDTRRESTVSLVDPSPAQRWRPSLRFGIAAGAAALAGVGVVIYLKRSQL >Et_6B_049722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9411984:9412500:-1 gene:Et_6B_049722 transcript:Et_6B_049722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPPNVSYLERVEASNLSDFATLLLDRESPQHDSVRLSRWTTSTRSVKTWPSRSLLSAPSTSCGRWQKHAGARLYHLRELQESLCNKPREFFVLIWGLADSKHAFLWVVRSSLIHGNESGELPSELQRGEKPSHNMNNCWEKGSTLQRYVEIIGGRRCCAT >Et_4B_039962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6878614:6879734:-1 gene:Et_4B_039962 transcript:Et_4B_039962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSIACTVLLVLVLAASAQALLPAPPPPQGSCPAGFKDTFDLTNFAKQTGRYAILFAAPDALSTIRTIVGAIPHTGLILCVCYKSTDDVNLPYEFRAPLECKILKTHEWEKCKVEVVYYLQSYRNS >Et_3B_030139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30864169:30873596:-1 gene:Et_3B_030139 transcript:Et_3B_030139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGRVELRRIEDKASRQVRFSKRRSGLFKKAFELALLCDAEVALLVFSPAGKLYEYSSTSIESTYDRYQQFAGAGRNVNDAGRSNDNQDEASDLQSRLREIATWSAQKNAEETDASELEKLERLLTNALRDTKTKKRFYGRSFGAMVKLLGISVQELSHSAEPACSADYLRSSVLDVHADGPF >Et_4B_036804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11630985:11635217:-1 gene:Et_4B_036804 transcript:Et_4B_036804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAFLVIRIVRVLTRVVSSSFEDGGDALPLHTTLITIAKETSPASSLPPPCNQLRVLGTRDGRDGRWPHNGNRGGSCRIRRLELENFKSYKGTQMVGPFSNFTAIMGSNGAGKSNLMDAISFVLGVRSTHLRGERLRDLIYALDDRDKEAEGRTASVRLVLETGPAGEELHLARTITGDGRSAYSIDGRVVGWEEYDARLRSLGILVKARNFLVFQGDVESIASKSPRELTALLEKISGSDELRREYDDLEGKKSRAEAALALAYQEKRTVVMERRKKKLQKIEAEKHQELQQTLMQLKTEHSLWQLYTIEKDREKLEAELAEIRQSLQKESAGHELTLKRKEQSEYLKQLTLREMDLGKRNLEHGEKQSELVKLTQQISRLDLKIKSLEKDIGKKKDDNKKHLAEMERLESDLDDITGQVEGLSAQCNDDRGKLKFADSQLQEYHRVKDDAGMKTAKLRDEKEVIEKQLNADVAAKRNLEEDMQQLLSHRDGLLSQETELLTGLQTVVQSITRHDGELAGFRDERDRIAKERQSSRSRYQELKKAMDEIDADLRELKADKHESERDIRLKETVISLKKLFPGVHGRVHELCSLSQRKYELAVTVAMGKFMDAVVVEDENTGNECIKYLKEQRLPPQTFIPLRSVRVTPIIERLRTCTAGF >Et_7A_050397.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:12736034:12737029:1 gene:Et_7A_050397 transcript:Et_7A_050397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLVSCFSEHAVRISDVACSGGANAAAEAGGPGRAATVSAVTTVYRSRLAASGKDLLIDVTWSRSPDGPALAVAVHEPASSRHRGSPAATRHLHKKKGSGTFTAGSCVVGVFWDFAAARYHGGGAGPEPASGFYVAVVADAEFVLLLGDLSRGYVERLHGGIPIAGSRVARRRERFVGCGGRWSTRARFSESGAEHEIGVALDGGDAEGWVTVDGRKVVQLRRLRWNFRGSHTIFVDGGAPVDMTWDLHGWLFHHQAGGEASSSSSCAVFTFQARGVSGTRLWTEEDDEDYTDGEQQEKPASSRRQKPGGASGQGFCLLIQGFRGFSKST >Et_6A_045877.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:22927306:22928128:-1 gene:Et_6A_045877 transcript:Et_6A_045877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIAAPAEPMSAIDYLVAHKRLPQCEIDFVLMEKRTRKPFADTLEFKCLTADPSTTPEELAAAAAEHEAQQERSIKFQEFVLEQYVAHGEVVVDDAYITRRVETEEFSKQLWEKAFAGMDLSDFADTTDDEDDEFIIPLVTREEAKKRSVMFKFIYLSAWLSHAKL >Et_5B_045557.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:4299863:4300882:-1 gene:Et_5B_045557 transcript:Et_5B_045557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAANTPGQSGPVPFKDVDDGSVEKHNPTEEFGELVSALPRRHQSVLELRLYQGFWLPAHWVPGTIVFQRRFAPRHDDVILASYPKCGTTWLKALAFAVAARTQYPPAGAEHPLRRLNPHDCVPFIDDIFAGGEEAKLDLLPSPRLMNTHLPFTLLPEPVTAGGCKVVYVCRDPKDMVVSLWHFLQRAEPDLSFAGTFESVCDGTVAVGPVWDHVLAYWRASVARPDRVLFLKYEDMLRDPGHNVRRLAEFVGRPFSRAEEDAGAVAAVVELCSFDKMKGLEVNKAGTAGLSVRFARDSFFRKGVAGDWANHMTPEMAKRLDDIVADKFRGTGLAFP >Et_6A_047509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6763372:6779568:-1 gene:Et_6A_047509 transcript:Et_6A_047509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGSEVTGSKGLAATGGADRFSELSEKALQRILSFLPSEEAVQTSLLSRQWRDMWKDARSLRITDPGAYPSANELNFFVNMFLLFSTPLPQTLDVVEISSYPCGPDGDVKESHRYLEVWVRNCVQRKAQILKVHNKTASDLVMNNCVIEAATLIVSPSLKRLTLKCCSFSYEVRARISAPEVLFLVLASCEGRTPMLEKMPRLVSGFVRLQADMDFCNKNYETGGCNSCAGCCHCIIGRNASVLLESLSGAAHLELTSMQTEFIFRKDLTQCPLFSMLKTLLLNEWCVTTSLDALRCFLQHCPNLEKLTIQFPKAHVCSDKILEELIDDGALYNMAMEPIELKHLKVKVIRPEEVELDISISKILELLHSFGVPPGQIMIQQTPLLTESDSDSDSDVDSEYIADARRVFDGMSQASEDSRSKRTAVEGGADQLSALTDGALQYVLWRLPLRDAARTSELARRWRNLWKGEPTVPNVDATSY >Et_1A_009568.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:8290004:8290255:1 gene:Et_1A_009568 transcript:Et_1A_009568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVAAVEAGVVAVASGRSSRLVRSLYWRLRALLRRLRSERARRGRRGFSFHYDALSYALNFDDGCAYAADVVVLVSSDALR >Et_9A_062950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7358934:7360209:1 gene:Et_9A_062950 transcript:Et_9A_062950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCSACEAAEASVLCCADDAALCARCDREVHAANRLAGKHQRLPLLAPGCGAAASAGDADDVAPAPPRCDICQECNAYFFCLEDRALLCRSCDVAMHTANDLVSAHRRFLLTGVQVGQEQQVEDDHHSAADQPQPEPEPSPRPQPKGVPSPFHGCQGGFSWAAAAPDAAANLPDWSAVSEQLGSPAPRHAAEAPSRAAPKRSPALFGAPGQGRVAGGVMDWPLGEFFRGVSDFGGGGGGFGFGDSGNSKADSGKLGGSAGGSPYYRSSSEEDRDADDDFFGQVPEIQQWSEPELPSPPTASGLHWQQHGAPDSAAFVPDISSPEIPLRWFPAGAAAAANKRRKKC >Et_8A_058119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20777301:20780094:1 gene:Et_8A_058119 transcript:Et_8A_058119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFSLDTLPLLLLQLVAAAAVVLADTLAPPPPSCPSSQCGSISIPYPFGISAGCYRDGFKMMCNETYSPPKLFLGSTGVQVLDISLQDGTMRIDGGILSVAAGDDTSQLEWTLPLDDSLYTVSGENDVAVLGCGFQIATTSSDGSAATCWPDCGAAGQPAMATDGRCSGVGCCTSRFYGGNALDIQLMSMSSGGNHTRSWSLVVVDGDWWSEEQNVMALQKAVASRASSLGVARGVRRSVPGIEVITVVDWVFSNSSCARDRNSSNNGCLSDNSQCRDSNSTTLSGYNCVCQSGYQGNPYIRQGCQGFVVAVGIGSGISVALVIIGALLVRRKLKVWKVRKSRKFFFKQNRGLLLKQLVDKDIAERMIFTLEELEKATNKFDEARKLGGGGHGTVYKGILSDQRVVAVKRSKIVIRREIDDFINEVAILSQVNHRNVVKLFGCCLETEVPLLVYEFISNGTLSDHLHVDTQVSLSWKERARIALETARSLSYLHSAATMSIVHRDIKSANILLDERLTAKVSDFGTSRGIPIDQSGANTAVQGTFGYLDPEYYHTWRLTEKSDVYSFGVVLVELLTRKKPFARLSSEGASLTAEFILLVNQDKLSEILDPQVIEEGPVEEVKEVAAMAVMCLCLHGEDRPTMRQVETKLEALQSGLHGVEINTAGTDEGMPMLDNPSLEQNNVNAREHLSRRRSMEEEFLMSMSPR >Et_4A_033451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2313130:2316004:1 gene:Et_4A_033451 transcript:Et_4A_033451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRVANSITQVVSRISISTVPNINLFCSVLLHLRTSLRFPRFFYLHRASTTFLMLFSWFLIRQLTKSSPKAGGGARSRRAVGGKGMEKAAANALPPLRFIAVLAVIAWTFFLYFHFSMLSGTVEGTVEVASHSDPDDDSADPCRGRYVYMHDLPARFNADIIRDCRKINDHWGGMCEFVSNAGLGRPLADRTEGVITEAAGWYNTHQFALDAIFHNRMKRYECLTNHSAVAAAVFVPFYAGFDFVRFHWGYDNATRDAATVDLIEWLTSRPEWRRMGGRDHFLVAGRTGWDFMRSNNINPEWGTDLLGAPAGRNMSVLVMESTLLHRNDYPVPYPTYFHPKTDADVLRWQDRVRGTKRTWLMAFVGAPRPDVPMNIRVRDHVIAQCKASPACTLLGCARNLGSTQCHTPLNIMRLFQKTVFCLQPPGDSCTRRSVFDSMVAGCIPVFFHPGSAYKQYRWHLPEDHLKYSVYIPDADVRRHNVSIEAVLRAIPPATVERMREEVIRLIPRVLYADPRAPNLETIKDAFDVAVEGVLHNVARIRNGEDVNTGGPVDEDPPFLFASTDSKFRPQQWKQRVYATPPVGAPKKKKIARRSNHHVRIPASGTCILPFRAASACLLTLHSATEV >Et_2B_020564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21398883:21403175:1 gene:Et_2B_020564 transcript:Et_2B_020564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGRRWQPHALAALGVAYAATAAAMVAADTLPSPGDGVSSTGAASNLTQSEGIGNSYHHPMEFGWRIVLGSLVGFLGAVFGSVGGGGGGGIFVRMLALIIGFDPKSAAAMSKCMIMGAAVSTVYHNIKLKHPTLDMPLIDYDLALLIQPMLMLGVSVGVIFNVILPDWLVTTLLIILFLGTSAKSFLKGVDTWKKETIMKKEPVHATTVPIGPEDATDAGDQLIEKFPTSQTSFLKNVYWKEFGLLAFVWMAFLALQIAKIPVAVGVSMFEAYGLMCGKRVLSSKGAQQSTFKPRQLSAYCMFGMVAGLVGGLLGVGGGFILGPLFLELGIPPQVSSATSTFAMMFSSSMTVVEYYLLHRYPVPYAACVFAVACIAAIIGQNYVRSLIDWLGRASLIIFILASMIFISAISLGGVGIANIVHKMERHRSWDLKAFAIR >Et_6A_046975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23459185:23462514:-1 gene:Et_6A_046975 transcript:Et_6A_046975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLTRLLPKLAELLVGEYNLQKEVKGGIKFLQVELEHMKAALEKISMIPADQLDKQDKIWARDVRELSYDIEDKVDTFMVRCKGCKLGKQHGFKKVIDRILDLLMQPKIRHKIATDVRDIKGRVKEVSERRDRYKVSTDDVPKPVAIDPRLFARYEKVVDLVGIDEARDEVINILMEGNDKIVSIVGFGGLGKTSLANVVYEKLRAQFDCSAFVSVSQTPDTDKLFKDMLYQVAKKSNESINVINELREFLEKKRLDNVKWISLAINSDIFYSHPNSLISNLFLCYHIRRYLIVIDDIWDISIWRMIKCSLPYNNIGCKIVTTTRILSVAEQAGDAYKLKPLSLQNSRKLLYRRIFGNEKRDINEDPETCPDEELTEVSDKIIKKCAGVPLAIITIASLLASKGRNKMEWYEVYNAVGSGMQNSLDAENMRRILSYSYYDLPSHLRTCLLYLSMFPEDFEIEKKSAEGFIQCGEQKNNAFEVGESYFNELINRCMIQPVDAYNTPDIIYHCRVHDMVLDLIRSLSSEENFVTILRHIDHTSASTTVRRLAIQNSRLDHATTRVTRSMSQVRSVAVFLSTIEEMPAFQSFKVLRVLDLQGCCLPQGYSLKYLSSLFQLRYLSLRGTHIDKLPKEIGNLQFLETLNVEGTNIYRLPLTVVQLKHLMCLSVNENTRVPNGIGSLRSLEELSWLRIDDESTNTIIEELGLLTELRVLCIILVTDKWKKLVESLSKLQKIQSLCFVNFTADGYCNIGGLDPWVAPSHLRNLETREVCWFPRLPTWMNNPSRLMDLSLLSIAVRELQQEDLEILGEMPNLCTLDLQVDHEDLGILGRLIIGAGSFPCLVLCKLWGFVVPVVFQQGAARRLARLEFKIYVQEVREIAGSDGGFDLGLGSLLSLRYVYVWFRSGGASDDEVEEQKAAVRKAAEIHPNHPTLQIC >Et_4B_036720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1108452:1112410:1 gene:Et_4B_036720 transcript:Et_4B_036720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRRLLAEAPPGEHHKRGGAPAPAWSEGYLNGWLSQPTGVFGLRLWVLIGIAVGAAIVLVLLLIFVCLSRRRRRREDVAANLYPADTKLLKQHLQQATPTKDIQEIVRRQQQQQTPPPAAQPAVQLAKAEPPIPTPPQQQRAQLPVLPTRKAPGSGMSAKTSGGSERGLGTPRSTGSAGAGPEVSHLGWGHWFTLRELEEATDGLAEENVIGEGGYGIVYRGTLQNSTTIAVKNLLNNRGQAEKEFKVEVETIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDVGEVSPLTWDIRMNIMLATAKGLAYLHEGLEPKVVHRDIKSSNILLDQQWNAKVSDFGLAKLLCSERSYVTTRVMGTFGYVAPEYASTGMLTERSDVYSFGVLIMEIITGRSPVDYTRAPGEVNLVEWLKTMVAERRAEEVVDPKLPEKPSPKALKRALLVALRCVDPDANKRPKMGHVIHMLEMDDLLFRDDKKPGRDLQSQSSSDRYSSKEDGGFSKRENQRRLRL >Et_3B_030107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30572365:30573270:-1 gene:Et_3B_030107 transcript:Et_3B_030107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRPEMRRTMTLSEQLSTPDPAIRDFLKIPQDDADAARPGEDGGPTARDADAAAGGGGMINWKPLRDRLRLRRAANAWHAPAAPSKSAAAPAATNSTTNRSNKYNYSPGEAAAAFSRTFSRAPSLRTTPTFTRVGSTRVGPASSRSSSRRPAVHIDLRSEEHEDDHHEEEENDDEEGQEEEEQEAPAAQMSLMALLEQTDSWDEDEDEDGGGGARKNGGGAAGDDDEDDGEGREEEMVHVCCVCMVRHKGAAFIPCGHTFCRLCSRELWVSRGNCPLCNGFIQEILDIF >Et_4B_036116.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:25454748:25455287:-1 gene:Et_4B_036116 transcript:Et_4B_036116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGIGTYCFDTVNWKWRHAGNWTLPFHGKADYVPELDLWFGLSNSNPFHLCASDLSAADFDQPPEVLQTLADFDVPKSWWPFHLDLISLGSGRFCVVKMFHSTKPRDRGGRVGFSDTEEEDDEVFDCPDVINWEFAVLTGVEVVRCDGEAEAPPGKLKIIRHKSRYHTFKDDLIHWVL >Et_2A_016415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24419833:24425379:-1 gene:Et_2A_016415 transcript:Et_2A_016415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVELFLGSSTAPVDWEAEAYPAYGDFAVLPFLVAFFPAVRFLLDRLVFEVLAKRLVLGRGYDKLAETDESRKKINKFKESAWKFVYFLSGELLSLSVTYNEPWFKDTRYFWVGPGEQIWPDQKIKLKLKAVYMYAAGFYTYSIFALLFWETRRSDFGVSMSHHVATVVLIVLSYIFRFARVGSVVLALHDASDIFLEIGKMSKYSSCEWLAVVAFLLFVLSWILLRLIIFPFWILRSTSYEVLLTLDKEKHQFYGPIYYYVFNSLLFSLLVLHIYWWVLIYRMLVKQIQSKGRVGDDVRSAFHPQRKICTLEYLDRNYGVIKKRHQIGVIEE >Et_5B_043413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10162102:10163942:1 gene:Et_5B_043413 transcript:Et_5B_043413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGACRDVQARSPCCWGGLPRRRSPRTPVRYPEGADLISTLPDDLLLQILARLRCARAAAHTSLLARRWRGLWARLPELALHYIEPDQLDAALASVNAACPAVSLLDISVCGHRGIAHIASLLRAAARLAPAELNVHLLGEVPLGRDACVGVSRLWPQCLGSSVFGPIPTWIMSSRCRTQHLINYNSHQTVVIDQVWWLSILALSTPRPLGPRQLASENTCLQLQQRPRFFAVSTPIVTYLVRMCASVCCSIFLLLLANCVPICSVQDMLGDAEQSIVKQLFKFLVADFEIMALVIETQGHAYGGMLLHLLEFCTSIQRLEVKLHKEGENECSINCPCDQPSCRRSQSVSLTNLKEVEIEGFKGEDHEIDLLKVIIRSATMLERLTVIWSDKVSPTGNGCMGIRSILKAYPSVKCNFYFKSGEQYVTGCIQ >Et_3B_031230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22083307:22085342:1 gene:Et_3B_031230 transcript:Et_3B_031230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVPGRSRTVRATRSIFGESIGGRKLEKIRAGNVLEENLSPEMKQLAKSDIDRLKERKAAVDDARARAEAELSKARFTARELERLIEQTKARATSKRSELHAMSAARARQKVADAPGSPEERDAAEYAEVVRELDRAKQELSRLRLEVRSAAEAKAKAESDIVASAIKIQSDLRAADEMKRLVDEANEEHVLVELARIEAERELREIDAQRRAEAERFAREMEETKAKVEALRKDAARVREMEAKLAVTNRDVEVLQAEMELVRAMERNSAKKEDETTEEEALLQATEAELDAARKELEGIKAGGFEFMTSMDSTRTEIMRVAEEVSRLRALEKKADAQVQQLNAKLLKARAQKEAAAAAGERSGAIVSNLTSALRQLRDETEAANKEKELTEMEQRCVRAETETVKAEIAVNEVRIGQSVRELEAAKASEAGAMKKLKAAVESTMRARASSAFQGSGGTISISRFEYEYLTGRAALVRVVAEKKVSAAQAWVQALKAGEKELAARAEAAEREAREAGAKEARAVAEAERAAAEQRALEQELYDLSAAAERDGLLCAYPPRRPARTSATMGRARARRSSVSSMNGARNARPPSFAIKRKRKVMPNLLKLVRERRDKGAN >Et_1A_006164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18632426:18636976:-1 gene:Et_1A_006164 transcript:Et_1A_006164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELDELINFLSDRNPQVRIAAVDIVRGLTGGEDGLRALTARADRALPALLRLLASAGGSGAGEAAADSLVNLSQDAGLATRLVGLGAVEAAMDVMTKCAAEQPGLARSLVMLLVNLTHVESGVAALLQVGDEKVQGLYVAKLVRSFCRSSNDSEEQDTFEHVASILVNISKVEAGRRILMEPKRGLLKQIIRQFDSTNQLRKKGVAGTIRNCCFEADTQIQSLLPLAEYLWPALILPVAGKKIYSEEDRSKMPLELSSALSHEREAVEDSEIRQQALEAIYMIVLQDDGRKSFWSVNGPRILQVGYEDEEDPKVMEAYELIGSLLVGKGEDEQEGEKPQ >Et_1B_011067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17120535:17121046:-1 gene:Et_1B_011067 transcript:Et_1B_011067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRPRSRASGGASRISDEQISDLVAKLQALLPEARLRSSDRMPSARVLQETCSYIRSLHREVDDLSDRLSELLATSDVSTAQAAIIRSLLM >Et_3A_026152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4944180:4949744:-1 gene:Et_3A_026152 transcript:Et_3A_026152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQFFFFFYLHLNTNHTNDTVKNGTVLENKSGTEGQFASSAKSDSPPGSSFMKLQQAVTDIQIPMPGSKIDCDDHSRDEGFPYARPIICQMWGDVRVAPESSTVALRMAMHKGEEMRGIRPYARQDDTLPPLVKEVVIRAGTGENGAPKCTVNHEVPAVIFSIGGYTGNFFHDMSDVLIPLYLTSFQFKGRVKFFITDYKHGWIQKYKSMLRRLSHHDIIDFDSNKDVHCFQHVILGLVRDRDLIIRQNPTRNPKGYTMLDFMRFLRHSYGLSRDRPLVLGEQPGKKPKMLIISRRGTRKLLNLRRVAAMSRKLGFEVIISEAGGNVKKFATIVNSCDVLVAVHGAGLTNQVFLPPQAVVIQIVPWGKMDWMATNFYGEPARRMNLRYLEYYISVEESSLVHRYPRDHIVFKDPMAIHSQGWNALADVVMSQDVKLNLRRFRPTLLQALDLLQL >Et_3B_027686.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:12485238:12485294:1 gene:Et_3B_027686 transcript:Et_3B_027686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRQRKVGVGEGSEAARD >Et_5B_043342.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5569231:5569389:1 gene:Et_5B_043342 transcript:Et_5B_043342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFLVGEELNGCAFVYASLSFADKAACGLALYMLESYQGNSRYYLVIDTSI >Et_10B_003747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5499301:5509150:-1 gene:Et_10B_003747 transcript:Et_10B_003747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRGCTFVNRSRCFPKEIRTSTTSNSIGSQGPLCNGKQFFGLLIPSGIKFNCDEKLQIIERISYQLMFDEYGFIALDFLSSTQEDVLCEFLTMRCLSVSFPDLYMFSYHHPRRKLAKNEKFNNFKCYDFQSTYTRSCNHSINELTRSFVNSEFLTCLMHSQWLQNTMPCMFMVLGHLTSSPLPRQYYCLIHMTLEVANLLLGQYVFLKKSELQQLQMLCLSVSPIPVPIIIHSMSSHDPLWIGKQFFSMLLPSTMNFNCDEKLQIVVLEQYGSRAFDFLFCLGCTTRILMRKLAEGVKLALDEAEATLRIKKVLLDPTNIPILKCYKDIEESNQHIIRYSIMTFKDVFIDLLKMVQQHGKQGQHVEICPTNCINFRLGFLHNSLGLNPLECLYVPYQDEQTFFFLKNADKKHFFLTEQQGSPLRLYTSYMAIGQETDDIEEIFGSYREFHYFQRSQHIIRYSTMTFKDAFSDLLMMNKEKLSNSEIIKETDENLEWLGVPSSTDQLLSENVNVTGTLTRKLTYHLRDLHVAYDGTVRSLYGQHIMPVAKPHESSRLQLHQALIFHLNGTTQVQSLAAPGQWHPPLIMQFSYDGADGVYCDRVPVGEPGSLIGSWDACSISEAAYGALEQP >Et_2A_014991.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24980467:24980865:-1 gene:Et_2A_014991 transcript:Et_2A_014991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAWRKLFHCRDAEEAEAAACLDGVRLATRWLDTGMILESDCATVVAKLKKDGGDRSLIAGLISDIRVDCRALLELEAHQIGREQNAVAHTLAQRACRLGESCVSFSQVSDCIKDLVVADRIIASVCNGPP >Et_5A_042949.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:7532971:7533546:-1 gene:Et_5A_042949 transcript:Et_5A_042949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFACFGGAAAVAAAPLRRGQRRGLSSSFRKKFLSGGKGDKKSRGADDAAYSLFGTSTASVPSSAPLSSTASLLDSSRSSSSRSSVSSSSSISSLPALPAAPRLSPPKRRQAARASSAASPAAGAAALVLCLLMVVLCGRVGATLLTSTALYFLPRRGWPTSSASASEAGEEETAKRKVARDGFLGRHRSK >Et_6B_049379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4142667:4143694:1 gene:Et_6B_049379 transcript:Et_6B_049379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALACGLLKVAGNRLVSLMASEFASITGVKKDLYELQDINGEITSWLSTVRDLSIENDQKFRWVIKLKDVAYDIDDLLYEVHLKAEDYKIDTYRDKHAIADCLRVKPSSFIYRCKLTRKVKAIKVKLAAIAKQRSVMNAIGNNLPVDQQTFSRNRAIGELSFLTNVEESEIPTRDKEKSGIICKLLKSNEGKDDWIVSVVGLGGSGKTTLAKHICHDNKIKENFKYTFWVHVSHEFNVKKLIGRLFEAITKQNWRSYPRPRAGAYS >Et_1A_005336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5262421:5262778:-1 gene:Et_1A_005336 transcript:Et_1A_005336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NAARLHPLELGTARSHAYSLLNTATASTLDEGKLTMRFCLKARCVNPVDCYCCIHGKPDPLCYDTMDVCEKVCPRCTPKCPTSPTPSDRRLQSPAALDNLESTVSVQT >Et_3A_025915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3393598:3395989:-1 gene:Et_3A_025915 transcript:Et_3A_025915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCTFSDTKPGVAEAEFAKNDLKYAFKKIFGMRKPAPLIIAKDKSFFDSIDSDGTCPAWLSEEDVSYYADKYAKTGFTGGLNYYRCMNLNWDLSAPWTGVPVKVPTKFIVGDLDLTYHTRASKTLFRRVV >Et_2A_017816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6521919:6552739:-1 gene:Et_2A_017816 transcript:Et_2A_017816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WRDAPSDHTPINASHPLHPLALLRRRLFTFVSSSAVAVAFRCEQPPTPMASKWVRPEVYPLFAAMGVAVGICGFQLFRNITGNPEVRVNKAGRAAGVLENHEEGRRYAMHSLRSFVHDKTPEIMPSINKFFTEPNMSLSEQQPCRLIK >Et_1B_013355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7598126:7610234:-1 gene:Et_1B_013355 transcript:Et_1B_013355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTKLEDSPMFRKQVPHPSWPPLCPRGLPDRVASGAPFSVFVESRDLEFDSLLQRLNDPFGSEMRKVNSLEQLTDELKERCLNLNKGCKRFMGSLDEGYAGDLTFADALQAFGAGLDDPVSVAIGGPVMSKFTTAFRELGTYKELLRSQVEHMLSERLSQFINVDLNSVKAREKFVSVRKGTRPEVVTGLEEDLHNAKSAFERCRFNLVHSLANIEAKKKYEFLESISAGFELLSQMEPFIHQQSKEMAVNEQDKLAKRIQEFRTQEEIANVRMASNVDTSTSGDGIHVVGLQSYKNIEALMQSTANGEVQVIKQGYLFKRPQNLRGEWKRRFFQGAASQQTAGEGSGVFGRFRFLNQRASSQSEGSLSSHTIDLRTSTIKIDADENDLRFCFRIISPIKTYTLQAESGADQKDWIQKITGVIASLLNSPFPQQLSYGNLATENHRPSGSGDTVSHEDNSSSEGHDDIPNLLRNIPGNDSCAECRSPDPDWASLNLGILICIECSGAHRNLGVHVSKVRSLRLDVKVWEPVIMDLFRGLGNDFANSIWEALLPKEDEGMEESNGAILFIEKPKPSDAFSIKERYIQSKYVDRLLLDRDTNQITIDILEAIRTNDVRAAYHILVTANVSPNMTYDDLSKDVHHDPSVPDRMLLDPASCEITEESGKLEGCLQGCSLLHLACQYGHPVMVELLLLFGADINMQDFHRRTPLHRCVQKKNDDLTKHLLKRGARTTIKDGGGLTALERRMELGAITDEELFILFVRLLD >Et_4A_035542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28363664:28364622:1 gene:Et_4A_035542 transcript:Et_4A_035542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKEYARAAMSLDKVKSDGEALIAAGDGEEDDVVLPGFRFHPTDEELVTFYLRRKVARKSLSIEIIKEMDIYKHDPWDLPKAGTVGGEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSAANSGESIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPLAAATANASPCMQEAVSSMLKHTPFPPCVPKKSVVISTRVEAKVAAHPHTRVGSMNSPKHA >Et_6A_046964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23276948:23279936:-1 gene:Et_6A_046964 transcript:Et_6A_046964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSSYSYLQHSSTLPSTRRNSFHGVGADTAAGGERAGGGGRNSFGPTFSDLKETSEFARSSFPMATMARSSSSNGHGRHHDASSTSSSSSSAASAQRRRADHHQQQVVPATPGRPLQFFTSPAHHHHQLVAPRRSVPSKWEDAEKWLRQSSDSDHGGGNGKAAFSRQRSGGMAQRACYGGAGEELERRAPVMVRRSVDALADAHALSLYTPPAEVLLKDKFTDNEEPSKESFVFQSSYCELPAAKGSAAAAADHRKDVGTEMTPLGGSTTTSRCPTPIKSTSPARHNTPTSKSGPLVPYAGGGGMDISELADCHLAKLDLGARFDSMLVNWSSKEEEEEEVSKSLRHFEASTAAAGGGAGIPCEKRGGDCRWEDDDRAKSCIRYQREEAKIQAWINLESAKAEAQSRKLEGSG >Et_1A_006238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19519586:19529088:1 gene:Et_1A_006238 transcript:Et_1A_006238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVEIKRRTVMRPSTQALLARGGGAGGGRTAPLTAFDRASTDGYIPIVFAWSAPAPDNGAIVDGLLATVARYPHLMGRMGVDDRGRKCFVLNDAGVLVVEAEADGDLADALANDVPAHVNQLYPNADKERADEPLFQAQLTRYRCGGLVIGTVSQHLVSDGQSMSIFFSAWAAAVRTNRATLPSPVTDRTAIAVQPRTPPAPAFDHRNIEFRGEHSASHSYAVLPMDRIVNLTVHFTEEFVAGLKARVGGRCSVFQCLLAHVWKKVTAARDLAPEDFTQIRVAVNCRNRTNPPAPMEYFGNMVLWAFPRMRARELLSASHASVVGVIRDAVARVDADYVRSFVDFGEVAERAGEELASTAATPGTAFCPDLEVDSWLGFRFHDLDFGHGPPCAFLPPDLPVEGIMVFVPSCSAKGGVDLFMALDAEHITRRAVMSPPPAMARGGGRREPLTAFDRASTDGYIPAVFAWAAPAPSNGEVVDGLLAAVARYPHLAGRLGVDERGRRCFHLNDAGVLVVEAEADGDLADALGHDDVAAHVNELYPKADRERDDEPLFQAQLTRYRCGGLVIGTACQHIVADGQSMSFFYTAWATAVRTNGATLPSPVTDRTAVAVQPRTPPAPAFDHRNTEFRGDQKDSSHSYGTLPMDRIRNLPVHFPEEFVAGLKARVGGRCSTFQCLLAHAWKKITAARDLANPDEHTQIRVAVNCRPRTNPPTPAEYFGNLVLWAFPRMRARELLSASLATVVGVIRDAVARVDADYVQSFVDFGEVVADQAGEEEELASTAATPGVSFCPDLEVDSWLGFRFHDLDFGHGPPCAFLPPNIPIEGILIFVPSCSAKGGVDLFVTLDDHHVQAFQQICHSMD >Et_2B_022584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26746906:26749794:1 gene:Et_2B_022584 transcript:Et_2B_022584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFGDELFLDIGDDGLCEPDCLSFSESIEEDLAFPRHLFSQGFDLETLTPTPGSPFSFDSDPDLLGHPSSRPHSPPFWDCLEDDLADHGFEWEEVVDAAPGVGGRGSGGVSGEGVRGVGSDGDVFGVLDEGEMLGVMEGIDSGDDESIFSDEPPFAFGDGEMEELDGIFRGVGWEVLPMPLDEVEFEVLPGHLANAALGGAPPAARAAVERLQVVAVGGEEAAQGCAVCKDGIAQGELATKLPCGHFYHGACIGPWLAIRNSCPVCRYELPTDDPEYERRRARRRSAGVSTAQLGTPMQRLA >Et_1B_012482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32334858:32336047:-1 gene:Et_1B_012482 transcript:Et_1B_012482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESCNSASHGAPLGHDEICNKRAENASFSDASNGSLCSSASNLSDETTSSPCHNPSEPSSASSSMLELDAEGPMFELTSLLVQLPIRKGLSKYYQGKSQSFKSISNATCVQDLAKKVPYSKRMKTCKSYAEGLDMSQLSNNLPRPCKIIAKKPSKNSSAIVQQCQIICSPEQEICANAYCFVTKRKSGNK >Et_1A_006190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1935504:1938642:1 gene:Et_1A_006190 transcript:Et_1A_006190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFTAAPAVATLPSAAPPLTPDAAAVLSRAAADASRRRHAHTTPLHAAAALLSGPAPLLRDACVAGLASPHPLRCRALDLCFAVALDRLPTSTELHQHHDGGAGFHASAAPPPLSNALAAALKRAYAHHRRIGSGGAEADDHRVGVPHLVLAILDDPSVARVMREASFSSTAVKAAMLRSLSDPAAPDAGVYVNARVLHRQQAASHREEEVAKVVEVLKRGKKRNPVLVGDTADVDAVVQEVVTLIQRQRLGNARVISFPKDSTGGGDPVDMDRAELVAKIKELGDALRSEFMASTSCAGVVVNLGNLQWLVEERCSHHQQQGEPAEKRRDVVLDTARAAVAEMARVLGQFGDGGQRRVWVIGTATCATYLKCQVYHPALESEWDLQAVPITPRPPPPHPPSLGLSPSAGANRGILSSSVEVLSSAMTSAMQRAAPSLCNTCADGYERERSEMASSTELALRPAEQSVSQWLQIGTPNSARPLERAQEKAREADELRRRWLERCAQLHSHVRPPPQLVTCSEWNGASVLRSSMQALPVRPPPVHPANGTVDTDLALGLASPRPTSAIDEKLLLRRLTEAVRWQPEAAAAVASTIAKAKSGECNKRRGAGTTTSTRGDAWVLFAGPDASGKRTMAEALSVSVFGTGAVTVRLGSGVREEEASDDVGGGESVASCRGRTALDRVADAVRSTNPFRVVVLDGVDHADAVVRGAIVRAIECGRLADSRGRDVALGGNVFVVISQWSPSSTDHHHLTSSPWSLERHGTAKRRPEQSLDGDGRRTKARKDSLPLDLNLSMSDDHTGDELEDSGGEGSRNSSSDLTVEHEQDYHHRQPASSPANKCSSTAPSNVSELIRAVDGTVVFKPAGFEALKRSVSDVVSAASGGWSSAHVDGGLLLDRLAAGARTAGPPVSPLETWTGEVLCPSSMRQFKRSFSTNDVDGATVEDSSARRKDGEMFPMSVTVTVDGN >Et_4A_033625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25073901:25078007:1 gene:Et_4A_033625 transcript:Et_4A_033625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAAASFPAAPLRRDGRRTSRVTAAAAATTAAEATSLAAVPPPPPAPTVRMAPESLQRESGCLVPGARERGSEEDGFGDDAGPGAMEYLTRVLSSKVYDVAIESPLQLATKLSDRLGVNLWIKREDLQPVFSFKLRGAYNMMAKLSPEQLERGVICSSAGNHAQGVALSAQRLGCDAVIVMPVTTPEIKWQSVKRLGATVVLKGDSYDEAQSYAKLRCEQEGRTFIPPFDHPDVIAGQGTVGMEIVRQLQGPLHAIFVPVGGGGLIAGIAAYVKRVRPEVKIIGVEPSDANAMALSLCHGKRVTLEHVGGFADGVAVKAVGEETFRLCRELVDGIVMNMFEEKRNILEPAGALALAGAEAYCKYYGLKGESVVAITSGANMNFDRLRLVTELADVGRKREALLATFLPEEQGSFKKFAELVGRMNITEFKYRYDSNTIGALVSYSVGIYTDNELEAMVDRMESADLKTYNLTDNDLVKDHLRYFIGGRSDVKDELIYRFIFPERPGTLMKFLDAFSPRWNISLFHYRAQGEAGANVLVGIQVPPEDMDEFRNRADNLGYEYMSEMNNEMYRLLLRNPKF >Et_9A_062385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22374498:22377296:-1 gene:Et_9A_062385 transcript:Et_9A_062385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRGNGVILRDGPVRDWSQFNDPSPSPKLLYSQSYVAVRGLLATVASMDLFLLSSKLKSVWAAMTSPRQNRSPERSRSKGSSCKRFVAHLLLFFLVGIFIGFMPFFAVDVSKKIENERLPFHDGVIEGGLMDTKVKESEMVVVEKEIALIDETEVGESPPVPAMLDDEVDFVDDELDIPVKKLLIIVTITSVRPQQAYYLNRLAHVLKAVQSPLLWLVVEWPEQSYQTAEILRSSGVMYRHLICKKNTTSTRKIAVCQRNNAIYHIKKHRLDGIMHFADEERSYTGEVFEEMQKIKRFGSWPVAIHVGTKYRAVLEGPICKGNRVTGWHTDTVQRKARRFPIGFSAFAFNSTMLWDPQRWNRPPMDSVAVHTGGRGGLQESRFIEKLVKNDHQVEGLPDNCNRVMIWNFNLEPPLLNYPTGWALYKNLEADMPKPALNLRDSKTESQGEVAT >Et_4B_037854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23992280:24000548:-1 gene:Et_4B_037854 transcript:Et_4B_037854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNFCLHHRLRKPEQCLCNICNTNQSSKQNNYVIIRMAVEFSQWRSLVNKLVIKEQLTKDHAEKSDVLQDASDDDLDDWFEEEANLVGHTTIHVGDEKDVSCSDLEEEDVKCHLLLSIPLLPPALCLGGCVPYRISLLLWLDYNVDLGRNLPIEDDRCWLVLRCLNGKAYGWTVVHEQEGLRTWSKALARPHAPAGTRLLGPAAAFCIHLHGDMHRWTDHQVFCVRSIWEQKHFKEVGLPEMEPKCPVLMRRWVLLPLGSVSGIRTTWIWAGASPSRMIWADAALLWHPGFLLSTCFWMCLLGFHCSVCSSPGLTGLFHPGLSSVSLSSSVEGAMASIRSPFTPSQWIELEHLAANCPIPRNLLIPIRSLASSHSPAYFGTSTCKPQEKRSFWATFSFIWHIDMIKAVLIPSFLTLDWFSCVLLVGGLSSWATPATQIWSLGDNAGQTDSKKWRCSRDAVADQKYCERHMNLGHHRSRKHVEGQAGLPQKQCPRRWRLLLPLLPAWCSGGLRRRSYCRCSHYKSAPAAGEELCHGCERSSLSAVQAGQMLAVSSHSQNKIILSVFPFSKQNNPFEVINSRPDFCLVSSDSLMSSLHSSLENFNLLSLQSLNEHQSSASLQHFVEDMQDMEDMQAQITQLSVSAPLAEFCRSGPLDQSPVAPGAALGWRITTHRSDALDVPGELEANCWCPRLPPPSRDTGPSARCTAGRGVGGRAAAERRRTARNASLIPIRPKGWKQTRESKGKSLARLLRPEFPEQGLLCNDVVLCACRLVAADQSSSSSSCCSIGPWQLKKRRYGEQAAPGAFRANTAFSFDGQAFWVDLARGVVRCDMRGATDGDRVDFDFVDFLPGFQLDANDVEVTRPFWPEPLETHVSTFRTVGRDGDSVVFVSLGHPAGRTSPADRMVTAWTLRQQDRGWWWRKDVEFSVRSLWELEAFKRAGLPEREPELPVLMPDGTLCLLLTNSSCRRRGDPVDERICVLDMCSMTILWAGRFRDYGRMSGPTFLPSDFFNNVHPLVPSERKRRVGPWQLKKRWYGREAQAAPEPFRVNAAFSFDGQAFWADLSRGVVRCDTRGAAEGDLAAHHMDFDDIRLPPGFELDSDVVTVCPDPESGPGPLDMEIPVTTFRAVGHDGDGVDAAAGSQAGGGDAGGGVDTRRSACEASGSWRRRHAAFSWSGRRSARARVR >Et_7A_050694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10147974:10153305:-1 gene:Et_7A_050694 transcript:Et_7A_050694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGEDGAQRLQEAGGDSGDGGGGNGQCSVASRCGWLGRLSRELHWSFVLSVVAVYGTCQGVGDALKGVAVGYYWKDVQRVQPSAAQFYQGVTSVPWIVKPIWGLLTDVVAVAGYRRRPYLVLAGAMGVSSMLMLSLHSELGIIPALLALTAQSTGAAIADVTVDALVAQKSITHPPLASDMQSMCGFSSSVGALLGFSASGLLVHSMGARGALGLLSIPSVLVFAAGILLKESRDTDFDYKQVHKKFYKAIQSMGTTLKCPEVWRPCVYMYLSLNLSLDIQAGVFYWYTDPVAGPAFSEGFIGLIYSIGSVGSLLGVLLYQSALKDYPFRSMLFWSQVLSSLSGMLDLVLVTRLNLKIGIPDYFFAVIDNSISQMFAQLKWLPLLVLSSKLCPQGIEGTFYALLMSIQNAGSLMSAWWGGLLLHMLNVTRTEFSNLWIAILIRNISRLVPLMLLFLVPQRDHNSELLPLEILGGGESTKAVNAGSDNVGFTVLVADDSSCISSSVMAENEGVKELDIGTNDIELIPLMEPHEDGEAGAGCNTECCIASPGRWLRRLSRELHWSFVLAVVAVYGACQGVGNAVGGVATGYYWKDVQRVQPSAAQFYQGLTDAPWVVKPLWGLLTDIIPVAGFRRRPYFVLAGVVGVSSMLTLALHRDLGIVPALMALTAQSAGAAIADVTVDALVAQNSITHPPLAPDMQSLCGFSSSVGALLGFSISGLLVHSIGSQGALGLLSIPSALVLSAGILLKESRARDFNYKQIHKKFYLAVKSMGTTLKCPEVWRPCVYIFVSLSLSLDIQGGMFYWYTDPVAGPAFSEEFIGLIYSIGSVGSLLGVFLYQVSLKDYPFRSILLWGQVLSSLAGMLDLVLVTRLNLKIGIPDYFFAVIDNSISQMVGRLQWLPLLVLCSKLCPPGIEGTFYALLMSIQNAGLLMSAWWGGLLLQMLNVTRREFSNLWVVILIRNISRLLPLMLLFLIPRSDQSSTLLPPEMLQDSESTERLKSGSDSVEFSVLVAGDNSGLSSNAVTENEQTEAFDAADDAIEMIPLVHKS >Et_1A_009219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3446824:3447630:-1 gene:Et_1A_009219 transcript:Et_1A_009219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSDQISAMVTSRSHETSPMSVLYDPDPLPILRSSHSPSRPDTLIGFGSMAKLPVLLLLLLAVAASTAALARGRELPTRIKLMRGADAVALAGDGMECVYTVYIRTGSIWKAGTDSNITLELAAADGNGVGISDLPSWGGLMEQGHSYFERGNLDIFSGRGPCMAKAPCWARVASDGTGPHHGWYCNYVEVTVTGPHKGCAQQLFTVEQWLATDAPPYKLEAVVNNCAGAGAAAA >Et_4B_036545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29119521:29120600:1 gene:Et_4B_036545 transcript:Et_4B_036545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKHDASNNTEEEEAYHVLRIDGGHTWQILCYPLGANGSENMGFIALFVVRHDADAVDDDVVVAEATFSLLDRDGKPVPTYNRTMGKKNFLKSTCFGYYDFVKREDLEQSSKLLLTKDDCIAVRKIQRLKLICEDSLLRYVDTASVSTLLALAEKHNCPALKEACFDFLGSREDLFAVIETKEYEQLARSCPAITTELIYNVLNREKANTAGWSQEVQVSVIKV >Et_1A_008130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4653413:4657098:1 gene:Et_1A_008130 transcript:Et_1A_008130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQGEKPAHDFLSLHTGGGASSPVQHHSTQAYDLGVHSTLKPLKVSKQRGGGATAMASSGLEADSEEHVLPGGVGTFSIRRQVPSAQSRGEAASHGDVRGAFAPVLQGSRTEGAHGAESGARAHSGPSTMWQDSGADQRSRTTREGRSSGSSGLKYYGNYCHTVIEYIKFLQEKVERYESVNPERNHEDSKTMPWAKVYYRSCWKNTQNISQVQGGELAASTQDVSNEQCGSRPTTVAPAALFNTQSIRETSTDGNPSQKVANTTQNWERNSTPCKQPWLSMSTADSGKTPLSKNERETDHKDAQSISNAYSQGLLHRLTEALKKSGVDPSQANISVEINMDRRDREHSNTHDDSKTNEGDECNHVAKRLRITSPNSLLVSKIRSKLHHLALGCISDDGQARRLPEQAGATAVDQADEQEEEDRALHALEDLEADPGSAGGREGLREQVGEDEQHVEHDGLHGVEADEAGEGLLVAHDGEVEREEEEEGRERGGVEEARGGVERAEQRGEDGELREEEAAVVRAVEERVEVGDGRHEAVGRLHRAAVVVVVGGARRGGEEEARARGRRGGEEETALVGAAEEAGRRARAVERVRRRRVEEQQRNGGRHGG >Et_8B_060565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2338307:2339725:1 gene:Et_8B_060565 transcript:Et_8B_060565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVCSEKSQLPATFNLPKSQLQLYGEAVYCGGEDLLSRCARGKDSLERLASVVAWSISTTRPPIFGFAPYNPVLGETHHVSSGSLNVLLEQVSHRPPVSALHATDDGGNVELVWCQHPVPKFYGTSIEATVKGKRQVKLLKFNETYEVDCPNLLIRLLPAPSVEWSGAVRVVCKSSGLEAELSYCRSRSFLGIGGDPRCVKGRILRSGSGDALCEIEGHWDKTVSLKDLKTGKVSVLYDAQRAIADLRTPVVQDQKGLSPSESAVVWGEVSEAILKKDWEKARQAKRQVEDTARRLAKERNERGEVWMPKHFSLSQDKNGEWECCPLVESVPAAPVVVPS >Et_2A_017450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34419705:34421793:-1 gene:Et_2A_017450 transcript:Et_2A_017450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNGWEEKPATLLQRWSSSVWAVSSSGRLLWADKAWRAHAGMVFTQLAYGGYHVLTKSVLNVGMNQIVFCVYRDLVALALLAPIAFLRERNVRRPATPQLLASFALLGFTGIFGNQLLFLLGLSYTNASYAAAFQPAIPVFTFLLAAIVGVEVINIFTKDGIVKVLGTAVCVSGAVLMVFYRGPSLIGSGGTGAAEGNVLAGTWSSSNADPAQLLTSTMLQYGMETWHLGVLCLIGNCFLMGAYLVIQAPVLIKYPASLSLTAYSYSFATLFMLLTGALATNGLHEWALTTTEIIAILYAGIIASCVNYAIMTWANKILGPSLVALYNPLQPACSTLLSTIFLGTPIYVGSAIGGVFIIVGLYLVTWARYNEAQRLLTVDYLEPFLVEDPPVSKTQGSSSRGSIEP >Et_2A_014836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17927969:17928511:-1 gene:Et_2A_014836 transcript:Et_2A_014836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CECRIVYICRDPKDALVSSWFFTKKAAPAVGRSMAGPQWQHALQYWEESVKSPNRVLFLRYEDMLLDPKSNLKRIANFMGCGFTKDEDEKGVAETIVELCSLDKLKNMEVNKNGVAGDWRNHMTREMALRLDKIVEDALHGSGFTFF >Et_3B_030489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4088592:4090341:-1 gene:Et_3B_030489 transcript:Et_3B_030489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSSSPGVNVPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHAIKRCKHFEIGGDKKGKGTSLF >Et_10A_002224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4690715:4691726:-1 gene:Et_10A_002224 transcript:Et_10A_002224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQRSTTIGDLTDDLLDLVLLRIRSPFKLWRRAIAGAAFLRRFRSHHGPHVLGHYYVGDKIVFVPSPVPPGEVPAIDDVRNRVSLDFLRSQPRQLAESRGGLLAIIAEFSNIVVCDPWMRLGRQIHRWFPWGNGMISRSMHILGAFFLDADDDEPAAHMENFKVLCVHIVENYYGFGGVSKTAGTSVYSAKADGGWRLLTSTAIVGDNLMTNLLGSHWSYAHVIILFSTKKAAVLVF >Et_10B_003336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1892480:1892788:1 gene:Et_10B_003336 transcript:Et_10B_003336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DHSPRPTCQPAIRSPVPNVPANGEYDTPLCGPSAPGNPLPVLAEPVVLASYKARRGRDALSSRDITTATESSRLSY >Et_9A_061743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1676026:1685069:1 gene:Et_9A_061743 transcript:Et_9A_061743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKISRIHLWILPIKFNRRILVILKFGNDYLARIKETRNALSLLDCVAGALMLTTEASTAKKYCYPPRDPIDHSLVGLYRDIVLELTSQRMNEDNKYNPQICCDILNKCERDELCMKVFAHALYAIPKRRSEELSKLNNTLQVSPKSSDIIANKMLKFSYSDLPEEYKSCLLYLAIFPRRHNIKLSTLIGRWVAEGLITREDWHTSVRQAQRCFDMLIDRWLVYPDDISAAGNIKGCVVGDQVHEFITKIARKQHIVETRLSHHLARHFSIFNDLQLRSSNGSDIFFQRLFDQSSRVSLLKVLDLETCYFKKYQRYLKDICNKMILLKYLSLRGTNITQLPSEINNLHELEVLDIRQTKVPQSTTVNLLLLKLKRLLAGQIYPSPNSSNTGTNCVQVPENIEKMVNLEVLSNVKARNRQDLKDIGKLWQLTKLGVVINDRYSHLSNLLRTISDLHECIRSLSITIPTSEHQDIPFPQNAIGYSLRHHPKLLESLSIWFLFFNCSDISKLVKITLSSTLLEEDNWRALANLPKLQCLRFRYIASVKSVLTFKEDEFKFSTCELKKIVLSFTNIGCISGVDGLLKLEELELDNNRCGRLLSSSDSAKHIAKLTLRGTLLEQVNLQILAKKRNIRCLVLLNMSCDVSCTQITFKKDEFPNLSILIVDCSAITKIVFTSGSVPKLEKIVWSSSTYLSGIDNLPRLKDLEFKGHLVPHELKEAIGKNKNKPSFKLIEPEIQDQAGEQEEEDDDNMARFSSCC >Et_8B_059687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20738888:20740661:1 gene:Et_8B_059687 transcript:Et_8B_059687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRKQMEKGKSELRLTMEELSFFSPGDGEEVQVQKKQKSSTMDLICVSRQLIRVLEEIGPTLLVLRQDILQNVQRLQDLHAKDPSKYVSLTAIVTNEVEDGTSKKTNSCTKAIIWLSRSIKFSKFLLEKLLKTPEMSLEEAVEEAYRCTLKQWHGWISSAAYKVALKLIPEREIFIALLMGSCQDFEDLEEDVKTLIGVIHPILEEIDVILAKHNLDKIKSN >Et_7B_054997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6271820:6281285:1 gene:Et_7B_054997 transcript:Et_7B_054997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVPRRGSGMEPVAVVAVPFPAQGHLNQLLHLSLQLASRGLPVHYAAPAEHVRQARARVHGWGDDVLRCIRFHEFAIPAYATPPPDPAAASPFPSHLMPLFEAFTAGARAPLAALLEGLAASRHRRVVVVHDRINSFAAEEAARLPNVEAFGLHCLAASTLAGKMDAGLRLMRGRGLEFRAADEYVTEEFMEYLKRARPSQEISPGAGILVNTCRVLEGEFIDVVADHLAADGKKLFVVGPLNPVLDVGAPKQSTPRHECLDWLDKQPPASVLYVSFGTTSSLRAEQIAELAAALRDSNQRFIWVLRDADRGNVFANHGDQSRHATLLPPEFTEQTEGRGLVVTGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPILAWPMHCDQPWDAELVCKYLKAGMLVRPWEKHGEVIPAAAIRHVIEDAMLSEEGMAVRQRAKMLGEAVPIHAPAPLAALLGELSASHRRVVVLYDLMNAFAAEAAARLPNGEGFGLHCTAASSFVGRMEGGDALLRERGLCYLSVHDYVTAEFLEFVEKRSRPAATIGSSAGILMNTCRALEGEFIDFVAGQMAYAGKKVFSIGPLSPLLDGSASPEQQGKARRHECLDWLDKQPPASVIYVSFGSMSSLRGEQIEELAAALRGGDHRFVWVLRDADRGNVYAGDGDDSRRHAKLLAEFTKETEGKGMVVTGWAPQLEILAHGATAAFVSHCGWNSTVESMSHGKPVLAWPMHSDQPWDAELICNYLKAGFLVRPGERHREVIPAAKIREAFDRLMSTDEGRAVRQRATAIGEAVRADAAVGGSSHKELDDFIAHITSKRDTLTVSMESVAVVAVPFPAQGHLNGMLHLSLLLASRGLSVHFAAPGPHVRQARARVHGWDPKALSSVEFHGFDVPEYASPPPDPAAPSPFPSNLLPMCEAYVAGARGPVAALLASVSARHRRVVVLYDRLSSFAAPEAARVPHANAEAFCLQCAAASHDCAWTDAGRRLLRDRGLDGAPPVEACMDRELLEYVVGTRGDSRSPAFAGVVANTSRAVEDEFVDVAAQDPEYREKKVYAVGPLNPLLLLDATARTEGQQQQPHECLDWLDKQPPASVLYVSFGSTTTLRSEQSSPRRCATATKVRREPPRQVPVRVHEGDRGHGDGDHRVGAAAGDPSARRHGGVHEPLRLELDHGEPQPREAHPRVANALGPAAGRLCKYLKAGILVRPWEKHGVVTPADAIRDVIEKAMAGDEGLAMQERAKALREAIRASVAEGGSSRKDLDDFFAYITR >Et_10A_001973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1614581:1618484:-1 gene:Et_10A_001973 transcript:Et_10A_001973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVALSEDEEALLAFKASISSDPSGVLAAWTPTNGSMNTTNNVCQWSGVSCLSRQHPGRVTVLELMSSNLTGVISPSLANLSFLHTLNLTGNRPSGSIPFELGILGENSLTGEIPASLTNCARLTHVVLQLNGLHGEFGSLSNLEFLGLHRSNLTGGIPPSFGNLSSILAFDASKNYNLGGNIPNMLGRLTKLNFLRLAFAGLRGTIPALLFNESCVSLYYREEKKVHTSPLKLGPTGLHIIQLQSNSLQGIVPTDIGRLKNLEVLNLQFNLLEDKWDKDWPLMAALGNCSKLRALSLSSNRFQDVFPPSLVNLTIGIQKIFMNSNRISGTISPEIGKLSNLNLLVLARNAVTGTIPDTIGGLNRMNGPDISSNNISGEIPPMLAANLTQLAFLDLSRNELQGSIPESFGTMRSIAILDLSYNQFSGMLPKQNLQYLNLSYNQFDGPVPIRGVFNNSRNFSVAGSKVCGGVSELWLPKCPDTDNSEYGMSGEVSVEDDVYSYGVLLLEMFTAKRPTNPLFQGGQSICSYVATAYPERVMEVADQALLQQEKGSMVDGSLKECLLLVFQVTLRCTEESPRARMVTRDVVRELTAAKDKY >Et_2A_016922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29489179:29493504:1 gene:Et_2A_016922 transcript:Et_2A_016922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAMQGSSQPQFMTSVGRDNCSNGPGTPLIESIDVDQIVIPEKNSWKNLFSYIGPGFLVSIAYIDPGNFETDLQAGAQYKYELLWIILIASCAALVIQSLAARLGVVTGKHLAEHCRAEYPKVTNFVLWILAELAVVACDIPEVIGTAFALNMLFRIPVWCGVLITGLSTLMLLLLQQYGIRKLEFLIAFLVFLIATCFLVELGYSKPNSSEVVRGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVHGIKEACRFYMIESAFALTVAFLINISIISVSGAVCGSGNLNPEDQANCSDLDLNKASFLLKNVLGNWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRMTPWIRNLLTRSLAIIPSLIVSLIGGSSAAGELIIIASMILSFELPFALIPLLKFTSSKTKMGQHTNSIFTSVLTWLIGSFIVVINTYFLITSFVKLLLHSGLSTVSQVFSGIFGFLGMLVYIAAILYLVFRKNRKCTMPLLESDPEASLAGHSTGARTEGALGHLPREDISSMQLPQQRAASDLD >Et_4B_039745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28345607:28346070:1 gene:Et_4B_039745 transcript:Et_4B_039745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIKIHNAVEAAEKHQVENTWLLQWRDKLKQAASAGDELQMESQPAARRCMFFWIHYGCQYRRTVFHKERPVGHSAWNMLSSNKYVKVFSNAVKNLEQFSPDIGECLKDREWLASWADILSFSEVFSNAKKQGRAVHQCGHLGHHRQCQ >Et_5B_044118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20137758:20149186:-1 gene:Et_5B_044118 transcript:Et_5B_044118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGSSKLHLSWLWRAPRRALCAARDFYVRSLTGCAGHIPGDAAFGYPTFGGAPYGGEFSFASSSRRSSSADVDDDLRELIRAASQRRVAEAAAAAAAAAPPVPRSQSVAMARIDEDRPCEFDGAAAGAMFLPRSQSCAVGAGRLYRLPNPNSSSPFSNLPSLASSAAPYDGAMAEYRLSAQLQGHEDDVRGICICGDAGIATSSRDRTVKFWTRQPEKNREYVLSKTLVGHSSFVGPLAWIPPSERFPEGGIVSGGMDTLVLLWDLHKGEVVETMKGHTSQVTGLAVDSNGDIISSSMDCTVRRWRNGNAVEVWEAHKVAVQTVLKLPTGELFTGSSDSTIKLWKGRTCLQTFSGHADTVRCLAPMPGLGILSASHDSTIKLWELTGQPLLDLIGHTSLVYSVDAHSSGQIASGSEDRSLKIWKDGICVQTIEHPGCIWDVKFLDNGDIVTACSDGTARIWTTDTSRSCGDEELAAYTDLISQYMLSRKTVGGLKLMDLPGVEALQVPGNSDGQTLIVREGDNGVAYSWNSTELKWDKIGEVVDGPGSGDAAQGQVLDGVRYDFVFNVDIGDGEPIRKLPYNRSDDPYAVADKWLLKENLPLTYRQQVVEFILQNSGQNNFVPDPSFRDPYTGANAYVPGQPSSSNGSAPKQTFKHIPKKGMLSFDTAQFEGILKKLSEFNATLSSDSEQKQICLSETELSRLSAIVKVLKDTSFYHTSKLGNADMDLLLKMLKSWPPQMMFPVIDFLRMFVLHPDGATLLLKTIESGNDVLMETFRKAVAPPVLPANVLTILKAMSNIFDKSCLHQWLRTHCAEIIDSVSSCRPSFSKNAHLAYATLLLNYAVLSIESKDEQCQVQILSAALEIAEDDTQDFDSKYRALVAIGSLMLNGLVKSIALDLDVKSVANSAKASMDSKIAEVGADIELLTR >Et_6A_046213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:125717:130189:1 gene:Et_6A_046213 transcript:Et_6A_046213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEATPKAAAGSKMTVLQSPIGLRSILTSLVAFFIVVSSISLLFERGQEAQVQLAVEHRNQEMEVKVLAKQHDQQPKGQEPQVQIGVEHKNHEMEVKVATKQQDQQLRGQEAQSHIAVEHKNQEMEVNEQHDQQLLRGKEAELQWRVEVQEDSGRDSGAAEEECSWSRGRWVYDNVSRPLYSGLKCSFIFPEVACDKYGRKDVMYQHWRWQPHGCDLPRFNATKLLEKLRNKRMVFVGDSVNRNQWVSLVCMVEASIPDDKLKMLIYNGSLYSFKAMEYNATIDFYWSPLLLESNSDNPIIHRVEYRIIRANRIEKHAGVWRDADVIVFNSYLWWRKQRDDMKMKVMYGSFEDGDARLDEVEMIEGFEIALKKLTEWLGENIDKNKTRIFFAGSSPTHSWASNWGGEDSNKCLNETEPIYKIGYKAATTDYSLMDMAKSNFRTLETKGIHVQILNITELSDYRKDGHPTVFRKQYAPLTKEQIANPASYADCTHWCLPGVPDVWNEFLYGYLMRQEKSPEGPCSKNGTNEGAYPVDIELFPSVVAIEEKRKGTDIGWVHGRTGVGRAGEYEADSGDGEHPGLEDGVAGALDDDPEHGHGDDEGEHTFGDGAGVGIHLEGRPPEHVLVLRRHQLGDGQSAAHSAGGLIKYGGAYLRGEAESDGEEGWAGEAGGVEGGDAGGVEAGAAEGVAEEVEGEEEDGEEGDGDEERVVEALHTQVRRRRRR >Et_3A_023413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26235258:26235836:-1 gene:Et_3A_023413 transcript:Et_3A_023413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIRCCIACILPCGALDVVRIVHSNGRVEEISGPVLAGEIMKAYPKHVLRKPPSTCPAKPVVLPPNAELQKGKIYFLMPVMAAPPEKAAAAAQEPRRRRKRKDHHHQTAPRGGGAAGSSAAAAASGLMGGACTEGEKERLLANERYLSEIMKEKASTARDRRRGRVAVWRPHLESITEDDL >Et_3A_025181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27641035:27646834:-1 gene:Et_3A_025181 transcript:Et_3A_025181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPNKRPAFALLLLVAASLAAVVLLLCSTGAGVDSGRVGVMPSWSASVTALPFSPMDVLPLLPRRVAMAALRALRGASDIFPDGGGGETAEWKGACFYKNQAWVEFRNGTNGTLGGGITTKPHSWTCIDLYLFATPYRVTWDYYFLGREHTLDFKEWESEAEYEYVKRNGVSIFLMPSGTIGTLRALWDVFPLFTNTIWGENANLAFLKKHMGATFEERPKPWVSEINTDDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSDGKLWVGESGHENEQGEDIIAILPWEEWWEFEVTKDDSNPQIALLPLHPDLRAKFNETAAWIYAKSMNGKPYGYHNMIFSWIDTISDNYPPPLDAHAVASVMTVWNKLQPDYAANMWKEALNKRLGTKGLDLPDIIVESEKRGITFDKLLTVPEKDDWVYTDGQSASCVAYVLMMYKEAGLFDPITSSIEVTEFTIKDAYTLNFFEDNSTRLPEWCNKDDTVKLPFCQIKGKYRMELPGYNTMKPYPHMNERCPSLPPFYKRTKGC >Et_5B_043984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18576456:18578141:-1 gene:Et_5B_043984 transcript:Et_5B_043984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTRAKRRRLEEESRRPELPPRGEGGEEGPDLISRLPDEILESIITLLPSKDGSRTQILSRRWRPLWGAAPLNLDAVIAVGVMVDKQVSNILRTLQTHQGPVRRFTLTSHYTYVYDRSFFLDSILRSPRLNHLQEFEWLCKEICGPSPPVPQPVFRFMPTLKVLTIAAFRKVLTFPSEISSTLSSFPHLEQLNLRGVNISERTLHGVLSRCPVLEALVLDWTRGYRLLRISSQTLRSLGISDCRKCEDGRLEQVIVDHAPLLERLIPCSIHNDDLVIRIIQAPRLRTLGYLTERIATFQLGTMVFQKMTPVSQCYVMRTVKILALETAPSLDFLIDYLKLFPCVEKLYIMAFSQGDLKNNAQRNVSLECLDLHLKTLQIIDYRGTMSDVNFIRFFVSNAKVLECLNLFVRCDKCETKWIATQREKLWLNTRATKDIRCDFIANYRACAYVYIRDINDLGTDDPFNKTLCRCCSNDGDDDIF >Et_2B_021817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5775512:5777721:-1 gene:Et_2B_021817 transcript:Et_2B_021817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLKPHEKKLLKKTNFLEYKRERGHREAVVTQRYTLMDPRDPFRIEMTDMLLDKLYNMGVIPSKKSLLKCENLSASAFCRNMEDFITWVDSSKIKRKVLEYNGALDDFDAMS >Et_7A_051803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24960403:24964556:-1 gene:Et_7A_051803 transcript:Et_7A_051803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCVASSLTTTPLLPKPPPPPPPPRTRSDRLLVTDDQPSRKAATPKKKRNPMQSLLATALDALEDRVIAPLESKNPLPWCVDPAVQLAGNFAPVSESPAVTRNLRVAGEIPPSMAGGVYVRNGANPLLLPRGGGGGHHLFDGDGMLHAVSFSASPSYARRFTRTSRVVQEAALGRRAFPKAIGELHAGRTGLARLALFGLRAAAGVVDAGHGAGAANAGLVYFGGRLLALSEDDMPYHVRVEPNNDGDLRTVGRFDFAGQLRSPMIAHPKVDPATGELFALGYDVVNRPYLRYFHVDPVTGEKSPDVAVELPRPAMVHDFAITQGYAVIPDQQMVFDLWRMLRGASPVVHDSAKTSRFGLLPRYDSDASRMRWFDLPGCFCFHVWNAWDEADAVVVICSCMSPPDALFSDAGEPSVRATLTEVRLDLRTGLSSRRALAAGLSLEAGTVNRSRLGRRTRYAYLAVAEPWPRCRGVAKVDLDTGEAVAVREYGAARFGGEPTFVPAAKEGKEEDDGHVVVLVHDEAAGASELVVMDARSMETAATVALPCRVPYGFHGVFVTRDQLAAQI >Et_7A_052899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24528230:24533872:-1 gene:Et_7A_052899 transcript:Et_7A_052899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGRVIFPLVRTTTTTPAASSPAVLRVGDAMRERRRFTEADVAAYAAVSGDRNPVHLDDGAARELGGFERGRVVHGMLVASLFPSVIAARFPGAVYASQTLKFAASVYVRDEVVAQVQALHIRRTTAANGSTSRYVVKFATKCFADEEEGSLTIDGEAMAVLPTLELELKNRPVISVLYRLVKYRRRFVSAGHMSNFGRDQVRATRVLETKVTAGLLLEFWNQWATQVLVILSLTQQVILLFFSGTRRRQGRSAKRVLLWLAYQLADSTATYALGTLSLRSSVPEHQLVPFWAPFLLLHLAGPDNITAYSLEDNKLWKRHFLTLVVQVLGAGYVLYMHIAGSEILFKLVAIFLTAVAAAKYVEKTWALRCASFGIIRGSVEVGAERHGNCPFYLVDKPPKGGFRGKAVDKEEFLMLRAHAAFGICKSAMVDSSENPGGYVVGILGHLKENEMGYMWTLMEMELSLMYDIIYTKAALVHTLPGYCIRLLSPVAVVASILLFQFYGKEGRHSTADLVITYVLLGAAFLMEMTALLSALFSTWTFSFLCATRWSGLRHAALCSGRWHRLRRMVLSLRQLALSTRVADFFRLSRRWSGTMGQYNMLDMCTARPGRLARMLGLNKRAVSVPEGLKDLVVVYIQRMIESGYVNTLGMIRGKWGTEALQRWEKDHGVIIPNHDRFLGAEIHEGIIIWHIATDIFLAQRDKSNAKDEQDRVKEVQALSNYMMFLLVKQPYMLPGLAQNKLYQWTKRTLETEWKAMGASTTTRSSWVGNIFNGPTTSGSGLQLSEDLATALYNNPPSESETEHFRLLKAISLAKILVKMEGSLQLVYEVWCDFLIYAANRCSRESHAKKLNSGGEFTTIVWLMTEHLHKLAKC >Et_7A_051107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14488652:14489960:-1 gene:Et_7A_051107 transcript:Et_7A_051107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARSARRSISSAAIAGSLTLTHLPDLQTTTSQPLARCSAMVESSRKRAPAGVDRLSALPDELLHTVMSFLPAPQAVQTSELSRRWRELWRTMPCLDIDIRDFPSAASFRRMAGEPWDGPWRKLENFVSNLLVFHAATVQLDRFRLHVSGPNHQALFVWHGMPRILAKIHWIRRGIKHNPAVLEVALTSTVAMVDLPPLGPSAATDAACRLRRLRLHRVRLDAGFAHHLRFRCPVLEDVELKGCECCFHEVVSATLKNLVIDSVDGEEMQLVVTAPMLASIRLAFPGCTRPESFVLNGAAGSLLKASIGECFGFVNKHLFTLLDQA >Et_9A_062281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21464220:21465532:-1 gene:Et_9A_062281 transcript:Et_9A_062281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQLDDLHLDPECNCGSETEFDPDWGNDNHVVSWEERVVEALHRVRLHQIREHDPVRGIRVRTRFCRFNVAYFDFDKESTASRGPPILSLTSRDRINLADSINIVSLEILESDVGYPISVFGTVLVRDQVDYKCIYLFKRSEDNPQLITSPEDTLTLTDPCRGLAVTDGMFFEINLKVKRDDSGHTDFSKGVIRRAVFVSGKSQLMTRLLPSWHSTVQLAYTPVPSAVAAYLAINILEGPRDFFTGEVVAWTSGNSKNRIILYDSKIAGTKTEIGSGGSVALTRSLVAVPVKEELVLRICVREGGHEVACFEPTLDHFDDDRICCQGSYELQVKVEWTGILYRTMEGVFRHVGHTQLLL >Et_5A_040270.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:9275192:9277037:1 gene:Et_5A_040270 transcript:Et_5A_040270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTRRRVSSATRHVSFIFARSSQVRASPSSCLLLATSSCSACAEKKMDMAVARSEQLISCWNDQRSQFVSVSYQPWTMAARVSGSLARWRTMNVMRMEDVSWPATRATMALSTISSSLSSGAPESSVRLSRHAIRSLLRDASPRSSLAFVSRAIRTRTRRALALALRLRLNAVNGRLTGTDHMPSMTRAKSPASASRTAPSSRPNSSDAMMSNVSRFISGNTATFRRRRPPPPAGAQLSSTWRRTSPSILPTYIRSMSGLRNSASAPRMRLWPSAPTTSRMLLSPRMRRTDRGCRIASAVLKKTNLLAAGPVTSTVGVPNRDSFDTGPYRSTRSRIHRSAVLPRMAWRRPRLCPINGRPREPGGSLADGRGFFLCLVTT >Et_4B_038764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4717556:4723038:-1 gene:Et_4B_038764 transcript:Et_4B_038764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPPAHQSKPSNYHRRHHHNHPGPRRQQPPQQRAVPKSAAPAGPNPSPRPPSLTATLRSWTASSSASSAGGSSSSGGGAAADAFMAYLPHDEAVAAGLGGVDAQESQTVVDLLNDALAALLRATPREFWRQVAQNSSLHDFLDSYLQFRHRWYDLPHRGPKGTVAGLVVGELELCRRVFMVLYRISSNKDPGAGRGESLSMKEHAALLLEKKLLDLPKLLDICAIYEHDNSKLTSLLMLTSPGSNDRGYAQLQKDFLEVLDFINDGIVSLDSFVGAYQPAALLFCANFEMSHRVEELPNTLARLHDSLLPSLLQGFKVMSGSQGNGETSPNSILSNVALGIKMLSKRTVRFGWRLLHYCYLNDQLKEHDAQASTKMFSAKVEDPMIRGDILVQTLKDINREASFSSQVNHANTFLRALEQEFQLMSHIGNIRNKACLEAYNLNPEEVIQRILEGTLHQDLLALDTSLEEMPQKKPAPTAGKDKGKGILVETPQATIKPPKIAEIRHVVEDGPSSSVSSASQGPYSSVSSVPQGRFTRKNNDNMPETAVLDSKNAKDAIRSAILESQYEYEDEYDDSFDDLGFSVVESSYEETDGANDTEASSQGPRWSSQKKPQFYVKDGKNYSYKVAGSVAVSSAREAAVVHQTEKDTIYGLGRGGNIPFGIPNRQHIVVEEDRGNDSNSFIRGGSNHRGPGPRGGGGRRGGRNHNRRDRAMKKHMQGMTGL >Et_5A_041494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23679935:23683893:1 gene:Et_5A_041494 transcript:Et_5A_041494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLRSCWGPASTAGRPRRGSDATGRQDGLLWYKDGGQVVDGEFSMAVVQANNLLEDHSQVESGPLSATEPDLQGTFVGVYDGHGGPETARYINDHMFNHLRRFASEHKCMSADVIRKAYRATEEGFISVVSSQWSIRPQLAAVGSCCLVGVVCSGTLYVANLGDSRAVLGRLVKGTGEVLAMQLSAEHNASYEEVRREMQASHPDDPHIVVLKHNVWRVKGIIQITRSIGDVYLKKPEFNREPLHHKFRLPQSFTRPLLSSDPAITVHQIQPTDKFIIFASDGLWEHLSNQEAVDLVQSSPRNGIARKLVKTAMQEAAKKREMRYSDLKKIDRGRHFHDDITVIVVFFDLNAITTATWSRPTVSLRGGGVPIPSNTLAPFSVPAELNNSY >Et_3A_024856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24924364:24925832:1 gene:Et_3A_024856 transcript:Et_3A_024856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCGTMSARPRGIREETLVRIPGASVHLMSGSDGPVELARGGDLAVVRITKDDVAVATVVRVGRDLGWPLARDEPVVRLDRLHYLFTLPDNKDGTFLNYGVSFAATADAAALASLDGVLRSNACLSAPSAGAGAFLPFRSSRPPPPPPVSSPDAYWNGFAPRMDGYNGVLAKAIAAGTGQLVKGIFMCSEAYASQVQRGAELFRPQAAGAAAGADRRNQAPSSAKRGAVNKSLKRVRKLSEMTEKISQSLLDTVISVTGSMAAPLLRSKQGRAFLATVPGEVILASLDAINKVMDAVEAAEKRSLAATSSVVSGAVSKKYGESAGEATSDAFATAGHAVGTAWNLFKIRKAVTPSKSLPGNMVKSAVRNRN >Et_9A_062807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5164585:5167197:-1 gene:Et_9A_062807 transcript:Et_9A_062807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGSEGVPAGVASPSRGAWFAADSCLLIDSQLPLSPDDLRFAEVYAFVGDVFGSGAPRPIEAQPQRLHGVDPVVAETILVVLRNLEDNLEEDGDDSGEEHSGIGTKKPRKAPREKWTADEQERFVHALLVFGRDWKTIEQFVGTKTATQIRSHAQKHFLKAHKLGLAAAAPSPRRRCDGLDQASVAAALGRRRTAAERGLVVAHWSLLVQP >Et_2B_020294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18718539:18723975:-1 gene:Et_2B_020294 transcript:Et_2B_020294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTPGQRDKGATGRPVIALGQPNLPGPPQPRVTRLLIPGLLVVGTIAAWAHSSRAINARDSSAARPCGGVSHTSTKAIALFVPAPPPGGAVLHSALPHMATRAHLELDVDHLHGLGAGGADGRGGGGPADASATGNSNAEKWYTDLYGSDLLDACVLTMPRRMETSQPWVAGGSWTMNLIVAAISYILMAYLNCNQAGNLRKDIFLAYKTLGVVFGGLVTSPLYVYPSMNLASPTEEDYLGIYSIMFWTLTLIGVVKYVCIALNADDHGEGGTFAMYSLLCRHADIGILPSKKVYSEEEALLHNQSALARRPSKLGKFFERSIRARRVLLFMAIMGMCMLIGDGILTPAISVLSAIDGLRGPFPSVSRSVVKAVSAAILIGLFFLQKYGTSKVSFMFSPIMAAWTFTIPIIGVYSIIHNYNGIFKAISPHYIVRFFLRNKKQGWQMLGGTVLCITGAEAMFADLGHFNKKSIQIAFMSSIYPSLILTYAGQTAYLINNVNDFSDGFYKFVPRPVYWPMFVIATLASIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSEHKEGEVYSPETNYILMILCVGVTLGFGGGKEIGNAFGVVVIMVMLITTILLTLVMDIKWRTPPVLVALYFIPFFIMEGSYVSAVFTKIPEGGWLPFAVSLILALIMFVWYYGRQRKFEYEMANKVTVERLGELLASPEVQRVPGLCFFYSHIQDGLTPVVGHYIKNMSSLHTVTVFVTLRYLLVAKVARRDRIQIKRLGPKGVYGCIIQYGYADNLGLEGSGDDLAAQVTSCLRQHIETVTDLRSAASTEAELAQVEMARSAGEVHVRGKMRLYVGKDAGWFDRVMLGSYEFLHGICRSGLPALGTPLQRRVEIGMFYKID >Et_3B_027398.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11732353:11734137:-1 gene:Et_3B_027398 transcript:Et_3B_027398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATRDPLRLLLLIVTTLLLLLLPLSTLALTADEAAAIAHRQRLALEQPPKDVVVDVGIDIKISNPMLLSAHKALQALKDALYSDPNNFTQNWVGPDVCAYNGVFCVPSLHNASTSAVATLDMNGADVAGYLPREIGLMRDLAVLHLNSNRFCGVIPEEVRNMTELYEFDVSNNRFVGPFPNAVMGIPKLSYLDIRFNDFEGPIPPELFLRPYDAIFLNSNRFTSGIPETIGKSKATVIVLANNDLGGCIPRSIGEAAATLDQFIFVNNSLTGCLPVEAGLLAGATVFDVSGNNLTSSIPPTLAGLAKVEQLDLSRNRFTGVVPGDVCELPALANLSVSQNFLTGEAVECTALERNVVKSFSDEANCMGHPRPMQRIADECASVVNNPVDCTKLKTCGWPSPPPVPSPPPPPPVASPPPPILSPPPPPPSPPPPSPSPPPPPPSPPPPSPSPPPPPPSPPLPRPSPPPPPPPRPSPPPPMPSPPPPIFSPPPPSPPPPSPPPPPRPSPPPPTHHHPDRHRHRPPHHHPDRHRRHQFSLHHRHHRRCQLPCLLYEERNTSHHRHHFLKVTELRKCITCTAVAIVPMLGQKKMNVY >Et_5B_043114.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:505199:506843:1 gene:Et_5B_043114 transcript:Et_5B_043114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLDEESSSKRRRRLTGGRRKRHLYLAIDDWEGGYSIHKLDADSILLDQEAAGEGEDKLPEPGAVRIASPVRGPMAFAALGTNIFIATNPRCRRRDRAPPTFVFDTETSALTLGPRVPEKLHDLSTAMAVGETLYAVTTERYSDFPSLQVMSLASTSVPDPDPWDPPMEWSWRDMPASPPPLNGAEMVAYALHPDGRTIFMSTGLTTHSLDTSNGAWKELGDWVLPFRGQAYFDGDLDAWVGLHHRHDGYVCCCPVASRSAAAQQPPECRMLGEKLFLRKDEGKYPTGRHLGATLTYMGDSRFCLAETVLRSMDALDAVLHVTLFGLRYDHKGELRTKVRRTTRSYKVSKNTCLFSHASFWM >Et_7B_054188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16903053:16903429:1 gene:Et_7B_054188 transcript:Et_7B_054188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTLTSSAPVWYLKEYSKTGKKKVWITAALQCPFPDRMLGDELRKGLFDRVHLQFHNNPVCSYRAGNEPRLPAYGTSEPATFLEAPSAADGGSLNYGGIMLWSRYLCCRQDTAGPSRA >Et_2B_022315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17768051:17771915:-1 gene:Et_2B_022315 transcript:Et_2B_022315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFFFQFYLLSRIHGRTHENFAARRARPGNKAATHEHDFLSLYTAAAAAAKDSPLQLHADAKPAPPSQGFLLKTHDFLQPFAKPGAAPEETSSSGADGPLRTQHPQPQVVIQPKQHALPLPGGVGTFSICPAPAAVKAEPPLVLWGQPASQLRGRGQQHHHWTLPFAGAGQVRPAAAPERHRRGGGGVPMDSGSRSSGGAGFDDDDGLTARREVSSSLKGRTILVLIGLVSRVGLAELTVRKKTSSVGGMSLHALMAPGAGSQWQPAHIHQLHAHLLVTGRLAGSSTVALALLRAACRVRSSPCLRPLARHLLDGIIHRSPHLLHAAARLANRLRLPSLAFRHYLALRAHHPSFLPPPAAIADVLKSVPCRAAHAHALRVAAHALDARFLNNTLIAMYFACGDALRARQVFEGMCDRDVISWTSLISGLVQNGFPFQGFHHFMAMIRCEVRPDFVLLVSVIKACMEIDNFPCATAVHSLVVKGGFDNELDVVITLTAMYARFGCIVAARALFDRVPSPQVNVILWNAMISGYSKNGLASEAVDLFKRMRMVARSMTPDSVTLLSVILACAQLGSIELAEWMEDYVQGSEYRDDVVVNTALIDMYAKLGNIPRSHAIFQRIHVQDRDVVVWSALICGYGVHGHVKEAFALFEDMKLTGVQPNDVTFLGLLSACNHVGAVEKGWSCFHSMKHDYGIEPRHQHYACIVDLLARSGQLDRAYQFIMDMPIKPEMSVWGAFLHGCKMHGHSNMAMAECAAQHIFELGRSNAGHYVQLANLYASAGMWNHVNGVRVTMRERGVSKETGCSAVDIK >Et_7A_052735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14704897:14707591:-1 gene:Et_7A_052735 transcript:Et_7A_052735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCIPGHKPRPERVFNGDEQESAVLGEANLVVVRRTPFELPHQVRHEELKVEHRQREPRAHAPAGAERHHLELPRAGQVRGVTFAAGHEPLGSDHVAGSRLMSSRRNFAAVRHHAEHFGGLLGLHVRTTREWRRRLSSTTPLRYGMRDRSASSMTRQRSPQETASTSTRSFRCSSGCLTRLASVHSSVAAVDSVPAPWNSDMRLTISPSVTARSPSPGMSRLRRESLKQDYLSAGAVNSKVLACVACLS >Et_3B_027906.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26122505:26122708:1 gene:Et_3B_027906 transcript:Et_3B_027906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVLPLCALLLALLCVASIMDVTEGRRGGRSYGGGGGGSGSPRSLSGGTWSACVGASLLASAVVLL >Et_4B_039285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9487181:9489965:1 gene:Et_4B_039285 transcript:Et_4B_039285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAKYNRSNPAVKRILQEVKEMQSNPSPDFMALPLEEDIFEWQFAILGPRDSEFEGGIYHGRIQLPSDYPFKPPSFMLLTPSGRFEIQKKICLSISNYHPEHWQPSWSVRTALVALIAFMPTNPGGALGSLDYKKEDRRALAIKSREAPPKFGSPERQKLIDEIHEQMLSKAPPVPQQLTDGTNEESNKLPGSDSFGEHVDKAVEGVNASGSMSASSDVPAPESELEVAENTGEAPADDVTHHHIPEVSQRESIPRVPAAPSAPAVAIQKPKHDRLLTLAAFGLTLAIMALVIKKFLKINGLAGFIEGKF >Et_6A_046855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21521965:21525233:1 gene:Et_6A_046855 transcript:Et_6A_046855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEKIFVFLVLCCLCMGVRLVLHFSPSFFFQTNFSLCHMNLFFSFVFAENASAEQCKVSDLNVTQTAVPAHAVGGYQVYAVAVENRCVCSQTNVKVKCPGFDSSLCTLNGSRPIPTGVEHAVTFFYAWSSLISLEPFLSILVVAGNASYQQCRLSDLNVTQAVMPGPVVGGVREYVVAVTNRCVCNQVNVKLACPGFNSSVRVNPEGVLSMDGDDKLCTLKGRSVGMGPDAVVFSYLSKSQISFKPMSSTIACSRAAAPSPQ >Et_3B_030932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:923507:928146:-1 gene:Et_3B_030932 transcript:Et_3B_030932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGVLRAALRRSRTAAATLLLHRAPPSAGSIPPPPPLSRTFVPLRQLPFSTSFAFSTATVDSAGPGRPKGKARKNPMKQSRLDFTKVDSALLPTVILVGRPNVGKSALFNRLIRRREALVYNTPGDHVTRDIREGIAKLGDLRFRVLDSAGLETAATSGSILARTTDMTGNVLTRSQFAIFLIDVRDGLQPLDIEVGQWLRKHASGIHTIVAMNKSESLDEHGVLTAAAGEAHKLGFGDPVAISAETGLGMAELYEILRPLFEEYLFQLPNNGLNQDHPTSEAETEAIEGDNSKVPLQLAIVGRPNVGKSTLLNTLLQEQRVLVGPEAGLTRDSIRAQFQFDKRTVYLVDTAGWMERSGKEKGPASLSVVQSRKNLMRAHIVALVLDAEKIANSKSSMNHPEVMIARQAIEEGRGLVVIVNKMDLLRDNQKLFDKVMEAVPTEIQTVIPQVTGIPVVFVSALEGRGRIAVMHQVIDTYEKWCLRLSTSRLNRWLRKVMSRHSWKDTATQPKVKYFTQVKARPPTFVAFMSGKAQLSDTDTRFLTKSLKEDFDIGGIPIRIVQRSIPRKASAKSSVKKVGPRIARMKTDKRSTILDPTSP >Et_1B_011404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21853340:21857591:1 gene:Et_1B_011404 transcript:Et_1B_011404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYGHGGQMRGPSMDSRPKGGQRPNVQQLKLMGQIHPTGLTPNLLKLFEPRPPLDFKPPLEKRKLPAYMGMAQFVTQFAEPGDPEYAPPVPKCETRAEKKARIRQNKLEQGAAKVAEELQKYDPQSDPNATGDPYKTLFVARLNYETSEHRLKREFETYGPIKRVRIVTDKDKNKPRGYAFIEYTHTRDMKTAYKQADGRKVDNKRVLVDVERGRTVPNWRPRRLGGGLGSSRIGGGDADKKDSTREQHQGGTAGRPRSEEPRRDDRRADRDREKSRERVRDHRDERTRERSHDRTRDRDAREEKHHHRDRDRTRDRERGKDRERDHGRDRDRDRRDRDRDRDRGRDHDREKDRGRSHDRHRERGRDRDRDYERPSHDRDRGHLHERDADYTNGGPKQDKSMASYGQDYGYGQYEQHKSHEPYAYGQDGRARETEHSKRHEHEYYHSDSYGKMEANYQAQPHNAEPDGPEEGEAFEEGDYQYHQAAERMNEA >Et_9B_065621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8153758:8154713:-1 gene:Et_9B_065621 transcript:Et_9B_065621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WEDGSEVSLLRIIFISTSNQSIGKIYPGKGIRFIRADSQLFLFANSKCNRYFHNRLKPSKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGASLEVIRKKRAEKPEVRDAVREAAIRSGPALSQWQRGLALSL >Et_3A_025802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33027139:33031113:1 gene:Et_3A_025802 transcript:Et_3A_025802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKAGKAGKGKDVVRLERESVISIMKPKLIMKLAYLIEHQSDKEEFLKLCKRVEYTIRAWYHLQFDDMMELFALFDPVHGAKKLQQQNLSSEEIDTLEQNFLSYFFQVMEKSNFNIVNDDEVELAHSGQYLLNLPIKVDESKLDNKLLSRYFKEHHHENLPEFSDKYVIFRRGIGLDRTSNFFFMEKVDVIIGRTWRWFLEKTRLQKLFSRKKNGRPKTDSKKNDDIAGEEEDKELYVERIRLETMELSFRNLIGKVTIQEPTFEEVIVLYRRKSPKGQNDRAIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFIVSVVIGLVTLISSLEMPKADFWVVIAILSALVKEVIIAYYILMENGKSTSDDLDAQCEELMQEEFGLQCNFEVIDAVRKLERLGIITRDSIGRICCVPLKRANEIIGATTEELVMKANQS >Et_4A_032216.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24739464:24740342:-1 gene:Et_4A_032216 transcript:Et_4A_032216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALPTASPGGGAGVKEDGVGWRRRPFLERSNDSFPLGPFTPNGKKTSNHLTTIYYEIALSNGRLDYNHDTFYLPVSSYFIAASTAGYCVGNLRLEALYAPWEDQSFSYPVNLASPLQILIDASDGASDCGNKFGEPLIQGYTRNFEMRLLNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGEDNPIIRIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDRQCHWEN >Et_4A_035801.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:6231035:6232789:1 gene:Et_4A_035801 transcript:Et_4A_035801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGLVMYSRALISMLFLGRLGELALAGGSLALGFANITGYSVLSGLALGMEPICGQAFGARRGKLLALALHRTVLLLLAVALPISLLWVTSTGPILKLLGQDAGVADAAQTFAAYASADLAVLAVLHPLRVYLRSQNLTLPITACSLFSVLLHGPINYLLVDRLGMGVAGVALAVALTDLNLLLALLCFLAISGAHRESWVGPTADCLRGWPALLRLSVPTATAVCLEWWWYELMIVLSGLLPDPRAAVASMGVLIQATSLVYVFPSSLGQGASTRVSHQLGAGRPAGARRAAGAALSIGVAVGVAAAAFMVSVRDHWGRMFTSDAGILRLTAVALPIAGLCELGNCPQTAGCGVLRGSARPASGARINLASFYLVGMPVGVALAFGAGLGFAGLWLGLLAAQAACAVWMARAVAATDWDVEVARAKELTKASTTSNNNSHASECSNASVSAGDITAVIVNITTTTATVGNNSNAGRKNQLGYVPISQGCANDDNEELEKLEEGLRSSTSSACNDSSVNGDASGDSDAVVVRENHGGSNVCNNSAGAGTTTATEGKEQGERAPLISVEDGEHDGDGRGGGQV >Et_2A_017889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7527892:7538454:1 gene:Et_2A_017889 transcript:Et_2A_017889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSPPVQLRHVAMLPFMAKGHAMPLIHLARLLLRRGLAAAVTFLATPRDAPFIRAGAEGVAVVELPFRPSGGGPQSMEELPPGSSFLDVVDAAAAALPLAFADALARLEPRPDLLVHDGFLTWAADAADKLGVPRLVSMGTGAFSSYVCVAAQMQKPLARVSSPTEPFDLDGLPGLRLTKADLPQPFDVPEPAGQHWDFVSECAKAMGPSRGTILNSFHELESLYIDKWNREIPHKMWPVGPLCLAGEPVLTLDQDISDWLDARLAMNRPVLYVAFGSQADLTRAQLEEVATGLEQSGLDFLWVVRSRWFDQENPFEDMFKDRGKVVQGFINQLGVLSHKSIKGFFSHCGWNSVLESISMGVPILAFPMAAEQKLNAKFIVDVLGVGLRVWPTKRRDDGIEGGLVVSGDVQALVRELIFGGEGECVVAKVNELAARARKAVDTDGSSFESIELMIREISEIRSLRHVAMLPFMAKGHAMPLIHLARLLLRRGLAAAVTFLATPRDAPFIRAGAEGAAVVELPFPSTAVGPQSTEELAPGSLFLDVADAAAAALQPAFADALARLEPSPDLLVQDGFLPWAADAAAELGVPRLASMGIGAFAHYISSAVQIQKPHARVSSPSEPFELDGLPGLRLTKADLHPPFDDPEPSGRHWDFVSECAKAMGSSRGIILNSFHELESLYIDKWNREIPFKMWPVGPLCLAAEPVRTMDRDISEWLDARLAMNRPVLYVAFGSQADLSRAQLEEVAIGLERSGLDFLWVVRSRWLDQENPFQNRFGDRAKVVQAFINQLGVLSHKSIKGFFSHCGWNSVLESISMGVPILAFPMAAEQKLNAKFVVDVLQVGLRVWPTNEEMMAWKEAYGDVQALVRELIFGEEGERAAARVNELAAYARSAVDTCGSSFESLEMM >Et_1A_009107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29409244:29412828:-1 gene:Et_1A_009107 transcript:Et_1A_009107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRARQRQRLLVESSECMGWRCNLSAPDESADNKEWRDWASLLPELVEDISGRLLSVDVAEYLRFRAVCRPWRGLTADPHAAPLDSRFRPRNWTVLTITPDARPRRHLLNLATAASLSVDLPALSTHCHLCAADGLLVLFHMATKAICLLDPLSNIITEFPSIISIVAAVAPSRPGLISTMFRNSSGVNSHTVNGAGFDDSTTPPTLVLCLRDGMCNIVFAKPGDAHWTLVNEGQASHPMYSRLGRVLFYSLLSLGGHCYVSSPEGYVYLVKLHPLPQLVEMVNQRCFAEPDNIFYQRIISFLVCGIGGRMMMVRYWRGMEHFGGMEGYNRKDLFTVGGITSRIEVLEVDMTAKRMVPVRSLGHGAIFVGLTHCVLISTEMFPSVAVDAIYLGCLHQRNRRFSVYHVNNKRNNRRTEPPHKFTHHEDWGLVPGARPCNLDQYLLCSFLDYWQGCEMKRIENYYKILQAELRSLQIHMAECPSSRAHRHAFILTHDENKLHKQARRSSTTRKIRTRRRSGRSRGNYFVVAYQLAKRHDLQTGDRPRQGSEDSVRSCIDDQRRKLRCARAVSPFSSLSFTAVYTPAPASAPSVGASR >Et_1A_008891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17518409:17520551:-1 gene:Et_1A_008891 transcript:Et_1A_008891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTCLKPGCELCGNGVLAPGLGLENEPRAEARVVPRLVEDPFGFIEFVAVDKEQCSYRIERYLHPDREVWELEPLAVFVQGKYALDLFVSIQELHDVRKCFTLQAFHDICLQSRMSGSDMRIIQRGGGAVAAAGEGEGRAWPELLPPNTPRRNASPDLSRPRTWSATVGLGLGLISGSDGPTGGAGSGPGSRSRGGCGAGSVGDGEEPAMARTVLWPSASARSKKR >Et_7A_051582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20661801:20675549:-1 gene:Et_7A_051582 transcript:Et_7A_051582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADRLSALPDMALQRVLSFLGTRDAASTSVLSQQWRTLWREADTLNLNTRSYPAVGYDGARAGKLLFGDPMEAVHAGGRCPVRKLRVRVDSFFQNDYLEAAMRTTPGMDALLAAPAVESLEELRVILVAELFLENRCPLLLFKAQTSSLHCSLLAACHTHPSCTLGPPGTAVFERLETLKMEFCNTAPENLQEMIDAAPNLSSLWLEYVTFKSEQLGLYWYAVMSKQRVQLRCPKTLNDVTLMHCHKTDGVYLDAPNVRSLCYKGYLQHFPFDVMAPSSSPTNLQQVRDINDIAVHPHQEERFLKLFPDLKFLQVKGSYEVDSCAASVAITNLLHCCPAMQELRLNFKLHRDTYSFPDRRIQQANKRRAWWDLEESMKSLSRLKSKTIPFPWYARSFPCLDSHLRKIRLQFELQGFNCFAAKFTKFLMENALALEEIEVRDEGQGVYNHIHGKLPEWRDNSSKSRFSITVGEIQCQE >Et_1B_012411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31827420:31831208:1 gene:Et_1B_012411 transcript:Et_1B_012411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSAGSGGNSLPTVGTDGQKRRVCYFYDPEVGNYYYGQGHPMKPHRIRMTHSLLGRYGLLDQMQVLRPHPARDRDLCRFHSDDYIHFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYSFCQTYAGASVGGAVKLNHGHDIAINWSGGLHHAKKCEASGFCYVNDIVLAILELLKHHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGYSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEMFRPGAVVLQCGADSLSGDRLGCFNLSIRGHAECVKYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGQELEDKMPVNEYYEYFGPDYTLHVAPSNMENKNTRQQLDDIRSKLLDNLSKLRHAPSVQFQERPPDTELPEPNEDQEDPDERHDPDSNMEIDGHKPVEESTRRSILGIRVKRELAENESKAQDGNRSGMSEHRGVEPMVEDIGSSKQTPQADANAMTNDDPISVKNEADGSTKMLDQPPVYHKP >Et_2A_017331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33257045:33261134:-1 gene:Et_2A_017331 transcript:Et_2A_017331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLPCFTQLSPPSSSWGNEVGSSKAASGRALVRSSARVPGHCHFRCSASPRSANSFQKKDSFLDLHPEVSLLRGEKNVEVIHPTRGPSDGIPLEGLGVPPDRNDYNEAKIKVVGVGGGGSNAVNRMIECSMNGVEFWIVNTDVQAIKMSTVLPHNRLQIGQELTRGLGAGGNPDIGMNAAKESSESIQEALYGADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRNSVDTLIVIPNDKLLSAVSPNTPVTEAFNLADDILRQGIRGISDIITVPGLVNVDFADVRAIMENAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEIIYDLVDPNANLIFGAVIDPSLSGQVSITLIATGFKRQDESEGRTSKGGQQLQGDNGRRPSSAEGSAVEIPEFLRRRGPSRFPRVVCSSDVVPIDFTDWVFDGGVVRELIHEWSELSIIRITLEVLAPNGVD >Et_9A_061362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10622948:10624706:1 gene:Et_9A_061362 transcript:Et_9A_061362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNIYHNEDDLPIREEPAKNSQISLFIIHVSSLSKGGMSGNSSSTSSSRAKRVLDGEAGGGWPFTQPEEGVEGRAAAGGGAPWGRERGKIPGLLGEEEREEAGMRCTATGDGKEKGTPWLLVDLGARESRKRARERADRAGGGDGQAAKLTKYR >Et_2B_019165.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15111409:15111654:1 gene:Et_2B_019165 transcript:Et_2B_019165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSAVTFQLGVLGGTGVLFLASTVLAGVLNAVRVPLTSVGAVIWFHDPMSGFKILALVITVWGFASYMVGHSSAKQTSSN >Et_7A_051604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2174140:2175450:-1 gene:Et_7A_051604 transcript:Et_7A_051604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKCDHRRYFETDGELRSLPPCRYSHLPTVSIIGFLGRKDQLELTLHILRNAAVLKAMKIVSRVKKLEWHVGPVNHVVTEGISTYTRIWLKDICLLL >Et_1A_006252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19670106:19681305:-1 gene:Et_1A_006252 transcript:Et_1A_006252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASDARRLAVVPQLGELPQPFGPFPLPSRCDSRRLARARAVWSDPIWVWSWSTLRSGSALCGTKRRCTDAKRRRRDIQQILKEAQHRWLRPAEICEILKNYKNFRIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKNDQKTVKEAHERLKSGSIDVLHCYYAHGEDNINFQRRTYWMLEEDFMHIVLVHYLETKGGKSHRARANNNMIQEAAVDSPLSQLPSHTIEGESSVSGHASEYEEAESDTYSGGAGYNSFTWMQQHENGGGPVIDSSVFSSYTPASSAGSYQGLRSMAHNTSIYSGTQDKSSLVLDGSSPVFTLNGHASQNDLPSWNEVVRLDNGPVQMPPLQFPVLPEQGTSMEGLGVDYLTFDEVYSDGLSLKDINAAGADAESFWQLSSGTGDMSAIENSYPQNDGSLEAASYPFLKTQSSSLSDILKDGFKKSDSFTRWMSKELPEVEDSQIQSSSGAYWSTEEADSIIEASSREPLDQFTLAPMVSQDQLFSIVDFAPTWTYVGSKTKILIIGTILNNSQVNKKCKWSCMFGEVEVPAEMLADGSLFCYSPSHKPGRVPFYITCSNRLACSEVREFEFRPSDSSYMDAPSPHGATNKVYFQIRLDKLVSLGQDEYQATISNPSVEMIDLSKKIGSLMMNNDEWSNLLKLADDNELSTDDQKDQFAENLIKDKLHIWLLNKAGVGGKGPSVLDDEGQGVLHLAAALGYDWAIRPTVSAGVNINFRDIHGWTALHWAAFCGRERTVVALVALGAAPGALTDPTPDFPSGSTPADLASANGHKGISGFLAESSLTSHLQALNLKEANMGEISGLPGIGDVTERTSLQPASGDSLGAVRNAAQAAARIYQVFRVQSFQRKQAAQYEDAKGGISDERALSFLSVKPSKPGQDPLNAAATRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIVWSVGIVEKVILRWRRRGAGLRGFRSTEGATEGSSGGTSSSLIQNKPARDDYDFLQEGRKQTEERLQKALARVKSMAQYPEARDQYQRILTVVSKMQESQAQQEKMLEESIDMNEADFMSEFKELWDDDTPIPDAGDATQSVVWTVDKEYNATQSFESWYVVWIQRVSENKEVIQRDYGTDQGSLS >Et_3B_028763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18980523:18981355:-1 gene:Et_3B_028763 transcript:Et_3B_028763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSSYYLSSSSYLPPSATAGAALDVDQLPTYDPRLDAAKKEALDASRADLARTLVHLVPVVVLLCGLLLWSLSATDVPEVGILVDKRSTHKTVARVKLMMDKSESSSRWNGSSMMTATEHSDPTDTSMEIKRRELRSEM >Et_1A_008602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9074105:9074783:-1 gene:Et_1A_008602 transcript:Et_1A_008602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIKGYYLNGNMRPRGYTYLRVQFYERTNIKQSKLQMRNRLTQLKVVYCVCTKLHDQTGRGCHANGWPKASKKWWRQTLQVQPAFTTRRNLAELQNLKHRGPPYYDKLKEVFQGVVVDGSTAFGGPDDSEEAAAAAEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEGDEYQQDEVPTALRSPYGSPRGFQSSPANVR >Et_3A_024215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18485075:18490026:1 gene:Et_3A_024215 transcript:Et_3A_024215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIARHEHHAETARDESPLRLNLEQAIKLQCMHDFTHYDDVERREPELKVKPFEIEVGRGDFARGNEVLRHSLRVELTSRVFGSGDIEGSDTSKEPDPAPELEAQNQLGLGTADIKAMDTTLNCKDYRTVLRKDLTNSDCGNIGRIVLPKRDAEANLPALVDKDGMILEMEDFELPDVWKFKYRYWPNNKSRMYILETTGEFVKRHSLEAGDILILYKHKRTDRHVCQSSIYALLSATFHSVLIIYILIHELLRKKMKFILNLHPFFWNLFPWSSYPSVLFCAIFKVARAVKASTKKMLECQCMKAGNSAEECGFATEYKIGVKAINSNMQQSNGNPTCK >Et_1A_004964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19453935:19455097:1 gene:Et_1A_004964 transcript:Et_1A_004964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPPPLPAELVEEVLLRCPPDDPARLFCAALVCKPWCRLVSAPPFHRRYREFHRRGAPMLGFIINLQESNSEFTVSRFVSRSSFRPLRTDWRGLRTLDARHGRVLLTNTPWGPRPEDNVLVVWNPITGERLELPKLPLRPDPYQCPWMATVLCAAGDGCDHLDCHRGPFQVVFVISSPVEMFSRVYSSEKGKWLRPIHGQRTHYDKLKLAPGLLVGGALYFMFHWSPKILKYDVTTREMNLNGGLGVTAVDDTIIKQWSRGGPDEDNGWTQSKVVDLKRLLPADASDFVDVVGSAEGTGVLFMRTPSGLFSVDPKSGRAMKVDLEGEPSFFNIIPYMSYNMPGITLLTLRFSVIFQLIRNCEFV >Et_1A_009317.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:38662675:38663784:1 gene:Et_1A_009317 transcript:Et_1A_009317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLRSLLRSASARADALSPFSSNPAHQPVSTPATPAASAAAALSFGCMTATPTDTPPATPKDASNKAMSSFASLWSPRRLMQRAARAFRISSSSRSRRRMKGDEPGPGVPVIPGRASDVASGGSMDAEHGSSDGNNGAAVDKMQEEVVDPQQQHVEAVPVPEKIIHVAHHQDPVVKEAEACEKAVEQKENGGAAAAAEEVKEKEEEPKKGAVPEPEAVADADMADKFVVVVKEAMKKRDEEEEAADAKKEAMAKFQGSRVKTAMEARGESEIPRRREVARSNEVIEEACTKFLAKRQGSRVRALVGAFETVMDAKPVAAGKPKHHYPNPPEQDHPQQRQQRMHALHSCAIVRPYNYFSPGRQPRAAN >Et_4A_031897.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27522087:27524785:1 gene:Et_4A_031897 transcript:Et_4A_031897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFRPTLLEARKVFARMLSSGSGGADVPVDASDPTKRLCKLVISCRKASALEHELDHSGIRVTPDVAENVLARLDNAGMLAYRFFEWARRQKRGGCAHTVRSYHTVVASLAKIRQYQLMWDVVAIMRREGVANVETFGIIMRKYARAQKVDETVYTFNVMEKYGVAPNLAAFNSLLGALCKSKNVRKAQEIFDKMNSQFTPDAKTYSILLEGWGRAPNLPKMREVYSEMLDAGCQPDIVTYGIMVDALCKTGRVEEAVSVVQDMSSRGCQPTTFIYSVLVHTYGVEMRIEDAVATFLDMEKDGIVPDVVVYNALVTAFCKVKKFENAFRVMNDMEGHGITPNSRTWNIILNSMISLGKDDEAYRVFRSMIKRCQPDSDTYTMMIKMFCENDKLEMALKVWKYMRMKQFLPSMHTFSVLINGLCDKGEVSQACVILEDMIEKGIRPPGSTFGKLRQLLLKEGRKDVLEFLVEKMKILIQEPLFD >Et_9A_062014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18885352:18888104:-1 gene:Et_9A_062014 transcript:Et_9A_062014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTTQSKWLEATVRAPAFDSPLVPTTLAMKSPLLHGEFEFNLHCSWICVSPFCWCAEMEDRVNSMLKLIGADGDSFGKKAELYFRSRPELINHVEEMFRSYQALADRYDRISSELHRANHTIATVFPDQVQFSMQDGDGEGFPKAMSGIDLSNFKFPTLEGLPVGSRGTSRGTSPVPRRGAQMHRRVASNISKDKAQEEIDKLQKQILVLQTEKEFLKTSYDSALGKYLDIEKQVAELQDEVCSLQDAFSTGAAIEDNEARALMAAQAIVSCEDTLTNLQTQQKRSSEEAKVEFQRANEAMEKLKTFRDECGLPPAQIEECDHQDTELSHVLPAEDADDSAPIESQLNLQELCQKFKELIELHPEASVAELADKVDRLVEKVINLELATTSQNAQINRMRTEIDDLHKHLHALGEDKASLVVDSSNLADRLKQVEEALQAVQQIGRSIQNGTDHISKQITGASHELTEFVETLTAPEPQISFVMDSSQPSESNASLENNSMPTDSSIQKELSNSLYGKSSDMDKLEGSVDPVVQEQLLPNETQGEERIVLEEYSSVLQTYKDTEQKLSEIEKRNQKYQLEAMSELKELKSANATKDEEIHSLRRMLSSLQKKMSSSVTESTEKSEEMSKISTSPATEDKEIAEIEEYIKQCQVEDPLTSVAEDKFRAEIDRVLGENLDFWLRFSTSYHQIRNFQTSFEKLKTEMDKLTLTDEQAEGGPYGFAASYQVAKLESAVLEKKFRDLNTDLQVWMEKNVLLKGEVENRFSSLCSIQEEISKITTLDKGDEVHFTPFQAAKFQGEVLNMKQENNKVAKELEAGLDHVRGLQVEVGRVLLKLRENLELSMARSNRAQQNFRNLSTKAGVPLRTFLFGPKPKKPSLFSCMGPGVHKQHGGSKGGRR >Et_2A_017750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5884430:5886923:-1 gene:Et_2A_017750 transcript:Et_2A_017750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSFLKVLVSNIDVLAGPLISLAYPLYASVRAIETKNPIDDQQWLTYWVLYSFITLFELTFAPIIEWQILTLDFRCRLPFWSYAKLFFNCWLVLPWFNGAAYVYDHFVRPMFVNRQIVNIWYVPRKEKLSKPDDVDVLSAAERYIEQNGPEAFEKLISKSTKASKSRGTRRSILEEAERESWGENPFYDKNY >Et_2A_018538.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3264071:3264457:-1 gene:Et_2A_018538 transcript:Et_2A_018538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRHVAVAAAHPYSDLVTHSLRAGHQSLQAANYASCSLDHARRLQDDLDKSKAELVEAKKAAAADVERAKAAAVQEFMDSEKYERRVMEEALKGYERGMEDMKRVALRLRPDIDEARLFVPPGGFQ >Et_4A_033013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1768035:1772188:-1 gene:Et_4A_033013 transcript:Et_4A_033013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYELHFAVPMAGAVLCTFNTRHDAAMVSVLLNHSGAKVFFVESSLLHVGRDALKRLAESNAATRPVLLTISEDDDDIDADDYEDLISNAPPQFDIRWPASELDPITLNYTSGTTSRPKGVVYNHRGAYLNTVATVLAYDITAMPTYLWTVPMFHCNGWNLPWGVAMQGGTNVCLRHFTAKVIFDCVARHGVTHMGGAPTVLNMIANAPASERKPLPGPVRVMTGGAPPPPRVLLGVEEMGFVVYHIYGLTETYGPATVCTWMPEWDALPAEERARLKARQGFHHVAVQGVDVKDPATMESVPRDGQTVGEVMFRGNTVMSGYYKDLNATKESMAGGWLQTGDLAVRHADGYIQLKDRAKDIIISGGENISSIEVESVIFSHPAVLEAAVVARPDDHWGETPCAFVKLKDGASATEAEIISFCRERLPRYMAPKTVVFEDLPKTSTGKTQKFVLREKARAMGSLTKTASHAAMEGSIWCDANYVPLTPLSFLERAAVVFGDRAAVISGDKQVSWRETRERCLAGASALAHLGVGRRDVVAVIASNIPAMYELHFSVPMTGGVLCTLNTRHDAAMVSVLLKHSDAKVFLVESQFLAVAHKALRLLADNKAKLPLVIRISDIDNSNNDGSANMEYESLLQSAPRGFDIRCPTDERDPISLNYTSGTTSRPKGVIYSHRGAYLNTLATVLCNEMKAMPVYLWTVPMFHCNGWCMVWGTAAQGGTSVCIRSAAPELVFEQIARHGVTHMGGAPTVLNMIANAPASDRKPLPGRVHISTGGAPPPPQVLAKMEELGFDVVHGYGLTETYGPATLCVWKPEWDALPLAERARIKALQGVPHVMLQDVAVKDPATMATLPSDGRAVGEVMLRGNTVMSGYYKDAAATEEAMRGGWLRTGDLAVRHPDGYVQLKDRSKDVIISGGENISSIEVEAVLFGHPAVLDAAVVARPDEHWGETPCAFVTLKDGAEATADGIIDFCRARLPHYMAPRTVVFGDLPKTSTGKTQKYLLRERAKAMGSLNRQGRSKL >Et_4B_038789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4949395:4962394:1 gene:Et_4B_038789 transcript:Et_4B_038789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGLDVTVKVNMRISLLLIVAFAMAMYMVSTPTTAGISGGWFQIPNINDPQIQELGGWAVKQHNVMTNDRLKFNGVVSGDEQVVEGMNYRLNIKTSNPDGKYQAVVNEVNMRISLLFIVAFAVATYMVTMPTTAGGQWVPIPTQYIDDPRIQELGEWAVKQHNKERNDVLKFSRVVSGDGQVVSGVNYRIIIETKDPDGKYVAVLFEQAWTHTPTIPGGWFPIPVVDSPPIQELVGWAVKQHNKERNDVLKFSRVVGGAAQVVSGVNYRLIIKTAKPDGMYQAMLYEQVWTNTPEVL >Et_7A_051986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3390595:3392692:-1 gene:Et_7A_051986 transcript:Et_7A_051986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHAEMLHATPAMYNGGGGAAPHGGWWNTVAVPAATCSTELAGFSTWTSALAASYDMAAEAGKAKSGATTASSESPGNNSSVTFQEPTGVADAAGVQQPLASFTDWTHLITDQQQSHINAPSLMNPSSNNLALQGHHEQDHGHHHQLLSSLGSELLLSPTSPYGGIQSSLLRSLMEPTVAKPAALPGFQQYDQYQQMGQAPPLQFTNDAAFWNPSAGFGVPVAAPAQASSIRVAKTSPAPRAATLALKSAMEGVGESSSIIAKKANSEPAFKKPRLETPSPLPTFKVRKEKLGDRVTALQQLVAPFGKTDTASVLHETIEYIKFLHDQVSALSAPYLKNGHQVPHLKSSSPDKSKDSHGEISLKGRGLCLVPISSTFAVASEVPVDFWTPFGANFR >Et_2A_018010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:892973:894361:1 gene:Et_2A_018010 transcript:Et_2A_018010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDTVRGDLALVILYLNKAEARDKICRAIQYGSKFLSNGEPGPAQNVDKSTSLARKVFRLFKFVNDLHALISPPAKGTPLPLILLGKSKNAMLSTFLFLDQIVWAGRTGIYKNKERAEFLGRIAFYCFLGSNTCTTIIELAELQRLSKSMKKLEKELKHQELYKNEQYRMKLQKSNERLLALIKSSLDIVVAVGLLQLAPKKVTPRVTGAFGFASSLIACYQLLPSPAKSK >Et_5A_041110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18469727:18471618:1 gene:Et_5A_041110 transcript:Et_5A_041110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLMTSSSLRSAPETGEPRCPALANSASQVAGAPYALVRTSLISLVSPPLAAALAAYPSRRAAAPYSVAHADRQRHRGSDVAASRSAAVILSPVALAIVLAILAAMPARPRASAVALALSTCIVFMQKSVVVLAARHGSKTTSRRKRTNAQWDRAWKPAAIGFTMIEV >Et_9A_060963.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21915268:21915564:-1 gene:Et_9A_060963 transcript:Et_9A_060963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPATASPPWDCGSPLYDAFELASVYRVLDGHLMALPFSRRSPDADVAVVASSRRMTSSTVAKRRSRSRRAVSKAARRTGKAVLRSICRTVTCSRKL >Et_9B_066022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20754903:20757230:-1 gene:Et_9B_066022 transcript:Et_9B_066022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSGKWIKSLVGLKGPDKAAGSKGRKWSRLWRSSSSASSRAGDGGALASQASSASAESFSSVVAAVVRAPPRDFRLIRQEWAAVRIQTAFRAFLARRALKALRGIVRLQAIVRGRFVRRQLAVTVKCMNALLRVQERARERRARSSADGRGSQDALADRNGRGNAAKDAEVPELSFKFNDHMEEQWCDHQGSVDEVRSKLHMKQEGAAKRERAIAYARSHQPRSSKHIGRPCSPARCVRSHESLKCSQNMNYLEGWMSTKPWETRLMEPNHTDSQFAKACEELNLAASKHSDASSVKIRRNNVTTRVAAKPPSVLSVSSSDYLCDESSPSTSSVTPVSAASVLASEARSDGGHVGGPNYMSLTKSAKARLNGCSSHRGSFQIQRQQRSVDMPRMALSSIDTQSNAGSEISVTSKRLNSMSLKGRSMTRSLDKENVC >Et_3B_028959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20950730:20951600:1 gene:Et_3B_028959 transcript:Et_3B_028959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEATPCTCGLLYGSCGGGCSLLFAGDHHYYKQCGGGDGEAFFGAPYGGSVDCTLSLGTPSTRRAEAGARAPAPAPTAGGMHWDAAAPGCNSGRQQESRAEPAASNASARRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAAVAPATATALQATDGAGMEYAAYGGYAQQPWGCYGPAAVAKAAAASFGMFGDGGADVVDGPCLPWGLGVMQSPSPAFGATVREMPSLFQYY >Et_1A_007955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39706191:39709020:-1 gene:Et_1A_007955 transcript:Et_1A_007955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSWPELLGVLATLAANQIGRDRPDVAVEVLPPGAPLTPDYNPLRVRVFMDNNAVVIQIPSLRNKSIVMAGADPKRTSWPELVGIPATPAVMRINHDRPDLVVEVLPLGIDLPKGFNAKRVRVFYDRNDSAGLVAKIPAIG >Et_5B_043743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15146563:15148525:1 gene:Et_5B_043743 transcript:Et_5B_043743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLARTCMMRWCPICHTAASDRRFSDVRACLGRTLEAAEVCDLWFRQRKVTSPIAKENDDLVKLANLGIAITMITNREKNSSKMIRLLQLLRGKSMGVGCSDERWRRSSSDGSISAPGPGQG >Et_7B_054559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:23166562:23173055:-1 gene:Et_7B_054559 transcript:Et_7B_054559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDTDSTILQNLQWWQEIFSPCFWGGTFVLIYWLFITSVLPHFLFRKIRWCRQKLTPAGSVCDNRSSQEQNYADLKLGISYQTSIACCLLILAAHVLKIALFQIQGRKSYCKYQYYLLCQGSHVLSWIILSLAVLSIKKMRSVKLPFTIRAWWIFNFLESLMTMVFDVRSILLAQEYVGLNEYTDILTLVFCTYLFAMSVHGNTGITFIDGTTTEPLLSQQTENKRQCPYGRANLLELITFSWMNPVFSIGYKRPLETNDMPDVDVKDSAEFLSDSFKQIIAHAERDHGLTTSSVYRAMFLLVRRKAIINAGFAAINAAASLVGPLLISDLVKFLGEERQYGLKRGYLLAVAFLTAKVVETVAQRQWIFGARQLGMRLRAALISHVYQKGLALSCSSRQNRTSGEIINYMSVDIQRISDVIWYANYIWMLPIQLSLAVYVIHRNLGLAAWASLAATLTIMSCNIPLTRMQKGLQTKIMAAKDNRMKATTEVLRSMKILKLQAWDMQYFKKIEALRSVEYNWLWRSQRLSALTQFIFWASPGFVSSITFGSSILMGTTLTAGTVLSALATFQMLQDPIFQLPDLVSVLAQGKVSADRVAKYLREEELEPDAVTEVHRDHTNYDVEIDHGIFGWELENTSPTLRGIDLKVKRGMKIAICGMVGSGKSSLLSCILGEIPKVAGIVKVSGSKAYVPQTAWILSGNIRDNILFGNPYDKDKYERVIQACALKKDLELFANADLTEIGERGINMSGGQKQRIQIARSVYEDADIYLFDDPFSAVDAHTGSQLFKDCLMGILKEKTIIYVTHQVEFLPAADLILVMQNGQIMQKGKFDELLQQNIGFEALVGAHSKAIESVINAESSNKISSTENNNSAQKSAHDVSQVINEKGRLTQDEEREKGSIGRSVYWAYLRAVHGGALVPVASNYWMAWASPPTSATNPTVELSLLFSVYIVLSLGCAFCVLARALLVSLVGLLTSEKLFKNMLHSIMRAPMSFFDSTPTGRILNRASNDQSVLDLEIAIKLGWCVFSSIKLLGTICIMSQVAWPVFLIFAPVTVACFLYQRYYIPTARELARLSQIQRAPILHHFAESLSGASSIRAYGQKHRFWKTNLSLVDNHSRPWFHNISSMEWLSFRLNILSNLVFAFSLTLLVSLPEGFINPNIAGLAVTYALNLNSQLASLIWNICNTENIMISVERILQYSRIQSEAPLVVDNCRPRNSWPEDGAINIRGLEVQYAEHLPSVLRNVSCTIPGRKKVGIVGRTGSGKSTLIQAIFRIVEPREGIVEIDGVDISKIGLHDLRGRISIIPQDPTMFEGTVRGNLDPLNEYSDQHVWEILDKCQLGDIVRQSPKMLDTAVVENGENWSVGQRQLFCLGRVLLKRSNVLILDEATASVDSSTDAIIQETIHKEFRDCTVLTIAHRIHTIINSDLILVFSDGRIIEYDTPSRLLGNKNSEFSRLIKEYSRRSKTSNRSVKI >Et_7A_050961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1295807:1297967:-1 gene:Et_7A_050961 transcript:Et_7A_050961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDAPASAAAVATPSPRSPAPPETPSTQKRKQRGLVSRALSKEEEAVRARLRRRARASRQSAHNVLALAAALEVVAVGYAIMTTRSPDLSWQMRAARVLPMFLIPGLAALIYSTITSLTKLLDNRDQHTLEKLRDERQAKIDELKERTNYYTTQQLIQRYDLDPAAKAAAASVLASKLGADSGLRVFLGEESSRDATLSKSNENNTGQTAGLRQRKPAHLSNGSGRTHSAEPLDGSNVYDYNEEGLDTPNQKSVEHFRGSAGNDVGWLARVAALLVGEDPTQCYALICGNCHMHNGLARKEDFTFITYYCPHCNALNGSRQHDDHELESPRSHSDSGIGHAGTSLANSDVASSVVGNLQTVEELRAEDSVEKANSDQPAN >Et_1A_009424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5175417:5177673:1 gene:Et_1A_009424 transcript:Et_1A_009424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSSRKDGGGGGGASFAIPCVDIKSFVASLAFLTLFVAFWQFQPYGSLLTASRTSASPACSLLATTAAAVNIQSSNSTAGPATTTDQPAAARDVPVRVERVARPEDPNKRVLRPFGSAAALFVQFGAYRGGPRTFAVVGLASKPTHVFGTPYFKCEWVPNPTAGDPSPRPVRTKAYKMLPDWGYGRVYTVVVVNCTFSSNPNAGNAGGKLLVHAYYSTASRRYERFVALEEAPGAYDDARYTPPFQYDYLYCGSSLYGNISAGRMREWVAYHARFFGPRSHFVLHDAGGVTPEVKAVLDPWVRAGRVTVQDIRAQAEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPNGQPLQEVLGKLEGYAQFTIEQNPMSSKLCVKDPSGDYSREWGFEKLVFRNAITKVRRDRKYAIQARNAYSAGVHMSQNVKGRTTHKTESLIRYYHYHNSINVMGEPCREFIPMPVNGSKIMFEGSPYAYDDSMKRLAGEIKRFEKETIGSART >Et_3A_025891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33733139:33736215:-1 gene:Et_3A_025891 transcript:Et_3A_025891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQLFDDPFASSISSLEADIFSGGQLASPPWPDLDLDDDDIQGLSPPAANATSSGGYGSGGSGTHRKLSHNAYERDRRKQLNELYSSLRCLLPDADHTKKLSIPTTVSRVLKYIPELQKQVDNLEKKKKELTNASCKTGVLNTTESRTPVVSATCINDMEIMVQVSLPSNVAGTTLPLSKCIKVLENEGLHLISSSTYSTFENRTFYSLHLQRSQRTMNKECPSFCDELERVIRKKAGA >Et_1B_011448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22356770:22358160:1 gene:Et_1B_011448 transcript:Et_1B_011448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQSSSKWMMYAATSNDSPSYGSSSSTMAGGKTHMRPVMRFRTDTLSATMTLFGRAKTWPHRVLPSANYSTSTFIDKYLSVLKIILEEETNDGGWALCYAMLTEIAFHAETVAYLQGVQATIDLGIGNIKVEIDATMVKDALLTDTFYASEVGNLLSVVKDLAISNFINFILV >Et_4A_032496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10734110:10735882:-1 gene:Et_4A_032496 transcript:Et_4A_032496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPAMLPPGFRFHPTDEELILHYLSKRAGSSPCPVDIIADVDIYKFNPWDLPSKAAYGDKEWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPISSAATGESVGVKKALVFYKGRPPKGTKTNWIMHEYRLAADAHAAHAYRPMKFRNASMRLDDWVLCRIYKKASHASPLAVTALSDHEQQDEPCGFDVEGPYATQSAGMILQGTATATAAAFPMQAGGAQRMPRIPSMSELLSDNYSLAQFFDVDGGVPDMARLDQQQHHQTALLGHPVTSQLLINNINNGMSGGQFLMVDSSASTSSAAGDVVAGGKRRRSEESGASALTSHQLAAAPAKKPNGSCIGATFQIGNGLQGSLGQLGHHQMLLHPNMGMNR >Et_8B_059817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:379328:379781:-1 gene:Et_8B_059817 transcript:Et_8B_059817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMQYKMTLIFVRPLLEVEGTERSYIKSFIHRGSNATASVNFTSANDRKFMIQFCTDDTCGSQVGYCCQNQKPIGLCYHTRDQCKASCPKCDPACPPESSPEQTAE >Et_4B_040038.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:8138212:8139153:1 gene:Et_4B_040038 transcript:Et_4B_040038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAASVSAIRAALCLLLALALANCAFAGRILDEEAAAPADSPLPADPLPAPTEPPTDPVVAPAAASGGAAAGAGNAGAAAAGAGDPALTFFMHDILGGSQPSGRIVTGVVASAAANGQFPFARPNTNIFPIQGAVPLPQGATNLINSNNVPYVAGLSGSSGDLVQNNGNTVNGGNKNIPFVNPGDLPSGVTLQNLLFGTTTVVDDELTEGHEIGAAVVGRAQGFYVASSQDGTSKTLVLTAMFDGPEAHGDTLSFFGVHRMAAPESHVAIIGGTGKFENAKGFAAIQTLHPGDQHTTDGVETLLQFNIHLI >Et_3A_026132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4677134:4679776:-1 gene:Et_3A_026132 transcript:Et_3A_026132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAAITNASTAPAAGASSNPIVYTPGAAPPPPSSSALPTPIPPSTWTLAPADPALATAASFLVASLSNCSTLPRFRTLVGSFLTTLAQSLSLPTPPASVPKAIGALAAYLPATIASVVASTAARLAEYEVLVALVESRLLPHPPPDLISTLSDSNRPDLVCAVLRQASDLRSSEILAGLRCFLSPASEKAYDAMVDVKNRWREAAVLAVNRYRENVEDKVVNAVTRKAALLLMMGHDGFSSPEVCLHYLLASDNADSVVLGAAVAELDGGEVVRMMKYLNKWIEKYLRFPEAQPCPDAASILGLEQCDSVPSFGAVARALGVLLDNHFSHLVLNADVREDLRAVDAMMKELSAEAEASGPILDLLHRLKQDKLHSRGSF >Et_2B_019987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15902706:15908978:1 gene:Et_2B_019987 transcript:Et_2B_019987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTVAGCFRGRTILVTDSTGFLGKMLVEKMLRVQPGLRKVYLLVRAPDDAAAEQRVLDEVVGKELFDVLRQKHGANFHYFMKEKVIPLAGDIIHEEFGLDSSQVKKLYEEIDIIINGAAVTNFYERYDVALASNTYGTANVCQFAQQCIKLQMLLHVSTAYVAGEQAGLLLEKPLQRAEIRKHDCYLDIEAERELVDEVKAKHMIARSGAGNSQLSEKVAMKDLGFKRAKYFGWPNVYVFTKAMGEMLLGCMRGNLPVVIVRPSMITSTFQDPFPGWIEGIRTIDALIVAYYEQKLPCFMGNSILDAIPGDMVVSAMIVAMATHYGDAGTQVIYHVASALQNPLSYHLLEESTYAYVLINPPVKIGERTTQYKRLLLFSRYAYFYAYMLLVYKIPLQMLYAVNILLGGPFSKYHNKLNRSLITKFYAPYAFFKGRFDDTNLRKLWRATGAGH >Et_6A_045887.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2493147:2496209:1 gene:Et_6A_045887 transcript:Et_6A_045887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFPTSDLYWTQLHLYNSIKSILVSYWFILLHIYCWFSFIPCCALQTTPSQVASSLLLHQTSSDAVTNIHCFCCPGVSSRGALPVYHGDGRFPPGTCFMSTSPTLCPMRVSLVCNASPNNHRPRNSDTSRTQKGGSSRGRSKPYQDKDDSENIDEFDSDIMFSKNGPPISLASNSRPQATSAPGAREKEIVELFKRVQAQLRARGKGREDKRPEPAKVQGERGSVDSLLKLLRKHSVDQKRKGGDDKEQSFDLASRSNDSVSRESSTMFGSKNESQEEQKKPPPASFRRPASNFRRRSPVPGVKFQPVINADKEADATNIAVNVADIVQEAKVTLDERAATDEPDTVSPYEPDSEIPQENMSLVDFGVISDDESDTDEPNEYLETSLENSDVTESDESHDNINSAASSSDLSSLKVVELRELAKSRGIRGCSKMKKVELVEVLSSTA >Et_9A_061650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14898791:14905028:-1 gene:Et_9A_061650 transcript:Et_9A_061650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTRKTAAEPEPAPAFSIGNCKVEIHGSGLRCESTEQGLTISGPRGSKVLISGKGSDFILLNPSDTDSQTKSLLQVVAAVSYQIVPADTQYAEIPLAVVRSSYQRGGIGQLLYRELCLRLQNVGVTTIFCWADMGFVSIGEVDTKGKIRKIPVRADIKRALCFPGGSTLMVAHLKMELPTLQKISQEKVLTSPANIIISDNISPADTAVSCDNIVLQTYKRRDVRKTAKVTSEAHTDCSKGSLSEQQTKKRIYETSSSSLKSKRIRCSSDADHCKDTNQDDMDDKYVCDTPEHGNYVHQIPLTPSVGAHVESRISADNNAIIRPCGRPTIMLMNIADEQKKARLTKVVEMLGGFVSCEGHSCTHIVTGKARRTMNFCIALSSGAWIVSPNWLKDSFKQEQFVGEAQYVLEDEEYRVQYQCELRDAVMRAREKNSSLFSGYTFCLSKYIQPSVDVLQSIIKSTGGKVVKKLSQLEEPSKAIFLACEEEMELALIAARSGIKTYSSDWFMSCVMRQEIDLEAPEFTVSL >Et_1B_009976.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:15868898:15869086:-1 gene:Et_1B_009976 transcript:Et_1B_009976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPCGHAFHRDCVDRWLARCRRTCPLCRLHVGGVGLLDDDQHQLSEDLVIWFSSLFVAGL >Et_10B_003745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5553618:5571338:1 gene:Et_10B_003745 transcript:Et_10B_003745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKMLALENARRTGHCRRRMIRRGRGWSRAGEHNPEWKSSIWASGFAKRYASTCFTSPRYPSVTLAWSSGASGPPSRSYSTVTLTTQAPSPAASTFVSATSDLSCELTLPPSSTSVFSTRAASSLANTRVFSTLTSGTAAANTMSFYCKVLRAWHVYFILRRRVARFELESIRTWVGLHGGDGAGPAVAFDFHRVDEADAGLGQEVGEDLLVGVKAAFHIRSAEIATATSSLKLPATILFSQEDNSGKLVGVRDEVLLHLLDESEVRLGDLGLVLRRERPAVALVVDGHLDDAGAVAGGLHLHLRHLRLELRLNLAALDHLRLEHARRVVPGQHPRLQQLHVLHGCSEHTRQHICISPFSLDSRIVHAWHVSFILRRRFARFEFESNSGEVVGVRDKVLLHLLDESEVRLGDLGLVLRRERPAVALVVDGHLDDAGAVAGGLHLHLRHLRLELRLNLAALDHLRLEHARRVVPGQHPRLQQLHVLHGCSEQAHDTIYVYQRSVSSINLLQDSVFLFKIVRAWHVSFILRRRVARFEFESSLLIWLLIRARDKEDAVFLLPGFAFMVVMLIRQEDVFVCVPQLSATMQLLIDDPTSREHRKKKSVLSDVERRDTRLDRRGHMFWIRKIQRIRRLVVIL >Et_9B_065750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10066807:10070293:-1 gene:Et_9B_065750 transcript:Et_9B_065750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQTFLVILRCIPLLCLFSLAVAANNSSKISGPIRLDCGSSTTTGPDTDNRTWEGDNGSKFAPSLKGAAATASNQASGLLNTVPYMTARIFTSNYTYSFPVSPGRMFVRLYFYPSTYGNYAPQNAYFGVTAGDWTLLDNFNASQNALAINVAFFIREYSLNITSGMLNLTFAPSTHPNGSYAFINGIEIVPTPDLFTTPTPTLSNGGNPNPFPIDPTWGFQTMYRLNVGGQYISPSKDVDFYRIWDDDSPYIYGAGYGVVFGKDNNVSITYTPSVPNYTAPVDVYATARSMGTNAQINLNYNLTWNLPVDAGANYLLRFHFCEIQYPITKINQRSFFIYINNQTAQQQMDVIARSTGIGRTAYTDYAILTVGSGQVELWVALHPDLSTQPEYYDAILNGLEIFKIQNLANKSLAGLNPPVPLPSGVPSGTPDAAGKSKSVAPAVIGGAVGGAIVLVACIGLCIICRRKKKVVKDSGKSDDGRWTPLADYSKSQSNTSGKTTNTGSRTSTLPSNLCRHFSFGEIQAATNNFDQAFLLGKGGFGNVYLGEIDSGTKVAIKRGNPMSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEDMNEMILVYDYMANGTLREHLYNTKNPALSWKQRLEICIGAAQGLHYLHTGAKQTIIHRDVKTTNILLDDKLIAKVSDFGLSKTGPNVDNTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPSLPKEQISLADWALHCLKKGTLGQIIDPLLQGKIAPQCLTKFVETAEKCVADYSIDRPSMGDVLWNLEFALQLQESAEDNSSLTEGTSSNTSPLIVPRLHSNEPATDTSTTTSSTMSFTGRSITSTESDGLTPSSVFSQLMNPVLKEVPVWFSELAGILELALAEANKGIWLLQPDNRKE >Et_1B_012781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35006280:35008190:-1 gene:Et_1B_012781 transcript:Et_1B_012781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRDALLWLHLLAVAASRAAGSKVTAMFVFGDSTADVGNNDYLQGSSARANFPHNGVDFPGGKPTGRFSNGLIGVDFLAFSMGLSKSPPPYLSLVAKAANSSSTYITGANFASGGSGVLDSTGTTINMTKQIEYLSDLKDQMTTRLSTNRVSTLLSKSIFLISAGGNDAFDFFSQNRSLDSTGVQQFSEAVISTYDSHVKTLYNLGARKFAVINVPLIGCCPYWRSQNPTGACMEPLNQLAKSLNDGISDLFSNLRSEMQGMKYSIASSYELLSSLIENPQDAGFEEVKSACCGGGRLNAEEGCTPKSSCCSDRSKYLFWDLLHPTQATSKFAGLAFYDGPAQFVSPITFKQLVEA >Et_6B_048742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13132783:13133032:1 gene:Et_6B_048742 transcript:Et_6B_048742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILITEKQFLTTKFLVCKREKRGSKMTTDYKLIELKIVTVLPF >Et_1A_005685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12794662:12796259:1 gene:Et_1A_005685 transcript:Et_1A_005685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGSDKSGSAGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLTDGA >Et_4A_032371.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:32245547:32245678:-1 gene:Et_4A_032371 transcript:Et_4A_032371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYSGVLGVEEPHQSIPNLVVKLYYGDDTVGEVLRQNSSMPE >Et_6A_046138.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:3560390:3560596:1 gene:Et_6A_046138 transcript:Et_6A_046138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYENSAETDSHNAEELDNVMSSEILGGVQQKAGEAGKNRNASGGPGRLKNWIYGGDAENQGEVCSS >Et_3A_026894.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:25348200:25348949:1 gene:Et_3A_026894 transcript:Et_3A_026894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGPQDAAVTADGKYEPTRPLAVPSPAVHPAAAADDDAVDAEAATAGRWRSMQYLRRRRCALWCCGCCATTVVILGIVALVLALTVFRVKDPVLTMNRVTLEGVDGDLGTARHPLSVNATLNADISIENPNVASFRFARSETDFYYAGETVGVAYAPDGEVGADRTVRMNVTLDVLADRISPNVNATDLIFGQDYNITSYTEITGRVNVLGIYKRNLDIKMNCSITLEVGAFSTVQSKSTDCVANVS >Et_3B_028131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10652808:10656030:-1 gene:Et_3B_028131 transcript:Et_3B_028131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMLARRRAGDAVRRYAAAAASAWRAYAASAEESDVVVLGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKTSFAHHGVKVSDVEVDLPAMMAQKDKAVAGLTKGIEGLFKKNKVTYVKGFGKLSSPSEVSVDLIDGGSTVVKGKNIIIATGSDVKSLPGITIDEEKIVSSTGALCLKEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEVRKQFQRMLEKQKMKFMLKTKVVGIDTTGDGVKLTLEPAAGGEQTTLEADIVLVSAGRTPYTAGIGLETIGVETDKGGRILVDKRFMTNVKGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKAAGIAYRVGKFPLLANSRAKAIDDAEGIVKVVADKETDKILGVHIMAPNAGEIIHEAVLALQYGASSEDVARTCHAHPTVSEALKEACLQTFSKAIHI >Et_3B_030250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31710303:31712156:-1 gene:Et_3B_030250 transcript:Et_3B_030250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVAEGAAASAVAALRAVLARAGRAAERSGRAAEAVRVVAVGKTKPVSMLRQLYDAGHRCFGENYVQELVAKAPQLPEDVRWHFIGHLQSNKAKSLLAAVPNLDMVEGVDNEKIANHLDRAVSSLGREPLKILVQVNTSGEESKSGIDPSRCIELAKHVKLGCPHLIFSGLMTIGMKDYSSTPENFKALVNCKLEVCKALGIPTEQFELSMGMSGDFEKAVEMGSTNVRIGSTIFGPREYPNKKQNQ >Et_1A_009118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:350613:352060:1 gene:Et_1A_009118 transcript:Et_1A_009118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKPALMTSSGELVAVVKRKRTKRPRHHPPASSSASSSESTTTEEEDMAHCLILLAQGGGGHSGAGVVDSRPSPPAPAAAAPHRERYTSRKYTEAATTADGVRAGFYVYECKTCNKCFPTFQALGGHRASHKKPRFPAAADDHLDIANATINAVAAMSTKHKPPIMSPPLPLPPPPPRVAGIAVFPPDVTTALSLNSHVAAAGSSSGGKLRVHECSICGAEFSSGQALGGHMRRHRPLNAPPAIVTAMDDAKKESINLELDLNLPAPSDEPLPPVVLGLGQFNDGNNKAGLMLTASALLCSVANYEVVLKKY >Et_5B_044366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23361599:23367065:1 gene:Et_5B_044366 transcript:Et_5B_044366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGITRTQNGYSNNIVNSCRRFHWVPSPHRSQHGPRTTETYDVRKSADKASEVQKRAFGTAATHIQRNPAYAVLNSDDVSYFKSILGDSGVVQDEDRVAVANVDWMGKYRGASQLLLLPKSTAEVSKILSYCNSRRLAVVPQGGNTGLVGGSVPVYDEAIVSLAGMDKIISFDNVNGILTCEAGCVLENLSTFVENEGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGNVLGLEVVLANGTVLDMLTTLRKDNTGYDLKHLFIGSEGSLGVVTKISILTPAKLPSTNVAFLSCNDYISCQKLLLAARRNLGEILSAFEFMDHHCIDLAMRHLEGVQNPLPASPYKFYVLIETTGSDESYDKTKLEAFLLRSMEDGLVADGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSISVEKLYDIVEEMRSRVGDNAEVLGYGHLGDGNLHLNIVSSKYDDNILAQIEPFVYEWTSAQRGSVSAEHGLGLMKAEKIHYSKSPEAVQLMASIKKLLDPSSILNPYKVLPRSVLC >Et_1A_006969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29674811:29679247:-1 gene:Et_1A_006969 transcript:Et_1A_006969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPIGRTCSLLNSPLVLRAKSQLRGTATRHWYSTCTPGCRKQQRGASSHTRAPRPPVSLRRFVFPQLVTTASVPAARYKLSARSLSGSHTLPQHELANTSRRRKYDKPVSGHKMHLTLRPGRTARKAAAHARSASQPCRHSHPALARVDGAVRALRSWTAWACRCGAADRSSSFEGIARVEAALAALGDLLATPRAAAALRGAAAAADGEDDDRVLDAFLALADAYGTLGTALLAARQSAAEARAGVRRGDAEAVAASVRAHRRAEKDMRRVADAMRHATAPSSPPPADAPEAEVVDMVAEVAEAAAEASGVVVLHCAAMSPDVSGVVHQMVSSHSKWLERLGVVPEAKKATPETAAAALDRLEELEECISGLESGSEKVFRRLLQTRVLLLNIHNPLHRQHCDLATCQHNPGSKTTPSDGHRSRLLHLVVWWNLWKERNRRVFEGKKKNELQVAWPTKEEIDLYMMAHRRQQSGQLPQTNEHRMHLTLSPVRTARKAAAPAPARSASQPCRHSHPALACLDGAVRALRSWSASANHGSAATDRSSSFEGLVRVEAALAALGDLLATPRAAAALRGAAANDDRVLDAFLALADAYGTLGTALLAARQSAAEARAGVRRGDAAAVAASVRAHRRTAKNLRRVADATRHCATTAPTSRPPAADAADADVVGMVAEVADAAAEASAVVVLHCAAMSPDVSGVVHQMVSSHNKWLERLGVVPEAKKATPETAAAALERLEELEDCISGLESGSEKVFRRLLQTRTSSCSRTRTARSGRLCSRPGRASRRRVPAYGAAVAASVRPHRRAEKEMRHLAAAMRHASMHAKVESSRQADVEVINVVAEAAGAVAEASGVYFLACAAMVSTQRLHTRPEHKMHDIYVVAVETGEVRTRRIKPTNEKGKIQLQFLH >Et_9B_065539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6475374:6476013:1 gene:Et_9B_065539 transcript:Et_9B_065539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIDTRAASKLKKGAAWHKASSPRKKAVPRSIKAGLLFPIGRIGRYLTKGRCAQRISAGVPIYLAAALKYLAAEVMVINMAPSLPKWSHVQVLAKEARSRTRSLVSFPRHVLLAILNDKKLWSLLACVTIAHSGVLPNIHPVLLPKKTLDKTSSGGSKKVRSPRSDCNDNELHAGNLIFWGSACYIGPFP >Et_5A_040617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10344637:10350133:-1 gene:Et_5A_040617 transcript:Et_5A_040617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTVVLVPVWGIGHFVPMLEAGKRLLEHSGRRLTVTVILMPLPTAPKLAAEIAEHLHKEEATDPDHIRSLHVPSVDPPTDTSGIEEFVSRYMQLYAPHVKTAIAGLTCPVAGVLVDLFCTTLLDATRELGVPAYVYMISSAAFCALMLRSPSLDEEVVGEFEEEMGEEGGVDVPGLPPVPPSCLPTGLENRKIETYNWFVYNGRRYMEASGIIVNTVAELQPRVLEAIADGRCTRGIRPPPVYTVGPVIPFTTPAVERERHECVRWLDSQPPASVLFLCFGGGGFSTAAQAHEVARALERSGHRFLWVLRGPPAPGVKQPTDGDLAELLPPGFLEKTEQRGLVWPKRAPQKQILAHAAVGGFATHCGWNSILESLWFGVPMLPWPYSAEQHYNAFALVEDMGAAVALTVDRKRNNFVEAAELERAVRTLMDGGEAAGKVRDRAMEIKAACRAAVEEGGSSCVSLQRLCEALVDGARWRNSQPMS >Et_2A_015100.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29973936:29973992:-1 gene:Et_2A_015100 transcript:Et_2A_015100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACWNIWWHRNGIIFDD >Et_1A_005423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10217354:10218730:-1 gene:Et_1A_005423 transcript:Et_1A_005423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTCRQLLIAARIWLILGLANAVYRFWYCFTCCNLLTDDALAAIAENCRRLECIRLESCPFVSEKGLERIGTFCSHFKEIDLTDCCINDAALQHLSRCTELLTLKLGLCSIISDKGLAYISSNCGKLMKLDLYRSLEELTNLEMRCLACITGIGITSIASVCTNLVELDLKRCYSVDDASIWAVARYSQNLRQLKSTSTFSSSVLLLTFCSRNLTCCLLLDISYRQVTVLGLCHLLSSMRCLQDLKLKMVHLSWISIEEFEVALRDACGRLKKVKMLGGLRSVLSLKLLQMLQACGCCVRWTKDQQASCDSCLQGINSYQIGESDIDIQVEERRSALGADSFHAVAYSVGG >Et_3A_026835.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:22895120:22895350:-1 gene:Et_3A_026835 transcript:Et_3A_026835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISVGDSLPDGQLGWFDENDELQQVSIHSLAAGKKVILFGVPGAFTPTCRSAPHLPSHFLGDLLGFSDMWMWFR >Et_2B_021526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30324712:30328376:1 gene:Et_2B_021526 transcript:Et_2B_021526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLPADLKSRVSLVPRSRNGVFILHPISLNDTLFLEMFIQVNMGSYVLKGCSLHIIASVHVFLQSCSTAMFAYCLLLPIFCYQMNHQPSKRSTPHDRMIAQKEIPSQPSVIARLMGIDAIPMPVKPAGIIQAEQTSNLKSPPRSTTTEIKVISPRSAPFKQAKCSLLSYRSKAGDSKRCLKKMRITGRPRSRQRHPQEDLLQKIKEDFQSWQASKALESARTATVLGNNSKHLDGRFIQIIAQESLRKEKMARYGYGNNKHVLQNECSMKNVVQTSDWGNATKVAAVAKSEEKKVITVLRVNHYAASEKFRDVGVDKEGDNRRTSEKLRSPAQIVLLKPSSDIDVGDREVLFGLSKVKRDDNMEEFLQKVKERLQKELKVKCTSDLSTIAWASEPTHVAQNIGVQIKQTVTTDLGKRLSRSEAFRAFRSDRKRNHATTGAKHASPEHIMIKNARSIPSRRPKNFTPRTATVSPNKDDEESVDSFPIRSRERVMSLTDVTLTGIGFDEQSWTSECLMKNDNVDSEVPVGTDILSQRKLVRSFSAPESGLSRSTLFSDESVGSRKHGASDIASESGTMTSRSSSFSFRETANFAELPPSPVSPLEVIGHSSRHFFSDLNCNLPELSPKCPSEFEAPASELSFRTDITVETACNQEKAYIREVLIAAGLYDDGSLENKVNARVDSMARPICDYIFEEVEDIYYYRGKNADHDIGLYNNSGGNATDHRMLFDLANEALHILVQGGKNGSSLRQWVIDSTGVSRGRKLVDDVWQQVQTLRNPQMQEMQTIDSMVAFEVRKSVWAEVLYEDFYVVGRKIERAIFDELIEDLLREAFIT >Et_1B_011493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22937813:22938560:1 gene:Et_1B_011493 transcript:Et_1B_011493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPVPRREAGYCTPATRPRQAAGWGGHRAISGDQPHARLGPQQRSLMALAVDFAAGRDEEYCSRSRWPCTPTGWGNRMEEGNAARMEDAGGVRWPEFFTANTEKHWCCTVNSDEIFVKVVSYPTLDMHSDDVVFMVSKEKASDPDGWVVALNTESKQLGKMARFFAERVYFERTYQQCAFSKHLTKA >Et_9B_064883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19538059:19541222:-1 gene:Et_9B_064883 transcript:Et_9B_064883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDEAVAIQKTGKTASPPKDQPAPYPYPDWSVMQVEFWCFFLIPSLHWNTFKWQAKTFQAYYGPGMLPPTYYAPAITPGHAPPYMWGPQPYMPPPFGTPYAAMYPHSGAYPHTLVPMMSNPTGVEPAKSTNSKEKSFSKKLKEIDGTAVSTGSGNSKRTMSCSEDYSAEGSSDVNDQKVSKTPRKRTSDDGPEKNAAANMEGVVASNHTLGNTSILPHHCFPAPVIKPSATNVVNSRAMATTISPPGVILPAHTGVPTDLSVKDERELKREKRKQSNRESARRSRLRKQAETEELATQVESLAQENASLRSEISRLSNSSEKLRLENSALMVKLNDTAAPKPAEAEHSLDKAAASSSPEGPENFLSMINSTNTASVSQHTEHGEPKLRQLFDSKPATNVAAVS >Et_9A_061493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12672655:12680337:-1 gene:Et_9A_061493 transcript:Et_9A_061493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGTRKRKSTRAAAGARPAAAGRKKTKRAAAGKKRVAAGASGLKKKRAQATVEWVEVPRESGTHERCLCWWCSTTPSSSDRRAPAAADPVPCRAAPPEQIPSPTRPVSPKHVPERPPPTRATSPEHAPSTPSGRAASPEYTPSTPSSRAASPEYSPSTSWNGAASPYYTPEEYTPSTPSGRAASPDYTPSSPAEYTPATPTSRATSPDYTPSTPSSRAASPDYTPATPSSRAASPDYTPSCTPPPSPKVADAESRGSKIGRRCMHTKTYLAFFRTRTRTRAAAGRACSAAAAGKKSKRAAAGKKRNRAAAAAGAGLSKKRAQASVEWVEVPRERGTHERCLCWWCGTTLSSSHRCAPAAADPVPCRAASLEQIPEMPSPTRAASPEKSPEIPSPKHAPSAPPPEYTPSPEYTPSTPYYTPSTPDEYTPSTPKEYSPSTAWDGAASPYYTPSSPEPEEYTPATPSSRPASPDYTPATPSSRAASPDYTPGTPPPSPKVADAGSRGSRCSSSRSKTGRRCMHTKTYLAFFSPSMPLVTRKRKRAAAGSACSTAAPAGGKKRKRAAAVGACSTRGGEKTRKRAQASVEWIEVPRASGSHERCSCWWCGTTPSSADHVPRRAASPKQIPESASSSSTRAASPKHVQESSSSSSSTPGDYTPCTPAEYNPSTSSGRANVSPKHSPSTPWSGAASPSYTPSTPSSRAASPEPEYAPPTSWNGAASPYYTPSTTAEYSPSTPSSRAASPEYTPSTSWSGAASPCYTPSSPEEYTPSTLSSRAASPDYSPSSSSTSRSGASSPYYTPSTPSARYAPTDYTPATPSSRGSSTAGNYTPRAGTTLSSSNRGTSGSDDYTPCSTPPPSPKAADAESSSSSSSRCSSRTSRRCLLHTKTYLAFFSPRVPVV >Et_10A_000672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15104151:15107472:-1 gene:Et_10A_000672 transcript:Et_10A_000672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPADDGLDGAEVAQVEVVGVSLPDFGGAVRSILGRREIREFASGALAGGMSKAILAPLETIRTRMVVGVGSRHILGSFVEIIQQNGWRGLWAGNTINMIRIIPTQAVELGTFECVKRSMAEAQEYWKENGYPKIQLGNLKIELPLHFLSPVAIAGAAAGIAATLACHPLEVIKDRLTINRETYPSISLAFSKIYRTEGIRGFYAGLCPTLIGMVPYCTFYFFMYDKIKTSYCRLHKKSSLTRPELIFIGAMSGLTASTISFPLEVARKRLMVGALRGKCPPNMITAWSEVIQEEGLRGLYRGWGASCLKVMPNSGITWMLFEAWKDILLTDKQCA >Et_5B_043351.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6365571:6365966:1 gene:Et_5B_043351 transcript:Et_5B_043351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRAAAGRDIRRVGLPRSSSSAGARPVMPRPVGSGGASPSGRPECNWWGAGRQQQQQATPRRARSRGASSRPPPARVLPTGKGNRELVRRALSPPAFAARGVLRRWSFRPAPSRLRHASAPVPASPRPR >Et_4A_033065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1801484:1809914:-1 gene:Et_4A_033065 transcript:Et_4A_033065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVTVYGPVISPAVARVVACLLEKDVPFQIQPVDMSKGEHKSPSFLKLQPFGQVPAFKDHLTTVFESRAICRYICDQYADSGNQALFGKKEDGAVGRAAIEQWIETEGQSFNPPSLAIIFQLAFAPMMGRATDLAVVEQNEAKLAKVLDVYEQRLGESQYFAGDDFSLADLVHLPNAHFLMNRTNKAELITERKNLARWWDDVSARPAWKKERMAAGLQVFGQPASTDVARVLTCLFEKNLEFELVRIDTFKTHHKLPEFIRLRDPNGQVTFKHGDKTLVESRDICRYLCNQFPNDGNKILYGPGALERASIEQWLQAEAQNFSPPSSTLVFQLAFVPHLSHLGIRQDHDVIAENEDKLKQVLDVYDEILSKTAYLAGDDFTLADLSHLPNSHYIVNTERGRKLFTNKKNVARWYDNISQRKTWKQVVKMQTEHPGLQVFGQPASTDVARVLTCLFEKNLEFELIRTDTFKKSHKLPEFIKLRDPTGQVTFKHGDKTIVDSRAICRYLCTHFPDDGNKTLYGTGSLERASIEQWLQAEAQSFDGPSSELVFQLAFAPHLKDVYPDERRIEEDEKKLKAMLGVYEDILSKHKYLAGDEFTLADLSHLPNSHYIVSSGERGRKLFTAKKHVAKWFEDISSRDSWRQVMKMQREHPGTFDVAPKHRHLPIFRVSSSSPPSSEFSVPRPAAMASVKVFGSPTSAEVARVLMCLFEKEVEFQLIRVDAYRGPKRMPQYLKLQPHGEALTFEDGSITLSDSRNILRHISHKYAKQGNAELIGTGALERSSIEQWLQTEAQSFDAPSAEMVYSLALLPPSLPKQPNDNGNGNGNVNGNWKEVPVVDASGRRVVAAGPEAAAANQQQQQQVSPQKVEEMLKLFEQRKKDLEKILDIYEQRLEEARYLAGDNFTIADLSHLPNGDKLASDPRSRRLFESRKNVSRWWDEISSRETWQYVKSLQRPPPPEANNANANGNAKNGQQQRPPANGEHDRNNRNQQ >Et_3B_030413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3658544:3668121:1 gene:Et_3B_030413 transcript:Et_3B_030413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAEEAGGGDKYRSFLHGESERDTVWRLGAPPNYDVVNKLFEAERTHAWPEGSLEEKVQRLLKSWEMELVHKPRPEDQKTVNSAKYSASTNGMPPLTRAEVMSIGGYNAFLKTTLPPEHRIYDPDTETLESSMATFLTAFPRGFAIEVLDVYSGPPKITFKFRHWGYMEGPFKEHPPHGQRVEFFGVCIFHVDEEMKVEKTEYFYERGNFLASFLSPPAAAGAAGLGSSGCPVMRGN >Et_3A_027303.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7235109:7235798:1 gene:Et_3A_027303 transcript:Et_3A_027303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEHVRAALRKRRRERSAERWRELARTVPWTLLRVATSESAFTYVGSTAARLRRYTVADLLKDRAAAAGDVNGRSRLPPVKLVDSSLRELERLAAQHDAAGHVFAHCAAHLGNGDEAEARWKKAWGEHRAEAARHTGEALRRLRSAMANLDAAARITCVVSRSPARSPLAWGLEAQRLLRHAGREAAEALKAVARMQDAVVLEFFDAWMLLSRLDARGGAQAGEQSA >Et_7A_052275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6424499:6432012:1 gene:Et_7A_052275 transcript:Et_7A_052275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AKTATIRGLSVRNRYLQSPPLQYHHLNPSLSLSLSVATEWREGAYTCLLSRRFRTGCERCSSTGASSVILQYLQEWPELYSPCFWMVAFALIQLIFITSTLAQFLFKKIRWRRQRLKAETLENNKHPYQEQQSADIKLGISYQASKACCLLILATQVLRALFPRLHEGISYCKYPPFVLSEGLQVLSWIILSLAVFRFQKRKSVKVPLIIRAWWIFNFLQSITRVVFDLRSILSDHQYVGLQDWIDLCMLALCTYLFALSARGKTGITLTDSSLTETLLSSSVGQQAEAKRPCPYGRASLLELVTFSWMNPVFATGYKKPLEKNDVPDVDGKDSAEFLSDSFKKIIDDVERRHGLSTSSIYIAMFLFIRQKVMINAGFAVLTACASYVGPSLINDLVKFLGGERQYGLRRGYILAVAFLSAKVVETITQRQWIFGARQLGMRLRAALISHIYQKGLRLSCSSRQKHTSGEIINYMSVDIQRITDCIWYTNYIWMLPIQLSLAVYVLHQNLGVGAWVGLAATLAIMACNIPLTRMQKRLQAKIMVAKDNRMKATTEVLRSMKILKLQAWDVQYLQKIEALRKEEYNWLWKSQRLTALTTFIFWGSPAFISSITFGSCILMGIPLTAGTVLSALATFRMLQDPIFTLPDLLSVFAQGKVSADRVVKYLQEEELKYDAVTEVPRDNTDYDVEIDRGIFSWELETTSPTLTDVELKVKRGMKVAICGMVGSGKSSLLSCILGEMPKLDGTVRVSGRKAYVPQTAWILSGNIRDNILFGNSYDKEKYEKIIQACALTKDLELFANGDLTEIGERGINMSGGQKQRIQIARSVYEDADIYLFDDPFSAVDAHTGSQLFKDCVMGILKDKTVLYVTHQVEFLPPADLILDGKIVQKGKFDELLQQNIGFESIVGAHSQALESVIHAESSSRISSDNQKSADSEDEFDTENETNDQLQGITKQESAHDVSEDISQKGRLTQDEEREKGGIGKKVYWTYLRAVHGGALVPVTIAAQSFFQIFQVASNYWMAWACPPTSATTPTVGLGLLFSVYISLSMGSALCVFARSMLVSLIGLLTSEKFFENMTQCILRAPMSFFDSTPTGRILNRASNDQSVLDLEIANKLGWCVFSIIQIMGTIGVMSQVAWPVFAIFIPVTVLCFLCQRYYIPTARELARLSQIQRAPILHHFAESLTGASSIRAYGQKERFRKANLGLVDNHSRPWFHNICAVEWLCFRLNMLSNFVFAFSLILLVSLPEGFINPSIAGLAVTYALNLNSQLASITWNICNTENKMISVERLMQYSRIPSEAPLVVEHNRPPNNWPEDGTISIRSLEVRYAEHLPSVLRNISCTIPGRKKVGVVGRTGSGKSTFIQALFRIVEPREGTIEIDSVDICKIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPLNEYSDQRVWEILDKCQLGDIVRQNPKKLDSTVVENGENWSVGQRQLFCLGRVLLKRSNVLVLDEATASVDTSTDAIIQETIRKEFGDCTVLTIAHRIHTVIDSDLIIVFSEGKIIEYDTPSKLLQNKKSEFSRLIQEYSRRSHGFNNTAIN >Et_9A_061861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17239683:17243593:1 gene:Et_9A_061861 transcript:Et_9A_061861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRPGNGELDSWFKSLMLSTTSERGQAENGGGMPTLSGWKDLPMELLMRIMSIVGDERMVIVASGVCTGWRDALGWGVTNLSLSWCKQNMNNLMISLAPKFTKLQVLTLRQNKAQLEDSAVEAVANYCHDLRELDLSRSFRLSDRSLYALAHGCPRLTKLNISGCSNFSDTALIYLTCRCKNLKYLNLCGCVKAATDRALQAIAQNCGQLQSLNLGWCEDVTDKGVTSLASGCPDLRALDLCGCVFITDESVVALANGCPHLRSLGLYFCQNITDRAMYSLANSRVKSKRGRWDKVKAKEEDGLANLNISQCTALTPPAVQAVCDSFPALHTCPERHSLIISGCLSLTSVHCACALHPHRAGRALMPSHAY >Et_7A_052138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:569992:574350:-1 gene:Et_7A_052138 transcript:Et_7A_052138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSSPAPPPDVDGATWAEAASSAALRHYRSLPKKGKPQGRESTVLAAFLLSTPQNPRNPTILSMATGTKCLGAARLSPGGDLVQDAHAEVIARRALLRHIYSEFGRNTPPEWLVASGDGGRWRLRDGHSLHLYITQLPCGVMPVPPSASGSTREQLVCANGCSDANLVQRKPGRGDTTLSMSCFDKITHWSIAGIQGALLSHILEHCICPPLLLDNYLMVLLKGSLSRIILRKFLTLVCPLYNANYLLRLEYTRYSICWNKSDLHEVVLGTTGRKQGTSSKAACLPSTESLLCKRRFLEAFMSLEHPLIATFKCEHLTYRAIKDMAHEYQHTVELLRKAPFFSCWCAKPASVDLFVV >Et_2B_022708.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3432164:3432517:-1 gene:Et_2B_022708 transcript:Et_2B_022708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDDDWLAPDKLQHVLACLFISLLAAELAGRSARPALRRRAVAVGSAASLAAGAAKEAADEVGFLGSSGASPKDAAADLVGVAAAALVLVLLRRVRWRRRERKAREDEARDGISMV >Et_7B_053577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:995942:998027:-1 gene:Et_7B_053577 transcript:Et_7B_053577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQAGKNLAPDVQRRKIGADDKVDLFPGAEPSSSSTYLKRLKIWETEEMVVGQGDLLKPQVYNPQPEKSSIETVTGPGKLRRSFRKVSMPRSWFEAHLPPQSPPQFPIPQAALSREDVEGAVVGAEGDHAFGDGYCRSSVGGEDRRHAPAEPLVLEPRLLLGLLHFFLVPLIAVLLLPLVVPVTVRTVLVRQVVSPRGGHPRRRPPRAIARDLPFRDNSWGVGGPRVNALTNRDMSRVGTLTNWN >Et_10A_002223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4748715:4750263:-1 gene:Et_10A_002223 transcript:Et_10A_002223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSAAAVVDERPPAPARRLTVLPLVALIFYDVSGGPFGIEDSVRAGGGALLPIIGFLILPVLWSLPEALVTAELASAFPTNAGYVAWVSAAFGPAAAFLVGFTKWASGTLDNALYPVLFLDYLRSGLAPPLRSLAVLALTAALTYLNYRGLHLVGLSALALTAFSLSPFVALTALAVPKIRPARWLAVDARAVDPRGFFNSMFWNLNYWDKASTLAGEVEEPRRTFPKAVFGAVGLVVGAYLVPLLAGTGALPPESAKEWTDGFFSEVGQRIGGTWLCVWIQAAAAMSNMGLFEAEMSSDSFQLLGMAEMGMIPAIFARRRVLKSPTTETPKSENPIFDHKMLILLSRHGTPTISILCSATGVVVLSFMSFQEIIEFLNFLYGLGMLVVFAAFVKLRVKDPDLPRPYRIPLSTAGAAVMCVSPVALITIVMCLASARTVAVSAAVAVAGVVFYYGVEHAKRREWVEFLAPVPQTESTQGSTDAVDVEDVRAGLLADELAGDDDLGKVE >Et_3A_023883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1464367:1465512:-1 gene:Et_3A_023883 transcript:Et_3A_023883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSDLVPVALRDACRRTREMFSFSSFRGQEETGTHLLRIRDYSTVEKKLRHGMCFDSPTFRAGGHSWKVEYYPNGYKSGQASVMLRHKGISFLGIGNIFLGYAAGTTAEFTVSILDRHGNPVYTQSSIPYHYDLYGTGSYIAVMNDTAEERREKLRLAEEDTLFVKCDVTLGYVDLMLDARSDFCHAHLDRLDSVCLVNGDAALETAALIFPASLDANAGMWWYKLTAAEEFNLEA >Et_1A_004815.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:92058:92075:-1 gene:Et_1A_004815 transcript:Et_1A_004815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPL >Et_6A_046007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18055202:18056101:1 gene:Et_6A_046007 transcript:Et_6A_046007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLLACHLALALALLTASLAHFLVAAASHLSPSSLHHPLLRALRHPLLRLLPPLLALPLPFLPIAAGASDVLPLLALPPLLLLLPLPFLPPHGLALLLRPLLLSLPLLLLARAATLLAASFPSSDPLAAPRTPAHFTAEAALACAGAVGGLWAAQAGLSLYVDACVPAGCHRLIDATAAPATRCDVDEARLRAVAVMDLALSVHCVVVAAIAAGLCFVVARFCGVDGGAVTSAGAMAEMEHLPVKNVGGKSVAQE >Et_2A_014710.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8749417:8751251:1 gene:Et_2A_014710 transcript:Et_2A_014710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VFRIPQATETLRLPRPTTPPTSLTKQPSLRNPRKHIESDQNRRACFHGRQDTRPWLSIARCRSGFHHHRSPPKLELGRKADAALLQPAAASSASIANRSALTPWSPLLLYMSKGGSLLCALVVLLQACVVLHLSPTASSSRLTTGGPVGEVFHCQDPIRRSGLIMLSRGPDEFDGANSDSIFDDDEYASDDGGTPLPGGGAEAVAEEEEELLPSGDDDDGGGKESSGELGSGGDVECPECGKFFRNDKSMFGHLRSHPNRGYKGATPPMKNLRMSSQETDAGSPAPSSPDIAGASGQRSGRDPQLTPFEKLCACIMLTLRCRDGQAAQQVPASPPPSSAEGKLEAVEKIEGGVAGLVASIAGAEVKSEDPCPELGNALLSDEHGGPIVKIPKKRRRSISKEDREANKRVKLAVTPKEKRPYVCKHCKAEFSTHQALGGHMAGHHRDKKIPAVNDKETAKAHQGTCGQSQINGKQAKGDRGESWRDGLSGLSLLSRRMEVEQLSMAPNKTWLSGQTSGGHMRQHFVRRNDDTPPVVMPAAAGGDRRRPLNIDLNVKAPDQD >Et_5B_044148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20777812:20783117:-1 gene:Et_5B_044148 transcript:Et_5B_044148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSCVSRGLSGLSRARASASRLLSTASSSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLLMDNEGNCQGVIALNMEDGTLHRFRATNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVHIKGDNPDTVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACDKQKPLGKDAGEKTIAWLDKLRNANGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCELISKAWESFHDVKLSDRSLIWNSDLIETIELENLLINACITMYSAEARKESRGAHAREDFTTRDDEKWMKHSLGYWENEKVRLAYRPVHMNTLDDEVESFPPKARGSYVRTISVLRQLPP >Et_4B_036286.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:15102923:15103075:1 gene:Et_4B_036286 transcript:Et_4B_036286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRTSNMFFIKELVMVLFCVICHHRNGIIFDNKIVSQIRWRKELKDGLP >Et_2A_015801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17996034:17996737:1 gene:Et_2A_015801 transcript:Et_2A_015801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RDPSEISRTAKLPAQLLPARSTRAHLPSTHETTVPSESRRPRHPLTKETPPAPTLPCHRSALVHFAPSIFHPTANKPRHADHAPALPPKPRIYKTQPRTPPPPISSSAESSLHTSLEAAAAEMSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENTVTAMDVVYALKRQGRTLYGFGG >Et_1B_014063.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:29521873:29523198:1 gene:Et_1B_014063 transcript:Et_1B_014063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVASSMPAGPAGAGAMSFGWLGPRLSFGGRDAAAAAAASVEVEEVVVPSPSKAEPAISKDFIDFEFSLGGSATMLPADELFADGKLLPLRPAPAAKATEPERERRDTALVEVPATPERVKALHPAAAEAALDPYVFSPKAPTCSSRWRELLRLRKVQTPQKPSASPSASPSPSPAATAATPSRASNSTAARSLKLLLLQRNSGRASAAASSDLSAAPLLRDSSDSEASISLASSRFSMSSSSSSSGLEHDDLPRYSLDSVDPTPRPRLRLVRSHPHAPPPQPPVASAPARAAHSPARSRAGTSPARARAGHSLARRRPATPPPPPSVVSVDSPRMNASGKIVFQGLERSSSSPAGSVHTMRSRSRVMDRSYSAGVRATPVVLNVPVCSRPVFGFFKDKKDAGAKDASSARPRSALGRRTTTPAAPGVSCRDLVNGNGN >Et_3B_029348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24225070:24230331:-1 gene:Et_3B_029348 transcript:Et_3B_029348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLKVAEDLLEVVDRRAKIVATELSDEQSTSQPSGSNSQEAQVKKGKPRGKGPLKLTTADGSNRAAAQKERKSRQPPRERMKIEKIRPSLHADSSSVDASASEPEVTSTDVKEVNSEGALEKGENTTANLKTDQDVAVVDTTVAVQQTEKKSEDSTPGMDGVENHGNPEISTESSSTVSDEKSEPSSSNPTVEIAPVVNLEEKDTVVSDIKERNASELPNTRGTDKPHESNKESTSDSVESTENQQGQKSDSVSVKEQDQLEEAQGLLKSAVKTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVQEREKSISYEAHIKQLEKELSMSRVEGSRAESNMLDALNAKNAEIESLVKSLDSWKKKATASEEKLASLEEDMDGLKRNRELTETRVIQALREELATAERRAEEERIAHNATKMAAVEREVELEHRAVEASNALARIQRAADQSSSRAMELEHKVAVLEIECGSLQQELQEMEARNRRAQKKPSEEANQVLQIQAWQEEVERARQSQREAETKISSLEAELQKMRVEMAGMRRDAEHYSRQEHVELEKRYRELTDLLYHKQTQLESMASEKAALEFQLEKSLRQFHEVQVEAERSRASRRSASSWEEDTDIKALEPLPLHHRHMATANQQLQKAAKLLDSGAVRATRFLWRHPVARVFVHLFLMHLLHRLQDFASREMLPSGMGELANANLP >Et_8B_059916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:474620:477535:-1 gene:Et_8B_059916 transcript:Et_8B_059916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEARHFKYVILGGGVAAGYAAREFGKQGVKPGELGIISKEAVAPYERPALSKGYLFPQNAARLPGFHTCVGSGGEKLLPEWYSEKGIELILSTEIVKADLASKTLTSAAGETFTYETLLIATGSSVIKLTDFGVQGAEYNNILYLRDVQDADKLVAAMQAKKDGKAVVVGGGYIGLELSAALKTNNFDVTMVYPEPWCMPRLFTAGIAHFYEGYYANKGVKLVKGTYASGFDADANGDVTAVKLKDGRVLEADIVIVGVGGRPLTGLFKGQVAEEKGGIKTDGFFETSVPGVYAIGDVATFPMKLYNDQRRVEHVDHARKSAEQAVRAIKAKESGESVAEYDYLPYFYSRSFDFAWQFYGDNVGDDLMFGDNDPAAAKPKFGSYWVKDGKVVGVFLEGGSADEYQAIARVAKAQPPVADVEALKKEGVEFATKI >Et_7B_055192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8114292:8114833:-1 gene:Et_7B_055192 transcript:Et_7B_055192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGGKYPGVWLDMLTEDPNEKTLIAFVLGIDDPADDSYSVALTAVNDFSRPAGGSGDMPLSPAFNVTLYLKNSRMLWKSCFSHGQVAVSYDGVAMCRAGVRRRGARRR >Et_2A_018345.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24123790:24125544:1 gene:Et_2A_018345 transcript:Et_2A_018345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARPRRWKLPSFHRSGGGASSAPNSPAPSDKSSAAVPFSPARSAAWAEERLAEEAVPPEFVCPISGDLMADPVILPSGRTYERACLRACAELAFLPPGVEPGGADTVIPNAALKAAIGTWCARTGRDLPAQPSEEAAREAVLRVMQPAAAAAKSVRTNRRPAAVAVAMASSSNSSFSPASTSSYGSSSEITAAEDEVAARPVKEAAASPRKEAAVAQVVEPPPAAAAVDPLEDEVVAKVMGSDEEEVVSAAMAALREATRESAERRRALCTPRLLGALRRVLLLPRHAPARVDASAALVNLSLEPANKVRIVRAGAVPALVEVLRSSSAAAEAREHAAGALFGLALNEDNRAAIGVLGAVPPLLDLLASPAQPARARRDAGMALYHLSLAAVNQSKVARFPGATKALLAVAAGAAELPPIRRLALMVTCNVAACAEGRAALMDAGAVASVSGILLSSSSPDAAGTSSSVSGGADLEEWCVAALYAMSRGSLRFRGLARAAGADKALHRVAEEGSGVRREMAKKTLRAMRGDLDEEENDLTGSSLECGDDEDCGGSIVSDGLMSFRRRQRELGVSSCGNTAEF >Et_6A_046656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18843195:18850950:-1 gene:Et_6A_046656 transcript:Et_6A_046656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSTGGIGISEFLEGQNFLITGGTGFLAKVLIEKMLRTNPAVGKIYVVIKAKDTGEALKRLQNEVVGTELFKCLQEIHGTDYNSFIERKVVPVIGDVRKANLGIAPELADEITEQVDIIVNSAANTKLHERYDVSIDINTLGPFRIMSFVQHFRRLKLLLHVSTAYVNGRRKGVVLESPFRLGDTIEKDLGSSDYPDYKNATLDIETEIKLAFGSGRHSDDSTFHNEMKDLGLQRFGVTDGPFPRHYRANIHGCQDTYVFTKAMGEMVINCMRGDTPVVVIRPSIIESTMNEPFPGWIQGERSRMIIHLCNCVSVLITIIIGAKIPVDMVVNAMLASIAKHGGTQDAGAGVQVYQVASAAVNPFLTRDASKYIYQHFTRSPIVDTEGNPIAIQPLQFADSMEKLISDLETKAMLQHSGDTRTIKQIKHLLKIYEPYTACGAKFDTTNTMALLADMSLEEKARFNFDARSIDWMDYIDNVHIPGLKKHILKEGLRNPTPP >Et_3A_025333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2955568:2957985:1 gene:Et_3A_025333 transcript:Et_3A_025333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFKSSNGATAEKGMTLEEQQERINELRKELGDQSSEAIKGFLSDATLSRFLRARNWNVQKASKMLKAAVKWRLAFQPENICWEDISEEAETGKIYMADYKDKLGRTVLVLRPGLENTTSATGQIKYLVYSLEKAIMNLTDDQEKMVWLTDFQSWTLGSTPLKVTRETVNVLQDCYPERLGLAILYNPPRIFESFWRIVKPFLDHETHKKVKFVYSNDKESQKIMAEVFDMDKLDSAFGGNNPSGFEYSSYAEQMRDDDKKMGSLHSSNSADSDASSEASFYSGTDSPKHGDGEHSVPKNG >Et_1B_009790.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27067909:27068382:1 gene:Et_1B_009790 transcript:Et_1B_009790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGKGLNASQFYITLRDGVDYLDGEHTVFGVVAEGFDTLAKINEAYVDEKGRPFKDIRIKHTYILDDPFDDPPQLAELVPQNSPTGKPRDEVAEERLQDSWAPLDETMAPGDLEEMVRAREARTNAVILQKAWGTFRMPTSNRPKMSCLFVNSTR >Et_4B_036847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1194186:1196004:-1 gene:Et_4B_036847 transcript:Et_4B_036847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGSGYGWALAAGFNAALAAISAKFFAPPLLKYGMVILFNVTMWGCYVNSLKALSSLQATVTNFAANFISSGLAGYFLFHEPLPSKWFAGASLIILGVFILSKSSIEEKQSSD >Et_3A_026829.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:22656887:22657630:-1 gene:Et_3A_026829 transcript:Et_3A_026829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIAVLAAFLALAVSPSALAQSPAPSAAPSGPPNVTAILEKGGQYTTFMKLMKSTQQDTQLNSQLNNSFGGNGYTVFAPTDNAFSSLKPGTLNSLTQQQQVSLVQGHILPQYYTMDLFETASNPVRTQASGKDGPYTLNVTANANNQLNVSTGVVDVTVNNALSTVKPLAVYSVDKVLLPLELFGAKAPAAAPAASKAPKKGGSSDAASGPAGSDDSSSDNSGAVSARAVGWSVAGLAAVLGYLL >Et_2A_015845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18372786:18373217:1 gene:Et_2A_015845 transcript:Et_2A_015845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSKMLVQLAKKWQHMAAVGRQGLTTTSTTKDGNLPCTIAGKGHCIVYSADDKITVPCEAAVIEYVMCLLRRKPSEEVERAVLSSVVMPCNYKSSMTMVSIGLSQSQSIY >Et_9B_065541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6504627:6512728:1 gene:Et_9B_065541 transcript:Et_9B_065541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLVPAAPSTLPPLSNTSLLSIGAGSKPKMGAAGCKAGSNRKKVVLCSVKADLVLPVCCIGCLLKKGHYAQRVSAGAPIYFAAALKYLAAKVIELTGNAVITRRPASSHLEQLQETLVWPMDSTITGAGSKPKNAVAERKAGGPRKKVVLRSIKAGLVFPVCRIGRYLNKGCYAQRVSAGTPIYLATALVYLAAKVMELAGNGAKAPVWRPHRPRRCSAPHPHGLHSSSHHKEAAMDSTGTGAGIKQKKGVAGCRAGGPRKMVVPRSVKAGLMFPIGSRRECRDNKKTRIISHRATPRNSAPMDFTFNGAGSKPKKGAAGRKAGSSRKKVVLRSVKAGLVFPKGRYAQLVSAGAPIYLAAALEYLAAKVTIQNSGPFSVKMESHSSSAMDSIGTGAGIKPQKGAAGRKASGPWKKAVPHSVKAGVMFPMGRICCYLKKCRCAQSVDASAPIYLAAALGYLAAEVTIIYMAPSLPKWSHVQVVISF >Et_1B_012882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3824100:3827090:-1 gene:Et_1B_012882 transcript:Et_1B_012882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGAAARAHAKGAGCRRAMSGACCLSRRLGLVLTLVVLAAVVGGAAAAAGEQATTRKAHNYEDALQKSLLYFEAQRSGRLPHSQRVAWRHHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSLIEYGDDVAAAGELGHALEAIKWGTDYFIKAHTSPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDREHPGSDVAGETAAAMAAASMVFRKSNPHYASLLLHHALQLFEFADKYRGKYDSSIAEVKSYYASVSGYKDELLWAALWLHRATGRPEYLDYVVDNAHEFGGTGWAITEFSWDVKYAGVQILASRI >Et_7B_053422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18190124:18190754:-1 gene:Et_7B_053422 transcript:Et_7B_053422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEENDPPSRMTRQRTKEKHTTEEALQGPATNEEDALMAVDSPTGLDDQILICNEGQSSAVVQRPRGRTMGKELERISRGLCTKIQVHVAEGKRRPEAPMQAAKLASESGIIFRNHLPILPHWKEYKNNEEHFDNYMGKIAVCSLSQLLQFVHKSSFNALSDSFLMYMLLTDLA >Et_2A_015792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1802015:1804365:1 gene:Et_2A_015792 transcript:Et_2A_015792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENGVQLTLTSSVKNTGKKTINGQGMNGSQTKERNQRNVNLQSSKDQHLCATCAKGHTCQSVINRTRQMRALLQSKKPYQAHSVFRHLVDEGHKPSLVTYTTLLTALTNQRMFESIPSLLAQVEAAGLRPDSIFFNALINAFVEANRVGEAINTFWKMKHSGCQPTTSTFNTLIKGYGIVGKPEEAQRIFDMMGVEGSAKPNLTTYNILVKAWCDQRNLEEAWSIMLKMRACGVEPDIITYNTIASAYANNDETWRAEELIVEIQTRMRTSERTWGIIIGGYCREGRLEEAFRCVRQMKDAGVLPNVVIFNTLLKGFLDANDMAAVDNILELMEQFGIKPDIVTYSHQLNAFSSLGHMAKCMKIFDKMIEAGIEPDPQVYSILAKGYVRSQQPEKAEELLMQMNQIGVRPNVVTFTTVISGWCSVANMENAMKVYGKMRKSGVNPNIRTFETLIWGYSELKQPWKAEEILHIMQETGVTNALGSSDIVFGRILRVGKFPSKRLQSVKYTSLSQQLFQFQLRQKHGGLYGKSVNSFQTAFQY >Et_9B_064694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17759973:17764074:1 gene:Et_9B_064694 transcript:Et_9B_064694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLLLSVSIALVAIPLSLALLNRLRLGRLPPGPRPWPVVGNLRQIKPVRCRCFQEWAERYGPIISVWFGSGLTVVVSTSELAREVLKENDQQLADRPRNRSTQRFSRNGQDLIWADYGPHYIKVRKLCNLELFTPKRLEALRPIREDEVTAMVESVHRAATAAGNEGKPMVVRNHLSMVAFNNITRLAFGKRFMNDDGEVDEQGREFKTIVNNGIKIGASLSVAEFIWYLRWLCPLNEELYKTHNERRDRLTKKIIDEHAKALKERGAKQHFVDALFTLRDQYNLSDDTVIGLLWDMITAGMDTTVISVEWAMAELVRNPRVQKKLQEELDRVVGRDRVMSETDFQNLPYLQAVVKESLRLHPPTPLMLPHKASTNVKIGGYDIPKGANVMVNVWAVARDPKVWSNPLEFRPERFLEENIDIKGSDYRVLPFGAGRRVCPGAQLGINLVASMIGHMLHHFEWSLPDGTKPEDVNMMESPGLVTFMGTPLQAVAKPRVEKEELYKRVPVEM >Et_4A_032450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10168383:10173953:-1 gene:Et_4A_032450 transcript:Et_4A_032450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAISHLRRGAQRHALLCLARRRFSSSAAAPLAAAARRLLSTTADSTTSSSGDHYKPPPFDPFRAATLSPSAPAPPLESPPLEEPPSTPPPPEEAPASEAAHQQATLACQEVELEGLKAGVEAVKSREESPEEKEAWWLLGRAVVNYCGSPVGTVAANDPSTSQMLNYDQVFIRDFVPSAIAFLLKGESEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRSVPLDGNSEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYSLQERVDVQTGIRLILNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCAREVIGVNDGSKNLIRAINNRLSALSFHIREYYWVDMRKINEIYRYKTEEYSHDAINKFNIYPEQIPSWLADWVPEKGGYLIGNLQPAHMDFRFFALGNLWAMVSSLATQRQAEGILNLIEAKWDDIVANMPLKICYPALEYEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRRDLARRAVEVAEKRLSNDKWPEYYDTRTGRFIGKQSRLYQTWTIAGYLSSKMLLDCPEMASILICEEDFELLEGCACSVNKSARIKCSRRAAKSQKFALVVIVHQDDHGPPMP >Et_6B_048722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1332950:1336876:-1 gene:Et_6B_048722 transcript:Et_6B_048722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFSGEVVEVPAELVAAGSRTPSPKTRASELVNRFLGSAEAAVSMQLGDFGSLAYSHTNQALLRPRSFAAKDEIFCLFEGVLDNLGRLSQQYGLSKGANEVLLVIEAYKTLRDRAPYPASFMLSQLTGSYAFVLFDKSTSSLLVASDPEGKVPLYWGITADACVAFSDDIDMLKGSCGKSLAPFPQGCFYSNALGGLKCYENPKHKVTAVPANEEEICGATFKERCKNSINIPSAGSALVGMLTKGLKSVDADRRDRAVDPCLLRNLKPAHVAVPCGMEGAAC >Et_8B_059580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19506386:19510396:1 gene:Et_8B_059580 transcript:Et_8B_059580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKPAAAPAGGGKAAGDSAAASPSPSPAPASTPSPVASVASGNGTPQKPPLPAAAFDMPKPNLRGLNKPKCIQCGNVARSRVARAAATKPRILATFMDVVGINKWRFMKLKEHMQGDIDAEDEAYERYTQNVGLLEEVFCPTEDSAVEPEAETTSSEERMDMLVSEAKVRLKSDNESADSFKERVATILDQKLKRLLDNQTASEDQSPSDKNADDHTKAVKFTTKQKMERTAKTNELLAKLARARYEDDLKPCRDSVTQLFGKGEGSSVDNSKGMELLPSNQESAAAVAPRYSFPKLVTRMEVDENFASKVNDEFASLAQ >Et_8A_056464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10448689:10452513:-1 gene:Et_8A_056464 transcript:Et_8A_056464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHLHLPHAPALAITPAAAAPGTGGGRARSASPRPRAFSLSYSPGRRRRRPSFAVSAAAGDGEGQQQAAGGRGPMRLNEYMVTVDRPLGVRFALGVDGRVFVHSLRKGGNAEKSRIIMVGDTLKKAGGDGGLITIKDLGDTELALKDKSGPCSLVLERPFSPFPIHQLHQNEDYNILFNRGRVPVASWNSNLLSTNLNEPSTGDGKPGFAVFSPKMLSSQGWELLSSEKGGLNQRSTNLANRISEIVGLYSDEDDPNAEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKITEQIFVGSCIQTEKDVKMLSETVGITAVLNFQSESERLNWGINSEAINNSCRENNILMINYPIREVDSMDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVISYLHWVQDTPLHIAHKFITGLHSCRPDRAAIVWATWDLIALVENGRHDGSPTHSVCFVWNSGREGEDVELVGDFTSNWKDKVRCNHKGGPRYEAEVRLRHGKYYYKFIVGGQWRHSTSLPSETDEHGNVNNVIRVGDIARIRPAPSQLHIRDPTVVKVIERALTEDERFSLAFAARRMAFAICPIRLSPKQ >Et_5A_041402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22104513:22108037:-1 gene:Et_5A_041402 transcript:Et_5A_041402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSRQGSSKAPLSPLPTALFWKTRRPAARGGREPGRHGRGDGIHGPHAKVAERRLVGGEGGAHAVGIGAVGEASTGRSVQTRRQRRARTLVRAAVPVSKRRRMRTRASSGSALRRSSPPEFERLAANSPPLNSMEGEEGNRLWELAVVEMQAASTVEAGCPQTGLAGPTTPKPETLLTTKEIKTHDKILSLLAQQVKQLGIVAMNKGCELTWGKASANLQNSFVAGKSFSPIYKKEASGEPL >Et_2A_016353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23765788:23769506:-1 gene:Et_2A_016353 transcript:Et_2A_016353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRVRVEVGPHILKGEARSSVNELPRAVSSHHVKPRQPVKIRISSSCLFFELPGAGLAGQIPPGSLGNLTALQKLSLRLNALSGGIPADIGAGMRGAQGNRLDGEIPEGPFELRLLQRLDLSGNRIAGGVSPEFNKLPRLATLTTASTATYLPRDLDLLKLPLFNVSNNGQLTGTVPASLSGRPASAFAETGLCGGPLSPCPNPAPPTLSPPFPSLPAPTAPDGSKSSKLSTGAIAGIAAGGAVALLALLAAILFLCFRHHQRSKADQSSPETAGADADLDEYNLGTRELTAIHLPSTRKSTSSVVLSAVEGGRLGCVMLADYTLCIWAREADGPNGEMIWAQSRVIEMAPANPLKTVIPTIVCYRDASGLIYNGEKCEFFATGLKVEQTRLLQDVRKTTLFHTWASILQH >Et_4A_032116.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:18988174:18988638:1 gene:Et_4A_032116 transcript:Et_4A_032116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHPSSSGKSSAHREPLAPVRPAATKKPSAPPRERPSCAVPRRPPAPPSRSVAGSLPAASPRLNPGTAVLVRTRTEKTVKGKAVVLWLPAVVVSAIDGGYEVVYQGKLPRRDPFATVHVQRDHVGPQKTAATTETPCAAAAAAATTTAAPSEH >Et_3B_031373.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27289240:27289884:-1 gene:Et_3B_031373 transcript:Et_3B_031373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGPGPSSAEGGGVGGVGPPPAVAAPRQLSRYESQKRRDWNTFLQYLRNHRPPLTLARCSGAHVIEFLRYLDQFGKTKVHAAGCAYYGQPSPPGPCPCPLRQAWGSLDALIGRLRAAYEESGGAPESNPFAARAVRIYLREVRDSQAKARGIPYEKKKRKRAQMAAAEQQAATSSSSCPDGGSSRSRPPDPPSATQAGAGGSGTAPSISRVQ >Et_10B_003544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:338874:340482:1 gene:Et_10B_003544 transcript:Et_10B_003544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARISEASLVLPARRRRPPRRGEQVLRRRRVEGAAANAGEQVTGAAEATLTPTTTETPATPVEASSASPATEQQAGAAAGYGGSAEASSERLNGLNDKAINDIINEHNVFRAKEHVPPIKWNTTLAKFSQDYAETLKRDKNCQMIHSDSPPAMNRALQDKTCDSAISVESGGRPGGSSSSVSDSSEVER >Et_7B_054854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:545377:549174:1 gene:Et_7B_054854 transcript:Et_7B_054854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTVNTHASPVSRPVRSIPINAEPTGPECPVAIDTILNALSLAPGPTQRPSNLVSAATPPPPATAGARQGRRKPSESGEKKEEKMVAASSPVVNVYPLANYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLCSKLAVNSPSFPPNWQVGECVAVWWRPNFETVMYPYCPPHITKPKECKKLFIVHLSEREYFAVPRNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMADIVENVA >Et_4B_036993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13272447:13283279:1 gene:Et_4B_036993 transcript:Et_4B_036993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQHVKPSDVPYTTIGNQDSAATPPVTAASPVPLAASTDSSSRVADASPAAISTPTAVPAKDAAGQEAPASMFSTSGLSSWVKNLKIPQPSSSQESPTGKNTFARFTSGIGLRLSPKAAQQDDSAEGSTSPTTAQSGVFGSLTKGIVDSSKNAVKAVQVKARHMVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDLSSGLFGYFEGFYRNHMEEVIRFFEMHHKGKYKVYNLCSERLYDASLFEGKVACFPFDDHNCPPMQLVISFCHSAYSWLKEDIENVVVVHCKAGKARTGLMISSLLLFLKFFPTAEESIEYYNQKRCVDAKGLILPSQIRYLKYFERILTYFNGENQPPRRCMLRGFRLHRCPYWIRPSITVSNHNGILFSTKKHPRTKELMPEDFWFSAPKKGIMVFALPGEPGLAEVAGDFKITFHDRQGDFYCWLNTTMMENRVILNPTDLDDFDKRKLPSPGFQVEVVLVDYDGSQPPKPKPAAGSADNKSGAGSSPSTVAEENNPAPAESKKAAGSNDLDEVFSDSEGEDGSSKGKKQKDAGSQGSSSAAKPSETSAVQKEISAAASKVEKVAITSDQGTAKVSDATSLKTEVSSKGSSTTTPAPPVESSSMSEFKAIAADASVFSFGDEDDYEIGD >Et_8A_056789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16896103:16896671:1 gene:Et_8A_056789 transcript:Et_8A_056789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFHDSVPSSQSLLFKIPDKCPDKATPSCIIEELQPESVNDHSSLITTPPPSTKLQQANHALRQLLTKTHQAWFLHTKAQSNRIKAQNKGFRRGSCAAKNCLACSHIPPAIPTSIIKNLGENFANMPQASLTEEMLQKKRKGKKTVVKKQVTGKKPKDGKEDDKENKSKPNEDTTNKKQKN >Et_2A_017029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30274563:30277199:-1 gene:Et_2A_017029 transcript:Et_2A_017029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMSSTYPHHWLSFSLSNNYQHGLLEAFSNSSSAPQLGEEGAVEETPKMEDFLGGVGGTGAPPPGPAEDQLGCGGELGSIAAGFLRQYPATGTPENPGAVTIAMGTDVAESDQARRPAETFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEDKAARAYDLAALKYWGPTTTTNFPVSNYEKELEEMKSMTRQEFIASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVDSILSSDLPVGGGAASRASKFPSDSLSPADAGYEGSTGNNGTWVTSTTSTAPQFYNYLFGME >Et_1B_011053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1768259:1771237:-1 gene:Et_1B_011053 transcript:Et_1B_011053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYSDGKDGEVGVSYPLVAVCIDKDKNSQNALKYATETLGPDHRPRPCQHQGNLRRASLPRAVNKAFSSSSVFLTAACLVAGGVEDAAGYKQPADPQMKDLFLPFRCFCTRKDIQCKDVVLDDHDVAKSIVEFAAHAAIEKLVLGASTRGGFVRFKADISSSISKTAPDFCTVYVVTKGGKVSSVRQAIRQAPAVSPLRTMIQGPKPEQVSTQKWAPPPPPPAARGDAAGTPSFQENHIMTEQHGLTSIATWTYRSPFSRTGQHAGSARKAFPDFSLPESSDISFIGGAPGAAAGGRASTERYPPRLSNGSDGFEQHSFEAARTPSRWGDSFGNDSTSHSQTSTSSWSSLPGLPTGNSFARQQSSMQEDMETEMKRLRLELKQTMDMYSTACKEALTAKQKAMELQRWKVEEEQRTQESRFTEESAMALIEQEKAKARAAIEAAEAAQRLADFEAQKRISAEMKALKEAEERLRSMGAAREGAVRYRRYTIEEIEVGTDHFNEARKVGEGGYGPVYKGHLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRGGGGPVIPWQHRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADSVTQCHMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIVTARPPMGLTHHVGRALEHGTMADLLDPAVHDWPVDEAIRFAEVSLRCCELRRKDRPDLATVVLPELNRLRALGEDNMQFCNNLSARGSGGMNSSPFYSNSSYSQPRHDASSDPMLGRPQYSSNSNQGGMVARRSNYN >Et_2B_020366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19422297:19423905:1 gene:Et_2B_020366 transcript:Et_2B_020366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSTLLVCIVAALATIPIMYALQRYRRRLPPGPSWLLIKYAWDFIWAFNRHRVLAKLAKEYGPIASFGTSMSRIIVVVSSPAAAQEALAENNAGLADRLMPDSARALSHFSGSPLFLPSSDALWRLYRGIIRDHITSGRSLERSRHIRERHARQLADYFRACSGQPVTVGVPMFGTVLNAMCSILFSEGDVVDLGGQGQPELKDLLVELTAVSTKSNISDALPFLAPLDLFGLRRGFGDCLDNLYKFLDEKFIEPRLASGRNHGDVLDAILEQYDMSRITRPDITKFFTDIFIAGSESSSLTVQWAMAQLLRNPEKMANVRDELAENLGPKDSVEESDLDKLPYLRAVVKETLRLHPVAPLVPRVVVSDNVSLGGFSLPIGTGVIVNLWAIGRDPESWPQPEEFMPERFLNDPSLDFRGPNFAYKPFGAGRRVCPGMDFAARFVPLLLASTLHRMEWRLPGEMTPEDVDLTDNYRTVLDLATPLCAVPLSLD >Et_1A_007248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32602559:32607983:1 gene:Et_1A_007248 transcript:Et_1A_007248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATFLLLLLLSSLSASPALAVPPRPPVRCGGAGCVLSNAYGAWSSDRGDCPVSAVAYPASEQEVVAAVARASAAGTRVKVVSGFAHTIPKLACPGGNGSSTLLISTARLAGVEVDAAARTVTADAGAPLRAVIDAAEARGLSLPAAPYWEAVSVAGLVATGSHGSSWWGRGGAVHDYVVALRLVVPAGEADGWARVLPLQPGDELFPAALVSLGLLGVVSKITLSLEPSFKRSITYEYRDDSTLQDDFAAHAARHEFADITWYPSQHTAVYRVDDRAPADAPGDGVNDFIGFQSTSIAVSAGIRAVETSLERARSVRGRCAMAAAEIAAKRLVGSGLKNDGLLFTGYPVVGRQGKMQTSGSCARSPAADLLSACPWDPRFRGLFFYETTAFFSPPARFRDFLLDVKRLRDAAGGAERLCGVDAYNGLLVRFVKGSAAHLGQPEDSVVVDFNYYRASDPAATRLGQDVWEEVEQMAFVKHGARPHWAKNRLVAFAGVRGKYPRWGKFAAAKRRLDPRGLFDSPWSDEVVGGKEVEKGDGCALDGRCVCSEDRHCSPGQGYYCRPGLVFTEARVCRYSVSQNQ >Et_6A_046738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1948524:1968287:1 gene:Et_6A_046738 transcript:Et_6A_046738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETEQSSAPLLQTKATGGANGCSVVYFEGCPGCAVDRQKAASPGIPYGNFLYVWVVTLCTALPISSLFPFLYFMIRDLHVAKRTEDIGFYAGFVGASFMFGRCLTSTAWGIAADRIGRKPVVVFGIFSVVVFNTLFGLSVSYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPALGGYLALPAEKFPNVFSADSFFGRFPYFLPCLCTSIFAAAVLISCIWMPETLHKHKVSETGYHSIEALEAPLLDPKEKVQQNGSLESKTSLLRNWPLMSSIIIYCVFSFHDMAYTEVFSLWAESDKKYGGLSLSSENVGQVLAVTGVSLLVYQLFMYPRINKVLGPIKSSRIAAILCIPILFAYPYMTYLSEPGLSILLNIASVIKNNLAVTIITGTFLLQNNAVPQDQRGAANGLSMTGMSFFKAVAPAGAGIVFSWAQKRQHAYFFPGDQMVFFLLNVIELVGLILLFKPFLAVPEQYDEHYEEAPLLLPAPVVEGCPGCAMELRKARSNGRIPYKEFFFVGVTTLASSLPITCLFPFIYFMVRDFHIAKTEEDIGFYAGFLAASYMVGRGFAAIFWGMIADRIGRKPVIAFSILSVVLFNTLFGLSTTYWMAIAIRLVLGALNGLLAPIKAYCVEVCQAEHQALGISIVNTAWGLGVIVGPALGGYLAQPTDKYPHMFPKNSIFGRFPYLLPCLSVSSFAAVVLIGCTLLPETIHRHKLPEKATKEVKSLLPEEEGRYRNSSRTKSLLKNMPWMSTMLPYCFFSLHDGAYSEILSLWAVSDRKYGGLSFSTEDIGEVLAIAGASLLVYQLFIYRWVHKILGTVNSSRIASITVTTGICLLQNNSVRQEQRGTANGISTTAMSFFKAIAPIGAGALLSWAQKRQDAAFLPGDQVVFAVLILLQLFGLISTFRPFLCQEQRGTANGISTTAIFSWAQKRYNAAFLPGHQVVFLMLNMVQLLGLISTFEPTIQVAACY >Et_6B_048972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16142482:16148428:-1 gene:Et_6B_048972 transcript:Et_6B_048972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVFGLAKSAVKETVNIARAAIEEEKNLKKSVQRDLMLISDEFEMMHSFLNVAKDEHASDDMAKTSVRQVRDMALDVEDCIETVVQLGNKSRCWHYALPSCLPLPAPAAALQDAVDRIELLKARVEGMGHRSMRYNRIGGSSNHQATRQMQLPAEADAATLGVLLEAREAAKKHHQMPDISDLIKYDASTSLKVVSVWGVGGDLWTKSIIKDSYDHIITMDKSFGFFAWVKLMHPFNPHEFIQSLLAQFYRNYRLQEGGTVEVLQQMEAMLAAKEVLLKTFTDLVSCSKYLVVLEGVSTMVDWEVARVHLPDNKKGSCIIVHTQLLEIASLCVGDADSQRVLEMQKFSGHSVCAIYKEDKKDSEKTMTKAPSKLYRFDCVGRMRDLSILHNIVSAPNHEVVSVWGIAGIGKSFIVRKVYKEMDNAERGFVKFGWVDVSHPFNIRDLIWRLVLDMDRNSSHKSPLVIKDPLKRYRRLLNFWRCLIVIDGLQSTEEWDLVEADLVPGLSKRNSCIVVITNEESVGTYCATGRDAVWNVRGLEFDEALQLFKQKVSDKVGSSDDLSDAVIEQAKPILHKCGGHPQVIIAIAESLAAQLKLSPEILYDWKRLNDGFMHELETNGLYRSLRPLISTVKSFIRSCPDILKPCIFYLSIFPVSHCIRTERLVRRWIAEGYARDSNEVTAEETATDIFCKLVKRNIIQVLLSTTRMVILQLKMPVCQVNGFIHEYIISRSMEDNLVYALEGRSSIISQRTGRHLAVYESWRRDQTVYETTDFSRLRSLTVFGEWRSFFISKEMRLLRVLDLENSSGVTNADLEKIVKYLPRLKFLSLRGCGEEITRLPDSIGRLSQLQTLDIKCTSITKLPKGVVELQKLQCIRACAYKPSVSKSRDTRASCLSWYCKHRRPAGTLGGVLVPGGIGGLSSLHTLGVINVSGRKGKAILKELKNLSQVHKLGVSGINTKNSKYLLSFVSGHVHLKSMSVQLHEHNGGCLDASLISQFQRPENLQSLKLYGLEGNLPAWINCLPSLRKLSLQLDTVSPADLTALENMAKLRILTLDAKTGGKLNFHSGLDDLIVLEICCHSHSNTVMFNHGALSQLEVLKIRCFDVSSLGFIGLDVLSQLKEVQLSGSCSDNVKKTVKEELEKHPKEMKPVLKVERGSS >Et_4A_034829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:693189:696754:1 gene:Et_4A_034829 transcript:Et_4A_034829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYARRSLATAVSRQLSRRLHPSVSHLLPPDHECSEKPSSSAVPPQTQPAPFPSAFPRPSRSQALSLPLPFALHLAAHRNFSSTSSSSIPDIDAAADVLTDAASSGSLPELLSDEVVAASSSVIAPPAPYAGEVAAAAAESFPPVAALQHLMDAVHSFTGLNWWACIALTTVLIRLATVPMLLNQMKSMVKLNALRPEIEAIKEEIRNSTDPNSIEVGKQKIGALFLRHGVTPFTPLKGLFIQGPIFMSFFFAISNMVEKVPSLKGGGAYWFTDLTTPDDLLILPVLTSLTFLATVELNMQDGMEGNPMLKTMKNISRAFGILFVPLAMSFPKAIFFYWVTSNLFSLGYGIVIRKPAVRNYFDLPPVESLQPAPAQMQSFNPFSGPKSVPKVDAPKESERSSSALSDRIRELEDKAKSRGESQE >Et_2B_021354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2895673:2898591:1 gene:Et_2B_021354 transcript:Et_2B_021354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEKERRRKEWEAAEAERTRMELEDAGRWEEGFERAGVEAEEEEELAGGVGGDRYKWEDKGDLIGREGWRHRYQRHHPVPAGHRGAWRGRGGRGGRGGRGGFQFRCSWDLQHHISDISNKPGVYGGAIIICNRLTKRDFFQHKLFALPGYAATFIKKIRAGMLLFLFEFEERKLYGVFEAASDGALDILPDAFASLWKFRPAQVLFRRVWFCKPLTVAEFSGAIEGDFLRPHMSFFGISYQQVLNLLDLFSLKMIQLQTYQKPKSRVISDYKVSLARTGQDFSLKPHSNACPSRYPSMFHNNRTSLPHSPFMYAKHHGKHATRENESSPHYHADYIPLELDDLDDYKSESDADQSTLLGTVRLHSTLKSDSKYEDPVTKQLSGKHSEHDSYHSNQLNRRIVSECETGQKNVIAHTEKESKSSLQNKGCKRKAVVRLDKCSDVLSPRRVCTAAKKVSFSIGGNEVSVTYDRKKSYDKSVHKPAFAENRDRVVGKGKEEVCFSPQDIQSKEKHVSAKRSKPRSLCFAEQFRNQRAQSCSRNG >Et_2A_018197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17728680:17729320:-1 gene:Et_2A_018197 transcript:Et_2A_018197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLPVVAVLAVLAADRCAATDHIVGANHGWNPNINYSLWSGNQTFYVGDLIFVLSSVRGSNANSALQSVVVGGRAGAAFRYQKGTHNVFEVNETGYDNCTMAGVAGNWTSGKDFIPLPEARRYYFICGNGFCLQGMKVAITVHPLPHNASADGKRRGSTGDQEQDSAAVAPRSTLGTAWMAATLAVAVAAVAV >Et_7B_054763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4139759:4142843:1 gene:Et_7B_054763 transcript:Et_7B_054763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQQVWQLGTKDMKAIATSRQRSSAKRCVWMLVIAAFISIALGHEGRYTIYVHASREKPEHVTWGKISMVDAERRLLANALQDTDNQHFVLLSDSCVPLHNFDYVFDYLMETNLSFIDCFYDPGPHGNFRYSKNMLPEVRETDFRKGSQWFTVKRQHAFMIVADSLYYTKFKLHCRPGMEDGRNCYADEHYLPTLFYMMDPEGIANWSVTHVDWSEGKWHPKAYRAKDVTYELLKNITSIDTSYHVTSDSKKVVTQNPCLWNGVKRPCYLFARKFYPESINNLMNQFSNYTLF >Et_1B_013609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9920160:9924575:-1 gene:Et_1B_013609 transcript:Et_1B_013609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFIIFTWMDTASFLLHSGYSQTCHRKTAGPSHLSTSHSRATSPPYNQGGHPRKTAARGTRHPSTSAGIAARRAHLSLSPSRLVAPPHPTLPHPPDTVGQPRKRASEREKATMADALPAASPLLPSRKSAVQYARCTSHPRDELRSFRACLRWLCVDHSTRARSAASWAAFLFLAVAAPSALRLASPPATPDRPFDGLVQILRMVGFAREFGRFADVATVLLHHRRIREQLRKISHRYRKFIVCSLVLVSASQFAALLATTRPHATVNLATAGELALTSLSLVAGLLMCLHSAAKITHKTQAITSVAAAWHADATVHAFDNDQENPDPDLPATAGYLAPANAYRLAAGEESGSDDDYDSRSEGSIDDPKYVPFQANNICFQKRQALVTYLENNRAGITVYGFVVDRAWLHALFMIEFSLVMWLLGKTVGIS >Et_7B_055279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:968833:969488:1 gene:Et_7B_055279 transcript:Et_7B_055279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGRVHVACSLLLLLLLLLIAAETSSAVDRNQEEYYTLLLSHWWMLADCGSACAARCAVASRQKLCKRACGSCCARCNCVPPGTSGNQDKCPCYAAITTHGGRPKCP >Et_1A_005105.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27505303:27506058:-1 gene:Et_1A_005105 transcript:Et_1A_005105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATFLSSDSACDSAKELAGTGTNPADANTVRHGSGLSTPARWRPTTRCSTPGWTRKRSILAAVSASVPTASTDSSRETQDWNAWKRCAAKGSSVRSQPWSTETTTFAPSRLTRRRTAGIAATCVEPTGRWTAMASPLAMATRSAGSRVSMLKTANWKRTPSSVANPERFSWMSCSSSGWCDAGDTNAVTLSAAAGRRCASSCISDAHCSPMPTSKSSTWMQVAPASSSSTACTQVRCANCSTGDTSEKAL >Et_4A_032171.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22232894:22233037:-1 gene:Et_4A_032171 transcript:Et_4A_032171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAVLFSDNILVHDTILQALLAICCYYLTWHSSSRRPLYFQAEKAG >Et_1A_008308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6201871:6205934:1 gene:Et_1A_008308 transcript:Et_1A_008308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHYWSMAAAAIGFRLVLVLFGGDLHLSSRPEVSTPLTSLRRLAEGYWLKQASMSPYSGSMYHGSPLLLSVLGPLTNNSLIFVAVDFLAAMLIRATGNKLQMARNRSLSSLDLTKAVNHSVNLSSGDVASLIYLWNPWAIVTCVGSCTSPIENLMVVLMIYGAFSRLAPLAAFGYVLATHLSLYPAILIVPVILLLGYGPDAPPPKVFLLKSSNGSKQDKKLVAPRFSWKPVVHFIFWLFIWSCYVLLLSSIILKKDVWFYSYSEGSITKYRYFFAEVFDFFRSFFLMVFNMNIIFMVLPLAIRLKHRPCFLAFVYTAIVAILKSYPSAGDSALYLGLLGLFANELAEMQFTFFLFFGYIGVSLLSPIMHNLWIWRGTGNANFYFATGLAYTCLQTVLVVESVSSMIKHDRKLRLLVTS >Et_2B_021235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27759048:27761962:-1 gene:Et_2B_021235 transcript:Et_2B_021235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENMPRGGGGRPPIPAAGRKPVLARHASFVRSSANNTKSETVTTFETLDTEFIPVIRSGGWADIGTTRRTMEDVYVCCDNFMQDLGFESTEEGPRAFYGVFDGHGGKHAADFVCSNLPRFIVKDKGFPGEIEKAVSSAFLQTDAAFADACSLNCSLDSGTTALAALVVGRSLLVANAGDCRAVLCRRGKAIEMSRDHKPSCNREKTRIEASGGYIDDGYLNGQLNVTRAIGDWHMEGMKALGGLGPLSAEPEVMRMDLTEEDEFLIMGCDGIWDVFRSQNAVDFARRKLQEHNDPAACCKELVDEAIKRKSGDNLSVVVVCFNSRPPPVLTAPRPRVQRSISAEGLRELQGFLDSLAD >Et_9B_065084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21006909:21012935:-1 gene:Et_9B_065084 transcript:Et_9B_065084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGARTGAQPQKSTPGANHRNRASVQRDVTAASIDEERQQKFEDENGIQNIKLEDLVGMIQSTEKTRLQALEHADKIRREKEVLQRKIEILEMKLSETSVQQKLSSEGESDAETGDPKLLVEFDVLKEENMLLKDDIKFLKTKLIEITEMEESLFELEKERALLDASLRELECGFIAAQSDMLKLGPQQHDAWWEKVENLEELLESTAKQVEQAAMILERYHNFPDKIEKIEASLGTSNVSKFCFYLVDLLQQRAKSVEERFEACNNEMHSQIELYEHSIVEFHDTLSKIIKASEKQSLEHYAEGMPSEFWSRISLLIDGWSLEKKISYNDADILREMAWKRDNRLREAYLSSRGMEERELMDSFLKVALPGTSSGLHIVHIAAEMAPVAKVGGLADVISGLAKSLQKKGHLVEIILPKYDCMQHNQIHNLKVLDVVVQSYFEGHMFGNKIWTGTVEGLPVYFIEPQHPAKFFWRAQYYGEHDDFKRFSYFSRAALELLYKSGKKIDIIHCHDWQTAFVAPLYWDVYANMGFNTARICFTCHNFEYQGTAPPQDLAYCGLDVERLDRPDRMRDNSHGRINVVKGAIVYSNIVTTVSPTYAQEVRSEGGRGLQETLKIHSKKFVGILNGIDTDTWNPSTDRFLKVQYSANDLHGKSANKAALRKQLKLSTAYASQPLVGCITRLVPQKGVHLIRHAIYKTAELGGQFVLLGSSPVHHIQREFEGIADHFKDNNNIRLILKYDDALSHMIFAASDMFIVPSMFEPCGLTQMIAMRYGSVPIVRKTGGLNDSVFDVDDETIPMELRNGFTFVNADEQSFNGAMERAVNYYNRKPEVWKQFVEKDMRIDFSWDSSASQYEEIYERAVSRARAGA >Et_1A_009577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8495128:8496478:1 gene:Et_1A_009577 transcript:Et_1A_009577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVGGAVVAAAAPAAAAAGGCGAGTPHVLAVDDSSVDRAVIAAILRSSRFRVTAVDSGKRALELLGSEPNVSMIITDYWMPEMTGYELLKKVKESSELKQIPVVIMSSENVPTRITSLLIIYTMYKKQFVKNNGYSIEYPCTQARPPLHGTKLTIPSPVSLTRCLEEGAEDFLVKPVRPSDVYRVFSRVLR >Et_2B_022140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9615727:9632417:-1 gene:Et_2B_022140 transcript:Et_2B_022140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEREVPQVRTEQSTAHLKEEPEEGVQEWADKNPIEEQSGSERNSDNWEETQHQPKEKDTVEFSEFGGGTDDFNNVAHTKPLCDTSFGKYDICELSGDARARGGGGDGAASVTLVSPRAPPREWTIKPYSRKYLDGLKPVTVRSVPFPEHAPPCTTRSNAPAMVIHLGGLTGNYWHDFADVLVPLFVGARRFNGDVQLLVVNLLPFWVEKYRKIFDRITRHEIVDFEKDDGVVRCYPHVVVGYGSRKEFTIDAAVDATGGENYTMLDFTAFLRQAYSLPRHRPVKLSSAISAAAARRRRPRMMIFERTGSRRFMNLHEVVAAAEAAGFAVTVAGRPRASYEEFAREVNSFDAMVGVHGAGLTNCVYLPTGAVLVQVVPYGRLEGIARADFGDPARDMGLRYLEYAVAADESSLMDVFGKDHPIVRDPVAVHMSGWGNVAEWYLGKQDVRINIDRFRPMASTAYSRPSKPPGPSGGDRRAPRLGKELGRIEPKKLGIGLVAGCCLALLTYLSFARLFAIYSPVFDSSALVVKNAPPVTATTVPAVVEPQPLPQKKGEGEVDKDLTDPEADPTIPNLPEQEAVAVQKNEQPTKPSAGGDGPEAKITCDENGVDEGFPYARPPVCELAGDIRISPKEKTMYFANPSGAGPFDANGEKKIRPFARNDAFLLPGVVEVTIKSVSPSSSGAKLPECTRRHDVPAVVFSVAGYTDNFFHDNTDVLIPLFLTISHLKGEVQLLITNFKPWWVHKFTPVLSKLSNYEIINFDKDEGVHCFRSGHLGLYRDRDLIISPHPTRNPRNLSMVDYNRFLRGAFALPRDAPTPLGETTSSKPRMLIIERKGTRKLLNLGEVRAECERLGFDVTVAEAGADVRAFAALVNSADAMLAVVPWGKMDWMATNFYGQPAKDMRLRYVEYYVSEEETTLKDRYPRDHYVFTDPMRIHAGGTGKMHAVAGERPKLVRGLRQESRRFRMLVIVAGFFLVSLTFVLVSKPDAILFNLNGKLPVDQAPTNIVIQQKVNSPPAAISRKSPTDALRKAALPLFTPPDSQHSLRIRLKINSFGCFLLQAAIPEWWTTMSMRNQKNLFLVELLTLRLLVISATVGTKGEEEESRVLSEPDPTSGMTEPNKDGRKSDEAASGEASHKTVRLMERSGGDNGKTKGTAEEERGHAAKDQHKVTLPTVSNYTIHDAEDTETAKQDGATNVQQGSKPLCDFSNFRANVCDMRGDVIVHPNATSILYMEPAGSQRDELWKIKPYPRKGDEFCLSHITELTVKSSKVAPECTKYHDVPAVIFSLTGYTGNLFHDFTDVMVPLFTTASEFNGEVQFLITDMALWWTIKYHTVLQKLSNYPIIDFSKDDQVHCFKRVIVGLHAYMEFTIDSSKAPHHLSMVDFNRFMRGAYSLGRDTVTALGEYPKVKPRLLIIKRHRTRMFLNLDEIIAMAEDLGFEVVIDEANVSSDISRFARVVNSVDVMMGVHGAGLTNCVFLPQNATLIQIVPWGGLEWVSRTDFGNPSELMGLRYKQYSIGIDESSLTDQYPRDHEIFKNPISFHKRGFDFIRQTFMDKQNVKLDCKRFKPILLEALNNLNP >Et_1A_008849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1672648:1672910:-1 gene:Et_1A_008849 transcript:Et_1A_008849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATAAASSQPRTTTALRSLTSFYAAELRLYVNGARRGVQLSPAPAPTSHQYASFLGAHQRRMHEEVVAHAMIALIDLSY >Et_2A_018633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3745440:3746195:-1 gene:Et_2A_018633 transcript:Et_2A_018633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLCASSYEPVRTNPLTFGGSGLEFRCALVQQFGSDEATDGVIATLCIVRPLFALKVTALRETETGDKHPDLLVLIGGAGGRFRQLMEWDDDWLAPDKLQHVLACLLISLLAAALAGRSARPALRRRAVAVGSAASLAAGAAKEAADEVGLLGSSGASPKDAAADLLGVAAAALFLVLLRRVRWRRRERKAREDETRDGVSMV >Et_8A_056469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10526196:10529960:1 gene:Et_8A_056469 transcript:Et_8A_056469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPSSTPPATAANPNSDRNPKRKRKPKTKPAGPSALNPNWAQLQAKLPHRPAATHLGKRKNREDPPPPPDAAEPSPPAEGEVTVKLEPTSDDTSLTKALAVDCEMVGVGSDGSKSALGRVTLVNSFGNVVYDEYVRTVERIVDYRTRISGIRPKHMNKAKEFWTVQKEVADLIKDRILVGHALHNDLKVLLLSHPKKDIRDTSEYEVFRRERKRRSLKDLAAQALGAKIQQSEHCPIEDARAAMFIYNKHKKGWEKHMKDQFRSKKKLKKRGKKKSAESNGNDPNVPTRKGVAVQHMQDDHHQHGAGKHRYRPEDPHQQRRREVEGSEPHRPEVATPDHSVLHVACRDVGVQGCYQEAGLDIVPVEQQPGQNTVATLAVARKGHLAEWMKQVNDARKQMRSEIYLTVAVDWLRSPKTSSVMNTLVVLLTHAPMSEPSAMDSEARLVDAEMLLEITLRASPVSSGLVCRNTNQQRPITTIVTSFIPASRKHQKD >Et_4A_035334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20470158:20471080:1 gene:Et_4A_035334 transcript:Et_4A_035334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCFFQFVLVLVACTAAVATNQSPMAPAPGPSSSAAASFLHACCAAVDHADACYNLLLPYADSFHGSLARVTRTSAGLAITCQHGLTDDLTRLKLRGTGAGRMADMVLADCFNTVAAAEVFANGTLAQLDDLVAGVKSKKDLETEKYFAQIWIGSTASSMSNCIDWIHDDPAMSSPVLKEVTTLCSCAKPYMEIALDLIDSIKFESMITLSPDRILIPCNPMYT >Et_1A_006016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16750345:16780764:1 gene:Et_1A_006016 transcript:Et_1A_006016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGSFSTAAALERLLARCPALRAEPRLLALASAASPARDDVAAALAEPLLHPRYTIPVLGCFLPLSRDLVDRAVALLRPAGPALRADDAARWEEEAGEEDVRVVEFYLSRGRGLRLHEVACLALARALDLAPYLLRSVMSYFKYSPPPFQRLLCEGFSSRIPSKELHVLLDAAQVSYRFLGLEPRIFCEQWDWSCFLDLVYSSADCSLVDNSLYSVGLDLRWCTIQILLVVLKASDMAVESFGLGADEAFTCYLRWKEFCMDTSIEKASLYLQNEDMNSKNSVDGFTSLADCLSDWPEVETGRASSMGSYACPFVLTATLKKSYEVALMAVSQKWPILLYGPVGAGKTALINKLAQIGGNRVLFIHMDEQMDGRTLIGSYVCTEKPGEFKWTPGSLTQAIIKGFWIVFEDIDKAPSDVQSILLPLLEGSSSFSVGHAEAVEVSESFRLFGTVTTSKNDVSHALEGRLTFSALWRKVMVGEPNRSDMVNIIKGCYPSLDPISSKLIDTFEKVNSLVSNQFGGLNLAGTLSDGVLHRFSLRDMLKWCKRILGVDLNVEGLGFASSGCKLIYHEAVDIFAASLSSPDKRLYVAGEIAKTLGVTHLAQAVLPTDKPIARHTELQVGRVTLQCSDKPVLIQKGPFADIRRALEVLERVACSIKFNEPILLVGETGTGKTTTVQNLAAWLKQPLTVVNLSQQSDISDLLGGFKPTDARSICFPLYMEFKDLFCRSFSGKDNEAILRQFDMFVMQKKWKKLLRALAKCVEKAQKLIEGRSKSCIGSKRKRPLPEQVISDWDTFASRLNAACSQIGSATGMSFQFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGERGTLCLAERGDVDYVDRHPCFRMFACMNPATDAGKRELPYTFRSRFTEYFVDDLMDDDDLRLFISKYLDDLNVANGVIDSIVRFYKVAKKESEERLQDGANQKPQFSLRSLSRALGYIKNAEKKFGFRNALYDGFCMFFLTMLDAPSAKIIKNSIVSILLDGRVPPSISFVDYFIEKPMQLDGCESDEFLRSYVLTKSVTGHIVNLARAVYIKRYPVLLQGPTSSGKTSLVRYLAAKMGHEFVRINNHEHTDLQEYLGTYVTDSQGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIPAHPNFMLFATQNPPMLYGGRKMLSRAFRNRFIEVHVDEIPEDELITILEQRCRIAPSYAAKMVQVMRDLQMHRQNSRVFAGKHGFITPRDLFRWANRYRTFEGKSYEDLAKDGYLLLAERLRDDNEKAVVQEALERHLRVKLNVTELYNSEVTRDDNLSLDAIRLRVHECFGNITWTKSMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGLKLHILNCHQYTETSDFIGGFCPIRDRSRIALEFKHLVARIRQMKIFVHVARDMPLETDISGADSIMGHLNEMLERYRKEKHLFPEVSTQDLDAMEQIKLDLMHLHKRWQAIFLWQDGPLVQAMKNGDLFLIDEISLADDSVLERLNSVLEPERKLSLAEKGGSVLEKIVAHPKFFILATMNPGGDYGKKELSPALRNRFTELWVPAVTDVDELKSITLGRFTKPELSCYGDCIVSFWNWFNQLHIGRMLTIRDLLSWISFIDVTEQKLGQQQALVHGLFLILLDGLSLGVNVSKTDAAELRSTCLSFLLEQVQKVEGKTVDSSLNDLSSYGWGDNIRKLDMDHDYLKDHFGIAPFYIAKGHFACKQQNFEIMAPTTSKNVMRVLRGMQLPKPILLEGSPGVGKTSLIVALAGFSGHDVVRINLSEQTDMMDLLGSDLPAEGANGMEFSWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGQTYKCPPSFRIFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELSEEDYLFICKSRFYPLISESLLRNLIRFNNRLYMDTMIHRKYGQEGSPWEFNLRDIIRSCEMIAHSPGISNDDCFLNTVYLQRMRTVGDRHEVIKLFEEVFQKKPSIYQSKVLHVNRHYLTVGSASIVRNNFQSCKAQNNQLNIFPGSLHSLEAVMHCIHQGWLCILVGQNSSGKTSLIRLLAQLSGNTLNELNLSSATDVSELLGCFEQYNFFRHYKAVISQVEHYVDVYFRMSMDMKWKNLILERKGLFAKWFEFVATKKYSSLTTSTFIEMTRNASVPSLCLVAEIVEQMKCDMEMFDLPISLTKDDLSKTLKSINNLQQNGSAHQPVKFEWVAGDLIKAIEGGEWIVLDNANFCNPTVLDRINSLVEQERSIVVNECGLVDGNPVVLKAHPKFRMFLTVNAKYGEVSRAMRNRGVEVFIMDQHWNMDASSNVPDGSERKDVIRFLISCGIPRLELISSMSEAHMYAKAAGLYLGINITLLEITRWVQLFQKLLIKGNQFLWSLHLSWEHTYLPSLGEVNGSDIVEEGKLRFLTKFDGSDIVDERKVRFLTDFDGSTGLHSGFSLSLPGGWPVEQKLRDFIWYSKETCIRRNCMYLQSLGAQYAAYRISSLKENLSSLGPISNIHPTILPATSLCELQFPTFSGQRVKTRSFDSDLADQMLFFAANWVMEQSTENDLELYATWFKWYNCLVQPYCNFFESYMCILKQEMEHPIWQSMLECYREIISYHKINIVTQDIPLLSKKLLHMAGCVALKACDSRLHNARSGLNLLRLTLQQWQLETNYPDYAVLKTVLLPALKSLRCLEGEVLKMVVKSRKLLHIYSRLLDYHRSVWKMMTSSQFDGLPVVWNLLRKEILKLQPKFPYGKPTLWVYGGHPLVPSSGGIFYKLQEILAFSAAVWPKRNLLNIDLDDKQLLTHVMLSANQDLRRLAMEDVSTVVAELEEVLKRLARKVDCEHENLELSFKTSTTEIKLCCSVSSDTLCNIHGFKGWLASLPLLNLKSLSLDTLLLQQLSKCSQKDSSEAHEIIVNSEYLLKYAMDYSLESSSRSPLEYIQHQIIWWIHQAWATVDNVHVKVATAILEMWYNYHSSLWTYCSGSPKGLYSVTHDETCDLAHLTKKDAINIIMQQDLCVVDYLKNCVMLRISSRNLWEGVSYVGNLVGNFHSAADSLFKQIIVVHKKHFKPEDYSRIESILFQQTKHYLEKEDLDTISALLSSSSHGVLASLSGPEKLVELLLMDLYSPYSRDSLLHTGSAWVHIGELRFQLLLSSYSPDPAFESAYKHSHICEKISLVELEGKVRHDCEELAGFTSAQDSNGQKLLQELQTEEKDLRSKVVFRPQQSKHKSVVAACIEFEDRLSDCKDLIAKLNCKEVGQLEVDRVCNWQITSMNFIKRLTEEYGEYVDLIQPIQVAVYEMKLGLAIALAGSLQREYLKKINEDDIQRVLGAINAFMQTDLTNYATNDQTGQYSKFGDVDILKKLADVSSQVNVGKVADKVKSHSEMLTSIHHISLVRATYGVSCSLIMDKATYLSMKDTFDHFTSMWIDMKSRLKAKENEDSQFYRFRSRIIDIQDIFKEDVPSLSDMDTEGNDLLDNEEKLEHEFFRITEKIDDDDIVAEDTWDVIPESTLKCIVTIHNQLFGSPDLLEKPTKCQISDVQKIQSFIESYDLGTRILKDLPELTCSILDEKLMPEHLFRVCLEYQRTCSPCLDGSSYNAYKDPNPSVLFKMVEPLTALQEKVRFYLDEWPDHPGLLKILDIIASLLAMPLCTPLSKALLGLQLLAGKAQTLQENDSKFLLKDHLAPIFLLVYSWQRLELDCWPVLLEEVQGKYDENAVKTSGIPTDEELSIIKSVEEFVQTSNLGEFKRRLHLLLAFHGEISGGASVGCYLSTPMKKIQNILYNVFGYYMQFLSLVLGQIEAVKGSIEKELKDQVKLYRWEQEPYSTASIENFKRTRQKVFKLLRRFNDILQKPVMVLLNEEATRRKVPCWLDPQGSESQFPVDIEKFNNRFLWFNKWASQTCLSLQNLQHTATGVASLKEYVDVAIHNVNHRQDETELNDRLKFFWVALERICVAANFSNTLKHGKKNQKKAALSNLFKTLEECGLSKHRPISHEWGDELAATSSLFLENSYDTVHLLQQESSHKTLEDVSIVHSALLTTDNWKHANQQYFKCLAMMQQLRQVSFKFNKDLGLEEVNRATSFMNHLLTILSEQRHHAYNLFNQLNRFRHVIFLLGSGGGSKSLSSYQNVLLNSMWEQKDLLDKYLVLSNNILAGAHTIMPVATREMEELVAENCQLIDSLREDVRALCDQDISMRSVKKILLSRLDELLEKGKVAIGNSKEIDEDDRRVCSNVLQTLEASYAEILKETFVLAVGVVVKLSKLEISSNGDEDSSVGTITSWKDILQSYAVKLNLEQICDASEKLCTTVRRLVGSKPEMRAGIEVHLTHLHAWLGVILSSAEGILSELLEAHRTTSEMTHALGDLLTHLFAEGFGSKEDATEDSADERQQDATGTGMGEGEGQESVSSKIDDPSQIDGTDNEKEAKCKPDQPPENDDNAIEMAEDFTAELSDISEDPEGKDSGDEDEDMNLDNEMGDTGDASEVVGKKSWDKDEDDDPKTSTEKYEPGSSAKGTEQNDQELRAKEDDSVEDQDPMEMDCDEQGKNSNLEDEPSPCEETDPNTDDIMNKDDAYDDRTGPELPEPENGFDDDDVEGQEQNDEKDADNEEIGSEEAEQAERPDASDDMEEGDTAQHSDNQVDDEGEHIEDANMEPNDIDKQQIDKTDSLMHPSQSVQPDNVLDSHRESEENLANSSDMNGAVAPSANFSGNEVPNLEIPMPNTGDDSRLLSNSKPEMQNDGPQSHIKQTNPFRSIGDAMEEWKERAKVSADTQDNQLENEDRSEDDNAAEFRYVPEGEQSTSQALGAATADQMNDDTQIKQSFLEDENNVRNGQTDERPGDDTQPEVPNLQSSQAPNSKSKNDNELEGREFQTDTSVQDSGESRKDNTFGDLVSFQRPPVDDKTTLVDLTIDHELPTQMDLDINYAQTGSAIVDWKNLELATMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKKGNVRVLHDFDQIFNGEAGVNENLKRHVRDVLNRKRMVAYVLLDNPEDSIMNLKQVSFEKGGGVNLEKYMDSFPFPYYVMLNNIEALPRTLADLLRQWFELMQSANE >Et_7B_054360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20337751:20357769:-1 gene:Et_7B_054360 transcript:Et_7B_054360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTLYTVSLATLVLVLIWFQKSGVRSTRGDRSKPEKRLPPGPWTLPIIGGIHHVMGGLGHRRMMELSHRHGPLMFLRLGEVPTLVVSNAEAAELVMKTHDLTFCSRPTTSITIDIVGSKGMGIGFAPYGDRWRQMKKIVVMELLSAAQVKRIESIRAEEVGRLLRSIATAGARHQAHGVVNVSKEVKALAPDLVAMAMFGGKCHMKSDFVLLYDQVSELVSGFFPVDLFPSSRLVRWLSISERRLARGYGRIQRIIATIIQSRKVAENNRACSPDQEDLDIFGGATTTIGSTLEWALSELVRKPETMEKAQKEVREVLGGSRGVISNTELAGLSYMRMVIKEVLRLHPPNPLLVPRESREDCEIMGYHVPKGTKVLVNAFAISRDPRYWKAPEAFNPERFENSNVDYKGTNFEFIPFGAGRRQCPAIMFGTSTLEIALANLLYHFDWALPDGVSPELVDMSEQYGMGVSKKLDLHLRPIPYENIEQHMKFRTILHGPKTYLRTLAKQPNTYSVKEQVGVHGAKEEYRLRNT >Et_7B_055175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7971359:7974981:1 gene:Et_7B_055175 transcript:Et_7B_055175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNAAIKLNIAIMHYTFYVVIQVRSMEMCILRLGLGLLLVLAAQHAPVTALPSPECQKKCGDVEIQYPFGIGLNCSFSRSFNIKCQVQDGTPKPFIGDFELLNVSLTDSTIRVLGSIATYCYNTSSRRMDVGGFRGFNASGSPYRFSDARNKFTVIGCNTLGYISDSDGTGYQSGCVSTCTEQSLSDVTDGTCSGIGCCQTTIPRGVDYYEVGFAAGLNTSQIWRFSRCSYAMLVEAASFNFSASYISTTKFNDTNAGRAPVVIDWAIRNGTASSSCEVAKRNETGAYACLSGNSKCVDSANGPGYVCNCSQGYQGNPYLTDGCKDVDECISNPCPSGGVCHNTIGGYRCSCRAGRKFSKQNNSCNADAGLIIGVTIGFLVLMIFSFSGYMILQKRKLYKIKQDYFSQHGGLILFEKMKSERGLSFTVFTEAELTKATDNYDKSRVIGRGGNGTVYKGIVKDNMAVAVKKCALINERQKKEFSQEMLILSQINHKNIVKLVGCCLEVEVPMLVYEFIPNGTLYELIHGKNRALQISFSTLLRIAHEAAEGLNFLHSYASPPIIHGDVKSANILLDDSYMAKVSDFGASILAPSDEEQYVTMVQGTCGYLDPEYMQTCQLTDKSDVYSFGVILLEVLTGHVPLKLDGPEAQRSLSSNFLSAMKENSLDVLLASHIKGQESSELIRGLAELAKQCLDMCGANRPTMKEVADELSRLRKLSLHPWVQVNEVESESLLSCASTVGFEIDVVTTGYPMQEGAIMPMNPGIYNGIIKDNMAVAIKKCAVINERQKKEFGQEMLILSQINHKNIVKFVGCCVEVEVTMLVYEFIPNGTLFELIHGKNQALQISFSTLLRIAHEAAEGLNFLLSSMAT >Et_4B_037686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22567672:22567909:1 gene:Et_4B_037686 transcript:Et_4B_037686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKQLLIPRLFMKLNPHVTLRSQNQLWLNVQNQCRICTATTVERSMADNRKGLMREGFGSRRIWLHGRDTEVSHDL >Et_5A_040740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11842161:11843075:-1 gene:Et_5A_040740 transcript:Et_5A_040740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERLYNPLAGIIQFSSEGLGVLCNDHGDYAVAYLAVGPGIPAVPGAEIIAQLCLYFSSDSHWELHGLPICCVDSKDLVNKNDPCLWLLLVLYHRGILFCAEHLKVKYLRLPVEVGHFPKVCMDLYRSVSIVNDDEGRSKMKFVDVRPSRGYGKYPVSDDSKFVIRNDEPHISSHFNSPHGPLIFPIFGMQDEHTAYFVVGELGCVVNKVLLVCIDLNGGSVKDVFPYLNGVEDLLDGDADMALHKSKGFEPFLPSEMSKFCSQ >Et_2A_016012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20103199:20105174:1 gene:Et_2A_016012 transcript:Et_2A_016012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDEDLEAKLLAAGEPPAKAGTAGNSYALVCALLASLTSIIFGYNRGVMSGAQKYVQEDLGVSDGQLEVLIGLTSVYSLVGSLAAGWACDRAGRRRTVAMAAALFLAGSAVTAAANGYAALMAGQLLAGVACGFGLVVAPVYIAEIAPAASRGFLSSIPEIAGNSGILLSYIADFALAGLPTTLNWRLMIGIGAVPPLFLAASATLVMPETPRWLVLHGHPDEARRVLARTAGGDDADRRLQEIVASVQESASKQSTSVWRDILLRPTPAVRRVMLTITGLQFFQQACGVAAMVLYAPRVFGHVGGVITSDRAVLGATVLIGAVKTASIVAPLFLADRLGRRPMLLASAAGMAASLLVLGLSVHRAPSSAAWPWAAAATCVAAAAAYMAAFSLGFGPVIWMYGSEILPLRLRAQGTGIGTALNRVMSAVVGMTFISMYEAVGMAGTFYVFAAFSAAAYVFVHSCLPETKGKTLEEMEALFDGRARYSSLPAPPS >Et_4A_034738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:611783:619595:1 gene:Et_4A_034738 transcript:Et_4A_034738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGSMRRSAPAPLPVPAFTASASDYRLMEEVGYGANAVVYRALFIPANRMVAVKCLDLDRVNSNLDDVRRETQTMSLIDHPNVIRSFCSFVVDHNLWVVMPFMSEGSCLHLMKIAYPDGFEEPIIASILKETLKALDYLHRQGHIHRDVKAGNILIDSPGVVKLGDFGVSACMFDRGDRQRARNTFVGTPCWMAPEVLQPGAGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDRRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTVKSILTDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSMIHDDDPPELKEDDDITRINEVDKCSNSPLLCFSEQISAGSSSRSGRETSGTLASNCGLADNEEKADELRNQGPEFDSLPSTSNQDTDGKNNRNEVRQKQRTYSGPILQSGVRSSSMTERSHITGRNAGQLVSDKQKNGTGRTNNLSGPLSLPTRASANSLSAPIRSSTGYVGSLGDKPRRNMVEIKGRFSVTSENVDLAKVQEVPASSVSCKVKEGPSLRKSASVGDWSENAKPMSTSRRRKELCDNSVSASVLIPHLQNLVKQTAFQQVEAARSDEGERSLLVKIFELQSRMISLTDELIAAKLKHVQLQEELKAMYSQEEIVDMREDENGEA >Et_3B_030271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31981092:31989206:1 gene:Et_3B_030271 transcript:Et_3B_030271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKQRAAAAAAADAEADEPSQPSLPLESFSGDVCAALTARYGRSAAQQHRHLLASAAAIRSILVDDGLPLTPASFIPAAVSALRAAGAADPAAASALASLLAILLPHIPSSPSSIQPAAASESASALAAFLSSTGASKLPTGTVRSVVKSLGHLALHLDAAADWDAVVEPLEALLAASVDHRAKVRKCAQESVEKLFSYLEQSGCARKASNAAIGMFEKHISSAQSLIDLDSDVSEGKETEAAHMLGALVVLVPYLSKKARKRVFSDSYQLLSPRFTPLTRHVLRLLEILLDHLKADNIESEVESLVSLVVSYLPYNEKKPDDTIVSALLLLKSCLAKLVGQPKLWTKALPAAFEAVSGYLILDRKCSDDIAKVLIDFIDSHIDQTGFVTNGSQMTDCDVEGLSNQAAMKSICLSINNKLHTCTNPPDGESSYVFMKDIFLTLAQLAMKIDKESHLKNVEECIGAAVIAMGPDKILSLVPVAFDEDKLTCSNNWLLPILDKYIYGAPLQLFLESIVPLARSVQNASNRVKKTRIRKNLLSWTDQLWNLLPAFCRYPIDLCHSFGPLSKLLAEILKSDECLHKHAAKALQHLVDGTRRLSSDQDDVAIDDALRCVALLSSSTNIRELFVSLVKRFDLEDNSLDPESIECQANDVNEKDEKSTDAADGLNDKRSVLLELISTFAEAADEELLDIFFEFIKSSLLNSSISCDSNALIALSIILKEHNGYSLAHLDEIMMFLHGVKPDSDNAVLESQLLCYQYLLIHMIKVNEESTDKKAFLIINELILGLKTKKASRRLSYDVLLAISSSLRSSESNCVDSGLQRLFTMVMGYLSSPSPHIVSGAIAALSLLIYNDADFCLEVPNLIPSVLVLLQHKAIEVTKASLGFVKVLVTSLQSEKLLNLQADILSGILPWSSVTKHHFKGKVALILEILIRKCGFDAVNLKTPEKFKVFVRKVEEGRKGNHNQAEDAEAEAQEHAQHDAKRRKRFDSNAESGQETLSRPTSKVWSAGKKQGQGEVALMLLKAGKAKLQEGGKAIGLISSRSPNGNQGMVKETKAINHPAATKEQKSVFNKTQDRGSRASMHSPSFKKRKTAGTA >Et_3A_024555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2224756:2226848:1 gene:Et_3A_024555 transcript:Et_3A_024555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAMELSLLNPAMRHHGGGLAAKSTSGLPLARRSSVVRFRVSASAAAAPPKPSSSGPKKRGKTEIQETLLTPRFYTTDFDEMERLFNAEINKQLNEAEFAALLQEFKTDYNQTHFVRNQEFKEAADKMQGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIFRHLKANPEYQVYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTSFYEGIGLDTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVEINQKIIAIGQSDDIPLVKNLKRIPHIAALVSELIAAYLMPPIESGSVDFAEFEPQLVY >Et_9A_062509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23589204:23591074:-1 gene:Et_9A_062509 transcript:Et_9A_062509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASKAAQLQAKACEAARFAAKHGCAYQRSLVEKNKKYVVDPPTIEKCQELSKQLFYTRLASLPGRYEAFWKELDQVKHLWRNRKDLNVEHAGVAALFGIELYAWFCVGEIVGRGFTLTGYHV >Et_5B_045512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3423544:3423801:1 gene:Et_5B_045512 transcript:Et_5B_045512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGGTGKPERNAAVGTVVAKVKSDGCDRSLIAGLINYISKESRRLMELEACKIGREQNTVAHTLAQRACRLGSGLY >Et_5B_044367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23292954:23293887:-1 gene:Et_5B_044367 transcript:Et_5B_044367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIALGGVEKLVKIALAIQEAVETVKQNKKECRDIDKCAARCTALLQRLEEQTDMMKDEVTRRPLEDMAESLQVALELVKKCQRKRYLSHLWKAGGMEKKLLRAQDDILRKLHMADFAGTVHMLTNNQNVRQEAGEHFIGRPTAEGVALICGLEKIVRVGLIIKWAVETVKHKKAECRDIDTCVARCTALLLRMEEYTDTSMKDEAMRGPLEDVAKSLEEALKLVKLCQGKRYLSYLWKKLLWGGIAKELRRVQDDILQKVQIASYAATHRGSGSCW >Et_3B_030174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31123803:31124540:1 gene:Et_3B_030174 transcript:Et_3B_030174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLQSCLGDVGCKYGTNHRPTAGDKLQRLKRWPLSLVTKHLLFFLMKDRTPTITLKKNRWTATVFERMVCSDKWEKRWDVNSTDLAPQAADSSISLPDEIWSYEESKMNRVVCTAPTLGVYQENVVYMICKLDGRDSEGCVLPVDAGRRELGKATPFFFC >Et_7A_052995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4176735:4177470:1 gene:Et_7A_052995 transcript:Et_7A_052995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPRSRHVGPDDSLTVQCDFEVTNGNGPSPGPAHTSTATVEVPEMVAPPSNIAWHLERLLDSGVGSDVAFVVEGAEFRAHTLLLSMRSPALFNEARAEVVKKKKKSKNKKKGKTAGEDEDLVFWVDGVTAVVFKAVLHFVYTDDLPPLDDLFVTPETAATTLRLAERHRCPELKAFCLDYISSPGLLKAVVASDDYKDLAASSAQALADIINRIAANS >Et_8B_059077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13386151:13396533:-1 gene:Et_8B_059077 transcript:Et_8B_059077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSKYCFLRLFLLQALSTAAHADFTHGNIPNRHDCASPPPSPAPSSNDTGNNKFQDNVVQLLFSLPSSTAANAGFASISTGDGGDRAFVRGLCRGDLLMRDCETCLENAVLDINRTCIGSRRAAIWYEWCFLFYADTNASTPYEESVRLELHNTYKVSNKEAFERTYYELMSRISARAVNGTLESPSVAPMFATGEEVYEHNAPFAPNGIMYWLAQCMRDRTAAECRQCLNESLATLPKHWYGYQGGVVLGYNCYLRMEIYTFYDVALDGQQAPPPLAPSPSSFVPSTGDGETKEDCSNKEGICCVDLEQLNLPFLIAATDNFSEENKLGEGGFGEVFKGTIQSGELIAVKRLSKHSSQGCNELKNELVLAAKLKHKNLAPLIGVCLEQEKLLVYEYMPNSSLDTFLFDPVKRQQLDWGKRFMIICGIARGLRYLHEESRLKVIHRDLKPSNVLLDADMIPKISDFGLARAFVGDQSREVTRRPAGTLGYMSPVYAYCGQVSTKSDMFSFGVIILEMVTGRKSNSTFECLDSTSFLSYVWTKWKTGSAADVVDASLTGQYPESEVLNCLEVGLLCVQDNPADRPDASAVVLLLGSPNSTADEVRPEPSRPAFFFGAGGSGSLDAAEGSESPSAALIRGGKQPAASSSDNVMTISDFLPR >Et_8B_058699.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:16915067:16915201:-1 gene:Et_8B_058699 transcript:Et_8B_058699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLATQLRDKFFGLVGRITSCGRAGDGHTDAVGKSLYGEHSII >Et_1A_006239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19540476:19547003:1 gene:Et_1A_006239 transcript:Et_1A_006239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAASRTRHTTVLSLAMPFLLPTATATAPRPSPPSFSPSARPPFPRRHSPPHPAEERHDVAGAAAAAEVTHLTQRDAAEIDEQLMGPLGFSVDQLMELAGLSVAASVAEVYKLSEHTRVLIICGPGNNGGDGLVAARHLFHFGYRPSVCYPKRTPKPLYSGLVTQLESLAIPFLAVEDLPEDLSTEFDIIVDAMFGFSFHGTPRPPFDDLIQRLVSLSAGSSEKRPAIVSVDIPSGWHVEEGDADGGGIKPDMLVSLTAPKLCAKKFTGPHHFLGGRFVPPPILSKYGLQLPPYPGTSMCVRIGKAPTVDISSLRENYISPELLENQVMPDPFDQFIRWFDEAVSAGLREPNAMALTTVNKEGKPYTNYGSHKARDLSENPNAALLFHWNEMNRQVRVEGSVQKVPEEESEKYFHSRPRGSQLGAIVSKQSSVIAGREVLQQAYKELEQKYSDGSLIPKPEYWGGYRLKPTLFEFWQGQPSRLHDRLQYSLHEVDGSKTWHIERLAP >Et_6B_048497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:142215:145739:-1 gene:Et_6B_048497 transcript:Et_6B_048497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEEVGNKMQSQMRLDAAAEEEDLPLPALFDKASRLHSLASSSSLDQEGIRKGVDLLRRCDEMVSKLGLFSSNETKEDVSTANLKYLLVPYYLGEMTEQIAQEDRIPILKASQDHLKEFISICEALELIPEDELESYRQKQPDTATNRRAQKIARFSRQKAAQTKLQEIKERKERRGRSLRAAALSAPTEAGEEDVLEDDGEEEREAWLATISLALCKAFDLLDMLKKEEEMLVAVQERQKKDGNAFAREMLDERTQKAEAWHHNAASRAPYSKPADPITCATFAQDVIEGRASVSQAHEHKHQPLIFGPASLVGGGLTTERERMAARVFQPSYRMPTMSIEEAGLREMKMMEQWQERTGKMIQEANSAWHKDGTSPAQEDEDAEEEKARAWDDWKDDNPRGAGNKKLTPCG >Et_2A_018031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9252330:9255309:1 gene:Et_2A_018031 transcript:Et_2A_018031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAERPTHIFRGLEIQPTKGYFRSCPALPTTEIFCTPVPSGSRSSACPPDTPPPAAAPPIQTEMDPDVELRGLDIDVELDPEDLQPSVPLKKVPGGDLFEAARAGDCDRLALLLEAGANVNARDRWDSVALYYACLAGHAEAARMLLEAGAVCAERTFDGDRCHYAALNLRLRRLLKSFEARPPPLAPLPAALRTTFLSCPANRAAFLEMLQGSAGAEAAALAAAAGFGPKDDASGACVFPPDIAFYVDGKPIEAHRVILCARSPFFQKKFKTDWRGRTEVRFSNQKLSYGALYSLIHFFYSDRLELWMIWKIWHGHAKFASARSCKRYWRTKLRIRYAEYKSVRDLDLDNSQKRFILQAQSLPEEDRLPSALQRILQDCLAYSREEICYNQESNGMCRGSEDDDLANLYIKVADKVFHCHQVILASRSEYFRARLSRAIDFLEGNCAFQGTQNLPLLEEHDLSAEAFEKMLEYMYTDKLEHLDPDQAEELFDVASRYLLFPLKRVVADMLLPHLERVSPAELCHWYGVLKIREYCLDLIAFNFEMFADTREFRALLLTLPPPSGDDSLRTTCPSAPGTAGNTDQGNLLDDLREKWLEAEAAELDKRDESAALFDRRLEILMLIAEKESKDDADA >Et_1B_013452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8550548:8555892:1 gene:Et_1B_013452 transcript:Et_1B_013452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECLSWALGRLLPSLWEAEVAFSATALLLAALFLFLLSDHRAAAPKATGTSSRNSSASTPSSAAANWRRGGSCARENAADDEIVPCSPIAGGHVIKLELLSAKYLIGANLSGASEPYAVISCGDQKRFSSMVPSPKNPLWGEEFNFIVKQLPVEVTISIYDWDIACKCKVIGSVTIAVLSEDETGASWYELDSKFGQICLRLRSVKVFPASDSFVDECTGDESPRKMILKKQRQTMIEGIGPLQTIYKPAHDEIVHHSYSCALERSFLHHGRMYISEWHLCFQSCVFSKQLNVIIPLQDIDEIKRSQHSLINPAITIFVHASAGGHGTPCSCSLHGRVKYMFSSFWNRNRTFRALESAIQNYQTTFEAEKQVRAQLLLERGGNNVTNSKTSSIRAAGKGIEKAVAFQPFINGHVLVDDTFPGTSEMFFSAILGDNSTFFQQYRDGRKDTDLKMSKWCASKEYGGRVRKVTFRSLCHSPLCPPDTAVTEWQHASFSKDKRNLIYETKHQAHDVPFGSYFEIHCRWSLRTTSSSTCQVDIKIGVNMKKWCILQSKIKSGATDEYRREVCKILEAACSFFLKLESNSQSSDDIVVASSP >Et_4A_035396.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2346945:2348432:1 gene:Et_4A_035396 transcript:Et_4A_035396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEQERGGGAGHLLLFPFLAQGHIIPFLNLAKHIERLEQPRRPRITVVSTPRHVASLRRAEPAGSSIRFAELPFCPSDHGLPADAESTDVIPFMDFTAFFLATESLQAPFEKLVSELAARDGCKNVCVLADFFLGWTAESARKLGVQHRLFVTSGAYASAATFSIWLRQPTFPRPVSPDDEQALVDFPDVRLRHEQLLNVIITEDDSNPMTRFLRRTLNLHFRHSGGVVVNTAEEIEAKGLEMIGKLSGLPTFAVGPLIGSRAPTADTVRVDDGDAACIKFLDSKPPASVLFVSFGSQNTIPASQMMELARGLEASGRPFIWVVRPPAEFDGAAEFRADEWLPAGFEARVAAAGRGVVVRRWAPQVAVLAHASTGAFLSHCGWNSVLESLWHGVPVVGWPLMSDQLFDSRLLVELGVGVEVASGRVVGGLPLDKGWEHVRDVVETVLGDGDKARGMRAKAAELKKLARAAVSADGKVKGSSVLAMERLLDGAFR >Et_8A_056561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12282295:12297604:-1 gene:Et_8A_056561 transcript:Et_8A_056561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWNALAPAATVAQLVGADAAGLVSATLQAVRTARRNRAECRSLARRVMMLGDLLQLVQEGSETMRRPEVRRALDGLGDTLRRAYELVESCQERGAVYGFVMAGRQAEQFREVQGEIDSYLLIFPMHINIINVLGHCTEPEMILVYEYMPNGTLDTFISDVSRGASLDWLSRFRIIEGIGQGLLYLHTQELCIVHRDVNPKNILLDVDMNPKIGDFGLAITMSSGKEIHGVTGTFRYIAPEYLSNGEVYAKVDVYAYGVTLLEVVAARSIMVNQTLLEDAWHLWETGRSLELLDSSVYKGHNEDQTTEIKRCIQIALLCVHHDPAERPCMSDVLLMLGNKKAVPAPLRPDTARIPVLQNNGTCSSTEGSRAMALWNALAPAATVAQLVGADAAGLISATLQAVRTARRNRAECRSLARRVMMLGDLLQIVGQGSTETMRRPEVRRALDGLGGVLRRAHELVESCQERGAVYGFVMAGRQAEQFREVQGEIDSYLLAFPMVSHIDVTIRLERIYNMLLPPDHSQQETFEEQLLSREQRLKLKWLCWSPQQNAEAYDLLKDATNNFSSRNRIGVGGWSTVYKAHIGGLEVAIKKYPTDATSHASQFDSEFQILKKLQHKNIIKLLGHCAGQGERILVYEYMPNGSLDKFIFDARPGASIDWMSRFHIMEGIAQGLLYLHVHEQCIVHKDLKPSNILLDSDMNAKISDFGIATVLRPEFYHDTCISGTFGYMAPEYLREGILSPKVDVYAYGVILLEVISAKKSSVPLFQGDKYVTLTGHYIRGLEGARIP >Et_7A_051819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25169701:25173583:-1 gene:Et_7A_051819 transcript:Et_7A_051819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGNRWQDEVEPDLRHRADYLRMIAMKMGILEQNAQRARQAAAGHKQQTQMVHQMQSGNPVQAAQGGNSLLNPMLQAVSMMSSPVQPPHHQPLNRHMAGPNIEINPKHGPSDVMAMLNQNFNSQPATFAPVAPGVQSGQQIMHSRPMQSQNQHPTVQFQRASFASSYPMTVAQLQGQPVVQPNPQTDHLLEQNASSSVSGIMQQPRHLIRNNHHAPVVNQQNASSASGIMQQPRHLISNNHHAPVVNQQNINSQQHQMGGTNVDKLNIGNPGGLNNQQNIGWIREQEVLKNQSKLESQLINQAWGQVTFNQQSNVHCPIPQNPETMNVSEAVDWREEMFQKIQTWKASCFSELMELNRIVIIPKITESTIRELLDRHRKIKDHNEGFKAEPGALDRHGKPQTIDLTGDTAPFNGGIRNQPKQPADISISQMRQTVTTTPPPAAQKTRSSDPLGVNFSIKSPVGLNDVRVYSTQSSISKSGVVQVASLNGPSNNTLQSSIAKPGLVIADSTCASKYPLAPTIKKSGVLVAASPCSSVKSTLQLSVAKSVVEPVDSPCDLAKSTATKDTNSCHQATPSKLMVPTSLGQAQAAASQTKDEVHGGAKTPVAKKPIDRLLDAVRASSPAVLRSSFNSIKSALIQMDSVPFPSWSGSNNNLKRTYDITSSYSEYRPFGGIDDSPMAYEWGTSAYGREVGSKRQKIQNAKEALLDEIRDINSTLIDTMICVIGDSSADGITSSDGRTRIKLSKKCGSISEELDAAFRSALRVLPNPRSLKETASAWEACVRRVVTEFAHQHAGGSLGSRVGRWESCVGA >Et_5B_045614.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5522297:5524660:-1 gene:Et_5B_045614 transcript:Et_5B_045614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFTTKHTRSPAMAAMNARLFRHLELAVFLLLAQLTDSALVPKIKNQHELKPLASNTYIVHANHLAKPPNFASLDHWYHSLVAAHSPRPANTSGRILYTYDTVMHGFAVELTGDEAQRMSSASGVTGVHKDRVLYTQTTRSPGFMGLDPANGAWNETDFGDGVIIGIIDSGIWPESASFHDHGLGPVRPSWRGECLGADGFNASLCNNKLVGAKAFDAAAQARAGRKSTGTVPSPMDKVGHGTHVASTAAGAEVPDAGMMSMFSRGTARGMAPKARIAMYRACDISGCSNADIVAAVEAAVKDGVDIISMSLGEAPRPYYLDDVAIALFGAERRGVFLAMAGGNAGPYASTVDNSAPWMTTVGASTIDRLFPANLTLGNGVVLAGQSLYTMKAKGTGMIQLVSSESCRMEAASWTPDQVMGKIMVCMDRAADMEGVALQNAGGAGMVTVDPTEWSRDGAEAFPFTLPGLTLSVDAHEKLKAYMNSVPNPVASFSFGCETIVEENRAPVVAGFSSRGPNPAAPELLKPDVVAPGVNILAAWAANVPLSDGRRSEYNIVSGTSMATPHVAGVAALIKKKHPGWTPAMIRSALMTTARTVDNMDHDILDNGATYGRSDSVWSATPFAVGAGHVRPLLTLDPGLVYDAGERDYVDFLCALNYSVEQIRLFAPDFVKCTRTLSGGVAGLNYPSFVVAFDNGTDVRTLTRTVTAVSEKAETYNVTVAAPERVKVTVTPATLEFNKPNEKKSYTVEFRSLAGGNATAGWDFGHISWENEDHRVRSPVAFQWKN >Et_5A_041357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21762692:21763263:-1 gene:Et_5A_041357 transcript:Et_5A_041357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPTKATAKVPEPQSRCSQPAIAQSSAAFHPNQRRPGMGSLRISPEMELHTTNSELHLSQNRMWPWRTSTASQLEMLAEGRRKVEVGVKWVFWIQKSVCACTAADESEKRGRSVDFEELAAEGGAGDEAAPGLADEGGAKEGRRIVWRKAKEDVFDELLHQRGRRPLRRQHCASWIARDRLFRRR >Et_1A_007630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36619365:36626097:1 gene:Et_1A_007630 transcript:Et_1A_007630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHRNRGSAATGENRPPPRQMASRNAAERAAFFARREAAAVLRRVLRGDAAKRSAGSIKSLVYSPTVRNKRATFALVCQTLKYLSILKEILASTGVLNSKLKKQEELVYVTAYDILFGQGIAVSGSPEQVIMLHKDTLRSALQKVCAKRKVNSVEDLLSRKTAVKPKPRFLRVNTLKTTTESVIEELNEIHTVDKDEMVPDLLVLLPGTDLHSHPLVTDGKGKASCMVAVALCPKPGWKVIDACAAPGNKTVHLAALMNGEGDIIACELNKERAKTLQHTVRRSGANNIQIVNGDFLDIDSNDPSYAEVRAILLDPSCSGSGISTERLDYLLPSHSIDDQDDASTSSRVKKLSAFQRKALTHALSCKPLCSVRLRVAYLAVPDSSHKLKRPSTFAVPSVERIVYSTCSIHQAENEDVVNAVLPLATSLGFELATPFPQWQRRGLPVLEGSEHLLRTDPEDDLEGFFIALFVRKAANGGDCADRSSGVTRKLVRKRRNRLRPFGTLRLSKMILGSNGGICENGERGHQSATTPSIIRSSPRAQPHHALDHKVYLPCCSPPTVTWTTCGFLGSGGGFWSTVTVSTPSLYSARTLSRSALSGSGKRRMNLPIRRSILRYFTPVSACCCCCCCWPCLPPSTAPSSAGRLRSPLMVSTLPSSTWTFTSAGLTPGMSTATTMAPEGSSLTSMGVLAMARRSRTYARVGGFSCRSSSSGRSISCCNADENSGSPKPPNENSIDLLACCWLRRSSYKRSELDRVSDRSLCCSLVLAVV >Et_9B_065759.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:10318502:10319824:-1 gene:Et_9B_065759 transcript:Et_9B_065759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGGDDSSAAASSESFTKVLQGRYELGRVLGRGGSSKVYRARDVRTGAHVAVKAVRKPRHPCSPEAATAARRSVERELVALRRVRGHPNVARLLDVLASRSAVYLVLDLARGGTVLSAVERGGAADEPGARRLFGQLAAALAHAHARGVFHRDVKPDNLLLDERGDLRLADFGLCALADRLDDGDGLAATACGSPAYVAPEILLKRRYDPRAADVWSSGVVLFVLTAGYLPFNDGNLMGMYRKICGAKFRCPKWCSPELRGLIARMLDPDPATRIKIGEIIDHPWLQHNGVSFGKIIAPARPSLPTPEVVKWEAELEQTRELNAFDILTFASGCDLTGLIGPLPDRVRFLVPSTLVDVRSVLDKVAQLGREDGFAVRRKDEAGLGGVLLEAMDGKFVAQVRVHRLHDQIMLVEAERASVDEAPNFWKGLQSSLNFSTN >Et_8B_060746.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5981704:5982891:-1 gene:Et_8B_060746 transcript:Et_8B_060746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTISSVRGQAGLIPKPRSNGVTSYAGLKASSSISTVSQSPFLGSNVSLRASVAPRIVPKAKSTSQISPEASYKVAVLGAAGGIGQPLGLLIKMSPLVSELHLYDIANVKGVAADLSHCNSPAQVLDFTGPSELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINAGIVKTLIEAVADNCPEAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVAQKKNLKLVDVDVPVVGGHAGITILPLLSKTRPSVTFTEEETEELTKRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTFIQSEITDLPFFASRVKLGKNGVESIISADLEGVTEYEAKALEALKPELKASIEKGIAFAHKQQEAAASV >Et_2B_021391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29288111:29291006:1 gene:Et_2B_021391 transcript:Et_2B_021391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCIPAGLRLDLEMVKAAAAGAAPPRPAHSAASSTLSEASNASSSSTSSLSLKRPRTPRKRLNQTYNEAAALLASMYPSVFPVAKCPETAPPRLLGLASALADDPGCSDLLPPFPVLGHAAFLLRDLPPPSAPPAPWSPVAPKSCPSPAAVSSFFSEFRDPVPSPATPDAAAADEPGELDFDDDGDFDADSFLGVDDGAAEGIDAIMGKLTMESNAGAAASVISGLPSSGIHPYVRNLMMLGLGFRHGQLNKNLQALKRHNADTEWWMCPAIPVKDIAPAPPAPVAMPEVSENKKKSKKKVESGQCMKAEEVIPDSTNCYSGTMALPETGLGLRLNPEEVLKAWCGRGSAFANGNDPDLTRSSADVLVKRANKDVFQENGVSGVIREGNILKMQHKQKQCTPLVSNKSRYYRPRGRFVSKAYLLQQAAEKEKEKEKES >Et_1B_012640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3401284:3404559:-1 gene:Et_1B_012640 transcript:Et_1B_012640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERRLPISAPAAAGPRRHSRRPRRRCRLIILPVFAVALMSLAYLSFSSHANLPFHDAPCEIDFLPSVDDLVEPVRYNNFTQFYLSYILKEEVLPGNGIFEPLFGGHQSLQEREQTFHAENQTLHCGFVRGPEDHPSTGFDLDENDRSSDYLRRPTKSRIGSYSKKNVCFVMFMDKLTRATLSSEGKIPDENGFIGLWRIVVVKKLPYKDMRRAGKVPKFLAHRLFPSAMYSIWLDSKLRLHSDPMLIIEYFLWRKKAEYAISMHYDRSCVWDEVRQNKRLNKYNHSAIDEQFQFYQSDGLVKFNVLGQQSALPSYVPEGSFIVRAHTPMSNLFSCLWFNEVNRFTSRDQLSFTYTYLKLRRMNPGSPFHLNMFKDCERRAIAKLFHHRTNATTDPPPATLRLDKARSSIQG >Et_8A_058142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21151625:21155807:1 gene:Et_8A_058142 transcript:Et_8A_058142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGRVKTLHPNIHGGILARRDQEHHLKALNEHGIGTFDVVVVNLYPFYDKVTSGAISFEDGIENIDIGGPTLIRAAAKNHKDVLVVVDHNDYPALLEYLEGKQDDQQFRRTLAWKAFQHVASYDSAVSEWLWKQSSKGDTFPPSFTVPLSLKSTLRYGENPHQKAAFYGDKSLSLVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFDSPTCVVVKHTNPCGVASRQDILEAYRLAVKADPVSAFGGIVAFNTTIDEDLAKEIREFRSPTDGQTRMFYEIVVAPGYTEKGLEVLKGKSKTLRILEAKRSGKGMLSLRQVNGGWLAQESDDLTPEDITFTKMSDRAADDSELSDAKFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRRESLRIAFQKAGKEAKGAALASDAFFPFAWNDAVEEACQGGIAVIAEPGGSIRDNDAVECCNKYGVSLLFTGVRHFRH >Et_2A_016947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29837146:29841202:1 gene:Et_2A_016947 transcript:Et_2A_016947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVSLRASASPAGAAGGSRVADAVKVSCVRSKISGSFPSIAASSSHARSLEPVRATATQAPPAAPQSSSGEKTKVGINGFGRIGRLVLRIATSRDDIEVLAVNDPFIDAKYMAYMFKYDSTHGPFKGSIKVVDASTLEINGKKISVTSKRDPSDIPWGSYGVEYVVESSGVFTTIEKASAHLKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTPNVSVVDLTCRIEKSVSYEDVKAAIKAASEGALKGILGYTDEDVVSNDFVGDARSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSNRVLDLIGHMALVNAKR >Et_1A_005326.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:40525798:40526361:-1 gene:Et_1A_005326 transcript:Et_1A_005326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLITDDPDKSPRDCSRRKRRGSNKWWWIAASSTVLLLLLALFIIVILWIRPPEFSLLAASASSNKNASSSSSSSVMVVVDAAFSARNPNGHAAVLYEALRLSASYGGVALRGSAPLEGDEVMMSASLRSASGAALGGGGLLRTRIEGRLRWKVGAWVSGRHGLAVDCVVLLPSSSSESSHCATHTS >Et_10A_000485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11110134:11110577:-1 gene:Et_10A_000485 transcript:Et_10A_000485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAADATSTVLVLGSFHGPLRPKHRASRAPSDSSGIADGARRRADDVGERLWRAISEHTSPQRRTLFKDDDNDGQDNEEEEVGQLAVIFDVLRVPDSTPCRSPER >Et_2B_020585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21636851:21638866:1 gene:Et_2B_020585 transcript:Et_2B_020585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSRVSQEDWSPQKPALRLSSLMQVPDKIQNSLKVHFGRFLKKDGASRGGNAEMPSEKVKESCNASAAAAEVKLDRQLQAWWNNPSWTDEPPEIKVTVPDGSLCNLNLKFKAGLPPDAVYNIIIDPENKRVFKNIKEVISRKVLLDEGSRQIVEVEQAAIWKFLWWSGVLSVHVFVDQNRNNHTVKFKQGRTGFMRKFEGCWKIDPIFVDKEVCRPLDPCTLDEYDSCTDGRGRVGSSITLNQLIEPALLPPPPISWYLRGITTKTTEMLVNDLIAETARLRGIADNASGKKGNDEKCIDDNSHPTKESGDIKERWRQRRKSGRHGNSHRLTSQLM >Et_4A_033182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19682519:19684367:1 gene:Et_4A_033182 transcript:Et_4A_033182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRCGQTGPPCDGCKREDSLPELQSLQSDHEEILSKHCFFPSRCNLTAEQEEELAELVKKILPEFPLLVTRMKKSNVKGPGASLVISKGYAEEHFPAKSENITLERPGRKKWRVRLHVRPEGRGYLLSGHWADFVRDIHLKLNDVCILEPIKGNEFRVMVHLLDERCSHSTRGTNKSNKRGTRKVTPTVHAQEKSGRRIFTNHCLFR >Et_1A_009363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40466633:40472698:-1 gene:Et_1A_009363 transcript:Et_1A_009363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLGNTSTCRLKAAAPTAFAAKKQGLSLVSPPFVSLPRKNKCSFRVHAAKELHFNKDGSAIRKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGMITEGVKVVTAGANPVQITRGIEKTAKALVSELQKMSKEVEDSELADVAAVSAGNNYEIGNMIAEAMSKVGRQGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMSVEYENCKLLLVDKKINNARDLITILEDAIRGGYPILIVAEDIEQEALATLVVNRLRGALKIAAIKAPGFGERKSQYLDDIATLTGGTVIREEVGLSLDKADNEVLGTAAKVIVTKDSTTIVGDGTTQAEVNKRVAQIKNQIEATEQEYEREKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIIETLDNDEQKVGAEIVRKSLSYPLKLIAKNAGVNGSVVIEKVLANENFRHGYNAATGEYEDLMAAGIIDPTKVVRCCLEHAASVAKTFITSDAVVVDIKEPEQAAAANPMGGTGGSNRILAFEERKGDYLVTCMAGSFHISSTNGAYSRVAAGKNASRSPIAIISKSQSENFFSLAFIE >Et_7A_050439.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15428504:15429166:1 gene:Et_7A_050439 transcript:Et_7A_050439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FIAELKARVGARCSTFQCLLAHAWKKITAARDLNPEEFTRVRVAVNGRGRTDPPVPARGLLREHGAVGVPEAPCQGDPQRQLRQRGRRDPRHRGARRRRARPVLRGFRRRGGGQRGGARGHGAGGPAGTVLCPDLEVDSWLGFQFHQMDLGTGPLCVFQKMDLEGLMIFQTSLHGQGRRTSTCLSPSRRPTSTRSSKSSTLWIDLNTTWECVVFSDAVAI >Et_4B_039296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9787091:9788901:1 gene:Et_4B_039296 transcript:Et_4B_039296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASPDSGDLILVEPARPGSPVAVITINRPTALNALTRPMMISLASAFRRLAADDSVAAVVLAGRGRSFCSGVDLTAAEEVFKGDVKDPAANPVAQMELCRKPIIGAVAGFAVTAGFEIALACDILVAGRSAKFLDTHAKFGIFPSWGLSQKLSRVIGPNRAREVSLTCTPITAEMAEKWGLVNHVVDDNQVLNKAVEVAEAIARNNRNLVVLYKSVINDGLQLDLEHARALEQERAHNYYNGMTKEQFANMQKFIQGRSAKAPSKL >Et_1B_012322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30886155:30891771:-1 gene:Et_1B_012322 transcript:Et_1B_012322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAALPEAWSQVRAPVIVPLLRLAVAVCLTMSVLLFLERLYMAVVIAGVRILGRRPERRYKCDPLPEDDPELGSTAFPIVLVQIPMFNEREVYQLSIGAACGLSWPSDRLVVQVLDDSTDPVIKEMVRLECERWARKGINITYQIREDRRGYKAGALRAGMKHAYVRECEYLVIFDADFQPEPDFLKRTIPYLVHNPQIALVQARWRFVNADECLMTRMQEMSLDYHFTVEQEVSSSVCAFFGFNGTAGVWRIAAINEAGGWKDRTTVEDMDLAVRASLKGWKFVYLGDVQVKSELPSTFKAFRFQQHRWSCGPANLFRKMLMEIVTNKKVTIWKKFHVIYNFFLIRKIVAHIITFSFYCIVIPATIFVPEVRVPKWGYVYIPCCITLLNSVGTPRSFHLLFFWVVFENVMSLHRSKATLIGLLEAGRANEWVVTEKLGNALKMKSANKANKRQFMKQLMRIWDRLHVTELGVGAFLFSCGWYDLAYGRDYFFVYFFFQSMAFFIVGIGYVGTIVPQS >Et_5A_042395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9582793:9584104:1 gene:Et_5A_042395 transcript:Et_5A_042395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSDTEENLEESWTAMIQEFKLHDNNWLIDLYRFRHKWCSAFHKDTFDGGINSSQWGESQELEESRKIFWDSLETGEKALEVFFEMRDFRTQAAKDASKKEKKKKKPPKGPISKKAKQVPTSSSTGRELVVQTNDHQFQSAQGGPVNATIGRPIYYQAFTSTPIQTNQIYMHPNMHTMPLCTPQ >Et_1A_007869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39010102:39019802:-1 gene:Et_1A_007869 transcript:Et_1A_007869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRSGSRRLLPGRQSSHEMEIIHGKGQNQKSTNQASPSTDEADEFEDETLITPESMSDKIGGRFQRNLGSASWDFYGSVKIVFLKSMLNALIPCGFLAIVINYITQDNGWVFPLSLLGIIPLAERLGFATEQLALFTGPTVGGILNATFGNATELIISIHALRSGKLRVVQQTLLGSIMSNVLLVLGCAFFAGGLACGKTEQTFSKADAVLNSGLLLMALMGLLSPAMLHYTDTEVDLGKSALGLSRFSSCGGGRNQAYNEDEDGFPAISKWEAIAWLAIITVWISVFSDYLVGAIEVASKAWNIPIAFISVVLLPIVGNAAEHASAVMFAMKDKLDISLAVAIGSSTQLSMFAIPFCVVMGWMMGQPMDLNFHLFETASLLITVVVVAFLLQEGTSNCLKGFMLILCYLIVSASFYVYADPNIDGEFVTFPYFFVSLQETRSSLKKSFLQLYISECIRRFSPSVVLLISSANILHVLLCVAAVAFAPDDEEQGSSSPLDQSKTVLDKKRVAVVRRKCPNQEQFVRKELS >Et_2B_022182.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1164040:1164309:-1 gene:Et_2B_022182 transcript:Et_2B_022182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVLAFSILSASPADIGAGAGIGGRWPRLSWRRNADDQAAEPAQQRGKEEQGARSHGNRERAREGSSSFPRFAPEFDGIGCFETIVSH >Et_3A_025301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28735526:28737881:-1 gene:Et_3A_025301 transcript:Et_3A_025301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEREVKEKVPLLEASRPAADGDGGNVEEEEEDIDDSSLSLGRRAWEENKKLWVVAGPSICTRFSTFGVAVISQAFIGHIGATERDRLHRPHALQRRHTPGHGECARDLVWAILRC >Et_10A_002057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20828688:20830788:-1 gene:Et_10A_002057 transcript:Et_10A_002057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALVVGWLLAAASGLMILCPQHQARAFVEMDTPFMRKFGPPMDAALLTPNNTVAVDLGNTNSCIAGFDDDGGGETAFLFRICIPTRLAALDDDGELIVGEAAAAVDRPALFGLKRLLGKTRFVSGGAAWRIWEEAAAVFTELRARAEAHTGRTRVYHAVLTVPYYFGDASQGAAIFAATLAGLRTVRIVDEPTAAAVAHGLHSRLRDRGNVLVLHVGGGTSAAAVLRYRRDGGFDGVGSADDLFLGGDDFSRRITDHLAQLVKERHDGLDATSKDHAPLLRKLRMESERAKKELSFQHCVQVNVVFRDNANALMLFSETLTRAKFEELNQDLFARVMALVDRAIGESGLNQSKHLIDDIVLTGGSTRIPRIRQLVKSYFDGKEPMSTMAPARPEQIVAFGGALLGHPAVGGYRCRGRDWRQRYNSTDWCYPDERIHGKKADGGWAACGSTFAHGFVMVIHRAKAECFRLLKTWLETISSSSINEALL >Et_8B_060755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6218397:6224107:1 gene:Et_8B_060755 transcript:Et_8B_060755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPAPFSLSASTVPARLRAAAVAPLAAGPRRRGRMVVRAKIREIFMPALSSTMTEGKIVSWNASEGDRVSKGDPVVVVESDKADMDVETFHDGIVAAVLVPAGESAPVGAPIALLAESEEEVAAAVAKAQELAKGGQQQAPPPSADAAATPPPPPPAAEAPVAAPAPVAAGTKGIATPQAKKLAKQHRVDLAKVTGTGPYGRITPEDVEAAAAPAVGKVPQAAELPPVAGATVVPFTTMQAAVSKNMVESLAVPAFRVGYPILTDKLDALYEKVKPKGVTMTVLLAKAAAMALAQHPVVNASCRDGKSFTYNSNINIAVAVAIDGGLITPVLQDADKDLVKKARAKQLQPNEYSSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVTDKDGFFSVKSKMQVNVTADHRIVYGADLAAFLQTFAKIIEDPESLTLSDSLERIDIPNLVKVTDKMNQMVKNLGVEKTEMDLSQFWCKFAEETAAMITPRTSRHAPITARAIMAPLGSLG >Et_3A_024372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19996385:20004408:-1 gene:Et_3A_024372 transcript:Et_3A_024372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIYDYFMKRNLQATAKAFQAEGKVSSDPQLMRPVAFFLSGGRTANVMATKMYEEKLNSQRDSLEEASMKQRYGENAGKLLDSNEASLLRAAASGQSSGQILHGTVGGLSGTLQQVQARSPQLPGPAQSIKTEINPILTPRTPGPEGSFIGVQGSNQAGNNLTLKGWPLTGLEQLRSGILQQKSFMQNQQLHQQIQMLTPQQQQQLMLQAQQNMASPTSSDVDSRRLRMMLNSRNAVLGRDGQTTSGSDIIPNIGSPSQSGGDIDMLIKTTKKRKKPGTSSGRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSVPQLQQNGGPAKPMVMFGSDGTGSLTSPANPLDDVDRLLEDGSLDENVESFLSQDDIDPRDSLGRCMDASKGFGFAEVAKARASSTKVVCCHFSSDGKLLATGGHDKKVVLWCTEPGLKPKSSLEEHSFLITDVRFSPSMSRLATSSFDKTVRVWDADNTDYSLRTFTGHSASVMSLDFHPNKEDMICSCDSDGEVRSWSINNGSCLTCVKAFKGGATQMRFQPRKGKYLAAASEKSIYILDGETQHACRSPLQGHNKTIQSVCWDSAGDFLASVSEDSVRIWSFNSGHDGEFVHELNCSGNKFQSCVFHPTYPSLLVIGCYESLELWDIREKNAMTFNNAHDGLIAALAASSATGKIASVSHDKFVKLWK >Et_7A_050480.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:18457730:18457906:1 gene:Et_7A_050480 transcript:Et_7A_050480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDDQKRLFTHGCYMEVIILATWSIWIHHNNIIFSEGALSLSLSFSLGGSKILEICLN >Et_7B_054082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15060370:15061299:-1 gene:Et_7B_054082 transcript:Et_7B_054082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCNDVVPPDQDILLRVQPYHRRDLAPPSVGRHASIGLDATARVYKAVQVCADLDNLHWKVLFVGDTIGWRALHSADRAAAFVTENAAIDDHLNPKGAFTGASKQTTVTWTCPTASSPFSLTDRQVVQPPFSMTDLDPYNNGKHIRHQRIVHGLGRRSGCNTEVVVLVGKTLAELDGRLFPDVAGQFEVWEAAGLRDGHVVAGPGLPRRCDGEPRGSAAADESRGSSSRSGAWDKRKLLVATTEQAMHVYDPNIYINTLQTVATSHTASQEQVGGGTYGNDSSGLNDFVRLVLYQESPV >Et_8B_060060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5525336:5528986:1 gene:Et_8B_060060 transcript:Et_8B_060060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVMWHWLFLFLTSVSSAWSLSSDGLALLALSKNLILPRSISSDWNASDLTPCKWNGVLCNKRSSVISLNLSSSGVSGSLGPQIGLLKYLNVLDFSVNNISGSIPPELGNCSRLDYLDLSSNVLSGEIPTSLGNLKKMTYISLGFNSLSGTIPEELFKNQFLVEVYLHNNQLSGPVPFTIGEMTSLGFLWLHGGNMLSGVLPGSIGNCTNLEELYLLDNQLSGALPKTLGEIKSLKIFDVTNNSFTGKIPFSFQDCNLEIFILSYNQISDEIPSWLGNCSGLRQLALVNNNFSGRIPTSLGLLSNLTHLILSENSLSGPIPPQIGNCQLLKRLELDANQLEGTVPKQLANLKNLRKLFLFENRLKGEFPKNIWSIESLESVLIYGNGFTGELPSVLAELKFLQNLTLFDNFFTGVIPADLGVNSRLEQIDFTNNSFVGEIPPNICSGKALRIFVMGFNHLNGSIPSSVADCPSLERVIFQNNNLDGPIPEFRNCENLSYIDLSHNSLSGYIPASFGRCGNITEINWSENKLFGGIPTEIGKLANLRRLNLSQNGLYGFLPSQISNCSKMYALDLSFNSFNGSALTTLSNLKFLSYLRLQENKFTGGLSDSISQLDMLIELQLGGNMLGGHIPSSIGRLLKLSVALNLSSNGLVGDIPPQLRNLVELQSLDLSHNNLTGGLDTFGSLQFLHALNVSYNQFTGPVPDNLLKFVDSTPSSFNGNPGLCISCSSDSCRGANVLKSCGGSRKRGVHGRVKIALIVLGSLFLGAVVVLILSCILLKSQDLKKKSVESVSTMFEGSSSKLNEVIEATENFDDKYIIGTGAHGTVYKAALRTGEVYAIKKLVISAHKGSYKSLVRELKTLGKIRHRNLVKLKEFWLRGNYGFILYDFMEKGSLHDVLHVIQPAPALDWCARYDIALGIAHGLAYLHDDCRPAIIHRDIKPSNILLDKDMVAHISDFGIAKLMDQSSSAPQTTGIVGTVGYMAPELAFSTRSSIQSDVYSYGVVVLELLTRKMAVDPSFPNNLDIVSWVSMLNGTDQIEAVCDPDLMEEVFGTVEMEEVRKVLSVALRCAAKEASQRPSMVDVVKELMDLRPARGAELLSKSKQDRHGSQSSSYLQ >Et_9A_062874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6037554:6045468:-1 gene:Et_9A_062874 transcript:Et_9A_062874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYHKEMRALSGRLLDVFFRALGLTDEQIAAGQTERKISETLTASMRLNLYPKCPDPERAMGLAVHTDSVFFTIIVQNLVPGLQLFRPRPDRWVTVPVLPGAFTVVVDDLFHVLTNGRFHNVLHRAVVNREQQRISAPYGIGPPDDMKVAPLPSAVLPGTKAVFRAVTLPEYLRLRKETFGTDKSALEMLHLRTEERHYPTVEAAGRDAVPVVDMRDPDAARAVARAAEEWGAFLLVGHGVPAETVARAEEQLLRLFELPAPERTRGWRRPGEANAMGPYASHLSKLTWSEGYTFPATAVRSVFRRVWPDGGDEYDRFWYVSHAFTYFLCFGAYVHVSRVLSSLTAGVDCICSEVMEEFNRETRAVSGKLLDGLTDEQIVAGEAERKISETLTTIMRLNLYIYTNLIFFLNVSDACSDNSDILSLYPKCPDQEERAIGLQPHTDSCFITFDTQNLVPGLQLLRRGPDRWVTVPALPGAIAVLVDDLFHVLSNGRFHNVVHRAVANSERQRISVINGVGPPADLEVAPLASAVLPAGDEGRVQGGDVARVHGAAEEDVRNGGRLRAAERVPETHVWSGPHDHPTVEAAGRDAVPVVDMRDPDAAKAVARAAEDWGAFLLVGHGVPAELAARMEEQIVRLFERPAPEKIRAGRRPFESNGYDVGPYADKLMWSEVYTFPAATARSEFRRVWPDGGDEYLRFWYVLSSHALVTCFLVHVSRVS >Et_4A_035734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4590517:4592905:-1 gene:Et_4A_035734 transcript:Et_4A_035734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQSQAAQCPYCRASGPARCATTQPPLSRAVSECSACARIVLERHLHTHPFFPLLPSLHPLPLVTPDLAAAAASVPPSPDAEDDDDPFLPAGFVSAFSAFSLERHPVLARSASAFSGLLAELERALAVDSAASSTPDPAGPMVSVDSLRAYLQIVDVASILRLDRDIADHAFELFKDCSSATCLRNRSVEALATAALVQAIREAQEPRTLQELAAHIGEVVVNKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPPGYTPATPPEKAFPMTTIYSGRSSSGKDLYQDKILDSIKQKGPEPPEPDHMVIVKEEEDRKISALSRLAKLEPHELSKAFWQPNAPFSASTKSDRDKMETNVRGFNLNEATCAMDCDRADTTLNPTFSDRFLNESNRQAASWQVKQAAPATGSSYPRLREQQLGLDLVAALKGIGKRSAGDGDGRDKEGK >Et_6A_047313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3788169:3794205:-1 gene:Et_6A_047313 transcript:Et_6A_047313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFGRAPVLENMPSLAIAEVKFRHHVDDRCRNGRTDDDCGGVACFGCHHYYGRGGYDCVFLEGLTQAKCLYLSASPEMVCLQLTLLLLLVASIVYSFTICFTLTFNITTITTKITFDTKLVQLFLSDQTCSIKHPVFVQNLNAIYWTIPLCVQQGFNVVLYIYQVEDFGASQMGTYLVPSQCTSSGETYSCTVKGMHQFNQHGGKLHAIRTIIFTSPSSARQLICNDNDGVVLEILKILHANGVPLKKISFQFSDRELSVNTPPSMASGADHISNLPEEVLHHILSLLPAQDAVRTSVLAHRWRDLWRSAPAARISGSKGWAGGFSAFRTFVDSLLRHRRGGAPLDSCNFDINLDPADVPAINRHGNSWIRRALRRDVRELQFGVFVNNDRIPFALSDRLFYSRHLARLELACVQGNASVLDFSGCPALQELKMVECARLSIKYCFFYSNYRSCLSFPSLVSFKFMTNVGRAPLLESMPSLARAKVRFEHYFDDKCRNGRLDDDCGDSACGGCHYYYGPDDYDCIFLEGLTEASHLYLAAFPELVCFSNLPLPSHYDQYWLQLYHFFCIILYITTVTTKMGFKMVPYIFQVKNFGTSQMVCDY >Et_1B_012741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34880021:34881012:1 gene:Et_1B_012741 transcript:Et_1B_012741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLTAVRPRLLPITCSPAGPSKNNAASKNQRLLPASPSNASLPPSPPPPLATTAALVPLLLALPPDALASGGEFGILEGRSFALLHPLVMGGLFGYTLWVGYLGWQWRRVRTVQDEINELKKQVKPVATPAAGDAPPPPATKSPVEIKIDELTEERKKLLKGSFRDRHFNAGSILLGLGVLESVGGALNTYLRTGKLFPGPHLFAGAAITVLWAGAAALVPAMQKGNETARTLHIALNALNVLLFIWQIPTGLEIVGKVFEFTTWP >Et_3A_025139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27175380:27191358:-1 gene:Et_3A_025139 transcript:Et_3A_025139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSDGGGGKIKIGVCVMEKKVSGWDVAIISGAKLLVMFIGIGCNAGMVLRREQVSCSPMEQILKRLRAFREFEIIIFGDKVILEDPIESWPLCDCLIAFYSAGYPLEKAEKYAALRRPFLVNELEPQYLLHDRSKVYEHLKLLGVPVPTYAVVRRDYPNQELNYFVEQDDFIEIHGKRFCKPFVEKPIDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFYPEVRKVRRDGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVRYPVLLTPTEKQIARGVCQAFRQAVCGFDLLRCDLGEARSYVCDVNGWSFVKSSYKYYDDAACILRKMFLDEKAPHISSTIPTSLPWKVNKPAQPSNPVRGRERATVGISRHSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLKLMLKYNGGKAHAEAKLKSALQLQDLLDATRILVPRARSGRESDSDAEVEHAEKLRQVRAVLEEVQLKPSNWVRIPKSNGDGEQEHPVEALMVLKYGGVLTHAGRKQAEELGRYFRNHMYPSEGPGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGELTPILVSLVSKDSSMLDGLQDGTNEINEAKARLHDIIASSNNANFEKHVEFPWMADAGEVPTNAAQLLTELGELTKQITSQVKMLSDDEDEKAAINGDSPNRQYDIAKALGKAEIDMERISAGLPCGSESFLLMFARWKKLERDLYNERKKRFDTTQIPDIYDSCKYDLLHNSHLNLTGLSDLFKVSQWLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLHNTRREVTAAAAESNAYNDPVAISSAKRKERCYYEEVRNECFERFSSNKKSVDLDDSHKETKYCLDPKYANVIEPERRVRTRLYFTSESHIHSLMNVLRYCNLDESLNGEEGLVCKSALTRLFKTRELDYMSYIVLRMFENTEVPMEHPKRFRIEMTYSRGADISSLENGGKASLLPDEHTMPIMEPERLQEVGSYITLDKFDKMTRPFAMPAEDFPPAAPSQPLSVRFCKDAELQGGRMVYGMVISNVELDVLGNQKHHKSEVLTGITKRKGKRLQESTCPASAEQRKRKEAEWSQLIVHRS >Et_7A_050522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21590203:21590497:1 gene:Et_7A_050522 transcript:Et_7A_050522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCTHSQMASSVGAYAFCRHLTGNYHGLCLNDLSQCTNTCLDESPNNIDGDCDDFLPRCYCITEC >Et_3A_026508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:976262:977264:1 gene:Et_3A_026508 transcript:Et_3A_026508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LTFRRVVKKGNVEEFSCVPYILALFNCLLYTWYGLPVVSSGWENFPVSTINGLGIVLEITFISIYVRFAPSEKKASDRYEFYHTRMYIGVLRVYIHVPFPFRNVKMAILFALQLVLPVLTLFGFTACFSSFFIHTHRMRKVVVGSVGLVASISMYSSPMVAAKQVIRTKSVEFMPFYLSLFSFLSSALWMVYGLLGKDLFIASPNFVGCPMGILQLVLYCMYRRSDETPGKPYDIEQENGLNVVTVHPQEITGRKPEAQK >Et_5A_041757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26783592:26786626:1 gene:Et_5A_041757 transcript:Et_5A_041757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGIKTLVFLDSCNGLLLFEHRRTSVPRSMFGYIVCNPATKEWGAVPTCDCPLPGPAYGRCSYSYLVFDPAISPHFHLVQFRDEPDEGALRVLIMPHAYSSETGTWNHIHTDWNMQEEQEGQSEDWWRYQGLFPFETPRRALVNGMLHLIVPYLQNVVAVDVHGKTQTIIPVPERVRWDPGEYVDVAQSQGCLHYLRESIQGDTLSIWVLKDYGGTQEWVLLGTLNFLLLFGKRSYSCGQREFRVIAIHPDCNVVFLAQSSERKLLSYDMDRKEARVIGTLGNLDHNKHYHSSKPRDMLGYIVCNPATKEWGTVPTCSFPPLMLDYDWCPYLVFDPTFRPKELSPIVVMPHVYSSETETWSDIQIDWIVQQEQGQLEVPMVLSRINTVQEPRRAFVNGMLHLIVLYPVNVVAVDLQGKTQRIIPVPKVEGWDPGYLAQSQGCLHYLKESEQCDTLSIWVLKDYGTQEWVLLDTLKLLDLFGKKSLS >Et_1B_010301.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:35599602:35600177:-1 gene:Et_1B_010301 transcript:Et_1B_010301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLITDDPDKSPRDCSRRKRRKWWIAASSSTVLLLLLALFILSIILILWMRPSRPPEFSLLAATASNNNASSVTLMVVDAAFSARNPNAHAAVLYEELRLSAAYAGVALRGSAPLEGDEEMMSASLRSPWTASGAVGGGLLRTRIEGQLRWKVGAWVSGRHGLAVDCVVVLLPSSSFSSQSSHCATHVLMP >Et_5A_041530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2400549:2401331:-1 gene:Et_5A_041530 transcript:Et_5A_041530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAALRVAVLAAILLLPFLIVPAAAQTKKFCLTQFAIASQACAILPPTSPESHHHHHHDDDEDEDEDEDEDEDDHHDSDDHHGGDDGDDHGGDHDRRGRKSSADARSPTSMITVESEADDDVDRSNGTAALLRLPSFLIKPQHKYTVRVGRTCKFTYRCGGV >Et_6B_048639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1266019:1270634:1 gene:Et_6B_048639 transcript:Et_6B_048639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDAAFASPPSPPPPAMQPPSDLSPPAADTPASAAQTSTPSLPDTPASADPDTPYSDAALADASDAGTPAIAPPDAAADGDDEDGINASGSGPRKHMTLAPAAPPSKKSKKKGGNSVWTRPTSRKGKKKAKQPAQGQTLLGVAANGSRPKPSAAGEEEFLLVPAPRLAAERSDDAADLPVLLSRVFKSERVELSDDRLTAGSTKGYRMVRATRGVAAGTWYFEIKVVHLGPTGHTRLGWATNRADLQTPVGYDSYGFGYRDIDGAKVHKAWREKYADEGYGEGDVLGFYISLPDGERYEPKQPDLIQYKGMTCHVQVPKDELKTPDAVPESKICYFKNGICQGIAFQDIPGGRYYPAASMYTLPNEPNCEVKFNFGPDFDFFPQDFGALPIPQPMSEVPHQVYELKNEQPIENGVAEKANGFPHSDEVVEMLLTVVIFKGHKAESITQESQMLVDGSLDATRCTRYGFLRQIKEDKKKI >Et_5A_041290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20693631:20698111:-1 gene:Et_5A_041290 transcript:Et_5A_041290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGARSGMLHHKENTPAEPAGKRLRTGAAGRQPLSAASTAPPPPADEPMVFGGREDVETLLNEKMKGKNKMDYKGKSEQMMEYIKKLRACIKWLLEREDANLAQIAKLSNDLEAAETQHSEKVTQLQNALQESKEIYEELQKQYASLQEALKKVEAEKTDALTSLGNEKVAKLAVESSRNEILEDLKKAQLEEKRLHEQIEMLQGTNKRLQEYNTSLQTYNSQLQADAKTHGETINRLQREKNTMVETMNGLKDHANSVKNQLDLTKTLQSEAAKQKNDLLKEVDSLRSELQRAREDRDKKSAQVDSLFDELGTYKELTGKSAEELDNAVTRSTALQETCSSQRETIKTLEIKLATATEKLKMSDLTAMEAMTEYENQKKTLADLQSRLQEAEQQILDGEKLRKKLHNTILELKGNIRVFCRVRPVLSNESGAVTYPKSGENIGRGIELIHNAQEYSFTFDKVFDHSASQEDVFIEISQLVQSALDGYKVCIFAYGQTGSGKTHTMMGNPEVEEQKGMIPRSLEQIFQASQVLNSQGWKYKMQASMLEIYNETIRDLLSTNRVATQDGGSSKYNIKHDANGNTHVSDLTVVNVTSISEVSSLLRRAAQSRSVGRTQMNEESSRSHCVFTLRIFGVNEGTDQQVQGVLNLIDLAGSERLNKSGATGDRLKETQAINKSLSSLSDVIFSIAKKEEHVPFRNSKLTYLLQPCLGGDSKTLMFVNLSPETSSTAESLCSLRFAARVNSCEIGVPRRQTQMRNSQ >Et_4A_034241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31081233:31082025:-1 gene:Et_4A_034241 transcript:Et_4A_034241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMSGWSSPVLSDKKVRLMRNRSLTKEEVDAFWRQRGRPDDNGQGSPLGSPRVVPDAAVSPLPSPRRSELLQQDDQSPFEARRLDGIKSLPSPLARGGAGSSCHDGRRVFARSEPSSPAARAGGFPESAAANSPSMSCDWWTRSSWAFLNEPHHQQEEVSVSSGSAHKHKGYAWDQFSVTRIVTGNA >Et_10B_003074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16261924:16263386:1 gene:Et_10B_003074 transcript:Et_10B_003074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYGLHFIFIAMDQSTIESRDNGAGKGRGNEHHPSPLELIPHPVCPIPTIKVIRENLIHIPVPARSLFPVRVPWGHVVVGSEDLASEFGHTTSGKPRRSAIMCLYLLVQNIGLFGADFWGVLSVHEAIHPAYTPLTFFDTKLAAGVVDINTKEIINDPELFCSPCGATAAVGLSFQLFISQRKSFDDHLFELPGTLQNLMMLRSSISNDGCYNAMKSLHIEGSSYVTEASLRLICRQIGEDAIMSFLLDNLFLDKFELKNMMAGESHLSGARQSSPFQGMFDHFACSVASS >Et_3B_031524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3630073:3631883:-1 gene:Et_3B_031524 transcript:Et_3B_031524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDAQLMSTSDGKLGLAAVTGASLSMWVLDHTEDDDDGHGATSTWTLRRTLPLDTLLPGGGTDASSSTPCARIAGVHEDGSVVILRRAWVFFMLCVDGPTPEIHRMFEMFATWHDVDTTTCLSRFTEHSLNLNNRKHSQNAK >Et_6A_047891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2300611:2302262:-1 gene:Et_6A_047891 transcript:Et_6A_047891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGMGFHCRFPPWIPFQLESAGRVPPQAAGFVVTMPPVFLDDGRLYWFLQEPAILSFSVGAERFETVTNPPARLVSHLSDLDASSLCAVADLRHEIGKYVLFTWSRGASSWSLRCCCCINLQTLSKPICDDFVEEWDVVPLCSAGGGKIILLATGRHKVFAYDPETRRRGAMERVFYTQEFVDVPDTTRRRSCRTQKFSRFQGKRLKHGDNFSFSGIENRRSPVKNRGHTNVIALTKHVRSSRTSPEQELAYQVDAYTECL >Et_4A_035278.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:1692656:1693825:-1 gene:Et_4A_035278 transcript:Et_4A_035278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLRHPSLSRLKLPNPTRPRSPSPSLPLPNLRRLRTRRLIAAAVFQGQSKPRDPANKESDDEEAYGEVDRIVSSRTVTNPVFAEDGTATTAVATEYLVEWKDGHEPSWVPAGAIAADVVAEYETPWWNAAKKADADALAALLADEALRRDPDAEDAQGRTAMHFAAGLGSEDCLRALAAAGADVGRKERAGGGLTPLHIAVGYGRAAAVRALLELGADPEAPDGQGRTPLELVQEVLGKMPKGNPALMQQRLALEAAAKEMEKAVYEWAEVEKVVDGRGEGKWREYLVEWRDGGDREWVKAAWVAEDLVNDFEAGLEYAVAEAVVDKRQAAEADGEEKWEYLVKWVDIEEATWEPAENVDAELVQEFEQRQSDSARGDPPQTETIAG >Et_9A_063381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22226298:22228238:1 gene:Et_9A_063381 transcript:Et_9A_063381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVTSKLSRAADAVSSDAETIVLEMRKAFATMKSLAVDYERDGKSDQAREIKHFPIEFPISSHLGTPNPAIARVQQLEEMVLEMLDSYEDCVALAQAVKAVPESYQPSDQPTNFKGLIETEVGKIKEGSSASGQNHPLFRQFRESVWIVHHAGQPMPGDEQEDVVMTSTQTSIRNVKCPLTMIPVTELQDPVRCADCGHIYEKGPILHYIRHQKPPNCPIAACPAVLQISKVSCDPLLRIEIEEFRSTEPAAPNATNIEDFTDINDDDEDDE >Et_5A_041117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18435496:18440001:-1 gene:Et_5A_041117 transcript:Et_5A_041117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAQGSIEERIIFISIAVQDRDHKFLTKAVEEAYRGVDCGDGGPFGAVVVCNDEVVVSCHNMVLKHTDPTAHAEVTAIREACKKLGKIELSDCEIYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANLEIKKADGNGALIAEQVFEKTKEKFQMDYRFMEKAVDEAYRATEPGDGWPFGAVVVRDGEVVASCHNMVRRNTDPSAHAEVTAIREACKKLGKINLSTCELYASCEPCPMCLGTIYYSKIKNVVFGAKAEIAVAAGINASVPDGFVEYYQKSGIKIRQAEGDARRIAEKVFEKTEGASS >Et_2A_017174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31729347:31731394:-1 gene:Et_2A_017174 transcript:Et_2A_017174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMVLGFDSGGGGGAVDDLKYVSGLSTILVAHIQEVKDRVSQIEFIFCRQLYAQFQAKSKLDQARLADVAKANEDEWKKREAGLVRQLEELSIGRRLAEERLQQLGSSLEETKGKLADAERLVARQEAEKKQIIGRLEEEMRKTEVIHRLEKEIEEKAAEIVREREAHQRLLWQAELKDKDLVLEQSKQRALIEDCTQLRTKYKQLEREIEEKAAEVAGEREARQGLLRQVELKDKDLVLEQSKQRALIEDYAQLKTKYTQLEREIEEKAAEVAREREAHQKLWQQVQMKDKELNFLHSEKEGFLEKYKKLKSENNYFRRKTDQNQGTKPLVDTSVDRKGPESSPSKRKLKDLEGADKVSGQAVSSKRELKGPTSNIQADEHASSVRGPFGNSRLALPYGPTNSLPKNDASGSKRESSVGLPSLHWRETRARKESGAADPHDDFLDTPLEAVKSMIKNPTTQGEAQALAACPPQDMEFNNSDDETQDITVATQRLNNRPNMPVQQQNKISVQPPKKEFKYRESVRKKADRENLKGVECKQCKKFYDAVLPDGRVNGDGVGSTSLRCEHHDGVSRHRYRYAPPLTPEGFWNIGFESEM >Et_4B_036854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12056735:12063317:1 gene:Et_4B_036854 transcript:Et_4B_036854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRKADAASLSKEIHGSTMAASTRPFVPSAWRDFFITYTPPVSQSSEEWMRERADQLKEEVRHRFDNGKPMSMANIVELVDALERLSVDYHFQAEIDTAMRRVHNEDLEFGSSRELYITSLRFRLLRQHGFWVSADVFDRFRDDTGGFSTGLSSDPRGLLSLYNAAHMAVPGEDALDNAIAFSRSHLEAMKGKLTSPMAEQVSRALDIPLPRFMGLLETTHYIVEYEQEEAHDAAVLELARLECNLMRWWGHLYDDVKLTNARDRAVEIYFWIAGVFHGEENSVARIMLAKVTGLLTMMDDTYDDHATLDECQMLDKAIQRWDENAVSILPEYIGMLYIKILNSFSEFEDILLPDETYRMSYVRKASKFYLLEATWSNENYMPGFKEQVEVSVMTSTLPMTLASLMGAGNVATRETLEWALSVPDMVRSCAEIGRFLNDIASYKRGKTKKDVASTVECYIHEHGTTGEEAVEAIAAMSQHAWRRINKACMEIDRALLPAAQLAVVKLASSMEIVYHGGNDAFSFSSDLKDLVASLFLNPIPMTPHRGKTLFICNKLYKVQPMKAINTNLFLKAISRDFQLKVK >Et_5A_042275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8263516:8269552:1 gene:Et_5A_042275 transcript:Et_5A_042275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGAVRGPVLVLLLTAAALVLVPLAVAQPWPLCDSRSGNYSSGSTYEDNLFRLIYDLRDNASYSPSLFAAGSVGTGADAVYGVLLCRGDLTSSDCMDCGTFAGNDVQGACNNLTRDVALCFNQCYVRVSNVNFLANANNSGEIDLNSGTSVSVGVDVAAYDRAVTALLNATARYAAENSTARMFFATGQLVGLDPTIPSIWSMAQCAADLSPAQCRRCLDGLVARWFSVFDPSGEGARMAGSRCTLRSELGSKFYTGSPMVTLQRNGQPASPPAPSTDVLPPSTNFLPGTVKGKHISAGKLLGIILPVVFVAAVVSITLCMRNVRKKRRYQSAKLPHRIEDFESIKSTLLSLSSLQVATDNFNESNKLGEGGFGAVYKGDLFGQEVAVKRLSKDSNQGLEELRNELVLVAKLHHKNLVRLEGFCLEAGERLLVYEYMPNKSLDTILFDAEEKRRLDWRKRFNIIEGIARGLQYLHEDSQKKIVHRDMKASNVLLDADMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMSPEYVMRGQYSTKSDVFSFGILVIEIITGQRNNVKYFDEQNDDIISIVWRHWSEGTIAEIIDDSLGRNYWEAEVIKCINIGLLCLQQNPIDRPAMSDVMVMLNGDTTSSLPPASRPTFFIDGSSGYSGNSDTVSYPSARLMFVHLNKLTSLHKFFVLRRCCVRDGDSGRPSTRSFPGAPRRSGGCLGDGAAVATTPRTASTWHLRDLQRVCNRTRNVRVSDVDFLANATNSGVVSLIGGTSISSGVDVAAYDRAVTTLLNATARRAAGFDPTIPKIWSSASCASDLSPKQCRRCLDDLVARAVQLEVPAGDKWPAACLTRARAFRGCFTGNCRSAPSRTSMTCRN >Et_7A_052171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5265382:5269771:-1 gene:Et_7A_052171 transcript:Et_7A_052171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHALPRRDLQALCKRNGVRANMTNVAMADALGALPMVDGIEEYVQQPTAVPAPAVAQEEVRREKQGSPLPRGRRVTAKTSEVLIKDEVKEEEKAEDAPPLGAARRGAGRRARPTPAAALSVAETAVAEEEKQFPRARRATLKSSEPTKQNGGDEDEKMEANHESNKEEDVPAIGMGRRGANRRARPAPAAATAAAEPAVKTAAVEDKQGIPPPRARRGTVKSSQPDKLEDEEEETENLKLEANKEDAPAKGGVRRGPSRRARPAPVASKPAAKSVVEEELGSPLPRRRHGTANVTSSAPICAEDVPPLDVGRRGVSRRAQPEPVAAPVAEVATEVAEPDDGEVEDKEDPKLEEKEEDFTAHGVVPRGRSQRTRLAPVEVPATRRKAAATTTEERNVTLETAPNRPTRQRRPTMKAAAAEEMAPQRAIKKTAGRNSALQQGNEQEESIGVMSDSVSVPTLASNPQKKVQNEVMDEPKQDDDKEPAMNVDEMLVEENLTELPPVADQECADKSPLQEQQVEVKCCPTPLLSQDDSPILGLLSSAAGQVVEEDDAGTSDGLLKNEIVEEIHHAGEEMELAPAILALRASLAPDESIVKSGFTSHAARKEMESSNEVAHGTDEISEVSSEDGLCQEKGDVADCEVLPSPMEETVALDCSGYISTVDEKEAVESMVSQLAENEAVTDDIVSSFRVMDDKVVEVEKPEELVTKEDVEENNFQTDFVCVHEQMEVVNLEKAEKVSDKLPQSKVAMDEDIEENDFQTDFLHVDELKEVIDMEKVEIVTNSLPKSTTILDEDVQEVDFQTDCAHVNTADNALEVTTTDGELVEQEKILQQSTDTVVKEDILDDKLESDFVHADKAPELIGTDGEVDEENKAAAITEEMPESIGSMDECVKDHFEIGFVQADELKKVIIADSLLDITETEDGDVQNDLPQELDIPRDPSDNVTSALLDSVNKSLSKITIHEPMVSQDKDKPMCMNSSDLANELVCKDSSDKNTDEPLCKIRSESNTAEPVAMEENKGLNVAKKSADLNKLSLGQLKAKLKKKLKRKEEKRVALARVDENVCRSNATGQERTKWLYCHRLTAVVKLLFIFNEIEK >Et_2A_015928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19234129:19235050:1 gene:Et_2A_015928 transcript:Et_2A_015928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEDGPEWMMDVGGPGGGKGKGGGGVDRMNKKRFSEEQIKSLESMFATQTKLEPRQKLQLARDLGLQPRQVAIWFQNKRARWKSKQLEREYSALRDDYDALLCSYDTLKKEKHALLKQLEKLAEMLQEPGPKYGGNADAGAGGDDDLRKGVAGAMKEEFPDARAAPPSYSVQGNGGGKFAHFADDDAAAGLFRPSPQPSAGGFTASGPPDHQPFQFQSTCWPASAEQTCSSSQWWEFESLSE >Et_8B_059174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14791311:14794139:-1 gene:Et_8B_059174 transcript:Et_8B_059174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLAAGLTEYERRREENIRRNEAILASLRREAAELSASFRAPSPKRRKKQQPAAPAREKSPVVLRRSLRTRGLPPSGSSDAAGASSSPATPPSPPKPRTTRISSSLAAALRAATPAAPAAKKEPAAVKDEVFDAGRELVLRPANVRRVVPERILSVRVLPLADRTVVAAGNKIGHIGFWDVDGFVEDEEDGDGADGVFEYFPHRGAVGAISVHPAAPRKIYSCSYQGEICLMDVEKETFNMIQLCDYPIFTLCQAPNSPSCLYFGEGNGELKLFDERMGKVATTWYVHDTRINSIDFHPENPNMFATSSTDRTACLWDLRSMKKSGPESLKVFEHKRSVHSAYFSPSGGMVVTTSLDDTVRIFNVDNCDISCVVKHNNQTGRWLSTFKAMWSWNDSDLFIGNMKRALDIISIHRSESSISASNTASLGSEHMTAIPCRFALHPYKVGYLACASSGGKEWISGNVMWFHMVVKTDG >Et_3B_028136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10813382:10816525:1 gene:Et_3B_028136 transcript:Et_3B_028136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGMAPELMYGQNVFVPATANPCHYGYAEVGSPMEWYNQPSSLGYDSQDIYYPTEGMQCVYYAAPDNGSMHPSYSPYPVDPSFISDGSFMTQEYVVDTTNSTCQIVPPSYSPSYYIPAGLPYAQDSVPGSTATLLHPPNVAYLPSVPGYAATSANGALPLIAPFTTKSDLVVNPPVQSTIVSSKQFEDHTKLKVQTIPLKQDGSTVPVKLPHASQASVHLPEGPKSAAKHSPKEKPSANNCFGYAGADLQKWASAEKFQPSTKSSSHLNGPGQKLLNENGLGDLEKPSNQMTSAIIAKSYTSRLPVGNPEGTIHIRTDEYNRNDLRVDYIYAKFFVIKSIGEADVHKSIKYGVWSSSSSGNMKLDNAFRDADRISKRNNTKCPVFLFFSVNGSGHFCGMAEMVGPVDFQRDMDFWCQDKWTGCFPVRWHIVKDIPNYSLQQITLQNNENKPVTHSRDTQEIPYIPGITLLEIFKDIKVKECLFDDFMRYEEEEARIKQHYRRCKLSHNAPEFVPVSQRRDDASDSQQTKVGSVLIDRTSEIQNVSEKPHIRNVIKHLDPCVETVEKQASEAGKENGRHENPCKQSHQDAAKASQPTASSLRTSADGKQQYWKKVETPRPQTDNTAQGSSKAPQKRLNGVCGPATVVSETSEEQKIIAKVTSLKISSKAGEADRKSCPVGVVTIGSMPVRVSEV >Et_9B_066128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4506354:4522628:1 gene:Et_9B_066128 transcript:Et_9B_066128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTLGFSWTDRDAKIDKGHHESSVPSSEDHITRAMEEIIWEDVGNLVVHMKLQDFKHYSRDRRATEALEVKHTNLPVEIQASQAIVTGSLADPPAIQSLTVAMGVVSAVANAAVAILSLTISFSAPLLGAQAVLPSSLYPEPLQAFRRWYAAEFDDYLLGPNPPGFFRGVIWLEFVFLWPIAVATFYGVLTRRPWVATTSLMAGVNTLTSTFAILGDILGSGRATPKLLLSYVPFGVLAVIAILRGLCSCSQRTAAGSSPASSARKKRV >Et_3B_030680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6249500:6253085:1 gene:Et_3B_030680 transcript:Et_3B_030680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALLSSSLLPSLPRASSVGGGGARLPSLPSLRSLTRRRAGACRVRASLHGLESVGGLQAALERAEAALYTLADAAVVAADAAAGGDAEQAAAAVQKNGGWFGFISEALEVVLKVLKDGLSAVHIPYSYGFAIILLTIIVKAATLPLTKQQVESTLAMQNLQPQLKAIQQRYAGNQERIQLETARLYRQAGVNPLAGCLPTLATIPVWIGLYNALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGSGISWLFPFVDGHPPLGWHDTICYLVLPVLLVASQYVSMEIMKPPQSDDPSQKNTQLILKFLPFMIGYFSLSVPSGLSIYWFTNNVLSTAQQVWLRKMGGAKPAVSEGGNGIITAGRAKLSDAKPAGDRFRQLKEEENRRKLNKALAAGDSVSASSISEDEDSDDESTEEGGPVEDASNTGSDKKLPSYSGKKGKRSKRKRMVNSVDQFDATADLGDNFGGTLETETSGADK >Et_2A_018256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20339397:20342248:1 gene:Et_2A_018256 transcript:Et_2A_018256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVRELWAESRDLLGLHSSSPDAAAAASAVPRADLPPTPLAFLRDHVSPGRPLLISAAANRHWPAASLWPTASYLTDALRSTAVSLHLTPDGRADALAPHPERPGPSKCFASAHVRAVDFPTAVRLIRGSDPAAGLVAYAQQQDDCLRGEYAAVAGDVDPHVPWASEALGCLPEAVNLWIGNAHSVTSFHKDHYDNIYAVVSGEKHFLLLPPTEHHRLYVRDYPAASYVAAEQGAEGEEHQLRLKLQMEEHERMVPWSSVDPYPASPEEMAAQRSSFPLYFDGPEPIRCTVRAGEMLYLPSMWFHHVSQSPGPNGLTIAVNYWYDMQFDIKYAYFNFLRSLDIDNGTPNKPDASEDLLVLVVLLRKFTEAGLDALGVAAPAAAAEAEH >Et_4B_040004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7550347:7555387:-1 gene:Et_4B_040004 transcript:Et_4B_040004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKGQLISEKNVKIVSSRDGQKKGNSQCDQIVQVQKDRVKAISRNADGKFEDRARVVKNDKFRWQREPWNTDMKGSKPWPGRKATTVDELVKHMSNVPSYLQHNGTTDHLQDKALNVGVLEWGLLANWSQQQKHELSRSHGVSPSNTSRSVLFSSPSHSSASPSSKSLESDQSTPTSDHQHSSSKAQQSRLTDEHLGKARCSPSPNSAVLSLLPGHGKYLSAENSCNYGDPSLSNPSLPSESVTASSGSCVKSEMDENKETRRKIEDAVHHCSRRLFTDTDNIGKKFFTSDNNDHLCNDPEQNSVLNGEKLESLISTSLMDTGRNGSRLPFGFLEDIEPSHEFPRIPYSCPLPTIESADELDTSSTAARDNLVGTSATIGGNWNQRRSSMSVTEDPPQSSGKFNDVGRMPDRRLVSGMNRVSRSCSLKEAPYARQNEAAASVDKTGDRSSSNGKGNRSRSPLRRMLDPILKSRQASTSSPIRPSFVPKCHLPGNTKLLPSSEESGDSHVNRELAAFISSLPQQEAETSVQSSSQSSRRSSSPSDCRCPPLGNFQPSVKNSGTHSASVIAILPNGFHGASTSGQPLPLIERWKSGGACDCGGWDEGCMLSVLTHGTQEGKGAIQANEALDGSQRFDFFVQGRSREDRHAFSMVSFKEGLYTVEFRSSIALLQAFAMCIVMLHGRYPSRMQVGSQASQEHDLLADHELKTMVGSQSRAPTSYVPNRPPLSPRVRVIHVHCGHLRRPDAHGHRRRYPYRRRPRVVLDDGDVGLALLAFPEVARRQEAVHGQPPDVEVDLLDGPRLLHARPGVPVVAVPEPDERDGGSARVRDVDAVDGAVVVEARLHGGLPEDAAGAGAAGGPDLEEVRVLELHQQARALAEVAPHGVADDLHAAAVARAQARRLRLHLEHEAVLAVDAALADAHRVREQARRQLRVETLHMSNKTHAHG >Et_5B_045562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4441280:4447249:1 gene:Et_5B_045562 transcript:Et_5B_045562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWFSDAACRARRRVTSPSRAMRLATSATMFSPMASRWWPNAATRRGPNMWLAARRRSPRQCASPDGAKPMARWNRRWRAASLMGRSPNAGFTRISRAVSGWLATTRRVTPTEKDISDLPPSTARDSAASVRCATGLESAVSTPGGPDGGRRLRDRAHAGPPGESHRSTAPRTTTATMDGSGDANGRRNRSDSSRPVTPMVLVASGRTLGWCSEAMLVTEMPEEFIPE >Et_1B_011403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21798393:21801689:1 gene:Et_1B_011403 transcript:Et_1B_011403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRGGGGSSAQRQPPVVVVAVVPPPRRRTTTSGARRRAIMPVKSSASFRLTALPMVVMAQLLAAAVLTLTLVWVLHFRGGVSWERSSNPIGVYTAHPLFMVIGFVICTGEAVMAYRIVLGPRAAKKAVHLLLHLVALGFAAVGLYAAFKFHRDNGLPDVHSLHSWLGIATIALYALQWLVAFVYFVFPGAMMTMRADYAPWHIFFGIVIFLMAICTAETGLARFIFPTDYYPSEAFVVNFTGLAILMFGVVVVLAVILPSRY >Et_8B_060016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5064332:5066862:1 gene:Et_8B_060016 transcript:Et_8B_060016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRIGKKVKHGNGGATPNRHGHREGQEAGWISEELGAVLLCEVKKQLHLAGPLVAGYFLLYIIQLMSLMFVGHLGELDLAGASVATSFATVTGFSLLGGMSTTLETLCGQAFGAGQHHLLGVYTQRAMLVLTLASLPVAAAWAYTAEILAWFGQDPDIAAAAASYVRGLTPALLVYGPLNCHVRFPQAQNAVVPAMLSSGAAALAHVPVCWLLVRALGMGAAGAALAIAVSYLANLCFLAIYVRVSPRCRATWTGFSREAFRGVPAFVRLAVPSALMMYFESMEWWSYELLVLLSGLLPNPKLETAVLSICINTITLAFMIPFGLGGATRSARTNLSRVISDDINFLSSTLCFYMQHACLERAWCWAAGGSPFGGRVVFLSVVVAAVVGLVMVLVRHLWGYAYSNDEKVVKYIAWMMILLAVSFLFDSINGVLSGVIRGCGRQQIGAYINLASYYLVAFPYVVEFGTGALVRTLLWTSGADILAFRHYLVHQLEKRSKDTYALKAKERVQSSACLVDDMIT >Et_9B_063784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14040255:14042018:-1 gene:Et_9B_063784 transcript:Et_9B_063784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHLSLPSNGPIPSPLAAGDVKKPPRLGADQLSCCGSGGNHHHPKKPGPSATKLALASFLGVIVLLAVDVSLAGAGAHRRLRRQYLHYVGGGGGLTSGDGSLSWLAVPGHSNFTDDLLARWLAPGGTPCRDARTANISVAVLDAAAARGEATELGAAEIHEFTFWALDGDGRRRCLGGDYFEVDLSGDSWKSRPPMVDRGDGSYSFRLQVAPRFAAGQFRLTVVLLFRSFEGLKFSSARFKHRAELRRITLLFRPGNASLPALETCRAADFARDAWSGRWTQLAKNDNCEDVDATGRYRCLEPEHPCEAPWCDGPLGALESNGLFTPDAAWRCLDGKWLFFWGDSNHVDTIRNLLTFVLGVTDTSAVTRRFDAVFANPSGGQGTLRITNIFNGHWNMSMNYLGLQSLRNRGFRQLIRSYFMSGDRVPDAVILNSGLHDGCYWTSVRAYAQGAEFAAQFWSGVIAKVRARGRAVPRVFYRTTVATGGYARDLAFNPSKMEAFNGVLVEKMRRHGVLTGGVIDNFDMTFPWHYDNRCNDGVHYGRAPARLVWRDGKIGHQYFVDLMLGHVLLNAICNG >Et_5B_044307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22480173:22486135:1 gene:Et_5B_044307 transcript:Et_5B_044307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAAQSLVNNVGQLLAAEYRQLSGIGGEIAELRDDLDTMNALLRMHSEAEDGAVDHFVQVWMKQLRELAYDAEDRIDLYRLRIKCRPGAGVFARAKHLLQTLSSRRRLAGDIRDLRARAVAISERHARYGVNREALRRSPALSVAPMLTAASAPAQLLGRASDDAGHRQVVGMEDQIDALVKRLKTPAGAERQRKVLSIVGFGGVGKTTLAMEVCRLLEADFPYQAFASVSQAFEPSRDLKGLLNRVLQQIVKPRTDNEKGIMEEGSLGNLDGLDSHHLAIQLVFPENNCGSRIILTTRTETVAKACSPVSVGEDFIYHMQPLKLEESKRLFLSRVFGSADATYPVELKEVMGNILKKCGGLPLAIISIASVLAGYKSLGNTDKWETISKSIGSQMDSNPTLEGMRQIVTLSFNHLPHELKDCMLYFSIFPEDYAIKKERLLRRWIAEGLVSEKRGLTMMEVAESYLDELLSRNMIEEDHTNPLRNYAASYRVHDLLLEVMVSRSLEANFVSLQGGLYDGLSYDRIRRLSIHGSVSGPYSPPNKKAAGHRGTKVNVQHVRSLSMFHPKGHKLLDQLGEFTLLRVLDLEGFEGATNRHVRHACQLYLLKFLSFRGTNISVVPPEIGNLEHLQTLDVRRTLLDGLPKSVTKLERLEYLMVGDADDVNKWILPQGLSKMKALRRLSCVCLGNDAEVAREVGELELLDGLNLWIQTNVMDVLQQLALSLSKRYSLRHLSISNDNRSEHKVLNFLHDLPTPPRLLRTLSIYGKIDGLPSWVGSLAYLTHFSIFSTGLDVDQVFGVMHQLPNLKNLAVWSDKGANDEVVASTSKRFPVLNHFGYSDNQLKVIQFEEGSMEMLETLEMRIHRNEFETRIIGMEHLTNLKKVTIEGYKGNPATNYVLEQLKAWNDGLPKRVQVVVKYIG >Et_8A_056730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1594804:1598694:-1 gene:Et_8A_056730 transcript:Et_8A_056730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLARGLRSRLRPPLWAAAFSSAPAASASAAAAEAERAVRDGPRNDWSRPEIQAVYDSPLLDLLFHGAQVHRSVHKFREVQQCTLLSIKTGGCSEDCSYCPQSSRYNTGLKAQKLMNKDAVLEAAKKAKEAGSTRFCMGAAWRETIGRKTNFNQILEYVKEIRGMGMEVCCTLGMIEKQQAEELKKAGLTAYNHNLDTSREYYPNIITTRSYDDRLQTLQHVREAGISICSGGIIGLGEAEEDRVGLLHTLATLPTHPESVPINALIAVKGTPLEDQKPVEIWEMIRMIATARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFAGEKLLTTANNDFDADQAMFKILGLIPKAPSFGEEEVAAPASSERCEQAASM >Et_1B_013405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8075585:8084742:-1 gene:Et_1B_013405 transcript:Et_1B_013405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLADPYALRCVSDLPLPFRPVFKFRYFNSLQSECFHVCFLSDVNMVISAPTGSGKTVLFELCILRLLSRFLSPDWRFNLRQGTLKTIYIAPSKALVQEKLRDWTAKLGSLGIKCLEMTGDNEFHNNKSIHDADLILTTPEKFDSMSRHGIRDGGLGFFCDISLVLIDEVHLLNDPRGAALEAVVSRIKMLSRRGNMKSAPLANVRFIAVSATIPNVEDIAEWLLAPPEGIKRFGEEMRPGNLTTKVLGYAPAKNDFLFERGALEAAQCLSKTGASLGYSNPFMKSMQQYEHLKEASLSCSDKQLQACIVHGVGFHNGGLCLKDRSLVEGLFLKGDLQVVCTTNTLAHGINLPAHTVVIKSTHNKEKGQYVEYERSMVHQMCGRAGRPPFDDTGTVIIMTRTETVHLYENILNGCEMVESQLLPCAVEHLNAEIVQLTNPGNYGIKREIPCHLLEKQIQDICVEKIHELEEYGLILTDEDGFLLQPLEPGRLMAKFYLKFDTMKVIVKASACCSLEDLLHIICQSAEITWIQLRRNEKKILNDINTDKEGRLLFHIVCENRKKKKRIQTREEKIFLLANDCLTGDPLIHDLSLTQETNSICSNGSRIAKCMREYFVNKKSYRSAINSMILAKCLYQKLWESSPLLLKQLPGIGIVTAKALKTAGISTFESLAAADARKIELTTGRNYPFGNHIKESMSALPPKIDIHIEDAGNRLGKSTILVTLTRLSQAVRSSKRSYADMVVGSEEDNVILFHEKISPYSVKVNVHCPQNARVTLKVDLIFEEYVGLDVHKKHVISREDDLQVTKERGVDKPESMYNLPAEICLVSSRATRISEPLSHTRKRPLSKEVCVIEDDVDVSAPEKADNALGTRKFNNLASLEVPSFDLLAEEDDIGGGASISEPAEAECKSATSDKIFDHIRKKSKDFPTLTLSKSMDSSYEPLILKRKRSTDQFGVENITPHAGEVTPMDSEPIEARDSPSEKSKMPITTASDEISIGFASKNDSPLEKIKALCRTSSKNDGTSGKSKICPSEKSKMLIATPEESPAEFAGRRDRPLEKIEALCRTSDENSLQFAAK >Et_4A_032445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10145058:10152184:1 gene:Et_4A_032445 transcript:Et_4A_032445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESRGSIAFFTSYRPPVPLDIFCCPVPPSQEQDELHLTDGLDYNYNCQTIPPAALKTIIRRLGLAAETVIEDDIDSGCITGLVFVSEREHNLETLHVALRFGADDEVKVFSLAEIYGGTDFFSGARMEDSACFAGASARTSQPWNVVYKTNLRTGETERLTPPGTFDICPSVCPSGDKVAVASFQEKGWDGEIKDLQTNIYVMSLENPFLERQRVIENGGWPSWGSDNIIFFHRKVGDIWGVFRYNLSTGETIRVTPEAFDAVTPAAIDETRVAVATIRQKSEFTDVRTETQYRHIEIFDMCASDQPLKITQNTRAKADHFNPFVMDGGKYHGDDIPRHFHKLHSPHDDVGLFRVSGVFPTFSKDGSKLAFVDNEFKAVWLADSKGLRVVFETDGPDGIFSPVWNQSKDILYVCMGPSFKASATLEIHAIANVSSGAREPRLLTKGEFNNAFPSTNPDGTKFVFRSTRDGGDKFHKNLYIMEDAEFGEVGGGEVTRLTEGEWIDTQCQWSPNGDWIVFASNRHRPKDAPERDHGLDPGYFAVYLMDVIDRSVVRVIRSGYDIAGHVNHPVFSPDGRSIVVTADLAAVSADPMSLPTFLHFVRPYGDIFTVDIDPDDMKNNEDLESFVRITHSRYENSTPSWTVFSTDDPHAQWNVLVVEDDYTPSCPYAHPDGSESWHMAGQICIPKRHC >Et_3B_028171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11269459:11272922:-1 gene:Et_3B_028171 transcript:Et_3B_028171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPELVQGLRSDQKNPPILEVINSGVVPCFVQLLARDDCYYLQVYMLEILQLNFATAFDLNASVYEAAWFSLRLLREHQRTIRWLLTRVLSHVVKALGNVFYYSRMYGDIVLVHGVLPTLLQLLRGNPRLAVLKNATWTLSHFVRSKPGLDFEYVKRALLVLRQFIHSDDEEVLRDACWALSYLSTSFDSEDKLQAVLEAGTCPRLVELLTHPSPLVILPSLRVVGMIASGNYRFRALLASHYAIKSLGTIPQQCAGRHLVVN >Et_7B_054538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2302630:2309130:1 gene:Et_7B_054538 transcript:Et_7B_054538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VAMTLGANSQGDFVDLDRVARFMTREINSCWSTYASGNVKTPFSIHKVPEHILEVDRDSYEPVILSIGPIHHGAPNLTAMEKEKWKCLDYILKLNCEVSLQDYIKVLSKLEKEARHCYFETVTIDRKKLLQMLILDACFILVKVDGTVLSARPLKRTFTEDVTGKNVQETALNLQEVVVESGGEQSRQSSQPVHGDSTTLENMLANEEHKQNDANEEGQDDQWNDRVGQWFARFIDHDLLLLENQIPMFIVRKLFDVLADEQVICSPFTNGLAKYVEVALRFYPKVIRETERPTDFHHLLHLCHIYFKPNEKFEELHCQIGPSYINRFLSFGRRYLKLGQYGEQSSLMDGDFQLTQQLNRWRRAAQYIEAGVKFKRREYDEGDQHSLLDIRFSDGTMEIPCMVVDEYTGTLFRNLIAFEQTCPQFGDDFTAYIVFLSQLISMPEDATLLAQREIIVHHLDSDERVSDLFTLLSKDVVFDFNGNCYLKSLCQTMEDHYQSRINRWMAWLWLNHFSNPWLAVAAFATVIVLKKHTR >Et_4A_032296.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28845358:28845552:-1 gene:Et_4A_032296 transcript:Et_4A_032296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYCDAGFGGRTASRALHCSGFSESGNSTVKWIYSLPFMNGLWYIGMPSSLMALNESTQATTY >Et_5A_042780.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4124713:4125267:1 gene:Et_5A_042780 transcript:Et_5A_042780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLLLSLFVVVILASSSPAVLSTSSSGDNDEGLTHIHLYIHEKFAGENATAMPVLSSPLGVNSTFGSMSVVDNELRVGRDRSSQLLGRFQAFFVGTSQEMAAGYQTSNTLVFTAGEHAGSTVSVQGPVLGFDGVIERAVVGGTGKFRMARGYSLTKILGYPTPETAVVEFDLFVLMHNGKY >Et_3A_024332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19653323:19656534:-1 gene:Et_3A_024332 transcript:Et_3A_024332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKNQFDLLVDVETDDPSHLLAAAAKKAAASPKPAAAAPAAPVKLPTKPPPPAQAVKESRNYGAPARDGAGRGGPGRGRGGFRGGRTGSRREFGEGDANGVEGGYGGGGFGDGGFVRREDGEGKVSERGRGPRQPYRGGGRRGGYTDGEAADDSGRPRRPYERHSGTGRGYEMKREGAGRGNWGTVTDEALAQETIETVNTEGAALVTEDENKPDEVPQTEAEKDKEDEPIEEEEDKEMTLEEYEKLLEERRKALLALKTEERKVVVDKELQAMQQLSVKKDADEVFIKLGSDKDKKKENADRDERAKKSVSINEFLKPAEGERYYGPGRGRGRGRGRGDRGGFRGGYGYGGREPAGAPAPAIQDQAQFPALGVK >Et_1A_008579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:889920:890602:1 gene:Et_1A_008579 transcript:Et_1A_008579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIELYRRSSIGTSLTGTLDYMVSSGRLSPDLAILVLLQFDKSMTEAMKTKVNSKLNIKGHLHTYRFCDDVWTFILTDATFKSEEISETISRVKIVACDSKLQQPDEP >Et_3B_030762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7019321:7025113:-1 gene:Et_3B_030762 transcript:Et_3B_030762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGAVSILNSVFRRMFTRAPARSDAFQAAAIAALLTGGEHLPGLANGDGLRRTVHVVGTSYGGLVAYHLARELHAAGGSQGKVALCSSDAGKGGADDRALAAKGGVAEVTELMAPADTRALRRLMALCVHRPPKYIPECLLRDMLRKYFAHRREEKIALVKGIATGEGFQLAPLPQDVLIIWGEFDQIFPEAGGEGDAGSAMGFGVVSVLNSVFRRMFVSAGLRPCSAAVDADTTIHFWAHPSLLSSSSSSSSEKQQQRAVVVLIHGFGADTTWQWAAQVGPLSRHFDLVVPTLLFFGASDTRAPARSDAFQAAALAALLTGGEHLPGLANGDGGLRRTVHVVGTSYGGLVAYHLARELHGRQEGGGAGKVALCSSDAVKGGADDRALAAKGGVAEVTELIAPADTRALRRLLVIGVHRPPKYIPECLLRDLLRKYFGHRREDKIALIKGIATGEGFQLTPLPQDVLIIWGERDQIFPVEKAHKMKEKLGEKAAVKVIPNTGHLPHQEDPKLFNRILLDFLLQP >Et_6B_049650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7895284:7895623:-1 gene:Et_6B_049650 transcript:Et_6B_049650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELADKAVGFLLTLTSLSIFTYYTFWVIILPFVDSDHFVHKYFLPQEYAILIPVFAGVVLLAFLSIFVGLVMLKSKRKKKTT >Et_1A_005498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10932463:10944713:1 gene:Et_1A_005498 transcript:Et_1A_005498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAKGGEEQITLEHTPTWIVAAVCSVIVVISLLFERLLHRLGKRLSKGRRKPLYEALLKVKEELMLVGFISLLLNVFQGATQKICVKESVMHHLLPCPRSGAKTVSHYGATAFTGVLGSTRRLLAGGAGASSDYCLKRGKVPILSVEAIHQLHIFIFVLAVTHVVLSATTIILGITQIRNWKHWEEKIQQNDGSGPQMIKHVQEFTFIRNHFKGHEKRWQIFGWLRSFFKQFYGSVTEEDYTTMRLGFIMKHCRGHPKFNFYDYMNRVLEADFKKVVGISWYLWALLIIFLLLNVHGWYVYIWLSVVPFILLLMVGSKMEHIITELALEVAQKHTAIEGDLVVAPSDELFWFHRPKLVLLLIHIVLFQNAFEIAFFFWLLLTYGFKSCIIGKRAYVIIRLVISVISQLLCGYSTLPLYAIISQMGSSFKRGMFDENISRGLTNWAQNARMRKRTPVTNVGDNSPVGEDGRIQMTNARGGGKAGDAPEITLEHTPTWIVAAVCSVIVVISLLFERMLHRLGKVRLFSFRTRSWLSFIHRPDRCDKPFVFMVNRGYRKAVGSRYTRLSSKSKKLMLLGFISLLLNVMQGAITQKICVPESVMHHLLPCPLPPSPAGAKTTAHYGGAAFTGVLGSTRRLLAGGGGAASDYCLKKGKVPILSIEAIHQLHIFIFVLAVTHVVLSAITIILGITQTRNWKHWEEKIQQNDDSDPQMIKHVQEFKFIQDHFKGHGKRWAVFGWLRSFFKQFYGSVTEEDYTTLRLGFIMKHCKGHPKFNFYDYMNRALEGDFKKVVGISWYLWGLLMIFLLLNVHGWYVYIWLSTVPFILLLVVGSKMEHIITELALEVAQKHTAVEGDIVVAPSDEFFWFHRPKLVLVLIHIVLFQNAFEIAFFFWLLVTYGFKSCIMGKSTYVIIRLAISVVCQLLCGYSTLPLYAIISQMGSSFKKAIFDENISESLTNWAESARRRKRMTSTNSPLRGSSGIELANSQRNSAIVASGAKDFARAILRTSLLASCIVACQSKALTMGDSKEIVAERVKDRLRDCHGDDHLKIEAGVKVQEFMDLALHCFHMYHCSEETQRHRWNLNTLHEVQLHLSAFRAFLDLAGDNLSGKIFTDAFDAACFPLTLFSSLFEPEWSSGSLAVSIKGLLSLLVEGGADNINQCFLEAARFGSTELVRILLEIAHQNSLAVDIELALAYASHCCKFETMECLIDEGNATSFIAPLRKAAERGCLPVVHWFVHRGVSDIEMCFALTTAASNGHFVVASYLLACIPLNILEDLSQQILKAARGQSRESLEGVAFLLKSNFLRDAAATYDAADKIAMEGAVGMSQDLVAFLNEHWSKAAFAAGMSAGEAHFMNITRILRRGASPMCLQDLPAPIVLGIAYLPLYRACRSAGG >Et_7A_052371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7252936:7256029:-1 gene:Et_7A_052371 transcript:Et_7A_052371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPERGEAPPSDSSFEGEASVAAVASSSTSEQREDSSSKQAKTSILSSVFTPPFSIFEGHQDSSQPSGSKSPKSSSGSYDWSRILRRIEEPTGDSDSDTGHSAFLEDFSSRIWITYRKGFDAISDSKLTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRKPLEKPYNPEYIGILHLFGDSEACAFSIHNLLQAGKSYGLAAGSWVGPYAMCRAWQTLVRTNREQAEVASGKENFPMALYVVSGDEDGERGGAPVVCIDVAAKLCQDFNKGQSTWSPMLLLVPLVLGLDKINPRYIPLLKETFKFPQSLGILGGKPGTSTYLAGIQDDRALFLDPHEVQMAVNISPDNLEADTSSYHCSVVRDMALDQIDPSLAIGFYCCDKDDFDDFCSRASELAEKANGAPLFTVVQSIQPLRQMYSQDEGLSSSGGSMGNNDCLDGSGETGVEDWQIL >Et_3A_027144.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:34238230:34238712:-1 gene:Et_3A_027144 transcript:Et_3A_027144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVVVAELVLLAVGLLLAAALLLQCCLGRGTTTHKRRPQGPSSSSSELPLSRGDPAIDKQSRLLLLLLLCSGRRRRRARVEPAAPANSVEASPAAEADDVARWFGPASRALYTIDEGDEECPTEDGDIPIRDEEKPEPETPFYTPPASPLRFCLQSPPAA >Et_2B_018935.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17544455:17546447:1 gene:Et_2B_018935 transcript:Et_2B_018935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTSDYVLLHGDAFRGSVLDWNATTASANNSQDLTIEVSLSCPERPLVPTILFVNIPGIDFTEGPPRIVRTMEDLILLRVPLIELNEYDYFIYRPGGEKGPSLKLIPRTTTTFHDEDVGLLRCGEEHYIIAALLASRKAGVYDLHRFDSKTEKWSKDEVSLVESQVSFPYGKKYPMNSERLLFHLTSTVIPIGGEGGTMGWVDLWRGILLCDLLSKEPNLRGVPLPLPLEQMSQGIHLGCPKSIRGITTVFDKEPFLKFVHLGVNAVPVGDEGEWQMLDWTITTWSNKKMTTSWKDWHEDCKVEASGTSISSKLKSKMLKSGLLSPGGADPERAFKNILVSFPAPGIINDGVVYLQARVKFMDLKVFVLALDTGDNKLLGAVEFATKRVRGSSVVYFPSNIGKYVDPEARVMPIPEGTPLFLLCTINSSKSCCHLPPISSRE >Et_6A_047161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26166279:26170445:1 gene:Et_6A_047161 transcript:Et_6A_047161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADAATVFLETSLGTRLVVSFPASATTVADLKRRVSAEHAACFPQIGPIAVKSLQVKYDGALFYLADSMAVSGAFRWVKGPWQLRAEAHELQSHSLAREDADGGNGDSEQIAGNHVVRATKQNTSSPASSQGGGNLAVGDGVSGLRLLKNQLDEHHDGVERASDQLKDEDTVPQESSDLHLAAGGSATRAMEQQDQSHDGEHASVQGRDGNVKTMLMGSSDLYFAAVGRDNPVDLQDKSPEGVKHASGQHKDGNVITMLQESSDLDLAAGESDTGAKNQLNKSHEDVQHTSNLCENGNAYVAAGEDETPSMNQLEKSYEGVKLASGQRKGENVDAMVQEKSENDAAGGESESSPMNMLEKSYEGVKHASGQRKGENVDAMVQEKSENDAAAGESESPPMNMLDKSYEGVKHASGQHEHENVTIVLDESSDSDVAASESETLPVNQLDTSHKDVRHASGQREDGTVNIMLQKRSDLDESAAGKDNGPMQSQQKDVIAEPTGAKCFIKEDKNDENTIANCDDNIFGGRLCTRSMKKGKKRPASNDQFLEKRKDEGVTSSVSTEQAPCFERRQRIVTVRKLPMSRAAKIYGFRARCCLGKPDDNFEELAADRSAWRLAINVCQSAANGSVYDVQVGEPRRESGNNFQVVRRFVRGGAQLRLGVRVSRGESGLHGGVDGGLHRRRSVASPICRRTPDANL >Et_7A_051968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3305542:3317280:1 gene:Et_7A_051968 transcript:Et_7A_051968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGSRNISDGDTLVSAGGSFTLGFFSPGVATTRRYLGIWFSVSETAVCWVANRERTLNDTSGVLVLDDTGSLLLRDGAGQSGNLVVAGDPSSGAVLWQSFDHPSNTLLPGMKTGKNLWAGTEWYLTSWRSASDPAPGPFRRGTTETTNGLPENVVWRDGDAKAYRTGPWNGLWFNGVPEMPSYADMFEYQVTSSPGEVTYGYRAMAGAPFSRVVVTEAGAMQRLVWDATTRGAPRDVCDAYARCGPFGVCDVGAASTSFCGCSRGFSPASPAAWRMREASGGCRRDVPLDCAGNSSARHLVLLSFLLLPPRAALAADTLRKGGNIAGDVTLVSAGATFTLGFFSLGASPTKRYYLGIWFTVSRDAVCWVANPERPLNDSAGVLLVSDTGSLLLLDGSSQVVWSSNATSTSPAAEAQLLDTGNLVVHDRGSSSVLWQSFDHPSNTLVSGMKVGKNLWTGAEWHLTSWRSADDPSPGAFRRVLDTSGLPDFVTWQGDAKGFRTGPWNGRWFSGVPEASTYTYMVTYQVTTSPGEITYGYTAKAGAPLTRVVVMDTGVVKRLVWDASARAWETFYQGPRDCGAFGVCDAGAASTSFCSCLTGYSPASPLEWNMKKTSGGCRRNVSLDCGGGAATDGFVVLRGVKLPDTQNASVDTSITRRLGRRLGRPVAEQLRRLPEHLRRQPVGRRRHHCGHVSQELPRALPGRHVRSPQRGHQHVHKDQGLVRLDDASQALFRELQRDDDLQRLVAASHCCFVDIGSEDEDGSESVHRAGDGGDELPVALALGGHVPELAQPREKRRRTFLSGDPGHECGDAGAVGGRLLRDLVAGGRLLGDQVELAPCLDEAAQRVVGRGLAVRRHRLLGERAGAGADQEPPLDAVAVVGDARRQRHRVAHHLQRDGAQEQEPSQTMDSTSSDILSLLLSFVLLLSPRSALAVDSFSKGRNITDNNTTLVSADGAFTMGFFSPGVSTKRYLGIWFTVSRDAVCWVANRDRPINDNSGVLFVSDTGSLVLLDGTGQVAWSSNSTSSSPVEAQLLNSGDFVVRNQGSTATLWHSFNFPQNVFLSGMKVGKDFFSGAEWYLTSWRSPDDPSPGAYTRRLDTNGLPDNIVWQGDVKTFRSGPWNGVQFGGIPEVQSYKNGLFDYQMVISSREITYGYQIRPGATYTYVVLTDTGLVRRLAWDASSRTWQEYYRGPRDVCDNYGKCGTFGVCNISAAEALYCSCLTGYSPASQSAWPKVTSGGCRRNVQRDGCGQTRDGFLPMRSVKLPDTHNATVDKSITVEECRVRCLSDCSCLAYAAAEVRVGGNFSGCVMWSGDLIDLRYVDSGQDMYLRLTESELPPPSPPSPPPATKSFPTAAVAGASVGSVLGVTLVALLILFVIKRRRRSANSCKFLPCLSFSSLFLITFEMYGHLPDGRKVVVKRLNQSSPDDERGGDFMREVEVMSKLRHPNLVQLLSYCKDGNERILVYKYMKNKSLNLYIFGGDPRLRALLNWERRLEIIRGVAKGVAYLHGLSHEVIHRDLKPSNILLDDNWRPKIADFGTAKLFVVDQTNPTLVQTAGYTAPEYIMECRHLTLKCDVYSFGVILLEVVSGKRNWNSPTLLADAWESWNQHKINELLDSAVAQPEPELLLELEKCVQVGLLCVQQSADDRPTMSAVVTMLNNTSHIRRPKKPVFASRNGSPLREAADLSMEEASGRSRDSHTVYQTQEASGNSRDNHTIYLT >Et_7A_052414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7824467:7824747:1 gene:Et_7A_052414 transcript:Et_7A_052414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVAVETRQKAKRNKAAAEEDGKTPPSSPPPPPAALPRHRQPTPNHPPYCWLSSITK >Et_3A_026837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22897575:22898261:-1 gene:Et_3A_026837 transcript:Et_3A_026837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGNPPGGALGPVPFADAGVDDAVQDHRVKEYAGAVPALPTSPKLRLCCYQGTWVRGHGHTEALHAAPAGTSSSRARPSAARLRTRPRTWTTSASTRTTASRLMEDLFAAGWGSKVEALATHVHYSVLPACCPHREPPKDMLVSMWHFMVKTFQPGLSFPEFFEGACGEGVCVSAAAPFGTMSSGTD >Et_7B_054047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14695929:14696629:1 gene:Et_7B_054047 transcript:Et_7B_054047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVGVFGGCPRHSVHVNFRVTVKLLNCVYLIWMALLQPLGIHQSKSLFLKKCEVNMLKKEWIKVRTSISSQIENRVNVILMVCLLYLSIYPEDYTIERDRLVNKWMTEGFVCGERRLSDVAHIYFDELIDMSLIQPEEIGFNGKETTCKLHDLSLELIISLAAKENFLTIIC >Et_4A_033158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19334675:19335274:1 gene:Et_4A_033158 transcript:Et_4A_033158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYAVQPSDHDLIAHVLRPLVAGQKHLGGGGGFVHLADVYSVPPERLAERYAPLPAGTDDDESWYFLCPARCRHKAAGAPGDGCWMSAETTAGGEEVRVVRGADGRRVGHARALSYGARTTRVTRRGWCMVELSLDEEQSGAGGGGGEDLVLSPPRVDTPPSPSVASTRAFFLKRKAVDQLHPEAPRSVRQHC >Et_1B_013173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6002566:6005242:-1 gene:Et_1B_013173 transcript:Et_1B_013173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVASNGSAEPAPALKFLIYGRTGWIGGLLGQLCAAQGIPFVYGAGRLENRAQLEADIDAASPTHVFNAAGVTGRPNVDWCETHRAETIRANVVGTLTLADVCRGRGLVLINYATGCIFEYDEGHQLGSGVGFKEEDTPNFVGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLSNPRNFITKITRYDKVVNIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYIDPSFSWKNFNLEEQAKVIVAPRSNNELDTAKLKGEFPELMSIKDSLIKYVFEPNRKTKA >Et_3B_030827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7785700:7794698:-1 gene:Et_3B_030827 transcript:Et_3B_030827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMARKDVEEGPADRVSHLPWMRHPVDIDAFSGCPVSQLPRLEPRLVVALQKVGIESFFPVQEAAWLETIGPGAFERDICINSPTGSGKTLAYALPIVQTLSTRKISCLHALVVLPTRDLALQVKEVFDAIAPAVGLSVGSAICKSNLKPLSLIVLLQELRGNKLSTLLGFFEDLPFEFSECSRLQRESTRRKRLEAFKEGNIDVLIGTDRMARGIHIDGLRCVINYDMPPYVKTYIHRAGRTARAGESGSCFTFLRKHEVKTFDKMLKKADNSSCSLHSLPEESIETLLPLYSSALKKLEESLESEAAKKSNSGDKMHSTSNKRKRTAKQK >Et_1A_007202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3261096:3262934:-1 gene:Et_1A_007202 transcript:Et_1A_007202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESEEYRCWEELLPDALGLIFRNLPLQEVLTVLPRVCKSWGRVVAGPYIWQEIDIEDWSQQQSKPEQIGRMVELLVARSGGSCRRISVSGLPCDSLLSFIGDHARALRVLEIPRSEISDSIVELVAPRLSNVTFLDISSCTKIGARALEAFGKHCKSLVGLRRVMHPIDLADKVCQHDEAHAIACSMPKLRHLEIGYMLIKTDAVAEILGQCRELKFLDLRGCWTVDDKFLRDRHPGLRVLGPRVDDCYENSYWEECSDYSDDDDDSSIYSWEFMDDVDDYYAVGSDDEAIWDDGQGLENLEVRFYGGGFNENFAGIDWPASP >Et_1A_005046.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23296393:23296479:-1 gene:Et_1A_005046 transcript:Et_1A_005046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCNKAHPEFNFMEMDLGWSIYIGVGV >Et_8B_058952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11401390:11401909:-1 gene:Et_8B_058952 transcript:Et_8B_058952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVQRRKGPDVVGSFGLLQPLADGLKLILKEPISPSSANFSLFRMAPVATFMLSLVAWAVVPFDYGMVLSDPNIGLLYLFAISSLGVYGIIIADGGAAVRSPMIYGPIGQKWVWAYRARAHKPFLSFIKGSVTFPGRDREVEVIKNYLRTPEER >Et_6A_046985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23661037:23664039:1 gene:Et_6A_046985 transcript:Et_6A_046985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METPDSSTKEVAAAAAADGSVTTIPKLYRLLGYPDDESFFEALRREAFEDYVQHLAPRLRPVAAADNVKKFLFLCGAWSQYMCIRGLIVPEVFISLYGFAPLHVAAELFNVDMVRLLLRHGASGNVRTKGTKVVEGLLPLHVAVENAAMHKYLEDHWAYGDPVENLIVLLCLPEMKMYLDTTRLIAKHTDNIVDEIWNYIEMEKLVPASILMLAAQKQLRGRSVNTSSGKVFKSGFDDVRSRTNNDICALHRHDLAMAKEGKKGQALKRMILKKKVLLTAGAILGIVNEAGEELEKYIQTHSEVPHEAIVEQVSSILKNNGIVPYGKGIETANLTCYQYPWQTSVVKSDSQIGATNEADKSSSRNDERSKRVRASPKGLSMYLARDKFFPFWKSVLSSARMPIRITPPSQPGNKDTRSTEPSKNTARTKQSKDIQSAEKPGPAVPHDFAKDPGLFLRVPSPRDYQSKRSYYTLASMTLKALR >Et_3B_030944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9189360:9192519:1 gene:Et_3B_030944 transcript:Et_3B_030944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSEGEKHFIHGGIAQDIRTDGRRRLQFRALSVETGVIPQANGSARVRLGATEVIASVKAELGKPSILHPDKGKVNIFVDCSPTAEPTFEGRGSEELSAELSVALQRCLLGGKSAAGAAIDLSSLIVVDGKVCWDLYIDGLVISSDGNLLDALAAAIKVALGDTGIPKVNVSLSSTSDEEPEVDVSDEEFLQFDTSSVPVIITLTKVGRQYIVDATSEEESQMSSAVSVSVNRHSHICGLTKRGGAGLDPSIIFDMISVAKHVSQQFISLLDSEIAAAQADE >Et_5B_043315.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:24007387:24007839:-1 gene:Et_5B_043315 transcript:Et_5B_043315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREGSPDHDQAAVFGDDLAALGAEFTAADAVAALSRCGGDTDKGAAPVPAPRGVKAELDFPPPPHPVKVKVKTEPIDAGPEEVKLKFEATGEMEVKVEVPGKVKGEPIEADGPVKGQVGFRVKQEEEAGEVDVKEDEDEVEIIDPRSCA >Et_4A_031945.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:32177010:32177312:1 gene:Et_4A_031945 transcript:Et_4A_031945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGLMILWPQLKKPRSARPAQGLALDCICTTKTRRRRGPTFLPPAAWSLSRFAMRSRGCGCLGNRICWLCQAISTLSRRWQMYHPYCTVNMWHIKLLPL >Et_7A_050452.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16095762:16095914:1 gene:Et_7A_050452 transcript:Et_7A_050452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PQPAQNPTGAGAGVRIHPRVRVRATYFTQGIFLHGRPFVSPDPNPTRYHP >Et_7A_050320.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:25433489:25433941:1 gene:Et_7A_050320 transcript:Et_7A_050320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQASPLHLKEANVTCIPRLRGGGVGRRSRRGSSAAAATQVSVLDRLRDVVLRLAMLSAATSATSTNKQGSTLRRTATTTPSRAEARVSPASATYADSYRSEAVDDCIEFLKRSAAGASGAGATAAAVEATTAASPALHAPACATPCES >Et_4B_038496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29465879:29467706:-1 gene:Et_4B_038496 transcript:Et_4B_038496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLFAEGVVALLLMVKIGPLRELAMRAVDQVKTGKGPATVKTLACTLSVILMSSVTSILKIQNRGLKLGTVSPMDQVLWRTHLLEASLIGFTLFLAFVIDRLHHYLRKLITLRKTGSTSREEVEKLQMENRSLREKEEKSSSETKKLQQENAKLNEKMKKLKSETEEHEKKALAAEAHVNALQKQSEELLLEYDRLLEDNQILQAQLLSRG >Et_4B_038465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29206933:29209135:1 gene:Et_4B_038465 transcript:Et_4B_038465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGPKMPNVPGAGGKLAKVAVLGGAAVYAAFNSFYNVEGGHRAIVFNRLEGIKDKVYPEGTHFIIPWFERPIIYDVRARPNLVESTSGSRDLQMVRIGLRVLTRPMPDQLPTIYRSLGENFNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERANNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAVIRAQGEAKSAELIGQAIANNPAFLALRQIEAAREIAHTMAVSNNKVYLDSSDLLLGLQQLSAMGKQKK >Et_3B_028973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2150313:2152987:-1 gene:Et_3B_028973 transcript:Et_3B_028973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGAEQLVCVTGAGGFIGSWLVKELLQRGYVVRGTARDPEDRKNAHLHALDGANERLSLYYVDVLDYKSLRAAFSLCDGVFHVASPVSDDPEFVSAAVDGTKNVINAAADMGVKRVVFTSSYGAVHMDPNRSPDQTLDESCWSDLEFCKQTMNLYCYGKTVAEKTAMKEASKRGIQLVVVVPSLTIGEMLQPTLNLSTDLLVASYMKGRKRYQNAVGAYVDVQDVARAHLLVYENPTASGRYLCICDVLHRSEFLQMMRELFPQYPITTKCADDKPNVKPYKFSTQRLQDLGMNFTPLRESLQKTVISLQQYGHIPILPLMSSL >Et_1B_012352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31108072:31112803:1 gene:Et_1B_012352 transcript:Et_1B_012352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFINFVIRPPRYTPLPFPRKYFEPSHLFLMLIRRQNIIQISIYGSRSLSSQDGTYKSERPDFEMQSLCSCLHPRKDFPSIGCRADANEAAVILLPSNITVFTLDFSGSGLSGGDYVSLGWHEKEDLKCAVTCLRANKQVSCIGLWGRSMGAVTSLLYGAEDPLITAMVLDSAFTNLYDLMLELVDVKMAVQYMRRIIQKRAKFDIMDLNVLKLAPKMFIPALFGHALNDMFIQPHHCDNIHLAYGGDKKIIKFEGDHNSPRPQSYYDAVSIFFYSILHPPQLPTTRSNKLHKGAFKVETITNESLFFEIINGMRSAGTVACSSSADAPKIPNASGSVAELLSESMNQMSITNETDLDFLLDENHDLSEMDGDNVVSHLQDKTSRQNEESCSYTSSKRESLGRCSSFGAASDGSLSGDANDKQENMTVKALATPLRQKPSKPVPKTKEKKIQALWKKLKREKVEMGDNLSQRFKVCLGHKRTKSSGVVTT >Et_4B_037415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19669081:19675968:1 gene:Et_4B_037415 transcript:Et_4B_037415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFRGTRTEDRSLRRVLDDAKLKEIVAPLLVPCYDLATAASFMSPAPTPSRATTSTSASATSAPPPVLRDICAATCAAHGAPVKSMDGLTAISAASAPHTSPLHRRRRLVLCCHRLRRVEHADAHRPRNSFAHRPRHPPQSFLPAFLVTNASASSAEHRGRLSAPLENSIAASTPPAPEPAATSKKSATRASGSLACFRSLASSPASAAAARSASSAAAPQPSIDSTRTLPPTRNPFSGVPAPDRQSLPGPKRNLLSSRENISYLSLSTSAAIVVRGEVIPVHASIFLEGAVSCYQSGRWFMNVRLMPSTSPPHVEPL >Et_3B_030414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3668713:3684571:1 gene:Et_3B_030414 transcript:Et_3B_030414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMEPEDQEAGRPLLVTVDGGTGDETAASSSSSIAVVVASTAVAVAGSFEFGISVGYSSPSQAGIMRDLHLSIAEYSVFGSILTIGAMLGAIVSGTLADRVGRRWAMAISDVLCILGYLLITFSQNYWWLDIGRLSIGCGIGLLSYVVPVYISEITPKNLRGGFATVNQARFGHPGAFVVALQKLRGKGTDISEEAAEIKCLPKSKILDLFQKDYVHAVTVGVGLMVLQQFGGVNAICFYASFSSGNTGMLAMVAVQIPMTGLGVLLMDRAGRRPLLMVSAAGTCLGCLLVALSFLAKEQHWEKDLNIVFALAGILIFTGSFSLGMGGIPWVIMSEIFPINMKGSAGSLVTLVSWLGSWIVSYTFNFLLMWNSYGTFFIFASICGLTVVFVERLVPETKGRTLEEIQASMNSSLTNFHKYCMEREDQEAGGPLIVTVSGSSGDGRHSAAAASSSAIAVVVGSTAVAVAGSFEFGISVPVYISEITPKNLRGGFAAVTQCRLHTFWEPSSVGVSWQSLLVGLLVLPESPRWLARFGQPGAFVSALQKLRGKGTDISEEPAEIEEFTEKLQCLPKSKVLDLFQKDYIRAIIIGAGLMALQQFGGVNAIYFYASEIFVSAGFSSGNTGMLAMAAIKIPMTGLGVLLMDRAGRRPLLMVSAAGTCLGCLLVALSFLAKEQHWEKDLNIVFALAGILIFTGSFSLGMGAIPWVIMSEIFPINMKGSAGSLVTLVSWLGSWIVSYTFNFLLMWNSYGTFFVFASICGLTVVFVERLVPETKGRTLEEIQASMNSSLTPFPKYQCGMHAVCRSHNRTKEQKGKDQNKSRGGSPKIA >Et_3B_028088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9998019:9998557:1 gene:Et_3B_028088 transcript:Et_3B_028088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERREHNLCQIHLLRALRHISIGEVKPRPLVITLLMRVEDVIRKWNIVPTNDVITAFKDPDKREKKLQLTVATMNKQKILYVPESYGTLRMNGFSAA >Et_4B_039767.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2911952:2912575:-1 gene:Et_4B_039767 transcript:Et_4B_039767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLSRPHAHAASAPFACNSALIVLGLRSHTAAASSRARPLQARRNKSYRSDDDAAAEPKIITLGRPGKSRRRRNQKQQQQKVDDDSDDEDEEEEDERDATIPEAVTNRMMRRVGVSVGLPLALGVGFFPLFYYLKAVRKVDVPTWIPFGVSFVFFGAALLGVSYGIVSASWDPAREGSLLGWNEARRNWPVFWDSLRGRSPPRRG >Et_2B_021347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28882076:28885704:-1 gene:Et_2B_021347 transcript:Et_2B_021347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSAGLSVGLPAVEPDLGRRPGRRQQVPHLDHGRDEVGVELPRVGAVLPDQRVLRAAVEELLVGVQQAALLHQVLVVGVVEPVRGLHVERRQVAVAAGARAGLLPQPREGGVDVVLVMWLRKNWHCERPMVCAPDSAVMSRADRPWLPNIRTSVARLDPGPGRLELARLWNDARESLRPSGTLHVGPPSCNNQTYSRLLRVLQYTINRLTRLTPSRAARARMSAQETVALQDASTWVLMASMTSNPRAELAFGPANFSPLDPSSRSDPSHPWRPLRNTSGHASRSATDTAARTTQRAMTYIDEAVVEEEAEDGGAHPFLPLNGGGHPLPDDGGQVRARLGVEAGGQLLSRRAAHQNGEDDGGERNEAARPS >Et_2A_015971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19840749:19841595:1 gene:Et_2A_015971 transcript:Et_2A_015971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPGPVDVHGPAVDALMGAAVDAFRPLMDNFRHVASLKITDLNDYNFGMPLGVAMASIGCYHLFKTNPWTFLDVMLGYAFYKLSFLSSQVDRQGFANDLFTHIKAAIVVIVLIKDFPKKLNAFSNIRLYIFYLYHVTSYAAMVGWKHDAIHDLTALFEVLRTKEGRRELAQYL >Et_8A_056920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18936757:18939798:-1 gene:Et_8A_056920 transcript:Et_8A_056920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEAHSLRAAALGEAPSDELLVVLPLLLPPSAVLPVGVVAVVVAAVVVVPATVSTCMTPTSQRMARQSSASRLWRRRSSSSLAMRSRNPDLLLRLHVVACPAAGAGVQPTRAASAVVAKPARGFQVFRMDGFSWTKTLRGGERPFAVGGRSWQVDFYPNGTDASSTDSDHVSLYLRLADDGYMENAYGGRVRAQFKFGLLDLAERPVETGVFTIRHDEDGQADLGCGCAEFVAKEELERRRGSLLAEDCLAVRCDVGVVQVEPVAVGEEHRRKGYGGNRMMTMAYGARGRGRGFNKRYYIASATTAAAATGRRGVHLPVFRIDGYSWTKTLPGGERISSAPFTADASTLNSDSISLFLRLVDDEADYGRVRAQFKFGLLDLSSPPAAAPPRTSGPSRRPHDDEYGQAARADLGCGHAEFVSKEELERRRESLVREDCLAVRCDVGVVQVEAVAVAEEHKRQQGPQQKPQDDREFIRSAA >Et_9B_065279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3027703:3028583:1 gene:Et_9B_065279 transcript:Et_9B_065279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGSVRTTANSLKSYFVADWRHKQDLKVNEEQAAVAAELHGRREEADELLNLVMDTNSSHLVVWGPQGVGKTALVRAVYNRVRGLNGGFKHQAWVNVPHPLVDVAGVARDIISGLRNRRDRAFEGSEVAGCQTMLRCVRGRTMVVLDGVRSKEDWDRIDAVITKAMQPGSCVVVITSDALAAHYVRRSEGHVRRVNRLGAASAFRLFLQEVCTYVLYSSSYLLFLAQGMQ >Et_8A_057791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7251945:7253179:-1 gene:Et_8A_057791 transcript:Et_8A_057791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRKTNHSVFSLKMYSRRDFVGVLVGASIAVACLLLVQPPSPPCRVSPAGHQEPAILGGDEARSVQSRTKKLNMAAAASSSFPNEDKLVELLARAAMEDDKTIIMTFTNEAFSAPGSLMDLFLESFRTGLKTEPLLKHLVVVAVDAAAFARCQEAHPLCYHLAVDGGADFSSEQEFNAKDYLDMMWLRHRFQGRVLELGFSFVFTDVDIVWFRNPLLRVPVAADIAMSCDQYYGDNPYDLNKNANGGFVFARSRPRTVAFYRDWHDQARAAYPGRNEQFVFDAVKHALAARHGVAVQFVDTAYLSGFCQRSSDFRKVCTFHGNCVPGLRRKVAHLREVLDEWRQFRANNTALTD >Et_5B_045526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3645424:3650688:-1 gene:Et_5B_045526 transcript:Et_5B_045526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCHAKQLTHDPDQSPPRAAPATPPPGTGANSAAATPVKKQHWASSPFFPFSTPSPSPAHHLFGSSAASPRKSPAPHGAGSAPTTPARRLLRLPFPPPSPAKHIRAALARRHGPSRPSIPEEGGGESDGSGRGLDKGFGFNKGFAAKYEMGDEVGRGHFGYTCAAKVKKGARKGESVAVKVIPKAKMTTSIAIEDVRREVKILKALVGNKNLVQFYDAYEDNENVYIVMELCEGGELLDRILSRGGKYSEDDAKAVLVQILSVVAFCHIQGVVHRDLKPENFLFTSKDENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRCYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRSVLKADPSYNEAPWPSLTPEAMDFVKRLLCKDPRRRMTAAQALSHPWIRNYNDIQLPLDILIFRLIKAYIRSSSLRKAALRALSRTLTVDELFYLKAQFSLLEPDRNGCITLDNIRTLSALQYRRMDFQEFCAAAVSVHQLEALDRWEQHARSAYEHFEKDGNRAITL >Et_3A_025905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33946510:33946750:1 gene:Et_3A_025905 transcript:Et_3A_025905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEYIQVPGVGQRAVVHGRRLARTMLDAGSLRAAREVHDRIHRIIDASHYPGSFKQGGHQRRGKWSWE >Et_1B_011787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2632822:2633500:-1 gene:Et_1B_011787 transcript:Et_1B_011787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSALPRAAARLAPRGGRRLPFRAFCAASGEATPSPAQRKLERDITTNPEWERLYQYEIPVLAKVLPDGSEEILPRLSPRLSVELVQKKIYSAFD >Et_6A_047122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25610281:25613928:-1 gene:Et_6A_047122 transcript:Et_6A_047122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVLWVLLTPTLVIPHLVAAASESPIARMGSPKPGCPSKCGDVEIPYPFGIGADCAWPGLDNFILTCNDSSGTPRPYISSLEIMSISLEAAEMRVFTTVSSICYSGPSRIEPRGSGMDWKFNFTDTDYPILISPSRNELTAIGCYTQAFLLSYSFLSGCISSCVSLNSVPPDGEECGGLGCCQTRIQHGLGPVWIGWNNGTGADNRVWSFNPCSYAFVGEKGWYKFSRQDLIRDGNNSFSSRAGQGTIPLVLDWAIRKDGSCRPPSNASGPSAKPTASACASANSYCVDDPLGNGYLCKCSDGFMGNPYLTGPKGCTNINECELRKSEPAVYEKLYPCGSKSNCVDTNGGYDCICARFHRGDGKSAQGCRPIVPAYATTIVATLVAIVLLAVLLWFVLTEHKRRARKGFFDKNGGKLLIGAGINIYTEQQLEKMTNRYSKPIGKGNFGHVFMGNTEDNQRVAVKRAIIEDSKKTQVGGEFFHEILFQFQMRHTNLVRLVGCCLERDVPMLVFEFIPNGSLYDVLHGDDGKQHTLSLLKRLDIAIGSAEAVAYMHAHAGQHKRIHGDVKSGNILLDDDLNPKVSDFGSSKVMSATTRYVRFVASDMNYVDPVYMKTNRFTEKSDVYSFGVVLLEIITRKPAKYDGSNSLPIDFVKTYKAEGNGRKMYDGDILTDDDAKSGVYMECLDRVSQLAVRCLKEDDEERPKMLEVVEELKQVKKRACGEDSRSEAN >Et_10A_000253.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20020546:20020722:-1 gene:Et_10A_000253 transcript:Et_10A_000253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTLSLMKPCGTCMSAGARLLESSVNMLRCFAASTSSRIRCFLWTVATKRLSEMVSL >Et_4B_037002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13461102:13463199:1 gene:Et_4B_037002 transcript:Et_4B_037002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYDGLGTDEVESDVTYRLLSVMDRVLIFSGGTVNGDVELVGKHKKDEDNQDKCVQKHAEKSKPSKEGLNRIPPEFNKFLENEPRGVISLKGPSGNTWRAELVQDSDRLCFLNGWKEFSVDHQIKVDDLLMFCYVGRSQFSVLVLDGKTSCQKRSALFASPGNAQVVESDNAGLGINADDTPHHYVGDNEESNAMDPLRALCIDGSKTSKDTENDNGINGEHAFQHDIQKSGSLPKVSRSLQMTKERLSRYSFPTSMEAVKTGTDSKGTSEYYEGYNEENSATGYLQGNEKTMHHANNSAEDIKLEEVIDGANGLHHEKREEMRIDVANALDTSVLPQKTERERKLSHVKKKERKETI >Et_8A_057701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6205876:6210757:1 gene:Et_8A_057701 transcript:Et_8A_057701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGAALMGRAATPAPGAQSSRWPRAVSRLRLALRAAPAAESSGSWMSCFRPEPSTAAAPAAAGAVKEAKGKRPEVEKEPARGGGEDVWSAEAEVEVEQGGGFPEHLVVMVNGLVGSADDWKFAAEQFVRRMPEKVIVHRSQCNSATQTFDGVDLMGERLANEVLSVVDQRRGVKKISFVAHSLGGLVARYAIGRLYKPNTKTESSVGKSIEEPEHLEGLIAGLEPMNFITFASPHLGSSGNKQLPFLCGLPFLERRASETAHLIVGRTGKHLFLTDHDDGRRPLLLRMVDDCDDLKFRSALRSFKRRVAYANANFDHMVGWRTSSIRRQHELPKHRLLVRDEKYPHIVHVEKRITNNNEPEVPSDIYDPEEEMIRGLTQVPWERVDVSFQKSTQRLVAHNTIQVKSYWLNSDGADVINHMMDHFII >Et_5A_041337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21317782:21321737:-1 gene:Et_5A_041337 transcript:Et_5A_041337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARVYADVNVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCVIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKAIDFLDKLLRYDHQDRLTAREAMAHPYFQQVRAAENSRTRA >Et_3A_025100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26920212:26925130:1 gene:Et_3A_025100 transcript:Et_3A_025100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFTEPRLSSAISSKLFDMEPSNISWACLLLLCFASMGDAEYMRYKDPKQPINNRIKDLVGRMTLAEKIGQMTQIERQVASADVMKKYFIGSILSGGGSVPAPQASPAIWVDMVNEFQKGALSTRLGIPMIYGIDAVHGNNNVYNATLFPHNVGLGATRDPDLVRRIGEATALEVRATGIPYTFAPCIAVCRDPRWGRCYESYSEDHGIVQQMTDIILGLQGEIPVNHTKGVPYIAGRDKVAACAKHFVGDGGTHNGINENNTVIDEHGLLSIHMPPYYDSIIKGVATVMVSYSSLNGVKMHANHDLVTGYLKSKLHFRGFVISDWLGIDRITSPPGANYTYSVQAGINAGIDMVMVPYNYTDYIADVTSLVDKRIISMSRIDDAVRRILRVKFTMGLFENPLADLSFADQLGKKEHRELAREAVRKSLVLLKNGNSPNQQFLPLPKKARRILVAGSHANNLGYQCGGWSIQWMGGSGNITTGTTILDAIKSTVADSTAVDYSENPDDSFMKHNDFSFAIVVVGEPPYAETVGDSSDLTILDPGPDTIRTVCSVVKCAVVIVSGRPVVIEPYVPLMEALVAAWLPGTEGQGVADVLFGDYGFTGKLPRTWFKSVDQLPMNVGDPHYDPLYPFGFGLTVNSSLPGFSGADSLEDKQHRTLFVVLCSLLSMVLISDLGIGVFQQLAAIL >Et_3A_026938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27030947:27031300:-1 gene:Et_3A_026938 transcript:Et_3A_026938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTTASVSHCQTAVGKKGGWITLPFLAGRSIDSYSLFELPLKQLTECGGCGHGRERNIIVYLIKKYNVKSSNLIVYLIEK >Et_5B_045098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9531364:9533906:-1 gene:Et_5B_045098 transcript:Et_5B_045098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRETVILLKMMFGQQGHLEVLNEANEMVMAPQWDIKLKETASFPCLNVGKPSRPTYIPIELCHLGSLQRYKKALTVLQRSSLVQNSRKNPSERKSLLSSALRHTNYNSDDMLKKCGISIAPEFAQVEARILQPPKLEVAEIFLLIMGDGTSTKTFFGAITLNQWAVVNFSAPCNVQDLAQRIVRCGKAKGMEKHEMQRAPAPNRVDAMFQHIMSRFPREPPKFLLCILPEKKNSDIYELAQITEFTVIVAQKNHHTRFFQPKGNRDDNVVNVPAGTVVDKGICHPRNYDFYVCAHGGMIGTTRPTHYRVLHDEIGYSDQLQELVHSLSYVGAPQPYQSLRQCTTPTWLRHRFGSSGKTRCLETASSASGGPAPVSELPRLHENVRSSMFFC >Et_4B_036653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10062590:10063175:-1 gene:Et_4B_036653 transcript:Et_4B_036653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GTQQPFTSTLRHEHVLDSPLHILEHLRLYPFSVWYLRMLRPMLAAASSSYATPPVQLPARRRPGGVAVRCAPNGGSAPAGGAELKLKVGSPIVILEAPVMLKTAASVPSLRHNAGQVKAGDVGRILARKPKDVWAVRLAVGTYLMDGKFFKPLDVVEEDEAPAE >Et_5A_041317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21088193:21091318:1 gene:Et_5A_041317 transcript:Et_5A_041317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLSDTRSCVCWVGLLDEHFMALWRLQDVESPGFVTGLVTTFLTDADRMFGELAQLLEGPFVDFHEVSNMLVKLKGCSSSVGARQVRLACVQLLEFDSQQKNRDEWVSTLARGRTAFDEVRSQFQTMIQKKHPFNLNLWFYTAAGAPDPWLLKLSRLEEWRCMFRLKN >Et_5B_044021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19002000:19003124:-1 gene:Et_5B_044021 transcript:Et_5B_044021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPQGKLEQLGEVVVTAVIEVVMGLMISTSIGWYCAKPAKMSLVDDQLERIRMLVLMLRSVVEEAERVHITNRWLGHWRSSILDAARDGEEMLRVHFSFSQRGAVTEVDALFAGNNTLWNTMKRIVIWSAESFLFCFRRDDDELRSTVLRLEKGSAGIRSAFDYIGSFLRTGLTIMIQNIRQAMDKLRALFSRRLVTPKTDTRRLVLRICRVLEQTETMELNGNHWLLIWRRELRDVVDTVDHTTRATSRDIDHQRIARRVEIAAALVEEFSMLVKINAAANAARKL >Et_6A_046103.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:24443199:24443354:1 gene:Et_6A_046103 transcript:Et_6A_046103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPLASPPSSKMPPPRPRATSSGRSQLPLPRLPIVRWLPQRRRWRRRR >Et_1B_011527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23208639:23212190:1 gene:Et_1B_011527 transcript:Et_1B_011527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGLLRHFTAYARDDGVKLLIMKGNGRAFCAGGDVAAVVRAINNDSWKYGADFFRNEFLLNYIIATYRKPQVSILSGIVMGGGAGVSIHGRFRVATDNTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVGLAGARLDGAEMLACGLATHFVNSNRLSMLEESLKKLETSDPFAVYSIIDQFAQQPSLKENSSLNRLEIINRCFSKRTVEEIISALEQEAPNSPDEWVSATIQSLKKASPTSLKISLKSIREGRTQTVGECLRREYRMVCHVMRGDLSRDFFEGCRAILIDKDRNPKWMPPRLEQVHDEAVEKYFSKVDDPYWEDLNLPARHSHGRLLVPKL >Et_10A_000225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18658151:18658430:-1 gene:Et_10A_000225 transcript:Et_10A_000225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRRHSGMIGGFKRGGSPSSSRHWQAMQPTMQSATATS >Et_5B_044401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23781751:23786758:-1 gene:Et_5B_044401 transcript:Et_5B_044401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSNAGYSELKYGARQLNPSKARHPGLVYDASEEDYIAMLCAQGYNATQLALVTGSNATTCAAGSRGGDLNYPTMAAHVAPGQNFNVSFARTVTNVAVTSGVYEVDIIFSVDQAVYIVYMGHQHEPSELLGGFSAAEATHHELLNQRSINGFSARLTEQEKQKLSRMEGVVSVFPSRTYRPLTTRSWDFLGFPKAVKRSLPMESDVIVGMIDTGVWPDSPSFSDEGFGPPPSRWKGTCHNFTCSKSVNTFTFLFTCTVVHYLVLTRACCRDAWCSKIIGARAYRQGYEAGGLSPVDTVGHGSHTASTVAGRQVDGIGLAGLAAGTVYKVCWDDDCRGADILAAFDDAIADGVDLLSYSIGGKLPAPYFEDATAVGAFHAMRRGVLTSAAAGNSALDGGRVDNVAPWMLSVAASSTDQRFVDRIVLGNGKTITGAAINIFPKVEKAPLVLPANGITFADTKLIFLDRDNARFGHAPCSSCAEEALAGRSYKGKILLCATAGNGAGPFEAGAAGAVIGTYEPDIAFSMPLPALTFDAGAPIVASFSSPGPNIITPAILKLRGSEKKKLKPDLSAPGIDILAAWTPLLPVS >Et_7A_052201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5556543:5561839:-1 gene:Et_7A_052201 transcript:Et_7A_052201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGGLFDGGGGGAPPGAGPGVFASSPALSLALADGGRGSVGRAIGGGGSGKVEASEAENDSRSASDHPDVFSAAGDDDEDGEEAGNPRKRKKRYHRHTPHQIQQLEALFKESPHPDDKQRADLGRRLGLEPRQVKFWFQNRRTQMKTLLERHENALLKQENDKLRAENLSIREAMRNPVCGGCGGPATMFGDLSLEEHHLRVENARLRDELTRVCALTAKFIGKPASLMAPPPHVHQPHIPMQAELHVLSPLVPIREVTFLRFCKQLAQGAWAVVDVSIDGLVKDQCLPASMSCRRQPSGCIVQDTPNGLCKVTWVEHTEYDEASVHQLYWPLLQSGLAFGAGRWLATLQRQCECLAILMSSIAVSEHDSAAVTLEGKRSLLKLARRMMENFCAGVSASSAREWSKLDGLTSSIGADVHVMVRKSVDEPGVPPGVVLSAATSVWIPVMAERLFNFLRNEELRAEWDILSNGGPMQQVVRIAKGQLDGNSVTLLRAAPTSTNQNSMLILQETCTDESGAMVVYAPVDIPAMQLVMGGGDSTYVALLPSGFAILPGGPSISGCGHKASGSLLTVAFQILVNSQPTAKLTTESVDTVNNLIACTIKKITAALHCDV >Et_2A_017728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5571199:5575475:-1 gene:Et_2A_017728 transcript:Et_2A_017728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQPLYPVDSPGAARPPPPPPAMPAPPPGHDGGGGWSAGAIVGLCFGCAGGLAVLIFLAVWCVKWWKKRRASGAAPAPPPPPATRVATARPPPPPSPQRAHPLPLPPASSAPSTSRVRRQQWPDFAVHKSYSDEHTKHYGLMATMDVYDFTINRDQESAAVIWVGNRGDGTQATQNFILVGWHVKPSLYGDSRTRFFTYWTKDGFQHTGCYNMACPGFQLEAGARIFPGDIIAPVSRINGPKQKITVKVYKDDKTGDWWVYYGFNTNPTAVGHFPKSLFTGLAYSTADFAVGGYISNARTQKTAPMGSGSSDLRNAASFSDLKFILQDGTVSAIGGDLPSQNDNRNCYVVTPIVNGKFFYGGRGGCPT >Et_9A_061652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14918027:14918740:-1 gene:Et_9A_061652 transcript:Et_9A_061652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRGLTTLLCCVTMVLALLSEECQDAYYSVPSPGPIQAPGISTAVPPAQVPNNFPTYGVTPGSLHPQECGGRCVARCSATAYRKPCMFFCQKCCAACLCVPAGTYGNKETCPCYNNWKTKRGGPKCP >Et_6B_048549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10588872:10591294:-1 gene:Et_6B_048549 transcript:Et_6B_048549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAPDPAAAERTAFRRAEKQYKLYKPPNAKGRARTRSGSRSPFFRSCGLCLYSQNLTTPIRSRRTPTGGDLSAVVDFHALLAADGELPAGIGRCDCPGFERPVFCFLDRPGFYFIPGALSTDEQCHWIRESLNTFPQPPNRTNLTAIYGSLSDLLIAAKTQKILVEVDNPDGQETNEQSNNVAKSLPRNFKFVDESEFQRGEVCRSTAATTLVRKLRWSSLGLQFDWSKRNYDVSLPHNKIPDSLAILAKKMATPAMPSAEEFKPEAAIVNYYGPSDMLGGHVDDMEKDWSKPIVSISLGCKCIFLLGGKTRDEVPTAMFLRSGDIVLMAGEARERFHGVPRIFTESDQQDISALVSQLSGEDDRFILEYIKNSRININIRQVY >Et_4B_036299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15536634:15537326:-1 gene:Et_4B_036299 transcript:Et_4B_036299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCECGKGSFKHVDDEDPGDIGCGGGISPPNKAGRKKHGGGGGKGANPFAERGLDRFSVVLSELESRRAKILRRVGSDTGRLVMIRFVQQPDGGWAPVVVKLPDEPFVKGANKPGARPAASSSGTPPPDRLASPRANATASAKVVAPARRASFSRGTMRRPSRYWPAVIVLTLVSLAVFGRVFAICMTSVWWYVLPTLGSGGCSDDGTGRRRSMQKRKNV >Et_4B_039727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27987222:27989262:-1 gene:Et_4B_039727 transcript:Et_4B_039727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNPSLQELAAAAEAEAEATASEPPRARVVRILVHDADATDSSSSEDEAPLPPPRQLRRGSSSSSAAVKRRVMEAAGTRSAVRFRGVRRRPWGRWAAEIRDPHNRRRLWLGTFNTAEEAAAAYDSANIRLRGAGATTNFPSARYSPPPEPAKPIISLTPAPGKPITLPPVAVKPTVPLQVKKEDGSCDGQVEVGGTSQVQVPVHQPIPFWEMIAGKRKKRSGCGSGTHVRAIHAASVCVDEISLAVTQ >Et_1A_006816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27855697:27856580:-1 gene:Et_1A_006816 transcript:Et_1A_006816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFSIQFAEKGSKSSQLHPADRLARPAPSRVLQLLEDHRPALIIVDQTYAALREMLGPAAPPPSSGDGHVEISRPVDTADPDSPLLCVNASATHCSIRLINNLHAGGGASPKKYSLARASVSVSPGSLHVACVADDGGRPPADCWKCADVRPNVSEKGVFAVLDTIRSRLDAAIRIEASLIKMAAASGVKSPKINEVIEARMALAKMRAKLNVDVIMRRRRQKRRREIQEINCRPDADQLDVAEALVKRLRVLHVSQKRCRPVAEMDQADDADVLTKRLRTLHV >Et_4A_034780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6463400:6467068:-1 gene:Et_4A_034780 transcript:Et_4A_034780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPAQPTTAAPTSAASPPAPTASHPLPRAYLATSSPRGTGAPAVPGPPLFTGRPLNPNPPGHAASAPHGILYPVTTSVGSVQHRRIPPIAMGYSRTHAVAVPIAQPQQPLMHLQPRSYAPIPRALVAGVAVRPEQPPRGVPIAPQPKVNLLESESGSLYALCRSWVRNGVPHESQPSFGNGEPILPRPLPASVINSRILGTDNNNTEDEDSDEEPQKNADGEYNTSDLLKQHVKRAKKIRAGLQKERLRRIERYKQRLALLLPPPSELGRHDFPLVIKEPVYFLLTSPMPPVSGAMFPILIIAVAKLWKKSAWLAAKRPTMGAVDAAPSSVAESIAWSDRSRPRLHRMLA >Et_2B_022318.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17878135:17879316:-1 gene:Et_2B_022318 transcript:Et_2B_022318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLFCATRALLLPAPAPAPAPASSAAAAAAVASLLPLLPCKRRKKLLKKLNSPRVAPIEPEAARHVPALDAVLDRDAAFRFLTRARSFLASLPPPHRIPLSEAGKLYRELGFPRGRSVSRAATRHPLLFHLPFVDSVPHLALTPFMCSLLEEERRLHEELLPSRVRIVRKLLMLTAHRRVPLAKLHHCRVPLGLPDDFRDRVREFTDDFRVAVDPDGRHVLELARWDPALAVSALERDFVVDERRVRRTFRFAVPHRRSMPLDAEDADRLDAVTTFPLVSPYTNGALLKPWTPEAEKYRVGVVHEFLSLTLEKRALIHHIFEFKEELGLTRHMYESLRKQNRAFYLAGTEMNWAVFLRDAYDDDGVLKEKDPIVLFNEKLQRYACMTKMDA >Et_3B_028472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15873665:15878487:-1 gene:Et_3B_028472 transcript:Et_3B_028472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPVFASASATATRIAAHWVVDALAGDETIDFSVLKALVGASPECLKGAPEATRERVALRCLQEVASVASGSGAPATAGALRVDAARSCEDLLLELIGEVESSGTLERDMLPPFSQDIQNVICMKKSTLPETLLELLKEVDPEITSMVSPSRLEQNGTNQHGNDQSLGSSHDHVNVEKPRSPTDNGDLQQLTSENLVDETESRNLEEDPITQTFVLHQRYTSDSKSDDHPQEHDTGARPPKMSPTGDDDVLHGAMHASAVCDATLQGCVTEPLSKKDTEVHAAMVPPESPKEQSPNPPSHFIDGERLHDDGTSDQSLKKPSHEGLGMHAAVAPSLDRSSNALPTNASEPGHLPEFVAPEDSTMISPPQSSRTDLNALQHESREKVNQVLDDVRTSIQPAEKDHVREELTLQASVRCSEAIQGGQSETNHLPGNDTEHTTFFEEKNGDKSDTGNCGVDKVNQALRDDASISEKKMLHVGLNVQAAPSSQNCNLVLHDKISEANYSCEPNTGNRSDVHKNSCSKSAPNSAQDVNGTRTTNSSNKANLGDTSEGMPHVSSPYDSLHGIAAASLLSMTNKMPSWPLMVAVLVRQRHFKRLTCSTAQKATEAYQKAKKTYCEARKNLATFLGTTQAVSQHDEQLTGVLPRAPNGEGPSNFCDSPQRKNTHQHKAINLAHRDEEPDQQSKKQKVYATGNGYPEEMVTGKASPVENPNNVTIKNNKLGDAERQQQGGNRKVNGNSYHGTSSSKKRCDPPASANQEVEDDKEDDPTKSHQSNDSDEIEATSSNDSGKRSSPPWRKMRHSKSGLREKETVVSSSSRKTIAQQDQHLSSPSRKRNYAPHKRYSNPVAPTGRRSKLCWTEEEETTLKEAMAKFIPKDDGPIPWVQILEYGRDVFHRTRLPSDLRVKWRNIMKKGRF >Et_8A_057590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4831145:4831836:-1 gene:Et_8A_057590 transcript:Et_8A_057590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSALIALLVAVSCAAAASATTYTVGDGKGWTTGVDYSGWTSGKNFAVGDTLLFNFASGHTVTEVSKSDYDSCSASNSISNVSNGPATIPLSSAGTHYYICGVPGHCSTGMKLAVTVGSGSGGSPAAPGTPSSPSASARMQAGPALAVAAGVLVKLALF >Et_9A_061692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15351494:15361070:-1 gene:Et_9A_061692 transcript:Et_9A_061692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVASAAAAAPCRQRSARYAVKGYPNYAEDDDRGAPPRRNGGGWRGKNPAAPRGRKRGRVTAVKEVGEVLAPILESEEAVAREEEEGGQRPVTGAAATATAADKAFPSIGGDGEGVVTADGEGNELGEAGGGCKSWRLRAKETLRAFSTHYLHFVQEEQRRADAVNRELNASKALKCQMQESGAVLYQEKRIGHLPGIDVGDQFCSRAEMVVLGIHSHWMCGIDYMGEKYRDKKGCEDLIFPVATCIVLSGIYEDDFDKADEIIYTGQGGNNWLGNNHQKTEQTMHRGNLALKNSKDNGNPIRVIRGHVAKSSYTGKVYTYDGLYKVVDYWPQKGVRGHLVFKYRLRRLEGQPPLTTSQVLFTRGDAPMPISELPGLVCEDISNGQENFPIPATNLVDNPPLPPSGFVYSKSLQIPKDIKIPVDSTGCNCTGDCSSSTNCFCAKRNGSDLPYVSTQRKGSRHNDSNHNSVGRLMEPKAVVFECGTSCSCHYSCVNRTSQQGLKYRLEVFKTESKGWGVRTWDTILPGALICEYTGVLRRTTEVEGLLENNYIFDIDCLQTIKGLDGREQRAGSELHAASLRSESDSEASVAPEYCIDADSVGNIARFINHSCQPNLFVQCVLSSHSDIKLAKIMLFAADTIPPLQELSYDYGYRLDSVTGADGNVVKLACHCGAPECRKRLY >Et_5B_044630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4116117:4126284:-1 gene:Et_5B_044630 transcript:Et_5B_044630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASPTVTSSFYHPQHPLTQSQYGGSSIHACAACELKVTGTGYRCDECDFSIHEACFKGLPESFTFNPHGEHKLTLTRLRASRWCDVCKETSHAGRYMYRCVPCDYDVHPRARIWSPGYGSKAGYHCDIRCKECDLDVHDMCAEYFKVIVSFAHPASFLKKKRMPSSYVGGWTCDLCQEAALPGSLAYRCIKCMFNVHPLCTMLPQTIRSPLHKSMTCMTPGKGTCSACEESLPV >Et_1B_009816.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:29364461:29364988:1 gene:Et_1B_009816 transcript:Et_1B_009816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATAPIPRKQSGRVPLGPKPAARSLFPSSPTSVSPPVSRAPAYKTASAHAPCRDHGQINNHFLSTSSVVNKRPASDRDGGCGGGEQEGAVAGGGGKHGRRGGAQGPGGPVPLGLRDPLALPPRRGAPDPGHVGQALRLHGRGGGGARGRAVPGERAPDVGGGREDAEGVPPRLLGP >Et_9B_065663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8898991:8902091:-1 gene:Et_9B_065663 transcript:Et_9B_065663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAQQLPPLSFASPAAPRTLRLHCPLQRSTKCFLRSRSRSQFQTPRRRNHTDAYSPRRFLGSEVTEEDSGEEESGESWGPPASPSRARFRGVREDNDGEEGRWWGPPHSDDSEEEERLRESEGEGEDGGEVGEWDPPVNPFRGQRDEGPYHQNEEDDDEDENGGRCEWLDPSVFLRSQEGVSGVCTSTTTVAMEEILAFTRSPEVDGPGLAEFLAGYSHEVLGERDCVELMRRMGEEELALGCVHLFRWMWEQKKRPQPQALVVAVAALGRTGMADEVLEIVFNLPLEREFQEAVLYNAVMSAVAYCRRYDDAWEIFELMEKNNVQPDDWTSSILLNVMKKTKASAKDAWEFFLRMNRKGVIWSLGAGDALINIFCREGLTKEALIIQSEMEKRGILSNVTVYNTLMEAYCRSNQIEEAEGLFVEMKDKGVCATTATYNILMDAYGRRLQPEVVEMLLLEMQGLGLRPNARSYNCLISAYGRQKKMSGKAEDAFLRMKTDGIKPLPSSYTALLYAYAVNRLHEKAHTLYVSMKRDGLKPTLETYTALLHALRRAGETEKLMETWKSMIDEKVGGNRVTFHMILDCLAKDGKYLQTRDVIYEFGKIGLKPTVMTYNILMNAYGRGGQHYKLPQLLKEMIALELKPDSITYCTMIYAFARVRDFSRALYYHKQMVRSGQVPDARSYRKLLNTLDVKAARKNIKDKSAIQGIIKSKSGLKPRKEKKDEFWKNKKKRSMLNPAYGRPRNRF >Et_5A_041665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25692709:25693605:1 gene:Et_5A_041665 transcript:Et_5A_041665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNVPLVLESLLEFLGKLMIIQAVDAFKRRLFYNAFLLRFDDLRKHALEQRVEIPVGLERLRHRDERLVREVCLQQPAHLRRQRSLADAPEPNDGEHLALTLCTCRSLQPLGQGVDLVLHADDLAVASVVRLAVDAVPRVALADGDGTGAEGPDIAGEAAARGERLQQVLQPGKHAVAGAALDAEAVQIDAVLRIVGELAHLLHNLLDEGVHGAVSGFGLHPEEGVHGGKVVPQLSDIAADAAELLILCSQQLPDIVYERLGRARALHALSRVGAC >Et_9B_064096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11143372:11151656:-1 gene:Et_9B_064096 transcript:Et_9B_064096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGIEEADDAVPVPAPPNGRRYRPVGSSDRAVVQMTSMEQGSSSSSGIAAAVTPQPPRNLKPGGNLTIDPSAREDSPDDQATSSGSQGDSKLELFGFDSLVNILGLRSMTGEQVQAPSSPRDGEDVAITIGRPKETGPKFGTMMGVFVPCLQNILGIIYYIRFTWIVGMAGVWQSLVLVSFCGACTFLTAISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPSAGFFQESVTVVNNTLLNGTATAGTATISTPSLHDLQVYGVIVTILLCFIVFGGVKIINKVAPAFLIPVLFSLLCIYLGVFIAPRHNAPKGITGLSISTVRDNWGSEYQRTNNAGVPDPNGSIYWDFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLSATLTTTAMYLCSVILFGALATREELLTDRLLTATVAWPAPAVIYIVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHELAEDAKTACRQLDAYIDYKRCEGVAEIVVAPSMSDGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCIIANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCISEEDTDAEELKADVKKFLYDLRMQAEVIVVTMKSWESHMENSTSGAQQDGSHEAYTSAQQRIRTYLDEMKETAQRERHPLMENGRQVVVNEQKVDKFLYTMLKLNSTILRYSRMAAVVLVSLPPPPLNHPSYFYMEYMDLLVENVPRMLIVRGYTRDVVTFFT >Et_2B_020221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18065184:18068429:1 gene:Et_2B_020221 transcript:Et_2B_020221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPRKRKGARDACSLAGSLHDAAPAARKRTCREPKPRPDKKKKPPAADEASARDGGGGGVVMTAPPASGRAAPDSPGRGLKRKLGCIESATRMGRKKRLESEYELGAEIGQGKFGSVRICRAKAGGEEFACKALPKNGEETVHREVEIMQHLSGHPGVVTLKAVFEDADKFYLVMELCSGGRLLDEIAREGKFSEQRAAIVIKDLMAVVKYCHEMGVVHRDIKPENILLTKAGKTKLADFGLAARVTNGQKLSGVAGSPAYVAPEVLSGSYSEKVDIWGAGVLLHVLLLGSLPFQGGCLDAVFEAIKTVELDFNSGPWESISGLGRDLIGRMLNRDVSSRITADEVLSHPWVLFYTECPLKVVTANLCVTNKIVAPRIPWDRIRSECESSSSDSSSQRSEDQDECGLVDALTAAITRVRISEPKRSRLCSPAITIQQECSSNLKSNLCTAF >Et_9A_062687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3090880:3100495:-1 gene:Et_9A_062687 transcript:Et_9A_062687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAAEGAAAALRSVLSRAHQAAARAGRAPGTVRVVAVSKTKPVALIRGVYDAGHRCFGENYVQELIDKAPQIASRLDRVVADLGRKPLKVLVQVNTSGEESKFGVDPSGCVALAKHVKLNCPNLVFSGLMTIGMLDYSSTPENFKTLANCRKEVCKELEIPEEQCELSMGMSADFEQALRIVLVSVNVISLILFILFRGIWVYSLHMKSITMQDLHRPSST >Et_2A_014878.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:203105:203305:1 gene:Et_2A_014878 transcript:Et_2A_014878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALAYLVPNTLAFSTPSFHPHTHVNNYHIVFASFFSLELQALTCRSVYLMHMYPIMPCSSRIHKG >Et_5B_043067.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:19892557:19893260:1 gene:Et_5B_043067 transcript:Et_5B_043067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRPLPELVDDAICEILLHLPPEDSAHLFRASLVCKRWRRILSDPGFLRRYGSFHRTPPLLGFFHDVSGYESAPRFVPTTTASSPSLQTAPDRGSWAFDCRHGRVLLEPMGSDKDSFVVWDPITGDQVELCTPGFPCDHFAASVLCSRDGCDHLRCADGNFLVVFVASDDTKGVQKACVYLSEAGSWSAPASIHLSPSSSVEPNRGALVGDKIFFTLTPG >Et_1A_008719.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:10644604:10645938:-1 gene:Et_1A_008719 transcript:Et_1A_008719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDVVAGGAVPQEEAEAEQQRQEEVSDSESGAESIEISDLKRRMWKDQMLLNRLEGRAGAFRSSAASAGPAARPPLPPPADQQDQLEEEETPDVRCRRKAMLRAQDGVLRHMLKMMEACNARGFVYGVIDEAGEPMSGSSDSLRGWWKDNVSFDRSGPMALIAGPAGRGDSPLGLASCLHRLQDIQDSTLGSVLSALIQHCEPPQRSFPLERGLAPPWWPTGHEAWWGAQGEVQAQQGVPPYRKPHDLKKAWKISLLSAVIKHMSPRFDQMRKLVWQSKRLQQRMSARETETWSKILCQEEVLSRRLKTSLQITPLDDDDDEDDAVARTQDKRKRELISSSSSSSSRPRVSGGGELAVVLPPELARLAAADVDDSSVDELVKLYYNCVPPEQYAGVIGIGDDDDVVPAGLPHWDLFDEVPPDVLFDLIGSSPGVDDVLRPMMD >Et_8A_057450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3126459:3128872:-1 gene:Et_8A_057450 transcript:Et_8A_057450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQPVEHAVGEQAAIAEEAPVDDPGQKAAGDSSSEGGANDPESEQNNVDIFVDSVDQGVTDEGMSGGKKSRASIEIKGQQVNRSLNAMESSQENSLLSFTEEPIYPPPEKRHKVSSDKDGEQCDSDRHSSECASQTAPYKHDRSGGVEDEQGKDLIASVPSKRKRQRSLPIEAYTAQCAACNEWRLVPTKKKYEDIRECMKEDPFTCKKAREWKPDVACHDPSEVSQDGSKLWAMDQHNIPQAPPGWERLIMIRREGYSKLADVYYTSPTGRKLRSTNEVASYLMENPEYEAQGVELSQFSFKIPTPARPDTVRKSNWKSRNDVAHKGSTKPLPEEVQAITGPAPPTHEDAGNNSQLVPYKENPPELLQLYCWRRLDNPPQPPAV >Et_1B_010613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12013271:12016114:-1 gene:Et_1B_010613 transcript:Et_1B_010613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLPPHKRHPDATVSTPTPSPNPPPSSLSSSFRGLSLSSPRGRHRGAGGRHPRPNNKIIHATGCVSRWSPFPPFAPDPNDGDGETLRLEPFPCGPIERKTGAKPLALVTSPLFLPMPFTITVPCACASRGSVMNADCNEFLWMMSCSGGSPVSMDTLREAVKAGEDGTKSQLHKTFYTSVPNECLDDMEQSAVNRMGLEFDSSKEHYHVKVFDKHRSDSTISCKCTVQEDGTLVIHKVELNQVRHLVEDISCVSKELDLRLMLCTKRILKILDSEVEGAIKSLVSSAVIDHNVKGGLRWPLGKESIGERFSIVGVWHTNYKAFRSETLRLKLRHADRSDHRSSTGEVANEVTFKLIGMSRRLEDGDQDENTLKDMLESAVQMVWDNALNYKIAA >Et_5B_043345.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5892076:5892642:-1 gene:Et_5B_043345 transcript:Et_5B_043345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFKRASSASAPSLRTPGTPSPARTTRAPATRTSTSSLRAASTTTSAASRWSSCLPRPRSTTTRPACAARLEEENLTLRDRLFLVERDMADLHCWLLAVEERAALPGPPPPLRRLRREAGPTESVADLAGTSTMPALHRSLTATAPPLYAPASTRTGDDLYTKKCGRSQTLSSKSTNSTQRRAMRCN >Et_4A_035843.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7107397:7107801:-1 gene:Et_4A_035843 transcript:Et_4A_035843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHGDRRAESSLVEAFTLSPVPYPVILILLMVTLLLGVSWFFTYEDFIEEATEQLSWLLLVVPIALVLLIRWISSVDSFEGYFGFYPSERRWRGNRYDAGPSEGSSPWGVAMVVVLLLVLASFHSTFQDMWKP >Et_8A_056673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14933318:14942035:1 gene:Et_8A_056673 transcript:Et_8A_056673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLFGDGYDFFHGDLYDNNRVLSEWVKSHSQVQAAEDAKPLIATLLLGLEVIAGDGDKVTKTPMILDEEEGKEEASDWVECATEIIGAQNVHPKELYQLQKTLRQRSELSFDQLFSYMNLLIRISLLNLPSCRFTTLSRSSHRVAHGFACRYNTIDSFPAKTAEQALAFMLGWFNNHARLITGRVSSVHGRHIEMILQYNGSLGGTIQDYLRGINESTYGSSASDLREKLESRARVSSFAKNRKKELE >Et_2A_014962.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23679541:23679669:-1 gene:Et_2A_014962 transcript:Et_2A_014962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSSARLLQEHLRLWVCRAPKSISTQLLEDWCTTQVDVIR >Et_3B_028682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1869879:1871388:-1 gene:Et_3B_028682 transcript:Et_3B_028682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRDSLVLGRVIGDVVDHFSPAVALRVSYNGRRILNGADLRPSAVSARPRVEVGGTDLRQFYTLVMVDPDAPNPSNPTLREYLHWLVTDIPGTTDVNYGREVLCYESPRPPAGIHRVVLVLFQQMARGAVDRPPLLRHNFCTRNFALDHDLGAPVAAAFFTCQPEGGTGGRRHAIRP >Et_4A_032210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24484284:24485375:-1 gene:Et_4A_032210 transcript:Et_4A_032210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGELGFSRSSSWSPSAVGRHWSDVLPVPSHLPAAEGTKTKFYAPLACSDGLLLLCRGLVPELCVCNPLTGFHTSIPRPTHLATSRYVLHSCHGGAELTSPRPNSFQVLAAHARMVRLPMRCSMHNRDVSTKKMLMLATSTAGGDRLSLLQAGEPSNMEVSIWLYVGDRGCTDWTVLRTRLEWFSPKSQRVIIWIPYMGLFVLDLISMQIRRAAGDGHGHFWPYEIDLMTLCLSNMKPFS >Et_1B_011353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2157442:2166343:1 gene:Et_1B_011353 transcript:Et_1B_011353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVVLVAFWLDIRVFGMVDKNDGSENLKFNTSHLIQTTEEVARAFIAAASAATAQSTRPSVMHSSKDESGSPMQKLQQQFSKILKGFSTSPDVSGPYNPEVLTTQKRQWSRFQLKSLGNKCIREPTHLFESIVIVGLPPQVDIHELENIALGKNDEDGKRSRNIFSNNHHQVHAISNLEPQVLFAYPPEKPLPLKYKDTLSFCLPEGVQIRAVERTPSFSELNEILLGQEQLKESNQSFVFRLQVADDSTLYGCCILVEEIVQRPSKLVSMLMNEKPAFPRRSRYVITTPRCYCILSRLPFFELHFGVLQSILMEERLEWLTEGVSMLASLSLEDTCEDIYERTELTAEKQYFDDNTTDVDRSSQSSTGISSKDMSDTDSSSGCRENQLDFVSKEVHHLESSCGVEEQSVKALVAHCESLEESDYCVPEDTSLDQSGVKHHEVDSVPDIQNDSGVKNCDDSPQGDVYDEQLDLFISDTILPLMRSRLCEDCESSSPSSQDSPSEGRNFRSDAHEVDSEEPSSIGHGDLVRHNRILQWAKAKKYGSLQVVCQYYQLQCPARGSSLTFHPLEHLHPLNFHRPGETVLHIAGSIIELRSRDTSLEVAEMRNALFAEEESTALSTWAVASICGCLRLEHIMTLFAAALLEKQIVIVCSNLGMLSASVLSIVPLIRPYQWQSLLMPVLPIDMMDFLDAPVPYIVGVQNKASDVLNRLANAVVIDANRNQIKSASVPQLPQQKELLSALRPYHSRLVGESYLARKRPVYECTDAQVEAAKGFLAVLRDYLDTLCSNLRSHTITNVQSNNDKVSLLLRESFIGSFPSRDRPFMKQALTNQRGIFCELTVASPLMGPATSLILSSPPLDTEDALAVGPTRRHGRRPLKLVAPPRAEEGRREARSCGEEGGGGGSPPAAMARIW >Et_9B_065686.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:9161091:9161424:-1 gene:Et_9B_065686 transcript:Et_9B_065686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFDSPSKGWKATSCLCEG >Et_5B_045264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15661445:15663802:-1 gene:Et_5B_045264 transcript:Et_5B_045264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDSLFDVLLRLPAKDLCRLRAVCRPWRSLTTDSIFINLHTASHGPFFLVKFVHDPEHIHVMDLSGTVIKTFVNTSSAHQVMFTHLSLGCLATERNRCSVLNPSTGAFYLLPESPAPRHVNLVNLNNTYTVFTFGRFASTGVFKLLRVFNRPGYIGQQVFEVFTNNGRAADARWKSVKSPGRFVKPETSVVVDGVVYFLMMSSPKYHIPPDSIMSLDLRSNVWRMDLKGPISGDHEALKKHHDSFDRIALAELKGSLVLVYYHPLPDILDLWFLTDPENGIWAKEYSIRLLQEPRLHDVVQSCEEWRRDLMGLISGDPEAVKKYQNSFDEVALAVLKGSAP >Et_7A_051285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16688036:16689634:1 gene:Et_7A_051285 transcript:Et_7A_051285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDPPSSASTKTGFLKTCFNGVNALSGIGLLSIPYALSQGGWLSLAIFLAIAVICYYTGLLLQRCIDASPVVTTYPDIGALAFGRRGRLAVAAFMYLELFLVAVDFLILEGDNMQKLFPAASGGVRVGKLRVGGKQAFALAAALVVLPTTWFSSLSVLAYVAAGGALASVVLVAAVLWVAVFDGVGFHERGRLVHWAGMPSAMSLYSFCFSGHAVFPMIYTGMKDRKRFPMMLFICFTLSTLSYALMGIIGYLMYGDALASQVTLNLPSGKASSKVAIYTTLVNPLTKYALVVAPIAEAVENALGLRLAAAKGRPLLLRVAVRTALVAATATVALAVPFFGDVVSLTGALLSCSATMLLPCLCYLRLRAKVIIVRPSEKMYRLETAVCAAIVVVGAVIVGLGTYSSVKQIVRKL >Et_8B_058675.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:15571380:15571961:-1 gene:Et_8B_058675 transcript:Et_8B_058675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDQENTASRCTTKTAQGTHAFEIIGYTLQKGIGVGNFVRSGTFTVGGYDWAIRFYPDGVADGFQEFVTFYLELMSADGVEAADGVGPSTPAGKKKKKKGNLHQQKASLVAAVERKPKKAANKVTPDHFEKMLEGPCPNHPFPSSTCTRTAAFFASSSAGLRRGRTPGAPTPRRPRAVTSPSARSSRPMRSS >Et_8B_060370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10422998:10427970:-1 gene:Et_8B_060370 transcript:Et_8B_060370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMEENPPQPSLPHPSPQIPSIRPQPQPPDPAPAHLGMSQSLGAGGNPRMATRATSPHLGYRGHARHLQPLEMAHFATNQQDTQAGAHQGGGDRPAPLRRQLTVPEGRRRMVATNALEPPPSTTSRQRQAHSPDRAIDNTASSPPARAWPCPHAADGEPAHGETIWEAGAERWRCHTGNSAPAAENGEHTGEQETTRPACFTDAAFPQPASPDPCVVGIGILICNSSGPIAPAIEVQAKAHQVTTPLQAEGLALLLASRINAVLNPAGVSYRTDSSILASTLTRNNFAVEPGHWRLRPLLYEFKANTAGSATVVDKIPRNNNSPAHGLAQKAIRSAQHSNCIFTCSHLAHGNQCPVIEAFARSCKLGFLHPNSCKLSLIQ >Et_9B_065800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1371168:1373797:-1 gene:Et_9B_065800 transcript:Et_9B_065800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAAAMQLGVCVDLVRHIIRSGPMGFLQSTFSLLIGTGCGIYIAQNYNVPNIKKVVMDLLGQAKKMEESYQKPTNGKNKD >Et_4B_039224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8958585:8960942:1 gene:Et_4B_039224 transcript:Et_4B_039224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMARPSIMMKKLCSSSSALLLVLLCCFLLPGALAEERFYEFVEQEGTLWWHAHSSWLRATVHGALIIHPKRGLPYPFPKPHREFPVILGEWWRRDPIAVLRQSMITGAPPNVSDTFLINGQPGDFLPCSSQETSIIPVAAGETTLLRVINAAMNAELFVSLAGHKMTVVAADAMYTKPFETSVILLGPGQTTDVLVTAHAAPGRYYLAARASASAQNVPFDNTTATAIFQYKNAPGCPTGNAGAGFKGGPVGRSGSSGSGGHPTGRTGPPPMLPFLPAFNDTNTATAFSNSLRSPRPVKVPGPVTQEVFTTVGFGLFNCRPGPFCQGPNNTRFGASMNNVSFQLPNTVSLLQAHYHHIPGVFTADFPPFPPVFFDFTSQNIPRALWQPERGTRLLRVRYGAVVQIVFQDTGIFAAEEHPMHIHGYHFHVLATGFGNYDPRRDAARFNLVDPPSRNTIGVPVGGWAVVRFVADNPGVWLVHCHIDAHLTGGLAMALLVEDGESELEATVPPPYDLPICNL >Et_9B_064756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18303131:18306331:-1 gene:Et_9B_064756 transcript:Et_9B_064756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAVVRTILVTGGAGYIGSHTVLQLLQQGFRVVVIDNLDNASQVALVRVAELAGHNGANLVFHKVDLRDRHALEEIFSSHRFEAVIHFAGLKAVGESVQKPLLYYDNNLIGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLCATNPYGRTKLVIEDICRDVHRSDPEWKIILLRYFNPVGAHPSGYIGEDPCGIPNNLMPYVQQVAVGRRPHLTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDKIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVFAGRRPGDAEIVYAATAKAEKELKWKAKYGVEEMCRDLWNWASKNPYGYGSREDSSN >Et_4B_036131.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26449952:26450383:-1 gene:Et_4B_036131 transcript:Et_4B_036131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVLVISVPFIFFSILLDFGCYFLGKHKGREEMRAGVGAQIYGTPLPPPGVMGGSSPAAEPFPMKKEGAENV >Et_10A_001069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20846008:20850023:-1 gene:Et_10A_001069 transcript:Et_10A_001069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNRRVGAAALQMLICLCLLAAAASGLLDCPDYYSSSEAIIIDLGNTNSCVAGHHPGKPETAFQFCVPSWVAFTGDGTALVGEAAKNHADDAGPGTAIFGFKRLLGLRRNRIYHEDIVQGAIKRMPYKISTKDVDMTTVQVTAKDGTIKQFDITEIASMVIAQLKEKAEEHLGRKVEYAVVTIPMHFFDAHEWATRFAGKRAGLEVEVDDMVTEPVAAAAAYGLHRKLREDGNVLVLRVGGGTADASVLTLMDGSFEIFGYQNDNFFGGDDFDQRVVDYFVQLIKTKHGKDISEDPIALGKLRSACERAKKALSSQDRVQVSVESLFEGVDFSEPLLRSEFEQLNDDLFNKVVALVDEAMVDAELKKNMIDEIVLVGGSTMIPKIQKLVKDYFGGRELNIKVKPDEAIALGAAVLMLICLCFLAAAASGLLDLPDYYPPGEAIVIDLGNTNSCVAGSYPGKPETAFQFCVPSWVAFTSDGTALVGEAARNHADDAEPGTAIFGFKRLVGLRRNRIYDEDIVQGAIERMPYKIGTRDVDMKTIQVTAMDGTIKQLDVDKIASILIAQLKEKAEEHLGRKVEYAVVTVPLHFHDAPKWAIRFAGKLASLGIEVDDMVTEPVAATAAYGLHTKLREDGNVLVLHVGGGTADASVLTLMDGSFEIFGYQHDNFLGGDDFDKRVIDYFVQLIKTKHGKDISEDRFALGKLRTACELAKKALSSQDRVQVSVESLFDGVDFSEPLLRSEFEKLNDDLFNKVVALVEEAMVEAELKKNTIDEIVLIGGSSMIPKIQKLVKDYFGGREPNVRVKPDEAIALGAAILVHL >Et_3A_026775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2035605:2036111:1 gene:Et_3A_026775 transcript:Et_3A_026775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKSCVYAWMIAYLALYWLGSSGLGLDDLLRMEHLPSLEEVHVELWYRKEDGAGRAAEDHPNRLALRITTRRPEGNQVLSLPIK >Et_2B_022592.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27215182:27216294:1 gene:Et_2B_022592 transcript:Et_2B_022592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRACITDPALSIGCLVGLQALHEAWASACAGTGKQTILIPKGDYLTGAVNFTGPCKGDITIQVDGNLLASTDLSQFKANWIEIMRVDNLVITGKGKLDGQGPSVWSKNACAKKYDCKILPNTLVLDFCNNAVVSGITLLNAKFFHMNIFQCKGVTVQDVTVTAPGDSPNTDGIHMGDSSKVTIAGTTIGVGDDCISIGPGTSGVNITGVTCGPGHGISIGSLGRYKDEKDVTDINVKDCVLKKTTNGVRIKSYEDAASVLTASKIHYENVQMEDVANPIIIDMKYCPNKICTSNGGSKVTVKDVTFKNITGTSSTPEAVSLLCSDKIPCSGVTMDNVKVEYKGTNNKTMAVCTNAKGTATATLKELACF >Et_3A_024779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24083937:24085964:-1 gene:Et_3A_024779 transcript:Et_3A_024779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCDVSKMDKPTRAYVMARRVEMARMAALRTSLGESGSESGGSVFQVDGVSHQFHSTGRSPT >Et_2B_019266.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20744964:20745071:-1 gene:Et_2B_019266 transcript:Et_2B_019266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARVVAQGATVALMIGSAYYYGDQIKLFKKGSSP >Et_5A_042943.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:7297930:7298157:-1 gene:Et_5A_042943 transcript:Et_5A_042943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSRVWAAATVAAVRSQRDRVPAAAGARGRLAGLVPQAAALAAARAAADDGRRLAGADDSLRRAMYLSCWGPS >Et_6A_047652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9164727:9168479:1 gene:Et_6A_047652 transcript:Et_6A_047652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSWRAAVPLAMAAAVWVLAVACVSAFPAEDLVTKLPGQPMVSFRQFAGYVDVDVKAGRSLFYYFTEAQEDAAGKPLTLWLNGGPGCSSVGGGAFTELGPFYPRGDGRGLRLNKKSWNKVSNLLFVESPAGVGWSYSNTSSDYKTGDKHTAEDMYRFLLGWYAKFPEYRSRALFLTGESYAGHYIPQLTDLLLTHNEKSKGFKFNIKGVAIGNPLLKLDRDVPATYEYFWSHGMISDEIFLAISHSCNFEDYTFDSPHNESKSCNDAIAEANGIVGDYVNNYDIILDVCYPSIVMQELRLRKYITKISVGVDVCMTYESILNYSNTDGNINILPILQRIVEHKIPLWVFSGDQDSVVPLLGSRTLVRELAHTMGLHVTVPYSNWFRKGQVGGWVTEYGNFLTFATVRGASHMVPFAQPDRALGLFRSIVLGQRLPNTTNPPID >Et_4A_035185.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:1151378:1153621:1 gene:Et_4A_035185 transcript:Et_4A_035185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQRSLLALLSLAFLAVAYAQERKNYVVHLEPRDDGSTDSVEEWHRSFLPEATLDSAGDDGPRIIHSYTHVLNGFAARLSDAEAEALRNKEGCVRLHPEEFLPLATTHSPGYLGLTLGKDGFWSRAGFGRGVVIGLLDTGILPSHPSFGDAGLPPPPKKWKGTCEFKAIAAGGCNNKVIGARAFGSAAINNTAPPVDDAGHGTHTASTAAGNFVQNADVRGNAHGTASGMAPHAHLAIYKVCTRSRCSIMDIVAGLDAAVKDGVDVLSFSIGASPGAQFNYDLVATATFKAMEHGIFVSAAAGNDGPVAGGIGNGAPWMLTVAAGTMDRAIRTTVKLGNGQVFDGESLFQPRNNTAGRQLPLVFPGRNGDPDARGCSSLVEEEVRGKVVLCESRTITEHVEQGQTVAAYGGAGMILMNKAAEGYTTFADAHVLPASHVSYAAGSKIAAYIKSTPKPTATITFRGTVMGSSPAPSVAFFSSRGPNKASPGILKPDITGPGMNILAAWAPSELHPQFADDVSLSFFMESGTSMSTPHLSGIAAIVKSMHPTWSPAAIKSAIMTSSNVADHAGVPIKDEQYRSASFYAMGAGYVNPSRAVDPGLVYDLGINEYISYLCGLGLGDDGVKDITGRRNPCAKVKVITEAELNYPSLVVKLLSHPITVHRTVTNVGKANSVYTAVVDVPKQVSVVVQPPMLRFTKVNEKQSFTVTARWNGQPAVAGAEGNLKWVSNEHVVRSPIVIPPANAVA >Et_8B_060285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8602013:8602741:-1 gene:Et_8B_060285 transcript:Et_8B_060285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSNSLLAYVLFIEPDLVPKGTLSCSWHGISGTQAAFQEDSVGVYVTAFCEMEQDGNKRKRYNKFLAGYDFLDTSLRRLHVYIWYNATFSRDNSMTVLYVARFG >Et_7B_054342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20064398:20064901:1 gene:Et_7B_054342 transcript:Et_7B_054342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NTEKIGAATKKKDEGNVWFKIGKYAKASKRYGKVEILIFCIKLVMVLESFELRGMTAPSVKKKKQMSKALKISCKLNNVACKLKLKDYMGRNCVLRRTQAHLGLVDLDLVEEDIKRALEVDPNN >Et_4B_037045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1445632:1450314:1 gene:Et_4B_037045 transcript:Et_4B_037045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGHNTTTPHVRKAGPRGRANLTQPKGPRKKIPNARKATRTPTRSQAITPPPPPPPNLAAPPPPSRPPMAKTRKPPPPPPPPPAPVETASPQRRRKKKGRPSLLDLQRRSLRLQAQNPSSDPSPSRRDPNPSDDDEDGTGSGRRREKRLRSVLAGGVKEEPGEGKKDAAKATGKGDAASAGGPTGTPLPDKKLLLFILDRLQKKDTYGVFSEPVDPEELPDYHEIIEHPMDFSTIREKLLNDSYYTLEQFENDVFLLTSNAMSYNSADTVYHRQARSIEALAKKDFENLRQPSDEEDEPPKPTARRGRPPKNPRIDDDVSPDLSNKKAIKSEDNAETMRKRLGDKSRNTGTPTKDSPTFHNMLGSCSARRTDKIGEYSGSSKWGKKPTISDDEPRSTYDQHYSHSSSLFSVLNDERKLLLPVGIQQQHAYARSLARFAAKLGPVGWDVAANRIRRALPHGTNFGPGWVVDGEPPQNPQQPPDIASTNPSKSTAPPSDMTSKNDVLHHKPALSPNGNITGEGHLSRTQTVASTSSGLDNCSEVVSKVKYENGANKSCGGMNNNAGPAHLLQHQSHSRELHSSLDGFTAVPNTLSQFGGQGMFGSGIPMTHAQVLGMFSAVNGRTNGYIHGHPLTADSLKPAQNGDIGKATSNPVHDAGHDRKIALPLNDNNPAASLNGEVQSSDTPPRGKIANAKQPELALQL >Et_2B_020790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23629343:23637503:-1 gene:Et_2B_020790 transcript:Et_2B_020790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVPVRAMDLNRARASQASASSLRQLTPSPRPPSLHPSIPVGRVAEGRWHRGPSPSIFSLPVARICKIPTVAGGDNDALVLKEVYLLILAFIVGPYFCLKLIDVHRESAVLCEASDVSPSQTTTEFSLCPTVCYHFSSCRGSCMFHSLCLNGQEFLIVLENGIDLSLFQGYNISLLEFLDEVPFLKKKKIVGSKDDEEHVFVRNIGKSIIRSIFAFLIELFSSGKCVKAATEFLDHRKILLKGSSVKLFGVEFVNYEEEIAKENVNQVVGLIIGCFPEGLVPCELMEMLERLQLLEDPKDPLEGLKLAKDDASLLIPKERRELMIHLHTEYMTNLRPLLNDDGTSEKCNSFFAECPYLGSWIGTMQKNKYLAAVANYNTDFVAMRCSKKTVEEGKEGQTGEFQFSIMRNCDVHIPENVGNDGITPFRLSWTDYMRTCYFPNYLSFIQRSMEPYKLTRLKPIAENPYGLSKGMFITLSKITLLSIQVSNVTKEKAASTEAVKDNGRIKVSDAYIVKAATTGEG >Et_1B_011689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2559824:2562204:-1 gene:Et_1B_011689 transcript:Et_1B_011689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVATASATAATRFSFLAGSSLRARSRLPTAVRFQRRGLTTTALLKTVELKPKEQGQPETLDYRVFLVDGGGRKVSPWHDVPLRAGDGAFHFIVEIPKESSAKMEVATDEAFTPIKQDTKKGNLRYYPYNINWNYGLLPQTWEDPTSANAEVEGAFGDNDPVDVVEIGERRANIGDVLKVKPLAALAMIDEGELDWKIVAISLDDPKASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANRFGLGNKPANKEYALKVIEETNESWEKLVKRNIPAGELSLA >Et_9B_065072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2106771:2107229:-1 gene:Et_9B_065072 transcript:Et_9B_065072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHGKVKLQWVADRKPPALCWRGGTTFPRYICNIPGAVMVYGGETDPPATSPPATYVFVNVHASDSSVEKLYPEGFLCERVEMLCKVSNMNLDSMPNDLAADVRAELELRMMDLSNCMKLLMAAQDPLIQGKKIIKISNILSGL >Et_2A_017145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31316385:31320493:-1 gene:Et_2A_017145 transcript:Et_2A_017145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAALPEWGTVPRGGDAVEQLAAVWRQVRAPVVVPLLRLSVAVCLAMSVMLLAEKVYMAAVLLAVRLLGRRPERRYRWEPMRDDLESGGDAYPMVLVQIPMYNEREVYHLSIGAACGLSWPSDRIIVQVLDDSTDPVIKELVQAECQRWASKGVNIKYEVRDSRRGYKAGALREGMKHAYVRGCDLVAIFDADFQPDPDFLCRAVPFLLHNPDVALVQARWTFVNSDECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRISAVNEAGGWKDRTTVEDMDLAVRASLKGWKFVFLGDLMVKNELPSTLKAYRYQQHRWSCGPANLFRKMLMEIVRNKKVTLWKKIHVIYNFFIVRKIIAHIVTFVFYCIVIPATVLVPEVEIPKWGSIYIPTIITLLNAIGTPRSVHLVVLWILFENVMSLHRTKATFIGLLEAGRVNEWVVTEKLGDALKMKMAYKASKKLRTKIGDRLHVLELGVGAYLFFCGCYDLAFGNNHYFLFLFLQSIAFFVVGVGYIGTFVPQS >Et_4B_038042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25713762:25714996:1 gene:Et_4B_038042 transcript:Et_4B_038042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAELLHWCSAYQAVPAPDEESEIVAQFLAAPYPYQNDDDDQDQKQLGDIRASSTYWPDHVTDPAGAGACYWAVNADSSNGDSNAGSGGGGGYPVVPNPSPAGHIRNGHLGGGNDGVAVSRPKRKGPAGRDGGDLGGHKKKKAATSKTAQKCVQEKAQSSSSCSGNESNCSEAHVGGGNVKTRGSKGSATDPQSLYARRRRERINERLKILQKLVPNGTKVDISTMLEEAVQYVRFLQLQIKMLSSDEMWMYAPIAYNGMSLGIDLKISSPQ >Et_8A_057956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1044652:1049416:1 gene:Et_8A_057956 transcript:Et_8A_057956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFADFDYVLPHYVIATLPCCDKQGVKRGPWTAEEDKKLVSFILTHGRCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDVKRGLLTAAEEQIVIDLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPATHQPLANSNAATSQSTNTIESAKSSYSGDVQSLKECRQETMQIPTYSYEQSSQPEQSSNNCSHDLDQMVNWRLESDLPIDEPWPNFTSSSHDELGIVADQLPWGGPTDWLLDYQDFDI >Et_1B_012699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34574309:34575291:1 gene:Et_1B_012699 transcript:Et_1B_012699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAELLDDDVVAEILLRQQAVAAGAPRLPGRLQDARRQPEPCGLYFHRPLHERDPPVPPRSGSHYYIVSLRLPGPPLVRPSRGREQRQDGGVRHKIARPPALLVGNKRHHLRLLDMDGTLAVSAVTAGPRWRCMDVWALQDSKDGESTGWSCCLRTDFHPLDRSTRSLKRARGQPSTESPTRVRKSVTNFVLGRLGFRERGSIRRGEAAAAMAERIASVREMPYRSLAAGSHASLAAGSASGYANSPADHVLRLFHTNPAAGLLKCVTELGSPAGFCSLDWAKPAEATYWRSASAVGLIAGGLENGTVAIWDPRSKLG >Et_2B_020946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24991997:24993449:1 gene:Et_2B_020946 transcript:Et_2B_020946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGGGEGGGGLKKGPWTQAEDKLLVDHVRRHGEGNWNAVRRETGLQRCGKSCRLRWANHLRPNLRKGPFSPEEERHILRLHGLIGNKWARISSHLPGRTDNEIKNYWNTRLKRRQRAGLPLYPPDIEREIAVLRVQNVNPFADTEHTTNNTHAVLSAPLLFDASNPFALPPPGAHSPLINQNYPLLNQMQGMQQQQLHHFASQQGSAAPQPVFHQDGHAGFGAGLPPLPNRVHELPSNQFDTANGGGGGTGLLESLMLGDGHLRANTSMFRVGSMPELMNREPGSRMLVHGDSDVTSQCAPGADLHHGGKWDFVLEDVKPAKRRAASEVELDMSDMLSTFPGSIPADWFSTGGGSTAPSPGSASAVTDDEFNLEMQQLMTSLPLSLDEHNWNAQI >Et_4B_038400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28789031:28793770:1 gene:Et_4B_038400 transcript:Et_4B_038400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGTQNKSGPVHASPNWQNTADGDVLSTPKRDAADSDNTSSYVQGRSKGSEGSSNKKAKGSKNSEENDLPSKTTQNKNVRKKLSRRVSDKKVSVEHTLLPGWAENVWEKARCMACMAASIFRASMIYVMEETKRFIDRKRPMINALMALVNKGRAYAFSKIEFVYPIVRSLMLNAGRLMLLFLAVWLDCNIRGFDSLLRLGTNSLLAVLWCSILSVFAMIGIKKMLIFVVIAASAVAIIGLGFAILLMSLLAVVILWFYGSFWTTSSVIVLGGAFFLLEHERLALLVTSIYSMYCARSYVGWLGLLLSLNLSFFSSDVLVQFLKNKVDNRKSDGSSKNSEQSSGRPSNIFEEFQQSSADSTSQSGYARASDRSPGDPSTSGAEKELTSEDEVARLLSCTDHYSALGFRRYENIDVSSLKREYKKKAMLVHPDKNMGNDKAADAFKRLQNAYEVLLDSLKRKTYDDELRREELLNYFRRFQSVSQKNGRNGTFQHGFRPSEGVDEGPFGLSRRIACKRCGDFHLWAYTGRAKSQARWCQDCNEFHQAKDGDGWVEQSFQPILFGMLRKPDLPHAYVCAESYIFDVTEWFSCQGMRCPANTHKPSFHVNASVAKQSGKGRGGGIPNGTNVDGGMDEEEFFEWLQNAVQSGMFETTFPGQREPPSPGSGSNAKGSGNSSNRKKKKGKRQW >Et_9A_063033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8863102:8865136:-1 gene:Et_9A_063033 transcript:Et_9A_063033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAKVARLTTLALFSPTPNPSRSRLVKPQSRAPPPISVSMDPALVDPAHLQALMLACSHSCALRLSPAPAPAEPAEPVDIRKICTALAHSFVVVSVFCSARFLDDAGDGQRFLGLGLDLGLGQRGERRLVGFGRAVSDLGLTASVHDVVVHPSLQRRGIGRKIVDKITRVLHSRGIYDISALCTEKERPFFEACGFGDDMMGSTTMLYTRKAHKWNSCIDSPVSE >Et_2A_015883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18732056:18732944:-1 gene:Et_2A_015883 transcript:Et_2A_015883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDDDDELHRRMEEGIGNLWVPAGGDDDDAGEAFTIVRQPSHVHATNSRACTSGASSPSAARAPAACRATSGASILLRQREEPPSAGLLAACVRREVRAMEVRARRCYGEPLAIGSDEFVQMLLLDVRLLRRRVPVQVGADNKNDATRRWARRSLYGFRTGMISRPVHARADAAGHPVRDVTELQQFGVAFREKESPSGSQFDVTFRGGTHGGDPLRAWPSTHDGARILLARSEQGAGDWKEGIVTRSYVVLMNARARQHGRRRRGTCSSAAASSTTCSISNDEAAD >Et_4B_039976.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7007006:7008193:1 gene:Et_4B_039976 transcript:Et_4B_039976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAEEMQVERLHDEADPGGADTDKLSYEIFSILESKFLFGYTDPHQLWLPKPAPALAQASAAVAAPGKAAQRGKVCVLCVDGGGGGLRALLAGRALAHLESALRRASGDPDARVADYFDLAAGTGAGGVFAAMLFSTHSRGAPLFHADDAWRLVADHAPRLFRAPPGGGGASSLFRRAKKRPLAAPTAALEASMKATFGEELTLRDTIKPVLISCYDLKTSAPLVFSRADALENESYDFRLCDVGRAAWSEAGRFEPAEVASVDGATSCAAVDGGPTMGSPAPAAITHVLHNKHEFPFVRGVEDLLVLSVGGCSGGGSGAAAEADLRRMRRWGPKEWARPIARIAADGAADLVDHAVARAFGQCRSSNYLRIQVSEFSRCTSISSSFLVVLPVC >Et_6A_047998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3076847:3077481:-1 gene:Et_6A_047998 transcript:Et_6A_047998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTEDEEGGVVLRGDTGRAWQLPQLGHCEFVWYLNMYGTANTMGKGKDCGNHGDDEIKDTYVPAPAVPALRPGRHRRHHRAHRLPRAPPHAPSLPPPGRHAEAARPRQRLRRPLHGAAAASACTTTVLTGPNVPFVAYLAGALGKDVANGRVRWKVGSWTSGHYHIFVTCPAYYITSGGNGVQGASGLKFQTATYCHVVV >Et_1B_013818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18134966:18137328:-1 gene:Et_1B_013818 transcript:Et_1B_013818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGALPELLFVLGGVVALWLAWRALEWGWLSPRRLGRALRAQGLRGTTYRFPDGDVKEEARLASAERAKPMPLQSHGISARVQPLVHNTIKEHGQISMVWTGPTPSVILGDPKLVGEVLSTQFRNLKKSLLPSRLIKLIGQGLLIHEGEKWEVHRKIIKHAFLLEKLEKMGPAFIACASEMVSRWEDSMGTGKEKEIDVWPELQDLTGDVISRAAFGSSLTEGRRIFRIQSEQVQLASHMTNLYIPGFAFLPTKLNRRIEANAREVETLLKGIIRKKETAMKNGYADDSDMLGLLLQSNAKNSLENGGSKPTMTLDDIIGELKLFYFAGMDTTSVLLTWTMIVLGMHPEWQDRAREEVLRVFGKKQLDHDSANQLKTVTMILYEVLRLYPPVPLLERGTYKEMELGGVKYPAGIKLVLPILSIHHDPAPWGEDADDFKPERFAEGISKASRDTPAFFPFGWGPRVCIGQNFALLEAKIALSMILQRFEFELSPAYTHAPFLVSTLQPDHGAQIKLKKI >Et_10B_003882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7093029:7097495:-1 gene:Et_10B_003882 transcript:Et_10B_003882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRPAGPRATAPRGGGGGSGGGGGRRNVSAASGAGTAPRHEQQARARAAVEAAEAAARAEEARRAEEERRAREEEARRAEEDRKERRRRRLEEGRKRAEREEKRRMDAARRRLGIAVSDAAGGDDEGGGTQKRPVYQARKSKLQPKRIAQSEAGVGGVQGLQLPFEEEQSNAPPEDNSVVRPASEASEEQSPGLLEENDGDDDWDNKSWENFDTLLHDEKGVETEKSHVISAVSVNSVSVDEEIDDDEVSIPQGEGSSNGTDRELRAPICCILGHVDAGKTKLLDCIRRTNVQGGEAGGITQQIGATYLPVENIWERTSLKAEATIKVPGLLVIDTPGHQSFSNMRLRGSSLCDVAVVVVDITRGLEIQTIESIGLLKRRNVKFVVALNKVDRLYGWKACPNAPVLKALKSQSDDVQREFKWRVTEVITQLKECGFNSALYYENKKIKEAVNIVPTSAISGEGIPDLLLLLVRWVPEIMMEKLTYANTVECTVLEVNEVKEFGTTIDVVLINGTLKKGDQIVVYTKQGPVTTSIRHLLTPYPLKELRVKGSYERHEELKAAQGVKIVARGVPHALAGTSLAVVGTPISVCVPRKDRGAHTVHGLGRIASIKTSNGIEIDSAKKGEVSVVWTPSNELLSQISRRSIDVLKDYYREMSTLIFKGCDFFRLQDEMSDENWQLMRRLKKQFGIA >Et_7B_054073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1485820:1491987:-1 gene:Et_7B_054073 transcript:Et_7B_054073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAEPEKDAAAAAGDGEEKAEATGGCSGWELLYCGGTSFDTMGRKVVGGPQGNLVSPTRLRPLVGVDIRFVASGCTACHCVALDAEGRCYTWGRNEKGQLGHGDTLLRNLPTVVSELSKYKVVKASVGRNHTVVVTDDGKSFSFGHNKHGQLGTGSLRNEIESSPVPCLVSEATNAVCGADFTVWLSSVEGSSILTAGLPQYGQLGHGTDNEYNAKDSSVKLQYDPQPRPRAIATWGFGGYGRLGHREQKDEWQPRLVEVFQKHNVLPPNAVVSAGSASSACTAGGGQLYMWGKLKNTGDDWMYPKPVMDLSGWNIRCMASGNMHHVVGADDSCISWGVAVHGELGYGPNGQKSSANPKKVDILEGMRITSVGCGLGLSLIVVDRANFEDRLDQLEIYDGDTSTQVEEAEVQGTKKKASASTNSRANKRKKNKDLSDSEEDDEDESGDDENGEATEAKGKRGRKPSNRGRGRGAKKATPEPKPAGRGRGRPKKTESPAQKSGSSGRGGKRGRGRPRK >Et_8A_057854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8374643:8375398:-1 gene:Et_8A_057854 transcript:Et_8A_057854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTWKGLEWQRPWMWPWSPAAQSTAPNSSGKGLTALIAGDRIPHQEIEENPVQNKDAAMAAAHDSALSRFLESQREARGRDAVWQIQRRAELNVEVLVVGAETEQGRLPVVVADAAEEGSETRLRHRLQTRETRGSEDGRAGRRRRISERIRFGGAGLGRLVMRPTTSSWMRRLWDRGRCDVT >Et_7A_050337.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:4612350:4613434:1 gene:Et_7A_050337 transcript:Et_7A_050337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTSSACATTLSLTSCTVSLADSQVPFAACLAFSPTACTVSATLLAPSLVISTTFSPADMVPCTARSLASPAPSMARSAASPVRSLAALAVSCTRSAASWPISLARSFTCSALSAASRAASFVSCFAFSVARDAASAASLATSLVLSLARSAMSEAWSAMRPPFSCARSLASPAIPFTCSLASPVASFASDAASWYLSLMSLRSGALLYAWSTGVTGSRMSASHLRRNRIHTESLRDSVRIPETILG >Et_6B_049520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:624550:627023:-1 gene:Et_6B_049520 transcript:Et_6B_049520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSWRHHTLLQALLARGPLPEPDFHAVFTGISGKNPATHQQLFNDTLLKINKELAYLQFELRACINQYDGMVYYGVVNNIADEESKLGTKYSVPQIAFYKGLLEAIVHETGNDGSITDIDALNVRLGNQVVIADADSSQDSQSRLPTSITNFTLTQKEKTLTELIRDHWLSYTSSGKIGLGIRSFLDLRSWFRGNDIPSCVVCNEACIKASRACPGCGTEWPRQEGEVDGDDEVNEPGEDEAPSANCSSRKRRKGVKAELVEENENAGPSTAAMPRRGSRKTKAEAVEAAQEASAAGASQPTRTSKRRKK >Et_3A_024285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19182488:19186150:1 gene:Et_3A_024285 transcript:Et_3A_024285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRTRKRTRQAWDGDAAPPPEREVVPRGGASPPWREDDRDGHYVFDLGENLARRYKILSKMGEGTFGRVLECWDRETREYVAIKVVRSIRKYRDAAMIEIDVLNRLAENEQYRSLCVQIQRWFDYRNHICIVFEKLGPSLYDFLKRNRYQPFPVELVREFGRQLLESVAYMHELRLIHTDLKPENILLVSSDYIKVPSSKKSSQDEMHFKCLPKSSAIKLIDFGSTAFDNQEHNSIVSTRHYRAPEIILGLGWSFPCDIWSIGCILVELCSGEALFQTHENLEHLAMMERVLGPLPEHMIRKASSSAQKYFRRGTRLNWPEGAVSRESIRAVRKLDRLKDLVSKKADHSRAALVDLLHGLLKFEPSERLTAQEALDHPFFRNPT >Et_5A_041512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23968696:23969820:1 gene:Et_5A_041512 transcript:Et_5A_041512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASIMASLGPKPCPSPFLERPRLRGVQPSARSSSFRVMAKKAEKIQTSQPFGPAGGLNLKDGVDASGRPAKGKGVYQFASKYGANVDGYSPIYNPDEWSPSGDVYVGGKTGLLLWAVTLAGILLGGALLIYNTSAIAS >Et_8A_056081.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17569870:17570328:1 gene:Et_8A_056081 transcript:Et_8A_056081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCANMITANEAFGQVCSRELQDQFLSAIHVVLKITNGFLFGDLFLSLWFVDVVTGVRRQWWRVCWQLDIIFDKIIARCETQLGDDLVSVLLRIMDKGELEHPIGTTNIKAIIYTGKLGRLPTHFSGKIFIFLKNMFMKVDHDDASLFFSNP >Et_1B_010317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7087763:7088143:1 gene:Et_1B_010317 transcript:Et_1B_010317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGEFVSVYAQYDIQVAHAGRDEDDTLPSPAKAAAASALAFAAGAALPLLSGGFVRPWEARVAAVCAATSLGLAGSGARVLVGGWLAMAVTYGVLKLFSLAFKTNVSSA >Et_5A_042931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7133974:7134639:-1 gene:Et_5A_042931 transcript:Et_5A_042931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQREADAPSGANTGTSGGGRTVKLKDLVPAPTNTVNTTFIVLDKAAPRPPHAHGAGAEAATTCLALVADETAAAHFLLWGGECGAFEPGDIVRLTGGIFSYVWGNRLVLRAGRQGRAEKVGEFTMLFVETPNMSEIRWGVDPGDRRRMVQEAVVSPYSQVFKPPR >Et_6B_049509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5951077:5952531:-1 gene:Et_6B_049509 transcript:Et_6B_049509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMLMQTVQMAEALLHAVSKIGSTLAEEATKAVINKLSEKIKNLKELPGKIKEIGMELKMMNNHIKTIRTPNLTDESIKDWIAEMRETAHHVEDVMDKYAYHALKLEEENKMMRVFSTAHYVKIFSDIADEIIEIENKINNIMRRRDRWLQGPQFIPNILADVERKKPQDCFLETVQDNLVGNEDNKRQLTEWLYSDEHCTTVITVSGMGGLGKTTLVRNVYEKEKIKFTAHAWIVVSQT >Et_9A_061432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11738238:11741803:1 gene:Et_9A_061432 transcript:Et_9A_061432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASPFAAISRDQPVPELSSPTAVRVRVVATSINFATFLQVQGKYQERPPLPFVPGSDYAGVVDAVGMAVHRLRPGDRVCSFSGLGPIVEFGSFAEFIVAEEKQLFSVPDGCDLVAAGALPVAFGTSHVSLVHRAQLKAGQVLLVLGAAGGVGASAVQIGKVCGAIVIAVARGSEKLQFLKSMGADHVIDSSKDSIIESARSFLKARGLKGVDVLYDPIGGKLTQDSLKLLNWGAHILVIGFTSADVPVIRANLALVKNWTIHGLYWTSYLVHRPAVLIDSLNELLSWLSKGLITVQISHTYRLNEAHLAFSALRDRKVVGKVMIVMDSSVKSRL >Et_5B_044587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3880317:3887769:-1 gene:Et_5B_044587 transcript:Et_5B_044587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAPLDYALFQLSPRRQRCELVVSGNGRTEKIASGSVKPFVTHLRAAEEQAAAQPPPPAIRLQLERRAAWFSKGTLERFVRFVSTPEVLEMANTFDLEMSQLEGARKIYAQGGAGDASSGGPAEVTASAAAADITKKELLRAIDVRLSALKQDLATACSRASSAGFNPNSVSELLLFANHFGASRLSEACTKFMSLCQRRPDISPQNAPPTVSSHWKGFDDGNVRGSSSSDMSIDEPQVDLGGSSDKSTVDRSDTRVHRPSNSQGSVHAASEPITEQQPKPAMQHAVDKQETETDVSPAPAVGGLVRRLSVKDRISMFESQKKEQTPSSGNSNSAGTSRVVSGKGEHRRVPSGASMDKLVRRWSNVSDMSIDLSNNDSSSLNEKKEDGTSIGTPTSTDLEGNSKLRADKDSSGLKDSVTSQFRPCEKDGMSMGSASTNTCSSSVSNDTPAPHKQTKSRAQDDVVLNTGFESESSIGKEQGQCDTKVSDHAAPSFSTRNQLKASPKPIEDAMLKDKDILTSSSPEEHVRMVDKEITAFAHKVLDSSEQIGPNNSRGPRLHTKDIHTEADMIGKKDRPSRSFEKISGGVKPKSKAPSSSRINFRGSSGREEIASTETGGHDVSLQRNHLPADDIGRKVISGSDSDCSGRQGTNLSRQSSNADQELNLQARVMRPVKGSQDRHGELQIMANELDKLYAAHKLTSSRRGKSTDVQVDNTPVVSEVKPVPVLPEKIYTKQIVKESITTNDFDANELLKMVNNQGYNSIPQKFGILSLEESRGKFYDQYMQKRDAKLKEDWKLQREEKEAMLKAMHESLERSKAELQAKFSRSVTPDSAYVSRSQKIPPLQSTRKNKNQGADSFLVEEELNSDYLSGDGSSRSADSRKHFSHKVVSAQKASAPPVHKRSSRTVSSGYANRRNPPENPLAQSVPNFSDFRKENTRPAPGLSRATARGQQKSFARSKSIIEESKSILKEDQSRRSQSMRKSLIPDELKDISSVDEDTYNWAPSRISNNQPEGAFGYNSRRTGPPKAFLRKGNGTRPAVNIAGFQAAMMVNALQNGDSGDFEDHLEDSPDDAKEEEEYESTEENLRESDFPADSESENPRGSHEFGNSDDPGSENGDVSFPSEAPNLGGAKFTAFAGNMHDSTGDLPAPWSSRLPQLFPYANDNSDGDAFADSPSGSPSPWNSHSLDEITDADVSRMRKKWGSAQMPFVGANASQQSRKDASKGLKKLWKFGRKNRGGDGLVNDWVSASTASECDDDMEDGRDLVVGSSDDYRKSRMGYVASYDGFVESDGFGEQEQSLRSSIPNPPANFRLREDQLTGSSLKAPRSFFSLSTFRSKGADARLR >Et_7A_050403.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:13075118:13075240:-1 gene:Et_7A_050403 transcript:Et_7A_050403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGQIEAAMNGFGIQSQMAVLALGSKIKIPPSFAMIHR >Et_9A_062921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6701001:6706342:-1 gene:Et_9A_062921 transcript:Et_9A_062921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREHPGSKISSSCSSELTDGKLEERRNSTSRSCPSCGHEIDCNTDMIGMPAGVKFDPSDQELIHHLQTMVDEEGSRAHPLIGDFIPTIQGEDGICYTHPENLPGENGLSKHFFHRSSKAYTNGTRKRRKIHAQRNLNSSDNAVEMRWHKTGKTRPVIVGGRQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGDQEKDGELVVSKVFYQTQPRQHTATAAEQRMMNGDREAEASETMRDVLSGCAAATATSSIVLMQQQQQRRMNQADVQFSVPHSVKRSHEVSVGDQESSDEGEQNDDHNIPPQHQEEKQQKEEVERRFAGLEELIQMQF >Et_1A_009225.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:34327574:34328809:1 gene:Et_1A_009225 transcript:Et_1A_009225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEVLALSPQALLDPGHLFVCDVCGRRYHRVYSLHRHRRLLHGVTASSGKEETLPDPGRRKTRLAFVCPEPTCRRHDPDHAMDNIPRLKKHFRARHAHGHGGSASSSGQAARSSMEHQDTRRDASQPRSERSPSPGEGVTVAASQQLHLHPSVASSVSPSCGDDLGASATAAATGAAVHLDAFDLVVFTPLTPPERPVAHHNIVQELQLMPPRGSCAAPGAVWWPAPPRSHAVIPQLELSLWFGAGGGNSLASSAPAQSVSSAARLKHEAREQLRLAAAEKAAAELARAQARRQAELAGHELAIARRVRAQAQAEFTSAHAFRRDAARQVDAMLLQAACGCGSCRHKFGGAMAAPATATTAMMSSKVASYVPSVVVAEVDNDGGHLRADLAEVDNDGGHLRADRGRANPC >Et_4B_036334.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:18433702:18434145:-1 gene:Et_4B_036334 transcript:Et_4B_036334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VAREGQLTKLCHQTDPGAIGVSFASCNPLFLALCKNCKRGATTNTGTTLEISACSCRFILSTTKLIKLDHSSSGNDTHLCRRQWPHLSSSVTSFIQQGPHSGNLSSSLYAGELGSQPAEPEESFIRVVVARPRRDMGLRVERAELVV >Et_1A_007853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38904766:38912141:1 gene:Et_1A_007853 transcript:Et_1A_007853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSPASSAVAPAASTGGGSCEGSSLTFTLRIPVASFDGTRRALRRRRVRQRTRIAFERSYRVARRSRERCLLFSWGSVTDAAGCAGERKAPAINADLWYACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKDIDAHVPSYPNLPSKLICLLHSVTLHADPDTDEVYAQMTLQPVNTMTLQPVDTLALIQYGKEALQLSELALKQARPQMEFFCKTLTASDTSTHGGFSVPRRAAEKILPPLDFNMQPPAQELQARDIHDGVWTFRHIFRGQPKRHLLTTGWSLFIGGKRLSAGDSVIFVRDERHQILLGVRRANRQPTNISSSVLPSDSMHIGVLAAAAHAAANNSPFTIFYNPRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRTRVSMWEIEPIAAPFFICPQPFFGAKRPRQLDDESSEIENLFKRAMPWLSEEICIKDAQTQNTTMPGLSLVQWMNMNKQQSSSFANMGIQSDYLRSLSNPAMQNIGATELARQLYMQNHLMQQNSVQLSAPKLPQQMQGTNELSKGALPLNQLDAIVNQQEQKQDAASQQRQQHSSNQAIPLSQDQTNLVQAQVVFQNPVQQQQPSPTKNKQGTSSQPLLLSHQQQDQNLHLHQQQQLILQQLQRQQQQNPQQLNNFPSQLVNLAGQQTQLSDQELQLQLLQKLQQQSLISQPAVTLSRLPLLHEQQKLLLDMQQQLPSSHSLSQQRMIPQQDSKVSLHASPAPPIVKQDQQQKLLQKQVVLADVSDVAFPPISSTHVVSTAGSPMIRVAAQSVISEEVPSCSTSPSTANGSLAHPTIGRNEHCKINTEKMAQSTALMSITTSAEALIATPIMIKELSKLNHNVQESMITTKSPTGRMSPENLVSIVPSIDNLETASSATSLWPAQTDGLLHQSFPTSNFNQQPIFKDALPDVEIQAVDPTNSPFFGINNDGPPAFPMETEGLLVSALNPVKCQNHMATDAENNYRMQKDAQQEISTSMVSQSFAQSDIAFNSIDSAINDGALLNRNSWPSAPPPQRMRTFTKVYKRGAVGRSIDIGRFSGYEELKHALARMFGIEGQLEDRQRIGWKLVYKDHEDDILLLGDDPWEEFVNCVRCIRILSPQEVQQMSLDGDLGNNALSNQACSSSDGGNAWKPRCDQNPGNPSISFYEQFE >Et_8B_058710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17641510:17641950:-1 gene:Et_8B_058710 transcript:Et_8B_058710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHCLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFRKGKYPRCTQWTLRINRSEVGRASREKQRRFV >Et_1A_005443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10448812:10452872:1 gene:Et_1A_005443 transcript:Et_1A_005443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKAEEEARPLPATGVPMSGPGGYYQGPPGGATAAFAVQAQPPVAAWSTGLCDCFDDCGNCCVTCLCPCITFGQIAEIVDRGSTSCGASGALYTLIMLLTGCQCVYSCFYRAKMRAQYGLRESPCADCCVHWCCECCALCQEYRELKKRGFDMNLGWHANMERQGRTAATMPPQMHPGMTPMYPKMDELEGPAQAQTPAMGVPMSGASYQTPPAFAVQAPARVAAWSTGLFDCFDDCGNCVVTCLCPCITFGQIAEIVDQGSPSCACHGALYMLIKLFIGFQCIYSCVYRTKMREQCGMQESPCADCCVLCCCEPCALCQMYRELTNRGFDVSQGWEANMERQGRTAATVPPPMHGMTR >Et_3A_027141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34150144:34151319:1 gene:Et_3A_027141 transcript:Et_3A_027141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRSSLLVAAAAALVVVVLVAGGAPVATAERYPPLVKGLSFDFYKKSCPQAESVVKDFLASAIKQNPGLLPAIIRIHFHDCFVQGCDGSVLLDNTTSGETSEKAQIPNLTLRPAAFKAINDIRDRLEKACGRVVSCADILALSARDSVQLAGGPSYKVPLGRRDGLRPANQSVVFAGLPAPTSNVTDLMAVLSKINLDTTDLVALSGGHTVGIAHCGAFQNRLFDQRDPTMDQWFFSQLKQTCPVRNADNTTVNDIRTPNAFDNKYYVDLLNRQGLFTSDQDLFVNATTKPIVTKFAVDQGAFFEQFVYSYVKMGMIQVLTGEQGQVRANCAVRNPGSSTGLPWSVVDAAESLVL >Et_4B_039051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7352619:7355355:-1 gene:Et_4B_039051 transcript:Et_4B_039051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAERKEMEQEEQQQLAQPRKDDAPTATAEEEEADSEETERRNRELKAGLHPLRRKLVLWYTRRTPGARSQSYEDNIKKIIDFSTVESFWVCYCHLARPSSLPTPTDLHLFKEGIRPLWEDTANQNGGKWIIRFKKAVSGRFWEDLVLVLVGDQLDYSDDVCGVVLSCRFNEDILSVWNRNASDHQAVMTLRDSIKRHLKLPHSYLMEYKPHDASRRDNSSYRNTWLRG >Et_7A_050982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13156269:13158560:-1 gene:Et_7A_050982 transcript:Et_7A_050982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQDQSIVNGIDDSGGFFASCRERLRSAVDELRCPVVRFAAKLGKIARDDPRRVAHALKVGLALTLVSVVYYVTPLFNGFGVNSLWAVLTVVLVMEFTVGGTLSKGLNRVFATLIAGFLAVVAHLVASLCGEKWEPILLGLFVFVVASAATFSRFIPEIKARYDYGVLIFIMTFSMVAVSSYRVEELIQYAHQRASTVAVGVGTCLFTSIFIYPIWAGEDLHNLAAANLDKLAEFLEGMESECFAENIKSDNLERKSFLQVYKSILNSKAREDSLCTFARWEPFHGKFRFRHPWSQYQKLGALCRQCASSMEALSSYVVTLKNSHCPEVNPERCLTVRTSCRAMCSHSARVLRELAKSVRTMTVPTPTNIDMCTTIEAANCFTSDLSGDATILQVMHVAVIASLLSDLVMRIKEIQESVEDLAQLARFRKPQKNQNDVVIDIDC >Et_5B_044069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19617514:19617807:-1 gene:Et_5B_044069 transcript:Et_5B_044069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDVMAPPSTRHVPALMDDLIEEILLRFPPDDPASLLRAALVSRTWHCLVIILSMLLPAVTFKTMWLMLSLPLDTQTSLFAIDMKSSLQVRK >Et_10B_004159.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:16635662:16636687:-1 gene:Et_10B_004159 transcript:Et_10B_004159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTALFKRRRDSDSEPGTSAICSTRRAGGGISLMARRSISRRGPRTVPPRRRSPATRREDRLSALSDDLLLLILRHVDTPTALRAGALSRRWAHLPHEVPALDLRVGDILPPRYHRLVRLYRDHCSKGTSVMYDHLRPTIKRYERRAMRSFAGYVKSFLEGPQRKVHRMSLEFLTTSNAEAIDACGVDDLEVVAKPMYKRLEIHTFPSHGLCKEPRASRLRSLKLGRCLPPALDGYSALTKLVLQDMPPLAAYERIFTSCPQLNTLHLISCGCIDNGRLMDVVVDAPDSAIRELVVENCLLGCLQLRALPCLESLASLKSSGEASASRILVPLTSIDCF >Et_1A_007281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3357279:3363505:-1 gene:Et_1A_007281 transcript:Et_1A_007281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGSGGGGTVVSNPRVWIVAGIAVAGVIVMAEAARRRRRWLRLKGAAPPDACAFCDRFELTPPPQPPPPATRHLLAGLTFAASDNFEIEGYVAGFGNPDWKRTHEAAKRTAVAVTLLRKQGGTCVGRTVMDELGFGVTGENLHCGTPVNPASPSLVPGGSCSGSAVAASAQLVDFALGTDTIGDVRIPASFCGLLCFRPSYGVVSTLGTIANSQSLDTIGWFARDPCVLHRVGNVLLPAAASGVKQARQIVFADDCFELLKVSNQKTVLAIKNAVQTLPGYQPPKHINIGQYICSNVPSLKEFCEPATKLQEGVSALKALSTVMLLLQRYEFKANHEDWVNTVKPKLGLDISTRVLRAVNFTSDNIKSLYAVRNELRAALKNLLKDTGILVIPTTAGYPLKKNSKERLSSGFEDRMYAFVGIAALSGCCEATIPLSNHSDHHISLSFVAAHGSDKFLLRTILDTYSLIQEQVVLASKLVTTSITNGDADVHASELLKEKGNIAFKRKEWSKAIEFYSQAISLSDTNATYYCNRAAAYLELGRFKQAEADCDRALLLDRKSVKAYLRRGTAKEVTMNYREALQDFRHALALEPQNKAALAAERRLQKLLK >Et_4B_039540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2175589:2177054:1 gene:Et_4B_039540 transcript:Et_4B_039540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQYSEKYFDDTYEYRHVVLPTEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQEAAAAAAAQMLPKCSAKKCPARGSLLEWNASLVV >Et_3A_025142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27254509:27264807:-1 gene:Et_3A_025142 transcript:Et_3A_025142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETAVDFDGLGATGGAGAGEDNLSMPLGDFMAFLETGEEEDEQQPGTLYLFHGTVGLGLTGELRWEYKVMVDQGCLEMPAGTNGSENAFHSHEEMLENAELWSNYSHVDPSQCQMEGNMELNQGQGMDTRSEASPYELFSNDLYDQYGTYSVDGQHFATGDISTEQSDLSEIKWEGTGSMLGNAGQDGNHFTSTDVFSLGQNAAIPDTSCTELNMGEATESIRNGNSSCLTVQEEHLHAYCGDYPHPDYSSVDMVAERSLHDPPHEFSENNEQYEIEQFPENICESGSMQMGSPDQYCDDTSLSDLYIDVSSPESVSCEQNQTEDICFKSESSTDSSPVPSSRNSTSEDADKYLNHTTKHLLDSKFFPISSQQPFKNTGYQKPISLHKQYDYRIGNSSTHGNLSRGCFSMDGNGASDLCLIEGNRNPAPDYRLPLQRLNHHKFQPPIYPNNPIIPTLGGMRYKPHDERMTLRLALQDISQPKSEANPPDGFLAVPLLRHQKIALSWMVQKETSSSHCSGGILADDQGLGKTVSTISLILTERPPVAQSSTTKQEPCEAVTLDDDDDDEDCAEPQLKKPTYTFIPEGANDTVKKENPIVAVKTRPAAGTLVVCPTSVLRQWAGELKNKVTSKANLSFLIYHGSNRTKDPNELTKYDVVLTTYSIVSMEVPKQSNPDSDDEEKGKPDRYGAPVASSGSKKRKASSSKKTKNGSAPESNLPERPLAKVAWFRVILDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAVEDLYSYFRFLRYDPYAVYKQFCSMIKLPISRNPTTGYRKLQVVLKTVMLRRTKATMLDGQPIISLPPKTVSLKTVDFTNEERAFYNALEAESREQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPHLVRGHQSTSSWMSSLEMAKKLPIERQQELLICLQSCSAICALCNDAPEDAVVTLCGHVFCNQCILEQLTGDDSMCPVSNCRVRLNTTSLFSRGTLECSLRRLTCDFKSNDSLEIVHAEKRPGIDSSYASSKVRAALDIILSLPKIDPTQMSDSKKSIGLTSENFGGRSPSEHIDTKMTEKAIVFSQWTRMLDLLEVHLKASHVTYRRLDGTMSVAARDKAVNDFNTVPEVTVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEKKREMVASAFGEDRSGSRQTRLTVEDLNYLFMSNQKETWKSLLKTTVKSKNTHGSSSLSVTDDPLPSL >Et_2A_014957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23260675:23261070:-1 gene:Et_2A_014957 transcript:Et_2A_014957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVVLNSDVRWVTFHSGYDFGLHGGLNKLAELLDVARVGICHQAGSDSLLTALSFKKLKEAYFNGLTEKYAGVLYGLGFEGGETTSAH >Et_9B_065262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2928369:2928909:1 gene:Et_9B_065262 transcript:Et_9B_065262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTICTPRPFANILCRVEQSTTAIMASLLPPPLELMDDIIKEVPILHVLPCESDYRYGHMLNCRHGRILHQDRATSDFPRLRSHHRQGASYTWPRQYASNKNFSAMVLYHYYTHIQS >Et_5B_044458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2609120:2614743:1 gene:Et_5B_044458 transcript:Et_5B_044458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQQLSALIITLLLALLGPAACADSDACLSRWRKVSTGGKPLSCTRTRGSPPVLGSGLSVGYYNRNGSYSYCPGAEEIVRKVVGEFIGSDPGMGAGLIRLFFHDCFVRGCDASVLLNAADAEMYGVPNLSLRGFEVIDAAKRALQEACPNKVSCADVVAFAARDATYFLSGNKTTLLFDMPAGRYDGRVSFANETLPNLPGPLSDLRDLKDTFAAKGLTVGDMVTLSGAHSVGRGQCRFFIDQFADRSDDFAEGLRARCYGNDGYMVEQDYVTSDILDNNYYKNIDKYVLFASDAALNSTETMPLVNDYANSSYPGLWESKFAKAMVKMGYIGVKTSADASHWSRDMAKQQLAALTIVLLAFLAPAACQLNLFSVSITAACLGRWEKVIPFLSLFCRRIRGPVPVLGSGSGLSVGYYNRNDSYSYCPRAEEIVRNAVAEFIIGKNDTGVGAGLIRLFFHDCFVRGCDASVLLNTPDSERFGIPNRSLRGFEVIDAAKTRLEQECPKKVSCADIVAFAARDATYFLSNKTTFFDMPAGRYDGNVSFANETLPNLPGPFSDLQDLKDSFYAKGLSTDDMVTLSGAHTIGRGQCRFFMDRFENRSDAFAESIKAQCNNTGGNMVMQDFQTPDFLDNKYYKNIDKYVLFQSDAALNSTETMGLVTKYADNTQGLWETKFAEAMVKMGYIGVKTRADGEIRMECAKGNASGGGAGGQGSNGTERPTPFVISTNIAWLRKALKSIQVTDSGLQSNRWRPPFVSRSIPTMIPPKKIKSRASINDSVNKVAATFAATRMISSVVGLLKVKKR >Et_3B_031330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2647584:2653373:-1 gene:Et_3B_031330 transcript:Et_3B_031330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSSVFSAPAAADHGDEEEEEARREKHEGEQEAEAGEEQSSGGWIFGGLIQTLKEEIEEQRREQEAAEAAQREAPAAEGEADSGGGWIFGGLIKTLAEEIEAQRKEQDAADSAEEGERGEEVDAEAATAADGEELKEGEGEGSGGGWSFGGLIKTLAEEIESQRKENESAAAAEEEGELEPEAETAAAEDGDGEGSGNGWSFGGLVKTFASRSESVIGGYRRDLEDLGSGLRVETAALRAAAARAAAVLPGALEAGASAASERLESVGQAVDDLGAAAAVLLSQANLALQSAEADGEDGDGSSQPSDAAASGASWRASLSTKKYTRFEAQVLALRADPTTFTEEPEDAEGFARWRGSFSVDEMKNEIETVLQESPGLESFVERLVPSVVDYEMFWCRYFFAVDKLKQAEDVRNKLVSRAMSKDDDEELSWDVDDDDDDNNTVDHKEGAKSMGKNKEEQVSDPVSHETEGSGKQEAVVEKDLAKDKDATLEAAKDGKVESSGEASTPKSSEDSGREEKAEAGDSSKESDFSVVSLPSAQEEEISWEEIEDIGDPDEKKGASPRSSTASKVEDLRKRLNAVEDDEDLRLLYGTILPGENERGSKLFISLERKFLFNNKDGHSPVKIIDFSPFRFCEASAVGFGLVSRLASRWPAGFYGGFVSDCNTVVTDEDPNLLVSLFPGGGDE >Et_10A_000240.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:19623243:19623380:-1 gene:Et_10A_000240 transcript:Et_10A_000240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVGDKFHPWMWVWVSLSTRTLFLVGWVFTPPDPNPTHFHLYP >Et_2A_015138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31948228:31949481:-1 gene:Et_2A_015138 transcript:Et_2A_015138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AIYAHVSSIRTSVYTRSFLHADELIKTARYLATPGKGILASDESTGTIGKRLSSINLENVEPNRQALRELLFTTPGVSAYLSGVILFEETLYQKTSGGTPFVDVLVAAGIVPGIKVDKGTVEIAGTNGETVTQGHDSLGARCAKYYEAGARFAKWRAVLKIGPAGEPSELAVKQNAEGLARYALICQENGLVPIVEPEILTDGAHDIKACAAATERVVAAVYKSLNDHKVLLEGTLLKPNMVTPGSDSPKVGPEVVAEYTVAALRRTVPPAVPGVVFLSGGQSEEEATQNLNAMNKLERALQQSTLKKWLGKKENVAAAQEAFLARCKANYEAGLGKYAGGAGDAAASESLYVKGYKY >Et_4B_039156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8347987:8353591:1 gene:Et_4B_039156 transcript:Et_4B_039156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLGLPRDVVVDGAEVWRRDAYGFAVRPQHLQRFREYAKIYKEEEEERAHVWRDFLDRLAESEDVPSTPNISQSDDNAGTGNGDRGARTGEKNDSGANIDQEEVDEEAENAQDNDKLEGMEEADAGIESRAANAEPEDLKDGTDNIDNLKEETSRKSTETIKASEDLKERNGASEEIKFLSGDSEESGEVYNYNLEKLVELSLDKGLLDELKPIKVESQRRVRAALRIIEKMMSSRVAKRDNGAHDTHGKGATQLASIEEEGKTTEAIHEGDPAEESYFAEKVEHDQEIPAGSTSTTLEGGGGGFYFPWREELESLVRGGVPMALRGEIWQAFVGVGTRKVPGYYKKLLDEGTAKPDEKDLEEAVLMEQTSAPKKLPKTEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEENAFWALVGVIDDYFDGYYTEEMIESQVDQLVLEEVVRERFPKLAKHMDFLGVQVAWVTGPWFLSIFINMLPWESVLRVWDVILFEGNRAMLFRTTLALLDLYGPALVTSKDAGDAITLLQSLAGSTFDSSQLVLTACMGFQSVREMGLRELRKKHRPEIVTAMEERSKDRSSWKDKKGLATKLYSFKHDPSSVCPQVNSKEGADGLQVNGETGSTNLETYLSTSSALENDLDQGVDLQDQITWLKVELCKLLEEKRSADLRGEELETALMEMVKHDNRRMLSAKVEKLEAEVSELRKAFAEKQEQEQAMLQILLRMEQEQKVAEDARIAAERDAIEQKYAAHVLQEKYEAAMAALSQMEKRAVMAETMLEATKQYQAGQVKANQSFNSSSPRADIVLGKMNQEPNQDAPNKRMGLLSRGLGWIDKSKGRQNSTDTAEGS >Et_5A_040170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18775569:18776241:1 gene:Et_5A_040170 transcript:Et_5A_040170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FALTWCRACRELQQTSSKKEFSAGSICTRRTLLMMPNTSYVPLSLKTHSMSCSGNPSCRHSGTPSTLSRCPTCLRARHGAYDGRRRRRYTGTY >Et_2B_019601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10858039:10858587:-1 gene:Et_2B_019601 transcript:Et_2B_019601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAELGLDEARLRATRHVLAEYGNMSSACVLFILNEDAQALRQCLRVWTGVFSLASDQDSPPRLSSSAASQSPPATRTERYKPQRYLAIIIYMNAIH >Et_8B_060366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10330985:10332516:1 gene:Et_8B_060366 transcript:Et_8B_060366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKTRLKGLEWQSPCTWPSSAAAAQTTAPLKTIFSLSSNSGGAATVAGGGIPDHDDAPLAAEHDDAAVADADEVPVDAVPPLVPRHDELLRRDAVGRVVDGPEDRVAAVVDEDAEEEGVHVARAVAADEGRVGDEAEPPLADERGEREGGRLRRETDEDLAEDVVAVQHGVRRWGGEAAAPAAGHDAVDSRGNGGDLEDEGQDPIISTDFQKGIFHPRWEGLIRQREGDDENDDHGRRLRGGDNVGAASATKDLTGSHDEFVKE >Et_1A_005277.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:37658161:37658283:1 gene:Et_1A_005277 transcript:Et_1A_005277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLNFGDGSMAIRERSSSSVTGQAPEPREPPWVLHSRRQ >Et_4A_033860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27475662:27483347:1 gene:Et_4A_033860 transcript:Et_4A_033860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAYVAVLSFAFLFLLHYLIGRRNGNGTGKGKDNGAQQQKLPPSPPAVPLLGHLHLVKTPFHAALSRLAARHGPVFSLRMGSRPAVVVSSPECAKECFTEHDVAFANRPRFASQQLVSFNGAALSTSSYGPYWRNLRRVAAVQLLSAHRVGCMAGTTIAAEVRAMVRRMGRAAAASPGGAARIELKRRLFELSLSVLMETIARTKTSRTEADADTDMSPEAREFKQIVDEVVSYIGLANLWDYLPLLRWFDVFGVRNKIVSVVRRRDAFLRRLIDSERRRLDDGNDDSEKKSMIAVLLTLQKSEPEVYTDTMIMALCGNLFGAGTETTSTTTEWAMSLLLNHPEALKKAQAEIDAVVGTSRLLAADDVPRLAYLHCIINETLRLYPAAPLLLPHENSTDSKVGGYDVPAGTMLLVNVYAIHRDPAVWEAPAEFVPERFEDGKAEGRLLMPFGMGRRKCPGETLALRTIGLVLGTLIQCFDWETVDGAKVDMTEGGGLTIPRAVPLEAIFPLMDKAYIAILSFLFLFLLHYLVGRVGSGGKSDGDGKGARKTKLPPSPPAVPFLGHLHLVKTPLHAALIRLAARHGPVFSLRMGSRRAVVVSSPECARECFTEHDVAFANRPRFASQRLVFFDGAMLGTSSYGPYWRNLRRVAALQLLSAHRVGLMSGAIAAEVRAMARRMNRAAAAAPGGAARVELKRRLFEVSLSVLMETIARTKTSRTEANADTDMSPEANEFKQIVDDIVPYLGAANRSDYLPVLRWLDVFGTRNKIRAAVSRRDAFLQRLIDAERRRLDDGSDDEKKSMIAVLLTLQKTEPEFYTDTVIMALCANLFGAGTETTSTTTEWAMSLLLNHPEALRKAQAEIDAVVGTSRLLTADDVPHLTYLHCVITETLRLYPGAPLLLPHESSADCKVGGYDVPRGTMLLVNVYAIHRDPAVWEDPAVFKPERFEDRKAEDRLLMPFGMGRRKCPGETLALRTIGLVLGTLIQCFDWETVDGAKVDMTESGGLTIPRAVPLEAICRPRAAMRGPKQNAVPQTQTPAR >Et_1B_010637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12306568:12316382:-1 gene:Et_1B_010637 transcript:Et_1B_010637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVILLASAVFALSWFHAIASDPSPLQDFCVVDKMSKVRVNGFPCKDAKDVVAEDFFFCGLDMAGNTTNKQGSAVTPVNVAQIAGLNTMGISLARIDYAPYGLNPPHTHPRATEILTVLEGSLYVGFVTSNPDNKLFTKVLKKGDVFVFPQGLIHFQFNYGTNNAVAIAALSSQNPGVITVTNAVFGSKPSISDDVLSKAFQVDKKTINRIQAQRVVLLLALLAMACCGAIASDPSILQDFCVADKMSPVNVNGFTCKDVEDVVVEDFVFSGLHIAGNTTNKQGSAVTAANVAQIPGLNTMGISMVRIDYAPKGLNPPLTHPRATEILTVLEGSLYVGFITSIPGSRLISKVLTKGDVFVFPKALVHFQFNYGTDNAVAIAALSSQNPGVITVANSVFGSDPLISDDIVSKAFQVDKSNQGEIEAQMANRLLLLALLALACCSAIASDPGLLQDFCVADKMSKVNVNGFACKDAEDVSVEDFVFSGLHIAGNTTNKQGSAVTAANVAQIPGLNTMGISMVRIDYAPKGLNPPHIHPRATEILTVLEGSLYVGFITSIPGSRLISKVLKKGDVFVFPKALVHFQFNYGMENAVAIAALSSQNPGVITVANAVFGSDPLISDDIVSKAFQVDKKTVDWIQAQFN >Et_7A_052328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:736386:737271:1 gene:Et_7A_052328 transcript:Et_7A_052328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLSAAPLRKSLLPALLRARWLIVARSQGARATIAPPCLSSARNHARNYQGPIKVHSPLFGMPLKQAFKRRRRDMKRREILASCNNVHESNTSSITATALEHVTRLLQYTIASVFIGCVI >Et_3B_030952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9354921:9356635:1 gene:Et_3B_030952 transcript:Et_3B_030952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNTRPGIALLLALAVASSFLCAGAGARHHHAKHTRHNSHAHSHPPSHAPGPRRAPPRPQPWSPPAPPPSSPSYPTPGAGPAPAPAEGGGVTVYDVVKDFGAVGDGVTDDTEAIKTAWDTACQDDGPGVVLASAGHTFLVHTTVFTGPCQGTVAIQLDGTIVAPSDPDTWPASSKRNWLVFYQAHGMSLRGAGLIDGKGQKWWDLPCKPHKGGASTHGGLCDSPVALRFFQSNGVTVQGLKVQNSPEFHFRFDGCRGVQVRGLSITSPALSPNTDGIHVENTTDVRISDTAVSNGDDCVSIGAGALNVHIENVTCGPGGHGISIGSLGKSGSRACVANITVRNAVIRRSDNGVRIKTWQGGSGSVSGVSFENVRMDAVRNPIIIDQYYCVSHNCENSTAAVFVSGVSYAGIRGTYDVRSPPIHFGCSDAVPCTNITLADVELLPAEGQKVDDAFCWNVYGNATTPTVPPVDCLIEGAPKHIEDDTSLKCY >Et_7A_052703.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:13093989:13094579:-1 gene:Et_7A_052703 transcript:Et_7A_052703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPIYYYQPLLSPTDDDDYADERRPSVRRGRIARSASARRLSSCEENKLAAGGSVRRDDRGSGSGSGGRRNGDHRTAGGDSAWIKRCQTAPGYVSFEDVIGGEGRRPPDYAPAGIVISDPLVRTASQLYAREAATSTHHQPRHRRPSPGPLGTRRGGAMYRLVKKYVSPCLGFLAAAFFCGSADASATSSPTAS >Et_9A_062465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23219862:23224684:-1 gene:Et_9A_062465 transcript:Et_9A_062465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSVKISEEVWLTCLSHALTTENEEVMGLLLGDIESSSRGGMTALIWGASPQMRCERKKDRVETTRVIGWYHSHPHITVLPSHVDVRTQAMFQMLDSGFVGLIFSCFSGQDAQKVEKIQVIAFQSQGGHQHIATPLAVAPVIDLESSWSSSDNASHSIEGIEQDTGDSRFSKSNKGWGRSSDMEFYSYPDTNNSMKHQPGENAIIPYNPDNTHEASIDPYDSDMTPSIQEALHRSNMDVSGAEYVRKEVPLHVLPTRNMLKLDTALTSYCDMQRVLFEEEKSAYNQAMQQNICDGKIHPLTSIHHTSTYNSSLCKLMECCLSPAITVLQNRLKENELRLSVLQEEAKQLEAETQGIRNDSPRRMMNHGASGSSSPMSRNKHPFSNHGSPRSPSSGSRKRGC >Et_2A_014742.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:11380357:11380458:-1 gene:Et_2A_014742 transcript:Et_2A_014742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKLVILGILLLLVLIVWVSVCQGFDCTKHET >Et_1A_006041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1735911:1741065:-1 gene:Et_1A_006041 transcript:Et_1A_006041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHYCHDDHSTAPPRINTQPAARFLLAILVALARCVEMAMQRQRLGAVQAFANVLVAISCMLAGAHGAVAGVGVEECAEMARADRIEALPGQPPVAFAQYSGYVTVNQERGRALFYWLTEAAGDAARKPLVLWLNGGPGCSSVAYGASEEIGPFRIKPNGTGLYLNKYSWNREANLLFLESPAGVGFSYTNTTSELKTSGDERTAQDALQFLISWMSRFPQYRHRDFYISGESYAGHYVPQLARKIVEFNKASPYPFINLKGILVGNAVTDNYYDNIGTVTYWWTHAMISDRTYKTILKSCNFTSSNVSHTCNRAMNYAMNHEFGDIDQYSIYTPSCAAAAANATVLRFKDTLIRRRSFGYDPCTETYAEKYYNRIDVQRAMHANTTGIPYRWTACSDVLIKTWQDSELSMLPTYKMLMKAGLKIWVFSGDTDSVVPVTATRFAINHLGLKIKTRWYPWYSAGQVGGWSEVYEGLTFASVRGAGHEVPLFQPRRAFRMFQAFLAGEPLPKS >Et_2A_015566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14994341:15003588:-1 gene:Et_2A_015566 transcript:Et_2A_015566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALYHESKGNTDASATDRVYQAMATNKRSTPSFFNFLKEGVLLPTRNRKLFAAVFVLATASTTSLLVISNDLTNKLNFDTKDPNSTSLAKIKDLNGTDP >Et_5A_040300.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:12082502:12082738:1 gene:Et_5A_040300 transcript:Et_5A_040300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KFNDSAGACSSRPDGSRPVWCNIWNANVQPRVKHYAWKVAGGALATKANKKARHLEVTRQCSICGMEEETLGYKPSAT >Et_4A_035497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26913761:26922219:-1 gene:Et_4A_035497 transcript:Et_4A_035497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLLGKRPIRTSRRVRAGSVLFALRCSPQAGLGLARAASVRLRAFDAAPWIPSAPPLNPIKRGFSSTGKKGQARTGSAARPGGFGRQAESVYMLLQKKVKLRVLPQQWRWLHIGWIDVKHQSGYIIQGYWYAFLAEWDQKPDILVTVQGGDKNKE >Et_9A_061013.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:826455:826919:1 gene:Et_9A_061013 transcript:Et_9A_061013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGKVKKAAAGRKLGGGPKKKPVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGRLLSGVTIAHGGVLPNINPVLLPKKAAERAEKAEKSGAKSPKKVATKSPKK >Et_8B_059154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14578987:14580336:1 gene:Et_8B_059154 transcript:Et_8B_059154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVASVENTASLAPGHGHVVCVTGAAGFIASWLVKLLLEKGYTVRGTVRNPDDEAKNAHLSALDGAAERLTLVRADLLDKESLAAAFQRCDGVFHTACPVTEDPAKMIEPAVTGTRNVINAAADAGTVRRVVFTSSIGAVYMDPRHGPGAEVDETCWSDLEYCKNTKNWYCYGKTVAEQAAWELAKQRRLDLVVVNPSLVLGPMLQSSVNASTWHILKYLDGSVQTYADAAQAYVHVRDVAAAHARVYEAPDARGRYLCAGLTMHRGEVCRVLAKLFPEYPVPRQCKGGAGETVKGCRFSSRRLKEELGVEVTPASLCLYDTVTSLQDKGMLPVAP >Et_1B_009673.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:13055871:13057511:1 gene:Et_1B_009673 transcript:Et_1B_009673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGASAQKRSPNGKFGGAPGGGDGAQWRRMSLPAMLLGETVLEIVQASQFARDIVAVADNAGVTSREPKTPKPVPRAMASASAAEATPLRAPRAREKQSQRGGGPRAYQASTPPSRGRVRSRIQFKPVSPLGHQAPVVGRPSVSANRVSPKNRPWVKKTVMFPNPMFDASTSSAAAACAASPSPSKKQKRFYKTRSPVLVRQTPHKFLVKSPPSALGSKIRSQGKAIPLRPAAAVSPPQPVKKQASPAKSRRCSFSPSKLATRLVSPIKARLSLNRSRDSGGGVGGAPMSDLKQRPGVSLTVRTVSSKISSR >Et_3A_026324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6838931:6839528:1 gene:Et_3A_026324 transcript:Et_3A_026324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCIGGAALENLKCRADLTLETVLDASDCSCTQEFKAPKMRQITICSLCNWLHPEPGIPILSNTLSRAQRLDIVVDTFGGKIEDCLVSVVAFEYPAPRNNLERGYRYRMQRMSCMLSEIHTSCWPDLWWWNMEEWQLIFSRSQQQ >Et_4A_032479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10489933:10492439:1 gene:Et_4A_032479 transcript:Et_4A_032479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CNFMSTTNLRRRLHHGDVDGRKNEHFDISGVDSLDEPLLGRSSDDNSGSEVYDPRRRDLWDDDRKKEQLHWSFLFSNLIAQWAQWLASILAGSGSIFGRLFPFTLDNQNIPVYLSPLQEERLNTLKRRLQVPFDGSRIEHQDALRQLWRLAYPTREIPPLKSELWKEMGWQGTDPATDFRGGGLISLENLIFFARNYPNSFQMLLNKVQGQRSVWEYPFAVAAVPSSKSGIRFLELLGQDENAFDHLYCVAFRLLDAQWLVKRASYMEFNEVLKSTRTQLERELVLEDVLAVKDLPSYTMLDK >Et_6A_047028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24368358:24376159:-1 gene:Et_6A_047028 transcript:Et_6A_047028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTTMSAPEKEKSKSKKMGRVPQAYIDWLLANPQKPLFRLSDELIDKIQPLEEREDTRAVFSRAFASLQVMRAKDDDILYQYRIKGYAEEEITDDEEEEDADPIVQKIDSICMEASKSAPEKPRAKKLGRVPQAYIDLLLATPRMPLRPLSDELIDNITDLELREETRAVFGGAFARLQAAQAKDDDILEQYRLKGYAEEEITDDEEEEGEGEQGDAGDELQAATMEASNKLALEKPRAKKMGRVPQAYIDLLLVMPRVPLRPLSDELIDRIPELERRERTRAVFGGAFARMHAMRAKDDDILEQYRLKGYAEEEITDDEQEAGEGEEGVAGDELQAADTEEAAGAGEQRFTRLTVPPATLTPGRNLRRPRRGSTTSAPSSSSSAPSSSTSYSTKPFSSICPRTHSCSRRWDSCNCCRTLAALPKASVTVARRWSGRWSVPGRVGSGAGVYARMWSTWACVMRTVLFFFSPLAAAAEVLLPLPAVGSSPPSATS >Et_6B_049557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6513015:6513794:-1 gene:Et_6B_049557 transcript:Et_6B_049557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAILIGAAFLIAAAIYIHCYDNDGGGTRNAAAPATHLHFMHDDYTSPRPTAARVVTGRDSPRVGTAQWFAVRVSEGGTVSHLTLHLVLDAGEHRGCSVTANGRINMDAKVRESVVVGDTGHFRFARGYMLTRNYDYDLTRGGGVDIDVYLQH >Et_9A_063186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14507240:14517262:-1 gene:Et_9A_063186 transcript:Et_9A_063186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEEGELQLEDCEAAFGGGAGYGLRCRGGGAGWVIDLDALTYIDEKLQNLLGCFQKKFEGEISAENLGSQYGGYGSFLPTYPHSPLVISESRSPAIPPNHNSASRSPYVPMETAQKNYFVKTALDSSRRNDHYQISNESNGNPSQQILDKAANDPEQKAPKIRIKVNSSRSLARNTADIYSGLGLDISPSSSVEGSPEGSARTPLPDVLPNESPHTIFQIMTSFSVPGGHLLSPLSENVLVLREKPKIVIERHEASELHDGKAKLHRERSYTTSATLNNKEQMVKEKTDEMQDNIPDFKRSKRRLKNPPAVNKGIKPLLPDISDDTDSMVLPGTMKTEHLVDSATFMREISDQLKETKNGQPKGHIVDKNRESKKAPSLDHAFSGKTKYDSDEYNSRSFASSSHLQNVPSETTSLERGKGTTVHIEAEHRQYKSKEVGILSSAESVDIVTKTVDRNSSGMIKANKTTSSSQPALSRRKIRVKAHKQLNDDRTRKSCDEDENYGLDRRIDSTNAYPQDKKSKLDAYREIDNRSCGGNGVEHKINSLVMEKSDPMPSASKNENAESSTAPTAAAPVVINEEWVCCDECETWRLLPYGMNPDTLPKEWQCNMQYWLPGMNRCDISEAETSRALRALYMVPAPENNIQDCCRDNATSGIGTATAPAFEGNIQSTSTSGKRKGCHDRANVANNLDSNDMSKPSKKLQADSSRNSDGVDCFRKHKEKRKHIESSNKGENAAKDRTPPMRSSVGIDHDNLRASKKIKKEFNEPATYHSPEFAVCKSSPSINGTQKIMRKHMGNSPAMRKYGSSSSGNHFHGEDKCISDEVIIKISGTGKSDFPDLSNKNKKSKHGLSSQRDADPLPSNTAKGITKQSESNAVKENPRSELKLSKEDRAAAHGRGGIAGVDDVNAHAEKEFLSEQHQEKIHVQHSFPFQSSTRRNFSHAQTTAATSSSSKVSNSHKDKADFQETRASPVESVSSSPLRTSDKNPLDQHRRHSWAVTENIHSQESGKKGLSRSKKKYDFGSDSDKAKARVSSSFNGDTDHHVLNDEALLTDDQDLKNACRNNKDSVHGTKNGQVHSVSLSLHDNRIHKQRTLRENGKTPPHFSSDQSDHANLSSGKVKPDKGNLKGSNPYPPLTNAANGDATCKAKQSEKAKIENLETRKQVTLSEGATNPVNASILLKEARDLKHLSKRLKEKGDDFESTSMCFEAALKFLHVASLWEAPTESPSSSASDVDNLNNQSTVAKAVSVRGVYSPQMASNPISRNNHHLMGLLAYTEDVNNGFEGTRKSQISFSAYLSGIGKNQVDGVALLRESEGLQSPLPQCPHNARSEIWFKIPQPSTGLLMKAQQHWAVNRLAKTEFRFTVSSLNSLVHALAFDALG >Et_1A_006775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27547444:27549553:1 gene:Et_1A_006775 transcript:Et_1A_006775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRASVSVSDESSEVTAGERGGCGSASTRSLVDAAVGNLSRTVSDVSTSFSSEQCSSVDHSGPFEPAAAAVAKLVDRPPAALSRLSMKPRADVLDRRSTDDEMELVKERFSKLLLGEDMSGGGKGVCTAVAISNAITNLYGTHAAIDSSSQVSSSGALTWIVVAATVFGNCHKLEPLPAGKKGMWRREMDCLLSVCDYIVEFFPSTQTLPDGTKVEVMATRPRSDIYINLPALEKLDAMLIEILDSFQEAEFWYADAGTRSFASVTSTSSTMSSSFRKSMHRNEEKWWLPVPCVPDAGISEKARKDLQQKRDCANQIHKAAVAINSGVLSDMEVPDTFMAVLPKSGRASVGDSVYRTMLGADKFSPDYLLDKLDISSEHDALAMADRVEAAMYVWRRKASASHGKARWSKVKELTADDDDKNVTLANRAESLLLCLKHRFPGLSQTTLDTSKIQYNKDVGQAILESYSRVLESLAFNMVSWIDDVLFVDKSTRNK >Et_9B_065857.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15338869:15340299:-1 gene:Et_9B_065857 transcript:Et_9B_065857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAWSGLNSGVVLSLIAVLWTVVWQNLQHLQLQQTLGRHFNRHARRLAAIVDPYLSVTVAEYDGGRMRRNEAYEEIKAYLGGACARGDARHLRAEGGKDADKLVLSMADREEVPDDFRGARVWWQAYSTPAPRSDGFPWWGAAAQEDRRFYRLFFLDRHRDVVLRDYLPHVRRRGREAMVRNRQRKLFTNLSGGSWSHVAFEHPKTFTTLAMDPARKKDVVDDLDAFRNGKEYHARVGKAWKRGYLLHGPPGTGKSAMVAAMANHLDYDVYDIELTSVHSNTDLRRLFIETTSKSIIVIEDIDCCLDLTGARGNKDKKEEDDKKDKKDGKKKENAAAGSKVTLSGLLNFIDGLWSSCGGERLIVFTTNHVEKLDPALIRRGRMDRHIEMSYCCFEAFRFLAKTYLDVDSHHLFDDVRDLLREVDMTPADVAENLTRKSADDDVDSCLAGLVRELRAAKGKKASTSGANEQDEEAQ >Et_2A_016927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29529633:29533720:-1 gene:Et_2A_016927 transcript:Et_2A_016927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAVAASKLSPAVREKGRRKGAAAAAEQLLTDQVLSLRARLHDALALGLTRSDGHGAKKWQSTDAGIQSHALKAMAAFVGCLTNEMLKLPPIKESISDIIVAVDGILKTGNVSVLFQAGDVSSKLVSRIGNSIRQYPIVELVSSLSCQLSAVQLPIAMSCASAMSCILNSVAMASASVQTEIWDALEKTNAVASTVSALQSHIHNSHPLRYLTEMISLLSIILWIWPASRYHVWSNCNLMGKLAQYCGSNETTVAAKTVKLYAALGLCGNGAMILVRNEELMAKICDFMGRSHPSITRIEALKLYQVLLRSSNVHDLLMTTHCQPIVQGITDAMNNDDKSVVTEGCRTALLVLRYSGNHHKCFWSNAIDEVLCKIIAGRYLSPYQAHQNLCHDVPLNKSVMEMHPYVWDILGYLAVHCHNDSLATDLTDKSSPIKLSIDEQEPILRAVLMMHLSPSQYIFSEASSKFIEVILPLGGDYLNNLFSSLESNVTRNFTKSFDSVKIMTKLMNLACLCREYYAEGLIRVLEHASCQNLSPGPKSYIAHILSLFGLCGFPSKLGAKMRSALCDNELVDLELLLANGESLNAHASILSARCPKLLPSARFLIEDEKLADEQARRSLYQVRISDRVDSHALKKILEYVYSNFVTVGDEIVKPIKTLAKYCCLKSLQEMLQKEQPRWNSDFPRYDLTAALEPAEHSFSFAEVIKVPLGWKALDKLIRWFYSGEIPRISLDCRWKNMSAEEQLSHLISYAELSSLAEFWFLDGVKEESLEVATSCLNSSTKASVEFISFAANLGQWELVETAISSVAHLYPKLRDSGQLEHLDEDLENMLRAEYVRYSQHGGRSN >Et_7A_051263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16225010:16229704:-1 gene:Et_7A_051263 transcript:Et_7A_051263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAERERKRPREGDAAPSAPAPAGEAQYVYLPIADALKAPGARVCIFATVAEIGATVRSRGTDFTLTLRIVDQSRAAGISVTFFADNPALLPCVKSSGDVISLHNVVITMHGEFFVTFNKKFSSFALFQGKVSADCSPYQVSMKYHGSKHDTELLTQMRTWLVNHPSGLKELELQLRSINSDSTFDLICKVLHVHETSSGEWIFYVWDGTDTPAIDLDSEAVESSSLYLEEVPLPREVLCTMPCIGTVLRVFVNRFLKEVFHLQKSIYWARFCNIACKQEYGLWKGILLPTSRVRLLSNEDGSVVDRLKMYDSRLANQVQRQPMTSLPESFNVSGIEYETAGYTTLMESLTHEQVTHKFKTLVRVVAAYPCRTSDLRSLLTGNYCLRLTLEDPTARIRAYVHKDDGAKFFGGFLTAEALIKKMNKLLGIPEDGEEVAPLTRNPPWIWCCLKSYRLDKNDPWGSRRYRVFATEIMDE >Et_10B_003500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2166827:2172777:-1 gene:Et_10B_003500 transcript:Et_10B_003500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDIDWKSMFCDLGSAPDHDDVSFASTPSSAPRTRAGAAKGKRDEDEDWLPRPNTRFRGLGRDGGAGKRGGRKVGGDVRRGAGSAKDVFAFGKIFALELHHRQEIGDDDAAGESLSRKWQSPANTRKKNYAQVSCLAIFSLLIHNYLLEIRMSEFSMQLEPNSGRSMKKFGSRERKPIPVDKMYSSRPCSTGHQQRVHAIDPEESDHARSPQSYSLSKFTKRIEPKIYYPSRDDPEAVELSSSDITCLEPGVYLSSPVINYYIQYIKRTKLSKEEKFYIFNTYFYSKLEEALVRTSDFLKLRRWLKGVNIFHRAYIILPIHGMAHWSLIIICIPGKESSSGPIILHLDSLGMHSSTKIFDTVKRYLEEEWHHLKENPPPDTSISESIWEELPRNIDTQIVQVPQQNNAYDCGVFMLYYVERFVREAPERFTRDNLGMFSRSWFNSEDASELRLRIHALLLEEFESARLDDALSEAATSDGSDIEDVTEGGELEAVTPSSSTEMVIEGVQSGDGGKNDEGFKIADAEQGSVESGSTGKSNAGIKEVPALDDAPTDRTRHDMKTLVDCLLSEADTFSDEMKDEDPGKAYSDCSKAEEEKEEEFAIVSPDRLKNCVVNDSCDSDSDSVMILGVRNRRANRRNCLII >Et_2A_018175.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:16887684:16888874:-1 gene:Et_2A_018175 transcript:Et_2A_018175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLVLWATAICAALLASVFYRLPAPPAGTDDDAAPDAEGRHHHHHRRRKCDEHVQWVAKMAALHNATLVLSVDRRGCANFTSLQKAVDAVPSSDNSNNNATAGAARTLIAVDAGVYAEKVVVWSNKTGVTVQGRGNLNSTVVWNDTANSSGAGGTFASATLAVLADGFVAYNLSVQNTAPAADPGDAGGQAVALRVAGDQAAFHWCGFYGAQDTLLDERGRHLFRGCVVEGSIDFIFGNARSLYLGCTISSVAAASDGGAGGEVTGSVTAHGRASAAERTGFAFVGCSVVGTGRVWLGRAWGAYATVVFARTRLADVVAPGGWDDWGDPARRQTAFFAEYDCTGPGAQAPRVPYARQLDQRQAAPFMDLSYIDASQWAVPPMQQGDVVTSAADI >Et_10B_004014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9216816:9223457:-1 gene:Et_10B_004014 transcript:Et_10B_004014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGRDYDYGTGDSGAGGKIRRRPASRAAAASPYARPPSAPVPAVATAANQEGGWFSRLISAGASRLLPSLFRKPPPQHTTPPPPPEPLDATHSRPEGSLEPLLLRHDDDLPEGEENGGADANNLVTENSAKDGEEDMLRNSGEHSLMNLEDLLKQRTFKRQVLQSEFEYLAELLWSRTIGSGSLKPDNVGIKKMHVSEKETGSRSSNLPVDFSIHTNSVADLAASPAEIAKAYMGSKSTNGSPLRLRLYDPSSRAIKSTEPNATQQAKPTTMPLQGPRLHTLKNSDRFKSNSSTPSRSAIYKMSSSPYFKSVTSSEDLFSTVSSPYQTPSSVHTFGRQVLKRKSTAVNKEVVSVGPIRKMRQKYNRMSPLLETRPGYRGYLGSHGNKLNEDSEHSAQTQKRICLSKVGDPILGGLDDKARATMFGQAPVQSSEMAAKILKQLDTLVPSQKQGTPEIQQKHGNFMGFETPVSHKKEMSVQSNISEPSISGVKNHSLLNGIQGNDKDTSAALTEKIVDATSNTSASLAAASNSQISSLKEKPATFSLRSHPPNLVLSSEIDPNKISSTSNGFTFPVPAVLGAHSQAPPTPTMTSLPVLPIEKRQPSAASNASVTSVESGPRISKSVLEEGSIAQKCDKKLNSDVELMSSKSSGQVPSFTSNHVFKFPNLKFATLSNGLQHTSNSMASDPLPANGSIRSASPLSSGSFTISSNSALVSAQNGSTGGSFTIPSFGTSSFSAVAGATAQSFATPSLASSGAGSSSSAPLSFFPKFGMASSSTTQEESKAESSSACFNFSQQFGTASSFAALDKSKAASADPTFSSGNKCTQNSASNLSFKPSENPMSSNFQSLANSPVVSTPLTSPFSASSLFSSAAGSGSTTGSSSAFSASPVFGSKLTANSTAFGLPNNGSATSPLSSVPSAVFSFTAATPSVPNSSPTTPIFGGMSPASSTTGAVQMNGANMITDRKESPFSTTSPFGIPSNSPSSPIFSSPATQFAATTSASPEIFKFGEQNTSGGFSVGPSGGSERSGRKFIRVKRKK >Et_2A_016421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24529862:24535530:-1 gene:Et_2A_016421 transcript:Et_2A_016421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLPASPSRRKFPRKSRAKKDHQPTTALGRCDSGPARGEMAESAAGRRTLALVNLAAIMERADEALLPAVYREVGAALHTTPVGLGALTLYRSAVQAACYPLAAYAAVRYNRAHVVAVGAVLWAAATFLVAVSGTFAQVAVARGLNGIGLALVTPAIQSLVADCSDDNTRGSAFGWLQLTGNIGSVIGGLFSLMLASATVMGVAGWRVAFHIVALISVLVGALVGLFALDPHFINVKNGEQPLRKSAWAEIKDLVREAKAVVKISSFQIIVAQGVTGSFPWSALSFAPMWLELMGFTHNETGLLTTIFALASSLGGLFGGKMGDYFSVRFPNSGRIVLSQISSASAIPLAALLLLGLPYDSSSGLLHGLVMFIMGLSISWNGPATNNPIFAEIVPERSRTSIYALDRSFESVLASFAPPVVGFLAEHVYGYNPISYGAGAASVGHDKSNAAALAKALYTAIAIPMLLCCFIYSLLYQTYPRDRERARMDSLISSELQLIELERCHGVGSYYAERKDAATVIDMEYIEDDFDADDNDEKALMDQQAEETSMEPQRERERLRTLLLVSLASVVERADEALLPAVYREVGAALHATPTGLGSLTLCRSVVQAACYPLAAYAAARHDRAHVIAVGAFLWAAATFLVGISGTFLQVAISRGLNGIGLGLVVPSILSLVADCTDDATRGSAFGWLQLSSSLGHVSGGFVGLLLSQTTVLGIAGWRVAFHLVAAVSVLLVGFTHDATALLMAIFWFARSLGGLVGGKLGDLLASRYPDAGRIVLSQISSGSAVPLAAVLLRGLPDSPTAGVAYGVVLLFMGVFISWNGPATNFPILAEIVPERSRTSVYALNKSLEAMLSSFAPPMVGILAQRVYGYKPDDKGKSVRQDRQNAESLAKALYMAIAIPFMICASIYSFLYCSYPQDRERARMRLLVESELENMDPDSSCLEDGNGLVEVSDSVNGGEGEKDDAKLLVGRECEQEQ >Et_4A_034956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8176853:8183159:1 gene:Et_4A_034956 transcript:Et_4A_034956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSYTRRGRRLSLVSRLLQIPFPQLRHYPERPAAVLRAAGGSSSSAMDEEAKVGNADANNDAVAVPAAAAAEATTEREAMEEEKEEEEEEEEVGEVLERLVELVEEIAAISDLRNAYRRQFCNLSRRIRLLAPMLEEAKEAPRPLPAASEAALRRLREALRGARELLRLGSSGSKIFLVLEREKISKTFQDITERLEQALAGISFEELNISDEVREQVELVHTQFKRAKERADTSDDDIFDDLMSVYNSSSSANVDPEILRRLSEKLQLVTISDLNQESLALHEMASDGDHGAVVEKMSMLLKKIKDFVQTQDPGIGAPGSTANISPKGSSGCPVIPDDFRCPISLDLMKDPVIVSTGQTYERGFIERWLDAGHNTCPKTQQKLPNKSLTPNYVLRSLITQWCEANGMEPPKRPAQPSNAPVSCTAAEHSKVIELLQKLSSQNLEDQRSAAGMLRQLAKRSAENRACIGDAGAIPILVSLLSTTDISTQEHVVTALLNLSIYEENKARIITSGAVPGIVHVLKRGSMEARENSAATLFSLSLVDENKVTIGASGAIPALVHLLSNGSQRGKKDAATALFNLCIYQGNKGKAVRAGLVPILVDLLKETETGMVDEALAILAMLSGHHEGKSAIGAASAIPILVEVIRNGSARNKENAAAVMVHLCNGEHQQQHLAEAQEQGIVSLLEELAESGTERGKRKAIQLLERMNRFLKQQSQAQAEAEAIQQALARAQTQAHAPAQAEAQADMPVERSPLLPLSSSFPDR >Et_2B_021647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:449514:450606:1 gene:Et_2B_021647 transcript:Et_2B_021647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATSDARVLIQSLSTAYAATPKNLKIIDLYVVFAVATALVQVAYMGLVGTFPFNSFLSGVLSCIGTAVLAVCLRIQVNKDNKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >Et_9B_066097.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:3404258:3404707:1 gene:Et_9B_066097 transcript:Et_9B_066097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTTAAGRQARGADRTGPPKPRQVDPRREVDAGNQAGALLALQEAQNKLEDLPPAAMVDMLRVELRELVRLVNEGLTSEVIYVDQATQFAVKPDGPVPTDAEDVRTELDANPLAPFAGPIAYHIGEAIRSLQAVQSLLLSGGTAAGDK >Et_4B_036633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1013050:1016747:1 gene:Et_4B_036633 transcript:Et_4B_036633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDHAPRTKPQADKRKKRKKPKKDKWGQPISAATDAEEPLVEPEQEPLAEDVAAEADETPAPAPAADGYEPGKVVASGLPYSTTEDEIRELFGWYGPIRSVQLSRFPDSGQFRGLAFVCFESEEVATKSLELDGFKMGHRVMKVERCRVTASSNKKRKAEFQTDPDKSEGCLSAYVGNLSWNVTEKDLRDFFKSSKIASIRFAIDKRTGGSRGFCHIDFQDDESLEKAVAMNQSELQGRPVKIANNETKPESKPDAKAKTE >Et_2B_021247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27916289:27919944:1 gene:Et_2B_021247 transcript:Et_2B_021247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQPRFFVAVAAAVVLLLLLLMVRPGAALSPDGKALLSLLPTAPSPVLPSWDPKSSTPCSWQGVTCSPQSRVVSLSLPNTFLNLSSLPPSLASLSSLQLLNLSTCNISGTIPPSYASLSALRVLDLSSNALFGDIPGELGALSGLQFLLLNSNRLTGGIPRSLAKLSALQVLCVQDNLLNGTIPSSLGALAALQQFRVGGNPALSGPIPPSLGALSNLTVFGAAATALSGPIPEELGNLVNLQTLALYDTSVSGPVPAALGGCVELRNLYLHMNKLTGPIPPELGRLQKLTSLLLWGNALSGKIPPELSNCSALVVLDLSGNRLTGEVPPELGRLAALEQLHLSDNQLTGCIPPELSKLSTLTALQLDKNGFTGAIPPQLGELKALQVLFLWGNALSGAIPPSLGNCTELYALDLSKNRLTGGIPDEVFGLQKLSKLLLLGNALSGPLPRSVADCVSLVRLRLGENLFVGEIPREIGKLQNLVFLDLYSNRFTGTLPAELANITVLELLDVHNNSFSGGIPPQFGDLMNLEQLDLSMNNLTGEVPPSFGNFSYLNKLILSGNNLSGPLPKSIRNLQKLTMLDLSNNSFSGPIPPEIGALSSLSISLDLSSNSFAGELPEEMSGLTQLQSLNLASNGLYGSISVLSALTSLTSLNISNNNFSGAIPVTPFFKTLSSSSYVGNDHLCESYDGHTCASDMVRRSALKTVKTVILVCAVLGSVTLLLVVVWLLINRNRKFAGEKSMSLSGAGGDDFSNPWTFTPFQKLNFSIDNILACLRDENVIGKGCSGVVYRAEMPNGEIIAVKKLWKAGKDEPIDAFAAEIQILGHIRHRNIVKLLGYCSNRSTKLLLYNYIPNGNLLQLLKENRSLDWDTRYKIAVGTAQGLAYLHHDCVPAILHRDVKCNNILLDSKYEAYLADFGLAKLMNSPNYHHAMSRIAGSYGYIAPEYGYTSNITEKSDVYSYGVVLLEILSGRSAIDPMVGDSLHIVEWAKKKMGSYEPAVNILDPKLRGMPDQLVQEMLQTLGIAVFCVNAAPAERPTMKEVVALLKEVKSPPEEWAKTSQQPLIKPGSQQG >Et_1A_007288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32984372:32991198:-1 gene:Et_1A_007288 transcript:Et_1A_007288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPPSSASPARSTRRPSLATTIWEFVPSSPLSIGRSRFSSLDFLPAGYKYNNTTCQVADCYGGLVLLLNARNLIVCDPLSGLWQQVPYSMRSWGDTATLIDGEADGGGISSSNFRILYIFYPGDEAHLFSTAEDGDWCHLEYTAPAVDLDSQTMAHVAGRVDGSLFLGHKSGRLMVLDKACSDFSEIDLPTSTDPSNPHNRSSFRVVHSSGAGMEPQTVRIVHVNGEDLEVFRQVNGRWVLEHSVPRLSEVTRGLPDYREKKDSDWTTVEAVGDGAGFVVLSADYWCQRSLVFSVDLDTMEMSAAVPYETHRAPTRSYRLPWPSFQGNTCSGMDPRDTSRMNEKAAVAASIHDVPDDLLKLILLRLASSLWLIRAASTCKRWRGVVAANDGAAAFLRLSGTLHSPAIAGHYHLCKEMKEFISSSPVDGNRFSSFDFLPGFGDNKKTWWVADCYGGLVLLCKMKALFKRSKLYVCDPLARQYRRIHHPFRNTFDPNQYNSTDLSSGDAATLMDGEADGGGISFSNFRILYRFDEDVEGYVFSTAEGDEDWPWRPLNTAPAMDDIFNIAHVAGRVDGSLFLGLKSGRLVVLDKACLEFSEIDLPTSTDPSDPDNCSSFRVVHSSGAAGMEPHTVRIVHVNGEFLEVFLSVDGDWTLEHSVPRLSETTRGLPGYREKKDYDWVVEAVGDGAGFVVLSAHHCRQSWLVFSVDLDTMEMAVVPDETYRGPTCSYTLPWPSFQACVTKPEEATTEIDTYRVGRHHKS >Et_5B_045779.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:9605847:9606857:-1 gene:Et_5B_045779 transcript:Et_5B_045779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLLPRHPCLLLLAAAAIWGLAAVRCAPVYGPDYLVDGNQLVDMQYHMGPVLSASPTNLYLIWYGRWEPAAQAVLRDFLSSLSAPAPFPAVSDWWARTPRLYTDQTGANVTGAFAVAGERSDAAYSHGASLRRADVQSVIRSAVNAYPDPLPLDPYGGAYLVLTSPDVQMDEFCRAVCGFHYFTFASVVGVTVPYAWVGNSATQCPGKCAYPFAAAGAEYGGGGGGGQAVLRPPNGDAGLDGMVIVLGHELAEMATNPLVNAWYAGDTPTAPTEIADLCLGVYGDGGGASGLVGNVSRAPDGSSYNVNGVNGRKFMVQWLWNPVRAACYGPNASN >Et_5B_045684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7364249:7366627:1 gene:Et_5B_045684 transcript:Et_5B_045684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHHLLTAIAAVVAAVSLLFPGTMSYPWLILTSWPTAGNLNLLAATLPGNASSSSSNLFATAVAGAGRDRVWAAGVCRGGMGALGGRERDGVGRPVHERQLPEGDVLRCIHIGLLCVQGDLAARPVMSSVVMMLRSETVALQAPSKLAFVARNGVANTTVSMVSPQGWAHSRLFNAPPYVPPARIPLHLQGLGAFTHDELSRNNEDEIEGGGEENQCREGS >Et_3A_026653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12114361:12118112:-1 gene:Et_3A_026653 transcript:Et_3A_026653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQHLVMASLNAGHQTAVLVRPASVDDPGKARLVENFKTGGASVVYGDINDHDGLVRVIKQYDVVISALGHSSPEEVESQLNIVAAIQEVGNVRRFLPSEYGCNVELAEYMLEPARSILGAKFRLREALKVAGIPHTIISSNWIQGFLLPRAGDPEANGPPETRVTIFGDGKKQVFFINEKDMSAVAIKAVEDPRTLNKILQMRPQENLCSLDRLVSLWENKLGNTLEKSYVCEEEIVKKIQESPFPLNFQLAVVHWTLVAKEPKLREKGIGASAGDEVEATELYPDMKYITVEEYLDSLM >Et_1B_012594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33550874:33562306:1 gene:Et_1B_012594 transcript:Et_1B_012594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPVVALLAGLLRPVVATGQPFSPGKFALLLVHIYRAYRLPRHSYPSHKPYPRKPEVDTETEDLERARPFMAGAYYARAAVLLALGCVLATASAQEWLRAHATFYGGADASGTMGGACGYGNLYAQGYGTRTAALSTALFGNGAFTINGHDYFNLVLVTNVAGPGSIKAMDVKGSQQGSNWMPMARNWGANWHSLTYLNGQGLSFRVTVTDGQTLVFASLVPPTWRFGQSFASNLHPSFGCHVARSWATAVAAADVATNGPSAPAPTGWLRAHATFYGGADASGTMGGACGYGNLYSAGYGTRTAALSTALFNDGASVPCVRRGGVRFTIHGHDYFNLVLVTNVAAAGSIKSMDVKGADASDWMPMARNWGAQWQSLAYLTGQKLSFRVAITDGQTLVFTNVVPQGWKFDMAAARVFAILLLAIGWELAAADDDVATNAPAPVGWLKAHATFYGGADASGTMGGACGYGNLYSAGYGTRTAALSTALFNDGASCGQVPCVRKGGVRFTINGHDYFNLVLVANVAGGGSIKSMGVKSANSSDWLPMVRNWGAQWHSLAYLTGKMLSFKVTTTDDQTLEFTNLVPQGWKFGQTFASKLQFKYLQRLHLNFMFSVKFQGTRANEIDMAPARVLKALFLLASIGWELAAAADDAATNAPAPVGWLKAHATFYGGADASGTMGGACGYGNLFSQGYGTRTAALSTALFNDGASVPCVRKGGVRFTINGHDYFNLVLVANVAGGGSIKSMGVKGADSSDWIPMVRNWGAQWHSLAYLTGKMLSFKVTTTDDQTLEFTNVVPQGWKFGQTFASSSSSEQSCRESFLYDWALDICKFMQFGAK >Et_9B_064479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15542597:15545293:1 gene:Et_9B_064479 transcript:Et_9B_064479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAMARSPCSTLSASSLSPTPLLPVRLAVRPRHAHALLLPTARPLVARCAAAGDKAEAETPIEKRFTAFPTVIDINQIRDILPHRYPFLLVDRVIDYKPGEYAVAIKNVSINDDFFQGHFPDRPIMPGVLMVEAMAQVGGIVMLQPEVGGSRENFFFAGIDKVRFRKPVVPGDTLIMRMTLIKLQKRFGIAKMEGKAYVGADLVCEGEFLMATGSE >Et_7A_052687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12721437:12724512:1 gene:Et_7A_052687 transcript:Et_7A_052687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARLRLRWMAIEAIKGNEARSTAMRSDSRMTRGGAARAAASLLFTCGRRDPPGEDRGSSKEVIFVAGYTKIYQMTARVAFKLSSCQAGGPGRGVDLPLTNRQPHESSSTSIVIATTVNRTWVGDHRPGDFPPDVTNQLVVGLMRSTT >Et_10B_004326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:454114:459311:-1 gene:Et_10B_004326 transcript:Et_10B_004326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVDRDSDADWVVLDSENPADSSDDDAGVRALSSGCPTPDCRFDGDHLVGVVLALSSTAARRARASPGGSDDDEAKVLVGDDPEGLYEPADAEEVPQPPPPPKPLSGLFHHTLAGGVNYAAFDPVLLWPEKELIPDPSFSSIGSEEVTVLASSRGLVCLRGRTSRDYFVANPATNNRVRLPRHGRDHLAYGDPAVVITFEDTYTCCADHAGHYHVVVAFPLGDGVCGYESFSSRTWKWTVAEGVSAVEQVVSASGVGALGCAFWRTTMGYFLCYNPAAGNADLIPAPQEVMQWPYWELGEMNGTLAVICMDERVNEVVVINLQLEHAGAGDVYWALAGHFEGGCLRNRDQVQLLRSQGQEVVMWDPMVERVVATDIEGRTTRTIGPLSGHQYFADFIPYVRSSTGITRIEAGAQFKESQQFEIALGLPSCSVTTSAGQNQTPVDPKPPSPLTVASRSSTSATSGVATLSMIICATRSPGLTAKSSSEWLKSTTPTGPR >Et_7A_052492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8586207:8589052:-1 gene:Et_7A_052492 transcript:Et_7A_052492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGMEAGEEGVMATDFFWSYTDEPHASRRREILAKYPQIKELFGPDPLAFLKIALVVSLQLWTATFLRDASWLKILTVAYFFGSFLNHNLFLAIHELSHNLAFTTPSLNRWLGIFANLPIGVPMSITFQKYHLEHHRFQGVDGIDMDIPSQAEAHVVKNTISKCVWVMLQLFFYALRPLFLKPKPPGLWEFTNLAIQVALDAGLVYLYGWKSLAYLILSTFVGGGMHPMAGHFISEHYVFSPEQETYSYYGPLNLMTWHVGYHNEHHDFPRIPGTRLHKVKAIAPEYYDSLRSYKSWSQVIYMYIMDQTVGPFSRMKRKAPKKDV >Et_9B_063734.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:8606355:8607510:-1 gene:Et_9B_063734 transcript:Et_9B_063734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTRYWMLTRRKLGEQKAPLFATPNITVGSSGGSSSASYYESWEERAFAEDSAGHLGGCIWPPRSYSCSFCGREFRSAQALGGHMNVHRRDRARLKLAGVADDGGTDNHIVSPRQSYMIQPCPPQIASLQHAYVANPSASGTDTNADPLCSVLAHSPRSLVQPATARNVWGSQVVSAPLISVPAWIHSGKKEVLQDAGQTSQNNPRPAARMCPSQGLQVGSGALKLSILGCRTRRDASDDDKESVHVGCKRRRIDLETAPLILCSVTPKHQQDGEDNADDKPDGRKVLKFCPSSSVEELDLELRLGEAPKVK >Et_7A_052417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7880373:7882418:1 gene:Et_7A_052417 transcript:Et_7A_052417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLRFFHVRWRSRRRPEEKAPAPVPAAATFSAEARSSVSAAPTAAASSFASSSANATSLSEASSGGSSGSGSGSASSSSARSIPELYEERGARGRGLREFALRELRAATRDFSPTLVVGEGGFGCVYRGVLRGPIPGAGKDGAPVAVKRLNPNGRQGHKEWLAEVHFLGVVEHPNLVRLVGFCACETDRGPQRLLVYEFMPNKTLDDHLFNRAFPVLPWDVRLQIALGAAEGWLYLHEGLEIQIIYRDFKASNVLLDEEFRPKLSDFGLAREGPTEGQTHVSTAVMGTFGYAAPDYVQTGHLTTKSDVWSFGVVLYEILTARRSIERNRPRNEQKLLDWVRRHPPESARFGEIMDARLQGRYAMRGAREVAKLAGACLAKHAKDRPAMGEVVERLRQAMRHTEIDGVVDAAEECQGSPRLDQEESTGVPDAEDAGASAAAAAAARRRMQHLASLGEAADAHARRRLMLMRAIHVPLLMLLSVDSFLVQSGPVVTSQNFVSVVLTFLLVDAVFDLGSAQLALIAA >Et_1A_008467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:840532:846268:1 gene:Et_1A_008467 transcript:Et_1A_008467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRLLLGPYRVLLATPPLRRLRLARRAMSSAAAPAPAQRRRPLRGVVFDMDGTLTVPVIDWPAMHREIFGGETAYAAARAAGGGTIDILHLIESWAPDLQRHAYEVIARFEREGLNRLQIMPGASELCKFLDAKQIRRGLITRNVKDAVDLFHQRFGMPFVSALSREFRPYKPDPAPLLHICSTWNIPAHEVIMVGDSLKDDVVCGKRAGAFTCLLDETGRYGPHDSLPEDVKPDFKVSSLAEVFTVLEKHFDLEQTKRPLRGVVFDMDGTLTVPVIDFPAMYREVLGGDAAYAAARKAGGGAVDILHCIESWAPDLQRRAYEVIARFEKEGLDRLQIMPGASELCGFLDAKKIRRGLITRNVKDAVDLFHQRFGIIFDPALSREFRPYKPDPAPLLHICSTWNIPAHEVIMVGDSLKDDIVCGKRAGAFTCLLDETGRYGPHDSLPEDVKPDFKVSSLTEVFTVLEEHFDLEPAPSESRI >Et_2A_016675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27104410:27109285:1 gene:Et_2A_016675 transcript:Et_2A_016675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGPVPAAQNPGSRRDVVAAAPARRERDDEEQLCYALTGQGCARAGMAVALSDICTSPANWGILKTKNSPDVILLRYDYRAGFWGVTGRPCLGMIPIRVAVVDFPYIPEFNYPMPKNCAGGNTDVFVNGRELHQKDLDLLLARGLADSLGRSYIVENSGKVSDEGTGEELSGLGKLAPTKPHAGNASRSSIHLTNKYPSNEELLSWLPWIARSFSVVTVSQLIAFRNRLSAAAATSTARSTTILSGAAQQHDVNVHLHA >Et_1A_005530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11106362:11108372:-1 gene:Et_1A_005530 transcript:Et_1A_005530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIASSSRVRRAGLEPAPWVGRATFGSAHGPKLLLPLQKTLNRHRPMGRSDGKYLVTALTDTEDWKHRAAAQASGRTRGGWEMTAPKGTGGAGAAARAAAGPRTVLITGVSRGLGRALALELARRGHSVVGCGRSPEHVRSLEAEIASPSRHFLTVADVNNKTWNVPAEEFDMVVDTNIKGTANVLRHFVPLMIEKKHGIIVNLSSGWGRSAAAEVAPYCASKWAIEGLTRSLAKELPPGLAAIALSPGVVSTDMLHSCFGTSAALYQTTDTW >Et_1A_008969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22002144:22005374:-1 gene:Et_1A_008969 transcript:Et_1A_008969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAFEIDVRVITLPGIPPILNPKFQTFVKRERDSPGQKNKEAIAIAGNTKPTKGTKIEDAYLPLLLTGGNGFRICPDRAT >Et_3A_027207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4792806:4793539:1 gene:Et_3A_027207 transcript:Et_3A_027207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMILAVSPDFPITAGTGSANGNYGNIAATVERLEAALGDVREVVVLLGACPPQQPYSAYLFMENCMFGRAEKARTERHSALGTVPALRLLPPRREELWYLFRGLAFGGADPDLARVAVALFEEFIFLGVSPFTKVSKLAWRRALHASAATTVFLPGRSSHTSGGDLGLPKTEFCFLCRPVRDEASRAPLFFYDRRKSSSDRTRRCPRRRRAGDAVRRAGVAVSNPSVRELRRGV >Et_7A_050536.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:23243437:23243478:-1 gene:Et_7A_050536 transcript:Et_7A_050536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYLKEPRPMSN >Et_2A_016859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28923743:28924721:1 gene:Et_2A_016859 transcript:Et_2A_016859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAAAVLLVAAVLGLVSSACRADQGTATYYTVYTPSACYGFQDQGTMIAAASDALWAGGAACGRMYTVSCAGGTNATPNPCKGGSVTVKIVDRCPSPGCQATLDLSQEAFNAIGNLDAGKILINYNQYARRSLISPPVDLFRNHEQLCYCFSLFKLTRHIVLQGVKEQAERKADAAFTITQYSDILMPENKGTELL >Et_3A_024873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2514590:2515906:-1 gene:Et_3A_024873 transcript:Et_3A_024873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTWKGSTCYLTLILYSSRGGATVMASYSKWNGVPLHASRYLLTDVLKGKLGFKGFVVSDWEAVDRPCEPQEPRGSDYRNCLAQSINAGVDMIMIPFRFDKYFDDFLSSVEAGEIPMSRIDDAVERILRVKFISGLFEHPFSDPSLLDLVGCKEHRLLAREAVRKSLVLLKNGKTETEPFLPLVKNVKRILVAGIHADNIGYQCDGWTIEWSGGSGKITLGVSISEAIKESLGAQTEVVYEEFPSESTVETGEFSYAVVVVGEVPYVEWTGDRTDLSIPFD >Et_5B_043367.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8726882:8727109:1 gene:Et_5B_043367 transcript:Et_5B_043367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGATRRGRRRRWPFLPAAARRCGGAATSRTRRTGTRCCRRTSGWRGRWSGWAGRQRRRRRRKCAAAGARGGR >Et_4A_032522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1168535:1172585:-1 gene:Et_4A_032522 transcript:Et_4A_032522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAKDVGILAMDIYFPPNCVLQEELETHDSVSKGKYTIGLGQDSMAFCTEVEDVISMSLTVVKSLLKNYNIDPMRIGRLEVGSETVIDKSKSIKTWLMQIFEECGNTDIEGVDSSNACYGGTAALFNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPFSFESKYRGSHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYNVFCKKYEKLEGKQFSIFDADYVVFHSPYNKLVQKSFARLCYNDFLRNCSTVDEETREKLAPFAGMSSEESYQSRDLEKASQQVAKNLYESKVQPTTLIPKQVGNMYTASLYAAFASVIHNRHETLAGQRIVMFSYGSGLTSTMFSFKINEVQHPFSLLNIANIMDVSKKLEARHVVPPKKFVEALKLMEHRYGAKDFVTSQDTSLLASGTYYLTHVDSMYRRFYAVKGDSVTSAVSNGH >Et_7A_052790.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16421951:16422262:1 gene:Et_7A_052790 transcript:Et_7A_052790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGLFSYKRARGIKHKAAVPFYPRPPAATPTAPAQAGGKAKPVAAPWPAPAPPPSAVAVEVIGNSGAPTGGDDVDRRAALYISRVQERLRRERMNEDWRKYY >Et_3A_025652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31565302:31567608:-1 gene:Et_3A_025652 transcript:Et_3A_025652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTRRGKKRLLLLLPLSILCLAVLLASAASPAQAGRGMKRRWAGFDYYVLALQWPGTICRQTSNCCATNGCCRPKPLKWFTIHLNVEANTREVLAVPILWLFFDLLWWKGAILGARETHGTCAYPEIQDEYDYFSTALYLYSKYNVTKALRKSHIYPRGGRKYSVGHIVAVIEYAFGAMPSVVCNNGSVQELRLCFHKDYQPRDCAFETNNAPNRRSHCPRYVTFPSYKPSVLVNSTEGITNQSSGELHAYG >Et_6B_048387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1866108:1866938:-1 gene:Et_6B_048387 transcript:Et_6B_048387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRLKGTWFSQLQHLVQLQVEQESIVRNLHTNITEDQLSLVFEPFGQVELVQLATDPLTGLCNDYGFSQFDRLEDAKAAQSLNGQLDIAGRVTKGSAVTDDVGAQVNGATAGDLDDDRRWRPSESSILKTEFTFGLFWVLF >Et_9B_065216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2562587:2563661:1 gene:Et_9B_065216 transcript:Et_9B_065216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTSRKGGGEGSRPRTTAASQRRGTPARSPTLPETTRSQSDSAPSSARAKRRATRAAAKERTHEPKRARAANRSRDEEEEDASRSTGRQEAAVSASDAAEQAVSSSAVSTGGSSPLRCPCLPLVAKSRDPDGAEVYDTNLDPSVFSAYFEEDEKYAAKLKRQMKLATLDTNAPFSCLSKDSLLTVRESAMKTVFQAAKFVVGLSSTVDVPLPTSNFACNKMFLSLPCHVSCCLLLIHSFADGKPLARCSGFFVEWDSKAKVGKILTSGHLICTKYPSMFDKFGKREYAATAQLTLL >Et_5B_045123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9785451:9790192:1 gene:Et_5B_045123 transcript:Et_5B_045123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGLPYYSSFSRALSARRRRPGWGNQPCNNRDAEFCHQTWSGDGERNEWTDKQDDAHLGNRSRAKEEEKALRLQEERKEREEGMSSIAFPTGALRLLARCGGDKPIVGFGFWFPLQFGARTGRRPVRCGRCTGRCGPRPAAGGSCGREAKRAHVSVTEIAPLGPLQLDPARLAHGPSHNPSLRLRSRRVREALEAARRSEAKVRDHVSVARRRLPHHLRRGCSRGGVPHLPPGILECPFAASASPNPLQDLRQVCRCRHGHVVQPERLVVLLLRRLCWDEARGPIVAFHVRLNSMFLSKPCSLALPPDSPLRAEDPHYEGIKRFMLTLLLFYSKQSKSIRGANVVYDRITSQVDSPAIYDVFQLEKTFKTTFSLLVLHMWLVLRRLKEEGKDGVKFGQYIYEMYNHDVELRVSKAGVNLLLTKWMKELEKIFYGNIVKYDAAISPEARQDDLVNVIWRNIYAEEGTETIDAAATPAALARYTRREATCLSLTDKDAMFSGNFKFTSLLPTTPSPSPKKAAR >Et_6B_048808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1444050:1445106:1 gene:Et_6B_048808 transcript:Et_6B_048808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRAKRRRPNRAARDSKAGPREQQQPGFGGLPASATAIAELERQEYGAMAGPRKKKRRCDGDGTGEGSPATTEVSCPICLEDFVVGDGLIVMPCSHSFHGSCLTEWLKRSRFCPCCRHALPAEDETEPVNTNDSGDTSQGSDGQAATGAPRRSNRARQANERASCINPSTSSNKEHVV >Et_2A_014815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16798738:16799799:-1 gene:Et_2A_014815 transcript:Et_2A_014815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRPPSLTTRPPPLPLRSNPNPPPRWRRRPRETITSTSSGIRRGRRRRSRGRRSSACGSGRGASSRRRSATRRARARACGSAPSTAPRPPRWPTIRPPSPCAAPPQRSTSPSTAYRTRSARSRSPAPPLTPARPGPPSSRSRAATPCGSARRTRTRASSRRPATPAASWSWRTWAPITWMSSSGSQPPSTESAKQRARCPAQSKKKKKKKNPTSPANCKRSVASHPFFFPLSLSLSLSLSLSLSLSPIQPPLLVVRCVRSSCFGSALLQQVVEREWQPCTMRNEYYYYYYCITLIFLVPPLILIWKLLPSALCMRAPPEFWNNYCAICGRSR >Et_7B_055636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18759198:18764386:-1 gene:Et_7B_055636 transcript:Et_7B_055636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRAEDLRHGVKSGTAEGTSIDNHHAIPRPDYDSWSSPGNMPGNGHDIGSEELSSGFQREMKAMNKFFAIMLVFMITQGLVIHSFGTLESTNTGKASGSKPTLGVAGATTVDNHHAIPRDQYSSHGGDDGEWVPFEQILMAPLDSMYFCPELNLRHAIALPYPSSEIFDTIASADHTLRSSIFFTL >Et_4A_031867.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25035756:25036856:1 gene:Et_4A_031867 transcript:Et_4A_031867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAMAPPESFLLLLPLSPPFPPLSPPPPPVGEIGDDGCPAGAGDGDTSPGQGPKGPPHKPVPRKAERGCDRSPAGMDPFSWLNDTLNCCSCGRFISGISPVKRLFSRNRNLRRVRLPSAAGMGPDRSFPSSARRMRPWSARIACGNPPDSRFPLKKTFRSAEAEARSSGSPPESVLRRRLSVWSAVRLPSVPAGTCPESLAPGSRSIATRPLSQETPTQLQAPLVSEAFHGRCRPTASRNASSARASSARSAAAGAVSAATTTRSSKGRAQQWSRRPGRHGGGVRILAGGAAGRPRPGRERSRRGEGCGVSVGERMEWAASVCAEAEMACRKNPKNSRARFAQWGSGSLVFASRGARDLFRGVG >Et_8B_058808.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:7371595:7371963:-1 gene:Et_8B_058808 transcript:Et_8B_058808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EAHICAQEPKTLDHLILQCVHSRETWFRVLRRVGLQHPPWMLYILSYGDTQRARRSSKSSDRILTPWLFWSPGGCGRRGTSGSMSSRCFSWLHLRRRSLMRLIYGPWQGLYDCGSSCFLSDL >Et_5A_041099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18284385:18289953:-1 gene:Et_5A_041099 transcript:Et_5A_041099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASRLVLLLLPLLLCFLQVSFASPAALLAGELLGQARAPGFAAWLRGVRRRIHQHPELAFQEHRTSELVRAELDAMGVPYAWPVAGTGVVATITGGAGDGPVIALRADMDALPVQVEMVDWEFKSQENGKMHACGHDAHTTMLLGAAKLLQDRKEDLKGTVKLVFQPAEEGGAGAYYVLQEGKLDGVAATFGLHVDPVLPVGVVSSRPGPFAATAARFKATVTGRGAHAAGPHQAIDPIVAASTGILSLQQIVAREIDPLQSAVVSVTFVEGGDAYNVIPEYATFGGTLRSMTNEGLSYLKKRTKAIVEEQSAIHRCSASVDFMEDKMKQYPALINDEGMYAHAKAVAENLLGKQNEHRTSELVRAELDAIGVPYAWPVAQTGVVATIAGGAGPVVALRADMDALPLQELVDWEHKSQESGKMHACGHDAHTTMLLGAAKLLHSRKDDLKGTVKLVFQPAEEGGAGAYHVLGEGVLDDVSAIFGLHVDPTLPVGEVASRPGPLLAAGGRFLATITGRGGHAASPHHAIDPIVVASSVVIGLQQLVSREIDPLQAAIFISCSENMMTALLSPFSGGLCHIVKTHSTVHQCTATVDFMEEQLRPYPATVNDENMYHHANEVAHTLLGQDNVKISAPTMGSEDFSFYAQRFPAAFFFIGVRNHTMEETYPLHSPHFVIDEDVLPIGTAFHAAVATEYLKKHTTT >Et_8B_059471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18233276:18250025:-1 gene:Et_8B_059471 transcript:Et_8B_059471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLIFSEQPEAYPSTFRLSAQPKFTITRNCPEPAAPRRRRSPAHSRTPENPAAPRAPPHPVAGRPDQTHASASSALASPPLSAATCDAKALPSSSSPPTALTTVTRSRLTAGPGGMGGDGGDGRANASEEGNMEHDAEEYDDEEEEEDGYEFGDAEDAMQYVEMMEGSDAARPHDYEELAARKRKALAEEQPQREESSKRPRKDDLSEAEAATMFDQLMEGFGLRRKRRSKEAKKRGRKKGTKNKCNPEVAKKLGDATLLYTESKFKEAIPILHEIVRIAPNLPNSYYLLGSIYDEIGELDKAIDFLMLAAYVSPKDASLWKKLVGLARKKEDAPLARYCILKAMRADPEDIGLKYVCADIYRKLRDYQKAAEIYEQILIIDHANVFVRKVAAQMYRDCGQIDKAINLLEEHINNRSTNMDWSLLDLLISLYLKSNAISEALKQIEKAQLLTGSKHKLPIQLQAKAVICHAYLGDMKHAEVFLQDVHLEPSKDNIDVIKELASTFENMGQYEYAVKFYLMIENIAEHNDGGSYVKVARCYVVLGEKRKAIPYFYKAIESMEDSIDIRITLSSLLVDEDKTDEAVTLLSPPKSSEVQSANTPDQQKPWWCDGKVKMQLAKIFYNKGTLEKFVDTIFLPLLETLKVEHDNQKIKLPRKLQNAILHDRVKVLAEPQPERVFQRIRPIASPGELQQAKRAKKSIEKRAAANEDLNTDDLRRTKQVPPLPDLLTNVDNHLLVLNLCRTLALQQRYLEALQIINRTLKLGSNGLSVDNQEELRSLGAQIAYRSPDPRHGFKYVCYAVKQHPYSLSAWNSYYKVASRIEDASTNKFILRTREGKTDCVPPIIISGHRFTALSQHQAAARDYLEAYKLEPENPLINLCIGTALINLALGFRLQNKNQCIVQGFAFLYKYLSLCGNKQEALYNIARAYHHIGLITLAAVYYEKALATEVKDQPIPKLPYESGSCTEQDLRPGYCDIRREAAFNLHLIYKKSGATDLARRILKTYCAV >Et_2A_017835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6977707:6983566:-1 gene:Et_2A_017835 transcript:Et_2A_017835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METEAMDPHAKRRKTEAGGSQESGELLTPPPALATDALHLEPSSEARESSPDQELHLAGDGHGHDGVDRISGLPDEILGEIISLLPTKDGARTQSLASRWRHLWLSAPLNIDHSGIPYGEKLHVDVISRILASHPGPARRFSVRNMPGCDPDRCAAMVDAWLRSPALNNLQELEIQAVSWRQKQHLPASAFRFSATLRVVTIGFCHVLDGVLRQLGLVNIKVSVAALHSIIAGCTKLECLLITHITEVDVGHTPSIRINSPSLITIGFASCLYHELIIEDAPSLQRLFCLDLNCSHISVISAPKLETVSGFSYCDLTKLTIGGATVIEGLRASFATTVRSVKFLSINNKKFCLDTVINLMQCFPCLEKLSLQVIIIPWLSILFSYSILINIYFNEICSPLLYLTLRYLMRRRATIYGAVSGLIRCLDIHLKVLVLKNYQGTKSQVNFVTFFIMNAKKLELVRFEGGPYKGYNKSIAKQQKRLQLEKRASRCVQIHFISCARPQYLPRIKHKRRIEASESQEAGELLIWLRHEKHHPPPALVAGLRPTSESGALHAGRERPPGAGEEGEEGIDRISDLPDANLDNLPPPTKDGARTQILASRWRHLWLSAPLNLAADGLGVCEERLSTLIYLILAAHPGPARRFCIPLRDLDYSQTMIDSWLQSPALHSLQELEFDLQCCRHQCQYSGPSCPCYRYRESLSLSASNFRFSATLIVATISRCKILDGTVEMLQFPQLKKLGLEHVEISEVSMNNLIATCPKLQAVTTVAGNVRMLSINNYSLSLDMVICLIQSFVHLEKLYIQYCPKCFPTVFFRCETSNVPGGKNLWRRKHHDLIKRLDIRLKTVVLKNYRGVKSQANFATFFILNAKMLEFMIFQGKPYDDTRKFLADQQKLLQLEKRASRGAQFHYTTAVCDHCFPHIKDVHALSRADPFQCTC >Et_7B_056033.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:9885376:9886950:1 gene:Et_7B_056033 transcript:Et_7B_056033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASPAAVAAAARASPTPAAVLALFKSALTADRSLCPLAVLPHLAATPSLPYLLLTASAAARPHATSLRLYAQLKSLAVPIPVASLHPLLSSLPSAPAFALFADITRLRLPLCTTTFNIMLRHLCATGKPVRALELLRQMSRPNAVTYNTVIAGFCARGRVQAALEVMREMRERGGIAPDKYTYATVISGWCKIGRLEDAAKVFDEMLTQGEVKPTAVMYNTLIGGCCDQGKLDVALQYRDEMVGRGVTMTIATYNSLVHALFMGGRAAEACAMVEEMKGKGISPDVFTYNILINGYCKEGKEIKALDVFEEMSAKGVRATVVTYTSLIYALSRKGLVEEAYRLFNEAVRKGIRPDAVMYNALIGSHCTAGNMDRAFEIVAEMEKKRLEPDDITYNTLMRGFCLLGRLDEARGIIDEMAKRGIQPDLVSYNTLISGYSMKGDVKDAFKVRDEMMNKGFNPTVLTYNALIQGLCKNGQGDDAEDLMKEMVGKGITPDDSTYISLIEGLTTENERIAAANAAEA >Et_9A_062295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21654875:21658384:1 gene:Et_9A_062295 transcript:Et_9A_062295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTLTAHTSWRCPRPRAVSHPPLSTGHRRASRARPLPEFPNSGGPLRPATPRTSVPLLSPPACTMTPFPPTNPTRPPEPIPPRPPPQASRQCSRLSPRNASATRAPPRPPTPSGRLSTFREDVGHAAAETYLVTGLAFILLRYLGVGYRWISQLVALIVYAMLLMPGFIKVGYYYFFSRHVCRSVVYGDEPRNRLDLYMPRDRSKPSPVVAFITGGAWIIGYKAWGALLGRRLAERGIIVACIDYRNFPQGTISDMVTDASEGISFVCNNAASYGGDPNQIYLMGQSAGAHIAACALMEQANKESRGEDISWNLAQIKAYFGLSGGYNIQKLVNHFHERGLYRSIFLSIMEREGSLPRFSPEIVAKKLSAEAIALLPQIVLLHGTEDYSIPSSASETFAGVLKQAGGKVKLLLYEGKTHTDVFVQDPLRGGKDQLVDDVVSVIHADDALAREKDASTPVPERLVYEWQIKLARQISPF >Et_4B_038994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6733489:6735206:-1 gene:Et_4B_038994 transcript:Et_4B_038994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLRYAPYVILVVSFLYILRSFAGGRRSNLPPGPRPLPLIGNLLDLGAQPHRSLARLAERHGPVMALRLGAVTTVFASSADAARDVLQRHDAALAAHYVPDASRACAHDRHSMGWLPPSGARHRALRRVCAGELFAPRVLDAQQRQSLRRQKVRQLVSHVARLAAAREGEGVVVDVGRVAFTTVLNLLSCTVFSVDLDDYERGATAAPAPGKLKDVIKEFTTANGVPNVSDFFPVLAPLDPQRLRRRVGRVFQRMYALFDEQIERRLRERAAGEPPRNDFLDALLDYRGAEEGRGFDRQTMLSLLTDLFSAGSDTTTITVEWAMAELLQNPSSMAKARDELTQVIGSKPELEESDIGKLKYLQAIVKETFRLHPAAPLMLPHQAETAAEVGGYTVPKGARVVVNVWAIGRDAKVWPQPDKFMPERFLEKEVDFRGRDFELLPFGSGRRICPGMPLAARTVHLMLASLLHRFEWSLPADVEKNGLDMAEKFGVVLSLATPLQAIAKPV >Et_10B_002691.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:8470653:8470835:1 gene:Et_10B_002691 transcript:Et_10B_002691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWQWSTGKLVMILDAVHWNPEAPPRTLPRVRLRCGKAPPCCASSQLRRVSLVILIKPQP >Et_8A_056413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9721791:9722251:1 gene:Et_8A_056413 transcript:Et_8A_056413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPLSSWNKCLWALLCFAVVRSDHVERLVRIILPLELILWQKEEEERRKKEEEDYARSNLQGCWPSFFGHLFSACLLSVCLCGLLHESLYRFGIEIQSCMTREYNEDKDQYLDDFKCNFIIFESAVCDMLMYLAMI >Et_3A_025210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27930091:27932516:-1 gene:Et_3A_025210 transcript:Et_3A_025210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTSSRCDACRGDMGPGQAALTSECEHKFHVRCVDGRAACPVCHARWSETPAVASAAAPANPFSFASPPAPSHPSPMGLFGHSQPPPATTSSFAFSSSQAFQTSTTSSSSSPQSFGGSPFGQTSPSCSVCGNFTGRGQATITSECNHTFHLRCISGGTCPCGVARRVSMKPTPTPPPFNYSPFPVPQSIFQQHVSPYNPFYKAPSPSPFADPFNDDEPVEQPPLDGQDNAVQEPGRNGGVLVVKTHCEHPAVARDAAQENFVVMVNAKAPLAAAPGAAARAPLDIVTVLDVSASMGGPKLELLKQAMGFVIDNLSSTDRLSVVTFSTSARRVIRLTRMSDAGKALAKGAVESLVAERSTNIGDGLRVAAEVLDGRRQRNAVASIMLLSDGQDNCSGCYGHHIDLVPHSLRRGAGNRGSPVHTFGFGVDHDAAAMHAIAEATGGTFSFIQDQAVGQDSFAQCIGGLLSVAVQEARVAVECHCLHPGLRVRAVKSGGYESRVEADGRAASVDVGELYAEEERRFLLFLNVPVAAADEGGVTRLVKVSCNYRNAATGLLVNVAAGEEDATVQRPVVVAAADTEPSVEVARERFRVEAAEDLAAARAAAERGEHAEAARILDRRQEAASAAAGLAGDARCAALVAELREMSARVASRREYEQTGRACLLAGISSHAQQRASTVQLFGSAAPSVGAAAASSSYGGAGVGASAGFGGSSMFGAPAAVASCPSTYAPIPTCGAPAPSSFVSGAPAPSFGAAFRTPAMEDMVELSRKRREQQGPFGPN >Et_1B_010875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1554495:1555876:1 gene:Et_1B_010875 transcript:Et_1B_010875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEILEFCMVAPCEATPRHEVWISNLDLLVARSHTPTVYFYRHSTAPAFFSPATLKDALSKTLVTFYPLAGRLAQDGAGRPEIHCTGEGALFVTARTDATLDDLGGFAPSDELRRMLVPSADRDELVGILSMFQVTFFKCGRVCVGAAIHHTAADGLAALDFVNTWAAIARGVVDDAAAPPRPWLDRTLLRARSPPVVRFDHAEYSRRGGGGPKPPATKVPFDSAILSMSRTQVDVLKASGGQGKKLSTFKAVAAHVWRCACKARGLRGTDDTRLYMTVDARSRVHPPLPAGYLGNAIFRASTVAKVDDVIADPLDAVADRVSGATAGLSDEHIRSLVDYLDQLVNDAAGLRKGEWVMPETDLWVISWQGLPIYDADFGWGRPVFMGRACLQFSGLVYLVPGPDGDGRLDVVVAMEPKSMVRFKELFYEELVKY >Et_4A_034343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31915874:31917643:-1 gene:Et_4A_034343 transcript:Et_4A_034343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLAKSISSAISPPQNTSIRARVAMTTTMMRHLFSSSSSASPPIYTSALARIRTSTHRLASQLQKRTTRTMSSSSSSDAAVSAFEKPRMVVKKILAESQPEGQGATVRRSIGRPELRNLDPFLMLDEFSVSKPAGFPDHPHRGFETVTYMLEGAFTHQDFAGHKGTIKAGDVQWMTAGRGIVHSEMPAGDGVQKGLQLWINLSSKDKMIEPRYQELQSKDISRAEKDGVEVRIIAGEAFGVRSPVYTRTPTMYMDFTMQPGSQLHQPIPEGWNAFVYIIEGEGVFGREKASPVSAHHCIVLGPGDGLSVWNKSGAPLRFALVGGQPLGEPVVQHGPFVMNRRAEIQQAMEDYYYGRNGFEKARQWSSSA >Et_7B_053617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10371160:10371604:1 gene:Et_7B_053617 transcript:Et_7B_053617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRNVVSATAAIGALTRRGRHRDAFALFSQVLADGIAPNEFTFGAILRSATALLDLRAGAQLHACAAKLGLCSNVFVGSALVDHYAKMGAMPRARSTTGLLKNGMPEDAVRVFRCMPERNVISWMERDDRRIQSGRSQ >Et_1A_009537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7681108:7683939:1 gene:Et_1A_009537 transcript:Et_1A_009537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSAAATAAGAMTAALAPIPARTGTFRSAQVSRHPLPTLRCRRSRPLTAAAAAASSSPSSPVFHGECFVVGENIDTDQIIPAEYLTLVPSKPDEYRKLGSFAFAGLPSEAYPTPFVAPGEESSRYAIIIGGPNFGCGSSREHAPVALGAAGTRAVVAESYARIFFRNSVATGEVYPLELAEAGAWKECKTGDIVTVDLGNSVMINHTSGKEYKLKPIGDAGPVIEAGGIFAYARKTGMIASKAAANLVVFACEKQ >Et_2A_016853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28827227:28835662:-1 gene:Et_2A_016853 transcript:Et_2A_016853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGNSSSGLWSALGQASNVAQLVGVDALGLVSMVVQAALAARRNRDACRRLAQHVEVVGGLLREMELAELMRREATRRPLEQLGGALRRCYALVTACQDCGYLRGLLVGARMADELRAAEKEIDMFIRLIPLIALVDTTHNDRVTLLMVLHNDKIYEPSDFPEIHIQGANKLCNVANQTLAGEMDLQEQKNVDIEELLELCAHTEESCLGFKKFEFYQIVSATDNFSENRKIGCGGFATVYKGPFPDGCTVAVKRLDEHATVFDFRNEFLLARLQHTNLVRLLGWSIHGKERVIVCEFINNGSLHHFIFDKRKGLLLDWSKRLNIIKGLAEGLVYLHKNSKLWIVHRDLKPMNILLDSDMIPKITDFGSARTLSSDVAEERTSRVVGTSGYKAPEYASRGIYSVKTDVFSFGVLALATISGRKNTILEQQGDTVGSLVRDAWRLWKDGRLHELVDPVLGDGYKLAEMIQCAQVALLCAQEDPADRPTMSDVVALLNFESVSLLPDPKEPSEMINGGATGGKLSTSVSQSSRTIDITITSSAPVSTRVRIILDSEPGSLWASSGLWSALGQASSVAQLVGVDALGLVSMVVQRWRRSHRDACRRLAQHVELVGGLLRELELSEVMRREATRRPLEQLGGALRRCYALVKACQDCGGLLNRLLLGARMAEDLRAAEKEIDMFIHLVPLIALVDTSHDRRAKATVPSVVASCSRIPSSAVELTNISVQGAAVPCKQLIQGTVEQEVMDVEQLVNLCTRTEASCSGFKKFDFFHIVEATDNFSAKRILGRGGFGTVYKAQFTDGIMVSIKRLDEHASDFDSELQLASLHHINLIRLLGWCVHGKERILVYEFMHNGSLDRIIFDRAKAALLNWYKRFQIIKGLAQGLVYMHKNSLLWIIHGDLKPNNVLLDHDMSPKITDFGSARTLSSDIAEGRTTRAVGTRGYMAPEYATRGLYSAKIDVFGFGVLALVLISGRKNCISEQQGDTVGNLVRHAWQLWNEGRLHELVDPFLSDGYEIDEIVRCAHVALLCAQEDPTDRPTMSDVVALLNFESKSILLDPKAPPELIRRGATDGDDKLLTCAGQSSRTIDITITSSTPVTTRVRIIVEPGT >Et_2A_018303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22045109:22048612:1 gene:Et_2A_018303 transcript:Et_2A_018303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSAIPLYTSPPGTVYSSEFDPSSRGSPPCTTAAPPPAAASHRLPAGGGGLSCLFSSPAAAAAPPRAPAHDELGALWHDRSDDLTLAGGGYSYSQSHSSSPLKWRDLHHHHHHSPVSVFQGPSSSSPSRSPPASWLTGRDRDRLFAGFVRNALGSCVDYAPATSPRPEVGAGELAFELDENLAEPSPACEPYARELLASAQDRHRIFHEELVVKAFLEAEKAHRGQTRASGDPYLQHCVETAVLLANIGANATVVSAGLLHDTIDDSFVDYDHIFHMFGAGVADLVEGVSKLSHLSKLARDNNTASRTIEADRLHTMLLAMADARSVLIKLADRLHNMTTLEALPLYKQHRFAKETKEIFVPLANRLGIASWKDQLENLCFKHLNPEEYKELSSKLTESFDEALITSVVDQLDKGLRDAGVSYHNLSGRHKSLYSIHSKMLKKNLTMEEIHDIHGLRLVVEKEEDCYRALSVVHRLWPQVAGRFKDYISRPKLNGYRSLHTVVMSEGVHPFEVQIRTKEMHLQAEYGFAAHWRYKEGTCRHSFVLQMVEWARWVLTWQCEAMSKEQTASLGNGDTMTPPCPFPSHSEECPYSYSRQCNHDGPVFVILLEHDKMSVQEFPANSTVMDLMDRVGANSPRWSPYSIPMKEDLRPRVNHEPIGDPNRKLSMGDVVELTPSLPHKSLSGYREEIQRMYDRGGFALATRGGSPRRR >Et_6A_047688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9704477:9709550:-1 gene:Et_6A_047688 transcript:Et_6A_047688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRCLLHPRILRLAVAVAACACFSAPVSGIRNDIGLVSPMMCRSTIQGRHLISDDNGYVCSALEFDPWSHCCPITGGRFSCQGCKRDLQCCNSYEYCVSCCLNPSKTKKEDVLKLKVAKPLTAGTYMSVFDFCVGRCRHSSTSVVHENAYSSDFHHCFSVRQNSTGESCSLVCKARGQSCVASRLSALNKCEILQKYMRCKSGCFRSLGPDQPAEVVDEAPTSLNPGACLYMQMDERLTCDGSHQHTKRLCPCA >Et_1B_012279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30474969:30477536:1 gene:Et_1B_012279 transcript:Et_1B_012279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHYPDHGFSMDAAAAAAAAASSSPNPSGFSPGGGEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLAAAAGAAAAGGPSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMGCWELEQSLQSLTGASPGEGTGATMSDDEDNQVDSEANMFDGNDGSDGMGFGPLMLTEGERSLVERVRQELKNELKQGYKEKLVDIREEILRKRRAGKLPGDTASVLKAWWQAHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNPTSSGEKTKKKRYHNKKKYVSLLHDGLPLFKLLSDCTALNL >Et_1B_010909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15295549:15300786:1 gene:Et_1B_010909 transcript:Et_1B_010909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQGSSPPAITVQVKFAGRTIPVEVPAAATAAELKRLLQPLTNVLPRKVLEDAASLSSMQVVNGSKVMLIASQGLHQGEVPEEVWDCGPSVRILDVSNNGIKEIPHKIAALKSLSKLLLTANDIADETISWEGLSCLPALLNLSLSQNRLVNLPSTLGSMTSLRELRFANNRLESLPVEIGSLKHLQILIASNNRITSLPSSIGDCESLIEVDLSSNLLAKLPEALGKLHNLKALLLRNNGLTSLPANLFKRCSQLTTLDLHGTEITNDVLRQVEGWEDFDERRRQKHQKQLDFRVGSSGVFDEGADDDNKRRQNATGSLWKNLSVVSSGTL >Et_3A_025484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30135528:30139460:-1 gene:Et_3A_025484 transcript:Et_3A_025484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPARAPHPAARGGRALYELYRAASRAAAPAVLLWRRLRGAEHPSRWPERLGRPSAARPRPGSPLVWFHAVSLGEGMAALPVVRHCVRLHPGLPVLVTTTTLSSFEVIKDLLPEGVIYQFAPLDCPEAIDSFIGHWKPNLVLLMESELWPNLIMSAAEKGIAVALLNARMSLKSFNHWSTPLGLPLVSLMLSKLSLVVPLSTIQAVRFQLLHTPPGIIHFAGDLKYAAGDVDTRKNEINSIEDLQQQFSNRPVWMAASIHRGEEEVILRVHDELIKVYPTLLLIIVPRHPQESKKFSLALKKRKVNFMLRSTGEVLSSNTSIYIVDTLGELKMFYRITPIAVIGGSFLSGLAGHNISEAAAAGCAVVTGPHVGHFYHMLVEMWQINPLAAKQVTGEFELLEMLKELLGDSKALGERQRAAKDAFSIMSDGVVNRVWNLVRRFTIDLQTDTWNC >Et_8A_057834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8016168:8020289:1 gene:Et_8A_057834 transcript:Et_8A_057834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLRRRLSMGLELLEDDDADNDGGGGVVSWVPRGVDTHLLEHEGQVRFLYRRWEETRWGSFLWPRVSFVLKADPEDEIMAVMTSWGYARTTSIFQLSVPAGGRDAGAYCLCRYDWLERVATVVKRLPGNWNWAQGRWFLPTLN >Et_1B_013404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8061111:8062586:-1 gene:Et_1B_013404 transcript:Et_1B_013404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIRCTCNRQGRYLHSSSTIPPVKRREREFRNSIGGVHCSGPQPLNSTFIEMPFGGGLVRYGQRPASQKSAMVSSYRSLSQYQFKFSFSFSAVYVGIRVVSG >Et_4A_035880.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7820101:7820565:1 gene:Et_4A_035880 transcript:Et_4A_035880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSKIDPFTLDLWDPFFPFGSGSSSGSLSPRVSSDSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEIEDDNVLQISGERSKEQEEKNDKWHRVERSSGKFLRRFRLPDNAKAEQIKASMENGVLTVTVPKEVKKTEVKPVQITG >Et_10B_002653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3317806:3318468:-1 gene:Et_10B_002653 transcript:Et_10B_002653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFVRSPTGRTTRLRVQPSDTLYTVKEKILEQHQLVFDGVELEDDLTLADYDIQHEATLDLQEKMQIYVVETLTRRTITLEVDSSDTIDNVKGKINGMEGFPKSHQCLIFENKQLDDSLTLAEHNISKEGTMQIFVKTLRGITVPLEVESSNTIDHIKMKIYEKEGSRPIQQRLIFAGKQLEDGRTLAHYNITKDCTIHLSLCLCGC >Et_5A_041984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4918111:4921231:1 gene:Et_5A_041984 transcript:Et_5A_041984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQDDSSATSYSPQLVGNAFVNQYYNTLRNSPEHAHQFYYDSSTLGREDSDGKMTSVTTMDGINAQIMSTDYTGYVMELQNVDSQASHGGGVLILVTGSFTTPDAVKKKFTQSFFLAPQENGGYFVLNDMFRFVSQMPSTVITEKTSHAIESTQRVTLQAESATAQESMVPDLPSAASLPVNHIVTSPSANGVPSVKKNSTCVETCVKAADVENMPEAAPTPAPVEKVATTTASVEKATPAPTSAEKAATTSAPAPPAMDVTKRTYASIVKVMRESTHPAPAPAVKPKSSPRPKAAQNAEKSASSPAKPVHATNTASAEPGYSIFVKNLPYNATPEMVEHEFKKFGPIKPHGIQVRNSQVDRFCFGFVEFESQQSMQAAIEAHTVYFGERESYVEEKRTSTRGCGQRPQGNGYHQNGNNYHQNKNGYHQNGNGYRQNGYAQNVNDQQRRPSNNGNGTGNGKVERANATKQTPAAA >Et_2B_020610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21846323:21850773:-1 gene:Et_2B_020610 transcript:Et_2B_020610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDNGSGDATPGSPSPTHGGRLRHRKRSSEVHPDVNKSNGANLLAYDKNKYKSMLVRTYSSLWMMAGFVFLIYMGHLYIWAMVVVIQIFMAKELFNLLRKANEDRQLPGFRMLNWHFFFTAMLFTYGRFLSRQLVNTVTSDKLLYKLVSGLIKYQMFICYFLYIAGFVWFIVTLKKKAYKYQFSQYAWTHMILLTVFAQSSFTVANIFDGIFWFLLPASLIAINDVAAYFFGFFFGKTPLIKLSPKKTWEGFIGASVTTMLSAFVLANFMGHFQWLTCPRKDLSTGWLHCDPGPMFMPESYDLPGWIPQWFSWRQVAIMPVQWHALALGLFASIIAPFGGFFASGFKRAFKFKDFGDSIPGHGGFTDRMDCQMVMAVFAYIYYQSFVMVQDLSVETMLEQVLRSLTYEEQHDLYEQLGKLLARRN >Et_6A_047237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:27061267:27064216:-1 gene:Et_6A_047237 transcript:Et_6A_047237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASALDSAWQYLIGNFSEFQLATVVTFLLHETVFFFSGLPSLLFERFGLFAKYKIQKKSNAPAYQNRCVLRLILYHVCVNLPVMIFSYPAFKFMGLRSSLPLPHWTVVVSQILFYFVLEDFIFYWGHRALHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPALTGPHLFTLWLWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGSDFHDYHHRVLYTKSGNYASTFVYMDWLFGTDRDYRKTKAVEEKEGKNL >Et_1B_013585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9774065:9782465:1 gene:Et_1B_013585 transcript:Et_1B_013585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYMFYVHQNCVKWPETSRFQPVNAVLAAKPTDFVDSLSRTKSSNRRRPVGNRVQIDQIDATLVYFAAPATGFRRRTAAGGDSGGSWRRGERGPEGEEGLPRERRDGRRLAAAGVERRLRRLLLDGDKADQGAVPLTDAEAAAESAADARDRSRLGISRLRKATLIARATGFSRNNKKSLGTYRLSQAKAVATSSLPSPPPPSIPGSSSGPAPYSSSSSAAAVAGVANPSMAKPARSPTAEAPPLPPERPRSASGSGLRSLASAASGWWDRWGVMGSSLSKLERAFGDQFPEGERYFGLENFGNTCYCNSVLQALYYCKPFREQLLEYCANNRNPEDAEENLLTCLADLFSQISASKKKTGVIAPKRFVQTVKKLNESFRSYMHQDAHEFLNFLLNEIVDILEKESSSAKDSPDTRSPEKMSNGAVTNGVSKEPLVTWVHKNFQGILTNETRCLMCETLTAKDETFFDLSVDVEQNSSLTSCLKSFFSTETLNADDKFFCDKCCSLQEAEKRMKIKKVPQILVVHLKRFKFIEQLNRHKKLSYRVVYPLELKLSSISDDADCEYSLFAVVVHLGSGPNQGHYVAKIKSHDHWLSFDDDNVEMIPESTLQTFYGSSREYSSNTDHGYILFYERIDGNCHVETDSPMGGV >Et_9B_065516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:599942:602599:-1 gene:Et_9B_065516 transcript:Et_9B_065516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRQRMASHATPLAAGGAPDPRRRAAATALKQRMATKIDWVASSDPALASARSIAEQTLSRREQLKGLKNENRYLESLLQQALSIKLRKELNQKLAMDTVSSTTATNEELNKSLMNLRNERDKCTAAISDGLKALESREAKINEDAMEDLKEAASWYNKFLGFQVIAGEEGEHGVKFIFDKIDPRRPEKELWFCIMFDNGSYNLVQCDPPIKEFEEMVKDLNLSGDLFKFVRVAREKFQASSMNGDLPLSLVPRSDVSSVPFSPPMTTSVNSRSENARNRSNSRSMNKRLPAKRRDTLLSPDIVRRSPRLKVKAHD >Et_4A_035834.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:731715:733322:-1 gene:Et_4A_035834 transcript:Et_4A_035834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCEIMSFLQRFADESASRHRIAYFLAVLAGLGSSSCTRLGTHLPSFLISRALAAQVARLASACAATSRAPFDLASSIRTSSATHPCCSPTTRFICSVELEVTRQIAAAACCCAPVDPTRSTWSSRGSTPSDTRLSTGDTAPTRFRSALTADSGGIGPSRYSSRPRRPPSDTTARRSPSSLDVRFCRHSAACSLAPQSSRATMTRTIPWSANACRTSGTAERFLSAPAAADWDTESPVWQISISGPAPPWPTIARAVSGDADRRCSVTAAFSRPTRLPDSASLMSGGRTPSETSSPSQLPDSAIRHTTVAAFSRTLGDDAVSSCTMAETLARPSTERTLFSSLCRVSRSPSTARFFASVCPICSRASSSRTSAGAAVPAVGSGGGPEASDSTPVFTSSAQSRRLRSSFPASASMALCVCSFPAAGGSRHRAASSAASASVSATACSSSRQSAFLAKQGWLDRSDRHAGSRSSFAAIAFHRPGNPPADLAASSAAGTVFRTRASISAAVSSPAAPGSLCCRLISCSSTLLRHQWP >Et_3B_030502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4433581:4436727:-1 gene:Et_3B_030502 transcript:Et_3B_030502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDSGSSGEASPVTSPVAAERPSEAAALRALVDRVRAGEVDAAREVRRLTRASARHRRKLASAVEPLVAMLRAAAPEAGEAALLALLNLAVRDERNKVKIVDSGALEPLLGYLQSSDPNLQEYATAAFLTLSASSTNKPIISASGAIPLLVKVLKEGNPQAKNDAVMALYNLSTITDNLQSILSVQPIPPLIGLLKGGKRSSKTADKCCALLESLLAFEQGRVALTSEEGGVLTIVEVLEEGSLQGREHAVGALLTMCESDRSKYRDLILNEGAIPGLLELTVHGTPKSRVKAHVLLDLLRNSSYSRSRLQADTLENIVSNIASQIDGEDRGGKAKKMLAEMVKVSMEQSLRHLQRRASFA >Et_1B_009683.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:14683893:14684402:1 gene:Et_1B_009683 transcript:Et_1B_009683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGQLANRAPGISPERLFDEMPNSRIFRIPANDAGKLPESSFPDRSMNSRLPSLPSSGGRVDTSPALFTSSRCSFCIRPRTGGSRPSRGQPPSSRILSCVIDPRNSGTPSVKLLSPRRSSWSPVSLANEGGIRPSNEFTDRLRNLRLGSLAGNASGTGPSNAFCARSR >Et_2A_018402.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:26918943:26919500:1 gene:Et_2A_018402 transcript:Et_2A_018402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPSKGRQRIEIKPIANKAAKEVTCCKRKGGLLKKACELALLCGAHVAVIVFSHAGKVFGFGSPSVDAVLRGVAPLPPGEEAAPLPDDVGGDADLAAVEATLRQAEDTKALVEAEKARLAAVGEKVLRAVPPGKKFWWEADVEQLGEAELPEFAKALHRFRENVQRHANKLRSDAPPTPLLLQ >Et_5B_045283.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:16407370:16408881:1 gene:Et_5B_045283 transcript:Et_5B_045283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREVWLLCATLAVSLLYYVLTTSSGSGRPLPPGPRPLPVIGNLLDLRHGNLHHTLARLARAHGPDVMRLRLGLVTAVVVSSPRAAREAFTSHDRRLAARAVPDANRALNFCGRSMIWLPSADPLWKTLRGVVAQHVFSPRSLAAARGARERKVRDLVDHVRGRAGEEVDVGDAVYGGVLNLVSSALFSRDVVEVGAASAQGFRGLVEELIESIVQPNVSDLFPFLRRLDLQGWRRWTAGHLAKIFGVLDDIIDRRLAEDKDAVEKHGDFLDVLVELLDAGKLVRDNLTTILFDVFTAGSDTVSITVEWAMAELLRNPPVMARLRADIAGKDKDAAAAVVEEPDAARMPYLQAVVKEAMRLHPVAPVMLPRKAVEDGVEIAGYAVPRGSTVIFNTWAIMRDPAAWERPDEFVPERWLEEGSRAAEMDFRGKDYEFLPFGSGRRHCPGVPMAERTVPLILASLVHAFEWRLPDGVAPEQVDVTEKFTTANVLAVPLKAVPIIAT >Et_4B_038689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:436507:437474:-1 gene:Et_4B_038689 transcript:Et_4B_038689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKVVLRVPTMTDDKMKQKAIEAVADIYGIDSIAADLKDNKMTVIGDMDTVAIAKKLKKLGKIDIISVGPAKEEKKPEKKEEKKEEKKDDKKDDEKKDNK >Et_8B_060535.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20193912:20194151:1 gene:Et_8B_060535 transcript:Et_8B_060535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCASKSSCMKLMSRRSKAASAAVHAWTPAEVPPGQRVTVRMRASEFRGVAAGGADGDVGRLILDGCAAGRWTWAPAS >Et_3A_025116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27033686:27040631:1 gene:Et_3A_025116 transcript:Et_3A_025116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPMRWVQGLPLLCLSVAFLAAALLPRAAVGEGVTPSEARRLRDEVKDMFYHAFDGYMQHAFPRDELRPLSCRGEDSLGGYALTLIDSLDTLALLGDKEKFAAAVDWVGKNVRFDINKTVSVFETNIRILGGLLSAHLIASDYATGMRIQSYDDQLLHLAVELAQRLLPAFDTPTVFEQVTKNSVRGIWARRSKLNLVGAHINVFTGEWTQKAYLLFGDEECLYIFQEAYKAAMHYLHHDPWYVEVNMNSGATVWPLFNSLQAFWPGLQVLAGDVDPAIRTHAAFFSVWKKYGFTPEGFNLATSSVQNGQRSYPLRPELIESTYWLFKATRDYRYVDVGRDILASLQYGAKCPCGYCHISDVETHKQDDHMESFFLAETVKYLWLLFDLAAGPDNIVENGPYKYIFSTEGHLLPVTPEIALVNEHCLYFGAFCNGSAGHGYGIGASSVKHQNTNYTQSVDIRTSSSQYSVSDILFTTRGYIKGVCPGLTHAQKLGISYSDEEANLTERNSESHDDSAVESSVQDHSNSLVLISHPVATQTDEALESTSRRQDEATAVVAADFEPSNTDHTGGSLGENTQEHTEVSESISEHTEDTDEGIASKDFQTNEETS >Et_1B_011175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18368669:18369417:-1 gene:Et_1B_011175 transcript:Et_1B_011175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSARTTFDAHRDSVVTVLMKKRKPSKLCAVGSGFIIRSAEDRCLVLTCRHVFRDFEPSKHAIRTRLCNPDSEQDAELLYQDEIRDLMLIRIVGMPKACPALEFCDARSVPVRSDLILLAYLNTFPNRFNFGQKVVPECVLLMKPGSSPGKTTGGPVIFQGRALGVHTGYDSQIGRAISMEGVNAAMKAWLQNAPD >Et_7B_055860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6050330:6056332:-1 gene:Et_7B_055860 transcript:Et_7B_055860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNSKAEAARERRSAAEADRKDRQERAKEEQYWQEAEGPKSRAARRKEEEAEKRAEAAARKAENRRLAEAEAAAVAAAASGPSKAAARKASRVGAPTPKVTEAELARLREEERLRLEREAEAAKKRAARVAEEEEYERVVLVANTNRDDSIIEARSVDEAIARMSLVDSQAALPADKHPERRLKSSFKAFQETELPKLKEEKPGLTLNQYKDMIWKLWKKSPDNPLNQAGSNTPKQTEQYESSVDRHGQDSIFMESSCSSRNECRTNAVSDEHEQALL >Et_3B_030943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9107059:9112504:-1 gene:Et_3B_030943 transcript:Et_3B_030943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESWRDAEANASPSAAAAKSPGDGKRPAAKGEAAAAGATTRVPFHRLFAFADCTDVTLMLLGALGAVANGAAMPFMTVLFGNLIDAFGGALSIHDVVNRVSMVSLEFIYLAIFSAVASFIQVTCWMITGERQAARIRNLYLKTILRQEIAFFDKYTNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVVTFFGGFIVAFAQGWLLTLVMMATIPPLVLAGAVMSNVVAKMASLGQAAYAESSVVVEQTIGSIRTVASFTGEQRAVEKYNKSLKSAYKSGVKEGLAAGLGMGTVMVLLFCGYSLGIWYGAKLILEKGYTGAKVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINRTPEIDAYSTTGRKVDDIRGDIEFRDVYFSYPTRPDEQIFKGFSLTIPSGTTVALVGQSGSGKSTVISLIERFYDPHRGDVLIDGVNLKEFQLRWIRSKIGLVSQEPVLFAASIKENIAYGKDNATDQEIRAAAELANAAKFIDKMPQGFDTSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRVMTNRTTVIVAHRLSTVRNADTIAVIHQGSLVEKGPHNELLKDPEGAYSQLIRLQESNRQDKSDRKGDSAARSGKQILINKSASRRSSRDNSSHHSFSVPFGMPLGVDIQDGSSNKLCDEMPQEVPLSRLAALNKPEIPVLILGSIASVISGVIFPIFSILLSNVIKAFYEPPHLLSRDSQFWSSMFLVFGAVYFMSLPVSSYLFSVAGCRLIRRIRLMTFEKVVNMEIEWFDHPENSSGAIGARLSADAAKVRGLVGDALQLVVQNSATLVAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKMMYEEASQVANDAVSSIRTVASFSAEEKVMDLYKKKCEGPLKTGIRTGIISGIGFGVSFFLLFGVYAASFYAGARLVEDKKTTFPKVFRVFLALAMAAIGVSQSSTLTSDSSKAKSAASSIFAIVDRKSRIDPSEDAGVTVDTLQGNIEFQHVSFRYPTRPDVQIFRDFCLTIQAGKTVALVGESGSGKSTAISLLQRFYDPDVGHILLDGVDIQKFQLRWLRQQMGLVSQEPALFNDTIRANIAYGKDGQATESEIIAAAEMANAHKFISSSLQGYDTMVGERGAQLSGGQKQRVAIARAIVKDPRILLLDEATSALDAESERVVQDALDRVMVNRTTVIVAHRLSTIQNADLIAVVRNGVIIEKGKHDTLINIKDGAYASLVALHSAASS >Et_3A_026989.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28925862:28926500:-1 gene:Et_3A_026989 transcript:Et_3A_026989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGPGPSSAEGGGVGPPPAVAAPQLSRYESQKRRDWNTFLQYLRNHRPPLTLVRCSGAHVIEFLRYLDQFGKTKVHAAGCAYYGQPSPPGPCPCPLRQAWGSLDALIGRLRAAYEESGGAPESNPFAARAVRIYLREVRDSQAKARGIPYEKKKRKRAQMAATATGEQQATSSSSCPAPDGGSSRSRPPAPAPAPSAAQAGGSGTAPSTS >Et_7A_051854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25620855:25622358:1 gene:Et_7A_051854 transcript:Et_7A_051854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVHNILGLEIKGNDVIQYINDKVKSEDETVHSELFQKFANGNNKLELQVLENMLHKDRPADKDFVRAFVLFTIGVLLASNTGDIAQIPLFNWGQFILNHLLKSCTSYINRSEQTLKGNLVLLQFWYWERLRVANHYGIKYEEKITRPPVMVFWNEENAKLRQAALEKDGLDGGTLILPVASNTKQKREATVSEAKYKPEGPSNQPVQNLEHWKKVTIMELKLDLEQKLLELEHKQDIKFFEHKKELASFRNDSILEDRINTLEAKEIHELVRTLIDKPISQTGHAQHHVQVTPRTRFHQAKDRIYDESPSAGNDTMEMTMEHHSDPAITHIKKRTNYRRRLQYWFN >Et_9A_061691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15378525:15381187:1 gene:Et_9A_061691 transcript:Et_9A_061691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAHLVTNCSFSPSPAVKAPSGSTSYCRNVVLQSSKSLFSKSCSVKQRKSYVTRASAAVQGQTQTPLTGSQESSGHSSSKAKKVMVIGGDGYCGWATALHLSNKGYEVAIVDNLVRRLFDHQLGLDSLTPITSIQDRIRRWKALTGRTIQLYIGDICDFEFLSEAFKSFEPDAAVHFGEQRSAPYSMIDRSRAVYTQHNNVIGTLNVLFAIKEYCEECHLVKLGTMGEYGTPNIDIEEGFITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGLRTDETAMHEELSNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKPGEFRVFNQFTEQFSVNDLAKLVTAAGAKLGLDVQTKSVPNPRVEAEEHYYNAKHTKLIELGLVPHLLSDSLLDSVLNFAIQYKDRVDTAQIMPSVSWKKIGAKPRTSMIKFSMELFAHVI >Et_1A_007574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3623624:3625952:-1 gene:Et_1A_007574 transcript:Et_1A_007574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGVGLSLQSRAARFGSGRRRGAFYGGTESRSRIGSLRVGEPAGAAVSAVRARGSKPVAPLRAKKSSGGHENLHNSVDDALLLKRKSEEVLFYLNGRCIYLVGMMGSGKSTVGKIMSEVLGYSFFDSDKLVEQAVGMPSVAQIFKVHSEAFFRDNESSVLRDLSSMRRLVVATGGGAVIRPVNWNYMKKGLSVWLDVPLDALARRIAKVGTASRPLLDQPSGDPYTMAFSKLSMLAEQRGDAYANADVRVSLQDIASKQGHDDVSKLTPTDIAIESLHKIENFVIEATAANLTADSEVDSQIQRIQTL >Et_8A_058447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8083870:8084481:1 gene:Et_8A_058447 transcript:Et_8A_058447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHGAVVVVHDALGPDLWRALFGRAAPEFFAIPPDAKRRLVSGPINGYIGPRPQAPAYESACVRETTLDGSVVGDAAAVVWPDGNPVFSDTIETFAKNMLDLQMTLETMILEGLGVRKEHIDAHLRSLTYRVRLSHYGSLAEMGNAMFTQAHKDCTVLCGL >Et_8B_058750.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20672496:20672726:-1 gene:Et_8B_058750 transcript:Et_8B_058750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLNFIGLGSRFSNKSRDLVEWWTALCENLPKQTRKGVDTLVQLITWNIWKARNNQVFDNITTSRAHIVENIRAE >Et_2A_017769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6026588:6030168:1 gene:Et_2A_017769 transcript:Et_2A_017769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAALASVAAWAAEWLGRDESLLLRRRWRSAGGVVVEALRAAWDAARAAAVAPALAAASWTCLALSAMLLADAVFLAAASLVRRRRHRTGSLGCGGGGEDEEGGSVAYPMVLVQIPMYNEREVYKLSIGAACGLSWPSDRIIVQVLDDSTDPTVKDLVELECKLWANKGKNVKYEVRSNRKGYKAGALKQGMLYDYVQQCEFVAVFDADFQPEPDFLLRTVPYLVHDPQIALVQARWEFVNPNEFLMTRIQKMTLDYHFKVEQEGGSSTFAFFGFNGTAGVWRISSIKEAGGWEDRTTVEDMDLAVRAGLKGWKFIYVGDVKVKSELPSNLKAYRRQQHRWTCGAANLFRKMGAKIILTKEVSLWMKIYLLYSFFFVRKIVAHVVPFMLYCVVIPLSVLIPEVTVPVWGVVYVPTTITLLHVIRSPSSLHFIPFWILFENVMSFHRTKATFIGLLELGSVNEWVVTEKIGNPNSINHVPQILEKPQCRFWDRCTMSEILVAIFLFLCATYNLLFGTDFYFIYIYLQAIAFLIVGIGFCGTFS >Et_9B_064736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18130326:18133685:-1 gene:Et_9B_064736 transcript:Et_9B_064736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVYVVSCASIIKAAMSFFNRYYSTYGHVAKLAEEIKKGAASVEGVEVKVWQVPEILSEEVLGKMGAPPKTDAPVITPQDLAEADGILFGFPTRFGMMASQMKAFFDATGGLWREQSLAGKPAGVFFSTGTQGGGQETTPLTAVTQLTHHGMVFVPVGYTFGAKLFDMESVHGGSPYGAGTFAGDGSRWPTEVELEHAFHQGKYFAGVAKKLKGAAA >Et_6B_048774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13553427:13555132:-1 gene:Et_6B_048774 transcript:Et_6B_048774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVMQGVTNKACIGEFKSLVQEGNVYSLSNHPRSKTISNSGKQQDSKLLAHNYSEELKDSDDIPKYSFKFFNTDMFKDIYLSGDASYIGPVEETMTQFGLSKIRDVFLLIIDNCSKESVRKDIQKEYHLNGTFNEQMQQKKNIGRTKFKNATCVLFEKEAQMLIGGSADFMVVSINQSSIELPKLIQKLCGKTIFQFRLTEYNFSKYSILKLFIPHDKYSTTFNGRDMKVHIHGFLKPSMSSSKKSMDFVEMELLPAGPQYRQQID >Et_9A_062310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21802680:21804624:1 gene:Et_9A_062310 transcript:Et_9A_062310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLALPNQGTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFTTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNIHFVEAVALKPPEVTIDMAMQQQHEAELAAAAAQPLPDDDDDLIE >Et_2A_017130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31118448:31121604:-1 gene:Et_2A_017130 transcript:Et_2A_017130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSIRHFAKRVAANGEPREVQQGGWAPAPERRNYRGVTRRPSGKYGAYIYELFTHNKVWLGTYNTREEAACAYDAASRTMRPHAKTNFPEPAGEEETRRAVVRAHVGRVKRQRDYKMQQKEARRKIDADNAAATAAVAAVRDDVQPPPAPAQASDASGSQPAPAPAGEAFESQYAPAPAVGVSSIRLFGVMLAPGHQYVLACMMVVEHWGIQAFAERVAAEVAQGEGGAPPERNYRGVSRRRGGKWGADIQEPITHERVWLGTYDTREQAACAYDAASRTLRPHAETHFPEPAGLEETRAAVVRAHVAGVKRKRAEKMQQREAEAAAARGAVPPPVQPPPAAPVPVSDASGSHSAPAPVGASSIRLFGHVLTPAAPPAPAPAANASASQLPPVLAPAPLNFAFPNAMVPPPPFHLVLAPTAPAAWTPQTHLQALRHLREVQASYNRSQAISQDVYRRLEEVQAAGGLGDGSSDTASQE >Et_7B_054279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1948810:1952105:-1 gene:Et_7B_054279 transcript:Et_7B_054279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSHNYPWLNFSLAHHCDLEEQERGAAAELAAIAGAAPPPKLEDFLGGGNNNNGGGMVAGAETVAAAAAAEMYDSELKFIAAGFMSGAAAGAQAAPSPSPVSSVEQADAKLALPAAASAPAPEQRKAVDSFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGSSTTTNFPVAEYEKELEEMKNMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFEISRYNVESIISSNLPIGSMSAGGRSTKAVESTPSNSPDAITGGEAGTAPQSLAFSALPVKYDQQDYLSMLALQHHQQGNLQGLGFGLYSSGVNLDFASSGGAGAMAHCYTNGASHEQYQQQDQPQGSNSCSSIPYATPIAFGGSYESSMTPSPFGYYPNVAAFHQTPIYGME >Et_3A_023370.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:23717805:23717966:1 gene:Et_3A_023370 transcript:Et_3A_023370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRLTITRSSASWTMRSDSASRALVASSRSSIFGSFIMALAIATRCFCPPDS >Et_8B_058585.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3489822:3490132:-1 gene:Et_8B_058585 transcript:Et_8B_058585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNLMPYRASYPILKLVYSAAANATHYRDFDKANLFITKAEVNRSTIMKKFRPRARGRGYPLKKPYVI >Et_1B_013246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6715346:6722534:-1 gene:Et_1B_013246 transcript:Et_1B_013246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDAIHAPTASSSSSDTGSGSCVINGAQELSKNSNSTSKHLKRKRTTCPPASPSQQAPEAATATDDDGCGGGEEESSGVGGRKKGNNNAGRHPSYRGVRRRSWGVWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGRAAHLNFPHLAHELPRPASASPADIQAAAAVAAAAAGGGAAEQQCEPSSPAAETPSSSAASCSEEAAAAGQCEENALFDLPDLLLDLRDGLWSLPLWEEAPAAAEEYDGGDAVGVHEPLLWADDQCWLNAAAPQGIITPHMSLLETASRAQSPAMEEDQALSPPASDASASATCSHGSSSSCPGSPAVASSPSQLDETAATAASSSRKRPRRELKHPSYRGVRMRAWGKWVSEIREPRKKSRIWLGTFDTPEMAARAHDVAALAIKGRAAHLNFPEISHELPRAASAAPEDVRAAAALAAAMEGAAPAASGDSSHCSVNAEDEEATPSSAAPAASSDSSHGTVNAEDDAATPSSDSAGHGAGNDEDQEATPSPSSEHATPANGGHVVDLALFELPDVLLEFGFALPPLAPCRYDLSWDEPLLLWEH >Et_1B_012603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33576964:33617701:1 gene:Et_1B_012603 transcript:Et_1B_012603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAFAKVLNLGNNLLTGMIPQNIGQLKSLTALNVSFNMLSGEIPQQLCNLTNLLMLDLSSNHLTGAIPLALNNLHFLAEFNISNNNLEGPIPTGGQFSTFTDSSFEGNPNLCGTIVNRPCGLTEAPPVHRLAMPFFGLPLVLLLCMASLAASCNKQERSALLRFTAGLTHEDGFAMSWRDGTDCCKWEGIKCNVIPERPLQALNISSNHFTGEFPSTMWEKTRNLIAINASNNSFQGWIPSSFCVSSPSFAVLDLSYNQFSGNIPAGLEIPQQLSNLTNLEFLDLSSNHLTGEFPSTVWEETRSLVAFNASNNSFQGCIPSSFCITSPSLQLLDLSYNQFSGGIPTGLGNCSMLKMLKVGHNKLSGTLPNELFNATSLEHLSLHNNGLHGMLDGAHITQLINLATLDLEGNKFSGKIPDSIGQLKRLKVLHLDRNNMYGELPSALGNCTHLTTIDLKGNNFSGYIGKVNFSSLSNLQTLDLFMNNFSGTIPESIYFCSSLTALRLAGNHFYGELSTRISSLKYLSFLSLANNSFKNITSAIKILKCCKNLTILLLGSNFKGEVMPQYGAIEGFENLQILAIEQCSLSGEIPLWLSKLTNLEVLILRGNRLTGPIPSWINSLSHLFYLDVSSNHLTREIPITLMKMPMIKSFDSAAHLDTRRLWLTLSLQYRMTTAFPKALKLGMNNLNGSIPPEIGELKALGVLNLSYNGLYGEIPQSVSNLTSLQALDLSNNHLIGAIPGSLHYLHFLSSFNVSNNDLEGPIPSGGQFDTFDHSSYGGNPKLCGPTLSLHCDSAEEVSACIISVEPWIGEVICCTQEEKTALLQFLDGLSQDSDLATSWRNHTNCCVWEGIACNVDGNVTDIALASMGLVGSISPSLGNLTRLLSLNMSGNSLSGGLPPELLLSRSIVVLDLSFNKLNGDLHNLPSTPDRAIKVIDISSNLFTGYFPSTTLESMKNLAYLNMSNNSFAGKMLSAVCVDKPFFVVLDLSYNQFYGSIPPELGNCSSLRVLRAGQNQLSGTLPVELFNITSLEHLSFPNNRLQGKLAPKHVAKLRNLVILDLGENELIGKIPNSIGQLKRLEELHLDINNMSGELPPALSKCSSLRTIILKDNRFQGELTNVNFSALSNLKYFDVRSNKLTGTVPENIYSCSNFTALRLSYNGFHGQLSPRINNLKFLRFLGLSHNNFSNITNTLQILSSSKALNALLIGGNFRHEVMPDYDIFHGFENLMGLAINDCSLYGNLPSWLSKLKNLTTLLLDNNQLSGPIPAWINSLNSLFYLDISNNSLTGDIPPALMEMPMLKTAYSNPIIIQLSIYMSPLHQYRTPSSFPKMLNLGKNKLTGVIPQEIGQLKGLLSLNLSFNNLYGEIPQSIANIKNLQVLDLSHNNLTGAIPSALGKLHFLSKFNISNNDMEGPVPTGGQFSTFPDSSFVGNPKLCGPMLMRRCYSAHAAPVSIISTEQYIDKVIFAIAFGMFFGVGVLYDQMKKNQTSSHTITWCYPFAIAFLSLSHQFVHTRGEDLLKFLGGLSHGSGLDTLWQNETNCCMWEGITCDTDGLVTEISLPSMGLEGSISSSLGNRTSLLSFNLSHNSLSGKIPGELLLHRSIVMLDVSFNNLHGDFHKLPSILGQAMQVINISSNFFTGQFPSTTLEDMKKLGALNISNNSFTGHIPSTICVNKPFLEVLDLSFNQFNGKIPMELGSCSALRVLKAGHNKLSGTLPDDLFNATSLEHLSFPNNQLHGALSPENIVKLSNLVTMNLASNELSGKIPDSIGQLKRLEELNLERNRMFGKLPSTLSKCSNLTTIILESNSFHGQLKIFSFSTLSNLEILDFMSNNFTGIVPESLYFYSNLIALRLSSNNFQSQLSPRIGNLKSLKFLSLSNNSFTNITHALHVLKSFENLTILLIGTNFRGEAMPDDETVDGYRSLQVLSIADCSLSGKIPTWLSKLRKLRELFLYNNQLNGPVPAWINSLSSLFVLDIHNNSITGGIPTTLMEMPMLQSDKIADPVGFQLPIYMAPSLQYYKDSSCPKVLNLGENKFTGQIPQQIGNLKALTALNLSFNNLHGEVPQSISNLTNLQLLDLSNNHLTGAIPTALENLHFLSEFNISNNNLEGPIPTGGQFSTYPDSSFVGNPKIPHTQHYYQPSHATVYFKRFSIRVSPRSRGRCSIMYLLNQDNGKQLQTYTSAKTFRR >Et_3B_030198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31251780:31252795:-1 gene:Et_3B_030198 transcript:Et_3B_030198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQHHFVLVHGVCHGAWCWYKVATILESAGHRVTALDMAGCGARPGRAEEMASFEEYSRPLLDAVAALPEAEKAVLVGHSFGGQSLALAMERYPDKVAVAVFVSAAMPAAGKPMTHVLEQYYLSQETGPEFYMDCTRGTSGDAQNPVATLLLGPQYLAHRLYQLSPPEDLTLARAMVRPSRRFLEDAVMKGNALTEERYGAVKRIYVVAEDDASWAAEFQRRMASWNPGTEVIGLQGADHMPMFSRPRELSELLMEIAEKHS >Et_2B_022183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1179267:1183342:-1 gene:Et_2B_022183 transcript:Et_2B_022183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEASRIAPVKHLGTKALPTSSSRWRLKIGDAVIAVYKFHHGPWTMSTGNSVAASAGRPSCCQRVPRNAPRSLYITPPISASYLAISASPCTHSSHHLIDMAAHSVAAAHATIAARAGPAPGAAGAAAPSERLGFRLSSLAGRGLRSPLPARRAPAAASSSRRRQSVYALLHLAGYDSVKEEDLKQFRQWGSKTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDSEIVDHYTYCILGDGCNMEGIANEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVSARFEALGWHTIWVKNGNNGYDEIRAAIKEAKAVTDKPTMIKVTTTIGFGSPNKANSYSVHGSALGSKEVEATRQNLGWPYEPFFVPEDVKSHWSRHVPQGAALEADWDAKFAEYEKKYPEDAATLKSIITGELPAGWADALPKYTPEIPGDATRNLSQQCLNALAKVVPGLIGGSADLASSNMTLLKMFGDFQKDTPEERNVRFGVREHGMGAICNGIALHSPGFVPYCATFFVFTDYMRGAMRISALSEAGVIYVMTHDSIGLGEDGPTHQPVEHLVSFRAMPNILMLRPADGNETAGAYKVAVLNRKRPSILALSRQKLPNLPGTSIEGVEKGGYTISDNSTGNKPDIILLGTGSELEIAAKAADELRKEGKTVRVVSFVSWELFDEQSDEYKESVLPAAVTSRISIEAGSTLGWQKYLGASGKAIGIDKFGASAPAGKIYQEYGITVENVLAAAKSF >Et_2A_017805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6410847:6428657:1 gene:Et_2A_017805 transcript:Et_2A_017805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAGRIAAAKEGSYFLQESKNAVGRLAQKLPASASPPVPPASAQPSPDVLPEILRHSVPIKATPPPVGASLDASSRWALPPGKAAGASPDALNPLRSFVSLPQATFGPKRWQLPNEQPQYSASTANDRRRDKSPPPMDPEKLKAVIAGYSQVGKAFVAATILVFGGATAVLLYTADKLQLHSVDDVRTKGKDALRPRADMIKEKISPLRSWAEEMSRKWHFERDKEAKEKSVMGHDYGNMLGFLPLEVLAYTACIFCEERVKYGLPEMASNQGLERCSSVLSDIHTALDAINYSFTLRVVEGIPVSLPQLGVIKVKQLALLHYRLHLID >Et_6A_046338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13347091:13350596:1 gene:Et_6A_046338 transcript:Et_6A_046338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDHGIIIVGGGICGLATALALHRKGIASLVVEKSETLRADGVAIDVHANGWRVLEQLGVDDELRGTANLITAQELRCLKRKDLIDPLAKNIPDETFHFGCHIASIHSDPGSHGTVLKTVNGATMKAKDCPCPKKIPRMILRGFTRYPHAHPFGTEFIRIIGRDFVFGCLPITDNLVAFFVGCSDPPADMFDDISILKDFRSLESSRTDPESLHVATKFYYRHLRQVMFGSFQKGPVTVAGDAMHVMGPFIGQGGSAGLEDAIVLARWLWRATPRGPEVDGAGRSGEPRAKMVSAAIREYIQERRLRVALLSLEAFVVGALMRAKTGVAKVCCIIVLAILGHKSLRHANYDCGRL >Et_5A_042599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21434108:21439632:1 gene:Et_5A_042599 transcript:Et_5A_042599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAHAGPSNASVVGDRSAPTWGLVDSMAGAFEQAKMAIVELGKKEQELQASKDKVAQLKLELQVAKQALEKANAAHSAEVQRATAEHAAEIQAVKVECRNMLLRCQRSINSELKHPLLSGDQPAGGGVPEHSSHRISDATGIDASIALVTKSRDGRPKHKDDEARSKVEFCRMKGSQRSEYLTGTCNDITWTAWSR >Et_3B_027943.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27597018:27597344:1 gene:Et_3B_027943 transcript:Et_3B_027943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFRYVAESAATVGFVSLVAAALAGSDRSATRAEAAMALAELCHVNGESKAQRRQELCDSMAPRLVRILEVKSAAEPDVAARVLATLLDPAHDMDRRFPVAVLLAVA >Et_5A_042166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:691079:693739:1 gene:Et_5A_042166 transcript:Et_5A_042166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYLWPCTSGGDASEERDETGEQDSSKGTRDMMTTEEEPSIDQETEFGQTNSSSLNSSSECENLTPNDEMTGSESNSEAAKTDGDELSREKVLKKPDKILPCPRCNSMDTKFCYYNNYNVKQPRHFCKSCQRYWTAGGSMRNIPVGAGRRKSKSSSSNCRSILIPGSSLAPPVGEASLYPLSINGNQAAVKFGPDSPLCNSMASVLKIGEQSKNANPVLTVQPRNGETQTCAPSMTASDSPQSEPLKVAASGHQNGIVGQCNGITSMHPIPCFPGPPFVYPWSPAWNGIPAMAAPVCPAPAEAANSSEHSNISNAQWNVPPMVPVMPPGFCGPPIPFPVMPPSVWPFITPWPNGAWSPPWLGPSSSLPASSPTSSTTCSDGGSPVLGKHSRDSKPQGDEKSERCLWIPKTLRIDDPDEAAKSSIWTTLGIEPGERGMFRPFQSKPKSREQISDATRVLQANPAAMSRSQSFQENT >Et_7B_055401.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:73597:74598:1 gene:Et_7B_055401 transcript:Et_7B_055401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAGTLKSRRGTAGDEEEASLLSGGGGGGFSATPPRKEWWWSWGLLKAVAALLVILLFLTAGAVITNYVYYSSNRWSSSSSSPSAASTDNKNHRRRRQQQGASSMSSFRRFVDPRNLNHTMSDAELFWRASMVPRVEEYPFQRACPRWPSSSSRAGRCRSRFFRGHEGLFSVYVHALPGYKQTTKGAQGRFPADPEPGRVVVRAQPEEQWRKGSEWFELSRDLAVDVDRRYYALFRRHCTPSCYPDEHYIPTLLHVLGHGARNANRTVTREFIAAIRNNRTRCLYNAKPTTVCYLFGRKFAPSALPALLNLTTTLLDFLTFEGMRSYSTFGI >Et_3B_029370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24410721:24412602:1 gene:Et_3B_029370 transcript:Et_3B_029370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGGGSSLPPFLSKTYEMVDDPATDAVVGWTPQGTSFVVANQAEFCRDLLPKYFKHNNFSSFVRQLNTYGFRKIDPEQWEFANEEFIRGQRHRLKNIHRRKPIFSHSSHTQGTGPLADHERREYEEEIERLKGDNASLTLELEKNAEKKLLTERRMQELEDKLIFLEDRQKNLIAYVRDIVKAPDFLSSFVQQPDHHGKKRRLPIPISLHQDANNQGTQIMHEDLTNSPADVVCKETFDKMESSLNTLENFFREAGEAFDICYDEVLAGPSSAVVITELHSSGESDPRLPSPPSRMCTSSAGVADSHSSHGVTESTSCAESPPLPQMQLGTDSRAKVSEIDVNLEPAITETGPSRDQPAEDPPAVAPGVNDGFWQQFLTEQPGSDAHQEVQSVRRDGDNKADQVKIGDREKILWGKKSVEHMTEKLGHLTSAEKT >Et_4A_031806.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:17074868:17075395:1 gene:Et_4A_031806 transcript:Et_4A_031806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSMPRAFFPVSLIMSFAMAAAAAKRGGRLIHLHFYMHDITGGPGQTAVQVVKGPGAVHPVMPGSHFGDTTVIDDPLTEGPGASSRLVGRAQGTYTLASLSQPMLMVSMTVAMTGGTYNGSTVAVVGRDDVASAVRELVVVGGTGAFRRATGHVLWRTARMESRDH >Et_4A_034971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8432224:8439870:1 gene:Et_4A_034971 transcript:Et_4A_034971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPEQRPTTAALAGAEISSVRDLLPFLQGVPGTYRFEKHSVELEGFVTAGGYACACAAASSCGYRGKVLSALQFEKHAGAVSKNQNGHIFLRNGKSLYELFHALRPVPAEAFPEEFRAAAGVPMTVQAAEASPQPGGTASWEPNGVQVDGVMAEAPSAPAQEDVEMLTEEEKAGMWLLGLRESGPATNSNPICGAQGPVEEEFKDAAGGDHAMPDVEEITDGADENARAETRYQLESYLKDVRGLLSTGLLEGFKVAYKNNEVEKIGRISGQGYLCGCSECNYSSQVLNACEFEQHSGKSSHNQNDHIFLETGISLFRVVKALKHYKLKVLGEFIEEIIGFPPNTVEYNKWKDSFQKRREDLDGLASDCSTQSILWNLISSSHGSAVGEISLIDYLKESANNGISNLNWSAFKRRSERQLTREGTETSTPAMSGSPEKEISGFSTGTSKMNGVEEAPNENMAGPLSIDVVKPNSPGPTAVISDYSIRDPNFGTSLSSPATSTQEPFPDCIIGSKSKEQKTRDTTLHPLLFKEGGLADNTFLTYKLKNGEALKQGYKRGTGIVCNCCNKEFTPSHFEDHAGMGRRRQPYNNIYTSEGLTLHKLALQLQDRLNSNGFSKANMYGFDDYPDLTSSGIGKESSSTSGPIVPLKRTLQERVVETESCYLCGDGRTAIGKIDAEMIVFCNQCERPCHVKCYNKSLIKKKAPLKVLEEYMQFRFLCCEKCQLLRAHLDNELEKCEEVACLRQIGSNICWRMLSGMDVSNDARRYMPQVIDIFKDAFSETTAENIDVIAAMVNAKDVDGEKDFRGMYCSALTISAHVVSTAILKVRTEEVAELVLIATRRECRKKGYFKLLLKSIETHLRAYNVNLLTVPVDPEMAPIWSEKLGFTILSAEEKKSMLEVHPLVMFENLILVKKSLA >Et_2A_016287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2294211:2295889:-1 gene:Et_2A_016287 transcript:Et_2A_016287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAADDLARRVAAFLPVPLPPPPQKEQLSSVAAAVLDAGGRLGRAVGDVFRRLRIDDTFYSLAPTRRPLNSGDKNGHRRSAARAAAGKDGAAAGGDPLAAAASGRFARSQGSMNLSATYDSRKNDVESSVVARGDLWRAEASHSSGSSAPTSAGDGASLFLVQLGPVLFVRDTTLLFPVHLSKRHLIWYGFERKVRWSSATDNLCKLLMYSVENNMSSNLAERSALGVPCLLVSSEKMLLHVHDLPQRVCLCKSRCFSVSVSITFLFSPFRAYLTEPLFINHQSFMDMQFPNGQLRYVAGDGFTARAFRPLCGGILQGHGKFPGEKRICFSFKNRSGGSVVPTVQWPDKSLSLGLVQALSWRRSGLMLQPATQIRQFTRTRVHTLCCIKAICSPIHGHCLTDMLCVLMDSICPTIGGRNAGLCMELIHSVNENAGVVCGYSHTASPSAYASISVGRSKLNGGAARSGLVLKVEAPLHNFGRPWFSIQMNSGLEF >Et_1B_013132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5822891:5825216:1 gene:Et_1B_013132 transcript:Et_1B_013132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSWLSKIASACLGPVQRYARTRKDEDGGDNGSVADDLLWSRDLGRHAVGEFSFAVAQANEVLEDHSQVETGAAATFVGVYDGHGGAEAARFISDHLFAHLIRLAQENGTISEDVVRSAFSSTEEGFLTLVRRTHFIKPMIAAVGSCCLVGVIWRGTLYVANLGDSRAVIGSFGGSKKIVAEPLTRDHNACMEEVRQELISRHPDDSQIVVLKHGVWRIKGIIQVSRTIGDAYLKRQEFALDPSITRFRLSEPLRRPVLRADPSICTRVLSPQDKFIIFATDGLWEHLTNQQAVEIVHRNPRAGIAKRLVRAALKQAARKREMRYDDLKKVEKGVRRFFHDDITVVVVYIDHEFLQGRNTSVQELSVRGFVDSVGPSRFSGLSNIS >Et_3A_025099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26914326:26918754:1 gene:Et_3A_025099 transcript:Et_3A_025099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSRLQELCQQRRWAPPVYEHTREGADHMPLFRATVAVNGAEFRSPEEGARSAKEAQNLAAMAAFESLSAVPAAPAPPRPAPAPRLSAPEFEGPPKSQLQIYCQKRGKRLPSYRPIHEGPPHLLKFKSVVTVDGQTFESPEFCYTLKEAENAAAKVALASLPQEASLPVPTVSSLSYKNLLQELAQKERFSFPLYNTTSDVPNQPGAFKSTVEVKGTLFQGEPGSSKKQAEMNAAKVAFQHFKDINHESHKFGSAISHPDANTTQPLDENTQSAELKVNNNSSFPKASTEAQVMESFLSVDSPPRQVPSTEVEVMDLSVNADRLSLLVPSTEVEVTDSSLDVDKLPLPEARIKVELTDSTAQAADELHIPELGTEVDGVDSTQQVDKQLSIPEPSIKTEMMDSSLEPTLTVDDRSAQVASTRTPRHAVPTTTPPASSDGCGCYMLTNRIQVYPRHSDMVIPEGATMLPISDDQWVAVSLPFSNSG >Et_2A_015135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31772430:31773149:-1 gene:Et_2A_015135 transcript:Et_2A_015135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHLEKIVVDLAESTSALTLQGADDVSSLDVDEFLVSSSGSSLGSKILSRSPPNWYQVFYTRMDRAGSYHMYPDLGGPFQSVEEADGAINRYLDELRRQARPKEQGSIVDRMVHACRFYLDGTPKRGPNSPSGGNNRYDEKRYLIKALLDQYNEHNNLSGDLASELEDLVERQLNYEAHRWYYHFNFTTKRKDDGDNGIGNPSAGTLFFAEVSHM >Et_1B_010901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15260582:15263468:1 gene:Et_1B_010901 transcript:Et_1B_010901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSADYTKTDLSSVFLSFFSGGGGGGGDEAAASITGGGAGSSRPAAARSSDAGIATTTPRTRSRSMCATGTRSLATRSKRLSALSVPKNRMCSRIAPTVGPAWGNTSAKYATSSMMMVLRINTTVMYLFDSTKDISVLQCGHTIHLECMNEMRLHHHFSCPVCSRSACDMTDTWRKLDEEVAATPMPDIYQKKMIWILCNDCSATSNVRFHVLGRKCPGCCSYNTRETRGGPAPAACSRV >Et_2A_014758.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:12432834:12432911:-1 gene:Et_2A_014758 transcript:Et_2A_014758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLTHWSNTIRVVEYMEGEELASI >Et_10B_002659.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:487005:487208:-1 gene:Et_10B_002659 transcript:Et_10B_002659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNYPYVLAEEIIRNNNAALDENKLKLVFCSRLHCQPNVICWCCMNQKPKALCYDTENECRDECVVC >Et_8A_056583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1337939:1339660:1 gene:Et_8A_056583 transcript:Et_8A_056583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAGGGLKGFYRQRKKDAGVVKPPPKKRSNNAKAPRRCADVQQGSAAGTCLLFSADGADDCGAEELELRRFDMDMTYGPCIGVTRLGRWERAAAMGLSPPPHLRDLIVLQQQPLPPLLDRDPSSPPKSSINVVNGGRSINIISLECLWAGKVKDGKPFFILRLAKSF >Et_1B_011518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23161460:23163600:1 gene:Et_1B_011518 transcript:Et_1B_011518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNAQRQVERTGRYGTPRDQYLQDLVTQFQNATDEESKEKIVANLANFAYDPFNYAFMRQLNVLELFLDCMTEPNERLVEFGVGGICNSCVDPANASVTIQCGGIPLVIQCLSSPVRNTVTYALGALYYLCNPSTNKDILKPDVVRIIREYAAAGSVNVSFSNLANAFLEKHLQSLFSEREGAFIQAYSRTDGSMDLAAHHAMKPRSP >Et_7A_051173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15071562:15073589:-1 gene:Et_7A_051173 transcript:Et_7A_051173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKKNRSQSSSPLRGTATRHAAARPMVLVVAASVVAVVITAAVLFGARWTPSGGDYTWVSAGVRVVLNAVSDSGQAAAVLRPLATVPDPSDRLLGGLLSPDFDEGSCLSRYRAASYRRPSTHALSSHLVAALRRYESLHRRCGPGAPAYARAVDRLRSRAPANATADPSSDEPECSYLVWTPNAGLGNQILSITSAFLYALLTGRVLLLNPTGGGGGVDDVFCEPFPGGSTWLMPPEDYAKRLAAAGGGAAPERLGVLLGRGEAPGGGGSSPWLFAHLRHDYKADDRRFFCDEVQGELLLRRVPWLEVMADNYFAPALFLVPRLGPQLARMFPRRDAVFHHLGRYLFHPSNSVWGMVTGYYHGASGFAEAADGERVGVQVRMFKWAPISTDDLYGQILACVHRENILPGPGAAKTKANATGSSTPPPAKRKAVVFASLYSDYSERLRDLYKEHGAAGGEAVSVFQPTHLGAQHFGDRQQNQKAFAEMVLLSFSDVTITTAASTFGYVSQGLAGRRPWVLMRPVRGKAPDTACRLAPTMEPCFHSPPNYDCPTKGRGDTGKTVRYIRHCEDFPQGVQLVE >Et_4B_036491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26801010:26802059:-1 gene:Et_4B_036491 transcript:Et_4B_036491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASAQAAGATGGAPAQVIEDFAGLFQLLSDGTVVRRSDLDVFPSLPIPPTLPAVEWKDVVFDPTHDLKLRIYKPATAPSAAGKLPVLVFFHGGGFCVGSYDLPNVHACCLRLSGELPALVVSADYRLAPEHRLPAAHDDAETLVSWVRNQAAAALADDADAAADPWLAESAGANIAHHVAARVAGYALLWPFFAGEERTASEAEHPPGPLLTLPVSDQFCRLSLPAGATRDHPALNPFGPRSPALDAVAFPPTLVVAAERDLLRDRDADYVARMKAMGKPVELVEFRGQHHGFFVVEPWGEGGDELVRVVRRFVYGNTLSV >Et_5A_040629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10659300:10659597:1 gene:Et_5A_040629 transcript:Et_5A_040629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPATTVREMWRAQRADGPAAVLAIGTANPAWCVTQEEFPDFYFRVTKSEHLTGLKDKFKRIYNYAFISFRSMYFVVWNPSACTYYMHKQN >Et_10B_002700.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:890391:890441:-1 gene:Et_10B_002700 transcript:Et_10B_002700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFVKNEWKFSNKLC >Et_2B_019755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13645861:13649770:1 gene:Et_2B_019755 transcript:Et_2B_019755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGGRRDGGGASTSGCGVKRRYAATSHQEEVNQDQPPVQARCQLWSNGMDLLRDVTENKRFLPVGIEGIDMLLGGGLRQGQLTEITGASSSGKTQFCLHAASHVATRHMGAVMYLDTSNSFSPGRIASIIDEFPISLLLGFDLQPKDVRLKRVMRSINCESVFDIFALFEVNIGGSKICLLIIDSVSSLLAPIIGGKYPQGRSMMITVAMILKKLADEHNLSVLVTNHMVSAGNGTLKPALGQSWKTVPHVRLMLSRDRGRHVCTATVLKHTLLRQCITAALLVITVEIAWDWVNEVTTAQ >Et_2A_014767.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:13482992:13483162:1 gene:Et_2A_014767 transcript:Et_2A_014767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMSCPIMKPRVFANMSFFPNPRLEKEDLRGIYGECGQKSIIESDHNDRIKSSPI >Et_1B_011496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22985978:22989120:1 gene:Et_1B_011496 transcript:Et_1B_011496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLRLGREDFIPIQDDMRIGWGIYISRRGFTMSGMSSDGTGQVRPEADVTGEKVEETQDQKEVGGMPSRQEEEAAIKKKYGGVLPRKTPLISKDHERAYFDSADWALGKQGGVPNKPKGPLEALRPKLQPTQQNARARRTSYASADNDESLSLPAEDLSHDGGEPVEDKNKE >Et_4B_038473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29234624:29236322:-1 gene:Et_4B_038473 transcript:Et_4B_038473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRPATWEQGGDEYDYLFKVVLIGDSGVGKSNLLSRFTRNTFSLDSKSTIGVEFATRTIQVEGKTIKAQIWDTAGQERYRAITSAYYRGAGATFENVRRWLKELRDHADANTVVMLIGNKTDLRHLRAVAQEDAAAFAEREGLSFVETSALDATNVDKAFQTLLAEIYRIVSRKALAADQAASGAVGEGQSIQVSAGARDSGGGLTTRCCAF >Et_1B_011476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22706075:22709775:-1 gene:Et_1B_011476 transcript:Et_1B_011476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVEGRSYLPAEVRNGLETLKRRRLERMRLTAQNEAEDNLAVAARSGGDGLRSPANCGVRLHSSNGTSFPGNVEGKDPFAKRKVEKFDMSDLEWIGEIPECPVYCPTKEEFDDPIAYIQKIAPEASKYGICKIVSPVSASVPAGVVLMKEQPNFKFMTRVQPLRLAEWAEDDTVTFFMSGRKYTFRDYEKMANKVFSKKYSSTSCLPARYVEEEFWREIAFGKMDFVEYACDVDGSAFSSSPHDQLGKSNWNLKNFSRLPNSVLRLLQAPIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAFKTWYGIPGDAAPGFERVASQYVYNKDILTGDGEDAAFDVLLGKTTVFPPNVLLDHNVPVYKAVQKPGEFVITFPRSYHAGFSHEQEMRSCPCKSNRILYIREDIQELEALSRKFEQDIRFDKERGAGSCNEAEMVDINVQHAPKSGIRQDFSNNKDVISGSVANNGKSSVASILASSAHHEAPGPAEARVHGTQTEQIHSTAKQAINTSIVKATYVVDESSTGMDDGCNELSSCNASAVEYSANSDSEDEIFRVKRRSTTSDKPASSDSRTSNLSDQQVLRRLKKAGPEMQRDSKRLEESNHCSGPSVRTSQKISNAASSDEEREDMFPISWRMKRRQLETERLESGHSSQTNSYASSTSSSSREERMDMTRDAAAELRPKRVKIRLPSSATRQKIEQSSSAQRFARDDKSIGWPRTF >Et_8A_056520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11300219:11308455:1 gene:Et_8A_056520 transcript:Et_8A_056520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRSLVMAKAPPPLLPSFKLHCFYSKLPLFMGRMADIQLGVHTIKSHGAKLIAIVLPWAVFGGIYFKKRNVYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKPNYDNITTDVICHGVKSVIKEGHKSFPRSFAGLGFLAWYLAGKLKAFDRKGHIAKLCLVFLPLLVASLVAVSRVDDYWHHWQDVFAGGIIGLTVASFCYLQFFPYPFDNDAIWPHAYFQQLAETHSNGNANSFSMRPTEFENEEEGHGGIALRDSSPILDSMESGRNRTDLNRSEASNKNSCHGIAMKGAFCSLEQRMMVPEAMTMKRGRG >Et_2A_016094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2142532:2145527:1 gene:Et_2A_016094 transcript:Et_2A_016094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKETLDLSNLNVALPAAAAALSAEDRAGLVNALKDKLQSLAGQHTDVLETLSPNVRKRVEFLREIQGQHDEIEAKFFEERAALEAKYQKLYEPLYTKRYDIVNGVVEVDGVSDEPTGENAAEGKESDAKGVPDFWLIAMKTNEVLSDEIQERDEPALKFLKDIKWSRIEDPKGFKLEFFFDTNPFFKNSVLTKTYHMVDEDDPILEKAIGTEIEWYPGKNLTQKILKKKPKKGSKNAKPITKTEACESFFNFFSPPQVPDDDEDIDEETADELQGQMEHDYDIGTTVRDKIIPHAVSWFTGEAVQAEDFEDMDDEGDDDEDEEDEDEDEEDDEDEDDDEEDEEESKPVKKVGL >Et_5B_043866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1699913:1701155:1 gene:Et_5B_043866 transcript:Et_5B_043866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFLPLDMVLEIAARSDPATLVRVAATCRAARRRVAGDPAFRRRLRLRHADRFVPSLLRGHLLATGVYQGEPQFVDTTTADATPRPLTASELEGFLPRAADDSARQGRHYEHVASRDGLVLVRGSNLRPPPYDPDQRWEPYVLLVGDAPAADDHGGVGRPFQVLKTNLAVSPNRRFLQVHVFSSETGAWGPYTEIRTPHLYGSRLLRGGGKPLVVDGGAVHWLCLTDKASYVLKLQLVTEAPPKVTVTALPATFPRPSTHPKKQTIDDRIYDLLVTTSPSPCGSLMVLVTDRTKISAWSQEKQTAKWRQKAQDVVKNNDIPLETKRHCSTFDVRLDWFAERSGVVLVYLLGYGYFWLDLRSMKITRQFKYWAVYPASSHHPYEMHLSSWVPTFSAKI >Et_1A_009157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31269286:31275023:1 gene:Et_1A_009157 transcript:Et_1A_009157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQDVCLALLILLTNLEATTSIDRDHSNGRVPFMNRTLSSSMGTDPIIKASCDKSKHTQYYARYRVDTPPAGGYTGGMATLDVSSFPSIKSGDVTAALIWVSTGKYNLTGSNDIQAGWMVGPSHYRDSKTHFFVYWTADGYRSTGCFNLYCIGFVPVNDAPITPGDSLEQANGQSKISFKIFKNKDDGDWWLHFGYDINNIKPVGFWPKSLFTDLKDHADLITWGGTTMCPSGNASPPMGNGQWPGRNSASFENVQLVDTNGQGYAPPVWTLGVYAKNKKCYQASSFLDDMFYYGGPGGCVN >Et_6A_046181.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:8501219:8501692:1 gene:Et_6A_046181 transcript:Et_6A_046181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQIFHRDLKPSNVLIDSNGSNAVGKICDFGLATYYDQIVATLRRPPCGTYGYMALEVQKARSSCTFESDMWSLRAIMYEVITGSPLIKGRDPADMTTCMRSLFGTLSNPAHTLSNEVCAGLEAIDRPRASPGWTTHDTLIHRQFSSQCLKFSKDY >Et_2A_015304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10825215:10827474:1 gene:Et_2A_015304 transcript:Et_2A_015304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAGEKERSRVLVIGGTGYIGRYIVTASAREGHPTTVLVRDPAPVDPAKAAVLQGFRDAGVTLVQGDLYNHESLVAAIKLVDVVISAVGYAQLPDQTRIIAAIKEAGNIKRFFPSEFGNDVDRVHAVEPAKSTFAVKARVRRAIEAEGIPYTYVSSNFFAGRFLRNLAQFGVTGLPTDKVVILGDGNVKAIFVTEEDIGTYTIKAVDDLRTLNKILYIRPPGNILSHNELVSLWEKKVGKTFQRVYIPEDEVLKKIEEAPMPLNIALSISHSVWVKGDHTNFEIDLSFGVEATELYPDVKYVTVDEYLNKFL >Et_10B_002747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10379805:10388926:-1 gene:Et_10B_002747 transcript:Et_10B_002747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSERFGTGSRRKMKPDTSPATAEAVLSNDDLLGQFLIRLPDLLSLASAALVSKRWRRVASDPAVLSRFNLSSRPPLLGVIFSDRGDMPFPCRCPMLRFVPSHGGNPLLASAAEAGDFLFEHLPDGSDGAAAWRLRCWDGGFLLLTRGGDSRDLAVYNPFARTAVFVPTDDLVFETCEHPDGVRAGRFAYWRSETKKIPYSIWDDAIYICGEDILVLDTGTMEWSVIAAPFAVGESYCVADIAEHGGLCLVSSKEQLLQLWVRENDDEKWVIKKEVSLLKEFGFLKNIRRDEWMKRVRPLVARGDYVLMEFWSIRKSHSYLLLLNLKTMKLDMYRNDATQPYRGPAFPFFMLSESPLPSFNKQRTLDVQVGIQQIAKDTHDDLLLAKEINQYAENCHLLILVCSGAGGQKDIGEAIAALIGETITHFLFHASALHSILADRCNAHCAFISAYAADYG >Et_9A_061676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15206214:15207772:1 gene:Et_9A_061676 transcript:Et_9A_061676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWCCCLECIHNIPPLNLLFLHFSDSAHPGEQDSAASGSASMASISVPNPVPSAAEDAENIRKAVQGWGTDEKALIEILGHRTAAQRAEIAVAYEGLYNETLLERLHSELSSHFRSAMMLWATDPAARDAKLAHKALKKKGDRNVWVLIEVACASSPDHLVAIRKAYCAAYDSSLEEDFLVRLVTSFRYSGEFVDDEVSRAEAAELHDAVVAKKQPLHDDVVRIVSSRSKAQLKATFERYKQEHGKAIDEVLEERRSSDQLAAMLKTAVWCLATPEKHFAEVIRSSIVGLGTDEESLTRAIVSRAEVDMKKVKEEYRTMYRKTVSDDVIDDTSGYYKDILLTLVGPE >Et_4B_037142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15354114:15357178:1 gene:Et_4B_037142 transcript:Et_4B_037142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRQARETHLQRQIDLAGSRYRICTMMSSVRCSAEFLPVISLDADAIAEIGGCGGCRRSRTVCIAAAVGTREKRSNGNSPLLRKMWPMIIVFVIGQFHPERDIGFRTVEGHRLLSNNLINADVKEICKCFFFMKKLKEEIEQRVIEDMRSIARKRRGGSREILDAPLISAGIALSQGGRLGTRFCHEPHSSQPIPLLATNEDTTNVNDVAAAASSSSPGTITPVHHVAPTTTVVQAAQ >Et_1B_012789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35100976:35104685:1 gene:Et_1B_012789 transcript:Et_1B_012789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKLAKLSEAVAGLTEISENEKSGFLSLVSRYLSGDEEHIEWAKIHTPTDEVVVPYDTLEAPPEDIEETKKLLDKLAVLKLNGGLGTTMGCTGPKSVIEVRNGYTFLDLIVLQIESLNKKYGSNVPLLLMNSFNTHEDTLKIVEKYADSNIDIHTFNQSKYPRLVADEFLPWPSKGKTCKDGWYPPGHGDIFPSLMNSGKLDLLLSQGKEYVFIANSDNLGAIVDMKILHHLIHKQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDAHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLQLVQSDLYTLVDGFVTRNAARTNPSNPAIELGPEFKKVGNFLGRFKSIPSIVELDSLKVSGDVWFGSGIVLKGKVTITAKSGDKLEIPDGAVIENKDINGPEDL >Et_1A_007114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3103887:3107974:-1 gene:Et_1A_007114 transcript:Et_1A_007114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEGPAVAAEAAAAAAAAADGEVVVRNPRCFLDVSIGGELEGRIVVELFASVVPRTAENFRALCTGEKGVAADTGVLLHYKGSCFHRIVKGLMEAGDITAGDGTGGQSIYGLHFEDENFVLKHERKGMLSMVNAGPNTNGSQFFITTTRTPHLDGKHVVFGKVMKGKGVIRAMEHIPVGEADDRPTADIVIVDCGELPEGASDGVTNFFKDGDMYPDWPIDLDEKPAEVSWWMNAVESVKAFGNEYFKKQDYKTALRKYRKAMRYLDLCWEKEKMDEEMSSALRKTKSIIFTNSCACKLKLGDLVGALLDADFALRETDDNAKAFFRQGQVRMALNDIDAAVESFKRALELEPNDGGLKRELVAAKKRISDRCNQERKAFSRMFQPSERSENDKVRFQYHILLFSMDNLCFGSSSLSCIILLLKLLNLVSVDLSCRKKVDPYLEHSVVTVKKCSGNQAMP >Et_4A_035304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18820499:18821090:-1 gene:Et_4A_035304 transcript:Et_4A_035304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYIVMELGGTPLEDAIRAGHAHGRALSEDDVRVIMKSLLVGLKNMHEKGIIHRDLKPSNILIDSNGRHVEGKICDFGLAVYYDLAVATWSRTPHGTYGYMAPEVHKAKSSCTFESDMWSLGAMMYEVITGSPLRKGRDPAGMITSCLVLQATKQVQVWKQLMDHKQIQNGQPMAHSSVGNSHRSALRFSMDY >Et_8B_059084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13616778:13621041:-1 gene:Et_8B_059084 transcript:Et_8B_059084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASSSPSILSSPLPSPATLCSKKPPSSLRAVSAARRGVRVVAAAAAAAAPVSAARARPSAAEVARTVVELAPSGTLSVVGPDGWPLGVGARFVADASGAPALCLATACVATPNALSSFHVEFQQSGVRTPQCTMLGALTKPSDEAVLKKLSTRWERKFGEEINQDLLYLISVERIMHMEDFNEDGMWVVPSEYTSAEPDPLRNFAEDIVEELNSKNADDVHRIYSIYVESDFQVADVKMIWVDRLGFDFHVHSGEGIFAVRIPFSREVSDEKGVKSSFNMMAHHAWEVEKSFASPEFEKVQFLKKFSKGKVYTYEEISYEVPTK >Et_6B_048523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10223404:10228018:1 gene:Et_6B_048523 transcript:Et_6B_048523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTTKVYPQYYAFRWITLLLTMEFSFNTCIHIWDAILGDPEGPPDTLLRICCAMLILVRKRLLAGDFTANIQLLQHYPATNIDHLLHISNRLRGTVAKTQFALQSHVLEQVDHK >Et_3B_031111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16356665:16357261:1 gene:Et_3B_031111 transcript:Et_3B_031111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHRTHAIDDDIQERQARGGGGRAAHHPGARHGPRRVVLVPRRDAAPRRGPPRPHAGPRRVADARRLRDAPTFEDYSRPLLDAVAALPDGERAVLVGHSFGGMSVALAAETFPEKVAAAVFVTAFMPDCTHHRAHVIDQLLDNKILSCADVLAEQRLT >Et_7A_051262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16220859:16224338:-1 gene:Et_7A_051262 transcript:Et_7A_051262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAVGSAPPRPGLAAPTRSSLVRRPRTLATAAARRPFTAAHMDPAAGGGRPSPAPLRCTSAGTESEVDAVATTSHAAEAEAAAGATEQGSNGSPVADAASVDAPVDIAGVDGIRIRRRPVTGPPVHYVGPFQFRLENEGNTPRNILEKIVWDKDVEVSQLKERRPLYMLKGPLEAAPPARDFVGALKASYDRTGLPALIAEVKKASPSRGVLREDFDPVQIAQTYEKNGAACLSVLTDVKYFQGSFDYLDAIRKAGVNCPLLCKEFIIDAWQLYYARSKGADAVLLIAAVLPDLDIKYMLKICKILGLAALVEVHDEREMDRVLGIDGVQLIGINNRNLETFEVDIANTKRLLEGERGQIIAQKDVIIVGESGLFTPDHISFVQNAGVKAVLVGESLIKQEDPGKAIAGLFGKDISHAGAA >Et_4B_038238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27448757:27458702:-1 gene:Et_4B_038238 transcript:Et_4B_038238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGGGRGGRGDRGDQRGLPYNQGRGGGGGRASGFVWPPPASTPRPVPGQYQAAPMGFRAPVPHQGAYGHPAPIVYRPAAPPAPQVVFTPPPAPFPLTIRAPPPAPSPAPAAATHQPANAPAPASSAPSAAALAKEVEKKLFVSETALAPAAAAASAAAATQEGKDAAADDAPEVDLAPVSKKGMAHPARPGVGTVGKKVMIRANHFLVDVADNNLFHYDVSINPESKSRQTNREVLNELIKLHGQTTLGGKLPAYDGRKSLYTAGSLPFESEEFLVTLVDPEKKEKERAEREYRITIRIAGRTDMYHLTQFLRGRQRDMPQETIQVLDVVLRESPSWNYVTVSRSFFSTTFGHRGDIGEGPECWRGYYQSLRPTQMGLSLNIDISATSFFKPVSVIKFVEEFLNIRDTSRPLSDRDRVKIKKALRGVRIETSHQQDQIRRYKITGITPIPMSQLIFPVDEQGTRKTVVQYFWDKYDYRLKYASWPCLQAGSDSRPVYLPMEVCKIVEGQRYSKKLNDKQVTNILRATCKRPQEREQSIRDMVLHNKYAEDRFAQEFGIQVCNDLVSVPARVLPPPLLKYHESGREKVCAPSVGQWNMINKKMINGGTVNNWTCLNFSRMHPEEVKRFCIDLIHMCNATGMAVNPRPFIDVMSASPNQIESTLRDLLIVILPDVSGSYGKIKRVCETDIGIVSQCCLPKHASRPNKQYLENVALKINVKVGGRNTVLERAFVRNGIPFVSEVPTIIFGADVTHPPPGEDSASSVAAVVASMDWPEITKYRGLVSAQPHRQVIIEDLFTVSKDPQKGHNVNGGMIRELLIAFRRKTNRRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEFHGRRDMTDRSGNILPGTVVDQKICHPTEFDFYLCSHAGIQGTSRPTHYHVLYDENHFTADALQSLTNNLCYTYTHCTRAVSVGESSDGGSTPGSSGQAVAEGPVEVRRLPKIKDNVKD >Et_1B_009637.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10137294:10138132:-1 gene:Et_1B_009637 transcript:Et_1B_009637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSFSVSPNHQSISSSPLFLLSSDAAPAEEDPLAAEPPAPKAKVKVLYFARARDLTGVAESSLEVPVGSTAGECLARILAEFPKLEEIRSSMVLALNEDYAADSAPVADGDELAVIPPISGG >Et_7B_054643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3105862:3109443:1 gene:Et_7B_054643 transcript:Et_7B_054643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSVSPCDLDRDFAPQIAQLLATPPLHSAKEYYDELIESKKQDGIRVTNSGKHGKGVCANRDFDEEDLVLKDQMLVGAQHSLNKIDCVVCSYCFRFIGSVEFQIGRRLYLQSIGTGNDDTFERHCHGSDAGSSSGCSSATNGNAHTVPQEVIMSLMDGDMQLPFTDQFALPSVVACPGGCEGELYCSQSCADSDWDSYHSLLCTGSKTDPLRRSALQKFVEHANGTNDIFLVAAKAISYTMLRYKNIKRQHASQNKTDESSFSFLMEAWKPLSMGFKKRWWECVALPEDVDSSEEDSFRQHIRDMAFMSLQLLKDAIFDPDDLVVASPVEDYFIYIDDLPDSEKEEAEKVTGPFLNALGEDYSVPCEGTAFFPLQSCMNHSCCPNAKAFKRDEDKDGHAVIIALEPISKDDEITISYIDEDVPYEERQAQLADYGFTCTCAKCQEERPN >Et_7A_052766.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15801732:15802613:1 gene:Et_7A_052766 transcript:Et_7A_052766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSKSRSVSGAGFLKPLAGASPGCVPGAGTVYFLVGTALGVVAVFHASEFEVGGGEWASAARWAADALAGSVGAHHLLVAISLLFLAASVWRLGRRCDAVEGLVCNADSAMQALRVGGVVCAVCGSKIQALKKGRVGTNARSSSSKSGVDKPVSRSLAAEFEQEADKEEEDNVGEISDSEEGNVQWLRKRLKEEKMLKEVALEELEKERRAAASAADEAMAKIACLRSEKALVEREARQFREMAQQKQLYDRQVIESLQFVITRSGLQCWEGEASSDRAVSETSEDDRDRK >Et_10B_003719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5220156:5224496:1 gene:Et_10B_003719 transcript:Et_10B_003719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPDFAAERALAKDFLTNFTGPHGEPKYMNLLQDVANRKIRAVQIELDDLFHYKDLDEEFLQRVTENTRRYIGIFAEAIDELMPEPTEAYTVDEDRDILMTQRVDEGVDGGADGTDTLQRMPPEIKRFFEVYIKAFSKVTPLTIRQVKASNIGQLVKISGIVTRCSDVKPLMQVAVYTCEECGFEIYQEVTARVFMPLFECPSQRCKLNKAKGNLILQLRASKFLKFQEVKLQELAEHVPKGHIPRSLTVHLRGELTRKVAPGDVVEMSGIFLPMPYYGFRAMRAGLVADTYLEAMSITHFKKKYEEYELKGDEQEQIDRLAEDGDIYNKLAKSLAPEIFGHEDVKKALLLLLVGAPHRKLADGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPVTNEFVLEGGALVLADMGICAIDEFDKMEESDRTAIHEVMEQQTVSIAKAGITTSLNARTAILAAANPAWGRYDMRRTPAENINLPPALLSRFDLLWLILDRADMETYISAARRVIPSVPRELEEYIATAYSSIRQEEAKSNAPHSYTTIRTLLSILRISIALARLRFSETVAQSDVDEALRLMQMSKYSLYSDDRQRSGLDAISDIYSILRDEAARTNSMDVRYAHALNLISRKGYSEAQLKECLEEYASLNVWQIHPNTFDIHFIDA >Et_1A_006967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29660617:29663474:-1 gene:Et_1A_006967 transcript:Et_1A_006967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDYPASPKAQQLQVSKKQRLTYILVVSALCIAFYVLGAWQNTTLPKPVGNSASITRVGCDPAKTQSSSSVPSFGSAAGDALDFDAHHRLTINDTDAGTVLQPFPACALNFSEYTPCEDRTRGRRFDRSMLVYRERHCPGKDEQVRCLIPAPPGYRTPFKWPHSRDYAWFNNIPHKELSIEKAVQNWIQVEGDKFRFPGGGTMFPRGADAYIDDINKLISLSDGKIRTAIDTGCGVASWGAYLLKRNIIAMSFAPRDTHEAQVQFALERGVPAIIGVIGKHRLPYPSRAFDMAHCSRCLIPWFAYDGLYLAEVDRILRPGGYWILSGPPINWKTHHKGWERTKDDLKQEQDKIENVARSLCWNKVVEKGDLSIWQKPKNHLECPNIKKTYKMPHICKSDNPDAAWYKQLEACVTPLPEVSNQGEVAGGAVEKWPERAFTVPPRIKRGMIPGLDAKKFDEDKKLWEKRVAYYKRTIPIAENRYRNVMDMNANMGGFAASLVKYPVWVMNVVPVNSDRDTLGAIYERGFIGTYQDWCEAFSTYPRTYDLLHADNLFSIYQDRCDITDILLEMDRILRPEGTVIIRDTVDVLTKVQLLTKRMRWESRIMDHEDGPFNPEKVLMAVKKYWTADASEQH >Et_1A_007501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35215503:35216643:-1 gene:Et_1A_007501 transcript:Et_1A_007501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATTSLLSTLLQLPLAPFSGKSAPPSVVHVARRAPTAVVAAKGYNVQILVDENEGEESIFRRFRREVMRAGVLQEIKRRRRYESKKDEKKRKQREAGRRNRRRRMMDEPRFPEEDAGAARGRDEDDDNWEITGIL >Et_4A_035203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11990386:12013626:-1 gene:Et_4A_035203 transcript:Et_4A_035203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWWLANLTTAWFIIAPLIASYVPQRLLKSYFNHHLWRHAKAVLAFFDPYVTVDIFKRDADDDSIASSDAYGEVKAYLSAACSREARALRAESVTVAADEDGTRKEYGFVLSLRPGQELADEFRGAVLWWSSAESRVEALAWQRRDELVNRRCHRLTFHQRHRQLVVDEYLPHVRRKGREALCKERRRRLYTNNIITDYTYHDDRVWNHIDLKHPTTFATLAMDATKKQEIIDDLDALLPAHGPAVEAPGTGKSTKIAATANHLGYDIYDIELTVVRHKHDLRKLLVETTDKSIIVIEDIDCSLDLTGARDEEGGRRKKHSSDDDDEKRSKVTLSGLLNFIDGL >Et_2A_015528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14543169:14547747:-1 gene:Et_2A_015528 transcript:Et_2A_015528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIATSEKERSPGRFDPNNTEITETVFGREPSNYIKHIARSETERSPGRFGRNSTEITETVFTWEPSNYIKHIATSEKEKSSGHFHRNNSEITKTGPEQLQNCPREPYNDIKHITTSEKKRSPVRFDGNNSEITETGPEQLQNCPSFRAGPSNDIKHITTSEKKRSPVRFDRNNTEITETGPNSSRTTLFDTSSAKLTFFRVFAREPSNYIKHIATSEKERSLGRFDPNNTEITETGPEQLQNNPGSAKLIFFRIFGREPSNYIKHIATSETERSPGRFDRNNTEITETGREQLDNCTEPSNYMKHIATSEKERSPGRFDRNNTEITETVFGREPSNYIKHIARSETERSPGRFGRNSTEITETGEILGSFSPKQLRNNQNRSRTAPKLPRLTSLQQNSYFFRVFEREPYNDIKHITTSEKKRSPVRFDGNNSEITETGPEQLQNCPSFRAGPSNDIKHITTSEKKRSPVRFDRNNTEITETGPNSSRTTLFDTSSAKLTFFRIFARERSNYIKHIATSEKERSLGRFDPNNTEITETGPEQLQNSPGSAKLIFFRIFGREPSNYIKHIATSETERSPGRFDRNNTEITETGREQLDNCTEPSNYMKHIATSEKERSPGRFDRNNTEITETVFGREPSNYIKHIARSETERSPGRFGRNSTEITETGEILGSFSPKQLRNNQNRSRTAPKLPRLTSLQQNSYFFRVFEREPYNDIKHITTSEKKRSPVRFDGNNSEITETGPEQLQNCPSFRAGPSNDIKHITTSEKKRSPVRFDRNNTEITETGPNSSRTTLFDTSSAKLTFFRIFARERSNYIKHIATSEKERSLGRFDPNNTEITETGPEQLQNNPI >Et_1A_005684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12781810:12784315:-1 gene:Et_1A_005684 transcript:Et_1A_005684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVMAVSCPFFPRRREVWGKDLDVVPFLCVCCVLVVQEEKAKEAAAEKRKEAAAPAEEKKGDGGGAEEKKEDVLPPPPPPEEVVMMVFMHCEGCARKVKKILKGFDGVEDVSADSKAHKVVVKGKKAAADPMKVVERLQKKTGRKVDLLSPMPLSKEEEKKEEEKKEEPVAPKPEEKKEEFRVASILLPTVVSVVLKVHMHCEACALVIKKRILKMKGVQSAETDLKASQVTVKGVFEEAKLADYVHRRTGKHAAIVKSEPVAADNAGDGNAKDDKKAAEGGEEKKDDNKEEKKESGDADKQKDDSNAGDEKDPAAMTNLYMHYPRFNHPSGYGAPGNTYHYAPQLFSDENPNACSVM >Et_2A_017929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:856559:861240:-1 gene:Et_2A_017929 transcript:Et_2A_017929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTQPWTSNLGHVPKDMNAGAPVQTTNSSGPSIGVSSLVTDANSSLSGGAQLQPSSSMNADSFMRVPASPMSFSSNNISGSSVIDNSIMQQSPPQDQVQKRRSSSVTSQPVYEAGSALHAQKKSRIDVRPDDILQHQLIQQLLHGQNSSHLQMQQNAQLQALMQQHKLPQIPRQLHHCSQPFSQIQRPQVGIPRQPQFRPPLSQPGMQLGGPVRVPVESGLCSRRLMQYLYHKRHRPENNPITYWKKLVEEYFAPQARERWCVSSYEKRGNPSVAAPHTTLDTWRCDICNAHGGKGYEASYEVLPRLCQIRFDHGVIDEYVYLDMPNEFRLANGQMLLEHTKVIQKSVYEHQHVTHEGHLRIIFTPELKIMSWEFCARRHEEYITRRILAPQVNNLLQVAQKYQALASGNGPAGVSNNDAQTICSMFATASRQLAKNLDHHTLNEHGLSKRYVRCLQISEVVNHMKDLIEFSNKNNIGPKESLNNYCIKTIPKLPVQNMHESRQLMAAAGLPNNQSNVKIMGAKQEISADMNNGTPGVGAIGNNSPQNAAALNGYQSMLRSYSGNQSLLQQEASNVFKGPTAMHIRGPNQGQLAQFQHPASFQQQMSQQNSIQGLGMSSPYQQHVINQLLQEVRNNSNRTFGQQRPPETPNANSGLASGAINNSAATREQTQGISNNNSGVNGAAPVSTMPSNVINSKAGIGPSRSNSFKSVSSNPAAATGGNAAAAGGNASSSNAEPFHEMEDLGHLISSELAESGLFGEQGGAFSWNM >Et_7B_054982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6121171:6122646:1 gene:Et_7B_054982 transcript:Et_7B_054982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDDDDVVYLLTRAAIMAENTTGVVVTALDVRENMVRGVSMIDRKENTVFKRCYLASGISKHLNTTGTSQSFGQAEEHKQIPTRRRRRRGKRV >Et_7B_055465.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:11188625:11188981:-1 gene:Et_7B_055465 transcript:Et_7B_055465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAAADGTRSPTTPRRQQHLADDAGGHHQDLVMLRRTRSGRAFPPPISVIGRSGRPWLRLRAHREGGRLVLREMVLPSQELLQPCKEDGRFKLLLCTEGPGRCCGGGGTAGTAREG >Et_3B_029240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23344566:23347975:-1 gene:Et_3B_029240 transcript:Et_3B_029240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKKPVKELTLAVPAQETPVDKFLTSSGTFKDGELRLNQRGLRLISEENGDDHEETNLKVEDVQLSMDDLEMVQVIGKGSGGVVQLVRHKWVGTLYALKGIQMNILESVRKQIVQELKINQATQSPHIVLCHQSFYHNGVIYLVLEYMDRGSLADIVKQVKTIQESYLAVLCKQILEGLLYLHHERHVIHRDIKPSNLLVNRKGEVKISDFGVSAVLASSMGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCSFISSCIQKDPSERMSASELLNHPFMKKFEDEDLDLRTLVESLEPPMNIPE >Et_4B_039456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1718056:1721835:1 gene:Et_4B_039456 transcript:Et_4B_039456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAQPPGASGGGEPEAWYGSIQYLINISAVGSAFCVLLFLLVKLRFDHRRIPGPSALAAKLLAVYHATAPQIALHCGADAAQFLLFERASFVVLAAVGAAAVLVALPLNLLAGDATIVDQFAATTISHIPKSSPLLWLHLLLTAAVVAIAHLCISRMEDALRITRFRDGNGNPSDPNSSSVAVFTIMIQGIPKTLAADKAPLQEYFEHKYPGKVYRVIVPFDLCTLQYLVEELGKVQNKISWLEARLGARDLFDDFAHEEASQPEEHWFVKRCKELWAMAAERLGFTDEERLRKLQTKKLVLGSRLSDYKEGRAPGAGIAFVVFKDVYTANKAVRDFRMERKKTPIGRFFPVMELQLERSRWKVERAPPASDIYWNHLGLSKTSLGLRRTAVNTCLILMLLFFSSPLAIVSGMQSAARIINVEAMDHAKSWLAWLEGSSWFWTIIFQFLPNVLIFVSMYIIIPSVLSYFSKFECHLTVSGEQRAALLKMVCFFLVNLILLRALVESSLESWILSMGKCYLDGPDCKQIEHYLSPSFLSRSSLSSLAFLITCTFLGISFDLLAPIPWIKHIMKKFRKNDMVQLVPEENEDYQVMLNGEETNNLTAPLVTEREDSGFLNGLEGHDLSLYPINRSFHMPKQKFDFAQYYAFDLTIFALTMIYSLFAPLVVPVGAAYFGYRYLVDKYNFLFVYRVRGFPAGNDGKLMDRVLCIMQFCVIFFLAAMLLFFAVQGDSLKLQGICTLGICTRRESWKLEEKVNSERCECDPEGRNRRDTDPGA >Et_8B_058578.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20771122:20772177:1 gene:Et_8B_058578 transcript:Et_8B_058578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNPNPPGGLGFPYFPPNPNFPPNPYFPPNPYFPTTPPQPQAPPRFPPPFRAPPPPRRAPPPPTQPPPPRRAPPPPTQPPPPRRAPPPPTPPPPRRAPPPPTPPPPPRPPPPPPPRRAPPPPSSPSPPPRRAPPPPSPPIRPPPPPTPRPRAPPPPRPLAPPPPHINPPTPVPPPPSPPHHIVIIVVFVSLGGLLLLGCLAALFCWHKKRGKKTERKAEILNYSDHVHVHKDTMSGPEGAKVVKLTVDEDIKFQEAVKKQDTIGESSSTGAAGKASHHSSWHWHKKHGGREEKKAELINVTEHKHVEEKIVPGPHGDKIEVLSEDEDIRVEAAGGKEEVSEKSKAHIFKS >Et_1A_008021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40145593:40150030:1 gene:Et_1A_008021 transcript:Et_1A_008021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLLILLCLAAAAADALQLPPDASFPAAQAERLIRALNLLPKEAGAGDGAPSVAPGELLERRVTLPGLPKGVADLGHHAGYFRLPHTHDARMFYFFFESRGKKDDPIVIWLTGGPGCSSELAVFYENGPFTIADNMSLVSNQFGWDTISNIIFVDQPTGTGFSYSSDDRDTRHDETGVSNDLYDFLQVFFQKHPEFAKNDFYITGESYAGHYIPAFASRVHQGNKANEGIHINLKGFAIGNGLTDPEIQYKAYTDYALEMNLIEKSDYERINNFIPPCEFAIKMCGTNGKSSCMAAYMVCNSIFNSIMKLVGTKNYYDIRKECEGKLCYDFSNLEKFFGNKAVREALGVGDIEFVSCSTSVYEAMLNDWMRNLEVGIPALLEDGINVLIYAGEYDLICNWLGNSRWVHSMEWSGQKDFGSSHEQSFIVDGTEAGVLKSHGPLSFLKVHNAGHMVPMDQPKASLEMLRRFTQGKLKESLPESAAFKAVM >Et_10A_001187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2221799:2229246:1 gene:Et_10A_001187 transcript:Et_10A_001187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDAALCGLMGLLPLRKASVQFKDDTDAAEDYSVLQDIASDPNDSYTNEDFYIHVHHEVFDDTSVPLGFDTENKFKVENECSRKAKQLKQPGLSSAQACLSEESDSFSLLPDAQNSFQIDRLEQQSFSETKPEISTSDGDLSMHNDGSLDLTTGLSYSVSLESLDEAIAEEHDKKITLVSKVAAIHEMLQQVELTEEKTRSAISEASQAGNDILAKVEEIKEKITLALAGDVFADKSIILKEAQELQTRLLNISEERNNLVLTIEEMHNTLQRRLADAEVQRAAAEKEKFEREESTAKEEFEMLEQQAQEHTKLRELLTVRGRAVDALHGEMLGIFDSMTQLKLRVDTELPVDGPLQQVSSSMSSSALDESLHQKVSPRLSVSFADVSLQLIALNLASSMKSACSQNNSIKAFASRSSCSSAAESDISSKEDERTAVATDRIFELDDNWVAVEDEATIMHNWVANL >Et_10B_002767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10846077:10847373:-1 gene:Et_10B_002767 transcript:Et_10B_002767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVATNGSEVPAAAAVATAAAVFTGLKVQVTVPAGRAEEAVAFCKAAFAAEEVSRSTHPKRKGEGEQPALLCAELKVGAATLLVCDQAGDDVPAVSKDSAAASGLVLRLETDDVNAAAAQAATAGAVLQGEVTEDCCGLGATLVDPFGVTWVLASATSAKKCA >Et_2B_020288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18657486:18659046:-1 gene:Et_2B_020288 transcript:Et_2B_020288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPDEKSEAPAPSVVVAMKGHLGSGTSTVARTIAAALHCPLLDKDDIRDNSSPTFSCYDFITLSQLSYSDSNRGNYSISSGLMASSGLKPGLPVMLRELEPSSEMFKQGVSLRVTGNLQSYDVDSATAIIQDGSVSLKVDTQHLRDISFRTNSMYQFIGELLIRADNDAILQARIGRNVDGLDLNLYQQSLHIRRQHEAKLRISRRA >Et_3B_030404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3513089:3515899:-1 gene:Et_3B_030404 transcript:Et_3B_030404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRSLLAWACLLFVLLLLAFGGAPVDARPAPGSSAHHRRLVPRRILSTNAPESVLATGGDATVAFKKTGPSSLHRKLAGARKGAPESGGGDLNVESFGAAGDGKTDDTKAFTSAWAKACSSAQPAVVLVPAGKKYLIKETPSSGPCMSQVTFQSDGTLVAPEDKSNWNKNGYPHWVSFTNVDSLTVVGKGTLDGTGKSSWKNSCRTNHKRPCTFAPAALTFTSCSHLKVQNITLVNSPQIHLLIQNSKDVTLSYLTITSPGSSPEADGIHISHTEDIKIIKPVIKAGDDCISIATGTRTCMPTKLSAARGTELASGAQVSNITIYTAHLTGTMYGARIKTWQGGSGYAKDIKFLNMVMDNVKNPIYIDQFYCTQPNPSKPKPCEEQKSAVQISNILFKNIKGTSATKDQDLPCRDVVLEDIDLKMKSGGKKNAAMSSCENVMLSKSSSVSPAPCISAATKHDQVPEDSSD >Et_6B_048890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15073210:15074470:1 gene:Et_6B_048890 transcript:Et_6B_048890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHGGHNLVHGDVKTGNILLGDNLTPKVSDFGTSKLASIARHANWCVIGDMSYIDPAYIKTGRYTEKSDVYSFGVVLLELITRKKAKYDRDSSLPIEFVKTCKERGNGREMYNADIFCDGNAQSLRYEECLDRIGALAVQCLKEDVDERPSMIEVVEELKLAKLSACGGSCSDAKLAIKIWLVNISTTNSPEPC >Et_7B_053919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13376846:13378415:-1 gene:Et_7B_053919 transcript:Et_7B_053919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNDCVCTNARPMLMDTFMACPSDRMSTGNISPGTTHASGPHDHPNPALCRHVNTSTATANGLAIATSPACLPSCAPMIQAIATLKNPYYLADDHLDAARHEERPAAEPVDGEGEERDGGEVHGAEHDGAHQRGVPSNPTVRNTRGEKKASTTTPVSWVNTGTATANARNGRYSRRAAIRRAAPSSLRRAASTAHLLELGVDVGAGPAHTQERGLGLVDAPAHHQTAGGVGEEERTDEDGGGRRGREAQGEAPAPGDARRGVVDKVGDEHAQRQEEVDAGREGAAPPRRGHLGEEQRSGLPRVEHEMTSARMPRNQIIEQRSNATDTAAGAMLRETYKPSDSKKLPLTWLVNPTPKPSSMRPTMSMAKFTAAPVKTAPAKKSAPPMSMMACRPSALVTRLATSDATAAATYRDDVKAASAWLS >Et_7B_055634.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:18615403:18615753:-1 gene:Et_7B_055634 transcript:Et_7B_055634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLIKTPEDMRLLHRSGVLVSHMNGDRDVATGFFSRVCAQTHTFHGQDYLQGVMADVVTYQGARWPRWRAALVRNYFSNPWVTTSLVAAFVLLLMAVLQTFFSVYGYFKPPATAN >Et_6B_049935.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18734515:18736770:1 gene:Et_6B_049935 transcript:Et_6B_049935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVIGPLVSMVKEKASSYLLDQYRVMEGMEEQCKIMERKLPAILDIIQDAEEKGAFRPGVRAWLKDLKTVSYEANDVFDEFKYEALRREAQKKGHYNMKTLIRFPARNPIVFRYRMGKKLQKIVQTIEVLVTEMNTFGFRHLQQAPPSKQWRKTDPVMDDSDKDIVRRSREEEKEKIMKILLDEASSKDLTVLPIFGMGGLGKTTFVQLIYNDTAIKNHFELRRWCCVSDDFDAVSIASSLCQTNISEENREKALQDLQSTISGKRYLIMLDDVWHLVDDTWGKLKTCLKYGGKGSVVLTTTRNTEIARRMTVGLAEAFKIQNLRKEHLKEIIEIRAFSLQKANDEVDGIVDQIIDRCVGSPLAAKALGSMLSTKTNMNEWKDILTKSNISNEKDDIVPILKLSFDDLPSDMKQCFAFCAMFPKDYEIDVDLLIQLWMAHDLIPAEDDDYPETTGEKIFKELTWRSFFQEVKQTSPNRYRERLRFRKITTCKIHDLMHDIALSVMGKECVTIVDITSMKKLLPNPTIHFFSSYRGILLNEFLKKQDPRLRTLFCEDNTAPYRNISKYTSLRAVHLPVRSARKLYLRGQIQHLRYLNLSRNKELKQLPEEISIMYNLQTLDISHCENLHQLPKDMKYMASLRNIYTNGCESLTCMPPGLGQITSLQTLPCFVVGASSGCSTIAELEKLNLGGELELSCLENATEVHAKAASLENKENSHTYLLDGTVRLKRNQSKIVIKRYYMLLNLMLV >Et_6A_046332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13100968:13101623:1 gene:Et_6A_046332 transcript:Et_6A_046332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VNITVTVDALDYYVTINYGEELYNSCKDVTFGTLNTCAMNFLGTGAKITKWLLWSIWYHLRLTRIIIGFYTHICKVANPNEPGSPYSTIYSCGDPSFGCSCGDCPPSVCPGSVSPQLSTETSCSFKMGSLEVAIIWLSIFMVKSKSPESSIHSL >Et_5B_043334.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:4769728:4769832:1 gene:Et_5B_043334 transcript:Et_5B_043334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICTRYIILFLLYDKVLLSDVIWTDTCVAHVHFY >Et_3A_023541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33424709:33425533:1 gene:Et_3A_023541 transcript:Et_3A_023541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYFADQNALNALSGSNIGVIMDIGNENLASFASDPSAAAAWVQANVQAFPGVSFRYIAVGNEVAGGDTGNILPAMQNVNNALSAAGLGNIKVSTAVQSGVTTGFPPSQGTFSASHMPPIAHFYSALENAGAGNVNIIVSESGWPSAGGDAATAGNAQTYNQNLINHVGQGTPKRPGAMEAYIFAMFNEDKKTGLETERHFGLFNPDQSPAYPISF >Et_4B_037605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21720445:21723861:-1 gene:Et_4B_037605 transcript:Et_4B_037605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYSSPRSERDSQTMYSAESGNVAYPVSSALGNMLYPNNASAGPYTEFSGIIQHQQNFMELSGHPSSVPHDSSSKEATNMVTSLAEQRSFGPLKDMRNEMLMHLMDGAQSGGSNLIHNDAHNSVQLEFGMLNNHNSTSVPSAPGQGLSLSLNTHILAPSYPYWSVKPDLLAPHSYQGDDSRIKNMQSEASQAIRNSKYLKAAQELLDEIVSIWKTVKRKEDKGHAEAGKADVKETEGGTNSEGVSSNPQESGANAAAELSTAEKQELQNKVAKLMAMLDEVDRKYKHYYHQMQLVVASFDMVAGSGAAKPYTAVALQTISRHFRCLKDAINDQISIIRKKLGEEENTSGKEGRLTRLRYIDQQLRQQRAFQQYGMLQQNAWRPQRGLPENSVSILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEEIGEAELDSNSSSDNVSRSKVKVPSFDEKEDLKSSTSQPCQTSQLGESKANISMMSLSGPPAGFNNEANPDDSFMNLMLKDQRPGETDGNLLHDAVAHHSDDSARFMAYHLAELGRYGNNNVSLTLGLQHAENGLSVPNTQPTFAGAGHEDIYNVTAPLSGAPASSDYESTNQIDQQQRFEPSPLMHDFVA >Et_1B_012608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33676420:33681231:-1 gene:Et_1B_012608 transcript:Et_1B_012608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFACMLCDRFPHGSRYMASSSELEGDELNKPDRRLLTPDNDILISLGEHSAKELIARYGDCRPAHGAEDEKRARINVISGEEDACRTSLEIIDRKRDTTEVIMDGTCCELNSDDTETWTAVAPGYPILPNSSHRDGSIYKGDDCWKKAYRIADRNETRLEAMMLSDPTEDCYFKDGICWLHTARHTLQFFSLKLSEILVDGDSVELYGYMAARDSFDQLLNYIFNCRRDDPLIIKQGSLLNLAGPKRGIELYDTIVIEYDMRIKIGETEMDDLQLIDGVSIFDHISTQNCRPFTCRIHGDYGAIDMTVARLNDSFEATVEVLISEVQGRFRMCLDCFTSGLDEEIRLFDGSIGDSRALKRSVVAVVMDTQIDLKFKVGADPSITTEHCCSFNANRHGHVIQEIKTDFALISVKSQYNLIHYEARSEAMMYSDPADCYVRYGPGMIYGSNIMMQIISLKLAKIHVSYSSVELYGYIAVRER >Et_2B_021227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27640530:27641737:-1 gene:Et_2B_021227 transcript:Et_2B_021227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATFQPRSTMQPATNAGRHGPSRARFVSAATRPSAPSASVARTTTRMRTSAVVADMWRQVQGSDDWDGLLQPLHPIVRDEVARYGKLVDACYDALDTDPSSARHMCCKHGKESVLDGAGDAGLGYEVTRYIYATPDVATTTSGRSSWVGYVAHGQRPRVAARVRPRRARPQPRRVPVTVFSFGGPRVGNAAFKARCDELGVKALRVANVRDPVTMVPGAFVNERTRGLLGGAWVGDCYTHVGVELTLDFLRILDIGSVHDLGTYIASLQAETGDKQVSRAANGDQMGSFVQA >Et_10A_001773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8000168:8004368:-1 gene:Et_10A_001773 transcript:Et_10A_001773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGARPEDDPSFSDGDTSGSDSGDESLPARPRSGARRPGASTPILTRLAVSRNPSPLAAATAAPGVCLLRFAWESAAGSLVGAVVGYGKGLVTMKGFRGSFADAASSAKIFAVLAGVQSLVACSLRKLRGKDDGINAGVAGCCTGLALSFPGAPQTLIQSCLTFGTFSYIIEKLNKQQPALALPPASGMKDKKGAAQGVLPPFTLPLPHDAMDGFSTFQNFLSKFRAN >Et_6A_046066.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21459980:21460063:-1 gene:Et_6A_046066 transcript:Et_6A_046066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRGRACGTPNLPFRGDDEQQANNVI >Et_7A_051517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19980769:19981563:1 gene:Et_7A_051517 transcript:Et_7A_051517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVDLVRAYNADVKWRDEGYVPETVIEHLRVSAISGASHLMSCTWFAGLKVVTTKESFDWVCSVPKIFRPLCFVMRLSDDLKTYEREKMTRHVASTIDSCMKEHKVPIEVAREMIQDMIEDSWKDFNREWLSPHSGQPRQLLEILFNLARTVEFIYSHDNAYTNSLTIKDVIYSLFVKPISMN >Et_3A_024254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1948677:1956567:1 gene:Et_3A_024254 transcript:Et_3A_024254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRCADAGFPQNPWKNFDIPYIGEKWGDVEGEGDEKDRAHESPANIEENTGVAASSLQLDADGEKDIIVAFSCVDIGTVPTIERTKSMAGMGAQQLVCVTGGAGFIGSWLVKELLQGGYAVRGTARDPEDLKNAHLHALDGAKERLSLYGADVLDYHSLCAAFNLCDGVFHVASPVSDDDPEVVSAAVKGTNNVINAAADMGVKRVVFTSSYGAVHMDPNRSPDQTLDESCWSDLEFYKQTKNLYCYAKTVAEKTAMEEASKRGIQLVVVIPSLTIGEMLQPTLNLSIALVVASYMKGKRTYPNAVAAYVDVQDVARAHLLVYENPAASGRYLCIGDVLHRSEFLQMMRELFPQYPITTKCADGKPKVKPYKFSTQRLQALGMKFTPTRESLQKTVISLQNRGHILILPLMSALMAGLGAEQLVCVTGAGGFIGSWLVKELLQRGYVVRGTARDPEDRKNAHLHALDGAKDRLSLYCADVLDYKSLRAAFSLCDGVFHVASPVSDDPEFVSAAVDGTKNVINVAADMGVKRVVFTSSYGAVHMDPNRSPDQTLDESCWSDLELCKQTKVCYSSQYIDNPRDKKAYLYIAIEFNTTLLVASYMKGRKRYQNVVGGYVDVQDVARAHLLVYENPTASGRYLCICDVLHRSEFLQMMRELFPQCVDDKPNVKPYKFSTQRLQALGMKFTPLKESLQKTVISLQQFGHIPILPLMSSL >Et_7A_050349.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:8576169:8576948:1 gene:Et_7A_050349 transcript:Et_7A_050349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRMDQTCMAAISSQPLVADVERVKKAGGDMPVTTGSGCFDCNICLDFAAEPVVTLCGHLYCWPCIYEWLRPGMESTASDISSSARRQCPVCKATLSPDTLVPLYGRGGSSKKSLNGMVIPRRPKVHREIVEHQNSQSHVNHHNHQNMEADPPHQPFQQAHTHSSATQFDFIYPPAPIGRGLIHSTAGGVLGGMAEAVLPWAFRGQLPPSLYYTSPYYVAAQNVSPRLRRQQMEIERSLHQIWFFLFVFVVLCLLLF >Et_6A_046598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1875383:1878133:1 gene:Et_6A_046598 transcript:Et_6A_046598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLVRERLFVGDINDAIAALTGASSTDFTHVLSVVSSASISFITDCRPGLAIPTEEVRRVVAGEDGAPPVSAVSPGRLLRVVERAGDGLRVMRMAVPLRDTEEENLLDHLEPCLDFIDEGRKVGNVLVHCFAGVSRSASIIVAYLMRTEQKSREEALESLKEVSESACPIDGFIDQLKLFEEMGFKVDTSNPLYKRFRLKLLGQSYKFGEKIGSHVFEDDPGLSPQSGSCHDPSNKDECKTAYRCRKCRRIVAVQDNVISHVPGEGESCFNWNQRKSGRPYNNKEQDCSSLFIEPLKWMTPVEEGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKVDVSTI >Et_2A_018744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6574399:6576928:1 gene:Et_2A_018744 transcript:Et_2A_018744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAMRVTAVAVLVFLFAAVSVAAAGALPRFAEAPEYRNGEGCPAAADGVCDPGLVHIAMTLDAHYLRGSMAAVYSLLKHASCPESIFFHFLAAAGGGGAEGVEPELLRRAVAASFPSLRFEIYPFRADAVAGLISASVRAALEAPLNYARNHLADLLPRCVPRAIYLDSDVLAADDVRRLWETRLPAAAVVAAPEYCHANFSRYFTPAFWSDPELGARVFAGRRRPPCYFNTGVMVIDLRRWRAGNYRHRIERWMEIQKEKRIYELGSLPPFLLVFAGEVEAVDHRWNQHGLGGDNIHGSCRPLHAGPVSLMHWSGKGKPWDRLDAGRPCPLDHTWKLYDLYIPGDGGDAASPASGPALSSALPASPQLFVIKTRCNGLLEKTGVYNQKFMVSD >Et_7B_054302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19597815:19599315:-1 gene:Et_7B_054302 transcript:Et_7B_054302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKQTIRMNPGEGETSYARNSTFQSAEQGRMKALIEEAITELCASLPCSLVIADLGCSCGPSALSLVSVAVDAVCHQYLQLEKPPPELSLLLNDLPSNDFNAVVNHLVAFQQRHNGANVVEHGAPVILTSVVPGSFYERLFTAASMQLILSSNSLHWLSQAPEELVNNGIPMYNADQQMWQKMRPIVLSAYAEQFRKDFMLFLESRAQETAPGGRMVLSLNGTGSPDPDMKSTRVWELIGRILDDMASRDVIDKERLKNFYIPLHAPYQKELQDIIEEQGSFSISKIEEHSFTTGLSSDLINPKMLAYTYRAVLEPIIVEHFGPSEEAMDDFVRTVEQHMSPAYIQKNNESDNTVFFALSLTRKK >Et_3B_031297.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24945523:24945858:-1 gene:Et_3B_031297 transcript:Et_3B_031297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAKLGEVMWEHRLKAAAAVALLATAVVSISVVGPRLGAVVSFFWPLLVSTGFCLVAVAVLLRISPPPAGADESGKDLIDFVAGCRPEHLIPESVPDAAAVEAPPEPEI >Et_5A_042581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20886404:20890573:-1 gene:Et_5A_042581 transcript:Et_5A_042581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPLDYDQLNENVKKVQYAVRGELYLRASELQKEGKRIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLIFPADAIARAKHYLAMAPGGLGAYSDSRGIPGIRKEVAEFIQRRDGYPSDPELIYLTDGASKGVMQMLNAIIRNERDGILVPVPQYPLYSAAISLFGGALVPYYLEEEANWGLDIVSTCQSVAAARAKGMTVRAMVIINPGNPTGQCLSEANIREILRFCYEENLVLLADEVYQENIYQDERPFISARKVLFDMGPPLSREVQLVSFHTVSKGYWGECGQRGGYFEMTNLPPKTVDEIYKVASIALSPNVPGQIFMGLMVNPPKPGDISYPNKAILGSLRRRARIMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPRAIEAAKRAGKEPDVFYCLKLLEATGISTVPGSGFGQKEGLFSVAHIRKPENSWIVVDERRVFHLRTTILPAEEDFPAIMSSFKKFNDSFMEQYEGYSRM >Et_4A_032878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1538850:1541810:-1 gene:Et_4A_032878 transcript:Et_4A_032878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAKTVVGRERNGGVRQYSRSKVPRLRWSPDLHHCFVHAIHKLGGQDKATPKRVLQLMGVGGLTISHVKSHLQMYRNMRNDLGMQGMQMQRMDQEHIYGGIGMELRTDMQHCDHECDAPCCSCHAPRPRKEPMLRSQLKRGVPDATSLEGEDEEGSASPKRVLRGQGICERGMSSRLYYWELAAAGGYNSMLQQVQEAAMGTARPPPHHPHVVVEPRAAGIKQQQLAFLGLVVAPPAAARCRRDHPFEVCTVVSAIHASIYIHGSIDKGRGAKRMDGRIVSACCTGRMREIGQWLGCTAPLLWLLPSNHMLGTAPAYSDARTTIPCCSPDAESKLVVAQHASSPPAGSVDDHADGCSLSLSLALDTTHSGGEGSLLSSTTCSSGSRISLDLSL >Et_3B_028008.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:31327315:31327629:1 gene:Et_3B_028008 transcript:Et_3B_028008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVARVASQRAVVIFAASNCFMCHAVKTLFSELGVSWTVYELDKDPKGKEIERALARMVGRNPPVPAVFIGGKLVGPTDQVMSLHLAGKLVPLLREAGALWL >Et_9B_064717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1816980:1823419:-1 gene:Et_9B_064717 transcript:Et_9B_064717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANPKNIFTIKVEDGKPGKEGQPSVGPVFRSSLAKDGFPPLEPDMQTSWDVFRIAAGKYPNNRMLGWRPFKDGMPGPYLWKSYKEVYEEVLQVGSALQQLGVQPGSRVGIYGTNCPQWIVAMQACNGYSLICVPLYDTLGAGAVDYIIDHAEIDVVFIQDKKIKEVLSPNCKSAKRLKALVAFTSATSEQIKESDQIGMKMYSWNDFLKLGRDNPAQPCPPKADDTCTIMYTSGTSGQPKGVMLSHESHAMYVKGVNLFMDQFDDKMTPDDVFLSFLPLAHILDRMIEEYFFHKGASVGYYHGDLNALRDDLMELKPTLLVGVPRVYERIHEGILKAISELRPLRRVIFNALYNRKLASMKAGHSHKTASPFADMLAFRKVKARLGGRLRLLISGGAPLSNEIEEFLRVTSCAYFIQGYGLTETLGPSTVCYPDDMALVGTVGVAATYTEIRLEEVPEMGYDPLGTPSRGEICIRGKTVFTGYYKNPELTNEAIVDGWFHTGDIGEMTPDGILKVIDRKKNIFKLSQGEYVAVEYLEKVYGFPPVVEDIWVYGDSFRSNLVALRGFEYIKGVALDPKPFDIERDLVTATMKKRRNSMLKYYQPEIDKLYKKLEDQKNAAKVNAIKVSKFGETVNESRVGPHIRKASMLAHAIENRQCSLEITNAAKATDEDIVRDCRRCPSFIQHPFPDAKGVM >Et_1A_005338.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5683999:5684289:1 gene:Et_1A_005338 transcript:Et_1A_005338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSAGIRGLLQEFLEQQLRLELRRQEMMERHAQERLFFEEQWRQAMQRMERERLMLEQQWLEREEQRRARDEARAQRRDALLTNLLTRLLQGDL >Et_1A_007816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38514505:38522410:-1 gene:Et_1A_007816 transcript:Et_1A_007816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFAKIMDWLLNPYPCSKPGPPLLAGNSENPCHKPVYELGREGPTEVILASPKHSQRRAIAKLWGTTKNGLSTQTALSIFPAKLLLLILRDARFFMLSNVVDGKYPVNKLLEISITLIARSGVDGRLWRSPLSLLKLTSRMTMLLDSSSSGGSPPESELPDRLRLNNLVRLPSEGDMLPTSPIEASEISVTLPSPLQEMPSHTQQFVWFRHEVARPESCERPSRNWRRVVFSSCVQELAGEAEKINSTRAAASKELLTSDRNVREFRLQILSDSWPWNLFVDNRSAIRLLHEYILSGIVPLKLFRNRSKTFRFVGIWPIKLLLLALSATRLFITAHVVDGNGPVNKLLEMFSTCRGRRVDDGNSCNLPLSWLKLTSRTTMLLEDTSSIGRPPDSMLWERLRRSRPVRLAREAEMCP >Et_10A_001767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7930180:7933527:-1 gene:Et_10A_001767 transcript:Et_10A_001767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SFAPSVHQHRVHIPPLRYAQCFSAPHAITTVHPLKSHPSFPPPFPPPSCAAAAAAMAASTAASPLTCHHLGQRLQPRFPSLSLRRRSSSVTASKPISLSHSLPSKPLISDTTHRVLPPVAAAAASAPAPTSPPPKPALQGAAIKPLLATVATGVLIWFIPPPAGVARNAWQLLAIFLSTIVGIITQPLPLGAVALLGLGAAVLTRTLTFAAAFSAFGDPIPWLIALAFFFARGFIKTGLGKRVAYAFVSAFGGSSLGLGYALVFAEAFLAPAIPSVSARAGGIFLPLVKSLCEACGSRVGDGTERKLGAWLMLTCFQTSVVSSAMFLTGMAANPLSANLTQATIGQGIGWTLWAKAAIVPGMLSLVLVPLILYVIYPPEVKASPDAPRLAKEQLAKMGPMTTQEKIMAGTLLLTVGLWIFGGMLNVDAVSAAILGLSVLLISGVVTWKECLAESVAWDTLTWFAALIAMAGYLNKYGLITWFSETVVKFVGGLGLSWQLSFGVLVLLYFYSHYFFASGAAHIGAMFTAFLSVASALGTPPLFAAMVMSFFSNMMGGITHYGIGSAPVFYGAGYVPLAQWWGYGFVISVVNIIIWLGAGGVWWKMIGLW >Et_3B_030461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3965442:3970298:-1 gene:Et_3B_030461 transcript:Et_3B_030461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKSTQADAFGYIVIRVTARDEDCRRRWSRILLPSSPIKRRRRAPWPWNTSAPNTESHAAPATTRSSSARGEGLRREGRAERTQLPEDHMGAEAEHPQLPPPPSSLRASKEAAPVVLGLQLSALIDHVARVDWSLLDRIPGDRGGSQLVSIEELNHILTEVNAHILPSRNDLSPITTIAGGSVANTIRGLSAGFGISTGIIGACGDDNQGTLFVNNMSFSGVDLTRLRAKKGRTAQCACLVDASGNRTMRPCLSTAVKLQADEFRNEDFKGSKWLVVRYAQQNMEQIIEAIRIAKQEGLSVSLDLASFEMVRGSRSKLIALLETGNIDLCFANEDEARELIGGVLAYDPEEALAYLGKYCKWAVVTLASKGCLAKHGKQVVHVPAVGESNAVDATGAGDLFASGFLYGLVKGLPLEECCKVGACSGGSVIRALGGEVRPENWQWMYKQMHAKGLLVPDLKN >Et_7A_051553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20270249:20271261:1 gene:Et_7A_051553 transcript:Et_7A_051553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGNVVVETDAVLLKQAICSEVSDLGPAGGLITEIKELARLNFISFSVIYVPRSCNKVARALAALGCECSETDDPMVVDLPYCILSLVAGDLSAPEVEALKAAAHRIKDEFATVKERKNRLFLDLNPVLNRIRDDPSPAAASELDGFIRDAEEIVDKLRALIPSLVAFDTEIQALEYEEGLAAHEHRQESLADGIEDVLKSVRQFIANMRNLVDWISDRATVGTTDASAAVLD >Et_2A_015938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19422927:19426033:1 gene:Et_2A_015938 transcript:Et_2A_015938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTTKIVKKRVKQFKRHHSDRYKCLKPSWRRPKGIDSRVRRKFKGCVLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTRKRKEIAERAAQLDIAVTNKLARLRSQEDDSKVRDLMPNMLIATLWWLLHALEQRKYARMLNR >Et_2A_015059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28144486:28144980:1 gene:Et_2A_015059 transcript:Et_2A_015059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QTRTQYRGVRRRPWGRWAAEIRDPAKAARVWLGTFATPARRLKGAKAKLNFPTPLSTSSSSPADQASVLAAPAAADFFPDLRSYTRILQSRSDVVLSGAGAMTTLARWSPDEKLQRPDPEYDDGRDRGSSSSSAGGGVARRA >Et_1A_008252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5756678:5758821:1 gene:Et_1A_008252 transcript:Et_1A_008252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRTAGSVLLRHLGPRVFGAATPSAVAPRPLLVLADGREGGAAVWEVAASTANEGSTAAAKAEAKEAAKDGEAKAKSLVANSYWGIEPSKLVNKDGVEWKWTCFRPWESYSPDTSIDLTRHHEPKVLLDKIAYWTVKSLRAPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEHSGGWIRALLEEAENERMHLMTFMEVAKPRWYERALVLAVQGVFFNAYFVAYLMSPKLAHRMVGYLEEEAIHSYTEYLKDIEDGKIENVPAPAIAIDYWRLPADATLKDVVIAVRADEAHHRDVNHFASDIHYQGLELKEAPAPLDYH >Et_7A_051251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16098566:16103627:1 gene:Et_7A_051251 transcript:Et_7A_051251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVAAAAPPATGATASVRVSNIPPSAIASELLAFFDSAVAAGGATFACKIEAAHRGWLSRGYGAVQFDSSAAAALAVDLASSGRLPLFLGYRLAVSPAYVDLLPRAPDLSLRIAGASLVLGNRVTERELEVADTWDGVRAEIIPAKRRVDLYLEHDSHKYKVEVLFDDIRESFGCSVDNTSAILLQLTYAPRLHTAVSGSAVNSRFTDERFSACKEEAKSAWVRALDFTHKSSFGKCSNLLLKLGEGARVSDILDSLPFSGELGELVVSSVDEFGPSSKIFPLVDCPIGFSVPYETLFRLNSLIHMGKLVARHVNDDLFKILEELTVDTSRRIFEKMSKLKSTCYEPLRFIRQEAHTMKISKNVLLSNKGKGKLMKCYRVHITPSKIYCLGPEEEVSNYVVKYHSEYAADFVRVTFVDEDWSKLPANALSARIERGFFSTPLKTGLYHRILSILKEGFCIGPKKYEFLAFSASQLRGNSVWMFASNASLTAESIRGWMGQFQDIRSVSKCAARMGQLFSSSRPTFEISPYDVEVIPDIEVTTDGTKYIFSDGIGRISLKFARRIATVLGLDPSSSPSAFQIRYGGYKGVVAVDPTLFFHLSLRPSMKKFESKSSMLNITSWSKSQPCYLNRELISLLSTLGIREEVLESMQQDDMGEADEMLTNKEAALSALGKLGGAETKTTAKMLLQGYEPSSEPYLLMVLKAHRANRLADIRSKCKIHVPKGRVLIGCLDETGKLDYGQVYIRVTKNQKEQKDNEQPFFCYDDGETAGVIGKVAVSKNPCLHPGDIRVLEAVYDSGLDARGLVDCVVFPQRGERPHPNECSGGDLDGDLFFVTWDDQLIPEKVDAPMDYAAARPRIMDHVVTLEEIQKHFVDYMINDTLGAISTAHLIHADRDPLKARSPECLQLAALHSMAVDFAKTGAPAQMPQSLRPREYPDFMERWEKPMYISNGPMGKLYRAALRHAENSEALLPEGPPSCAYDPDLEVPGFHEFLDAAEERYELYAEKLGTLMNYYSAEAEDEILTGNIRNKLVYLKRDNKRYFEMKDRIVAAVDALHDEVRGWLRECQEDDAARMASAWYHVTYHPDRRGGKRLWSFPWIACDNLLAIKAARSSSRRQVDGAVPMD >Et_5B_043504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11238257:11241735:-1 gene:Et_5B_043504 transcript:Et_5B_043504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTLEFGGGLELLLENSVKVHKVEVDPKDGDAKVVTMKGLLSWVKSNLIKERPEMFVKGDSVRPGVLVLINDCDWELCGGLDAELEEKDVVVFISTLHGVLKRIVRETRRRRRREAPYEEGEQQERGSRHPEQESDGGVADGDTVVGVRFSSLGDGAQEEHGAQDHVRGGVAAEAPGDIPVPIKVAFALRSRRRKPKHAVVVCGGSHARARLMAPLDQRSS >Et_10B_003238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18075656:18080453:1 gene:Et_10B_003238 transcript:Et_10B_003238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGGDVTSAMMRPVMHLMVALVLYGVAEEMTVPALVDNVTAALCPADGNSCPEAIYLTGLQSSIGGIFKTVGFTLMGQLADEYGRKPLLLFTASTSIIPFAVLSWNNSRTAVYVYLCLRTFSFLIGQGTIFCLSIAYTADTVEPSKRAAAFGILSGVLSASHTLGSVFSRFLPEQCIFQISIVLLTCSILYIKIYLVETVQRASSAPCQHLSLSSLVISLPRQRWESIKENINIIKNSETLRCISCVAFFYKLGMIGISDVLMYYLKSVFGFDKNQFSEILMVVGVGSIFSQILILPIMSHVIGEKGVLCISIIASIAYVPYVSSTLGVIYVLAKPAIYAIISGEVLSTDQGKAQGFIATMESVAVLLAPLFMSPLTSYFISPEASFNCKGFSFLVAGFFLVISLYFAWTLNPESKDDCAKAAATKPDEEAPLLAPRPH >Et_2A_017442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34388540:34391831:1 gene:Et_2A_017442 transcript:Et_2A_017442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QNSVEMEFFTEYGEASQYQIQEVIGKGSYGVVAAAVDTRTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFQDIYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRALKYIHAANVFHRDLKPKNILANADCKLKICDFGLARASFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGRPLFPGKNVVHQLDIITDLLGTPSSETLSRIRNEKARRYLSCMRKKHPVPFSHKFRNADPLALRLLERLLAFDPKDRPTAEEALADPYFASLANMLEEYMKGGEQISFVYPSGVDRFKRQFAHLEEHYSKGERGSPLQRKHASLPRERVVVSKNENNEQHTEDQERTADSVARTTVSPPRSQDVGQNGVKSTSLSSRSYLKSASISASKCVVVDGNKHPEDDEIPEEMEEVVDGLSDKVSRMHS >Et_10B_004441.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:7233478:7233747:1 gene:Et_10B_004441 transcript:Et_10B_004441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSSRKRSGGRKRKRRREKRPNPMDQLTDDLLVEILSRVPYRSLLRCSCVSRRWRALIAHPDNRRKLPQTLAGFFYYSPTRCLVKGL >Et_3A_024704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23408038:23412110:-1 gene:Et_3A_024704 transcript:Et_3A_024704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKPSTSAAADGGSGPSTSRSAQTARSTPLQVVHILGNFMRIWSVYSLYNHLSSGGDSIVGFIFSCLVPASIIFLVLQKPWKGRPLHNSQVVPSVVNGGILALYFVLWGKGLLACGPLVALLAEYAGAVLGVLSAALYGRKIGGLAAMLVAYYLLSNGWATRTYSPLYSFGSEQLENAAKTIGMKEMVVPISAGILSALRRVLARRVSLKNQLKRRLHAITIASATCFLFPFAMWDTILGSASDSIVKLQFPSWAYLSSVLFGMVLIFYVDNFAEEKLHLVFSSPRHLMVSTGCIIVLEILYKMDFSLLGFLLCSVILGFGIFEATSLERSKKSPLEAHELSNGGFHNQLPVSALAS >Et_3A_025605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31130282:31138072:-1 gene:Et_3A_025605 transcript:Et_3A_025605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRSASLPLFHKLAKEGPGIQPRTHALRERLARSADSPARFWGISSFVDPPSFRFRPPSSRHRARHAPGATISARSSPTYPNALPYRRASVPRRPLPPLLIPAAHEILIRRASSASARWCSGWGPGRRGSPWGFAAVGFGRGGRVRPSSAARVERRGIGDGREDASVGVLGGDRRGTAAETSASATSGELSAVLRSPYHWHKCFNCRNSSDYQCLCCPFNSVCHDCLRRVVFVKLGNQNKGLCSTCFNLAISIEKNAADPREKILHEESEVSGILFKDYWEIIKDREHLTLADLQIAGGHLDRGVNYKYEEDLEQFPDEDHKSGEDLLGDNDDKEQAFPFDSTSEPDKMKASMKKRKRSKRKTYVGWGTKELIEFLSYFGKDTKEPLDEAEVAEVVKEYIKQKDLFLGDKKKHFQCDDKLRPLFTRRKVKYNMLYSKLRMHLVANADSEDEYDDGSEDDNGPVMKKKLESNLELKIAKRVSERNKKCFASLNQHNINLLYLRRSLVTTFLSHLDTFEQKVVGCFVRVRSNSHPYIYHKVTQPFQLGLVTGIKNSIEKYKVKDKGNDICTDILLCVTGFLEDVKISSISDDDIEEDEYHGLIHLAEKGLLKRPTVGQFEEKVAAVHTDIVNNWIIKELPRLERQIDLAHEKGWRKEYPLQILTDVYGFFLDRYFFVTMADLMARQKLLSTPAEKQRLLAVPEIIADPEDEQETEVRITAGNSCLVNEGKKMERSSSLVDMEEENFKEATQLVADSIDILKAKPPKGVAECAAEFSEVQEEKPPEGLSLVSSHTRNITDRKYGIKYQRRKKGFVLPGSATKSVSAGPTAD >Et_7B_055473.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:11295678:11296826:1 gene:Et_7B_055473 transcript:Et_7B_055473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLPPVLLVRLYKTTKQADRAERQRPRPAVGELKRRAMPPSRHPPAPQSHKLKRRHHPAAMAKKGLAAILYKLRDVHRSPPSPSTPSAHHYSQQRCYPPPPSAWPWPSCRHPRTSSFRGRPDESNNAAAAVFRTVNTVYDTSFVRRRSSSMDEDASRCVDPRSPALPAAEQQAGDEDDKETTAVVRGVRSDRLFFEPAGAEFLPPKQQVTHCACLPLSDGEAPPAESAPAPARGEEEAADAASSVESDEPAAANAAAVPSDKDEAANAHAAAAVKGGAVVVTVESKDPYGDFRASMAEMVAAHGLRDWDALEELLAWYLKLNAKGVHAAIVGAFIDLLVGMQASPPPPVSPPARPSPSPSSSCITFEEEYSSATFDDEEKS >Et_7B_055598.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:16096542:16097432:-1 gene:Et_7B_055598 transcript:Et_7B_055598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMATLMALAITYCALLSSVGTVAATGKTGRITVYWGQTASEGSLRKACESNLYSTVIISFLTNFGGGKYKLNLAGHSWSAVGPDVKYCQSKNILVLLAIGGGIGKYSLASKADAKAVADHLWDLYLGGSSKSRPFGNAVLDGIDFDIELGSNKHYDELAKYLKEYSKKGKKVWITAAPQCPYPDRMLGEALQTGLFDRVHVQFYNNPVCSYRAGNVAGFTRAWKKWTSSLPKSSVYLGLPAAPRAAGTGYVKPATLTSKVLPIVQRSKNYGGIMLWSRYWDLQNGYSKAVKRAV >Et_1A_006407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2253153:2257632:1 gene:Et_1A_006407 transcript:Et_1A_006407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRMKGVLPIKGASAASGSEKRAPKELENVLNRYFGYSGFRGKQLEAIQAVLSGRDCFCLMPTGGGKSMCYQIPALVKTGIVLVISPLIGEFDRSIFRNMKIENQVASLKNKGITAEFLSSTQTSQNKQRVTNHISLTFRIELGQRKFIYLLFLMDFRIYCIHEDLDSGSPSLKLLYVTPELVATSGFMTKLTKLYNRGLLGLVAIDEAHCISSWGHDFRPSYRKLSSLRRQFPDIPLLALTATAVPKVQRDVIASLCLQNPVILRASFNRPNIFYEVRYKDLLDDVYSDIANLLKSNGNVCSIIYCLERAACDDLSMHLSQNGISSAAYHAGLNNKVRSAVLDDWLSSRTQVVVATGIDRQDVRIVCHFNLPKSMESFYQESGRAGRDQQPSKSVLYYGLDDRRKMEFILRNTKAKKSQLSSSSTELSEKALADFSQIVDYCESSNCRRKKIIESFGETVQPTLCQRSCDACKHPNLVSSRLAELRSSVNPDHLDTEFWNREDEGSMSAEDISDSDDGKEVVSTIAISKIPTKAGLDAKFKALERAENAYYQAKGQTKQQGGNFVDKKSISQALRDASKKRLLDALGQAKLRLGNLPCDTEASAAQLETECFKKYQKVGKTFYNSQIAATVRWLSSATPNQMHDRLCALIDQTTNHGAPSSPCFVPESPPNAPEAFSKRSGEASNDEAKDKHQPERSCGLEKRKHSDESENTAASAENMELPAIPSFREFLSQKRRDGTMTSSSPMAESHLSGVRRKPSDATQKQKAIKKMKA >Et_5A_040542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:788792:789154:1 gene:Et_5A_040542 transcript:Et_5A_040542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRWHCQEARLLLRKRRCKGPSLPRSSHGLDQRLQEAQVMCTGTQDPSRYMECYPFGHQGRQLQHPSRLTYKHAGQLLQNLMV >Et_7A_052495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8656566:8658647:1 gene:Et_7A_052495 transcript:Et_7A_052495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSKKQHAKRREGQPSGDAKRSGARKAGRGTAAVAEAKKTPQAKASVPAPAKKAAAAAAAAAEVAAVGNGHSEAEKVKRAAPDEEAKPVSVGRAPVRTSSCTKEEVDAILIQCGRLSRSSSASGKAPSGEHGPGHRRYAGSKRSYDFDHERRGGAGVGDDECDWGREGAAASRPSPRRRTPERKRSASHDGRTGGGSSGSRSRRVSRSPGRRAAEGAPAAASSGTGERAARQQPGKMVSVPARDKGRAPSPVKASASGKRYPSPRSNSPARAAAGNENAGAQPAHGPSLSRSSSRKAEQSPYRRNPMSELDENALGIHHNSGNVGKPQKKSTESIVAVLQKAAERPKEPLPSSRASKEKTEIVDEAVASDTKAPSTRMNATHSVSIVAESAANPRAGPGSRSSRRSFRDFDNNGNSYASLLLEDIQNYHQQNTSTGTGTAAAPSFSLPACVSKACSILEAVADLNSSSSENKSFELERSVNDKESVNGRTTSWSRACTSTSRFVTSAGRRSRRSRRGATASPATRGRARGSPAPSTPPTGPGACRCRTTARRWSSARRSHLGRASRSCHRRSLAAVAQRAMFRSSGGALLSVAAAAPSVAGLTLAVFRLALPLFKDAPLSA >Et_4B_039591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23250604:23252097:1 gene:Et_4B_039591 transcript:Et_4B_039591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPVHGKLPLLLVACCLLFIQAVDCSRPTPEAPRKHGDGVALPRRDVPHQHDDAGITGTLAAARAGSAAEHEGSARDDDIVNVTAASTRGEGQGGLGSGQDWSAVSKPVRSKLARRFLEEGLVGGVDSAAGPSCRSNDMHSKSGIKKEMKRVENKKYSGFQQGPAIQQNGFSVPKRATFHRLRSLDSSGIRCPQVSQPLCHYLPCHNTGPTSDAATIALSPELF >Et_3B_031268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23283412:23285863:-1 gene:Et_3B_031268 transcript:Et_3B_031268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNPFELLGADDNDDPSQLIAAAAAAAQKAEAKKSAAAPAAKGTQPAAAAKFPTKPAPPSQAVRESRGGGAPTRGGFGRGERGRGRGGRGYGQNRDFGGDNANGYQGGYGGGGAGDGAVAGGGEGDRERGPRPPYRGGGRRGGYRNGEFGDDSERPPRRNYERHSGTGRGYEMKREGAGRGNWGTATDEVLAQETEEALKIEEGVPVTEKQGEENDAPAADENKDNKDAAANEEEEKEEDKEMTLEEFEKIREEKRKALLALKAEERKVEVDKDLQAMQALSSKKGNDEIFIKLGSDKDKKKENAERDERAKKSVSINEFLKPAEGERYYGGRGRGRGRGDRGGFRGGYGGGYGRPAAIPSIEDQAQFPTLGGK >Et_4B_037444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19924197:19926544:-1 gene:Et_4B_037444 transcript:Et_4B_037444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAPSKKSSDHDRTNGLEPQSLTTGARKSDPNSQGTQPRIRPAMDPDQVTSSSTGGRSTSSLIFLGTGCSGALPDTRCLVQPSTPPCAVCSTALSLLPDRNPNYRCNTSLLIDYCHEDGTHKYILIDVGKTFREQVLRWFVHHKVPSIDSIILTHEHADAVLGLDDVWMVQPSSHRNDIEKVPIFLTQFTMDSVAARFPYLAGDNLNEGNEFAPVGQLSWRIIDGNVDKPFVASELEFVPLPVMHGEDYVCLGFLFGRRARVAYLSDVSRILPRTEHEISKFGAGQLDLLILETNTLHGVGNARSCHLTLSQSLDVVKRICPKRALLIGMNHEFEHYRENQMLAEWSLREGIPVQLAQDGQRIFIDL >Et_3B_029976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29563663:29564874:-1 gene:Et_3B_029976 transcript:Et_3B_029976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SKQKWKQMEAPREVVQFVVLRRPEQEQPVPVDEELLKILRTPQVTTKTLPKTKEERSTESPPSKPPSTAVTTLQSPRSFPVTVNKGMLYNKEEEDDDDDDNDENDDDDDDDDDDDDDDDVEFQENQLSSVECEALTQPAEELGLLVRMSDSAKLYPSCLTLTCLQIGTAGTR >Et_4B_037957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25085173:25087670:1 gene:Et_4B_037957 transcript:Et_4B_037957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVACRIRSGVLEPLEDRLTEILIWSLQCYDAQVRRRLVPLLLRHRMALLTAPAMAALLLLVWTAYGDAGEYVLYKDATKPVEARVSDLLGRMTLAEKIGQMTQIERLVASPQVLKDNFIGSLLSGGGSVPRKQATAAEWMDMINEFQKACLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNVALGATRDPNLVKRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDHRIVQSMTELIPGLQGDVPQNFTSGMPYVAGKDKVAACAKHFVGDGGTQNGINENNTIIDRQGLMDIHMPAYLDALRKGVSTVMISYSSWNGIKMHANHDLITRFLKGRLNFKGFTISDWEGIDRITSPAGANYSYSVQASILAGIDMIMVPNNYQNFISILTSHVNNGIIPMSRIDDAVTRILRVKFTMGLFENPMADPAMASQLGKQEHRDLAREAVRKSLVLLKNGKPGNAPLLPLPKKAAKILVAGSHADNLGYQCGGWTIEWQGDTGRTTIGTTILDAVKAAVDPSTAVVFAENPDADFVKNGGFSYAIVVVGEHPYTETKGDSMNLTIPEPGPSTIQTVCGAVKCATVLISGRPVVIQPFLGATDALVAAWLPGTEGQGVTDALFGDYGFTGKLPRTWFKSVDQLPMNYGDAHYDPLFPLGYGLTTQGKGY >Et_2B_022548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25979395:25982374:1 gene:Et_2B_022548 transcript:Et_2B_022548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYKRKEDADSPKQGAIQGRLIIQANGTLLSLAVNGVLGRVKAWREVAAADETAQAAFVHVGQLHDEAMRPREPILHHRRLPPPSCRNVAHPCVTARTFAWKPWRKRANVWYFGCQLQPPVEAIPDAKTDSNSLAQIVSTRPSLREILGFHPIPCILELSMSFLGVPSGLLESHTSFPWNPTTSFTSSASSLMEDHGVGEIIHVEELAQRRSGAPDDNLGGVARLGLVEPADEGGQDVRVLRVEVVLRAVEVGGHGGHRVEAVLDAVGLAHLDAGDLGDGVPLVGGLERAGEEGVFRDGLRRELGVDARGAEEKELADGAALERGVHDVGLDLEVGGDEVGGEGGVGVDAADLGGGEDDVVGLLGGEEGLDIGLAGEVELGVGADDDVGEAKGQEAAVDRRAD >Et_1A_009000.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:24563711:24563962:-1 gene:Et_1A_009000 transcript:Et_1A_009000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVKESRARLPCWPGPHAAVRHRQIAAVSSSNRVHDAPRSSGTRRRSADTSSAPKTKYRHTMLLAGGGAAGSLSSGRSFSCT >Et_5A_041842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3323332:3325576:1 gene:Et_5A_041842 transcript:Et_5A_041842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEFVPASPDSRWAGETAARRRQRRLSSPSLRTYLTPAFDAAAGGDGGLTGHSSSSSGGLDLGFDASLLRYRRACFAATADLDSRVLNYSPQSAPPPLPHARMAYPVAEEGIWGPGGYHYGSKQEAGGRLTATPVFQEFDGMSFISPRQTIADHPAAARGATNSIKLPSDLQEGVTPGMKVDFLTPKAEAKALAEQPDPTEDIDSPMITNALYGKSGRRRLPIFIDICPE >Et_2A_015158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33543914:33545311:-1 gene:Et_2A_015158 transcript:Et_2A_015158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVLPGTPQPLAIVAATDPAHVQAAVRCGRRHGVRVRVRSGGHDYEGLSYASLDRRERFAVLDLAGLRDIRVDAERAEAWAGSGATLGELYYAVGAASRTLAFPAGLCSTVGVGGHLSGGGFGTLMRRYGLAADNVLDAVLVDADGRLLNRTTMGEDLFWAIKGGGGESFGVVLSWKRARFPDLGVTPPDCEEISWIQSTVYFAFYSSSKPLELLLDRGSKPDRYFKGKSDYVQDPIPVHVWERTWSWLERPEAGLYYSFWFDDGTAEMEKRLSWIKGLYKEMEPYVSKNPRTGYVNYRDLDLGTNELEGNVTSYRKARAWGEKYFKGNFQRLSAVKSRVDPDDFFRNEQSIPPLPAAKGWSSM >Et_9A_061792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16523164:16528260:1 gene:Et_9A_061792 transcript:Et_9A_061792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHKEVFRSLQELFPQVDHRILKAIAIEHRKDVDSAVVAVLDEVMPSMTGSLGASSAQKEATIDMANCARNLFANSTREVGSSSSAGYVDEVDGSIHSAQHTSSVEVKTGACETINCEPYVGGLTQMSSEHDHVPNFDAIYENLSSKRELANSDKEAGCGAYLSSECLSQSSIGAKDGDNININAPQLYEKYSNDITPVRDCIPQDDSLKLFSYMDINDGDDSFLAELLGVASDNEVSSGIVSKEKDASSPVLVPRPDAEGSSDSVADARSSKNDLLPSLEMVAKMIEDVELLEEKAKVAKHESSVAGTNILTKVEDLKEMLTHAAEANNMHASEVFGEKSILTTEARELQSRLQRLSNERNRYLVVIEEIRQTLDERLVAAQQEIAAAEKEKREKEAAAQALLDEQEKMMNSIVEESRRLQKEAEDNLKLKEFLVERGQIVDMLQGEMAVICEDVSLLKRAVDERLSLSKLQRSTMSSLSSSLHSSLHKSGNSSDRTIEPVESTDKHTVDEAESPVAKDLDGERTVGVSDGNDTADKDISKRQESNEDGWDFVEDAQE >Et_3B_027721.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:15824048:15824647:1 gene:Et_3B_027721 transcript:Et_3B_027721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRVPRPRWTAKQFIFAVLLGSLALIPVSAGISISLAPAHISFSIANATISASSPAASKNQQQDARRWCYNFTLVANNTSRRTAVRYGALSAEIWYSSTEWVPAEIDDPPAPGWQRPRSVAMATVLAEYAQFDAKSNKTRIDTDGDNVGVDWPNTRVVVKAKVWFKFGLATTRIYDVSASCWPVNFFNRSDFSVGCS >Et_2B_020378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19501628:19503197:-1 gene:Et_2B_020378 transcript:Et_2B_020378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPATACGACAGLAAFPSCSPRHGCGAPPGSGGFLKLLPLPGPPTRAPRLRLVAPMASTVNSPGNSSDFAKRMERAWLISQQPQPISCSSCQSAGHVECKWCAGTGFFILGNKMLCEVPSRNSKCVICAGKGFANCADCKGTGFRAKWLEEPPVDK >Et_2A_017744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5800653:5800876:1 gene:Et_2A_017744 transcript:Et_2A_017744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERGMPRPERKARTASGFDVDIRWERFLDALRAWAQAAAGDEVILYGEEFWGSGCGCGEEAVGRDAGAREM >Et_4B_037856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24025339:24029463:-1 gene:Et_4B_037856 transcript:Et_4B_037856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSLATSLNIPDDSGADDDPDAATTPSPSGRIPPPPPPPPHPLHSAAADGVKEDLTELSKTLTRQFWGVANFLAPPPGETSPSPSPQSAGDQSGDAETPPEIAGIRSDFAEIGGKFKSGISRISSHKAVSGFSRLASNFFAAEDEEEWEEERGRGSRYEMGEEGVRHEVEDDESWQEWEEKLKLEADDGEARHDVVDGHELEVQRLREEEGRKVEEQRVGREDDDEMEVQARHEEHGEFERIAMHEEDAEFERIAMHEEDVELEERIRHEEEEVEDWDVIGITEEVLAFAQNIARHPETWLDFPLLPDDEESDGPFSYFDMSDAQQEHALAIEHLSPRLAALRIELCPIHMSEECFWKIYFVLLHPRLNKRDAELLSTPQIVEARAMLMQRLQHQSKLETEQLCHHMDDRGVQLGGVTLKDSTEASHETASVVPIPEFETEKHPIQVTEVAVVDKSVIQEQLSKDHTERSNVFENTFDDDVDDWFDEEANLAGHTTIHIGDEEDVSFSDLEDDDMK >Et_4A_033793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26957600:26964624:-1 gene:Et_4A_033793 transcript:Et_4A_033793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGLLRGLDLLLLPLPNPPSRAPVPPAALLLLPRCLNGFSSRALCSFPGGGRAVEQFSDDEYDHEYEDLRPSSSVANIDEWRWKLSMLQRNAEEQEIISRDRRDRRDYDQIANLAKRMGLYSEMYGRVIVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLVQEHLDRALLLDKTSGITESGSEMAEKADKNLGEQQDPLLDRSVMEKILQRKSIRMRNFQRSWQESPEGVKMLEFRKSLPAYKEKERLLAAIARNQVIVISGETGCGKTTQLPQFVLESEIESGRGAFCNIICTQPRRISAMAVAERVSTERGENLGESVGYKVRLEGIKGKDTHLLFCTSGILLRRLLSDRNLHGVTHVFVDEIHERGMNEDFLLIVLKDLLSRRQDLRLILMSATLNAELFSSYFGGAPTIHIPGFTHPVRAHFLEDILERSGYKLTSSNQLDDYGQDKVWKTQRQLLPRKRKNQITTLVEDALKDSSFETYGSRTRDSLANWNPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWDDISCLKDQLKAHPLLGDPNRVLLLACHGSMATSEQRLIFEKPPPNVRKVVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISKASARQRRGRAGRVQPGECYHLYPRCVYEAFADYQLPELLRTPLNSLCLQIKSLQVGSIGEFLSAALQPPEPRAVQNAVEFLKMIGALDGNENLTDLGRYLSMLPVDPKLGKMLIMGAVFRCIDPVLTVVAGLSVRDPFLLPQEKKDLAGTAKSRFSAKDFSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFSYILKDSGLIDSDANTNNSLSHNQSLVRGIICSGLFPGITSVVHRENSMSFKTMDDGQVLLYANSVNAKYQTIPYPWLVFGEKVKVNAVFIRDSTGVSDSILILFGGAVMKGSMAGHLKMLDGYIDFFMDPSLAECYLQLKEELDKLIQQKLEDPNFDIHKEGKYILFAAQELAAGDLCEGRFVFGRETSRARLRNEDDGKSNIIKDGMNPKSLLQTLLMRAGHTPPKYKTKHLKTNEFRAMVEFKGMQFVGKPKRSKQLAERDAAIEALGWLTQTSGVKPQDEDDDSPLDLTDNMLKLLTRPRRHSKNQSRRR >Et_8B_059244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15738694:15741529:-1 gene:Et_8B_059244 transcript:Et_8B_059244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGHETPETKTATRVGDLPEECLAYAIALTGSPRDAYRCAAVSPASGKEAYLGLCDDTRNAAIGEDDAGGGCRVWLERATGAKCYALSARRLSLPWDDGEFSWRWTPHKSSRFGEVAELLYCTCLDIYARLPAAALTPATPYVAYLVFGTAEEEAPRGLSYPDQEAAVTVGGREVARHAFCLRPDDAEARKFRGGGAAGGETPRRPRMREDGWWEMEMGRLSTATGGEEEEEDVVASFEVLGWYPKRGLIVEGVEFRPLPLPANS >Et_2B_022618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27941658:27945144:-1 gene:Et_2B_022618 transcript:Et_2B_022618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDQSAGGGSAARASKLRYPLRSANKGKVAAPPAADVPPTISAPRRAKPTSDVSKSMCVLDLSGKDKSVKPPRRHSIQTKPGASPRPTPSGTVTPVSGFRSRRSENKGRLDTPTSEVSMSTTRRKFSTLSSISYWMTQIRLAEAASKHSVSLGFFKLALESDCEPVDRMREELKAYVVRHGLATELEDPVKDILQVYDIVDDFEKLKISADPSQQPKKSDKVARAATSVSPNGNLKPRSLNSDATQNKQAGKKENIQKMKPDAKVRGSFGKNTTAKEVVAKNAGKKAKKQAKGQQDVCSGDSEALAASPEQEPADLVKDITHEDKENLGRKILT >Et_5B_043588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12154254:12160869:-1 gene:Et_5B_043588 transcript:Et_5B_043588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSTNQMYTSGVPVTVPTSLPSIPVSLDESFPRLPNAQTALMDRELRSTPLSTLQGNVAPVRCQFQSSSTGSVGPLCSPPAVRFSSVSNTEQYTHANPYNSQAPSSASSSTLNYGSQYGGFQPSLTEFPGDAGQPWCPDPVDTMLGYSDDVPAGNTVIGVSDDLSKQSEWWTDFMNDDWKDIVDNPASTENQQVGQPVPSSILVQQSAAQQAVSSQSGEPLTVAAPSPSAGSNTAKARMRWTPELHERFVDAVNQLGGSEKATPKGVLKLMKADNLTIYHVKSHLQKYRTARYRPELSEGSSEKKVASKEEIPSIDLKGNFDITEALRLQLELQKRLHEQLEIQRSLQLRIEEQGKCLQMMLEQHSIPGSDKVMDASTSAEGAKLPSDPPESSTVKEVSENCQNGLTKQTGTNMNRRNIAMNNFAKAAGQVHGTDV >Et_4B_039122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8016804:8020042:1 gene:Et_4B_039122 transcript:Et_4B_039122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKEANGSNGEHATRPPPTPSPLRFSKFFQANMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNLFTGSKDNLKKWIGHPRFEFIRHDVTQPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTEAYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAVRGEPLTVQKPGTQTRSFCYVADMVDGLMKLMNGNNTGPINLGNPGEFTMLELAENVKELINPEVTVTMTENTPDDPRQRKPDISKAKEVLGWEPKVVLRDGLVLMEDDFRERLAVPKKTKA >Et_10B_003831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6583034:6586242:-1 gene:Et_10B_003831 transcript:Et_10B_003831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAASPLTCHHLGQRFQPRFPSLSLRRRSSSATAAASASKPISLSHSLPSKPLISDTTRRLLPPVAAAAAAASAPAPTSPPPKPALQGAAIKPLLATIATGVLIWFIPPPAGVARNAWQLLAIFLSTIVGIITQPLPLGAVALLGLGAAVLTRTLTFAAAFSAFGDPIPWLIALAFFFARGFIKTGLGKRVAYAFVSAFGGSSLGLGYALVFAEAFLAPAIPSVSARAGGIFLPLVKSLCEACGSRTGDGTERKLGSWLMLTCFQTSVVSSAMFLTGMAANPLSANLTQATIGQGIGWTLWAKAAIVPGMLSLVLVPLILYVIYPPEVKASPDAPRLAKEQLAKMGPMTTQEKIMAGTLLLTVGLWIFGGMLNVDAVSAAILGLSVLLISGVVTWKECLAESVAWDTLTWFAALIAMAGYLNKYGLITWFSETVVKFVGGLGLSWQLSFGVLVLLYFYSHYFFASGAAHIGAMFTAFLSVASALGTPPLFAAMVMSFFSNMMGGITHYGIGSAPVFYGAGYVPLAQWWGYGFVISVVNIIIWLGAGGIWWKMIGL >Et_5B_043353.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6632505:6632729:-1 gene:Et_5B_043353 transcript:Et_5B_043353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAMANKAYMAVTLGAAIELKEQMAKPCSSAAKRGVSVLAGRTSSAGKVDGGARAAEEESLRMVMYLSCWGPS >Et_4A_035970.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9800503:9801273:1 gene:Et_4A_035970 transcript:Et_4A_035970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICITPDQYDVPCPRRRRLLNLATAASLGVDLPAFPAHCYLCVADGLLVLYHMATKRIRLLDPLTNAVTEFPAMSRSSIVATLPPVQPEFFVYSVLREPWLSIPNLIDGAGFDDSTSPPTLVLCLRGALSNVVFAKPGDTHWTLVRPGQAAHWPMNYSGKVPFYSMLSMGGRCYFTSLEGSVYVLQLLPLPQLVEIVNQREGLSRALLNDAIRHRHIISFLVNQGSGRRMLMVRYLGNVDRLGCRHDWPHGAIGG >Et_6A_047719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11505981:11523045:-1 gene:Et_6A_047719 transcript:Et_6A_047719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLCVTAAHHVRAWCLHATGKEVRALYNVGQAEGLMMWSPNVNIFRDPRWGRGQETPGKDPAVASRCAAAFVRGLQGNAGNAKSAPPSTLQTSACCKHATAYDLEDWNGVARYSFDARVTAQDLADTFNPPFRSCVVDGSASCVMCAYTSVNGVPSCANSDLLTKTFRGSWGLDGYVAADCDAVAIMRNSHLAIAKMLFEEFTKFPMADMRMRADPASGYSSRSYRN >Et_3A_025759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32630631:32633452:1 gene:Et_3A_025759 transcript:Et_3A_025759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSRAVALGAAFLLLLVALPSAFLYLTSSAATPAAASRSALLNLRPFSARCPPAAAPPLRVFMYDLPRRFHVAMMAPDNGTSGFPAWPPSSGGIRRQHSVEYWMMASLLDGGGAGSEEGREAVRVRDPDAAEAFFVPFFSSLSFNVHGRNMTDPDTEADRLLQVEIMDILWKSKYWQRSGGRDHIIPMHHPNAFRFLRDMVNASILIVADFGRYKKELASLRKDVVAPYVHVVDSFLDDDPPDPFEARSTLLFFRGRTVRKDEGKIRGKLAKILKSKDGVRFENSYATGKGIKTSTKGMRSSKFCLHPAGDTPSSCRLFDAIISHCVPVIVSTRIELPFEDEIDYSEFSLFFSVEDALKPDYLLNQLRQIPKKKWIEMWSKLKNVSHYYEFQHPTRKDDAVNMIWRQVRHKLPAVNLAIHRNRRLKVPDWWG >Et_10B_003562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3171021:3175329:1 gene:Et_10B_003562 transcript:Et_10B_003562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAGAGAGDFSFAAEYDGPPLPYSLPRAIPLDLSHIPLASLSSPPSSPSASSSPLPVVRPLTPSSLCSTVHSHAHPVPRSAPPAPAGGAAVVDSPTSRGARSRGCYKCGKGGGFWTRGDKESCLACGARYCSGCVLRAMGSMPEGRKCLECIGRPVAESRRDALGQGSRVLRRLLSAAELELVMKSERECPANQLRAEDVYVNGSRLMPEELVILQGCPCPPPRLRPGFYWYDKVSGFWGKEGHKPHCIISPNLHIKGLLDPRASNGNTGVLINGREITKSELQMLKLAGVQCAGKPHFWVNADGTYQEEGQKTVKGKIWDKPIVKLLSPVLSLPTPNKAAHQCGEEVVHMVNQAIPDYLEQRTIHKILLVGSGASTILKQTKFLFKSKPFTVDEREDLKLIIQSNIYSYLGILLEGRERFEEEASTNGRKISQCDPSSSGRDETGFCDGTTQYSLIPRLKAFSEWILKAMALGNLEDIFPAASREYAPLVEELWKDPAIQATYKRRNELPFLPPAANYFLDKAVDISRPEYELSDMDILYADGITSSDGLASTEFSFPQMPLDVQGVDEWDPQDALLRYHLIRINNRGLHENCKWLQMFDDVRLVIFCVAASDYDEYYEDANGTIVNKMIDHSGATLAQMAVHYMAVKFKRLFRTLTERKLYVSCANALDQASVLSVIRYGREIIKWEEEKPVFGASETTYSEEPSSYLADV >Et_5B_043515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11354479:11354794:-1 gene:Et_5B_043515 transcript:Et_5B_043515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDKKGAGVLSFAVLTAMVMIVVFSSCTAANYCEMIGPCNLDVCYSFCQAKNYTGDFETFCVPSMVGGPYPSCCCRVAG >Et_9B_066003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2005097:2007281:-1 gene:Et_9B_066003 transcript:Et_9B_066003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICKGSMCGRSPCGSCFTTGFFSSLDDAGVVNGIELAAAAAAAAAANASAGCCMALSLSSLSLSLFASLLFGGRDGLLGLPGLGLKRAERGIEHLAAALPRGGGLRDAALRLLAGGGRGAPDGVVGEAGGDEEDQRGALVERAPAPGPDGRGGREGEGPALAGGGAGRAKERGGVPGEAREMGDGEAAVGAGGGGAGRGDGGVAAAGRGGVGADEEEARGAEGGRVVEKDAGGAEAAEDALVADVVQRPRVAAGGASVAAAAAREGGGGGCGGRRAEGGCHGGGGFGGEGRTRIRVSLVRGRRGQTAQRAEVFSIALFRRPKWGRKKKSKRPLIFAGDSSSKFRKLFVTNKETQTKPKQRWKPPEHDWLKANVDGAFRKEQNSGG >Et_3B_027644.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:140207:140365:1 gene:Et_3B_027644 transcript:Et_3B_027644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHWVLQVPEWPFRMIMGIQCCWLGERCSTARTSKRQRRLHAWMEFASQLGG >Et_2A_015623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15994842:15995936:-1 gene:Et_2A_015623 transcript:Et_2A_015623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQSSHPLTAARAYFCCGNKGNKRGRDFREFIHRPRSHYPDPDSLPDDVLYGENLSCWYPPPLLCQCGVPARQGVVPSELGYGHYCGNTVGEDDEWDTRRCDWETFEGKDEFLMRSKKKGPEYYKKALSTKRSNIRHKYLTVPPSFIYNTICSKLKLKREGPFWEGLEADVVIPYWRRNRDKYPAKSS >Et_3A_027256.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:612844:613905:-1 gene:Et_3A_027256 transcript:Et_3A_027256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAGGGGGGGRSSSRLRDRLARMFRPASLLRSTCNTTTSTTVPGASKPPPACSSSRALLAADVDCCRESFLASSRRDYLVARTESFSTAVDRLHRRAAVAPAPPSRFSVGTSPLPPPPLLESHKEKEKKSPRERCKKKSDRAANRMKLLSTNPYGFSSSDDDDTDVFSSDDADDLLRTEAKKQLGEETFFSSSRSFSSDSSEFYTTATKKKKNKASSNKSPAARAPSSKKPPLSPSSSSRLRKKHEQHRRAASSTAKPLSSCVETCGVRDGFRPVVSAAEEQLRKGFAVVKRSRDPYADFRSSMVEMIVGRQLFGAPDLERLLRSYLSLNAPRHHPVILQAFSDIWVVLHGG >Et_5B_044501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2983644:2989574:-1 gene:Et_5B_044501 transcript:Et_5B_044501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGELGGALLFLLAAAVAVGVAVSVGAVDFSRPLAASARLDFEAAVSWLIGVLDGSSTAAADAYGAWVAVRAGLIAPVLQAAVWACLVMSVMLVVEAVYNSVVSLGVKAIGWRPEWRFKWEPLPGGGADEEKGSAPYPMVLVQIPMYNELEVYKLSIAAACELQWPKDRIIVQVLDDSTDPFAKNLVELECENWASKGVNIKYATRTSRKGFKAGALKKGMEWDYAKQSEYVAIFDADFQPESDFLLRTVPFLVHNPEVALVQARWSFVNDTTSLLTRVQKMFFDYHFKVEQEAGSATFSFFSFNGTAGVWRTKAINDAGGWKDRTTVEDMDLAVRATLKGWKFIYVGDIRVKSELPSTYKAYCRQQFRWSSGGAHLFRKMAKDNISTLKKSYMLYSFFLVRRVVAPVAACILYNVIIPMSVMIPEVYLPVWGVAYIPMTLTIVTAIRHPKNLHIMPFWILFESVMTVHRMRAALTGLLELRGFDQWIVTKKVGIDFEDNEVPLLQKNRKSIRDRINFREIGFSIFLFLCASYNLAFPGTTSYYIYLYVQALAFLLLGLNFTGTSIWYVTRATIDTTGMKSIH >Et_2B_021500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30115482:30116894:1 gene:Et_2B_021500 transcript:Et_2B_021500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRLLVAFTVAVAAAFLVAPAQSGGFGLDLHHRWWSGVLLDAVPTRPRTPGPPRPEVKKKKKKKKKKKKKKKKKKKKKKKKKKQKKEKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKNHLICLYYAFVTVGTPKSAFLVALDTGSDLFWVPCDRKQCAPIANATDLRPYSPRLSSTSKTVSCDHDLCDARNACTRNATSCPYAYVSANTSSTGVLVVHLSTASPPVVFGCGQVQTGLFLEGPASDGLLGLGMDKVSLPSVLAATGLVKSNSFSMCFSRDGVGSRDQDETPLHHQHHKVSRRE >Et_9A_061611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14474867:14483579:-1 gene:Et_9A_061611 transcript:Et_9A_061611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETVPTLVTGQASTNAVMDQITNVYIWDMDETLILLKSLLDGSYAGSFDGLKDRDKSVEIGKRWENLILEVCDGHFFYEQIENYNEPLLNALSEYDDGKDLTSYDFEADCFGSPYDDVNKRKLAYRHRAIGEKCAKGLEKILDQHMVKVWNDLYGLTDQYTDGWLSSAHKLLQEAIGKSSATPTAYSSSINCIVTSGSLIPIYSSWEVRKLQCFKWIKERFDGPNVRFCVIGDGPEECSAAQVMKWPFIKIEFGPDGPHRFPGLDMPTIQNYIDAIYESSGKDG >Et_4A_033435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22848955:22850419:1 gene:Et_4A_033435 transcript:Et_4A_033435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMNNMMRLLPDDALASVLARLAPRCLALSRCVCRAWRTVVDAHHLLRTDLLPLSLGGVYLGASYDRRLFPPLFSRLSTGPAIHDDLDYLSTDDVSDWTIQDHCNGLLLFPEHVVNPATRQCARLPLLVPQASSDHHNLYLIFNPFVSPHYEVFSIPEIPREQEIEWHPSSYVMHVFSSRTWQWEERSFIRDGPTRRAVATTYIQPKRYGVYWREALYVQCQDNSVIRINFSTGKYHLIEPPELGPGYRTLHLGKSEKGVYYASIPDRRRLQVWFLDESCDQIEWVWKWDNNLKPRNACPNDDDHTNGTWLLQCYSEYNKYYMNCVNDSPHVEESGWASDNDDFVSNEYMADHIEDYEEEVCSMDSFTWNSGYENVPSPEYGDIHDKYGNNIEVPEDMFQWCPDYDDNACYNEARDEFYSASLSFLGFHPYKEMDLATRTKAKATKPLRSQCPT >Et_9B_064294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13669003:13677995:-1 gene:Et_9B_064294 transcript:Et_9B_064294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPQTAWAASLPLFLLRTCPKSAPNPHPASGRRLPFLVPVCKRRMSTQVQPRFVPLRTEQSESQGDAGAEGYQFRLVSYNILAQSRSKAVLTELKSFDADLMCIQRSGDKRDGCGIFYKLKSAELVQKETIHYNDLVEQYVPSDHVNSAPSNNSSAEEGKKRMQSQIVTNVETQMIHADPEWIDVKLAQAKYLLSRVSKFEKLVSDKFNCKPSVVIAGDFNSTPGDKVYNYLVSANSESTDEVPIKLRSLYAANGGEPEFTNYTPGFTGTLDYIFLSDGSSIKPTSLLRLPRGDSSDVQGGLPNFQHPSDHLPIGADFLVVNSQ >Et_6B_048487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:42005:44510:-1 gene:Et_6B_048487 transcript:Et_6B_048487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RVHPRFRKGIQDDGKVVALTIQGATQRRFLQHRDQHDSPSSILKSGGNTQSDTSSKHSGLEHEANKSFKLPHWAIYTLAISGAVFLVIIVTASLYLIFTRRKKDHTVMPWSTGLSGPLRKAFVTGVPSLGRAELETACEEFINVIGTSSDCTWYKGTLSSGVEIAVVSTSAKSTEDWSDRLEDQFRNKISVLSRVNHKNFMNLLGYCTCDEPFTRMMVFEYAPCGSLFEHLHVREAEDLDWPTRLRIIMGVAYCLEHMNQLDPPVMPTNLSSSSIYLTEDYAAKISDIEFWKDDKDAAMRNSSTDQQSIVYRFGILLLEVISGRLPFSEDHGLLVLWSSSYLDGKRPLAAMADPTLRSSAPDKDIAALCDVVRVCINRDKEKRPAMAEVAKMMRGVTALSPEQATPRDNPLWWAELEIASSETG >Et_3A_025362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29231850:29234926:1 gene:Et_3A_025362 transcript:Et_3A_025362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQDLTVQRIQNELTVKVYETHARIAIQSGDLAEYNQCQSQLKRLYGGGVKGCHLEFSAYNLLFVMLHSYNKRDLLSSIASLPREVKQDATVKHALKVRSALLSGNYVLFFKLYKMAPNLNSCLMGKDDLSSFLLIHRHKKSLNSFLILRCILLCCWIADLYVERMLFEAVKCMSKSYRPTVPVSYAALVLGFVGTNEASKHNGVSRLEECEEWLKAHGAVLLVDNSGELQIDTKVSSTSLYMPEPENVVSHGDASLDSLDLAK >Et_4B_036887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12338337:12339603:1 gene:Et_4B_036887 transcript:Et_4B_036887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNAAALVSCALLLAAACHPAAAGYYKPPPNPATCGLKVGYYHDKCPHAEDIIKHVVGAAVRQNPGIGAGLIRMLFHDCFVEGCDASVLLDPTPANPQPEKLSPPNNPSLRGFEVIDAAKSAVERACPGVVSCADIVAFAARDASFFLSGGKVYFDMPSGRLDGRVSLDSRALDFLPPPTFVLSQLVDSFAAKGLGVEDMVVLSGAHTVGRSHCSSFVPDRLAVPSSIDPALAASLRGQCPASPSPANDPTVVQDVVTPVQLDNQYYKNVLAKRVLFTSDDALVTSPATAKKVMDNANIPGWWEDRFKAAMVKMASVEVKTGNAGEVRRNCRA >Et_1A_005378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:173038:174265:1 gene:Et_1A_005378 transcript:Et_1A_005378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KRGQRNFSIVMMNVLSGHHQNVNLQVRDTSLALRGRSCRVQYPYALDGAGTLADPRRRLRPFVAVRAGSSGSGGLSREDLERLVGTDDDAKFSGLDLANLIRKKYGRSYDVTLIKKEFMGRNLLAMNVMWKYREQRSFPLSEEEYLLRLDDVANTLKCWGAVAHVRNTLEKLKERPRIGKAVSIFIDMDQTGERSNEWIYK >Et_5A_040975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15964399:15968615:-1 gene:Et_5A_040975 transcript:Et_5A_040975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGNSSNPYSSHIAEKDDKSMNTKLHSDFSAAEMQLNPADGDLSLIRVKLEHSWSSVQAFVVEHMTLMDLDMDWSREVVGLNGFRYIGCNDLRDIALNSLHMFFKTAVEMLSCEGYTEDAVLNAILDSALCYQFDGPITKIADHARTLLQSSHLVDFSRNDNVDTHLHMLGLYILCKASNLLKTYYPFFTWGDALWCILLCDMDISIARTVSVYMSGFEKGQSEGLSLSRGDSCEDRANVNELSEEYVCSATELSGQFKPPQSEAAQRTWSNFLTNYIVTIQRTAAKNQDAPSGQDENSSLPRAVVQHNKKATKGSRRKKNSMKSQKDSSKELVLVKNVPQGKGIGKTCSRILKESKSLMALFESAQSTLTCPSEVANEKGLQTSTLVPSQPPFGLSSVRKRDSTAMVATGPLSSPASYSSYSSSSAEPKQRMDSDVVQFSLPYAPGFEFYFSHDGLQTTWVPKDRKEELALDLVQRLGELKLEVKVWTDWANERVMQSTSRLVKERAVLSSYRKDSVEVEEPDVFNRKKLEETQKAIDSASEELDRVNSRVRELTNEITLCRREKKAAQLQGKQSDASFADILNKENDSIERLKSMETDKVVLQEELAAEKSKLPKLLKSLEKARRYEDVLKKRCQEGEKMIDELTKQITFQRTELERIDTSARAKSSNLLLKARNEQEWLQASIKNLKQQIDELSSRPLSVAKLINSAGFGIDSLQREQECAMCLEEEISVVFLPCGHQVVCAGCNKRHQDGGMTECPSCRSIIHRRICARFSDR >Et_5A_042516.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1811611:1812774:-1 gene:Et_5A_042516 transcript:Et_5A_042516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGAVLRSRTQPTKQWQRLAASLPLDILLLIAARTDPVTLVRCAATGRDLRRRAADDPAFRHCLRLRHAADRFVPPLLRGHIKQGKDNLFLVDAAGSPITAISSQDGGEFLGRQKALASRDGLLLVRTTDPKSGRAELRVCDPVTGRSQALPPEPWFPHHAESYEDWDLDYYDEASEAHYVLLVGDNAGGCSTAAAVGRPFQVLKANIVLGLLARHGRYLQMQTFSSDQHDAWGKYTQTRIPQLYGSLSKSRGRCRPLVVGNTVHWLCLTDVASYVLTIKPRTSEVTVMALPTSFPRTSSHSYLLATMVAGGGSPVVLVADNDKISVWTQAKEPQVVIKCEAILRFQNQDGGRQSVPAGGNLHVHPMWFAERMPAAPSGWISGP >Et_2B_020966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25313027:25313649:1 gene:Et_2B_020966 transcript:Et_2B_020966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHPQIVVAACIILLAASSASSPPPAVHGYGARVLTVPSASTGGETPNRWSSRHTFHAGDLLDFTPWNVTVLVVKAEEYKRCAAASPLRRVADGNLFQLQGRGLFFFIGGTPELCEARQRMVVRVATTEADQGLLPATAAPEHMKHLAASTSPAVSPTPVWNTSRFPWFLSA >Et_9B_065577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7250696:7251006:-1 gene:Et_9B_065577 transcript:Et_9B_065577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFDGVCLHGRYIRQSLQSRIELGELASLHHGLLNIQDGQISPTPIHAIIQSKSTQGPLWTGK >Et_6B_050174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8956447:8959978:1 gene:Et_6B_050174 transcript:Et_6B_050174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDHGIIIVGGGICGLATALALHRKGIPSLVVEKSETLRADVSKAHMLRLKTLAYIFKGYHYLRRRVLENRLRNKNWSMGTPWRHWMVRPTYRYLNLWPKGNKRSLTPIRHELRCLKRKDLIDTLAKNIPAETFRFGCHIASIHSDTGSHATVLKTVNGGTMKAKVLIGCDGANSVVAKYLGLSVPKKIPRMILRGFTRYPQAHPFGTEFIRIIGGDFVFGCLPITDNLVGFFVDCSDPPADMFDDMSILKDFVLNKLREFPAAVTGVIQNSDPESLHVATKFYYRHLCQVMFGSFQKGPVTVAGDAMHVMGPFIGQGGSAGLEDAIVLARWLWRAMPRGLDVEGAGSSGVPRAKMVSAAIREYIQERRLRVALLSMEAFVVGALMRAKTGVAKVCCIIVLAILGHKSLRHTNYDCGRL >Et_4A_034443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32519827:32522423:-1 gene:Et_4A_034443 transcript:Et_4A_034443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRSLLSSPLFASSSPNFRSTTSIPSSPSPSRASVPMIHDATSRASTACHYSPSLVAEEQLHGSKDTLTLKGEKALLEVLLDMALDQHVDGKELISLETEDSDFESYLRVAMSQVLNEPAFIEEDNATSASSSTSAANLGGALDLGTPSTTVAKEVALPALESVSLATHQDAQILHCVDPNHPYEELLIKGQVFIRSKRLLERRSRKRNAPRASSNDVLCSVVNSKKKEKSKKIGRDLMKLEEAQRKLQAQCGRDPTIAEWAQAVGMSCRELQSSIRNGRRCREKMARSNFRLVIHVARKYEGYGLDIQDLVQDGCCGLMKTFEKFNPSKGCRFPTYAYWWIRQSIKKSIFKNSRLIRLPESVYALLRKVGKARMECIMEGEQPSNENVARRAGITIEKLARLRAKTRKPRSMQDRVWSDDGVTFQEITEDPNVEPPELSIDRLMMRQQVLDFLGILSPREKEIIEHRFGIHDGEPKTLHVIGDIYGLSKERIRQVQNKALDKLKKSVSSQGFDAYFDLLT >Et_2B_018958.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19020823:19022209:-1 gene:Et_2B_018958 transcript:Et_2B_018958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASYGSECVQAYASVRKTTVESAMRRLGVEEETSTDDDDVEWAKIRRWICAARIAVRGVFASERRLCFLIFHDFPLSCSSNTTPFAKAVKGAAKQLLGFTEAVVSSNWCLRRSPEKLFDTIDMHDALADLLPDISGLFAASKATESIYMQAAKAISSLADAVPEMLSQFESAILHDPSKVLVPGGAVHPLTRYVINYIENLISSDYKASLSKLITSMPPSSCSRVTTIDQEATPAIVPNPDRQLPPLAAHVAWIIAALERNLECKATSLYKNAALSHFFLMNNRRYIVDKISNSSGLRGLIRDEYLKRLTCKFRQAAIRYQRSGWSKILNYLKHEGLHVGGSFSTDISKLVIEGRFKGFSTGFEEAHKVQSRWYVPDTQMREEVRISIP >Et_1B_011566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23685725:23688727:-1 gene:Et_1B_011566 transcript:Et_1B_011566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLSAAAVEVEVPASLPPRAAEEADVAAAEEAAAAAKRWPGWPGDSVFRLVVPVLKVGSIIGRKGELIKRLVEETKARVRVLEGPVGATERIVLVSGKEEPSLELPPAIDALMRVFKRVNGITDGAAEGTQAAAAPGVCAARLLVPGAQAINLIGKQGATIKAIQEGTGATIRVISIDERERPFYVTEDERIVEIQGETEKVLKALQAVSNHLRKFLVDHSVLPLFEKTNTTPVSQDRNSDNWSDMSHHSIPAQINQPSSVVDEYILPVKRDPLYLDRDPLVDHNHSIHRSGVSLYGRDPALSTLRPSGIHGASPLLTQITQTMQIPLTYAEDIIGVKGANIAYIRANSGAVVTIQESLGSPDDITVEIKGTSSQVQAAQQLIQDSLAAHREPVRSSYSTLDPVYRSSYSQYGSSTYSSSSLPSYSSMEDGRYSSSGLGGYGSSYRY >Et_8B_059005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12126175:12132627:1 gene:Et_8B_059005 transcript:Et_8B_059005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPQAFSKVFLPVLPSPPLPPLLLRRRRWTAQGTGAGASTAEMSAAAPAPRKWEGVVDEALERDVVGACLDQAPERRRVREAFKDVQLNIDHCLFKGQYSGVIKKESYERNSRGVEILTKCIARNIASAGYGVFALDYPGFGLSEGLHGYIPRIPEHTGLRSFLFGQSMGGAVALKIHFKQPNEWNGAILVAPMCKIADDVVPPWPVQQVLIFLARILPKEKLVPQKDLGDLAFREKKKREQASYNVIAYKDKPRLRTALEMLKTTQEIERRLEEVSLPMIILHGEADLVTDPAVSKALYEKARSLDKKLCIYNDAYHAILEGSYDSFCLGIARRMALAGYGVFALDYPGFGLSEGLHGYIPNYSILVDDVAEHFAKVKGNPEYRCLPSFFFGQSTGGAVALKAHLKQPSKWDGAILVAPINDVVPLGPIQQVMSLMATLLPKLSLFLKRIWLNFVQCDLLPRQATSPDSFRDAENHIRDKKTSIR >Et_3A_026392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7542218:7544920:-1 gene:Et_3A_026392 transcript:Et_3A_026392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSSSRRALHSLHRRLLLHPSPSPAAARRPLPTIPRHSPTPSFPPSSSSSRFFTTARQHYAALRRELLPPLPRLAGGARSVATGRSKLAPLGKGVKGLGRPVEAARSAAARYREAVGLQVEAFWRRNYMVLVGAGAVIVCVALWRIMFGIASTFVGLSEGMAKYGFLALATSIVAFGVMYVRARLTINPDKVYRLAMTKLNTSAAILEVMGAPLTGTDVRAYIMSGGGPKLKDFKFRLGGKRCFLIFPIKGSERKGLVSVEVKKKKGQYDLKLLAVDIPMASGPDQRLFLIGDEQEYKVGGGLISELRDPIVKAMAAEKEFDDIDEREDAEDELREREEAEQRQREEEAEALRREEERLREEAEERRRREAENLEKAT >Et_4B_036396.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21619598:21619915:1 gene:Et_4B_036396 transcript:Et_4B_036396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALRGARDLYVRALRGLDRLVAAANPRAGVGRPTSRVFGVGGDRGSEEEIRELVLAMQARRGATASAGAVAGSEKAEAGAPAARRGGMTLERINEDTAVVHPAS >Et_4A_035120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9751172:9753011:1 gene:Et_4A_035120 transcript:Et_4A_035120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDFIADGTQDDVEEEIPAPAVLAAGHVGETQASDGAQERPLGKKKEKAKLRQRSSMEVVDYLVAKKKEADAEKDLNKEERCQKAFALQEERIKIEKEHFEFKRQLEEDRIMNIDLGTLSYKQQQYYEARQNEILAK >Et_1A_008586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:933708:940794:1 gene:Et_1A_008586 transcript:Et_1A_008586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLLKRNLQTTAKAFMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAAAYLEAQQIKAREHQQQMQMQQLQLMQQRHAQLQRTNPSHPSLNGPINALNSDGILGPSTASVLAAKMYEERLKHPHSMDSEGSQLLDASRMALLKSAATNHAGQLVPGTPGSVSTTLQQIQARNQQTMDIKSEGSMGVPQRSLPMDPSSLYGQGIIQPKPGLGAAGVPITLCYEFPYGIDQLRPNLGAQMQKPFLSTQSQFQLMSPQQQQQFLAQAQAQGNLSNSSNYGDMDPRRLTALARGGLNGKDGQPAGTDGCISSPMQSSSPKIRPDQEYLMKNNRKRKQPTSSGPANSTGTGNTVGPSGNSPPSTPSTHTPGDGLGMAGNVRDVPKNLMMYGADGTGLASSSNQMDDLEHFGDVGSLDDNVESFLSNDDGDARDIFAALKRSPAEPNPTASKGFTFSEVNCWRTSNSKVVCCHFSSDGKILASAGHEKKAVLWNMENQHTQYLSEEHALIITDVRFRPNSSQLATSSFDRTIKLWNVADPGFSLHTFTGHGFQVTSLDFHPKKTDLLCSCDGSGEIRYWNVTQPTCMRAMKGGTAQVRFQPNTGQYLAAAAENVVSIFDIETHSKKYTLQGHNTDVQSVCWDNSGEYLASVSQDLVKVWSVSSGECIHELSSNGNKFHSCVFHPSYTNLLVIGGYQSLELWNMVKNQSMTVQAHEGLIAALAQSPVTGMVASASHDNSVKLWK >Et_1A_009054.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27423244:27424146:1 gene:Et_1A_009054 transcript:Et_1A_009054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAWVRSLSCRSSYAVTDVAVAPSPAKKPPSPPFPVSCAAAAAADVMDAVAVAEQARKTKKTKSLGRERERLRERHHHEPRPRPKKKPKPMASAAALFMPSPAPAHASHSAAFLTMAELPEGHSSRRVVELIFTSGWGAGAPAVEALFRVHSASRAVARFEDARAAARAHGAAARCGADGNEMMRFQCRASADAGGVFGAGVATCRLGASASAVRTFACSGAAHASANGGGRGAVAASGRRAMLVCRVIAGRVRPADGDPAAPHASAVDDYDSVDMGNDELVVLDSRAVLPCFLIIYKV >Et_9B_065183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21866459:21870338:-1 gene:Et_9B_065183 transcript:Et_9B_065183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDGAVELVAPRLVESEASRVHELERFSHYVARQIGFDDAKECPHLCTLAYDYLRKNRGYEENIFAFFQSSADPESLIVKFIEELDKCILGYFSFHWKYATYMITQVLTVEGAPKRKLRNMVLEATREQRFERVTRNLKVTRLFSTLVEELKAIGPSCRDEKTRNDVMVPVAHCDRSPVLLLMGGGMGAGKSTVLKDILKEAFWSGAEANAVVVEADAFKETDVIYRAISSRGHHNDMLRTAELVHQSSMDAASSLLVTALNEGRDVIMDGTLSWEPFVQQTIDMARAVHRQRYRMGRGYKVTEDGTITEEYWEPVEGGEIKEQDEANARKPYRIELVGVICDAYLAVVRGIRRAVITGRAVRVKSQLQSHKRFSTAFNSYCSLVDNARLYSTNTMGAAKLIGWKDGESRLLVDPEEIGCLERVSSLNEEANCVHELYADRQPASGSSSVWQDLVMSPSRASVQRELKVAIQNNEARFRPA >Et_10A_000386.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:7126307:7126456:-1 gene:Et_10A_000386 transcript:Et_10A_000386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIPKGGWKNFYVEGMTPSGGCDNGDLPKMDGCLVIGYVEMNCLLFLH >Et_8A_057106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21091436:21106711:-1 gene:Et_8A_057106 transcript:Et_8A_057106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGGGDGRAKASEEGNREHDAEDYDDEEEEEEDGYEFGDAEDAMQYVEMMEGSDAARPHDYEELAARKRKALAEEQPQREESSKRPRKDELSEAEAATMFDQLMEGFGLRRKRRSKEAKKRGRKKGTKNKCNPEVTKKLGDATLLYTESKFKEAIPILHEIVRIAPNLPNSYYLLGSIYDEIGELDKAIDFLMLAAYVSPKDASLWKKLVGLARKKEDAPLARYCILKAMRADPEDIGLKYVCADIYRKLRDYQKAAEIYEQILKMDHVNVFVRKVAAQMYRDCGQIDKAINLLEEHINNRNTNMDWSLLDLLISLYLKSNAISEALKRIEKAQLLSGSKHKLPIQLQAKAVICHAYLGDMKHAEVFLQDVHLEPSKDNIDVIKELASTFENMGQYEYAVKFYLMVENIAEHNDVGSYVKVARCYVLLGEKIKAIPYFYKAIQSMEDSIDIRITLSSLLVDEDKTDEAVTLLSPPKSSEVQPANTPDQQKPWWCDGKVKMQLAKIFYNKGTLEKFVDTIFLPVLETLKVEHDNQKIKPTRKLQNAILYDRVKVLGEPQPERLFQRIRPIASPGELQQAKRAKKSIEKRAAANEDLNTDDSLCRTLALQQRYLEALQIINRTLKLGSNGLSVDNQEELRSLGAQIAYRSPDPRHGFKYVCYAVKQHPYSLSAWNSYYKVASRIEDASTNKFILRTREGKANCVPPIIISGHRFTALSQHQAAARDYLEAYKLEPENPLISLCIGTALINLALGFRLQNKNQCIVQGFAFLYKYLCLCGNKQEALYNIARAYHHIGLITLAAVYYEKALATEVKDHPIPKLPYESGSCTEQDLMPGYCDIRREAAFNLHLIYKKSGATDLARRILKTYCTLHAGSTGRTIKVQ >Et_4B_036592.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7387560:7387607:-1 gene:Et_4B_036592 transcript:Et_4B_036592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACISDAHWTPSAMS >Et_5B_043560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11951029:11958179:1 gene:Et_5B_043560 transcript:Et_5B_043560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKQQTGGEEMSWISKKLFLYNVTFGLYALDWWEQYLFTDTILLVLLWFICYNTSRSVWQAFDSHLKNSLQLGRGNYSMVAHAEEMGEMTWVGKKIHLYNVTMGLYMLDWWERCLFNILMLVLLWFVFLNGSRFATDVFERYPLHSLIWDAPIHSHTC >Et_1B_012460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32103343:32110659:-1 gene:Et_1B_012460 transcript:Et_1B_012460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKLPITNKSVFLEDNYLQEMRREERVEQNPQRSCWKLSVKEGHAMVSSHNSLGKRSRWRNLDHGVTLSANTTLSFLIIIIRGQHKLVTRTLEHLFHTCSMQASFMEIVANSTSLLEASPLTHQSVTVLVLLSLLSLFSAFLIYFYAPLWSVRRVPGPPTRFPLGHLHLLAKNGPDVFRAIAKEYGPIFRFHMGRQPLVIVANAELCKEVGIKKFKYIRNRSTPPPSVGSLHQDALFLTRDSTWSAMRNMVVPLYQPARLAGLIPTMQSYVDALVDNIAGSPDQDCIPFCQLSLRMAIDIIGKTAFGIEFGLSKNAADGSSDGETDGGGDDDVREFLKEYKRSMEFIKMDLSSSLSTILGLFLPCIQTPCKRLLRLVPGTADHKMDGNERRLCRRIDAIIAGRRRDRAARRHDGAAAAPLDFIAALLDAMESGGGKEFALEDRHVRALAYEHLIAGTKTTAFTLSSVLYLVSRHPRVEEKLLREVDGFFAPRRGRAAPDADELQSRFPYLDQVVKEAMRFHLVSPLIARQTSERVEISGYVLPKVPAI >Et_4A_033152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19255999:19257268:1 gene:Et_4A_033152 transcript:Et_4A_033152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEAMRVVRACVMSRVGYDRGMDVLKALRIQGESIPPDVGPGAPPRTGTGATSDANQADVGPGTPPSQPLHHKSPASGLHIYTKLVLSILLLSRPYASSGFHDTSFICTKNCDVNQQWASAKAPPRSRHKGGSVPVGITIQLGAQGPKLCTRKCKYCGLKEGHNAPSCPHDRRTLNVWTVRKTWLRGREGPPGMLTRSQRFRSP >Et_2B_020890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24632824:24639994:1 gene:Et_2B_020890 transcript:Et_2B_020890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLTASASVSSPAPPSAHILRLSRPPPFPHIRRRCSPPKPLALNPRTPLHIARRPLLFTPRAHGGHDHGHHHHHHDHHHHHHHNGHGHHGVDVHGSGGGAVVMRVAKAIGWAGVADALREHLQLCCVSLGLLLIAAVCPHVALLNSFSRLQGTLIAVAFPLVGVSAALDALVNIADGRINIHVLMALAAFASIFMGNSLEGGLLLAMFNLAHIAEEYFTSKSMIDVRELKENHPEFALLLETSGDESVPFSNLSYTKVPVHDLKVGSHILVRAGEAVPVDGEVYQGSSTVTIEHLTGETKPLERTVGDAIPGGARNLEGMMIVKVTKSWEDSTLNRIVQLTEEGQLNKPKLQRWLDEFGEHYSRVVVALSLIVAFLGPFLFKWPFFGNSVCRGSIYRGLGLMVAASPCALAVAPLAYATAISSLASKGILLKGGHVLDALASCQSIAFDKTGTLTTGKLKCKAIEPIHGHLGVKNGLSDSSCCTPNCESEALAVAAAMEEGTTHPIGRAVLDHSIGKELPVVSVESFECLPGRGVEATLSGVKVTLFHFEDEPRSGVCEVISTLREKANLRIMMLTGDHESSALRVAKAVCIDEVHYSLKPEDKLNKVKAVSREGGGGLIMVGDGINDAPALAAATVGIVLAQRASATAVAVADVLLLQDNIGGVPFCIAKARQTTSLVKQSVALALTCIVFAALPSVLGFLPLWLTVLLHEGGTLLVCLNSIRALNPPTWSLADDIRQLVEGLRNSLSAKLNGSSSNRISNAVPL >Et_4A_034320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31712399:31716938:-1 gene:Et_4A_034320 transcript:Et_4A_034320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAPRSLLADSPDLLLPKRRPKPNRFKPSKLPEPPPPPPPRRAPPRRRAPPLAPSAYARLLRCASRGPSLALARLAHSHMLRTGYRPGLFLCNSLLAVYCRCGDMRHGRLLFDGMPRRDAVSWNTLISGYSSAGSARLALDTFGDARGSGVCADRFTYAAVLSTCAGTRDGRRGRAAHGLAVVSGHARTAFVTNSVIDMYAKCGMIDEVRLVFDWAEERDEVTWNLLLSAYVRMGWPEVAVNVLVWMHRSGAKLDAFSLGGIVKACTELEDSEDVRKMFHGCVVKVGLDLNVFVGSAMVDMYAKNGGLEEAVKVFDCIPDQNVVVYNAMIAGFARLGNDPCPEIRIEAVRLYSNLLQRRIRPSKFTFKSLLEVCNLTNAVRCGRQIHAHVICSGFESDEYIANALINLYSKARSVNDSLRCFHRTPKQESFTWTSMITIFVQDEQFEKALDLFRELCYTEKEPDQFTISSVMNACACLSVPMTCEQIHCYAVKSGFNQFTVCGNSQIEMYRNIGDLKAAKKTFDKITCLDTFSWSQMVLSYAVHGHGREALQLFETMKDRGVVINEFVFLASLIACSHQGLTDEGFRHYESMKSDYSFDLNVNHIACMVDLLGHAGKLSDAEDFIMNSGWENDPTLWRVLLRACRVHGDKERGIKIGEKLMLVEPFVASSYVMLYNLYMDAGKISFAMRTRGLMRERGMTKETGISWTEFGGSIHHFSDGDNSCSQKNAISTRLEEVLVRVKQKTEHSGMNVWELGFQSKKVGKSSISRHGELLAVANRLSTLPNTAPVKVMKNQRISWESHETLKLLSEDENREIIIRDPSRFHHFGQGSCSCRDYCTGLHVAFSFVAHLLANGDDVSWFNRFV >Et_3A_024520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21641342:21644863:1 gene:Et_3A_024520 transcript:Et_3A_024520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKGWAERASRAVKTVWFVVALLVSLLVASAPALVAAGDVAVALWLEVRLGCLRCHGLRDHFQRYGFRSSLADIPLVSIVRSLVITCVYLMSDTSGLSHGPYLGTTTFCSLASLLILIIKASVYSPVQDIGPELSPSLADHKLNLKKLWGMPVLFLSSLVFALGHVIVAYRTSCQARRKLLIHRIDPESILAYKNAFSGSFKAPRSPTPYSAKLFSRSESETKRKTTVHDDRDMPISFLADSDSMFIACQGITIHYKISDPSTCLSSAPDSFTERDTPHDVVSSSISPRRQRHESPPSASSNTRRLLNRSFSHQYHQTSLYAPLLVEPVTSPTLSDEVPLMSFDNGSADECLNSMGFDLEAGEQGKFAIVLVHGFGGGVFSWRHVSNLLARQVGCTVLAFDRPGWGLTSRPRRKDWEDKKLPNPYELESQVDLLISFCLEMGLRSVVLVGHDDGGLLALKTAEKLCTYGDKKLEVKGVVLIGVSLSREVIPGFARILLHTPLRKKYMVRPLLRTEITQVINRRAWYDATKLTTEVLNMYKAPLFVEGWDEALHEVGRLSFSTVLSLKRAADLLRSVEDLPVLVVAGSEDALVSVKSAQAMASKLVNSRIVTISGCGHLPHEECPKALLSALSPFISRLVPSDDSLQRL >Et_3B_027829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21243366:21244118:-1 gene:Et_3B_027829 transcript:Et_3B_027829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQRPDMITPGVDAQGQPIDPQKMQEHFEDFYEDIYEELSKFGEIENLNVCDNLADHMIGNVYVQFREEDQAAAAHTALQGRFYSGRPIVVDFSPVTDFREATCRQYEIGRELRKKLYGHSRRSHRGRSRSPSPHRRERRDRDDYRGRDDYRGGSGGHRGGGSRHERYEDGGRRRHGGSPPRRARSPVRESSEERRAKIEQWNREREEKKE >Et_6A_047180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26428266:26434033:1 gene:Et_6A_047180 transcript:Et_6A_047180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRDPIGPRYPLSPLLVGRSRGGELLGQRTPPASPPMALAGEEGRAEEWRRIQGLLTMKSVRGHACTSCSCCSDRLISIVLVIDLLTVTYYLFLVIYCNIDHQDQRRLFHMRFRNLEASLCKEEEDFAAPVSNYSTAVSLTFAFALHKGRGGRIFGVHPEDISSARITRTSVAQAVRAATMAELVIGPLVSNVKKKASSYLLDQYRVMEGMEEQRKILERVLPAILDIIQDAEKVAFRPGVRAWLQDLKKVSYQANDVFDEFKYEALQREAEKKGHYSLKTLRRFPARNPIVFRYRMGKKLRQIVQTIEVLVAEMNTFGFRHLQLAPPSKQWRKTDPSRAFRLQRPNHRDLDGFVDKIVDRCAGSPLAAKAFGSMLSTKTSMDEWEDALAKIPYLHIRKYTSLRVMHLPVRSARQVYLQGQIQHLRYLNLSNNRELKQLPEDISIMYNLQTLDISYCKNLHQLPKDMKYMASLRNIYTNGCESLTCMPPGLGQITSLQILTYFVVGATSGCSTIRELEKLNLGGELEVSCLENATEAHAKAANLQNKKKLTNLSLGWTSEGQEEPVPDCHKKILCALKPHAGLEMLRIVNYKGISLPTWTTDLSNLTELHLLGCVLCEEFPQFLHFKALQVLYLKKLDKLLNLCSDVGSMMFPALKELRLHDLEGFERWLAPEGKEEFPVLEKLDIKNCPKLTSLPEAPNLKDIVVDEDNALLSLAVLKSKNIYSLSKLELSNRDTEATQPQIDENHESSVSEIRLEGGFYFFFSNPQQQMFGAWKWFGKLITLNIFNCDALIYWPDDVFQSLVSLKNLCIYSCDKLKGRSQVKVSEPIETADQVLPHLNMIDIQGCASLTELFILPPSLRTIIIRSCPRLESILGNEEHHETNTDIQLEYSRDLASTSVPEQSPSPTNRHPCLEALYVCFCDNLATLPTLPPSLKHLSIGGCGMLCSVSGHLDALETLVISSCSKLQSVNSLGGLPSLEGLYLYSSRCIASLPGVLGNYSALRELTVKYCPGIDLKPLYRRHQQRLDNLEDKDISHAHSSDPREGTFRFLILAYLNFIYLPFVLKIHTKYSFNRLHMECMLVSDG >Et_2A_015652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16574829:16582136:1 gene:Et_2A_015652 transcript:Et_2A_015652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSDGRKKNAREMDFFTGYGDVNRYEILEVIGKGSYGVVCSANDTHTGEKVAIKKIHNIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPHQKGILKIYMLSLNLWNLHQVIKANDDLTREHYQFFLYQMLRALKYIHTANVYHRDLKPKNVLANANCKLKICDFGLARVAFNDAPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLITDLLGTPPLDAISKVRNDKARKYLTCMRKKHPASFSQKFPKADPMALQLLKRLLAFDPKDRPSAEEALSDPYFNGLAKMEREPSCQPIPKVEFEFERRRVTKDDIKELIFHEILEYHPQILKEYTSATQRPDLLYLSTADQFREQFTQLEENGGRSGLLAPVQRKHASLPRSTVVHSASIPSMDHRHVASSSTKHVAMNDRRSLAPAHPWQPNILHAQNQAHFQNQPLRGSLLDATGPAQYLPGVIPSVDSRPGHVYLYLHQPVTAEAVPSDRTPAQAISASHAPVPAVPYNMQGMYRT >Et_3A_025352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29126942:29130196:1 gene:Et_3A_025352 transcript:Et_3A_025352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKAQPSKADLAKKQKVVEDKTFGLKNKNKSKNVQKYVQSLHQAKKKEEEKAREKELNDLFKVAVSQPKVPVGVDPKSILCEFFKVGQCQKGFKCKFSHDLNVQRKGEKIDIYTDKRDADTMEDWDQETLEKVVESKKTEYQQNKPTDIVCKYFLDAVEKKQYGWFWVCPNGGKDCHYRHALPPGYILKSQMKALLEEESEKIAIEDEIEDQRKKTKTSTPMTTELFMEWKRKKAEEKEAGQAALRAERAKNDRMSGRELFMADASVFVDDAEAYEVYERDEEPEANDEPAKKSRDAGPSSSTSNGKQAEEPDEDDIDIDDDLDLDELNELEASLSRTSIQIREPGEGTSS >Et_2B_020272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18582993:18587219:1 gene:Et_2B_020272 transcript:Et_2B_020272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSALLRVLRRTSSEAALRLAASANRQTATGYRHLNNRNLSVFNEFSKQLKGEAKSNPEFQKTVKEFSEKLGVVKEDLKVRTKKTTETIYKSVDGVWSEAEETSKKVSANIKEKMSAAKEEVKESFGLGKEETSSCKDGSPEASKHDSTEASAHADGSSNYGTSGYTLFTKLKSTISSASPAVSGAFAKLKDTRVSTLAKQGYEIVKDELSSTSGRKKKHPRHASTAPVEKSTKTDLVIVPIKKSVLGEKWEAFKNKMQCHPVYKRVNEYTKPVVTVGQEVAEDVRERWETSDNPVVQKIQDWNESVFEETTTAITFKEIRQRDPSFSLPDFLADVQEMIKPVLTAYSKGDLETLKKFCTTHVIERCKGERQAYAAQGMFFDHKILHISDADVLETKMMGSTPIILVMFQTQQIYCIRDKEGQITEGGQDVIQTVFYQWAMQLMDSDEVPEEESYYPVWRLREMQQAGVKALI >Et_5B_043998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18795000:18795454:1 gene:Et_5B_043998 transcript:Et_5B_043998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNREEAARAKALAETKMEEKDFLGAKLIIIKAQKLSKEMENTRAVKVNGQIDFYGILQVYSFATSRNEQLKTDAEAAFRVTGETNMTLTDRSKHSINDGMARSQVLQPRNKCIY >Et_8A_058012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12727137:12728563:-1 gene:Et_8A_058012 transcript:Et_8A_058012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGSSDPANPRVFRCRHVTCGRFYHPACIATQLHPLDPVEAARCRARVGAGTQNFAGDADNQS >Et_7B_054892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5269151:5280243:-1 gene:Et_7B_054892 transcript:Et_7B_054892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAGEGMACARLAVERQAWRKSHPHGFVARPETRTDGSVNLMVWNCVVPGKEGTDWEGGYFPLTLSFNKDYPSSPPVCKFPAGFLHVNVYHTGEAWSPSITVRQVLLSVQDMLDNPNPESPAQQCVCRLFTKSTRIAFVNKPSGTVYLRKPWIAARYSLLTTHGVPDRDRSGRSDSIRRILPKSQQNRSKPRGREPNRTGKPSKATRFLPINPMASGGIARGRLAEERKSWRKNHPHGFVAKPETLPDGTVNLMVWNCIIPGKEGTDWEGGYYPLTLHFTEDYPSNPPTCKFPTGFFHVNVYDSGLVCLSILGGGWQPSITVRQILIGIQDLLDNPNPNSSAQHRCYLLLTKGFVAKPVTLPDGTVNLMVWNCVVPGKEGTDWEGGYFPLTLHFSEDYPTRPPTCMFPAGFFHVNVYPTGAAWKPSITVRQILVGIQDLFDHPNPASAAQDISYRLFTKNKAEYKKRVREQAKQYPSAVLLFVPINKG >Et_8A_056531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11656769:11662309:-1 gene:Et_8A_056531 transcript:Et_8A_056531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPNAISSIGSSQSNLPAHGQMDLGGGGMVPHNGANNNPNMAARQRLRWTNELHDQFVEAVTQLGGPDRATPKGVLRIMSVPGLTIYHVKSHLQKYRLAKYIPDPSSDDNKAEKKDPGDLLATLEGSSGMQISEALKLQMEVQKRLHEQLEVQKQLQLRIEAQGKYLQKIIEEQQRIAGAGASRATSSEQLPDSEKTNPSTPVPTSESPLQTAPFSKDNGSRTEPTKCVSHDHSLPHGEPLTPDSSCRPGSPPMLSPKLEQPVKRQKGCSTSDGTEFTDGDFGLPHHIFESSTTGSEFEQCSMPYSGH >Et_5A_040693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11122499:11128442:-1 gene:Et_5A_040693 transcript:Et_5A_040693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEGKTLVLWLAATVVSSATDGEYEVVYEGNLPRENPFSTVRVPLHHVRPRQVKPSPPPSESPSAAPRPTTAGKSLRLLPKLESEMQAAPRPTTAGKSIHVVRKILSEMKFQARTSRRCRLHHVRLRQVQLPTPPPPPSKPPSAAARPTTTGKSLRLIPKLELEMQPAPRPTTAGKSIHVVRKILSEMKFQARTSLLGC >Et_1B_012398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31559564:31564444:-1 gene:Et_1B_012398 transcript:Et_1B_012398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLIPGLPDDLARECLVRVGFEQLPVARRVSRQWKAEVESPFHHSLRRTRPLLVLAQARPPLAASGPAHKYASSASASYRLVLHDLAAGTWSAMPPPIPGGGLPLFCQLAAVGDGPTRKLVVLGGWDPETWAPTAAVHVYDFLAGTWRRGADMPSPRRSFFACAADGGGRVFVAGGHDEEKNALRSAAAYDAEADAWAALPDMARERDEARGVCVGGRFVVLGGYPTEAQGRFAGSGEAFDPAAWAWGPVEEGVLENGACPTTCCAAPARDDAGSRMYRVRDGHVVEWDADGDEWRPVARVPEEVRAVTAVAAIGDGRVVVIGSACHGAEQAVHVLSKDTPTPSWVSASAAPEFAGYVQGACCVQAKLRPIKGRGRFEGGLLAVVHRAFVRADKVFATLLRNHLPNMMNLVATGVVMLAAVFLEGFRVVLPLQPRDGRRGATATFPIKLLYTSTMPVVLHSALVSFLYMVSQLVYYSRYGGGVVARLLGSWKETSYAAVPVGGGLAYYVTPPSGLAHVAADPLHALFYAALLLTSCALLSQAWVEASGSSAKDVARQLTDQRLAVPGARDGAVYSQLKRYIPTAAALGGLCVGALTVLADVTGAIGSGTGILLAATVVYNLVDNFKTESRAA >Et_2B_021409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29494198:29495918:1 gene:Et_2B_021409 transcript:Et_2B_021409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQSTKVASQATPPPPAMQQESPQKLPQKHVGFADSSQAAIPPPTSVNIVSGAGSPLMTTGATHSVLTEEIPSCSTSPSTANGNHLLQPVLGRNKQCGMMNNEKVPQSNAPMSIPCSLEAIMAPARLTKESPKLNSSVKQSLMTSKPPNAGTGPQNFVNGVPPTDYLETASSATSVWLSQTDGLLHQGFPMSNFNQQQMFKDAPPETEIQGADASNHALFGINSDGQLGFPMGADGFLSNGIDAAKYENHISTDIDGNYRIPKDAQQEISSSMVSQSIGASDMAFNSIDSAINDGAFRTSWPPAAPLKRMRTFTKVYKRGAVGRSIDISQFSGYDELKHALARMEFVNCVKCIRILSPQEVQQMSLDGDLGNNILPNQACSSSDGGNAWRARCDQNSGNPSTGSYDQFE >Et_5A_042896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6477783:6478458:-1 gene:Et_5A_042896 transcript:Et_5A_042896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRAAVLAAAARAPAELCQRAPRPGRRRLRADEVLCALFLPPARELGRLADFLFAFFCLPLPEYYYATLKVHRKKKRLKG >Et_2B_021777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5372241:5379534:1 gene:Et_2B_021777 transcript:Et_2B_021777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTTAMRTEPVAAAFAAKKRRTETHEGRELTTTLLLAPEEPLSLTDAFGLSSEPKLAHGQELLSRAERKEEDYVDLLPDEIVQDIISLLPTKDAARTRILATRWKCLWPSAPLNLDGSRGGLPEEERVQASLITRILAAHRGPGRRFSVPTLHLQRRLATVDDWLNSAALDNLQELEFYLGYVMYYFGQLPASAFRSSATLRIVTISKCHISDVAVERLRFPQLRRLGLVEVMISEGSLQSIIDDSPVLECLLLYCSSGFHSIRINSSSLVSIGLYNHLQSVNVIVEDAPLLERLLQLEDNMGMHVSVISAPRLETLGRISDHGFETKYTFGTAILQDLEVVSFTTAVRTVKTLAISIGRLSLDTVINLMRCFPCLEKLYILISRTVSGGQNLWRRKHSHLVRSLDIRLKTVVLKHYRGIKSQVNFATFFVLNAKMLELMRFEGRKCNDSQFIAKQHSLLELAKRASKDAQFHFTKSRICIPHVRHVSDLSKTDPFECERKKEDDVDCISLLPDGVLEDIISLLPTKDAARTRTFAT >Et_3A_026283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6397642:6400283:-1 gene:Et_3A_026283 transcript:Et_3A_026283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVDGGRATVDRRQEQRHIGTAAHLAAGGFAGAVSKTCTAPLARLTILFQVAGMHSDIAALRKYSIWHEASRIVREEGFGAFWKGNLVTIVHRLPYSAISFYSYERYKNLLQMIPGLDRDSNYVGVVRLLGGGLAGVTAASATYPLDVVRTCLATQKTTRYYKGIFHAVSTICRDEGIKGLYKGLGATLLGVGPGIAISFSVYESLRSHWQMESEIFQKEGLRGFYRGIVPEYLKVVPSVGIAFMTYETLKNLLSSMDTNDEC >Et_3A_026641.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:11215120:11215902:-1 gene:Et_3A_026641 transcript:Et_3A_026641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADDGGGGNKPRPAATNPQAPCDEVPGRPGWIEVLPNRDRPRIIGRQQQQQVGEPEAYAVAGKLLLAAAGALAGVLLALVALYLYNSARRRRLGGVGGGGGRRLDRSLAIIAGSSGDDRDGGAAPSPRGLDPAVLRALPVVAAGAGAGDCAVCLAELDPEEKARALPRCGHRFHAECIDAWFRGNATCPLCRADVVAPADEATPSASAPPEVRVDVAGLEGAAAAPPAVAKAPAMGRLASGTDLDKTRRVFASTRSVSF >Et_1A_007357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33740926:33745449:-1 gene:Et_1A_007357 transcript:Et_1A_007357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWIRTMDNVAILELLLRGVLSMAIKMKGIFKGLKIISQMFVHKEHEMEIGYPTDVKHVAHIGLGTSDTSPSWMNEFKATEDSSLSTAGQSRQTSWASADFEQPRSMLPVEIFTDNRPSQEPSSGPDAPRGARKVKRRKNRASSPTSSARSSSSRSRASFATAYDAFSESRRGFRVA >Et_9A_063013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8137759:8138637:1 gene:Et_9A_063013 transcript:Et_9A_063013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIALVQAPPSTPPPLSSTSLVMELAGNVVTTRRPASSHLEQLQQTLSIEAVLHSPPHHKEAPMDSTGTGAGSKPKKGATGRKAGGPRKKVVLCSVNADLVFPIFRIGR >Et_3B_027542.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26554922:26559262:1 gene:Et_3B_027542 transcript:Et_3B_027542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEARLTLCDQNAVDGKAPTLSEQEGAAGQNTDTHDQGAVGGHSSPNLTSDVIANANGPIDAIPISTYMSTQPNVIALDDDDDDEPYTPNQTSASGRKIKRPSRLSGYKISDGLESGSFNVPRTKRSKPCHKKSVADNELACLPPSGDPREIVEVILMTFEALRRRHLQLDKTQDTSKRADLRAGTIMLARNLRANTGKRIGVVPGVEVGDIFYFRMELCVIGLHAPSMAGIDYMTTKFGNEDDSIAICIVAAGGYDNSDDDTDVLIYSGSGGNGKNIEEMRDQKLERGNLALERSLSRKNVIRVVRGYKDPGCLTGKVYIYDGLYRIHESWKEKTKTGITCFKYKLLREPGQPDGVAIWKMTQKWVENPTTRGSVLHPDLSSGMENLPVVLVNDVDSEKRPGHFTYTTEVKYLKPLSSMKQLQGCRCLSVCLPGDTNCGCAQHNGGNLPYSSSGLLVCRRPMVYECGESCQCSFNCRNRVTQKGFRIHFEVFKTGNRGWGLRSWDPIRAGSFICEYVGEVIDNAKFLNDNEDDYLFQTLCPGEKTLKWNHGPELIGEKGTDISPDTFEPLPIKISAKKMGNISRFMNHSCAPNVLWQPVQFDHGDDHHPHIMFFALKHIPPMTELTYDYGDIGASSSGVHSPKAKNCLCGSSNCRSFFI >Et_1B_012393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31545665:31550685:1 gene:Et_1B_012393 transcript:Et_1B_012393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSKQGQASMSTNMGPQPRPSSNVQSNQPEYPSMFYSSLPGDWGAQSMFSMGASVPVSSYYIVPMSQQSVQTGASRPEASRPLGAQPLVSRVSLRPPQQVLNIQTSFPTMVGSQPSPSTAGKRSQQAVASPKVQMLKSSPLTANKRSAQKEIPSKVQPQQFESVRSKFRESLAAALKTDSDQQNKSQASENVQPDGSAEKMKPEGGDAVQDPVSTTSKDVSTTSSVPDTTVDAKKCEEDEKLSSDLVPNMITNINGDMQHQSSHVSSEDELLGQCMVAADELLQESESKKIKSSNEAAIDKDVISQKAESLAFRIEVELFKLFGGVNKKYKERGRSLLFNLKDKSNPELRERVLSGDIAPERLCSMTPEELASKELSQWRLAKAEELAQMVVLPNTELDVRRLVRKTHKGEYQVEVEEPDGISVEVELGGNLSNIPSKSVEDETKSKDKTSTEGMVSVQEKRKTSDSSSQDEDGGTGNNDLPSDPPDYIDGEKADLMQELILDDTKDPENLPPIPSLDEFMQGLDSEPPFVDLSVGSPQQEDKDLEEPDTTLESEELLEAEDKASAPEKAAFELDKSSPEIKSDPNLVSPGHEEGRNSDLTEAREGADAIKSSPKKDEVKQINDNAVNTDSVLPSKAATLPVIRESIWEGAIQLTLSSLSNVVAIFKSGEKPPLKEWRSFVEIKGRVKLGAFQQFVEQLPKSRSRAIMITELCWKEGSPESGRQHLLQTIDSYISDERVGLAEPADGIELYLCPSQGKAVEILSRHLPKEHLESLAVERSSFIGVVVWRRPNVPRLPSHHRHDVSKRQSILKRPQVNNSIPRPSLPLNSYGAPPGFPNQRHQHEEDVTDDVPPGFGPGVARDEDDLPEFNFVNSSNPAANVTAHAYKGRLRVPSARPADQMRELVQKYGKRSSVQARSWDDDDDIPEWNPNQATHQPIRQPLLPPAPQQQPLPPPPPVQQMHPYHHPQQYISPNTLQPQVPISPALPQAYLRAQQLPAQQQQPQPAQAWQQSNTWWPAQGVAATAPAANIVQHSQYGAVPGNSSVQGYDSGSVGGMSWRPR >Et_2A_015164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33867680:33868741:-1 gene:Et_2A_015164 transcript:Et_2A_015164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTAPDRRNLSASGEASWREEAVSAGSLRQVDLDRGANGWASPPGDLFHLRARGYFSGGGGKRAKAPSSPEWLLRPAGVDWLRSHSRLDHVLARDDNPVAAAFRRARLRKDPTAHFLLAINLQVPGRPDAYSAVFYFAAEAPIPPDSLLGRFVHGDDAYRNARFKIVNRIVKGPWLVRATVGNYAACLLGRALTCRYHKGEDYLEIDVDIGSSAIASAILSLALGAVTSVTIDMGFLVESQSEEELPEKLFGAVRIAQMEMGSAKYVEPPADEAMSETAGRAGAGFRVGSAKVANHSRQQEHTGSKVSRSMSCQERQNAG >Et_8B_059840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3189261:3191559:-1 gene:Et_8B_059840 transcript:Et_8B_059840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPYMSLFKNPYYYYTSSFPAAPPAAHHLPPSLPPYTSLYPAAAAAPHHHYPAAFFHPPPATLPPLDDSPPSPPLRQALPLLSSSPTRRCATRRNVEAADSDSDDDADDFVREAAGGTPTARAPLFADLNCMPTCCDDGGGDPMDVEAGAASTDDAAVALHIGLPTTETDLLSGLSGRAIGVDAEEEEECKVDTGAGDGGDEVVPLGFASTPIGRLNKGQYWIPTPAQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGVQPTAMLRLPCYCCAPGCRNNIDHPRSRPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHGHGAFGCNVDGADGLEDDDEGAVSEIEQDCAAGSCRSAR >Et_1B_014232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3966229:3969269:-1 gene:Et_1B_014232 transcript:Et_1B_014232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLHPYPPELPPSHGTPAPYQPARLKWALSRRRRRRHLLRCVVVSAATLQRELLMLPSTRMAPNPGVANPLDLFDRMPERSDGAANLFDETPPTRGKGAAGRDSPDGAPKSGEKSRSAAVVALAHAGRHAEVVELFCRMQTEGVPVSRFVLPSVFRACSILRDSRMLQAVHGLVIKCALHQHVIVGTALVDGYVDFGLVNDARKAFDEISEPNVVSWSVIIGSYASSSRWNEVWDAFCAMQRASVLPNVSVIVMAIQACGALGSLVRGKQMHTIATVLGFERNATVWNCLIDMYGKCGSMDSSRRIFDSTTCRNQVSWNTIISSYVRFGLCEEALYMIVQMQESGFTVDRFTLGSGVAACAHLGDINSGRAFHGYLIRRALDTDVIRGSALVDMYGKCGNMELARLAFYRMDERNYVSWDALLSGYVENGLVEAALDTFRQMESANIKPNQHTFANLLRMCGDRRYKEYGRQIHGHAIKVINQMNIVLETELIDMYAKCGCAEVSQILFLRMNERNLISWNTLLSGSVRDGQPLVTINIYRQMELASLRSESETSQKLHLITFESSINAIFSK >Et_1A_008472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:818936:826517:-1 gene:Et_1A_008472 transcript:Et_1A_008472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSAAVARLRDMAPAPGAEPSAAGAAALAECCGGLLRPGGGDAEAVRSALDALCAAGVDAMRRNADALAPLVVGRLGDGDAAVREAARRFLVLLMEMKEANARMENTEPNTSISDDQHDQCATIEMESSDTSQVRKSSKEKIITRHMSLSTDEGDITRNSIEPIKVFSEKDLLREIEKVVSTLQADNEWSIRISAMQRVECLVLGGAADYSAFPMLLKQLVTPLITQLLDRRSSVVKQACHLLSFLSTELLRDFEPCAELLIPVLLKNVVITILVIAEPADNCIKEMLRNCKVARLLPRIIEFAKNDRSAVLRARCCEYAILMLEYWVDTPEIQRSADLYEDLIKCCIADATSEVRSSARACYRMFSKIWPERSHHLFSSFEPSRQKMINDEDAETHQRHLPPVEKVKLRQPQPSSCIPAVMDKVVKVDSGTSFSSGDLQPLQKPCLQYDEMTSKVPGEGSKDDSSATGSSLEDPSILGKEQNKDTVIEKCDAGIYSSDCDLPSATPLATEPLSEMSLPDATVVTIVHDKAECKPNIEEKSQQIQASEDPSELRTMSPNINMKGSGRLVNQSPVKVNSDASSLGPQQVGKHSVSTHKKTVVSKGPRNSYIPNFRRPLLSKQMTNWFYASTKRDLDEKQLILGEMVSNMDVPSSLTEALSLGLNPRSDWMMRVYAFNFLRQCLVERGPKAMQEVAQNFEKVMRLVCRYLDDPHHKVAQACLSSLTEIMPAFKKPFEHYLDKTLSHIFSRLNDPKESIKQQCLEILKLASEIYSIDSLLPAFLRSLDEQKSPKSKLSVLEFANASFVKCTVNSECYSSSSFLKPWLGKLALLFKDKNKKLKEVAIVGFSSIYSHYDPASVLSFLVSMSMEEQKRLRRAMKQLIPTMESDLEDFLKQRRHMQKTPSFDPFTAKSPLHPAYQSAKSPLHPAYHSALQCLPHISLELQECHTGKIEPESSNESYGHKAEMIDKKSSTMSSRNGLPPGSDHSVVSQNKVRSASRDPRNIKRFDEPNASEPNITFRNNDVMRNNCQDHENLHQMSSSLLDMLDDPDEPTRELALSLLVEVLEKHKKAMENCIETLIVKLLHATKDAALKVVNQAHICLTTVVTQFDPLRCLQAIASQLACQDEKILLICINSLSKLVIRLPQENLMAQLSTFLPALLDAFENHSPYVRKAVMVCLVDAYLKLGPSFLPYLESLDSAQLQLVTAYANRLSQARGIAVDG >Et_1A_004508.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:11094049:11094657:1 gene:Et_1A_004508 transcript:Et_1A_004508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSPPSSSRASACCCLCGAGPPSRSSFSTPPPCPSCCTPPLSPPSARSRGCLFGGRGTVLARLLGTWNGAVPVGGLAYYVTPPSGLLHAAGPFHALAYAALLLTSCALLSQAWATASGLSARDVAMQLEDQRLTVPGTRHHDVIRWLLHRYIPTAAALGGLCVGALTILADTTGALGSGTGILLAATVVYILVDNFHDENY >Et_2A_017821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6658435:6669141:-1 gene:Et_2A_017821 transcript:Et_2A_017821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARRIAAMSVVLLVLMASMSTLGAARPLGGDAWAPASEAISSDGVVLVHLLRQMYLQQLGAGPSCGTNSSNVVMTTSKKFVEAVILTAIVMAFLVASSSARPLGGDGWVTGEAVSSGEHILQLLRRFYMQQLQTGPGPSCQTNSPNGGCPAPPSNAVVPVLLILFVILSCSWTSQPAAATRPMADGGRWGEQGQQVGVGSVIVLPSTWRLRHKLPPPEMKQGPSCSTWDPNNPCPTQARPIVAVLVILLVLVAFMAISGAARPLGGDMWAPAREAVSSDGVVHLLRQMYLQKLGAGPSCGTNSSNVSKKFVRAVMLTAIVMAFVVASSSARPLGADGWGEKSESVLSGEHILQLLRRMYLQQLGAGPSCQTNSSNGGCPSPSAEVSYH >Et_8A_057556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4451325:4463415:1 gene:Et_8A_057556 transcript:Et_8A_057556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALLMGCTSKRATRIPDGAFHHSSPEAPVAEMLEDSEHSKEDILKRRLEVTSTEVDLQEAGTVVDDGNHKERSTEHRISFCHIKKKNILLRTPPNARRRLRLVCKHWRDIIDERLPEPRDCAKVLLFVSDPRPGARNRDRVHAYVLDDLRERRAIRELGLQGCGEGFDVSMVGSCNGLICLRRDYGGDLVVVNPETREKLSIPPATSASSCRQVYTYSGVDVYAPATGFPRKDNSQPWPPSPQHRPAGRLLPTSAAAARGGLQSRQFRIDYSFAFHPETGQYKIVHVLAPEAGVFDAVHVFTLGGGAASWREKPAGARIQRPSRVRPRQRRLRHALGQQGRPSGGVDLKDERVSFVKKRPVPLQLTNVPTARLADPEGWASPSAYTTGRLRPRPRSCAASHRAPGAGRLRLVCRHWRQVIDERLPAPRACAKVLALVREPWGSDSHASASARNNAYVLDAAGLQEGREVRELDLLGFADGAGAGIVGSCNGLICLRRARGDLAVVNPATREKLVVPPATRRSSQAAAAAYSFAFHPETGLYKIVHVPGAGPGGRPFDAVRVFTLGDDASWRATPAIGYNGRHEFGVASVGGATYWVSRDATLVVAFDLKDERVAFLKKLPVPVPLTALQVSTFHLADMPGGWASRSADARRLRPRPRNSFDLCAQVWVLEVEREDQTWIRQYVIDDGQFVTNSMYNKIPSPHFVHGERLLTQRWDPMERKTTLYAHGPRKEKFPRCEVLRVRDREQRTAVAKFDGHMYDRVPTFCHVETTEPAGTPVETARDNITMDEGWDGAIQPDALVEILLRIPPSARRRLRLVCRHWRDVADERLPARQRVQSKVLAHITDQHYPCPDPLAYVFDDVTEGRSRELDLQGIEAGSDVYMAGTCNGLICLHWYMEYKKIALFNPVTGEKLVVKPPRCLAWQYCRCSFTYHPATGLYKIVLVAYEQFDAVEVFTLGGASWRKVHSPGSGGCVPFVLVSIHGVMYWVTKDDAMSVMSLDLKDERIAFVTTLPVPVALPVWVLEDGREEKPTWVRRHTVLLDQIATMHEMALPLVVYGEHLLTRLWDFPRSTTILYAHQPSEENMLTCGVERTHKNPGTVVGEYECLTVNTFAYVETTEPLLIYAAMDVESRNIPDDAFMEVLMRLPRSSRRRLRLVCRRWREVIDEMTPEMNKSRAVPLAFVINDEKSSAHLVGAGGRPGEAVWTANAKRRPDEKRWTYWGYDRGAYCYFDTVMVGTCNGLLCLCSNTKRGGAIALANPATGEALAVPRLPGSKLWDKNLTSRWSEAYSFAYHPTTRRYKVVHVPCYFDRTGQFDAVRVFTLGDAASWRDVPVPDGARCCCLKFGIVSVDGATHWVNKDTERVVSLDLHDERVASTVPLPAASRPGCERYLAEVRGRLGVVDVASPATTQVWVLGDDGTWSRRYSVQVHKVPQVLARPHFAHGQHVLTRTTSKGNVTVYGHKLQSDSGKVQCREVRISEQRMGLALANMKSHRVQIFNYVETTEPLSPTAAPLPHMDKGSDFPTDAFAEILLRLPPSSRRRLRLVCRHWREVIDERAPEKRSRPKTLVFVSENYSLSAYVIDDLPEGPCRKVWTDGVVPGTDREMEPVGTCNGLLCLYEYDKVKPSGAISLVNPVTGETLTVPRLPLSGADIPTYYWRYQAYSFGYVPTTGLYKILHFPCSLDNAAQFIVVQVLTLGEASWRNIPAPAGASCCLGSGIVVVDGTAHWLTKDTAVRLASFDLADESFASTTAPLPVPTGPGYTTRLTQVRGRLGVTSSANKAMPATKIEVWVVGDGGRKDQLGWSCRYRVQVHGVRRYLPRPNFAHGDYVLTNEYKNYTHLVVFGHTQSGAGRLQCHDVRISERKPAGTEVACIKGYLSGMFAYIETTEPLGSVIVSSSWKLLFHYSSAEEAELLACREGLLLGHQWSNKPAVLETDSASCVVAIKWEGGGRSAMAGILADIKALRRDFGQLKIIKIKRDSNASAHELAKFAQRDQLGNLHS >Et_8A_056981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19842311:19849667:1 gene:Et_8A_056981 transcript:Et_8A_056981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVKITEESRVAVPATAALPPEPIRLSALDAEWLALPLIQRVLIFADGDGAGGIVRPFASVVAALRASLADTVARFPSLAGRIVHLPETGDAAIDCSDIGVRFLVAEAGDVDAARLAGDEDHDSEAFALLVPALDADRLPAETMAAQVTQLQGGVALGVAMHHAVVDGRSVWRFLQAWAAACRGEGDAGVSPTFDRAALKLPGGEELARSVLRKYTPNLPVAAMDGYLAHPDLSRRTFTVTAHQLHRLKQRIAERSPPPSAAPSSFVAVVALAWVSFVRAKHAAGLISPEDEVTLFFFADCRARLDPPPGDGYFGTCISGCLARATARDLLAEDDAGGVARAAKAVAEEVRRAAEEPLALWDWLGLVGRADLARLVNVSGATRFPAYEAADFGWGPPARTELVSMSHDGQVVLVAGKGGAGGVQVSVSLNPAHMDAFKSFVIIAEGGDAVDASRLAGDEDRDSDAFARLILEEARVAVPATATLRPEPLRLSALDAQWVTLPLIQRVLIFVDGDGSHRAVPPFASVVAALRASLAETAARFPTLAAKLVHQPATGDVAIDCSDGGGVSRLAGDAEAFARLVPALDAGELPAETMAAQVTRLRGGVALGVAMHHAVVDGRSVWRFLQAWADAAPPKFDRAAVKLPGGEELARSVLRKYAPDLPVVRSSLPSRIFVSRMCFLRWSRTAAVAGFNRQRMQAAVAGFVIRPNLSRWTFTITPQQMHRLKQRIAERSPPSSAAPSSFVAVVALAWASFVRAKHAAGLISADDEVYLFFFADCRARLDPPPGDTYFGTCISGCLATAAARDLLAGDGWEWMDTVSRRADMDRLVNVAGSTRFPAYEATDFGWGPPARTELVTMNHDGRWCSSPGRAAWCRRPCRCTRRTWTRTSRTSSATWVESYGCMCADQIIQTVLFMQIITNCSVS >Et_1B_011127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17870516:17872983:-1 gene:Et_1B_011127 transcript:Et_1B_011127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLPIPLRSLLSPAPAAATRRATPPHLLFGRRSPLAGALLFLSLGAFAGCALSHRRVPFFRVRSLSSIRMESASNTVPSIVVYVTVPNKEAGKKLAGSIISEKLAACVNIVPGIESVYWWEGKVQSDAEELLIIKTRESLLDALTEHVKANHEYDVPEVIALPIKGGNHKYLEWLKNSTREN >Et_7A_050812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11166736:11170673:-1 gene:Et_7A_050812 transcript:Et_7A_050812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAAATSGDAPPPSPRELYTIPASSGWFRWDAIHETERQAMPEFFGGAGGAGFGTATRNPRIYREYRDFIIGKYREDPARRLTFTEVRRALVGDVTLLRKLFAFLDESGLINFSATSSRPGGQQEAGVVVEAPVGLQVMPRPPASYFAEEKKGGGGESGFRLPPLTSYNDVFGEWAPGKAPICGFCGEDCKDGEFETLEDGFKVCLKCSKTNNDNNKENVTECPSDKKGGADSHASVGWTDAETLLLLEGVLKHGDDWDLIAQHVRTKNKSECIARLIQLPFGEHMLGTISGKSVNRLHVNQTTDGKLNQQVVKESSSQSTEMVDGMQIDVKEDSADKSADEHPTKRRRLFSSVDAANSLMEQLALLTTATSPDVLAAAADAAIKALGNENPQARKAFRLSEKEYKNKALPSNHVQKMQDKKFIATAYQVRAAVATAIGVVAARAKMLADQEEREMELLMASIIETHLRKIQYKIKHFEELDSIMDQEYANIQEIKGSLINEWLKVLGQAFQAGVSLPRDEVLMKLFLNKPTT >Et_8A_058063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1759002:1764065:-1 gene:Et_8A_058063 transcript:Et_8A_058063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPRAPLFLLLLAAAGWAAAAAGDGCSDGCELALGSFYISPNQNVTNIASLFGIANYRTLADYNRNIPNLDFIAAGARVNVNFRCDCLSLPNQPDRKYLAGSIPHQVSGGGTYTAIADNYNNLTTADWLKATNSYPENNFPANATVNVTVNCSCGDPKISKAYGLFLTYPLRGSDTLAAVAANYSFSSPDQMALLRKYNPGMDGVTGSGIVYIPVQDPSGSYHPLKSSGRRKAKKAALLPSSDDSTQLATTASGDKVPLSTSQADSASAVPGITVDKSVEFSYEELSNATEGFSLSNKIGQGGFGAVYYAELRGEKAAIKKMDMQATHEFLAELKVRLIGYCIESSLFLVYEFIENGNLSQHLRGTGHEPLSWASRVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNYRGKVADFGLAKLTEVGNTSLPTRGIVGTFGYMPPEYARYGDVSPKVDVYAFGVVMYELISAKEAIVRSAESVSDSKGLVYLFEEALSRPDPKEGLHELIDPRLGEDYPIDSILKMTHLARACTQEDPKLRPTMRSVVVALMTLSSTSEFWDMNSLHDNQALVNLMSGR >Et_3B_030092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30420953:30421820:1 gene:Et_3B_030092 transcript:Et_3B_030092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGCPTVTTSSLLLFFLLSCLLINHALCNQGHHGRTSGSPTLIQTLLVFSLSLHETKQYPQEELPERYIVLQETVKVLNKNKVPRYARRMLIGSTAPICTYNECRGCRFKCTAEQIPVDANDPMNSAYHYKCVCHR >Et_10B_003677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4760672:4762836:-1 gene:Et_10B_003677 transcript:Et_10B_003677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSNVVAPLLDIDESSGASEELLRREPVPLGMLVRLAAWEAGNLWRISWASILITLFSFMLSLVSQMFVGHLGELELAGASITNIGIQGLAYGVMIGMASAVQTVCSQAYGARHYRAMGVVCQRALVLQLATAIPIAFLYWYAGPILRLIGQEADVAAAGQLYARGLVPQLLAFALFCPMQRFLQAQNIVNPVAYITLAVLIFHTFASWLSVFVLGLGLLGAALTLSFSWWVLVVLTWVYIIWSPACEETWTGLSFLAFRGLWGYAKLAFASAVMLALEIWYVQGFVLLTGFLPNSEIALDSLSICINYWNWDFQIMLGLSYAASIRVGNELGAGHPKVARFSVIVVVMASIAFSILVTLLVIILRYPLSTLYTSSTRIIEAVISMMPLLAISIFLNGIQPILSGVAIGSGWQAIVAYVNVGAYYLIGLPIGCVLGYKTSLGVAGIWWGLIIGVSLQTIALIVITARTNWDKEVEKATQRLHHTGVVPEVDDIIA >Et_3A_023325.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:20872010:20872255:-1 gene:Et_3A_023325 transcript:Et_3A_023325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRPPRARARAGRRGRRSRTWSVRTPAGTARTSCCWLVRAPTSWGTRTTVPRTGRGTSRTCRRALPPSASRGSGIRTGRC >Et_6B_048622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11701931:11705232:-1 gene:Et_6B_048622 transcript:Et_6B_048622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYTTALTTLFSYGLLFAFGQLRDFFRKLIDWFKAKNVKGYAPICLGLEDFYVRRLYLRIQDCFGRPIASAPDSWFDVVERYSNDNNKTLQRTSNTTRCLNLGSYNYLGFAAADEYCTPRVIESLKKYSPSTCSVRVDGGTTKLHTELEELAARFVGKPAAILFGMGYVTNSAIIPCLIGKGGLIISDSLNHNSIVNGARGSAPAHLEDVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAVGQSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIQHLKLSCPAHLYATSMSPPAVQQVISAIKVILGEDGSNRGAQKLARIRENSNFFRSELKKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQKVISRVGDLVGIKYFPAEPPKIAEAGHGKLE >Et_6A_046558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1705683:1706068:-1 gene:Et_6A_046558 transcript:Et_6A_046558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMRMNPEAKVVEDCLQASCCAYVCIRNGKTLLRIDEGQGVQYWCHSFAEVINLLCQLKCPGCDG >Et_3B_029403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24720974:24723105:-1 gene:Et_3B_029403 transcript:Et_3B_029403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADELRHDLEELRRLEGLAKRPRVQSLLANEIRNVDAKLVKATASAPAPAPQAAAPAPAAAAAAGLSYVTLGSFSWDQDNEKIRIYVFLEGVEQEKVETTFKPTSVDIKFHDVNGKNYRCAIPKLNKEIVPEKCKVVVKPTKVVITLVKASKGNWLDLHFKEDKFKPSMDKEKDPMSGIMDLMKNMYEEGDEDMKRTIAKAWSDARSGKAADPMKGLP >Et_7A_050681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10002018:10007634:-1 gene:Et_7A_050681 transcript:Et_7A_050681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPATPRWNLERPYLTGRFHQEAKAAATQQGPGSKSFSLDSYSRGAGAGSGSVIGSYAVSVQELFVIDDLLSALVGIEGRYISIKRVRGKEGYVVFQVDSSMDLALQELTRRIFPLCENFVLVSQFVESRSHFKNGLVNHALAAALRAFLLDYQAMVAQLEHQFRLGRLSVQGLWFFCQRMMSSLNALAVLVEKATSNNTSGSATLNLLQSQAKTMGGDSAVRSLLEKMTESASGAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKDGIPSFLTNVAATILTTGKYLNVMRECGHNVQVSLSESSKLMSFGSNHQYLECIKSAYDFASGELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEEISAEKLQSLLDIALRSTAAASDPSHEDLTCCVERSSLLKKLTTLKDLDCAYPSDKVAAADVDQTMQLSITGLETFYLSYKVQWPLSLVISRKALTKYQLIFRLLFHCKHVSRQLCTAWQIQQVFRPVKILGTPVLRSSILCGNMLKFVNSLLHYLTFEVLEPNWHLMHDRLQSARSIDEVIQIHDFFLQKCLKECLLLLPELLMKVEKLKALCLQYATSIQLLIPSIEVANPDNTSKPGKSRSKINKSQEKDQQLKLASENVVMSESILKFEAAFNSELQSLVPTLSNSSQAEPFLTHLAQCIHGMRLDQ >Et_2B_019703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12651358:12654863:-1 gene:Et_2B_019703 transcript:Et_2B_019703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLPHLGKLRREVKEEVVDAEGASAAAEASPFHKRSRLAHQQQPLQQWSRGGSRVSHEQSSQHEFLDEPSPLGLRLKKSPSLVDLIQMKLAQASKATDARQGSNMAASEKLKASNFPGSVLRIGTWEWVSRYEGDLVAKCYFAKHKLVWEVLDGGLKSKIEIQWSDICGIKMFCPENETGTLEIALSRQPLFFRETNPQPRKHTLWQATSDFTGGQASLHRVHFLQCPPGLMNKHVEKLVHCDPRLYALSQQNDITLENPYFESKCSIFEDPEDVKCQTFEHKDGNQLGTRRPNASSSPLSAVASTDSEARQQVSVSDILPGQFPSSVASTDMIKLDAAVAQCEPQPSGFNWNGIRVPGIKRTMSRSEIVNHIGHHMFRQMYPANLPSDDASVKPTFDELTRYLLSDSQMTENGDSTNCRLSFDELTRQLLNESQITDGADEKMLMSRVNSLCCLIQRDSGLNPAFATPGISGVNEVYERKPENNGPHGHEEGGKGSLPTRQESFGDLLSNLPRISSFPHFL >Et_3A_024737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23717648:23726010:-1 gene:Et_3A_024737 transcript:Et_3A_024737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGQEPVLFNDTIRANIIYGKYGHVTEEEVIAVAKAANAHDFISSLPQRYDTMVGEKGIQLSGGQKQRVAIARAIIKDPKILLLDEATSALGAESERIVQDALDQVMVSRTTIVVAHRLSTIKGADMIAVLKEGKIVEKGRHETLMRIMDGAYSSLVELRSNSDTRAGRDHAADGDKKVAAMKVPLLGMFRYADRLDVLLMVVGTVGAVANGVSEPLVTLLFGNVINSFGESTAESILRSVSKVVLDFVYLGIGSAVVSFLQVSCWTMAGQRQSARIRSLYLNAVLRQDIAFFDTELTTGQAVSRMSSDTLLVQDALGEKAGKLLQLSSSFLGGFIVAFTRGWLLTLVMLTSLPLIAIAAAVSAQVLTNVSSKKLTSYGDAGDTVEQTIGSIRTVVSFNGQNKAVAMYNNLIKKAYRTDIEEGLINGFGMGSVLCIFFCSYGLAFWYGGKLIVDKGYTGGKIITVLFAALTGNATPSISAVAEGQSAAYRLFETIERKPDIDSGDTSGMVLEDVKGDVELNDVHFRYPARPDQVILDGLSLQVASGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKNLKLNWIRGKIGLVSQEPLLFMTSIKDNIMYGKEDATLEEIKRAAELANAANFIDKLPHGYDTLVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERIVQEALNRIMVERTTLIVAHRLSTVRNVDCITVVRKGKIVEQGPHDALVKDPNGDYSQLIRLQETRADERRKLPDNGGLDPRSKSNSLSLRQSITKDSFGNSNRYSFNNPLGLSKAPIGRLFRLNMPELPVLLLGSIAASVHGVIFPLFGILMSGVIKSFYEPPHKLQKDTSFWALISVVLGVAILISIPAEYFLFAVAGGKLIQRIRTLSFQSIVRQEVSWFDNPSNSSGALGTRLSVDALNVRRLVGDNLALIVQSIASLTTGFVIAFAADWRLALIITCVIPLVGAQGYAQVKFLKGFSEEAKEMYEDASQVATDAVSSIRTVASFCAEKRVVTSYNEKCEALRKQGIRSGIVGGLGYGFSFLVLYLTYGLCFYVGAQFVRQGKTTFPDVFKVFFALVLAAVGVSQASALASDATKARDSAISIFSILDQKSKIDSSSDDGMAPENVTGNIDFNHVSFKYPSRPDVQIFSGFTLHIPSGKTVALVGESGSGKSTIISLLERFYDPDSGIISLDGYELKSLKISWLRDQMGLVGQEPVLFNDTIRANIIYGKYGQVTEEEVIDVAKAANAHDFISSLPQGYDTLVGEKGIQLSGGQKQRVAIARAIIKDPKILLLDEATSALDAESERIVQDALDRVMVSRTTIVVAHRLSTIKGADIIAVLKEGKIVEKGRHEALMRIKGGAYSSLVELRSNSE >Et_9A_061961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18373532:18375847:-1 gene:Et_9A_061961 transcript:Et_9A_061961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKKSPPATAAAGVGAGQAATSGYFNAYFPASPAGNAKDAKPADLMAMLNKQSSRGQNGSGIAGGKSQGRTTYKDGKHESSESPYFGSSVHYGGRDFYNSSPQKQTTEPPRNDDSDGSATRGDWWQDIEKSYYLEAGTTSVLSVEAVFRCIISPVQT >Et_3B_028434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15391481:15405272:1 gene:Et_3B_028434 transcript:Et_3B_028434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDDGEKETKRRGRRHGRSSKRSRDASPSTASSDSDSSASPSHDSSPSRSPELRSRSRSSSSKRRKSSSSHRSRRSHKSSGRSRSSRDEDRRSRRRRRRDADSSSGSESEEPDRAEEAREIVRDILREFPAVASELRQLMAFGNMQLLQMIDSGEGIDISGISDKPLVKRLKKLFRSLRLKESSSGAYLLPQKNVPTLDIVGPVLLGSSKLEDNKKENSVSPNRDELPSSNIDMILLLKGQRVIGPAMPSRELLAAAAEMTEALRSRDAELEADDDLLIGPPPPAVVAEAASANEAERFEEVTRIMGADTNSPYDVLGVNWKMSTENMKKRYWKLSLLVHPDKCPHPSAQEAFVKLNNAFKDLQDPDKRGAIDDKIKKKEEMEQFEIELKAMREAAEWRRLQGVSLEGDEELLAGPKQPPKRDEWMTTLPPERKAGVPMHSTKSFSMHGKEGRGDTSAWTDSPLDRAQKAQQSYLEAYNKTKAIAEGEDMKSKNPDASIVDKYNTSKRSVSLVQKHRESKKEKKKQKKGDKEEWEGNHPWKPWDREKDLSAGRQNVNLDPENMSQGLSSRFSSGAVQRNFL >Et_4B_036007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12482506:12483029:-1 gene:Et_4B_036007 transcript:Et_4B_036007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAPLRGVKSMAFDGEKLTVIGDVDVVRVAKKLKKAMFSPVVLSVGPEKEEKKPDPPKKPEEKKPEEKKPPCCPGCSCGCRTPPVCLPTPPPVCPPPPVARWPGKVVYCEEQPPECIIL >Et_5B_043419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10186429:10190333:1 gene:Et_5B_043419 transcript:Et_5B_043419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIASEFTKQIISSLVHLATNEIASVLCVKNEISRLTRKLQSMEAIISDAEKTVKQYETTKDWLKKLKEVTYEAENIIDRCRIEKERLQKSQPQECNPSSVFKCCRDFGIDYKIASDIRELNQKLDDIELESAMLHLKPLNPMPEDQTKLDLDVGPDLEPDIMGREVENDSDSLIELLTREDIPNRPLFAIIGTIGVGKTTLARKVYHKAAALFETSVWVHFSKDLRHLAMWSGDRFSEGETAGQQVQLRAWLQGNKFLLVIDDVRKNVWDRLLEIQAQHGKPGSRVLLTTRDARVARRMGAVHLHRVKGLNEDDGWWLLRTRAFLDESTGDMQDIGRRIVQKCSGLPMAIRAIGCLLRNVEPKEDDWERIYCSDFCGISSRIRNCINTSYLELPYYLKRCFLYCSLYPEGSVIDRQRITQQWIAEGFIMPQQNTTQQEDEAGNCYEELIGRGLLLQENDAFGAEGSKMPHLFRSFALLQSQDENFTGNPQDIGDMLKPYRISITGGGVETIRNGIKKLRRLRTIILSGSLLNNRALSDIFQKFMHLRVLDLQDTQIECVTGSLGRMTHLRYLSFANTQVREIPAAIENLRMLQFLILKNCSRLSALPESVGRLVNLKTLDISGAGLNQVKFRFSFMRELKCLQGFLVREGGAENQNGWPFQELSSLSQLTSLRILRLEKTITLEDARQSALQSKRHLKELELCCGTDDGATEISKARNIKDVYEALKPGPSVISVKLENYHGHGFPSWLASSHLRELQRLTLDGSLHCQCLPSLGQMKYLKFLVITGSSMSTCIGPEIRGTPDNGVAFPRLEQFHISKMSNLKSLSGLQEGDMPLLMNFSIVECPKLDSLPSCLKHCMALTNLHIEHADSLETIDNIPSLKELEIRENSKLKMISNLRRLEDLKVVNCLLLDVVQDVPSLRTVLLNKRNSAEFPQWLQPEKPFILRRLEIVGTEVLLDSCSSATAPYWSVIQNVDHVYANLPDGSFYFSYSKSSGNIHRSARSLAQCSLHSTPSFTMPIALQVEDVVSKDEDISSKEQIGQSTSRPWMRTDLLFTVLLFVAAHIFFLSTEY >Et_1A_008246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5634483:5636373:-1 gene:Et_1A_008246 transcript:Et_1A_008246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFLLFVCLLAPFILACAFRGRRGAARRQGAVSACGKSLPLPPGSMGWPYVGETFQLYSSKNPNVFFARKQNRYGPIFKTHILGCPCVMVSSPEAARFVLVTQAQLFKPTFPASKERMLGPQAIFFQQGDYHAHLRRLVSRAFSPEAIRASVPAIEAIALRSLDSWDGQLVNTFQEMKTYALNVALLSIFGEEEMRYIEELKQCYLTLEKGYNSMPVNLPGTLFHKAMKARKRLGAIVAHIISARRERQQQRGSDLLASFLDDREALTDAQIADNVIGVIFAARDTTASVLTWMVKFLGDHPSVLKAVIDEQQEIARSKGSSGEPLTWADTRRMRMTSRVIQETMRVASILSFTFREAVEDVEYQGYLIPKGWKVLPLFRNIHHSPDHFACPEKFDPSRFEVAPKPNTFMPFGNGTHSCPGNELAKLEMLVLFHHLATKYRWSTSKSESGVQFGPFALPINGLPMTFTRKDD >Et_3B_029462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25285192:25286619:1 gene:Et_3B_029462 transcript:Et_3B_029462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRWHDDDGSGDGGRGLGDVPDLAAGSGGEGEQCATRRVVQSRCHTEEVEPGRFIRKCEKTEQLLRDCVGRPSELVESRTEKTEEDVTDEMKNGSLSLGFPSNEPFAFPGLRSDIEALEKGFFGSLGSVLDEAERMTNDFFKSFGFPSTHDRESSPFPRRPTERHIEEGNAKKTKESDYSEFKGQITDV >Et_10B_004136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15199500:15200242:1 gene:Et_10B_004136 transcript:Et_10B_004136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGSACEPVLARACISQPANQTVPESSSPEPEGRMLPPRPLIRLAPPLRAIRGLCTTVPPSKPPPEPLSPSELDAVSALLPRLLSRSSTGHLLIFWGRKIVLSVFLF >Et_3B_031483.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:31214196:31214525:-1 gene:Et_3B_031483 transcript:Et_3B_031483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFRNGVVRLVENPTSGAAAAASGKRKALLHTPTGEVVTSYHSLERKLAALGWERYYGSGDGMIQFHKRSSVDLISLPKDVAHFNSVHMYDIVIKNRDAFRVIDA >Et_9A_063189.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:14748352:14748915:-1 gene:Et_9A_063189 transcript:Et_9A_063189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALAPRAAHVLAAERASLKRPRGAVAAAPLACGKMKFAHRVVTAKPSASTVPAPLPAARHIMAAKRLPAAEAPSAEEEAAKRRRKTSSSPLKPAATQAARPQVATPATAQRPMASLIDKAMEVMKRRRQDETAIAREKFRLELIEVEKAAIPDETIYPEDLEELGLTAFQYAVTPTRKQALRSAR >Et_4A_035421.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24057131:24059389:1 gene:Et_4A_035421 transcript:Et_4A_035421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGMPISAPPAASVDGGAAAVTTPRKNAAAAAAVAEMAKHLTINTDDAFASLLELAADDDAEGLQRALECAPPAAADEAGLWYGRRKVLEHRTPLMVAATYGSLAALRLLLSLPSVDVNRRCSPDGTTALHCAASGGSRAAVEAVKLLLAAGADADVTDDSGRRPADVISVPPKMFDAKFALQDLLGCPKSEHDVLRVVTRSTNSISSPISSPTAEDARSPSAALMMTTKFADLPRVATSEKKEYPVDPSLPDIKNSIYASDEFRMYSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGVCRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRRVCFFAHTTDELRPLYVSTGSAVPSPRASATAAMEMAAAMGLMPGSPSSVSAVMSPFTPPMSPSGNGMPPSLGWQQPNVPTLHLPGSSLQSSRLRTSLSARDMPADDYSLMQDLDSQLMNDLCYSRLGSSTGNHSARSKSLNPSNLDDLFSAEMVSSPRYSNSDQGAMFSPSHKAAILNQFQQQQQALLSPINTGVFSPKAADNQQLPSHSSLLQASLGLSSPGRMSPRCVESGSPMNSHLAAALVQREKQHQHQQQTMRSLSSRDLGPSAARASALVGSPLSSSWSRWGSPSGTPDWGVNGEELGKLRRSSSFELRSGSDDPDLSWVHTLVKESPPEKQVTTAETITSVGPSPLMPPSVGNGEGSGLNTRLDGRDQAAVIGALLEQMQLDQQIGSLAT >Et_3A_027107.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33056224:33056598:-1 gene:Et_3A_027107 transcript:Et_3A_027107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTIKAPAAAMILLALVVAAAVTPSTDAADQPAAGWTAKSGSGRRNGGHHIRGDDGGQKQQSDPLTGLTECVTTCGTQVTACFLQCYKPAVGGDPVALPVCLFNCTSTAMICATSCSSNIV >Et_4A_035709.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:4064581:4065912:-1 gene:Et_4A_035709 transcript:Et_4A_035709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSNDAAAAASAHRAGPPAWILLDNDAYIDDVQNASSATAWTSRGQPIRVTLAAAEPPRDSYFGVHCPSLMTKKRKHGEDDHARRGRRSSAAMMPFPPRVVYSDGDLALLSVPLSNGPDTDYFIYKAGRRPSLRLLPATFSDAEAFQYAPGLAHIDGDDGEHFVVAGVILNGVGTHELHVFRSDRGTWTKTPLGLGIDLYAVPTKVIALGGGDLGWVDLRECIIVCNVLNDDAPNPRLIPLPKLLPSNQQDKQRRHPCEYREVRDVVICAVDGSITCVEMEQCYRRVDLRDVSTADMLHDSDLPLGYGANPTPPKFRYLGWRIITWNRAANSTCWRKRGLIYVDDILAYHPGHTALLRPMAADSDQSLTVRHLTTQVPSLSIRGGNVVYIMAKASWRDTGKKAWMLSIDMAKKTLEEVAPISAEESPCPSYISCSLSKYLEN >Et_3B_029579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26162517:26169331:1 gene:Et_3B_029579 transcript:Et_3B_029579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAAEKSLVPPATGLGLGVGGGLGGSGMGPHYRGVRKRPWGRYAAEIRDPAKKSRVWLGTYDTAEEAARAYDAAAREFRGAKAKTNFPYASQCPVPAGGGGSPSSNSTVESSGGGSACGSQAPMQAMPLPPALDLDLFHRAAAVASGGMRFPFKGYPVARPAPNPYFFYEQAAAAAAAAAGYRMLKVPPPPVTVAAVAQSDSDSSSVVDRTPSPPAVTANKEPGHPTRPNAVPPHLRLRRLGPVPHPHHPPVLSPTPRHASSHVRSPAADLLLRLRRFPPPNPPPPPTRPTTTASPSTPSTALLPTRGRRRHRRPAVASTHRRPGLLLVWPDSACKPNNTHVAAPPTNPTSLKQFDRGNQMDPTPTGMMGHGGGARGGGGTDARYRGVRKRPWGRYAAEIRDPCKKTRVWLGTFDTPVEAALAYDRAARALRGEKARTNFPGHDDHRRHQHFHQLPPFMRQPPPRHAPFGGVDLNHHSPWHFVYFQEQATEAAAATLPLASAAPPSTVLELGTGHRQDSLPFDLNEAPSC >Et_7B_055383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9872025:9875006:1 gene:Et_7B_055383 transcript:Et_7B_055383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPWLMNWKGIVEKVRDQGQLFYLWLSELVSEAYIKCPNCQYCIDCSKVPTVWPKLPVGFKFVPSDSELLQHLEEKSNLPNSVHNIEFIPTIEEADGICYTHPKNLPGIKTDGSTNYFFYKISNAYGCGHRKRRKIDVGYTVSNDKKFRWHKTGKSKVVYDENGVKKGWKKILVLYIGSVKGRGSKTNWVMHQYHLGVDEAEKDGQLVVSKVFYQLGSKQIDKSEMDISVVEHDVSTVKVDPRTPKIDPPQPHRPNNSPCETEQYTSPFPLDQGEAESSTSNFCVKDEDKYSARYAGLSQIAEDPARPDMDEPSVTGMQTLVSESTSGSGVQTFLDKGKAPQASTHVNEPDAGPSSWFGQRGRESSVQDNLDAWLEGIFASDAEWS >Et_4A_033702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2590556:2596047:-1 gene:Et_4A_033702 transcript:Et_4A_033702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRSPSAASSSTSPTAAAAGMAPAVGGVEPAPPAASFISFSDPLTGDDAAAGAGGRGASRFPVDNEINSKIYLWRGHPWNLEVDAVVNSTNENLDEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIATGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDKITAIVFCTTSSSDTEIYKRLLPLYFPRDKHEEEIAALKLPADVGDENGETVIDERKIRIRPLPAGDSKTPIPALADIPLPDSGLTTRRRNSFKLDSYLDPVFMSIIKDPDLRRKEQWEKSAQANKGLNFANLLGFGDLGSPPLSAAEEYSLHSRYLAKANSMNLSDIAEMKIIYRGGVDSEGRPVMVVVGAHFLLRCLDIERFVLYVVKEFEPLIQKPYTIVYFHSAASLQVQPDLGFMKRLQQILGRKHKKNLHAIYILHPTLGLRTAIMGLQLFVDGEVGKKVVYVDRLVQLFRYIPREQLTIPDFVFQHDLEVNGGKGIIVDPRTKHVYQRPSG >Et_9A_063154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12263159:12268657:-1 gene:Et_9A_063154 transcript:Et_9A_063154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDEVAKPSSIRRTPPPLNLSLVNHPVSFHRWRARFLLSRFPPYDANGCEALGLKADAVPRNSSEGHFCGSCNFGCLTGDKCGSVILTGCKAERFMFEATPAGRGKKCLGLLASCTSNGITKKRRIEVKVSIVACGALMTPPLLRNSGLKNRHIGRNLHLLHPVSMATRRCRESACYEGGIITSMHRVTERTIVETPALGPGAFAAMVPWESGRDMKERMRRYSRTAHAFALVRDRGDGYVLRVLVAAGAAEVGTHRSDGLRLRCKGLRDEDLEAFLNEVTMEKGPMHSMTDNPNVGAVFCVVYVVLGLHGLQSRGAASSESQALSPSDGDLLWQWQRVAEPKSGTRSQFLGLLSRSGGVPRDGAWRKARFGKDTMIGNFNTGALFQT >Et_10A_000326.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:23105358:23105471:1 gene:Et_10A_000326 transcript:Et_10A_000326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISMQGSWRPSGHQISERSGPFGAKVPAGHLLYLCQI >Et_4B_038939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6185331:6187567:1 gene:Et_4B_038939 transcript:Et_4B_038939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSASTVHVPISISFAVLALFAAAAAAAGANATVATTNAISTLPVAAAATNGSPQQYTCYLCQKRNTRMIRRCPIATDGCHVSGLSLPSSGGRRRRRWRHGRRRLPRRRRRLLRPEGVPRPQLGRGGLSPSVMPLSAASVLHATSLALLLFFLLGATANGDDVSTPSTATNATVTPGGDTDRYICYLCAGRNPMLMRNCPIYWDECHLVCYDPVATSAAALPSSPVVAWCILTCGGGDLDDRKPLGAMTPVRRPPALPGVLPADFERCGTQVADQAAPARFPGGARR >Et_7B_055764.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3926060:3926416:-1 gene:Et_7B_055764 transcript:Et_7B_055764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDSLMHMRDMCRVPRQHTRVLEDRIREQLLDPAPPPPSSYDTAWVGMVPAAPGFPRFPRRVDWILQNQHGDGSWRGLGRRRDPALGKDALSSTMACVLALATWGVGHEHVRKGQIS >Et_7A_052047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4042371:4045638:1 gene:Et_7A_052047 transcript:Et_7A_052047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSDPDKLMAKADKLTKLSFTRWNADWKTATSLYEQAAIAYRFKKDNEKAKDAFEKASKGQEMISSPWDAAKHMESAAALAKELGRWNEVSDFYRRASELYRECGRAQPASDALSKGASALEEKAPEEAIKMYDEACVLLEEDGKEQMAFDLYRSAAALYVKLEKYSDAASFFLRLGTAADKCNAINSQCKAYLSAIVIYLYAHDFQQAQKCYNDCSEVQAFLNSDQNRCAMKLLSAYEEGDAEEVKRISQSSAFNHLDHVVIRLARKLPTGDLQAIKKDAAADDGEEPLDEDDLT >Et_3B_028588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16975793:16993267:-1 gene:Et_3B_028588 transcript:Et_3B_028588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDDAAADDDIDEIAEGTQIIYDKATWTNEENSALLCRLLIEQIELGNYNQGNMTSRGYKLLQARWRDETNNVLKIKAFANRIGKMKGLYGFIKKMHTDTGLGTNTKTGWPSPTDRWWKDNTQGKAEWKKYRYQGPDYLPLLQQVFDGVAVDGGPQHAIMTITPRVKSPCHLIHELISATHQQSSGSCVSFDLEYAAIVRCLRKFTPSTFASDYILGPVLCLSNSTHFTYLVDVLAYMYILPLDCDIPSDGMIPMPNGPNPFFKTFKEGAESILNFSETTVEWYGFSSGDCKACELDGRRCAFSSQRNQTFCMNHGIISNWPKPNMSTPHLLFTRQSYCRKMSRFLVIAMVFGVLNDRARTATAWEDEDFFSNCPPSRCSQHGPEIRYPFQLESSNKSSLCGAPCMMLACSGEDTILVHSALAAYKVTAIDYRRGTLTVTPPVNSSSCHLIGKLIAVQHLYGSPYEQSSDPCFVLSGLAALVHCLRKFTPSGSAINDIVGPISCRSNTTHFSYLVDYQAKMSVLPLDCKLASDGMIRIPDIILDKSIDYRKRFKEQADRIINVTEMTVSYYWYMYDCIQCESHRKRCAFSSQMNQTFCMHYGSHVKVIAGTSSAAALVVLLLMAATALYFSLKTRYNEEVHLKVEMFLKAYGTSKPTRYTFSEVKKIARRFKEKVGQGGFGSVYKGELPNEVPVAVKMLENSTGDGEDFINEVGTIGLIHHTNIVRLLGFCSEGTRRALIYEFMPNESLEKYIFLMDSNANQERLLPKKMMDIALGIARGMEYLHQGCNKRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMLSGRRNSDPNIESQTEVYLPEWIFEKLISGHDVVPNREMTGEEKEKVRQLAIVALWCIQWNPKHRPSMTKVVNMLTGRLEGLQMPPKPFVSSGSDPIS >Et_10B_002737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10195863:10204480:1 gene:Et_10B_002737 transcript:Et_10B_002737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPEGAAGGGGAKKPKTSPPSPVAALGDDLLREILLRLPDMASLACAARACKRWHGVASDPAVFRRFDALRRPPLLGFILTDRGDRHFPRRCSNLYFVNATRGYPDLNSVVAEADIFFEDLPDVDSDDDEEEYYSDEWRLRGCAGGRLLLSYGSDGLVLAIYDPIARTAIFLHPHKVFRASTHMVRYAIVVDEATGSFLIIGVVDCWAAIFSSTSGKWVKFDRDAFLKKVERDKHQEEEGHESYDDEFFYGLHKDEDDYEDELFDSFFLLPGDGMAAGRFAYWRSETKKRNCKYSKAVELVLVLDTTTMEWSVITAPFPPGESYCVADMPENGGLCLISSKEQCLQLWIRSSTGKWVIKEEFSLMTERMKKLRRDEWMKRVRILAVRAGYVYMEFWSVRKSHSYLLVFNLRTRKMRMFHNNSDEPYREKRGRMDPSERTGGGGAKKPKTSPAPVAALGDDLLRAILLRLPDMASLACAARACKHWHGVASDPAVFRHFGSLRRPSLLGFILTDRGDRHFPLRCSNLYFVTASCGYPDLNSAVADADIFFEDLPGVDSDDEEEEYYSDEWRLRGCDGGLLLLSYGRDGRVLAVYDPIARTAVFLRTFDVFPYWTHIVHYAILVDESDGSFLVVGVAHCMAAVYSSCSDQWVKFEGDAFLKMSKRIWSEEWDDYDEDVFDNFDQPPGDGVAAGRKHEEWDGYDQDVIDSIFKIPGDGMVAGRFSYWRSDTKKNRHFDDVERILVLDTSTMEWSVITAPTPPGESYCLADMPENGGLCLISSKEQCLQLWIRNTTGEWVIKKQFSLMNERMKKLRRDEWMKRVRILAARAGYVYMEFWSIRKSHSYLLVLNLRTMKMMSLIEVLRFRSSCGWPLCLDLMMIRMIISTLLLLLSLLDYGGHHFLQRQPGSDLTAAPVLGCARHGHGHQPDGKTPS >Et_4B_039468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17712920:17716179:-1 gene:Et_4B_039468 transcript:Et_4B_039468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVYVVFYSTYGHVAKLAEEIKKGAASVEGVEVKLWQVPEILSEEVLGKMGAPPKTDAPVITPQDLAEADGILFGFPTRFGMMASQMKAFFDATGGLWREQSLAGKPAGVFFSTGTQGGGQETTPLTAVTQLTHHGMVFVPVGYTFGAKLFDMESVHGGSPYGAGTFAGDGSRWPTGVELEHAFHQGKYFAGVAKKLKGAAA >Et_5B_043895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17300343:17304650:-1 gene:Et_5B_043895 transcript:Et_5B_043895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCPPPLGLGSRLRSFLRDYDALQSLALALIYLQIGCALIGSLGALFNGVLVINLVIGLFAVVAIESSSQRLGRTYAALLFFAVVLDVAWFILFSHAIWTITPDEKYGQLFVFSLRLALWMQIIGFSMYRLGASSSTPTYFEANHESRNSFLSPRSDSIRRSSMADDILGGSIYDPSYYSSLFEDVRNNACNHQGDKQSDSGSTSAGQSPRLKSFSSRSLLANDIENGLRRPLNS >Et_2B_021643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3890262:3897695:-1 gene:Et_2B_021643 transcript:Et_2B_021643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVHSEKSARNIFLKCPLELTNVIVSTGTGARELGALSPPSLAPTGGRGTPAIEPLLPPALHARSLRSTMPLMTVASPHFTSSSTRHLRRATTATAAASSSSDDFDYPLADPSVRWPNLRFPHLPSPRFPATVTTAAPPAPVRPPHGEEDDGSAEATTSTSAIAATVEPLDARAHRSRVKKLSKLALRRARDWRARVAGLADAVLALPPGAPVDDVLEDARAAPDEAALVVRAVGERSWRRALDAFEWLARSGAPAPRAVAVVLGVLGRARQDAVAEEVFVRFAGEGATVQVFNAMMGVYARSGRFDDVRQLLDAMRDRGIEPDLVSFNTLINAMAKSGCSAAGVALDLLVQVREAGLRPDVITYNTLISACSQSSNLDDAVAVFEEMVASECRPDLWTYNAMLSVHGRCGKVQEAERLFKELVEKGFQPDAVTYNSLLYAYAKEGDAENVEHVCEELVKAGFTKNEITYNTMIHMYGKMGRLDLAIGLYDEMRAMGCTPDAVTYTVLIDSLGKMDRIAEAGKVLEEMVDAGLKPTLVTFSALICAYAKGGRRAEAEKTFDRMVASGVKPDRLAYLVMLDIFARSGQTKRLMDLYRTMMKNSYRPDDGLYQVLLAALAKGDESEEIEEVIENMELVCQMDPQIISTILIKAGCISQGTKLLKKACILGYEPDAKSLLAITDAYITLEKHEEGLSFLDGIREHIPNSHDVVSKCSIMLLCKEQSIAAFQEYSKIQMLKYVSFGHESNLYEYLITCLEEAGFYPEVSQVFSDMQLIGIKASRKVYDSVILTYCKLGFPETAHVLMDDALQSGISLNVLSSRVNIIEAYGKIKLWQKAESLVKGLRQASGIDRRIWNALIFAYAESGLYEQARAVFDNMMKTGPLPTVDSINGMMRALIVDGRLDELYVVVQELQDMDFKISKSTVLLMLDAFAKAGDVFEVMKIYNGMKAAGYLPSMHLYRSMISLLCHHNRFRDAELMVAEMEGAGLKPDIVILNALLTMYTVAGNFDRTEQVYRSILESGLEPDEDTYNALIVMYCRSFRPEEGFTLLNEMSKRGITPKLQSYKSLLAASEKAKLVEKADQLFQEMLSTGCQLNRSIYHMMMKIHRNAGNHSKAEHLLAVMKENGIEPTIATMHILMTSYGSAGHPHEAESVLKSLKSSNMEVSTLPYSTVLDAYLKNGDYSLGITKLLEMKSDGVEPDHQVWTCFIRAASLCEQTDDAILLLNSLQDCGFDLPLRLLTERTPSLLTEVENFLEELRALEDSAALNFVNALEDLLWAFERRATASWIFQLAVKRSIYHDNIFRVEEKNWGADFRKLSGGAALVGLTLWLDHMQDASLQGSPDAPKSIVLVTGEGEYNGMSLRKTIRAYLLEMGSPFLPSKTRSGRFVVKSYSLKMWLKDSPFCMDLELKDAPALPKLNTMKLTEGYFMRAGLVPAFKDIHEKLGEVWPKKFSRLALLSEESRNEVIKADKQGRKEKLERMKKKGLVMPRKSKKGPQRAKFVRKQSTQAVSKTAEGTVGISAPKPRAYYSTL >Et_5A_041772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2695689:2696123:-1 gene:Et_5A_041772 transcript:Et_5A_041772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQQGIGFIWKLRKGERRNAGR >Et_2A_016560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26018478:26023326:1 gene:Et_2A_016560 transcript:Et_2A_016560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQKREASSTDEDWASKRPKNVDPATETVHTESGAAQETNGKMMGTSHKESEAQADKCVAGRNATVDLKISGEQGPVLTGVEADAAEDKGCRHTMEDAWVVLPNASAESPGSLRCAHFAIYDGHGGRLAAEYAQKHLHPNVVAAGLPRELMDVKAAKKAIIEGFRRTDESLLQESTRGNWQDGATAVCVWILGQIVVVANAGDAKAVLARSTPTDGEGMVDKTKSQLKAIVLTREHKAIFPQERSRIQKAGGSVGTNGRLQGRIEVSRALGDRQFKKVGLIATPDVHSFELTKKDQFIILGCDGLWGVFGPSDAVEFVQKQLKETSSASVAVRRLVKEAVRERRCKDNCTAVLIVFKH >Et_6B_048398.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18519846:18520028:1 gene:Et_6B_048398 transcript:Et_6B_048398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDTLPCCLGPCHLSQDRRGARGQRPGNLKSLPANEIRSQDLQWGRRPLAGMALARLSS >Et_2B_021697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4582478:4583128:-1 gene:Et_2B_021697 transcript:Et_2B_021697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSLKSAQLLEQMRLHLATDAGKELTKKVRLVYQLNIAPKKLGVDEEIYVVDLKKGEVTKGPYQGKPDATFSFTDNDFLGIATGKTNPQIAFIRGAIKIKGSISAAQKFTPDIFPKPAKL >Et_10B_002872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12910910:12912339:-1 gene:Et_10B_002872 transcript:Et_10B_002872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DQIPGSAGDVLEDDPVGRIKVYVYELPPKYNKNILAKDSRCLKHMFATEIFIHRFLLSSAVRTLNPEEADWFYTPVYTTCDLTPWGHPLTTKSPRMMRSAIQYISKLWPYWNRTEGADHFFVTPHDFGACFYFQEAKAIERGVLPVLRRSTLVQTFGQKNHACLKEGSIIIPPYTPPHKMRTHLVLPDTPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPMFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEDDVTKLDTILTSIPTEEILRKQRLLANPSMKQAMLFPQPAEPRDAFHQILNGLARKLPHGKGVFLKPGQKVLNWTEGDPADLKPW >Et_3B_029112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22151585:22153838:-1 gene:Et_3B_029112 transcript:Et_3B_029112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFSGASASRLPSPSLSLRRARPRCVPTSVPSSACRAAASSTTAAGDGAARKPWLFVGLGNPGKVYQGTRHNVGFEMIDVIAEAEGISLSSMQFKAMVGKGRIGDSPIMLAKPQTFMNASGESVGQLVSYFKIPLNQVVVMYDDLDLPFAKLRLLPKGGHGGHNGMRSIINHLKQSRDFPRLRMGIGRPPGKMDPANFVLRPFTRKEQEELDFALHRGLEAVRIMVLEGFNKSATYVNTSQASEMLNR >Et_4A_031849.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22710521:22711609:-1 gene:Et_4A_031849 transcript:Et_4A_031849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDVVVVKPPEVTRLLLEISSSDQFLVHVLEEVRDVRIVSTHQGLIALYTGRCAPGGRCCIYGGYLVYDATHNSLSTIPPLPNSIPNPAASGSPPDTIQGLGLTSAAILRRSNAEDYLVAELVTTWSTGLPDAELFLWWSSSTMNPARQWTRRPVRLPLPSELTGPTYIFSIDMVFLVGASLVCWVDLLAGLLVCDLAAPHEPGFRFVPLPEGSEMHTLDGRRPRPEEFRSMGCVGSAIAFVDMIGYTQGRPAHEVAMKTWTLSPDFKQWNEGSVVIVGDLWASESFKQMQLPRVRPMCPVLSMNEEGVICVYLNDIERVNQIDEFGDVVGSYPKINAHCVALVDLPQNKVPPKQNLATIC >Et_9A_061226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22923649:22925250:-1 gene:Et_9A_061226 transcript:Et_9A_061226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAHASSLSFLLSHPTSRSATPSPHLPLRPAARRVRCATDAAAAATAKHRRPADENIREEAARHRAPKQGLSAWYAPFPPAPNGDPDERYSLDEIVYRSSSGGLLDVRHDMEALARFPGSYWRDLFDSRKEFVLPEIDPEHIVSLFEGNSNLFWAERLGREHLGGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPIAGVGCASTGDTSAALSAYCAAAGIPAIVFLPANRISLEQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFEMCRVLGLVDRVPRLVCAQAANANPLYRYYKSGWTEFQPQVAEPTFASAIQIGDPVSVDRAVVALKATNGIVEEATEEELMNAMSLADRTGMFACPHTGVALAALFKLRDQRIIGANDRTVVVSTAHGLKFSQSKIDYHDSKIEDMACKYANPPVSVKADFGAVMDVLKKRLKGKL >Et_2B_021350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28903163:28904322:-1 gene:Et_2B_021350 transcript:Et_2B_021350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTLMRCLLAVAVLSSAAHAAVTDSIVRAAMTQAVSNERRIGASLLRLFFHDCFVQGCDGSILLDAGGEKSAGPNLNSVRGFDVIDTIKTNVEAACPGVVSCADILALAARDGTNLLGGPTWNVPLGRRDSTTASASLANSNLPPPTASLGQLVSFFARQSLSARDMTALSGSHTIGQARCTTFRGRIYVDTNIDATFAAQRQQTCPRSGGDANLAPIDVQTPAGFDTAYFQNLMARRGLFHSDQELFNGGSQDALVQQYSANAALFNSDFVAAMIKMGNINPLTGSAGQIRRNCRVVNS >Et_8B_060577.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:2695819:2696835:-1 gene:Et_8B_060577 transcript:Et_8B_060577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSRHRLRLLFLLLLALPVAVVARIDGVKEEEHAAACDAADEAASLRPDRLTVLLSGYSERRLPLLRAIAGAYAAHPLVLAVVVRWCNPATPDRVLLRGVGFPPGVTLRRAASASLNARFLPDPAAIRTAAVAVADDDVLPDAAALSFAFAAWQQQSGALVGFFPRSHRLDLARGRWAYAAAEPGRYSMVLTKLMVLDTTLLRAYSCSPELAAARAVVDRERNCEDILMNFVAAEASGRGPVLVEAGSVRDWGDPRNDAHAAGAGEEEEGGAVKDVGLSSTGGRGHWEKRGECITEFHRLLGRMPLRYSYGKVVEGAGGEQGLCSKGGRLVRCDQE >Et_4A_033641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25276993:25282505:-1 gene:Et_4A_033641 transcript:Et_4A_033641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPQPQPHPFPRATVASAVGALTKWMKKRANTAPPNLLADERDDLLLLQLSLRRVPDSPATRPRLLPLPHAVTAHSGSSVCVISDDRPKSRSPPASDLLDAAKTLGLPVSEVIPLSTLRTDYRPYESRRRLAGSHDLFVADRAILPLLPRVLGKAFYSTKKAPIGVDFTRVGWPEQLRKVLGSSFLYLRTGTCSGIKVGRLDMEEEEIVENVIAAVEAAVEKVPKKWANVRALHLKAVDSVALPIYQAVPELGMKIEVPSIPQLEGEVAAKEESGKRKRNKKKRTEDVVMQEDVQEEPEKKKRKVPSDKGQKVGKKGGNALVKRKQSPARTVARAAADAGLSLADVAAEAKHAWTKPGVAVVDLQPVDIVVEHILKQILSQARLVAILEEHKQALRQEEERRQLRFNRN >Et_6B_048373.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1740387:1740494:1 gene:Et_6B_048373 transcript:Et_6B_048373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKREIDLYSIFKKKFACTCLHIISQAMPVQCRN >Et_2A_017134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31235076:31235879:1 gene:Et_2A_017134 transcript:Et_2A_017134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLACILCLALLLVPDVQARKLLWTTPEKQSHGPATNNPEPCSGRGGSTAGNADQGQQVQGDPSKWAELHTDYIYTQDVKHNP >Et_2A_017087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30867020:30869224:-1 gene:Et_2A_017087 transcript:Et_2A_017087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAFLPPAPPCVIGVYSQLCLRLHLHYGELDTTFPSNSMVLPPPHPARARLGLRHLHQSIAPYFYGDNKTPFYVKWTADGYKSTGCTDLKCDGFVPVNYAPITPGDSLEGKSKITIKIFKKKDDGDWWLYFGHDSGNITPVGYWPKNLFKRLADHANMITWGGHTGYNPANGEWTVAWRKICDV >Et_4B_037827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23869549:23870894:-1 gene:Et_4B_037827 transcript:Et_4B_037827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYARDSPQALARFMAEQRGYKVVIDPSNIVLTAGATSANEALVFCLADHGDAFLIPTPYYPGTNMQVAAADWRCTLLDRSAHMADCPMTCRFDRDLKWRTGAEIVPVHCTSADGFRVTRAALDDAYRRAQKRRLRVRGVLITNPSNPLGTASPRADLELLLDFVAAKGIHLVSDEIYSGTAFADHPGFVSVLEVLASRTRTATDETPLSDRVHVVYSLSKDLGLPGFRVGAIYSANAAVVSAATKMSSFGLVSSQTQHLLAALLGDKDFTRRYLAENKRRIRARRDQLVSGLRALGIGCLEGDAGLFCWVDMGRLMRARTFDAEMELWRQVVFTVGLNVSPGASCHCAEPGWFRVCFANMSAHTLDVALQRLAAFVKAKAAAAGNNQQLCARRVAMVSPARSLSCPLAMKWALRLTPASVDRRAER >Et_10B_002772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1101503:1108842:1 gene:Et_10B_002772 transcript:Et_10B_002772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVRALMRRKQVDSERARAAGANQLRKELSITQLVAIGVGSTIGAGVYVLVGTVAREHAGPALTLSFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLALFFGGPDSLPWILARHEIPWLDVVVDPCAAFLVFLVTGLLCVGIKESSFVQGVVTVLNCFVMLFVIIAGSYIGFQTGWVGYKVSGGFFPYGINGMLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPVGIATALSICCSLYMLVSVVIVGLVPYFAMDPDTPISSAFAKHGMHWAMYLVTSGAVLALCSTLMGSILPQPRILMAMARDGLLPSFFCDVNKKTQVPVKSTIVTGICAATLAFFMDVSQLAGMVSVGTLLAYVPPDEVPLPSSLQASFRLSQENDEEKMANPLGTENHGPGTSEISDVIVVESIKDPLIEKHLYASKLDEAKRRKTAAFSIASVCVGVLVLTSSASATFLPFLVQCFFCVIGGLLLLTGLGVLCWIDQDDGRHSFGHSGGFICPLVPLLPVMCILVNTYLLINLGGGTWMRVGVWLVMGVFVYIFYGRTHSSLTDVVYVPVAQANEIYEYSSSASSSSNSPLPDFSTELDPRTQLFPRIPPSSSSASNSGFASARNNAIPQPNGPNSSSLETQATVRRFTVGFTRGHVAEGDEAGLDGVGVAVVDLERLAAVAEELREGDGAKRHGLEARKVGARRLRHQVQLPQERARRRICAGRAWFRPEWIRGREGGAGARRLPRWMGGWETTSARAREEESAWSSTAERSPTKFEIELSSSPPIASAAAAMRDVARRRRGKEGGFGGNFREGARAKLRFSSRWALFRGIGQA >Et_4A_034472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3539283:3542134:-1 gene:Et_4A_034472 transcript:Et_4A_034472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCLWPCATAVVSREKGLFRSKPRTPPEVVQHVRELLAYVKDHREVCGGVKHDSKREHKMADLSKSIKEMKCILYGNGEADPVEEACVQLTKEFFKENTDTFNLLVVCLPCLDLETQKDVTQVIANLQRQKVDSRLVASDYIEANLDLLDILMSGHDNMEIAIHYSTLLRDCIRHQVAARYVLGSQHVRKFFHYIQFPDFNISSDIFKTFKELVTRHKSTASEFFSKNYDWFFAEFNSKLLSSSNYIIRRQATQLLGDILLEKSNAEVMVRYVSTKEHLIILMNLLREQSKAVQLEAFHVFKLFVANKNKPPEIVNILRANRSKLLRFLGDFTLDKEDQKFETDKAKVVSDILGLAINC >Et_1B_011972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27633362:27639376:-1 gene:Et_1B_011972 transcript:Et_1B_011972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDESSAAFEAALGLNPRHFIDGVLDMVDDIGRDAFQFTRQLSLSISLPPEAATQGVLGAARADEKAADLERCRLSKENPSIYEIDIVKRHVVAVIQGLNAFRHAVFDILDKRMTSWEKYCLRNIFSLPKGFVLPEDDNSSAKELQKDGTSNSGLLDHELDLLRKNLESANKESEYLRREMSSLERETTYKRELDSSIAEIQKLFEEKSVQKNFEELAKAIVILQQKITSLNKKRTVSGSLVDQEVLNLNGLRSSKRLALDKGTASNIPLLSLVLPCMFICGIDQITYPADFTARTEDIKEIVNMLQNKLRSKDEEVMHHTGNFVSTVEIDGIRCQPQLDEQLQESETTGAEDFDVSSASDEDKFRTSEDSSESVTEVDLTSRLAAMRAIIAKMIAADEGIPETGQRQIVEPILVKMDVMFSSCDGAASPLR >Et_10A_000762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16816025:16832074:1 gene:Et_10A_000762 transcript:Et_10A_000762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQDFCGIDASSVQFAAGSSSDLLEFVVLVNKDPNSTREAKKPEKMPPSPSSRISPVRETYHKRTNSFGSVLPGKPKDDELMLFSDMQKVERENFLLEPSEDFDESIAKLSYFPEVKLGVNIPAHKESHDLLNVDGDKNDYEWLLTPPETPLFRSLDDAEDQSIAQISRGRSQSKPIQFSRSSTMDNTQRSRRSSASPSRLSPSPRSVARTRPSSSSSHSSPPPALQPPMPSRRSSTPPATKTLTPPRRSPSPASRRMSTGSSGPTLNGTRGASPVKANRRSPSPKPHGWQSNVPGFPFDAPSNLRTSLPDRPVSRSRGGSPSSFSGLDMSSRGRRQSMSPTPSRRASSSHSIERDRMSSYSKASATSSGEDDLDSMQSIPISYSSSPAIKKSLAVMKTRTIAASKNLSKSFSPSSVPKRSFDSAVWLMDHRKTPQDRFRPLLSAVPATTFGTGNGTNVQKAMFSHNSSFKISSNASSGHDATFSPNMNGNQEQHDLCGEWEEDDRSRGHEDIFMFDNLDELNDESIREKSTTFTGNTPMTVNYLESNRHNFDMEGSGTPNQSLCHAVNSSQVGYGRIATCRGCGKVFNTMTVDERDYCDECVSKVGHRFTDSTVQNMEEADKQDGKTANEPCVAFDPSMAPNCVGYSKEVSLDHQLVNSKPHTDCLHKGPPIDSMGDTAELMLPGQEEKDKAEHIKAHVASLCPQNDTAGDTCFPMDTLESNASSASVSTKERDGSCKDALSSAMECSYAAHAIFNDDSLVDVTTSTFVSEVDGDAAFENHNIDRMADNDHFSTNICLSDTEMPSDIQESSTPVESCVPKTAEEASAISQCYTSGAPEHPSDESNFDDIQMQSEAVQESKTVRPLYQFTLSDCQQTEPTSVIERGILRDQSGSHHNEIPQCLPESVRDTEFVSDTCAIDDSRKLESVGHRNHRTEKTTGIPLLLLQKSSSNKWPVVEGRTIAASNILCSEPYYARDNVSIPKCIDSSSVSSSMDQGASSQSDSGAVADTGFPTDNSESSTSRTRVCTEELDASCKYNLSSAIECWSEAQAIVNDDSEPFGDAEDNLSACLCSSDSEMCGKTPLSFAAEESYIQNAEGTSVTTQCYPIGTPEHANDDCGINNYQMHYEAAMSSSEENKLDDCCVSNASNVSKEDVLISATKSIKMDLPGDDETPVTVEGSREETQRSFTLEEASDTILFCSSIVHDIAYRAATIGLEHEQQSELGIPHPTVTMVGKSIPKGDSSLKLPHRRIPRHRKKSEGGTITETGDMEVVAKDPVPVCLVPELSRTSDSMKPPKLESKCNCAIM >Et_10B_003430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19770715:19780616:-1 gene:Et_10B_003430 transcript:Et_10B_003430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQVALESDQRRAGRRLGILHEKHHQVLCAGGDHYPYHRPTSRPSYQPLPYPYYSHDQPSAEPPRPHQQSLGASREKKTVPAVITTPTSARPHYQPPQYYNHDQPPAVSPPRPHQQAQSPHGVPGPHGVAALALDLLNFESTSMVPEGLSQNVTSSRKAQVKWYCNILEAYKNTLPPPKTPAEAAQLVATALSRIKRDDLEGVLSFYSLPIPPHPAASASSDHFPSSLPEGIQFVLNTLPVSNRCIGDGDGFTAYVDTTDPRESATVPLEVHELVIARTQARSDRDYQTADALLSTIGEAGYKILTISGEEILARKYRIRMRGIDAPELKMPYGKESQNALVKLIGGRCVTIYVYGQDQFGRYVGDIYCDNVFVQEQMLKHGHAHHFKTYDKRLEFAKWEREARAANRGLWASQNPEKPWDWRRERRNGRQDAVLVY >Et_4A_035353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21029594:21036921:-1 gene:Et_4A_035353 transcript:Et_4A_035353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAPAALLVPARGLLEARVPWVRDRALDHVVEREGHLVPFLLTKDALLVSTPPPHAVPLHSLPSTIPFPFRPLRFLQLYPSAFALSPHPIEVSPTQRLSSLHEAEAQVLDSTRPDAADRLLRLLMLAPSRALPLRLVARLRHDLGLAPDFTRSLLPHYPDYFALSPDGSILELVCYRKDLAVSVLQAYAQRTGGYKVGDSVAFPLSFPRGFELDKKVRKWLDEWQRLPYISPYEDGSHLAPKSDITEKRTVAVLHEALSLTVGKKMEKEVLVKLGEALRLPPGFRKVVARHPGIFYMSHKLRTQTVVLREAYRRHMLVDKHPMMGIRYQYLHLMHMGKEEAGKGKGKDRKVTRGEQILGDFSAEGEDDEKEEEYDDEEDEDDVDDEDLEAGVASEDEDSDDEDAEDMVVVISAVGSHEQTADIEKHCTINLHDRQENVTDSYPGNIVGNKSNHSRIVSGTALTVLTVCSSDKHKPLVSGRWW >Et_3B_027828.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21115455:21115820:1 gene:Et_3B_027828 transcript:Et_3B_027828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAPVEEAEQGTAPAAAVEEEESGNKEEKTKKKPRRGGGWLRRLASREYALGRRWKLMSGASSRLAAGLRWKRVSSGLSFGGSGCASALLDTVAFRVMYVVEAVVLGLALSCFFCCCGCQI >Et_1B_013523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9207715:9208139:1 gene:Et_1B_013523 transcript:Et_1B_013523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSVLLETHNKNHPGKPRIVSKATLHSRRAKLQQAPAASSFLQRCSLCHKELAADRDIYMYRGDTAFCSVECRRRQMFMDEDAGGISSCAKGASAVRGSRPTGGGGFFAY >Et_5B_044284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22180007:22191210:-1 gene:Et_5B_044284 transcript:Et_5B_044284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPAALHLLLPAPPPHRQQLAFALPHPAALPLSRAACSRRRVRRRAPRHVGARASVAVAAEEEASWSGPAKFSVRIPVGDREILVETGHIGRQASASVMVTDGETILYTSVCLSDSPNEPSDFFPLSVHYQERLSAAGRTSGGFFKREGKAKDHEVLICRLIDRPLRPTMPKGFYYETQILSWVFSYDGIHSPDCLAITAAGIAVALSEIPNKQTIAGVRIGLINDQFVVNPTTEQMEKSELDLVMAGTDSAILMIEGYCDFLTEEKLLEAVEAGQVAIREICRAIDALVQKCGKKKMVDAIALPPPELYRHVEDISGDELVKALQIKEKIPRRKALSALEEKVITILSEQGYVAKDGSSGATETLADIVEDEDEDEVIVDGEVDEGDVHIKPVSRKPHRQLFAEVDVKLVFKEVSSKFLRRRIVEGGKRSDGRSPWELRPINSQCGLLPRAHGSALFTRGETQSLAVVTLGGYQMAQRIDNLVDTEESKSFYLQYSFPPSCVGEVGRIGAPSRREIGHGMLAERALEPILPPEEDFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPIKFPVAGIAMGLVLDTQEFGGDGSPLILSDITGAEDASGDMDLKIAGNESGITAFQMDIKVVGITLPVMEKALFQARDGRQHVLNEMSKCSPPPSKALSQYAPLIHVMKVKPNKVNLIIGSGGKTIKSIIEETGVDAIDTGDDGTVKITARDLSSLEKSKTIIANLTMVPKVGEVYRNCEIKSIAPYGAFVEIAPGREGLCHISELSSSWLAKAEDAVKVGDRIDVKLIEINDKGQLRLSCKALLPDANQESNSKQQTSGSTKEKAPQKDDAIKTITRRPRRKKQSESELSGAENATTKTLEKSNAAPATSQGSEPRHGSAVGNY >Et_4A_034924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7924666:7924924:1 gene:Et_4A_034924 transcript:Et_4A_034924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDDMVFFLENPVAVLDKYEHLAITSRRTPRAWARTRRTRTRHGVRRRRVLYAISYPAAAALARTRGMDGCLDRALQRPVRQ >Et_9B_065045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20833822:20836090:1 gene:Et_9B_065045 transcript:Et_9B_065045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAICAEDEAPRAAAECAGGGIERLDLGGGCGDAKAGAAGKRSVYLMDCAPVWGCAATRGRSAEMEDACAAAPRFADVPVRLLASRRDLDGLGIDAGELRLPAHLFGVYDGHGGAEVANYCRERLHVLLSKELRILGKDLAEMSEIDMKEHWDQLFSKCFQRVDDEPVAPENVGSTAVVAVVCSSHVVVANCGDSRIVLCRGKEPVQLSIDHKPDRKDERARIESQGGKVIQWNGYRVSGILAMSRSIGDRYLKPFIISKPEVTVVPRAKDDDCLVLASDGLWDVVSNEEACKFARRQIQLWHKNNVIAASLSEDCDASIDPAAQAAADYLMRLALKKGSEDNITVIVVDLKPRKKVRNNS >Et_5A_041936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4433770:4440334:-1 gene:Et_5A_041936 transcript:Et_5A_041936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGLLAGAVLAAVAALAAHVAVNCPIEPAPLPPAPASHYPPNNLLQRLEKLGEGLLDAPEDVHVDAAAGGALYTATRDGWLQRTRPGGNGSSWERWRFVGGTGLLGITPSAAAPCSSATPTRVGDDGEVTLLASEVDGTVIRFADAAIEAADGTVYFSDASTRFGFDRWILDFVESRPTGRLLKYDPRTGDTAVVLDRLGFANGVALSGDEAFVVVCESSRFRCTKVWLKGEKSGQAERFIDNLPGAPDNIRLGSDGSFWIALLPVRSPWLDVVYRWTLTRRVVASSSTVGCGFVPALHEWSKATAKGAMLAQVSEGRGIIRLLDDDGVQRGHLPRSLATNLVGKLSLAQVTREQDA >Et_2B_019101.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:9656386:9656688:-1 gene:Et_2B_019101 transcript:Et_2B_019101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTGLKSNLIAFHTSQAAASSGLHLQAARIISLPSRARSRPSLRACTQASKATRLAAAPGAFPKDVLKFLHQIVIRNRLQRFRSELAYAKHEYPLKPPV >Et_6B_049085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17647505:17652557:-1 gene:Et_6B_049085 transcript:Et_6B_049085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKTSREERWSLSGATALVTGGSKGIGHAIVEELAGFGARVHTCARNSGELEACRRRWAEKGFVVTVSVCDVAARADREALVDTVKANFDGKLDILVNNAAQLFSGPAAECSGEDYARVMATNLESCFHLSQLAHPLLRNAGGASVVNVSSIASYIAFPGLALYCTSKGAMNQLTRSLAAEWAQDKIRVNCVAPGVITTDMTKNVPPEAIERELTRIPLRRCGEPAEVASVVSFLCLPASSYVTGQDITIDGGRTIRLALFFGLLVTWELSPRRVQGSFRLTRVEPQQESNASVTHQIQSTLYDILGFDDTEDGVPRTIR >Et_1A_005585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11719593:11720513:-1 gene:Et_1A_005585 transcript:Et_1A_005585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKSGDLKVKGEPPLVVEDAAAPPVAEGDKVKADVVPAAAEADSADVSRRRSLSVLLKEDAEASDREDGQEAEKVVTVDSAVATDEAGAPASLSEEAEAAKASDREDGQEAEKEPGGDGNSEAAAEEEKQVDPDSVQVAVAAPTPSAEESKAADGDGTSA >Et_2B_019377.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2622974:2623423:-1 gene:Et_2B_019377 transcript:Et_2B_019377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GCWGYLAESGGRLLYVRRLIGVRSTVVDDDLIRMEHARTLSFDVFEADLTAGVDSCGGQWRRVRTLRGQALFVGTHSKFFPASECGAQEDCIYFMRDYDRGNCDPDPLRDSGVFDMRNGMIAPLLPETAVVPARGDDGRPAWFYPADKL >Et_10B_003524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2564624:2567597:1 gene:Et_10B_003524 transcript:Et_10B_003524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLGFEATELRLGLPGGGGGGGDGEGRSSSGKRGFAETIDLKLKLEPAAAAVEEEEEEAVKEDVAAAAEESSAAGKMKRSPSQSSVVTAAAAQPDPAEKPRAPKAQVVGWPPVRSFRKNIMSVQAEKGKDVDAGEKSSTAAAFVKVSLDGAPYLRKVDLKMYKSYQELSKALEKMFSSFTIGSCGSQGMNGMNESKLVDLLNGSEYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGSEAIGLAPRAMEKCKNRS >Et_5A_042085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6075252:6078325:-1 gene:Et_5A_042085 transcript:Et_5A_042085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDFEASMREDLRKKERMIQKRLDYLEEKIKGLKGWSQWSEADKEESREHRRAVLEAEYERLGSLSDDEDFLHLEEGEEAELAKYLKNWIWGHRYSFEETTIIPAIRFWDHPSPPPEAKPRTTIQVFSVKIVGLSGGLQFPLNVYGTIAARDSADRRRNIIFSRKRDNCQTVTEEDPYLVLTGPSRAILLLDPVIIEVMLHVKGAVEREDKILNFQAAELIRIDTVLSRMINGPYTSKLSTLDLALGSVVSSVEGAVSIRVTDVSMPVVFFVTAYTNNSRPSWRGSGLEDTDSKKILLLDSRHTSKPFLPDGYINLSRSVLSTRITGDLVVCLEALVEDSKEKTVFMEVHFPARKKDHCTNRRPYGPGIIEIGVSWSLISYL >Et_4B_037434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19789733:19792007:-1 gene:Et_4B_037434 transcript:Et_4B_037434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCGRTTEDGVKRSAMEKSPEPAPTAAAAEVAARFRSLVDTDDVASIRHTQHLILGRLQDSNAVLTHFNAYSEQCFTEVSSDFASKTRLLKSMKADLDHIFTKLRGMKATLASTYPDAFPDGAMAEAMDQRPDLESPLD >Et_1B_012226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3076441:3078563:1 gene:Et_1B_012226 transcript:Et_1B_012226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRPWRTALLLPFLLAAVGNSAAAWPPADSCRVPTALDFILRPPETCSTLDRSLGDPVGVIEGDEVTLAKAVNLLHMNKEDYIAVLFYASWCPFSQECKPNFETLASLFPTIRHFAFEESAIRPSIISRYGIHGFPTLFLLNSTMRVRYHGPRTVKPLAAFYTDVSGINASVKSVAGDAMIHSLDDIEGKKDADPENCPFWWARSPEKILQQDTYLALATVFVILRLLYLLYPKIDSFARWTWRRHTLFANLMGVHEYFLTYLEQARQKFHRLYPSKRGNLQEGAMNATAWASKSLASVSIGEPSAIGRTNSTRKTAIQHSSLIRHNGSLHVVCNYVIRNRRQSVTYACIATMLNRCGLR >Et_2A_017875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7353617:7357046:-1 gene:Et_2A_017875 transcript:Et_2A_017875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTPPRARLSPPASAAHSERKRERSPLAPGRASWWRASAEYAGPRDDRRSWQSRPERAPARVWQRFRAPQSALPSSRNWISSEDASTSSSRDSCTIMSYNILADYNARNHPDLYWDVPWHAMRWDSRRRLITREIRHWDPDLVCLQEVDRFRDIAADMKSRGYEGIFQERTGDARDGCATFWKSERLRLLEEDSIDFSEYNLRNNVAQVIVFELNRTHKLVLGNIHVLFNPRRGDVKLGQIRMLLEKANALAEKWDGIPIVLAGDFNSTPDSAIYKFLSTMKLNISLHDRRQLSGLDSSEFGQYELCSFWKHQWSNEEVRNATGCSNVMIAEHPLTLLSSYATLKGNSNKRGLHGEPLATSYHKKFLGTVDYLWYTPGLECSRVLDTVPIDVLRRTRGLPTREIGSDHLPIVAEFAFTRAEDESESEDESDQDDESEQHDESEEEVRTTQHKYFPSDSESG >Et_9B_065906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17212196:17214432:1 gene:Et_9B_065906 transcript:Et_9B_065906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYLLPRATLAKNYPVVHPHGAKPHTQRLIVRCAATGGAGTADDGWGALMDELKSSLQTEPSGPVGADGGAAAIPDDLVNALPLDPSSAPAVGDTTNAAATAAAAEAAASSSAAVVSGGDAASAGIPDGLLSALHLDASNPAVRAAGGALSRLDALTSGLSDAQRWALAGFLAATWLYLTARPGVLIGAVDAYLLAPLQKALDSALGRRSLKMSDFVLGERIGEGSFGVVFYGAVVPRNGAVVEERRGTARTSLQNDDRYKEKVILKKIKVGTKGAKECGDYEEWFNYRMARAAPESCAQFLGSFVADKTKSEFVKGGKWLVWKFEGDRTLANYLSDRGFPSNLEPLMFGRTLRGLGTLERGALVVKQVMRQLVTSLKRIHGTGIVHRDIKPSNLVVTRRGQVKLIDFGAATDLRIGKNYVPDRALLDPDYCPPELYVLPEETPQPPPEPIAAILSPILWQLNHPDLFDMYSAGIVLMQMAVPSLRTQSGLKNFNAELRSAGYDLNVWRETTRRRPDLQILDLDSGRGWDLATKLISQRADDGRGRLSAAAALRHPYFLLGGDQAAAVLSKLSLSK >Et_10A_000979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19828256:19828913:-1 gene:Et_10A_000979 transcript:Et_10A_000979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMLVGYLGVDLPVVVVRPSIVSSIYYEPLPGWIEGTRTIDTIITAYAKQSIPCFIGNGDVILDVRITNDDLCSQIPGDMVVNAMMVAMAVHWNEKGQVVIHVTSSLQNPLSTSTTLDMMYRYFSSNPQIGKNGRVIKAKRLHLTNKFASFRTYMFL >Et_7B_054388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20821568:20822942:1 gene:Et_7B_054388 transcript:Et_7B_054388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPTDRMDSLFSPRCVWVNGPIIVGAGPSGLAVAACLREQGVPFVVLEREDCIASLWQKRTYDRLKLHLPKQFCELPRMPFPEHYPEYPTRRQFIDYLEEYVAKFEIKPEFNSTVLSARYDETSGLWRVRTSVPGAGEMEYIGRWLVVATGENAENVVPDIPGLEGFKGEVTHVSDYKSGETYRGKSVLVVGCGNSGMEVSLDLCDHGARPAMVVRDAVHVLPREVLGKSTFELAVLLMRWLPLWIVDKIMVLLAWLVLGDLAKLGLRRPATGPLELKETHGRTPVLDYGAMARIRAGDITVVPAVTHFGKSHVELADGRVLNFDAVILATGYRSNVPQWLQGTDFFDKNGYPKTAFPHGWKGQSGLYAVGFTRRGLSGASADAVRIAKDLGNVWREETKPTKRAGACHRRCISVVF >Et_1B_010984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16080739:16082524:-1 gene:Et_1B_010984 transcript:Et_1B_010984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DTIEKVHPEPIIEPSKENKLPRLPHVFSRVLELPFPRDTIFSLLIDDSEPDEVQVQIVRIEPWDITSVVVHIGPGEPNIDNDMAHKSIPSMTEAYYVNKHLVIIVPNGMNGEDGYYGAPIWLKEGKGNGDDGKCKKCGGAQALLVLKSDIHIHTYVIVTDKS >Et_3B_028050.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:5570074:5570259:1 gene:Et_3B_028050 transcript:Et_3B_028050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHKQSWKYVKMVLRSVLRLSSRWRPIFRSNVSQTGGVVLVPGTPSASSSAAETMMKMKVS >Et_4A_035594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30478029:30482544:1 gene:Et_4A_035594 transcript:Et_4A_035594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKVVPINESDAVDTTSAPNSKPFFGKQHEPTELKEVSKMSPVEKNGMICDSENLQDCPDLLVGEKKHSLQSAHEGKLLLAASHAEQDADGCRSDDIASDQDNFVDAPNSMDSEGVIDPEMKVEHDPNTTVEGNEFNHGSKEGEEDASEAKFLEVDHIIESSAGLNVSCNVEEPACLDLPLINDSAPSTVATTNGPNSSPSGRQLNGVDGPNNEEPFCDEDLMDLSSSSSVVSDNADQEAIDDSVGRQQYLDGAYQSLNAAVVHNLDGQSPKTSGDLDGGTNDVSEDEEEINVGVPDENVVSPSPAGLDPDDTHEHLDGIAVKHPNMRNNLLYESNDDEIVEDVLSLPYDDLSTPFNMHVTEDQVVVLDEGACSDSLDTEKEDSVQSSAMANDFAYAQELPGVIQGESPSRYDTEVYEGGTLEPSSYVFNDDTKAFNIGQPRAPSTSSLCETTSPCVEQPELTEMEDTQEFGKVAATEESTIRRFADGVPPPEEDTDVAKYGGETPAPSSFVFNDDTEPLNIGEPLAPSTSSLRETTSPCVEQLELTEMEYTRERGKVVATEQSTITRFADGVPPPEEDDTHAAKYERDTPASSSCVFNGDTEPLNISVPLAPSTSSLRETTNTCVEHELTETEYKLDCGKAVVTEESTNSSFADGVVPTEEVTDAAENSDRAEVLATDEDPRHDMQLSSSYPFREELETVEASFRTLGVLDESRECISKISMVQPGSTPFNETETTGGKCSDDDAVQFLSSVHFPEESHCEEQSLEEASFSAEVLPGCNLDKDGAVSLKNNVVEKQPVNVDQDLVWVASQDSSSNTANKQQTNEDQDFEWDLSAQDSSSTNPFMDPAYMTSHAQIYPSSSTSYPPCISEEQDFLSELLTEHDNMGAGTDSLWEPATPPDEAPLPSEVMAEEDFRSFCDEYHEMNFIADTDGCYGEPASDSSNISIAFVEQQHNPCGVDSHSSSHLFDNEKTDEECGSPSSKVVAVKEELEVNANLVSHSFTNENLNELDVLPSNALPVESEAGAHVLDEQNNKDGPFCVFGEFDSQNNPPSTMDDDKDNPEASVLRTFQAEQNSECFPSDDHDTQTALSSSLDEKVDELDGHPLSNAVLLDEVPEICVPCDLDSGIIPVSTDEKIYGHDRAPLSSSVLVELESEDHVLSDRDSQTYKNHLLSLLCSGGLDDLA >Et_3A_023487.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30298719:30298742:-1 gene:Et_3A_023487 transcript:Et_3A_023487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRREAA >Et_3B_030843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7977073:7979071:-1 gene:Et_3B_030843 transcript:Et_3B_030843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRDNEFNVLDIIANPSIARIKRSVMVFLQSDGRTCTNTDQLCHCQECLGKYTLLRDEENPRLAIFERRLPCCGCGIGWSSFLLGFLCPLIWYFPAILYCCKYYNRDPRERPGLAASAVAALIFTVLAIIALTVTLIISAHK >Et_4B_039691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26753290:26756186:1 gene:Et_4B_039691 transcript:Et_4B_039691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSITKNGFFQSVSTVLWKTPADGDALPAANGPDGGSPGRSRSAPALPKPQTSDAAVAVQSKAPEPVKMASAAAAAQPEAAAPNNKPAEQDAAKAEAAPRPRPKVPQVKRVSSAGLLVGSVLKRKTENLKDKYSLGRRLGQGQFGTTYLCVERSTGKEFACKSILKRKLVTDDDVEDVRREIQIMYHLAGHPNVISIRGAYEDAVAVHLVMELCAGGELFDRIVQRGHYTERKAAELARVIVGVVEVCHSMGVMHRDLKPENFLFVDQKEEAALKTIDFGLSIFFRPGQIFTDVVGSPYYVAPEVLKKKYGPEADVWSAGVIIYILLCGVPPFWAENEQGIFEEVLHGRLDFQSEPWPSISEGAKDLVRRMLVRDPKKRLTAHEVLRHPWVQVGGLAPDKPLDSAVLSRMKQFSAMNKLKKMALRVIAENLSEDEIAGLKEMFKMIDTDNSGHITYEELKVGLKKVGANLQESEIQALMEAADVDNSGTIDYGEFIAATLHMNKIEREDHLFAAFQYFDKDGSGYITPDELQLACEEFGLGDVQLEEMIREVDQDNDGRIDYNEFVAMMQKPTLGLPKKSGGLQNSFSIGFREALKMA >Et_1B_011864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26678274:26681511:1 gene:Et_1B_011864 transcript:Et_1B_011864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAETSLDAHAPGLPKAVSPCRRHRLAAALALLMLLFLGAEITFPSSFRAIRPLLLLVPPAPPRHGGASSSPSPPRQVVDPSTAPQRVAVCLVGGARRFELTGPSIARHVLGELPAGGATDVFLHCPLDADAHKLSVLARAAPPGIALAAVRVFRPRRIRETPERVRALTGLNSPRGIQPPPTPGRVARAAAPLPRGRALLLPFRAAPPRGFLFFLAKVAVCLVGGARRFELTGPSIARHVLARLPAGATDVFLHSPLDADAYRLSVLARAAPPGASLAAVRVFRPQPIRETPARMRALTGEHSPKGIQARGNFTYSWVLRTRVDGFWTGPLDPAGAFHPTAYVVPEGSRFGGLNDRLGAGGRAASEAALPRLSSLPRLAALGHRGLNSESAFRAQLRAAGVPARERRFPFCVLSDRTYAFPPWSASAVPVASVGSPGPLSGAKCRPCRRPACRGACVARYAGRLRRAWSWTEWRGGAVELCDASGLWERGWEATFDEVAGAEAAAVRRSVARMGAQECVQEMEKLVARAESWDAPSPAEICRLQFGSARSPAPSRLDTSPSDGNTTISTEHTKGALKEQQRQMV >Et_3B_029399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24698192:24700740:1 gene:Et_3B_029399 transcript:Et_3B_029399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQRPSAELSSSHWRAPEMARSSSCTRSSWAKCLPCCGSTRSNKLTGCNLSGDLLPLGATAHQPQELRKWKYLVSPYDPRYKVWETFLILLVVYSAWICPLEFAFLRYLPRAPFVVDDVVNGFFAVDILLTFFVPYVDNKSYQVVDDPKKIALRYLSSWFVFDVCSTFPFHSISLLFNRHEHGLGFKFLNALRLWRLRRVSSLFARLEKDIRFNYAVVRCTKLISVTLFAVHCAGCINYLIADRYPDPRRTWIGAVMPDFRDAGLWIRYVTSLYWSITTMTTTGYGDLHAENPREMLFGIAYMLFNLWLTAYLIGNMTNLVVHSTSRTRDFRDMVQAATEFAARNQLPQQIEEQMLNHICLRFKTEGLKQQETLDILPKAMRTSISLYLFFPVVQGSYLFKGVSSGFIQKLVTEMQAEYFAPKEDIILQNDNPSDLYLLVSGAVDIVAFLDETEQVYGKAAEGVLGEIGVMYNRPQPFTFRTSKLSQILRISRPKLMEIIQENGEDGQIIRSNIEQFRMHQDGAAPESEQRLNP >Et_1B_014091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30209174:30211917:-1 gene:Et_1B_014091 transcript:Et_1B_014091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHSREDLEFSDSDDDAESRASDNSSDYGTPSPAPASSRPGGAAAATPASVDAIDRHLRNLHLKYNEPISPNPSPGPTPSANPAALNAVKLYLHIGGSTPSAKWIVADRLAAANFIRTGDDEDDEEPASGPWCLVVGSKIRARVGPELQLKTFPAQRRVDFVADGVWALKFLNADGFGEFCSKYHSCLFENSYGVAATDEGRAKVFGKDFQAWARPEDGDESIWEDATDGFSPAPKGLKTPVPSRSPMLKPLMEDLSEFEEPVEEGSGIQSLALGALDNSFLVGDSGIQVVRNFEHGIHGKGMSVKFSGGSTNFSTPKKALLMRAETNMLLMSPATDGKPHAKGVHQLDIETGKVVSEWKFEKDGADINMRDITNDSKGAQLDPSESTFLGLDDNRLCRWDMRDRRGIVQNLASATESPVLQWTQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSTSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFIDKDGREKTGFTGRMGNRIAAPRLLKLNPLDSHLAGANNRFREGRFSWVTENGKQERHLVATVGKYSVVWNFLQVKNSHHECYQYQEGLKSCYCYKVIPKDESIVASRFMHDKYAVTDSPEAPLVVATPMKVTSFSISSRH >Et_7B_055140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7682123:7685227:-1 gene:Et_7B_055140 transcript:Et_7B_055140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVEESKWPMLKEVSREGNAMAALWLLVALAATAASAAATASRLCPTSCGLIDISYPFGIGPECSLPGFNLTCDSDTYGKRLRLGSPNATVDYMRISASGSISALAVHVMRSVRVPAGAGAYTASWESPGRPFAISGSSNMSLFVLGCGVTAALLDRGGAGAVVGKCSVVCAEEQVMERLPDGLCAGIGCCRIDVRVPLRAFALSIRRSSTSGGVRRNRVTFLVTDQDGYTFTPGDLDRDIDENAVAPALLDWAIPDRANCSLAAADRASYACISNISECQDSSIGGYVCHCSPGFSGNAYIVDGCVPNQVYGSNQPKPNCPRTCGNVSIPFPFGTELGCFARIHLYLACNPGPIPPVLQMTEHSVVTDISVDEGTLRIQKLSDRGDFLENRDSTFYSFSGESGVLKWVVDNTTCKEVKANNYGYRCVSAHSECIDVTDDRTSRHVGYRCRCSSGFQGNPYMEDGCRDIDECLQPDKFTCHGVCQNSIGSFACTACPHGTEFDAAARKCKASSTILGITIGLSSGGGLIFLAAIVGILHRRWKRGVQKKIRRRNFRKNKGILLEQLISSDQNASDSTKIFSLFELEKATNNFDPSRVVGRGGHGTVYKGILSDQRVVAIKRSKRVANIEIDQFVNEIAILSQINHRNVVKLHGCCLESEVPLLVYEFISNGTLYDLLHCRQNGSMLLLSWQERLRIATEVAGALAYLHSAASMSILHRDVKSMNVLLNDSYTAKVSDFGASRLIPIDQTHLVTAVQGTFGYLDPEYYHTGHLTDKSDVYSFGVLLVELLTRKKPIIENENGEKQNLPNYFLWAMREMSLNDILDVQISEEASEEVVMTIARLAQECLILRREARPTMKDVEVRLQLLKGHPVAPRKDDVASPGCDAEQGGHYDRQAVVPVPGQGGTRLYSLEQEFASSLRIPR >Et_7A_050202.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:11318306:11318560:-1 gene:Et_7A_050202 transcript:Et_7A_050202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIGRLVDGFLVEAAKDADLPLDKMIALAEAVPDCARPEHDDLYRAVDTYLRVRKLRQMVSDFQFTMVWTILDCYCFLVCCFS >Et_8A_056666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14841735:14842467:1 gene:Et_8A_056666 transcript:Et_8A_056666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVFVKRLGTGGTSRSVSNAAAQLITVDKFVVGVPVGLEVPDEEGKQRGGGVVRRDHEEDDVVDDLLVRESLGDHVSDHVVGR >Et_5B_045136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9955014:9956298:-1 gene:Et_5B_045136 transcript:Et_5B_045136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTVTGFQALMLHGMKMTSPAIASAMPNLAPRLHLHCCRLGYSRRPANPPSHECISSVPNSRLISPAVCVWCRFEMVDLSCRYTRAKILGTVLWLGSAVAHERPAEPGHAERAASSSSARCSCSRAPSSCRSAANLHACAATIIYFPAPFTVCSVTSLIGAVLTAAFQVATAGRFSPGTPQISLEIVLSLVLVGGLVSSACIMFQTWAIEKKGPVMVSMFSPTQTVGSAIFSALFLGRVMQPGSILGMVFLFSGLYVVLWAKKKEGQVLAADRMATDLPAEHDMEKPLLFHL >Et_3A_025179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27614548:27617354:-1 gene:Et_3A_025179 transcript:Et_3A_025179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKTLNPLIRRSPNTISDPRPLLPLHTFLTSSSSSSSPTAAPFAAAAHPYVPIRSGGPLFLSSPPWMLSQSATPLTAAAAALGARLRRARALAGGGAQAVADAVRWENRRISRAESEDAAAARIKGWGGERFLNAPNLVSIGRMVSGPVIGWMIVNEWYLPAFATLAVSGASDWLDGFLARKMGINSVFGSYLDPLADKVLIGCVAVAMVEMDLLHPGLVGLVVLRDVLLVGGAFYKRASNLGWKWKNWSDFVNLDAVQREKVEPLFISKVNTVFQLMLVAGALLQPEFGTDETQNYITLLSWLVATTTITSTMAYGVKYSRMSPRT >Et_7A_051454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18788000:18788689:-1 gene:Et_7A_051454 transcript:Et_7A_051454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKNLLPIRASDVESVFFFPSFDQILDKCQLGDIVRQNPKKLDSTVVQNGENWSIGQRQLFCLGRVLLKRSNVLVLDEATASVDSSTDAIIQETIRKEFGDCTILTIAHRIHTVIDSDLIISFSEGKIVEYDTPSKLLQNKNSEFARLIKEYSRSNGFNSTAIN >Et_1A_006698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26463581:26465763:-1 gene:Et_1A_006698 transcript:Et_1A_006698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLAAASPFLLHGAAGSSSRRPLAASSSRRSVLRVAALKYDPSKVAPQADRVLVRLEQIPEKSAGGVLLPKSAVKFERYLMGEILSIGADVSEVEAGKKVLFSDINAYEVDLGTEEKHCFCRESDLLAVVE >Et_5B_044347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2378805:2379941:1 gene:Et_5B_044347 transcript:Et_5B_044347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRISLLCFLILASSLLHCARSDGNDAQLLKGINSYRSSQKVPALSENKNAACLAEQLAKQFKGEPCTNTTGANTVIGTEQQFPDYPKYLDRCHLNASVTEDGQVMPACVPGLSPFDVVLTNYTKSQYNRFLNDSKFSGVGIANEGDWVVVVLSTSTDSGDYSPAPPGSASGNWAAASVRPVSDMVLLLVGFVILMMK >Et_7A_050891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12038528:12040737:1 gene:Et_7A_050891 transcript:Et_7A_050891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLKAARAADVPSAAPDIGGAQFDLASACISGAEEASRPPLLVIGHRGKGVNTLASSPDACPPRGDVVRENTLRSFNDAARCPGVSYVEFDVQVTKDGCPVIFHDTFIYTEQDGEISEKRVTDLRLDEFLSYGPQKDHHGKAGKPLLRKLKDGRIVRWDVQSEDALCTLREAFQGVDRRVGFNVELKFDDGAAYTDDELTGALQAVVFEHANGRPIIFSSFQPDAALLMRRLQDKYPVYFLTKGGTEVFADPRRNSLEEAVRLCLAGGLQGVVSEVRAILRQPSAVAEIKEARLSLLTYGQLNNVPGVVYVQHLMGVDGVIVDHVREIADAVSAFTAAPKLGSEDGEGEKTEAVVRTPSFSQREIFFLLRLIPELVQ >Et_7B_055407.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:165782:166192:-1 gene:Et_7B_055407 transcript:Et_7B_055407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTSAFVSSPLRVAGGGVSGPVATALRIEAAKQLTGRVVTTKADKTVGVEVVRLAPHPKYKRRERIKKKYQAHDPDNQFKVGDVVELRRSRPISKTKHFLAIPLPPRDTRRKNQLLPPLQSQLDGDDQTTPPPAE >Et_7B_054917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5512779:5515147:-1 gene:Et_7B_054917 transcript:Et_7B_054917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSTARPRGLRRLVLRRAAAALLLATLVALPFAVLYRAAVSRSLQDSWGWDSLQFHASSEEEEGAEGDDVDSEDLKLERVLNKASMGDNTVILTTLNAAWASPGSVIDLFMGSFHSGVRTSSLLKHLVIVTFDSEAYKHCVKIHPYCFDLGTEGVDFSEEKRFLTSGYLEMMWKRLDFLRLVLEKGYNFIFTDADIMWFRNPFPHFYPDGDFQIACDHYIGNASDLRNIANGGFSYVKSNERSIEFYSFWYSSRLRYPGYHDQDVFNAIKHDPYVADIGLKIKFLSTAYFGGFCEPSRDLNKVCTMHANCCIGLWSKIHDLRIMMEDWKSYLSLPPHLKQLRALAWRVPQNCRYGTALTLCLEMVQRSVGLRHDVFAVLVHLIIMYVYFCSLSSSH >Et_6A_046333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13103300:13105775:1 gene:Et_6A_046333 transcript:Et_6A_046333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IYAASLASKEDPEHQAIKTDAAPGVEHHKEASIDDGTVDMGVELCETIDDTDMDEQHKDSADDEMMDIDKADSKNPLAATEYVHYKFELMDETLFLTVNIIDRFLEKQVVPRKKPQLVSVPAVADLVIISDRVYTKGQIPEMETLILNTLHFNMSVPTPNGFMRRFLKAADSDKHVKYHNLLINVSRLGH >Et_4B_039516.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2023550:2025874:1 gene:Et_4B_039516 transcript:Et_4B_039516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKLLIVCHLLFLLPVLGAAETLQTYIVQLHPHEGDSEAMFSSRHHWHVSFLEKSVSWEQEKRPASRLLYSYHTVFDGFAAQLSDEEAAALRALPGVASVRADRRVELHTTYSYKFLGLNFCPTGAWARSGYGHGTIVGVLDTGVWPESPSFDDRGMPPAPVRWTGVCQGGERFNATSSCNRKLIGARFYSKGHRANYPTDPSDAASQREYVSPRDAHGHGTHTASTAAGAAVSGASVLGAGLGEARGVAPGAHVAAYKVCWFSGCFSSDILAGMDDAVRDGVDVLSLSLGGFPIPLFEDSIAIGSFRATARGVSVLCAAGNNGPAPSSVANEAPWVLTVGAATLDRRFPAYVRLGNGRVLYGESMYPGEIDLKKGGKELELVYAVGGTRESEYCLKGSLDAAAVEGKMVVCDRGITGRADKGEAVKKAGGAAMVLANSEINGQEDSIDVHVLPATLIGYREAVELKKYITSTPRPVARLVFGGTRIGRARAPAVALFSARGPSLTNPSVLKPDVIAPGVNIIAAWPGNLGPSGLEDDARRSNFTVLSGTSMACPHVSGVAALVRSAHPSWSPAMVRSAIMTTADITDRQGKAIADGNGGRADVFAMGAGHVSPARAVDPGLVYDIEPADYVTHLCTLGYTQLEIFKITHAGVNCSALLRRNRGFSLNYPSIAVAFKNGAKSSVVLQRTVTNVGSPNSTYTAQVAAPPGVKVSVAPTTLAFLEFGEKRSFRVTIDAPSPAAKDSAEGYLVWKQSGGQGKHVVRSPIAVTWVVE >Et_1A_008366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:765018:768781:1 gene:Et_1A_008366 transcript:Et_1A_008366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAAAPHLMHCGGFSRLPQLPALRRRRSALRRVRAVATEPKPSTSSRPKPRSRNDISDTRFGEMSKEIQRVRKQMEEDEQLASLMRGLRGQNLRDEQFADENVRLRLVEVESTDNNEGLPLVYSPEIISAYWGKRPRAVATRVVQLLSVAGGFISHIISDLITDKLKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPSAMTELQKLCDKVPSFPDDIAMALLEEELGKPWQEIYSELSPSPIAAASLGQVYKGRLKETEELVAVKVQRPFVLETVTIDLFIIRNLGLVLRRFPQVVVPKTYHKYTSRKVLTTQWIEGEKLSQSTEDDVGSLVRLVTKLTDDQKYGMIEAIAHLIHRDYDAIVKDFVKLGFIPEGVNLDPILPVLAKVFDQALEGGGAKNINFQELAADLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGDPEFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKTGVFDAERFIDVMQAFENFIRAAKSGGGEDLKGNMAELADIGTQPSTSLVPVFPMAIAQPEQPVKARAALAFLLSERGNFFREFILDEIVKAIDAVSREQLIQIAASFGLGNATPVFGMVPVRARALLPTITEVDRVILNNVEKVVKFLTSGTATPAMNGDVNMVSVVQELLPVLPGISSKILPDVLSRLSSRVFARVIREAFL >Et_4B_038679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3969851:3978774:-1 gene:Et_4B_038679 transcript:Et_4B_038679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQSPKPIRCKASAFPRILGHEAYGVVESVGEGVKEFAPGDTVAPTCLGQCDSCSNCVVEDKNMCTAVPFIIGPGMRRDGTSRFRDSQGTPLHDCMAVSSFSEYTVVDMNQVVKLDPSVPPKIACLLSCGAGTGVGAAWRLANVEPGSSVAVFGLGTVGLAVVQGAKMCGASKIIGKAFGVTDFVNPSQLDKRSVVEAIMEMTGGGVDYSFECIGVSSVMTDAFRSTKPGGGKTIVLGSEQAGEPVSVPSVELLFGKFRDSHGNPLHDLLAVSSFSEYTVVDVNQVAKVDPAVPPKIACILSCGAGTGVGAAWRLAKVQPCSSVAVFGLGSVGLAAIIQLTGGGADYSFECIGVSSVMTDAFRSTKPGNGKTIILGLEKDSEPVCLPSIELLFGKCVMGSLFGGIKPKTDIPILAEKCMNKELELDGLITHENGAPAPIRCKGTPLISLALLRQFVPPGAARHFLPSMHSDFPGIFPRIFGHEAFGVVESVGEHVEEFAAGDAVVPTFLGQCSDCVDCKSARSNMCSKYRFAVRPGMLRDGTSRFRDGSGNPLHHFLGVSSFAEYTVVDVNHVVKVNPAMPPSLACLLSCGASTGVGAAWKLAKVEPGSSVAIFGLGAVGLAVAEGARICGASKIIGKFGVTHFINPKELGEKSVSQEILETTDGGADYCFECIGLAALMNDAFLSSREGWGKTIILGVEMHGAPLSIPSREILHGKSVIGSLFGGVKPKEDIPILADKYLNKVSSVQTQIELISRTSLSQSRLVLGREIYHFS >Et_5A_042839.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:5367244:5367507:1 gene:Et_5A_042839 transcript:Et_5A_042839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRLLLLLALLLLTLLATAVIVEGDVAVAGSTGAANATDDAAEPPAGGAALDVRARKWWRFPPAEDLVRGSERRVPNSSDPLHNR >Et_8A_056926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1960117:1960982:-1 gene:Et_8A_056926 transcript:Et_8A_056926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRMIELESQEAVETMRAATVAHVRASAALRLLAFVASLAAALVVVTNREDRWGVTVSFKLFDVWVAFVVINFVNAAYALLTAIPVKRFISKRWLHHTDLFMVNLQTAATAGAGAVGSVAMWGNKPSGWFAVCRLYRLYCDKGAVSLALAFVAFAALGVSASLSRYPRAPPPASR >Et_9A_062935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:780567:783088:1 gene:Et_9A_062935 transcript:Et_9A_062935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAPAAGGAGMVLGGGTAVAAAAAAGGADDAVVMQLAATEGEESVITVNCPDEAGLGCDLCHTILEFGLRITRGDVSTDGHWCFVVFWVVPRSPPIKIRWASLKNRLMAMCPSAYSIPFYPDVIQPGPLQYYLLKLLSHDRKGLLHDVTHILSDLELIIHRVKVCTTPDGKVVDLFFITDGKELLHTKERQEETCSTLTATLGLSISCEILPAEGFQQGFSSLPPKIAEELFRVELADSEIYSSSLSAELKRMQTATINFDNALSPAHTLLQIICADQKGLIYDILRTLKDCNIQVFYGRFRSDKKVNKGLREVDLFVKQVDGKKIIDSEKQDVLRSRLRSEMLHPLRVMIVNRGPDIELLVANPVELSGKGRPHVFYDATLALKELGICIFSAEIGRQAASERQWEVYRFLLHDSKEFPLANSVTNRNRVVDRVRKTLMGCCN >Et_8A_056746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16203482:16209726:1 gene:Et_8A_056746 transcript:Et_8A_056746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSFLFSPKGLLISTLVLLVARWYMKHWRSKNPWRPMDWPLLGVVPSLVTRLHNFNDQVTAVLAAHGRSIKSYGPVASGMRMFVTADPENVRHIFTANHGNYPKGEDFAEIFDMLRGSIFTVDGERCRHQRAMFQAFLGNPRVLETMASSCRDKLARGLLPLMARKASEGEAFDIQDVMTRFIFDVTARPTFGVDPGRLPADDGDMPPMDAAHAMDTVMEVGLIRHVLPAACWKLMRRLNVGPERKLALAHKTLHGFVTEMLEKRKEARKRATGGEDGEDVAGVDIVLSCDPVVQSDGSQLTRTLVNYMVAGRDTIGTTMPWLIYNLATNPRVVAGIRDELAPVVASRKAAGDMIVFEQEETRDLVYLQAAILESLRLYPPGPFERKMVLADDDAVPSGHVLRAGETVLVSLYAMARIESVWGKDCREYVPERWIVDSEDGGGAASSKLRYVPSHKFLAFNSGPRLCMGKDIALAQMKTIVAAVVWNFDVELVQGQTVQPKLSCVLQVKNGLKFFLATTIMAPYLPEVLISLALLLSLHLYIKSWRLKCRALYPMDWPLVGMTPSILANLHNFHDYLADILAASGSNFMAHGPVGSRMRFFFTCDPENIRHIFTSNMTNYPKGEEFTEIFDVMRGTIFTDDAGPWRRQRARIKTILSDPRMVASMAAFCRAKVARGLVPFLEEMASTGDVFDMQDLVARFVFDMGALQIFAVDPGFLQPPWTSSSSSSMRVASEAMDTVMEVGFFRHVVPASCWKAMRLLNVGPERRLAAAHGVIHAFLTEMMAKSKSTETRRRFTELVINHEQEKEDDVVVVAAADFLTSDPEYSGDEPQLRRMLVNYLVAGRDTIGTSLPWVFYNLAQNPRVVAAIRDELAPVVAAKRKSTQDTVQLVVFDPEETRPLVYFHAAMLESLRLYPPGPIERKTVVADDVLPSGGHEVRAGDAVLIPIYAMGRMESLWGEDCREYRPERWLADGGGAGKKLRYVPSNKFMAFNTGPRACLGKDIAVSQMKTVVSAVVWNFDLEMVEGQTVQPKLSCLLQMKNGLKMVVKKREI >Et_9A_063253.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17722585:17724003:1 gene:Et_9A_063253 transcript:Et_9A_063253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNKSGFSLQDATLHPPALPADDDDDGAEIPWVLIDDHAYVADCRNATTAFATTWDNKDIQVTFCLARPPRVSYICVFCPGREHTEFPLEPKILDMTEDLVLLRIIVGSKRRVFEDVDYYIYQAADRAAGGGPSLKLLPRPPEPYAFDSTNVGILRCGTTYKQHHEDQSSSRFVLRPRRDITDDDDDFYVVAGLCTAHYPKQEEFILCHYNSKAPTRWNTDTVGVSLNRQQRVQYGCYFRHGNYKVIAIGGEAGTMGFVDLWRGILFCDVLDVVQGRKPIPPLGYVALPPSLVPDLVPRGDPRLSRDIAVVEGEEGLIIKYVELIVLSKPSEGLEGSSVIEGWVSETWKIPGSAGTYSENSWVRDCRYESSLISVDSNPQFELLPKVLDYQGKPLPPFKKLDIRQPTLSLHGDDGIVYFMVKKDREDPKAWVVAVDTRKNALQEVAKFAADRTVDVTFAYVHSRISKYLR >Et_3A_024808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24411078:24424602:-1 gene:Et_3A_024808 transcript:Et_3A_024808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKDQAEGGCRGDNNESSLWYQIAIELLFPSTFCVCCVHAIAIVVLIVRAYGNVVNMDGCCYHPMSSSVELAGVKGLEPALAPGAASPAFDLLVRVDNGHVYDRYREGGNVTVSYAGVPLAHGRTPAFRVGAMAAVTFTVNATTEALGVPEDLFRLMSAERRWGVAQLEVSMKLGWPGWESYTLSVDLDGKTSCAFITMKPESLSLRKDSADEKSRKAAWSEIRTILYMLLALALLPLVLYLLFDLPPKFSVQITDIQGLDDAASLSTVVFKINLHASNKHGSGSTCYRHGEAVVRYSGFTLALGRTRAFCVGGKGTMVVPIVAWADGVRLPKDIGERMVAEQRAAGSVELEVAVKLFAQEDSEGAEPTWLWCKVVTGRAKPSDVIPCSVFRLKIWASDFAPHWMLRRRPSLFLDADADKKEKSQAARRRKRERDKLLVSCARDISFIVFVVVLPVYCFCNMPPEFSIQLQPIKGLDTAASGIASISTAFNLTLHDSNRRLTGRCYHNGEALVSYEGFTIATGRVPGFCVTGKGDREVRFLASGDGVGLPEHVLDRMALERRIGAMQLDVEVKLFRRDDGSGRPMWIWCGLRMDGAQPPNVIACTVLEQANHVLRGARRLQGPPPGHVPRGNLAILRPHLEDIDNGHDFYLSHGGGDVAVSYAGVPLARGRTPSFEMANKEVRAQPVKATSAGVGVPEDLFRLMTEERKWGVAQLRIELGLGWDTFTCDVDLDGQTRKERTPMASTDHKNDGCGFCCWIVATILYGGLFLLLTYLPQTGPAVDRYGKPTTCSVELVGFEGLQLPTAPGATSPAFDLILHMDNGHTIYLNHGGGDVVVSYAGVPLAQGRTPSFQMAPEEVAALPVKVTDAGVGVPEDLFLLMTEERKWGVAQFRIEFDLAWNSFTCDVDLDGQPSVSECYKPTSKSAMRSGKHMPSSTVARNGTSQLPFAGTQNVSTRPDAIANPPYVTAAPPSW >Et_3A_025809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33049116:33050611:-1 gene:Et_3A_025809 transcript:Et_3A_025809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAIIFVASFLAILFNAAHVESGAHFYSLFVFGDSYADTGNTPKDDLSSVSRQWYTPYGVTTMHGNPSGRFSDGDLETDFLARMLGHVVAPSTYKDRNYGDAAWLGMNFAHGGAGVFQEPSLSKQIRYFEKLQAERPWRLTTDSVALIAISGKDYERAASMSNAEEMTAIIGKVTTEIAKGVKRLHKIGVIKVLVNNLHPAGCTPRQTRSLNYTKCDGNGNAFAESHNTELIKKLNVARNRDSVHIIDLNRAFSSIINPQDPSTSPESAEEFTHKLKPCCRSSDPDGYCGQVDDDEEPQYSVCKNPEQHFFWDDTHPSQAGWDAVMGQLESDIKDFLDISN >Et_3B_028367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14226158:14242499:-1 gene:Et_3B_028367 transcript:Et_3B_028367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGPAERRDEVTQAAMVNGNGVAPPPSRPPGRPSSATAYAERRLRPIPNTEHKPQDYSDVRGEYAPAVYSALERHLPPSLLEADRDVKLQFMRDILTRYWPQGERNKVQRHKEYRQRILHLYKPLHQELYNMHPSAFFLPTFLEAVRSNTEESLRSIMTEPTPGVYSFAMLQPNFCEMLLEEVENFEKWVHAMKFKIMRPNTMNKYGAVLDDFGLEAMLNQFMEQFIAPISKVFYPEVGGGTLDSHHAFVVEYGKDRDVELGFHVDDSEVTLNVCLGRQFSGGELYFRGIRCENHVNSETQHEEMYDYSHVPGQAVLHHGRHRHGARATSSGLRINLLLWCRSSVFREMKKYQKDFSSWCGECKREKMERQSQSVKATKLGCWRDDDLNRIYSTFTCGLKDKYLW >Et_4B_037088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14513637:14518948:-1 gene:Et_4B_037088 transcript:Et_4B_037088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHAMLLSLFLLYKFLSKDLIIAGLAATIRGIATLSATLPPIKHFLTKECNYKEVVSPTPPIHIHAYLISVVSVDFIKAQVAASVPGFFFSMLYAAMKYFLANNVLGISSHIQGIKMLSLRCILQGYTLGEAPYCGTFVALALRIAVTRVSKNCFFNCTFLLYIVGSSVIIIIMNWFQAAQDGEPAILHVAPGVIGFLAVYCFWNGEVKLDGVNQLMGKVWGWNMYGTKLKKFDGSVLSTNEDGGRCEDDVTALREDHGDDPKDGSSGPESSADIDYWQDGHRVVQFLSISANFPICAINGYDGEHGRCIYAHSEGEVQEDGMVDLVPIGPSELLMAYGAIGLEIFHYTTAHDEGHFVREDGGVHGPLWDVNDNDETEGYKRTINPGPGRELEITYLVIPTAVQTSVEVRLKLEDLGSRSRAVYGNIKANAIDYRNRRVHLFSCDRGRCLSFPSGTTSILPLSPSKIALPCSRLLKFHIEVDLTVITTCESHEDDKNMKFSLEFTRGITSQEREVDDDRVQVEIKYCSEY >Et_3A_024293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19189111:19191480:1 gene:Et_3A_024293 transcript:Et_3A_024293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAREEEAAQPLLPRTREKEEAASPGWRQWAREAGRLGYLALPMLVANLSQYAVQVSSSMVVGHLPGVLPLSSAAIATSLATVSGFSLLVGMASALETLCGQAYGANHYHKLGVQTYRAMVTLLAVCIPLTVLWAFMGKILVLIGQEPLIAQGAGRYIIWLIPGLFANAVLQPTIKFLQTQSLIFPLLWSSAATLAIHVPLCYVMVFKTGFGYTGAALSISISYWLNVFMLVGYIVLSSSCKETRTPPTTEAFKGIDAFLHLALPSALMICIEWWSFEILILLSGFLPNPELQTSVLSICLTTITLLYTLPYGFGVAGSTRVANELGAGNPEGARFSVRVVMSMAALEAVIISGTLLALRHLVGQAYSSEEEVISFVATMVPLISITVITDSLQEVLSGIARGCGWQHLGMYVNLGSFYLLGMPMAILLGFVLNMGGRGLWMGLVCGSLSQTTLLSAITIFTDWPKMAEKARERVFDEKPVEPGSRHLLE >Et_2A_018358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24387289:24388141:1 gene:Et_2A_018358 transcript:Et_2A_018358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVLAPSGDLLQIWGNYDCIPDVEDVSQPELKPLEENDDFDEPELDFDSEPRRCYSTEFIVYRVDLTAKNLVEITSFDERALFLGQNQSICVRAEEYTQLKANHVYFTDIDEYIVCVDSELLQLKC >Et_1B_011357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21032035:21035516:1 gene:Et_1B_011357 transcript:Et_1B_011357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVYLLPLLFLLVAIPFATSATPPAPSNTSSDILLSFLAALPPESQRILLPSWKANASSGAGAGNSSTPGPHCAFLGVTCSAAGAVATLNLSAVGLSGELAESAPRLCALPELSVLDLSSNNFTGAVPATLASCSGVDTLVLSNNSLSGNIPPELLSSRQLRHVELQLNTLAGEIPAPSAGESVLEFLSLKDNSLSGAIPPELVALPELYYLELNTNQLSGPIPDFPTGCKLKYLNLYTNQIAGEIPRSLSNCGNLTKLYLSFNKMGGAVPDFFASLPMLQHLYLNDNAFTGELPASIGELLNLEMLVAATNSFTGPITELRKLQVLSLFQNRLEGTVPTALWQMPELEELHLYNNSLSGEVPAEVTLMRNLRELTLASNNFTGEIPQALGLNTTGGLVWVDLTGNSFHGAIPPGLCTGGRLSVLDLGWNQFSGGIPSDIIKCQSLYRIRLGNNLLSGSLPSDLGTNTGLSFVELNGNLFEGRIPSVFGSWRNLTMLDLSGNNFSGPIPPELGSLSNLGSLVLSSNRLSGPIPKELGNCKRLLRLDLKNNLLTGRIPAEIIALGSLQNLIISGNKLTGEIPDSFTARQSLLEVQLGGNSLEGAIPRSLGNLQVSLRLLNISYNRLSKQIPSSLGNLQNLETLDLSTNSLSGPIPSQLSNMIALNFVNVSFNDLSGELPNGWDKRAEQSPEGFLGNPQLCIHSSNGPCSRNHSRKLISKNTKIIVALLASALAVMVSGLCAIHYMVKRSRRLAANRVSVRGLDMTEELPEDLTFDDILRATDNWSEKYVIGRGRHGTVYRTEFAPGRLWAVKTVDLSQVKFPIEMKILNMVKHRNIVKMEGYCIRGNFGLILSEYMPEGTLFELLHERKPQVPLDWKVRHQIALGAAQGLSYLHHDCVPMIVHRDFKSSNILMDAELVPKIADFGMGKIVGDDDADATVSVVVGTLGYIAPEHGYNTRLTEKSDVYSYGVVLLELLCRKMPVDPAFGDGVDIVAWMTSNLNDADHYSIMKFLDEEILYWPQEDQVKALDLLDLAMSCTQLAFQSRPSMREVVSILMRIE >Et_6A_047447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:607536:609254:-1 gene:Et_6A_047447 transcript:Et_6A_047447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGRQRELVAQLRELLSPATDDCSSVKLESGELSPGRRRRCRASGKRARGNDDEAAAGSNPHPRCSKPRKKQQSSSLVTCTPDFDGYQWRKYGQKQIEGAMYPRSYYRCIWSAEQGCRAKRTVQRNDDDIDCGNGSTYTVVYVAEHTCTANDSLEAAPVILETTAVPTTINDNRPADADDVAGPGAFLALETRHDAAATAIDDAAAATASITPGMESPATSDDVTWSGASEHVVVDVDYDSSSWMFDGSWAPTTHHPVESSALVQDMDDFTGPIRSPVHIATGGGWTMDQYLLLVHEPITTHFSAGFSF >Et_9A_062243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21033276:21037510:1 gene:Et_9A_062243 transcript:Et_9A_062243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKNSGNIQNVQKAKHVQGGGPNWILVASGVLLSTLSVRLGCKLKQLFVTKQKNSASRAKRRRVVCELHSDLYRFSDQTRCYCGMSGHADGGVEVKQAPSSPVSKLTEPSNLLLKIPGPESSKENSGVMWSTSPDRLEDPRKPFQYSNCSGSPSVSESGSDIYSKREVIQKLRQQLKRRDEMIMEMQAQIADLKNSLSIQDFLLQSYKAQKVELCSKIRELQEKLSAQEHHHLTMLVMLSLLLPLHRPQSLSLLRYLVSFLDSVSSLNHNFKHSIFETPMQEAISI >Et_1A_008574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8952168:8955111:-1 gene:Et_1A_008574 transcript:Et_1A_008574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGEGDRVGGIGAAGIPGGQQFVDRSKVRILLCDGDSNSSREVLRLLSNCSYQVTCAKSPRQVINILNYEGGEIDIILAEVDLPVTKCFKMLKYIARNKDLRHIPIIMMSNRDEVPVVVKCLRLGAAEYLVKPLRTNELLNLWTHVWRRRRMLGLPEKNFFNDNFELVHSEPSDANTTSTTLLSDETDDRPKETTYHEPGTSNQREYEFYPSVAEPEQKDKMEDVQGSVAAASPRRLYSRPIKTNLRVAESSAFLAYVKPSTPTSSSFDSELQRSGSRLDSLDNQGNFSSATDRSDTGIDVNIRDKEACETPVQYPMVCYSSSNLHMEQSSEGHNDTSRTPPVYHFPFYYPGMLEHGMPHPSSQNFQGNINHAQSHPPPTLFPQYNIYPQCHSMPMMQPFQFNPAGMSMQSSHLPTQNVWSPVTSTPMPEETCNRSERRAQALAKFRQKRKERCFDKKVRYVNRKKLAETRPRVRGQFVRQASNADIISTGDDISEDEDDDPSSREVEMFHGHGEHQVR >Et_1A_007971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39782624:39799493:-1 gene:Et_1A_007971 transcript:Et_1A_007971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QEGLTNVQTILHRRRKSDREMMAVVDNLKCLCIDHYFQEEIEGAMAACTHLVHSDDLRDATLAFRLMREAGHDVSAGLARYVRHTLDHPYHLSLTQYKARHHLSYLQSLPSRNTAMEDLAIAEFQLNKLLHQNEMQEIKRWWMDQGLAQDVPMARDQVLKWYMWPMTILQGSSFSRYRVAITKIIAIVYIVDDIFDLVGTPEELSRFTDALDIAASDSLPSYMRSCYKALYNVTSEMADMAEKEHGLNPIDHLRKSWATLFDGFMVEAKWLATGQVPSAEDYLRNGVVTSGVPLAFAHTFFLVGHDQIQRASIEAAKLIEHIPRAISCPAKILRLWDDMGSAKDEAQEGLDGSYRDFYLMENPSRSPADAKEHMLSLIIREWEELNWECFRRRTFSSSLMHACLNATRMVSVMYSYDDEQRLPPLVLSASAAAGNGRQGRLGGTIRSSAAASKTLLPSDPDLQEGLTNVQTILRQCRKSGREMMAAVDNLKRLCIDHYFEEEIEVAMAACNDLVHSDDLLDATLAFRLMREAGHAVSADDILQKFTDGTGEFSLALTKDIRGLLSLHDMSHLNIGEEASLYKAKEFSSKHLASAIGYLEPSLARYVRQSLDHPYHLSLKQYKARHHLSYLQSLPNRNIAMEELAVAEFQLNKLLHQNEMQEIRRWWVNLGLAQELPVVRDQVLKWYMWSMTVLQGSSYSRHRIEITKIISFVYVVDDVFDLVGTEEELSCFTDAIKIWDLAAADSLPMYMRSCYKGLYTVTNEIADMAEKEHGLNPINHLKEAWAMLFDGFMVEAKWLATDHVPTAEDYFRNGVVTSGVPLLFGHILFLLGHDHASSNEAVKLIDHIPPAISCPAKILRLYDDMGSAKDEDQEGLDGSYRDFYLMENPGCTVADAEKHMLSLIAMEWEELNRECFIRMSFPFTFTQACLNAARMISVMYGYNDEQKLPVLEDYMRTVQRTKLTKLMAAVDNLKRLCIDHYFQEEIESAMRACMHLVHSDDLFDATLAFRLMREAGHDVSADDILRKFTDGVGDFSLALSKDIRGILSLHDMSHLNIGEEALLYKAKEFSSRHLASAVRYLEPGLARYVRQSLDHPYHLSLMQYKARHHLSYLQSQPNRNTAMEELAISEFQLNKLLHQNEIQEIKSGQCCLMDSWWKRNGWQPIRFPQQRTILEMVLSLQECPLYFHTSWHGQASSNEALKLIDPVPPVISCPAKILRLHDDMGSAKDEAQEGLDGSYRDFYLMENPRCAADDVEEHMLRLIGMEWEELNRECFRRSSFASSFTQACLNTARMVSVMYGYNEEQKLPVLEDYMKMLLL >Et_8A_056547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12157983:12161570:1 gene:Et_8A_056547 transcript:Et_8A_056547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAKGEKMRTQGAYRASASNASKSCFEVARMGRDTYRLDCWLGWTCNRKCTPYPDEALAMAINAISTPCGGAATSGGVTRTAAPSLFINAAQPLLAVAFLPRPVAAAVVLTYLPCLVRAGVFQEVFPKLNHATTGAVERARPVPGLRQMCLRPLCLDFDGDAAAGGDELPAVMAPLPGEAVASAVWELRKLTYLDTVGPRCERYMYGAASPLFRDYHYDADL >Et_8B_060826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8577916:8581681:1 gene:Et_8B_060826 transcript:Et_8B_060826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPDQPVHHTLTHALVASPPENPSGISPSFLPPPPPPPTPRRRPRGSRRLRRFAAAREGQEARSEAPDGPLRGEARRRAAGEGGGAGRGSARGAHRAAATGTTVGSLLARLTPPTARAARKGAGERARPSEGTQPALVRIQKGTRRTGVAVRMGAGRPSRTRAAAGAGGGAVTPRRGAGRRGSARPRAAADAAAQGVPDSVVAREGVAVGTKRPSPAAPPAHHPPPKRTAVSARRQFPPGCGRDAAAPLGRADSSSTRFQAAAMGAGDSPDAPHNAAASPHPPPLAGRRDDGEPSSGAASLGSAACASVIAKVPHVPAARLLAKRRIVSAHRSFPPGCGRPLLSVEVRLLVESGRRGDGNTRSEEMVADHGDVSAAADEQAMEIDAAPPYVGAATATDGGAVQDEELEEGEIPPAKEHPTVAEEHIIGPQVSVSVTLHEPAADCGHEPSVPATDAVQAPPVAAEDFKVVNSPAGSSSCNVSVQSLSSEDPSEDLKGNKGSQIPKVEESSDVAKDFKVVNSSVRNSCNTAVQSLSSEVPSEEEDLKRKRVPEIPKMDESSAVAKDFKVMNSSAVSSGNVAVQSLSEGPSEEDLKGKKVSGIPKMDESSGVGARVPSEPAMRRKVMFTARKSVRPPKGILKSAVDTQHAPFSKNNEKSEPGSKNVIEDTDEFTKDLVKQALMSSEKCLGTQGKEADTVKGYFGPRKKVKVNDPKSEIRRRVTRNVIKNDSDEFTTDVGKQVPMSSEKRPMTQGKEASAVRGYFGPRKVKVKVPANVPIKVNLSCKLGSKDKFGDKVASNLEGDDILKGLAVREGKLEFYLKEKTPVPYMKCLRQYGVQNADARSKVKMMCRRFEAICRTIAQAVDQRSMKVRRIDIEADKAIRTLPDFTKHGPIVGEVPGVQVGDEFLYRVQLAIVGLHRPYQGGIDSTKDTNGVLVAISVVASGGYPDERSSSGELVYTGSGGKHAGRNAVGDQKLERGNLALKNCINRKSPVRVIHGFKRQNIEESSHSRAKEITTFTYDGLYHVVDCWREVMPVTQAEEGLTKCMEATTSVQRHWRLLIVEGEPEWSHIR >Et_3B_031379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27660274:27662008:-1 gene:Et_3B_031379 transcript:Et_3B_031379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRDRPAAWEAEAETARVLMLLAQAQQRELLHLRRQHHGAAAFPAGLVAPTPSSLGGTSGRVFECKTCSRQFPTFQALGGHRASHKRPRLLHHQQSPGADDHAELCLGRQPLPLPPQHPANKPRVHECPVCGLEFAIGQALGGHMRRHRAEAEGTDQAPAAKLLLLPASTEKACDVAGGICLDLNLTPSENCAKCRNVLVLGAAAGQDN >Et_1B_011411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21904046:21930270:-1 gene:Et_1B_011411 transcript:Et_1B_011411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAVELSSGKPMPRIGFGTATSTFGQADGHAGAKEAVLRGLDAGYRHFDTAAAYNTEAALGDALAEAVRAGTIASRDEVFVTSKLWIADAHPGRVLPALKKTLQNLQMEYVDLYLVHFPVSMKPPLAEGGPLVVKKDLVAMDMEAVWAEMEECQRRGLAKAIGVSNFSCKKLERLLSFAKIPPAANQVFIGLPFFSFPQNNKRVEVHPYCRQNKLRDFCWERGIQLCAYSPLGGKDTPWANGSVMDSPVLKQIAQVRGKTVAQVCIRWVYEQGDCVIVKSFNERRMRENLNIFDWELTDEDRRKINESGPYKTVDEFWDGEITAGECKQTRGNRWSKTRSRAKGDRTVAMAVPAVALSSGKPMPRIGFGTATSTLGHAEGHAGVKEAVLRALDAGYRHFDTAAAYNTEAALGDAIAEAVRAGTITSRDEVFVTSKLWIADAHPGRVLPALQKTLKNLQMEYVDLYLIHHPVSMKPPSSTGGPLIVKKHLVALDMEGVWREMEECQRRGLAKAIGVSNFSCKKLERLLSFAKIPPAANQVEVHPYCRQNKLREFCREKGIQLCAYSPLGGKDTPWANGSVMDSPVLKQIAQNRGKTVAQLCIRWVYEQGDCVIVKSFHERRMRENLDIFGWELTDEDRGKINDIPESRGNYDFFVHESGPYKTVDELWDGEITAGQCKQTILVSFHYRKTIFDWELSDHDHSKINDMTSRNRSMNLAPPASRCRASGLERPTSTLGHAEGHAGVKEAVVRALDAGYRHFDTAAAYNTEASLGDAVAEAVRAGTIASRDEVFVTSKLWVADAHPGRVLPALNKTLQTLQMEYVDLYLIHFPVSMRPPAAEERPGGDGHGGGVGRDGECLRRGLAKASGVSNFSCKKLEHLLSFAKIPPAANKVTFCLELQKKRDFRNKQPINFNGSSVLGHG >Et_1A_008411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7443581:7447510:-1 gene:Et_1A_008411 transcript:Et_1A_008411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGRDIQQPILPRTYRTTRLASDKSTTPLAIMGAAATDLEARQLRILSRIADLELAAQQQRLGALSITDGEANAGSTEARLSAILVERGVRDFAFRRVPADYYDRPLEERRGLLHADSVAQLCKSIVMVNTQAAADVVDCSNPKNSKYYVVVVQYMARLNAENIKNFLYELNEKQIPKKRFNMRLAPEEESHKLTGFVHNGVTCIGMETNIPVIIDEAITKLDEDFFWLGGGEVDLKLGMRTSQFLNAFRPFVITHQP >Et_1A_009148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3164789:3167501:1 gene:Et_1A_009148 transcript:Et_1A_009148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKEILPAPKTSVSTFYDHSSDPWFKERYGGESAQESAAKPAVAAKPVPPYGKRAGFVPRRPEDFGDGGAFPEIHVAQYPLGMGRRDDKGASKILALTVDAQGSVAFDAVVKQGENASKIVYSKHSDLVPKIATADSEAANDEEEQKEIEETMERTKAALEKIVNVRLSAAQPKNVPTHDSESKFIKYKPSQQSAAFNSGAKERIIRMSEMAVDPLEPPKFKHKRVPRASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVQMRSKVQKELMLKEKERKEQELRALAQKARMERTGGPPAPSAVPAGGGGGRGAVDAIDEDMDMEQPREQRRETREEREARIERDRIREERRRERERERRLEARDAATGKKSKITRDRDRDISEKIALGMASTGGAKGGEVMYDQRLFNQDKGMDSGFAADDQYNIYSKGLFTAQSTMSTLYRPKKDSDSDVYGDADEQLEKVMKTERFKPDKAFTGASERTGKRDRPMEFDKQEENDPFGLDQFLTEVKKGKKAVEKIGGGGTMKASAGSSMRDDYEGGGSGSLVPLHTSHESIVFRVMFAR >Et_3B_030124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30702664:30710662:1 gene:Et_3B_030124 transcript:Et_3B_030124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGSSSRRPVAAARSRGRPAASEPEPDPRRAAAAARRRARGDHGPLRLMDLSPRALTLLGIASVALVSVAFVAYTGGWWQEAEGEGSATLRTVMRSVTPLPAPRMMDLPQFQSNHKESLYWGTYRPNVYLGLRARTPLSLIAGLMWIGLKNGQYFLRHVCQDSDELSTYGWTAHNGRDYGRQVLVDHGLFLTTSFLKEKGEGSGFGGDWAVRLDTNSERSSISAAQESTTHLFFYIADESGKSITMGSDEASSRGPVRLASGSHEEIGDWELYLRSEDSVEIYRAGFKSNSMHNLSDLVQQAVATNAMQTGNLNLPDVTEDSSNIMVYQVSVKSSAKIDIVFLSGAASKNAMIEERISKLTGTMLSARLESKQKDFEERYGEILYANDKIDSRDVSVGRAALSNLLGGIGYFYGQSRIALPKGFTQKNGDKYIPYWPAALYTAVPSRSFFPRGFLWDEGFHQLVIGRWDVHISMDIIGHWLDLLNSDGWIPREQILGAEALSKVPEEFVLQYPSNGNPPTLFLAIRDLASGIHAKKFSDEEAEEISTFLERAYIRLNSWFQWFNSTQSGKYEGTFYWHGRDNMTTRELNPKTLTSGLDDYPRASHPNDEERHVDLRCWMLLATNCMRSIAEFLKMDSALEKDYHKMSNELSDFGTLNKLHLDGKIGAYFDYGNHTEKVRLRWYDIKDKDAMRRELLRETLQPPQLQFVPHVGYVSLFPFMMGAIPPQSWVLEKQLDLISNTSILWTDYGLRSLSKTSSIYMKRNTEHDAPYWRGAIWINMNYMILSALHHYAHEEGPYKGRAGELYDKLRSNLIRNIVQNYHETGFFWENYDQKNKGKGKGARSFTGWTSLVVLMMAESYPTLHR >Et_7A_051594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20970220:20971891:-1 gene:Et_7A_051594 transcript:Et_7A_051594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPEPSVMSTSSSPSLLHSKHCTSVPTARPTIFMARLSPGHPRRPIPNGIVNLPSAFPLTNLSGENISGSSQTRASRCTACTDVIASDGAVGRRLVRRQERADRVEAKGLQDDGLEGRLGRSDSSMSRFVPTTLSISALAFAKAAGWFSSNAIAHSIVLDEAEPCDDLVAVRLRVGRLHLQQVADHRLLPLLCLLRRRGRAVLVDEPLRPLVARPGQPFRLPVHAADVEQPEHWHEILQIGRPVHSGALLDHVAEPLGLLRRCRGVVVAAVIPAIIIATVFIASSNARAFTSARAGVPDLAGAGVGGEEEAVGGENVDGGDAAEVAPVGAVAGGAHVV >Et_10A_001720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7196653:7200303:-1 gene:Et_10A_001720 transcript:Et_10A_001720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVIQCSVGNISLFHLGSFRTSREIQIRRFNGSASYSRIVSPSSRRLLQPQTAFHLIGIYKRRTLSAATVGTDVTVEDQNSSPSGDASDENSKAAPGTVEAGEQAEASTDQASAPKLGRNIRKSEMPALNEEDLVPGASFTGKVRSIKPFGVFVDIGAFTEGLVHISRVSDGFVKDISSLFTVGQEVSVRLLEANRETGRISLTMREGGDYVKPPKETQAASGGRSDTTTATRNSPRQTKGKQEAKAFSESKYVPGQSMTGTVKSTNRSGTFVALPDGSEGFLPREEEAVALFTLIGQSAMEVGKQIRVKVLNVAQGQATLTMKDVEDDEDDLKELNTELKRDWSRGTNAFELAFRRNKEISAFLDQREKTNVPQAAAKSLETDIPTAEIESVESDSSVSVTEPEGKEEVTSVSEISSNGAADSTSVSSVSETETKPTETEESSAAEEVPVTASSGSVDDATNGSAEKEPAAVAEAAAVPSEETGAEVATARVEQASTATATVSPALVKQLREATGAGMMDCKKALAESGGDIEKAQEFLRKKGLAAADKRAGRATAEGRIGSYIHDSRIGVLLEVNCETDFVSRGDVFKELVDDLAMQIAACPQVSYISIDDVPEEVVKKETELEMQREDLLSKPEQIRAKIVEGRVNKRLGEFALFEQPFIKNDKVTVGDWVKQTIATIGENMKVKRFVRYNLGEGLEKKSQDFAAEVAAQTAAKAPPSSPPKDDAPAETTETAEKKPAVAVSAALVKQLREETGAGMMDCKKALAETGGDIQKAQEFLRKKGLSSADKKSSRLAAEGLIGSYIHDNRIGCMIEINSETDFVARNEKFKELVNDLAMQVVACPQVEYVSVEDIPESVVSKEKELEMQREDLQSKPENIREKIVEGRIAKRLGVMALLEQPFIKDDSKTVKDLVKEMIATLGENIKVRRFVRYTLGEN >Et_8A_057062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20712229:20726506:1 gene:Et_8A_057062 transcript:Et_8A_057062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHKMVEELTVHSFPFEPKKIDRDVLCAMAKVAVLTAPLEVFVGKQFVDDELLKYIGDRSPSLKGLGLVSCNGVFNEGFTEVITKFLLLQDLLLLLCNNLSGRDVYEATGKACAQLRRFWQRTAWSSATDEGEAIGVAAMHELRSLTLTGSGLTNDDLAVILNGCPHLELLSLPGCYNIVVDEALKAKCTRIKMLTLPVFRAPDEDEYETFQATDCARAAKEEMVARTDALSASPPSGSRDWLELPLDALSSVFTKLGAVDILMGAGLVCRNCLEAAKKPEVWRSVRMSHHMLVEEMDGKVLRAMAKVAVDRSAGQLEVFVGKYFVNDELLKYIWERSSSIPSLDSFTQIWSPCLKGLRLSYCGCVTKEGLTSLFAKSPLLEDLALMFCNRIGGHGFIEATGKACKQLKRFSLGKEFLGLSWTYSGSKMITDASGITAMHELRSLSLIECHLTNDELVSILDSCPQLEILCLRGCFNIVVYSTLRAKCARIKELTLPNVCPAESNVEEEAQYAVLAQPPQPPPAGPPAAGLVGAAAGRAVGRPPQARPHRDPDGRRPGVPVLVPCGPGRAGAVAPQHAELHFEVDLHAMAATAVRRSVGQCEAFWGEYAGDDRFIRYLSERAPALNSLRFISSYDVCQEAFMEAMRKFPLLEELELSLSPNVEGEAFGVVGESCPNLKRFRLSKKVFISIEGGGFDKDEEARGIAKMHELSSLQLFNCELTDMGLAAILDGFPHLES >Et_3B_031151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18403375:18420968:1 gene:Et_3B_031151 transcript:Et_3B_031151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSSPTHPPPAEHRNELSTTTIHSLGEDLLLSIFLCLLSLATLIRAALTCRLWRRANDSAERSLNIHEFPVLVPIRRRDRDLTAAVRGDDFFLTSLQDLFEESLSWYIDCCHGCVLLMNGDLVSLVVFNPLMRRCEDAFDIWPEDAFSDYRGYCRQIDARLVVSREDPTSFRVVILSHDKSRVRAMVFSSDAREWSVLPWVDVPATSSDYNNRWIKTEGGKQANGFLYWAYQDWRHLISLDMATMEFSITELPHHCLRCCIFDVGETKDGATCMVYPDGFNIGVIVHLRGDDGVERWVLDRIVPLQTEVEGVLEGCYLDLD >Et_4A_035812.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:6607742:6608434:-1 gene:Et_4A_035812 transcript:Et_4A_035812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPASRRRRLFPLRPRPLQPGRLLSAAAAAACTLLFLVLVLLSTTPSMPPSRHHVGARSSSPSLTRPSHPCGAASLGDLGDAMVSMLPKDLPFTVFAPSADAFRRVLNPRPDNASSARATDAGQTAGDDTDNANTYAVLSRVLGFSAVPRRLLAADVPPRGGARALESVSGLRIHVARDGARGAAALVANGVRSECVDVVRGETVVHVMAGVLMDAEFERSLSVGFDFFR >Et_2B_021501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30110922:30113358:1 gene:Et_2B_021501 transcript:Et_2B_021501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSHLRVVAVAVAVAAFLGEASGIGLDLHHRSSSVVRQWAEARGYPLDAPWPDHGSPEYYHELSRHDRALFARRGLAGADGLVAFAAGNATFQFTSLGFLYYALVTVGTPKSAFLVALDTGSDLFWVPCDCKQCASLDMFNNSTLGVNLQPYSPSQSSTSKAVTCAHKLCDRPNACAGNASTSCPYGVQYVSANTSSSGVLVEDLLYFTGEQPSGAAGEALQASVVFGCGQVQTGLFLRGGAPDGLLGLGMDKISVPNALASSGLVASDSFSMCFGYDDSGRLNFGDTGSSDQSETPFIVSTIHPSYNVSFTSINVGTETSPVPFTAIMDSGTSFTYLNEPEYSTLAKSVQEKRTNFTSSSGSTIPFDYCYAFSNDQKDPQIPTVSLTTKGGAVFPVLSPVIVLGSKNSNGQVRTVGYCLAIIKNDITINIIGQNFMSGLKVVFNREKSVLGWQEFDCKRMHFSFYVQNRQTVAESNKILTRSNHYLMDAGYKNAAATATPAAGSPPPRATRSPPPPPTPKENDGNTTIPDAVPVPPMPRSVSSGHAACKLGGLSLLLPLLIAALV >Et_4A_035872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7700031:7703926:1 gene:Et_4A_035872 transcript:Et_4A_035872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSHQHLQQPPPPPQQQQQPVAPSFRNALPVQVDGQIPAPLAFFNPPPAYPEQPAQAPLLDAVGLTAAVGLGWRQPREQELLGENSQMSSIDFLQTGSAVSTGLALSLEDRRHGGGSGAGNSSGDSPLLLLPMLDDDISREVQRLDADMDRFIKAQSERLRQSILEKVQAKQFEALASVEDKILRKIRDKEAEVETINKRNSELEDQIKQLAVEVGAWQQRAKYNESMISALKYNLEQVCAHQSKDFKEGCGDSEVDDTASCCNGGPANLQLMPNESRQPKDSTACRVCKSSEACMLLLPCRHLCLCKECESKLSFCPLCQSSKILGMEIYM >Et_1B_009846.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:32741132:32741490:-1 gene:Et_1B_009846 transcript:Et_1B_009846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLHARLVVTGHVAYTETHVGNGAEMVDALRAMAPSYSLFILGRSGGGAWAEEMTRGMGDSWGADEFPELGPVGELLASDDFRGGGSVLALQQHSMHKTRTRKQEEPPPQQSSSP >Et_3B_030529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4686578:4694238:-1 gene:Et_3B_030529 transcript:Et_3B_030529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLQWPLQLQLQPGRPALAVVQRRRRSRLLLSVRRAPPLRARCCAGASADSEKAQASARRAYPYDEIEPRWQRYWEEHRTFRTPDIGEGLDISKPKCYILDMFPYPSGAGLHVGHPLGYTATDILSRFKRMKGFNVLHPMGWDAFGLPAEQYAIQTGTHPKITTERNIDRFRSQLKSLGFSYDWDREISTTEPDYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVVDGVSERGGYPVIRKPMRQWMLRITSYADRLLEDLDELNWPESIKEMQRNWIGRSEGAELEFFAVDKDGHDLGASLLVYTTRPDTIFGATYLVVAPEHVLLPSLTSEEQRVHVEEYKELAARKSELERTDLQKEKTGVFSGSYAKNPATGEIIPIWVADYVLGGYGTGAIMAVPAHDSRDHEFAMKYELPIIRVVSPPNGNCDPGEAYADEGIMINSANSSSGLNINGMLSQDAALKVIEWVENNGFGKKQVNYKLRDWLFARQRYWGEPFPVIYLDDTDEMVPLSENELPLMLPELDDFTPTGTGEPPLTKATNWVRAVDSSSGKPARRETSTMPQWAGSCWYYLRFMDPKNSSMLVDKAKERYWGPVDIYVGGAEHSVLHLLYARFWHKVLYDIGVVSTKEPFKCLINQGLILGEVEYTAYKDIEGRWVSADSGSSLIDCCQEKIPADQVTKVGDHYVLKDDPNIRIDARAYKMSKSRGNVINPDDVVSEYGADSLRLYEMFMGPLRDSKTWSTGGIEGVHRFLGRTWRLIVGLPLSDGSYKDGTTSTEDEPTMDQLRVLHKCIARVSEEIQETRFNTAISAMMEFVNAAYKAKNEYLEESKIVLPVQINGKTRGSILVDKACSEDDAFQIAASDEKLSKYIAGKGIKKRIYVPGRIMNVIVDQQKART >Et_10A_000834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1810639:1813319:-1 gene:Et_10A_000834 transcript:Et_10A_000834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSSTTLRGVGAVASAKPRSAAAPGTRPRNPLLPIRLPHERGLLASAPACSGWRRTKRSVARSARVAAKGAAGSARGRLVARNAVAVTYRPHLPPSAKADEATAAAGSKSGGHEVLMFEALREALIEEMKFDPTVCVMGEDVGHYGGSYKVTKGLSDMFGDLRVLDTPIAENSFTGMGVGAAMKGLRPVVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLFKAAIRSENPVVLFEHVLLYNLKEKIPDEEYICCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSTIIDNFWDYLDAPVMCLSSQDVPTPYAATLEDATVVQPAQIVAAVEQICQ >Et_2B_020656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22454843:22459836:1 gene:Et_2B_020656 transcript:Et_2B_020656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQGLGRPEEVCGKKRRLESMKPVSAGEEEVAGNGGLPLAVEDIVRHPVPGYEAPTGISFSPDGQRIAFLFSPDGTLHRQVFVLDTVDWKQGLLFAAPDGDGLEEGNISADERLRREWVTYYEWCFGSAGGRDGIVVPLPSGVYFQDFCGSEPELKLPSTPSSPIIDPHLSPNGSMIAFVKDDELYSLEFSDGVIRQLTFGARENGKTRGLAEFIAEEEMERKTGIWWSPDSENLAFTEVDSSGVPLYRIMHQGKNDVGPNAQEDHPYPFAGEANVKVRLGVVPSHGGEITWMDILCGGQNKSSGGKEEYLARVNWMHNNSLAVQVLNRAHTQLKLFKFDIATGRREVLLEEKHNLWVTIHDCFTPLDKGESGKYEDCFVWASEKTGFRHLYLHENDGTCIAPLTQGDWMVDQVVAVNECTELIYFTGSLDGPLESHLYQTNLLLDCNLPLQTPKRLTRGTGWHSVILDHQLLRFIDVYESLKSPPVISLCSLIDGSVIFSIYQPVTVPRLINIQQFPPEIVQICAKDGNSLFANIYLPDEKQFGPPPYRTLISVYGGPSVQLLDNRGTSRRGLQFEGHLKYNVGRVDAEDQLTGVEWLIKQGLAVPGHIGLYGWSYGGFLSAMCLARFPDIFCCAVAGAPVTAWDGYDTFYTEKYMGQPTENKDAYEFGSVMHHVNNLKGKLLLIHGMMDENVHFRHTARLVNSLIAARKPYELLVFPDERHVPRGLQSRLYMEERIQEFLDRNLIMSFGLDVCKASLKALSAMAWNGMSSIVFPKCVISFGSKQI >Et_3B_031727.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:9006388:9007224:-1 gene:Et_3B_031727 transcript:Et_3B_031727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSCALLVAAVVAFLVASGGGIAAARHGPGGKCSPVEALVSEQLYNSLFLHKDDAACPAKGFYTYSSFIQAARTFPKFAGAGDLATRKREVAAFFAQISHETTGGWATAPDGPYAWGLCYKEEISPASDYCDATDREWPCYPGKSYHGRGPIQLSWNFNYGPAGRALGFDGLRNPEVVANCSATAFRTALWFWMTPRRPKPSCHEVMIGEFRPTADDAAANRTAGFGLVTNIVNGGLECNRTDDARVNNRIGFYRRYCQIFNVDTGPNLDCAHQQPY >Et_8B_058704.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:17377505:17377864:1 gene:Et_8B_058704 transcript:Et_8B_058704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATSDDGPIRIGDVVLFRKPVPNDDPPDVVHRVIEVRERRDSGVDILTKGDDNDLDNVAFLYDGTPYLRRGQVIGKAVGYLPGTGWPAIALDEARVDRRAVAGALSLVALVQIVREAMKQ >Et_4A_032056.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:14329395:14329412:1 gene:Et_4A_032056 transcript:Et_4A_032056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLL >Et_5B_045573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4630172:4633031:-1 gene:Et_5B_045573 transcript:Et_5B_045573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLVVAVVLLLSSNLAASQWCVCRSDAPQAALQKTIDYACGAGAECNSIHETGPCYNPNTVVAHCSWAANSYYQNNKARGATCDFTGTATLSTSDPSSSGCSYPTSASAAGTMTPTTGGTMGGTPGTGTFTPGVGTGTGTTTGTGTGTTTGTGMGTGTGMGTGATGSGLGSLGPTGTGMDTAAAGLLPRAGLAATFLAVLLCTIAEASTATRG >Et_4A_031966.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7123381:7123884:1 gene:Et_4A_031966 transcript:Et_4A_031966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VNLQITHFKIRRNRELTGGPWRGTRPSSSPAFLHTTRSTNASQIKTPSPHQHIPSQRTEHTAKKPRRRGIWTGERPGWMDGADGAHPCRERNGQELCVPLSLRSSLSLLPRLKIPVMRWNEEREAGGEASTSAGRQLELLFDTLMNQYPENNYLTHYKMYLSLSPDS >Et_4A_035732.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:4572437:4572943:-1 gene:Et_4A_035732 transcript:Et_4A_035732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAVVELELRMQLLGGAYNINDNADLLAEILARLDGRSLAAAACVCRLWAAVARRDAVWEALCLRHVGPPAPAPGPATRAVVAALGGYRRLYRLCLGPALDRLQAQAQAQAQARRAHLSLSLSLSLFSIDCYERLGGAPGGAAAGRQTPPPPPSSLLFLCKPVDVS >Et_5A_040576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:100113:112386:-1 gene:Et_5A_040576 transcript:Et_5A_040576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACQQPSMDGPSLRDARLLGNGAVGEAYRLRGEDDFRNNEPDGLLSEPTSGAQQDELENQQQQVCWERFLQKKTIKVLLVESDDSTRQVVSALLRHCMYQVIPAENGQQAWTYLEDIQNNIDVVLAEVSMPVLSGISLLSKIMSHNICKNIPVIMMSSNDAMNTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESAIQTQKGAKLKSGNESDNNSGSNDDDDDDASMGLNARDGSDNGSGTQAQSSWTKRAVEIDSPQAMSPDQLADPPDSTCAQVIHPKSEICSNRWLPSTTNRNCKKQKETNDNFKGKDLEIGGPQNVNMDHQSFPNERPGTPSDRCREFAPEHNSKEKTIENLEPIVRAADLIGSMAKNMDAQQAARAADAPNCSTKVPEGIDKNCDNVLPSLELSLKRFRSSGDGANGIQDEQRNVLIRSDPSAFTRYHTSAASNQGGTGLVGSCSPHDNSSEAMKTDSTYNMKSNSDAAQIKQGSNGSSNNNDMGSSTKNVVTKPTTNKERVMLPSAIKANAHTSAFHPVQHWTLPANTTGKAKANEVVNNAAKNAHPGEVQSNLMQHSRPILQCVRSCENGVSGTPRCGSSNVFDPPLEGQAANYGVNGSNTGSNNATNGQNGSTVAANWQQTNTDLANGSIDKSGPEVGNGSGSGNEAYAKWIAPAPETTLREKILSKYKLKRKERNFGRKVRYPSRKDLADQRARFRGQFVKQAVQDQGGREGGTDR >Et_4B_039822.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3444985:3445602:-1 gene:Et_4B_039822 transcript:Et_4B_039822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGSKIVSYIFAIVIVASFTTSTCMGIETKPEEAAKPSAAALEFLRARCNTVWHANECYDSLLPHAESFNGNYIKVAAAATDIFVSHLEGLLGELRHLNSTTTEYTVGGCIKFADASLNLSKEWSAKLKRLEAVRDGKLDEKAKGYATKWVEKVANKFGECTMDLGNVPAEMVPHEQIALYFAYITQGLVNGIPLTSAAAPASA >Et_3B_031355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26610891:26613496:1 gene:Et_3B_031355 transcript:Et_3B_031355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSPSSTWTSSSAAFSSVSSSSSTSSCYVPPSWSTPTQQGKKKRGRCTRAKNAGAGGAVAIPRRGSSIYRGVTRHKATGKYEAHLWDKHACSPTTKKKGRQGAYDSEEAAARTYDLAALKYWGSRCELNFPLESYKQEREKMQRTTREAFLATLRRRSSGFSRGVSQYRGVAKHHNSGRWEARIGHAGGKKYIYLGTFGSQEEAARAYDLAALEFRGHGAVTNFDISSYFLQPPGPRAQPKPVLQPKDEPVDDAPLSRTQPTPLLQPKPEPEDPALLDADDVDHAIAEILPALCMDPADFEARYPARRARAPGCWPPSDDLPLPDRVRFEDDIEALFDAVPDDDAVSYAAATAISSLASGRWL >Et_4A_034437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32608508:32612547:1 gene:Et_4A_034437 transcript:Et_4A_034437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVASSGGPACCLQLRLLRRPPPAPPSLRILLLSPARIRLRAAPSASGSFAGWSDRKDDDDGAEPPPKSLGFGAGLLGPGLAGFFFLAALTFAAVSIGSNNGTRVQTQKLPPTDSAAMETFSDDDSSKENAVEDDQLSLPAGIPQCEEVTNELPTLRQTTAVPEGDSQREKEHHLQNTNLVADGNYIVSEDTHQFDDQIASDGTGSPLPSPPLPTTAESEQVPSIANLEETSDSKVALSENHNLSETTTSDAVVLDSDDAVRMREIADSASVATSYPKNKDTEHNPEIYIKDETSLSTLPDHMEYESIDTMHSLSSNDLHTESRKLGDVEETLTGDLKERENELESQRNLFKSISPGQALSPAGIPAPSLASSASQLPAGQILIPATVDPTQENAVSALQVLKVIEPSARASDLCTRREYARWMVVASNCLSRNTFSKVYPAMYIDNFTELAFDDITPEDPDFPYIQGLAEAGLISSKLSRSDMNVREDVQNHHNLFLPESPLSRQDLVSWKMALDKRQLPEVDRNSLFKASGYLDIDKIDSAAWPALVADLGAGDQSITALAFGFTRLFQPDKPVTKGQAALAISTGDSAEVVLEELARIEAEKIAEAAVNAHGALVAQVENDLNASFERELTKEKEKVETLEKLAEEAKMELDKLRAEREEEKNTLLRGRAAVESEMEVLLRLRSEVEEQLQSVLTKKVEISFEKSRIEKLQKEIENENLAVVQLQYELEVERKALSMARAWAEEEARKAREHARALEDARNQWERQGIKVVVEEGLENDASAGVTWANAGKEHPVDEAINRAESLLEKLKSMSAEMKIRSRGVLDRVMQHLRSFIASLKQSAANARQQCTEFGIAAASKANKLAAEVQESACAFGSTIGDKSKRVIEDCKEGLDKFTHRFKTD >Et_6A_047721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11666052:11668608:1 gene:Et_6A_047721 transcript:Et_6A_047721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKHSVLMFLRWTNATAGRPVSQLIGFRSEHSRWERRRTSVCDVKKSETRKSVSANPKNLQTRLG >Et_3B_029754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27602190:27609533:-1 gene:Et_3B_029754 transcript:Et_3B_029754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEIHEEGGEAVASPSARTVKVMSVSGSGKRGRYVRQVTGRHNDTDLHVAARGGDAAAVRRALGEAAAAAAADEGAEGLEAERRAVAAEPNQAGETPLVAAAERGHLEVVVELLRHLDAEGVAMKNRSGYDALHVAAREGHHAVVQEILRHDRMLAKTFGPANTTPLISAAMRGHIEVVRLLLEQDDFGLIEMAKDNGKNALHFAARQGHIGIVNALLEKDPQLARRNDKKGQTALHMAVKGTGCDVLRALVDADPAIVMLPDKNGNTALHVATRKKRAEIVTVLLRLPDTHVNALTRDHKTAYDIAEGLPVCEESCEIKDILSQHGALRSRELNQPRDELRKTVTEIKKDVHTQLEQTRKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNDDNGLAVVVQAASFKIFFIFNAVALFTSLAVVVVQITVVRGETKSERRVVEVINKLMWLASVCTTISFIASCYIVLGHHFQWAAILVTLIGGVTMAGVLGTMTYYVVKSKRIRKIRKKEKMSRRSGSSSWYDNTELSETEFNQAYTLEYLSSFFYFLLCSRNSTPQPSENSAAIFDADDDMNRKPASLALFLTEASCCDFLQYAIAP >Et_5A_040319.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:13532738:13533121:1 gene:Et_5A_040319 transcript:Et_5A_040319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PVLSHSLIPQQYLTLTASRRPNASAAGELHPIVLIAVEGCRLTEAYHPSMPCCGAMKGKGWFGGMAAGANLKYWSERKHVHQAPHPGRAYSLPRVHEPDAWPSLLQVVEDDLPPGRRVVCEDAVVEV >Et_9A_062548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23971262:23973606:-1 gene:Et_9A_062548 transcript:Et_9A_062548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSRARLLLLCAVVALLLSAPSGVAGSHGRHRNERRHGHTKKLRPGKSAAKPYPVNATRVEAIERQFTRWVRFMGGLGGHTTFNRALNRGFLPTRSIVVDKNPGAGDFTSIQAAVDSLPLINLARVVIKVNAGTYTEKVNISPMRAFITVEGAGADRTVVQWGDTAETVGPWGRPFGTFASATFAVNSAFFVAKNITFKNTAPVPKPGALGKQGVALRISADNAAFVGCNFLGAQDTLYDHLGRHYYRDCYIEGSVDFIFGNALSLYEGCHVHAIARNYGALTAQNRMSLLEDTGFSFVNCRVTGSGALYLGRAWGTFSRVVFAYTYMDNIIIPRGWYNWGDPTREMTVFYGQYRCSGPGANYAGRVQWSRELTDDEAKPFISLDFIDGFEWLRL >Et_5B_045755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8798493:8799684:-1 gene:Et_5B_045755 transcript:Et_5B_045755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHLKKPALCALLLLAAFLCLAFSVSADFDDDYDPRYGPGRYGRGPGFGRGPGGYGRGPRFGRGPYGRDCRFGRCRGGGGGFGGGGGFGGGGGAGGGLGGGAGGGLGGGGGLGGGGGGGLGGGGGGGLGGGAGGGAGGGFGGGAGGGAGAGGGLGGGGGGGFGGGGGGGLGGGGGKGGGFGAGGGLAVEAGLAAEEAAEWAVVEEAGLAEVQVEASVRAAAWVAEPAPVAALVVVVAAVWVVVEAVDLEVVAEKVEASAQVVASEVVSVEAAGLAVVAAAAWAVEAAVVLVAALAAGSAAAQALVSEVEQAEAAALVVVAAAAWAAVEAAGWAVVPAAVSVAALAEEPAMAAVSAAAAALVVEAEVVSVAAEVPGAGLVAVPGEALAMAAD >Et_1A_008004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40061830:40063646:1 gene:Et_1A_008004 transcript:Et_1A_008004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGDLDEIANGAVRRAGLFAASARSNQAAAAGLVSPIGVVSSLSSARKRDMTWKAALLMSNSRLVAVHVKATAAAQNNFLILSELAPQASTTLHVTRWRHIGNHGGGGGHGEDVVGGDEDVVGDGGAEDVVVVGGQCKDVSGGGEDVVGIKDRISALPDPRGKEFIITTVEVLALRLKFDHKQTKIFAAYLNCFPNVKTLHIEASGASGNQSISIPIWLKRAGQVDCIVNSIRDLFIHDFT >Et_2B_020752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23220289:23220784:-1 gene:Et_2B_020752 transcript:Et_2B_020752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVKDFYRQKKKGGVTKASPSSKKKTQQYTGGASVGASNIAQTSALISHGSWDLKDDFGDQEEQLRQFDMDMKFGPCIGVTRLQRWERASAMGLQPPPQIRDLLTSSTKNRTNSGLSPECLWEGKV >Et_2A_017339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33337244:33346236:-1 gene:Et_2A_017339 transcript:Et_2A_017339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAGDRGAESPERPEERRRRSEAVEWLRALLRGSSLPLPPPGASDNELRTALADGALLCAALGRLRLPSCPGPAPGEGAAAGSDVGRFVAAVELMGLPSFAASDLDAGPMSDVIICLLALRDRFGSHAGEGLSCSIEGKGNIEFPTRENGHGTQNFEPVEERKQMKVDLQKVPKSPAASEPSCTISRPELSSISRHTGHDFHEVFHLRHGIYSDMPMSKILEMMKSTSLDNAPTQSLLSFVNSILDEIIEKKNGEIPYHIACLLRKVILEIERRMSTQAEHIRNQNNLMRVREEKYKSRIRVLEALASGTNGQANSSVTNGKAHDAADHALQMKMDEGKIEERQLVDKDIACLMKDKATIARLTKDNEDMARLLKDKEDIIRLMKEKEEMFMLMKDKEDLVSLKKGRVDDRTQSVDEHEDSKNTILKLKLELESVRSSYEECHSLIKSNKEDVLKLLKDKDYSDTRISKLSQELAVSRRAHKTHTQELENRALKETEEFQQRIKELELELEDSRKRGRDLEVLLQAKMETWKRKEIVVNQTVGLQMHNIQDLRLSSISIRHELQNCQKKWSEEISGLGQSLKVLINDAENYHTALDENRKLFNEIQELKGNIRVYCRIRPFLPGDDQKSTTIEYVGDNGELIIGNPARQGKEGSKSFKFNKVLGPTASQDEVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKEWGVNYRALNDLFHISRNRILNTTQPNALAVPDATLHPVNSTTDVIELMRTGLANRAVGSTALNERSSRSHSVVTIHIRGVDLKNGTTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLSALGDVIFSLSQKNAHVPYRNSKLTQVLQNSLGGHAKTLMFVQINPDVSSYSETLSTLKFAERVSGVELGAAKANKEGKDIRELMEQLSLLKHKIAKKDEEINRLHLLQTQTPRARTAKRADSPLKHSSSSPGISSLGNKIQHRRTASGGKAMSIGSRAFSDADNFSEISDRHSESGSMQSVDETLSHKEIIGLPTLSIGEMGQNSADPDLACFDYADSEERLSDISDSGLSMGTETDGSISSVVELTLFPEQDKTYISMKEQEKAPRTPNDRLSKVATRVQKTTVPRTAQTSSLKSLYNTSNIYTTNFQHFEAMDVAQISGYGAVKNPTMFGDVCKLDQYVH >Et_10B_002908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13352167:13356261:1 gene:Et_10B_002908 transcript:Et_10B_002908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQVRTSASYSHGLDRRAPCNVLGWDQRFWIALISQRNILLDQDLEPKITDFGLAKLLNRDRVLMPICPGLEEHKGYMAAQWVSTSPITENVDVLWVVRGVRISDWVVDGVMFIEMDTRIVVKVIQEKMDVDDPEISFKDLIVCRLNGEYNRVQAKAMLKIAVSFLEEDRAKRPSMCSVVQALISVEDEKKVDVYSFFFFCGGVVLLELVKGVRISDWVMDGIMFAEMDPQIAVKAVQEKMDVDDQETCFQDLINRRLNRKYNIAQVKDNRVVPGALLRAQPRGA >Et_5B_044780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5771187:5775499:1 gene:Et_5B_044780 transcript:Et_5B_044780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFLLTPGSAPAAPSTLSRLLLPLHVQNRNNLRRVHAASSPHPPNNRTASSLLLRNRRGRFVATSSSSQMAAPADAPGGSADAFEVIRAHQAKAARLPPVEEIRTILDRSVRGVLATHSQEHAGYPSSSMVDFACDQDGSPILAVSSLAIHSKNLSGNPKCSLLVAKDPEDRTDTVITVYGDAVPVSDEEKDSMRSAYLKRHPEAFWVDFGDFSFLHIKPKAVRYVSGVATAILGSGEFSAAEFKEAKVDPISQFATPITGHMNKDHADDTKLIVQHSTNVDFAYMLDLDSLGFNVKAGYDGTVLKLRIPFPRQAQDRKDVKTLIVEMLQAAKASSSHAE >Et_8A_056270.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19383040:19383171:-1 gene:Et_8A_056270 transcript:Et_8A_056270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRSQVRFNNYLSFVSIFGLNKNQKKSKARCTPNGKHWLDFR >Et_3B_027649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10347687:10348061:1 gene:Et_3B_027649 transcript:Et_3B_027649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWVHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFRKGKYPRYTQ >Et_8B_059866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3453878:3459345:-1 gene:Et_8B_059866 transcript:Et_8B_059866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDLEPLRSGDAALPSSSDPDSPVTPRRSRVRELLRNLDRRLSNRSRGDSEGGVAVAGAGREAGPARRDEESDELGDGAPPEWALLLVGCLLGLATGICVAAFNRGVHVIHEWAWAGTPTEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFEQIKQSLSSQREGIDFMAGIFPTIKAIQAAITLGTGCSLGPEGPSVDIGKSCAYGCAEMMENNRERRIALVAAGSAAGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNVLLGEKAAFIVPTYELKSAAELPLYLILGMLCGVVSVAFRQLVVWFTKTFDMIRKKFGLPAVVCPALGGLGAGLIALRYPGILYWGFTNVDEILHTGKSASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNTAVAHPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQSGRKDTFEATSPRHGYSSLSPHADRNETDWRRPDGDDVELAILDDDPYHYVTNNEEMLLDDLKVSQAMSKHYVKVTPTFTIKEATRLMQEKQQSCILVVDNEDFLEGIVTLGDIRRKGFEPSENSNSTAGDSSALDENSSLVSSCLTRGFQFHGNERGLVTCFPDTDLSTAKVLMEVKEIKQLPVVKRGAGRGNDGRRKVLGLLHYDSIGWCLREELERWKALYQREHFQQTTVNGQ >Et_4B_036656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10138232:10138714:1 gene:Et_4B_036656 transcript:Et_4B_036656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WLQVLAFRDINPQAPTHIVIIPKIKDGLTGLSKAEERHIEILGYLLYVAKVVAKQEGLDDGYRIVINDGPKGCQSVYHIHVHLLGGRQMNWPPG >Et_2B_019636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11484361:11491965:-1 gene:Et_2B_019636 transcript:Et_2B_019636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTCCCPFNGPSVFPKLELRVGRLEFRPPLLPRIAFYLGFSMFLQLFWSFILACIDVVCLVCKMEFNEPCLVSAVLVGDWGSSRFQQLLPPLVSPFFSGGTPSSARLSLNLPEVTSGMKDVVGSPGTWSGLALRVSQCVSAGASMAVMATAYGFSNYTAFCYLIASMGLQLLWSFGLACLDIYSLKTKRDLHNPVLVSLFVVGDWVTAILSFAAASASAGVTILFERDVHFCRMYPQLSCGRYELSVILAFITWSFIATSAVSMFWLLPSL >Et_1A_009080.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28470283:28471041:-1 gene:Et_1A_009080 transcript:Et_1A_009080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRRRGGGLRIKKKARGFMCGCGGSKAVSISDGSEKSPMATPPTAVSSTPTTTSTTFTTNSTTATAKATRRAAPETETHSSSFSPSSCYADTDDAQSSMEESTPSLSALLRQLRDLERSVRFLHAAAPANDDADAAVKNGNNGGGSRRHRRTASEGGGRVEESVAVVKESADPLADFRRSMLQMIVEKEIVGGAELRDLLHRFLSLNAPHHHHIILRAFAEIWEEVFAGYDRTPDFLAAQRHKKQQQLHAA >Et_2B_022602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27661143:27665467:-1 gene:Et_2B_022602 transcript:Et_2B_022602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLTLAVPAASAATTACISGAPSPAPFAGTRAHLSLRFRCSPRGVACALRRRPTKYKTKIQNDDVAAEDDMDDEDEDAALEAIFKQLEEDLENDDLSVGDDEISEEDMARFEQELAEAIGDVGGTEESAGDLSSGFGDSGNDDKEAGSEQSELKNWQLRRLARALKIGRRKTSIKNLASELGLDRALVIELLRNPPPKLLFMSDSLPDETPSKPEVKEVELPSSVIVDEAEASHPTEISQEMELPIHVRSAEWSARKRLKKVQLETLERVYLRSKRPTNTMISSIVQVTNLPRNTIVKWFEDRREQDGVPDHHAAFKRSLSETVFMAGLQRSATTFRRSGSSGLVWDERFLTEADAEAKAGGDGATEEPQPELRHSRSVGSIGMLRRGGRGDDGDDKKAKHKKQKQAQKEEARGNQQQVFRTKDVAPDVDPPSPKVSGCILCSIFGSSGSGSTRRRSKPRKK >Et_2A_016591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26421183:26427288:1 gene:Et_2A_016591 transcript:Et_2A_016591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGRRGHGGGRDRFGGARGEDLRPRFRGRDEGPPQLRRASGWGVAPPSRHLWVGGLAPGVTASDLSELFLRCGDVEGIARDPGRSFAFVSFMREEDAVAAVRELQGARLGGAPVRIEFSKGDKASGIPMDDRYMQYADERHSIERGRKQQPSPEKTVDKSKRNRSTEPSEVLWIGLPHGLKVDEATLWEAFSPFGEIIRITTFPGRTYAFVQYTSISAACRAKEALQGKLFNNPRVSICFSRNEGAAEVGRHTYVPPYSPQPNARRIYEEDFEAFSRARPFDGPSRDFCMSPPQYGTDRLLRDPDDVNFRRNNYFHQEPGVELGPVSSTQPSRRREQHPERIPEEFYEQLRPSPSVRSDAPWHNIPVDRPRRPFPLKDHWDVEDNSYPVTKKLRGVVHDTELPDYPFSEFGPGKNFSGYLRRPLDDLPEDDLHTRTYQASYETTRIHDRHQIDSLRNQTPLIDKHEPWHVQDSSARHLGEVDRLTPEYHEPALKEEWSWNGTIAKGGTPICRARCFPVGKVLNFTLPEFLNCTARTSLDMLAKHYYQAAASWVVFFVPENDADMATYNEFMNYLADKQRAAVCKLGERSTLFLVPPSDFSEQVLRVPGKVSISGVLLKFLQSNPDYSSPNRISLERGPPSSASHLKTDMSSHEDLDLLRRLNRPEIRAFPQGPDYIGSLAGSYNPTNTDFVPPYKPESASLYAGSQLLQERPPIDSRMGGIAHDKYQQLPNTLPSGWSNSINDPGPDSGNFSSLSQNAMSRVYNRTLEPKGTASVYAAGEASSSMPWPPVQPKAQEVARPNQPPLPVSLPQDQLAQLTARLAQQNQPGKEVPIDSSNKQFGFVQNSNPHGHGCSSGSGSIPIQNSLPPFPPSMPQFQVPAPPIQGSLLPNPPIPPPTNTPVLSSSSFVVPPMHAPMNPPHSSMPLGSFVPPLPEGPPPHQQHTSSAPVQPEVPSGQQPSEQLTSQEELDGDPQKRLQATLHLAATLLKQIQQQSNPGTKK >Et_3B_029443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25041229:25044032:1 gene:Et_3B_029443 transcript:Et_3B_029443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAAAVEAVAPARRLVTALGVAACERDAEKLAFIEKMTRGFDAEQERVLAEILARNNGAEYLARHGMEGRTDREAFKARVPVVTYEDLRPEIERIANGDRSNIISSHPITEFLTSSGTSAGERKLMPTIEDELSRRQTLYSLLMPVMNLYVPGLDKGKGLYFLFIKSETKTAGGLPTRPVLTSYYKSDIFRHRPYDPFNVYTSPTAAILCTDSFQSMYAQMLCGLLARTEVLRVGAVFASGLLRAIRFLQLHWKELAHDIRTGVMSARVTEPSIRDAVAEVLKPNPELADFVEAECARDSWERIITRVWPNTKYLDVIVTGAMAQYIPTLKYYSGGLPMACTMYASSECYFGLNLRPMCDPSEVTYTIMPNMGYFELLPHDPDAKPLSKDDPPPPLVDLADAEVGKEYELVITTYAGLCRYRVGDILLVTGFHNAAPQFRFVRRKNVLLSIDSDKTDEAELQAAVERASKLLAPYGAGIAEYTSEADATTIPGHYVVYWELMVREGGAWPEADVFERCCLEMEEALNSVYRQGRNGDAIGPLEIRVVRGGTFEEVMDYAISRGASINQYKAPRCVSFGPIIELLNSRVLSKHFSPACPKYSPHKK >Et_7B_055686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21583469:21585949:1 gene:Et_7B_055686 transcript:Et_7B_055686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLTSPPRHLANACSSSFSCGLGLGGGASMMGISTCVNRVAGATAGRRTTGAGFLLSWYCWGCCCVVVSESSMTVATGFRSRRSSRTLVALRSASPTHPPCCSCCDAAEEPAEEAAEAQTTRRSTICRADRWPCLVIRRSGSRRLAAPEKATMERRSAGPREATTRRIPRLSVCSFSPVMPCLTSSTVTRSMAARRRCCCSLDDTIPGAFTFTATTYLPCRRPDDTSAASGATLTARPDELLLPLLLGLGPPGKKPLKSSSSSPSSSGIGLKPPPLPAAALGATSGSSSSYSFDGAGLRASSCKWRRREARNGACRHGDAHTGHDSTPEDDDDRAWAAMHGKWKLCEHSAVNTAELPLLFTPRQHTPQRHCNQEQSSAICSIDITKRQGFASRCRYHSKLVEHWIKGTRRKEWLTLEGRSDALRSANLDGDLGDAGADSACSSGILGFLMGAGADGGVTGAESAGSCSGLVRQRSVGVAGLLPDLEKKPPAWSRGRRFLALAAAAAASSSWSSRWTDVQSALRHPVPIFLGGGR >Et_9A_061390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10964422:10965952:-1 gene:Et_9A_061390 transcript:Et_9A_061390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLTSRAANSSGDTGSPMASAVATRVQYLPNGEDGSTTTGSGHGRLSKARAGDGASAKGSFPSSSSATDCSSAILEPMISSAAAEEALLAIFDSPLTKAARLQAVYVRAERGVLFEIKPHAGRLQAVYARNAMLFEIKHHVRMPRTFGWFCGFMYKLLEL >Et_1A_006282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:250653:252173:-1 gene:Et_1A_006282 transcript:Et_1A_006282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSNVEAFLEAATPRLRWRSAAMDCFESPSNVWQLEKKDTVDYFSLEDLWEHYSESSAYGLAVPLRLVQPGGGKATITTQHFVPYLSAIQIYTTRAKTLVPSRKNAIIDRAAEAWEARPIPGVAELAQDYPCLTSLKSAELSPSSWLSVAWYPIYQIPYHGNLKGTSACFLTYHSISSVFQDKILPQCGSDSDSRTVAVSPFGLATYRTEGKLWREHPASTGSSSNSNSSSRRRLSDLYWAASSWLKQVGAHHPDFNFFTSHGSAAIAR >Et_5B_043744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15143100:15146525:1 gene:Et_5B_043744 transcript:Et_5B_043744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSMVSSSITSRNTIIPAFVLVFLIFAAVKVAGEPPNVVPPACEEAYAVGNNSFTVDFCLSTLTGHSAGVESYGDLVRIAVNLTTGNATATKAKLDELVASAFGRGLLFYGLRLCQDLYDAVVRVYQPICHAAARDMRFADVKSCLGRTLKAAEVCDLWFQQRKVTSPISKENDFQAREPCHRDNHDHVAWLTCYSVECHKFFSLRRNKEKKSSIIVLTQSVYYFDDDRNNFILKIHVTMATTASMAASSFTSRNTIIRAFVLVFLIFAAAEVAGEPPSVVPPACEEAYAVGHNSFTVDFCLSTLTGHSAGAESYGDLVPIAVNLTTANATATKAKLD >Et_4A_035645.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:32361960:32363072:1 gene:Et_4A_035645 transcript:Et_4A_035645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRLKQEIGGQSAWPDLPPELLERIIGVLAPVDRVAVRLVCASWRACVREFFPSDLPFEVPRLLIRRPGHGGGELAFFSLLHRKILPFALPARVRGGRCCGHIGGWLAMALDSERAVVLCNPVSGRSVAVPAPPVFPVAKMVLSAPPTSPGWVAAALGRGGTIALLQPAVSGAWMTIGIEEGEQHGGFRDMALWRGRLSALGHDGTVFAFRADLRSRAAAVSTLRDAERQLGGGSMCCRWQLYLVETQGDLLLVRKRYCPRRDGGEVDWEVEVRLLASPEKRRWDLLAETPGLALFVGSVLSAAVPVALYDAAPGLRESCVYFARSHVEMMAPHAICEYSLLHEEMKPVPVAGGHAVDVEPVWITPFV >Et_6B_048413.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:19157847:19158014:1 gene:Et_6B_048413 transcript:Et_6B_048413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPDLEEKQSSGAQATVVLGVAPEHKAQFEAAAESDETAHACGCGSGCKCNPCNC >Et_6A_046954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22968709:22990516:-1 gene:Et_6A_046954 transcript:Et_6A_046954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWPSKGKAASSSSSPWSALTPKLLHLVLRRLSSDADRLRFAAVCRQWRRVARQSSSSPWSGLPPELGCLVLRILNRSYADRECFAAVCRHWRYIARHYSPPPPLALPWLWLGSSYGFCCSLSNAKLHVLRSTGRDRLCYGSFGHWLLFKQIGNNHSLFVENPYSGAILRLPTRCEEPLYMYFDGSYYTASYKSSPSVYIHKIIVCSAEIAVALVSYTYNSSNLVACCRPGMSSWSVSVCNRDHQYQDMTLHMGKLYTAANGGDLSVHEVTMRSNRGHPRVLASGTTCYLVHSLSGKLPLVRWYIPFWENIQDPARDLKVKVFEADFETKGKTATATSSPWPCLTPKLLHLVLRRLSSDADRLRFAAVCRHWRHVANQFRSGWSALPPAIADLIVRRLAAPSDRARFAFVCRHWHRVTRKFSPSPSSKPWSSLAPELADLVLRCLNRSYADRDNFAAVCRHWRYVARNYSPPPPPALPWLCSRNGFCYCLPNAKSYVLRSSRGGIQLCHGSFGHWFLFQQICGNRSFFLENRHSRAILMLPIRFREPLDRYFAVSYDDTHSYLTSISADIHKIVVCTEEVFVALFAYRYDNSLKLVVSCRPGMSSWSTSLCNGDNQYQDMVVHTGKLYAAANGGYLSVHEVTVHTNKGQPTVSRIKQAIPAPPQLNGFYQPLDSATRCYLVHSLSGKLLLVRWLIPRSCSINNPAKDLKVKVFEADFERCIWVEVERLDDQVLFVSSRCSKAMSASNDEDYLQANKIYIVDEDIMSSYFRGYHNTCTCVYDMCCKVIQPITLGEQMTDRSNAACKGKATPERSPPWSALTPKLLLLILRRLPSDADRLRFAGVCRHWRHVANQFRSGWSALPPAIADLVVRRLPASADQFLIAMVIGPPAGARLPRPPPPDVLARRPLCRHWRYVATVYSPPLPPALPWICSSYGSCHSLPDGEWHLFRSKKKGELSYGPFGNWLVCKQIGRDLRRCLQNLLTGATLRLPTHAKKPVFMYMDGHIGTPSDERSTCFDIHKVIVCAGDLIVALVRYCHGLSTDVVCCRPGMSSWSMGVCYRYMRFQDMAVHKGKLYAVVNGGDLFAHEITEDRDTREPKVSRIKQVIRAPSHLDGFYETWKSATYLVNSLNGKLLLVRWSVQHSCSVEDRSKSLKLKVFVADFERSLWVEVERLEDQVLFISSNCSKSMSASADDDYLQPNKIYIIDCNVLSWYFWPKHHSCTWVYDMCSKANKVNSHADRVRFAAVCRHWRYVATVYSPQLPPALPWICSSYGSCHSLPDGEWHLFRSRKGELSSYGPFGNWLVFKQIGSDHRSLRNPLTGATLGLPTHSKKPAYVYTDGPLESTSNKRSTCFDIDKVIACAGELIIALVRYEYNLSKEVVCCRPGMSSWSLGLRNGYRSYQDMAVHMGKLYAVVNGGTSLHMILLRTVTQGNLRFRKSKDATYLVNSLTGKLLLVRWFVQHQYNVEDRTKHLKLKVFEADFERSMWVEVERLEDQVLFVSSNCSKSMSASADDDYLQANKIYIVNGNVLYWYFWPKINSCTWVYDICSKAVHPVSLGGRMIGQSVAGEATPAKSSPWSCLKPEILHLVLRRLSSDSDRVRFAAVCHHWRAVADQFRVRSRLLKLPLPIAGHILRRLPPTAERVPLASVCSGVARQLSSPWSDLPPELACLILRRLTYSYADRVRFAAVCRHWRYVARVYSPPLPRALPWIYSSSGFFRSLPDGEVYVIHSKGESSHGSFGNWLLFKEIVGNNCSLKNPFTGETLRLPTHCKKPAFMYANGSFSTPSIVTSTLFSVQKAIVCKGDLIFALVRFGSFPSKEVVCCRPGMSSWLLGRNEYRSYQDMAVHLGKLYAVANGGDLFYHEVTNDRDTGEPKVCRIEQVIQAPGNLDDFNSTSGSATYYLVKSITGKLLLVRWFVELSYSLKDHVKGLKLTVFEADFERSLWVEVERLDDQVLFVSSNCSKTMSASTDDDYLQANKIYIVDRSNLCRYFSPKPESCTCWQTSPSCLSRSKDECSIEGGMVIAMAGPHAEAPPQCPSPAVLRRCRLRFAAVCRHWRHVANQFRDGWSALSPAVAELVIERLSAPGDRVSFASVCSHWRRVAKQFSSPWSDLPPELAFLILRRLMYSYADHVRFAAVCHHWRYVARVYSHPLPPALPWVYSSDGFFHNLPNGEMHLLHSKERELSHGSFWNWLLFQETNGNNRYLKNPFTGDTLRLPTHCKEPVSIYDINGSLGTPSNLSSTVFDIHKVIVCEGDLIIALVTYGYFSSREVVCCRPGMSSWSLGLRNGYCYQDMAVHRGKLYAVVNGGDLYTHVVTQDSDTRKPKVSRIDQHVIWAPGNLDYFISTSGSATYLVKSITGKLLLVRWFVDHSCSFEDRGKGLKLTVFEADFERSL >Et_7B_055773.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3992988:3993608:-1 gene:Et_7B_055773 transcript:Et_7B_055773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKNAPLPAAAVSESDAMMETRFRGVRKRPWGRYAAEIRDPARKARVWLGTFDTAEAAARAYDAAALHFRGPKAKTNFPVGFAQALPPPPKAMLAVSPSSSTVESSSRDTPAAAPAPAPTPSLDLSLSVPAMVAAQPFLFLDPRLAVTVAVPAPAPIRPVANKAAAACRDVDEQSDTGSSSSVVDASPAVGVGFDLNMPPPSEVA >Et_3B_027761.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:17832692:17833198:-1 gene:Et_3B_027761 transcript:Et_3B_027761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FHLKLHSHPPNIAHVPSRPELVPEARPADHGHALRHGLHRGVPPAVRQEGADRRMSQHLLLRRPWHNPATATLHLLLQPGGQLVTAAADEAGPDDPEKRAPAAGQAQGQLRELARVDRGDGPEADQEHGARAPARRAPSPRPAPSTTPRRRPRNGRPLPRPAARGDGP >Et_4B_037785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23363276:23364865:1 gene:Et_4B_037785 transcript:Et_4B_037785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYDQEMETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Et_4B_039893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5332930:5334083:-1 gene:Et_4B_039893 transcript:Et_4B_039893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATNGAAADEHELPLFHPSPCAHYYVQSPSAASHTLSHPASESMALILSPFPNLHHHDADDGRHSVRDGEEASRLTLSRYSSSRGSNNSFPAGYDVNKKPSRRRQQVPRVLSGRLSSGDDDEEHDAGGDGEGQRSGAWRYVKLDPDAPCCCIAFQVAWRVAASAAFGLLVFALAIRPPRPGVSFRVGRVERFALGEGLDGSGVETSFLNCNCSVDMVVDNHSKVFSLHLRPPLLELSFGRFGAEASHDVAPRAASTLRLFVAAQEKPMYAAGRGMQDLLESSRGLPLTITVRSRSRYRMVGSLIRLTYRHDSECVVHLRRTPRRNNAITAAAGATCSALS >Et_9B_065003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20416045:20418493:1 gene:Et_9B_065003 transcript:Et_9B_065003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHSLSDSSSDDDRPTIKLFRRNRSVHKQLGGRKVADILLWRNKNLSAGILAGATLVWFLFDVVEYNIVTLLCHIALLVMLLLFIWSNAAPLFDRPPPQIPEVIVSEHAFREIALTIHYKLSHFVSILYDIACGKDLKKFLLVIGSLWVVVVVGDTCSFTALLYIGFLCALTLPALYERYEAEVDHLIAKGGEDLKKFYKKFDSNVLNKIPRGPVKTKNQPGTQLRVPTEDASKMPTASRAVLFRTRQYPALPTLPSRLLPCSAARPSAAYPSARLSIRLLRP >Et_10B_002586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17345284:17346035:-1 gene:Et_10B_002586 transcript:Et_10B_002586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGKEVVVPSSPGGRRCAACELLDHACHPGCVFAPYFPAATIRPGSAPCTRRSATTTSPTSSDDDPERFAAVHSLYGTTNLDRRIRYLPPERNTATCSCS >Et_5B_045587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4974063:4977327:-1 gene:Et_5B_045587 transcript:Et_5B_045587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTHTAPLHPNNGHHHGLGLGLFLDVGAARGSWPAGSFPTPSSSKISLGNLNSTGCMEQLLVHCANAIEANDATLTQQILWVLNNIAPPDGDSNQRLTAAFLCALVARASRTGACKAVTAAVAAAVAESASLHVHRFTAVELASFVDLTPWHRFGYTAANAAIVEAVEGFPAVHIVDLSTTHCMQIPTLIDMLASRAEGPPLLRLTVADVAASSANSPPPALNVSYDELGAKLVGFARSRNVSMEFRVVPASPADAFASLVDQLRVQQLVSDGTEALVVNCHMLLHTVPDETAGSVVGLQAQPVSLRTMLLKSIRTLDPALVVVVEEDADFTAGDVVGRLRAAFNFMWIPYDAVDTFLPKGSEQRRWYEAEVGWKVENVLAQEGVERVERQEDRARWGQRMRSAGFRAVAFNEDTAGEVKAMLNEHAAGWGMKREDDDLVLTWKGHNVVFASAWAPKHGRSNPVS >Et_3B_030679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6231401:6242800:1 gene:Et_3B_030679 transcript:Et_3B_030679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGSAVRRLYLSVYNWVVFYGWAQVLYYAVMALRESGHEAVYAAVERPLQFAQTAAIMETHSHILVTSLVISWSITEIIRYSFFGMKEALGFAPSWLLWLRYSTFLLLYPTGITSEVGLIYIALPFMKASERYCLRMPNKWNFSFDYMYGSILALAIYVPGSPHMYTYMLKQRKKALLCHGGCRLGGAAAIPRRIQLDRLLRMTHSHILVTPLVISWSITEVSIQGFCVSTYPSTTGAQVLYNAILALLGSGHQTVYAAVEQPLLFMQTAAFMETHSHLPVTSLILCWSITDIIRYSFFGLKEAFGAVPYWLLWLRYSSFMVFMPIAVFSEVGLIYAALPYMKDFRTCSLICSVSGRRHCQRQNWSNIGLAHLVLFKWHYVSIMDPNYHCHGAT >Et_6A_046621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18358730:18360489:1 gene:Et_6A_046621 transcript:Et_6A_046621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNAGKRAWVVDVEKTLGEADASVEVSRWERHSIYRVPACIKDLNPKAYRPQVVSLGPFHHGDAALRPMEEHKRRALRHLLRRAKRPLEDFAAAVDDAAPQLRSAYLDLGLGDEDGRWRGAGGEEAFVEMMIVDGCFLLEVMRAAGPHGGSKKDAGDYAPNDPIFSRHGVLYMVPYIRRDMLMLENQLPLLLLAKLVTVETAKAPNDDAINRMVLRFLSPTSHLPPAGVGLGLHPLDVYRRSMLYGPYQTQRGGSQKYLDEPETDIIRSAVELYEAGIRFRTSNTDSLHDIRFRHGELSMPAVSVDDSTEYMLLNMMAFERLHAGAGNDVTAYVFFMDNLIDSAKDVALLSSRGVIQNAVGSDKAVAKLFNSISKDVVLEPESVLDAVHRQVNAYCRKPWNMWRANLVHTYFRSPWAFLSLAAAVFLLAMTIMQTVYTVLPYYKAGPADSNSSPPGAPSPM >Et_4B_038207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27165452:27168216:1 gene:Et_4B_038207 transcript:Et_4B_038207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGGNPRRSAAVRRPKSSSSATAADRKRKKAAAVKTVTLKNLIRSTERFLRKDLPNDIRVAQEKKLEELKRQQELQNQLAVQRTVQLRDRKIKFFERRKIERMIRRLEKQQRASADDVSNKLSKLREDLEYVRFFPKNEKYVSLFAGGNNPDIVEKRNKWRKQIKENLVAAAENGKDLEGRAASGQSSDEKNQRQQAARALMPPPRPLEPNRARPMDNRVISSSSNTSNSTSGDSFRNRRVPNHPGDHNSNLSSNSDAYKPRRKRRPKKKKKLV >Et_4B_038842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5216562:5222166:1 gene:Et_4B_038842 transcript:Et_4B_038842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LDVTLCLGHRSTISISCLAVFHIGLQKARLVLLEASSIMEPPPRPRTAAIAAALCALAAFSIVGAVAAGGGEQPLSRIAIHRATVAPQPGAFVDASPALLGLEGKDREWVTLRYSNPNPSKDDWIGVFSPANFNDSICPSENQWVEPPRLCTAPIKFQFANYSNLDYENSGKGSLRFQLINQREDFSFALFSGGLSNPQLIAHSKSVTFINPKAPVYPRLAQGKSWNEMTVTWTSGYATSEATPFVKWGIQGQIQILSPAGTLTFSRDTMCGPPARTVGWRDPGFIHTSFLKDLWPNFMYTYQIGHRLLHNGSIIWGHQYSFKAPPYPGEDSLQRVVIFGDMGKAEADGSNEFNDFEPGSLNTTYQLIKDLKNIDVVFHIGDITYANGYLSQWDQFTAQVEPISATVPYMVGSGNHERDWPGSGSFYGNLDSGGECGVPAQNMFYVPAENREQFWYSMDYGMFRFCIANTELDWRPGTEQYKFIEHCLSSVDRQKQPWLIFLAHRVLGYSSATFYGAEGTTEEPMGRESLQSLWQKYKVDIAMYGHVHGYERTCPVYENVCVAKASDHYTGAFTATTHVVVGGGGASLAEYTSVRAHWSHAQDLDYGFAKLTAFNHTTLLFEYKKSRDGSVHDHFTISRDYRDILACGVDNCPSTTLAS >Et_1A_007988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:446514:448687:1 gene:Et_1A_007988 transcript:Et_1A_007988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSCASTLPWSSSFSSSSAPLAEGRLTTSRRAPSLVVVAQGRVKKYRQVILTEDVEEVGKKGDSLKVRAGFYRNFLLPKGKAQLLTPEVLKEMQLEQERIEAEKKRVKEEAQQLARVFETIGAFKVPRKAQDLVDLIKSQLNRDVDKRLVTVPEIREVGEYVAEIKLHPDVTARVRLNTFQWRVDFGEIRFCQ >Et_1B_010081.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:22887790:22888593:1 gene:Et_1B_010081 transcript:Et_1B_010081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRATGGCCPRVFHSFHIEVPRQSSCFPSSTTDPTFQGVSKIRLLRPHHPNRDSSSSSSPSPPFDFDMSDASAGDPAAAAAHRPPPAPATEARCRAGSAVSATISHAQQQQSRLDDEQLAELREIFRSFDRNADGSLTQLELGSLLRSLGLKPSADQLDALIQRADTNSNGLVEFSEFVALVAPELLADRFPYSEDQLRRLFGIFDRDGNGFITAAELAHSMARLGHALTVKELTGMIKEADTDGDGRINFQEFSRAITAAAFDNIFS >Et_7B_053356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12987780:12988457:-1 gene:Et_7B_053356 transcript:Et_7B_053356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFAGRGNKDHKSSGGGRNKCHAAATTKEATATTQHRSGKCRALCCASCSSADAAPFSGLLLPPQPRGLSSKLAPYGTVQERLQSMIDGAAESGRRPSVPGRVPPELAERHSRRPCAWGARGGCYERSVRSGREVVRRRTCVVLLAEDRRTHDPREEFRRSIAEVIAAKRMDEPAELRALLNCYVSVNAREHRAAILEAFHEVCSGLFSCKQLF >Et_5A_040518.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4053548:4053688:1 gene:Et_5A_040518 transcript:Et_5A_040518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTALGESVVLPSPQVGDCSNIFCLNVIFVFGFFLNFVSFSSNVI >Et_1B_012136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29290178:29299250:1 gene:Et_1B_012136 transcript:Et_1B_012136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAKPAAARLIVILLAVAVASAATPPAQAEALLAWKASLGDPEALSTWTNATPVCTGPAASSRRSASGASALAKLDLYGNILGGAIPHQLAELRSTSYLDLGNNYLTNPSSELTPMPTLRFVSLSLNNLNGSFPELVRGSSNITYLDVSLNAFSGPIPGALPEKIRYLNLSGNTFSARLPASLAGLTRLRELRLGANNLTGGLPDFLGSMSQLRVLELGDNLLGGRLPPALGLEEHRAVTSPRTGSPASLAGMQSMREFGVSSNTLTGEIPGALFANWTKLVSFQAEKNWITGKISTEVGKATKLKVLTLFSNNLTGPIPPEVGKLANLEMLGLALNSLTGPIPSTFGYLKKLTRLDLFFNKLSGMIPPEIGHMSALQHLDVNTNQLEGELPTTISSLRNLQYLALFDNNLTGTIPPDLGEGLSMVENFTAHNNNFTGRLPPCLKNCTKLYRVRLEGNHFTGDISEAFGVHPSLVYLDMSRNELTGRLSDDWGKCSNISFLHMDVNYISDSIPTTFGNMVNLQDLSLAKNSLTGAIIPYLGDLGVLFSLNLSGNFLSGPIPEFWGNHSSLQKIDLSNNMLTGKISRDIGSLWANISGHDLEVADFSYNDLTGEVPSFPNTSVELYIGNMGLCGNSPGLPPCNSSSSHPSSTWLRRHKRSLIIVSSVVGAVLLLAAIAVCLLVACRRREGNPEFVVLEQGARLRFSFHAVVNATQHFNDSCCIGRGGSSSVYRAQLLSGGLVLAVKRIHVAGAGGGHKKRAFENEVQTLTLVRHRNIVKLIGFCTIGEYSYLLYNYLERGTLGEALHGKEGSSMLGWGLRSKVFKGLAHAVAYLHNDCNPAVIHGDITSSNILLDSAFEPHLSDFGIANKLGSSTRWTRVVGTHGYMAPELTQTTGRSTAPDVYSYGVVLLEILTGKRAVDPSFRVDMDIVSWVSSVLDSTSRIEALCDPSLVEEVNGADKMEELRKVLSVALRCTSKEAGQRPSTGNVVNQLTGAEAIHGSLHKLKQGKQGSPTETLGAV >Et_9B_064896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19645339:19649566:-1 gene:Et_9B_064896 transcript:Et_9B_064896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSVALVILAAVLLQILLPASSAEDLVRIALKKRPIDQNSRVATRLSGEEGQRRQGLRGANSLGSGGEGDIIALKNYMNAQYFGEIGVGTPAQKFTVIFDTGSSNLWVPSAKCYFSIACYFHSRYKAGQSSTYKKNGKPAEIHYGTGAISGYFSEDSVTVGDLIVKDQEFIEATREPSLTFMVAKFDGILGLGFQEISVGNAKPVWYNMIDQGLIKDPVFSFWFNRHADEGEGGEIVFGGMDPSHYKGNHTYVPVTQKGYWQFNMGDVLVGGKSTGFCAGGCAAIADSGTSLLAGPTAIITEINEKIGATGVVSQECKTVVSQYGQQILDLLLAETQPGKICTQIGLCTFDGTHGVSPGIRSVVDDEAGESNGLRTDPMCGACEMAVIWMQNQLKQNKTQDLILNYINQLCERLPSPMGESSVDCGSLKSMPDISFTIGGKQFSLKPDQYILKVGEGPAAQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGKQRVGFAKSA >Et_5B_044166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20978901:20983168:-1 gene:Et_5B_044166 transcript:Et_5B_044166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHSDLSAGFHSLLDYAEGGGAKEGIGTVGMAGIGGSVTFGTAGIGGKVTLGTAGIGGSVTFGTAGTAGMLGTAGIGGKVAAGMTGTAGMGGSVAGTFGIGGKVAAVIAGTAPAAGTVGTAGIGGNATPGTGGFGMAGGMPGTAVGVVSARRRAAWLVLVPASMSAMTSAVAKRADAEAMIEIEALAACYYVTLGTAGIGGKVTLGTAGIGGSVTFGTAGTAGTAGMGGMVAAGIAGIAGIGGTVTAGIFGTAGMGGKVAAGIAGTAPAAGTVGTAGIGGKATPGTVGTGSFGTAGTPGTEAGAAAGVVPARWRAAWHVLPPASMSAMTSAVAKRAEAEAITGLGALAERNSVGTVGMVGMGGSVTLGTAGIGGKVT >Et_1B_013417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8201535:8204676:-1 gene:Et_1B_013417 transcript:Et_1B_013417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVQRNMLLVLSLLLSFSRVRTIQCSTVHENTTDMLTLLHFKHTIRDPSGALVSWNKTTPFCMWEGVTCSPKNPGRVAILDLHDKGLVGRITPSLGNLTFMKLLQLSSNSFFGSVPHLNYTQELLGLNLSKNSLEGEIPTSLTNLSSLEGIDLSSNNLEGPIPLEIGSLYNLLVLDLSRNNLTGAIPNAFKNTTHLVILALQTNSLEGAIPAELGTLSNLSQLFLAENRLSGSNILDNELPPNIGDTLPNIQTLLLGDNKFKGHIPASLGHASRLMTIDLQRNHLTGQIPSSFGKLSGLLFLILDNNKLEARDNVGWEFLYALKNCSFLRVLSIEENRLQGTIPNSVGDLKSLEQLFFNGNNLSGTVPSSIGNLNNLILLNLSSNHLTGTIGDWVGKLKRLQVLHLEENNFIEQLPDSFVNLTRLLSLHLAKNEFEGLIPPSLGSLSHLLELDLSFNNLNGNIPKEVFSATTLTKFILSSNNLDGSIPLEIGKLTQLIELDISSNKLTGEIPASLGGCQALQIIQMDNNNLTGGILTSFGNLSDMHVLNLSHNSLSGTIPKALGDLGLLTNLDLSYNHLQGEVPTNGVFEKPTSISLEGNWGLCGGSVDLRFPSCHRVSRGAKRQYYLIKILIPIFGFLSLVLLIYFIFLERKMPNRTRMLIPSFLKQFPKVTYNDLAQATEEFSESNLIGRGSYGSVYRGKLKDPKMEVAVKVFDLEMHGAEKSFMSECEALRGIQHRNLLPIETACSTVDHNGNPFKALIYELMPNGNLDTWLHPNSEGKAPKHLGLTERINIAVNIADALDYLHNDCRNPIIHCDLKPSNVLLDNDMNGRLGDFGIARFYHEYGISKLGTSSIGVKGTIGYIPPEYATGSHVSSSGDVYSFGIVLLEMLTGKRPTDTLFNDGLDIVNFARSNFPQQIFRIIDAHVMEECKDLPGQDNIVAENAVFQCLVSLVQISLSCTPASPSERMGMREVASKMHAIQQSHAGLIAKNKK >Et_3B_030456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3972816:3976206:1 gene:Et_3B_030456 transcript:Et_3B_030456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADSGAGGGSAAATAAKWRSDASRAFQYYLDRSTPHSTGRWLGTLAVAAIYALRVYLVQGFYIVTYGLGIYLLNLLIGFLSPMVDPELDPSAAADGPALPTRGSDEFKPFIRRLPEFKFWYAITKAFVVAFVMTFFSVFDVPVFWPILLCYWIVLFVLTMKRQIVHMIKYKNMVGRRVVQAAVLQKTDSRPTLVGASKGNKIQWR >Et_2B_020772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23467475:23473023:-1 gene:Et_2B_020772 transcript:Et_2B_020772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRLPAVLLILLGLLEAAAGGMLILSKSRVEKCVRDSDTGGSLSCDKKIVVDVAVPSGASGGEDSLVAQVAAVEGNTTAEPTSILNPPVITVNKSAVYAAYDLTYLWDVAYKPEEQFVETRKCEPDAGADVVKSCESLFVVHVAITVLIRLVETFHVFGIGTRSLGFTIRVQVKKGSSISEFLVGPDNMTAVSGDNFIRLSVVGDFLPYKSMPTFEDTYLVTPRKGEGSGQPEVIGDEYSRWMLLKQFYFTENGLECNKIGVGYQAFQNQPDFCSVQLGSCLFSQLWHFMEEDKNRMNKNQTPRHVVGGRFERINQHPNAGVYSFSVGITQTLKTNLLIELKADDVQYVYQRSPGKIIGFKVPTFEALSQVGVANVTTRNIGQLEASYSLTFKCSSGIADVEEQSYVMKPKEAISRFFSLHTSTDKAETYTCTAILKAANFSEADRATCQFSTTSTVLNNGTQIGPPIEHKKKGGIMGMFEDVKAFFRWIWDSVVAFFTGTLYVVSSSLLLISVDLFLDLTLALSYYSRSKCSSFFDFRCHFQNLCVGWMVISSVLILATVLPVAMLLWMMHERGFFDHLYDWWKDLLGLEPHHGAHMRHRRGHHHRGRQHAHQEHRSGPGHHHHHHRVLHRHDEQQPDAVEGGRRHRQREVFLGVQHGNEHKHRRGKEMAALHLDGPSRLHGTDDRERRHHRRHGHHREHHHLRSE >Et_1A_004951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18629202:18630401:-1 gene:Et_1A_004951 transcript:Et_1A_004951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRVGGRKVVTFEETIIGRRRNGSLSTYHDVGRDFDAGRAARPLQPQGAASRRRTYADGELDVFAAERYFKGAMDGVQHRKEVDGAAEVAQAAPLEMTRARPPAVAVAKKPAGSRASAASLRGRRCRREDWKCCVQVGALMRSCSGKRSVRVDGGAAREENKEGPGAGEPAASMVDWYRELRMQKAALGVVAGDGGNRLPPSLSHLGHGTAKVAAIGREVILEEKAAEFTSSSSRANAPPASGGGNEHEDDDGAGSESSSDLFEIKSLMIDDCPYEPSEASIQWSVATASAAHASSGERVSARWIGGGVRGGQVAAGRQHRDRPAGLLSGCVSHRAVDVAAAPTNAPRRRGQGFQKARNRA >Et_8A_057999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11645478:11647735:-1 gene:Et_8A_057999 transcript:Et_8A_057999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIQGKLYAIDTNTEDLLAIDIVDEHDNDKPRVSRIVRIIEGAPMPDDKIETLVSYSSRET >Et_1B_014099.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30733933:30734559:-1 gene:Et_1B_014099 transcript:Et_1B_014099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGAAAAAAAEAEGDLLTRFRGVRKRPWGRFAAEIRDPAKKARVWLGTFDSAEDAARAYDAAARALRGPKAKTNFPLAGVHHHQRHVPANAAAAYTPYTAAAATPAAVAASRPASSSLSSTVESFSGPRQRPLLPPRPPPPPIPDGDCHSDCGSSASVVDDDCTDAAASPSCRLPLPFDLNLPPGGCGFVCYGDEEDELRLTALRL >Et_4A_032755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13482595:13484718:1 gene:Et_4A_032755 transcript:Et_4A_032755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLVAEADAVVAAARHFSFPPPRTAAGGGGGDSCRKMAAQIDLGSAVMGSWLDSMKASSPRHRLMAPLPGVVGGVDAEHDDWMERHPSALLRFDALAAAAKGKQIAVFLDYDGTLSPIVEDPDNAVMTDEMRDAVRGVAARFPTAIVSGRCRDKVFGFVRLTELYYAGSHGMDIRGPTADANHHAKDGNSSSSSVLCQPAREFLPMIEEVHGLLAAKVEAIPGAMVENNKFCLSVHFRCVDEKRWGALAELVRSVLKDFPALRLTQGRKVLEVRPSIKWDKGKALEFLLEELGFADRSDVFPIYVGDDRTDEDAFKVLRASGLGAGVLVSRFPKDTAASFSLRDPAEVKDFLHKLVADASTAT >Et_4B_038339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28192894:28198175:-1 gene:Et_4B_038339 transcript:Et_4B_038339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEQRKFIVVREAKQINLRPSADLGAAGCDDDLISGLNDDVLLRILRLVANARDVVRTDAFSRRWRGLWMRVSALRFRPWQWSEPDVVERFIAFVSGVLALRARSRDAIEHLVISFPMNQVCCDEGRGLRLLSVRAAEDWMRYAVQQGVKSFAFEFDLPSTTQIPTSVVDDNNEDDDEEEAAESERMPVMDLDQLPGSEKLETMHLELCVRVRLPSTAVFPSLEDLTLQSMAVTADSGHLLARLLSSACCPRLRKLHMCCVLLPAGMNELLLDAGMLLELSLHEVSGLEMLELRTPSLRTLKIVECYELEALKVSAPSLEELTSLHNSLLVDIDGDLSCVESLKLDLFLGRDIISDHGNNVYLLQHCSSARHLDLSLTVIVKDSPDMDVIKGRIPHLHQVTSLKVHISGYFFGESVACLLTIFTNLMHICLDFDCYDKRTHALCSGVGRDSDFICDGLDHWKTHKISFFHLQEAEFMGLSGTVCELRFLQFVLASATQLQKVVVNFNPLYLAGVKREDFHHMLLDGGTWTDRADKSSEWRPPQSPTEDVKQTGSSPSGGHGAGGDDLISGLCDDLLVRILELVAAADARDAVHTAALSRRWRGLWTRAAAAALRFAVRPEFRSARGEARFVAFVDDVLAQPALAGAVERLEISFSTCGAPPAVGAAERWIRYAMQHAVTSFLIELRLVPEEVQDYYRTDDEDDSDGEEIISNNEAEEPVIVLHELPGSARLERMSLKLGRAKVRFPATVVFESLKDLTLQSIKLDAGNLHLLARLLSSACCPRLQKLRMCYVRLVEKYEMLLDAGALTELSLEDIYARRLELRTPSLRVLCVEGCYDLTTLTVSAMSLEEITWLQNAHLVDIDADLSCVLSLNLGLASLGQAYYYDHHHKNYTSIYLLQRCTAARCLDVSLHVPMISDWRWNDIIKDRLPQLHRVTSLTLHVHLWTQHSFGDRVASLPSRLDNLRYLGLQLEHEYIKKVKGCIPLCPPLVLFNVFIA >Et_7B_055009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6405152:6408780:1 gene:Et_7B_055009 transcript:Et_7B_055009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRSIGRLRLMPRMFPLMAVQRHKAASRSTRPSSSGQHGSVGGTPTLALIKPSTLAHTPSFSASRGHLPNEAGVGVGVDGVDGVDVDGVGQLPPQALAMANTTRLTARNRAIEALPAIAAQQHLNPVGTVLPQWLRMRLRSMGRLRLMPRMLPLMAVQRHKAASRSTRPSSSGQHGSVGGTPTLALIRPNTLAHTPSFNASRGHLPNEAGVGVGVDGVDGVGVDGVGQLPPQALAMANTTRLTARNRATEALPAIAEQELVDHTHKAAE >Et_7A_052715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1445999:1447432:-1 gene:Et_7A_052715 transcript:Et_7A_052715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVRGLAAVALAVALTFLLSATTSTAARTEAQVRVMYDLWLSRHGGGRASNSLGDYDRRFRAFWDNLRFVDAHNTRAGAHGYRLGLNRFADLTNAEFRANYLGATTPTLRNATSLTSDRYLHVDGAVESLPDSVDWRSKGAVTAVKNQGQCGSCWAFSAVGAVEGVNQIATGELVTLSEQQLVDCSKNGQNSGCNGGMMDDAFAFIARNGGIDTDQDYPYTGRDGKCDLVKKARRVVSIDGFEDVPRNDRMSLKKAVAHQPVTVAIEAGGREFQLYESGVFTGRCGTSLDHGVVAVGYGADEDGKDYWLVRNSWGADWGEAGYIRMERNVTSRAGKCGIAMEASYPVKTGPNPSPTPPEACDGHSACPAGSTCCCSYRVRNFCLVWGCCPAEGATCCKDRATCCPADHPVCNVKSHTCAKTRGSADTVPAKRLPSLAEEIVTSIFFQ >Et_1A_005314.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4034606:4035916:1 gene:Et_1A_005314 transcript:Et_1A_005314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLGQGPVVVGVAAPPDLAVGAGLDAEQAELLHGAAEVVEEGGGVGRVELHEGLGARVRPQLLVRAEQSEAALQVDEVHVVELARRHDVVERRDGGVLEVVLARGLQPPGEGRVHGGVERLRLPGGLVAVVVDPVRELLAVGEAQRVGARQRHQLLHGEPLGSKHLDEAVHGEVGVRELRLRRGGGRGERVLAAQRDGVARPAGHGDQDARRQRQDVGARHHPGALHLQRQLGAHHGVERVPGQRLVDLRVVLRLRELVRRDQHRRVAPAHEAVVEEDAEQARGRRRVRHLLRLDDLRDDALRARARLLVEIRRQLRRRRHRQRLRGQRLRRRRLRRHRHRLRLLRRAGRERGGHEHDEEEGEAHGCSKCLSTVPGGFPPGVERARVRVQEMRRGDGAAVLGGNGGGGQRTEGKEWRGEVCGGYTRVSPPGLYYI >Et_5B_045047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8881258:8890679:1 gene:Et_5B_045047 transcript:Et_5B_045047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DPKRQIKFMTYNVWSREDVVVYKRMLAIGALVEEHNPDVIFFQEVTPYIRSIFESFAWWKDYQCSPVSPEELATGQHFCLLMSKNPQENFARWKFPNSLTGRSYLEADIFPGSGAKPIRVATTQLEPPTPPAPIRCMERYMQAEHAVTALSSAENVVFGGDMCWRDGTDRPFPLPVGWVDAWATLPNLGDSFSWTYDGFLNEEVGWSKGFDYEESLKKRLTSGQLRVDRGHKGASVSHNEKERKHLSEAELPPGEEPLVPLKHRSTIRTEFQRQTFTLPLVALLIDPSSRLPPSLRLQASIESKPIPEPDPKFLTADINRSPASAKMMQPICIATAQLERPNPPASMNFRDRYKQAERVVADLSGFNNAVFGGDMSWCDDTDRPFPLPAGSGWVDAWTALRGHNSFDAWTYDAVWEEEATKFNACVAYYGSIRKRSDRFVCKLNDYKLSSIELIGGHPIGPEYRIKTDDGRGIELSPSCHRGLVMTIVPKEPPRLNTPAGGGAQAAGDQRMDSWGGFRLAVYHGCDVAPGGPRSRSFFVDLVLSSHIDASIATSKFNFHVVRYTAYDCFLPTLFYAACESHADKSLQEPKKEIKFMTYNVWSREDVVVYKRMQAIGGLEVTPYIRSIFESSAWWKEYHSCPVHLEQQSKPQQQENFCMLLSKRPLENFARRKFDNSSTGRGYLEADINPDPAATNTKPIHVATAQLEPPRPPASMHFMERHAQAKQALKALSSAANVVFGGDMSWGYDADGPFPLEAGWCDAWTRLRKLHDKDWTYDGMWNEEAGAFKGHVAPESSLKKRSDRFLCRLKDYRLRSIELIGGNNVGLTYSRRKYYNEDFNDRYIDLKPSCPRGLVLTIVPVDHDAQGPTQEEYNMIEDSA >Et_7A_051971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3295454:3298994:-1 gene:Et_7A_051971 transcript:Et_7A_051971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHMSCSNGSSLDPCGPLTDYYIPDYILNPDSEQKAVDNPPSCPVVVFINSRSGGQLGSSLIKTYRELLNEAQVFDLSEEAPDKVLHRIYCNFEKLKSNGDTLAFEIQKSLRLIVAGGDGTASWLLGVVSDLKLSQPPPIATVPLGTGNNLPFSFGWGKKNPATDQLAVKSFLEQVKRAREMNIDSWHIIMRMRIPQEGPCDPIAPLDLPHSLHAFHRVSGADSLNVEGYHTFRGGFWNYFSMGMDAQVSYEFHSERKRNPEKFKNQLTNQGTYAKLGLKQGWFAASLTHPSSRNIAQLAKLKIMKRPGGKWEELKIPRSIRSIVCLNLPSFSGGFNPWGTPGTRKVQDRDLTPPYVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHRLRFEFHKGAAEHTFMRIDGEPWKQPLPKEDDTVVVEISHLRQVAMLASDPCKSKSINDPSSPCHPHDDDDTNSLEDEDEWENGRKKFGAAATFKIPEDVDIAHLS >Et_6B_050149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7265085:7265540:-1 gene:Et_6B_050149 transcript:Et_6B_050149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARFITSIGGRFCRVIALVYAEILPAVMRGVLTCFLDSFINVGMPARPPLLARDARRRGARHAGVSMVACAARAPRRDTHGAHAHLGHTSHTEQAFNVKTPEDGVLRELLNRPLPSGCRVFACVAGV >Et_1A_009152.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31046201:31047163:1 gene:Et_1A_009152 transcript:Et_1A_009152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASRKRPAPEGSSPEAAAAKKRARYNFTDIKDYERLEDLGEGTFGVVSKAQHRRTGEKVAVKWILSDSNGASDLDAVVREGGCLAKCRGHPSIVQIKDAATDKATGDLFLVMEFVGPSLRDWLTRPVSEDVAREFMSQLLTAAVTMHAAPMIHRDIKPENILVGAGGKLKICDFGLATPKPPPHPELRVGTLPYCSPEQLIGSRCYGSAVDMWALGCVMAELFIGVPLFTATTEDDMLEQIEDLRDGIAAMGLKAFDDLLDLSPAGRELLAGLLSIDPRQRLTATEALGHRWFTEETEAPAFAKAEFPGFVPMFSAA >Et_1A_005506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1088300:1091438:1 gene:Et_1A_005506 transcript:Et_1A_005506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVVGGKFKLGKKIGSGSFGELFLAVNVQTGEEVAVKLENVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMINRVEYMHQKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSNPRMRANERTTGPAGPSIEKIDKTPGEASGRRNPSASLNQNDNYVQRPREGVSMSLKEIMHSTDRSGERTVERPRTSSRTGSASRRAIASSSRPASSAEPSEQQYNRTSRLFSSNSGSRPSSTQRVNPAAGESRATSLSRAAVARGSRDEPLHRSLELLSLGGGKRK >Et_2A_015698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16992946:16996210:1 gene:Et_2A_015698 transcript:Et_2A_015698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACGLPLLECVYCLACARWAWKRCLHSGEADSATWGLAAADDFEPVPRMCRLIMANYEPDLAAPLFAPPGGYGLDPACVLRRTTYADTRGRVTPYLVYLDHDHADIVLALRGLNLVKESDYALLLDNRLGKRRFDGGYVHNGLLRAAAWVLDAECDLLRDLLERYPDYTLTFTGHSLGAGVAAMLTMVVVLNLDKLGIVERTRTRCYAMAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSILCLPCLLCLRCLRDTCIPEDAMLKDPRRLYAPGRIYHIVERKMCRCGRYPPVVKTAVPVDGRFEHIVLSCNATMDHAIIWIEREAQKALDLMLEDEDTMEVPSEQRMERNQTLQREHDEEHKAALRRAVTLSVPDARAPSPYGTFDGDERRRHYPERSESFPPVGSRQRMNWNDLIEQVFDRDDDGHIVLRGSSGSPS >Et_4B_038736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4461075:4463054:-1 gene:Et_4B_038736 transcript:Et_4B_038736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVRSESPSPELCRTRTARPAASSRVASGRARLAFRAKAPVGSKANGAEDQAKRGQNGKGEDVSRFVPSPRRRSLTPQPPPADPELGRTRCSWITANSDPLYVAFHDEEWGVPVHDDQKLFELLILSQALAELTWPAILSRREEFREMFDCFNYASVSEFTEKKINMLRSSGSMLLSDQKIRAVVTNAKQIHKVVLEFGSFSNYCWSFVNHRPITNCFRYARQVPTKTPKAEAISKDLMRRGFQCVGPTTIYSFMQVAGIVNDHVSCCFRFQACRDEKNVRPEPALPETKLSSPSSEDSGARDM >Et_2B_021175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27135036:27141004:1 gene:Et_2B_021175 transcript:Et_2B_021175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPGGSALAAAAAAMLLLFAGLDPGAALHLCVDRLFNDTHGRHEDGLPHLTPTEEATWMALLPRKLRGGGARAEFDWLALYRSLTRGGDPDGAGRPGPGELLSPASLHDVRLDDGEPSMYWQAQQTNLEYLLYLDPDRLTWTYRQQAGLPTVGDPYGGWEAPDGQLRGHFAGHYLSASAHTWASTHNDTLKERMTRVVDILYHCQKKMGTGYLSAYPETEFDAYEQLAEAWSPYYTVHKIMQGLLDQYTLAGNQKGLDMVVWMTDYFSNRVKNMIQNYTIQRHWEAMNEETGGLNDVMYQLYTVTGDQKHLTMAHLFDKPCFLGPLGLHGDDISGFHVNTHLPVLVGAQKRYEVFGDHLYKDISTFLFDVVNSSHTFATGGTSTMEHWHDPKRLVDEIKISSNEETCATYNFLKVSRNLFRWTKEAKYADHYERLLINGIMGNQRGRQPGVMLYFLPMGPGRSKSISGRPPSGLPPKNPGGWGGPNDTFWCCYGTGIESFSKLGDSIYFLEEGEVPGLYIIQYIPSTFDWKAAGLTVKQQAKPLFSTDHYFKVSISLYAKGEAQLAKVSVRIPSWTSTDGATATLNGQKLNLTSVGNSSNGGFLSVTKLWGNDTLTFQFPITLRAEAIKDDRPEYSFIQAVLFGPHLLAGLTHGSLPVTDSNHSNDGLTPGTWEVNVTGVNSVTGWVTPICSESLNPQLVTLTQSSDGQTRVLSVSISDGKLAMQEEPDPGSDACVHATLRIYGPAGNGGLRGQNLTIEPFDKPGMAVTNALTVGRPEGRDTLFNVVPGLDGTPGSVSLELVTRPGCFVTAPAGSNATQVGCLCNDAGASGNNTAFSRAASFIRAAPLRHYHPLSFAARGTERNFLLEPLQSLQDEFYTVYFRLVSDDGCIRFCKL >Et_7B_054452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21487530:21489648:-1 gene:Et_7B_054452 transcript:Et_7B_054452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSCIACGENAAACSCQHQQLQASADHDHGTFSIFPVLHDQLGTQPPGSLHEFQFFSQSDHENVDWLFDDDPPHTIDDDENHRLQRPSSFHPFGPQYHPGNGLTFEVSLGQGEVDAGLGLAPAGGSQLTEPAASATIMSFCGSTFTDAATSSRHSDPILIDGQLQRLVDPMVEREAKVMRYKEKKKRRCYEKQIRYVSRKAYAEMRPRVKGRFAKVPEAATPRQLTLATSCYDPSTVDHGRWFHS >Et_9B_064635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17198426:17199494:-1 gene:Et_9B_064635 transcript:Et_9B_064635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASRPACAASELVAKGRKSAAVLQALLSQQPAAADEMQEDLRDVTEEILRCCDRALVALRSGTENAAGDARKRKPEHGLASPVTSSKRMRVRGGEKGIRVEKQSTMDDGFIWKKYGQKEICDSMYPRLYFRCTYKDDRGCTAKRQVQRSEADPSVYIIPTSASTPAAVTKTSHRRHSSSTSAQAPGTATSQTFLPGRPEDGLAASDTSSDLCNSPQEELLTVDMGDVAQLIEQSSPVPAPAGMSSLRWDPLDGCLDWELVDDYSLFEGFDIGQFINYDYLGLLQ >Et_8B_059480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18378231:18379861:1 gene:Et_8B_059480 transcript:Et_8B_059480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTQPILLLLLLLVVALCVLSTRTTSSSVSSSGAIDGFLGCLSADIPPGLIHTPATNSYSTLLLSTARNLRYASSPATAKPVAIVAAAEPAHAQATVLCGRRHGVRVRTRSGGHDYEGLSYASASASGERFAVLDLAALRGIRVDAARAEAWVGSGASLGELYYAAASASNGTLAFPAGSCPTVCVGGHLSGGGFGSLARRYGLSADNVLDAVVVDAEGRMLNNRSAMGEDLFWAIRGGGGESFGVVLSWKIRLVPVPETVTVFSIRRSPGNNDSAVVDLITKWQEVAPALPRDLYLRVLIEKQHADFVALFLGRCRRLVGIMRARFPDLGMTRTDCQEMSWLNSTVLFAFDSSSFPVERLLDRSDKPEAYLKIKSDHVEQPISRHVWERVWAAWLRKPEAAMLMLDPYGGRMSAISPAATPFPHREGNLYQLQYYSYWYENETAASEERMSWGAVQGDEPYVSRNPRAVYVNYRDLDLGTNELDGYVTSYAKARVWGGKYFKGNFERLAAVKAMVDPDDFFRNEQSIPPLPAAKGWGSG >Et_7B_054097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15281724:15285908:-1 gene:Et_7B_054097 transcript:Et_7B_054097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHRLASLIWLSAALAAVAIAAGALPMPPPPPPQPSSNCQRKCGDVEIPYPFGIWSNSSRQDDNCAMEGFYLTCNDTGIGANKPFAGNVEVLDISLQKGQARMLNHISTFCYDATTGGMDYNYWSINFTNTPYRISDTDNRFTVIGCRTLAFIFDDGIDEDTGKYMSGCLAMCRRGDTTTLTNGSCSGIGCCQTAIPKGLQYYSVSFDPEFNTSAPKYNVSRCSYAVLMDSSNFTFVTSYATSPEFNNTNHGQAPLVVDWAIGDKTCKQASKEPDTYACISSNSECFDSPNGNGYLCNCSKGYEGNPYLQDPDLGCKDIDECKDQTNSTCPGNAKCINTPGGFECICPPHYKRSPKNGICENQALSFSAKLSIESKLSYQQECNVLYRNMLSHAGISVVLVGLILFLGTEWIKHKRRIARQNHMTKINECFELNGGQLLLEMMKVECNISFRLYSREEIELATNNFNDSEIIGQGGQGIVYRGHNLNPDNNLVAVKRCKGIDESRRVDFVKELLILSRVNHDNIVKLLGCSLQFDVPVLVYEYVPNKTLQCLIHSQDNNNTRTLDIRLKIATKSAQALAYLHSLNHPILHGDVKSANILLGPDLSAKVSDFGCSMIRSTDENVQVVKGTLGYLDPEYLLNFELTDKSDVYSFGVVLLELLTRKKVISKEKESLASVFREAVKKGKLYELVDSEIMDDQENMDVVHQLAELAGRCLITAGEHRPTMKEVAEELRLLDGVVQQKPGALFHSDRPIIVPGRSITNTAEYYSGGETTEYDSLRKKAVMSIEVAR >Et_4A_035546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28487057:28490147:1 gene:Et_4A_035546 transcript:Et_4A_035546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDATGRYAGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPSWNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEYDEEEEEEAVAE >Et_9B_066219.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:7561640:7562056:1 gene:Et_9B_066219 transcript:Et_9B_066219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAATLICRRPAAPRSGLPRHPSAPSLLLSVRQPQPQADLGGDAPAPHLWSGARGYARMARRLPPARPDGYSTSDGEPDEPDAPALEEEDLEPRAGADGEDSEGSEMEGFMLEYGSGSDDEGDYDDDEDGAEERDK >Et_5B_045773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9249769:9252591:1 gene:Et_5B_045773 transcript:Et_5B_045773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVATPVAPGDSEAGTKALTMSRSTRPSVYLRRKGCNNNVRKTRQAEFVKDWNGTSHKHTMITESLEYANMYAKLNK >Et_4A_032255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26590673:26591512:1 gene:Et_4A_032255 transcript:Et_4A_032255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSKPAAQHPPPAASAAMYGAAAYGVPAAASYAKIPTYPAPPSAYPNQVPSAQPAAPAPIQDPTAPPSPIAKAAELVTRFREQGQALIAARRPWVEVFRAPAFSKPPSLGEALARMRRNSAYFRANYALAVLAVVAASLLWHPGTLFVLLALCAAWFFLYFARPAQGGQPLRIFGTEFDDGTVLAALCGVTVIAMLFTSVGWNVIGSVLIGGALVGAHAALRSTDDLFLTEEEAAGDGLVAAGMAAAGPILPTYVRIG >Et_8A_057219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22466017:22469669:-1 gene:Et_8A_057219 transcript:Et_8A_057219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFEDDEPPAKRARASSVESASLPDCFSFSKSANPLGSTMARPLPSQGKEVMVGSKGVIKREEFVRIITKALYSLGYEKTGAVLEEESGITLHSPMTLQSEITPLGVNRKRVHELSGYMISCSPQQLFLGFSKLGIDSSNSRLKLLEELQKVLPPTVMVPERRLENLVEQALTVQRDACYFHNSVDGLSLYIDHHCGKDQIPSRTLQVLCAHQDEVWFLQFSNNGKYLASASNDKTAIIWEVDEDGELLLKHTLSGHGKSVMMVAWSPDDHQLLTCGMEEAIRRWDVESGKCIHTYEKSGLGLMSCAWFPDGKQILSGLTDQSFCIWDLDGKEVDCWKGQRQSKTNDFAVGKDGKLIISMHRDSTILLLDRETKQERLIEEDSTITSFSLSEDGDFLLVNLVTEEIHLWNIRNGPIRVNRYSGHKRSRFVIRSCFGGSEQAFIASGSEDSQVYVWHRATGDLIETLPGHSGTVNCVSWNPANPHMLASASDDHTIRIWGAKKPSLKRKDVGSSSSNGIHANGNAHANGFVHQCNGNSSK >Et_6A_048192.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:9282253:9282510:-1 gene:Et_6A_048192 transcript:Et_6A_048192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLGGCCNSVAVAPGTTMVSPAGKQTELRGTPEAKKQQLGAREKVQKEEKVKVGEGAEAEAGRRRAAIVMHHQFPFHSRPGLL >Et_10A_002313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8469407:8471967:-1 gene:Et_10A_002313 transcript:Et_10A_002313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMEICRSSRAGGLSVRGRFYVPTRAGDVLTVELKPQPHLRYTAKMTGDQIRSGFNESSYLVPSCDDQHSGMLLVRACTPNGRFGCTKFAVDLSNGSLSLKEPSGVTVLLPSITDLRIVILMGYMDPECLLSGSTGATSDGFSFGLIILEVACGHLPIIVVQYSEEFATVHPVQWVWEYYLDHFGHVLSSGSVLEHPLGRSNHSLTRGYGLGSQQSVL >Et_1A_005139.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28821627:28822355:-1 gene:Et_1A_005139 transcript:Et_1A_005139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLCGLLANPKATVASMGVLIQTTSLLYIFPSSLSFGVSTRVSNELGANRPAAARSAARAGLALSALQGLASFLFAVSVRDVWARMFTSDAAILALTASVLPILGLCELGNCPQTTGCGVLRGSARPKDGARINLGAFYGVGTPVAVALAFWAGQGFKGLWLGLLAAQAACVAVMLVVIARTDWDKQAELAQVLAGVVDPACDGDVNGGDVEKDADAPPRVKKVAAPHGDEDSSLLITVQG >Et_4A_034028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29243518:29246637:-1 gene:Et_4A_034028 transcript:Et_4A_034028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GATWQEDQSNLLLVYPSTLAVVRFILFRPPRSSRALPSSRRNRIPNPESQPLSFPDLAEELPTPNPSLASPASPHPMEDEDAGPGGGGEASPPHAAVASDDRARDMAASPTSSRSVTQTVNGSHKFVIQGYSLAKGMGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTMDFSRPHSIEVPESDIGYHFGSLLDTQEGVDERSFMPINWFWLLDPPFLDMNFSMNQWKTRMKLIQAVLHFVYRDNLVDDDELAASSSDCSIFDTLAGKLMAAADKYELPRLRLLCESYLCKHISVNSVATTLALADQHHAMELKSVCLKFAAENLSAVIRTEGFDYLKENCPSLQSEILRTVAGCEEECSSGGKSQSVWGQLSDGGDTSGRRVRPRV >Et_7A_052580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9564089:9566922:1 gene:Et_7A_052580 transcript:Et_7A_052580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILIRSLAWMLGSAAGSNAMAFFNSGSRALVEILTKMQSAERPMPVDDTFFEFGSIRYHVEASASDSENVYLSISTPSLSHEASPSSSGLPEITLQETRKAYHKFAEIVEPPREGYVLTLKLNFSGLTRPKDRTKAINQVSRLQSVVLSSQLKDMLGRLGPSGTTMRLVYKQLEPFFVSKTDGKINAVFPMRFSDDTDLAIATSFFQELQDLGHSFAKAPKCSWSPIPPPELRGECVHHLTTNGGFVSFGVLPRHVKGKRAAKTAWILLNFQAYVKYHIKCTRGYIQSRMRERLETLTEKKKKGKRRLVRFGTAKKLQDGFRAVLDKIKRLRLRIRVKGLDRLRRHCQCFPVPKLTVPRSKEHKYQRLE >Et_8B_058857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1071060:1071895:-1 gene:Et_8B_058857 transcript:Et_8B_058857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLFQFCSTENRRSCCKASNLKGLFEHAIGTGSYKERLWFYPRAREPGSDAMDRRLEEIGYI >Et_4A_033746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26328232:26332556:-1 gene:Et_4A_033746 transcript:Et_4A_033746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDETPPPAPARAAAGSEKTQPAGPAAGGWGGWGLSIFSEISRSAVEVAKSAIADIQQPPEQEAEPGDGEKEKEPEGEEDERRKAALEKLEKAGEDSILGQGLKVFDSSVESITTGTWQALGSAWKTSSMLEDSASSLAETIQQGELPAKASAIAPSILETGKSFTAKGMEVLERVGKETMEFIVEETGMEVDKGDTAEGDQQTEEEPFEEVSFDRCFYIYGGPDQLEELEALSSHYALLFNRKKGKLSAEQKTYYDGKLKEIQQIFSLSTNAEEYGPDSDKGKKIESADTDTDAEMKKLCESSVSKAAKMAAGFTNALGGLSPNEIIKRTTNRLETIHSEGVHKLSEMCCLAVSQLVVLGKSVISAANKSNNEDDENEIKIDWPEDPISKAKIIRWKAQSISLDMEKVSTSFATGISDVAEAYAAAIQNALADKQDDLPNQKSMQEKAKSISNHLNSDQTSAVSKLQDALQYLAYVIVCTSMPINSFTIPI >Et_9B_064669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17483867:17487044:-1 gene:Et_9B_064669 transcript:Et_9B_064669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTESRKLSDDYEVVDVLGRGGFSIVRRGVSKSEGKTQVAIKTLRRIGPSMMGTQKGSNSGLPMWKQVSISDALLTNEILVMRRIVENVAPHPNVINLHDVYEDVHGVHLILELCSGGELFDRIVGRDRYSEFDAAAVVRQIARGLEALHKANIIHRDLKPENCLFSDKNEDSTLKIMDFGLSSVEDFSDPIVALFGSIDYVSPEALSRQEVSAASDMWSVGVILYILLSGCPPFHAATNREKQQRILHGEFSFQDHTWKTISTSAKDLISSLLSVEPYKRPTASDLLRHPWVIGDCAKQDLMHAEVVSKLQRFNARRKLRAAAIASVLSSKVALRTKKLRSLLGTHDLSSEELDNLRVHFARICADGENATLAEFEEVLKAMKMDSLIPLAPRVFDLFDNNRDGTVDMREILCGLSSLRNSRGDDALRLCFQMYDADRSGCISKEELASMLRALPEDCLPGDITEPGKLDEIFDQMDANSDGKVTFDEFKAAMQKDSSLQDVVLSSLRPVQ >Et_5B_043318.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:2646237:2646551:-1 gene:Et_5B_043318 transcript:Et_5B_043318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WPLTSDSQQIVQTLNNNDFRTSPAHWTLRPLLYDFRRNNEQVNFTVTKIRRQNNTTTHNLAQQAVQMNPAPTCHFVCSHLAHQNQCPVRAAFQLVSWVISHPWL >Et_2A_015152.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33110305:33110535:1 gene:Et_2A_015152 transcript:Et_2A_015152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQISNLSISILPPEGSTRRNSELISVDFPLPVLPTTPILFPAAKMQVIPLRTSGKFGRYLICHQILIIEFISQES >Et_4B_038919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:644080:648864:-1 gene:Et_4B_038919 transcript:Et_4B_038919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTSMPQVWDEERAAKGGMVTPAPATALLGSLAGWLSRTVQPPAPRVCGTEGGPPVTAPRIRLRDGRHLAYFESGVPKDKARFKVVFSHGFTGSREDSVRASQLLAKAPVLSCAAKRRPGGEAAPDSPMGPLSGVRKEDARFKVVLSHGFTGSRLDSLRAAPEVAEELGVYMVGFDRAGYGESDPNPNRSVKSAALDMEELADALGLGPKFYVIGISLGSHAVWGALKYIPDRIAGAAMMAPVVNYWWPGFPAELAAEVYAKQEVGDQWALRVSHHAPGILHWWMEQSWLPTSTVVANTTYLPNKRDAETRRNLTADGTLQKKRELATQQGIHESYYRDMMVMFGKWEFDPMSLPKPPCPVHLWQGDEDGLVPVVLQRYLASRLSWLNYHELPGTGHFMSAVPGLGDTVLRTMFG >Et_5B_045478.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:2794103:2794690:-1 gene:Et_5B_045478 transcript:Et_5B_045478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANNLLFSDSTLILPVFTSSLVLSTQRAFMLLLAAAIVRHPLTFVNLNAIVLLYRKAVPSRFVLAVEAQAVMQLVATAVAATGDLHSVARWMGSSALYWGTMAMLVLMWQASFIGMVGMIYLVSSFHNGVCTAAVLVANVIAGVAVFGDAFGIEKGVATALAIRGLASYLYGEYSKPDVSKTPTGGSKTMPYRR >Et_10B_002628.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19017922:19018020:-1 gene:Et_10B_002628 transcript:Et_10B_002628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFENIDPTIEMQSFVQKRIPATVAQSKEELQG >Et_10A_000621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1376572:1377090:1 gene:Et_10A_000621 transcript:Et_10A_000621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGIHSNDNYILTYNMLTPASGSIELLAEVPNYYRASGKLHLASSSSERRLSLFVTDKFKLTIWMLMTVYAAFELHPYPSLYDRWPNDVAVASSGARSAAVVLLPYQHLIPDSIRHYECLREKFIVLDLETEEMHTVMKEKDTFLYEVDLASRLY >Et_10A_002174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:320495:322178:-1 gene:Et_10A_002174 transcript:Et_10A_002174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRRFDLTMSRRTRRPASLAADCHQTQAMEGSKTTMIQPQQEGDGAELKTAPSQCPHSPQHSEDTEQKHKTSEETDQEQTPPQKCPEEEAQQPDQCQDNNFRRLSLQELIEDEALGGEKQDTATGDQEDNAVHDVAEAAAGDVAKKQTEQIVAGRKMIGMVRRYVRVRSTKSKHALEKKNERKYLRIHPLWLLLLDEMVAFNDGNLKVWNIGL >Et_6A_047221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2709600:2733216:-1 gene:Et_6A_047221 transcript:Et_6A_047221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAITSRVSSSSSQKWSRNKRAISISRADSAERGDAPKQPRAVQGKELHNDDDDDKSSTGSNDVELDAPPQQKQGPFYAGPGFLVSPEPGMLPMPSSFMVRVPSARAPRHGARRSSGTAPDPAGVEEDGAASLERRRPLQAVFATAQARPRRRRGEVGRVAQGQAGPDEHAVVVEVVLEQQQQRDHQPAGVAERQSPAERWDARKQPRPVRAKELDDDDDKSSTGSNDVELDTPTKQKAIYAGPGFLVSPEPGMLPMPSFMKMAPLLPTPLCAVVLPKKSPPPKRRRRRAVGRVQEQVFVQARSRRRRGTVGRAQECRTGLRVVRIEPSLITGRQELAAASPGARRLGQQQKALDDVDSLLVLIQHLGEAEARRQQAASQPRLALQADVIDNDEYGECSSTGSNDMEVDNKPQPKLGFYAGPGFLAPPEPSMLPMPSFLVRPPRVHLAMAHAALPGLLPTPPELKKMVPLLSSAVVHPKQSPPPKPAGRADAVERWDACKKNALKSSSKPGRADAAQRWDARKVTAHASEASSGSSSKKTATTSSSLSSSCSSSSSSLASRATAASSRGRRDGNKRPVSRGSSSAERWDAHKKPRPPHPQLDDAVDDDKEYSESSSTGSNDMEVDNKPPPQLGFYAGPGFLAPPEPSMLPMPSFLVRPLARVHLAMARASLPGLLPTLPTWKMAAALLPTPPCAVVLPKQSPPPKAGRADAVERWDACKKNALKSSSKPSRADAAERWDARKASASSSRSSSSKKSATTSSSSSSSSSRSAKRDGSKRPVSRGSSSNERWDAHKKPRPPQLDDGESSSTGGNDMEVDDKPQLSLYAGPGFFSPPEPSMLPTPSFFVLLLARVHLAMAHAALPGLLPTPPALKKMVPLLPTPPCAVVLPKQSPPPKPAGRADAVERWDACKNALKPSSKPGRADAAERWDARKRWDVHKKPRPPPRAQAVDDEYGESSSTGSNDMEVGDKPQPKLGFYAGQGFLAPPEPTMLPMPYSSAEMKLKMLNCCNWI >Et_4B_038888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5693249:5699970:1 gene:Et_4B_038888 transcript:Et_4B_038888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPAIRKPHLHRRDRDEASPSPPPPGTGHTPSTRGFAVSDRPATGTPAPWTSSSLLARISTSKRADRTGDSDQIQPVHVAEFPQVVRNAQASFLKKNYHGKNMLAGGIDKDTSLAWMICGNELFIWSYLAAVAKDCLVLVVPSSLTGNDDTKPLSGIEWAVCITKWHSSDASQMSSGELLHRRSLTGAILCNKRTQAVAYWPDICDENRSPIISSVGYGEESASDGASDCSRFNSIITAAVPGGVHECIAIASEPGGALWLFRCSPAGIHRRKVHRDTLGDSGADHSQKSNGGRSLAWLPSNVSSKAGDRMFFLLTNHEVQCWSISLLHDTNLKKLGSQEIIGTDGDVSIKKDIAGQKNIWLLDMQIDEHGKEFNILVATFCKDRVSGSNYTQYSLLTMLYKPNQKFPSEDNAVKIERFLEKKAPSQVIIPKARVEDEEVLFSMRLKTGGKPSGSVIILSGDGTATVAIYWRGSTKLYQFDLPWDAGKVLDASVIPSAEDRNEGAWVVLTEKAGVWAIPEKAVLVGGVEPPERSLSRKGSCNEAVAEEKRRTQGFSASIVPRRASSEAWGSGERQRPALTGIAQQTVVDEESEILLNRLFHDFVLSGAVNEALQKLRAAGAFEKEGEMNVFVRMSKSIVNTLAKHWTTTREAEFLASTIVASLVEKQQKHEKFLQFLVFSKCHDELASKQRAAMLTIMEHGEKLSGMIQLRELQNKLSQQYSSTHLSPQSKTQTSSALWNLIQLVGEKARRNTVLLMDRDNAEVFYSRVSDIEDLFYCLSHQLQYIIIREEHPSVQIQRALELSNACNNLVQAALHFREEHKEWYPSPEGLITWNSHLIVRSGIWSLAVLIMELLSESGAADMSVKSSLWFQLEGLTDVLLEAYICLLTAKFERGEEHGALVQEYCERRDELLGSLYNLAKQIVEAKYQESREGTDNMELKESIFREVTSPILATAKRHEGYQTLWQICYDLSDTGLLRSLMHDSVGPHGGFSFFVFKELINNRHYSKLLRLGEEFHEELASFLKDRSDLLWLHEICLNQFSSASETLHNYALLRGREEGASLTTSRKPLSFAERRRLLYLSKIAAAAEIVQNDPEYAQGKYANKLLDPSELIEMCLNRDREISLKAFEVFASTSSSFRSSNKGLLEACWMNAANQDDWVKLLQASTSEGWSDEVIETSLQGTILFNASRLCYSPDALVYDGTFEDVLPVKKEDIHLRGLESKCFSVEEVLMQHKDFPDAGKLMMTAVIMGKELSYTAAEPVEMEP >Et_3A_025161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27483001:27484470:1 gene:Et_3A_025161 transcript:Et_3A_025161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERNVEPDTKSYNAKLWGLVARWRIEDAAALIKMMEKDGPKPDTESYNVLIRGYQRKGRLDDAKKVCDNLVEKGGPKPDTKSYNELIQGYCEEGRLDDAKKVYDDLVKNEGVPNEGTFRTLVPHLVKAGELDRAVNCCHEIIRLECKVYVSLLQGVITALFNASRVEEAARIIKLGRNNNCYRKSLMMPHALVMGVWKNRVQMTSN >Et_4A_035978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9824982:9825827:-1 gene:Et_4A_035978 transcript:Et_4A_035978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEVAVAPEGRRILVAVDEGDESVRALRWCLGTFFAAAAAGRGDTVILLYVRPSPPAYSVLDASGYMFADEVTAAIDRYSREVADAVVEKAQKLCTLYGKEIGEDDREMKVEVKVAVGDARSVICQMADKLGADLLVMGAMATASSRGNQPLASTTLNSEYSASLLALLDGADVPALLGSVSDYCLKNASCPVLIVKSQHG >Et_9A_060931.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:18839527:18839784:1 gene:Et_9A_060931 transcript:Et_9A_060931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAATTSLTIFSLIWPRRRGGGSRAPAGTLPSPLPAVAAARTATAGMAGA >Et_8B_060007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:569160:570355:-1 gene:Et_8B_060007 transcript:Et_8B_060007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGINGNKGRCYDFWLDFSECMSRCRQPSDCSLLREDYLECLHHSKEFQRRNRIYKEEQRQIRAAARKAKEEAEGTPAVTAQH >Et_7A_050214.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:12409547:12410077:1 gene:Et_7A_050214 transcript:Et_7A_050214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSSYFFSSNSSSNKKSSSSSSSSSKCRQQQAAAQQHQQLPDGNTTRYLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAEEAAVAYDRAARSLRGARARTNFAYPDLPPGSSITPYLSPDLTSADNSAQLLQ >Et_2B_022082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8916490:8918021:-1 gene:Et_2B_022082 transcript:Et_2B_022082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIQHVKQPTTASVAAMLVVTLVVVFLIADDDRQASFLDTVSSFTSPSPSSSTSSSGSARRHPRAGRSRAARVPEGCDIFRGEWVPDDDGAAPYYTNRSCPHIQEHQNCLKYGRPDLGFLRWRWRPAGCELPRFDSAAFLGAFRGRSLAFVGDSLARNHMQSLMCLLSKVEYPKDISKTADPEFKTLHYESYNFTMAIFWSPFLVKANKSGELWHLRLDEPDDAWLAGIPAFDYVLLSAANWYTRPAMFYEGGALVGCHLCQVPGVADLTLRYSQRVALRTALRAAVAGAGTTAATVIVRTLSPTSHFEGGEWDRGGDCRRTRPYAAGETRMAGLDLDFYTAQVEEFARAKAEAAAARRLVLMDTTPAMLMRPDGHPSRYGHWPQANVTLYNDCVHWCLPGPIDAWNEMLFQMLLTR >Et_4A_034660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5288774:5289254:1 gene:Et_4A_034660 transcript:Et_4A_034660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPWCAGARTIARAPPPPTPALPHDPRATRRRLLLRPCSAKKKPTNEEQAGGGSVLSKSVLLRSGIALFALGFVDAGYSGDWSRIGAITKDTEELLKLGAYAVVPLSLALIFSVSEDSSDKS >Et_5B_044084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19854165:19862482:1 gene:Et_5B_044084 transcript:Et_5B_044084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTINENLGQAARVIGFAEGVNIIFVTNAVGTFQVQIKSWQVRKVDDHGYYNNTFPYFCFHAPELNHDAVTEILLRFPPDDPACLVRATLVCKPWRRILKDPAFLDRYREFHRAPPLLGYLHTRFHKDLKVTLPCFEPTVAAPPFPKPPPACRNSRVIDSRHGRVLLDQRQRQLPRMGPGHRRLLGGSAEA >Et_3A_023338.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:21467425:21468522:1 gene:Et_3A_023338 transcript:Et_3A_023338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLHVTVSEAKATLYLDEHPAPAGVDAEVLEHLVEARAIGQRSTTVDQELLLEEGNRDPEKLRSRQHQATKGHDVFLERVSCKGREVLGEVDARDPVVVLLLERAPVVRVPRGGQRAHDGAEPEAGAVGRVGHDHGGGAHAEEDVGHHHLALGPGVELRREYLRAHDQGMLPRRRHPQEVPGEADRDERRAAPHAGEVHALHVRAELEPVDDQVGEGRRQRGEAAGEDDGVDVLGHEGRPGEHLADSGQDDQLGFLPGSLDAALGGNVTVRSRHAGLLADSGPFIQPNHELGALLLNQVGHVARVLYGRRERDPVARLPPGSSRSPRGTRPADGEATRAWPRRRSPGSPTSGRAPEACRSSRRH >Et_5B_044663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4447256:4460111:1 gene:Et_5B_044663 transcript:Et_5B_044663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVSVLGSDLRLAPFGAGHRACPLKMLALATTHLWVAQLLHKFDFAPAAAGVNLSEHISICPWRGPPRSLARLQAHRVHLNHKFIPKRFKEVVNVLRKMLVLATTHLWAVQLLHKFDWAPSATAGVDLSEHLSMSLEIDAWPRRSSARPPLASECHLFVSACLSLSEEPISGGDQMSLPDAATSAFVLVGCKRGGGWNFLFKHPPPRTISVTSIASEHHPSVLPLATNTMGVTGLEESLLFLLPLASPLPSIVAVVVLGAVLLWLSPGGPAWALSRSRRPPSGPPGVLTALSSPVAHRTLAALSRAVEGGKSLMSFSVGVTRLVVASQPDTAREILVNPAFGDRPIKDAARHLLFHRAMGFAPSGDAHWRGLRRLAANHMFGPRRVAAFGHHREAIGENMVADVASRMARDGEVTLRRALHAASLNHIMTTVFGKRYDDFESQDAQVLEEMVTEGYDLLGSFNWADHLPLIKYLDLQGVRRRCNRLVQKVEAFVGQIIQEHRERRASGVVADEFSGDFVDVLLDLQGDEKLSDSDMIAVLWEMIFRGADTVAILLEWVMARMVLHPDIQAKAQAELDAVVGSRGAVADADVANLPYIQNIVKETLRMHPPGPLLSWARLAIHDAHVGGHLVPAGTTAMVNMWSIAHDANIWPQPEEFIPERFEKEDVSVLGTDLRLAPFGAGRRACPGKRLALATTHLWVAQLLHNFNFAPAAAGGVDLSEHLSMSLEMATPLVCKATARV >Et_1A_007270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32936662:32942904:-1 gene:Et_1A_007270 transcript:Et_1A_007270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGRNQQQPNQAAPAVGGEMKEPAAAAQQLRPAAPGIPAARPWPVVFTPTKPATEVKSVTPKKKKHCNCRNSKCLKMYCECFAAQVYCDGCNCSNCGNNIESENLRKEAIETLLARNPLAFQPKIENGPSAHNVRKDNSGAVPVVPKHNKGCHCKKSGCLKKYCECYQANVLCSKNCRCMDCKNFEGSDERKASIQVEYASDRNHIKQGASIIHNSTTGTSGYTYSPMRRKRTYEDALGGKLNTAGVMLEAQFRQTLLCSLLPQDAMGTMLPIHHLNHLIPAIDNKVDETGGGKELHTNDGLNNGHCNQQDSKEAQTLACNEPSVKDSRPASPATQALMCNEQDTTFGDDYRSSFPSISCDQDISEINVAQENLVLTGIRDYLRVIITRGKINEHKSSSEAAIELGAQLDHGAAPSISPSKAEENDTSSNGTKTLRSNQQSISNDGSKGSNG >Et_2B_020416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:247853:249467:-1 gene:Et_2B_020416 transcript:Et_2B_020416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTILHQQVLWSLWVVVLVAARHHSSAAASGYLAPSCRARTASLTDFGGVGDGTTSNTAAFRSAVDHLSQYGGGMLYVPAGKWLTGPFNLTSHFTLFLHSDAVVLGTQDVNEWPVIDPLPSYGRGRDKAGGRYASLIGGSNLTDVVITGLNGTIDGQGASWWSRFHRNQLRHTRGYLIELVRCDGIFISNVTLVNSPAWNIHPVYSSNVVVSGVTILAPTRSPNTDGINPDSCSHVRIEDCYIVSGDDCVAIKSGWDEYGIAYAMPSQHIVVRRLTCVSPTSAAIALGSEMSGGIQDVRAEDITAVDSESAVRIKTAVGRGGFVRDVFVRRMRLETMKRVFWMTGNYKSHPDDKYDPNAIPVVENISYQDVVATGVYKEAARLEGIQGAPPFKGICVANVTAELSRSRKQPWTCADVEGVSTADVTPAPCDQLKGTAAIPDGGGCPFPTDTLPIDQVTVQQCAYDIPPPGPSS >Et_1B_012997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4618249:4619773:-1 gene:Et_1B_012997 transcript:Et_1B_012997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQANQPTVLQKLGGQFHLSSTISDGVRARNICPSASSYERRFATRNYMTQSVWSPSMSVSGGINVPVMSSSPLFANAPAEKGGKNFMIDFMMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSEPYKGIGDCFKRTIKDEGFSSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLFFVYSLDYARTRLANDAKAAKGGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGLYDSIKPVVLTGNLQDNFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFQQILKKEGPKSLFKGAGANILRAIAGAGVLSGYDQLQILFFGKKYGSGGA >Et_1A_008299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6048259:6052871:-1 gene:Et_1A_008299 transcript:Et_1A_008299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDDAAAASPRDSIPAPPDAAACVVRLELGFRVSPTPDGVSRAAELLCGGYVWGLATQGTRATVVTVTTISVIVMGCGEEVGAEKGDENAEQHQPFFSMCQPIRSVSYSDSWEGVCAPAANDPVTLESKSWSPPSIDKEADLVQDEMDMEQSKVYSFNLIKTVIRLRELTDDFDVPDRESNQQPEALSTEHPSPNQNEWGSWPVEANQQLLPLNSNQPSRNTGIAPCDTEAKQFLFPFSYRRQPKYAGAGLSNMGNTCFLNSTLQCITHTVPLVLKLRSIDHSTPCSYDKDGFCSFCALKEHVDESIRRSGSVMMPVKFRDNLRKLSSDFRPGQQEDAHEFLSCLLDNLHKCTIDPGSKGKGSSFDEESMVKQVFGGQLKSQLSCCECGHNSETFEPFLDLSLEINQVDNLVHALESFTKVEQIGDSEEKLTCEHCKAKVCKNKQLTLDKAPDVLAFHLKRFTTIDNSIEKIDKHVAYPPELDLKPFHSNPDTAGELKYDLYGVVEHSGLLPNYGHYVCTIRSSPSTWYMMNDSHVDSISDVSALNQEAYILFYVRQGKFPWFLSLLDGKDAQPDDTSRGASPVSVLENIDPNCSTSTGEGTSSSSDDILMKNETRHCEELEKDETSQCRSSFNPGEASKGSGAPNSSIEDEISPCRASVQDNTSVRYPCTTMDAINLDRPSTPPRSKRLFPANDYSVFEYENFDDDENTPLLPDLKFKPKAKKAKAASASKAIKGSCVDQNASRLMRNMPSQRRKGLMECMPPQHNAKQESRRCPASDPLDKKKRKMVC >Et_5B_045179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10992138:10996282:-1 gene:Et_5B_045179 transcript:Et_5B_045179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLKLTEISRKWNSGKVSSPSAAACPRGHFAAYTRDGRRFFIPIDYLATDTFRELLNMAEEEFGAPCGDRPIVLPCSADHLEKILDAFRVGSKKKGAGAGRIGRICQKEDTDKPHKGS >Et_2B_021892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6614532:6615424:-1 gene:Et_2B_021892 transcript:Et_2B_021892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAYSVALLGGARLPAVPRSGLLPRRSSVCTLRLQDAPRLSLLRTKAASSEDSSASADELIEDLKAKWDAIEDKPTVLLYGGGAIVALWLTSVVVGAINSVPLLPKILELVGLGYTGWFVYRYLLFKESRKELATDIESLKKKIAGTE >Et_4A_034391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32142583:32146122:-1 gene:Et_4A_034391 transcript:Et_4A_034391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVALRNAGSRRLFSYPTLRAAAISAPATIPDAPAAAAPTQPPPIAGTLWARSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEAGKAKAVAFDEIDKAPEEKARGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDEIPIIRGSALSALQGTNDEIGKNAILKLMDAVDEYIPDPVRQLDKPFLMPIEDVFSIQGTIKTGEDVEILGLTQSGPLKTTVTGVEMFKKILDHGEAGDNVGLLLRGLKRGDVERGQVVCKPGSLKTYKRFEAEIYVLTKDEGGRHTAFFSNYSPQFYFRTADITGKVELPKGVEMVMPGDNVTAVFELISPVPLEPGQRFALREGGRTVGAGVVSKVMS >Et_2A_018160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15269806:15270475:1 gene:Et_2A_018160 transcript:Et_2A_018160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVEDLGNDAEDTVSPGQLNTETTGPSGTKQTEDDARIIKEAIDIAVEELLVECANKVIAEDAVMVGDGSAHVATSISASCTGLIGAASPCMEVSRTEPVVQDVPQVSVLADPTTPSAEVAVHAEKKAGEVEHLVVAETEASPSSPTVADSGVPPPSAGMCDDAVVAAIQENGTEVDLAHLAEATYTTRQAAWARDPLTVML >Et_10A_002146.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:2479079:2479510:1 gene:Et_10A_002146 transcript:Et_10A_002146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFKNVVLRRCKSLSRAGRPSSSASYSYSNLRSMSTRDAVAGGAEDEADHDAFSPESVEAGGAVVLVGSSRRRYVISAAHLSHPLIAALIDDPGGGRPVAVNCEVVLFDHLLWMLDNAADLRSGGDGHDDDAMRELAQLYAC >Et_8A_057271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2289812:2291796:-1 gene:Et_8A_057271 transcript:Et_8A_057271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKARADMSKLLPVISFFLGAALTAALVFFGATMDVNWRISELASWGNGARPGARDEVKPFAELPELLKNASMEDKTVIVTSINRAYAAPGSLLDLFLESFRLGEGTARLLDHVLIVAVDPGALETCRSKHRHCYLLPSDGGVDYSAEKFYMSKEYLEMMWARNRFQQTILELGFNFLFTDIDIMWLRNPMRHIAITSDIAIASDYFNGDPDSLRNHPNGGFLFVRSANRTVEFYRQWRKLRGEFPPRTNEQVILEKRQAALSRRLGVRMQFLDTKHCGGFCQLSGDLRWVSTMHANCCTGLPNKVHDLRSVLRDWRNFTAATPELRRQVRWTKPGKCIH >Et_1A_006033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1720284:1728895:1 gene:Et_1A_006033 transcript:Et_1A_006033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFYKKPPDGLLLITDNIYVFDHCFSMKEMEEDHFEAHIKGIATDLLENFRDHSFMISNFGTRKEESPIYHILSDYGMTVLDYPGHYEGCPLLTMEMIHCILKSSESWLSLGQHNLLLMHCEQGCWPLLAFMLAALLIYLGHYSDEQKTLDMFYKQSSVELLEMFSPLNPMPSQMRYLRYISMKNVMSEWPPADRALTLDCVILRMVPNFHGRGGFRPIFRIYGPDPLMPPDQTPKVLFSTPKKSNIVRFYSQEDELVKINLQCHVQGDVVLECINLHEDLDREEMVFRIMFNTAFIRSNILMLNRDHVIFSDMDAITSHITTEPVIHQEKQGLGIEEFAKVLDIFNHLDWLDGKRDIVPHTAQLKISTISDEPETFFDTREELDSDSFSGDIKSFTGAPKQGNELDMLVHSGSVKAQSKSQPTGLSPSQALPTSVLSSPSSAEHSSSTAMPQQPSSSIQSRELMSDAAAQILSERSESTLAEKSGSQTPVEYVPSPQMANESAKTASLIPLCTPPPLPPPPPSVSLIPIPISSPLSKNTRTSVINISLKATMHSSPSPERPVSPQEPSYGKLPTDSSTGRDAVVVSSASLLPAEKKSSGTRTSVPAELPALPLISDTKPVAMPFTRSDPTPAPQMLPPPPPPPPPPIKSGEEVTSTKEKVSLSQPPPPPPPPPPAPFSSSTRSFTVSAVSSPQKRADKKARRASVYPASTPLTPNVSQTVPQLQMPPTPPPPPPPYQRNDTAAPRPPPPPPLHSRSSPAPSAPPPPPLPPPKLSVDSNASQKMSTIRPPPPPPGPPRKDSLHSLPSKGSVVSSAPPPPPTFSSGTKNRSTSRSKSPRSLRANQSSKRTPLKPLHWVKVSRATQGSLWAETQKADEASRTPEIDISELESLFSVSMPNMEAKRQRQNPSVATKQEKVLLDSVLALNDSLVDGDQVDYLIKFCPTKEEMELLKGYNGKKENLGNCEKFFLEMMKVPRVESKLRILSFKIKFRTQVADLKNSLNIINSVAEEVRSSVKLKRVMQTILSLGNALNQGTARGAAVGFRLDSLLKLSDIRARNNRMTLMHYLCKVLSEKLPEVLDFERDLTHLEPASKIQLKELAEEMQAITKGLEKVEQELATSERDHPETEIFYRKLKEFLADAQAEGRSLALLYSSAGKSADSLAHYFGEDPVRCPFEQVVSTLLNFVKTFERAHSENIKQMEQEKKRAQAEAEREKVKLTAHKKGQSPERGIPD >Et_1A_008219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5355645:5358752:1 gene:Et_1A_008219 transcript:Et_1A_008219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIAVDGGVTEEVPNGVNSSQNNENMSAPKSTAASTMVGSMQSQALEMHVEGSGAAEPSIEQLYNNVCEMESSSEGGSPSRESFGSDGEESRIDSELRHLVAGEMEAMKVIEEEEGSGSVANAVPAPENGTPIKTQSPTSSKKSMKASKSQLESDASVGPNGKASPEEGESEVSKPGSRVGRRRKSKVNSQNGTEDAGLDNPDLGPFLLKHARDLIASENPRRALKYALRATKSFEKCAGGKPSLNLVMSLHVVAAIYCNLGRYEEAVPVLQRSLEIPVIEEGQEHALAKFSGCMQLGDTYGMLGQTALSLQWYAAGLDIQKQTLGEQDPRVGETCRYLAEAHVQALQLDEAQRLCQVALDIHRETGEPASLEETADRRLMGLICDTKGDHEAALEHLVMASMAMVSNGQETEVASVDCSIGDIYLSLGRYDEAVFSYQKALTVFKTSKGENHATVASVFVRLADLYNKTGKLRESKSYCENALKIYQKPIPGTSLEEIATGLTDVSAIYETMNEHEQALKLLQKALKMYNNSAGQQSTIAGIEAQMGVLHYILGNYGEAYDSFKSAVTKLRTCGEKKSAFFGIALNQMGLACVQRYSINEAAELFEEARTVLEQEYGPYHPDTLGVYSNLAGTYDAMGRLDEAIEILEYVVGMREEKLGTANPDVDDEKRRLAELLKEAGRVRSRKAKSLENLLETNPYTVTKRNTVAA >Et_1B_014253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4309772:4314307:-1 gene:Et_1B_014253 transcript:Et_1B_014253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPQARATDGGGKPHVLVVPFPAQGHLLPLLDLVALLAARGLAITVAVTAGTAALLDPLVAAFPSVDAVVLPFPSSPLLPAGCGENAKDLPAGYLLRPFMASLAALRAPLLAWCKAQGQGQGGRGVTAILSDFFMGWTQPLAAELGVPRVAFSPSSAFYLAMTQSLWRDVPRRRRPDEAVAFPEIPGSPSFPWRHLSSLFRRHVPGDELSEAIRQSFLWNQDSECVVVNSFAALEAPYLRSHQTGTGKRMLAVGPLSDAVGTSTNVDRGGKPTVATADVTAWLDARGEEGSVVYVSFGTQYAMPPDHAACVADALARSSAAFVWAVRRGTAVPEGFEAATASRGVVIRGWAPQVQVLRHRAVGWFLTHCGWNSLLEAAAAGVAMLTWPVEADQFTDAWQVAEAGVAVPVAEGADAMPDAGKVAEAIDAAMMGKEGCSVRERAVELSRKAAAAVAEGGSSRRDLEELVQILTTVVYLCFPTWCPTVYLLKRFEVIDNDLGVPIYVFQDV >Et_1B_013186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6205278:6208757:1 gene:Et_1B_013186 transcript:Et_1B_013186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGGGDEVVDAEYAEVDPTGRYMRYNVILGRGAFKTVYKAFDEVEGIEVAWNQMNIDEVMQCPDNLDRLYTEVHLLKSLKHGNVMKFYYSWIDDHKKTINVITELFTSGSLRHYRQRHPRVNLKAIKNWAKQILHGLDYLHSHQPPIIHRDLKCDNIFVNGNHGEVKIGDLGLATVMQTPRAKSVIGTPEFMAPELYDESYDELVDIYSFGMCLLEIFTLEYPYSECTNPAQIFKKVSTGVKPAALAKIADPQVKQFIEKCLAPASERLSAKELLQDPFLCPDNTNGPAGTKLPSPKTADNSAESLHMDVDTCESSPTSSDKTNGCVTPHKPVLEFIGTNKNTELKLKGEKLDNNSVSLVLRIADACGHARNIHFVFYLDSDTAMSVAAEMVEQLELADCDVTFIAHFIDLLIVNLVPGWKPVNDAAQNSYSHSKMGESELAISSHPNLSELMPSYELIDGIMRPKDGNASSNDQLDSVSSAANLGAQGSEGSVISVQLAGSSKSASCCGTDDYGTMDCGGGKRRIDKINCSHVLVDGSSPIFHIDQASPSMELASSGSSIFTADNQDVLTGELDFIEAQYKHLVDELTRMREEAMEGARRKWLPDE >Et_7A_051348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17143046:17151234:-1 gene:Et_7A_051348 transcript:Et_7A_051348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMILRDAPGDDDKSSRKITTTTLPNDMITEILPRLPARSAARFRCVCRFWNAETSSPAFLDRHPGLFGAPKFAFVPGAMAHEHYCYYWGSCSSCPRVIGAVHCRGLALLEHRHSTDDYSVSVCNPTTGEVLRLPDQPSWSIGASNPPGIVTGIGFHAPTREYKVVQVTVALGSSNTQARGWRALDLEMSQEAFGDLTGYACIDWNIDPVFANGCVRWCFRTNRLNPDKPHGVLSFSLADDSFRRAPSPPFFEVWKLRDYEAGVWTLDYRVDLKGRAARRIKASFVVPLMYMDGGSSPVKEKRKMLLVTSEQRVQLYDPNTNSLRTVAGMAGHQGRVDMDGRLIEHFDGSLRILLYQESRLSTKRMKMAESTAPSRAFSLPDVLVTEVLLHLPARSLARFRCVCRSWNTEILSSGFLHRHHALAPAKAPEHFCYWGRCSSCPRVIGAVHCRGLVLVEHQSPSAYSVSVCNPTTGEVLRLPPCQALGGFDVVTGIGFHAPTREYKVVQVTVALGNNQVQAGVLTLGDARGWRALDHLDMTQAFGDVTDDACIDWNIDPVFADGCVHWYFRTNRRNPDEPHGIISFSLADETFRRAPSPPFVTADRASYPYRLENRQAMSLSNTGTRLAELDGRLCITRDVRRRSDAPGQFEVWKLRDYETGAWSLDYRVDLKGRATRRLKASFVVPLTYVDGDDGSPGEKMARKLMFVTSEQRAQVYDPEANTLRTVAGMAGRQGRIDVNGDLIRHFENSLRIVQYQESLVRFPGMEPCDSEIKFQKLEYISEMILST >Et_9B_063982.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:902868:903041:-1 gene:Et_9B_063982 transcript:Et_9B_063982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFFKKRHRRSPDCYRLVEKKPSYGDDAWRAKMKELLVPGVKCSRPCSTTLLHFRC >Et_7B_054967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5997841:6008488:1 gene:Et_7B_054967 transcript:Et_7B_054967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCMLISDTLGAGGVICTGVILVFSFACCAVVAGGEPVFDVVGYGAIGDGSTDDTKAFEAAWAAACGAQVPFASMVVPAWKTFLVGPVEFQGPCAPRNITVQVMGTIVAPPASAWSGARVDYWLMFNRVDGLTVTGNGMLDGNGESWWGIRCSDSDCVESAPTALKLVACNNLELSYFTSQNSPQMHIVIIESRSVHVEHLTITAPANSPNTDGIHIGKCNDVRITDSNIGTGDDCVSIGSGSRFVTVHGINCGPGHGALKLVKCNKLALSHFRSENSPQMHIVVIASRSVRVQDLTIVAPGDSPNTDGIHIGQSEDVRITGSIIATGDDCVSIGSGSRFVTVRDVTCGPGHGVSVGSLGKHGAKAAVEHVDVRNVHFINTMNGARIKTWEGGQGYAQSISFTNIEFTNVDNPVVINQFYEDRDFRAKGAVAIRNITYTNLRGTSSRSTAVAFECSRSGSCTEIHVRSMKITGPGGRKAVARCLNAQGDTAGYIYPKISCLK >Et_4B_037257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17285409:17285983:-1 gene:Et_4B_037257 transcript:Et_4B_037257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTKVTSVVLAMMVCLAMVSLVAGTAGTATFYTPPYTPSKCHGFQNDGTMIAAGSDVFWGGSSPCDQVYEVTCTGATNAGVPHPCTGRSVTVRMVDLCPAPACQGTIDLSQEAFAVIADPNAGKINIEYRRYVVVAACICICLVITSRHIHSAATR >Et_5A_041633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25284179:25287717:-1 gene:Et_5A_041633 transcript:Et_5A_041633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRALREAARRAAAATGRRRFSGASAAAAALAERGAGAGGGKAVNLFTAVNQALHIALDTDPRAYVFGEDVGFGGVFRCTTGLADRFGKNRVFNTPLCEQGIAGFAIGLAAMGNRAIAEIQLSTKQLNSDIEVEMNLTVEVVIPRSPREAKGLLLASIRDPNPVVFFEPKWLYRLAVEEVPEEDYMLPLSQAEVIRKGSDITLIGWGAQLAVLKEACEDAAKDGISCELIDLRTLIPWDKETVEASVKKTGKLLVSHEAPITGGFGAEIAASIAERCFQRLEAPVARVCGLDTPFPLVYEPFYLPTKNKVLDAIKATVNY >Et_10A_000113.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5441908:5444141:1 gene:Et_10A_000113 transcript:Et_10A_000113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHRYAIGAVVVVVVVVVVLLHLDGGELREQPRRDAARRVPVGAEEAAVAVGGEVVAAVVQELVRRRHLERQVAVVVVVPLERRLQRRRGRGRREAEVLRHLHAGEHLGRGGGARRGGRGGGGGRRRRRRRGAGALAAARGGGLLAGALGVAAAPAADGHVAERAAAGPVAAAGLAEVARLGERVVVEVAELGVGRVAARAAQRPGLGERHARQARALGHRHQRRTRRLGERAGGGGRRAAARRSRSARRRVGAGASVRRQRGELLAGARRRHQVVVVVSNTAEEALQWLLLHLHLYKSKLEEGISWSWR >Et_7A_050752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10756712:10758820:-1 gene:Et_7A_050752 transcript:Et_7A_050752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKDSEQCLHGAVAKRRKVEGTSLYDLLMDILSTILSLLPINDAIRTSVLSRKLKYVWCSHTNLTFNKSTLRKTYFRPSNGYFQALKDKEFVTWADIVLHQHSGTGVERMEIMFCLHSTSRSSFFSFRDGRIRRIVKEPYSLPSQFFSPNNGSYLRRLELWTVSLQLPSDYKGFLNLKSLTLVDVSITDEDVQCMLSKCNLLESFEIAHCRKVTSIRMLHPLDRLMHLVVDVCPKLREIELNCRPTTLKYIPLIFASTSELTNITVVFLKFQSALSYMVTCFASTLLRLETLTLFCYECEVRDIVLSGPRTIVPEEPYKFTYLRNLMLELVIFGKETRNNDVLDYAHLLKIAPFMATMELHMLMRCGHQPYCKEDGELRIGLPHQHAHLKYVRISGFFGHKDQVEIALCILRRSIVLEKMEITPKLEITRLGLLNSDYLQHYVDGNRVATEFVCKADHRKVVNVVRVPAETAVEA >Et_8A_057212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22357846:22361832:-1 gene:Et_8A_057212 transcript:Et_8A_057212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVRPSHLVLAAGAAYLLLVSLKFRRVLDLAAADLDGPAAFSSPSSADHLPPSNSSASASSSSATPFPVHPFWHRYDRVSLPDLASRNRSALDRMADDAWALGLTAWEEAAAFAGDPWELAAQHATRASTDKCPSAVSVRARGRVVFLPCGLAAGSSVTVKWIRNDIVDTKESKTTSWLKRFIGRAKKPAMTWPFPFVEERLFVLTIQAGVEGFHIYVGGRHVTSFPYRPGFTLEDATGLFVKGDVDVHSVYATALPMSHPSFSLQQVLEMSEKWRSRPLPKDPVSLFIGILSASNHFAERMAVRKTWMQTREIRSSQAVARFFVALNSRKEVNVMLKKEAEYFGDIVILPFIDRYELVVLKTIAICEFGVQNLTAANIMKCDDDTFVRVDVVLRHIKLNNGGKPLYMGNLNLLHRPLRNGKWAVTEEEWPEDIYPPYANGPGYVISGDIAKFIVSQHANQSLRLFKMEDVSMGLWVEKFNSTKPVQYSHSWKFCQYGCLENYYTAHYQSPRQMLCLWDKLVRGRASCCNNR >Et_9A_062167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20204075:20210729:-1 gene:Et_9A_062167 transcript:Et_9A_062167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLNSITLGDLGPAGTNKKILNKILEVYTSVRRAQCVIFTSFHELEGNAIDLVRRDLHCPAYAVGPCIPFMALREHEANPDGYMAWLDLQPPGSVLYVSQGSFLSVSSAQLDEIAAGLAESKTRILWTVHDAVTRSRVKGLVHGRDDAVVVVPWTDQLRVLCHPSVGGFFTHCGMNSTLEAVYAGVPMLTLPITADQPVNSRLVVDVWKIGYSLKELARADDIIGREEVAAAVERLMRLDTAEAKEMRTRAELLKEAARATIEEGGSSRTPYPGRGHVNAMLNLCRLLVARDHVSATVVVTEEWLRLLGAAAASEAYLKLAAMGSKAAAAPCHILAVPYPGRGHVNPMLNLCRLLVARDGRVSVTVVVTEEWLGLLGAAAKAMGPRVRFETIPNVIPSEHGRAGDMGSFLDAVYNKMMAPFERLLDRLSPPAPVAIVADFVLRWAVDVGERRGVPVCVLCVLSATTFALRNNFHRLPSVAARGTSTIPVADVADGTDPASLVENYIPGLKSIRLSDLGATLTNEKWLTRILETFASLRRRAKCVILASFYELEGSAIDLVRRDLHCPAYAVGPCIPFMSLQQHTAINPDEEAYMAWLDTQPARSVLYVSQGSFLSVSPAQLDEIAAGLAKSKASILWVVPDAGVRSSVKGLVHGREDAFVIVPWTDQLRVLCHPSVGGFFTHCGMNSTLEAVYAGVPMLTLPITADQPVNSRLVVDVWKIGYSLKELARADDIIGREEVAAAVERLTSLDTAEAQEMRTRAKLLNDAARSTVEEGGSSWSDLTSFIDFISHSKAAAAAPWHVVAVPYPGRGHINAMLNLCRLLVAHDGRVSATVVVTEEWLGLLGAAAKAMGPRVRFKTIRNVIPSEHGRAADMDGFLEAVNTRMTAPFERLLDRLAPPAPAAIVADLVVVPWAVDVGERRGVPVCVLCPISATAFAVRYNFHRLPSVANSDSSIPVPDVADGNDPNLIENYIPGLKSIRLSDFGAALTSEKMVTRILENKASVRRAQCVVFTSFHEFEGDAIDVVRRDLHCPAYAVGPCIPFMSLQEQEHEANPDDKESYMAWLDSQPAASVLYVSLGSFLSVSAAQFDEIAGGLVESKTRILWAVHDAGARSRVEGLVRARDNDAGVVLVVPWTDQLRVLCHPSVGGFFTYCGMNSTLEALYAGVPMLTLPITFDQPVNSRLVVEVCKVGYSLKEKACADGVIGREEIAAAVRRLMCCDTAEAKDMRRRTKLLKDASRTTVEEGGSSWSDLTSFINFISQ >Et_1A_008992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23908614:23913077:1 gene:Et_1A_008992 transcript:Et_1A_008992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSVLIGNSLYWLPGEAELGIFEYDLASRSLSVIQCPRDMRYNPSRCNYQIIPAEHGGIGLAMTTDLTLDMWDRKASIDDDHDDTWVLRKSVKLEEITGLSRNERGHMIIHGYDEDDNVLIEECGQSLSFAIVMSFQLRHCVLTKESHDLMGLTRKQ >Et_9B_065512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:651859:656815:1 gene:Et_9B_065512 transcript:Et_9B_065512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMELVLVLSASQRWPGFIALRIDMGSPWMNRAVHGFLNVGAVAACKVAAEDTSEFISTGVASEHKLEHSLKKMCKEGAYWGAVAGVYEAVEYGVERIRGRNGRTNAMIGGAITGALISAATVAIASAGTDDYRGKVITGGAVATAAELINQRSRVALAPFMFGETNGSAKSCELQHDDIDEGPWVVGLGLEMPSNEFDHIPGRPGSEEGKRRSRMPRGGFSGSLSSPKIDVVIDMGNPFLNRTVDGFLKIGAVGACKVAAEETFECLHRGDVSKHKLEHALKKMCKEGAYWGTVAGVYVGMEYGVERVRGRSDWKNAMIGGALTGALISAASNHHRDKIVKDAITGGAVATAVEDIWCLDTYRIQSGAVSSGGFSYRSCHAASSCRRRFSSSKKRSHRQPSSSRWLDHAR >Et_1A_009181.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:3238922:3240091:-1 gene:Et_1A_009181 transcript:Et_1A_009181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPAERYLVQGITEAIDFLHLIEACFAPNSDTRKEFFSILTDFCNGMTADARAVVARANELLQGHPDLADRFQVFLDPEKEAKQFLERVKHADMKMYDALLAELLRVDEERGLDAHQIYERVKLVFGSANGELLRGLAKFLLTRYDPAPCENAEREGRRPTRKRKPAADPDADAAWSSRPIRAKKRRFHEHDECNPISYAGADAVGSSRPSRAKKPRADDGENRCAPLVGAANGTTRGAAARDDDVENEVSRFRRAWEFEAGYSKLVATTARAEELLRERRAHGASASHGWRGASLEELFPSRECRECLAKMYHDAWGAMRKLLEDDDVADSALGIVLNRLRVMEAAAVGKARSRRDPTRAAKRLNDLVQDDVREDLKKASCVRQS >Et_4A_032591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11734914:11738377:1 gene:Et_4A_032591 transcript:Et_4A_032591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKPLLSRLFPLPLRLRPHARLLCLATPTPADVAEAPYDAAAERRRRKRRLRVEPPLSRGPAPQRTPGAPRPSSNPNAPKLPEPASVLSGKRLDLHRRILTLIRENDLDEAALLTRHSIYSNCRPTVFTCNAVLAALLRQARYADLLTLHRFVTQASVAPTVATYNILLQAYCDCRRPETALEHFRLLLKDDSPVLPSPTTYRILARSLAENGKLDLAIELKDGMLERGLVAPDPQVYAFIMGGFVNAGDGDKAVSLYEELKEKLGRGPILDGVVYGNLMKGYFLKSMEDEAMDCYAEVLGDYSKVKFDAVSYNMVLDALGRNGRLEDALRLFNRMCREHDPPRRITVNLGSFNVMVDAYCRAERFQDAIEVFGKMAEKRCAPDALSYNNLIDWLGKNELVGEAEGLYKEMGERAKAILLDESVVFSDEMKALLEGALEKDGRDGEMTKLYEDVEREKAEAAARAAEEKARAEALAKEEEERKKAEEKAKEEAAARASRAAIEAVLGRKREAEKDESADGANVEEAQVVESSSDTIDAAGEHNEGDEEKKQESGESMNFWRQLREDRGSDPAGVVGLAMAALVARDAGVNLRRVSTVVAHNPSLHTHNPTWR >Et_3A_025673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31864611:31867400:1 gene:Et_3A_025673 transcript:Et_3A_025673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGVESNGAQGGTDRGTSKRDRRIFWAGVFILVSNCFQYTAYFGVSTNLVNYFKDRLHQGSKAAANGVTNWQGTSSITPLVAAFIADAFLGRYWTIALFLLISVLVRTFVSIPSYIMHDTSSISVVAYVVLAVSAAASLHAASFYAGLYLLSLGGALQPVLSSFGADQFDESDERGGQSSFFNWFYLSINVGSLVGGTVLVWVQSSVSWGLGYAIPALFCVLGVAAFLAGTATYRRHQPPGGSPLTRVAQVVVAAVWKCGVEVPADASALHEREDGDDGMSAIQGSRRLVHTDQFRFLDKAAVETAGDKSRPSPWRLCTVTQVEELKCVLRLLPVWASGIIFAAAYTQMTTTFILQGDTLDPRIGSFRVPAAVLSVFDTLSVMLWVPLYDRLVVPLARRATGRERGFTQLGRMGVGLVVLAAAMVAAGTLEVARRRVVARGGMYDSHTGDGGDGQYLPLSIFWQVPQYVVVGASEVFTFIGQMEFFYDQAPDAMRSLCSGLSITSFALGNYASSALVAVVARVTARDGRDGWIPDDINRGHLDDFFWLLALLCIGNFGVYLLIARWYKYKKIVD >Et_4B_038811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:570353:575067:-1 gene:Et_4B_038811 transcript:Et_4B_038811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRPVTLRDFLELGCDSSSDGFGSFPQPARRSVDSSSDDLRPCAPGAAGDGQTKALDGDPAVGHNLEMEDKQQLSPVSVLDFPFDDDDGDEEQSDAGTCSPSCFQHHNCADNLQRSKNAQLLHKIRRFDDGPTEAVDPVDLDAQFTTSESGESIDDAHGRCAHLANNSCTDDSEATPFPSHDVGEEHQSVERSECQQEPDVDEYRLLTRLLDDTRCVSTAAVDEVSEWLLLDFFAGGIERLRSVAGSVVGTLKPVDDGKVRELLEAAGEWLRGAGPQWGVGDVMFSGASALADMERSRPWMCVREEEQDVGAEVEGIVMDGLVDELVTDLAPFLVQRQMMELGTVS >Et_1A_005776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13897222:13900164:-1 gene:Et_1A_005776 transcript:Et_1A_005776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPAEALIGGGADLWRPAGRGGAWATAAALVVLLVAHLAVLLVRRRRVDRIARARAAPAAPAPASSGPSSGIEGLVTEDDLRQLVSSLGVGARDPEREGWEPVIAKENDAVSYRAWCDKTADGPPKYLSITTYENCSTELLRDFYMDNEYRMEWDNTVTKHEQLQYDESSGVEVGRTVKKFPLLTPREYILAWRVWETNDKSFYCFIKECEHPLAPRLKKFVRVRLLKSGWCIRKIPGRDACQITVLHHEDNGMNIEMAKLAFSKGIWSYICKMNNALRRYPQHRSSSISILTMQKLIKKFPQDLEATMDTSHPTPQNMAATVVPSTQTARTSSCKLPGKKSSRQMIASGLLLVGSIVCLSRGRSNLGAQLAMALFLKKAFKHERESGSSRGRTETTRSTR >Et_1A_005659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12516217:12520681:1 gene:Et_1A_005659 transcript:Et_1A_005659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSGKDQNATQDPVHGPLESNDATAAAAEAVPPPPSPPAEDLHERPGERGGSLSPDAAAEAEAAAAAAADRKGKKVAATSPTSPSSPSRYADSTSRPDAPVDSISAGEGSSFALAVDSRREGTVSWKKPVVGEIVEVAKERRRFNLKDVFDNILQEAIEDTERPLAEAKEQEKGQMNSPILWRQKKSLWSLVKCGLTNMRIGRHTRHSDQNLRSHVDELVIPMTGNGMIEHYGLLEKVVGEGAKMNSNALLLRREYSGFRPVLGDGECFYRSFIFSYLEQILDREDKHEEDRLLAAVTEVARQHELLGWTSQFSNSRKAFEKMIKKVMRWKGYSGWKHVPMTSSYRKQKLLNFFSGYDGTRDIFAFLRLVAAIWICSHWEEYEPRIAELREDYTLRDWCFREVIPAKIYADHIQMTALATALGVPLRVENLFQGVGQDLYTNQDPEDNMPRCTCWPLRRRLLPSDHVVPRVTVLFTNGHYDIIYPHRHDGSPPSIDESVGRQIWERAPLPLVRVQVNRLPWEGDPLVEVVHVLRVTKVKDEFDCCPMNLRESIGD >Et_3B_027838.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21701579:21701695:-1 gene:Et_3B_027838 transcript:Et_3B_027838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTATFVYLKSQSHVVMPRERSAKLFALPAMMRPMKP >Et_3A_023807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12602668:12622693:1 gene:Et_3A_023807 transcript:Et_3A_023807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHERKMIDLEEGWAFMQKGITKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQELYDKYRESFEEYIRSMVLPSLLEKHDEFMLRELVKRWSNHKVMVRWLSRFFHYLDRYFISRRSLPSLREVGLSCFRDLVYQDIKGKVKSAVISLIDREREGEQIDRALLKNVLDIFVEIGLGSMECYEHDFEDFLLKDTADYYSIKAQTWILEDSCPDYMLKAEECLKKEKERVAHYLHSSSEQKLLEKVQHELLTQYASQLLEKEHSGCHALLRDDKVEDLSRMYRLFSRITRGLEPTSQIFKQHVTNEGTALVKQAEDAASNKKPEKKDVVGLQEQIFVRKIIELHDKYLAYVTECFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFISSHSELNPGIDLAVTVLTTGFWPSYKSFDLNLPSEMVKCVEVFKEFYETRTKHRKLTWIYSLGTCNINAKFEVKNMELIVTTYQAALLLLFNGADRLSYSEIVTQLNLSDEDVIRLLHSLSCAKYKILNKEPNNKSISPNDVFEFNSKFTDKMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVLNHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNVMQVGFKKLERILAAEEGVAFSSVEYMHLYTTIYNMCTQKHPNDYSEELYVRYQGLLEKYIIKTLVRPSIEEKHGEFLIRELEKRCKEHKIMVRWLSRFFHYLDRYYIPRKSKIPLKQTGWNCFLDLVFNEHKTTVTTIVAGMVDEEREGQIIDRTLLKKVLEIYVELENDTKLYEEDFEVAFLEGTADYYSKKAQTWILEDTCPEYMIKAEEYLQKEKERVQHYLHPSTDGKLMEVAQQELLAKHIDQILNKENSGCKVLLCDDKVEDLSRMFRIFSRIDGLAPVSKVFKENEKKDVVSALELDFVRKILELYDKYMAYVTGCFQNETQFNKAFKGAFEVVCSKDVAGCTSAELFATYCDSILRKGGIEKLSDEAIEENLDKVVKILQYISDKDLFVEFHRKKLGRRLLFEKGGNEEHERSMLSKLKQYFGGQFTSKMEGMLTDISKMRESQCKYELYIKRHPGSNPFVDLNVTVLTTGHWPTYKTSEVNLPSEMVHCVEAYKDFYNDEWKNRKLNWIYSLGNCNIIGHFEPKPIELIVTTYQAALLLLFNDSVRLSYSEIVTQLKLTDDDTVRVLHSLSCAKYKILNKEPSNRTISPTDVFEFNSKFTDRMRRIKVQLPPSDEKKKVIEDVNKDRRFAIDACLVRIMKSRKISTHQNLVAECVEQLSRMFKPDIKIIKRRIEDLITREYLERDTDAVNSTIYNMCTQKHPNDYSEQLYGRYQEVLADYIKKTVLPSIEEKHGEFLIRELDQRWKDHKIMVRWLSRFFHYLDRFFIPRRSLTSLKETGWNCFRDLVLNVHKTTVTTIVTGMVDEEREGQIIDRTLLKNVLDIYVELGNDMKLYEEDFEAAFLKGTGDYYSTKAQTWILEDTCPEYMIKAEEYLQKEKERVNHYLHTSTDGKLMEVAQRELLAKHIDQILNKENSGCRVLLCDDKVEDLSRMFRLFSRIDGLAPVSKVFKEHVNEQGMSLVQQAIDAAISKKNEKKDVVSALELDFVRKMLELHDKYYAYVTGCFQNNKEFHKALREAFEVICTKEVAGCTSAELFASYCDSILRKGGIEKLSDEAIEENLEKVVKLLTYISDKDLFVEFHRKKLGRRLLFEKAGNEEHERSVLSKLKQNFGGQFTSKMEGMLTDISKMRESQCKYGEFIERHPGSNPFVDLNVTVLTTGYWPTYKTSEINLPSEMVHCVEAYKDFYNDEWKNRKLNWIYSLGNCNIIGHFEPKPIELIVTTYQAALLLLFNDSQRLSYSEIVTQLKLTDDDSVRVLHSLSCAKYKILNKEPSNRTISPTDVFEFNSKFTDRMRRIKVQLPPSDEKKKVIDDVNKDRRFAIDACLVRIMKSRKISTHQNLVAECVKQLSRMFKPDIKMIKRRIEDLINREYLERDKDAVNSYRYLA >Et_7A_050910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12201539:12202323:1 gene:Et_7A_050910 transcript:Et_7A_050910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPPVELDFLGIRTAAAAADHCSATSSSSIRGMKTSAIASIGTQQLRRVIAAAEPPTPAPAPKTMTLFYNGAVATFDVPQDKANPTNWLSSSHAEAILRIAAEVRHDNARGRSDTLVGNFAKDMPLTRTRSLQQFLQKRKER >Et_5B_043443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10402324:10403093:-1 gene:Et_5B_043443 transcript:Et_5B_043443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKVEPQDCSHRAASGCTSSPVREEACSVNCPCDHSNWRSHQISLTNLKEMEIQGFGGESHEVDLLKVILKSAPMLQLMSLYLSRTVSPITNGCLEEVWQLSKSYPSVLLNIYHCPDEH >Et_4A_034357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3279311:3282315:1 gene:Et_4A_034357 transcript:Et_4A_034357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKQGEAMAEAQIAQPLGRWPILSYGVGHMLNDITSACWFTYLLLFLQQIGLAPRDAAIVMLSGQVADGLMTVLAGEMIDRFGRFKLWHIGGSVLVGVSFSSVFGGCLLCTILGTDSYLVRTVGYSVFAAVFNIGWAATQVSHMSMVNCLTLNPTSRVALASCRNASNMVANLGLYAIALGVFGAVKGKDCSDIVLQYRWIAYLSIFIGCCFLVIFHAGTKEPTLKSESNCKKKARISWSYWFKKTMYYQVALLFMLARLITNVSQALIAFYVTRDLRMNEYSKAIIPATIFCCSFIVSIVLQEIKWNSRRLKSLLTIGATLWVISGVAVFFLPSEMSNLMYPLAMVIGAANALVMVTTVGLQSALVGEDLNGCAFVYGSLSFLDKMSCGIALFVLESYEDTMSCGETRGLNTVSRYGTGLIPSCFAVFSLVVTSTLRLQDDAPRARAAAALEAPLLV >Et_3A_024757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23946792:23947878:-1 gene:Et_3A_024757 transcript:Et_3A_024757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHPASSGGGVQQKLRKGLWSPEEDEKLYNHIIRYGVGCWSSVPKLAGMHAFTSMCSRLQRCGKSCRLRWINYLRPDLKRGSFSQQEEDAIVGLHEILGNRWSQIASHLPGRTDNEIKNFWNSCLKKKLRQRGIDPSTHKPISAAAATSEDQKQPADGGFIALKQQQQQQVFDPFPMTDSFGGGFDAPAAALYGHLGGGEKDGFVDYSSVLDVSENLGYGESSSNSSNWTCAPEANNGAAAVDGEAPLHCWGSESKIDGYGEALEHKFLLPCHGQQEQSMPHFDFDISRGAVVGEFNLEFF >Et_3A_024428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20720456:20722609:1 gene:Et_3A_024428 transcript:Et_3A_024428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFRPLRRIQVEAEPASSPAPATGGGDAEGDESPAPAAGLLMGAKVRRRAAVYRDCKGDYIGVPTDPCLTKILSKQGDNKVLFADKVLKFTQSGKMKRRILVITDFALYLVDPDADILKRRIALAAVDKLCISNLSDNFFAIIVPTEYDCLMASTRKKEIVDVIVKAIKSTSEYEPEVASSNRFEYHAAAEVIKEVEFDEVD >Et_1A_006410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2230839:2237157:-1 gene:Et_1A_006410 transcript:Et_1A_006410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASPSSAAVVGWTVAAVLLQVAGLSLFLYGFFPVKPTLRGFSGAEGFRTPSCGPVGGGEEQPVLQPDQLRSLYRELSGLPPVYDRLVLMVIDGLPADFVLGRGGKPPTREMMESMPYTQSLLAGCKAVGYHAKAAPPTVTMPRLKAMVSGAVGGFLDVAFNFNTQAFLEDNLLDQLHMIGSKLVMLGDETWIKLFPTLFYRQDGVSSFYVRDTVEVDLNVSRHLEFELAVKDWSVLILHYLGLDHVGHIGGRRSVLMTQKMKEMDDVIRRVHAASLQDKTLLVVVSDHGMTEGGNHGGSSYEETDSLALFIGHSVERSDCSTYDQNEALQVDLAPTLALLFGVPIPKNNIGVLLPELFNSFTDEQKLRTLQLNSWQILRLLQAQIPAFCLDDCINSEDVLGINMLSESTEKKLCHLLSKAFSSHQSSRHHRGSDFKSVEAEFFGTASEAYDSFLRYASEWLSHRATDKPFYLLVSAILLMIMSCLFLMSTISCLFKRQSLSQLEQQSDSYLGRHWHLDEVFVLTGIFLYVISLSSSSFVEEEQYTWHFLTSTLYLIFLIKTVHSLLKESSSALVHRTEGKSCDRNEFSYATSYELTSGMRNGFKLCSVLIILVAGRVIRAWHQGGVNWVHFPDISKLLAQADSPVVKSLQILSVLAVMALYSFSLMLLKARSKYVIGVWLSHISCGLLVLLHIWESQINTSRPVDHSTTSIAQIFYVAASLSITGTALASPWIFPLYSKEAEEASSSGRNPQTAMHSHCISNSVFLTGITYTVFWCLLQLLLQQPINAIPLLLIFLQAISSIVHFSLDKTLHSQWIEVVTMLFLGMSGHFGLGNTNTLASIDVAGAFIGVSNYSTVLSGILMFIITYGSPLLLYLSMVVYISVKGGGDIFTPRQLNWSCILDKMITMPCLLPLVINSVALTSYTIVLLLMRNHLFVWSVFSPKYLYVCAATVSTYVGVFIIAMTAVYTTTVFSFRERSYQDKFPRSN >Et_3A_027262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6149246:6154136:1 gene:Et_3A_027262 transcript:Et_3A_027262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVESMSPTAVDYSSSASTATTESGAAQLPVAASSPSPADEAGAVTSAAAAPQGSSRYKGVVPQPNGRWGAQIYERHARVWLGTFPDEEAAARAYDVAALRYRGREAATNFPGDGASAPELAFLAAHSKAEIVDMLRKHTYADELRQGLRRGRGMGARAQPTPAWARAPLFEKAVTPSDVGKLNRLVVPKQHAEKHFPLKRSSSPAETAAAATGKGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLRAGDTIVFSHSTYGPEKQLFIDCKKNKSTPAATDAAPSPSPMEKPKDQAHVVVRLFGVDIARDGCQKRGRPIEIALEQGQQFLKKQCMAQHHTPALVVQEPTN >Et_4A_035235.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:13876546:13876755:1 gene:Et_4A_035235 transcript:Et_4A_035235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQKYSWPEVLGWPATAAAMQINKDRPDLFTEVRPISSGVPPRNPQRVCIFFEDNDSRGLVAWIPIIG >Et_1A_005975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16252573:16257426:-1 gene:Et_1A_005975 transcript:Et_1A_005975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGGGLAAGSHMRDELHVMRNHEEPNAKIRSADVKTCRVCGDEIAAREDGQPFVACSECGFPVCKPCYEYERSEGTQCCPQCNTRYKRQKGCPRVAGDEEEGPEMDDFEEEFQIKSPKKPHEPVPFDVYSETGEQPAQKWRTGGQTLSSFTGSVAGKDLEAEREMEGSMEWKDRIDKWKTKQEKRGRLNHDDSDEDDDKNEDDYMLLAEARQPLWRKVPIPSSQINPYRIVIVLRLVVLCFFLKFRITTPAMDAVPLWLASVVCELWFALSWILDQLPKWSPVTRETYLDRLALRYDREGEASRLSPIDFFVSTVDPLKEPPLITANTVLSILAVDYPVDRVSCYVSDDGASMLLFDALSETAEFARRWVPFCKKFAVEPRAPEFYFSQKIDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSQGALDVEGHELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPFILNLDCDHYVNNSKAAREAMCFLMDPQLGKKLCYVQFPQRFDGIDAHDRYANRNVVFFDINMKGLDGIQGPVYVGTGCVFNRQALYGYDPPRPEKRPKMTCDCWPSWCCCCCCFGGGKRGKDKKHKKGGAAGEEEPRRGLLGFYKKRRGSKKERLGSVAGGMKKKRGFELEEIEEGLEGYDELERSSLMSQKSFEKRFGQSPVFIASTLVEDGGLPQGAAADPAALIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCTPSRPAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPLWYAYGGRLKWLERFAYTNTIVYPFTSIPLLVYCIIPAVCLLTGKFIIPTLNNLASIWFIALFLSIIATGILELRWSGVSLEDWWRNEQFWVIGGVSAHLFAVFQGLLKVLGGVDTNFTVTSKAAADEADAFGELYLFKWTTLLVPPTTLIIINMVGIVAGVSDAVNNGYGSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFIPKSKGPILKPCGVEIAIPDPSCHPQSDGFLGPALQHASNVTILSK >Et_4A_032120.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:19039127:19039402:1 gene:Et_4A_032120 transcript:Et_4A_032120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILNISFFDALEQLVFGMRSDFLLHIQIVP >Et_7B_055374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9740781:9742533:-1 gene:Et_7B_055374 transcript:Et_7B_055374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCTYARSCVLRMMQTVVSSLGEKNVMVILDNHLSKPGWCCSNTDGNGFFGDTYFDPDVWVDGLTKMATMFAGVPNVVGMSLRNELRGPRQNPNDWYKYMQRGAEAVHAANPRALVILSGLSFDNDLAFINSRPLNLSFTGKAAFEVHWYSFSNTQEWSSGNANQACARTSSGVARKALYLLDKGWPVVLSEFGVNNGGGDSNDNRYWGCVAAVAADMDLDWALWTLQGSYYLREGVLDMDEAYGVLDRAWARPRNETALRRVQALQRPFRGPGLAEAAPYAALFHPATGTCVTRPGPGPDLGLGPCNETEAWAYDARQQRLALRDSGNSSSALTCLRADGAGRPARLGAACGDAMARWRLVSDSKLHVAVNASASASSSGSGDGGGGLLCLDVGADGRSVVTNPCRCLRDDNSCEPGSQWFKLVTSTRSVPSRSMLAQPPLKLKKWKIRSF >Et_2B_018920.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17063048:17063800:1 gene:Et_2B_018920 transcript:Et_2B_018920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPALASHAINGAAKVSQVLGSGLRAQLVPRLSRVAQVELEEVQGLIRDVELNQDRDTRCSELLATVGKLSASAHRLFTNSSSRPLTQHATSSNSYGTTPPPPPGAVFLPGCWCKNEYSANKISFRKTSVRSATLKLKIPFMYSLAAPLPALFGPPSASCSDLTSQRVRHVTFSGRDLSLPSIEILWPSSVFGCYKSIEMKWCLEKRRRPCQNSLHAACREEAKMWRCRLARDDASIISSWCTLFSPHVD >Et_8A_057596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4971546:4974432:1 gene:Et_8A_057596 transcript:Et_8A_057596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTPRKRKNAPPACSAARSLQDLASRKRACRKSEPQPPRASRGAPAVVMTAPAASGVSASVVPGRGLKRKVGCIHSATRLGRRKRLESQYELGDEIGHGKFGSVRACRARAGGEEFACKALPKSGDETAHREVEIMQHLSGHPGVVTLRAVFEDADSFYLVMELCRGGRLLDEVARAGRLSERRAANVIWELMAVLKYCHEMGVVHRDIKPENVLLTKDGRLKLADFGLAVRVTNGQKLTGVAGSPAYVAPEILLGNYSQKVDIWAAGVLLHVLLLGTLPFQGNSAEAVFDAIKTVELDFHSGHWASVSLLARDLISQMLNRNASSRFDADKVLRKAPLGLILHRMPIEGRIFSSLDYQQSCNTRIHWDRGSSLCESSSSESSTDNSEDQDECGIVDSLTTAITQVRISEPKRSRLCSPANPKSSSSESSFDNSEEQDKCGIVDALTTAITQVRISEPKRSRLCSPANPVLPPSRNAVLT >Et_6B_049937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18911105:18915286:1 gene:Et_6B_049937 transcript:Et_6B_049937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPAHALAADRRWAAVAAAPTRAPGAEILGMATKPTNLPIERGASAWGNKTVPPASNALGSSSLLSLNNEGGSGSLTNINVRPASGGSSGSSTSGSDLLDSPLAWGENSHPVSASDVLMLQNPATARSRPRWTDSRSGSLQFSHFQTSFSEALKGPIRSIAKQGPTSHGRGFTLSADDFPVLGSKNSESKSQLGGDPVPNAKLPMEEQKAQPHGTQAPNMTLPPPRPDRWSHPPDHPPDRNRMWHRESASYGPFKPGNTTGTGSLPIESLTHSGQALLNQEGEARHGPVHGGYHPENRDSCYAHVPTDVCATSKPEISGKVKDNHSDTLEKQPVIKKDLVLLEKIRCLNIKARNLRALNGSEISSCRESKAECTKSIDAKTNQVSKYIPFVAMTNGIAAPCDLANSVSQCGNLVLTGPSNASADSVIVGLSGGHVTESNEARKPGGNTSKSPFDMASNAFGNGWEEHSTVDPLPVVKNTHQSSSFRGNSSLQVHMRTADDMLNSPDREIQSWKSNDSPIEADKSLSKQKTSGSGTTEHDISTDTCRIDYAEDRNVPLTENGVKNATVSISYSPASGTAGVTRGPVVHSVMAATKKTGLNMQHIAQKSAADSNDTIVPKLLPMENKPREFIHRGES >Et_5B_045392.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20842454:20844079:-1 gene:Et_5B_045392 transcript:Et_5B_045392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVILSAIMSDLAGRSVSFLMDRILNHRSEQLSDDDEEETLDRLRRLLLRVYVVLEEAEARRVTNRAMLRQLSALREEMYRGCRALDGAVAGRRRNGCGGDAEASRRRNSLIAAASGFNPAKRVCLVRSRSRINGVGGGESRRQPLQLQQVLGSLEAVFADVKDEFVALLAGCPPRLGRRDQPYSAYMFIDKCMFGRQMEVERVVAFLLQEEEDDLVGAPIRPGVLPISGPKRAGKSTLVEHACNDERVRGHFSQIVSLSRGNLGDENSAATTTTITSCCGGGERVLLIVELDRDRNTKGLDWDLNEDLLRRLLSACKIRSPRVSKIIVTSRSDKVASFGTTEPLRLRPLPEEAFWYFFKARAFGSADASEHPRMVSIAMDMAEEMGGSFAAANFFGGLVRSNFNAGFWSLALAVLRGLKQTKLVVVHDHRAQQTAITSTGTEIWEEATEPACATNEEAAEKLVFFDDFQIGPSAAEGVPKVSMRDVLFGGARPRGKFDVLAWKSPVPPHYSYAYSCEIRSSVYGRQEKQISNAQEDWQLR >Et_1A_006080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17522637:17523514:-1 gene:Et_1A_006080 transcript:Et_1A_006080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPTPSPPPPRRRSSRLQKRKAPRCRDDDLVLVTESGTELRLSRLAARMSTMLSGMMEGNCAEGRIPVPNVDAGILRLVVAYCEKHAPHYDPESAGRDRDPFPPFPIDLTPATHAIKPVTEPDPDPHGLKAWDQQFIPAGMDNSILFAIILCANFLGIEDLIDLCCTAVADKMRGKTPEEIREAFDIENDYTPEQEAEVRKQNAWAFED >Et_7A_051603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2169952:2173336:-1 gene:Et_7A_051603 transcript:Et_7A_051603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSIRFFGIRGVTDQNELDEPFKAAIGSARVKRTAREQGLRASSDGTRAAETVRRQGQPLASDCIVKTNCQSVMDRKGKCKAKTKTRGGTVSSSLGKRKGSPCQQGIENSQALKMMRYSIPELPEDIWHHIHSLLPLRDAASASCVSRAFLRSWRCHPNLNLGPYTLKSKIHVSEENFNHTIDCILRRHSGVGVKTLKLLLDGTANNYNLDSWLQVAVAPGIEEITLLAGRSKMKDNFPCSLLSEGIRNSIQRFKLRNCAFHPTPEPGPLSNLTCLCLESVGITGYELECFVFPILCLELTDCQEIISLKIPSELQQLNCLRVRECWRLRVLESKAPNLSDLTTTGNVKLFGETLQMKHIIMMCPNLVYYARAELPSIMPNLETVYLSSEKEPCHNFEQDVHTPMLPTKFMYLKHLTISIISGLTFSPSYDYFSLVSFLDASPSLETLILDVTQGRMGHKSVLVDSSSLRQIDERHYCCLKNVKISRFSSAKSLVELTCHVLKNAVSLESLILDTLYGWRCSEENHPRCFPVEKDMLMEATRALEAIRTYIKDKVPATVKLSVVEPCSRCHKVGGRTGKTWSYSRRR >Et_1B_010635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12358007:12359914:1 gene:Et_1B_010635 transcript:Et_1B_010635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMHAKVVLLVLPAILIILRQAYLLGKRILDPSLPPEASGALPIIGHLHVLSHKPLHRTLMQLAERHGSVFRLRLGKHYLVVVSSEPMARKCLRDNDTKLADRPKLPSGRIIAFEWSTMGTANHGEHWKLLRRVASTQLLSVQQRGNSPV >Et_4A_034774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6392911:6398666:-1 gene:Et_4A_034774 transcript:Et_4A_034774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCCLRAATAPCLLLHRAAASRCLPWPLAVFRKGFSEQSVLPVTDSVESFQGPSVQSTPRIPLYDDSISSSMLEELSKPTEGVAHADPSKSRIMFVDGTSVMYRSYYKILAQLQHGQLEHADGNGDWVLTIFKALSLLLDMLELIPSHAAVVFDHDGVPYGQYSAMPSKECHMAKGMTFRHMLYPAYKSNRTPTPDTVVQGMQYLKASIKAMSIKVIEVPGVEADDVIGTLAVNSVSAGYKVRIVSPDKDFFQILSPSLRLLRIAPRGSGMVSFGVEDFVKRYGALKPSQFVDVVALSGDKADNIPGVEGIGDINAVKLITKFGSLENLLKSVEEIEDERIKKVLISQSEQAILCKNLATLRSDLPPYMVPFKTPDLVFQKPQDDGAKFIKLLRALETYAEGSSADPIIRRATYLWNKLK >Et_1B_013614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33785:35818:-1 gene:Et_1B_013614 transcript:Et_1B_013614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVLVALAAAMGNMLQGWDNATIAGAVLYVKREFHLESQPAVEGLVVAMSLIGATIITTFSGPVADMVGRRPMLIASSLLYTLGGLLMLWSPNVVVLLLARLVDGFGVGLAVTLVPVYISETAPPETRGLLNTLPQFTGSGGMFLSYCMVFGMTLAPQPNWRVMLGVLFLPSLLYLVVALCFLPESPRWLVSKGRMKEARAVLQMLRGREEDVSGEMALLVEGLGTGGDTAIEEYVVEEEEEGSKESWVPLGSQRSSMLMGLAASRQGHLRDPVVALMGSDDNDGLRSPLLHPQQSTTTMGIGGGWQLAWMHDKDGGVKRMYLHEEAAAALVSQSALYLPGGTGGKSSKLEEEPPLGWRDQLLADPGVRHALVCGVTMQILQQFSGINGVLYYTPQILDQAGVSVLLSSLGLSADSTSILISGLTTLLMLPTIGVAMRLMDVSGRRSLLLRTIPVLIASLAALVLASVVPMGAAAHAGVATGSVMVYLCCFVMGFGPVPNILCAEIFPTRVRGLCIAICSLAFWLGDIAVTYSLPVMLKAVGLSGVFGFYAVVCCIALAFVALRVPETKGLPLEVIIDFFNVGAKGVNNKPIDEHSSFF >Et_3B_029740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27494031:27496484:-1 gene:Et_3B_029740 transcript:Et_3B_029740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPSSNSPNEISTAAIDQFQL >Et_2B_022665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29737134:29740280:1 gene:Et_2B_022665 transcript:Et_2B_022665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSAHSDGDLHPRPRRRDKSGGSGGLPLYVFLREGSDGEGKKVDPRCPNAPNPFHVCTDHCLAKMAETGRSSEGGKSPLSIFSRHSRRSSSSSEEGSVKSGGSKKVDPKCPNAANPFHECSEYCATKMQQVEQQKGISMKSPRRKGGKDAVVIQNWKVDPRCPNASNPFHMCAQYCFDHLNEAAHVDPTKSDKKKGKAISKEVQRGEINSDCANASNPYHQCGEHCWRDKVSPDDDGENKEVQRQ >Et_3B_029467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25308278:25312071:1 gene:Et_3B_029467 transcript:Et_3B_029467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGHSEDEHSSFAERHPNAADCIVLALFLLVFLLFSNFYIVHSFRQPEFWDPPIIQVPYYSFKLTSTPGKNKAMRHRRFALDRSTDAVTAPTFNFTLRVNYDRGSLAMPVCGKGGSVVVVYAGVPIAHGDLPEFCAKLDVVTSVPVVATSEGLGLPDELFDRMESQRQRNERVSLAVHVRIDKLTGNRGRPVQLWCTAILHGQPYDWYRIGSLINSIAFDSFKLNDPVAIFYKMCSFLMFWAGLQNSEEGKEAIKRGVKHLQKRAREVQEQADGASVAMPFSALQSSW >Et_7A_050582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25961131:25962285:-1 gene:Et_7A_050582 transcript:Et_7A_050582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRSAPQLPSSSETSYETSSGDESDESSDSLPSVAAAAKETAPPPSKFQQPESSDEEKEEEEEEEEEEEEDPGEFNKATSRSTTTTNPPPQKVQAPDEEGDDEEEEEGEDEEEKVQQAEDSDKEGEDEEESGSEYEEGEEEEVTHDKEPEWNPAPKQQAEGKGGKPPASSKGKKPAGPITPVWSKDDAVRILKALATHRREHGKLLRPNQLEAVLTGTLDRLHYGPEDLRRKLKNLKFAYTRQVKKGQPPSKKKDRLVYDLAKEVWGNSDTPANPKDFGQLCELYPHFAEGIQTLEETHPGLFKRESAMISEEKARALDAKVKRQKLLESNVELLRCKSYKKVIKTLTNVMRK >Et_5B_045036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8723012:8724695:1 gene:Et_5B_045036 transcript:Et_5B_045036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAISIDPERKIEGRHQRGHRGSTGTERPTGSSSSASCNPIRGAAATLDPGQVCGNPGSLMQSCFSHSSSGPPRPLSPTPPQRVYHVWPGKNAFLFDGRVIFGPGPEGVVFTAMAVIFSEWVFLAYILDRASSHPSLISAFSLILASTVIASMLLTATRDPGIMPRNQVSPLEEAGTRTAGSTPSRFIVVNGVEMRMRLCRICKIFRPPRSSHCALCDNCVDKFDHHSIWISQCIGLTSHEWHKGRQHTSPNPYDEGTMGNIRECLFQKLPPPRVDFRAVVEPNM >Et_4A_034610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4875093:4877979:1 gene:Et_4A_034610 transcript:Et_4A_034610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRSRHPKALAFRCNPASQRSLTLVLWSLAALVILVNFRLLITHKEGESISTHEIRRSIMRELEVVEEEKFKVAPLRSRRNPRAVRRKGDKKPPSIVDEFLDESSAVHDMFFPELNTAVDPNNGGNDSMHFYYPGNVWLDTDGKPIQAHGGCVLYDKRTNTYFWYGENKDGKTYKAHSKGADRVDIIGVSCYSSSDLWAWKNEGVVLRGEEKNVTHDLYKSNVLERPKVIYNDRTGKYVMWMHIDDANYTKASIGVAVSDSPTGPFTYLYSKRPHDCESRDMTIFKDDDGKAYLIYSSEDNSELHIGQLTDDYLDVTNVMRRLLIAQHREAPALFKHEGTYYMVTSGCTGWAPNMALAHAATSILGPWETLGNPCVGGNDIFRSTTFFSQSTFVLPLPGLPGSFIFMADRWNPSDLSDSRYVWLPLTVGGVPDEAADYSFMFPLWSRVTIYWHKQWRLPEGWRDS >Et_1A_006186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18968529:18969015:-1 gene:Et_1A_006186 transcript:Et_1A_006186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANDMSETIDYFYELKKEDPNFYFKFQQDNFGKLCEPLLGWCCRKRSIQDLYSMDGMEFANLIRDQDRLMAATVESVFMSTTHCCCHWHIWSKRKVQLGRIFPTKQGMESKFYDTINHNSNPREIKMT >Et_5A_040138.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:14711474:14711749:1 gene:Et_5A_040138 transcript:Et_5A_040138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVAVVTVPFPAQGHLNQLLHLSLRLCSRAGLAVHFAAPEPHLREARARVHGWDAGTLREVRFRALEIPAYACPPPDPSSPF >Et_5A_042129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6661012:6662289:1 gene:Et_5A_042129 transcript:Et_5A_042129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGSGADGSTYTTDEALSRVGFGRFQLLLLGLLGTGYVAEAMEIMLMSFVGPSVEAEFGVSGGEEGLITSVVFAGMIVGACFGGLGSDRYGRRYVWMDLRLLLLQVPRVLSPKFGSTFKIIVFNADRDGWCSIHCTCQWHTWFSLCLLS >Et_1B_012599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33629868:33634109:-1 gene:Et_1B_012599 transcript:Et_1B_012599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCARAEDAVSPAADDMAASPAGGLIQKAGAGGGGGSGRRSGGLGRALQRTAHLTTGESDAPAASCSGDGKNIGSGRREESNGARMRQYRSQLEQEVKKLQRQLEEEIDLHVALADAVTQNASSILKSSMKLPHKAQELLTNVASLESTVSKLENEFNDLYYQLCHERNERLLAENNPGCLPSTSSDDHQSLSTCTCTWEEHISSLRDLKYGGSESMRTTRQDLFPEPENEQDMGEDPEDRQIVSLNRLLEKHRDTSLNRLLEKHRDEEMQESCSMEKEDKEDDKIDALSFEQSILKITSMKGANLWHNPNQLSEEMVRCMRNIFLRLSESSKVSPKASSDCSSSSAERLSGSTLASFSDSSVIPTMLRSPSVDSNHNDEMTKEVRNFDPYRINGKEIRRDIGNYRSAAEVSWMSVGKDQLEYASEALKKFRFLVEQLSKVNPGCMNFDQRLAFWINLYNALIMHAYLAYGVPRNDIKLFSLMQKACYTVGGQSFSAAEIEFVILKMKTPVHRPQLSLMLALNKFKVTEEHKKYSIDEIEPLVLFGLSCGMFSSPAVRIFSAANVRQELQESLRDYIQATVSTNDRGKLLIPKLVQSYAKGTVEDSMLVDWICHHLTPDQAAVIRDSSSQRKQRLLGVRSFSILAFESKFRYLFFPDSSNSQKPESKQTS >Et_7B_054900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5417905:5420619:1 gene:Et_7B_054900 transcript:Et_7B_054900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLPALLLLLLPALIAGHQHPTSYGSSALSEWRSAKASYYAADPEDAIGGACGFGDLGKHGYGMATVGLSSALFERGAACGGCYEVKCVEDLKYCLPGTSIVVTATNFCAPNYGLPADAGGHCNPPNHHFLLPIQAFEKIALWKAGVMPIQYRRVKCLREGGVRFAVNGRHFFFTVLISNVGGAGDVRSVKIKGTESGWLSMGRNWGQIWHINSDMTGQPLSFELSSSDGKTLTNFNVVPKDWEFGKTYTGKQFLL >Et_10A_001854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9138033:9141386:1 gene:Et_10A_001854 transcript:Et_10A_001854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFIGHTRSALYWHVGESTVATMDRRTAEFTSSRLPSMEDDYWNGHRVVAGRDGEAHIVVDGAGGTLKFFAKSSQASSQCDPCVWTISDSRGSLLLLTLDDYEEDCATWRLQAVVCEPFTRRYTIVAPWRGQRSGPSTRGPSSSTPATTCPALGWSACSVTVTTTAAATVPFTSSEHGSWRESSIDRESMCFIGHTRSALYWHVGESTVATMDRRTAEFTSSRLPSMEDDYWNGHRVVAGRDGEAHIVVDGAGGTLKFFAKSSQASSSQWDLEKIIHLSNVLPGCDRSYFSGHRDACIRITVTDKAVIVIELQVGERLVTDTGLNVINQQYGLSLAYRLDVETMQAEQVSEVAFKDVAFPCELPWPPTFHASIEPLHLILLRLDSPISLIRAASTSKRWRRIVTAAGFLRRFASDQGQPTLVAGTYYNSGSTTPIFCIPSTSLIDGGRFSLDFLQSGDDVDDVCPFDPVSWRITDSRGSRLLLAFNDYDIKRSCLHMIVCEPLTRRRHRISFPLVDHWSICTWPFFLDADADGGSRIDMSIYRLVLVLHDRGTDHNRVVMFSSDGSWKESSVDWEKMEYLGHTNASLYWYVEDRTVVAMDRRTAEFETSELPAAGSKDWDWERMIVAACRDGQPRIIINGDKECGALKFFARSQDGSEWALEKMIQLLDVLPGCDPSYFYGGMGWVRVTAVDMGTVVVDRGRAWGYRLDIETMEAEQAHKDAYRDVAFPCELPWPPAFHACSELINVV >Et_4A_032726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13032590:13034145:-1 gene:Et_4A_032726 transcript:Et_4A_032726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGAIDAPPEEWGNGFFADAAGRIGGSWLKYWIEVGAVLSSIGLYSATLSSAAFQLLGMADLGLLPRAFAVRAPVFNTPWVSIVATSAITLGMSFFSFNSIVAAANFLYSLGMLLEFAAFIWLRIKRPDMARPYRVPTRLVGAVVLCLVPSAFLVFVMAIAGWKVYVISAAFTTAGLGVYYFMRFCKAKGWFKFSTDDEGQAFQRQDSRNGLV >Et_4B_038055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25803716:25805217:-1 gene:Et_4B_038055 transcript:Et_4B_038055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTALRPMAPAAPSLAHSITGVSTSRSAPAGRRAAAVGVRAVRNYDSIPKREPFSSSRSILDEFLRQEKPLVQRTKDQITDYCTTIEGDECCSCWDAYFELNELEKDLPKEEIARMVKDSEGDVRYLIRSIHHRSNLRKKMVEKSQNSLSSNSPGQTTKPRPFPIPDGLPKTQEELDEEAEALMPDSPYTRLLRRMGRYPDWYTPRPDHETD >Et_9A_062989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7964388:7973820:1 gene:Et_9A_062989 transcript:Et_9A_062989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQGPCLLIRCRSGPLRRALRHALPWRGGGGGGESGGEVGLGSRVAYFIAMFLYVSLAVGINFFCRFRFSLVWWTYTFLVTGAAVAYIRYATIVDNTFTKALCVTLSLSPHSPSSRSGEKLGSQEDGHHQMNVALPLTALFATTMVQALVLRNLFPNDISIAITMQDEAHHGFMDDDTKQNISVTRRSFPVNQDLPMAKKHN >Et_3A_023450.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28207653:28207853:1 gene:Et_3A_023450 transcript:Et_3A_023450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRPSPWPTASRPRTSTSTSTSRSLSVSSSGSGPAAGPRIHPLPDGSGCRGTHPAAGAWIWSPPA >Et_2A_017356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33559756:33564997:-1 gene:Et_2A_017356 transcript:Et_2A_017356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPGGEALPAAVADAMEVDPPRASADEKHGATIMGGNDAVTGHIISTTIGGKNDEPKRTISYMAERVVGTGSFGVVFQAKCIETGETVAIKKVLQDKRYKNRELQIMRSIDHCNVISLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYKDMKQRMPLIYVKLYMYQIFRGLAYIHNVPGVCHRDIKPQNILVDPLTHKVKVCDFGSAKALVKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQVLGTPTREEIRCMNPNYTEFKFPQIKACPWHKIFHKRMPPEAIDLVSRLLQYSPNLRCSALEACAHSFFDELREPHARLPNGRSFPPLFNFKQELANAPPELVSRLLPEHARRHSGFSSLFAAGP >Et_5B_043320.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:2927193:2927246:-1 gene:Et_5B_043320 transcript:Et_5B_043320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPYYPFGAEEAKRPGN >Et_3B_027742.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16911220:16911354:1 gene:Et_3B_027742 transcript:Et_3B_027742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFYTDEAAGRKMSPNTVLIMSIGFIAVVAMLHVFGKLYRTAN >Et_4B_038720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4195990:4200406:-1 gene:Et_4B_038720 transcript:Et_4B_038720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPAPSVPDAMASLHTYSTAIAAFTAAWRAVESDAVSIDSTLASRLAGYSELELLCSVMDGAGLRAYLIEHRDDLKEPAQSLDPALLVAPDPGLLVLSAAAGFCRAPPEESKTEGDVKVSCRLLIGLFDRLRAIGVKPSPEARAEAKAVAADWKRGKRIGTEVMFKQETFAFLLLVGVFGLVEDVGGAGEMLDLVVSISSRERAVDAFVGLGLDLDQHMPVLIQKMIHKSKQLEAVKFIQALNLVHKYPLLPVLRSYISAASLAGKMIRIRGDDPASQNAADAKERTLLGTLQKFIKEHNLEELPILEEANKRLAQLEQQNTERKRAAAAAATAAQKVSENIQQQQKLQQLMQPAKRPKPDNVVRASSGQSIHTAGGPNQYQTALTQNVVPAVAQIPQLLVGSHRPIGTHSQAPVVPVVRTQYGGLADFYGMTPSRPYGSSSLPPGPSAQNVQNARTSSRSKLYSGDPLAAVSRSSDKKGSSYSYSLSNMSTYDPK >Et_1B_014028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2813582:2814380:1 gene:Et_1B_014028 transcript:Et_1B_014028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRRRGWSPFDAIRSFPSTPEFLMSQIDAAIASTEYARACALLDPAPASASSQPEPPPEGEAASAQGAAAPACHDARVADEAYRAACAALGAGRPDAAVRSLRVALASCPPEKTAAVAKVRSMLAIASAQLHKQQHQAQQSRNGMATEVNDRDHRYSVRLFWALRVYGG >Et_9A_061154.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19223656:19224261:-1 gene:Et_9A_061154 transcript:Et_9A_061154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTGPRPSAQQHSRLAAGKSAASQHGASCAAVPAEVARHHEHAARAGQCCSAVVQEIAAPVAAVWSVVRRFDRPQAYKHFIRSCRLVDGDGDAVGSVREVRVVSGLPATSSRERLEILDDERRVLSFRVVGGEHRLANYRSVTTVHEASSRGGTLVVESYVVDVPHGNTAEETRTFVDTIVRCNLQSLARTAEQLALALA >Et_2B_020339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19130340:19134267:-1 gene:Et_2B_020339 transcript:Et_2B_020339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIGFLSAQPTYHAPSSSASSSRVPSVRRHATPPLTSLSSCGERRPSSRFVSRNLPPAAFPLGFPAADPDMLSRLSRVGLHALNRARAVKCAFWSVGHFLVVGRNFFCSSSNTMAADKKDEHEAKISVTFIDKDGEEKLVKVPIGMSMLEAAHENDIELEGACEGSLACSTCHVIVTDVDYYNKLEDPADEENDMLDLAFGLTETSRLGCQVIASPELDGICLALPAATRNFAVDGYAAKSH >Et_2A_018224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18982291:18983095:1 gene:Et_2A_018224 transcript:Et_2A_018224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFITRLLILVFGYAYPAYNCYKTLKQNAPRMEHLRFWCQYWILLAFLKVADSVSWLPMYGEAKLVLVVYLWHPKTMASHLCTSSNTPYRMISAVAVLLLDAATHLYDGYLRPFLAMHEADIDRDLRELRARARAATASHLQAAVSLARTCALEVARRVSSQAQAAGGPAGQAR >Et_2B_021111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26539859:26543089:-1 gene:Et_2B_021111 transcript:Et_2B_021111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAPPHLMGVRYPHIFLIVLLLHEVNAASTGPVKKWLTLNGAPPLVIAQGGFSGLPELVLFCDLQLSRDNFGFCKTGLPLDNSTLIAEVFPKNDSTYKLNGEDINGWFSVDFTSTQLIENNVLSRPHIFDGTIGLSTLDDIASVQPRQIWVNVQYGQFFHDHKLSSEEYVSSKAKEIGVNFVSSPDIGFLRSLGGKLRKSNVKLIFRFLDEQLIEPYTKQTYGTILKDLKYIKAFAAGILVPKACIWPLNKNQYLQSATSLVKDAHSLGLEVYASGFANDFLSSYNYSYDPNAEYLQFIDNTDFSVDGVLTDFSSTASAAVACLAHTKENPLRPPGNDSRPLIITHNGASGTFPGSTDLSYQEAVENGADVIDCSVQMTKDAVPFCLDSPDLSKGTTAAAVFMTKVTTVNEIQNGSGIFSFDLSWSEIQTLKPDLVGPYSQEGLKRNPAVKNNGKLLTLADFLAFSNSRNVSGILINIRNAPYLASRGINIIDAVSSALVNASYDKETRQQVLIQSDDSAVLRAFKMKFLVFKRVLHIGNVISDVSTSSVEDMTKFVDAVSVSRGSVIQAQGSFLLRFTDVVAKLHAANMLVFVGTLKNEFMNLGFDFLADPMVEIATYSSLMADGIVTEFPATAAAYFKSPCSDFSRNLTYTILPAKPGSLLHLADPGALPPAQGPAPVLEPADVVDPPIPPVAIRGAAAPSSNDSNSTSDAAAGGASTGFGLLVAGLAALASLSSQ >Et_2B_020609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21796341:21800086:-1 gene:Et_2B_020609 transcript:Et_2B_020609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKTEDMVHHPPMDQLQGFEYCIDSNPSWGEAIALGFQHYILSLGTAVMIPTMLVPLMGGNDHDKARVVQTLLFVTGIKTLLQTLFGTRLPTIMGGSYAYVVPILSIIRDPSLQQIADGHTRFLQTMRAIQGALIVSSSIQIILGYSQLWAICSRFFSPLGMVPVVALVGLGLFERGFPVYLKHVHVRHVPVLERFSLLMCIALVWVYAHILTASGAYKHTALVTQINCRTDRANLISSALWISIPFPLQWGAPTFSADHAFGMMAAVLVSLIETTGAFKAAARLASATPPPAYVLSRGIGWQGIGTLLDGLFGTGTGSTVSVENVGLLGSTRVGSRRVIQISAGFMIFFSILGKFGALFASIPLTIFAAVYCVMFGIVAAVGLSFMQFTNMNSMRNLFIIGVSLFLGLSIPEYFSRYLTSSQQGPAHTKAGWFNDYINTIFSSPPTVALFVAVILDNTLDVRDAAKDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPS >Et_6A_045960.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:13770547:13770657:1 gene:Et_6A_045960 transcript:Et_6A_045960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWRKELKDELTLTLIKVKTSIKTDFEEWINSLYL >Et_3B_029636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26671146:26673866:-1 gene:Et_3B_029636 transcript:Et_3B_029636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPPPACSHLRVAAAGPIFTASTFISVPRIPGFLPYPRAASFRFPLPAASSQQAVASASIDIPDEYVDEMDAVNIAQDVTQLIGKTPMVYLNRVVEGCVANIAAKLEYMGPCRSVKDRIGLSMINDAEEKGLISPDKTTLVEPTTGNTGIAIASVAAARGYKLIATMPSSIDVERRVLLRAFGAEIVLTDPSKGLKGAFDKAEEIALRTPNSYMLQQFDNSANSEIQFQTTGPEIWEDTLGTVDILVASIGTGGTITGTGRYLKRMNKDIKVIGVEPAETSVISGDNPGYIPSVLDVQLIDEVVKVSTEEAVDAARELALKEGLLVGISSGAAAIAAINVAKRPENAGKLIAVIFPSFGERYLSSILFRPLYDSVRRMRKK >Et_9A_063416.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:23761095:23761823:1 gene:Et_9A_063416 transcript:Et_9A_063416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLEQRGRVFVLTLTGEGEHRLGHPLIASLRSAVASAKAAAASAGPGAALVTVAEGRFFSNGLDIGWAGTSRARLGELVDALRPLAADLLALPMPTVAAVTGHASAGGFFLALCHDYRVMRADRGVLYMSEVDIGLLLPPYFVSVLRAKITAANALRDVTIRGRKLRAAEAKEMGIVDTVCPGPAETAAEAIKLAEQLAARNWDGGVYASIRMSIFPEACKSVGIVEESDEEKRKHFASRL >Et_2B_020803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23780328:23786235:-1 gene:Et_2B_020803 transcript:Et_2B_020803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGELRVAGGAARRLGRRWPRWWSRAVSGVTVGERFRSGYGNVGLEGDGAEDGVGGCMGRQINWLGVVEDRCLGGLPVARFLNRPLPSRSIGYSSIFMCEYDPTLCVYFSILFRALGFVLYTGSGEGLVLFGGRTDTLYFVVREASLYDTIVSLVTKEKQMLTFTSSSPPLSQDGDALFMNYDKEMEKLHLWLKSYGISMQFLIHPVYSIGALAKPAKRPLIAFSQNFVDSENWRLTKSILAQIFGDASSDASEDPDFVYAFSRGGDFIHFRSYQVPESIQLAQERVYVGTKSSSLCLLHTAMFRGSVLHFTLDDPIDLSSWHGFSSTLSDFKERNEVMILGRVLGSNPQWADFVNGRYKAMIRSLLFQMVLLHNMGLCFIELNDDDVCLNGTTAKISWRVGLVKYETVLARGNYKNVRAIISNIFSNALLELLDCEHLTPGDYLCSHCFLMDEIGQGHMVTDIYLEFSSNLTFRQKLMIAEPLLPWLHKWRQAVLSNELLKGVLGHKFDENENLTETVAGPVSSCVALSGSEVPPGGMTGPENITLTTVKEASTNVEEAKTRMVAEVSMLVSHLRHGRMHIIDQLKKMGKMIASQPQTRLQQMESMRIITHTLPEVLHILQNELRNLFGDK >Et_2A_015136.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31772133:31772336:-1 gene:Et_2A_015136 transcript:Et_2A_015136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHQSCTDAYSGGHRDGYLPFGLDLVSSSDDEEDEEARLRYMFEDLDDPDVWDSLFPPNEASTSVAR >Et_8B_060346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:80639:83499:1 gene:Et_8B_060346 transcript:Et_8B_060346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEREAGSSSWSSCSPRPAACRSAAFALVVAALLLIHPLEAAAASSIQMHLDRINKPALRSIQSEDGDVIDCVARHEQHGLEHPLLKGHAIQAEPPRVPVAGYEFSTPANRTIRRRGAWQTWHHGGHCPRGTVAIRRTTAEDVLRASSVARFGRKKMHAARAANAPDVVTGNGHEHAIAYTAAGGSAVYGAKATINVWDPSIQESNGFSLSQLWILSGSFNGSDLNSIEAGWQADRGRDWFVVNSFTYVSPELYGDSRPRLFTYWTSDAYEATGCYNALCPGFVQTSSRIAIGASISPVSSVSGAQYDVTLLIWKDPKLGNWWLSYGDQLVGYWPAQLFTHLSDHATMVEWGGEVVDTRPDGVHTATQMGSGRFAGEGFGRASYFRNLETVDTDNSLVQVPLDALQTLAENAGCYDIRKAYDDGDHAAGWGTHFYYGGPGHNPACP >Et_2B_020111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1761217:1761862:1 gene:Et_2B_020111 transcript:Et_2B_020111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGVAVLPSDRRIASAVRRFPPGCGRPRNVAAQKPPRPLPPSTTKPPLPNSSARDAATAGTVCRVSAVRRYPPACGRGVAVAVAKPPALVGEGEAGSSKPLVEVCIEAKRKECDGGGAL >Et_6A_048134.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7321084:7322253:1 gene:Et_6A_048134 transcript:Et_6A_048134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAAAAASPYAGAGGHVVLPLQQQHEHGNNNSNGRESPVLPPPPAVAAVAEEGSSAAGKKRAAAAAAGAGGGAPAVRYMECLKNHAAAMGGNATDGCLEFMPSGEEGSLEALKCSACNCHRNFHRKEVDADDDAVMELGGYGGHHRAGRRLLAPPHHHLKNGHGGGGGGLLVAASDHHYAAYPAAARALPPGHPLFHHQQQLVNAVPLSAAAMHASESDEMMDGFGFGAGGMGSSSAAAKKRFRTKFTAEQKARMLEFAERVGWRLQKLDDAVVQAFCQEIGVRRRVLKVWMHNNKHNLATKRLEAPPPPMPAAMASPPPPPQQMTVMPQMAPPPSSQPDGPSFHHGGPSSPQPAPAPAPLQMAMPMVPPPPPPFHRGPGSPPPIKLD >Et_5A_040813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1307217:1307947:1 gene:Et_5A_040813 transcript:Et_5A_040813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVHRVRSTSPSPSATDTGNKVVFTVWMKSLVLNGHGCTVYDSNGRLVDRVDNYGTRCSSGSVCLMDVDGNIILDVLKKKLAFGRWEGYRWRGEEQEPRPWFKVARPCSSFQRRSRSRRTSSSSCECRSDTGSVMRYTIITDECLAGKKGCSIIDEATGLVVAEVKRKVTASGVALGDDVLALGVEPDADESLVMGLVLVYGLMNHRM >Et_3B_030787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7335592:7342128:-1 gene:Et_3B_030787 transcript:Et_3B_030787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPFDAPVDLISADRKAGNEFTRTNMGMSARDYGLQNGDAKPFATNPDTLVRHELKGSSQHKDLTVEDPITRFIDPEIKELFFRSRSQEDEILLLRKQIADASLKELRLLSEKHILERKLTDMRMAVDERQEEAISGAMKQLSQRKSHLEENMKLANELKAEEEELYFFTSSLLSMLAEYNVRPPQINASTITIGTKRLYQQMHWKIRSLNYYFGDTESIVLIQDSLGDTTEHGNMYNTNHQQVTPLRNDPSPSYNMDRNRNTLNQYAQDSSDRNAEQMYPGPRFQQDIGGATPSNYFEENAGTGDSQLYRHDNQDYSADGDPLPGIEGFQIVGEPKPGSTLRACGFPTNGTTLCNFQWVRYLEDGTRQSIEGATMYDYVVTADDVGTLLAVDCTPMDDNGRQNEIDLYISNGRADFEVFVLAYSPEEWELATLMLRRPGYQIKFKHTGEVVIDEKYSPNLQTKIPNGRNTQCVLVSSGGVNLPFNTHGITEQNDDDYDVRLRDLIVLVMRTFQSKVK >Et_9A_063506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5096295:5104790:-1 gene:Et_9A_063506 transcript:Et_9A_063506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSRAVEAGGRSGVARAVKGYADAVAHHAGQAVADILHDRTGTQNYKSFKKTVARLEEAAVSCRGGERVELLKRWLGALHDVDAEQGDSDLKPSEDHDSSSEMDTTKAPLVLFYDADIDGAPMNFRDVFLYSQALEGITLSMILEAPSEEEVSLLLEMFGICLTGGKEVNEAIMSKVQESAKAFAEYKDEVLVKREELLEYAQSIISGLKRNAEILRIDAETLELQRKLDEKQKLRVQSTEDRDKKSEKTAVANLEAFKEALSEVRLCSRVEELLLKKKSITPGDSLEIHSQKAYSLANSSSKAEQRILEHRRQKEDALNFRVKKENEVTAAEKELLDEITELEKQRDDLEAQLKKVNISLNAAAGRLKKTREERDQFDEANNQIIFSLKTKEDDLSKSITLCSVESNVVKVWINFLEDSWQLQSSYNEQKEKKTCDELDKCVKNYLELTKHHLSAFKDDLSPSIESIKTYVDNLSALNSREEAKEHGEDEASERTNPRTSLEEEYLETEKKIIIAFSIVDHIKKLFYSEQGTNSRRDDPQIKNLVDEIEKLRESFESIERPTLSIESRKSKPLPFEGTELSPSPVQAPATPKAAHVDSPKSPMKPEQHFDSDTELTTPGAEPGKEDKDYSGEEINGWEFDELEEDLKD >Et_2A_016635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26813842:26815513:-1 gene:Et_2A_016635 transcript:Et_2A_016635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLPFLRIVAVLPLLLLLVAARNCKSPLPPGPRVHLQWSLLRRSPTVAALSTLLRRLHAAHGPVVTLRTGGGKPAVFIAGHDLAHRTLVRMGAAFAHRPAAPAPSRMWPWPAGVNGHGINSAAYGGRWALLRRNLGAHLAAADVGDALRSSVDGLVRSLELDAAREGGGVVVTSERLRHAVFCFFAALCFGEGVADGDEDVALARLRRLHAEILSLVVELDAFHLVPVSLQLAHYFPRWRKLLDAQKRHHAVVTALIDARRRRREAVGDGDIHDGAEQRCYVDTLLKLGLGDNEMVSLCWEFMNAAAKTTTTALEWIMARLVLHQDIQKKLWSDIVKRSAGGNCSDRPFVEAVVLEALRRHPPAHYLLAHTTDKDVAVDGYVIPKGSVVNYCVAEIGRDVKLWTDPDEFRPERFMEGGEGTGAVVPGLSRCSGGSRDTTTTTTTMKMMPFGAGRRACPGAAVAVKVLQAFTEDLVRRFKWLPVVNGEGKEPAVDMAEKAGLVTEMRTPLRARLVRRQHDFFGRQ >Et_1B_009999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17508320:17509711:-1 gene:Et_1B_009999 transcript:Et_1B_009999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPLSPKITENGGNHVAEKEPTEIHLTPWDLRLLSTDYIQKGILLPKPPSSGDRLVDALASSLARALARFDLFAGRLAGKELSSDGGTVTVSLVCTGEGAELVHAAAPGVAVADIAASLFTPPVAWSLFSLNRVLNADAAIEHLPVLSVQATELADGVFIGVSLNHSVGDGTAFWHFVNTWSEIHRRYCGTTPVPWRWFAETSPVPIPMPFRKLQHLVRRATSVRKLKERANDETAGTAVVISSLQALLAHLWRAVSRARRLTPEQGTSYYVIIGCRGRVRGIPPAGYTSTAGEVERNGLGWTARLLNRAVASFDEGRVRGFLERWVREPEFAYMGTLSSTGAALITGSSPRFDVFGNDFGWGKPVAVRSGPGEKIDGNATVYEGPEKGGSISLEVCLAPDVLARLVADEEFMEAVVPSFLFSPLPK >Et_4A_035205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12038794:12045040:1 gene:Et_4A_035205 transcript:Et_4A_035205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSTAASLSRANSASRCATNSSSHRSIPAPAPALAEKKPGKPRRNWARFSGCRPTADTSAASSTPSPPIPEKALEVKLSAQRLPVPGSIGQMLCCC >Et_8A_056177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12872378:12875836:-1 gene:Et_8A_056177 transcript:Et_8A_056177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LACLVITLLASSLDATPLRNEPDNDFDALLCLKLHLSNPAGLLASWNSSLQFCSWTGVTCSKRHPSRVVALDLESCELNGQLPPCIGNLTFLERIHLPKNQLSGKIPEELGQLTRLQYLNLRSNNISGMIPNILASCSHLRIIDLGTLRYLGVGANNLDGEISDNIGHTLPNIKTVIMQGNQFHGQILASLANTSNLQVINMRHNTLSGIIPSFGILPNLIELNLGYNRLEAGDWSFLSSLTNCTRLVKLYLDANIIHGVLPSSITNLSKSLEVFLLSANKISGTIPQGIGHLKDLQLLHMEENLLTGNIPYSLGHLPNMVFLSLSQNRLFGSIPLSVSNDNNFSGPIPEAIGDCKSLEILNISCNSFDGGIPMKLFTLSTLSKGLDLSHNQLSGQIPPEIGNLINLGLLNISNNQLSGQIPSTLGQCVHLESLHMERNLLDGRIPESFSALRGITEMDLSQNNLSGDIPKLFESFSFIKFLNLSYNNLEGQVPTGGIFQKPREVSIQVFKLDQLDAQRSFLAECAPLRNIRHRNLVRVITACSTFDSSISIVGPRGSIGYIAPEYGSGSKISTAGDVYSYGIIILELITGKSPTDKMFNDGLSLICDILDPTIICNSSDEGQDYNLNHENPRTTEIMRCIMQLFNLGLSCTVHTPKDRPTTKNVYAEVIEIKEEFSALCC >Et_8B_060051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5384973:5395780:-1 gene:Et_8B_060051 transcript:Et_8B_060051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IVLSASRTKWRRRVLLLGDEFYFSALAHGRNDADAAGDDELFPISDDKYAVELQLQEVIMSSAIAASLPPRPRSSSSSTAAHGVNGVAVAEAALVPAALGKGKSCASSSASSSPADAAFVFCKICMDAVPAGDAYRASRGCAHAFCGVCLARYVGAKIQDNRIAGVRCPEEHGRRRGELELLGTWCGFEFCYGCGHKWGDSGFYYWPFAFRSFPWKLLPAPMDKAGSFKIPTKPERDENRLSSDLARVISQQIKRRRGDSSKNERACAHQLATLATLLSAPEPTPMAGQQQATCSICMEPMAPSEFHRGSAACAHAFCRECLTGHVRAKVEPQSTGGGDVVRCPDASCAAALDPELCRAALPADVFERWCAALCEALFAGARRTYCPFPDCSELMVADDDGDEAEGSVVVTQSECQVCRRLFCARCGAAPWHIGVTCDEYGRLGKGDGGREDMMLLEMAAGRCGFHFCYDCGTSWETGSHSGRDSSPPPRTFPHFGGARTHAPTMAGAAGARRQRHLPCGICMEPSEIHRGGAACAHAFCGACLTGHVRAKVESGGGGGAVRCPDASCAAALDPELCRAALPADVFERWCAALCEALFLGTRRTYCPFPDCSEMMVADDDGEGCVTQSECQGCRRLFCAQCSVPWHHGVSCEEFQRLGVGERGRDDLLLVEAAREGKWKRCPRCRFYVELAHGCLHITCRCGFEFCYGCGKRWELIHDG >Et_1A_008928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19752149:19760610:-1 gene:Et_1A_008928 transcript:Et_1A_008928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWKTKCLNGGDETKASSSMENKVLRPLFCLAVVLHDLGCGLVRFWFPVTSVWMLVGFVFSLDEFDEEGDDEFNDRLNDDKFISHGRLINPVSRRPAEHPHVLLVSYPTKGHINPLLQFGKRLVAVHRGDVRCTLAVTRYVLGSTSYAPRPAPRRSARSTSSPRRSASSWTASRRGARGAAAWRVACAVNVAYAHAWAGTPRLPMPAPDELRAGTGRFADGRDRPRVALGRPRPDAAAMPGALGGWPRLRQLLPRAPDKDVMFSYMHTLRNPKPILPKETKTKRNIMEVRAVDLSTVDATHCIRNLLLEMMIYTKMLEYIHEAIYAMHTVPNSFFPNISASFLTYP >Et_8A_056079.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17189764:17190015:-1 gene:Et_8A_056079 transcript:Et_8A_056079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQFLSPLARSQRSLPDSPSKMDPPLPMIYATLLLSVRPHQLPAWWPKPVSPLLSPWSTSPLPTSALKTSAPWRRSSTSRMV >Et_1B_014247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4238823:4240111:-1 gene:Et_1B_014247 transcript:Et_1B_014247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRLIFNTTGSGAGQMLFLDCGMGGPGGSGMFHRGVSLSPHLNSPVDFIFLLARVLIWGVAFVGSDDEGGRPVLGLDEGRGVKRPFFTSPDELLEEEYYDEQLPEKKRRLTPEQVHLLEKSFEEENKLEPERKTELARKLGLQPRQVAVWFQNRRARWKTKQLERDFDRLKASFDALRADHDALLQDNHHLRSQVRIIASYSFVHGRAPGVFYVDVASLTEKLQEKEETEGAASAAADFPAADDVKASLAVDDAEEATAAELAFEAHHVKSEDRLSSGSGGSAVVDTDALLCGGDGGGLAAAVDSSVESYHYHDCGMGPVDHYAGEFQSEEDDGAGSDEGCSYHADDTAAAAAVFFAGHAHHVDEEEDDGQISWWMWN >Et_3A_026525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9246938:9248896:-1 gene:Et_3A_026525 transcript:Et_3A_026525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHREGVVRPASLLAFLHASTCTGGQASRPTFLSRNRSLTRCPPSLYRTRASREFPPPRHGADNILEAEMAEGSSNSSGAPLPAGAVERIGDTMRGLELSRPPPAGRGVEANVPDSGAAGDWSHLQQDLLIGIFSRLDLPDLICSGAVCTPWRVSYLAVRRFRLCSPNQSPYLVYSSGDRDSNTATLHNLSTNKPYHFSLPDPPFRSRYIVGSSQGWLVTADKQSNLHLLNPVTGAQVSLPPAQSIKGVSLSLTSEGELHGHNIDQLHVKYRSITGIINFFPPAETRQFLYKKVIMSSDPSGGDCVVLLKHGLWNHLSFCSD >Et_3B_030743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6958469:6960413:-1 gene:Et_3B_030743 transcript:Et_3B_030743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPLERNPTRKRHSWWWDSHISPKNSKWLAENLEEMDKQVKEMLQLIEEDGDSFAKKAQMYYQRRPMLITHVENFYRMYRALAERYDNVTGELRKNIPTRLQSTGSLTGSECGSELQRSPSPSPEPLQRSWTREQSPRAAGFDFFLSNKNNDSPASRKEPEDLASQSESDAKSEDGDDDGIAYTLHQRVLELEDELSTVNQKLRDANEKLEVLEEKSLRCHCDYSENGNSADKTTKVSDIEKELTASREKLQSSQEEIRNLQKNLESSTILSEEHSRLLELNNKLEAEIANLKEEVDSARTQFEKTLSERDAEISKYKQELADASEQLLQEKSATGAQIANLQETMENVRFKLGKVSEDKLLLENKVKELEEANAEADKYSQELTQVAERLSEEKFMHEAEILTMQQNIGDLRSRIETLFQEKSLVTSWFADLERVVAQGRRIFPE >Et_8A_057364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2475237:2479470:-1 gene:Et_8A_057364 transcript:Et_8A_057364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAAATILCRLGLGLRRLATLPEYPSSAAGAPQPQHPTSKDAYFAAVHHLSTIVRRDFYLERTLNRLRLPSPFPPDLALRIIRAAAPTAPLHATRFLAWLRAKPSFAASADHFDALLLPLARARLFPHLWSLASDMRGLGLPLSPTTFSAVISSYGHSRLPDQAVEVFNRLPRFGCPQTTEVYNALLDALCANGNFAGAYKLLRRMACKEVAPDRTTFSTLVDSWCAAGKLREAQAFLDDMASRGFRPPVRGRDLLVDGLVRAGRLEEAKAFALRMIKEGVLPDVATFNSLAEALCNAGDVEFAVALLADASSRGLCPDISTYKVMLPAVAKAGRIEEAFRLFYAAIEDGHRPFPSLYAAIVKALCKAGRFADAFAFFGDMKTKGHPPNRPVYVMLVKMCVRGGRFIEAANYLVEMSEAGFEPRAPTFNVVVDGLRHCGKHDLARKLEQLEIDTVLKLHAVGGTLTCNRSISCIMKQDITLKIGMNLQPAVEFPVTRSQ >Et_4A_032745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13221142:13223465:-1 gene:Et_4A_032745 transcript:Et_4A_032745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLRRALRLPIPATCTSSSGVRRLSSHRCAPPPPPSAVTGDDEWNDAWETAWLPGDSPTTSPAPAAPWESPTSSSASTAAAIPAITAEVDPDTKAFVADMDERWAERRGASRRGPPQRTARATEGGAESKKKAQADEYRTRKQRVHAALWVKEIEKMEEARLGGGGGGADDIDRLLDSCSDIFDSGNTDFGNSKIPSTTEIKTKPDGWETTSRGQEGNIWEISQREEDILLQEFERRIAFSKQQIASFIKTHIFSRRRPIDGWKYMIEEIGPNARKGKGSVQRLPSVADPATQPYREDATAIPSSSSFRGNRPY >Et_10B_003421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19700779:19701239:1 gene:Et_10B_003421 transcript:Et_10B_003421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKMERMSSSVQSWVEEHKLATIGGVWATAVGASVAYSRRRTPQRIHAQALTLAVLGGAALAHHYYGNKSNKRSREDLDYDFYSQLPSATDADGNENERWSW >Et_6B_049116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18145546:18145980:-1 gene:Et_6B_049116 transcript:Et_6B_049116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKNLSATMLLIVIVAAVLCSMPTCTQSECHQYGNGWCECRHPSGAYSGPCLGLTDGCSRTCVSESSENIYGECDYDFKCYCFTKCPSP >Et_2A_014498.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:16928996:16929560:1 gene:Et_2A_014498 transcript:Et_2A_014498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRRNKVVSSVVKTKLVQETVEVTTAVLADQQPPAPALLQELPSVDVSGASTVVHIEVTTPDGDTGSADVNVKQPKKRGRAGSRAAREDGDQGKTPPAPATEEETTPVSLQSQETQDPNEQEAAAGEVEDDDDDAGKKQRQQETRNEEQPVTPRVASERKKTAAKKDDDE >Et_7A_052528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:967206:970616:1 gene:Et_7A_052528 transcript:Et_7A_052528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPFAGDDTSVLQIIYSRPRPKLHLTCLLHRTKRPARLHPSAPLLGHSGLPSPKSHTAALRNGEFLSPLGLRFSRTSCCLRACALHHRRRIKSSRGRKKAAVGAGLGQASGAGGMAGRVVRSCVQTALKAVNSVVGLAGMAVILYALWMLREWYREVAELDQRLPVPWFIYTFFGLGVFLCLLTCSGHIAAETANNHCLSCHMILVFVLIILEGAITVDVFLNSNWEEDFPPDPSGKFDEFKDFVRSNVEICEWVGLSVVAAQVLSIILGVVLRTLGPDRETDYDSDDDAIVPARLPLLRNQSSQHASGYVEPNFAGRSDSWKVRILDKVRCFSASALFCSLLHSQFVRSSKSLEPVPFRSTTKILRLSYDGPFRRYFASRVTANLRKLYLFPPDGEMILMIWNMAECVHCVGFDDNDARCSGASTLLRNQGVLNIAVVPAGTELQIQYALGISLGLLA >Et_9A_063239.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:1785549:1786571:1 gene:Et_9A_063239 transcript:Et_9A_063239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFHHLNSSFSNPYHPLLSPSPPHHPHHHFPPLPPPPPLAADPPPLPSSSSTLERERLPQWSHAETAAFLAIRAELDHSFLTTKRNKALWEAVSARLAAQGFARTPDQCKSKWKNLVTRFKGTEAAAAAVSAVQPAESADTAAASSAARPQFPFHDEMRRIFEARVERARALERKKTKGKDVRPEEDEGGGDGDEEDEEEEDVEASEMAGEEEAGGGTVASAEERSVGGGSKKRRRKQQAAGAAYQQGEVEAMLREFMRRQAEMEERWMEAAEAREAERRAREEEWRTAMVALGEERLALVRRWRDREDAWRARAEEREERRHQLVAALLAKLGGDSSC >Et_4B_036242.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12751018:12751035:1 gene:Et_4B_036242 transcript:Et_4B_036242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACP >Et_1B_012682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34376643:34380194:-1 gene:Et_1B_012682 transcript:Et_1B_012682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTNGFASLHCAGAMHVEKGHMQASGLPFLTFRRCAQPDILRLGSTSRFLGAKSATVSQQHVKHRISVIRASTLSCSQDDTTKYFDFVVIGSGVAGLRYALEVSKHGSVAIITKAEPHESNTMYAQGGVSAVLCPSDSVESHMNDTIVAGAYLCDEETVRVVCTEGPERVKELIAMGASFDHGEDGRLHLAREGGHSHNRIVHSADMTGREIERALLEAVDNDENISLFGHHFAIDLLTCQVVRFISKVTLLASGGAGHIYPTTTNPPVATGDGIAISHRAQAVISNMEFVQFHPTALSDEGLPIKPSKRRENAFLITEAVRGDGGILFNRSMERFMTLYDDRAELAPRDVVARSIDDQLKKRGEKYVLLDISHKPRDKVLAHFPNIAAECLRYGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVKGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMVDADTDPSLAAKWARPMLPLSLRDSVLSDIIERTKQTRMELQSVMWEYVGIVRSTSRLKNAEWKIGDLESEWEKFLFGRGWKPTMVGIEACEMRNLFCCAKLVVKSALARRESRGLHFTEDFPYLEESKRKPTVIFPAAIQELTWSSKPLQRQLQCK >Et_8B_060495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18211459:18213550:1 gene:Et_8B_060495 transcript:Et_8B_060495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAPHHQPPQNLRAAAVARRHGFCGAKPPHRRRPPRGRPPRPRPGLVAGRNVREGEVLLSESPILLYPATLASLPSYCSACFRSLSPDAAAFCSPACAAASHPRLLCVALCNGGALAAAAPTDSLQEPLLFLLSAYSLPESALHAILSLSTAPPPPAGTQDPAGLHAAVAALAPPHMLPAGFSPDLTAALLAKDRGNSFAIMEPYRPGMSLELLKARAYAVYHRASLLNHDCLPNACHFDYPDRPGPGNTDIVVRALHDISEGREVCISYLAANWRYADRQRRLLEDYGFRCECDRCQIESRWKDDEENENEGGDEDGDDAMEEEDGEEEGGDGGDDGMEEEGDGGDDDFPHAYFFVRYLCDSESCWGMLAPLPPSPNGELSHMFECNVCGKLRKEGDDMPDEGTSGMPH >Et_8A_056669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14841356:14856006:-1 gene:Et_8A_056669 transcript:Et_8A_056669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAAWLVLAAAVTILAVAIASFFSAGDTGKKTKPVPPGSFGLPVVGQTLSYLRALRSNTGEDWLRRWVAAYGPVSRLSFFGRPTAILVGAAGNKFIFASDAVAPKNTASLSRMIGQRTIRDLVDGDEHRRVRAMMTPFLRPDACRRYVAAMDAEVRRHLDDEWRGRAAVAVMPSMKDLTFDVMCTVLFGLGRDAVRRELSTEFQQLVKGISVVPLNLPFSSFRKCLAASRRGRRAVAGVIRERRAKLERGESSPADDVVTHMIAQGLPDEEIIDNVMFLMIAAHDTTAALITFLIRHLDANRDAYDKVVQEQAEIAWCKPPGEALSWEDLSKMRYTWAVAQETLRLVPPVPSILKKTTDDVKFGGYRIPKGWQLIQPMSTTHRDPAIFPEPGRFDPARFESSSAIPPFCFIPFGGGARVCPGNDFARVETLVTVHYIVTRFKWELAAGCDGSYARNNGCFCCVVNVSSRAGEKTKPVPPGSFGLPFVGQTLSFMRVLCAQTGDDWLRPWVATYGPVSRMSFFGCPTAVLVGASGNKLVFASDAVAAKNTASMSRLIGQRTVQDLAGDEHRRLRAMMVPFLGLDALMDASASWLMLAAAVTIIAVVVASFFSAGGTGKKTKPVPPGSFGLPIVGQTLSYLRALRANTGDDWLRRWVAAYGPVSRLSFFACPTAILVGVSGNKFAFACDAVTAKNTSSMSRMVGQRTIRDVVGDEHRRVRAMMVPFLRPDACKRYVAAMDAEVRRHLDAEWRGRATVAVMPSMKDLTFDVMCTVLFGLGRDAVRRELSTEFQQLVRGLMVVPLNLPFTLFRKCLAASQRGRRAVAGVIQDRRAKLERGQSSPADDVVTHMIAEGLPDEEIIDNVIFLMIAAHDTTAALLTFLIRHLEADRDAYNKLVHEQEEVARCKAPGEALSWEDLGRMRYTWAAALETLRLVPPVPNLFTKTTNDIEFSGYCIPKGWQVIQPMCMTHLDPAIFPEPDKFDPARFENPSAIPPFCFIPFGGGARVCPGNDFARVETLVTVHYIVTRFKWKLAAGCDGSYARFPLPYPSQGLLIDIEPRCQQTNMLDEIQALG >Et_4B_039419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14842607:14844167:-1 gene:Et_4B_039419 transcript:Et_4B_039419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRLSSNSYVQETFDDDGPTKCSVELVGASGLGSALAPGAKSPGFKILVHIDNNHYVGLRDDGSSSVVVSYASVPLGCGRTPAVYVEEKKVVTVAVDATSDALGIPEDLFHLMSAERQSGVAQLEIDLWLPFGLFTCSVDLDGQRGASRTMAVEHMHIFRWGKQAEQG >Et_5A_040777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12283216:12284608:-1 gene:Et_5A_040777 transcript:Et_5A_040777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSLVPALLLLLAAMAAAGAGAQPSPGYFPSARQRAVPFNRGYVNKWGPQHQTISADHSALTIWLDKTCGSGFKSKHAYRNGYFSTRIKLPAGYTAGTNTAFYLSNNEAYPGFHDEIDMEFLGTVPGEPYTLQTNVYVRGSGDGRIVGREMRFHLWFDPTAAAHTYAILWNPDAITFFVDDVPVRRYERRAELTFPDRPMWAYGSIWDASDWATDDGRHRADYRYQPFVARLSDFVIAGCATTAPASCRPVPASPAGAGLTARQYEAMRWAQREHMVYYYCNDFRRDHSLTPEC >Et_1A_007990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:384839:390707:-1 gene:Et_1A_007990 transcript:Et_1A_007990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPLAAAAAVLVVVAALAGVAAGGDIVHQDDDAPKIPGCSNDFMLVKVQIWVNNRESDEFVGVGARFGPIIEAKEKHANRTTLLLADPFDCCSIPREKVAGDVLVAERGNCTFTKKAKVAEAAGASAIIIINHMQAKKQSNTYQSTYLQFFCQKMQVLLYNGISHMGKLYSPDRPLVDTAEVFLWLMAVGTILCASYWSAWSAREADIEQEKLLKDGHEVLPNSEAGGSSGMIDINMVSALLFVVIASCFLVTLYKLMSHWFVELLVVIFCIGGVEGLQTCLVALLSRWFKPAAESYVKVPFFGAVSYLTLAVCPFCIAFAVLWGVFRRLPYAWIGQDILIVRIPNLKVGSVLLSCAFLYDIFWVFISKMLFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFALRYDWAAKKTLQSGYFLWSMVAYGSGSLLITYVALNLMDGHGQPALLYIVPFTIGTFLALGKKRGELGNLWTRGQPQRVCTHRHPSPKDSLVSPSS >Et_1B_013427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8308906:8309620:1 gene:Et_1B_013427 transcript:Et_1B_013427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAKKSGNDMTVVKGLDVARYMGRWYELASIPSFFQPRDGRNTRATYTLQEDGATVHVLNETWSKGKRDAIEGSAYKADPNSDEAKLKVKFYVPPFLPVIPVVGDYWVLYVDDDYQVALVGEPRRKFLWILCRKTSIDEEVYNELLEKAKAEGYDVSKLHKTPQDDPPPEADAAPTDTKGVWWFKSLFGK >Et_9A_061750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16011479:16015551:1 gene:Et_9A_061750 transcript:Et_9A_061750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDPAAAEASSPPPSPATPPRAMQGDEDELGVGEPAADPVTPDRCVPVGDLNPAPPTSLTSAAPPPPSPQQTEGEEAAGSCEAEQDKEAEAEIGEELRRFMEEFGDQGEECLVLSPQLKKIDTPDCPAALRFLGEKYNILLEKCKKQSAKCAEECAPRYDALKKKYTDEYAERRRLYNELIEVRGNIRVFCRCRPLSADEVNRGCSSVVEIDPSHETELQFLPTEKERKAFRFDHVFGPEDDQEAVFSETMPVVRSVMDGFNVCIFAYGQTGTGKTFTMEGVPENRGVNYRALEELFKMAEERSASVAYTFSVSIFEVYNEKIRDLLDESNEQTSKWLDTKQTSDGTQEIPGLVEAPIYTIDGVWEKLKVGARNRSVGSTNANQLSSRSHSLVRVTVRSEHLVTGQRSRSQMWLVDLAGSERVAKTGVEGDMLNESKFINKSLSALGDVISALASKNSHIPYRNSKLTHLLQSSLGGDCKTLMFVQISPSSSDSGETLSSLNFASRVRAVEHGPARKQADPVESLKLKQMTEKLKHEEKENAQLSHRLKMMEMKYASRESVIQKLNDKVRVAEQTCRDYQQRIRELENELGNEKKAARSRPPLVPMKQRQAPQGRNSSYYLPPSGPSRSRFSKAPTFQNKENVPVMGSKARPGTEDKAVGKARRVSVAGVIRQIPLQPKRRSSMAILPSLSEQISSVHAEKRASRLPHLQSRRSSIAFPGASLGAVAHVPSFVTPDGREDKFRRLDLGWSSSKFSSPTLLDMVKRSMVPSTPQQRLSFAPGPGSASKYSFNVAKKVQVPSPLRARHGAPSGAGIPNLARGDKTMVVGRAGNALRVVNNNKRRQSVI >Et_1A_007444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34621784:34624672:1 gene:Et_1A_007444 transcript:Et_1A_007444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LVRMGIYLSTPKTEKLSENGENDRLKFGLSSMQGWRASMEDAHSALLDLDSETAFFGVFDGHGGKVVAKFCAKYLHSQVLKTEAYSSGDLGSAVHRAFFRMDEMMRGQRGWRELSALGDKMNKFSGMIEGFIWSPRGNGNQQDDWALEEGPHSDFAGPTCGCTACVALVRDNQLVVGNAGDSRCVISRGGQAYNLSRDHKPELAAERERILKAGGFIHMGRINGSLNLTRAIGDVEFKQNKYLPLEKQIVTANPDINVVELCDDDDFLVVACDGIWDCMSSQQLVDFIHEHINKEKSLSAVCEKVLDRCLAPSTITGEGCDNMTMILVQFKKPVNRNQKAEMTERSSSSTNETEIPITEENGS >Et_10A_001685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6864704:6870569:1 gene:Et_10A_001685 transcript:Et_10A_001685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSTRHLSWSSSDTGGDISRPRKRWQSVVLHFRGRRRTSLEGMFGFRQIRGPEFMSLFLASFGSMVQRVVSEEVEKAFRQSIAPAPAPPRLLMGWNERPRYQLVFLNGPKTVYTMSKVESDDGAIKVAVVETLENNQTNIVRFGHLSCAKVEVVVLHGHFNAKNEVSWAAEDFNKHIVSGREKSAQLLTGNLILKLNGGEASLGNATFTDNSSFTSTKMFRLGLRLVNASGERVLEGITEPFRVKERRVEGFEKHYPPMLGDDVWRLEKIGKNGPYHKALSNIGIESVQRFLQAYMVNEEKLIKIFSKMPQSTWKSIIGHAMTCETGDDLYLYEVKEQNSGLFFDAVYKLVGVKFGDYYKPIDQLDQVEKNLAESLKQVAYHNLGGLQYNYKIVNNQPVHKRFPAQVTSLLSPVLQNQKIPNCAPPNSVLGDTSNAQGFGTRHSRENFGTSSQTSNVRIDITSFVHEQASNDVQMRHEPITNRVLPYHSSQGALIPGPRITQLQIPQTETTYFGPDGSSAVVPCNFLVSAPFGPYRQSEISNFSEESYSCHSLNSLSPTNDVMSLMQSQIPLRRSSEQRIGQSILQKQQAVTEFQSSRTNSFDLSSCDNLIQNFMSQISNSEVASMPLSPRKWVKIRAALKLASVGRLSRGSRRGPHCAPPRPRLLYKFAAQDYIFDRRKLEAVIPVEIISRDSGVWGLPSESCKSWSISYNLRLINPFTAS >Et_4B_036851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1272443:1276675:-1 gene:Et_4B_036851 transcript:Et_4B_036851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKDPVVTKIQSWGAASAARKAAKDRLGRRTPSSGAPPSPAGRRPWTRRLLGGRRRVTCHVHGLINQITGHNFEATKPISPTVGAISPTVPGNSASLINTSGACYRASVIAVRLPGSAPARQEKGADTSGSARRRRSQPASGGAAGASVSGANRCDKQPVDNSASMETVHVNKSVKPSDEENSKAKDKSIIGEFQHSPSGDLDLNNHQVVKEQTNDTVAASRATNIIIPDPTPQAANNLFYNVSRILSPILEKDSVPRFLRYLKEDGRGVRWHGMITTETFNHMMIDGSVRCAKVALEGQAPELKWHRANPNCMNQYGYFPLHEAAESFCVDMIKLLLDHGALPSVRTAGTGVVENLLPLHVAVENTCLHKYLEDNLLPNVDHPDYPVKVDDNYVYKLIHLLCLPEMRIFLDTTRVLAENTNNLLDEIWNYVKDRKVVQTAIILMAAQKHIRGGCSRERNCGTKVDGFGTIINRIHHSMTLEMGMFPTEEKLQQQLAKVKLMLSASLLVNIISQAGEAIDAYIRAHPKASHAEILDGVSSILKLYGFHPTGEVINIDNLYTFIPLSRTEKEWVHQYTWLSFFPYWRSVLASQFPVKVIPGHAWDDRKGTRDIQPLLERGSSLTDKVSSPISNQNLVGSSETMPQPPGIYQPKRAFGTAAVRFLNTDNSPVRNRN >Et_8B_060680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4866628:4868749:1 gene:Et_8B_060680 transcript:Et_8B_060680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYREKTRPQSQVWPRVPQSEWTAYALEHRAQAHCRWPLCLRRKCFLMPTRSPSAWAGSWCRQLGSGHTNTRFRAASPASRFSSFHGTLCRRRCICRFWSRWNPCPQISHTYRSDSSSVRGDSDTTSASGSAPPISPLSVARMHKLYIKKFLLFFTYICVQLDACLPGVPAGRRFFLAPAALAVAICSDRNGSGGPAATSGCGTAVGGQELNMAELLTLLPLDQPLEAGKREITGMRGAWREEGDGVGRFSGFLETFD >Et_7B_055797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4971137:4973567:-1 gene:Et_7B_055797 transcript:Et_7B_055797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAYVRFSGAVACAALAMLLLLLLLYAVHRWRNPRCNGRLPPGSMGLPLVGETLQFFSPDASLDVPRFVRHRLARYGPIFKTSLVGHPVVVSADEELNYLVFQQEGQLFQSWYPDSFVEILGRDNVGEQQGAMFRYLKNMVLRYFGPESLRESMLRDVERAVSSSLCTWSTLPAVELKEAVSTMVFDLSANKLVGLEPSRSKTLRKSFFDFVRGLISFPLYLPGTAYYSCMKGRQNVMEVLQQVLEERKRSARVLGAGEAREKARRHGDFLDHVIQEITKEKPLVTDKMALDLMFVLLFASFHTTSLAITLAVKLLADHPHVLEELTMEHDTILKDRGADRESDGITWTEYKSMTFTSQVINETVRLANIAPGIFRKAMKDVQFKGYTIPSGWGVMVCPPAVHLNPDIYPCPLTFNPSRFKDKPEINRGSKHFMAFGGGLRSCVGADFSKLQMSIFLHFLVTRYRWKTLGGGSTVRTPGLEFPDGYHIQIRQCD >Et_8B_059922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4053586:4057418:1 gene:Et_8B_059922 transcript:Et_8B_059922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPMPLITMPSSSLVLPTAGAAAGAAVATARRRPFVGYRSRSRSENLHRQAYNDAFAHFGVRCPSNSADPLCWDEAFYDVLQNQIGGGKPKMRWYFGENGWPSSKIFETPPSTDSDKEKLVDIIQDWKTERYKEIIKSGTVEPRPGVLRLMDEVKGAGIKLAVCSAATKSSVIMCLENLIGLDRFNGLDCFIAGDDVKLKKPDPTIYITAAEKLGVDSKNCLVVEDSVIGLLAAKGAGMSCIITYTHSTANQDFKDAIATYPDLSNVSLEDLKQLLQKSLLACVELKYGDLSCTPRLIG >Et_1A_007162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31492538:31502416:1 gene:Et_1A_007162 transcript:Et_1A_007162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQMLGLRGSASKERGRGVGTAGDASPSSPSAGTPRSPWTPSSASTPRSPFSTDGAGGGGRPLRLVYCDERGRFRMDPEAVAALQLVKGPVGVFSVCGRARQGKSFILNQGTYSVQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRANGGRTTASELGQFSPIFIWLLRDFYLDLVENDRKITPRDYLEIALRPLEGRGKDLASKNEIRESIRALFPDRECFTLVRPLNNENELQHLDQIPLEKMRPEFQAGLDELTRFVFERTRPKQVGGTIMTGPVLAGVTQSFLDAINNGAVPTISSSWQSVEEAECRRAYDSATEIYMSSFDGSKLAEEDALRDAHEAALRKALDAYNNAAVGTGTSRAHYEKVLSNFCRKAFQDYKKNAFLEADKRCSAAIKNMEKKIRAACSAPGVKVSGVIQLINEAESARSSFALRYRSNEDQLELLKKQLEASEAQKSEYLKRYEAAINEKQKASADLSGHLANLRTKCSTLEERCVSISKELDHVRHECTDWRAKYEQSVSQNKAEQDRYVARLASLESRYSSAEGRLGAAREQAAAAQDEAAEWKKKYESAAVQAKTALERLALVQEQINKIAQERESAIRAEFATHLEEKEEEIRKLNAKIRHAESEESVLAERLQVAESKAQSHNKETATLKDEIKEITSKLEFLRDRAGSYEKQARMLEQEKNHLQEKFLSECKKYDEAEERCKSAERDAKKATELADAARTEAIACQKEKDEAQRLSMEKVAVIERIQRQVDRLEQEKVNLSGEVQRMRSSESEAWSKVTLLESRVAEREKEMDDLLSRSNEQRSSTVHVLESLLATERAARAEANKRAEALSLQLQSTQGKLDILHQELTSIRLNETALDGKLRTTTRGKRLRENEVGMESVQDMDIDQPERSRKRTKSNTSPLKHVQTEDGGSVHMGEDSVTVSTDTKDGNPGGYKKFTIAKLKEELTKHGFGAQLLELKNPAKKDILALYKKLGPS >Et_8B_058756.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20869796:20870734:-1 gene:Et_8B_058756 transcript:Et_8B_058756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFYSSMAHGLDGLHRSLASSSFMSASFLQQAAALLRSLHSQLLHLVQRLHLPAGESWLDEYMDETSRLWDACQLVRAGAAALDAYCASAARIPGALHDWLCAPHAAAARSLHRAINAPRRHAVALEQDNRALADARLDPASLLLDDRSPLEFKLNAFNGFRGVLYALRNASSFLLMLLISGTVTGLPDLLTTTGAAAHQGGGAQQQLFYVSSMGRLRQRVAEEMDQYASSSGTGIMMYEFRQARAAIDSLKADFDGGDARLETLGERVEIINGWVGMLRSGADSLIGELDDFFDEIVEGRKMLSDLCSHR >Et_5B_043926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17965793:17973727:1 gene:Et_5B_043926 transcript:Et_5B_043926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAARLSPSLATAAFLARRPPRASPFSLRRRHPLLRLFASASDSSGDGRAVALSSAELRKRRGLSSSAGPGDAASGGDEKLRSLRRLFSRPDIAIDAYIVPSQDRKCFLRRAYLTGFTGSAGTAVVTKDKAALWTDGRYFLQAEKELTREWTLMRSGNHGVPTTSEWLNDVLPSGGRVGIDPFLFSFDAAEELKDAISNKNHELVLIRSFNLIDEIWNDSRPKVPEKPIRVHGIKYAGIDVTSKLSFVRSQLSENGCDAVVISMLDEVAWLLNMRGSDVPHSPVFYSYLIVEISTATLFVDNNKLSEDVLEHLQKAGVKIKPYEGILSEVERLAEKGAKLWLDPSSVNAAIVNEFRLSCDRYMKKKGKAARKNGDKDELSDEPRAKGTGSQSGELNVVYRVSPVTLAKAVKNEAEIEGMKNSHLRDAAALAEFWCWLEDKVCKDVSLTEVQVAEKLLEFRQKQDGFLEPSFDTISGYGANGAIIHYNPSPESCSSIGSENLFLLDSGAQYIDGTTDITRTVHFGEPSARQKECFTRVLQGHIALDQAVFPERTPGFVLDVLARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISYRYGNLTALQKGMIVSNEPGYYEDNSFGIRIENLLLIKELNLANSFGGVSYLGFEKLTFVPIQRKLIDPSLLSSLEIDWVNNYHEEVWEKVSPLLSGNARDWLWENTRPLLYESH >Et_2B_022327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1880663:1883211:-1 gene:Et_2B_022327 transcript:Et_2B_022327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTVALVFAVALAAVVLCPTAAVAAGGQKKPATAARREDIPYIRCQVCERIAREISAQVAKKQQALPPSKKVPEIEIIDIAENVCNLKKQEADWMLRIDIVEKGDKLELVEQEEEGHCNAECKTIERACQELCFTGINSFQVMGYADTDVAEFVYTNKPSVDELMKFLCKDLSKACAKDPPPVPKDRVPGEPFAAKPSKDAEMEKILRSMEGIPGAPSMKMYSRDDLMKNNFGAEDDDEEDDEDEEDNFPKNLGKVLKDKGSQKKDLKQQVVQQFKDTSKKLKGHVDKASKMVKKWWQGIKKPAKSGKSKTEL >Et_4B_037005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13432754:13436175:-1 gene:Et_4B_037005 transcript:Et_4B_037005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQSSYAPDDASSPEEAAVDSSPDSSPLRLPSKSAAPAVDDTALALSAAASASRPLDPSQHLVAFNPTADQLWAPVLGPQHPHNPISSATGNRNHKLGHVEDAAVLPFLFDEQYNTFHRFGYATDPSGLHIVGDAQPQAADPDTVYNLAPSEHKRRRLQAKEDDQVEPIPPEAKNPASEEWVLLNKQSPWAGKREGPPAELTDEQRQYAEAHAAKKAEKEARAEGKGEKTEVVAKSTFHGKEERDYQGRSWITPPKDAKATNDHCYIPKRCVHEWVGHTKGVSAIRFFPKYGHLLLSASMDCKIKIWDVLESRTCMRTYMGHSKAVRDISFSNDGTKFLSAGYDRNIQYWDTETGQVISTFSTGKVPYVVKLNPDEDKQHILLAGMSDKKIVQWDMKSGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSIALHPNSNWLAAQSLDNQILIYSTKERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGSCWFWDWKSCRRFKTLKCHNGVCIGCEWHPLETSKVATCGWDGVIKYCLNGDSISITVS >Et_1B_012239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30026479:30033946:1 gene:Et_1B_012239 transcript:Et_1B_012239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDRMTTFEDSEKESEFGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDAQWEFQPKKLGVGDVITGGDLYATVFENTLMQHHVALPPGAMGKVSYIAPAGQYSLQDTVLELEFQGIKKEFTMLQTWPVRSPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSEAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLVFWGLDKKLAQRKHFPSVNWLISYSKYSKALESFYEKFDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFNTLANQAVERAAGTDGQKITYSVIKHRLGDLFYRLVSQKFEDPAEGEEVLVAKFQKLYDDLTAGFRNLEDEARNRSTFLAPSFRLGFHFWGLEIGYLSISLPSFSTAALLSSAATVKAESQINLLIR >Et_6A_047703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:56249:58647:-1 gene:Et_6A_047703 transcript:Et_6A_047703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRNRPVTLSKTKKKPGLERKGKVVTEIKDAIDHYTSVYVFTYDNMRNQKLKDLREQLKSSSRIFLAGKKVMQIALGRSAADEAKTGLHKLSKVSYAGLFREFEEHDFARTGSTATETVELKEGPIEHEQFTHEMEPFLRKQGLPVRLNKGVVELVADHVVCEEGKPLSPEAAQTLRLLGIKMATFRLYLLCRWSSDDFEVYKEGLAQLQADDSS >Et_10B_004469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8494259:8499913:-1 gene:Et_10B_004469 transcript:Et_10B_004469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEECSISIHAPFCERASFRTAGVRYGSLPSLRELDLVATAVASGGGTASAAYGEASGSAAGSRNRTLKILNRPLAVPPEGAAVPLGPLPVTVWRHHIQTPSMGGKVSWDDSGIHLLMPSFCKENFRRWGSAEPSSVITLQQLKDALPGKPNQPKPSEVAVSRTAPRARSKAGWASKGRSTPEYKRDEHSWRFQHSVPATDSIIRDYKAAYLAPTVVHPEKKQKSPEERNAIREKRAAQRKQASLCHAETALRKYNRTSNTKFELVEITALCPFFEFGEGCCHYNFTAKPENHHSATTQLFFAEINYFPRSENDVLLTRLSRSGRRRCSFNVPTPPARASTSSHRGFRAPGHLVASPPSLPLPDPANEAAATDVELLKRAWCNEKAAPEILIFDKDLVARVRESSSSYVLLAGLPSHPPQFEETLDDFADSDVDDLEVSLYQMDLDRTLFLLRSHLRLRLHKIICSGYNVSPMNPHEQAALALGTLTAVLPAEDLAQVEGGGDTDLRDVLLFLHIPSFKRCCRGGWQSGDAVVRGEGGSG >Et_7B_054403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2098139:2100895:-1 gene:Et_7B_054403 transcript:Et_7B_054403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWAIAIHGGAGVDPMLPEQSQEEAKRVLARCLQIGVDALRAGSSALDVVEAVVRELESDPFFNSGRGSALTRQGTVEMEASIMDGRGRRCGAVSGVSTVKNPVSLARRVMENSPHSYLAFDGAEEFARDQCVRNNPFNNLILIPNWMFAQGLETVDNSYFITEDNIGMLKLAKEANTILFDYRIPDTCSALAGADNHKNGMVMNGLPISIYAPETVGCAVVDSNGFCAAATSTGGLMNKMTGRIGDSPLIGSGTYACDLCAVSCTGEGEAIIRSTLARDVAAVMEYKGLALQEAVDFCVKERLDEGFAGLIAVSKTGEVAYGFNCTGMFRGCATEDGFMEVGIWE >Et_4B_039819.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3315488:3315688:-1 gene:Et_4B_039819 transcript:Et_4B_039819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLMALAFSAAPLTLYVPPVRSLSLFVEAMETVCRDCAPYSQGAVLRLRLGLSRVLAGLARALR >Et_5B_045149.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:10003657:10004793:-1 gene:Et_5B_045149 transcript:Et_5B_045149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPARRPSAEMRSIHRELERRRPKSLAPKRPPAKKHPAPRPSSIGGAGDKVRRTSALLPCKNPSSMTCKPSNSAGTVDIEPLHPAPPCASSAMPPPPPSPCRSAASSVVSASEAIPVAAVRLKPGTSVRVRTSTTVKLAAKSVEIWLWLPAIVVSAAADGSYEIVYKGKLPPRDPFATVHVSSDHVVPEKQQTPPTPSPSRAASLSTAVSNTQVAASKNSSMQTQARPTTGGKSMRLVQKLVPETQPTPRPTTAGKSIHVVRKILSEMESVSADGSSLPSRDSFARDQATTQKPSPLTPPQPRAASSCPSAASKTWKIQSAPRPTTAGKSLRLLPKLVSEMQAAPRPTIAGKCIRAIPKIMSSMEFQAQPQAMLPGY >Et_9B_065106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21217725:21226856:-1 gene:Et_9B_065106 transcript:Et_9B_065106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRQPRLLDAGAAERYRKMGIAAALDRPWDYPTACGELAALLRLGYAKLPKAAQALVAADVLLAFRLLPDVQTGYAVTAANSLLQAVEVALPKQKKAQAVSEFKHAVVAHKRRARVQQETGSPHIPQDVLVHIFTFLDMHSLVAAGLVCWSWNSAANDNNLWRMNYSQFFGAWHVNGINIPVENSCDLAVPNSMDSVSIDPNFCWKELFHKKYAECATWRFASNRALCGHCRSVIWLSELACASPHHCSNKNIKDGVNLRPLSHDTVAEYILHDGDLAASSSESDNEDSDSDEHEHRRSESNYIKNTWPSTIA >Et_6A_046966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23298905:23370106:1 gene:Et_6A_046966 transcript:Et_6A_046966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGDSPAPSQSSIVADAVSGSHVLTIHGYSQTKGSGTGKSIASSPFDAAGHTWLIEYYPDGFDDSSADCISIYLRLLDIPPEVKARFKLSLLDETGEPALTRDNPQDMICTFPSSTKKTKWGYNRFIERKDLEHQTSSGWWRWIRNNISLKYLKDDSFRIRCDITVLKEIRTEDVTMRSSVVVVPPSNIGPQLGRLLDSGVGADIQFNVAGETFAAHKCILAARSPVFMAELFGTMKDKTESCVRIDDMEARVSSLSAAGNSPAKSQSSIIADAVFGSHLLSIKGYSQTKGRLGTGKFISSSTFAAAGHTWRIDYYPDGYDQNSADWISIYLKRVDGNEDVKARVKFSLLYVTGEPALLGHNQDAIRIFANDKNKFCLDRFIERKALEHQSWWWWMPEDHPPYLKDDSFKVRCDITVVKEIRTEDATMSSAVAAPPSNIGQQLGRLLESGVGADVQFDVAGETFAAHRCILAARSPMFMAELFGPMEENTAGCVRIVDMEARVFKAVLHFIYSDSLPDIEKVEMIAMAQHLLVAADRYNLDRLTLVCEDKLCSFIDTSTVATTLALAEQHNCQVLEELCFQFLLSGDNLKAAMASDGFEHLTRSCPPIVKEFRESTVASEEVTGSHVVTIERYTRTKNLIRGEFFSSSTFTAAGHRWSIKYYPNGHIHTPSTARCTRSPWVHQRALEHYGYVCNDSFKIRCDITVFKEINNDDVTTTVEKFVVVPPSDMDRHLDHLLLSREGADITLEVDGETFWVDRSILAARSPVFKEQLFGPMKENSEAFLHFVYTDSLPKIDECEAMAMAQHLLATADRYRVQRLKLICEDKLCNYIDTSSVGTILTLAEQYGCNGLKTACLKFLMSVGLPSSKPTPGMASSSAAGISTSVVVAEEVTGSHVHTINGYSCIKGLVWNEFYCSSTFAVAGHCWSILYYPNSHKDSRESGWVSIYLRLDQTFATNVKARFKISLIDWAGKPSYSQTSLWTHTFTPGVQKGFPRFAWKISVERLAKDYWSDSVKIRCDITVVKETKKENPPARFVVVPPPDMQCHLVELLSTGEGADVKLEVDGETFPAHRNILAARSPVFKAQLFGPMKESAEVCMSIQGMEARVLKAFLHFIYTDTLPEIEDRDEMVMAQHLLVAADLYGVEAEAHLYIDTSSVGTVLALAEQHGCDGLKMACLKFLMSGGNLKAALETDGFDHLSKSCPAILKELLAKVAPTFLAAGHRWSIKYFPNGRIDGDWITTYLQLDDSACCAPGVKARFTFSLLDLNGEPVPLYTHHRPMYTFGKDTSKGTGLIKRKVLEQSRFLCNDSFRIRCDITVLKVISKGDAGTNAEKFVIVPPPDMDRHLGHLLSTGEGADITLEADGESLPAHRNILAARSPVFKAQLFGPMKEDATVCMWIDGIEARVFKAGNLKAAIETDGFDHLSKSCPSVLKDAPGTATSAMVLGTLTGSHVLTIDGYSQSKRVVTSKFIRSISFCAVGHRWSIMYYPNGKYTGYSEWISMYLELVQPSAPDVMGRVTFSLLSENGETVNGTSRSSNDNAESVDRMDEVKGVGVYRFIQRKWLEGSAYLNDDCFRVRCDITVLKVTHKEDPAMNRRFVLVPPSNMDKNLGCLLASGKGADVTFVVSGEMFTAHRNILGARSPVFMAEFFGPMRETLTCVRIDDIDSSVFKALLHFIYTDTLPDVEDGETMVMSEHLLVSADRYGLERLKLMCEDKLCNYIDTSSVGTILALAEQHGCDGLKKACFEFLMSGNNLKAALGTNGFDHLSNSCPAVLMELLAKLAKMASSSAFGRGKTAQSASAIVADAVEGSHILKIEGYSRTKVLSNSKYISSGTFDVGGQSWYIRYYPDGDELENAGWISFLLHLQVTAEVVARLKISLLDEMGEPVPSYSKSSGDIRDFKNDGWGYKKFIERKTLEESSYLKDDCFRVRCDIIVSKEFRTEDTKQLVTVPPPDMHQHIGRLLLSQVEADVTFQVGMETFTAHRLVLAARSPVFMAELLGPMKEKNTSVIQIDDMEANEACFKFLKMPGNLKTIISSVGFNNLTSSCPSILQELGEKSYNAGMMQQEAWIGHIRSGTFDVGGHGRYISNYPDGEAENNAGWISLFLELQRSSSTEIEARVMFSLIDEMGEPIPSYRKGWSNTHTFKKIEIHGDMEDSFRVRCDVIVSKEFRTEATTTKFVDVPPSNLQHHLASLLSSKHGADEACVTFLVTPGILKEMIGTDEYDHLRKNRPSLVDELVKMSPANVASGSTSAIVADMVTGWHVLKIQCYSATQLLGVGKFVKSSDFNVGGHSWHIRYYPDGYDKDHGDFISLYLNANLYTDSARFKFTLLEPDGLTDSVHSTAGYDLLRTFKGSIGWGHKSFIEWSELQESTYLKDDCFSVRCDVTVVNVGPTNNTKVSKQFVVVPPSDLHENLGWLLSSGEGADITFEVRNGLFKAHRNEACVTFLLSPGILNEVMGTDEYDHLRKSYPSLFDELDQAGEPVPAYTITSPIANFCSTGNSRSYLNFIERDVLESSYLNSDSFKIRCDVTVVREICTETPTEESPVVPPSDLHCHLGAILAKKVGADVTVENSLELRKLNMPPPPSASASGGGTSLTTAYAKTETGCHVLKVEGYSQIKGVLGVGKRIRSGTFNVGGYSWCITCFPDGYDDKNADWICVDLCLLDNPPFGGEIKVRVKFSLLDPMGEPESAHTRNSSVWTFSATDTARGFHHFIKKTLLESSYLSSDSFKIRCDICFTFIKTPGNLTAVMASHVFQHLKRSSCPSVLKKLGVLGVGHGAMSGAFNVGGHSWCVRYYPDGCDKDSADWICFDLFFLGDDDLDDAASDNVIKVKYSISWLDKAGHPVPPYATTSDVCTFSSTSPSWGFHRLIKRADLESSSSPRLGNDDSFSVRCDVTVVKAIRAKSTTTTMAAAAKSHTPSPERAKKPHVAHKLEATGTVDVVIVVVGGRRYRAHKSMLAARSSVFNAMLFGPAADDAGVVHLRIGNMEPRVFEALLHFIYTDELPEIDEGDKKEMARLLLAAADRYNLQGLKLICWNMLQEKIEGRTVVNTLVLAEQRGCHGLKKECFRFLGNPSNHRASVEHLCIERLARTNPTLLKELIDPRSSTSATARSRSSASTIIVHTEAGSHELTISGYSGTKGLGAGVAIFSAGFTTGGHHWRILYYPHGVTKEEADWIAFVLLRDDDDNHPAADIDVVRAYYQFSLLDENGQPVQPFVLGEQDTFENSEAVGCHFIQREVLESSPHLKDDCFRVSCKVTVIKLQTEASPVQFRATPSTDLHCHLGDLLDSKMGADIKFKVGEETFSAHRSVLAARSSVFKAELFGSKKAKKASCICINNMEARVFKAMLHFIYTDSLPEMEESDSGVMAQNLLVAADQYELKRLKTICEDMLCNFVDINTAATTLALAEQHGFHRLKEACFRFLKSPGNMKAVMETDGFEHLMTSCPIVRAPCPSGGSSASTISADMDAAASHELTVRGYSGTKGLGVGKFISSVAFTAAGHRWKIRYYPDGHDQKNVDSISVFLELDSPIPNGEVRAQFKFSILNQDGKPWYTTKTTPTTTFSTDNYIWGYANFIMRTNLESSPHLLNDSFRISCHITVVKIRAETTPVQFVAAPSHDLARDLGGLLESKVGGDVKFKVGKEMFTAHRYVLAARSPVFKAELFGHMREKKAAHIRIDDMEAKRTEPAMPSSAGDGSGTTTSIAATETVTGSHEFVVQGYSKSKGALGVGRCVFSRSFAVGGHSWCIAYYPDGAREEYAQYITFLLLIDPYVEGDVRAQITFNLLDQAGQAVPLYSRSSGTWTTFSRTVTTCLCHLIEREVLESSPYLQNDSFRIKCDVTVFKDIRRLEVALPEVHPQHVCDGGSITTMSTDTVTGSHLLIIKDYSNNKGVLGVGKCFHSGSFNVGGHNWCVTYYPDGFCKNSEGHIALFLRIDPAVNGDVKARFKFCLLDQIGEPLLPWTATSTVITLELSPYLIDDSFKILCEVTVLKESMRTTTSQLPALPPSDLHRHLGDLLTSQVGWDVLFEVGGKTFMAHRNVLAIRVEGYSKTKGVHGVGRAICSSTFSVGGHSWFIRYYPDGGNDDDWISVFLHIDKPAAGRTVKARYKFSLLDPSGDRESDYTKTNIHSFSCICPSRGFYEFIGKHYLESAYITNDSFQIRCDITVIDEVCRPETLTVPPPDLNQHLGALLAGGVGGDVTFHVGGEQFTAHRYRSIDEDETIGMGQHLFVAADRYNVERLKLICADLILKHIDTSTAATTLALAEQHSCQELKEGCFGFLRYPGNVKAVVESDGFEHLKSNYPSLLVELLLKVDRQQIQVRAERRNVPSHNIFHVLAKVKILPRLFPLAVDLVLHQPLETRRASADHVVVFIGRDQDAAVLLDDAGDPGFELGELVERRLQRRNPPASAPFLPPAPEKEPRRRWWFRGESRLPPPLPRACRCTSSPWDTP >Et_10B_003620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3863348:3863820:-1 gene:Et_10B_003620 transcript:Et_10B_003620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRLSRAAEAEFTEVSDLIADIVLRDELDERCSELLDSHGQLKASRSNSARAMAPAVAGGNAGAT >Et_9A_063228.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16422336:16423550:1 gene:Et_9A_063228 transcript:Et_9A_063228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATARLGAVAACVVFVFLVLAFAAAPAAGILDPVDFLALQAVRRSLDDMPGSNFFDGWDFTADPCGFPGVYCDGDRVAGLALGDPRAGSPGLTGRLDPAIGRLSALTELSLVPGRVEGELPPTLAACSGLRFLAVSKNLLSGGIPDGLGALSSLQTLDASFNQISGPIPPSLASLPSLTNLILCHNQLSGGIPSFPDLSPLLRLDLKHNALSGGVPTSLPPNLQYLSLAANQLTGRVDAALPRLTRLNFLDLSANQLDGPVPASVFALPLSVLQLQRNYFAGPVQPAGDVTIPMVDLSYNRFWGQVSPLLAGVGQLYLNNNRFTGDVPARLVQELVGSGGLQLLYLQHNFLTGIEISPSSSLPSGVSLCLMYNCMVPPVYAPCPIKAGSQNTRPADQCPEWRG >Et_7A_050913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12369720:12370417:-1 gene:Et_7A_050913 transcript:Et_7A_050913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRILPAMIAILFYILFYVVADTAVATDAPDYLVQGRVYCDTCRAGFETNVTEYMKGARVRLECKHFGTGVVERVIDGVTHETGTYKIELKDSHVEDICEVVLVESPCPNCAEVQPLRDRARVVLTKDGGICENLRLANPLGYFRDVPLPVCSALLKQFDLADDDE >Et_1B_012388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31480078:31483689:-1 gene:Et_1B_012388 transcript:Et_1B_012388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAMDVSKPPPAASGEEGAAAAKGRSGGEGLRQYYLQHIHDLQLQIRQKTHNLNRLEAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGTGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWK >Et_1B_010915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15296172:15301627:-1 gene:Et_1B_010915 transcript:Et_1B_010915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSVGGRIAETTDIAPAVGFLVSDAAAWVNGQVVGSDLTGYHVLQLTTKIFSGGGIGQCVSIGNSNTDDFEQLEEGDGNADGQEQFEEGDGTTDDQEQLEEGEEVPLCTSYVYRLTTFSLGYDNDGDLTTGESCRLQCYKVPEETTERTAAAILCDGAILFASKRREIGVSKTVTSVKYF >Et_7A_051673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22406915:22425810:1 gene:Et_7A_051673 transcript:Et_7A_051673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRVLLVATTVVALAADASCGFVFEEATLDSIHQGFKNGSLTSTALVRFYLDQITRLNPLLRAVIEVNPDAQRQAARADAERASGHRRTAAGLLHGVPVLVKDLIATRDRLNTTAGSLALLGSVVRRDAGNPYNLSADPCGSSTGSAIAAAANMAAVTIGTETTASILCPASVNSVVGMKPTVGLTSRSGVTPFTPRQDTVGPICRTVEDAVHLLDAIVGYDPLDAAATRAASIYIPPNGYKQFLRIDGLSRKRIGIPNGFFNFPNSALRQIAYKQHTDTMRKHGATLIENLDIANLSVIQDVTKSGLLIALPAEFKLNLNNYLSNLSYSPVCSLAEIIAFNNAHPVEEATLDAIHTGFKNGSVTSTALVQFYLGQISRLNPVVNAVIEVNPDALKQAARADAERRRASASGDGRQMGGGLHGVPVLLKDNIATRDALNTTAGSLALLGSVVRRDAGVVARLRAAGAVVLGKANMDEWANFRSAIGTGGWSARGGQGKNPYVLSSPPCGSSTGPAIAAAANMAAVTLGTETDGSLLCPASLNSIVAIKPTVGLTSRSGVIPISPRQDTVGPICRTVADAVHVLEAIVGYDELDAAATRAASMYIPDGGYVQFLKIEGLKGKRIGVVNGLFDVGDGTVRQTVYRQHLNTMRKLGAVVIENLEIENLAVIQNATISGELAALAAEFKLGLNAYLSDLSYSPVRSLAEIIAFNNAHPDEEMLKQFGQLIFLVSENTTGIGAVEKAAIQQLHDFTANGVEKVMKEHRLDAIAAPDSSTATVFAIDGLPGIAVPAGYDENGSPFGISFGGLKGYEPRLIEIAYAFEQASKVPEATLDAIQLGFQNGSLTSTALVQFYVGQISSLNPVVHAVIEVNPDALEQAAKADAERRRASGGDGRQMGRLHGVPVLLKDSIATLDRLNTTAGSLALLGSVVRRDAGVVARLRAAGAVVLGKANMDEWANFRGAVDTGGWSARGGQGKNPYVLSSPPCGSSTGPAIAAAANMAGATLGTETDGSLLCPASLNSVVAIKPTVGLTSRSGVIPISPRQDTVGPICRTVADAVHVLDVIVGYDELDAAATRAASKYIPHGGYLQFLKTDGLKGKRIGVVNGLFDVDDGTARQMVYRQHLNTMRKHGAVVIENLEIENLAVIQNATISGELAALVAEFKLSLNAYLSDLSYSHVRSLAELIAFNNAHLYEEMLKQFGQLIFLVSENTTGIGAVEKAAIQQLDDLTANGVEKVMKEHRLDAIAAPDSSAATVFAIDGLPGIAVPAGYDEQGAPFGISFGGLKGYEPRLIEIAYAFEQASKVRKPPMFKQYNKFRLQRLASIFNPVSPCSG >Et_1B_013078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5313926:5316028:1 gene:Et_1B_013078 transcript:Et_1B_013078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VTEDTMIAGGGYFDGSHHPIIMAGSMIHDSSQSSIYDSTNAEEQNFRVASFSIEDISNQADADLASEPARALDHFQHHLGIDMEQGHGDHMVQEVPLVETANLVSAICGVQGHILSHQIGEGPHNMTVEQQILNYDVASYPNGAYTTAHDLLNVLQIQRCSLTPELPSTEHIFGDPVQNTVNHLDMNSDLSGVAVHESGMMFSDSTLPLGYHATQSHLLKDLYHSLPQNYGLFTSDDERDGVIGVSGVSGNIFQEIDGRQFDSPILGSRRKKSGFGKGKGKANFATERERREQLNVKYGALRSLFPNPTKNWGKTALQNDRASIVGDAIEYINELNRTVKELKILVEKKRNSTDRRKMLKLDDEAADEGESSSMQSVRDDQNNQMNGAIRSSWVQRRSKECEVDVRIVDDEINIKFTEKKRANSLLCAAKVLEEFRLELIHVVGGIIGDHHIFMFNTKIRKGSSVYACAVAKKLLDAVDLKHQALNIFN >Et_3A_023771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12027710:12030339:-1 gene:Et_3A_023771 transcript:Et_3A_023771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHPPNPTISDHPPFVSFRLTSIQTVFFFSSNSLTNSATAALPPTPHLFPPPQISMRRKLPGDAPPSAGGAGPASSASAVSEADLAQLSNAIAAGEDLGPFVRRAFACGRPEPLLAALRGAARDREAEIEELCRAHFHDFIRAVDDLRSLLADADALKGSLSASHSALLSSAAPLLASLESFLAARALAGNLSSALASSRRCVRLLALAARANAHLQAGNHGLYLALRAVDAIDRDLASGPEPLPLPTLRRMLLSVVPAVRAHAEREISREFSDWMVSIRAASRHLGQVAIGRSAAARQQQEELRSKHRPLEECITLDDDGAGDLDDFAAAAATADAADGAAAASFDLTPLYRAMHIHQTLALGERFKKYYLENRKLQLTSDFDVIAATPFLESHQVFFSQIAGFFIVEDRVFRTGGGLTSRPDVDALWDAAVGKMVSVMEDNFSRMQTANHLLLITDYAALLAATMRRYGYPVGMLLDVLAKHRDKYHDLLLADCRRQVAEALAADKFDQMLMRKEYEYSMNVLAFGIQSSDITPAFPYVAPFSCTVPDICRIVRSFIEDSVSFMAHGGGGDTYAAVKKYLGRILYEVVDASIQKLVESGSGLSVSQAMQVAANMSVMERACEFFTRHAAQLCGVPLRAVERGRRDFPLRRSRDAAEALLLRLLRAKVDEFMRQSDGVNWMADDPPIGGNEYANEVVIYLETLTSTAQQILPLPVLRRVLVAVLSHISERIVDLFLNDSVKRFNASAVTGIDSDLKNFEVLAESMSSLFVDSDQESVKNEMKAALVEARQLVNLLMSNSPENFLNPVIREKSYNKLDYKKVAAISEKFRDSSESYFSTFGTRGARQNPKKKSLDTLIKRLREAS >Et_8B_058755.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20851863:20852198:-1 gene:Et_8B_058755 transcript:Et_8B_058755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKREMKHGTAHAKVAGDDEMLRTGFHNGTPLEAGKIADSEPVDLFAPARGVAQADHGSSQQQQEGEEEDKAEHVDQVAGGGGVASEEQEQERQGMAAPTAGGRRLGRQ >Et_5A_040653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10859809:10868093:-1 gene:Et_5A_040653 transcript:Et_5A_040653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSRNLRRSLASDRLRRLLSPARGYVTDECHRPVVLHKRGPDILHDPWFNRGTAFSMTERDRLGLRGLLPPNVVSSQQQELDRFMLDLQRLQSYARDGPSDTLQLAKWRILNRLHDRNETMYYKVLMHNIEEYAPIVYTPTVGLVCQNYSGLYRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQQHRLEGDEYVSVIDEFMEAVFTRWPHVIVQFEDFQSKWAFRLLQRYRKNYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMLDFPKQKIVVAGAGSAGIGVVNAASRTMARMLGNNEVAFESARSQFWIVDAHGLITEDRADIDPDARPFARRKSELGHQGLNEGASLVEVVKKVKPDVILGLSAVGGLFSKEMKVNAHRGYLYLQVLEALKDSSSSRPAIFAMSNPTKNAECTPGEAFSILGEHAIFASGSPFDDVDLGNGKVGHSNQGNNIIGLGTLLSGARIISDGMLQAAAERLASYMKEEEVQQGIIYPPISRIRDITKEVAAAVVREAVREDLAEGYREMDARELARLSEEETVEYVKLNMWSPVYPTIIYKDD >Et_3B_030873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8121266:8125187:-1 gene:Et_3B_030873 transcript:Et_3B_030873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPPGVSADATSNNEQASNAELSGEDKVLDLQDPNKIQQKGRPRPACRFKDQMEMEKEKMKQAEKRKEKKKKKSESSIKYQNQKEYIITETYNGKVKEEDEKGCRKYKWRKQEDDRRDGRNMKNHQAGDIAFVTIRPLHFNMTIV >Et_6B_050074.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:5332574:5333659:-1 gene:Et_6B_050074 transcript:Et_6B_050074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSRLLRSLSSRLPTRLAPSPAVAPWPPVRSAYDRWLAAELRADPLAPCTSAAWLDRALGLAVAAQRRLVSSSSAAASTAAGIDRKTVDECVDDTAELLDACASLRDRLDMLRSYVAATRVALHWLEGGGGAAHRRAAAAFAECEAVERRCGAELAKCGSGLRKLGERALLHAGKHPAAAGGDEALSGARGVALLAVGALGAALAFRPRRAVSGVSVSGGKAVAQWECALQEVQRHVREEYERRRKDGVPCMAELDAAAAAALAVKCSVASGRRCSETAIAAARRRCDELEETVLAFEERIGELRRALIDVRMVLMEWAQSARGPEPLRLACNAGQETHVGSETSCPRILRSQKHDNIC >Et_6A_047234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:27012451:27018257:-1 gene:Et_6A_047234 transcript:Et_6A_047234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMVTSGGGPPSPAASILRAGRPTGSRSRRRSRRCAGGHVGEGVGHLLEAARRHEQPVRLQEPGLRRHGRRPRRQLNLRTSEPRLERRGEAVREALRGVARGWGRVVGDVRQQLARAAPVVVLHLLRAPDQQRAQVHHHRLQHLTRPRSITIVRGHGATQTAASSSGAAVAITLRALLPASSSSIFPPFLLLWISRTLSTSPLRRMLSVVAGKSLRASTVPIRNDMFTMALQLLYSASSTSAAAYGFVAEEQDEEAMMWAKDCCASIRPGKAKASFRNRDFCYDPCRVGKENASHPPLQQPLAILAKHKVLVVFLDLVLKYLNNAWFKWQLPEACPLGDPTGLHPRTQQCSVPDDQTRPAPATALIHSPVALSHQICQTNNASRNRDKKELPYERFTMLCMNTSGLIFLILPRTVSLGLGLSKNKLSSIRDLKLNRSFTMSTSSPSLFKSSIETFSHLSVVMKRNLNGRPNSLAHLLSRRPCSSIPGQNMSCEQPTAVIFNSGRPPFPSASQRIKPAHWNP >Et_9B_064702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17791839:17795750:-1 gene:Et_9B_064702 transcript:Et_9B_064702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRQAYRELGKGGPISSSMDKTKGSSCQQGYLESSQAGKMMRYSISNLSEFPWLYMREIWCHIHSLKPLRDAARASCVSRAFLHCWRCRPNLILDNNTLWSEAHVSGANLNRTLNCIRRRHSGVGVKTLQLALRDIVNNGDLDSWLQVAVAPGIEELILMPKSESEMIKYNFPCSLLSEGVRNSIRLLTLGYCAFRPTPELGPLRSLTSLCLHAVGITEYELECFFSRSRSLERLELSSCQEIICLKIPCELQQLSSLIICGCQRLKVIESKAPNLSNFGIAGKVKLSGETLQMKHLSMYFGNAVYYARSELPSIMPNLETLDLSSGNEDVHTPMLRTKFMYLKQLTISITSGLTFSPFYDYFSLVSFLDASPSLHTLVLDVTQQRMGHASVFEDSSSLRQIDERNYCCLKSVKISGFTSAKSLVVLTCHILKNAESLESVTLDTLYGWRCYEENHASCRHMANGILREAPRALEAIRTYITDEVPATAKFSVVEPCRRCHKIVEGGGGAESSRNWNRARFMALASESESEFTLLPTLPSSPPSRTRARAARAARALPVLRRASLEHNRGRAPTAQRVAKARRHRANDGRLEYFVCVSGHLHGSCWLARFSDRGGTGGEDDDSGRDSVAGRGDRRGRKGIPISGRASSASLGCVGGEWGSVR >Et_10A_000416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:157683:158563:1 gene:Et_10A_000416 transcript:Et_10A_000416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDRQRQRSSNSNSKKTAELDPVLDSIGFEIEELSPSQLTGRLPVTDKCCQPFKVLHGGVSALVAEALASMGAHMASGYRRVAGVSLSINHFRSASVGDVVLARAAPVHVGRSTQVWEVKLWKQEPSTPGKKGPLISESRVTLLCNLPVPDHLKHAGDALLKYSQPTAAKPTSRL >Et_6B_049437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4951499:4957878:1 gene:Et_6B_049437 transcript:Et_6B_049437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGTHHTPEDVFRDFRARRAGMIKALTTDVEKFYQQCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMDEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKESRKRLFVMINNLPTIYEVVTGTAKKQTKEKTPKSSSKGNKSGTKPPRQPEPNSRGSKMPPPKDEDDSGGEEEEEEEEEHETALCGACGDNYGQDEFWICCDACETWFHGKCVKITPAKAEHIKHYKCPNCSSSSKRARA >Et_1A_007369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33961345:33965819:1 gene:Et_1A_007369 transcript:Et_1A_007369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRCTSAHHSLLGSPTCLARPRRGCTVVRAAVAVEAGAQPKVSLIRIGTRGSPLALAQAHETRDKLKAAHSELAEEGAVEIVIIKTTGDMILDKPLADIGGKGLFTKEIDDALLQGRIDIAVHSMKDVPTYLPEGTILPCNLPREDVRDAFICLTANSLAELPAGSVVGSASLRRQSQILYRYPSLKVVNFRGNVQTRLRKLKEGDMEYLSSLNHEDTRLAVACEREFLAVLDGNCRTPIAAYAYRDKDGNCSFRGLLASPDGSIVYETSRSGSYSFDDMVAIGKDADK >Et_3A_026952.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27846041:27846895:1 gene:Et_3A_026952 transcript:Et_3A_026952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVDVEATPMPAARTSPPPWAALPLVAPFLDAASLASASCVTTSWHAAFADDHLWARLCAQHYPSALGLLLRQLPDDDDDRRSSRSSSPSSSPHRRLYALFRAASARSRALPSPRLALADVAFAVDVFAADGKTTLSFAVAADEAAAGDVVKSNVASAAAGLFLFGVDLRGRDAAIGQGEWRVRWTAVRTARHGGAPAAALLMMDAKVPAARAASAVSFCGRGEAGVAERLPAPGCGGARMEAEVVVELAGEERLMEKVRFGVLCECRYVSVDEGLRYLQHFLL >Et_9B_063680.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18759713:18763824:1 gene:Et_9B_063680 transcript:Et_9B_063680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASQNPGSGGNVNPLSNLCHWNTVQQAIGYKNTGTVVYSSFASTNPRALKRKWVDMAGVEGPENPLLTLGLGRSTSSSDNSKASSPTACIMSPSSVKETDEETSMDLGLNFDLCLGHDMAHQHKKSAGSGHMSSASAPKLDLHLSLSTGVPESAVTYASTASLNVHDALETVVPNLKTDVSGKRSEPSNWFFGHSVDSSSYASEATYSFSLPMTPQKADDPSPDVSSAVTASVKSLAACTSGVANPHKRNTNTKCCQFPGCEKGARGASGYCISHGGGRRCQKPGCQKGAEGRTIYCKAHGGGRRCQFLGCTKSAEGRTDHCIAHGGGRRCSQEGCSRAARGKSGLCIKHGGGKRCQMDNCKRSAEGYSGLCISHGGGRRCQFPECTKGAQGSTKFCKAHGGGKRCTFFGCTKGAEGSTSFCKGHGGGKRCSYQGGGVCPKSVHGGTQYCVAHGGGKRCSVSGCTKSARGRTEYCVRHGGGKRCKFEGCAKSAQGSSDFCKAHGGGKRCSWGQEGSSFGAGGPPCDKFARSKIGLCAAHSALIEDHCVHGGGSLGPAIKQLTTDAKADDMKVTARKGDVDMANSEDEDFPGWSDPGLNNSVNPSFPVHTSTTPFPEGRVHGRGLLALLSAGAHVTASSSDNGASSSALRTWM >Et_6B_049543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6280332:6281581:-1 gene:Et_6B_049543 transcript:Et_6B_049543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVRKLSVPCLKKLVLSQLLNLERCTSQNEGRLSTNLIVLHVVKCRKLIHFPLLQVFPYQDEKKEWFPNIYELKVHDCPHLTVSTSNSEYAKGLAIFTIESEELSVLDEKIVAFKNLTSIINFYIINCPSLVSVSFQALRKLTRLERVQINDCPRLFSPRMIMNDIYNENAMCLPVPFVRHLIIKSCSMMGELLLPCVRSLELTIKECWKIPSESSVCPIEEESRHLSLFYISSSIGSARTALTRDEQILLRFPRPLYTSIKNLHISDCPELVFSNTEEGFAGFTSLEVLTITGCPKLLISMVRENNIYQRFILPASLNKFVADHLPTKLQPYFPINHTSFRRLSVWDSPRMKSLQLHYCKYLVEIEIINCERLSTLEGLSYLNSLQILKLSRN >Et_1B_012052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28486942:28491170:-1 gene:Et_1B_012052 transcript:Et_1B_012052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGKEDTPVQAVYHFVHSFADCDALLRNSSYSTGEQIFVAPWLWKEINEASVENAPRFVIAFRGTITEKDTISRDLSLDLHLVQNGLHRTSRFTIAMQTVQNVASVFPGSKIWLAGHSLGAGMAILTGRNMVKKGALLESFLFNPPFVAAPIERIRDERVKHGFRLARSVITAGLTIAMKSKTEGNSQRSIAEDSFNILSSWTPYLFVNPGDHICSEYIGYFQHRKNMEDLGAGFIEKLATQNSIGDLFFKALGWESEPLHLLPSADLIVNVGPSPDFKYAHGISQWWQHELNLQCTKHRYS >Et_7B_054243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18083011:18083932:-1 gene:Et_7B_054243 transcript:Et_7B_054243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACSNGQFRLGARRVVVGQNYLSLVALYICQIARIKTKRLRQQLKHLSPKFLTFLAYSKSLRQLVVGRRVRRHAEIERVAPIGHGRLVQVEVAVSRALSLPSPPSASWNARTAATAAAIASSGTPWPVTWKNPHSAAARRTASTTAARSPPRSITGTVAVRDSWPGTPPEAASWS >Et_8B_058954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11411961:11414930:-1 gene:Et_8B_058954 transcript:Et_8B_058954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIGQGNNDPWLCSPKGFLGREIWEFHPDAGTPEERAEVERLRREYTRNRFTHRESSDLLLRMQALDDPRLELRHATLQHAILPSIKVQESSQVTEETILTVLRHALNQYSSVQAPDGHWPGGYSGILFILPLMENNLIINSGLLALWRTDIVANKDGGWSTHTLGPSSMFGTCVNYATLRLLGEVLDEGNDALSKGRSWILSHGSAAAAPQWATIYLSIIGVYDWSGNNPIIPELWMLPHFLPIHPGRFWCFCRMVYMPMAYIYAKRFVGPITPTVLAIREEIYNIPYSKINWSVARSSCAKVD >Et_9B_064920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19792023:19792454:-1 gene:Et_9B_064920 transcript:Et_9B_064920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPYSASRPWPPRPQPMADPEEALPAAAAEKSGEEVRRAVAECPVLIVGRRGCCLSHVVKRLLQGLGVNPAVHEVAGEAELAGLVADGGGDVALPAVFVGGRLLGGLDRLMAVHISGELVPILKEAGALWL >Et_9B_064789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18661139:18663024:1 gene:Et_9B_064789 transcript:Et_9B_064789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIHCARAYVSMNFEHGASNPSRITFSCYKCCTLLEPTLLQKRFREPSQLIQYRAFILPQSSLKELACEELANEMDEQQSHHSSFSVSFSCAQSDSTKQGQDHQLHQPQSLEDDAHFPGLSKKEIERRRKIGAANKGKVPWTKGRKWSEEHKKLIRQRTAEALRNPKVREKMLGHRQRHRQVSKDKISAALRKIWERRIVSVKSRQKLLQIWLNSIAEAAKEGDHSQDKLDWDSYDKIKLEMMSMFLWNKEREQITKKLKKVVAKIIAKKLQGKRKKIQPRGTKKSKPKPKPGKLLPQKSDARPTQVVVPTRAKLKERLTKWHGRKKELETVISLRTRKGGLQKDSMETKDGEMARIGLGGNA >Et_9A_062792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:521513:527109:-1 gene:Et_9A_062792 transcript:Et_9A_062792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEMAARGEAFSSEAERDFEVFRSGSAPPTVEGAMSAAAAAGSLFLDDELRADPAYQSYYYSNAHLNPRLPPPLLSKEDWRSSQHRLRSSGLGGIGDGRRQPPAAEGTVGLPGIDLGRQRSFSSVFQDEPYNRDTDRQTDNHNSNDLLGSSGMQYSLHRGTGAMGGLHPGSNVRSLDEIQNNDLSSNTYASILGSSLSRSASPDPELVRRAPSPSLPPIGVKVGANDKKINGGSSSFRRSSSAIGDSDDLVTALSGMNLSSRAVSGQTMDQSQLYQDVDSVQKFLFDRQGDQSSGNQQHSYMRRPEHGQSKMPDGYSANLANSSTMRNQINAGSFTSFDNLSAGSGFASPRVGSRSPGGTVSSRQNLTGISNLLNYNGIGSPTASPLQTPIDPAYIQYLAQLAASCDDPLMDRGLLGSSYMDLLGPQKAHLGPLLQSQNQYGYYGNLGFNLGYAGSPLTSPVLPSSPIAPGSPLRHGERSMRFPSGMRNFGNSFGSWNSGLGGKMDANVMPSLLEEFKSNKSKSYELSEIAGHVVEFSADQYGSRFIQQKLETASTEEKDMVFSEIMPQALTLMTDVFGNYVVQKFFEHGSPSQIKELAGQLIGRVLALSLQMYGCRVIQKAIEVVDLDLQTKMVVELEGHVMRCVRDQNGNHVIQKCIECIPQHAIEFIVSTFYGQVVMLSTHPYGCRVIQRVLEHCDDPKTQQIMMDEILQSVCLLAQDQYGNYVVQHVLEHGKPHERSAIIEKLVGQIVQMSQQKFASNVIEKCLSFGNPVERQLLIGEMLGTTNETEHLEVMMKDQFANYVVQKVLETCDDQQREMILTRIKTHLNTLKKYTYGKHIVARVEKLVAAGEKRLGLQPACTAA >Et_2B_019779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13981002:13983516:1 gene:Et_2B_019779 transcript:Et_2B_019779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTVLAAEAGLAVALLFRTPVRKLAMLALDTLKRGRGPVMVRTVAATVLVVLASSVHSMAKIRGRSTAELDAAGAGGLSPTDQVLLARHLLEASLMGYALFLALVIDRLHNYIREIRGLKKNLEAVSKQNKTIMEENKLLHNQLQSTNLTQSPSDGKKDS >Et_1B_010030.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:19111732:19112079:-1 gene:Et_1B_010030 transcript:Et_1B_010030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAHRLLDNGFAVTFVNTEFNHRRVVDAATSSSGARRRRLRLVGVADGMEDGEDRDNLVRLNAAMKEAMPPQLEALLDADGDDGLGKVTCVVVDVGMSWALDGAKRRGLPAAAL >Et_6B_048528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10347435:10351638:1 gene:Et_6B_048528 transcript:Et_6B_048528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQDSCGGGSGGGMKGSPAPTKAAGTGAAKFLSGMPSRGNFSSGSVSSSLGGFRVYVCEHNTDPPGQVIKTDSTNILIRHLQLNNQKSEAKDAGNLLSEVWMSTIQQKGLTWVLHLEHQAMHKQFLAFHSTPCNHLQLRGCVFFYDKVVSQPKGKRLEKLF >Et_5A_040733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11643707:11657468:-1 gene:Et_5A_040733 transcript:Et_5A_040733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAAKGLAAEPCAGDGAHRRCGSCGAVAYCSRAHQILHWRVHREECERLAKQMSRVDMLSQFPFTFSMEPPAQNHAFPTRCFFLQSMKLHQKGLWKPECICGPEVASLEDLSNADEWNLKSSLCPCTEPENHVPASLSSWEDYYHWRSLPLDSPVALLLHWPLTLYHCLQLSHFQSSRSELDTLCIHYLGPEKELLQLAVFGELRALFPGVHVQIELVGPAVPKSRDGEIVSIPRYAHCSDESCCCKSGSEDLTSSPVTLKLWNGFYHERYRDIMKESNPDLIFAPNAGVAAYPSWMPTIEIIREAGVLAIFTDFCEEAAHLASCCISSITGQPLRIPIQVNPFRQPISVDNSALFLPCYSNCFGSL >Et_7B_053928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13465020:13470506:-1 gene:Et_7B_053928 transcript:Et_7B_053928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISGAAAGSHLTMLCTTGQNGHFITHLTPLTLKSDGRARKGMNSKIAILEKDRNRQSQMVRFHYGHPDVFDRIFHITRGGISKASCGINLSEDIFAGIRENVTHHEYIQVGKGRDAGLNLILLLLLKWLVEMGSKYSAEISTGSVIALTSSECFRAILQLLGFSSMLAVMRIFSCSCLNVVRMVFIIVSVFLYGRLYLGLSGLEFAIMKQARMRGNRALQAAMGSQSIVQLGLLMALPMFMEIGLERGFRSALGDFIIMQLQLCSVFFTFSLGTKSHYFGCTSPHGSAKYRATGRGFVVRHVRFAENYKMYSGSLFLRRLSLMFNLARRMSKILSTLPTWPP >Et_7B_055790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4620022:4622051:-1 gene:Et_7B_055790 transcript:Et_7B_055790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPTQVVEVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHTGNISLDDVMEIARTMRPRSMAKEFAGTVKEILGTCVSVGCTVDGKDPKDLQQEIDDGEVEIPSALPGLLDRAKKHPLEAQ >Et_3B_027581.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:29024760:29025863:1 gene:Et_3B_027581 transcript:Et_3B_027581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFFLRAERPLLLDAPCFHVERHQLPRRPQVHHLPLGVERHEQEGDGLARAVAPLVVGDEVLDPDLVLQRPLPSAGVLELPYPEARAVAGREPQPAAAVPGASSAAHRRGERGDLARHGVQVDADDVARVGQHVERAAEVVHGGGHGVGVDPEVDLAVLPPRAGRGVEHLHGRAAGQPAREADHLVVRRVARVERQHGQQRPPPARRRRRRRRRYRRPPPRGRVHDVERRPRRRARRVVEQQAVAPVDDVRRAVPREEAHVGGLRHAVVGRARVGVRLPPAAAVVDDAAARAVAEEHARRDERQTTAEVVAGDDAGPGRGHALEPRQGLVRQPCEDLREHVLAYLAIH >Et_4A_034704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5623205:5634624:1 gene:Et_4A_034704 transcript:Et_4A_034704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQRSYGDGLDDDRRRFYDRGPPPPPPSRRPVREYEADRFDRRKGSGGGGGVFSDNQYREYQSPRQYGGDRAMHRSESFSGFRREFPNGFRSERDRQRRDGHSRSSWRRPGGGWRDPECSGEYRAPAKLSGASLHVAPRRSRSPIEPRRRFEVAKAEKLRKQSASVNEMEEGEVAPDADHKTRPAAAVEHRKQVQPSRAKEKGAEQGELKKVESSRVSVDLATHCKEVAGASYSDNARIEQGKRRDGLMAEAGTTTDKGHQESALRFAVEGEGRQEVKSQDVAASDAGKFGLSTSPIEQEVVQEKVNTHEETTNSIDAVKQSTSSSIMKEVIQEEATVRAETTDDIDGAGKTDTSTLKQGATQEEVAVLHKIGCAADEVGKSISRITRQEVLQEEVLVLDGTGSALDEVGKIVSSGMLEEVRKEEVMRHEGTANGVNRIEIGTSSGLLQEEMQEKVIPLLDETAHTVDAPQPVSYSGLMKEAMHDEYLALDGAANTIGLAGQFDSSDIVKDTVALEGITNVVDVAGESNMSTGLQEEVVASLHQQALESKESENLIVIEENISEPTEYDASQPAEEGHKMDHCEKRGAPEETTMTEEAIFMHENVEKQAIDMVVETENTNVFLQLTTEHAGWSKEEATNVNVTTREPRSEDKEAGTAFDILGNVDRAKSVGRGLDSTLQLRTEPAETSKPASTTIVKQEHDTMKIEKLDLSLSLSDCFQNSESKYSTPKTGSLVHATCSQPLPSSSFCTNSDGLTTSTSFSNSKTPGHNPSCSLTHQSTDNYEHSVSCKPMFMGVDQMSNCAGQKAQLSSEYTKKEGANKHLQTVQINGHVSDNTLVGLSGHNNGTSKDYQRLGSISGVLSPTHSHGSQNSRLEHIRFRRQLTREGSSSSLTTSERQEGQQLVINGAGVIERVISKVISEPFHHTSMMLQKMTENSITFLREAISDIIVNPDKRGQIIALQEALKKRSDLNSDLLQTCPQVLMEILVAIRTGLPYFIKKSSVAKSDLVDIFLNLKCRNLSCRSVLPVDDCDCKICQWKTGFCSSCMCVVCSKFDLASNTCSWVGCDVCLHWCHTDCGLRHSLIRKGHSSSSAHNTTEVQFHCAACGHPSEMFGFVKEVFCTCARQWRMDTLVRELQYVERIFSCGDDTRGQRVCNFVKQMLIKLENKAYYPEVVKCVTAFFSDDIVNLVIGPSEPLSGIPCSIAGGDGITSSRRMAAWKPYTLEGLPVSEKATVLSTAGGPSLHRDSGETKFLAAVNKPAIDELDSLIRLKQTESYMFQERANDARNQADDLRHIVMVKTARIEEDYTTQIVDLNINELQERRKQKMEELQEFERAYHQFFSMKTRMETSIRKLLLKLESIRFVLRSRCKDRAEHQYSHFVAKGVVRKEARVPAPSDWTPLQLCKFDQRSK >Et_9A_062928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6905585:6910907:1 gene:Et_9A_062928 transcript:Et_9A_062928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCFQQSLIDVVNFLLLTAYILSLAFSACRRQFRISTSELPLLCAILSPCCALLGVAFVCSGAWATSSSSSRAELIARGLVWVVISASLVVRPTRFFRVLAMAWWVVLAAMSTAYSVAKIVRRSPMGVLDVASWIASLMLLLCCAIGVGRGVGGGDVSDETRPLLSAPARDGEQRPAAFGKAGFLSRLTFAWMDSLLRLGYSKPLDFSDIPPLDADDSAAAASRTFLDEWHRRTAHGTTTTTMPTSNLVFLVLASCYKRELLLTALYTLLRTLSFAASPVILYYFVSYSQQTDRSLAAGAALVGALVATKLAESLSQRHWFFGARRLGMRMRSAVMAAVFDKQLRLSGGARRRHSAGEVANLIAVDAYRLSEFPYWLHLAWCMPAQLALAVALLFWAAGTGAGAGLAPLAVCGVLNVPLARLLQRYQSRFMAAQDARQRATAEALGAMKVVKLQSWEDKFRATLQQLRDAEVRWLAETQVKKAYGSALFWMSPTIISAVVFAGTAALRSAPLDAGVVFTVLAALRVVSEPMRMLPEVLSVMIQVKVSLDRVGVFLNEEEFQDDAVDRDTLLGGMMPASDIGVTVHHGVFSWDPSKAIVTLKGVSVTARQGEKIAVCGPVGAGKSSLLCAMLGEIPRMSGSVAVAGSVAYVAQTSWIQSGTVRDNVLFGKPMNNEEYEKAIRCCALDKDIENFPHGDLTEIGQRALNMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAATLFNDCVMGALQNKTVVLVTHQVEFLSMVDKILVMENGEITQEGTYQEILESGTAFDQLVNAHRDSKTALVSEDNRRVGKQADAFQYQKPMTPQNSGTEESASNLPSVQLTEEEKRELGEVGLKPYKDYVSISKGWFLLVLIIAAQCVFVFLQYLSTYWLAIAVQSHQFRVAVVVGIYAMMAIGSCLFAYVRSLLAAHFGLKASREFFSGFMDSVFKAPMLFFDSTPTGRIMTRASSDLSILDFDIPYTMTFVISGTIEVAATIVIMIMVTWQVVLVAVPVLIVLLYIQRYYITSARELVRINGTTKAPVMNHAAESMLGVITIRAFEATKRFIQTNLKLIDTDAALFFYTNGALEWVLLRVEALQILVIVASSILLLSLPEGAVAPGFLGLCLSYALTLSSAQVLLTRFYSNLENYIISVERIKQFMHLPVEPPAVISDRRPPPSWPSKGRIDLENLRVKYRPNAPTVLRGITCTFAAGTRIGVVGRTGSGKTTLLSALFRLIDPSSGRILIDDVDICTIGLKDLRTKLSIIPQEPTLFRGSVRSNIDPLGLHTDEDIWEALDKCQLKKTISALPGLLESPVSDDGENWSAGQRQLFCLARVLLRRNKILVLDEATASIDSATDAILQRVIKEEFSGCTVITIAHRVPTVTDSDMVMVLSYGKMIEYGRPSRLMENKDSAFCKLVDEYWSNYS >Et_10A_000747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16344894:16348432:-1 gene:Et_10A_000747 transcript:Et_10A_000747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQGATNPSAITCRLNPVDMLERLRGKRLFHFHLAPESGLTACGNRTARLLVFLIIYWCLRIATSENAGALVQRGGPCVAMDELLPGENPDTLDVLREAERATLQRVAKGIHGVVQRELRRLALQPPPRVTSTTRHLRFQHLLRFGRWARPPQPAHQLAAVAEAGRHGALQPHPLVLQFAHGAVHGAAPRAEAALQRRRALYVLVDAVTERGHVGGQPGDERILQHLMQPSGLFLLRSETVDGGERVAHPERGDEAPHESGEAGRRDAPGVEVRQRGGADAAGAVVDERVVGDERRRRRRRERVHERPPVRVLPGQPHGEEERRQGSAGDGEGATRVQQHGGRAVVGGVDVDGRREGGELAEHGEDVASDEPPEVGVRVRSQRRVHQLHRAGLGRRRRRHGALLVL >Et_6B_048897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15195097:15198362:1 gene:Et_6B_048897 transcript:Et_6B_048897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVRGSKKRKRAEKAAPAPSPGLPGGSDWWDIFFRSIAGRLSSPSLLACFSSRTGVTGPDMWAKHNADVMSMESVLMMSRKTFNYICSLVKKDLTRKTHGFRNFRFGDKTILGVEDQVRVALMRLTSGESLQNIGVWFGMNHSAISNITWRFIESMEERAIYHIKWPSSEEIATIKARIEKIYGLPKCIKSMDDSCILRTSGFYRLCEKGVRLDKQMELPGGSLVREYRVGDGSYPVLPWLMSMLVMLLVHI >Et_2A_015168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33919506:33919965:1 gene:Et_2A_015168 transcript:Et_2A_015168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TPPTTKLAPCYSVIQSFEWCNRAVEALAAEARWYDQLPDALTVEALAARDGLQLAVAHGISKLILEMDNLTLVKREANSVVHCCARMSSCNNRVCLWVGDSLDWLKEIATTYCNPAVS >Et_8A_057685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6019009:6026961:1 gene:Et_8A_057685 transcript:Et_8A_057685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEAPLGALNVAEYAPAGARTVDCYRRIRKIGEGTYGEVFEAVDIITGEKAALKKIKLDDGKEGFPRQILREIKLLKKLDHENIIRLKEIVVSPDDHIYRGDIYMVFEYMDHDLKKVLHHSIPSQVKIYMRQLLKGLHYCHINNVLHRDIKGANLLLSGGKLLKLADFGLARPFTRDGRLTNHVITLWYRPPELLLGATNYAEAVDIWSVGCIFAEFLLKKPLFPGRTEQDQLSKIFELCGSPNEEIWPGVSNLPLYKTMTIHPVTPTKRQLRDMLQKEFLHKMLLMRHTSSTKFMEGGNRDSLIYYYAIRNTPYGSGSESRFCGSCHYADERRCSYPKSDKEQNIIVPEVLRWGSIRAINEQPRGAAGDAEHSSVPCPSGRQIIDNKKRRHEPLLLCHAQFPKCRSSWHAGREVVLDKDALELLRPIAPGLDVNTDVVISGS >Et_4A_032851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14668803:14670418:-1 gene:Et_4A_032851 transcript:Et_4A_032851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQYPKKYVLYGLLIVCSWVLTLELRFHLSSLSSSTGGALDATTFLPPPAAEIEDRRQSSSCEGRYVYMVDVPERFNMLKDCVEGSPLFDDIWSWCAMTVNGGLGPKVISTGNGSVMFHHRMRRYECLTGDPSAATAVYVPYYPGLDVHPHMCGHNTSVRDGPSREFLRWLSSQPSWAALGGRDHFVVTGRTTWTFRREPGGPDEWCGNSFLLQPESRNMTALTLESDILHPRDLAVPYPSYFHPSSAAEVAAWQDLARRAPRPLLMAFAGAQRAKGTLAIREKVFDLCSEAAAAARRCGMLDCGRGLEGAIACRTPEKLVGLFASARFCLQPPGDSFTRRSAIDALVAGCVPVFFHRFSTLRLQYRWHDDRRRRIRYYVLLDLDDVVSGKVRVEEALSRYTDQQVAVMREEVIRIMPRFLYKDPTAAFQGEMEDAFDIAMDGVMERMRRIKNGERLEWEDHEPDTADSTYLVIPPFVYVLFFCFWLSLFIN >Et_7A_051600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2145542:2148234:-1 gene:Et_7A_051600 transcript:Et_7A_051600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLDDMVLRICEDHFDKGNGLGSSMELTVDVFCARKEHRRTKKLALVVSDELEHDESSLAKVTRDSPKLTTEQGSWPLVIKDTLFNSVHPSKASTPNSSEPEPM >Et_2A_016689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27197983:27200316:-1 gene:Et_2A_016689 transcript:Et_2A_016689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSNAASGSQAAAGVGGEKQHTQYPYVTGTSVIALKYKDGVIMASDTGASYGSTLRYKSVERIKAVGKHSLIGASGEFSDFQEILRYLDELTLSDHMWDDGNSLGPKEIHSYLTRVMYNRRNKFDPLWNSLVLGGVKKGPKGEEKYLGMVNMIGTHFEENHIATGFGNHLAIPILRNEWREDMTFEEAVKLVEKCLLVLLYRDRASINKFQVAKITTEGATIYPPYSLKTYWGFSHFENPSQGAVGILVERKRRNHNRRGASVL >Et_4B_040006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7657928:7665439:-1 gene:Et_4B_040006 transcript:Et_4B_040006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPTKTELRLKGRRSDDDDNESEQRRLSKSLRPPNNAERNSTWDSSFKNPGKHISTQDSADTNADEEEGSRARENSRKANSARRKTKDSSADLHSKKVDTESSPGGEKSPFMSRDSKSFQKKPLDPISESSEDELAGRKMKRQIDSPDDSRGKEREDFYSKDRRNNEHVMGVSREDSQSEDGTPVKKIKKRTDGNSHIDSSSSGSEEPERHRSHTEKRKHKKPHKHNKHNDDSSESDSELDDKEAKRRRKEEKKLRKEERRRRREERHRRRAERHASKQKKKHLDSDNPPSDPEKEQSSDSDAVVRKRDAHTSREESDPKKLEIELQSNRMRTEVGELNDGDKVVF >Et_6B_049061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17364726:17370033:1 gene:Et_6B_049061 transcript:Et_6B_049061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGADLINLALPDELLDDVLRRVGAGADGTASKRDLDACALVCRRWHRLERASRRRAKLAASGASADEVVRLVAERFPALADVSVDERLTVGNTAGAGGASCARASRSRSRRSAQSSTLFRRRRRLPHVTGLAFQTSPFPLDQPAGDDGTERNCLTDAGLAHLAKGCKRLEKLSLIWCSAITSTGLVSIAENCNKLTSLDLQACYIGDQGLIAVGEGCKLLNYLNLRFVEGTTDEGLIGLVKNCGKSLVSLAIATCVWLTDASLHAVGSHCPNLEILSLESDRIQNQGVVSVAKGCRLLKTLKLQCIGAGDEALDAIGSFCFLLEILSLNNFERFTDRSLSSIAKGCKNLTDLILNDCQLLTDRSLEFVARSCKKLARVKINGCQSMDTAALEHIGRWCPGLLELSLIYCPRIQNSAFLEIGRGCSLLRSLYLVDCSRISDDALCHIAQGCKNLNELSIRRGYEIGDKALISIAENCKSLRELTLQFCEKVSDVGVIAIAESCCSLRKLNFCGCQLITDSGLTAIARGCPDLVSLDISVLRVIGDMALAEIGEGCPKLKDIALSHCPAVTNVGLSHLVRGCQQLESCQMVYCKQISCAGVATVVSSCSKLKKLLVEEWKVSERTQRRAGSILTFLCTGLYVEQNKTLYQLDQRVGAPALERKKSLFKKRQASSSSGGAGEEQGGRQPRRSGLRPRMPAVLRVPSNINERSSTFIEERRKSFGSGGKTAAPAGK >Et_1B_013229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6580355:6582081:-1 gene:Et_1B_013229 transcript:Et_1B_013229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARTPAPAPVKPACFSGLGALDAAVSLRLHALFLPVPRLLLKVLEVAGDGRIWLPLPISLLLLSATPASSGEISPLLVGLVAGLVLDLILVGLVKVVVRRPRPAYNAADMYVAVAADHWSFPSGHSSRAFLVAAFLGGAGGLQHRQALFLWAAATSASRVLLGRHYVFDVVAGACLGVFEAWLSSLFLTFLCSRSSFLSIRAELDVRTECIGTLLQESGGENKDCSRKSGAFGCKILDNTIRIFVPGGS >Et_3A_024358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:232832:236285:-1 gene:Et_3A_024358 transcript:Et_3A_024358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGERESVPIVAVFLSSLGEPELFTELWRACAGPLVELPQTDERVFYFLQGHLEQLQEPTDPALLAEQIKMFQVPNKILCKVVNVELKAETETDEMYAQITLQPDPDQVNLPTLPDPPLPELPRPVVHSFCKILTPSDTSTHGGFSVLRRHANECLPPLDMSMPTPTQELITKDLHGSEWRFKHIYRGQPRRHLLTTGWSTFVTSKKLIAGDAFVYLRSETGEQRVGVRRLVQKQSTMPASVISSQSMHLGVLASASHAIKTNSIFLVYYRPRLSQSQYIVSLNKYLESSKIGFNLGTRFKMSFEGDDVPVKKFSGTIVDKGDLAPQWQGSEWKTLKVQWDEATNFNGPERVSSWEIEPFDASAPGINIPVQQSTKNKRPRETAESQDVHALEPAQEFWLSGMPQQHEKAGTGSSDPNCISGHQVVWTSERPGHGAMGSSSVCQNTVVLESWLKDFNSSTKGVSPTLSDISQKLFQITSNDTRVAPWPGFSAYQSEEPSSKLSCNTALCSYRTEEVTPNFPNAVEEKKEPNMFRLFGVDLINHTKSTASAAKMNSGVGDTSTRAACSYEDSGQLSALSKVTKDHTQFMNESPREIQSHQSSSARTRIKVQMRGNAVGRAVDLANLYGYEKLIGELEEMFEIKYLDSKEKWKVAFTDDDGNTLEIGDGPWLEFCQRVKKIVIYPVEDGRDIEPRHEQDLKTEF >Et_1B_010756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13703702:13709974:-1 gene:Et_1B_010756 transcript:Et_1B_010756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGGADGNGHLPRPRRPRRAVVGGLGVGAPPGQASSAAQPHPFAPPCTDYDVAYFKAYSHIGVHEEMLKDHVRTSTYRNAIMHHKDLISGKVVLDVGCGTGVLSIFCAFAGAARVYAVDASDIAFQAMEIVRENELSDKVVVLHGRIEDVNIEEKVDVIVSEWMGYMLLYESMLGSVIFARDKWLKPGGLILPSHASLYMAPITNSQRYHDSIYFWRDVYGIKMSSMMPLAKQCAFMEPSVETISGENVLTWPTVVAQVDCYTIQAPELETISAAFKFTSMLNAPLHGFAFWFDVEFNGPVRQKPKKQATQSMDGSMQNATPSSKKKKQDVSIVLSTAPEDAPTHWQQTLLYLFEPIELNKDQNIEGSVTISQSQQHARFLNICLKYLHFLVDTFKAL >Et_4B_037499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20511951:20516851:1 gene:Et_4B_037499 transcript:Et_4B_037499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAASAVPRLRLAVPPPPLRQATGRSQWLLPRLRTLSSSVPNVTPAAGAGALEPPDLPRLTNAARISLSPQEAEEFAPKIQQVVDWFGQLQAVDLESIEPSLRAGTAAASSLREDKPETFSKRDAIVEAIPSYDDPYIKVPRVLNKE >Et_6A_046200.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:9908614:9908655:-1 gene:Et_6A_046200 transcript:Et_6A_046200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEPASVRREN >Et_10B_004383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5370470:5371053:1 gene:Et_10B_004383 transcript:Et_10B_004383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKHEWMGELRRRCGTSFAAARRFEWYADGAGQFPRFVELGGEELRYRRFVDRRGQHYHQLCVWTTAAACMHGSQADLGAREDDARGAGEEPLPGRRTSCGSPIESMACTDLVKCLEVLLVTTLSPRAESSSRCRGRSSRGCRRHASTPLVTRRRLSTGTPCTAARLSQPTRAAARQPPATRLPNTDKL >Et_10A_002184.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:3442571:3443152:1 gene:Et_10A_002184 transcript:Et_10A_002184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCALFPALLLLLAAGSGAETTTTKPAASALDQVCGGLGGWYVTPSLCTSALCSTSPSASDSCRAARDAPAVAALAARLAARNATAAQRSIQDTSSAAAATNATTSSSGGAEEAVRSCLRLYAGAVPALHWAAASVSAGRYRGATEVLQMAQYVAAGCEGIVAPPAALPPENGRFGDMAFVAHAVVASMARN >Et_1A_006675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2673292:2681934:-1 gene:Et_1A_006675 transcript:Et_1A_006675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPETSPSTTTNTAVDRDEPNPRTTSSSLFPLFPLAATTSAATVESQWLSNPSFSFDASSLNIPAATSSSLTPPLSPSSQEDAAPRPAPAKYELVPSSPSASDEDRGSRKKERGRRKRKREKERYDGAAASRKPGIRAWAGSDTKPVKDYYVDAKGDHDNLAFGSLYRMDVARYKHQSMLGARDLNRFRFYNWGLGSSHMDLDSDLDGLDSKVRSGGRYFSAKYAVSERNKGFKHLKVFKSDAFSMPTEDFVPLDTVSLPVKSTNVEQEIEESWEDEILRRTREFNKMSRERPHDEKVWLAFAQFQDKVASTQPQKAARLQTTERKISILENAVDLNPDNEDLLFCLLKSYGERDSTESLLGKWEQILTKHPDSCKLWKEYLLLCQGEFSRFKVPEVRKSYVYAVQALSAACTKLCRQDNQNADPKAPYPSLAQLELGLVDIFVNLCRFEWQTGHRELATGLFQAQIEFSLFSPPLYLTTSSKQRLFEHFWNSDGARVGEDGALGWSAWLAKDEESRQNMAMQESSQEPEVGGWSGWFDPSLRSSDTNDSSNKTLELSSTDGNDEEDLDAEDTSAQDDVESLLKKLGIDVSAESSSEVKDGKTWNRWSLMELSRDNEQWMPLREKSESLHSGDAPSGEEDDHLSRVILFEDVTEFLFSLSSEEARFSLICQFIDFYGGKISRWTSTNSSSWLDRILSLEMITNDILEDLSTVSDLLKKDQDSSRYKLESLLGSMHDLSQRPGLVKFLRNAILLFLDVFPRNHILEEALLITTQMYTAQENSVPTPSNASRALAKNLLKKDRQDFLLCGIYARTEAMHGNIEQARKIFDMALLSTEAATEDLRRKVPILYFWYAEMEIAVSTSRNNSDSLHRAIYILYCLGSNVNFVPFVNPISRPQVLRARQGFKEQIRSLRSAFDCGDIKEETVALICSASLFESMTSGYSSGLEVIEEIFPIAFSENSHSLEFEGLWVYYIKLLQKNLTQLSLSRVWPSISQGMQKYPYNPKSYSAMLILNCLYSVSNNLRLTLDKCSQRDPSVIALLFALSFEWDKVGSDNRIHSLFERALADDKLQKSVLLWRCYLAYEAEIACNASAARRVFFRAIHACPWSKRLWLDGFQKLSSILTLKELSDLQEVMREKELNIRTDIYEILLQDETDT >Et_4A_034920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7875109:7882223:-1 gene:Et_4A_034920 transcript:Et_4A_034920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGASAGRGGRRRVVGEYELLRPIGSGAYSQVWLGRHLVRDTEVAVKEIAMERLSNKLRESLLSEVDILRRIRHPNIIALHDSMKDHGKIYLILEYCRGGDLHAYLQRRKRVPETVAKHFIRQLASGLQMLRENNVVHRDLKPQNILLVENNENSLLKIADFGFAKFLQPSTLAETLCGSPLYMAPEVMQAQKYDAKADLWSVGVILYQLVTGYPPFNGDNQIQLLRNILSSREIRFPSDFELSHGCIDLCRKLLQLNSVERLTVEEFVNHPFLSEHALERPVSWTPADIRDGFPFINSSPTRPSSQSSQEDCMPFPLDDESSGQDENPIPESKSPMKSHGFAMGKRLDKTLGQSPSKHPSLFSRFMGNNYAPGSQRTDHPGKKTRESKIEEAQGHKAGYQEDSPIIDSLEFVDQEYVFVSGPHPEGSSSSTNSSRQHNLPSKYDNSSVSPPKITLLSSPMPINGLPINRQQSAGTGSLDSHCSPVSGTSQGSTDMSDALDQPSSDYLTRIRLLEQYASAISQLVKEELVVLAIWKQAIHLFNAYLASVVGESHSQDISMKGFSADASHLHASSQLADDGVQIERHFLAEVEYAEELAGIVGQTADATEMPDAIEIVFQSALQLGRRGGVDEMMGKAVVAISLYMRAVSMLRFLLIEAPSLAFNPPLTLTRLDRHRLRTYIEALNTRLGQLQCQRH >Et_1B_012988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4605622:4609348:1 gene:Et_1B_012988 transcript:Et_1B_012988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSSRVGDANSPETGAGRGEGDGSTTKKVEDANSYYVLLGYPDAASYVKAKGEELRAKYMCKVHPKLQPVLAKDRVTEFQQLCCGWSHLMGRRGFLLPEVLVSTISKNALRCARAAPWGDRLHGRRADPNGRHPYGFTPLHLVAETFSLDMLKLLLRHGASANLRTEGDNVIEGLLPLHVAVENASMHKYIEDHCEDGRPLDNLISLLCLPEMKMYLDTVRLIAKHTDNIVDEVWNYINDDGKLVQLAILLLAAQKQLRDPESRGRTSLNGFDILDVSPVNLSIEAMIIQGKKGSALKKLKNKKEALITAETLVEIVYNAGEALERYIQTNSEVPLEEIIEHVSSILNTNGIVPSGKAIDPGSLKGFVPPKGLALRAVRNKFFPYWKSVLSTRLRVNMIPPCEVSMKDQKAAQGTKPSRKGIQIANNSRGYVTSMSQPHLAGRYEYRRQLCTALRSLKLLWRT >Et_5A_040493.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:25682607:25682924:1 gene:Et_5A_040493 transcript:Et_5A_040493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSKDCTVTSVDDLWNANPPDRLPREVLMFPQVDMDRPHVVHFLVNDYTYVMKKMWVVSIDMNTKTVESSYQYVNGLEDRGTEDADLTQEKSGCPMSFLPCDFS >Et_8B_059932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4108240:4114671:-1 gene:Et_8B_059932 transcript:Et_8B_059932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVAAAEFGELMDDIHSADVLQKRTFLRRTEMVSRSPRPAQCCSLLSWSFPNSNRIARLSFIFSPCCCRRSIPLPSRRSAPAPAAALTASRSRALRPIFLTSPELPNTNGLRRFAPTRESVRGDGAIDALLSAAELLCLAPPAIYSVVCAVRLFFTPGSASAGAPLMGGRMLVVQYVLLVGAVAIGSLIRRRQRERLRGAGGATEKVGAGLAGRMEKMEESVKSVAAAVGVLSRTVEKFGVRFRVLRRTLRDPISETATLAQKNSEATRILAAQENLLEKEIGAIQKVLYAMQKSKFLLLDPLCLLVPVRIPVQIEQQQKQLELILAIGEASKILDDEQDMLDDTTRSSSTTPASETENKQVKKNQAMRTGNNKA >Et_3B_027758.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:17699902:17700222:-1 gene:Et_3B_027758 transcript:Et_3B_027758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGSGTANSSRSCHCGFRSRGTVRVRFRCPSKSTTAYALDVPSPSLGTRSRASTTSTASRRTIGGGGPGGGPPPPPPPSAMDGRNRGRRKQRRLVAESPKAGRRR >Et_10B_002621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18491255:18491794:-1 gene:Et_10B_002621 transcript:Et_10B_002621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATETPFHVLAVDDSVLDRKLIERLLKTSSFQVTTVDSGSKALEFLGLLPDEDSPVSVQADQLILLCNQEVAVNLIITDYCMPGMTGYDLLKKIKESSSLRDIPVVIMSSENIPSRINR >Et_3B_029018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21475305:21477610:1 gene:Et_3B_029018 transcript:Et_3B_029018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRFLRRGHSFDRLLSRTRRAVSPSPSFSSSPSSPSSSRDGGSCSFRSSMSEDEDAAAATAPLPPLQKRVLSRSHGSRAIPGRPQDLPPAPSKTVRDSGPPSDMELMMEKFAKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEQRRLEPMSAETRARWNKEIDWLLSVTDHIVEFAPSQQVSEDGTNMEVMGTRQRRDLLLNIPALQKLDAMLLEYLDNFSETQEFWYVSKDANKSEESETARQGHKWWMPTVKVPPGGLSDASQKWLQHQKDLVGQVLKAVMAINADVITEMEIPEECIESLPKNGRSILGDSIYKIITDDLFDPNELVSSVDLSTEHKVADLKDRIEASVVIWQRKICNKLSWGPGVSLEKREQFEERAQTVLLILKHRFPGLLQSSLDISKIQHNKDVGYAILESYSRTLESLAFAVMSRIEDVLHADAIARDSMRTKSRRRPSLVDFPESLVLDAEAEQAERVHNNSVHWQEQDLEDGNTNSKGADGGGSKLKTVPRVPTKKIWHIQKLENVGGGLRSFTLR >Et_3B_030433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3786175:3790000:1 gene:Et_3B_030433 transcript:Et_3B_030433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPIWVRQAEEAKLKSEAETAAAAKAAFDATFKALTAASGDGEQEPDPSPPSPAEAASPDSDDDTPAPPGPVDPSKCSAAGPGIAGGSAGSPATFTVVAKDRHSRRITAGGARVRVRVSPAAGVGGDDLEGAVKDNGDGSYSVTYAVPKRGNYMVHIELDGSPVMGSPFPVFFSASTAATSVPFTTGIPAVSSAYPNMVNQTMPNMPNYTGALSGAFPSLLGLMPGSSTGSSGGIVLPGVGASLGEICREHINGRCTKADNECKFTHPPQQLLMSVLAATSSVGALGHAPMAPSAAAMAAAQAIMAAQAMQAHAAQMQASSKATGEGSGSTDKADALKKMIQISNLNPLLTVDQLKQLFGFCGKVVDCIITDSKHMAYVEYSKPEEATAALTLNNLDVGGRPLNVEMAKSLPPKTNLANGNLPMMMQQAVQLQQLQFQQALMMQQSMAAQQAAARAATMKSATEAAAARAAEISRKLKAEGFGGDIVEDKDAKGKSRSPSPSTQRSKSRSRSPIKYRRSRRSRSYSPPIRHSREHRSRSPSRSRHSKYSSDRSYRDDRDKYSRSGRRESDRSRDHHSSSSRRNRSRSKSPRHKKPSRTDSRSPKQPREESLSPSKSRRSTRAGSRSPRRHKGSKSSPTRDHSLRRSRHSRSRSPEKKHRHSDKKDSRKSEIIDDKRRSHRGSRGEDDERSVKERSHRSSRGNKDERSVKDPVEDKKVDTSAVARKRSSKISEDEILNDSSSNDHKKSRHEDSSEYEDTKDAAADLNGQHGPGDMKIFGELECCSAEL >Et_8A_056834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17682757:17693650:1 gene:Et_8A_056834 transcript:Et_8A_056834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRPRAKTGLTSIPEASRATHVFKVAGYRLQKGLDQGAFVRSATFFVGGFDWCIRYYPHGHNSKVGRDQVSVYLELQTKDTVAAQPGDRHELFRLLQDRSGTIQDDCLVIECDVTVPKGSRLEDNAIVVSELEVKVPSPNLSDDLGKLLASGDGADVTFAVKGEIFRAHKIVLAMRSPVFKAELYGPVGDNGMSVITIQDMEPAAFKALLNFVYTDSLPAMDDLDRDEYEEMVKHLLEAADRYGMERMRLTCENHLSKRLDVDSVATILAIADRHHCSKLKDSCIAFINRLDKIDEVVASKGYDLLKRSCPSIFVDIWEKASKSCKIQFSPIGRATHVFKVAGSRLQKGLDQGAFVRSATFSVGGFDWCIRYYPHGNNPKGGRDQVSVYLELQSKDAVAMAMFDLRLLNQVTGTSWSVYSKTDAVQFRCPGSEVYGPSRFWKKSELGSPYLLDDCIVIVCDVTVPKGSRLEDNAVVVSEIEVKVPPPALSDDLGKLLASGDGADVTFAVKGEIFRCHKIVLAMCSPVFKAELYGPVGDNGTNNIITIQDMEPAAFKALLNFVYTDSLPAMDGLDRDEYEEMIKHLLEAADRYGMERMRLTCENLLSKRLDADTVATILAIADRHHCSRLKDSCIAFINRLDKIDEVVESKGYDLLK >Et_7A_050632.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:929582:929638:-1 gene:Et_7A_050632 transcript:Et_7A_050632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVYTGNKHGPVHVWSN >Et_6A_048138.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7397506:7398480:1 gene:Et_6A_048138 transcript:Et_6A_048138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAMHARKAKLKTQLVSAKARLNQHVTPRRVVLLAATACSSFLILLTLRTLNAAAAAHGAGAASSTASTPPSVAVHDSQQQHRECGKVPAAVADALVHYATSNATPRLTAAEAGAAARVLARRAPCNLLVFGLDAGGALWAALNHGGRTLFLDADAEAIAAVRAARPAGLDLDAHTIAYQEERAASLAADADELLALRDSPDCTGATTKHALSPDHLERSPCKLAPRALPPAFYEAEWDVIMVNAPPAASAIYAAGVAARVRRRPGAGETTTDVLVHGVDAPGVERFTRAFLCEGYIKEEAGRTRHFAVPSHSRDKEAVPFCP >Et_8A_056986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19940794:19942835:1 gene:Et_8A_056986 transcript:Et_8A_056986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYEAAAAAALRGQSQPRGVAEKRRQPEPVRKEKAETVRCGVLRILRRVVPVSDPARRKSVITKLCISLFLSSSYSVGIGNLVDEAILRGIVKNIELTSGVERLPADLTDEEMVKHADRVHNFFGNYPNISYCLTSLSLYDATFTESDLHDLLANMCTQLQYLCLYHCDTGFDSLFKIDAPNSNLGVLEFAHCSFDRVELFCLPKLNQVICGLWLSQYLPLTLGYVPCLKEQLIIMSLSMSFYVATCVESVTLDFLGQKVWLQPEKDQLRSAFSCLRKLCIYGVFVGFGLMWTTTLLKAASSLEIFEVGVYEHRCDDEKEKKEIYGERTNAQWEVEVPGTAHYEHLSLKELKLCGFNATEEHMVFIQAVMERASNLQAVVLKERYCKDCSAISTSPGKCGFPKNEDEQEGVLNNLRNRSSSRAQIILMRARKRFYITKIGNYN >Et_8B_059679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20632729:20636119:1 gene:Et_8B_059679 transcript:Et_8B_059679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLDCKRCLCAGVAVATTEDSPCGHAWFHAKKGHWVALWFHQPCEAYCFSCDISLYLDQSDGENEMVVESAVDNESGAADASWPDTDGLLYVIRGIPNRGNTCYMNSLVQCLLALDKLRMWMLGPNAPMGSLGVALKELFVETTPGHNARAKLYPGDLLESLGALNARYAGNKMQDSQELLLDLRKGLIEEEKLQLPPKMRDRVPTVVDSIFQGQLSSTLTCKCLYVSPSHDPFSELSLTLPSKGETTKSVYSPQRSSAIEETNLRKAQIDAEGGDFHIHGLELESVAMDKTSELLKTDSTKENAEDTGQYLRASPVRKKDASASGSDGQSDNARVGDLLSQQGILVEAKESTYTEQVTTEDKGKALSRNFVYDDEDCSSLASIKHCLVLYFKDEKIEWSCENCSKVPVESGGSGPVKDLASSSTLKEESDHDVKAEKRQKKQIDLNSADQVRENQTEQKEADKTLVISKLPPVLTLHLKRFHRENNEQVKVTGHVSFEENLDVGQFMDLRSEDKDNSRYCLAGVIEHVGDSLNSGHYVAYVRARTIGSQQQTSSGSSLWFRAGDEHIKSVSLEGVLKCEAFLLFYERMEG >Et_8B_059323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16705788:16709524:1 gene:Et_8B_059323 transcript:Et_8B_059323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVEMTATIYNPLFALSMELRMKDSSSVPGWSRLLPGLLASLLQAMVIRPLIVVMREWAGLVIVQLQEICPFIRLSVLHLNPRWLEVVSRVMSDAGQVLLSEQTALWVLYILAPRLFDVSFKLKVEIGMLRAMLHLLVNTVISLTRRSLDLRDQDRRAQLILLLGSMDGDKVWQRGGRANLALGVPVKHDLHLDTKNTLHGKYVHVSDYLQHTGRTAIR >Et_6B_048553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10736074:10743209:1 gene:Et_6B_048553 transcript:Et_6B_048553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLSQVNQTQRFLPEDGQNAGYWKAPMIFPQTFDSHAAVVWRQVQSQVNQTQHFLPEDGHNAGHWKHQLPMARYSYCYSGRTGAPIKHTGYLRGGLHDRADDFNTPMCCKRLIPSYIVEAHCCVEESRFSHYRKNHSRAIIAQISDKL >Et_4A_031900.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27962631:27962927:-1 gene:Et_4A_031900 transcript:Et_4A_031900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSRVILETDSLMMKQALKSKDYDLSAVGSLILELKNLIHLEFQSCDVAYCPRSCNKVAHELAAYGKTLEPGSDFVEEGAPAFVQVWVDGDLPSTTG >Et_7B_055648.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:19619198:19620643:1 gene:Et_7B_055648 transcript:Et_7B_055648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METFVQLLLTLTIAGILALFFALLRGRTPTRNPTVPIVEVTDAAFARHILIDRADAFSNRSIAPFPVDFSGGRRQTYAINTAPYGPLWRALRCNLTATALHPSRHGILAPLRCEAVDALVAGLSARSGDDELVLRDSLHNAVFALVARLCFGEGVDARAVSSMERELVDFFYNFNEFLALAGSRTRRLMHWKRWLRFAGSRDRLSNLFLPQIVARRQRSSSSNNGGMARPYVDTLIDLHIPDFDGQHDGHTLTDEDIVTLVWEFLGPGTESTVSCVEWALAHLIIKPEIQKKLYHEVTGDQWNGVISDERLRGLPYLHAIVLETLRLHPPVPIIERDVVASEAAKVADGMSQPVPASDSRYVRFSINPSEMGRDPKAWKDPDEFRPERFLAGGDAEGVSPLPGPNEIRMMPFGAGRRGCPGAGLGIMHIKMFLATLVREFVWARPTDGGKIDLTEYDEFFKVMKTPLRARITPRSPLVSTK >Et_4B_038275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27812801:27814101:1 gene:Et_4B_038275 transcript:Et_4B_038275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIPNLPRSRIAVVTGGNKGIGLEVCRQLAQNGITVILTARDDKRGAAAVKKLRDLGLCHVIFHQLEVTDTLSVAQLANFLKTRFGKLDILVNNAAVSGLEYAQDHVGVSEEKLSDMDMNQRIDLVLRCCRETCDAGKECLRTNYYGTKQIIEALLPLLQSSDDGRIVNVSSEYGQLRLKQELNDVENLTEERLDEVLATFEKDMEEAGALVAAPRGWPTGLSAYKVSKAALNAFSRVLARRHPDLRVNCVHPGYVSSDMNLRSGFLTPEEGGSRVVAVALLPAGGPTGALFVERQQAPFV >Et_9B_063972.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:7791162:7791389:-1 gene:Et_9B_063972 transcript:Et_9B_063972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWSRLLTGIVLLYLVGHVEGAERRTFDGIHRTSFQVALQAEELDCYLIATQKEQSQSELQVETNAFQQVPAA >Et_2A_018304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22076204:22078703:1 gene:Et_2A_018304 transcript:Et_2A_018304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSWRSLLCCTAGGGVVEDDDSTPRRRRITRRGKDSPRSSSRMSFTSLSSSGNLSPEDLSLTLSGSNLHAFTYAELRAATANFSRANYLGCGGFGPVYKGAVEEGLRPGLRAQTVAIKYLDLEGGTQGHKEWLVSAENRVFLAMHMLDRILGGFDRAEVFFLGQLRHKNLVKLIGYCYEAEHRMLVYEFMSFGSLENHLFKSINGALPWMTRMKIAVGAAKGLAFLHDSDPPVIYRDFKASNILLDSDYNTKLSDFGLAKDGPQGDETHVTTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLELLAGRQSVDRARRPREQNLVDWARPYLKRPDRLYRVMDPALECQYSCKGAEVAAIVAYKCLSQNPKSRPTMREVVKALEPVLDMDDFFPVGPFVFTISVEEDKVVDMKVEVEEKHRPHHQSHQERHREKYPNSSIHAGIVLHSRDGVVGGYSAALRQHRRASSYNQERGA >Et_6A_047914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23965871:23969309:-1 gene:Et_6A_047914 transcript:Et_6A_047914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCLCLPAWCSAQIALRWPQGAFVGAWVFVYVRLPETNSRTMEGMEMLFANSLGKERIHVLQALSSSLWHPTTTTPA >Et_1A_008522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8356561:8360646:-1 gene:Et_1A_008522 transcript:Et_1A_008522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFNKFQEAVRTLAKNPMFARDPRHLQFEADVNRLFLYTSYYRLGENAEEKDAEEIIDLASKASVGEQQKQVQENVHYQLTHMCQAMDIILRPDATNDPSKDPSDGHHHSRRSGLSFAVGGAGSGNKRGTLIDACNKYLITRYDGTIIDAKPWCFGGETRELWDGSDLVDYNAMPPKGLESNSDRVWRMLSRPLEKTVRENFGEVLERRNPLAFGHFANHPPQGSAPNVMICPYDFPLTEKNMRAYIPNITFGGEEPITMKRFGSFYFKSGGSGNQVADSQVLKTLVLVSTRSVCDEELFLNYLTQSGDQSGIPLLMKKRIRGDGANLGVNLNGKSSLYSEDADNDQV >Et_7B_054998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6219466:6225193:-1 gene:Et_7B_054998 transcript:Et_7B_054998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREEGKAAPARSPPNLGAILANLGRGCHWCAVTEFLGQVPLLQRLPGSSIRRIAEAVQVKRYEPGDYVAREGEPVDGLCIIVDGQAEVSAPANAEEANNPDYVLNKYDYFGYGRNSSVHQVNVVALSKLTCFMLPNQYGYLLQPKTIWNAEDTPETHSLLEQILHLEPLEVDLFRGFTLPEAPTFRQALAAASKTVDCLKMVHMPIIYQVHRERDGSSFATRKVEAKQKGQVVFTLIASFQKEEVGFDHQAASIPDLLNLEEIRERHLTDPRFPTQYRNSAAKKKFVPWPIEMRFCEDSASQHKPRSNYWFRARGKLSDDQALHRCVVAYASDLVFSGVSLNPHRKKGLKTYSLSLDHSIWFHKPVKADDWLLYVIESPSAYGGRGFVTGRMFNRQGELVMSLTQEALIRKEKTRGPNPRPKL >Et_7B_054938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5836869:5843189:1 gene:Et_7B_054938 transcript:Et_7B_054938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRGLAPVALEKLLEKLQEVPDKVLHSELRELNIMGADVAGFLNRVAVVVGNTRAVVDIIRAREYQGRGGQRPRGGGVPQPYYGGHRGGGVGRNVPPGPPRTVPELHQAPYVQYQAPVVSPSPSGPGSSSQSAAEVRSGQVQQQFQQLAIRGQTSTSQEVQVAPASSKSVRFPLRPGKGTYGDRCIVKANHFFAELPDKDLHQYDVTITPEVTSRGVNRAVMGELVTLYRQSHLAGRLPAYDGRKSLYTAGPLPFTSGTFEVTLQDEEDSLGGSQGGQRRERVFRVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTARYSPVGRSFYSPNLGRRQQLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPIDDRGTVKTVVQYFLETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILQTVHHNAYYEDPYAQEFGIRIDERLAAVEARVLPPPRLKYHDSGREKDVLPRIGQWNMMNKKMVNGGRVSSWACINFSRNVQDSAARGFCHELAIMCQISGMDFALEPVLPPVTARPEHVERALKARYQDAMNVLRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPQRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLFELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRAVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMASAATGRGPPQGARRSAGNVAVRPLPALKENVKRVMIIIVYGGKLTLINGA >Et_10B_002626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18923982:18926087:1 gene:Et_10B_002626 transcript:Et_10B_002626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GTSPDRISVGSAPKKSSSSSRGRQRNFSSSTCKDFLREFVDNELLTSSLEDWFSGHSEDCGFRKPAFDVPFDLTELQNFDYALEGVTFQQLVRMPNALHASTSEVFEATAYLALEDFLHAGIKGLWETFWGEALFFALRVLLSRSLSRSSTVLRNSDCVYLLLVDSQFGGVVKVQGDLNKLDFNLNNVYNCAADWIKNHAKITVSSVDRVWNKLGNANWGDVGTLQVLLAIFHSMIQFCGEPKYSLDELATEHSSRLQSRRSERHLDRQANGNGLFRFQQRSHSPEIVEVQEEATVDVKPQETLKLEIGSVVLMEDAYCQKGFQINDILADSDPPIYNCTPVEEPTKTYLLYVGSSPSHLEPAWEDMNSWYQVQRQTKVLNLMKQRGISSRYIPQMVASGRVAHPGPCNKPSSSGSCGHPWCSTPILVTSPVGETISNLIRNGLFGVEEALRCCHDCLSALSAAASAGIRHGDIRPENLIRVSNGSRHPYFILNGWGHAILEDRDRPVMNLFFSSTFALQEGKLCAASDAESLIYLLYFSCGGVCPELDSVESALEWRETSWSRRVIQQKLGDVSAVLKAFADYVDSLCGTPYPMDYEIWLRRLRRTINEDHGKEIDTSS >Et_4B_036557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29522443:29523158:-1 gene:Et_4B_036557 transcript:Et_4B_036557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RWSPPSTARARPRPRPRCRRGKPPPPPARPQPPEERSTPRRRTPSAAAAPASASAWVPAAPSGADGYEDEEERYYDDEDHSDSAAAGAARARVSGSRDASGEESDGVADWGLPNGRLPSAMGYSTIRTWLDGLGLSRYAPVFEIHEVDDEVLPLLTLEDLKDMGIGAVGSRRKMYASIQKLRSNNNIS >Et_5A_042641.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:23565389:23566972:-1 gene:Et_5A_042641 transcript:Et_5A_042641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVILSAIMSDLAGRSVSFLVDRILNHRSEQLSGDDEEEETLLDRLRRLLLRVHVVVEEAEARRVTNRAMLRQLSLLREEMYRGCHALDGAVAGRRRNGRGGGDAEVSRRRNSLVAAASGFNPAKRVCLVRSRRPPLQLQQVLGSLQSVFADVKDEFVTLLAGCPPRLGRRHQPYSAYMFIDRCMFGRQMEVERVVAFLLQEEEDDGHGGATIRPGVLPISGPKRAGKSTLVEHACNDERVRGHFSQIVSLSRGNLGDENSAATTTTITSCGGGERVLLIVELDGDRNTKGLDWAVTEDLLRRFFSTCKSRTPRVSKIIVTSRSDKVASFGTTEPLRLRPLPEEAFWYFFKARAFGSADPSEHPKMVSVAMDIAEEMGGSFAAANIFGGLLRSNFNARFWSLALAVLRGFKQTKLVVVYDRAQQTASRGTEEATKPACTSSTIEEAAEQFVFLDDYQIGPLAAGGVPKVSLRDVLFGGARPRGKFDVLAWKSPVPPHYSYAYSCEIRSSVYGRQEKQISIMHKKTGS >Et_3B_028139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10761221:10764180:-1 gene:Et_3B_028139 transcript:Et_3B_028139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQDPGCHQELPDQLAVAAICRAWRAAYNFDSRLDAAPLFRAPCLVFHAGDDPTNQTTTLHSLTDGGGSRRVHCRVSLPDPPFPTRYVMGSSHGWLATADERSDLLLVNPVTRAQVKLPPIGTLRNVTCRVRRVVLRSYRVHHIDLGGSIRASSPCPEDALRKPKARRGTLTVLLEGGLVLRPNQWKLHRHMVIHEHNYIISFARVGDMRWSLLHTESSCKNYQDFFYNGEDELFYAIRTNYEVHTIDLHGLFPAVKVIFKANTTVSPVEDYKYIVRAPWTDILQVCLYFVHVDEDDYAKLSKVVVYKMDFTDQNIVEAQIMERGEHRRRRDWSLLPPDLTALMLAAAAVCRAAYKADPRLDAAPLFRAPCLVFHAGDDPASLADGRRRRVHYRLSLPDPPFPRRYVMGSSRGWLATADEKSDLLLVNPVTRAQVRLPPISTLTNVKRRVRQGLLQSYHVYNINLCGSARRRHLPQNLFRSSKPDEGRIRFYEKLSGHQTQLVETAP >Et_7A_050798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11091372:11095051:1 gene:Et_7A_050798 transcript:Et_7A_050798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTRLLACRCSLPPLPPRYKFQRCGVRRGLLRFAMPVAAAASQSLSSAATADDTPPPVRQTMARLEQVSLTVAGRELLSRAAPNVTLRPAGDATGAAFLGARAAAPSSRHVFSVGTLASGWRWLSLFRFKIWWMVPATGAGAAAVPAETQMLLLESRHEAAGSSTEEGSAVYALMLPILDGGFRASLQGSPEDELLFCFESGDPEVQTIEAVDAVFINSGDNPFKLLKESIKMLSKMKGTFSHIEDKEIPENLDWFGWCTWDAFYKDVNPTGIEEGLQRFAQRLADLKENYKFKGEAYENIGDLVRKIKEKHGVKYVYLWHALLGYWGGVLATSDAMKKYNPKLLYPVQSPGNVANLRDIAMDSLEKFGVGVIDPAKIYDFYNDQHSYLSSMGVDGVKVDVQNVLETLGQGFGGRVAITQKYQHALEESIAQNFKGNNLICCMSHNSDSIFSALKSAVARASEDFMPREPAFQTLHIASVAFNSLLLGEVFVPDWDMFHSKHESAEFHGAARAVSGGGVYVSDKPGVHDFSVLKKLVLPDGSILRAKHAGRPTRDCLFNDPVMDGKSLLKIWNLNNFSGIIGVFNCQGAGQWVWPVKDTACVPTTINITGHLSPSDVESLEEISDDNWNGETAVYAFNSCSLSRLQMHENLEVSLSTMSCEIYTISPIKVFGGAVQFAPLGLINMFNSGGALDDVTSTADSSGISIRIKCRGPGRFGAFSAIRPELCRVDGHEVQFSHTEDGLLAFDLPHSSSHVNLSNIEILYRASGTH >Et_10A_002087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2197059:2201257:-1 gene:Et_10A_002087 transcript:Et_10A_002087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNRVILELDNQTLANSLKATEKDRSSIGKIDGKGELLVYFAMKHEHEVPLLCTSILRSRQTTSPAEQPLPNDVDQIHIPEPKS >Et_9B_064533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16225873:16227348:-1 gene:Et_9B_064533 transcript:Et_9B_064533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEWSDSGEEFSLPDEFLDDDFFSEEEKAAVAARSESDEEDSLASLSRRLAGILGDNGDRKCSDKEEVTVGSPQSTLCGLPKSGQETPNDGASKGNSPPSSPQEPRPADPWDLLYEAAGQVARMRAGNSIPVQSNTTYGFTGHGAGGFAAPARKPSPPPPVAPPTAAKAPAGGYYHPFAHIVTQRQMQAAQFHLLKQQQLLKLQRERQLAAWSAHQGAGAKGAGCGGNAPLGLNPAAWPPLQKPQQQQHAPPPPAAGMRAVFLTPPGAKRERNGTGVFLPRPAGAPAEPKKKSGCSTVLVPARVVQALNLNLDDLGAQPCFPGGFVLDHDALISRSNAMLANQKRRAAAVGSAPALCQSS >Et_10B_002604.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17937116:17937187:1 gene:Et_10B_002604 transcript:Et_10B_002604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQPCALPVVRTATRDGGSSVR >Et_1A_005341.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:594355:594504:-1 gene:Et_1A_005341 transcript:Et_1A_005341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQSACSSGCFAAAVLQQLQCFSYFFCSRYSVSAAATVFAVIFLQPQL >Et_6A_047795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16759568:16763323:1 gene:Et_6A_047795 transcript:Et_6A_047795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKLGNLMSLLSTEGFFRVDNDSIDWDNKSFSESIDKFNEVVFYGKSVDRCLLIRGIMNPSVFVVFMRDGCLFDHVADPTYRDSG >Et_4A_033684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25815568:25816079:-1 gene:Et_4A_033684 transcript:Et_4A_033684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMHDDEEDIHEFSYDVDDPCIDAGVISPDSDQCKEAITHHAILNDHAIRSIKKDHERFSVVCMKADKGCKWQFVKRNGSKHTCGSLNKCGDTMAVPLLTEKPTMGPMDLKKDQIKVHG >Et_1A_007054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30454073:30456046:-1 gene:Et_1A_007054 transcript:Et_1A_007054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDETTTTTAKKTGGVIAREPRPAAPSSSSHASKRRRAEAMADRFFPNDFPDFVAEVPDGEGGVVEETWAKAGRKVTDYTLYTGALGTAMLLFKSFQVTSNRGDLALAADIVRECNEASRGLPFLTFICGRAGVCALGAVIAKHCDDQMMLTQYLSYFDEITVTDKVPNEMLYGRAGYLWACLFLNKHISEKTIPIEHINSVAKDIIKAGRKLSSKGSSPLMYEWHGKKYWGAAHGLAGIMHVLMHTELRPDEQDDVKNTLQYMIKNRFPSGNYPSSEGNDSDRLVHWCHGAPGVALTLAKAYEVFHDDHFKQSAVEAAEVVWNRGLLKRVGICHGVSGNAYVFLSLYKLTGNVEYLYRAKAFASFLLEKADQLIAEGTMHGGDRPFSLFEGKAGMAYLLLDMVNPSESRFPAYEL >Et_10A_000842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17991277:17994032:1 gene:Et_10A_000842 transcript:Et_10A_000842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITLRRLHAAAVAIMLSRLVPASAQQRTPAPAPSFALPGCLDRCGNITVPYPFGIGAGCYRDDGHHSFELLCDNSSSPPRLSVTLFDDYSLAKYGKMKFNNYQLTSISLDAGEAWTNLQATRMCYDKGTGQYVNGSRTTMSMSLVNSSYLLSANKNRLVALGCGNLGYFTNYMGRYASGCMSSCWQSHSTDQMQCTGEGCCQIEIPPGINVFVPHQQHNFSWTKWEKPEPAISDFGVPVCYYVVLVEAEWFRDHSHQYINSTGDFTMPVVLDWAVRNVGNCSEARGNKADYACRSDNSRCVESTNGPGYRCICSNGHVQTEDIDECNNSEYPPCYGVCTNTPGSYICECPWGTKGNASVPNSCQSKDTFTLALKVVTGVSVAVFLSVFMCFWLYLGLQKRKLIKAKQRFFEENGGVLLQQQMRSYAGAGAGGFKLFSEEKLKKATDNFAAARVLGRGGYGIVYRGVLEDKSTVVAIKKSKVMEAAQTKEFAKEMFILSQINHRNIVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHSNKSPDAEITFDTRLRIAAESAEALAYMHSSASPPIIHGDVKTANILLDNKLTAKVSDFGASKLAPTDEVEIATLVQGTCGYMDPEYLMTWQLTDKSDVYSFGVVLLELLTRKKALYIDGPEESRSLVACFTMAAKDGRHGELLDSQVRNEMRVEVLDEVAHLILQCVSMTGDERPTMKAVAERLEMLRRYQQHPWGQADDEDLERQGLLGTEQQDNISYCYQSN >Et_5B_043258.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20524660:20524851:1 gene:Et_5B_043258 transcript:Et_5B_043258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFHQDTRTEGWGYVIRDHEGDVIRAARGRLSFLMIPFHAELLACFEAVKAARDLGMSVDSS >Et_7B_056039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9914712:9917376:-1 gene:Et_7B_056039 transcript:Et_7B_056039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSSESLLIVGFSLSQTQRIKLLPLLRSPNPSPGPISDLPDPAAMDPAPQTHPILSYVLSRIPTLSKLKPSAGAGGDFDIEQPPVHTPSPRTPSTAGEFELVERMPGLRHPSVLRAMTRAVADVSAARAALQVLGPRPDHELVDSSRAIVAAAEAGDAGIPEADLEGCRAVVRLEETHDAYEALLQDAEGRLEKVYRSAMEGTDLDDEAEGEGKGDAPEAGGAEGGDAAVHEEVVALLKQAEEGKPVESVRLVDRQLRQLPEAFGRIQGLRVLDVSRNQLEIVADAIGGLDHLEELRLSANALVALPDSIGLLTNLKILNVANNRLRALPDSIAKCRSLVELDASYNGLTYLPTDIGYELVNLQKLWVHMNKLRSLPSSVCEMTSLYLLDAHFNELCGLPSAFGKLSNLEILNLSSNFSDLKELPASFGDLLNLRELDLSNNQIHALPDSFGRLDKLEKLNLEQNPLGVPPADVVNKSVDAVKEYMSKRWLDILLEEEQKRIAAETPQASSTPKAWLERSVSWVSGVSGSLVGYLSGNEKSEKDAYLNQQL >Et_3A_023471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29581337:29582710:-1 gene:Et_3A_023471 transcript:Et_3A_023471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLSLHRSLSDQEVSRLRSDAMRSQGVAYLNSTDQAFLLRLACAELVASLDAAAASVARLGLRCGIDFAGVYACLKDGAADARLDPLLAKGLKVKAKKMERLVAATSKLCSEMEALDELESEERKLSVRGWSRLSGPMPSKQQPPDGVDAPGAESLRQELKTQRLKVRRLKEESLWSQSYEKAVGLMARATCAVFVRICALFGAFVPGLPPPLQAAATTDSVQARLSKLLLLHPRSAANKARASSGPITRPSTRVHPPVSSNSCPIIGLRPSSGQKSRTTDWRKLLDAPPSTVGGAGLDQQYANVIMSAEDLLRMEAEGRQEEAAAERAEMYEMLPAKLRAAVRSKLREWWRDPGPLDAGLAEGWKEAVDRIMAWLGPMARDTAQWQAERNMDRTRRFDGAPRVYALQTLRWADKEKAEAAIVEVLVALSCICWYEERRRGSVRH >Et_6A_046906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22078628:22081077:-1 gene:Et_6A_046906 transcript:Et_6A_046906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVVGVLPSIITKLGELLVVEYSLQKEVKGGIIFLQAELRSIQGALEKISNTPADKLDKQDMIWARDVPELSYDIEDKVDTFVVHCKGSKLGKQHGFKKIIDRSLDLLMQPKIRHKIAIDIRDIKIRVKEVSERRDRYKVNNSDVPKPAATELVGIDEARDELIRILIEEDEVSLLQGNTISIVGFGGLGKTTLANAVYEKISAQFECRTFVSVSQTPDMTKLLKNILYDLGRKISDNTLDERRLINELRQFLHEKRIIAITRNVKVTEHAGGAYNMKPLSPHNSRILLNRRVYGNNDTEKCPDEELVEVSDKVIKKCAGVPLAIITIASLLATKGRNKMDWYEVYISIGTGMENSLDAENMRKVLSYSYYDLPYHLRTCLLYLSVFHEDSRINKDQLIRLWRAEGFIQYEEYRKSLFDIGESYFNELINRSMIQPVYDNVGVEIEFCRVHDMVLDLVRSISKEENFVTMLNDMNHTSASTQIRRLCVHREIDEMLECWSKSLDFFLFCVHGLFP >Et_4A_033855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27436225:27447206:1 gene:Et_4A_033855 transcript:Et_4A_033855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKGDAGASKTYPQQAGTIRKNGHIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDSGETKDDLKLPTEATLLTQIKDYFAEGKDVIVSVTSAMGEEQISAMAEPLVGIRFTASAVPRPLSQRGLLRVDEDEAMSIDNLHRFFDLNIGKWDGSFYQFDAHGRVMQGISTRLSVSTYGEDDLISLMQSLYIKQASSNISIVGEDDSEPEWVEYKIKETNMFTVDKYQQIGFFPEQKAFALRYQTAGMLETVLRVGVLGEDDTGEDSPKNLKIPSRKPSIVCENCLYSLEGNGRVRAFHIMDPKGVLDTLLVFHEKQGSLVPQPLIYSSVDSESDSSDRINALLGRWEGRSVTKRTGVYGATLDEADTAVVLKLDSNGQLIQDTLTTKTGASTTTTVNWTGSANNNLLQFDGGYEITLLPGGMYMGYPSDISKSVAQLDSFHLEFCWMESPQKRQRLVRTYDSAEARKVATMDDQRGRGDAMRQRPFTSAQERVFDGGGSSGGPGPAYGGEFDQGSSYMALLSAGVNPQQQPLQWSVEEATAQPAINLVPQSFSMPSISSSCSMQANYTSSSYQHPASFAAAPPYGGSMQPYAPSYLQPDQPPPQWPPRAAMPSASSLLPSNFSFLPTAPQHHHHHHSQQHLQPRAASLFGIGGGGPLHASAPAAIEQPAKDGYSWRKYGQKQLKDAESPRSYYKCTRDGCPVKKVVERSFDGFITEITYKGRHNHPRPPQRGADDVVPAGVAEEAADGGLASDDDDDDVLRDNEDRDGDEGRAAMGAGGEAGQRVVKKPKIILQTPSDVDLLDDGYRWRKYGQKVVKGNPRPRSYYKCTADNCNVRKQIERASNDPRCVLTTYTGRHNHDPPGRAPDAAAVAAGGSSGDHAAPSAMNAADSEEEHQTEWKGDGGASMTFPMQAGGIRKNGHIVINGRPCKVVDISKTEKHGDENCHFVAIDIFNGNRLEATVPSFENCDVPNVRRNEYQLVNIDDGFVNLMTPDGIPKDDVRLPTNQTLRTQVIITMHATGYRSSDFTMTCLNCCLDVTMPQT >Et_4A_032504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10962727:10965318:1 gene:Et_4A_032504 transcript:Et_4A_032504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVTLSLRPSAALAPPRAALPRASAWFAHAARATPSAAAVSYTPRRLESVRRAVAVDSDKQGSAEPPEQAKKSQTYYFVVANAKFMLDEEEHFQEQLAEKLRLYGERGMERDFWLVVEPKFLDKFPSITKRLKRPAVALVSTDDQFDAESMEEALASNPAELKFDKPEKWTAPYPKYESGWWEPFLPPKSSNGT >Et_2B_022305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17392629:17393462:1 gene:Et_2B_022305 transcript:Et_2B_022305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAIFRAGLLAVPTQPSASSPPNFYYPSHACLASFRTAARGIRYRRRSRPGRATASITASLDLTEDNVRLALDEAKSELGQLFDTSVGITGEGRGEPLSYTKMKIGSVTRQVDLAELDGPFVKLRLKGKFWHTRATVVARIGNYLKNRIPEILEVEIEDEKQLDDSPAAF >Et_8A_058199.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23776070:23776417:1 gene:Et_8A_058199 transcript:Et_8A_058199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLMEELIEEILVRFPPDEPAPRSSAAPPSAADSPSSTAAGRLGSRCWASSATLVLVQHQVKRSGSWPRLPSFHRLPHAMIMPSWQVVDALHGRVLFCDAVAGDGVHFTVCL >Et_4A_034964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8368716:8369323:1 gene:Et_4A_034964 transcript:Et_4A_034964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEASLLLLRDSRLPEMFQHRRRSSARNAASCVLLGLFTGSAPRRNATTAWSKTSTGLPIAATFCTARPPALAHFFINCPGIKPTGGNLSPRAA >Et_8B_060146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6466525:6470285:1 gene:Et_8B_060146 transcript:Et_8B_060146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHAVGIAVLLLLQLASSTALADPVLGRKGAGVVADVPAEIAQPGQGRYAVIFDAGSTGSRVHVFRFDRKMDLVGIGNDIELFAKVKPGLSSYAGHPQEAAKSILPLLEKAESVVPGWLMKETPLELGATAGLRLIGDKQADEILQAVRDLVHTKSKFEYKPEWIHVIEGSQEGSYLWVALNYLLDNLGGNYSKTVGVIDMGGGSVQMAYAISANSAANAPVAPNGKDPYLHFGAFAARVEILKAKNGPFSHCMLRGFTGKYTYNREQYDATAAPQGATYDKCREEIAKALNLNAPCEAKNCTFNGVWNGGGGAGQDSLYVASTFYYIAAHVGIIGSEAPSAKATPAAFGAAAEKACQLSVDEAKAAYPKLRGSDLPYLCMDLAYQYPLLVDGFGVHPTMEITLVDKVKHGEYYVEAAWPLGTAIEAVTPKKKLQDA >Et_6B_048638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1234502:1239176:1 gene:Et_6B_048638 transcript:Et_6B_048638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGDSPPRTSHPWRPCFPGFPVRARRCPCAPAARRRVLAPPPCHRQEAVAPAATLQLWQQNRAEAVAARAQHPARDYLAKVPKEGGELFQEDQDSKNHPLQSGCLDTPNLVETRRLFCQLQQQEVEALQPLQQVHAEGDQAAAGHKRRRIGYSLFCQLQQQEGEALQPLQQAHSEGDQAAVGHKRDIRDGHVSSPKQLHLPNTTDQLIHESLASFSTNNPLDLKIIRYVLMALPKGSRSALHMEESSVDTGDTSAVSVQINAYDVVGPDLARYGCILSNPWRLFWEHQPLVLHDSEILLAARSMRGRKHPGPVRNFRIDSSLVENAEQLEEWFEMLKVKKIQEVVFVNCRWPFDMVDFPINSLDCESLEQIRLCFVKISNTSLNYVNNLTTMDLACCSMTTLDLYALVYQCKSLRELKIGFYEGKVIRINSASLEILHVWQSTIQKLAVQNAAKLQKILVEADPQKTVVVSAGPKKPSPCIGVWIADAPILSDACFNISTQSVTINNISTMTDNGPLSSLRKLILHISLGVMKEKKVLENFMKSCLGLRELTLWRKDKACVDEYSDALNDDWRAKLRNLSCILNLQLLIIKDYKGGDTELAIASAVLEYAPSLHQLILETNVNDEEIFAGAKTKFREVAQASANASVKYFIGLRDCNSFESIDDDKLVFSIIGTRFFLVQQALLLLGWQREPAAWAAAVNKQPLEDARLMEVVVARRHPKLLAHLQVLTAYITRFTTMNPLLNRLVPGRHVEVQDGLLGSRDDVLFLIISSSS >Et_1A_007261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32874424:32876153:1 gene:Et_1A_007261 transcript:Et_1A_007261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAGVSDAAIAVRDKLRGKIGQTKVKRYWPGKAPEWADDAEEDLDIRTARVSLDKAFPKDEDGDVPAKDDRRLRRLAETRTENKEELRADHRRIRQAEIVSTVEEENERQEADIDEEDEEAQEERRRRIRERQLLREQEEELLPQEEEELVDEEDEDEESEYETDSEDGQMGIAMVKPVFIPKSQRDTIAERERLEEEERQLEELVKKRLEARKIETKQLVVEEIRKEEHIEKALNEEANIEDVDTDDELNEAEEYEAWKNREIARIKRDREERDARLKEKEEIEKVRNMSEEERREWERKNPKQVRQTKQKWKFMQKYYHKGAFFQEGADDLIQSAGKDDIYTRDFSEPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNTPWATNDPLRAKYNAKMAGMDRPIAKPKGSKKLKDWDAK >Et_5A_040354.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:16259615:16259758:1 gene:Et_5A_040354 transcript:Et_5A_040354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCFEPDICRLSPRIILRGKAVCCNSDIYVTMVYCWSFASKPLISC >Et_4B_037090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14613127:14613803:1 gene:Et_4B_037090 transcript:Et_4B_037090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREKRPDLLTKVWTVVIDPMIMKAPRASWPYCQSASEGRAALQPTSFVRFAWILKWLSDGFTYEGEAIKLWLEKGNSRSAMTNMALPNEDLIPNHALRSSIREYIQQQRSKT >Et_1A_005954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1633886:1634576:1 gene:Et_1A_005954 transcript:Et_1A_005954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARRITAIRAVEEAEGESTRSWLQLAQSYFSSEQLEAKALDYFRENLPNLSVAHTHTEKHGTLELKWNDGDTCVVGDLVDDRILRASIASLPTVGSLQFPGNSGLSMLGANYLQVKWQAQQMLFRHPGFVFFIFPPQTIEKRIVSLFNSIVACILQVDE >Et_4A_032067.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:15106209:15106562:-1 gene:Et_4A_032067 transcript:Et_4A_032067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWLPTPASPSRGAGRGPSAWTPEKPRTCRSSCSATASVSPDRSANIWRRTSGAGAVELEVNLKIFRGDDGTAKPTWMSCKVTAAVAAASRPGSTDCTVFTQENWASDIAPYWMQ >Et_2B_022037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8364485:8367606:1 gene:Et_2B_022037 transcript:Et_2B_022037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDAAEIRNLPIDIAFARLQEWLVDRKRVPHDWRKRLAGIRARIAAAFPSLPRDLHPSLLTLEPQEIGYLEAKKIYSILLESNTDSRNIFGRLTGSAGEWESIVKAYEKDHAFLGEAAQIMVQNVNYDIPYQRKQMQKNQQQLAELDRREADIKRLAALSATRYVEACQELGLQGINVREELIESAKTLPSTFSKILEVLNSDPVSKAIEYYTAFVKECHTEDKGNCDSVLHNLKQLQANPPSLHVSVCTEVERSLKKTSESHGSTLTTEGQNDSATPAIDIDWDISVDANEIDWDIGAVEQPIEESGDGFGSYEIIDANIELAGSENYDVSVSDNPSVNKESEICWDVSADSYEENADINNAPTELGESQVLDEGRSQLLEKEYRNDILDDLLEVKSFLVQRLGEMRNADTSSLQHQVQAVSPFVLQQYAPDTLENMLVEISAAITLLTNQKTLDLIMILNSKRFLDRLVSSLEEKKHHEVKLREGLGDLSVKRMELQNALSSSWPKQEAAITKTRELKKLCETTLSSVFDGRPVHIIGEINTLLSSSVSQLAG >Et_9A_062554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2464610:2466508:-1 gene:Et_9A_062554 transcript:Et_9A_062554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSDPHLSIFSPSEVEFVAEDEVLDIVPNIRMDALNMICGDFGPFFPQIPSKVPLWLAVALKKRGKCTIRTPDWMTIDRLTQVLDAERESPREFQPLPFHYIEISKLLFDHARDDIADAYLVRSLIEDIRDVRFHKVESGLETISGRTHAVKLKNLSAMEVNIVRPFMVRTLQAFYKHDSPQMIQQADNTGSRPTQVPDRGPRRDLRRRIGEA >Et_1B_011974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27720220:27738404:-1 gene:Et_1B_011974 transcript:Et_1B_011974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGGDEVAWRFGAKNPAMASASVRGVRALQGRVNACVDKSDPRPLGLSPTVRGTVSLNTEITEYIAVAAYLSRYLPYELSPADIVITARCNHGIEIMMAVLASPEALADENTVAMVIVNPNNPCGNVYSKEHLAKIAETARKLGIMVISDEIYDHFTFGSTPFVPMGVFGDIAPVVTQRWLVPGWRLGWLALTDPKGVLRKKMIFESIIAYRGVSVNPAAIVQGAIPQIIANTDEAFFTNAMNIMREAAEICYQKLKGIDCITCPHKPEGSMLETAYLEGIDDDIDFCTKLAKEESVVLCPAKPKSGRHTRSAPAPRVGYHSMDNDGGGVTWRFGAKNPALAAANSQSIRALLNRLYGCLDKSDPRPLAPLGHGDPSYFACFRTAAAAEDAVVAAVASGKHNSYTSPAGIPEACSAVAAYLSRYLPYELSPGDIILTAGCNHAIEIMMAVLATPGANVLVPRPGFPLYESRAALGGLELRHYNLLPEKGWDVDIEGVEALADENTVAMVIVSPNNPCGNVYSKQHLGKIAETARKLGIMVISDEIYDHYTFGSKPFVPMGVFGDIAPVVTLGGISKRWMVPGWRLGWIALTDPKGVLRKKKVFESIVAYRGVSVDPAAIVQVKLDTTYFEGIEDDMDFCTRLVKEESGMEENGGAARWRISRPSAETTLSAAGALSIRPVLNRVFSFIDASGPRPVLALGGGDPTASACFRTAPEAEEAIVDALLSREYNGYSPTVGVLPARRAVAEYLSRDLPYKLSADDIYLTSGCCQAIDVMISVLAQPGTNILLPRPGFPLYEGRTTFSNLEARHFNLIPDRGWEVDLEAVEALADENTVAIVIVNPGNPCGSVYSYDHLAKIAETARKLGIVIIADEVYDHLVFGKTPFIPMGVFAEMVPVITLGSISKRWLVPGWRLGWIATCDPKGVLKEAKFHKSLEDYVNITNDPATFIQGAVPQIIANTKEDYFKKIIDLLRNCADLCYSKIKETRGITCPHKPEGSMFVMVKLDLSCLDGIHDDMDFCCRLAKEESVIVLPGSALGMKDWIRISFATDPTCLEDAHERIKSFCQRHGKLEA >Et_10B_003916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7550196:7552289:1 gene:Et_10B_003916 transcript:Et_10B_003916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGITSPPALSAFASATSTSSNSSRSLALIRLRPLPARTAAAASLRVRCAAAAASADGGVEFSESSAAVADPDAGTDVAGGAATSTRPPYSLISADNVQKAMRGLAITDADHYGRLGITKNASTDEVTAAYERRRDELNSRKLEEEELNKELDLLKESFTILSTEEERRLYDWSLSRIGQPERYVWPFQVDPLELAPDPPKVCISSEQNRGFI >Et_6B_049399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4334030:4338283:1 gene:Et_6B_049399 transcript:Et_6B_049399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIGVATAAAAEEEDMAAMRSAEEAGELLLRRRSVGRWTGDEIRALGQIMVGLGHDANASGFVKPTTSRLLTRQRRRPVGTELPAGLLSDVSRCLHTATDYACFHAVCRSWSKSLPTACCVTGRVQCWRVVRADSSLLTDDSGCAVGNVALCCGEGSSCSVRMAPFRHDGATIAGNHRLRWTPVPIDTDIQYSHFALDFPDVVLDRAVGGRLATGLSVSVHALREGELETGEQPLWEKKDGRSFADRIMFLGKPTSFVVEAARFSVSDGYAYFVTKRRVLFRHSFRHGKSEFIDQLPGEGDAGLCSWLTPQPAFSCI >Et_6A_046345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13415244:13418760:-1 gene:Et_6A_046345 transcript:Et_6A_046345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NRKKIKEEDMEGEHGIIIVGGGICGLAMALALHQKGIASLVVEKSETLRADGVSINVYENGWRVLDQLGVADELRGTANRITAQELRCLKRKDLIDTLAKNIPAQTFRFGCHIASIHSDPGSHATVLRTVSGATMKAKVLIGCDGANSVVAKYVGLSAPKKIPRMILRGFTRYPHAHPFGTEIFRILGGDVVFGCLPITDNLVGFFVDFPDPSADMIDDMSIFKNFVISKLRECPEEITGVIQNSDLESLHIATKLYYRHPGQLMFGSFQKGPVTVAGDAMHVMGPFIGQGGAAGLEDAIVLARWLWRAAPRGLDVDGDRNSGEPRAKRVSAAIREYIQERKLRVALLSFEAFVMGALMRAKMGVAKVCCIIVLAILGHKSFRHANYDCGRL >Et_3B_028923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20425216:20430204:-1 gene:Et_3B_028923 transcript:Et_3B_028923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPNLALLQVVSLLLLVVVRVTVLQDPPPVGSLHHVLVMVHPPRHRHGVLVGEAVVVLVHQRDVPVHDELELAGLALPPGDAVQVDLEVVRLRLVAVGLEERRAARVDEQLHAHVGVAHGRVVRDAVGLVPDAEHPEAREAVEDVAEVAVPRARAAEHHRGHRGADVGVRGLVAGVGDDVHGVERGEGGAKAVSDHGDARLLVSVFVHQPYNLCQHLIAQRSLSTRKTKTSTYLHPGALLAKFGFLVLRRVQRQVPAVHRRIRPVRALRPEDPGSRGGRELHVGHPLELALRAAPRDDDVPAPERGGALVGGHGDVPHPVALPGAPLPAERAEEHLAVHVGAGRGVDVPGGRVPARVGHVHAPEQVGAAVPAHPGVRGPGLADERLVRGHDHLAVGDEAAAEVDVERVQKALPVIAAVQLPPPL >Et_3A_022998.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:14899055:14899612:1 gene:Et_3A_022998 transcript:Et_3A_022998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLLGLKHMHEKGIIHCDIKPSNILIDSDSDCVVGKICDFGLATYLMKQLPLGVESHTAPMVTWHQKYTNLRVLVPSNPICGLWVLL >Et_5B_043653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13518858:13524548:-1 gene:Et_5B_043653 transcript:Et_5B_043653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLAPRGGWAVSGIGHRVLHTNHLPFTQVKLSGVTQMESFLSKFFPKVLSGMKSTKRDSYCKYNNQLLTAFTSSLFIAGMFSSLVASHVTKRMGRQSILLMGGVLFLVGSICKASAINIAMLIIGRMLIGFGLGFTLQVAPLYLSETAPARWHGAFASANYAFLAIGLLSATVTNYFAVSRPGAGVRVSLGVMAVPSAIITVGAIFPNGYPWFRHEWHCQLGINNAGHLGHGPHCPGIGMMLCQYGVFLFYVAWLLVMTVFVVLFLPETKGVPLETMR >Et_1B_012751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34905337:34907895:1 gene:Et_1B_012751 transcript:Et_1B_012751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGDMPMRPRPGPPMQHRGPPPMARHRPEPIDREKTCPLLLRVFTRVAGHHQQEEFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVALPARKRNARLSFAFVYPDKNGRFVVRPVGSTFAYGHGRGDDAKTLAELGFQIGDYLSVAIM >Et_3A_026521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9197027:9203123:1 gene:Et_3A_026521 transcript:Et_3A_026521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASTAVSWPSTASMAGVALPLLLIVAAAAALLWTLASRSCWLLTRGRGRGKGSGARLPPGSFGWPVLGETLDFVSCAYSPRPESFVEKRRLRHGGSSVFVSHLFGSATVVTSDAEVSRAVLQSDARSFVPWYPRSLTELMGESSILLINGALQRRVHGLVGAFFKSPRLKAQVTADMQRLLAPALAEWRDRGPGARLRIQDHAKTIVFQILVRGLIGLEAGPEMQQLKQQFQEFIVGLMSLPIKLPGTRLYRSLQAKKRMARLIQGIIQEKRKRRTLDGDGPREPPRDTIDVLISGESDELTDELISDNMIDLMIPAEDSVPVLITLAIKYLSECPLALQQLEEENMQLKRQKTDVGETLQWTDYMSLSFTQHVITETLRMGNIISGIMRKAVRDVEVKGHLIPKGWCVFMYFRSVHLDDTLYDEPYKFNPWRWKEKDTSTSSFTPFGGGQRLCPGLDLARLEASIFLHHLVTSFSWVAEEDHIINFPTVRLKRGMPIRVTSKD >Et_6A_047177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26394146:26394423:1 gene:Et_6A_047177 transcript:Et_6A_047177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDISLISDLVPVSNQVVKAGNGEGMQVYGKGCVNTEMVILPDLGMNLVSVGQLTIGDPDLIVEIGSGACRIGKISDGSTMGRGHL >Et_10B_002736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10167008:10181288:-1 gene:Et_10B_002736 transcript:Et_10B_002736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAAHRASFPLRLQQILSGSRAVNPVIKVVETEPPANVKAFIDRVISIPLHDIAIPLSGFRWEFNKGNFHHWKPLFTHFDTYFKTYISSRKDLLLSDDMAEDAPLPKHTILKILRVMQIILENCQNKSSFAGLEHFKLLLASSDPEIVVAALETLAALVKINPSKLHMNGKLISCGAINSHLLSLAQGWGSKEEGLGLYSCVVANERNQQEGLSLFPADVESKYDGTQHRLGSTLHFEYNVGTAQDSDQTSDKSKSSNLCVIHIPDMHLQKDDDLSILKQCIDKFNVPPEHRFALLTRIRYAHAFNSARTCRLYSRISLLSFIVLVQSSDAHDELTSFFTNEPEYINELIRLVRSEDFVPGPIRALAMLALGAQLAAYASSHERARILSGSSIISAGGNRMVLLSVLQKAISSLNSPNDTSSPLIVDALLQFFLLHVLSSSSSGTTVRGSGMVPPLLPLLQDNDPSHMHLVCLAVKTLQKLMEYSSPAVSLFKDLGGVELLSRRLHVEVQRVIGTADSRNSMLTSDAVKSEEDHLYSQKRLIKALLKALGSATYSPGNPARSQNSQDNSLPVSLSLIFQNVDKFGGDIYFSAVTVMSEIIHKDPTCFPALKELGLPDAFLSSVNAGDDGSNEQVVSEERTDMETDVEGRDLVSTMDSSMEGSNDEQFSHLSIFHVMVLVHRTMENSETCRLFVEKGGLQALLTLLLRPSITQSSGGMPIALHSTMVFKGFTQHHSTPLAQKKIDPEASSSSSVNSGSQLDSSVSEIDDNRYTNFRQYLDPLLRRRGSGLIESQVSDLINIYRDIGRAASETQRAGTDRYSSSGLPLNSQDQSSSSSDANASTESEEDKKRSEHSSCCDMMRSLSYHMNHLFMELGKAMLLTSRRENSPVNLSSSVVSVAGNIASIVLDHLNFEGHTISSEREITVPTKCRYLGKVVEFIDGILVDRPESCNPIMVNSFYCRGVIQAILTTFQATSELLFTMNRPPSSPMETDNKTGKEGTEADSSWIYGPLSSYGAIMDHLVTSSFILSSSSRQLLEQPIFNGTVRFPQDAERFMKLLQSMVLKTVLPIWAHPQFPECNIELISSVTSIMRHEESKPVDLELEEETVQLPPIDEILYSCLRLLQTNETLAFPVRDMLVTMSSQNDGQNREKVLTYLIDNLKQCVMATDSQKSTALSALFHVLALILHGDTAAREVASKEGLVKVALDLLSSWELEPREGEMTEVPNWSGGLNALLSVPTNSLFSGFNNVASTIIRHILEDPHTLQQAMELEIRHSLVTAANRHANPRVTPRNFVQNLAFVVYRDPVIFMKAAQAVCQIEMVGDRPYVVLLKDREKERSKEKEKEKSADKDKATGAVTKVASDVVAGSPASAHGKQPDLNARTVKAHRKPPQSFVTVIEHLLDLVVSFVPPPRAEDQPDVIVGGSSSSDMDIDSSSASAKGKGKAVAVEESKQGSQDTSASLAKSAFVLKLLTDVLLTYASSIQVVLRHDVELSSVHGPNRAMVESLIISCIIFFLMLQSKRKRGKLMEIGESAVTFVEVGLVQSLSKTLQVLDLDHPDSGKLVTAIVKALEVVTKEHVHSADLNAKGENSSKTGSDNNNLDPSSNRFQALDTTQPAEMVTDHRESFSAVQTSQSSDSVADEMDHDRDMDGGFARDGSNNLTGDTLRVMPLDIFGTRRQGRSTSIYNLLGRASDHGVLDHPLLEEPSMLHLPPQGQPENIVEMAFSDRNHESSSSRLDAIFRSLRSTRNGHRFNMWLDDSPQRSGSSAPAVPEGIEELLISHLRRPTAEQPDGQRTAGSAPQNDRPNNVSEAEASGAAPAEQNDNNETVDNPVAMSEIDASESAGPAPPNSDALQRDVSNASEHATEMQYERSDAVARDVEAVSQASSGSGATLGESLRSLEVEIGSVEGHDDGDRHGASERLPLGDMQAAARSRRPSGSGVPIGSRDASLESVSEVPQNPNQESDQNANDGNQEPARAPDTDSIDPTFLEALPEDLRAEVLSSRQNQAAPASNEQPQNDSDIDPEFLAALPPDIREEVLAQQRAQRLQQSQELEGQPVEMDAVSIIATFPNEIREEVLLTSPDTLLATLTPALVAEANMLRERFAHRYHSGSLFGMGSRNRRGESSRRGDIIGSSLDRNAGDSSRSTGKPIETEGAPLVDEDALNALIRLLRVVQPLYKGQLQRLLLNLCAHRESRKSLIQILVDMLMLDLQGSSKKSTDSTEQPFRLYGCHANITYSRPQSSDGVPPLVSRRVLETLTYLARSHPNVAKLLLFLEFPCPSKCRTEQFDQGRGKAVLVDGGEEQKAFALVLLLTLLNQPLYMRSVAHLEQLLNLLEVVMLNAETEINQAKLEAASEKPAGPENAVQDAQDDANVSGSSGSKSNAEDNSKSPAVDNETNLQAVLQSLPQAELRLLCSLLAHDGLSDNAYILVAEVLKKIVALAPFFCFHFINELARSMQNLTLCAMKELRLYEDSEKALLSSSSANGTAILRVVQALSSLVTTLQEKKDTEHPAEKDHSDALSQISEINTALDALWLELSNCISKIESSSEYVSNLTPASANTAALTTGVAPPLPAGTQNILPYIESFFVTCEKLRPGQPDSVQEASTSDMEDASTSSGGQKPSGSHASLDEKHNAFVKFSEKHRRLLNAFVRQNPGLLEKSFSLMLKVPRLIDFDNKRAYFRSKIKHQHDHHHSPVRISVRRAYILEDSYNQLRMRSPQDLKGRLTVHFQGEEGIDAGGLTREWYQSLSRVIFDKGALLFTTVGNDLTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDAHFTRSFYKHILGVKVTYHDIEAIDPAYYKNLKWMLENDISDVLDLTFSMDADEEKLILYEKAEVTDCELIPGGRNIRVTEENKHEYVDRVAEHRLTTAIRPQINAFMEGFNELIPRELISIFNDKELELLISGLPDIDLDDLKANTEYSGYSIASPVIQWFWEIVQGLSKEDKARFLQFVTGTSKVPLEGFSALQGISGPQRFQIHKAYGSTNHLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEANEGFGFG >Et_3B_030627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5619006:5620535:1 gene:Et_3B_030627 transcript:Et_3B_030627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPATLLPLLLLLVAAVAPRFAVSSRPSPAAEAAVTETLDVTASLSRARAAISTDAISLLHQSAAKEPVRTRSRRSRRKKKAGLTVRLHSRDFLPGEHGRHESYRSLVLSRLARDSARAAAVAYGDGSYTVGDFATETLTLGDSPPVANVAIGCGHDNEGLFVGAAGLLALGGGPLSFPSQISASTFSYCLVDRDSPAASTLQFGDGAGADTDAVTAPLLRSPRTNTFYYVGLAGISVGGQALSIPTSAFAMDASGTGGVIVDSGTAVTRLQASAYSALRDAFVRGTPSLTRASGVSLFDTCYDLSDRTSVEVPAVALRFEGGGTLRLPAKNYLIPVDGAGTYCLAFAPTNAAVSIIGNVQQQGTRVTFDTAKGTVGFAANKC >Et_9A_062495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23559324:23565215:1 gene:Et_9A_062495 transcript:Et_9A_062495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEKPETVEEAQEYESDLDDAPLPALRRAAASDDEEEEDEGTPVRPRRAGSDADSDGQGAAEEYDEGAYENGEEYEEYEEVYEEFQERGRGERGAAAEVVAAAGQDEGMVGDGEAEEADEKAAGAAEEEKNEPYAVPTTGAFYMHDDRFQEARGRGRGRGRQRRFLNNRNLWNPKEEEAWVHDRFDETNLHDIHGDHTKRKQGGRLRGRGGGPGGRTRGISRGGFRGNRSRAHYQDGSKNYRYVPKEPHAYQDNNTKNAQHNSYHDDTKNAQRALYNNGKNRVPKLSHAHYDDVKNYDTVAKESRTYYSDAKSQKNAPRVGRGRVSKRYQPRGKVATEISSVQNNESQNENASSNANLGIHKSQSSNSRPEQVIPVKQTVASNLNSASPPFYPSRSFHQEYPHSQLGTGQPNSTSRSLSSSMGMEHVSPTPQYGNLLGGKAFLPVGRAEVAVKGMNGPALNSSASSPSGPFSAATNQVTRDYVQSSHPIASSVKSSAQSTLRMPAQMFGARFGGSNKVPSSVQPAPTIVSEDTEITSPGGSSKLNARLSIKGQPGEQGEEHTSYLYGGSHVLGATRAMSLTGEQGFHGTPALLPVMQFGSQRPGGPGVPSIGMALPGFVSPQQLGLSNSEMTWLPMLTGASGAIGGPYGSPYMALDGSYYSGSSQQASSSVSLSCSLNRSQVMNLANAKINLVGLAAEGVRILTMAMIKQPCYSTILSTLSHGGLGNLSPYRAKNYGLQPSNLAANIAVTTDMVCNPAT >Et_1A_007064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30535660:30537191:1 gene:Et_1A_007064 transcript:Et_1A_007064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLFRRGKAPAADGAAPAPMPMLSFPGKKALPIAGDTSAAEREPDLASLMFARAHVVALAASDSAGAGKKPQASAAVAAADLRKYLRAIYASEMKGASRHQLLRLRGATPAGPRAPGSKSKASSSSSSAAAAQGKGGHTSLGTENAVALFALAAELARAAAEEDRRGADGIRRACRKLADAAGALSAAASKGRGAAEARGLCHMTDACLAAFERLMLAQALECYFELAVAGGKPPALCAKIARQLSVDYQQVSIALDSLHQQPIDKSWAPHAQAKAAYFHAEACLLRARALRDEGHGCVGEAIARLRYATSAVLDGPVGKAAIKKSAPPVRDAAAWLRREVEAELAAAEKDNCQVFFERVPAADALPALLGLPEPLVRPTAVEKVVSEPDD >Et_10A_000813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17743619:17748886:1 gene:Et_10A_000813 transcript:Et_10A_000813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPPPPPPSRPPVELAGAARDAELGLTSALSREEVLRRRRRRLLQLYSLYRAQYWALADELPAKHGEYWWEHGSSPVLPDQPPPALPAPPPLPALGNAGGARPRCAASNCEAKVMPLSHYCFEHILMDAKQQLYQPCAFVTERSRAQNGEATCGKPALRGITPLRCADHNPKSQKLIIEALKSAGIDLPLTCKSVPKLSLLISEAVREIQMKRRLSLNHVKITPSHAYHLWPPTIPPDNKIHVRDEHISATNWRRGGRAEGKTWSQQADFFFPLVCEVKLSDNQFGYTKQTQPASMVEKALTMKVLAWLTKMAQLLMSSTCSTTFLLVSTL >Et_1A_007558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35968245:35973443:1 gene:Et_1A_007558 transcript:Et_1A_007558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVAGLLTSAVMEVAGEKISSAIREQANLAWNFSDDLDDMKDTMASVAAVLKDAEKQSVRNESVRVWLKRLKHAALDISDMMDDYQDTAGTQATGKMPGMFSCLPAARKKMVLANKMKNMREKLRKINEERQRFTFTENTAASQEQQQYDERETTSFVNEAKILGRDGEKKKIADLILSASHSNDRTTMILLIYGLGGMGKSTLAGLVYKDTQFKQYDHRVWVYVSQEFDLKKIGRSIISQLLTDGGIHNTDALQTIYQSLDNLLPGKKVLIVLDDIWQEDASELDKLKTMLHVDRNGSMVDVIATTRSEGIANKFCTHEPYKLQPLRDDVCWEIIKRSSGFEGKSNKEKLEEIGLAIAKKCGGVALAAQALGYMLHSEDLNGWSKMNNSDIWNEPYGVDNSEHMKVLPSLKLSYERMPPILRLCFSYCAVFPKGHDILEDDLIHQWVVLDFIKEPSEGKKYIKQLLGMSFLQLSKSASVRHCITVDYTFPPIQISLNHVWYTMHDLVHDLSRLVIGDELIIFDAAMKSNIGEQKYCQYVFLTNYDGQKKLSNILPNKVRALHFSSSSKLDLHHGCSGISVLPESFGSLKTMVHLDMAGCSVIRELPGSLGNLTSLQHLNLSKCPNLNKIPESIGKLCCLIHLDLSRCSGISVLPESFGSLKTMVHLDMAYCSLIRELPGSLGNLTSLQHLRLSDCYNLNEIPESLCSLTQLQHLNLSNCRKIERIPEAVGSLVDLQYLDMSYCEQIHELPESFTDLRNLLHLNLYGCRFKKGLQRALCGLTALLYLDMSYVRLGDGHLRDAMRNLTNLKCLRLRKCITSLFGAGIEESSCCVDFIGTLTNLEHLDMSYNIQLEYLPESIGNLKRLHTLDLSYCTGLKSLPDSISAVTLQSLSIEGCSGELIHEANSRFHYSLTLPFFYVQADDASTCSNLHLLKDANVPELRVHSLENVRFLEEANVKLLDKNNLSFLTFGWTWGADRFLDDKDLLGHLEPPRGLKFLTLDGYSSPSFPSWFMGICHHLPNLVIIRLVNLTTCSKLPAPGQLPNLNKLLLESCPSVTKIAKPKGAFGRLSDLTLTRMDRLEEWSTTYSTEDGVEEFMFPMLDKLYVADCPRLRLKPCPPVFRECVIKVSDQVISSLNEVSKIGHLTPSTSSTKLGIVMSDVEDLSLFDHFRSLQILEVTGCQKLASLPESIRHLESLQSLTLWALSITALPEWLGELSCLESLTVSFCESIKSLPPSIQKLTKLRELRIEGNQELKQ >Et_2A_018441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28254566:28256616:-1 gene:Et_2A_018441 transcript:Et_2A_018441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRPRLMDELVEEILLLFPPDDPASFVRAALVCKSWCRIVSAPRFSRRFRELHDTPAMLGVFVNFVASDGAGDVSSFVPTASSCPSLSDRRTFRTLDARHDRVLLHNKHAPQEFLVVWDPIVDEQQVLPDLPLHRQSQTWNAAVLCPSAVPGCHSRGPFLVVFVYIIDFVACICTYSQDATAWSEPLQLGFVDTRSGDVIGPSVLAAGDALCFTVGRKVLRYDVAGRALSVIPAPFMNSSNMCLVTLENGELGAVAAANGHNLSLWYKREGEEEDDEEEGGAGGRRKGDEHPLDSSAGSATACGCTMALGGADAILVGADDGIFTVELKTTRMWKVCEREGRHFCSVFPYMSFYVPVPFPPASQISAFESVTNLPSASGSRGQKLIPVTPVPTKPSLTEQRAPNNDIEAYESSISVVGSMVSQGAAEPWPWVERAQCSTSPS >Et_3B_031500.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:32229263:32229751:-1 gene:Et_3B_031500 transcript:Et_3B_031500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVVVAELVLLAVGLLLAVPLLLQCCLGRGTTTYKRRRPPSSSELPLSHGDPTTDKQSRLLLLLMLCSGRRRHARVEPAAPANSAEASPAAAAEVDDVARWRERWFGPASRALYTIDEVDEECPTEDGDITRDQEKPEPETPFYTPPASPLRLGLQSPPAA >Et_1A_005989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16475730:16481718:1 gene:Et_1A_005989 transcript:Et_1A_005989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPESSVPHADKIAQARPAPRRLGSRGLVDREELVRVIAQSLYSLGYRKAAAALEAESGVPLYPAEHDRLLLDVMAGRWDACVETIGSVAGISNADRAVAEFLVWRGHYLELLGTGDAGLRRAREVLRRRIAPLRIDRRCVHWLARAMVSCEGVVAPEAVVQSRIALFLDLVEVLPLWFHVPSGRLEHLVESAITKQVESCIYHNLPDEISLFEDHKCHEEQIPSKCAQILYGHNNEVWFVRFSNNGNYLASSSSDCTAIIWKVEKDDTLTKKHSLKGHQNPISFVAWSPNDTMLLTCGNGESLKLWNVDTGECNLKFKGSGDYTITTCAWFPNSEKIVCAGYDPGAGSSNKIFTCDLEGKELEVWVGVRIPKLSDLAVTPDGKHLICVSSNEIWIRELPKGREWRIHEKQTISSLSLSDDGQSLIVNLNSQEIHLWKVHRSSSVPEKFEGHMQGKFVIRSCFGGSNSLFIASGSEDSQVYIWKRHLETPIKVLHGHTKIVNCVSWNPTRPQMLASASDDHTVRIWLACKTRHTDS >Et_10A_001676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6737001:6737630:1 gene:Et_10A_001676 transcript:Et_10A_001676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMVALTMITEEIMAKKAEEKKMKKDEEKKKTECQLKAAEEEDRRFLVLSIGTGQSSDVVRDTADKCSRWGVFGWLTNGGSARPIVDIFMDASSDLVDLHVNAKLQLLDSKDKYLRIQDKLPPGVSSQVDDATPENMRSLVSVGKAMLAKPMTTVNVETGEHVVVSKDTSNARALVAMAAQLSEKWKARPGQKANDGCA >Et_2A_016511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25427425:25434241:-1 gene:Et_2A_016511 transcript:Et_2A_016511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFDENGGCRLVVTELSHIKDLVLQLEVHLDGSPDLCRHLTAKIVTLTERSIGIITSSDFESSRKRYAADAGVASPTPRTPGTKKRKMMEKRTNQVRVSSAGGGQTPVDDGHSWRKYGQKEILGAKYPRGYYRCTHKHSQGCAATKQLQRTDEDPALFDVFYVGTHTCTERKPESHNLPENLSASLTVKTDGLAPAEEPQSVSATTHFSFPLSAASTPGSMAVTPPTSDSNDVVASFSPFEWRAQTELDEVVSALVAASTPSVPAADIAVDEFLDIDAISSFFPVDGNNGDSRQVVTQLNHIKDLVMQLETHLGASQDLCKHLASQIFTITERSIGLITSTNLDIGWKHSNVTDVPFKTTQKSYRTTICRTMVEKRRHQVRVNSAAAGAMPVDDGHSWRKYGQKEILGAKHPSENCRAYYRCSHRHSHGCTATKQLQRSDEDPTLFDVTYYGTHTCVCTTGTAAGQAKQTPEHNPDANNLLQNLSAGFTVKTESLAAAMEPFCISSTAASAPERSLMISAPSTSENWGVSPATSDFEVVTALVAASAPASAADIIDDFVDIDFSSFFV >Et_3A_025738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32347550:32356473:1 gene:Et_3A_025738 transcript:Et_3A_025738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPVSASVGVIPDDILFYEVLVLLPVKCLLRFQSVSKLWCATLTSNHFARCHLEHSRSRSSMVIMPRKYLKDHTKFNLGGVTFWGFQPGQSTVAAELILEKRFPAGIPMFSVPLHCDGLVLVPCMYGRMFLCNPATKEFVELPQGSRNVAGDHRVAFGFDPWSGKYKVARHFFRSYSETPKEDGEGTILEYSAGHEVLTLGGDGEGAWKWKATTDPPYAINARTPICLPGFFYWSKLCYVHSASPWDVAIWLAENGQELAWSLRCHVSLPVPRRLMVFACASADRDKIFLSVDAWYLFKCDLRDGNLEESIDMARCLVYDNLNGVKFSTSELPVAHYMLPCVESLLRIRPYSNEWSGTMRSIRTECSDAMLSCGSVIIGIQTLAPSYYDLCSLILVLLLFVQFSTTIKILWIGGKKTTSVAVIPDDQLFYEIVALLPVNALCAASPSASYLWRATITSSGFARRHLEHSRHRSSLVIMPRRHEQDYRKVLAGVTFYRFEPGNPKVADLILEKIIPEGIPIFSVPLHCDGLILILCLLGRIFVCNPTTRQFVEQSRGSPNGKNKTLNVNAPNREMRSETLGTSVAVIPDDTLFYEILALLPVKCLVRCQSVCKLWRATITSTGPGLLWSSCPEGMSWTIGRSLRGIPRLPSREDNPKRNPHIQAHSDPVLVGTKFVELQRGSPNVAGSCRVAFGFDHWSGKYKVARHFLRSYKEDGEGTVLAYSAGHEVLTLGGDGEDAWKWKATMDPPYAINSRTPICLPGSFHWSAFHSTGHGEDNSSKHVILRFNLQDEMFTVHPEPAMPGLSKQA >Et_2B_022556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2642952:2645780:1 gene:Et_2B_022556 transcript:Et_2B_022556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAAEAAAAEWEGAEQKVLVARKPCFGLPTACPTCLPVFLYLRMAQVPFDIHVDTSFPDAGELSPPPPSHHIPYVEFGDCVAFNNEKGGVIEYLKEDKMLDLNAKHPSASSPDVLSTKAMVLTWLGDALQYELWVVSDGSIAHDIYYSDLSWPIGKILNWKKAREVKQELGITKFNAAEKEEEIYQRASAAYEALSLRLGDQVYLFDNSPTDVDALFLGHALFVLNALPDTSVLRGTLQKHDNLVNFAEHHKAQLLEASSSGLGSSANPSSSSTPRKRATGRSYKPKPRAKKERTEEEKTFRRRAKYFLATQLVAVLVFLSLMGGADSSELDDDDYADYDD >Et_3A_024618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22628784:22630502:-1 gene:Et_3A_024618 transcript:Et_3A_024618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IRFLKWMKYNSLPEGYSKAYLRRSCRDREILGIMAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLVLVNMYQRPDMITPGVDAQGQPIDPEKMQEHFEDFYEDIYEELSKFGEIENLNVCDNLADHMIGNVYVQFREEDQAAAAHTALQGRFYSGRPIVVDFSPVTDFREATCRQYEENSCNRGGYCNFMHVKQIGRELRKKLYGHSRRRARSPVRESSEERRAKIEQWNREREKKE >Et_8A_056797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1728277:1734118:1 gene:Et_8A_056797 transcript:Et_8A_056797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAKPSSSGSPVTDGGSGVAAAAATVEGRFADLCKSKLGLDDSMTRQAMQLFKETKDILASSMSSLITGSPEEMERSWSSCVLYCVSRLSKAGRSKEGGVVSLQHILRASKLTIHAFLREMPQFCQKAKHILAGLYGSDCEERFELEELKVNIVHLGLLGGRYKRLYQELFLSNDAKSSENSSESKTQLISDYYRFGWLLFLILRIQTSSRFKDLVTSSNLLTSAVLIIHIPARLRNFDIKDSSCFGKKSVKGVNLITSLSEKLQTSEDEMSKELEKANTLIMDILKMKPRSASECQLDNLSFVDPEGLIVFEDLLQEDSFRSSLLALEKEYVTLINTNGEIDERMFANGEDSLLGSESLSGGAINLPGTKRKYDVMASPAKSIMSPSPMSPPRFCLSPKSNSFCNSKMAPITPVSTAMTTAKWLRCTISPLPSKPSGELLRFFSACDKDLTDDITRRAGIILGAIFTSSSFGERISTNVRSTNRMDAIWTEQRKMEALKLYYRVLESMCRSESQVLSGNNLTSLLSNERFHRCMLACSAELVLATHKSVTMMFPAVLEKTGITAFDLSKVIEGFVRHEDTLPRELKRHLNSLEERLLESMAWEKGSSMYNSLIVAKPALAAEINRLCLLAEPMPSLDAIAARHNISLGGLPPLPFQKQEQSPDKDELRSPKRACTEKRNVLVDNNSFRSPVKDILKLKLLPPLQSAFASPTRPNPAAGGETCAESGIGVFFSKIAKLAAIRIRSLCERLQLSQQLLEQVYSLVQQILSQQTALFFNRHIDQIILCSIYGISKITQLELTFKEIIYGYRKQPQCKPQVFRSVYVRWPPRNRRGKTGEEHIDIITFYNEVFIPAIKPLLAEVVSGASPKQKDEEKGHVDGQFPESPRLARFPNLPDMSPKKVSATHNIYVSPLRPSKMDTLLSPSSKSYYACVGESTYAFQSPSKDLKAINSHLNSVSSVNGKKKLNFDLVSDLVVASSLNGNQNTGPAAMEVAPVTTPVKCDSPES >Et_1A_005051.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23644371:23645702:-1 gene:Et_1A_005051 transcript:Et_1A_005051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVNSAFLLATSTSSPPGACHWNACTRFATKYSRCSVATLSPGQILRPAPNGIIMISLVPVMSVPSPSPPGMNRSGANSAGRSHALSSMPMSATMKFTVAPVGMVYPPSSMSSAWRRRPSSATALRYGILCTSSSETYGLTEPVLARVCLISSWSLSWTAGFLTSSAMIHCSAVDVVSVPPLRNSEHIAIISSSVSARPPSSSPSFVLRSLRASMRGVYMSLSRRRMARMVRMRPPKRRVVTAGRKANTLSLVAEKSSRSRCDVEARVAEAHGHQEPEHRELERLRDAAFFPELRRELRHRRGPRLAMVAASRPSMCRDADAGRSASAAPRSTRAAWASRRSETVTWRRDPTRSASTGPWRAWRRRIARRTSMPLYLTHSRWPRTGTATGPGGRLALFFLLPESRTIKRGTAARRRSHGSSIFTSIKSLRFYGEGALLCIYR >Et_1B_011500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22977504:22981583:-1 gene:Et_1B_011500 transcript:Et_1B_011500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWASIENQLGGWGREGVGDYSAGVPGEGRPCGAGSDRAKPSMGDGIEEDGGKKAVRAGAGRDGLRKRPCCPWLNRLPSLCPTRTHLFFVSFVSLSPTHPNPKLQSAAAQQKGLAGGRECLRCAGPARASEAARAGEACAGGGEAARGLPRQARPALAGESARAGEGRRGCVGPARVGEACACRRGCAGKQGPARLRGKARVGEAVQAGEGRRGCAGGFDAARLVERLDLAEQRSGLAGQRRPFDLAEQRSGSIHRRWRSIRILQKQLDTFEVDLRSGIVLLSEKGQEALKTITDSTQWKVSANENLKQTAALLRTWASTDRVPILEVEDGLLKMMEKEGMDTWLVIFHHCSLVPLAHRTGFQTRLHIELVDVLSRINMNDYLGVYMTKFYQGSHYESQDLDQVVQAHQVLRYNRNSGAHGAEWAVQPGQVDPSTVRRAKSQAKLFLVELMYLKNLPSKVELRRCDQISMMKKSPKCSTPKCKCCCTPSSVPCTAKVITGELGATAATNEDVAEDSGKSKSWIKALDENASLHHERESRLKETVAILPAGGQEQRAWAVPGRRVPARLEADNARLSADLDAAVASTRSRDAAERAGPDAVREKMVRRGQCGRRWSERRWCRAVVRACGRRYTARAVAGRRAEAGGRGGADVVGGDGVRGGVAGGRKRTRRRGAWGDRRGTAGSRRRRRGMEL >Et_3A_026801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21217010:21218950:-1 gene:Et_3A_026801 transcript:Et_3A_026801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLNRVNSPATCRAAATAALLALACCRLCFASDDDGAAGEMPSSRQRVITVDQSGKGEHRRIQDAIDAAPANDSAGAVVIRIRPGVYRQVEEKVVVDKPHVTLVGASANSTIITWNESWVSAESPTVSVLVSDFVAKRLTFQNTFGTTAPAVAVRVAGDRAAFYGCKFVSFQDTLLDDTGRHYYRGCYVEGGTDFIFGNGKALFDKCHLHSTSLVGGAFTAHKRSSESENTGYSFVGCKLTGLGAGTSVLGRPWGAFSRVIFALSYISSTVRPEGWDDWDDTTKQRTAFYGQYQCYGEGSKTDGRVAWSHSLSQAEAAPFITKAWVGGLEWLRGSRFEVNPAVNGELYFH >Et_3A_023648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10318083:10320342:-1 gene:Et_3A_023648 transcript:Et_3A_023648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLYVPNGSQYSKLRKAYHLLLFHVHPFWIQLLYFLFLSLFGFLMLKVLPMKTSEVPTPSALDLVFTSVSATTVSSMVVVEMEAFSNIQLLLMTLLMLLGGEVFTSMLGLHFTYTKLKKRETSHVLEGKPPPPSSNNLELTPMDAAVSLDQMELGIKNNQCSSYTFTVRLLTLVVLGYIVVVHLVGYTLILIYLSAVAGARTVLSGKKISLQTFSMFIVVSTFANCGFVPTNEGMSSFRSFPGLLLLVAPHVLLGNTLFPVFLRLAIRALERVTRRREFGELLREDDDGGEERRRPSPAARWRYDHLLPGAHARFLALTVAALVAAQLVLFCAMEWRSDGLRGLTAFQKLVAALFMSVNSRHSGEMVVDLASVSSTVVVLYVVMMYLPSYTTFLPLEHLQQRQNGEQSDDKSTSSSNSIWQKLLMSPLSCLAIFVVVICITERRQIADDPLNFSVLNIVVEVISAYGNVGFSTGYSCARQVRPDGSCRDAWVGFSGKWSTEGKLTLMAVMLYGRLKKFSMHGGQAWMLG >Et_8A_056572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12602312:12612558:-1 gene:Et_8A_056572 transcript:Et_8A_056572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSDDDDVEPRLKAVENYYFVDDDDAPVSFDVLPFQFNAADEVPSFKRDVYLRGFTDGGLQKVYKQVVAWKLGLDGGSPEITVLSTEGSWIVLLKPRSSYEETVRSVLITVEMLHFVRKSPTVPEEEMWGHLCGVFGKYDVRPSMVDCRNHVRLIKVFADRDPAIAKSQVGSDDLDLKHPFIAEDEERDEMVEDDSKHESCNGDDEVDDDEEEGDLFDSVCAICDNGGELLCCEGSCMRSFHAKIGDGEDSYCATLGYTEAELEAIKNFLCKNCEYKQHQCFVCGVLEPSDGPNAKVFLCNNATCGHFYHPKCVAQKLHPNNRNQALELEKNILAGFSFTCPVHWCFECKGLEDRTQEPLQFAVCRRCPRSYHRKCLPREISFEEIDEAGIITRAWELSKRILIYCLDHDMDLDIGTPVRDHLKFPRKAKPVHPVKRKVKEVAEKKRQTFDDLYVDEPLQKSSRRVATKRSLEQPVSKPLKKKAKYLKEMMHPEESVLECHAVENQAKQPAKDELATLSLPTTGKTPQSSFPVVDTETEKRVIALVGKEVSSLTLDDVSRRCAIPSTYASSGRQIDKIIAQGKLERSVQAVQAALQKLENGGTIDDAKAVCEAEVLRQITRWNNKLRVYLAPFIHGTRYTSFGRHFTKKDKLIEIVDKLHWYVQPGDTIVDFSCGLNDFSKFMKEKLDKAGKKCNFKNFDVIRPKNSFCFEKRDWMTVRPKELPHGSKLIMGLNPPFGMKAMLANKFIDKALSFKPKLIILIVPKETERLDQKRQPYDLVWEDTGSLSGRSFYLPGSVDVTDKQMDQWNVSPPPLYLWSHPDWTQKHRKIAEEYGHNTLNRDRYGEEKDPFMTENINIVGKPERVNGLPAEKLVVVGYEETNAASERGGSFRANQSEAIEVSNSSRWRRESEKTADATKADSDMSISPSDSRNSQYKSRSDSPHMTSEYSSERVAHQDIYFNDPVREPCTPFERAPYEDYIRNVAEYGVASVEKHLAISTSNVGAGLLMPSPDIDELTGDYAGGPNSNFYTAASGGTSGSFYRIQNLQDSSADHILERTSPAPTNMVAGRTVDDARMYGLIRGDHTQPATTAADIRAQIRMYGGLTGDGHSQTAMNLPASDIRAQIRMYGQQSTHTSGYSRSSDPQSALTGFDSYGISSLDSIGRSPMGRYTPRLHETNYTTGLCNVPDGRRNMTPDPLAFGSRQQYPYPHPGPSGGWPG >Et_5A_040972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15942054:15944743:1 gene:Et_5A_040972 transcript:Et_5A_040972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DPVYQLHTTRSWEFLQETAVKIDSARHYSTGGANAAAAASATTDTIIGLLDSGIWPESPSFDDAGFGPVPSRWKGTCMTGSDFNSSNCNKKLIGARYYDLGEVRGPAQSNSPRDQAGHGTHTSSTAAGNAVTGASYYGLAPGTAKGGSAASRVAMYRVCSEAGCAGSAILAGFDDAIADGVDVISVSLGASPYFRPDFSDDPIAIGSFHAVAKGVMVVCSAGNAGPETATVVNAAPWILTVAATTIDRNFESDVLLGGNNSAVKGGAINFSNLDKSPKYPLITGEAAKSGSVSDSDSASHCEPGTLDTSKIKGKIVLCHHSDGDTSKVEKVDVLNSAGAVGCILVNKAEVAVATTYLDFPVTEVTSAAAAAIHKYIASTSQPVATITPTTTVTEYKPAPVVVYFSSRGPSAQTGNLLKPDIAAPGVNILASWIPTTSLPVGQKQASQFNLVSGTSMACPHVAGVAATVKSWNPTWSPAAIRSAIMTTATQLNNDRAPMTTDSGSVATPYDYGAGQVHPTAALDPGLVYELGEDDYLQFLCNYGYNASKIKLITSLPSGFSCAANASKDLISNLNYPSITVTVLSKTGSRTVTRAVTNVGALEEATYTVTVSAPAGLDVKVTPSKLEFTKSVKKLAFQVSFSGKNMAAKSTLSGSITWSDGKHMVRGPFVVTS >Et_4B_038269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27766444:27770263:1 gene:Et_4B_038269 transcript:Et_4B_038269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPKITVADGRLVAHGRTVLTGVPDNIALTHASGAGLVDGAFVGATADDAKSMHVFTFGTLRDLRFMCLFRFKLWWMTQRMGSRGSDVPLETQFMLLESRPGAGAGDDDSGEPVYLVMLPLLEGQFRAALQGNDRDELEITLESGDKAVQTAQAANMVYVHAGTNPFDTITQAVKVVERHMQTFHHRDKKKLPSFVDWFGWCTWDAFYTDVTAEGVKQGLQSLAEGGTPPRFLIIDDGWQQIGSENKEESNVTVQEGAQFASRLTGIKENAKFQKKNQEAKDSKDEKETQNQTPGLKLLVEEAKREHGVKYVYVWHAMAGYWGGVKPAAEGMEHYESALAYPVQSPGVMGNQPDIVMDSLSVLGLGLVHPRKALSFYDELHSYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRAYHHALEQSVARNFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFDLLKKLVLPDGSVLRAQLPGRPTRDCLFADPARDGTSLLKIWNVNKCNGVVGVFNCQGAGWCRVTKKTRVHDAAPGTLTGTVRADDVDALASLIPGGPGNWTGEAVVHAHRSGELVRLPRGATLPVTLKVLEFELFHVCPVTALAGGDAAFAPVGLLDMFNSGGAVEQCEARGEAVVLRVRGCGRFGAYCSRRPARCTLDAEEVEFSYDADTGLVVIDIPVPEKEFYRWTLEIQV >Et_10B_003475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2063874:2065924:1 gene:Et_10B_003475 transcript:Et_10B_003475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAATTVAAAMVRLAVGVRAFSTSAPGAGGAGGGVSMVQGASRGIGLEFVRQLLRRSEQGRVVATCRAPDSAPELLKLKEEHAPGRLTVLPLDVTDESTIEAAATKIGETHGSLDLLINASGILSIPNVLQPVTKTISVEFGRKDNIACILLHPGTVDTDLSRPFQRNVPKGKLFTREFSVQKLLSVIDNAKKSDNGKFFAWDGQEIPWIRYGARPVYKSCSDRDITDSTCSTRKSEIISLDVLLTQIMR >Et_7B_054032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14392272:14393205:-1 gene:Et_7B_054032 transcript:Et_7B_054032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGISMAVAPRSDPDHRSEREPAAAMLGGVMGSLRVIELQLVAFIMVFSASGLVPIIDLAFPVATTLYLVVISRLAFPPLHGKLEAARSPAASQEIFRGSKLFQAYVVVGTTVGLFLPLAHVLGGFARGDDAAVRSATPHLFLLSCQILTENIVGSLGAFSPPVRALVPLLYTVRRVFVIVDWVYDVWANRVLTRAATTQERAWVWFGRYLAVANLLYFSANLFVFLIPKFLPRAFEKYFRMRDEAYAKTAEDRHARQHLAGDDDAAAAKPVESKKAD >Et_5B_043928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17889168:17890044:-1 gene:Et_5B_043928 transcript:Et_5B_043928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREVGDEGLAGEEVAPGPADGAEAAERLRRRDAQQVHGEEAVVGASGVTGFTGLWMRRRHCRQSGIESHNADRDGISLHIAGQEQRKNWASVLKSFNSLGSLHPSTTKSMFDTNWILRDPWNGAKITVPPKFAVDDQDIGTDHFITIKKFKSNVPDPEVAIIDRQHLSPAK >Et_2A_015332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11022823:11031879:-1 gene:Et_2A_015332 transcript:Et_2A_015332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDFIELSSDDDDNDEIVRKEPAVHEQRRSVQVKEEFVDLTTEDVFEAVHDVNGHGDAPGNTISQSGEFDAKDGQSEAAHCKQEFFVEDQQGDATNCTTTPQMLESTADDGQDNAAHLTTTTEMQESVADDRHCTKTVHMQVNVVAVGSTQDAVQSGHAAEATTSLSGTQQESHRSTSFLNFPPGLTAPPFPRQFWKAGEYRLAAGAAIDGGQNHLRIHPKFLHSNATSHKWAFGAIAELLDNAVDEIHNGATFVKIDKIKHAPDGECSLMIQDDGGGMSPESLRNCMSFGFSHKCSTSIGQYGNGFKTSTMRLGADVIEIDTKCWIALLHIPDEHKVDYESDASSSTFKRIMNYGEKHFFSNLSALLKWSPFSTEDELLNQFRGMESHGTKIIVFNLWFKDEREMELDFRTDEEDIMISGAPPIQARRGIQLLKQMHVANRCRYSLRVYSSILYLQLPENFRIILCGRAVEPHHLANDLIYRECIKYRPQVNEIMEVDVITTIGFLKGAPRLDIYGFSVYHKNRLILPFWPAGSYNMKRRGIAGVLETNFIRPTHDKQDFEKTGLFHRLETRLKDMAVETHHCQLVGYAPATKRVAPPYYVSTADNNDDNLASQAAANIYSYDTRDRASVALHPCSNGDNSQDPLHVGASADEMDADACPSTSMHVRTTLHMPRSAPQQSQTELCKRRNPEVTYWRAQKRQKNHASQPGSEDIAEVRNLYDMILLFSIFIVTEGCSHIFRWTRKNLERKFGRPLKGDKDAYDQG >Et_8A_056137.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:24012242:24012835:1 gene:Et_8A_056137 transcript:Et_8A_056137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRCVVSVVGCVLIAAGLAVVALYLVFRPHVMGVSADAADLGNFTLEPRTWILHYNLSLDMHVQRNPNKRIHAMEYEHLHAQAFYQGQQVAHADIPGVFLTTQLNNAALLRVVFFGQAPLQGGVAAAAFRREAGDNATFSIDVKLHARMKLQLFWLLTVPGPNPKIDCQLRIRRRKRATFELRPGSFSPTHCKVWF >Et_4A_032688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12615326:12623330:1 gene:Et_4A_032688 transcript:Et_4A_032688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPPRSSGGGRKPRVPPLPPARTLLTALAAVVTLSVLCLLSSSYPAASLLGYRRSVAGSGDKYLYWGGRVDCPGKHCDSCAGLGHQESSLRCALEEALFLGRIFVMPSRMCLSSVHNTKGVLQSNTSSTRRWEESSCAMESLYDIDLISRTVPVILDNSETWHDIVSRSTFLKGSVAHVQGISRAELKANPLYSTALIINRTASPLAWFMECKDRKNRSSVMLSYTFLPSMPARKLRDAANKMKEILGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTRPEFIKKRIAKWIPTGRTLFIASNERTPGFFSPLSDRYKLAYSSNFSSILGPVIENNYQLFMVDRLMMQGAKTYVKTMREFDSDLTLCDDPKKNTKVWQKPLGTRNHEKRLVFPAKNGEIGARVSLLWIERIGCCARFGWPWGVGAEVVHSVLEAEVRRRGRGRGSGRRKNAGSRTSVAGGMRTRRRPAGCTRQREGAPPPLLLLPLTGRYGRREMRAASIEDNLLHQQPTSPPRAQQPVGPMPQQQLVQHQLIYVLLHYNSQLHLASLVISIAVHVAAAIVFFFQLNGTRPSLPALVEPHAEQLHRRVVVFFVRPPWQYCGGVGAELRHQPAGR >Et_6B_048713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1315754:1326910:1 gene:Et_6B_048713 transcript:Et_6B_048713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVDILTVQTCVLKVNIHCDGCEKKIKKILRKIDGVYKTSINAEDGKVTVTGLVDPDTIVKKLNRAGKPAALWGAKPGVVSQPQKLQLGGGNKGQPKDAGGKGQPKDAGGNGQPKAGAGGAGAAVAGAGGAGAKDAKTVLPQPTPQQPTPQQLTPQQVQQLQEQMQQLQMKGTKLPPQLAGGKVPPLPAAAPANKDPKVVKFNLPEEDLDDEGSEFDDEFDDEDFEDDGLDDVFDDPRMMVRPVAMPPPAAGGGGDKKGGAAGGGNAGKKGGAGSEIWVQIKGNANNVYAGGKQNQGGGGGNGKNGGGGLPPQNVKGGAPGGGNQPGQVKKVGGAGGPIVGGAPVAGGMPPQPGMMRQNMMGGAAGFPGMGQMGGGPIGMPMGQHPHMSGMPQVGGGGAVNVMPSGGMPGAAFYHGGGMQSGPEMLQAAAAAGNPAAQQQYMAMMQQQPQMMMGGHGHGHHDHHGAGGYPPMGYGYGRPPMPYPMAYPPPPPSHAESYNIFSDENPNSSCSTCELKVNIHCDGCEKKVKKILHKIDGVYQISVDAEQGKVTVSGLVDPNTIVKKLNKAGKPASLWGSKPGGGGGGGKGQQKDAGGSEFDDDEFDDLYDDEDFDDDGLDDDFYDDPKMMMKPMAMPPGAGGGGNGGKKAGGWNEILGQIKGNGNNGRGGGKKDAGGKQNQGGGGGHGKNGGGQPPQNGKGGVPGGANQPGQGKKGVGGAGGPMGGGVGGPMGGGMPPQPGMMRPGMMGGAGFPGMGQMGGGPMGHHPHMGGGGGARREPQQLLGDVSGDAGNLLVQTVLPLMR >Et_8B_059254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15889810:15893676:1 gene:Et_8B_059254 transcript:Et_8B_059254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRSLVRSPVSSSAVNGCFIYNSCRSRNYYQCSPTTNVQRLQVGQRFLPRKLRKTTQWNTTFFTQRKIVPQCSSDLSTSCREEVPNFLAVNLLQEQLNTRQETTRKVLVILNPNSGFRSSRDVFYKKVQPTLKLSGFTMEVIETAYAGHAKAIASTVDVSNCPDGIICVGGDGIVNEVLNGLLGRDNLNEAIQLPIGIIPAGSDNSLVWTVLGVRDPVSAASAVAKGGFTPIDVFAVKRIQAGFTHFGLTASYFGFVADVVQLSEKFRLQFGPFRYVVAGFLKFLSLPKYKFEVDYLPSSQERDPELNLLTDKCHEQLSDCGKVMRGVRNNDMIQDNWVSRKGEFLGIFSCNHFCKPAQGLLSPVIAPKAQHDDGNLDLILVRGSGRLRLFCFFIAYQLCWHLLLPFVEYVKLRLDRLAILTMDVVLMGSFFVQTAKLNGSARCFQHKAACLAGIPYMHIIPFGLRFWKELRLLETQIGSAQLGLTL >Et_2A_018589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3387400:3391812:1 gene:Et_2A_018589 transcript:Et_2A_018589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPVAARRPGTPPPCHQRAAFRPSPSPEPEAEEVASRTEPQRCLNSDGRKRKKALYLVLQEQGGGHGAESGCYYAVHRVDLARSRHGISVAGEELGEPPVTRFKAAPGLAFLKLGSNKIVGVTDKSALVVEPESVVIDVVAGTWQVSPGLPPASATCGLVAVPGKIYAVDMLYEDHPRCEVLRAASAGGRWSPLPRPPFSDRVVSLAAYPPRRGFLVSTAKHGAYLLDGRRRSGAAWLLGRPAAAGRPRRDHDLWFEVSPRDGRLRAHDLDVVVRGDAEAELTHEARRVSDPIPVVVAPGATASGARLVYLGSGKFCVVQATGGAVTVTVFKVVDSEMPVALAERRRQRERAMSAEWLTAELERGCTDKASQSCPRRRKLCRVNLWSRTYVVQGGGGGQSYGPSVGVELNLLPSIPIHLNLHCLHLQPCITRVRDQEHQHNDPERGNDERGHQERPPPPKLQHGRGEDRTGDVADGGVRVPEPHNGAAVRGVAEPIPHDGDDAGPAGGLDDAAGDLRREEEGKPVDVQEVGRAERRRCSSRGEHGGAKKAAEVGTVGEVARGEHGERVGGEEGDVELPQAMRAAGPREGSPVRGEHGFDDAGRLAGRVERRVGREGEPQHRVLVPPETPRAAGRARVGRRGRGLHGTDGC >Et_8B_059556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19196077:19198563:1 gene:Et_8B_059556 transcript:Et_8B_059556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAVGGTSLQEKIRPSIRLEEDGLHRLGPGLEVAWGVCCSAEKLAQTREARGGRRGREGEKRRHWGMDIISQLQEQLNEMAMVAVNTFGTLQRDAPPVRLSNSYPDPLNPNPNPEDPASQPSQTQPGAPGQLQAQVQAQAQPPAPPPAPTLDLAEHPKAMSHALVLAAKKFDALVAALPLSSEEDQLKRIQELQAENEAVGSELQKQLEAAELELKQVEVLFNEATDNCINLKKPDHPVPSFASIIPAQTYLQLPRPATRGSMSTSNHNQWITQYDSCPCLLGSVMVMRPVPLKKQFQEFLPLAW >Et_3B_029932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29126863:29129230:-1 gene:Et_3B_029932 transcript:Et_3B_029932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAASRRLRAFKRWMREHGVVCSDALRLDSSESGGFHVRAVAPLREGDLVATIPRRACLTPRTTGAAEAIESAELGGCLALAVAVMYERARGKDSPWYAYLRLLPDSESVPLVWPAEEAERLLAGTELDKIVKQDREFLCEDWKECIEPLVGELDVDPDDFSLEKYFSAKTLVSSRSFQIDSYHGFGMVPLADIFNHKTGGEHVHFTSVSDASDSDVEEEDEDDKSDASTDDQSTIEHNTNSFSGASVNDEDLEMIVVRDANEGDEVYNTYGTMGNAALLHRYGFTELDNQYDIVNIDLALVTKWCKSMFSNRHARARVSLWRNLGYSGCASQDAEYFEISYDGEPQLELLILLYIITLKADVYDKLICVADDLIVNEEHDSVSSLVRLVKATNSNVNFECNGLEKMPGVKKLLHSKSISSALLSLADMRESLYGSNSLEDDEEKLKKCNCVKERRMYHSLVLRVSEKRILNRLRKYASSCSKTKKRKHR >Et_1B_012612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33744568:33749342:-1 gene:Et_1B_012612 transcript:Et_1B_012612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSELEGDELNKPDRRLLTPDNDILISLGEHSAKELIARYGDCRPAHGAEDEKRARINVISGEEDACRTSLEIIDRKRDTTEVIMDGTCCELNSDDTETWTAVAPGYPILPNSSHRDGSIYKGDDCWKKAYRIADRNETRLEAMMLSDPTEDCYFKDGICWLHTARHTLQFFSLKLSEILVDGDSVELYGYMAARDSFDQLLNYIFNCRRDDPLIIKQGSLLNLAGPKRGIELYDTIVIEYDMRIKIGETEMDDLQLIDGVSIFDHISTQNCRPFTCRIHGDYGAIDMTVARLNDSFEATVEVLISEVQGRFRMCLDCFTSGLDEEIRLFDGSIGDSRALKRSVVAVVMDTQIDLKFKVGADPSITTEHCCSFNANRHGHVIQEIKTDFALISVKSQYNLIHYEARSEAMMYSDPADCYVRYGPGMIYGSNIMMQIISLKLAKIHVSYSSVELYGYIAVRER >Et_4A_031816.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:17965738:17966154:-1 gene:Et_4A_031816 transcript:Et_4A_031816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVTLQCIANDSDLSRDVQEPAQGPDEEGERASNAVRCGLLHGGLRRGRVADGGVAVGGRRGTHPVLVQAMLELDRCKKMMDMESFLRQRIDKLKEQLHKAQGENQERQTMLLLHDAFASRHPGLAVGGDYACY >Et_1B_012645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3422166:3424419:-1 gene:Et_1B_012645 transcript:Et_1B_012645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVVCFTAGHMDEIRSDDIEKQDEVMLPGFRFHPTDEELVRFYLKRKIQQKSLPIELIRQLDIYKYDPWDLPKLASTGEKEWYFYCPRDRKYRNSTRPNRVTGAGFWKATGTDRPIYSSDGNKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLTDPSVPQKKPLEKTIPPNDSWAICRIFKKTNSTAQRALSHSWVSPPLSSTNETYIPPSSQAIQRSRHSSENTSSTMTDIVSSTIQFTGSSYLSSIVPSSSHNPLSIIDSSSRPAASSVLPSSGAEHQNMSVLSAIPLDLPAGMNIASMVLNATPISLQNLARIPTNIEFGQPQHCNNISLVNRCTVDLPDVGSTVNSASRSINFPFNLQGALPEDWRTTLPWDSLPCTTEVSTTYQPTKCYT >Et_10B_003327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18916239:18918503:1 gene:Et_10B_003327 transcript:Et_10B_003327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SECGDIIDCIDIYKQPSLKNPLLKDHIIQLKPEMEPPKIHDKLRGRNYSFPQQTWRRSGSCPEGTIPILRKPTGADDEIANRTLPFSSYGRPTDANIQDNSNGKLEIAAAYAVNGPYHGASAALPIWKVRVEPNEFSKNYLLIASPHERHFTPIKGKSPPDIKNQIAVGTANDGGETSHCLNHECGFIQTNNHFALGTRFQDGDSKVGGNLYFITASLYRATGPAVWWLAINEVALGYFDPNWFPVPFIESFHHEMGGRVLDSRPGGRHTTTPMGSGMFPSAGLRAAFYMAVNNNGGDQLDDPINRIVTSPKCYDVKDFGWDRNRPGADVAYGGPGGADCDK >Et_4B_037843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2399314:2403522:-1 gene:Et_4B_037843 transcript:Et_4B_037843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGEASASDPKGKKDFSTAILERKKSPNRLVVDEATNDENSVLALHPDTMEKLQLFRGDTVLLKGKKRKDTICIVLADETCEEPKIRMNKVVRKNLRVRLGDVVSVHQCQDVKYGKRVHILLMTQLKALLATSYFLEAYRPLRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCEGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEESEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAENVDLENIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVSNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDDQSRLQIFKACLRKSPVAKDVDLNALARYTQGFSGADITEICQRACKYAIRENIEKDIERERRKKDNPEAMEEDEVDEVAEIKAAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFPGQPAAATGSGAAADPFASATAADEDDLYS >Et_1B_012579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33400378:33404940:1 gene:Et_1B_012579 transcript:Et_1B_012579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFSPGGGGPSFEFAFNEVNFSDRELRIEVVAGDDTPGSSEDGGGGGLADWARHRKRRREELRKEKESATHMLDQTNCNDDEAEERDVFEDNQEEPVAMIEESPPDVGQDEADDGQNIDSSWTVVGTPVLRVKTIYISSAILAAKSPFFFKLFSNGMKESDQRHATLRITDSEENALMELLSFMYSGKLTTTEPTLVLDILMAADKFEVVSCMRHCSQLLTSLPMTTESALLYLELPCSISMAAAVQPLTDAAKDFLAVKYKDLTKFHDEVMNIPLAGIEAILSSNDLQVASEDTIYDFLLRWARAQYPKSEERREVLSSRLLPLVRFSHMTCRKLRKVLTCTDIDHEQATKCVTEALLYKADAPHRQRALAADAATCQKFAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMEQQSTFYCFGLFLGMQEKGSMSVTVDYEFAARTRPSGEFVSKYKGNYTFTGGKAVGYRNLFQIPWTAFMADDSLFFIDGMLHLRAELTIKQS >Et_9A_062289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21494362:21502118:-1 gene:Et_9A_062289 transcript:Et_9A_062289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAARRERRHHRKAAAAATAAGMAPGATGGVGGTAAAARAAYGDVFGGPPRFAAPFGAAPVDYAEVFGGVAATCSIPFLDLPPAAAVGGDDAFFACKGKGDYGEIFSRFDFADFALPYEDIFGEPEPAPEPEREQEVEEIASSSGSSSHLEDEAHIIPQQYQNLDRPQHFNELKFSPISFHSDTASQRFVMSYNKATDRKSDDIIEMTTYTVEPSRESVVDSRNLSHGPKTNRVSRIDNGTTTNGDDEKNPSSASLSARSPESDFAVEQKQQSPSWTPISANVSANGNHTDSHSTCSSATPDYAFLRVSDGDSKTQPIKVQPSLRQQPKLLNKKESTAKRDINLVTPSNNAPQADKKADAANPTSASAAMKEAMDFAEARLKAAKELLERKGDSFKLRKKPSHHRSTRSTEIKAPVLAEVDTFEQKLSVKKPLKDEKNPEFSLSEKHKKLSASRLDHLDDSGKGVPPLEKPQKMDSCQTSSKLEKLGNWRSGDEYYELTGDVEKCRTGNAPAEDDTPKFKQTSPMTSLSNDKKSGTEFIASDSDLERYEKLWEVNDGRDVGVKDVNLKENNTAPLDEDSASGILETSVENAARREIRNSKLEGLVTQEKAKECHADDECIELPSKMDTSTMMDSLKDMSGSLSVAHSSGNHASDLRDLGNNSPTVSPVSGTSQEHSNLVLEVPCDDAMPCTSGSNEQLQETPEVSVSRGSNIKSLILEELEGSYVCDHVPRAPSTVEQDVETYGREKFSFTGESFLHNEEAETKEVSSEKVEKVETEEKVAACAHHEETDVDLNIEHPEESDITLQNNNLAGREESDMLNVFEVASKLITRDLDQGMQGSSGHVEVDSRMEEGTDGLISDRKGKEAEETSLENIGRTSTEEESADDNQENQKSTKSPKRGQSNVDANSDTTVDETGSESVSGIASDSTTKTTITSTDEPASSEKYTRMQHSVQKDESATSQTSKRSAPGVEETGDVFRGERELPLGESTCEGKKGRMDKMEETYTPTRISKAEHGPSPLEKNHSLPKSAEGIASISAEAMKKEALRVQRAKERENIIRAESASEKEKGSSQRTEEAKESSKRLQKERELAEERERRKLEEERERERKKDRLAVERATREAHERAFAEAREKAEKMAFERITAARQRASAEAREKEDRASAEARIKAERAAVERATAEARERAIEKAKAEKALAEARERRERYRSSFKERSTNQDLRQDTQFQRAASSNFTGNSYSNNKVVEVESALRHKARLERHQRTAERVTKALAEKNMRDLMAQREQAEKHRLSEFLDPEIKRWSNGKEGNLRALLSTLQYILGADSGWQSMPLTDLITAAAVKKAYRKATLCVHPDKLQQRGATIRQKYICEKVFDLLKRKQGIFETLLTGGTCFCRMLGTSSPQRSDRMEAKQPFILEQDI >Et_2A_016869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2928926:2932809:1 gene:Et_2A_016869 transcript:Et_2A_016869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPLFLHLPPNPAPLHPPFPIHRTHHSFLRAAPFPRRGAVAAAAAEAENPSATPAAADVEMVRGRDGVWTARSPTVVVLWDLDNKPPRGPPFPAATSLIAAASLLGRVVSVSAFANRHAFSHLPAWVSAERRDRRALDRAERAGVVAPPVPYSCAVCGRRFPTRPDLTRHFRQLHERERNKKLNRLRSLKGKKRQKFRERYIAGNTKYNDAARELLTPKVGYGLASELRRAGVDVRTVPDKPQAADQALKRQVKHSVACGVDWLVLVSDDSDFTDTVRNARDADLRTVVVGDGCRALGNVADIWLPWDRVENGDVDEEMLRFGTRSDLEDEEEGQQDEQFVVEWDTANLDDVVDDILGTRTSLLGATTMSAFADAEISDGIFEVGLNGDSMFWSSDDEDEDEDEDATQGLRGARTRSSYNPSDQVWKPKKRNIFNAKTTTKRTGNAACTRKE >Et_2B_020150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17297524:17301330:-1 gene:Et_2B_020150 transcript:Et_2B_020150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLVFRLRGGGKNCRFTIAPHLLALARKYNENKMVCRRCYARLPLRATNCRKKKCGHTNERLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGSRGGYPKGIEPSLRELAQKYNENKQVCRKCYARLPLRSNNCRKKKCGHSNQLRSKKRFMSKLGGN >Et_9A_062813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5197149:5199476:-1 gene:Et_9A_062813 transcript:Et_9A_062813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CSCVMAGHHHNNSQIPMIDHVNQLHNEPSPFGPKLFMHPRRDAPNGVLSSGYGSATMRSNDLPSSSYAGQSQQNGAPGALHGSYAGYPHTGSSSSIYAPRLPALSYPHRPEDNFIPNPSMDDRRIAQKRRNPIIHPMDGASTGSYYAASSSNTQFSQHMPPNPIPALEFCPPRVPSNLSSSRWSDHHFGDHGGSLRNVRGRHDHSSIHLGHSPAVSCSSSSIHGPPHLANANVPSLSTAIQQDRAPFSIPPRVVPPGTGENSSMAFRERPYYPPQRTNISAPLATLPGSSDSMPFVRGGYAPRSVSHNTIRTYPAPAFVTSSNSGAISYEPAIPSYHPSAPSYLPSSSAASSSVQPFPAEPAATLRHLGHAALGHSGSARSRRSRDTYHGFHPLMIEEDNWGRSAAERFMMLDQLVIHESREASDPHWDMRLDIDDMSYEELLALEERIGNVNTGLADEKISGCVMEVACCSSSRLQDDKDNRSCIICLEEYKLKDSLGSLKCGHDFHADCIKKWLQVKNACPVCKAAAADDSGGTK >Et_8A_057175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2194456:2196851:-1 gene:Et_8A_057175 transcript:Et_8A_057175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAARKKKKKRGRVEMRRIEDRVSRQVRFSKRRSGLFKKAYELSELCDAQVALVVFSPAGRLYQFASSNSSVDKIFDRYWDLANTLNDLNIEARDSWIGFNIQKEQSAAGSLYDQLNNIGQWTLQPNVKDLSMAELRCMEEILTDALTIIK >Et_5A_041988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4963279:4966545:1 gene:Et_5A_041988 transcript:Et_5A_041988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASRIGNALRKTSAPSNAPLLQAVRCMSSSKLFVAGLSYATDETTLTSAFCHYGTILDARVIVDRDSGRSKGFGFITYSSREDAAAAITAMDGKDLQGRILKVNYANDRAGGIRGGGGFDGRGGGGGFGSGNGYANGGYGSIGGYDSGRESDGTGYGSNSGTYAGNGGYSAGAAAGDYASNYNASGGAGGYASSANYSDIAPNGSYASNQNTVARGGYAGSNSGYRDVNASSGKYGGGSGGHNDGATRGGYASNYNNASGGAYGNDRSFNTTGSSYGSTGGYNSPNTYGSTGGYNSPNTYGSTGEFGGGFNCGGSGSGSAGLNSGSNFVENARGSYNRRTGTGGFSGSEAMGCETNKVRYNGQDDLLGEDFFDDKEVAENS >Et_3B_029127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22244077:22249672:-1 gene:Et_3B_029127 transcript:Et_3B_029127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGCLSGSDNLFLSHFLFSICYVGMNCVTEKYWFLAYQSHLPDKLRISSIRILNQLFMGTFCIPDGTQTVSNYECSSSYHDPCFLNYPLRLYIQSTCCFVQQKDFWIFEDRPCDGNPLLLPSRKLSTTLTNHCLICLGSYKYIRQLFDEVCCICKLSCSLDLFKCSILFTIGYVISDGSHKKEWFLADKTDLSSDPLQIQILDVDSIYQHFTSLWTQTIKYQLLRPCRVPKGHILEFDISLDIFEYYSRSVCYVNVRASWISTVSADILEAASAVVISTSKNAMSCLSTASRNLNKKKELTEKGRNCCSDSQIDVVHNNLEMETVRHFKIPDLFGSCTEATRECSYKEAYLA >Et_7A_050693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10122002:10137069:-1 gene:Et_7A_050693 transcript:Et_7A_050693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNRIGMERASRFIATVRTMANLAQQFTREMTDPRTWFLLLLPLFLFLFARYSSASLFRAKGATDQRKQQQQADDGVRLPPSPPSLPVLGHLHLVGSLPHVSLRGLARKNGYDLMTLRLGAMPVLVVSSPGAAEAVLRTHDHVFASRPHSVAAEVVLYGPSDIGFAPYGEYWRQARKLVTTHLLSAKKVQAFRRAREEEVGRVMARIGEAAAAGAAVDVGELLRSFTNDLACRAVTGESSFRSEGRNKLFRELVADTSPLLAGFNVEELFPFLARFGVLSRVVRTKSEKLRRRWDELLEPLIEEHESKYQRGASAEDDFIHVLLSVREEYGLTREQMKALLLDVFFGGTESSASVLEFTIAELMRCPHIMSKLQAEVSARVPEGQELVSESNLTDMSYLRAVIKESLRLHNVTPLLAPRLSMAGCSIDDYTIPAGTRALMNTWAIGRDARFWEDPEEFVPERFVHDGSAAHVNVSGNDFQYLPFGSGRRMCAGMNYGMAAVQLMLANIHGYDLMLLRLGAMPVLVVSSPRAAEEVLRTHDHVFASRPHSLVAEVVLYGPSDIGFAPYGDYWRQARKLVTTHLLSARKVQAFRRAREEQVTTAMEQISEAAAAATAVDVGELLGSFTNDLACRAVMGESFRSEGRNKLFRQLVVDTSPLLGGFNVEEFFPFLARFGVISKVVRTKSERLRRRWDELLDRLIQDHDDESSTAGAGDPKDSDDFIHVLLSVRQEYGLTREQMKAILLDVFFGGIDTLASVLEYAMVELMRKPDAMKKLQTEVRGSVPQEQELITEANLNDMPYLRAVIKESLRLHPVAPLLAPHFSHVELQHRRGHGIRVLVNVWAICRDARYWEDAEEFVPERFLDDDSATDVNFKGNDFQFLPFGGGRRMCPGMNFGMASVELMLANLVRRFDWELPPGNSRHDIDMSELVVDTSPLLGGFNVEEFFPFLARFGVISKVVRTKSERLRRRWDELLDRLI >Et_5B_043285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22082009:22082794:1 gene:Et_5B_043285 transcript:Et_5B_043285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKGSKMLQFVNYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRRLPPSKSSKTATGDREERRTLGLLLLRGEEVVSMTVEGPPPPDESRAKAAAAGGALAGPGVGRAAGRGVATGPLLQAAPGLAGPVRGVGGPAPGMMQPQISRPPVVRPPGQMPPPGMRPGMPPPMPMQFQRPPGPPGPFPGGPPPQQFMRGPPPMGPPRPGMPGPPPGMRPGMPPPFGQPPRPGMPPPPPQQPGQNPPQ >Et_4A_032684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12502502:12506936:-1 gene:Et_4A_032684 transcript:Et_4A_032684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIRVKRNKTTYFIQCDPTETTLDIKQKLHSLIDQPPSNQRLILLATNDVLDDSKTLANQKVENDAIVALTLRKERALRMPHASFISDLVHMYGLPHAMRSRYFRYII >Et_8B_059586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19494737:19499827:1 gene:Et_8B_059586 transcript:Et_8B_059586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKEEIMFDNQTKPCRSRVDSKSNPNSLKPKFGSSWGSQIVKGFTTDKKTKKTAAIASKKPPLASVENVNQPNQQIPYHSRVKRSLIGDFPCSPAGAQVHPQVFDCKSIRSPASHDLFLELDHLREQLRESKERELALQSELRRCRENSRVSELEKELDARKNEIDRLSRLNTSLEAEKASLSEQLSAVSEENVRSDGHGNREPSVDGDNAPSSGNLEFEVVELRRLNKELQFQKRNLAIKLSSAESKLSGLEKNEERDIVAKVQAEASLLRHTNANLSKQVEGLQMSRLTEVEELAYLRWINSCLRHELCNSDQAARTTIDLDSNGGMAFDDDGGGEGDATNTEDCSAMKFSIAERIRQWSENEKSCLASKKEALLDRAWIEAAEARSPTRRHSLGGPKGCTQEFNIMKRRQSDTFFSLPDATDESVSCKDVTSRERRDLLVDKYDFGRSESSRFDIGKSEVSKSLSLDVEKRALRIPNPPPRPSVSMSNSSPSNGSTVKPPRPPPPPPPPKFAARSTGIMKRAPQVAELYHSLMRRDSKKDTSSGGICEAANSANVRSSMIGEIENRSSHLQAIKADVETQGEFVKSLITEVTNAAYKDIEDVVAFVKWLDDELGFLVDERAVLKHFDWPERKADTLREAAFGYQDLKKLESEVSNYKDDPRLPCDIALKKMVTVSEKTERGVYNLLRTRDALMRQCKEYKIPTDWMLDNNLVGKIKFASVKLAKMYMKRVAMELQYMGPLSKDPALEYMLLQAVRFAFRMHQFAGGFDPETMDAFEELRNLVHVRNSTQ >Et_9B_063772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13552318:13552653:1 gene:Et_9B_063772 transcript:Et_9B_063772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLLDEHFMALRSLQDAESPDFVAGLVTNFLNDGDRIFGELTQLLKRSFVDFDVVSDHLIKLKGSSAR >Et_7B_054475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21714684:21717857:-1 gene:Et_7B_054475 transcript:Et_7B_054475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQPLQEPSATTAAAGAEPAGAPPAVVPGKEFTRTCKGLVVVLIGGYVLLQLLPSSLNYLAIIPSKTIPYVWTVFTAGYIEQVLPGAIGSSLGLLFCGKDIEPVWGRKEFLKFIILVNSICGILAFFFAIALYYVTGKESFLVTPLSGFHGCLAGFLVALKQLLPNLELPMCFFWKIKAKWMPFFVVCFSSIMAFIVPDSINFLPTLVSGMYVSWLYLRYFQRNPLTGLKGDSSDDFSFPSLFPDVMRPVTDPVANLFDRMLCARSKPSELALPVTDPAKASRRRERGERVLEERLAADHAADTEAPARNHSTAED >Et_10A_001835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8976975:8987147:-1 gene:Et_10A_001835 transcript:Et_10A_001835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSTTLAESARSVRLLKIDGCPPYNDDLEYSEDNNNNQYNAYRWEVDGYEWEIRFYPKQYSSWATYMALELIFLSMARVPSNSFRRPSDSSGKFLVIDRGEARSSGYLTSNGTVNVECTVVVFKDPEDNPVPSSNLQKDLGELLRCGCGADVTFIVSGESLAAHKNVLAVRSPVVMAEFFGEMKERTSRFIEIKEIEAAVFKAMLGFIYTDTVPELDDNQGTATSMAQHLIVAADRYGLERLKVMCERRLALGIDAGTAAAMLALAEQHGSSQLKAYQFLNDPIKYTASRWEVDGYKWEIRSPRADGYYDMALELVFLGQARANKVTANLSCRLVDPSGIRQQSAEKISPSKSFEHPSDSSGKFTIMSRCDADSSGYLSKNGSVYVECTITVFNDPEAIPMPSSELQKDLGELLQSGDGADVTFIVSGESLSAHKNILAARSPVFKAEFFGQMKEKSSRLIEIKEMEAAVFKSMLGFIYTDMVPELDEKQETATALAQHLLVAADRYGLDRLKVICARKLALVIEPGTAATTLALAEQHNCSQLKDKCIEFIVGASPEILGVVMATEGFKSLEASILTELFMAAHGRNKK >Et_2A_018395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26670111:26678620:1 gene:Et_2A_018395 transcript:Et_2A_018395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADDGMRRLTQLSLVSKVCSELEAHLGVADRVLAEFVVDLGRASASAADFRASLRDHGADLPDGIAHSLHAVIRAIPNHAPAPRNPASSRGASGAIRQDKASEEEESDGEPEPHQVRRGTVTRVYDAGCFVRLGGGARGREGVVHASQMPGRRVAVAHGQEVFVKVVSVQGAKQLELSMRDIDQDTGRDLLPLRSGANPPAGRSRPPGKSARIAGVFGPDDDEAGPAPRRPVRRMSSPERWEVKQLIASGVLDAKDYPLFDEDDDQEEDLEEDLEIELNEDEPAFLRGQGRSSFDMSPVKVSKNPDGSMSRAAVLQTALVKERRDIWNQEQRGMMDAIPKDLNRSWEDPMSGGRYLMQELAGTGLPAQSVPEWKATYGKAGTYGQRSSLSIQEQRQSLPIFRLKNELIKAVHENRVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIACTQPRRVAAESVAKRVSEEFGCRLGEEVGYSIRFDDRTGPETVIKYMTDGMLLREILVDRDLSSYSVVMLDEAHERTIFTDILFGLLKQLIRRRSDLRLIVTSATLDAEKFSGYFFDCDIFTIPGRTFPVEILHTKQPESDYMDAALITVLQIHLTEPEGDILMFLTGQEEIEHACECLHERMKAFGDDILELMICPVYSTLPTEMQSKIFEPAPAGKRKVVVATNIAEASITIDGIYYVVDPGFAKLNVYNPKLGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESSYRNEMSPTTTPEIQRANLGWTVLNMKAMGINDLLSFDFMDPPASQALLSAMEQLYSLGALDEEGLLTKLGRKMAEFPQEPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADRKRSNFFQPEGDHITLLTVTSLRKAQDVRKQLLEIMDKYKLDVVSSGNDSTKIGKALAAGFFFHAARKDPKGGYKTLADNQHVYIHPSSALFHQQPEWVIYHEIVMTTKEYMREVTAIDPRWLVELAPRFYRSVDSTKISKRKRQERIEPLYDRYSEPNSWRLSKRRCSNALRSGRVCNSHRRPAAAAAVQLGADAAEAHLLAGGGLHLEQLADDGGHRGRERRGGAPALPLLVAHLARVASGGGEGECFLIRFCLLLVRGLGGEGHDGTRGAEARRRSRREEERVREWEAAEGKGTDGGGSRKHFCARTEASRRTDASSVRAE >Et_10A_000884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18640925:18648386:-1 gene:Et_10A_000884 transcript:Et_10A_000884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASLNIEASLKFRAKALGKNCLPGHLKSVVSYPVSQTFGGLSSPVRVEHRSYGSHLIVRALNTTVSATNGRLKVPEGRVTVLVIGGGGREHALCYALERSPSCDAVFCAPGNPGIAQSGDATCIPDLDITNSDDVISCCRDWGVGLVVVGPEAPLVAGLANDLVEAGIPTFGPSSEAAALEGSKNFMKKLCDKYNIPTAKYQTFTDPAEAKQYIKDHGAPIVVKADGLAAGKGVVVATTLDEAFKAIDTMLVEGSFGSAGSRIIIEEFLEGEEASFFALVDGETALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEKLKQIVMERIILPTVKGMAAEGCRFVGVLYAGIIIEKKSGLPKLIEYNVRFGDPECQLGNISLTWSPELATVVVMASEGYPGAYKKGTIIKNLDEAEQISPAVKIFHAGTTVDKDGNFIASGGRVLGVTAKGKDIEEARAKAYDAVDVVDWPEGFYRHDIGWRALKHRHLVYRSPSARFS >Et_2A_018082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28403:35757:1 gene:Et_2A_018082 transcript:Et_2A_018082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPLAKLVDMAYADAATVHIACKSEVDAHFAVAVPWATSLSTGVQDGGGVAGVPLQNVMMASDSWVMENNRPRKKPSSQPVSCPGRQMIECISADLALVDTVYVLVKVVQEGSVLFEGYLKNVRAMAHKQFFHCVLSAKCIKPRRPGTLQVAENLAQLMYIVLITGYMFRNTQYHLELQQSLEQIALPEPKEEKVAENLAQLIYIVLMTGYMFRNIWNRSRVWSRLLFLDQKKKRESWENSLLLKMHQLEAPLKNYVFRVTEETIKGSKSPSVLATSSILIDVVADEHHLSKTVGSFSGSVGSQPRKDISKSPVTSSARPHSSSVAPPWRCKRSPWPRSPRDGELNSVRSEFDLPNGVAVCYLIGSLEPVPRFAFALDPPGWATSDPQVG >Et_3A_024031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16292806:16297319:1 gene:Et_3A_024031 transcript:Et_3A_024031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQLLLRRASSAFLTSTSRSPLFPRSLQPRRPRAAAMASDAAGPFQKIQIQREDTTFDAYIVGKENAPGIVVLQEWWGVDYEIKNHAIHISQLGGGYRALIPDLYRGKVALDVAEAQHLMEGLDWQGAVKDIQASVKWLKSNGSPKVGVTGYCMGGALSIASGVLVPEVDAVVAFYGTPSSELADPSEAKAPIQAHFGEHDSFVGFSDVTAAKSLEEKLKSSEVPHEVHIYPGCSHAFMNTSPEALKRKKGMGLTDENMEAVDLAWSRFSAWMGRFLGSA >Et_2A_016908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29282647:29283909:-1 gene:Et_2A_016908 transcript:Et_2A_016908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKKGEQEEGDLQLIDGASDFSEFAPCRVITSRINGECGAVDITEALKATIEVEISKVQNGFSLSLSSFSFSRERGAELTTSRLPTTSLTLKIGQKGCKNHLGRYFSFKTNNHGCKCQQALTLGVFSMPHKDINFLTEAARQWKQSMGRTIPNQPDELRHGGTHYVEKTSMKLRQIPADRA >Et_3B_027909.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26288768:26288920:1 gene:Et_3B_027909 transcript:Et_3B_027909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLRRFGVSLLTYIFSISFSGRLEPSGKLMNFQPPPAPRLPCGPIFSTM >Et_3A_026313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6769437:6774258:1 gene:Et_3A_026313 transcript:Et_3A_026313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSSADRLEALSLEIERKLQKVTSVPSPRARGHPIRKGGAFAVSVSSLVQLGLLNVPSICVSPGRFEPRLVACHVVSRLRGEFEGGGAIGVALAVDEALSSNSQRPQILQQLFADIALKVDDRARDAILSENDDGIAPVDDREDGWLCFYEILANHFVRVPESGRRILELIVQLWSQSFAANIFALLFHKWLFEALFDGKEISLRYSSALVQGATNYLLEDVALVPDQLSKISSQAGRDLFLLLSRFMFFYDQAFPNSFLVGGPADYFVIELTDQLQKLKIEPVLLHYISRMTILQGWELRMSTSTRLKACLYSFTSPGGPTYPTRAVRHAAWNTLDFLFPIGRYPRHVISLFFRLLYPWYWPSSCWNFVMTCVMTVYYYILNLLVSIWENLRRTNHRRTHIGHKATRGPVETSPSWALA >Et_8B_060709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5196996:5198171:1 gene:Et_8B_060709 transcript:Et_8B_060709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQSVPPVYNLPPGPRPWPVIGNFNLIGSLPHRSIHELSKKYGPLMHLRFGSFSVVVGSSVDMAKYLLKTDNVVFLDRPKTASGKHTTYNYADITWSPYGAYWRHARKVCATQLFSPARLASFEHIRADEVRALVRGLFATAASGPRAVQLNRDHLSTLSMNVITRMVLGKRFFGDGADAAAAEGPVSSLAEFKWMLDELLLLNGVLNVGDWIPWLDWLDLQGYHVVDEHGERRRREGESFVAKDMVDVLLQLADDDDPAPDVQFGRVAVKAFTQDMIAGGTESSSWAMSELLRNPSVLTKATEELDRVVGRGRWVTEKDMPNLPYLEAVVKETMRLHPIFIPRVAREDACVGGYDVPKGARVLINVWTIGRD >Et_2A_016789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28166832:28173593:-1 gene:Et_2A_016789 transcript:Et_2A_016789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIAPDWASLPADLINSIADSVLSTDDLDYYMDLRAVCRTWRASTADPKTSPCDPRFHPRRWAMLDKAGVVHQSDDARLFVNLTTGRFVRKDMPMLGSYFLVAGAAGGLLVLADRSAPHAPRVLNPFTGGLICFAAPVPLETAVVAHVVGSSPPTLVLRCYESRTIYWADPDDESFHVRKEKHGSHPWVWILSLLCAIYAAARDHLGPFASLLDASANSILGLVTEEQAATRGYLVESEGGTLVVFKKQHRMEVFKLNMVDANLLEPVKDIGSRALFLGGCRGLSVGAAKFASVDANCVYYVVKDGPCDICVYSLKDENEVRIGGVMDPLSPVELVKLLCSHAFMVSESQLTWEKLRVRKLHPNWILEDLCPTAGASVASPEVKTIETSHACAQFPMSRSSNGHGRRLLALAEVPVPSIALQRTR >Et_4B_037619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21892459:21894564:1 gene:Et_4B_037619 transcript:Et_4B_037619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTLAALKVFGSQLAGSTEAPSYDGSSAAQMLFGTRYQRAWIQGVILSAEYKEGGDGVLLLDDGSCVAELFIAPKEAEGGLIRAGMYVMVIGAYIAAQSKDNYPALKVHKIVDLSSQPDREAMWHMEVAEAYNLFYLTSLLGSTSSP >Et_7B_054204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17062447:17067673:1 gene:Et_7B_054204 transcript:Et_7B_054204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLFTAWLVVGLAVLWHGELNRAAALPLSTSSRWTVHESGRRVKLACVNWVSLVLGLGLGHFRRPLADIAGDVRSRGFDCVLVTNASYASLTVAESFQRLNLTASLASGSLKNSCRRVKLACVNWVSHMKPMVAEGLSKRPLADIASMGFNCVRLTWQTSLTVAESFWQLNLTASLAGVEANNPGRRRLVVECYNCLDLFLFFERGKRVFLRIHRG >Et_1B_010008.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17968589:17969404:-1 gene:Et_1B_010008 transcript:Et_1B_010008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSQCTAFLLALLIISFASPCQSRLYQPPPAAVSYHHGEVLDGAVPVSVLYYGAFSPHQKAVISDFLLSLSPPRARQHVPNGFGAPGVSPAPSVTRWWETVDRYVRRAGRDQPPRVLLASQTSDEACSLGKSLSRLQVERLAARLGVAPGGVAVVLTAADYGFLASGHKRLGGEAVRAPNGDVGVDGMVINLAAMLAGAVTNPYGHGYSQVEVAAACPGVYGRGAYPGYPGAVRRDAATGAGYNVVGRNGRKYLVPALIDPDTHSCVIV >Et_1B_012329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30969986:30973084:-1 gene:Et_1B_012329 transcript:Et_1B_012329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVGAEREGGAGTQGEAERRRLRSRYLAVKNLISDERDEMAKADSDKFAAIITQVDCLHEQVQRPREQIADAEALLDIASTLVTSVRSQSSEGITSSDFITALLKKFGQQGSLDAEAASLRWGDVGLSVSHAFGAVPGCCTMLGPMNTEVKQRKAMAVSRKRTARPTENTCPEELADSLEEVKTDTDRNMVVIFDILRRKKSAKLENLVLNRLSFAQTVENIFALSFLVKDGKAEINVDGNGHHIVRPRNAPAASAVASGEVSYNHFVFRFDFKDWKADLEARAQRTPIRKLTRNRGLVLQEQVVQETPEENQTSKRRRLFRGQD >Et_1A_007718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37579070:37580645:1 gene:Et_1A_007718 transcript:Et_1A_007718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASEKKQANPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQANTS >Et_2B_020620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2210594:2214740:-1 gene:Et_2B_020620 transcript:Et_2B_020620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPKVFFTSATASSRRAGALRRLLSTPAFSAACLLFGLAGFLAAALVAFSPAAAPARSRCPDSSRPLSVSVAWDRRPGEGAGAAELPASLATGSRGRHKVMAFVGIFTGFSSGGRRRALRRTWLPSDRQGLLRLEEATGLAFRFVIGKSDSKNKMAALEREVEEYDDFVLLDLHEEYSRLPYKTLAFFKAAYALFDSDFYVKADDDIYLRPDRLSLLLAKERSHPQTYIGCMKKGPVFTDPKLKWYEPQSFLLGSEYFLHAYGPIYALSADVVASLVALRNNSFRMFNNEDVTIGSWMLAMNVKHENTHALCEPDCTESSIAVWDIPKCSGLCHPEVKMLELHQRKECTGGPTEVAEVSEDR >Et_3A_026061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:399893:404280:-1 gene:Et_3A_026061 transcript:Et_3A_026061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSRRAVESYWRSRMVDGVTADDDKVAPALRLIKYAVGKSGTDFKREMQRHSAAMRQLVHYRGQPDPLRGDALNKAVRETANEAIAAIFSTEDPKPAVKTESLGKRIQGFGNTNYEPSRDDKKSFLSELSEVVGIGSASIKQGLSNFAAAHAMMTNDNGGTYRGPNLRRSLTTESDKYGRYDPSEIQSESRASSGASKNVASGSWGPTPSSSAPTDDTSSSQPGLKSREERLLETIVTASGVRLQPTRDALQIFLTEASKLDAVALSRALENKLNSPLWQVRMKAICVLEAIVRKQDTDPYSIIASYFIENSASIVKCCELPQVSLRERASKVLSLLVGEQPTGTATKTAVPAPVQMPDLIDTGDQDDPGIQSLEQEINGHIIGNSTYVSSVDDLLGGEPTADTSTTADGNGSDPFADVSFHETETKEANDLFSGLTVEDKSPAAFHDNSLSNQNELPDIFGSNPDPFIQESVTDKGTVNDLMAGLNLNGTGQAQPPVKSEPSSNLSGSQFFDTNNQTSHLASPAALNGILGQNSFYQQTPLQYSLPQQHMLLNQSFPGQQLNYGAMGLLLAQQQQLLQNFGNFNAGLGHSSFNSVNSGSAPGLPDIFNSSNQPQNNVPVMSNSKQDDTKAFDFVSDHLAAARGSRK >Et_3B_029019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21387837:21390175:-1 gene:Et_3B_029019 transcript:Et_3B_029019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARPAAASVSGSFALPPEARCSFDQPRRREGLQDDRMVRTLVYGQPQESYPREAVMAAVEECMRRQADALLHSLDGIGGRLSQLELYCYKLERSIGELRSDVMDYHSEATVNSRCVEKNLRQVQKAVQLLQDRQDLADAPKELAKLQIPHEISAQRSECTASSMHGARENDHSAQVAKHEVTLFPLHQVNGMQPPAVQVQSSNGYVLQHLVPVSLSTQHDQQQVNQAPVYYMQSQDHAKSTEGKRVESVVQVVHNDQQQVNQAPVYYMQSQDHAKSTESKRVESLVQVVQHDQQQLNQTPVYYVQSQDHAKCTETKPVESVVQVGQPLVQNPEARVAVELPQKSSQPTELYPQAQNHRLQMPTQQVDSHTWHSQQSMVQPQQYIIQQVSRQMAQQQSSSPQSQSAPQGTHLYPAYSSQKPANPNTEPISRNVALQPSYSSPQQKHHEVAHSFYGQANAVLLPVADHSIQQQQPQSLQPQSQGPYPPQQSKPNYCSVVSYAVQGNGHTYSSTYKNTSNCPATVVAVVPQPPATPVAFHHLGPQVGHNHPFGNMVETASVVGYPRDRVETLPVVTAAQPTDSSVMVDKLNAGSNVTSPREWAG >Et_3A_024950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25655355:25662289:1 gene:Et_3A_024950 transcript:Et_3A_024950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMPTDAGGAIVPFSGEPGQGAPAPPPVRHIRHGVTPPIFRVFVSWSSGNLLQVACIRQPSPEDGGGAEEVAGSVVEVNLGGGGSGSAEVEEEIDEVEMRRIEYGSLPAFALLQSRKNALAEAAAMSRVPTLPEQAEWWQYVLEYSKTIGNLLGSQISPPAFMIEDPKTILKAGEKPTSLRAAWELLEMFYVDKHLHGWLLERLVDWLADFDSLLSKKENTVYSKLSNFQKRLTKLQIVEDDPDYWNGLSAALSVGWLDIVVNMLRFHGSYQLDQMDNRETENGLVEAVAVLVSTMPRMRPNLPSGKLGQCCKTRQDFIKAWEKWRGQVNKLECSAFWIQCGHQKTRDGLKNLLHIMMGNVKELTAATSHWLELFVSHFLYIRPFTVGFEGMHHLAQKCIQLKPPSGTSGLTDLLFGILSENSEVVLAECTNFGPWFVTHAMELLTADNDYADIMLHEERPNFGGINIEELHRIVYAQVLCSHSLTWQIAPTYLSSCLNQGLGLLEILLLKQPIQDNRLVLKTLEICRLYELEDVSTKIMKIAGIYHWKHGRKGAGVYWFQQAHDKVRLDRIAQQLFEHIGKSVTDDSFKQWEGLLELLGSDIGSAGGLEFLHRYRDFKRSLQQAREEWNGEAARQTVEFLIQLMRNPSTPQRFWLPLLHDAVELLNCKPNPLLNVAETTLLLNKLQELSLAKLHPDFSTNQLPSHALNSVRLALASNLARAILEE >Et_9B_064011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1008596:1014018:-1 gene:Et_9B_064011 transcript:Et_9B_064011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSTGGDDLGDRSSTGGDGDPGNLSSTGGEGGGYRGDLSSTGGGRTSAGGEGDGYSGYLSSTTGGEGGDLSSNGGGRSSTGGGGESVEDAFLRALDDGDLVRLKDYTLMILNYSMVAHDMLITVTKLIFDWSKGIVNNGKGTGPSLLRFNKDDYAAALNRAACRGNIHVCKYLVEEIGVDPKNAASDGTTPLMASTQSGDVSIVHYFLECGGDLLKADENCFTALHHAVCAGSPDITQLLLSSNMPVDIEYGCGTPLFHAALSDQDQTMTVLLNHGADPNSLFNGVVGPLMAAVAGADVNGEGSIVSPLLFAADVGGRTSLIELLLNSGADPNIPDDWGRLPIELAAIRNCKEEVDILFPFTNPIPNIANWSADGVMCHAKSETAKPMCASPVLRPFSDDKSGTTIKVMDEEKVMDEDKAKASADFGVSSGQNPVVANFIEKRSPFIFRATTWTSQLPLKHCGSLLSRNTLMNTSFAFTQGSPLRRYDQPRTPRVNGRVLFSTATTLLRLLKSSHVFWEQSLIQLNSTRFH >Et_7B_053875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1331524:1340646:1 gene:Et_7B_053875 transcript:Et_7B_053875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGVGPAQHQAPNGASGGVSNGTAGAAATPAHSSAASAVDGYDSDGYSFAPPTPSTLSMSIPPELAGAIPLIDRFQVEGFLKAMQKQIHSAGKRGFFSKKSVGPQAREKFTLEDMLCFQKDPIPTSLLKISSDLVSRSIKLFHVILKYMGIDSPAIISMDERIELVAKLYKHTLKRSELRDELFAQISKQTRNNPDRGWSIRAWELMYLCASSMPPSKDIGAYLSEYVHYIAHGATTDSDIRVLALNTLNALKRSVKAGPRVTIPAREEIEALLTSRKLTTIVFFLDETFEEITYDMATTVADAVEELAGIIKLSVYSSFSLFECRKVVNGSKSSEVGNEEYIGLDDNKYIGDLLSEFKAAKDRNKGEILHCKLVFKKRLFRESDEAVTDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLSALQILVEIGFIDNPESCVEWISLLERFLPRQVAITRAKRDWELDIISRYQLMEHLSKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSATTATSQNDVTQTYKPQNAEIYEKRVQELTKAVDESQKKADRLWEDLQVKTKQETKMHEELEGLRDTLQSERQNFIEVKSELDKLKSLCAEKESALQAALMEKSRLETRLINGQAEVSGSRREKDTLTTVGSVNNDIELLNKLKEELKSCQKELDISKEVSKKLTMEKNLLDQKVQRLERTKSEEKSTMEKVYAEECRKLKSQITGLEQKLEVATRSLNVAESNLSVRNAEVDTLQNNLRELDELREFKADIDRKNQQTAEILKRQGAQLVELENLYKQEQVLRKRYYNTIEDMKGKIRVFCRLRPLSDKERSLEEKNIVCSPDEFTIAHPWKDDKSKQHIYDRVFDASTTQEEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSDNNPGLTPRATSELFRVIKRDGNKYSFSLKAYMVELYQDNLVDLLLPKNSKPQKLEIKKDSKGVVTVENATVVSISSIEELRAIISRGSERRHTAGTNMNDESSRSHLILSIIIESTNLQTQSYARGKLSFVDLAGSERVKKSGSAGKQLKEAQSINKSLSALADVIGALSSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLEETYNSLSYASRVRCIVNDTSKHVAPKEIMRLKKLIAYWKEQAGKRSEDDELEEIQEERISKEKADNR >Et_10B_002921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13924136:13924816:-1 gene:Et_10B_002921 transcript:Et_10B_002921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGYNNDQGAEGHWSYETYDHSLLPGHSGDGLDFPVWGDPFDWTAMVAGNTSVDGSAPAPVTATAAAAASSSASASSVHQNPLNCTGCQILREVVHSKGLETTKLEEYLANPESMVPALTHQSFTDVRDRDYAWVKQYLMDYAKQRARSARLHRCARLDLIFP >Et_7B_054630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:354483:356095:1 gene:Et_7B_054630 transcript:Et_7B_054630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGKRGKTKGDAPAKPAAAATAGGKEFPACLRLMPPSTVAISIHAKPGSKVATITEIGEEAVGVQIDAPARDGEANAALVDFISSVLGVKKREVSIGSGSKSREKVVLVQDSTLQGVYDALKKSCNCA >Et_4A_033709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26027551:26033587:1 gene:Et_4A_033709 transcript:Et_4A_033709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAPPRGGRGRVLWLVTVEIVLILVCTQGAGADSGESKALFPQDVEAGEKDAYLSHSCIHDEILHQRRRAGRKEYSVMPQVYHEPREKAQRVSGRHLLSVSSWRSHSQKNVKKPIRIYLNYDAVGHSPDRDCKRIGDIVKLGEPPVPSAPGSPICDPHGDPPLVGDCWYNCTLEDIAGEDKKQRLRKALGQTVEWFRKALAVEPVKGNLRLSGYSACGQDGGVQLPHAYIEDGVANADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFTHFRDERKRRRNQVTVQTLDEKLGRMVTRVVLPRVVMHSRHHYGAFSQNFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKLTLALLEDSGWYQANYSMAERLDWGRNQGTEFVISPCNSWKGAYHCNTTQLSGCTYNREAEGYCPIVSYSGDLPKWAQYFPQTNKGGQSSLADYCTYYVAYSDGSCTDVNSARAPDRMLGEVRGSNSRCMASTLVRTGFVRGSMTQGNGCYQHRCTNNSLEVAVDGIWKSCPQSGGPVQFPGFNGDLICPAYHELCNTVPVPVSGQCPKSCSFNGDCIDGTCHCFPGFHGHDCSRRSCPDKCGGHGVCKANGICECQSGWTGIDCSTAVCDEQCSLHGGVCDNGKCEFRCSDYAGYTCQKGSAILPSLSMCHDVLVRDADGQHCAPSELSILQQLEAVVLVPNYNRLMPSGRTFLNFFNNANCAAAAKRLACWISIQRCDEDGDNRLRVCYSACELYNTACGAGLDCSDQTLFSKREEEEKGVPCTGYGEKKSFWL >Et_4B_038622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3451018:3454095:-1 gene:Et_4B_038622 transcript:Et_4B_038622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPGRRRRQTHAPWATRSCHSEGTEKEIFSELSLPESTQMQTDQTSGLIYILVCKCEMLPELHACPVLLYNPEDATRISLLIMKPWGSIMKLQEISTTKLTKYWKNTALVSEDYTGKASCYLNRWLQIAVTPGIEELTLTLPMKAKYNFPSGDSIRYVQLSCCSFRPTAERGWLKSLTRLHLQAVCVKGDELGCLLSSSFALERLEIRYCDGIICLKVPCLLQRLSYLEVLGCGLLRVIDCDAPNISSFYFQGNPKVQLLLGEALQMKKVHISFSGAVCYARVELPSSMPNLETATIFSSSEVCYKNDQHTNALQLKNLRIVLSAFTFSPAFDYFSLASFFDACPSLETFVLDVSYCSSYLDISKLYHARTMTIKFLFCSLVTQRKMEHVSVFADPSDLRWMPGQRHHKLKSVEILGFSSAKSLVELTCHAHQSSFRCSLPDNQCSKCSPLPVDVLTEARRALLAIRTYIEPKVPSTVKLHVVEPCSRCHAT >Et_2A_016823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28646351:28663659:1 gene:Et_2A_016823 transcript:Et_2A_016823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKLALIVLPPLCICCVQLYVAGVPWRITGRIAAVLVAFLVVTGLCDRVRRRDTSEDEGNEPSSNDESTAAPARDAASSGLGASAIAGLPVYKYEQKRGGGTASDECAVCLGEMRPEEVERLPVCTHLFHAGCIDVWLRSHRTCPVCRTPVGVVAAVPATVDLDVRFFLSAALYLSGAKWAISILVVTTVLLCIQWPLSLRDRLAADRTAGASAIAALPAYAYEKKAGADDCAVCLGELQRGEVVKQLPACAHLFHDACIDAWLRSHVTCPVCRSPVDAATPVAAHVVVVQTQHPTGAESEHGAHATASAARRHQAFPVETLPAFTYARDADESGGAGGRECSVCIGAVREGEMVRRLPECMHVYHVECIDRWLAAHSTCPVCRSKLDPHKLQVSSEPPPDSPPRGLLIWLTASLLSLSFALLLVTVLALWCRRRRHPAGAEPEHGAHVSISAARRQQPFPVETLPAFAYARDSGESGAECSVCIGAMREGEMVRRLPECKHVYHVECIDRWLAAHSTCPVCRSKLHSYEQPRREIHLTNRLFSAPWICHGEAAWAAAISPPRTMSYSFGSSTMSESEHQTTTTTGTMIFSYICVGLTGTALFCVFFFYFYHHYFRSRAPVAVAGARSSQAEHHAGVDFSKLPEFAYSESSRRRSGGGDGAQCSVCLGTVQPGEKVRRLPMCKHMYHVECIDMWLASHATCPVCRADVQPPVDGKNAATEPPELPTAAVLYLGEKRRSAVRSAAAPTPTMAITQAPPVLSPDHHPPTKGTAIFGYICIGLTGTAIILVLIIFCRYRVRRRAPVTATGAQGDTAEEQLQVGVDITKLPEFAYTESSRRRSGGNEAQCSVCLGAVHPGEKVRRLPVCKHLYHVECIDMWFASHATCPVCRADVEPPGENGQAAPAAEQEQEQEQAQIDIADQEAQRYPPQDPRGVWWGQPQTWPPRPPVAADDQRRLPEPVTTALVPAFAYTKSVNSKVTAGTGEEEAAATCSVCLGAFEFGEMVRLLPLCLHLFHVECIDMWLAAHSTCPICRSGTDPTQMTMDVNQLPPASSFLHLHHRGGQ >Et_6A_047602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:858214:865087:-1 gene:Et_6A_047602 transcript:Et_6A_047602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLPPRSSPHIATITTRVHPSDADLHRGGAGAGGRASGAADHRPAVYTVWKRSSMGFQGTDGFSVYDADGGLAFRVDNYSRRWKLFAGELLLMDGHGAPLLALRPQILSMRDQWNCYTASEEAGDKGSRRQQLFSMRKCSVVQQSGDEAEVHIRIHPSNAGRARRAAPAPAADRPAVYTVWKRSSMGFQGTDGFCVYDADGSLAFRVDNYSRRRKLSAGELLLMDGQGTPLLSLRPQELRAAKQQRSSRGPHGSSYNNCKHPAPAPSFQIEGCFSRRNCKIHGSDGREAARISRKKAGVASRPVTMLGDDVFSLVVRPGVDAAAIMAVVVILDRICRKPYTPM >Et_4A_033717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26155300:26162032:1 gene:Et_4A_033717 transcript:Et_4A_033717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPSHELHIALAFALSDAVICVLYVGLLILGAVEHAGAAVACSTYLCPQASYMTCANMANQYFSGCDCRCAPLGCTGVLILGSIEHVRATARGAYFLNAASGADVNTASGGQVNTAAEANIDTPPGTAVNLAPGAYVNTAPAAFALKTAPGTDVNTAPAAFALNTVSAPGTDLDLFGLPSASAYALNNRQPGAAVNTAPEADHDFVGLLILGAVVEPTEAVCTVACVKDAYITCKNYPDEQLYGCACQCAPPDGKRCVVHVPNRPARRCWKRG >Et_7A_052825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18509827:18513479:1 gene:Et_7A_052825 transcript:Et_7A_052825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLIALACFLALAGTSAMAQCRFEILVKTGGRSDAGTDARVSLQVSSSNGPTLAISNLESWGEMSAGHDYFEKGNLDRFGGNGQCMPAEPCNMVIKSDGSGNKPGCGSPSMKHHTCSLPPATVVDSSSVAFVIHSFLDIILLLFPSGSLDFQALKREKFPGKAEELE >Et_1A_006404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2222320:2226594:1 gene:Et_1A_006404 transcript:Et_1A_006404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHHKPGLRVRLRITAARRRAWLSAGLRSACRKPPRRDPSDSVHKVARREIGGGPRRPPRPAAPSSSTFSCPEKFRNFQLQEEYDTYDDEVQFLVQLPFLWSRTKIIEIVAAKDVIFALAQSGLCAAFNRTTNKRICYLNISPDEVIRSLFYNKNNESLITVSVYESDRFSSLKCRTTPIDTYKVFDLKNYNFLYSICDKNIQEIKISPGIMLVIYQKTNCNVPLRILSIEDGTPLKTFTQLLHRNRKVDFIEQFNEKLLVKQDKENLQIIDVRNSDLIEVNKTEFMTPSAFIFLYENNLFLTFCNRTVAAWNFRGELVTSFDDHELWHPNCNTNNIYITADQDLIISYCKISKQATDGCDSEAGEVSPMGSINMSNIFTGKCVAKISPSDPTLTVAPRKRGDTSRSSIRSTVSEALEDITALFYDEDRNEIYTGNSKGLRLPLLRCRSIAGNQNLDTTRSA >Et_1B_013720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1414967:1415525:1 gene:Et_1B_013720 transcript:Et_1B_013720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQQRFRGVRQRHWGSWVSEIRHPLLYVSGDYLTHLYLLTNHDHDGKKTRIWLGTFETAEDAARAYDEAARIMCGPRARTNFPADAALSSSSSFLSAALVEKLHRFNLASVQAAQRQREAAAAAASSAAAAASTPQHASAAEWGGRFLEEQHVDQMIEELLDANFSMEICY >Et_4B_037219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16785625:16788163:1 gene:Et_4B_037219 transcript:Et_4B_037219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGSNTPFSGATTLATTAPSTANGLQKGEKEAAIDAEVARLNKLPAHSSYAMHRMKVLNKLRHLLSIKVQKDIGNNPQRIEGERDTMFVPYFHITQNSMELYLAFISLLTHVVDAVVILVYISLSIPVNLILRVFRKPHGNEDLKGKVVLIT >Et_8A_056487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10775376:10780677:1 gene:Et_8A_056487 transcript:Et_8A_056487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSAAVAVVVLVLLAREAAATPGPLGQPGCTTSCGNVSVPYPFGFGSPECYWPGLNLTCDTSGGQDTPPRLLLGDGTLRVAEISLRNTTVRVLRNGSVMGNANITTDRNVSFGGSFMDHGYRLSFGNELVLSGCNLLATLSEDAGPGMSGVISGCAAFCSFRDKKRFSVGQATGKYCSGMACCQAPINYLCSPNGVQLRWLDSGDHAEALTFLPTYVFVAEEGWFDQRPLADELLSVKQPPRPAAFEVPFLVRWGVKQGLPKLPDGPVNKTSDQSKACPGEAYAKLCRSDNSVCVPGKLGYACQCKDGYDGNPYLAHGCQDVNECERPHEHGCFGECINTNGGYLCQCPRGAKGNYTLRNGCVKSVATGISIGIGVSSAAGFMLMVLVGIYMTKRFKHQREIRLKKRFFTQNRGQLLQHLVSQRTDIAERMIIPVDELAKATNNFDKARELGGGGHGTVYKGILSDLHVVAIKRSKITVQKEIDEFINETEVPLLVYEFISNGTLYQHLHIEGPKSLSWVTRLRVAAETASALAYLHSSVSIPIIHRDIKSSNILLEDTMTSKVSDFGASRYIPVDQTGLTTMVQGTIGYLDPMYFYTGRLTEKSDVYSFGVILVELLTRKKPFSYFFDDGDGLVAHFVNLLAEQNLEQILDPQVIEEGGSEVEEVSVLAASCIKLTGDERPTMRQVEHTLERVLASMNYVKGDTVVSKENENDTAAMSFSSTIESRSVQESSRRYCIEEEHLMSARRPKDALSLSLLEGKQKQQAEVSPAEAREAEERGRSGGAAGETRVVCMKEQGRGHCRRRRAWRHGGGVWRRSTRSSRMVSPTARHVRRAHGRICHALAWVFPDSVTKRAMRDKFTIVDNMSSGAARMAARAGWRS >Et_4A_034335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31880260:31883248:-1 gene:Et_4A_034335 transcript:Et_4A_034335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIRDATASCITHGRNLFYRLVFLIGMDPALAIQIIALWLLVEGNGEANLLRRIDSFDGDRFLVNAAIGEKLIRALHGRPDKAPGSALRTTFHKQVITGVLFLLNNVCNKVLADLRQKADEQGITGRAVQESSHPNIARLLQQYKQDYWQPQINTNYQRGESSSSRSMPSAAIQLTQYTVRMWNGAQSKNVSSTDLNVLGPQQQRNNAVIITSGSNINDLASALNRCTISPQYQFEQSFSQSSTNMDPYCSHLNRNSIVPQDDSRTLFVTFSNGLPLTQEEVYDFFMSHFGDVESVSVEVPFEDRPPQYSRVTFGSRHTMLRVLDGKEKVKFMSGRKHLWCRKFDHKKPFKAQK >Et_5A_042867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5980455:5983195:1 gene:Et_5A_042867 transcript:Et_5A_042867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKKPPAVSDMGAWAMNVVSSVGIIMANKQLMSAAGYAFSFGTTHLPSPHLVPPRGSGSAARVLAPTIPADLTRPSTTLTGFHFTVTALVGWISNATGYSVSKHVPLWELVWFSLVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCFMEWVLNSKHYTTKVISAVVVVAAGVGICTVTDVEVNAKGFICACVAVFCTSLQQITIGSFQKKYNIGSFELLSKTAPIQAISLIILGPFVDYYLNGRSLLNYNFSGGAIFFILLSCSLAVFCNMSQYLCIGRFSATSFQVLGHMKTVCVLILGWILFDSALTVKNILGMLLAIMGMVVYSWAIESEKKATTAIPRNKSDMLDGEDVPLKARVSGLPPVDLEEGDLKS >Et_2A_017202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31976429:31981676:-1 gene:Et_2A_017202 transcript:Et_2A_017202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSAKSPAAAEAPCTESSRRASPMKLLVRIVEARGLPAVHVDGSSDPFVKLQLGKRRAKTAVVKRSLAPTWDEEFSFLVGDVAEELVVTVLNEDKYFSNDVLGRVRVPLAQVMENDDLSLGTVWYQLQPKSKRSKKKCRGEVCLRISLSSRTQVSDELQSIPPPTSDDLASSSDRSTEHKHATLSTTSSFIDLSAVACASMDGASRSSFEPSVDNNVDQPDRSSIEQAITEHGAAADNDEMANPSSVVEVLSRYFFGKPVDATAHSVASDTEPPDQPQEAKMCSEDHDNPDKGASSSSESSLGDLLKIMESKDQGSEMPGNLPGGVLVDESYIVSPAELNSLLFSPNSDFWPAVAELQGTSGFQIEPWKLDINESCLQRTLTYTKAASKLVKAVKATEEQKYLKAAGNSFVVFSVVSTPDVPCGNCFKVEILYCITPGPQISSEEQTAHLTVSWRVNFVQSTMIKGMIESGAKQGMTEGYAQFSEVLSQRLKVAEHNDANSNKEKILASLHAQKETNWRLIVRFLGNFTFIFSVAIALYVITHLHLSNPGVMHGLEYFGLDLPDSIGEVIVCAVLILQGQNIFKIICRFLSAWKQRGSDHGVKAHGDGWLLTVALIEGTGIRAAEIFEFDAMDDPPSRMDIAIYDSSGPCNEAPIGHTEVNFLKNNLSDLTDIWLTLDGKCDQASNPKLHLRIFLNNSRGTEVVMNYLAKMGKEVGKKINLRSAQTNAAFRKLFALPPEEFLIDDFTCHLKRKMPLQGRLFFSPRIVGFYSNIFGHKTKFFFLWEDVDDIQVIPATLSIGSPSLMIILRKDRGSEAKHGAKGIDQHGRLKFHFQSFVSFNDAYRIITGIWKIRALAPEQKGEVIEKAEPKELQPEEGGSLFTHADVKMTEIFSSVLSVDVESLMEMFAGGPLEHKVMQKAGCLEYSSTEWELVGFNIQQRQTSYKFDKSLSRYGGEATTTQQKYTLVKRDGWAIEEVMTLQGVLLGDYFSLQLKYYMVKVLSKPSTCSVQVLLGIVWLKSTKQQKKVTKNIISNSSNRLKELFAEVEKELTSRNGSLISAATLPTVLKCYQYHIQKLQNSHQSNYSILKFKL >Et_4A_034495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3864318:3867189:1 gene:Et_4A_034495 transcript:Et_4A_034495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEQALLSTEIVNRGVEPSGPDAGSPTFSVRVRRRLPDFLQSVNLKYVRLGYHYLISHGVYLATIPVIVLVCGAELGSLSRDELWRKVWDEATYDLATVLAFLAVLAFTISVYIMSRPRPVYLIDFACYKPADELKVSKAEFIDLARKSGKFDEDSLAFQSRLLAKSGIGDESYMPRCVFEPNTNCATMKEGRAEASTAMFAALDELFDKCRVRPKDVGVLVVNCSLFNPTPSLSAMIVNHYKMRGNILSYNLGGMGCSAGVIAVDLARDMLQASGAGLAVVVSTEAVSFTWYPGKRRSMLIPNAFFRAGCAAVLLSNRRRDFARAKYQLEHVVRTHKGADDRAFRSVYQEEDEQRIKGLSISRDLLEVGGHALKTNITTLGPLVLPFSEQLMFFAGVLFRHLFPSKASSTPAAGEEATSAAAPYIPDFKRAFEHFCMHAASRDVLEHLQSNLGLRDADLEASRAALHRFGNTSSSSIWYELAYLEAKGRVRRGDRVWQLAFGSGFKCNSAVWRAVRRVRRPSRSPWLDSVDQYPARMDA >Et_9B_064992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20235541:20238950:-1 gene:Et_9B_064992 transcript:Et_9B_064992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GLICGNMTISCKRKTAVASGGAQVLPEEMIIEVFLHLPIKSILRFRALCRSWATMLSSEEFCSLHMAKADATSALPKLFFISSTANFDATEVYLGSSSGPGDDLLFTLNHIRSDFVDMTSAPCRGLTLVYDAVAPAYHVFNVATRAVTRLPSCQAVVFATAGLGFDARTKNYKVVRLFRGNLQDKQPVGCEVYALGGDCWRPAIGGVPFRWVQSPPFRAPGVRILEHEGHLCAIRQRLVSGLQLVELDGHLCMLRDLRSISSDCSMLEIWRLNDYSSGGGWSLKHRINLLAHVARDLVEPHIVKVIGSAGDCESTNKVIIATSKRKVILYDPMLGTLQTILEIRGTQSSYQTEHSALRVSLLEETLVPVHRTKEEVALSAPKGKATREILLRVPGDRIVQLKLVCKQWLKLIENQSFIRSYYLHHNTEKRPNILFVGKGTRGSAFSFVPLKRLLQRCPSRDTWLHTKVVCSKPCHGLNLLSTEMKDYLYNPCTGYCYVNHTRGQFSDVYWTGAGSFVKPADHAFAVGKKIVGLGFNMLMQEHVIVEFFYHRKDFRTREYFWTCTSFTCNSSLLQTNLLPPLPVNDMPPTYLAGMLYWMSEPRLGQSSERAIVSFDIQSERFSIITCPPCIALWNSRNRCPAFVVELEGMLCAVLANPVEEKLYIWKLKHGRWDRAYTVYLETNIVVPWAVDPKDGRILLNTGRKVGLYDPCRRFIETLYDLDEVQSNLLVLGFMTVFTLPGAKILSTSTVHGSHLYSSTGSSMTVHLLYYLEISLHAPVPRNLWTK >Et_5A_041607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24891372:24891832:1 gene:Et_5A_041607 transcript:Et_5A_041607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAKERREEESRKMRQEEDERRR >Et_4A_032953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1651106:1652666:-1 gene:Et_4A_032953 transcript:Et_4A_032953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTRSTTGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDITHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNWDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHVQENWRILDFFSHHPESLHMFTFLFDDVGIPADYRHMDGSGVNTYTLVNRAGKAHYVKFHWRPTCGVKSLLDDEAVTVGGTNHSHATKDLYDAIAAGNFPEWTLYIQTIDPDHEDRFDFDPLDVTKTWPEDVLPLQPVGRMVLNRNIDNFFTENEQLAFCPGIIVPGIYYSDDKLLQTRIFSYSDTQRHRLGPNYLLLPANAPKCAHHNNHYDGFMNFMHRDEEVDYFPSRYDPAKHAPRYPIPAVTLNGRREKTVIKKENNFKQPGERYRSMDPARQERFIKRWIDALSDPRLTHEIRSIWLSYWSQADRSLGQKLASRLSAKPSM >Et_9B_064348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14238585:14243695:1 gene:Et_9B_064348 transcript:Et_9B_064348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCAARVPSPPCAPRRCCAGARASSRPAKCGASGGRAAVCAAVSFRPCIDIHKGKVKQIVGSTLQDSSVDGTALVTNFESDKSAAEFANIYKEDELLGGHVIMLGADPASQAAALDALHAYPGGLQVGGGINLDNAISYLNEGASHYVFRDGKMNIERLRQLVELVGKQRLVLDLSCRKKDGKYTIVTDRWQKFSDVFVDEPTLEHLASYADEFLVHGVDVEGKRLGIDEELVSLLGRHSPIPVTYAGGVSTMDDLEWIKKAGQSRVDVTVGSALDIFGGDLPYKDVVRWHKEQNMRTNESNMIQTFLKSAFTVARTGPDLLQAWK >Et_1A_009502.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6870583:6870873:1 gene:Et_1A_009502 transcript:Et_1A_009502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLAALARGEEWTEERHAAYLDRMELSFVQQVVLGGSDVRQASRRLGRPQSASSGGRGHGPLPLDRPLPDSAVESNRGAPSRRAAGGSSHAARGK >Et_8B_060295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8830403:8835930:-1 gene:Et_8B_060295 transcript:Et_8B_060295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSIATYRESLSRLAGEVDDAAADEVPVPPTPARGGDGAPTPPLSGRRRRYSRPGADTAEPDEVSKLKEEIQKLQASEAEIKALSFNYAAVLKEKEEQLGKLREENGSLKRSLESCKAVSANFNGTLDRSPSRGQKIAVKENSLNATKQNGYVVGSSNGIQPNGVHVTGYHKGNVLEVDQAYFATKQATLENEVKQLKQQLSQHSEKETEMIRRLEDENKRNEVLQQQLNELRVNNDRISTSTEELSKELSEKKSELRRVQDELSKRDKEHISDRSLQSLRSMVMALQKENSELKLEKVRLEEDLKSMTSTSQKTVDSTSDINKISDSEKVKEEMATLERALQEASSERDKAVQDLGRLKQHLLDKDLEDQEKMDEDSKLIEELRAICEQQRTHIIQLDRALKTEMAKQEESKKIINQEHQRSSEQIEDLKYKLASCMSALESKNVELLNLQTALGQYYAESEAKDRLGGDLAIAREELTKLSESLKVANETIDIARREKEDIATKLSQAERMLADGKRSMQRLEDDNSRLRRALEQSMTTVNRMSLDSDNSVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSEEDKQRIGLAQSGAGKGVVRGVLGLPGRLVGGIVGGSSPSKSTQASQDSQSFADLWVDFLLKETEEREKQEREKREASEAARLSQGESQITASSSNSSSVQPTQNPANLAPGPSTRPQLFGRPDSEFSTVPLASSTYSSVQTPFSRPPPR >Et_3A_026732.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:17650823:17652145:-1 gene:Et_3A_026732 transcript:Et_3A_026732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVAPPPLEASVDARLSTLRAQAPQWKSQPYTMFRVPAYVRESNRTAYEPRMVSIGPYHHGSPSLRAMEDHKWRYLHDFLLRNATLGSSILIHEMRILEARARACYSERPDDVGSDDFVRMLLLDGCFVLEFFFKWHTKEPDALCDVGWGITLINADLLLLENQIPFFVLERLYHLVTAGGAQQGGRESLVNLLVEYIGDEEDPITIPSSTDEIHHLLHLYYESFVPKRSSSTAALASTTSSRSKHTAARAEVMIPRATEMSAAGVTFIRRTAAQDTYDVTFDAKRGVMEIPGVEVDDMRRPLLKNLIAFEQCHGGEEAAGVVASYVTLMGMLVRAAEDVELLRRRGVLDNLLADDDEAARFFSHLGDGGAMNYGGRHVFSGVYEDVQLYCGSWWHMNRAALRRDYFGSPWSAISFVVAALVVALTATQTYFTVFPRK >Et_5A_041232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:263704:264856:1 gene:Et_5A_041232 transcript:Et_5A_041232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTVASPGKGGVYVAKPPPPPPPLPVVQKTYDSYNGAAPASRRRTPEELQDGEALEGIVLVLRAGAAVAAFVAVALVASCRHGDWMEFTRYPEYRYLLGASVAACVYSAAQALRNFRRMRRGSTSPAFLDFAGDQVVAYLLITASSAALPITIRMRSAVINIFTDAMTAAISLGYIAFAALAFSAIIAGFRLSAHAY >Et_3B_029153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22548216:22551112:1 gene:Et_3B_029153 transcript:Et_3B_029153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASPPLRLRPRVAASSFPIERVNGSRMQHVGTLKGEVLRSIGNHDGRFHTNRIISMASKDKQEPVVSETPVLEDTSSSTQNAPVSDSSSDSIDMGGGKPGFISFRGGSHQNKAVESVPHPGKEASRLVWFVGPTILVSFLVLPSLYLRKVLSAVFEDSLLTDFLILFFTEALFYGGVAIFVLLIDKVWRPLQQVAPKSYIWSKSRFFRISSVTTMVLSLIIPLLTMGMVWPWTGPAASATLAPYLVGLVVQFAFEQYARYRKSPSWPVIPIIFKVYRLHQLNRAAQLVTALTFSVRGTETTNQTVAIMNSLGALLTVLQILGVICVWSLSSFLMRFLPSSDIPDP >Et_2A_015966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19780141:19784129:-1 gene:Et_2A_015966 transcript:Et_2A_015966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQDAPSGGAAAAAGKLSLASVGYAGAGAGAGGSGGGGYKDLLVMALPGDGGLDGAQVAEVIGVRLPDVGGAVRSILGRREMREFASGALAGAMSKAILAPLETIRTRMVVGVGSRHILGSFAEIMEQNGWRGLWAGNTINMIRIIPTQAVELATFECVKRSMAEAQEHWKENGHPKIQLGNLTIELPLHFLSPVAIAGAAAGIAGTLACHPLEVIKDRLTINRESYPSISIAFSKIYRTDGIRGLCPTLIGMIPYSTCYFYMYDTIKTSYCRLHKKSSLSRPELLVIGALSGLTASTISFPLEVARKRLMAGALQGKCPPNMIAALSEVVQEEGLLGLYRGWGASCLKVMPNSGITWMFYEAWKDILLADKDKQRA >Et_4A_034323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31752169:31754383:-1 gene:Et_4A_034323 transcript:Et_4A_034323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGPKMPNVPGAGGKLAKVAVLGGAAVYAAFNSFYNVEGGHRAIVFNRLEGSRTRPIIYDVRARPNLVESTSGSRDLQMVRIGLRVLTRPMPDQLPTIYRSLGENFNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERANNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAVIRAQGEAKSAELIGQAIANNPAFLALRQIEAAREIAHTMAVSNNKVYLDSSDLLLGLQQLSAMGKQKK >Et_1B_010500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11038940:11044729:-1 gene:Et_1B_010500 transcript:Et_1B_010500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSTAASVFTVSAIIVFLALSTVDATVVTTCKAAAESDKRVNYNFYSPDADTWGLAKVATEAGGGDAGNAVADVNTLLNKAGTDAKTRGALLQCQKLYHDVEFAFAGAYDNLNDRNYEAGKQEVGLATSLAHKCDDAFAKIVVPSPLTKHSLYAMKIAVICTAITNLINKRIDSDADTWGLAKLATETGDGNAEKAITDIKDRLANLGVDAKAGFECQKLYSDVDSAFLRGRDNINDRKYAAVKEQVMLGIYLAQKCDDLIGNTVANPSPLRKYSYYTGNTETVCMAITNLIKPSTAANILAVLAIMVVLALSVAVDATVVTTCKAAADSDKRVDYKFCVSELGKHHDSPDADTWGLAKVATEVGAGDAKNAVADINKLLSKAGKDAKTKGVLVKCQLLYRDVEIAFAGAYDSINERNYAAGKKEVVLATSLAHQCDDAFAKIAVPSPLKQRSLYTMKKPPPQQPQPPPTQTTMLAAFGRDLTAAAASADPVVGREDEIDRVVCILSRKSKNSAVLVGAPGVGKTAIAEGLAQRIARGEVSGVLAGARVVELSVPAMISGTSYRGTFEERVTGVIADAEAAGPGKVVLFVDEIHMLLGAGRVAGGCMDASNMLKPALARGRVRCLGATTHDEYQRYFVTDAAFRNSTEPGEGDTVAILRRIKAAYEEHHGMEIQDVGRTVTRRAAS >Et_7A_050763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10816826:10819461:-1 gene:Et_7A_050763 transcript:Et_7A_050763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGADIYSCLLELELSKSREHTVSSPRAAILRKPPPLCPSLLSPNLLAAEAKRGGDMDGGGEEGKQQPHLVLAHKLFLLSHPDVDDLAKVDLRADVLAAVKSDDMASLYEIADAEENLGESEVREAHLAKSLYFIRVGEKEKALEQLKVTEGKTVAVGQKMDLVFYTLQIGLFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYDALYCMATRNFKKAASLFLDSISTFTTYELFPYDTFVFYTVLTSVITLDRVSLKQKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFIAFSGLTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMAAAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQATIKQGDFLLNRIQKLSRVIDL >Et_6A_046501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16192031:16199068:1 gene:Et_6A_046501 transcript:Et_6A_046501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAVVTLLVPAFLLLLAPGARSETCSPSSVEVTPNDPVFEVTVRCAVHGVFLRSAGFASSVPVDKKLFRRDGVGYLVGDGRRIESNGEVRFRYAWDRAFHMFPIILLLPVLLLLLLAQVQGSRRVPAPAAKCAASSVEVETVNTGEKAGYDAVFEVTVRNRCACAVRGVSLRSEGFASSVAVDPRLFRREGRDYLVGDGRRIESRAAVRFRYAWDRAFRMATAAVHDDCS >Et_10A_001234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22425719:22428167:1 gene:Et_10A_001234 transcript:Et_10A_001234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVRRCWEESRLLWRLAFPALLAEVFQFSIGFVTTGFVGHLGELELAAVTVVENILDSSAYGILFGMGSALDTLSGQAVGAGQLHRLGTYTQQSWIICGATAAALSPAYALAAPLLRSFLHQPAAVAAAAGPYARWATPRLFAHAANIPMLVFFQAQSSVWPVAAISGAALAAHAAITYAAVVRLGYGLRGAAVAGNVSHWLVAVAQLAYMTGGRFPDAWKGFTMRAFRNLGAFVKLSLGSAVMICLNYEFMTIMVALGFSTAVGIRVSNELGANRPKETKFAVVVAVCTSVLIGAIFMAVVFIWRTSLPRVFTDSKEVIHGASKLGYLLAATVFMGSIWPVLSGVAVGAGWQVPVAFINVGCYYLVGIPLGILFGFKLKYGTLGIWLGMLTGTLLQMFILLVIIFRTKWDKEAVLAQERMEEFGENNEKLPLLEPLTYG >Et_4B_036042.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:16350370:16351468:1 gene:Et_4B_036042 transcript:Et_4B_036042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHTAPKIEKKGYATKASTMEGIRGGIVEEGPGDSMRGANKEVTDSKQTSRAIDEVTTSIPVASPAIILRKSSHRDGTIYKEKLHWKQNYSVDITDRNETRVEPMRYPVTSVCGPDPENCGYHMSCEMVQVFSLKLAKTRVSSGSVQLYGYIAARDDVDGMLNYVFHRTRDDPITVRQDSLIEMTGPKRGIALISDVLFEFDMRIKNGEREEDDLQLIDGATEFLEMHTPWTPFTVRFNGEYGAVDMCFVNVFNGVEATVELVVSEVQNGFDLSISSAVSILEVSKEFHLFCGTIDRPCGLRRFVIAVLLDTELHFEVQGESKRLQCC >Et_10A_001792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8193392:8200149:1 gene:Et_10A_001792 transcript:Et_10A_001792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPAAAAVTGGTALEDLPEDALLAILSLLPPPDAAAAACSCRRLAAATSSPALPLALAVRLGVPLSPPRPLQSSPDAARRLLRSLHRLRRLLGLWRRLPSSSGSPASPHSTPSPSLAAFEWGPRATLAASLLAPSAHGVTVSKSPFVTLSIAESGDTVAAVGDVPVCVNFVGNNHIVVEMAAASATSGEEEEVEMVSGSPPEEMYAHFANRRSPGAERRRRGRQGKRGGFGMEPEHFVRIADAEPTKARPLQGLWKGICENRTLEFYLVTYDDIGGVTCRRFSGTRGQNSGYSPVFWTTDTTFLQPPFSEQELDNYSSRNHIRDVGSNHSETENRVISQILCMSSSFDVVDPHLSTPLEDARNVEGRIWLYQDGTFGFGFVGSNSIIDLRHVSSAGCWSSPMCLNDLCIKVGISIQEQFFPA >Et_3A_026371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7307818:7312015:-1 gene:Et_3A_026371 transcript:Et_3A_026371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKRVTGGDGREEDGFKVGLPALDLSLAFPQATPASIFPPSVSDYYQFDDLLNNEEQSIRKKVRAIMEKEIAPIMTVYWEKAEFPFHAIPKLASLGVAGGTIKGYGCPGLSITASAVTMAEIARVDASCSTFVLVHSSLAMVTIALCGSEAQKQKYLPSLAQFTTVGCWAPGGWHIDGQKRWIGNSTFADVLVVLARNADTKQLNGFIVRKGAPGLKATKIENKIGLRMVQNGDIVFDKVFVPEEDRLPGVNSFQDISKVLAISRIMVTWQPIGISMGVFDVCHRYLKERKQFGVPLASFQLNQEKLVRMLGNVQSMFLVGWRLCKLYESGKMTPGHASLGKAWTSRMAREVVSLGRELLGGNGILADFLVAKAFCDLEPIYSYEGTYDINSLVTGREITGIASFKPAALAKSLL >Et_6B_049142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18629745:18631108:-1 gene:Et_6B_049142 transcript:Et_6B_049142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNPPILANLSFMSYKWTQINWLHLRGSLPFTRRVVRRKGRCDRTRSAAVKKKSKVRWEEEEEGSVGDDRSLQSPTYAESRYNSSYDIVKVMVSFNFNWILHIFEQSMMVLLVVRRHVTMELLAKMMVFEIVLHFSRQPLIENKKAKDDMSESHGCSKDTSALEECMSIIKEYDSAEQIEVTRQQRRKRLKL >Et_5B_043986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18657330:18657853:1 gene:Et_5B_043986 transcript:Et_5B_043986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRSSQDMESPDFVAGYATTFLTDGVRIFSELAQLLERPFVDFDAVSNNLVQLKNFKLGNEDDGRAS >Et_1A_009066.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2887319:2888062:1 gene:Et_1A_009066 transcript:Et_1A_009066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNAQLMRQEAAIVDGAGNNAAATQHQQGGKRQYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDAALLCLKGSAADLNFPVHLPFHIPAAAMSPKSIQRVAAAAAANACSPLKDGGFGGGAAVSYPGAAAGAINGGDAPPYSYGTGDTSPAASYCSPETANTTDRYNCEAARYDDVMMGDVDYGALADVEAFFQSPKCMEFGMMDPCSTFFAPAPMAAEWEEEGGEIDLWSFSSLN >Et_2A_015862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18465308:18465812:-1 gene:Et_2A_015862 transcript:Et_2A_015862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DITLNQNKDKIRWRWTALGEYSVASVYNIQFCGTTIPFPTTALFGKWIIKEKIHWYALHVLVASLERTQQMNL >Et_4A_033292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21156729:21161909:1 gene:Et_4A_033292 transcript:Et_4A_033292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWYYHLNFITTSKADGDLEVFAEVKHLNQGKQLEMLMNCFCLVDPIDKGQHCNGCTRIGNVDMKHPDSSVQLPAGHMDPRCGQLVVGESDSEDEDTFLKTREAELRRKHKEKNHQMMGIMARLKQPAVMHQMSLEELSVPPCIEPHEQGGQEALTLNRQKASSHGWSATPSRCDAAARVTTLSNSSSSHATVAVASASRVRLRLTAAPRRSEPSGDALDPFHLTSAATLAAASAPSGEAGSAVGGGGGSPSARRTTSRTRNLRAAGSRGHRIILATIGGGDTGTG >Et_3A_026513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8992985:9010604:1 gene:Et_3A_026513 transcript:Et_3A_026513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKFWEIGCAVILRGHYSTTCPLNPVRSRAVEKKGSNKGGRGRVGGKRQRGRPRTRRYSYEEENEGNNSHHDLTSADVVVLNHPRKQERRRRSSLPISWTTLQKESPASLSMPFSIHSFEFPLKELKAAFKSAHPELLIKKLQFALSPSSSGKKTTKWISESSITMSRTRDAEDCRITISPQCAYGLRLFVYTLESAQVVSSLSSQVHTRIREPVI >Et_2A_017281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32795228:32796973:1 gene:Et_2A_017281 transcript:Et_2A_017281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EMEIPVIKMDELYGEKRTETLSHLHDACAQWGFFWLENHEVSEELMHMMKVLVNKHYEHNMEKSFYNSEMAKTLAYEKAASNVDWECSFMYRHRPKSNSHDIPEVFRTTIRDYAEELVKVAEKLAEVMSENLGLDKDYLKRAFSEPSVGIKVAKYPRCSHPNLVMGLREHTDAGGIILLFQDDLVPGLEFMKDGKWVPIPPMKGNRIFVNLGDQIEVMSNGMYKSICHRVLPNENGSRLSIATFYNPGSDAIICPAPKLTYPSQYRFQDYLNFYSTAKFTDKVSRFQTTKMMFNFSQDGVGSLSSSFKQTPLNFTLMPTLGHEAQQKVTKFQ >Et_2B_021108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26491819:26494391:-1 gene:Et_2B_021108 transcript:Et_2B_021108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASAGGGRTLGRSSFSRATANPLASSSGTAGVKLGPNGAAFVSSGIPDLDRILGGGFLLGSVVMIMEDADAPHHLLLLRCFMAQGAMHKQPLLFAGPMKEPRLFLGTLPTPVSSSKEDGRHRAMGGAASSDGRASDEGLRIAWQYKKYFGDEKTSHGEHRDNKQEFSNNFDLRKPLERHILNGQHIECVSTQDKDTLSDLQDRCSTFLSKFPRMVEVLLLDEFLYNHSVHRSDWEMVSFIRSLKAMVRSSNAVAVITFPSTVLSDSFCKRWQHLADTLLSIKAIPDEDKDLAKLLTGYQDMVGFLHVHKVAQTNSQVPVILEASTLSLKLHKRRSLVLERLNQAPVDGSSGPSYAASGSCSSSSQGSQLDF >Et_8A_056120.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:22784359:22789069:1 gene:Et_8A_056120 transcript:Et_8A_056120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDDERSTVSQASSSKHHARDKDRDGSSSRHPRDRDRDRSSSRHHRDDKDGDRDRHRRKKEKERTRRHEERDREDSKGRERSRRREAYEEEEDGERGRQRRRRSSHHQRDAEAAPAPREEGSERRRHGPSEVDMVGEQDRLEDEMERRRRRVKQWQEERREQQQPLLDAGAAAAEVNAGGEAGKKWTLEGEESDEEGDKEGAMDVDLLKGGNAMEEDDDEIDPLDAFMNSMVLPEVAKLESAAAAGSGVPGSSSDVKSMKDVVSNGDKTGSRKAIGRIMQGDGSDSDHDGDEDDGAALEDEDDEEFMKRVKKTKVEKLAIVDHSKVDYQPFRKNFYIEMKDITKMTSEEVAAYRKQLELKVHGKAVPKPIKTWVQSGQTSKILDTMKKLGFEKPMSIQAQALPVIMSGRDCIGVAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIVAPTRELVVQIHSDIKKFSKVLGINCVAVYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRILQNTRPDRQTVLFSATFPRQVEMLARKVLTKPIEILVGGRSVVNKDITQLVEVRPENERFFRLLELLGKWFGKGKILVFVHSQDKCDSLLKDLFQHGYPCLSLHGGKDQSDRESTVADFKGNFCSLLIATSVAARGLDVKELELVVNYDVPNHYEDYVHRVGRTGRAGRKGSAVTFISEEEERYAPDLVKALELSEQAVPEDLKALADRFMAKVKQGTERAHGTGYGGSGFKFNDEEDEACKTSRKAQAKEYGYEEDKSDSDSDEEGIRRAGDDLAEKAGGDQDAYRDGRVRMGDDHNDARTRAYELLERIRRDAAPEHFVSELEINDFPQNARWRITHKDTLGPIQEDSGAAITIRGTYIPPGKVVGANERKLYLYIEGDTESSVKKAKTELKHVLEDCASYALNVTRSAQTKKYSVI >Et_3B_030261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31828422:31830408:-1 gene:Et_3B_030261 transcript:Et_3B_030261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAKPILRVAAVCGSLRKASFNRGLLRAAAEVCEDSIPGLRVDHLDISDLPLLNTDLETDGGAGFPAPVEAFRSKVRQADCILFAAPEYNYSIASPLKNALDWASRGKNCWADKPAAIVSAGGGFGGGRSQYHLRQVGVFLDLHFINKPELFVQAFQQPPKFDSDGNLIDAEIRERLKQVLLSLQAFTLRLQKG >Et_3B_028960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20953242:20968230:1 gene:Et_3B_028960 transcript:Et_3B_028960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGLQALARVAMRFVYINDESYQNDYCDNWISNTKYTLLNFLPKNLWEQFRRFMNQYFLLIACLQLWSLITPVNPASTWGPLIIIFAVSASKEAWDDYNRYISDKQANEKEVWIVKNGTRKHIQAQDIRVGNIVWIRENEEVPCDLVLLGTSEPQGICHVETAALDGETDMKTRVTPPPCVGLEFEQLHKIKGVIECPVPDKDIRRFDANIRLFPPFIDNDICPLTINNTLLQSCYLRNTEWACGVAVYTGNETKLGMSRGVPEPKLTAMDAMIDKLTGAIFLFQLSVVIVLGSAGNVWKDTEARKQWYVKYDNDEPWYQILVIPLRFELLCSIMIPISIKVSLDFVKSLYAKFIDWDEEMYDLENDTPAHAANTAISEDLGQVEYILTDKTGTLTENKMIFRRCCIGGNFYGDECGDALKDVELLNAIANGSPHVIKFLTVMTLCNTVIPIKSPSGSILYKAQSQDEDALVNAAANLHLILDILEFTSDRKRMSVVVLDCQSGKILLLSKGADEAILPCAYSGQQTKMFVDAVDKYAQLGLRTLCLGWRELESEEYAEWSRLFKEANSALIDREWKVAEVCQKLEHSLEILGVSAIEDRLQVGVPETIEILRQSGINFWMLTGDKQSTAIQIALLCNLISSEPKGQLLFINGRTKDEVARSLERVLLTMRITSSEPKELAFVVDGWALEIILTHYTEAFTELAVLSKTALCCRVTPSQKAQLVKLLKSCDYRTLAIGDGGNDVRMIQQAHIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLMCFIQILFSFLSGIAGTSLFNSVSLMAYNVFYTSIPVLTTVLDKDLSEKTVMQNPEILLYCQAGRLLNPSTFAGWFGRSLYHAVVIFLITIHVYSNERSEMEELSMVALSGSIWLQAFVVTLEMNSFTFIQLLAIWGNCIAFYAINFFISSIPSSGMYTIMFRLCRQPSYWITLVLISGVGMGPVLALKYFRYMYRPSAINILQKAERSRGPMYTLVNLESQLRSDKDTKMMVPNATTPVKGKSSVYEPLLSDSPMASRRSLASSSFDIFQPAHSRTSHPRNIKAN >Et_8A_058411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6838225:6843713:1 gene:Et_8A_058411 transcript:Et_8A_058411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATVLLSPAARLVASRPPRCHSSTPQPSTATTRGCLLRGSGSPVVKRVPGGADGEWLLWHQSGARVALSTSPDGLRWSAPLSPDPLLPSADWWAFDNAAVRPSDVLSSPAPGPHLRAASRPPPSPFPAADVPALPGLAISQDGRHWARIEGDHHSGALFGVGDEEEEPRGWETRHVAAPKVVMHADGDLRMYYHSFDEMSHRHAIGVARSRDGIRWTKVGKVLEGGRAGSFDERGVRHGHVVRDRAAARYVMVYEGVDADGRVSIGMAVSEDGLKGWRRCSEMPVLRPSEEHEAWDGVGVGSPCLVQMDGAYDWRLYYMGFGRDGEASIGMAYSEGQALQKFEKCDAVLMDAMNVLDKVFGWSNGFAERSFR >Et_6B_048746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13109653:13111951:-1 gene:Et_6B_048746 transcript:Et_6B_048746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRACTRVGGAGAGGSRRALAPVMASSSFLASFSSSSSARVPPKSPQAPTPPAPAAARPSRLRSLLARASARRDPDPEPERRSLAVRTGELFLGLAALLVRGAGRGSSAAPAVEEVEARDGVVWEQRPEDVEAERRRRELTSPGFSFSAAGLLFPYHIGVAQCLIDRGYISERTPLAGSSAGAIICAVIASGNTMQDCLQVTKDLADNCRTKGTAFRLGAVLRDVLDKFLPDDLHIRCNGRIRIAITQLSWRPRGLLVDQFDSKEDVINAVVTSSFIPGYLAPRPATYFRNRLCIDGGLTLFMPPTSASETVRICAFPASRLGLQGIGISPDCNPENRASPRQLFNWALEPAEDEILDKLYELGYQDAAVWVEQRSTELIAKNEQPITSD >Et_7A_052075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4429377:4432852:1 gene:Et_7A_052075 transcript:Et_7A_052075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLLSPPAATGAGAAKLAPGLRAISLRRGQSFVPAPHRSVLPPSLLPPSLSRRSFFLSLCPSSWIYCLVNVVLRFLQDRVSVASSLSVAAARSAGPAVAAAETKQGVSGKKQILISLSDKTDLAYLGNGLQGLGYSIISTGGTASSLESAGVDVTKVEEITNFPEMLDGRVKTLHPSIHGGILARRDQEHHLKALKEHGIGTFDVVVVNLYPFYDKVTSGAISFEDGIENIDIGGPTMIRAAAKNHKDVLVVVDHNDYPALLEYLKGNQEDQQFRKKLAWKAFQHVASYDSAVSEWLWKQSHKGTFPPSFTVPLELRSTLRYGENPHQNAAFYADKSLSLVGAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFDSPTCVVVKHTNPCGVASRQDILEAYRLAVRADPVSAFGGIVAFNTTIDEDLAKEIREFRSPVDGQTRMFYEIVNNCMLGMGSGQPNRRESLRIALRKAGEEAKGAALASDAFFPFAWNDAVEEACQNGIGIIAQPGGSMRDDDTVDCCNKYGVSLVFTGVRHFRH >Et_3A_026481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8625820:8630404:1 gene:Et_3A_026481 transcript:Et_3A_026481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLMQDPPAGISGAPQDNNILLWNAVIFGPDDTPWDGGTFKLTLQFTEEYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREVVESGEGSKVALPMADDEGAIATGGRGSLTAPLLRPTAITREPFVEVRLYRRGTGPVALFRSCLAGPRRDRLDVRRIQAEHGLRALYAFKLEGSRRGLRIRPDPVAGYSALPFRHGAVILLDGEPKESWTKPVSLIVAGLLVPAMMAVVAVKGVPEPLRSSRVINGLFPPWILVSAVIIFARVRTRPRAP >Et_1A_009465.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6094725:6095909:1 gene:Et_1A_009465 transcript:Et_1A_009465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMPPPPPAIVGPQPTWVPLEPTRDCSQGLCSMYCPQWCYFVFPPPPPAFDIGGPSGDDDSSGPTFSPLVIAIIGVLAAAFLLVSYYTVISKYCGTFTSLWNWLFGSRRGGGHGHGHGHGHGDGHGGSRSQEPWDAVPPDGLDETLINKITVCKYKRGDGFVDSTDCSVCLAEFRDGESLRLLPKCSHAFHLPCIDTWLKSHSNCPLCRCNIAFVTVGVVSPEPERRAPREDGRDNRELVLTIDDYSEQAREEPQNQNAASGNGGDGQEAPKDRPGRSEEASITVEIKEDGAPPVRASSSLSETHRDGRMSIADVLQASLEDELIMARESGLLAGSSGSSRRCHGEHNKESGRGGRALPDAANPPQTKRLPAVGRSCFSSRSGRGKDSDLPM >Et_1B_013595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9822516:9824206:-1 gene:Et_1B_013595 transcript:Et_1B_013595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Et_7B_055576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15044661:15046526:1 gene:Et_7B_055576 transcript:Et_7B_055576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLRGMIGIRSCRCCVEQLSEDSIAQDQQQTKKIRIHDLITEVLLRLPAKLVACRSWNVKTSLRAFLDHHNALATSKLAFVPLAPVHGYPGKLWFSRATMPSPPRLYISIPLLSLSLLDPPTYIVPAIQLLRGTMGIRVAGCGRRHVA >Et_9B_064379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14625802:14627946:1 gene:Et_9B_064379 transcript:Et_9B_064379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTVDRNGDGQPKKRKQGGFKTMPFILANDICDRFATAGFGANMITYLTQQLHLPLVEASNLLTNFGGTSSLTPILGALAADAFAGRFWTIIAGSVFYQLGMLGLVVSALLPSLRPPPCSSAPGTAPACRRASGWQLAVLYLSLLCTSLGSGGLRPCVVAFGTDQFDQDEQSGAEAVAERKRRYFNLYFFTMGIAALLALTVVVYIQDNVGWGWGFGIPAIAMFVSIVVFVAGYPLYVRLKPGGSPFTRLAQVAAAAFKKRKAAMPKDLGLLYQDKELDALIATNGRLLHTNQLTFLDRAAIVMPGDISSSGQPDLWRLSTVHRVEELKSIIRMLPIWSAGIMLAAAGSHNGTFTIMQARTMDRHLTRSFEIPPASMSIFATLALLASLALYDRAFVPLARRVTGLPSGITYLQRMAVGLAVSILGVAAAALVETRRRGVAAERGLLDDPKAVVPMSVFWLVPQFAVHGVAGAFSSVGHMEFLYDQAPESMRSTAAALFWLASSLGHYMGTVLVTAVQRATRGRGDWLQDNINRGRIDSYYWLVTCLMVINLGYYLLCFLFYTMKPLELAGEHGDHGKETECELSSHNRNGGAAGGMV >Et_6B_048342.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:14200048:14200266:1 gene:Et_6B_048342 transcript:Et_6B_048342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGRREHVAHGGGLRAPQQDMDARTGRGQGRGGSPQVLDAIDTRDNKRTVRLHRGGELLQQIALGIEQVL >Et_9B_065608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7906112:7911129:-1 gene:Et_9B_065608 transcript:Et_9B_065608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSGAILRHISSLKDMLDKPVPAGGRPPGPIASPGICGRHPRAAASSNWVNEEIEENIQRTREIDSEIVKHSENEKLYLDQESELMKEVSIAEFDLNGLIQVAAAEADILKVTEGNLELQKISLTGVTKRLSDKMERFINESKAFQASILGGSSEDLVLLQKEKHLLEDESENLIMKINTIHSSSKEYIAEILEEINTENSFLESELQYKISEYREVLKDINNLKILLSSSRS >Et_9A_060969.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22287998:22288467:-1 gene:Et_9A_060969 transcript:Et_9A_060969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQVQFSCLLLLAMIVSSGPFVATALARTIHRSRGLHAPVPALARLDGSGNQGWETLENNGGNSKREVPGGPDPQHH >Et_4B_038278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27796872:27800962:-1 gene:Et_4B_038278 transcript:Et_4B_038278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEPFDEAELFALPASPVASPPRRLKRLKKSSSQTTATAAINTTLIPPAGSPPPPPQSPPPVASPGEETLAPRLSPPNNSSPPLPPPASDADALSPLPHSSPNPDSSPLPPTDSPDSEEEDDGFDPLFSESGPAAGWDPLGAPMEGDGGDEEEMLEGGLIEELRRETSAKKRLDMDEGEGEMAAGAEVKGKRSKRKRKEEAPKDLARGKKQSEKERRVQLESIHAESQRLLRETRSASFKPVVQPVFKPISSVLEKIRLRKLEIQKKANTPIQDNDDDDAAPEPASDSAGHLEVPRAKEVAADDDVDKEFAADGHGLDQCDSVKDEVGVLFLSIHMQFGAHFTNTEIFDSSQDNHDENVRSSENHNDLGDQTQLPPSSSPTKSADDSSSEDEEEDNDKENIDPISQTNDVNIHEPPQRATGHSCPDDPLLKDFLDDEAEEEDDSDNDMMRFKDNEEDDGSDENEVFNDLIEVGYKEKEVDHKKRNELHQKWLEQQDAAETNNVMQKLKFGHKVQKEILDEDEDLEECGDESENEMSYDLTPTNILRQNSEKAKQMIAKMFTDDNDTYEHSDDDEIEENLARQRISKRESYNGSFVSPLEDDNSREVFGLIKKLNIAPQPKRRGKQSSSNHEMLVTGRTSSTSKATSGSSVSSHRSVYRSYVFGRDDSNSSRSCMSTSESNPEIDQTNPNQPKKAKFSSSHPKPTGSKTNSEGGTSSGLSLFEILRRSSSGKHEYSSQESCSTITESQVVHQFSAFKSRRFSKVGARN >Et_3A_023113.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28723104:28723496:1 gene:Et_3A_023113 transcript:Et_3A_023113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASAVGRARVVPAAVHVQVRRVRPVLPGARGRAAGRAGDHGVLPGGVAVQVRQPPLHAVSPSSPAGAAMSWMGARRQEDGELLAGELVASSACACWPRLTARGVAARMAGSAARGVVVVAYRLLRY >Et_3B_028089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10006461:10012025:1 gene:Et_3B_028089 transcript:Et_3B_028089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEYHEKYVRNSRGVQLFTCGWLPAGTSPKALVFLCHGYGMECSGFMRECGVRLAAAGYGVFGMDYEGHGKSMGARCYIRSFRRLVDDCYCFFKSICELEEYQNKSRFLYGESMGGAVALLLHRKDPAFWDGAVLVAPMCKISEKVKPHPLVITLLTQVEDVIPKWKIVPTKDVIDAAFKDPEKREKIRKNKLIYQDKPRLKTALEMLRTSMYIEDSLSQVKLPFFVLHGEADTVTDPEVSRALYERATSADKTIKLYPGMWHGLTAGEPDENVEAIFSDIVAWLNERSRSWTLEDRFRKLVPAGKFIDGEKNGEAQANGRPRRPRGGFLCGLTGRTHHHAEM >Et_7B_053646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10696427:10702537:1 gene:Et_7B_053646 transcript:Et_7B_053646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVEEGSAAAAAAPEHRLYYLGPRGVSCLARLEPCDPLPPGTPRPHADDTVDGVAEDAQVGPGFYLAVLEGGEVVDLAHLTSLDGQPLATTREEAKLGDDGGSTGKTSICGEKEETPVGKGEGEVRSAETANEEGEMVENTLQEERQEEEETVEGKLQDEDGEGTTEEGEEAEGTSEKDGDWKETSDEEEGERTSEQDKDWEETSDEEEGEGTSEKDEGWVETSDEEEGEGTSEKDKGWEETSDEEEGEDTSEKDEDWEETSDEEDFKDSKDGCWNMTKAYHVKWLERREKLELLRTINKYLDRDGAITCETMAKTSLTLSPWTKECLLRLDFEGPLLYPCDLDEAVNTELNPTTDHFKFGKQLGKGGSGKVYQCTDKDAYIMKSYAVKITKVKKHEDIDAYNRKEPREVHMMACLKDPKVVTFYKAWIANECYQSSVDHKEVSDTDLEEHSDDSGSDLCERYVLIQMEVCVRTVADILSTRDRDIKSGEMSIEESWALFEKITQAVQVIHQKGAIHRDVKPANMFVGEDGEIKLGDLGHACWKSCYIDGREGTPHRGTQLYVAPELLDGQVTDKVDIFSIGAMFLEIFYRLRSYHERHEVLMGLKCGKYPSDFTGDIVLLKRLTALHPAERPSTVDILIIGGIALTALDWKSWTVRLVQMPKVTLMF >Et_2A_016864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28928284:28935245:-1 gene:Et_2A_016864 transcript:Et_2A_016864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAELRRDDMEVAEDASSDASAFRFIERVLQSVRMDPFLVDLSDKDHYDDMLSVVDSTKKRSADDEALLVTTLKGLSEAVSKIDIVYHHALLHNIFTMCIWYFRRDTRDALLDLITKLAAVADQFLRESLQMLVNNFTPPVPILPFIEQPRWLARKKEIYSQLHGSLKLISDTVPLAPMMLKDIIDRSMPKLFDNKAKMVSFVECMLGLDTDRMGDLLGGLLLAKVVDLLTELDVNITWEDILQEEHNKGIFDMEIEDLDEDEDNLGHGGAKALFGGNACAEKLDGLMVVVCEHLKSCHEHGRLPQEFDILKTIFRTSVLRVHKSKFAQFIMFYACSLDPDFCGLDFACRMSAVSYVGSYLSRARFISTDMVLVVLQKLVDWCSSYCDLQKNRTATKPIDHKIFYAGCQAVMYVLCFRLRSIMDHPTHKSVLSEMRIDNILKDQLKPLKVCLPSIVNEFLRQAKAARLLDSSMDSVCEDAIESDLSKAFGGINRLDMFFPFDPYLLKESDRYMRPIFEFWSMVKTTYSDDDDELGDLDAPEMNMEESLDDHVEIDFDSNLEISIDKMSITPRHTFHHPIGMNNDRGLSMPAKIRPSWESIISWFVRKASLELELNIKTTALHDVT >Et_9A_062052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19206248:19208445:-1 gene:Et_9A_062052 transcript:Et_9A_062052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISDQKKSILEALKQQHAAAKAKKLQEEQLKSQKKNNVNTPKPKFDASRKGKAPEFTHCRASAQPSPDKAVTFSSSSRQHKPSTFSGEEINPVYDKISCALHDNLLQDDIPECDGTEVVQSVIFDIIQNGGDTGKITKGSKKLKLEKGILLDNYVQRGPRLVDAQARSLLIHSKRSKRHMSLKQHKKCGSFDLDKRFHKFDLYKPMHEMWKDYIKELTKITPTKKLSENFLSADLHGAFLIVVECKTASYQGLSGIMIRDTAETFGIISEDNRFRVVPKAGSVFILQADCWKVTLIGDKLSPKEKLMEDQRQQRAQSLIR >Et_4A_032644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12112400:12114109:-1 gene:Et_4A_032644 transcript:Et_4A_032644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAFLHPRVLLITIGTQALDDFISSSLMDMMLVFYFATLDDSYHFKRYLSLCFFSQREILSSNIDLHSVHCARNLQKCQHCGEMVPRKLMDEHYNENHAPLDCSLCKETIERESWGVHKSEKCPQRMVACEYCEFELPAVDLHEHQDVCGNRTEYCQTCRKYIRLREWVGHEIQCHINSNGSAETSRTIPEREMRPPPPVRPARPAHGSPHKRLLFTIAVTGIAVMVGSILFQRDESF >Et_4A_035313.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:19452972:19453445:1 gene:Et_4A_035313 transcript:Et_4A_035313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGGSSSSSGTVSSTCTPAGSPSYSDSGRGFHLPSIFSTLELDVEERAKKLRHMKLLVEEFSNANVLERWLSELDVGWLLHLTDGDASARRLFISRQLQPLVRKWIMALRQVEESVFAYFDGLAREDESFCFSTSLQPDVAEFAGFVSIRAAEQQ >Et_4A_035511.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27090129:27090560:1 gene:Et_4A_035511 transcript:Et_4A_035511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGGYEYGAEEEEHEPQPGCAEGAGQAAANCAAVCCCCPLALLDVLLMVTVRLPAGVMRRVRRRRHRRSKKRPSLAAGDAASSSPSGSSKAMIAAAAPAMEVEEAAASEFEREIMNSRLYGAGFWRSVSSGSSSRASSMHRQ >Et_2A_017535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35284818:35286222:1 gene:Et_2A_017535 transcript:Et_2A_017535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEDAAARRERLRALRAAKELLATPDGEQKNGNRAAEEQVEQPALPGPQDAAPDEDAKENVSPAKEEEEAEDDGELPAMKFRNYLPHDEQLRGGKLAPVSLPKFEDPISAESAEPKQLENPFGNIAPKNPNWDLKRDVQKRIDKLEKRTQKALAEIALEQQREKEALEEAQD >Et_10B_003813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6395929:6400583:1 gene:Et_10B_003813 transcript:Et_10B_003813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGVLGNSSNAASGPEEIRPPENSNNVSEKTLEPLSSFPELESNDAGVNAEKKESGISKCKLVEEIPRTVSVKRCKNIDLKKVPSNNNNNSSLTGNHSLKWQPRKGDHPAQVSENGISQDAKPPGTWICKNSACKAVLTLDKTFCKRCSCFICHHFDDNKDPSLWLVCSSESGDKDCCESSCHIECALQHRKAGCIDLGQSIQLDGNYCCAACGKVIGILGCWKRQLVVAKDARRVDVLCSRIYLSHRLLDGTIRFKELHQIVEDAKAKLESEVGPLDGMSSKLARGIVGRLPVAADVQKLCFVAIEKADDWLRLNVPSEVKQIDTLPSACRFRFEDITASSLVLVLKEVVSSQYHAVKGYKLWYWNSREPPSTGEPAVFPKDQRRILISNLQPCTQYSFRIISFTEDGELGHSESKIFTKSVEIIHKNIEHGAEGCSSSAKRDGKSQSGRSSGFKVRQLSNVFRKAQAEENGYPSVFCKDEIEDSCDQSDSVIPDNQAACGASRKLNLNETSVPDLNAEVVMPTECFRNENGDSSAKNALTKSNGCDDSETCAEGHVGEAPAMESRSQSRKQTSDLEQETCADDSNLAVGPTRLFSRRLGQLDDNYEYCVKIIRWLECSGHIEKNFRMKFLTWFSLRSTEQERRVVITFIRTLLDEPSSLAAQLLDSFEEIVSSKKPRTAFLCFKITLKLTQEPFFDFSKVIINFLFAVETSVVPYKIVCMTSRLANLAMHITKLAASVHVPLTAKAFSITMLNVPVTAKAFSITMLNVPVTAKAFSITMLNVPFAAKAFSITMLNR >Et_4B_036585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6667934:6669043:-1 gene:Et_4B_036585 transcript:Et_4B_036585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTASLHLPATPREIAPQLQGVRVVSVVKNLIVLSTGPWFHQFRSSGEYMVYNADTETLLLAPTIDWGKFQQLFITRRVAMHTDSSSFKLIMLLRCRRTSKPFVLVWCARGQGQWELNEIRLPEDIAQYPFAIDEAFYFKGRWACWVDLDMGIIMCDMASNSLNCHFVPLPERYQVTNPQMARGRPDVFSTVGVVKDEIKLLFMDGYDDDKVPRDQVTITTLTLSVSHGQSEWMSHEEKPFRVADLWTDESFLAIPGLPKCLPMFPVLSLKEQNMAYFFMSDILSEPGHVETKGEYVLGLNMKTKKIQLWDKCLPNRSFMPLPSYNATEFRAHLQPSNPTDQVTSQQLLPVTSYI >Et_1B_011989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27881573:27895680:1 gene:Et_1B_011989 transcript:Et_1B_011989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGEVVSRLAHPAESPAAAIAALWLRRASGRSFLRLFDPLAPPADPPHLLGFYVSGHCVPRPEFVPMPTPLRHPEDKELAAAVRRATSEFEPHVFDCRNGRVLVGLFEYGRGDGNYGVWRYMLHDPLRHPAAGRGTAAALPPTRLDFWPHVSQEMFLPEDGDGDDASCYCVDVDQFGRGAATVQVCALLRPSVASPGPCAPAPGPGSPRRPTGSPWKFFSAVASFTCWLRPSRAELPPRARPRRRQAVRPRLPRRRGVRLLRRPGARPRGRGARLGVLPPPREGTPAHGLAAHDRRRRPWRVVGALLTDTISVAETCGGHLLGQGLERADGAPADVVSVVGVGDNAEFAFLELDRSNGVVFYMHLESRMVENMSAGPTTKPSPPPAEDATAASKVFGNDDLLSEILRRVDSPTTLVRAALASKRWLRRASGRAFLRRFRERHPPRLVGFYVTGDSVHRPEFVPMPPGTELGATLRRAATMFDAFPPCSSRVWHTRNSRVLFDFSRVLFDPRSFAVRDPLRHPPREAAMAEAELPPPPAACEHAMLLPDDEDDDATCYCVEVNHDGRSVAAEVSVLRSGAWTVLCCAKAELAWAPERIPMITLLAGGKVYMVAVAGYLVAVDLATASLFAVDLPKGAAYEYYGNLVPSRGDDSVLYLFHVTGDQLRVWRRRMDDGAGEWALRDTVSVKETCGHLVEQGSDPANGDPLLPVSVAGVGDNAEFAFLELGYSGNIVVYLHLGSRKAEKVYDRDPDNDEIIEVHPLFTRGRESKQNRTVKGSRNRELAFPSSCSTGKTLDSSSLRFFLTCCPPINYTSRSLIQPEAMRGDAVAPPARPAAAIAAVMGDDDLLSEILLRVGFPSTLVRAALVSRRWLRHSSDRAFLRRFRDRHPPRLLGFYVNDLGGPRQRFVPVSRTPELAAAVRLAAVAGGYFYVFHSGNGRLRVTEYGETLMDHRNAVLRPLHPDRGTVFLPPPPPEHTRIWFFLADDDGAAAAVGIFSIDTKLQVDLLTLRSGAWVVRRTAVLDHPETLPEITGMLPPAGGKIYMLSHPYDFVQHQEEDPGPSLVYAEGYLLSVWRLATNNDGNANEWVLLYDRIQVREARDRLEDVKVRAVDDTCEFVFLGLEASALVICMNLKNRTEEVEKICHQGMPGYMSCVHVSPLKMLWPPTFPAPAGPAAPPAAAIAAVIGDDDLLREILLRLGFPSTLVRAALVSRRWLRHASDRAFLRRFRDLHPPRLLGFYLKDLGVPRQRFVPVSRAPELAAAVRRAADACGYFYIRHSRNGHLLVTEYGETFTDHRLAVLNPLHPARGADIFVPPPPREHTRIWFFLAHDDGTSAAVGMFSIGTKLQVDLLTLRSGAWVVHRTAVLGHPETLPEITGMLPPASGKIYMLSHPYDFGQLQDTYPARIIRLDMATAKVSVITLPSTVRTTNYKLSLGEEGDSGPSLVYAEGYLLSVWRLVATNNGGNANEWVLLYDRIQVREARDRLEDVKVRAVDDTCEFVFLGLEASALVICMNLKNRTEEVEKICDQRMPGYMSCVHISPLKMLWSPTFPAVQREGN >Et_9B_063959.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:6292864:6293007:-1 gene:Et_9B_063959 transcript:Et_9B_063959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFIVIEIHVLPRQSFELTILLSNRQRLTSVERLAIESFEKKDPRGE >Et_1B_011360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21050697:21051838:1 gene:Et_1B_011360 transcript:Et_1B_011360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPPVSERVRRREPVVLLEAQQAKQNLASRLRQLLRQTFERLQPLGVDLVSHVEHLHEHVVLRVHMLEQGRAGEELDHDAAERPHINGRPVALAAHELLRRPVPERPDALLLVRRPHRRGHAEITDLERAAAADEDVVRLDVAAAQELEHERARLGLREGAREAAAEAGPDELHDEEEVPGGLVLDHVPDLHDRRVAAAGSEAAGLADDRAEVGRASVDAAEPLDGDHVAGAEVARPDDDAVRALRELIQLLSSMVPNWYRGRFLPATEEKGPSGAGRFRGAAAAAMSFSWSPGKFRDEGASRREIRDERAGEAEGSEILGSPARI >Et_5A_040660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10968859:10972350:1 gene:Et_5A_040660 transcript:Et_5A_040660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAERRQAELIEQFSAQAAALSSAPQLAALVLEATSHPALFAFSELFAHPALSKLAGTQYESSLEVLRLFAYGTLKDYKSKSGSLPVLLPDQVRKLKQLSVLTLAESTKLLPYDQLMQELDVSNGIVRGKLDQLRRCFEVQFAAGRDLTADQLNNMIDTLSGWLETSDSLLHQIQDKIKWADTMSEVNKKHQKEFEDRVEEAKKSIKADIDLRGHDDFLSEPGGIMDFEEDRIRPKRRRQPMA >Et_3B_028925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20481290:20487296:-1 gene:Et_3B_028925 transcript:Et_3B_028925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCIKLPVAPAAAIFLSAVIVLSCFTNQNQMVPYMDYYVNFTSALNLSPNFTSAFSFSPNFTSPFSLSPNFTSPFSFSPEPVLAPKCDIFRGQWVPEPSLPQYTNETCTYIYGNQNCLLYGRPDLDYLKWRWKPDGCDLPLFDPHKFLQVVSNKTFAFVGDSLTRNHYQSLLCLLAKVARPKDVVGNQYDMNKVLYYEGYNFTIYIFWTPFLVKAEKIPGTNTINNLYLDEADDKWLSVVHKFDYVLISAANWFSVPCYLYERRQLVGSLFLPLNFTSNLTIYYHHRMAFRTSLKALNDVDFRGKVILRTVSTFSHFEGGHWDTGGDCKRTRPYWANETVPIQDLEREFYKGQVEEFREAQKVAAARGAEMMLMDMTAAMQRRPDGHPSRYGHWPHETRRGNDCVHWCLPGPIDAWNDMLLYMLSKAGSHLFVVGHRRGGGGGPQPGAAMVIRVKLFFGPAATIFLSTVIILSWFTNLIPYLSYYYTFPAAPRYVSPETVPKCDIFRGEWVPDPSLPQYTNETCSYIQDHQNCLHYGRPDKDFLKWRWKPDGCDLPRFNTYRFLQAVANKTFAFVGDSLARNHYQSLLCLMSKVHLTCSFVLRLIFRHFLLRL >Et_1A_008320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6400258:6402586:1 gene:Et_1A_008320 transcript:Et_1A_008320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSWLSKIASACLGPVRRYARTRKDEDGGDNGRVADDLLWSRDLGRHAAGEFSFAVAQANETLEDHSQVETGAAATFVGVYDGHGGAEAARFISDHLFAHLIRLAQENGTISEDVVRSAFSSTEEGFLTLVRRTHFIKPMIAAVGSCCLVGVIWRGTLYVANLGDSRAVIGSLGGSNKIVAEPLTRDHNACMEEVRQELISRHPDDSQIVVLKHGVWRIKGIIQVSRTIGDAYLKRQEFALDPSITRFRLSEPLRRPVLRADPSICARVLSPQDKFIIFATDGLWEHLTNQQAVEIVHRNPRAGIAKRLVRAALKLAARKREMRYDDLKKVEKGVRRFFHDDITVVVVYIDHEFLQGRNTSVQELSVRGFVDSVGPSRFSGLSNIS >Et_4B_036152.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29061143:29062302:1 gene:Et_4B_036152 transcript:Et_4B_036152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AELSCIAVQLGSISKSLATAEDSDASDHAEDDEERVSPAVGSYEVIQLEEEEILAPHVHACKVCGKGFKRDANLRMHMRGHGEAYRTAAALAKPVCDASPAPDASTTTATRCRVYSCPYAGCKRNREHRSFQPLKTAVCVKNHYRRSHCDKSFVCRRCGVKRFSVLADLRTHEKHCGRDRWVCSCGVSFSRKDKLFGHVAAFDGHAPALPPDDDDDPAVNPIPSCCAANVISGSGDQLLTDNEAMGRMASSMEWFSDNNVFDDLSSSDIKGFPLISDGQCLDDGHDQFLSPMGTDESCDFGGFGLFGTPGIEF >Et_6A_047369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4561549:4563189:-1 gene:Et_6A_047369 transcript:Et_6A_047369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETVVLIVGAGPAGLATAACLVQLSIPYVIVEREECSASLWHNRTYDRLKLHLAKEFCELPHMSYPADAPTYIPKDQFVKYIDAYIENFNIRPKYHTSIESCKYDEDAKCWISMAHNKDTSTTVKYKSSFLVVASGENSAENIPIIPGLDGFPGELIHSSRYKSGATYSGKNVLVVGCGNSGMEIAYDLACHGANTSIVVRSPVHVMTKELIRLGMTVVQYLPVNMVDTLLVTLSNFVIGDLSRHGIPKPKIGPLQLKSETGRSTVIDVGTVRLIKEGIIKVLGSISSIKGNIVQFEDRKELSFDAIVFATGYRSTANIWLKNGENMLNDDGLPKKKFPNHWKGAHGLYCAALAKRGLAGIAMDAKNIANDIVSTIESISRQTRH >Et_1A_008761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11994887:11998036:1 gene:Et_1A_008761 transcript:Et_1A_008761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALHLVLAPWAAVLGLLALQLCAASNVIDRSLEAEAAPPSVPASIVSPLLRTGHHFQPPKNWINAPMYHKGWYHLFYQYNPKNPVWGHIVWAHAVSRDLINWIGLEPAIVPSIPSDRYGCWSGSATILHDGTPAITYTGITRPDIDYEVQNVAFPKNKSDPLLREWVKPAAYNPIAVPDAGINATQFRDPSTAWYADGHWRMLVGGARDHRGLAFVYRSRDFKRWVRAKHPLHSALTGMWECPDFFPVAGAGQQHGLHTSEPGVKYVLKNSLDRLRYDYYTVGKYDKDKDRYVPDDPNGDQHHMRYDYGNFYASKTFYDPVKQRRIHPLGMGQRVRQRGRRLGQGLGRHLCTIWLAPNGKQLLQWPIEELEKLRGKAVIVNGTAVKPGHHFEVTGLQVYRSDVEVSFEVSSLAKAEPFDPAYADDAQKLCGVKGGVGPFGLWVLASANLKERTSVFFRVFDDTDGKHKVLCIDPTSSTQRDVYKPTSAGFVDVDTSAGKISLRTLIDGSVVETFGAGGKTCILSRVYPSLARGKDARLYVFNNGETDIKVRDLAVWEMRKASMM >Et_2A_016279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22909971:22915825:-1 gene:Et_2A_016279 transcript:Et_2A_016279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEDSAMDADGFGGGGWLGAVLEPVRWLRMLCRELGATFVAGVVLVYGLSQGFASSFYRVASDYYWKDVQQLQPATVQLLSVFFFVPWVLKPLWGVMTDVFPIRGYRRRPYFIFSGILGTTSAAAVAMITGLPVTSAVICFVGISTGVAIADVTIDACIAKNSIDKPALAPDMQTLCAFSSSLGALIGYATSGMFVHHLGAQGALGLMAIPPAMLVFLGFFIYELQTYQHSAKAKVLNKVSVAVKGMIQTIKYPVVWKPSLYMFLSLALSISTHEGQFYWYTNKTPPNPGFSQR >Et_1B_011073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17214788:17221310:1 gene:Et_1B_011073 transcript:Et_1B_011073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGCPQNMAKMGQSQDASLTNTPTRRRLLYILARQYTIIFVIYYAYSLSNMFDFLDYITHMRIYSPNAYRGFAREFYQRFGKDEAQSYIGHNKDPDLHNDEDARARNMHVNVVTTFLVRNYAKHVSSLLLDGLNRGLPKLGIGRTKAGVGADGASKQRAKRSERNTSGLQGKHGNFDF >Et_3B_027482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2123744:2124168:-1 gene:Et_3B_027482 transcript:Et_3B_027482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFFQQPLRHKYSMQGKKVTGSLRTWRGETLRQSSGGGIKYLHSRTESIFFFLCLQKPAMQIFFRTSTPQSEIFAPIQRKSMLAPLKMLSIS >Et_1B_011952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27488406:27490457:1 gene:Et_1B_011952 transcript:Et_1B_011952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISRASYENIHKKGEELKRMIGAAAYIECSSKTQQNVKAVFDSAIKVVLCPPKPKKKSARKQRSCWIL >Et_10B_003178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17371545:17380188:1 gene:Et_10B_003178 transcript:Et_10B_003178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAAMKRRDLQTLCKRHGLPAGGTNAALAARLAAATAALPVRTSRPSNLAGRVLEEMPRRVFGCTVPLKLPGMLLLLTLLSLAPNSQGADGAGDELAARKGCLKRTAGGADLGEAKKVSFKLEESRGRRRRSQVAICSLAAVVAKTRGRGKAAETLPAEEDGVAAGAEAGAGAPVRRSRRNSMSLSEAEALTCSLAVVATARGRSKATETLPAGCGSAEEDDVTEEAAAVAPVRRSRRKSMSLSEAEAVTSSLAVVAKARGRGKATETLSAGCGSAEEDDVTEEAAAVAPVRRSRRKSMSLSEAVAVKEEVAAAVDRNRKRKSEEKDEDTAVGPQVGVSRRVTRRSSLSGAALLLPPTVEKKRGRRKAADGKTESDGEEQAAEAQDLAEAEPPAIVENKRIGRKKEHCEPAVQKPAKVEAPVRITRSRLVAATEAAPIVVQNKRRKVAQDVQPDVEQPPALEVPGNGAPVTRALRNRGFHQVNNSVVEETLVGKKLENKRQPGRPSTRSNQQLAFPVEEENQEQVVAPSKCPALRRSGRNNSEASNADPEPEANKSSSAPVEANDLNLVQPFTCRNAKVEDVEKKQSVKEPIRRSTRKSVVSAMLEKEDKGLIAEKAPEPEAHARRSKRKSVVSIKDMKGVDEDIQNAIGDDIVKQPAAKEPVRRSNRKSLVSAMLEEGDKDQIAGKKSEADVRKPKRKSVVLDKNIKDVGEVCNTKVEDVAKQPRAKEPVRRSTRKSVVSAMPEKEKLPVEDTEVVGEAILNVMGGDAEKQLVVKQPVRRSCRKSAPPYILDHETGVLVAETNAEAHFRRSVRKSVLSNMLNYEDQDHSEKARDEDFQSGKSGDEKKQPKVMEPGKLSRRSVATVVSEENKGFHGEEKSEIPMRRSTRKSVVLNTVENWNIESTETVEKEQSGVGTPNLKANSQSTEHAVAVATSEKDSIGTNHEKALRPRQVLSCTISKGSSSKRRRTVPEEVLSFEEAKSDDMVIREATQDGDNATHEDRRESSSSIQEIRLTTATHFFDAQAPIDDSPTVNAMPSKQSDAASGIHRDTISEKSIQDDDLEKCLSSVIKGYPLSINLHSENTADDSVLPVLNATKGFSSSATDDCILPVLNASKEFSSDGRRSSFGLEFLFEEESKENCSRNDESIAAETESGNKSSTCMSPSDMQSNHGVEDEDVQPISYDADKKHGGDQGIAQEQFVAEKSNSEHVAAKSNPKTMFNGETVGLYMESDCIIAESNMKFVADNCDGDTVNVQQGAVQEGTLEKPSLLSTLLECKHEYGSPDKAVLHSMKNRECPPSAEQSPFGLQSLFLEGSVENSVEHGSLTSATSHTESGVGQLKDCHVKCIVEKTPGSEPVSHHDTHEGTCFVSKIDDCMCISARLYALEGIEYHDYRRVINSKKVACKDERNTKLFHSEDLNTSCEKSDFNVTADTAYGISGAVLSSSLHAPVKDNDDVCLGANLAQLESAEFLDEMIGSANIEVMHPNQKDQCNEDTENESDSRACTDDIIEFATTKCIESRGVLPSVEERSKLKEVQLNSKLEATQLVESGCNCNKDINNTLGNDSVMGITGKTTSPDPTMPKDSPVDHNLRHELLDDTPVEKSIEESSMLGGKVVFNAEGTIGNPSCCLATPDYGHKGALSEEVVHTIKKYVGSCPSNPRELLMELQSFSEENIEEPDLDTFTFHISGCRGAESTDVEQQIIHLGSNLLHVVDESIRCSNTDMLHQGQKDQCNDNEEKVASRPCTNDINPATIVGKRTPSGPCLPKISSRDHYIQHQPLDDLSAEKSLEGYSTFGDKSDSRVGGSIENPISGLSTPDNKHIGALFEEVVNTMNDYVGTCPSNPKELLMELQSLNENIKESDVHDNIAFSSAESGGQESTVSPNKNLVDTLVSSETDTYQGPIQGFCRAEAVSPHDNEEGICQSSGGKYIDEKNSKLLSCDTEVVHQDRVEECCERIEDKVLQKGICDAAPAEGAEGTIMLDGEFEEYRFSIDNKTDEIFCMPHFPKDCHLDSCEKLEFLDDLSVPKCPELFGPGTCQTSGQKCTHERSTEQLTCHIEMLNQDHKECSDNNEEQITSGIAASGMSETKPTEKPETGIDVTSAAGTSVLPDEQLITKLEDNKFKEHNCSDGKDAFSSSGSESVGNGKASNLPKATQLDPFSEHNPPDDLCAPRSPKESTTFQNDSVSGSAGITQSMRRTAMDEISSKQQSIKGSCTVKGSLFAMSATRPKQGDNLSQSAIALLRNIENTPAAKADHPVKLNLDRSAAKESSRRALQPLSGRPRDH >Et_4A_035670.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3446597:3446971:-1 gene:Et_4A_035670 transcript:Et_4A_035670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCCVFLRWPSSTSSHPRLGYRSLDAADAEAAGPSPATTTVTVVVGKERRVFAVDQLVLDSYPFRVLLETVARKEERRGRAIFVDVDAILFEHILWLACDGRSLSQLLQLDLKEIIDFYAQEA >Et_6B_048835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14278483:14282006:-1 gene:Et_6B_048835 transcript:Et_6B_048835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRSFSSRTLLLVLVVYIVYMGEKKHDDPALITASHHEALTSILGRKDEALKSIIYSYKHGFSGFAARLTESQAEELKTYPGVISVKPNEYLKVHTTRSWDFLGVNYYRPSGLLSKAKYGKDVIIGVIDSGIWPESRSFDDTGYGPVPTRWKGTCQTGKAFNATSCNRKIIGARWYAKEFDDKEELGKADYLSARDIAGHGTHCASTIAGVPVQNVSHGGLAAGMARGGAPRARIAVYKVLWTKDTLGGLASLVAAVDDAIHDGVDVLSLSVGLSVEVPGTLHAVARGITVVFAAGNDGPVRQTVANASPWVITVAASTIDRTFPTVISLGNKEKIVVCIYILRTNTTAHRELLTPILFSLSSDDLVFCAQGQSLYHNSTMLDSISDFHTLVDGGSIIIYMLINYSVMLDNVTISPSCNKEELESVKITGNVVLCSSLSAIANSTLGNAFSDAANNVLQGGGIGVIYAQYTLNILESVKGLDSIMPVVLVDYEIAYRMASYASSTRPVVKISRTMSVVGDGVLSPIVAAFSSRGPSRAFPDVIKVEPFTLLACMKKLYGDVQLGSQYPDIAAPGVSILAADGDSYDFKSGTSMACPHVSAVAALLKSVHPEWSPAMIKSAIVTTASVTDRFGMPIQAEGVTRKLADPFDFGGGHIDPGRAADPGLVYDIDPKKYTKFFNCTLDPNDDCSSYKGKLYNLNLPSIAVPDLKKSVTVWRTVKNVGPVRATYRAKIEAPAGVTMSVEPSVLKFNKGCRTVTFKVTFTARQWVQGSHTFGSLTWLDGHNHSVRIPIAVRTVIQDFVADVA >Et_9A_061245.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:24174635:24175006:1 gene:Et_9A_061245 transcript:Et_9A_061245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSSASTCDRSSGSEDGFFLSGPSSTVVSRIASSTEEFLEADVLWPDTATDESDGDAAAYWCPCYCRRVEEAAAAAAAACGKREGWRAMASSPIDIPVVTRAAAAARRRISPSAVPVHRRR >Et_4A_032784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13869038:13870536:1 gene:Et_4A_032784 transcript:Et_4A_032784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYSSREPCDSCSTRAMAGAVVGEPAAPGQRVTVLAIDGGGIRGLIPGTILAFLEARLQELDGPEARLADYFDCVAGTSTGGLVTAMITTPGEDKRPLFAAKDINRFYLENGPRIFPQRRSWLAAALSALRQPRYNGKYLRSTIRSILGETRLCDTLTNVVIPTFDVRLLQPIIFSTYDAKCVPLKNALLSDVCISTSAAPTYLPAHYFQTKDAASGKEREYNLIDGGVAANNPTMVAMTQITKTMVAREKAELFPVQPADCGRFLVLSIGTGSASEQGLFTARQCSRWGVLRWIRNKGMAPIIDIFMAASSDLVDIHTSVMFQSLHSDAGYLRIQDSSLRGAAATVDAATPENMRELVAIGERMLTQRVSRVNVETGRNEPVQGAGTNADALAGFARQLSEERRTRLARRDAAPDADCRPRCSNVCTAMRHTARVYVTGS >Et_4A_033584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24764695:24765188:-1 gene:Et_4A_033584 transcript:Et_4A_033584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAVSWYLQTEFRCGVQSGNRSGRLGGEQGKYKSTLHAEAQAALACLIRAAQLGMSNVILETDASNLALAVRTEEMDQSPLGCLFRQIRRMMMTQFESCGISVCAKICNRIADSLASYGAHVTASGSVFMSQAPEFVTVLVSGDMPGAGV >Et_1B_013992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26767012:26769779:-1 gene:Et_1B_013992 transcript:Et_1B_013992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGVGRRRGTPTSARLAILLLVACAVAFVVGEAKVVHVAEEHRRSMLANGLGSAPPMGWNSWNHFQCQGNGEDVIKETADALVSTGLAALGYKYVNIDDCWAEPERDAAGNLVANKKTFPHGIKALADYVHSKGLKLGIYSDAGYKTCAKVQPGSLGHEEQDAKTFASWGVDYLKYDNCNNGDLKPLKRYPQMSKALMKTGRPIYFSLCEWGDMHPARWGAAYGNSWRTTNDIADTWDSMIATADQNEVWAEYARPGGWNDPDMLEVGNGGMTNNEYIVHFSLWAISKAPLIIGCDVRHMSQETYDILANKEVIAVNQDPLGVQGKKVRMEGSNEIWAAPLSGYRTAVVLLNRHATDAATITAHWDDLGIPAGTPVEARDLWLVRSSNKSSHRDAEWSSASSRGRVFLTRFLLWLLWLVAQHKTVESRFTDKMAFDVPPHSCRMFVLNPRFDDSQAK >Et_4A_032130.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:19906804:19906836:-1 gene:Et_4A_032130 transcript:Et_4A_032130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLISSQLQL >Et_3B_031465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30490909:30492024:1 gene:Et_3B_031465 transcript:Et_3B_031465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALQSVCKRWRATITGTGFVRRHLERSRHRSSLVIMPRRHEQDHRKVLAGVTFYRFEPRNPKVAELILEKIIPKGIPIFSVPLHCDGLILIPCLLGRIFVCNPATREVAFGFDPWSGKYKVARHFLRSYKEDGEGTVLEYSAGHEVLTLGDGEDAWKWKVTMDPPYAINSRTPICLPGSFYWSAFHSTGHGEDNASKHVILRFNLQDETFTVHPNPPCRGFLSKHDTMCELGGKLCYLHSASPWDVAIWLAENGPELTWSLRCHISLPLPRQLRCSVCPSPPAEQDKVFLSVDVWCLVKCDLRDGSLEEVISMSCNMLYDNRKGNTFRTGQLIPAAHYMLPCVEWLLRIRPCK >Et_2B_020680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22687994:22689378:-1 gene:Et_2B_020680 transcript:Et_2B_020680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLGWCRGGGGSSNNWDLHAVVRFACGGPGQGQGATPSSPPPSDESFPWPLPMPQPQWHDDPAVDELFQALLAAPEPEAAPQPSSPRTEAPTAKPRRGGGGPTRSKRKSKKSQVSKEVTRVPVGGPSADMWAWRKYGQKPIKGSPYPRGYYRCSTDKDCKARKQVERCRADPATLVVTYTGEHSHPVPLHRNSLAGTTRTKPQPQPQSVSSAEETSQKQQAQSPRSESATVLSSAPSPAEDSTNSNKQAQGSPASGLSPGTPLRSPSVSVGVESYEDEEEDDDTLAVRMLLADTDMGHAEADDALLFLQPDGPAPVLGSGSDVDVMLLPKPDEPVAGMGNDVPMLFPNGKPGEPGPGPVSSGGAEDAMLFPNNPIGEPQPTTVISAGGTATTMNFVEEKFSISGLSAWEASAAASGWGL >Et_7B_053930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13551617:13556140:1 gene:Et_7B_053930 transcript:Et_7B_053930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQKLLCFAVLASFVISAAGSRSPAEMVAPLQGSMGSSYDCVYTIYVQTGLIWKAGTDSVISLTLGGSDGHGFTIKDLAKWGGLMGAGYDYYERGNVDIFSGRAPCLSSAPCLMKLTSDGSGDHHGWYCKSVEVTATQQHSSCAKTEFGVEQWLARDAPPYELFAERNLCAKKLGDAEEYNYTPETVGSLGVDAHTDPSFAAVVLEDDSIGGLEVEDTATGEFALLDAPVAGSLLVNIGDIVTPWSNGALHNVRHRVCCVAVVPRFSIVMFLLAPRDGEVRAPESIVDARRPRQFRAFSYDEYQRIRRATLEGAAHASSSRAAVATGVGVSSSDPENQCVYTVYVRTGSIWKGGTDSKIGTTLVGSDGTGIRIADLERWGGLMGSGHDYFERGNLDIFSGRGPCMRRAPCRMNLTSDGSGAHHGWYCNYLEVTVTGPHMGCRQTLFTVEQWLATDASPYRLYAVVDNCSRATKPREGDDESAASLTGM >Et_1B_011526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23202928:23206014:1 gene:Et_1B_011526 transcript:Et_1B_011526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METKDVAPLPAAGAAPPPASQPPPAASMAPPPPPQQQQQHQQPPSPFAPQAMPGGMRLSFDPMAGKAPGEQQQHHHAAPMLYAPPPPQPQAGGAPGGNVLGMGEMMRKKRGRPRNPPSDPNAKRRGRPPGSGKKKQFEALGSWGIAFTPHILTVKAGEDVASKIMTFSQQGPRTVCILSANGAISNVTLRQPATSGGLVTYEGRFEIISLSGSFLLAEDGDTRSRTGGLSVALAGSDGRVLGGCVAGMLLAATPVQVVVASFIAEGKKSKPAEARKVEPMSAPPQMAAFVPAPVATSPPSEGTSSGSSDDSGSPINHTAMPYNHSGQHQPPHQHQHMPPAYASGGWSLSAHQQNRHDSDMKMMSN >Et_7A_052551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9199876:9203324:1 gene:Et_7A_052551 transcript:Et_7A_052551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSYEGILLGMGNPLLDISAVVDEAFLAKYDVKPGNAILAEEKHLPMYDELASNNNVEYIAGGATQNSIRVAQWMLQIPGATSYIGCIGKDKFGEEMKKNAQAAGVNAHYYEDENAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKKPENWALVEKAKYIYIAGFFLTVSPDSIQLVAEHAAATNKVFMMNLSAPFICEFFRDAQEKALPYVDYVFGNETEARTFARVHGWETENVEEIALKISQLPKASGTHRRITVITQGRDPVVVADDGKVKTYPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKSIDECVRAACHAANVVIQRSGCTYPEKPDFN >Et_2A_018030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9157782:9159622:-1 gene:Et_2A_018030 transcript:Et_2A_018030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVVCAARKNVPLTPISFLQRSAAAYPDRIAIVASGRWSTARTWRETWVRCVSLAAALVELGVARHDVVAVFAQNIPAVCELHFGIPMAGAVICALNSRLDAAMACTLLTHSEAKVIFVDSVLLGVALEALTLMSTKAGSRRPLLVLIKEVLDTNPAAPTVPTDDHHYEYEALVNNTGAPSPRGFSIRWPADENDPIALNYTSGTTSRPKGVVYSHRGAYLNSIASVLMHDMHGAGPKNKAPVYLWTVPMFHCNGWCLVWGVAAKGGTNVCLRRVTAAGVFDAIARHGVTHMGGAPTVLAMIAAANEERSWLPLPAAGVTVKAGGAPPPPQVLLRMEALGFHVIHGYGLTETYGPATVCAWKPDEWDALPPEQRARLKSRQGVPLAGGLEEVDVKDPATMRSVPADGRTVGEVMLRGNTVMRGYYKDVAATAEALAGGWLRSGDLAVRDAGDGYVRFVDRAKDVVVSGGENVSTVEVEAALFAHPDVAEAAVVRLLRDGGGAVPSVSAEEIMEFCRTRLPRYMAPRTVVFVEELPKTPTGKVQKVLLRERAKAMGSISGDTSSKRKGKGGAKSDSATRSKI >Et_7B_054508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22269616:22281223:1 gene:Et_7B_054508 transcript:Et_7B_054508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLNRFKSKTISFPFYGAHDDDTDLSVLKARSFPCLDSHLRKIRLEFMLQGFNCFAAKITKFLMENALVLEEIEVRDGDQRVYNHIHHNLPEWRHNSSKSRFSITVGDVQCQEILMARKADALP >Et_10A_000385.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:7079867:7080055:1 gene:Et_10A_000385 transcript:Et_10A_000385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESREPGCMPAASNCSEILSYMGDFDGEQKELIKKLVNFCMIDGKRTRSLLSSLTRLKNLF >Et_3B_028060.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:7383008:7383205:1 gene:Et_3B_028060 transcript:Et_3B_028060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPFSQLNMALGRRERHLMEATPSGGEKSLRSTWPVQWLRCAAANVFAFFHGRGKKGAVHFDGG >Et_9B_065959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18700027:18701910:-1 gene:Et_9B_065959 transcript:Et_9B_065959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIIFYRWVPASSSTPSHRSRYSPDYLSYAPRHLWTNPNSVDEHCTWAKRHVNHGDLVSVGLALADPKFVLASNKVCQFNLQFDATIRSPDTKELAFLRPHQSDIRAKSNVSQTMINKDSAVLGRHRHAHQYVLALDNRT >Et_9B_065548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6567532:6568538:-1 gene:Et_9B_065548 transcript:Et_9B_065548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AVNKYYTNLLVNDVEESHDHSPPSDPTIHHVPEPAKSSQGRGQNFKEQEDIFLVSAWLNVGMDAIQGTDQSQGTYWERIHEYFHVHKTFESNRTESSLISRWSGLQHDVNTFCGCVTRIFDRNRSGESYEDKITAACKMFKAEDKKHRKFAYMHCWKILKDKPKWIERRKEIGSAKNTSNKKQKRVANSFPTSVAPADAPVVAAAGGGADEPSGRPDGRKKEKQKLRQRSTIEAVDYLMAKKKEADDERDFKKEEKKEERSNKPLLCRKKGSNCRENSLSSKETWKRREF >Et_6A_047641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:974665:976238:1 gene:Et_6A_047641 transcript:Et_6A_047641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKMARLSGATVLLLVVVPLCMYTGALFVGLQLGRALERRPDVVSISIRGVVDYFSKPRGVISVGPWGGSGGQPFYMHGRSPPQLRSIILYHSAGGIHSLACEYSRAGDDGSVSRVAGPWGLPHSFGSRAVRAVINLSAGEHVTAVEGTMGHFGTVPGVVITSLTFRSSIGRTYGPFGDNEGSASTRFSVPVAADGCIAGFWGRSGWLLDAVGVYIGPCPSPPSRTTQHSRDRWSN >Et_4A_035016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8801360:8802455:-1 gene:Et_4A_035016 transcript:Et_4A_035016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSAEGDGSESGRKEPTETSQVAPTDAAVPAASEMAESEVTEEATHAELISNHGQEKPVH >Et_2B_022226.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:14461631:14462710:1 gene:Et_2B_022226 transcript:Et_2B_022226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFSCFGDGAVSLGSGGGGGGGGPGSGAGGALDRSLQAATASMYRVALSSRKELRIKVTWTRGVAGGGALAGSAPPATGLGVSIDDGSRTLPAVAAVGTPRRSSGAASALLMPGSAQHFLQKKRGSRSYVTEAGTSVAIYWDTADAKYPAGSSSSPEPSRDYYLAIVADAELALLLGGGEAARELARRFAPAPRRALLSRREQVRGPFPSSAGAVLPGGQQLLHTTRCRFRDDGAEHEVTVACRGEEWARGGDGGEVSVSVDGKKVVEARRVKWNFRGNRTVVLGDGAVVEVMWDVHDWWFAGVAGGGGGGGAQFMVKARGAADAGGGRVWMDEEMAKKGQAPGGFFLHLQCYRRSPA >Et_9B_066243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8384624:8417894:-1 gene:Et_9B_066243 transcript:Et_9B_066243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVALSFSEVSARLAEASGRTSFCHTDPEFEFPDATHVRHERGESSRDATHVADGLVGGLSEEVWEELMLEDDDDVPGPDGTGEASEAPPGSEIAPATAAVDAPGVVVLGDLAAATPRVAVVSAVVSHTEEAGEKSGGFGLSQEVGQSSDAVARPEWGPDFRVGAGDHSFLVDDSTDQRSWDWFRDKLELVNKGMDSICGSLESALHTLGEMKLPFETIPFRPAQAVDPDSSCHLPFSPSVIADGTGHLKRSPEEGGSPALWRPHVSMDLRSIELMFLSALFNFNSFLTVVLLVICTCTYIKTQFPAILNDRTGREIEPLGITRMLRYGHINYLLLRL >Et_9A_062854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5901783:5907573:-1 gene:Et_9A_062854 transcript:Et_9A_062854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKGMVAGSHNRNEFVMIRHDGDAPAAAKPAKSVNGQVCQICSDTVGVSATGDVFVACNECAFPVCRPCYEYERKEGNQCCPQCKTRYKRHKGSPRVQGDDEEEDVDDLDNEFNNGKVPEWQLGQGEDGDLSSSARHEPHHRIPRLTSGQQISGEIPDASPDRHSIRSPTSSYVDPSVPVPVRIVDPSKDLNSYGLNSVDWKERVESWRVKQDKNMMQVTNKYPEARGGDMEGTGSNGEDMQMVDDARLPLSRIVPIPSNQLNLYRVVIILRLIILCFFFQYRVTHPVRDAYGLWLVSVICEIWFALSWLLDQFPKWYPINRETYLDRLALRYDREGEPSQLCPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFESLSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMADGTAWPGNNQRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDMHDRYANRNIVFFDINMKGLDGIQGPVYVGTGCCFNRQALYGYDPVLSEADLEPNIVIKSCCGRRKKKNKSYMDSQNRIMKRTESSAPIFNMEDIEEGIEGYEDERSVLMSQRKLEKRFGQSPIFIASTFMTQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWQSIYCMPPRPCFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYNGRLKLLERLAYINTIVYPITSIPLIAYCVLPAICLLTNKFIIPEISNYAGMFFILLFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVLVINLVGMVAGISYAINSGYQSWGPLFGKLFFSVWVILHLYPFLKGLMGRQNRTPTIVIVWSILLASIFSLLWVKIDPFISPTQKAAALGQCGVNC >Et_6A_046789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20591505:20614186:1 gene:Et_6A_046789 transcript:Et_6A_046789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIGEGGGPWLQSANNFLGRQVWEFDPDAGTPDERAGVERLRQEFTENRFDKKTSQDLFLRVQYAKGNILQMDQAIKFPETAEVTEDSILTVLRRALAQHASLQADDGHWPCEYSGVMFIMPIMVFALFVTGSLNTNEDGGWGTQENGPSTMFGSCLNYVTLRLLGEAYTLDALTKGSAWILSHGSAAAIPQWGKIWLSVVGLYDWSGNNSVIPELWMVPHFLPIHPGRFWVFCRLVYMPMAYLYGHKFVGPITETILAIREEIYDVPYTEIDWTEARDTCAKEDLRYPRSMVQNVVWTSINKIMEPIMNSWPANKLRNVALRNIMKHIHYEDESTKYICICPINKALNMICCWIEDPNSDAFKMHLPRIYDYLWIAEDGMKAQWYDGAQTWETAFIVQAYYSTNLVTDLYPTLRKAHEFIKSSQVCENLPDYKNYYRHRSKGSWTLSTVDNGWSVSDCTAEALQALFLLSKISPNLVGDPIERERLYDAVDCLLSFKNKDGTFSAYECKRTTSLVEVLNPSETFLNILVDYPSVECTSSVLQALTMFRELYPVYRNEEIRKCIERSSMFIENKQQKDGSWFGTWGVCFTYGTFFAVKGLVAARRTYENSSSIRKACRFLLSKQLSTGGWGETYLSTETGVYAEANGPHAVNTAWAMLALMYAGQVERDPKPLYGAAKELINMQQESGDFPQQEYVGSFNCNVYFNFGNYRNLFPIWALGEFGSRLRAKRERTQCA >Et_9A_063280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18703785:18704538:-1 gene:Et_9A_063280 transcript:Et_9A_063280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWCKANVDAAFDAGSRMAGLGVIIRNEMGQVILSAWRAIFDADSAEEVEARACLEGVQLAAEWCRQKTIVELDCQNVVAALNSGMSDKEIRSSSSLPDVSFQAVKRERNLAAHELSQLAKRNVHTAVWRERVPRCVEGLCKVSIKAIAG >Et_4B_036426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23557718:23558332:-1 gene:Et_4B_036426 transcript:Et_4B_036426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALSSLRYGDSLSVVAISAATAVLCEAISWLLIYRTATYNSLRATIERHSRKLDAMKAGASGSSSSGASSRAKKMDRVETSLKDAARELSLAKLKSGAVVAAVLFVVFGLLNSLFEGRAVAKLPFAPVPLVQRMSHRGLPGTDPTDCSMVFLYFLCSMSIRTNLQKLLGFAPPRAAAAAGGGLFPMPDPKVN >Et_1B_014363.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6809546:6810403:1 gene:Et_1B_014363 transcript:Et_1B_014363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNPASEVAALDSIRHHLLDEPEPRPVFCRSTSFGSLVADQWSESLPFRPDDAEDMVVFGALRDAFSRGWLPDGSFAAVKPEPVSSPDSFDGSCCIGSLLLSSSEGEEEPETPTPRREEDAAASSASRGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYDNAEDAAVAYDRAAYRMRGSRALLNFPLRIGSEIAAAAAAAATATATAVGDKRPCPEPASSDSSSPSSSSSGSPKRRKRGEAAAASMAMALIPPAPQAQTPVQLNLPAHPWFAAGPVQQLVS >Et_1A_006642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25605747:25611240:1 gene:Et_1A_006642 transcript:Et_1A_006642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDCQVLSSMAAMAGASSSGDGLFIPNPGALASFMSSSAAMPFHHFSTSTATIPKEEAGGLLTKEEEMDMELSGGSGSGHLDGLLGFADVDDDRAEQKPQHGGDVQPAADGGGKSHQLGVNGKKKRYHRHTAHQIQQMEALFKECPHPDDKQRLKLSQELGLKPRQVKFWFQNRRTQMKAQQDRADNVLLRAENESLKGDNYRLQAAIRNVVCPSCGHAAVLGDMSYEEQQLRVENARLKDELDRLACIAARYGGGRQSGLSASAPPALLLMPPPPLDLDMSVYSRHFTADHQSSIMDLMAPPVMEQHHISDHHHAAAAAAPYVVVGPVQEQDRLLVLDLANAAADTLARMCRAGEPLWSRRGGAEVMAPEEHARVFGWPVDGGKQGGTSAAAASAPAARTEGSRDNAVVIMNSITLVDAFLDANKWMELFPSIVSKARTIQVISHGAASGHLGSGSLLLMQAEVQFPSPLVPAREVVFFRYCVHNGDEGTWSIVDFPAEDFELEALQTSSVVKCRRRPSGCMIQDMPNGYSRVVWVEHMEIVGEEKPLHHVFKDYVTSGAAFGATRWVSLLQRQCERLASELARNIADLGVIRTPEARTNMMKLSQRMITTFCANISASGSQSWTALSESTEDTIRVTTRKNTDPGQPSGVILTAVSTSWLPFSHQRVFELLADEQQRCQLEILSTGGSLHEVAHIANGSHPRNCISLLRINAASNSSQNVELLLQESSIHPDGGSLVVFATVDVDAVQVTMSGEDPSYIPLLPLGFAIFPAANPTPAATSANSGNGESSSSGNADEPTNGCLLTVGMQVLASAVPSAKLNLSSVTAINSHVCNAIHQITAALKSTGVSRSGSAPAVSD >Et_7B_055460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11161213:11164943:1 gene:Et_7B_055460 transcript:Et_7B_055460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGINYGQIADNLPSPRRVSWLLRSMEVSKVKLYDADPYVLSAFLNTDVEFVVGIGNENVSAMVDPAAAQAWIQRHVQPYLPSTRITCITVGNEVLKGNDTALKANLLPAMQSVYQALGALGLQGRVNVTTAHSLDIMGSSYPPSAGAFRPDVVPYMQPILGFLSMARAPFLINCYPFFAYKADPGSVPLEYVLFQPNPGVTDPNTKLNYDNMLYAQIDSVYAAIQALGHTDIDVKISETGWPSRGDPDEAGATPENAGTYIGNLLQRIEMKQGTPLRPSVPIDVYVFALFNENLKPGPASERNYGLFYPDGRPVYNVGLRGYLPPMDDESEGTRKITREAPDAERYGKLEEHLLYQANEGLKEGTGYTLHHLFCLSLIKKSKFNAGGYRKQNANAISSKCRKRLSKKQSQKHSSCYTNFDQSIDVTGPRLVHKT >Et_1A_008706.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:1032089:1033702:-1 gene:Et_1A_008706 transcript:Et_1A_008706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGDSSPQSAAAADDAHHEGAEAAAAVHAPPTQPPPPPPPKVRLMVSYGGRIQPRPHDHQLAYVNGETKILSLERPLRYSDFAARLAALAGNPGDVCVKYQLPGEDLDALVSVTNDEDLDNLVIEYNRLHEHRPAPGSGGGSSRGGSTLRLRVFLFPVQAPPPPPQPSGLLEPKPERHWFVEALNTVPQPKEETLPVPPPALQSPPQQKQESVFAQQSSPPQQKQETVHVQLLQQQPPAAAMVQVPAPQPPMVLTPMSPDYLFGLDNGFVPPPAVKVKDPAGDPPTVRENVPVEIPAKNDDRHPNPSGDHVAVSPVVSPAEFHRQIQELEKLQVADNASHQPPPPAPAPAAAPVAAPVTMPRNGSDDSLTRAYPPAAPSPASNADFYIPKFPEKPPVPLPSSTPPATTYLQVPGRYTSVAPGAGAEHAPVFFIPAPHGGYYATTASPGVNSYPTMYAVAPPNANGNANGSAPSHGMSNATAYAPPPQVAYDSNGRAIYYTSVLHQYPSAVNGMSAAGAVLGTEPVKPVAVKPTVS >Et_2B_019828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14378075:14379551:1 gene:Et_2B_019828 transcript:Et_2B_019828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEATKESEPQPQAAQAQDKTAPASAPMTEAEVEELPKAIVRRLVKDKLAQIAGGEGAEVIVNKDAMAAFAESARIFIHYLSATANDMCKESKRQTINADDVLKALDEMEFSEFVEPLGTSLQEFRNKNAGKRSEANKKQKEKRRKLNEEALPHQENDAADDAENGD >Et_1B_014180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34321632:34326405:1 gene:Et_1B_014180 transcript:Et_1B_014180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPGSATRLKGGEAQGLRSLRAVRLGEARWRRGWAKARRDWAETRRRRGCAGRRRKPPFFPHARSRVDRDSFVDLAEFVAFLCGGKQEAMAASEADLWEAFRTYDADRIGLVSARGAPPHAAPAQEQVLRRRLLQDVIPSVYAGGDDNVTLAGSVQEHDGRQFRHPHLRHALYELRQIEGEDVAVTLHLKRTEKSKRKFKIDSEIASKVPTTKGRRFPEIAADFKGAKVS >Et_7A_051377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17672025:17677842:-1 gene:Et_7A_051377 transcript:Et_7A_051377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGALFCFCCRYQVQSQFWTIKHCLVTLPSLKRPWMTMLYATTSVTIMLSVIISIFTFVECNFLKSRIASSEQLFLQSPLRTVLYVAALTVTLPSLKRPWMTMLYATTSARSSLGHQSEQLKCPHTPPIIAEALEQSRVHDSIRHACGLRHPAEQHVRGLVRPPCVAEALDEVAKGDQIHAQARPEEAAEEGKPQVRAARPTAAVDDDGVGARRGRRHPRTAAADSGHAVEERDGKRGVVAAGGRRRRAGERGEEVVQRALGDRGGLAQQFVEQV >Et_7A_051625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21577337:21577705:1 gene:Et_7A_051625 transcript:Et_7A_051625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRTNPSAAAAVVFLLVVIFTTEMASSVGAYKYCTHLSGNYHGMCFNELSQCTNTCLHESPNNLYGDCDDFPPRCYCVTLC >Et_1B_009978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16265276:16266211:1 gene:Et_1B_009978 transcript:Et_1B_009978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSIVGGGKPSRSASAVVAPTENGYHIFRIDGYTRTKGIPTGECLNSLPFTVGGHNWQIGYYPSVDESVTVPVKVQQRVGLVDKLEDRAPSLATEEVYTFGSHVGFGSPQFIKREDLEKSKYLKGDSFSIRCDIAVLNLHTHFGELLETEKGADVVFEVGGENVPAHRCVLAVRSPVFSAELFETMKESDTAGAGIVRIDDMEAQVFKTLIYFVYTDSLPKIHKDDHQADAMFQHLLVAADRYNVEKLKFICEEKLCRYINVGTVATILTLAE >Et_2A_014948.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:2389367:2389399:-1 gene:Et_2A_014948 transcript:Et_2A_014948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSIPRHY >Et_10B_003617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3822691:3825886:-1 gene:Et_10B_003617 transcript:Et_10B_003617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAKEGRWVLLASPIINDNGVYLAAFLSGPNMSKVCVGRAKGSLFWSFRGRYVINVNKSTGAFSSFLIPFPPGRSDFNWDTYDRRKLRVVHNDAREVRVVRIVGEENLEVFRLVHGQEVCQEEKRFNLSLLCDVEAGPDLSWHFLDLSESVAPGCVMLSPSKKYTWMFSIDVESMEVKRVEKRNWHARPLIPYELTWPPTIKACLMDALPDHLLEIVLLRLNSPVCLVRAAATCRLWRRLIADAGFLRRIRHVLPPPVLGYYLTSEVSGTTIFVDLAGVLHQMADDSDDDNYSLPTHLTEDSDDDDYPRPTEDRAGELHKTADSNDNYAPPPTKDRDIWFPFSLDFLRPYVYKMVLADSHRGLIAFTDKFDLIVVCDPRTREYREIGLPPLDPEGDKDLWSDYVSAFLLDADDFDETDPSTLRMWCFRVLCVHVIRRFRVHDAQCAQVYVFSAMDERWMLLSTTVADDILLALVALSKHVGHNKIDSHGYFVGRAGGSLFWGVPLGNDVLTLNECTGKFSVVVLPEPVGSDPAHRMQYHRGNLRAISGDAHTVRLVRIVSNYLEVLTLARDSGTCVVDRRVLIPGNVTTLSWNFLDTAPAAGPGCVVLSRRDCMNMLMFLPVFQASKFHKRL >Et_5B_043294.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22423428:22424261:-1 gene:Et_5B_043294 transcript:Et_5B_043294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRFVNLVTANYDSRMYSLRRLDVAKHLFYPSTSEAEAAAKKAANEDTNGGSGKAPRIKKLRRLPPPSLRLQSYRGNSCDWFSVLTSPDGGRIVHANELGHAILCDVESCSTKTLPSLADPKGYHPISFAVDGNGAGEETLYVMRSEPLATCRNNFEALHLGGGGKTERQRPRRPSPHLLDTPRSRPPRCSAAAGSSACRRACKRAPAPHTASTRKPASGGVPATGCCRSPAEPCTSRSWTRGSALLPNTPTTASAQRTSPPSPPWPPLLLLLANL >Et_9B_065242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2699540:2702398:-1 gene:Et_9B_065242 transcript:Et_9B_065242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAAEGAAAALRSVLSRAHQAAARAGRALGTVRVVAVSKTKPVALIRGVYDAGHRCFGENYVQELIDKAPQLPEDIEWHFIGNLQSNKARALLAGVPNLDMVESIDDEKIANRLDRVVADLGRKPLKILVQVNTSGEESKFGVDPSGCVALAKHVKLNCPNLVFSGLMTIGMLDYSSTPENFKTLANCRKEVCKELEIPEEQCELSMGMSADFEQAIEMGSTNVRVGSTIF >Et_1A_008441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7772258:7777059:1 gene:Et_1A_008441 transcript:Et_1A_008441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IPQVLYGEISRFIPSYVTLTRLNSFVPYKWGLQHLDRVGKRFAVGEVAKRKMWIEPSDAIYNIKKMIGKRSDESSIQEMKIRVHFSIVEGPGGEACVEIHGMQFSPVEITNVILAKLRDVVLMHQCHGELQVVISVPAFFDKQQKEDILSAGNSAGLKILQLIDEPIAAALSGKTIENGTVVAFGMGAGSYSVSILHIVTQSGDHGGDQFDNILVDYLVEQITQLHSVDIRGDKYAMMMLTEVAEQSKVELSNKPEFTVSIPAFPISTQGPVDLKITISRIQFEKLVGNLIGQIKTKCQRILEDAKMSAKDIDEVILFGGMTRVPKIQKIVSEVFGQYQNKRMIPEEAVVIGSALQAALIVEYEQEVSEDMIPLSIGIKSEEGTFIRVIPRHAAVPVKRTVKIPRWGGDGESACISIYFGEHVMVLHNLWLGEVEVVNYQSSYQHCSDIELTVEVDRDFVVKVSAINSDDLSISADDGLMPFQAFRIKEENGCKKEVTEAVKKALLDWRMHKKGNDTCLRNLARHITNTLGDALSSRKDELPGDLCEDAVNALSDLQKSLYGDAGVLKDKMLVAMKVESFLLSWKPPSESLDNDSDSGNEDR >Et_1A_009289.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:37565611:37567899:-1 gene:Et_1A_009289 transcript:Et_1A_009289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGIVVGPTVLGRVVDLRQVGVIDANSVLLSVLKYLRVLLLFFIGIELDVRYLRHNLRRSLAIACGGSTLCLVLGVIGGPFFYGLMHPGEFPIPRDKLRESTALFAIVLISTASPVLIRIVTELKLTGSETGQLAIGAAFANDMVSVAALSVMMVRNNLFGKELPTLWMKAVSFAVMVLSAWGVTAVTARTARLLNRLKRGRRYISAPELCFLLLMVSFLSEVVRWYGYSATMAAFLTGLAVPREGPTARTIVDRLAGPVHRLLMPVFFGAIGARLDFARVGNRMDALPFAQAVVFVTLLSAAGNVVGTVVAGRALGVVTAREAVVLGFLLNVKGYADILAINFADNVGVWGEKAQAVLLLSSIVNTFMAGPAAAAIVRQQRRAAQYQPPRCLQDTRADQDLCVLVCVHAAAGVHSMLALADLSNANGSSSLVHLLHLVELVSSRKYTITHHLYQFRDELGGGDDDDDWGSAREIDDVAAAVSAFATSTAASVPVRQATAISSLDSMDTDVCNAAEDARASLLVVPFRKDLRYDGRMVFRREGRRALNQRVLQRAPCAVGVLAERRRLLLAGGDDDGVQQVAALFLGGADDREAVAYAARMAAHPLASVTVCRFLPAVAARGRRPLAMATTADEDAMADEEFMADLHARLVVTGHVAYTETHVGNGAEMVDALRAMAPAYSLFVLGRSGGGAWAEEMTRGVGECSGDEVPELGPVGELLASDEFRGGGSVLAMQQHSMHKTRTRRQEEPPPQQLSSPPSQC >Et_9A_061214.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22258346:22258891:1 gene:Et_9A_061214 transcript:Et_9A_061214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIQRAISQTYQSTAHLATLLPTGTVLAFQLLSPIVTAQGHCIRANRAMAGALLALCALSCFVLSFTDSFRDAKGAVRYGFATRRGLWVIDGGAPLDDAAAAKEYRIRFIDFVHGTVSVMVFAAVALFDQNVVSCFYPVPSEDARQVLTVLPIAIGVVGSMLFVTFPTTRHGIGFPLSTR >Et_2B_021492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30004167:30013688:-1 gene:Et_2B_021492 transcript:Et_2B_021492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVRERHRSMFVIVGDKSRDQIVNLNYMLSKSRVKSRPSVLWCYRDKLEISSHKKKRAKQIKKLMQRGLMDPEKADPFSLFLETSDITYCLYKDSERVLGNTFGMCILQDFEALTPNLLARTIETVEGGGLIILMLRSLSSLTSLYAMVMDVHERFRTESHSQAAARFNERFLLSIASCKACVVMDDELNILPISSHMKFIQPVTNNEFREDFPVGPLIGKCCTMDQGKAVVNFLDSVLDKSLRSTVALLAAHGRGKSAALGLAIAGAIVAGYSNIFVTAPSPENLKTLFDFVCKGINALEYKEHLHYDVVKSADPEHRKATIQINVFKQHRQTIQYLKPHDHGKLSQVELLVIDEAAAIPLPIVKSMLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLECQSQPSVQSNASNSSRLFKKIELNESIRYASGDPIETWLNDLLCLDLANSIPNISRLPHPKECDLYYVNRDTLFSYHKESEIFLQRMMALYVASHYKNSPNDLQLMLRHIICLYCLVCLEGQISRKSAMKILSEGRSPSGDQIPWKFCEQFQDNVFPSLSGARIVRIAVHPSALRASLLEENVKPRANLPPLLVHLRERRPERLHYLGVSFGLTQELFRFWRKHNFYPFYVGQIQSAVTGEHTCMVLRPLNSPDIEVNESSKCGFLDPFYQDFRQRFRRLLGTSFRHLNFKLAMSVLASKIDFSDHETSENDKNCTSKLLGEMLSPHDMKRLEAYSNNLVDYHLILDLVPILAHQYFSKKLPVSLHGAQAAVLFCMGLQDKDIGTEELGIEREQVLSNFIKTMKKLYGFLHKVAGKEIEATLPRLKEIEMAPLSKSMDEDLAEAAKEVEEQRRAANEAAVDPKLLQKYAIDDDDNEIVKALQNGKVSASGVISVKSNKTRADKKEKHKEMGKSKRKGTDGGRSESKKKRS >Et_6B_048272.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:547900:548439:1 gene:Et_6B_048272 transcript:Et_6B_048272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVLRFAIVLFSAATHPELVNAAIDPLLPICKTVGGGSMFVGIDFCMSALGSDSRSSGDQTYRTLSVIAVDLLTTNVTSTAAKIDGLLRAGGGGKDGDALRSCQALYKGVAEGQPGCAGAVKAGKFKEAQSSLEESASAIKECEAGFGKRNVASPLTVEDDNAFQLAKLAVALLNFAA >Et_1A_007422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34311293:34314416:-1 gene:Et_1A_007422 transcript:Et_1A_007422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRKAYGALKDSTKVGLAKVNSDFKDLDIAIVKATNHVECPPKERHVRKIFFATSVNRPRADVSYCIYALARRLSKTKNWTVALKTLIVVHRLLREGDPTFKEEFLAYSYRGNILHLANFKDDSSPLAWDCSAWVRTYALFLEERLECFRVLKYDIETERLVKSPQCSSKAHSKTRTLPSPDLLEQLPALQQLLFRLIGVQVLKESFKIYCAINDGIINLVDMFFDMPKYDAIKALAVYKRAGLQAENLAEFYDFCKHLELARTFQFPTLRQPPPSFLATMEEYIREAPRPSIKSVESEERKLLTYDQEAPNEPEQPAEEEKEEPAAEPEQEPEPETEPEPEPQPQETTGDLLNLDAEVNPLITELEERNALALAIVGSGDHSKASTSYDLFDGYTSGWELALVTAPSTHTSQAVDTNFAGGFDKLLLDSLYEDEARRQQIASVTYTGSLGAANPFETSDPFAMSSSFAPPSNVQLAMMAQQQQYLQPQHQYSQVQQQQLMTVQQPNLYHYQQQQYYASSNPFGDPFSDLVAMAAPRKQGNSSIL >Et_1B_013201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6377052:6380961:-1 gene:Et_1B_013201 transcript:Et_1B_013201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQVRRKSSLRPSPGSGKSSPRPASGPVLGDDRDGGEPPEVVVKVDGNGNGHAPFSFHGAEEGGGRAGNAGFSGTNSTASTPRDTSRERSSGSVSPRSPAKVWREGSYEFWSNGGGGGGQVARPAAPETFSFKNRHPEAPSASQASSPSLSPQLPVNPNPAAEGGGEDPPTRLIGNFLRKQRASGAEMSLDLDPEMEDLGRTAQLPEQPSFSSSLERDTRVSFREPEKRRSTSSFSSDSDAGDGRKRAGDDGEVVRCTSSSTAAGAGPLLRAKTRSRLMDPPPQPQPPPAPAAAAPVIDEERKSSPMRTPSKSGNLFSGLMILKQKKVWGLHLWRWELLVFVLICGRLVSGWVIRIAVFFVERNFVLRKRVLYFVYGVRSAVQNALWLGLVLASWHFMFDKDVQRETNTPVLPYVTKILFCLLVATLVRLAKTLLLKVLASSFHVSTFFDRIQEALFNQYVIETLSGPPLIDENYVLEEVHELQRAGATVPKELRGTVPTKNVSEQRSIRLSGLMPKSEGSKQLSKEKGEGISIDKLHRLNQKNVSAWNMKRLMRIVRFGTLMTMDEQIQQATGEGDESATQIRSEYEAKIAAKKIFHNVAKLGSKYIYLSDLMRFMRQEEATKAMNLFEGAQEQNRISKRSLKNWVVNAFRERKALALTLNDTKTAVNKLNQMANVIVGITVFALWLLILGIATTHFFVFLSSQLLVAVFIFGNTLKTVFEAIVFLFVMHPFDVGDRCEIDEVQLVVEEMNIMTTVFLRYDNLKIYYPNSVLATKPIMNFYRSPDMGDAIDFSIHVATPVEKLALMKERILRYIDNKKEHWYPGAMVVLRDVDDTNKLKVSIWLRHTLNFQDMGMRFVRRELVLQEMIKVLKDLEIEYRMLPLDVNIRNAPPIQSTRMPTTWSYS >Et_9A_062709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3533977:3535730:-1 gene:Et_9A_062709 transcript:Et_9A_062709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FDDDHVEKWYENKKGISTRSLIHYSVYNLNYLPKLVSICLTVAMAASSTKAAPPLTSSSGIPSLLRSTVYIPSHDNASNSNLIYASNFGPEEFIDTLLYSSKARDWSARTTVHECYYFGLELLPALPKDTVLPSAWTMMGPICTPCPLANIRCLAEQSTTVIMALLLPPPLELMDDIINTPPRLCLPLDDPTLLIHASLLS >Et_9B_065028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20643742:20643995:-1 gene:Et_9B_065028 transcript:Et_9B_065028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFIVASLLVVVTWRTCLTKLPGVNHRPGTCTLQAAVRVAVSKVKQAKRRVDAYVASYHGGNPMASMLETCSQA >Et_2A_018028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9134341:9140002:-1 gene:Et_2A_018028 transcript:Et_2A_018028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAEPTVTLIVKTYVKLDHNTINTKPSSHDSTTSLPFPSTVHLSAAAPTMAHLHLLPLLLLLFAAAAVATGGDDAHVSAVVAEKGLAFAKDVLIEQAVRSLTPLPLPGVEKAMRVPFLGGVRVAASNITLFHFDVGENSTIYPGNSSLVVVASGITANLSMNWSYCYDSWLFPLEISDSGTASILVQGLEVGITMAIKNINGSLALSVSQSGCYVKDLVISLDGGASWFYQGFINAFEDHIKAAVEKAIPENIIEGAGKLDSFLQGLPRTVNLDNVAALNMTFVNDPRYGNSSIEFDINGLFASADAKKNILQKHPQLSLSCGDASKMLLLSLDEDVFNSALEVYFKAGSMHWIVDKVPDQNLLNTASWKFIIPRLYWNYPNDDMLLNISMASSPVIRIRSEEISATINADMIIDVLNGKDTVPVACISVDVSASGAVETSGNKVYGKVGLDNFSLALKWSKIGNFHMSLIQGVIRVFLNTVAMPYLNSRLGNGFILPVVHGFTLKDVNVLTSANQLTLWSDITFTNASSLLSLPVL >Et_8A_056704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15535705:15536800:-1 gene:Et_8A_056704 transcript:Et_8A_056704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAANPPMGGCSFATKIHNRTPHPFQRAGALSQMTKYKGVRGKGRERADPQVVGSGRGSAGKAFPDGDSSGAALSRLGFGPNAGRVRGGATAAPRSGTRSGSGVATVGGGTPRAAISDPAAAADGSHFSTGTALSALGYHVRTSAPFSMGGFAGHDNIGTCAGTSGVVAASGSNTTAGAGDALVEWIGTRQEANQVGRGVELCDEGEGAVAEPHQPAGPERAEAERRAAEADVAHAEQHRVIVCSATESQVEEEQFIAQNESHVRKVNLWVPSTHEKGRPSLLRLLVRRFQPSGVPILGSYMDRQEGWLLYVAIASRLAHPAAQTKTK >Et_5A_041000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16293615:16295198:-1 gene:Et_5A_041000 transcript:Et_5A_041000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGLQMQHHGSPGREPRLLYPPPCSLAVAVASPRIQVLGRLGCAHEAARTGVLSRRWRGLWTELRELKFEGADADVLQTALARVRPNLSCLDISVCNLTGAQITSLLRAADRLAPAELVVCLVGDHYSPDRVHFEIPCFTSATSMDLSLWRLDFTLAPAGEFASLEQLTLTLSFCVVDLGVLLSRCPRLRKFNMNIGIFRRVDPVVIDSKSLEELTFSMYTNGPADVVIVTPELKKSNLEFHMSDDLTLSHSAPKLEFLFEHTWKHPKVGFGGNKWRLWTLRMGTQWSERNGGHAGVRVHVLSLWIGPNNDRFIANRSFAQEIADLPVTSFSVLELYLRPEGHVFGALVLQLLRIRSSIQRLTLVLFKKRFPQCSENCDCDQDRNWRNQHISLPHLEDVEIQGFCAADHEVDFLELVFRSAPNLKRMNVKLSEEVSPSDGGCQKLCSIFEANATVKCNVYVKS >Et_10B_003533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2737002:2739159:1 gene:Et_10B_003533 transcript:Et_10B_003533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLPPAFHPPSPLPHRFTGSVLVVAAAASSASSLLHSRFSATVASVDQDEMRTLRRAGPRGEHVLVRIDVLPAELGADDNPIYVDTISVSDVDATMLACIAFSCPNLQTLDISMANNAVNHITGDGLTRFVSEKRSLSVLKLQGCSNLGFLNISSSILSALWLSGFCSLSKVVINCSNLNELSLAFPKIMIYRSYCSNG >Et_3B_029926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29131181:29132611:1 gene:Et_3B_029926 transcript:Et_3B_029926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSDEMFEMDFEPPSSGEAISMHHQATCHILQAVHGPTTEVEMGIYERAMKHVRAIGEERERSSLKKRLMMRLRKDGYDASLCVSSWAATSEHPGGDYEYIDVVVGDDDDAATTLRLVVDIDFRSQFQVARPAPWYAHLWSQLPAVFVGPRAKLRKAVTLLCAAAQRSLRESGLQVPPWRRSSYIHAKWLPSGVALPAGGIPAVAQWSVAKERSCGPRGSGGLSMQLGSGAEASGCQAGSIWAS >Et_4B_039255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9096297:9097537:-1 gene:Et_4B_039255 transcript:Et_4B_039255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEQGDAAAFIRDAPLRVVLEIVRRLPARSVFSFLKVCKAWRDTTYHPFFLVHLHKLQPRQPLICFDRLARPNRYVQGLLRRALDLRSGELRSVFRFTDDAYRDVRKLYEGDEAAPVVARCVFYRDYEEYDDTDTKPQLSVHGSLDGLLLVSFRNASYVCNPAMRLAVSLPNLNRYNLVGFYAHGSSREYRVLLYTRKADENPPTCYYVLKVGDQMVRSIGYPISPAAADMGLYNGLVPAKFSPPVQLHTNLHWPPQARQQYNILVFDTQNELFSWMRPPVIGRDMSLLEMEGKLAMSVSMMNGATLDLCCLQDYQNRIWVLMFRIQLATLARDLNVDYQNWSASVVSPEGDVLIFIPYVLMHCDRNGRLLHLFGIPDRAASVRHALKESLLTHAIFLAPEDELPFSSGL >Et_9A_062001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18766182:18775431:-1 gene:Et_9A_062001 transcript:Et_9A_062001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHAAGAAAGSDASQAEVIGVGQANPSLYPPVPSGHQPWSSSTVTATASWNYPVDKPSQDTVYYDPQRDVSVTGGDQSVGSSVPHAAQSTMGMENAAQSHAPYSSTAQQGYNPVDYANYYYSYPQATNDSSVQQGANQHTGYGSSNYYYQNNTWSGGSTGNNYAQPYQNYTPSDSNALQSSTSMPANSLPYQQQQYNQWPYYYNQSVPSASSNPVAGNSATDTIAVNTPSGYSYSSSQPPPPGTTSWKNNSVASVAPPMQPPGVPEPQNQYANQVENQYSQAPGGPWSQNHYANQAVAYHQDMTNLNHAPPSNPEVQQRTVDSNGSSSNILSTNHVSENFQPNLQGSVTADASNEKKIQIPTNPRIAPGFSMLVPKSEKKNLGADISKKPAYVSVSMPTNDAKAAQAGPDARSIPFSLRNYTLRNLSRCKDEAQRAACQNILQGIVRKAIADGTIHTKNWDTEPLLSLPENVVAMTEACSAKNSNPFSPTSAPRKRVKSRWGPAVDEEVTAKVEQLAKGPVNSNIYNNLDAKNKLGGSWNQGKFIQPQLAPLNKVNQKPAKKQKISSYPSQIQNGNASSDSDKEQDLTKYYASATALANSPEEKKRREHRSKRFEKSQGSSLKSRNSSGNKDLVASLHTRRAISSFLSRNSEGTSLAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALAMVETSQRNYLYKCDQLKSIRQDLTVQRIQNEFTVKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIRGCYFEFSAYNLLCVMLHSNNKRDLLASMASLSKEAKQDVAVKHALAVHAAVLSGNYVLFFKLYKQAPNLNSCLMDLYVERMRFEAVKCMSKSYRPTVPVSYVAHILGFSRTDSDGSVISEDDGSEECEIWLKAHGAVLTVDNSRELQIDMKASSATLFMPEPENAVAHGDASLAVNDFLARTS >Et_6B_048519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10130691:10131394:1 gene:Et_6B_048519 transcript:Et_6B_048519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPISEQQPKESEAQAGATTSHDVPIKNEEAATAGVTNADQERQQAAKRERADFLAGIRKLIKSFKSLSHIFEIYKEDDEDEDMDTSIEIGFPTDVQHVAHIGLNGSTNVSNLRGLEGARELLSLSNLTTLEQFELAMASLAAPGKEHDRALDRVSPN >Et_8A_056358.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:3439910:3439987:-1 gene:Et_8A_056358 transcript:Et_8A_056358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRQQLVMESSPPSGITCGMAPNV >Et_9B_063821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16137662:16138711:-1 gene:Et_9B_063821 transcript:Et_9B_063821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESWMEVLPPPPAPYFPGQAGGWFLQDRRAGGGAWTLEENKMFERALARVDWDAPNRWEQVAAMLPGRSVAEVASHFDDLENDVYFIEAGLVPVPNYGAGGSQGFTFDWDGADDFGGGLGFKRSCYVVGGKRGRGPDQERKKGVPWTEEEHKLFLMGLKKYGRGDWRNISRNFVTSRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLPDDDGGGNPSPPSSAGPAMSDQFGVLVDSKPPLGHHQQHFMPHNYGSVKLEPGNSHHDGLLGDSVLMQMQCAQLRPLG >Et_6A_046134.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:3249800:3249835:-1 gene:Et_6A_046134 transcript:Et_6A_046134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEGLFSLKR >Et_2A_014738.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:11050621:11050710:1 gene:Et_2A_014738 transcript:Et_2A_014738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFWRGERAILLELPAHCKLNHLAPFGV >Et_4A_033667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25648729:25650154:1 gene:Et_4A_033667 transcript:Et_4A_033667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTGMGEGTEEGEFSEAVRPWQPSRRNTKRSALSSTRARKATRRFDGDGEGRGEERREADGAAGSREAAVERESAPPFTLVSVRAACSHLAGDPRLAVDQLLVGLLEDP >Et_10A_000051.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:2023278:2024474:-1 gene:Et_10A_000051 transcript:Et_10A_000051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLVNNISLTPASVDDVPDDLLERILLRLDSPIWLIRAASTCKRWRGTIAGDDDGSAFLRRARSLHPPTIVSHYHKHEFTPTSPPVPIADSRFSLGNFVPLGDTSRWHHVTDVHAGLILLHRRGCAPPDIIVCDPLTRQSHRTSRRARARQHCPHILNDAFLLDGEDDSNISTSNFRVLCRFFGGHRACVLSSTNGSEDWRPLSVETWSVHRSIVSTGSLAGRVDGSLYMGSQNGLIVLDKATLEFSTVDLPSHAKTEHVHGYSKFLVVPCAGDDDSHSLPPGLRIVHLKGEELEVFRRVHGGGAGVWVLEHSIRRLSTRLPRYPEKPCFARNVFTGGIGSVVVVVHDNGKMTWWCFSVCLNTMELTPAGHKLIYPRLNRAFAYSLPWPIFMRASLA >Et_2B_022123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9441726:9442860:-1 gene:Et_2B_022123 transcript:Et_2B_022123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIFYIFCGSGLFSYYTVRRCQKIGCYEAVEDHAVFCKSHTVGQQCHMLGCPHIVPDGLALCMSHGGGHPRRDTGCSKRAQRNKLFCKVHSGVSKRCMVQGCTKGAHGGTPLCISHGGGKRCVVAGCHNAACGSSQGRTDCCVRHGGGRRCNYDSCRKGAQGNTDFCIAHGGGRRCKYEGCGKSAQGRTDYCIKHGGGKRCKYQGCSSSAKWGKDFCCVHRKSLSRSNLVNEVPPAPAKAKRRAKKAKITVETTGSSREVITVTALPVNGTVHVAAAGSEHDKLPESLTMKHATCINNHFSPQLNLRHLDFICMASTDNEEAARDSV >Et_8B_058588.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:4250182:4251635:-1 gene:Et_8B_058588 transcript:Et_8B_058588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKPRQTPPSGHAPSPAADFAPLPGASASPRRPEPPHAGSLAENVRASCDMLGHRPDWPSRTLLLPAPRAPPKNPPPVQEDAWTLADRRRLLAATTSWSTCSGSRSVYRSSSSSAAADAAPAASEWPVVCDRGNCAGRRPDLLRSARFTLPIRISSAASSRLILSSSVSSAGTTTTTATCSVAVAVSGAGCRGATGASTWTVTGTATAGAGAGPASASGTRTARQTGQVTWDASQASMQSAWNAWAQRGRRRSASSSSNSLRQTAHSSAPLPPALCAFTSA >Et_2A_016314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23198683:23200994:-1 gene:Et_2A_016314 transcript:Et_2A_016314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDQGRMHAIWWEFVTVARGAYIVDYLYLNVHCSLFMSLSGMAVAFKANTSSTTHQQWLHPTGVQCQYGFAHLNQRKCTKRSSVLHVRATSEKLDLDFSDPSWKQKYQEDWDRRFSLPHITDIYDLKPRPTTFSLKKNRTPLGDGDGSSADMWNGYVNKDDRALLKVIKFASPKSAGAECIDPDCSWVEHWVHRAGPRKEIYYEPEEVKVAIVTCGGLCPGLNDVIRQTSIYGTFTLVNDAGGHQIYGVKNIVGIPFGYRGFFEKGLRNAGKDTLPYFLRSYRELMFMMSLDHRGDNL >Et_5A_042998.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:9577315:9578400:1 gene:Et_5A_042998 transcript:Et_5A_042998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSHLSTAWSSSALASTSTRRRSGPSTSRSGGLLVRCSLRELRSRIDSVRNTQKITEAMKLVAAAKVRRAQEAVVSSRPFSEALVEVLYNMNQEIQTEDIDLPLTRSRPVKKVALVVLTGERGLCGSFNNNVLKKAENRIEELKQLGLSYTVVSVGKKGNAYFQRRPYIPLERELEIGGVPTVKDSQAICDLVYSLFVSEEVDKVELLYSKFVSLVRSDPLIQTLLPMSPKGEICDVNGVCVDATEDELFRLTTKEGKLTVEREKVKIETLPFSPVVQFEQDPVQILDALLPLYLNSQILRALQESLASELAARMSAMSSATDNAIELRKNLSIAYNRQRQAKITGEILEIVAGADALSG >Et_1B_013307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7250747:7252341:1 gene:Et_1B_013307 transcript:Et_1B_013307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLANAGNNGNASNDFLAVELDTVVNSEFGDMSNNHAGVNENGLVSLVADNAGYYYEDGGDGAFRNVSLLNRTAAQVWVDFDARASVVSVTMAPLELPKPKKPLLSAAVNRSAIIDGDEAYVGFLSSTGVVSSRHYVLAWSFRMDGPAPPLNVSKLPSLPSTPFPKAPSKTLKIVLPIASSALVLALAIAVLVIRRQWHKDLYRATDGFCDERLLDIGGFGRVYRGVLPASRTEVAVKKVSHESRQGMNEFVAEVVTIGRLRHRNLVQLLGYCRRDGELMLVYDYMPNSSLDKFLHDQSQPVLSWTQRFRIIRGVASSVQYLHEDWKQASNVLLDAEMNGRLGDFGLARLYDHGADPHTTHVVGTMGYLAPEPGHTGRASNPKASDVFAFGVFALEVACGRRPVTRDAHGDDCRLLVDKVLECWRRGAVTDAVDPRLRGDFAVEEASLVLKLGLMCSHPLPGARPGIRQIVQFLDGSVPLPELSEAHLGFNMLALMRNQGLNSHSASTTIAGNISDVPAVR >Et_2A_017389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33938742:33943587:1 gene:Et_2A_017389 transcript:Et_2A_017389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLPAPPTARWGPLPPNAPASAFAYARRVHPSRRLAARRAKGEDAEPEAAAMPVRTLLIDNYDSYTYNLFQELSVVNGVPPVVVRNDEWTWRDVFNRVYKDRAFDNIVISPGPGSPACPGDIGICLQILSECGDIPILGVCLGHQALGFVHGAKIVHAPEAIHGRLSEIEHDGCYLFNCIPSGLNSGFKVVRYHSLVIEAGSLPDDLLSIAWTASPKLLSFLESDQTDISNSGFWGSLENFIVDPSQCSSNAGEITNNVSNSCKIIMGIKHSSRPHYGVQFHPESVATHYGRQILQNFKKMTSDFGLRSLWLQERKVHSIDQCSSIPKDSLHSEGLELGDSVGAGMLVKRGRGKKHLRLRWKKINSLLSPMVDSEDIFALLFGHQNGEDTFWLDSSSVDQNRARFSFMGGKGGSLWKQITFHLSGQRANCGGTLVTRDANGCTAKNFIREGFMEFLNEEIESIQYNEDDYEGLPFDFHGGYVGYLGYGLKLECDAASNKAKSSTPDACLFFADNIVVVDHNNGDVYVLSLHDEYASNNGDGGGSSRNSAHNSWLVETEKRLLRLTAMASGLRVNGKAYVRSSDATRQSFIVEKSKDQYIKDVQSCLDYIRDGESYELCLTTQMKKRIAYKNALQLYLKLRKRNPAPYAAWLNFSSENLSICCSSPERFLQLDRNGILEAKPIKGTIARGRTPEEDECLRLQLKYSEKDQAENLMIVDLLRNDLGKVCEPGSVHVPRLMDVESYKTVHTMVSTIRGTKKSNLSPVDCVRAAFPGGSMTGAPKVRSMEILDSLENSARGGAIVALSDPEAEYNEMLLKAKAPTKVVEDWRRAIYNSDRVDSMQATI >Et_10B_003394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19444294:19444614:1 gene:Et_10B_003394 transcript:Et_10B_003394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSERISQWVASQEVPSDLTVRVGDSVFPLHKTLIDPIFQIIFLIFFRKRDQIIRVESFWFNSALTLH >Et_5A_042705.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:26532923:26533849:-1 gene:Et_5A_042705 transcript:Et_5A_042705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPIPNDRTGAAFPFLLRTRPNPLSPPAPPHHGEPLADAEDELVEEILLRIPPEDPSRLLRAALSCKGWCRLVTGPSFRRRFRQRHRTPPLLGVLRCFIDEDRASFVRFVPTCSFRPPQAERRGWQAIDSRRGRVLLCSAPLKFEYSDVFSVWDPVTDERRQLPPLPQFPDRYTFKAVVLCAASGSCDHLDCSSGPFLVLFMASYGQKMFAYRYSSEANAWSEPTSASHPGWFSEWRPSAHVRDSLYFVLEEGYIGGMTLFLILGHDLGTREMTLIHPPPLLNNNHIVLTTAVGGEVQTLHMVGRG >Et_9A_063339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20659914:20661832:-1 gene:Et_9A_063339 transcript:Et_9A_063339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVVVVVSPRKQQSKALTSPRRRDDDNDQLPARPPKLLRSSSSKKITAASSLERALLSFKTWEAAATPESSSKPADNRSSSKDAADEAATKVQSVFKGHRTRRSLADCAIVIEELWWKLFDSASLDRKSISFFTEKRQESAASRWARAGKRVAKVGKGLAKDDKAQQLALRHWLEAIDPRHRYGHNLHLYYDLWFQSSSTEPFFYWLDIGSGREVHHPKCPRAKLNAQLIMYLGMNERAAYEVVVEDGRLVYMQTGLLVNTTDDSKWIFVLSTTKSLYVGQKKKGEFQHSSFLAGAATSAAGRLVAKQGVLEAIWPYSGHYLPTEENFNEFISFLQENNVDLTNVKRCSVDDDEYPSLAKQQDEPLQKAAAETAIVGVDMDTTTTSSSSSRAPVKWTSGAGARIGCVRDYPAELQSKALEQVHLSPNKAAPPFPLAPIPSPRPSPRIRMSPRVQYMGMPVPPQLKQQQCLDIRTPTVRLTLPNKNRSS >Et_6A_047695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9855335:9858514:-1 gene:Et_6A_047695 transcript:Et_6A_047695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAATRTGLRAPRILLSLAVLPVPIALLYFYLSPTVTNMADDLPASIYDIAVKDIRGSDVKLSEYAGKVLLIVNVASKCGLTNSNYKELNVLYEKYKEKGLEILAFPCNQFAGQEPGNNEEIQETVCTRFKAGFPIFDKVDVNGKDASPLYKFLKSRKGGFLGDGIKWNFTKFLVDKDGKVIERYAPTTSPLKIENDIQKLLSTS >Et_5A_042403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9625678:9626108:-1 gene:Et_5A_042403 transcript:Et_5A_042403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVLFHPLIPSDAMLATLASSGRTKQKLIERPWGSQPQKDWQPVASEKEIMKLLRIAFSVLVFVVVLVFLRRRAVYAAEVEEEWEAMVGPHRFSYKDLVHATDGFSDERLLGFGGFGMVYKGVRCGVLR >Et_1A_006824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27926348:27930567:-1 gene:Et_1A_006824 transcript:Et_1A_006824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCRSSLRAGAGPQPGPHAQKPPPPPHHRPSFSLNAHQAAPPGPANGGGGGGDVPAFAEFTLAELRAATGGFAAENIVSESGEKAPNLVYRGQLKGPRGASARTIAVKKFAKLAWPDPKQFAEEAKGVGELRHPRLANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVACYISEALEYCSTKGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTQESVIFSFGTVLLDLLSGKRIPPSHALDIMRGRNIQALMDSHLEGNYSTEVATTLVNLASQCLQYEPRDRPDIKKLVSILEPVQTKLEVPSYVMLGIPKPVEEPQAPPTPQHPLSPMGEACSRMDLTAIHQILFTTHYRDDEGTNELSFQEWTQQMRDMLDARKRGDFAFRDKDFKAAIDCYSQFVDGGAMVSPTVLARRSLCYLMCDQPDAALRDAMQAQIVYPDWPTAFYMQAVALSKLNMQSDAVDMLNEASQLEEKRQRSTKGP >Et_4A_032871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1519215:1521865:1 gene:Et_4A_032871 transcript:Et_4A_032871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAALAASRIPTSARLHSKAASRQQRVDFSDFAGLRPGSCTISTAAREASFSDVLGAQLVAKATGENAVRAPAEAKLKVAINGFGRIGRNFLRCWHGREDSPLDVVVINDSGGVKNASHLLKYDSMLGTFKADVKIVDDQTISVDGKLIKVVSNRDPLQLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEKDYDHDVSNIVSNASCTTNCLAPFVKVLDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVINTEKTGMSADDVNAAFRKAAEGPLKGVLDVCDAPLVSVDFRCSDVSSTIDASLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVAAKWPGVAVGGSGDPLEDFCKDNPETDECKVYEA >Et_2B_021767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5203485:5217367:-1 gene:Et_2B_021767 transcript:Et_2B_021767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAMRLRCFLRPPFWCEPATPVAASSGVSGTLGGSALVRRLGAPTSGERRRLCRFYCSKEGVGSAEAAALGSGGGGSGGGSSNEQEHARLGERDQQEWLSGERFLTGCKRREPTFLTKRQRFKNEYLRRVVPWNKTGVSWNSFPYYVDANAKQLLSECVASHLRHKDFTLEYGSGLQSSSERILLESSPGTELYRERLVRALANELRVPFLVLDSSVLAPFDFGEDCSESKEEDNHAESQDEGSESEAEDEGESDESDDEDATKAVETLKKLVPCTLEEFAKRVGVHESSSAEESSGTAESSEEDKRPLQKGDRVKYVGASVAVEADHRIILGKIPVQDGSKNAYTFISGRTLSNGQRGEIYEINGDQVAVIFDPPEEKLADGKNDEANEEQHAEPAVYWVDTQDIVRDTDIQSKDWHIAIEALREVLPSLQPAIIYFPDSSQWLSRAVPQSDRREFVEKVEEMLDQLNGPLALICGQNIVEATAEPNDKEPKTLLFHNLPHLSALSSLKRLVGGAIGRKVSRSMKDFQKYSRSRDISKLFRNRFFIPLPKDDEQLRIFNNQIEEDKKIIISRHNLVELHKVLEQHDLSCEDLLHVKSDGIVLTKQRAEKVVGWARSHYLSSAVNPSVKGDRLIIPCESLDLAIARLKEHDASNTKLSENMKILAKDEYERNFISAVVPPNEIGVKFDDIGALEDVKKTLDELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGASFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKDSQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDARNRMKILKILLAKENLESDFKFDELANATEGYSGSDLKNLCIAAAYRPVHELLEEENKGDTSNMKSSLRPLKLDDFVQAKAKVSPSVAFDATSMNELRKWNEQYGEGGSRSKSPFGFGK >Et_8B_059617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:221873:223580:1 gene:Et_8B_059617 transcript:Et_8B_059617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVENGGSCEAPAVTVTGSRTVAPAKNRCALATFDLPYITFYYNQKLLLYRAPDSFPDAVARMTAALADALRVFYPLAGRICQDADGALAVEGDQGAEVVEAEAQGVSVDDLAGGDCGDEADKVMQSLVPYTGVMNLEGLRRPLLAVQLTKLKDGLAVGCAFNHAVLDGTSTWHFMSSWAELCRGAGQPSLPPVLDRSLARSVRVRLDLPESAEAHEKTDPNGPKKALVARVFSFPEAVVARIKAGANAALPPGAKPFSTFQSLGAHIWRAVSRARGLGPADITAFAVFADCRARLDPPLPAAYFGNLIQAVFTGVPAGMLLGGPPELAAGLLQKAIADHDAAAVARRLEEYEAAPKLFHYSDAGPNCVAVGSSPRFKVYDVDFGFGCPERVRSAANNKFDGMVYLYPGRGADGGIDVELALQPEPMHKLQNDPDFLLLLLSAFK >Et_5A_040551.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:8314944:8315306:1 gene:Et_5A_040551 transcript:Et_5A_040551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAADPTRRGGGALRVHRRLLMPRLHRGLLRRAVRPPRRSRDRSRRRLPGPPLHPLHRLRAVVLEVMEAAAGARDLKVMAAASDLAGDQRCGRRGPDLLFLFVLLICLLPLWFSLQRRF >Et_8B_059458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18072315:18073457:-1 gene:Et_8B_059458 transcript:Et_8B_059458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KKVEKAARKGFDSLVVLTVWRIWCERNNRVFNGQATQASTLVAQIQIEGRDWIRAGITQLSRFILGEEGVAETMVAGRWSRNGVYKKSDEAIPMNIGLFFFI >Et_1B_013421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8233380:8237397:-1 gene:Et_1B_013421 transcript:Et_1B_013421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANNWLGFSLSGQENAQPNHQDASPAAGIDISGGSDFYGLPTQPASDGHLGGVDHASYGIMEAFNRGPQETQDWNIRGMDYNGGASELSMLVGSSGGGNGKRAVEDQSEPKLEDFLGGNSFVSEQDQSGGYLFSGVPMASSTNSNSGSNTMELSMIKTWLRNNQVPQPPATTHQPPQAEEMSTDASANSFACSDSLGRNNGTVATAGSSQSLALSMSTGSHQLPMVVAGGGGGSASGAAPAASESTSSENKRASGAMDSPGGGAVEAVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEDKAARAYDLAALKYWGTTTTTNFPIGNYEKELDEMKHMTRQEYIAYLRRNSSGFSRGASKYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESSTLPVGGAARRLKDAVDHAEAAGATIWRADMVDAGVISQLADAGYAAASAYHHHHGWPTIAFQQPSPLSVHYPPYGQPSRGWCKPEQQDAVAAAAHSLQDLQQLHLGHNFFGQASSSSTVYNGGAAGYHHQAGLGGGGAGSFLMTPSSAVVAAADQGHSSTANQGSTCSYGGGGGDEEGKLIGYDAAAMAVGDPYAAARTNGGGYQFSQGSAASTVSIARANGYSANNWTSPFNGMG >Et_8A_056454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10281271:10286005:-1 gene:Et_8A_056454 transcript:Et_8A_056454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMDIVGKSKEDVSLPKCELPCLGSPHRCRILLVLATMFKIIKEMLPPDVRVARDAQDLLVECCVEFINLLSSESNEVCSREEKKTIAPEHVLKALSDLGFREYIDEVYAAYEQHKLDTLDSPKAGKFTGVEMTEEEAVAEQQRMFAEARARMNNGAPKPKEPEQEQQQQPQQPPQIQLQMHAPPHHPMQPQLHPPLQQSVQPQLQLHQPPQPSLQTQPHVHAQPQQPPQLQQHSLPEQPSHTRIDPVATSTLLGGSEGRRPWSVARLSRMSTSPFSHLNCMLSSSMISPHRRTKSAGISPPSANVAELSSLPCIPTTVLNHIRLPFLPGNTRVSFRGPRIVRTPPSGSHSTSMPRARARASASALSDVAKASSHPRPRMDLVSFLLSAVNVYRRPRASSSSTISGNSASARSVTRKLGTNGVSPPEGGGVSQNRITFPGNSLI >Et_1B_010590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1213314:1217324:1 gene:Et_1B_010590 transcript:Et_1B_010590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPAAAPPGPAAMAVDDLEDDQIASMSTEQIITASRLLDNEIRVHKDELQRLNLDLQSTKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDTLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >Et_8B_059608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19888747:19892496:-1 gene:Et_8B_059608 transcript:Et_8B_059608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGGSEHRVRMPVLGAGKGLVGAKPEKQLNRFVHVVAFIERAGNALGTLAFGWATVILLGGYPTELSEDKDFWYTTTIVFLEALRRFSRNNRLDYQLFFHTKGAVRCPGWNRLIIIVYLSNALFYLSNLMDTGGALWERAFILVLLAPSVLGPFQPTRALKLLSKTAQCVVSLCSPVVAILLLVPLIEFSGKERAKWIAFSLLFVVVLLLTVSRLISFHRNTKLAQHGPANKQRIWHQSILNMCMFVELVMLVLMNNSSDDDRSTMIAVQVWLFVCLLFGNLQIPAAVTRVALSLLRLVPQDYSQDPKKHETINLAPSLNIFYVMVLIQGILYVVACMLEVFSFISRRLLARRCGLGDQWGVEFISLYYAYALGQCMEGDVLAPKKISLDSFAMYCLNSDKPKMQLYGIRIMDSLLQRELTRSRLLSKLNASMETIARLIRMLDWTSTEDTTIRLFAAKVISELAKGLRVVTIPGTMQLVSSLLDASSKPEIRSALLDANEDDDDEQEKKQDKVTVLTSNIQEQGNDPVVDVDDYPREKQDPPRAGVTSNLLETQTRSNQQVGNNDQNSCFLKCRKWFSRLRSSTLEKPLTDHDHLSLPELGMSILHSLAYDYDNCREISRAAGLIPKIIGFTSYKNDRTTNEVQHKILMESSLKLLRRLSNTSGEIGITLRCRISEHPFLLRNLAEILNDSSSSQELRKLVAGIIRNLAIDTSASLEIGRIKGIITRLMDAFLSRDAPSSTNSDQFLQKVAGQALAILTMESVGNCEDILTGPRNFVEELTSLIYRDKHKYVATRLLQHMFLHIQLEFSESGLRKLCEALGKVLENIIDPGANEAELEVLIGLGSQICRVIPGDFARVLETRHHKEMFVKRLVNALNANTNPAVHCPGVRRVIIEQAIYLMKYSSDYATVFNQYNMMEALLVVEQTPSRVERYKIFLGDVGFMEHKEPLSNLVAKANELMRRQWLK >Et_3A_027321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7553111:7555464:1 gene:Et_3A_027321 transcript:Et_3A_027321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVSTSRGLHPPGKLGTFESPRTWPLSAPTNQAETGPGDDQDVRLLSVAWNQDCGCFAAGTSNGFRIFNCDPFKETFRRDLKSGGFGIVEMLFRCNILALVGGGSNVQYPPNKVMIWDDHQSRCIGEFAFRSDVRAVKLGREYIVIVLERKIYVYNFTDLKLLHQIDTISNPKGLCCLSHHSNTSVLACPGVHQGHVRVEHFGLKVTKMITAHDSHISCMALTMDGLLLATASMKGTLIRIFNTMDGSRLQEVRRGLDKAEIYSIALSPNVQWLAVSSDKGTVHIFSLRVRVAGEDASSNEQRTLEGPRMDHQNSSASIDPLIQTNTGANASSSLSFMRGILPKYFSSEWSFAQFHLPEVTRYIVAFGAQNTVMMVGLDGRCIFDQVSGGQMTQKEYFRFLKADNSPPFRTPAT >Et_1A_008513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8312198:8313973:1 gene:Et_1A_008513 transcript:Et_1A_008513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPPSAVGGANINEVLSDDELRAVLTRLGPEAERNAFGLVCRRWLRIQSSERRRLRARAGPDMLRRLAARFPGVLEIDLSQSPSRSFYPGVIDGDLDVIAGSFRNLHVLALQNCKGATVSSSATNIDYSGISDVGLASLGCGLPSLQSLDVSRCIKISDKGLKAVAVGCQKLRQLHIAGCRLITDNLLLALSKSCLQLGEFGAAGCSRITDAGISSLADGCHRIKALDISKCNKVGDPGLCKIAEVSSSCLVSLKLLDCSKVGDKSIHSLAKFCHNLECLVIGGCWNISGSSVEALAHACSSSLRSLRMDWCLKITDTSLRSLLWNCKQLVAIDVGCCDQITDASFQDIEGNGLESKLRILKISCCFGLTVAGVSSVIESCKALEYLDVRSCPQVTRDSCEEAGLQFPSGCKVNFDGSLLESDPSAEFFSSSSTYYDILLG >Et_1B_009682.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:14426943:14430479:1 gene:Et_1B_009682 transcript:Et_1B_009682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFGDISCCGCGSWSYGSAPVSVSESMRCSRIRAHAVSLDYPTRTEKKNEGNLVAMRPDRRLQDEFADAGAAHGSGKTSVKFEHAVSSPEAKPRKLLNPETKHRQPLNHNSYKVAYVSNHKSLCYADSLRRYCSNGKLIQACRVIDEMMLHGQVPDSKCCVRLIRGLVRTGKASKARHVLEVMVLSGGVPDTITCNMLIARLCCEGQLSSALTILEDMRFTGCSPSAITFNTLIRCMCSQHMYNKAISFWKEQLRVGWPPYEMTSTLLVDLVCKKCGPQRALEVLDELALEGCQPDVVTYNALISASCKAGSLKDAKTIVTRLEAEGLEPNGTTYCILFHSLCSKRSWSEVGDLLAHMKRVNHEPDVTAYNIFINYFCKYGYLDQAIDVLEMMVSEKCSPDIVTYNTLLNAISKRGMVEEALAIVQSIRENGCQVVLITYNTLIDALAKKGEVIKAMNLFDGMLGDGISPDNVTYGSLIMGFCKKNMSKEALELLNQMLALGFDVKATTFVMIIQALCRDSKAEAAAEMLRVMVSKNINLRSAFYLSIVTRVAKSGQLKEAQMLHQELVECKVFKEDSRIVLSS >Et_7B_055972.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8307651:8307738:-1 gene:Et_7B_055972 transcript:Et_7B_055972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NREKKYRVSLSVTASCLIVRYRSDGTVWT >Et_3B_030918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8844207:8855781:-1 gene:Et_3B_030918 transcript:Et_3B_030918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFATAPIHGRASAARRLPPAVAAELAQLERRLGQAADLSARRVLAELVEADAVDALRRIGRSREVRTLSGYITWMARHGPVARDAASESVASDLAVPEPGDESAYRPQYRDNVETEQVSSSLSNHGLELPLPLMAIDDAFSCASLDDQYRDCIKLNDVEAEEVSSSLSNHGLEVPLSPLMAVDDACSSTSLEDQYRDCIELNDVETEEVSSSLSNNSLDVLLALRAVDDASSCTSLEDQYHDCIEADTDSPAMASQAGQMPEQHGSPIEELVSIVPHGVRVLAENHGKNGPSKLQNSMRTGGLKQKTISTHPREEPASSHLKHVIRCLQGVGPFGNPFGPDCAIMIPKPSPNLVVENAFRETAFSQMTEDELRKAASPQMCALENLEFIKRFLILSYLCQNNVEDEAALTIDYIKSLKLMPIAQFESQIWSKFGRKHFMASNRPASDRTKKFDFDPSAAKAYHCNVKIRGDSVVKVLKGPYKENVRNHLHKVLGDDNIMVLKFLDNPSDANTNFDFYRLHYHKVVEDGIVLGLRRYRFFVYKDGGKEKKKSEQQGETDKISPVRCYFIRTESGWRGDETYILSHKTIDQSRKLFMHIHTLPTLAKYMTRFALILSKTITLDVDLSTVDVILIDDEPCRDEHGKGTCDANGKRLIHTDGTGFISENLAKKCPQRIIKGMKLQEYVHRGETMPLLMQVRLFYNGYAVKGTLLVDKRLHDDTIVIRPSMVKVKGDPKLPGMQSLSSLEIVSTSHRPKRTFTSKSLIALLCYGGIEEEYFMELLQNSIEGVENACYDYKHALKLASAYANMDDSMLECMIHSGIPLDEPYLRSRLNFLAKQEMKGFKELKLPFDECDYLMGTTDPTGTLKPNEVCVILDKGQFSGDVLVYKPPGLHFGDIHLLNAKHISGLEKNFVGYSKNAILFPISGQRSLADEMANSDFDGDKYWVSRNHMLLKDFKKRSEPWDQPIKKDNNKQKGPEDFSGSSLERVLLDECLKTLFKPSHTVGISSDCWLVYMDRLLTKGVDEDEKTKLQEKMTKLIKVDRSLRVSAYPHFMEKEGFPSYHSSSILGRMFDKVDEAISQQTENDKEIAITRLPYFTEVKATPECTSLWEYLYEEYLTKSRKLLDLCDEERKSEEFQKLYQHYKNLIYGAETFEETTKGLSEVYAEACTIYRIAYDRAELKKRVGMCRFVWIVAGDALCALYATKYAAEHGDKVVHMPLSVGRQLYSRV >Et_1B_010368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:143103:147025:1 gene:Et_1B_010368 transcript:Et_1B_010368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPSAGILPSPHPSLSSTTNPPSTSYFLPTLFPIRRRRWVKAAAFPPDRPTPIATNNLPPDSSSDPIPSTAAVPFTGTYRGGDDPLISKLRTQLGVIHPLPAPPINRSVLGLFALFFFVGAAFDKLWTLRKRRRAEREVKVNGSWPQVPTSSFSLFLDSKDLQRKESVEWVNMVLGKLWKVYRTGIENWIVGLLQPVIDNIQKPDYVKRVEIRQFYLGEEPLSVRNVERRTSRRANDLQYQIGIRYAGGARMALALSLKFSAVPIVVPVWVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKITFLTKLLTEDLPRLFVRPKKIVLDFQQGRAMGPVPGSVASDIIQNVASDIIQDGNKDYVGELSVTLVDARKLSFVLFGKTDPYVIMTLGDQVIKSKKNSQTTVIGLPGEPIWNQDFHLLVTNPRKQKLTIQVKDSIGLTDITIGTGEVELGSLKDTVPTDKVVTLYGGWGFFGKRTAGEVLLRLTYKAYVEDEDDEGVKTESTSGYVSDEDVLDYVQRDMTSGSDFMGRERETFMDLLAALLVSEEFQCIVSSETGSSRGQEASRSEAVVSVVTGTANAEAVSNSSTDTALVWLAAITSVMVLVSWNIGASGYFNP >Et_7A_051419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18230642:18231114:1 gene:Et_7A_051419 transcript:Et_7A_051419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEPGAPAARKHLRVLLPFTRKSLSNVLAVAPRSPAAKKTLRPTDLAHLQRIPNELAGEIGGEEALVVLPSGGKQVWPVEVGRDGDGAFLGRGWRAFADACGVGGGWVLVLRHRGRGVLTVKAFDDSGCIRELGTPIPPAGNRDSRARVMLD >Et_9B_065258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2866169:2867293:-1 gene:Et_9B_065258 transcript:Et_9B_065258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPTDAARVEPLAEQEEEPNKVEPAAAAAAAEEEEEEPKKVEVGAEDEEEREEVRLEGKDGGFGSPEAENGEAEVDGGRADDGEVEAAEGDEKGGSLGAVEAEKEDRELAAEVAEVAAAAVAETPATGVESVNGELGEEEASPAPPDAPTGEEKGELVEEEPKPEESVVVAEVKDEGKVADDAESALPVEKPEETKGEELGSGDGGGGELGGGKEEKEAVASAESVEAAEPEDEVAPAAEANGELVGEVEASDDTVAVGGEKGPEESLEKKADVEAEATKPEPANEEIPVVANDASVEELVPASEEANPDPVSVEIPASEDTRPEPLSEESPVVSDHPNLILFI >Et_3A_024686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23206712:23210909:-1 gene:Et_3A_024686 transcript:Et_3A_024686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ANEQLISFQDSPEDLAYSHGVISQARDRTKATSHCAPWLIPCISPHSVQALSHYFSYLHLPKTRSANPLFSSEQVIEANRFMKGIEAAAKDSKCGMDAAKFIAQQIEDLGAEFCYHKFLPHNNHFHPMKFFTSMTNDMSIGPNRTYNNFGINTVGIIRAPRGDGKEAIVLVTPYNSQRVQPNELLSLALGFSVFSLLSRAPWLSKDIVWLSADAQFGEYSAVSAWLNQYHNPVFLSHSVISDTKMYGANYMHDAKTEWAEFKAFRRAGTMAAAVIVKVGENRKYSDRDSVTMYAEASNGQMPNLDLLNVVHYLAVHRQGFRVNIATFSSLLSSAWLRVIAEIFQTLGSVLRKINPDWKLDVAVPDYMEGAANLASSMYNQALGVPTGSHGAFRDYQVDAVSLEFSPTFHVRNDNARSSFLLRGGRLIEGVARSVNNLLEKFHQSFFLYFLTAPSKFISVGVYMIPFALLVAPLPIVAAALADDSKSMKKSAENSIDASKANVRADILQSKGGSWKWLQAAKVLLVIQLWAVLVSLLPYYITQIPDATPMQSAMIWAVLAIFILVALYTMFGSPYSAGVEWKLLKATMITSISIGLGLMSIINFATAQLGALVVIPMCLFSRPLKAHSGMSFLPHAVLSASNICLVILGFPPAALLIMKGLSKGSWTVDIGDFWVWMEFLWEWSSATYLYLLLVHLPCWVLCIHLLLHPCRHSESKMKQE >Et_5B_044641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4195327:4200025:-1 gene:Et_5B_044641 transcript:Et_5B_044641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADASAGDAGPSSQAGAGGSAPTRPRRFPGAAQPEIMRAAEKDDSYAAHVTEACRDAFRHLFGTRVAVAYQSEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATSHGLPPTPARRILFILYQTTVPYLAERISSRIVARSMALNESQFDDHPENYEVEFMPYGYGFFRNGLRYQILGIFLLVQLCILGAERLQRSNLSSIASSINQISSGNYQSSTGRGIPVLSEDGNIISDIRGGKTADMASHSEASSEMSKCTLCLSTRQNPTATTCGHVFCWNCIMEWCNEKPECPLCRTPITHSSLICIYHSDF >Et_8A_057768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:763190:765022:1 gene:Et_8A_057768 transcript:Et_8A_057768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPMEGRRVCGMPEKAQLHVAMLALQFGYAGFHVVSRLALNMGISKLVFPVYRNIIALCLLVPFAYFLEKKDRPQLTLNFVVQFFLLALCGITANQGFYLLGLDNTSPTFASAIQNSVPAITFAMAAALRIEKVRLDRRDGVAKVVGTLACVAGASVITLYKGPTIFGPSTEEELVSMAVEESSKNWTLGCVYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGVIQFLIIAAFMERDADAWQFHSGSELFTILYAGFIASGVAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASLTLGEKFYLGGIIGAVLIITGLYFVLWGKAEERARLTKELMATTAEAGGAVISRSAGAKASSATQPLLLPSSTENNV >Et_8B_058757.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20958890:20959141:-1 gene:Et_8B_058757 transcript:Et_8B_058757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSKSTMSGNGGVESAMGSSPASSCVSSDAEEVAASPMVVAGCPQCLMYVMLSKEEEKQLKCPKCKSPVLLHFHKCDGINKG >Et_1B_011153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18058476:18066464:-1 gene:Et_1B_011153 transcript:Et_1B_011153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVESFRVESPLVRYGDGEIESEYRYDTTEVVPPAAEADGWVVRPKSVTYNFKTSTNVPKLGVMLVGWGGNNGTTLTAGVIANREGISWETKEKVHKANYFGSLTQASTIRVGSHNGEEVFAPFKSLVPMVNPNEIVFGGWDISNLNMADAMARAKVLDIGLQKQLRPYMESMVPLPGIFNPDFVAANQGARANNVIKGTKKEQVDQIIKDIREFKEKNKVDKVVVLWTANTERYSSVVAGLNDTTENLLASLDKNEAEISPSTLYAIACVTEGIPFVNGSPQNTFVPGLIELAIKKNSVIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIASYNHLGNNDGMNLSAPQVFRSKEISKSGVVDDMVASNSILYKPGEHPDHVIVIKYIPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGQDKFHSFHPVATILSYLSKAPLVPPGTPVVNALAKQRAMLENILRACVGLAPENNMMLEHKGTPNSAPLSSPLELLDSVSTSVSESCAVEPSDTSSDSSKPAVAVASSPPLPSTVEASTLPERRSEPAGVAFTATPTVRTPSSSTSPSPSSTSDSPATLAPAAASSASASTPALEEPAGASSSAAEAEPVSPPPPSSTGPASEGGSFGEASAADCECSSSARAGPPCSGCGSPPAMATEAGESSAFGPGPPAV >Et_6B_049944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19071272:19077385:1 gene:Et_6B_049944 transcript:Et_6B_049944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLIERKKVAITEGKPLYLELCTGLLAFCAHLTNRSLKSRVGIGSCFLGNPWSCKVEHGEPKKLEKASFPLRWKKIKDALACEAKALRASPVKTGLQPVDSQILKAGLLNEGELYYSGRIRVPLLSVLQKKKKKKTKKKTKKKKKKKALDPVLDDPAVVAALVVVPVPEHDAAVVAGLLRRRQHLATQRLGVRVPRPRRRRRRRQADVDLGERVRRRPLGPLPGVKPGGAVRVVVEPDVDALEDARVERLLDALVGERAVRRRRQVQQLPVAGADVVLRELQGENVVVFRRRGAVEEHVDAVEPRVAERAARVVDVAAEVGVPEVVEEGQRRLVRRQRVTRAEAADGDGDRHAQELAALDGGVHAGGRVAGDVQVVLVAGAVDVQEGHDHHAVLAGVAGLTQRAFVLVPAPEHRHLTGLTLGGCMAGEEAAGHDGENSEQE >Et_8B_059187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1582032:1586090:1 gene:Et_8B_059187 transcript:Et_8B_059187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPGGGGGRQQWPCDYCGEAAAALHCRADAARLCVACDRHVHAANALSRKHVRAPLCAGCAARPAAARVGGGAGAGEPAFLCTDCCDGGSDDAGAGAPVEGFSGCPSAAELAASWGLDLRGGYEDTAAAAAEEDAFFAALDYSMLAVDPELRDLYVPCDPPEAAAASSRGAARRLKGEALGHQLAEMARREADTAQGHAHSDLSPRTPRRSSAASSGRLPDKQAPPPLPPPPAVQEVPLPYTSLLMMASGGNCPELIGGGDRMADDDEQLMWDCTQPSVPPTQIWDFNSGRSRDHNAKAEVEFGPNNGGFMIKTYSDMLKEISSGTTKDLEDIYDTRYGAVAEDIMSTNICQLSSKNVSTGSNKRKVSSCASTIDGPTTSGNHMPTSGPALSREISFGDQTVTPAGAERPAMKIDRETLAQNRDSAMQRYREKRKNRRHGSYDKHIRYESRKLRADTRKRVKGRFVKSTEALNVGNGGELRTLLMEALDDLQVNYLEAGGGALG >Et_10B_003733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5363547:5368928:1 gene:Et_10B_003733 transcript:Et_10B_003733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNREEHGSQPTAADAIDASLIVATCQGDCQKLKDLIIQKDATTMVVVMASRNQASEEKSSPATMHPLLAEAACNGSLVELKFLLNRAPVHCQECQDHLEACYPGYSSNRSLATQLTATNIEGGMNASSILEGVTVEGDTAFHLLAANGHGDNLMDCANLIYEEDKSFLYKENYNGDTPLHCATRMGNSQMVSCLISLARGENRVTYLLRKENNSKETALHEAVRIGDNHIVKELMRADPELTRFPEEGPSALYLAILLEKGDVAQTLYDESKDNVLSYTGPDGQNALHAAVMRRTAWINITNPYAEMVRMLLIWNKGLTSGMDCNGSTPLHFLSSRLKAIRIGHIEANPAALYQPDHSGMFPIHVAASAGAGKTIAGFIRYRPSSAGLRDSRGRTFLHIAAEKSEWRIVALACGIPSLAWILNMQDVDGNTALHVVVHLNLANKKGETPFDISRFNTPPGIYYSQSSQAIIDRVLEVCCARHGSCRWDHFTEARKKQVARDSQSKEAEKLKDSTQNLCIGSVLIATVTFGATFALPGGYRADDHTNGGTPTLAGSYTFDAFMIETYLKWAYLIRPLCSRVGLTVSLNAIAVMICAEILVHYWPILVIFGWPAIAGGH >Et_9A_063237.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16933421:16933753:-1 gene:Et_9A_063237 transcript:Et_9A_063237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAERRKSFSSPAAGVGDGGRVSGAEGAKLQGRLAKSAPGQAYFTVGLALLFLCLTALLVFLPLVLPPLPPPPLLLLVVPVGLMAVLLALALGSADARGARAVASSGLY >Et_2B_022251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15402104:15405042:-1 gene:Et_2B_022251 transcript:Et_2B_022251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECHRQDSQRQREDGLDGHEDGASDDDGVLSAFLCPITMQVMRDPVVIESGHAYEKGAIARWFAECGELGRRPCCPITMQEVRTADLRPVLALQAAIEEWTDRQARDGLRRACQWLTKDAPEKEAVCALGCVMRGWSKGRAGKNVVRGEGMIPMVGSMLKNGSGRVRLQALEALQQFAKETDEDRESVSEGDTIRTIIKFIDCEDCQERELAVSALCELSKSEIVCAKISELNGAILILGKVAGSKTHDPTMAEQAEKTLDNLDRCEKNAVQMAENGRLEPLLNLLIEGAISFLTIYGSPEKQLLMASSLEKIVLSNDLKILVAQRVGSLFAGIVEKGSLEAKEVAFKVLEHISANPESAKVLIEENVLLPLFRVLSINGVNLLPPRLQEAAAAVLCNLVASGVDFGKVPLDGDRTLVSEDIVHSLLHLISNTSPPIQCKFLEFFDKLSSSPETVQSIVSAIKSSGAITNLVQFVESDHQESRIASLKLIYKISFHIDREIAQVFRGSPSLLDCLVRAIFLNDGNMGEQEAAVQILANLPKRDRYLTRELMEQGAFKLVARKVLSICRRETGSNINDHTLLEGLAKVLSRITYALRDEPRCIAVAREYNLATLFTSLLRLNGLDEVQVISAKALMNFSLESKYLSSTPKFDEPEQKSMLARFGRKSSSIQLCRVHSGICSIRDNFCILEGKAVDRLIHCLNHSNKKVVEAALAALSTLLEDGVEIPEGVLVLHRANGIKPIFDILKENPTGSLQYRVTWAVERILRAEEISKSVSADRSLGSALVHAFQHGDSRTRRIAEAALKHIEKIPIFSQIIDKLPSRRGSSMGSMERFYKLDR >Et_3A_023761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1249786:1250068:1 gene:Et_3A_023761 transcript:Et_3A_023761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLGAVRVMIGRGREIAHALHAATAMADAMTTLARVAGPGLLSFSWCTADEGAAAPRRRELDPAALALSGEGARPCIGSASPEADKHED >Et_7A_051557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20317340:20325295:1 gene:Et_7A_051557 transcript:Et_7A_051557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFVLSGQSNMAGHGGVHNGTWDGVVPPECAPDPRILRLSAALQWEEAREPLQSCGVGPGMAFARAVLPRLLQDAPAGTEARLIGLVPCALGGTAIRKWARGERLYEQMIARSRAAAERGDIQAVLWYQGESDTIKDHATAVYRDNVEKLITNVREDLGMPHLPFIQVAIASGNKTNIEKVRSAQYSVSTSLPNVVTVDAMGLPLDEDNIHLSTEAQSLTPCSPPPAFSSFSSTSSPHGAAPAMRIFVLSGQSNMAGRGGVHHRHWDGVVPPECAPDPRILRLSAALQWEEAREPLHADIDATKTCGVGPGMAFARVVLPRLDAPGPGAAAGIGLVPCAVGGTAIREWARGERLYEQMVARARAAADCGEIQAVLWYQGESDAESDHATAVYRENVEKLITNVREDLGMRQLPFIQVALASGNKRNLEKVRSAQFSVNLLNVVTVDAMGLPLNEDHLHLSIAAQVKLGEMLAEAYIKNFLDPPC >Et_9A_061773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16261552:16264394:-1 gene:Et_9A_061773 transcript:Et_9A_061773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRLAPNRIAEIFEHLEALGIPSSDSRFVRAFVVMSSYSREAWLRKVALYRSLGVSEGELREAFKTQPTIMTLSEETIKRKLRFFLDELKLDLSVVMGRPVFLAYSLEKCILPRCAVLSVLMRQGKIKQDIDLFSALSGNSKRFIKKYVSSYAGNVPDVIEAYEDKIKFEGFQNQSLRASYQVCAVLIVMMREGKIESVINLLSALFGNFKLLSKRYAFESTGTGERCPQSPDFHYKMVHLAS >Et_3A_023347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21792677:21793874:-1 gene:Et_3A_023347 transcript:Et_3A_023347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYCDIFRGEWVPDREAPYYNHKTCQFIQEHQNCLKYGRPDLGFLKWRWRPSGCELPRFDPVQFLQFVRDKSLAFVGDSLARNHMQSLLCLLSQVAYPKDISANPTTQNKVYHYRAYNFTISMFWSPFLHTGHWSLYLDEPDETWVSQISRFDYVLVSAANWFSRPSLFYEKRRLIGCSFCSRQYGVPDLTLYYSQRRAWRVALRAINEQERLRGRVIVRMLSPMSHFENGTWDQGGDCKRTQPLRANQTAMEGRDLHFYNAQMEEFRAAAKAAQEKGRRLMLMDATAAMLMRPDGHPSRYGHWPNEKVQLYNDCIHWCLPGPIDFWNDMLFQMILA >Et_1B_010628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12226298:12286429:1 gene:Et_1B_010628 transcript:Et_1B_010628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKLLPLVRRPLPDRTLNPNSARFLSPPAPAEDMLLQAAHDGNLRLFKSNPAAPSSSASRSRYRLWIWLVFFGFLPFLLSEWGRLLLAEFARALDKGRGPLRETVEAARVEGVGALDLAARNGHLEVCRYLVEELGMDVNAVNDTGTPLLVSAVLSENATVIKYLLDHGADPDKADNDGFSPLHMAAGIGDCEIVELLLANGAYVDPLSVECGTPLHIAAKERQDGTMKILLDHNADDVQSLWDLWDDTPRTGYKLFISEMLGADINSDCILSALRGSINGDNCDTECLNILLEAVAKQNVTDDDEHADERKIAYLKSLGTEAFEKKKYCFASLLYSKAIDLDPDDATLFSNRSLCWLRLGDGEKALQDALKCREMRPDWPKACYRQGASLMLLEVDYESACQTLLDGLKLDPEKLVPALDKGKGRLREAVEAVRTNEGVGVLQLAAGNEQMEVCSYLVEGLRVDVNAADNKGRTALVYAVISENATVVKYLLDHGADPDQADDEGLAPLHSAAGIGDCEMIELLLAKGAYVEPLANECGTPLHLAVKEKQTGAVKILLDHNADCNKTYMMLGQYGMTPLFQAVNVSSVECVKLLVEAGADVSSDCMATVSLDSAMGNNGSTECLNFLLEAGANHNVPDDDEHGAKGKIAQLKSLGRKAIERNNYFHASTFYTGAFVGFARGMERRLCWMPLNVKRCGLTGQRPVIDRDYESASEASFEGFKLDPENAEIEHALREAMESLKMSKVFPRRAADRGKMRSMLLQAAFHGHHRAFNSTRFPLYLNNPVFRRADFGGIRLSSVAGLARALDKGRGRLRETVEAVTEEDEEIKGVGVLHLAAGNGKRDMCAYLVEGVRMNIDVVDGAGRTPLIHAIYGEQVDIVKYLLEHGANKDKVDHEGFAPLHSAAGLGYCEIVELLLARGAYTDPVTCCGTPLHIAATEGQYRTIKILLDHKADYNKKVNGMTPLYFAINAASVNCVKLLVEAGAVANGDCFVTSLMDAPKNDSSECLNCFLAVADGWQAPNDNEPVNQNKIAELKSQGKEAVGTKDFLSAAELYSKALGLDPEDVTLFSNRSLCWFHLGKPLLSLLDALECIKKRPDWTKAFYRQSKALMLLKDYKGADDALKLALRLDPGNDEIKRLRGRRPHPATKPSAIAMAPPPPLPAPARPPPDPVPLRPEQELLLEAAGDGDLVLFKRLAKLLDGGRGRITEEVEAVVECTAGALPFAAGHGELERCRYLVEELRVDFNAIHDYGESPLAYAINGEHIATVRYLLNHGANPDKADDKGFTSLHIASEEGYCNIAELLLSKGASVDALSNRGTPLHLAATNGHHQTVKILLNHNADAGADVNEVGNITPLVASVAEGLTECMKCLLGAGADPNVPDEFGRMPIEFAAIRGAREEVAILFPLTSRIPAVRDWSVNGIICHAMSLPGQKVYENGLEEDVAKLKLQASKAIERKDYLAAIELYTKAMGLNCDDATLFSNRSLCFLKMGEGKKAFADAYTCKMRRPDWPKAYYRQGAALLLLKDYEKACDALLDGFKMYPGNAEIETALREAMDSLRISRSGSGAPSRRLLRAAADGDLRRFKSIASALDGGKGRIREAVEAVRDRGAGELHYAARCGRIPVCAYLIEELHVDVDTVDDSGDTPLICAVRGCARGCTVDTVRYLLDHGADPNKPGEQGCTALHVAAAAGMCEMIQVLLSKGADVDSFTYSGRPLLVAIVGKHDAATKVLLDNHADCNKALSIHYTPLIAALYVRSLKCVKLLIKAGADVNGVGHLTPLIIAANEGLTDFYKCLLEAGADPDARDDGGQLPIEIAAQNDRRKDVEILFPVTSRVPYVRDWSVNGILAYVRSAPKEEDHPLYKMGPAFLKSEGSKAYKRKDYVSAVNFYSMAIKLDPKDITLRSNKCLCWINLGEGDKALEEAEFCRAMRPDWPKACYRQGAAHMLLKNYEKACDAFLDGLKMDPGNSEIESSGAQQRRLLQAADDGNLHLFKRSSASPSPWIFFAWLAFLARPCADFLGFDWDILHRASGVAKALDGEKGRIREAVEAVKDRGVGALHVAAGRGRMPVCAYLVEELQVDVNATTIWICLALYFQIANYTCSSFDYSIGDTPLSYAVRAGIVDTVKYLLDHGAESDKPVGEGCTSLHLAVGEGSPSVHAYLKFLILFLFELLMFIICNTGKCEIVELLLAKGADVNVLSNLGTPLHVAAMENQGAAMKILLDHQADCNKECFLFSSPLYTALEARSLNCVKLLIKAGVDVMKGVGDINPLLLAASKGLTDFYETLLEAGADPDVRDEYSGLLPIEIAAIENRRKDVEILFRVTSRIPYVHDWSIDEILLHVKSRPTDEKMKLADLKVEGSKAFKRKDYSTAAIMNGASFGDTTLFSNRRLCWIKMGEGDKALIDAEACRSMQPEWPKACYRQGAAHMFLKNYEKAYDAFLDGLKLDPTDVEIENALWEALNSLKISRAAKKLPESSSAVKET >Et_8A_056421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:89313:92032:1 gene:Et_8A_056421 transcript:Et_8A_056421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEREASSSSWSSWRCASDAGCQCCCSPLPAACRSVAFAAILVAVLLLIHPLEAAAASSIQMHLDRINKPALRSIQSEDGDIIDCVARQEQHGLEHPLLKGHTIQAEPPRVPAPAANRTIGRRRRRRGAWQTWHHGGHCPRGTVAIRRTTADDVLRASSVARFGRKKTHAARAANAPDVVTGNGHEHAIAYTAAGGSAVYGAKATINVWDPSIQESNGFSLSQLQVSPELYGDSRPRLFTYWTSDAYEATGCYNALCPGFVQTSSRIAIGASISPVSSVAGAQYDVTLLVWKDPKVGNWWLSYGDQLVGYWPAQLFTHLSDHATMVEWGGEVVDTRPDGVHTATQMGSGRFAGEGFGRASYFRNLETVDADNSLVQVPLDALQTLAENAGCYDIRKAYDDADHAAGWGTHFYYGGPGHNPACP >Et_4B_038013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25460332:25460975:-1 gene:Et_4B_038013 transcript:Et_4B_038013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRVAAALLLALAACLASFPATTTANKITINWKPNVNYSDWLEQHKPFYKGDWLVFYYTAGQADVVQVDETGYNKCDSSHAIYNYSKGRNFAFELNETKTYYFICSYGYCYGGMRLAIKAEKLPPPSPPPSRDKSGAAAGLAAFARAHAPVLYAAVAVLAALLRMV >Et_5A_040302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12122053:12122577:-1 gene:Et_5A_040302 transcript:Et_5A_040302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAASSVLFLVLAVFAAGASAATFSIQNNCPYPVWPAATPVGGGTQLNTGETWNLDVPAGTPSGRIWLSGKPPLTLAEFTIGNGQDFYDISVIDGFNVPLSFSCSNGPNLVCQADKCPDAYLFPSDDQKNHACNGDNNSYQVTFCP >Et_2A_017369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33675443:33676790:1 gene:Et_2A_017369 transcript:Et_2A_017369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITLSTASYKICRFSEKPNGGMFALLGLQVLLEYGRTDAARPPVTAALLVANSLVYLRLGPLDDILPMNCDVSFNPYRIIEGGQWSRLLSSPFVHAHEPHIFFNMTSLLWLGSELEPSMGSARFAAMVAALFGLSQGITLLLCKGLYFLGDGTEYFVHHAVGFSGVVWTACCPDDTMSSVMVMPAKYIIWAELFLTKTMVPQSSFIGHLGGILAGYAYLWLNRLFGGPDPPTGRFAPRSMGDRRASARKTPPPGLWRCSSCACDNLLSTNICGTCSTAREDLAFTRRRLEPPSSRDAS >Et_3B_030351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32361589:32365245:-1 gene:Et_3B_030351 transcript:Et_3B_030351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGYAKYRVAVIGSGNWGSVASRLIASNTAKLPSFYDEVRMWVFEEMLPTGKKLSESINQENENCKYLSGIKLGSNVIADPDLESAVKDANMLVFVTPHQFVEGICKKLVGKLRPGAEAISLIKGMEVKMEGPCMISKLIADALEINCCIAVEKFSEATIGYRKDKEAATRWAKLFTTPYFLVSVVEDIEGVELCGTLKNVVAIAAGLVDGLDMGNNTKAAIMRIGLREMRAFSKLLFPSVRDNTFFESCGVADLITTCLGGRNRRVAEAFARNGGKRSFDELEAEMLHGQKLQGVSTAREVYEVLTYRGWQELFPLLSTVHEICIGQLPPTSIVEYSEHTPNLSIIGVLQTRDVVNSFGLKLYPGQNRA >Et_1A_009106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29355096:29355892:-1 gene:Et_1A_009106 transcript:Et_1A_009106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLPRNLVAETLPPSGAKLFVALARSWVRRVLVENLREAEETPSNVLEAFQYTMFCSCARAHVIERLDEPAVRAIKDAERAWLVYKAPVPAAAVAAEGARHAADQRAAGVQETGQRRTGAADDGTDVPALVRGHAAGYQAPSRGRPRAHGRRDVQVSNLCSEFLSAGTESTDTTAASLQWIVTELVNHEHGRSGEAAQRDPASAPSRALPAAAPGGGGHRGRAEWPKPMADFVPETFMPGGDGEGVDVTGSKEWRRSA >Et_3B_030116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30588015:30591128:-1 gene:Et_3B_030116 transcript:Et_3B_030116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGWLHRSGADADAASSSAASASSCGSASRASRKGIRLRLRRRRHEPAPAAARAGGGSTGAGVQDDLALPLGMSFAAVLSQVVNTKNRSGERLQPALLSKICTSAVKESLKNIYGDKLDSFIRNFEKSFSSTLTTLHLVNEMPVYEQNPVSQCSSTHLEHVAASKLSTGGPQNRTQEISQDLCSSVESQLVLYAEGNQQMTHRSRSSLGADQRILNAFERSLKEQARSNELKEFEIGLNMRKLQLKQSQLELSSYSHMLEKVKVSFGFQKAAFQGEKFKTQMQDTRHAQILRNLIDFLVSAVIIMSACFGYGTYIYSYQRITDVTAACSVASKGSKSWWMPNTVSNFNSGLLFIRCHLIAATRMCFGIIMILTIAWLAFQRSAVTGTSMPVTFNFILLGVICGFAGRFCTNTLGGNGNIWLIYWEILCSIHLLGNCYPSVLYRILHGPIVVPHSKEVVWFPYWIRRCIFYAVVGFIIPALTGLMPFASLSDWSNHFTEELKSIFVGEKIEA >Et_4A_033621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24987376:24988153:-1 gene:Et_4A_033621 transcript:Et_4A_033621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSGDDSPHGSSSDLMIVAAIASLAEENGSSQAAIARRIEADARNDLPASHPALVAAHLTRMSAVGELVAFAGGKYALPPPPPPPPAPESPAEEDDDCADEDVTEPEPVAPPAKRGRGRPPKVRPPGFPAGPVGAPGAVASPPAVPRRRGRPPKPRDPHAPPKIPRPRGRPRKNPLPEGMVAPRPRPSAPTTAKDRPQFAEVGFV >Et_7A_051152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1562118:1563610:1 gene:Et_7A_051152 transcript:Et_7A_051152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCFLPANMASQAQVPRVSPPSSHALPVINLGRLTKNPATRALVIQDIARACREWGCFQPVRYDTSSRDGISKARSFLKHYANPLEDWVQFWPMHPPTYREKIGLYAVEIQRVSMQLMDAIMQGLGLRPLYLQEELEKGVQFLALNNYPQFSHQDDKVGLAPHSDYGFLTILLQSSPGLEVMPHDYNTWMAVPAIPGALHVHIGDHLEVLSNGQLKSLVHRAVLNPNEARISIASIHGLSMDEKVHCAEELVDEHHPELYRGSSFHDFLNFLPSNIEYKRFIESLRIDGA >Et_7A_051512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19836596:19844901:-1 gene:Et_7A_051512 transcript:Et_7A_051512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIKHGNKKHFKCLFDHFDRGGWIKWAKQTHIFQSKRWIPFSSRQRKNHLENAPNPFVGPCIVSDPNLPGASPLRGKPRTWILYEPMDRDKSLLLAMTSSFITSSFPYPSPLFDLTHQMALSSYLGMDKVGKTDSHFSIEKMDSFSSRQRKNHLENAPNPFVGPCIVSDPNLPGASPP >Et_4B_039799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:308506:309661:-1 gene:Et_4B_039799 transcript:Et_4B_039799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISRHFRACLDLQVLATVVVAMLLRPSAAQPSPGYYPSAMIKSMAFSEGYTNLWGSQHQSLSQDQKGLTLLMDRSSGSGFKSKRSYRNGYFGVSIKVQPGYTAGVNTAFYVSPLTGVLSNNELYPGNHDEIDMELLGTVPGEPYTLQTNVYVRGSGDGPHLVGREMRFHLWFDPTAEFHHYAILWNPDQIVFLVDDVPVRRYPRRTAFPDRQMWAYGSIWDASDWATDGGRYRADYRYQPFVARFQGFRIAGCQAGAPAGCRPVAASAAGTMELSAQQRDAMRWAQQRSMVYYYCQDRTKDHALYPEC >Et_3B_029230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23229315:23236500:1 gene:Et_3B_029230 transcript:Et_3B_029230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGMDIDDESSGALGQMDDLSMFSLNSQVIMLVLYYVPNCCLQENYAFENESCGICGDIIIDRGVLDCCQHWFCYTCIDNWAAITNRCPLCKSEFQHITDDDDWYLQGESSALSFPSYYIDAEAVVCLDDGDCKVRSGLAAAKGDSTLDTSIACDACDKWYHALCVGFNPDIPTGNSWLCPRCMPTEVKQEADVIFKQKFSEECVSGSDRTSTDASFSGRVSVSVADEGETALVVSMVDIHPEIKGGLSEVGLKTAREAFNGTSCPSDSKDDLTHDTVADASPLRNSDVSSRSHSKSSEMNIFCTVSSETTERSLQFSPIRESATTLFSSEQGNMSNEQFGMPKLVSSYSFAENIKEAENRGQENAVHKHNNEISITSSPLASSPDAVQHTKTSQKLELPLRHDEPKSSDSKTNKRIGSGNEVSHPAKKAKLEEQEQERNLIGNSSVTHSHATVSAKASFDDMPDSSKHNSVSAKATFQDILSIVEGDDYGRDIGREQAKPVGRRAGDKSGLRVKKIFRKEGKESSDVVQKLQQEIREVARDTGTNILESDGSFDEKLLKAFRAAIRKPVDGSDKNTKLSLIRAKRALLQKGRKRENLTKKLYGTSTGRRRSDWHRDMDVEFWKYRCSPGVNPEKIETLQSVLQLLRKSSEMDKESAHGKKEEKSNSILSRLYLADASVVPRKDDIKPLSVLSGCAPFDKDSQIKVNNIKSPNKSATGTEATRINSPISGKVSSSSTLNKEATSRRDNRISQPSQDQKQNADDVKHDKRKWALEILARKNASFAQLPADMRPQPTAGCNSKIPLSVRQAQLRRIAEHYLQKANLDVIRRCADTELAIADAVNVEKDIYERSNSKLVYVNLCSQAARQPTKAKSDNEASDLAQKTESGCDLTPQTVTSEITKVSGGDMEDVVNRAFVSDEKSELGDDIVPEPTVSKHTFSFSSAEEALKEAGLFDSPPNSPEKKAMEAEGNSNLSIPGSRLTDVSSLEDNNSTVMSCGKPKANSEEHQKSTPGRETNDATSETNAVNLVEADRNTVHCEKTSGPGTEISVESNVPDGIGPVNNSRDMEKAESRLPSQSPHGNDSARDGEVISKPKNLEPRREKSSSDNQSLNIKHPEGDKPSHRAVRGGDSKKPIPDQSKKNSSDSIYKKVEVFVKEHIRPLCKSGVITVDQYRWAVTKTTDKVMNFHQDAKNANFLIKEGDKVKKLALQYVEAAQQKVN >Et_8B_059119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14017327:14018085:-1 gene:Et_8B_059119 transcript:Et_8B_059119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLAARRDQRADFVALFLGRCRRLHRLMANRFPELGMTRSDCQEMSWVQSTVFFAFDTASMPLSSLLDRRGGAYYFKAKSDHVQVPIPRHVQDRVWSVVREARGYAGHARPLWRPDEQHRAVRDAVPAPQGEPLPVPVLLVLNGTAALERRMSWVRGLYKEMEPYVSKNPRAVYVNYRDLDLGTNQLDANNVTSYAKAKVWGEKYFKGNFKRLAAVKSKVDPQDFFRNEQSIPPLPAAKR >Et_1A_005359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37584:39788:1 gene:Et_1A_005359 transcript:Et_1A_005359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENWIPELRHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPISTAQGEELRKLIGAAAYIECSSKTQQNIKAVFDAAIKVVLQPPKQKKKKRKAQKGCTIL >Et_8B_059258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15970408:15974762:1 gene:Et_8B_059258 transcript:Et_8B_059258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLVATAAASALPLPRSPAATTARLLGTAPPRALSSASASTSSSPPRRNAPACRLRRLCSSSAATAAAVEEARSGRKQLGMTPQLYEYLLANVREHPVLRELREETAAMRGSQMQVSPAQAQLLAMLVQILGARRCIEVGVFTGYSSLAVALALPESGRLVACERDERCLEIARKYYERAGVAHKIDVKHALAVDSLRSLLDCGEASSYDFAFVDADKRMYEEYFEILLKLVRVGGLIVMDNVLWYGRVADPVVDDQKTISIRNFNKKVLEDKRVDTSMVPIGDGMTICRKLSDKQLQQIQLKNTAAMISIDDARTLALH >Et_6A_047636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8904601:8906855:-1 gene:Et_6A_047636 transcript:Et_6A_047636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLELQAAFPWKQLLACAVGLVWCVVRTLEWAWWRPRRLERALRSQGLCGTAYRSPAGDAQLAERLDAVARSRPLPLGCHDVLPRALPLYHQTMKEHGKTSITWFGPVPRVTITKPELVREVLLNKFGHIGKVKVGGLERRLHNGLGNHEGEKWAKHRRIINPAFHLEKLKRMLPAFAACCTDLLKSWEGLVRDGEPCEVDVWPEMRNLAGDVISRAAFGSCYLEGRRIFQLQGEQAELVVHAMNRMHIPGYLLLPTRTNRRMKQIASEIEALLKGIIAKRENTLRDGNATSDDLLGLLLESNLEHCRDVGRGNPKAGITTDDVIGECKLFYFAGMETASALLTWTMVVLSMHPEWQDQAREEVLRVFGVDGTPDYDGISRLKIVTMVLYEVLRLYTPLTTLQRMTYKPTELGGVRYPAGVVLMLPLLCVHHDRDVWGPDASEFRPERFADGVSKASKVDAPAFFPFGWGPRICVGQSFALLEAKMGLAMILQRFAFQLSPAYTHAPFSIGLLQPEHGAQVMLRRLP >Et_2A_018463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28872929:28873227:-1 gene:Et_2A_018463 transcript:Et_2A_018463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSGFSEEALSPKKCTSVEPAKRDEPAKISASLPKKSAPVVASMAMMVKMEAKKRKLREGY >Et_6A_046740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2016795:2020234:1 gene:Et_6A_046740 transcript:Et_6A_046740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLMISVHRMVLLGRIRCPACVRMLFMPTLANRKEKLASVEPDGTDLIDDVRCTASGVPDGADGVAIENVGAGTPGVSGDEDGHVLKDVTLEKPVSKRRGSNPVAVTTVEIDVTRRGSSAKKGQIIEVVVPGGEVDALFLKCYTIAAHCYSQC >Et_3B_030598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5229434:5240175:-1 gene:Et_3B_030598 transcript:Et_3B_030598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTLLVILCLQAALVMGIFAAVAKENAVGESKAIDINPGQLKCCSNCNFSFSGLYTCDDIVAKKCDPVCKKCAVVQTYSGKKFKCTDTFLGICGPPTLLVILVLQAVLVMGIFAAVAKENAVGESKAIDINPGQLKCCSNCNFSFSGLFTCDDIGKKCDPVCKKCVAMKSSTLLVILVLQAVLVMGIFAAVAKENAVVGENKGNRETNNGQLKCCTNCNYSFSGLYTCDDIVKKCDPVCMVCSAVKTPAGKRFQCTDTFLGVCGPQCKKKN >Et_1B_013727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14298231:14299045:1 gene:Et_1B_013727 transcript:Et_1B_013727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALPLWYLCSCPVLLQVPLQRKMTTTVPVPPNRTWVPLSHLHGSCSPSSSARAEPPSLAELLRQDQRRVDDIQMRLSGVADEKRKIKKHAAKCSSIQMNTGPVLDVNMGTSSTISQSQQNIRPAATGVGGGSSSVQ >Et_1B_011016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16661852:16665728:1 gene:Et_1B_011016 transcript:Et_1B_011016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTEAAVVSAALSGTLKILGNKLAPLLIKKYSAIVGVQSDLKELQDQVEEINYWLETVGDKAMGNAPSFNWLKKLKDVAYDVDDVVDEFQLKAERNETVGEGGVMPKYLFNKPKSFIFQCKVAIKIRAIKKRFAAIVRQRTEFSLIAGSLDVGCPGRPINMTTNEMPSLPIVNAATIIGRDQEKRQIISKLVENNDQERIKIVAIIGLGGSGKTTLAQLVFNDDSIIEKNFDIKLKTVAEAVGSTNQFDLPFLSPDDSWQLFKQTLVMPAKGWDFDFDVIGKEMVKKCGGVPLAIKVLAGALRGKELMGESEHLVPENIFEKARAIYVDKGDDIIFGKALKNAKHLRSITAESIYAAAVPPAIFQVKNLRYLKMSRLQCVALPELFQIFGVFKHFILLELPKSIGKLQKLRTLNMSGCKMLKHLPDSIVDCYMISSIDLCYCNEITFLPNSIGRNKNLRVLNLHGTKVKGLPSSMTALENLECLNLECCDELVELPERIGKLKKLGVLNLERCRGLRALPEDIGQLTRLWNLSTFIVGEGKKTARISKLGNLSRISGNQTITGIARVMNPNDAYKACLKQKTNLHRLRLYWGKTELGRGRGHMEEVNTEVEQVVFDGLEPPSEIKEIEIVGYGGERRYALWMLKQAGGRAHFPFLTQITLSGFPNLRHLKGLVELPCLEKLTLRWMGSLESISGGPFPSLVSLVMQGMDRLVKVWMVIGRALAGGEEEQMQIGTRLSYLHIDGCPNLIVKPHLPSSLEQLKLERSNKQLLQSPGHDQGSSSSSNYGPCFSRLKKLELWKMASSSSSSPPPPQMIASSSSPPPGLETGRGWELLQHMTALESLMIKDCDGLTQLPESIRSLTSLQILCLKDCSTIEILPKWLGELQSLQDMTIFVCHRLSSLPESIGRLTSLQVLRIEWCDELFQLPECLGELHSLRRFVISGLPVGLFGLPQSMRHLTSLQQIKICNCPGNLPEWIQDLTALQQLVILKCPGIRSLPEWVRRLTALQKLDIVSCPNLERRCERGKGEDWHLISHIPQLNIGYSPAGCEF >Et_10A_001210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22126524:22128293:-1 gene:Et_10A_001210 transcript:Et_10A_001210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGDLLTRTRKLVKGLAKPAPRWLKAMEEISGFDPPPARVFAWRVLELKEEGVSEDDAMAVADMEYRTEKKAKKKAYKELKEIARSEGKRPPPNPYPSAIKEIQAEEKKFVNDRLYNPKIIEIANKMKEERDQLLQDRGAPGQWQ >Et_6A_047744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1367969:1371709:-1 gene:Et_6A_047744 transcript:Et_6A_047744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFFHLGTLRTPRYTVSSDDILEYYNSEFSMENLKGEADNREQPPELKPLRSLAPMFPAPFGYDVETEPTNPIPSSSSEQPQQSPAPFVTRTPLPKSPVPLKATPISVVFPVPQHEDESHDEDYEPFPAQKKSKAMKPSKRTGMVGGSNEDNSKRRSIRRSLNKELVSCPSSSDDPSESAEAIMMMFDSLRRRLLQLDEKEDANKRADLKAGTLMMQNGLRINNLKVIGPVPGVEIGDIFFYRIEMWIIGLHAPVMAGIDYISAKHFGKDETLAISIVSSGGYENDDDDTDDLVYTGQGGNSRHKEKHDQKLERGNLALMNSMKKKNLIRVVRGTQDPFCNSGKIYIYDGLYRIEDSWMDKAKNGFSVFKYKLRREPGQRDGLSVWKMTEKWKQNPLTREKVIQRDLSSKIENLPVCLVNDADDEEGPSYFNYCSQNCRNRVSQKGVYLNFEVFWTGDRGWGLRSWDPIRAGTFICEYAGEVIDEIHRNVDAKEHEYAFQTSWLGDKVLRWNLGAELLEEASGNVTTEMLKKLPIIISAKDSGNVARNEKYCCQT >Et_6B_048545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10618923:10621941:1 gene:Et_6B_048545 transcript:Et_6B_048545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLHCQQHYQYTHDQSIRKGYLSDCHMDEECCEKKGGGQGTAKGQTIACGKFRLGRKDILILSYC >Et_9A_061222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22776314:22777279:-1 gene:Et_9A_061222 transcript:Et_9A_061222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIVGATGTGKTKLSIDAARELGGEVVNADKIQLYAGLDITTNKVPLADRRGVPHHLLGAVPATAASIAARRRVPVVAGGSNSLIHALLARRFDPASAGADPFAADPAALRSPCCLLWVHVDDALLAEYLDRRVDDMVGGGMVEELREYFASTTPAQRAAHAGLGKAIGVAELGDHFAGRTSFLAAIEDIKANTRDLAAAQVSKIQRMADAWGWPVQRLDASATVRARLAGAGPAAESESWERDVRGPGLAAIRSFLADQTTTLNADADDEMETEALLRLPPRTMQCCDVVG >Et_10B_003228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1838898:1841927:1 gene:Et_10B_003228 transcript:Et_10B_003228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADPLEDELQREVSHIMVRNYISGLHREFEMKLWEHRRSINRLNKNWEENASEIAVLRDELHSVLNVVMGPESGMYPPSHSCLEKHDDHNIWKVKDGSEPHVMEKATESSEVMLEIPDFSLLKHMPSEEITTFLKSEWLKLRRQHESELHENTEELFRMKREFAKVKSSLLLRKERELEFLKSKLVQTIAKLDEMALRKWNSYFEYNENDEMCRLKDRISSLLHENECLRGHLADKREEIKHLSSQVLDAKSQIAQHSLSEAKLWNLVEKLRDELEDLRIERQLNNLLDSSIFREVFGNYQNQISDMNREESFLKELLIEKDDQLNIIYEDRQKLKYENNQLVSIAGSTLMQHHEQVNLVNDELTMFREKVSEQELLILESKSESNSMKSCLYEALQQIHVCKEEIHGLTENLSYMSIALDEAKEQNASLDAIIREMKKTPAQCTGGHMGLTGPVEFDLANLEKLSKAYTDFESRLEETMKRNETRLTRVICQINPLVQQVAVLKKKEFWYQQILEIKCSNLQKAEAEVDILGDEVDTLLSVLGKIYIALDHYSPVLKHYPGVIDILRLAQKVLKGESI >Et_2B_018917.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1735485:1735807:1 gene:Et_2B_018917 transcript:Et_2B_018917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIGKTRGDTTATIFIIASYACLVLLFYCLRRFETAAPGSVARDRARLGVWLLTTLLTTMFSWRVAALMPWPGAAGIWLMAASTVLGGFYALFLPRAGG >Et_4A_033215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1987593:1994281:1 gene:Et_4A_033215 transcript:Et_4A_033215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAPVQQQEQPTVGEDAGSSGPRKQSSRALAVAGARPQVAGEKGPAAASIPSTTTEEAEAPLIPSATAAAAIPSTRISPDLLSIGICSSSLCAQAPYPIFTPPPHAQSNYWAVEPVQMDWYLGRISSSLSSSVITWSSDEERLREAVKKNPSDYNSWMVLINAVEADSTGLKTRKVYEEFLKNFPLCTSYWKKLADIVKGTSKDDALKVYDRATKTYAVYSVEFWENYCEFAQSFQKDPIAIRSYSLCLNKLFNKALDYVGPRYTSHRLWMSYICYEERMQDKKALARIYAKIFENTVQDLELFFERFCKSAAEMSCSDLIVWHERLPLDPSDFDNKPVSKPEVLEAREKSYIKAKEYEEEVLSFEQAISRSSSTHDLYCRYPVDDTEVAIWHSYIDHAERHGATTKVTALYQRCLTICSHRADLWIRYIEHLETNKLIEEATSALSSALLFIHEDGARQEFSFFSARYNERNDDVAGARQQYAELSSAGYHGLYEVLEAHTNFEHRVGNDNAACLVYKNAIEMPRDLSVQVLLVLYARYSIMVLQDMPKWKDILNQLLQFDLTKPIVNALIDLQYMCRDSKQHIILVSKIVKKYLSSDPTHGHGPDDKFEISSRFLKFSLKVPFNMISDETSRKLFLKRISAQPGLKSPVMKIILFRDIFLFFNDVCKRDADWFNNIFTLHTLCDSELPSFASIVNNDKLLKAICPHEENRQTSAKASRYVKLFRHLDAHGVDFLEVAG >Et_3B_031248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22637963:22638647:-1 gene:Et_3B_031248 transcript:Et_3B_031248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSKVIKVGPWGGRGGSPWDDGPHRGVRSITLAYGRFVEAMTVRSIAPSTHGGGTSRSRSEKVGLRLAKRARADRVARVRVMHLLRINSSNNERHGLDAAVVPVGSLTFSTSRGKVHGPFGHDEGTPFAYPMEGGVVVGFTGRSSWHVDALGLYVAALRPETLCDVVLRLRRRRRRGSARTPAAAVRGDGAAPGQEAVRVVLRMRRNEKCPVDFGRAIP >Et_6A_048010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3389222:3391205:-1 gene:Et_6A_048010 transcript:Et_6A_048010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGDDEELVDQKKYLEERCKPQCVKPLYEYERCVKRIEKDDTGHKHCTGQYFDYWSCIDKCVAPKLLKQLK >Et_3B_028260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12333161:12335406:-1 gene:Et_3B_028260 transcript:Et_3B_028260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FATSSSHHAFTRGNASNTDAYGILMRSARLTRSPPARYLCSASRASYTSNTCFNVPTLSAMILSSGVLPSAGTTRCWTNNACTAGSYRSASTSSQASTSAADSRRSSPPPFPRRLLVPSAAVVYFLSTYRQIARDSENTSFVDELLVWSSSLHRHEKELVDDGRNGRGVLVRFHV >Et_1A_008030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40238186:40239465:1 gene:Et_1A_008030 transcript:Et_1A_008030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQIELLSRLNHCHVVPLLGYCSESQGRQLERLLVFECMSNGNLRDCLDLKQGRKAMDWQTRVSVALGAARGLEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKCLMNDGVTSCSSSPARMLGTFGYFAPEYAIVGKASLKSDVFSFGVVILELITGRPPIHKSASTRTDESLVIWATSRLRDSRLVVTELPDPTLQGKFPAEEMQIMAHLARECLQWDPESRPTMTEVVQILSTIAPLHGAKRRNLPMAFNLTTPPHVGRYEPDADDIERQQECSSTVQWKAPPPGRASWPGDRGNAANKGAGAVVSGELVNGMLLMSPHGRSNWRPPPADEEEAVDLTEPRLERFTQPATFR >Et_1B_013975.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2654849:2655817:-1 gene:Et_1B_013975 transcript:Et_1B_013975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAQYGARHRTCRMYWCYQCGRAIRIISYPTTDVFCPRCFGRFLHEIDPPARPAPPPPHFLPHPFHPQYQQYDGHPRRWLIYGGAPTTVPGRAFRQPPPAAAPSPAPAPRRRVPSPPPPPVAQRPSTPPAIDPGDYFTGPNLNGLIDELTQNDLPGPAPAPSSAIDSLPTVRITGAHLSDGSQCPVCKEDFELGEAARQMPCKHVYHSDCIVPWLRLHNSCPVCRYQLPGGASNAASRGGSGGNYRNQERVRSVREPPTTVRWGPFSWLWPPRGLDDPEDEWEHGRHRRQEAADAGGNDITALQSFALVATCVFIFSFLV >Et_1B_012075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28785894:28787591:1 gene:Et_1B_012075 transcript:Et_1B_012075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAGVSDAAIAVRDKLRGKIGQTKVKRYWPGKAPEWADDAEEDLDIRTARVSLDKAFPKDEDGDVPAKDDRRLRRLAETRAENKEELRADHRRIRQAEIVSTVEEENEREEADIDEEDEDAQEERRRRIRERQLLREQEEELLPQEEEELVDEEDEDEESEYETDSEDEQMGIAMVKPVFIPKSQRDTIAERERLEEEERQLEELVKKRLEARKIETKQIVVEEIRKEEYIEKALNEEASIEDVDTDDELNEAEEYEAWKNREIARIKRDREERDARLKEKEEIEKVRNMTEEERREWERKNPKQVRQTKQKWKFMQKYYHKGAFFQEGSDDVIQSAGKDDIYARDFSEPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNTPWATNDPLRAKYNAKMAGMNRPIAKPKGSKKLKDWEAK >Et_5B_043847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16726991:16738124:-1 gene:Et_5B_043847 transcript:Et_5B_043847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFKSFTLRAPKLRLLCWYNQFTERVHIDVGKPGSVKVGKIEFMSVCFSEMKYWDQMMQMLQGLLPNVPSESVPDIGIQRMFFIAMMDTQHKSQGQHVLDQTIDSQNCGSSFRDAQARFHLQKACPLTPRLGTFFPSHQPSDGIRDVGPVHLLPHRQPNTPLVCHVPRGHRLVGEARLREHGHPRAYGLHRRVPAAVRPEAADGRVRQDLSLRRPRYELPFVPLIRQQRWRPLLVAQEAGAEDPEEGHAAAGEPRGHLVEHGAVDARHAAQADVQHGARRVRVQPRQAPAVRRVQAVLRRRRRQHVQRPDGERRARGELAADLGDLGALDGVERVDHHPRRRRAHGVGDEGDEPEHRVLVAAGDADQVAHAERLQARHRLVQPPLLVSDGDVAGVAQPRRGEHAEERGGAVRAHGERRDAGALGRAQHRVQLPVHHHGADRPPPVQEQQLPEGRLERRLAGGVEPHHLLHHVGVVGDLAGKRLADGDEGELRVARGVRGVEVGVKDGDGEPPRPEEAGELKHGGDVAAEGEREHHHAADGGRSSVAAGHRCHAAP >Et_10A_001799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8199258:8200761:-1 gene:Et_10A_001799 transcript:Et_10A_001799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SHITTILKELEGCLGVLQRHIHLYTAALALSAEGEKCREAKAMATKIQGTTTKCTACDKTVYLVDKLTADNRIYHKACFRCHHCKGTLKLANYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKVVKPEKTVENENAIKVSSAFAGTREICVGCSKKVYPIERVTVNGTMYHKSCFKCCHGGCTISPSNYIAHEGKLYCKHHHIQLIKEKGNFSQLENDHEKASQAGSLEDEESDY >Et_10B_003590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3549616:3555522:-1 gene:Et_10B_003590 transcript:Et_10B_003590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALATSVGINLALTLLLAGAYTLLRRRPAYVEVYSPRRPYAPPEPWLPAAWRHSEEDIHAAAGLDGVVFVRIFVFSIRVFTAAVVLGVGVLMPVNFLGDQLRDIDFSDLPNKSVELFSISNVQDGSKKLWLHFSAVYILTGIACYLLHYEYKYISGKRLDYFMTSKPLPQHFTVLVRAIPVSEGVSVSDAVDKFFKEYHPSTYLSHTAVHQTGKLRRLLNDAESICTKITNLKYVPSSSGDAQSKFLGLFGRNDQRGKYQKRLEDLEENVRMEQSDATRRQEIPAAFVSFKSRYGAANAIYIRQSDNPTEWQTEHAPDPHDVYWPFFSTSFMERWISKFVVFVASILLILVFLLVVAFVQGLNNLEQLEKWFPFLKNILEIAVVSQLVTGYLPSAILLLLSSYVPSVMKLFSTMQGFISVSGIERSACNKMLRFTIWTVFFANVLTGSALNQIEIFFDPKEIPARLAVVVPAQAPFFIAYVVTSWTSITSELTQTAALFFHVWGKCAKCCKRDDSKARSMPYHSEIPRMLLFGLLGLTYFIVAPLILPFVLVYFCLGYFIFRNQLFNVYTPKYDTGGRFWPIVHSTTIFSLVLLHIIAIGVFGLKKLPLASSLLVPLPVLTLLFNEFCRNRFLPIFEAYSSESLMKKDREEQSKPEMTEFFSNLVTAYCDPALKPIQRSSDSDERTAPLLSST >Et_1A_006891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28736244:28738151:-1 gene:Et_1A_006891 transcript:Et_1A_006891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLASSVALVLATVLGLLCVVALSEDDLLENLRFVRRAQDAPLVSHYNYIVIGGGTAGCPLAATLSEHSRVLLLERGGLPYRNMSNQQHFTDALADTSLASPAQRFISEDGVVNARARVLGGGSCLNAGFYTRASADYVQAAGWDARLVNSSYRWVERALVFRPDVPPWQAALRDALLEAGVTPDNGFTFDHVTGTKIGGTIFDNNGERHTAADFLRHARPGGLTVLLYATVSRVLFRQQEGVPYPVAYGVVFADPVGVQHRVYLRDGAKDEVILSAGTLGSPQLLMLSGVGPQAHLEAHGIQTLVDQPMVGQGVADNPMNSVFIPSPVPVGLSLVQVVGITKSGSFIEGVSGSEFGIPVSEGARRLARNFGLFSPQTGQLGTLPPRQRTPEALQRAAEAMRRLDRRAFRGGFILEKILGPVSSGHIELRSVDPRANPAVTFNYFQDKEDLDRCVHGIETIEKVIQSRAFANFTYANASVESIFSDSANFPVNLLPRHVNDSRTPQQYCKDTVMTIWHYHGGCQVGAVVDDDYRVFGVQRLRVIDSSTFKYSPGTNPQATVMMLGRYMGVKIQAERWKK >Et_4B_036166.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3517053:3517748:1 gene:Et_4B_036166 transcript:Et_4B_036166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAGSGRASIFHRILLSVLLLATLCESDPDLLLDYCVADTSAAASFHLNGLPCLDPASARAEHFATSALSRATNPGATLFGFNVTSTSPGASLPGANAQGLSMARIDLAPGGVAPPHSHPRASEAAVVLAGSVLVGFADTSYRLYTQLLRAGEAFVFPRAMVHFMYNEDAAAPAVVLSGLNSQNPGAQLVPFSAFRTEPRVPDEVLKKAFKINGQDLQRIQRNLAGSSS >Et_5A_042798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4574179:4583823:-1 gene:Et_5A_042798 transcript:Et_5A_042798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLKAFSSPLHLPTLRRLNRSSASALRVAAAASMSSSSSSAVETPIEHIVLIKVRPESAASGAAAAMVSALQALSTQVPGLAYIHAGPVLRLRSPAAEALGPTHLLHSRYAAKPDLAAYATHPAHVAAVQGHVLPNALDTTAVDWVNVAPAASPVAPGSAVRLTLAKAKEGVEPAQLVEAVAAATKAAAEARGAKVSFGENFSPARAKGYQFGMVAVFDSVEELDAVEGDGKVEEAKAAVRPLLDEVLVLDFVTGPAGEGSTPASLHDTSVIRFRHEEFNQRIHARVVRSTVERHWLIG >Et_1A_004741.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35847833:35849016:-1 gene:Et_1A_004741 transcript:Et_1A_004741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARGVLDQSVMRRLQSKLMALMMGGDPGVSAFPEGDNIFNWVGTIAGSAGTAYEGTSYRLELGFTADYPYKPPKVRFDTPCFHPQRRRPRQHLPRHPPGQVVLGLRRPHHPPLHPEPSRRYESNRLADTVASIHILLFLFLIDLFCCRTRRAEQRLTTQHAGGRALGESGRYVKLDSFLLVNAVDWFLLDLKI >Et_7A_051416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18140138:18143878:-1 gene:Et_7A_051416 transcript:Et_7A_051416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLKLLLSPPIERPRITEELAGCFDAADVGLALVVSPFGKIWRVEIEREGEEAFLGRGWAEFLAAHGIDVAWFVALRHEGGGALTVKAFDASLCIREFGVPAADVANKNSKGVSCKPQFIKVMHQDSMEKMMIPAKFVKQYVIGEYLNSPTAVVVSPQGKFWQVKVENDESGMFFSGGWPSFLAFHGISEGDILLMRYEGNMVFKFKAFDLHGSLKDLNDQGTRIKQNIGRQQEGHFCFPSRKRECNSENPSCEENKRSKGSTITLKKASTQKEPDYQTGPPSWIKTEITNSRLKHKMSLSSKFCSDIGFQKSCTITLKTNIDSTRSWKVHGLAYNDARYLGDGWKKFCQDNRLKEGDVCTFNIVKTMLWQVTITRSSTDNQMQQKSRPPHSDMECMNKNDSSSIEELKGPNGSGTNLKKASYTRSVYEIGPPSWIQKEMTTASLQKNIYLAQAFFVGIGLQVPCIITLKTFIHSSKFWQVHVIKKNGSYQLGEDWWRFCQDNRLKEGDICTFKVVETMLWQVIQHEIRETSPNISPSWRPVKS >Et_3A_027090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32524836:32529227:1 gene:Et_3A_027090 transcript:Et_3A_027090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPGSARRIPKKRRTSTVKSIVQLPDLNSPPVEANGAGIPSSSMAVLHSQASTSVPPAADVPQIGIQSFPIDVEAIEDDVMIYPSRSFPQTRQRSTRAERVTVIIDDEPETNPEPTGDVLDEHVNTLLSLGINRRHVPPRASNNCPVINLLDTPEVRTVRYTYPDTGA >Et_3A_026908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25775334:25778023:-1 gene:Et_3A_026908 transcript:Et_3A_026908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMSAATLRPSKPSHSLRRLVLSLATPLGSLSLFLLAAAAVFLYSQTAARPYGSSGAAPPLFSPTVESIDGARVIWELPSVGPARAVLFIAHGCRCRPENFWPRSPRCPKCVGLPEDVTITDRALERRFAVLAVASAGECWSLGSEVIAAKSVIQSWAAKNGLEGLPVVALGASSGGYFVSKLAAKMRLASVVIMIAEGVFGRSAAAAPRAYPPTMFFHMPKDKRRAALVDRNSKMLMKNGVEVKELQSLELPLTPTLLSERIHGLDRGLSERIWNAFKEETFIDEKGYMREDGRATPWKNALVKRGFWEEVSPWADHIQEELNLAYGYHEMTSTGLGCAMHTSEFRLQLR >Et_4B_039502.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:19506386:19507084:1 gene:Et_4B_039502 transcript:Et_4B_039502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAFASSARAVVAVATALLLALFCGAGRCAAAARPLGPQEAATSAFALSPAGTGQRLAAASGYDDDAAARAGKWLPFAAGAGVAHHLPAAFWAHRQMPWVGVGVAGAGAGHELGSGGAMDGGEEELVRDRERERSYEGGESETASRQRQEQLAMWASLLNPKGKRRPAAAGWLPAPGIGEAADEEPAKAAAADGAAAAGVEGAEGEDPAAAAGGVQVGQAKPGFYWGNGGN >Et_10A_000992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:252899:253950:1 gene:Et_10A_000992 transcript:Et_10A_000992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGRSSRGRSSYRSTPRAKAPAPAAAPKAAPAPAAQSGGGGGSILGNLGSSIVDAWVWGSTVSMAHRAMDAIMGPRTFQVDHTTSQLPPAAASAAGSEPSNACDVHNLAFQDCINHNGSDISKCQFYIDILNDYRCRGQTAVVETYG >Et_8B_060133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6283546:6287837:1 gene:Et_8B_060133 transcript:Et_8B_060133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEATIIVLEWAMAELLRNKAAMHKLQLEDSPTMIIREQDLQQPGRMEYLRAVVKETLRLHTPGPLLLPRESMRAARLGPYDVPAKTMVIVNAWAIARDPEAREVVGGEEVDFRGRHFQLIPFGAGRRMCPGVNLAMSVVELALANLVARFDWALPEGELELDMEETPACTSRKRAPLRAVATEHRRRAGVYVPTHGRFNYAARLIAAVAATLPGVRSAPAFVADTLRAGLGERFSRRDEFPKGVGDKPDDVHRRHVPVVVKAHVRNPELCCCCPDDTVANKDLIDVLLRMQKEGGLDFALDMGTVKSRHRGASLLPLAYDICFRNPAYYTNSNK >Et_2A_015665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16697065:16707266:-1 gene:Et_2A_015665 transcript:Et_2A_015665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRAWRASSNLFGFTAARSTRPLRLHTRCCSAAAATPKSPSQPPQDRRRRSASSSTTTSDRDSIRAIRLKKVEELRGKGYEPYAYKWDRTHTTKELQEEYVHLENGEVCEEAAVSIAGRIVARRAFGKLVFMTVRDDTGTIQLYCEKDSLSEDQFEQLKLFIDIGDILGASGSIKKTEKGELSVYVKRFEILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPEVADVFRTRAKVVSEIRKTMESFGFIEVETPVLQGAAGGAEARPFITYHNSLQRDLYLRIATELHLKRMLVFETVVESTLVQPTFVLDYPVEISPLAKPHRSRAGLTERFELFICGREIGNAFSELTDPIDQRSRFENQIKQHDAKRATMAKGVKKSSEGQGDEDDYSYEVALDEDFLTSLEYGMPPASGMGLGIDRLVMLLTNAASIRDASRAWSSFSGKILRMMLMLFPAVVGLGAGFFSASSLRKRLMDFPAVVGRGAGLISDASSRNRRRDFPAVVGRGAD >Et_5A_041782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2828187:2833729:1 gene:Et_5A_041782 transcript:Et_5A_041782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQQQLAAVVIALLALFGPAACANSDACLSQWRKVPTGGKPLSCTRTRGSPPVLGSGSGLSVGYYNRNNSYSYCPGAEEIVRKVVGDFIGNDISMGAGLIRFGSPSATPPAYPSSPSPIPATYPQGSSPSPSLLSSPPSTNPPSSAPSPMPSTYMKSASPSSSPPSSPPSTNPPSSAPSPSNYMESVSPSSSPPSSPPNTNPPSSSPSSSPSYPPSSNLPSYAAPSYPPSSSSAPAYPQPSPSPSTSVPSPTYAPGPSTTAYPPSPSPAPSGLSFGYYRYSCPNAEDIVRTTVKSFVDTNNDAGAGTGAGLIRLFFHDCFVQGCDASVLLNTTGSTDPTERVSPPNLSLRGFEVIDAAKAALEAACPGVVSCADIVAFAGRDATYFLSGNAVDFSIPGGRYDGRVSSSGEALANLPPPTAGLQKLKDMFAAKGLDADDMVTLSGAHTVGRSHCSSFSDRVPANKSDIDPALADSLERQCNSSGGDPTVMQDFVTPNKLDSQYYWNVLNHDVLFASDAALLASNETRGMVSDNAFTPGLWEAKFKAAMVKMGAVGVKTSSEGEIRKKCWMIN >Et_7B_055538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13828298:13830451:1 gene:Et_7B_055538 transcript:Et_7B_055538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNEDRVTLIKDYRGKIETELTKICDGILKLLESQLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGSERKDAAENTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDPAEEIREAAPKSGEGQ >Et_1A_006386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21754381:21754678:-1 gene:Et_1A_006386 transcript:Et_1A_006386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQEPLAGSRTSSRPTPTTLGPASSIHHPAGGNVYAGIPNDYIGKDVRVKNFQGRAAGLQTGWASRAAVARSSAVSPG >Et_5B_045287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16584176:16584863:-1 gene:Et_5B_045287 transcript:Et_5B_045287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCEEEGKEGKLSQLYARAPAGKWLAPNKDNISIAALARLEQDKVLMERELYLKDTTMDGGISFIKVYGIADPGINHVFNKDMNNQSVIVTKKLLKFYASFEGVNTLVDVDGGVEAT >Et_2B_022425.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:21162095:21163504:1 gene:Et_2B_022425 transcript:Et_2B_022425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTVAAAVAASLRSLPAPVLVPLVVSAVVFVATVLRRVLRRQRPVYLLNYSCHLPFPERQVNLEVCEYFGYKCRRYSDDTADFLRLIYRKSGLGQETFAPPFIFSGKFEKTLAYAVQEAEEGLFAAVGQLLAKSDVKPSDISVLVVACSMYSPMPSLASMIVRRFRMRHDVKAYSVAGMGCSAGTVGIDTAARSLRAAAAAGRRGGGYALVVVTENTSLNWYFGENKHMLVTNCIFRVGSAAALVTDVPSRRADAKYELVRTLRTHHGADDAAFNAAVQMEDEEGNVGVALTKDLVRVAGAGLRSHITTLGPHVLPVSEMLRYAWRVARAYAAGNAKAAAAEVPDFQRAFDHMCIHSGGKAVIDAVARLMGFGPYVVEPARATLHRFGNTSSSLVFYELAYFEAKRRVRAGDRLWMLAFGTGFKACSNVWRALRDAGPDADNPWNGCVHRYPMPLPPPSKTHKPAPP >Et_3A_023557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34584098:34584673:-1 gene:Et_3A_023557 transcript:Et_3A_023557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METDPISPSPDDTTTASGGEAKACADCHTTKTPLWRGGPEGPKSLCNACGIRYRKRRRQALGLDANAEPQLDQHKEDKKKEDKKEEGDKKKQVTVELRVVGFGKEVMLKQRRRMRRKKCLSEEERAAVLLMSLSSGVIYAS >Et_4B_039748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28369012:28369336:-1 gene:Et_4B_039748 transcript:Et_4B_039748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDALGPTSRSAALLQWVPRHVHVARSSTPDACSAGVAYTNMTICATFIFANNLLPLMWRQKPSQTYLFAMMEISGWAGLTLLDWGRNERFHMHGRRDGGVN >Et_1B_010860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14830702:14831142:-1 gene:Et_1B_010860 transcript:Et_1B_010860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTSSSSSGSEAPGVNIDVPAGVGAITVERSPAAARLSELGVWSWPKWGGPRGRYALSYDARQTCYIVRGKVTATEEGSPERAVEFGSGDLVVFARGTRCTWHIAAVVDMHYAFDPS >Et_10A_000916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18992918:18996931:-1 gene:Et_10A_000916 transcript:Et_10A_000916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRGAAPLLRPRVRRLLRSPMSRCACLLLAFSALLLLSSLRQVARVDLPRPDLHRQVSSDKLWASNSYGYHSCVTPTFRYKVPIETDHYMTVRSNGGLNQMRTGICDMVAIARLVNATLVIPQLDKRSFWQDTSKFKDIFDEPHFIKALEGDVHIVTDLPENLQIAPRARKHFTSWSSASYYEDVKELWKDHKVVHIPKSDSRLANNGLPIDIQRLRCRCLYQALLERLRTRGKFLALHLRYEKDMLAFTGCTYGLSDSESNELKIMRESTSHWKLKDINSTEQRSEGNCPLTPNEVGIFLRAMGYPESTWIYLAAGEIYGGDKYISKLRSYFPNLEMLATKEELEKFNNHASQVAALDYIISVESDVFVPSHSGNMAKAVEGHRRFLGHRKTITPDRRGLVELFDLLEKGELMEGPKLSSLVTDMHKYRQGAPRKRYSSLPGSKGRARLRTEESFYENPLPECICLTGKH >Et_1B_013039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:509936:513906:-1 gene:Et_1B_013039 transcript:Et_1B_013039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAASLLLRPRLRSPVPVTSRRTLNPLPPPPRRYFSPPPVPASADAIADAAEEAFEAARTTNDMLASFSHLEATVPANDKRLALACLKLGQHLEASGSADPSRILNLALRCLGILEASRDASTSSDAVSLAMALHLAGSASFDLSRFHDSLSFLSRSLRLLTPLLPGKDAADAEQGFDVRPVAHAVRLQLANVKTALGRREEALADMRACLDLKEAILPPGSRELGAAYRDLAEAHAAVLDFKQALPLCQKALQLHESTLGNNSVEVAHDRRLLGVIYTGLEQHQQALEQNEMSQKVMKSWGAAGPDLLHAEIDAANIKIALGKFDEAVSVLKNVAKQVDKDSEMRALVYISMAKALANQEKAGDTKRCLEIACNILEKKEFSAPDKVAEAYVEVSSLYEMVNEFDKAISLLKRSLAMLERIPQAQHMEGNVAARIGWLLLLTGKVSEAVPYLEDAVERMKDSFGPKHYGVGYVYNNLGAAYMEMDRPQSAAQMFALAKEVMDVSLGPHHSDTIEACQSLANAYNAMGSYALAMEFQKRVVDSWRNHGPDARDELKEAIRLYNQIKKKALASLSPEDSTTALPEPQELESDSDSAKAARQFSNCKGSFMLLWLCVNVPFLSASGHLDDYLLRPLELIQWKHAVAGDGCSAEWAVGIALEPSVNAVDMEGMEAAGKHPDPLFLLELTEAHCTLARGS >Et_5B_043411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10116891:10119062:1 gene:Et_5B_043411 transcript:Et_5B_043411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNGKPAPGEEGKPSPAVAEGSIGGYESLHRLLEANLSPELFKEASRLLSGLNCARPLEAISLPEAATTLAETHNFDVQAFSFGADKEFLRQPRVVRVGLIQNSIAVPTTCHFADQKKAIMEKIKPIIDSAGASGVNILCLQEAWTMPFAFCTREKRWCEFAEPVDGESTQFLQKLAQKYNMVIVSPILERDVNHGETVWNTAVVIGNNGNIIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIAVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPQHADFGHFYGSSHFSAPDASCTPSLSRYRDGLMISDMDLNLCRQIKDKWAFRMTARYDMYASLLSEYLKPDFKPQVIADPLIKKRS >Et_10A_001816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8701123:8703410:-1 gene:Et_10A_001816 transcript:Et_10A_001816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSELAVIKPEALKTYIWLQCSDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIVLPERVNPASLSLILDYCRFHQIPGRSNKERKSFDEKFVRIDYEKLCKLASAALSLQLRPLVDLTCGALARIIGGKSPEEVRDIFHLPDDLTEEEKLEPLENINDDPTIRLLNRLYAKKRKELQERQRLKDVQVQEEQKDERSLDEILCFINGDGGSGGGKTAKNKKKNKRRKDHAKNSAKANPGPVNKEGASGGNSCKSNSGNISRLACQSQNVQDDIEFPFEDCESDDGLDPAMKEELDREVEDFARRLNLVWPERMHLGQDRRIGSHLW >Et_1B_014001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26998424:26999851:1 gene:Et_1B_014001 transcript:Et_1B_014001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDSASGEVISHTLCYDRYWQMKEKFPNGDCVDSPSFKVGDFFWRVSYYPNGACSSFSDHIAIFIVLDSGVVTEPVKARARFFLLDRHGEPVPGHSVYTDVREYSALGAGFGFDEFILKDFLEQSEHLVDGGFAIRCDVCVDRPAPPLYYRFGARRRDVTLLVGGETFTAHMHVLAARSPAFAAEIFGGDITTGDFIRVDGMSAMVFEAFLHFVYGDSLPEMNEQEEPVMAEHLLAAADRFDMQGLKLICEEILIDYIDENTAARMLELSGQYRCQVLNEACIEFLENHPALDAVMATDDGLVEHVANSCPALLKDLCADWFEDESPCKTIWSCANEEFGGMINAM >Et_6A_047104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25384095:25384857:1 gene:Et_6A_047104 transcript:Et_6A_047104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PIPLPPLLSDLLRSICGKTEQEDPNEERSNEFELRCLVSLAKAYLRLLGRNRSKREFEFESLCNLTQKESARWLPCDMLRATGFYSTVGHRGFQRDGSSTVRKNINVDFTCCFACTPRMHHPKGHKYVAGHCNIPHIYSSTC >Et_6B_049764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1067768:1073411:-1 gene:Et_6B_049764 transcript:Et_6B_049764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQADPSAQQPEMQPPLNVAANGAAIGDIDLNMDPADGLHEMGLNGSDTVFSGAAADFEASGGLESAINPETEAMHAAVEPVPLHIANSVAEAVPAHVEPIPLQMVMVPSSPNPVLSVVPFIPQYPSQLFSYHSVNQISISLDTVLPAYINDKNLTLHLIQHTTDPDEQNLGLLKHATVDDLEAALKDDDDDEVMEVDAASTVFGKNKKRRAKKIKEPIKDVRLLRRSSKLSDNLDGYKDKESAKAAQAVPMEAPRYSALVTVVPGSSAPPNLSTSNIQAMATSFLGMNSKVVSDDILHAEDSEEEKEKLEILEFRVVSHQMFHHDLSHFYFIAGHTGMA >Et_7B_055862.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6144681:6146324:1 gene:Et_7B_055862 transcript:Et_7B_055862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAWALVAAAVALYMAWFWRMSRSLSGPRVWPVVGSLPGLVQHAEDMHEWIAGNLRRAGGTYQTCIFAVPGVARRGGLVTVTCEPRNLEHVLKSRFDNYPKGPFWHAVFRDLLGDGIFNSDGETWVAQRKTAALEFTTRTLRTAMSRWVSRSIHGRLLPILGDAAAAAADEGASVDLQDLLLRLTFDNICGLAFGKDPETLARGLPENAFASAFDRATEATLNRFIFPECVWRCKKWLGLGMETTLSRSVAHVDQYLAAVIKARKLELSAAAGKRDASSAPATTPHDDLLSRFMRKGTYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPAVERKIVRELCAVLAASRGAGDPALWLDAPFDFDELDRLVYLKAALSETLRLYPSVPEDSKHVVADDVLPDGTFVPAGSSVTYSIYSAGRMKTVWGEDCLEFRPERWLSADGTKFEPHDSYRFVAFNAGPRICLGKDLAYLQMKNIAGSVLLRYRLAVAPGHRVEQKMSLTLFMKYGLRMVVRPRDLGPVVDELRGAGEYNAAARVTAACA >Et_2B_022564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2639418:2642343:-1 gene:Et_2B_022564 transcript:Et_2B_022564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALGIMAADGVVLVGEKKVTSKLLQTSRSAEKMYKIDSHLACAVAGIMSDANILINTARLHAQRYALSYQEPIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKHHGFQLYMSDPSGNYGGWKAAAVGANSQAAQSMLKQDYRDGMTREEAVALALKVLSKTMDSTSLTAEKLELAEVFLQPGTGEVQYQVCSPEALGKLLTKAGLTQPSTSEAFLSLILSRV >Et_6A_046095.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2458959:2459102:-1 gene:Et_6A_046095 transcript:Et_6A_046095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLYWITGKLVLILDAVHWNPEAPPRTVPRVRLRCDKSLWHIFHVI >Et_1B_010046.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:20507924:20508274:-1 gene:Et_1B_010046 transcript:Et_1B_010046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTKLAAAALVAAVLVAAFAQLPTAAAYGAALPREDCPTQISYFRNCLARDEIRAQCCSVVEDHKCLCQLEREVVAVPCHPHPRRYGRPCNKSAAPGVKRSELQGLPCFQNLKC >Et_8B_058943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11253746:11254364:-1 gene:Et_8B_058943 transcript:Et_8B_058943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKSRLIIRRNLSRYQSPCPSFFENQKDPFRVYISSFGIWALLSSTYFFGFILYLFHFDFSLFLYPYPLGTAFASIENFFLCMNRYYSIPISSRNFPREKSRIGSKIDGLMHSSNRNPFSNWLSCFGESSEILSMTYVVLKEYLYDPIDCIRPAVAIERGKYTEKTVLFNFDYLYISSFLFLDIYFYILVLVSSTIS >Et_8A_058463.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8398435:8399724:1 gene:Et_8A_058463 transcript:Et_8A_058463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREERARGSRRLSPIWVDLPCALDRGHTANRFDLERVARDAHGFVGADLAALWSEAAMQCIREKMDAIDLEADAIDVDVLNALSVCDDHLRHAMEATKPSALHECGLVEVPKVSWEDIGSLEGVKQELQETVQYPVEHPEMLEAFGMSPSRGVLFYGPPGCGKTLLAKAIAKECKANFISVKGPELLTMWFGESESNVRDLFDKARASAPCVLFFDELDSIAVKRGNSVGDDGGTADRVLNQLLTEMDDINAKKTVFVIGATNRPDIIDPALLRPGRLDQLIYIPLPDEPSRLQIFRSCLRRSPVSRGVDLTAMARATDGFSGADITEICQRACKLAVRYLIQLSAKIGAAAALKGAVIGRPHFVDAMKHARRSVSDIDVLKYEFFAQRLQGGGGAFEDLMPEEPKGKGKQAAAEAEDKAEAEAKFFQ >Et_4B_039055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7424109:7429385:1 gene:Et_4B_039055 transcript:Et_4B_039055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSVSCFGSKTRQGQNGQSRLVSSPRSGQILSRPGSNVQVFSLNELKSATRNFHMMNCIGRGGFGTVYKGNLKNGTQIAIKKLSAESKQGIDEFLTEINVISNVRHPNLIQLIGCCVEGTNRLLVYEYAENNSLAHALLGPKNKCIPLDWPKRAAICIGTASGLAFLHEEAQPRIVHRDIKASNILLDKKLVPKIGDFGLAKLFPDTVTHISTRVAGTMGYLAPEYALLGQLTKKADIYSFGVLILEVVSGQSSSKSTWGPDMQVLVEWTWKLREQGRLLDIVDPYLDKYPEEQMLRFIKVALLCTQATSQQRPSMKQVVHMLSNQTEINVQNVIAPGVLKEPRQHTSGYPGLTLDTSSSQSTKHNPADSFTTQTNMNSYALSTFEMSPRLGGANQKGDDDIVPGDRDTSEKPPTKEEGKRGVRDLGEAAVRRGPAAAAFAWQAYVAYGDESDRFGMMSGTISRTGLEAAAVDDDLALPVLFDKASRLHSLASTPRSTRLVPDLSVLSLLIAKRWGEHSRALFVVCVGQEGIRMGSDLLRRCYEMDNSSIGKSASIAVDNSSIAEREREGMGSKQVSRRRCSPAVLLLALGLVSAVKVYKPARGGPTERPSLRRRHPQSSRSTGTGASPLLLHGRTIRFRPFPISDSKDKSTDCEPGCSLYYVAMSIGNPARSYFLDVDTDNYLTCASCCKVLLAYFFQ >Et_4B_039050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7339320:7351217:-1 gene:Et_4B_039050 transcript:Et_4B_039050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSADPEAPTPTPSPSPVKPSPTSTESKRSRRCVQSKLSWGLVKPAVVVGGGGGSGGGDEAEAGPSVPAAEVAVEKDGVEEPEKGKRRGRARKSEPRRKPSSNKEMTDMDPASKDEAILVDESPKKRQRKGRKQDASLKVPNRKRCKALESPDGPGSCQQLHTVLPEATPVLIDIDLMSTPSKVGHTNDNSDVLNSQDKSQLVVDLRSEAKMAAEENRKLSSGKKLHPFFSSRKMSKCANQEVNNIEDMHTLCDFEREPPFYPIHVVYQLEDKMPIRWSNWKVTDGSSLDTSASVPLQYSVSFFEGLVKPLTIESNCKWVHYSQIAEPVIDECTPSGMDLPSFSDNQSRHNCLSEVPAYYLWTDRYRPETAAQVCGNSEHVKFLAEWLKGWDGKGHKIGAANGDAKDSLYQDESDAEYSDDASDCENCGKSAAVFACAKEQGFNVIEVNTSDMRNGAYVRQKFEEASKSHGLEKWSQEDAINTPREDSMDPAPGTPDSTEYQHLIPCSTTIVFGSDKQKTPVGHDSSPKVSVEAPKQVVNKTLILFEDVDTVFDEDRGFISTILKMAETTKWPIILTSNRKDPSLPNLLDQLVLDFKYPSNSDLLSHVDMICKSEGVDITLSQLKRVVDACLGDIRRTMMLLQFWYQGKQQLTERPIGSVSAPFSLDLDAVHSTVPKMLPWEFPCKLSETICMELEKTILLAEERKKQMEVSELEGLQLQVTTPLFKGRSTTITRKAKKSKLKLGRSAECDDVSPCKNDLDDFHALPDIPLPSDQQRKRNRHCSLLLSDSDDDPADAHAGKETIFTTTEVGFPKSSGEPEPHIHGQGISDQFPFPVEPMKTFGFTDSFQNPLESNMTGSISQVCDTYMSQGVSSVPESSFIVGGTSASMSGDELLSGAVSNDFSTFYNSDTYTSSRMALEDTDKANNLMTEQPKDVEDVVAETSEAYMESSYRNELASCSTAGYQLMDECSQAGSIWLFSGKKANDPCKVEQVQDTWDRLRNCCLELPRETNHNRGACGALKLASGVSDLISESDLLLSRCYPLTNDMLDPYSIPYAEPDDFSWYNNQVEMGSVYAQHALCIFSRKSQDIDDGSVDLSRELLLASTTAISLGKIISSGLRNNDGSANSSHMINPTSILKRRERQVHLCETLSSVVPSKLSQSLRGPAFVDYLSSISEISRLENLRLAESKTINKQRRSRQSRHYLSSGALSLSPDDVVLLGESGCFSDRREKVIEQAPGSI >Et_1A_006936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29135748:29139059:1 gene:Et_1A_006936 transcript:Et_1A_006936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVLGSNLQSTCAANVCSKWGNFARPFSAKASGNEVIGIDLGTTNSCVAVMEGKNPKVIENAEGARTTPSVVAFTQKGERLVGTPAKRQAVTNPQNTFFGTKRMIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWVETTDGKQYSPSQIGAFVLTKMKETAESYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLDVERIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDISILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVGEFKRTEAIDLSKDRLALQRLREAAEKAKVELSSTAQTEINLPFITADASGAKHLNITLTRSKFESLVHQLIERTREPCKNCLKDAGISTKEVDEVLLVGGMTRVPKVQEVVSQIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMASDNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKATGKEQNITIRSSGGLSEADIQKMVQEAELHAQKDQERKALIDLRNNADTTIYSIEKSLAEYRDKIPPEVATEIETAISELRQEMVSDDIEKIKAKLDAANKAVSKIGEHMSGGGSGGSQSGTGSQGGGDHAPEAEYEEVKK >Et_4A_031948.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:32212650:32214568:-1 gene:Et_4A_031948 transcript:Et_4A_031948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CSTTLLFCTCKFSVPKKKKGLASSLPRSLQKSSLQRAVTVVVNGGWGTNSKTMPSRHHRLASLTKQLTSHVNGGRHRDALVLFYRMLSAPDLPPLTDPSFAHAFPLGLKSATALRVPCAAASFHSLTTKCGLLSSPFIASALISSYGAFRATELARRLFDELPARNAVVWSAMISVHVRAGDITEAARMLDLMDVAPTASCFNTVISAVAESGKHPARAIEVYRHMRRAGVAPSFITLLAIIPSCTAMGALSSIKEVHGFAVRHGMSVRSHIGSSLIEGYGRCGFLAGAQSVFDQVQDRDVVVWSSLVSAYAFHGHAEVAMSLFRSMEEQDDVRPDGIMFLSLLAACAHAGRADDALQYFDVLTKRYEVEACGEHYSCLIDVLGRAGKLHQAYELIRTMPFKVTAKAWGALLAACRKYGEVGLAEVAGRALFEIEPENAGNFVSLANIYSGVGMHEKAEQVRREMEQRGVQRMPGSSWMIHRKSRY >Et_7A_052287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6529584:6535425:-1 gene:Et_7A_052287 transcript:Et_7A_052287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QAWPRARDGDPRRSLGGLSLIRPAPSIYPKKRNQAKPAHINLKQGQNRQPSLPRRRQPPGRPGPTPEFPTADATHPHHRHPMALSLARSSTHPAAALPAPRVSQSVPALPFHSARRLYPRLRLRLPATAVAASSPPEAAEAEEEQGEKRRKLYVSNLPWTLPAPEVEKHFAQCGTVKGVELIKGKDGRNRGFAFITMSTTEEAATAVEKLNASDVKGRTIKVEFSRTFRKPAPPPSPGTIVERHKLYVSNLPWKARSPDLKEFFSKFNPLSAKIVFNDKKSAGYGFVSFATKDEAEAAITELDGKELLERPVRVRWREVVDDKVEGVKADGEVKVEAVNVEGAGVDGSSDDGSEEKQEYHHWKRELVVKKAVE >Et_8A_057600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4959644:4962894:-1 gene:Et_8A_057600 transcript:Et_8A_057600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAIKDATRRRVGLERDLMAATKSSAARAATCAALCILVMASLSLSELVMVKEDCFDMDPCDDRKCHAECLQKAKSEDFKPVKSNCDAPKQCCCRFYEWHPSPPPPHAGCRVSNGVVDDVLGAASPGSPLILAILDGLPHRHVSLQIGLHYVVAVDLADVGRVTPGHGPSIPDQEMVAASKKSLVCGSQTRNIPR >Et_1B_013584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9766878:9768392:1 gene:Et_1B_013584 transcript:Et_1B_013584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VCSKMQSSAMAFVPSIATAKLELHYLYRKYVDMFGISSNDSTIGYHVTRKMPHLPVQLIDRTLFSTGAAFRSIVISYGALILRWLLNRTPAVQRTLISRVIRSICCWCGSLPFFVSIGLLLSRIYNNVMFHNHIYGRPFIFGHNANLQGESTMGTENSELCNMNNLLSNLFGNKRETVRLALQCICYPARLKVADITEKKLSFNRLHARCSYAKGAFWRMISQNEQYVVSMMVLVALQLFLRLTRVNVTPLFLPMLSRATSSKSSVAVIGNIVLVLVNSFGVLGSALVTKQYGREVTFSIGAVLMVFCQVAIPVILEVQIGVGGGTRMPTGYAAAMFALTCVVSCGLSWSWGSFIWTVPSWKVPSAGQVVSTALNFGFCFAQMQFFLLMLCRLKNAILAYYAMWIWS >Et_6A_048148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7690355:7691992:1 gene:Et_6A_048148 transcript:Et_6A_048148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSFRIMSSTAISLSMTPSTRNVVPAIHAAFPVLRASFHAVSAASCRRSAVKVRVTLSMIADAAWDDCSVIDARIDDMDMVALGDRSGVEARRRDRCRCWGLGGLGRGAVVGQEARVGNGKQHIIIRVVRRPPRAAGVSLSPIPAAVSPFSRSATATKESTDGAGLTTFALRLAKNLAADATGGGGRNLVFSPLSVYSTLALLATGARGSTLQELLDRGLVERALADQSASGAIAKINRWVAASTNNLIDSIVDPSSVQDAIRLVLANAIYFCGKWESPFNKGYTRVNKFYRHDAIYQSPPQAGGGVNPRYAMCVFLPDARNGLPALMKKVASSPAFWRDHLPDEAVDVGRFGLPKFKMDFSDSVKRVLEEDMKIKAAFDEGRAELSEMARCRDNPGWKLAVEDVLHKAVIDVNEEGTKAAAVTVCMDIEISCARWSPPKVDFVADHPRSCSLSLRRCLVRSSS >Et_8A_057263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22969712:22974820:-1 gene:Et_8A_057263 transcript:Et_8A_057263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTAGYAVECSVTMRGTGERLAAAGYGVYGLDYEGHGRSEGLQGYVPDFDALVADCDAFFVSKANGSSRRFLLGESMGGAVALLLHRTRPDFWTGAVLVAPMCKIADDMRPHPVVVNILKAMTNIIPTWKIVPTNDVIDLAYKTQEKRDEIRANPYCYKGKPRLKTAYELLKVSLNLEANVLHQVSLPFLIVHGGADKVTDPSVSELLYRSAASQDKTLKLYPGMWHALTSGESPENIHAVFQDIIAWLDHRTTEEEEPSSEAEQKARHDVLLHANKQPTWHVIEPFIPTLPRKLTAPLVSSRPKPNQSPASVLSTTTMATTSAYCCCNTLLHLLPKPAHFRPARRLELSPVARFATAVARPGTKARASSGPPPPFLETEEADEEEREWSGSEAESDGGGGSDEEQEWAGGNGAVRGEDLGADASEDLSGWKRQWPRPRELFVCNLPRRCGAEDLLELFRPHGTVLSVEIKRNAETGISRGCGFVTMRTLAEARAAVEALDGFDMDGREVFVKVASHVISNRKNVKLPHITPMKDHIFESPHKIYVGNLAWSVQPHDLRELFAQCGTVVSTRLLTDRKGGRNRVYGFLSFSSAEELEAALKLDRTVWLFKFRFSLLLDSFQ >Et_4B_037295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17774280:17775385:-1 gene:Et_4B_037295 transcript:Et_4B_037295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSSDSDSQRAASRHCLRHGLLLTILLPILAAGAFFFFVGQDILQGDASLPMKWVLHSMLLWERSEAGLLYGSALKDGPQLCTCTKPFS >Et_5B_043148.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:11434906:11435052:-1 gene:Et_5B_043148 transcript:Et_5B_043148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTFGRRQGFSSSGCSYTFCLASFRAAPWLVCGRCGHSFAFVFWSLLL >Et_1B_010524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11234007:11240560:-1 gene:Et_1B_010524 transcript:Et_1B_010524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHHPSLVRPSHIAGVRLRLAPHAFPSTAAASAPPPALSVRLRPLRVAAGKASPVGDDGGRREQPPRPLSAVVLDFARSNFLPLALVGGVILALLDPTPGCLAHKYSLSKYSTFGIFVISGLTLRTKELGAALEAWPAFLFGLASILLFTPPLAQFVMKVQFFPPEFMTGLAMFCCMPTTLSSGVTLTQLVGGNSALALAMTVISNLLGIITVPLSLAKYIAAGAGVSLPTKQLFKSLVTRLLIPLIIGKVARESSKGIANFVDGNRQGFSVASALLLSIVPWIQVSRSRSLILSVQVEAFAVAMIVGVLLHLALLAFNATMLQILLRLEQKGKSVFARKEYARAVILVASQKTLPVLIAVVEQLGGAFGEPGFLVIPCVAAHINQIIVDSFIVNWWRQRDQYQQFANAKSYSLCACHPPVAN >Et_3B_028322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13417511:13419381:1 gene:Et_3B_028322 transcript:Et_3B_028322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPQVQQQSLTVLPCKRNRSSKLLPETHESKIHIPPRKTARLIPQNCLGLQKLTGMSNREGLELGSSKEMHVKVKPDQAIGIKSMEVPQQLPLLVLPVSHICSSSNSNPCIVKVPKNTKSMHLSLKEGCETSIVGLDNSGVADLKGSVISSVNSFNAGSRKASCKSDEDKHVTEPQCAASNRKVSGISTVGLNLEINSKLNKQGTIATQIKPPCESRITEPLFVNRRSKEARVTGATSSQLSTSSKVKLCTEYPMDTGEPDIEKILSKVILTSQRHGLNRKSARNGGLGAACSLIPSECSQLENTKNNTYIKEETMPYYATCGVTSSCKTRRITFHPSQLFSCKGGFLIVEPCFSLNFICLLKLIVHDRHIVDDSHYSLCLLESQAPDDHQITVGTIYGSEHMHIATLPTSCHAEKFVDQFILLQFEDVSQGCCET >Et_3B_031440.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:384575:385057:1 gene:Et_3B_031440 transcript:Et_3B_031440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVVSTVRSPSKVDHHHHNHGGGFEDVFMVDDDNLLDYIDFTSCVDVPSFFDADGDILPDLEVDPTELLAEFDDACPRTSTQGEKKEESPAAGGQEKKDIARKKNGDEVSSAVTTEDSAAAAGSDTKSSASAEGHSKKKKTSSSKNSHGKRKVKVLPRP >Et_9A_063553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7238927:7241863:1 gene:Et_9A_063553 transcript:Et_9A_063553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGGASWPACVASVAAALLLGAVIVRLFLPSVWALGTKRRRGATSGGAAGEARLPAGSLGLPLLGETPAFIFAAYSPRPESFVEKRRLLYGKVFTSHLWGSPAVVSTDPEVSRAVMQADASAFVPWYPRSLMELMGESSILVLGGGLQRRVHGLAGAFFKSPQLKARLTADMHRRVRRAMDASWRRRGAAPVRVQDEAKSIVFEVLVRALIGLEAGQEMQFLKQQFREFIAGLISLPVKIPGTQLYRSVRAKKRMTRLIQNIIQEKRRKRTLDDKEGTHQQGGNPSDMIDVLLNNGSDELTDELISDNMIDFMIPAEDSVPVLITLAVKYLSDCPQALKQLEEENMELKRRKSDTGEPLEWTDYMSLSFTQHVITETLRLGNIINGIMRKAVRDVDVRGHLIPKGWRVLVYFRGVHLDAGVHEDPHAFNPWRWKDRADVAASGGGGVFTPFGGGQRLCPGLDLARLEASIFLHHLVTSFRWEAEGDTIVNFPTVRLKRGMPIAVTPRTT >Et_10B_004327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:460840:461744:-1 gene:Et_10B_004327 transcript:Et_10B_004327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAQHLVPLRGRGVDDAAETKQRYGDCTAVDLASTPYYDDAAVPPLYYVPPPAMATDSGATFLADAGAPQRKRARGEEEEEPFFAGKRPPRAEEERGVANAAVAERMRAKDEEIDQARWQNWLPQEQLAAAHAEARAWRDAAAAGEAAAAALRADLDHALRALAEAEANAAEDAASCCSGGGNDVDGDTATWSSTGGAGGACRGCGERGAAVVVLPGRHLSACAPCAATATACPACGCAKVGSVAVNLV >Et_3B_030698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6575696:6583296:-1 gene:Et_3B_030698 transcript:Et_3B_030698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAALPPVATMKVLIDTGSQRVLYAEAGKDVIDFIFGILAMPIGSVVKLLADVDVALGSVGNVYASVEKLDAAHMAPPTSRTVARRRQTRFTCHPCICGVETKRGYVKCVSMFTIMDDLTIVPASSMLLSKLGVKDLTRLEERTVRIGSNEVKIDRRACMDKFGCLLCFSSFFYYCLINLHERLQGLEILKVALHSKTVLTDMAAKPTAVLTMKLLVDTKSRRVLYAEAGKEVVDFLFSLLAFPLGAVTKLLTTAGGMAGSVGNLYGSLEKLDAGYVCRRDAKNALLDAPVLQLAVAAPPDSAAPAPAPPAPDGGGLYRWKGCSCSANCYNYATKVSGTPCPVCKGKMTTAVQLVVPDCKSGGGAKAAPAVDDGSSSSGLVRDMVMYTVMDDFSVAPMSTICAVTGLVALGVTDISGLQARTVEIGHKEGQALLKASLQSRTVLTDVFLGATNETKGCLQDETKRRPLPPMLAAS >Et_4A_032567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11461651:11463158:1 gene:Et_4A_032567 transcript:Et_4A_032567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATVLTLMYPHSFSSHNSFSLSSSYLSAAISKCCHITSVSSLSSLASSPSSSIAGISSLGHALLMAEKRSSKDSRSCRKHTTMRHKCLVANLKCHVSALSRLKLPTEMNSKHDSFRPAQWCCSARARMATTVLVPIHQPQPSEVVSICRHKQVLPQNIFILLFHCWNRTAVNETKQKLEHLWFNVIDPNDTSGGSLLHGAKELGLEDRGPCREHAPMCGEGLCTDLERDIGALVGQEEAAEMLLNIRWRRHYLDRRRRVSDGDILGDGDVAPYCETVIHEILRFLEDFCFHVTPEAPSIFTREFESLPCTDEQRRVQLLIPVNQAKPILHFHMLDNIVLIEYKIKAGYVAIMLWFVAIGVVLDTPLIASDFEPARSYELAVGDGVLGARVSADHEQVIPTVRGGGGG >Et_10B_003403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19464395:19465154:-1 gene:Et_10B_003403 transcript:Et_10B_003403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLQPDLRKEPISKKEEDLIVSLQKVLGNRWSVIAARMPGRTDNDIKNYWTSRIKKKLCRMGADRDQSPETSQTAEKSAYCNTEDGNLHVTKSHNNSANEKPHSHFPIFTCQLLTDERNCDQAAHYSSLSKNNEMDLLVEDYVDFLMSLPDDLHADI >Et_5B_043230.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:19187008:19187169:-1 gene:Et_5B_043230 transcript:Et_5B_043230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHLPLSLEAQAEARLLMFSHMNLLSPAIRDPICVPTQDMLIGLYVLTIGNR >Et_4A_031927.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30053030:30054303:1 gene:Et_4A_031927 transcript:Et_4A_031927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAICLDEWELLPDHKNSFMEECGNGHGGVGGKDQLLLGAKLVMVDMDHFTAASHPAPYDCVVDEEAKKPLLPPSQDVHGSVTVTEFKDIGVVQTELERGEAMSKVTEIMISDAEKEEEEEEEEAIKFPDGVKEFHQVEALAEATAPGEDEGVKKIGFSVGNLRVHGVGALCSFGVAAATFCIFLLGGKQQHNRQNHKIQLQMYADDQVVPYLDFTLYFGANK >Et_9A_061113.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16426995:16427234:-1 gene:Et_9A_061113 transcript:Et_9A_061113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASDLIIEKFRLASDCAMVIKSLYEKCMGCYGHIAREIKARKVDFAVVDFVHKNQKVNLDALMLTRSSIYNFVSIHV >Et_3A_023731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11406822:11408658:1 gene:Et_3A_023731 transcript:Et_3A_023731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLTFYLTRLLSGTPTVMIFSPFKSLVNIVEEDLIFLDFILLRKSYFCPIHGYARPQCYYRSFTNAIFESFVYTPCTELADLPDEDDGVLRRLPARRIAEAQRRACSCRSLVLATGGSRTGPFYVSHRVYGLVINYTDHRRPHLFSRPPLRSPSSDGGSPKIDGLLGFLPKHQTSNGW >Et_8B_058768.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:348694:348846:1 gene:Et_8B_058768 transcript:Et_8B_058768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding REASSCAVRTERTILSPFTKEYRVCAANSSILQFLEGAFPYKGGPQQHLN >Et_2B_021135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26842821:26844775:-1 gene:Et_2B_021135 transcript:Et_2B_021135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAESTAGVKKRQGAAPASASAAPYIPDEVVRDILVRLPSRSVLRSRAVCKAWLRIVSCPEFALEHHRRQPALPLVSFLRDAGGREVADVADCCVEAFDLRADEFRSVTRFTDSRKRCGKFRIRGSCDGLLLVTFGHRLYICNPATHQWTRLPTPLPSSKFAGFYRHEPTGEYRGVWPAQSYYILVADGRKGGGIGLPSKKNVYKFKEYAGGSPALYRGILHWHPHRRHANLILAFNTVTEVSQWFCAPPAALRENMSLLEVEDKLALFSCGKDVTMVELWLMQDYEKKAWVCKHRIELPAMNVCTFSLGEPWRVFFMSEEGVVLVDPHNKLLHYHLDGNLKESFRCDGHLLKITPYTLKESLIQHSFFETQANGNGDDKSPPFFRGLYEKGRSSALLISSVNELRPDNFQLRFLELFVLSAIYAAAAATEK >Et_1A_004764.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:3890615:3891584:1 gene:Et_1A_004764 transcript:Et_1A_004764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDHGDASAAGMDDAEAAFFARRGRRCCCFPWPVPSSARQRVGAAAATEEESWWQRAVDAVLKVREWSELVAGPRWKTFIRRFGRNGPPRPHHQFGRKLNYDALSYALNFDEGHGASPEGDYTGYRDFSARFVAPPLSAKSSMDLGGRDAPPLFNPPPPAHDGAGRA >Et_4B_040041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8180671:8188077:-1 gene:Et_4B_040041 transcript:Et_4B_040041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRGQDSQCQGKKSRVAPKKHLYLVLDDWEKGYSIHRIDWDALLLESNESCTDVHPRHLPETAALRFVASFDVHFVALGSNITVVDGSGGIPTLIYDTGAAALAMGPQLPGNLCGLIARGAGDMIYALTSFGIGMPRSFEVLSFAPCTSEVEPGRPTHEWSWKSLPTPPLPFSKQETIVSYAVHPDGQTIFLSTVGTHYPRLSKGTYSFNTKRCEWKWHGAWMLPFQGQGYFDHELDAWVGFHKEQGYICCCQVASRSSMATVPPDLDKVEEKMFCKGDGNMHLGATLTYMGDSKFCLVESMVREEVELGPSRQHIAANIWVSEGYSEQETVLLALIQNFHIYTKNSEQVVYKADGSNAPLFIFADQCQDGIKYFTKLRRQQLVYKAPTASVSMSSSPLWALLTTPRELMQRGPIGVVLTAVEQLMMLAHLSKSIRMVILSIPNTK >Et_4A_033306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21278131:21278411:-1 gene:Et_4A_033306 transcript:Et_4A_033306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLSREQGLDRDPKRSKNENMAINDNVNAGSNDVGAAKHSLIGAEVNRIINKILDMAVDSTLNFCANNVLAENNEGLVEDVLSEE >Et_3A_026774.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:251678:252859:-1 gene:Et_3A_026774 transcript:Et_3A_026774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGSKAAAADVPVAADIYRPPATSVSLFDISAVEEPWLIAKNKDDEAAAAEEEEEESTDEDDEDEEEDEKAGGNGKTVVPLPLLEKLEGYELAPASWSEVSKALEDMKPALQDANAKPTPPAPNKKKKKKKKKKKKKKKKKKKKKKKKKQQKEEEKPAAHEQTPSLEAMADHEEENPSKPPPPELAGRRVVKDNPFLMRDRSENNAAATPNKWRRRDPFEGCPERRPPGASGGGVVLYTTTLRGVRRTFEDCERARELVEACAEAAGIGAVDERDVSLHGDYLRELRDLMAAAEEGPPPRLFVMGRYVGGAEECVRLSESGKLREMMRWAKARGEACAAKDGRGCMGCGGARFVPCWECGGSCKVVLAADDQVQRCAKCNENGLIMCPICH >Et_3B_028534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16489803:16492913:-1 gene:Et_3B_028534 transcript:Et_3B_028534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVRALIAAGATAVCCIACAFWAFRSPSSSSSSSSPLSKKQQHCCGCASCGCRARFTNGEMAVGGENKKKAQDPAPESDGGVSMMEQLVPEITTHALSYLDYTSLCRLSMTNSAMRRAANDDGAWKALYHKDFTVEQHNITPPNGWKAYYAATRAIMNVNAEFYNIIREGSLPAMSHFWLNADYVKCIHATGEFFTGYNAVMEGWSLLFNWGQEGGQGITFNVRDVRVRVLGEVAWVNMKANVDVDPVLFHVTNVYEFRNGKWYMVHHHSSLMADPAPHNPFG >Et_10A_002123.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:23059358:23061766:-1 gene:Et_10A_002123 transcript:Et_10A_002123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVANMSAAATVKPVAAACYDNNLVNSQGMFLGDQPLRFSLPLLLVQVSLILILSAVAQLLLRRLGQSRFVTHMLVGILLGPSVIGRSDAIRGALFSERGTYILESISLVALILFLFSMGVKTDLSLLRRPSGRAVAVGLTGAVVPLAVTLPVFHALQPSLPEDLKGTSLITELAVRLSLSSFPVIADALSDLDLLNTDLGRIALTASLITDVTSWLLRACFAAAYLITDAKSPAFTAQILVSFFAFVLFVGFVARPAGRYIAYTRTPSGSLLSEGSFVVVVIAALLSALVTDAIGFKYMIGPMMLGLALPGGMPLGATMTERLDKFFIALFLPVYMALSGYRTDLAELTGAEETEKWCALELFVALCVSGKLVGCVAAGLFFAMPFRDCLVLALMLNVRGIVEVAAINNWGDTMKATAEHYTILTLSMVLITAVSTPLIKLLYDPTGHFARAQRRTIESTSTNADLRLLACLYTEDHAAPLIDLLEASGSSRDSPLSLIVVHLTELVGRAASVLKPHRKSSSSNSATTSSDRIVNAFRYLEQQSSAGAVTISPYVAQAPLSSMHHDVCSLAHSRKANLILLPFHKSSDGARATANNAVRAVNRAVLLHAPCSVAILVDHGLASGSACANSLLQNGRAALYFLGGPDDREALAYAARMADAGSMSLTVVRFKLRNWSGMGGQDEARDEEVLQEFWTRHRDNDRVVYVEKTVEDGEGTASVVRSMSDKFDLLLVGRRGGVADDNNDHTDGSSSAAALTSGLSEWSECPELGVLGDMLASAEFASKVSILVIQQQPPKNTAN >Et_2B_020945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2552200:2561637:-1 gene:Et_2B_020945 transcript:Et_2B_020945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNGAPPPPPMAAAPPPPGTQGVGQPPPPPPPPAGAPQGAKPLTPAELEAQLTEKARKWHQLNSKRYGDKRKFGFVEAQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRNVKVLYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDEEEDAAVYKWFYDHKPLVNTFFINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVRLGVYHTPMIMYIKTEDPDLPAFYYDPLINPITSTNKVDRRERKTAEEEDDEDFCLPEDVGPLLKDTDLYSDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWFKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLQDIWDTSDGQCVVMLQTKFEKFFEKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLILGLTRSSEIAGPPQMPNEFLTYADTKVETRHPIRLYSRYIDKVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHDEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWQMSKPSLVSESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSYTAFSRIVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPTLTDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEMRDIILGAEIAPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQAAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQIAGFLYGVSPQDNPQVKEIRCIAVPPQHGTHQMVTLPANLPEHEFLNDLEPLGWMHTQPNEAPQLSPQDLTSHAKILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGCSNKDNGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNVPWNYNFMGVKLDPLMKYAMKLGMPRDFYHEDHRPTHFLEFSNIDEGEVAEGDREDTFS >Et_8B_059627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2081700:2085683:1 gene:Et_8B_059627 transcript:Et_8B_059627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGQRSVPGAGAEGASGGLRCHACGYQYPHAHPSAKQRRAHRKHCGKSTASAAAAAEEEGGAGEGEGRVLFPGTVYFVSPLRLRPSSSCSWLDPCSCGCVLGEGGEAGVVGGGGDGIGASAAECGGGLPGSAQEAGSAAADGDNPAHSSHDGAGPQVVIDKCAEDHSNIASDIAPVTNRTDDGGTLNEVAAQYSEEASLPQEGSPSVPELAVSSEQPQDVSIPVFPPEPGYAEGFSPELSASEMQNSNVVSLPSDATGGEISEQANDLITEPDGTTVTGVDTIIKDVSNEESVKGSDFDSSLHENLQTEVGEGHSNTTVEEDSSDKNLSAVQTEEIPNDEPEPEQQSRPVMVTSSTELVPTTEESVKSGTQVPVGADIFHSEATDTVKPQDQPDSTSVTEDHLAVPEQKDIVEGQHYDIKDGSIQPVSSAIGPSVNTEDTSASDADNISKNVISSDEIMGDSVQETVSCNTVSPSQADIVEPSTCSAGHETNMVDSTNGFEEKGQNEKSGADLATHEMNEVLITELIEENQQDKEIVADSIPHETNSVCSTENYGQNKKETIAEPSSFNIPSTCQIEEVIANPALEEINVTNSRDVVEENKQSEVCREIDVVCSPEAIEEKDSTSEINAENITDNAEDKKQCEEISIGDISYDTGMTYSTVNEEKMHNEAMTEDPSSHETIVAHIPDNVEEKKYEETMADHTADSIGVVTVLDSVEERKDKETTAVLTSYGIHATDNVEEEKKGEPTEGSVGDVEEKKQIGETTIDPTPAENNTPQSTDDAEKGTQSEDTATVPAPEKSEVAQKTNDVEQKGETAAKEISTVESMDDLKGTVQNEEIADKEVIVDSDRSHVSLKVLLADKNVETKEKKPSTKDRVLSFRRRSSKDNESPVKPGSPKAGSGQQDWNSPARLPAEKKTKGKKQQWVPFICCPSIH >Et_1B_013555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9419934:9423326:-1 gene:Et_1B_013555 transcript:Et_1B_013555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGEGRAVVRLMWRKATRRWRLGEGGGQVDAAAGHRSMEMGAGGGQVDVAADHRPLEMWGEGGEGVRVVVRLMGQRATCRWRWGEGGGQVDAAAGHRLLEMGGGRLRGDLRVASLLPFYLLGLMSLMGTSKWLKSMRVFFLTILAIAICMSAAVIGILLIKKDCTYFDLMGLENTIIVIKSQNFSFHFTPNMLDMIHLLIIEGFASEEVVRNDVSAVFRQELPKLVCVRCNETSEINTKDASNMNSGHLREFFSEHSKFCDILDDFWGNLFDLHGNLTENGKIIRLDILVGLHIEKNTQSFSCFLDGSGLCEHLKLENYSSTKRVLPFFRINNSMCSQLQGHCALCAIVDLRKTIMGAFLKENPILFRDEKSYLVKKNDQVQHEVLQFEKFNSVTQSVGMLSNCTCAHASIFHCTSYQDSCPLEELNTMAILAPKCPSNQLAQQPSQTKICFSYNELKGLLLNSFRGCIQNISNLEKADLLIQRRGGYDEKMIALTAEMVIFRDVVPKGEFSPSAIVDSSGKMFNICTSVLGCGDSCMFQPCLIISFGVWCISRICQLLPSVEQSVLLGKYSRVLNRLQGILDSAFLNPVPPVFICPCTESVPGFRLPDGSERISVESALKMLMEVEAAIYGQNHQKVYDTGKENLKSVLKRYKRRLSKVSSMAGQN >Et_3A_023610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:170754:174356:1 gene:Et_3A_023610 transcript:Et_3A_023610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVVSTVRSPSKADHHHGFEDVFMVDDDNLLDYIDFSSCVDVPSFFDADGDILPDLEVDPTELLAEFDDACRRGAHDDAGAQGEKKEESPAAGGQEKKDDAREKKGDEVLSAVTTTEDSAAAAGSDTKSSASAEGHSKKKTSSKSSHGKRKVKVDWTPELHRRFVQAVEQLGIDKAVPSRILEIMGIECLTRHNIASHLQALPKHARQYKIEVKYRSHRKHLMAREAEAATWAHKRQMYAAAGVGAPRMVPPTVGFPPPPPPGFVRPLHVWGHPPMIPSGAVEQLPVWPRHLQAPPPPPRPWVDPSHSYWHQMQRYTTNACRQEMGTAGSDTRNAMRDATDASSCHHVAEVSGAGDDGAPHVQTAASGSSAEHQADCRHLAAASARRPPVQGEHRRSHRRCFSEAVAASSSGSQAAVSGQRHVRAAQARDTQDPTSGDLADPSIIHLYRRRSCMHLLCFFSVARTTRRLVVCQSTSAPVSALCRLVYYGGLASRSASFFSQILHCTALVVIS >Et_8B_059483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18340325:18348235:-1 gene:Et_8B_059483 transcript:Et_8B_059483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSMEKLHHPKVAPKIRSLQSLKEITDNFSEEQKLGCGTYGKVYKGVKNGEEIAVKLLHVTPGCDDLEQFRNEFENLKKLRHRNIVQLLGYCYEIKHEYVELNDGKTVFAQNIYRALCFDYMHNGSLQKHLYDEYHGLEWHTRYQIIKGTCEGLKYLHEGLESPIYHLDLKPDNILLDTNMVPKIADFGLSKLVGNEQTQATGSFVGTIGYLPPEFIEKNLVSNKLDIFSLGVVIIKVMAGEKGYHKHATMSSQEFIDVVHENWRDRLKAKAGYMSLEAECHQVKRCLEIALDCVHADRKKRPTIGVIVNLLNETEKMNKVMELPLTESRTTELLDIYPSELRFPFEPKKKIQCTLNLTNKGDDGHVYFIIRSNNPDRYCTGWLAGCVRPNFTLAVTVVMKEQEQPPALEDEFQIIMMKKVVEEWKYEKFREMGGQEVSLMAVICDADPASEAIRHHGSVCHVQGHLGNLICIDVHPTEPWILTAHDKGYVSIIKVKSQGSTITVQRFLHHHSQNCVSVKFIATRKWVVSGDCKGYIHVYTYIDGLEEIKKWKAHRGAVRSLAVHPTHQFLLSSSDDHRIKRWDWDNGWACTREYRGHSSTIRHVMFNPRDTNIFASVSETKYEGEVSPPSTSKVSSA >Et_6A_047689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9767233:9771217:-1 gene:Et_6A_047689 transcript:Et_6A_047689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPAGAWRHHPQHRGRMWATSPAFRRQLVLLRTLLPPHPAAGTSSSSSSSLKVRAMASSAVYEADAEAVIRRITPPLDRARHKGQAGKIAVIGGCREYTGAPYFAAISALKVGADLSHVFCTKDAATVIKSYSPELIVHPILEESYSVRDDERVSVSSKILTEVAKWMERFDCIVVGPGLGRDYFLLDCVGNIMKHARQANIPTVVDGDGLFLVTNNPDLVEGNPLTILTPNVYEYKRLVQKVLNCDINQEAASEQLTTLCQKIGGVTIMRKGKEDLISDGKTVTQVSMFGSPRRCGGQGDILAGSVAVFSSWAQQFVLANEQPTDKRVNPMTLGCIAGSLLLRKAASLAFQKNKRSTVTTDIIELLGKSLEDICPAEH >Et_10A_000132.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:1049917:1050045:1 gene:Et_10A_000132 transcript:Et_10A_000132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPWSAALLQEHLRLCVCRAPKSITVQPLEDWCTLEVDVIR >Et_4B_036217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11184004:11184630:1 gene:Et_4B_036217 transcript:Et_4B_036217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMIPLTIPVTRPMIPPRPRGSPPLPVLVPRSFSGAVSVPVAPASDTLHAASGVITTTLHWLPSRTVSRSVATPPKLMWKAPVPSAVAPATTLPPHTSCTPASGNPGTVATLMELPVLHGTMPEMRTLVVVGPVAASAQCGTKTAAATTARRAARTRLFGAISAL >Et_9B_064900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19692956:19697863:1 gene:Et_9B_064900 transcript:Et_9B_064900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEANAGGLAQAEQRKKVGDLGSGVKDWFFPAFLVLCHGVKSRGARGRWCRDQWCSWRALPAVKVSMVRVRWLEAKNSPEMDFFSDYGDANRYKIQEIIGKGSYGVVCSAVDQHTGEKVAIKKIQNIFEHLSDAARILREIKLLRLLRHPDIVEIKHVMLPPSRRDFRDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDSPTTVFWTDYVATRWYRAPELCGSFFSKYSPAIDMWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSADTISRIRNEKAKRYLSTMRRKQPVPFSEKFPNADPSALKLLQRLLAFDPKDRPTAEEALADPYFKGIAKKEREPSCHPISKMEFEFERGKFTKEDVKELIFREILEYHPQLLKDYMNGSEKTSFLYPSAVDNFRRQFASLEENGGRNGTLDRKYVSLPRTTTVHSNPIPPNEGPAAASQLPQRIPTARPGRVVDPVIPCENGSITDPHNARRVARNPVVPPAGTNQSVYCYHQKSDCSGRQQHQNEVEKDRMQYRSGHHVMDDTKVMAEVARDMRSSPYYVSRGIPKDLTDRSALQGSMLHGVAPFNSITAVAGGGGYSKAGALHYGITSLY >Et_3B_030733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6869419:6871392:-1 gene:Et_3B_030733 transcript:Et_3B_030733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNFAPDAWGWITSLPPFSQWRTNAMSLCICTTPSASASSQPSMNLSVMKAPPTPQPSYVTFSIFANYRVPISLWTSKPIHLKTKSQQTLDEQDVIQVFVDIVNSVLRYGPDKKSSFRFPGAQSQGSFKDVFNIAFLSLAFLVCIYEAPRDLRPGCLDSLRVQLTSSKCRDAAKKLVKMLGANLEDQWMQTMNLAVTNWIVELRSSNQSFGVSSPLFSYALSASGLWKVQLYCPVIAMAMGKEEPAEVTPDERLHFSLTYQQLECVIQLAYRTVRRDNWIDFEVKVDNIRCDVDSLVSETLMAERGYGSEEKHFPSRVMLQITPMQQSDVLSVSVGKSNDNPTHEFGIEKGFEGSFDPPNSFGLKASITESLTLAMKPWKFEQSVHGNTATLNWSLHDGVNGREVYALKPSKLSLLQPRAWFRDRYSNAYRPFTKQGGVIFARDEYGDSVWWKVCGATLGKTMNWEIRGWIWLTYWPNKQRTFHSETRWLEFRECLQLPLTKL >Et_6B_049371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:456725:459991:-1 gene:Et_6B_049371 transcript:Et_6B_049371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKVKRRVGKYELGRTIGEGTFAKVRFARDTETGDPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTKIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSYGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITIPEILEDEWFKKGYKRPEFDENYDATLDDVDAVFNDSEEHHVTEKKEEEPVTLNAFELISMSEGLNLGNLFDSEQEYKRETRFTSKCPPQEIVRKIEEAAKPLGFDVQKKNYKLRLAKVKAGRKGNLNVATQILQVAPSLHMVEVRKAKGDTLEFHKFYKNLSKTLKDVVWKSDDTKIQPAS >Et_1A_008309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6230730:6237857:1 gene:Et_1A_008309 transcript:Et_1A_008309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMKELSNKTNKASIEQITKLQDELRHLEAKHKDLWNDWQYRKLLVTYIRATKEQTKLQSGENELQRFHLRDKVTSEDVIDIVHKYTNIPMKELHQPDIRKMLFLGNVLTSRVVGQNIGLKEVTRAIWRSVAGLQDTNHPILSLMFLGPTGVGKTMVSEILACFLFNTKQALIKINMKEYMISRLVGAESGYVGHEDDRGQLVEAVRKRPYSLIFFDEIEKAHPYVFSILSEILDAGRIVDSEGRIVRFTNCVIVFGSSIGLDLILDINSNTTGTKEEGYEIMKHQVMEMATKTFPKEFLNRIKDYIVFQPLDIEDISQIAENELKRIHKLFEQDINLQYTPQAVELICSLGLDVDHGATPMMEAIKNLEKDINANIHNGDFKKGDLVVVDAEGFQKIRFVVHHAQKK >Et_3A_025777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32807089:32810875:-1 gene:Et_3A_025777 transcript:Et_3A_025777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KPSITSHGKARTGSSLVTTRGEDPETYPYACSFVFFLMDWDAKMPSWDLGTVVGPSSGGALDLKLGGPTSWKAAAAAAAPSSSAPAKRPRAGQAQQAVPACSVEGCAADLSRCRDYHRRHKVCEAHSKTPVVTVAGQQQRFCQQCSRFHLLCEFDEVKRSCRKRLDGHNRRRRKPQPDPMNPGALFGNHHGMTRFTSYPQLFSAPSMADSKWPATTIVKAETDAFQDHYYPAVHLNNGAVSLFNGKDRKHFPFLTPHGGDAAAALGCQPFTITPSSESSSKQSNGNCALSLLSDNQTPAQLMIPTGQPLGAALHYGNVARLPDDGDVSLTGMSYVSVGGKQTSIVATSAGHTATAASPAPATQLQYHGYYHVNGGDQGNSDGASIQALPFSSW >Et_7A_050620.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:7484481:7484540:-1 gene:Et_7A_050620 transcript:Et_7A_050620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRATAGTLPDRAVGIAG >Et_9B_065362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4230664:4257075:1 gene:Et_9B_065362 transcript:Et_9B_065362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQNLVEQQMEEEAAVLKEKTEETIRVQQPVEDSSLPPLPVRCRPFPKGCDSDEYLEWVREYKRVMHLNDLNDLNQYITGIIIAWDVTNKRARILTCNCFEYTESGHNHICRYTFIGKWQYMEDTVFESELLFISSHYMIAVLQITFSEMPVDIPSEIIPSFGLIPKYGQDVFALAKDKDLSLMCGSEGPVVDHNGNVVGMSFSFEEQGTSVILPISTALLCVEMWTKFRRVARPLLGIHFKNVELINEISGDSTFDGLVVDQVDTDSSAWELGIRSGTVIVSVNGQRPLPLPELEVHDLLQDVKRSITLSAPFSNVSKRRVAVEHAVGAREIKPAFKELGWLVGYRRSSDRWRRSLLPTCSIRLEKEPAVVVSIPLGRKSCSSFAYSDGSLDPQNMRLVVDVGDGNTIEIPLKGHLTLNNCGNPSHQAVARLETPTTAAAAEQRTAVPSQHQAVASLETRRTAVGESQDTEAKKKDVNDMRGWLLTVATLFAGMSFEAVNQRPSWMPDPKEGLMFLLGRKRVDTKNPAQVAAQQSASYVMGNTLTFSVSLAVVVLLLLPKMFSDERTMWNARIQIIFLTFSVALNYASMISSDVGILKTVLVVITIFGVVQGLLVFLFNYRFGKRTLLAILFNRGKASPSGHRGQQALATGSAV >Et_1B_012363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31140439:31146529:-1 gene:Et_1B_012363 transcript:Et_1B_012363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTEGLDESAISWIKQGTDSPAPAPPRSPLAERPPAGQLGTALPPRSPALHGVGGGGVGLFSPKRLPPVKTTSTRSGLLGRHSVLLSVTGSDDEWEGEERESTASWGLTEDCYGGNCFSETGDEDGGACSSDSSLLRRAMDPCNGGWDDEVTSQPSRRGGGGGIVRGQSKEFLRVEVRAPGAFAAGKCSSVQDPVDTSSHGRYFEEHKFQNLGTPSAPPIAGDEEEVISNIQEDGVHLPYAENNLLAQIPSFTANVQNAWQSFVAYDACFRLCLNAWARNCMEAPEFLRDECMVLRSAFGIQNFLLHPKYNQVEGKQVYDKDGSCTVKPRKLVKQIEIEVKKIRVVPQKPKLRATSSFRNLYMQAGSEYVRQISKVLKSQVTMLTSTSSTSLPEEMFTCTLELQSSCKDHQRDSISPQYLKPGTGESQLFYLESQGDAILVEVQDNNKVVIGRAKIPVSSFTDTHMLQGGPAVDTIIYDMVLEAAMRAQNFNSKMLHISGPWKWLLDEFSNYYGVSDSYRKLRYLSYIMNVAIPTKDCLELIYELLLPVMKARDDRTLTRQERSIMQDCEHRIKSLLAAVFENYKSLDEHSTTGFSDLFGPISDFAAPALAPAVQIFSTMHDILSKEAQDILRSYLQTAAAKRCRRHMIETDEFMSSNNDSLLTDPMTISAAYLKMKTLCINISREIQADIKIHNQNILPSSIDLPNIAASLYSTELCKRLRGFLSSSPPSRPLQHVAELIIATSDFERDLDSWQVRPVHGGVVSRELFHDYIMVWIEDTRLQLLDNCKAEKAIADVEREIMKALEKQYMETLMPLRDGIPKILEKQVQRLTRRQSIAPYVVPNQLGTFMNSVKRMLDVLHCRVEDILKSWAAYLTITNGHTVFGEQMNSITVMLRKKYKKYLQAIVEKLVYNTQANRNTRLKRILEETREADGESDIRERMHAVRLQLSDSIHNLHEVFSSRIFVAICRGFWDRLGQIVLRFLEGRKENRIWYRGSDYALGILDDVFASEMQKLLGNALQDKDLDPPQSVIDARSILC >Et_3A_025804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33070407:33074641:1 gene:Et_3A_025804 transcript:Et_3A_025804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPQTASSNGAAHSDSDTAYASNEMPSKKLQRSADSLHIEAAKIPGDQTHATKVGWATTLHLAFQSIGVVYGDMGTSPLYVFSSTFTSGIKDTDDLLGVLSLIIYTVILLPLIKYCFIVLQANDNGDGGTFALYSLISRYARISLIPNQQAEDAMVSRYKLESPTNRSKRAHWIKDKMENSPKFKVILFLVTILATSMVIGDGVLTPCISVLSAVGGIQQSATSLTQGQIAAIAIAILIVLFLVQRFGTDKVGYTFGPIILTWFILIAGIGVYNLFKHDVGVLKAFNPKYIVNYFKRNGKEGWISLGGVILCITGTEAMFADLGHFNVRAIQIGFSVVLLPSVLLAYIGQTAYLRIHPENVANTFYKSIPGPLYWPTFVVAVAAAIIASQAMISGAFAVIAQSQILGCFPRVRVTHTSKKFEGQVYIPEINYALMILCVAVTAIFQTTDKIGNAYGIAVVFVMFITTLLVTLVMVMIWKTSLLWIALFPVIFGGAELIYLSSAFYKFTQGGYLPLVFAAILMFIMATWHYVHVHRYNYELQNKVSNNYVAELVARRNLARLPGIGFLYSELVEGIPPILPHLVEKVPSIHSVLVIVTIKYLPISKIETNERFIFRYVEPRDFKVFRCVVRYGYNDKVEDPREFEGLLIGHLKQFVHQESFHVQGGDQGDATESSTGIQETRMSKSLSDGTTSALPNECIDEIQFIQREMDGGVVHMLGENNVVAEGNADIFKKIIVDYAYNFIRKNFRQPEKITCVPHNRLLRVGMTYEI >Et_2B_018986.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:21516622:21519611:1 gene:Et_2B_018986 transcript:Et_2B_018986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPQSHPHLPSPANSQITPPPKREHSSGGAPQPSPEPYLSSPHPMALSRRLVPLFLRRGSGSGGVAPALSFSLPVRALSTAAAAAEPPPPPSTDEDESVVVKGVRISGRPLYMDMQATTPVDPRVLDAMLPFYLHRYGNPHSRTHLYGWESDAAVEEARARVASLVGADPREIFFTSGATECNNIAVKGVARFYRDRRRHVITTQTEHKCVLDSCRYLQQEGFDVTYLPVRSDGLVDLAQLEEAIRPDTALVSVMAVNNEIGVVQPLEEIGRICKEKGVPFHTDAAQALGKIPIDVNRMGIGLMSLSAHKIYGPKGVGALYLRRRPRIRVEPQMSGGGQERGIRSGTVPTPLVVGFGAACEIAAKEMDYDHRRAAALQQRLLNGIRAHVDEVVINGSMEHRYPGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRFGIGRFTTEEEVDRAIELTVHQVKKLRDMSPLYEMAKAGIDLKSIEWSQH >Et_4B_036780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11488999:11489711:1 gene:Et_4B_036780 transcript:Et_4B_036780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFCPVAQDLQQHKPRPYTPSPWRDFFLHHQPCTPSQLLSMKESAEIKKEEVRKIILHTGASSDMALKLELVDTLQRIGVCYHYVNEIDEVLRHVHDNARHEEAGCADELYITSLRFYLLRKHGYNVSSDVFVKFRDEQGNFTSNDDVNCLIMLYDAAHLRTRGEEILGDAITFTKAV >Et_3A_023106.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28119923:28120174:-1 gene:Et_3A_023106 transcript:Et_3A_023106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGLLRRTKPNTIYITVVSRNRVRPLLSSHFFMFSSSSWCHIQPGLAVLDLPSVGSSQSCRRNITQRWYHPTKVDRLKQSKL >Et_2B_019830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14269207:14272831:-1 gene:Et_2B_019830 transcript:Et_2B_019830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASKRYNHITDKDDAETDIMTTSGQIQPLKIPDAVVALAQAAAKANGETEKYLPGWPLFSPPKLQLDKCTKCSREFCSSINFRRHTRVHRRTLKLDKDFPKNRDHIAAFWDKLTVDEAKTILSFLDVAIEGVTGSSVLTALTSWMCKPGYASLPVAYARAGSELLDLIQTKAALHLHVSSNELFSVLDEASENTFLCTNTTAYIQKFLFEGEADKVATELKNVVACASYILEKKLVEAWSADKAAEALRCQKLLVEEEEAAQKRQAELMERKRMKKLRQKEQRSKDLKDEEVSVHLSEIVIVASGSPRIQSLKDVSDPGLYEQDSHHPQFEAQDDNGFNVDRSVEGISYDSGHEMGAGVITTQQVISRHHIGGTENHAQNSFSSGTALAPKHAARHSSYRNTNVCTLSNRNKTWERKVRADIEEQCPKHELDTDDGLGKSSRVLIGSISVAIEDGSEHSQEFRDPKHDSAFPSSKITNNSVTEMTELLRHEESRNNDNITPAVEKHLSSSVMTYDNSSSTLWNTDLAGCGRLQCTTFSSEEATAFLSRRWKDAVAGDHVKLVLCPEK >Et_2B_019199.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17065706:17066809:-1 gene:Et_2B_019199 transcript:Et_2B_019199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILFITSSGSLLMARFPALRPYPSSASAAFSSGGTRSPHWNRRLALLHSGSTLLVVSRITDSSAPTPPPPFPAAASTFDAIPFPLNENARCASRSWSSPLALRSAILIASGAGAGRGAGGSAGGAERTELARPKSPTRGLKRSEKDGGLEERTLNSAASAKGAPAGDPSGPRPVRTESAKGWSGSLGRYESRSAGPVGNAVERSKRRSLASPPAARPERKGSPSTPTGTLARSSGRSSSRNLIDAFMMGGRAGGQLGLGCCCWIGNSPRRGEEERQRWRWGFEGAGEGIGGGGYGISGAGETAAGAAGLIRGGGEEGGLIEMGRRLKCKLDRLEPGAGRMDRLFSPFCFLLLPRCFSFLTFYLPVI >Et_5A_041294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20774269:20779405:-1 gene:Et_5A_041294 transcript:Et_5A_041294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPGFFKWVVTKYPGVISPAKEEPAAGHGGSKGTPDGIVYDNLYLDMNLIIHSCFHPQDQMHAPTHVRAPTTLDEVFESIFAYMDCLVRVVRPRRLLYLAIDGVAPCAKMNLIRKGRLHGARMQIATAVEENRLAEESRAQGKEVPPRETSPEVSDANVITPGSEFMEKLSQALEYYVRSRLNSDPGWKHIAVGIVCCKSPRALDALRSRCLIHNTPVTKVILSDANVPGEGEHKIMSFIRAQRSVEGYDPNTRHCLFGHDADLIMLALASHEVHFSILREDALLPYKAENNAGLTEANLKKPYLFLNIWVLREYLEIELKILDPVCEPDIERLIDDFIFICFLLGNDFIPKIPSLEVHEYAVDLLIEVYKTTFNKIGGYIVITDKIKDKHAAYLDVSRLEIFFHELSMYEEKIFLKRYGMEQEFLKQIYLEMLDEASDSERLRMKRVLDDLLFNEDRPYDTIRRGLPGWKSRFYREYFGVETSNEIGKLKNDMAQKYLEGLCWVLQCYFADVPSWSWYYPFCVAPFVSDLKGLSQFEIHFSMDKPLRPGSLLPKCYRKLMARELSKTQPFCPNLQTDMNGRHFFWNVISEELLLSATKEADRELSVHEMKRNTTRQEKIFLKRDSNALGHNEVFVPTPYSPPQKVQIDSAMSGIGGWLSPHGDNDDGLTSGFFGSPIKDLQDSTNDQAVSATFFHPEALNPIPGLLENARVPEKTVTGADISKRPLWHTYTGSRPPRPKPDTLWKPSTPVTPREEHKHAGIGWLGRGRGNAAEASSSSSSYGDRKGFHRTSMAPSRGSSSNRFDNNGFRQRGGGAPWIGDGGGGGEVGAGHGGGRAQPRGW >Et_3B_031576.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:5212943:5213506:1 gene:Et_3B_031576 transcript:Et_3B_031576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSHKRAREAGDEPASPELSNESKKLRPEDLLDMLDDDTDAAAAGDLASVMRSLEEEIGSFDDEAVAASDLAAAPQPELGFLLEASDDELGLPPAGASSSSEEAGAGELEVAVGLDEQIWGFEDEIAGGFGGYGGSSPEAAAAIAAAAAAGWDDEGFDAGLFTFGDEACGPSDLAALRHETMPAV >Et_3A_023238.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:13128805:13129155:1 gene:Et_3A_023238 transcript:Et_3A_023238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSPSPAAAAWALLLEASGDSESDDLAAAAGIVDDMDDGDAESCSGGDEDDDLAGNSSRLVSWECWMVENAAVVVVGAEGACPPSSLISTATEEEEARDAEGDRLFWEACIAHGY >Et_1B_010559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11633260:11647200:1 gene:Et_1B_010559 transcript:Et_1B_010559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMIQHYELLEKVVGKGVIMNSNALLLRRSYYGFRPVLGDGECFYRSFIFSYLEQILDREDKHEEYRLLAAVKEVARQHKSLGWTSEFSNKRKVALTILTPVISEIKVKRALAFEKMIKKVMRWKGYRGRKHLSQTKLLDFFSGYDATRDIFAFLRLVAAIWICSHREEYEQRVAELREDYTLRDWCFREVIPEKIYTDHIQMTALVTALGVPLRVENLFQGVGQDLYTNQDPEDNMPRSTCWTLRRRLLPSDHVVPRVTVLFTNSHYDIIYPHRRDGPPPSIDESGSGETDLGESSTATGQSSSQQIALRRRSSGGSISSLESEQGQDHLVLLFFVVFIILFFFFFVFIILFFPSFFMPPLVFGRWHIIFRLHILSRDCITNSPGGRGLVAPFHEPPKKLLALLDGQVAQVRKTLRQLPLLRLVQPGVHKRAQRGEQILDTDDKHEEHRLLAAVTEVARQHEILGWTSQFSNSRQVPLTLSTPGISENCLFMHLKLDLHYELLEKVVGKGVIMNSNALLLRRSYYGFRPVLGDGECFYRSFIFSYLEQILDREDKHEEYRLLAAVKEVARQHKSLGWTSEFSNRCKAFEKMIKKVMQWKEGCSRWKHVPTTSSYRKQKLLDFFSGYDATRDIFAFLRLVAAIWICSHQEEYEPRVPELREDYTLRDWCFQEVIPEKIYTDHIQMTALVTALGVPLRVENLFQGDGLDLYTGQDPEDTMPSHRLLPSDHAVPRVTVLFTNGHYDIIYPTVVMVPHLLSMRESSTATGQTDLGESSTATGQSSSQQISLGRRPSGGSGSSLESEQALFIMLSA >Et_7A_051087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14254762:14260012:-1 gene:Et_7A_051087 transcript:Et_7A_051087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFWDLEWGVQQIVPSGTVFNRDPMPLLKRTPFPLLEPPENLDPNEKVFQVRFTKEIFRNYQEYLKRLKLYRQRVWTCKVSGKSNLTFEEALICEQRAAEKAQQLPKELIAPVLRMIQHNWNFFYISGTLSLTDLVEKVYSSLLLDIFEGLELHAKKDGSECACKILKVISSGNTKLYEVGWVGQDNAVINASIVKSDDLIRKKAPVSRNTLKMFIRESTSTSSPWILHVNIAKKYEIPTELPEDIMNGEGLCKAGKRLENGTTEDARKRFKKGVLRDVHAISSSSFVYMISCYCFSANVKVGLPIKYPIDDLLLEPEANDPFFSKRPPLSTDFRVPIEFVGDLLMVWDFCLSFGRLLCLSPFSLTDLENAICHKESNLVLIVELHAALFHLLIKDGGSYFTFLQNKKRKLKVTLVKWAEYLCDFVDVMGNEEFSCKLSTVRRGHYGLLDTGLKLKILRVLVDEAIATAAVREKINERIDQRQAFAAAKREDARKNGKEQKLNMEGVAENGINQSGATQNGNGCVKGQHVEKEQDLKCFSSSKKGEGKMYLRRHLETEMQHQSVWPSPLGKDRFYNRYWFFGSEGRLFVESGDSKEWGYYSTKEELDALLGSFNVKGIRERALKQQLNKSYDQISSALERRMKDVEQKMLLDEAVLRRSTRVHAQPKDENPLSFLNCHLDEHLGGLHRSVHELRCPNAAQNCISQLVALRAATAQDNAAEGAVDGSRNLLKNGIIGLKALQEHTGDDLPPGLNLIIGR >Et_1B_012849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35548755:35550396:-1 gene:Et_1B_012849 transcript:Et_1B_012849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVRRSLRLRSSPDADDRGWNQLHVAARKGDLKQVRRLLDEGMDVNAPAWGPKTPGATALHLAAQGGHVKIMDELLERGANIDARTKGACGWTPLHIAAKERNKRAVRFLVENGAFLPPEMNDHRFNPPLHYCSGLEWAYEMKRVQDESDSTGETSLSSEN >Et_1A_008945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2025444:2027682:-1 gene:Et_1A_008945 transcript:Et_1A_008945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDAKLKAYIEEHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGDFTEEEEHIICSLYISIGSSRSITCKIPMHKHARARSQATPNLRINVFMFRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGKRAPSRRARANNQDPYQQLQPTASGGGNMCSTSNNGSSAMATQALSASAMERIQLHMRLQGLYGAFGCATDVSAGAGAAATQWPKLETLLTSGKLLPATEPVDAVATTVAVHQPAQHVVDQGLAAAGPAAVDADQLGSTGAAAYMPCSFERTNKLGFCSPAATEAEVASSFMVNGGYGAGGFGSHHDELYDFLYNKYGSVGAGSLGGGHIPSLPELQCPDSAAIVGADEKFSTWATSCDYGVAAQIQGNSVGSLHDYALGGYDQ >Et_2B_021164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26988502:26990908:-1 gene:Et_2B_021164 transcript:Et_2B_021164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLPLFLLLLLHGIRLSSGIRLGNGGYEDWRLGTATYIKEFQPHPLNDGGGACGYGDLDIFRYGRYTAGLSAALFGRGSACGGCYELRCVNHILWCLRGSPTVVVTATDYCPANMGAVDEAGGWCNFPREHLELSEAAFLRVAKAKADIVPVQFRRVSCDRAGGMRFTITGSAHFLQVLITNVAADGEVAAVKVKGSRTGWIPMGRNWGQNWQCDADLRGQPLSFEVTGGRGRTVTAYSVAPADWMFAQTFEGKQFAE >Et_4B_039592.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:23253697:23254185:1 gene:Et_4B_039592 transcript:Et_4B_039592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPVHGMLPLLILAACLVLFVPAADCSRPSPEEELRKPAAAVPRPALPHRDVPRMHVDAATGTGHPANGVAAEHEGKKVRADDKNVVTAASATTRGEGQGRLGSGQDRSAVPKPVVLLRSKLARRFLEAGVVEGADSAAGPSCHSHDVHNKSCPPASAKP >Et_9B_065060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20977674:20979418:1 gene:Et_9B_065060 transcript:Et_9B_065060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRIGKVLDCFAFSLCTSTCVCIRALEDEEEAAVERKALVSDHRQLDQVLRLRDLVDGTRTLGFHLEPKTVELRVSMHCYGCARKVQKHISKMEGVTSFEVDLERKKVVVMGDVTPFEVLQSVSKVAKFAQLWMAPN >Et_3A_023935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14924566:14926590:-1 gene:Et_3A_023935 transcript:Et_3A_023935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDATFEFERKRNRPERYDRNVTEQTLKAIPVILKTRHERLQKHIKDRHTPGKKLEKKYAAKELAQDIGLIPKVTVLPTEQQKVKVKVVQQQTEDNMEE >Et_1A_005921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15564867:15566544:-1 gene:Et_1A_005921 transcript:Et_1A_005921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGKLIANLIVMGSGIIGRAVLQAYRKALQNANSTGVAHEAMNNIRRASKTMTEQEARQILGVSEQTSWEEIVKRYDILFERNAKSGSFYLQSKVHRAKECLENVYQKNQQDGPPT >Et_4B_036844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1215019:1217157:1 gene:Et_4B_036844 transcript:Et_4B_036844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVGDAHAAALMQQHVQRQQGMSFAGEQGGSSKPRMPGLPPTPPPPQQQQQRAGGDVCMVDVDPRGGGGSKAAHRRSRSDVPFGYFQAAASSSLLPPPKVEAGWSGGTHTDADDLFNSYLNLDGLDGGLNSSDERHGGDDSSENESEEGATGADSRAAGIRLWPDGGGLKRGAAADPAAPTMARHARSLSMDSLIGKFNFAAGGTASANGVIPGPNRFSLEFGSGEFTPAEMKKIMADEKLAEMALADPKRVKRVLANRQSAARSKERKMRYIAELEHKVQILQTEATTLSAQLTLLQRDSAGIATQNNELKFRLQAMEQQAQLRDALNEALTAEVQRLKIATAELGDSCSSNNLAHQIQLNVQEQMFHLQQHQGTPIPFYQLQQPQQNGAGKNHESKE >Et_1A_009217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33929067:33931350:-1 gene:Et_1A_009217 transcript:Et_1A_009217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPTTAPTGGAAAPAPADQAAFRLVGHRRFVRANPRTDRFHALAFHHVELWCSDAASAAGRFSFGLGAPLAARSDLSTGNSAHASLLLRSGSLAFLFTAPYARGVDAATASLPSFSADAARRFAADHGLAVRAVALRVADAEDAFRASVAAGARPAFEPVELGRGFRLAEVELYGDVVLRYVSYPDDKDAPFLPGFEDVSTAGALDYGLRRFDHIVGNVPELAPVAAYVAGFTGFHEFAEFTAEDVGTAESGLNSVVLANNAETVLLPLNEPVHGTKRRSQIQTYLDHHGGPGVQHMALSSDDVLRTLREMRARSAMGGFEFLAPPPPTYYDGVRRRAGDVLSEAQIKECQELGVLVDRDDQGVLLQIFTKPVGDRPTLFLEIIQRIGCMEKDERGQEYQKGGCGGFGKGNFSELFKSIEEYEKSLEAKQAGASQLSFTSFEVAALCWLQQPESSRSKEQNKAI >Et_3B_027573.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28409428:28409871:-1 gene:Et_3B_027573 transcript:Et_3B_027573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYARSAPMVKKTQTISCMDARSHGRSGTPLVSGCRLSQLQHELGNSPGLRRHRASTGTAWRCSATGSCGSTATRSSSGGKPRRSLASYLLAEKKQNYGVVVCRATMARYLVLGAGCFLPCSTSKCVIGVELFCNLYWAIKGATIIS >Et_3B_028697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18082136:18097277:-1 gene:Et_3B_028697 transcript:Et_3B_028697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMNAMRQLVMRSLPDRAFPRAGALSTALLRIIASRLPVKDAGRIAALSPSWRRVWRSVPLVLDDVHLLRNMDIDGCVAAVDHVLAAHPGPFRSVRLAYCSFGSLNHGRELARWPRLLAAGGVQDLVFISPPPPIDMPLPADILRCTELRRLYLGFWEFPDTRDLPDGAGVFPHLREFVILNTRIKDRDLDHMLASSPAFEKLALVFSYGLPEHVRLRGQNLKCVLFWHSMAVELSVVDTPRLERLLMWNSYANSNNESHMRVRIACAPQLKVLGFLDTRVHQLQIGNTVIQADTEVSSNLMVPSVKIAALKVDFSVFTEVQMLTSFLRCFPNFATPDKPTGKPTGKNCAMFLAKLCPIECVHARIKNVVVHEFRGDASEVVFLQYLTHRARMLQKLTVVLSNEILLSVDDMKTVLKKLAILPWASKACTAVRLCVLGCLPFRAITTTGTLSAAAGEDHDGEDRISALPDELLCNIVARLPIKDAVRTTVLSPRWRRIWRSVPLVLYDAHLFPAPGPVRDDAIDRVLSSHPGPLRTVHLAYCFFGALDDDDDVLDKWARLLAAGGVQDLVFISQPLPVDMPLPADILRCTELRRLYLGFWSFPDTADLPDGAGVFPLLQELVLLNTLIDDCLLDHMLASSPALEMFALVASYGLPKRVQLHGRNLHCMIFWMSMALELAVADAPSLKRLIMWYTCPPSGFDESDDESRMLVRIDSAPQLRVLGYLDPGVHKLQIGKTVVEADTKASRTSIVPSVKILALKVHLSVFTEVQMLASFLRCFPNIDTLHVESSMDNETTDKHYAEFFESLSPILCVQSNIKKVVVHEFRGLPSEGAFLKYITQRVSQLEKLILVLADEIFVPAGQDLLSDLAIPPWASKACTTVLLSGPNVESRWNLQFRWASNLSIEDPFVSEHGQECFPVRAVTRDGTLCAKVGGGGDGDGEDRISALPDDLRRNIVSRLPIKDAFRTTALSTCWRRLWHSTPLVLYDAHLNPDEAAARLAVIDRVLAGHPGPFQTVHLAVCYFDKHERELALWPRLLAAAGVRDLAMVSLPGPMKLPRLPADILRCKELQRLYLGFFVFPDTAVLPAGANVFPDLRELAVVNCYIEKLNLDRMLASSPVLETLALFGSFGFENEHVRLIGQKLQCVLFCQSMAAEVTAVDAPIMERLILWDSPARGEGALMGVKIAKDVPELKVLGFLEPRIHELQIGNTIIKADTKVSPRSMVPSVKILALKLNLGVFKEVQMLVSFLRCFPNIETLHVESAIDGEPSGNDYMDFYKELSPIECVQSRIKLVVLHEIHGDLSELMFLKFISQRAGKLHKLTSVLSGVGRATVGQMKHALETLALPPWASETCTVLLAGPREDPDLNFHQACDLSVHDPFLEYGQEFFHCCKLIVKREIDQIYKSQESASAIKGVTHRKIRCSLNAPSAVSFTRDDQQTRALAGPIRCAQRFQLGDHLICGGWICIGQHNNQLQQRSRPLKDELEECKFTALPPELVKHHPGGMCLKALNGTNLLPELEIQLASGRIGHMRLQN >Et_2A_018396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26641959:26643919:-1 gene:Et_2A_018396 transcript:Et_2A_018396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRELSRTLCDLKGQHSEYPLLIETAAKCRASTSTQQHCTSTMDALPEALLSEIIKRLTSTSDLNSLSLVSKLLYNIDAELSVCKNLSVLHLISCKKVGCKNLSALHLISCKKAGSMDWLEYLGRSGSLEELVIINCKRIGQFDLLLFGPGWMKLQKFELRIWDPPNVFHPNDRSYVAHNEYRYNFCCEGLKDLTLARLTVVPEIGLRCLLSMCKDLERLNLNCVMGLEDNDMVTLANRCGNLRSISLLLMPEYCEGYVYRTALTDDTLKALALRCHMLESFELAFWGVSPDWPEIGFTQDGLAMLIQSCPIRKLMLTCANIFDDEGMKALSCSKFLESLKLVHIAITDAGLRLLACSPRLVDLTLWRCSGVTDDGVGDVVRARKLESLNVEGCSQVSEKALHGAAKSVHYIGGDYSPGFSRWASCYVYGVMDTKLACLSG >Et_7B_055421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10155330:10159064:-1 gene:Et_7B_055421 transcript:Et_7B_055421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTVSIRASPPSTAFLPSYASCGCDTLGRSLAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLVGNKCDLSHRRAVSYEEGEQFAKEHGLIFMEASAKTAQNVEEVMLNAFVKTAGAIYKKIQDGVFDVSNEFLVNPVVLVPRLLKAVAAAANLLQCSKSSIAINESKRNCTDQITLQHTCVCTCSSKATTKNARITHTCTLAGAQQPQHGPRSNPCRGRLPGVAVVAGVVAADVPDALVAVPEDAEAEEDADEERDHQHREQEAPHPLPVQRQLAHVVRFDLPLGDERKSCRFSNGKDGRRLLLGFLSEEDCHWLLHKCRSVSSTVWRRHCFIEKPNVAGAGQL >Et_2B_021754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5135253:5137403:1 gene:Et_2B_021754 transcript:Et_2B_021754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLQIKRIENNTNRQVTFSKRRNGLIKKAYELSVLCDIDIALIMFSPSQRLSHFSGRRRIEDVITRYINLPEHDRGGYVLPDEDADAAQVRGRHSRATHDDQPDTAALASMAEVEACKKFLMDTLARVEDRKQYLLSNHIDPFDPSASDMQHVFLPPPQQHEQQGDMAAENAFGGDVVSSWFADGMPSIFGGPDPVMSFREQAIYDDAMRRDPVVDPGMPMCHVDQQGPSDDWQQAYTSAELLSALIPSTPFPLDDQVDAMAPVLTSPMAPPPHVLEPVEAAGSSSHVPAPGDDGLASAVNIG >Et_2A_014504.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:17608225:17608563:1 gene:Et_2A_014504 transcript:Et_2A_014504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSALASRLLMVAAATSTAVALVLAIGLCTAGSDDDGRGRPRPVAPAPVLGVSRRGRVEYDFAGVDVMSGNRDLLGAAEGAAAEEEQDYGYVDPPPDTHRRAGSAPIPHN >Et_1B_013505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8994781:8997021:-1 gene:Et_1B_013505 transcript:Et_1B_013505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRYSKRLFKKSSSSKQAASSCGGDAASAGVGGGAREIEWEVRPGGMLVQKREGRGGEEVIVVRVSTGFSWHDVSIAATSTFGELKVILSMVTGLEPREQRLLFRGKEREDTDHLHMVGVRDKDKVLLLEDPALKDMKLRALASQVVPSSCQPFIQHESNKSIEMFGVIRKEQEITITVDMSSTDGKSW >Et_8A_056924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1899913:1910128:1 gene:Et_8A_056924 transcript:Et_8A_056924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METYLSTRLPNAKNLYLPTFGKEFGSISDYGQDAWSKLNQEVVRNLSDTVVGVASFIVLKIEKLSCAGNKMYFGCTGIVVKSNEGSAMRLPNGEATIGMVAHYDLDYNVAVIIIPPTPGLQAAFFDHHMEFDSHSNVVAVGRWFYSGRFMATTGTLTDEPNGDYQEHMSISTCKIRMVMTGGPLIDSDGNFLGMNCFSVERTPLLPSNKIVDFLVLKNDLKPRGYPMPTRLEGGMHLVNTFEEEFVEDTWCKLEDQRFFVCTGVFIDCIESTSRILTSASLIRSSPDENNIAENLKVGTTYNYYIQVYVPNKLVVTGTLQHYNMEYNVAVISVKGFRCLQTAEFHNQVQMEPQREVVAVGRVFESGKLMATSGIVTGKECKLDCKELMVTTCKITKAGIGGPLIDFNGNFLGMNFYGMKETYFLPRNIILGLMKHFEAEWSVTGEVICSKANSRAAGTLPGQSRSAASASATPTQS >Et_1A_009375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4345121:4348322:-1 gene:Et_1A_009375 transcript:Et_1A_009375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFKSSRSVLSRSPSFPRRGSSAQAPPPRYYYSSRLPRDNAPSRPPPPVPSHRCFFTSPRCETSRPRRGVSRWYHHPRLRKIAAAAVIVVDGVAAIYFHNLEAVPYTNRTRFIIVSRKLELLIGEAAFAFLKMDMEPEILPPLHPESVRVRRITSEIVRAVDSGLAGRPRREAGAIMLGGSRRKDGGAAATATAKPEDEERRNHGDELGAQPRTSSLLDGWDVIVVRSKKVNAMCVPGGKIVVYTGLLDILREDAEVATVLGHEVGHSIARHTAEHITKILWHLILHIVTLKFIYRPDVEREIWLPFKRSLETEADHIGLLLLAAAGYDPRVAPLVYEKLGKNGRPALEKYLSIHPSGLTYNSINLQLYKGQW >Et_10A_000015.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:13645576:13645890:-1 gene:Et_10A_000015 transcript:Et_10A_000015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLSAMAQPAAATCRDSCASACRSTCSSTSACSSVKMMVVQLCTSTCIRGCSSQCSSCGCGGVCDSSCGSSADAAYRSCLSSFNQQCNNACYSGCMNSCRDV >Et_10B_002910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13512320:13513657:1 gene:Et_10B_002910 transcript:Et_10B_002910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FHPTDEELVRFYLKRKIQQKSLPIELIRQLDIYKYDPWDLPKLASTGEKEWYFYCPRDRKYWNSTRPNRVTGAGFLKATGTDRPIYSSDGSKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLTDPSVPQKKPLEKTIPPNVSNLNAAILKNYIMHPTLIEADYIRREFTNPEYKERITCNNWTKKLAFCDVIQ >Et_1A_006318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20668530:20671347:1 gene:Et_1A_006318 transcript:Et_1A_006318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQESSTEEPLLVTRSENAAAAEAKRLLRLAGPLVASCILQNVVQMVSVMFVGHLGELPLAGASLATSLANVTGFSLLSGMASALDTLCGQAFGARQYGLLGVYKQRAMLVLAAACVPIAAVWACTEQILLLIGQDGDIAAEAGAYARWLVPSLLPYVPLTCLIRFLQTQSIVVPVMASSGVTAASHVLVCWALIYRAGMGSRGAALSGAVSYCVNLAMLVLYVRFSSSCKRTWTGFSMEAFKELHRFTKLAIPSAMMLCLEWWSFELLVLLSGLLPNPKLETSVLSICLNTGSLMFMVPFGLCTAISTRVSNELGAGQPQAAKLATRVVLCIASSAGLLIASTMILLRSFWGYLYSSEPEVVTYIARMVPVLAISFFTDGLHSSLSGVLTGCGEQKIGARVNLGAFYLAGIPMAALLAFVVHLNGMGLWLGIVCGSLTKLVVLMWITMRINWEKEAMKAKETVFNQSLPVVLAGSAIAKVVAKIVTALAVTIAEVAVCCRPITHHIHASAIEAGSAIEAGSADN >Et_7B_055193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8115565:8117584:-1 gene:Et_7B_055193 transcript:Et_7B_055193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HASFRPRTRGGCSMEPGDTGKRVRASGVAFAVDRLSDLPDCLIHHIMSFMKARQAVQTCVLSTRWTHLWRSVPSLDVDMKEFRTVAARNATDDERAWEKFEDFTGNLMLRFDIATLESFRLRVGSPWVPSRGHSRSRTWIRRAMRSRRPGPGIQRVGVSSRSWPLKRLVLCYVHLDDGFANHVSSECHSLEELELKSCRCEIREVTSNSLKNLTLKNCKWSGLSAITSPTLKRFVIDEGKNAYNCLLTVTAPAVTYVHLVLSVLRFPGGVLLNEMPSLAEASIFLRNLEFVTSFWKSNASGQLKLLESVSNVTSLELLHFGTMLLSEESTSFPEFRNLETLTLTDCDLRDNFQTLFQFLKKSPNLEQLTLKHCKLPKTLKKKGTKKAWSIGCLNTVGTQCKNLKCAEIIYRVEDAWKLLVLALLMSVPGNLICATISEESSHLGFSEFYMHMCASHAISRSMYCCLPEYENYPKLMKVFHDHNFQTLSQNNRAVEQRGRRLQAGGVPKEATRRLALDSLRLPRSNALGVVGQGRVFWFFLEQGPSPRTGSGRGCTRRAGQGPEGTEVAYRPGASACMDDAQ >Et_10B_003166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17206818:17208095:1 gene:Et_10B_003166 transcript:Et_10B_003166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLLKSSFLPKKAEWGATRQLAAPRPATVSMVVVRASAYADELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTAPGLGQYISGAILFEETLYQSATDGRKIVDILVEQGIVPGIKVDKGLVPLAGSNDESWCQGLDGLAAREAAYYAQGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRTFEVAQKVWAETFHAMAENNVMFEGILLKPSMVTPGAEAKDRAAPEQVAEYTLRLLRRRIPPAVPGIMFLSGGQSEVEATQNLNAMNQGPNPWHVSFSYARALQNTCLKTWGGRPENVKAAQDALLLRAKANSLAQLGKYTSDGEAAEAKEGMFVKNYSY >Et_10B_002808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11662210:11668166:-1 gene:Et_10B_002808 transcript:Et_10B_002808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GYLVLERPNAGGYLVLSSTARFYGIAPDSWPWLSPQHVSASLLASLLILWCQRLNGSDWFLCSFFLCVVPVLMLGRDGWEGDLPSALLGGHIQTVPKEIHAPIDLTKADYLLQFRNSANPFVDQAIQFSAAAAKATVTHKEKKHALDKVWTFLGCNDFNSYSKQPEHTFGWINKSSDGNTEKDTIGALSAAVVSLHISARQDCFSSMTQQSVSPICYTDDSACEYPVIHSRRSSRASHTMLSALPVLHAFTLDRAGSTADAASPGSSRLVEDGPSRSAESQKNPVQANAMQWNCRGGYLIAGAEEGAGRVAMDTHKGDRSREFQRNRVSGNRVRDSTRARSDGPRYKFIESNNRGVDKLMDTQGFC >Et_2A_015357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11578729:11580627:-1 gene:Et_2A_015357 transcript:Et_2A_015357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFDEVHTLRRRGRINQSFHDFPEVFFYVVKDLELLNQYIAIGRGLENFLGEEGRHTSLLRLTNLSRSRSEEPPSATLVHAAFFKDSTLALNPSSPSSHATLSLILGA >Et_9B_064392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14777203:14781640:1 gene:Et_9B_064392 transcript:Et_9B_064392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARRLPPALLLLLLTAAVGPCAGAGGAGRVDLWPMPSSVTRGAQTLHVSRDLKLTKAGSNYSDGRGILRDAFARMVAVVVMDHVINGSYQGAPVLAGVNVVVRSPDDKLNFGADESYKLSVPATGNPLYAQIEAQTVYGALHALETFSQLCNFDFNARLIELHSAPWTILDTPRFPYRGLLIDTARHYLPVPVIKSVIDSMTYSKLNVLHWHIVDEQSFPLEIPSYPKLWNGAYSYTERYTMDDAIDIVQYAEKRGVNILAEIDVPGHALSWGVGYPSLWPSATCKEPLDVSNDFTFKLIDGILSDFSKVFKFKFVHLGGDEVNTSCWTTTPRIKSWLMQHGMNESDAYRYFVLKAQKIAISHGYDIINWEETFNNFGDKLDRETVVHNWLGSGVAEKVVAAGLRCIVSNQDKWYLDHLDATWEGFYMNEPLTNIYNPEQQKLVLGGEVCAWGEHIDASDIQQTIWPRAAAAAERLWTPITKVAKDTRSVTARLARFRCLLNQRGVAAAPLAGYGRSAPSEPDSCLRQ >Et_1A_005452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10576763:10579694:1 gene:Et_1A_005452 transcript:Et_1A_005452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKEVDGRVGWAAQPLDVEKNGSSDNGGGRKPAAMGIVRLFLACMVSGGIQYGWALQLSLLSPYSQTLGISHSLVSLTWICGPIAGFVVQPIVGYYSDRCTAKIGRRRPFILAGCIIICLSVMVIGFSADIGKHLGDTKETCSVYNGPRRRAAWVYILGFWFLDFANNTVQGPARAMMADLSAGAQFGPNVGQAIFSIWMALGSVLGYLSGANAKWHEWFPFLKTAACCDACANLKGAFFTAVVLIIISMTVTLCVANEEQHTKDDLDKSEGGVLSAFADLFKSLKNLPPSMYKVLAVTAVTWLSWFPFFQYNTDWMAREIFHGEPQGSLAKENAYNAGVREGAIGLLFCSVALGVASFLIPKLCRKLTSRVVWAISNLMVFALLFAMVAIGLVSTKGYNNTLTAGLYGPDKTLKGVALAMFALIGIPQAVLFSVPWAVASEVATEEGGGQGLTIGVLNIAIVVPQLVIALTAGPIDGAFNKGNTPAFGIGGAFALICAVMAVTLLPKTRGLSGTAVMAGGH >Et_3A_026473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8456554:8459885:-1 gene:Et_3A_026473 transcript:Et_3A_026473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADDTAAAGEVRRILAHLDSQQQLLSDCRDAWSRTLAHFASLEEDLASRSASLEEALAAVDASTSESFATLESREAAVPDRLAEAEAALSAAVSEAEAESVAPRPTDIRGALRWMCRRMDAAALWRFMASRRRELAAVRREAGPAVAAAVDPPRLVLDVVSDFLAAEEGACEDHYWVLGMLLRSLFGSDGRKPPEIGDTLVERAVAVTKEWKERFGIYMDKLAPLNQEVEMAEAEIQENASAIENKEVRAIATEKEEHGDEEEQEEEDPDELVIGSGDEEDPEEVEDSPEEGKETMEKGEDAEGKLTEEGEGSAKTVHEEEKKGAGETREGEKGEGGDNGALEQPEVQIFLQMVAAFGLKDKFDEVFLRRLFIANGRRKELARIACVLGFEESLRDIVAESIMSGNVIEAIYIAHEADLLEGFPPAPLLKSYLQDSSDKAQAVLKSGRRSSSAVEEASNLESNAYRSVIRCVEACQLQSVFSIETIKKKLVKLEKEKAERKKPGPPSRFQNKRARGAAGPHPFPAAKAARGTSSSFSPSFQNPVSRSFSYAAHAGFVGPAAAQPYFVPGSVAGRRGGVPYGGPGAGYDAAHSFAAGAPQRPFRH >Et_3A_026846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23421452:23422327:1 gene:Et_3A_026846 transcript:Et_3A_026846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADPRAKPPPTAAPHHLKPWAPPPPPRAHRVPSLPDVSGGGARDRRRSSSPWNLRDRKRRPSARAAAAAAAAAASSPWEPATEKPLSDDRAPFAVALTPEEVEEDVYALTGARPRRRPRKRPRVVQRQLDTLFPGLWLTEITADAYKVHDE >Et_3A_023998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15920109:15920629:-1 gene:Et_3A_023998 transcript:Et_3A_023998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAELTEILFF >Et_9A_062629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2534621:2538201:1 gene:Et_9A_062629 transcript:Et_9A_062629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASADAEAVDFNFDDDDLMDEEVPEPSPAPAPRLRSAIAGGGDDGSRRTKGRGFREDPNSSSAPRDSRFAAGGRAEFDSDGVSDPVRSIEGWIVLATGIHEEAQEDDLHNVFREYGEVKNLHLNLDRRTGFVKGYALVEYENFKEAQAAIKALDGTVLNDRTISVDWAFSNGPAVKPRNTNTRKRYSHLLLFLCYLHWSQVNQLALNMFHYASCATWGSDWPKWREMHAGWNITKSSSLQEPTQEKTLMFPQQLCAQKEKED >Et_7A_050918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12362832:12365089:1 gene:Et_7A_050918 transcript:Et_7A_050918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAGRRFSSSLSWRPAAAAARGPLAGTVGDNDDSARARSQPRFAIESPFFAAARGFASTETLVPRNQDAGLADLPATVAAVKNPTPKVVYDEYNHERYPPGDPSKRAFAYFVLSGGRFIYASLLRLLILKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDVASLRHPQQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG >Et_6A_046372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13806877:13807611:-1 gene:Et_6A_046372 transcript:Et_6A_046372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKETLPLGDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYELPLQRLHFSDGTPKSPPSLCEFIEYIDTEQTLENIAHVYRVAGRARRHWFDMEAEERREEERRKMRQKEEEQRRQNEDVNKRKTASLTRHARPKGRGCTTELAVLGQQVLMHLERGNIPVALNRHLVVLVCMKFISVRRVRSIIVKVDYFIFM >Et_4B_038962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6441841:6444700:1 gene:Et_4B_038962 transcript:Et_4B_038962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGSMVECEDNWNCQLENITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAVGVGRGRAVAMRARAAAGRGGGPIGRGSAPPVRRKDATNGGNLSLVWVN >Et_2B_019052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27650312:27650891:1 gene:Et_2B_019052 transcript:Et_2B_019052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGSSEVAPLEVSSSGMSPWSTCTRNTTIFLSPLVSAPTSSKTPVSPTRSSLSMVKPRHAASSFLTLRSPQKLVVHDWPRQTRTAATRASERCRGNKSEARTRTAGCHWHLEKKVVKKGWSPETGVISQS >Et_4B_036769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11399875:11401532:1 gene:Et_4B_036769 transcript:Et_4B_036769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILELVDAAVDPSLLQPIKETILKVDGVKGCHRLRGRKAGTSLYLDVHIEVYPFLSVSAAHDIGETVRHQIQRAHSQVAEVFIHIDPSYSVGPKTDPERIPRNVNRRNSDAIPRQQNAEAIVSDIISCHFSKKMSLEHLMLHYLQGRVLLQVQVSMPPEILIRDAMEIAKQAEEEILRADPSISQVSVQLRLGQQIKQLQLASSQDVAHDSLAGDQ >Et_7A_051955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3197684:3200288:1 gene:Et_7A_051955 transcript:Et_7A_051955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAFSEDILADKLAKLNNTQQCIETLSHWCIYHRKNADQIVQTWDKQFRSSGKEQKIPFLYLANDILQNSKRNGTEFVEEFWKVLPGALKDVTENGDDRGTKVASRLVDIWQERRVFGSRAGGIKEVMLGTAPLPVLDMTKKRSHSSSIKIVKRDSRSIKLRLGVGGTAEKIVSALHTVLSEQADEDADLEKCKTSMRHVGKMEKDVDSACSKAEDPRREVLCTELNDEEANMKKCIEKLKILAEAMVHEASNMQRRLKNEPTIPLPSSLEAGKPLSNGQVKEQQKTAAAILADKIAASSNSQQILQSALSKFAAEEAKNSSETRSDKRQKVDQPSPVPSVANAAAFVPMPQVVATTAQQQPQAILVQQTPMQSQAPAPQAQYNIYQAPPQQYVQQPGGVMMGMPYSMNTLTPPPPPSGPQMMNLGRPSPSAPQPPMAVMAQTQPPAPPMLQQQMSMNVGPQMQYTLQQPGAPPFRPMQPPPGMQFFLPPSQ >Et_2A_014729.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:10555895:10556188:-1 gene:Et_2A_014729 transcript:Et_2A_014729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQSFDLAPQGILFRDLKFIIFYEFTLVTVMYKPRSCNVVPDSLASLGADLEAGGAMFWPDGHPSIVNNFAAADIQSAPVNRKLSFHLKKKCLKSL >Et_5B_045134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9895832:9899552:1 gene:Et_5B_045134 transcript:Et_5B_045134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AKKPKASKTGCDAFTLFSVTTMSKLVTDMSSSYKKVVSDAGFGSLLEFDKCYVPKKFVKWVASLVDSKSGDIIFDGKVIPLNKESLYCVLQLPKGRKPFPSDTSVGKAIVLSRFDKHTIPAVTFFEEKLLTADSLSDDDVLICFLMVVMQCFLCCNSSLVPSHKYFGIFENVSKAKEFDCCLGLSYNEECLETGIDNHTLGGCWYFLAVVFLDHVDFRSRQPSDILPRISVWKENMIRNYSDKYMSSAGRYGYRPLLCHSETCYAKSSQLFDRPSSSLADSAEFMEKLDIACGCKLPHSLKVSICELIESHSINSAISVNMNLASISTLPGDMKIFFTKLMAHCCQVDQRTQHLVLSLLKQVSDAVCDDDELPDDGHVEVQRSDSDKCNISSDLNQSGDAKGLQFSSSKRSLPNQPTKPSSQMVDENAQSDLPLPNLNSHLDTKCAAQDGPVNVQEILHKLTKSVVENAIAKRKQVLSIGGNSSSVSDAVLVNKPLVDLTNTYQNVHGSSYAGDYPQSNGTPDSDEQTPALTQRTPFSMHSIDDSQEKSRHGTATLSNSMRAFSNRTSGGKKNTSQLLKDKGSPEVVITGERSLSDSVRAMSKRSDAQYDATYNQPYSMKTPIHSAAAKVSSQANGQELASSEFKCRDSSNVGKLPHYGPRRVVFPPSKFREDFVGPKKKYIVSSLDIQNYNAICSLATSPNQKEFAVDISGVRCTFWSLGESLKPGGAVMPYVVSAYCYHLFSKSGGHPDMSKKYYYFPSVGENLLCDPDVAKLEVLKKAFKLSKKARPLQRSDIVTKTTHTRNTKFPVPL >Et_3A_023922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14748884:14752898:-1 gene:Et_3A_023922 transcript:Et_3A_023922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRARAPGKIILAGEHAVVHGSAAVAAAIDLYTSSSLRLLSAGEGGAADVVELDLKDSCLTFSWPCSRLREVLGEGDKAEEPRPCSADELAAIAKLVEEHDIPEAKIWLSAGLSAFLFLYTYILGCRPGKVVVASDLPMGAGLGSSAAFCVSMSGALLTAAGAVGVGGNQGDVWEVFGKDDLELVNRWAFQGEKIIHGKPSGIDNAVSTFGSMIKFKKGDLTNLTSRNPVKMLITDTRVGRNTKALVAGVSERACRHQDAMASVFHAVNSISEELSSIVELAAEDEAAITSKEEKLAELMEMNQGLLQCMGVSHASIETVLRTRLKYKLVSKLTGAGGGGCVLTLIPTLLSNLVLEKVTEELATHGFRCFKVEVGGRGLQVFLVMIKSGPMRALNDAAQWASSYANFLSHHCHLVK >Et_10A_001461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3593131:3599761:-1 gene:Et_10A_001461 transcript:Et_10A_001461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVEGCVGPSELHLKKELTALRKARFLRDPETCSSWRSPLTSKSFLTTSEVINHNGVGSSLSQKHTEPAAAPLKSEKKRKNVYLYNWRHHSNKSSESGIKLDADERQASVDCSLESPCISNGMDSRSDTCLEVPVSIYSVQGSNSCTPVKRTIRRVRRSSFSKKGAIRNSTVSKLLDLHMNSGEQSEDTDNYNSENHEQLLKGGYLSHTTSPLFAASGCLSSTNPSKPLKVTRREGSSFSCTPVSTSSFYRYKGRYPSTVGSWDATTAASLDDDGLNQPESQRCGIPCYWSKRGKHKGSERSCSPSLSDTLRRKGSSLLCGSQTMHRRKKSSGSSKFGYLKKSSQGVPLLGDSSHFAYSSFDSASDEVSTIFGELDLEALSRLDGRRWSSCKSQDGIALSVNGADHVVSDHRSLSQKYRPRSFHEIIGQNFLVQSLNNAIIRERIAPAYLFHGPRGTGKTCAARIFSAALSCTSIGETKPCGVCTECTDFFTGNGINLIEVDATNRKGINRVRQLIENIPASATSSRYKVFVVDECHMVSSKVWSAFMKFLDEPLPRVVFIFITIDPENLPRSVISRCQKYMFAKIKDIDIVCRLRKIAVKENLDVELAALDLIALNSDGSLRDAETMLDQLCLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSSDTAETVKRSRELMDSGVDPMALMSQLAGLIMDIIAGTYRLADPTCGGDGIGGRNITDAELERLQQALKILSDAEKQIRLSSERSTWFTAALLQLGSGHNSEITHSRSSSKQSAKATSETIMEVVRDSSASRTTSHPLFNFRDSKKTSDHKTTSGHSSPQGLASLSSRIRTNDSMVYGECRSVDRGLQSAQTSISCEQRPANSGISDNLARIWRKCIENCHSKTLRQLLYDHGKLASVKECEGSIVAFIAFEDNNIKFRAQRFVSSIRNSMETVLKCNVEVRIGLMQEFLAGGLNPDETVESDVLSCSTNSERRMGVLKPSRTDGGGLQPSNVPVIVSNGSSRINRTRGQEVSVGQSKIAALDEQRLESAWVQAVEKHNGCMLNQARPERNQILPQAQHNGRSSMATVVSSRQVDKDLSKELKALKISDSRGPQKGQNAQMENGYAISPSLLHRNNHLANCDNERLLSPEHQVVMDSFPAGKLKNRKEERGKDRHG >Et_1B_013461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8631645:8632517:1 gene:Et_1B_013461 transcript:Et_1B_013461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAVLPDDIIHSSDLAEVAIKEFEYVLEKLARNAWRAMNESRIVKGNVWEREKVCRALLEMERAVLPDESIHPWDLDELCIMEFDCVLGAVWGSCSDQNAVLIIQIEFT >Et_4B_037658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22270489:22273245:1 gene:Et_4B_037658 transcript:Et_4B_037658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVSMYRGNLHLSGGADGAAPRRWEPPRPAISVKQFRRLVRSRSLAVARLAGELPPPHPESPPPASPSSREEAGEEEQGQLQGEGAQHGQPQQQPPEEGEEGEFLQQQQQQQVVEVEEHEEGEVEDADVIDAGEVVVEADGNGDAEEGQGESEGVDPNQEVSSPDGIEEKKRKLNEKLDILNKKKHDLVQMLKQVLNAEEEIRRRTMQASLRAVIPQPLENATDGSSVSRLAPRMTVDVNFGDIAVDSDAGSNQGTPGRPLHHFHNISPSTASFARSPFGSMHHHSGHTPRSPATFSTASPSRFAANAPQGQPPGLHSASLAGGNYVASSPSPAASGGSSSVFRDSRPPNSM >Et_4B_038377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28651444:28655175:1 gene:Et_4B_038377 transcript:Et_4B_038377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METALFSAASLFHGDDSDDDRDEMQVDAEGEKQALEYVERAHEFPGMKLCVREFSSHELNANLLWPGTFSFANWLVKNNSILDGQRILELGRKAFGVDITTSDYDDKEIEENIAYNWRANNLDVLPHIRHTWGDPFPVSRPDWDIVIASDILLYVKQYENLIKTVSFLLKEYKQNGQKAGCITITDKSGTQVPARTPMFLMSWRRRIGKDQSLFFTGCEKAGLHVQHLGDLVYLINIKS >Et_1B_013646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10638265:10642304:-1 gene:Et_1B_013646 transcript:Et_1B_013646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVGGGMRRSASHGSLTESDDFDLSRLLNKPRINVERQRSFDDRSLSDVSHSGGYGRGGAFDGMYSPGGGLRSLMGTPASSALHSFEPHPIVGDAWEALRRSLVFFRGQPLGTIAAFDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEVVKNFLLKTLLLQGWEKKVDRFKLGEGAMPASFKVLHDAKKGVDTLHADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLAETPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMVDRRMGVYGYPIEIQSLFYMALSSALQMLKHDNEGKEFIEKIATRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWLFDFMPCQGGFFIGNVSPARMDFRWFALGNMIAILSSLATPEQSTAIMDLIEERWEELIGEMPLKICYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIDLAERRLLKDGWPEYYDGKLGRYVGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDRAMLKPVLKRAPDSQEKQGGQSLEAK >Et_2A_017189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31892179:31892673:1 gene:Et_2A_017189 transcript:Et_2A_017189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAARSGSGRVAAAAVAVFVASLLLLPVFPFGGGGGVIHRAAAQELDDEGEFSYRRDAGNGPDRWGIIRREWSACGYGHLQSPIGLSDTVAGLADRPGRLGRTYRPAAA >Et_3A_023359.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:22926946:22927380:1 gene:Et_3A_023359 transcript:Et_3A_023359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LEVTPAWLLPPPLAVWLAFQSKPAEVLRSRTVQTAGNQKLMPIPHSRTSRFSVAADEPDSERTTRHAWRQSRFVSASQNPSATTACSSTRKISMGSMDIHNMSLSLENTAYKRTAPGSRSSGARAAAEATRSCPARAPAGTYRL >Et_6A_048151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7794770:7797268:-1 gene:Et_6A_048151 transcript:Et_6A_048151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDENDPPSRMTRQRTKDKQTNKEALQGPATNEEDALMAVDSPTGLDDQIQICDEMFYMSIVCGTDMAKIEFASPSTNVLHGW >Et_1A_008049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40377520:40380170:-1 gene:Et_1A_008049 transcript:Et_1A_008049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAPTTTSSGESSPPPLPPVQKDIGSISSMVASSASSAAAAAADFTRWAETFGAEKADAAKAALGAAATIATSSASAAASASSTVASSAYAAASDLALVAKDDLEWAKKEFSAHEQMVFGKIKEGVVMAITHPGIAAGSATLAGIVLFKRPRSYLIQRVRRMFVSKETLLSGIQAEVNHMRQTVNLVSNESQKLMEGRAIQHELSQISDIERQATGLKGILDQLPRAHASEFRSEISGLASQVKKEKRVLNTALSRIVNYGVPI >Et_1B_011559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23673965:23676651:1 gene:Et_1B_011559 transcript:Et_1B_011559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMAKRGPSGGAMGGPVRRARACPAEEGGAGHRSAAELLAAGRVSLSSREQEIEEMRRRLQELEKMDFEIPPAASHEEEPEPAVEAAATAEKAEMDARSIYVGNVDYACLPEDVQQHFQFCGTINRVTILTDSFGQPKGFAYVEFEEVEAVQNALLLNETELHGRPLKVSRKRTNIPGMKQSRGRHTFHPFYPGYG >Et_5B_045689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7558728:7565228:-1 gene:Et_5B_045689 transcript:Et_5B_045689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVKGMSHQSDSSPGSDDSEDSSTIDPTGIYTMEEFVVEQSVLHNFLERITAKIHAKIEAKQAGTFRRRCGSRRFIGRNHEECHQRLVWFGFVCVVRMLSIHRWYQDFTVKQLKSSGAVSAEFFPAAAAAASVLRGIASAASPTRASTMPKQINEIKDFLLTARRKDARSVRIKRAKGAVKFKVRCSRYLYTLCVHDADKANKLKQSLPPGRPDWAALPLGCRGKKEIKQRTEERPMLDGRQLSPPELLLIARLTSFRSRNPASASSVLSASAVRNLLPRPTSCSLLAFFSLAPLCSFHPRHQEPGSRAF >Et_10A_000284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21276117:21276590:-1 gene:Et_10A_000284 transcript:Et_10A_000284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FEVDEAAASLSRTPNVDARTLTKVLEYCNKHAAAAAAALEREELERFDNEFVNVDSVTLFSLTSAARYLDIEGLLDIACKKIADMINGQTPEQLRQTFGITNDFTPEEEEEIRRDNSWAFK >Et_8A_058364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5970390:5971589:1 gene:Et_8A_058364 transcript:Et_8A_058364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSSTELQQAHAELWNLTYSYLKPMALRCAVELGIPNAIHRNGVAASLADLLAVLPVPEPRKPYLPRLMRFLVVTGVLAGDAAGGGDGGAYRLTPLSRLLVDDAGVNGCTSLAPFVLSQTTQFHVGAALRLSDWFMGGGDAGAAAAAEMPFMMANAGMDPWDAMRRDPEMNKVFHDGLGADSQLALDFVVTRCGEVFDGVAALVDVGGGNGSSARAIARAFPRVKCSVLDLAHVIGDAQPSDGVQYIVGDMMSSIPPTDAVLLKYILHDWSDEDCVKILKVCKKAICSGKPSGGKVIIIDTVVGSPAKDMFEAQVTADVLMMVMTTGKERDEHQWRKIFMDAAFMRYKARPVLGFLSIIELYP >Et_1B_013494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:889251:896604:-1 gene:Et_1B_013494 transcript:Et_1B_013494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKKARGGGGSAAAAGDDSEDLSRPPPLQAILLADSFTLKFRPITLERPKVLLPLVNMPMIEYTLTWLETAGVDEVFVFCCSHAHQVKEYLEKAGWTGKAAAGSMAVSAVESHDAISAGDALRFMYDRSVIHGDFVLISGDTISNMSLKDALQEHKDRRKKDPLAVMTMIIKHSKPDNLTNQTRLGNDEIVMAINPETKELLCYEDRVDSSRSYAAIDKDILASNPSLLLHNDMEDCYIDICSSEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHEIHSSYAARIDNFRSYDTVSKDIIQRWTYPMVPDVLSFDNCLATKLHRQGIYKASDVILSHSAQIGANSVVGSATNIGDQCRVINSVIGEGCKIGKNVLINGSYIWDNVIIEDGCKVSYSVICDGAHLRAGAVVEPGSIVSFKLFPAQGVTVTKLLLLPKKRTLGHLMSGTCGVVGYIWTSGDSGVQEEWRQSIAPIPKEKLEELRHAGFAGDDDGSEGEWNNPTVPGDNESLGTVDDDDPLAKFEKEVEETFQRALNGVSEENLILEINSLRMGYSLQHEDCAGAVFYSVMKSALAAAQSTNDTLLKTAAEALGKWKDLLRNYAKTVDEEVEMLLKFEEMCQETTKEFSPLFSKILPFLYDKEIVSEDAVLRWAEEKEHADESDKVFVKQSEAFIQWLKEAEEEDEEEE >Et_9B_065401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4739610:4745788:1 gene:Et_9B_065401 transcript:Et_9B_065401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEERKAEEEAAAVAIVVKPQETWALETAALPLSMVLVQVFTTAMLLLSKLALNTGMRPFVLIVYRNLIAAATVAPLGLIFERVVLAMGLYYYGLRATSPAYSANFLNLIPIVTFMIAIVLRAEKLALRKWPGRMKLLGTGMCVGGMMFVTLLKGRLLHLWPAHLLKYSHAQPAPTSPAGAHHDMVPSTMFLCGSCLSYAVWFNVQVRLAKVFPSKYWATTLTCLLGSLQSFVVGIFLVHERSEWQLKWDLQLLTIVYSGVFNTGVTFVLISWAISKRGPIYTPMFNSLSLIMTTVMDSLLLGTNIYAGSLLGTLLIILGLRVPVGERQGAAASCCSSDCAEAGRPSARVTAATAGWRRHGLA >Et_4B_036786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11547445:11549120:1 gene:Et_4B_036786 transcript:Et_4B_036786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLMQGAAAPARWRCVGESSLAQAVAGGIVRFGVARHAAGGAVRTRLGPARALVSEERIKEAAAGGQVVEDEEAGAMRLFVGLPEDVVSPDGRAVSRPRAVAAALRALKLLGVDGVELPVSWAALQPGSGDRFEWAGCLAVARMVRDAGLALRVSLDTDGAALPGWAADAADPDPDVLFTDRSGNRREGCLSFAVDELPVLVGKSPIEAYEAFFRSFGDAFADFMGSTVTDVTVSLGPNGELRYPSYPPGSGGGADDCVGVGEFQCYDKYTLAALKRHAESSGQPMWGLSGPHDGPRYDESPESCAFFRQHGGSWQTAYGDFFLSWYAGELLAHGDRVLAAASRAFAGKPVQLSAKVPPLLNASRAAEATAGLRGGYGPVAEMFARHACTLIASGVDARGGAVDELLTRIKEACAEHGTRLAAESAPLAVARDGDGSTGVWAALLSGERTRPCQLTYQRMGAEFFGPEHWPLFVQFVHALEFPEEAHEDDLPAANDGGEQLTVPSSTRTAEADAREVQTV >Et_4A_034243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31159815:31161195:1 gene:Et_4A_034243 transcript:Et_4A_034243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CQATLNLSCSILSVVESKDGTISVASAFAGHQEAVQDRDHKFLSKAVEEAYRGVDCGDGGPFGAVVVRNDEVVVSCHNMVLKHTDPTAHAEVTAIREACKKLGKIELSDCEIYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADAIRGTGYYQKASMEIKKADGNGALIAEQVFEKTKEKFQMY >Et_1B_013846.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2006478:2008811:-1 gene:Et_1B_013846 transcript:Et_1B_013846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAGLFCGLLQIAAVAAAATAGAEAQSSYIVHVAQSHAPRSSRPRLLARAYTSFLSDALPESISRPAPRVLYSYAHAATGFAARLTELQAAQLESQPSVLAVVPDSMRQLHTTLTPSFLGLSGSWGLLPASNGAKDVVIGVIDTGIYPKDRPSFAADPSLPPPPSKFRGGCVSTPSFNASAYCNNKLVGAKFFVAGYEAETGGFNETEESKSPLDTNGHGTHTASTAAGSAVANAGFFNYARGKAVGMAPGARVAAYKACWAKGCTDSDILAAIDAAIADGVDVISASLGPSGAAPEFHNDTTAIGAFRAVRKGIIFSASAGNSGPGEFTVGNIAPWILTVGASTINRRFPATVVLGNGDTYTGTSIYAGKPLGAAKIPLVYGGDVGSNVCEAGKLDAGLVAGKIVLCDPGVVGRAAKGEAVKLAGGAGAILASNKEFREQALTTSHVLPATAITFADAERIKKYIRKRPFPVATIVFHGTVVGKKPPSPRMASFSSRGPNLRAPAILKPDVTAPGVDILAAWTGESSPTGLASDTRRVPYNIISGTSMSCPHVSGIAALLRQARPDWSPAAIKSALMTSAYSKDNYDNYIRDMSTGKAATPFVRGAGHVDPNRAVDPGLVYDAGADDYISFLCSLGYTAEQIALFATDGLAIDCSATRTTTASEVNYPAFSVMFDSTYGEVTQRRVVRNVGRNVMATYRADIKSPGGVRVTVKPRVLSFSATQQKQEYEITFKPRMDGSETKNYTFGSIEWSDGVHKVTSPIAVTWPARPVAEM >Et_6A_045819.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:15323337:15325343:1 gene:Et_6A_045819 transcript:Et_6A_045819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YFYPLQPPSFQPPFPNLPSTAPPPSSIPKRSRSPSIPTPSAPMASPAPAPPTPAAAAHSAPPRIGLAGLATMGQNLALNIAEKGFPISVYNRSPAKVDSTLSRAQAEGGLPVLGHRDPRGFVLSLARPRTVVLLVQAGPAVDATIEALSPYLDEGDAIVDGGNEWYQNTERRIQEAAARGILYLGMGVSGGEEGARNGPSLMPGGHVQAYDNIRDILQKAAAQTEDGACVTFVGPGGAGNFVKMVHNGIEYGDMQLIAEAYDVLRRVGGLSNSEIADVFAEWNQGELESFLVEITADIFTVADPLGGSSSGALVDKILDKTGMKGTGKWTVQQAAELAVAAPTIAASLDGRYLSGLKDERVAAAGVLEEEGMPAGLLETVTVDKKLLVDRVRQALYASKICSYAQGMNLIRAKSVEKGWNLNLAELARIWKGGCIIRARFLDRIKRAYDRNPELANLIVDREFAREMVQRQNAWRWVVARAVEAGISTPGMSASLSYFDTYRCSRLPANLIQAQRDLFGAHTYERTDRPGSFHTEWTKLARRN >Et_3A_027273.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:6622308:6622589:1 gene:Et_3A_027273 transcript:Et_3A_027273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAMKVSSRMRSCSMERPLMAQDSSIPVMATLGLAVVDVGLGEVFGRAALGDLLLIVVVSQVALAVGVIPHMLLLRLGGTSREDRALPRLLR >Et_7A_052195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5492489:5497932:1 gene:Et_7A_052195 transcript:Et_7A_052195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPMARSREIEEQETAAPSAPAAKKTKSNDDDAPGAAICDDIIENIFARLPTRSAVASMVLSKKHQRLICSPDFRSLHFRLGAPLPNPHIAYVVKAPKRRTRSKGLFHSFHVAGADLSSNAPMRVLADERYPKMNYVNTCNGILLFTDSNMKPPRCVLWNPAIADKKEVDIPYEMKRCDYEIEVLGLGYGPISKTYKLLLSLRVKLNMILLSRPPQCTYEKKLLVYTLGMTREKPRFVAMLSSGANRKISRQSLYIDGTVYLLFDMLEILAFNIDSETLTTIDMPGSSWYPCENRVTSELMQVSNRPCLVKNDDGHRALWILTVDHQWERRCVFKVEDDLIYRPIKGVWDHNGVLLMYLYNEDSRNDKLFLYQAATEKMLNKNLPRDLMPEGADHSFCWGYMPTLVSARSIVGELGQDVEQQRDHKVDMMNDLKAVNEPDRRNKQKTNTPTVCFMEYLIRLMEKLPKNMQDVIDRARKSTAGQDMAARKRKITDDDAPGLGAAICDDVVDDILARLATRTAVACMVLSKHHHRLICRPDFRSLHFRLSPSAPLPHPHIAYVVTAPTWRGNDYKPNCGIHSFHVAGGGLKRGNAPVRTFAHERYLHMKYVNTCNGILLIARQKVPPTSCVLWNPAVSDDKKEVTIPDTLTRSLNQSRQRVLFGPDDYVMSILGLGYGRRAKTYKLLLLLWQQLGQVHNLDYVLAYSRELLVYTLGTVGEQPRRVTLLPQDMRTEISQESLYINGTIYLPFENLDIIAFNVDDEEVTRIAMPVGRESRRFQNPVPFKLVQMSDRPCFVENDSRNITLWLLTEDHKWEQRWVFKAANSLKWSLFRAVWNCNGALLLYLHNYASGDSKHFSYDVATEKLSKENLSPHSVPNESHYALCWGYRPTLVSPGSIVGELAPDEDGRSNRTVGISGKPDNEQDRKNGQMTALKTIRFMGSLIPILDKLSKTQDATMCPFDIEAGGEAEAGPGVRRRRTSRHVAPFGIVFSGGVGGMDAW >Et_8B_058734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19293581:19294324:1 gene:Et_8B_058734 transcript:Et_8B_058734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDQRFMEQRKAVCWRGALYVHCQTDFVLRISLSDDNYQVIKPPTDLTVSSCAESYLGKSQKGVCLAVVQYHRLRVWILDDESGRQTKWILKHSSGDGLFMPSPINSSQTAAPGPWILVNNDSDEPDKELHWNSDDDDDGESIRTSTDEDKDGERRYVYIGILGFHPYKEIVFLHRSWRRGLAYHLNSSKLEDLGNLHPKEYARSRIGRMFPYTPCLMGEFSGKLEDVLDDD >Et_6A_045880.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2487498:2488991:1 gene:Et_6A_045880 transcript:Et_6A_045880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSQNGIRSPLLVLWLAPKVAQLPREALQVHPRVAALAHRRRRLFRELLGPSGYLTEAADGGVVQHPRDVVGFRRRVEPTPAERHGGAEVVDEQAGVDELVGRHGPREHGHPGGGGLEHRVPAAVREEPAHGAVPQRRHLRGPPADHPALARDARLEPVRERRRRPGRPHERHAGGFQRGGQRRGVRRLQHRHAPEADVHDGAVVFFERVEPGAQLRRVHSHLPAAGRGVQRRERPDRAHGPEAHVGERRLQGPLLEAAERVEHEPPGAVEVPEEVAPEPLGDLVPGLEAPVQHRHLPPRERRRAREVRERDDVVLLRRLGHPSEERLYHGCAAHGARREGDPRDAELRGERLRPPAEEVGEHGHDAVRAVRPGPGPGAERAAEPREGHDEGREERRREVLAALHAGGQGRVGRERERQRADGAGMRGLEERREQRGGAGRRDDGEAEAAGGEEAGQVQKRQHVALRRARHHQHMRRHDVLLRCRRHAALLCSLQW >Et_3B_029073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21936737:21939828:1 gene:Et_3B_029073 transcript:Et_3B_029073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYCRPLLRRFYCPAAKSTSPAARGTGKKNLVFLGSPQVAASVLDTLLAASSSPDSAFQVAAVVTQPPAAKNRGRKLMPSAVAQLALDRGFPEDLIFAPECAGEESFLSDLKEVKPDVCITAAYGNILPQRFLDIPPCGTVNIHPSLLPLYRGAAPVQRALQDGVTETGVSIAYTVHALDAGPVIASERFSVDECIKAPELLAILFDIGSKLLIHELPSILDRSAQEKAQPQDDSKATLAPKLNSDESWLSFDQEAKVLHNKVRAFAGWPGTRAKLQLINQKGEPDPLEIKVISTKVSASCDKTGDENEIQFSGSSLLVPCSGSTWLEVLELQLPGKKVTTARDFWNGLRGQRLLKSS >Et_4A_032150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21233711:21234403:-1 gene:Et_4A_032150 transcript:Et_4A_032150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSTKAHNHSHPHHHRADAAFPATSVAVAAARAGDAPRPRPRLRRSSAFPPRRQARPPRRCGSERNLAQQQQQQHRGCGEVAGGTAAGCAAVCCCFPCVMVEVVVLATVRAPAALCRKAARARRGGAGGRRHSASAGQAAEIYELLVDDGGVADAAAAGEDMAMPAKPAMEDSAELEKEVWARFYGAGFWRSPSQLGDENR >Et_7A_052616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9898605:9900927:1 gene:Et_7A_052616 transcript:Et_7A_052616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNLTSQETKSDHACREAICETGGLRIRLEDLPLDVLYKIVSKLPSKEFARTRVLSSRWQWMWPACPRLTFDGVAVCKCDRADLHQNIGKFVHEVNAVLQKHRGIVVETLEVRFDFVDNLLVHHLNNWPEFCADYKGRYVFPFKLLDNGYISRLQQLHLSCVSLKPPSQFNGFPSLRKLCIQIVHVDRKDLEHVLSHCCKLEWLRIGRCHLNDELVVDGSLSHLRYLHIEHCRLTKIKFHAVNLATFLYDGDFIPIDLSRSSKLQNTYIKLDEAIFQHALTSLINGIPHTQKLSLCIWWLYLEKLWMWDNPLMFPKLRYLQLFMHILPEVVHEVLYSVSFLGATPFIEKLEVHFTGYHLWLADVGPYRQQLGHSKYCYLKNLHVTGFKGARGQLEFLLHVVENAPALEVVTVNTNQEASKEFWPYEGSCPPFEEAKQIAVTSLTIALPQNVNFSVI >Et_2B_020184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17703365:17710447:-1 gene:Et_2B_020184 transcript:Et_2B_020184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVAAAFSLLCPRASARSPVILAADSSAARRSSGARGRDMGSRRSVEASPSGAGTTRVPKLSSAASTKRTGRSKDPVINYDVSHHRAVAAVRLLRTEKGKAFVDLLNETSDDSGENEMGYVERTLGFSTRYLDDRDIRLVTVIVAGTVRWKRYIDYLIMSLCNEEKLFREMEPLLLQILRIGFFEILKLEVPAYAAVDENVKLAKAALRPGAGNMVNAILRKLVSLKETNSLPLPKIEGDDRAQARAISIIYSHPVWMVRRWFRFLGKDEALRLMNWNNSDPHFSLRVNTSKGYTRADLVKRLESLQVHCEESIMEEFVRIQEGMQAVLQAGLLKEGMCAVQDEIDPQPGETIVDCCAAPGGKTLFMASRLLGQGKIWALDVNKGRLRILKDTAKLHGLDDMIIDIHADLRLYAKNTPAKYDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELTCLQDELLDSASMLVKPGGILIYSTCSIDPEENENRITAFIQRHPEFVPQSVCGYVPTEFVTEEGFYSSSPTKHSMDGAFAARLVRSMH >Et_8A_056255.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:18600470:18600739:-1 gene:Et_8A_056255 transcript:Et_8A_056255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SGELRLGQHRPGRGGVDGAVHGVAARAVPSQRRGRGLRPHREQGDQDDAVRVWRRVEGLPRHGASTAAPRVLPRQPHREAVVPVERGCQ >Et_10B_003627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:475753:481279:1 gene:Et_10B_003627 transcript:Et_10B_003627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLPEAGGGDDFRSCCGDEEEWEDTEESFTAGVGKGELDETSVRLFFKGVSSSESEGKKLSGIGVVMERSPGVPVLKVQKKLDFYVEELVAEHLALMDGLLVALQNGIRKIFAFTNSEKLYFQASIAEAEILEDQLLVALGHRILELVDKLEDFDLILLPSFELESPLRLAKEAIGIRYLSPYEVDTCPICCEEKLGSQMIKTGCSHTFCYSCLTAYVEEKLQTSKLPISCPQLRCKYRISASECKSFLPVSSYDSLERAFAEAGKSCMERFYCPFPNCSVLLDLSQHFSRASSSQSDLSCVECPECHRDICVNCGVPWHIMMGCDEYQSLPVEERDAGDLSLHRLAQNNRWRRCQRCRRMIELAQGCFHMTCWCGHEFCYSCGADYANGVQTCQCVFWDEASIESSSAAQSSQAASEIWAWDTFDCMPTAVEGYSEQERAQLALIQRFLAGGFSLGDNPCQSPPRCADSYIVDTMKDLHQLPWLERFVSVISDSYNDDYIHSIQPTINLSNR >Et_10A_001566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5121943:5124696:-1 gene:Et_10A_001566 transcript:Et_10A_001566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASQVKFSSLNLLHRRPALHLTWLVLAQVEELQKAYVGIMLIMSQELATRQLVTIMEDDVTMLVWFKAIEPAERRASMARCDPAGRWKGRGRGGDGRFTKEAKLDWTEMITGKINYICTDHFGSRFIEDKIAIATPDEKAIVFIEILPHLAELVTDAFANYVVQKLMEHGSPSHRRIITEFLMGNVLRLSCDKHACQAIEIGHLDPKIRIAMELMAISSDDQNANHVVQKCIECIPQQRIPFIYQNMYGHVVELSAHRYGCRVIQSKFTDWRKTNMVAMLCRSVSPYWSMWSMELSLCDLHKDVRWESCGPGKKVPPMSSRNYEEKQLIINEVLSLGGEPDALTVMVGDPSANYVVQKVMETCKDSQRQMILGRMKTHLSELSGNL >Et_8B_058839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:71216:71673:-1 gene:Et_8B_058839 transcript:Et_8B_058839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSFSGGASRLRALPTWSSSVSGDDRSALAMSVPARRRSARPLRSPATMMGNVNAGKGLFAPLVVVARNIIGRKRFNQLRGKAIALHSQVITEFCKTIGADSKQRQGLIRLAKKNGEKLGFLA >Et_2A_017984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8725487:8741683:1 gene:Et_2A_017984 transcript:Et_2A_017984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYNAWLPPPVAEATRGEAAAFAGAVRAAADAWRPGDPDSAYSTLKWISVFDLILRKHGKRLSLAVQWRPLYDTLIKTHFKRNMGPEGWKVRQQHFETVTSLVRASRNFFPEGAAAEIWSEFRPLLENPWHNSAFEGVGFLRLFLPANSRNQGHFTIDWIAQCLDLWNSITNCNFWDIQWASIIARCIKYSSSVNWDDFLPMLFTKYLNMFEVPISGGNGSYPFPVDVPRNTRFLFSSKSRTPSKAIAKSIVYLFKPKSLSFEYFAKLINFLEQFYHPSNGGRWTYSLERFLRYLVVYFEKRLQQEQFDTMDEKHDKFYLGKEERAAFVKVILKLLDRGQYSKDDSLAETVSIATSILSYIEPALVLPFVATNFQLALETNAVTSVAFSGRALLLCSLLPSQFNDSSVVDSLSDLIVASLSNALLGMDANDPPKTIATMQLIGSIFSNLATVGATDDVPAFLQSTTLSNWLDEFFSRLFSVLQNLESSSPINEGYQTSFMSGTFLVEDSPYYFCMLEILLGKLSKPLFIQSLKRITKFVNANILPGATSEVGLLCCACVHSYPEEASVHLLKPILMTIMSSFEGTPTTGYVGRVVPDKTSKKATLSPALETALDYYLRVLALAISYAGPVLLNHREELMHIVTSAFQAPSWKVNGAGDHLLRSLLGNLVSCYPIDQYKPFNCQPITKIIEPWGCSKAHQDREAEMLNFPPKWHDPSQDELSFANELLQFHFQSALEDLLTICQTKVHSETGDEKEHLKVTLLRINSALHGVMSCLPEMRPSYKDGRSKEVETMFFIAGSSGSTVGSSEMREKAAEFVHIACRYLLKERADDSILLALVVRVIDALVNYGSLEYEEWSSHVQAWKLESASIIEPPCNFIVPFHAQGKKRPRWALVDKAQLHSTWRCSQSSYHRYRTNSDVSPSGLMVNLMNDLLDLSLHNYETVRSYAGRSVTKLLKRWPSLISSCVLMLTENFRNPKAPEHAVLGSCSILSSLTVLRHLTTDPVSLSSFIMGILERYNLMANRVLLLLILASRSESGTYSQILAETAGHFLRNLKSQLPHSRMLAISALNTLLQGSPHKASLQDSHESLDQPKECNLTLTGEILNEIIQQEGFMTETLNSLSNVHIISDNDSSSKGSYGASSFQSGSDKAITYFYFDFSASWPRTPSWISLVGGDTFYSSFARIFKRLIQQCGMPVISSLQTALEEFLSSKERARQCVAAEAIAGMLHSDITGNLEPGNNWLMNQLQKIMLAPSVESVPEWAACIRYAVTGKERSGTRAPVLRPKVLECLLTPVPQSMGTSVLAKRYSILSVALIEISPPKMSPVEAQYHVKILDELLDNMSHSSAQVREAIGVAMCVACSNLRLSGSFGPGGSPHDVSGDVSMTEQTGSEYWSKRLTDGANELSISIQNSIQSKQLESTSDSANDSGVDHREQADAKRMETIFHFMIASLKSGRASVLQDIIIGFVNPVLSLQETSNKDLSLLAKSAFELLKWRILHRPFLDNAIAAILPSVSDPNWRTRSALLSYLRTFTYRHTFILSGSEKSQIWQTIEKLLVDNQVEVREHAAGVLASLMKGADKDLSKDFRERSYAQAQGIIDARRRNSKSGHSVATVHGAVLALTASVLSVPYDMPSWLPAHVTLLARFIREPSPIRSTVTKAVAEFKRTHADTWSIQQEAFTEDQLEVLRDTSSSSSYFA >Et_8A_057456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3234282:3244248:1 gene:Et_8A_057456 transcript:Et_8A_057456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASSSTLSRCLCCLQPPHRHWWCIAAVVARQGNTRSGRGNSGSAAAVGAGRKNIGWMGARPRLGRWASELRLKCKRKRLWIGTFATAQQAALAYDAAIYCIYGNDIPRTRRFNFPYMPRPNVSEEARAAGLPDDDIRAIAEKHARRLAIYVEPPLRVLPAAAAPPLMVEAAPAAAAACGPVAGAVAPTPDPGNMDEVAIEEFLKSLSLKSIAAMAGRSTGTGARRGKGNPRSGNRKASSRSAAPAVPKKNLGWMGARPRLGRWASELRLKCKRQRLWIGTFTTPQQAALAYDAAVYCLYGTDIPKSRKFNFPYMPFPHVSEQARAAGIPVAHIRAIAENHARCFAVYVEPPPPLPVRPVAAAAPPLMVPVDASAAVAVSGGPDPGAVAPVADPGGMDDMAMEEFFNSFSPEGFDAMNHMMADISLATWPLKPRTTLSPRTTTIYCPFFNLARFTAVASVTHPMAPPLGLTSRRGSPSSSGPKNLGLVGTRRRQWGRWAAELRVPRTRDRLWIGTFATPKEAAHAYDAALFCFYGARLPKARRLNFPMAPRPNVPEHARVRLTIANIKAIAEKYARSFADYVVPPPTPVITAAAPLVMETAVGRGRGAAGAPPPPAAATDHHRGDTKGMEDLVAVADWLLAFNANEFDRM >Et_1A_008234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5510760:5514979:1 gene:Et_1A_008234 transcript:Et_1A_008234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAINSAHSRHSRNDSAIRHKSGYEPSDTETEWHESPWNDAVLTSERTRLPKDPGRNTQVGVRRQNTSSDHIKEYHDEKTFNLRNNRTPPRVTEQRRQPSPYASGKHESRKKSSRTPPRFRSSMENFSRSSIKERFTRNRSTSTPKLRAHEKEHPARAPAFLGTNPVSAQTGRAIAANVEEDSLAENCFQEIHELITNGKGPNSRYNEYAFTSTESIPTGDIFFSRDCRAPLEKTSAKHINDNKRFTSNSNAHDGNDGAVTHESTSTMGQTSQFVSAQAGLSRTTTKSSYATGRNTQISTTTSLSSPFNNGRLSGESGKFSDFTGKLVGGVMKFTSNMNKSQNDTWLPCVTGKSCRKSQPPSYKTNEESESSFIQKALVIEKIRLLWADKYRPRTLSGFTCHREQVQQLKQLISSECCPHIIFKGPPGSGKRSLCRAVLNEIFGDSSLNVSHSLKSCNGQGSSSMPILVPLSSSDHHVELNLKSQSKNARYALMTLANEMASKRKIAEPAVRKNFKVLVLCEVDKVSENNQRLIKWIIDSSSDACKIIMTCQDESNLLDSIKSRCKLITITTPDTREIVDILTYISKKENFDLPASFATAIASLSRQNLREAILALEACKANNYPFVDGQAIPLGWEDVLVELAEEILEDSTPKRLFLVRGKLQKLLVEFVPPKLILQKLVELFLKGIQTSIKREVYYWHAYYDKRLPGGASALLKLEEFVAKFMSIHRKSLSVSS >Et_8B_059551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19068411:19074117:-1 gene:Et_8B_059551 transcript:Et_8B_059551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYGRSNSGTVPYTIGQLNKLRRLILVDCNLSGTIPSSTKGKFRHLFSLCLYYVTWIFLAISQLKSLDLSSNGFSGPIPNSFLHLTSLIQLDLSSNNLNGLVDLASFWRLRNIGILNLSHNKLAVMDGQVSTLPSDWSGPRVLGLASCNITQFPRLLTFSKDILSLDLSCNEIRGDVPKQLWETWSSSFTYLNLSNNMLTGTQLASGVLPLSSLQTLDLSFNRFQGHIPMPNSSAEFMDYSNNMFSSVLPNFTWYLHGTRYLSMSKNIIYGRIPYSICNSTLEVLDLSYNNFNGPFPSCLMEEKGRMAVLNLRENHFEGMLPSNITNVCLLEMIDLHGNEIYGQLPRRLSNCLQLEVLDLGSNQIVDTFPSWLRGLPELSVIVLRSNQFNGSIGDFVGDTKSTESFPRLQIIDLSSNHFSGNLRSQWFEQLESMIANFNNTEQIVAASNMSVKIYEYQYSTEITYKGSDMPFERIYTTLTVIDFSNNRLEGTIPESIGRLVALRVLNLSQNAFTGNIPAQLGTTDLESLDLSCNQLSGEIPQDLTNLTFLDVLNLSSNHLVGKVPQSHQFSTFGSSSFKGNPGLRGPPLSELPCAISPYSPNVTNVHTYSHHVDVVLFLFVGLGFGIGFAAAILVKWGRVGRWFIAMARALPN >Et_7B_054698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3659862:3685954:1 gene:Et_7B_054698 transcript:Et_7B_054698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPASSSRRHGSALATLSLLLLLVGPRYCPSDRRSRTVEKQIVVAFLFEGVTHESEPVFVCAVVAAAAVNAVFAKLGQMASFSITVWNISGDPCTGAATDGTAIDNNPNFNPAITCDCTGQNNTVCHVTKLKIFAMNAVGQIPEELLNLTRLTYLHLGQNYLTGPLPSFLGELTALQFMSLGVNALSGSVPKELGNLTNLISLYIDSAGLSGPLPESFSKLTRMKTLWASDNDFTGQIPDYIGSLTKLTELRFQGNSFQGPLPTSLSNLVQLTSLRLGDIVNGSSSLIFISNMTSLNTLVLRNCKISDSLASVNFSKFANLNLLDLSFNNITGQIPQALLNLNSLNFLFLGNNSLSGSLPNSLGPSLKNLDFSYNQLSGNFPSWAKEKNLQLNLVANNFVINNSNNSILPSGLECLQPASFAVDCGNNKSVSGSDNSIYQPDGGSLGPASYYVTGTPTWGVSNVGKFMDTPNGNYIIYSSRQFQNTLDSELFQTARMSPSSLRYYGVGLENGNYTVTLQFAEFDFEDSQTWKSVGRRVFDIYIQGERKEQNFDIRKAAGGKSYTAVKKQYTIPVTRNFLEIHLFWAGKGTCCIPTQGYYGPAISALSATPNFKPTVRTAQKKSSNKTGVVVGVVVGAAVLGLVALAGLFMWRKKRRQLSLEQQELYCIVGRPNVFSYGELRTATENFSSNNHIGEGGYGSVYKGKLTDGRVVAVKQLSETSHQGKREFATEIETITRVQHRNLVKLFGCCLEGNKPLLVYEYLENGSLDRALFGKKLILDWPTRFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKIDVFAFGVVVLETLAGRPNFDNTLDEDEIYILEWAWQLYEGKHPLDMVDPKLDEFNGDEVLRAIHVALLCTQGSPHQRPSMSRAVSMLTGDVEVGNVVNKPSYITEWQIKGGNASTFMSSDANGQSSTAPRTTSSPFLASVMEEGRPPSSSCRHGSALAALPLLLLLVAAARAQQAPRTRTDPTEECEPGFGCDTDVVAAAAVNAVFAKLGQTASSAWNISGDPCTGSATDGTIIDENPNFNPAIKCDCTDQNNTVCHVTKLKIYALNAVGQIPEELRNLTRLTNLDLRQNYLTGPLPSFLGELTAMQYMSLGINALSGSVPKELGNLTNLVSLGIGSNYLNGSLPSELGNLVKLEQLYIDSAGLSGPLPASFSKLTRMKTVWASDNDFTGQIPDYIGSWTNLTELRFQGNSFQGPLPTTLSNLVQLTSLRLGDIVNGSSSLTFISNMTSLNTLVLRNCKISDSLASVNFSKFANLNLLDLSFNNITGQIPQGLLNLNSLNFLFLGNNSLSGNLPSSLGPSLKNLDFSYNQLSGNFPSWVKENNLQLNLVANNFVINNSNNSILPSGLECLQPASFAVDCGSRKSISGSDNSIYQPDDASLGPASYYVTGTPTWGVSNVGRFMDASNGSYIIYSSRQFPNTLDSELFQTARMSPSSLRYYGVGLENGNYTVTLQFAEFDFEDSQTWKSVGRRVFDIYIQGERKEQNFDIRKATGGKSYAGVKKHYTIPVTRNFLEIHLFWAGKGTCCIPTQGYYGPAISALSATPNFNPTVRTTTQNKSSNKTGVVVGVVVGATVLGLVALAGFCMWRKKRRQLSLEQQELYSIVGRPNVFSYGELRTATGNFSSNNLLGEGGYGSVYKGKLTDGRVVAVKQLSETSRQGKREFATEIETISRVQHRNLVKLFGCCLEGNKPLLVYEYLENGSLDRALFGKKLNLDWPTRFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKIDVFAFGVVVLETLAGRPNFDNTLDEDKIYILEWAWQLYEGKHPLDMVDPKLVEFNGDEVLRAIHVALLCTQGSPHQRPSMSRAVSMLTGDVEVGEVVNKPSYITEWQIKGGDTGSYMSSDVSGQSSMGPRTASAQTSSPFLSSVIDEGRPMSCSSFLHGAPVLPLFLLLLLCCSWRAAAQAQQVPQTDPVEAAAVNAILSKLGLSAPASKINMLDAVGPIPEELRNLTHLKKLDFRKNYFTGPLPAFIGELTALEYMTVGINALSGPLPKELGNLKNLKSLALGSNNFNGTLPDELGNLTKLQQMYIDSNYFSGPLPSTLSQLKNLSVLWASDNNFTGQIPDYLGSLTNLTQVRLQGNYFQGPIPKSISNLVKLTSLRIGDIVNGSSTLAFIGNMTSLGDLVLRNCKISDTISSVDFSQFGILILLDLSFNNITGQIPQSILNLPLLSYIFLGNNSLSGSLPAAKSPLLTNLNLVANDFVLDSSNDSVLPWGLKCLQRNTPCFLASSFAVDCGGSRTISGSDNSMYQAENSSIGSASYYVAGAPTWGVSSVGRFMDPPNGSYIIYSSRQFQNTLDSALFQTARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDIQSWRSRGRRIFDIYVQGERKEQNFDIRKAAGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKGTCCIPSQGYYGPAISALSATPNFTPSVRSSAENKSSKRTSVIVGVVVGISVFALVALSVIYVWSQKRRKLLLELEELYSIVGRPNVFSYSELKSATENFCSSNLLGEGGYGSVYKGKLSDGRVVAVKQLSQSSNQGNKQFAAEIETISQVQHRNLVKLYGCCLERNTPLLVYEYLENGSLDHALFDADLNPKISDFGLAKLCDDKKSHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALEIVAGESNYQNSFEENTTYIFERVWELFENNRPLDFVDPKLTEFNGDEVLRVIRVALHCTQSSPHRRPPMSKVVSMLAGDADMTEELTKPSYITEWQVKVGTGSGSFASTQVGSSSTQPSSGVQASPGPGEVTPVAPSPMFTSIIGEGR >Et_10A_000804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17517998:17518570:-1 gene:Et_10A_000804 transcript:Et_10A_000804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLSWVPTFTKVARISIWERHIGTGRNSIWHQLLLQQLLCNSWRTVAFVKAPADSLLT >Et_10B_004091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1236885:1238153:-1 gene:Et_10B_004091 transcript:Et_10B_004091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAAESEMQASPAAAVVLRMNPSREGETSYVNNSSFQRAIASATRKARRDMAAALYRARGQPASMAIADLGCATGPNALLMVTDAVEAVMAECGDEHLPAPELLVFLNDLPGNDFNAVFRLLPTSPLAASGCCSVSAWPGSFYGRIFPEASLDYVVSSSSLHFLSKRSMQAPDVLNRGRVYISEHSSAATLDAYRAQFQSDFSAFLRCRSPEMRPGGLVLLTFVARRTTFPTAHDCYLWDLLADALMDMAAAGLVDEEQVHAFNAPFYSPSADDLLHVIRNEGSFAVRTMQLFEVTRRHLISRSTKKDDDDGQEEEELSRRLAVETVSTVRAVVEPMMRTQFGSGAIMDALFCRYSLLLEAYYRSKATQNKDEVTNVFLALEKKHHN >Et_9A_063300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19421667:19424813:1 gene:Et_9A_063300 transcript:Et_9A_063300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAARLLLPVLVLLAAAAEEATAAVSDDVLALVVLKSGLSDPTGRLASWSEDADRACAWPGISCDERTGRVSALDLPAASLSGRLPRSALLRLDALRSLALPGNNLSGPFPDALPPRLRALDLSGNALSGAVPASLASCDSLVSLNLSGNRLAGPVPDGIWSLPSLRSVDLSGNLLSGNVPGGFPRSSSLRAVDLSRNLLEGDIPADLGEAGLLKSLDLGHNLFTGGLPESLRGLSGLSFLGAGGNALSGELPAWIGEMAALERLDLSGNRFVGAIPDGISGCKNLVEVDLSRNAFTGELPWWLDLSHNKLTGQIPPTMGNLISIQMVDLSENMLNGTLPMELSNLASLRVFNISHNLLSGSLPSSKFFDNIPDSFVSDNAGLCSSKNGSCYGVMAKPIVFNPNSSSNPLSEDDPSAPSNQHHKKMLLSISTLIAIVGGAIIVIGVVTITVLNLRARAKASRSALPIALSDDYHTQSAESPENEPKSGKLVMFGRGSSDFSADGHALLNRDCELGRGGFGTVYKAVLRDGQPVAIKKLTVSSLVKSEDDFRQQVKLLGKMRHHNIVTLRGFYWTSSLQLLIYEFVPGGNLHQQLHECTEESSLSWVERFDIIIGVARALVHLHRYGIIHYNLKSSNVLLDTNGEPRVGDYGLVNLLPMLDRYVLSSKIQSAMGYMAPEFTCRTIKVTEKCDVYSFGVLVLEILTGRRPVQYLEDDVIVLSDLVRGALEEGRMEDCMDPRLSGEFSMEEATLIIKLGLVCTSQVPSQRPDMAEVVAMIEMVRSPLGTSEDELV >Et_4A_034020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29226544:29231920:1 gene:Et_4A_034020 transcript:Et_4A_034020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRRSQTAGSPQVAGVGEPESSRSPEKPRRTPPWAALGSDRRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIAFGYGHLTWEWQRGLRSYLHPFIFAALYKILALLHLDTPWFMLTAPRLLQSIFASVGDLYLYRLSRLIFNVQVAQWTLFSQLVNWFMFFCITRTLSNSLETVLTVAGLYYWFSAMESSKGASVVSKQQATANQSASSRKVALTIAALSCAIRPTSAVTWLYVGLLDFIQIKSKCQFVFLEVIPIGAIVLAVTTFLDWWMYGSQVIVPLNFLKFNLFSSGGDYYGTHVFHWYFTQGFPSMIWTFLPFAICGIVKSREWRLSGLIAWVLGVYSILGHKEFRFVLPVLPLALMFSGYCLTTMSQVKGKNLEGKGCFSKLQLSVILLILTNVPMALYMSLFHQRGTEDVMFHLSKEAHDGRVKSVLFLMPCHSTPYYSSLHYNLPMRFLDCTPSDNKGTLDESDRFLTSPSDFVGKVFGNLSSFSHVVLFESEERHVLDMLLQNSFVEVRRFFHSHFKVDRDLQSSVVLYSRKDRILYNSVHLATTEKLHRIPVVDL >Et_8A_056672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14942682:14945450:1 gene:Et_8A_056672 transcript:Et_8A_056672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGALFSFCLTAAVIAAATAVSHQHSQCLDNPPDLTLRGGEAGKVVDDLPGGFRAYVTGAASSNRAVLLASDVFGFEAPILRKIADKVAEAGYFVVVPDFFHGDYYDTSKNISEWIKPHSPVKAAEDAKPLIASLKKEGKSVGVGGYCWGGKFGAQIAKTNDVKVVTMSHPSTVTPDDMKEVKCPIEILGAQNDTTTPPKLVHKFVHVLRQRREIPYFAKIFPGVAHGFACRYNTTDPFAVKTAEQALAYMLDWFNKYLK >Et_4B_036754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11278593:11280837:1 gene:Et_4B_036754 transcript:Et_4B_036754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PQGDYIELHQKRHGRRPDYEEKQRKRKAREVKKISRDAKKLIGAKGKRFAKKRYAEKAQLKKTLKMHDESTTRNKVEDVQEGAVPPYLLDRDQTSRAKVLSNTIKQKRKEKAGKWDVPLPKVRPVAEEEMFKVMRTGKRKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLDIISVKKNPNGPMYTSLGVMTRGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >Et_4A_033960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28476199:28477622:1 gene:Et_4A_033960 transcript:Et_4A_033960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNNLKPTGIPDNKVLSYTEQRMMGKGASVQKGHIPLLIGEGRLLKKVLVHRKVLQHPYFFGLLELAAIEFGHDQQGILRIPCDIECFHTIVKLIRGNMLRKKVKLPCLFFSKYSKLRKCE >Et_7B_054184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16794395:16795091:1 gene:Et_7B_054184 transcript:Et_7B_054184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FGQIEWDEWQELVSEVAEIELTDATDTVRWELEQHGNFTTSSLSIYKIHMQVCGKGESSSNFTFYLLGAVCWALWLNRNDWIFRNKIVSASSAVVHRVLSLMQRWVILNRTEDKLHIEQAIGKIREAIPGRTMEQEQ >Et_7B_055916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7406024:7408804:1 gene:Et_7B_055916 transcript:Et_7B_055916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLALLLFLGLGGVLPAASAADEQFVFNGFKGANLSFDGMATVTPDGLLMLTNGTSQLKGHAFYPAPLRLHRAPNSTAMESFSTAFVIGIIGAFEDLSSHGMAFVVAQSRNFTSALPGQFMGLVGSATNGNATNHLFAVEFDTILNSEFNDMSGNHVGVDVNGLNSVDADNAGYYDDATGAFRNMSLVSRRAMQVWVDFDGRTTRVNVTMAPLDVARPRKPLLSTTVNLSSVIDGDTAYVGFSSATGILFCRHYVLGWSFRMNGAAPALNVSSLPTLPVTFPKPRSRTLEIVLPIASAALVFAVAAVAFVVLRRRRMYAEVKEDWEAAFGPHRFAYKDLFQATDGFGDTRLLGIGGFGRVYRGVLASSKTDVAVKKVAHGSRQGMREFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYDNHQAGGKVVALSWGQRFRIVKGVASGLLYLHEDWEQVVVHRDIKASNVLLDKEMNARLGDFGLARLYDHGTDPHTTHVVGTMGYMAPELGRTGKASKASDVFAFGAFMLEVACGRKPVTQDARDNSLVLVDWVLDRWRAGAVTDTVDPRLAGDFVGQEANLVLKLGLLCSHPLPGARPSMRQVVQYLDGDVPLPELSTTYEGLNMLAFMQDEGFDPYVMSFPMTSMSASTIDVMADAFYALPDPQDHSAWVETGGSPKMCCETNLG >Et_5B_044380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23391500:23392233:1 gene:Et_5B_044380 transcript:Et_5B_044380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATKGEPIWTMTTNGVVLTDRLYQERTFPMYLGSFPSDFKVKATKARWSKMFPLMNGELWVQSPRVPNHTLQFLRFSKMKTNKKHKEGYYRPVTMSAKGRRGILEISQRMMASFYASVSGTIS >Et_1B_010354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:53370:64189:1 gene:Et_1B_010354 transcript:Et_1B_010354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLAWAQGGEGTVLVLVRALRSRILLQEEARVGLVAIMASHMLNKVVEGTRAKAEAVHLVAMTPRMVLESIQAGDRLVLQHGNNTSLMAVEVAVELLHHPLNPQLPSSAKQWNLHMSLLKPHLCRQALRNHHQRLHLLRLESSTNRCQCKLRLVRSRRLCLQSHYQANQLDFLLRPGKGSIGTRCLVKANHFFAELPDKDLHQYDVSITPEVTSRIVCRAIIKELVILYKESYLGGRLPAYDRRKSLYTAGALPFTSQEFHITLLDDEDGSGSERRRRNFKVVIKFAARADLHRLELFLAGRHAEAPQEALQVLDIVLRELPSARYAPFGRSFFSPSLGRRQPLGDGLESWRGFYQSIRPTQMGLSLNIDISATAFIEPLPVIDFVAQLLNSDIHSRPISDAERMKIKKALRGVKVEVTHRGNMRRKYRISGGMMKSVVQYFQETYGFAIQHTYLPCLQVGNQQRPNYLPMEMVKHNAYEKDDYAKEFGIKISDRLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGKVRSWMCINFARNVQDSVIRGFCHELADFTREPVLPPLNARPDQVERALKVRYHDAMDILGPHRKEVDLLIGILLITMARFMRVCEIDLGIVSQCCCTKQVFKMNKQILANLALKISVKVGGRNNVLSDAVSRRIPLVTDRPTVIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIEDLYKVWQDPQRGTVSGGMIRELLISFKKSTGEKPQRIIFYRDGVSEGQFYQVLLYELNAIRKACASLEANYQPKVTFIVVQKRHHTRLFAHNHNDQNSIDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIKGTSWPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMASGPTGRGAQSASRSTRAPGGAAVRPLPALKDNVKRVMHEKKKEIHRDSKKHTFKQYLWRDWRPGILVRVLAMMKSLVFMAIISAVNWQEK >Et_3B_029736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27482235:27490395:1 gene:Et_3B_029736 transcript:Et_3B_029736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFDRLPPMDHLRSEKMCYVQLIIPAECARIAVSYLGELGLLQFKDLNEDKSPFQRIFVNQVKRCAEMSRKLRFFSDQINKAGVKSSVIPALQPDIDLEELEAGDILASSHNHAASAERELDENIYEKELDEGNTYLLEQGVHQGSHGNSGVRFVSGIIVKSKALSFERMLFRATRGNMLFNQAPAGEPVTDPISGEEVEKTVFVVFFSGEQAKAKILKICDSFGASCYPVPEEMVKQRQIFHEVSARLSDLEVTLDAGIQHRNRALESVGSQLWRWTIMVKKEKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKSQIKECLQRATLHSHSQVGTIFHEMDTTDSPPTYFRTDKFTNAFQEIVDAYGVARYQEANPAVYSVVTFPFLFAVMFGDWGHGICLLIGALVLILREKKLSSQKLGSFMEMAFGGRYVILLMALFSIYCGLIYNEFFSVPFHIFGKSAYECREKSCSDAHTAGLIKVRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILMGVAQMNLGIVLSYFDAKFHGNALDIRYQFVPQMIFLNSLFGYLALLILIKWCTGSQADLYHVMIYMFLDPAGDLGENQLFWGQKELQILLLLLALVAVPWMLFPKPFILKRLHKEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKLLLLAWGYDSPILKLAGFVVFAFATAFILLGMESLSAFLHALRLHWVEFMNKFYHGDGYKFKPFSFALLADDED >Et_1A_005594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11929296:11931847:1 gene:Et_1A_005594 transcript:Et_1A_005594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRFQSKRAAAAAHPSIPQLETLGHFSVNVEGMGQAKTAKEISPCTLSLDRFSSLPNEMKGHVLSFLNVEEAVRASVLSKAWRDMWTTVPQILLSDDNFSSSEAKFVKLVDLALLLHEGPLLSFSIKGSGSYDDEIYRWMYILSTKKPRDITIKLTSQRDNTIPSSLFNNKDLEHLNLQNCIVSLPKMFEGFKRLKFLKLKWFTSTDSEISSLISSCPLLNTLVLKDFEDIDCLNIRAQVLRHLEVEGFFEELHLDAPNLVHADLIYDEPEAEDFVPLEPDVKSYFKQAFGNLTCIKTLAVSHTFLTYLSQGCMLTKLPAGVFDHLERIRLKGCLSIEIEAVAACALFNSASNLRQLDILCESPVQGFRPKRIFDEDYSHIEAPTLDHLVTVTLHDFTGLAYEIAFVKLLLGWAPVLEEVKVGTTKEVTDQRLAEVMTELLSLRMASAKAKIILI >Et_1A_008357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6921892:6923571:-1 gene:Et_1A_008357 transcript:Et_1A_008357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKEEPPNGLEWRVPMPEGAPATAVQALGAWTRLSKCADTIKTKVFGSAETVWKIGADDPRKAMYGVKLGVALTLVSLLYYVRPMYHGVGGNAVWAIMTVVLAFEYTVGGTVSKGLNGTTGTVSAALLALGVHWVASKSGGRFEPVVASGSVFLLGAAAAFARFIPTVALRFDYGVTAFILTYGYVAVSGYRVHDLPVLAVRRICTYSVGIFICIAVCALVCPVWSGEQLRLLTARHMESLAAAVEGCVDDCFASRPGPQAKWEAHKQSVLDSKAAEDAHANLAWWEPPHGRFGLRHAYDQYARVGAAMRRCACCLDALGSLVVGTEARATEHAAARVLGEACTRLGARCARVLREASGCVATMAVPRGLGLAMEEVNAAVDELRADLRALTSNVAEEHSETSLTEAMPLFTVASLLIEVSVRVKEVVDAVHVLATLARFQPADDEGGETAESEAKVHPLNKGSRLDLTTSAGL >Et_8B_059548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19043470:19046384:-1 gene:Et_8B_059548 transcript:Et_8B_059548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSMRPEVATAKLRKLEHHRSGRDALARQPLQMPPFSCKGDMFPIALRGCSKSEVRLTFKTGSCKHDKDLVNHAFTTIPTISAHTKLQMHIYGTDCCVWEGIGCDTSSGNITVLDLNNCGLSSYGLDPAIFNLTSLRRLDLSMNCFGQTDTTLPLWGTSLPLDLRD >Et_8B_060827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8529995:8536978:-1 gene:Et_8B_060827 transcript:Et_8B_060827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDSRDRERERDAAPPWRPPDSCGWNQRRDRRDSPPPRRPPPPPPSRRHAADDPRGASRGPRPRRPHTTHRSAPPPRPPPSPRRSPSPSPPPWHPRHATSDRPGENRSAARPREEAARAGTGDAGLRATAGAARREVADHTGAAGRNAAAGADQGRAREVDGSARAREERGSGEAGRKRQQSPPEQQLAPKRRAVPANSRVPPDWCKEEAIPLGRNRDADGSRARPARADAGCGAREKVAPRPPLRGSKDAVLLGAAPHRASGTSSPKKVSVPDGAAPRAGGGHHGGGPVGTKSSEASRRSAVPAADGLLDSSSQGAVDFTVKDRARGAGTTEFRKEESEGKNAPKVYPVEARHSSNQKTGENKLQSGEKRPSQIGEKGSKVMNKSGGSSCNVARKSSDECPSKEHRRDKRVREFGRMNCRGSSDDPAGLSNQSTMMKNKFMFAPRPAKVIEKSKDKGKETKHGRSVFGNGIVNSDGVTTDRVMHTSMSLDEHPVAQENRLVTNRSSFGPRKKGNIKAPGFPDIKIVPASEMDKLENNRAYNLENDDTLKALAICEGKIVLYIKNSKFHPSLRHHQNADARSKFKMVCRRFEFICRALVHAVEQHSFNLIRGRIDTEADRVMKELPDFTKPGPVVGTVPGIEVGDEFLYKVQLAIVGLHRRWRAGIDFTNDKNGISVAISIVCSGVYPDEISRSGELTYTGSGGKPAGKKHDEDQELKLGNAALKNCIDTKTPVRVIYGFKSHNVHGSSYSRGKMISTYTYGGLYHVKACIPDYEHGSKMFKFKLQKVPGQPELPLHIAKGMMKSKMRRGLCTVDISKGKEGTPICVVNTVDVARPAPFKYITRIKSAPYPTKVRAQGCDCTNGCTNSAGCSCAMKNGGKSPFNRYGAIVNELPFIFECGPSCKCPPSCHNRVVQHGIKIPLEIFRTSKTGWGVRSLRSISSGSFVCEYTGELLPSKEADQRKNGDYLFNIGRSYEDGTLCKGFQPRVSGLNSSGTCSGTTDDVGFAIDATECGNVGRFINHSCSPNLFAQNVLWDHDDKRMPHVMFFAAETIPPLQELTCEFLDNIKGSGNLEEPWELTTIWEVEAKHIFKSEPKWSTFTDETIRALWSIPLPDFLSATDHMNHPEMRSQQVVRSRLQQYTASGDWKLCVGAGSAQPLRRRAGPLASVRWAGRSKTPK >Et_3B_029657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26938368:26948779:1 gene:Et_3B_029657 transcript:Et_3B_029657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGPDSLVLELMTMGQQSAAHLGALLRAASPASPHQELASEILRCCGRIIAALTANGASGGKKRKAVEHNSAPAACSPATPPRKRFNHGSRGGEARSVVTSATTTGDGFIWRKRTSTATTTRAASEEKPWSWDGETSQGGWSSSSASSEAGFDAPTAHEWLHTARVVEQPSATPCQASDPVLEFLDGCFGWESVINDLMTMGLRSAAHLEDLLRAASPASPPQELAAEILRCCGRVIAALTANAASGGKKRKKAAEHEDTAAAAAACSPPVMPPRKRSRGAEALRVVRSGTTTVDDFIWRKYGQKEINGRSHPRLYYRCAYKNQGCAATRRVQRTQEAPAAYEIAYYGEHTCRGAASCHGGAAAPPPPGVVDFGSNARASAGGVWTAPAASAHQTWHWDGETMSSQGGWSSSSEAGFEAQTTHEWHDMAQALEQPIAAPVHATDPVTEFLDGSFDWASVVNDRVHFRALLQ >Et_2A_016240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22507373:22511094:1 gene:Et_2A_016240 transcript:Et_2A_016240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEELTALEEDGAYWQGALIDATANDEAMAHALYMEELMQLEEGREELRHFEEPHGNASNNNHHGWPAWHGESATIRLGPRAGAEATAGRKDAMISARQTALAGAGASSTAGPAAVLAGMEEVEDAQDNGWYDSIVQEAQRIPNSMEEYLSSNSNSNFQYPPSRPARKTDYSVSAATTLLVPPWPPLAKRKFAKRCRLDPSEPEPSTKRHITRVRVPPLDMRDVPRTTSPSSTSSTARGAATGSACRAWPRTSTDEVRIPCPDPACNNQEENDAGLLHPEECKKSIDFAAFGSWGDRLAERAIPPHRRAYCPNPRCGVVLEVSPPLTCCPECSHQMCATCGLDWRIGDHDCAEGPETALVKKLAYEGLCKQCPQCRMLVERTAGCRYMTCRYIGWFDCGICAETKLALDRFRAGACLHEFCVACYIEGRVADGAVPVPCPAPGCRGGGGGGGMHPEECKKLLDMDVFDAWCVALCERAVGPGRARCPYRDCDELVVLEGGDRGGREESKVACPTSKRDFCLQCEEPWNDRHGGDGYGQGCVLSQLARGRNWTRCPSCRAMIDKIQGCSRMVCSLVNLLWPSYSGKKGGGARERRRAV >Et_8A_056877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18415535:18417122:1 gene:Et_8A_056877 transcript:Et_8A_056877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPPPPPDDPGSSCLEVRLFYVRLSPHGGAAPPPRLALALSPAGEEAPPALPLRLDRHDAASGEATYVSTASARLAPPAAAFEVADHAGAALLRGSLRRCPDAKVDSPAWEIDCVPAAGAAASASAFEVYVAGCCAGEPAVLTRAMRLATAEEAASGLIRRQPGALTATGDEADIDMNTGSMQYPEGWYSDDDEGQLSWFNAGVRVGVGIGLGVCVGVGIGVGLLMRSYQATTRNLKRRFF >Et_6B_049327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3301234:3302641:1 gene:Et_6B_049327 transcript:Et_6B_049327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVPRRRGRRQRQHPAPLRGVRRPPLRRVRDPPRTAYRKDRAGGLSALHVAARMAHVRELLRSRPDAAELRDDGGGTFRRASVVSLAAGDPALRGLLDARDRGGNTPLHLAVAAGAPGVVEALLRKGKVRAETCSTTTASRHLAEKSTSFFTMISLVLILVAYGAQSRPQRQDHVKPWSGRDIGKGIETASDTLSVVAGLVATVAFAAGRLRRRRHGYPQGRPRLPMLHVPQHGRRGGVRGHGDPARVREGVALRGLVEELPSCWPFYAALTAVATRKGDQYGLLAINVCIQVLIGCVTVWMAPATESWRTLWRSLWRSRLGTRQHAIIKRQYPFTGASLPNFLLFLVVNFVVSLGFVVVSNPSVYAAYLPGRQNV >Et_7B_055040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6718697:6720748:-1 gene:Et_7B_055040 transcript:Et_7B_055040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQKNGGGSGGGGGTPVVLNVYDLTPMNNYLYWFGLGIFHSGIEVHGMEYGFGAHELPASGVFEVEPKSCPGFVYRRSVWMGTTNMSRAEFRSFIENLAGNYNGNKYHLISKNCNHFTDDVCKNLTRKSIPGWVNRLARVGSFFNCLLPESIQVSTVRHVPTHPAFSDDDMDSASSSIIGDSDVEELGQHLLPSTNDAHSIDVPPKLAKDLL >Et_2A_015851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18396867:18399586:1 gene:Et_2A_015851 transcript:Et_2A_015851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIECTDFSVKNVALDTLFLIVIEAVVVIALGKFIHIALRRHNLPSAISQIIAGIIVGSLGLHEVIVHVDVANEEDTYARYVSEVRNFYMFYVGLDADLAALWNDVRRCTIFTYASVASCLLLAAFVSGGMYGSMMHTPVRSPELLAAVLMLTLANTASVDVSRMVGEMGLTATASGRLVVATAVATNVICIVGEGVFACMKLASSMTPGMSASARMRVGAVALVKTGAGVALLRPVVAFINRRNAGRHRVGNWELVLLLVFVSFVGRVPEVTGFDGMPAGLLLGLVFPREGPVARSVAGALAYPLHALALPFYFGAMGMRLNFNSISGAIVVPAVLLTLLGLIGKCVGTMAVARFVKIPLADAVRFGVLLNIKGHVNMIDMTFASSEGRLGDIIRFGTDATQIWAEQALMAMVVGSMISTLIAGPVMAVVFRKEREAYACSHQALEHVAPDEEEDHLRMLACVRNARCTPGMLSLLELLASKPRSQPAIHVLHFFDVARNKQQHDGGGPHRHYYHRRVQDVEHKLMDRRNDATTQVNWAVDVFACATGLSIRQVDAGDRGTTANAKAVRRWTEDVRARVLLVPYHRDQHYDGKMVCRREDTARFNREVLERAPCTAAVLADRPFRSGGTTFQLPTKMSTSKEAAGDQGNEVVTTRVAAVFLGGPDDREAVALACRLAKNESVSLTLIRFVLRDGGGGGAHEDGRVATADIEVVVRDDADDAACIVGAADPDEECVAAFRRGYVAKERAAYAEKAVTGPMDVVDALRGMAGAYALLVAGRGGRQPAELVVGLEGWAECVEVGPVGEILASDESLEMGSVLVVQQKAAPPVQPDLPPAAI >Et_4B_038801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:527298:528656:1 gene:Et_4B_038801 transcript:Et_4B_038801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRNHKVKIMTYNVWSNEHVAVYRRTQSIDDLVLKHDPDAILFQEVTPHMSGIFQRSRWWREYPYGFISQLKGQNSKQLYCMLQASSEQPRREDSSSSVMIDGLAVQASHPDPLMMSSRRYAGFPREGINLFTCCLPAPTGTNIRGAERRLEAVRMVNLRLIGGRCDDVILGGDMNWDEDLDGPFPLTAEDGWVDAWKELKPLEALPGTGPRVLPPGSIPACLSEPAGSTLSWAAMKTGLNKNWTNRTRPESGWTVDTEANPMLQLQHGSKPVQKRPDRFMCKLKNFTLDSIEMIGMDEIRGVDYYDDSGKRLEEVRPSHHFGLVLTISGCATSTAY >Et_1B_012116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29070614:29074245:-1 gene:Et_1B_012116 transcript:Et_1B_012116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRSGDGVPAGSMLNLKSKELINTSILRRGQEDEILIQMVNLHSARKWSTIACSIPGRSPQQCQERWMYCLNPAVKKQPWSEQEQITLINAYQIHGNKWSELAKKYFPGRTGKSIMNLWISLKNKKAKSHSVRGFLEQFPHMAVDPLITLNKGPSTYKSGQDSSTKIDVSPEMPVPKLEQGLTEVGGNPSTLSGKTSDCMQGKRLIPLENVSPKEDGHITESNLVLVTEESLDQTVTFSVADFPSSEQNEEVTDSVAILQPNTGFSPSRNLLSNSDHSDEIFSNADSESQEPHLANLADLLDMSYCESLMVIPPHSPEDGNYLHGM >Et_4B_039730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2884287:2885528:1 gene:Et_4B_039730 transcript:Et_4B_039730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVISERADISVSETDFPSFVNNSEDESSSASDSGVGPHRAWRHCVYSVNQLNISNLFYKSAAAASAATKRNAKKKEIPGFRRLAESSFFFQAFRSEHYGIIKGGEGKLLFADGMGHTSVYDTASNSVHAMPMMTAPKGRLSLAFSIPRTEAHATSPAVCNSMNSNVNSESFIYRWVKGAHPESLYILDMAPRCPAPFEALSFTSKGWVWRPVPTPPFFRVPRYKPRMDSCAVVDGNTIFVSPSGSQENGIGTYRFDTVTQEWDKAGDWVLPFFGRAENVSELGHWFGLSDCHPHHLCAVSSLDPPVVQHVWPDFDPPETWSLFDGCLVNLGSGRFCIVKFFRARDPSDYDAAGNIVAVFTGVEVVRREDDDQQARGGLKMIKHKSKCLTNLDIKHVL >Et_5A_041302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20925399:20932766:1 gene:Et_5A_041302 transcript:Et_5A_041302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FPTEKIIKLLQMPGMFSCLPAARKKMVLANKMKNMRQKLRKINEQGQKFNFTLNIDAHLEHHHYDEHETTSVVNEAEILGRDGAKKEITDLLSENHSKDQAMVLPIYGLGGMGKSTLAKVIYNDTQFKKYDHRVWVYVSQEFDLNKIGRSIISQLPTEGGQQNTDTLELIYQCMKDLFPGKKILIVLDDIWEEDDFKLKNLRSMLDKTGSMIDVIVTTRSEGIANKICTNAPYRLEPLKDNICWDIINIYSGFKDKTNKGELGKMGLHIAKKCGGVPLAAQAIGYVLKSKDLHGWSDLNNSDIWNESSRVDNSQHEKVLPSLKLSYERMLPILRLCFSYCAIFPKGHEINGNDLIYQWVALDFIKNSSEGNECIKQLLGMSFLHHSKLPSISKKVVRYNMHDLVHDLARSVIGDDLLVIDAANAAKKSNSSEQKYCRYVLLTNYDGQTKLSNILPQKVRALHFSSSNRLGLHDGSFSFAKCLRILDFSGCSSTVLPASIGQLKQLKCLIAPKTRNERLPDSIVDLSKLQYLNLHGSSLISELPRSFGNLGCLIHLDMSGCSDVFVLPESFGDLKSMVHLDMSGCTLIRELPGSLGNLKNLQHLDLSGCSSISVLPESFGDLKRMVHIDMQQCSLIRELPNSLGNLINLRHLDLSKCSKLTAIPETLCSLVCLQYLDISYCCEIRELPESFKNLRSLLYLDMRNCLRVSEGRLSRVLCGLTGLQYLNMSYYVSLPDCVSEIATLKSLLIEGCSDELIDQVSSRLPCSLTLPLFKCVNVGDLRICSLENVRFLEEASKIKLSGKTNLTTLALAWSCDADRSLDDIDLLGRLEPPRGLKQLILQCYSSPSVPSWLMGISDHLPNLVYINLEDLPTCINLPPLGQLQNLEELELTCCPRVTIIDKSFCGGKGAFRRLTNFSMCRMEGLEEWRTTYSVRSLPQSIQRLTNLQQLTISLNSELKQWCESEENKKLLAHIDN >Et_4B_036346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19133511:19135016:1 gene:Et_4B_036346 transcript:Et_4B_036346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHKKLIHFLLADPAAALSSPRSFSSSSSVSDDDGGSHSSSSSFPPSASSSPSRYSPPRSPWAHLPGVGDAGKATGLIASLVKGDGGKVYSLAAVGDVLYTGTDSETVRVWRDRRELGGFRTGSGLVKAIVVAGDGRIFTGHQDGKVRVWRRAAEDADGDDASALHHRRVGTLPPLGDLLASSVNPASYVDAPTGGRRRRRRAVWLRHADAVSCLSLDEGAGLLYSGSWDRTLKVWRLADSRCLESLPAHDDAVNTVAAAGFDGLVFSGAADGAVKVWRREILASDHPKSCEFKTRHVLERTLRNGAEGGAAAVTAIAVSPEARAVRWLATSGGEPVFGGVLAGHEPGMAVMCLAASGSVVVSGSADRTICVWRRDGAEHFRLATLAGHTGPVKCVAVADDELADDDRRRFVVYSGSLDGSVKVWRLSEDPAPHDEPPAAAAAKQQPLLLPTSPPQQPQSWGPLPALPSPVQPWAPHQAPEPKHVAAA >Et_1B_014225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3715829:3716879:-1 gene:Et_1B_014225 transcript:Et_1B_014225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSNSFGTSWADQWDYGGDLSPRAHGRQDGGAGKKQGGVEKTKAAAATGLRKVKEGTASGFQWIKDKCQKKNGGGKKQEGSGHGGEIGGWRLLEVEEDGENRPSLGLKLGRIDVTIAAIEPS >Et_9B_065184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21871424:21880209:-1 gene:Et_9B_065184 transcript:Et_9B_065184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSATTDDSVASTAGIRDDERSLSGDSLSEWRSCDRTDSDTPSTSPPFWDTDGEDDDPGPKPSDLFGRYTWRIENFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNIDPKKMKYSDTLHRFWKKEHDWGWKKFMELSKVQDGFLVDDVLEIIAQVQVIREKVDRPFRCLDRPYRRELLRVYMTNVEQIYRRFVEERRNLEELPAPMVHVEMDMFVLSGDVIVLLERAALEPLPCQTLAPKDEKNSQSRIKDGSSGEVYRVSMEREERRLTEFGQKIIETFVLSHIFSGIEIAYQEAVALKRQEELIREEEEEAGLLENQMKGKRGGGASEKDKRAKKKQAKQKKNNRKVKDKERDVKFEVTILEKLHDETAIDGSDFLPAKEVEMTEKVDALEDSASDGSDMSNRGKNQRNKGLIITSFSEEGDGFPSTSSIAGDSSCNDSGCCTALRADQDTVLLTLRDKLRKLGQRLHEKNIEGQKLLKAHFEARDAKAKAEESSNSSNSSEVPFDLKVNGTPNKDVPEVIYVPEEADSGMRATTNVEPVPLPGTTKVGPDSNRDDVSSSKTKASIASSFCSKPPSVDTGKDATIPSKSARVNKAPPRLASDKTTPVPPKSLPVDRATPVRSKSSAVNKASPVHSRSPAVDKATSVRQKSPAVDKATSVRPKSPAVDKATSVRPKSPAIEKAAPVRPKSPAVDKAAPIRPRSPAVDKAYPALLKSPTGANDASIPSRSLQVDKSIPTPPRLPQVDKAALPSSGLPQTSSTTNSEAQKEATSMRVTAASVSEIALTASRPSSAPVFPTPKTSAPATSHIQKSTLLSRSMSESVGRSGNEPSPSAPPYIPQSYRNAIIGRPGLGTASASLFQSASFGQDTALSQPLSAYASSTAVMMPPAERSDQLLTRHGFKSGLGEAEAHDSYQLWRSDSNVDKLPLRDRAPYQQMANRLACGEPHRDDTYQEASSRGIEKISSYGLQSRQFQPGTTTSRICPLPQGPAAEEFPHLDIINDLLEEDHINGSMPGLHHHDYSAFGLPFSPRGNSADLGSSVSSPGRFDSTELYYDDRFSRTYDMSSFHGLRERQSPSMGTYSNGPSDMSASKPWLNGSLSPPLTTGVDTNGFHQQMGDYPNLGSGVNGGVSVWRRHANGRW >Et_2A_015463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13275648:13276613:-1 gene:Et_2A_015463 transcript:Et_2A_015463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVVGSPGSWSSLALRVSQCLCAAATLVTIALAHGNSYTAYSFLIAAMQLQLFWSFIFACIDIHSLRTNLDLRHDLAAVFRFLCIDTVSLNFSFKLLKA >Et_8A_056172.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:12385163:12386323:-1 gene:Et_8A_056172 transcript:Et_8A_056172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHPNNYIPRMIMPPPPPPPPPHLFRPPPPYLMPPRPSPLPQPALLSFTGFPSLTALRFHCCKLAHDLQRMILATTRPRLASLSLETVRFNGLCGNHSTKSLHLRCQTATHLVLVFDTNCYFTTVELDVPQLRSFRYAGPLVHDASFSLKSPTPHLMRVDLDLSILILGRYYSNRVVDHGASFWRFLASICHCKSLKLNCSGIYNMLINPDEEAKLLPMFTNLDRLEVKATYRHDQHKDVATLLFYLLRCCPIISQLKISLAVTPIPDDSKRNRKAGLNRDQKARLAFKRSAHKFRMHSSFMKMSLLDHDDNDDVCDFPDLLRWKEFSFTCLRNSLSKLFVYMEQQDSFEIQLIRFFVENALLLKEVYIGDGDQDLRKHVNYKVPQ >Et_2A_016713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27445809:27447033:-1 gene:Et_2A_016713 transcript:Et_2A_016713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DILVWKPATKGVCTAKEAYKELATQRQQPLPQNGSRALPDHGPKCFVDAALPMAQPQDTPRPAGIGVLLCSTSGPIMPAIEVQARAQGINSPLHAEALAILLASRLTKALQQQIVNYITDNQLLVSTLQRNNFITDPGDWRLRPLLYEFISNNSATTFQVHKISRNHNRPVKQASLSSDLADCAYTCSHISHHSQCPIIEALKTVSWGSITLVSVTCR >Et_3B_030190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31227093:31232579:1 gene:Et_3B_030190 transcript:Et_3B_030190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEEPSQMRRAFVDSLAGAISGGISRTVTSPLDVIKIRFQVQLEPTTSWGVLQRNVYGPSKYTGLFQATKDILREEGLPGFWRGNVPALLMYMPYTAIQFTVLHKLKTFASGSSRTEDHLHLSPYLSYVSGALAGCAATVGSYPFDLLRTILASQGEPKVYPTMRSAFFDIIKRRGVRGLYSGLSPTLVEIIPYAGLQFGSYDTFKRSMMAWNRYKYSHLNLGSEDDSVSSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGTPVDSSTYKGMFHALKEIVAKEGLGGLYKGLFPSLVKSAPAGAVTFVAYEYISDWIGSKAGVE >Et_2A_017938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8034548:8036522:-1 gene:Et_2A_017938 transcript:Et_2A_017938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVAQSSWCPIPAEVVQKAKMIKAERQRRKDERKRRGAESPSNSGTRCLCCACARPAVGPWETGHKEAE >Et_10A_001157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21704892:21713191:1 gene:Et_10A_001157 transcript:Et_10A_001157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAALRERLLQLGFRFNPTPQEAVTYTLPRLIAGEPPHPAIRPYLHHANIYACEPGVLAAQLQPTPRTGDRFFFTSCKRQPSQKAGKSSRAVRAAGQGAWHSQGNSTEVKDGAGVKIGEVKKLRYKKGGKFTDWLMDEFSCCSEDSVVGDTQRVLCKIYVSPRAAPDSAASPENGLMPPPPRSSRHRHRRIVGTASTLAPARPVQSSTVVQAPCSPMASRDPFCTESSATAEDDVDFDFVSLLNGTLEIEQAGEDEAQDNTDWFAAAMAREANLTMCDARVEQLDDRRTKGDAPGYLVLANRRAAGDGVVHELLESARPHDADLKRPDGAVCHPVQGLLHLQAASLQHVAMDHLLVGQHHELPVDDVVPRDAVGARPADDAYKVDATAECRNVLRHGTSDYGVQALVLGQMRVIMPAQSPLEHSTRTNRASSGSARRTPATASRTDGSVTRWCVQCTDLDVSSELLPVRLQEANGTEEAGGKYGADARHVTAPAQAAQHLSVHALERVLTQDGRQRARAAAETTVSSALLEGVALVEAGQDGPRWQVAGRHRLRSDQAEAKSNPLIEPRNIVPVVPTNIVLSSAAYACFRFNPSPKDVISYYLPRLLAGEPMHPAIRAFIHDTNIYACEPGLLVAQFRATPRKDDRFFFTTVQRQKKSKAGKGYVRVAGQGSWSFQKNAEIKDDGGVKVGEVTKLRYKFKNGKYADWLMEEHSCACSCTGAVVGDKERVFCRIYVSPNAGADSAARQESAAFSEQPAAPPPEPVAIAHQLPARNSRRPAPPPIMKPPCPKRIRGAPISPIRPPPAVGCTTSLASPWQCAPQRGVAPPSAPQVQTVPAPRRPCAPSHQQARAYHVPEPAVTRHLSPPVEQWLLTPRPAPAARVRDPFASPDHLLSTETEDPADAPDVYDELMKEFDKIPTEEEEAEDTLEASQAAVVKQEADDDGMDLFANDLGNMLDTED >Et_7A_052812.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:17047575:17049293:-1 gene:Et_7A_052812 transcript:Et_7A_052812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIRFDHLRDVRQVVHLIYDNTLGLILLATPREHDPVTMPRLRRRRVRPRADGGGGGLDRLSSLPDDLLRLILRCLDTRTALSTAVLARRWSRLPRDLPALDLRVGDMLPPRYHRAVAIRRGCQCHHPDSRRNAAVRKLDAVIAQCERRTARAFADGVAGLLEAAGAADDGGDDRRRAKTLRLEFFFPTEDKESGCAVVDRLIPAAIGVWGVEDLEVVVARRARSPLHDDDDEPAGGAYTFPHHRLDDALHRSRLRRLTLANCAAPRPLQGYAALAELVLRDMPATTPLATYRDLFTARSPLQVLHLISCRCADEEYRHLVISAPDQSKLRELVVDGCSFLAIDLRALPALERLACLTNTVELRYSAVPRLARVTLAFSEKSAWWHDELGRFLDGASAVESLAARLSGPSRWFVARPPKTLLRGLTRLLVADVPASWDVTWPRLLLVAAPHLETLHIHVAPQKYKPLGREISWNLLPSRKVRHRRLKEVVVAGFGRTWRQIFFVRYLARVCKRLQRVFLLKDWRVRERSLWDWDLEQQNECPWSDEDKMVIRKQIMYGKTWCRPQLQVNVR >Et_5B_043617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1308888:1309179:1 gene:Et_5B_043617 transcript:Et_5B_043617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IETDCSNLMKAMTFVEYDQSPEGVMFRQATKSLVYLSFHYVVFIHGLRTSSECAYELARYVDIEQSDVWLNSLPNFVLNLMACDSVGSNVNEPS >Et_3B_029116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22216943:22226238:1 gene:Et_3B_029116 transcript:Et_3B_029116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAIAGANGTPELDERKAQRSFWEEHSKELTVEAMMLDSRAADLDKEERPEVLSLLPSYEGKSVLELGAGIGRFTEELAKTAGHVQAVDFIESVIKKNESTNGCYKNTSFTCADITSPDLMIEPNSIDLIFSNWLLMYLSDEEIEGLVERMMKWLKVGGYIFFRESCFHQSGDSERKLNPTHYREPRFYTKVFKECQDFNQDGASFKLSLATFKCIEAYVKIKKDQNQICWLWQKVNSTEDGGFQSFLDNVQYKESGILRYERIFGDGYVSTGGVETTEEFVDKLALKPGQKVLDVGCGIGGGDFYMAEKYDTYVVGIDLSINMILFALERAAGRKSSVEFEVADCTTKTYPDNTFDVIYSRDTILHIQDKPSLFKSFFKWLKPGGKVLISDYCRSPGTPSEEFAAYIKQRGYDLHDVEAYGQMLKDAGFRDVIAEDRSDQFLGILQKEVAKFEKNKDSFLADFSQCRGALLASRGGGCSSRPRKLDLLEAEERKAQKSYWEEHSRNLTVEAMMLDSRAADLDKEERPEVLSLLPSLEGKSVLELGAGIGRFTGELAKTSGHVLALDFIESAIKKNESINGHYKNASFMCADVTSPDLRIQANSIDLIFSNWLLMYLSDEEVVQLVERMVKWLKVGGYIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKECHAFDQDGNSFELSLVTYKCIGAYVKNKKNQNQICWLWQKVNSIEDRGFQRFLDNVQYKTSGILRYERIFGEGYVSTGGVETTKEFVDKLDLKPGHKVLDVGCGIGGGDFYMAENYDAHVVGIDLSINMVSFALERAIGRKCSVEFEVADCTTKTYPANSFDIIYSRDTILHIQDKPSLFKSFFKWLKPGGKVLISDYCRSPGKPSEEFAAYIKQRGYDLHDVETYGQMLKNAGFHDVIAEDRTDQFLSILERELAEFEKNKHDFLSDFSQEDYDDIVNGWKAKLQRSSAGEQRWGLFIATK >Et_4A_032814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14185000:14185642:-1 gene:Et_4A_032814 transcript:Et_4A_032814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSVAKIGLFGSASGDNCDITVAPLRLETITIYSGDVIDALAFTYRDRDSMEHTAGPWGGNGGDTNTIQLEPSEFVTEIHGMYGPYTYGPHGSVEGITNLTIVTNKGSTGRTESGSWMSRTASASPLRTTAASSASSPTSASTTSTASASTSSPSDRSPNATCSSSGVEPRYCGR >Et_4B_038372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28528292:28535806:-1 gene:Et_4B_038372 transcript:Et_4B_038372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKLSVCWWPPHFKSPMLENGAAEDDGTGVPVFAEYSLDELRAATDGFAPDRIVSEHGEKAPNVVYRGTLFSSGRTVAIKRFGRSAWPDSRQFLEEARAVGQLRSGRLANLIGCCCESGERLLVAEFMPHETLAKHLFHWENNPLNWAMRMRAALYVAQALEYCSSKGRALYHDLHAYRVVFDVDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEVSPESVVYSFGTILLDLLSGKHIPPSHALDLVKGKNFLVLMDSCLEGHVSNSDGTDLMRLASRCLQYEARDRPNLKAVVSGLASLQKDASTPSRTLLGIQHDQEVSDQFALSAIGKAFAQADLNTVHEILQDDGYNEDDMASSEISLQSWTGQLSESFVVKRNADSAFESKDFVTALECYSRFLDTGALVSPTMLARRCFAYMMAGKLQEALEDAKKAEDIAPGWPIGHYLQAMALLGLGREAESHEALRKATALEAERNSRARTVMMISSNLQPPKLTSLLATLAISRKKEHISVSRTY >Et_10B_002373.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:14974995:14975420:-1 gene:Et_10B_002373 transcript:Et_10B_002373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLIPLFLKALKKKKAMKHYRSLSSPGHLPHADDVAERSPKGCLFMTPQHPRASRRHAGDYEYEDLFMTPQHPRASRWFDEGDGTCEPAAVEGHLAVAPLRKRAKDQQRLGAMEAPPLRH >Et_6B_049634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7661293:7671453:1 gene:Et_6B_049634 transcript:Et_6B_049634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPRTKRPRAAAGELEEPALFPVGTPVEVRSDDQGFQGSFYEATVEGYPPSGRGYVVAYATLATREGAGGEPLRERAAAADVRPRPPERQRPRRRAFAVHETVEAFHNDGWWAGVVRRVAESAEADGGEEGETTPPRLRRVYTVCFPATREVLDFDEARVRPRLVFQGDRWVPAAEAVSDALSSSFRVLLLDRVTGLEKRNQMFREGSQVEVSRSAKTFGEYWSPATILKIIDATSFLVQYGDVREDGELVTDILDAQYVRPARNIFPMDSKYRFPPCSFVEVFHEGSWWPGVILEILDDKFMKKYVVKINSHETAMDDVECEDVLTVEHTQLRPRYDWHGRKWVRCLTEKQFNRGPQMTSGKRPISAACQSSNDIDNVAAAASCTRDESVSSINENMNNADIVLRAVFPDSLVCNENIQVKQKQSSYPEESAKQQNSVLVLKSPSALSSQLSVTGFGHLKYDPNLFRSCQLQLPSPRIVPMSSVPQAGGFQASLFGAFGQLRPLPQGPALGIQSVNPYFVSIGGSKTAFTVQEKQPTDRGYNLMANPEQNFNAGSSTRTDLPRKRMKECVLSGIQDELGENSENVLKKKRIVDKSAEETSHGVASSEDRSQEKSVFLRESTVVDEIIPSGVPLGTDELHQGDYIGTVQSGANEVVLSENSVLSVVTLDKPSKKNAFPSDYSTRQYRDKATEAQTTEISMEQETAEEFCQQALIVANDVAVDLMPSEKSCETSRNGQQLHEDNMAMVECATGCVFPTENVSTMAVPMSSDVVPNMLSSSENCEANKKLDMGSADSHGSRVGLPSNMPEILYASADGPFVATSLHELKGDASVKQSSTKKSQTEQHGVPNMDCSTPMVEFAAGSSQSTDKSALTQLSSADMSNSTEVEIGNRLIEPKHAESTPMSKYVPSRTRDSCCPLLQRSLDVHKSILADRPSESLAVENLPFVKTSPMWAQIEAMEIFQKVPQRPNFHQFQQLVPELREGMALGLMLSFASLAESINQLNSQDDNSLFEEKMKGLFSLEAHGFDVTHLRSYLETQLHMKTSRAAELQDAIKKLEKISREESVYWHLSTQISTLNSTICQLDLHTNLLRGITQYVVSQKINCAWQISRLKTELKHLFLSEEMGFAPSRKLAGRFFGNVLKGLGSPSAGPLPLLG >Et_4B_039735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28088837:28095131:1 gene:Et_4B_039735 transcript:Et_4B_039735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGETKGETVLVTGASGFIGSCLVRNLLDRGYSIHAGVLNPDDKAETDHLHALAAGAGEDRLSVFRCDLLDGAALLEAARGCSGVFHLASPCTVDRVTDPQKQLMVPAIEGTLNVLRAAKEAGGVRRVVVTSSVSSLVPNPGWPAGEVVDERCWTDVDYCEKNGVWYPASKTLAEKAAWKFAEENGLDVVVVNPGTVLGLMIPPKVNASMAMFLHLLEGCTEEYADFFMGAVHVEDVALAHIMLFENTAASGRHMCVESICHWSDFAAKVAELYPNYKVPKFPKDTQPGLLRTKVGSKKLMALGLQFKPMEKIIKDAVESLKSRGCIS >Et_4A_032022.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:12144323:12144811:-1 gene:Et_4A_032022 transcript:Et_4A_032022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDKHRSHEFHRYHASSLAATALATTLFLAAILCLLLRRRHTRKSYKLPPAGPSSMAGDRQPEPDRPAAAPLHPRPLVPVRPAHVPPVRLVPRRRGLVGRRGPVLPQDAEDQAFIDRPRTAAGRHARLTSYNYSGVLWAPHGAYWPEWFVGSGVNAKGTRL >Et_8B_059473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18299987:18306575:1 gene:Et_8B_059473 transcript:Et_8B_059473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTTKVATQAAVILLLLIVAQSADEKAAVGAEARVCRRRSAGFRGLCVSDHNCAQVCLQEGWGGGNCDGFRRQCKMIPVSALYHVAEAMAPLYTAAALGYASALILLALLLAAWALRRCSRRLLVGEAPAPEQQWLMRWVVTGFSVATLTNTVIMGVPLMRGITYGVWYNVVIFLYEFMAAREDDQLGSAANNNKISPAEEQSHRRRHDDDEVAVEVDIVTKEEEVVAATAGDVTSSAAPSRQAPLVTHVVRKAGRRLPKAPNTYASVLGLIWSLIAPKAGIKMPKIVDGSLSIIYTTAVGLSMFSSGTFIARQSRLVPCGYKIVSLSMVFRFVIGPVAMLIASLAVGLHGTLLHFAVVQAALPLAVTSFVYAEEYKAAVGAEARVCRRRSAGFRGLCVSDHNCAQVCLQEGWGGGNCDGFRRQCKCVRQC >Et_2A_017961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8397175:8398230:1 gene:Et_2A_017961 transcript:Et_2A_017961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRFHYGHPDVFDRIFHITRGGISKASCGINLSEDIFADVETSPIMSTSKWEKGVMPAKVACGNGEQVLSRDIYRLGHRFDFFRMLSCYFTTVGFYISSMLAVMRIFSCSCLNVVRMVVIIVSVFLYGRLYLALSGLEFAIMKQARMRGNRALQAAMGSQSIVQLGLLMALPMFMEIGLERGFRSALGDFIIMQLQLCSVFFTFSLGTKSHYFGRTIPHGSAKYRATGRGFVVRHVRFAENYRMYSGSLFLRRLSLMFNLATRMSKILSTLPTWPP >Et_4B_038168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26980880:26987943:1 gene:Et_4B_038168 transcript:Et_4B_038168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSKEAPSAVEITLRRFELSDIDSMPDWASDLLDNPTEPLLPKLRDFVLPHPWFRAICLAGDDRPVGSVSVTPTRDPSRAELGYALARAHWSKGVATAAVKRAVATVFDEMEGLERVEALVDVANPASQRVLEKARFTREAVLPKYRAIKGVVKDIVMFSFININPLVEAPLTMEQSSPEAPRLVEVTLRRFELSDVDAMMVWASDPVVAAPCRWDAYESTEPLLAFISDVVLPHPWFRAICLAGDDRPVGAVSVTPTGDPCRAELGYVLARAHWGKGVATAAVKRTVATVFDEMEGLERVEALVDVANPASQRVLEKAGFTREAVLRKYGVIKGAVKDMVMFSFVNTDPLVDNCTAASRHLTRGTTTSGMDQSNREAPRAVEVRLRRFELSDVDSMMAWASDPQVAAFCLWDPYESTEQLLEFLRDTVLPHPWYRAICLAAGDSRPVGAVALRPTGDPHRAELGYVLARAHWGKGVATAAVKRTVATVFDEVDGLQRVEALVDVANPASQRVLEKAGFTREAVLRKYGVVKDMVIFSFINTDPLVDWSHMSLPSTIRTPMNPQNHYSPSSVMLCGTKLVDNNQPLRHDVCDANGGFVPCQVGGKHVATTAVNRLVATVLSKTNRLEVVDHLLRRAVQSWLLAGGRLCESIASSKTNEGHAVTIRPLFLRTSYKSNPTTTGHRTDSAPMAEVTLRRFELSDVDAMMAWASDPQVAAFCRWEPYESTEPLLAYLRDTVLPHPWFRAICLAGESRPVGAVSVAPTAERCRGELGYVLARAHWGKGVATAAVRRALRAVFAEVDGLARVGALVDVDNPASQRVAEKAGLRREGVLRRHYWHKGRARDMVMYSFISSMDHDGLAPPESTSTDTVTLRPFVLADLDAMMAWSSDPVVTAFMTWDPYTSREALLAFLRDTALPHPWFRAVCLGGAIVGAVSVTPTDDRCRAELGIVLARAHWGKGVATAALKRTVAAVFGDLEGVERVEALVDVENVASQRALEKAGFTREAVLRSYCVVKGRLRDMVIYIFISTDPLVE >Et_10A_000822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17813290:17815877:-1 gene:Et_10A_000822 transcript:Et_10A_000822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVSRPSANNKRRTAGGGGGAGNRGGRLGGANNMRSCSAISSLSDATRGNGAWGPVTVLTKGLAPESPADELLRRYELGEELGRGEFGVTRRCADAATGEALACKSIGKRKLRSSVDVEDVRREVAIMRSLPPHANVVRLREAFEDADAVHLVMEVCEGGELFDRIVSRGHYTERAAAGVMRTIMEVVQHCHKNGVMHRDLKPENFLYANTSENSPLRVIDFGLSVCFQPGDRFSEIVGSPYYMAPEVLKRNYGQEIDIWSAGVILYILLCGVPPFWAETDEGIAQAIIRSNLDFSREPWPKISENAKDLVRKMLDPSPYGRLTAQQNASSAPNIPLGEAVRSRLKQFTVMNKFKKKALLVVAEYLPTEELEAIRELFEMLDTNKDGHLTVEELRKGLRMIGQNVNDTDVDMLMEAADIDGNGTLDLKEFVTVSIHLKKIRSEDHLPKVFSFFDKNGSGYIEIEELKEALSPRGGQKAIDDIILDVDKDKDGKISYEEFELMMKAGMDWRNTSRQYSRAVYNTLSRKMFKDISLKLDPNSGPLGAAAKEQREVD >Et_1A_007312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33230597:33231642:1 gene:Et_1A_007312 transcript:Et_1A_007312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLQLSVTSMEFLVFCAKISPLPPAVKTPVAASVKSCGGGVDGAFGSVEHSTTMPRPGNLRRQAQRQRQHVDAVVYGVVDGRENRGEAARAVATPARLVDGQPSARRTAACHAGGEAAEARVPDLAAGDRRRHVCAVGVEVPGRQVVALDRLFVHAGGVPPGADDLSVAACGVEFRAGLAGAFPPCR >Et_6A_046347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13483642:13484759:-1 gene:Et_6A_046347 transcript:Et_6A_046347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARARASRQRVPAFGEWNYAYGGDWPVTQYFDSAMQAGLFVAVPSSPPKPLKKVVKWSDSATLEDEKQRQQHKVLVGLGDHGGAVKKQGKQGRVADAAGYKVVKPVDQDLYEIPPDMLCHKPRKRLTRRSVWLGCLGLSCVA >Et_8A_058314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4753995:4755261:-1 gene:Et_8A_058314 transcript:Et_8A_058314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFPSHIMALSKVQRLYDACDLVFASPGQAAPSLGEIEWLRRLLDRVFSCAGRFGLTVCAGLLVSSDGVEAADVGIDDGEKASSSSSSSDDEQSPKSARLLPALAFERITYVHIHQCDDFSMGVFCFPAGATLPLHDHPEMVVLSKLLYGSVRKRSYDWVAAPPSSGARKLSTRCADGSVDGSTHAGGLARVVAADEVLRAPCEASVLFPQSGGNMHAFTAVTPCAILDVLTPPYSEERGRPSTYFRDVPVPSLPGYAVLEETELPEDFSVAGAPYLGPELTVDMDYDDDDYDDYDE >Et_4A_032592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11768732:11770834:1 gene:Et_4A_032592 transcript:Et_4A_032592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAEQRDREQLVVGSEPPGEEEEPKKSAHREAEAEAAEADGGGAEASCDHCGAAAAAVYCRADTARLCLPCDRHVHGANGVCSRHARAPLCADCRAAGAVFRRAAAGGLFLCSDCDFGRQQRRDGGAGPDPPLHDRCAVQAYTGCPPASQLAALLGVPLFDKPAGAEDDGWWNIWEEPQVLSMEDLIVPTTPCHGFQPLLTPPSPKNRSISADGKTNEEILRQLGELAEADGGVQATAGHDEAEQTGDQFASWEPPPLYNFGAENTNEVATMPTPVFENGRWNSSDYHDLSGACKVELAYEQAPLSSAEACLSSFIQMSEICPSMSNGNSMEDNQQANPGSVPVQTFHKRGGFDVVPCPDRGSVISRYKEKRKTRRFDKQVRYESRKVRADGRLRIKGRFAKAKQI >Et_4A_033626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25106796:25111604:1 gene:Et_4A_033626 transcript:Et_4A_033626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAREKRLPPLHLSLNVPARAAIQEPAFRHANPPAAAPQSASTPLARSSQFRLADFDKLAVLGRGNGGTVYKVRHRETSALYALKVVHQGDASAEADILTRTASPFVVRCHSVLPVASGDVALLLELADGGSLDAVRSRRGAFPEAALAEVAAQALSGLAYLHGRRVVHLDIKPANLLATAAGDVKVADFGIARVLSRAGDLCSSYMGTAAYMSPERFDPEAHGGQYDPCAADVWSLGVSVLELLVGRYPLLPAGQQPHWAALMCAICFGEPPELPDSAASPELWSFVAACLHKDYRQRASVAELLAHPFVAGRDVAASRSALRELRRLPQLHISLDLPSCAFRHPNPNPPVPAQASTSAARCGESELSDFVRLAVLGRGNGGTVYKVAHRRTSAVYALKVLHHGDPGAAAEVDALRRADSPHVVRCHDVIPASTSGDVALLLEIADGGSLDAALARRGAFPEAAVAEVAAQALSGLAHLHARRVVHRDVKPANLLAASGGEVIKIADFGIAKVLSRPGDHCAAYEGTAAYMSPERFDTDRHGGADPCAADVWSLGVTVLELLMGRYPLLPAGQKPTWAALMCAICFGELPSLPDGVASPEFWEFVGACLNKDYTKRPSVAQLLAHPFITGRDVAASKDALRRLIAGA >Et_3B_030371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32476881:32481739:-1 gene:Et_3B_030371 transcript:Et_3B_030371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPSPSDASPHERLAALSLRRDLPPDFAGAEIGNDAEAKDDDDEDEGYLTAVSRGGSSTCAAAAWREAAEGLEEDKEGEDMAPPSPSSSGYAGERGSSLASSAGIEEPDPESEGVGVQDWARDKKHLDEDDASASWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENSGDHIKFVRAGKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQILLILTKSINRCFEKNPKFDMAPLLGGTDAVFLSLIHAFNWNPATFLHAYTCLPLAQSTRQVASAVLQDIADSGVLFALLMCEHKVISLVGAQKATLHPDDILLLANFILSSESFRTSESFSPICLPRYNPMAFLYAYVHFFDEHTYVTLLTTRSDAFYDLKDSRARIQNVLLKSNVLIEVQRSLRESALHVEDLPTDPSPRSESQPSESSQSLPPEIVIGGPAGLWHFIYKSVYLDQYVSSEFPSAISNPKQQKRVYKAYKRLYASMHDKATGPHKTQFRRDEDYVLFCWITQDFELYAAFNPLAEKTQAIKICNRVCQWIRDLENEIFVYGESTLSW >Et_4A_033545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24276644:24279155:-1 gene:Et_4A_033545 transcript:Et_4A_033545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAHKIPLEVAHTLVEIAEVARYAYEHRPGQPPAHDGASPTASAGLDGGCASEEAARLREENAMLRARLADDLALLHELRGAPCVSQECPPDLYNRLLAAVNNVSFLAHLEKLQDESARQHAELCSVNLKEVEIGDIPDNMGNGKKGSWVLVASNTTGANLEEISGIDDENYVIINEDDIVDGLATFVARCILEDPKSKSLSPAALQKAVAKALDSMKARWGWSTFWEAGKVIYILATWGITLAGLYKSRHVIKVAAKGAAVSARFIMKAL >Et_2B_021852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6001868:6002566:-1 gene:Et_2B_021852 transcript:Et_2B_021852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKIIVPMILTTMIMAFLITSGSSRPVPGDGRGAGKGVVSGEHILQVLQQQYLQMLGAGPSCQTNNPNGMDCSLERQRQLVTDSRGGVPQPRDAVPTEDRCELCEDEEPGVSPPNGTLSGLRGKTAARRPGAMAEGTEGAT >Et_10A_000942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19393253:19394335:1 gene:Et_10A_000942 transcript:Et_10A_000942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HECMMLVSLRMPLWHVRHEYLFGSVSDDDQYHRIWDLLSPAVAKPAQFVMAHQVNFLAFNPVNELFVAIGSTLTTLSNCLTDNISSWMESKKEETICI >Et_7B_053544.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:9725311:9725325:1 gene:Et_7B_053544 transcript:Et_7B_053544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQML >Et_1B_011030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16876503:16878862:1 gene:Et_1B_011030 transcript:Et_1B_011030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSMNSATQPGAPFPVQHRAFSLDIKGNNTDIVINKYEDAFLVIVTQIGCMGTILAAKKDESVFSDPTYNVSVLFGKRDEPLLLACARQLIEHIRFASLAILFCHLDCLLVSCDRKLHARPYVTYLVVLFESSDNRLNYRI >Et_3A_025045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26427737:26429525:1 gene:Et_3A_025045 transcript:Et_3A_025045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKRSIGLFIVCLSLSCHGSIAQLSGQGTSSWQSPRRGSPRECRFNRLQALEPLRSARSQAGTTEYYNVSNEIFQCTGVSIIRRVIEPKGLLLPHYTNAPTLAYIIQGRGITGLTFPGCPETYQQQFQQSWPGQMFEGKSQSYEFRDEHQKIHRFSQGDVLALPAGIAHWCYNDGKVPVVAIYISDVNNGANQLDPRQRDFMLAGNNRGQQTYRLEAQEHSQNIFSGFSIELLSEALGISTGMARQLQGQNDQRGEIVHAERGLALVQPYASPEKQEQEQVQEREYYHSRPSRQQQHGSGYSNGFDENFCTMRVRQNIDNPNLADTYNPRAGRITQLNSQKFPILDLVQMSAVKVNLYQNALLSPFWNINAHSVVYITQGRSRVQVVNSRGRTVFNGELRRGQLLIIPQHHVVLKKAQREGCAYIAIKTNPNPMVSHIAGKSSIFRALPIDVVATAYRVSREEARKLKHSRGNEYGAFAPSHTYRSTEEVSGAAASS >Et_3A_025436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29894455:29897871:-1 gene:Et_3A_025436 transcript:Et_3A_025436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASNGGLLYHEVQEGKLCAVHCVNTALQGPFFSEFDLAALAGDLDQRERQVMLEGGAGTASAGDFLAEGSHNVSLGGDFSIQVLQKALEVWDLQVTPLDSPAAGSSLFDPEQEVAFICHLQDHWFCIRKVNGEWYNFNSLYPAPEHLSKFYLSAFIDTLKGSGWSIFAVRGNFPKECPMATEGSNGFGQWLTPDDARRITASCNQVESPIHQANTPIHGDQSGGMSEMDIIAAQQEEADLNAAIAASLMDTGGPFANSDAAHAYSKPHDALVTEAAPSTISKENNKEEAEKSEHSVPPCENTKESASGSDMIKEVSSVEGKESVKED >Et_2B_022872.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:745066:747630:-1 gene:Et_2B_022872 transcript:Et_2B_022872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGTLPLALLVAALAALTSVAGQGRPVVDDGAQTPPTPSSFTPKDSFLIDCGSAEPVNTSEGKSYKTDAQANHLLGAKDAFRVADDKADVPSPIYRTARVFKEEAVYSFPLAVPGWHFIRLYLYPLKDVGVDLASATFSVATDANVLLHSFTAEAKPVMKEYLVNATENHLALKFQPLKGSAAFINAIEVVNAPDELVTDTALSVAPTLGPVSGLAESAYQVVCRVNVGGPAIGASNDTLGRAWDDDGAYVQDKAAVEDVSVPAGTVKYPDGASRLVAPALVYASAAHMADADVKSPNFNLTWRMDVDASFGYLVRLFFADIVSDSLNDLYFNVFVNGRRAVSGLDLSTVTGDLAGAYYKDFVVNSSSPAAADKITVQVAPMGEDTGRVDALLNGIEVLKMSNSAGSLDGEFGVDGKKADDGNRKAVAAVGFAMMFGAFAGLGAMVVKWYRRPQDWERQNSFSSWLLPIHTGQSFTKGSGGYGSHRSGNTFSSTMGLGRFFSFAEIQAATKNFDENAVIGVGGFGNVYIGEIDDGVKVAVKRGSAESEQGINEFNTEIQMLSKLRHRHLVSLIGYCDENSEMILVYEYMHNGVFRDHIYGGKPDAPPLSWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDENFVAKVSDFGLSKDGPGMNQLHVSTAVKGSFGYLDPEYFRCQQLTDKSDVYSFGVVLLEALCARPPIDPQLPREQVSLAEWGMQWKRKGLIEKIMDPKLAGTVNPESLNKFAETAEKCLAEFGSDRISMGDVLWNLEYALQMQDANPPEGAPKDGDEQDSSADGSSVATISTEGSSSVVTSVPDTSTTAAGELFQQLADMKGR >Et_5B_044964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7802279:7802746:-1 gene:Et_5B_044964 transcript:Et_5B_044964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDRLSELRAFDDTKAGVKGLVDAGVSTVPRIFHLPAPCVPEPPPSSPPDRVPVIDLADTERSDLVSQVLNHGVPGDLLAETLASIRRFHEEPAEAKRPYYTRDPARRVRFLSNFDLFQSPVANWRDTLIVDIVDLCGDLRY >Et_3A_024127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17619609:17623184:-1 gene:Et_3A_024127 transcript:Et_3A_024127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAGSCAAAPVGLPSLRPQARPSLRPGGFLPWSSAAWTRGGVSLGWAQGGKKQRPPPAAVARLNRLLCGYMRRDGQEGDVRSQGKDDAVMFGPDDDNGTKIPSQVETLVKGTATVTAEYKPAPDLDYLQELLAIQQQGPRSIGFFGTRNMGFMHQQLIEILSYAMVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLSKVQNLIEKPQYDHLPLIEASRLCNMDIISKVQQVICFAFHDSKLLMETCQEAKNMRKIVTLFYLD >Et_3A_025349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29073523:29076380:-1 gene:Et_3A_025349 transcript:Et_3A_025349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARGIAALAVLLLLASVSAPAVALVDQESDRIRELPGQPPNVGFSQYAGYVTVNAARGRALFYWLVEAEPAAGPIAPLVLWLNGGPGCSSVGYGASEEVGPFRIRPDGQTLYLNPNSWNKAANLLFLESPAGVGFSYSNSSLDLYTAGDAKTALDAYAFLVNWLERFPQYKYRDFYIAGESYAGHYVPQLAQVIYEKNKGIQNPAINFKGFMVGNAVTDDYHDYLGTFEYWWTHGLISDKTYHNLKTTCLLESSTHPSSDCIKNLNLASAEEGNIDPYSLYTKPCNVTASLKRGLGGRYPWLSKAYDPCTERYANIYYNRPEVQMALHANTTGIRYSWATCSDIVGSYWADSPKSMLPIYQELIAAGIRIWVFSGDTDAVVPVTATRYSIKALKLPTLANWYPWYDNGKVGGWSQVYKGLTLVTIAGAGHEVPLHRPRQALIMFRHFLKDTPMSTQ >Et_2B_020233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18159237:18162538:-1 gene:Et_2B_020233 transcript:Et_2B_020233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNGSQPDHLSRPHLSVDHESLQQPSDDPRKKGGWITFPFLGVYLIQEYRVPSVDAAQISNIVAGCISVAPVVGAIVADAFFGCYPIVAVSMAISVLALVVFTLTASLHSLRPAPCQPGSGACEPATAGQMAALYAGVLLMCLTAAGSRFNQATMGSDQFESPGDREVLFNWFFVLLYGSSVIGSTVIVYVQGYTTANRSPFTGLARVAVAAARKRQVSLATSEQSRFYHGRRPNGDGDGKIGDTSIAPSDSFCFLNRAAMITDGDITASDGSVARPWRICTVHQVEDFKAVLRAYPSSLERGLRPQRGHRRADELLHTTGAGHGPRHRRLLHGAGGLHVRLMTVVLSIGLLDRLLLPLLRRLTGHTPRALQLIGAGHVVTVVSMAASAVIERRRAGTVRARGQEGDPAWVSPMSAMWLVLPFALSGAGEALHFPARPGDPVLPRVPAGAQEHRHGHGRRDHRARVLLELGSHRRRAAHHGVAAGQHERLQAGESLLAPRRAGCHQLRVLSDMCQIVQVSELRQVVHDQGNTLYIQGDLSLLVCRVDVLYVLLN >Et_2B_021656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4063698:4066008:1 gene:Et_2B_021656 transcript:Et_2B_021656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQAMCRKRGLDDNPMCRRTLLKQCHNEPDFKEKAANIQFASLPDNVMCKVLSKLTPKEVVRTSVLSSTWKHMWEICPKLRFDGTEMCGTQQHIHQFADEVSAGLQQYQGKIVEELDIKFEYDSLLVDHVNSWVRFALSSLTKKLALDFVPANLFKADLYAYDGDRYKFPLGILDNRSLAHLQQLQLSFLSFQLPPQFSGFPNLRNLELHLLQVSPKDLEDVLSSCRSLEWFTMVRCHLNNELKMAQPLSHLLYLRVECCNITRIELNAPNLKTFICSDSGLGAIDIGHSLEVTDASLFYISYPVILEHALTLIPNDFPIVQKLTLHADIPLQVPRLQEYPNKFSQLKYLQLRLLLNSNDEADNFISLASFLSAAPLIEKFECHVRLFTVKASTHAYWASIRRFPCHPYDHLKHLCLTGFMGCTGQLEFLIHCVENAPNLEVLTISPANRFTNDTEYERKTYWLARDIARRRLGGIISPKTKLCIM >Et_5B_044428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2421637:2427155:-1 gene:Et_5B_044428 transcript:Et_5B_044428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDMDAERKAEAALRWKAPAAMMLVQLFITGMILLSKMAIGGGMGKWKEMDRRACGWIFFNGFIGYAVPMSFYYYGLRDTTSSYAVIFLNVIPLVTFILSLISRLDSLQFGTTVGWLKLGGVLLSVGGTMIISLYKGKVLHLWTSIMHHHRDGPVEVANHQLRGTIFLVGSTMTFACWYLIQSKVLKVYPYKYWSSMATCLVGGLQTLLLGIILRRDRSAWKLGWDIQLATIVYSGALATAGRYSLNSWAVAKRGPAYPPMFSPLSVVFTVVLGSIFIGDDITVGRQVASSSSSSSSSSSSSSSSSFDIRVGFPLW >Et_6B_048267.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:3748172:3748432:-1 gene:Et_6B_048267 transcript:Et_6B_048267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPFVLWWLTTRKMAAKVLRPDFDSLVILVAWRLRKDRNKRIHDFEAPQPMALAQEIIDEANLRALARFTKLRLLLNPCRAIAS >Et_2B_020737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23129280:23143541:1 gene:Et_2B_020737 transcript:Et_2B_020737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNAGETAVEAAATGGAERGGGQVLLLPYPSQGHVHPMLQFGKRLAFHGLRPTLAVTRFILATCTPDDAVVSTGAVRVAAISDGFDRGGFGECGDVAAYLASLEAAGSETLAELLRDEAARGRPVRAVVYDAFLPWARAVARRHGAAAAAFFTQPCAVNVAYGHVWAGRLRAPVEDGAVVKLPGLPPLGPEGLPWFLRVGPGPYPAYLELVLRQFMGLEQADDVLVNSFYELEPEEAAYMASAWRGKTIGPTVPASYLGDDRLPSDTKYGFHLFELTAAPCMSWLDAHPPRSVVYASFGSLSDLDPAEMREVAQGLLDAGRPFLWVVRASESGKLPAGYAEACSGGERRGLVVPWCPQLEVLAHRASTASTFCCSRTLAKATSTRSSSSASASPRHGSPSARAPGRRPPATPSAIIRVATISESAGSETVDALLRSERALGRPVHAVVHDAFMPSARRVARQHGASCAAFFTQSCAVDVAYAHAWAGRVKPPLAGDAKVLPGLPAGLGPRDLPTFLVAPDECPAYLDLVVNQFNGLDTADHVLVNSFHELEPQGHINPLLQLGKRLAAHRGVRCTLAATRFVLGQSEPSTGSVHLAAYSDGCDLGGYDEAGDERTYLARLESSGSASLDELLRAESARGRPVRAVVYDSFLFWAPRVARRHGAACAAFFTQACAVNVAYAHAWAGRLELPVAATGKQAPPDELPGLQAGLEPGDFPTFLTEPDGGCRAYLDLLLKQCEGFEEADHVLINSFYELETKAAEYAASQWGAKTVGPTLPSAYLDNRLPDDVSYGFHLYAPMAAESKAWLDERQANSVVYVSFGSLAAPSPTQMAEVAEGLHNSGKAFLWVLEVLAHPAVGCFLTHCGWNSTVEALGIGVPMIAMPQWSDQPTNAKYIEDFWRVGVKLRPDGEGVVRKEEVERCVRKVMEGELSEEYRKNATDLSEKAKKATSEGGSSDSNIVEFLSKLRLN >Et_1B_011749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25636552:25639832:1 gene:Et_1B_011749 transcript:Et_1B_011749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGVPVDLICSRLGANLQSTCAANVCSKWGSFARPFSAKASGNEVIGIDLGTTNSCVAVMEGKNPKVIENAEGARTTPSVVAFTQKGERLVGTPAKRQAVTNPQNTFFGTKRMIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWVETTDGKQYSPSQIGAFVLTKMKETAESYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLDVERIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDISILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVAEFKRTEAIDLSKDRLALQRLREAAEKAKVELSSTAQTEINLPFITADASGAKHLNITLTRSKFESLVHQLIERTREPCKNCLKDAGISTKEVDEVLLVGGMTRVPKVQEVVSQIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMASDNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKATGKEQNITIRSSGGLSEADIQKMVQEAELHAQKDQERKALIDLRNNADTTIYSIEKSLAEYRDKIPAEVATEIETAISELRQEMVSDDIEKIKAKLDAANKAVSKIGQHMSGGGSGGSQSGTGSQGGGDQAPEAEYEEVKK >Et_9A_063531.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:6223687:6224907:-1 gene:Et_9A_063531 transcript:Et_9A_063531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAVALLVFLLCFLAGEAAAVGEGAELAVVVDPSWRFPNQRLRDAYVALQTWKQQAIFSDPNNLTVDWVGPGVCNYTGVYCAPLPRGVPGAGELSVAGVDLNHGDIAGWLPPELSLLADLALLHLNSNRFCGLVPDTLRRLRLLAELDLSNNRFVGAFPRVVLSLPALKFLDLRFNDFEGAVPPELFDRPLDAIFLNHNRLRSPLPDNFGNSPASVIVLADNSLGGCLPASLGNMSDTLNEILLINNGLDSCVPPEVGLLREVTVFDVSFNALVGPLPSEVAGMRSVEQLDVAHNRLSGTVPQAVCALPRLQNLTIAYNFFTGEPPSCARVVPADGDRRNCLPNRPAQRPPQQCAAFYSRPPVDCAAFQCKPFHPPPPPAPVYPGPLPPVYPMPYASPPPPPRYR >Et_8B_059085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13673974:13690800:-1 gene:Et_8B_059085 transcript:Et_8B_059085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTATLRQCCLPATARPPPHPRSLPLRPHSFTTYASSSRLPRRRTGAAARGRRARVACSPRCTLETAGTGFDPLGLYKEGPSGSDSTQSPLSNFFNILSPVFGSSGGGARRDKASYGRGVAAAIEDSSIDIGDFFKGPLPGKFLKLLGYLALSRLGVYIPLGGVNREAFAGNLDQNSLLGTLDSFSGGGIGRLGICSLGIVPFINASIVFQLLTQLYPKLQDLQRKEGEAGRKKVLQYTRYASVGFAIVQAIGQVLYLRPYVNDFSTEWVLTSVTLLTLGSVFTTFIGERITDLKLGNGTSLLIFTSIISYLPASFGRTVAQAFQDGNYLGLLTIILSFFSLVLGIVYVQEAERKIPLNYASRYSSRTGGLQRSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLEFLKKAAIALNPGGALYLPTNVLLIAFFNYYYTFLQLDPDDLSEQLKRQGASIPLVRPGKSTAAYIKTVLSRISVMGSAFLAVLAAGPSVVEQISHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDVNHTVAVKFTALRETMETDGGRSLHNNNE >Et_3B_031677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7582679:7586438:1 gene:Et_3B_031677 transcript:Et_3B_031677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGDPDKMSKSMEVRNAIAPDVLKEIIQKQKAALREAYHCRPLLALDLRRKTQEEPCHSPRPHQAPGQEQWEAIEEQEEEEFPCMETCLWCLPRPTMIPALHFHSFVHLCCWQLWKHQNEVIFQHEPQSMARLMRAYTNHGNNKDDYGSSRYRRGAERTTAPLIELDAHNCPAALGMDIMWCCLYHRKAAVDEDKDIEAGGHVVNVNIGVVGNHVASRSPIMSFRCYF >Et_7B_055765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3934787:3935566:-1 gene:Et_7B_055765 transcript:Et_7B_055765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWPAWHFDSKGQFSVKSAYKLAVQCRDRKKGRDASTYEALDGDGREFQWYKIWQMKCPKKDMDMEQIRRVLAESRLGKEAIEQIWRLDTASQLKTVILLWRWWTARNKANAGERMLNTNEVCSSIYYHLTEFEKLSNQEKKGGSNATFKWQPRTEDLYKLNLKAMEDGVSLLGTAMVQLWTLGLGKSVEPRVLYTLKR >Et_10B_003436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19791348:19794591:-1 gene:Et_10B_003436 transcript:Et_10B_003436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFEGGDDHAGGDHYLYHSPTSRPSYQPPPYPYYRHDQPSAAPPRPHQQALGHHGIRAAAAGVAGLDQNNINFKSKSMTAGSNTGNLQRGKEVCSNGVLAPRCRCKYLPVLFSLSRQEGSYRCSVDRSQDIDESSAEELYDAVSYAKANAMLIQVPVLGTTKRFWRLSDKATRISRKLALILKSHHSIRKYLAAPLQVSNVWISSTGSVKLRGVSFTGEHFGIERVRDDYKHLSVVLLGLIRISGAEIIKLPPDYKEFLMLLRRDTLATKDEFLIVNNTALLPMKNRTEVFLMLHDKIIKYLGRTRAGQAKKKKIISKLPYEKDWLDTATANTQISQWVVNVQNQYERTQYDLLRLNRNVRSHMHDYNDDDIEEILYCEWPELLMDMAKMLHEEGIKYLHTECSQPIIHRDLKSGNILLGKNMRAKVADFGLSKPAVDGPSSTYHLHNRNGAYPSSTSATTSSSDRIVNAFRYLERQSAAGAVTISPYVAQAPLSSMHHDVCSLAHSRKANLILLPFHKSLETCSRQPSSPPRSPSSSSSSSQPKTPPIS >Et_8B_058536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14233182:14234360:-1 gene:Et_8B_058536 transcript:Et_8B_058536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTAGAEEEGELAALREALRQQAETVEELRAELDAERRAASSGADEALSMILRLQAEKAAERMEAEQFRRVAEERIQHDEDTLAFLKAVVFHQEMEISSLNRRLLSAHAGDRDPKLAGKNGVQWRRNASLPSASLEELCSELDAAAVDNSYACKDRRPARTVSDIGEVIGSDKDWPRFSQSPPPLPRLHRSASHQLRRAPSYSAQCGMRSARASPSPPPAIIAEEGVKACKTSNAALEADIEQIKATVQSLQTEFTKLRESAVSTGDAQSRLLTEIHSKLERAMPQPQQQQTVQGGHGSSPIQVKAIREEGSSSSHVPHGELLMNHFIEVCGLAISALLVRPLLKIAASMSLLRCFLILAIAVAVRKVLINTNVWAKVS >Et_1B_013574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9645173:9647355:-1 gene:Et_1B_013574 transcript:Et_1B_013574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRGGSGLLDLEGHYAFYGAYHSNPVNVGIHEVFVWPIFLTALLLLHLTAPFAHAAGIGAAIYGAYYFLLDRRAGALAAFFCFLCWAASGVLATHLGFSVGWKVVLVAQLFCWTMQFIGHGVFEASLFRKRAPALLDNLVQAFLMAPFFVLLEILHTYAGYEPYPGFHAKVSKLIEEARKEWEDKKSKKSS >Et_1A_005018.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22092140:22092184:1 gene:Et_1A_005018 transcript:Et_1A_005018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSDSFMDLSHYQ >Et_1A_009235.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:34886404:34886706:1 gene:Et_1A_009235 transcript:Et_1A_009235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKRKQLSWTTAKDVDRCCTSVASKGPCVVYTIDGKRFEVPLEYLSMTVFAQLLQMSQEEFGCTSDGRITLPCDATVMEYAMCLLSRSASLQRWRGHS >Et_2A_016241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22523631:22526633:1 gene:Et_2A_016241 transcript:Et_2A_016241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASATDESAVEARLQALRQRLGKKQQFEEAVADLAAAVRDHYAGASPALRKSMYSTVSRVATVLQTRYTAPGFWRAGLNLFLGMEKLVTNPSEKEHLKSCILRAREHLDEKENEESMPSNRQADSRFLFEGHLTVGLEPPPPAWLVAQNLARELSILEPSGDQDGNNNRTELRPEEMAPALMNFLESMSPDMDLETALEESLQGVMGNPSKVPPASKEVVANLPIITVTDEVIARLGSETECAVCRENLVVDDKMQELPCKHLFHPPCLKPWLDENNSCPICRHELRTDDHVYESRKEREREEEEDRRGAANAVRGGEFMYI >Et_7A_051225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15783255:15786237:-1 gene:Et_7A_051225 transcript:Et_7A_051225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPAAVSFLTNIAKAAAGLGLSASLLSASLYTVDGGERAVIFDRFRGVLPETVTEGTHFLVPWLQKPYIFDIRTRPHNFSSNSGTKDLQMVNLTLRLLSRPDVLKAVVAQFNADQLLTDRPHVSALVRDALIRRAREFNIILDDVAITHLSYGIEFSQAVEKKQVAQQEAERSKFLVAKAEQERRAAIVRAEGESESARLISEATAMAGTGLIELRRIEAAKEIAAELARSPNVAYVPSGDNGRMLLGLNAAGFGRVSRSAAVISNGETAM >Et_6A_046943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2305347:2307134:1 gene:Et_6A_046943 transcript:Et_6A_046943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCDLCGPSNFSSDLLQYISGRYVVCHESEKKRQKQHDENVQKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDKERMVAKVEPLVNMGQITRATCPMNLALAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDTVVAMEVVLADGRVVRATKDNEYSDLFYGIPWSQGTLGFLVSAEIKLIPVKEYMKLTYIPVKGSLKEIAQAYADSFAPRDGDPSKVPDFVEGMVYTANEGVMMTGVYASKEEAKKKGNKINSVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQFWFRFLLGWLMPPKVSLLKATQGEAIRNYYHDNHVIQDMLVPLYKVGDALEFVHREMEVYPLWLCPHCLYKLPVKTMVYPEPGFEHQHRQGDTSYAQMFTDVGVYYAPAAVLRGEEFNGTEAVHRLEQWLIENHSYQPQYAVSELNEKDFWRMFDASHYEHCRRKYGAVGTFMSVYYKSKKGRKTEKEVQEAEAAILEPAYADEA >Et_3A_023860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13653847:13667830:1 gene:Et_3A_023860 transcript:Et_3A_023860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPATMIQMPAQPNGTTPTTPKLQEADGSRTMASPTAMTKDKVMSGAANLAQLLPTGTVLAYQALAPTFTNHGDCDTAANTWLTATLVTVLAALSLFFSFTDSVIGRDGKLYYGVATPRSFNVFNFSGEEEEKEWTLGVLHNMRLRPVDYVHAVFSAVVFLTVAFSDVGLQKCFFPEASENTNELLKNLPLGMAFLSSFVFMMFPTKRKGIGYNDVTPHKKASSGNNPQLMASPPPATMIQIPSQANGTARTTPKLQEGNGSMNLASPSAMTEKVMSGAANLAQLLPTGTVLAYHALAATFTNHGVCYTANRWLTAALVAILAALSLFFSFTDSVIGRDGKLYYGVATPHSFNVFNFSGEEEAKEWTLGLLHKMRLRPVDYVHAIFSAVVFLTVAFSDAGLQMCFFPEASDNTNELLKNLPLGMAFLSSFVFMIGRASDTTTPRPTKTRHQMPLQPKVDPARSPTIVTAPQTPKSVDEPTITVPTTSKSSGSSATDKVMSSAANLAQLLPTGTVLAYQALSPSFTNHGTCYTSNKWLTATLVAVLATLSLFFSFTDSVIGRDGKLYYGVATPRSFNVFNFSGEEEEKEWTLGVLHNMRLRPLDYVHAVFSAVVFLTVALSDAGLQRCFFRDASENTNQLLKNLPLGMAFLSSFVFMIFPTKRKGIGYSDTTPQQKAS >Et_2A_016230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22215832:22217067:-1 gene:Et_2A_016230 transcript:Et_2A_016230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAASSFAACSLQPRAARIRASHQAPRPTPQGPSAASSSTRAVRRANATKGVSAVCEPLGPDRPIWFPGSTPPPWLDGSLPGDFGFDPLGLGSDPDSLRWFAQAELIHGRWAMLAAVGILVPDLLSRWGFIDAGFSWFDAGSREYFADTWTLFITQMALMGWAEGRRWADYLHPGSVDIEPRFPNRKTPTPDVGYPGGLWFDWANWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFWFQAVYTGQGPIDNLLAHLADPGHSNIFSAFTSH >Et_3A_024319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19523256:19523888:1 gene:Et_3A_024319 transcript:Et_3A_024319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVIAIPPFPTGSSHFVDEGIIFPHLRKTTLDLLTNKENTLHALLLACRPIKSLLLPDNKAFNSSLLLPDNKAFNSVCISSPSLMTLGVLIKT >Et_2B_019659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11886108:11891318:-1 gene:Et_2B_019659 transcript:Et_2B_019659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AQPNTQVPETGEGISRRNSLSRAKPRRFYAAAAGTPENKSHQSREKITQRGKSIPNSPTPPTNPPRRLVLPPAAAMKGRAVKLRETHKSGSPAFCSAVWGPGGNHIVTASAADTVVLIHDAAAVGGGAGGRGSGTAPVATIRLHKDGVTALAVAPGSGGSLASGSIDHSVKFYSFPEGEFQSNVARFTLPIRSLAFNKKGTLVAAAGDDDGIKLIATIDNTISKVLKGHKGSVSGLSFDPRNDYLASVDSFGTVIYWDLCIGGEVRTLTRVAPTFRSDNSVKNVLCWSPDGQTLAVPGLRNNVVLYDRDTGEEVSTLKGDHEQPVCSICWSPNGRYLATAGLDRQDDEENPSTSGGLDDDFDESLGDSAPFSHKRLKRKSTFDDHSNVDSEDEDLLHQLESSKRMKDKYKDKKEDVGKAKSDSAISGRLGTTRMQAAFQPGSTPPQPGMRNFLAYNMLGSITTIQNEGHSHVEVDFHDTGRGPRVPSMTDYFGFTMAALNESGSVFANPCKGDKNMSTLMYRPFGSWAGNSEWSMRFEGEEVKAVALGAGWVAAVTSLNFLHIFTEGGLQMHILSLNGPVVATAGHGDQLAIVSHASDCLPSGDQVLDVKVFNISEGAQSMSGRLVLTPSSQLSWFGFSENGQLSSYDSKGILRVFSSQFGGSWHPVFSSIKARKSEDESHWVVGLDANNIFCILCKSPHSYPQVMPKPVLTILELSFPLASSDLGANSLENEFMMRKLHLSQIQRKMEEMATLGLDTTSYDDEAFNMEAALDRCILRLISNCCNGDKLVRATELAKLLTLEKSMKGALTLVTRLKHPVLQEKFSAILEKRMLNETRTSGKVGFCSDATIKKNPPFNSARSAPPAKVLQTGNSVPESILPKQNLVNNLINSSVTSKEEAGQPKEVKDSTQKVSSAFTPLAKVSKNNETKRDRSGASNATALHQNQKGGAAQTGVENKGIEDSNRAEPQRPVNPFAKLPSSKEQSSSLLDSIKKMKVENEKVDKANTKKVKV >Et_6B_049088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17666139:17668033:-1 gene:Et_6B_049088 transcript:Et_6B_049088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQGALDSLLGRLAAVLVNEAQLLGGVRGDVEFIKDEMESMNGLLLHLTEAQHRDHQVRAWMKQVAGLTRDCEGNVELYVHYVGRGGGASSGVLGRLRRIPFLLRTVLIRRRIATRIQELKVRARDVGDRRLRYGVTVPDLAVASVADTYHDGDVQSPRDAAGVEEDRRRRSALLDGDEPPDEEELVGETIDSLVKWLSEEPPPATAGNGQQQQLRFFPVLGYRLAKLVAQGVYEHSYVASSFDCNSGGASEGPAGAAFVTSDYTSLRRFLVNILEQVKVHQPEQEDKARNKQEQLVDKDEEQLINKLQGDLKGKRFLMVLADVTREQQWKTIVDALLHAADGCHPGSAIVTTTDIDQVAKCPPPNAIIDAKNLEEFYAGKAAKLDANYT >Et_4A_033195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19973300:19980054:1 gene:Et_4A_033195 transcript:Et_4A_033195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEGAKHLFGVLLKCFDIDLKQHKGLEDPEVLARETVFSVSEVEALYELFKKISSAVIDDGMINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPSAPLDEKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKVKGSSTPEEIKF >Et_9A_063594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8841808:8850986:-1 gene:Et_9A_063594 transcript:Et_9A_063594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLPLPFAAAAAASASSSLHLAASRLRVPAVSVARREGLFAGRVSGVSMPTRLARRGLSAGAEAGSGSAAQVVGSEEAVEWVKKDRRRLLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVVELTYSKLLLSKQPLPYPDLFVVSVMTGMSKLLLLADYGVESYNIGTAFGHFGIAVEDVAKTVDLIKAKGGTVTREPGPVKGGKSVIAFIEDPDGYKFELIERGPTPEPLCQVMLRVGDLDRAINFYEKAFGMELLRKRDNPHYKYTIAMMGYGPEDKNAVLELTYNYGVTEYDKGNAYAQIAISTDDVYKTAEVIQMAGKQYVLFFFVLL >Et_4B_039789.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29740505:29742589:1 gene:Et_4B_039789 transcript:Et_4B_039789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMPATTASAFLFLSPPRRRTHLKPKLRATSTPSLSEQLEPFSRTLLRDKPTPAPAADRPTPEPTWVNPSRPKPNVLSLNRHRRRTPSSHPSSAPLQPLLRAVRELPDDADLAATLDTFFPEGSPPSPSDALLLLNYLHPSWRKSLSLLAWLRALPDGAFPLDTIFFNVALKSLRAARQWPHAERLALDMLDAGVPLDNITYSTLITAARRCRQFSKAVEWFERMYAADGVLPDEVTYSAVLDVYAQLAMKEEVLALFDRARGSGWKPDHVAFAVLAKMFGEAGDYDGIQFVFREMREVGLKPNIFVYNALLEALGKTRKPGLARNLFEEMTAEGVQPNARTLTALAKIYGRARWGRDALQLWNQMREKKLPADNILCNTLLSMCADVGLVAEAEQLFNEMKDPECSDVPKPDKWSYTAMINIYGSDGEADRALQLFAEMVEAGIEPNIMSYTIVIQSLGKAHRIHHAVEVLEDGLKRGLKPDDRLCGCLLSVVALSSGDDIDVVLASLEKVNKNLVKLIRMLGEAQVGVDDIKAELKGVLNAAAPEVRRPYCNCLIDICQNHGFPSQRAREVFHLAQTYGLYSKLHSREDEEWSLDLRSLSIGAAKTAFDDWLKTISDRLVQQKALPESFSVYTGSSTHKFAQGLATAFAAHLEQLAVPFHPSESHVGSFISSRDDLVSWLQMSSSSAAIVG >Et_7B_053333.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:9129185:9130247:1 gene:Et_7B_053333 transcript:Et_7B_053333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPERAAHGAGSIDPSPERGEPAGAHHLAEFLEVDPAVAVAVDPADHAPALLRRAALLEAQRRQHGSELVHRDVPVAVGVEHPERLPHVLVLLPFFFAGSRALLAVAAGHGGAVERPELLHVHAPVAVGVDARDHGRQLVGRHGHAQLAQRLLQLLPRDAPVAVLVEQREHARQLRRVGLARRRGLLWRRHLLWRRRRGRVGGGCGGVAAAAREDGGEVGGEDGGEEAWDGGELHERGGRRHGG >Et_10A_000722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1599208:1599940:-1 gene:Et_10A_000722 transcript:Et_10A_000722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGDLDEWLHHNLETQDEAPRRRLTMSHRVNIALNVAEALDYLHHHGQVPIVHCGLKPSNNLLDDDMVAHVGDFGLARFVRKMVNNSIEESSTSAGIKGSIGYIPPGRLNSSKHVSACYPERIMEIDPTILPRDNRFISNGDRFWDEIDTKKPQECMASIFRVGLQCSQESSRARMDIRNAIRELEAIKNAL >Et_2A_016781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28088019:28093826:1 gene:Et_2A_016781 transcript:Et_2A_016781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRKLRGFALQRHEQRVDRDRGRGHSTAAVSAAEELLAAAQDMADMRSCYDNLLSVAAAIANSAYEFSEALQEMGTCLLKRVTPNKDGINDKVLLLLGKSQFELRKLVDSYRVHVLNTITTPSQSLLNELQTVEEMKRQCDEKRELFEFLLNAQKDKGRSKNAKGDTGVSEQLKQAQEDYQEEATLFLFRLKSLKQGQFRSLFTQAARHHAAQLNLFRKGVKSLEAVEPHVRIAAEQQHIDHQFSALEEEDYFVEDENDDDYNDSHDGELSFDYGENKESGEAGGDSRGHTEDFFNRSKEEYSSVPHDRQRAVSQSAPLFPEKKLETKERIKDLRRSATRKLNTYVLPTPSDVRATPQIVSGNPNSGSLLESKVAFHSSPLHQSVDMGDLRDTKLPSPARLSTQSVLKESNTNTAETRKVVPLSDLALPGYYDSKTPDNKKVKRGSFSGPIASRPRSTENIDVSVPPRHSSSHHPLIHIRVSPNTSPPPISSPKIKELHELPRPPANTSKNTTFSNLVAHSAPLVPNSAPLASKVHDNFRTRQTPPSAPQTASPLPTPPPGSIARSFSIPSRALRTSGISDSKETEDHHDKGAARMSLSSLPSAQTCFEDRRPLSAAADPVSRT >Et_9B_065892.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:16878408:16880057:1 gene:Et_9B_065892 transcript:Et_9B_065892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDAARSRRRMDLNLYLGLPRAPRARRPDLGSDLVLGTPMLSSSSPSSSAASADAPPLEVDPVHPPYSPSRADLVRPPTPAHEPYYPSAPDAHPPYVPPPLPVPGATPVLADELEFGLSDVQLGLIGRMDRPSSSTASSSFRPERAERFRRLMCLSGRQSRYFRPRRFRSDLPPLSSETPSLDDVIAVAAQPPEPEEPVHDTVEENKVAVDGAIVSVSEDEGTEHGKNAAMFECNICFEMATEPVVTSCGHLFCWPCLYLWLHVHSSHKECPVCKGEVTAGNITPIYGRGNSGSDTEKKVAEDGNTSGPKIPPRPHGNRLESFRQQFHHLRPISRRLGEAHGLLSTWRRILDQHLMNSMSRVEGPPESTVQESRFGRVTRLRARRLQREVENPTFVASSASGSGPPISNTFDSPPRHTSGPLSSEGIDILRHIAFSGLEDSERLATALGDLRRIARPSQFGALTSSNPANPEPLDGTNVTIPLAADQASNSSTMAVIQEDAAFTESAGEPSNAGSSRSLRRSRRIDALASLDVDGGDLHRNKRRRLN >Et_3A_024207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18423347:18425877:1 gene:Et_3A_024207 transcript:Et_3A_024207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRFRFGFSSGDMTSESTLSLGERLCAVFFPFIAIAEAVIFVLTDCLADLCPDNSASRRRRRAGPSSSSATGLFDAKKNRSRHHHNLNLPFFSRRVATDGWASPTLRQLAHLADESRCFSVNEVEALFELFKKISCSIIDDGLIHKEELQLALFKTPSGENLFLDRVFDLFDEKKNGVIEFDEFIHALSVFHPLAPVEDKINFAFRLYDLRQTGFIEREEVKQMIIAILMESGMKLSDELLEAIIDKTFQDADADRDGKINQEEWKDFVLRHPNLLKNMTLPYLRDITTVFPSFVFNTAVED >Et_2A_015131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31436465:31437178:-1 gene:Et_2A_015131 transcript:Et_2A_015131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPGMTTEAKQQQQNAGAIVPLRRPRGRPLGSKNKPKPPVIITRDSPDALHSHVLEVSPGADVAACVAEYARRRGRGVCVLGASGAVGDVAVRGAAAPLRGRFELLSVTGTVLPPPAPPEASGLAVVVSAGQGQVLGGCVVGPLVAAGPVTIFAATFANAVYERLPLPDAVDALDVKPDLSTATSAGQDVQPQLQMPPSHQQPPAMAYGDHHRSPPPYPWGGGGGV >Et_1A_007383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3448421:3450915:-1 gene:Et_1A_007383 transcript:Et_1A_007383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLALLGGYSSAEDDEPAAAAGPELSESGHSSAEESRSDGDEAPAPPKPASKPRRRPNPKGADAGSGADSSLPSALEAFADVSGPPDFLRHRVAEPEEATEALGVLDRRSKEGSKHPPPGAVVTAKPQLVAIRERVTTNGANPSGSVTSTSADGKRVIGAANPGPEDAADLLRMCLQCGVPKTFSHGRGMVCPQCGDRPAQTKEPEKKKGSTVKDKEKVKRMRGQSSHASWKSETEMALRQQFD >Et_4A_035395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2339816:2340595:1 gene:Et_4A_035395 transcript:Et_4A_035395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGAADKNAPAAGDELAGGADASLNAFFDHAGLQGLELTVAGGGQGAEEEEELEWLSNMDAFPSVETMAVEAEAAAPRPSVGLGRLDALPHVVGPRTKGLRRRRRVTAPWSVPPLLPPPAPSGGAPRRRCSHCASEVTPQWRQGPDGPSTLCNACGVRYKSGRLFPEYRPINSPTFSPLLHSNSHRRVLEMRRHPEEDTAAGRRGGARARRAERAAARAAAATANGK >Et_2A_016917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29471314:29474836:1 gene:Et_2A_016917 transcript:Et_2A_016917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YRELYPVGLPLYGMWVVYYSKRSPQQKDDPSIDDFSGSENITRYSYKELVKATSNFDQTNKIGEGGYGPVYKGTLKDGTAVAVKVLSLHSRQGAKEFLNELLAISDVTHENLVKLYGCCVEGRHRILVYNYLENNSLAHRLLGSGRSNIQFNWKTRVNICLGVAEGLAFLHDSIRPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPSDVSHISTRVAGTLGYLAPEYAIRGQVTRKADVYSYGVLLIEIVSGRCNTDMKLPYDEQFLLEKTWRYYDEGHLEKMIDSSLGDDLDVDEACRFLKIGLLCTQDVTKRRPGMATVVAMLKGEADVGAEKINKPDVIRDFRDLTLRSRATSSTLLTSIMARSSPVSSSQETTRTSITFTAISDRD >Et_10A_000483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11125553:11127181:1 gene:Et_10A_000483 transcript:Et_10A_000483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEGLRAADGWFKCRTTRHSPNSLLVGRIITFGKGNKKTCFILLAALFSDHVGRRPLLLASTAGVALALCFRVTAGGVRGVAACVRRRGARAAGVGVQHGDRAREPRHGRLDGSRGGTVSTRFGEVDEYADTSQDYGADNLLRHGSDVQHDGILPASSARACYGEAVVRARLSDSRYAESKPYPANTCNTSAERQRVPNLLGARGATS >Et_1B_012029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28263512:28265760:1 gene:Et_1B_012029 transcript:Et_1B_012029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAEMLYDISPDMHFAPADELLVELYLLRRVRGQPELFPGLIVDDDAAANTQPWELFDRHGRSDAVPAFFFVNDPKGRRCRGGGTWKSQKRLREDLNHEMVVDGQKIKWSRHNLNFHMDTESGSKGWVMHEYTVTTRPSLKLCRISFSGYGQKRKRVPDGYRDDEPVTQRPRVDAEETGPGTTTFDQGFSTAHASEDRELLHDSSSCSTTTTLSQESGLAQDGADLEPLALLSYDDMAEVFDIIAQPPADGEPFQQAPVMDQSSCGVPDIGDTDAVQWEGIDFTFSYDDSSMKFLNLKLNIVYKGTFSMSEINPLGNGMKMYCILQHQFHLVYRVSD >Et_8A_057142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21513272:21520301:-1 gene:Et_8A_057142 transcript:Et_8A_057142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENNDLRGESVYDDDDDNVVGTWSKSENLRFDKKSNMPTFCLEMTFRGEEEFKKAMLKYVLIAAWAKWKVRNAKILDAVRPNLMVPLQGGNSSPLYQVYAEDTTEYY >Et_9A_061370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10687167:10690278:1 gene:Et_9A_061370 transcript:Et_9A_061370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAGGGAAKEEEFRAEMEECLIKEEYKIWKKNIPFLYDLVITHTLEWPSLTMQWLPDRIEPPGKDHSVQKMLLDTHTTDNEPNYLMLAQIQLPLDDAEADARHYEDDHAEIGGFGAASGKVQIVQQINHDGEVNRAPYMPQISCIIATKTISSEVYVFDYSKHPSKPPLDGACNPDLRLKGHNSEGYANSKNKSLNALQTFKHHDGVVEDVAWHWRHEYLFGSVSDDQHLLIWDLRSPAPAKPVQSVMAHQSDVNCLAFNPFNEWVVATGSTDKSIKLFDLRKIDTTLHTINCHKEEVIQIGWSPKNETILCILLLGQRTYGLGPKQEQTPEDAEDGPPELLFIDGGHTSKIHDFSWNPCEDWVVASVAEDNILHIWQMVGNIYHDEDDLPISDEPAKAS >Et_5B_044064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19663873:19666183:1 gene:Et_5B_044064 transcript:Et_5B_044064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTIMKQLRAPVPEDAVVAGVERARWSRRRLVWWRGRAEGGGGNKLQRLKLDDPALSPSSNFAGTSHPRPKAEFGALGSGESQPTVFGSCENKVPWMSCSCRRQIKHIHLPRGQIVLQDSGGLVKHEVECILHKDTGRQLQKVINVWSKGRLAPCTILRRVDSGKRLRAASSHEEHKKGTLAAVEVVAGAVGGAEHRPIESPLIGVRLPMHLRQFQLRIRDGVPDEEGIIPRCEPKG >Et_2B_020948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25005541:25027427:1 gene:Et_2B_020948 transcript:Et_2B_020948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVRGVADLLRKVPPPPPAAPGAAAVSAPANCFPAGDFDDAPTPRVVFSDSSEERVLKTLWKNYENTLDKVEKEKSLQFFASQFAQTFRDWGLHPVEPLVYEELVSNETVIGCSYGHPPEIILILIQEISVITATITESGSNQESPPNNHSEQPINLGLSKERLLVLDCLTILTRSLHNCKAFSYYGGMQKVVSLLKAAVSQLKSSNSLLAADDRSSDEAIENTSMILNVLVCIITIISNFLKLDQTVSRIPCFIKTTKCTQSRSHLAAFTSSTPESNISGTLQQWKQKAIVLVMEAGGVYWLVELLRIIQRLNLKEQWSDLSLHFITLCTLRSTISGTRAQNHFRSIGGLEILLDGLGLPSSKFSVSKYSSISRDERGGILLLQTQYLQTLSEAEFHRQKDHSNASLALDSVSGPVYLLDMNEWNDYSVQLSDTLCSFILPSKDTAYCSNETLDRQISISIPSAYLEQSVRWMIRVLLTVFLCIKACTSETELPKHIKTFAKTLQYYMIRVFRRVLVSTPALLTTFREEGVWDLIFSEDFFYFGSYVEDFHIGTEIQNGDVNDNNRMSVDSESSYCTDVNMIRMEAISFLEFAATLNENKYNMPECSALLEALEHCISDPLAVSVLLKSFRVILQLATEQTLASFRSLDIITRVLKAACHQAQELQNLENDPFDAIISRDGSQIKNIDGRTKNSLICGELALSLFKEYVNISKDGRVLILHNVDCIECLFDLFQEGNLRKHVLEQVLFLFRLPSSSAQDHAAKLQLCSKYLENFARAKENEKVDSELLIDLLVSMREIVTMDRMYYQNLFRDQGCFLHIVSLLNGTFNEVTEERLVLNVLETLTSLLEGNDVSKDAFRLLAGLGYQTLQSLVLDFYRWVPNERLLYALLGMLNEDAVLLFLNILQKSSSSLQHYGLVILQQLLKQSITNRTSCFRAGSLGFLLDWFAIEEKDDAIIEIAELIQIIGAHSICGKDIRRIFALLRCEKIGAKQQQTSLLLTSLSHMLKEKGPEAFFEFSGHDSGIQIKSPFLWPYNRGLSFSCWLRVENFPDNGMMGLFSFLTEDGKGCSAVINKSALVYESINQKHQCVLLPLKLPLKEWKFLSVTHTIGRAFSGGSQLRCYVDGELVSSEKCGYAKVNEAMTHCTIGTELIPVGEEPISIGFEKTFAFTGQMGPVYVFSDALSPEQVKGIYFLGPSYMYSFHGGDSLYRGVLDAKDGISSKIIFGLNAQATDGRSLFSVSSALDGAEKGTFEARIMGATKLCSRHLPQDIIYCVGGVSVFFPLFTQFWDAATDVVQSCNTSVINDKLAAEVIELVATVLDGNRDLYTFVIKYFETDGRLLPVLCGLPRIIDIVCQYYGELVDSRYVKPLLHPDTKQIIGERPKVEDIRKLRLLLLNLAEMSLKLKVSPDDIRSLVSFFERSLDIACVEDVLNRIICALSQSSVLSSFLESVNCLGGCCIFINLLKREFEPVRLLGLQLLGKLLAETPFEKKGTKLLPLLIGQSRPIVENLRKEISVSPQLFSYTISERLFKFSPSDNLCTALFSVLLGGISPQQALQGTTQSDPPKDKNYNLSSLERFSVPQVLVCMFRYVRSCQDYSARTRILNNLLGLLDANPSNIEAFMEHSWNSWLEISTNLNVFKDYQSVSHGELGNVEMDELILVRNLYSLVLSYYLLSVRGGWRQLDYTANFLLFKIDQGELSNSDLLRDILDDIAGRLLQTSVEENNFLFEPCSDNALHFLNLIHELFINQIGINLLFPSSRLSEESSHDNIWKGDIKLAINKILNVESNDRWKSEGPSKSVPKSPIVEAASLGQRAAVVASGGISTALGAKMNRFVDKVTTLRDEILPRVFFPLVILYLCKAGLENASKSLLIVRSNYGQLDDGARFHVLSHLITETIMYGKSMFVTNISGRDDSVEINNSKEAAFILRFIQKDRVLAAIADEVKLMKAVQTDRFKQLQGLNECFTKEKQLVQTTETEIHFTITAALSADDNRKTASRLAFNEDKQIVADKWIHISRALMDERGPWSAYPFPNDVVTHWKLDKSEDKWRRRLKLKRNYKFDERLCQPSYSRNETKGPSVDQPFVSTKIPEKMKRFLLRGVRGITEDSSCEPLEDTNDTSESSQSSPAENQNLNNAADSSAIHNKKEPSSNGDNDYTKVLCSVRCVLITPKRKLAGQLDITRTVMHFSFEFLIEGTGGSSVFSKFKDKKDSDCKNELGVVERLDGCRDSMIETGGALMQNQSNKIKHHRRWNITKIKAVHWTRYLLQYTAMEIFFDDSSAPIFLNFSSQKDTKNAGSLLVSLRNEALFPKGSTKDKNSIISFVDRRVALEMAENSRERWIRREISNFEYLMILNTLAGRSYNDLTQYPIFPWVLADYTSEKLDFNKSSTFRDLSKPVGALDEKRFKVFEDRYLNFCDPDIPSFYYGSHYSSMGIVLHYMLRLEPFTALHLSFQGGKFDHADRLFQSIESSYRSSLSNTSDVKELIPEFFYMPEFLENSNSYHLGVKQDGEPLGDVALPPWAKDSPEEFIHMNREALESEYVSSNLHHWIDLIFGHKQRGQAAVEAENIFYYVTYEGAVDLENMDDMLQKSAIEDQIANFGQTPIQIFRAKHPRRGPPIPIAHPLYFAPQSITLTSSVSSTISHMSAVLFIGLLENSIILMNEGLILSVKLWLTTQLQSGGNFTFSGPQEHFFGIGSDVISPRKIGTFLAENVQFGRQCLATMQNNGDNYLILCGNWENSFQIISLSDGRIMQSIRQHKDVVGCVAVSSDGNVVATGSYDTTVMIWHAFRGRPFDKKTRITNFELSEKDHVIMERPVHILCGHDDIITCLFVSTELDIVISGSKDGTCIFHTLREGRYVRSIRHPSGIGLSKLVASQHGRVVLYSEGDLSLHMYSINGKHIVSSPSGGRLNCMELSCSGEFMVCAGERGQIVLRSMHSLDIVWRYDGAGKTITALAVTPEECFLAGTKDGSLLVFSVETPLLRRGSMPRNRIKPSATG >Et_1A_008243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5659659:5663484:1 gene:Et_1A_008243 transcript:Et_1A_008243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKTLACCRRRPQDFSIDMDREPDRVMTYNGLEACIINSSSYDEDSGMSATTGADGCVTTDSLDDEVSSCSSSKDVCGSSFSSHCLSLSKQDDEHSLCELGNPSSVHLLPLKGKTPITYTLSASDMENMKEKFAKLLLGDDSSGGARGVCTALALSNAITNLSATVFGELWKLEPLCEEKKIRWRKEMDWLLSPTTYMVELVPTKQSGADGCTFEIMTPKARSDVHVNLPALQKLDSMLIEVMDSMVDTEYWYEESGSRTDGRGKNKGPRKSKKWWLPSPRVPETGLSQFQRKRLVFQAKLVLQILKAAKSINEQVLFQMPIPEASGRASLGEDLYHAITTEYIPIEEIFVSLSLKTEHSVLDIINRLEGAVFAWNQRISDERNKRSPGRHSWNFMKDSSSELQKMSACIERVDTLVQLLKSRFPNLPPTFIDVLKVQYNVDVGHSIVEAYSRVLVGVAFSILSRVAEILLEDDLIKKPNTPMATLKFDLSSDVYLAGITETPPGHIRRSLMDQISLVDGRFDPVVKKKGVKQLRW >Et_6A_046912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22185903:22197810:-1 gene:Et_6A_046912 transcript:Et_6A_046912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLENASIHLWNHGWRGNELGHEEFNLLCSVCNVTHLALSHLGPMVWSTTVNCFFFIPYTSWNNAVLTYNQHQVPSEESAAFKEFKNLRNLLLDSCYLSDDFQTLALFLQNSPNLEKLTLRHCKSSNDSEKKKGLCEPKEASSSQCQGLDVQCGNLKFTEIIYKDDDVRQLVEFLLRISEKLPNNHLKLTKDHQATRERDRGGCSDDGGLAGEPDRLSALPDCLLHSIMSFMKARQAVQTCVLSTRWRHLWRSVPCLDIDRHEFKQWDNFEHFAINLMQRCNIALLDSFRLCVSEGRAPFFDYINPGDWVIRVINYRAPDPGIRREGSLSSISWRLKRLHLCNVFLDIRFPDHISSACHSLEDLDLQDCRCELRAIASQSVKNMVLKDCSFRELSGITAPALKSLVIDGSERTYDFMLVITAPVVSYLYLDLEEFGNSISINQLPYLDMASIHLWDHGESGRESQIGHKQFEIICSVSNVTRFVLSDLGQMVLTEESTAFKEFKNLRNLLMDNCDLSDDCQTLSLFLQNSPNLEKLTLQRCKFSNDFKKKKGMSEPKEASSSQCQSLEVQCANLKFTEIIYKDDDVHQLVELLLRISGRLPKNHLKLTKVDYGDGSLPGEPDRLSALPDCLLHSIMSLMKARQAVQTCVLSTRHLWRSVPCLNIDHHDFKHWDDFEDFVVNLMLRFNIALLDSFMLWVSKGRAPEFGIWNAGGWVRRAIKYCTPDNPPGIQREGLLSSNSWHLKRLHLCYVFLDYRFAKHVRSSCHSLEDLELKDCTWEFRFITSHSLENLVLKNCTFGDLSDITAPALKSLVIDGGSNGKYFKLLVITAPAIVYVHLAVEWYEFCGGITINEMPSLAKASIQLQGHRRGLVGSELGCDQFNLPHSVSNVTSLELSHLRTMVLGEASTSCREFKNLANLLLDKCDLSDDFQTLVLFLKNSTNLEKLTLRRCKFSKKEEPNYKENSSSLCGPDVECTSVKFTEIIYEDGDHHQLVEFLHRIRNLPNNHLKLTKI >Et_2A_017341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33393710:33395358:1 gene:Et_2A_017341 transcript:Et_2A_017341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGAAAPFVWKTYMMVEDPGTDGVIGWGRGNNSFIVADPFVFSQTLLPAHFKHNNFSSFVRQLNTYGEFSAYLMVKLSLHCHEQGFRKVDPDRWEFAHASFLRGQTHLLRNIVRRGSSAGGGGGKRKDAAAPADGDDMAMVATEVVRLKQEQRAIDDRVASMWRRVQETERRPKQMLAFLLKVVGDRDRLHRLVGDAAAAPDAGLVPNGEVAAEVGGEKRAKLLLDGDAGVFGPDAVDFAGFYGDVAVDAAAGSGSGCSFAFGVDTGY >Et_2A_018062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9640753:9650082:-1 gene:Et_2A_018062 transcript:Et_2A_018062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVENNSWAAYFSKPILPKPCLAKIIMDLKRRELVSPIFVCLQCSKVSNTQQAMAAHCKQHVTSGMAKGTVEHIKYCPDHTYTFLCSNRHPSPPSSGSADQALPQQPNRTNQVLLGSYTTILPYAGRPFISQLASSSFLNVRTQHPVKNIDTLPLLANFQQCMKIDVGSSSSNGLGETMSSMENQGWDATLTNSIDPRPSVSKVLFDLKKKELESPIFVCLQCRKESPSQQAMAAHCRQHVRAGMEKGTVEHIKYYPNDTFVFLGNNPKPIPQGPRSTDQQVMIDAPKIMTQVPTGRYNRFLPYTGCFSMSDLAKYPWLQLNGPNQDLINVRNMHPNCATLQQPMHTTFVGLSSMFPSGALLSPKPNGVGPSTTPSSVPPDIDLTLRLGPAPRSAAEVSVQGTSFPFRFSDYF >Et_3B_031607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5961486:5962976:-1 gene:Et_3B_031607 transcript:Et_3B_031607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGALKLAPSPPVAAAGAAQPDTQARSSVHFQLANAGAAALVAASLLVADPALAFIVRHHPPLCLGGGPYGKQVTRGQDLTGKDFSGQTLIKQDFKTSILRQANFKGANLLGASFFDADLTSADLSDADLRGADFSLANLAKVNLTNANLEGALATGNTSFKGAIINGAGKYFTDVPLRDDQREYLCKIADGVNPTTGNPTKETLFCR >Et_7A_053055.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:5820286:5821575:-1 gene:Et_7A_053055 transcript:Et_7A_053055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHRCLVLLLVSLAAAAASAHNITAVLDGMSEYSLYNSYLSQTKVCDEINSRSTVTVLVLPNSAMSSLVSNLSLADIKNALRLLTLLDYYDEKKLHSLHSGSELTTTLYQTTGDASGNMGHVNITNLRGGKVAFASAAPGAKFQATYTKRVKEEPYNLSVLEVSDPITFPGLFGSPSAASSNLTAILEKAGCKQFARLIVSSGVVKMYQAAMDKALTLFAPNDEAFKAKDLPDLSKLTSADLVTLLQYHALPRYAPKASLKVTKGDIPTMASTGAGKYHLSVDSKGDDVSLDTGVDKSRVASTVLDDTPTVVHTVDGVLLPPELFGGAPSPAPAPGLVAADVPAAAPAPEASAPAPAPKAGKKKKKAKSPSHSPPAPPSFSPDMAPADAPEGDDADTADTKKSGANFAAVSFAATAACLVLAVLFYL >Et_10B_003167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17209087:17212144:1 gene:Et_10B_003167 transcript:Et_10B_003167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNRRILQSASNNKLIRRALYQQPFPAALVGLSHRIIVKQQLPGLPNKPCSSTTWSRQWQPTADVTLLARPIDPDMNEEKMFALFKLFAETYNGVALPRRKKKNVTFELSWARRGEGDSDTGAQPVARVALQNTGLKTWGDQPENVKAAQDALLLRAKANTLAQLGKYTSDGEAAEAKEGMFVKNYSY >Et_6B_048409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18949139:18952159:-1 gene:Et_6B_048409 transcript:Et_6B_048409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRFLLLGENHLSGSIPGTIGNLTSLHTLDLSYNILSGQILPELLENVHNLEQISLLHNELSGYIPPYLFNNTPSLRIIKLGNNSLSGSIPQGVGFLPMLEYLNLGYNQLSGTVPPSIYNMSRLQVMALTRNNLTGPIPDNRTFSLPQLRWISFHQNNFEGRIPSGLAACQYLQSIYLSINSFVDFVPTWINHLVGSIPAVLSNLTHLQLLDLSSNNLTGDIPTELGLMNNLSYLHLASNQLTGPIPKQIGMLRSLQKLFLHENKLVGSIPDSIGNLSLIEYIWLSGNQLNSTMPASLFHLDKLIELNLSHNSFAGALPSDVGWLKQADIVDFSSNLLHGSIPESFGLLRMLTYLNLSHNSFEGSIPESLQNLGSIVSLDLSSNNLTGIIPNFLANLTYLTILNLSFNKLEGKIPEGGVFLNITLQSLFGNVALCGALPLGFPQCLQKSHSTNLRVLKFLIPAVTVASAIILSVLFTVRMRLKNKGKVQDSVIKVLDMQSEQAIRSFDTECRVLRMARHRNLIRVLNTCSNLDFRALLLLGDDNYTITASMPGTLGYMAPEYGSLGKASRKSDVFSYGIMLLEVFTGKRPTDPMFVGEMSIRQWVYQAFTTKLTSVLDEQLQRDTTSSINLNDFLPPVFELGLLCSSDSPDQRMSMRDVVVALKKIKKNYTNSTMQQHAAQ >Et_7B_055974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8458054:8462586:1 gene:Et_7B_055974 transcript:Et_7B_055974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYINVTRLESSIHDQNKAMINLFDLSTGMTSKNMLTERAHRDGSPARRSRQDVSRTVDPAKVYAEDKLGANNRSPSSNRPNEYPMNIVLAKEMSMKFELNKKPPSVVAKLMGLEDDLPGQGSALHSAKRNSKRSHSNDTFAETNRPMQPREQYYSSITTRDRHRGSKELVEFKDVYEVSEEPVRTYHLLDQTFSRGMSSSSKSNMRMEVVRQKFIEAKRLATNEKLLNSKEFQEALEVLSSNRDLFLKFLEEPNSQFSQQLAGLNRMPTQPQTKRITVLKPNKAVENEGRRDIRTDRINNENEHVMPRTHRRSHSAEVTNSQPTRIVVLKPSPGKPSRTMARLTPRAASSQLTEQIEFYGGSEDDEYLPDALHRRDESLLSSVHSNGYGGDESSFSRSEVDYIDEEGGNLSDSESEVVSQVSQHSWDDIKRYNSPCSGSRFGRISCSPETSVTREAKKRLSERWASVAYDGTNQEQMQLPRRSSTLGEMLSLREAKKDVDGINSVSRSWPCDTNNELNQQATRISKCREDEGNGPGTPKNLVRSKSVPVSSSMFDNNNIAPNDPSSNSEDCKTRKVVTREDKVKSSFKGKVSSFFFPKSKRQSKEKVGLSCASSHERGEVVCLGNKKPEDDQNTGVDENRSFLEEKDDSANQTICSSKDIVSIELPISSDCPSGDLDGLRSCEDLKGVALLSTGIEPVTRSLSWEDMNSPSPSSASTKLAPFPNVDDDDELECVAFVQKILASAGLDNLRLGMVFSGWYLPDCPLDPGLCDKLLDHKEEAAKPRERRSNQKLLFDCVNMALIEIGEDALLCTDSWSQARSIAWREMLSQVLGEVPGHMKDWLHGSGKFVLSENDDAGTILERIVQQEVDGRGWMKSMRWQLAEVTIQIACEVLEDIVEEAVDDLAICSRQQQMLMEISNL >Et_9A_062412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22639436:22640616:-1 gene:Et_9A_062412 transcript:Et_9A_062412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IARGCGWQHLGAYVNLGSFYLIGIPVALFLGFVLKMEGKGLWMGISCGSVVQFLLLAMITFFSNWQKMSEKARERVFSEELSDKEPLGIGWTLVQKKINDIRGPILFVIFLN >Et_2A_018562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32883013:32901846:1 gene:Et_2A_018562 transcript:Et_2A_018562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATRRFKWDVEYIMWAPDCQQSVMIGINGKFPGPTIEANAGDLISVEVTNSMHTEGLIGTPWADGTAAISQCPINGGETFTYEFVADKPGTFFYHGHYGMQRAAGLYGSLIVNGTDQQKEPYADEYDGELNMLLSDWYHENVYAQAAGLDGKGKHWEWVGEPQLTRDLQTLLINGRGQFHCSLAIERDRRTCDRRKTDAYCKEGDKSERLRIASTTSLSALNVHVEGHKLKVVEADGNSVAPFEVDDLDIYSGESYSVLLKTDQRPQAYWISAGVRGRKPKTPNALAILKYTTSKSEWPAAVPPATPAWDNVTRSKEFTYKIKAREGTARPPTAVDRRILMLNTQNYVDEHIKWAVNHVTLSLPNTPYLGAYFYGVESVAFDAATESPDTFDRGYDIKKPPEAQAPAARAETAVSNRVYKIANGTVVDVVLQNANALEAGVSESHPWHLHGHDFWVLGYGEGMYEHDRDFKKLDVTSPPLRNTVVLFPNGWTALRFVADNPGVWAFHCHIEPHLHLGMGVIIAEGMERLHELNVPKEAVMCGQATRAAVPLAPATSPSPPSP >Et_9A_061867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17287535:17292873:1 gene:Et_9A_061867 transcript:Et_9A_061867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLGKPPVAAEEEGREGLFASCSFADLGLHPTLCAHLQDKMGFQAPTRIQAQAIPVAMSGQHMLVKAATGTGKTLAYLAPIVHLLQMREPRAERTDGTFALVLVPTRELCLQVYGIAQQLVHRFHWIVPGYVMGGENRAKEKARLRKGISILIATPGRLLDHLQHTASFVYSNLRWIVFDEADSILELGFGKALEDILEHLGSRSGAPDQHKNKTGHMQRQNLLLSATLNEKVNRLAKISLKNPVMIGLEDQKKQSSALGKNHTSLLSDDEEDGILEKRNDIMEHEHDDYKLPAQLVQRYVKVSCGSRLTVLLTILKSLFERQVLQKVVVFMSTCDSVDFHHTILSQLEWSPSPQLDMDKKQKFLSCKVFRLHGNMDQEDRKKSFLGFGSEKSAILVSTDIAARGLDFPKVKYIIQYDSPGEASEYVHRVGRTARIGEKGEALLFLQPIELDYLKDLELHGVSLTEYPFQKVLDSFPVIGQKPYKRKQISLDMHPWIMSLQRSLEGFVAAEDETKKLARDAFCSWVRAYTAHRGELKKIFMVKKLHLGHVARSFGLKEQPSLVGRSHQVQLKKRKKEQKRERPTKKRKLSSKK >Et_9B_065071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2111139:2111930:-1 gene:Et_9B_065071 transcript:Et_9B_065071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDYICGCQSRYTKSKSCPRYLYKDTPKLQNISTVSDSAMVRRGKVELQWVADRKSRLKKLQKRHCTLLERARVLSMMCTVLVAVVRDDRSPRDLDTATEILQRYTNACASDTSVEKLCQEGFLPERVKMLHKKVSSMKLANRARDL >Et_3B_029536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25799476:25802996:-1 gene:Et_3B_029536 transcript:Et_3B_029536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTTANVRVDGAPAEATLGGGELRWRRAAGAAKAERALSLEDEVLGVQARGKEVVVRAFVASGAAKRTSCAAGAGAGVKRCRNDFVFEMADGEGAAAAWAERMRACLESFGRPKRLFIFVNPFGGKKCAKKIYDTEIRPLFEAADVSITMQETEYQGHAREVASSLDLAKYDGIVCVSGDGVLVEVVNGILQRTDWEEAIKMPIGVVPAGTGNGMAKSLLHAARETYSVSNAVFAIIRGHKQPLDVCTILQGETKFFSVLLMTWGLVADIDIESEKYRWMGSARFDFYAVLRIMNLRKYCGSICFVPAPGYESYGEPVKQVDNPTVECHHQNGSRPCSYQGPSVQFQSSDWRFLDGPFVAVWINNVPWAAEDIMAAPEAKFSDGYMDAVIVRDCPKADLLGLLMKMNDGSYVKSPYVTYLKVRSFQLSPGQLVENPKRGGIIDVDGEVIARGEGTYGKSQRNDVMAYGPPIQLTVHQALLTAYRPNKIR >Et_8B_060519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19753713:19758057:1 gene:Et_8B_060519 transcript:Et_8B_060519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVQQVISAGNNIREETQLKVELECLRATLPKARLLISRGEWGMFKDKGVAELLSQLKDSTYDAEDLLRERDDEELRQKIEDAGRTWAGQLLSSSLNLARNVIHGTKTRVKEAQNKLDKAMANIEGALNFMGLNVESVQFMPETSSVISAPQVFGRDEERDALMEMLGVPIGRVDKIDQVMKQLGVPLTMGGESSSAGSKEKGPAAEGNTMAPSRKRLKTSCGRDGFPATNCTSDVSVLSIYGIGGVGKTTLAQLIYNDPRVDHKFPMKIWSAEEATESRLFDKKYIKELILDWEDRSNMRQLRVEHNAVLEALRPHPRIERLEIHEFCGDMFPPTWFRREDLLDLRGLKLSKCFQFKCLSIPCISSLEKLELNGLGIECLTTLDGAPTGSAGDPRTQNLSRSIGCSNGIASGVFTRLTALRLDRCNQLTNVDQFLSPENLPSIEVISLIDCYNLVSVPLHSFVGLVYLQDLTISGCDKLACPREMVLPSSLKRLYLATCGDIDKSFPGCLENLTSLTSLSLFDCQNIRFLPLNSIAGIKRLVISWCPELSSIGVSHTQSLSSIQYVDISLCPKLTEVEQPFKTKQLRTTT >Et_9A_061716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15722932:15730849:1 gene:Et_9A_061716 transcript:Et_9A_061716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLIESPLPLQAAGAWGSLYSAPEPVKPRQMLIPAPASAKKPAAYGVRRRNLETCTEPLGCETGAVDVAPAGNGFDVDADNVAEPEYCAERKRRTREEEEEAMARGSDRRGRARPLPPPLTTLARGAGRVRMVHERRDGRLEVYAVRTPGVLEAERSGGRLRMRLLGNVAAPRCGRHEPQEPEANEEEAKEAEVEEEEEYGFAKYVRGGRCVEEDGAAAARRGKQWQLELPPHGWGSLYPVEEQPVKPGARLLPAVPAKKPAAYGGRKKNLETCTEALGCETGGVDVCDGGVAEAEYCAERKRRAREEEEETVTEAARACRVRGPLPPPLTTLARGASRVRMVHERRDGRLEVFAVRSPGTLEAERGGGRLRLRLLPFLFDAGNDNPAESGRQEAEAEEEAKETEEEYGFAKYVHVGRRCVDLEGGAAAAVAMRGKQWEPEQPAAFWVATS >Et_5B_043471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1094488:1098305:1 gene:Et_5B_043471 transcript:Et_5B_043471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPARARKNAAAAVFFSLSVTLLLLLLGVFATTHKAFAVAAVTNEHRERVLVEDGNNASAKNAADEHAASVERHCAGTLHRDVCASTLATIPNLSQKPLRDVISEVVSRAAAAVRASSSNCSSYLRRGPRGGLRVRDRLALSDCLELFGHTLKQLGAAAAELSSASEAGESLVAGVQTVLSAAMTNQYTCLDGFAGPSASEDGRVRPFIQGRIYHVAHLVSNSLAMLRRLPQGQRRRRGLLGGLEGYGRVRRGFPAWVKAGDRRRLQAAAPAAMADAVVAKDGSGNFTTVGDAVAAAPNNSATRYVIYIKAGGYFENVEVGSEKTNIMFVGDGMWKTVIKASRNVVDNSTTFRSATLDQQRKNDIAAVVGTGFLARDLTVENAAGPSKHQAVALRVNADLSAFYRCSFAGYQDTLYTHSLRQFYRDCDVYGTVDFVFGDAAVVLQNCNLYARRPDPGQKNVFTAQGREDPNQNTGIAVHGGKVAAAADLVPVLANFSTFLGRPWKTYSRTVFMQTKMESLVHPRGWLEWNGTFALDTLYYAEYMNRGPGANTSARVNWPGYHVLTNASDASNFTVLNFVQGDLWLNSSSFPYTLGLS >Et_1A_005500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10979408:10988846:1 gene:Et_1A_005500 transcript:Et_1A_005500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHSDDTEERAAESCPAGAGPRGGLVSRPAVVPKCIGVADLGCSSGPNTLFLASVAVDAVRRRCAAAGVGCPEVRVFLNDLPDNDFNTVFRKLPAFQQQQQQRGEAEASCAGDVFVFGAPGSFFGRLFPTGSLHLVISSFSLHWLSQIPHELTAGELVNSGNSCAGRTSSPAVIDAYARQFSRDLTLFLESRAVEVVAGGWLLASLKGRSARDMRSEGCAINDCPTHILNAMAAQGLVDAARLDSYNIVSMESFEAPACDPSGFAGAIRAVHEPMLARHFGAGIDMEQFVRTAEEHLDRMSREGSYKGMDMRSEGCAINDDPTRILNAMAARGLVDAAVLESFNTPMYDPCAEEVREIVAAEGSFETVGMESFEAPACDPVAAAGVIRAVYEPMLASHFGAGIDMQQFVRAAEEYLDRLSREGTYKGLFVHVMSLRRRAPAVLIESDP >Et_5A_042785.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4308182:4309129:-1 gene:Et_5A_042785 transcript:Et_5A_042785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFRLRKPAFIFKADEKSYDFFPLADRKLICTDQSGRAFLLDYCSRQMAMATMPNLNKPKWDPISLFVPNAAADADQSRLYVMERVPKPEAGCNTLPSDQFEAFVFSRADKSWQCQLIPPPPFVRDPKYRQQSGHNIESYAVVSDGSHICVSTNEAGTYCLDTANHTWSKVGEWMLPFYGKVEYVPELKLWFGLTGMSRHLAAADLSTIFTTDSQPQLVDEWKELNLPVQLFENNDPQLVNLGSGRFCVARFFATTETGDDFFEQSLVVLTCVEVKRAHDGNCSGSENRKGKLGITVFQPRCHMTQGIDIGPVF >Et_3A_026199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5366148:5371315:1 gene:Et_3A_026199 transcript:Et_3A_026199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVETYACSPATERGRGILLAGDPKTDTIAYCTGRSVIIRRLDAPLDAWAYQDHAYPTTVARFSPNGEWVASADASGCVRVWGRYGDRALKAEFRPLSGRVDDLRWSPDGLRIVVSGDGKGKSFVRAFVWDSGSTVGEFDGHSKRVLSCDFKPTRPFRIVTCGEDFLANFYEGPPFKFKHSIRDHSNFINCIRYSPDGSKFITVSSDKKGLIYDGKTGEKIGELSTEGSHTGSIYAVSWSPDSKQVLTVSADKTAKTVSSLVFLPQHNPKTILSTSYDGVIMRWIQGVGYGGRLMRKNNTQIKCFAAVEEELVTSGYDNKIFRIPLNGDQCGDAESVDVGGQPNALNLAVQKPEFALVTTDSGIVLLHNSKVISTTKVNYTITSSSVSPDGTEAVVGAQDGKLRIYSISGDTLTEEAVLEKHRGAITSIHYSPDVSMFASADANREAVVWDRTSREVKLKNMLYHTARINCLAWSPDSRLIATGSLDTCAIVYEIDKPAASRITMKGAHLGGVHGLTFVDNDTLVTAGEDACIRVWKLVQQ >Et_1B_011910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27085255:27092558:1 gene:Et_1B_011910 transcript:Et_1B_011910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPDRSGLERLAAALEPQPLEVIAPPEAKPKKRNPAPGVRVVGRRIYDPANGKTCHQCRQKTTDFSAACKEVKKRGPCTIKFCKNCLLNRYGEDAEEVEKNKHWTCPKCRGICNCSFCRKKNGQEPTGIMAHLAKASGCSSVHDLLIKGSDVVAAAQTILKVKASDKQQGTKRARETDTAADEAVVERDENVAIDLNAVPSVERDENVGIDLNAVPPGRIKKKLKRQYKKKNPADGNSHGGDNGEPLPRDEIPDAEAAAANNIVLPRGTMVNNIAGAELNEEDVGAALQFNEFCRAFAEVFQIRKGQPEKILQDIVGGRELRLVSSHVAEFHINLLCVIQEGTGKKPPAYTRDGDSWIIDVGKYVSESTFMSKELPPNFLNQGVLGYKNLSPSSKLRVLNFLCDETLSTDKLRNWINAQIEVAAEPMNAAREKVRAAKEKEKELKERLKNRIEKTTLAPNEAATLGAEENKDIISQIKEAQEVKRAALNDMATIDKKGDVLWTKPLMVEEGLAYWKLEGYCDNTSILLQEYGDAELTGNKDKWFMFTEEEEKVIEEHIASRHFKIIFDSGKFRWMDKAGLLVAKRPGSANFWRP >Et_8A_057671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:590586:592705:1 gene:Et_8A_057671 transcript:Et_8A_057671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQTEVLKDGIANVVKGAKEKNRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEGLKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKCLSKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPY >Et_1B_010873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14968329:14972761:-1 gene:Et_1B_010873 transcript:Et_1B_010873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVNDWTQDGISTERAYEGEPIPSLSETITLRSLMVSLILGTTLSVVAMEVSLNSGILPSLSAPAGLLGFFFSRAWIHILDFFKVSHMPFTRQENTVIQTCIIACCAITYSGGFGTYILAMGKNAAGGDARDKSNIVEPSLRRLIPFLFLISFAGLVIAMPFKKVMIIRHRLTFPSGIATGNLINSFHNPSGASQARKQVKMFFRSFGGTIIWSFFRWFYAAGRGCGFETFPIFGLKAYTLGYYFDFSMTNIGIGMICPHMITISMFIGNLLLWSVLWLPHTSNNDSWYPVNPKVDILKEIRSYKVFIGVAMMITDGLFNFLCILIRTLCVMSKPCKQPIQGCIDNKAQTSWCLSCTNQEHVAKCFDDRLSTIGIPFLYPQLSSYHVALIYISIPLCVFCNAYGMGITDINLASSYGKLTMGIFGSWVGLNNGGVIIELVACGVMVGAVSNGADLMQDLKTGYITLTSPHIVFVSKVIGTSLGCIISPIVFWVIYGCNMGKKNIFEVPYAKVYRSIAMLTTGENIMVEDIKRICWLFSMFALVLNVLREVAKRKQWRVASCIPSTVGIAVAFFVPERIFIDMLVGCLLTFVWERTDTNMAQMYLLALASGLICGDGLGSLMSSVLTLLQAQAPMCIKFLSQVDNLKLDAFLETLPTL >Et_3A_024072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1759319:1760239:-1 gene:Et_3A_024072 transcript:Et_3A_024072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGNWLVAGDVKKPDCVQGVDPRSFVPGIGGGHGGLTPVPSHGGGSSPSHGGYGSPPHAGSGALPDPAHGGFGTSPSAPSGGGAYGGSPATPSHGGGAYGSSPTPAHGGGAYGGSPTPSHGAYGGGGGSPATPSAGGFGTTTPMPFVPVDPHSPSSLPGSCDYWRSHPMEIWSAIGGRFPSSMGHFFGAGGGTNMSIQDALANTRSDGAGALLREGAAALLNSLTRAGFPYTTDQVRDAFAAAAAGGSDTAAAAQAAAFKKANEGKA >Et_1A_008831.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:15055621:15056781:-1 gene:Et_1A_008831 transcript:Et_1A_008831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAAAFYAANARKQYFCYQCNRTVLLVASAAAAGELSCPDCRGDFLEEVTVPAPTVIPIPFPFPFASSSFPAATTAPGGSPSLSSSSSSAATSPSRPPDLSAFLNTFLEIGNERARVAGTGTGGSGSRAAAGTATPENEPEGFDPLMFIQNYLHGLMEGGANIQVLLDDASVSLGPGLGFGRGASFGDYFVGPGLEQLIEQLAENDPNRYGTPPAAKSALSSLPDVVVTDAMVAVAEGAECAVCKEDLSPGEVAKQMPCKHIYHADCIVPWLELHNSCPICRYELPTDDPDYEGRKATNPQPAAPQPAVGIAAAASGSSSAAEIGREEREENARLVERRFNVSLPWPFSGLGGQASQQDGNNGGSGSNSQGSGSQEGGAPSSKN >Et_7A_050712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10376540:10380762:1 gene:Et_7A_050712 transcript:Et_7A_050712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPTVLTMVLALGLALLWSVVSPSFFNGIKSQAPTSCEGKNFYTRDAFLKATRTYSGFAHGGSEVDGKREIAAFFANVAHETGRLCFINEQNPPINYCDSSSTQWPCVPGKKYYGRGPLQLSWNFNYGPAGKDIGFNGLGDPDKVAQDPVVSFKTALWFWMQNVHKVMPQGFGATIRAINGALECNGKNRDQMQDRVNLYKQYCQQLGVQYGELTTILTTVLALGLALFCAAGPAAAQNCNCQQGYCCSKYGYCGTTTAYCGDGCRSGPCISGGGGGSNVGSVVTQSFFDGIKSQASSSCEGNSFYTRDAFLTAANKYSGFAQDASGDAGKREIAAFFANVAHETGHLCYINEINGASQNYCDSSKTQWPCVQGQNYYGRGPLQLSWNFNYGPAGNDINFDGLGNPDMVAQDPVVSFKTALWYWMQNVHNVVPQGFGATIRAINGAIECNGGNTAEMQDRVNLYTHYCQQLGVDPGGNLTC >Et_4A_031779.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:14065958:14069202:1 gene:Et_4A_031779 transcript:Et_4A_031779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQSSYAPDDASSPEEAAVASSPESSPLRLPSKSAAPAVDDTALALSAAASASRPLDPSQHLVAFNPTADQLWAPVLGPQHPHNPISSATGNRNHKLGHVEDAAVLPFLFDEQYNTFHRFGYAADPSGLHIVGDAQPQAADPDTVYNLAPSEHKRRRLQAKEDDQVEPIPPEAKNPASEEWVLLNKQSPWAGKREGPPVELTDEQRQYAEAHAAKKAEKEARAEGKGEKTEVVAKSTFHGKEERDYQGRSWITPPKDAKATNDHCYIPKRCVHEWVGHTKGVSAIRFFPKYGHLLLSASMDCKIKIWDVLESRTCMRTYMGHSKAVRDISFSNDGTKFLSAGYDRNIQYWDTETGQVISTFSTGKVPYVVKLNPDEDKQHILLAGMSDKKIVQWDMKSGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPAIALHPNSNWLAAQSLDNQILIYSTKERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGSCWFWDWKSCRRFKTLKCHNGVCIGCEWHPLETSKVATCGWDGVIKYW >Et_1B_010000.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17616122:17616280:1 gene:Et_1B_010000 transcript:Et_1B_010000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRDRLAARTPYSASRPEALPASTSNKGKAEEEADAGSWLGLGIPGRCRR >Et_6B_049402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4373935:4387830:1 gene:Et_6B_049402 transcript:Et_6B_049402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSTLCAAKSQVLRFCFDHEEPNPRMKLHYWTLVSAHLTKLQLSGMAVKDNLDFSGCPALLDLEVDNAFLDPEEIWSASLQYLSIARCKFRLMVCTRIHAPIVELDYASYDKCSTCSTYDCGSDTCDGCEDYYGPHNDQASCLLLNGLWEATNLELSAHPDVVILLLLCAPDVYSVLLSKNRDIKCCPTFSKLKTLVLVNWFVADDFSGLIWFLQHSPILQKLTLQVSHHVDFQLSVLLKLPCMVQKDPVRRNEGCRPLEESVISDHLKTIEIKCHEVDAMVVKILKVLAASGLPLQQINIQSSALGPDCDLLLNEWSSPDPNALIFILQRTQFLKKLTLQLSDLPVSRILVTNKGSRNSVELPCASQHLKLVQIRCEKVDERRGRIHPFDRMPRRSARRSTASGIDRIGALPDGVVQHILSLLPAHESVQTCVLAQRWRDLWRSAPGIHFTGGVNGWRSADRFEGFVNHLLKLRRESAAPLESCCFELDAGELEFDSEDQKRTWTSKLILLALECNVRVIRFCATLEDSLVFEFDDVLLVSRHLTKIEFSCIIISGGGVLDFSACTALAEMKADRCGIEADKILSPTLKHLVMTCCEFVGSGPTGHIRLSFPGMISLELTRCAGRTPFLAPMPSLVAANVSFGNECEDSCWYGGSGECGMFDCNGCNYYGSPADYSMLPKGLSEATHLKLSGSLSVFAFNRDMEWCPTFTNLKTLSLNEWCVAPDPNAVICIIQHAPILEKLTLELSKQGPRKLVKRNGSCKSLELPFASEHLNWFKSNVKKLMTGFQNS >Et_2A_015740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17374062:17378207:-1 gene:Et_2A_015740 transcript:Et_2A_015740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKHGWQLPAHTLQIVAITVFFLLVVAFYAFFAPFLGKQVLEYVAIGVYTPVALAVFILYIRCTSINPADPGIMSKFEDGFINLPNNSAGAEGMNLNQKVNNTTGTNSPTSTCRSSLDGQSNRRGSSLGETTINIASQLPKKRSGCWFLGGLLCAIFVKEDCRKSDDSEQQENGEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFLALMAISLIWLAIEFGAGIAVIVLCFVDKNAQGNIQGKLGNGLTRAPFAVIVGIFTLLSLVACIPLGELFFFHIILIRKGITTYDYVVAMRAMSEAAPEDEEGANIIYSPSNSATTGFSVGSSLGLHHKGAWCTPPRIFIDQDEVIPHLDPGMVPSTVDPDAAGYAERANKAKKAVKISARSLAKLDRNEVMKAAAKARASSSVLRPIDARRGHESDVISSDNASVRSSTSIDYSGTKESNSEMRLSPLQNSYPQSLVSQDDYEIGTPTASSLSSPVHIHKLAPHSQFNPTAHPPPPVRPAPGVHRPPVPTTQITNPMFQSATSYVRDNRRASVIWDQEAGRYVSVPAQTKTGPGVELPARNPRFLANPSGEPSHHGRNPAPGTTSSTMPTGQPSERLTYTGQSIFFGGPILSTPRTNAQRRNEAGARAHPEGSRDPNALQRDIRGERARTGSLPVFAPGTSQKNPPFDK >Et_1B_010705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13238289:13242705:1 gene:Et_1B_010705 transcript:Et_1B_010705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPAAALMAAVALLVSLAAADTSTISYEQETRRMFVGWKAKFEQTYKDVGEEECRYAVFKNSRRRVDRANARYAGVTRFGLNHLSGLAKEEIFRGHGVRMGEASYEEETRRMFAGWKAKYEKTYRDAGEEECRYKLFKGNRRVVVLLNATAGENLIRPQPIRRPHQRGGPRMLLRAWGRGREQPRDGGRAERQMPGRGSQPAGPCRRQDDLVPSNHLPVLSFFISTGYYHCFFGVCHCIATELKQSTESGGSAIPGDEGHISTPMNLGIIAAETTAELCKVPEIDAALVNSTQRTTRNKASLDIDVGLGSCRETSCGSSARGHHGGG >Et_7A_053187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9449918:9451602:1 gene:Et_7A_053187 transcript:Et_7A_053187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLSHTPAPIAGDESPAESSRKRAPAGPANVCAPANQPNDDEWAETQTSALLQITLSLEANRQAGMPDGNFWDHETLCGACSGSDSERVQEEYKEISIFDNDGEEGRGSQEAEDDGEEEEEEEGDAGDKSMIHFQGKLDSSTPAPVHGTAAPIDKRLEGKVAIVTGGAQGIGEGIVRMFVKHGARVVIADIADAAGEALAATLACAYEHCDVTAESDVERAVARHGRLDIFCNNAGVLGRQQTGAAKSIASMDAAEFERVLRVNALGAAHGMKHAARAMLLGGGGGSIVSVASVAGVTGGMGPHAYTASKHALVGLTKNVACELGGRVNCVSPFGVATPMLVNAWRDHDAVVAAPTEEEVEKTEEMVRGMATLKGTTLRASDVAEAALFLASDESRYVSGHNLVVDGGVTTSRNLIGL >Et_6A_047855.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21472303:21472821:1 gene:Et_6A_047855 transcript:Et_6A_047855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNASPGMDAASATKKQPLSRPPRLAAAGVAKIAEPSPKKKAPLVVARGGGCVKQASPNVRAWSAKPLAAPPPQRRKKTATSSPPLSARSSVGSDRVTKLCDAEDKKMEATKRKIQGRYQEANDAKWRRTVQALFVAPEMPRRDKTLPGPAFSAAAAENRGRFSKPAPQRI >Et_4A_034720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5822331:5827427:1 gene:Et_4A_034720 transcript:Et_4A_034720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KSKNTPQSTPPPARRPPYRSMSPTALLPRATPNPTPRSSSSLRQSPLHSVPCNHFLHPRRARARTRVPAAFGKGSPAAAATGRREKDYYATLNIRRDATLQEVKAAYRTLARKYHPDMNKSPSSEEKFKEISAAYEILSDEEKRSLYDRFGEAGLSGGYGSGDIGTHEIDPYELFNAFFGGSDKFFGDNMSGGGFRYSTKNMNNRVLDISYDLLLSFEESILGGKREINIFRHETCDTCHGTGAKSSNGIAECYQCRGQGRIMKTQKTPFGTVSQISSCLNCDGSGKVITDQCTSCYGSGKVQVERSIRVDIPGGIEDGSTIRVTGEGSVDKQRGVSGDLYIFVRVDEKQGIHREDLNLYSSVAIDYTEAILGTTMKVETIEGFKDLHIPPGTQPGENVKFSQLGAPDIKKPNVRGDHNFVIKVTIPKSISDLERSLVKELAALKEAKSISVPETTNTENLRKQNHHSSARRKRSFWGSVWNFFRSDDGGQRFASISTQPVIPHWTSHQGKYPAPPLLKGFLMLTAFLFVITRRTSELRLSRKRNDHPIQANAAAEAE >Et_3A_023141.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:31575533:31577886:1 gene:Et_3A_023141 transcript:Et_3A_023141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSRARALLLRSSIPRSPTPPPRHPTPTLARVPPPRLLSRFLSSSPDPIPDASSSSDPVPEASSSFPDPLQDASSSAAPSPDDAAEAGEDSLSSMWEEAGDADDIFAAHSAAEAVAEDEEVARVREVVESAPEDKIASTLADMVVDFNEPLLAAVLLAAEKCSCKKLILLFKYAAKNNPSATSLSNLEILVSKIADSDEVGKMEAYMLWDLVKEVGTVPGSVSTPLLNEMIAIFWKLEKSKAALEVFAKFDEFGCTPDSDSHYLLIEAARKKSMFRSVCEICEKMIASGCFPHREKVGKILTILCEGKKAKQAHAFYLAAKEKKIQIPKLALDTLVGALASNDETVGTALELLEEYQGESLKHAGKPFATVIHALCRTNKVEDANNLLTRMVQLEEYQGESLKNASKTFTTVIHTLCRKKKLEDAKTLLTRMVNLGPAPGQAVFNFVITALSKAGEMEDAKGLMKLMESQGLTPDIYTYSVLMSGYTKGGMLDEAHALLREGKKVHPKLNRVTYHILIRGYCKIEEFEKAIECLKEMKKDGLLPNADEYDKLIQSLCLKAMDWRTAEKLLEEMEDSGLSLKGLSRSLITAVKELEEEEVQSKASQTA >Et_2A_015132.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31569467:31569967:1 gene:Et_2A_015132 transcript:Et_2A_015132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQHQEGSRTTPTTRPKIKIIHIIAPEIIKTDVSNFRDLVQRLTGKPTAGASSVDTSPPVEQEKETVIKKRPPPAAPATDDFLVQQEPSKKQKIKCEVKEEQGGFGDYGLDCNDLWMDLNPGGFFTFLEEELDVFQGLAADHDFLQQQQPLGPPRMDLVGEMYAS >Et_3A_025184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27680073:27680694:1 gene:Et_3A_025184 transcript:Et_3A_025184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLQVRQQESVAVGKGKQWSIISPIICDIQSEMKQFSAFKVCKIWREQNKVAHELAQWAIKSSSSKVSFVNSPECVESLISAESGVLSCNGVT >Et_6B_048282.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:806686:807012:-1 gene:Et_6B_048282 transcript:Et_6B_048282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEWRRWSGPKARRIISFSLLSAMRIMPTSLEAFSGSRACTRSNTTLVTSHESMALAGAGTLCPGRVLIRWFLTRSRDGGDGRKGEQEQPNRERSGVGHGCKKRVGPR >Et_9A_061583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14001038:14005260:-1 gene:Et_9A_061583 transcript:Et_9A_061583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALAGSSAAAGEAPISGEHRMGTTVVGVCYDGGVVLGADSRTSTGMYVANRASDKITPLTDNVFVCRSGSAADTQVISDYVRYFLNQHTIQLGQPATVKVAANLIRLLAYQNKNMLQAGMIVGGWDKYEGGQIFSVPLGGTILRQPFAIGGSGSSYLYALLDHEWREGMSKEEAEKFVMKVVSLAMARDGASGGVVRTVTINAEGVTKKFYPGNKLPLWHEELEPQNSTLFSMAKSTTPARPSSAWWQLRASFMNQI >Et_1A_006695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26440338:26441413:-1 gene:Et_1A_006695 transcript:Et_1A_006695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQARMVAAALTVALAVLTLAGAGAAQLQYGYYKGKCNSSDVEAVVQSIVRARFAREAPIAAYLLRMQFHECVINGCDGGLLIDGPGTEKTAPPNLSVKGYDVIAAAKAELEKRCPGVILAARDAVALAGGPSYSVRTGRRDGRRSQASDAKLPGVDYTAAQAVAYYGRLGLSAFDTVLLLGAHTLGATHCGVIKSRLYGGGATSPAMDPTLAFVYKNYVCPNTPSSDGRVVFLDDQWSALKVDNNYYKNIQRRRGVLAVDQNLYNDGSTRWIVDLLANNDGMFHSLFPYALVKLSEVNVLTGTRGEIRKVCNKFN >Et_1B_012058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28539222:28539433:-1 gene:Et_1B_012058 transcript:Et_1B_012058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRWSFHMFHCDSKGKVLQKFHWDQVTSVLTGFRFKENLFKHAFFERETSRRRARQPPRFFRGL >Et_10A_001434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3161965:3164991:1 gene:Et_10A_001434 transcript:Et_10A_001434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLGFEATELRLGLPGGGGGGGDGEGRSSSGKRGFAETIDLKLKLEPAAAAVEEANKEDVKGEESSAAGKMKRSPSQSSVVTAAAAQPDPAEKPRAPKAQVVGWPPVRSFRKNIMSVQAEKGKDVDAGEKLSTAAAFVKVSLDGVPYLRKVDLKMYKSYQELSKALEKMFSSFTIGSCGSQGMNGMNESKLVDLLNGSEYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGSEAIGLAPRAMEKCKNRS >Et_3A_026078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4086370:4087516:1 gene:Et_3A_026078 transcript:Et_3A_026078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCYLRQPVSRAEAVSERRSLFWQMDASPAPRAEVICPQPRRATRPPFPVETANRASPRPNGSDSTSDILDLILSKNDIDGDMDSSSQVGFYCGSPPVRTKNPIIYDPQFGKWTPSFSPLGSSCGQNHSGRVEIGSPSCAASSPKVRIEGFASAKSETSYAVTFV >Et_1A_008984.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23333034:23334992:1 gene:Et_1A_008984 transcript:Et_1A_008984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGVKAMLARPIQLADEVAKQCAAARSFRLECADLKARADKLAALLRQAARAPDLYDRPAARIMAGATQALTKASALAARCARGNPRLRSLFTLSPAAGFPRALAALDTALEDVAWLLRISSPHHHDGTGDDDVLLGLPNIAQNEPILFFIWDHVARLHTGSPAARSDSAANLASLARDSQHFAKLIIDEDGVPPLLKLLKEGTDDGQEAAARALGLLGCDPESVDKLVQAGVCSAFATALKEPPMRVQAAVAEAIAMLADRSTTCQELFAQNNAVRYLVGHLASGTIQEHSRYSVGSNSSKNSAAAPHPMKSLHSVVLAKTHSMRHSGDLGTSIDTDEPPRMSNGTAAEQDAKRNQMQSVVHSAMAAKTNTNGSLHPPFRPQLGTSGSSGRGAREAEDPETKAHLKAMAAKALWKLACGHLGVCKSITESRALLCFAVLLEKGDGDMGTQVQYFSAMAIMEIARVAEHSLILRQSAFKPSSPAAKAVVDQLLRVVRKGDYDELLLPCITALGCLARTFTASEDRVIAPLVQLLDEREPPVIKEAVVALTKFACKENHLHVNHCKAIVDDGGARHLVQLVYLGDEVQIEALILLCYIALYVPESEELAQAGVLAVLLWASKQAHMVQDMRVEELLPDAKARLELFQSRNSR >Et_2A_017859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7088934:7107343:1 gene:Et_2A_017859 transcript:Et_2A_017859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDAQALGGTITFAVIIVVGIMFFICALCSIEEPQRDRAADPEVSLQQRAAMALAAHEARALRAAAILAEQAAAPPVQEQLPYFPYAAQGRASETLASETLCAICVEPLRQAQLCSEVPACRHVFHRDCLGAWAKSKGSCPLCRAKIVPGSEEVAVADDMEATTWLCEIITGLVAFVAFFLFVLWATRTGEPPRDTEDLSLARVDVMALAAQADQAVLSSAPCQPVQLPYFPYAAASEAAECVICLEPLMPGQLCSEVPACRHAFHRNCLGVWVKNKVSCPLCRAEVIPGSDEVAVGDDMVPAEHPVPAGQGAGGAAGVRICLEELRQGQMCSEVPACRHMFHEACIRVWARRTYNCPLCRARIVPGTAGAAAAAHIIRNGTISQPTIDQFVLGLTCFFCIFPEVEERDETALAAPAPRARAIQSEELTAPRPAVLPYFPYAAQAQGRASETLCAICLDPLRHGQLCGEVPPCRHAFHRDCLDAWAKSKGTCPLCRAKIVPGSDEVPVADDMELRSPVVLPHFPYALARAPEPPPVCAICLDELRQGQMCSEVPACRHIFHEACIRVWMRKKNSCPLCRVRIVPQTTAGGVAAAHDMYWWECCPSCTPARADASPCRSWSRGPRRRTRSGRSRHRWRCSFLTSRTRRRGVGDAVRDLRGAAPAGQLCSEVTACRHAFHRECLGEWAKRTGSCPLCRAKIVPGSDKVAVADDIRERRAATALAAHEAQVTRAAAILAEQAARLPYFPYAAQDGASVRICAICLDPLRQGQLCSEGTACHHVFHRDCLGAWAKNEGTCPLCRATIVPASNAVAAADHMLKSGLNAHTRKKILCMRDVN >Et_8B_058722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18816743:18817894:1 gene:Et_8B_058722 transcript:Et_8B_058722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMMVLLTFAAPALNGETIAGQLSYDFYSSSCPRAEEVIQKSTWEIISKDTNMGAALLKFFFLDCFVMGCDASLLLAVDDDNPDTEKSMLHQHGYATVNKIKEAVEAICPSVVSCADILALAARDSAAIAGGFSFAMPTGRRDTLRPFYYYMDYHIDAPPPYWEAFQLIDSFASRGLDIDDLVVLSGAHSFGIAHCRNFDSLLYPSIHPTMNATYAGELKKVCPWPSPYDMGPVVNNNRVTDPNVLSNQYYNNLLTGQVLFWWDHTLMSRNDTAAKVAFYATNPLAWKVRFAAALVKMGKIQVLTGTQGEVRKVCNATNH >Et_3A_024834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24710086:24717368:1 gene:Et_3A_024834 transcript:Et_3A_024834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSTTSSMMLITILVVVFCVSAFVADGRHIEPNNKGGGDLVAQVCLNASQHNSRAFHYISQEFCESALRSDKRSATATHPRDLALVAMDLVQQGAADADAKLGDVMKLHPRDDLHTQLEYCSVDSRVVQICHAMIQEYKPSLRQPDNDDIRLEAAQSYFECANKLRDAAGDCRTNYLSDDVLGTQVFEVVRRTSLAKAMIEQMLGVLDSVDDESNVTAPVQGENVWVEKLEDTNLHNAPGTMATTSSVMLTILFLGVAAFLANGRHVDSRHEPSTKGGGDLVAQACVNASQRYSRLSHHIDQKFCESVLRSDKRSATATHPRDLALIALDLLQRGAADADAMVGSLVKERAGESLTMLEYCRADYVMVSRILPLCRGMVQEYKPPTLQQSKDDDTDREFAAWPYFLCAEKLREAAGDCWYYVFDEYVLGKLVPEVIHRATLAKAMIEQMLGVLDNYTK >Et_2A_018820.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8194156:8195592:1 gene:Et_2A_018820 transcript:Et_2A_018820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPGENAGARPHVVLLPSAGMGHLVPFGRLAVALSSSAHGCDVSVATVLPTVSAAESRHLEALFAAHPAVRRLDFALAPFDESAFPGADPFFLRFEAMRRSAPLRLGPLLAGASASALVTDIALSSVVLPVARERRVPCYVLFTASAAMLSFCAYFPSYLDANSAGGEKGIGDVDIPGVYRVPKSSIPQALHDPKHLFTQQFVANGRGLVGAAGILVNTFDALEPEAVSALRQGTVAAAAGGCFPPVFAVGPLRPAADKPAAKNGAATGGYMEWLDAQPARSVVYLSFGSRKAISKDQLLELAAGLEASGHRFLWVVKSTVVDKDDAADLGDLLGGEDFLGRVRARALVTKAWVEQEAVLAHPAVALFVSHCGWNSVTEAADRGVPVLAWPRFGDQRVNAGVVKRGGLGAWEEAWTWEGEEGLVTGEEIAEKVKAMMADDGVAKKAAKVRDAAAAATAEGGTSYRSLAEFVARCRAA >Et_10A_000300.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21970886:21971032:1 gene:Et_10A_000300 transcript:Et_10A_000300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQQLHFLVPKLKENALIFHPHSYSCRNYLQRNHNLCSVALLEPAYPQ >Et_3A_025121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27011337:27012809:-1 gene:Et_3A_025121 transcript:Et_3A_025121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTVVGRAVLQAYRQAIVNANKTGAAQEAINGIKRASKAMTEQEARQILGLSEKSTWEEIVQKYDTMFERNAKNGSFYLQSKVHRAKECLEATYQKPDVPN >Et_9B_064453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15366517:15372191:1 gene:Et_9B_064453 transcript:Et_9B_064453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVQLMSLFLVMLAAARAVSAAGARPREVAVGALFTYNSTIGRAARLAMELAVDDVNADSTVLPGTTLNLITQDTNCSGFLGTVEANGENVVAVIGPQSSGIGHVISHVVNELHVPLLSFAAKDPTLSASEARISYKAAIPPSSNTDVINDILLMVNMMESRVIVVHVSPDTGMNIFSIANKLRMIANGYVWIVTDWLAAVLNSMGSRDLQDMSHIHGLIYIKWNNVAHNRSITYGLNSYGFYAYDTVWIAARAIHQFLNSGQQINFSTDPRLEDSNGSILHLSTLKIFDGGEQLLQQLLLTNFTGLTGSVQFDSDRNLVHPAYDILNIGGTGYHLVGYWSNASGLSVAAPEILYQKAPNTSTSAQQIYNVVWPGGSTTTPRGWIFPNNSQPLRVGVPVKASLKELVSIGRGSDNVTGYCIDIFKAAIKLLPYPVPCEFIALGDGRKNPNYDDIINMVAANSLDAAVGDFAIVRNRTKIAEFTLPYIESGLVIVAPVKKATSNAWAFLKPFTLEMWCAIGSLYIFVGVVNIGSMRSFEALHGDKFSFSTMFFSHRQNIVSALGRFVLIIWLFLVLIMNSSYTASLTSILTVQQLATGITGLDSLISSALPIGYQAGKLTRNYLIEELNVPESRLVPLNTIQ >Et_3B_031654.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6950072:6951955:-1 gene:Et_3B_031654 transcript:Et_3B_031654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAAPTTRVALYLHRARLIDSLRLRLRSPSSPPTPPPTPDDPVVALHAIHAAPTPSSALSLFRALPAPPPLPLYHALASRLASLAAAPDLRAHLASFPLPAPPLARLRLLAAAGDRDSALDAFASLPPAPPRRPAEAHNILIGLHARDGDHAAAVEALRRMVREGALPNARTYTVVVEHLASAGFVDQALDVFRLLPSLRVRRTTRQYNVLAQALAPAGRFDQLRWLVREMAAVDGVMPGPQMRAAIATMREAGHIDGTEDFIEELSPNARIGYAVDDVEGEGDSEEEEDDGEVNNDNKDRGNIEKQALKPWLDPRELARALDGWDAREVAELDAAGIVWTPRLVCKLLRAFKKPDTAWEFFCWVACRPGGFAHDRHTVARMVAILARAGHVELVERLLAKVRADGILLPFATVRLLIDFYGLSKKADAATRVFRDAESICGPVSRPNLALLCSSLLRTMAKCRRGLDAMELLEEMMARDVLPDLQTFSGLMEHLAGAGDLKGVHRLLGLVRQCELQPDGYMYSVLVRAYCKRERAALALRVFDEMRAAGIAPDAPTKALLVKSLWREGKLREAALVEERCEEVAGGLPEASPGHVWTASAAELKKVLDIYSGCFTQPAAQEGTG >Et_2A_017235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32242517:32246590:-1 gene:Et_2A_017235 transcript:Et_2A_017235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GDGPVEPLSSKVPIPPYELNLYRVAVALRALLHAIFFRYRVVEPVLDAPWLWLTAVACELWLVAAWVVATLPKLSPTNRVTHLDRLASSHDDKKLPGVDVLVTAADAGREPPLATANTVLSVLAADYPAGRLACYVSDDGADMLVFDALFEAARFARRWVPFCRRHAVEPRAPELYFARGVDYLRDRAAPSFVKERRAMKREYEEFKVRINYLTAKARKVPEDGWVMSDGTPWPGNNTRDHPAMIQILLGHPGDQDADGKEMPRLFYVSREKRPGFQHHEKAGALNALLRVSAQLTNGAFVLNLNYDHCVTNSGWLREAMCFLMDPVSGNQTCFVQFPLRIGVDDDGDDRSTSRGAVIFDVDMKCLDGIQGPVYVGSGCCFNRKALYGFDPAFAEDDEDETPAHWSWWCFRTVKERALRRTRSAVPLLDSEDSDEETEAGGTRRLRSYRTALERHFGLSSAFIASALARQGRCGGSEFTAFPAASLLREAIHVITCAYEEQTRWGKEFGWIYGPGGGDGDVATGFKMHARGWVSAYCAPARAAFRSLTRSSPSDELAAASRRAVAAMGILLSRHCPVWAEGGRLPLLQRLGYVSVVTYPLVSLPLTVYCALPAVCLLTGKSIFPENVSSYDSVLLILLLSSVIASVALELRWSRVQLRAWWRDQKLWVVTVTSACLAAVFQGALRACAGIDVRFYTYTTSGSSSDDDGVDDESSSDARRSVRWSNLLVPPATLLLGNLAGAVVAVSYGVDHGYQSWGPVVAKLALAGWVVAHLQGFLRGLLARRDRAPTLAVMWSVLFVSVLSLLWVNLDSYSAPPARSTSQQPVL >Et_2A_016395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24188663:24191585:1 gene:Et_2A_016395 transcript:Et_2A_016395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDAYLLCTEDAAAFFDAAAGGQSSACYTGDDDDEEEECCSTGEEESASIAELIGGEAEHSPRPDYPDRLRSRSIDPAARAESVAWILKVREYYGFLPLTAYLAVNYMDRFLSLHRLPEDGWAMQLLAVTCLSLAAKMEETLVPSLLDLQAITHHSLPNDRYQPHDLLRLINGTSYIFDPQTICRLELLVLTALNWRLRSVTPFTFIDFFACKVDPGGRHTRCLIARATQLIMSAIHDIEFLDHCPSSMAAAAVLCAMGDTPSLKPISPGIVVNWCIGLAEEGISSCYQRMQQLVIGNVQRSVNLCNSDEVLTSHSSSSSSPPPPKRRKKSQPGT >Et_4B_037778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23214323:23214960:-1 gene:Et_4B_037778 transcript:Et_4B_037778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHCGTPTTAPPARPCIPLTAPHSGALPFPAAAPAPAVLRQPRLATSNGAARADRAVCRVRRRVRYEAEDEEDGEEWGHNEELASLERYSEDARDQALLVKARVDDEVEVVLVFRGFSSSLSGGTAADLSMSVLPERAIIQSVDVVKGPFDPNNIEYLEKGVEWNDFKSRLP >Et_1B_010369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:148659:151160:1 gene:Et_1B_010369 transcript:Et_1B_010369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSSQPDNFSASQITSSQNDSATPSKMRGASSTMPLTVKQIADAHQSASGEKGAPFVVDGVETANIRLVGMVNNKAERPTDVSFTLDDGTGRLDFIRCLKGLKERKRATAFSIRPITDFNEVPLHFIQCIQMHIENTKSKVGSPAPTSSFVAASVSNASSGSGTPTSLKSNLAPVSSATNGSDSGTNGSDTDLNEQVLKIFREPANVESEHGVHVDEICKRFKLPADKIMEAIKYNEDIGHIYSTIDDFHFKSAFTE >Et_1B_011701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25127481:25130062:-1 gene:Et_1B_011701 transcript:Et_1B_011701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSEAMIEKMQLRQSYRNVWHTDLTNAATADLPWCCLSLWCGPCVSYMLRRRALYNDMSRYVCCAGYMPCSGHCGESRCPEVCLATEVFCCFGNSVASTRFLLQDEFNIQTTQCDNCIISFMFLLQQLACICSLVACIVGNSELSEVAHVISCMSDMVYWTVCSCMQTQHKVELDKRDGNLGTMSVPPMQQMSRFDQPYPPNVGYGYRNT >Et_5A_040639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10786182:10787567:1 gene:Et_5A_040639 transcript:Et_5A_040639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHCCSKQKVKRGLWSPEEDEKLVRYITAHGHSCWSSVPKNAGLQRCGKSCRLRWINYLRPDLKRGTFSDQEERIIIDVHRILGNKWAQIAKHLPGRTDNEVKNFWNSCIKKKLIAQGLDPKTHNLLPASKSLLRGANPSSAAQSFHHSNGGGATATPPFTISSPTKSAFDALAVTPPLPEIAPPLYDVVVPNHNNPGMMLMRQQHDQAAAIPPGFPYPDNNGHGVLMSFRDQNAGGMQASMDFVNGSSSSASSMDHAVGGGMHNGNGFGQGMHAAAFMDDTAAMWAAAVDPGMGAGMEVVQQQQQPPAPPPAAHGLVQGDVMNNGGGGAVVADKGLDMMDVSSVYSGVGANAAFDLELMESCGFFGGGAGGNAMDQLQWDC >Et_8B_060551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20853651:20856160:1 gene:Et_8B_060551 transcript:Et_8B_060551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGRDEVVAAGGRLISLRWRYRRASGDKLRNRRELDQLGGELLLLVRLDDDVGGWVGVAGEHPAGGDLVVVEEALVGLVDGAGDDLAGAGGAGAGAAGVGQVNPFLLGLVEDVDIVGHLELELAIGGDELHVVACARSLAPRGMAVAGSATVAGAAGATRREKADGARRLLKAVAISLNLR >Et_4B_038733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4444505:4448618:1 gene:Et_4B_038733 transcript:Et_4B_038733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLFQGAFPQPSRDLPETNQRHHHPTTSYYCSCASKNSSSVLGFPPLRSLELPCRRRLTALPSSGAPFAARTPSFSGNMKLRTKRPEWKSLMPLQLSRKSAMRFFLFPKVQSAVQSPDDTPVYLNVYDLTPMNGYIYWAGLGIFHSGIEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFRFRKSILLGTTCLDPIQVRQFMELQSVNYNGDTYHLITKNCNHFCKDMCFKLTGNKIPKWVNRLARIGAICNCLLPESLKISPVGHDPNSQSEDSDKRRLRNPFSCFSSISSQRQLPSSSPFPPSPVKDRLPHSSSRKSSTASLRHR >Et_6A_048162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8047094:8048810:-1 gene:Et_6A_048162 transcript:Et_6A_048162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNNAVSALQPGLREAAAASYKGAVVRAVDFRGEEEPPGPVARATFSFHSIANLLGSSSPITRQTAASTSSRAVPSLTSTPTRHTVQASLALVCWSAAIGQHSIGTPAQRLSSIEFHPQCVRKAPTASCRSTTSCGHQLVTRPLAAVSTRNPAGRACWSFLITHRKW >Et_8A_056787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16845344:16852770:-1 gene:Et_8A_056787 transcript:Et_8A_056787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADNTDSSITSSGQGHTVCVTGAAGFIASWLVKLLLQKGYTVRGTVRNPDDNVKNAHLRALDGAAERLTLVRADLLDKESLDAAFQGCEGVFHTASPVTDNPACTSICYSGQQLTVQRWLTDMQEEMIEPAVIGTRNVINAAADAGSVRRVVFTSSIGAVYMDPRHGPGAEVDETCWSDLEYCKDTKNWYCYGKTVAEQAAWELAKERHVDLVVVNPSLVLGPMLQWSVNASTWHILKYLDGSVQTYANAAQAYVHVRDVAAAHARVYEAPDARGRYLCAGLTMHRGEVCRILAKLFPEYPVPTKCKGGAPETSMGCRFSSRRLTEELGVEVTPASLCLYDTVTSLQQKGLLPRPVAAEVDPCNEKMIEPAVTGTRNVINAAADTGSVRRVVFTSSIGAVYMDPRPGPGAEVDETCWSDLEYCKNTKNWYCYGKTVAEQAAWELAKQRQLDLVVVNPSLVLGPMMQSSVNASTWHILNRK >Et_5B_044117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20122229:20125642:-1 gene:Et_5B_044117 transcript:Et_5B_044117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDKKGHAPFPKATKLSSAAVRHKRSKSDFEDKDAKIPPRSSQKAANQPKLILQLEMHLKDQQVVRGALEKALGPDPAPVTLQNESPMLKPATQLIREVATLELEIKHLEQHLLTLYRKAFEQQQQQQTPTLPSSDAHKEASKLSVSSWSSQVEETPKAKAPLRRGGDPMLHYSCPPLTKGRHGSVDDCSPSTCPRRTMDADIGLRSQSALSFRGVCSSRISPTEDSLARALRSCHSQPFSFLEEGEATTSGVVSLADYLGTNVADHIPETPNNLSEEMVRCMAGVYCKLADPPLVHHRPSSSPTSSFSSTSVVSPQYLGDMWSPNCRREATLDSRLINPFHVEGLKEFSGPYNTMVEVPSISRDSRRLREAEDLLQTLETVDLRRMTNEEKLAFWINIHNALLMHAYLKYGIPQNHLKKTSLLVKAECKIAGRTINAAVIQGLVLGCSTHCSGHWLRTLLQPRIKSRASKAGSEWQAFAVNQSEPLLRFALCSGSHSDPAVRVYTPKRLSQQLEAAREEYIRATVGVWKEHRVLLPKLVEAYARDAKLSPERLVDAVQRVLPESLRTAVQRCRRGGGRSSGKVVEWVPHRQSFRYLLARDLAFPHLS >Et_4B_037408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19547285:19555951:-1 gene:Et_4B_037408 transcript:Et_4B_037408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRADFKLRLAADMGLLELPLTSTFEMRFKTDNEDEWPPEAALLGAAYSGNVPRLKEIATRLAAEGRGVASTVRRTSFDGLNALHAVAGGKGELPMCRYLVEEARMDVNKRDAAKGKKLTPLQHAVSAGNLPAVRYLLDKGADLHLASYEKGQEGVTALHTAAEKGRSEIAEYLLSRGAHVDGESCRLTPLHIAVTGGHDSTVKVLLDHHANPNKEVFLSTPVNIALSTPSLPCLKLLILAGAEVNGIRNPLARAARDGLTEAVKCLLEAGANPNIPNLYGELPIEVAAYYGTREDVEILFPFTTPISSVTNWTVDGIISHIKLERKQLEDADFVEMKKSELRRQGDDAFKKQDYINSSAFYTQAVRIDPYDATLFSDRSVCWHRMGDGKRALQDAFKCKLLRPVWAKAYYRQGAALMLLKGGNGTEECQHCSGLEKTRGSVMFDYLTAKLMLLLHLLATDVPALCADNLYKPHSVVSSCLETGGPDGYNDMEWRLKF >Et_9A_061898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17547905:17551642:-1 gene:Et_9A_061898 transcript:Et_9A_061898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYEAVRDIGSGNFGVARLMRNRETRGLVAVKLIERGHRIDENVYREIVNHRSLRHPNIIQFIEQICHRDLKLENVLLDGSPAPRLKICDFGYSKSSVLHSRPKSAVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQDDPKNIRKTIQRIAALQYKIPEHIHISADCRQLISRIFVGNPLRRITMREIKNHPWFLKNLPRELTEAAQLVYYRRDNSVPTFSDQSTDEIMKIVKEARTRPKSPSSGYGYGDECSDEEGTAEDNEPRKEEEEDECDKRVREVRESGELDMASLRI >Et_3A_027252.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:5909633:5910064:-1 gene:Et_3A_027252 transcript:Et_3A_027252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRICKPKTKSFWILVRRLLLCKNRKPPAPAAEEEKSGLLGRNSLEKLLLTDGANKGEAAAGGACRCTLACAKKHGQEPLDSLLPARLHRPVMARSESSFSAAAAGGGRDGAPVHRRFMFGGFRRRLLMRRPWRPMLVAIPE >Et_3B_028076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:118434:122075:1 gene:Et_3B_028076 transcript:Et_3B_028076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAVLWFLRTTLLLITSSHLAAAAVSNKDPAVPPFSKLRCPDRCGNVVIPYPFGIGDGCFADWPESGSFSITCNQSFSPPKPFSGNIELINITVETGEMRVVSPVSYQCYNSSNTTESDVTGWSIDLGPSFLIAKRRNKFTAIGCNTVAFLEGSEVLTGCISSCNSLDAVSDGEDCAGLGCCQMGIPRNLSSIEVSWGDSNNTYNPAWEYSPCSYAFVAEENRYRFSRDNLRNKSFITSNVSTTLPLVLDWAIKSDGSCRVGSGTSAINACASENSICVNATQGNGYLCNCSEGYQGNPYVPGGCADINECKLNRPCFKHSTCQNTPGNYTCKCKFWYRGDGKTQDGCQPIVPVSVQATVGEHSIFKKLTVVFIKAIINVLALFSCCTFFKRTCRFGRWLNMLFVFLYAATFVIVALACVAIVLFERRKQRKCFSKNGGDILMGMGIDIFTEREVKNITNGYKKNIGGGYFGKVYKGTIKGTQQVAVKRPHEKREAPPIEEFKNEIIFQFRINHENVVRLVGCCLETDVPILVFEYIPKGSLHEVLHGSAGKPPYALSVMERLDIAIGSAGALAHMHSHREHKHVHCDVKPANILLDDDLKPKVSDFGSSKLLSADKYAKYVAADGTYVDPMYYKTKRFTVKSDVYSFGVVLLELITRRKPRDGDSILTIEFKKSYRNQGNGREMYDPEINSESQCYMECLDMVGALAIQCLKEEDVDERPAMDEVLKKLEQAKSIACGGPRSEAS >Et_6B_049643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7834124:7840099:1 gene:Et_6B_049643 transcript:Et_6B_049643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPVVSMEVTDEMLKSMEVGLAFRDYNGRISSMDFHSKATNYLVTASDDESIRLYDVQNAVCLKTINSKKYGVELVCFTDNPTLVLYSSKNGWDESLRLLSLNDNRFVRYFKGHLDRVVSISLCSEKDNFLSASLDRTVLSWDLRADKAQGLLRVQGRPAVSYDDQGLVFAVAYGGHIRMFDARKFEKGPFEIFSVGNDDSEAHVIKFSSAGRRLLLTTKAGRVHVLDSFHGNSIATYNVKPVVTNSTLEASFSPDGNHIISGSGDGSVYAWNVRSGKVARWGSTDNEPPLVRWAPGSLMFLTGSSELSCWVPDLSKLGSVAISK >Et_9B_066018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20683724:20686104:1 gene:Et_9B_066018 transcript:Et_9B_066018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMDKLKIFVVKEPVVAASCLIAGFGTPPFLLLRYTRTLADGGYTGKSHWGRRGPSYTAGLFLPAVVRPILDSWETAEKVPPPPLNDVVAGVTGKKN >Et_9B_064226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12817795:12821089:1 gene:Et_9B_064226 transcript:Et_9B_064226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVAGFDPFLLLGDPCGGCCGQIYTKVGVVVTPADLLSAEMTDVCSWWSCFGLPIVGEQCLWDFDCSFFECHGLPPVPLRELLELMERIAVVVVYNGWWLTAMESEHYALCPAHSCYLRDPETGVEPGQMELFKTTHSKEGQWSSEMSHSIYNATARKLSLEDSDESGEQESRCTSVPTAKEDLAFQETYKETSGTKSTKSHGHGYLANPTKNKLIKERIKEQEREVQNLNKQLAKAAADKEADKAAFKAEMMEEMKAMMAQNMRQEVTHETNNATQDEARIPEENNIVTPMHGQETPNQLTAH >Et_6B_049404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4463069:4470830:1 gene:Et_6B_049404 transcript:Et_6B_049404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGPPNQMGPGQPAASLLRTSSSLLNGGQQGMGGGGSMLSAQSPFSSLVSPRTQFGGNGMLGGVSNVSALLNRPSFGNGGPMPGQGSMPGGGMAMSTLQQRGGLDGASDFGGMGGITISNIGETLSMSILRQLLKRGGVYLCMEVVVKLLEFSLRMYGIAKFAIGNLAVALTTVEVLPRLCQIKYASGTLEELLYIDMPRESQNASGQIILDYTKAIQESVFEQLRVVREGHLRIVFNQDLKIASWEFCARRHEELIPRRSIIPQVSNLGSVVQKYQTAVQNSTSLTPQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDHSRQTGSGPIGMAFLEFFSLGGGGGVHPRPVPFHPQQQQPEEQQPVPQSSNQSGQSSAPMTGVQVSASANGDATSHNSLNCAPSTSAPSSSVVGILQNHQINNTSGSPYNGGGNTSIPRVSSTNSLQSNPSTSFPSPIPTSSNNNMMPAPQNTNQLSSPTTSSSVPPMQPPAPRLQEAEPSESPSSVERILQDMLMSSQMNGVSQSGNDVKRPNGLAPGVNGVNCLVGSAVTNNSGMGGMGWEVPCVASHRYMYRITSIGKVNVWCPIMWT >Et_7B_054264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18857040:18862195:1 gene:Et_7B_054264 transcript:Et_7B_054264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAKSSQLQRRRCVADLAVLLLLLLAGLTGLTQDVAKTISDSFSFCVADPVEDWNEAFNYTSNLGFVDQCLVETQGDLEQRLCTPAEVKFYFSSLYDKDGEKNLFLKTNINCNRSSWVHGCDPGWACALDPSLPPSKSDNDNIPLRTRTCQACCEGFFCPRGLTCMLPCPLGSYCPRATVNTTTGLCDPYKYQITPNSTNGCGGADMWADFGSTEDVFCPAGYYCPTTTKKLSCTSTIADWVPPLKRAALCLLLLIIYNCSGQFLTIRERRKARSRENAIQLARQQLKAHEGWKVAKQLARRHMHGMQGHLSRTFSRRRSFRLLTDQENSSRSVHEAPLMAKTQELSDSAVFAAESTNEITEAMPSVIVDVSEDGEIVAPKDKPAPKGKHRSTHTQVFKYAYGEIEKEKFQQQDNKNLTFSGVIAMVKDQQKEITRPLLKVEFKDLTLMLGKKKLLRSITGELRPGRVTAVMGPSGAGKTTFLNAVTGKVNGYKTTGSVLVNGKNVNIRSYKKIIGFVPQDDIVHGNLTVEENLWFSAKCRLSAKMNQRDKVLIVERVIDSLDLQGIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTSGLDSSSSQLLLRALRHEALEGVNICAVVHQPSYTLYNMFDDLILLAKGGLMVYNGPVKTVEEYFTTLGINVPDRVNPPDHYIDILEGIVKPESGTIAKHLPVHWMLYNGYEVPSDMQDDLKAIGDRSPQIRSSPSLSDSTPHCLPRVRNAFVEERDRLEHHLSKPKDLSSRKTPGIFRQYKYYLGRVTKQRLREARLLAVDFLILGLAGICLGTIAKLSDRTFGMPGYIYTIIAVSLLCKIAALRSFSLERLQYFRERESGMSTLAYFLARDTVDHFNTAVKPIIYLSMFYYFNNPRSSIGDNYVVLLALVYCVTGIGYTFAICFSPGSAQLCSALVPVVLTLLSTQKSTPTLLKRLCYSKWALEGFIIGNAKKYPGVWLITRCALLFGSGFDIHNYKLCILILFMYGLFFRMLAFAAMVLLKKR >Et_2B_021325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28673126:28674779:-1 gene:Et_2B_021325 transcript:Et_2B_021325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTYSLIAVGLSLARTITGPSVKTTLTGTEIGVDVTEAQKVWLTFQALGNIAFAYSYSMILIEIQDTVKSPPAEHKTMKKATLFGVSSTTVFYMLAGLLGYSAFGNAAPGNILTGFGFYEPYWLIDFANVCVVVHLVGGYQVYCQPIFAFVETWAAARWPNATFITREHPVANGKFSFNMFRLTWRTVFVVVSTVLAIVMPFFNDILGFLGAMGFWPLTVYYPVEMYIRQRQIKKFTTKWMALQGLSLLCFLVSLAAAVGSIEGVTESLKHYVPFKTKT >Et_5A_042058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5828220:5828586:-1 gene:Et_5A_042058 transcript:Et_5A_042058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTDGGRKGRRQPADPRLVPAMSLCNYVVPVPAPPFPSNDARPLHPPHDYTRSADVARQCQSVLSSAAELKVEADGVHVLMHQLSFANGDWRLRPVGGSKKR >Et_3B_029427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24933012:24933814:-1 gene:Et_3B_029427 transcript:Et_3B_029427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGAYPACLPSSSRPLPGPSCGGSIGVFPRGSVGRRAPAGRGGATSVRAIDGPSAAAAVAAATDAPLPPPQVTWQIVVGAVAGATPFLVAGVEFSKRIIAQKKCEVCGGSGLVPKKDYYVRCQGCGGFLPWQSWRRFFTG >Et_5B_044426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2404335:2404951:-1 gene:Et_5B_044426 transcript:Et_5B_044426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIRVKRNKNTYFIQCEPTETALNIKQKLHSLIDQPPSNQKLVLLATNDVLEDSKTLADQKVENDAVVALALRKGIVVIPHDLVSTFVTLYLLFVISYLSFK >Et_10A_000740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16257928:16262442:1 gene:Et_10A_000740 transcript:Et_10A_000740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDPDYAYFLSHLRLEGDSYVLEIPSEDGTSPPVVIRYEKEDETLDGEPPLEEEIPSGAVPSPAVDHLVDPAWYDDPDMDESYRLYLMTMYDPSEDAWPADNNERQEHEEGQMGAALPADDLMENIEGVIWPEHINQRPDSDFKRRLIQVLVKGVGEDYCELFDMATHRSPVQKLRETRNSTTPYNTDKMGISYSDHYPDLSIQIMNSTSRSHGLALLRGLFFWLQMETIEGVIWPEQINHRPDSDFKRMLIQVIVREGVGEEYRELFDMATHRSPVQKLRETRNSTTPYKTNKMGISYLDHYPAKLSGDGLLPRRAHHRAPPPRPVPEPPPRQQAITGEAGRVEEIAVAVQARRVDEIAVKIHHPTGSSPRRPAVRSEGRLRGSPALGRPAAWRTSPSRSTAPPGLAHSHGSSRSTCSEGSRLCCER >Et_8B_060624.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3650438:3652429:1 gene:Et_8B_060624 transcript:Et_8B_060624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVFKLSCSMALLLWLVLLLAAALAPCKAFYLPGSYMHTYSQGELIYAKVNSLTSIETEMPFSYYSLPYCHPQGGIKKSAENLGELLMGDQIDNSPYKFSVNVNESLFLCTTKGLNENDAKLLKQRTRDLYQVNMMLDNLPVMRFTEQNGITVQWTGFPVGYSPAGSSEDYIINHLKFKVLVHEYEGKKVEILGTGEEGSGVISDLDRKGMSGYQIVGFEVVPCSVKRKAEDFSKLNMYDSIDPVDCPMELQKSQVIRQQERITFTYDVMFVKSDIRWPSRWDAYLKMEAGAKVHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPSCSKLLCIMIGDGIQILGMAIVTIVFATLGFMSPASRGMLLTGMIILYLFLGIAAGYVSVRFWRTIKGTSEGWRSVSWLTACFFPGIMFTVLTILNFVLWGSKSTGALPISLFFTLLALWFCISVPLTLVGGFLGTRAEQIEFPVRTNQIPREIPARKYPSWLLVIGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVMLLLVVVCAEVSVVLTYMNLCVEDWRWWWKAFFASGSVALYVFLYSINYLVFDLRSLSGPVSAMLYVGYSFLMAFAIMLATGTIGFLTSFAFVHYLFASVKID >Et_9A_061399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1141261:1142158:1 gene:Et_9A_061399 transcript:Et_9A_061399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GSGGDRVEGGNKEAGGGGVESPPESPGGDAGADPETLLLVSGQVIWMFGSQCTKRPMEHGLFQMEKKYWYKSSLLTVLSITKHLFCAYLFYQSSCSETEQERTASAAVPLAEHFALVLGRKPNHGVGVPAVNQRCSRKAQASCTSSIAREHADNDREHAAALEEVQSYEMILNSLNVKNFLPKGGLWKRRALDGSEIGGEDECIGTHCGSSYFCTKLHIWQHA >Et_9A_062682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3074882:3080454:-1 gene:Et_9A_062682 transcript:Et_9A_062682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQERKTIDLEEGWAFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPNDYSQQLYDKYRESFEEYITSMVLPSLREKHDEFMLRELVQRWANHKVMVRWLSRFFHYLDRYFISRRSLTPLKEVGLTCFRDLIYQEIKGQVKDAVIALIDKEREGEQIDRALLKNVLDIFVEIGLGQMECYENDFEDFLLKDTTEYYSVKAQSWILEDSCPDYMIKAEECLKREKERVGHYLHISSEQKLLEKVQNELLAQYATPLLEKEHSGCFALLRDDKVEDLSRMYRLFSKITRGLEPISNMFKTHVTNEGTALVKQAEDSAGNKKPEKKDMVGMQEQVFVWKIIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGCSEKLSDEAIEDALEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFVAGHPELNPGIDLAVTVLTTGFWPSYKTFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFDTKPIELIVTTYQAALLLLFNGSDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILNKEPANRSISPNDVFEFNSKFTDRMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVMGHQQLVAECVEQLSRMFKPDFKAIKKRIEDLITRDYLERDKDNANMYKYLA >Et_7B_053697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11169998:11170742:-1 gene:Et_7B_053697 transcript:Et_7B_053697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGHRREARRFTSPSPTTGRGGDRTASGGGSGAEAAELDALASDSPSGASLSFQHRRRTVAVTLAQHPLLHSSSCFSERTAPKHDTFGDTGSAAAPTASLAKTLAPAAGRRPQPRRGARRSCATTLPAGRTATTVAALPAGSLLGPALGAPARAAPSAAALGLELPLVEPSRRSAAPNSTARPTPSQPETGSVAAVSHATFDLDSPPLTQAS >Et_8A_056125.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23386647:23387309:-1 gene:Et_8A_056125 transcript:Et_8A_056125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRIAEELTFDRKTMDMFEREDEEDDFRGVDPASRDVIRDVAVVTNQIMRMTRSMIIFLNGSDEEVVLSRFGVTEYNDNIIIWTFTRRFPTKGLPSQYRYRTKQIASKLRYTNIFIYGFQWYYGLREARRSELSALVREEAASIIARHSCMQEDDLALIIDCYLYVLFLHHTSFYKGTTWLWANHDANYWISDGIVQGDRTREISKTLYQEISFECDDA >Et_4B_037247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17115283:17124879:1 gene:Et_4B_037247 transcript:Et_4B_037247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSQQPDSTQNANGEFELEMAAKEHQNEATEAGESCEKLLLDSSKVGALKRREFINNLLKVGVMLPAIEVKYENLCVKAESRLSGGNHLPTLWNSIKGVFSSTVKMLGLKQDKVHMNILEDVTGIIKPCRLTLLLGPPGSGKTTLLRALAGQLDKSLKVTGNISYNDYQLNEFVPEKTAAYISQYDLHIPDMTVRETLDFSTWCQGIGSRADILDEVIKREEETGIIPDHDIDLYMKATAVGASEKSIQTDYILKIMGLEICADTMVGDAMRRGISGGQKKRLTTAEMIVGPAKAFFMDEISNGLDSSTTFQIINCFQQLTSITERTMLISLLQPTPEVFDLFEDLIVMAEGKIIYHGPRNEAHYFFEKCGFRCPERKGMPDFLQEVLSRKDQRQYWSGTNESYRYISSHQLSSMFKEYHQQGELANKSATEKRKLGKESLSFNKYSVSKLELFKACRVREALLMKRSTFIYVFKTAQLSLIALIAMSVFFRTRMTTDLTHANYYMGALFFSIFMIMLNGTPEMSMQVARLPSFYKQKSYYFYPSWAYAIPASILKIPISLLDSLVWICITYYGIGYTATVSRFFCQFLILSLVHQSVTSFYRFIASYAQTHILSFFYMFLGVELFLVFGGFILPKPSLPGWLSWGFWLSPLTYAEIGTAINEFLAPRWQKETIQNITVGNQILINRGLYYSSYFYWISVVALLGCIVVLYIAFGLALAYKRPLEAYHESMPRKSFSEGQEEEINTEKGFSDHINMPVEGTMAMPIMQLAVTFRNLHYYVDTPPEMLKQGYSARRLQLLHSVTGAFRPGVLSALMGVSGAGKTTLLDVLAGRKTGGYIEGDIRIGGYPKVQETFVRIMGYCEQVDIHSPQLTVELGFVYHLRLMGKKDEFVDEVLKIVELDEIKDALVGIPGMNGLSLEQRKRLTVAVELVSNPSIILMDEPTTGLDARSAAIVIRAVKNISETGRTVVCTIHQPSIDIFEAFDELILMKNGGKIIYNGSIGEHSCKMVEYFEKIPGVPRIKRNCNPATWMMDVTSVSMEVQLNIDFASIYEESLLHRQMEDLVKQLSIPQPNSENLGFLNRFQQNSWVQFKACLWKQNIAYWRSPRYNLSRIAMTTITALIFAVLFSRHAKILNNEQDVFNVFGAMYLGVINLGVYNDQTVIPFSTTERVVMYREKFAGMYSSWSYSFAQAAIEIPYVFIQVLLYTIIIYPTIGYYWTAYKFLWFLYTSFCSVLSYVYIGLLLVSITPNVQVATVLASFFNTMQTLFSEFILPAPRIPKYWIWLYYASPTSWILNALLTSQYGNIDKEIKVFGETKSVAVFLNDYFGFHQDRLGLVAALLIVFPFVFVVLFSLSVEKINFQKR >Et_10B_002973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14886207:14887037:-1 gene:Et_10B_002973 transcript:Et_10B_002973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PCLLKIKFSKIFSICWEQHQTVAEIVVEDEWKALSNEATQTKVELILERFKEYFEAEDSRSSSSCAPRLGPI >Et_7A_052419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7827001:7829925:-1 gene:Et_7A_052419 transcript:Et_7A_052419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFRQAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFIGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVAAVPYGGAKGGIGCSPGELSRSELERLTRVFTQKIHDLIGTHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLAEYGKSIAGSTFGFGNVGSWAAQLLHEKGGKIIALGEVTGSIRNEAGIDIPALMKHRTEGGALKDFHGAEVMDSSELLVHGCDVLIPCALGGVLNRENAPHVKAKFVVEAANHPTDPEADEIFAKNGVTVLPDIYANSGGVIVSYFEWVQNIQGFMWDEEKVNMELHKYMNSAFHHIKAMCKSQDCNLRMGAFTLGVNRVARATLLRGWEA >Et_10A_001549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4968195:4971432:1 gene:Et_10A_001549 transcript:Et_10A_001549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQSHGKNGEKETNLFQRMIADQIAGDHGLDEANAPCNTPRNSVYSAFSGLSGRAVADASGSRNTDSVSSSEHVRDPGTILSLQPWIFRKSGRRNTDSVSSSEYVRDPGTILSLQPWIFRKSVSQKKEKGVSSRVVGKGKNLVDGFRDGQTVEESPRCHGLGGSGPGRGCGAFRSIRSRSHLIEPLVQTENSYIPQLYSENFEIEECTLAPVPSPASMRPFVVTDGRRVISKSCYKPVPFNIEFDKEEITNGSRILGGVIGVTPLPDLKKRKKEGREPHNARLGLSGTSKSSIPSGQAGLHDRMHIFSTGVTFGILSSSLSNKKECDSLKGTLKRMENLVQDLQDELEMKEGLIVKELPNEKSSDHEDNNSKADIADSEPMSKIEAELEAELARLELNITSKHLEEETSDFDEEFIGDIVQGELKVDMIRRDLTDYSTESDHVRDSRESPPDCTNGANYPVSPRDLSIRLHKVIQHRLEDRIKELESALGQKQKQTQLQMMATEQIFSDRICSNSESGSSSNQASPLFIQETSSLAEPYCLNLAGDALEAYDEAYEEFMRIADSPGTTSTNEKPLVNEDYLVDRGLIWGMEEDTVRKLKEVPTWEHILKSVDPNRAHVNDADDEDETDDDDQDSKVLIQQILERTKQGSPVLINAQKLLFSVDQ >Et_4B_037462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1989921:1999715:-1 gene:Et_4B_037462 transcript:Et_4B_037462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHDTSTIFVVISVVSKEQIISLSIYFASTQSNPCAICLGGMGAGGGQAIFTAECSHTFHFQCISASVAHGHLVCPLCNAQWRELPFVPPTQPLTTVPPTLPQRPMHFFVQPPPQPAQAEVFDDDEPVEPPAGRQSERQAEAATRGALVVKTHTEYSAIARDSSHENFAVLVHVKAPGIPDGDAQRAPLDLVTVLDVSGSMSGEKLALLKQAMGFVIGNLGPNDRLSVVSFSSGARRVTRLLRMSDTGKGVARSGVESLVARGGTNIAEGLWTAAKVLDERRYRNAVSSVILLSDGQDNYTMRQRSHGPAPNYEALVPASFMGGAVTGDRTAPIHTFGFGTDHDAAAMNVIAESTNGTFSFLENEAAVQDAFAQCIGGLLTVVVQEARIAVACAHPGVRVRSVKSGRYESRVDEGGRSASVRVGELYADEERRFLLFLDVPPAEADGEAETTLIKVSCSYRDAAGGMDVDVTAEDTVVARPEQVVDAERSMEVVRERLRVEAAEDIAAARAAAERGAHQEAVDILENRQRAVAESEAALGGDRMSLALGIELEEMRGRVATRESYARSGRAFMLAGINMHAQQRASSNHVRVRMKRAILPSRSRGSTAAVMQDGAAPPDGLADEADETSSSYATPAMRTMLLRSRMAREAPVQQQEQPKKREALDQRKHLCISVPAPQRAIEDAPPLSSSLSECKSERTTASILRRTSSGSRSTQKLCAICLGGMRTGNGQALFTAECSHRFHFHCISSNVKHGNLICPICRAEWKELPGAQPADANHGRARVSPLDWAQAEGHMAVVRRLSHRYSGNLQELLPVFHTPEAGIFDDDEHIDLQSDTVDEHNTVTGSVEMKTYSEFPAIQESVTKEVFSILIHLKAPKASHSASTRAPLDLVTVLDVSGSMAGDKLSLLKHAMSFVIQTLGPNDRLSVIAFSSTARRLFPLRRMTLNGRQQALQAVSSLVASGGTNIADGLRKGAKVIEDRRLKNPVCSIILLSDGQDTYSDRTQLSYRSLVPPSILPGAGHHVQIHTFGFGSDHDSAAMHAIAELSSGTFSFIDAEGSVQDGFAQCIGGLLSVVVKEMRLDIECVDDGVLLTSIKSGGYTCQVTENGRSGSVDVGDLYADEERGFLVTLHVPAAHGQTLLIKPSCSYQDTITMENMHIQGEEVSIQRPEHFVDCKMAPEVEREWHRVQATEDMSAARAAAERGAFAQAVSILEGRRRILESQAADSSDNQCLALITELKEMQERVESRQRYEESGRAFMLSGLSSHTWQRATTRGDSTEVTTQIHTYQTPSMVDMLQRSQVLVPPSAETLNRSPTVAPSNRSSHRPSRSMKSFFERLI >Et_4B_037371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19062586:19078640:1 gene:Et_4B_037371 transcript:Et_4B_037371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SDAGEHPGQVSVSGVRSVSLYELGTISNLSYSISLPTRANSIRCVSLELWKLAMASTVTASDLSLHISPPSPLDVRSSGEGDACHEMGHNDEPNLCLGLDTATAMAPQDTVPSSLGDTQQQRLHQPGQIQRFKKSSSGSQTLSGSAMRSGNGSGGGKRSSRAPRMRWTTALHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHMSDMGFLRRGCAVYGFDAFNHSTSNATASTRKLSTAGTPGVQEAPSWCLRPPYSQQTALPLPCPYLMSSHHNRYLLAQTQGWRRGTQQATSHCIDQNDTRRLHATGPLEMARRSSWSAAVADQWSSSSTVPYPTMKSRSSSGAEQARMKQQLSSRMPSLEISLGRQEWQDNLQDQQQQQQQRSATTTKELTLLKQV >Et_3A_026334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:696075:703898:-1 gene:Et_3A_026334 transcript:Et_3A_026334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSKKKAKKKKKPEPAPATPAPPAAAAYSLPEPFSPSETLTLAAAAAAAAAAAASETESSGSSGEASTTSAYMASSSGKASTSSSSFFSSSASTASSSAAGDERRDLAWLLDAFGSATIDQVDSAYREAGGDVFVAAGILSSTQPAQPTPQPPPPPDLSPRDGSAGRKAGRRPKKVVCAASGMVADVIGKDYARPAPSPLSVTNEWKGRGGGGSVDCKYNVEEAEQFLCSMLGDNSELGMGVVRDVLGEHFTNCCSSFPFRALDALLDISGVSTRQDSGTRYTNGSHVERNGTHCPNIFPGNGVSMGNFAADNKQSLCQLADQISSMTLQSEVEHDFLWGEPQASYAQAVLGVPRSATLPSRSTASKANPQQVLESLFKIPETRTYEPSSMDWKKVVKKVQSFNSPIESNNQERPKNGDGYCEFRGVAARHYDTMKQYYHKAALAYSKGDKSYASYLAEEGKHYRELARLEDEKASREIFEARNKHITNTVTIDLHGQHVKQAMRLLKVHMMICICMPSVLLRVITGCGSEGTGKGKIKRSVIELVEKEHIEWHEENSGTIVLRLGGPREYGFLEHDSDTD >Et_9B_065366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4300440:4309014:1 gene:Et_9B_065366 transcript:Et_9B_065366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTRPCWRALRNSNQHSGDATVFLHRGDDTGVQLMPAGLRGHRSGSRELAARLLLIEMVVKTLSEAREIDPIDIALLRSYYANKWSLASKVLLLELEFDILFVRLINTRGKPEPGRHHCSILPSLLFHSKRNEAHSLASSGNMACHLRSVSLPSSPRSNKTCVEEELQSLKATISSALATLETVSHDFIKLGSIYSSIDELTCLPSNLRQQRTAVEEELEGSLILLDLCNAIQESFAELKAIIIETQVVLKRGDDAAVQAKVQSYARLAKKTLKQIKKINSKTSADIEGCRVVKLLSEAREITLLMLESTFDLLSKQIAKPTSSKWSLVSKAFQKKRVVCEEEQLQVLELNIVDLELGAETLFRRMIQSRRHCFLHARVLVASPDEANYMPSPSKYSLVFKILQKRRVVRRNRRNWRWTSLILRKSTIINMACHLRSISLPSRLQSSKAAVQQELHIQEAIISFPSTTIITLCDGLRRLGDIYNGVEEMIHLPRNQVRSFQQRKVLDGEMERSLVLLDRCHTMQEIFVELKAIIQEIQVALRKGDDAVIQARILSYNRVVKRTKQDFKKANKKATSESDNTDSAMVNLLTKAREITISLLESTLQLLSKQIEVPKRSLVSKTFHKKKAVVCEEGQLQALECIIGDLESEAGHLFRILIQSRFICAAPSPRSLYKEGRPSREDTIAAACLLFSSISREKKLHSLASFISMACHQRSASVPSSPRSNKTCVEEELQSLKATMSSAASTLETMIHGFIKLGSIYGSIDELTCLPSSQRQQRKAVEEELERSLILLDLCNAVQVSFTELKATIMEAQVVLKRGDDVAVKAKVQCYARLAKKALKQIKKISSKVAADIYGCRVVKLLSEARETTLSMLESTFDLLSKQIAMPSSSKWSLVSKALHKKTIVCEEEQLQVIELNIVDLELGVETLFRRMIQSRVSLLNTLSL >Et_7B_053296.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:2332526:2332949:-1 gene:Et_7B_053296 transcript:Et_7B_053296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVMHVVVAGHTLAVVERDGTHDPATGRALTGSWLWDSALVLASHLAASAPARLRLRGATVLELGAGTGLPGIAAVACLGAARCVLTDVAPLLPGLRANAEANGLAAPTQ >Et_1A_007462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34856275:34861712:-1 gene:Et_1A_007462 transcript:Et_1A_007462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PMWKPAWLEALNTQKFFVACSFHEHAKKNEKNICCLDCCTSICPHCVAAHRVHRLLQVRRYVYHDVVRLEDLEKLFDCSSVQSYTINSSKVVFLKKRPQNRQFKGSGNICTSCDRSLQEPYFHCSLDCKVQYILRQKKDLSAYLRPCKTLQLGPDFFIPHDADDDTTHSTLVDVDEPMGSSDSENFSTPCTNFVRKKRSGPYICARSANRISDDDMATNMSRRKGQFLNTEDGGSILHDKQ >Et_7B_054239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18000187:18004457:1 gene:Et_7B_054239 transcript:Et_7B_054239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQGCVLILLILVSAVALAATELRARPRRGHDPKEKPSIYLVSVHGEPAFAAALFRRGGVVERNATWYRAQKRREARLHDRILRRATAGDGASSSSSSCRKLYSFHHAVSGFAVHATAALAKRLRAAPEVAAVEEDAGTRLMTTYTPRLLGLPGGVWRRDAGMDDDEGEGVVVGVVDSGVDPAHPSFAYAPRASTDDTPGGDAGGRRGAWPFAAGDDDACRAGPMFPPGLCNGKIVTARYFATGAAAVLPLNASRDLSPFDAEGHGSHVASIAAGNRGVPVVVDAVYKAVYPEGGTMVDLIAAIDQAIEDRVDVLVLSVGPDDRPGSKVTFLSMLDVALLSARRAGIFVAQAAGNRGPAESSVVSYSPWVMTVAAGTTGRSYTSRVVLGDGRRVLGLGLSAPTLQHRLVAAKDAAEMERAGECQDAEALRPRAEVLRGSIVLCSFSQGFLNGTSTVTSILDVAEALGFAGFILVADARYGDFVAQPLPFRVPGVMVPRVADAQVIWSYYAEHTVYAGIATVFGATASIAEGRVATFTDAAPVVARYSSRGPDVADNASTPADVLKPDILAPGDQIWAAWSAASANEPFLAGDRFAMVSGTSMAAPHVAGVAALVKRRHPAWGPSTIASALSTTARRHDGRGAAIMAEGFEVVGSLRPGTPFDYGAGFVNPAGALDPGLVVAPEDDDYMGFLCSLPQLSAADVRAATGAACHASPRIASPADLNLPSITVAALRGSLSVRRRVTNVASKNETYLCSVLPPSGVDVTVRPGWFEVAPGGTQEVVVELRATSASGAFGFGEIVLTGSLDHIVRLPLAVRALATTAQM >Et_8B_060160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6600195:6604681:1 gene:Et_8B_060160 transcript:Et_8B_060160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRLAARRRPLPLSRGATPTAAAAAYHFSSAAAAASARGEPASGSVLPDTLDRGSDAYARNTAAVGELLSDLRARVSQVLRGGGAEAVRRNAARGKLLPRDRIDRLLDPGASFLELSQLAGLDVYDEALPSAGIITGIGPVHGRLCMFVANDPTTKGGTYYPLTVKKHLRAQEIASECKLPCIYLVDSGGANLPRQAEVFPDRDNFGRIFYNQAKMSSDGVPQIALVLGSCTAGGAYIPAMADESVIVKGNGTIFLAGPPLVKAATGEEISAEDLGGASVHCKVSGVSDHFAQDELHGLGMGRNIVKNLHLAAKGINMHNSSCAYQEPLYDVQELRSIAPADMKQSFDIRSVIAHIVDGSEFDEFKKLYGTTLVTGFARICGQPVGIIGNNGILFTESALKGSHFIELCAQRHIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCAKVPKITIIVGGSFGAGNYGMCGRAYSPNFLFLWPTARISVMGGIQAAGVLAQIEKNNKKRQGLEWTKEEEEAFKAKVVEAYDKEGSPYYSTARLWDDGIIDPADTRRVLSLCLSASAKPVPEDTKYGVFRM >Et_6A_046811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20974185:20976906:-1 gene:Et_6A_046811 transcript:Et_6A_046811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQEPTTATATAAGAETITNARHSPSPLKLLYHHAISNLPYILLAPAAAYLAHRLSHLTPTDLTTLSSTLHAALHANLAATLSLLFLLATLATAYLLRRPRPVYLLDFACYKPGPTHTVTRETFMRQSVAAGVFTDDNLAFQRKILERSGLGQSTYFPRAVLNSPPNPCMAEARAEAEAVMFGAIDQVLAKTGVKPRDIGVVVVNCSLFNPTPSLSAMIVNHYKLRGNVASYNLGGMGCSAGLISIDLAKQLLQVHRNTYALVVSMENITLNWYWGNNRSMLMSNCLFRMGGAAVLLTNRGGADRRRAKYQLVHTVRTHHGADDRAFRCVFQEEDEAGRVGVALSKDLMAVAGEALKTNITTLGPLVLPMSEQILFLASLVGRKVFGLKGIRPYIPDFKMAFEHFCIHAGGRAVLDTIEKNLELSAWHMEPSRMTLNRWGNTSSSSLWYELAYTEAKGRVRRGHRAWQIAFGSGFKCNSAVWRALRTIDPAKEKDRAGNPWMDEIHRFPVEVPKVETVAAS >Et_9B_065625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8268435:8274186:1 gene:Et_9B_065625 transcript:Et_9B_065625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANIGDPRFTPSVERAFEGLPERGFLGHVTLRAMLVSAVLAVIFCFIDLRIHMTAGIVPSMNMPITVLSFFYLKWLVSLMKRCGIDALSFTRQENTFVVTAVNGCVNVALTGGFATCTVGMSSVVAKTLVDHPNKPDIVENVDTGKYMLFLLLIGLAAVLIIVPFMQIMLIDYRLLYPSGTVSALLVNSFHTPEGADAAKIQVSALLKTFYGSLCWTVFQWFYTAGDNCGFQSFPTFGLKLYQKRFYFDFSTLYVGLGMVCPHIVNFALLLGAIVSWGILYPYIESKRGDWYYEKNPTSLNGANGYKVFIGVSIILTDGLFNFFTLVTASISDFYNKTQEQDSGMASFTTKHPSLSYDDRKRIELLISDQIPRPLPVVGYILCATISTVVIPSIFHQIKFYHVAALYIIAPVFAFCNSYGVGLTDWSAAPTYAKFTIFIISAWIGQPGAVVAGLVSCSIMIAAVHISSQAMQDFKTGYMTLTSPQVMVAGEIYGIILGAIINPCIFYAFKETVKNKLHIGAPHSEFPCPYAGVYRAIGVIGMGGIKELPNHCVAICIVASCITLAIDSLRLVSQRKGWTIQKYIPSMTAFAMPFFTGPSPAVSMSMGSVVKYVWKYFDSQSEELLSAAVAGGLISGEGLFALPTAILTMNKVEPPICMKFLPSGEELREVDVFLGNLASAN >Et_7B_053654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10838300:10842910:1 gene:Et_7B_053654 transcript:Et_7B_053654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVEFIVRLFGDIVLVIRRVESIEVGSQRELCREWIPMYGARLKMTHRYPNESASSSSTSMSSQRSETDDDRMIAMVLTEEYAKLDGAMAKRLTNLTSIPHVPRINTYFPTYSDATMDHYRLLDRLNQYGLCEVRVSGDGNCQFRALSDQLYRSPEHHKHVRKEIVKQWGDHVTLQAAADKFAAKICLLTSFRDTCFVEIVPQHQAPQRVSGQKFTITHCMMPEQTLAWPGPTQRPPHKEKWSGLGGAIKEWALKAL >Et_2B_022255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15469367:15472752:-1 gene:Et_2B_022255 transcript:Et_2B_022255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRIRYKTRASERLVSWKAPDHPSPGPFSYGGDPDTFLQIFVWNGTRPVIRSAPYTGFMVDSEYQTNASSFTYKAVVATEDGIYMTYTLSDGAPHTRYVLAYSGDLMLQMWSRNSSAWVVVRKYPNSKCNQYGYCGRYGYCDNTVAVRTCKCLDGFDPTSQEEWNSGNFSQGCRRKEALQCSDGFLAVPGMKAPDKFVHILNRTSQECAAECTRNCSCVAYAYADLGQNISKSTMDGTRCLVWAGELIDTEKMSETAGRDTLYIRMAGLDAGARPKTIAPRIVLPTGMLGGQEVAIKRLSKDSQQGTEEFRNEAWNMWKEGKTRDLALADPSIIDTSLLCTQENPDDRPLMSSIVLALENGSTTLPMPNRPAYSAHANGEMEQIRGIIIEDSILIRLSKLPREVLGGVVNYGTIRYMTVLVLPAPSCQ >Et_8A_056088.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:18057489:18057858:1 gene:Et_8A_056088 transcript:Et_8A_056088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHLLVAADRYDVARLKLLCQSILCKNLDVQNVATTLALADQHQCDSLKDACIEFMSCLSTMDDLVATQGYQDLIKTSPSILADANERIAKLHKY >Et_8A_056595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13028535:13031868:-1 gene:Et_8A_056595 transcript:Et_8A_056595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSYDIAAALAEFHESGGGVRHLVESCITLVQRLFLVPDSLLPPPAAVADFATPTVDLFLPRSNTIALIGAAARSSGFFHVTNHGVPASTIATAISAARAFHERSAFYSVTPVSAVAYSTNPHPQLNPPALPWRDTLSLCFLPPEPDLGSLPPTCRDALLEYHRSLMGFGRSMTALLSEALGVGGERLEQAMQVEARLMGSRAGLLVQDSVGGLQVRQQHCDDAGGDGDWVDVAPVTGAILINIGDVLKVCVISYNPNAVAFAGCGRVHVNPLRVVSNEEFKSVEHRVMVKSTQFVRVSIALFFNPAKCDVSDLFGPLPELVTDERPARYQRITFPEFMNFRSVSGHGRT >Et_5A_040605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10194913:10199042:1 gene:Et_5A_040605 transcript:Et_5A_040605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQVWPAASNAQDSRRAPVGCMIRRRLRPSILSMWHSVAVAVAAMVDTETPAVGDMDASCKLIGSWHRKRRTTRAVYFSSIFANCLNDEAYKSPKVFHVEFKIETDMTTRTDLLRWYNLTPVPTKNCQSPSPLPPDSGAQTPPTSPPHPTSLAGAGVGVARHFPACHLSSARAPALPPRMQLADCRLISTKP >Et_7A_051159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1581613:1583597:-1 gene:Et_7A_051159 transcript:Et_7A_051159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSKTVPQEQLPSEDLHPRPMPVINLGHLSLDSATRSGVVDDIAIACRDLGYFQVINHGISQSIMDTAVEAATGFFKLTSETKEAFASDDLRQPVRYDTSSKDSISMSRAFLKHYAHPLKDWIQYWPQQPPIYGEYMGRYAVEVRRVALQLMEAILEGLGLNKEYLQEKFQEGLQLLSVNSYPKVSQGDMTIGLAPHSDYGFLTILLTSCQGLEVLDRSSDSWKTVQQLPHALHVHIGDHMEVLSNGRIKTVVHRAVLNPEEARISIASIHGFALHEKVVCAKELVDEQNPQKYRESSFSDFLDHLTANMDNKHRNFLESLSVRTKEDN >Et_2B_020491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20781978:20785263:1 gene:Et_2B_020491 transcript:Et_2B_020491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTALKAFINSPVGPKTTHFWGPVANWGFVLAGLVDMNKPPEMISGNMTAAMCVYSGLFMRFAWMVQPRNYLLLACHASNESVQLYQLSRWARAQGYLEKKEPEAQQ >Et_2A_014864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19098375:19099523:1 gene:Et_2A_014864 transcript:Et_2A_014864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLGGGGGHHHHSRDDPAVPPVVHPSDAASFLYATRATGAGLQLWQQHEPQHHHPFYASTNIIRFASDDSPGAGGGGPSGGGGVGGVSCQDCGNQAKKDCAHQRCRTCCKSRGYSCPTHVKSTWVPAAKRRERQHQLAAGPSTRELTKRPRARLSVASPTTSSGAGDQQMVTVAERFPREVSSEAVFRCVRLGPVDQAEAEVAYQTTVSIGGHVFKGILHDVGPHCLASAGGSGGDGSPPGAATTAGEAGGGGGGAGGNVIVSSAVVMDPYPTPGPYGAYPGGGAASFFHGHPRQ >Et_9A_061170.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:2004387:2004590:1 gene:Et_9A_061170 transcript:Et_9A_061170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQANAQRSRHHGAASGLEHLEGTKRSVFNHVTTAPAQIVCNIRAEARLWVMVGAKQSGCVMLRE >Et_2B_022533.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2526993:2527973:-1 gene:Et_2B_022533 transcript:Et_2B_022533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIQSPFLALKHVEGLAEEQPVFFSVTERKAIDNCGVAGDLTPNSSWATPQGWALIGDAVSSTTYLLDPHSHDRRIIPLPHLPQDGLPTNCNCLLSDYPAAAAAADPPDAQPSSSCLVLLLEPEAPMLWYCTIGGDSWVNHEYDIGTQDLPDLGEGCFEKLTICTISACQGKFYFNGDFEEIGVLEFCPTPVISFITIHDPIPSPFGFQKVFLVESEQELYMVSLLSHSNPDIVYRFHVHKMDFSSQEWREVNDIGGRVFLLAWWYFGASRSAEECSLERNCIYVPYQWNRSLKVFNVRDGTEKAHDLDEAPVARQALWMLPTHP >Et_4B_037509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20708599:20710242:-1 gene:Et_4B_037509 transcript:Et_4B_037509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSCHQGQPVGAAAHGYDDDDARHYYYQLPHLGSCSCRRLKRLDLYGVSLDDSFMEKLQSWFLDLEDLVLRDCCRRFSGIHSDKLKNLVLKECKNQPTDVLVIRAPGLCFPLPGCIDYVSSYIPWSPRREAMLLGRLSSVKSLELMDFQTMAMLDEEFDKFPILNNLRTLSLGSRFLDLDECHAHDAHASKALGRVLQKSPYLENITLHHLQATLVTEPFELPKLENLRTLFLSWCRLDDDFRLLQHCLQSSSNLEKLSSKYCKFSEDSTGGERNAKSKNTYSQSRNPVPLQCPKLKSIEIACMSCLPLDGCGRRSGTLVFASAGPECP >Et_10B_002537.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:14211287:14211334:-1 gene:Et_10B_002537 transcript:Et_10B_002537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPLMIRGQKLFGS >Et_1B_014022.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27830536:27831447:1 gene:Et_1B_014022 transcript:Et_1B_014022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRRSGVLVPLSVALAVLLFLADAATAKKTGQLTVFWGRNKNEGTLREACDTGLYNTVIISFYSVFGHGRYWGDLSGHPLTGVGDDIKHCQSRNILVLLSIGGNSGGGEYSLPSSQSAADVADNLWNAHLGGRRSGVFRPFGDAVVDGIDFFIDAGAPDHYDELARSLSWYGRNGKAVHLTATPRCGFPDWRVEKALATGLFERLHVRFYDDASCSYNHAGLSAVMEQWNKWTARYPASKVYLGLAAANVPGKNDMVFPKQLYYDLLPNVQKAANYGGIMLWDRFYDNQTGYGKTVKYWA >Et_4A_034494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3799750:3804520:1 gene:Et_4A_034494 transcript:Et_4A_034494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEPDDYWSTAMLSIGTFGTKDGQRLKTSDGSNEKRTVGVDELKKLQAELRLLVRAKGITTSEELNRLQHLPLERFLNLNCTSHTQNGGIVKSRSIRKPLTSAFSGFMPRPSFRQTLPEVRFNEIICGLHPEKSAISDHVIKDDRVDRMPQKEKAGVHGERSKWIRTDSECPDPKKIQFETSVTFTNECQSAGYLIQNHPVLQLNKVNTRGLISELQTWLAREREWYCIRGLRPISPRTTTAARFLLPTAGADAIVVRLRIQP >Et_1B_010325.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:828836:828964:1 gene:Et_1B_010325 transcript:Et_1B_010325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCNIPKCTLLCLRHDLDSELGFCTLKPDFQIYCCCRVPDP >Et_5B_044267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22046875:22051984:-1 gene:Et_5B_044267 transcript:Et_5B_044267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSISPPGASKQAVVRRPSAGNSLKDLCLFSKQGSVAEVESALALLKKSGGSIDGRNAFGLSALHLATWRNHLPIVRRLLDAGADPDARDGESGWSSLHRALHFGHLCVAGVLLQFGASLTLEDTKGRTPIDLISCPVSQVNGDSPDAVTTEVFSWGSGTNYQLGTGNAHIQKLPCKVDALHGSSIKTVAASKFHSVAVSSDGDLYTWGFGRGGRLGHPDIHSGQSTAVITPRQVTVGLGRKRVNVVAAAKHHTVIATDAGELFTWGSNREGQLGYPSVDTQSTPRRVSSLKLRIVAVAAANKHSAAVAETGEVFTWGCNKEGQLGYGTSNSASNCIPRMVEYLKGKVFTSISAAKYHTIVLGVDGEVFTWGHRLVTPRRVVIARCLKKGGNTNLKFHRMERLQVTSVAAGMMHSTALTADGALFYWLSSDPDLKCQQIFSMCGRNVVSISAGKYWTALATSTGDVFMWDAKKRKDETPVFTRIHGIKRATSVCVGETHMLVLSSIYHPEYPPKPKIEAKKSMSEWNGGMEELDEDILFDDVRPESGVSGSSGTLSKGVPSLKSLCEKVAIEYLLEPRNAIQLLEVADSLEAKELKKHCEDIAIRNLDYIFTVAAPSVVHASSEILANLERLLDEKSSEPWSQRRLPTMTATYPVVIDSDGEEDELGEFRRHRNCGKSASRSYGMSSSENFLQKDCNAEQAITKQIRAVRKKLQQIEILEAKQLAGHQLDNQQLAKLESRAALESELAELGVPSEAYSRASSVCSEGRTNRKSEVSKKQKRKNKLAAQSDTTPVKSEVQQQISMKELPEVLPANVSAEKEQKVSAADPIKHSEDAATFSNTKDISYPVKKPSQPTSSKKKNRKGGLSLFLSGALDDTPKPSLPAPVVPVTPKHEGPAWGGAKITKGPASLRDIQSEQRKTNEPIPAKAKDRFDNSPDSAIRVRLSSFIPDARSSPISVMPARVVPSEGERSTPPWSSSATSPNLSRPSLRDIQMQQEKRHHGISHSPKIQTSGFTIPSQSASPEAGMKDNVPNRWFKPEADTPSSIRSIQIEEQAMKDFKRFYTSVRIVKPQVQ >Et_9B_064790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18664056:18665737:1 gene:Et_9B_064790 transcript:Et_9B_064790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKNSGSIQKAQKANHVQEGGPNWILVASGVLLSTLSLRLGCKLKQLFVTKEKNSASKAKRRRGVCELHSDLYRFSDQTRCYCGMSGHADGGVEVKQAPSSPVSKLTEPSNLLVKIPGSESSKENSGVMWSTSPDRLEDPRKPFQYSNCSGSPSVSESGSDIYSKREVIQKLRQQLKRRDEMIMEMQAQIADLKNSLSIQIIVLQKLSYMISLFKLWQSNGTNGHVNGYSDSSVDDPELHCNGTEKRKGEVERLEMLKREVGELKEVIEGKDFLLQSYKEQKVELCSKIRELQEKLSAQVPNIL >Et_4B_038630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3567154:3571943:1 gene:Et_4B_038630 transcript:Et_4B_038630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIRAKGKPSSVQTRLDFYEPDDYWSTAMLSIGTFGTNDVQRLKTSDGSNEKRTVGVDELKKLQAELRLLVRAKGITTSEELNRLQHLPLERLLNLNCTSQTQNGGIVKSRSIRKPLTSAFSGFMPRPSFRQTVPEVRFNEIICGLHHKNTSPEKSAFSDHVINDDRVARMPQKERSKWIRTDSEFSWIPDTKSIQSSN >Et_8B_059398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17618244:17618930:1 gene:Et_8B_059398 transcript:Et_8B_059398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEVAVGYGTSEAHARSRKRVPKELRPGFDTLTVLVHGGFGESEIKGFMSFKLLCRLRWLRRSSTMLTCGRLRGSPSFGSS >Et_10A_002173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:387788:388633:1 gene:Et_10A_002173 transcript:Et_10A_002173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQREGGQSSDRDTRTEHEQTEEFAARPVLINLIMPTLTSSSSSPRCAPFPCRRGTTRMRGLPPAAHGGHHHGCGRPLRRAAGVVGGGIAAAFFASLERCACVEVRTKEEEDDDVEVAPLMLRDAAAGSSSGGSPTVAKTTTGGRRAAANGKQGKRRGLGCCENDAIN >Et_8B_058761.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20996388:20996549:-1 gene:Et_8B_058761 transcript:Et_8B_058761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMYTTWNVWKERSRRVFQQMALQPHQVFVLIKEEIQLRERAYGGQTERRQDA >Et_1B_010841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14689668:14692934:1 gene:Et_1B_010841 transcript:Et_1B_010841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQGEASTAAHSCRRPAAGSWRDATMSRRALLLLLLFLLLLLSSPRGADSAPGEAEALVRWKDSLAPRPASPASDALASWSLNGTGAAAAACSWRGVTCDFLGRVVGVDVAGAGLAGTLDALDLASLPSLAALNLSFNLLTGTFPSSSNLSALELDRNPLGGAIPAALGKLQSLERINVSLAQLESAIPSELGLCANITVLALAGNRLTGELPPALARLTRVREFNVSKNMLTGEIRPDYFTSWTGLAVFQANGNRFTGKIPVEVAAASRLEFLSLATNNLSGTIPPVIGRLTRLEVLDLSENQLSGSIPRTIGNLGNLHTLRLYDNKLTGQLPDEFGNLTALQRLSISTNMLEGELPAGLARLPNLLGLIAFDNRFSGAIPPGFGGNGQFSMVSMSSNNFSGALPPGLCASAARLRFFALDDNDVSGAVPACYRNFTRLVRFRVARNRLTGRVSEIFGPNPDLYYIDLSGNSFDGELPEQWSQFRSLSYLHLGGNKITGTIPASYSGMTALEDLSLASNRLAGTIPPELGGLPLIKLDLSGNMLSGRVPVTLGNATGMLRLDLSGNHLDGGVPVELTKLTHMWHLNLSRNNLTGEVPALLGKMASLQELDLSGNPGLCGNIAGLRSCSLDSTIGGSKRKNARLVLVVTLASAAVLLVSIVAVSVFLVHKRRQIDRDTGETTGSGSDTALTASIWSKDAEFTFSDILAATEHFNEAYCIGKGSFGSVYRADLPGGHSLAVKRLDASESGGASEKSFENEVRALTLVRHRNIVRLHGFCASAGDGRMYLAYELVERGSLGKVLYGGSCGRFDWAARARAVRGVAHALAYLHHDCAPPMVHRDVSVNNVLLGPDFEPRVSDFGTARFLAPGRSECTSVAGSYGYMAPELAYMRRVTAKCDVYSFGVVALEILMGQYPGALVASLHARDGVAESMLLKDAVDQRLDPPAGQLAGQVVFAFLVALSCVRADPDARPTMRHVAQELSARRRSTLDRPFAAIRVGDLTTSNQ >Et_1A_009610.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:9513148:9514239:1 gene:Et_1A_009610 transcript:Et_1A_009610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLGSAARPHAAVAPRATSAVERAFGMKQTRGDAPAARSVTGREASPSSARRVGSDAVPRRAAPVHDASTVGERAIRKRRQSVTPGSEMARDRKRIKVTQGATNVEMVVFAAAIKMPAKNAQDTLQKRLAAELDALRDLVKKAEALSRGNKQFVAVEPRMEQAAPSPKGTKTSSPLVEEVDAPRTSPAEVKIEIVDPEEEIDICGGVSPVVVIRDTSPLFPVKSPALAVFPPNENATPEPSRLPEPASETVQNVTTSPAPSAVLPKKTDSRARAPKPVPVIAKKVQGSQPISVLLARAKEAYEIRQQKGNGWEREKVRREVRQMEKAVLPDETIHPEDLKELRIMEFGYVLHQLGVFLRPDV >Et_8A_057218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22449790:22450973:-1 gene:Et_8A_057218 transcript:Et_8A_057218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEKKLKDSDDIKIQLIKKLKLDYGTTKYYLKKQQSGSRDCLSSTNTTQLYLDLPIPEATDVQNRQFILYVNGICSDETASKGMQKGGPFKRDCGGALSKQDINIQFNRKTLEELNLLEISKSTATATCVLFNNEAQMLISELTDLVTATINLTKTCGEFRLTKYNFTSFQPEYTVLKLYISRGKDSTTFTGRTLKKSSSRKTCT >Et_1B_014050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28965242:28967841:-1 gene:Et_1B_014050 transcript:Et_1B_014050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACIELNQSCHNSAHARGQEWRYMAIQLGDTESVRCKATQTQSVQKKSSSATLQRDKKGKVQGPKLDDGSGGFPPFRFGKGGGGGGGGGGGSNYFGGFLLFGCVLLLDYLKEFEKYLLTRKHRAGEDAGHGLLQE >Et_10A_001851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9074199:9076115:-1 gene:Et_10A_001851 transcript:Et_10A_001851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EEGRAGEVEQGRDGEVEDEGRGSEVKDEGRGGEVEQGCGGEGEEEGRGGRWSRGAAARWSRGAAARWSRGAAARWRRRGAAARWSRGTAARWRTRGAAARWSRCATGLRRRGGGDRATMHGEGLLERANGGRRRDGEARIVVEGAGGALTFFAESQDGWAVTDTALVVIELKDGINETLAYRLDIDTMEVERVSEFACRDVAFPCELP >Et_3A_023803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12522549:12523196:-1 gene:Et_3A_023803 transcript:Et_3A_023803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLQLAVFLGLHLLVATMISRCSYAQSTNGSMQCHRQEEVALLQLKQSFTFTASSCQSYPAVTTLSSWKPGRLLPGVGCDSVTGRITALFLNHRGLQISGGLHPALFSVTSLQLLYMDGINFCHSHLPESGFDRLTQLQDLGLSGCNLTGYAHLFIIYI >Et_1B_012943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4279894:4283350:1 gene:Et_1B_012943 transcript:Et_1B_012943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVLAASSMVLALLVFFAFRPASGLLSPKGVNYEVQALMMIKSYLKDPHGVLKNWDQDSVDPCSWTMVSCSPENFVTGLEAPSQNLSGLLSPSIGNLTNLETNNNINGLIPAEIGKLTKLKTLDLSSNHFSGEIPSSVGHLESLQYLRLNNNTLSGAFPSSSTNLSHLIFLDLSYNNLSGPIPGSLTKTFNIVGNPLICAASTGQDCYRTLPMPMSYSLNDTQGTVMPVKTKSHKIAVVFGATTGCISLLFLAVGLLFWWRHRRNRQILFNHDDQHIENVNLGNMKRYQFRELQAATENFSSKNILGKGGFGIVYRGQLPDGTLVAVKRLKDGNAAGGEAQFQTEVEMISLAVHRNLLRLYGFCMTASERLLVYPYMSNGSVALRLKGKPPLDWITRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDCCEAIVGDFGLAKLLDHHDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKSSNQKGAMLDWVKKMHQEKNLEILVDKGLKSNYDRIELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQNTESHKFKVPEFTFGRCYSDLTDDSSLLVQAVELSGPR >Et_5A_041901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:470446:474764:-1 gene:Et_5A_041901 transcript:Et_5A_041901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFFFGSSAVNAEEGNKTPDDNRSKHKKKLEDRDGYESSGSCGTRSSRSRSRRGKLNDEELSKPKQLRRSMSFSSPARKSCLDDRTFSFSGDVPCSVYDESDVPQHIRDVDCYMWSQGNRVSREDATKVPKAYSAMDFDSPDSRCFSCSTGHSPVSSPIALRCRPTRLSNLLNKNDVVHRYIDAGQEDTMLNEKQKQQSTYMVSNLGRPPRPQSAVPCGLKPVKDVPETYPDVGSNDVCIQQLIQEVGDICNITTPSDASRDHLSVPDAFEIFSHSEDHRSESVTSFEDIYEDLQDVRPPDVICPSTCPVSGGQETDDKLLQRVKEVESRFLLSSGNKYEVRMLRDKQLSSHDMFQVIQHLTEDRKQLAHELSSQIKARVTERFAAREQYKQSKKALDTRTRRLEKEKSEVQINLEREMDRRSQDWSDRILKFQSEEERLHERVRELAEQNISFQREVTFLEANKADASAKVASFELQNKKLNDELEKLRCKHDDLHNSSADLHARFTEVTGERDHLRGYLKEKDDENRALHKVIARLQMTCNEQERTITSLRQGYRSELDKSVECGSDKMNILQMELIRLTGVEQKLRGEVRSCHLEVESLRHENIALLSRLQSAGNGSSISMVRLDQELQAIVDKLQTEGLSLFDKISQLCAKLMDLIKHKQQENYSPSYIDVLTVTDYTLEYQSIKGGIEGLRRSLQTISSVLSEKQSMKEKPCETAASDSLSREQKDNVGLKLKEEALLSRVLKEAVLSKELEIEQLQSDLASSLRIQDVMRNEIQRVHDEHSCITHKAKQLELQVSKKAEAINQIQQDFQEYAKELTALRGTLKTVTEERDLSWQEAKQLRRNVSIMQNEVVALKKKIESLDEDILVKEGQISILQDAMNKPFDIICSPRSMREFDME >Et_3B_030724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6773867:6775539:1 gene:Et_3B_030724 transcript:Et_3B_030724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DGGGCGRRGAAGRGWCGVCVCVRGGGGATSASEAAGWWRGRAAVGDSTDRLSDAWRTSAADARSAIALVVCSLLPSPERAFTSNRTVSSARPVPCQPEQASALIRLKRSFVTTNYSAIAFRSWKAVTDCCLWKGIKCGEANGRVTSLDLGDCGLKSGRLHPAVSQPCLELPASGFERLTKLTVLNLSDTNIIGQVPHGIGSLSNLVSLDLSVHIELTEILGGGYELQAEVEPWQLPVPNLTALVASLGNLRELHLGSVDMSQSAEWCDALAKYTPNLRVLSMPFCQFPGLICGSLSALHSLSVIDLQHNWLTGPFPNFFANLSLLSVLQLSTLPNFTADSCLQNLHVGDTNYSGIVPSSLSKVKSLKKLGLDAPGFSGNLPSPIGELQSLSMLKVSGFDLVGPIPSWITNLSSLEVLHFSNCGLYGPIPSSIGDLTNLRSLGFYNCKASGDIDPYFSNLTHLEELVLGSNNFTGTVELNSLWRLPNLTNLNLSNNKLIVRDGEDNS >Et_5B_043646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13397202:13401812:-1 gene:Et_5B_043646 transcript:Et_5B_043646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYCPSLLRRRRPIPAPSLLHLLLRRPFASSPSTPSPPSSASPASSKPPALSARLSFVFDQLDALDRSRSSDLSARDAALRRIQSWRRPPSPAPEAPQVEVEAGPGCKMDEPNKEAEVVVAVPADEVERMSMEEVLRREVELVHPWSEWIELMERLAQQRYFDLGRAGGTDEASVAASVPMDLSEVSEEAGFDFSRDWTTVKNACMNFGRDRFDILKLLPRKDLQILVGHGCPSLDAKVVFSAKLIRKLVHLDEGDVCSSCNLRSVCSRGYILTRKEDEARTLDVMRILLIYGFDHIKGTVENKPLLKMKSLRTVVRKLINEIVKRSAIPIDPNLPPPVIRKPPPKVKQPPPPPKKRVGRDDVEMKKGDWLCPKCDFMNFAKNTICLQCDAKRPKRQLLPGEWECPRCNFLNYRRNMSCFHCEHDRPADEYANSQMEAKQSALQKRLERPPRKSDVSSAWNFDFDDNESDGADVAAFEFADSSKASESSYVDSMTYRDGARGSKDEPFRMAETMGKNKVSERDSLSSSRVGFDDFDDEEDDIDSYELDLSKGSQTGEVTRMSYSDLEGASESEGFGEFDNSRNSRYAGTDDISVSADEDEFEDHPSLRSSHLADSWHKTRGWSGSNHKSRRTPFGSESDDGINSDLDGDIDEGLRHKRNHTQGNPDRVSVRHRALTYSDGEPFDDGVDSDMSDQVQSRRTKSSTSTSDNFRGRSLNLNGRRPSGDRHGRTIQNERFNSLDRGRHGQVLDKGRRVRGNQLGNDSRGLSQRNARRNWERSGDRH >Et_4A_035609.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3098036:3098236:-1 gene:Et_4A_035609 transcript:Et_4A_035609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVLVAVVLMQCCNVIVAARPLLETAAATTGGEGSWLGLLLQVLQKGGGNGTGSNSGGSHGGVP >Et_4B_036976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13029876:13038365:1 gene:Et_4B_036976 transcript:Et_4B_036976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARKFVMPGQPPDFSQLLAEAQNRWLRPTEICEILSNYKFFSIAPEPPNMPASGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKAGSIDVLHCYYAHGEENENFQRRTYWLLEEDFTHIVLVHYLEVKGGKQSLNRAKEEIMQFSNVDSPSYSNSITSQSQTAPQNMDAAESPISGQISEFGDTKSDNSGASSRYHPLAEMQQPLDGVIMDDLLYPPASSIGDRQGYHGEMLPRTSNLDYHSFSHHDIARLFEDAGTGPGDVSRTLFDSVPFNETLTDYPNGFTEPALHSSFASLEASSLEDSSRLQTFTSEALYTNHLSQKEIEALYANHLSQKEPDALSFAGISSPEPEVNGNRYTEGSIKHPLLKQSSLDLLKIESTGLKKHDSFSRWMSKELAGVVDLDIKSSSDTLWSSIESVNVSDGSSVLTNEQLGAYVVSPSLSQDQLFSILDVSPSCAYIGLNTKVMVTGTFLVNKEHVEKCTWSCMFGDVEVPAEVLNDGTLRCYAPAHQSGRVPFYVTCSNRVACSEVREFEYRDSDAQYMETSHSQAIGVNEMHLHIRLEKLLSLGPENNDMLVLSSGNEKHELMNAINSLMLDGKWSDQESPSVKEIISTARDHGLEKLVKEKLHYWLLCKINDDGKGPNVLCKEGQGAIHLVSALGYDWAIRPIIVAGVNVNFRDAHGWTALHWAASMGRERTVGILIANGAAAGALTDPTSEFPAGRSPADLASANGHKGIAGFLAESALTSHLSALTIRESKDNAVEVCGLPVADDLNDTDSAQLAGDDYQTESLKGSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDERTLSLVSLKNVKPGQHDTHLHSAAVRIQNKFRGWKGRKEFMIIRQRIVKLQAHVRGHQVRKNYRKVVWSVGIVEKVILRWRRKRTGLRGFRPDKQLEGSSEIQPAKAEDDYDFLHDGRKQAEARLQRALARVHSMSQYPEAREQYNRLTTCVADMKQARIMQDEMLNESAAPDGNDFMAGLEDLICRDDAATLLLLGRLDDSSINGRPYSES >Et_3B_031538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3858141:3860220:1 gene:Et_3B_031538 transcript:Et_3B_031538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETPPPPPAAAGKEIKSPEVLPSSLSSLQRKKLGAHFMESDERRFSSRRALLGGGGGYDPPSSSATAAGTTPVNIRGEPITGAAELARTGGWLAAFFIFGNEMAERMAYFGLSVNMVIFMFKVMHRPFAASASAVNNFLGISQASSVLGGFLADAYLGRYWTIAIFTTLYLLGLVALTLCAAVPALVPPQDGCDKLAMLLGGCAQAKPWQMAYLEAALYVTALGAAGIRPCVSSFGADQFDERGDEEYKRRLDRFFNLFYLAVTLGAIAAFTAVVYIQMQHGWAAAFGTLAIAMGTSNALFFVGTPLYRHRLPGGSPLTRVAQVLVAAFRKRNAAFDSGDFVGLYEVAGPKSAIRGSAKIEHTDDFRWLDKAALQLEGDVVAGGEEPDPWRLCTVTQVEEVKILLRLLPVPACTVMLSVVLTEFLTLSVQQAYTLNTRVAALHLPVTCMPVFPCLAIFLILALYYHTFAPLARRVTGHPHGASQLQRVGLGLFFSVLSVVWAGIFERYRRGYAVRHGYLGLFLTPMPDLSAYWLLIQYCLIGVAEVFCLVALLEFLYQEAPDAMRSVGSAYAAVAGGLGCFVASAINSAVDAATGNVAEGRPSWLAQNINVGRFDYFYWLLAVLSTLNLLVFIYFAKRYKYRVKVDTQDTAACKQQP >Et_3A_026318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6886172:6887204:1 gene:Et_3A_026318 transcript:Et_3A_026318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLSRTVHEEPLPSEDLKPAPTPVINLGHLSPLDSTTRSRVIDDIAKACHDLGYFQVINHGINQSVMDNAVKAASEFFKLPNETKEKFASDDLRQPVRYDTSSKDSISMSRALLKHYAHPLNDWVKYWPQEPAIYRQNAFSNFPSANLNYVLVMHVYKN >Et_8A_057774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:768839:771487:-1 gene:Et_8A_057774 transcript:Et_8A_057774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPTRRRGTGTGTSPGRNKVWVEPPGKSHHRTPARSPPPPPSPANRVAVVYYLCRNRHLEHPHFIEVPLASPEEGLYLRGQSNVLLHFLNNKGAAFRDVINRLNVLRGKGMAAMYSWSCKRSYKNGFVWHDLSEDDLVLPAQGNEYILKGSELLDRSPTPDRQQNGVGNPKVESLKPPKEESPQSRGSQEGCSSSSSPSAVIKEVSPLLPTPGQPQQQAQPAVLQSPSASTSREDEPCQTPHSGSSGNQSPEPTGRNAPLSEASSPGPLEYRVCKPTGAQDAATQTDDSERDVSEKHTHMGGVSTEDAAANAEIQEFHQRSSQVSPKVPEIIQESPALCSSDASPGVRVETLESLIRAEASRRSSFRTLEEEHIFGQPGVKFKPANLLMQLITCGSISVKEHRGFGFIPSYRPRFTQVEFPSPVFSTPMALRHLDKVPYTARTIGTRSSESEYFSGSLVEAKKQDESGRGTGTLKRSSSYDEDRVSHCKSDTESSVESGSFRCLPQTIKMISCKQSRSGTILSPTSDVRNSSSRQEYSTRSSPLGSSKSASNRITDPSVGKPSSSRMESFHEEKDASFWSSGYNPICTF >Et_7B_054060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14795046:14796599:-1 gene:Et_7B_054060 transcript:Et_7B_054060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPVVHMDIKPDNILLDDNMAPKISDFGLSRLFGKEQTRINTQKVVGALGYLAPEYLDRGEISTRSDIYSLGILILQMSTGDKITPNDEDMCGTKFIEQVRQKWTLHQITSTYAAFDARRLQEVKICIQIGFECVEVDRNKRPSIIDIVDRLSGKC >Et_9A_062074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19587071:19589422:1 gene:Et_9A_062074 transcript:Et_9A_062074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EEFDTHDPKENSLLLPYLRKRSKIIEIVSARDIVFALSQSGVCAAFSRETNKRICFLNGSPDEVIRSLFYNKNNESLITVSVYGSENFSALRCRTTRIEYIRRGQPDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYSVSDKNVQEIKISPGIMLLIYTRTSSSVPLKILSIEDGTVLKSFSHLLHRNKKVDFIEQFNEKLLVKQEGENLQILDVRNFQLTEVSRTEFMTPSAFIFLYELQLFLTFRNRSVAVWNFRGELVTSFEDHQLWHPDCNTNNIYITSDQDLIISYCKADSTDSSSEETAGSINISSILTGKCLAKIKSGNSCKEKKGWKFQNTVPEALEDITALYYDEDRDEIYTGNRHGLVHVWSN >Et_3A_026389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7485144:7492467:-1 gene:Et_3A_026389 transcript:Et_3A_026389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRLEEEGVHLQEVNTEQYFEQKKRQQQQNQNDVAGGQASHDKEPRSLDVLNINNLATPNSNPPNEPADAEGAIPQLNFTLSDASPTEALKKITSLCNNNTNEAGSQPRLSSTFGHQDVAAAVNSHEQLGCKISPSINYGTKRQIQNLGLDGEISLIDLACYEGSKNKPTARPAREAHVSFSVKGLGHIKMETPPHTPRPIKRDLPLPPKAMRFTHKAKRSIPFDITKTLDSMRASIGMLNERRPPDKIGKILDESDYVRRKQSNCYFSDSFNNHNADLYFEDEDMFYEPRAEKDLQSKRSRSDGNLADENSDRLWRIDQFNSKDHFPTSREEHFDSVDYGSKDRYSPERSFPCFLISIFVEGIPSSHDLFSDHSLMDNEKGTELFEWERHPTSKKISNSNSTFGPSAWPFDMGDDSEKRRSPIRSCKKPSPSVKNEMNKKDEFHMSLDELGIPNMDAHLHGMSLFNSPEKMDSKRTTDQKKLGTGYWTERATEQTREPSCRISLNEKFSSWVHSVHEDKPFFKSGPDMSSYQTVETTEKRPASKVHSVFHGSDNAIFEDDINMQHPVSDIFGDTMEMSNPLRAKDLQSEIDIGTFFGQKLDKRQEDNSATLGNRNTDIFLAKKAESSVKQTVGRHSTCSQPSGTDSFRHGSNPGFSFQESKRNTFWEDSHVSNGTFKEDLSGLLARENSDKNDGKIEASAKPDNKTFAKTFQLSADHRNEMSGTETCSDVSEVSNYPEVHNDTSAVTKQIQANLNSLGETSAELFQSHAYVRPVTREKLDDPGIDYEAPSHLRNKFHNVGDQSEINAMFQSPFLGEVGVEKKIIASVSPNNSDVQYQFMLEQRVLRRLCVQKIVAPTPMKDKLNKNKRFMVTEDGSHILAKSV >Et_1A_005052.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23667763:23668287:1 gene:Et_1A_005052 transcript:Et_1A_005052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AFVSSGSSALVGNSLYWTLDLSASNHILEFELHSQGFDLIKLPKCVRKRFKSGIQDMPAEDGCIGFAGVNKARIDFWSRKADHEGVARWALIRVVNMDKLTLSDFPARDMLLRSSVVGFAEDSGVLFLHSETSIFVIDLKSMQLRRKALQTSGSTIYPYTSFYTRGTSPSNLVS >Et_3B_027426.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:15647233:15647814:-1 gene:Et_3B_027426 transcript:Et_3B_027426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRASVGGAGRLAARRGPGSWCGGQGRGEVDGHGDRHRPGHDLVVRGCTVTGAWRSSPTTRATASRRPGSGGLHRLRRASDRRGGQEPGSVQPAAHRLRRDQRLIGGWVRRPGPPCSATRIKPTVVDRGGKPHARVEVRGGDVGELSPEEVSAMVLSRMKETAEVFLGEKVTRAVVTVPAYFNDAQRQAGHQ >Et_7B_053791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12269477:12273648:1 gene:Et_7B_053791 transcript:Et_7B_053791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVMAAPDALPPAVVFVSAGASHSVALLSDNMLCSWGRGEDGQLGHGDAEDRLVPTVLSGFDAPGITLVICGADHTTAYSEDERQVYSWGWGDFGRLGHGNSSDVFTPQPVKALQGLKIKQIACGDSHCLAVTMDGEVHSWGRNQNGQLGLGTSEDSLLPQKIQAFQGVCVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRNDRPVPEKVSAVGGEKMVLVACGWRHTITISSSGSLYTYGWSKYGQLGHGDFEDHLVPHKVEALKDSTISQISGGWRHTMALTSEGKLYGWGWNKFGQVGVGDNEDHCSPVQVHLPEEQKVSQVACGWRHTLALTEKKNVFSWGRGTSGQLGHGEIVDRNTPMIIDAISPDGPGCKKLESSTAIPFAAKVWVSPSERYALVPDENVPKSGEVPGNGADVNVPENDVKRMRVRS >Et_8A_057708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6354472:6355293:1 gene:Et_8A_057708 transcript:Et_8A_057708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LNQSSVSAPLPSAAAVVSVVVPSAVPERAAPDGVDDDDEDEEDDVDDGGALPAPFERVHHAGLARRASVAELVLVVAPRAAVRVRRRRRARRLGLRPLRAAGVGEVAAGGRLAAPGLDGGQVAAAREELRPRRVRVPVLPPQAPARVGAGEAPGGVVAEAVLPVPGAGHGLPGSPVGDGEGEGGGAEERDDEGEHGEEVEPEQPGDAAAGADEPRQGGGHERGPERDGRGGEGVVARGAVAARAQPDARAQHRDGQQE >Et_9B_065313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3720754:3727557:-1 gene:Et_9B_065313 transcript:Et_9B_065313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTAAVSVSASSVAAAGARSGAARRAGGVRVCGLRGEALACPTLRISPAAHARLAVARAVANGAVAGSGGFDYDLVIIGAGVGGHGAALHAVEEGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELHDEHHMKSLGLQVSTAGYDRQGVADHANNLASKIRSNLTNSMKALGVDILTGVGTIVGKQKVRYGKVGFPDKEITAKNIIIATGSVPFVPKGIEVDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVTFVEALDQLMPGFDPEIAKLAQRVLINPRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENINVATQRGFVPVDERMRVMDADGNVVPNLYCIGDANGKLMLAHAASAQGISVVEQISGRDHILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEVNVVKTSFKANTKALAENEGDGIAKLIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRVQDIKFAVHAHPTLSEVLDELFKAAKLQPREGWDTKPNQPSQPLLKALSFITSLLSSQKQAQRS >Et_7B_054265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18863584:18866821:1 gene:Et_7B_054265 transcript:Et_7B_054265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVETTRSAPTPGGAFLPEEIIMWEILVRLPAKTLLRCRAVCRFFRRFTSTADFLLAHHHHQPCLPISHAIWTLPSIALLVALTPPPRRAASTPELLSLYDASFYICNLASRQWVLLPFVPYFLGFYPHRPSGEYRVLYGGSRRRRQENPNSGEKFTYFVQAIGSRNRRCIGRPEAPASKEELASVEILLAKGVIRTELYHPSVLLHGNLHFYPVEHQGNSTDMVMVFSTTAELFR >Et_9A_061736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15931853:15935879:-1 gene:Et_9A_061736 transcript:Et_9A_061736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSPILDSLVEVFPQVNLSTLIEVSIQFNDDIDAAADYIIQNVLPNMVPQPSHTNTNEALLSHELQQASNDTSIQLMPDPVDNGPNSNMVQSFQNQSLTGLPSGVSTSGEDCVSEGFSSDSSLDDSQLQSSETNPEISASEYVMSPHDNGSPDMLVRSSYSANFLESIDGVIAYEQQKKVALLSNAAAVSEMLHEVELNEEKTKHVIESVSQAGDDILRKVAELKEMTDLVVEDNSKVAGEIIAEQSILATEAQALQTRLFHISEETKKFELTIDKMNQTLQKRLAASEVERATAEKAKLEREASAQKSLREHQLSLEAANKEFRWLEQRSQENAKLKELLVDRGHAVDALHGEMLGIFDSITELMQKVDMQLPVDEAPSLPCSAVFFQGPCSAVDEPLQQASSSLSGSAVDVPLQPDSSGTLLETAGSNSGSLKSLAPKSSWSSFAQSSSKDDNIDISDDNFALDDSWDVVDDDDDEVRNSSYAMPIRL >Et_1B_012948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4269419:4270644:-1 gene:Et_1B_012948 transcript:Et_1B_012948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSNVVLAFLNTVSLLGATALIAAGAYVLAQPATECQRLVRVPAMAIGGALLLLSLMALAGVCCRAAPLLWAYATAMFLLIVAIFVVTAFAFAVTNKGAAAAAAGTGYGEYRIGDYSDWLRGRVRDYETWRRIESCMPDAGVCGGWLGGVDGGIHAGEFYRTYMPLVQSGCCKPPAYCGFNAVNTTFWVPPPSGPATTADAVDCQAWSNDQRVLCFGCNACKAGVLSTAENNWRAVGALNFAVLAILILVYSVGCCAIRSNHRRY >Et_1A_006463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22808706:22812465:1 gene:Et_1A_006463 transcript:Et_1A_006463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAANPTPASPSPVHPARVRVLHPGGGRETTGPGPVVYWMLRDQRIADNWALLHAAGLAAAAVPSAPVAIAFSMFPRPFLLGARRRQLGFLLRGLRRLAADARARGLPFFFLEDGPAAVPALVRRLGASALVADFSPLRPVREALDAVVRDLRRDAAGVAVHQVDAHNVVPVWAASGKLEYSAKTLRGKMNKVMDEYLVEYPDMPLTAQWNMEMPEGVDWDALIDRVFSEAENVPEIDWCEPGEAAAMEVLLGSKDGFLTKRIKSYEADRNDPTKPRALSYLSPYLHFGQISAQRCALEAKRRRHLSPKSVDAFLEELIIRRELADNFCYYQPHYDSLAGAWEWARKTLMEHAADRREHIYTREQLENAKTSDPLWNASQLEMVHHGKMHGFMRMYWAKKILEWTNGPEEALSVAIYLNDKYHIDGRDPSGYVGCMWSICGLHDQGWKERPVFGKIRYMNYAGCKRKFNVDAYISYVKRLVAQSKKRKAEESLDSEAKQSKP >Et_1A_008517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8326777:8328624:-1 gene:Et_1A_008517 transcript:Et_1A_008517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQESAMEPEKSESAAKQPTEAGAGDSVDPHELVSSDDEIDYSVEPEFYDPDLDDTDERWATRQRKGRTSDAVLSCPACFTTLCLDCQRHEKYVNQYRAMFVRNCKVKTDQILREDKGKRKTRKGRAADSSTTSTEGENKGQAYHPVCCEVCSTEVGVYDEDEVYHFFNVIPSNS >Et_3B_031564.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:4790659:4791084:-1 gene:Et_3B_031564 transcript:Et_3B_031564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPAIRQGWCHGPALPMRRSMRCAALRRNMSGGRGQYGGALVDEGMSVLRRRIREARMVETNYEAPAEWAAWEKRYYPAYVSDVSGVVGALQLMLMSTRPSVAIAVAALVLGSVPVSAVAALHHLAQVADAVLQSAQHLS >Et_1A_007606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36377626:36377976:1 gene:Et_1A_007606 transcript:Et_1A_007606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFRKPMTWRRVQEDSSVGMRPSRELMLKLRRPSSVGMLPRKPLFDRSRLVMVLRFPIQGGIEPPMPRELRFSAVTRSGVCALHETPFQLQKFKDVLLHEVKAPVGSEI >Et_2B_021417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29496840:29498763:-1 gene:Et_2B_021417 transcript:Et_2B_021417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAPHGTRQGAASGRPSHPSRSSKPTRHAPTPPPVASAADVKGGQHTVFVYGSLMAEEVVRAILKRVPPAAPATLPNYHRFNIKERIYPAILPVENKKVAGKVIMGVTDDELQVLDVFEDVEYTRTRVEISLTDSSETMLADTYVWSDADDPNLYGEWDFEGL >Et_7B_054083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15145526:15149161:1 gene:Et_7B_054083 transcript:Et_7B_054083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSEDDFPEEDLPALRRWKARQRSPPPAAPASGEEEGSSSPPLPWVSAGSRSPSPRRGGSRGASGSGSGSRSPSPRRTAIMATRLASSVRTTKRASPPRPAPLAAAAAADDQESDEEDGAVEDILASLRDGSARPRLLPPRDGDEGGSASVGGGAAGDVDDEEGLSFPPLQGPPVFDVAEEDEQVEGEDGALPAFSFPQLQTRPSVDGAEVLDAFAASDEARKAKAAAEFLEATMGANTGSRTEAIKKELCVNGRVLDIEGLERWLRRAEAADELAWFADLCADEGKPAPPLDLFESAFRALERASSADLHRGADARCRWIGAVPVPEFFVCPFSKKLMVYPVVIASGKTVDRSALEKWWKNNQRICPVTGEVLAHCVFIPNILIALCISLWRKVNSIADVAAVTDTPAVSLQEEALFKEVTLMAHSPRCSKEAYDALLRLHELVDNESSILHLLGRIPWAIAKLASVLPETCLDPDPELDDIILGIMAKAASYGPNKVAFGDDKYAIPVLIARTWLGPLPTRAKCAQILGMLADDYYNKIKIGELGGFAALIELLLVGDISVKKMVARAIASLCEARENWSRFVKEGVADAAISLLRNDGLVVEAQAILLQAEGFDLAMTQIMEKFQALGNDEMCQKMRKRLWHIFMVQKTGRRCPDVPSARASRKAWETSSSSSSFDGGGEGSSDRDDQAKEDVKAIVSWVQKRCYYPRTYRYRD >Et_2B_019049.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27372153:27372461:-1 gene:Et_2B_019049 transcript:Et_2B_019049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHLQLRRLPAVEKLAVSESTKHAGASAGKHGSAAISHEAPPGTSPRTVPKHHVPASPRTCLCSPTTHAGSFRCRLHRGITSGLGGSVGSGLPEMGKKPGV >Et_7B_054277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1921551:1923771:-1 gene:Et_7B_054277 transcript:Et_7B_054277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DIWHHIHSPVPLRDAANASRVSRAFLRSWRCHPNLTLDFSHTIDCILRRHSGVGVKTLKLVLDGIANNDNLDSWLQVAVAPGIEEITLVAGRSKMKYNFPCSLLSEGIRNSIQHFKLRHCAFHPTPELGPLSNLTSLCLESVGITGYELECFVSHSRALECLELTDCQEIISLKIPSELQQLNSLRVRECLRLRVLESKAPNLSDLATTGKVKLFGETLQLKRLSMFCQNAVYYARAELPSIMSNLETHVHTPMLPTKFMYFKHLTISITSGLTFSPSYDYFSLVSFLDASPSLETLILDVTQQHMGHKSIFGDSTSLRQIDERHYCCLKNVKISGFSFAKSLVELICHVLKNACHLSLLHWTPFMVSEENHPRCFPMEKGMLMEATRALEAIRTYIKEKVPANFWSLAADAIKLQDALVKLGLISAVVDSVRRQDKDRMVHVPMRVVAGGRERRKAMNKRAMVLGADQLELTLHILRNAAVLKAMKIVSRVNKVEPGEWHSADGYRVALEFVREEDHNSVVEILVWKLS >Et_4B_036113.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24901100:24902622:-1 gene:Et_4B_036113 transcript:Et_4B_036113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRSKVQEMILRRRSRSMNGASAQRSHVSDQLAISSTVPRDNGNSGSGDSTSATARALFAASPKLSHSSSLPAGGVFGKSPVPDAEPETAFSMSPTSVLDAAASCCSDVAGNKRRPWREAAVLHGLADALDCTDEQQERSVLAATSPSLLRSCSLDRRVEFGVKNKSSWLPLHGEAASPEPAGKEVEMEPSSEDYTCVICRGPNPRTVHIFGDRVVEGGAESSPRPINLPARGEGAFLSL >Et_3B_028651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17782731:17786086:1 gene:Et_3B_028651 transcript:Et_3B_028651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPAAALLRSFPPHISGGAGATRRPLPPPISPSILARFLSTPASPSSTPPPSSAAGRDEDGELPEASGDASARLSISVDRSGLYNPPDHSHEPSTDSELVKHLKSIIKFRSGPISVAEYMEEVLTNPQSGFYINRDVFGESGDFITSPEVSQMFGEMIGVWAMCLWEQMGKPAKVNLIELGPGRGTLLADLLRGSAKFIDFTKALNINLVECSPTLQKVQYNTLKCEDEPDGDGKRTVSKLCGAPVCWHASLEQVPSGSPTIIIAHEFYDALPIHQFQKASRGWCEKMVDLAEDSSFRFVLSPQPTASLIYLSKRCKWASSEELDKVEHIEVCPKAMELTEQITDRISADGGGALIIDYGKDGIISDSLQAIRKHKFVDILDDPGSADLSAYVDFASIRHSALEASGDISVHGPITQSQFLGSLGINFRVEALLQNCTEEQAESLRTGYWRLVGDGEAPFWEGPDDQTPIGMGTRYLAMAIVNKKQGTPVPF >Et_7B_054427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21250070:21255995:1 gene:Et_7B_054427 transcript:Et_7B_054427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSSSLPISPPPPQVYLRRPVPPPGPAQPPKVHYFRSPAPIPIFSGRAPVQRNGSRPPMPPSPAPHAFPGSQAPPRPQPPPPGPAAKEQLPPRPPLRPHATLPPRPPQPPPGAGAMLPPPAPQAVQKGLEKATPPFNDENNGVGNSLTEVKREGTVQGHDKASATASVKVIKRPKKVQASKRTLDGSETLGTVEGLLTKKFINLLKGAEDGTIDLNKAAETLEVQKRRIYDITNVLEGVDLIEKTTKNMIRWKGFDMSSPKETELQISTLKVYLEAQEKLQALALDEDKRKFMYVLNEDINKLPHFQGSTLIAINAPRGTSIEVPDPDEDKYKFGNLGFKDKHYRIILRSSMGPIDCYLISSDHQETFNPDHQVMPNNSKPVVVAGNAQAVQHMDCDPNQSVQHMDCDPNQSVQMDCDPNETVQWMHCDPSRAPKKGESNAACTHTAEPSRRHESMAGILRIIPSDAGADADYWFASDPDNSDSGITVPTA >Et_2A_017419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34175332:34182076:1 gene:Et_2A_017419 transcript:Et_2A_017419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRVDGSNAFLFGSRVSGKFGEKLSFSSVTDAGIGEKKAPTINSELWHACAGPLVSLPPAGSLVVYFPQGHSEQVAASMQKDVDAHVPSYPNLPSKLICLLHNVTLHADPETDEVYAQMTLQPVTSYGKEALQLSELALKQPRPQTEFFCKTLTASDTSTHGGFSVPRRSAEKIFPPLDFSMQPPAQEIQARDLHDNIWTFRHIYRGIRRANRQPTNISSSVLSSDSMHIGILAAAAHAAANNSPFTIFYNPRASPTEFVVPFAKYQKALYGNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFICPPPFFGAKRPRQLDDESSEMENLLKRAMPWLGEEICIKDPQTQNTIMPGLSLVQWMNMNMQQNSSFSNAAMQSEYLRSLSNPNMQNMGASDLSRQLCLQNQILQQNNIQFNSPKLPQQMQPINELPKAALPQNQLGGGIKSQEQTQEPSNLQRQQHTKVASQATPPPPAMQQESPQKLPQKHVGFADSSQAAIPPTSVNIVPGAGSPLMTTGATHSVLTEEIPSCSTSPSTANGNHLLQPALGRSKQCGMMNNEKVPQSTAPMSIPCSLEAIMAPARLTKESPKLNSSVKQSSMTSKLPNAGTGPQNFVNGAPPTDFLETASSATSVWLSQTDGLLHQGFPMSNFNQQQMFKDAPPETEIQGADASNHALFGINSDGQLGFPMGADGFMSNGIDAAKYENHISTDIDGNYRIPKDAQQEISSSMVSQSIGASDMAFNSIDSAINDGAFRTSWPPAAPLKRMRTFTKVYKRGAVGRSIDISQFSGYDELKHALARMEFVNCVKCIRILSPQEVQQMSLDGDLGNNILPNQACSSSDGGNAWRARCDQNSGNPSTGSYDQFE >Et_1B_011492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22936934:22937716:1 gene:Et_1B_011492 transcript:Et_1B_011492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWAVGAAARTAQARGSSSYACPTPCSADVFVYGFAGGTTPSLLLVPEPYPVGLLSGHVGVLSCGGEGERCLVVNSGSGLISKSSPPRPTRGAPRPPRWLATPWCITASSSPPRPSRSEEPRQPGSIFKNLDTTDPQMRLIGLPTLMPANMEIYGVGSDGCSPPLDLIRDVIYSNGWFRCVELEFLESSGWRATICKRNIGSDNWQREVLLCFPERKKITHLKVPSLTPLLSTVFSPLINQWAYGARIEKPIQA >Et_4B_038704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4133882:4137862:1 gene:Et_4B_038704 transcript:Et_4B_038704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVPVTLDFRSGEPGNRPPGAAAASSHAAERCAGAGKASFFVLTSRWFFYLAVVSEWNTNPRAVVGQRTTAVNGSPTPAVAGSAEEVPGGPGLEDTGAVALDSQGSNSAGSEGQAASGDAADRPGDGAAVDELESEEPGASDEISLVEVSPSDASSNLDATRSIGGSSSVEGSGPLDECGARGYDELDAQQEARGTNGEVIPEVGVETKDEMDGRDGLAGGELELRADGDDVAEEAADMSGVLCDERVEGMETTLEGREASDGSTGRLEEGVDRMETSLDDSEASDGSTTQDSDTDVETESSGSSTEEQDVEYGAHIPRMDQVIQKVARESNTMGVKSSDRMTSVSSSTLVLASGAAMIPHPSKVMTGGEDAYFIAHDGWFGVADGVGQWSFEGINAGLYARELMDGCKRFVESQEASQISTEEVLAKAADEARSPGSSTVLVAHFDGQVLHASNIGDSGFLVIRNGEIYTKSKPMTYGFNFPLQIEKGDDPLKLVQKYAIDLQERDVIVTATDGLFDNVYEEEVAAVVSKSLEADLKPTEIAEILAAKAKERGRTGFGSSPFSDAARDAGYLGYSGGKLDDVTVVVSIVRKSEV >Et_7B_055127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7532487:7537102:-1 gene:Et_7B_055127 transcript:Et_7B_055127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFHLYNSWPRCPSAPPHPSTSPVAPAPPASSLSPSPIRAAMRSGAGDGGDTRRSAAAGQAMVELQANASAAGGAMVVGLSPLSETLWRDSKALPVAGAAALIGDVSARLTWKDLSVTVALGPGKTQVVLDELTGYAEPGSLTALMGPSGSGKSTLLDALAGRLAANAFQSGSVLLNGRKAKLSFGAAAYVTQDDNLIGTLTVRETIGYSALLRLPDKMPWEDKRALVEGTIVEMGLQDCADTMIGNWHLRGVSGGEKRRVSIALELLMRPRLLFLDEPTSGLDSSSAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDMLFLLSGGKTVYFGQASQACEFFAQTGFPCPPLRNPSDHFLRCVNSDFDKVKATLKGSMKARVERSDDPLDRITTSEATRKLVASYSRSQYYYTAREKVNDISRIKGTVLDSGGSQASFLMQACTLTKRSFINMSRDFGYYWLRLLIYILVTVCIGTIYLDVGTKYTSILARGACAAFVFGFVTFMSIGGFPSFVEEMKVFQRERLNGHYGVAAFVISNTVSATPFLILICFLSGTICYFMVRLHPGFLHYIFFVLNLYASVTVVESLMMVIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPQDIPKPFWRYPMQYISFHYWALQGQCQNDLKGLVFDNQYPDQPKIPGDFILKYIFQINVERSKWIDLSVIFSMIFIYRILFFLMIKINEDVMPWVRGHIARKRIQTKDSGATFGKTPSLRGYVVDPELGPNES >Et_9B_063720.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:3946836:3950352:1 gene:Et_9B_063720 transcript:Et_9B_063720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDTRTVKLKLVLGLSVAIWMLGVCNAAFTPADNYLINCGSSFEATLGQRVFSADGSGGAILTSPQSTAATASPNSVSGFDDGVLYQTARLFTAKSSYSFKMRSRGRHFVRLHFFPFRYQSYDLSKANFKVSTQDVVLLNNFTVPSNSSPVVMEYSLNVTKDMLILTFVPLGNSTPFINAIEVISVPDDLITDSVQNLEPVGQYLGLSVQPMQTFYRVNVGGPKVTPDNDTLWRTWVTDQSFFQNSTATQVKNFNGKLNYQSGAATPEDAPDSVYNTARRLAAQNNTRSNMTWQFSVDGRSSYLIRFHFCDIVSKAAYQLLFDVYVDSFSAAKDLDLSTKGFGGTLAVPYYMDIVLQSSDPSGKLSVSIGPSSLNENITPDGILNGLEIMKMNISTGSVDVVSPPSGKKQNLAVILGSVLGGVAAVIFAVGLFIFCRRKKKPQPPTSRPSNSWTPLNGLSFLTTGSRTTSRTTLTSGTPGDTSYRIPFVVLHEATNHFDERMVIGVGGFGKVYKAVMQDGSKMAVKRGNQKSHQGLREFRTEIELLSGLRHRHLVSLIGYCDEHNEMILVYEYMEKGTLKSHLYGGDMPPLSWKKRLEICIGAARGLHYLHTGFAQSIIHRDVKSANILLDENLLAKVSDFGLSKVGPEFDQTHVSTAVKGSFGYLDPEYFRRQKLTDKSDVYSFGVVLLEVICARPVIDPTLPREMINLAEWAIKWQKRGELDQIVDQRIAGTIRPEALRKYGETVEKCLAEYGVERPTMGDVLWNLEFVLQLQEAGPDISNIDSMNQISELPSNARRVSSLEISTADESNTHIDYSDMSTSNAFSQLINAEGR >Et_7B_054491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2194416:2197576:1 gene:Et_7B_054491 transcript:Et_7B_054491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMCSPSFHDCARCLVPYTFPDVTEILLVLPVYLTHLYIPGDSIPSSRTSRVDQILKNHSDIVVNTLKLVIHDLNNVSTCHLNNWLQNAFMPGIEEVFLKLPTKCRAEYNFPCSILTNGHENSIRYLCLTDCAFQPTVGFNCLRSLTKLNLYQVRITGVEIWYLISSYFALEHLELNTIHGWSGSGSCIIFLKIPSWLERLRFLRVFRCKMLQIIEIQLTLGESSQVKSLHMVFSYELNIIGYAINKIPSIIRLSIYSNLRRFSGYMKLLKGRGQSRVMICHHVENRTPNFLFFSFLELLLSSNLTHSTGPPAVLMVLYAGRHASVDVACFFYALSDVSNIAMSPLHDPQAMKEIGQMVPDLMEQRAKRAGLQ >Et_2B_021293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28387540:28388052:1 gene:Et_2B_021293 transcript:Et_2B_021293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGAAAGEAWWLPHLSTVACCAAGVFFVLYFYAPYWGVRGVPAPPALPVVGHLPLLAQHGPDVFSLLAKKYGPIFRFHLGRQPLVIVADPELCREVGVRQFKSIPNRSLPAPIAGSPLHQQGLFFTKYGDARTLLF >Et_7A_052088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4506090:4506530:-1 gene:Et_7A_052088 transcript:Et_7A_052088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HPDTEEAMSGVVTKFAVTSMVMWIVPVAIVYGFYYEMIPGVSQLSSSTQTLISGFLAVISVNLVIGFYICMAMKETPHQEPQPDPTFVANAKASINQPTSSQPSDDSKGKGKVE >Et_5B_044949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7625591:7628749:1 gene:Et_5B_044949 transcript:Et_5B_044949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRKGMKHRGYLPWTDDMDQALLDVFVEHDHKGDRTPTGWKPNVYAAAVRNVREKCQVEITKDHVLSRCKTFDKHCNIVSRILAHDGFEWDQDRDRLLIWDGNAWSRYIERNRAAAGYQHKVIKNWDAISLVFSREHAATSEDVSTGAENGQEVASKGAEDVHEVSNSPSKSAPSSSNQGHGRPQALTQPKQIGSRKRLRTNEALFCMSGEIKNSFQISISSDKLPKEPQNTPPKEIFAALQEIPNLARDDLLKAYCILTTNDRKFESLLALPMDMRKDWLLMEIGKNNDRQKPMVPLFQSDGPDVLTWKPNRKGIWTAQSAYKLIHSEEIEK >Et_9B_066177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5875115:5877929:1 gene:Et_9B_066177 transcript:Et_9B_066177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQANASFGTFTRMHLLCYLETELNTGTQNISHVGTEITPMMLT >Et_2B_022679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30074816:30077491:-1 gene:Et_2B_022679 transcript:Et_2B_022679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSNASSNPNPSPVPSAPPLYPTLSMADLAPVHIGPTSSPTAADNDDNGPPPSEDVLLRVPGAQLHLIDRSRSHPLAAGDLSLLRIRSGDTSLAAIALLSPIQWPLARDVAAVKLDPCHYSFSLTVPASADDPNPEPLHYGLTLSHPDPRLDGILSTYTNFSVHSVVGTKELESKVRSEVEAAAYWTAVAPNVEEYGGAVARAISTGAGHLAKGILWCGEVTVDRLKWGNEVLRKRMQPGDANAEVSPEMLKRIKRAKKVTKMSEKVATGILSGVVKVTGYFTSSIANSKAGKKFFNLLPGEIVLASLDGFAYIVFAPDVTGKICDAVEVAGKNVLSTSSTVTTGLVSHKYGDKAAAATNEGLDAAGHAIGTAWAVFKIRQALNPKSVLKPTTLAKSSIKANVAELRAKHGKSK >Et_5B_043780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1667172:1674877:-1 gene:Et_5B_043780 transcript:Et_5B_043780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKVGLVDVMSSGGKKSLNTVTLLFKLPYYTHWGQSLVIAGSEPALGSWNVKQGLALNPVHQGSELIWCGRVSVAATFTSEYSYYVVDDNKNVLRFESGEKRKLVLPEGIQEGDVVEIRDWWQDASEALFLRSAFKNVIFNTTENDKRELHSVSINKSLDPEEIVVQFVISCPRLESGSSVVVTGSNPQLGRWRAQDGLKLSYVGDSFWKGNCVLRKSDQISQAGNPSLELGPNREVDIDLSSPKQSRYIVLSDGALRDAPWRGAGVAVPVFSIRSDEDIGVGEFLDLKLLVDWAVNSGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSDAIPADIKEEIQQAKKQLDKKDVDYEATLSTKLSIARKMFNLEKDKVLNSGSFKQFLSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSQFSKEKLEKLISEGTLHYDVICFHYYVQYHLYMQLSDAAAYARKKKVILKGDLPIGVDRNSVDTWVYPTLFRMNTATGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQLAKYFTAYRIDHILGFFRIWELPDHAATGLVGKFRPSIPLSQEELLSEGLWDFDRMCRPYIRQDILEEKFGSIWTVIAANFLNEYQKQRYEFKEECNTEKKIIAKIKTSSEKSLWLEKEDSIRRGLFDLLQNIVLIRDPEDSTKYYPRFNLEDTSSFRDLDEHSKNVLRRLYYDYYFVRQENLWRENALKTLPVLLNCSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPNLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEGRRSRFYKTLVGSDEEPPSRCTPEVVHFIVQQHFDAPSMWAIFPLQDLLALKDKYTTRPAAEETINDPTNPKHYWRFRVHVTLESLLDDKDIQATIKDLVTSSGRSFPGKKVEGADESGEKLSKVQLNGSLK >Et_7B_053484.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:22661349:22661648:-1 gene:Et_7B_053484 transcript:Et_7B_053484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIKAPPEATTAGDDGDECRVCLSRIRTGEATRRLPCRHTFHRDCVDRWLQASCKRTCPLCRVYVVDVERRPVAVKRAGETTHADDLVIWFSTMIVPGF >Et_8A_057788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7192596:7197285:-1 gene:Et_8A_057788 transcript:Et_8A_057788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAAATASTPPAPAPAAQVASPAGEPHAALLLALGHMRLRELLSCGRVCRGLRDAVAGDPLLWRRLAVEPPLSHRITDEALLELTDRAEGRLRSLHLLGCPRVSDAGLPRVVERNPSITELFVPRCTGLTADGLVKVVQFLHEHKGNLSRLRLHGICKMTKHHLDVFNYLMSRSSQQQDAQALHYNHRVHEVLNTDDERPIDVDVCPLCRNVRLVFDCTRDDCRKVKDSWTHCRGCFFCVARCETCGGCIDLEELGETGLACSDFLCMECWLKLPKCCTCNRPYCERHSELKENLSPSGQFTCQECMSFATSLESLEVGY >Et_2B_020981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25445959:25449636:1 gene:Et_2B_020981 transcript:Et_2B_020981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDVCLALLILVLLTNLESTTSIYHVHSNMRGPSLNMTSFMGIDPIIKASGDESKHSQYYARYRVDTPPAGGYTGGMATLDVSSFSSIKSGEFTAALIWVSTGKHSLTGSNDIQAGWMVGPSYYRDNKTHFFVYWTSDGYRSTGCFNLDCTGFVPVNDAPITPGDSLEPANGQSKISFKIFKNKEDGDWWLHFGYDINDMNLLGSGQKAYLLDHAELITWGGSTMCPSGNASPPMGNGQWPGKNSTSFENVKLVDTSGQGYAPPAWTLCVYANNKKCYQASIFLDDMFYYGGP >Et_4A_035188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1174770:1175343:-1 gene:Et_4A_035188 transcript:Et_4A_035188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAEVMRRLDRRAFRGGFILEKVLGPMSTGHVELRSTDPHANPAVTFNYFRDPRDVERCARGIARLHLRQPHRHGGRLPPPRGRDGLLPREPDAAAPQGHAPYCRETVMTIWHYHGGCHVGGVVDRDYRVIAVGGLRVIDSSTFKYSPGTNPQATVMMLGRYMGLRILKERLMVRKGNVDDDKHH >Et_1B_013647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10655069:10658606:-1 gene:Et_1B_013647 transcript:Et_1B_013647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASCFSRLLCSCCSTSSFPDPPYPHMDPPPPPPPPPPQVGGETPYLDADCTLRALAGRAEGFGRHAIGGLHGAVYHVTSLQGKNFFLFPFSFFGSMVQVDGSSRVDLGNELFSNLKCWDFLDLSDDGPGSLREACRQAEPLWIVFEVSGTIHLSSYLRVSSHKTIDGRGHRVVLTGKGLQLKDCHHVIVCNLLFEGGRGHDVDAIQIKPNSTNIWIDRCTLADYDDGLIDITRQSTDITISRCHFMRHDKTMLIGADPTHVGDRCIRVTIHHCFFDGTRQRHPRLRFGKVHLYNNYTRDWGIYAVCASVEAQIVSQCNIYETGPSRKTTVFKYMPEKAADRDDVVAGWIRSEGDAFLNGALPCLINGPGVEGVFRPQDYYQRWTMEPGSPALKELLQLCAGWQPASSSLVKKQRASTVNIWPIRLSSSLVAA >Et_9A_063305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19514256:19517087:-1 gene:Et_9A_063305 transcript:Et_9A_063305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNRTSVLNTSISSVSSRPTTPSRRSSTVVAPKQYVPPSRPVSARSSTPVKARPSTPAKTRPSTPSRTRQTAPNASTDSAAAKPTSTQSSRPSTPNSRSRIMSNSSSGNVNGISRPGSSSGNVNGISRPGSSSGNVNGISRATSLSSTTRSSAPTMGRSPSVGRSSSISSFTSSVNRSAANSGRNSAPSSAPSSRPSSPNPRPRAPVRPLDIPDFPNETPPNLRTKLPDRPLSAGRSRPGARSTPNTEHSASSAPVKKAVVPAVNRSKFSDAPSRTPLTNGHQNRQAERSAVDSQTNRLARSVTSTDNGFGRSISKRSLDMAIKHMDIRQNLGGIRGASLFPQSIRAAATKGRPARVSDPGHMTSNGDRHYADNASSVNGHLSGDSNGAPSRNGGSSTASPDRASIGTKDTLSELDMYGSSRYEAMLLREDVRNTSWLHGLDDKPDQSPLFDHRFEPLPEPFSPL >Et_6A_045983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15508906:15509436:1 gene:Et_6A_045983 transcript:Et_6A_045983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKICDFGLVTYYDEAVTTWCGIPHGTYGYMAPEVYELKSSCTFESDMWSLGAVMYEFITGCPLISGRDSTGTITRMRSLFGSPGKGADLETNEETKTHADREREIRHAIFHRRFSIQCSELIAGLLRFDPFERLSASEALDMDWFANHGIA >Et_1B_011501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2341336:2346835:1 gene:Et_1B_011501 transcript:Et_1B_011501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVGLLRPALPITCTTPLLGRFAAVGHRSRIAAAVMAGLTTTPFAAPQIGAFLSRKPYAPPAWASHLSPMPSHTYSLGHFPTPIHKWNLPNLPEGTEVWIKRDDLSGMQLSGNKVRKLEFLMADAVAQGADCIITVGGIQSNHCRATAVAAKYLNLDCYLILRTSKLLVDKDPGLVGNLLVERLIGAHIDLVSKEEYGKVGSVALADFLKKKLLEEGRKPYAKGLGYAMNTAEELKFVKDVAAATGIILDPVYSGKAAYGMLQDMAANPAKWKGRKVLFIHTGGLLGLYYKVDQLSSLAGSWRRMELEDSVPRKDGTASNTSLSGFTPYLACAFISMNSDRGIKASDEQNRDNGAVSYNPCDQATRERN >Et_7B_053341.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:11089082:11089327:1 gene:Et_7B_053341 transcript:Et_7B_053341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSGGAVHRIKAQFRPSKKGCRLISAAPLDEPSRYSGSFTSNPLIKSRAWKLTAGLSGNFKPCEITFESVSSLPGPLNGVLP >Et_1B_011995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27908389:27909090:-1 gene:Et_1B_011995 transcript:Et_1B_011995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINARTGPIELTCTRLSLMIYGIHHHGRRSVHLTLVDDNNGSRILASRNNLDVADQVTNGTCFPSKKEEEEFASKKKKKKKKKKKKKKKKKKERKKKRKRIGPARLSMLYKNEPETRGAFAPMFTKSTPIFESSKLANVLIINEKKKRASDICVRKRNAS >Et_2A_015246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:122901:124032:1 gene:Et_2A_015246 transcript:Et_2A_015246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYGCHSCAARAAIVFCPVDAARLCLHCDAALHGAAAAAALHPRAPLCDSCGAAPAALRCAATAAALCAGCADRRAPAATTFAGITTYTGCPGPAEMVRLLSVEAPQQPEELDAWLADHKLIFHDDDSDTGILIEKLLLADHHWAQASSSACQTNPPVVNKTISTPVMIEERPPILRPTHSLWNAWQPPPAAETSMMESALLQSLALQSNTDHLLLDATTTNNNNSDPVSLPEMNKPPPQDPTTVTNKRQERDRAKLRYNEKKKNRKFSKQILYASRKERADTRKRVKGRFAKASTSTSHDPSPSLN >Et_4B_037301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17794061:17798099:-1 gene:Et_4B_037301 transcript:Et_4B_037301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAIRGAEFHGLRGAASQLPRSRMLGRPLRVAPPAASPSGASGASIRAVSAPQKDATEVKRSKVELIKEKSNFLRYPLNEELVTDAPNINEDAIQLIKFHGSYQQYDRDVRGQKNYSFMLRTKNPCGKVPNQLYLAMDTLADEFGIGTLRLTTRQTFQLHGVLKKNLKTVLSTVIKNMGSTLGACGDLNRNVLAPAAPYVKKDVLFAQQTAENIAALLTPQSGAYYDLWVDGEKIMSAEEPPEVTQARNDNSHGTNFPDSPEPIYGTQYLPRKFKIAVTTAGDNSVDILTNDIGVVVVSDDAGEPVGFNIYVGGGMGRTHRVETTFPRLADPLGYVPKEDILYAIKAIVVTQRENGRRDDRKYSRMKYLIDRWGIDKFRTEVEKYYGKKFETFRPLPEWQFNGYLGWQEQGDGKLFYGVHVDNGRVGGQAKKTLREIIEKYNLDVSITPNQNLILCGIDQAWREPITAALGEAGLLEPKDVDPLNLTAMACPAMPLCPLAQTEAERGILPILKRIRAVFDKVGIKDHESVVVRVTGCPNGCARPYMAELGFVGDGPKSYQIWLGGTPNQSTLADAFMNKVKLDDIEKVLEPLFSYWNSTRQEGESFGSFTNRTGFEKLKEVVNKWAESPAAA >Et_2B_022487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23580219:23581598:1 gene:Et_2B_022487 transcript:Et_2B_022487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSGTMRAVQYDRYGGGAQGLKHVEVPIPSPKKGEVLIRMEATSINQVDWKFQKGVARPVMPRKFPFISGHDLVGEVVKLGSGVSNFKPGDKVIAFTFPPRTSVLSANARSTTIWQNGGGLAEYAVASASLTVPRPPEVSAAEGACLPMAAVTALRALEAVGIRFDDPARGDTAARKNVLVTAASGGVGHFAVQLARLAGHSVTATCGARNADLVRGLGADEVLDYKTPEGAKLRSPSGRRYDAVVHCATGVPWSVFRPVLAPGGTVVDLTPGFAAFSTSLLQKATFSKKRLVPLIVSPKKEDIALLVGMVEQGRLKTVIDSRYPLSRAQEGWAKSMEGHATGKIVVEMGGAE >Et_3A_024074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17047291:17050149:1 gene:Et_3A_024074 transcript:Et_3A_024074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTVLAPPLLLFILAVLLAGGCASAAPANETTTFRPGAELRRYRRSPDGDIIDCVAAHLQPAFDHPRLQGHRPLDPPVRPKGHHRRPNATADAGVQLWAASGEACPDGSVPIRRTTEADVLRASSVRRFGRASPARVRRDSVAGGHEVRAQPTSSFPVILVEQMSSFCGTHNNEQCWTGLLQHAVGYVAGDEYYGAKASINVWAPQVSTPSEFSLSQIWVIAGSFGNDLNTIEAGWQVSPQLYGDNSPRFFTYWTTDAYQTTGCYNLLCSGFIQTNSRIAMGAAISPTSAYSGGQFDISLLVWKDPNHGNWWLEFGNGELVGYWPSLLFSHLASHASMVQFGGEVVNTRASGSHTATQMGSGHFAGEGFGKASYFRNLEVVDWDNSLVPLAAGFHVTADHPNCYDIQGGVNAVWGNYFYYGGPGRNVRCT >Et_4A_034534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4139065:4141660:1 gene:Et_4A_034534 transcript:Et_4A_034534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ISLYHELEISSFRQQPQQQPDPNFQDIPTQSWYPPSVVGSSSRPSTPGSSNASPHQRASDHPQPSSRGQPSPAEAAGIIARLKDKSIEELQRLLKDKEAYNAFFNSLDQVKTQNNLRDELRKETMQLARENLEKEQRILELRNQCTIIRTTELAAAQDRLSDLERQKDEIMRSYSPAALLDKLKASMAELDQESEELHQKFLEKDIDLPTFVQKYKKLRTAYHKQALLHLAGKTSLQ >Et_2A_016824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28680152:28680859:1 gene:Et_2A_016824 transcript:Et_2A_016824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPERAIISVWVKPKAAKLKSARGSTTLSRVDRLPSYRPSSTGCASSARSLYYLVHGFPCGEGQDVGAGHLVLALRVAVYGGLGVHDHLEPLRLQRLVVGAPPLVVAVRVHDQDRRVAALLVKSITLISLTQGERELTSWKKSLSAAGPENGDCAMDCFTELRMMVSRFGHMLA >Et_1B_013105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5544713:5547316:1 gene:Et_1B_013105 transcript:Et_1B_013105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPRSEIYPTIEYRPIQPSDLEALEKIHLTLFPIRYEKEFFLNVVNGHGIVSWGAVDTSRSDEGRGEIIGFVTTRMIAAKDSEIEDLFRYNSSRKELTLVYILTLGVVDRYRNLGIASSLVREVIKYAASISNCRGVYLHVISYNQPAISFYKKMLFKLVRRLPMFYYIRGQHYDSYLFVYYVNGGRSPCSPLEIVTSFVVDFRAFVKMLVAKFWSNEETCTPRWSRCKESTTLLVPQSNKRIISGDDSRCHV >Et_1B_010978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15996027:15997775:-1 gene:Et_1B_010978 transcript:Et_1B_010978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSPREEGAPARAAVTACLNVAFLVLLIAATGGDVDVLPKNDGRELPGHGADGEDRCRRRGHLAAGRFDHPRGYVDYLYLFYCVFSGGAVGYVAMAAWLAVLFYLLGDTAAVYFCPSLEGLSRLLGLPPAIAGATLLSLGNGAPDALSSVASFAAGGGGGAAAAVGLNGALGGALFVSSAVLGVIGVRLGGDGAAVDRASFFRDAAFLLLALAAMAVVLAAGEVTVWGAAAFASLYFVYVLAVAFTPDRWSRRHHHAEAEAEMDAEHTAAVADSSELHNEAQQPLLTDTVPLLQQYDGDNNGGGAKKRVAGAFRIVLRAVELPLSLPRRLTIPDATSKERWSKPTAVAAAALAPLLLSFLCRHAKGSPPHLAVPVGALIGASLGFLAFLTTDPAAPPTRCRAAWLAGGFVMSVAWAYVVAGEVLALLVSAGHVLHVDAAALGVTVLAWGNSLGDLVTNVAVAASRGGGGGGAQVAVSGCYGGPVFSVLVGLGLSMLLSCWTGYPRPLEVPREPGLYLTLGFVAAGVLWAAVTLPRRGMRVDRTLGLGLLAIYFCFLCINISRVLGPKSRE >Et_6A_047949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25098814:25104119:-1 gene:Et_6A_047949 transcript:Et_6A_047949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGEGEDLLGVHAAEEVPRPWWLKMGAATRACCERQCLNCNACLAACSTSLGACWTSTSETWNQKREAIRNWWRSVCPLSRRWSLRNRALADCLDNAASIGVMAILTLNIYCATYFLVWAKPDSICLVGKEKDATFSDGFKTRVKIIAIGLPFAACPLTLYIAGQAKALARNRRSLGFVSGMVILEYIYILLIGALMTVCMSGSPYKAVGIVTGFLILLLMVTWGCCCYHPQGIRNHAESRRVIIYMATPNKGGGVPVDLENGGGLSRADDHREMATSDDGLHVDLENGSGGLSSGVPGVTKAKAKKKKLTKAKKKLKNRSSKAKDSVNPCSGAILMMLVFYYPFFVLYMVGFENWWHAALGITVATLLIFLLFCMATCSKDFFTEPREGNAVKHPDGDSDLGKRLLESENLDADG >Et_6B_049882.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:16256535:16257185:1 gene:Et_6B_049882 transcript:Et_6B_049882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCEGVVQAAAKRKIDGGGVGGRQRSCSGEGKTTVAEAVEEETTGSSGSVEKAAAGDGGAVFGEVASDTSKPMSAIEYLVAHKRLPQCDIDFILMEKRTRKPFAETSAFEHLAADASTTPEDLAAAAALHEAQQERSIRFQEFVAREYVTHGEVAVDDEYIARRVETEAFSEQLWEKGFAGMDLSDFADTSDDEGDEFGFVPAVTREEVKQRFGL >Et_2B_020957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25097502:25101819:-1 gene:Et_2B_020957 transcript:Et_2B_020957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNADGPPPESGDHRAVSLFRSTKLDPTYSMSVADCIAYLTVQAPDQDGTDQTASGHNPRRPNLSLQIPARTLDNQMPTSTRINIPASPSSTRAGLPPRPNSTRTKSSIKNIIPQNSFRARSSAPEGDRVILLNPGTPSEGQQENLNTARSFSFRKVINSLAAKRTHSLPVTPMGTTDKVSSPGNQIENLPTTSNQEVQAKIRRSLSVPGNRKNKSLRRAESLGVIRVIPTTPRPVPVDTITSNDGIQETADVPEDEGEDIPEEEAVCRICFVELNEGGETLKMECSCKGELALAHQDCAVKWFSIKGNKICDVCKQEVTNLPVTLLRIPTQTANRRIANSAQQRAAAQQYRFWQDIPILVMVSMLAYFCFLEQLLVTDLQSHALAISLPFSCVLGLLSSMIASTMVSKSYLWAYASFQFAIVILFAHIFYNVLRVNPVLAVLLSSFTGFGIAISTNSLLVEYLRWRSRRNQRLPQRASNDAQRPESENNHANENNGDRQQGHDPESGNNAIKKRECFTKIQKGKTGFPPKKERSVRSILAQYNIIVEVALRGETAKLINPKSHV >Et_3A_023318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20492644:20493969:-1 gene:Et_3A_023318 transcript:Et_3A_023318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFSKKRKPDENGAAVAVSPAGGAAALGLTRDDVLRLLEPLSRDQLADIAAAAALVSAAALDAVRAAADRDPALRKLFVRGLGWETTSDSLRAIFSSYGDLEEAVVITDKATGRSKGYGFVTFRHADSAVLALKEPSKKIDGRMTVTQLAAAGAAGGAPPADVSLRKIFVGNVPADMPSDRLLSHFASYGEIEEGPLGFDKQTGKFRGFALFVYKTPEGAQASLVDSVKVIDGQQLVCKLAIEGKKGQQQQQQQMMQGGPQDMSGPGMGLGPQMGGQYGPGGGMPSFGGFGGGLGGPSPYSNLPSSMGGGGAGGLGLMGGQMPTGMGAGAGAFGAGGMGGGSFGGSSQFGGTGMGPYGGLGMGGASQLYRMQQGGGYGEGGNYPLPGSGFRGQDPQGGMSPGPVGRAPPMYPNVPPYF >Et_9B_064987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20262349:20265448:1 gene:Et_9B_064987 transcript:Et_9B_064987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRVVSSLLRSASRIRGASPAAPRPRAPPHRPSPAGYLFNRAATYATSAAAQQAPATPPSTGKTGGGKITDEFTGAGAIGQVCQVIGAVVDVRFDEGLPPILTALEVLDNNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDERGDIKTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQVDPLSLISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVKSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAS >Et_4B_037011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13538427:13540862:1 gene:Et_4B_037011 transcript:Et_4B_037011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SAFDLKAPKKSPLALRMVVLAMTMLCGVFICSMCMKQLGSESWSRIVKIEVAPQPCNKSIVPPSEVQFVHYPQPVTYSRDECMCNPVRFFAIISSQRSGSGWFETLLNSHINVSSNGEIFSTKERRSNISSIIKTLDKVYNLDWNSSASKNECTAATGFKWMLNQGLVANHADIVDYFNRRGVSAIFLFRRNLLRQLVSQLANNHDRYLKQLNGTHKAHVHTRDEANILAKYKPRLNTTSLIWKLKQADEYTRDALQNLKSIRHITIYYEDLISNRTKLVDVLDFLKVPRRKLVSRHVKIHTKPLSEQIENWDEVYSALNGTEYESFLNADYRI >Et_1B_013489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:962130:965273:1 gene:Et_1B_013489 transcript:Et_1B_013489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVVGGKFKLGKKIGSGSFGELFLAVNVQTGEEVAVKLENVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMINRVEYMHQKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPTEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSNPRMRANERTTGPAGPSIEKIDKTPGEASGRRNPSASLNQNDNYVQRPREGVSMSLKEIMHSTDRSGERTVERPRTSSRTGSASRRAIASSSRPASSAEPSEQYNRTSRLFSSNSGSRPSSTQRVNPSAGESRATSLSRAAVARGSRDEPLHRSLELLSLGGGKRK >Et_4B_039528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20307074:20308128:-1 gene:Et_4B_039528 transcript:Et_4B_039528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAKAAAAGGGGATRKRRRIAVGSGDQYEETCRLGSGNYGAVVKARHRATGKIVAIKHTVAADGDPAKELMQEARFLEDCTGIPFVVGYDGVVRDPATANLGLVMEYHRLVESSESAPLPESTVRAVMWQLLTGAAGMHGRGIVHRDIKPENILVAEDLSVVKLCDLGLAMSTSDPPPYEPAGTLCYKAPEMLLDMTHYDARVDAWSLGCVMAAMIRGSALFQGCYDDGQLCAIFDVLGVPDDDTWPGFSSTAFATVVLPELDVQKHNYLRELFPETMLSEQGFQVLSGLLTCNPANRLTAAAALKQPWFAGVDAMELPIKKKVASTLPQKKKLRPPKRRRLQCV >Et_2B_022023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8096219:8108182:-1 gene:Et_2B_022023 transcript:Et_2B_022023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPWVLLGRVLRVAAVPQEGAEAEPAAAADDDEDEDNFSIPLALPPRVTVITANPSAQHDPAYPDRYPYVLAASSFCVLINLSVLPFYGVQFGDHPHEPHLVLVHEREFHHPEAGVCTATAQRLPPRDGSFPIIYNLESIGLVTLGVGVIDSHVVAELFVDRGSESASIVYWFAEGADLWYKEDFVYPLAAHRDRDWVPSGVVSHDEKNWWFDLSWGLLSCDFEVFPSMKLLFHDLPEGRALDASQPDIRHRRCITESSGALRYVEIIPDGDASATVSLWTGTQVPNDEGHGILVWDLGYEVSFEEIWDDDTYKATGLPHKVPVLTAVSPSNAALVYFCLEEEQRLFGVDVPLHTVVQFVDEAYDLVMPWPAPLCSRYVLPWPLPPQVLQGTHETNISTNASFPIHDSVEDPEELSVELALPPGISILTVPMSLHPNYDDADKHPYVVAADPAAGLLLLHVSEWPFVGFDLDKDPVGALLVARGFLPADPAAGRDAHVATAARVPDRARSGLRGISSIKNIGLVSLPGSGGADYVVAELRLDGADVDAATLFTFRSGSDGWVEKELSCPSMHFGRGMWSSSHDVIAHDGKLWWVNLVWGLLVCDPFADEPTLRFIKLPDSIGDVRKVVDPPSTESNRMVGVSNGKLLFVEMTREVVDPVEETVVVVQSLGFDRSSGEPWWDWMSANSLGAIWASRGYKAAGMPYREVPVLALVHPHDPDVVYFFLKECLFGFDLIMNRVTEFVHKPGLVEVVSGTKRPPPISWRYVVPWVLPPSLANAHNNLMDEDKDRASPVKEPAPKPAGFDAEEALRLGVRAALAMDDDTLKSEVYMFHGVEASRGQGEVDSRQHRPRFNFRLPDEQAEADVRKVLGRSQKRKIS >Et_4B_036492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26860149:26860988:1 gene:Et_4B_036492 transcript:Et_4B_036492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKGGVAEHPAAAPDAPAPVLRQLFSPQPHAFDGAVNPVGSGSAGPRATRARSHEAEEQEAALELPCKRAKSEPGALGQADAGATEPAWVRAELLPRLGLPADLRLHFVEDNKIMQNSDLDPNQNRFLISFDASNRLRAFLSAGELVDCGVVVSGRRRTRPAAAAATSCGRGGGGRKKKKKKRAVQFKYPGVPVLVHQRDVERDAKELKLNTFRSTAAMVVNGAGYRDIVQGNGFVKHDRAEVWAFRRPQDQKLCLVVAK >Et_2B_020725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2365082:2381580:-1 gene:Et_2B_020725 transcript:Et_2B_020725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAPGGSLTATRCMRIHCHKVPDQALPFTPSNSIKVKPPGWTKQALMQEMSKTLRSITSNLAERFIDTAYRFSEQPWMNEGNFRPVSEIGKAVLLKDLDGEVPQDFPEGVYIRNGPNPLNPTKTIADSIVGSTSYLYYEGHGMLHAIYFNKSSLGEWKISYKNKYVDSDTFQLEREKNEVTFVPSADGQPYATLVAFVLNMLRFGKAVKDSANTNIFEHAGRVFAVSENHLPYEININNLNTLGPYNINGAWDQPFTSHPKKIQGTGELVIMGTNIEKPHYVLGVISSDGERLLHKVDLKFDEGKFIHDIGVTKKYNIIMDYPLRFGILRTFLGKPFIENDLDGKSRIGVMPRFGNAESIKWFDVENHCSYHLFNCFEDGDEVVVRGCRIVGSVIPSGRYRVDKSKWYGRAFLQPDRDSKDFDPSIDGILFSRPYEWRLNLKNGTTNEGYITSEKVAMDFPAINEKFTGTQNKYGYAQVVDSLATSKTGLFKYKTIAKLHFEEQDKENKKLVLVEYHTLKEKEFCSGVQFVAKENGIDEDDGWVVTYVHNEETDTSQVYIIDAKRFSEEPVAKVTLPQRVPYGFHGCMRIHCHKAPDQARPFTLPNAIKVQPPGWKKQALMQEISKTLKSISSNLLEGFIDTAYRFSEQPSLNEGNFRPVSEIGEAVLLKDLDGEVPEDFPEGVYIRNGHGMLHAVYFEKSSLGEWKISYKNKYVDSDTFQLEKQKNEVTFLPSADGQPYATLVAFVLNMLRFGKAVKDSANTNIFEHAGRAFAVTENHLPYEINISDLNTLGPYNINGAWDQPFTSHPKKIPGSGELVIMGTNTEKPHYVLGVISSDGERLLHKVDLKFDEGKLIHDIGVTTKYNIIMDYPLRFGILRTFLRKPFIENDMDGKSRIGVMPRFGDVASIKWFDVENHCSYHLFNCFEDGDEVVVRGCRILGSVIPSGCYRVDKSKWYGRAFLQPDRDSNDFDPSIDGILFSRPYEWRLNLKNGTKNEGYITSEKVAMDFPAINEKYTGNRNKYGYAQVVDSLATSKTGLFKYKMIAKLHFDEQDKTEVSEKLQENKQLILVEYHALKEKEFCSGVQFVAKENGIDEDDGWVVTYVHDERTDTSQVYIIDAKRFSEEPVAKVTLPQRVPYGFHGNFFYK >Et_1A_006197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19032774:19041143:1 gene:Et_1A_006197 transcript:Et_1A_006197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSAPHGATAPLLLLLFSILFLSSASAAEASGYGERKTHLHFYFHEIFSSGPNGTTANIAKPHGGINNSSFFGMAGVLDDMLREGADPSSRLIGRAQGLSVGSSLSDGSLLTLLDLVFTDGAYNGSSLQVFGRALLGTVMERPIVGGTGVFRMARGYTLSKMLNTTPTSGTENRLCLYSCPSSSPPPPQRRKDAPPDLHFYFHELFGSGPNGTMALLSPARGGINNSSLFGMLSVLDDILREGADPSSRLIGHVQGGDVLLTLFGRALLGTVVERPIVSRIGAFCMARGYTLSKMIKSPDPNNLLILEQKDIIETDCSMMAEALKKVNEDRSNIAPLLLLSLLFLSSGGEASGDGQAPTHLHFYFHEIFTSGPNGTTAVLSPARGGMNNSSFFGIIGVVDDILREGADPSSRLIGRAQGLAAGTSLSDGSLLAMFNLIFTDGPYNGSSLQVFGRVLLGTVVDRPIVGGTGAFRMAHGYTLSKMIESPDPSNLLILEYDAYIWH >Et_1A_006010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16596993:16599624:-1 gene:Et_1A_006010 transcript:Et_1A_006010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRRKRAAEEWERRKRARKRRKEREGEVGSRSSSRSDPVEVLGEGVMGRVMELLDARSVARCTAVSRTWRGIAADDRLWAPKCAELMAGKAHIPRSTLIRTGSKLSTYSMAMMDSKRAAPEYWRNLDPSWKHTGPPMRRYFHPDGYHSADPHDAVWGGHECTYTVITSYVGDGQIREHYVRINRWPPLKVSRKDDWSWELSNHLYQYNSIPDAKKKGCTGPLFPVW >Et_4B_038402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28823894:28828510:1 gene:Et_4B_038402 transcript:Et_4B_038402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLQRLLAASTKIIGVGRNYMAHAKELGNPVPKVRFAEQSIKHTRVTKLSRQRSLLPPRHLIHSFFAAPPAMLGCSQEPVLFLKPTSSFLHAIPEPLEHHEVEVAGGVTTAAVEVPEPLASPHHEVEVAGGVATAAIEVPVESLQQEGEVAGGVTIAAIEVPESVSSLHHEVELAVVISKRGRDVPEALAMDFVGGRLDISHSRWKFSMIAKRGRRSPNRTVSPICSEDNNSESCPSVLERLLLVHLLLRHLHLLAKHAQVATFPAMAAAAAQRLLAASTKIIGVGRNYIAHAKELGNPVPKEPVLFLKPTSSFLHAGGVTTAAIEVPEPLESLHHEVELAVVISKRGRDVPEASAMDFVGGYALALDMTARDLQSVAKIPKSAVTNPDDLELWLKVDDELKQKGPTSDMIFKIPFLISYISSIMTLMEGDVILTGTPEGVGPVRVGQKIKAGITDLIDVEFDVDAEHSLRNVTTSLEQLQKIFETP >Et_3B_029293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23797632:23802049:1 gene:Et_3B_029293 transcript:Et_3B_029293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDMKGNKDLGKPSFSKMNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRLHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDVSRTAFPGNKAVKREAWKYKFRTRFLPEKLHNATILAYTTLAIPNTTESTSSLQHSDRDRLSRTSWGKKNASSCTSKLTGF >Et_5A_042200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7292819:7293974:-1 gene:Et_5A_042200 transcript:Et_5A_042200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLACFGRRTASAPEEGTAAADDQQQVAPPGPVLVELFSSQGCAASPEADAVAARLAQDAGGGGVVVLGFHVDYWDYRGWKDPFASSAWTVRQKAYVEALRLDTLFTPQVVVQGRAHCVGTEQDALAAAVRDAPRYPAPAMKVTFQRPNPTTLQASFTGTLRSKAEGGAASVMVALYESGLVTDCGRGDNKGKSLLNDHVVRRLEKVAAVREGASAKKSVSGTVQFALWDGFRATKCGLVLFVQNAAQQVQGVQHFDLPDNV >Et_1A_007733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37681674:37692062:1 gene:Et_1A_007733 transcript:Et_1A_007733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPEDEGRYQTYSSDRNCWPSHLEHKSQSFSLTKQEKNLDSADSSLEFREADLSFNASQTLWSTGSLSSPIPNGFYSVIPEKRLKERFDTIPSPDDLYSLGIEGFKAEIILVDLERDKKLSALKQLCTALVKGLNSNPPAVIKKVAGLVSDFYKRPNPQLSPARTSSEDLSHFLENRGVQLVGQIRHGSCRPRAILFKVLADSVGIDCKLLVGIPNDEPHEYDDSSKHLSVVAMLNSADFLVDLMRFPGQLIPFSPKALITSHTSAAGESDSADYDSCDSPLEPNSPLCAQRQEQDDNNRSFKVPSLRNIMLRTTNSMDGNLRCSSHSDPSVANAFSGRSSRRKVVNENQRTASSSPEHPLARARGRSILGDRQYGDGVAVSRSVGASTSNTRISRRRSISITPEISDDIVRAVRAMSESMRNNRLSREHNDGSPGHSNDSQKYESVGDSYDKEVSARGPNALEGLRRQINAQKAVSLPSSPHRSGIHGSDLGGPADFSAEDLMSTWNKVLQSSPFLNKPLLPYEEWHIEFSEITVGTRFFGEVFRGTWNGTEVAIKVFLEQDLTTENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSLVTEYMEMSSLYNLIHSRAQRSKLHWRRRLKMLRDICRGLMCMHRLKIVHRDLKSANCLVNKHYTVKICDFGLSRVMSTSAINDNSSAGTPEWMAPELIRNEPFTEKCDIFSFGVIMWEICTLNRPWEGIPSVQVVYSVANEGARLEIPDGPLRTLIADCWKEPENRPSCQDILTRLLDCDHTLC >Et_5B_043777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1587472:1592191:1 gene:Et_5B_043777 transcript:Et_5B_043777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLLRTRGLAPATRSDYARVACYHSSEDQRQNKRNARCFAVFVKCTGPFLRFDEDWIAILLSMALTITFFPGMQDNLFPFYKEITILLCSSIWVERNNWIFNNTIPIVAGCKAQFYQKFYKGAHLSEESYLPIPVT >Et_5A_042174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7059346:7063480:1 gene:Et_5A_042174 transcript:Et_5A_042174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAVGGGAGPGAGGWGTWEELILGSAVERHGGAAWAAVADELRIHTPFAFSPEECEAKFAEIQARYSACNVLLEELKKQRVAELKRGLEKNDNNIGYLLSKVESLSNSKDDDSGSDCRTNNTEPCSHSGNTADTNLSSKELSRDRSSAASFTEEASNCQKSQQVQRCDNDLIQANNTSAEPFLKAIDEKACAKDSFFWGSRKQRGKRTGRILPKADANSRDGEPTSIPCIVREGSAEGGKDLKRPIVVSGEMEKGLKAPSVESGAVKKVLKTSNSESGAMKKVLKTPNEPAWLKRGLTTPSVESCVTKKGLKTPNAESVVMKKGLKTPNVESDVIKKVLKTPNANSGVMKNSLKTPKVESGVSVIEREKPNLTNILNSVCLQGDCLMLQRQVDAQRKLVGYKKVIRRHMDFRTLHSKIKSGAISSTEELLRDLLIFVNNVIAFYPKATLEHMAAVELRDIAYKTVNQGANVSSQSGGATGTSSATAVKKNTQATGTDSATTVKKNAQPGGPGPGGAKGSKVPATASSRQGVGKDSPSDKPPAANSKTVQKSEPAKKRGVGRPPKSATLKRNAAPVQEDSPSKGRKRTRR >Et_4A_034747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5986928:5991829:1 gene:Et_4A_034747 transcript:Et_4A_034747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAPGVSRKLKKVLETRTDSPDLLASLGALSTFYAQNTPQARRNLKSSIEQRSLAINRHFLDASLPAHKALDRVEGEVHALNDSWKKIEEALSSCSASTGDIISTTERLQQELEVITQRQEIVSCFLQDYQLSNEEINALREEAIDEKFFKALLHVQEIHSNCKVLLRTHHQRAGLELMDMMSVYQEGAYERLCRWVQAECKKLGDTDNPEVSELLKKAVRCLKERPVLFKYCAEEISNMRHHALFRRFISALTRGGPGGFPRPIEVHAHDPLRYVGEMLGWLHQALASERELIVVLLDPDAITDSGPTTRRHSGRDGDSSRGESDVTFVLDRVFEGACRPFKVRVEQVLQSQPSLIVSFKLSNTLEFYGYTISELLGGDTALCNTIWSLRDAAQQTFFNILKSRGEKLLRYPPLVAVDLSPPPAVREGISLLLELIDTYNSMMVSASGKTPNFDPVISALLDPIIQMCEQAAEAHKSRGSLARRGRTSSDPSGNRDSISVDAILSKNVSTLVLSAESSSKIYLINCLSAIEEKLIGQEAAASYVKNLHSMIEAHIRALVDKEADSILRKCGLSNKMPYIKDYGNKDDAKPLADVVETSPQMLLECLKAFYGLVTGTEGSLPEFEQLQVPRLRSDACYGLARALAETYELIYKAVMDPKNSYPDPRSLVKHSPEQIRTILEI >Et_4A_033765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26689014:26692748:1 gene:Et_4A_033765 transcript:Et_4A_033765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMWTLSRSVLPLLLRRRSAGIPPGPVHRISSSFFMASGAARWGQSALARRLFPHTSAPNPPARRVSRFTHGSRNVASGVEGKELMKPDRSIPSANDVLISLGTKDEIARYRNDWRAPGKEDVRRGSFNIIYGDKDARRTALDVTDGKHDTTGETVGITGCELSSDAKESWGSVTNCYSIDDVPLMDILPNSSHRDGSIYKGTNSWKRIYRIADRNETRLEAMMLSKPTNCYINNGICMSHTTRHMLQIFSLKLSKIPVGCGSIELYGYIAVRDNLDPLLHYIVNLSRDQPLIIEQGSLLKMTGPKRGIELVDTLLIEYDLRIKIGEQEKDDLQLIDGVSGVDYMETENCSAFTCHIHDYCGAVDITAACLNDAVEATVEVIVSEVRGSFNMLLDCFSSGLDEEIRLFDGAICEPCGLKRSVVAVVFDAQVDLKFKLSTNSSTPAEHCCSFKSNMHGHDTQEIKSDLGLILVNVTWSTLPGELKGYI >Et_8B_058844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:110034:117584:1 gene:Et_8B_058844 transcript:Et_8B_058844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGANWRPTQGGDPAGVAGVDPNAAAPAGGDWRAQLQPEARSRIVNKIMETLKKHLPVSVPEGLSELQKIAVRFEEKIYTAATNQSDYLRKISLKMLSMESQTKSQQNPGNAQVVPNQNAPGAAPGLPPQGSNPTQSSAIPLMSQQQTRQPNTSTSVQGSSLPSTSTLQNMPGMPQNTMNNGMAQSAPQDMYAAQRQMAGRQQQQQQAQSQLIYHQQKMLMNQKLQQNSLMQPHIQQQQSLLQPTQMQSSQQPMMQMSSGLQPGQSNIPQTQPMTMQSTTQSGIQQNSLNSVQQSVQSLLQQPTQSVVRQQQHPQPMHQQPSLQQAQTQQPNVSLQQQQQQLMGQQPNLQQNQLIGQQNNAVEMQQQQRLPVQSNNLLNMQQTQQMLNQQSMPMHQPQQMGSQANMSSLQQQQQQQQNQQQQLLGTVPNVSNIQRMHMLQQTKAAVQQPQQQQHAQQPSMGLMQPQSQQNQLQQPQQHMMSQFQSQPNQLPQQLGMQQQPSMQQRLQTSAGMLLQQNNNDQQKQYIQAPSTSVDSTAQTGHPGAGDWQEEIYQMIKSLKDQHFAELSDLCNKISMKLQHVDNHMPSQKQTDQYDKMKNFKIMLDRTMQFLQINKSSIQPGLREKIPAYERQIVGILNSQRRKPVQAPGHQQFPQSGGQPGSNISQQHQVSQGLQQHDSHANQMPQASLPSMSAGVQSSAPAGVHHVPAPQATNFGVPATQQNVANAQQAGSNLETAQGNNFNSVQHGSVGGALQQGSTGSMQGAMNAQQQSSGNMLAHNSMSTMQPNTNSMQANASSLQQLKQQQEHHMMQSQQMKRQMIHQLQQKQMLQQPLPMQQQLQKQQQAQMQVPQLHSGNDVNEMKVRQGAVLKPGMYQQLGQRGNYYQQLKQGGVFPISSPQNLQASSPQISHHSPQVDQHNLLPSQLKSGTPLHSANSPFVPSPSPPVAPSPVPVDSDKPLSNLSSLTNTGQTAHHQTSLAPQTQSLAVNTPGISASPLLAEFTSADGNQATIPSQVPTKSSAAERPLDRLLKALRTTQSQSLSAAVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITHDGSGASKKMKRDTSAMPLNVSSAGSVNDSLKQPYSVDTPELQSTATSRVKWLKNEVNHALVEEIQEINQQLIDTELHVCEDDAESFAATSEGAEGTVIKCTFTAVAVSPSLKSMFASAQMSPILPLRLLVPASYPKCSPVLLDKFPDEQRNSDDLSTKAKSKFGILLRGLAEPMSLREIARTWDACACKVIAEYAQQTGGGSFSSSYGCWESCVEA >Et_1A_004830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10779905:10780606:1 gene:Et_1A_004830 transcript:Et_1A_004830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSFVFPLFPSVALPTAAASRPPPATTLLFPRTPARRLRLAASVAESSSEEEATGSTNGSLPGLPPVEEDDDEFCPVDCVTEFKTNDEFARHLERAKATGALVVVDFFRPSCGSCKYIERGFMRLCKGSGDDGAPVVFLKHNVIDEYDEQSEVAERLRIKVVPLFHFYKDGELVESFATRDKERIIAAIRKYTSIEPE >Et_1B_010642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12417655:12421440:1 gene:Et_1B_010642 transcript:Et_1B_010642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTLVATAEEAAAMRRRLRRLVAAVAAGSADAEAFDEAAGALAALRDAELGPRKERRAGADGGRKASPEAVVPEQFLCPISSEIMRDPVVLASGQTYDRRFIQEWLNAGNRTCPQTQQVLSNTILIPNHLVRSMISQWCTENEVTLPPVENQEDDLLTNNERKTFSKLFDRIAASSNLSDQREAIKDLRLLTKCNSSLRAAIGEKPGSISQMISVVSNPELEHNEEAVEDMVTTILNLSINESNKKIIGDDLLAIPFLIRALQSGTMEARSNAAAAIFSLSALNSNKEKIGELGAMRPLVDLLEHGSMIAKKDAASAIFNLCMLHENKSRATKSGVIDVTLKAISDDSLVDESLAILALLSSDHETVEEIGETGGVASMLRIIKEDQCKRNKENAAAVLFSICMYDRRKLREVAEDEKLNGSLASLAQNGTSRARRKASGILDKLKRIMHHTHYSC >Et_6A_046674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19191359:19195884:1 gene:Et_6A_046674 transcript:Et_6A_046674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETFTVKVGEATPATGGRPSAGPIYRSIYAKDGLMQLPPEIQSPWEFFSGAVKKYPKNRMLGRRQVIDGKAGDYVWQTYEEVYRKVLRVGSAIRSFGVKPACNSQGICYVPLYDTLGANAVEFILDHAEISVAFVQESKIKSRKQNEDLPKKHKDEVCTIMYTSGTTGDPKGVIITNRAIIAGVSTTDHLLKETDKVVTEDDSYFSYLPLAHIFDQVIENYCISKGASIGFWQGDIRYLMEDVHVMKPTIFCGVPRVYDRIYTGINQKIQSGGMIARHLFQYAYNYKLSNMRNGLKQHEASPFFDKIVFSKIKEGLGGRIRLMLAGAAPLPRHIEEFLRVTSCSVLVQGYGLTESCSGCFTSIANVFSMIGTVGPPVTTIEARLESAPEMGYDALSDLPRGEICLRGSTLFSGYYKRPDLTEEVLSDGWFHTGDIGEWQSDGTMKIIDRKKNIFKLSQGEYVAVEVLESAYMQSPFVASVWVYGNSFESFLVAVVVPERQALEEWASANNKPGDFAELCNDLKARGYIQDELNKTGKKLGLRGFEMLKAVHLEPVPFSIEKDLITPTFKLKRPQLLKYYKDRIDQMYKEAKEGRTAKKFAWKQNM >Et_5A_042969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8210407:8211036:-1 gene:Et_5A_042969 transcript:Et_5A_042969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEAVVVCLLVIAMDVAAGVLGLHAEKAQNQASGRHLRILFIECRQPVRRAYELGVAAAAVLAASHAVANLAGGCACACSGDKLRRPSPNRHMASFALVLAWVVLAVGLALLVLGALPNRARKLADCGVARHRFLSVGGVLCFVHALVAVVYYASANAAKREEGRAGGAHA >Et_7B_053456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20477020:20479050:-1 gene:Et_7B_053456 transcript:Et_7B_053456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVLHLWSHWGTQILVLLSLGLQVVLLLFAGIRRREAPMVPKFILWLAYQLADSTAIYTIGHLSLSAAADRHQLVAFWAPFLLLHLGGPDNITAYALQDNELWLRHLLTLIVQVLGTAYVLYKHITDNGSLVFLATILMSVVGIVKYGERTWALRRGNMDSIRSSLKKEPPAKHNHFHPHERRLEKEERNLRRAHCLFHICTRAIVDSSVDDDSDDHDTSMALGMHKIRVRYMWSVMEMELSLMYDILYTKAAVAHTWFGYCVRFISPVAVAASLILFHFSGKDRHSGVDVMVTYILLAGALFMETTSLLNALASTWTFAFLYATRWNCLKYGLLCSGRWDRLRRAVLSLRQHVWRRIARRSGKLTRYNMLHYCARCDKPAGPLLGRLANMLGFKELWDRKHYAGKVQISYEIRKAVSDYIEQLYKMGGMNALGVLRKKWSQAALEKAKLYVDLQGLIGHEFQEGIVIWHIATDIFLVCSKVKNRPELVKAVRVMSNYMMFLLVERPYMLPGLSQSRLYQKTCKNLIRTRDGNTHEAVHKEDSLTIFIDLFRMRDDPTDSSGSRLKQTEDLASIVHEKITRYSKEIPRLSYAVSIANTLLAKKEPIWSLQVLLDVWTDMLVYAANRCSRESHAKKLNDGGELVTILWLMTEHLHQFSLEAHGKS >Et_2B_020739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23184172:23187593:1 gene:Et_2B_020739 transcript:Et_2B_020739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCKGLAMELVKCLAETDCVKVQNRPYKECAGEKVPNITSECVGLRETYFNCKRGQACSLQQSEEQVMYKNDRTATIPVKRPQFFLRHREASGRRKVTPDFTGASDLRPRAMIAVPVPIDLDTVPASAPTPVLRKYLDRGTSRLQMLTR >Et_10B_002985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1567487:1569998:-1 gene:Et_10B_002985 transcript:Et_10B_002985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAGSYERFIWGFSLKTLTSTTASEILSLAPLFSYPAHTGPVRCVAAAPRAGLAASGGADDSVRLYNLPTAADLGPLLDPSAAVNALAFYSRGPVPRNLLAACDDGALHLYDADGFALLATLRAFPRHEAAQGLAVHPSGRVALAVGRAGALAMVNLVRGRRSFACRLERPASAVAYAVDGSGGDRFVMAAEEKVTVHDSVDARIIHEMDCGKRVLAFAPAKSGVLYTGGEDRSITAWDLSSGKVSSRIEGAHATRVKGIVVFDNCNKGSEFSNLIASASSDGVIRVWDVRTMGNAKSTPLAEANTKARLTCLAGTSLRWL >Et_1A_005973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16217486:16220141:-1 gene:Et_1A_005973 transcript:Et_1A_005973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEGEAGEYTKDGSVDLRGNPVLRSKRGGWTACTFIVVYELFERMAYYGVASNLVMYLTTKLHQGTVDAANNVTNWSGTVFLTPLIGAFVADAYLGRYWTFVAGSAVYLMVTNGLTSPQLINLGCSRRAPLLIGMLLLTLAVSVPALKPPPCDAGGACPRASSLQLAAYFGGLYTIALGHGGTKPNISTIGADQFDDFHPAERQRKLSFFNWWMFSVFLGILFSTTVLVYLQDNVSWAVGYAVPTLALAAAAAVFLAGTPRYRHKPLPPKGGSPLAMMGKVLAAAAWKWRVAVPEDPSELHELEPEHYASSSGRRRFRRVAATTTWGSLRFLDKAAVKSAPAPAWALRTVTEVEETKQIARLLPLLFTLFVPCALMAQVGTLFVKQGATLDRRVVPSSSFRVPPASLGAFVTLTMLVSVAVYDRVVVPAVRRRTKNPRGVTLLRRIGAGLLLQVATMATTAVVENRRLSFARTHHAATGQQEALPLTIFVLLPQFVLMGAADALLVPGKIEFFYDQSPDSMKSLGTAISLTAYGAGSFFSSAILSLVERATAAGSSTPWVGNDLNASRLDRYYAFLAALAAANLAVFVALSCRYTYRTEVIDDVDGAADASRVHSEPQAPMAP >Et_8A_056999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1993071:1995769:-1 gene:Et_8A_056999 transcript:Et_8A_056999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAPVLVRHAGGVSALPPGFRFRPTDEELVLQYLRRKAFGVPLPAAVIPVVANLYSLDPWDIPGASEGEKYFFAVRPASSGTAGVCARAKSGGATASGRWKPAGKERPVVLSRPCGGSFLVGVKRTLAFAPRRGEKKSSRASAAAGLTAGWVMHEYRLAAPLHKNVRTHALFFLPAIILFFVWRDLLVVLLLCLCDPSDSAWSFARYSLADAEGEWVACRVFRKSRQQQQQPRRRAGDGTAPRRTMPAPASLSAASSASCVTDGSDQEEVSS >Et_2B_022706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3449277:3452628:1 gene:Et_2B_022706 transcript:Et_2B_022706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYKVFLTLRRLQALERTQRTSDDLSYLRPKNQTQSAHHFRDSSLPPPTHSVEHLARAFLPGDLLRLLPSCGTLPSLRALHAHILAVGLLGSLRARTKLLSCYAALGDIASARGVFDGTPQPDSYSFRVMLGWLVDAGAHADAVALHRDMRQQCPCPAAQENVVLSLALKACVRSAHFGYGRWLHCDAVKTGGADDFVMNSLVDMYGKAGDLECARKVFDRIPGRNVVSWTAMLSGYVQNGFSSEALLLFNGMRQENVHPSAYTWASVLASCTMLSCLHQGRWIHGSVMKHGLISNSFISAALLDMYVKCREVEDARAVFDKLSCVDLVLWTTMIVGYTQNGSPVAALHLFLDKKFLSIVPNSVTIATVLSASAQLRDLSLGRLIHGMAIKLGVAGDDVVMNAFVDMYAKCQEVSDANNLFGRILNKDVVTWNSMIAGYAENDLANDALMLFKQMRLQGASPDPISVVNSLSACVSLGDLLIGKCFHTYAVKHAFMSNIYVNTALLNLYNKCADLPSARRVFDEMNDRNSVTWCAMIGGYGMQGDSVGSIDLFNEMLKDSIHPNDVVFTSILSTCSHSGMIAAGKKYFHSMVQHFNITPSMKHYACMVDALARAGNLEEAREFIEKMPIQADASVWGAFLHGCNLHSRLEFGEEAIKRMMVLHPENPDLYVLISNLYTSNGMWDKSLAIRRWMQERGLVKVPGCSSVGSENG >Et_5A_042297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8417273:8420922:-1 gene:Et_5A_042297 transcript:Et_5A_042297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAHLLPYLAASVLLAFALAPPLAAGDPLGQFCGTSGNYTAKSTYQANIQSLASTLPKNTSSSRTLFATSQTGAIPDVVYALAFCRGDTNASACGDCVATAFTDAQQLCAFNMDATIFYDFCLLRYSNLNFFATPNSDGRGTVLILTNTQNVTAPFKVFDAAVGVMLNATADYAAANSSKRFGTAVEGFQTFDSQNPKLYGLAQCAPDMAPADCRNCLTGILQSRVKYFSGRPGGRILGLWCNYRYEQYSFFSGSPLLQLPEPAVGALAPAPAPPPAANVTPPATGGGSKGNKAGKILAIALPIVAAVLAIGILCSCIWRRKRKTPGKPVLPDTTNPEDIHSIDSLIIDLSTLRAATENFDEGNKLGEGGFGAVYKGVLPGDQEIAVKRLSQSSRQGIEELKNELVLVAKLQHKNLVRLLGVCLEDHERLLVYEYMPNKSLDTILFDSEKRSELDWGKRFKIVNGIARGLQYLHEDSQVKIIHRDLKASNVLLDYDYNPKISDFGLARLFGSDQTQDVTNRVVGTYGYMAPEYAMRGHYSIKSDVFSFGVLILEIVTGRRNGGSYSSEDESADLLSLVWEHWTTGTLMEIMDSSLSSLAPRDQMLKCVHIGLLCVQDDPVDRPMMSIVNIMLGSSTVTLQAPSKPAFCIRKYGANSDMYSEAYGASQSASRSPMSLNDVSITELEPR >Et_1B_012430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3204910:3208031:1 gene:Et_1B_012430 transcript:Et_1B_012430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENTQGSGRRAFGDLTNVLSKRPASTDLDKSSGGIKIRRIEKDIGPRKESDENAKTSGRGKGIIFGHLFDGVAKKNFERPSIFHATKVQHMAAEAAGLRSKVSEVRDHYAPMDSSAFSDKELDSSLESESGCEEDYDDEIDGGFPDHFGSSDFVSKTTANDGECLTQEEIAGSSGSQKPLSSSDFTTCGNAPGFHVQPASMRVGGLEEPVPTKSCACSFCTKAAFMWTDLHYQDARSRLSVLKKSIKRARSLEAKTRGNDYAASAAMYNSGRAAEMEFELSQQQRSLFLYTENVLVRESTQLLKKLRENCKTDLEKISNSTLGK >Et_2B_020182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17779759:17782048:1 gene:Et_2B_020182 transcript:Et_2B_020182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAPPAANPPQAEAADEADRLSELDDAALHRILALLPLRDAAATTVLSRRWPRVFATLPRLVLHPATFNRRDFDDDGDEDYCEDSFRWGDALEAVLAHRGAPVAAFEVHGKIMCRFDEWFAEILRYLCGTGGLHELGIWNTKFSDPYVVPSSVYSCKTLTSLELFCVRLRVPGTITGLRAVRSLMLRSLVASDADIRRIISRCSAVEHLGIHRIHKARNIVIHAPRLEKLEISSYRPLCVSVKKAPRLDTVELYLDYGWPEGAWSVHDTQDSDGDYSFSEVEEMFDFKKMAEREHKKTDEIGNMVTFLSGIGCAKKLHLSFSTKYAKVLSKAKVSMPNKLPSKCLLLGLKTLTLDLDHNHGVLATLVSCLLNSSPNLEDLRIIDDIDFKRKPNDSLPLSAEFWDGQISARSVEKHLSSVTYYIDSLAEGHPGGLCQYLVMKAKVLKRLKIQYLRQKKAKPEDAAMVQSVRSELHCWPRASPEALLELCPVDHYPRY >Et_1B_013305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7152257:7155541:-1 gene:Et_1B_013305 transcript:Et_1B_013305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAAVPDGRGRWIKGAGSTSSPVTTAIFLFFFVVVIGVLVSARWITTTTHLRITNLDQWRTKPAILTTTHTTSIPAVPAAPPPPRPTYSISCSAPPLTRDPTIPSNISQTLTLALSPNNTATCSSVPDPPPLPATPPPGANASSCPSYFRFIHEDLHPWRIAGGITRAMVDRARATANFRLVVIRGRAYVERVAPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRAEQYDGENATVLPPLFRYCGDNETLDVVFPDWSFWGWPEINIKPWDALRKELDRGNKKVKWLDREPYAYWKGNPDVAATRQELVKCNVSSTREWNARIYKQDWLKEIKAGYKQSDLSSQCTHRYKIYIEGSAWSVSEKYILACDSMTLVVTPKYYDFYSRVLMPMQHYWPVRDEEKCSSIKYAVDWGNSHKQKARQIGKQASNFIQQELRMDYVYDYMFHLLTEYAKLLRFKPTIPPEAVEVCPESLACQAIGREKKFMEDSMVSSANDAGPCDLPPPFSSEEFKALQRTREKSMEQIEAWEQKDSKTVDSKP >Et_1B_014129.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31861465:31861923:1 gene:Et_1B_014129 transcript:Et_1B_014129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHQAEATAEPLLPQHRRNGGAPLPDADGPQPDDAAAGCRGGSIKGIMNLGFVFYILNCLMRLYWYNGPALTVSNLSLVAFLVCLKCYEKAEPGSQLRGRLKVAVWLLTTALALSFSYMVASVAPLVVAMVACLMAFGAVAGGLYYLWPKM >Et_8B_059183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1503842:1512518:1 gene:Et_8B_059183 transcript:Et_8B_059183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDWGKHCSAGATFLLVLTVDAQRVAIIQALEESAELLRERCLKFHKGCRKYTEGLGEAYDGDIAFASALETFGGGHNDPISVAFGGSVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLNFVDIDLHDVKVREKYLSLKKGTRADITTAIEDELHSARSSFEQARFNLVTALSHVEAKKRFEFLEAVSATMDSHLRYFKQGYELLHQMEPYINQVLAYAQQSRERANKEQASLVERMHEYKRQIDRESRSSANGLNDYHNGDGIQTIGRSSHKMIEAVMQSASKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQITRPPGGGSSQRSINHPEHGSGLLSRLFSSHYHGIDEKSVARHTVNLLTSTIKVDAEQSDLRFCFRIISPTKVYTLQAESAVDQMDWIEKITGVIASLLTSQSPEQFFMSSPRGSGHDRSSESSSFTSVDYEPSINDDLVLEKNSGNGHHDVRSTHHHRSNTKPEKPIDLLRKVDGNNMCADCGTSEPDWASLNLGALLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNMFVNSIWEEMLPSSNSFCSDYSLSDDGSSDTSQYLLVGKPKQKDAFSTKEKFIHAKYVDKEFIRNHSMDSVQLAQQMWDSVSANDKKAAYSLIVRSHANVNFVYGEMPSSSCLTLGKALQQEQPASPSDGSPRFFDCNSHDKVSPRESLSPASTSSHIDEVEDSCEGFSLLHLACRVADIGMVELLLQYGANVNMTDSRGRTPLHHCILKGRTLHAKLLLSRGGDSQATDRDGRTPLQYAIDGGTSDEEILVLLEDHSR >Et_8B_060489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1823010:1832549:-1 gene:Et_8B_060489 transcript:Et_8B_060489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMRVLAPREGLGANPSQEFPTTGTQASIDQFAGTCMEMRSVLHLKPDVKAKCKCMLNSDLLVNCEESKRQRVNKGRSRRIGYLVSAEGEETLLKLGAWQGRKLGAVGVDASSSGSVGGRPSGKDSSQARAAISHGCGGRVEEEPGGAAEFWGWRGVWVGVAAGAGAGAAAGVGVWGWNGGGRGSGASGGSARSGRMACGSGIRGGGAGRRGGGAKWFFGGFACAVLDGTVNLGKCELAAAARWTGWAGRGGARALKPLSSISMTAPSMAAADSATAASSRFCLCRAVSPSPSSFRLPSRQPPLPPGPLRRRASSTIVALHKRNPKRLKYAAERRFTRSLCLFAARTRAKRGDAGMLRVQVEPSGEDFWKLDPVIDLIHRGGVGMIPTDTVYSIVCDLSNNDAIERLRRLKGIGDSKARLAGL >Et_2A_017063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30673302:30677381:1 gene:Et_2A_017063 transcript:Et_2A_017063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLLAVLPLLLLAAFFPPPTASAARSSNPKSFPPVTPLHLQALRRHHQRARSSLDAKFAAAAADGSAATAANSTTAKPFTKHFFPQELDHFTFTPNASMIFYQKYLVNDTFWRRPGGEQPAGPLFVYTGNEGDIEWFATNTGFMFDVAPKFGALLVFIEHRFYGESKPFGNDSYNSPETLGYLTSTQALADFAILITSLKQNLSAEAAPVVVFGGSYGGMLASWFRLKYPHVAIGALASSAPILQFDYITPWTSFYDAVSQDYKYESLNCFNVIKATWDVLVERGSNDTGLLELSKMFRACKTVKSVYSFQNWLWTAFTYTAMVDYPTPANFLENLPAYPVKEMCKIIDRFPASADIVNKAFAAASLYYNYTGSETCFQIEDEDDPHGLSGWQWQACTEMIMPMSISSQSMFPPSEFDYNDRSDDCFVNWRVRPRPHWITTEYGGYKIDKVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIVALVTEKGAHHLDFRSETKDDPEWVIEQRRQEVKIIQGWIDQYHQDMAEMSL >Et_6B_049742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9907978:9913164:-1 gene:Et_6B_049742 transcript:Et_6B_049742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METETDANLSQQQRFDRVTNPPPPPPRDLRHAAVHEHAAAAGEPAPKPEPELSKTFRAGARYSESKKTANGSYIQSEKKFNAGGHSWRILYFPNGRLPGTTASISLYLQLDATSAVAGDDEDVEVRLRFILPGGSGLRFLSEEVTGTVNRTRNAVGFERFVTREDLEMTDCVANDWLSIRCDVTVLDTRRRPPPSSSLETTAQPPAVDDMAPPPESENPSGKPSMAMSPVPESSPMVKVKSTLPGQYQEKQFINKDMLLPGLNTDLKRLLATKEGADVDFEVGGKVFSAHRCILAARSSILKEDFYGPAKEEDTTYMRIDDMEPEAFEALLHYIYTDSLPEMHIEEFAAMAENLLAAADRYDLKDLKVLVDIKLCSCTEFRVATVVSTLVLAEKYQCLKLKNLCLRFIASLENTREVTATGGVELLSKTCPAVMGQLGGRTTQATQPCNRDALTALPFRVSILNHIAVMAIDGVEDLMKNSLSRGRMLFYIVVWIAILSIDSVGILKLTSFGLMMMLLLVVSSCSRLRSDGGGVSFWSGWLPSSRSHAIFSPQVMCTSR >Et_5A_042994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9056311:9061185:-1 gene:Et_5A_042994 transcript:Et_5A_042994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKRATCAALPPEREHDGVARHLGRRANLERPEHGGLPGHCHHCLERQLPGEHVDRVERVQDDLVPVHLHGLPDRGRRPRRDGARRCRGLPHHLVVVRHRRDHLAVPEPRVVGVVQPELDGQGEVEHRREEVEGVELRVHRRRAHLRLAAPEHGEVERDEDDGNGDDDGQQHAAEYQHGPFHLRRHSCLPGRCSAAGRITFCVLLENPKFESRRIWTVEVHTAVALFLSGYTSTWHTTTELALILNVFITTALPATVVSVSNGSAPRMASPGKYGVKATFTVSTSTVAATVCVVRAPISPAGTRDWPTSAPWYRTTVATSPYRNEA >Et_4B_036277.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:14512757:14512831:1 gene:Et_4B_036277 transcript:Et_4B_036277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWKKKQNKRVFEFQALQQVTLS >Et_3A_024560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2256266:2258316:-1 gene:Et_3A_024560 transcript:Et_3A_024560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHYQTLGLRRDASKAEVKAAFRSRALRDHPDRHGGSTEAARNAAAQRFRQASAAYEVLSDDRLRAEYDLRFRSSSSSYARTSSSGRASSSSSSSGSYGYGHSHEGGSYRRPPPGSSAGSVDWEFVLKRVTRPGFLINLAFAGVLLAGATFLDGSILEIWKMNNSGKSFEDAMDSIEKVKKTQKGNP >Et_6B_048372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16874106:16874586:-1 gene:Et_6B_048372 transcript:Et_6B_048372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGVSYGGGHSSLDYLFGGGGGGAAAPAKPAAERPRETLAAPAPALAATDGGKAKEIPAGVRGSQTNNYFRAQGQNCGNFLTDRPSTKVHAAPGGGSSLDYLFGGK >Et_5A_042412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9849777:9855917:-1 gene:Et_5A_042412 transcript:Et_5A_042412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSGKPSSGSSSRRRRRDEDDEEEIVSSDANTSDSDFVADSEDQAEEEEEEDEEGFAPDGDDAPVPVPVIVVAPPPPLPVAVLPPMRYRSRNSGRGRKNKRAREEDLPLLPWKVWEEANDRWLEERMAAGAVGVDAEPEIAAAAGPVPTVDPAPEVLLSLLRFQKEWLAWALAQEASVSRGGILADEMGMGKTIQAISLVLTARGLRPPGQQASSSSSATPGRPMRRVGCTLVVCPVVAVIQWAQEIERHTAKGSVRHLFYHGPRRADQKINFDNYDFVITTYSTIEADYRKNIMPSKIRCQYCDKLFYPNKLKVHLKYYCGPDAVRTEKQAKQQSKKWGSSKGKGKKKGNKKDEEENDGFEELGDELCSQSKGQSPLHSVRWERIILDEAHFIKDRRCNTARAVFALESEYKWALSGTPLQNRVGELYSLIRFLQIFPYSNYFCKDCACETLDTRMKKVCDCGHSSVRHFCWWNKYIARPIQWGSASDEGRGAMLLLKEKVLKGIVLRRTKIGRAADLAMPPKMVSLRRDSFDKNEMEFYEALYTQSCTQFDSYVSAGTLMNNYAHIFDLLTRLRQAVDHPYLVAYSKTAGLREALKNGEVKNEEIESPCGICHNMAEDVVVTSCDHTFCKTCLMDYSATLGNVSCPSCSQPLTVDLTTQNSGERVTTSAKSRKRSGILGRLESLADFKTSTKIDALREEVRNMVEHDGSAKGIVFSQFTSFLDLIQFSLEKSGIKCVQLNGAMNITEKGRAIDTFTNDPDCRIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVESQAQDRIHRIGQFKPIRSVRFVIKDTVEERILQLQQKKQLVFEGTVGDSPEAMSKLTEADLKFLFQI >Et_6A_047756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14292615:14295443:1 gene:Et_6A_047756 transcript:Et_6A_047756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLREESRLREINSPVRDGVKPISGLSNNNQVEHPLQISRTTNNDMPCTLKSGNILSHEEHVNQVEASPPMKQLSPIAEHSPKIRNPGSSTPESAKQITENGALVSGKTKSKTPPATRRPSPERQAGVGTPSIPVTVTRRAHTKIRAEREKTPERPPCSPDNALSDPRSNVIISMNPSEAQHMKVDDLQAKSTNLWEFFSVSTKEESSACSNSAVSCTENMDHSTLSEPNSPVCLIAPCTGSAPNTVSEEDDRSTITCSEISTDKIIVTNDGGLTLRSALEPSFLSSEQEFVSKDDGKNTTFQSGEDKFTTIRHSTFRVSDEQSAPESVKREAGSTDIIKLLNVVPEEADARSSSSNTLPPACALSATATLHVSEANAATKAPSTLDLVKLSAASSETSNIMKEEASPAKEALDVTSFRQRAEALEGLLELSADLLENQRLEELAIVLKPFGKNKVSPRETAIWLARSFKGMMTDDAGPDPLPQTTIEERDERELRRPPRELCRPGVLVPAS >Et_1A_005315.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:3991246:3991287:-1 gene:Et_1A_005315 transcript:Et_1A_005315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQALSYCLLGVY >Et_10A_001948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13718366:13721005:1 gene:Et_10A_001948 transcript:Et_10A_001948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASSSASGSSAEDAGARSSSSAIQCFKYSKRATLRSVVGRPDGGQGLAGQRAVVGGWVKSSNVLKAKRFGMASPARMPASETTNLTCTEVLMSRVPFIRGLAKLITGGAGGINNISSVKSAVGSALVRINDGSCVPNLQIVVDSSLCPLEQIAAVGACLLVEGEIELVEGRSKQHVVELRVDKVLHIGAVDSKYPLSNVRLSSELVRDCPQFAARTITVASTVRVRSELIHATHVFFQTNGFFHVNTPIITTTAAGDRSKMFRVMCLLSKSDDRGITPEVVRTSIEAKKKQIEALKRSESNKEAAELDIQRANHLARQLEQGGGNTDSVDDFFQRPAYLSPSHTLHLET >Et_4A_032636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12021217:12022738:-1 gene:Et_4A_032636 transcript:Et_4A_032636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DHIRLKNVLMASASYIDDSCSEVIDPPKTEVLDVAELVGEHIAHSPKPNVVVPSSVRELLECPVCLSAMYPPIHQCSNGHTLCSGCKPRVHGRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCLGIYPYYSKLKHESQCQYRPYSCPYAGSECTVAGDIPYLVNHLKDDHKVDMHNGCTFNHRYVKPNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGTGRKMIWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >Et_5B_045757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8896112:8897234:-1 gene:Et_5B_045757 transcript:Et_5B_045757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFFELEAAIQSTFMMPLGHDSCIFPGSKLLSNVTRSGGSNSTGQTSNAEGSTIWRLRTGRENGLWLFLRLLLSIVLMKCVYDLLKSLYISLYPNLFVDST >Et_2A_014702.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:7326367:7326714:-1 gene:Et_2A_014702 transcript:Et_2A_014702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPASSDASQPTETQPHKEPARKAVHVVVKGRVQGVGFRDWTASTAESLGLAGWVRNRRDGTVEALLSGDPATVDEMVSRRLPVGPPASAVTAVVPSPAEPVHPSVGFEIKFTV >Et_5B_044791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5926785:5931861:1 gene:Et_5B_044791 transcript:Et_5B_044791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFITWSIRPHTSPHRSIPNPCWPARHKPVRSPVFGAARPDAVLPSPDKHVATLHRRTTTQVVRTPPASRTREAKSLARRPDDEAAAHLLGAKSARAPRSTPIRRRHPSTRTGRTYLGGDGPTGLIAELILAGDVADYVRFRAVCRPWRRCTPAPHDSRRGGLDSRFFPRWWIMLDKAFSDRRRHRFLNVSTGGLQGGFGFQVNSFGGRAMFMGRFRTISVTAEALPSVRADTLYLGFDCEEKTMMNQIDGYNLADGSSEPCNLDSRLEMVHPCSVVDCLSHCVQGSGSRELMHGAQSTTHPPPTCWGKKRSRTALGSRTHDLSTSSCCTRDWANLAGDGPTGLVAELVLAGGDVADYFRFRAVCRAWRQCSPEPQDSRRGGLDSRFFPRRWIMLDKSSDRRRHRFLNVSTGECIRMDLPELDEHRLLALTPEGLLLLFHEPTLVIRLLNPLTRQLTDLPSVAALLTEEYQRASLRVTGVGLADATTSTVAVRFSDPTVLAVAKPRDERWTVVCHGRFDCFLSFAGRFYCGDRMRLMVLDASGGSSGQKPPRLVVAAEPNSWISFSRTAHTLHLVDNAGELLLVHRSLYSDPPHRNFKRKHDVYRVDLDAGALVPVKGIDFKRRAVFMGRSRSISVAAEAFPSLTADTLYLGLDIYSFADGKRSSDDDDDDEMSHTRCPVERPRMPSAGTQIPSRRGTRRPRGAKPEVELMHGAPSTTHPPPTSWVKKRPRTALGSRTHALISTNSCCCTRDWASLDGDGPTGLIAELVLAGGDVADYVRFRAVCRPWRRCSPAPHDFRRGGLDNRRFFPRRWIMLDMAFSSTRRHRFLNVSTGECIRMDLPELDEHRLLSLTAEGLLLLLHEPTQVTRLLNPLTRQLTDLPPVTATLPTEEDRPAPTVYVHGVGLDDDTSTVAVCFGSPKVLAVAKPGDERWTVLHHGYVDSYLSFQGRFYCCDRMSVKVLDASNSRLLLVAAVANSLTHHFSRFSETLHLVDNAGELLLVHRAIYHDPQLNLKRKDDVYRVDLDAGAIVPVESFDFKGRAVFMGRRRSICVAVEAFPSLTADTLYLGFDICSYTDGRRSDYAS >Et_8B_059203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15180161:15185093:-1 gene:Et_8B_059203 transcript:Et_8B_059203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPAAVDGDEAVFLELSRELKDEGVRLFNRRDYEGAAFKYGKAVQLLPSAQRVEAAQLRASIAQCYMRMSPGEYHRAIHECNLALEAAPRFSRALLRRASCFEALGRPDLAWCDVETVLRWEPGNRAALEISRKVRADLEAQGIAVSLDGENVPPEPEGGKCKKSPKNFDFVAEGQGKNHVDVDDKHENHLVSPEERVAGTKGDSEERHLGKMELNGGHGIEEKQADQRQINGIGIQHISGNETNDLEMQENNTETKEEKDTDNKRRRFSSGKKTRLKEGKQKSHSYVKSENHVEDCSDKHVEDNCIVVNGENVKDVKLVFGEDIRCAQIPVNCSLSQLRQIVQNKFPSLKALLIKYKDKEHDLVTITTSEELRWAHNQANLEGPMRLYVVEVDPMQELGVDGVKRRTSSASLEKNCYALTGNGRIWHDDEHHVDDWIVKFAQLFKNHVGFSSDAYLDLHEIGIRFYNEAMEDTVDIEDAQEIFQVAELKFQEMAALAFYSWGNVHMSRARKRACLPDHALLEFVLEQVKISYEWACTEYAKAGAMFQEAVKTKGDFFEGLIAIGQQQFEQAKLSWYYSVACKIDMGTEVLELFNHAEDNMEKGMDIWERKETVLLRSLCKPNKEKVILHKMELEGFMKDLLPDEAFQGASNIRSHINILWATILYERSVVEFNLGLPSWEESLAVAMEKFKIGGASLADMNVIVKNHCANETTREGLSFKVEEIVQAWNEMYDAKNWQSGAMTSRLQPIFQHNASFSSKVVQNACHDKLVWPQTVGKAPIKVVQKEGVP >Et_6A_047979.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2737254:2738399:-1 gene:Et_6A_047979 transcript:Et_6A_047979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSTRRRSPPLWWSDLPPELGGLILRRLPSHEDRFSFRAVCRQWRLAAQLERSSLPPALPWIRLTDLTFRSLPGGELRRLNGRNLPCPYTRACAAIRFRSCSSDRWPMYCNSTVTGLFLINPFTGATMFLPPAPQEDEESLKIVVCSPDIVAATLYGRMAVGICRKGAPSWSVFPLAYGCRRRLYEDIAFHRGKIYAITQTDDLYSHELVDGVVAEHVIKEQPPGTNGGQSPRYLVAACGKLLMVKWTCNNTCLVRRRVKRRARDYWWDDIRLMVFEADLEMGRWLELKDGGLDGHALFVSRNSSKAIPLSSSNDRKIKKDHVYFPGTELQWIDRAFRSCLYGCYDLRNDRISLIVWNKGSRNTKDIHAVSDWFFPSM >Et_2B_021006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25632481:25633795:1 gene:Et_2B_021006 transcript:Et_2B_021006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSDDNLWWSGSILAASPSGTSSPSHRHESESDSDSESLIDVEGAPCTRRTKSLETKRIRRMVSNRESARRSRRRKQAQLSELESQVEQLKGQNATLFKQLTEANQQFTTAVTDNRILKSDVEALRVKVKMAEDMVARSAMSCGLGDLGLAPFLNSRKISQALDMLTVTGFDMLGRDACFRGPTPARQVQNSPVQSTASLESLDNRKSSEVTSCAVDMWP >Et_3B_031366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2723762:2728030:1 gene:Et_3B_031366 transcript:Et_3B_031366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVDHLEGYTIHMESLGLLVLAIAAVLKLAGADSGWNNARATSYGGSDASGTMGCRSGGIRFTISGHSFFNLVLITNVGGAGDVTAVSVKGSRTGWQPMSHNWGANWQNGAKLDGQALSFQVTTSDGRSVTSNNVVPFGWQFGQTFSGSQF >Et_9B_063946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4646029:4646400:1 gene:Et_9B_063946 transcript:Et_9B_063946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFRKGKYPRCT >Et_5A_042601.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:21538900:21539412:-1 gene:Et_5A_042601 transcript:Et_5A_042601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFLSAIWSDLTSRAISFLMERYHERCSRPTVEERLRRLQKLLLRVRVIVEEAEERRITNQAMLHQLNMMRKEMYRGYYTLYTLRRRAEEEGDAKDQNQLSQSFTPSQLNSAKRVRLLGSSSSSHEQEKLQQVVTCLETAIEDASEIVVLLSGCPRLYRQPYSMHLILD >Et_4B_038090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26165050:26166453:-1 gene:Et_4B_038090 transcript:Et_4B_038090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKFSDVKRPELINQPPTRTVEDHVEEPSLREIIDTMLVESGEKEKLCLQPPPVMALDRDPLADNPESSNCNNPHVFMDISIGGNIAGRLTIEVFANIVPRTAENFRALCTAFVQARKRVW >Et_3B_030628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5626730:5633583:1 gene:Et_3B_030628 transcript:Et_3B_030628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPLLLLLLAGAAARPARASDDPFLSGAAANHSYNIDCGGAANFTSTFGRPWLADTFFSAGGAAGMVAEPHRFPQPQERTLRFFPPSSAGKKSCYSLPLPPGRYYLRLFSVYDNYDSKTRSPSFDVSAAATLVLSFRSPWPEPAARYGAYSDLIFPSATSPTSDVCFYSLATDAPVVASIEVAPVHPLAYDGATTGAEIVLVNYGRFTCGNSLFGPGFTKDTDAFSRVWQADVDFRNNDLSYDAITAGGRKIFGSNQPPNYFPTKLYESAITTGGDASNEIEYLMPVDTRLSYMVWLHFAEIDAGIGKAGQRVFDVMLDGNNVTRIDIFKQVGGFTAFKWTYIVENLTSSVMSVKLVPVVGRPILCGLENYAMVPLEMRTVPSQVAAMQALKESLKIPARMGWNGDPCAPRAWDAWEGVTCHHGYKGLVITQLDLASQGLKGYIADEISNLKDLVSLNFSYNSLTGSLPPGLGQPSLASLDLSSNEFTGSIPDTIGSSKLQTALLNNNQLDGQVPERLYSIGVHGGVIDLSGNKGLCGVPTLPACAVFWEKGGLNKTGKIALGASFGFLLLVILIVVYILCIRRGPYDYDFEFPQDLTSISAISAKRNRYQRAKSVMLAEMEAHNPDGFYTNGSGH >Et_4A_034915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7887877:7889072:1 gene:Et_4A_034915 transcript:Et_4A_034915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDPIRTGTATPSLHDDLGLEDAGLDLLVREHDAAVAVDLVADVHVLPEYGHVLDARPLADGGVPSDDAAGHAGVLLHPGPAHHRAPGQPHPGLHHAARPDGDVGPDEAALPDDRRLVHQHVAQDVRARGELPGRLLPEGVQVEAQARDVVARLPDVHPEPREHHGEEAGVRRDAREHLLLDGRGLQLDAVQHRRAQQVDAGVDLVAHEHLGLLHEPLHLARRLLHDHHAVLGRLVHLGHHDRRLPAVGLVELDQLRERVLADHVAVEHEERLAGAVQELVAGQGQRTGGAHRLGLLGAGDLDAKLALEVLEKVEHHLRFFDNFCHSNFLQSLDLGKHKFSRFSSRFTGTTGSLK >Et_6A_046423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14856989:14865061:1 gene:Et_6A_046423 transcript:Et_6A_046423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLATPAPEMTAEQEMVHIRDITVAAEAEAKEGDTYFLITTRWWQSWIDYVIEDLTSNGSHHHEFSSRIPRRPGAIDNRDLIDDTASDEVSSAEIELHDTLVEGRDYILLPQQVWETLHRWYGGGPTLPRKAINSGFSQTDLAIEVYPLRLQLLLMPKGERAVIRISKKILVEITADANGSLDGGCMSLVKGNDFFEQESDSMLGFSNENLPTRNYASKSYSSGLTQSLYLRSSNGDLDNMHGTSAMTTKGQPVGLTGLLNLGNTCYMNSAIQCLVHTPEFAAYFCQDYHREINRQNPLGNVGELAVAFGDLLRKLWTPSRSPVSPRPFKLKLSRFASQFSGCNQHDSQELLAFLLDGLHEDLNRVKHRPYINSFDTDGRSDEEVADEYWANHIARNNSIIVDVCQGQYKSTLVCPVCAKVSVTFDPFMYLSLPLQFASNRSMTLVVFSCDGSAPPTPFTVSVPKQGRCRDLLQALSNACTLRNGEKLLIAEIRNHKIYQFFDDPVLQLSTISDDNHLAVYRLPKLEKRANYIQFVHRREDLDHGNNSSLASWKPYGVPLLAQIPRNETVSGFVIHEYIRKMLVPMLRNQDSQHSAVKSSVSTRTQSYHSDSSKFQLYLLDDSNSVIEKSVDPIRVPQSAVAAVFFINWSQGDLKKIDTHHLENLPEVFKFAPPAKRTRGEPLSLYACLDAFLREEPLVPEEMYCPRCKEQRQASKKLDLWRLPEVLVIHLKRFSFSRSTKQKLETFVNFPIHDLDLTNYIANKKSSERQIYELYAVSNHYGSMASGHYTAHIKLLQQNRWYNFDDSQVVAIDEEEVRSAAAYVLFYRRVRGQDGTDSNGTQLYVKRHRSGHR >Et_7B_055925.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7513049:7514449:1 gene:Et_7B_055925 transcript:Et_7B_055925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSASGESSEGGRDRRLKGKGVTPIQPRRQLAPVMEDASPASLRPLKKIGRAPDRLQRSASSLSTTTSLSSAPPSPRSNAGISSATSSPPSARHIFPFAYEPSTPAGGSPRLLPTWQQQQATSSVSQPASPQQQPLQRPQMISFGGAPSTHQYYQAQLAVPAESAQHHQQQMLARYWSEALNLSPRGGGGQAGVLLPSLYQHLLLAPPHPPPPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDSAEDAAMAYDREAFKLRGENARLNFPDRFLGKGRAGGSGRTSATSSASAALGASCCSSSSPSPPQTPPDEANMQQQTVPVPQQRAEGPSDKLQAQQPPVATSTPQEGRSGDTAMAYSAADMFHAPAAPGSEWGPADEAWFSTWGPGSSFWDYDMDSARGLFLNGRFAGDEAGIEHSNAQETTATTDMPCDHALVTPASSSPLHSQAPAPPDSPTFMN >Et_1A_008305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6124571:6128091:-1 gene:Et_1A_008305 transcript:Et_1A_008305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGASRLLTACACSRPAPAPADDGPCLDDALGHSFCYASAGAAAHSSSFHHAISGAALSANSSVPVPIYHSSSAAGGEPPQYSSAFHTSSSFSSAPLQLSNLSSGPLFLSGPIDRGAQLSGPLDQAVPFSGPLSAKPNKPAPSSSSSSRGFSRRFRKPSFGSLRRSVSEKNRPCVVPLRREDGVQWAHGRAGEDRVHVVVSEDQRWLFVGIYDGFNGPEAPDFLVANLYRFLLRELRGIFYEEAGAESRRLWQFLADGDDEDSELDFSGSGRFALSLARLKERRNPMWAHAAAMGDNEREWGTRRLTAAPAVTDHKAVLGALTRALVATEAAYLDMTNQSMDSHPELAVTGACLLVALLRDDDVYIMNLGDSRAVVAQRREDDDDCVIGTMRVEDIGVGLETETRPPGHAMMGFEALQLSTDHSTSIEEEVQRIKREHQDDDKCIVNDRVKGRLKVTRAFGAGYLKQAKLNDGLLEMFRNEYIGDTPYISCTPSLCHHKLSSRDQFLVLSSDGLYQYLSNEEVVLHVENFMERFPDGDPAQSLIEELLSRAAKKAGMDFFELLDIPQGDRRKYHDDVTIMVISLEGRIWKSSGPYV >Et_4B_039738.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28098172:28099293:-1 gene:Et_4B_039738 transcript:Et_4B_039738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSGQDATEAAAAAPRKPAKTRKRKRGASAAASCDSGCLCDDVMPNIFVRLPARTLVASMALSKHHRRMILCPEFRSLHCRLGPPLPRPHIAYFATANFRRGKEKDPISKFHGFHVAGVGLNSNAPMRSLAGPAYLDMRYVNTCNGVVLLAGKPGTTTCVLWNPAVADEPKEVTVPDCAGEDCVVLGLGCGRRSQTYKLLVSLREKRIAKLDCWPPITRHPKELLVYGGLGGGTERRPPELRAVLSAGVDGEISRRSLHINGIIYLLHAQKSVILAFDVDDETVTTIESPGVHIISKQMQRASEGREVEIVTTVDNLSQSMANLMEMSGRPCVETYDGNSRTLWLLNEDHRWEQRCVIKDPSFIGHDLSRC >Et_10B_003707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5047314:5052474:-1 gene:Et_10B_003707 transcript:Et_10B_003707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSFVPFRGIKNDLHGRLACYKQDWTGGFRAGIRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTALCGIIHSILGGQPLLILGVAEPTVLMYTFMFNFAKDRPDLGRSLFLAWSGWVCVWTAVLLFFLAILGACSFISRFTRIAGELFGLLIAMLFMQQAIKGLVDEFRIPERENRKALEFIPSWQFANGMFAIVLSFGLLLTALRSRKARSWRYGAGWLRGFIADYGVPLMVLVWTGVSYIPYGSVPKGIPRRLFSPNPWSPGAYDNWTVIKDMANVPLLYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFLTLLCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVATARKSMSQNASLSQLYGSMQDAYRQMQTPLIYQQQSQRGLNELKDSTVQLASSMGNIDAPVDEMVFDIEKEIDDLLPIEVKEQRLSNLLQASMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHTTFVETVPFKTIAMFTLFQTTYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYILPKLFKGAHLTDLDAAEYEESPAIPFTLAAQDIDVALGRAQSSEILDDLVTRSRGEIKRLNSPKITSSGSTPAAELKGFRSPSISDKAYSPRLTELRHDRSPLGGRSSPRTGETRASKLGEGSTPNVHSFCLCIHSAAGCPFAKDGDEHVEREKRHGHIPLSTPAMIPTLEDTTALAMKHWPTEINMS >Et_2A_016995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2984898:2994333:-1 gene:Et_2A_016995 transcript:Et_2A_016995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNGAPPPPPMAAAPPPPGTTGVGQPPPPPPPPAGAPLTPAELEAQLTEKARKWHQLNSKRYGDKRKFGFVEAQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRNVKVLYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDEEEDAAVHKWFYDHKPLVNTFFINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVRLGVYHTPMIMYIKTEDPDLPAFYYDPLINPITSTSKVDRRERKATEEEDDEDFCLPEDVEPLLKDTDLYSDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWFKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLQDIWDTSDGQCVVMLQTKFEKFFEKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLILGLTRASEIAGPPQMPNEFLTYADTKVETRHPIRLYSRYIDKVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHDEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWQMSKPSLVSESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSYTAFSRIVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPTLTDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEMRDIILGAEIAPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQAAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQIAGFLYGVSPQDNPQVKEIRCIAIPPQHGTHQMVTLPSNLPEHEFLNDLEPLGWMHTQPNEAPQLSPQDLTSHAKILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDNGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNVPWNYNFMGVKLDPLMKYAMKLGMPRDFYHEDHRPTHFLEFSNIDEGEVAEGDREDTFS >Et_3B_029170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22661756:22666178:-1 gene:Et_3B_029170 transcript:Et_3B_029170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEARNHFHARVRGSTRGGGTAAERDLLLQWGNRKRLRCVKVHRRDVAAAATTAAERAAANQRRAAAAAALQHHSLRNLEVRSSVQLQQNSVFHKVASPDREHTVKGGNNKDNAHLSASPDDRKGSSSGSEASIWPNFAIALTNKEKEEDFFVFKGSRPSHRPKKRTKIIQKTINLVCPGTWLCDLTLERYEVREKKVSKKVSGLTIEFQPRGLKAMQSMDSDSE >Et_6A_047115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25529464:25530224:-1 gene:Et_6A_047115 transcript:Et_6A_047115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTFVGNGGVAAEVLTARFARQVLTGRWFALFACLLDLGSNVGAVLGLLKVYVGLSSAVIAHLHLALYHRQSLGYPPNTIATFVSLISVSNYAGRVAAGYASEALLLSRRRIRRPLALTAVLLVALGAPRSTQRPCSPASASARRGRCSTPIESSIVSELFGLRRYPARVLQPRRRRQPDRRLTCQLYDAEAARQHSRACVGVECFRTSFLIISAVTIAGALVCGTR >Et_10A_000743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16328653:16332224:1 gene:Et_10A_000743 transcript:Et_10A_000743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVSADLPVIDLESTDIAAATKSIRQALVDYGFLYVINHGIDDATMKSVYAESKKFFEQPMEEKMALEKNSSHRGYIRPDFEGFEADAGGKGDLLECFHIGSGKSRDVNQWPSADRFPSWKETMESYYDCAMATSKKIMSLIALSLDLDAEFFQPDGSETILRPIHYSDYGMLTLLSTDGTPGLQICRDKDARPQLWEDVHHIQGALVVNIGDLLERWTNNVYRSTLHRVLMVGKERYSVAFFVWPSPDTMVTCIESCCSEANPPRYPPIRSDEYLEQRLTSTYKYK >Et_3A_024325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19584815:19591926:1 gene:Et_3A_024325 transcript:Et_3A_024325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGAATPERSPSASPPAPVMAPSPTPPSPTQPLDWRFSQVFGERGAGEEVQDVDIISAIEFNKSGHHLATGDRGGRVVLFERTDVKDHGSRKEAEKADYSISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQETNGAVFLLSTNDKTVKLWKVQEKKVKRVSEMNLDRSTAPANGSPGGVASPNGTISNGSVGSLALLRLPVVTCQETSLCASIRRVYTHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPTNMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLVDMRQSALCDTHSQIFEQHDAPGSRSFFTEIIASISDIKFSKDGRYILSRDYMTLKLWDVKMNAGPVSTFQVHEYLRPKLCDLYENDSIFDKFECCQSGDGSRVATGSYSNIFRVFGSGSGSNEATTLEATRNPTRRQLQNPARPARSLNTLTRAVRRGAESTGIEANGNIYDLSTKLLHLAWHPTENLIACAAANSLLPDPV >Et_9A_062200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20601243:20606586:-1 gene:Et_9A_062200 transcript:Et_9A_062200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIADIGVSAGINVLTAIVFLLAFAFLRLQPINDRVYFPKWYLRGMRDSPIFSGAAVQKFVNLDAKSYLRFLNWMPAALKMPQDELINHAGLDSVVYLRIYLTGLKIFVPITILAFAVLVPVNWTTDALESMKIVHSDIDKLSISNIPNGSKRFIAHLTVAYAITFWTCYVLLREYEIIAKLRLRFLASEKRRPDQFTVLVRNIPPDPDESVSELVEHFFLVNHPDHYLRHQVVYNANKLADLVEKKKKMQNWLDFYRLKYERNPSERPTTKTGFLGCFGSKVDAIDYYKSEIEKIVKEEADERKKVMKDPKSVVPAAFVSFRSRWGAAVCAQTQQTRNPTVWLTEWAPEPRDVYWNNLSIPFVSLTVRRLIIAVAFFFLNFFYVIPIAMVQSLANLEGIEKAVPFLKPLIEEIPKIIGVSIPMKATFFITYVMVDGWAGIAGEILRLKPLIFFHLKNFFLVKTEKDREEAMDPGSICFDSCEPRIQLYFLLGLVYAAVTPFLLPFILVFFGFAYVVYRHQIINVYNQQYESGAQFWPSVHARIIFALIVSQLLLLGLLSTKDFEQSTPALLVLPVLTFWFYKYCKNRYEPAFVRNPLQEAMRKDTLERAREPTFDLKAYLANSYLHPVFKGGDDDDKYSVVDDDAWMDEEVIVPTKRHSRRTTPAQSKQDVSETLSQPPETVHEQR >Et_3A_026853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23980245:23983875:1 gene:Et_3A_026853 transcript:Et_3A_026853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLVWRDYRGDVSALQAERFFTKLLDKEVSDRPTDQPLALLPCLVPVRSGSELLWNGQGDAEAHSPVVYDDAGVTYMFIQHNNVFLLTASRQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVSQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGAFDLMTYRLSTQVKPLIWVEAQIEKHSRSRIELMVKARSQFKERSTATNVEIEVPVPLDATNPNIRTSMGSASYAPERDAMVWKVKSFPGGKEYMCRAEFSLPSITAEEAVPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >Et_6A_046369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13810811:13814491:1 gene:Et_6A_046369 transcript:Et_6A_046369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALCDGVHGGIHYGDVVCAWCLGLSERPFLSFILHVPSKHGAHQQDTHLLCSFSPMMEARADIRAGCSRWCEDSIRPSCLVHDFFGLESRSPSEVDSDDRNVDAESPVRASDDGVKLGALTTARKAMKAAECIRTLLDEGRATDNFLGRLAGDANKAVKEMVSFQGSFPAFRRAVASRLREGGYNAAVCQTRWRGTQDVSAGNYEYIDVVTTASGKATSASERYIVDVGFALEFEVARPTDAYILVLEALPTVLVASPKVVQQLVKVAAKAARRSLKSQGLTVPPWRKKRFIAAKWLGPHRRTPDMAMGPRIPSVAPGEAICRTVGFVLAPSHPPCLSYALR >Et_9B_065181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21860102:21863684:-1 gene:Et_9B_065181 transcript:Et_9B_065181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLAARRLLGLAAASATASEAAARRLTPSPIAAAGYAAASRSSISRPFSTALNYHIDSPENKPDMKWEFTEANMKKVNEILSHYPSNYKQSGIIPLLDLAQQQHGGWVPVAAMDAIAKIVEVAPIRVYEVATFYTMFNRTKVGKYHLLVCGTTPCMIRGSREIEETLLEHLGVKRNEVTSDGLFSVGEMECMGCCVNAPMIAVADYSKGSEGYTYNYYEDLTPKRVVEIVEMLRRGETPPRGTQHPERKNCGPAGGNTTLHGEPKPPPCRDLDAC >Et_10A_000873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18505987:18508825:1 gene:Et_10A_000873 transcript:Et_10A_000873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAAAAARRLSLLRHRYLLPKCHLSSSAADGLDDGGGGGRVKIFDRDLKRQHRDRAAWAAGETDALTGAVAENLLDRLEDCRKAFPSALCLGGSAGAVRRSLRGRGGIEKLIMMDMSADMVKRWRELDDATGDGLETHFVVGDEEFLPIKESSQDLIISCLGLHWTNDLPGAMIQCRLALKPDGLFLAAILGGETLKELRIACTVAQMEREGGISPRMSPLAQVRDAGNLLTRAGFTLPGVDVDRYTVKYNSALELVEHLRTMGETNALFQRNPVLKRDTALATAAIYQSMFGLEDGSVPATFQVIYMTGWKEHPSQQKAKRRGSATVSFGDIQKQFGPKEN >Et_7A_051927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2889932:2896198:-1 gene:Et_7A_051927 transcript:Et_7A_051927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGEDLVYYGVQISPKIFLSKNNLKLIIRSHEGPDARDQRVDLSGMNNGFTIDHKVCGSEDRYNNLGAYIVLTAPDFARPMFCSFEATKPRPEAPAYYDFEEVVNSDEELDPSAMDYS >Et_2A_016658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2707059:2711504:-1 gene:Et_2A_016658 transcript:Et_2A_016658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ESSHLDVTLEEEFRNFTDSAEDVWSELSAEATSNLSRSVVSVSSSFGAHLNVEYDLAQIRCIAHALALVRLPNGSIIMGVLIHYDLQCNVALVNMRYFPWIRVACIDHHIQFAPHSKVVAVGRCFNSGKLLSSSGIIADEKGGVSPEEHTTSTCTITEVCYWRLLLDVSGVGGPLVDFEGNFVGMNLNANARTPFLPRNKIWEILLCRRIVKYVFQTCSKFGYREWRKFTAHLTKKLDSRGYPLPITLEGGMRLLDTFEEEFAKNIWGTLSGGLAFNISQSVVSLASFTGRRKSITRVLTSASLVRDAVDANKIADNLEIQVYLPNCRLAKGRLQHYDFRYNVALVSIKGFNCRRIAKFDKQVQASPHQKSGIGGPLMDCDGNIIGMNFYDTGQTPYLPGDIILEVLRQFAAKRTVSDEMNESSQRKFLTEAK >Et_2B_020602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21771493:21780535:-1 gene:Et_2B_020602 transcript:Et_2B_020602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSAATRALTSSATTTSPTRPTRRGRRRRSSVVANPLLSSSLDFLPPGPRGGRPWRLLLLLGSSVTNQRRNLFPELVVCNPVTRSYVKIEPMEGMKYGVCVYAHLSPRSTWKRNGWSSGSRSRWELRGAASLQCAGRAAGNTFWTSKDDGAVLAATDAGTKFWHLCLPDHVRGSPQDLSELRFVDDGGVPSGGAPRHRGRRRAQGLKVEPARGSSSGACAFRRRLAGSKEGYYFGRGAKIVTARRGYVVLTLAEGDAERDHPQNRLAGEVYPYVSNLTATRDPMPSATTTLPGLQSRRRKQQAPVTRPATTVHDIPDHLLHSVIVNRLRCHPIPEMKYSRCLGAFLCYPPPYDAIAMSRFTVTCVLRDDAGGMEDGVSVITARVYIHRVPPDSPTSRLQKPNGWDTTTKAAVRRGIHLRGAHLAVGDDGTVFALEMDSCKFSHFRLPEHVRESHHQSTFRFVEDVPGHGVVVASLFGDELRLFVRRKHNDGSIEWLHDASLRLPEATRGLPGHKECYFGRTAKIVAAGKGYVVLTPAEETWLFSIELETMEVEREHIRNRVAGEVYPYELKMRPKEARRRKKPAPASRPPTTVHDVPDHLLTLVIRRLDTHVSFLRAAAVCKRWRRIAASRGVLDWKDSFLDRHPGPHVLGHYHVLVTAAGFVPAEPNSVNACHFSLDDFLPRGRRPWELVDSCRGLLLLANKRHGFFPDLVICDPPHHGDERCLGVSLHRNSSVCNLSNFMVICVLFERSVGMADDMGTATSCIFDQCRPCRRRKNGWQMQKIETRDLSGVRDAESVRYVGRSAGSMFWLLKDDGGTLLAALDNGKLFRYHLPEHLHGSHSHQLSTFRFIDNGAKEDGDPRMVRLVSLIGDELRVSAVEFFGRGEWHLERTLRLSEVTRGLPGHKEGFFGSTAKIVAAWEGYLMLTPAEETWMFSLNLKTMQVERVDNRNSFVTAVYPYKLEMSPVVEACPIGKAISMANETRRRRKEPTPAKRRPTTLHDVPDHLLKRVILRLDTHLCLVRAAAVCKRWRRIAASPGVTDYYFSHRHPGNYVLGYYHAADDSSNNRRALPPDGSLVLDPASPAPRRPLVFVPASPAINVQQFSLDFLPDAAGRRRRWELVDGRGSLLLFADQRRGLFPDLVVCEPISRRHVRIRPVKEMKYLRCLGVFLANNAGFSRHVSLSDFRVTCVLYERSAGMADDVGEAVSCFYNRAPWNRRRVVGWNTQRVETSSFVVLLRGAESARYAGRAAGLVFWVVGDDDDGTVLAASIKDDFRRYRLPPRLWGFLSLPGSRFRFVDNGAAVYPPVLRLVSLVGDELRVFVKDFCSGSEWNVERTLSLSQVTRGLPSFKEGFFATATAKIVVAGGGGDVERDHCRNRLPGMVYTYELERPPVVRACVVRCKRIGYGPLWRGLGRCSHICVCG >Et_3B_030773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7278890:7280841:1 gene:Et_3B_030773 transcript:Et_3B_030773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKSTHEHGTVLTDIQSKMASAMAAVFVLLSALLRSSLVHSQGLQVGFYGSKCRDAEDIVRSTVEEHFDKDATIAPGLLRLHFHDCFIQGCDGSILISGPSSEMTAPQNTGLRGFEVIEDAKSRLEAKCPGVVSCADILALAARDAVDLTLGPSWPVPLGRRDGRVSSASDAVALPGPDEPVSVQRQKFAAKGMTDKDLVTLVGAHTIGRAECQFFSYRLFNFTATGNADPTISPSFLRQLQALCPPTGGDPEKEVPLDKDTPGVFDVSFFKNVRDGNALLESDQRLWGDAATRDIVQKYAGSIRGLLGFRFGLEFPRAMVKMSSIGVKTGGQGEIRKQCSRIN >Et_3B_027858.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23108793:23108936:-1 gene:Et_3B_027858 transcript:Et_3B_027858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTKKKELCQEPRKLLVDSPSMCTRSKVKPPSPAMSTRSKKRLII >Et_2B_019077.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29935475:29938278:1 gene:Et_2B_019077 transcript:Et_2B_019077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCWIGIGRDPRRRRRRARGMPMREVSDAPWIASGRIQLDTPSLGPRSIRPPVMAKTAAAAAAAGSSWNSRPTSPTRATQPQPNPSQGGGHEIQATFCVSRPPRVSYLCVYCPNLKPSDFGTEPRVLATDENLVLFRAAICIPSVCHEQAMQDLFVYQAEEGGRGSSLTLIPNPGSRCLLGLSEFGILRREVTAGFFLRPHGVSQQQYIVAVLSCDPGSSYPGEPEVYHLHRFRSGDTEWTTTVLRFTPTKPSPGLTFFCHITNKVITLGGGFMGWVDLRQGILVCNVLADEPELSYISLPRLLKYDKEPSNADVKDVRDIAVVKGHIVYAEHKTIVVPMSYVNRTYASDNWKLATSRWKIDLSCWKGEWEDKRECNGSQISGSLSDLLSHNYSTPLPRLRRLHTGLPTISLEEEDVLYLLAKVDHRDDSGFILAVDMKKETLREAANFLCERMVGLSFTYRPSRISRYLTAGMYCCVFKWVEFLLST >Et_3A_025009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26019211:26021129:-1 gene:Et_3A_025009 transcript:Et_3A_025009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLVKLGSLAFRTLSKPIAARLKHNAGIHPKFRGFIIGIAQVAGAAIIYEVQRSARSEARKEEIRRQEVEAIKKRGEELAIEVHVIKQRISEMEQLMKRRISETEQQQSRWSLPGFRIFSFSAAPATPQPAGTQHPPAA >Et_5A_042758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3877268:3882769:-1 gene:Et_5A_042758 transcript:Et_5A_042758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNNLNTKQATDVVGFTVVTRSFFGIGSPCVLRGLEGQFEVYWQLAGRVGNRLVTVSCCSLLRIISEVRGMAGHLGALASKVDNKIRCLRDALAEYRNIRNVIDGVTEEKERLYQEKERFKQEEEMLKQEKERLHRDLLANREALEAMKEDLLASKNTMIAASDAITSQTEKISQQDEELEVLRRKLQESEAKNNQADQQCGRATAPIQPRRVQTRSMDKRKRPSEGVLDFGAYDNEYIGQVEDHSLSHLVTENQNVQNRSVELSERNVGDELVNKQTSGILVSNKEELESGFLEIDTGGRKLGIREMGELDEKVFKAACLAKLPPEEVGTGYYKLYTSWQKQLSDLSWNPFKTVYHSGKVFGVPQVASDPVNSLCCLHYQEMVDVDDDKLQELKRAWGDGPHNAVVRALMEMQEYDRLSDRSIAYELWNYKEGRKATTREGIEYMCSQVKQLSVTKRRKTRRTAGIAKKCLTRAVQQQQRPFLLRHPACERLVGDAIGRIGGPPAVPSPVARRPGVLRPVDAPRHRASVARARCRPQREFPGKRRAGEIVGTVERWGDDTPPEPGSEEIVDMSPTEREEIIGMPLPPPAREVIIGMSPSSVMNRSLTRRRRGRKVMQEQRLLLLHRHRAASRT >Et_8B_059568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19340125:19345123:1 gene:Et_8B_059568 transcript:Et_8B_059568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSDAPPIYMNGTDTVDSELSSNDNMSFLDEFDSVMEEVDAFLHISRSVTDVILKGILSDVHQEATQQIATKDSEIALLNQKLQQLGNGSLGLLEGRDKRYDEFYYLRQQLDSISKSLLNSEWGLSGSQHNPESSEDVSKQRGKEQSSRDGLTKENGSRASQEEVFSDPARLKHMDKDAVIDYFNKAVNEMKRQHDSVVHEKTEEIFKLKREILKKEGSNHSHLRNNKELEQMRKKIEEAISKLDVLLLENKRALVRVKSDAFPIKQDKSIVVGSDTQQLQGDDPDNDGEHRSIPTKASHFASIGEHYERHVTKLESDFEDATITASIREQVERIVLKEFVSEINVGLHGHEMAVDMKQEVCSIIQNEAIAQAVSNIDSLLLTYNKKKGCAKEESLLKEKIEKLKRIVDSFTEIAKEKEDFVSQVGLETIEARVASLCREFDLLRDKMGKQDIYISEKSREFDIIVGRLEQAMQHVQHNDVSLSELNDRFRTISDSVKQLEKQNQVLHTVIEEKEKTLTSVLSKDNDFKEFKKHVVESMRKFEEFITDQQTLVAKKVQHTESRFCFLKEQCKHLAKESNLLRKKALRYKEISEARGSNLQKAELEVDLLGDEVEVLTDLLAKIYIALDHYSPVLQHYTGVSLILTLYPSFCMIALFAASPPLPPPLPPSLPLGSTKLVNVSCPTDYSGNNLILVMETLNMIKKHISMAKPNRMNTWEDLGNTIYDLATDVPFLITFQDSTNQNKWKLVFSICRE >Et_3B_028946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20755728:20759239:-1 gene:Et_3B_028946 transcript:Et_3B_028946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGHCPEQRPGFEVTKDWNGADQVAIRSPRGASVRVSLQGGQIVSWRNDRGEELLFTSSKAIFKPQKAVRGGIPICFPQFGNCGTLEQHGFARNKVWTVDDEAPPLSNGDNNNKASVDLLLKPSDDDLNFELRLRVSLSMDGDLSLISRVRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVGSPGVIAVLDHEKKRTFIIRKEGLPDIVVWNPWEKKSKTMADFGDDEYKQMLCVNAAAVERAITLKPGEEWTGKLELSAVPSTNCSDHLDHPGRL >Et_5B_043667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13933253:13933645:1 gene:Et_5B_043667 transcript:Et_5B_043667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDAARSRGGGAVPGARPRRPADAAGWHGSSTRAARDSTTAASSVPRARPPCAPPPLRGSAWRSSTTGRLPLFVPQHDLAAVRCSCLDPFRVLLRRVADESQEGDTWRLGATAHAQGGEPKR >Et_5B_045299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17069833:17070270:1 gene:Et_5B_045299 transcript:Et_5B_045299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRWDLFSGDSSSRCDLLFTARKSSLIPLRTHLDIFLASNTAQLACDFKLKCSFNDRSWVLYRGSSNKVIAQVHRQYFVPSAVLGRRTFELTVFPNVDYAFITALILISDEICRDREQ >Et_2B_022626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28211602:28213020:1 gene:Et_2B_022626 transcript:Et_2B_022626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNITACINFVALLCAIPVAGTGLWLAAKQGEDCARLARWPVLILGGLLLVVALAGFLGAYRNRKGLLACYLFAMAALITLLLALLVFAFAVTRASGGQPVPGRAYEDYRLEGYSAWLRGYVTDDPRRWGGIRACIAASDTCRKIAQDSTIIVPEQFYMTHLSPIQSLPCWITQSGCCKPPTVCGFAYVSPTVWTSPANPAADADCAAWGNDPAQLCYGCASCKAGVLGGLRDEWRKAEVALLIATIALIFVYIIGCSAFRNAQTEDLFRRYKWGNY >Et_3B_027899.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25679760:25679861:-1 gene:Et_3B_027899 transcript:Et_3B_027899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHYHCVCSPTAHRGSLWCRWHRNGYEWVYRRP >Et_6A_047749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13530610:13533401:1 gene:Et_6A_047749 transcript:Et_6A_047749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNCSFAHELFPCQHAEFPNKYLGVPLFVTKLPKTALQPLVDAAGDHLPKPKEGFHEFFNNIHGSSAGLSAMLVSFAQQPSKQMVVANSILDKARIWSKASFIDWSGLIPCLGHLVEDSET >Et_1B_013381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:789893:793177:1 gene:Et_1B_013381 transcript:Et_1B_013381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASGAVAMEEVLGLVRGFVDLLVLAGGRTSSGAAATWSCGEVKNALRWALFFEEVFKNLRDSGQYDDSARELDAALVELTSSPDFPKGLAGIRSETLSSARVLVIRHFLKTKTMSVENFGALLEAVVEMDIDGIDATGVHAACQEYVKSIMDMDLSSLTWTRNASAIGLPSSSDATYTESMFTGHSQILVKEFLKGLDSASCTSLAERGLGTLLKSVKKNSSGDPTDKPCTQAIPKKAQMIDEFLLWKQWRANGLSYLLDERTIRIISGSSLIFGAPKEQWIRIFEPLKSSAESYQSGLVEIMELCLLGLISRRWNLLIEGFMSHNFDFIPISKQYADLHQLLQGTSVDECQDKLLDLEEKDILEYAQQSLQSKPYILWLLHPVLTAAAMRPRSALFEIYLAEIDRQFHEAAPEDRVPAEEMEQSRIVTVRLPKGFNFFTHSILDNLFSR >Et_8A_056732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1615596:1616694:-1 gene:Et_8A_056732 transcript:Et_8A_056732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYTGELERFLCSAAPRCLVADVFCLDVPALLAGRSTGMKELDDAPLPLAGVASHQESPRAPRRAMADVWTSWTRASRGVLVNTFEALGIVAAGVLRRARREEPYGGRARRRNYSGRKRRALVTAWTRRRSGETEPAFQATPGVDAISNSVHRTLFEIATAHGHLRFVRLELSGASEEPSSSSISGGALGNIFLHCRFAGRLYIWSKHWATAWRCGRRKRPAVLRHPFVTHCGWNSALVGVATGVPMLCWPLHAEQKMNKVFMTFAEGMGVGVEVVGCVGVFVGAGEVEAKVRLVMEESEEEGTRLRARVAARKEEARAAWEEGGSSRAAFALFLADVERLHEQDCL >Et_10A_001392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2635840:2644511:1 gene:Et_10A_001392 transcript:Et_10A_001392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTDTAAATAVQLIDGEGDFAGESAERFMATAGVAGCGLSYAVVSIMGPQSSGKSTLLNQLFGTNFREMDAFRGRSQTTKGIWMARCVGVEPCTVVMDLEGTDGRERGEDDTAFEKQSSLFALAISDIVLINMWCHDIGREQAANKPLLKTVFQTPLEHLEPVLREDIQKIWNSVAKPEAHKDTTISEFFNVEVTALPSFEEKEEQFREQVQQLRQRFSNSIAPGGLAGDRRGVVPASGFLFSSQQIWKVIRENKDLDLPAHKVMVATVRCDEIANEKFGCLTSDTEWLDIESAVQSGPVPSFGKKLGYIVDVHMQEYDKEAVYFDEAVRKAKRQHLESRILNLVQPAFQKLLNHLRLKALEKFKTSLNLSLESGKSFAASVRDNTESSLNEFEQGCADAVIKQANWDYSKILEKVRRDIEDHAFSVREKKLSELTTQAKDKLRKALAEPVESLFDAADQTTWASIRNVYRRETECILPQFLKTLFGFEVEYVPAEQMVSNLRDYAQSVVENKAKDEVSKVLIHMKERFTTVFSHDKDSIPRVWTGKEDVRAIAKDARSAALKLLSVMVAIRWDDEEDRIESILMSTLLEGSVVSKIASAAHADPLASTTWEEPSAQCKSLWKQFKAETEFAITQAVSTQQAHRRGNSKLPPPWAMVAIAVLGFNEIMMLLRNPLYLFLLFVGYLLAKALAVQLDISREFQNGVVPGLISVSAKLLPTIQNLVNKVAAEQQAEHRPPVAEPPEPQLQPPPLLLSPRSPMSELRRLHMTLSPVRKIATPSSPSSPSSSSTMSSPRHFVDDQKPRPAVAQPENESSNADSIIKRTRALFSTWRCSCDACKEPRHAPCSQSPKIHASIVFSHPGTEIRETARQGTWSRDSAKGKHRILHLILIRTRLENQPTDNWISNPPMYYMIASDT >Et_3A_025764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32695957:32702628:1 gene:Et_3A_025764 transcript:Et_3A_025764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKLDRPAALGKDGLSLGSEEDRAAAAAMGFVDDFKDQQHVDNSIPLSPQWLYAKLTDTKISVQHGSSLDPSEKEMRMLEGTGDKKERRRNVFDADSGLRWLEEERETSLLGRRDRKKEVDRDVDNRKNDRRSDNVSARDNIDSRAPPTSERWTDGSTRTLGNEGRRDGKWSSRWGPDDKEKDSRSEKKIDAEKEETHAEKQSFTGRLLSETDSRDKWRPRHRQESHSVGTATYRAAPGFGSEKGRVKDSNVGFAPGRGRGNPNSVPSFNRLSSAGPIGAPPVHGKCAKTAFRYPRGKLLDIYRQKNMTASFDDAHFKLEEIPSITLSTSAKPLAFVAPDIVEEGLLEEIRKGKVISSEVINAPGNKKERTKEPEEPGGIVEGKDKVASSALISEKDAFYDEGMFSSGVGTSIPKSSMEENDRDNQSGILNIQEGFKIDEVKSNTHHDLSTKLPDDSNTLFSVPRFERPSEPPMPYQSNEMDIKASGQASYPEELTLYYLDPQGGVQGPFLGADIISWYEDGYFGLELPVRLSQAPDDAPFRPLVEVMPHLGHKPQSHPVVPGEESTESLGSAQKFEAAISTSASSGKSDQASKWDSESHAVDPKRGEQEASVQPHSSWLPSTGIEKDAANSSTHQQHIPEAVNQDAEEVLYTGRPNSSMGQYLRDIGSDRSDFQLASRDPHSVVGEANLPQHDVSRESDLSPLGLLWSELEGMHPKQPLSSNVLGVNERKNPKPTVPKDIPPVNMRHGPLSRMNEAPGVRDEWPGNFGRLDSTNDSNIAGRIPNVEAEHHLNFEDQLLLQQIRREQLQPEQLMARNNLDFPGPFPGQLFDSLYQHRQPMNQQLPDMEHLLRVQFELEQQQQRCQQIQQEQHQRQLQQQRQAQLLQQQQQQQQQQQQQQMILEQLLQQQLQGSNFGPNNMVDQVLLREHVLNDLHHQPHHLQRQHDAAIEQLIQAKFGHGLPREHHNDMLDVLSRSNQRQMLPLEQQILLGLQHEQLQSQQLANALRQHSGREEERHLSGVWPMDDAGQFIRTGTSPNQGHASRHGRFDLLDSLQRSTSFEHHEHLDRSLSLHERLNRGGQGIHSLERSGSLPGGGPLPNPDVINALARQHGLGQLEAHNDLFALGQMPMHPSGVHPQQHRLPDQLSGSQVGRLERHWSDANGQLQNNLMESSRINQLQIEAEKQRRNVEMNLSVENPHAWASLMNKERNAEQDLSDIIHKKLVLQSQQSLVFPDVPVSASFGRKDHSMHFAQPVVENPLRSPVDRLSFEESLAERFAKKAQSAQEGSANLDSLPNSIENSGKYNLRSSSGPMLEQKHFLVTDDVQRGEYSEIMGGRASTNQFVGSVNELARVKKQGSSASMAVNDDFAEEAAGNWSDAGISKGNSHSLLKRPTSQHSATSQGAPVDLLSTVRLKKAGHASSDENKMESGVASVAHAMEAPSNKEAGAYSMPSTTTNPDASGPSFSEMLKSNKKPPLQYDASESADGGPGGKGAKKKTKKGKQIDPSLLGFKVHSNRIMMGEICCWITRNIVSRSRSHGGRSVKNKELCCSESYSDGNIRVAHAGRVNRAEMERNRAVLVLPSSGPLRLSIS >Et_8B_059620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:264028:268111:1 gene:Et_8B_059620 transcript:Et_8B_059620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPTRRRGTGTGSSPGRNKVWVEPPGKSHHRHHHTPVRSPPPPSSPAPAPANRVAVVYYLCRNRHLEHPHFIEVPLASPEEGLYLRGQSNVLRVPFLNKGAAFGGPIQLNRLRAYEQADTIRVNGAAADVINRLNVLRGKGMAAMYSWSCKRSYKNGFVWHDLSEDDLVLPAQGNEYILKGSELLERSPTPDRQQNGVGNPKVESLKQPKEESPQSRGSQEGCSSSSSPSAVIKEVSPLPPTPRQPEQQAQPAVLQSSSASTSREDEPCQTSHSGSSGNQSPEPTGRNAPLSEASSPGPLEYRVCKPIGVQDAATQTDDSERDVPEKHTRMGGVSTEDAAANAEIQEFHQRSSQVSPKVPEIVQESPALCSSDASPGVRVETLESLIRAEASRRSSFRTLEEEHMFGQPGVKFKPANLLMQLITCGSISVKEHRGFGFIPSYRPRFTQVEFPSPVFSTPMALRHLDKVSYNARTIGTRSSESEYFSGSLVEAKKQDESGRGMGTLKRSSSYDEDRVSHCKSDTESSVESGSFRCLPQTIKMISCKQSRSGTILSPTSDVRNSSSRQEYSTRSSPLGSTKSASNRITDPSVGKLSSSRMESFHEEKDVIKIEETGGSSFFLERGMVQMRVTVTRLQLQWVRGDPDRPIPLLLPGWRMAPLFILSLNHNV >Et_3A_025111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2705362:2706466:1 gene:Et_3A_025111 transcript:Et_3A_025111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDMAGVFGPTPPERHVVEQGGHGYGGSGGQGKLCARGHWRPAEDAKLKELVAQHGPQNWNLIAEKLDGRSGNAMQCGGFGHPFLDATNLKRFDLFGVSRLVCFVFLMFLFAVAAMGGAGKSCRLRWFNQLDPRINRRAFSDEEEERLLAAHRAYGNKWALISRLFPGRTDNSVKNHWHVLMARRQREQSGALRRRKTSSSSTPPGAAPHFASPVVHHLPYYGSTAPPFHGGGGGVPLDAAATDASRAYSGGGDSDESASTCTTDLSLGSAGAPVPCFYQRQSYEMAPRAAAPAPVASTPSARSSFPPPSPARHPTAATNDCGSKVAVPFFDFLGVGAT >Et_9A_062141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:258223:264428:-1 gene:Et_9A_062141 transcript:Et_9A_062141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKEEEAPITRAAEKRRAEQGTLRERRDGGGEDHSSTSIPLLSGYKMGDLELSHRVVLAPLTRQRSYGKVAQPHAAVYYSACHAGRVAHHGGHGDGYPTGTSAPLGCLDGPAWRPIVDAVHGKGAACSSASSGTWAACPPPCSSPRARRPSPAPTAGDELRRRFDGVEIDPRRQLTGTSSIEQLVPQGQRQRPRRRVRRHPPEALEEMGAHRVSPFTDYYMDCHDSDPEALAAYLVDKLNDLNILYLHMIEPQRPQPPAALIQGHLHRRRWVRQGRGQQGHRRKLHSFGRLFLANPDLPERRGSTITTEPHSTPLTPSSGTRTTRSSTRPNR >Et_1A_009064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27946788:27950772:-1 gene:Et_1A_009064 transcript:Et_1A_009064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPPAQAGPLANATFSHLFQLCANGGRAALAAGRTAHARMLVSGFVPTAFVANCLLQMYARCAGAASARRVFDAMPHRDTVSWNTMLTAYSHAGEIDAAISLFNVMPDPNVVSWNTLVSSYCQRGMFRESVGLFLEMAHRGVAPDRTTFAVLLKACGGLEDLALGVQIHALAVKTGLEVDVRSGSALVDMYGKCRSLEDGLRFFYGMAERNWVSWGAALAGCVQNEQYTRALELFAEMQRLGLGVSQPAYASVFRSCAALSCLSTARQLHAHAIKNKFNSDRIVGTAIVDVYAKANSLVDARRAFFGLPNHTVETCNAMMVGLVRAGLGAEAMKLFQFTNRSGIGFDAVSLSGVFSACAEVKGYFQGLQVHCLAIKSGFDVDVCVRNAILDLYGKCKVLAEAYLIFQEMEQRDSVSWNVIIAALEQNERYEDTIAHFNDMLRCGMEPDDFTYGSVLKACGSLQSLEYGLMVHDKVIKSGLGSDAFVASTVVDMYCKCGMITEAQKLHDRIGRRELVSWNAIISGFSLNRQSEEAQKFFSNMLDMGLVPDHFTYATVLDTCANLATIELGKQIHGQIIKQEMLGDEYISSTLVDMYAKCGNMPDSLQMFEKAQKRDFVSWNAMICGYALHGQGLEALKMFERMQKENVMPNHATFVAVLRACSHVGLLDDGCRYFHLMTTHYKLEPQLEHFACMVDILGRSKGPQEALKFIGSMPFEADAVIWKTLLSICKIRQDIEVAELAANNVLRLDPDDSSVYILLSNVYAESGKWADVSRTRRQMRQGRLKKEPGCSWIEVQSEMHGFLVGDKVHPRSRELYEMLNDLIGEMKLSGYEPDSASLAEVDEEGSASEQADLIGIVVGSSTNFDQVLL >Et_6A_047105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25310711:25315286:-1 gene:Et_6A_047105 transcript:Et_6A_047105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLAASAFFPGPGPSPATSVRSSKNSAGELPDNLSVHGIAAKPNQPPRAMQVKAQAQALPKVNGTKVNHKSMGHDSEEKVPHSAPKTFYNQLPDWSIILAAVTTIFLAAEKQWTLLDWKPKRPDMLVDTFGFGRIIQDRLVFRQNFLIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGATPEMSKRSLIWVVSKIQLAVEQYPSWGDMVQVDTWVAGSGKNGMRRDWHVRDYNSGRTILRATSIWVMMNKKTRRLSKMPEEVRAEIGPYFNSRSAITDEQSEKLAKPGNKIDGGSTKQFIRKGLTPRWSDLDVNQHVNNVKYIGWILESAPISILEKHELASMTLDYRKECGRDSVLQSLTAVTGDCTTDGGQGSVAIQCDHLLQLESGADIVKAHTEWRPKGGLRFEAESF >Et_4B_038910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5901798:5905399:-1 gene:Et_4B_038910 transcript:Et_4B_038910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGYHNAAWFVKIVLKFALGNACSNDKTVPQGDWSVLAIVSQVALSTTPDQFRSRKRVTKELRPGFDTLTVLVAWRLWGERNKRVHEFQALMPVALAQEIIDDAHLWTSARFSKLRKLLVPRL >Et_4B_038230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27325421:27327519:-1 gene:Et_4B_038230 transcript:Et_4B_038230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FSYFSQNRLLDLKRGKSRSFFKTFSSSGYPGVSLNSGDFVSDKLLIDCGEDQDCVLGGIVALGKFDALHIGHRELAVHASKAGTPFLLSFVGMAEVLGWTCRPPIVAQCDRKRILSSWAPYCRDMVPLEYQVEFSKVRYLTPRQFVERLSKDLRIKGVVAGENYRFGYKASGDAAELVKLCDEFGLSAFIVRSVMDTAKRSHNGVVAAINSSDKGQVSSSRVRHALAMGDMEYVFELLGRKHRLVLTVDEGCCLERNKIILPNSCMLNMPPAEGLYENCDLVTGEYLGSCKVIINSDNIIIEMKDEKSLSENPIREVDQLGIEFG >Et_1B_011244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19198828:19200298:-1 gene:Et_1B_011244 transcript:Et_1B_011244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDHNYAWFVYHGNRFLEADGIIVNTVAELEPGVIASIAENRRVPTLHAIGPVMPLKKPPRQQAAEQQPPPVHECVRWLDAQPSASVLLLCFGSMGGSFPAPQVREIAQGLERSGHRFLWALRGPPPANPPYPTDANLDELLPEGFLERTKDRGLVWPKWAPQKDILAHAAVGGFVTHCGWNSVLESLWHGVPLAPWPHFAEQHLNAFELVSVMGVAVAMEVDRKRGNFVEADELERVVRCLMGGGDEEGRKVREKAMEAKALCRRAVEDGGSSSASLLKLAQDLSKPMPAAKKLGFLHRRIIVGHNPFPPLAPKESRDLSLIYLSATYLVIWFLIFLSGGGEKVEWFLFLHDGYIEGDGGGNAALTTTWRIRFLDDDIEAEMMLPSWNKIVLSLLCFVMVRSDHDRGLVRRQKDEEGRHKKEEDYAQFHLLECWLSFIGHMFSSSIKNFMFGIEFWNYILSATCYIISVSQIDCTM >Et_4B_036877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12213358:12213591:1 gene:Et_4B_036877 transcript:Et_4B_036877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTNSIQTYYASYPFIICIGFAKDGVLTACRGDGGPYNSGSLFSCNATAILCRDPSKRITWDGI >Et_8B_060336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9846819:9849330:1 gene:Et_8B_060336 transcript:Et_8B_060336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPHDGEPSPEAPPPSLDCLPSDILDALVSRLPVRDAVRTSALSRAWRRRWETAPGIRFILSDSDAVSPKAQAAIDAFLARYACPVHHFVYDKVSEEAFTHAGQLHTLHPAVFSCNALTHLVLDHCNLPPAPSSFSGFPNLTRLKLFNVFVRENGERDLEAMIQMSPLLTYLDLDGVWIEADEFGEWVIRAPNLQILSIKSNYDYWWQNEELPSLQQASIKVYRFSTDRVFVKASHTFEASHGAGVSYAVPIDNYAEEDEVDMNFFNALWINGLFANLVCVTMIDVPLCSNEMHFTEFILSKAMQLRSFYIYYQNCWRPNLMPREEVVIKLKEIRRVSPKAKVVVKSAEVSTP >Et_9A_061379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10807626:10808307:1 gene:Et_9A_061379 transcript:Et_9A_061379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFWARFVTDELSPPIKWFNTQGREQEATHAAAMEHLLVLEKELDGKRIFAEEKMGFVDLSLGPLSYMIPIYEDITGVKMVTEERGEAPLSLCLDGEFLELADREGSPAAAGQAEV >Et_9A_063596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8976315:8977055:1 gene:Et_9A_063596 transcript:Et_9A_063596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLRLQLRTPFHAGSKYEDGPSATNAFLEKWLKFMLHGSSRTLSYAEQLMISLTISGGAHLVKSSKVN >Et_1A_008700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:157441:157780:1 gene:Et_1A_008700 transcript:Et_1A_008700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVPEPHVLAVDDSIVDRAVISRLLRSSKYRVTTVDSGKRALEVLGLVSLRLWECLLS >Et_8A_056290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19849919:19850518:-1 gene:Et_8A_056290 transcript:Et_8A_056290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLPANAKISKEAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDLLWAMTTLGFEGYVGPLKSYLNRYREAEGEKAAVLGGGARHGDVADDVGAAAAAAAHGAAGDGAVGHDGRDAHVGLMMGVSVGFGAGGGASYYSAAAAAAGRAAYGGEGSKMVEFDGREEENGGVQRGFGGHLHGVQW >Et_1B_010436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10538799:10541572:-1 gene:Et_1B_010436 transcript:Et_1B_010436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTIPDRDMHAMGCEAKGKKDARPSLMSLFAHADVADVALMVLGMLGAVGDGMSTPLKLLITSRIANDLGSGPDQLRHFSSRINENVRDIFLLACASWIMAFLGN >Et_1B_009649.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10850651:10852082:-1 gene:Et_1B_009649 transcript:Et_1B_009649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHVYSRRALLHIYRENAAASQMMAGKEPPPAADVGSGGGSGSSFDANVVMILAVLLCALICALGLNSIVRCALRCSRMSPPGTEQPAAAEAAAVARLAQAGARRKALRAMPTLVYSPELPMKQAAGGGGGGPVCAVCLAELEAGDRVRVLPKCSHGFHARCVDRWLVARSTCPTCRQPLFGAPPKGSGCAHGGGDAGVQQPPVRAFLVPLRPEGFVTPYDF >Et_7B_055198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8203729:8204672:1 gene:Et_7B_055198 transcript:Et_7B_055198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDECFLPMMALASGTVDPTPAEPFPILETLRFTNVDSWEKWDELEPEDFPCLQYLTIIKCNRLRELPKLQAVRDILQVPLFSHLEMIELHCYEKLMSEERFKHFTLKEQLHKMPFQNLSAQDSQKIWTFLRGARRICNCNIVACADLTFGQINIRLSEDSVHVMYIFSDLYMFMTEEISKDVTFHSGQPEGVEPVSSKPARVRMGQPEEIELVLHRLIE >Et_6A_046750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20046553:20047542:1 gene:Et_6A_046750 transcript:Et_6A_046750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SICADTLHYFKFLKPELYLLTFALLKCWPGPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPYCVHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKDSNAVHASEALRRAKFKFPGRQKIIESRKWGFTKFSRADYLRYKSEGRIVPDGVNAKLLGNHGRLEKRAPGKAFLEAAA >Et_9B_064716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1802461:1804595:-1 gene:Et_9B_064716 transcript:Et_9B_064716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRGRVALFHPTLFLQLDYFSSRDLRSGRGSFNRSCVLVLRSAWTNHRFSAAASSGTGLAGGDGAAAGSGLPFVKLSSGILSADLTSLLKDEEEPTSAHSPLLDVKFRGPEKEEAPAYPEDAMNALFAACLAGNVIEQLWNFTWPAAIATLHPSLLPVAVLGFFTKVCHTLLLVNVLLLVLCWCSLVGDLVTSLPRIPAYRPRRTWSPAATITYAFTLPRASSTAAALLLRPWFAVLVASTAVDRLSCVSLGVIAERDFVVQLAGAGRPVALARANATLSRVDLICETAGASIFAVLLSRNDPLTCIKLSCVISLCALPLLIFLGGAMNRLADGVFDHSASCPSERSEHDGIKRAASAFSIRRKVEGALATIRRGWGEYVRQPVLPASLAYVLVCFNVALAPGALMTTFLIHHGVSASVLGAFGGSSAAMGILATFVTPHLVKELGILKAGAAGLVTQSALLGAAVLVFLAAGPHHGAALFVFLGLIVRPTPLSQSRFFTSFISVTERNVFGCRWIAQPSDELRRLFPS >Et_6B_048306.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:11086742:11087080:1 gene:Et_6B_048306 transcript:Et_6B_048306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMQSFPSPTREILLASTVWTLGRPLQRTWMSHCAQMHVLSILTSYCGCPSTSFPVLTCVTLMSDGLQVVSLPLTALAWLTVRARAAMTSRTGICVLRDMAIELISLIRFV >Et_4A_035876.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7727284:7728636:-1 gene:Et_4A_035876 transcript:Et_4A_035876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSGSLLRGFLSLFFLLFIHIGHASCCFSPGPASRQREEDGAADAASADGVVAGGRGGGKRRKISPLAFSPAASSSAAAADDRVIRDRRRHASSLATSLRFYIHRIFASAAGAKNGAVVAGREAEEEGEAVTTTTVSSPQAQSLAPQPSASLVLSTPSSPCAASPPFMSSPLSSRSLGAPSSSPPQKASRRSSSRSFAARGDVLFPCKVCGEVLTRPQQLELHHATNHSLSELSHLDSSTNIIRMIFLAGWKSGAGGDAPAAVVRRVLRIHHNPRALARFEEYRDLVRARAARRCVEAAGAGVAEEERCVADGNERLRFHCSTMLCPQLGAGGGACRSPYCCVCSTLRHGFAGKQADVDGVATYASAWAAHAALPDDVEREFAFLQVRRAMLVCRVVAGRVGRGDADDKVEYDSVVPVRAGGVSDDVELLVFNPRAVLPCFVIIYSCS >Et_2B_018871.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:10979069:10979533:-1 gene:Et_2B_018871 transcript:Et_2B_018871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAACTQRFSNHTGSKSTSTSSARGIAHQVQSPSRTEATQARGRRPSTVKRTASKESLLGCARERTATPNTLLSRRQRSSGSTPRGAGGSNARRRDAGSMTRTRGRIALGIFSGRRMTRPRWTSLIEKLFISPGGSARDRRGEEASKGTNTAG >Et_2B_020287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18651636:18656753:-1 gene:Et_2B_020287 transcript:Et_2B_020287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTWGLITHLHALAGPTLTLIYPLYASICAMESTSKLDDEQWLAYWILYSFITLMEMAAQHVLYWIPLRYEVKLLFVAWLVLPQFRGASFIYDKFVREQLRKHGVRLHDRHAHSADHGPHHAIKDPSVVRGEAAVSGVAGATAVQGRLLHLRQVRQGAAAEARGQAAPSPRPRRRARPASRPQGMLAFTHGALSQ >Et_1B_012624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33932851:33934976:1 gene:Et_1B_012624 transcript:Et_1B_012624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KMAMMVDPPNGMGNQGKHYYTMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNEKVAIKKIHNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPIHRRSFKDVYMVYELMDTDLHQIIKSPQGLSNDHCQYFLFQLLRGLKYLHSAEILHRDLKPGNLLVNANCDLKICDFGLARTNSSKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSDSDIEFIDNSKARRYIKSLPYTPGVPLASMYPHAHPLAIDLLQKMLIFDPFKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENISAEMIREMMWQEMLHYHPEVVTAINMS >Et_2B_022234.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:14742479:14743039:1 gene:Et_2B_022234 transcript:Et_2B_022234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHKTSPASISGVSKKHTRSRGRGRAKKPEDLYASAYGVVPFKELRGRPGTKAHVLGESAVGKQVLVFGTVQYIRPLSKTRVVVVLLNYSSTVRCVIDAGAGADEGITKRMMRFAITLRRETPIDVEGIVVLPCSQSSLIPTTQKVDIQVTKLHSIGYETVPSQDGKFPRSSTVATPRPPNALGQ >Et_4B_039411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14095831:14097980:1 gene:Et_4B_039411 transcript:Et_4B_039411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPRVTIDNTSCANATVIHVDSANKYGILLEVVQVLTELRLIVKKAYISSDGGWFMDVFNVTDQGGQKIMDESVLDRCLGAGSCFHPSRRRSVGVESSSDYTLIELTGTDRPGLLSEVSAVLTNLECNVVNAEVWTHNKRAAAVLQVTDSKSGMAISDSERLGRIKERLCHVFKGSNRSRDAKTAMVSMGISHTERRLHQMMLEDGDYKTYDKDRTNVKPRPMVDYSVVTIRCKDRPKLLFDTVCTLTDMQYVVFHASVDTEGPEAYQEYYIRHIDGSPINSEADRQRIIQCLEAAIERRVSEGLKLELSTSDRVGLLSDVTRIFRENGLTVSRAEVSTRGAKAVNTFYVCDASGNSVELKRLEAIRMEIGQTMLQVKGHPDLKSPTQEAPTRFLLSSLFRPRALCNLGLTGS >Et_7A_051916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2755677:2761944:-1 gene:Et_7A_051916 transcript:Et_7A_051916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAPLIVKLERRRVLAPWAFQASEDKKPEWRFFSSYTGTCAKMFDCAQKAQYMDGHREKFMRLDESSPRSSVPSEVERGSVLRFSMPRLGYGSFSALRSFLSGVRKGSGRLKSLKQSLTSGAPKTAFAEDLKSLKKTIFDPQDKLLLRINRVFFVSCIFAFAVDPLFLLLPVIKDPVKDSKCIGIDKTLAVPSTIARTVLDFSYLIRMFLQFRTAYVAPSSRVFGTGELVIDPMLIATRYFKSYFIMDFFALLPLPQIFVWRYLNNSNGADVLFTINALFWVVIIQYIPRLLRIIPVTTDLKRTAGVFIETAWAGAAYYLLWFMMAGHNVGTLWYFLTIEREDDCWRQNCYKKDGCDRSFLYCSDHHNSDYNSWLQTNITQLFSQCDGFGNDSIKFGIYQQALVSGILGPGKFVSKVCYCFWWGLQNLSTLGQGLVTSTHTTEVLFSIAICVLGLILFALLIGNMQSYLQSVAIRLEEMRVKKRDAEQWMHHRSLPPEIRQRVRRYERYRWLETRGVDEESLVQTLPKDLRRDIKRHLCLGLVKRVPLFENMDERLLDAICERLRPALYTENEYILREGDPVDEMHFILHGCLESVTTDGGRSGFFNKVQLKEGSFCGDELLTWALDPKSAANFPASSRTVKALTEVEAFALCAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRHCKRKIAEQRRKEEELANRQSSSHASLGATIYASRFAANAMRGVHRLRSKAVPAIVRVPKPPEPDFGVDDAD >Et_4B_037876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24378462:24382066:1 gene:Et_4B_037876 transcript:Et_4B_037876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFRTSTHRERWIFQPQDLMERWAAANQRAAETLAQYGTTRLKVDPVDGSLSYPEPAPGHVEGSTDIKPLSYEEEQLTRVFYEQKIQEVCAAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCVYASCKVEENHVSAEELGKGIQQDHQIILNNEMILLKSLDFDLIVYAPYRSMEGFIDDLEDFCRAGNGAFQRLKLALAALYKSNDVHRVLNFERYLESIFSRQHADCPVEQFIQSMDAINYLVDQLKIPTVKDMRHIDRKLKHCLDPSSHDEHKKKEKKSKHKSKRTANDAQL >Et_2B_021565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30567285:30571970:-1 gene:Et_2B_021565 transcript:Et_2B_021565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLLLLSAAATATASVNPRRSLAVQTRGDVASLAAGSPMVVGAMNDRLKSITTSFAQQMGREFHYCIKNMDDEWNTAFNFSSDPTFLTNCMRDTDGDLPQRVCTAAEMKFYFESFLEGNGRKNYVRPNKNCNLTSWIDGCEPGWACSAGKDEQVNLTDAVNFPSRTLDCQGCCAGFFCPHGLTCMIPCPLGAYCPGSTLNKTTGVCDPYNYQPPPGKENHTCGGADRWADVVSTDDVFCPAGYYCPSTIKKLSCSSGKGSISQTKCFNKGSCKPNSANQDITIFGALLVGALCLVLLIIYNFSGQLLMNREKKQAKSREAAARHARETAMARERWKSAKDVAKKHAAGLQSSLSRTFSRKKTTLRTHEPSKGIEPDAVSSKESGEKNNNLTDMMRSLEENPEKGEGFNVEIGEKKKTKGRHAHTQSQIFKYAYGQIEKEKAMEQQNQNLTFSGVISMATEDDIEKRPAIEIAFKDLTLTLKGSKKKLLRSVTGKLMPGRVAAVMGPSGAGKTTFLSAIAGKATGCETTGMILINGKTEPIRAYKRIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSKADKVLVVERVIESLGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYKMFDDLILLAKGGMTVYHGPVKKVEEYFAGLGIVVPDRVNPPDYYIDILEGIVKPNSNAPVNVKDLPLRWMLHNGYEVPRDMLQSASDAESSFRGAGDHASGEDSAGQSIAGEVWGNVRDIVGQKKDEYDYNKSSENLSNRRTPGILRQYKYYLGRCGKQRLREARIQGVDYLILGLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFSLEKIHYWRERASGMSSLAYFLSKDTIDHFNTVIKPIVYLSMFYFFNNPRSSIWENYVVLVALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATNQKDTFFANLCYTKWALEAFVIANAQEYSGVWLITRCGSLVKSGYDINDKILCIVVLAANGVIFRCVAFFCMVIFQKH >Et_4A_034468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3528583:3531354:1 gene:Et_4A_034468 transcript:Et_4A_034468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLRRGAVASRRWCPAEALRRLVSSEAAPDRAPSRPPPEMPPFEHQPRPYAGMAGDEILEKRKKVLGPSVFHYYQKPLNIVEGKMQYLYDEQGKRYLDCFGGIVTVSCGHCHPDIVNAVVEQTKLLQHTTTIYLHHAIVEFAEALTSKMPGNLKVVYFVNSGTEANELAMLMARLYSGNLSMIALRNAYHGGSAGTIGLTGLQTWKYPIPQGEIHHVMNPDPYRGTFGSDAAAYAKEVEEHITYGSSGRVAGFIAETFQGVGGAVELAPGYLKLAYDTVRKAGGVCIADEVQSGFGRTGSHYWGFQTQDVIPDIVTMAKGIGNGLPLGAVVTTPEIASVLAQKIQFNTFGGNPVCSAGGLAVLKVLDKEKRQAHCADVGSHLVERLKSLQQKHELIGDVRGRGLMLGVELVTDRKEKTPAKAETAELFEKLKDLGILVGKGGLHGNVFRIKPPMCFSKDDADFLVDAMDYAMAGL >Et_9A_063419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23801705:23805530:1 gene:Et_9A_063419 transcript:Et_9A_063419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAVLEHDGILVNSFVSLEARAVGALADPRCIPAMPPVYKAKARQERSMSASRCVGAGNHSEEQLKEIDHRFLWVVRAPVSNENDPDKPFDTRAEPDLDALLPEGFLERTNGRGLVVKLWAPQVDVLHHRATGTFVTHCGWNSVLEGITAGVPMLCWPLYAEQKMNKVFMVEEYGVGVEVEGGQQGLVKAEEVEAKVKLVMESEEGEQLRAWVTKHREAAAMAWKDSGSSGAAFSRFLSDAGNINLVRMCVHPLASTIWRYSSDMMDGYHAGHFWTSSANDDLRFTAAATCARRAPFTTICLACCLHEHYCSAPFRKQQPGSSQKGKSQVMEKTVVMYPGLAVSHFVPMLQLADVLLEAGYAVTVALIDPSLKPDIAFAAAVDHAAATKPAVTFHTLPTIRDPPSITYDAHFLLGYFELVSRYSQPLHEFLCSMRDAGSVHALVMDMLSVDALDVARKLGIPAYTFFPSNASALATSIQVSSTRAAEGQPSFREMGDAPLNLHGVPPVPASHLLAELLESPETEIHRSVTNMLRRIQEADGILVNSFESLEPRAVGALGDPRCCLAPVYCVGPLVAASAGEAARDKKREEECLAWLDAQPERSVVFLCFGGAGAGNHSEEQIKEIAVGLEKSGHRFLWVVRAPPPLPQHDTAEKPFDPRADPDLDALMPEGFLERANGRGLVVKLWAPQVDVLRHRATGAFVTHCGWNSVLEGITAGVPMLCWPLYAEQKMNKVFMVEEYGVGVEVEGWQQGLVKAEEVEAKVKLVMDSEEGEQLRAWVTKHREAAAMAWKDSGSSRAAFSRFLLDAGSVNMGPSRP >Et_2A_016291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2369029:2373455:-1 gene:Et_2A_016291 transcript:Et_2A_016291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRARQSLRLLSSFLSLAGGSWGRDVGEAVQSGDEKLHSARMHLLSRAGAPLRCLASRALPRRAMGSSAGGRRDPTENPAVGRLRELFTGDAADGWEKSWEVGVTPWDLGKPTPVIEHLVRSGTLPKGRVLVPGCGMGYDVVALASPERYVVGLDISDIAVKKAKEWSSSLPNADYFTFIVEDFFRWTPREQFDLIFDYTFFCALEPSLRTAWAETVNRLLKPDGELITLIYLISDQDGGPPYNNTVADYQKVLEPMGFKAVLMEDNELAIKPRKGCEKLGRWKRCGHQSKLVFGDGDQRRTELGAER >Et_3A_024488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21279434:21286405:1 gene:Et_3A_024488 transcript:Et_3A_024488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVARRCGGEPAVRKGPWTLEEDLVLVSYISEHGEGSWDNLARAAGLNRNGKSCRLRWLNYLRPGVRRGSITAAEDAAIRELHAVLGNKWSKIAKHLPGRTDNEIKNYWRTRIQKKLLMAAAQQQPPRQPATTTTVSDGASASASQDSSAAADGSWYTQPKMTEQPAYGQGAVAVATGTVKSEGASSSSASASQEYGSGAGDCWFIPLKAEQPACGHGAMAVATGVVKTECASSASASKKDGSSAAGAWYTQVMIYPCHPDLSSAAAGHLGTAGVDASTTQFFSSEVTDSFWNAVNNFWETDMTSNVDAVQERVLAEILARNADAEYLANCCLAGATDRAKVPIVSYEDLQPYIRRIADGDRYLAHPVRVRAPRLRTEQPAYCQGAMAVGVVKSEEDASSASASKKDGSSAPGASYTQAIHPYHSELSSAAHLGMAGVDASTTQLFPAEYTDSFWNAFNNFWETVPATGTKMRLPQHFSVQKLATTVAKEKLATKFGAAKDLRGQ >Et_7A_051845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25524910:25527458:1 gene:Et_7A_051845 transcript:Et_7A_051845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FNDENNRIGNSLTEVKREGTVQGHDKASATASVKVIKRPKKVQASKRTLDGSETLGTVEGVLLLVSYSSWITLINSMTEVPFCHFQIRVVYWVPIYCFTFNSWPPFFCSGDAGPLFSSNNCRYDSSLSLLTKKFINLLKEAKDGTIDLNKAAESLGVQKRRIYDITNVLEGVHLIEKTTKNMIRWKGFDMLNPKETELQISTLKEERESLCVEDDRLEDEIREAQEKLQALALDEDKRKFMYVLKEDINKLPHFQGSILIAINAPRGTSVEVPDPDEDKYKYGNLGFQDEHYRIILRSSMGPIDCYLISGDHQETFNPDHQVMPNNSKPVVTAGSPQAVQHMDCDPNQSVQHMDCDPNQSVQKMDCDPNETVQRMHCDPSRAPKKGESNAACTHTAEPSTRHESMAGILRIIPWDAGADADCWFASDPDVSVTDTWGS >Et_4B_036118.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:25589273:25591787:1 gene:Et_4B_036118 transcript:Et_4B_036118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFRHTLLEARKVFARMLSSGSGGADVPVDASDPTKRLCKLVISCRKASALEHELDHSGIRVTPDVAENVLARLDNAGMLAYRFFEWARRQKRGGCAHTVRSYHTVVASLAKIRQYQLMWDVVAIMRREGVANVETFGIIMRKYARAQKVDETVYTFNVMEKYGVTPNLAAFNSLLGALCKSKNVRKAQEIFDKMNSQFTPDAKTYSILLEGWGRAPNLPKMREVYSEMLDAGCQPDIVTYGIMVDALCKTGRVEEAVSVVQDMSSRGCQPTTFIYSVLVHTYGVEMRIEDAVATFLDMEKDGIVPDVVVYNALVTAFCKVKKFENAFRVMNDMEGHGITPNSRTWNIILNSMISLWKG >Et_4A_032069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15142209:15142475:-1 gene:Et_4A_032069 transcript:Et_4A_032069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NKFLQLLLCFIVIRSNNNRGIVGRRKTKKKEDYTQFRLQECWLPFIRHMFSTYLLSVYPVIYMNLHTGLRLKFRAIW >Et_1B_012230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3052414:3057256:-1 gene:Et_1B_012230 transcript:Et_1B_012230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSSAGGSPAHAPGGAGGGGGAPYGSHHRSRFGDTTLTKVFVGGLAWETPSEGLREHFQGYGEILEAVVITDRETGRSKGYGFVSPPPSLSLSLSWLAACSGRSVAAAARVHVVSQNLTDRLLHARLAGDFSGPGGGAAGGAEPEPGDRRPARQLQHCVHGTAAARAGERKRSRPRAPLAGSAADGLALQGAVADGPASRRRDVLPSSVRVQAFYNAQMMQHYYPQPYGTQSSSSGPPYQYMGYMPGGSGPRAGFSPTPMQQHARPFFQQPTAQMEGSFPPGPSLPPNFILQLPPHAVSRQSDDTSGPQSTQPSPANEATSTDDHEGSRPITSNSDPNTSS >Et_4A_034740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:635867:645840:1 gene:Et_4A_034740 transcript:Et_4A_034740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMINNLGAKRENAGTKKSPLQIQMLEKFYTEVQYPKPEDMVEYATTVGLTYSQVRIWFKERRRKERREMETTGARMERQLSSRSSGPRCSSNASSYNQAPLSESSSISIIGEEHTVRRQGLFHKDYILRKIFRKDGPPLGNEFDPLPQSAHGIIRDATCYDSSLSRRAVNKRKIMEYTDQRSTVPHEDTVPVRKHGIGKGLMTVWHAMYSQSHSVECQGGSSFIDETGCLRSLRPFDVSDRLEDQDNGKRIQIKSQSQVMPRKKVDKRSKTPLNKRKVPCRRAIVPKEHSRMDCHLSVDKSELSERQTELVALVDDEELELSELQAGPNPLSCSAHLSSSGRHGCPLCKDLLAKFPPQSVKMKQPFSMKPWDSSPEMVKKLFQVARFVYTHFGNIDVHPFTFDELVQAFHDKDSLLLGEMHIGLLKLLLFKSEGGCDGVFVPRSSTDCRFLSFLNFVREQEFNVNFWIKSLNSLTWVEILRQVLVASGFGSKQHMNRDFYDKEKNQMVKYGLRPRTLKGELFALLSKKGSNGLKVSELAKSPEIVDLNIPGTSELEQLICSTLSSDITLFEKIASSAYRLRVDPRIKGKEDTRSDSEECVSVDDEEDACSSDDEPDGSQKINFPEHERSIVRRKKRKIVHESPNKCCEIDESCPGERWLLGLMEGEYSDLSIDEKLDCLVALIDVVSGACAVPRLEGPPKVSQNAPKVQPCPSGGKIKKSTKNISLSSDESLNGPGSQGSQGHTVDSRRNDLSGIAHEPQVVLLGSDRRYNSYWLFLGPCRADDPGHRRVYFESSEDGHWEVVDSPQELLSLLSILDRRGTREAHLLASLEKRQACLFEAMKKYLEDGNAVRLAVSSGSCHSETSGDGASPQTSSVDGASPVSEIENTSVPTGHAVSNSDSSSAIVIEIGRSDDEKILIWERLQAFDKWIWASFYSILTAAKCGKKSFKESLVHCESCHDLYWRDEKHCRICHSTFEVGFDLEERYAVHVATCREPEDMSEVPNHKVLPSQLQALKASIHVIEASMPEVAFVGSWKKSAHKLWVKRLRRTSSLPELLQVSKMKYFQIIYFYMLISEIFTLSLLPLQCCYILQVLVDFVGAMDEDWLYKCSSSVSFSSYLDDIVVYFQTMPQTTSAVALWVVKLDALIAPYLDRAFST >Et_3B_030446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3852492:3855477:-1 gene:Et_3B_030446 transcript:Et_3B_030446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKDKGPPPSIQDATERVNKRGETVDEKIKKLDEELARYKEQIRKTRPGPSQEAIKARAIRLLKHKRMYEEQRNMLYNQTYNLDQVAFAADGLKDAQQTMNAMKAANKELKGMMKTVKIEDIDNMQDEMTDLMDVSNEIQETLGRSYNIPDDVDEEELMGELDALEADMEFESAAVPSYLQPESDFDADLNLPAAPTGPAAVPPSRQQVDELGLPAVPRASLRNDLWGHTTYQNLIQTRDTSMPLPLHKESLRLGNSTEDR >Et_5B_043797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16230507:16240500:1 gene:Et_5B_043797 transcript:Et_5B_043797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARPVVLVFLLLVLVITSQFEWKQQIGDAADADPAAARRRQQLLGRDDAVKEKIILSQEKNIQQLNQLIESLQRQLLHCRGNNNTVHTTTVAATEVSEVEGHEIIDDDNL >Et_5A_042027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5353251:5355529:-1 gene:Et_5A_042027 transcript:Et_5A_042027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARERQEKVRKYEEFVDRRLKPDLANAIAQRDKVFQQQKTFSDLKRNIENLEKNGVTSMRSMINLGSEVYMQAEVPDTRHIFVDIGLGFHVEFTWQEALQFISVREARLARFVKVSANCCSCRQRKS >Et_10B_003766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5713890:5714686:1 gene:Et_10B_003766 transcript:Et_10B_003766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAAADVGGGPAKPVMLVGIDDSEHSYHALQWTLQHFFGPGQPQQYHLVVLTAKPPASSVIGIAGIGTADLLPTVEGDLKRTSVRVIDKAKQLCTEVNDVSYEVFEGDARNVICEAVDRHHAEMLVVGCHGYGAWKRAVLGSVSDYCSHHAHCTVMIVKKPKQKKVEHTP >Et_5B_044905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7149510:7150253:-1 gene:Et_5B_044905 transcript:Et_5B_044905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQADEDRRNHSKIKLVVRVRSYFVLPDGGPKTFCERGRLQPWFIDRQSYSLLQLVDDIGAQRMWGSKQYITSWRELTDECLQIKNDENLLEWIDLNIEKSEVCIYAQIEDFEGEVCIVKEVSQLSKRGWPHLQIIHQDMGAMTQVMHTHQILVEAEVEVQVQVQMTWEEEDHQQVGEEEEEEEEG >Et_6A_048122.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7073120:7073968:1 gene:Et_6A_048122 transcript:Et_6A_048122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGYVFREYIGAQVSGVQMSEVPINAFLSFHFILAFAIDYTPATQPAPTNGVFTPFWDTDALTPSAVAAIKRAHPNVAVMAALGGDSVQDRTNAFFAPTSVDSWVANAVSSVESIIDKYGLDGIDVDYEHFAADEATFVECIGQLLTRLKARTPGLTTSIAPFERDDVQRYYQALWRSKYSAVIDYVNFQFYGYGANTDVPTYVAFYDNQTAHYPGAKILASLETNQSAGLLTPDQGIDAAKELQKENKLPGFFIWCADNSKKSSYKFKYETLAQDIVANH >Et_5B_045624.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5621124:5621819:-1 gene:Et_5B_045624 transcript:Et_5B_045624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVTVTISFGVALALLAAAGEAAIFTVVNQCPYTVWAASVPVGGGRQLNRGETWTISVPAGTTAARIWARTGCRFDGSGRGSCRTGDCGGVLRCTGYGRAPNTLAEFALNQFNNLDFYDVSLIDGFNVPMSFVPASGGGCRSRGVRRAADVTARCPAELRQDGACNNACPVFKRDEYCCVGSAANRCGPTNYSRFFKQLCPDAYSYPKDDATSTFTCPAGTNYRVVFCP >Et_3B_031730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9181967:9183383:-1 gene:Et_3B_031730 transcript:Et_3B_031730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIITEEKEPPVMVEASNGNVPPVALMALRAQPSGRNRLDLGESSSSGRTSCVTGAPRVQRQHLTWMRPPAQQRRNAMPPPPAQQRHDATPPPPAQQRSELGDLEVSTIMPSYIALILSEKDRDFYLVIKQLSGSSSIADEFADGSHN >Et_8B_059205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15228081:15234505:1 gene:Et_8B_059205 transcript:Et_8B_059205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKRRPKSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKKEERRRQYEAEPGRLGAYIRKIENRRLGDEGTSRPTVGRQGDKGAVRGVWGPVARTSGDKGSNNPLNERQGARGPCGGPSRPTVGRLAPTPINGRISASDRPRTEEEKGKKRREEKRKKERRGEERGGGCYPGVLQRRRAACSTPQGTSGVVAVRRSMDSETDLAQRIAVGSGSVLQRMRQGIQLTAHEISTAMKRIYDTAADIVQAHLLLFMRFDTLLSPHTEQSDLRFSSRPTHRWPHHRLLVLRSSVWRPSVDAAGPSSQMPSMAPGSWIDDYDYEEEDEASRHPDRYSRRSPTPFDFDAYMTEGQQDTLPASQLAGAPLQTQPTQDHQDTPPTAVLRSTRQVVPPSPLTYSAGHVRAGRKAPKPGTVRGIPPKRGRR >Et_1B_013800.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17212720:17212908:-1 gene:Et_1B_013800 transcript:Et_1B_013800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGFGTPVSAGLRRDGQAYLTAGREPGSVLDRDAVPRRGEDDGVQGGVSGRRRRRRIFGAA >Et_8A_056343.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23888201:23888464:-1 gene:Et_8A_056343 transcript:Et_8A_056343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTGFHNGTPLEAGKIADSEPVDLFAPARGVAEHKDEQQQQMRRPQEQQEEQHGDQVAGGGGVASEEQGRKGMAAPTAGGRRLGRQ >Et_7B_053546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:38380:40553:1 gene:Et_7B_053546 transcript:Et_7B_053546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLLLPLLPQALPLPNAGDVCIVGAGISGASTAFFLTNYTTSPALQLRVFERRPRVGGRLATVTIASDHFEAGGSIIHPRNLHARRFADLLGLTVKTGGDDDWLGIWDGTRFVFQTLRPPPPGSSWLRRKLHGLLNSLLLLNRYGLSLLKMDRFVQEMLQRFMLFYNGTDSRPVFGTVEEMLEWTGLYGLTQRTLEEELTNAGLNSRTISELVTVITRVNYGQSVRISGLAGAVSLAGSESGLWAVKGGNWQLPAGLLKTSNATMHLQEGIDSITDAGDYYILKSNIGNEYNCTATVVATPLDEVNITFNPPINIPPRKMQHTHTTFVRGFLNHEYFGVRSASDIPELIGTMELSDIPFSSISILKKYSEDDMTYKVFSRAKLEDGLLDQIFRRREETIRINWAAYPHYEAPEVFAPIVLDGKQLYYVNTFESAASAMEMGVVAAENVARLIISRRGGVESAAPRIKSFADEEEAESPVKLDL >Et_3A_027290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:737770:739211:-1 gene:Et_3A_027290 transcript:Et_3A_027290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTPQPVCAQEALELLNCAAASPYNREKCLALLDALRDCIAQKKVKKFSLAEPSSAAPAEASKGESKL >Et_4B_036676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10277405:10285625:-1 gene:Et_4B_036676 transcript:Et_4B_036676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQERPPVPPSNPSLPERTEESEPGASMEGVNPARLPDPVLRHSPAAASVRRSRSLRSLIADSPSVTFAAGIRSASRGAESTASSLESFQYPRSASTGPSPVGFARASTRRSASERAGSQRDLRDEDARFVYINDPDRTNAPPAAFPDNSVHTTKYSVLTFVPRNLYEQFHRVAYVYFLVLAALNFVPQLGVFTPAAAVLPLAFVIGVSAVKDAYEDWRRHRSDKTENARTASVLVGGAFVPKPWKEVRVGEVLRVVANETLPCDMVLLSTSDPTGVAYVQTINLDGESNLKTRYAKQETMPTPPEALSGVIKCERPNRNIYGFLATVELLLDGHRRAAVSLGPSNIVLRGCELKNTSWAVGVAVYTGRDTKVMLNSSGAPSKRSRLEAHMNRETVVLAVALVLLCSVVSLLAGVWLGHHADELGAIPYFRKRDFSSPDATYKWYGAGAQVAFTFMMAVIQFQVMIPIALFISMELVRVGQAYFMVQDKHMFDDQRQARFQCRALNINEDLGQIKYVFSDKTGTLTENRMEFRCASVHGGDFSDTDGGGGGHSVTGEDGVVLRPKTAVKTDPKLMALLRDGSGAKADRARDFFLALATCNTIVPIVADAEGADPAARTLEYQGESPDEQALVYAAAAYGHTLVERTSGHIIIDVFGNRQSPMAGNTRGDSILV >Et_1B_010835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14589340:14598708:1 gene:Et_1B_010835 transcript:Et_1B_010835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHSSACRGSSLLSSCTSSGRFALALAGASSKTLGAPRTFAAMSYRGGRGGGGPNSHRGRGRGGGGGGRAGRGGGGGRGEQRWWDPQWRADRLRQMHGEVEKVDENEWWNKISQLREGLQQELVVRRNFGRDGQNILADMAQRQGLHFNAYNKGKTLVFSKVPLPDYRADLDERHGSTQKEIKMSNQTERLVEDLLSRSKSNTNNSASTSTVSIRHSLPSTVSSVVEPAAVIDKEKLSSQLRDLQNSRKMTASARSMQSFREKLPAFNMREGFLKAVAANQVLVISGETGCGKTTQLPQFVLEEEINNLHGADCSIICTQPRRISAISVAARVASERGEELGETVGYQIRLESKRSTQTRLLFCTTGVLLRRLVQEPDLVGVSHLLIDEIHERGMNEDFLIIILRDLLPRRPDLRLVLMSATINAELFSKYFGDAPIMHVPGFTFPVAELFLEDILEKTRYKIKSERDQFPGSSRRKKFSSVKNDPLSEVFEVESTIEYICRYEEEGAILVFLTGWDEISKLLDKIKGNSFLGSSNKFLVLPLHGSMPTVNQRDIFDRPPANMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGFCYRLYPKVIHDAMPPFQLPEILRTPLQELCLTIKSLQLGRVASFLAKALQPPDPLSVKNAIELLKTIGTLDDMEELTSLGRHLCTLPLDPNIGKMLLMGSVFQCLDPVLTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALLKAFVAWKEAKQSGRERSFCWENFLSPMTLKMMDDMRNQFFDLLSDIGFVSKTRGVKAYNHYGSDLEMVCAVLCAGLYPNVVQCKRRGKRTAFYTKDVGKVDIHPSSVNAFVNQFPLPYLVYSEKVKTASIYVRDSTNISEYALLLFGGSLTPSKTGEGIEMLRGELDKLLHRKIEDPALDIFSEGKGVVTAAIELLRSQNVYN >Et_6A_046360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13692876:13701137:1 gene:Et_6A_046360 transcript:Et_6A_046360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRLPFAAALALLLVALLACGGSADDASSDDDAGALRTPGCSNKFQLVKVKNWVNGTEGTTVVGLSARFGASLPRDVHEAKRTFAVLANPFDCCSNLTSKIGNSVALATRGDCAFTAKAKNAQAGGAAGLLVINDNEELYKMVCSDNDTSINVTIPVIMIPQSAGKKLKDYLDHGASVEVQLYSPNRPVVDLSACFLWIMAVGTIVCATLWTEFVAMEHVDERYNQLTRKDGPNSGTNHSEDKEIFEISAKGAIVFIIVASVFLLLLFYFMSSWFVWVLIVLFCIGGVEGMHVCLVTLFSRFFKDCGQKTVHLPFLGEVLTLSVGIVPFCVVFAILWAVYRHASFAWIGQDILGICLMITVLQMARLPNIRVASALLSAAFVYDIFWVFISPLIFHESVMIAVARGDNSGESIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRFDRASRKGILNGYFLWLTVGYAVGLFLTYLALYLMDGHGQPALLYLVPCTLGVIVILGWLRGELHALWNYGKLQNENLVDEP >Et_3A_026900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25508093:25514756:1 gene:Et_3A_026900 transcript:Et_3A_026900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEKSEALPQLLDLIPDEREWKAMDAQGQGRSRNTDFGSEDGKKLELKLGLPGLIEEEIKALSRDEGLQQENPALSLGYFPKPSKAAPGTTTTGTKRGFLDTVEPKTEGHHEQKQQPRARCGDELALEEKIAAASERKKGCCTPPSSHAPTAPSVRNNGNRPQAQGRGASAPVVGWPPIRSFRRNLANSSSSKQPPEPQNGETSTKAKLTCKKSPLVKINMDGIPIGRKVDLAACDSYERLSVAVKVLFHGFLEAQKDTSSAQSAQNRADEKIFSQLLDGSGEYTLVYEDNEGDRMLVGDVPWKKDFNDKFDYPKLSPRLERLLEEQQIAKTVRAGPLNQGSQTSAFAPQHKNGRHPCVYLREWDGFGSNGTAKDSPPIFS >Et_4B_038857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5342249:5346150:-1 gene:Et_4B_038857 transcript:Et_4B_038857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGAAAAESATNMAAEKLVVAIPVAAVEDLPPPALPSGPRKTGLFLFIMNIRSLFKLDELGSEVLRIAVPASLALAADPLASLVDTAFIGRLGSVEIAAVGVSIAIFNQVSKVCIYPLVSVTTSFVAEEDAIISKAIEEKSTHDLEKASHVDSETNNLPVSGPDKTECVNSCIPTECTDLSDHGYKRKYIPSVTSALIVGSILGLLQAVFLTFSAKFVLSITGVKSGSPMQGPAVRYLTIRSLGAPAVLLSLAMQGVFRGFKDTRTPLYATVVGDAANIILDPILMFVCHMGVTGAAVAHVASQYLITLILLCRLVRQVDVIPPGIKSLKFGRFLGCGFLLLARVVAVTFCVTLAASLAARHGPTIMAGFQICCQLWLATSLLADGLAVAGQAVLASAFAKKDHKKVVAATSRVLQLSIVLGLCLTVVLGLGMRFGAGIFTKDQPVIDVIHKGIPFVAGTQTINALAFVFDGINFGASDYTYSAYSMVGVAAISIPCLVYLSAHNGFIGIWIALTIYMSLRTIASWGQQGDHGRFSESNELAWKMYDPGHILLIDSLAWWSH >Et_3A_024917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25329821:25342227:1 gene:Et_3A_024917 transcript:Et_3A_024917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIPMLCWPLYAEQKMNKIFMTEDIGVGMEMEGYKIGFIKAEEVEAKVRLVMESEEGRELKERVAARKTEAEAALEVACISTTSCTTTVPIELMAQKTVVLYPSLGVGHLNPMVELAKAFLRRDLTVVIAVIDSPDTDAVSADAMARLATANPNIDFCFLPVPSCAKDHHSHPVMRTIDVLRVANPALRDFLRALPAADALVVDMFCTDALDVATELDVPAYFFFASALGDLAIMLHLPYYYPTAPSSFKDVPETVLRFPGVPAIRALDMVTTMQDRDSDIARARMPQYTRMLEARGILVNSFDRLEARSLDALRCGLCTPGRSVPPVYCIGPLVLPGNTGGSGERHACLEWLDGQHDRSVVFLCFGSLGMFSTAQLREMACGLENSGHRFLWVVRKPPEHRSNSAEPNMEAFLPDGFVERTRDRGFVANSWVPQTEVMRHKAIGAFVTHCGWNSALEGIISGIPMICWPLYAEQRMNKVHMVEEMRIGVAVEGYEDELVRAEEVEAKVRLVMESDEGKKLRERLVTVNKMAADALKESGSSDMAFDENNAMAEKTVILYPSLGVGHLNPMAQLAKAFLRRGGVAVTIAVVDPPEKDAVMAAAVARLAASSPSITVRLLPIPLPPGPSKQCSHPLMPVLDALRAANPALREFLGSLPAVDALVVDMFCTDALDVAAELAIPAYIFYPSSAADLAVYFQIPDICRTVPSSFGDMGKAPLNFAGVPPVRALDMPDTMQDWDTDLFRVRRQQLGRMPEARGILVNSFEGLEARALNAMREGHCLPGRSTPKVYCIGPLVDGGDAQDLRERHVCLEWLDAQPKQSVVFLCFGSQGAFSVAQLKETARGLELSGHRFLWVVRSRSSPESDLEVLLPDGFLERTKDRGLVLKNWAPQTEVLRHEAVGVFMTHCGWNSALEAIMSGVPMICWPLYAEQRLNKVHMVEEMKVGVAVEGYEEELVKADEVEAKVRLMMESEEGMKLRERMATAKKMAADAVKEGGSSDIAFPPFPIDLAETSCNKAARNKAMAAKTVVLYPFLGVGHLNPMAQLAMAFLRRGSVSVTIVVVDPPDKDDVQAAALARLAVATPSITVRLLPILRPTAPGKQFSHPLMPVLDALRAANPAPREFLSSLPAVDALVVDMFCTDALDVAAELAIPAYMFYPSAASNLAVYFQIPDICRRAPSSFKDMGKAPLGFAGAPPVCALDMPDIMQDRESDLCRARLQQLDRMPEPTGILVNSFEWLEARAQTAIREGHCLPGRSTPKIYCVGPLVDGGDAEDRRERHVCLEWLDGQPKRSVVFLCFGSRGVFSSAQLKEMARGLESSGHRFLWSVRRPRGEQSSSPDPDLEALLPDGFLERTKDRGLVLKNWAPQTEVLRHDAVGAFVTHCGWNSALEAIMSGVPMICWPLYAEQRLNKVHMVEEMKVGVVVEGYDEELVKAGEVEAKVRLVMESEVGMKLRERMATAKKMAADAVKEGGSSDIAFRSHRSLPDPVELSRDMGGAVVLYTWMVRGHLHPMAELADSLATYGVSVTVAIANVPSSSSSSETVARLSASYQSVSVHLLPASTARSGDTADPDADPFITLIADLRATTPHFLDFVRSIPSVKALVLDFFCGCALDDAAELGLPAYLYYTSGASPIAAYLHIPVMPSDVSFRDMGRSLLHFPGLPPVPASDMPDVLLGPRNEQYKETIVLFEHLVKAKGILVNTFEWLEPAAVKAVEDGSPRPGERVPRLFCVGPLVGEEKGRETKHECLTWLDAQPPQSVVFLCFGSASSVPTEQLREIAVGLERSGHAFLWAVRAPVAPDADSTKRFDGRGEAALEALLPDGFLDRTRRRGLVVSTWAPQVEVLRHRATGAFVTHCGWNSTLEAITAGVPMVCWPMYAEQKLNKVFVVDGMKLGVVMRGYDEAMVKAEEVEAKVRLVMESEEGKELRERTAVAKDMAAAALENGGSSKAAFADFLNSIEISTLR >Et_4B_037526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20892575:20901423:1 gene:Et_4B_037526 transcript:Et_4B_037526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGLEGSQPVDLSKHPSGIIPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVREETYTAFENIYPVLTEFRKVQQWYAYTVGAECVIRMAEAGLEGSQPVDLSKHPSGIIPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVREETYTAFENIYPVLTEFRKVQQWYAYIFFNYC >Et_9A_062993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7905941:7908226:-1 gene:Et_9A_062993 transcript:Et_9A_062993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRANSGKTSKDFSVIMVTGIRVIVGIIGNAICLLLYAAPILTFKRVIKEATVGEFSCIPYILAFFSALTWTWYSLPIVSTGWENLSVASISSIGILFELSFISIYIWFAPGGKKKYVTLMVSVVLAIFGVTVFFSTFRIHNHHTRKLFVGSIGIVTSMSMYSSPLVAVKQVIRTKSVEFMPFYLSLFSFLTSAIWMVYGILARDPYLTSPNCVGCFTGLLQLVVYCIYSRCKENEPSKSLKDVEQANDMQFVTSREDADGYKP >Et_3A_024274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19042567:19042775:-1 gene:Et_3A_024274 transcript:Et_3A_024274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLSSSRDTRHSPFIDGNDPLIRSGPLYHMLKCLAMPLICQVCVEEPCTIESSVLRVVNDGPGTE >Et_1A_006815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27820156:27826784:-1 gene:Et_1A_006815 transcript:Et_1A_006815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPWRHPAPSAAAADLCLREVGDILPRRFARRAAASEDLVMRLQIHRKLDKHTGCVNTVAFNEAGDTLISGSDDQMVMLWDWDTGSVKLEFHSGHGGNVFQARFMPCTDDRTLVTCAADGEVRLAKIQDAGDVTTTLLGEHAGRAHNLAIEPGSPYIFYSCGEDGLVQHFDLRTNTATELFHCRSNLSKSGSSSYVHLNAITIDPRNPNLFAVGGSNAYARVYDIRKCKWDGSSDFGYPTDCYCPPHLIDNKRVGITGLAFSHQSELLVSYNDENIYLFPKNGGLGPDPKLSVKVGSNNEYKSTRAAAGQDIVEPAAPQAYVGHRNRETVKRVSFIGPNDEYIASGSDCGRIFIWRKRDGKFLRAMEGDECIVNCIEPHPHNMTIASSGIDNDVKIWTPSAIERAPIVNVEELRPRKRRNKLWHFDLPDLLIQHLLASERRQPAAQEDSSGDLEDNARLLNLVLRAADEGVSSADDEESSEGAGENQLQLSKIHESHLESSEFRQLVHLLARKAPISDRDGAFRRGGSLGDTSSLLEEARQSGWEASSSLRDAPPSVSWRKALMYTAAATAATADTFDTKMQLVVRHNVATRTGTIWWLVSML >Et_4B_036288.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:15335661:15335714:1 gene:Et_4B_036288 transcript:Et_4B_036288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPAPLLVPRESIEAC >Et_4A_035455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25376762:25380999:1 gene:Et_4A_035455 transcript:Et_4A_035455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSHGVGGSDASIHSTFASRYVRASLPRFRMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQASVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGENDTAVGVGTVGSSEAIMLAGLAFKRRWQNKMRAAGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSDGYYVMEPEKAVELVDENTICVAAILGSTLNGEFEDVKLLNDLLVKKNAETGWDTPIHVDAASGGFIAPFLYPDLEWDFRLPLVKSINVSGHKYGLVYAGIGWCIWRTKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGYEGYRNIMENCQENAMVLKEGLEKTGKFNIVSKDNGVPLVAFSLKDSSRHNEFEISDFLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVLDIEKVLHELDALPARVPSGDLAALAAAEASESQKEKQRQVISLWKRAVLAKKKTNGVC >Et_1A_005404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10047891:10052485:-1 gene:Et_1A_005404 transcript:Et_1A_005404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPQTSAASGRKRGRRGGVRNRRKNSSSSQQAHPPFRAAAPPPPSSPPAKRQRKAVAAQGAAKSKGGNTTSLLDKMRASGQDAFDYFKDDPNLFDVYHTGYQEQMSHWPEQPVNVIINWLKSHNPSWTVADFGCGNAAVAKNVKNKVFSIDLVSDDPSVIACDMAHTPLEPTSVDVAIFCLSLMGINYPSYLEEANRVLKPSGWLVIAEVRSRLDPNTGGADPEKFCKAIIQLGFSLVSKDAKNKMFILFYFRKKEKGNAVKNIDWPQLKPCLYKRR >Et_5A_041427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22403795:22404596:1 gene:Et_5A_041427 transcript:Et_5A_041427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSNAHGRDPEDVGNTYFNELINRSMIQPVDTDHNGDVQSCRVHDMMLDLVLHKSREENFITVTDDLQSLVGQHDKVRQLSLHLDGLVDTSVFEIIQLSQVRSLAKKFGSTRCIPPLLKFMHLRVLNLEFPWHRSDITILDLTLIAHLFQLRYLKVVTDNRVKLPERIQGLQNLATLEIQTASPNKYDYPFSYVELPPDIIHLNRLLHLIFPLGVKLPDGIGNMKSLRTLNHFDLGRNSLEKYQGSWRPDQLEISANLLFT >Et_1B_013745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1520710:1521095:1 gene:Et_1B_013745 transcript:Et_1B_013745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYSKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAYVYRVAQRARRH >Et_1B_010114.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:24644574:24644702:-1 gene:Et_1B_010114 transcript:Et_1B_010114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPATATLLQEHLRLWMVRAPRSVTTNPVEKWCALNVDVIR >Et_1B_013259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6832156:6839457:-1 gene:Et_1B_013259 transcript:Et_1B_013259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTRGLLAISGHLAGRHATATPTSTTTQYSLVACTFQTLAQPEPLRLQKLSAPDSGILELRLERPEVKNAINWDVMRRLRSAIEKIEADTTAKVVLVASSVPGAFCAGADLKERRLMSASEVREYANSLRSTFSSFEALPIPTIAVIEGAALGGGLELALSCDLRICGENAKLGMPETGLAIIPGAGGTQRLPRIVGRSRAKELIFTGRRCDATEAVMMGLANYCVPAGEAYQKALDIAREITQKGPLGIRMAKKAIDKGAAVADIPSAMAVEGECYEQLLHTQDRLEGLSAFAEKRKPVYTGHMRCLRGLLSVSGHLAGRPSPQASASVSPYSALFVRTLQILAQAGPVRLEKLSPPDSGIVELRLERPEAKNAIGKEMLHGLRTAIEEVEADATANVVLVASSVPKVFCAGADLKERRSMDPTQVREFVNSLRSTFSSFEALSIPTIAVVEGVAFGGGLELALSCDLRICGEDAKFSLPETGLAIIPGAGGTQRLPRIVGKSRAKELIFTGRRFDALEAVTMGVVNYRVPAGEAYQKALELAREINQKGPVAIRMAKKAINQGTEVDLSSALAVEEECYEEVLHTQDRLEGLAAFAEKRKPVYTGK >Et_3B_029950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29316941:29326901:-1 gene:Et_3B_029950 transcript:Et_3B_029950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLFANHRQLWMAVNALNISNEESSKGAADQIADSLRVRGEESPEVASKQGDATPEVASEAGGAFYSGLAPDSVLHSPVYKAQGLLLNSLADIMKSRWSTTNFAKLTDGCKTEDIGKDESNHSKRNKGGNIPVHEVINLDTSDDEEDLHAPEPLSMERPELALHGPGATNGVHLGQRDAAPATMEGMSRHTSLWHYLDPQGETQGPFKLIELWEWRKYGFFDEGFKVWRSGQTKEHSIFLRDAFRMNL >Et_3A_024020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16122033:16126934:1 gene:Et_3A_024020 transcript:Et_3A_024020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFGAVKKVFSPESKEKKEEKLRKRLAASNPSPLDLTPSPSLEVNVSVPPAPIIPSVHQTEEIKVPEAEQEQSLDVTLEPVPAAPAQTSVLPSGVSWEEIAAIKIQTAFRGYMARRALRALRGLVRLKSLVEGNSIKRQAASTLRCMQTLARVQSQIRSRRLKMSEENQALQRQLLLKQELENFRMGEQWDDSTQSKEQIEASLISRQEAAVRRERALAYAFSHQWKSTSRSANPMFVDPNNLQWGWSWLERWMAAKPWEGHNGTDKESNIDHGSVKSMSLNIGEGEITKAFNRRDSKLDKPSPTTPKLTRPASRQSPSTPSSKKSATPKNRLSQQDDDASSVFSVESERPRRHSLATSTVRDNGSLSISPSVPSYMVPTESARAKTRLQGSASTTGAETPEKVGPAGPAKKRLSFQAGMASPSPMRRYSGPPKVDGVPENLLENLAVLLGPAEPPRCPSFASLRSSGIGAEGRLLCLR >Et_3B_029903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2899926:2904903:1 gene:Et_3B_029903 transcript:Et_3B_029903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWSPADDNAAATQASNQFPAPDVTAATATNLASRPQTVHNVTHRPPIAVDTVQPPPPRRHSYALLQTWPPRHQHHVLAPAASWADSFKPPSSSLASMSSWTVRPNARWCRTPRPTRKDCAAGDAPGCMRVARHVGRNKAARDGCNFVVSPLSLHAALALVAAGANGHTRRELLGFLGCGSLAALQRAAATKLVGALRGLPQTSFACGVWVDRRRALRPEFVEAAGAAYAAVAECVLDVNTMDRILQAEQARQRVNAFVTSATKGLIRDVLPPGSVDSSTAVVLANALYFKGTWAQPFDASRTFTAPFHLSDGTAVAVFPGFKALKLPYKKDGHMPQAAVSLYMLMLLPDGGALKISDLYDKAVSAPDFIKKHTPTSEVPVGRFMVPKFKFTFEFEATGDMRKLGVTRAFGGGDFSGMVVGGDGLSIGGVYHKATIESPPVDFVADRPFLFAIVEERSGAVPFFGHQTSSILYFKGAWVQPFDPSRTFHAPFPHGTTVRAPFMTSMFQQQVTVFPGFKALKLPYGVYKNDGEVPQAAASLCMLIHFSRKARL >Et_4B_036725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1113959:1116992:-1 gene:Et_4B_036725 transcript:Et_4B_036725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLGGWPSYNPQNFSQVVAADPSAQPSNVTPATYIATHRTDPPPDQVITTESKNILIRHFYQKSDEKLRPKRAAPDNLAPENNNKLPRGPVADVGGQSNARS >Et_4B_036763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11321546:11324273:-1 gene:Et_4B_036763 transcript:Et_4B_036763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAFLSLRLPTPSPSPSASSPSFPLPLLRQARSGAVSSVLVARAAAGPAGAPSPLFNPRGDPFLSTLAAASPEQLAAASGGERRGEDHLPFLEIFQNAKLMASPAQVERSSSSYSEHRPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELVVAQLMYLEWMNSKEPVYIYINSTGTARDDGEPVGMESEGFAIYDAMMRMKTEIHTLCIGAAAGHACLVLAAGKKGKRYMFPHAKAMIQQPRIPSYGMMQASDVVIRAKEVVHNRNTLVKLLARHTGNPPEKIDKVMRGPFYMDSLKAKEFGVIDKILWRGQEKYMADMLSADEWDKVAGVRRPDLM >Et_5A_041592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24714746:24719130:-1 gene:Et_5A_041592 transcript:Et_5A_041592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCTAPAAPSERHGFFDSVSVAVLWRPAAARAQPSPPSASGPSDASSTSSRAPDTVTSAGLLVGSVLKRNSERLKDLYTVGKKLGQGQFGTTYQCVEKATGKQFACKSIAKRKLVSEEDVEDVRREIQIMHHLSGHPNVISIVGAYEDAVAVHLVMELCAGGELFDRIIQRGHYSEKAAAQLARVIIGVVEACHSLGVMHRDLKPENFLFVNHKEDAALKAIDFGLSIFFKPGETFSDVVGSPYYVAPEVLMKHYGCEVDVWSAGVIIYILLSGVPPFCDESEQGIFEQVMRGDLDFSSEPWPSISRSAKDLVRRMLNRDPRKRLTAHEALCHPWVCVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAENLSEDEIAGLREMFKMLDTDNSGQITLEELRSGLKRVGANLKDSEITTLMEAADIDNSGSIDYGEFLAATLHLNKVEREDNLFAAFSYFDKDGSGYITQDELQKACEEFGIGDEHLEDIIRDIDQDNDGRIDYNEFVTMMQKGNNLLGKKGPGQMSFGLREALKLAQLQDAP >Et_9B_064489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15630483:15635343:-1 gene:Et_9B_064489 transcript:Et_9B_064489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPHHHGRGDTIPLHPSSARSDMDEIEDLINAAPSPAAVLPAARPSSPQRASIPVFSSSSLPPPAAAALPTFASASGSVAVPIGSDGFGLETDTLTEPVWDTVKRDLTRIVSNLKLVVFPNPFREDPGKALRDWDLWGPFFFIVFLGLILSWSATVKKSEVFAVAFAVLAAGAIVLTFNVLLLGGHINFFQSISLLGYCLFPLDVGALICLLKDSVVLKIVVVTITLAWSSWAAYPFMSAAVSPRRKALALYPVFLMYVSVGCFIIAID >Et_3A_024347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19969341:19973496:1 gene:Et_3A_024347 transcript:Et_3A_024347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEAAMEWMPLGCRRPWPLTEAAAEELEVERFRAAKTKTDPLSVYEATLLKLRNGSVQAFTAALDGARGKGAVEIMPCSNHTMTMSPSSGVAARHTPHTMNHDLLRAAATGDKALLEHVLGLSSTADGVSSRSFLKGVTSEGNTPLHTAAGRGYLELVRIICDQDASLIEARNNLLNTPLISAAKAGHVDVVRYLIGRVHAAAAAAMDGGACRESMLRARNSEGATAMHEAIRNGHEDVLQALMSADPLLAAVVDAKGFSPLYLAAALGRGDMVDILIGGSPDGEDSSLAYIPDAEGLFPVHTAAKMGKIDVIDQLMETCPNCDELLDNRGRNVLHCAIEHKKEKVVQHMCRNPRFGRMMNARDSGGNTPLHLAVRHGHDRAAMLLTQDARVNLSIMNNDGATPLDVAIGELDQGYTYPMNPEVLIAQCLVWCGAHRSPRRRDEYLKNSSKHGGVGGEHSSSEKELSKYTNLTQNRAIGSVLIATLTFAAPFTLPHSDDGGELAERPAFKAFVLCNAAAFLCSTVATCLLMCAGLTTVHPSYRSRYHRWSSTLLHVGVLLVIATFALGVQLTLGPPHRSRGLNVAVCAMACVSVVFTHPGTWWPMVLARPIWARLGLKGLLGVLLGPRPIPCQKLLLSRTPWLNLFKMLITLLILVTIVVTFLWDTAHHRISHTLLQDPHLSASSSTCYPI >Et_10A_001247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22585210:22589410:-1 gene:Et_10A_001247 transcript:Et_10A_001247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLRHRFVSRSPAPSIDSIHAVKSAPQAQLQAEAIHARQLREQLGRTNIKCRGSLPGSLVSALVRAIHWLIWAGLLIRRNDGPSHTEILARLVHRFRLVSIRPTLPALSKKKHFRPNHSPTAVVAQKRKKKKTPRQFCERSPFPSPGQGNGGLDRKRWRRPTELGRSARFFGVELGSGRGGKKMLKKLLPKTKSKKKKEAASSALPTLDRLHETLEMLEKKERFLQKKSSAEIEKAKNYTKAKNKNAAIQCLKKKKVYETQIEQLSNFQLRVHDQIIMLESAKATTDTVDALRSGSSAVKAIQHSLNIDDIENAIEEANEQTENMKQIQEALATPIGVSADFDEDELEAELEDLEEEELDELPEPPVRHSVPVQPSVEGTSSSKQANDLTELTKLQAEMAL >Et_8A_056951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19430633:19433919:1 gene:Et_8A_056951 transcript:Et_8A_056951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGAGVVLAVVVLACALGVRADGSDHKYKEGDHVPLYANKVGPFHNPSETYRYYDLPFCAPEHPKEKKEALGEVLNGDRLVDAPYELNFKEDQNSKILCKKTLTKEQVAKLRDAVAKDYYFQMYYDDLPLWGFLGKLDKDREQGDAKYLLFKHIHFDIMYNNDRVIEINVQTDPNVAVDITEDKEMPVEFSYSVTWKKTDIPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHEDESLEDQEETGWKYIHGDVFRFPQQKSLFAAIIGSGTQLLALAIFIFLLAIVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLILTGCLFCGPLFLTFSFLNTVAIAYSATAALPFGTIVVIILIWALVTSPLLVLGGIAGKNSNTEFQAPCRTTKYPREIPQLPWYRSTIPQMAMAGFLPFSAIYIELYYIFASIWGHKIYTIYSILFIVFIILIIVTAFVTVALTYFQLAVEDHEWWWRSVLCGGSTGIFIFFYCIYYYHARSDMSGFMQTSFFFGYMTCVCYGFFLMLGTVGFRASLLFVRHIYRSIKCE >Et_8B_060801.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:7845531:7847000:-1 gene:Et_8B_060801 transcript:Et_8B_060801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPPRPVLVLVLLLALLCSHIALCSSAADYGKPKAKLSGGRKALLAAASVNDDGDDGEEKTPVVVKPAKKAAAATGGKIKKKVVAADAKNQTKVAKAKKPESAAKGAAKKAAAGKAGADGAIAKAKVPKVDKAAAGKAAKGADSSAKPAKVSKTAAAAAAKAAKPAKKEKSEAAASGAKAKKPTNSTADASGAKPAKSGKKAPVAVVEGKASAKANATASKEGMEVDEDVILFADAAEEGSDDLISEFRGLPARLQETLMPDLARLSHQSKAYLSAANAGIADGVRPILGGRWAAAAATAASVALLLLPLCMLTALVRRMGPYLPLLHRALLLAQAYLAIYFATLALAAAATGLEPLRFFHAASPAAYAWTQAAQSLGFMGYLVLQMVDLVAVFSGAASPPEEDGNGDAAKALGLAQMVVGLAVGLHYYAAVFHRAAAGEAPRANWRVYAVYAACFVIICACARAERRKKAYLAGSGDGAAEEWKKS >Et_10A_000642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14267985:14275880:-1 gene:Et_10A_000642 transcript:Et_10A_000642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHYQAATLIASPCYPDAIAWSSENLVAVASGHLVTILNPDALEGPREVVVLHRNDPFPIGVVNREDLFEPCLVPTCLARDNEPCVRSISWSQQGFAPNSGCLLSVCTVDGHVKLYRSPICEFCDEWVEVADISQLLFNYYKNINFGDDDISHLTSQKSVNTEHTEVLGCTHELQEPLSRRGPGRRTKKPSRVDGYIYDDNEDDLDAIKDADFSLKPHTKVKKRPLEKVVKQGQGHVNGQGRSQNCKAPLSSNKANKSLPHITAKQYACRDALLSSLVVAWSPVLSSSDMISCLSRDWCILAVGSKSGNISFWKVCKPDYYTIDVGMVSREPMLIGVLQAHKSWVRAINWEASSASSSKLSLLLATGCSDGSVKIWSGNIEGLCQCTGAEKVPFVCVAKITTNLPALISSMSLSGPARLQPDVNLAIGRVSGSLETWIWNTCSNKIENTSACHAHDQVVYRGVWMAVVYTAAVRTILCAVGSLTETEHSYMRSRVSKLISDQLGSDILWSLKKFETGEKAVVLWDVIAAFQRLNKSAPAYMGTLMHKWVSDLFSDGSHHVSVNASSYLRHDAVFKVTLRKLHLLNIICRKVMLRDHDKEPGAENSNATTDFWNDLLVTSEREVQERLVSFTFRVLLKRMSYFLEGGSVVNNWFPVGVAQMDSWVSMTEGEMHKQLRSLRRRIQNLGSRISSVCEYSVEEACTYCSALVPFESPDVATCSGNNLCACPCGNNMLIRCRASMRLCSVLQPVWHCVCYGGMVDKLLPESFFTMPASTLDANYDDSLDLSAPAVPLCPFCGILLQRSKPEFLMSVSPV >Et_3A_023254.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:14296261:14296455:1 gene:Et_3A_023254 transcript:Et_3A_023254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KRVPNSSRTAPFDPSTAKRVFVRKQSSYSKHIATSEKELSSGRFDRNNTEITETGPEQLRNCPV >Et_5A_042034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5481033:5485225:-1 gene:Et_5A_042034 transcript:Et_5A_042034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRASAAWVASRSSHVTVDLQEIEKVVDKIQGNVPKVEWDFEGIHYFDNGPLTVQYLFVLDALNFCFWPDKDLSYDHLASGLKLALEKDKKALDADRLQNYTGPQLRELLNWPRPLPIEEERVRLLHEVGLELERSFGGEAANLVKAAGNSAATLIELITRHFPGFRDHSLYKGHQVFLYKRAQIFVADLWGAFKGQNYGEFNDINSITIFADYIVPAVLRELGILKYGSNLSCSIDSNSEIVPGSEEEVEIRACSIYAVEKMRDLISKKFGKQNMALSHHRTLSIYY >Et_1B_010459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10715801:10721043:-1 gene:Et_1B_010459 transcript:Et_1B_010459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAGKDAPAATLGRGLSSTPPPEDTIAPPDEPGLETTAVPRPAAASPAPSLERSAVAEEEPVEPLEAPVPPPLLEEATKSKITDVRGDLLPDVLRSREGQPSVAAGAADEATAEDDGGQPPTPETLDERAVPEAEETDPATSLQAPTKEVSPDAAAGQPKQTVPATTAAEQVATPPLPPPDQTPSQEQQLPAPPLPPAPQQAGGAAAGEASSGHKPEEKEKEEDDSKAAVQDGSAEKKPPPGRLWGLLRSAVRLLFNRSKGGAGDQSAPPPDGKGGGETTKPAETDGDKQPASPKEEEKPVKKRRRKDGGGGGGVPADPAKDKDEEEPSGKPHPTERRKSLRRTKSQAGAGDDGKATPQCPPVKKLQKAGRRVVRIMSWYNRHRSQQKDDQPGAAAPEPEDGNTKTEPAAAGEEGKESSDDPEKKKKKLSDDGKQQQDGKEKEKKKPHPKWAEEEKRLEHILEEAFTKLLATEYTNQLKPIKRKCLLTFSVFNLASEIKKQNMVYWWVSEFRLPHRRRISLDPPPNSGNKNSAPADSPKLLPWNIRGGRSRKPAPAPADSSPAPAKSEATTKGGGDDERLDAEGVMSELSDLGFLVPIKNYCCGGIHGCKVNPLVHWMVKRLATDGGFADLGDDGNPTKEQTTSGILCLTIGCRDRLHDMRMAELQAPPATTTTTTKGPDHQEAEESSTQAINDSEDVNVVEFKKKRVILNIDAHVYPLSKSLFKHLSDYLVMLQLGRWCQHDNKTYMEVDGLESESQCAINKLKNLRYLSLRGLSRLSKLPCGIKMLKNLQILDMRGCQKLVTVASKDISPLKHLTHIDLTECYMLEHIGREITSLPDLQVFKGFVFGSGTQGNKRCRVRDLKRLKKLQKLSINITTDANIGRGDMEELKSLNKLQTLTITWSEIPSILEDASKKVKDKREELLKTWTTFELPPSLEKIDFRCYPKKSLDLKKPNKLKKLYLRGGEVENISISKPNSITTLRLRYLKNLVMTWEEIISLSENIEHVEIKVKDESLLKLPDLDEDDKKVLKKEIEEDPKLLEKINIPESILDKDGVWIKDNKEEKNQPTSKGNEDANIQNNAQGALEKNKGVPSNFHALPMYINAKSFLTKHESSLFPESSSGSSEVPSNKDATTIPNANKVLDNPKKRDNDGDDTSTAQAGNKDQVSTHEVSELQTKEDN >Et_1A_007264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32838557:32859479:-1 gene:Et_1A_007264 transcript:Et_1A_007264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIAQALILLILAVLLRFQVEAGVAHGGCRLSDILVSQEATGKIVEGQREYRVTIENKCACPQADVKVSCNGVGTVEDIDTSKIRPLDREFCIITNGKPLTKGLPVIFTYAFQTPQSFPVVSPKVVVGCQTLSFRNRSRGRSWGGQREYRVTIENKCTCPQVDVKVGCFGISTVEDIDKTKIRPLDSELCVISNGKPIIKGSPVIFTYAFQTPQSFPVMGSCSTRMLLMAAAVAAAVLGLAGSNFPDDCSIDWAPQNVRFTDNGRGVSLQLDRSSGCRTRTNKSFMYGTLSSLVQLVPGDSAGVITTFYTSSEGRKHDEIDFEFLGNAAGQPYTIHTNIFVADIGNREVQFKAWFHPADGYHNYTISWTPCIVGWYVDGFPIRQYKKSQGVAFPSSQPMSGLWASEDAWATQGGRVRTDWSKAPFISKYRDLQLQVCNCSIGGDHCETNCPAHGAGACQLSKEQEAQMHTVQENYMLYNYCHDSKRFNGQMPIDEMAYPAKAMILLIFAVLMRFQGSTMHDVQIKTLHGAHGGCQLSDILVSQEVTGKIVEGQREYRPNFLNKCACPQADVKVRCNGIGTVEDTDTSKIRPVGDAKDLCQVSDVHVSQGKTGKIIGGQPEYRVTFENQCSCHVANVVVRCNGLASTEPVDKKKIQVAQGHLCVINDAYPLVKGSPMSFTYATKTPQNFPVVYLQTIVPVAQGINVFSHTILLCIVADSNKMNKLKFCNSTMEATKRQPIDVTSRDNNGKRKKRRKKIELVSGGVRNKSLFAKKRERERERERERERERERERSHNWHDLSFRPLRCLFITWFDLIRPKGMIWSLILSVSHAEVDDQLKLQVHST >Et_2B_020253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18426851:18430662:1 gene:Et_2B_020253 transcript:Et_2B_020253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFSILAVCILVSAVAFVSYVYYASRQRKGQGGYGHEQAALKLPPGSMGWPYLGETLQLYSQDPNVFFASKQKRYGEIFKTHILGCPCVMLASPEAARFVLVTQADLFKPTYPRSKERMIGPSALFFHQGDYHLRIRKLVQGALGPEALRALVPHVEAAVKSTLASWDGRVTSTFHAMKRLSFDVGIVTIFGGQLDERRKAELRKNYAIVEKGYNSFPNSLPGTLYYKAIQARRRLHGVLSEIIQELRARGEPGTDLLGCLMQSLGDDGAPLLTDKQVADNIIGVLFAAQDTTASVLTWIVKYLHDQPKLLEAVRAEHAAIREANGRRPLTWADTRSMVLTQRVILESLRMASIISFTFREAVADVEYKGFLIPKGWKVMPLFRNIHHNPDYFQDPQKFDPSRFKVAPRPNTFMPFGNGVHACPGNELAKLEMLILIHHLVTSYRWEIVGSSDDVEYSPFPVPKHGLPVKLWRENSSVDRKGCDGDNVENIIIELYVEPPPSI >Et_4A_035241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1389677:1408756:-1 gene:Et_4A_035241 transcript:Et_4A_035241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVETNSNRPGGEQIVGMEFEANSSAVWARSGKGAMETASHDDCGETKDGSTNDEFDPWHPPYDPRPSKPDDLDFDSYIQLVTEWSKQTNDIIAASRATHIIIPDRTPQRDSVPRFLRFFKGDGRGLYWGFIITPETFNHMVVQNALRCAKVALEGKAPELSGHRANPNCMNQYGYFPLHEAAERFSVDMIKLLLEHGALTNLCTEGSQVVEGLLPLHVAVENACMHKYLEDNLFLNVDQPDYPTMVDDNYIFKLIYLLCLPEMKIFLDTTRLLAENTDNLSHSRDDPKKIRDLGQISKSKSNSTDKGCIFLFATATQNMSPIFPRQIR >Et_9A_061656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1507622:1510371:1 gene:Et_9A_061656 transcript:Et_9A_061656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEPVPREGGEPGTDDGMSTAAEAAGTPAPVRDIRRYKCEFCSVVRSKKSLIRAHVLEHHEDEVDGLEDYLGGVPRKEVFHDCEKCGMRFKKPAHLKQHMQSHSLERPFVCHVDGCPFSYSRKDHLNRHLLTHQGKLFMCPIEGCNRKFSIKGNVQRHVQEIHKDGSCENKKEYICPEVNCEKTFKYASKLKKHEESHVKLDYTEVICCEPGCMKTFTNVECLMAHKQSCHQHVQCDVCGTKQLKKNFKRHCRMHEGSCVTERIKCHFKDCKCSFSKKSNLVKHVKAVHEQHRPFVCRFSGCGKKFSYKHVRDNHEKSRAHVYIEGDFEAVEQQRHSAGGRKRKHITVESLMRKRVAAPDDAPAPEDGIEYLRWLLSG >Et_4A_034831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:707337:709987:1 gene:Et_4A_034831 transcript:Et_4A_034831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHADMEKGGVRKEAGKVPSPLYPQHEGEREWVPWIVPVFFVTNITVFIVTMYVNNCPIHTPAKEGKCIGHFLGRFAFQPLRQNPLLGPSSATLTKMGALVWDKVVHHHQGWRLISSMWLHAGVLHLVVNMLSLMFVGMRLEQQFGYVRIGVVYLFSGLGGSVLSSLFLRNHISVGASGALFGLLGAMLSELLTNWTIYTNKATAAMTLLSVIVVNLIIGILPHVNNFAHIGGFLTGFLLGFVVLMRPHFGWMERYSMAAGSACTTKKYLLYQWILMAVALILVIIGFALGMAMLFRGSNANDSCHWCQYLSCVPTSRWTCTN >Et_1B_010659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12776231:12782489:1 gene:Et_1B_010659 transcript:Et_1B_010659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRCFVAALVTAAFLAGFAALFFWVWIEYTVTSATAADLRFTDAPQQRRLRPLTTTVPTGGHKAQGSQLQASRHARTQQCHVGFAALLFWVFFQPGLVEYSVTRAAASDLSLVNATVTATFDFDVDTYNPNPAVSVIYDRAEFLVLYSGAGGEHQLAAASSFQPQEDESLLYVRARHGQRRHTEPPGRCGGRRGPHQRADASSSPLQDRRLHDLGIQAASLLLQRCDGTGVPGHKLHG >Et_8B_060237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7784793:7788157:-1 gene:Et_8B_060237 transcript:Et_8B_060237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGMPAQKRGKEDEGAPAETSGVGRLDALPDSLLHNVLSFLPAEEAVRTSVLARRWRHLWNPSLRIDCLRDNEPVSMATIRSFVDHLLLLRGASPLKRCELRIGDFEDEDEDCTDSVNFWFRHAVACKVRVLALHSNYSCLPLDDLPLVSQHVTTLELHLVWCNDSFLDFSSCPALEHLNFKYCLLLRTIKISSDSLKCLCISGSPFSENSRLRIYAPNLVSLHLEVCWGRTPFLESMPSLLEAFIRVNDCCDRGGIDNGSSVLLKGLSKARKLVLISTPQMFIFKRDLRWCPTFSMLKTLLLNDYWCVPDDQRALACILVHSPVLEKLTLQLFSKGPEHKMEMKGTFSSRKRSTAISEHLEIVEIKCKVVDESFQSSEVPVIPDISLTFICMNERKNLQLLTRGCGKIWLSMGDVSHVKEMQGSHPSRYPFIPNYIHSQVPGCHPFFPGVGCRLPPLLPPLNHFGAVSVDHDLY >Et_4A_035972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9847778:9852642:1 gene:Et_4A_035972 transcript:Et_4A_035972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHHLPSRPAARRAGHRRHHPRCTPVCRAVAAILLLVVVGAVFVVLSPSTSNVVVTLPRIRFVFDKPVVHTDDVPAPPPAASNATDDDEDSGLPPPRQLTDPPYSLGRAILGYDARRAAWLAAHPEFPARVHPGGRPRVLVVTGSAPRRCPDPDGDHLLLRAFKNKADYCRVHGLDVFYNTAFLDAEMSGFWAKLPLLRTLMLAHPEAELLWWVDSDAVFTDMSFELPWEKYAHHNLVLHGWEAKVFQERSWVGINTGSFLIRNCQWSLDLFDAWAPMGPRGPVRDRYGQLFAQELSGRPPFEADDQSALVYLLLTQRGRWGDKTFLESTYELNGFWEGIVDGYEELRRKGKPGELGDNYRWPLVTHFVGCKPCRRYVDSYPADRCRLGMERALNFADDQVLKLYGFEHESLNTTAVRRVRNDTGGPLDADDEELARLLHPTFRAAKPTVQFRSIEASYLVLSTRKSGKLASSGKAYKQKNVHAQ >Et_1A_009595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8888014:8890062:-1 gene:Et_1A_009595 transcript:Et_1A_009595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDAISTLMDIDDSPLNGAGFLDEEDVEGDPFMGHSRGGRGIGGTGMMDLRGPLPFSGFFNSFDGADFDDADLERLT >Et_10B_002851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12513270:12513772:1 gene:Et_10B_002851 transcript:Et_10B_002851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSDSRACQRVSPIHYCHINTTQQKNTFSMEHSRRNFSASIVILLLVVMAMEIAHVQAKECYSLHLSGKFRWLCLNTDHCSEVCRSEGKGYTGGKCLGWRDRCYCILPCLLASAAAPDADQTSGSGGAWS >Et_1B_013982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26245452:26249941:-1 gene:Et_1B_013982 transcript:Et_1B_013982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKWRGGGGGDRGKAKAVFKLQFHATQARLCSTRMSESVTGCSSRWLQVPKLGWEAMMVVVTPQDAGRPTARSERAEVADGACRWATPILETTKLPTGKDKIYQFLVYETGSSKAALLGEATVNLAEYADAFKPSALTLPLKGSPGALLHVTIQRVVGGGGGCGDDGSSENGDEQAAAAVRTPQRRPTLLSQLTQCEDEVGEKPRAVTDAMSPVQVRWIVSKKTARDEVSVEKKHAYVC >Et_3B_028830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19644558:19645612:1 gene:Et_3B_028830 transcript:Et_3B_028830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHTELALGLIRFGAGAGSCAEQLPTAPFVAKTHQMVCDPRTDAFVRWGRENNSFVVADPAGFSQLLLPCFFKHGNFSSFVRQLNTYGFRKVHPDRWEFAHESFLRGQTHLLPRIVRRKKRGELGGGASCSSSGAAAAASLSGDGAHHHHHQEGAHDDDNEKEALASEVQRLRQEQAAIGEELARMSRRLQATERRPDQLMSFLARLAEDPDGVTRHLMEQAAEKKRRRLQHAPVVSPTPPAPPPPPLGGASAMDCDDWQWAEQKPVMLPSIDPTASCGVQQVPDFAASGGGAGLTNSTDLDTPVETPFPFCLLGQGFF >Et_5B_043620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1309429:1310816:-1 gene:Et_5B_043620 transcript:Et_5B_043620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEKASICSAGEPALFAVRRWQPGYINYHWRYNDWEAAVAEVRAVHVHGDWTVLVLDGRVDVYGADKDGAVLHRVETGRSNPLGLCAVSQGGGDAPFVFACPGAVGGEAHVERWAGEFKPLSIPAHSSPLACMAMSRDGRLLATASVKGTTILRVFCAAGGALLRELRRGSDRADIHCLAFSPDSKWLIASSDKGTIHVFSVNVDLTSSSPEYGDDGDAPNTTTKVNQGWSLSYFSGFVPVPRYFKQDYSLAKLHLREGVKYMVAFSHEPHTVLIIGMDGSFYRCQFDPVNGGEMKLLEYRNFMNIE >Et_3A_025750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32539271:32545532:1 gene:Et_3A_025750 transcript:Et_3A_025750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAVVGSAVAAPCFRTTLPCRLRPQKLPSWRHCARPNDEDYYLIDAEESIGDGFSFSGGKYGEGPSKSDEWFAQGKMVNAYPVYGNKEKAKDPFFGLTMGSGSQSSDDVFRWFCVEAGRSSNPKVLLIHGLPSQAYSYRNVLPVLSDRYHAIAFDWLGFGFSDKPQPKYGFDYTLEEYTSSLESLINVVAPEKLSIVVQGYFAPIAVKYASEHQDKLNHLVLVNPPITDKHVNLPSTLASFSNFLLGEIFSQDPLRASDKVLTSCGPYMMKEEDAMVYRRPYLVSGSSGFALNAISKAMKKDLKAYIESMRSILGSDSWKTKTTICWGLRDRWLSYDGVEDFCGRLNHKIIELPMAGHHVQEDKGEELGNIIKRILSANPQRKLAHVAKWRVYWSEEVGRGYLYDGDAEDVAAEADGDGADGHGRRAEREL >Et_4A_032228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25182227:25183231:-1 gene:Et_4A_032228 transcript:Et_4A_032228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLGQGSYGAVVKARHRATGKIVATKRITAPDVVDREEELRREARFLEACSGIPFVVGFHGLVRDPANNTADLGLVMEFVGPSVADFLLRQRGHNNPIPEASVRAVTRQLLTAADKMQERGIVHRDIKPENILVDQDQGVVKLCDFGLAMSLISDAPPYEPAGTMCYKAPEMLLDGRPLFQGCYEEGQLCAIFDVLGVPDDDTWPEFSATDFATEEGFQVLSGLLTCNPDKRLTAAAALKLPWFANYVDVLELPRKEVPSALPKTNKKRLQYLASPELAKRQKLQCV >Et_6B_048885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1489491:1492979:-1 gene:Et_6B_048885 transcript:Et_6B_048885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAAPPAEGLDIVESPRCGSDKLDTSPPVAASDVSGGGGAAADGAATKLQKVYRSYRTRRKLADSAVVVEELWWQALEFARLNHSTVSFFEEPKPETAASRWNRVTLNASKVGQGLSRDSKALKLAFQHWIEAIDPRHRYGHNLHFYYDVWCQSQAGQPFFYWLDIGEGKDVDLPKCPRAVLRKQCIKYLGPQERENYEYIVNEGKIVHKLSGEPLDTSRDKGTKWIFVMSTAKRLYAGKKEKGVFQHSSFLAGGATIAAGRFTAENGVIKSIWAYSGHYKPSAENLSNFMNFLEDNGVDLKEVEVRSSTKEDYYDDPMPNETQNFTAAISPPEVILPPSSTEGDEGGNAPAEQAKPTYQRTLSGGLQSPKATKVPQKAIFERMKSKRETKSYQLGLRLSLKWSTGAGPRIGCVKDYPMELRAQAMEMVDLSPRGSTPSSSRRLPSCFSPTAPASPLAVQTSLPQPS >Et_6B_049901.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:17048912:17049178:-1 gene:Et_6B_049901 transcript:Et_6B_049901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSPLVFRNVGMFSNDTALGAIVGAVKTCFILVAGLQHLMLYRPVAIRNSNKPCGQTTRHVRVIPRLADVPSCAMEGCDAEAYAGPP >Et_2B_021299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28383809:28385007:-1 gene:Et_2B_021299 transcript:Et_2B_021299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPAAVTVKQELQDDDEVVVVVQDGHCDGAAAPAPAPLEKLSAAAAAVAPFLAKTFEMVEDPATDGVVSWGAARNSFVVWDPHAFAARLLPRRFKHANFSTFLRQLNTYGFRKVSPDRWEFAHADFLAGQRHLLANIRRRRGAPGSSTSPSSGAAGGGGSRGVAEVERLRRDREALARELARLRREQQEARAALLDMERRVRGSERSQEQCTAFLARAVANPAFLDGLLARRDVAPLEAGRKRRLLDGAAAAAPDVLAFEELALAAGAEIDGPPVPAAADSAGGTTTTDMIWYELLGEEQAEIDVEVEELLAAATEAEVAEPWEEMGEEEVQELVQQIEFDCLGSPTSP >Et_3B_031288.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24345326:24346030:-1 gene:Et_3B_031288 transcript:Et_3B_031288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTKQFLPMPQPQDPNSPSSSTSSSSSSSTSPSHPQRRAQPHNLPPSPRPVPRTIDTTPFPTTFVQADTNSFKQVVQMLTGAEPQPASKNDAAAAATTTAGGAGQAASGPCRPKKPSFKLYERRSSMKNLKMIAPLAMGPPPSPRRAGAATPEILSPSVLDFPSLRLNSPVTPLTGDPFNRSPASTSSSEEAERAAIAERGFFLHPSPRGVEPPRLLPLFPVTSPRMAAPTE >Et_3A_027178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3985988:3997776:-1 gene:Et_3A_027178 transcript:Et_3A_027178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNDPRRPYKRPAISDQQRRRELALQAQSSRRADAQARARALASSLITTQAPPASTHHHEDALEEADEEEHTVAEVAAASKLRGSDARRWFARQIMLPEWMVDAPPHLARDWHVFARPAGKRCLVVSSNGLTISRVRNGSILHRFPSALPNGSKRDISGPASSYSILDCIFHEPDETYYIIDMICWRGYSLYDCTAEFRFFWVNSKLAETSAADPPSTYHRYRFSAIPIYECTLEGLQAAYSGNTPYVKDGLLFYNKHAHYQAGITPLALAWKDETCSQYVIDTDSKGEIPSEQQLVLELQEDGKLITSDDPPIVFGSLDIEFIQKSNLRPGNLLRFAVRDESVKLVDGKMQIGELQFVGKPNRARAFADSHSKALFQYAARHAPLRIEDLVASLQSNSMELESIDELLAVGTNYISKQYLKLLERCDLPVRLDHGLDIGDQSIDDLVLASLVRRNRDGAGDALGQVLLDLGAQQGNVVPGLIGAVCGVQVLADVVAGVGEEHVRHERDGGRRPLDVQHHASLRPIETRR >Et_9A_062871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6031193:6032503:1 gene:Et_9A_062871 transcript:Et_9A_062871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTSPAAAAAAPYFEFRSADRVPETHVWSGPHDYPTVEAAGRDAVPVVDMRDADVVRAVARAAEEWGGFLLVGHGVPAEAVARMQEQIARLFERPAPEKTRAGRRPGESAGYGVPPYALHFDKLMWSEGYTFPAAAVRAEFRRVWPDGGDDYDRFCEVMEEYHREMRALGGRLLDVFYRALGLTDDQIAAGEMERKVSETLTETMHLNMYPKCPNPERAMGLAAHTDSGFFTFIMQSPVPGLQFLRRDPDRWVTVPALPGAFAVTVADLFHVLTNGRFHSVLHRAVVNSERQRISVPYFLGPPADMEVAPLASAVLPGTKAAFRGMTWPEYMVVRKKTFGTDQSALDMLKVADQGEGEPQN >Et_7B_054685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3491223:3492813:1 gene:Et_7B_054685 transcript:Et_7B_054685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PKNMGNCLFGKGEQAVEGDDGEHRVKVFSYNELRKATQDFSGANKIGEGGFGSVFRGVLKDGTTVAVKVLSATSRQGVREFLTELTAISDIKHENLVTLIGCCAEGSHRILVYNYLENNSLSQTLLGSRYSNIRFNWRARVKIAVGVARGLAFLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLARLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTKKSDIYSFGVLLLEIVSGRCNTNTRLPSGDQFLLERTWVLYEEGRLDEIIDIDIGDDLDVEEACRFLKVGLLCTQDAMARRPNMTNVVRMLMGEKRISIDKISRPAMITDFSDLKVSNKEQRPNETRSPTKSFSTTEPFSSSETPTQSSM >Et_8A_057819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7709093:7715697:-1 gene:Et_8A_057819 transcript:Et_8A_057819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVAVEAPASPPPPPLGPAYARTDLAAAKALLVYLSLASLWVANASVGAAAAAAATAAVAVAGPGGEERCSVSMGHLSVLLFVLACLVVGLLALRRAVLQAGFRVEFTKAFGPPARSLLQDADSRGLLVALASLLLALAGYLPLLLLSPEEGSLMGRISALMAGLGTKPRREGIEHHKEGATIPVLLNTAHLREASRSKGFRRSLAAVADAVVVVPPAPEPGSGRRPDIAAAKAVIYLCLASLWVCCACLASVALARHVRAAGSPVSAGAFVLAALLIPFFLLLQPRATRYRLNIGDETGKFYIEEYMFIFTETNASGCPCSGASLSGFWSVNVGDAAGHWCARGASMFSFPPPHLLSGGHGALTWVDLWDKPLGLYTLIFI >Et_3A_025650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31510494:31514075:-1 gene:Et_3A_025650 transcript:Et_3A_025650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHGRGGLHRLLVLSSLLLLASAEVFFEERFEDGWETRWVQSDWKRSEGKAGKFKHTAGRYSGDPDDKGIQTTMDARHFAISAKFPEFSNKNRTLVVQYSIKFEQDIECGGGYIKLMSGYVNQKKFSGDTPYSLMFGPDLCGTQTKKLHLILSYQGQNYPIKKDLQCETDKLTHVYTFILRPDASYSVLVDNRERESGSMYTDWDILPPRKIKDVHAKKPKDWDDREYIEDPAVKPEGYDSIPKEIPDPKDKKPDTWDDDDDGIWKPRMIPNPEYKGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPLKYIGIEVWQVKAGSVFDNILICDDPEYARQVVDETWGANKEAEKEAFEEAEKERKAREDKEAERAREEGERRRRERGDRHRGRDRYKKRYRDHWDDYHDEL >Et_10B_003179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17362367:17365668:1 gene:Et_10B_003179 transcript:Et_10B_003179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAGMKRRELQALCREHGLSARGSKDDLAASLAGALSAAAADAAGKVVEVVPGKGCLKRKSDGRSDDSSGAAKKVTFIIKEKEEAGEALVAAQVSGGCGAAPDMDFTGMTRRELNALCREHGLSTRGSKADLAAILASTLSAARAAAAAEKLVEVVVVKGCLKQSDDGQSNGTSRADKKVTFIFKENGEAGEALVAPQVNRRGRRRKRVETGARKRVDAVGASTDGNAAGMVCPDARLTRSRTNVVNLCAGSGVGRHNNVVEEETEMIATTDIKQRIQECSKRVSDIAQAGVSRRSTRKSSLCTAADTRIQSQNDPAKEEGEVIGEVGHKKMERRTAGKQKVGETLPVAVGSRQHKCTEEGGRSNSSAESDTGVVDGDAQETRFKKNVGNLHANCGVESQDILVEAGDEGEAAQKAVETKRKRKVPQNAGNVAANTHAGISRRSTRSSSLSADDVMLCSIVEKKRGRTKVGDCKDELGVDKKAAEVQDFATSESPVAIESKRSQRKEKYCDLDVQKSAKVAVSSRVTRSCSVNVAVPLPIVIENKRNRKTETVQQDQMAPAVSKSDVHRNDAPITRSLGNKALQNNNTMLEETHVVKKLEKKRQPRGRAIGKHQQFASSVGEKEQAAIPCKSPALLENARGRGDEFQNSKCEDVGKRPPVRRSTRNCVVAGRT >Et_2B_020638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22252121:22256772:1 gene:Et_2B_020638 transcript:Et_2B_020638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRVLGSRCAGGQIFGKTGSATSCGKASCFASFSSSSKHEQNLQLAAGGSSRLVNRSPVLKHRQNLAATSSSANVCTTFDDTKGVSSEAVEEKVGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQRPLAKLISTFRAPKSKEGYASIGGGSPLRKITDEQANALKAALEKKNLHANIYVGMRYWYPFTEEAIDQIKKDRITKLVVLPLYPQYSISTSGSSIRVLQDIVKEDSYFSGLPISIIESWYQRDGYVKSMADLIEKELSIFSNPEESRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIENWGRVPALGCTSSFISDLADAVVEALPSASALVTRKPNDTDSDMDLMNYLTKMFFGSVLAFILLLSPRLISAFRNTVL >Et_4A_033265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20833069:20838162:-1 gene:Et_4A_033265 transcript:Et_4A_033265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVAVGGGKDRLSPGSGGAPQVDTGKYVRYTPEQVEALERVYSECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSRLVYENGYMRQQLHNPSVATTDTSCESVVTSGQHHQQQNPAAPRPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDVLHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWTLRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFVRAEVLPSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHIRQIAHESSGEMPYGGGRQPAVLRTFSQRLSRGFNDAVNGFPDDGWSLMSSDGAEDVTIAINSSPNKLVGSHVNSSQLFSAIGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAAALRASPYAVPGLRASGFMGSQVILPLAHTLEHEEFLEVIRLEGHSLCHDEVVLSRDMYLLQLCSGVDENAAGACAQLVFAPIDESFADDAPLLSSGFRVIPLDAKTDPPSGTRTLDLASTLEVGSGGTTRASSDASNASNTRSVLTIAFQFSYENHLRESVAAMARQYVRTVVASVQRVAMAIAPSRLGGQLEMKQTPGSPEAQTLARWIGRSYRFHTGAELLRTETQCTDSSLKALWQHSDSIMCCSLKAAPVFTFANQAGLDMLETTLIALQDISLEKILDDDGRKALCTEYPKIMRQGFAYLPGGVCVSSMGRPVSYEQAVAWKVLSDDDTPHCLAFMFVNWSFV >Et_8B_059311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16523977:16525603:-1 gene:Et_8B_059311 transcript:Et_8B_059311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPVPFTPPNGAQSQLVCTGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPGAEQKPSA >Et_2B_022006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:793682:798390:1 gene:Et_2B_022006 transcript:Et_2B_022006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVDIRCTILSKLSLEDAVRTSALSRNWKCSWSVCPKLKFDGVKIFGKNICGKKYIQNFINHVNAVLAQCHGRVVEELAIKIDFDSMLVEDLNNWVSFAVSSWTKLLAFDLTPNDFQCRDDRYRFPFELLDIGSISRLEKIQLSFGCIQPPTNFSGFPNLKKLDLYMVSVCGKDLEELLSNCCNMEWLSIVRCHLYDELKVNGPMRHLQYLNVVYCNVTKVALHAVKLTSFVYNGRQVPIDLNKSSKLETAQISFYRATLEHFSIKLANVLMNVESLTFDTYCELPKTPSLMDSPYKFSHLKFIKLALFFGKDIDILSLVSFLRSTPFIEKFEMHFSVCAPLYLGDEPIRRLPQHPYNYLKDLLVTGFEGSSGQLEFLLYIVENAPALETLTVDRSDKMVKQQPWKDGATETGQVASIHKTAIRHIEGKIEILRRDASELLQWRLTFWTYPACLRGAGQRRHRRSIFSAADISGYAKSTKVRRRVSLRAGMRGCFASSEKRKERAVGAERGEDGGQEADRGVGVDARVAERELGGVRRRGAEDEAGERARGEAARPGLDRRGDGVAGVRQGAGSGGEGAAARDPDRGRRRRGGARSSLIRLFLHSARAGPSSVQTPVAVGGVMVRSEAYQGHDAVARAAIREP >Et_1B_013756.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:15498660:15500234:-1 gene:Et_1B_013756 transcript:Et_1B_013756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQLKVLTTLDQAKTQWYHFMAIVIAGMGFFTDAYDLFCISLVSKLLGRLYYTEPNSPIPGSLPPNVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVVCSVASGLSFGHTAKGVISTLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILFGAIVALVVSAAFRNSYPAPSYQDNPGASLVPEADYVWRIILMFGTIPAALTYYWRMKMPETARYTALIARNAKQAAADMSKVLHTEIEEDKEQAELATAGVGANEWGLFSPQFMRRHGLHLLGTTTTWFLLDIAFYSQNLFQKDIFSKVGWIPPAKTMNAIEEVFRIARAQALIALCGTIPGYWFTVLFIDIVGRSAIQLMGFFMMTVFMLGLAVPYHHWTTAGHHTGFVVMYGFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQDPHKPEAGYSPGIGIRNALFVLAGTNFLGMLMTLLVPESKGLSLEEISKETVEDEEAA >Et_1B_011994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27979667:27980922:1 gene:Et_1B_011994 transcript:Et_1B_011994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPATAILGEPTSRCPASTSHPWTNSTSSGAPAASRTCSPASSSTTTRRPTRSPGSSSSATASPTKTAPSRPSSSSSTTQMEARAGRIGAAGAAGHGRARSTCATTSATRRWSTASRSSGAGTTSTSTWAPAPAAGGGWVMHEYAIAGSTLKLCGISFSGHGHKRVPDEYLAAREPATTRRPRVAADQESGSATSAGSGTTTTTTFELDRGFRTAQYASQGQQLILQDSSDEEEIAAEMVSKMTSAQEPTTWEFQAKQVPQTMNASAPSEFRPPPPPWTATMTSDIQPWWRKRTLLKAFNQHLADAAQDIAAMIDEMTNNADLYLDQVPPVMDQRQSSCAAPNNIGDTDFVHWEGIDFF >Et_4B_038998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6839294:6844583:-1 gene:Et_4B_038998 transcript:Et_4B_038998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSSSWFGRWRKKEKVVVDGSEIRELVEDEEAFGMLVEREFRHLGADGKLSVRELRPAVEDIGAALGLPAQGASPNADNIYTEVVSELTHGTSHGEVVSKAEFQEVLHDVLLGMAAGLKRDPIVILRMDGEDLKEFVGSGRYEPEAAAIFSRVASEDGSLRQCLSAALEQLTHDGVPPASDEWVVGNIIEPALLQLPADQLDQPASRESFFEQLKKLLGAIAERLQEQPVIVAHTEHTYDGSGVKRLLANKFELDKLLGSVWKGVSSEKNKASKECLRVALDKMADAARLPRYGDVNKVDAVVDEAIKKANADDRKAVDEAEFKKLLTDILGAVMLQLNENPVFVCTNTVVHEPLSDSSTVLASAAGDNTNNFKFHMLIAL >Et_7B_053959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13771265:13782707:1 gene:Et_7B_053959 transcript:Et_7B_053959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RNATKGKTSCKAAKKRLIPLPSSSSLPLPIIRLSGKLAGSLHLSELLPDPQLSFSLYYRPTCLACVCTSARPAGQSIRMGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKARELAILCDAEVGLVIFSSTGRLYEYASTSMKQVIDRYGRMKDEQQQVANPNSELKFWQREAASLRQQLHNLQENHRQLMGQDLSGLGVKELQNLENQLEMSLRCIRTKKDQLLIDEIHELNRKGTLIQQDNMELYKKVNQIRQENVDLYKKLYEKEAASEVNRDSPTPYNFAVVENGNVPLQIELNTPPQETDAEQNAPPKLG >Et_4A_033629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25099579:25103131:-1 gene:Et_4A_033629 transcript:Et_4A_033629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVARALRRSKPLLTSRCPATAASCRWISPTAAAGSPEAGAAVAPADPELPPPREPVGGARVELPSNPEDALEVFVDGHAVRIPKGFTVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTNTPVAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGADRGRFTEMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEVAGVQDLGILGRGSGEEIGTYVERLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRVDSRGPEVMRIVPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGPDGRFKAVTWRDALAVVAEVLQQVKPDEITGVAGKLSDAESMMALKDFVNRMGSDKVLCEGNGPNPPADLRSNYLMNTSIAGLEKADVFLLVGTQTVRATGAKVGYIGAPADFNYDHEHLGTGPETLVEIAEGRHPFCSVLQSAKNPVIIAGAGLFEREDQDALFSKIETVAKKYNVTRPDWNGLNVLLLHAAQAAALDLGLVANPAESVKSAKFLYLMGADDINLDKVPEDAFVVYQGHHGDKAVYRANVILPSSAFSEKEGTYENTEGCTQWTIPAVPTVGDARDDWKIIRALSEVAGAQLPYDSLEAVRNRISTVAPNLIHVDEREPSTISAEVKPPVKQQVSSAPFKTVVENFYMTDAITRASKIMAQCSASLLKK >Et_3B_030750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:693229:693851:-1 gene:Et_3B_030750 transcript:Et_3B_030750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSYAAAGEMVMCPPESSRSRRGGKTKAAAEQKANKQPQRGLGVAQLEKIRLHNQMMAAYHRSGMHQDAGRMQVPFAAPSAATTPFQPSYLDETTGRAIVGVHYYDNLLPYSSGSSSPPPPPLFAHDLRDSSGHRLGQGRHPPAQQHYWITTSDGSSSHGSAEELDLELRL >Et_8B_060760.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:6337070:6337978:1 gene:Et_8B_060760 transcript:Et_8B_060760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAIAGKRRTARVMTVDGATRKYRPPAVAGDALRDHHPGRHQLLESEEVRRLGVRARPLDPDAPLKPGKLYFLVELPRLAAAARAPRRTWSGALTYGGGSGAGERLESLMLARRSASDVAATVKAMAAAAGAESPAAVEAGEDGAVRLRVRLPKAEVARLVKESRDAADAAEKIMQLCVDRDHDRRRGSSAPATPVLRLPPAPTALPLPAIVSSHKKTNSAGGAAKKEVRAHDYAIFLRYTICFTLFSRYKIIILAEEPFDNFSSRILTKHASLILLPYVIELYNELPFDWRITPSRQSNQ >Et_1A_008787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12928297:12935070:1 gene:Et_1A_008787 transcript:Et_1A_008787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASKYLKQLFSAIIAAVKARSMAVGTKAGSLRTRLIILSIMRNKKLLLSAIQNKIHAIMGGGGDHGAAYTNAAASSHHGAGGGVVDGAGRGEHDGHGEGRHSLARKAAVLQSLPSFVVEQETKAVVLLSALPSFALEREGFARSPLAGGEEEAVDNADDGENKQLVVFDDAALPGSAVELARCAAEGGAEFRLEDEIDRVADVFIRRFHDQMKLQKLESFKRDHLRKCLVHVKEPRNLFRRTGTHSHGIPKVKNLHNASLGGFKEALLPKLFGDGEHLVAEAALTDEQHAHDSLDPVHPLLHLVVEQLPLDALHLVDAEALDAEHGEVLVKQVAADGAVGVGELARVARARHPVGDGAQVVDGLGAHGVEHLVVGEGVVPPQRGAARGLRVPLQAVGRGGVEVRGGVEVVHGLEREAAEREEEARGVDRDGVADHEVAQLLLEARHGELDRDPGPRGPGAAAGQVVDGDVDRGEVLRDVVRGGLEDERLRGGVPELDELAAAAAEISEEEEASEAEGSGEGKRSLVMEERRLSKPERMRIMSSAAFLEVARVLTMCCAAARTLSPPPAAAAEGEPGWGGAGAADIAASLGWGGLGIV >Et_2B_019988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15942774:15953720:1 gene:Et_2B_019988 transcript:Et_2B_019988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSKLVKPAYNAGVAPPVAGEYIPLSIFDKVTYKMQMAIVYAFRPPAPSTAAVEKGLAAVLAQYRAFAGQLGEAPDGTPAVLLNDRGARLVEASVDADLVDLAPAKPTPELLRLHPDLEQELEEVVLLQLTRFRCGSLAVGFTSNHVAADGHATSNFLVAWGRATRGLPMGLPPVHHHEGLFRPRSQPRVEYDHRNREYYLPSPHEKKHGHGGGVNQLGVENIVIHKAHFTKDFIAGLRGKASEGRGRPFSRFETILAHLWRAITRARGLNPEETSTIRLSVDGRHRLGLPAEYFGNLVLWAFPTATVADLLGRPLKHAAQVIHDEVARVDGSYFRSFVDFAASGAAEREGLAPSAVCTDVLCPDVEVDSWLTFPFYELDFGTGAPTYFMPSYFQTEGMLFLVPSYMGDGSVDAFVPVFQHNLDAFKECCYSVEREENATTTTYEANYISTCGGRRERQTQKNKQNVKVISSKLVKPSYPAGAPLPDTTEHVPSSVFDKVTYHIQMAIIYAFSPPGPSTADIERGLASVLGVYRLFAGQVRPGPDGEPGVLLNDHGARLVEASVDAPLADIAPTKPSPVVLQLHPDLEAGETIEEVVQVQLTRFACGSLAVGFTANHAVADGHATSDFLVAWGRAARGLPVVTGGQLPQSPPPHHHPGLFPPRDPPRVEFEHRGVEYYRPSPTSRPAAAAGHGHGAVDNVVIHKAHFTKDFVAGLRARASEGRGRPFSRFETILAHVWRTMTRARGLANPHTRSTIRVSVDGRPRLAAPPGYFGNLVLWAFPTATVGDLLHRPLKHAAQVIHDAVASVDRGYFQSFVDFAAASGAVEKEGLEKTAVLKDVLCPDLEVDSWLTFPFYELDFGAGSPTYFMPSYFPTEGMLFLVPSYLGDGSVDAFVPVFEHNLEAFKQCCYSME >Et_1B_013114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5609545:5610623:1 gene:Et_1B_013114 transcript:Et_1B_013114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHASPLGLKIRCSMGGGECDDEVENQRWPPWLKPLLSTSFFVQCRVHADAHKSECNMYCLDCMNGALCSLCLAHHRNHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCDVCERSLLDCFRFCSLGCKIVGTSRGYRPKKKLAVVSGGSKKKRAALKEVRSDSEDSCLSTSGGSSDKSSVVQSFSPSTPPPTSCRPWNKRRKGVPHRSPFGSLIVEF >Et_4B_036216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11166198:11167061:1 gene:Et_4B_036216 transcript:Et_4B_036216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPLSFVDFFAAQMAAAPPPRRAASPEYEPTTLRRGRTSSPDYTPSSTFRDAMSEEEYYTPASPEYTPVSRSWRAGSSPDYTPASPEYTPGSPSWSAASHSPDYTPSSTTIREATPEYTPESISWRAGSPVYTPSSPTIRAASPDYTPASPEYTPGQSTSWRAGSPEYTPASPDYTPASPVYTPVSARWRARRSPDYTPSSRPPSPVVSDAESRTSPPRRRRHHPYQRSGAGTCAMRAGRISRGQLRLAVHGY >Et_2B_022347.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18777146:18778276:-1 gene:Et_2B_022347 transcript:Et_2B_022347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYGHKRNSEQQQQGQEEGEKNSCGRRLYYSPSPPSPSPSHNLSARVSRSWAAMSEQDAQHGGGNNNNNLPDAAIESFSQLPFVRPKPPPSASGSSPTSIRLFGFDVPPDAAATTSSTATSDATGASSTAAGQAAGSGGAGDGGGGGGRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAQYQSAMAMHAHYPGAAAAAAHASYPAFSSYQYHHHHHRFGMARYEPPPPPPPPGGPPAHYPSWSASHHHLPQAAAPPVVPRYYGGAGSLSQPINGSPVPASALWRVPAVNVSAPLARRELATSLSLAGRDEEAMAGARRGGAAAEGRGGVGGAARLSPSSTSSSSASSSQHERRRGGDAAENRDDVSLDLTL >Et_2A_017360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33579162:33580862:-1 gene:Et_2A_017360 transcript:Et_2A_017360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAVKINRMSFEPDLGRRRGRRQQVPHLDHGRDEVGVELARVGAVLSHQRVLRAVVEELLVGVQQAALLHQVLVVGVVEAVGGLHVERREVAVAAGERARLLPQLREGGVDVFLVMWLRKNWHCDLPMVCAPDSAVMSRADRPCLLNIWMSVARLDVGPGRLLLARLWNDVRESLRPSGTLHVGPPSCGCGNKTVSLQYPIDPLTRLTPSRAARARMSAQETVALQDASTCVLMASMTSNPRAELAFGPANFSPLEPSSRSDPSHPWPQEASTSDHAFRSTTRTQRTLAYIDEAVVEEEAEDGGAQPLLSLNGGGHPLPDDVGQVRARLGVEGCGQLRLRRAAEDGENDGGEGNEGGRPGEAGHG >Et_3A_025298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28654876:28655430:-1 gene:Et_3A_025298 transcript:Et_3A_025298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRAEQPAASPVRRAGTGRVRKPSNLFSNVFLIHAPAGDQICTNQNISESAFTLNPVPVLGFHVNDGPRRRRRHVDSSAWFARSQAPSFCRSATCTALTMPRSVTITMMTLFLIWCFVVRLCHPHAGGYHDPFPCTVHVVIHDVADRGGADGGVPGGHNGAAQPRHANENPTYTSAR >Et_7B_054546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:23008214:23017122:1 gene:Et_7B_054546 transcript:Et_7B_054546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPPSSPTAAAAEPPPSAITDGAVSGTLPAAEAFAVHYPGYPSSPARAASTLGGLSAITQARSSGPDARLELRFRPEDPYCHPAFGESRATTGLVLRLYRRKGDPAPRAEVVARVRTAYHFEGMADYQHVVPVHAAEAKKRKRSGCLNDEENLSSANATGGLETDDGDVMMLVPPLFALKDKPTKIALLPSSNAVSKSMQRGVVQQKWEMNVGPTLALPFNIQDILWKINWEDHVPKNSADWDWQVAVCKFFDERPVWPKQSLYERLHDDGVHVSQNQFRRLLFRAGYYFSTGPFGKLWIRRGYDPRRDCESRIFQRIDFRMPHELRSPQTKKDSRSQKWSDLCKLEAMPSKNFVFLQLFELKDDFIQEEIRKPSYQSALAIRFLSVCPNENAKNLLRNAHELIERSKKQEALCRSEQSKEDKDVDEETPAIKTGTEDQADPDNSDSEDVDDEEEEDKEESDGYDSPHMTHLEKTFLMATLKMCCAISQCKEIPKTDKMMARTLLMQVMVSSKFTNSRVTKNILMIFKLITDVAPRMDASNSQRIKLHMVSNL >Et_1B_014288.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5030246:5031442:1 gene:Et_1B_014288 transcript:Et_1B_014288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKRSSHVEEEEEEEEEDDMEDEEEEEDDEEESRGHHYTTAPAAPVGAPQQQQQQVHGQALAPGSHASMLESAAFSRPLLPPNSSLVSPPPLPPGFLQPHRQPHAQPKRERERAGGAQHQQLRRHQEPARNGVHGGAAPPPPASTLAVVAAEPAQWRYRECLRNHAARLGAHVLDGCCEFMPSASEGAGALACAACGCHRSFHRREAVPGAAPTTPTNSSRVVMPLLLAPPHMQSTRPSPHVPASPSSAPAALTESSSEELRGAAPHVPAAAAHPPHAQLAVGSASAPPAPSKKRFRTKFTAEQKERMREFAHRVGWRIHKPDSDAVDAFCAQVGVPRRVLKVWMHNNKHLAKMPPQSPSSQQPAPLPPPQHHNHHDHHYPPPPQHHQQHQHQQHNA >Et_2B_022128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9532864:9543035:-1 gene:Et_2B_022128 transcript:Et_2B_022128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ERKGGELQLSGQRLGFVEAADQGVEIRAMSGFSAAAALELCAPGIRGRPAASSPATRHHPAASLRRRATAPRRTAGVAASRRGPVAPRAVATTPADRASPDLAGRFTLESNSELQVAVNRSPQGSVAEVDLVAINTSGSLILHWGAIRPERNIPSLGKKWKAEEYEAARAELIEELNRGVSLEKLRAKLTKAPESSESDSPASQSNVAKVPEELVQVQAYIRWEKAGKPNYPPEKQLIEFEEARKELQAELDNGISIDQLRKKILKGNIESKVSKQLKNKKYFSVERIQRKKRDIMQLLNKHKHNVVEDKVEAAPIQPTVLDLFTQSLQEKDGSEILSRKLFKFGDKEILAIATKALNKTKVHLATTNMEPLILHWSLAKKAGEWKAPPSNIVPSGSKLLDMACETSFLESKLDGLHYQLVEIDLDDGGYKGMPFVLRSGETWIKNNGSDFYLDFSAAHVAKNTKDTSDAGKGTAKALLDRIADLEEDAQRSLMHRFNIAADLVAQARDSGLLGIVGLFVWIRFMATRQLIWNKNYNVKPREISKAQDRFTDDLENMYKNYPQYREILRMIMAAVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALIDYIKSDFDINVYWDTLKKDGITKERLLSYDRPIHSEPNFRSEQKEGLLRDLGNYMRSLKAVHSGADLESAVATCMGYKSEGEGFMVGVQINPVKGLPSGFPELLEFVLDHVEEKSPEPLLEGLLEARVELRPLLLNSPERMKDLIFLDIALDSTFRTAIERSYEQLNDAAPEKIMYFISLVLENLALSTDDNEDILYCLMGWNQALEMAKKKDDQWALYAKAFLDRIRLALASKGEQYYNMMQPSAEYLGSLLSVDQWAVNIFTEEIIRGGSAATLSALLNRFDPVLRNVAHLGSWQVISPVDVSGYVVVVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGVVGVITPDMPDVLSHVSVRARNCKVLFATCFDPNILSELERHDQKLFSFKTTSADVTYREISESELQQSSSPNADAGNAVPAVSLVKKKFLGKYAISAEEFSEEMVGAKSRNIAYLKGKLPSWVGVPTSVAIPFGTFEKVLSDELNKEVAQNIEKLKSRLAQEDFSALGEIRKVVLNLTAPTQLVNELKEKMLGSGMPWPGDEGGQRWEQAWMAIKKVWASKWNERAFFSTRKVKLDHDYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGACPGRAMSFVCKKEDLDSPKLLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDEVVLDYTTDPLIVDGGFRSSILSSIARAGHAIEELYGSPQDVEGVVKDGKIYVVQTRPQM >Et_4B_036834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11870626:11884333:-1 gene:Et_4B_036834 transcript:Et_4B_036834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKRKRAAAAAAAAAAAAAKWKVGDLVLAKMKGFPAWPAVISEPKEWGLSCVKKKLLVYFYGTKQIYADLEAFNEEKKRSLLSKRHGKGADFLRAVDEIIEVYDSLKEKGNNKLDLSANEVKPSIEKLTGNNSFMDTESLVNSTTMDSNQKLEGHSVTERSRDIVSSNGPSVTVLAGRSHDMVNSDGRSVTVTKDEQCVVNSAPEEPTENVSDLPLSTGSFSRKIRDAHPQNCYTRSRVPSMRKSRSSLSVESRKVQDLGKTLDHTSLESVDMVPDENMEDSNGHKHAGEDQGNLGSVSKPDTVWLHSSGGTFYQPGTSETSNNNNKRFNPPAKADGTCDSESSENGASETELRSNGTSNLPMNTAVIFKRKRKSNRKQDPHTDPTASNKDELQGERSGNLAGSPYSKNEVSKSDGDEHLPLVKRARVRMGRPQLEDSPLHEIDVYNNKAEPADQCDRHSTPGIPGNVYSSNQVSNVATTVSNTSSKFDMPFLSGEGNSSWKNKEYQPKILALDVEAALPPSKRLHRALEAMSANVAETINSQPEDTRPKDLVLNCSKSVVDKNSNKSADTVATASNSSALLQSPGPSLTIESMHSPTCKTHTSESILQNNSVPDSASVPPEAADNDNHTMIGGTICEDSRMDSKNIDCSLVCNENDVSGKPSALCTMVNGPSLDIVQATCAPDRLSSSLEKASENAVTKLTSGEDTQPIGSAAYVVGTSTEVTGRTNNNATTDAVHRSETAVAESANNVGDTTSNSSLATKSSSVLSDADTRASEVHTLSALALKELNHRNLKDRSTSPDSMPMKELIAVAQARRFSRSTSFPDSFLNAKYNPEASANTPAKEGSHRQLSPSNKIVRSTSINDNITSRSPFDSVQQKKLGGHDEANAARRSFKDFLGTLTRTKDNIARATRLAIECAKFGIAGEAIDIIVEHLEKESNLYKRVDLFFLVDSIIQCSRNQKGGAGDVFPSLIQAVLPRILYAAAPPGNSAWENRRQCLKVLKLWLDRKTLSEYIIRHHIREIETINEASFGSSRRPSRTERALNDPLRDNEGMLVDEYGSNAGFELPNLICTKVLEEEEGSSSEDRSFEAVTPEHDTLGNGDNEESQMHVEKHRRILEEVDGELEMEDLAPPSEVEVSTECRKEQSDRKSTTSDQHPSDIGPPLPVDRPPSPPPLPSSPPPVPPPLPAPVPQSSQLQPKLQRPSDPVGPHPPRATYNVQGQQPQSIVEHPANMNPSVAPMPPPPFCSSGYGGHPNQVPHPPGPHGNFPTPPAPYHGNCYHRPPPASIPNEGYQLHPPPPPPPPPVPPNQFPCAPPEPQQRPHHWSNNGSSYPERYRYNGHDRGHHRHDRRHHGHDRQHHFDDRGYPYDDRGYHYDDRAHYFDDRRHHFDDRGRNFDERAIRGRFPPFPPGPPPIPDHFEASPGPMHYGRPLERPPGPCAGWSRPPRISNHSPSRHSMEPPVSHVAGGHSSWRPRS >Et_3A_023543.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33556065:33556610:1 gene:Et_3A_023543 transcript:Et_3A_023543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISIQSFAKKLSIPSPKRTWSSKKDGKRSLSRSEAPSFASASSSSSDDTLARSATPRSVLPAEISRRELEAVLRRLGHGEPSDDELDAVAAIAAEPPAPGAEDELMEAFRVFDADGDGRITAEELRAVMEAILGDGEGCSLDDCRRMIGGVDADGDGFVGFQDFARMMMNAATADARSLL >Et_10A_000498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11383894:11393973:-1 gene:Et_10A_000498 transcript:Et_10A_000498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVLCYIATWLAGNCQRCTPSSIEIQQTNAGKKVGALDTMFTVTVTNRCGCAVKNVYLQSNGFSSSTPVDPKLFRRAGNGYLLADGQQIPSTKSVSVSFQYAWDHYFKMTPESIQAECCSDKDSETKSMYGLFCCIATYVTCRALKNRMFRTHQVKYTVLIPPSPDPSAVAPPSTSVSSPGGANNAIDAEI >Et_2A_015424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12540307:12545861:1 gene:Et_2A_015424 transcript:Et_2A_015424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGLPSLPCGLLTADAARSLVDSVDAFLFDCDGVIWKGDKLIEGVPETMELLRKLGKKLVFVTNNSRKSRKQYSKKFRALGLEVTEEEIFTSSFAAAMFLKLNNFPSEKKVYVVGEDGILEELKLAGFECFGGPEDGKKSIKLEADFYFEHDKSVGAVIVGLDQYFNYYKMQYARTCISENPGCLFIATNRDPTGHMTSAQEWPGAGTMVAAVSCSVQKEPVVVGKPSSFLMDFLLKSFNLETSRMCMVGDRLDTDILFGQNTGCKTLLVLSGCTTLAELQDTSNKIHPDHYTNSVHDLVGLLQ >Et_6A_045837.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:18574673:18574966:1 gene:Et_6A_045837 transcript:Et_6A_045837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFAASTALLATMSLVLLVSVRGCEPNCSSPAPPPPLTSTVTTCRYSTLEISACLNILTPTSQCCTLLHGVTDLEAAVCACLCVKGITVNAVALLN >Et_2B_021582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3254937:3262215:-1 gene:Et_2B_021582 transcript:Et_2B_021582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDKIRARSKCILHYFCVLFPLVVLGAFVIALLVAFTAISWRTTNDLSEADAGAMDVAFRHVAGNMLHLLEANRSAFAIASTMQPMNNKSDLFSHVGPMMFVAFAMQPLLAQVSYAGLNGAAFSYYRADNGEARALFTDVPHQSWFTQAVDPATGHLIWHATAVDPAELRLPNTTRALLAGKNGSFASLAAGWARPGVGMIFFSAPVGDDGVVSAALAVDDVVGPAATRIGFKYGMDVYYAIGDDTAGGAVPEAAYKPVLDPGQHGHDAVTRAGEMDLFSEVECAASAIDAPEVGQLRAVGHGYTYRIACTNFDLSGVQVGFRLVLHTRFVAEMIRLSCIAVFVFVGALLAAAALISVLAVRALRRAAKRKAELKAELVQQKEALRQAERKSMNKSNAFASASHDIRSALAAITGLVDMTRQETETLPGVTENLKQMDICVSKLLDILNSILDTSKVESGKMLLEEVEFNMADVLEESVDMANVVGVSKGLEVVWDACDFSVLKCANVKGDCKRLKQILDNLLGNALKFTHEGHIILRAWANRPIERSCISAPSRFACGSRLGCSFLSCLFGARDDGDEHGSFSVAQSDPSLVEFYFEVVDTGIGIPTEKWESVFENYVQVNNGQGGTGLGLGIVQSFVRLMGGEISIKEKEPEERGTCFGFNVLLKISEREVTEDIEEGPSNIMNDYNLRASVFQEANSFKGVHCVLYVHGTETRRIMQTWMESTGMKVWLVPQAEFINSMLEKVQRVSMSPTRASSPNASYDTTYRCFSSKDMVSQVLPMSLRNSTRQRRCSLGGHPFGLLVVIDVSDGVEDIWKEMVNFSRIKHQTPCKVVILADLKTASDDLRRFEELGCDMFLRKPMHGSRLYAMLRTLRELQASDAQSSFQVGEVAVHQAELPGIVLHDVQETAAATEVAAQEQKTEDDKPLAGMHVLLAEDTLVMQTIQRKMLTQLGATVNVAVDGSEAVKLYKQALEQASVSEEGTVQLPYHVIFMDCQMPIMDGYEATRLIREEEKSYGIHTPIIALTAHTIEEELQKAIDYGMDLHLTKPVEKMKMVEAVCHVCKRTKLVPNFAALVLSNGSVEKPPFIGRAPCWDILEFCRVPETPTVLSTSSELKDGILKGGWTKQDHEPQMLRHQLCLTSHVGQKMVEQKGQNADNSEVLQKLPCEERKGLYRQKQQQC >Et_1B_014334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6220487:6222659:-1 gene:Et_1B_014334 transcript:Et_1B_014334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHYLIITGTVCCTGLVGKGSYGIPWSVKVKAEKVVWRVSLKHRCLRCNNGGPGQDSPDDTPDHGGPQPSRHTVLRARADPGGRGVDPVVGAGPARGDAHLGGDEEHPEDAAAVGVGAAHGAAVVEDAVDAQLGGLAALRLDDRAAEPRERARRGARGEPEAGEAGEVVAPPPHHGKLLCVGVEEDLRRGWEVVVEREVRRVEGDALHGRPPPNDVRVRLHGGVVGVTGERARRRRHGRARDDPSGETRRDYTRRGLVLVLDIVGSWQCHCHGGSGFMRRGSRVGV >Et_8A_056584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1344327:1351252:1 gene:Et_8A_056584 transcript:Et_8A_056584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHNHGAMDELASHAASFCSPTSPPSFFSATGHHQVLEFMSCGVPEQWLVGDDALDKHLHDGAGEWANGGPHSAGSDLPGNPPAPPSAGKQPPVVSAVTDQRAVARKRGRKPGPRLGPAISHVEAERQRREKLNRRFCDLRAAVPTVSRMDKASLLADAAAYIAELRGRVEQLEAKAKQQAAGAAASKGGGGGSAGLEEKLEVRMAGRDAAALRLTTAAASRHAAARLMCALRALDLPVRHACVSRAGGGGEAAATVQDVVVDVPAALQDEGCLRAALLHMLQQQDE >Et_5A_042832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5141595:5143666:-1 gene:Et_5A_042832 transcript:Et_5A_042832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLRLLLFRRLLTTASSATASSPAPAPTSPAAPKPTDPALLLRLCTVLYQHQNAPDDALNRRLSALPIPTAPADLRELFLQASARFPLSWRPVHRLLAHLSARHGGGFPHSPATAARFLDVLAKSSNVDLLHSTLHSLPRGLLSAAAIRAAVRGLAPAREVGKVAALVTLFPDCHRARVLTFVTDVACSTCRLPDVAEKVIKRAEHRYGVSRTARCGELLVVAYCRAGSLADACRVWNGMGKGGLEPGAAAYQEIVVTMFKNNRVADAMQVFDGMRRSGVRDDGGGCCRAVVSWLCKEGRVWGAYMVFAEMFKKGVEVDGEVLGDLVYGLMARRRVREAYRVFHGAMEKDIALFHGLMKGLIRIKRAGEATEGHLGKRGRKGRDPLVNFESIFVGGLVKAGRTLEATKFVERTMWGGVDVPRFDYNKFLYYFSNEEGVAMFDEVGKRLREVGLIDLGDILSTFGERMATRDRRRRAMNGLLGLELRAV >Et_3A_026506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:920191:936709:1 gene:Et_3A_026506 transcript:Et_3A_026506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAEPAEKFAALEADLEAKSSRIAELEARVSLLEAENSHLKRAMAKGEAAGFTGDEDPMFGGLERDLGGHKQTAAGKLGRDASCDVLVASDGEKGIAVNASKERSPESDVIAVSTTRKRGRVVTESKDIDSEKALCDSNFCLEDDDVSVKALGKKTSGGTVTSDSEDEDVNVCELGSGKDEDDDQDEAVITRSRKRTLRGISDNEDEDASEGADEDGSKRASDLVVTETESEDEDDRIPISQVLKKIRKERASDDDGVGDAKGCCTPATRRSARLVKKRQATHQVLSLVEPKGYEESGDDMNEDNDMDDFITDGDPSEDASDSAEVSGALPEFSGPIAVDEECSPEQDESDSVIGYYKEAMACVGRKKEVKDWSSQVEMQAALGKHHELSLKAVCAIYWKQTEDEQLEKCALVHNNQGFSHADATRASVIAEFLLDGDPNGPLKKTAHDLEAKYPYSLEYYDGSKIRKTTPAEKFAALEAELAAKSSRVAELEARVSLLEAENSRLRRAMAKGEAARLTGEEDLVFGRLEEGPCRTAAGKLGWGAGRDVLVLSDDEEGIAVDANEGTSQEEGVVAVPTTRKHGVAAVTGEREDIEGSSEANKEGARCDSDPCLEDDDVSVTALGKKRAAARVVTSDSEDEDVNGSEVGSGEEDDDDKEEDAVTPGRKRALRGVSDSENEDADEGVDVSASKSASHLAMKGTGSEDEDDSVPISQVLKKIRKERVSDDDELSDAKGCSTSATRRSARLTSWAQGSQLVEPKEYEGSEDDMEEDDDMDDFINDADSLEDANDSAEESNGQPEMSGPCAGDEESSPEPDESDIVINYKEVMDCIGRRKKAKDWNLEGEMLAAFREHPELCLKAVCALYRKQTKDEQLEKSALFHNKQGFSHVHATRASFIAEFLLDGYPNGPLMKTVDDLKARDPDALGYYASFKLLKTTVAALEAELAAKSSRIAELEARVSTLEAENSRLRKGMAKEEAAGLPGEEDTIFGLLDEDSGGHKQTTAGKLGGGAGNVIVLSDGEEGIAVDANKRTSAEEGVVIPTPQKRAWRVVSAVSEDEAVGDAEGGGESYRENARCDNNVDLEDDDVLVTASGKKRAAALVVSSDSEDENVNRGDSGSAEDDGNAKEEDAMPIRKRALRGVSDSEEDDGNEGVHVAGSKRPSHLVVTDMESEDEDDNIPICQVMKKIRKERLSEDADYDELGEAKGCSTPAPATRRSARSVKSQSKKGRAVRRVVDFVESEESEGSDDDDMDGFINDEDSPERASGSAEESCTEPEVSGASAPNEELSREPEESDSEINYADVIASIGRKRKTKDWKLEGEMLAAFEKHPELCLKAVCALYRRQTEEEKRDKATIVHNKEGFSQIDAKRASYIAEFLLDGDPYGPIKKTVRDLEGYDCYALNFCRKVASRYSKQLFAIYQRQEDPHFHP >Et_6A_047953.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:25533401:25533742:-1 gene:Et_6A_047953 transcript:Et_6A_047953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAVLCSPRAPATSSSRSIGAPPCTLYAASVHIGFCFRACAVAAAVRHRSSPSCSIGGLKRYPTLSTYTTNLVAVASPSAPTIRAQRARASRGGSTTPEAAGEEARQGARRR >Et_4A_032149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21105009:21106097:-1 gene:Et_4A_032149 transcript:Et_4A_032149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPATAYTSPFVLSVLLLISIPVVFLLAPRLLPPKTLPAIPDADESDDLALFRRAILSSSSATRRPAPKVAFLFLTNSDLVFSPLWEKFFRGHHHLFNIYVHADPYSVLELPPTPSFRGRFVPAKATQRASPTLISAARRLLATALLDDSSNQFFALLSQSCIPLHPFPTLYNALLSDTAGPRGHHRSFIEIKDNVDNDPTVLHDRYYARGDDVMLPEVPYDQFRAGSQFFVLTRRHAIMVVRDMRLWKKFKQPCLIKLRNSCYPEEHYFPTLLNMQDPDGCTKYTLTKVNWTDSVAGHPHTYGPREVSASLIRELRKSNGTYSYMFARKFAPECLKPLMEIADSVILRD >Et_5B_045440.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22500998:22502131:-1 gene:Et_5B_045440 transcript:Et_5B_045440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLASSPLILAALLLSSALVLAAGQQQPAPGPKQTAANNPRLQTAYVALQALKRAITDDPKNLTRNWCGPDVCAYFGVFCAPAIDDPHSLTVAGLDLNHGDLAATFPEELGLLSDLALLHLNSNRFAGGFPESLPKLHLLHELDVSNNRLSGGFPQHILCLPNIKYVDLRFNNLCGAVPAALFDKQLDAIFLNDNHFDFELPENFGNSPASVVVLANIRLRGCIPPSVGRMVGTLNELVVLNAGLRSCLPQELGWLRELTVLDLSFNQLQGQLPESMAGLHKLEQLDVAHNELSGHIPEGICALPSLRNFTYSYNYFCSEPQRCLDIRRVDDRQNCIAGRPDQRPTEQCMAFLHRPPVHCDQQGCFALPPPPKHY >Et_7A_052344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7022117:7023065:-1 gene:Et_7A_052344 transcript:Et_7A_052344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGGKEVSRHVLLVEDGVAGAVGDVGGGHDGRLDRCRRPIRVLALNERGNAAEMRRRHGRAGDDVEGRSRNNRCRRRANLDRPCGEHVGTGARDVRLQDARVLEARPTRREEDDRWRRRRAEPCASEEDLPHWFRRGVEVGHDSRTGGVVNVRCREDMRFRERVVAFGKCANQYHTGTTGACDHRAFQDPGDCTEHDLAFDVDAVERAVAAELGEWLPARARVDKRKVDREVTGWNADSPWKKRPFPSLTVARIERSMVLAATVSIQGASFDSVLAFGPEFPAAMLTNTPFSAAPNVPMAIGSW >Et_4B_037943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2505646:2506013:-1 gene:Et_4B_037943 transcript:Et_4B_037943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAQYLPSPAAKGFVQGFVTYTAMDDLTVAPMSAISSITLFNALGVTDLAALHQKTVQLGYNELRWTTLQILRASLQSKTVLADVFLCNKAPLHGDN >Et_7B_054592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2616561:2616860:-1 gene:Et_7B_054592 transcript:Et_7B_054592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVAAQIKDKFLGLVHRVAGCGGRGIGKDVQEPTKLVAVQRVQVRTIGGDDDIPPVPHGSTAGSTNASCS >Et_4A_034439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32639211:32643298:1 gene:Et_4A_034439 transcript:Et_4A_034439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGWGGSGQEADSFYQVRPDCSHNVPNTKFKIKAGKTLSVRKWHAAFTREGCLDIASVLSRIQRGGVHPAIRGEVWEFLLGCFDPVSTLDEREQIRHRRRIQYTRWKEQCKEMDSHVGSGKIITAPIITDDGFPVKDPLVLLEATSDTQGTSTSSSKRNGGEADDSTNRVTDKQIIDWKLTLHQIGLDVLRTDRSMVFYESKENLSKLWDILAVYAWIDKEVGMSDLCSPMIVLLNDEADAFWCFERLMRRLRGNFRCTQQSVGVENQLQHLASIIQVLDPKLHEHLGTLGGGDYLFAFRMFMVLFRRELSFGDSLYLWEMMWALEYDPDIFSTYEETGAAARKIERFKPKVKSIRQFGKYERENMKNRANDDDGPVPISVFLVASVLKENSPKLLQEARGIDDVIRILNNVNGNLDAKRACAVALKLHRKYLKKLFSWISLQHI >Et_2A_017018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30089992:30092185:-1 gene:Et_2A_017018 transcript:Et_2A_017018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKSSRCSKCIYREPPISRKKEFRSSVIKVVDASWNKSSLPSNSIPSNPASEDGFNKRRKMDEEHNSLLANGNMRESTRRSLATSGCNSSLAQNSSNSGVNNGPQSSTSGMPPYINHTLKGAWERSLPDAYAAKPVMELISARDVCLSILRRDICPTKESELSQKSVPNNNESSPLFECMRCESMEDPTKMLICDSCEGAFHLSCCKPRVKKIPQEEWYCQICSRKKPKRQREKLGPKHEIPKAIQRPRHGRGTIQDMLVDAEPYETEVRIGTDFQADVPDWSGPIPSNEDQFVEPSELEPSETTLMGCLQLFKDKKNSVGNWIQCREVLDTGVVCGKWRRAPLFVVQSSIWDCSCSVVWDPIHADCAVPQELETDEVLKQLKYINQVCT >Et_2B_019895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1504446:1506832:1 gene:Et_2B_019895 transcript:Et_2B_019895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENGVQLTLTSSVKNTGKKTINGQGMNGSHTKERHERNVNLQSSKGQHLCATCAKGHTCQSVINRTRQMRALLQAKKPYQAHSVFRHLVDEGHKPSLVTYTTLLTALTNQRMFESIPSLLAQVEAAGLRPDSIFFNALINAFVEANRMGEAINTFWKMKHSGCQPTTSTFNTLIKGYGIVGKPEEAQRIFDMMGVEGLAKPNLTTYNILVKAWCDQRNLEEAWSIMLKMRACGVEPDIITYNTIASAYANNDETWRAEELIVEIQTRMRTSERTWGIIIGGYCREGRLEEAFRCVRQMKDAGVLPNVVIFNTLMKGFLDANDMAAVDNILELMEQFGIKPDIVTYSHQLNAFSSLGHMAKCMKIFDKMIEVGIEPDPQVYSILAKGYVRAQQPEKAEELLTQMSQIGVRPNVVTFTTVISGWCSVANMENAMKVYGKMCKSGVNPNIRTFETLIWGYSELKQPWKAEEILHIMQETGVKPKQSIYSLIADAWKAVRMIESSNRANGSSDGPHAINKSDHSVNYSNLQTSEDDNKLQSFEKSNGRSMDSQSRSSFLQVTNALGSSDRVFGRILRVGEFPSKRLQSVKYTSLPQRTFQFQLFCQKHGGLYGKSINPFQTSFLY >Et_7A_052672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11894512:11894909:1 gene:Et_7A_052672 transcript:Et_7A_052672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCEKSRSKAMELVARANGVSSVGVTGDGKDRLEVVGDGIDTVCLVQCLRKKIGHASILQVEEVKDKKPEEKKPEEPKIPSYYYHHHHNPQEPWW >Et_10A_002279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:753395:754165:1 gene:Et_10A_002279 transcript:Et_10A_002279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNCIFNRSRDDPVVIQQGSLIEMTGPKRGIAISCPVLVEYDMKIKNGEQENDDLQLIDGVFGCYHHIPSPPIKNRISGECGTVDMSLAYVEDAVEATIEVVISEVHGAFTLSLSSFIDILDVYEEITLFHGIVDRSMGLGRSVNNLSLFNGNKLKPKVHRRGTR >Et_8A_058013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12823775:12827077:1 gene:Et_8A_058013 transcript:Et_8A_058013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGAAAPLLLLRVSHFAPWAAPAPRRRLLSGPPTAGEPPPQVRPPASKLADPPGVGAPEPPLPWRAAEAEILRDIDPVLQLIKDILHTDRYADGECLSPEDETVVVEKLLGYHPRAQDKIGCGLDAIMASNNKAVDVRWAGPSPMSWSRNGPHIQTDRPRAQTDLEPPVRAVHICNRHNS >Et_4B_039036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7226400:7231447:1 gene:Et_4B_039036 transcript:Et_4B_039036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLLRRLLLLAVAIAVAAVVSLPRPAAAVRPFVLVLSGDDFLKDSAAHPSLPSADSAGADADEWDDFADDSPTADPLLSPSSWVPLLDPTSPPASEDEPDSTADALFVAGARAMISAASAGDDAAFATAAAQIEAAAAGGHPGAQSTLAFLSGAGMTRPASRSRAFLLHKFAADAGDLQSKMALAYSYFRQEMYGEAVTLYAELAEAALTSSLISKEPPVIEPIRLHSGTEENKEALRKFRGEDDEDFQITEYQAQRGNAAAMYKLGLLYYYGLRGLRRDYGKAFSWFSKAVEKGETRSMELLGEIYARGAGVEKNYTEAYKWLTLAAKQQHYSAYNGLGYLYVKGYGVEKKNLTKARELFELAADNKEPGGHYNLGVLYLKGIGVKRDVIRACNLLLHAVNAGQPKAIYQVAKLFQKGFGLKKNLHMATMLYKSVAERGPWSSLSRWALEAYLKGDVGKALLLYSRMADLGYEVAQSNAAWILDRYDDQSICIGESGFCTDMERHLRAHALWWQASEQGNEHAALLIGDAYYYGRGVARDYERAAEAYMHAQSQSNAQAMFNLGYMHEHGHGLPLDLHMAKRYYDQAVEVDSAAKLPVMLALTSLWLRKNYADSFLVHFIDSLPEIFPVVEEWVEDVLMDEGNATILTLFACLVTVLYLRERQRRQVAAANPQQPDDGVGAAM >Et_3A_024670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23118985:23121344:-1 gene:Et_3A_024670 transcript:Et_3A_024670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCCNFAAWISLPDQIANLLLSFCCRYQRLSPDCLPLGNGGGGGGGGPVPRKPASRTSYKDDDTPSVASDGSRLASYLAASPVESKPLSTRAPPPPPPPSSAGRSPARDHAHHHPSDSSDTTSPSSTSGGGGGVGDVLLQWGHNKRSRCRRDSAAAAPSAQRRQPVVGVGAKIQRRASAPPEKLMPPPPPAAASYTRGSNLRSTSSFPSRSSTTAAGDVRHDGHHHNHHHRSVEERSGGGLKRSSPDKAHKSAMDAVLHMEPKNHHNHHHDSPLKANGAVAGEKLSAERFELPRIYISLSRKEKEDDFLAMKGTKLPQRPKKRAKIVDKGLQFVFPGMWLSDLTKGRYEVREKKCVKKV >Et_3B_030701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6623726:6624341:1 gene:Et_3B_030701 transcript:Et_3B_030701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSTTGAALSLKLFVDTKAQRVVFAEADKDAADFLSSLRDITVATAVELLGKDALPGCIGNFCASVQKLEAFPRGPSTVTLPNVNGKGFVQETYSVSENLTVTPLPAFSGIATFYPRAPMPGHLQEKTVPLGHNEVDHAYHTPFWTLFFNFHALGILKAWLLFSKTILTDVFLGEKAAPEDRA >Et_7A_052577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9541386:9542224:1 gene:Et_7A_052577 transcript:Et_7A_052577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFGSLRALDLSNTDIEVLPHSVGELIHLRYLSLENTKIKCLPESISALFKLHTMNLKCCNCLSELPRGIKFLTNLRHLELPSMDNWNVYTPHGIGEPTNLQTMHTIKVGGDPASCGIADLINLDKLRGESWIENVSVAQISGEGTIKNKRELRKFILQWSCIDSMFADEASSVLDSLQPHSALEELTIWGFSGVRFPVWLGNQYMFRLSNLELKDCQNCKELPSLGQLPCLKHLSINSLTSIKYAGRMFSHCDGTSFRDGGSNTSRRFLYWRH >Et_5B_045329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18479761:18484841:1 gene:Et_5B_045329 transcript:Et_5B_045329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSCACAPPKSMAARRALPLFLFLALVAAILTPARAAAAAPCHPDDLHALRAFAGNLTGGGAAAIRAAWSSAGSACCDWDGVECDAADGRVAALRLPGRGLAGPIPASALAGLARLEDLDLSRNALTGSLAPLLSSSAAAAAAGLRAANLSSNLLDGALPDLAALRVLAVLDASNNSLDGALAPDLCAGAPALRTLDLSANRLAGALPSPSSPPPCAATLRDLFLGSNAFSGAVPATLFDLTGLQRLSLASNGLAGQVSSRFRELKNLTFLDLSGNRFSGHLPDVFGDLTSLENLAAHSNGFSGPLPPSLSLLSSLRVLDLRNNSLSGPVSHINFSAMPLLASVDLATNHFNGTLPVSLAGCHKLKSLSLAKNRLTGQLPEDYSRLTSLSMLSLSNNSLHNISGALTVLGGCKNLTTLILTKNFVGEELPGDGIGGFDGMEVLALGDCALRGRIPGWLTQCKKLEVLDLSWNQFIGTIPSWIGDFEYLSYLDLSNNSLVGEIPKSLTQLKSLFTARQSPGIAFTSMPLYVKHNRSTSGRQYNQLSNFPPSLFLNDNSLNGTIWPEFGNLKELHVLDLSNNVISGSIPNSLSRMENLEVLDLSSNNLSGSIPSSLTELTFLSKFSVAHNHLMGQVPVGGQFFTFSNSSFEGNPGLCRSTSCNMNLSRQNSGDNEMQPAESMRNRKNKILGVAISIGLALVVLLAIILVNMSKRDISTIDYEDTEGSCQELYDSYSKPVLFFQNSAVKELTVSDLVRSTNNFDQANIIGCGGFGLVYKAYLPDGTKVAVKRLSGDCWQMEREFRAEVEALSQAQHKNLVTLRGYCRYGNDRLLIYSYMENGSLDYWLHERSDAGYMLKWESRLRIAQGSARGLAYLHKDCEPNIIHRDVKSSNILLNENFDACLADFGLARLIEPYGTHVTTDLVGTLGYIPPEYSQSVIATPKGDVFSFGVVLLELLTGKRPVDVSKTKGSRDLITWVLQMKSEKKEQDIFDRHEKKCPHTIFIHSKNVTESPLSYQIRFRRVG >Et_2B_020502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20859986:20865167:-1 gene:Et_2B_020502 transcript:Et_2B_020502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PSIRTSPLLGCLSVSALKAPAGSPTEPRKQALLYPHGLTFLASSLPLPSPRHFRAYIVVVSAPPTPSYPLLRSHRPNPTAAAAASASPPMAGQPRERGSRASRKGRPVRTGPAAAAPSPGSDPDPATGGDSAPRVRAKADELEDRLLKRLEEAYAAALARLADLGHEEEAALEAVLRAGHCYGKLEDPVANIVANARAYLSNPGHAGATGGFADLRRLEEYSLAGLVCLLQSSRPTLTRAEAMWCLLSSDLRLEEAIAIGSSLKSKTLPLPAAAPAVSNDRPPAVADTAQRGCSFNHTTTAAAAPDPALFDPETFMRLTIRQGPGNAAGVISCIKTSGWSRSSGAAPEGHPKESFAKKLSTEELIESVVSELEALDIDKKDPPDANPDPKNQMVRDLIKQTREMEAQLKERKEWAQGKAIQAARKLGADLTELRVLRMEHDENQRRKKEKQVMEDDTLKRLTQLETELKKKSGQLDRSNAKVQRLEMENAEIRAEMEAAKLSASETERQCQSLARKEKKDNKKLDAWERQKAKLKEDIADCKTKITQAERELAEVNKAIKNMERKIREDTKAKEENIALLEEERRKREAAKVNADRRLEELRRKKEVESQCYRDDLHRLQDELNRLQKSTGASEPTSLSTNQSTTKAPKHPIQRPNSASNRHPQPTQKPSNRRECVVCKEEEACVILLQCAHQVLCVGCNKLHEDKGVARCPCCSDKVEERIRVFGASTN >Et_2A_017386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33895570:33900239:1 gene:Et_2A_017386 transcript:Et_2A_017386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARSLAAFSSSATNRASRPGFPRTAAVPSRIAFSAGELDSARRLVAEFDPAVPLASAVTPPSGWYTEPDFLNHELDRVFLRGWQAVGHIGQVKNPNDFFTGRLGNVEFVICRDANGKLHAFHNVCRHHASLLACGSGQKTCFQCPYHGWTYGLDGTLLKATRISGIKNFNKNDFGLMPVKVATWGPFVLARFDESTEDNVGDEWLGSASDLLSTNGIDTSLPHICRREYIINCNWKVFCDNYLDGGYHVPYAHGALASGLQLQSYETHTYERVSVQRCESTPAELDDLDRLGTKALYAFVYPNFMINRYGPWMDTNLAIPLDPTSCKVVFDYFLDKSLLDDQNFIEKSLKDSEQVQMEDIALCEGVQRGLESPVYSVGRYAPSVEMAMHHFHCLLHANLSGVYAYFVAPGPYFLA >Et_3A_025970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34294144:34297698:1 gene:Et_3A_025970 transcript:Et_3A_025970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEGQWMLMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTVAVSPSFPSDRMWLNGKEISLSGGRFQSCLREIRKRACDFEDEKKGIKIKKEDWENLHVHIASYNNFPTAAGLASSAAGFACLVFTLGKLMNVKEDYGQLSSIARQGSGSACRSIYGGFVKWCMGKKDDGSDSIAVQLADEAHWNDLVIVIAVVSSKQKETSSTSGMKDSVETSPLLQYRAQTVVPSRVLKMEEAIKNRDFASFAKLTCADSNQFHAVCLDTSPPIFYMNDTSHRIISLVEKWNHSEGTPQVSYTFDAGPNAVMIAPNRKTATVLLKKLLYYFPPQDKDLSSYLVGDKSILSDAGLHSMEDVEALPAPPEMKTPDQKFKGDVSYFICSRLGAGPKVVAEESQALIDSVTGLPKGV >Et_2A_018280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21324655:21332117:1 gene:Et_2A_018280 transcript:Et_2A_018280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRSLRKYKAQSDLSEKEAHLLKGENNSWNDSSNPSTINRKNCYKVAIGWWLDVSFYLHVPALFKGRFNKLNSTKDGYNGTYRDIEIKENVPKLCEGAKNQISLRRSEEPEITSTAQKLGNNPDLCHGLRACRPHEVETMPLPVRLPGLHFAAPPTSLELLTVQASSAPHRVLPRVAHQHAPATQDGQAGSALRHRVDPRVVAPARPRAHHRPQPDKLSEQRHAHLVRLRAVAAQEEGMDQDERVHLDAVPQLLSAGAHRGVVRDVGARALAAEVDAPEVRVRGQPGLRTPASRVRGHPLQGRPRVPVELGNRAFRRETVLHRHNDCAGLRGEPVGVAVYGGVECRAEAEPAAVEEYQDGELHPVTAVGGGRREVNARRDTGFREDGYVLGGDAGGGVGGGRGEVDRQEALDAAALVHADEVGGVVDDLVAAGVVVGHG >Et_3B_030288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32010420:32014929:1 gene:Et_3B_030288 transcript:Et_3B_030288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKAMLQSTAESAIQSIGLGFDVANDIRLKYCKQRNSPDPLLIELDHGEVQDIVLPGGLTVVGMPKSIKCDKGERTRFRSDVLSFQQMSEQFNQELSLSGKIPSGLFNAMFEFTGCWQKDAANTKSLAFDGWYITLYTVALSKAQIVLRDHVKQAVPSTWEPAALARFIKKFGTHIIVGLKMGGKDVIYLKQQHSSSLQAVDVQKRLKDMSDRRFFYANGHSDMSFMDTYGKNKSDTREQQLRFVQSSPLNSYSSNEDLVMMPKRRGGKDKDFISHSEWLNTVEAQPDVISMSFIPITSLLNGVPGSGFLNHAINMYLRYKPPIEELHQFLEFQLPRQWAPVYSDLPLGPQRKRQSSASLPVNLIGPKLYVCTNMVDVGKRPVTGLRLFLEGRKSNKLAVHLQHLCSLPQIIKLQDDPYNQQTPEALAQKPPPRPADVNINSAVYPGGPPGPVQTPKLLKFVDTAEMVRGPQDPPGYWVVSGAKLNLERGKISLRVKYSLLTAMLPDDEFPSDEEC >Et_5B_044906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7164961:7172417:-1 gene:Et_5B_044906 transcript:Et_5B_044906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYKKDYSKLLLLWDFCFFLNDVALLGLVMWLERPRTVMPGAGVDKFMICSCPASCPCRLPESCNTDDITLNSLEEVEIDFVEGSPEEVELFVKQLSKCNAAVLKKVAINYRSRHDTLITNAFCAKVRSHRRRHLMDHISGLPDDLLHGILVRLRSARAAARTSLLSHRWRRVWTELPELVLGGSADAQPSESLATSIDAAISASAARAVERLVIAMPSDIRVPARSIAPWLRFASQRLVGTLDLRVQCQDNPTPKICRREEEQEVELPVCHRATRITLFLGQEWRLCSCLRPAAGSFMALTYLAIHHTSMEARALEFLVAHAWRTWTFTSPWSLPPTSPFARTPSSHYIITLQKHAGYTSSRLGWKKLWISRDIEAHTISAPKLAKFIVDDVRVVYYPCRHDHQLVYTERRIGLLQVDTLTPSSVLQMFDKVDELELSISIYMLKLGGRKSFLNDETTKLPRCETLRILWTSYAKYGGRFTPTMLNVLRKCNGIKKCTERASKSLETSDITLGSLEEVEIEWSSGSFQEIEFFVKHLSKCSATLLEKWSSIVYHPKPPHQPRRNVKS >Et_9A_062903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6456247:6463196:-1 gene:Et_9A_062903 transcript:Et_9A_062903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEMAEEPTAGRAAGGPLAAVAEEGEAEAVPAAVGSSKTMERVAAAKKFIEDHYRAQMKNIQERKERRLILEQQLASSQVPREEQMNLIKELERKETEYMRLKRHRICVDDFELLTIIGRGAFGEVRLCREKSSGNIYAMKKLKKSEMVVRGQVEHVRAERNLLAEVGSHCIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLMREDTLTEDVARFYIAETVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEDEPMTDENLRESMDIDRSFSDTANGRRWRSPNEQLQHWQKNRRKLAFSTVGTPDYIAPEVLLKKGYGVECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRSYLKFPDNSRLSFEAKDLICRLLCDVDHRIGSSGADQIKAHPWFKGVEWNKLYEMEAAFKPQVNDELDTQNFMKFEESDPAPARRTGSGPSRKMMLNSKDLSFVGYTYKNFEAVKGLHQSADTTDMDSSMEPNGRDAHMRTVSSDDPMVP >Et_1A_008755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11797439:11802526:1 gene:Et_1A_008755 transcript:Et_1A_008755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRIKMPKKRPAEERSGGRAAKLSNRRRRRLYLVLDDWEKGYSIRKLDLSSSDDSDDFPMVGRTEQRLPPAVFRLEAPRALSGLFAAFGNKIIATHQTPRRAVFMWDVCTRALSFGPRHKFEPNSYCNIYVEVSGQLFLLDDASFEVLDPPPPLLDDAQGNIEIVWKWEKLPAPPFRHHVVSHAVHPDERNIFFRSEKKTQKRTKVATFSFDTESCKWTRHGECRLPFKGRGYFDPDLEAWVGLSDDPDTLGHLCTCELVSAADVVHQPPLWKLSKEKLFCVDHAEKHIGATLVYMGSRSKYCLVQGLSINNRKDDIWEEFLPDCLQYLLRVKTFFLKYDRNGDLRAVVHGQLRTYKC >Et_3A_025000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2604342:2607459:-1 gene:Et_3A_025000 transcript:Et_3A_025000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ENPRRQLIPDRCSSGGGGGSSREAAQRVLRFQDPDESPVAAAATRRCSLSDRWKMTQKQSLFKGQSKKKTIPPNRHGKAPHVRKGKRVVKPNKFTKDMDADKELTKFINHCNEIKAANVASKEGGDLSILKPDGDQSKSKK >Et_9B_064354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14320302:14322888:1 gene:Et_9B_064354 transcript:Et_9B_064354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQRLLKLRMASPSCSRPAAVPSARPLSFFLLAPTPAAAARPRPVPLSCAARPCRGHAAPEPARPRVAAERRFPGVVAMSSSTPPGPVQKSEEEWEAILTPEQFRILRLKGTEYPGTGEYDKLFAEGIYKCAGCGTPLYKSSTKFNSGCGWPAFYEGFPGAIRRTPDPDGRRIEITCAACGGHLGHVFKGEGFNTPTDERHCVNSISLKFIPASGEA >Et_3B_027642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9810990:9811374:1 gene:Et_3B_027642 transcript:Et_3B_027642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVICECIRQEIWNATPPSTKTVSRGSKFCTTVCCTICFPVNHRNVVRLHCNSTCSSVLGHYQAITNRQSFYS >Et_1B_013719.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:1391160:1391804:-1 gene:Et_1B_013719 transcript:Et_1B_013719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLRSHDALNNRMHLDAFTPSPTKPRRRRSPKPAGAASPPPKAAAVASPAPKTAAVASPAPKAAVVASPPAKGRRSPPPKAAARKQPSPTKEKPKQRYVMEEVRILKRGEEPPAPAPTPGPSPAPVVAAPVAQAAAVNKRIIRSTSRIGPQAPAVVPKKVVAAADVAVYAGPAFASAPEPSSLPFPAFCLRRAETEATRGLRCLLGIGQVA >Et_1A_007003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:371050:376240:-1 gene:Et_1A_007003 transcript:Et_1A_007003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYRHRMGAAADFRRDLEDLVCDHLGGCLSPPSSSSSSCSALGGGGGAGHEPDEAESSAARRRRRESRLLSRWVARQAEEVLSSMEREVERRNREAELLALARLHPVSTLDPSSFLLSSPTTPPPRPQAPSPAAPSSLLQMWRELEHRRTDADQPFDREPSPDTADRERERVRQIARRLTDANGGATAAAAATGEWLGETERQRVRLVREERRGEAPRLRGRQARMDVITRMARERQRELQGISGYHIVSEFPRRSRNRIQGLLRGRFLRNVALPTEEERPPSMAARELGQLRQSHRMPASRSESVASSHDVSQSGASVAETVRLVDNNESQQGAEIRAFTGSEDPVRTMLENVGFQEVDTDHAEAHTPSITLDGTDEMQDSAAQGGNRQQDDTEHNSRFWQPSLEGRLDRWPNEIEEGAERNWGDNAEDLHSEPMEDDGREHGHLQEEHDDWHDDESHGTVENWQDDYQDSGLDTGPIPRTETRFIPPDDDNVYSMELRELLSRRSVSNLLTNGFGESLEQLIRSYVQRRGHGPLDWNLDTAMPPSNSANENQEQERSAQTRQLQGPVNRPALVIPPPPLPPRQPLWHRELRHNNWSSRHREWDAINDLRADMGRLQQGMSSMQRMLEACMDMQLELQRSVRQEVSAALNRFKGPEGLSMDVSDDGSKWNQVRKGTCCVCCDTQIDSLLYRCGHMCTCSKCANELVRSGGKCPLCRAPIVEVIRAYSVL >Et_2B_020384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19623384:19626511:1 gene:Et_2B_020384 transcript:Et_2B_020384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTIKFLSLCLLIFHTLLLSHGATGDGNAPSTLDNGGNITDGETLVSAGGTFTMGFFSLPGVPPTKRYLGIWFTASGAEESVCWVANRDTPLNNTSGILVMSTGGSLGLLDGSGRTAWSSNTTGASAASWVLELLDSGNLVVREKIKSSIGGRVLWQSFDHPSNTLLAGMKFGKSLKTDAEWSLTSWRAKNDPATGSYRRVMDTKGLPDIVTWHGDAKKYRAGPWNGRWFSGVPDMASEFRLFSVQMAYGPDEVTYVLNASASAFSTRIVLDEAGVMQVLVWRPTARVWVPYPWLPRDACDDYASCGAFGLCSVAGAASPSCSCVEGFSPVNRSRWGSGGCLRDVRLGCGNGTESTDRFVAVRGVKLPDTDNATVDRSATLEQCRQRCLASCSCVAYAPADIWRADGGSGCVMWRDNIVDVRYIENGQDLYVKLAKSDSGTTRKWTTVAKILLPVLASGLVLTVAGMFLAWLCKLRGKRRSKDILTKAILGYSHAPDELGDDNIELPFVSFGDVAAATNNFSEDNMLGQGGFGKVYKGTLGQNIEVAIKRLGQGSGQGVEEFRNEVVLIAKLQHRNLVRLLGCCIHGDEKLLIYEYLPNKSLDFFIFDDGCKYLLDWPTRFKIIKGISRGLLYLHQDSRLTIVHRDLKPSNILLDADMSPKISDFGMARIFGGNQQEATTNRVVGTYGYMSPEYAMDGAFSVKSDTYSLGVILLEVISGLKITLSHYKGFPNLLAYAWSLWIDGKAMDLVDSSLAKSCSPTEALRCIQIGLLCVQDNPNYRPLMSSVVTMLENESTPLPVPKQPVYFSYMGNQGTIGENTSSSSNGLTVTTLLEGR >Et_7A_050669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1057133:1063454:1 gene:Et_7A_050669 transcript:Et_7A_050669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKVWDLTAGKLLQDFKSHEGQVQCIDFHPHEFLLATGSADKTVKFWDLETFELIGSAGPETTGVRSMTFNPDGRSLLCGLHESLKVFSWEPIRSHDTVDVGWSRLADLNVHEGKLLGCSFNQSCVGIWVVDLTRLEPYATGTSTKLNGHSELKTLPSVGMPLQNDSGSRANIGRSSVLQNSENNLKASSGRLSVSQNPDSTLKETKSTASSVVAPSTPQRAGIGSNMKSVGNSAFASGGTTLKRSSLKSNNASNLHNFNKADVVPVIVPRTSSGGELAADSRSDDADLASVLSKTTRRVEHTTDSKKESSDVEPVITRATSRVDVASDSASVVSKAGRRLESATDSKKESTDATPVVVPRAASMASDSAPVLSKASRRAESAPDSRKESSDVAPVIVPRTSRTEMASDSRREPSAGRVSPFRIQSRYAELRKLTHAKVDAGSKNTDTDDFNCQIFVPRRNGAIQTMNSEESREDVKHGAVDRMGFFNSAEPNRNLRNENYVSRMRKPRDNCYIEVSRAGRARSSVSNWEGRDQSPSHEEPTTSSSSSLAPVGRSYSSRGSNQATETPMIATDEDVLSLLMEQHELFLSSTRSRLTKLQIIHQMWHRNDIRGVISAMEKMCDHAVSADMASVLMEKSETITLDLCTSILPVITDLLESKTDRHLAVSLEFLVKLIRTFGPVIHSTVSAGPSSVGVDLQAEQRRERCNLCFIELEKVKNKLPSLTRYGISFCTLEEITTLSCNLTIIIAVREITTLTCNLTIIIACAYACLVELKSAIGYRCETKPFTQRKGAVANAAQELTLVFQEVMS >Et_1A_005031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22307578:22308621:1 gene:Et_1A_005031 transcript:Et_1A_005031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PPQPSSLPRAALVCKRWCRLVADPQFARRFRAHHRSSILFWKRETDIGNAGKWVMCRTVQLDKLLPLGKKRGLLGIMGISEENDVIFLGTHVGVFMVHLRSMQFKKMFEVPIQQDIYPYSNFCSAVQVPLCLYSLEC >Et_10B_003644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4233101:4234767:1 gene:Et_10B_003644 transcript:Et_10B_003644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTEALGRVIPKLYELLKGEYNLQTGLKKKITRLYGDLESMQAALRKVAEVPPDQLEELVKLWTRDVQELSYDVEDILDTYLVRVEGCEARDPSRFKRAAKKIGKLFNKSKARHQIDGMIKIINQRAEEIASRLEKYNINDIVARPASTSALDPRLIAMYNEVTQLVGIDKPRTEMINMLSLQEDEASNKKTKIVSLAKTVYDRLKPRFRCGAFVPIGRNRDSIKEIFFEILIDLDKNKFMDLKYRELNERQLINELREFLRSKRYDLPTPNAQSRGVFLSHELMLTIITKKGTLLFILYSFEIKSISKFVLDIPLQHRTHVFEPIIILQKYLIVIDDIWDSNSWGAIKLALADNTGSRIITTTRILDVAKQADEVYHLKPLPCDKSKKLFYTRIFGGEGKCHDNQLDGVSDEILKKCDGVPLAIITMASLLVGKSRGQWLELCKNIGFRDTNQILSLSYYDLPSHLKTCLLYLSAFPEDYFIYKDKLIWMWAAEPSQQTKS >Et_10B_004483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:913156:933183:1 gene:Et_10B_004483 transcript:Et_10B_004483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAFNAAQWVLGKALALFKDGTLETWASSRNLGPNITELKTELLCTQAMLDNTRGLEVRGAALKELMLMLQQLAYDAADVLDKLEYFRIQDVVEGTSEASDEHAKGPAHNLILNALHTVKAAGKLLWRAVAPRENQADGGEARGCKRIAPRENQADGGEARGCKRRRLPCSSLPPIHDPDAADPSAVSIPCDQTPKLEFNRVDASKKMREIVEQMKDMREKIQGILISLGPSLMRTMSEISHSRTITTSQSIEPKLYGRDLVMDNIIHDITKGKYCDKDGLTVLPIFGQPGIGKTTLTQHIYHRPEVKKHFDVKVWVCVSQDFNVVKLLDYIVKFIPQIGDENVGTSGELIAQRLKSKSLDEVLVFNNLTDLQNLDLKNCAHLELKNLQMLTSLKDFKWGYSGHLFVKSGYEGEVKWQLPIERIEVGNCPVTGKELTQFLSHLPKLSNLSIECCGNITLMGVAVDQQQVTACIQPSSASAVRMEDVQATCHKQQIAEEVEEIDKLDDDDGLLILPAHLSDSLMKLTIEGCLKVSLVASPVPPNSSRVWRDVAGGLQALSSLQRLRVEDCPEFLSAYNKISLSSSCFAFPSSLKELRLWGAKGKGTPELLANLTSLTELSLYGDRDLRSDGLCPLVTQGQLSKLDVSDCPRFFVGSDPRQGPKDDDQVRPLSRSSNLQQWLRTDDIAGFFAAPICTIFSFSLTGLEFYDNKEVMRFTKEQEEALQLLTSLQQLEFQACLNLQCLPAGLHTLPKLKRLVIDGCELISSLPSDGLPSSLQELHVIGCGNEELKKQCRMVLLDHGARLREKRTRRRRRSLQSASLGEEAKAGIEAGSRVTLLDGVVASPIATTVRRIGRPRSRGQMWSLFIQRLGKGTRQPFDPSVAVAIASGKLVCVSWRSALWARRWPNDMSQLEGTTHDHGTTELKMLMLAALAVPVLAVGGWRHSLTTNMFA >Et_1A_007912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39422742:39424141:1 gene:Et_1A_007912 transcript:Et_1A_007912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARVFRGCRFLMSPAGPAASGAKKPVAAAAAVQAAKAEAPKRKVNSGITKPVPVSDALRRFAGADHVSRAGAVKLIWAHIKAKGLQNPAKKTEINCDEKLKTIFGERDKIGMLEISKLLSPHFIKN >Et_10A_000500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11560883:11564750:1 gene:Et_10A_000500 transcript:Et_10A_000500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRAGAGPLRLAAAAGRRAWRRPLPPLPTIPSCSVASSSGRHSLGFFRVSVGAPYRTLSNEAGASGRGGECWNCGATGAFLSCGSCGSVQPVDPAVDYFQIFGLEREYNITENSLEGKYKDWQKKLHPDLVHTKSEKERGFAAEQSALVIDAYRTLSKPLPRALYLLKLEGVQVDEEKTINDPELLMEMMEIREAVNDATDSETLEKIQSQIKKKLESWCDSFQKAFDKRDFNHAVEATQRMRYYERAVEETVKKL >Et_8B_059065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13017772:13021897:1 gene:Et_8B_059065 transcript:Et_8B_059065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQARAQLLASLAAIYLVLAVSAGNIANEIDMMWGNSKLVTDSSGEQAIALTLDRNGGSAMRSKNTYRFCRIDIDIKLVPGNSAGTVTTFYMISEGSWQSHDEIDLEFLGNSSGQPYTLHTNMFGKGKGGREKQYRLWFDPTQDYHTYTIIWNRDWTLILVDNKVIRQMKNKEMYGITYPSTQPMRVYASLWNADDWATQGGRVKTDWSQAPFVAYFKNYRAISCTSYQTSSLCAEGSTNPMGWFNQELNDSRKQQLKEVDDNYKTYDYCTDTKRFKNGFPHECEAEKHTHAVTHMVHTH >Et_8A_056193.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:14255839:14255925:-1 gene:Et_8A_056193 transcript:Et_8A_056193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLGRFGRNNTEITEAGPEQLQNNPI >Et_10B_002934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14125670:14126657:1 gene:Et_10B_002934 transcript:Et_10B_002934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding REPCTLLALPVQNISLLTTMHRLHIITVLLFTLNIPASATTTDTMASNQVLTIGDKLVSGNGRQAASPPDPKTLISSWYLGICFNSFFDGLCFNSVSKFPQAWVANRDNPFSEPTLTELKISPDGNLVLLSQYNDSVIWSTQTNLTRNNTILLNSGNLVLRNESNSSDILWQSFDYPTDTLFPGAKLGWDKTTGLNRRLVSRKNSISPATGPYCMELDPSGVDQVIFKFLNSSAPCWFSGVWNGQYFAAIPEMAVHSVSNSTFVDNDQQKYFMFGLLNGTLVMHHLLDVSGQAKTFIWLEDSQEWTMIYAKPKAQCGMLPP >Et_1A_007186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31819678:31823256:1 gene:Et_1A_007186 transcript:Et_1A_007186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPPSTTGGGCQRIPKVDQRTISFACRFLRARTPEQRAILHLEVPFYEVNMSLMELIKASKSNTDLKECVLYFYVILENKKWITGISKSVRVFASTLLATLAGRVLVPNRGGVQSPVQLESGLGLYPAFWLPLDASRPPPDRLLPCVGVAETAGDAARALARADRVAAMYPGIAGGRPPCAADAGLTGLRNDASTTSTVFSGTPAREAAAAVDVLAPPPAAAWWWSGGAAACAAIQRSSHWKPRPPAFSSSLRCWYASA >Et_1A_007552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35881203:35884327:1 gene:Et_1A_007552 transcript:Et_1A_007552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAALLPGGGRLSALLPRHRSLSGSSSIAALFSDPTPPADPAAAIQSAGVNLSHPDTVPALLVDPDLAGNYPAASRFFSWAASQPPAAAALNSRSFNSMLQLAAVHGDADRFWSLVASMRAKGYGISKPVFQAASESFRAKDMARDADLLQEAFAGHARNAAAAEVCKILRAQDKLDSAKLTMLGKSGFEVTDELVALVVEKVGQFPPQAKVFFRWVEESSKPGIDWGKVYNAMAMVLGRKDCIEDFREVLRKMRVKGLQIDREVYVTVTDRFLKRKMVEDAVDLFRFMASRPEKLSTEDFVFLLKKVVLTGDLDVKLVTRVVRYYQDAGNVVKASTFNSVLKSLRSVRRLGESGSVLRAMVEGGFTPDRADHEKAVLAMCEAGKLEEANEYLANVEESGYKLGPKVWSCLVEKYSLGENVDKAVSCFHEMFERSDKEDLGSAFEVLVSGLCKKKGAKEAFKVLKTLVLEKAVVPWETTYKYLVHKLVREGCMKEAFEVFGLMKSNGFPPFFDPCIAQISKSGTVDDAQSLLKALSPKGSVRLFQALFKEGRHEVAQQLLSQSPASVQNHAAVCDIFDRMKLEEPVAAALADVCAIILGYPLGIYYPNALEGHHLLNDPAFSV >Et_4B_039802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3010228:3020051:1 gene:Et_4B_039802 transcript:Et_4B_039802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLPCNPPPASEVRASESFQLINCTDASFGLISSSNSSPQVRQEPEIGCRGYANNAPELHCDKGTLLKCGSHHVGEKRNSILAVDTEKAEGFDLKPETGGKSQADVHSAVKLYSGIGRIVIAKCSHIFESRRDPFDGKCSLQDALKPGLWLSPETLRRYWRVSELKPEDFLDILIGFGSGAIEVRKARFLWNLYRWASWQNKEFRHLPRSNETMVSILINAQMLRQAESLLLSLADNMAPAVASELFSQIIQVYSESGNLEKSSKLYDFARFKRLIPSVSCYQELLNFLIRKREDALVLKIYLDMLQVGLGSCTKGDVLDFVVVALIKKDKFLQVLGILRQLKNLGLKLSKGSLSTVVEEFNKKKDIGDMMNFLEEWQCLPEPRVCNRILTFSCTNHGTDEAWLVFQRLEALGEGLYRHAKYVFEDMVERKVRPDRSTYKVLLAGYCRYRQFDDIEQVLKDMKTDGLNELPSGNCVLSKALTFLGLDHLEVKVKRDNATGFPKAEFFDSVGNGLYLDTDSKRFEISLAQIFDNALHLDINSQLISASQQGNVASALLVKDEAFQWGHIISSASCSELIKALCVSPAYIMDVIELMEQMPDTFDKVDAQTLNIVVQTLSSNGMTGYTSVGCAMLEALIEKLVVVDASLLLNVMEGFLKEQKTAESIGLTSPGLSRIYDGHGIYGGLALFLHCEGISANRKSKTGNAGLSRIYFWKRRNASQVRELLKDMEVNGISPDKTTYDFLTYGFHKSGDTNGSTSPYEAQEGPYKLDSQVKSSAPRRDYLRPPEAGRPNLVANTLMPATDPLLVYSRWAEPRTIQWFIKAEAIDIVQLTKGKKCVATFYLRRHGLCSGMEEADVYSDLVYKTHLFGVNLHVSNFLTEQLKDQ >Et_2B_022473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2382605:2386617:-1 gene:Et_2B_022473 transcript:Et_2B_022473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQLEGLLGGLTQFPEPKVVRRRRRVSPFFLSHLPPDWPSQKQEGKRRNLSDDFGGAGGAGAVSDGASHRVANALHEIHQAENSFDDIAGKVIADFGCGCGTLAVASALLDAEQVIGVDIDPQSLELAQENADELELDIDLIWSDIKNLSLKGFLIDTVVMNPPFGTRRKGADMEFLSMGLKVASQSVYSLHKTSTREHIKKTALRDCGAVNAEVLCELRYDLPQTYKFHKKKEVDIAVDLWRFVPKARHSS >Et_10A_001790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8271863:8272495:-1 gene:Et_10A_001790 transcript:Et_10A_001790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCANPHGLIRKYGLMCCRQCFRSNAKDIGFIKCCETMFDIGNVYVWSS >Et_10B_003686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4930243:4937880:1 gene:Et_10B_003686 transcript:Et_10B_003686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRMLLPLLVATLACRQPRLSAAECQRDCGGLEIPYPFGIGRGCYLENGDGDQPFSVTCNTSNAIYGALRRPTPTIKGIEVLDIDVRRGKLRVRGTVGSWCYNATTSSMGEQTSLWFDSATFRVSDTDNRLTVVGCNAFAYIDSRDGAVDSRYVVGCQSKCSRTQLDNGGSRCDGVSGCCQAPIPPGLRSFDVNYFDDYNSSDVASYSPCSYVTIVEASEFKFRTAYVTTSRLLETDVGKAPLVLDWAVDNQTCSQAKMNRTAYTCISDNSVCVDSTNGPGYLCNCSQGYVGNPYLPGPDGCQDINECEDKLVKYPCSAHSICVNKRGGFKCYELNWQAAISISTAVVVLGIGLSGTYAVREKRRLAAIKQRHFKQHGGLMLFEAMKSQQGRQGRLSFTLFTKEELDEATGKFDERHVLGKGGNGTVYRGELKDGRTVAIKRCKVADDERQRREFGKEVLILSQVNHRNIVKLYGCCLEVKVPILVYQFIPNGTLYQLLHGDATVPPLFAVRMKIAHEAAEALAYLHSTASPPIIHGDVKSPNILLDSDYTAKVSDFGASALAPTDEAHLVTLMQGTCGYLDPEYMQTCRLTDRSDVYSFGVVLLELLTRRKALALAAPEEERSLAACFLTAARDGRLDVLVDPKIKDEVSGEVLEMVAALAKRCLEMSGERRPSMRDVVEEIDRVRKLMIKRAACLLGDVAAHTSIRKQMKLPMQLLPLLLVAALVSPWQQLAAAAACQRQCGGVDILYPFGIGPGCYLDTGDGHRTFELTCNRTSDGGGDLRRPTTVVDGFEVLHIDELRGKMRVRSPVISRCVANRSTLTYDSSEFRISGADNKLVVVGCDVSAFVDMWDSESNNNSYSVACHAVCLNGAAPPLQVHGSCNGTDGCCQAPIPPRIMYFNMGFDDGGNSNSSSGAANSASSSACGLAMLVEQAAAFDLPTKYLAAGELVGGVGGGGLRHVSAMLDWTVGRQTCEQAQRNKSTAYACNNANTECVDSDNGPGYLCKCSQGYQGNPYVPGGCQDINECKAKKYPCPSLNICRNTPGNYKCHELRWLAATGMPACFRSTRDLVLKSQDSRSLFFSSHIRATHTTLAWTGVSILVVVLGVGMSFTYTVREKRRLDAIKQRHFKQHGGLLLFEEIKSRQGHLSFKLFTKAELEEATNKFDERHVLGKGGHGTVYRGELKDGRTVAIKRCKQVSNSERQRREFGKEMLILSQVNHRNIVKLYGCCLEVEVPILVYQFIPNGTLYQLLHGGDQAVGALPPLTFAVRMKIAHEAAEALAYLHSTASPPIIHGDVKSPNILLDGDYAAKVSDFGASALAPTDEAHLVTLVQGTCGYLDPEYMQTCRLTDLSDVYSFGVVLLELLTRRKALALAAPEEERSLAACFLAAARDGRLDALVDAQIKDEVNREVLEMVAALAKRCLDMSGERRPSMREVAEEIDRVRKLMAKRAACLGDVAHTSILVSNDR >Et_5B_044915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7294152:7300626:1 gene:Et_5B_044915 transcript:Et_5B_044915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRLPPALTADLLREIFLRVASFSDLARASAACVYFCRLIADPSFLRRYRSLHRPLLLGSIGPRGLDTVKAPHPNAAAARAFARTVDFSVRYLPRDGGMCDIRDGRVLVNFIDHDKNIYLWDLALCNPLSWTYQLVPPLPTDLLASFQLEEHNIFNFETFLVPSEDVEETSFKLLSCMISKTTVVLFIFSSGSGCWSVGTSTGLDSLSLDEPQDLPLLSLRRYKVNHADKLLKLDMNTMRFSTHDLPPDHADRRVSVVEAEDGMLAMFSQIDRGRSLDYYTFLPNGSKKGGEWHLKNTVPLPSQYKCHMTDREAEGLIFLSGRPKDDDISHSACFSLEIRAFKIGRLNGIVHPYFFNPYFGFPPIIPPRRIKAYDVRLPPTLNADLLREIFLRVASPSDLARVSAACVDFCRLIADPSFLRRYRSLHPPLLFGSISTRGLVPVTAPHPNAAAARDFAGTVDFSFRHLPHCASIYDIREGYILFGFIDFEKKRSLRELAVCNPLSRAYHLVPPIPDDLLASFHLQELNIIDFETFLAPSCDVEETSFRVIGCVLGKTRMVVFIFSSGSGLWSVGTSASWDALSLDEPQNPHVLHSHHHAYGCFYWKVSYRDKLIKLDMNTMKLSTHDFPPDHDERSIAIVEAGDGNLAMFSQNEDAPLNYYTFSQDGSKKDGELHIKSTVPLPSYYTWNMTRRQYEGHLYLIGMPKKGIQRPEGFLLEIKTFKVERLNAMKFPVFLRPYFGFPPIISPRRVQGLDLLPKP >Et_9B_065962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18873514:18874789:1 gene:Et_9B_065962 transcript:Et_9B_065962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTKAVNTTRKRKRARSIPELLDEVVFEILIRLPVESLVRFKSVCKSWRVIISDPFFVGAHLRFSKQKQERNPSSFLITPQISLVPARDYRRKPFSTNIRFFKWRLQQDPSSTAMLLYRSQFPAGEFRSVSPMAHCDGLVLLPHQHENLSTRGVVSLPESKRNMMLRDACLPIGFGLDASTGRYKVARAFYRSRDYKPAGVVPMGMEVFTICGGDGSWRETLADPPYPALSSQTGNHCNGHLFYFINKKNQQHPPRGLLRFSLQEETFGVTLLPSNLDPAVSDDDILVKEMDGELCFTYFSKPLQRLLIWMTRDVLNPQWDCRYKIKVPPGPSYPMASLGRMASLGTNGILLQQGNCLFRYDLEGHGIREDEIFDMKDLRYLGPSEDTSGCAWENLCWFDIISYTESLVPITPKPSSEA >Et_1A_006699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26496021:26497365:1 gene:Et_1A_006699 transcript:Et_1A_006699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAHYHHHHREPPFIYRGSGGAQESGRIVAPEMEMMPAQKEAMAPQQHHPPLFFDFDHGDCGGGRKRQREAEVEAAAMSPQFFSLQPPQAQAPKVISLAQLHKRPATGLRLDLDDGSERVSSTSTASASCLLSDELAAQRDQHRNEMDRLIQEHAERLRRALADTRRRQLRSLVTSAEATAARRIREKEAEASEAARRGAEMEERIARLRAEASAWQAKALADQSTAAALHAQLQHAAAAAQALRCKAAAEAAEDAAADDAGSCFLDPDRVEEVAPPPPPARPCRACGGGRRAASVVLLPCRHLCVCAACEPAVSAAAACPMCRGAVTGTVQVFFS >Et_2B_019453.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3122633:3122746:1 gene:Et_2B_019453 transcript:Et_2B_019453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRASLGESDSLSESGGASGHCSSFKLQRNYKLQY >Et_8A_057005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20016723:20020353:1 gene:Et_8A_057005 transcript:Et_8A_057005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLDAFFSKGGGGGFRGAKWGAGSWDPGELVVVEHIIREENMMAAQEILELFCELVAVRLPIIETQKECPIDLKEAISSICFAAPRCADLPELMQVQMMFANKYGKEFVAAASELMPDCGVNRQIIELLSIRPPPVETKMKLLKEIAEEHEVDWDPSETETEFLKPHEDLLNGPTYFNGSTLPLPKEKHEETAASAAVQPDEDYESDSGLDSLDLPEVPKAAIRLPSDAPPTPDIGPHFQSSQSVHTISHTESGTSIDLDDLLSAAQTAADSAERAAAAARAAANLAQLRIADLKKNTKVYDKYSDGVQKETHHQTEGTQKPVFDHQDSFTNDTQDYMPSHVPQRSPSLEDDPYFSYPNLFFLQSGHMSLPASVFSTHAPWNTCRHPGHAARPLSIGSKHTEHSSSSSSPSSAAATFLRFTVLALSPRSSSSGTGSGRRSSMPTW >Et_3A_023846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13443365:13447708:1 gene:Et_3A_023846 transcript:Et_3A_023846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGRCVYWKYPAHDRLLSLDVATMEFSVIPLPHRVRARTPYAVGETRDAECCLVSCSAHSIADAGQTMQVWRRVVTGNDVVQHWELHRQVPLMGLVAAAVPVRLRVYKVLAVAAGNVLVWFKVEGRRSQDVDDHAAFCLDSFKMLEQFVSRELILKMANGECYKDCEEIS >Et_4B_038740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4499565:4500547:-1 gene:Et_4B_038740 transcript:Et_4B_038740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEQLAMAAASSECSSGCQSGWTTYLDDDRSSHSCGTARFHGNIGKASQQPYYYYYYYGDYSEEEEDLSMISDASSGPRHQQCSAGNDVVVEAAAAATERRARRADAASARRQSKKAAAAASLLEDTASSPAFFGYSKATSSGEVNGYGYGYADEPMMEFGNAADFSCAFSATTGFKTPLNGTALDGYLQMQYSPAPAKPMPTRQVCRDGGEMKRW >Et_8B_059999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4909183:4913693:-1 gene:Et_8B_059999 transcript:Et_8B_059999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDLWLLCATLAVTLLYYLTNLTRRRSGTTERQPPGPRPLPVIGNLLDLRGGNLHHTLASLARVHGPVMRLKLGLTPAVVVSSRDAAREAFTKHDRRLAARAVPDTARALGFSERSMIWLPSSDPRWKTLRGIVATHVFSPRSLAAARGVRERKVRDLVSFIRGRAGEVVDVGQVVYGGVLNLVSSAFFSVDVVDVGAASARGLREVVEEIVEAVAKPNVSDLFPLLRPLDLQGRRRWAAGLYEKIYRILDDVIDRRLAEVSSSESSTRGGDFLDALLKLTSAGTIARQDVRAVMFDVFAAGSDTIAITVEWAMAELLRNPSIMSKARAEINGVLGGNKETIEEPDAASLPYLQAVVKEAMRLHPVAPIMLPHRAVEDGVEIGGYAVPKGCTVIFNTWAIMRDPAAWERPDEFVPERFLGRAAEVDFRGKEFEFIPFGSGRRICPGLPMAERVVPFILASLLHAFEWKLPDGMSAEKLDVSEKFTTANVMAVPLKAVPVVNGLLPKQSCNTMNATDMGSELWLLWPTLAVALIYYLSIPRRDPGTVRQPPGPRPLPLIGNLLDLRGGDLHHTLARLARVHGPVMRLRLGLVTAVVVSSRDAAREAFTRHDRRLAARAVPDATHALGYSRRSVVWLPSSAPLWKTLRGAVAAHVFSPRGLAAARGVRERKVRDLVGYFRDRAGEEVDVGQAVYGGALNLVSSAICSADVVEIGAASATGIRKLVEDLVELIATPNVSDLYPFLRRLDLQGWRRFAAKHMKKIFCIMDGIVYRRLAETASSKSGVHGDFLDALLELMSAGKMSRDNVTAIMFDVFTAGSDTVAITVEWAMAELLRNPSIMAKVRAEINGVLGGKEAVEEADAASMPYLQAVVKEAMRLHPVAPIMLPHKAVEDGVEVGGYAVPKGSTVIFNSWAIMRDPAVWERPDEFVPERWFLDGAAANVDFRGKDFEFIPFGSGQRICPGLPMAERVVPFILVSLLHAFEWRLPDGVSLEQLDVREKFTTANVMAVPLKAVPITIN >Et_4A_032446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10153433:10154303:1 gene:Et_4A_032446 transcript:Et_4A_032446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLTAAAAASSPPPQFRFRITNLSPILPSRRFQFGPAKIRTAGSRALLAAASAPTPPAPGGGLYSAATYELTPENVDRVLDDVRPYLIADGGDVTVVSVEDGVISLKLEGACGSCPSSTTTMKMGIERVLKEKFGDAVEDIRQVSDEEQQSAETTPEAVNRHLDILRPAIANYGGSVEVFVVDGEDCLVRYDGPESIGSGIKAAIKEKFPDITNVVFMQ >Et_3A_026319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6798588:6802669:-1 gene:Et_3A_026319 transcript:Et_3A_026319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVMVLLEHPLFIDLTCQIFEIAKCMRCSYFILHHGRSGKLEYCAEWASQILDEANHLSYPCEDLYETALTCPFQCLTFSHKTSSRSRLFKHWTGMGLNEVGLIERSGLKISLGINPLRLRYPRFSSFPKLAGIAPSTLALSRVSTVNFERFPIDKGMRPDK >Et_5A_042214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7588619:7635390:1 gene:Et_5A_042214 transcript:Et_5A_042214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPAAGGAVYVANGGLMDPLLVSPHGHVAGVKKAGHVAKGKYWAAADKAERRAAKESGGEDGRVFLFRSYKVKGSLLHPYRYYSLARLACTCIQVSALICIRVVAVLLFFIWRIKHKKSDIMWFWTMSVVGDVWFGFSWLLNQLPKFNPVKTIPDIVALRRHYDLPDGTSRLPGIDVFVTTADPIDEPILYTMNCVLSILAVDYPVDRLSCYLSDDSGTLMLYEALVEVGKFAPLWVPFCRKYSIEPRAPERYFEHGAPPEPGKETQFLNDYRRVQLEYDEFKARLDKLADTICKRSDVFNSMKAAEGDHKATWMANGTQWPGTWIDPSENHRKGHHAGIAKVVLGHPSGTSPSLGATDESLPMLVYVSREKNPSYDHNKKAGALNAQLRASALLSNGQLIINFDCDHYINNSQALRAAVCFMLDQRDGGNTAFVQFPQRFDNVDPTDRYGNHNRVFFDGTMLALNGLQGPSYLGTGCMFRRLALYGIDPPSCRPDNIKPEANKFGNSTLFLDSVSKALKQERSTTPPPLDDAFLAELERILSCAFDKGTDWGKGVGYIYDIATEDIVTGFRIHGQGWRSMYCTMEHDAFCGTAPINLTERLHQIVRWSGGSLEMFFSHNNPLVGGKRLQLLQRVSYLNMTVYPVTSVFILIYALSPVMWIIPDEVYIQRPFTRYVEYLLVIIVMIHMIGWLEIKWAGITWLDYWRNEQFFMIGSTSAYPTAVLHMAVNLLTKKGIHFRVTSKQITADNDDKYADLYDFRWVPMLFPSVVVLIFNVGAIGVALGKTVVYFEVWTAVQKKHAAMGLMFNIWIMFLLYPFALAIMGRWGKRPIILVVLLPIIFLLVALLYIGLHILFGVDDGGLTNPLLVHAANGGAAKKKAGTTDEYWVAADEAEWRAAEECGGEDGRPLLFRTYKLRGAILHLYRALILVRLIAVVLFFAWRISHNKSNVMWFWTMSVVGDAWFGFSWLLNQLPKFNPIKTIPDLTALKSQYDLPDGTSKLPGIDVFVTTADPVNEPILGTMNSVLSILAADYPIDRLACYVSDDSGSLILYEALVEVAKFATVWIPFCRKHCIEPRAPENYFELETPPLTGQGPEGFMNEYNRVRTEFEDFKMRLDNLSDTICKRSGGYNSMETSEGDVKATWMADGTEWPGAWIDPIENHRKGHHAGIVKVVLDHPSRGHNPCPEVNVGNNPNIGETGVRLPMLVYVSREKNPSYDHNKKAGALNAQLRVSALLTNAQFIINFDCDHYINNSEALRAAVCFMLDQREGDNTAFVQFPQRFNNVDPSDRYGNHNRVFFDGTMLALNGLQGPSYLGTGCMFLRIALYGIDPPHSRPTSIPAKLNKYGKSKPFLDSVSHAVKKERSVTPPPVDDAFVAEMEMVVTASYDKGTDWGKGVGYIYNIATEDIVTGFRIHGQGWRSMYCTMEHGAFCGTAPINLTERLHQIVRWSGGSLEMFFSHNNPLIGGQRILLLQRVSYLNMTIYPVTSVFILLYALCPVMWLIPDEIHIQRPFTLYVVYLLVIIMMIHMIGWLEIKWAGVTWLDYWRNEQFFMIGSTSAYPTAVLHMAKKLLTKKGIHFRVTSKQTTADSDDKFADLYEMRWMPMLIPTLFVLVANIGAIGVAMGKAVVYMGVWTAAQKRHAGLGLLFNVWIMLLLHPFALAIMGRWAKRPIILVVLLPIVFVVVGMIYVALHFLLPVTRRVNASLHVEPTSGNGVVGDEESWRSSTVADSPMAKRISDAKDDDVWVAVEERDVRNRCCRPVLFRTFKVKGSILYSYRFMILVRLIAIIAFFIWRIKHKNRDGVWLWTMSMVGDVWFGFSWILNQLPKLNPIKRVPDLTAIKDKYGSSTSTESKLPGIDVFVTTVDPVDEPILYTVNSILSILATDYPVEKYACYLSDDGGSLIHYETMFQVAKFAELWVPFLSKAPNRTKSTGELLWSWEVCKKSSSVARGVCSGNMKNSRSDSPAAKRISSNAKDDDVWVAIEDQGVSGTSVGGSSRPVLFRTMKVKGSILHPYRFLILVRLIAIIAFFIWRIKHKNRDGVWLWTMSMAGDVWFGFSWIINQLPKLNPIKHVPDLAAIRDKYGSSTSTESKLPCIDAFVTTVDPVDEPILYTVNSILSILATDYPVEKYACYLSDDGGSLIHYEAMFEVAKFAKLWVPFCRKHKIEPRAPENYFGTKRQPYMGSIQKDFMSDHRRVRREYEEFKVRIDSLFTTIHQRSEAYNNKNGKEDGAKATWMAHGKQWPGTWLEQAENHRKGQHAGIVQVIINHPSLKPQLGFPASIDNPFDFSDIDMRLPMLVYLSREKRPGYNHQKKAGAMNAMLRVSALLSNAPFIINFDCDHYINNSKAFHATMCFMLDPSAGQNTAFVQFPQRFDDIDPTDRYANHNRVFFDGTMLSLNGVQGPSYLGTGCLFRRVALYGMTPPRWGTDNIKVASKAKEFGKSMIFINSTLDAANQERSIMPMLFEESINDELATMMTCSYEEGTSWGRDVGWVYNIATEDVATGFRMHRQGWRSMYCSMEPAAFRGTAPINLTERLLQILRWSGGSLEMFFSHSNAFLAGPRMHPLQRIAYLNMSTYPIVTVFILAYNFFPVMWLISDQFYIQRPFGTYIMYLITVIAMIHVIGMFEVKWAGITLLDWCRNEQFYMIGATGVFPTAVFYMVLKLITGKGIYFRLTSKQTAACSKDKFADLYVVRWVPLLIPTIAVLVVNVAAVGLAIGKAATWGLFTEQALHAFLGMVFNVWILVLLYPFALGIMGQWGKKPGILFIILVMAIVAVALTSVSFHGTYWTEWSDIANSSMAPNAGANGHGAGNGAVVAGPLANGNGRHHGGARRKPAIDPKDKYWTPVDEKEAAAAVEDGGEDGRRPLLFRTFKVKAILLLPYRCRYSITKSSNFVPFMWNRLLTLIRLVAIILFFIWRIRHPYADAMWLWWISMVGDFWFGVNWLLNQAAKLNPVSRDPDIALLRQQYDLPDGNSNLPRLDIFINTVDPINEPMIYTMNAILSLLAVDYPVDRHATYLSDDGGSIIHYEGLLETARFAAVWVPFCRKHSIEPRAPESYFSVKNRPYTGNAPDEFVNDYRLMSREYDEFKVHLDDLFTKIPQRSEAYNDADSKQGSKATWMADGTQWPGTWFEPAPNHKKGQHAGIVEVMLNQPSSEPQVGSPASGDKPLDFSGVDMRLPMLVYISREKSPGYDHQKKAGAMNVQLRVSALLTNAPFIINFDGDHYVHNSQAFHAAMCFMLDQREGDNTAFVQFPQRFDDVDPTDRYCNHNRIFFDATLLGLNGFQGPSYVGTGCMFRRIALYGVDPPRWRPDDVKFVDNSNKFGSSGVGWVYNIATEDVVTGFRLHKTGWRSMYCRIEPDAFRGTAPINLTERLFQILRWSGGSLDMFFAHYPLFASRRLSFMQRIAYTNMTAYPVSSIFLVFYLLFPIIWIFRGEFYIQKPFPTYVLYLVIVIAMTELIGMIEIKWAGLTLLDLIRNEQFYMVGSTAVYPLAVLHVVLKLLFRGKGISFKLTAKQATSGDTEKFADLYRVEWTRLLIPSIVVVVVNVCAIGTAIARAIVGGWSLLQMADASMGLIFNVWILLLIYPFILGIMGHWSKRPYVLFILLVIAVIVIALVDVAIQAMRTGFVRLHFRHSGGMASPGAGDAGDLAYPLLATRDGTGREKGYPVWVPTGEEEALSAAPAKSADGVLPPLYRTYKVEHALLLRYSPEYLLCPFPCNRLLNLARLILITHFFIWRARHKDSDSMVLWWITMAGDFWFGLNWLLNQASKMNPIRRVPNVSLLNHHFDVPPGAGGSSCQLPAVDVFINTVDPVDEPIIYTMNAVLSILATDYPAGRHATYFSDDGASLVHYEALQETARFAALWAPFCRKHRVEPRAPESYFFAAKAGGAPPYAGDAPGEFVDDRRRVRREYEEYKARLAALFTDIPQRSEVFERQSGGKGEGGGGGRATYMADGRQWPGTWFEPAENHRKGQHAAVVEVMLSHPGDAPQLGAPASSETPMNFSAVDVRLPMLVYIAREKRPGYDHQKKAGAMNAQLRVSALLTNAPFVLNLDGDHYINNSQAFRAAMCFMLDARQGGGEDTAFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGLQGPSYVGTGCMFRRAALYGADPPRWRTADADADDGGEGTKAVVDNSDACRRFGTSMPFINTVPLAAASQHDRGAVSPAPALVDGRLAAELEYVSTCAYEGGTAWGDGVGWVYNIATEDVVTGFRLHRAGWRSQYCATEPDAFRGTAPLNLTERLHQILRWSGGSLDMFLSRKNCPLLPSAGNGRRMHPVQRVAYTNMTIYPVCATFIFLYDLLPLMWLFFPGRDCYVQRPFQAYAAYLFAGIAMMELSGLVEIKWAGLTLLDWVRNEQFYMIGATGVYPAALLHSLLRLVGLGGLPFKLTSKPQQQQSAGVTAGEPFAEMYQVQWAPLLAPTVVVMAVNAAAIGAAAGKAAAFGWWLPQVVAASGGLLFNVWVLALLYPFGLGLMGRWSKRPYLLFLLLVAALAAVAAAYVALLAAFAPGARSPSQLVGWMLGGVSPASWWS >Et_1A_005294.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:38672359:38672649:1 gene:Et_1A_005294 transcript:Et_1A_005294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRMWAPLAAACLALLVILAAGVRTPAVHHPAASGGGGGRPVATAVFDAAARVVRCKKRSHEAGGDAPASCSMGLPGDTDDDRVVPTGANPLHNR >Et_8A_057820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7773229:7775009:-1 gene:Et_8A_057820 transcript:Et_8A_057820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHDGVLYSPPADSAAAPVSRSSRRRRDVRVVHFAGYAAVAITHAICRPTEIDDTAWAVATFAALFSLLLCTIIVRLLCIFIEDHDAEDDDDKVPASPRSAEAAICEAFADNTVIVVGSLLAMTLFFLVPVGFLVKGDSSIKGSRDERIGSAMVNAGFLGMGASFCLIVIPSLALKVWRMRRSGWRWEKPFAAQIRKEAQTIQN >Et_7A_051653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22027118:22027616:1 gene:Et_7A_051653 transcript:Et_7A_051653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEKERSPGRFDRNNTEITETGPERLHNSPVLPSFCMGTINYVKHIATSEKERSPGRFDRNNTEITETGPEQLHNSPVCLSAAKLTFFRVFVREPSSYIKHIATSEK >Et_1B_010068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21762295:21763795:1 gene:Et_1B_010068 transcript:Et_1B_010068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLPHRSLARLADRYGPLMTVRLGNSLCIVASSPSTAREILQRHNASLSGRSPADAWRGAGHGANSVFVLQPHRKWRVLRRLGAAHLFSPKRLDELRNDLFVGASDTSSNNIEWALAELLQNPLTMRKLQEELKSVLGSKAQLQDSDTDRLPYLEAVVKETLRLHSVVPLVSYRAEATVQVLGADVWTEPHRFIPERFLQRKVDYFSRSFDFLPFGSGRHMCLGLPLANKHRFEWMLPEVSRRSGVDMTDKFGLVLSMATPIPAIAKKKM >Et_10A_002040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19991671:19995287:1 gene:Et_10A_002040 transcript:Et_10A_002040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRLRGEEQEQRIE >Et_7B_053529.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7381824:7381904:1 gene:Et_7B_053529 transcript:Et_7B_053529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYTHGGMCGKKGTYACLRKRADHK >Et_3B_028439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15420169:15430142:-1 gene:Et_3B_028439 transcript:Et_3B_028439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKVYALLFTAFFFSGLMQLSMAQDKPAAARARVVDATAIDQAIAYLLMFAALFVTYFAHSSDASASAATMAAVKVYVLLFTAFFFSGLMQLSMAQDKPATATARVVDATAIDQAVAYLLMFAALFAAVKECVLLFITFFSGFIQLSMAQDKPTAMARAIDAKAIDQAIAYLLMFVALFTQIPCSATKMAAAKAYVLLFTAFFFSGLMQLSMAQDKPAVAVARVIDAQAIDQAIAYLLMFAALFVLFVVAFSGFMQLSMAQDKPTAPALAIDAKSIDQAIAYLL >Et_1A_007613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36433656:36436265:-1 gene:Et_1A_007613 transcript:Et_1A_007613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQRRPRLLALVWLALAIWLAATTGCRCDDPMPYPTVPPGPLPPTYGPYIPPAATGESGFFNSHRYLRDVRGVAMAAAAARQSARASLSFQGSIIVVAFLVLRPSEIIVISVHEAFCVGHQLVETQLHSVSCWSINIIVPEAPRIPQVDKQPRDGRKGDVDPDLPDLGMPGDFCKIVTTCTLAGEGDGAVSPAGGLRRQPDGEQTISGSMITVVLCFIRTCEHNSDKSCWCCGMLPDTPCFTDQKQCWSICPRRRQTLPARETLSSGSQQVHKGVVYPRLMTHLQSCGVC >Et_3B_027931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27122540:27124051:1 gene:Et_3B_027931 transcript:Et_3B_027931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYEIGKQLGQGTFAKVFYARNLTTNQAVAIKMINKDKVMKVGLMEQIKREISIMRLVKHPNVLQLFEVMASKSKIYFVLEYAKGGELFNKIAKGGKLNEDSARRYFHQLISANENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADVWSCGVILFVLVAGYLPFHDTNLIEMYRKISRAEFRCPRGFSTELKDLLHKILDPDPGTRISISRIKRSAWYRKPIEIHECSTSEGNQGLLSLPNLNAFDIISLSTGFDLSGFFDDMYARREERFTTRQPATTVFTKLKELARRLKLKVKKKENGTLKLAAPKEGKKGILELDAEIFEVAPSLLLVELKKSSGDTMEYQKLVKEEIRPALKDIVWVWQGDQHLQSQPTMQGQQPQSAFSPQQEHQGLVEAQLPAQEPLDHLQPPIAPDQPEQPPVQEPLDNLQLPIASEQQKN >Et_1A_006930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28998921:29003463:-1 gene:Et_1A_006930 transcript:Et_1A_006930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAARRSLTTRLSQHLTRRVHPGVPHALTSRSDDEPACPSLPPQPPLQAPLLHSSPFQGEVAAAAADSFLPVAALQHLIDAVHSFTGLNWWASIALTTLLIRSATIPLLVNQLKATTKLNAIKPEMEAIKDMTDTMDLKSAKEGQKKMQELFKKHGVSPFTPLKGLLIQGPIFMSFFFAIKNMVEKVPSLKEGGTLWFTDLTTPDSLYIFPVLTGLTFLATVELNLQEGMEGNPMAGKMKMFSRGMAFMSVPFTMSFSKGIFCYWITSNFFSLAYVIRRPIVRKLFNLPELVDQSSAATKPTFNLFGGSKAIPAAQPPAALMAGQPDAAALGYRVKNLEKKVKSRGKSRKRSWSFPFGKRVISSTSKEMTL >Et_2B_019468.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5529903:5530505:1 gene:Et_2B_019468 transcript:Et_2B_019468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GCVPKFVRLIEVDCSTICCRARNSIGSKHNQISFSPPQSPHPGRERESLRRASTRGGGAAERHASTRSGEGWLRGERRRKQVPVVPQRGVRRHELESRRGMAPPALGLHPRPAHRPWPWIETFAALAFLLLYDSVAAHLPTSSPLGHLGFVRFDGVRPRWWRSFIWGREASRTHGYAVRHSVSVYHNGTCSRSPCLLAHF >Et_5A_040527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5410077:5410907:-1 gene:Et_5A_040527 transcript:Et_5A_040527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RFSTASDGQRLAGKVALITGAASGIGKATATEFIRHGAKVILADVQDDAGRAVAAGLGPDASYTRCDVTDESQRHGRLDVLYSNAGAPGASSPAPLASLDLADFDRVMATNARSAVACLKHAARVMAPRGAGCVLCTGSTTGMVGGAAVVSVVRLAAEELARSGVRVNAISPHAIATPLLVRSLARMHPGVGDEQLKRMVEVGMSEIKGTVLELKDVARAAVYLASDEAKFVTGHNLVVDGGFTASKRISVPAAAK >Et_2A_016001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2087312:2089678:-1 gene:Et_2A_016001 transcript:Et_2A_016001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRKPGKLALPSVESTIGKFLTQSGTFRDGDLLVNKDGLRIVSQNEEGEAPPIKPLDNQLSLDDLDAIKVIGKGSSGIVQLVRHKWTGQFFALKVIQLNIQEEIRRQIAQELKISLSAQCQYIVVCYQCFYVNGAISIVLEYMDGGSLSDFLKAVLRGLMYLHREKRIIHRDLKPSNILINHRGEVKISDFGVSAIISSSSAQRDTFTGTYNYMAPERISGQKHGYMSDIWSLGLVMLECATGNFPYPARESFYELLEAVVDQPPPSAPTDQFSEEFCSFISACVQKDARDRSSAQILLGHPFLSMYDDLNVDLASYFTTAGSPLATFE >Et_9B_065684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9175532:9178904:1 gene:Et_9B_065684 transcript:Et_9B_065684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPSRRPGKRPAPPGAEVGGGSDGADWISSFPDDLLHLVLVRLGCAREAARTSILARRWRGLWTRLPEYTFRGMELEAVEAALARVTRPALGRLDVKADLKSEPALGRVSSLLRAAARLSPETLSVSLHNLLEGDAAVELPCFDRTRSLVLELDWVPIAPPPAGEFFSRLETLELTTGSNILAALLPSCPRLRVLRIHACIGLTDIIVHSATLEELAVEYVYELTDIPRIDIDAPEVKKMKLLVDMDREFSMTISAPKAVELDWKLGSTNGTIGFATHRLYSLNYRLSQGIRTLHLDMDGEVRLVLLSKFLPIFYICGSLEWPFYIISYDAPVPDKTFAQEIARLRVTNFSVLEVVVETNEHDFGPLLLHLLQIQPAIKRLQNCLCRQPVSWKSENISLTNLEEVLISYYRFKGGDEELDFLKLLFRCAPGLKRMGVGAHHKVYKKIRNICEENPHAKDIAVLPTRRPPGVDPSTRARPRMHLPSGGIPR >Et_3A_024195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18301148:18303663:1 gene:Et_3A_024195 transcript:Et_3A_024195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRFRFGFSSGDMTSESTLSLGERLCAVFFPFIAIAEAVFFVLTDCLADLCPDTSASRRRRGAAPSSSSAAGFYDAKKNKSRHHHNLNLPFFQRRVATDGWASPTFRQLAHLADESRCFSVNEVEALFELYKKISCSIIDDGLIHKEELQLALFKTPSGENLFLDRVFDLFDEKKNGVIEFDEFIHALSVFHPLAPVEDKINFAFRLYDLRQTGFIEREEVKQMIIAILMESGMKLSDELLEAIIDKTFQDADADRDGKINQEEWKDFVLRHPNLLKNMTLPYLRDITTVFPSFVFNTAVED >Et_7B_055415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10134630:10135775:1 gene:Et_7B_055415 transcript:Et_7B_055415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVPCCEKDNVKRGQWTPEEDNKLLSYITQYGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGEFTDAEEQTIIKLHSVVGNRWSVIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKSFSHLMAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKKRPAAAAAGAPCFPAAAPRQADDTIERIKLGLSRAIMSEPNAGAADKQQQQQPPPPPWAPADMSEGLAGMYAAYNPSSAAQVDEFVSEYVLGGGDADQGTSMWSHQSMYSGSSGTEPAAPRPAAAAAMPERGNDSVGSSRGEDDDMDDAKEGGGKGGAGSDISGLFGSDCVLWDLPDELANHMV >Et_4B_036802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11593428:11595837:-1 gene:Et_4B_036802 transcript:Et_4B_036802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYGLFHAYGIDEIGQSVEAVRSSVLDLDRFGKAVKLAAFTPFSSAVDALNQCNAISEGIMTDELRSFLELNLPKPKEGKKAKYSLGVVEPKVGSHISEVTGIPCQSNEFVQELLRGVRLHFDRFINELKSSDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWFSWHFPELVKIVNDNYLYAKLAKFIVNKSDLAEKDIPALADITGDEDKAKEIVEAAKASMGQDLSPVDLINVQQFAQRVMNLSEYRRKLYDYLVTKMNGIAPNLTSLIGEVVGARLISHAGSLSNLAKCPASTLQILGAEKALFRALKTKGNTPKYGLIFHSSFIGRASTKNKGRMARYLANKCSIASRIDCYSEASTSVFGQKLKEQVEERLEFYDKGIAPRKNLDVMKAAIDSMVNDISNEDDDEKIDASAKKSKKKKSKAEADGDAMDLDKPSNVADGEAEPGTEKKKKKKKHKLEEPQEQENGAVHGNGDVEETPKKKKKKNREVSEEAEPKTATEGKKKKKKSKVEDDE >Et_5B_044150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20807925:20808996:1 gene:Et_5B_044150 transcript:Et_5B_044150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VPTCNTTITALEDNNLGSKIIITTRRRDVAGLVHHTYQIKPLLPHSSKELFYGRIFGSQDQCPESISELSDKILNKCGGVPLAIITIASLLATKSDDIAEWQTVCNSIGSGLSSSNNMYIEMRKILLLSYYDLSPHLKTCFIYLSMYPEDYEIKRDRLIWRWISEGFIQHQNNDDDLFELGKSYFSDLINRSLVQPVVHPGKRDSKNEIDACRVHDMILDLICSLSKEENFVTTSDDIEKMMPSKRKKKVRRLPLKKSTWPKKGVSQFDSLRSFSCFDVLRILDLEGCSLEGCQNSVLFVGNLIHLRYVGLSGTGLKQVPRGIEKLCSLEVFKMSGGIELPLPSFG >Et_1A_005621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12034082:12035628:-1 gene:Et_1A_005621 transcript:Et_1A_005621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDNTMVSRPAVLVFLLVILIVTSQFEWKQQLVNELESTSNNRKHISSREELVKDKVILSQEKMIQRLNDFIQNLQQQLLQCRGRNVTVNSSGASLTSYISEIQRQQMMDD >Et_2A_015800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1871798:1877756:-1 gene:Et_2A_015800 transcript:Et_2A_015800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTALLRAPAARYLVHEECAPLHSRGYHCHALRYVRRNPADLRSCQTLVSSSTSRGLNQRVAALPDVDDFFWEKDPTPILDTIDAPIHLKNLSSKELKQLANEVRSEISFIMSRKCQPCGPGRSVVELTIAIHYVFNAPMDKILWDAGQLAYAHKILTGRRSVFHTIKQKNGLSGFTSRFESEYDPFGAGHGCNSLSAGLGMAVARDINGRKNRIVAVINNWTTMAGQVYEAMGHAGFLDSNMVVILNDSCHTLLPKADGRPKMSVNAFSSALSKVQSSKGFRRFREAAKGLAKWFGKGMHEFAAKVDEYARGMIGPHGATLFEELGLYYIGPIDGHNIDDLICVLKEVATLDSTGPVLVHVITGTENDTSGSIKSDIDPNEEEPSSSSHDLLKFLESGLSRTYNDCFVEAVTAEAENDKRIVVVHGGMGMDRSLRLFQSRFPDRFFDLGIAEQHAVTFSAGLACGGLKPFCIIPSTFLQRAYDQIVEDVDMQRIPVRFAITNAGLVGSEGPTNSGPFDITFMSCLPNMIVMSPSNEDELIDMVATAATIEDRPICFRYPRGAIVGSNGSITYGNPLEIGKGEILAEGKEIAFLGFGEVVQRCLIARSLLSNFGIQATVANARFCKPLDIDLIRTLCQHHSFLITVEEGTVGGFGSHVSQFISLDGLLDGQTKWRPIVLPDRYIEHASLAEQLDLAGLTAHHIAATALTLLGRHRDALLLMNLTDNLVWMKIVPYGRL >Et_4A_033323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21414478:21419182:-1 gene:Et_4A_033323 transcript:Et_4A_033323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PFSLLQAGIPTRLNQWWSSIPFITSGVVLICGAIYLLCLLVGYDSYAEICFLPSAVASHFQVYRFYTAVLFHGSLLHVLFNMLALVPLGTELERIMGSVRLLFLMFLLATTNAILHLTIAFLVAYNPLYPVPYLVHECAIGFSGVIFSMIVIETSLSGVQSRRYAWILLILFQFLASNVSLLGHLCDTHGLFNYLLPGPSFYSSIEGSSVLEQEDARFPGRARTLGSAGGEPTAREANANLHARHTAANPVRVDATVTPDQADTFEEELKKLVGMGFEKTQAEVALAAADGDPNVAIEILMSQQVWLMCAC >Et_6A_046121.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:26224559:26224588:-1 gene:Et_6A_046121 transcript:Et_6A_046121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWVMAGV >Et_4A_032321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29876733:29878043:1 gene:Et_4A_032321 transcript:Et_4A_032321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSDAYDEVRAYLSDACSRGVRHLRAESAKDADKLVLSMVDGEEVADELQLPGGCATVWWWAYSRPPPNQAGPAAAWFGGGGGAAQEERRFYRLFFLEQHREAVLETYLPRVRQLGRAVMVKNRQRKLFTNISTAQWSSDGGHPKTFATLAMDPARKKEIVDDLDMFRNGKDYYARVGKAWKRGYLLYGPPGTGKSAMIAAMANYLDYDIYDIELTSVHSNTDLRKLFIETTSKSIIVIEDIDCSLDLTGARKKKPGGEEKKDTSSKVTLSGLLNFIDGLWSACGGERIIVFTTNHVEKLDPALIRRGRMDKHIEMSYCGFEAFKFLAKTYLDVDAHHLFGAVEELLREVDMTPADVAENLTPKSLDDDADSCLAALVKALEEAKEKKASGGDAQQDEQDEEEQ >Et_10A_000104.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:23795199:23795567:1 gene:Et_10A_000104 transcript:Et_10A_000104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMVPRRAPTCIIEELADEPVVPAPAPAPATAPRRRARKTKAPIDVSTLRRSKRLNKDLDGFRDKASADAAASSSTAAEVDPAPHLSAANAHAIGTGFLKMRPADVSLEALVASPENSDEE >Et_2B_018905.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15407845:15408345:1 gene:Et_2B_018905 transcript:Et_2B_018905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEEFRNTGEDHWSPTGVAPYPTNNSSDNSTINLDESQDDNSSDSEPEVPTPTSARGKRSTTPSNNTNGKKAKSSGGVWIQEQVGELMGISEKISTSCESIAARGREQPGCSIEEVMELVRQCGATNGTKEHFIACQIFTKTAERRMFLAGAKTNEVRFAYLTQKH >Et_1A_006884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28634610:28660867:-1 gene:Et_1A_006884 transcript:Et_1A_006884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGNELKLLGMWASPFVLRTRLALSFKGLSYEYVEEEIFGNKSELLLMSNPVHKKVPVLIHNDKAICESQIIVQYIDEVFAGTGPSILPADPYDRAVARFWATYVDDKLFSSFMTMINGKTSEEKAGGRKQSFAVAETLEGALKECSKGKPFFGGDNVGYLDIALGGFAAWVHTRDILSGSKQFDANKTPLLAAWLERFCALDATKAVMPDVEKLVELSKMRQARDDAAAAAAVSQANLEAQAMAGAEDLKLLGMWASPYVLRAKLALSLKGISYEYVEEDLRNKSELLLKSNPVHSKVPVLIHNGKAVCESSVILQYIDEAFAGTGPSLLPSDAYERALARFWAAYIDDKLLAAWTKGSRGKTEEERAEGKKQALLAIETLEGALRDCSKGKPFFGGDSPGYVDVVLGGGLGWVRATEELQGTKTFDPATAPLLAAWADSFGALEAVEQVMPDVSRLVEFAKKLQAQENHTSSIKPVYIHILLLELRAQQTKASKKIVQSHSKNMAGDELKLLGAWASPYSLRVQLALTFKGLSYENLEEDLKTKSELLLTSNPVHKKIPVLIHNGKPVCESQIIVQYIDEAFAGTGPTLLPADPYERAIARFWAAYIDDKFLASWLQSFRSKTEEEKAEGLKQTIVAVEHLETAFKECSKGKPFFGGDSVGYLDVTLGCLISWARAGEKIYGFSPFDAARSPLLHAWVERFGALDAAKAVLPDADRLVDLNMAVGSGELKLLGVWASPFVLRVRLALSFKGLTYEYIEEDLRNKSDRLLTANPVHKKVPVLIHNGKPVCESQIIVQYIDEAFGTTSPALLPTDPHERALARFWAAFIDDKRMDGGGDDLKLLGTWASPFVIRVKLALSFKGLSYENVEEEDLYNKKSELLLKSNPVYKKVPVLIHNGKPVCESQLIVQYIDETFGTTGPSLLPTDPYERAMSRFWAAYIDDKEMAGGEGELKLIGMWASPYVTRAKLALHIKGLRYDNVEEDLGNKSELLLSSNPVHKTVPVLIHNGKPICESSVIVQYIEDAFADVGPSLLPTDPYERAVARFWAAYVEDKLVKQWVRVLRDKTDEERAEAMRQTLAAVEVLEQWQGVKRLSPPPSRKKKL >Et_5B_044144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20773377:20776635:1 gene:Et_5B_044144 transcript:Et_5B_044144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALPHSHLRLHLLLPPHHTPNPSSPRRRRLPILIAVARLQNPTTSTHPVLPPPAPPPSAALLAAEGASLAPRREHRFPGSVAAPPTPADPPSTEAAEAEDAVLRRALEVRRAVAAETLVAALRGGKVGGLTYIKNLTARMGRFVDRVVVEAAAMRRDRPELAHISFNARARTYIQESGLVELVKWFKHNSMTYPQIAKVICACSGDLQKVRRMIQWLRSIYVKGEFLGRVLANGESFLNKSFEELEETVDYLESCGVRKDWIGFVISRCPQLLNMSMDELETRVKFYTDMGMDENDFGTMVYDYPRALGFLSLEEMNSKVQYLKEFGLNDEEMGRLLAFKPQLMACSIEERWKPLVVYLYHLNISRDGMKRMLIVQPTIFCLDLETVIAPKVQFLQDIGVRSDAIGNVLVKFPPVLTYSLYKKIRPVVVFLLTKAGVKQEDIGKVIALDPQLLGCSIAHKLEVSVKYFRSLGIYHHVLGQMVADFPTLLRYNEDILRPKYQYLRRVMVRPLKDLIEFPRFFSYSLEDRIEPRHKTLVANRINMKLRYMLTGSDEDFARRVQQAVERRARFEAGNAIVDTSDDASEMSREAEATAAACQNSGEVD >Et_2B_020777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23544746:23546209:1 gene:Et_2B_020777 transcript:Et_2B_020777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKVFNWLNRKMHSNTEYHTIHGNKAIEEKEDSVRESVAEKDTEALLLRDVLLNGILAIGTLGHDVDLCPEACIEEDVLLIMGEQKVEDEEYEEERNDKVQQEALAAAPTEVAPVVEPAKLHSPSMKEDSFVCFIKEEILMHEAEEGTANIQERPLLMAEKVEKVRTTLADLFAAEVFSSSVPVENICHYVTVVSEASTTKPMLCTEKMHQKKPTKPTPKSLKATRKLSRVMKKMLGKKIHPEQLNGRSNAEGPLTA >Et_7B_054408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21068860:21072361:1 gene:Et_7B_054408 transcript:Et_7B_054408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEGEMVRASHILIKHEGSRRKASWKDPDGRIISATTRTDAAARLLDLRNQILSGQAAFADLAARHSDCSSAKRGGDLGTFGRRQMQKPFEDATFALKVGELSDIVDTDSGVHIILRTA >Et_4B_036972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1372379:1374863:-1 gene:Et_4B_036972 transcript:Et_4B_036972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAKTVVGRERNGGVRQYNRSKVPRLRWTPDLHHCFVHAIHKLGGQDKATPKRVLQLMGVGGLTISHVKSHLQMYRNMRNDLGMQGMQMQRMDQEHIYGGISMELRTDMQKCDHECDAPCCSCHAPRPRKEPMLRSQLKRIVPDQTRCEGEDQEGSASPKRVVRGQGICERDMSSRLYYWELAAAGGYNSMLQQMQEAAMGGTARPPPPPPPHPHVVVEPRAAGIKRQQLAFLGLVVAPPAAAAARCRRDHPFEVMYAPCRLGYPCIYLYPWLDRSGQGGKANGWTDRLSVHSPALFLPPTLQTFICSSSPVCVCVCVFSCVRGRACTCAGSSIYCPFTGGGFCPLSLRGLSSSCTVRMREIGE >Et_9B_064943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:277276:288230:1 gene:Et_9B_064943 transcript:Et_9B_064943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQLLQLTERGRGLLASRRRTLAVVSGALLAGGALAYARSSQRPRRRRSEPNYSNDASALAANGQNGADGGLVGTKQKKGGLKSLQFLTAILLKKIGPNGTRFLLGLILTSVLRTAVGHRLAKVQGFLFKAAFLRRVPTFTRLIIENLILCFLQSTVYQTSKYLTGSLSLRFKKILTDLIHADYFENMVYYKISHVDHRISNPEQRIASDIPKFSSELSELVQDDLAAVAEGLIYTWRLCSYASPKYVFWIMAYVLVAGGAIRKFSPSFGKLKSTEQQLEGDYRQLHSRLRTHAESVAFYGGENREASHIMQRFEALIGHLNHVRHENWWFGMIQDFFLKYFGATVAVVLIIEPFFSGNLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGILSISSRRLNILSGYADRIRELLDVSRELSGVHDRSSNHNSSPGNYISEANHIEFSGVKVVTPAGNVLVDDLTLRVERGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLREQLIYPLTEDQEIEPLSYDGMVDLLKNVDLEYLLERYPLDKEINWGEELSLGEQQRLGMARLFYHRPKFAILDECTSAVTTDMEERFCKKVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWDVQHRRNDSSFSTEESDFSSSETNRKSDALVVQRAFMSRAKSNTSLRSKEHLYSTEVIATSRKAEIQPTIQKSRIPHLHCHPRPLPPRVAAMLKILVPKLLDKQGGQLLAVAVLVFSRTWISDRIASLNGTTVKFVLEQDKAAFIRLIGVSILQSAANSFVAPSLRTLTAKLALGWRIRMTNHLLRYYLKRNAFYKVFNMPGKSIDADQRLTLDVDKLTNDLAGLVTGMVKPLVDILWFTWRMKLLSGRRGIAILYAYMLLGLGFLRAVSPDFGRLSGQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAMVEAKFMKLINHSKILLRKQWLYGIVDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRIFELEELLRAAQRNTVVSSNAMAVASEEIISFREVDIVTPSQKLLACKLSCDVVPGKSLLLTGPNGSGKSSIFRVLRDLWPAFSGRITKPSEGMFHVPQRPYTSLGTLRDQIIYPLSREEAEIKVLSLHKDGNKSNASVLLDDHLKTILENVRLVYLLEREGWDSNPNWEDVLSLGEQQRLGMARLFFQHPKFGILDECTNATSVDVEEHLYRLATNMGITVITSSQRPALIPFHALELKLIDGEGNWELCKINQCMFGMECKDEMQFRKSSME >Et_3A_026876.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24780057:24780359:-1 gene:Et_3A_026876 transcript:Et_3A_026876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGFIGVGGLAASWWSRRRMRPRAEEPMLLCLAGRALELRSRPAPVPRDCAQISCAVRARVFLPDLRLRRCRCSDGETSTNAPPAPWCFAATGRFSATA >Et_4A_032162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21841480:21841935:1 gene:Et_4A_032162 transcript:Et_4A_032162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLLHLATPVLSPRLSLRLRHPSAAPLHRRPQPQAYKVTIEHGGASRVVEVEADETILSRALDEGLDVPHDCKLGVCMTCPARLVAGEVDQSDGMLSDDVVAQGYALLCAAYPRSDCTIRVIPEDELLQVQLATADD >Et_2B_022557.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2646955:2647347:1 gene:Et_2B_022557 transcript:Et_2B_022557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRRSPRSVLLSDDSSRLLLRAAHFARAMSTAEDTTEPSAAPRKQKAPHHQQLATAFRCPSCKRPDSVECRIDPKGKVAEASCWACAASYTTAAHALTEPVDVYGEWVDERRRAKDGVDSDGDVMMMC >Et_4A_033996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28935614:28938638:-1 gene:Et_4A_033996 transcript:Et_4A_033996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVHGALLFLFLLMAGGAAAADGETAPQEPTLPSGAGGAGGINSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGKHNVTIFAPRNEALERDLDPEFKRFLLEPRNLRSLQALLLYHVLPARLPSESWPDAAHPTLSGEDVELASASSVMRVGSAAVTKPDAVLRPDGVIHGIERLLVPRSVQEDFNRRRSLAAISAVLPSGAPEVDPRTHRLKKPAPPVPAGAPPVLPVWDAMAPGPSIAPAPAPGPGSGKHHFDGHSQVKDFIQTLLLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVTAREADGSVKFGQGEGSAYLFDPDIYTDGRISVQGIDAVLLPPDDTKMAPTAATPDRKPPAVISIKKKKNKLRRGKLLEATCQMVGIFGQRSRFASCQ >Et_1A_004945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18296027:18296962:-1 gene:Et_1A_004945 transcript:Et_1A_004945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNKLVAAALLLPALLLAVHAPTMAAANTVLDPAAVAAAKQSNPNVRVAVSLGGATVNDRPVFFNITSVDSWVANAVSSLTSIIQPETFAECVGRLVTELKGAGVIRFASIAPYDNADVQRHYQALWAKYGAVIDYVNFQFYAYGASTTVDQYVQFFDNQIANYPGGNILASFTTAPTTTSVSINTSLTACQTLQSQGKLYGIFIWAADHSRSQGFKYDTQAQALLANAGSY >Et_1A_007191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31959254:31959926:1 gene:Et_1A_007191 transcript:Et_1A_007191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTDGPIHPIPGLTVQRHHAESLRQFVLSLLVGGAAAARSGDMDTYDVRETNLGLSRAEGRAEIYLVHVDGSELSIWLYSTDTSGVFGWKLADKVDLPQAFGHLADSKGLSVGGICNVSSVGDNANFVFLQEVKKVYELPLSVRDLYLSHRLMVNVDPVMMISSPSFRVPKRGLDQ >Et_7A_051430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18368915:18370571:-1 gene:Et_7A_051430 transcript:Et_7A_051430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRMKLGSQGFEVSALGLGCMGMSAYYGPPKPEADMIALIHHAVSAGVTLLDTSDIYGPHTNEELLGKAVQGGVREKVQLATKFGIVADAADSEGEIRGDPAYVRAACEASLKRLGVDCIDLYYQHRIDTRVPIEITVGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPVTAVQLEWSLWSRDVEAEIIPTCRELGIGIVAYSPLGRGFLSSGPKLVDTLSDQDFRKDLPRFQPENIKKNAEIFERVNEMAARKGCSPSQLALAWVLHQGSDVCPIPGTTKLENFNNNVAALSVKLTPEDMAELESYALGDIVGDRYHDFLNTWKDSETPPLSSWKAQ >Et_6B_049983.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:2584507:2586216:-1 gene:Et_6B_049983 transcript:Et_6B_049983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLPSPLLPLLVLLLIIITIANDANAEAGEPWQEPIEVVSTAPVPPSPPAGEWQLLHANIGVSAMHMQLLPGDFVLMFDRTDTGPSNLSLSALSPCASSNCTAHSVLLDLRSNALHPYPLATNPWCSSGALLPNGTLLQTGGFGADGDRVARLFTPATGWAELPSFLAARRWYASDALLPDGRVLVLGGRRQFNFEFFPHDESPANAAAVTFFPFLEETTEVDAEDNLYPFLHLLPDGTVFVFANDRAVVFDPYNRAPLRRLPPVPGGVPRNYPSSGSSVLLPFRSDDTSVEVLVCGGAPRGSYRLALRNGTFLPADRTCARVAPGEPDPAWALEEMPMARVMGDMVLLPTGDVLIVNGAGAGTAGWELGREPVTRPVLYRPGAPLGSRFEALAATSTPRMYHSAATLDTYGRVLVGGSNPHVGYAFGANVTFPTDLSLQAFLPPYMDARLDAARPRILAAPAEVGYGEATAVRFEVLGGVEGEGIGEVRVAAVAPGFATHSLGMNQRVVELAVGRVAQLAVGVYEAEVAAPPTPGVAPPGYYLWFVVHAGVPSSAAWVRVRPLGPAT >Et_7B_055529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13111673:13115638:-1 gene:Et_7B_055529 transcript:Et_7B_055529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVKAWVGDNYEKPMASMQHSLQVAYVVFSFCAAFFLGGIKAMVVGPVAAALMILGNVGVILLLFPAHVWWTIYSLVKTDRINAGLKLAVLIALPVLFGLWLGLSIFGSALVALGYGFFTPWISTFEAFRQESETKKFWHGIVDGTWGTIKGSCTVVRDFADLCFHSYPVYLKELRESSQNREPHSIRLLDVPSCIVVGLLGLVVDIPLYTVIALVKSPYMLFKGWQRLLHDLISREGPFLETVCVPIAGLAILFWPLVVVGSVLLAIVSSIFVGLYGAVIVYQENSFRRGVSYVLAMVAEFDEYTNDWLYLREGTILPKPSYRKRKPSNSTEFSVRTNMSVKGAESGPNEAPAMLVPNLAPARSVREAIQEVKMVQIWENIMKSCEQRGRDLLNLNVITTINLTEWLRAKERGPETIGLGLPSYSLLCTLMQSIRAGSGGLLLGNGVEVNQHNRPQDRLLDWFFHPVMVLKEQIQVLNMTEEEVKFLEKLTLFVGNPTSASAWDNGAAIPQDPVRTAQIQAISRRLVGIVRSLSKFPTYRRRFRHVMKLLVAYSIEREGSCRSSASSQSASFFEITQLEV >Et_2B_022149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9712612:9713550:-1 gene:Et_2B_022149 transcript:Et_2B_022149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPGLKRAPYGIPARPALSVTHVRPAKISNPPDADYAIKLSLFVIMCLPYWLSQRVFFYEGDDLPPYPALAMLPSNRGPSCRIQQSA >Et_1B_013664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11325617:11329136:-1 gene:Et_1B_013664 transcript:Et_1B_013664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCDGEDDFGLLGDHDAHHIPAETKPNPFHPPLLQGFYIPVTLPVSSGFAAAAPAAGSFTLDAWDETVDRKSQQAATTKRRGGAEEGPSDVDEYCSYLNSGASHSQGNKKGRRRGGASSPRAEFLDNREGWSEEAICSLLDASIERLGGLGQLIRKYLTRQDWEDVTAAVTKQHRARDAGGSKSVEQCKNKIENLKKRYKAECTKIADGRRASSNWPWFKKMELILGTTGDIASPNPAFSTSDEKPRLQQQQNNERMMASVMNAVLLKASLEQIGIETRVQTSLMMQDATEPYIRRQAIRHLEKGRVVIFGGTGTSMGNPLFTTDTAAALRASEIVPFDMLEPGNISRALCGDQRPEVVMYNSCAP >Et_2A_018423.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27600320:27601030:-1 gene:Et_2A_018423 transcript:Et_2A_018423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSPPQSSSTEPEEYMTVWSVPPKKPAGRTKFRETRHPVYRGVRRRGTAGSPGRWVCEVRVPGRRGARLWLGTFATPEAAARAHDAAALALSGRAACLNFADSAWLLPPLMPAAGAMAGAREVKRAVAEAVEALRRRAAPGTTSSSDEENDGCGSPPAAPSSPDAEDGGRGSPAAPSSSDVVFEFDDGFRFGGMDDAGSYYASLAQGLLVEPPADGSWWEDGESAGAEVALWSY >Et_1A_005695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12977407:12984520:1 gene:Et_1A_005695 transcript:Et_1A_005695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATTAAVLASPATLSASPARRGLASFAHTLRSGRPSSHAVSLSGVRTHVAAVEQAAVQDATKLEAPVVIVTGASRGIGKATALVLGKAGCKVLVNYARSSKEAEEVSKEIEASGGQAITFGGDVSKEADVESMMKAAVDTWGTIDVLVNNAGITRDTLLMRMKKSQWQDVIDLNLTGVFLCTQAATKVMMKKKKGRIINIASVVGLTGNAGQANYAAAKAGVIGFTKTVAREYASRNINANVIAPGFIASDMTAELGEELEKKILSTIPLGRYGRPEEVAGLVEFLALSPAASYITGQVRLQFLYVFCFVDF >Et_3B_028590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17075984:17079235:-1 gene:Et_3B_028590 transcript:Et_3B_028590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFQRKNSKRVKETDGSPKKDGSRGKNDLFNRARGGLDALAGSLQSAKNDAEAATEKFQGDVKSGIETILHTGSGILEKAKAEFGSHSEASRSKELGSKDKKPEAEEKTNDGVAAQNVDGSASVDTTEGLGAVEHAVEEIQDVVAGVQQQQQTARDETEASTEVSAAETSAEADKPEATTREAEKDDPSKRIGFLGFFAMLFERFCSPANKKKD >Et_7A_050297.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:21262579:21265970:1 gene:Et_7A_050297 transcript:Et_7A_050297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDEPLVQESAAEIVSGGGPSIRPAFFLLPRPDAARPPSLPSQPRDPGPALDVELRAEFKGWAGSPRLWRRWVAKLRRRHQRLWREVGILGAILATTRRVRRDEAALLQLAAFWSAGTNTFIFPWGEATVTLEDVAALAGLPLVGCPVRAALPYELQKEVAALEAVRFVLNRSTVRKPTYGAWVKHFLERAPDKGASAGGGGGDDDARELLEHGAFLSMWLSLFVFPAPPFNVVRREVLPLAVRLARGQNVALAPAALASIYSDLSGLKRHFTLGKEKEPFGVSAPMHILQVWMWEHFPELRPAMVSSPDPGERGAPRAVRWHDVFKTFDPKYIRAVFMSPKDFEWRPYGSSTFALPPETSGCWVYGQDIAGSPKLLSFARCLHPCELVGMNCIEKYRPHRVARQLGFDQDVPKAVVRIIPKWKRAWDTYNIKVENSSFFVPNHKPGVTVEYVKWWKPYSLACASAVANAARMKRCRVSVTPIKRKMEGLPAANSGKKLCVNAMPQPASDETEDPQDHIPLVKRLNSIIKCRTEGLVKSVEQEKMAESPKKCFSPRILNVGAIKAFTNSNVEQDLSDVPASSASIIGESSCGLVETKAQGNYVQQSKEKDLVIANGENNRRSECDGVLLHNAVQGAMSTGSNEAVGATEDVDMLPTLEDFLVISDDESDEVSEEGEVSTRHMGSPVFEEETIGTMHLKSPKIETVGSILQETNEEKQLAGENIGDDDPMLKDFSVQSNRDCELATALNDRSPRKEPHVASLQTDAGRLERPTQRCFVAGEVDNRDKVHREDSVEANRKESGMKYCCSGSSILHISLSGYQTPLFNSLTLFQETLRVPLAGL >Et_3A_023460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28946251:28947041:1 gene:Et_3A_023460 transcript:Et_3A_023460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPWVKTRPSSSPSSATSTCSSSSTALAAVSPRVSFSSPSRRPPRRALLHLAPCHPQHVRELPRRARHPTRAPRLRRRARPRHGPALPAGTRRAPPARGTPRDPPPGLRRRSPPRRRRGGPPPPRGRRAPPRRSWLQHRTPLRLRSVRRRAVRALRQLRRQPQAVQRQGRRRLPHLRRLQREWPRPVPRLHPAGRLMIQIQIKGPIG >Et_10A_002276.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:6848856:6849251:1 gene:Et_10A_002276 transcript:Et_10A_002276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLGTGKDKDGKPERIRDRQRRRFASVDEAIALDAARRDSQLAVQKILQEMMGVVKIETGDDGDDESVREVEEGVKKLTMDHSLAAKDAVKVQAAKAALDDRLLAVGNAAHEPVPASDEKASDPTFASGD >Et_4B_036712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10917942:10923868:1 gene:Et_4B_036712 transcript:Et_4B_036712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGDPLLFPPSGSADSPSKQQAPARCSVGSLGCLCRTDSFSSSLYEDCDTASVNLVDEGETVPRHLQEDLDVSRAAERFQSADSHFFHRLSVECSQKDRQRKVSWVGVMEMQHSPSSVEIGMVSSSQEKPNRSQRVRNKSTQFEDPFSSEHDPRLIYINDPDRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVFQYGDFRSKKWKSICAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETTSMVCEGSYSGLIKCEQPNRNIYEFTATMELNSQRIPLGQSNIVLRGCQLKNTEWIIGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLIICSVVATGMGVWLFKNSKNLDALPYYRRKYFTFGRENRKDFKFYGIALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFQQASIYGKNYGSSLQSLRQNGRKPKSVINVDSALLALLNQPLVGEERLAAHDFFLTLAACNTVIPVSTESLDSINEVDEIGAIDYQGESPDEQALVTAASAYGYTLVERTTGHIVIDVQGERIRLDVLGLHEFDSVRKRMSVVVRFPDNNVKVLVKGADTSMLSILKRGIGDGLYDSLHDKIGEATKNHLSGYSNEGLRTLVIGSKNLTDAEFTEWQERYEEASTSMHERSAKLRQAAGLVECNLTLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQNMHSIIINGSSEFECRRLLADAKAKFGIKSPDGRRDSRGMEDLYNGDVSKPGSSNGHIPESAIQNLQLTGVVAGDKSEHSEKETNFDDAELALIIDGSSLVYILEKDLESELYDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMLFWYILYTAYSATLALTDWSSVFYSLIYTSVPTVVVGILDKDLSHNTLLYYPRLYEAGLRNEGYNLTLFWITMLDTLWQSLVLFYVPFFTYNVSTMDIWSMGSLWTIAVVIIVNIHLAMDIRRWVLITHLAVWGSIAATFLCMVLIDSIPIFPNYGTIYNMAASRTYWLSVILIIVLGLLPRFLCKVIYETFWPSDIQIAREAELLKKLPQQLGSRPDNDIS >Et_9B_064612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1694780:1696960:-1 gene:Et_9B_064612 transcript:Et_9B_064612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFALDFLRRLLCAHTTGNTDGGVAARSEEAPGHLSAPEPEGEGRSPCIVARLMGLDAMPATEAPHATPQPQPLRRSRSASSAEGGRSPSPSGLGDAAAAAPAVVVRSSASLREKPAYLRAENDMFLLLSFSPEDRGAETAVLLADNDEAEPRVSGAKNKQRRGRRRKLRFGDDDGDEAESASALSGRRDCNAQGSSPVSVLEARDVHEESSTTTTTTATSSSLEDVEPCSATSGEAQFALEQHSSQKSQPDLDQLDQVSPARSSVHASRCSDRERRHRRTMNKYEVSTSDVSVWQPICRVVEEDIRTMEWLPRDGANVVAEMESDILDQLLCEATVEMVRLTPDVYALPLPSLFLQKCSGKTSIWRYPSPRQIQERQAVGG >Et_3B_031451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30050609:30052810:-1 gene:Et_3B_031451 transcript:Et_3B_031451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGVKIYSVFFKLFLRHRLQSLANGAAGDPDAAAFGVSSRPDEATAPANPAFSAADGVASKDLHIDPKSALSVRIFLPTPPPQHAHPRRASDPAPAAAGAPYRGYLPHAVSSPRAAASARRRLPIVVQFHGGGFVTGSSSGAANDAFCRRVAKLCDAIVVAVGYRLAPESRYPAAFDDGVRVLKWIAKQANLAMMSKVGGGVDTFGASTVEPWIAAHGDPARCVLLGASCGANIADYVTRKVVEDGKLFDPVKVVARVLMYPFFIGSVPTHSELRLANSYFYDKSTCMLAWRLFLSEKEFNLDHPAANPLAPGRGGPPLKCMPPTLTVIAEHDWMRDRAIAYSEELRKVNVDAPVLDYKDTVHEFATMDVFLKTPQAQACAEDIAIWMKKYISLRGHEFSY >Et_7B_054841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4797625:4799887:-1 gene:Et_7B_054841 transcript:Et_7B_054841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSTSSPACRPAPSRHHRQLLVGDLDFWLRRRRHGPPLPRPALHIAYMATASVSAGCMFHGFHMAQLAGARNEAGGQQRNHDHDLRRALIDPEQPYNNIGCHKYVGTCNGVVLLARGRFYGGTSTVILFNPAVAGSEEEVRVELPDPPSDQRSCYRVAGFGYDPSSRRHKLLVAREQEISGKSVNLDGKVYLLADYSKVLAFYAEYEIITTIDLPGREQRVVANNQLGLMEMSGRLCVATVADHNVKFVVWLLKPGLQRWEPRLGCWHLAGQKKLVGCCWDCGGGGAVLLLSHGYGKEPRLHLYDPTTEWFHELHVPRVLVDNKQEIDNKPTSRLAFCWGYQPTLVSPGSIVGAPPRQRGGGDGHAAALNPILQQYVKAGKEQTLPAVCFVDMLLYIMRQLPDRGASDVTREFNHNGVVAQLASA >Et_4A_034724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5874939:5877680:-1 gene:Et_4A_034724 transcript:Et_4A_034724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTDLVNLNLSDCTDKIIAEYIWVGGSGIDLRSKARTVKGPITDVSQLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRKGNNILVMCDCYTPQGEPIPTNKRHNAAKIFSHPDVVAEVPWYGIEQEYTLLQKDVSWPLGWPGPYYCAAGADKAFGRDVVDAHYKACIYAGINISGINGEVMPGQWEFQVGPSVGISAGDEIWVARYILERITEIAGVVLSLDPKPIKGDWNGAGAHTNYSTKTMREAGGYEVIKKAIEKLGKRHAEHIAAYGEGNERRLTGRHETADINTFKWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTILWNGK >Et_3B_030547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4921596:4926353:1 gene:Et_3B_030547 transcript:Et_3B_030547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKNVAKAMDSGASKKPRIAGKPAAVAKEPQQVEGDVAKTTIHAGLDASTLECPICSLPFEAAIFQASSCCSLVHGICSCCHEPIGDVRCVPLEKLIAGMVVPCAFARNGCTRRLKFAEKRIHEALLCPHAPCACPVKGCAYSGVNLHDHIRDAHAAAGAGDAAAAAVLSFVRSTPVTIHRGTPFRVLLHAADARVFLLLNGGDVPGGRSLSVVCLGPRPAGNQALEYKVEVSAGGAAATLSMSATGPVPCTRRWAGHYPTDGFLFVPDAYWSSSGSVSVTVEVRKPTRCASGKKAAGSCSGSAKKAKTPRKGSSKLNEVQEDDGTLDFSMDPDVLECRICFNPLDAEIYMASSTIRSCKNGHGACSNCCLHMNWKCGNCSDPIGDLRNRQLEMVLAAMFTTCKFREYACGESVKWDDHAPDAAYVLSFIRGATVTLNKATPFVVLVQPGRGLVFLLLNGGDVLAGRSLSLGCLGPRPEGNAELEYKMDVTGEEPGALALSAAGTVPCARRLEGFQAKQFLFALALWAENAVAVAATLGEEEDPVALADSSPERPEQPRRRRGGPRPSQPRPACAHDRP >Et_3A_025353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29132961:29134005:1 gene:Et_3A_025353 transcript:Et_3A_025353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDLSRIAGDWILEENSRTETTLHADSTATFLDEPQMMTSVDPSSAPAYLDVEAGSSSPTVHVELTNFNDKVASLLEERNIFGVSIQSRHAQVGTQPPGDQVLGWLHLGLLQTRKKDQTASCS >Et_3B_031233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22114735:22115073:1 gene:Et_3B_031233 transcript:Et_3B_031233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVRPVQLPEPASQCPARLRAGALPLPKASARVPSLPFWPQYTAILMPAPEAACAKSKQAQRSLQLQAIGGSSASAQLPALLRSFGLLAQFRRDL >Et_4B_036562.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29696058:29696423:-1 gene:Et_4B_036562 transcript:Et_4B_036562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHASYSRENGRALAVLGLAASQSAAALQALADNHDAAASVVARRATEAASEAVCAKTGARIGIPAIVRVAAGTNASAPPVVCGALRALIGAVAVDANSTDAAVKVFLKLHEVTAASSAAM >Et_8A_056608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13407626:13410239:-1 gene:Et_8A_056608 transcript:Et_8A_056608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GKDSNVWLIFPPQSEPLKAKGVELTPKSELLSHDEIIRIANLFVTSGVEKIRLTGGEPTVRKDIEDICLHLSSLKGLKTLAMTTNGIVLSKKLPKLKECGLSALNISLDTLVPAKFEFMTRRKGHSKVMESIDAAVDLGYNPVKRQKFKGVERLQDHPTETAKNFRIEGHVGTISFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLREPIRAGVDDAGLKEIIGAAVKRKKAKHAGMFDIAKTANRPMIHIGG >Et_5B_043098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2761257:2761577:-1 gene:Et_5B_043098 transcript:Et_5B_043098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSTATCIDIILAIILPPLGVFFKFACGVEFWICLLLTFFGYLPGIIYAVWVITKQ >Et_1B_013396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8021888:8028155:1 gene:Et_1B_013396 transcript:Et_1B_013396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYSNEELQPALPRYSHPRRQEDGPGTFASLCAAFAALVFVACLTAFFWYVNQNMMSPAYTVVITGVSGLDNPMTSPDTKEGGVLVNPVFNLTVGVASKSSLYGACIDPHTIVKKPPPRPLGSASAYSVSLLILGNCAPETSTKPMRLESTVVSLESGRSLHVARLMESVALPPRAKPNCSNRMMGRGSDTTGVVLISAWASGASTRTTTAVATATAAPRELWMTDSARDGARMSTVSCEPVDQSFRLHIAACLFPIRNHFCECVMAMDKRLIDEHGVF >Et_4B_039209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8857480:8865788:1 gene:Et_4B_039209 transcript:Et_4B_039209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSSRKHRRIGEDEEVEQDEEEAEEELERFDDFTIASSWERFISEIEAICRQWLADGPKILMQKSAESVPPFENLYLVKRELKHGKRVYSMEYHFMKSAKGQNSHWDDDTHSMQLSFGVNDFLIIAPLSASGVVLDDPESTKLLSSVAIALSNCGSNWPAFVPVHDPSRKAYIGIQNLGTVFTRRFEADRIGSQVPIRLMHLEGLHELFLSKFVLSLTDFPARVKVHFSMKLTYRTPHFDYDNETLGPEATESLTENEVPNHPRKQWDDDCPWAEWYSAEDPVKGFELTAIWGERTFEETLEMAEVENASSFDADNWLLHPVISRYMVDDSIGKFVGFASQLHLLVNAYEASAEAQFLEDFVADNPGQDNSKSTATVPPPSVIDRVMKDLFNDEAGNSDYVDAENKYSRAMRGAPSDSLFGQFCLHALWFGNCNIRAIAVLWIDFVREIRWCWEESERLPRMKSNSSIDLSSCLIHQKLQMLAICIERKKAHKDETSNATAVQKSRKGSAGVVPSMMLLNTFQEMHAPYTQVLHVLLFNFNMELCDAPLMTEDMHEERVHAAEAFGNAIGLSGQLERDILSSAANPDAVFEDFIRWHSPGDWVSEDKADGNSSWPPKGKLSQRMSEHGNVWRKIWNDALALPVSEQKFLLDPVREGEKVLHYLETLRPQQLLEQMVCTAFKSASDILNKTTYGGFKLMKTKMDQLYATMASTLKSLQGNSDISDLAGDLKRLCQVFEHIEKLLILAASVYRKLIDAPRLAQAIFTDYFNYYLPKMGTNLESICYEKEFTTKEKVGMHERDAVSNLFPPPTANQSWRKVLSMGNLLNGHEPMRREIIFSVQDRMSYGHYSSPTPLRTDEHIQTHRMYISGTSNDLWVALSVTSWD >Et_8A_058496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9686761:9688127:1 gene:Et_8A_058496 transcript:Et_8A_058496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLPILCLAILVAAAAVPAHAIFHFDFRTDMMSPFDSGYSRHDVWRRGALASDARVAKHADALGKSLGKKPKKAAAGDISESDAAAGNVNHWMSVGIGTPPQPAKLIIDTGSPVTWVQCASSPGPKVKQQADPIYDQAKSSSYKVLPCSDRLCDGQGGGVINCTSDNKCSYSVRYTILSSEGDFATDTFTFGKKRNVQAPLAFGCGNVALASASGPDLEGASGTMSLAWSNLSLVSQLSVPKFSYCFTPFAERKPSPLLFGKMADLEKYKQAASGPVQTVDLIKNPVNEIHYYVPMVGISVGEKKLDPGAGRQRRRWHIVDSALTLAYFAEPVFAELKKAVAEAVKLPPAKSTAPEYQVCFALPNGTPMGKMAVPPLRLHFDGGAEMVVPRDNYFQEPSPGVMCLAATVTPDDFFPNMISNGMQQNLHVLFDVKNRKMSFAPTQCDKL >Et_3B_030854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7986815:7989801:1 gene:Et_3B_030854 transcript:Et_3B_030854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPRSLCLAELLEVNSSSMADWASVQTDIVGIIVKKLPIPDYLRFRAVCTSWNHACRDVSYHPRVDPWLMLQPNSQDGVKFFCVPEKKNQIIRLPSTFSLFGSVWTPVGSSHGWLIYFSQTHGTMQLVNPISGRQIQLPPIGRRAFSKAVLLEMNERNFIVAVLYGDQKGYKVTRKGYTSWSLVESKHILEDIIKHKGHLYTSDMYGTVEMWAEPPRAWPDEEVTHQWRLRCLVETPAGDLIRVKRQGPNKFSVWILDKETFSWVEIDNIGDFALFVSHYNSVFFVAKDHPNLKANCIYFIDTYNNLCAFCLEHGTKELVQDLAIGRAHSESFRRRPQRDQLLWFIPSLK >Et_8A_056980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19751731:19765154:-1 gene:Et_8A_056980 transcript:Et_8A_056980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRIGEGDGPWLRSANNFIGRQVWEFDPNAGTPDERAEIERLRQEFTKHRFEKRFSSDLFVRMQYAKENRLQQVDVPAIKLVESDKVTEEVILRSLKRALTLHSALQAHDGHWPSDIGGLLIVIPITNEDGGWGTQEVGPSTMFGSCINYATLRLLGEPSTNEALTNGRAWILSHGSAAAIPQWGKIWLSVIGLYDWSGNDSLVPELWLVPRFLPIHPGRFWVFSRMVYMPMAYIYGKKFVGPITPTILSLRDELYDVPYNQINWEKARRSCSKEDLRYPRSLVQNVIWTCVNKIAEPILNRWPANRLLRDMALKEIMKHVHYEDEITNYVNLCPINKWYDGSQTWETALIVQAYCSTNLVNEIAPTLQKAHEFLKNSQVRENHPDCENYFRHRSKGSWTVSSVDNGWSVSDCTAEALKALFLISKISPKIVEAPIEGESLYDAVDCLLSYVNKDGTVSAYEPKRTTSLLEVLNPSETFVKIVADYPSVECTSSVLQAFIMFSKMHPGYRKVEIEKCIESTTKFIEDEQLKDGSWFGYWGICFTYGTFLAVKGLVASGRTYENSSAIRKGCSFLLSKQLRTGGWGETYLSCETKVYVEASSAHAVHTSWAMLALILAGQVERDPRPLYHAAKELINMQLPSGDFPQQEHVGNYNRNLYFNYSNYRNLFPIWALGELRSRLLAQKKLNVFAVMCNHLARNCPHSVTRSDRRLANMVDHVFSSSCQPLGHLLLHLFSIKIKYEHESVTN >Et_9B_065147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21579085:21587428:-1 gene:Et_9B_065147 transcript:Et_9B_065147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPAAGAGAGHPGSAGKGSRSSTRHRQFRDRAKTRVDDLQEIFSGLQSARKESRSADAAVLEEQVHQMLREWRAELSNSQGNNTGVPDPPSETLRLLQLAVAEEEDDATSKLANPRSPLPVPDSHQNLYEHGHGQDGWMSNAQQQGEGAQQSLEHGVQEGCGEVAAAANAMFSDQMYFIDHELSIDDFLRDDDDYKINLPESDQGGHNNLEGIDQLEHQQFDFPSDLPSHSSYVDMNNSMQDTGDVFVHMSDMLTTICPSPSQFLGPKCALWDCGRPVGGSEECRDYCNPYHAGLALNDDGLGTIPVMRPRGIDLKDGPLFTALIAKVQGKNVGIPVCKGAATSKSPWNAPELFDLSLLEGESLREWLFFDTPRRAFESGNRKQRSLPDYNGRGWHESRKQVMKDFGGLKRSYYMDPQPSSNYEWHLFEYEINDSDALALYRLEFKSSDAKKSAKSKLPSSSLNEIQQQMVRLTADSPVENKRTARNKPKSNQKDSRANVYPALNTPSQASAPSAYQPVPQMDQMTFLNENVVYGPHLPHSENIVYGPHLPHSENIVYGPHLPHVYSAEGGSFWNPSDRAG >Et_1B_011960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27536003:27537301:-1 gene:Et_1B_011960 transcript:Et_1B_011960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYSSAAMTEAALGRAMTWAEAAWFRYSAATPDFCLYYHNIVILLLVYTVAPLPLALLELRAPPKVTSAYKLQPRVRLSQAAFFRCYKDTARVLILTVGVLQFVSYPAVKMVVGIRTGLPLPSLGETAAQLVVYFLVEDYLGYWIHRLLHTEWGYDKIHRVHHEYAAPMGFAAPYAHWAEVVAQGFPAFVGPAIAPCHMTTLWLWFVIRQIEAIDTHSGFDFPFNPTKLIPFYGGSEYHDYHHYVGRRSHCNFASVFTFCDYIYGTDKGYRYHKASLAKVVVALQLDANRNFWNYYTSSSAN >Et_5B_045511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3398739:3401436:-1 gene:Et_5B_045511 transcript:Et_5B_045511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLAKLGTPSPPGCSKTIRNYLGAGCTIDRRKLLLVPAISVGIGSFQYMFGKAAAKAEFADMPALRGKDYGKSKMRYPDYTETESGLQYKDLRVGDGPSPKTGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGGDKDFFKFTVGSGQVIPAFEEAIQGMAPGGVRRIVVPPELGYPDNDYNKLGPKPTTFSGQRALDFVLRNQGFIDKTLLFDIELLKIYPNQ >Et_1A_007317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33201844:33206859:-1 gene:Et_1A_007317 transcript:Et_1A_007317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFTHEDWAWALSATCTKLEAKLAKGSRRAEHRLACNGATPPASFSPLLSLSRTLRSRLADRPREEEAAPAAMRRRAAASSAGRSSKSHGVAEHIEDEILSHMVHKHGMNNWHTIACALPDRHAIQCRIRWKYSLNPAINKEAWLQQEELKLIRAHQVYGNKWADMVKHFPGRTNHSLREHWRRNLKGKLDSYLASELLEQVPDLHEDLSVTQRSKSDIPKDKNVPSDKNGFSPFFQPSPKSKRGITSLGENADTTEENSSNFVPPESLDVRPVKFSEKIISQSQQRARARRKLDFQSTQVKAFAAASTPATSQMSQSRRGQLCSSVCSVPSPNALSKSVATVPSTAAGDGDHPSDVHSSGTLDAFSLEAQKDSPSDRLDMSYCDSLMIDPPIAPVATRVEFLSEVCPDAYGVWQLYLDRDTANAPPKRSGKDRFWCIVSMFDGIPLLCHCYEL >Et_10A_000043.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18702796:18703185:-1 gene:Et_10A_000043 transcript:Et_10A_000043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGYWPQDTPSRDGSRVMYRAGDPVMRDLHRPRYWVFRAASWAGVASSMVMTASLLVRMPVESCHVRYSFLAAYTSLLLVFAASQTKTHLSLDVIVCLAVLAFLGFIVCNREENRARLMRVLCCCGAN >Et_4B_036038.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:15702618:15704479:1 gene:Et_4B_036038 transcript:Et_4B_036038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPGKRNPAASFTDDLLVEIFSRLPVRSICRFKCVSRSWRNLISHPDHRKKLPQTLTGFFYESISGERFPVKAHHFTNVTGKGIPFIFPSFSFLPVPSSDVVLLDSCNGLLLCRCFPPGPRNADGLRPFHYVVCNPATEKWVMLPDGSGVSGEARTACLAFDPTISSSHFHVIEYVEDEDGYITGVEIYSSETRSWNLVECGWINDVKLYDSRRTVFLNGFVHSVTFSDAIVAVDVEGKTWRTTPTPPNNEVGFIHRDQGHLCFINVHSDDVFKLCIWTLEDYATDRWTLKHTVSTLRLFRGKKIRFDLDCEVIAIHPQGNIIFFISGWDKTLTAYVMDRREVRVIRNLGHDCCTPYLPYVPLLSDSLADWC >Et_7B_055250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8737679:8741302:1 gene:Et_7B_055250 transcript:Et_7B_055250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CVMAVKVGLRVLALALVVTILTTHKAWANRDCHHEKVLVMYKCKVTIADYVDPSNKCRHDVESSDMACCVMALKVGLRVLALALVVTILIAHEACAERDCHHEKVLVMYKCKVTIAVGTDYVDPSNKCRQAVESSDMACVCTIIEVKDQLIISVAKLVRLARECGNPVPAGTKCGS >Et_6A_047651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9148544:9153026:1 gene:Et_6A_047651 transcript:Et_6A_047651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPRHGRAAALCRPWKRRRRVCSSRCGSKQRDDVNTRQCVPGCWCGALPHQLGRDNCLSGGRQITSRTYGTMLNLQQIDTPKFEKLKFHVRHLLTRAVEPTLRLKAIDLVQRLGVAYHFDEEISAVLNSVSMDSPEFSNRLSNVNSGALLFRFRRQNYSPASPELLRNLLDGKGGFNKTLLEDIEGLCSLYEASHLAFEGETFLDEAREFSAGNLTELMPSMHSHQRKFVAHALDNPLHWTTPRLHTRWFIDHYARDIGADPRMLQFAKLDFNNGKFLMMSFVFCSWWRDANLGEKLPFARDRLMECFYFACGVVCEPSFGACREVVAKIFSLVVLLDDIYDINGTLDELTIFTNAIERWEATATEVLPEYMKAIYLTIFDTSNEVAKLAMKHTRM >Et_3A_023491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30707311:30707685:1 gene:Et_3A_023491 transcript:Et_3A_023491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_9A_062513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23656120:23659943:-1 gene:Et_9A_062513 transcript:Et_9A_062513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAASLGLRSGSYGSLAAAVTGSGGGAGRKPAPGGRGWACRGEKERLQLLHRALRLVGRRRAGVLLLLAVASAAVFCSLFAVVKDETASISIANNYEVSNAIQNSVYPRTTRPLMMSGDQYSASVVNKIELPNRLHLSYENFTHPCEGFSFPPPLVDKKRTGPRPCPVCYVSVDQAFALMPLQASSSPVLKNLNYVSEDSVPANLSNQGSLFGGHPTLEQRNKSFDISESMNVHCGFARGKRPGQDSGFDINDSDLLEMEQCRELVVASAIFGNYDMIQHPRNISEFSKANACFYMFVDEETEAYVKNSSSLYSNNKIGLWRLVVVHNLPYKDPRRTGKIPKLLLHRLFPNVRFSVWIDAKLQLIADPYLLLERFLWRKNATFAISRHYKRFDVFEEAEANKAAGKYDNASIDYQIEFYRNEGLTHYSAAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQISFSTVRDKIRAKVGWMPEMFQDCERRNFVVQGYHREVLEQMIASGRKPPSTADQPSRKLRPGSRKPPPSKKPSVKRKKEKKSSSRRRLPKPAALEMGVM >Et_8A_056545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1254318:1256138:-1 gene:Et_8A_056545 transcript:Et_8A_056545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGPTSSPAAAASFRPPIPPPPPCFDYRAAVLADTRAAAAAKDPALAGLVASGALVRVPRRRFGPVPAWRPPEFEEPEEVWILGTSHLSAESVDDVERVLRAVRPDNVVSNMFSLGGAKFFGAVNRSINLGGQSALALRLLLAVFSSKISSGANRPFGEEFRAARRVSEDIGAQLVLGDRPIEITLERAWKSLSWDEKSKLVISLFRGITSATEDTPDEKTAGSPYELYQKLSGSYPSLLQPLIHERDMFLAWSLKRSKAVNKSKTVVGVVGKGHMNGIVYALISDQGDLRFRDLVGRESSDTWATSVIKGLVRDTIIGLVIWALYELLQSVL >Et_4A_033547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24291456:24296942:1 gene:Et_4A_033547 transcript:Et_4A_033547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWADGTLYCGEWDTSKMTGKGVIQWPSGASYDGDLSGGFIDGTGTFKGVDGSVYKGSWRMNKKHGRGTMVYANSDTYEGLWNEGLPDGFGKYTWNGGNIYIGSWKSGKMNGRGVMRWTNGDTLDCNWLNGLAHGKGFCKYASGACYVGTWDRGLKDGQGLFYQPGSKLPYNLEVSESVTDQDVASASGSSNENVNNDLSFLLQRLCNMWRIRSLFHRPRRISNGTTPVLDDNSGNLLSQDSSTEPLSTDESLQDNGGDKVLVYEREYVQGVLISEKPKDNDSGMLHSSKTQENILQKQAGGPMETIFKGHRSYYLMLNLQLGIRYTVGKITPVPLREVRSNDFGPRARIRMYFPCEGSQYTPPHCSVNFFWKDYCPMDERFVIKTLRKSELKILLKMLPKYYNHVRAYDNTLITKFFGVHRITLKAGRKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKENINENTTLKDLDLSYVFHVDKPWREALFRQISLDCMFLESQSIIDYSMLLGIHFRAPYHLKTASSQQGSLERCGIPDDDLLDYGDKSSWKGFLLVAHEPGTTVGGSHIRGSMVRASEAGYEEVDLVLPGNGRYRVQLGVNMPARAQKVREDMNTELENPGTIEEYDVVLYLGIIDILQEYNVSKRVEHAVKSLKFDPLSISAVDPSTYSKRFINFLE >Et_2A_017720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5437815:5438843:-1 gene:Et_2A_017720 transcript:Et_2A_017720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVASKGAPLAGLLRKLLAAPSGAPSVAYALRPASVAGARRLFSSRGRGAPLSFSSDEEEVSSGSEDDAVDGRRRAHDFSCPMFSPAGLRLLAAQSTDRRVSCILGVSADLSDPFGEPATRPRWWVAKKDEDAVQLKVPMPGLRKEHVKVWADRNTLVIKGEVSADGGGDYDYDDEYELRYSRRIELPADTFKMDQVRAEMKNGLLKVTVPKVKYEERKDVVHVAVE >Et_3B_031591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5519978:5521200:1 gene:Et_3B_031591 transcript:Et_3B_031591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLLSAMVQRLSVAAHLEPPPHHHHQQACSPKTCGGLNISYPFWLEEPGRPPCGPSSFELKCNRSGTFLKSSVFESYRVVSIFMENNSIHVVDENLPLATGCPPPCFNISLSGGMAAFAISKINAGILFLSRCEDPMPEVPPGFRRLPCDNSSFIRLGGEGQFSFDGAIPPGCLLRDVPTLQALDRNGSNFIAGMKNGFLLECTTTVISGDCSKCMASGGKCIQRDNGLGISCGCPDGIQYPLTCGIASCMSKLKD >Et_10A_000939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19332100:19336938:1 gene:Et_10A_000939 transcript:Et_10A_000939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLHLNSTLLPSASALRRRGGLAPSSSSSSRRRCRVEAKIREIFMPALSSTMTEGKIVSWTAAEGDRLSKGDPVVVVESDKADMDVETFHDGFLAAVLVPAGESAPVGSAIALLAESEEEIAVAQSQAASFSSSAAPPPPQETAAQEASPPPPPPPPPAPAAPVVAARPDVPLGSTVPFTTMQGAVSKNMVESLAVPTFRVGYTITTDALDQLYKKIKSKGVTMSALLAKATAMALVQHPVVNASCRDGKSFTYNSSINIAVAVAIDGGLITPVLQDADKLDIYSLSRKWKELVDKARAKQLQPHEYNSGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASQPTMVGTKDGRIGMKNQMQVNVTADHRIIYGADLAAFLQTLSKIIEDPKDLTF >Et_2B_019026.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25473869:25474776:-1 gene:Et_2B_019026 transcript:Et_2B_019026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPVGGAGFVVLLLFLQVAAASTDGDALLAFKAGVSDPTGALASWNATVPFCRWRGVNCTTGRVTSLDVSASRLTGTLSPAVGNLTRLELLNLTDNGISGSVPASLGRLQRLSYLSLCDNAFTGEIPDALGNCTGLTVAYLNSNRLTGGVPAWLGALPNLYVLRLSANSLSGRIPPSLANGTKLYRLDLDQNLLEGTVPDSFPRLANLRFFSVYQNRLAGEIPPVIFNMSSLQGLAATNNAFSGELPADAGARWPNLQSLFLGGNRLTGPIPASLAMASNLQVLSLSNN >Et_6A_048143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7497347:7501905:-1 gene:Et_6A_048143 transcript:Et_6A_048143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVHGCGDLHIRVVSRRLVKAAADSSVALQVLTISNHGILCTDYYTMSCACVDPRLLRGCTAAVPEPLLSPGSATPARRTPPRSRALRRAPLLRGGTRWRPGRVKSPSAHRRPCHCLAHPGPAAQHGHVAGLHGLLVIERSPSTGRRGPVGAASRRGRDAEMPEPAGPTRNLSQRNSSANTLSTLLLTSCRNTSVSPSPRRARHGRRRPNRRHPPGRRGEVALGRVEHDHVPLVLVHLRRQVVQRQRARRVPAHPHVVEVVAGEVGEAGGLRPVAGEEAVGGVEAGAVGDEVHAEVGPPLARVAVPDGVLLEEDGGAAGVERVPQRRVAPQAEHQQPQRRAAVEHGERERDLGARLLRHGPRDGVPDGGVGERRHARGGVA >Et_6B_050028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:425781:433124:-1 gene:Et_6B_050028 transcript:Et_6B_050028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAIDRAVEDLLVECANNVIAEDAVLVGEGMVVFAGATQEPLSASQACTDLGGENQMAHGVLKPAATHATLQDADQATAEVEAPVMAEVETSVSPLTVTGKGEQQVGAAVVQLGEHATRVEVLGAADYTTSTTERSNERSQGSTGALSSYSDVVRGSVSPKGNLTAMFAAETGGTGSQLPVDALESIRKRLLTDAIREELIVPRIL >Et_1B_011857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26580083:26583944:-1 gene:Et_1B_011857 transcript:Et_1B_011857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TFCRAAYGPGGLGRSPRLTRQYVTYQTQTQAHLVVPRTNDTAEQHLLCDKEKKPGNSDQTDTGERRRERQLEPTGGRGESGRAGQSRTSGWWGMAGRAAVRGGRPLLGGGGGGGGGKRGGRPLTAIVAALLLACAALLLLLSLGALSLPGTSDDAGRGAGLARPRPRFRRSAFESETETRGEKGEPWTEVLSWEPRAFVYHNFLSKEECEHLIALATPHMRKSTVVDSATGASKDSRVRTSSGMFLRRGQDKIIRTIEKRIADYTFIPVEHGEGLQVLHYEAGQKYEPHFDYFQDDYNTKNGGQRIATLLISDVEEGGETVFPSDAVNSSSSPFYNELSECAKRGLSLKPKMGDALLFWSMKPDGSLDPKSLHGGCPVIKGNKWSSTKWMRVHEYKI >Et_2B_022841.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6455220:6456614:1 gene:Et_2B_022841 transcript:Et_2B_022841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLPLTVAVLLLVLMPAPLVLSGPVRPVKPLKKPYTRQARPRNIYFKSFMKGVRHGIKDYIKSLPGGQETDDGNGQHDNSADTAGLFIFNLSVGTSTRQNIPGILDITTDLVWSQCAPCTACVPAPIFEPNRSATFSRVPCRSQTCQRAVVNQTCPAANAAPTDDYCGYFTSYGDDTNTTGYLANDTFTFDSTQVPGMVFGCSVASVGDFLGASGVFGFSRGPLSLVSQLQLSWFSYFWASHDSGSDSFIQFGGDKVTPTPSSRSTPLLNSTLYPDLYVVKITGIKVGDELLRDIPAGTFDLRANGSGGVFLSTTVPVTFLEEAAYNVVRNSFARRINATSVDGSALGLDLCYTMQSMANLKVPKLALVFDGADAVMNLSRSNYFFRDNTTGLDCLTILPYRGVSLLGSLLQTDRNMTYDIDNARLIFETVAGAETAAAPPVHYMASSLLMALPLAVWVVLL >Et_1B_014316.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5886342:5887553:1 gene:Et_1B_014316 transcript:Et_1B_014316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFSTSGRFSKEEQEADEEQEDASNSRREIPFMTAAATATTAASASSASASASSSTPFRSSSSGDGAGASGSGGGGDADAAAAVEKEHMFDKVVTPSDVGKLNRLVIPKQYAEKYFPLDAAANDKGLLLSFEDAGGKQWRFRYSYWNSSQSYVMTKGWSRFVKEKRLVAGDTVSFSRAAAEDARHRLFIDWKRRTDVTRDPFRFPRLALPMPSRYGGPHYSPWGFGGGGAGAGFFMPPATLYEHRLRQGLDFRQGMNYHPAPAAVGRQLLYHFGSGRMPPHAPLLPRAPSPLHCTVQPSAASPVVLDSVPVIESPTTAAKRVRLFGVNLDNNQDSSGGGGELSNLQGNALSLQMPGWQRTTTPTLRLMELHRRGGAESSSASSPSSSSSSKREARSALDLDL >Et_3A_024739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23824522:23834342:1 gene:Et_3A_024739 transcript:Et_3A_024739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTLDFTFIRETTLARNVDICYCSGNAQPATLRERRPSTTATAANCSKSSSSEGSAQGRFSTAAGSDDDARFASTAPECSFCRSIQPSSESQSGKAEVANAPIRWAGLVDITSDSSSVSESQNASSSVVLGDGRSGFACSGLQSRTAVATNGCATSCAAVGRSQGRRLKHPSRKSWASADSQDGTSGTASVYPIRWIAARTSSMSLHGARPVAISTTVQPSAQTSAGGPCSSPRATSGAMNAGVPPMGFDDSVCRAQPKSVSLARPSPPITTFRALTSPCTRDSPWRYASARATSPAYARMSRSARRPPFLAASSASEPPGANSRKSWYSSPAAARAPRQGTMCGDRRPCSTRPSRASCAAPPAPADLTARSSPEASSEASHTTAPDAPRPRVRTRLSCLTTLLVESIVYPSSESQSGNAVAANAPILSAGLVDISSLSSSVSESQKASCRVVLGDRCSCFAGRALKSRAAEATKGCATSCAAVGLSQGRLLKHPAKKSLASSDSHAGTSGTASVYPIRWIAARTSSMSLHGARPVAISTTVQPSAHTSAAGPCSSPRATSGAMNAGVPPIGRADSAGLAQPKSVSLARPSPPITTFLALTSPWTSDSPWSARATSPAYARISSSARRPPLLAASSASEPPGANSSKSWYSPPAAARAPRQGTMCGERRPSSTRPSRCSCAAPPAPADLTARSSPEASSEASHTTAPDAPRPRVRIRLSCFITLLESIPILLLMECMRLALRDRCALRSSLPRSPSLRMLSRSSLNNAAFNVLLGDVQSCFAATLEADARNGCCSSCSAVGRSRGFLARHTRRKPLASSDSQAGTSGTASVYPILCTAAKTSSTTPLHGAAPVAISMTVHASAHTSAAVPCSSPRATSGAMNAGDPPIGRRLSSSPRPFTRLAHPKSASLARPSAPTKTLAALTSPCTSDSSPWRPRATSAAYAATSRSARRPPLLRAASSASEPRGANSRKSMYSPPASARAPRQGTTCGERRPDRTRPSRASCSAATAPADLTARSSPEASSEASHTTAPDAPRPSVRSRLSCFASTASIVLRTGWSVGVGGEMFFGIRAREFA >Et_5A_040904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14406406:14411134:-1 gene:Et_5A_040904 transcript:Et_5A_040904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKCTEDLWKSAFSVGTEWENIDKIKEFNWNFENLEKALEPGGDLYGKTVYVFGSTEVKNVMSMVDCPFPPSDKIGITSVQRENEEIVPMKAMKMAWVPYVPLEDRLSRIDCLKTQIFTLGCTQRRSALKHLKTDRVKKFEYCLPYYMPLNPPDEKDGTVVNIIYPMEPPIVCDFDWEIDDYKYFADEIFEEEGFPEDEKEKFTEFVKERVRERKRELKQAKEARKKAIDDMDPKKKEAFEDIKFYKFYPVKTPDTPNIQKKSTYINRYYRHAHYLN >Et_1A_009111.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:29827861:29828529:1 gene:Et_1A_009111 transcript:Et_1A_009111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSVLLLLLLVVLPCWCGGAAARPARSSSSSSSNAGNAAAAAASGFVRSWCAGAEYPSLCDATLAPYAAEVGASPARLSWAALTVTLRGARNATDAMRRMAADGHLAPVAAEAARDCVRMLGDAVGMLRQSVEAMARLGDGDDETAGSGSSSASSRDVRFQVDSVQTWASAALTDDDMCMEGFRAEAAGAREAVRGHVAGVAHLTANALCIVNRMAKQMS >Et_1B_009701.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17326374:17329169:1 gene:Et_1B_009701 transcript:Et_1B_009701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSRLLALLTAGQPPPLRLLLQLHAHVLITGLLSSPYPYPFGPRLVAAFAFSDSASRFSPRPLLHALALLASLPSPPDSTAPYNAALRALSLCAERGHLLDRCLPLYRSLLRSGSARPDHLTFPFLLKACSRMRDPACGAAVLGHVRRIGFVADVFVLNALMHFMAASGSVVYARRLFDESPIRDVVSWNTLIGGYVRRGLPREALEVFWRMVDDGSVRPDEVTMIGVMSGCAQLQDLELGKRLHGYVESNGVRCTVRLLNAMMDMYVKCGSLELAKSVFEMIDKKTVVSWTTMLVGHARLGMMEDARKLFDEMPERDAFPWNALMAGYVQRKQGKEAIALFHEMQEAKVEPNEITMVNLLSACSQLGALEMGMWVHYYIDRHRLPLTVALGTSLVDMYAKCGNIKKAVCVFKEIPEKNALTWTSMICGLANHGHADEAIEHFWRMIELGLQPDEITFIGVLSACCHAGLVEEGREFFSLMSSKYHLDRKMKHYSCMIDLLGRSGHLDEAEQLVNSMPMDPDAVVWGALFFACRMHGNITLGEKAAMKLVELDPSDSGIYVLLASMYAEANMRKKADKVRVMMKHLGVEKVPGCSCIELNGMVHEFIVKDKSHPYTGAIYDCLHEITLQMRHTATTFDISAAGVM >Et_5B_044832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6290017:6293852:-1 gene:Et_5B_044832 transcript:Et_5B_044832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFLLVYATLLPLATAAPSDGLTQGDVAKRLKDELRERNPGHLMLQSWNGDPCSPSSWEGFTCGLKDGALVILKLNFSSKNLQGSIPTAIGNLTELNELDLQDNNFTGSIPASLSALKHLLKLSVNCNPFLSNKKPDGLSTGVDFSYGGCAAEEYQSPPGAASQRIFVIGGVAGGSLACTLALGLFFVCFNKREKRPSKTECSSTTNPIFQDCSIHKTTNPAVQQLSLKSIQNATGNFKTLIGEGGFGAVYRGTLAHGQEVAVKVRSSSSTQGTREFNNELRLLSAVRHENLVPLIGYCCEKDQQILVYPFMSNGSLQDRLYGEASKRKVLDWPTRLSICIGAARGLVHLHNFAGRCIIHRDVKSSNILLDHSMCGKVADFGFSKYAPQEGDSNASMEVRGTAGYLDPEYYSTQVLSTRSDVFSFGVVLLEIVTGREPLDVQRPRAAWSLVEWAKPYIREYKIEEIVDPGIKGQYCSEAMWRVLEVASVCTEPFSTFRPSMEDVLRELEDALIIENNASEYMRSIESTGTLGSNRYLSMDRKMFASGSARMDATGNLQTMPSLPR >Et_6B_049017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16769141:16776230:1 gene:Et_6B_049017 transcript:Et_6B_049017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLENQLPLLLLAKLVAVETSKPMTPDPEDTHDVPASETETILTAVELYESGIRFNKSSTDSLYDIHFRHGVLSLPAVSVDDSSCSNGIIQNAIGSDKAVAMMFESISKDLVLVPENRLDTVHWQVNSHIRKPWIRWRASINYNYFRKPVSTINFMAASGALVVTIIETIYTVVSFYKQKN >Et_4B_039113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:829136:835353:1 gene:Et_4B_039113 transcript:Et_4B_039113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGSCLQAVLLWATLWQVLSLWGTRVAAAASAPAKPKAAPVVSGPVSRVEDARMFQIYYGQNFKVIKNAGDGKSYLLMQNTSKMASKTKYCTGRIKSFVIPLANFSVDTTSSPVLGNLKGMTSNQVASQCVLQSYTSGNVQLVNMTDAQALSQFSAHFISNIDEDKGCNFAAYVPFEEDAPLQRAEWIKYLGTFTNSEDRANAIKANYLCLSKAAANLSTRFKPIVAWIEYTQGMWTFVKESYNLQYVTDAGAEIVDATITNKRFNSSDPEDMDNFHAILCTVDVVIDQTYVSEPAEYKLSTFLENINASRDSCFSFMVNQSIWRVDKRIGGSGILDWYDGAISQPQLVLADLIEVFFPTGNYTTIYFRNLVKEEGVTEIGPDMCTRSMTTPMEPTMKVMPDQLEQKGRQLLTKLKGEFGVIGTHQVLTN >Et_3A_025231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28076176:28079979:-1 gene:Et_3A_025231 transcript:Et_3A_025231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAETGAPPEAEASGSYGIAGGLSTGRKLVPWSSWTEWLFVRDGLFSPYPAAALRRIAAWRSRGSLPIPVDVTASFVEIRTRDPFFLGGMAGDDAMESDEMLMMLYSMAIMRLVNGFVENPHKKTGRSISELAEAVGIPRVLVDIRHESSHRSLPSLRLLRLASIKAFDWLRCIYWERQTNSIPDPQVELRSRLHEIAHFLKSNDSKNSKSGSKRKRSEKLISKTIKSTRRLYYAFPFEVVSIVLEFLLIDAPESTSGDMQETDCVPENHSSHVLISNSDMKIVLLKLSEKEPRLLLSALKSVIEMIEAEEELKDKGESYACLSDEPSKMKRLCSLVLWLVKNIKELKNSGYIGLVHEMGVLSSDKNAVPRFCLAKLLQKLLSLSTIGETCIIDAALLLIEMVNKNNVKEKLRKLPVLSLERLAKVSSSSESRTTRNEQEPIEKATEMMEMFKSQLKRRNNACLAENGSEGLPNRWSVVKSWTPCPIGTVPCSFSSTTVLPAFDITSDHGPENAMLEYNENLEDADHSERFNPQPEELEDESMLEVSTPPQEHQIPDMPDLTFPLKGRLLVGGVWKQMTEEELLFMKSEMKILL >Et_8A_057934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9535938:9542226:-1 gene:Et_8A_057934 transcript:Et_8A_057934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVVSVGKSVLNGALNYAKSMVAEEIAVQLGVQRDVTFVADELEMMQSFLMTADDEQDQHKVLKTWVKQVRDLAYDVEDNLQDFALHSEKKPSRWCILRTLWERRGIGKGVKELKARVEDVSSRNQRYRLIKDGSVSKPAAVEEQAAGADAVVFGSGVESRSTADLADLVGSKEVDLRVISVWGTGGDLGKTSEIRKIYDDEEIKKRFVCRAWVRLMRPFNPREFIKSLTTLVKGVYQSPELAGLFRKHAWVTVKHPFSLEVFLRGLAERLQENAHSISAGENKRTDITRVARGNGKNLAALKYEDLKHELAVLLQEPECLIVLDDVSSTSEWDSIVRYMGQARRIIVTTRERSIAKHCSKEYNNIYQLHAMAEADALELFKKKVFKKDDYNDLRSDLEEQAKLILRKCRGLPLAISTIGGFLSDKPRTALEWKKLNDRISAELEINSELQMIKAILLKSYSGLPYHLKSSFLYMSIFPEDHKIRRKRLVRRWIAEGYSSEMRHMSAEEVGDKHFTELFERSMILPTNRVTHSTGKMDSCQLHDLIRDISISMAMEENLVYILEDGCSSKVQGTIRHLSISSNWVREKDVFDSILDLSHIRSLTVFGEWRSFLISDKMKLLRVLDLEDTSGLRDHDLTHIGKLRHLKYLSLRGCRGVTQLPDSLGNLIYLQTLDVRDTFVMILPRTIVNLQRLHFLLTAGWMHHGQHGVRVTSRIGFLKALHTLSVVDVSWGKRTMKVLRRLTQLRKLTVTGVYGNNSKEFWSAIALHSRLRSLSVQRYSESRDMPTLDVNIPQWIHQLQNLSKLQLSYTRLELDAIHVIGRLPNLSILRLRSLAFMGKELHFLRSSFPSLVVLELSDLPQVQLVYFEDNTMPSLELLQVSSCLSNGVLSGLRLLTSLKEVSLTRVHYSSILRVQKQLKECTKAVNLKVNMGERL >Et_2B_021429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29665259:29667593:1 gene:Et_2B_021429 transcript:Et_2B_021429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSAGGSAERWRAEAARAFQHYLDRAAPHTAGRWAGTLVAAAVYALRVYYVRGFYVVTYGLGIYLLNLLIGFLSPMVDPELEALDAGPGLPTRGSDEFKPFIRRLPEFKFWYAITKAFCVAFVMTFFSVFDVPVFWPILLCYWIVLFVLTMKRQIVHMIKYKYVPFSIGKQARHPPHN >Et_2A_018100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1103287:1107194:1 gene:Et_2A_018100 transcript:Et_2A_018100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVKDVARRSTKKYVEEALYRRLFRQGSTPQAVREEVDSFLDSRKRAFKWEVGVCVHRMRRQALYRPALKLSEVMARRGMNPTVSDQAIRLDLVAKSRGIAAAEKYFLDLPETSKTHLTYGALLNCYCKELMTEKAEALMEKMKELNFAFTAMSYNSLMTLYTKINQPEKVPSIIQDMKADDVLPDVYTYNVWMRALAARDDIPGVERVIEEMKRDGRVTPDWTTYSNLAAIYVDAGLFEKAEGALKELEKRNTSNDLEAYQFLITLYGRTQNLVEVHRVWRSLKRNCPRRANMSYLNMIQVLAKLKDLPAAEACFKEWEAQYIHPPKTKTKDSAATVGTESSTDSSNSQTDVKGTKDKGIEDHDWKHPKYDIRVANAMIKAYVAEGMLDKAIALKKRAKMRGGRLNAKTWEIFMEHYLKEGDLKMAYWCADRAIKKGHSSGRIWVPPREVTERLMVYFELNKDVDGAEKFVETLKKVQKDLGPLVFEPLLRTYASAGKKFPGMRHRLKVENVEVSEETARLLDSICEDQ >Et_9B_063636.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14613031:14613903:1 gene:Et_9B_063636 transcript:Et_9B_063636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRDAVLWTSMLSAYTQGGEPEAGLRFFEGMVAARVPLDAVVMVSLLLACGQLGWRRHGRSVHAFCVRKFLGMPLSLGNALVDMYVKCGDFAFAERVFAEMPRRDVISWSALILGHGLNGRSDVALGLFDRMATEGVQPNSVTFLGALSACAHSGMVDKAYAIFEGMKQRGIEPDLKHYSCMADVLGRAGRVIEVVKLIEEMPFKPDETMLGGALAACRVHGEMEAAEWISKRLMDMSPGKSGYYMSLANIYSDAGRYDDAERIREFMKEVEVDKFPGYSSVESDFCKS >Et_3B_030663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5995330:5996747:-1 gene:Et_3B_030663 transcript:Et_3B_030663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLVRFLPLLLLNCSDLPAHHYVDCFMEQMRLVYDPDANEYRNIPGVETRVPNFGSSTGFQRNPNHTDWCLEVLRLELERMGYRDGDTLFGAAYDLRHAPPIPGQPSLVYARYFRQLTALVEDASAKQQGRKVILFGHSFGGMVALEFARAAPASWREKYIKHLVLVAPLPASGFMETVKYFVTGSELLYVPTATPLTLRPMWRTFESAIATFPSPAVFGDSKPLVVTPRRNYTARDMAGLIADAGAGDGVVEPFRRRELPKARYLPPAPMVPVTCVNGVGNDTPEQLVYRDGDFDAEPEVVNGDGDGTINLVSMQAFDEEMKREPGQRKQYKSVKVSGAKHGNILTQEWSLNLVIQEILEANRG >Et_1A_006786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27589498:27593084:1 gene:Et_1A_006786 transcript:Et_1A_006786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPKKLVLAASSADAGVAAWDLRTGAEDIRLRPCASRPRALVSVADRFLAAAQAPSPGGNSGTVHFYHWDKPQVAIKSFPAEPIHVLIADPEGSYLIGGGGKGNIFLWEVASGELLHTWHAHYRAVRCLALYDFLLVSGSEDGSIRVWDLITLLDEQSRLEANTSYLYNFSQHALPVTDIACFLGGIAVSSSEDRTCKIWSLTEGRMLRSVSFPSIIDSVALDPRSHIFYAAGRDGKIYVSAMGVDVSSQGSDDSSILGALDDHSKAVTSLAVSSDGLLLVSGSEDGNVRVWDTKSQQVTRKFKHSQGPVTNVLIVTPKRINMTPLQPLRKVHSSNGEAEARALILPRPENDIPIAGNSSSIFLERCLDALQKNDGSSRLFEPGVSSLYGASNQQGTEWRSRYLELQDLFVHEVLDQLPSSGGA >Et_8B_060480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17763730:17765801:1 gene:Et_8B_060480 transcript:Et_8B_060480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAVPMAPPPRPPPPPMGEELVDWEEGCTAGESSPPPIWGLHEVQGRIYERLRRTGRHGEALADPAFFQRRLARHLQRMPTRYIADVDMDGKAEDVLLHCRIIDVCADPDKRPVFHARHVKSITVRADCDSGDEELDQPGQRLMEDLSLEGKTVHGNNAVIMSSRTVHVHEIFLEEIHVHEIIFCSVDKRKLLCQRGSFLWDVTVQQVAELLDEVGLHIREGHLYCTIDGFCLAIFSVDGWETEEADGLIAKIYETSAIIAFVALDLDKS >Et_7B_055950.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:842319:843062:1 gene:Et_7B_055950 transcript:Et_7B_055950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGSKTDYQRIYPVAGGSPPPPDHSSSRDKKPPGHDQQQLPITAPPRRKRHHGRCCRCVCCTLLVILVLAVAVGATAGILYLVFRPKIPNFHVDRLTVTRFDVNATSMSVKDAFDVDVTANNPNRRIGIYYDGGEVTASFNGTELCRGPFPALYQGHRTTVQPRIQLTGETRLDSEVAAQLLRQNQEGFVPLLVRARVPIRIKFGALKLWKMTGKANCNLVVDNIRAGQPLRIRSNSCSFKLKLSS >Et_5A_041024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16944778:16947673:-1 gene:Et_5A_041024 transcript:Et_5A_041024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQVHDYSSGVTFSVVITCLMAASCGLILGYDIGVSGGVTQMESFLKKFFPEVLRGMKSAKRDAYCKFDNQLLTAFTSSMHLAAMLSLLVASRVTRRRGRKASMLIGGTLFLTGSAINAGAINIAMLILGRMLLGFGVGFTSHAAPLYLAETSPARWRGAFSMVYYFLLVTGTLIASIVNYFTNHIPEWGWRISLGFAFLPATIIVVGALFVSDTPSSLVLRGKVDKAQASLQRLRWVSNDIKDEFNDIVHAAEESRRNNKGLFERLRNKGYLHYLVMMVAIPVFFDFTGMTVISAFAPVLFRILGFNSQKAVFVSVIGSLVGLCAVAVSGFVVDRCGRRILFIAGGTAMAVLQVAMSWILADHLGKHHELLMPRNYAVGVVVLMCAYSFCFNVSWGPLKWVVPGEIYPLEIRSAGQAMTLSIAFLLTFVQTQVFLTLLCAMKYGIFLFYAGWLLIMTIFMAMFLPETKGVPLEVMRSVWERHWFWRKFAIMDANQEVLGHMQILGPISPRKTA >Et_1B_014203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35249016:35250235:-1 gene:Et_1B_014203 transcript:Et_1B_014203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTKAVVVLGVAVVAAFVLAAESRVIARKDLGVSLGGGLGGLGAGTSGGLGVGTGGGLGVGTGGGLGAGTGGGVGVGAGGDVGVGVGGGGSGSGLGSVIPFVSRRSLGIISGMTGAGLTQLLFFTSSSYSTGRGLQYMGIMIMACTLPLVLVHFPQWGSMLLPPSAGADEERYYASEWSEEEKSTGRHIASLKFAENSRSERGRGNAAVLTVAATPPNNTPHHV >Et_1A_005524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11109235:11113261:1 gene:Et_1A_005524 transcript:Et_1A_005524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKHVDVKALQRLSGADKKKLRRTAKERFPQASDADLDAILPPKVEITVAKYPNRTLVYGIEGECPMIFDIDGRGHELFPTVYALWKVPHLLPAFTLKGGEVSRYVIGGADLMFPGISIPPEGFPSFEAGQPWSVKVPGNPAPIAVGTTTMSSTEALKAGLRGKALRIAHYYRDMDSADGRYVPNEGFYEDIVVEDPNFVSTSQPPDSSVEHVEDTHEGCVSAEDAAADTSDSHAADPDVHNDAVEEISDGVHELELPEGKTTEQQPEEKGHQNLTTEEVDSLLDKCFLQALYTSIKEKDLPLPGSTLWSNHILPCRPPGVTLDIKKSSHKKLSKWLQSKASSGLITAKEDKYKKEVMLTGINRKHPDYMAFKPEKRVQETVEQDKAVAESSVAKQLEVADIYKPSSHVKPIFVAVEADMEKYYSASEASDVVFRYVEKENLVKPTDKAKVILDVTLCDALYKGAIKKGSAYPTEIHKKDLGSTFLNRMQVHHRVSRGNEVVVRKGAIRTLQIMTERRQGNKKMTRLSGVESFLMDADSLASELQKKFACSTTTAELPGKKGQYEVLVQGGVIDDLAKHLVEHYGVPKRFIEVLDKTKR >Et_7B_055645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19550205:19551954:1 gene:Et_7B_055645 transcript:Et_7B_055645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTALKFIVRRRPAVLVAPATSTPRELKRLSDIDDNDRLRYPVSIMQFYCRRVSMIGVDPMVVIRDSVAKALVDYYPWAGRLKELAGRKLAVDCTGEGVLFVEADADVRLEQFGDVLLPPFPCCEELISSVPSSYTILDSPLLLFQVTRLACGGFILAVRMIHTMADGQGLTQFLGAVAELARGMSALTVRPVWQRELLEARDPPQPCFPHYELEEVPNAKGVIMPLDEMVCHTFFFGPQEVATLRSQLAPNLQKHATRFDIVVGCLWKCRTAALSPDPGQEMRMLFSVDARGRKYTDVVGIPIGYYGNAFATPVAMSTANDLCSNPLSYAVELVKKAKHEVTMDYMRSMADIMVLNKRTCGFPSTCMYFISDTTRAKFLDLDFGWGKPVYGGPAEAWATPKMPWITSFLLPLKNARAEDGIAVPMYLPAAAMEKAVKEVDKMLGAPVNEAVPQQTKRSNL >Et_4A_034568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4370555:4374797:-1 gene:Et_4A_034568 transcript:Et_4A_034568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKRSKPGRSRKKTKTNADQALALDYVRAWAHPAPPPEAPASDSAADDFLPAQPARGGGGEVLFELHSHSNHSDGFLSPSALVERAHRNAVKVLALTDHDTMAGIPEAVSAASKFGMRIIPGVEISALYSPREVASAGENVHILAYYGMCGPSRFDELDSMLLNIREGRYLRAKSMLAKLNRLKVPIKWEHVTKIAGEGVAPGRLHVARAMVEAGYVENVRQAFNKYLGDDGPAYARGSEPFAESVVQLISRTGGISALAHPWALKNPDAIIRALKVAGLNGMEVYRSDGKVDGFCELADKYGLLKLGGSDFHARGGKDESDIGTVKLAITTLSCFLKMARPIWSCAMKDILLKFAEEPSASNLGKIVKFGRLTNFDGYSPTSTVIDAVDICLSSWLSNDDIEDAELEEVLTNGHVSQ >Et_1A_006356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21109428:21113048:-1 gene:Et_1A_006356 transcript:Et_1A_006356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SINYRLQHTSKYEGAVKDDGRGTTIWDKFAHTFGKIIDFSNADVAVDQYHRFEEDIQLMADMGMDAYRFSIAWSRIFPRIEPYVTLYHWDLPQALEDTYNGWLSKQIINDYAIYVETCFKAFGDRVKHWITFNEPHTVTVQGYDSGLHAPGRCSVLLHLYCKQGSSGTEPYIVAHNIILAHATVSNIYRKNYKAKQNGQLGLSFDVIWYEPMSNSTADVEAAKRAQEFQFADPFFFSDYPAVMISRVGNRLPKFTAKEAALVKGSLDFMGINHYTTFYAKDEESTVIDKLLNNTLADTGTISIPFRNGKPIGDRHEEPNELRQGQIQHTTRMDDANSPFISLKKALKDDKRIKYHNDYLENLAASISEDGCDVHGYFVWSLLDNWEWTAGYTSRFGLYFVDYKDNLNRYPKNSVQWFKNLLAS >Et_4A_032926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15739126:15740803:1 gene:Et_4A_032926 transcript:Et_4A_032926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADVSIDHSWWWLLTAAVATVLLSLLICWRAVTAKETGTNLPPGPWNLPVIGGLHHLAAAGAAAPPHRALHRLSRRHGPLMLLRAGEVRTVVVSSPEAAAEVLRARDPAFASRPRGGATLDVVGAGGASLIFAPHGEYWRQMRKVCVHELLSAAQVRRVGRIRRHEVARLVDSLIAAGSAAAVVDLGRALTELSNNIVARAVFGGKCRRQEQREYLRELGVMATLAGGFSLPDLFPSSRLVRWLSGSAVRGLRRSRDRVQRIGEAEERLVGCREGAAAGGEEQDEDLLDVLLRLQEEDSLPFPLTEEIIGTVVSDVFGAATDTTATTLEWAMAELIRNPRAMAKAKLEAREKLGQERDPHHGDLRYIQMVIKETLRLHPAAPLILRSNLHDDCRVMGYDIPRGSSVLVNVLSLARDPRYWDDAEEFVPERFESCEKDWTHLEFVPFGAGRRQCPGALFATTTIELTLASLLCHFDWTLPDGADPRKLDMGEVFGITVRRRPSLCLLATLRVP >Et_8B_060574.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:2680877:2681584:-1 gene:Et_8B_060574 transcript:Et_8B_060574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLMEEFGGLSLKRKGADEPQLFDVAGDDRSGFPLSCRATKMRRLAGSNNDGPAVGGGAAEQQVDLPMGDAAAPSPVPDVGSKEDERAMVLYGGDAAQSTPLLRPVAAHVRAGADWLRAMLREADSRTVRELLAGAEERRAADLALALVPWVPPSPAAEEEAEPSAAAEAVDGGDSDGAAAMDVEVEDEGRVQQWTAQPAFGCGEGYAYRWPRHCMAPPQLPAIGQASPVMWSW >Et_10B_003031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15639224:15640921:1 gene:Et_10B_003031 transcript:Et_10B_003031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRWTGSTIHILDSGRVERVRENAAGPFLAAHVAPQAHVPVLSPPGPPRVLDEPEVKPGAVVVFPVPDERRLVAELAPARPAVDAAAVARELGRGGVEAHHERAGGRHGPPQRGLVVARRHARVARDGERHGVAVGDGGALRRRVRVPGLRQQALGLADVVEGRGRGRAAARGTRRRPGCSPPAAAWRAGPACR >Et_7B_055438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10618854:10628989:1 gene:Et_7B_055438 transcript:Et_7B_055438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGFILLPLDLALLCLSGRNWQQRGAVRAPVAVACTPERSPSLRRTFMLSCLAFLRLLTARVPNHSFSGQAFCLMGLAIHPNIIFSNLGPMLGPADLSCAADQNIFGTRGVDSYAPPTSGSYTSVSRSSPQLMLLLRRVFSSAPSPRALFAKPHRLLRPPKILPPAVRWLAAMVSEAPSMTREAFRAAVTNTLERRLFFVPSFKIYGGVAGLYDYGPPGCAVKANVLAFWRQHFVLEEGMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGNCYRADHLLKDFCKEKLEKDNTLPPEKVEEFNKILAILDDLSAEQLGAKIKEYGIVAPDTKNSLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQVCIATMERSYPSELPKLVRPSGMRHDISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFGDVSDLEFLMFPREEQLTGESATKLKIGEAVSKGTVNNETLGYFIGRVYLFLTQLGINKDRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSDKSGAPLQAHEKFAEPREVEKLLITPSKKEIGLAFKGNQKMVLAALEAMSKTEALVMKAALESKGEVEFKVCTLGKDVTIKKSMVSISLEKKKEHGRKFTPSVIEPSFGIGRIIYCLFEHSYYQRPGKTEDEQLNVFGFPPLVAPIKCTVFPLVKIEKFDVVAKKISKALTAAGISHIIDITGTSIGKRYARTDEIGVPLAITVDSTSSVTVRERDSKDQVRVDVDEVATVVKEVTDGQSTWADIMWRYPAHTTAATDEEQAET >Et_3B_028958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20907987:20908934:1 gene:Et_3B_028958 transcript:Et_3B_028958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IRPPAGAAPAAGASSSAVISQRTARAAGDDDDLLLLSLVARSRSRGVEEHELLLAVAVAPPHEAVADAAPAEDGPRGAALPAPPRRRGSRRPPAGRPQAVALRRHALQLLVRAGAGLAFAVLLLITLLLPPVARGDGAAVLLPRAELPLLQQEPAERHAQGGRLRLVVVLLLLLPPRRRRGRLRPAEDRVHGHDARVDGQRRDGEAEPVVFVLDGRAPALRRALGEAMALVYASVLVLHGLRTLSLDLAFATGRYLFGLIPSAALLSRCLAGVASASESDGGGAKGREGRCCGNWDWRGGEAYVVRWW >Et_3A_025230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28062649:28063981:-1 gene:Et_3A_025230 transcript:Et_3A_025230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDDETFLDELMSSSAPWQAYPGGGMMMSDLLFYDTMNYLSPPFQGPPLPLPHPHEFNFDCLNEVYCNPYRSSSVAAVPGNPAAGSQTLTPLHDAAAMAEDQGGGVSLSPKFVFEAGVGQSSEMAASIRGYGGAQYHRSKVLHAAPSKNLMAERRRRKRLNDRLYMLRSIVPKISKMDRTSILGDTIDYVKELTERIKVLEEEIGASPEDLNLLNTRNYSSNNNKRMMVRNSTKFDVQKRGNGSTRIEIFCPANPGVLLSTVNALEVLGLEIEQCVVSCFSDFGMQASFLQDDGKRQVLSTDEIKQALFRSAGY >Et_1B_014197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35167743:35171623:1 gene:Et_1B_014197 transcript:Et_1B_014197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGWSSLPCTDLPNESMPRDPTNPRTWRHKAALAVGWTARTATDSPAQRAPRLVQASTRRHVSGPDRTHDVPANATGRSEIPRPFTPPPFITQTQLEPSSFSSNTRIKRHEKFREEEEERVVVVVVVVTVPMDRVRGSAFLLGVLLAGSLFAFSVAKEETKKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKEGKPYIQVKIKDGENKVFSPEEISAMILGKMKDTAEAYLGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKYSKDISKDNRALGKLRREAERAKRALSNQHQVRVEIESLFDGTDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLDKSQIHEIVLVGGSTRIPKVQQLLRDYFDGKEPSKGVNPDEAVAYGAAVQGSILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSMTKDCRLLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIDRMVREAEEFAEEDKKVKEKIDARNSLETYVYNMKNQIGDKDKLADKLESEEKEKVEEALKEALEWLDDNQSAEKEDYEEKLKEVEAVCNPIISAVYQRSGGAPGGGADGEGGMDDDHDEL >Et_6B_049703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9199233:9202215:1 gene:Et_6B_049703 transcript:Et_6B_049703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAADEEAAPWPTWFAALVALGAWVALTSAATFISWLRRAFLRPGKDLAVRYGSWAVVTGPTDGIGRAVALELARRGLSLVLVGRNPDKLARVKKEAQAAAPPTSSQCEVRTVMARGAARVAAATAGLDVGLLVNNAGATYPCAAYLHELPAPAWEAVVRVNVEAATRVARAVVPGMAARRRGAIVNVGSGSSVVVPAFPLYAVYAATKAYVDQFSRSLSVEYKQYGVDVQCQIPLYVATKMSPVKGDSPFIPSPEEYAKAAVRCIGYEARCVPYWRHSIQWFFASLVPDYALNYWRLQIGIRKRNEMKSTLQEQTTVVDHNNRNPPPPPPPPQKALVQECSRFKVE >Et_2A_018145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1451061:1452327:-1 gene:Et_2A_018145 transcript:Et_2A_018145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEPSESLPKPQELSFTPTKVIPLNGSILGWVDLFRGIQLCDVLDDDSKLRYIPPPEPMPGNKGIGHKGEVAIFRDICGCGEWIKCVEMDYRDTGAAPVVADGFAPDEWTAITSIGNLDWNHWERVDALDIADISVAEPLGECFDMLPAKHCENGKPSLKKIPIGIPTLCEYNNNVLCLMSKLGHDDCKGWVVTIDTESKKQLRSLLQRSALISPFYCDSSRANYVPRLCSVA >Et_6B_049540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6268049:6271763:1 gene:Et_6B_049540 transcript:Et_6B_049540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCTTSTSNSVRWSHMLSRGSRVPCHGFTFSSPSMLQRRRRSTFCVMNAVSTGPLPSSQSIAQSSRTNGAAPKSISTNKPSSALEQLDIERGVCIPFRKYTPELVTIFVRNKVLNSRGSILSLASRGVEIIWKLGFYWSSLMYDFLVGRDEEIVPFRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPVPNQVAFEIIEEELGQPMEKLFSRISSQTIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKNDPTVKIPRVYKQFSGSRVLVMEWIDGIRCTDPQAIKEAGIDLDGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVSPIIPALESIWQNSAGKGLADFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRDRLIQVLFKDGAFQWKRLENLIVLAKENVTKMSSNPALKKNSLQTVGSRQTESKLDLTETIKDGARMFLIDAGIRRQLILAFTEDSKLHVEELLDVYRLVEDQIDMPSVAREVIQDLPSVARDFMLSWSDSILSDRQY >Et_7B_055288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8994982:8996024:1 gene:Et_7B_055288 transcript:Et_7B_055288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCGTIKFVALVGYYEGSPCEEIVLKTWTLPADLKDWLPDKSLSVRDLWTSKSFLERDLPRMTPSFPVLGFDDPDVVYVTLDDSYNADDVDGVPTTVRKALYVLGVNMVQGKVVCHTKIPDDLAPLFPYMLPSEFSAHLQGSKDHQGGLEASKPGGSRKPMRHANEPGGSKRKRMKR >Et_8B_059178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14903659:14908050:-1 gene:Et_8B_059178 transcript:Et_8B_059178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILIQGRCGRAPLLLLVSMCELMIHFNQKNSCDVARCNNVLSCTIYLIMKKAFVFIMHLSDYVKIFMVDLDYLCKQVAVVIPLSCAHREDWVGSVLFHQNDLYPGSKMMLHFASSSATTLPRAHADLIPFSSSKIREIFSRLSVRDGSPTAADICYTLAECEASPTPGVVAQTCVTSFESMVDFAASNLDTRNIRALATRLNKQDGVSPRQSYLVESVSPISVASHEKVACHKVPYPYSVFACHTTTAKLYTVTLSGTDGTKVEALTACHSDVTPTFFNEKINVAPRGGPVCHFWSQEGRLWCEVSPIPSVEAQTCVTSFESMIEFAATNLSS >Et_4B_039784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29460714:29461256:-1 gene:Et_4B_039784 transcript:Et_4B_039784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVKPLDPSTVRSVVRYGLAANSLVHQAAGESLVYSQLYPFEGLKNYTSGIIHHVRLQGLRPGTKYYYLCGDPSIPDAMSDVHAFRTMPAVGPTSYLGRIAVVGDLGLNYNTTSTVEHMVDNQPDLVLLVGDVTYANLYLTNGTGTD >Et_2A_015667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16764760:16766143:-1 gene:Et_2A_015667 transcript:Et_2A_015667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILCCFQSHHSGAGSGKNGGGDHAVASSSSTASSSSSASSGRNNGRANEPRRAGEEKSSRNNNGNLVALVNEIVADSVSYRHKRVAEEILKMGKAGKVTARAFTYTELSEATGGFRAESLLGEGGFGPVYRGRLPPRGPDVAVKQLDRNGMQGTREFLVEALMLSLLKHPNLVTLLGFCTDADHRMLVYEYMPLGSLEDHLLDLPPGRAPLDWATRMRVAQGAARGLEYLHDAARPPVIYRDFKASNILLDAGFRARLSDFRLAKVGPSGDKTHVSTRVMGTYGYCAPEYALTGKLTTMSDVYSFGVVFLEIITGRRAIDTARPPDQHNLVLWAGPRFKDKRRFAEMADPLLQGSYPTKGLYQALAIAAMCLQEDATMRPAISDVVTALEYLTVAGGGAADDEPAPNPTQAPKQQQKIYEQDAQA >Et_3B_027802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20069497:20070334:1 gene:Et_3B_027802 transcript:Et_3B_027802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRPGGRQHEPTVSRADGGGAAPSDDEEDSDGEFEFPFVSRDPLPGCGAAPADELFAGGRIRAFYPVFGRVLDDAVPEPPRAPLGRLFQLEGSRTSSVASTSSSASTDATAGGELDGASPESYCLWTPGSSPASSPSRPPRKSGSTGSMARWRRIGELVVGRSHSDGREKFLFLNKAKAARPPPAQPRPRSTRSPRGAGWAATVVAPRAPPARGARSCRTGRTSWGSSPTCRGSAGATTTRSEPATRRRGGPGPNVRFQS >Et_2B_022180.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1166722:1167762:1 gene:Et_2B_022180 transcript:Et_2B_022180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLGVVLLAVAVLLVAPLAGAWRPWPRMNGTGEDVAGLGASKKFEGSSDFVKLEYHMGPVLAAAITVHPIWYGSWPAAQKRIIRAFIRSLSPPPEQQERVAAAPPSVAAWWRTVRLYTDQTSANVSATVRLGAEKSDARMSRGARLSRMDIQRVVRDAVTARTRPLPVDSSGGVYLVLTAPDVGVEDFCSTVCGFHYFTFPSVVGYTLPYAWVGNSARRCPEVCAYPFAIPAYVPGRRPEAPPNGDVGIDGMVSVIAHELAEMASNPLANAWYAGGDPSFPTEIADLCEGIYGTGGGGAYTGQLLTDGRSGAAYNVNGAGGRKFLVQWVWNPVLSYCSGPNALDQ >Et_10A_001373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2457471:2465429:1 gene:Et_10A_001373 transcript:Et_10A_001373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKLQPRDKDKERGKPASSSSPTGGATKQKVAAAKQYIENHYKAQMRSLQERKERRWMLERKLADADVSEEEQNNILKDLEKKETEYMRLRRHKMGVDDFELLTIIGRGAFGEVRLCREKATSNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSAYIVKLYCSFQDDEFLYLIMEYLPGGDMMTLLMRKDTLTEDESRFYVAETILAIESIHKHNYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSSFPNLSDLDNAAGKNTTPSSDGDKQLSTPTAPRRTQQEQLLHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRNHLKFPEEARLSPEAKDLVSKLLCNVDQRLGTKGAHEIKVHPWFQGVEWDKLYQMEAAFIPEVNDELDTQNFEKFEETAPPMQTSSKAGPWRKMLSSKDVNFVGYTYKNFEIVNDPEVPGIAELKKKSNKPKRPTIKSLFETADSEDQPSEGSFLNLLPTQLGELPESLEPSPHSSISSEDSQARHRYRCPVYPSMAQTVGCVDFVKQIQASSTSHTLFPSRIYTRAQLGTSSPQRCWIPRQFSQLPIH >Et_10B_003793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6283022:6284699:1 gene:Et_10B_003793 transcript:Et_10B_003793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSPPSAGEEGPAGGGSKQGKGRWGGRRRNEQRLGSGRGGGALSLAAFANAKSRNTGYNPALIKKQKEFYRNAKLIGKYKRSKKQQDHSNGPPQYQIRESEDHDAENVAKPHNKKKRTGQSLKEEYEKKRAEVEKAKEEREAIIQAKREEREKSEAKRKELREKMFKKTRSGQPVMKYRIEHLLETALGSSSQ >Et_9A_061604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14316468:14319298:-1 gene:Et_9A_061604 transcript:Et_9A_061604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGEKSYMRKDNDRDTVTGKKRTADMLLEDSSVEAVEDQDEILKEGLDVNMKKLRGYNFSVRCSPKKICDIVRLLNDPQREKVKELGFGCLLDFNMDGLSRKLEITEHAIHCVMGLRRGHKDPPLSTEKLTRQSLKDKLGVQGKINVKDLEQMYYLDNLYCKHMMSCDDTPRKKEVVAKLEKADMLTDKDGFPTFGNLRTQMGTCYDETTGSSRNVTKNMPVPVTSDIAGVCARPHNQIGDSASNSKNLSSGEKKKAKKYQHPNNNVIIIEDDPLVERRQDDDAGVIGDVDGQHTVAGSVLAPEGPKPALESPAVVHEKPPTVLDVSEGDNMAETRAKLRGAKAATLESQSAVATINGLSLRLSATFVRLADTQHGAPPVVANNNASISEAVKLLEDRVSLVEAVSRSHAGSLARNSVAFGAAALLCRDSKGAEALRDAVAAHTRRFLRSQGPGFGSLVSQVVDAVEQQHSNAPQMQGGRATGAGGEAANRKE >Et_4B_038008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25390375:25394299:-1 gene:Et_4B_038008 transcript:Et_4B_038008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATPVSSFWGARYPQLQPQSSNCAEMLTNVDVSGEKDLSVDPSTFGFPQDANQEFYNRALQYGYSGSRQGFEAQGFAMSASEFVLNSDSAALGGYKKSDHFWGPQPGEYEGMQTQNVNVNIAHRSQPSNASCLDHIEEIAFYDKDDRAILFGSSCSTGFGSYPYSGAVQSKNCISDTKDGTWAALMQMQQALESSNSDNGLIEECSDLTFNHAELSGGNTLQNQIVWDNSSLASPSFTSNFLPFPGDAEVTTANAAPACGLQNFVDPTHSMNNNERWISSFKLEVPHQEGPTTSHVYEPRDVIHSAEWDTNPCLLESSDFMPSTLDRQDTIHPQLSNSFVNSGDGSVNSGSKTSHDLYECEEQMEIDSLLNSFGVSADSFSQTYEIFGLSETSVGIDKNVELHESVSPTCFSNTVPYMQTGGPGSAISDGSSYPEQYQSTSQTCGLLYSSSSQWQNISRSGLPLEDCHKSISEPNSITRPGANSKDQLLAANNNTLVQKQQSVTSDTGVEMTDNIGNPYLDFTTSLDGQSCPEGAYICTDGAVGKAVQTAKPEMMEDCSVGVDTSNHTGHSGLELPVTKTAHVQGPALSTSVDPSSSCIGGTEFNKVELTGAHNTMQNHPGLDNSESSGILHPKSFEQNAPANICIEVDNSQVVGPKQTTVSSASKPSNLSVSHVDRFNGLVFSRQKKRKRATTGLLSWHAQVMIRRESMQRTRTPELDWALATKRLVEKVVDGENKTMETSRFGTRAHKRLVLTTTLMQYILPVLPAKLLAANVNNSSDTILYHLSKQALSDACDAVLSYGNDNVLPNQTSTSVKEDSKILSEVLETFAGRFGELESLLLRAEKATTLRDLETDVQQLERWSILHRLATSRGYAFAYGGDASNSGPNPYATTIKRHVEAAGIPVDLLSGIKCRLLN >Et_7A_053178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9171893:9174166:1 gene:Et_7A_053178 transcript:Et_7A_053178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECQSNGHVANGNGNGVCLSPAPRAADPLNWGKAAEDVSGSHLEAVKRMVAEYRTPLVKIEGASLTVAQVAAVAAAGEARVELDESARGRVKASSDWVMTSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGEDGHVLPASATRAAMLVRINTLLQGYSGIRFEILETIARLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNAVAVAPDGRKVNATEAFKVAGIEHGFFELQPKEGLAMVNGTAVGSGLASIVLFEANVLALLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILDGSSYMALAKKLGELDPLMKPKQDRYALRTSPQWLGPQVEVIRAATASIEREINSVNDNPLIDVHRGKALHGGNFQGTPIGVSMDNTRLAVAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSSTFLVALCQAVDLRHLEENLKSAVKSCVMAVARRTLTSSATSDLHAARFCEKDLLQAIDREAVFAYADDPCSANYPLMQKMRSVLVEHALANGDAERDVDTSVFAKLATFEEELRAALPRAVDGARAAVENGTAAIPNRIAECRSYPLYRFVREELGAEYLTGEKTRSPGEEVDKVFVAMNAGKHIDAVLECLKEWNGEPLPIC >Et_1B_012392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31527293:31533796:1 gene:Et_1B_012392 transcript:Et_1B_012392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVRMGSIAAGGSMRRTASSWRGSSRRSDVFGRSVREEDDEEALRWAAIEKLPTYDRMRKGILTAAAGAGVEEVDIQALGMQERKNLIERLIRTAEEDNERFLLKLRDRMERVGIDNPTIEVRFEHLNIDADAYVGNRGVPTFTNFFSNKVMDVLSALRIVSSGKKPISILHDVSGIVKPGRMSLLLGPPGSGKTSLLLALAGKLDSSLKVSGRVTYNGHEMDEFVPQRTSAYIGQHDLHIGEMTVRETLAFSARCQGVGTRYDMLTELSRREKEANIKPDPDVDVYMKAISVEGQESVVTDYILKILGLEVCADTMVGDSMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVDSLRQTVHILGGTALIALLQPAPETYDLFDDIVLLSEGQIVYQGPREHVLEFFEAMGFKCPERKGVADFLQEVTSRKDQHQYWCRRDEPYRYISVNDFAEAFKGFHVGRKLGSELKVPFDRTRNHPAALTTSKYGISKMELLKACFSREWLLMKRNSFVYIFKVVQLIILGTIAMTVFLRTKMHRRNVEDGVIFLGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLRFYPSWAYALPTWVLKIPISFLECAVWIGMTYYVIGFDPNIERFFRHYLLLVLISQMASGLFRLLAALGREMVVADTFGSFAQLVLLILGGFLIARDKIKKWWVWGYWASPLMYAQNAIAVNEFLGHSWQMVLNATESTDTLGVQILKARGIFVDANWYWIGVGALLGYIMLFNILFVLFLDWLGPLGKGQAVISEEELREKHVNRTGENVELLPLGTDSQNSPSDGRGEITGADTRKRGMVLPFTPLSITFDNIKYSVDMPQEMKDKGITEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQDTFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPSEVDSEARKMFVEEVMELVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDEDGYNPATWMLEVSTLAQEDILGINFAEVYRNSDLYRRNKALISELSTPPPGSKDLYFPTQYSQSFITQCMACLWKQHKSYWRNPSYTATRIFFTTVIALIFGTIFLNLGKKIDQRQDLFNALGSMYAAVLFIGIQNGQTVQPIVDVERTVFYREKAAGMYSALPYAFAQVFIEIPHIFLQTVVYGLIVYSLIGFDWTVQKFFWYMFFMFFTFMYFTFYGMMAVAMTPNSDIAAIVSTAFYAIWNIFAGFLIPRPRIPIWWRWYSWACPVAWTLYGLVASQFGDITHVTLEDGEVVQDFINRFFGFRHDYLGYVATAVVGFTVLFAFVFAFSIKVFNFQRR >Et_10B_002360.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:12710238:12710705:-1 gene:Et_10B_002360 transcript:Et_10B_002360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGSVGVDEEGMYSDTDSLVAMSDSSYDTDLAASSDSDIDSSDVEYDPDDDIVDEDEDDDDNPPFSYDVHDPCIDVGVVFPDVKQCKEAVTHHAIINNHAFRHTRSDSDKFRAVCKRAEQGCKWKFFATTSKKKYIGCKVNFHLYVLFIHVVMY >Et_1A_006221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19319741:19332594:-1 gene:Et_1A_006221 transcript:Et_1A_006221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISFKLSKVGVRVHPAARSASAAPAAEADKPAAGDKEGASSEPRREDGFVDRVNIVNGVKIFTGCSKEILTEHEVSFTFSLYDRGYLISKSAAVDPSQTSLQDGKTLHPYDRASEKLFSAIEAGRLPGDILDEIPSKYYNGSVVCEIRDYRKRISNQMPASSAELGLPIVNKVRLQMTFENIVKDITMLSDDSWSYRDFVEAEARIVRALQPELCLDPTPKLDRLCQDPIPHKLNLGIGKKRRMRQNPEVVVTSSNMSHGKKVCIDRLSENGKADEMGITGGNAAHQAVDSGTIQNTSGVPQPLRPNNSSQDAARVLLSQSGIQQTISYSAVGNDRTAGSPANFTGISSSISSPQNMMTYNDAVSANGLLSVKREMQDAPLQDPNKRIKLGGIDDAQQQQLRPQSLGGQEMQWKNQQLHPQLEVKGMQYAASSLGGQRYPSPMMNNMQDSGAPFYFNQPGMRYGAKQEQMDGSDRLKDSLAPEGSMLDQQQSQAQLLSQQSTARNNIPNMAQWQNTRFSVEKDMKKDEINQRRKLAPNSHAPSGPMVQSPVSSKSGEISSNSMGGQFGSAVTSAAIGVQKDKFAANSSAAVGYPSVASSPSDSMHRVQQSAVAPSKRKTNSVPKTQPPVSGVGSPASVSNMQSMLNASSPSIGTAPVGDQAILDRFGKIDALSQRYQLHSKKNKIDKVPQRKPLVGASQDVASKLSSCFHTEDYIDTMKPLCNSMITGSINTWKTRIINLVSTNRMYQGQARPFRVIFKEMPDETVKMQYGDLEDFDGPNSPDCVFILPTKYYADLLGEQLIPLMLKDGHLKADDQVVRGTPPANLNALAGILPDNSASDIKQEGGVSQQLNANMAPGTPMQQLPSNRMLSSANSNQMMGPGSNLPMGSSQMGNNKSTPTSLQLQMLQQAQQQQPMSRKVMMGLGGLGSAMNMSSMVNNVVGLGGIGNVMGMGNVRPISSPMGSMSLGNNSNPMNLGMASNLAAAGLRPGMNPAALAKMRMAQQRAAGIYPQTGMVGMPGSSSPLSSQTHGSVSSIANSPMEQLQGASKGGPGRCCYVSTAKVPDARNIYRLVDLFNSSSSSSVRFVSDLRAFNGGGAADWMKDRGNWRKNR >Et_7A_052836.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:18805989:18806423:1 gene:Et_7A_052836 transcript:Et_7A_052836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMGQLIEAQLLKTSTSEAAPITGHSATLCWMASTLTSRSGAAKTTTISYGTYLKRVQKEGEEEGADHGGAPVPVPRPDAGGRAPEGELFDRVHLQFHNNPVYSYWAGNEAAFARVWNKWTSNFPRSSVYVGLPAAADAVWNG >Et_1A_005310.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:39712045:39712092:-1 gene:Et_1A_005310 transcript:Et_1A_005310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVLQEPRLPQRPW >Et_9A_062248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21008196:21011676:-1 gene:Et_9A_062248 transcript:Et_9A_062248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSAAPSDTYDIPWVEKYRPTRVADVVGNADAVARLQVIARDGNMPNLILSGPPGTGKTTSILALAHELLGPSYREAVLELNASDDRGLDVVRNKIKMFAQKKVTLQPGRHKIVILDEADSMTSGAQQALRRTMEIYSNTTRFALACNTSSKIIEPIQSRCAIVRFSRLSDEEILGRLMIVVAAEQVPYVPEGLEAIIFTADGDMRQALNNLQATHSGFRFVNQENVFKVCDQPHPLHVKNMVKNVLDGKFDEACSGMKQLYDLGYSPTDIITTLFRVVKNYDMAEYLKLEMLKETGFAHMRICDGVGSFLQLSGLLAKYALVREAAKAP >Et_3B_030458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3926118:3927692:-1 gene:Et_3B_030458 transcript:Et_3B_030458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIECNPLEFMLKSCIPIGNCVGMGKFMLLRSVSPMLMLVRYGGGDTGADTSADSGSFLTTPKDLSNTRQTTHSSYKTLKNEIKRDGIETLVVAPGRQRLRSSLAATPLRLGYLALFQALSRQCAIGVVGMCDNTCPFVLQCKVDNGHKSCTTSDKDND >Et_10A_000560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12744512:12756988:-1 gene:Et_10A_000560 transcript:Et_10A_000560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPREAAADEEGSSGGGGKKEKGEAAGDDVLGSIFLLLARAALACKRWRRVASDRVLLSRFHSLHGGPPLLGVILSDGGDVPVPYRCPNLRFVPVRSGNPHLATAANSGDFFFNSLPEHHFDANEEEGNGIRREPWMLRGCDGGLLLLRSTSPSTTPSRGRPSSSARPAEASFRVIAVQFFNDRVEAAVFSSRTQSNSLKYYNDNRERVLVLDTITMEWSLLQVPFPVGEPYCVADMAEYGGLCLVVGSKMSRLQIWASVDGRWTMMQKVLLRKKFPLLRNIWKLNGLRPLAVRGDYVFMEFWTILWTPQYYLLLINLKTMKLEMDWSPLPSIDVPHPWKARDGVRAGRFAYWQSHSHHGMGRFAYYGTDDQERVLVLDTVTMEWSLLQVPFPVGEPYCAADMAEYGGLCLVVGRKFSRLQIWVSVDGRWTMMKAVLLLYEFPLFKKLRNMNGLRPLAVRDDYVLMEFWTIIRMPRYYLLLVNLKTMKREMVKNVSSWPYMGYAKNRDIPIPWLLAVLFGRRENDEISRSSFLLLISLFLLSCCLGMLSAEEPRDMFFVSLAVWNSAGAAALLVSKLLASYPVITSVAETTVLERIEVFLDFEGGCTVASSELE >Et_4B_037484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20267896:20273924:1 gene:Et_4B_037484 transcript:Et_4B_037484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQIAPAPEHVCYVHCNFCNTILAVSVPGNNMLNIVTVRCGHCASLLSVNLRGLIQSLSVQNHLQESFKVHNISFTENCSEYAPSPSKYRTQTMFPTKHDQDHMLHVRGPEKRQRIPSAYNRFIKEEIRRIKASNPDISHREAFSTAAKNWAHFPNIHFGLGPHESSKKLDEAIGAAGCPQKVQGLF >Et_4B_036964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1298274:1299453:-1 gene:Et_4B_036964 transcript:Et_4B_036964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAHHTATLPCLPSLGARPRRLPPNAVAASVAPQRTARVALRDCRSCNPLPRSFHGFESAISLRTERTKQVVFASDRNSPDPITKQSSSSDDNSSSSTGPPVLTILAGVIVFLLVIWVAGSFITWIASL >Et_4A_032988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16542802:16560187:1 gene:Et_4A_032988 transcript:Et_4A_032988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDAERVDDSALVRRVEELQRERDEKQRSLEVLIRRVEGLQYELDEKVDLVQVLTRRVEELQRERDELKKDIEQLCMQQAGPGYISVATRMLSQRTAALEQDIENLQKKLSGCLRENQNLQEELSEAYRVKSQLAELHGAELSKNKDLEKQIRFFQSSVAQAFAERDNSLMECEKAKEREEAVLRKFDDFEERTREYQSAIDDQKRLNDGLQKELTELKAQTESSLKVVLKFYELRCRDFECPLNISFEEKCSILLDDSAETWSFSSDGGASTLKYITSLEQEKDSLKAKIAKLQSNLRMGLEIEQHLQRNARILEKRQAMYNVFLRSGLSELKRFYQDQLTEIMKILEEESSWLSIIVAEIQEKLSKICMSPENSENPVDKLQCCDSSCKDVHVTTDIEPNTVTIPKGDSPAVSNSMSLDESKALAQALREKMEVLMLFSQEQERYLFEKQRNQIIIDDLQKNLSQVKDEKVKVLMELAKVKEECMLLKGSAVKEGHGISDSLKVVPGHDQQGILKTMLKRTSLSHWIRKDTSNISSGGNDHAVCKEHSVDVARLKVENATLLESVATVERLTSSVQRLHIVLLKAYDDVKSAGSLESTYEALNSLITEANLMKTALGVALPVSWSGDSSDAATYEALYDSSDSPKSKSERVDPLSSAGIEMVELLIFAAEVLKESFLLKK >Et_2A_016981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:292519:296122:-1 gene:Et_2A_016981 transcript:Et_2A_016981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMGTTPHPLDSSVPGVGGGGGDAKEEARMQLRDDDEEGSRRVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPHKASKKTPRVVLPEQASRIMEQGCVASMDTSPVATVSSANDGENGPVQYFSPRHTQGSAATNNYSSSITSQSGTCPTSEVNEQDIMLPTLRAMPDFARVYNFLGSIFDPETNGHLQQLREMDPIDAETVLLLMKNLSMNLTSPNFEEHFPLTNSPELIIFWDPVKLPQTS >Et_4B_036947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12886632:12887400:-1 gene:Et_4B_036947 transcript:Et_4B_036947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAYEYMAHRGLREHLYRTEKPSLTWKQTRGLHYLHKLEIVYRNLKTTDILLDKGWIAKISDLCLSKTGPPTDVNTSVWGTFGYVDPEYVNSGQLTEKSNEYSFGVVLYEVLCARPALDHFLPKEQFDLKKGNLEQVVDPYLKGKINRQCLYKFAETAEKCVADLGIDHPSMEDVLSDLECARELQERAGLYFGGGLSEEDDMGEQVAISFDPDGCQVPSSTALDRVAIKSPASA >Et_4B_039007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6922084:6928367:-1 gene:Et_4B_039007 transcript:Et_4B_039007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLPDQLSWILMSMSSDLIPARVASAPGKVLIAGGYLVLERPNAGLVLSTTARFYAVVRPLRDGVAPDSWAWAWTDVKVTSPQLSREATYKLNSANPFVEQAIQFSVASAKATITDKEKKHALDKLLLQGLDITILGCNDFYSYRKQIEARGLPLTPEVLLSLPPFCSITFNSEVANGTMTGEKCKPEVAKTGLGSSAAMTTSVVAAVLHYLGAVNLSCLGQSSSDCATGRDLDLVHAIAQSAHCIAQGKIGSGFDVSAAVYGSQRYTRFSPEILSSAQVTGGTCLPDVVSDIVTRSWDHEKTQFSLPPLMTLLLGEPGAGGSSTPSMVGSVKQWQKSDPQNSKDTWNKLGNANSVLENELRNLNKLAEDHWQAYESVVQACSFLPCRKWTEVATNQQQELIVRSLLAARDAFLEIRLHMREMGVAAGVPIEPESQTQLLDATMNMEGVLLAGVPGAGGFDAVFSVTLGDASNAVANAWSSAGVLPLLVREDRLGVSLEDADPRTREFCHYLQLALTGKNNQAYYNR >Et_3B_028707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18283368:18286082:1 gene:Et_3B_028707 transcript:Et_3B_028707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSVVVDFPSMGTAYCFSSFETLIRDSASGFLAAVSASPAPGAADLTNFHRVFSRVLSSYPDPPLEAVWFFSALSFHDRPGDLRSLLQLLSAFTASSPTASKPIALLAPVVSELFHTDKPRRETESLVEAMLGYISICSSRPPNAGDGADSGRLLQAFGELVKVWSVRHSKDRCPFQVLFPLAGEEARRELMKEGCSIDYLAGVVVAQAFLFRLCLKVQNATGVPRAELQKELRIWAVSSIPVFRNQHFFGILLNMLLNRPLPVYSLLSADDEILVRDVLYDALILVDYSFINNEAGIDQADSSLVPIFVSRLIITHDAINEARSKGDQGRAISFANAFSTSNVPSYLIKWATSQAGFGQLSKPVANTPQALLKWLVDLEDKGLKVFGENGSLIKGRLMYDEVKNGYGNRMVHSDAELFFIDKQNGGEVMDAKANGDEEAVEMETADNAFMSAAQSMKVAANGLRKRKGCENEDATAVKFVKYKVEDSSAKEYFTSAANGMSSGSEVENPQSDDEMEETD >Et_1A_006128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18152874:18157391:-1 gene:Et_1A_006128 transcript:Et_1A_006128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPVESVRCAYGSVRMMQRMGWTWQGSGSAGGEARSRPSAVPKERLCDLVREKERTLCCSRTGEEIDVPPELHTMDPGIHTQLIGLHQRKEPPNQKRMHRALESSSFRTRGQLVVRYSKPSVSMAASRLPDDLRNSKHASLSPGQLCCSANAKMLAADALIFTNQLQPLQVIPVGGDKYMLNHCRFLFFLHLWQCVRVHISKKSTEHICLHVIYADDLSGVALFHWAIKLRLEDR >Et_7B_054008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14087246:14091036:-1 gene:Et_7B_054008 transcript:Et_7B_054008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDKMVEQTNQSENHFMKIERQNGGKTGHPRIEDGSYDKDVVEIKLPDAIRSCDYGDHFVKDVCIDEGVLGDQKTSTAKLVDQKVSPSFDSSVRDINSNLGEGIRAESTKSAHELKSQIVTLPVMCATDGNTEEQYSSCKAHEFEGNNTVSEFIDSNDEKLSTKQPLSHANGCQQVVMVISEGCENQEPVINGEATHQVSSNDCHGIGIASETSNIIHSEVLVESVANELQTVMPEVVVSAELDKGESNQVNHYNPFIAYGSLDDTWEPKYSFPTTVDAASIVPICPIEKTDSFSDLVNRDLRGFDSVAIAEPISEEDISDSLEVSSSTLDVQTSEGNDDKRENLTNGMRADVGYGTDMGTSLSTSNAEPSDVNSEGPQKCEIDGTQDIHDFNPRDVEVGTKRSGENTDIKSSTLVQTESVLQQNGPDSAKVTAQSVLRNPFESSFSGPSITSGPLTPSGHIPYSGNISLRSESSTTSARSFAFPVLQTEWNSSPVKMAKADRRRLRGDRGWSYRILCCCKF >Et_3B_029220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23086067:23087718:-1 gene:Et_3B_029220 transcript:Et_3B_029220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADQGREGGQEGVRVRAGEHHGRVPGHPAADAEDRQGEEDIFAFGHDVMKTRWRRLNAVVSRSRRISLQKIPPRFCTYFKRVREPSPAYTWVKCEREEDQDCYEALLKANIITRSGVENEAASRYTRVSLLKSDDDFDVLLERITDLVNEEKHDNPGSNTL >Et_2A_016566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26087390:26091781:1 gene:Et_2A_016566 transcript:Et_2A_016566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAILSGVIKEILVSLGSFAYNEIARVHGVKDEIEKLEHSLASITQEIKDADRTIAHSEATNQRLKTLTDIAYEAEGIIDRFKIKMGMLGPSMLQELNGSSVCRPCRQVGTRHEAANDIERLNLRLEAMRAGELTQAIRELMARDQAAAQSNHIGPIHDVLGSTGSVISIDCDDHVKRLRAGSEALFAIVGAPGVGKTALARKIYRELRNHFSTRLWVHVSTVAGNLTIWSGDTMDRDASAGTIAQQMDEIRELLSRDDVSSLLLVIDNVRDDDGWGFLASRKMIFLAHSVRVLVTTPHPDVARRMGVNTRSCCHRVSALGEDDGWLLLHGMAHVQERHAVGNIQDPGRRIVRKCSGVPVALETVGCKLRFKDRVDHWEREHDTDLVVRYKEIRRSIDASYMELGYRLKRCFLYCALYPEGFVLEQHHLVQQWIAEGFFLDGSRRESTTRPQRDLEREARQCYRELVKRSLLQPVADNGADNGASATMPALLRSYAIYRSQDENYVGDPSNIGNAFKTWRVCVPDENAVRAIPEDVPNLVRTLMVLGGSSSPQGDADGARRSQLMDHICKKFTSLRVLDLRGTQVETVSDHLLERLLQLRYLNLSSTMITALPPEVGNLVVLQFLILKNCSCLTSLPTEVGRLSQLRCLDISETPVLRDIRFRVANLQELNCFRGFLPVGGWAFKDLSGHSKLTSLHILKIGSSSSTSWQEAAELRLNDKICLKDLELCCTPTTSVAEPLLENEDAEDVGLSSIARVFDALEPPQQLASLKLSNFYGVELPSWVSPAHLTGLKRLTLHGCSNTQRLPPLGQMRNLKVLAITGSNSLFEIGDELRGSYVYDEPAFPRLEQLSLARMRNLQTWSWGVQAGDMPLLRRFQISRCPKLSPLPPWLQHCTALTSFKAVRASAVKEVEDLPELKELELRSCRRLERVSNLGRLQDLKIAGCSKLRAVQGVPLLRSLRLHEHTAQLPKWLPPPLSLSLRRLEIVAGEKLLDKCSTGLAPYGHVIQDAADHVYGKKLDDGSVYFSYTRSTGQFQWSPRCRERAHRYSAVTVHVPPQKMHGNRRSEIRFILYSAVLIAFQCLVLWSWI >Et_7A_050494.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:19766402:19766605:-1 gene:Et_7A_050494 transcript:Et_7A_050494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQAPPQAPAMIQVPAGAPPQAPAMIQVPAPLQPKGGRVQDAPGNLQVLMVQINWLKKLVLACLVL >Et_7B_055839.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5687439:5687876:1 gene:Et_7B_055839 transcript:Et_7B_055839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSEFSRAVSSFDHDNDGKISATELRLCVKATLGEDLSPEDAAALVASVDTDGDGLLSKEEFLKLVDRDREDDMEERCRGLKEAFRMYEMKGEGCITPASLKRMLSKLGSHQDVGECQAMICRFDLNGDGVLSFDEFKMMMDQ >Et_2B_022311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17683838:17686802:1 gene:Et_2B_022311 transcript:Et_2B_022311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSALRRGGAAARLVALEGGSTRDILRMRVAERERGRRRRRDPGRDEFFVPTLESLAWLDSVSLPMVLTAAAVALFTKLLMMEHESTDQERRERKIKNSHPDQGKVRMLTREEWDEIQEVRPRTPFESKLARPHARLRTGEPVRLFGHRTQEYANHGQYSC >Et_3A_027007.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29389024:29390454:1 gene:Et_3A_027007 transcript:Et_3A_027007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVDDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEELKKKVEAKNGLENYAYNIRNTIKDDKIASKLSAEDKKKIEDAIDGAISWLDSNQLAEVDEFEDKMKELEGICNPIIAKMYQGAGADMGGAAGMDEDAPSGGSGAGPKIEEVD >Et_2A_016788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28129850:28136103:-1 gene:Et_2A_016788 transcript:Et_2A_016788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFNRLDDSPMFRKQVRGGVGSRFLRSTRVLISGLEVGLSRPLRRVREKKVSALGAMLGSGGSRIWLRMQSLEEGAELLRERCLRFHKGCRKYTEGLGEAYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDKLVQFVDIDLLEMKDARKRFDKASLLYDQAREKYLSLKKGTRADVATAVEDELHSARSSFEQARFNLVTALSNIEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLAYAQQSRERSNYEQAALVERMQEFKRQIDRESRWSPNGMHDSPNGDGIQAIGRSSHKMIEAVMQSASKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQNSRPSSGYSNQRSSTPAEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKVDADQSDLRFCFRIISPSKNYTLQAESAMDQMDWIEKITGVIASLLSSQSPERRLLQSPKGSSHHRTASESSSFSSSTELEHSISEDCMLEKNSGSGYFEHSARATQHHRTSMMKPDKPIDLLRKVVGNNNCADCGAAEPDWASLNLGVLLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNTFANTVWEEMLTSSTCADHGDISRADGLENTSHKSAVSKPKQSDPIAVKEKFIHAKYAEKDFVRKHNADEIQLAQQMWDNVSSNNKKGVYSLIVASNADVNFAYEHTSFNSALTLGKALLLQEQPCSPSNGSSRCFDRNPLEKGSPGDSISPASTSARIDGLDDSVEGLSLLHLACRIADIGMVELLLQYGANVNSVDSRGRTPLHHSIMKGRHVFAKLLLSRGADSQATDRDGRTALQYAIDGGTIDDEEILVLLEDPSR >Et_3A_023966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15244759:15248030:-1 gene:Et_3A_023966 transcript:Et_3A_023966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSRNTLRKDISIFDVLTVLAQVPTIPLSNFWKISHMHNNMSIDNGGYQSHKINIYWLLQHNYSFIHSNGDVFQTSRSIKLMTLLLLLGFPAHLFVVAQISTVSPPSFWKISDVPKSIDTGNGIAGIRYVLESSSVLYRTYFGFYTTDDDHSFSLAVVFLGPQPPVIWSANPDSPVSHDATLNFTREGGLVLNDGDGTMIWSTATKGQSVAGMSLDVSGNLVLYDQNNFTVWQSFDHPTDTLVMGQSLCRGKNLSARTSSTKWPSARVYLSAQWDGLHYSFEPAAYTKLFQITATPPRPSTCHEFINGSFGFPDKIFSLPSAVYLQFMRLESDGHLRLYEMQGVGRDAQMVLDVLSIAMKFCDYPMACGDYSVCNEGQCSCLSLSYFRFQNERLPSAGCMPIRSISCNHARGHQLVVLKNVSYFSHITSLSSATPSTSEKVCKQSCLNDCSCKVVIFASYGDDVGYCLLLSEQKLILFAEDLSNSYLVFAKIQDNHSEKSRVTTFVCTTIAGFALISVLLSVAIWKRCKKDEEQILDGIIPGITKRLSFNELKVATGHFSTKLGGGGFGSVFKGKIGRETIAVKCLEGVEQGMEEFLAEVKTIGRIHHLNLVRLVGFCAQKSHKLLVYEYLSNGSLDKWIFQKNPVFTLCWAIRHKIVIAIARSLAYLHEECEEKIAHLDIKPQNILLDDKFNAKLSDFGLSKMINRDKSKVMTRMRGTRGYLAPEWLGSTITEKADIYSFGIVMIEIICGRENLDESQPDESIHLISLLQEKARSGQLSDLVDRRSTDMQLHMEEVMKVMRLAMWCLQVDSSRRPLMSTVAKVLEGVMSLETTPDCTFVPNFAASNTDEADSSSFYRPAESHLSGPR >Et_7A_051852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25591052:25611245:1 gene:Et_7A_051852 transcript:Et_7A_051852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSVFDGGDSLIFDPDASSPEFNSSEGFLLLQQDVAIWRPGVGGSPDLEASFNTSFTVVAGAAPVSFVVLEYDYPHFLQKGGLRGAANNTALAGTAKPSNATGALAVVEVGPVMSYTPAAGLNVTVTPRGVGGRAVWVQYNATAHRLSVYVAGAGEPRSDTPLLRVPLGGGGWHWTTETASVGFFAGKLTDMILGVRDWDLTVDNILSGDGKKGTAWWVILLAVLGSVAATAIIVSAVIVASASDKKIAGAAERSNATGTLVVVEVGPVTSYGPDTPAASTSLSRRVGRVRRHCSPPVHVRRRSRQAEAGHALLRVPLSVAGLHWTTETASVGFFAGKVSDMIVGVRDWHLSVDNIVILLAVLGSVAATATIVSAVVLYVRSRRPHQQLALLCAALTISGTATAGDVVSYSFPAYRGDRRGGLPRLPPPSRARSLTSLCPCVPFPHRPSPAGFLLNRVGGSPTSSWALAPSGKCAWSLVLSWMCGLPILTALEVLDNNICLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITLCAAHQQLALLLCAALTISAGTATAGDVDSYSFPAFDATTTGSFVVATNSSILTPASLLFGSPASSLFPETNRSEGFLLLSQTVDVWRADPRAIFDVEASFNTSFTLLAGAAAPVSYVVLKDSFPPLHAPGGLRGAANQIILPGGPVPSNATGSLAFVEVDAVRSYGLDSSAFGLNVTVTPRGAAPAGGRAVWIEYRAAARRLSVYVAGAGEPRPADALLVMPLGVLGPWDTEAAFVGFFAGTIRDVIVGVRDWNLTVDRFPGDDEKKGTSWWVILVAVLGSVAGAAAIVTVVVRGDAGSSTWIRPRYRHSLAGRRATETAFVGVRDWNITVNRFPATGDGVGSNVKKATAPWLAVLLAVLGSVAATTRPSCNFGGSIDARHAVRRLSVYVAGAGEPRPVDALLVMPLGVEGPWDTETAFVGFFAGTVHDVIVGAQDWELMVDRFPGDGKTKDMSWWVVLVAVLRSVAAAATIVTVVVCYFKTRQRRQLNMDPQKI >Et_9A_062839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5654384:5661338:-1 gene:Et_9A_062839 transcript:Et_9A_062839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCTGASGGSPIISGFVAFLVLFFFSFATSSSASSSGGGNGTRAAFRSGEELLRWQEIVAELARVRNASVKTIQSPDGDVIDCVPTHLQPAFHHPKLRGQKPESEPSERPRSVSFHSDADAAGTADDNEGQALPQAWTLSGESCPEGTIPMRRTTEADVLRASSVRRFGMKARGSGGFARRESTGSGHEHAVGYVSGGSFYGAKASLNVWPAQVASPAEFSLSQIWVISGAFGNDLNTIEAGWQVSPQLYGDNNPRFFTYWTNDAYRETGCYNLYCAGFVQTSTRVAAGAAISPISSYAGRQFDVTILIWKDPKQGHWWLQLNGALVGYWPSQLFTHLGARADMVQFGGEVAASAAASPHHTATQMGSGRFAAEGYARAAYFRNAQVVDWDNGLVPAAGLRLLADRPECYDIAGATGGAWGVYFYYGGPGRNSGPTERPTTIATDAAASADDGEEQHHGLPQEWTRSGESCPEGTIPMRRTTVDDVLRADSVRRFGMKARVGGGFTRRDFTGGYVHEHSVGQLSGRRYYGAKASLNVWPAQVASPLGFSLSQIWIVAGTFGKDLNTIETGWQVSPQIYGDNWPRLFTYWTNDAYQGSGCYNLLCSGFVQTSSRIAIGGAMSQVSSYNGPQFVVTILVWKDRMQGHWWLQLGGAVVGYWPSQLFTHLAARAADGVDFGGEVAAAPGGPHTATQMGSGRFAGEGYARAAYVRNAQVVNWRHRLVPAAGLRFKAERPGC >Et_7B_054704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3699028:3703465:-1 gene:Et_7B_054704 transcript:Et_7B_054704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEHGGGGRSRGRARGGGRGGPHGGGDGRGGGGRGHGPRGGEYGGGGGAGGVGYGGYDGGRGGGGYAPRGGRGYNEPRGGGRGGGRGGGGGQEYGGPSGGRGGHAYGPSGGRGGNAWAPVGRGQGGGPAPAEYVPATAPAQATPARAIAPQEAQRPSGSVERIASSEVAGVGPLALPPVSKSLTGARTPMQRPDGGGTIFLAKVNLLVNHFIVNYRKSSTIFHYDIGISHDQASPRAGKELTKAELLSAKDELFKDSNFQKLSSCVAYDGGRNLFTSAKLPDDGLFRVTVRSRTYIVSVEFKKQLPLSQLSELPVPREVLQGLDVIVREASRWQKIIVGKGFYSPGSSENIAMDVVALKGAQQSLKYTQQGLVLCVDYSVLPFYKAGPVMAVVEKIVGRLNFRTRLENWQQSDLERELKGRRVTVTHRRTNQKYTVQGLTAKPAGQITFVDAESGETKRLVDYYHQHHGKMVEYQMLPCLDLSRSKDKQNYVPIEFCNLLEGQRYPKENLEYFNCDRRLKEMALIPAHHRKKEILNLVKAGDGPCRGEIAQQFEISLDVQMTEVMGRVLPPPNLKLSSSGGQPMKFSIPDPTTCQWNLMKNKLVDGRNLQCWGILDFTGSEEGQNKRERRMFMEKMVAKCCSLGIRMSPQPCYELGLEMKVLSNPTQLYEELNKAKQAAVNKRQILQLLFCPMPKQVPGYKTLKLICETKLGILTQCFLTPRAYNYKGQDQYLSNLALKVNGKLGGSNFQLYDSLPRVGGAPFMFIGADVNHPSPGNVESPSIAAVVASVDPGATKYVPRIRAQPHRCEVIKHLGEMCKELIDVFEKRNKVKPQRIIYFRDGVSDGQFEMVLNEELADMEKAIKVNGYAPTITVIVAKKRHHTRLFPKDGDEARPQSHNARPQNINVRPGTVVDTGVVDPSAYDFYLCSHKGLQGTSRPTHYYSLMDEHGFGSDDLQKLVHNLCFVFARCTKPVSLATPVYYADLAAYRGRHYYEGMMASQPQRSGGPPQVVNFPRLHQDVEDMMFFI >Et_3A_025133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27124661:27128050:-1 gene:Et_3A_025133 transcript:Et_3A_025133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSSERPSTPSMPTDPDFLSSSRPDADYAALRRLLLRRKPPSALQHRMEWRCNGKGYVAYRNFLLRRIDGGSAHSTPSNSGRWAPSPGPATLSEGDSWSSLRDWRNNSGALSRTISISSKQSDPERHVRFAEPAYSFVGMHCIFDNCKALVTVLKFGRASSDLLAYGAADGSLTVCQVSDPPSVLQKLIGHSKDITDFDFSSNNQYIASCSLDKTVRVWEISKGTCIRVVYGVSSQLCICFHPVNNNLLLVGNANREINALNFSTGRVISKLTFDDAVTALDIDHTGQLIFAGDAQGFIYTVSVNSHTGSISRTHKNKSSKTKSPITTIQYRTFSLVARCPVLLACAQDGNLSFFSIATDAKGYLTLICSLKLASRVQSIRASFCPLLSLEKGEFIVTGSEDANVYFYDLARPKNSCVNKLQGHGSPVIGVAWNHGENLLASSDSDGTVIVWKRANTS >Et_8A_057376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23989490:23991816:-1 gene:Et_8A_057376 transcript:Et_8A_057376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIPASAVDPEGVVPGQPKQLLLPGPSSLEESFRSANTSASSRFSVTDRSLDFSSSRFSEISGGPEHEVAGGQAKEKHLILRNKEPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAPQLDIVSSESSQQAQQQTQPLNSSSTSDHDKVILQFGKVAKDMFTMDYRYPISAFQAFAICLTSFDSKANLVCCNKVGMKPSETTDEPEANETICHDCH >Et_3B_028829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19636539:19640060:1 gene:Et_3B_028829 transcript:Et_3B_028829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGRRRYSSDQLLFDAPANAGAGRWAQQRGGVRRGDGEIFVSVEPATPARLRGGDGAAGESPGQRQQLSPGLLDLHAFDTELIPDFQVPAIYDGAQKFGYAHGGGFDDPDMSFAANKQMSKSTVFAESNYLKAFPEKEKAAPVAKIKVVVRKRPLNKKEISKKEEDIIDIEQRSNSLTVHETKLKVDLTEYVERHEFVFDAVLDEDVSNDEVYRETVEPVVPAIFNRTKATCFAYGQTGSGKTYTMRPLPLKASQDILRLMHHTYRNQGFQLFVSFFEIYGGKLFDLLNDRSKLCMREDGKQKVCIVGLQEYRVSDVETIQELIEKGSATRSTGTTGANEESSRSHAILQLAIKKRVDGNDSKPPRPVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQTHIPFRGSKLTEVLRDSFIGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGGNAKKDMPLAAPLRESSPSPMTSVVPSFSASDVMNDITERSNFGGPKQQYVKEQPAPTFVDQFPKVQESVEFNSSNGGYFKEQRSKGNMASNTAEVPDTMYPQGRQQVRKAKDPALEHSTRNSMAYPIRRAEPDDEDEPLNDLLQEEDDLVSAHRKQVEETLDILREEMNLLGEADQPGNQLDDYIARLSGILSQKAAGIVDLQARLEQFQRRLNENHVLLYA >Et_10A_001083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2095611:2105261:1 gene:Et_10A_001083 transcript:Et_10A_001083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GFFHNAATAAQDAGDERRQRPGRPVRADPPPPRLPNLPSSAAPAHSTRQPSPATTTHLLIGIRRSSSSSPHHGHRRFSLGEFSQWEVTDVHAGLVLLRQRGLSLSLVVYDPLTRRSQTISIAAFWALKDAFLLDGEDGNISASNFRVLCRFYDGHSARVLSTTNGTGSEDWQFLPGASRVNNDIAAINQFETTGQFVGRVDGSLYMFLTKLAWRSPWSTDLPSRARTERVIGISKFCVVHCTGDDDYSTSPLGPRIFHARGEELEVFRRCHSGSTGEWVLEHSIRRLSEATRGLPSCPEKDCWVCDVVTQSIGSVVVTVNETGNRKRRWCFSVSLNTMELTAVPESTYKRLKKLAFAYTLPWPKFMRRRPRKVKTKLADAMPATCTVDDVPDDLLDRILLRLDSPIWLIRAASTCKRWRGIIADDGGAFLRRVRSLHPPTIVGHYHHREQDPTSINFVPTSPPTASPSTSSAPSARMRGGRSRIATAASFSFKISGRPSSSSATR >Et_4A_035441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2496671:2500187:-1 gene:Et_4A_035441 transcript:Et_4A_035441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEQAGPEPEKKEEQEEESEPPRCGRHPSQLLTGICSSCLMERLSSVRDEPEIVEVGATEASSAGAAGAESGKLRKTLMLLFQLDDSSSGSAAPHPSEGEDSQVAEIGGGDGGGRRKSGSWLRSILPKRGMRWRRSGVSSVKAPSPPPPLPREEPVDPSGPSRCAGGRDGGSAVVQAERRLSFRRSCDWRVGREPSKSSLEPPRHSWDGSMVGRAFACSFACLEDSPARRVRQSNAEETAGEARGGVAESTNGGRHSVDAGSEGRHIRGRSGGDAGLDMAVPGVGRRRSNRWSRVWDRSITSPLKEFVRKGEHALERSLSESRREVRRGKNAEAPDISGEIHPGRSNGHVSGRASQSTRKLQAASNGDVQNFRADWLKNKECKIGRSRSVHYTSPGNLDNGMLRFYLTPMRSTRTTNRGRRRNSRLFGRGLFEILIP >Et_8A_057293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23264281:23265185:-1 gene:Et_8A_057293 transcript:Et_8A_057293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNILKQNGVNAEGCLFDQHARTALAFVTLKKNGEREFMFYRNPSADMLLTEAELNLDLIRRAKIFHYGSISLIAEPCRSAHLAAMRAAKAAGILCSYDPNVRLPLWPSEEAARSGILSIWKEADFIKVSDDEVAFLTQGDANDEKNVLSLWFDGLKLLIVTDGDKGCRYFTKDFKGSVPGYQVNTIDTTGAGDAFVGSLLVNVAKDDAIFHNEEKLREALKLSNACGAICTTKKGAIPALPTVADAHELINKGN >Et_5B_044011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18907672:18909413:1 gene:Et_5B_044011 transcript:Et_5B_044011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NMAVLCPAHAAAPVNANFLVGRLPPNHRPVMYCRIPELGNRLKRRNRHEQVKCFAKGSSLQDPVPSVKPSRLFPTEDLTIFPNSVPEEIFSTIRLDNCDAFYVLELSTSREFSSSLVDKNSAILVCFIDVVGDCLLQRIPAIYSDQSARVKAEQSIPFQSGSLDVVIFKGSKLQRIKEIWVGLESGSWRLDGLSLKVIHGPLNATKEIDATSGFNFSGLQYTFEKINALLGEYGVSVAEVKPVAVNDLSGLSLSDLQEGQLSSQGATTIAKEVKENGLKEYADLKQSLLLYDAAIVITGFSAFTLASKDNAAYSFLVGGIGGFLYLLLLQRSVDGLPVISSPSEVGSAQPSVSGFSGVRRPWLILSLVLVAGAVALKYGAGGNRFELTPTELFVGTAGFLANKVAVLLAVFKPMQSNSKSEDGSGGQT >Et_5A_041999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:558682:559688:1 gene:Et_5A_041999 transcript:Et_5A_041999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSLVFTILFVSPLILAMVTSSFPCPRWDIYACENEIDLRLYLLQVGSGTGQNQVGIFGKDGTFSQTGVNDWTIVDTPVLNAKVIGRAQGVHILSDMARVGWFVSFNLVFQGDSRFNGSTLQVMGVLPPEGEWSIVGGTGELAMARGNIKHSIAKPEPPAGSPFVFRQLDIHALVPTMFTKCYGAVVAASAQDK >Et_3B_027696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1324040:1324325:-1 gene:Et_3B_027696 transcript:Et_3B_027696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHGLRIPNAPTGRIVDGDHR >Et_3A_023352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22245721:22246326:-1 gene:Et_3A_023352 transcript:Et_3A_023352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRGRFDYCHEDGGGGAGGPLATAPVVDQADCTAQSCRSCVAVTLADAIALGCCPCAVVSLLGLAFVKAPLAVARRCLRRLRRRRGEMRQKKRCRRRREVDAWKGEIRLDAAEGCGGAAARRASSSSSGRLDAEKVWMEMYRVGQWGFGRLSVSVTPPRPVKAAGHVATARGTDGDGGRKDVDLRCES >Et_5A_041312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21032999:21042695:1 gene:Et_5A_041312 transcript:Et_5A_041312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTDAIAVAARAFVLLLVAVAASGLLFSANKASALLDDGRRLDPSPFRPGRVVAIDLGNTNSCVAGYASGDADDMFRICIPSWVAFAHDGAVLVGEDARNYAAVHPNAAVYGFKRLFGKRLSYAFEREFAQRASEKLPYKVLEKDARSHVQVTTNDCVVNQVGVEQLTLAVFAKLKAAAEARLGHTVHAAVVTLQQDCHNDACRDASLFAATFAGFDAVRVLHEPVAAAIAYGFSRKLREEGNVVVLHGGGHRHDLRGRRSYDPFFGGEDFDLRTVEHFVQLVKDKYGKDVSNDSAALQKLRSACEHAKKALSDRDQVEVSIDSLVDGLDLSESLTRAKFEELNDDLFLRVVELVDTAVSRSEIAKYGDVIDEVVLVGGSTMIPKVRELVKGYFAGKELNTMLKPDEAVTVGAVLYSKQSYLRRQKRATSSIVLHVGGRTAEATVMMLVDSAYEVLEEYGKDVTNDSAAMKKLRSACEDAKKAFTNRDRVQSRGDGGYSHACHELKLRSLKTLNMVSTFKNVGTALGILPGLLNEMAPPWVVLASGAGMNLLGYLMTYLAVSKKLTPPPSVPVMCLYVAAGAASQSFATTSAVVGTVTNFPDADRGGVLGLLTGFGGLSGAIFTQLHSCFFASAGAGNGDDGAALVLLIACVPSAVSLLAAPVVRRIPRKTAGSSTRRAVDRFLYVSVRARPPPRLPPARHQATSPPTAPISITTTTTTDHDEEPVVAGASGGVYSPPARGEDHTVLQALFTVDMQLIFLTTICGISGLLTALDNIGQIGESLGHTPSTISMLVSLANISNYAGRVVAGFGSEHVVKRHRIPRPLALTAVLLLACAGHALFAAGSRDGLYAASMVAGFCLGAQWPLLFAVVSEVFGLRHFSTLYNLVPLATPLGSYILNVQVTGRLYDLEAHRQGGRCVGVQCFSLSFQIIAGVTVVGVVASLLLAWRTWEFYAGDIYARFRTTSSGQRVSTPRRALSDQDHVHVSIKSLVDGMDLSKSLTRAKFEELNHDLFLRVVEMVDTAVSRSEIAKYGDVIDENVLVGGSTMI >Et_7A_052472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8468974:8471084:-1 gene:Et_7A_052472 transcript:Et_7A_052472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLAWIWIRGLNGCGVIAGRLRHCERRPADKLLRNKASSSDGRIVNVSSHFGQLRLFRNEELKQELNDIDNLTRERLDDLLDLFLKDFEANAVEERGWPMAFSAYKSKYYKAVDKAAMNAYFRILAKRHPEFKHQLCIFWVCQD >Et_3A_026042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3750751:3751632:-1 gene:Et_3A_026042 transcript:Et_3A_026042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMLALLALCAFFFLGRSVEAAKWTPAFATFYGGADASGTMGGACGYGNLYNAGYGTQTAALSTALFNNGATRNWGASWQSNSYLNGQSLSFRLRSDDGREITANNVAPAGWFFGGTYTSNAQFY >Et_10B_003112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16733957:16738131:1 gene:Et_10B_003112 transcript:Et_10B_003112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHYIPRALLMDLEPRVINGIQNSEYRNLYNHENIFLSEHGGGAGNNWASGYHQGEQVVDDIMDMVDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYNKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQVNMIRKTTVLDVMRRLLQTKNIMVSSYARTKEASHAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIDWAPASIQVALSRKSPYVQTTHRVSGLMLANHTSIRHLFSKCLGQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESREIIESLVDEYKACESPDYIKWGMEDSGEANVASALDSKLVV >Et_4A_034990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8495446:8502685:-1 gene:Et_4A_034990 transcript:Et_4A_034990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTGLFGWASPHLQPLTPVSEVSEPPESPSPYGDGPAGDAGVGAREGEGAGAGEEEVEDDEVEPPPAAVSFWRLFEFADGVDWALMAVGALAAAAHGAALVIYLHYFGRALNLLDSERVQSALHGHSEELLHRFKEHALYIVYIAGGVFVAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSAISEKVGNYIHNMATFVGGLIVGLLNCWQIALLTLATGPLIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAISYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGIGLGFTYGLAICSCALQLWVGRHLIVRGKADGGEVVVALFAVILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSSTNQEGTTLPLVQGNIEFRNVYFSYLSRPEIPILSGFFLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKVEWLRSQIGLVTQEPALLSLSIRENIAYGRSATFDQIEEAAKTAHAHGFISSLEKGYETQVGRAGLALTDEQKIKISIARAVLSNPSILLLDEVTGGLDFEAEKAVQEALDVLMLGRSTIIIARRLSLIKNADYIAVMEEGHLVEMGTHDELLNLDGLYAELLRCEEATKLPKRMPTKNSRERKSLQIEDASVSQNFQESSSPKMVKSPSLQRTHGMLQFWRSDTNRNSHDSPKDRSPPSEQTIDNGIPMVATETERTPSIKRQDSFELKLPDLPKVDVHPLQRQASKNSEPDSPISPLLTSDPKNERSHSQTFSRPQSERDDTSSEQSDLDEIQEHKPPSFWRLAGLSIAEWPYALLGTIGAAIFGSFNPLLAYTIALIVSAYYQLEVRDMHHEVNRWCLFIVGMGVITVLVNWLQHFYFGIMGEKMTERIRRMMFSAMLRNEVGWFDKDENNADTLSMRLANDATFVRAAFSNRLSIFIQDTAAVSVALLIGMLLGWRVALVALATLPVLVISAIAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLHLGKILKQSLVHGLAIGFGFGLSQFLLFACNALLLWYTAISVNQGRLTIATGLKEYILFSFASFALVEPFGLAPYILKRRKSLTSVFEIIDREPKIDPDDTTGLKPPNVYGSIEFKNVDFSYPARPDILVLSNFNLKVSGGQTIAVVGVSGSGKSTVISLIERFYDPVSGQVLLDGRDLKSFNLRWLRSHMGLIQQEPVIFSTTIRENIIYARHNATEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLVMGNKTTILIAHRAAMMKHVDNIVVLNGGRIVEQGTHDSLMDLNGLYVRLMQPHFGKGLRQHRLM >Et_6A_047463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6111509:6114058:1 gene:Et_6A_047463 transcript:Et_6A_047463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DPALTTLKYEWNGSCLSVRVLLKVAGNRLVSLMASEFASITGVKNDLSELQDINGEITSWLSTVRDLSIENDQRFRWVIKLKDVAYDIDDLLYEVHLKAEDYKIDSYRDKHAIADCLHVKPSSFIYRCKLTRKVKAIKVKLAVIAKQRSVMNAIGNNLPVDQQTLSRNRAIGELSLLTNVEENTDKGQREDWNHCKLLESNEGKYDWIVSVVGLGGSGITTLAKHICHDKKIKENFKYTFWVHVSHDFNVKKLIGQEEKDLGSELIQFGKEIVKQMRWAIKTLGGILCEKREISTWRAIRESDLWNEGTIEGRVFASLKLSYIHLKDHLKQCFTFRSIFPKGFIIRKSYLIGQWIAHGFIKLMKEEQPEDIGSEWFASLVKVGFFHDPLECWDGSGVVYKMHDLIHDLTRYILQNEVLTSLPKYMNKDCTYKCRYLSLNSCSLFDKVDTSLFDKVRALYLSEGNQSFDKPVKKTCYTRSVILNYATDTPFPIFVLKLEYLGYLEIHNVSCTELPEAISGCRNLQSLHFIECSGFATLRKSVGKLKKLRTLELTRIADLASLPQSICGCHDLQLLQLRSCTKLREVPNSISKNENLRVLEILYCSNVQQLPSEFNGEFSNIQAINLASCRSLKFLPRALASPKLRTLNLSQTRVTTLPEWVTLIGTLECINLEGCSELVELPRGIGNLKRLEVLNINRCQNLHCMPSGIGQLTRLRRLDLFLVGCGQGQHDASISELKNLDMEVV >Et_10B_002740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10229338:10234009:-1 gene:Et_10B_002740 transcript:Et_10B_002740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NTSEDRDCAENTEANKKNVSLAYIQSVSSLRLLKAVWKLGETPYTPAFLCFQTVQHTNKYLQRGVGIINTMFMNEAMLGKSIWRLYNIDEFDICSEILRKKYLNCTPFSKERNLNASQLWRRLQGQTEILGRSIFRLQNLCNERVLGWRNLECGTQKKYKSGTDCRMG >Et_9A_062177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20376851:20379449:-1 gene:Et_9A_062177 transcript:Et_9A_062177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPPASISLAARAATRAVAGAAATPLRRGGLAAACQPARSLAFAAGDVRLAVHVASRCRQASSARGTRAMATMAKKSVGDLGAADLEGKRVFVRADLNVPLDDNQNITDDTRVRAAIPTIKHLISNGAKVILSSHLGRPKGVTPKFSLAPIVPRLSELLGIQVQKADDCIGPEVEKLVSALPNGSVLLLENVRFYKEEEKNDPEFAQKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGASLVEEDKLELATTLLAKAKEKGVSLMLPTDVVIADKFAPDANSQTVPASAIPDGWMGLDIGPDSIASFNAALDTTQTVIWNGPMGVFEFDKFAVGTEAVAKKLAELSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVVALDEAVPVAV >Et_10A_001114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21232753:21241085:1 gene:Et_10A_001114 transcript:Et_10A_001114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQREQEEQSPMDAAQRRLRAVSAHLQPPAPAAGSSLAANPTAGEYAHVQGYSVVLPEKLDTGKWNVYRSARSPLKLISRFPGTPDVGTLHDNFVYAVETFRDCRYLGTRIRADGTVGDYKWMTYGEVSTSRTAIGSGLIYHGILEGACIGLYFINRPEWIIVDHACAAYSYVSVPLYDTLGPDAVQFIVNHATVEVIFCVPQTLSTLLSFITQMSCVRLIVVVGGDDAKMPPAPATTGVQILTFTQLHNQGKMSPQPFRPPKPEDVATICYTSGTTGTPKGAVLSHQNLIANVAGSSLAIKFYPTDVYISYLPLAHIYERANQIALLHYGVAIGFYQGDNLKLMDDLAALRPTVFASVPRITNAVKESGGLKERLFRSAYNAKRQAIINGRNPSPMWDKLVFNKIKARLGGRVRLMSSGASPLSPDVMEFLRICFGGEILEGYGMTETSCVISTMDIGDRSIGHVGSPNPSCEVKLVDVPEMNYTSDDQPYPRGEICVRGPAIFGGYYKDEVQTREVIDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFVYGDSLNSSLVAIVAVEPEVLKAWAASEGIQYEDLKQLCADPRARAAVLADMDSIGKEAQLRGFEFVKAVTLVAEPFTLENGLLTPTFKVKRPQAKAYFAKEISDMYAQLRETESPRQKL >Et_3A_026980.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28700554:28702638:-1 gene:Et_3A_026980 transcript:Et_3A_026980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHPRPVVTTLPPPGCSTSAFSMPPPPSPSDGELLRSLHRLARDLSAVPETPAPFLRAALASISRRSKLLAAAFDDLAMCAAAGDLPRSASLCLREVLLVLQRFKAVAADCAARSRMRLLLQSDEVEEEVRELHQDLATLLDLLPVVELGLAEDVADLLALASRQCRRFAPAAAAAERALKARVLSLIHEIEREIVPERERLEEILEEVGINDPASCGEEMESLEREIGDRASERWTAAMIALVGLLRYAKCVLFSATPRPKPDDHPEAADDGEPPAPPPDFRCPISLDVMRDPVVVASGQTYDRESIGRWFDAGKSTCPKTGQVLANLELVPNKALKNLVAKWCRENGVAAMEGGAGEAGKSSEPVVAAVAANKAAQEAARMTASFLVKKLSAVSFSAADAANRVVHEIRLLSKAGAECRAFVGEAGAVPLLVPLLYSQDAGLQLNAVTALLNLSILEANKKRIMHADGAVEALVHVMSCSGGATWRAKENAAAAVLSLASVHTYRRRLGRNPSIVEKLVHLVRAGPTSTKKDALATLLVLAGERENIGKLVDAGVAQAALSAISEEETAAAVLAALAKRGGAEAIVSIDGAVARLVAEMRRGTEWARENATATLVLLCRRLGSQAVTQVMAVPGVEWAIWELMGTGTDRARRKAASLGRICRRWAAASAADGERGNGCPAASVDPPAMMAS >Et_7B_054529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22591500:22597846:-1 gene:Et_7B_054529 transcript:Et_7B_054529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYCDHCADYCPTVKDPDKGYICCGRCGKVLDQEIYTDEPTFIKDSSGQSRLAGKILTSIDSGYSLSHERTLEKGKDEISQIVNNLHVGGGETIIERAHRFYKLAVEQNFTRGRRTTHVAAACLYIACRQSKKAYLLIDFSDYLQISLCQVLLLSDHPIVQKLIDPSLFIHRFTERLLGSRNNDTGRKPSGLCGAALYIAALSHGYNYTKADIVSVVHVCEATLTKRLIEFENTDSGSLTIEEFLAKADEISEEPVSKLSPKSGEILCKHKDRGAEHFAHGLCEKCFNKFTELSGGLEGGADPPAFQRAEKQRLEAEKKSEEAAGIKETALGEKNCATQHSDVENSFIPPEKGKGDDEPESLSDIDDVEVDWYLHNEEETQYKKIIWEEMNKEYLEEQAAKEALAAELASRGVVMEDGKKKKRKRNEDAKTSLSAETAAEATCNMLKRKGLGSKINVEAVGGLYNTKDEDGSGNKKEEMDFEGGYAQDDNGDAETFDYGYDDADQKYDGYDNDGGEADDIFDFL >Et_10B_003313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18703282:18708916:-1 gene:Et_10B_003313 transcript:Et_10B_003313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPELQNSAFSLLVLLLPVIYIWYHLVRTLTKKKPSTHGLKSHPLLGHLLAFVKNRHRFLDWSTELIVGSPDMRMGFWIPGMRTGIITGNPADVEHVLRANFGNYPKGEHAISMLEDFLGHGLFNSDGEQWLWQRKNASLQFTKRSLRKFVVDVVQAEVTNRLLPLLRRSSTGGVVLDLQEVLERFAFDTICMVAFGHDPCCLADGGVLAEAKSEFMRSFGEAQDLIVRRFLDPVEVSWKIKKWLNVGTERRLKKAIADVHAFAMDIVRARRRQSAAASAQNRDDVLSRFVQASDDEHYSDEVLRDIVLSFLIAGRETTSSALTWFFWLVSSRPDVVARIADEAFGFDALREMHYLHAALTESMRLYPPAPIDSQSCAADDALPDGTHVGAGWTVTYSAYAMGRLAAIWGDDCMEYRPERWLGDDGEFRPASPFRYTVFHAGPRMCLGKEMAYVQMKSIVASVLEEFVVDVVGKEAAGGGVPEHVLSVTLRMKGGLPVQVRRRVAAGTASVEAQPPMARPPPALPRSSCVCSSVVNPTTSKRKDGRGKITKKDTSPAAAQLSLLRAHARAGRMRSAREVFDALPAPGRSLVAWTALMSGYATHGPASEAIELLLCMLGLLMRPDAFVFSVALRACASVGSIRLGRQLHGAVAKLGYVGTDLFVANGLVTMYASCRSLGCAEKVFAGIAAPDLVSWTSILSAYTENGCHTEALILFLEMVSDGIPCDAFTLSVALMAASSLGRVGLGHQLHGCMIKMGLVGNEFLENCLIGFYGRAGELRLMQKVFDEMNGKDLVSWNTIIQCYAGNLLDDEALSHFRAMMFEYSKCDEFTLGSILHVVTRRGAFDYGMEIHGHLIRAGLDSDKHVMSALVDMYVNRATLQKRHRMFPLRMLRYYMSVQGKLDQFIVASSLKSCASDLDLASGRMLHACILKFDKNPDSFVTSSLIDMYAKCGSLEESQLLFSRTKDPGTAAWSAIISSNCQNGQYERAMHLFRRMQLDHVQPNEFTYTAVLTACTALGDVASSMEIHSSSIRTGYEANTSVVKSLISFYLRQGMYHQALKLCMSLSNHDISWGTLVQEFAQDDDHVGIVNLFRVIQRSGVELDSKTACLILSSCEKLALLDEGLQAHAYITKRGLASTACVNNHLIDMYSNCGNLRHAFDAFNYMSDKNASSWTSIIVANVENGCPETAIRLFRQMLRKEKPPTSTAFLFVLKACSKMGLVSEAFKFFVSMTEVYKIQPSEGHYSYVIEALGRAGMFKEAEHFIDSVVPSESGASAWSLLCSAAEQNGNAETVKLAAKKLAKC >Et_9B_064844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19160907:19162730:1 gene:Et_9B_064844 transcript:Et_9B_064844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPDDLLTDVLGRLTPFSLAASRCVGKSWCDIIDTRRLLRADLLPVRLDGFFLIEDAFLLTPYLDFLSDEIPSHICLWTTDHCNGLLFLLETFVVNPATRQWVSLPPFPEPWVGMEEFDFNFFLAYDPMVSSHFEVVLIPLVPSIRYTIEFKESEWPPSPFTTYVFSSRRWRWEERSFVREGKAAGTIADMRCWFDEYVDPRFRHAVYLRGALYVHCQNDAVMRITLWNDKYQMIKSPTKNNGSNQGVVYLGKSQKGVYCASISQENSWPRLRVWLLNESGSELEWIFKSDVSLQTIVEKFPSAYTDTCNMPWILNDNNDTCEAWTEAELEWDFERGIVLHEINDDKKTTTCNKSVLFLGFHPYKEIVFLKGSSSRVVSYHLNSSKVPELGILNRGYIVKSYPYTPSWMEFENNCRS >Et_6A_048054.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4617254:4618810:-1 gene:Et_6A_048054 transcript:Et_6A_048054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSLSRADNGGAVVVVDEHRSPPQRGTLLDAEEFRRQGYQVVDLIADYYARIGDIVVHPSVTPGFLLRQLPADAPARPGPEAFTAALQDIRDVILPGMTHWQSPRHFAHFPASSSTVGALGEALTAGINAVPFTWAASPAATELEMVVVDWLGRALHLPETLTFAGGGGGTFLGTTCEAVLCALVAARERKLAEVGRGRVGDLVVYCSDQTHFALAKAARIACIAHCREVATRRDDAFALSPAALRAAVRADVDAGLVPLFLCATIGTTATAAVDPVGELCAAVRGVAGAADVWVHVDAAYAGSALVCPEFRHLAKDAAAVDSFSMNAHKWLLANTDCCALWVRNPSLLTAALGTDHDVILKDAAAAGHQVVDYKDWTVTLTRRFRALKMWLVLRCYGVEGLRDHIRAHISMAATFESMVKADPRFEIMAQRRFALVCFRLRPPAGSEKKANMLNTRLLEEINATSFGPYLSSATVGGVFMIRCAIGSTLTQEHHIVEAWKVVQDQAALLLRKLEN >Et_10A_001250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22655561:22657282:1 gene:Et_10A_001250 transcript:Et_10A_001250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTPGVLLKLLQSMHTDERVAGEHRSPVLQVTAVVPALTASTADSHLSPTNGFLLNLSDGLHSTYVQLPPADADALLSARPQLVGHLVHLDRLRFARPVPRAVGLRPVPSSRALPCAGNPEPLVARSASCARGYVIQPAASPSDAAPPLMPSIQPQQQRRRQKGGPCAQEHRLRRTGTSDVKRRFSSPAPSKQRYPSPSVKGASRASSPSVKGASRASSPAVRGTPRATSPAPSKCVVPSLVQAKEENRRAAREPAIVVPSRYRQPSPAGGRRGAASPAVGGRRASLSPSSRRLSGEGCGKKKVGVLVAGISKMTDLGNGSAVKPGRKSWDDQTLALATAAAGSVMKSRAKVDKDTILRTQEAMSRRLSDATTEQSSNDDSSVDERPKP >Et_1B_012483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32342410:32344086:-1 gene:Et_1B_012483 transcript:Et_1B_012483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSSPDDALFASDADCSSVPVSEAVLLGAKNAAIAGTVVAVPTLVGCRVLPWAKANLNYTAQALIISAACIAGFFITADKTILRNARQNTIGKLDKST >Et_10B_003058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1633368:1643429:-1 gene:Et_10B_003058 transcript:Et_10B_003058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESTVLILLLCIFFPAVAAPDGAPSRPSVSLPGCPEKCGDVLIPYPFGIGTRCAAANLSSYFNLICNDTLNPPRPTLGEVTGNVEITNISLEKSEMRVLTPVSYICFTSNTTYSKFTLDYYLMEISPFRPSPSRNRFTVIGCNTLGAIGGYKGVKDQYVAGCYSYCESINSTSDGASCAGMGCCEAAIPNDLTAVRVMFEMNQSRVWDFNPCFYAMVSEVGWYSFEQQDLRGRLGFINSKAPRGVPVIVDWAIRNGLCPEVGHKPPSDYACISANSYCTAPSNGPGYLCQCSNGYEGNPDILNGCQDIDECMLRKQDSKYQELYPCRDGVCQNTPGYYRCICKEGTRSDGTNFGCLLHNSSKDHSTILFTIQRRKYKEKDEYFRQNGGLKLYDEMRSRKVQTIHILTEKEIKRATDNYNEDQVIGCGGHGMVYRGILNDQKEVAIKKSKVINDNCREEFVNEIIILSQINHRNIVRLLGCCLDVDVPMLVYESVSNGTLSEFLHGADYRPSIPLDLLLHGDVKSANILLDDEHNAKVADFGALALKSMDENEFIMLVQGTLGYLDPESFISHHLTDKSDVYSFGVVLLELMTRKRAMYVDEFNEKKSLAHSFLMMFRQNKHQNMMDPNIQEEAVLVVLEKLVKLTVHCLRPSRDDRPTMKEVAERLQILRRLHMRATNGNENHYYAHDHEGSSSVLIIFLVMAIPATTSGLAISLPGCPDKCGDVFIPYPFGIGASCAATSLNSYFTVTCNNTFQPPRPMIGYPSASTEVLDISLERGEMRVYGPISYNCFTSNTTMSDNSTEGYNLEGTPFIPSTTRNRFTVIGCNTLGLIGGYMHSNPDLYLAGCYSYCLGINNTFDGAPCTGKGCCETTISPNLTDFTALFVINQSSVWNFNPCFYAMLVEVGWYSFRRQDLVGHLGFINERANRGVPVIGDWAIRNGSCPKEGEMVPRDYACISSNSYCLTASNGPGYLCNCSQGYEGNPYLHNGCQDIDECKLRKQDPKYEELYPCKNGACHNTPGSYTCKCRIGTRSDGTNSGCRPILSRAEQVVVGLSVSAVVVLSLTFFLVMKLQRRKHRKEKDEYFKQNGGLKLYEEMRSREVDTIRILTEKEIKKATDNYSDHHVLGCGGHGMVYKGILDDGIEVAIKKSKVIDDDYREEFVKEIIILSQINHRNIVRLLGCCLEVDVPMLVYEFVSNGTLFEFLHETDRKLPIPMDLRLKIATQSAEALAYIHSSTSRTILHGDVKSLNILLDNECDAKNMLDSEIIDDEVMIVLEKLAELVMHCLSPRGEERPTMKEVAERLQLLRRLHMQLFTKTEPKRVRYSYGGIGPSTPSTSDKMRYHGMDTAKLVLDAYLTSHVMAHTKSVEL >Et_7B_054118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15711276:15717465:1 gene:Et_7B_054118 transcript:Et_7B_054118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPFPPHHPQPPPPPNLPSTLAHLRSLLSAAASALAALPSPLLPSPTTASLTTISSPPRNAPLPPPTSAVTLTLPVAPAPYSDCPAVVRATPAPAPESCSLPAFLAAECADFSSSTPGTTAPPPSPPAPSRVLPSELGLLRRELDSWGAGGPPIPGSYSYAAARVVAAFRFSVTPRWEAELRQWVLGRSPRYGILIDAAVRDHVWVLVWLCLKAAATEAQCSLERIQNGDDHERLGSDPRAVRFECPRLAEGVSWLGAQLGVLYGEGNGRFFALAAVKEAVLRLGYHLTVGTGDGFGGGGDGQFGASGGAAEKGSDAGDVVAGPVFLAQVAAAIAALYERFSMEEKIKSMRAPRPSKYQLLHEYSKALEQGHLERSNRPNYRAVLEYDGILSRRVDNQESGRAKTREELLAEERDYKRRRQSYRGKKTKRNTTEILRDIIDEHMEEIKLAGGIGCLVGAPDDMVQNMLKSNSRGDMYQRNVDATDSSSYDKAALGSWSPSCENLPHADSLDRISSRSHGKRDSYKSLRYETHGSRYQNLSDHENSRWNKESERESDQSYLNRHESRRHRRNSNDHSKYAYKHKNDTSKDSDYRFEPSDCTTRSTRSQKSSVTEYEHMLEAHSDRSKTSQKRHRSVSVTQDQFSDRYDPQSAYSNEDPPTNMLYDVTEGKREMYHDEVHLHGHHERKRDHHGGASFVHISKQKS >Et_2B_021462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29911848:29914543:-1 gene:Et_2B_021462 transcript:Et_2B_021462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGGGEAGAPTTTAEPAAAAAAAGGVVKGKSCKGCLYFSSVLRSRSRGPVCVGITRAIPEVPERLVGEIELEAIREGRNLSDFKYACVGYSIYLDDKENPMGKREKRAQAQLPICVGVELLADRRAPFKEGVSKKDAPQPRRYPAHAGDDFITKFQRNAGLVANGVAKNLNKVGTYIKDTVGDMIYPYRKRSK >Et_9B_065930.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18030608:18030913:1 gene:Et_9B_065930 transcript:Et_9B_065930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCACGASRHAASWETDDGEPVLPVQEEESVVEVATAGGEVTIRISKRRLQELMAKAAGGEEGFTAEKMLSEIVNAGEVVDVHRRRWKPALQSIPEAVES >Et_4A_033105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18506711:18510076:-1 gene:Et_4A_033105 transcript:Et_4A_033105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSDEESDISDSDIVEYKEKTCARLRAGKMKVKHGEKAFRCPFCPGKMKQDYPLKELLQHATGIGAAHKRKAKVRATHLGLAKYLEKELASSLERSLHIVVHKPKNSKDEEEKFVWPWMGIIVNLPPELNFEEFPRESEDKLRAQFSRFKPLQVTILENVNDQTLCAIVRFSKQWSGFNDASAFEKHFIVEKYGKADWSKENCKKDDIYGWLARSEDYNSPGPIGEHLRNNGDLRSVGDVEHEALQATDRRVAYYALQIEETNNHMRELELKNNHNAMKLERMMEEKDRIVEEHNKEIQKMQDSACKSSRRIVAENLKLHEELQTKRKEINGRSTLKQKEADKGLLRLVEKQKAETDAALENLKELERTLASKHKLELEIEQLRGKLEVMKHMGAEEDTNLKEIEKMRESLQDKDDELEAIDSLNQALIIKERRTNDELEDAKKDLISGLYKMSGCRSNFGVKRMGELDHKAFIAACKEVKGGNGKQLALLCSKWENEIRQPEWHPFRVIMVDGQEKEIVKDDDEKLRALKAELGDKAHDTVVQALVEMNEYNPSGRYPIPELWNFKDDRKASIGEVAAYVVKQWKTHKKKNSYF >Et_10B_003109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16665048:16666670:1 gene:Et_10B_003109 transcript:Et_10B_003109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNGVNKHAAAAPMEVSLEAGNGVEGDWRDDDGRPRRTGTVWTASSHIITAVIGSGVLSLAWAIAQLGWVAGPAAMLLFAFVTYYTATLLAECYRTGDPETGKRNYTYMDAVRSNLGGAKVTFCGVIQYANLVGVAIGYTIASSISMRAIRRADCFHSKGHGNPCKSSSNPYMILFGLVQILFSQIPDFDQIWWLSIVAAVMSFTYSSIGLSLGIAQTISNGGFQGSLTGISIGAGVSSTQKIWRTLQAFGDIAFAYSFSNILIEIQDTIKAPPPSEAKVMTKATRLSVATTTIFYMLCGCMGYAAFGDASPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFVQPIFAFVERRASAAWPNSAFIAKELRVGPFALSVFRLTWRTAFVCLTTVVAMLLPFFGNVVGLLGAVSFWPLTVYFPVEMYIKQRKVPRGSVKWICLKTLSFSCLVVSLAAAAGSIADVIDALKVYRPFSG >Et_3A_026693.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:14670092:14670520:1 gene:Et_3A_026693 transcript:Et_3A_026693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEGDGKKPTAPRPPSPEKTEVDAEDEQVERFYALVANIRAMRAMFKAAGSSCDHSADGEAIQRKKRRQVEHQPPWRPAFAIEDFRDTVDDSVVDGLDRKKARSNGVVKEEEGTEEVEREEGEALEGKEVADVVQSSYKK >Et_6B_049073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17554876:17555337:1 gene:Et_6B_049073 transcript:Et_6B_049073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNGPVYMMALPLLVIFGLPMVPACCRQQSLEDGKMVPVVSSATLAESKIYIVFCIPADCRDLDPAWKDCHCCGNAHHAQSCHQTQEECRAKCPLCNPKCSPMPPPQPSTDCRLLHARMNATRH >Et_7B_053585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10049331:10055244:1 gene:Et_7B_053585 transcript:Et_7B_053585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTSRPPTPKATAASSSWIDSLSLKAALAMALIHYNRLPGKAAAAAATSAGTSSPPLNHWKRKAEDRKREILRLSEELKLLHDGARGEEMEPPVASCRCHFEGCGDLPPQPCGSSGEHWVDEVLRRRFLRLVQWKEKRRRVDQSLPRSSPIDYNSGDEMQQLSMSTNFLVELSDGIFAKREACSSFATFSHQAVDFILASLKSILSSERDKDLIEEIINGLVTRTLGLSLPQFSIQHLFRQLGNEEFIGQRIILAVSQKISNASERLLLADPFDDAFPDKHDNIFIMIQLIEFLISDYMKDWLCCEHFDKRLFEECARSILKARMDLQVLENMNELYVVERVVGRLAREVAPAAHQGKLDQEIRRGVLVQFSASACGLGAERSERQLDSNKSSARATGVVLPRRPCGACCPSCGGGDGPRGWWTRARALGRGAEADAGYVARSVPAYGAVRLHLGGGAEEAWAASGWRPARAPDEVGHLMAR >Et_3B_029321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2396653:2401021:-1 gene:Et_3B_029321 transcript:Et_3B_029321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPGHARVRVTSSLNRPPACACGAQLTDGALSVVLLRDGENRRTKPKAMGLTFPVMPISRPQVRVGHANQGPAVLNGANLFPAFLDRSRRRATISSPRRTSSPPPAAASPPPLGATHAPQPLNPDYRPLPSPLSLTLLEVQRSMAPPDHEGEGSGAAKGRRRRGVRRRRPRQEDAGKETKRKTRASPPPPAADAEVDDLIRKQIREMLAKHAEDKRLADENNGSMSGKDVLTVESRFGNIHDGSIGSLKARKVAMMISQSIVSLSSFSGGKRIRVCSGFVMSWNRNTGRPLIVTSATLVRSLNGDNVMVSDLMVKVLVPNGTIIDGSISFVDFHYNIAVIEVPSDLKIPVVSLANDIAVEGDVIALGRSYEAGDIMCSRGTIMYRSSTFGCLDLLVSSCNISMAGVGGPLVDYNGHVLGINFYRKNETPFLSMAIVSRILEHHQRFGKTILPWLGLKYIALETVSLRVLERIYQKFPDVDKGLYISNVAVGSPADMAGLCAGDVLVKCGGKDLSTTPQFVSMLLDTCKQHAETHDWENTGDYARENIDVEVVVKQDRDGTTMSKTISAALLKEFHYNRHDLFFYMDFVEKYASTYLWDHRTAAESSHGNSSAVPAVAPPAGAARSVHGWQPPSLEDILRELLPEGAVFEGGIHLQWLYFSLDFDRPTRRTDEGPCV >Et_1A_006257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19779211:19783801:1 gene:Et_1A_006257 transcript:Et_1A_006257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPLAAGLLDLRPCKISPKPPPPPPLPLPARRRTHSTAATAAAPSPRRAVPDLHSSTELPDGSIVFRFGHRREALEPEETIRSPQTAGGPGPDAAGSPASVAVTGGEPEPEQGGPARAEEPHPQLAAEASPKLPPSDAGPVLHDGGGEPGAASAADEEAPEQPAGSNAGVEAEVELAAAGASVAGESEELDGDGAVLEAGVRTVGVAVEATATGSEERDDASAVDGSGGEQATATAGLEESEEVSEGSTAQDSDTDVETESSSSSGDDKGAEFGVPLQIEEQVNNKVDLRKETSVVKSSDRMVPVPQSTLGLSSGAAILPHPSKVATGGEDAYFIACNGWFGVADGVGQWSFEGINAGLYARELMDGCKKFIVENQGAADHRPEQVLSKAADEACSPGSSTVLVAHFDGQVLQASNIGDSGFLVIRNGEVYEKSKPMVYGFNFPLQIEKGDDPLKLVQNYTIDLEEGDVIVTATDGLFDNVYEEEAAAIVSKSLQADLKPTEIAEQLAARAQEVGRSGAGRSPFSDAALAVGYLGFRGGKLDDIAVVVSIVRTSDI >Et_8B_060323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9568582:9568943:1 gene:Et_8B_060323 transcript:Et_8B_060323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFACSSPRKIALISALALKKVTAAVVFASKKVTTNTITDKVGHLLEVPQRVVLVKRNSNMSFIKEQMMVSCWIICTHINSIIMTIGQGLRRD >Et_6A_046667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19177828:19179859:1 gene:Et_6A_046667 transcript:Et_6A_046667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLFARDGRLTVKRDVYSFGVVLNNMKALEGVAKLAGQCLMMERERRPEMIDVVERLRTLGKGFHPEQNESNPTPQAPVTIPTPQPLVTIPTPQPLVTMPGETWPSDLFRRFSYEEIEIATRRFDKSLVLDSYNIVYCGWINGGATKVAILTYTFDDAYKFRSLTEMSKLCHRHIVQLIGYCNNNSNNRKQFLVYELMDRGNLYDHLYKAHKPRLTWKQRLETCIGAARGLHHFHRGTDHAIVHGNLSSANILLDDNWASKISDGPLFGSASDLFGSAEVLCSTRNYCSLDPESMHTFSLTEASDVYAFGALLFEVLSARLDRILPMDYMLQWALRYKEEGRLYQFVTKGELRASVYCISVKR >Et_4A_033260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20613683:20618265:-1 gene:Et_4A_033260 transcript:Et_4A_033260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAGGGGAADEEEFRAEVEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVQWLPDRVEPPGKDHSVQKMILGTHTSDNEPNYLMLAQVQLPLDDAEADARHYEDDHADIGGFGAASGKVQIVQQINHDGEVNRARYMPQNSFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLKGHNSEGYGLSWSTFKEGHLLSGSDDAQICLWDIKANSKNKSLDALQIFRHHDGVVEDVAWHLRHEYLFGSVGDDQHLLIWDLRSPAPTKPVQSVMAHQGEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKIDTSLHTFDCHKEEVFQVGWSPKNETILASCCLGRRLMIWDLSRIDQEQTPDDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVVASVAEDNILQIWQMAENIYHDEDDLPISDEPAKAS >Et_8B_059445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1852452:1855441:-1 gene:Et_8B_059445 transcript:Et_8B_059445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTAPLNYAEEPPRHPALKINSKEPFNAEPHRSALVASYITPVDFFYKRNHGPIPKVDDLIRYSVSISGLVNKPIQISMADIWALPKYTVTATLQCAGNRRTAMSKVRKVRGVGWDISALGNATWGGAKLCDVLELVGIPKLSSVTALGGKHVEFVSVDKCKEENSGPYTASIPLKQAADPDADVLLAYEMNGETLNRDHGYPLRVVVPGVIGARSVKWLDRINIIKEECQGFFTQKDYKMFPPSVDWDNINWSTRRPQMDFPVQSAICTLEDVDVIKEGKARIAGYALSGGGRGIERVDVSVDGGKTWVEARRYQKDNVPYVSDGPQSDKWAWVLFEATLDIPQNAEIVAKAVDSAANVQPEKVEDIWNLRGILNTSWHRIKIQNSSCVGRSKI >Et_4B_036543.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29162858:29163088:-1 gene:Et_4B_036543 transcript:Et_4B_036543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARGCSSPSPARTARAPSRHSERLAAREPATFIDMSTRAIQLTALKNALASCSKELKKHVNKKKLMARRRRSTHLIC >Et_7B_053857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12821724:12822680:-1 gene:Et_7B_053857 transcript:Et_7B_053857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRELSFERDNGRTDCTYELRMQGRVTYYSSVKSHSLHEHITHSLSTGHFSVRKQTGALDTHYRHSDTHFRASELNLLSLGLSPGARARARARTNALLLGTLAISPGGNTNHAGSLDLVGRELPEPHGEHAVVEAGGDGVEVGVVGEAELAPEAAVGALLPVPVVALALLLLVAPPLAADPQHPVLLHLDLEVLPPHARHVHHDLVRVGGLAPVHAGHRHHGHVVAVEAEGHLLQDTERVGEEAHVRQRRHGRGGGVVAAAAPAAAEPRDQRAVRQRRRHGEAREHGGSQPHHLHRRRADVNEH >Et_6B_049965.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:2131258:2132177:1 gene:Et_6B_049965 transcript:Et_6B_049965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHHQRSASLPSFPSYSIDSSVEQELHTLKSHIISSPSATTIDAACDGLRRLVDVYTSVEEMTRLPSNHAGLCSARQRKAVEAELDMSLELIDLCSSMQESLAELKTCVHETRLVLKRGDAAAAQIKVESFVRTARKAQKPLMKKTTASSNKAADEGCSRVVRVMAEARETGVSLLESTSRMLPKQMGGSASASKWSLVSRRFQTRKVVVCEEEELRKLERGVGDLGEGVEFLFRRLIQSRVCLLNVLSL >Et_8A_057558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4493863:4499473:1 gene:Et_8A_057558 transcript:Et_8A_057558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAEARAKGHGGGSGSGGSPGKIFVGGLPRDTSDAMFVAHFGQYGEIVDSVIMKDKFTSQPRGFGFITYSNPAVVDKVIEENHVINGKQVEIKRTIPKGSMQLNSKDLKTKKIFVGGLPSTLTEDDFRSFFAKYGTVVDHQIMRDRETKRSRGFGFIVFASEQIVDNLLANGNMIDLAGSKVEIKKAEPKKSSNPPQSTYGRNSRPVYDSDAPRDYPSADTHGGLASVYGNYSTGGFDPHRSDGGFGGRLGIYGRMGGIGGAYGRYYAGLEGYGGGPSLGYPSRFGLYGGGFDAAYAGGGLSGYMRGAADESFGGPGNSGFGSAVYGGPYDSALGNYGSSSTLDRTRGTFTGDSPTAQCTGQPGELTPGAVGHLVVDLQPPPVHAVDAPGLQHDVGHDAHERDAGVQAGGEHVVVPRPPPLVPPVHDVVEEEPHGAPHKVVDGPRRRHHPRGAEQHRHVDEPDPRRRARERARQRPERHGRQRAGEEEVVHLGVEPQAAEHAQRADDAPDDGRVEEDVVAGARPRAALRQLRWVADVGHGLQQPPRRAEVHGGRHDGADKLRFKT >Et_1A_008150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4755971:4759564:-1 gene:Et_1A_008150 transcript:Et_1A_008150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKWHVDASEVVAVTAMGVWEAVLAGGGRRFIKRKDSDAGETGRALEELRSSLYNEMHGSEGAKRQQQRFCGPSVALTFNFAVAVGIIMANKMVMGSVGFKFPIALSLIHYAVAFVLMAILKTLSLLPVAPPSKSTPFSSIFALGAVMSLSTGLANVSLKHNSVGFYQMAKIAVTPTIVVAEFMLFQKKVSSQKAITLAIVSFGVAVATVTDLEFNFFGACVALAWIVPSAVNKILWSNLQQSGNWTALALMWKTTPITIFFLLTLMPLLDPPGLLLFHWNFRNSCAIIISALFGFLLQWSGALALGATSALSHVVLGQFKTIVIMLSGYLIFGSDPGITSVCGAVIALGGMSFYTYLGLKDSATSSKKPMSRQDSFPGKPKAAADSKNPDSEHEDSV >Et_3B_029581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26107637:26109774:-1 gene:Et_3B_029581 transcript:Et_3B_029581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGNRAPHFSRKQDSRFYSQTKPKHPFAEANAEDSGAGAGTKDYVHVRIQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVNPMDTNEYTIAFVIIFLYITAGIVKKESIKIHGF >Et_1B_013945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25065452:25067898:-1 gene:Et_1B_013945 transcript:Et_1B_013945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLLSTAVHDTVPGQYVRPESQRPRLAEVVAGARIPVVDLACPDRAALVSAVGDACRSHGFFQVLNHGIDAGLIAAVMAVAREFFRLPPEEKAKLYSDDPARKMRLSTSFNVRKETVHNWRDYLRLHCHPLDQFVPDWPSNPPDFKETMSTYCKEVRALGFRLYEAISESLGLEAGYMGNTMGDQEQHMAVNFYPPCPSPELTYGLPAHTDPNALTILLMDEEVAGLQVLNGGKWVAVNPQPGALVVNIGDQLQALSNGAYRSVWHRAVVNSDRERVSVASFLCPCSDVQLGPARKLVTEETPAVYRNYTYDEYYKKFWSRNLDQEHCLELFRT >Et_3B_030113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30628821:30634821:1 gene:Et_3B_030113 transcript:Et_3B_030113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAVVASSVVAPSFRTALPCRLRQQKLPSWRYRARPNDEDYYLIDAEESIGDGFSFSGGKYGEGPSKSDEWFAQGKMVNAYPVYGNKEKAKDPFFGLTMGSGSQSSDDVFRWFCVEAGRSSNPKVLLIHGLPSQAYSYRNVLPVLSDKYHAIAFDWLGFGFSDKPQPKYGFDYTLEEYTSSLESLINVVAPEKLSIVVQGYFAPIAVKYANEHQDKLNHLVLVNPPITDKHVNLPSTLASFSNFLLGEIFSQDPLRASDKVLTSCGPYMMKEEDAMVYRRPYLVSGSSGFALNAISKAMKKDLKAYIESTRSILGSDSWKTKTTICWGLRDRWLSYDGVEDFCGRLNHKIIELPMAGHHVQEDKGEELGNIIKRILSTKDK >Et_1B_010618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12088109:12090884:1 gene:Et_1B_010618 transcript:Et_1B_010618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGNTGARAGPVAVLALLLLLAVAAPAASGAGGGSAAVNGDRLRAEQIRKQASDAAASAAALAAASRRLHLDRARHLRLLSSLHRNLTATLRELSAASAAASASSDPASASAGEEARRLDLQAKDLIRAARAAIAESKPLFDPQLKIQRLKDAIFAQNELLARAKKRGAFASLIAAKSIPKPLHCLAVRLTAERIASPDLYTDPVPPPPALEDPALFHYAIFSDNVLAASCVVRSAVANSHDPSKHVFHVVTDRMNLGAMQVIIRRMDLKGAHYEVKAFEDYKFLNSSYVPVLRQLESANLQKFYFENKLENATKDASNMKFRNPKYLSMLNHLRFYLPEMYPKLHRILFLDDDVVVQRDLTGLWKIDMDGKVNGAVETCFGSFHRYKQYMNFSHPLIKAKFNPNACGWAYGMNFFDLDSWRREKSTEQYHYWQNQNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMEEIRNAAVVHFNGNMKPWLDIGMNQFRHLWTKYVDYDDEYIRQCNFAPP >Et_3A_027044.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30606610:30608157:1 gene:Et_3A_027044 transcript:Et_3A_027044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEMIRTLETAMKASSSMASGTEDPDQQIVRPNSMEQFYFSRPNQSFPGFPPFFGAPSSSLYLPNDNEPKVGNQFEQNPALNNLLIDWDPQAIVTNLSFLEQKIKQVKDIVLSMSSRENQAGGVSCELAAKQQLITADLTSIIIQLISTAGSLLPSMKNPLSSNPAVRQLGNPLRSSMGFGMNANQRPSTNNKTVIPDAEKTSDYEELMNSINTNQDEKDELIKCPNPCGGEGSEPTPMDDHDVKESDDGGEGENLPPGSYVVLQLEKEEILAPHTHFCLICGKGFKRDANLRMHMRGHGDEYKTPAALAKPSKDSSSDHAPVTRYSCPFVGCKRNKEHKKFQPLKTILCVKNHYKRSHCDKSYTCSRCNTKKFSVIADLKTHEKHCGRDKWLCSCGTTFSRKDKLFGHVALFQGHTPALPMEDVKVSEASEQPQGSEVMNEITRNIGYNIPCSSSDGISNLDMKVADDPRGYFSPLNFDPCFGALDDFARPGFDISENPFSFLPSGSSSFGQN >Et_10B_004292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:363890:366943:-1 gene:Et_10B_004292 transcript:Et_10B_004292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAHPVLLLFCLLAVAASTAGADEVDALLAFKRALTIPPAAAGFFATWDDAAASPCNFTGVRCDLLRGLVVAVEVVGQGVAAASVPFAELCKSLPALRTLSLPENSLAGGIDGVVKCKALWELNLAFNAFDGAVPDLSPLTSLIELNLSSTSLSGPFPWASLLAMPDLSVLALGDNPFLAPTDKFPEEVTKLTSLTTLYLSAASIGGVIPAAIGDLVKLVDLELSDNSLTGEIPKEITKLTGLTQLELYNNSLTGSLPAGFGNLTKLQFFDASSNNLTGDLSELRSLTRLVSLQLFYNGFTGQVPPELGEFRDLVNLSLYNNNLTGELPRGLGSWAAFNFIDVSTNFLSGPIPPDMCKQGTMKKLLMLENNFSGGIPATYAGCATMERFRVSKNALTGEVPEGIWALPNVNIIDLEGNRLTGAIGDGVGCAANLTNLNLAGNRFTGAIPAAIGAASSLEIVDVSGNQLSGEIPESIGGLSRLGRLDIAGNAITGSIPASIGSCSGLTTANFARNRLSGAIPAELGNLPGLNSLDLSGNELSGAVPASLAALKLSYLNVSNNRLAGAVPEPLAISAYGESFAGNPGLCATNGAGFLRRCAGKGGDVSGNKARTIITCILAATAVLLAAVGVALCINKKKRQRRAAAEEEAAMASSGKKRLLFAKKGSWDLKSFRVLAFDERDIIDGVRDENLIGSGGSGNVYRVKLGSGAVVAVKHITRTSSSNNSKVRFREFDAEVGTLSSIRHVNVVKLLCSITSEDGAASLLVYEHLPNGSLSERLHKLGWPERHDVAVGAARGLEYLHHGCARPILHRDVKSSNILLDESFKPRIADFGLAKILVDDESFKQDSVFAGTLGYMAPEYAYTWKVTEKSDVYSFGVVLLELVTGRAAIQEGKDLVDWVLRRLDSRDKVVALLDARIAAADEWAREEAVRVLRVAVLCTARTPSMRPSMRTVVQMLEDAAAERHYAPPEKLLDVKIVT >Et_3B_029733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27450649:27456379:-1 gene:Et_3B_029733 transcript:Et_3B_029733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVLAASMISKSGKVLVSRQFVDMTRGRIEGLLAAFPKLLGSGKQHTYVETQDVRYVYQPIEALYLLIITNKQSNILEDLETLRLLSKLVPEYSPSLDEEGVCTAVFDLVTAFDEAISLGNKENVSVAQVKQYCEMESNEEKLHKLVMQSKINETKDHMRQRATEIEKSKIDRGKSEKAFGPMRTPSNIDMNTRGSGPGADPTFGDIHKTKERPLAHPAHTKVAGGMKLGKGPKTNQFLESLKAEGERSSTETVICPPSDPITVTIEEKLNVTVTRDGGVNNFDIQGTLALQVLNDTNGFIQLQIENQDVPELSFKTHPNINKELFNSQQIVGAKDPNRPFPSGQNETPLVKWRIQELNEASLPLAVNCWPSVSGNETYVNIEYEASDMFDLHNVVISIPLPALREAPSVRQIDGEWKYDSRNSMLERSVILIDESNRSGSMEFAVPAADPSSFFPISVGFSAPSTFSDLKVTAVHPLREGSPPKFSQRIRLVTDNYQVI >Et_4A_035853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7259575:7261244:-1 gene:Et_4A_035853 transcript:Et_4A_035853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAQERWTILAGIPNVVEDEKGKGIFRPGAEFSVAYNDLSSFSVLTVPDRLSSPTSPYVFPYIAAADSSGLLLLRTIRNLTPYASMEFYRLCDARTGEVIPLCKRSRHPIVSGSNVGLILRGDRCMVAELVPTSDGSGIATLLCYTVGEYQWVAMELTYSPPLDGTKWFGEGVVTHGGMLWWVDLSYGILACDPFADEPELLHVPLPRVLDELPPVAFNNRGVHRCVKVSGGKLMFAQIHGRADAPVVSTWALADPASAGEWNPEHSVRLADVWANESYLNTMLPRSVPSLALLQPADPNKAYFFLCSHIFAVDLRRGVVVEFSEFRMPEPPRHVMISSHFVHAWQYDPSSSNRKSTSGRRIQDIMKNVPNQVFIKRGIPEKDLTLLASSTMNQVE >Et_4A_032019.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:12080312:12080611:-1 gene:Et_4A_032019 transcript:Et_4A_032019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSHTRRLPAAVLNTGAICAALLLLILLLLPTAAETIEAASDGNSTRGGAGARSKLVSSIDCQICEATCRVKCLINNLFQWGGCYQRCKADNCNDWCR >Et_3B_030385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3433747:3436094:1 gene:Et_3B_030385 transcript:Et_3B_030385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSAIAPCLLLLSLVLLASRGGDAGAVFAGLSFASQGEAEAFEDALLRQACLNVSSSSSAQDEAPCVSRLDTARGGAGSGPVPVLRAAVRDALGEAVSAAGAVKRLASLSNHAREEMAVRDCVELLGYSVAELGWSLDAMAEPDYYLAPELTDPNASRRAEEDIHAWLSAAMGNQDTCVEGFLHGGGATTNTTEGHLLRHVQAAVAQLTQLVSNLLAMHKQLRSITPLLHHGPNSGTSSGAGSELPPWVTEDVDDGGGEEEEELTTTKRGGARSRARATRVDAVVAQDGSGRYRTVGEAVARAPSHSKRRYVIYVKRGVYHENVEVRKKKTNIVIVGEGMGETVITGSRSIASGWTTFRSATVAVSGAGFIAKDLTIRNTAGPAAHQAVALRVDSDCSAFFRVAVEGHQDTLYAHSLRQFYRDCRVSGTVDFVFGNGIAVLQRTTLATLPPASPGQVASVTAQGRKDPNQNTGFAFHGCRIEAAHPTYLGRPWKPFSRVVVMESYLGPGVQARGWLEWAAWDRAGLATLFYGEYRNYGPGAGVAGRVRWPGYHLIVDPAVAARFTVRRFINGLAWLPSTGVTFTADLFRK >Et_9A_061735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15952913:15957512:1 gene:Et_9A_061735 transcript:Et_9A_061735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEFYRTLFPGDCKRKLVLLLPPFAQSEFCLVCHSKMRLPDMFAKELGDRRHAKLRLAGGGEQRLCDVKVVDDEDKYRGRGWTEFAGAHDLRDGHLLVFRYDGSDVITVTVFDETTCRRRCPRDAAAGGTPAQSPPVYSAAKEFPSPPAPGGGSASADDDDGAGGVGGSVTGSGSGSSAGASGGGSPADAADAEQSQFAVTLRPSNLRSKKNQYLHVPVPFQDAHGYAERRRVTLRMGGRSWTVNLKHSKRTRGNRTALKYGWHQFCLDNGLRVGDICIFKVLPDGDGGGEDDDYDDDEEEGHVLKVEHVPLAFQDAERRRVTLRMGGPSWTVNLKHSKRTRGDRTALKYGWHQFCLDNGLRVGDICIFKVLREGDGGGEDDDYDDHDDENHVLKVKVRKKDGTFLD >Et_2B_020308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18960605:18963093:1 gene:Et_2B_020308 transcript:Et_2B_020308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFSWVANKIGGKQESRRSANSTAQYRRLVQDVVLIGLQGANVSECRKDEFSDWPQSLLAIGTFGNKKIEEVAQSSSGNEKTMQDSVKFTEEEVDNIRKEFEVLLEGNDAAEGHGSCGDEQGASQKHICEDDSEKRREQLINKEIVISKAREILGKKGSARKPRSVASLLRLFVCKGGFPPTVPEPRNSFPQSRMEKVTKRPLDWKLDEREINECLEDALRDLDDDDGAKWVKTDSDCSKGLGRLGDVRGDDK >Et_7B_054904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5459881:5463622:1 gene:Et_7B_054904 transcript:Et_7B_054904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPPQPPRAPTRYLQSFKTAASQRHDSDYAADDSPKKPSRPSTPRAAAATDAHAAPAPGPPPPAMRRGAAGAPPDLGSVLGHPTPNLRDLYAVGRKLGQGQFGTTYLCTDLATGADYACKSISKRKLITKEDVEDVRREIQIMHHLSGHRNVVAIKGAYEDQLYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDLSLKAIDFGLSVFFQPGQRFTDVVGSPYYVAPEVLCKNYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISDSAKDLIRRMLNPRPAERLTAHEVLCHPWIRDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFQTMDTDKSGAITYDELKAGLIKYGSTLKDTEIRDLMDAADIDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDSFLDDVINEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAPGAF >Et_2A_015626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16163698:16166213:1 gene:Et_2A_015626 transcript:Et_2A_015626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSPPPYHLGFLCIYYYTLALCIRVPCASSFSLNFSTTPTSPCGNDLVCWGNASLTNQMIELTTKDISTGSGNSLGRVWYARPVPLWDAATGELASFTTTFSFKITPESNYKNPDGSPNTGDGMAFFLAPYSTNDVLSGGEGGGFLGLFNDSNKYNATGGSRVVAVEFDTFRNKWDKSGQHVGVNVNSIWSVASTDTSQVGDKIINLTSNTMMTANINYDNGTKVLAVDLDIDGASYHVNYTVDLKLFLPEKVAVGFSAATGLSSELHRISLWSFDSSLEAKVAPPSSSSPSQKIAYPAIAIPPSTKYSAATGPSSELRRILQAKAASPTPAPDQGTPPQPPVNPEPAPAPYKRGQHQTSVRSKPAPAPYHYDTTYPTEVSDGPVFEPAEPSKNSLLPKILPPIFVLSVFAIVGPLLCRCQKRRRNAQQKEVPNDSESSDEHDKEADFERAVAGPRRYHYRELAAATGDFSDENRLGVGGFGSVYQGSLQVVGGGDKRVAVKLFSSETSSQGRKQFEAEVKIIGQLRHRNLVQLLGWCDSPKGLLLVYELVPEGSLDRHIHGNPWLLTWPDRYNIIMGLGSALRYLHQEWDQCVVHGDIKPSNIMLDSSYNTKLGDFGLARLVDHGAGMQTTAAVLGTAGYIDPDLVNTRRPSTESDVYSFGIVLLEIVSGRKPVEPPSLMLLKWVWSLYSQGKTVEAAELRGGDEVAHERQMERALVVGLWCAHHDPGQRPSIAEAMHVLQSEDAKLPVLPPIMYKLVALPSVISTTGSSFSSGVLSSETTGTTCSSQSLTYRSPVPRPLR >Et_1B_011596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23971913:23973547:-1 gene:Et_1B_011596 transcript:Et_1B_011596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTILSQSWRRGAYALQEGNAAPALYTCWSRFHSGQILSSSRSFFGVEDFVDEDNSRPYTYKKEKRSKNPHKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRSTCRQVAVAGTNSKDIKAVLQSRSDIPACLAVGRFLAERAKEADVYTCTYTPRERDKFEGKIRAVVQSLIDNGINVKLYLD >Et_5B_044251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2270543:2273960:1 gene:Et_5B_044251 transcript:Et_5B_044251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPWGGVGCCLSAAALYLLGRSSGRDAEVLRSVARAGSMKDLAAILDSASKVLPLVVAVSGRVGSDTPLICQQSGMRGVIVEETAEQHFLKHNDAGSWIQDSAVMLSVSKEVPWYLDDGTGRVYVVGARAAAGLILTVASEVFEESGRTLVRGTLDYLQGLKMLGVKRTERVLPTGTSLTVVGEAIKDDVGTIRIQRPHKGPFYVSPKSIDQLIMNLGKWAKLYRFASMGFGAFGVFLLAKRALQHFLERKRRHELQKRVLHAAAQRQAREAEGGNDTSDKEPNSKKDQLVLDICVICLEQEYNALRSHVLLHDVLVTFNKLPTLPEKNRPICQNFSPLTD >Et_7B_055616.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:1752667:1753371:1 gene:Et_7B_055616 transcript:Et_7B_055616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARGDMSSPAAPPPSPPPADVDVAVIMGVLTSALLALFLFLVYAKHCKRRGPGGARGLGFASSSSSCERYPRSGLSGSVLGALPALRFGDAGVHRGATECAVCLGAFDAAEEEEEEALLRVLPACRHAFHADCVDTWLLEHATCPVCRRRVARKDVSIALPELELTTRLSAAGDQAPLAGGCPGGARPARRRWCRSWCTGRVTSHGKGGRRTACWTGSRTLRRRGIGGTVPF >Et_9B_064218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12720220:12725962:1 gene:Et_9B_064218 transcript:Et_9B_064218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSDVRGGMEAVGAGRSRGSAAAAAQTGGVNDAVDYFFQATGQRGLYTPVELSFTASKLRNMDAFSKSDPMLVVYTETNGRQEEIGRTEVILNSLEPSWITKTTMSYQFEIVQPLIFKIYDIDTKYHNTPVKMLNLAQQDFLGEARCNLSEIVTKFNHSLTLNLRSVPGHTHPGTITVHAEESDSSRMAVEMTLHCLNLENKDVFSKSDPFLRISKLVETSGPIPICKTEVVMDNLNPDNPLLVECFDFDSSGDHELIGSFQTTINQLEGLYNSKSGANFYRKGHRKLKGQLFVDKMQEKVQHTFLDYISSGFELNFMVAVDFTASNGDPRVPHSLHYIDPSGRPNSYQQAIQGVGEVLQFYDSDKRFPAWGFGAKTQMHVSHCFNLNTATNDCEVMGVDGIMSAYTSALYSVSLSGPTMFGPVINKAAEIASHSLQYGNNKYFVLLIITDGVLTDIQETKDCIVRASDLPLSILIVGVGNADFKQMEILDADNGKRLESSTGRIATRDIVQFVPMRAVQGGHMSVVQSLLEELPGQFLTYMRARDIKPQVRGYASASAPVYPPQQ >Et_3A_023307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19881199:19881597:-1 gene:Et_3A_023307 transcript:Et_3A_023307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLLSKASSAVASCARRVSRATRRLLRSRRRRHHQRHEESPRDDDEGEKEGEGLWRRAILMGERCQPLDFPGVIHYDSFGRRLSAPPPPRGGGGKAALLCRSTYDVDEAAFVAPRKVKHV >Et_6B_050144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7181048:7181285:1 gene:Et_6B_050144 transcript:Et_6B_050144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGVGVEVARRDGGWDDVAAAVRRVMAAAPEEGKVLASNAGRMKNMIVGGDGRRQERCVDELVDTLQRLVCQG >Et_2A_016827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28698473:28700578:1 gene:Et_2A_016827 transcript:Et_2A_016827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPASFQCFLTGRPVCTVPLPKPSRRPSRISCKAAADEQDKLPSGRNDLGVKLGKLAMVALAAGVLALGPVDDAMAAKSGGRVGGQAFRSAPRSSGPRINNSRTNIYVNPPVAPPLGGYGYGGYGSPFFGGWGWSPFTFFAPGPSVAIGVGGGFDTLVLFLVLGAVVGAIRRFFDRNNDDYDNY >Et_3B_029107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22123917:22127293:1 gene:Et_3B_029107 transcript:Et_3B_029107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSAPIRLGVPTPYVTSHGSKVVRLHMYDWIVLVLLATLDGVLNIIEPFHRFVGSDMMTDLRYPMKDNTVPFWAVPIIGIIGPMIIITGIYFKRRNVYDLHHAILGLLFSVLITAVLTDAIKDGVGRPRPDFFWRCFPDGIPVYDNITTGVKCHGEASVIKEGHKSFPSGHRSFAGLGFLSWYLAGKIKVFDRRGHVAKLCLVLSPLLLAALVAVSRVDDYWHHWQDVCTGGLLGLVVASICYLQFFPLPSDENGLWPHAYFRHVLEPEGENQVQPTSMNRRNSLPNGSFHGPDSVEMRTTGQALDSMEAGQRTQ >Et_10B_003140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1733977:1736342:-1 gene:Et_10B_003140 transcript:Et_10B_003140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVPRQVALASSRPPAAATAPAAMAKEEPKKDKKSKSKAGAKKGAVAAAPDARAVVVASVAAFLEAGGFPRALAALQSEADLEAGAWKSSAVSLEDLVSKFLESRYFSNKTTEAAAEDAGKKKKDDDTELNETNASAPVQDDEAGEKKKKKKKKGGVEASEPESKVIEPSAEEKPAENAGAEAKEKKHKKKSKKQENDDDVEARLEKAELEFNSKYEAAEKLKEDSGKPKEEDSKAQKDKVGKKKKKEKPASETSEKTDAGAAAAEADGANGKTVETVKDNEKKAKKKRKKSGSEENVQVEGEDVEGKGPVPKSNEENKSGMEIDNGENGKTSNENAVVGKKRKLEDVEGSKPPATENGTANQTLSTVSKPSKRQKKSAEPKSVTPFQRVKLEAVKFADERLQDNSYWAKGGAEIGYGAKAQEILGQVRGRGFRHEKTKKKRGTYRGGQIDLGTHSIKFENSDDE >Et_1B_011454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22566547:22570202:1 gene:Et_1B_011454 transcript:Et_1B_011454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRAGGGSNRPAWLQQYELVGKIGEGTYGLVFLARLKPSHPAPGRRGPPIAIKKFKQSKEGDGVSPTAIREIMLLREINHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHREKLSASINPYTIKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEQGIVKIADFGLARIYQAPLKPLSDNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGVESKATPNPFQLDQLDKIFKVLGHPTVEKWPTLANLPCWQNDQQHIQGHKYENPGLHNIVHLAQKSPAFDLLSKMLEYDPRKRITAAQALEHEYFRMDPLPGRNALLPSQPGDKIVQYPIRPVDTTTDFEGTTSLQPNQPPSGNAPPGSQSVPRPMPRQMQPPMVGMPRMPAGANMAAFNAASQAGMGGLNPGNIPMQRGAGGQSHPHQLRRKADQGMGMQNPGYPQQKRRF >Et_1A_008491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8152588:8154812:1 gene:Et_1A_008491 transcript:Et_1A_008491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSDGDPPDPRHPARAATVVSCYHSTTTAHRPTQRAVFPDPGSTVRASHFTGSLHLTLSLAPTSLSAFCCPVLASHMAMAEWWEAAKAAIAAYTGMTPALFFTVAAVSAALYVAVSGLRARPVQVPEEEERVFEPLPPPVQLGEVTEEELRAYDGSDPKKPLLMAIKGQIYDVTQSRMFYGPGGPYASFAGSDASRALAKMSFEPNDLTSDISGLGPFEVEALQEWEYKFKSKYVTVGKIKKTIPVSEGGNERAMTADRDIDASNMPTYEPEPTNQENVNAISNENVEKTKELSGLDVPNTSSHADAVEQQEETPDVAEGNNSKTEDAVDPKGTPQAVDVKNMCKPEDATEKPKEAPDALDGNNSASNEDAGQRKET >Et_5A_042769.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:464445:464963:-1 gene:Et_5A_042769 transcript:Et_5A_042769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTMRALLLLLPPVSALLVIAARSLARVTARNLGALARAQFACLPAGGGGGGSSSLFSAASNRSRGVSGELRVAQYRRSCAGGEDDEECVVCLSGIEEGEEVRELKCRHLFHRTCLDRWLLARPAAVATCPLCRCRLLAEEADLAEEDLDSDVMLFMACVHGRTSWFWPSS >Et_8A_056183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13298442:13299080:-1 gene:Et_8A_056183 transcript:Et_8A_056183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CDLQQGLLYLHEEWHKDVITRDIKASNILLDNEMNDRLGDFGLSRLYDHGIDPKTTHIVGTIGYLAPELGCTRKATPYTDVFSFGIFIFEVTCGHRPIMRIAQENQLMLVDWTALHDDYDLNEASLVLKLGLLCSHPLKDARPTMRQVVQFLNGDAVSPELTPTHMNFEMLAMMQIDEGFDPYIMSYPSTMSGHGSI >Et_4A_032960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16070271:16074092:-1 gene:Et_4A_032960 transcript:Et_4A_032960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGLESLIDPTALSLGLPTPALKKEDYLAICLAALAGTRGTGLKAAAGLLQLEHGTATKWSQPAPVAVEEELRFRCTVCGKAFASYQALGGHKSSHRKPPTGEHFAVAVAAAAQASSDSEATDDDGAAGPHRCTICRKSFATGQALGGHKRCHYWDGASVSVSVSGSASGTGSSAVTIRNFDLNLLPVPENAGFKRWAEEEEFLHFAVINRSMAPMTHDDYVSLCLMALAAAASEGRASVKQWAHNSVQAPEECELRFRCSVCGKAFASHQALGGHKASHRKPTAVLPLNVVQAAAASWTTTTSASEQGRHRCTVCHRSFSTGQALGGHKRCHYWDGLSVSVVTASGSAGSRSSSLIDLDLNLAPATTTTGSVMRRWGEEEEVQSPLPIKKRRLSGPSLELSLAT >Et_6A_045886.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:24823025:24823294:1 gene:Et_6A_045886 transcript:Et_6A_045886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMHGVYSPDSGRAGVGVIIRDEYGRVAHSAWRVLLRCSSAEEAEVEACLDGLRLAVDWIRKPVILGSDCAGLVKVLIGKGRDRSLLSL >Et_5B_043955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18233624:18234283:-1 gene:Et_5B_043955 transcript:Et_5B_043955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKAQVYIVHSVGIQKCVRTRIGSFWLLNLTGQVFGPPMSAYDEGTTMPGLTVGEANLRDCKPRDLTWVWAVVLPSVGMAISLGTAYAADSHSTIDSLWCLLPIILCGVHIALVLGIPMYMDLFLPRAPVAIREAILEVGWYYVCIPLMIISILSVCSGLTWIIITMACLLVVIIFATVAFWVYLVRTYRK >Et_2A_016659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2738749:2749956:-1 gene:Et_2A_016659 transcript:Et_2A_016659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAQGGSLMETRCMRIHCHKAPDQALPFTSPKSIKVKPPGWTNQALIQEMSKTLTSISSNLAQRFIDTAYRFSEQPSMNEGNFRPVSEIGDAVLLTDLDGEVPQDFPEGVYIRNGPNPLNPTKIIADSIVGSTSYLYYEGHGMLHAVYFNKSSLGEWKISYKNKYVDSETFQLERENNEVTFVPSADGQPYATLVAFVLNTLRFGKAVKDSANTNIFEHAGRVFSVSENHLPYEININNLNTLGPYNINGAWAQPFTSHPKKIQETGELVIMGTNIEKPHYVLGVISCTIFIEHDMDGKSRIGVMPRFGNAESIKWFDVENHCSYHLFNCFEDGNEVVVRGCRIVGSVIPSGRYRVDKSKWYGRAFLQPDRDSNDFDPSIDGILFSRPYEWRLNLKNGTTYEGYIASEKVAMDFPAINEKFTGIRNKYGYAQVVDSLATSKTGLFKYKMIGKLHFDEQDKENKQLILVEYHTLKEKEFCSGVQFVAKGNGIDEDDGWVVTYVHNEETDTSQVYIIDAKMFSEEPVAKVTLPQRVPYGFHGCMRIHCHKAPDQARPFTLPNAIKVQPPGWKKQALMQEISKTLKSISSNLFEGFIDTAYRFSEQPSLNEGNFRPVSEIGEAVLLKDLDGEVPEDFPEGVYIRNGPNPLNPTQTIADSIVGSTSYMYYEGHGMLHAVYFEKSSLGEWTISYKNKYVDSDTFQLEKQKSEVTFVPAADGQPYATLVAFVLNMLRFGKAVKDSANTNIFEHAGRAFAVTENHLPYEINISDLNTLGPYNIYGAWDQPFTSHPKKIPGSGELVIMGTNTEKPHYVLGVISSDGERLIHKVDLKFDEGKLIHDIGVTTKYNIIMDYPLRFGILRTFLRKPFIENDMDGKSRIGVMPRFGDAASIKWFDVENHCIIPSGCYRVDKSKWYGRAFLQPDRDSNDFDPSIDGILFSRPYEWRLNLKNGTTNEGYITSEKVAMDFPAINEKYTGSRNKYGYAQVVDSLATSKTGLFKYKMIAKLHFDEQDKENKQLILVEYHALKEEEFCSGVQFVAKEYGIDEDDGWVVTYVHDEGTDTSQVYIIDAKRFSEEPVAKVTLPQRVPYGFHGNFFYK >Et_5A_042768.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:483026:483550:1 gene:Et_5A_042768 transcript:Et_5A_042768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISCLAPQSSLLANSSLGDAALHFSAMSTSSSDYSPLLQLCARADLAYMPPPVTCESVLVSPRRSCPRQQHQLAPNHRAGKRRSRASKRAPTTYITTDPSNFRLMVQHITGAQAQAEHAVSSSLLLPADANTLLPAAVDHHHQQPQQQQPCFPTLDSWNVSCSMYERNDVLL >Et_3A_025687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31900526:31904557:-1 gene:Et_3A_025687 transcript:Et_3A_025687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAGTVAAVLLLLYLTRASAADFGLNHRVSNRGFKLLCHDERSKNYAQWRSCNAILKYPAINKPAGVVHWLKHSPEADNVDWVVILDADQIIRGPIIPWELGAEKGKPFAAYYGYLKGCDNILAQLHTAHPEFCDKVGGILAMHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYSFGAAEVGLRHKINDDIMIYPGYTPRPGIEPLILHYGLPFKVGNWSFSKLEHHEDGIVHDCNRLFPPPPFPREVEMMESDPDIKRGLFLSIECMNTLNEGILLHHASVGCPKPKWSKYLSFLKSRRFSELTQPKYWKGPNVDTVNHVVPSKSNYGHPKIHTLFSTECSSYFDWQTVGLMHSFRLSRQPGNITRLLSCTDEDLKNYKGHDLAPTHYVPSMNKNPLTGDWYPAINKPAAVVHWLNHVQTDAEFIIILDADMIMRGPITPWEYGAKRGHPVSTPYEYLIGCDNILAKIHTRNPSACDKVGGVIIMHIDDLRRFALLWLHKSEEVRADKAHYATNITGDIYGSGWISEMYGYSFAAAEINLRHIIRKDIMIYPGYVPLPGAKYKVFHYGLRFGVGNWSFDKADWRNTDVINTCWAKFPEPPDPATVMKEDPDARDRDLLSIECGRALNKAFNRANVGSMDVARKKTVERAAATIQHVHRSRRLTRSSRMWIIAVWALSIVVFLMVISMFFTDRRRTVSRHRTSRSLKPHV >Et_1A_007118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30991225:30993896:1 gene:Et_1A_007118 transcript:Et_1A_007118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLQLLLPPAACATTAAAPAAALAAFSRPSRLRASHIPSAFPRLRKYGSRRREPVAAPSFDDVDEEAEAEDDDDDDEEEAVDEEEFLATRPKPVGFGTGKTYSTDIEEQLLREMGGGGARRKGDPAAAKRRVGDKSDKETGSDLNVGGVQVRIWNLPKKKNIHKDLKQAFKGFPGLLSINPAVSANKKTRDPICKGFAYLKMESVEAATRFVEIYSHKNVPFGKVEKPISCCVVDAQSSSEPSNKGSSSKETQQSKSKHQNLVAAN >Et_4B_037804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23580073:23585176:1 gene:Et_4B_037804 transcript:Et_4B_037804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGMVLASPNGVKVEAADVTTVDSPKSVLEDEKLSEAENGDVSLATEAIKQEKVEDSINASSSHTVFKAVKEEPLGAVKEEDDVFVDASSTLPIDLEAKNGDASLITEAMKKEEEELKAARVKAEEEEEARKREEAERLAFDPQARYSKLDELLTKTQLFSEFLIEKMDAIADESVETQAEEPPVEEKKKGRGRKRKANAAAQYNDKRAKTAVAAMLTRTREDRLVDDCTLSEEERWEKEQASLVPLLTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKNMHGPYMIIAPLSTLSNWVNEISRFTPSLASIIYHGDKVARAEIRRKHLPKTVGPDFPIVVTSYEMAMSDARFLAQHKWKYVVVDEGHRLKNSKCKLLREIRRIPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSAKGSEEQEETEEKQRVHVVSKLHAILRPFLLRRMKEDVEQMLPRKKEMIIYANMTEHQKRIQDHLVKKTFDVYLNEESDVLQRPGVRAKLNNLFIQLRKNCSHPDLLESAFGAASLYPPVDKLLEQCGKFQLLDRLLNSLLSRRHKVLIFSQWTKVLDLIDYYLDSKGIEACRIDGSVKLEERRRQIAEFNDVNSSLNVFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSQSVEGRIIKKAFGKLKLEHVVIGKGQFEQTSAKPNALDEVELLALLRDEQAEEDRMIQTDISDDDLLKLMDRSDLSGPPGAAGAAPLIPLKGPGWEVVVPTKSGGGMLSSLTS >Et_3B_030682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6212634:6215737:-1 gene:Et_3B_030682 transcript:Et_3B_030682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLQHQESALYGNELHGRRWGILQFFGFRRRLRSTKMLSDKKHGNGKASGGSRRRSSYAPLENEDSGIMDNEKNDEVPKKWKTSRKNSGKASLRSLILKKLYGKEGQKEKMLPVAPKLLRTISIHYLESNEYVLDGEATASGDGSSHGSILSIRDATNTDLQHGTSNIVGGFDNNNTSSLLSLNKADNHVKRKSHRSISMDGVLHKVPYGKKVSDDVISEVLPRSASATYDRDGPRPYIGKRHANQGFRRSRSLTESLESYSRLLDSIASSESKRMLTSSKSTRDRSLDGPSVMSSLPRTSNSEFRSQTTLEDYLTSHDNDAGKTNVHGDKEVGVDDRSSDEINGYAEDLSLPEEYISDKKYDVAAVSTEVGSCNDPSPSEVVDISKDQAQTDDDSEHVYSPIGVDLYGASSDPEEVDTLGQRAEISDVDETTQSSEQVDSYLPSLEDATIVEENTTHCHDNQVHSFQNSKPIEGTFCVPVPVPEFEADVSLSYEQETESPISVLDVVFSDDPASPVKRTKLDDTLLKPTILHLNETDVSTGIDTVQESDFDDLMRLQVDPTHEDEFRYVKEIFKKSSFSSEILYDEWYSQNIITLQEADCQHYEAAAAAFNFTDMSADQLLLFDLTNEALLDIYKKYSVFQSKLSWLSSFDRPKPVGHLVLTELWSQVSCRLDEQPHSTIEVDTILSSDLAKSDHWINFQRDADNIGNDLADFVFDKLLTELNLELAGF >Et_3B_030176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31137405:31141646:1 gene:Et_3B_030176 transcript:Et_3B_030176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPQRASSNGAAHGDSDTAYGSNEMPSNKLKRSDSLHIEAAKIPGGQTHATKVGWTTTLHLAFQSIGVVYGDMGTSPLYVFSSTFTSGIKDTDDLLGVLSLIIYTAVLLPLIKYCFIVLQANDNGDGGTFALYSLISRYARISLIPNQQAEDAMVSRYKLESPTNRSKRAHWIKDKMENSPKFKVMLFLVTILATSMVIGDGVLTPCISVLSAVGGIQQSATSLTQGQIAGIAIAILIVLFLVQRFGTDKVGYTFGPIILTWFVLIAGIGAYNLFKHDVGVLKAFNPKYIVNYFKRNGKEGWISLGGVILCITGTEAMFADLGHFNVRAIQIGFSVVLLPSVLLAYIGQTAYLRIHPENVANTFYKSIPGPLYWPTFVVAVGAAIIASQAMISGAFAIIAQSQILGCFPRVRVTHTSKKFEGQVYIPEINYALMILCVAVTAIFQTTDKIGNAYGIAVVFVMFITTLLVTLVMVMIWKTSLLWIALFPVIFGGAELIYLSSAFYKFTQGGYLPLVFAAILMFIMATWHYVHVHRYKYELQNKVSNNYVAELVARRNLARLPGIGFLYSELVEGIPPILPHLVEKVPSIHSVLVIVSIKYLPISKIETNERFIFRYVEPRDFRVFRCVVRYGYNDKVEDPREFEGLLIGHLKQFVHQESFYVQGGDRSADEEGDATESSTGIQETGMPKSLSDETTSALPNECISEIQLIQREMDGGVVHMLGENNVIAEANADLFKKIIVDYAYNFIRKNFRQPEKITCVPHNRLLRVGMTYEI >Et_10A_001287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2346496:2347664:1 gene:Et_10A_001287 transcript:Et_10A_001287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLGRPLSHSMDLQRGSKNATMSTSTLLQLRDRLIAVQPIVLRASVILATAVAAAVMGLNTQSYTAVVAIVGTRPLMQTFTAKFRDTPAFVYFVIANAIASVYNLTVLLMRRLILPRRTTTLVVHMLDMVIMVLLTTGAATAASMAYLGKKGNLHARWNPICDKFGSFCSRGGIALVSSFIGVALMLALNLLSAATKPNVAGH >Et_1A_007492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35113353:35116662:-1 gene:Et_1A_007492 transcript:Et_1A_007492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAPPEQMGASCPHILFIILLLFHGACAAVNAPLPKWQTLSGRPPLVIAHGGFSGLFPDSSQFAYQFALSTSLPDVALYCDLQFSSDGMGFCKSELTLDNSTIIKEVFPKMEKTYKVNGEDVRGWFSLDFTTDQLVQNVTLIQNIFSRPSTFDGSLGMYMVDDVVELRPPHIWLNVEYNSFFLEHKISTEDYLKALPKEFSFFFISSPEIGFLKSAGGLLKESRTKLIFRFLDEKAVEPSTKKTYGELVKDLKSVKEFAVGILVPKTYIWPLNKDQYLAPSTSLVKEAHALGLEVYASGFANDVAISYNYSYDPSAEYLQFMENSDFSVDGFLTDFPPTASGAVACLAHSKGNPLPPPERPRPLIISHNGASGVFPGSTDLAYQQAMKDGADIIDCTVQMSKDGIAFCMPSADLGSCTTAGSAFISKGSTVHQIQNKSGIFSFDLSWSEIQTLKPDLVGPFAQSGLKRNPAAKNAGKFMTLPGFLDMAKASNVSGILIDIEHAAYLATRGLGVVDAVTGALTKAGYDKETKQRLLIQSDDSSVLSAFKKSFPASKRVLSIESDISDVAKPSVDDIKGFADGVKIHRNSVAQSTGYFLTHFTKVVSTLHAANLTVFIGVLKNEFLNLGFDYFADPLVEVATYSDAVMADGLVTEFPATAAAYFRSPCSDMSLNLSYSILPAQPGALVNIAVPGALPPAGAPAPMLEPADVLDPSLPPVLAVSTAAAPAPTGAADNSTSAASTNAGSSLLEAGIVALLSLSFLQ >Et_1A_007053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30440414:30441189:-1 gene:Et_1A_007053 transcript:Et_1A_007053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGKHTDSWFGLQSSVDATDALIVATMSAAATSIATVFAMMPMILISNVDLLLVCAYDENTRFSVIKRMCDCLLSRLD >Et_4B_037449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:286876:287758:1 gene:Et_4B_037449 transcript:Et_4B_037449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGGLFLSFGRPTQEQQKSCLAAAGGFNYDAALHGASRPKSAATLTAETSDKSLVERGFFVNRSRVLLGSGAATFVHAKSALLSWRHLALGWANVEPDTPVKAGTKFCICYKELIPWVMLPLQVAYVSDGKGKPTDHAKGSMFAFGSGTLQGHLLAGEERFSVQLDEEDRVWYEVLSFSKPAHILSTVCYPYVQLRQKHFAQQSGQALLRHVAAAAPQSSSMSP >Et_2A_014970.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23896281:23896472:-1 gene:Et_2A_014970 transcript:Et_2A_014970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEAFLHGDAAVPRRLLEADHGATRQQQSPPLPPLVAVRKTSAGPSCGTNDQHISCPPPSAP >Et_2B_020403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19886229:19893267:-1 gene:Et_2B_020403 transcript:Et_2B_020403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAAAAVAFFSPAVAASSRALPLRRSRHLAVRAVASPPASKPASAPSKTGKWQWKFENQPVNIYYEEHEQETAENVKNILMIPTISDVSTVEEWRVVAKDIVGRKGELGYRATIVDWPGLGYSNRPSLNYNADVMESFLVQLMNSPNSPVANADGELVIVGGGHAATIAVRAAGKGLIKPSAVAAVAPTWAGPLPIVFGRGSDMETRYGLLRGTLRAPAIGWMMYNVLVSNEKSIQSQYKSHVYANPENVTPDIIESRYELTKRKGARFVPAAFLTGLLDPVQSREEFLQLFAKLEGDVPILIVSTLNAPKRSKAEMEALKGVKGVTRFVEVPGALLPQEEYPLAVAEELYKFLQESFSARR >Et_8B_060841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:957786:958778:-1 gene:Et_8B_060841 transcript:Et_8B_060841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSPSPDAAAAPVPVMTKKTNLFMQIVDNEDGTVTRPVVPALPPSDSPASPVLSRDYRLAPEHRLPAAYEDAAAAVAWLRGGAPADPWVAAHGDLSRCFVMGSSSGGNMAFFAGVRTKGADLNPATVRGLLLHQPYLGGVERTPSEANSEDDGMLPLEANDKLWRLALPEGADRDHEFCNPAKSLSPDAVAGLPRCLVTGNAADPLIDRQREFVRWLRDRGVDVVAKTDSPGYHAAELFVPDKAQELCAAVRDFVFADDDA >Et_3B_028431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15215465:15215993:-1 gene:Et_3B_028431 transcript:Et_3B_028431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IMVPVLEPPNEKESKLGHWFAIAINLKSKCFQIFDSLRGKKDVDLRNTCSKLISVIKSLWKENFDTDEATIKSIDDFDIQYLDICFQTNSIDCGYYMLAFIDLWTGEKMPLFISDDVPKMRKKLLYDMLTSKRNKIDWKDLLNISS >Et_10B_003216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17885419:17888233:1 gene:Et_10B_003216 transcript:Et_10B_003216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQERTPTATKRAMLSPPPRPQAAAAAAGAGDRTPPATKMARPSPPPRAQATAAAGPGHRAPPVTKRAGPSPPPRLQAAAAAAGGAGDRKPKATKMARPSPPLRAQATAAAGVGDRAPPATNRAGPSPPPPPQAAAAAGAGGRAPPATNWVGPSPSPPPQAAATAGAGDRTPPATKTARPSPPSPPQAAAAAGAGDRTPPATKTARPSPPPRAHAAAPAGASARDRLSALDDATLHAILARLPLRDAAATAALSRRWPRVFATLPRLLLRPASFNHRGFPDGGDEDCCEDAARWLRSLRCILDHRAAPVTAFDIEFRFTGQHGDWSRSVFRDPSLSMGLLELSIANTNFAECYTLPSPVYTCQTLTSLDLYNCRLQIPTKITGLRAVRSLRLRNVVIEDAGLRRIISRCAAMERLVIHDVHKARSILIRAPCLEKLEIYSYRPLCISFSKAVRLDTVRLGFSYGYPEYSWSINDTLDTDEDQSFSEIKELPDYKRMADREHKQTDEIKNMTKFLSGLGCAKQLRLYLSTEYSEVLSMDKVSMVKLLSQRSCLLELTTLALTLDYNHEVLATLVSCLLNSSPNLKYLTITELRHQGSPVPLPATFWKEQIKGDHFLNHLSTVNFYTDSLFEVHPCGGLCRFLVMHAKVLKKMSIEYHRSLVKTEHVAKLEAVRSNIHLWPRASGDVLVELYPVDRCPCF >Et_2A_017156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31476625:31484397:-1 gene:Et_2A_017156 transcript:Et_2A_017156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEPDAEGAVASASPAARVLSRALDKVIKHSSWRKHSALVAASKSALDLLSSAPAAVPGPEPLPSPVPGIPAPAADAALGALLLALDPASPKVAEPALECVASLLTLRLLLGEVEAADPSAAPSPYSPVSKLFAAVLSCGALGGDDALELAVLRVLVAFARCPTVSVSGDCLGQVVKACYNVYLGSASGGNQLCAKLAIAQVLAIVFARVEADAMDVRVHTVSAADMMDLSDRSLNDSSVVQAAQAFINEAMEGSDVPEESPAVDVPIEVGGSGENDELSKIREDGLALFKNLCKLSMKFATPDNPDDLLLLRGKVLSLELLRMVVDNAGPFWKTNEKYLEAVKQYLCLSLLKNSALSAMSVFQLLCSILMSLLSRFRSGLKEEIGMFFPMLILRVLENVLQPSFLQKMTVLNFLEKICKEPQVTIDIFVNYDCDVDAPNIFERIVNGLLKTALGVPAGSTTTLTVAQDQTFRIESVKCLATIIKAMSAWMDQQLRIGEFSLRSSETQSAMDNHNIHNGEEGSGMDYELQTDTSSCDITDSSSLEQRRSYKIELQKGIALFNKKPSKGIDFLIRSKKIGHSPEDVASFLRNTSGLNATMIGDYLGERDDFPLKVMHAYVDTLNFEGMDFGQAIRFFLQGFRLPGEAQKIDRIMEKFAERYCKCNPNAFSSADTAYVLAYSVILLNTDAHNPMVTNKMSKADFMRNNRGIDNGKDLPEDYLSALYDQIVNNEIKMSADSSVAQTKQVNSVSKMLGLDNIINFVGWGAAEDKAVGANDLLIKHIQERFKAKRGKLESMFYIVADATILRFMMESCWAPMMAAFSVLLDQCDDKAATSQCLKGLRYAVHITSVMCMQTQRDAFLTSIAKFTSLHSAVDMKQKNVDAMKAIISIAIEDGNYLQEAWEHVLTCLSRFEHLHLLGEGVPTDASFLTVPLTETAEKTQKSTLISSKKPNALQNPAVMAAVRGGSYDSALVKTSAPALVTPEQINNFLSNINLLDQISIVELNHIFAHSQRLNSDAIVAFVKALCKVSITELQSPTDPRIFCLTKIVEIAHYNMNRIRLVWSRIWQVLSDFFVSVGLLENLSVAIFVMDSLRQLAMKFLEREELVNYNFQNEFLRPFVVVMQKSNAPEVRELIVRCVSQMVLSRVNNIKSGWKGVFMVFTSAAADDTKSIVLLAFETVEKIVREYFHHITETETTTFTDCVTCLIAFTSSQFSSDANLNAIAFLRFCAVKLAEEGFVCQDSGAELLRNSDVSDGKATSYKDGHVSLWVPLLAGLAKLTADARLTIKKGAVGVLFDILKDHGRMFSQTFWTDIFEHVVYPLFSSERSTPSDQFSNSNDAEYNLPDLETQTLSMKCLVGLFINFFDVIRPEFARTASIVTYFVRSPYKHCATTGISAIIRLTEGVGDKLSMEEWKELLGSFKESVIHTFVIFSKIVRMMQDIEVPDRIDSYSEAEQYSDHEIYNNDEEEANMETTSYAIVKLKNHMAVLLMVIQNIIKLYEGHQKYLCPEHVNILLEMVSAIAIHSSEMSSESSLHMKFHKACSLLEVSEPAVVHFENESYQSYLKFLQALQYDYPSLSEEMNIESQIHHVCEKILRLYLKCAGHEPSDEASHRKPSLHCAVPLSAAKKEELAARTSLVLQVMKLLGDLERDSFSRILPRFFPLLIDLIRCEHSSGEVQHALYSIFRSIIGPMIHVQ >Et_5B_045505.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:3288052:3288696:1 gene:Et_5B_045505 transcript:Et_5B_045505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGECGVICSLQCEISSVSVTGLGCDRGGELFLRCHVPAGGGKAIQIDSRAAAAEPAGDGGAVVSWRDVASLSCDGSPACVRELVERRSVVFEVRRRRRRRRRAAALRRLVGSELVGRAEVAWRDAGVDDGEAVERQVVLAARGGGGGRAVRGEVPVLSVRMTVRVSETAAPAGGRRRVDGSASAANWESGGEWSVGDADVFGLAVCAADGALE >Et_7B_055561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14471188:14472499:1 gene:Et_7B_055561 transcript:Et_7B_055561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRGACYSCHAAAGDAPEAHRRKRGRTAHEGSPAAAGGGRAAGLGDMFEELPDDLVVSILADVAASAGSPADLAGAMLTCKRFRELGQSKVVLSKASPRCLAVPAKAWSDDAHRFLQRCADAGNLEACYLLGMIRFYCLGSRGSGAALMAAAAVGGHRDALYSLAVIQFNGSGGSKDDRDLRAGAALCARAASLGHVDALRELGHCLQDGYGVRRSVVDGRRLLIQANARELAASASLLIRSASARRHACLLSDFGCRAAAGATGEAATHAANRFLVDWFAARPLGGAAAGAGTTASEVGEDDASGGGLRLCSQALCGRPETRRHEFRRCSVCGVVNYCSRACQALHWKMAHKAECTPMDRWLDAAAPAAAP >Et_5B_043449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10568466:10571658:1 gene:Et_5B_043449 transcript:Et_5B_043449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPATAKTSPLGQPLVPTDMLPVDLAHAFTVRSSFHNIITNAYVDHREPEEVPHPSAPAAHVFARAADFSSCNIGVRRWRDPLVLLKSVEPGTPDLIAYDPLSRHPTCAASHARDLVTSAQFKGREYEQIHCKFQALLVLSRHEEETSSFKVILVLVCLDKMAVFVFCSQSGTLSVCALTNYADLNLQEGCLGLRPKQAYAYGCLYRKERGRCLPKSERK >Et_9B_064840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19017648:19019549:-1 gene:Et_9B_064840 transcript:Et_9B_064840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGTYITKALAEKNKRDLMAQREKAEKHDLALVFVFQRLSEFLDPEIKRWSNGKEGNLRALLSLLSTLQYILGADSGWQSVPLTDLIAAAAVKKAYRKATLCAIRINYNKGVLQSDRNTSARRFSIFLRLLCALTLIVTAGVWNSALLQINSSSRTLLYEFGWYNG >Et_4A_033670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25636164:25642345:-1 gene:Et_4A_033670 transcript:Et_4A_033670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIVGLTYDAFTPPLIPKRKSHHRHRTPTALAKPPQPKPFRLSAAMAAPAADDASSSPGYVLRATLTGHRRAVSTVKFSPDGRLLASASADKLLRVWSSSDLSPVAELAGHGEGVSDLSFSPDGRLLASASDDRTVRIWDLGAGGGARLLKTLTGHTNYAFCVSFSPHGNVLASGSFDETVRVWEVRSGRCLRVLPAHSEPVTAVDFDRDGTMIVSGSYDGLCRVWDSATGHCVKTLIDDESPPVSFAKFSPNGKFVLAATLDSTLRLWNFQAGKFLKTYTGHVNTKYCIPAAFSITNGKYIVSGSEDKCVYLWDVQTRKILQKLEGHTDTVIAVSCHPKENMIASGALDNDKTVKVWVQKEEDELSFFSSWICLGVGASNNPTGDRRYILVLS >Et_9A_061499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12695785:12696104:-1 gene:Et_9A_061499 transcript:Et_9A_061499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDSVVDPLREFAKDSVRLVKRCHKPDRKEFTKVATRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >Et_9A_063422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23802110:23803905:-1 gene:Et_9A_063422 transcript:Et_9A_063422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSDQHDSRPILWVGPVLTLHKLMLTHGPRLMLPASDKNRLNAAPDEPLSFHAMAAASLCLVTHALSCSPSSDSITSFTLASTSSAFTSPCCPPSTSTPTPYSSTMNTLFIFCSAYRGQQSIGTPAVIPSSTEFQPQCVTNVPVAR >Et_6A_047705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:131050:132398:1 gene:Et_6A_047705 transcript:Et_6A_047705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYSSSPMSTAAGDKLVSSNSKFALGFFQPSASKPDNTTTFSSGWCLGIWFNKIPSGLLTGTTQLKISNNGHLAIVVLNNAGGTESIMWSTTHIANSTHNTSALLMNSGNLVLVAGRTTPFFNTTTTDSDVVLWQSFDYPTDVGLPGAKLGWNKVTGLKHLFISKKSLIDPGLGSYSVELDTNGAPQPPLSGVLNMSYKLLALIMDSRTKGLFEPFYVNNNEEQYFTYTSLDEPSSVIVLIHVTGQIRLNVWSQARQSWETIYANPYDPCGAYATCGPFTVCDDKSPVSSCTCMESFSLKSPQDWELGDRTGGCIRNTALDCTGKGNMPSSTDVFQPIARVTLPYQPQNIEGATTQRQCAQACLTDCPCTDYSYNSSICSVWHGELLEVKLNDGSDNTSEDVLYLRLAAKDSQSLGKPNENQLLELLLLLQALPLLSY >Et_4B_037654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22170413:22181049:1 gene:Et_4B_037654 transcript:Et_4B_037654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLLVLWYRAPLALNGTLGFCSFYGGSSCCDAAADASLRRLFEAMKVSDAACAGVVKSVLCAKCNPFSAELFTSSKMVPLLCYNTSSANSTQSKDSTEDYCKRVWETCKSVTLVDSPFQPPLQEKAKLPSSSLKFTDVWQRENGFCTSFGVSSAEQSLCFSGNAVSFNDPEPLPSPKGVCLERITNRPYLNMAPHPDGSNRVFLSSQAGKIWLATVPRQGSGGTLEFDEAKPFLDITDKVYYDPKSGLMGIAFHPKFAMNGRLFVSYYCDRTKSPSCAGRCSCNYDASCDPSKLGPDDEDAQPCQYQVVVSEYSAKVSSSNVSNATSADPSEVRRIFTMGMPYTGRYGGQILFGPTDGYLYLMIGDGGSKGDPFNFSQNKKSLLGKIVRLDIDGAQSQSQINNQSLWGNYYYSVPKDNPFADDSDLQPEIWALGLGHPWRCSFDSEKPSYFYCGDAGNTSLDSINAVFPIMGYNHSEVNKKIGSASIIGGYVYRGSADPCLYGRYGLLTGETPEGSGNYTTSVIPLSCTKNSPIACESTAGSPEPALGYIFTFGEDNNKDIFLLASKGIYRVVRPNLCGFTCPAEKLVSNNGTTPAGPSSFAPATQLVKPVAVALALVVCA >Et_10B_002898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13227991:13229812:1 gene:Et_10B_002898 transcript:Et_10B_002898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYAVTAVQSELKMTLYNKEVYAGADINGVTITDRQPMGTTWVFSWPVTDGPGPNANIVGHLQGTGVLVTKNPNYVWHYSLGLVFGDKRFNGSTLQISGTSQINGEWSIVGGTGELAMAKGTVKRTEISYTGNTRISELKIHVVYTPRNQTSSNYYGIMLPCQYDIYPSAPDSSRSDPLFK >Et_9A_062124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19918097:19919969:-1 gene:Et_9A_062124 transcript:Et_9A_062124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HHMMYHAKSFSVPFAPQRAQNNEHVSNIGAIGGSNISNPANPVGNGKQRLRWTSDLHNRFVDAIAQLGGPDRATPKGVLTVMGVPGITIYHVKSHLQKYRLAKYIPESPAEGCKEEKKESSDSLSNTDSAPGLQINEALKMQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQMIIEEQQKLGGSVKVSEDQKLSHSPPSLDDYPDSIQPSPKKPKMDELSPDSSRDITQPELESHLIGPWDQEICGKNICGVAFPLEDSKQTQV >Et_1B_013841.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:1994788:1997133:1 gene:Et_1B_013841 transcript:Et_1B_013841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIRCPRLAVLLALILAAATAADEARAQSTYIIHLAPGHPALSAARGSGVNGGAAVLSRLLPRRLRAPRPRVLYSYEHAATGLAARLTLEQAEHLEAQDGVLAVHPDQARQLHTTHTPAFLHLTETSGLLPAATGAASSVVVGVLDTGIYPVDRGSFKPAAGLGPAPASFSGGCVSTNSFNASAYCNNKLIGAKFFYKGYESALGHAIDETEESKSPLDTEGHGTHTASTAAGSSVAGAGFFDYAKGQAVGMAPGARVAAYKICWTSGCYDSDILAAFDEAVADGVDVISLSVGASGYAPSFSHDSIAIGAFHAISKGIVVSCSAGNSGPGEYTATNIAPWILTVGASTVDREFPADVVLGDGRVFGGVSLYAGEPLNSTKLPVVYAADCGSRLCIHGKLDPKKVAGKIVLCDRGSNARVAKGAAVKQAGGAGMILANTEESGEELLADSHLVPATMVGQTFGDKIKYYIKNDPSPTATILFRGTVIGKSPSAPRVASFSSRGPNYRAPEILKPDVIAPGVNILAAWTGAASPTDLDIDPRRVEFNIISGTSMSCPHVSGLAALLRQAHPGWSPAAIKSALMTTAYNLDNSGETIKDLATGVESTPFVRGAGHVDPNRALDPGLVYDAGVGDYVAFLCTLGYPASVISVFTNDGSVADCSKKFARSGDLNYPAFAVVFTSYKDSVTYHRVVRNVGSNASVVYDAKVHAPSGVDVTVSPSKLVFDDKHQSLAYEITLAVSGNPVIVDVKYSFGSITWSDGAHDVSSPIAVTWPTNGEAAAM >Et_6A_046889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2260053:2264770:1 gene:Et_6A_046889 transcript:Et_6A_046889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTAAFRCAKIPRTLPTKRSGEVAQASASIDPPNEPAKKKASPWCVYLIGSSRIPRTYVGVTTDFPRRLRQHNGELKGGAKASCAGRPWTLACLVEGFVNRSEACEFESKWKNISRKMARKRSEPCIESVLQHREAALTRVETLMDCSHLNIKWHPIGTAMYHYTQEFTKGQVLTRNSIGHLELLLGNLHMASHSLVLNQIRLLGLIPLPIRQSNVEPEDISFSIAFIGVLLPPSLMHLGTINVAVPKPIRVVPDGRLEVSPVGRPAKAGVAGGAEVHDLDLEVPGARRDPAGGAHHAVALCAAGGEAVVGELYPLGLEDAGEEHGQVGRVVGALGGEARGRDEEKLLLAGGGGLGVAAVGGDAEQRLALARLLGLLLALAGRGSGPDAVAALLLGLLAGRGRRRQRHVLPGAAPRGVDPVVVVAVGGGVGRHEGGGARIGGVGEGEGARIGGVSEGGVGERGVGICGGGCVGEAWG >Et_1B_011330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20497072:20503692:-1 gene:Et_1B_011330 transcript:Et_1B_011330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGSGGAAPGDYVYFKSVVPLHKISIGSKLWRYYDFGPKTVPPLVCIPGIAGTADVYYKQITSLCMKVHIYGTSLGGFLAQIFAQHRPRRVKSLVLSNTFLETHKFAAAMPWSPVVNWTPSFLLKRYLLTGIRDGPHEPFIADSVDFVVGQVETLSREDLSSRLMLNVNVASVGSLMLPDSLITIMDTNDYSAVPQQLKDQLNERYPGARRAVLKTGGDFPFLSRPDEVNLYLQLHLRRVGVEPRPDLVQGFTRNGSAGSSKDQKDGGDSFDDSPGDNAYRGSGGSDHVSPHCGSESHDSDEQIPTSTMLANTVLEDRRLALEK >Et_10B_003723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5185033:5190466:-1 gene:Et_10B_003723 transcript:Et_10B_003723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFTPSQAHAASHHHAAHHSAAAAAAATATATARLHASAPPASASAAAAALCPPPFRAAASPSAGCPPVQNPIFVGPGAPWVQPQRAAAAALGPEFRRARTTKTISKRSRGAGAQDRPRLPSAAVGRCVEKLLRVAPEDRRALGAALSSFRGDLVAPEDYCQLLRELGDRDKSAPLAFEVFYAALPLVGGGAVDKGKLLTAAIGALGKMGRPDLARRAFDTGIAGGYGNTVFAHSALISAYARSGLATEAMEVLESMKSAGLRPTTVSYNAVIDACGKGGVDLRFTLGYFRQMLQDGLCPDRKTFNSLLAACSRAGHLEDARTVFDEMIHLGSGRDIYTYNTFVDAICKCGNMELAMQVVLDMEANNVKPNVVTYSTLMDGYSKLEKYEEALKLRQKMKSLGIQLDRVCYNTLLAIYVKTGKYEEIATVCEEMEKLGIEKDTVTYNSLINGYGKQGRLDMVSFLVQDMRARGVAPSVLTYSTLIDIYSKAGMHGDAFNVYLDFKESGLKADVVLFSSFIDTLAKNGLVECALSLLDEMTKMGIKPNVVTYNTIIDAFGKSKILTEEDPEIVGVYGGQIVRAYNPITRGGRSTIDVRMRRSQELFFILELFQKMVQQGVRPNVVTFSAILNACSRCNSFEDAALLLEQLRLFDNFVYGVAYGLLMGHREVWSQARSLFNQLGRMDSSTSSAFYNALTDVLWHFGQRQGAQQIVLEGVNRRVWENTWSEFCLDLHLMSCGAAQAMVHAWLLNVRSIVFEGRAMPEFLSILTGWGKHSKIAGSSTLRHVIEALLLSIGAPFQVERLNIGRFVSPSAVVAAWLRESGTINILLLQDERVQHANPSNLVPRRKQSGSRLDY >Et_2B_022958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9477177:9480937:1 gene:Et_2B_022958 transcript:Et_2B_022958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFFCLARCRFTRLMVAMQLVMCVLVICISMASLHRFYTTSSLLPGQGGLADPAHCARFHTVAGYAGFDMRALADRVDDVLVQLAELQDKLEATALKIGKKTKKRHQENMTMPEFRRFLEDEVIHPLYSAHIALRLIRIPRPADPESGEGDASTMAVDPLVNFFNAEETRKYVTAKGNRDGRPSVYGTNRTYGSVGHACVLARAELDEYMTYDVGARCPDDWDLAQRLMLAGCDPLPRRRCLAPASKLFTRPRPLNESLWAVPDDGNVRWTRYHCRDYKCLSARNPRRGYARCVGCFDMGREKQRWMPAATNNSTSPVASLADFTVDEVLAVKPGEVRVGLDVSVGTGSFAARMRERGVTVVSTALNLGAPFAETIALRGLVPLYATMSQRLPLFDNTMDLVHTAGFFEGWVDLQLLDFVLFDWDRVLRPGGLLWVDKFACERKDLDDYMYMFLQFRYKKHRWVVSFKSKDEVYLSALLEKPPRIL >Et_8B_060641.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3866004:3866306:-1 gene:Et_8B_060641 transcript:Et_8B_060641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMVAPFSYAKESPAKAPEADVEGPGGAEAPEAATEGPAEAPQASAEGPRAATEWPGGGPNFVEFVIKKPVPGIPLSSSKSGNFDGLPVDPTPEGTDK >Et_8B_058894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10496058:10502099:1 gene:Et_8B_058894 transcript:Et_8B_058894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFPAVRSRLLVQAATLILEAESKEATNGTFGVLPSDELMDAQQGQAQDSHVMDVTLLAAQLMQELAAAESSLQLHTRGSAIIIGEIGSLTRNVDPAEYDPHHVSIGPYHRIKNPELARDEEKIRCLRAVLSVASAGTSLERYLGELALLEVQARRCYAHLFNMDSEEFVRMLLLDACYLLVRFGGVAGGGHHTNGGSDKLEAVAVVRDVFYLAENQIPFFVVEKVHQLTVLDGSVSAAETMGSYVRELLSKRQQYSVATPSLSAPGNMLHLVHMHFIKPILPSGGKATSKRPVDRWHTATEYYFAGVKFKRRHVGASCILDVRLNSGGTVLEVPRLNIDAETWRLLRNLMALEQRNPEATGSHVTAYCVFMSQVACTAADVELLSRCGVIAHGLGNDAEVARCFADLCKGVMFSVNDQRCNYLKATCQALEKRYRSQHGRWMAWLRQKYFRNPWLAVGLAAAVVGLVCTVVQAVYSVLSYNQGGAR >Et_1B_012319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30941402:30945011:1 gene:Et_1B_012319 transcript:Et_1B_012319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVEALEVLLQGLSGVPKERVRVHELCLKSGPNLGVVPSEVRLLCDLAQSTPSWTIRHVGGAMRGAGAEQISVLVRTIVESKASKNVLHYFYTIGYKLDHELLKIGFAFRFHRGAQITVTVTSTNKMAKLHATDEAVPVTPGIQLVEITAPAAADNYNDVVSAVTAFCEYLAPLLHLSKPGNTTGIVPTAGAAAASLMSSGGVKTL >Et_2B_021727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4969972:4975575:1 gene:Et_2B_021727 transcript:Et_2B_021727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSSSFRLRDHALFLLVLALLSLAQPSVSVAGSGRKLMDLYRPPPSDLLQYHNGAVLSGDIPVSILWYGRFTPAQKAVVTDFLLSLSAAPGAAPAPSVSQWWSTINQLYLAKAAAAIGKSGAHGGGASATHARVVLAGQVSDEACSLGKRLQLSQLPALAARARPAKGGVALVLTAADVAVEGFCMSRCGTHGSDARTRAAYAWVGNAATQCPGQCAWPFHQPVYGPQAPPLVPPSGDAGMDGVVMNVASVLAGAVTNPFGDGFYQGDRAAPLEAASACPGVYGKGAYPGYAGDLLVDKATGASYNANGAHGRKYLLPALFDPDTATCATLMLVPMVTLILLSLARQSVCERRDLEQDEAPPVEYLKYHGGSVLHGDISVSIVWYGAFTPEQKAIVVDFVESLTSKPAASTPSVAQWWSTIHRTYLSNATSGGGDTRVLLDGQVADETYSVGKTLTLAQINQLAAGAKPKKGGLVLVLTDADVVVEGFCSVRCGQHGADAGAGWAYAWTGDAVRQALDKPLGAPNGDVGVDGMMVTLASTVAGAVTNPLRDAYYQGEQDAALEACTACAGVFGSGSFPGYAGNVLVDKDNGGSYNAVGAGGHKYLLPAIYDPAKSGCSTL >Et_2A_016398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24229328:24232695:-1 gene:Et_2A_016398 transcript:Et_2A_016398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPKADELQPHPPKEQLAGVSFCITSPPPWPEAIILGFQHFIVMLGTTVIIPSALVPQMGGGNEEKARVVQTILFVTGINTLFQTFFGTRLPVVMGGSYVFVAPTISIILAGRYSNEADPRTKFLRTMRGTQGALLIASTIQMILGFSGLWRNVVRLLSPLSAVPLVSLVGFGLYELGFPGVAKCVEVGLPELLLLVAFSQYLPHVLHFGKQVFSRFGVLFTIAIVWLYAYILTISGAYKNAAPKTQVHCRVDRSGLISGAPWISVPYPFQWGPPTFDAGEAFAMMMTSFIAIVESTGAFIAASRYASATNIPPSIISRGIGWQGIGILLDSFFGTASGTSVLAENIGLLALTRVGSRRVVQISAGFMIFFSVLGKFGALFASIPLPIFAGMYCIFFAYVGAVGLAYLQFCNLNSFRTKFILGFSFFMGLSVPQYFNEFTAVAGHGPVHTGARWFNDMINVPFSSKPFVGGLVAYVLDNTIQVKDARKDRGYHWWDKSRSFKKDARSEEFYSLPFNLNKFFPPS >Et_4B_036484.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26505057:26505266:-1 gene:Et_4B_036484 transcript:Et_4B_036484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGGSNTRRKNQVGCTGLFVGGVLTMAGLSAIQMQYFLRVRILVPQELSYVTMKAGALVDMRSGRITA >Et_8A_056086.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17969892:17971537:1 gene:Et_8A_056086 transcript:Et_8A_056086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIQNPSTKASTLFTPELEQRSYQFKIDGYSLKKGLGVGNFIRSEPFTVGGHIWCVRYYPDGIVMGDGEAFIAVSLELLSKNSQVRAQYKFALVDEVTKSTWSRSTMTVPGLFSTIDMSKPRHAWGIPHFKRRRELERSVYLRNDSILITCDVNVRVVKAGMYLGVQVPPSDLSANFGKLLESGEGADVSFSVQGEVFTAHKAVLAARSPVFNAQFFGLVGEGNRERITIEDMEPPVFKALLHFVYTDSMPVLEHLGCNDKMEMINHLLVAADKYAMERLKLICEDILCKSLDVENAATTLSLADQHSCPRLKDACIEYVNSSDSVNEGMSSHGHLRLKRVCPSAFADTWEKKKLNKFRKI >Et_4B_036959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1353911:1356554:1 gene:Et_4B_036959 transcript:Et_4B_036959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAALAASRIPTSARLHSKAASRQRVDFSDFAGLRPGSCTISTAAREASFSDVLGAQLVAKATGENAVRAPAEAKLKVAINGFGRIGRNFLRCWHGREDSPLDVVVINDSGGVKNASHLLKYDSMLGTFKADVKIVDDQTISVDGKPITVVSNRDPLQLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEKDYDHDVSNIISNASCTTNCLAPFVKVLDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVINTEKTGISADDVNAAFRKAADGPLKGVLDVCDAPLVSVDFRCSDVSSTIDASLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVAAKWPGVAVGGSGDPLEDFCKDNPETDECKVYEA >Et_2B_021847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:662687:665058:-1 gene:Et_2B_021847 transcript:Et_2B_021847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPPPQPAPFPTDSSLPLLLLASINGRYQEPSNPHLTSSSCVGSTSVHTVLCLGREEGREAEAVAASMLSSASSSAAMGMGGYPHQPQPRGAAVFTAAQWAELEQQALIYKYLMAGVPVPPDLLLPIRPHSAATFSFGPAAGAASSFYHHHPSLSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKSASPAHQSQPQLSTVTTATRETAPLQPLTVGAKTHGLSLGGAGSSQLHVDASSYGSKYSIGAKSDVGELSFFSGASGNSRGFTIDSPTDGSWHSLSPNVPQYTLSKTRDSGLLSGTYNYSNLEPAQELGQVTIASLSQEQERNSFSSGAGSLLGNVKHENQPLRPFFDEWPATRDSWSEMDDARSNRTSFSTTQLSISIPRCESLNRQLLT >Et_4B_037443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19913551:19914951:-1 gene:Et_4B_037443 transcript:Et_4B_037443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RQKCSNSCCTSAAFSQRCSAAGGGTTGGGDDRSSAKLRPLKNPCAFTSAAPRLDPSRVRGSLSRSREMRSRAAASPCAAEAEEGNSSGRRTMLRSVDSLVSPTNGAHQSTAAPCPPPRATSGATYSCVPTKELDRASTGSATNRGRGGAAARRFHLFSRRSCSSGMGRHVGAGASFLSSPAAPFLSSAGDAVDSSERSKSVLERQEHLGGVEPGRGEREASRRHAVAERVEVAAGAELHDDAREVRPRVEVGEHGGQERVVEAPEHALLRRRAAQLALLRQRATVHHLHGVLARRRRFVGVGGLKAAETVPMSPAPTRRRSVKSRGPRGASAARPRIGAHATSLARCGRGGEDEGVAAVDDESSENVDDRSARLLPALLLLEELTLLDAAAASGQDGSSMVQAAAALFGGLGGGDDDVCAVVVVVV >Et_10B_003061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16025847:16026533:1 gene:Et_10B_003061 transcript:Et_10B_003061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKRKGVPNPWPAGDATPCKRSSSAAAAMEERRRDWASLHPDLMELITARVLAGDVVDYMSLRAVPCGAATLRGERLCHRNWVALCDGVRPADVREAAFLHTATGRCVRVRLPDEQIRGHRIVGFTDGLLILINKDTTAVRVLHPFTRVAVDFPPITAVFVHMLCLIPLICSNVLAVFNYTGQGGSCFMNTTPYLHLGRLVASLLRSLLAEQVTFRK >Et_4B_038952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6274765:6276754:-1 gene:Et_4B_038952 transcript:Et_4B_038952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEVDGALAAASAWPGPSRRRHLLQFLLHASKRLDLRPIVKYSALSFFAGRFLPALPRKMGFCGARSGRSVRSWLLEPLRDSNLELFALVAVWIASKVHDPKPYSVKNLKALGDCIIADQHFTCRDFANAVVEYNIGSSSIAFIYLEDLLLHFREISKLGDLLDLDVCMEILDILYETEDTSLLFNSPCSLAASTLVAAYAISVPKQIWEFPILPWVRFATSYDEEEIMKIALTILMHVLRPDEIKEKNTIEFDA >Et_9B_065033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20670238:20671060:-1 gene:Et_9B_065033 transcript:Et_9B_065033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQDKASYQAGETKARTEEKAGHAMDTTKDKAQEAKDHAAGKGHDTKEATKQKASETGSYLGQKTDEAKHKAGETTEATKQKAGQTTESTKQKAGETTEAAKQKAAETTEATKQKTAEAIEATKQKASEASEYAKDSAVAGKDKTGNVIQQATEQVKSAAVGAKDAVMNTLGMSGDQKTGETGKDSSTITRDQ >Et_6B_049846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1551741:1553917:1 gene:Et_6B_049846 transcript:Et_6B_049846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALLVLAATALSLPAASLAVTSPYVRPPPRETLSLLKDDDADGQTPQQVHISMVGLDKMRVSWITDDDAPAIVEYGTTSGQYTSSATGDTTTYSYVLYKSGKIHDAVIGPLQPSTTYYYRCSSNPSREFSFRTPPATLPLKFVIVGWTDSTLKHIAAADYDVLLLPGDLSYADFIQPRWDSFGRLVEPLASARPWMVTQGNHEVEKMPAVEPEPFRAYNARWRMPYDAGASPSGDNLFYSFDVAGGAVHVVMLGSYTDFAAGSAQHDWLRRDLAAVDRGRTAFVVALVHAPWYSSNEAHRGEGDAMRAAMEELLRGARVDAVFAGHVHAYERFKRVYAGEEDPCAPVYVTIGDGGNREGLAEKYVDPQPAISAFRVASFGHGRLEVVNTTHALWMWHRNDEDEPVVADQVWITSLAANPACNKK >Et_5B_045461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23892952:23895148:1 gene:Et_5B_045461 transcript:Et_5B_045461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSAVTGAAFLAAAVLFLVAVRDGHCAQLCMDSCTYSAKNDVSPNDVCDGAAFPRTVNGSLSFCGYNGTACCNATDDAAIRREFAAMNISGTPCGDMVKSILCARCNPYAGDLFTVTTRPRTVPVLCSSTGVSSRPAAADYCSKVWDSCKDVRIPGSPFQPPTTTRLTDVWQSVGDFCGSVSNGGKAPCFDGDGAAFNASSAASLPVKGMCLERIGNGSFLNMAAHPDGSNRVFLSNQAGKVFLATVPAQGSGERLSLDAASPFLDISDEVHFDNEFGLLGIAFHPDFAANGRFFVSYSCDKTKSATCAGRCACNSDIGCDPSKLDSDNGALPCQFQSVIAEYTANASSTVASPTEVRRIMTMGLPFTTHHGGQILFGPADGYMYLMMGDGGSVGDPWNFAQNKKTLLGKIVRIDVDAIMPATSNGTSSGFGSYGIPKDNPFSSDPGFAPEVYAMGFKNPWRCSFDSAKPSYMYCADVGQAVYEEVDLVIKGGNYGWRAFEGTQPYPPAGPTPSVAAIGPVMGYAHNAVNSNVGSASITGGYVYRSTTDPCLNGRYLYADLYAKSMWAGTETPEDSGVYNVSSLAFSCSKSSPIPCDVAAGSALPSLGYIFSFGEDNAGDVYLLTSKGVYRVVDPAQCNYACPIKSSAPAPRSPSSSPPNAAVRSAGAPMLLAAGLLALLCFINTV >Et_4B_039317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9918057:9919595:-1 gene:Et_4B_039317 transcript:Et_4B_039317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHERDASSEEEVMAGDLRRGPWTVEEDLLLVNYVATHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDLRRGNITPQEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSQQFKDVMRYLWMPRLVERIQAAAASNSGEEEAVQLHHHHGAAADTPLSSWQNGGDDGLYASPELHTADACWPREYSCPSAAAAGGHLLVATTPGVPELSCTTAGSSSPSMDSGAVAQPWSAPVGGAEWFTTACDATSGAPMPGVNNLSTQQQQQQQPCLLGETWTASAELPEFSVADFDLGGSFDVESIWSMDDSLWYTQAQGV >Et_1A_007409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34263149:34265356:1 gene:Et_1A_007409 transcript:Et_1A_007409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPWPPGHLRLATAVAAAFALLLLSLAAAQSDGRTEADVLIAFRDTLRGADGAPPAPLRTWGTPGPCRGNTSAWHGVSCHGNGTVQGLQLEKLGLAGEAPDIGLLAVLPGLRALSLADNALTGAFPNVSALAVLKMLYLSRNRMSGVIPEGTFGPMRGLRKLYLSGNEFCGPVPGSITSPRLLELSLANNRFEGPLPDFSQPELRFVDVANNNLSGPIPAGLSRFNASMFEGNKLLCGKPLDVECDASGSPRSRMSTLMIIAIVIIVLGVLLCAVGVLGSRRGARRRAPADPALGGGDQTPSNPKLHTAPAVNIDRAAAAGAGAAGTSAAAGSAAGGGGKRARRDEHGRLVFIQEGRVRFEIEDLLRASAEVLGSGNFGSSYKATLCEGPAVVVKRFKDMNGVGREDFSEHMRRLGRLAHPNLLPLVAYLYKKEEKLLVTDYMVNGSLAQLLHGSRGSILDWGKRLRIIKSAARGLAHLYDELPMLTVPHGHLKSSNVLLDAAFDAVLSDYALVPVVTPSIAAQVMAAYKAPECSHGGNNSKPSKKSDVWSLGILILEVLTGKFPANYLGGKGRQAGADLAAWVEAALAEERTAEVFDKDITGARGAEADMVRLLQVGLGCCDADVERRLELKAVIALLDEIPVPAETVAVDVGDESTTSSLPSDS >Et_3B_030498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4236159:4237204:-1 gene:Et_3B_030498 transcript:Et_3B_030498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYSDSGKDAGRFTAAWELYKAQEDVVAACTAFGIKVTLFHGRGGSIGRGGGPTHLAIQSQPPGSVMGTLRSTEQGEMAQAKFGLPQTAVRQLEVYTTAVLLATLRPPHPPRSDRNWRQVMEEISRLRALLQADRTVYEDPEFITYFQEATPQAELGHLNIGSRPAKRKPAGGCISSLRAIPWVFAWTQTRLVLPAWLGVGTGLQGALDRGHGAELKAMYAEWPFFQSTVDLIEMVVAKADAPMAAHYEAMLVPEGSRRAVGAELRRELARTERCVLAVSGHSKLSAHNRGLRRLIESRLAYLNPMNMLQVEVLRRLRRDDDNRKLRDALLITINGIAAGMRNTG >Et_8A_057585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4814284:4824533:1 gene:Et_8A_057585 transcript:Et_8A_057585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVASQPCHLPLGAGCEPRCTASTSKVYTLEKVYGFRFVCRSAIDLRSQKFHPRISKRKCYLRNSPAECDKIISARWLEFRRQKGIFQRTRRIVHIIPLASDDDANGVSVNGAPKVGSTSGMEEIRLKLDKALQTEDISNGLVQSIHDAARSIELAFLEHTKSSNSYWFSKAWIGVDNNAWIKSLSYKAAVDSLLQAVIDVSSRGNGRDRNINVFVQRSLSRLLSPLEIVIKNELTKKEPTLYQWYSSDQNPLVVRTFVNMFENDPQFNSATAICSEGESVNTSESDLALLMLGLFCLAAITKLGSAKVSCQQFSSMVPDIIGRFMDMLVEFVPLSKAYNLTKEIGLQREFLHNFGPRAALPKLTNDNGVEISFWIDLVQKQLLRGLDREKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTQGYLSSKRLTDLDDALNGIVRYLIGGSVLYYPQLSSISSYQLYVEVVCEELEWLPFYNNDMSSAVTDTENREEMPREEVISRVLNVCSYWMTSFIKYSSWLENPSNVKAARFLSKGHAMLSDCMKELDIAKNNMSKGRGMPESEEQSITGAESASFDKSLESVEEALIKLENLLQELHVSSSNSGKEDLKAACSDLEMIRRLKKEAEFLEASFRAKAEYLERRPFWNFVGRASGRKVEPAVRPEDQDGAVINAEKNDMESNEIVRFEQLRRELIELEKRVQKSANDAQKEANDVPDEATTSAALSASPSTASKKENVITKSVEKVKETTTTVVQGTQLLAIDTGAAMGLLKRALIGDELTQKEKQALQRTLTDLASVVPIGILMLLPVTAVGHAAILAFIQRYVPSMIPSTYAPDRLDLLRQLEKIKEMEVAEGNSEDLLEAVGLRNDQCSGPRHGRIYFIYKILSMLEMELQGYTQITKHGIMKLKILSGFALL >Et_3B_028985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21084647:21086904:-1 gene:Et_3B_028985 transcript:Et_3B_028985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSFPRCFKWPRRLQHTQQISSSWLYATVGFMGFVNLKKLTLHKISFLGGIQRLMLPECKALEWLGISCCLLPALTTCQPLQRLCHSGILCTSATRKRSSWKLQNITSIIYLFIYLIFANENITSFDLRNRPIPFTLGGYLKVIEANIKLLCKGTLYGDNLDYTFASGDFPHRSFLNNNNNNNNNNNNNNNLDYIYTELPATLPHLHMFAKTSARFINLRHLILFLPFYGDDQSNGGILRLAYLLELASVLEELELHMQVLGNDVSTGWAVRGNMTPYPHYKLKRVLISGACEWEGLMELAYYILRSARLECMIIDPRAGKLNVCFFCTNLRATNS >Et_3A_026585.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:43268:43663:1 gene:Et_3A_026585 transcript:Et_3A_026585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKASLFTICFLMALIALAAATPLPVAVRRSRFLASKLPPPTSYYDCKKKPPSICLEPGSPGATCCQGTCLDTEYNNQHCGNCNKACKYGDSCCAGKCVNLLTDSKNCGACGVACTKGHDGCTFGFCNYAG >Et_1A_008041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40322195:40325630:-1 gene:Et_1A_008041 transcript:Et_1A_008041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDGEARSLETEAAAAAEAARELREAAAALVARHASDEDALRRRAAALDADLRRLQGSIAGLDPPTVDKVEEDLERARVAVTDSDVTSFLPSKKNGRFLRKFLGPVNVRVARKEDRLKIKDEYNNYRDRAAYLFLLFPSTLLLLRWWIWDGCLPALAVQIYQAWLLFLYTSFALRENVLIVNGSDIRPWWIYHHYLAMLMALVSLTWEIKGQPDCSSKQKGVQLFLRWAIMQGIAMHLQNRYQRQRLRTRIALGKAKRMDVVAGETAGVEGQLLLLYPVLFVLQVVVCGILLVVMAVGNFVNTVETLVLKLRFKAKMKRAKGRQDRPHQN >Et_1A_005661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12531037:12533901:1 gene:Et_1A_005661 transcript:Et_1A_005661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGKDQDATQDPVHGPLEESNDATAAAAEAVPPPPAPPDGDLHERPGEGGGSLSPDAATEAEAEAEAAAAAAADRKGKKVATTSPSSPSSPYAGSISRPDAPVDSISAGEDSSSALAADSRREGTVSWKEPLVGEIVAVPKVVRPIDLKNVFGNLLQEQKAIKDPERRLAAAEEREKGQVKPPILWRRKKNSLWDKILQRIGRQKCHSDLNSTWPHVDELVIPMTGMIQHYELLEKVRHPSR >Et_5A_040331.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:14549745:14550785:-1 gene:Et_5A_040331 transcript:Et_5A_040331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGVRAAPAAAGASRDLISALHDELLLTILLRVPTIDSIRTCALSRRWRTLWTRLPELTFNDKDAGIQRLRFADLVDGALHRSAPCDLSSFYCDCNVDAAARVPAWILAAALRATGKFRIWMMAQRDGERVPRERDETRVLLDLPCLPRAKNISLTNKIKNNAELRLPGPDAGAFACLTALQLNSLHLTDGGADLGDLVSSRCPQLERLQLSAVSGLTELSIIAEKLLQLDLVILDLERLSLVASKLCVLHLFACFGTGGTTLSVQAPMLEDVAWFGVCPEDMRFDGKRKLRKLVVGGCKLGLIRFGHSTRHAKFLQHFSHTDFLRLQISLPRVSIDFSFHELI >Et_4B_039922.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:589942:590286:1 gene:Et_4B_039922 transcript:Et_4B_039922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLCTSDLSRAASSMTGPGLLHGGWEDLHAEEDWILNKSDLVHLGSGMFCVARFFQREHKVASEDGFIFTKRDKFAEPTGLMLQRSRVNGEPEMIKHRSLLYRFDGIANIWAF >Et_8B_059891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3781681:3795314:-1 gene:Et_8B_059891 transcript:Et_8B_059891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPDNFGPLSFQGKMYMIEQHLFRGEANIFQIEPAEKEEEGSSSSWLPLPKWVAKIPGEVFYYLVERDSEIMVVTSSLGFPPSISVYRLADLILGRIVSLTSIGGNAIFVYGSRALVVNRKALPTIVGDTTVYFDRIKVYFSQYHLRSGNGSQAADRWPVSYYVQSPCCTIMYHIFTCCSPSLCAMADDGFRRLPDDVFVEILLLIPGMLRHKFRHVCKGWRDLIDERTPKHRYCPQILVFVNERGSSSSSARVFDSTDGLLKHEWTFSSCSETGHVSMVGTCNGLLCLYDSRSFQDFSYSTITVTNPITGDRLELPPVPSPWKWDRVRAPGKYSFGYHPTTGQYKVVHISHARNQDVIKAVQVFTLGEKMWREVRALAPATSCNLSSEPLSVGGRTYWLTASSDRVMALHLKDEHVTSIALPSIMQPAHPREIRWQLTNVHGSIGAIESAGTPTVADVWVMEGGGEQPQWTHRYNITNTMERLWIMSPQLTYGDYMLTMLRSIMVMVWNRCWLKTRVLLHLYRHKFGNLTHSSEEGRDHQPAEETELDMSLEEGSGVVTTYAYIETLEPMPMPRERDEHVHTRVLCIQQPMGRQLSRRGLQRQQRHEWTFPCSSRAEAGSSVFLDGTGNGLLCLHESLTTDRDSRFSTTPATSAGMADDGFSIPDDAFVQILCLLPTSSRRRFRLVCKRWRDLINERTSERQLRAKILAFFSQPGICRALVFDDKDGHRRHAWKYPCPHDGGQVHMVDTCNGLFCLHESWKADGVSFSTITVINPMTNEDQELPPVPTSSGFRDHPKYTFGYHPTTGKYKVVHIPWASRQVVGEVRVFTLGDASWRQVPVRIPGTTYQLSSGAACVDGWTYWLPSFADRVMALDLEDEHVSSISLPATIPAEAGWQLTNVHARLGLAVTDWNGTSWGVEVWVLDGRGEQPQWSKRYNLLVEKGRPERWITAPHLTHGDYILKQSGDLIHDRYSKSWRRKRLLRHKVVLYNGQLVPLKGAELVMSEKEISEAGNRPELGTFNIETECKYSTLHAINCART >Et_2A_015346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11391960:11392768:-1 gene:Et_2A_015346 transcript:Et_2A_015346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVSSAVRHQLMSGIVCRISLAARRLHVLPLPIVGRVHVAVVVRSPNWTVDTGTLPHEDDVAAVDYEAGHVPKEDLLFRPPQLFILTSEEVKNEEHVAGGARLQEAQAAAVVLNLEAEVAAELCQVAQVLFHITKRDGKVGTGTSAGVPDHAEVGAVAGEVKQAKEGACAGVVTAKVPEERRRFADLEPRLAHCRGDGEARPRREAGQDLTDSVVTEIGDRSVLPNGWRRHHSIGEEASKA >Et_2B_020188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17878015:17883959:1 gene:Et_2B_020188 transcript:Et_2B_020188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLRYALSSIVPMTEEKHKHNCTRHAIVVIRVPEEHGPVHLCAGKVERTVLLPQRLVHVPGEPQLLLELEDVVDQRALLQRQAQELVHHPDAVLLRLGRPRLEQRAVGVRRDQRERGHGVEAVRVLCVERHGPAVRDGEAERAPDASLVDDEVALQRADGEGRVPARELEDVAAVGVDGDAEVVCEFADAVAEVVGEAERHAAVVELRERHAAMSGEHEELADDAHPRREELLVEAALFLQERAHEGREREVRDGVHEGQVEQKRVASGGARHAAAAGEAELAVQLAGLGQGDPVRGREGGQERARAGEEAEGGIPVEDGVEGRHVAGCFRLDRRDARAVELLQELLAPLAGEKRQERGHRGRSCGRGGGCGRGSWGGKKERSRGAEEASGHRAAAAAASCGRVGLVGFRSGLIKTCTKVKDTVTVRSSRALPLSPRLLPSPSSSSRRGDASRYALPSSRAKPQIPVRRRPPPPPPGMGTEVAAVVDLRALSQSDLVALASASPYAVDPRLGRRRDADFLPPPKIDRSVFNESAGSRKQTFSRHRAATNTSHNLTPAAASSSATASAHTEEDSENRLIVFHLQSLFARDDPSYPPPPPIQLRPQTLTTPAIAAPAPPAPSLPQAADPDREVINPKGGAVDLARLAELVDPYGEELQKRTAGLGSEPELLGFMNSLEGQWGSRRRRRKFVDASMFGDHLPRGWKLLLGLKRKERVAWINCRRYVSPKGHQLATCKEVSSYIMSVLGYPEANSIYSNSASVHGLNSVNSVGLQQQANPTGEKQIAIPVTSVALLSHSGESHQQKLQKDESGTGVSAKECRKCNLTFDDQGSYMQHQLSFHQRKAKRRRVSKSDELGASIDGKLETQECKKTSEEGPGNFDQSVADVRYQGQSASGELGGKPSMSAAQSVLQQMPALPEQEKEPSAPEPVPRNHNGPLSEMTDLPVLEKGHPGESFSGHHKEPPKMMTGFPEQQNKPVAGDPIFEQHQDPVVNSGDGKTNDGACHNTGTSVAVDDESKFSTCNSANIHESYNTKKLQHHSKDRSQMFNRTDETCSIPKEVSLTSYGPDESKCTDNSVGCNDTTQPKQVGSPPDENNFNNQLESKPLSISLDERDLNSVDMEVHDASIVSKVVNPASIENPALDKSGIRIRDVNLNSCLDTISSPRSGGNYDTSNTTDDATRSSIIAQCFGTSSNDDNACKDGNLTNQNKFSKGENFVNQKSDMVYQSNLTMSPIPPAQINVDCFTSELKNYVNRSDDNAKEALVNSRNMTSTETGFDVEAYNNDIFNGTITESSLAQLNNAINMKNDFASCYSLSDLNTLTGGTTPDENYIHGMRNSFVGSTSRDEPKGHCTLDFDIQGSMLEALEKSDSDMENQYNGAGPSCDSLPTAGPSGNMDDFMSMQTNFGSFTSLVRAVEDVPLSRIIQDQCDLQLGFGGPKQPMYPSFEQQLRMASAGAPPYGGMGRHDSVPVPEPTLMLGYAPQLGSCPPFQLGWGASLPKMGAGCVLQSVCVWCNSHFQHFGTVAEQQQGDSLGYICPACKGKFSGHLGINGPSI >Et_4A_033536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24105969:24106407:-1 gene:Et_4A_033536 transcript:Et_4A_033536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLSFLFFCLAFVVAMATAGIHADGDCDSDVDKGLVVDLVDQCNQFVMFPANPKIAPSEGCCGVIQKLGDYECLCKKVTKEIEKIVCMEKVVYVAGYCKRPMKPGPCGSYTVPGGQ >Et_10A_001565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5152446:5155388:1 gene:Et_10A_001565 transcript:Et_10A_001565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDEEMGHGDRSLLFIGDEDDDLGADRDGGSPPSSDDSSLSGGSDDDDAGSGRDGERDAPDDDGQKGAWPQSYRQSIDMLSAVPSPTVSTIMAASPSLSRLGNSFVKAGSSFFLKKAAAAGGEGSLPLTRPLLPPSMSHLSQQSQPQPQQLVRQSTDSLPPRPLPARQGSGLPERPSRACLKSDYIELPPPATKCSKSQSIINGFNVLCGVGILTTAYGIKEGGWLSLLLLPMLGASSCYTGLLLKRCMDSSPNIETYPDIGQVAFGIFGRIFVSVVLYLELYASCVEYITLLGDSLSSVFPSAHLAFTGIDLSAHNLFAITMALLVLPSVWLRNLSLLSYLSGGVGFHPSGTALNLTRLPVALGLYGYCYSGHSVFPNIYSSMKDRSQFPFVLLFCFIVCTLVYAGVAVSGFMMFGESTMSQFTLNMPQEFIPSKIAIWMTIVNPYTKYALTMTPVVLSIEEALPKKMQNYLVGMSVRTCLVLSTVAVALLFPYFALVMALLGSVFTMLVALILPCACYLSIKKGEVPLWEVFLCIIIILIGVVCACVGSYTSIHQMISSR >Et_4A_032037.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:13031713:13031841:-1 gene:Et_4A_032037 transcript:Et_4A_032037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYLIIIYYQQQKLTKGDSNMNLPWLSIGQNQTTFHNLKNG >Et_7A_050992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13308766:13310312:-1 gene:Et_7A_050992 transcript:Et_7A_050992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGSTYPASNFYRHSVFMDGRNPALVIREAIARALVDYYPLAGRLRELEGRKLAVDCTGEGVLFVEADADVRLEDFGGDALCPPFPCVEELLFDAPDSSATLDSPLLHFQVTRLACGGFILAIRTQHALADAQGLLQFLGAVAELARGASSAPTVRPVWMRCELLQARNPPRPSFPHREYDDVPLDEGTIVVGDDQLVERSFFFAPQDIAAVRAQLEQPRLRERATAYEILAGCLWKCRTAALAPPDEEIMRMISVVSARGDKPTGLRVPEGYYGNAFAFATAVSGAGKLRANPVSHAVELVRKAKAEVDAEYAQSVADLMALRGRPLITVAGAFVVSDVTKAGFRGVDFGWGPPVYGGVAASPFPGLISFLVACTNAKGEHGVVVSMCLPGPAMDRFVEEIGKLLRPAAVDDDVAAPQHPRVFPTKLSAL >Et_4B_036253.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13100154:13100432:1 gene:Et_4B_036253 transcript:Et_4B_036253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLRDARAEHARKEKKQAEEEDRFAHVFQPWYLPPMPPEPAVERTLVLGLRAPTHLARNRKKHDRAPQGPKAAAAAAAGSHPDGKKPRVL >Et_2A_016183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21822220:21824699:-1 gene:Et_2A_016183 transcript:Et_2A_016183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTMAISLGSSRRRKRGETLFPFESFCQPGYPAPLGSGGAFRDNVRALLGLAHLEAGAQGETKCWSFQLELHRHPPTVIRLFVVEEDVAASPLRQCHLCRHIGWGRHLICSKRFHFVLPKRESLVEADCLHYGINQAPEKASKGTATSRGHLLHGVVHLNGFGHLVGIHGFEGGSDFVSGQQIMDLWDRICFALHVRKVSVIDTARKGHMELRLLHGVAYGDTWFGRWGYRFGRPTYGVALQSYQQSLHALQSIPLCVLVPHLSCSSQELPMVVNKYQAISGHKLASLGDLVRFMLELRARLPATSVTAMDYRGIMSEASCRWSAKRVDMAARAVVDALRRTEPPAARWVTRQEVRDAARAYIGDTGLLDFVLKSLGNHIVGNYVVRRAMNPVTKVLEYCLEDVSSVLPAAAAATVAGVSKMRVRFQLTRTQLMRDLAHLYRHLLKEPSQALTTGAFAAVPVAVRMVLDTKHFVKDYHEGFAPIDDGGVGHVHINLCCTLFVKNGSPELAAPYETVTVPAHATVGELKWEVQRLFREMYLALRRFTAESVVGVGGVGQDGSPVLGVVDVGSTVVVEGRVGDQQEGESELEQNELAAEPVSEGGGDGERVVDCECSTDDDDGERMACCDICEAWQHTRCAGIKDTEDAPHVFLCSRCDNDVLSFTPLNC >Et_5A_041539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23984392:23992149:1 gene:Et_5A_041539 transcript:Et_5A_041539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHPGRDMWDVDECQSPRMGSVILGVDGGASNTVCVCIPAAMPFADPLPVLSRTVAGCSNHNSVGEERARETLDRVMTQALLKARRRRSNVCAVCLAVAGVNHPIDQQRMLDWLRELFPSHVKLFVENDAVAALASGTMGKLHGCVLIAGTGTIAYGFTSDGREARAAGAGPVLGDWGSGYGIAAQALTAVVRAYDGRGPETVLTNNILDFLGLASPDELIGWTYEDQSWARIADLLPVVVESAEGGDEVANKILHNSVGELASSVKAVVQRLELGGEDGKHPFPLVMVGKVLEANERWDIGKEVIDCVTKNYPGAYPIHPKVETAVGAALLAWNAIASELDGDLQAVLAIEMHLCSQKALASALASVPELCQIPNWSVFEKVGEALQTWMREIITPEDEKWRE >Et_9A_061010.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:7415991:7416290:1 gene:Et_9A_061010 transcript:Et_9A_061010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPQMTMAIFFIVLTAISPSLARARNMPSDDHQAQVNGKTSSTTSHTASSTTTSSSSSPRNVVQGLAAPSPPTTEIDYPESNGYIPQGSVPSPGVGHH >Et_8A_057011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20093944:20097217:1 gene:Et_8A_057011 transcript:Et_8A_057011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVMRTAAKVGIAGGAAAAAAAKGGRFRHGAPAFATAPSAAEAAPLVSAAAGEVPSAAAAGGQWSSWEVDDWEFADWRDDAAAVAVVEETAAVKPRLVFAPPSREEAEEATTELRDAIERGYFNEAPVDVKGQDKELNKLAADAIIPAMPGHVVQAFTLLKSSPEAQSVVASLASDRNVWDAVMKNDRVMEFYRTHQTTLVQTFPEDTTTAESPEKFEDSSLDEQLTGSPFMDFVDNATKTVRELVNNITHFFQDMFRNTEEEMAVGGSFMALTIAVILVVLFKRA >Et_2B_021115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26596453:26599195:1 gene:Et_2B_021115 transcript:Et_2B_021115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQIGSGMYVSGPLPDRKKERQLGSAATPPPYTGGDPKRSGELGRMFDITGAPHSQAPSLASSSRRSSGPLPRPSPASGPLSQLSHPGLLVGPSPSPAHVASARKGSGSSSSRRSAGKEVEAATGGAAVARGKVRLGVPFVWYVLVGVASAAALGAGVYFLVSWHRWEGLAAAGGAVAVVAVVFASNVCRTGAEAERFLRRFPDTVFDQADMPIGELVKITGQVTCGRQPLGASFHDAARCVFTSVQLFERRGCVRCCSRWQLRHSEARVTNFYISDRNSGKRFYVRAGEGAKITPMIKRKMISFDGDTKNTSRHLKNLMASYDLSCDGDVRVKEGFIREGETASVIGVLKKQHAYDIVDAPAGVISTGCQLTRCMFPVLVEGLILIGSEDPDEAVYIV >Et_3B_027529.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25312078:25312674:1 gene:Et_3B_027529 transcript:Et_3B_027529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLLDDERRVSVNGQTRVANCIIGALPIVVFSACLLLMVYSCFYDFAPPEYWVKIQGVEGLDRSTDAATAPVFNIILRVNYDLSVGHHWPQLCGKGGSVVVAYAGVPLAHGDLPEFCVAVGVAGSVNVVATSNGLGVPDELYQRMENQGQRQGRVPLTVQVQIDELTGGSGSPTLLWCTAILHGQPKGPFICSILN >Et_5B_043961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18349563:18359389:1 gene:Et_5B_043961 transcript:Et_5B_043961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKAAILAIVAALAVQLAAAATDHPVNGDGAWDASGTDYNTWAAKQKFVQGDTVSFTYSPSHDVTEVTEAAYKACSGSNPVKTYSGGKTAVKLATPGKHYFICSIPGHCAAGMKLEVTVAAAGVSAPAPTKSKSKPRHQKSAAPAPAPAAQAPDAATEVSTPAAAPAPKASGAATAAAAVGVKAVVGLAAGVALALAIHGGNGRQSHARRRGALAVQLAAAATDHPVGGDGAWDASGSNYNAWAAKQKFVQGDTVSFTYSPSHDVTEVTEAAYKGCSGSNPVKSYTGGSTAVKLATPGKHYFICSIPGHCAAGMKLEVTVAAAGVSAAPAPTKSKSKPRHQKSAAPAPAPAPDASSTEVSTPTAAPAPKASGAAAVGAVGVKAVVGLAAGVALALAMWALPTVAPHVMLEDKQTGEKSRGFVRKFKLGVGCGPLETRGNRRYALLIPSLSCLSLSPPSFPLPRPGYLPSSSPRVLESAMKGAKAKGVAKADAKLAVKSKGAEKPAARGRKGKAGKDPNKPKRAPSAFFVFMEGFRKEFKEKNPKNKSVAAVGKAAGDRWKSLSEADKAPYVAKANKLKAEYNKAIAAYNNGESTAATKKAPAKEEEEEDEEESDKSKSEVNDEDDDEALLAVVAMAILAVQLAAAAVDHPVGGNGAWDASGTGYNAWSAKQKFVQGDTVSFKYAPSHDVTEVTKAGYDACSGGGSNAVVKSYTGGATTVKLAAPGKHYFICSIPGHCAAGMKLEVTVAAAGVSAAPAPTKSKSKPRHQKSVAPTPAPATAPEAPAAASPSTDGELPNVSSPAAAAAPKSSDATSVGVLGAQAGVELAVGTVLALVI >Et_3B_030835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7846174:7856119:1 gene:Et_3B_030835 transcript:Et_3B_030835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPRATLERPRARASSVGWITGAQRLPLWLRTLPVTDHVPEDYGGAPDEHGVAPATYQELERHLPVALRAAPRDQKLEVMREVLVGNDGLRAVRMVRIHYTHVDVGRPPLHRELFTLNPTAFFVPSFLQAINSNTEDGFRSIMTEPAPGLYIFAMLQPAFCQMLRAEVRTNNASGSYYGQEMFDLSYIGLETMLDNLMRDFISPIVAVLYPELAASPLDSHHSFVTEFAEGQGEGFNIDNSEVTLNACITRDFTGGAMYFRGIRCSDHSSSEIDNEFQEMVNYSQTLGQAMLYRGRHRHGALPTTSGARATLEMWCRSFLARRMRPYQTDFTSCYILRAEVDHFDRNIIMPMTTTMDQKCIDLSYIGLETILNNLMKDFISPVVPGQPGQVRAPGRSRPLLFATAAEFAILLLGLEHAWRA >Et_9B_064819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18964279:18966781:-1 gene:Et_9B_064819 transcript:Et_9B_064819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPAESMEAAVKKHELVPQLQFPPGFRFVPTEEELVDVYLRRKIEGQKLPLDVVNVVTILEWQPGKLVERYKAYGENKWYFFTEREPSSSKKEKEPNRKVRVPGVKATWKATGSLTGICRKGKDGKPSDMVGTKRVLIYHSSDAEEDGKWSMHEYVLTSNSQIGQYALCSIQRKQHSDTEHKNGEASGSSKRKRETTTMEPSKTTKRKKAISAKAEAAQKKKQEMASQHAPPEAPLTPPKHDKQTPQQESPLPAYAPPTSLPG >Et_6A_048163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8054226:8058210:-1 gene:Et_6A_048163 transcript:Et_6A_048163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPKNEHLQINPVLINAQEMAEALLVVVSKIGSTLTEEATKAAIRKLSEKVANLKELPVKVEEIGQELNMMNNVIMQIGTPSLTNEVVKGWIAEVRNLAHRVEDVIDKYSYHSLKLDEENTMKRLFSKAHYIAVFSEIAEEINKIEKKIENVGKRKERWLQLSHTVNPLADIERKRSQDCLLEAIPDDLVGIEENRILLTQWLYYDEQRSKVITVSGMGGLGKTTLVENVYEREKNNFTAHAWIVVSQTYNVVDLLRKLLRKIGVQEQILLADMDAHDLKGKINDRLKNRKCLIVLDDVWNQEAYLQISNAFRNLQACRVIITTRQEQVAAIAEPTHQLKLKPLEHRDAFTLFCKKAFCKSLDCKCPHELENLAKNIVDRCQGLPLAIVTMGGLLSALPPNIKVWNETYKQLRDELTNNDHVRAILNLSYHDLPGELRSCFFYCSLFPEDHQFSRESLVRLWVAEGFAVSKHQSILEEVADRYLTELIQRNMLEVVDKDELGRVSTCKMHDILRDLALSVAKEEKFGFATDFETMAKMDKGVRRLSSCGWKDKTSPKVQIQLPRLRTLVAVGTTASPPQLLSAVLSESMYLTALELQDSEITEVPASIGNMFNLRYIGLRRTHVKSLPESIGKLSNLHTLDIKQTKIEKLPRGIVKIKKLRHLIADRYADEKQSEFNLHGDPLQLLAPHVPNLTYLSLNSVSTSTTLVLSAGQFPELKTLVLKRMNNVNKMEIRDGALPQIEGLYVVTLPKLDKIPQGIASLRSLKKLWLLGLHQDFRYQWDVYGMQQELQYVPELRV >Et_7A_052316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6945887:6950316:1 gene:Et_7A_052316 transcript:Et_7A_052316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQAPPDAPLPLVSSFPPNPSPTPTPTSTSTLILPNPAFPNKRKRTGFRRKVPSGSPAAPAPAAPQPPPSASASDDIIVINREPTAEAVTALTAGFPADSLTDEEIEAGVVSDVGGIEQVNYILIRNHLLTRWRETFNSWLAKEPFASLIPPHCDHLLTAAYSFLVSHGYVNFGVAPAIKERIPKEPTRPTTVIVIGAGLAGLAAARQLVAFGFKVIVLEGRKRCGGRVYTKKMEASGQSAAADLGGSVLTGTLGNPLGIVAKQLGLPMHKIRDKCPLYRPDGSPVDPEVDKKVEITFNKLLDNASNLRASMGEVAADVSLGAAIETLREGDGSVSTQEEMNLFNWHLANLEYANAGFLSRLSLAYWDQDDPYDMGGDHCFLPGGNGRLVQALAENLPIVYERTVHTIRYGSDGVQVVVNGGHVYEGDMVLCTIPLGVLKTGSIKFVPELPQRKLDSIKRLGFGLLNKVSMLFPHVFWSTDLDTFGHLVEDPKRRGEFFLFYSYATVAGGPLLMALVAGEAAHNFETTPPTDAVSSVLQILRGIYEPQGIQVPDPLQSVCTRWGTDSFSLGSYSHVAVGASGDDYDILAESVGDGRIFFAGEATTRRYPATMHGAFISGLREAANITLHANARATKTKVDKSPSTNTQACAAILMDLFRQPDLEFGSFSVIFGGKASDPKSPAILKVELGGSRKKSGIEGANPEQNHSNKLLFQQLQSHFNQQQQLYVYTLLSRQQVMELREVRGGDEMRLHYLCEKLGVKLIGRKGLGPGADAVIASIKAQRNRSRTKSGPSKLRKESKKRDNELKIRETVLHEHEWFTKTMVEQIAKQATLSLTDIPSRVQPKAIMQSLLPCTKHLINPFPVPKDGRIWLQTQHLHRGQERLKLIIKTQGDSECGAAKLTGDFEDLTESSICFNCWSLPALRRLPCEPPWSDRPVPSRPVLLASSFPFPTSAMLLTHSVPRP >Et_4A_034586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4531291:4536368:-1 gene:Et_4A_034586 transcript:Et_4A_034586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAPIESSATVSTFDVSKLVLNSPKPPSLREELVGVVGKAFRPRKAGSGGRPPRWAWLLTALQCVFPVLQWGRSYTFKAFRSDVMAGLTLASLGIPQSIGYANLAKLDPQYDTSVVPPLIYAVMGTSREIAIGPVAVVSLLLSSMIQNVVDPATDPVAYRSIVFTVTFLAGVFQVSFGLFRLGFLVDFLSHAAIVGFMGGAAIVIGLQQLKGLLGLSHFTNSTDIVSVIKAVVSALHDPWHPGNFFIGCSFLIFILTTRFIGRRYKKLFWLSAISPLVSVILSTAAVYATRADKHGVKIIQNVHAGVNPSSAKQINLSGPHVAECAKIAIICAVIALTEAIAVGRSFASIRGYKLDGNKEMIAMGFSNVAGSLSSCYVATGSFSRTAVNFSAGARSTMSNIVMSITVFVALELFMKFLYYTPMAVLASIILSALPGLIDIKEACNIWKVDKMDFLTCLGAFVGVLFGSVEIGLAVALAISFAKIIIQSLRPQVEVLGRLQGTNIFCSIRQYPVACRTPTVQAIRMDTSFLCFINATFIKERIIEWVREEVETSGEKARERVQSVVIDMSNVVNIDTSGLTALEEIHKELVSLGIQMAIAGPGWQAVQKMKLAQVIDRLGEDWVFLTVGEAVEACLTAHKGTALEYRMGEWVLHGRRKACLIYFEMLKGRMKPC >Et_8A_057215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22453744:22455761:1 gene:Et_8A_057215 transcript:Et_8A_057215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEALFGRGEVVGSNHLRPRRGPPIPAPSPAVGKPLPSGAVPRHSYVFDGEGGFAEAPWGLAAASPARPGEFTWHHVELPRGAAGANNKPLHHAQALIELLCPPLTLQEILAFVATGPHCAFIAAGDGSGAGGDSALLLRVSSPGPVGSAYALRLAARVTESSVVTVSVGGVPRLAFGATQASLLSEVPLGVVAPTSLCSDEGHGGGRAVDGGVVIEERLLESLLAMNHADGAHTDNPVPRTVSNLLVHVLGTHLDHVHDIVTRLEMDLDAIELQLDKGGHFMRKLLLDGRRFPKMHLDLQRLLQVVSHGEQVFPRVKEKCANKSWFASGDIASIDDLIGRLRRLKENLGFITNRVTTLQASLDSWQSEQINKSLYYLSFLSIIFLPLSIVTGGKFYSCSLISTVFISFVG >Et_6A_048176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8805437:8809256:1 gene:Et_6A_048176 transcript:Et_6A_048176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCTTSTSNSARWSHMLSRGNRVPCHGFTFSSPSMLQRRRRSTFCVMNAVSTGPLPSSQSIAQSSRTNGAAPKSISTNKPSSALEQLDIERGVCIPFRKYTPELVTIFVSFHVHLVRNKVLKSRGSILSLASRGVEIIWKLGFYWSSLMYDFLVGRDEEIVPFRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPVPNQVAFEIIEEELGQPMEKLFSRISSQTIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKNDPTVKIPRVYKQFSGSRVLVMEWIDGIRCTDPQAIKEAGIDLDGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMCDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVSPIIPALESIWQNSAGKGLADFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRDRLIQVLFKDGAFQWKRLENLIVLAKENVTKMSSNPALKKNSLQTVGSRQTESKLDLTETIKDGARMFLIDAGIRRQLILAFTEDSKLHVEELLDVYRLVEDQIDMPSVAREVIQDLPSVARDFMLSWSDSILSDRQY >Et_5A_042632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23077766:23080412:1 gene:Et_5A_042632 transcript:Et_5A_042632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRRTHSAAPTPFTFLDQRYSVELTMSANPRELSSIAMRACAGSRQYNIGGGGLNADKQLLCQSYLRTECLTIGSTRQSEKYTASPIRAARLASTWAPSAQGQDVAALQTPVCDEYTLAHTASATYCVPTSTTRKGPPWKLIDQTKTNLRKFVRLARECDQPVSSGTRCGGKYQVWYYMHVEFWATKHDAMSFLSQII >Et_2A_017481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34877057:34879178:1 gene:Et_2A_017481 transcript:Et_2A_017481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGAFLMPRSSSNVSLSSLGRSGSGRGRGGTRGRRMMRRVCRGVITFIFAIAGLFLGAVTGGLIGLATESGLFRGTGIGAITGALVSIEVVDSSIRVWQSRRSGIWSILYVLNVIYSLLTGRLVREKVDPAVQRVVRSQMNAVDSSPFRESPDLFEVEGTNGMPRASIDKLPEISITEEYKRDAVGDLSGCSVCLQDFQVGEKVRSLPDCWHVFHVPCIDGWLIKHGSCPLCRRKL >Et_4A_035499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2700557:2701427:1 gene:Et_4A_035499 transcript:Et_4A_035499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPLRFLLFIFAAAAWRAAASKTEEDKDWSKATATFYGGGDASGTMGGACGYGNLYWSEYGTNTAALSSPLFDDGKACGQTPCLKQGGVRFTIAGANYFELVLITNVAGSGSVKSVWVKGSKTDRMVMSRNWGVNWHSQADLVGQALTFGVTSTGGQTLVFPDVVPTWWKFGQTFTSNLQFTS >Et_3A_023155.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32485161:32486138:-1 gene:Et_3A_023155 transcript:Et_3A_023155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRDKVQQLHPLIGLAVAPDGPGPVAAASGVSAVIQDIVSAASSMNFAFQHLCHHGLGTTSSSLNAAAAGTAMNEGASTADSTGAVSNHQAAVVTMDHVMQQWKHQHGGGYHDNNPIHTTTAVVAAPSQQVAPNNTTTIIELDAAELLAMYTHFCQVCGKGFKRDANLRMHMRAHGDEYKTSAALANPACNGGSGSSTNKPYYSCPEEGCRWNRKHAKFQPLKSVICAKNHYKRSHCPKMYVCNNCNSKHFSVLSDLRTHEKHCGVQRWRCSCGTSFSRKDKLVGHLALFAAHGHQPAVPLDGTNIARNAKRAASIGAAARYV >Et_2B_019446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30250153:30251055:-1 gene:Et_2B_019446 transcript:Et_2B_019446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGAAELELPGFRFHPTEEELLEFYLKQVVQGKKLKFDIIPRVQLYRHEPWELPGLARIVGEREWYFFVPRDHRPKQQQAAASRPSRTTERGFWKATGSDRAVRCAADPKRLIGLKKTLVYYEGRAPRGTKTDWVMNEYRLPDKTSSSSSSSPKDQDMVLCKIYRKAVSLKELEQRVAMEELARATATPSSASTGDSSSSSSEHGATVMRPATLSLPQLEVARPPPSQQLLGAEWMQDPFLTQLRSPWMETWSPYYASVLNF >Et_5B_043749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15230851:15233666:-1 gene:Et_5B_043749 transcript:Et_5B_043749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRAPPLLFPQPLSPASVSSHPSRRSCRRVTAASTPAPPPSCGATTGVHGRAKKRAPARRRSGAEWRGAASCLPTKEGQAAVAGGGEDEEDGYLVREAGWGVRRMGRVGEEMRRVALVQAEAFHVPVALFNDFFFEFFKAEVLSALIYRVRNSPPDRYACLVAEDAEEDTQLALAPYEKIVGVVDCTVQDEADILKEIQGVDEYFYVSGIAVLPAFRRQKVGTALLKACEALALEWRHQFMALRAYEDDEGARGLYSKAGYRVVSKDPDWVTWIGRRRRVLMIKELPWMIGFDRSGRIGKWRKG >Et_1B_012658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34153535:34155844:-1 gene:Et_1B_012658 transcript:Et_1B_012658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEEHREREEGEEAFGDVAALGALRQRQAGYAWADVAALEPAAAGRAVRLRGAAQKGRATARVTFLVLRQGTATVQCVASGGAALFAKGLPRESVVDVAGVVALPREHVRDTTQQEVEIQVQKLYCVSRAVPKLPISVEDASQNEEDVASAKAAGKQLARVGQDKRLDYRAIDLRTPANQAIFKIQSEVEYAFRQALRSEGFEGIHTPKLISGSSEGGAAVFKLDYKGQPACLAQSPQLHKQMSVSGGFQRVFEVGPVFRAEDSNTHRHLCEFRGLDVEMTIRDDYTEVCDVVDKVFVAIFDHLNKNCAKELEVIQRQHPFKPLKYLEKTLRIDFSEGIRMLKEAGVDVDPMGDLSTEAERKLGELVRDKYDTEFYMLCRYPSAVRPFYTMPCFDDPLYSYSFDVFVRGEEIISGAQRVHDPELLTSQAKARGIDVNTIATYIDSFRYGTPPHGGFGAGLERVVMLFCGLGNIRKTSLFPRDPKRLDP >Et_9A_062522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23770199:23771035:1 gene:Et_9A_062522 transcript:Et_9A_062522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSFPVVAQRNALLPQLSAFLASPPAAAPQQQGQRQTCSSNAAVPLADAGVVSCPPLVDWTSVILPSDSGLHAGTTPQQAMAEAAHVAPGAGEDERGGGSSSKETAKKGSGRGKKKASRPRFAFQTKSENDILDDGYRWRKYGQKAVKNSAFPRSYYRCTHHTCNVKKQVQRLAKDTSIVVTTYEGVHNHPCEKLMEALTPILRQLQFLSQFQ >Et_9B_063996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:182479:188588:1 gene:Et_9B_063996 transcript:Et_9B_063996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQPPASRAALEPLATLDPAALAGLPPSSPLTVRAAALSGHLLYLGTGGGKLLLFSLHDDAPEFLRLLPIGATLPVSAILPLPSVARILVLAQGILLLADPLLSRPVRRLGSLRNVAAVAAHATADPSSPSCPLAVAVGKKLLRVDLTLRDGDELDVQTHEIAAPVDGVKALAWVGDSVFVATAMGYSLFSSSAGQGVDIFTLPESSDQPRVKPLSGGEEVMLLVDNVGVVVDRFGQPVGSSLVFNTTPHCIAEVFPYVIVAGKSKVDVYRRRNGAHLQTIPFAMSGPDVLIVASDDDGRGKELLVVATAYKVFCYRKVSAVEQIKASLRRKNYKEAITLLEEFESDGEISKDMISFVHAQLGFLLFFDLRFEDAVNHFLLAETLQPSEIFPFIMRDPNRWSDLVPRKRYWGLHPPPKPLEEVIDDGLVTVQQALFLKKAGVDTVVDEDFLSNPPSRADLLELAIRNIIRFLCASRMKNLSSPEMEGVDTLLMYLYRALDLVDDMEKLASSQNSCVVDELESLLDDSGHLRTLAFLYGSKGMCSKALAIWRILARNYGAGLWKDVSENGSHETSVDKMSGEEIAAVEASKILKTSSDEELILEHLGWVADIDQELAIAVLTSDMREKQLSPEKVIAAIDSEKVVIHQRYLQWLIEDQGCDDSHYHTSYALLLAKSAMEAVHMESKYGGKDDKEIDSDVRFIYSLRERLQLFLQASDLYDPEDVLDVIAESELWLEKAILYRKMGQENIVLQILALKLEDSEAAEQYCAEIGRDDAYIQLLGLYLDPQNGKEPMFTAAVRLLHNHGKSLDPLQVLERLSPDMPLQLASDTILRMLRARVHHHRQGQIVHNLSRATNLDARLTRLEERSRHVELTDESICDSCRARLGTKLFVMYPDDSVVCYRCYRNQGDSSSGRGRGKMLYLSRAGL >Et_4A_032798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1459743:1463678:-1 gene:Et_4A_032798 transcript:Et_4A_032798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKTPALQSLSPAPRRTVPRPPAGVGCSLRRAVAVAACEFLASEARLWRIHFGRGRETRRNCKNYAKPQAPQASRAPPSPPPPPMPVPMPMERKQAAYTNIDERYAIQGEKYQGQQYSHIYFTRLHHMRNLLHALVPSWKPQIPVTTVLGLEEGKDCIIIGTLYKHMKLKPSILDEYSKERSAIPLVKPHNFMHPDDHLILEDESGRVTLAGAIAPADYVTGVVVAVHGKETSAGNFLVEDVLEAGLPPQTALSTADEDKYVVFVSGLSVGSDTFNPLQFQLLIDHITGHLGDENEQSIASNIVRVVVAGNSVHISPRFLNGQAVASKDQSRIVEPIKELDIMLTQIVASLPVDIMPGCHDPANFSLPQQPLHRCLFSGASTYNTFSSCSNPHQFELDSVKFIGTSGQNIDDLYKYSDAKDKLEFMERTLRWRHLAPTAPNSLGCYPYTDKDPFLIDSCPHVYFVGNQDQYESRLLEGPEKQKVRLICIPRFSESGVAVMLNLRSLECSTL >Et_3B_031578.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:5249497:5250237:-1 gene:Et_3B_031578 transcript:Et_3B_031578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESPELISPRISFSHDLPTVATPPTVPNRRSDTSLMSRLPEPEFDFPNAAAAADVAPADRLFTGGVLLPMPPLPPAPTPNNQPCRNQHPTTASIPTNRKPPACQQKRPPPPGSSWASPFSRSNSVNSATTRSTPRSSSGRFGCPSFPLMRSRSAGSAAVAQDVVGSVSSDGGAGRRPQHKKFGASRNGGSSRVYYYGGGKKGGSSHGVRVSPVINVPFIGAGVVNVLSYLLCDCSSSKTAKSRGF >Et_3B_030990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9759002:9762880:1 gene:Et_3B_030990 transcript:Et_3B_030990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATMAAAVGGAMVLYFVLSRRLANEDAVGGAAGGGAGKRRRGRVARRPSQPPATWIEAVGTLAETLRFTYSETLGKWPIGDLAFGIKYLMRRQGNLHVASVYAGSNCIELKGSEIMEELIVLRRLIDLCFLFSKKPFPVFCELAGFSQEDVIVEEPKAGILKPAHTILRDECTKSFLVLIRGTHSMKDTLTAATGAVVPFHHSLLDEGGVSKLVLGYAHCGMVAAARWIAKSITPSLREAVRQRPDYQIKIVGHSLGGGTAALLTYILRENKEFSTTTCVAFAPASCMTWELAESGKHFVTTIVNGADLVPTVSTASIDDLRSEVVMKQAQNVAQAVARSRSAFSSWSCMGARRRGVGVVATSPKGDMTTETHITSTVESETFVIEQHGTKTVEELQYSAASVSVHEEADEEEALLSEHETSREHGEEEITDGEMWFEFEKDLDRQSEVDAQTRQEEAAAAKEIIEEESEVLKNVEDRQSFSSDILETQQFYPPGRIMHMVAMPPTDADPEDPVATDECSFPRNIYNSGKYRFGLIKYTEVM >Et_4A_033867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27547390:27549767:1 gene:Et_4A_033867 transcript:Et_4A_033867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ANSLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSIRISLKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMHKHKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >Et_5B_045113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9724503:9725236:1 gene:Et_5B_045113 transcript:Et_5B_045113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMNVERSFAQQHYADLSDKPFFPGLVEYIISGPVVAMVWEGKDVVLTGRRIIGATRPWEAAPGTIRGDYAVEVGRNVIHGSDSVENGKKEIALWFPEGLAEWKSNLHPWVYES >Et_10B_004419.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:6685877:6686128:1 gene:Et_10B_004419 transcript:Et_10B_004419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAEILPLRLRGQGAGLSTATNRLMSGVVTMTFISLYQAITMAGAFYLYAAMAAAAFVFIYACLPETRGRSLEDMEELFHTK >Et_9B_064893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19612482:19623550:-1 gene:Et_9B_064893 transcript:Et_9B_064893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSNRGSNEGGDQSGSDLDGGLRKPLLPMNTGSWYRMSSRQSSVAPGASSMAVLRESHVSAFLCTLIVALGPIQFGFTSGFSSPTQDAMIADLKLSISEFSVFGSLSNVGAMVGAIASGQMAEYIGRKGSLMIAAIPNIIGWLAISFANDSSFLYMGRLLEGFGVGVISYTVPVYIAEISPQNMRGALGSVNQLSVTLGILVAYLLGMFVPWRLLAVIGALPCTVLIPGLFFIPESPRWLRAVASAHKKTTIRFQQLNQKKFRTPLILGIGLLVLQNLCGINAVLFYASNIFKAAGLTNSDLATCSLGAIQVLATGVTTWLLDRAGRRILLIISTSGITLSLLAVSVVFFLKDSISHDSNTYYILSMISLVALVILPVDIKSLGGSFATLANWLTSFVITMTANLLLTWSVGGTFFSYMIVSAFTLVFVILWVPETKGRTLEEIQWSGNRGGGGGWGGYDSGSDHDGGVLQRPLLPNSGSWYRMGGMGSRQSSLNAGTSSMAMMRESHVSAFLCTLIVALGPIQFGFTGGFSSPTQDAMIKDLNLSISEFSVFGSLSNVGAMVGAIASGQMAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGIISYTVPVYIAEISPQNMRGVLGAVNQLSITIGILLAYVLGMFVPWRLLAVIGMLPCTVLIPGLFFIPESPRWLAKMNLMDDCETSLQVLRGFETDITAERAVMAANKRTTIHFKELNQKKYRTPLILGIGLLVLQQLSGINGILFYSSSIFKAAGLTNSDLATCALGAIQVIATGVTTSLLDRAGRRILLIISAAGMTISLLAVAVVFYLKDSMSHDSDMYYILSMVSLAALVAYVIAFSFGMGAIPWIIMSEILPVSIKSLAGSFATLANWLTSFAITMTANLLLSWSAGGTFVSYMIVSAFTLVFVILWVPETKGRTLEEIQCNQQLASNW >Et_7A_051538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2051617:2056252:-1 gene:Et_7A_051538 transcript:Et_7A_051538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ENIPIEEVFEQLKCTREGLSSDEGAQRIQIFGANKLEEKKESKVLKFLGFMWNPLSWVMEMAAIMAIALANGGGKPPDWQDFVGIIVLLVINSTISFIEENNAGNAAAALMANLAPKTKVLRDGRWSEQEAAILVPGDIISIKLGDIVPADARLLEGDPLKVDQSALTGESLPVTRGPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQQVLTAIGNFCICSIAVGIVIEIIVMFPIQHRRYRSGIENLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLVEVFAKGVDKDHVLLLAARASRTENQDAIDAAMVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDESGNWHRVSKGAPEQIVALCNCKEDMKRKVHSIIDKYAERGLRSLAVARQEVPEKSKDSAGGPWQFVGLLPLFDPPRHDSAETIRKALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQHKDSTLEALPVDELIEKADGFAGVFPEHKYEIVKKLQEKKHIVGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRCIFQRMKNYTIYAVSITIRIVLGFMLIALIWKYDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGTYLAIMTVVFFWAMHRTDFFSQKFGVRSIRDSEHEMMAALYLQVSIVSQALIFVTRSRSWSFVERPGFLLVTAFLLAQLVATLIAVYANWGFARIKGIGWGWAGVVWLYSIIFYFPLDVFKFLIRFALSGKAWNTLLENKTAFTTKKDYGREEREAQWATAQRTLHGLQPPESSYRELSEIAEQAKRRAEIARLRELNTLKGHVESVVKLKGLDIDTIQQNYTV >Et_1A_004561.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:17606964:17610304:-1 gene:Et_1A_004561 transcript:Et_1A_004561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRRLLLLRRLSHLHPTPTPAISSPPLPALAGLLAPLGRRHFAFSSAEEAAAERRRRKRRLRIEPPLHALRRDPPPPRDPSAPRLPDTTSALVGPRLSLHNRVQSLIRSGDLDGASATARAAVTSRVRPTVFTCNAVAAAMVRNARHDDAVELFDFFFKRSNIVPNIVSYNTLILAHVEVGRVDTAMEVYRDILASAPFSPSAVSYRHLTKGLVAAGRIGDALDLLREMLSRGAGADSLVYNNLIAGYIELDDWNKAFELFNELSERCLVYDGVVHTTFMEGYWKQGKDKEAMDNYQSLLDRNFKMTPATCNVLLETLFKHDKHKEANDLWETMMDNHTPPSFIGINAESYNVMVNQCFKEGKFQEAIEVFHRQPRKNVQMDVGCFNNIIGKLCENGMLAEAEKLFEEMETKSVLPDVYTYTYLVDSCFKEDRVDDTMQYFYKMADGREHGPKFNIGFFNRMFEGLTEACRIDDALKVYGRMPDKEIKPNTTTFDILVKALCKEENLDRARDLVVDMARGGVVPPQEFREYVVDIFKNADRQEEIEKAFEEKPVPTRQPSVEYRPSKPPQGLPGFASNQSWSNRAPHQGQPGHDPLQSRPGLGRPQVLQPEGMPPKPHQPVFGSPNQVGETDFGGRSLHQGIGAPQVPQPGFRAPGGQAGQSPEYRPWQHAAGASQVERPAFSSGQPGQTGFGYSQSQPQQSAFTAPQNQQPAFGTSSRSWQSGFGAPQVQQPGYGAPPSQIAGGFPQPPRPQFGASQGVPGYGQMGNQHDRFGPPQGEPKYSYHAPQPGYGAQVPQSGHHSFQGQSNFEPQHGHVGFGAQRASPAYGASQSQPSHVGPWSQSGYGSPQGQWDHVGPQGLPSNLPHNQTSFGVPQGQNNNEVTHGQSNLVDRQGQAIAGKIGGESRSDSSYGQPRNDNAYGQPRFEAHRVRHGGSYGYNPGYRPTYGQGSGAPHSEAETAAPEGQLESTTPEDRQQVAL >Et_1B_009672.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:12967541:12969861:1 gene:Et_1B_009672 transcript:Et_1B_009672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRREPHPSTRTNNRTVRNLSPALKPSESDQALLRRARSVPSSPDRRSSASPASAGSATASRPSSSFNPRTASSRSTSGSSSTAHGKSTLLHSVSAMVGAKQAGSAMMRRRAEKSGGSTSVWPHALTSPNPSPRDRAARSSPSPSPSSAQKSKLSTRPGAEKAAAAPSPKPRTTQKATGKAQAASSSAARGAGAMAKRRTGPESSALSIQRTTSVPVAATKTEEQEVELLMEEFDEVESISDPSIEEHLQERLPDPVDFATHATSEHEEHKNDQEEHVVPEEKREDLNAGGVDADDGEVVVAKEAADEAELNEAAGEAVSKESADETEVLNEIVMDGAIDETELTVEEEANEERIIQPATKTPEAMQTWRKDDERSNEVTEEGRSKTTLQERRNKVMALVGRFETAMSGRE >Et_1B_010673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12915402:12918782:-1 gene:Et_1B_010673 transcript:Et_1B_010673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETGDRFACFWDCFDAQGLQSLYIDDGDSAVSGGQHHDGYSSAPDAAGSNYSSSAAEATGTATAASKPGDNSNTVMERRRRRRLNDTLYALRSVVPNITKMDKASIVKDAIEYILQLQQLERRLLAEISLLESAASAHRLLVSTPPSMADDCAVSPTKKMKMDMSPSSSTAASHGSAATATAATSPVDALEVRVSGAGDKVLVVSVACRHRRDAVAKVCRALEGLRLRVLAANVTAAAGTVTHTALVQREELHQSEMKELVETAITQLDDVVGSPRSTMSY >Et_1A_008886.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:17310132:17312237:-1 gene:Et_1A_008886 transcript:Et_1A_008886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLLPLLLLVAVAVVAIQARAAVEFAYNGFTGTGLLLDGMATVLPSGLLLLTNDTTVSKAKGHAFHPEPVTFRRQAAGTTPTTKTAMPSFSTTFVFAIVSPFLDLSTCGFAFLVSPTTDFSTATHQQYLGMFNASDNGDPRNRVFAVELDTVRNPEFGDIDNNHVGVDVNSLNSTAAASAGYYPDDGGAFRNLSLISREPMQVWVDYDAAAKRVTVAMAPARAPRPKTPLLTADVDLSTVISDTAYLGFTSASSIVLVKHYVLGWSFSLDAGAAAPALDYAKLPRLPRVGPKPRSKALTVALPIATTVAVLAAVAVGFVLLRRRLRYSELREDWETEFGPHRFAFRDLYDATSGFKDRRLLGAGGFGRVYKGVLRGSGTEIAVKRVSHESRQGMKEFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNASLDKYLHGGEGRPVLDWAQRLRVIKGVAAGLLYMHEDWEKVVIHRDIKASNVLLDADMDGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPELVRTGRATTCSDVFAFGAFLLEVACGRRPIEEAEDDVDAGNYSNAAAVDVDDRFVLVDWVLGHWRRGAVTGAVDAKLGSAFDAAEADLVLRLGLSCLHPSPPARPTMRQVVQYLDGSAVMPELPETYVTFNTPAGMESYKPTFLDSWPVWRSTSTATSVATMSDIGISGGR >Et_5B_043873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1733709:1735328:-1 gene:Et_5B_043873 transcript:Et_5B_043873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFSDLHTADGLKALEAHLAGKTYVSGDVISKDDVKVFAAVPSKPGAEFPNAARWYETVSAALAARFPGKAAGVAGSPAAAAAPAAEASKEEDDDDLDLFGDETEEDKKAAEERAAAKASTKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVQMEGLTWGASKLVPVGYGIKKMTIMLTIVDDLVSVDSLIEEHLCEEPINEYVQSCDIVAFNKI >Et_7A_052747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15081472:15085445:-1 gene:Et_7A_052747 transcript:Et_7A_052747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKAWVAANYEKPMASVQHSLQVAYVVFSFCAAFFLGGIKGTPFFAAMVVGPVAAALMILGNVGVILLLFPAHVWWTIYSLVKTDRINAGLKLAVLIALPVLFGLWLGLSIFGSALVALGYGFFTPWISTFEAFRQESETKKFWHGIVDGTWGTIKGSCTVVRDFADLCFHSYPVYLKELRESSQNREPHSIRLLDVPSCIVVALLGLVVDIPLYTVIALVKSPYMLFKGWQRLLHDLISREGPFLETVCVPIAGLAILFWPLAVVGSVLLAIVSSIFVGLYGAVIVYQENSFGRGVSYVLAMVAEFDEYTNDWLYLREGTILPKPSYRKRKPSNSTEFSVRTNRSVKGAEYPTGPNEAPAMLVPNLAPARSVREAIQEVKMVQIWENIMKSCEQRGRDLLNLNVITTINLTEWLRAKEKGPETIGLGLPSYSLLCTLMQSIRAGSGGLLLGDVEVNQHNRPQDRLLDWFFHPVLVLKEQIQVLNMTEEEVKFLEKLTLFVGNDTSAGAWDNGAAIPQDPVRAAQIQAISRRLVGIVRSLSKFPTYRRRFRHVMKLLVAYSIEREGSCRSSASSQSVSFFEITQLEV >Et_1A_007099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30945566:30949202:1 gene:Et_1A_007099 transcript:Et_1A_007099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRSNPSCSPSPNHGASTLKFSLMRFSSLGNHVGRVPGISSLAAARADNFYYPPEWSPKKGGLNKFHGQHALRERARKLDQGILIIRFEMPYHIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKSPCCKHEIVIQTDPKNTEYVIISGAQKKTEDYDVEDAETLLLPADEDRDKLADPMYRLEHQEEDLKKKKEAEPVLVRLQRLSDSRHSDDYSLNRALRDRLRSQKKRVAEEKKSARKMGLGVRLLPPSAEDATAASSVKFASKFEKSRRDKRAAIKAASIFPESSTSASKGKLDLALKRRNIKPGVATVLMAGRVKPSSWQSTSSSSSRSQMPVLATRK >Et_9A_061459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12228991:12229319:1 gene:Et_9A_061459 transcript:Et_9A_061459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAASTGRLFRSLPPHRRLQSTDIAAVAGWSVAGLATAIWLVQPFDWIKKTFFEKQEPEEQN >Et_3B_031534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3776016:3781219:1 gene:Et_3B_031534 transcript:Et_3B_031534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPACAPRLVAVPTLPLQHVIFRCYWTPKISSRRPRRGHISAAAAASARASLAVARGLDADDFRHPLDKQASFPPLTNKFFSFGPVSEQVMVLQNIGTSVLVSPNQLPELHQLLAEAAKLLNTEAPDLYIRQNPVPNAYTLAINGKKPFIVVHTSLVELLTRKELQAVLAHELGHLKCDHGVWLTFANILTMGAYTVPGFGMVAGFLEEQLYRWLRAAELTCDRAALLVVQDPKVVISVLMKLAGGCPSLADQLNVDAFLEQARSYDKAASNPFGWYIRNAQTRELSHPLPVMRAREIDEWSRSQEYKTLRNHTLWNDWKSQTCLGVPTLSAISSLDAALRRASARRPPERNEEEEGRFGQDDAVATDGSVTGRCEIEEFLGHVLASIRLCQPNNMPGLKV >Et_10B_002372.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:14951583:14952210:1 gene:Et_10B_002372 transcript:Et_10B_002372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSVGIVFLVAHLLVVAIDSNTEATISGGDLLLPACKTVGGGSTFFDVEFCVSALGSDDDRSRDAAGYRDFAAVAVDLLTANATGTAARINGLINSAGGEDEAMTRCLESCRALYEDVLDRQRGCAAAVKDGRFGEAAASLEKSAAAAEECEGGFGKSKVASPVKVEGDSAFKLAKLAVALLRFAS >Et_4B_039689.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26736251:26736838:1 gene:Et_4B_039689 transcript:Et_4B_039689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGSPPAGLTPPDGNSVGMFGSDRIGGFGYGVGVSVGILLLITTITLASYFCTRAPVDAGGEASPSRRHHRHVRRGGNNDGGDGGAHEHDVELGIDEATLKGYPEVVYGEARKEAKASKKGTTCTCCSICLDNYGDGDVLRMLPECGHLFHRECVDPWLRQHATCPVCRTSPLPSPMPTPLAEVTPLAMARMS >Et_4B_038343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28228783:28231121:-1 gene:Et_4B_038343 transcript:Et_4B_038343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVFFDGFAHVDKQRRVHGLSSDFGCSTGSTTQDDTLSRDVGELKHKSSYRNSCLPGAQKNVTEIRHLRPSVMAELHDFDGASGVHVDSTSGPRKNTISTSKELLHARSTVSRLPTQPKYYLINRSNHAKNHPTVRSCSTTSDFRHQLVRSAERSTSANSSLSEKMSSLRQHQNGCNNQSQNRISASNRRHRIVNSREENNLLSKEKVHDQPEFSLGRYSQAIFDNGLVRQNQQCCSEAPNQKTFEQLWSSACSSTSGDSIDDFQVSSSSDTSDNSNLSSLGVIAKDQWKMTFKKVYCPLAARLDSTSVIHRKVIGQASPVSVLEPLSEDCSDSEHIMPAPADPYDLQLRLELVKFAPTVTMTEVSSTGGTTDILSSEMESGNDEPIELVEDILEEFEDEEERDFSYLLDILIASGIHGTAEDQLYKVCQSLDCPAGYDVFEKLEKKYTQVVQWSRSDRRLLFDMVNTILSQILAPCLNMQPWVNTSRNLAPLWGSEGLLEKVLQVLTQRREELALSQPKKPEKKAFDEKLPDLTDCIDRAGRDIEKMIKDDLLEELLLELLSS >Et_3A_027236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5498175:5501225:1 gene:Et_3A_027236 transcript:Et_3A_027236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKTPARRLTAQARYKSLVHPLDELVDVDLTVASITTLNIVVPLLLQATERRLQLEWPEEVVGLLEVWANGHDLVDKILNTDDVMTDLLNDIVVAERNPLLVQLAITPLVDQFPYTLQVRYDVSASTPTFEIGHLPISNIRLNPAEHIDGSLVNLEEDTIEDLPQTEELQDLPGLGVHGIDTAQKC >Et_3A_026226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5803873:5811678:1 gene:Et_3A_026226 transcript:Et_3A_026226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPSYRLAAAITVPATGEFLVVRQLAPPSPPGDEEDYGRYVDSDLYDLPSTALGPLVWECQAEVAIGGADSVADRLDLSRFDVSAALDQIFNQFGLPDGMRGEWSLLKYVEEAEFGPDAGVNTVFIMTSLESKLDSLQESCKWISKDSALALLSGVKPCSDRIGPYAYIGLLSSELPSDWTPPSALRSQEYPPGITLVPMKSKTLQPFRTTNLVVVRAASDAGGSTRSDIFASGDALLIDPGCCSQVHEELAGLVNSLPKKLLVLVTHHHHDHIEGLSVVQRCNTDAVLLTHENTMNRIGKDGHMGLVHVNTNALIVGDHCVGHGSATLDSRAGGDMKDYFETTYKFLELSPHVLIPMHGRVNLWPKHMLCGYLRNRRAREASILQSIDNGARTLYEIVSKTYHDVDRKLWIPASFNVRLHVDHLSSQHKLPKDFSLESFKATCGVHFILRWTVGYVQSRSSPAILAASVFAGGVAVFCALKRVNGQQP >Et_1B_013375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7966268:7971722:1 gene:Et_1B_013375 transcript:Et_1B_013375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTEWPWERLGNFKYLVMAPVVVHGAHKVATKGWGDIDLAFALILPSLLLRMMHNQIWISLARYQTARSKHRIVDRGIEFEQVDRERGWDDQIILNGLLFYVSYLTMPSARLMPAWRTDGAVVMALLHAGPVEFLYYWFHRALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHIVYYLLFAIPMLSTMYMGNSSVMAIVLYIAYIDFMNNMGHCNFELVPKWMFQVFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPLYDYIYNTMDKSSDELYERSLKGTEETPEIVHLTHMTNLQSAYHLRIGIASIASKPSDNSMWMWTLWPLAWLSMVLAWVYGSSAFVVERIKLKKMKMQTWAIPRYNFQYGLNWEKESINDLIEKAILDADGRGVKAKQLNGGGELYRQKYPKLRVRIVDGSGLATAVVLKSIPRDTKQVFLHAGPSKIACATALSLCGRGVQVIMNPKKEYDMLKSQIAESKASYLKHSSNQMPQIWLVDNIDDKEQRKAPKGTIFIPISQFPIKKIRKDCTYLSTPAMKIPETMQNIHACENWLPRRVMSAWRISGILHALEGWTMHECGDAMMDVEKVWSAAIRNGFIPQTKA >Et_3A_023244.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:13586022:13586051:1 gene:Et_3A_023244 transcript:Et_3A_023244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSYSCM >Et_1B_013418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8214374:8214679:-1 gene:Et_1B_013418 transcript:Et_1B_013418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGEISIVSFAERKFSELLLYLKQFKKGEYHLCPSMLAAPDAICSFLGTYVSKTRNEHEKSRFLAKKQSFSGFV >Et_1A_008341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6715103:6717232:-1 gene:Et_1A_008341 transcript:Et_1A_008341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFAVASFFALLCLFQQVRAVNYTFMREAVHAPVVSYYDYIIIGGGTAGCPLAATLSERSRVLLLERGGSPYDDGRVLNMAHFSDVLADTSASSPSQRFVSEDGVINARPRVLGGGSCINAGFFTRAGAGYVRAVGWDPREVAAAYRWVEDVVAFQPALGPWQAAVRRGLLEIGVVPDNGFTYDHIPGTKVGGSIFDADGRRHTAADLLRYARPEGIDLFLRARVSRILFGYKGTKPVARGVLYRDAQGGTHVAYLNRGGANEVILSAGALGSPQQLMLSGVGPADHLRSLGIDVVLDHPGVGQGMSDNPMNAIYVPSPSPVEVSLIQVVGITRFGSYIEAASGSNWNSHGQRQAPGRRPRNFFGMFSPQTGQLATVPPKQRTPAAIARAVEAMSQVPDAALRGGFILEKVMGPQSTGHLALRNLDPDDNPAVTFNYFAHPDDLRRCVAGISAIESVIRSRAFARFTYPNFAFPAALNVTAEQLPVNLLYRRGSDPRALEQFCRDTVTTIWHYHGGCQVGRVVDRDYRVLGVDALRVIDGSTFNASPGTNPQATVMMLGRYMGVKLLKERMLVEGPGRKNP >Et_4A_033643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25385422:25387364:1 gene:Et_4A_033643 transcript:Et_4A_033643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIFGPMEIISIFLFLQTCIANTKMMDYICLSLTNVEDNPPPRALGYALHDSWNIGGVEVVVRMLEILPFGCVKIAVHTTLTAKVNLQSGEASQPQSVRPGAASHRRGARITCARRRGRAVVR >Et_4B_036568.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3823530:3823772:-1 gene:Et_4B_036568 transcript:Et_4B_036568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRERIAIRRIDNLAARQVTFSKRRRGLFKKAEELSILCDAEVGLVVFSATGKLFHFASSRYMHTYTWNHPPLDAVIS >Et_4B_038479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29301426:29304494:-1 gene:Et_4B_038479 transcript:Et_4B_038479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIRDATASCITHGRNLFYRLVFLIGMDPAVAIQIIALWLLIEGNGEANLLRRIDSFHDDRFLVNAAIGEKLVRALHGRPDKAPGSALRTTFHKQVITGVVFLLNNVCYKVLADLRQKADEQGITGRANYHRGESSSSRSMPSAAIQPTQYTVRMWDGDQSKNVPSTDLNVLGPQQQRNNAVIITSGSNINDLASALNRCTITPQYQFEQSFSQISTNNMGPYCRSHLYRNSIVPQDDSRTLFVTFSNGLPLTQKEVYDFFMSHFGDVESVSVEVPIEDRPPQYARVTFGSRHTMLRVLDGKDKVKFMSGRKHLWCREFDHKKPFKAQKLRCEILVICKTATQAGCINK >Et_1A_006040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1710411:1715964:-1 gene:Et_1A_006040 transcript:Et_1A_006040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAAAAEGLAHRRIEFHGATRPSAAASAGGFPMERFFAGAGKRVAAARGEGEGRRFEEESSGGGFDPELSAARIYLRRIGAGLHNPGNTCYLNSVLQCLTYTEPFVAYLQSGNHKSSCRTTGFCALCALQSHVRSALQSTGKILTPLQFIKNLRCISRSFRHSRQEDAHELMVSLLESMHKCCLPSGIPSESPSAYEKSLVHRIFGGRLRSQVICARCSHSSNKFDPFLDLSLEIGNAATLLKALNNFTKEELLDGGEKQYNCQHCKQKVVAKKRFTIDKAPAVLTIHLKRFNPFNPLQKIDKKVDFQTTLNLKPFVSNSEVSNWFLKSLAMDLKYSLYGVLVHAGWNTQHGHYYCFVRTSSGIWHNLDDNQVALLSLLSLNLLYYYQFLFWAFLTSVRQVREGDVLRQKAYMLFYVRDRVRSSIMHKDNSAGSLSNNKMIGEKIACMDATIRNGLVESAMHASSFASENRKLQKQNPDVGQPSNVCNSLQNQCSNAHNKTEVTDASTSLINEPAFVKIIPVLDQHSNVCNKTEVCDASTSQNNEPASVQKIRLQDQCSHAHNKTEASDASTSQNNEQASVLKAPFVHPNGDAMVPIVAKQINSDSQREAMPSSCDQKACKEPLQELQLKSDDSLTVPGKDVADAALPICNGAGLLGDDQATEPQPDPYLKPIPGDNTETITQIITTEDTAVLNQTVSSNDESMSGNVAKERQPIKQHSELVVVEELSAKSIDGKENKEKQTFAPDNTVQEGQAVVKELSVMDIDHMAVAEEENSVPNNSLDIGQVSPKKICSENYAQIVCYKDCAQVRCSEDSVLVLNKDPHGGNLHRNIGIKSKKNLKYPAGNLFFGSKQLLLGSLILRKKRKHKRTKRRLSSSMDTESTSSDQQTSTSETAPAGGTSCKSRRQKRSHNTASSEYSAQIFNKKQNLGDSISSAGLTMDRQDSKDATLSSADLASSDPSSKANTDPGKCAPTNEKGSWHFDLLTRGLRQITVPRWDDNSMPNTTATELQYSSSTSIGYVLDERDEEYDRGRRKKVKKPIKDFDGPNPFQETANIRSRQRKRLKADQARCGNQPLRI >Et_2B_021936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7041911:7044610:1 gene:Et_2B_021936 transcript:Et_2B_021936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVAVILQKIILLVEHNNPPLCSSKMNMGGRAAERSEPLLPTTLDGPATEGEEAAFHEFNGASFPGAVFNLSTTIVGAGIMALPATMKVLGLVPGLLMIVLAALLTDASIELLVRFSGVVGARSYGAVMGDAFGWWGKRLLQVCVVINNVGVMIVYMIIIGDVLSGTSSGGEHHYGVLEGWFGMHWWNGRFFVLLVTTLGVFTPLACLKHIDSLSYTSAISVALAVVFVIITAGIAIVKLIAGQIPMPKLFPSVPDLASVWELFTAVPVLVTAYVCHYNVHPIHNELKDSSKIKPIVHTSLALCSTVYIATSFFGYLLFGESTLSDVLANFDSNLGIPYSSVLNDAVRVSYALHLMLVFPMIFHALRLNLDGLLFADASPLYSENRRFGLMTALLLLVIFSFANFIPSIWDAFQFTGATAAVCIAFIFPAAITLRDRHLIAKKGDKFLAVIMIVLAVVSNVVAVYSDAYKMFHKKGAPSKA >Et_6A_047625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8711244:8712763:1 gene:Et_6A_047625 transcript:Et_6A_047625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSVKDSSASTFSIVEEDHTLANSVRFVLNQDPRVAFCGYSIPHPADNKVNIRVQTTGDPAKDVLKDSLQDLMVMCQHIRGTFDNAVADYRSNKPAEAMDIDPKQK >Et_2A_017975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8503148:8505018:-1 gene:Et_2A_017975 transcript:Et_2A_017975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGHAWLWNEPASSWFVSLVLLGAVYAAALSFRLLPYLTLCLRRPKDLRRQYGAWAVVTGPTSGIGRSMAMELARRGINLVLLDLNADNLRETSDAIKAQHAVKTKTVVFDLSLVGTAQGDEAMRRLREAVDGLDIGVLVNNAGVARPYAMYLHEADMEAWVRMIRVNLWALTEVTAAVLPGMVARGRGAVVNMGSASSEAVPSFPLSTMYAATKRLYVEYKSKGIDVQCQSGSRTIRVCLDGGQMIAAGSA >Et_6A_047387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4944810:4947140:1 gene:Et_6A_047387 transcript:Et_6A_047387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PTNDIGKETTRVLALRGAKAIIPARTLESGLKVKEILADQVQDSKLHVMEMDLSSLSSIRRFAESFNSSHKHLNILINNAGIMTCPFQLSKDGIELKFATNHAISCSQISYSIRWKKLQERPEYKAGSYRYIPFVAYSHSKLANILHGNELSRRFQAEGCNLTANSLHPGVIATNLFCYIASNSNNFAVQQKYSETELSWFLSSFNSITECLTCRGARITSPCDKAFPEGHTLPRSHKVPLQWCLQDGIHLTRLTCLILELRPLCYLTLHPDVKDMSGKYLVYLHALFHALLKPPASASQQLHASFRTLTALNNSLASLGTTTSNSLATGT >Et_3A_025839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33231813:33233111:-1 gene:Et_3A_025839 transcript:Et_3A_025839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEIKIGQVDDVQELQRTCLATVPDRYIRDGEERPDGAKVCDHAQIPVIDVGELPRDDELNKLRLACEEWGFFQVVNHGIPDEVLDEMEKVTREFFMLPLEEKEKYPMEPGGIQGYGHAFVFSEEQKLDWSNMLALGVDPAFIRRPNLWPTNPAGFTATLERYSGEVRALCRRLLAHIAETLALAPGTFNDMFGEAAVQAVRMNFYPACPRPELVLGLSAHSDGSAVTVLQQDVSCAGLQVLKDGAWVPVHPIPHALVINLGDSLEASRRRYNFASVLTNGRYKSVEHRAVTGDKQDRLSIVTFYAPAYDVELGPLPEFVSEDAPCRYRRFNHGEYSRHYVTSKLEGKKTLDFAKIKNSTTTTAREDN >Et_1A_007125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31049829:31053226:-1 gene:Et_1A_007125 transcript:Et_1A_007125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCSFRSIARAPPLLRVPAARRVRCCSAAPGGTASTSNSKLVSEVKERLEREQPALPTGRNGRDDEDMILWFLKDRKFSVDEAVEKLTKAIKWRHDFRVSELSEESVKGLYQTGKAFVHDSLDIYGRPVLVVVAAKHFPSKHDPVENEKLCAYLIEKALSRLPLGAENILGIFDLRGFGVENGDLQFLKFLIDVFYYYYPKRLGQVLFVNAPFVFQPMWQIVKPLLKSYASLVRFCDVETVRKEYFTEETVPPDFRMRYLHMPNHSGKDLNFPRDELSYIFSEDMQETVPEF >Et_8A_057750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6864413:6867206:1 gene:Et_8A_057750 transcript:Et_8A_057750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSFTAAKFLAPVAARSGGERAPPLPATGAGFARPRRGAQQQQTRLRTALAVSSDVLAGNKAAQAASGQPAVTREEALELYEDMVLGRVFEDMSAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLNQADCVVSTYRDHVHALSKGVPARSVMAELFGKATGCCRGQGGSMHMFSAPHNLLGGFAFIGEGIPVATGAAFAAKYRHEVLKQSSPDGLDVTLAFFGDGTCNNGQFFECLNMAQLWKLPIVFVVENNLWAIGMSHIRSTSDPEIYKKGPAFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPTLVECETYRFRGHSLADPDELRKPDEKSHYAARDPITALKKYIIEQNLATEPELKSIEKKIDDVVEEAVEFADASPHPPRSQLLENVFADPKGFGIGPDGKYRCEDPKFTQGTAQV >Et_8B_060606.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3182338:3183078:1 gene:Et_8B_060606 transcript:Et_8B_060606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLASLLSPSPILTTASSQQQQQAAPQAVRLPPNKPLATTTLVAAAAAGLLLLSPSPAPCRADPEFSVYYGTAASAANYGGYGGNASKKDTAEYVYEVPEGWKERLVSKVEKGTNGTDSEFFNPRKRAEKEYLTFLSGIRALAPLSAVLDNLALSDVGLQDQIASADDVRADQRDDGAGQVYYEYEIAGAGAHSLISVTCARNKLYAHFVTAPNAEWGRDEAVLRRLHQSFKTIDPAAPPPVTES >Et_4A_033828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27122071:27125618:-1 gene:Et_4A_033828 transcript:Et_4A_033828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRKPAAAAEETEAKGERSAVEEVALVVPETDDPSMPVMTFRAWTLGLGSCVVLIFLNTFFTYRTQPLTISGILAQILVLPAGRFMAAVLPDREVRVLGGRLGSFNLNPGPFNVKEHVIITIFANCGVSYGGGDAYSIGAITVMKAYYKQTLSFACALLIVLTTQILGYGWAGMLRRYLVDPAEMWWPSNLAQVSLFRALHEKEEGEKSRGPSRMRFFLIVFFASFAYYALPGYLLPILTFFSWACWVWPHSITAQQVGSGYHGLGLGAFTLDWAGISAYHGSPLVAPWASIANTAVGFVMFIYVIVPLCYWRFNTFDARKFPIFSNQLFTGTGQKYDTTKVLTKEFDLNVAAYESYGKLYLSPLFAISIGSGFLRFTATIVHVLLFHGADMWKQSRSAMNAVKQDVHAKLMQRYKQVPQWWFLVLLLGSVVVSLLMSFVWKEEVQLPWWGMLFAFALAFIVTLPIGVIQATTNQQPGYDIIAQFMIGYALPGKPIANLLFKIYGRISTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLAVAWWMLDNIENICDVDALHPDSPWTCPKYRVTFDASVIWGLIGPERLFGSHGLYRNLVWLFLAGAVLPVPVWLLSRAFPEKKWIALINVPVISYGFAGMPPATPTNIATWLVTGTVFNYFVFRYRKGWWQKYNYVLSAALDAGTAFMGVLIFFALQNAHHELKWWGTEVDHCPLASCPTAPGIAVKGCPVF >Et_3B_029649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26820598:26823203:1 gene:Et_3B_029649 transcript:Et_3B_029649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGNGAKASAAAAAGVDERGGGGEPLGYVQVMTDEQMEVLRKQISIYATICEQLVEMHRALTEHQDSIAGMRFSNLYCDPLIVPGGHKITARQRWQPTPMQLQILENIFDQGNGTPSKQKIKEITAELSHHGQISETNVYNWFQNRRARSKRKQAASLPNNAESEAEVDEESLTDKKPKSDRILQQTNVHNADRISEMHHLEHNQMGGMMYGSNDNNFRPSGSSGQMSFYENIMSNPRIDHFPGKVESSRGYSHLQHGEGFDMFG >Et_7A_051108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14526836:14531467:-1 gene:Et_7A_051108 transcript:Et_7A_051108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAVAAAGAAQPQGRGPAARQGGGGLGQSIAGIVRMAVFWYFAAKFFGPKRPPAEPGTLMSNLFQKGEPMDMWMYLSENEKFNDFANEDALIWHEANIPYAVWGPTSTRTRSLTYYPSEALKHNGSLYAHVYFARSGYPVDPTDPEYEQTSAFGRTHPVVVYLPKSKAGKKKSLLGDSEVSEKQAPPEENKEPEEKDEGPVEYIAYWKPNVTINLVDDFTRGADLNVDPATNNYYPTVFFNEFWLLRDKLVAINETVEELPLNLEVGPISMTKWQLFLQIEQSFQVHRSYGSMLEGEADELKRVFLEGNPYLLGLTMIVSLFHSLFDFLAFKNDIQFWNKNKSMEGLSAKSVVLNFACQLIIFLYLLDNDTSWMILASSGIGVCIEFWKIGKAMHVEIDRSGTIPKLRFRDRDSYAQNKTKEYDAIAMKYLTYVLFLLVIGFSIYSLKYEKHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHMPWRQMTYKFLNTIIDDLFAFVIKMPMLHRLSVFRDDVIFLIYLYQRWKYPVDKKRVNEFGFGGEDEPQAREAVEGSDSAVTAQQTEVEAESSTEDKKTK >Et_7A_052839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1911765:1915588:1 gene:Et_7A_052839 transcript:Et_7A_052839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVVPAMQCQVGAPGKTAVCARPAAAGAGGRVWGARRTGRGTSGFKVMAVNTATSGVVPRLDQLLSMDTKPFTDKIIAEYIWVGGSGIDIRSKSRTLSRPVEDPSELPKWNYDGSSTGQAPGDDSEVILYPQAIFKDPFRGGNNILVMCDTYTPAGVPIPTNKRARAAEIFSDPKVVSEVPWFGIEQEYTLLQRDVNWPLGWPVGGYPGPQGPYYCAVGADKSFGRDISDAHYKACLYAGINISGTNGEVMPGQRITEQAGVVLTLDPKPIQGVANRGCSIRVGRDTEANGKGYLEDRRPASNMDPYIVTGLLAETTILWEPTLEAEALAAKKLALKV >Et_4A_032656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12208165:12210872:-1 gene:Et_4A_032656 transcript:Et_4A_032656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAASIPVPAAGLAVVLFLGHILVQVFPSAADYLALIPARTIPFAWNLVTAGYIEQTIPGVIVSIAGLLLFGKVLEPLWGAKELLKFIFIVNLSTSVCVFITAIVLYYITQQESYLYTPLSGFYGILSGLLVGIKQILPDQELNLFVLKIKAKWIPSIVAFSSVAVSFFLNESMAYLPIILFGIYMSWIYLRYFQRRLEARLKGDPSDEFSFSSFFPGFLRPILDPIASIFDKLLCGRTQKPEATGKTLDGSQFPGSGSIEANRRRERGQRALEQRLAEKLAAVRSSEGSPQPQQQQQPQLEEDDDASDKV >Et_5A_042605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21954592:21955902:-1 gene:Et_5A_042605 transcript:Et_5A_042605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLQKYVDADKWETEDVVGRLGMIAHAAFLFAGFVPYGAQPPSAGGYLLKHPRKSETGPRCLSRCRAVDADAAVLMLFARGSHVALVVYLTSSTDGGVLAGDANYRELLELAAVEPLLSHAMGDTEPWGSRICQALGNAVCWRFLDDLCRRNGHPLTRFTSLPDDAMLHVLKWLLDGADVAKVACTSKQLRRLVADRDRELWMKACVWLKFDDLYVTYEGDGSELLSWKEKYLRTRRRWGFLFQEVTKSSGADATSLSDLEAEIAARGQSTEHRHYHHRDRAPWKKKLQGAGRHVHIREYIDRSQVLRQPMDPAYSTSVLEPSTCPPIKDMDQT >Et_6A_047352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4293760:4297000:-1 gene:Et_6A_047352 transcript:Et_6A_047352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNSSHVSLASEAEINLDLSRLVIDKPRFTLERKRSFDEQSWSELSHRQNDGFDSVLQSPAFPSVNEAWEALRKSVVYFRGQPVGTIAAVDHASEEVLNYDQVFVRDFVPSALAFLMNNETDIVKNFLLKTLHLQSSEKMVDRFKLGAGAMPASFKVDRNKNRNTEHLVADFGESAIGRVAPVDSGFWWIILLRAYTKYTGDGIYGYPIEIQALFYLALRCALQMLKPDGEGKEFIEKIGQRLHALTYHMRNYFWLDFHQLNNIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPCRGGYFLGNVSPAMMDFRWFALGNCIAIVSSLATPEQAVAIMDLIEERWDELVGEMPLKICYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQMAKRAIELAESRLLKDGWPEYYDGKLGRFVGKQARKFQTWSIAGYLVARMMLEDPSTLMMISMEEDRPVKPTMRRSASWNA >Et_1A_008123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4505700:4509580:-1 gene:Et_1A_008123 transcript:Et_1A_008123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGGSEKGRASVAALLVAALILGALGPASASFNPQRVVSGFVSNAASSVAKRLWSLKPTTKTASGSKSMVKYEGGYTVETVFDGSKLGIEPYSVEVTQGGELLVMDSMNSNIYRMALPLSRYSRPKLVAGSPEGFPGHVDGKLREAKMNHPKGFTVDGRGNIYVADAMNMAIRKISDTGVTTIAGGKSGRGGHVDGPSDEAKFSTDFEIRYIGSSCSLLVIDRGNQAIREIQLHFDDCVYQYEAGFPLGIALLLAAAFFGYMLALLQRRVLGMVSTDEDEQQPQSPVKANLSSIPPPYQKPLKPSLRPPLIPNEDEPVKQEDEEGFFSSIGKLIVGAKSSVAEMFGTTFSRKKRLNVHHQMHGRPSSWPVQESYAIPRDETPPPLDTRTPTPRKNYAFMSKEPEKIHHIRHGRPHQYSGWTGTGEAPQQPPPQQQQQQAQQVHHQQYLQHHHRQYSSGPQTFYEPSCEATKEIVFGAVQEGDMNRRAVEIKAVNYGDSPYEQNGLRYRSSYMGYNGNQ >Et_3B_029794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2867539:2880342:1 gene:Et_3B_029794 transcript:Et_3B_029794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHVPAMTSDVAEPYYYPRCPGCRVDRRNAEREGVLPYKDVADSVLVSLHIRDLHIAKDEKDIGFYAGFVGGASYMFGRAFSSVIWGMVADKHGRKPVIVITLIAIIIFNTLFGLSLNYWMALTTRGLLGLLCGMLGPIKAYVTEACRKEYNHMGLAIPADKYPGIFSEKVHIWEETLHKHAEDKVQNDSIVTIEESLAGTFSQENGEVGSCGCLQLFKNWPLMSAIDVAYAEVFSLWAVSDRKYGGLSFSSTNVGNVLAISGFFLLLYQILVYPSVAKSVEPITLVRTVTILTVPLLAGYAFMPALSGFTLQLVVNGASFLKNAFTVTTITVFNILMNDAVTQAVRAQANGVAVTLMSISKGIAPAVAGIMRPLGVLFAKRLHSRWPCVHLQTVFCQTQQGALRHQSTPGCLLNTHE >Et_1A_007184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31798205:31800202:1 gene:Et_1A_007184 transcript:Et_1A_007184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PGQTKPAGTSSFRATFCRTERGLNDLRAAIQAGTLSKRWVHLPLSLSRLLVDIADFLPRDRGRREHWTVDEVMATYTATLTRLLQHSSSGGLAIKDMQLSFYLTDPYLNSIGHALSDVMQCGRTDCLEFTIWVDTHQPSYEQCVLFKERLMGFLHACPTTFSWLTRLILQYIIFGDTDVSDLLNTCNKLEFLSLTYCDTAFDLVTGEDTALTINAPHSALLALEIHYCGFTWIDLIQAPKLGRLLCLNWIGMNPPLRFGDVPRLDNIALRCAASHGQTPFVLSHWLSNTTTLSTLYLDFVGHMIWIEPEGPLHLSLIFSNLRDVYLHNIFYECDLNWTMFLLEAAPSLNNLYLKLFRHLCESYRLEDSAKKVNMPWDQASPDLKHNQLSLVEIVGFAVDEKLMKYIRLVMQRAVVLKRIRLLDQPPCDECDAMDSAQSPSLMRWRFPIEEEEKKLVRQQLLDGFSSSVEISIG >Et_5A_040260.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:7363100:7363399:-1 gene:Et_5A_040260 transcript:Et_5A_040260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASLCSVTRARSRSPSSESMTSLTFASTSSALTGPCCHPTTSTPILASSTMNTLFIFCSAYNGQQIIGTPAVIASITELH >Et_3A_024271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19082441:19082710:1 gene:Et_3A_024271 transcript:Et_3A_024271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINDVFDNLSDLEAMPSMQFKDPSCGSIDAKALFYDSPSEEENDPMERCEEIVQRRFYVELEKRQMALDTDPQLYCPEVVKKYRL >Et_1A_008913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18246024:18253110:-1 gene:Et_1A_008913 transcript:Et_1A_008913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVSAHAFIEIRKYQKSTDLLIRKLPFQRLVREIAQDFKNELRFQSHAILALQEAAEAYLTLKRKLVRLE >Et_2B_020208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1815471:1818448:1 gene:Et_2B_020208 transcript:Et_2B_020208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKETLDLSNLNVALPAAAAALSAEDRAGLVNALKDKLQSLAGQHTDVLETLSPNVRKRVEFLREIQGQHDEIEAKFFEERAALEAKYQKLYEPLYTKRYDIVNGVVEVDGVSDEPIGENAAEGKESDAKGVPDFWLTAMKTNEVLSDEIQERDEPALKFLKDIKWSRIEDPKGFKLEFFFDTNPFFKNSVLTKTYHMVDEDDPILEKAIGTEIEWYPGKNLTQKILKKKPKKGSKNAKPITKTEACESFFNFFSPPQVPDDEEDIDEETADELQGQMEQDYDIGTTIRDKIIPHAVSWFTGEAVQAEDFEDMDDDGDDDEDEEDEEEDDEEDEDEDDDEDDEEESKPVKKVGL >Et_3B_028909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20249345:20255341:-1 gene:Et_3B_028909 transcript:Et_3B_028909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYVACPPLPMASVSRALRPRPRAAVCSASRLGLGIACSLQRGMNEKRELGLTAASAPASSATPVLRNRQILCKAEVNVSSNVPESSPSQYEKIVEMLTTLFPVWVIIGTIIGIYKPSMVTWLETDLFTLGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPLLGLAIAVTLKLPAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVDAVGLAMSTFQVVLMPTIVGVLANEYFPKFTERISSLTPLIGVLLTTLLCASPIGQVADVLKTQGAQLILPVALLHVAAFGIGYFLSRWSSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVAMALGGSALAVYWRTVGLPANDKDDFKE >Et_8B_060434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15199095:15202443:1 gene:Et_8B_060434 transcript:Et_8B_060434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGELDTDAVVEYFRGKNILITGSTGFLGKVLVEKILRVQPDVKKLFLLVRAVDVESAKQRVQTEVTGREIFEILKDKHGNGFETFIQEKICPLPGDIMYENFGLGNTQLTELYRDIDIIINGAATTNFFERYDVAFDSNVLGAKHVCRFAKNCAKLKLLLHVSTAYVAGEQEGLLLEKTFLMGETLKGGTHLDIKSEAKLIEDTRRELKANRSSERAEKKTMKELGLKRARKFGWPNTYVFTKAMGEMLLGHMRGELPVVIIRPSIITSILKEPLPGWIEGVRTIDSVIIAYAKQALSFFLVDLDSIMDVIPGDMVVNAMMVAMAAHSEEQAQTIYHVTSSLRNPAHYSLLADSGYRYFLHHPPSSGKNGEPVRVGRMRFFSTVPRFRAYMAVRYKLPLEILHLVNIASCGLLSRRYEQLSRKYNHVMHLIELYAPYTLYKGCFDDINTERLRSRMKNKEQDRTGGYNFGFDPKSIHWDEYFYRIHIPGVVKYLSD >Et_2B_021668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4250761:4271581:1 gene:Et_2B_021668 transcript:Et_2B_021668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGDVRRRRQSGGGGGGGGGGAGGGGWRRNGSAAAKEQRMRLGKEELLESRLGFAPYTDGERRLGWLLTFAPSSWEDEDTGKIYSCVDLYFVSQDGSTFKVKYKFRPYFYAATKDKMELEVDAYLRRRYEGEISDIEIIEKEDLDLKNHLSGLKRKYLKLQFDTVQQLMRVRNDLLHVVEKNEEERDAAEAFETIHGVKRVERTQDYINCIIDLREYDVPYHVRFAIDNDVRCGQWYNASVSGSEVLLQRREDLLQRAEVHVCAFDIETTKLPLKFPDAEYDSVMMISYMIDGQGYLIINRECVGEDIEDLEYTPKPEFEGYFRVKNVPTELDLLKAWFSHMQEVKPGIYVTYNGDFFDWPFLEKRAAHHGIKMSEEIGFQCDANQGECRAKFSCHLDCFAWVKRDSYLPQGSHGLKAVTKAKLGYNPLEVNPEDMVRFAMEQPQTMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAFKAHIICPNKHQADLEKFYNNRLLESETYIGGHVECLETGVFRSDLPTKFQLEPSAYEQLIGNLDRDLQYSIAVEGKLDVDSVINYDEVKDAIREKLVSLRDNPIREERPLIYHLDVAAMYPNIILTNRLQPPSIVTDVDCTACDFNRPGKNCLRKLEWVWRGETYMAKKNDYYHIKRQIESELIQSGGTTSSKPFLDLTKPEHLLKLKDRLKKYCQKAHKRVLDKPITEVREAGICMRENSFYVDTDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGRPLELDTDGIWCVLPGSFPENFTFKTKTGKKLTISYPCVMLNVDVARNNTNDQYQTLKDPVNKLYTTHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNEDGTLAELKGFEIKRRGELKLIKVFQAEVFDKFLHGSTLEECYAAVASVANRWLDLLDNQGIDIADSELLDFISESSTMSKSLVDYGEQKSCAVTTAKRLAEFLGDSMVKDKGLHCQYIVAREPQGTPVSERAVPVAIFETDPEVAKHYLRKWCRISSDASTRSIVDWSYYKQRLSSAIQKIITIPAAMQKISNPVPRVLHPDWLHKKVREKDDRFHQRKLRDIFSPLAKNDGRQNLNVTGDMEDLLGSKKDLRKIPASLGSNADKENNPNGQPMEAGSNYRKNRQQSTGSNVLLSSQIPNDATDEKVDKSADYQGWLDAKKRKWKYVREQKKRRRLGAAATFDGPTSGPLRNIIQLTSSSIPGRFFAWVVAEGIMFKIPINVPRVFYLNSKAPVAEEFPGRRVKKILPHGRPCFNLIEVVTSEEQFRAEGKKLAAHLAEPDVEGIYETKIPPEFNAVLQIGCVCKVDKSAKKRSIQDGWDLAELQMKTTAECSYLEQTVSFFYLYHSFSEGRGVYVFYFPTSFRIHAVVVNPFRNKELSPSFLEKQFQDACQALGSVPDNLTFNAAVRALDDFPCVTIPCNARDNNYQALGWQATAGRTSMQRCAASTQWFNERISLSRYAHVPLGNFELDWLLFTADVFFSRALRDQQQVLWISDDGIPDLGGTYEGDTCFADEVIQPALTYPGAYRRVSVELKIHHLAVNSLLKSSQVDEMDGGSIGTFGNDMTTGPHATETDFDDPSLCLPAFQVLKQLIQRCISDAVSSRNVFADAILQHLYRWLCSPQSKLHDPALHRLLHNVMKKVFALMLSEFRKLGANIIFANFSKIIIDTGKVDLLSAHAYCDSLLKTLQTRDLFEWIELEPLHYWHSLLFMDQYNYGGIQAKTQDADCSDGDSNIDIVSSWNIAECLPKATQDHFVLIVSEFLYIPWKYMKEEVAKRATIRDDTSCTPSITVMAAENLEGQVIGYLCDQISTYFADKLLKIVSDILHHFKGKSKFESDEPNRESDPHTHKGDAALEFIKHICAVLALDQNVQHDILRMRKNLLKLVRVKEFAPEAQFQDTCASFTLPNVICSYCNDCRDLDLCRDSTLQGQEWRCAVPQCGQPYHREQMENALLQVVRQRERLYHLQDLVCLRCRQVKAAHLSEQCSCGGSFRCKEESSYFLSKMRVFLNIAVSQKFQLLQDCVQWILEGAIGYQ >Et_10B_004176.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17670980:17671606:1 gene:Et_10B_004176 transcript:Et_10B_004176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDCGNHGDDDLRSACRRLLAFLLALALIVAIIALIVYLVLRPTHPRFFLQDASLRQLDLSNSSGLLSTTLQVTIASRNPNDRVGVYYDRLDVYASYKYQQITVAAALPPVYQGHGDVDVWSPVLSGPSVPFAPYLAGALSQDCQAGYLILQVKIDGRVRWKVGSWISGHYHLFVTCPAFLVTGGGNGAPGASGFKFQTTTYCHVEV >Et_4B_036018.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13944517:13945407:-1 gene:Et_4B_036018 transcript:Et_4B_036018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMYDVVKAYLDRLLIEVLNDSLLNMIYARSLAMSQMMQLAGNISVLEQACGMFLLHAAHLCGIPKRIAERSHSSLTARAVLKASQNAVYNALINLANFKVDEFMILLENVNWIAEEAPDISNDYMNEVLIYLETQVSTAQEILPLEALYKVVSGIMSHISDSIMTTLLNEGVKRFTVNAVLGLDMDLKMLEAFADEKFESMGLSVSGKETTFRDCLVEIRQLVNLLLSSQPENFMNPVIRQRNYGSLDYKKLSIICDKYKDAADGLFGSLSNRNAKQSARKRSMDVLKRRLKDFS >Et_4A_035835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:755515:758569:-1 gene:Et_4A_035835 transcript:Et_4A_035835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDATRAELGLVVLYLNKAEARDKICRAIQYGSKFISNGQPGTAQDVDRTTTLARKFVNDLHTLISPPAKGTPLTLVLLGKNKEATDRVSRLSLYCWMASSVCGGLVELGELKRLSKSMRKLSRELRDVDKYENEQYRSKMQQSDARLLALVRAGMDVVVAIGLLQLAPKKVTPRVTGAFGFITSLIACYQFPHGPFVQPIWTAWWPKKRRELDASPAHSPPFFPGAAAADLVSVYVSVENSYVLVSALQIQQLISIGAEAGGGIVLPSSLIQSKMSHWRQYVYLLVNNFTRGTYPLRRIDASDLFFSSNPAAVSSSMRLPLEEAELPEHLIAFTPSRNVYGTTGMLDLFTLFGHGKTKSLIAGADQKGSTFLYDLDQRAVHSHATLHEPKTYDPVSLAVGDALYVMDKRPRRDGSCFEALVFADDPRDMSPSRGWRWERLPPPPYVHAPGYGPTTGISSYALVTGSSIWISTPGIGTYSFDTAKREWSKAGDWVLPFHGRVDYLPEHRVWLGFSSQDNMPLLCTSNPRTASAMTGPGLLHGGWEDLRAEEDWILNKSDLVHLGSGRFCVSRFFQREHKVASEDGGFICTKRDKFAVLTGLAVQRNRVNGEPEMIKHRSLLYRFDGIADIWAF >Et_7A_052124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4978495:4981796:1 gene:Et_7A_052124 transcript:Et_7A_052124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWRKKVVFPARRAWAAVSTRVRATKTGSGGSILKLHEDVQTCGYKDVQVMFEILRTELEVSSHATKLAKQQHKRPPSVWSSRSSSMIAAAQ >Et_10A_001967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15710150:15712564:-1 gene:Et_10A_001967 transcript:Et_10A_001967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTCKYRSDHTCQLAPPWLRHWWWGPLSPRSISGVAPEDPQEGGELLDLGHRVLRAGLGEGGLEVDAVVVRPGLGPGEPDVAGGEGGEHLEEQQHGGGGGGGAAGEHDAGLEPPATVVVDDDGLPRQHHEPRDHGVVVDAAVGIEHLHSVELGGRVAEPAGGHVPRGAGGVVEEGHAGDGGGVLVVVVVVEPTRRQLGEEGALALRQRQRVADDAGEALRAHPRERQVAHGEHYLADDVETVPEHKVCGCTSTESSTGSTTRSAAAAIFFPSGGESDSSTAWNADSNVSQGTASKPAGNAFRAASSLNAPGTPSYATRGSSGDGSRSVPGPPPPYT >Et_9A_062288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21483084:21493254:-1 gene:Et_9A_062288 transcript:Et_9A_062288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPTEVEKVLQALRVVREWQFTEYDPKSGGAVPTRFCNFNTALFDFEKESMAGLGPPFRTLTLSEALSLEDTIKPVSVKILASDVGYPISIFGTVLARDQIDYKCVYLFRRARDDPQDDMLTLTGPYRGLAATNHLTIEINLKLKCDDGDKDFSKGLIEHCSTNSAHAQDIVTRLLTSWMSTVQLVYTYVPSALEATVAINILNGPCDFFTGKIVAWTTENDNPIILHDSQAAGMRAVIGEGGSVALSRCMVAVPVTEELVLKIWVQGGDDEVAWFELTLSQTQEYDFICEQGFCELQVKVAWTSMVASRRHEVFESVGRAWLLVGSWNRKRASWAERVVNVLHLVRIHQITEYDPAYCSYVRTQFCKYNLAFFDFDKESRPMRGRSLLKMTSHDRNMLDESVNVVSLRILKTGVGYPVRVFGTVLARDQVDYKCVYLFRRDRDDAQLINSPRDTLTLTDPCRGLAVTSTMLFEINLKVKGDDVCDDRVLSKGVIVHDTCCISDGKKLVRKLLTSWHSTLQLAYTPVPFAVQATLAVSVLYGAREFTGEVIAWTSRNKNKIVLHDSKAAGTSTELGADGCVALSRRLVAVPVDEMLVLRVRVRDGAREAACFESALGHFDDCPTFCDDDYTVQVKVEWTCILSTMRRNVLHDVGRDTLRGRVPNARATPSLLSAGAPPPPHMSVDRCLRLLLCLPGSTSMLRITDGHVIAALGRGMTRDAFTRTCDLKGGAVDAAGLDLRIREASTHHR >Et_6A_046071.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21634945:21634998:-1 gene:Et_6A_046071 transcript:Et_6A_046071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMATLTSLKFDPPIS >Et_5B_044318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22575429:22605998:1 gene:Et_5B_044318 transcript:Et_5B_044318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVMTSTMMSSLVAAGSMRARSPAVALAPRRRALIVRAQSTPDMEPAEETPSTSFPSSPLPSTPTPKPKSNKPGLWDALAFSGPAPERINGRLAMVGFVSALAVEASTRGDGLLTQAGSGSGLAWFAVSAAVLSAASLAPLLQGESAEARSKGFWSADAELWNGRFAMLGLVALAFTEYLTGSPFINAALAPRRRAIVVRAQAKETSKASSGLWDALAFSGPAPERINGRLAMVGFVSAIAVEASTRGDGLFAQAASGTGQAWFFYMVAALSVASLVPLLQGESVEARSGGIMTANAELWNGRLAMLGLVALARINGRLAMVGFVSAIAVEASTRGDGLLSQAGSGTGRAWFFYMVAALSVASLVPLLQGESTDVETNKAGLWDSLAFSGPAPERINGRLAMVGFVSALAVEAPVAGRSGGWRGSWPRRRCCPCSKSRGESAEARSGGFMSADAELWNGRFAMFGLVALAFTEYLTGSPKASDQPYNHIASYQAHKAHFQMAATVMTSLAFAAGVRVGRSRVGALAPRGRAIVVKAQVKEETETRTGLWDALAFSGPAPERINGRLAMVGFVSAIAVEASTRGDGLFAQAASGTGQAWFFYMVAALSVASLVPLLQGESAEARSGGIMTANAELWNGRLAMLGLVALALATNQSTLQRRRRQHTMAATFMTAFAAGVRASRSPAGELAPRRRSIVVRAQAKEGNTSTGLWDALAFSGPAPERINGRLAMVGFVSAIAVEASTRGDGLFAQAASGTGQAWFAYMVAALSVASIVPLLQGESVEARSGGIMTANAELWNGRLAKLGLVALAVTDSPATALAPRRRAIVVRAQAKETKSSTAARLPNASTAALSVTKYLTGASFMTSNADRSGGIITSNAELWNGRLAILGLVALAFPMAATIMTSLSLAAGRSPATALAPRRRAVVVRAQAKETKPSTGLWDALAFSGPAPERINGRLAMVGFVSAIAVEASTRGDGLFAQAASGTGQAWFAYMVAALSVASIVPLLQGETVEGRSGGIMTANAELWNGRLAMLGLVALAVTEYLTGAPFINALQWRQP >Et_4B_038236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27422556:27424446:-1 gene:Et_4B_038236 transcript:Et_4B_038236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLVLLLLAASLALALRPALGGGGGGGGFYDPARVTQLSWRPRAFLYNGFLSDSECDHLVKLAKGDLEKSMVADNDSGKSVMSQVRTSSGTFLAKRQDDIVSAIEKRVAAWTFLPEVSRWIFSNRLAISGSENAESIQVLCYEIGQKYDAHFDYFHDKVNLKRGGHRVATVLMYLTDVKKGGETVFPAAAGGHLQYKDESWSDCSKSGLAVKPKKGDALLFFSLHTNATTDPTSLHGSCPVIEGEKWSATKWIHVRSFDNPPNLRADAPCSDDNELCPKWAAIGECYKNPTYMVGTKDTLGFCRKSCGVCYA >Et_5B_044040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19328361:19347637:-1 gene:Et_5B_044040 transcript:Et_5B_044040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLASPKVYLMPSFCKLGHRGEETASPISSGGPWNQTEARVSSVSRAKTPADGMTMPGNPKLSETVVALSKQGISKAGSSSSTSLAFLWFSTTSWPERRRAAARRQSAMGSRPACSVPTKRWRRGASWAASATAMRWGAGEKLKRSSGRRVHTRRQRRERTLVRPAAAAVSRRSRMRTRVSSGSELRRSLPASREGLAPPRTAISPPLWSIRGSHAATDSGASSLVKDKDLAQRRGLSGCEHLTNMAVVGGRAECELRQEGPCAAREDACPAGLGDGVETVEHEDEDVVGQRAEDVFAGVGAGESPERRRAARGSQAATESAASSVMVTWMRRRAGASGTASTSKTWRLSGAERKLSSGRRAHVRRQRRARTLVRAASAMVSSRRRMRTRMSSGRTPRLSSPASAPEGERSGRLAATSPPSPLLCRHGSRGVVCGGDVDAAKSRCFRDREHLENMAVVGDRPECELRKEGPCAAPAAGEDAGPGGLGDGVEPEEDEDEDVVGQGAEAVLAGVGAGGGEIPALSGDLAAALFHFHGWRRGMIWPGPCLFKSTGEAARGKGEPRRHRIRGVLSGDDEDAAERRGFRSREHLADVVSGAKMKVSSGRRVQMRRQRRERTQVRAA >Et_6A_047206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26784310:26785069:1 gene:Et_6A_047206 transcript:Et_6A_047206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TRRVHRLSHQNITVELEQIHPHNQMDLQHTRTYVLLTSCYLENLVHLEHLVHLDTSGLERLLLMRHRSFGTLLKQKQVLPSSIGQLTRLMCLRAGNAEVPNGVIQKLTSLEELRIHAWVDTAAQFVKDLGKLRERRVLNAMLTLKDKSMQSDLAIFTTSNISRDLDKTLWDAVVLPRLLRCLIVDIVTFPTLPSWINPSDLPNLCYLELRVCQMDEQGLKILGGLPEHVHLDLRSC >Et_4A_034940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:853647:857700:-1 gene:Et_4A_034940 transcript:Et_4A_034940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTGLGSRKVLSVAFKDFGPRQYATRPNRFWPRRPLTLCGGGRFGQGLTNPNANLQAKTRPQITIRRPTRVSLSTPSSSGNPGARGTIPAAAKTAATPRSAGAMATPEAPTCYVGIARESAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKNKQDTLGVGVDNPRDKWVYDTTQFDNILKKLKVIENDSPVNTPKKEDKPAKDEVTKITRPQGRYKKRERGKSVSGYSAIDLQGILVRKTEDNCQMDQQVEPICLDEPDAIICEDAVSQAEDVNWWGHKNGFVSGGFLGATSRKNKRKDPANVRQTFGEEDQENLYNLVQDKATSGKQGLGIKGLPLKIAGHRWKGNKTSFGDSDEENSDQSNEYSEIEDNVDEVEPVNNAESISTVKKTEQESRVDVRPKAKVKKLCKRILRQTPSQSMKLKDLKVAVEEHSNSVFSSFSCRREALLFLKTKLQGSKKFNVEGKKVRLVS >Et_2A_018576.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33536945:33538558:1 gene:Et_2A_018576 transcript:Et_2A_018576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFALALLAAFAAIVHRTASTTQAAETAAPATDATGFLQCLAVDLPPGVVYSNVSHSYASVLQSSIKNLLFVTPATPTPLAIVAAADASHVQAAVRCGARHGVRVRPRSGGHDYEGLSYRSLRASRPFAVVDLAALRAVRVDVKRETAWVGSGATLGELYYAVANRSARLGFPGGLGPTVGVGGHLSGGGFGTMLRKHGLAADHVVDAVIVDAKGRLLDRASMGEDYFWAIRGGGGGCFGIVLSWKLRLVRVPATVTVFTIHRPRNQSATALGTKWQRVAPALPSNVFLRVVLQNQDAQFQSLYLGKRSGLLAALSKSFPELGVTPEDCIEMTWIQSVLYFAFYGTGKPAELLLDRGAKPERFFKAKSDYVSEPIPSHVWESTWSWFLKDGAGLLILDPYGGRMASVAPAATPFPHRNELYNLQYYGFWFDKGTEASERHMAWIRDLHTFMEPYVSNNPRGAYANYRDLDLGVNAKGDEDGVTSYEEARVWGEKYFKANFQRLAAVKAKVDPDDFFRNEQSIPPLPSLRNGLTES >Et_8B_059677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20512907:20517577:-1 gene:Et_8B_059677 transcript:Et_8B_059677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDAAPPPVVAPEEQASAVESASDEQEVPATGEIDLKRKLEEVEPGTEANGAGEDAKRPRVDGEPDDAGAEQQNNEASVELAEQAVAEDSKVAPGEGAADAVNGEASPDADAQAGSDEKPVEPTSEAPQQAASAAQETSRKIEVPNSKVGVLIGKAGETIKNLQMSSGAKIQITKDVDADSNAPTRPVELVGSLESIDRAEQLIKEVIAEAEAGGSAALIARGFGSGQAGSEVFEMTIPDNKVGIIIGKGGESIRSMQGRSGARIQLIPQHPPEGVTLTERTVRISGNKNQIEAAKDLIKQAMTQTRHTPGGYGQQNYRPQGGAASQWGPPMRSQTQPGYGYPPRGMPPPQNYNHPYGGYPQQAPPRGSMGWDQRPPHSSYQGGGYDYYKQGSQPYDGQPPSYPPGPGNYNYGQSQPPSYGQPQYPQPPQQNYGHGYGEPRYSAPAPNQQYYGQPPMGPQQGYPQQPDPYARPAYSGPGQWAPRGAPAADGSYQAPPPTSYGPPSQQPPAYGQTYGTTAGPDGYAQQGYPQQGGQAPAPYAHNAPAAPGYPQQGAQQGGYAQYPPAQPAYGDQAAQTNANYGYQGAPADPNYGNAYPQSGYAAAPATGQAGYASAPAAGQPAYGQAGYTQPPANPPSYDQSAAPPAQSGYAAAPANPQPAPAKGVSPQPASGYSGQWTA >Et_1A_007892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39237743:39240403:-1 gene:Et_1A_007892 transcript:Et_1A_007892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPQGRLFQVEYAMEAVKQGSACVGLRSRTHVVLAAVNKAASELSSHQRKVFRVADHAGVALAGLTADGRVLSRFLRSECINHSFVYEAPLPVSRLALRLADKAQVCTQRSWKRPYGVGLLVAGLDETGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTFLERRFEGYNDYTPEQLIKDALSAIKETLQGEKLTSSNCTVSIVGRKDDGTMEPFDMIDAKRIQEIIDSMEAAEEAPAEPSAMQQEEPGTDAAAPMDI >Et_10A_002249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5688003:5689429:-1 gene:Et_10A_002249 transcript:Et_10A_002249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTEVIHDPGRGAPLAKVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGRRATLSIGNVLPLRGIPEGAVVCNVEHHVGDRGVFARCSGDYAIVISHNPDNGTSRIKLPSGAKKIVPSSCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKAT >Et_7B_054875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5156184:5159185:1 gene:Et_7B_054875 transcript:Et_7B_054875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGGYAYHGTNFEQTYRCYPASFFDKPHLEGGDKVIMPPSALDRLASLHIEYPMLFELHNDADQRISHCGVLEFVADEGMIIMPYWMMQNMLLKEGDTVRIKNATLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVSYNNKQYYIDIVETKPASAVSIIETDCEVDFAPPLDYKEPEKPQQTTVPTSKASDEVEETAIEEEPKFKPFTGSGKRLDGKASKVQASDLPSAARSAPPDSNKRASHQTAAPATSGASSSTRQKTGKLVFGSSASNKEPQKAPVKDDEPPKKDEPKFQAFSGKSYSLRR >Et_1B_013327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7418471:7424669:1 gene:Et_1B_013327 transcript:Et_1B_013327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTSKDHVIGIPVSSRAYGIEEPEFPVSRAYGIEEPEFPTEETTPDHGSFVNSFQANHGEDCAPVLCPSDCSSWQGVEEHVLIKLFSRFIDATNRSAADRPASNHGRKGDKIAQGIKEHVTLGPKLADTVKGKLNLGAKILQAGGVEKVFRQWFSVDKNEKLLRASQCYLSTTAGPIAGMLFVSTARIAFRSDRSLAVSTPEGDKARVPYKVTIPLRKVKAVRPSENKHRPEQKYIRLVTNDGFEFWFMGFVSYNRSLQHLEQASCGGHVMGVPVTSKAYAIEEAAKDRPAAKKDGDRLAVSLTHPSPYTTFGYKHSSKGQVLHWVNKLGRRAQGFRDHVTLGPKLSETVKGKLSLGARILQAGGVERVFRQAFSAEKGERLVKALQCYLYTTGGPIAGMLFVSTRKIAFRSDRSLAVTSPAGDVVARVPYKVVVPLRRIKRVRPSENAEKPEQKYIQMVTVDGFEFWFMGFVSYQRCCKYMQQVIAEL >Et_10A_000462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10692174:10698706:1 gene:Et_10A_000462 transcript:Et_10A_000462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNKDDAFYRYKMPRMRTKIEGRGNGIRTKIENMADIAKALARPPSNITNYFKHEMDRDLSLMINQGLLWFMGLMILQNWLNSLISLYKSMSNVMAVMVSLKCAACGFVSDVGMKDKIIKNQLDNKKGGKDKKLRRAEKERLKEGVAADGEQHKLKKDAKKRDGSSKGFTENHLTSPTHNLYGAIGGDAMESDDNDDVQWQTDTSPEAAKQRMQEQLSVATAGMVMLSIDESEKKQAPPKDITTNPCDELVAQIKATLGNAATADQLKGVLSSSTLPSQDKHSVASASIEALKEIPFVLEALYHGDVFDEESIVQWYNVAVAGGRNPQVLKVAKPFKDWLQRADYESEEECQNELKDMNKASCR >Et_5A_040382.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:18426625:18426888:1 gene:Et_5A_040382 transcript:Et_5A_040382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLEHQLSVSLLQYDHWGKAAITITVLHQNTNHCSLVHMEAENNKIFENKAPNLQAWKKDNCRLQAYRIKESDRADFLSLVDSLT >Et_2A_018708.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5647769:5648656:1 gene:Et_2A_018708 transcript:Et_2A_018708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDIIHGLDAAGLPPPPAPPPLRTVVSHAVFQINTKSAALWHLADALASGRGAARAIRESIRRTRAEATRLARNTARKLAGAGAGGDDAAAAEIIGPKLAADFEAALRDLQRAQQRVITADRQETASSSAAFLSPFFSPPAPPGTTSYASPSRTGPYNGTWDDHHQSNVQTQQPQEQQLGESRRTQELVRLESEVTFNEALVQEREQEIVKIQQEITEINEIFRDLATLVHGQHQAIDVVESNLSSAASATRKAEVELRKAAAAQKTSSETSCLLQTAAGLVVLILILVFTLC >Et_7A_053184.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:9350014:9350643:1 gene:Et_7A_053184 transcript:Et_7A_053184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERLLSDRSDEQRPPSSDGEKQPLLAWMGAVVLASVAMILVVAVASCLVDRRPAEYSVTIRGFTGVEDRIPRAFNFTMDIDNLGSTDEVCVGGEAVVLYGGVPLAVGQVQDLCVPRKSAAELDVVAASGGVGVPEALAELMAGEKRATDAAVRVEVRVVMVRHAMMLSCTAPLHQGPGPAPRPCPCKVAGIVDESDGIRPDDKSPNPL >Et_4B_039839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3695748:3697111:1 gene:Et_4B_039839 transcript:Et_4B_039839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGLLNFRIASALHCLEFNLRHCCLELIWLLFEVCEKSSHDTNFARKYCLPQLKNEAVKHQRLNDAGLRLPRPLAFLPKIHNKAAEASPFRNSYQALLLSREMLVRGCIKLAGAIRRTAVVVCFTPSVGADEEDLPYSQLDKVTHALRRDAFGPLYLVT >Et_2A_014832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17663241:17664551:1 gene:Et_2A_014832 transcript:Et_2A_014832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKTKPPAPAPAPAPPRVHDEADGGGDAAVVAEQYWKRVRFLEEEIRRLNKWLGQEEKPATAADCVVGGGAKEEGGGAATERVVGSTGTAAAACTKRCVSVGAGAGGVPGTMVKLEDGSYLHEVGRVGRPRERLAVQVSRPVIPENAKSASEVLDKMTAMRADDLCKFLMKMMPLKDIAGRQNPGEPVRRPARLSTGDDLVEAIIFRAMDKMEGLVWEGLKIQMASTATDIAIAATDHRQQRHEPVSKNCMVHVVLMQARDPKERCRAVGDPMIGVIEASLERKDGKVKLEMQGMHVAGISSVKRKPSDGRRILWSASLRQCKGPHHGGGGGGGRDGDGCRCYCVRNPDRVFQR >Et_3A_023366.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:23520843:23521286:-1 gene:Et_3A_023366 transcript:Et_3A_023366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRRPPTPTRRRSGPAERAAGPSPAFPRRRRPRTPRAGARRWPSAPSRAACCARASPWRRSAAWRARRSVPRGGAAAGGGVGGRGGGWRPAGPRRSRTPRSGSSCRAAAERAQWGGRTATPRRGSGGRRTSPPVCVNCGIGIGGLR >Et_10A_001985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16713280:16713844:1 gene:Et_10A_001985 transcript:Et_10A_001985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGSSAQQDSASSPGGPRRRLETADCDGVTEEDHISRLTEDLLLDVLARLGCAREAAHTTSGRLPELTFYRFDIPFHTLEGLVAQITSPALNLLHIGIRYWDDVTPEQVSSLLHAAVRLAPKTFNLQLRAEDLAIELPCFDRTTSLCLELPYMDLAPPPGGQFSALERLVLYSSNFK >Et_2A_016462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2582212:2584716:1 gene:Et_2A_016462 transcript:Et_2A_016462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRATAALLALLCSSLALSAAAQKYNAIFNFGDSITDTGNLCTNGKPSQITFTQPPYGETYFGMPTCRCCDGRVIVDFLSSKFGLPFLPPSKSTTADFKKGANMAITGATAMDANFFRSLGLSDKIWNNGPISFQLQWFQQIATSVCGQNCKSYLGNSLFVFGEFGGNDYNAMLFGNYNTDQASTYTPQIVSTIANGVEKLIAMGATDIVVPGVLPIGCFPIYLTIYGTSNAADYDSLGCLKKFNDLSTNHNNQLQTQIASLQAKYKSARIMYADFYAGVYDMVKNPQAYGFSTAFQTCCGSGGGKFNYQNSARCGMSGAYACSNPAAHLSWDGIHLTEAAYKQITDGWLSGSYCRPAILHS >Et_5B_044886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6938657:6941294:-1 gene:Et_5B_044886 transcript:Et_5B_044886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVCLDKLDLVCLLFKHRQLEGRHIYHLLYALRLTVPQQGRVLGYDPSYFAYGCFYKKVFEKNKLLKFNHEKLQIVVFEAGQGRLGVFSQTNTQMIGYFIRQNEGHKSREWKMVSIIPLPKDHISCIVGALGGYILLYQDSEYQDGWLDDGIPRFFIFLVPTFHVTKKAGEMASSPQESTLPLSVELLEDIFLCLACPVDLASASATCKSFRQAIADPKFLRRYRAAHPAVFLGFVMEDFCPVAAPHPNAAAARADGSDFNYLPGGGGGWEYRRRREDSGVFFPDLAVCDPLSRRYLLLPTIPDALITSVQAQNRGSCFFESFLVPSGDHERTSFKVIARTHCAKKLVVFIFSSESSNWSIGTSTSWVDLGFAVASTVLILSWPQYDHGCFYWKYFSIVDLPPLHIVREVVVVEAGTYRLAMFSHYSNEEAVAYYTSLKHEGEVANEWRRENDITLPCQCRFIAASGGYIFLIGFENVGGILNTVYFSLEVETFKIERLCSPELIYIHVHPYFGFPPFMSPRRI >Et_9B_063863.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18158380:18158562:1 gene:Et_9B_063863 transcript:Et_9B_063863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVVWKRRNRKVFDDISMSTIATAESLQEHIRLWVVRAKQSVPAHILEDWCSITVDVIR >Et_5B_043211.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:17784384:17784506:-1 gene:Et_5B_043211 transcript:Et_5B_043211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKEVSVIATLGNLNRQTQIVPYVPYFSESPVLKNMHRK >Et_3A_023787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12262180:12267897:-1 gene:Et_3A_023787 transcript:Et_3A_023787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPRNSLHHCCGGGVAVPSPTLRALPFVSSIPLGRRRVLRSNASSSPSPPPSPEKEAEAASVPTAEACVNLGLELFAKGRVRDALEQFDNALELTPKPIEAQAALYNKACCHAYREESRKAADCLRTALRDYNLKFGTILNDPDLAPFRASPEFKELQEEALLGGEDIGSGFRRDLKLISEVQAPFRGVRRFFYVALTAAAGISTFFTIPRLILAVQGGDGAPNLLETAGNASINIGGIVVLVALYFWENKKEEKQITQISRNETLSRLPVRLSTNRIIELVQLRDINRPVILAGSKESVTRAMQRAERYRMELLKRGVLLIPVIFGASQKVQTKPKGFGNNRPAASIPSVGGDFEKRTESIAAKSRLKAEVRFKADIVSPEQWESWIRDQQESEGVTPGEDVYIILRLDGRIRRSGRGMPNWNDILQELPRLEDLMSKLER >Et_4B_039834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3563261:3565558:-1 gene:Et_4B_039834 transcript:Et_4B_039834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTGAGYDRHITIFSPEGRLYQIWTAPDPCPALRAEYAFKAVKSAGVTSIGVRGKDSVCVVTQKKVPDKLLDQTSVTHLFAITKYIGLLATGLTADARSLVYQARNEAAEFRFKWGYEMPVDVLAKWIADKAQVYTQHAYMRPLGVVAMVLGYDEEKNAQLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKDDPQFTYDETVQIAISALQSVLQEDFKATEIEVGVVRKEDRVFRALTTEEIDQHLTAISERD >Et_9A_062306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21745407:21745857:-1 gene:Et_9A_062306 transcript:Et_9A_062306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLLHVLLFCFLIAFKFRTLYTLSFAFGLSVHFLVEQKKHGKIHVFADYTLIQHCGFQVTQTMQIPLACAEEIIGVRGQNIEYISIVILEENRHWPSEVLVTIKGSAAQVQTAQYLVQVIPVLHCLSPIACCSINLGCYVNTLAM >Et_3B_029965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29454284:29457058:-1 gene:Et_3B_029965 transcript:Et_3B_029965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TSTAPPSNPLPAPPLHLQPRPALRLVPQLLARHAPSPLRFLSLSSSSGSTSGDGPSDGGAGRKGEEEDGKEPADYLGMSDEELMEQCEMGTFKASGPGGQHRNKRESAVRLRHRPTGIIAQAVEDRSQHKNRASALVRLRTLIALKVRKPINLEDYTPPVELLQILPLKSTIRGKDVGPQIGPNNSKFSPGMQALLDLLYAVEGSVSDAAKILGLSTGSLSRLILSDDSLRVTANELRASKGLKPL >Et_3B_030079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30320740:30326332:1 gene:Et_3B_030079 transcript:Et_3B_030079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRLASAALIILFVSAAVTPLSSAVRPVSDAHRSAAAELFAPSADGSFGDLESTYEAVRTFQILGVEKDKSLTGKVCKFAAEKLASPASSPVKDLFHAVRISGALGCSVDAGVYDGVEARLKAVIKDANSLLEFYYSVGGLLSIKVQGHNVILSDAESTFHAIKALSQSDGRWRYDTNSAESSTFAAGIALEALAGVVSLADAEVDPSMIGVVKNNIVKLFDTIKSYDDGTFYFDEKHADADEYKGPITTSASVVRGVSSFANVVSGKLNIPGEKILGLAKFFLGVGLPGSSKDCFNQIEALSFLENNRVFVPLILSLPSKVFSLTSKDQIKVEVTTVFGSAAPPLRVNLVQVLGSDSKVITSEKKEFQYDRDNNVYYLDIAPLKIDVGKYSLVFEISLQEAEQETAYATGGTNTETVFITGRIKVDKAEIGISDNDAGTVESVEKLDLLKDTKVSLSANHLQKLRLSFQLTTPLGKTFKPHQVFLKLKHESKVEHLFVVPGSARQFKIDFLGLVEKFYYLSGRYDLELSVGDAVMENSFLRALGRLDLDLPEAPEKAPRPPAQAVDPFSKFGPKTEISHIFRAPEKRPPKELSLAFTGLTLLPFIGFLIGLMRLGVNFKNFPSLPGPAAFASLFHAGIGAVLLLYVFFWVKLDLFTTLKYLGFLSIFLVFVGHRTLSYLSSASAKQKTA >Et_7A_051599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2121369:2127397:-1 gene:Et_7A_051599 transcript:Et_7A_051599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPPFSDPTIACVRAYNVLKIDGYSRTFSENCGTPSFKSNSFRAGGHTWSMSYHPKGSHFGNPDFISLYIFLEDMIGESVTAKITFSLLDQHQKPVPSYRRTAVVTFFSAGSREFKRFIKRQTLERSKHLKGDCFAVRVDIHIVKEEPSSMVVPPSDMHKHLGSLLLSKEHTDVEFQVGGEVIHAHRLLLAAQWPIFKTYQLFRPTKEGNTINVIRIDNMEADTCSRATALQLKKLSSNIVAMATSSSSAGQRSRSATQIVCASPHLVLEIKGYSKTLNTGCDRPVFDSSPFRAGGHTWHVSYRPKGSLGYHENHDYISFFLYLDDAVQVPLTAKVNFSLLDQEDEAVPYKGRTTMTHDFSLRRRGFGYERFIKRKELERCEHLKDDCFNIKVQIYIIKETPSVAVPPSTIQQRIGNLLLTGEGADVEFRVGEETFAAHRLVLGARSPVFMAELYGPMKEGDGRNIVQIDDMEAQVFRALLSFIYTDVWPEMEQEDEAAMAQHLLVAADRYCLQRLKLMCEQKLSNYIGNSSVAIILILAEKHQCCGLKEACFKFLRSSTTPLAPIDIEEFKYLAQCCPAIINELESSRRRRDPIT >Et_3A_023245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13583140:13583694:-1 gene:Et_3A_023245 transcript:Et_3A_023245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKTTLSSASDLLKLLPSGTVLAFQALAPSFSNHGACYAANRLVGRDGRLYHGLATFGGLYPFNFAAVVFLAVALADASIQGCLFPDAGPDVRELLVNLPLGAGFLASVVFMIFPTTRKSIGYSDTTPHAQQ >Et_2B_022317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17812159:17816377:1 gene:Et_2B_022317 transcript:Et_2B_022317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSRSLGASSVAALQRPCPSSRSGLVPQWRGPTAARCARGVRWEAGRRKGRGRMVGVRCDAAVAEKPAGEEAAGEKFEYQAEVGRLMDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTDSSVMADGGELEIRIKPDPDAGTITITDTGIGMTKEELKDCLGTIAQSGTSRFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKTDKQYVWEAEADNSSYIIKEETDPEKMLTRGTQITLYLREDDKYEFADPARIQGLVKNYSQFVSFPIYTWQEKSRTVEIEEEEEPKEGEEASEGEKKKKKKTITEKYWDWELANETKPIWMRNPKEIEKSEYNEFYKKTFNEFLDPLAYTHFTTEGEVEFRSVLYVPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQEIAEKEDQEDYKKFWESFGKFMKLGCIEDTGNHKRLAPLLRFYSSKNENDLISLDQYVENMPENQKAIYYIATDSLQSDEDEDKEKESKQEYTLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIIKDLSAACKNEPESDDAKRAVELLYETALISSGYTPESPAELGGKIYGMMTMALGGRWGRSDEAATASEASADADSSEGAATEVVEPSEVRTESDPWKD >Et_7A_051665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22041927:22042452:-1 gene:Et_7A_051665 transcript:Et_7A_051665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTINYIKHIATSEKERSPGRFDRNNTEITETGPERLHNSPVLPTFCMGTINYVKHIATSEKERSPGRFDRNNTEITETGPEQLHNNPVCLSAAKLTFFRVFVREPSSYIKHIATSEK >Et_6A_047694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9850290:9851935:-1 gene:Et_6A_047694 transcript:Et_6A_047694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLDVADVRHDMSILHERGIATIMGIGKAVPRHEFLQRSYPDYYFDITNSNHMVDLKAKFANICAKTEIEKRHLSLSDETLRSTPSITAHDSPSLTLRQELSDAGLAELGAAAARAAIADWGRPASDVTHLVFATSCSGRMPGADRDLAGILGLRSSAQRVMLYQAGCHGGAAALRLSKDIAENNPGARVLVVCAEVCALSLRGPSKSSVGDLVGQAILGDAAGAVVVGARPSAGAGVFELVAAAQETVPGTEEALVATLREEGIVYGLRRDIPAHVSAAVKRLVDGVLLLRPEMEIMDELFWVVHPGGRGILDRVEACLGLREEKLAASRAVMRQYGNTRCSSVVLVMEEMRRRSAERGLRTAGEGLEWGFVVGYGPGITVETILLRAVPNKATA >Et_10A_000522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12043912:12046583:1 gene:Et_10A_000522 transcript:Et_10A_000522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQRPAPEPECHKHEDCVEDPEEQAGNGISSLEQPLLKRSTTLTASHLALVGAKVSHIESLDYEIIENDLFKHDWRSRSNVEVLQYIFLKWAMAFLVGLLTGVIASLINLAIENISGLKMLHMVNLVREKRYWAGFLYFAGINFALTFVAAVLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGFPQLIVKIIGSIGAVSSGLDLGKEGPLVHIGACLANLLSQGGEGRWRLKWRWLRYFNNDRDRRDLITCGASSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTATVVVVLRGFIEVCRAGRCGMFGEGGLILFDVSDVTVRYHVGDLLPVTIVGVLGGVLGALYNHVLHKVLRLYNLINARGRLAKLALALSVCVFTSAGLYVLPFAVPCTPCDPAFGEACPSTGKSGNFKQFNCPPGYYNDLASLLHATNVDATRNIFSTGTAGEFRLDSLFIFLAIYVVLGLFTFGIAVPSGLFLPIILMGSAYGRITALLLRRWARIDHGLYAVLGAAALMSGSMRMTVSLCVIFLELTNNLLLLPITMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLEPKPEPWMKDLAVGELAAAKPRAVSLQVVEKVSTVVETLRNTCHNGFPVLDRPRPGVSELHGLVLRSHLVAVLRKRWFLPEKRRTEEWEARERFSSTDLAEKAGTIDDVELTPEEMDMYIDLHPFTNTTPYTVVETMSVAKAVVLFRTCALRHMLIIPKFQGPEIPPIMGILTRQDLRAHNILGAFPHLANKRKTH >Et_8B_059699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20808122:20811358:-1 gene:Et_8B_059699 transcript:Et_8B_059699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAALFRPPPSLGSPLVGAGSITRRNSQGTRRRPPFIVSMSQTHHHHQDNKAPRFSSLRAEHTPAPTPVLGGFLSSTTNAWTGQKKKKNARDLAVPRAQLTGGFMDIVALAQDAFRGSSSGGQKSIITRASFDRLVEQVMDVVALAQYEIQRLGYLTFGSRDYMLSMVSQFVSYFLLELIYREALTIVHSGVWIGTLKRCGTDLTKLAKEGKLDPVVGRQDQIEQVVQILSRRSKNNPCLIGDPGVGKTAIVEGLAQLIATGNVPQTLKGKRVIVIDMAGLLHGTVYRGQFETRIKNLLREVKRSGNVILFIDEVHTIVGAGRLSESAIDVANIFKPALARGELQCIGATTTDEYKKHIEKDPALERRFGPVKIPEPTVEETTGILKGLGERYEKHHKVQYSDESLRAAAELSDKYISDRFLPDKAIDLIDQAGSLVSLRHAQQQKPPMNVEDLEAELNRVIKEKGDAVRSENYKRAKELRDRELELKSLIDKSKEMSNDEVNNPAGAVVTEEDIRHIVSTWTGVPVQKLSIDETNKLLNMEDTLHQRVIGQDAAVTSISRAIRRARAGLNDQCRPVGSFIFAGPTGVGKTELAKALAAFYYGSEDAMVRFDMSEFMDKHTVSRLIGPPPGYREHEEGGQLTEAVRRRPHTVILFDEIEKAHPDVFNVMLQVLDDGRLTDGKGRTVNFTNTLIIMTSNIGSGVVVNNGHGSGISYDRIKDLVGEEMKRHFRPEFLNRLDEVIVFKQLTKVEIKEVATIMLNHVADRVRKKGIELQVTEDFKELVVEKGFDPSYGVRPLKRAILRLLEDTLADKMLAGEIKAGDSVTVDVDSTGNVVLKHHEDQE >Et_9B_065598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7698688:7703697:-1 gene:Et_9B_065598 transcript:Et_9B_065598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCAVCADTLEWVAYGPCGHREVCSTCVVRLRFVLEDKHCCICKTLCPSVFVTKALGDYTRVITDFSVFPSGVNEGKAGDFWYHEDTQAYFDDGDHYRMIRAMCRLSCSVCDNAEDQAALSAQPKRRSKFRSIEQLKGHLYHLHRLYMCNLCLEGRKVFICEQKLYTRSQLTQHMKTGDSEVDGSEVERSGFSGHPMCDYCKIPLYGDNELYTHMSREHYSCHICQRLHPGQYDYFRNYDDLEMHFRSDHFLCEDEACLAKKFIRHNAMEHGGRMSRAQRNAALQIPTSFIFRDRRSEEDQRRGRGRGRNAHRDGSDSQISSSGRNGSATMNDGPSSRVDNVTGSLQSLSVQSSSGRAETGQSSRTGRVLEQLSFPPLSDPDIPDTRADSVPDEASFPSLSEQQSRYALALSQSARGAARLGDDSLFPPLPGSSNSRGASTQQGLQSLAKSTLAARLQKQQGNVKVLHTARPRPPENPELFPPVSSSTQMWPTPDQGLLSGSSQLRIGTRTTRENGSVPAVSSNSAWNPGGPNKMKHSVSTPNLVSDVRAANKSLVERMRAALGMDEDRYSAFKEIAGEYRQGIIDTSEYLSYVEQFGLSHLVPEMASLLPDPQKQRELLDAYYTNIRFRSVQENGGSGTSSKEGNRKKKGKGKAPDVSSESNAAKDVKDALADNFLDSVRRLQSNQKAQEVEAEVLPKDGYRPSKAILLSAGSSSITEDNVSKGGANSSSNNNKQPKKTSKFLRARLGDNSLATLDLSRPNASPEQPEREPQGLQNGLPVRGAWKNGGGQKLFSSNGRK >Et_2B_020784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23644550:23650618:1 gene:Et_2B_020784 transcript:Et_2B_020784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPFLPRKFPLIPVGDPSGEVVELGSSVTNFKQGDKVIAISFSRSTRWRQRRLQWHVRPRCPRRKAPRSSSWRPRGSATSTAPAAPKNVLVTEASGGVGHYAVQLAKLAELHVTATCGARNLGFVRGLGADEALDYKTPEGARLQSPSGRNIWIHLFHFNLFISIMLHRRWDKGGLQENRATFPMPEVLFSPE >Et_3A_023320.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:20615884:20616396:-1 gene:Et_3A_023320 transcript:Et_3A_023320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRSLSARRTAATPARARPPRRPRASSSTRSATGTRRRRGGSGSPPAAPTAARRARRLWSSRRTPRASNSAGRRRVSPCRSSSRCCPCRWGTAPPTGSAARSPPAPRQARSSAWWTACRRCPSTRTGPTRPAARPATVCSCAWRQPRRRSTGERRVRQRRPAGTRPCRIAL >Et_2B_020603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21782364:21790931:1 gene:Et_2B_020603 transcript:Et_2B_020603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CRTSIPAGARISSATSICSRAKRLCSYAGCLLYSLDMLTVHICIILLQKPLFGELLGNISLKMEEASKAMKNAPQRFLDAVVDSTFKFTDQAPHPSESNFAPVLDEISEAIEIDQIEGVIPEDFPEGVYIRNGSNPLFGALHSTASIFGASREIWVEGEGMLHAIYFTKNVSGTCSVSYANRYVQSDTFKIEQARQKPCFLPAIEGDSPAIIAAYIFNYLRFGKVNKDISNTNVFEHADRVYAVAENHLPQEICIENLQTGKAWDIGGEWDRPFTAHPKVAPGSGELVIFGTDAKRPFLVIGVVSADGTKLKHRVDLKLDRCTLCHDIGVTLRYNIIIDLPLTIDISRLVRGGQLIEFEKGSNARIGIMPRYGDAESVIWFNVVVRGLRSQESIIPGPRYAPNNDSEGSEVIEDEKFTKQGISTEYFSCLYQWILNLKTKAVSGEYLTGTVFSLEFPMINTQYTGMQHNYAYAQVVDSLTSLNPKYGGFAKLCFDKRNNTEVSESDLIETKYHWLGENLFCSGAAFVPRVGGSCEDDGWIISFVHNEKTNTSQVYIIDAKRFEDAPVARITLPQRVPYGFHGTFIHRHR >Et_4B_036604.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9375594:9376034:-1 gene:Et_4B_036604 transcript:Et_4B_036604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLCHQQGKVLDNLLYETIRDGVAAETSVLLNLHDAMHAEAQACLAPLRHAQHMGIPRIVSNNLLMALKSDPYDFAPGGWLFREARDLLFQDFLVQAICHCNRECNFCAHELARPSLNGHPDKPHVWFDPLPNFVISLLGRDFAQ >Et_7A_052396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7644850:7646370:1 gene:Et_7A_052396 transcript:Et_7A_052396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVRTLKGNTLSFEVGVHDTVTNLKLAVEQKEGIPPQEQRLIFAGKQLEDGRTLADYDIQKESTLHLVLRLRGGVTVTQFLRLARHVTCRLPSTRSYHTTAAASGSTVLGYLYHPEGMAEKQPGRPLRQGRFRLAYEAVRGSPVVTVPPLKSFDTVDSAYGLIIMQRSTAESLPHIHVFDPVQKWKVEIAKLPRDAITSGHVKVGEEILPRIDGDVGKIQLVGAFVLSRDGHTKDFQIGYICIEDGKSPGTLAPRLWIATLKGDDMTWSSLARSDVVFQLQPSFHDLFATASSDEMTSSHRHWSKIEINRSCVRAENLLVWHLPQENFALALDSETLMFSKMSMPQKTPSPDQLNSISEIIRADLDNTFQIDPRRYRIGETANGEICAGTIFRDNLQIFMRDKEHWVHNRDILLVSSLQRTYAAGQWWKAKLTDIVDGKAYLSAGYNRRVSYDIEKGYVRKL >Et_3B_028352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1471200:1474968:1 gene:Et_3B_028352 transcript:Et_3B_028352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPGPGAPAYDGGAGSFTRQQQSYSFSGRVLLTAVVILAILTIVFVLIRLLLFQFVLRGRGGLAAGVRRSFSSFGRSGRHGLDAAALAALPVTSYRKDAAAGDDGGSTSGGAAGGATECAVCLSELADGEKVRALPSCGHVFHVECVDAWLRSRTTCPVCRAEVAPKGRGGAAAPAVFGAGGTTSALPGNATGCCSAPTLLAAAATSFFFCLLFVLFFLCLRFVLLHRHWRRSRALALHQHQHQPQQRPKLGLDAAAIAALPSFPYPGEGAAGGGADVESSTQAECAVCLDVLDEGQMARLLPACMHVFHQECVDVWLASRASCPVCRTKAEPGRADDERAAASTASAWHHRQRPGILTPRGPFGFGRRLEAAWCDGAAPAYREFFFSFSFFCEGGVQRVAVILREAKHGGNWQRPS >Et_3B_030469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:419647:424346:-1 gene:Et_3B_030469 transcript:Et_3B_030469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPSPSSAPGADGCGSGDAWPFDTLTTSLLFSSVSTSPTLPPLPANSSSWLTPPSPLWLFDDRQLIPIEAPAAPEAAVAAAVVEEVQRARSGNSDTTSKRVEQINHKWQLHLSLDGDGTDNSSLFKERLTQALRYFKDSTDQHLLVQVWAPVKNGDRYVLTTSGQPFVLDHQSIGLLQYRAVSMMYMFSVDGDNAGELGLPGRVYKQKVPEWTPNVQYYSSNEYPRLNHAISYNVHGTVALPVFDPSAQSCIAVVELIMTSKKINYACEVDKVCKALEAVNLKSTEIFDHPNVQICNEGRQTALVEILEILTVVCEEHKLPLAQTWVPCKYRSVLAHGGGLKKSCLSFDGSCMGEVCMSTSDVAFHVIDAHMWGFRDACVEHHLQRGQGVPGKAFISRKPCFSKDIRQFCKLEYPLVHYARMFGLAGCFAICLQSSYTGHDDYILEFFLPPDCIDENDQNALLESILTRMKRCLRSLKVVGDRDLNGVSLQLGNVLKIENDEFKKDVQYDNSEGCLRESPEGDTRGRVHDFDTETKRVSNMPEGHILADDQSLDNGTSATRPNGSSASDSLLHKTNKPPERRRGKAEKTISLDVLQQYFSGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKQVIESVQGSDAAFNLTSITGPLPIPVGPSSDSLNLEKVTQSKVAELSNPTIDGDRDSCLQKSLENDGHFGILMPQQGFMDNNNDAQLEADKASHSRSSSGEGSINSRTSEGSCQGSPANQTFVCKPIASTFAEPQINPEEFTKEPFQEPQLPLSRMLIEDSGSSKDLKNLFTSAADQPIFATPSNLRSMKHSGTVTIKASFKEDIVRFRFPCSGSVTVLKDEVAKRLRMDVGMFDIKYLDDDHEWVKLACNADLEECMEISRHSGSHVIRLLVSDIAAHLGSSCGSSG >Et_7B_053440.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:19621539:19621679:1 gene:Et_7B_053440 transcript:Et_7B_053440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKKQDYAQFRLQEWWPAFIGHLFSACLLSVCLCIHLYIAMLGGK >Et_4B_039947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6569647:6574599:-1 gene:Et_4B_039947 transcript:Et_4B_039947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYRCVPSALAAPEPNAPSSKRLKSEQVQAAAPETGKAEARGPSKAKHNLAKRAQSSSKAEDGGEWPADKLADKELPEKFGALRGVKARSPDKHKHDVHSANAWKADNEYGLPFRPKGNRYVPSFLRQNFVDANEAKPTASSEDLAKKNSTNLLLKNVSAEVDGDFLLRSFSQFGPIASLKIHKAKTETQKVKNGNRANIAFMKREDARVAMLTMQDGVIYDKVLKIEWSAPLGGNLPEHAMCSPPPGLMAVRNKVEYLWSFSIKCPSKLNRIKFETMLKELRYDEGQIREAVKFSLDNVESAHESTSSKAGENLCTVMVIEEEDLTRMEQKPKLQSVEVLYHLGALAGNAARRFIAKRSSWLKPKLLYVEVL >Et_1A_004763.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:38831842:38832593:1 gene:Et_1A_004763 transcript:Et_1A_004763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELRRSSSNKIRDIVRLQQLLKKWKRLALTPKADKSSSCHGVPKGFFAVCVGEEMKRFVIPTEYLGHWAFEQLLREAEEEFGFQHQGALRIPCDVEVFEGILRLVGRKEAVVCYSTSEHDILCR >Et_2A_018114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11576211:11578625:-1 gene:Et_2A_018114 transcript:Et_2A_018114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFHPQCVTKHAAEGWESTPCWGAQPTTSPTPPTRRFSSPSQPSPTPNVLHTMASASAIVGMLLMMLWMTFLPRPAQGSSDASRGPASWGSPVSSHSSSHSLQLVMLRVPVYTFFASAAAFLCVVTQLPDVLAGRREGLKALGDAPVEFLGVPSGQGNPGAEHPEEDALCKAMVDVGSGTPAEALRGQLALPPVYCVGPLVGSGGTSATGRHDAWLDAQPSRSVVFICFGSRGTHSVEQLRQIAAGLDKSGHRFLWAMRRPPNTDDSIDALLPDGFLDRTKGRGVVVESWASTGAFVTHCGWNSTLEACVGPLHAEQMFNKMLVVDDIGVGVEMEGYTTGFVEAEEVEAKVRLVMEESGEGRELRARVAARHNEAEAALGAFAQFLADAENLGSSWALLLLAGYAMHDALVYISMVLVFMELVSELNKGTYFGKARAEAS >Et_6B_050184.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:9408621:9409046:-1 gene:Et_6B_050184 transcript:Et_6B_050184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNDYHVIDITPGTKVDDGDQARAKWLRKLTSASVNTAVLNDLISRTPMLRYIGERYSSILRPRTRAARVDAHRGLAFDDDAKLPFLRYQCVLDAGRYVAALAAERGSLRDVFAADDGDVAALALLDDEDRFLEMLLLDS >Et_5B_043198.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:17129462:17129611:1 gene:Et_5B_043198 transcript:Et_5B_043198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRWRSRWRRGAGWCGSSCTTRTPPTRPATRTTRRMRRRSACRLQRRRA >Et_4A_035578.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:304011:304886:-1 gene:Et_4A_035578 transcript:Et_4A_035578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLVVVVVAAVVGGALCKMGDKPGPNITASYSGKWQDAMVGGALCKMGDKPGPNITASYSGKWQDAMATFYGSDPRGAAPDDNGGACGYKDVDKAPFDGMTGCGNEPIFKDGLGCGSCYELRCKAPVECSGEPIQIKITDKNYEHIAPYHFDLSGKAFGAMAKKGLEDKLRKAGELQLQFRRVKCKLPSGTKITFHVEKGSSEMYLPLLVKFVAGDGNIVAVDIKPKGSDQFLPMKVSWGAIWRIDPKKPLKGPFSIRLTTESGGHLVQDDVIPADWKPNTVYTSKLQF >Et_5A_042567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20595265:20601623:-1 gene:Et_5A_042567 transcript:Et_5A_042567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFAHAKFGGAATAAGSNSSQGKGPGAAADVAQKIVKQVEYQFSDINLVANEFLLKIMNKDTEGYVPLSVIASWKKIKSLGATNQMLVKALRTSTKLIVSDDGKKSRMIIVENLPEDSSRNSLEKIFGVIGSVKNIKICHPQEPSSARASKSDTLVSNKMHALVEYETSQQAEKAVEKLNDERNWRKGLRVRTVLRRSPKSMMRLKRPDFDHFVGSDDDSPHSQMSSDSPTPDYSPEAHHEDHQNGSKKGWARGRGKLHVMAPHSPQSAPAGMVGHFDPSSPRATSQKCPSSPRQASQKCPFSPRQPPQGPRMPDGTRGFTMGRGKPPVSPTSRAVTAPSPERLVAQHEDQIVAIASSTSVRTVL >Et_5A_041069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17686705:17690277:-1 gene:Et_5A_041069 transcript:Et_5A_041069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVVEAKCDYLPHPLKSRYFGQLISIHAANVTEGLLFRDAFLLAYFWLDGLLKHALKQHLPVPTRLERLQYRQHRLALEFRLQQPAHLHGECSLADATKANDGEYTPLADWPGSGPGPPCRRPGGVQDRPPRVDSETRVALADGDGTGAEGPDFAGEAAAREESLEQVFEPGTGAVAGAALDAEQVQVDAVLGIVCHLAQLLHPHPDEVVYGAVFSLGVHAEEGVHGVQIVAQLSDLAADAAELPILHSQQLPDIVYEGVGRLLHARSRVDATVWSSGIASC >Et_7A_050448.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15877679:15877792:-1 gene:Et_7A_050448 transcript:Et_7A_050448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQAPRALGRHDGHTPPRKSRLHLLPRRRGWRSDSP >Et_3A_026004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34550142:34550932:1 gene:Et_3A_026004 transcript:Et_3A_026004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFKADKMKQRLQSLSPRGLSCSSAASTSVAAAIDRHSPRLRDPHRSSSTSMKLKNTPPQPQEPSSPASSSRFLLNSSRLLQQQSDVTILDTLPPPPASFIEQDLFAPVITEQAAESSSTLDGISKAAMMARSCSTRSQHQVVVLKVSLHCKGCAGKVKKHISKMQGVTSFDIDIDIATKKVTVMGDVTPLGVLNCISKVKSAQFWPASSSAASPPRASASF >Et_5A_042422.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:146363:147706:1 gene:Et_5A_042422 transcript:Et_5A_042422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIVKEVGSYLKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQIKEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPSGLTTEVKSVEMHHEAMQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTAQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSELLTKIDRRSGKELESAPKFLKNGDAGFVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Et_7A_052063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4196053:4196897:-1 gene:Et_7A_052063 transcript:Et_7A_052063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRISRRNHQVIDMINGGIPHLPHVTFLTVRCISFEMHSFGFDLEYILTQCSSLKYLCMQCDVIKVSVHIKSVSTLLQFSSLFLTKSHAYIFNFHSRTMIRNLIPCVITQTTGNPVSLTHLQDVELWGLKGTNCDLWFMQLLFASTTKLKEVNVVHAEEYER >Et_2B_019521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:76:983:-1 gene:Et_2B_019521 transcript:Et_2B_019521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAILLPVPAAPPSATAPLRRRHGHLSSSSRGVYARPPPARVHRVSAAAAASAIPASDNWGNWTFLLTTAAVGIWSEKSTAVGKALSGALVSVLLGLAASSAGVVAADAPAYGVVLEYLLPLAIPLLLFSADLRRVLRSTGDLLRAFLLGSLATTIGTVVAFVLVPMRSLGQDNWKIAAALMSRHIGGAVNYVAVAEALEVSPSVQAAGLAADNIICALYFSSLFALAAKIPAEDSRPT >Et_1A_005416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10134071:10137721:-1 gene:Et_1A_005416 transcript:Et_1A_005416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFNPFGGKVQNGLEGRTIDVGNVKITVRNVIAEGGFSCVYLACDAVHVSKQFALKHIICNDSESLDLVMKEIKVMDLLKGHPNVVTLVAHDVFDMGRTKEALLVMEFCEKSLVSAIESRGNGYYEERKALLIFRDVCNAVFAMHGQSPPIAHRDLKAENVLLGLDGAWKICDFGSTSTNHKCFDKPEEMGIEEDIIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQILNGNYRIPEQPKYSTAVTGLIKDMLEASPNARPDITQARALIDWTFISMMLVFRLILNSVHFQVWFRVNELLPLELQKNLPDGTSSAVSMSSRDEGAYIRTPVMPRRNPPPPPKEQSNNSSHRTSKAGDAPLGAFWATQHAQSSHAADNKNPLFDEEPIKLSPSTKQGQSRVDISTGTPGDRRGHSGQAVRISTPSNSMSNNGVESQSSLKIKAQQPHAKPKSEKDPFNSFVADFDTNNLYTGTSAAGKASDLELEVSSLKEQLKKTTLEKEEMTAKYEKLSAICRSQRQEIQELKRTLAETTPPSNKVGSRTHDSISQRKEKIEGTVWELEQGMLASNSSVPSSEAKTWQAFPEPKAQARPKVDHSTNGRQNLTKNTNASPSPDMWGFGADNFRTSPSSAPSAAAQISRPTAKGSGSSSQRFSTAAAKKAEQPSGWAGF >Et_10B_002543.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:14971685:14972623:1 gene:Et_10B_002543 transcript:Et_10B_002543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVINLDEQKVLPKHVRFKGDNGKYLGIHNRNFLKFGWTDSGDDMVIHSIHTNPDGTMIRIKSEKVGMFWRLVNNCWIAADCDDNTSRNNQQDTWFQVVRLGGNMIALKNVGNKKFAKRLTNDDAEECLNAWGDSIAECARLQLEEAVVTRKIYGVEYDLAAARVYDHKALVMATAHSVNNSSTPTTAKLNLKYSLATTSSWSKSTTESWGVKASINVGLPKLLSSIGVELDINIEGHYDHSYTEEYGGSHTRTEEQSVDYDMTVPPKTKMTLQAIATQASCDIPYSYYQKYILTNGDTVVASWMMVSSME >Et_7A_053167.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:8761433:8762041:1 gene:Et_7A_053167 transcript:Et_7A_053167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPKYTKYETRRRDPNPRAAALLVIDVQGYFASLAARAMPAIASTVALCRAAGMPVVYTRHADPSPPGGRTGPLAEWWPGDRIAAGTPAAELLPGAGREDGDLVVEKSTYSAFAGSTGLEDALRGMGADEVVVAGVMTNLCCETTARDAFVRGFRVFFSADATATATRDLHEATLANMAYGFAYIVDCERLEAALGKPK >Et_7A_052797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16640074:16642325:-1 gene:Et_7A_052797 transcript:Et_7A_052797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAPAPETMTNAVVPTHCDGHEPGVRLQPGHPGVCRAAARHPRHPPNRSATTSRATGEFKDAGGKRWLDFEIGHEVFTLGDGGDCERTDDPPHAIGPGRPICTRDAIYAGSVPCAVRLGRSSGITGLFDGCGKLEYVDLSSNNFVGELWPGVARFRLLSVAKNRLTGSIPPATFAEGCKLESLGVSSNQLVGKFPDSISKCANLTYLSLWENGFTGAIPVGMGELAVLYTLILGRNMFDRQIPSRLTNCTKLQFLDLSSNMFGGDVQDIFGRLPSLRHLMLHHNNYTGGLVASGVLQLPLLARLDLSFNEFTGELPAEVADMKGLHVGIPSAYGWLAELQALDLSYNKLTGAIPVGVGNLSSLLWLMLAGNQLSGEIPPEIGNCASLLWLNIAGNQLTGKIPPEMARIGRDPAPTFAKNRNDPSVLAGSGECQAMKRWIPASYPPFSFVYSIMTWENCRNIWDRILKGYGVAPIRTNHSSPMRSETTSGYVQLSGNQFSGEIPPQIGAMRNLSLLLLDDNRLTGRLPPEFGWLPLLVLNVSRNNISGAIPLEICSMLSLEMMDLSYNNFSGELPRSLIRLTELNNFNVSYNPLLSGTFPTTGQFGTFDELSFLGDPLISLRRDASKQPPPGAYSDDVISSGMSRRKIMMRFLLGFIIVASLLLPPVGFIAYFAFTVPHLHDQPARPVFRRP >Et_2B_021042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25965124:25970040:-1 gene:Et_2B_021042 transcript:Et_2B_021042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YLLYGPLVAKVAHAWRETGSLPLDSWCLHILVLLALRSLTFQLWFSYGNMLFFTRRRRVVKDGVDFRQIDAEWDWDNTMIMQTLIAAMVINNPLFPGVTELRAWDPRGWAVALLLHVSISEPAFYWAHRALHRGPLFSQYHAMHHSSPVTQSLTAGFGTPLESLILTLAMAAPLAGAFLAGAGSVSLVYGHVLLFDYLRCMGYSNVEVISHKVFAAFPPLRYLIYTPTYLSLHHREKDCNFCLFMPLFDALGGTLNSKSWELQKEIDQGKNDRVPDFVFLAHVVDVTSSMHVPFGFRSCSSLPFSTHLVLLPLWPLAFAFMILQWFCSKTYTVSFYCLRGRLHQTWTVPRYGFQYFIPSAKKGINRQIELAILRADKMGVKVLSLAALNKNEALNGGGTLFVGKHPDLRVRVVHGNTLTAAVILNEIPSNVKEVFLTGATSKLGRAIALYLCRKKIRVLMLTLSTERFLKIQREAPAEFQPYLVQVTKYQAAQNCKTWIVGKWLSPREQRWAPPATHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVEGLGSCEYTMERGVVHACHAGGVVHCLEGWDHHEVGAIDVDRIDVVWKAALKHGLTPA >Et_5B_045738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8507858:8512958:-1 gene:Et_5B_045738 transcript:Et_5B_045738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRNEDADAALLGVTEFLRGKKQRDVSVLAPKQPLRHLSRSHLDTQEELLYLREQGVVVDEEVVPGQSLERLPAAVAHVDVHVHASRLEQRRVETVLVVGGEDDDALLAARGPQPVDEVEEPRQSYIDTLLGLHNIQECIEIVLSADLLLDQNHKMQCSHNDTVAGSKQK >Et_3A_023730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11356238:11377833:-1 gene:Et_3A_023730 transcript:Et_3A_023730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTSEDLIDRLIASCPSLADLTLESCSTMTGVSVLDKRLRRFALQCCHYVESVTLDASELRILDYRGAVPEGTLFTLHGSHRVDSGTIDFCGPNRSTVKGLNGFRMLMENVVDAKHLHLNTSWLGCKIESNFFYYIPALSRLHKLELTGCLAAYSITRVLKQTPNLEVLSLVLWHDPDYYAVDITGVPAVLCLQKRLKEINLVGYQGTYAHRVLVRLLLGNALVLQALSVGFYEKLLGQKTTAMDEIKQWGTRLSIGQNWRNGGMDRLSDLPDDILGHILSYLPTTEEAGRAAVLSKRWRYIFAHVHTLLFNDDDPGARYENNITFELDSEERRSPNGDFLDQVNAALLCRLRCAGLSHNTSLRAFRVAIDQYEDWDEEMLYVWVSYVLQQSRQELHLDLRLHYYWLCQRGEGQSEDSGCYNHRSYIYSRDEERGWDGCPAIAEVSVLDKRLRRFALRCCHGVTSVNLDASELHFLDYRGAVPTRSLFEMLLENFVEAKHLHLNSSRLGSGSESVFFNCFPALSCLHKLELTGFLVGNTITMVLQQTPNLEVLSLALWHDAEYNPVAILDAPAVLCLQQRLKQINLVYYQGTDAQRMLAQLLLGNAMVLQALSVVFHEDWSGLQTTLMDEIKQWVRPVIEGTRPSMGPKQRNGGVDRLSDLPDDILGHILSYLPTPEEAGRAAVLSTWWRYIFAHVHTLSFNDDDPGGRYEDNYTFELESEELRSPNGDFLDRVNAALLCRLRCAGLSRNTSLRAFRVAIDRYEYWDEEMVYMWVSHALQQSRQELHLDLRLNAYYLCQRGGGQSQDKRFRARSDEYWCKGWDFSFPRSLFNSTALRSLRVSRCILNPPEAIRLPSLETLHLTAVADSEDAIQRLISSCPRLAHLTLESCSTMNNVSVLDKRLRRFALQCCHGATSVNLDASELRFLDYRGPVPPRSLFTFRGSRKIVPSCAIDFCGPNLSGKDELAGFRTLLESFVEAKHLHLNSSWLGSTTESIFFNCFPPLSCLHKLELTGYFAGNSIARVLQQTPNLEVLSLVLCNAPEYGPVAIPDAPAVLWRLQQRLKEINLMYYQGTDTQRMLVQLLLDNALVLQALSVVFHKAWMGMQTALMDEIKQWVKRQRERDRDRLSALPDATLIRVLSHLATDEAARTSVLSRRWRRFKWAYKPLCFEQKVTCALLGRDPTAPIRALRLDAFNPTPDVLDQWVVMALASGAEELHVKLRCEEYSRLRLCPFGPYVESSADFDDGDRGRYIRTHPHIFRSATLRRLSLTRWTLEFPGDVSYMSLETLALRRIMGRGKALQRLISSCPRLLSLFFLTEQRGARCRRATRLLSLTLEECPGVKKLTVASACLHSFTGVCCHKARRVVLDSRRLRSLRYKGGLPRRQSFLSVANHTALAELTIDICEGIDDKEEGDVAPITELVGMCTNLTFLHLALRPAMAYHSNFFTSILSQLPRLTQLELKGCLGSDPSVRSVAILLQNTPSLEALSLFPLLPDCPKKKLSYDFFEDLDNELRYDKDDKDADYTSQVHVPKGLWTAQTCFKHRLRKIGFVGYRGRPFERMLAKFLLSKVEALEELSVSIAPGHSAYRNEIATELASWLFNRHTRVTCV >Et_3A_025073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26667361:26672943:-1 gene:Et_3A_025073 transcript:Et_3A_025073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQFWPLNKVTDGEHWNAENLAGRLRIVIHAAFLYTGFCPHGAPAATRRSLSSRYSLPQPAHHRDGAISPAGDGATSRPCRLTPSPVVVTADDDERRTRFHDADLAAALSGDLDETSRDLRTPGSAARRLWQLLAYELCQGLFLHVCRTNGVPVAGFASLPRDLVAVDILAKLDSDRDLACAECASKEMRALVAERDECLWKHKFEGTRCCSLCRHVFSNRSWKQMYKKARWCRFVRAACWPTFALLCAEESMERQRGTPPPMLPCSVSMMMMLTTLPEVTAEPAAAPPVATVLTADNSLLSVAHRTPTKGKKASDTGGGTEPAPFTHHHPDTDGGIANVYTGHSSGYTLDHMPWLLRSEDHGLRVKQRCHITRPHPKTGVQKCHNTKAKVERKEKAKSTGSLIGAFYQKRTARHLINNTSERLSFSLTCSSFSRLLRLALNRPPGILAPFPAQNVDGRLLRISSFTPLLFEMNGVPLLAKVLPSASRSLTNKGKSSQVSGCLEMLLTNTATSSVTPTASPSPSSSSWDPNGRNDVAILENSATALAFDSIEMPVLTLPPISARSLRIPAIRGD >Et_1B_009891.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9119149:9119427:1 gene:Et_1B_009891 transcript:Et_1B_009891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLWLIWEERNNRIFNDKHKSMSNLVSEIRDEAGCWIMAGAKRLAGLVGSQISE >Et_10B_003896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7337223:7339766:1 gene:Et_10B_003896 transcript:Et_10B_003896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAMQLSKRAQEKAVEKRVIMKNTWSKGRQFSPATAFQGHRISFAYLCDGDDDGGIGIDNFKVLFLCLLDRCVGVLSSDGTWRKTSIDGTAPKMIFLGVTEASLYCYIGGNTVVAVDRRRSTDVSCFELPMTTGHGGLGAQLLQSNREDRIVFAEKDGTIKVFARTADGVVGAGEDH >Et_6B_050105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6374644:6377907:1 gene:Et_6B_050105 transcript:Et_6B_050105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLALFTLASLAGRSSGAKTTTHLRFYLHDIITALPGLPATAVRVTRGTTPLPADPRFSFGDMFVIDDPLTEGSTRRRRPSGAPRTELALMLSFNAVFTAGPHNGSTVAVTPTPELGIPVCNTG >Et_8A_057821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7817064:7820247:-1 gene:Et_8A_057821 transcript:Et_8A_057821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADATLPPPAPAPARPILARLARSRFAAAAHDVVISSFLASLWVHFGAGVASAVASLACGSDDCRAEDVALQVMNVAGISFALLAPVVVALLLLLVHGLRSDTKLEEEKAPAPSFASVAWEQLCECDPVVIGFLVSWAFILLMVAGGLLMVYSPIKSRKERIGNLIFDLGSLALNALNFFFIHPIVALRAWRFRREIRPPSGMLGARLLKWKKLIVVLMLISSRNGMVEMQQKDFIPSQLEFLKPVFFISGLTTY >Et_7B_053554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:93598:100405:1 gene:Et_7B_053554 transcript:Et_7B_053554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPFDICDDLDGDDDESTTAIPTDPCRNPTAPTPNGLNDRLLRLTRTRPQNPNPNPPPPQGAAAEEETKKVKLAGRRRLCKLSNSAVAAHEELEDDVDSIRDILDDLTSCFDSLSVNKPNTTWPTSKQLAPLPCALTRDPDDDDGNASSSPLQVSSSDEASTLISKDAKVKPAEPTSVFTSHQTRQEVHDKGLTKNWGKYGGPKASSFVDSDADDSDYGDGEEVEGTATLYAAKHVRRKASTRGVPKVSKCRTYDDNDLEKENPAVADNDAEDVGWQKTEDFTMEPTGSAASSKPYKLPGRIFKMLYPHQREGLQWLWVLHCRGTGGILGDDMGLGKTMQVSAFLAGLFHSRLVKRVLVVAPKTLLAHWTKELSVVGLQEKIKDYYAPSTNIRNYELQYAFKEGGVLLTTYDIVRNNYKLIRGNSYNNCDDDEEETMWNYVILDEGHLIKNNKTQRAQSLFEIPCVHRIVISGTPIQNNLKEMWALFNFCCPDVLGDKQQFKTRYEMAILRGNDKNATAREKHIGSNVAKELRERIKPYFLRRMKSEVFLDSNASEEKALAKKNELIVWLKLTACQRQLYEAFLKSELVHLALQPKGSPLAAITILKKICDHPLLLTKRAAEGVLEGMDEMLNDQDKGMVEKMAMNLADMANDDDALQVAEDVSCKLIFIMSLLRNLIEEGHHVLIFSQTRKMLNLIQEAILLEGYKFLRIDGTTKISERERIVKDFQEGPGAPIFLLTTQVGGLGLTLTKAARVIVVDPAWNPSTDNQSVDRAYRIGQTKDVIVYRLMTSATIEEKIYKLQVFKGALFRTATEQKEQTRYFSKSEIQELFSLPKQGFDVSLTHNQLQEEHGQQVIMDESLRKHIRFLEKQGIAGVSHHSLLFSKTAILPTLSENDALDSKSRAMPMMSQHYYKGSSSDYVANGAAFAFKPKDEQFTARRYSPVNRNAESPEEIKARINRLSQNLSNVALVSKLPDGGERIRKLISELDEKLTVIESSAEKEQKGATEVICLDDLSC >Et_3A_026540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9486122:9487346:1 gene:Et_3A_026540 transcript:Et_3A_026540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTCFGPLRQRLLVIVRVIEIWIACARNTMGRKKTNLQWIAKESTPVKKASELATLCDVKVCAVVYGENEAQPKVCPSPKAIHMLLATRPESEQWKRAMTYEEFLCAITSPSLRSMSPSGFTGVGSKEFIDLREMVERKMKKLMECHAEPWRQAGNPPKAGSALP >Et_5B_044208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21542914:21548594:1 gene:Et_5B_044208 transcript:Et_5B_044208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTDVQQSVRSLDARGGMPNLFHALGAALLISIGYIDLGKWVAAVEAGSRFGFDLVLLALLFNFTAIVFQYLAACIGTVTGKNLAEICHQEYSKPTCIFLGVQAGLSLLTSELTMIFGIALGFNLLFEYDDLITGICFATIVPNLLPYAISQLGKKMAGTVNACIAGFALLCYVLGLLVSQPQIPLTTNIIFPKLSGESAYSLMALLGANIMAHNFYIHSSVVQGQKKSSAVGLGALFHDHLFSILFIFTGIFLVNYVLVNSAAAESTNTLLLSFQDVVELMNQIFVNPMAPTIFLVVLLFSSHIISLTSAIGSQVISQHLFGIKLPILGHHILLKGFAIVPALYWAKVAGAEGIYQLLIICQIVQATLLPSSVIPLFRIASSRSIMGAHRVSLHLEILSFLAFLLMLFSNIIFVAEMLFGDSGWINNLKGNTGSPIVLPYNVLLLVACGSVAFSLYIAVTPLKSGSPEAESQEWSAPSQRELMNTPGREEAKVDNTTYEGDQRSDEPSPRALSDSNSKSVMEEYVDTSDTAVESDHESLQSNTYVSTVPEACPSPSFPEACPSPSFTPEESKSVVAVNWPEPLEVSTSTVIEESKGESVDSRSTTERDVLVEADVMAEKDKEDPHVLESEKLIVGSNPPCASDDGPPSLTCSRGKGSDAGNGNGSLSRLSGLGRAARRQLAAILDEFWGHLFDYHGKLTQEASTKKFDILLGLDLRAPISAARTDKQPTEVPKSPLLRDTMRGSTFMSSSRDLMSLKNDMSNLDMAYGLQRGTSMGSSNWSQGLQLPNTQMQGSSNSLLEQSARLNSNFGTASYSDNNQFYQPATIHGYQLASYLKQMNASRNPYSSMALDPQRLPKSAAPAAPTYADSMMHARNQNLLASLGATPQMAATSRMGSMMPEGPYYDPSALDGSESAGSSAYSKKYHSSPDISALIAASRTALLNEAKLGGATGPQSYLSRLASDRSQYGNSIARPAAPLAFDELSPPKLQSDIFLAQSSMNPTTRSLWAKQPFEQLFGVSSADLSKGDFNISGRSVGMKNDDFSYKESETKLLQSLRFCILKLLKLEGSGWLFKQNGGCDEDLIDRVAGAERLSLQATTENQLLQGDFQQPSSDQADIQYMRTLPNCGEDCVWRASLVVSFGVWCIRRVLYMSLVESRPELWGKYTYVLNRLQGILDPAFSKPRNALTICACLQKDIRVLSSPPRSGLPTTGAVPMPIRGAFTTAAMVLEMIKDVETAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLASKGQ >Et_1B_010892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15097410:15101139:1 gene:Et_1B_010892 transcript:Et_1B_010892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIDRQHVLLRHLDPAASPAPSVISASACAAGDSAAYHRRPAFADDVVIVAAYRTAICKAKRGGFKDTFAEDLLVPVFKALVDKTKLNPSEVGDIVVGTVLAPGSQRAIECRMAALYAGFPDTVPLKTVNRQCSSGLQAVADVAAAIKAGLYDIGIAAGLESMTVNRVTLEGQVNPKVELFSQARDCLLPMGFTSENVAHRFGITRLEQDQAAVESHRKAAAAAAAGKFKEEIVPVHTKIVDPKTGEEKEIVVSADDGIRADTSLAVLSKLKPAFSKDGSTTAGNASQVSDGAGAVLLMRRDVAMQKGLPVLGVFRSFAAVGVDPAVMGIGPAVAIPAAVKAAGLQIVDIDLFEINEAFASQYVYCCKKLELDPAKVNVNGGAIALGHPLGATGARCVSTLLNEMKRRGKDSRFGVISMCIGSGMGAAAVFERGDAVDELTNARGIPSHNYLSKDVISTKQDLHHEGQYVTMSLKS >Et_3B_027447.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:1810781:1811574:-1 gene:Et_3B_027447 transcript:Et_3B_027447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGKRGRAAGREKAKVRFPSAPPRRRGAADLTPQERRAAAVHLFMDLAISDAEQYNDMTEEEVVEEYRRAGKLHRYDPDKEWQKRLARVARKCPPPEGLIPEIDDYLKLLEDDEKDDQVDKSDRENK >Et_2B_020370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19383815:19384618:-1 gene:Et_2B_020370 transcript:Et_2B_020370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSTCSQELKIRFLVKKLLVQGFAWKETAEAVMNHYTETTDASYIERNESAILWHYQDDNLGLGPRQAKKLFYHLEKVLAREPVSVTRGRVREMVEVNPLGVTKGAAVEGLISAMAPRGDAPDFVLCVGEDESMFQALAGAADDDKAPLLLPAGARMSSPASSGGRRARRRSTSTSPRTRSACSMRYWPHAPRAHGILSVGSWGYLRSSVLRTNRTDRYVLPIVAL >Et_4A_035919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8564743:8566714:1 gene:Et_4A_035919 transcript:Et_4A_035919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAALPLPDDALASILARLPPRTLAASRCVCKAWRAVVDALGLLLPHVLPNEVRGIFINFMDYSCPLFFARPSPSFPRICRDLKFDCIIDHCNGLLLCGYGLWQNHYVVNPATRRWDRVPQPRTETAAEDYVPYLVFDPAVSPHYEVFLVPLVPEKPKPVNPNDIPPTQFNLEGFFSDDTLCTEDTEGEEDEECIQEPVESPPPASVQVGYFQTKRLSLSEKWKLGELLEDTYGSMEWPASPCILHVFSSSTRRWEERTFVREGEAMGTVEDMRLNSMHPMYWGQDGTMAYTGKKHFMCTAVVWILNESRGQTEWALKCHIDLGRSAALEAAGRLSDYHGTVAGPWILRDPYTDNIMLPEEQSEWDSDDDDNTLRCEAVAEECRKIMSDHYEWDFIGFHPFKEVVFLMVSFGGVAYHLNTSKVQWLGKSLPKNYYYHSLYQGVRLALNYSNIPHHVT >Et_10B_003206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17632012:17637708:-1 gene:Et_10B_003206 transcript:Et_10B_003206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWSVGLSSVIRCGYKAVAGMQMDAETVQEAPALASFLQNSPTRTPTIQSFYDFCPPFSLMYYCVSHTPLTHYCVTIAHHKKPQVSKYYYKKRASIQGRNDKDVADQDSRIEPRSPLSRQRVTAFGILTCHTGAFYEIDHEKLPPKSPIHLKSIRVVKVSQCTNLDVTVTFPSLLALRSFFSAYQTSGSGPELDERFVMSSNHAARILRRRVAEEELEREIHLDSFWFVKPCLYNFGASQQAPPSPASQSPVLPAAKQATATGSCLLTTLKCDGTGWGVRRRVRYIGRHRDAPKESSVDGYDTEASVREEEQHPVTQEIRRSPRNCKRKREAEGRSPGKNRDERNKKKKIQGRSKKSPKKEKKRTVEAKDGEPRRGKDRWSAERYAAAEKSLLNIMRSSGARFGAPVMRAVLREEARKHIGDTGLLDHLLKHMAGRVPEGSTHRFRRRHNADGAMEYWLEPAELADIRQEAGVSDPYWVPPPGWKPGDDVSSVAGDLLVKRQVEELAEEVDGVKRHMEQLLGKDGKFDAERCYSSLKEKYQSVVRANEKLEKQVLSLKGKYKNVVQMNGELKNEVLSIKDKYEHIVDKSDKLEEQITYLSSSFLSFKDQLPLLLKMEATHQVELSPSAGDQEAHPRTTLYTASGDQMTQPADGTVVKGGPDSSARKSSFRVCKPQGTFLWPSMLSGITTSGGASTNCPRPGLPRSTSHPSSTGLPASSRAPFEVMVTPPGPDEHIMLGALTTPPSASSTTTAAKLQLSLPSPRSPLQPQQLFATTASAGFSSSPAMHSFSGLTLGHVDSSSSLPTPCGVSLLEGKRAMFDADAGRISLVGTELALATPSYC >Et_1B_013643.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10566476:10567081:-1 gene:Et_1B_013643 transcript:Et_1B_013643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARYLEVVLRQDVEYFDLKAGSTSEVITSVSNDSLVVQDALAEKLPNLLMNATMFVGSYVMGFALLWRLTLVALPSVLLLLIPGVMYGRILIGLARRIRGQYEHPGAIAEQAVSSARTVYSFVAEKSTMARFSAALEESVQLGLKQGLAKGVAIGSNGITFAIWAFNLWYGSRLVMSHGYPGGTVHAVSSIIVQGGLCVA >Et_7A_050253.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15688020:15688367:1 gene:Et_7A_050253 transcript:Et_7A_050253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCVLDTTVCWYASSVTAAPTQPIRNPLRFRSMLPPLLASSLSLRSSSCSDAIARVCAGHEGMEVAEGELKPRSQRL >Et_3A_023169.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:34210424:34211950:1 gene:Et_3A_023169 transcript:Et_3A_023169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRPIWPSLLVPSIPQLNSNARVACPLHVHRIHPPLLGSSSPPTREMPPLSSPKPRLALTAMTSSQSQADVEFPSLVSDLTSLLLHSPAASSGAAAPVFCSSSLSVPAAPKAGNTTTTPTPLARAAIGACAGAAAGAFTYAALLPLDAVKTRLQAAAAPGATSWQVFLDILRADGPLGLYRGLSAVILGSASSSAIYFGTCELAKSLLRPHLPPFLVPPLAGASGNVSSSAIMVPKELITQRLQSGAAKGRSWQVLLQIIQTDGFFGLYAGYAATLLRNLPAGVLSYSSFEYLKAFTLNKGNKESLTPGESVLCGALAGAISAALTTPLDVVKTRLMTRVGTEGSRTVLGTMREVVAEEGLMGLSRGIGPRVLHSACFAAIGYCAFETARLAILQWYIEGCERKAAEEAKAGVAAA >Et_4A_033811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27036134:27037526:1 gene:Et_4A_033811 transcript:Et_4A_033811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGIGNLWVPAGGDDDDAGEAFTIVRLPSHVHATNKSLYEPRLVSVGPYHLGSASTRGMQGHKWRFLRDFLQRRSSGAAGPVGLAACVREVRAMEARARRCYGEPLAIGSDEFVQMLLLDGCFVVEFLLKWGADSKNDAAELDAYMQGVWVYVYYDLLLAENQIPFFVIAKLFDLAVGNPDAAKADDADDKRLLDLTFNFFSLHEPLCKVVPAPCRLTVHHLLHLQYQRMVSTPEKRGAGRLPRRRSRSPSTRARVMRDSTTKTPLGIPCVTELQQFGFDVTFRGGTVEIPRLAINAGARILLALEQGAGDWKEGIVTSYVVLMNALVNTADDVAVLQRRGILDNMLSNDEAAAAFFNRLGGCALFDPTAHHYARLFKDANEYCYYRLNRYVAVLKRDHLRTPCTIINLVVAATLLCVSVMSAAFVICRFRHACS >Et_9B_066067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2329808:2331582:-1 gene:Et_9B_066067 transcript:Et_9B_066067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPSDGEVRIQKVEKIELVYNLLTKPSVYGKPIGANTKHPTVSHDVSNGKKSGNIGWGSAQKNTGWGIVSVEDINKRSERQGCVYVVMHGIVDKGEINRIKLHFAPPLRALLYDFAMIPYFRKV >Et_1A_007850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38840407:38848095:-1 gene:Et_1A_007850 transcript:Et_1A_007850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNGAVSGVVSRKVLPACGGLCYLCPSLRARSRQPVKRYKKILADIFPATQEEEPNERRIGKLCEYVAKNPHRVPKITTYLEQRCYKELRHERYGFAKVVALIYRKLLVSCKEQIPLLASSLLNIIKTLLDQSRHDGMSIIGCETLFDFVVAQEDGTYQFNLEELVPRLCELAQVVNEEKVDALHAAALQSLSAMIWFMGELSHISSEFDNVVQVVLESYKPQKTQNVNRGTEGPGGQWVEEVLKAEGHVSPSLFTFSNIPSWKSIVSDKGGVHFPMEDAKDPYFWSRICVHNMAKLSREATTFRRVMESLFSHFDNTNSWSSQNGLALCVLLDMQILMENSGKNINLMISILVKHLEHKAVLKQPEMQLSIVEVITALAERSRAKASAATIGAISDLVKHMKRTLHVTLGSRDLEVVKWNDKLRKGVDECIIQLSKKVGDAGPVLDMMSVMLENVSRTPLVAVATTSAVYRTAQIIASIPNLSYKNKVFPEALFHQLLLAMIHPDHETRVAAHRIFSVVLVPSSVSPFPHLTSPDQRGKHDIERTLSRTVSVFSSSAALFDKLRRDKSSFRENIHEGSINRNLHSINDETATPKDLPCSQSLKHSFRVPSASQKYPSTSLKEGRNSLTESHNEIESTLLRLSSHQATLLLSSIWAQAISPKNTPQNYEAIAHTYSLLLLFLGSKTSTFEALAPSFQVAFSLMSYSLGGTDSLPPSRCRSLFTLAISMIVFASRAFNVPALLPICKSMLNDRTMDPFLHLLHENKLRVKDYHEDPSRTYESSEDNQNALKSLSAVELTERQSRESMVFMIMNSISDLPDSELENIKSQLLSDFSPDDMCPTSAHLFESPGKNAVSGFDDDAHQQEAELTKDTFAEVCETTPTATDVPVLRRNLLGIDELLQTVFTDDVSQNGRTSVSVAPDIPFKEMTSHCEALLMEKHQKMSVLMSFKHNKQVAVVPNNQTNTTEVVYTSNKQNTNPFLQQTLDGPQSITVGESHVAVDFQQPFLKLPASSPYDHFLKAAGC >Et_6B_049498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5759738:5760972:-1 gene:Et_6B_049498 transcript:Et_6B_049498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATLVATTVTRSVGTCFLFDEMPMDDEDMGAAPATAGGEGINALPDRILAHILGILPALPCPSSSSLTASSWRPWRRSRLQRFAIVWGPPRKRASLDSCDLSVGSDMWHNRNTRRCLNTFLWHDVAQAEFDFSHSVDLFPGIPTPHEARALQRCPALESLVFERCVFWTTAKTISCRSLKHLNMTRCNFSLFSRIRILAPNLVSLRLKSVNGVAPMLDSMQSLVDAYVKTG >Et_1B_011014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16486767:16492828:-1 gene:Et_1B_011014 transcript:Et_1B_011014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREIPRVKLGTQGLEVSKLGFGGMGLSGIYNAPVPEEAGIAIIKHAFEAGITFFDTADVYGPPTNEVLLGKLPRDKVQVATKCGIAAFDASGVQVKGTPDYVRACCEASLQRLAVDYIDLYYQHRIDQSMPIEETMGEFKKLVEEGKVKYIGLSEASADTIRRAHAIHPVSAVQLEFSLWTRDIGEEIIPVCRELGIGIVPYSPLGRGFFGGRGIVESIPSESLLPMDPRYTGENLMKNKILYKRLEMLSGKYGCTPAQLALSWVLHQREDVVPIPGRKCYSFLRAEADPEGAPSTMVVREGCGRIGAMAGPMTSRWGGAAAHLRDVDCVWMDGSAAALGGRSKMESGGCFVLGRPDSGSAGLIRSWATWAQPRPCEM >Et_3A_024952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25671755:25676421:1 gene:Et_3A_024952 transcript:Et_3A_024952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDKFTHKTNEALAAAHEMASDAGHAQLTPLHLAAALAADKSGVLRQAIAHASGGNDAAAADSFERVVAAVLKRMPSQSPPPDTVPASTALVKVLRRAQSAQKSRGDSHLAVDQLLVGLLEDPQVSDALKEAGVSASRVKAEVDKLRGGDNRRVESASGDASFQALKTYGRDLVEVAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLLDVRLVALDMGALVAGAKYRGEFEERLKAVLKEVEEAQGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVPDTVSILRGLKEKYEGHHGVRIQDRALVVAAQLSSRYIMGRHLPDKAIDLVDEACANVRVQLDSQPEAIDNLERKRIQLEVELHALEKEKDKASKARLIEVTKELDDLRDKLQPLQMKYRKEKERIDEIRKLKQRREEMQFSIQEAERRMDLARVADIKYGALQEIDAAIAKLESETGENLMLTETVGPDQIAEVVSRWTGIPVTRLGQNEKERLVGLADRLHQRVVGQQEAVDAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGNNSMKAARDLVMLEVRKHFRPELLNRLDEIVIFDPLSHEQLRKVARLQMKDVAVRLAERGVALAVTDAALDVILSLSYDPVYGARPIRRWIEKRVVTELSKMLIKEEIDENSTVYIDATQNKEELTYRVERNGGCPISCCWVSELEACIELRSVAEHCNFHTDGSAVEAVGQAIGEPHARNLLERPGVQHERIPITLDGAHNGYDNSCTNLGS >Et_7A_050772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10948643:10953539:1 gene:Et_7A_050772 transcript:Et_7A_050772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGMQGGVVICTMGRTILVSGFNLTDSADHVKDLLERVAGTGTIFALKLRPPKKVTATSKAFAIVQFDTEKSASLVESAVQRNSGLQIVTLCQDQKLQCCLVKENVLHVLWSAKEVSVKFGFDMKKIQFYLIYDFIKYKLELSYESIWEMKLHRPPTHRFRTKFLLIQVQAVPKIYEVLPCFSTLMYDDPTYNYFREDTDDQWTRTIHFTPSASIGQSSILCLEVPQQCDLPNIGDYFFHYKEYNHDFECHKGYSYSCCTRIVPVVKSPNNIDVPYEILFKINNMVQIGILSGPALDADFFRLVSPQFTPIDHINRALLKMSELKSSCLNPTNWLSAHYSNTWNLRYSFSKSPQISLGDGLVYVHRVQVTPAKVYFYGPEINVSNRVVRKYLPDIDNFLRVSFVDEDCDKLRSVDLSPRSVSGNNSRTALYYRVLSVLSDGITIGDKHFEFLAFSSSQLRDNSTLMFSSREGLTASDIREWMGDFHNIRNVAKYAARLGQSFSSSTETLKVQEYEVENIPDITNGTRYVFSDGIGKIAADFAMEVAMKCKVKRFTPSAFQIRYGGYKGVVAVDPRSNRKLSLRDSMLKFKSENVTLDVLAYSKYQPSFLNRQLITLLSTLGVSDNVFESKQEEAVMQLNRMVTEPQAAIEAIELMPMGEITSVVKELLLCGYQPNYEPYLSMLLQTFRASKLLELKTKSRIFIPSGRAMIGCLDETRTLKYGQVFIQASSSANEHSKFVVTGQVVVAKNPCLHPGDVRVLQAVDVPLLHHMFDSVVFPQQGLRQADVLFISYLVRPLLSKLFSNWLPHPNECSGSDLDGDIYFVSWDQSLIPTRMVAPMDYSPAPTETLDHDVMIKEIEEYFTNYIVNESLGIIANAHVVFADKEYLRAESAPCIELAKLFSIAVDFPKTGVPAVIPHELHYFTEDVARRSYDTDLIVGGYENYITEAMECKEEYDFKLGNLMDHYGIKSEAEIISGCILKMSKIFTKSSDADAIKMAVRSLRKEARSWFSEMTTDGHDDVDAMASAWYHVTYHPDYFGRCNGGADRPHLISFPWCVYDWLLSIKQRRRRT >Et_3B_031059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1350939:1352652:1 gene:Et_3B_031059 transcript:Et_3B_031059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKILKQIIIGTMPPHKIETGHQDVVHDIAMDYYGKRLATASSDNTIKIIGVSGTSHQQLATLSGHQGPVWQVAWAHPKFGSMLASCSYDGRVIIWKEGSKPEEWAQAHTFVEHKSSVNSIAWAPHELGLCLACGSSDGNISVFSARSDGGWDTTRIDQAHPVGVTSVSWAPAMAPGALISSGSSGQFEYVQKLASGGCDNTVKVWKLNNGSWRMDCFPALQMHKDWVRDIAWAPNLGLPKSTIASASQDGTVVIWTAAKEGEQWEGRVLYDFRTPVWRLSWSLTGNILAVSDGNNNVTLWKEAVDGEWQQVTTVETLTS >Et_3A_024466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21010554:21012789:1 gene:Et_3A_024466 transcript:Et_3A_024466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPADPPRRVVICGGGVVGSCTAYFLATHTAAPTVPTVFEKCAPACAASGKAGGFLALDWGEFTPDLRGLARASFALHRRLAATLDGAAAYGFRTVRTLSLSVPETGPGSPHPLLPAWVDAAASAAPPRELSTPDTTAQVHPGLFTKAVLAASGADVVIGEVERVVVRDGRVAGVAVKGRDGVVDADAVVLALGPWTSRLDVARDLGVSALKGHSIVLRPRKPEKITPHALFFSYQPEPGAKMLSPEVYPRPTGEVYISGINKDEDAPDDPTTITVEPDAIAMLHKIAGKVSSRLKTEEGAEVVAEQVCLRPWTTDRLPIIGELPGVKGCYVATGHGRWGILNAPATGAALTELILDGKAKTVDLAPFSPGRFLNRHPSPNTASSEI >Et_3A_027065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31444850:31447998:-1 gene:Et_3A_027065 transcript:Et_3A_027065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSVLNLDITSVGATVMDNITEFLGRGVTCQLISSTVVDPNNGNRGKVGTEASLEQWLTTLPSLTSGENKFGVTFDWDVEKMGIPGAIIVKNNHASEFFLKTITLDHVPGHGKIVFVANSWVYPQFKYRYNRVFFSNDTYLPSQMPAALKPYRDDELRNLRGDDQQGPYQAHERVYRYDVYNDLGEPDSGKPRPTLGGSKDHPYPRRGRTGRKFTITDPEAERRLTVLDEDVYVPRDERFGHVKQSDFLGYSIKALVDAILPAIQTYVDLSPGEFDSLEDILKLYEGGIKLPNIPALEDLRKRFPLQLVKDLIPSFGGSGDVLLKLPMPKIIKEDRRGWMTDDEFAREILAGVNPMIIRRLTEFPPRSTLDPSKFGDHTSTITEEHIQKFLEGLTVQEVLDSNRLYILDHHDHYMPFLIEINSLDDNFIYATRTLLFLRGDGTLTPVAIELSLPELRDGVTAAKSEVYTPKTGNGAEAWVWHLAKAYVNVNDYCWHQGVSHWLNTHAVMEPFVIATNRNLSVTHPVNKLLLPHYRDTMNINALARQKLINAGGIFELTVFPRKYALEITSKVYGSWNLNEQALPDDLIKRGMAVEDPSSPYKVRLMIEDYPYASDGLAIWNAIEQWVTEYLAIYYPNDGVLQADEELQAWWKEVREVGHGDLKDEPWWPSMQTVPELVKACATIIWIASALHAAVNFGQYPYCGYHPNRPSVSRRPMPVQGTPEYEELERDPEKVFVRTITCQFQAIVGITLLEILSSHSSDEVYLGQHDTPEWTSDAKAQEAFRRFGARLTDIEKRVVAMNEDPQFKNRNGPAFPYMLLYPNTSDKKGEAAGLTAKGIPNSISI >Et_6A_046972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23465520:23468107:1 gene:Et_6A_046972 transcript:Et_6A_046972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHVLPGTLFLLVGLWRTWSSVSRYAAEPSSFRVRAWNPVGGSSSPLRLLELYVIAGGAFADMCVEVLYSTHLRIFADGEVNPAHLNDLEHGGMLLMFFLFGALALLSQKTRYLPLSEGVLCLVAATAFTAEFVLFYFHSTTHMGLEGYYHYLLVVLVGLCIAATVLGALLPASFPVDLASGVLIALQGLWFYQTAFTLYGPMLPAGCARDADGHIECRNHAAQERSEQLANFQMFGLVFLAFVYVLGCYAVAAARHGHPDLTTTHAEHVAALESRGDRDADAGVRAQE >Et_9B_064038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10341627:10349446:-1 gene:Et_9B_064038 transcript:Et_9B_064038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIQTAPVQLLTLRTEATVSSRSSVVRKAQAFHRPVREATAGRPRRSTEPSLVNTSCLASSSSMGVPTYLCNLTVNLVVSGWSWNEKEDDSENNPPSTPGSPGFSTDRLPPNTTSRGATDPSSYSDDDGEAEVDPHVLPEDDDPAAAPAPDEEEEEGEDLFNDNYLDDYRRMEEHDQYESVGLDDSLEDERNIDEIIADRRAAEAELDARDVRTGAAADRKLPRMLDDLDTDEDMNFRRPKRHRASFRPPSGPRTPRSDDDGDGATPSSPGRSQRGGYSGGDVPMTDQTDDDPYEDEFDEEDEMNMYRVQGTLREWVTRDEVRRFIAKKFKEFLLTYVNPKNDQGEFEYVRLINEMVLANKCSLEIDYKQFIYIHPNIAIWLADAPQSVLEVMEEVAKNVVFDLHKNYRNIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCGKCGTVLGPFFQNSYTEVKVGSCPECQSKGPFTTIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVVEANYVAKKQDLFSAYKLTDEDKAEIEKLSKDPRIGERIVKSIAPSIYGHEDIKTAIALAMFGGQEKNVKGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGHRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKTFTQNVELTDPIISRFDVLCVVKDIVDPFTDEMLARFVVDSHARSQPKGANLEDRVASDVDDDPLAAARQADPDVLSQDTLKKYITYAKLNVFPKIHDADLDKISHVYAELRRESSHGQGVPIAVRHIESIIRMSEAHARMHLRSYVSQEDVDMAIRVLLDSFISTQKFGVQKALQKNFRKYMTFKKDYNELLLLLLRTLVKDALHFEEIVSGSTSRLTHVEVKVEDLKNKAQEYEIYDLKPFFSSAHFRDNSFILDEGRAIIRHPLAAQ >Et_3A_024685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23278016:23279236:1 gene:Et_3A_024685 transcript:Et_3A_024685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKGSVKRGPWSPEEDELLRSYVHAHGTGGNWIALPHKAGLNRCGKSCRLRWLNYLRPDIRHGGYTEQEDRIICSLYNSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKALAQCASMHPSPASASSAVTTASISGGDVACSFGAMYSSPSTLQQQAPPLARYVDGAVPAAPQQQQHAPSSLAEFSPAGVGSNGWATGGGGMALEDMFLPELLGAGEFLSSSGDLVFGGGFGPPLLQDRAASSLQELSACYFPNAQAEMWAAAADIKPPPGLCHSLT >Et_10B_002396.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17253980:17254276:-1 gene:Et_10B_002396 transcript:Et_10B_002396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKPPAFDLYLPLQSMVVTLHVSISIQWNTHLPPDDMLLQAQQRFGSKIFREVFMAACWTPWGHRNRIICYNGVLSFDAWKRSFRTEVARVSHRVKR >Et_6B_049872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1604995:1605931:-1 gene:Et_6B_049872 transcript:Et_6B_049872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELDNNLVAMGNCLVIQDSRKEIKIMSMDSSKVLKMPSQSSLKVQESSLLPVKASAAVADPGAVQVKLVVSKQELKKMLDKEGMSLDDMVSLMRKEASYCEAEQECYGGWRPVLESIPEGSDL >Et_1A_008573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8925597:8927084:-1 gene:Et_1A_008573 transcript:Et_1A_008573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYDMRAPSRAAESVMHGSLGVAVAFAVAVVLALAQVSHAEIRTTPVVSDPRPIILFEQFGFARGGKATLSISRAAWRLRPDSRLASVDSSLMGFVLISGAQFPKINNATEYADADPSGRGGGFCVLTSEHALPVLRLNDVPPGGAESTVSIDEPGEYAVVFSNCQDGVEVTMDVGTEMYNVRDPDGTRDYLPVGLQPLPAIYAAVSAVYAAFLAGWVWRCVRQRATAERIHAVMGALLLFKALKTACAAEDAWFVERTGEPHGWDVAFYVFGFFKGVLLFTVIVLIGTGWSFLKPYLQERDKSVLMIVIPLQVIENLVLVVIGETGPTGRDWIVWNQLFLLVDVICCCAIFFPIIWSIRSLREASKTDGKAALNLKKLTLFKRFYIVVVGYLYFTRIIVSAFLAVLNYRYKWGVNVAVEAASCAFYLFVFYNFQPGERNPYLYIGEEEEEAAGGELEMDNGAF >Et_1A_006951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29356555:29358033:-1 gene:Et_1A_006951 transcript:Et_1A_006951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLKTDTVPWHKTWPERLLHDGGELALLGEVHLVSGHLLPLELLDHVGDEVLEVQHGDVEPGADPPSHAERHHPDPLGPRHVDAHAVAAVQEPLRHELHGPVPLLLVPAHLRHHEVHRRALGDQVPADVHVLRRLVRQHEVARRVLAQALEDHGLEVRRVMKRLLADLAAVARGLDLGVELLLDGRVLDELGHDPLQPGGRGVGAGVEELGAERDDLVVGVHVRVLERGLPRRRPAFLHNPLVLAARRDQRHEELRLAPPQCVRVAEPPAEEALGDGREEEEDAQLPGDVEQPGALGVLDGAHGRLVEPLAEAHEHEQAEHGVLERLHHVAAVVAPAAGLGLPELRREDAAHPGARGGKEADARRVQRLGHEVAAEEAPRGAVAGAGDDVVAGAEEGARGGARPVRQRGAGAHQRRVREAAVRHEDGEARPDPERHDGPVLLEQAQEEGLDVGGRVTQPQKVAEQRHGRWAGWKAP >Et_1A_004831.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:10738471:10738698:-1 gene:Et_1A_004831 transcript:Et_1A_004831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQVVDALGVPIDGKGALSDHERRRVEVKAPRIIERKSVHEPMQTGLLAVDSLLPIGRGQRELIIAGKRLHLMA >Et_3A_025241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28128848:28132050:-1 gene:Et_3A_025241 transcript:Et_3A_025241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAMAFQALTLAPLPLPTARRRRVRVLSVAADHTPPPPPASPSEPANSPSRLLRELAQRKKAVSPKKKHPPRRFILKPPLDDERLTRRFLNSPQLSLKSLPLLSSCLPSAPLSAADKTWMDEYLLEAKQALGYPLAPSETLGEGDDCPARHFDVLLYLAFQHLDPSSERTRTRHVRNGHSRLWFLGQFVLELAFCEFFLQRYPRESPGPMRERVFALIGKKVLPKWLKAASLHNLVFPYDDVDRMIRKDREPPSKAVFWALFGAIYLCFGMPEVYRVLFEAFGMDPDDESCQPKLRRQLEDVDYVSVEFEKRQLTWQDVAAYRPPPDALFAHPRLFRACVPPGMHRFRGNIWDFDNRPKVMGTLGYPLPMNDRIPEITEARNVELGLGLQLCFMHPSKHKFEHPRFCFERLEYVGQKIQDLVMAERLLMKHLDAPGRWLAEKHRRMLMNKYCGRYLRDKHLHHYIIYGESVQDRFEHNRRLRNPSTTAVQQAIHGLAYCVYGKPDVRRLMFEVFDFEQVQPKAV >Et_6A_047980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2744539:2747580:-1 gene:Et_6A_047980 transcript:Et_6A_047980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLNIAWNDFFHEIGQAQFKNPMLRGNHGDSIRASKKSRRTARHVVMAQPLEKSKEVKRWMRHAVVCHDNLLMVRWSIPSGKRSLSTVSKATEGVQLRVFQADLEMGRWLEVEDLGDQALFIGPGCSKALRLTGDDQRFQGNRTTTYEKL >Et_10A_001499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4102791:4115492:1 gene:Et_10A_001499 transcript:Et_10A_001499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDLAAHRRTPGRASASEAGNDENAPAGDAPGAVARAAAAAPDAASRPPLLAIQAPTSGVKRKPESPAPTPSKLPFRTPEKAAARGRVSKPANGAGTSGSRPPMSGGPRGAGLGLGFSASGRGAPLSLVPATTVNSVEVPHFELREDPSFWMENNVQVVIRVRPLNNNEKNMNNYNRCLKQESAQRITWIGQPETRFTFDHVACETVDQEVLFRVAGLPMVENCMAGYNSCVFAYGQTGSGKTYTMLGEISDLEVRPSPHRGMTPRIFEFLFARIRAEEESRRDENLKYSCKCSFLEIYNEQITDLLDPSSSNLPLREDIRKGVYVENLTEFEVGCVGDIIKLLMQGSANRRVAATNMNRESSRSHSVFTCIIESRWEKDSTSNLRFARLNLVDLAGSERQRTSGAEGERLKEAVNINKSLSTLGLVIMSLVDLTHGKQRHIPYRDSRLTFLLQDSLGGNSKTMIIANVSPSVCSANETLSTLKFAQRARLIQNNAVVNEDASGDVLALQHQIRLLKEELAVLKRQHVTRSLSFTTDIFERSGGDVDDGSENMSVNAKNDEDAHYRCSLQLKSLEEALAGALRRESIAENTIKQLEIEIEQLNRLVTQREDDARSAKMMVKFRDETIRNNEALIRGKLSAESYLLEENKSLLKEIELLRTRVDKNPEVTRFALENIRLSNQLKRSQHFENEGERELLLNEISELRNQVSQTLQGRTDSETEQQNNFSANFEDSQLHCSALATDAEILPMEMEDCSDEGLMRIEELLNLLVESDILKTVLAEERTARVEVEERSASLRDKLQAANLHILQAREQSEAMERELTLAKSEIEGLESHRIMAMEVSRLNNELDIYRRKEYMVIEDSKVQLLKCSSNEDSPLQRKLKRMQASLEKARDLNTRYQRDQASHNSAEQEMEQVRMQVELETAEVIMCLQEEQLSLQQQLEASNKSELLAKQSLDELQLEGKRLNDKLVEVLKENENFSSVIKAKEREIELLTNDWDRLADDIGSYLVNGNAALAEASDQVASISESFSQRKWVEDQVQKMRRGISERDRLLEDLQNKLKEADGIRCDMELKLKSLRGAMQAINEVHQQEKCYQERELCLLRSQVTEQGSVNNQQVQQIHKIELLLDESLETLIQKVVLEQSYVSLQRGMKEEICQLETQLDQSKGHFAQLLSQTQDKDHAIEKLKNEECTVLLRLMSDVLKAKGIIQNLGVGFNELQSINVSPEETVCQNSDLTFEDRDDPKTFEDFQTSEQINSEVLCRLNKEMDYVVQQLQMMQSQMTTFLQEKENVKEFYCKSQRSMKDLSDEVLKLNSDIIEKERCYKSRLEELEMKVQEKDDASAASVISWNNEKEALVEANENAKFEAEKYKVKEALFIAEKDDMLNEINSLKVQLDMKEQKYELIVKKFQSSMFEANELALELHDDIRHLQTLLSEKLDFVSSDVQWMKTELQQFAELTRTCLEENWLAIIGKDCAISVLHLCHMGILFERITGLNAENGFLQRGLCESNSVITKLREHNDKAKNELEMCSALKGKLLLDINHSFSRIAKKEQEATELNTRLDSFGKKILHSQAQEEALVARSNSMDSELCILIKDIDAMNRSVSAAESKEKEELQHQLNEAWLLSEMLKEKMLDEFNLLQISESKSSNDIDGCSEFEYCSWLADYRTDLMITTILAKDIESTVLALELRQQKQKLQKQGVVFTDVLEGLKAEATLSKVDQDLKMIELCSLNEENCKIKNDLEILKLKNVEAMEILHAVNKENTKLKYEIASLESSIISFQSYLDIKDKAFTELEFSHETMCREIIARDNNLSHENEMLKQKMLDSLCTEHQMLELMAKIETDRLFLTIDESFQLVIDHVQNYISEQINMVIKLSNELDIIQRSAEELSTQNSLLRSELIRKDELAKGLSFDLSLLQESASVAKDQADELTVAKKSLEQALASKSLELDAAVSDRQLLEGQIRKGHEKVTALEDELNIVSMQNDELKSKLEHIEGISRTMEEELADKVEAIGRLEEELIELRSLIEERNNNFQSLQNDLSKILDDKQCCEAQVLILQENLEMAQARAEESEAIATESRQIAEERKVYAEEKDEEVKLLERSIEELESTVEKIEEEAKRHRMLQEELEVELEKVRQQMLAVPPSGKSWRSLEDGVVDLAGSSRHQRDVHNELLASQESIRILQKEVFEKEYEISQCKAHIAELNIHAEAAAQEYKQKQMNSEKDEELSAAKQRIMELEGLAASRQKEVSFLLFYQRALLLCLQIFMLNAKLATTESMTHDVIRDMLTVKMSMTTCAALVDNQQKMETKESFVTRAHESKEVSFTKQFFCDLSSFWDLLPSNELMNLKKQLDEFIEERQSWLDDINQKQSELGTARITVEKLRQREHFMVAEIDLLKAENSNYKTIILNLEDEVKKLTRQQNLQLRINHHVKTKEENALLKRQNEELSTKLKQLGANLARTKEELARYRVSNGKNPYEQIEEEELLRKKLDESEQDRSRLAGNLSGLCASILKVAGVQNPESDSSLLKASECLNELQYRIGSLQSEVEDLKLKCKLFHEKARLSELRSDSSSWSSGTKEHSASPSLCRSPSISSFP >Et_8B_060836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8824047:8824879:-1 gene:Et_8B_060836 transcript:Et_8B_060836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMVGYSSKFPRRIHHRGSSIPSIKVLPRKLTCNEGYSSWYPTSDPNPNIHVGAIVGGPDVSRPSSLLVKWAIKVVNPRTSQRCPIHCSTHEKLPKPKIHCEN >Et_7A_050265.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16695205:16696397:1 gene:Et_7A_050265 transcript:Et_7A_050265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKASSFLKQMMSTIVAVMKAKSTVVRAKTSALKTRLLIFGILRNKKLLMTAINTKIHAIMGQQQQHQDNSNNSNGAGSSNDEEAVKNAIVLYSAPSYSFSTELGAHDAAAAAEEEEEEDSDEYLTHTLFAEEDDDELVRAPGSVIDVMRDAREREGGDGAEFRLEDEIDHVADVFIRRIHRQLKLQKLDSFKRFCEMLERGA >Et_6B_050047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4776159:4777879:1 gene:Et_6B_050047 transcript:Et_6B_050047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNILLLITILFAITLAAEATQVPKEWSTAKKSIGDMNNKMLDAFDGVNAAAPPEKKSEVERALVMQMLNVNFALNDAMKAGDEKKVLSMAHSYEIAADKVIAASPAEKFKTMEDTFNAVLCIDQPRHTQQDMRRKLQRFSFIHMKGANEVAAVVPTEIFEVKRRGEAFTAATADLDPTATISSSTFVSFFSRAHSPAASPHRRAATRRYTHGAPMYPRNTYPFVMVAGFGSWLYCERVSKSKQLSMAAGCMEEVSIYS >Et_8A_056829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17570913:17579204:-1 gene:Et_8A_056829 transcript:Et_8A_056829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMAVRPPGPWNLPLIGSLHHLVGSHPQVALRDLANKHGPVMFLRMGQLDTVVISSAAAAKEVLQEKDVIFASRPNLLSFDVFSYGHLDLVAAPYGQYWRMLRKLCMVELLSGKVVRQLAPVRDHETLSLVRKIQAASQRNEPVNLSKLLMTCSSAITAKAAFGQVCSPELLEQFLSAIEVLLKISGGFSYIDLFPSLEFLDVIVGARRQMWETRRELDAVFDKIIALCDAQRGDNLVSILLRIKDEGNTEFPLGLTNIRAIILDMFTGGTETTSTAAEWVMSELMRHPEAMAKAQAEVRRVFDNKPPEDHQGHLDELPYMRMVIKESMRLNPVVPLLVPHFCRETCDIGGFEIKEGTRVIVNAFALARNPEYWPDAEKFRPERFEDGMIDYKTTQFEYLPFGAGRRRCPGDTFGMASLELILARLLYYFDWTLPNGMQPDEFNMDTRNNEAEGQAPANGLAIYGNSHAKLIDALVYLFLRSSKFWSCTARKACARIISFGLYNSNVAIKF >Et_3A_027034.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30108173:30109576:1 gene:Et_3A_027034 transcript:Et_3A_027034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADVVALVTVVVVAVAAGADDGATVKLNPLVAGVAEAEGAVDAPVAETEANVGVEAADEPNEKAEIPAEELPAALVFENSEGADAACEVAKEKPVEGVDAGAGVVDVLFGNEKPEAEEAENREGAVLAAVVLAIEPKDGAVVAAFAGDEAVAVLKSGAEVVDPNSDEPVAAPNPRAGEEAVVEAVLDAAAPELREKPNAGVEAAPVVAVEPDVDAPKPKPVAAPEKRLGVDAAEVAAPPNRLGVVPAVEVDPNGLGVVAGEEVAPNKPAVAGADEVTPNRPGVVAGADVAPNRLGVVAGADVAPNRLGVVVAEPVAEAPKRPGELAAVEAAPNRLGVVPAEEVGLAPKGDGADATAVDCPNEKPVDPKPKAEGEEAVVAADAAADGAPNREEPKVGAEEAAADGAPKGEEPKAGAGEEPAAGCEKEKADGALEKEKGDGEEEPAAAEPPKLKEVAMAGAPPIWARF >Et_1A_006457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22629967:22630735:-1 gene:Et_1A_006457 transcript:Et_1A_006457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRHPVPAHPAESSGSVAGVDVASPGHAIQTPPPPSIPPPPAPAVESPAPTAATPQSEQWWPSDEVALLNALRLYRRRNGDALPRGVDLVMAVFGRLRHTNYSLADLEAKIEALNAEDDAKLCAGTGGPAAGHDVQLYTLSLDVWGAAVTTVAAPKPPPPPAVNPPAKKNPGRPCGRESVRAPKRRRYEEMRQRCPMLAGMVEELMKKAMEGVSDVAAWSMEMRMKNQQLAGGVAVARAEDRLKELTGLITRL >Et_8A_058349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5571951:5572675:1 gene:Et_8A_058349 transcript:Et_8A_058349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPEGRGKICGYIRFFNLSTGAFVRVRLPIFKDHCALDSINGILLLHRDKDTAIRLLHPFTSDVLELPPLDTLVPYLRSAALRPLPPLAAFQSDPAVEEPSVVLTTVSWSCCCNFVTELQWPSPSAEAVQQPASMAI >Et_9B_066184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:642038:643090:-1 gene:Et_9B_066184 transcript:Et_9B_066184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPCLPKPLPCLAFPDGTFFCLPHRESYQFPSTVSYHSSCDEWLVFLHDGKCSVKNPFSKITLTLPNLSCLCPIDEPVEIINGRVTRVNLEDEMPQEPLNMDAGMAIDKVIVCSELLVAAVVSIGPFKTVALCRPGAESWSVSRLGSRLGSKGNIRDMMLYEGKLYALDGFKDLLVIIVGEDDENGKLSISRIERLIETRTIFGKLPDDDDRTLTEPVGVKFDVFKADFYFSRWEDVTSVGDEWALFVSESYSRSVSVSQYNKLKGNCISFLDDGTPDWFWKSQPSSYIVYDMSDGDTYLPIPDGSFKGEKAPATWLFPH >Et_2A_015610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1625614:1627201:1 gene:Et_2A_015610 transcript:Et_2A_015610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEIERTFAVRTVRVHSVDLHSTEPWQGTFSSAARKILAEGGQPNRLTLVDNTHAQDDEGKSFKVSRNGSPVYCAKFVPNHCFAAAVDYVYINVYNCITGSRENQFQAHEGCIRCLSVHSSDPYVLSSSDDMLIKMWNYKKDWELVRTLRGHSDAVFQVLFNPMDTETFASASIDATVKVWTLDASDPKWTLSGHTGGVNCVDYTIGSDMRLYLITTSNDCTAKACFCYTL >Et_8A_056617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13672288:13676171:1 gene:Et_8A_056617 transcript:Et_8A_056617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLATASEGVMGAVADKLKALLRREYDLQSGVRDDVRFLQSELQRMHAFLLDYARCENPTALAKDRAREVQELAYDIEDAVDEFTHRVDGPAPVGIPDMVKHFVTTLMARRQIAEQLRGLRDRALEVSKRRKWYDISVPSDAPSPTLHLPPTVYAETATNLVGIDRPRNEVIGKLTSARTKHAYGRRRVASMVGFAGIGKTTLAMAVYRSFEAQFQCRAFVTVSRKFDIRRVLKEILHQVTITTGNSSPDSIMAGVETWEVSQLAVKLREILKDKRYLIIIDDLWKVSAWNDISRVLPENNLDSIVISTTRNESVANACCSRNHPGHFVHRVASLEYLDSRRLFLDRIFGSENNCPKEFEDVTLKILKKCDGLPLAIVCISSLLTATRQLVTKWEKVYNSLGNEIESHDALRRLKLALKVGYDDLPQDLKVCLLYLSLFPEDCKIERDRLTQRWIAEGFVFEKHGMSVQEVAESYFIELIERSMIQAVGIDCFGELHACRIHDVMLEVITMKSREQNFVTLIGDRGGTTTQQGSVRRLSLDCGTATDGLDWSSFNMPHIRSLTISGDIGNLDSIPEYRFLRALDFENCQGVSSSHLKNIGGLFLLKYLSLKNTWINELSPRIGELKCLETLDLSQTNVRDLPVEVTRLQRLVVLLAGRAELPQGVGNMRSLEILCIRAGSKSSKEAVKELLRLTNLRKFDITYVLRKGKKWSQNENPDTFLPSMISKLGNCKLQSLHLNLVGYSVGIFLQLQFHVSPAPQHLQSLRIRGDHGFQNVPMWIRSLIHLIDLELTVRILGEQDLEILAGLPGLVRFRLTLKEPSRKGIIIAGSGFPSLKELFISCRIMPLSFSQGVMMKLEKFELLFNAYQEDLKLLDHTIEHLQSLDNRDDLKEIQVTTVVRRGLTNREVEHLRKVFMRAGLLNCFLHE >Et_10A_000317.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22946931:22947029:-1 gene:Et_10A_000317 transcript:Et_10A_000317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIFEIIDEVSLWCSAGMKHLAALVIRRISE >Et_6B_049381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4144036:4145947:1 gene:Et_6B_049381 transcript:Et_6B_049381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEQPEDIGGEWFDSLVKVGFFQNPLECWDGSGVVYKMHDLIHDLTRYILQNEVLTSLPKYMNKDCTYKCRYLSLNSCSEMVDTSLFDKVRALHVSEGNQSFDKPVKKTCYTRSVILNYAIDTPFPIFILKLEYLGYLEIHNVSCTELPEAISGCRNLQSLHFIECNGFASLPKSVGKLKKLRTLELTRITDLESLPQSICGCHDLQLLQLRSCTKLREVPNSISKNENLRVLEILYCSNVQQLPSEFDGEFSNIHAINLASCRSLKFLPSALASPKLRTLNLSQTRVTTLPEWVTLTATLGCINLEGCNELVELPRGIGNLKRLEVLNINRCQNLHYMPSGIGQLTRLRRLDLFLVGCGQGQHDARISELKNLDMISGVNGDMTIRNLQYVKDPGDAEKARFEAKEEYTEFGIGLVFKWKRRVDNKENLAVLDALEPPSEINRLKINGYGDPHLPCWMRQNDSSYLRGIVITQISTPQFLCLTVLKLKKLPNLKHMQGILVFPSLKFLKLYTMPNLEELWTTSGSEIGLEELDEQYCFPVLSHLEIRDCAKLIVKPYFPPSLESLRLRDYNDQLLSPGSLLVNRFPPHADDPSSSSRVLAAVPHLKELELNRMTGSSYDWEFLQQLTGLESL >Et_1A_004877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13592129:13594282:1 gene:Et_1A_004877 transcript:Et_1A_004877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEPPAVIPCTLLIKKLCAAGRLADAERVLGASEAAGTADTVARNTLVAGYCRAGRLADAERKLASLAASGTADVVTYNTLVAGYCREGRLEDARRLVAAMPLAPNSYTNTTLLKGMCDAKRWDDAEELVAEMIRSDCPPNDLTFGMVIHSFCRNGLVDRAMAVLDQMPKCGCRPGVIIYRLVECAVEVFEKMPKYNCTPNIIIYSTLINGLSEQDRVDDALKVLNSMPCKADTICYSAALKGLCRAERWEDAGELVLEMIRNNCPPDEVTFSILISNLCQKGFVEYAVEVSRLMLKYECKPNIVVYSSLINGFFERNRVEDALKLLRSMPCAPDTICYSVALKGLCRAKRWEDVRELTAEIFRELCPLDEATFRILIDSLCQDGIVDLATVVFEQMSKYGFSPNSVIHNNALVHGLSEQGRVDEALKLSDNIMS >Et_9A_061295.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:8158078:8158392:1 gene:Et_9A_061295 transcript:Et_9A_061295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LNLIEYISWALVNLSGNEQLHCILVAAVYWATWVTRNSIVFDEFKLKEPNTIFHKTCAFLNFWACLSKTEEEKNQIRSGVGLLQKRAREIDNQGRSCGMDMITR >Et_7B_054584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2595619:2604996:1 gene:Et_7B_054584 transcript:Et_7B_054584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVSLRAQPRALVGVEKPRGAASARVPAMFAPQKQPTSRLVLGADPRRSTGPVRAARLKASCCQTTAGTERIHYSADEALILKQKATDVLPYLDGRCVYLVGMMGSGKTTVGKILAEVLGYSFFDSDKMVEKAVGMSSVAEIFQLRSEAFFRDNESEVLRDLSSMQRLVVATGGGAVVRPINWSYMKKGLTVWLDVPLEALARRIAAVGTASRPLLHQESGDPYAKAYAKLTAIFEQRMDSYANADARVSLEHIAIKEGHNDVTLLTPSAIAIEALLKMENFLTEKAMEIDYRKATVMIASQPRTQNGAKSGIESNQNGKHSNLPK >Et_3A_026909.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:25895197:25896444:-1 gene:Et_3A_026909 transcript:Et_3A_026909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLPVLRVAVVVAALAVARTGAAPLDPRQLLALRALGLGARRAALDPCGAASAEMNASCDAGVPFRRVTSLALANCSDTTSVSAAALEALAPSLRALAFSDCPAAPPRALPPEELASGLQAFSCTASLRRLSPVWLSHLTSLTELTVSDTPLATGGSPTELAVVVSHMDRLARLTVSNANLSGLLPHHWHCPNLTRLDLSGNRITGPIPDTLTLLGGITHLNLSSNVLEGQIPTSIGDLISLTAIDLSRNTLSGGVPDTVSTLLELEVLDLGSNRLNGSIPPFLAEMKGLRELNLENNDFDGVVPFSAKFLSRLRVFKAAGNGKLCYNRSVLSAELAVGVAPCDKYGFPVLPPPATAQSERNADYDDGGGDRDADAGADTRGGPSVAVLGVAIGLSCLAFLVILLVCICKVCR >Et_2A_018785.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:7547481:7548782:-1 gene:Et_2A_018785 transcript:Et_2A_018785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQEIKFPYSIHHCAFPAAILATCLVILAVVRLPCRTPPLLPPVAVMTSDDDSSTDASWAGAAVNSSCDIFSGEWVLDDPGAPPTYTPETCPVIHGHYDCMRYGRPDLGFLRWRWRPASGSCELPRFDAARFLAAVRGRSMAFVGDSLARNQMHSLVCLLTQAEQPTTSNATSGGDEVYRYERHGFTVALLWSPFLVRAAEEADPDGPARRGGGAAGLWTLYLDEADPAWAARAGEFDYVVVSAGSWFYRPSLFHERGGRLVGCSGCRMPNVTYLTLRYSLRKAFRTALRAAAAAGSAGPRERTVVVRTFSPSHYENGTWSEGGGADCVRTRPLRRGEWEMNALEKEMYAIQKEEFAAAAARRREEEEGERPVRMMLLDATEALAQRPDAHPSRYRLWQPDRFNNVSRDCLHWCLPGAMDACNDMLLHMLLR >Et_5A_041588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24762769:24769633:1 gene:Et_5A_041588 transcript:Et_5A_041588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRLTRATAPVERWRNRTTPPRPGWPGYSPWPSGSIIHRRGWKRGSRTPLPLGPGVLVLQWRHVARKRT >Et_8A_057034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20416926:20419213:1 gene:Et_8A_057034 transcript:Et_8A_057034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLARELPGCLLRLQLHIPRHLQAQLLRKDPLGHHHHQKTEIASPNSVLEPRSGELHKIQLHLASGIENATKWDPISIKAIEPDGAYVIASELNLTEEQQGGSYVASLQMELQQARDRVTKLETERASAKKQLDHLFKKLVEEKAAWRNREHKKVKAILEDMKADLDHEKKNRRQLETINLKLVDELKEVKMAANQLLQEYDNERKTREHTEEVCNKLVREIEEHKSEIETLKQDSLKLRGEVDEDRKLLQMAEVWREERVQMKLVDAKLTLEAKYEQLSKLQQDVEAFISTFSSSQGDSTLVQAAGNIIQAIGSVRTQDIEFVYEPPRASEDILSIFEELRPKEESQTNENPETKETEPCHYAACESEMQDATPLTDIFLENRARLFPDGSPCDESETEDGSSWETMSDEEMQGSSRSPYGSEPSINKIFDRISWTSGYDSEGGRNNKLCDDLSNMCLTDVKQPKKKESAISKLWKSSPLKNCEFRMKDSADIMKGRASDASLPNGMYSNAKAMNQEMGLSSPSTAQWSSPDSMNSQLNRGFRGCMELVQRQSLKAKLLEARMETQKIQLRHVLNQKS >Et_3A_024636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22837235:22841484:-1 gene:Et_3A_024636 transcript:Et_3A_024636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRDLYLVKMGMEVQVMDLSSDSEDEATARSPDHKRPTRQAIPGPDHGDGSSGTGGHGTDSLPEQGSAATSLPQFMDAVKKGKEKVGEGKSAWAAGPPKLCGGSLDARDCMETDPWSAQVDKAGGGGSNEAGCWGDWGDQLRSDRRDGFPGRNGQQRLLHNGSDDNWRGILGARPADPVNTPWPSWDTGTRRDDVDMFAQGSQATHEVSGYHDALMEDSSSWLSRIKGLHFPLPDEHELKARQIESDEKFARELDEKLNQELPGSQSSQAVDTAIAWTLQEQDAERARIAAREGQSSSSQRDRSMAHLYSYGRHSPAQSFASWASHTPFPMPRRRGLPRDSNTPEVQQQNMFISQLAGGVFSEDMDLETRMAVLDSIHETFESFVDPYSQDSDDDYENLVALDDNNRQRGVPEDQINNLPLSVVEVENPDELCPICLDCPSAGDSLRHLPCLHKFHKECIDRWLGMKITCPLSTNRGDAMGADGGAPLPDEPGPATTSANRGGAPSPHAAPRPPGASSVQAAASPSDGDPGSRLLLPSIEASLALDSL >Et_1B_013110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5576278:5577953:-1 gene:Et_1B_013110 transcript:Et_1B_013110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNHDVPSCVLVNHWAGSLLSVDSTDCFMTPRVISEDADLVLLRVPRDRRSMVDNFYSDYVYRVNPQRPKLDLLPNPSLEKLGDNEIAVLSCGDDKYVVAALQFVFGLKPTFKLHQYRSTGCCCCCKQGTWTSQLLYVEEPLRDKVCPIPDSAERQMFHRTKKVIVLGGDKGTVGWVDLWRGIVLCDVLSKRPTLQDLPLPLLAEGNLDSFRNCCPSYFRDIAVNECKDTIKYVEMEIPPPTSVFDDIYDLYWVSYHRKPESVVPGCWTTTTWTMPIPVASWDDWSLDCSVSLDELNLGNRRVYKILHRQVTTEDDEEGTDDTDDDEESTDDDDESTDDEQEATGGTLPIGSLSMAYSTLTILDDDVVYFLSESNCKSMLKGNTVSLITVDVGKEILQGVEVLDNFFGRDFHASGISGCLEHGRLRDGAGGATTQGPQRSRRLRSEPRAVVRADTENRGRRMENCHLGRGSFLFAFVAFFGLALACGLWRRSTVARTGLALACGGKICSPSCQ >Et_1B_010057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21237046:21237843:-1 gene:Et_1B_010057 transcript:Et_1B_010057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVPVRTWRGAFAVFVLLELLQVRFARSATFTIANNCGAGSAPLPTTGFALAPGESRAVAAPAGWSGRLWGRTLCSADGGAGRFACGTGDCGSGDVECGGGGAAPPATLAEFTLDGSGGLDFFDVSLVDGYNLPMLVTPLTTSGKCAATGCAAELNGACPEEGAVAVACKSACGAYGRPEDCCSGDHASPQTCQPSASSRFFKAACPRAYSYAYDDATSTFTCAAGATDYAVTFCPAAPTR >Et_1A_005101.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27116372:27117085:-1 gene:Et_1A_005101 transcript:Et_1A_005101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VAEHAERAVEGDPVAVAAAVESHPDVGGAVVVGLDEAHGDDPRPPRERAPEHGAHGLGRQVAHRRRLRDGVGEHERRRVRPRPPRLALEEGEAADHRAARERRDGGRAAAVVVVVADAAAGRHDPVDRGGVELARDGEAEHGLVPPHGGLQVLVERVRRVVVLVPGLGHVPEPRQVALQLAEPRDAAAPARVAQLLERAPRRAATVRAHRRASRGHVGERDHEEDKQQQQQHRTTGA >Et_10A_000395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8348662:8348841:-1 gene:Et_10A_000395 transcript:Et_10A_000395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGWATWCHRNAIIWRENFRDELTLTVHKAKPSTKVLLESFLSNFHLY >Et_9A_061040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12114385:12115075:1 gene:Et_9A_061040 transcript:Et_9A_061040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKCGGVVAVRRPLAPRDSNVAVAPSTVVIGKAPKPRPKARAATATPASPPLPTPAEVMPRAMDDCGAAAAVGVAEVSLAEELERARERRGRRSSAGSSRSSCGSSGCPRCGFRFRQVYTPVESLRSKEERRKRREAVTPAHSASRVNASPSSCRFPRLDFPDTV >Et_3A_024050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16692458:16701623:1 gene:Et_3A_024050 transcript:Et_3A_024050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGGRGRKIGSSSGQQKWSAKPHPQTFWINKHVLVTTYLLMAVTGLGYLALTWSTVVLLGGFVSSLQREDFWCLTLISMMQAARILWRRRAALEVVPYRIRPAPCCLDLGGIFNDLGEHLIPNFVNIVTTLFCHNIALGRRTFRDVTHTFRQRRFLAVVTSRYTWSKVASLLFTGTIALPFWTLCHVPAFLYGYGPVACIVLASYRIVKHDYGSTDGDASKSNLMPALVMFYVLILCQGALYLAWLFLDYVGVRTFIYDFHDDCKLPNKGWCRMSLVDYLLDTRARCWRDPASIRGRTVLDFAVDLLDSGSWEDNFSGARLLDAFIRQGVDVRSLLLPSRPKIQKMIDTLGWRRGPTAGATARETKEVAARIMAHLASDIHLAQFPGAIQCISSLLQDEISQMYWNSNQQQGESHIQTQSPPEKNAMLGLFQSLRKWSMENVVDNQGDDVHNELTMQGLTILERLASNHQNCDDICKAPGLLSKITAPLYTTTLIHDIEVSSAWADVVNGSFKVIHQLIRGNGNACRSLSQEISSNKQALTNLDGILYQGNRASQELQMGAMAILTRLAKDRTVDLAMEIKEGLIKKQLQIFLDYEEEEEPDIVLKPFKALAGRTLAFLSSNSVTNSAIIMGASDGTVGRLTKMLHAQNNITYRIIAGKILENLCTHCKSDEEMDKIQETLLPLVLRELLFGTKKSHQSNSAQGEPEPGKDAEHQGNSGDEENQNNSVQGDENAIQDSSSLQDQLSDGGGNEEETDAKELQEAVLSLALVICDKLSAEDFHNAVKKNTQEHVFVAKLKTIVDKNCQATANSLRIVKLCGQIAVLIMRCNQYTAHFKDQEFMKSFSEASKIMSNLESCMLFAGKSARPLLADLEKKALELVAT >Et_2A_015718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17226554:17230568:1 gene:Et_2A_015718 transcript:Et_2A_015718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGVAIHAPAAAKAAPLFSFGVIADVQYADIPDGRSFVGTPRYYRHSLAVLRRAVASWNSHGGVSFCVNFGDIVDRHCPKDKSLRAVRTVLDEFGAFAGGSTYHMLGNHCLYNLPRRELLPLLNISAPSGDDGRAYYDFSPCPEFRVVVLDAYDFSALGRPRDHPVTAAAMRFLDERNPNADKNSPDGLSGVDRRFVMFNGGVGEEQLSWLDGVLRDAAASRQGVVVCSHLPLDPGCAFPESLMWNYGEVMDVVHRHGGCVRACLAGHDHRGGYCVDSRGVHHRALEAALECPPGTSAFGRVEVFPDRLVLVGPSTQASVMAAANGIAVRASAKTPLFTFGVIADVQYADIPDGRSFLGVPRYYRHSISVLQRAVSRWNTHNNIKFAINFGDIIDGFCPKDKSLWAVQKVLDEFDKFNGPTYHMFGNHCLYNLPRNKLVSLLKMPTDSDRAYYDFSPCPEFRIVVLDAYDFSCLGWPQDHPVTAAAMKLLDERNPNSDKNSPDGLVGVARRFVKFNGAVGKEQLSWLNDVLQDASTQGQNVILCSHLPMDPGASSPAALMWNYDEVMSVVHQYNCVKACFAGHDHKGGYSIDSHGVHHRTLEAALECPPGTSAFGHIEVYPDRLLLVGSDRMADTEICF >Et_6B_048725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1377352:1379830:-1 gene:Et_6B_048725 transcript:Et_6B_048725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSSMRISSTSHTRSCAAAMAVQFLPHSLAILACLSLTLPATAAAVPQPQPQPEPEVKPSDTDALTMFRHSADAHGILAGNWSTGDACAGRWTGVGCSADGRRVVSLSLPSLDLRGPLDPLSHLGELRALDLRGNRLNGTLDTLLLGVPNLVLLYLSRNDISGAIPDAAVARLTRLVRVDLADNSLRGPIPAAALGKLAGLLTLKLQDNLLTGLLPDISAALPNLAEFNASNNQLSGRVPDAMRAKFGVASFAGNAGLCGPAPPLPPCSFLPNEPAPTPPSSMPSSSSPSVVPSNPAASSSSSSVASSSPALATPESLGGAGKGGLSPAAIAGIAAGNALFFLALASLVVVCCCCRQGSGGEPGKKRKRRGRVGLEDGDGGLFGHIKGEQQPPRPGSAGICSDGGDSDGARSKLVFFGVDGDGLEDNDDDGDDSDGKDGLTLTSHLQRRRSRFQLEELLRASAEMVGRGSLGTVYRAALGDGRMVAVKRLRDTNPCAREEFHRYMDLIGRLRHANLVPLRAFYYAKQEKLLIYDYLPNGNLHDRLHGHRMSGESPLDWTTRVRLLLGAARGLACIHREYRTSGIPHGNIKSTNVLLDKDGAARVADFGLALLLSPAHAIARLGGYIAPEQSDNKRLSQEADVYSFGVLILETLTGKSPAAHLQPLPDADPQRRDKKSAAAAAASLPEWVRSVVREEWTAEVFDVELLRYRNIEEEMVAMLHVALACVAERPEQRPSMADVVRMIESVPVDQSPMPEEDRDVSVTSPSIGVTTDDGGDGRLSYY >Et_5A_040443.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:22635682:22636143:1 gene:Et_5A_040443 transcript:Et_5A_040443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKIGLLRLAGIKGSRIYVWSKEVEPEEVARWVRWSVIELETLVCTYGSLCGSRVIGFAEDVSTIFIKTNGEGVFIFELTSGKIRNVCEDVGYNSVLPFTSFYTPGTLPLSLISLDAMYSLYVFVDYSIVSQNANTYMSKMLPVLEKINMIM >Et_4B_037427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19695339:19695631:-1 gene:Et_4B_037427 transcript:Et_4B_037427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DRARFTYSIDATPRNQNEDAKARPARDELVSGRSPNKLVRPFIRPAIPPQFLQFGLMVGSVGKATVLATKADGVPLSFTNGVDI >Et_9B_064576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16644792:16645936:-1 gene:Et_9B_064576 transcript:Et_9B_064576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVKYPEGWDLIEPTLRDLEAKMREAENDPHDGKRKCEALWPIFRISHQKSRYIYDLYYRKKEISKELYEFCLDQRYADCNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEKVIECVHCGCRGCASGD >Et_4B_038782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4869511:4873197:-1 gene:Et_4B_038782 transcript:Et_4B_038782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARVAQGRDGGQMEALVAQGRDGGRMEAHHQRGVAAALSGAGDPYQGEFPETIEEFLQHGSMMKCISFNRTGTLLAAGCSNGSCIIWDFETRGIAREFRDIDCTAPITCVSWSKYGHRLLASATDKSLTLWDVSTGEKIARITLQQTPLNARLHPGSRNPSICLACPLSSAPLLVDLNSGSTSVLPVSVSENGNPPASNPRNKFSDGSPPYTPTAATFDKHGDLIYVGNSKGEILIVDSKGIQVHAVILTPAGTGNIVKDIIFSRDGQYLLTNSSDRVIRVYKNLLPIKGSGEEIRNISNKNNDYESHYDKLKANGASCLVLSCEVSDAVTKIQWKTPCFSGNGEWIVGGSANKGEHRMQIWDQSGRLVKILEGPKEALIDLAWHPVEPTIATVSVTGVVYIWAKEHVENWSAFAPDFVELEENEEYVEKEDEFDLNGKEEKAEEVLIDENAEIDIDTCEKNVVFSDLEDSGDEIVHLPAIPSPDAPDEQPDKCLGSSSKLEDSNHSGSPSSMDAVQNGQAIPPASSPMEVDNSTAEDPGEGSNSKRKRRLSAKGLEMQQSDKVKKPQTKSKANGNATKSDAKDDEATEDDEVNIDN >Et_10A_000594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13370520:13370890:-1 gene:Et_10A_000594 transcript:Et_10A_000594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVGPSLARTVGESRGRPFTEAKARLISKFTFTSFFPKASPHVGKLSVSPIRRLPPLSRVKIVGSVRLLPPIRFVFQQVLKPTTP >Et_10B_003867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6986080:6986618:-1 gene:Et_10B_003867 transcript:Et_10B_003867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANKQQFPPGFRFHPTDEELVVHYLRRRALGAPLPAGVDIPDVRIIAHEPSDLLPPGWAEPERYLFTRKEAKYLTGRRMFRKTGSGIPAAAIPEEEQEAVDDEEEEEAVVDEEEEDATRASGFIDFFARADVAQQQWRRESPPVMSSSCLTDE >Et_3B_028230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12016016:12018728:-1 gene:Et_3B_028230 transcript:Et_3B_028230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLQLAVFFGQHLVIGTLLSHCSYAQSTNGTMQCHRQEAAALLQLKRSFTFTASSCQSYPAATTLSSWKPGSDCCQWEGVGCDSVTGRITSLFLNNRGLQISGALHPALFSLTSLNFLNMDGINFCHSHLSESWTLTLINNGSALPNLVSLDLSNNSLSGTVPATLFTHPALTQLSLQMNNLSGPIAEFHNPSATLTDVDLSSNQLTGAVPNSFSPLMALSNLALDSNNFTGLLDLIPYFRQSDLTLTASYNPLYLPKLDGLDLSYNHIGGRIPDWIWRSMDTLLLSHNNFTTMGQIPENTTIRFLDLSFNKLRGAVPFPSAGLMVDYSNNGFTFIPASSFLRLFKNAYSINLANNKLSGPLPYADCHSDPNSLQILELPGNNLNGSIPPYLLKGCSYLSVLNLRGNRLTGTWPDDMDMFCSLSLVDLHGNHLEGPLPRSLANCFGLEDLDVGGNNFVDVFPTWLGNLPYLRLLVLRSNKFYGPVSIPAGKSNSTNTSYFPSIQIVDLAGNGFTGVIPSEFFESLSMVQGQNHAVQGVFTEAVELGYEAEVEVIMKQQYMEMRQVFSDLVVIDLSNNRFSGPIPKTVGNLMALIVLNMSHNALTGVIPGELGRLSLVESLDLSWNHLNGEIPRDLVAMTSLEWLNLSYNNLSGSIPLGSQFSTFSSSSFQGNPRLHGCPLPVWCNLTQPPPPSPQVPNEASASHNFELIVLWLLVGCGYGFGFALAVVLHVVCTGRRKKMAHEN >Et_8B_059180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14963583:14971398:-1 gene:Et_8B_059180 transcript:Et_8B_059180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSPATTSPPLASPGPRCRRPGAATRLVSPLPSCYRSLAVYSATSASAAAAPKPAPRRLRFRCRAADESPPPARGDAPLKVMISGAPASGKGTQCRMIVEKYGLVHISTGDLLRAEVSAGTEIGKKAKEYMDNGKLVPDQVVTDMVVSRLSQPDVQERGWLLDGYPRSFSQAQSLESMKIRPDIFIVLEVPDDILIDRCVGRRLDPVTGKIYHVKNFPPENEEVSARLVTRSDDTFEKVKSRLETYKQNSEAILPTYSDLLNQIDGNHPAEVVFLEIDSLLQKICEDTSANKLTKTNNGKPPGSVDTGSKDEWRGIPTRLNNIPHSREIRKYFYDDVVKATKRAVGDKKTRLQIDINIPELNPEMDVYRIGTLMELVRELSLSFADDGKRVKVCVQGSMGQGAFAGIPLQLAGTRKILEYMDWGDYDAKGTFINFGAVGASEVDKEDDMFVLIAPQNAVGNCIIDDMKAMTDAAGDRPVILVNPRLKDMPASSGIMQTMGRDVRLKYAASFETCYSFRLLFYAGSFYPIMGALRMAYPNKYEIYRRVDEPNGKEKYVLIAEFMEKPTPDDITAAFRGPKEEKEKAASGF >Et_7A_053078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6133804:6134607:-1 gene:Et_7A_053078 transcript:Et_7A_053078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGARNVVKPDDELVDLIFSWSLQDVMNQDLFRDKANTIPDRFSGLRSYLDSFRTPFLEEMRAEMSSNLESLTNHSSTVPIQSPVPRGNNGVKNSLHYGVTVARSRGARSPCIGDIIMLMDAAPRRAADLASSSGRSSSCCLAHVKDVRDKFSFEIRASRKIEATDGYAFFVSLLCFIPYVGIWRCLDHDAALKRSPALVRVLAALKRSPALSWKSQKQ >Et_3A_024904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25183350:25187893:-1 gene:Et_3A_024904 transcript:Et_3A_024904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPDRAAAAAAGAPHLRGHAHLTNCIHLRHHHAHGAGASSSGRRRSPTSVASAALMRDVLALQRSRSLRDPSTRRSVESSRSRVAADPDAYTDDDDRDGVGLPAKSRAATTGALKTLLDQLAENPHPHPKPGRRPPRRFKRRAGRRAAAASKTPGRAAAVSVNSSSQEAVCGNKYLFHGGEDDGTDEDGELQQHMSQDSRNVCGIPWNWSRLHHRGKSILDMAGRSLSCGLSDSKSAAGRKSEAAAVSGGRVSVSRPLFPVKSERLASSTSSDSDALPLLDEAVTSGARNRIGYTSGSYSGELGIFSNQTSEMDSDLLSEAQSGHKSRASQRSRGQHRSLTQKFAPRTFKDVVGQSLVVQALSNAVVRRKIGLVYVFYGPHGTGKTSCARVFAKALNCLSPEHPRPCDSCTSCIAHNLGRSKSVMEIGPVGNIDMDGIVDVLDNAMLSPAPSHYRVFIFDDCDTLPVDTWSIISKVVDRAPRRVVFILVSPNLDLPHIILSRCQKFYFPKLREGDIVNTLQWICTSESLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISLSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGSYTFTRERLRRKFFKRPTLSKDDMEKLRQALKTLSEAEKQLRVSNDKTTWLTAALLQLAPDKQYVLPSSSTSTSFNHGVARNSGSKHDGNMAGTSYGERKPVDHTENGHMMSTSAVRANERFKHGKTENEMIWEAVLESIQSDTLRKMMAKDGRLSSVRLGTAPTVQLIFSSRVNKSKAENYRGQILQAFEYVLRSAIILEIRYESNDARADHAPSALPYLENDSSNTNVRKSCTKHSPLSSGENLIGRLKKDSVVKGSSYGKTRWMQSDPHILTEGEIIEVGPSHVHRHAQTNDGVLDTNGRRKDYVWEEEALSSPNQEGLVNRRAVDGNRQRRQNSIVKGKVSLAHVIGRVEACSQRGGWSRRKALSIAEKLEQENLRLEPRSRSLLCWRNSRTRRKISSIKVKNRRSRAVSRLILCGRCISTKSPR >Et_3A_025583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3085735:3091234:-1 gene:Et_3A_025583 transcript:Et_3A_025583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKWKAKISEPGKGRWRGHLRRAGLIVSAKHQQLEAAGGAAAGIQQSSPILPRRRLALPRVTLRSTARHQFKLPSPPPRFPIPMLASSSSATTPRPAASPLPRPVSANPNPRRLLLPAAASPAAVRTLGRPPMGSVRASAAAVGLEEGGVSSDRLVEVAQRAADAAGEVLRKYFRQRVDIIDKEDNSPVTIADREAEEAMASVILKSFPTHAIFGEENGWRCVANSADYVWVLDPIDGTKSFITGKPLFGTLISLLHNGKPVIGIIDQPIMRERWIGVDGKQTTLNGQGISVRSCNLLAQAYLYTTSPHLFEGDAEDAFIRVRNKVKVPLYGCDCYAYALLASGFVDLVVESGLKPYDFLSLVPVIEGAGGSITDWKGDKLHWSVTPDSRPTSFNVVAAGDARVHKQALDALQWR >Et_1B_012499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32542151:32542653:1 gene:Et_1B_012499 transcript:Et_1B_012499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLAATSRALLGVLGQACARSNNAGGYPAGMSPIGVAVSNSDHPAQAPHDTYGASATAAAPWLPAGALPRSTHKTSPAVVLLLLLLLRRGSRVMLAKPEQKILPGGSPCKGATLAEAGRHAYACAIIALCRSAVVAAAGRKR >Et_5B_045183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11134650:11136277:1 gene:Et_5B_045183 transcript:Et_5B_045183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLVSPTSLGNPSSSPDSLFSVAGGSTTALEFVSREISDQWLGVGGGDDADVGVLWGNGAAADNTDLLQQHKSGEPLPAAKRLGRKPARSRAGDVEAERQRRDKLFCDLRAAVPTVVSRMDKASLLADATSYIAELRGRVKELEAKQLQEQAAGVGAPPLAAAAAAPEEKISSWFSLYYG >Et_5B_043799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16219776:16227722:-1 gene:Et_5B_043799 transcript:Et_5B_043799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMHACGHDAHTTMLLGAAKQLQDRKDDLKGTVKLVFQPAEGGAGAYYVLQVDSVLPVGVVSSRPGPFAATAARFKATVTGRGAHAARPHQAIDPIVAASTAILSLQQIVAREIDPLQSAEHRTSELVRSELDAIGVPYAWPVAQTGVVATITGGGGPVVALRADMDALPLQGTVKLVFQPAEEGGAGAYHVLGEGVLDDVSAIFGLHVDPTLPVGEVASRPGPLLAAGGIFLATVTGKGGHAASPHHAIDPIVVASSAVINLQQLVSREIDPLQAAVVSVTFMKGGDAYNVIPESVSFGGTFRSLTTEGLLYLTKRIKEIVKAHATVHHCTATVDFMEEQLRPYPATINDENMYHHANEVAHTLLGQDNVKISAPTMGAEDFAFYAQKFPGAFFFIGVRNHTMEETYPLHSPHFVIDEDVLPVGASFHAAVAVEYLKKHNRVEGLALTQETGMDLVAEMVEVTESHMVEKVKLQEMGRAEARVHSAAAERYENAEMEQLDQHHHHELQLVSQKLMRLGKEANDAML >Et_3B_028722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18485665:18490096:1 gene:Et_3B_028722 transcript:Et_3B_028722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WLCYSCTPSARTSCWRSTTIDTLGEDLLLEIFLRLPSLATLIGAALTCRAWRRAVASSPSFRHRFRALHRAPLLGVFADVEHCALPVFSSFYRRDWDVLAAISRGDLALTSLLHPYDFVGDAVPLWILCDCRGGYLLLMNREADLLATVNPLSRQKPDCIDIPYITEDSTVTAVDAVVVLNAHLLCSDEDFQVVCLLHDQSRVRAAVFSSKTWDWCFHPWVEIPERTTQPVDANELWLFHGTRAGSFIYWMFKFGNHVLMLDTETMEFSVLELPGHLIDQEEYILAAGTTDGAPCIVCRTGLVVRVIIYRVDEDGEKRWISSSSVQYEEQDAPPGNNEVLDIMDIKNGFAYLATSKMVMSLCLETMELEKLFPRSFCASNYAVPYFMAWPPSFVGNYGSFAPNHDGARPIEGAGGGLLVRHPGLVPSPMGGARGEVPRHGAKKYGLFFWDASQWVHTLDVQEPEARADGGHKGDGVICFGATTTFSSPARLQPPPAKSRKKLSPAPIDSLSEDLLLEIFLRLPSLATLIGAALTCRAWRRAVASSPSFRRRFRELHRAPLLGVFADPAPHTLPVFAPAHPRDRDVLAAIDCGDFALTSILDPDGFAGDVPLARRVF >Et_2A_017216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32058483:32068583:1 gene:Et_2A_017216 transcript:Et_2A_017216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIEIEEAATEGGPHERVEGGKASAGGRKGKKLVGRYEVGRTIGHGTFAKVKHAVDADTGATVAMKVLDKDAIVRHRMLHQVRPRNRHEIARGEEEKRGPAPLRRCVAGAARRGRGMAGAGGRKKRVGRYEVGRTIGQGSFAKVKFAVDSETGTAVAMKVLEKDTILTHRMLHQIKREISIMKIVRHPNIVRLNEDRQGKLRENEARKYFQQLIDAIDCCHSKGVYHRDLKPENLLLDSRGNLKVSDFGLSTLSQKGGGLLHTTCGTPNYVAPEMLSSDGYDGSAADIWSCGVILYVLLAGYLPFEENDLPTLYDKITAAEYTCPYWFSDGAKSLIHRILDPNPRTRITIEEIRSDTWFKKNYVAIRRGEDENVDLDDVQAVFNNIEDKYVAEEVTQKDGGGPLMMNAFEMITLSQGLDLSALFDRQQEFIKRQTRFVSRKPAKTIVATIEAVAESMGFKVHSQNYKLRLEGVSSNRMSPFAVVLEVYEVAPSLFMVDVRKVAGDTLEYHRFFKDLCSKLDSIIWRPIEVSAKSTLLRTTTC >Et_6B_049221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:277375:279228:-1 gene:Et_6B_049221 transcript:Et_6B_049221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFHGNSHLWQTQCRNANSSCEAGVELRDLPREVLRDLPRFVKIVEVGPRDGLQNEKNNVPTSVKIQLIHKLVAAGLSGVEATSFVSPKWVPQLADAKDVLSGIPQVPNVRFPVLTPNLRGFEAAVEAGAKEIAVFASASESFSKSNLNCTIEESLVRYRDVTAAAKKHGLRIRGYISCVIACPVEGAIHPSKVAYVAKELYNMGCLEISLGDTIGAGNVVPMLEAVMSFVPVDKIAVHFHDTYGQALSNILVSLQMGISVVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMEAGDYISDHLGRPLGSKTATALRKLTC >Et_10A_002036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:208079:210649:1 gene:Et_10A_002036 transcript:Et_10A_002036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATNKQPPPPAQEEHKVAAAVDGTKKLSDDEKDGEAEEKYHGWKAMPYVIGNETFEKLGTIGTTANLLVYLTTVYGMKSANAATLLNLFSGTTNLAPLLGAFLSDTYLGRYATLALASVASFLGMLLLTLTAALPSLHPHENHKEDGASTGQMAVLLASFALIAVGAGGIRPCNLAFGADQFDPRTASGRRGIASFFNWYYFTFTIAMMVSATVIIYLQSNVSWPLGLAVPAALMGLSCALFFMGTRLYVRVRPEGSPFTSFARVLVAAYRNRRLPAPKAEEMFDPPHRSGLVTKIAYTDQFRCLDKAALQPWEVVVAPGEDGGEIPSNNRWRLCTLQEVEEVKCLARILPVWSSGIVYYIVLTNLGNYAVLQAMQTDRRLGRTGSGFQIPAGSFVVFNMLALTLWIPLYDRVLVPAMRRVTKREGGITQLQRIGTGLLLSVLTMLVAAAAERRRRRRAALASCFLLVPQQLLAGLSEAFALIGQVEFYYRQFPENMRSVAGALLFLGFAIASYASGLMVTVVHRTTGWLAQDLNQGRVDLYYLLIAAMAAVNLVYFLACARWFRFKKPVHAAAAMLVEMDDSIKKANAAGPPV >Et_2A_014560.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:22874481:22877530:-1 gene:Et_2A_014560 transcript:Et_2A_014560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPGSKESQNNDNNNQKVHPQPIDENMNQNGNSMDTMIGRIFNNISSLKSAYIKLQEAHTPYDPDKIQAADKLVIEELTRLSELKHSYREKHPKPVAASPQDSRLLTEIQEQQNLLKTYEVMVKKFQSQIQTRDTEVNHLQQQIDEAKLRKSKLEKKLKQRGLLNKESEESDEEENYFSIELTPSLFTSAVDHAYQSIHDFSKPLINMMKAAGWDLDAAANAIEPAVVYTRRAHKKYAFESYICQRMFSGFQEESFSVKDSNISVSNEAFFHQFLAVRAMDPLDVLSQNPDSIFGKFCRSKYLLLVHPKMEGSFFGNMDQRNYVMSGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPKVKVFQVKKGSEFSDIHMESVVKNIIVDEGAERPKVGLMVMPGFLIGTSVIQSRVYLSNVKCAD >Et_4A_033604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2504538:2507303:1 gene:Et_4A_033604 transcript:Et_4A_033604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRSAGRRSDPSGSGDDLSRFSVATTASSASGRSVDGGGRGISFLNAFRSCFVPTDARSPETSLSDDFHPSHQLSQSMSSQGSSSGSTFGSKRSIRGLYNPMSRNSSDREIPGSTKFSLQEIQKATKNFSPNLKIGQGGSGTVYKGQLADGTLIAVKRAKKNVYDKHMGREFRNEIETLQLIEHLNLVRFHGYLEFGGEQLIIVEYVPNGNLREHLDYYPVIHRDIKSSNILLTNNCRAKVADFGFAKLTPSDVSHVSTQVKGTAGYLDPEYLRTYQLTEKSDVYSFGVLLVELVTGRRPIEPKRAIIERVTAKWAMENFVKGNAIKTLDPSLEATDAINLAVEKMYELALQCLAPTKRNRPSMRRCAEILWSIRKDYRELVVPTSAMN >Et_4B_038695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3991804:3993775:-1 gene:Et_4B_038695 transcript:Et_4B_038695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGEKVDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIDQRSVKAQIWDTAGQERYRAVTSAYYRGALGALLVYDITRRQSFDHIPRWLDELRAHADKNIVIMLVGNKSDLEEQRAVSTEDAKEFAEKENLFFLETSALQATNVESAFQTVLTEIFKIHSKKNMVSEPKSNGAAPAMAGKKVVVPGPAQEIPKSKCCSSM >Et_2B_020781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23485543:23491621:-1 gene:Et_2B_020781 transcript:Et_2B_020781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGMFDGAGSGVFSYDAGAGMLNPGRLMPSPPIPKPAGGGFASPGLSLGLQTNMDGGGQLGDVSRLGLMGSGGSGSVGDGDSLGRGREDENDSRSGSDNLDAASGDELDPDNSNPRKKKKRYHRHTPQQIQELEAVFKECPHPDEKQRMELSKRLNLESRQVKFWFQNRRTQMKTQIERHENALLRQENDKLRADNMTIREAMRSPMCANCGGAAVLGEVSLEEQHLRIENARLKDELDRVCALAGKFLGRPISSGSPAAASLLHGLELGVGGSRFGLGSLGGASSVTSHIPDLMGAAGLQHGSSSSALRLPAGIGEGGGAGMHGAAAADGVDRTVLLELGLAAMEELVKLSQMDEPLWLPAAGPDGGFDTLNFDEYHRAFARVFGPSPAGYVSEATREAGIAITSGVDLVDSLMDATRWSEMFPCIVSRAGTTDIVSSGMGGTRSGSIQLMHAELQVLSPLVPIREVLFLRFCKQHAEGLWAVVDVSVDAILRPEQNGAGYMGCRLLPSGCIVQDMNNGYSKVTWVVHAEYDEAAVHQLYRPLLRSGQALGARRWLASLQRQCEYLAILCSNSLPARDHAAITPVGRRSMLKLAQRMTDNFCAGVCASASQKWRRLDEWRGGEGGGGGSDGAGEEKVRMMARQSVGAPGEPPGVVLSATTSVRLPATPPQRVFDYLRDEQRRGDWDILANSEAMQEMDHIAKGQHHGNAVSLLRPNATSGNQNNMLILQETCTDSSGSLVVYAPVDVQSMHVVMNGGDSAYVSLLPSGFAILPDGHSPPSSNSAQGSPNGASSASGNTGSLVTVAFQILVNSLPTAKLTVESVDTVSNLLSCTIQKIKSALQANTVSS >Et_2A_017842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:779249:782352:1 gene:Et_2A_017842 transcript:Et_2A_017842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGRGLSDLFRNTSEEIFLKAVMENSMGAAAAPSMEMLGFRNMSQSFRQDSEELFNSWLMNGEARIPGFSSVNHRSKQPSRLSTEAAGLPNQQQEIAQQFFFTDHTIPQSAEIPSEYPDNHNQQSLKYYTLCTNIIFCLRSNLRNINIIVRHNNRNAAEKGMQASDLLLAKAWFHSTQPMTRSRSSELRQEFQSMRRYAAMQTHVAPITTGSIEATNQLKQDYTNTNTANSTPMSNTPVQTPKFASPSSSSTSPLDNTHIGAQDTITSVVSMLKDTLERKKLGSHANRDASVGNSFGFYDTQQFQQNIIGGTDIFPIVTTAQVHDSLMLPKVDRSMEANNGNFIAPANQVWLGAVSREPSQSGSSTAMTAHSAGYEVCDDLPSMGQAMSVCESTKKNLANGTPEGRSKGKEYRDRVLKDNFKDDRKKGVLTRMGSISSEQAADKGDPTKKRRVERSRKMAEAKERSSTPVIPSDMQAVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNEDLTEEKERLLEEIERVASDTNS >Et_3A_024675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23165627:23168143:-1 gene:Et_3A_024675 transcript:Et_3A_024675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWQLIVMGRKDAEALVARLKAQSARERKARMAELKRELRVGAGGGGASLEACRDAWRPIRLAPIQ >Et_5B_044769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5662222:5671616:1 gene:Et_5B_044769 transcript:Et_5B_044769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEDQAAAVALLGGDPAAFDALLSTLMSSSNNERAAAEAAFHRLRASHPEPLALRLASSLAAPATPPDLRAMAGVLLRKLLGGAAPPAPLWPQLSPAGQTALKAHLLSALQSDPPKAIAKKVCDAVSELAASLLPENTWQELLPFLFSAASGPDKPNLQESALLIFARLADYIAESLLDHLMTIHNLLAAALAHPTSPDVRIAALGAAVNLVQCLPTNADRDKMQDLLPAMMRALTDCLNSGQEASAQEALELLVELAGAEPRFLRKQIADVVGAMLQVAEAAQLEDGTRHLAVEFVITLAEARERAPGMMRRLPQFVGRLFAVLMQMLLDVEDDPSWHSAETEDEDAGEGNNYGVAQECLDRLAIAIGGNAIVPIASELLPQYLSAPEWQKHHAALITLAQIAEGCAKVMLKNLEQVVSMILNGFQHHHARVRWAAINAIGQLSTDLGPDLQVHYHQKVLPALANAMDDFQNPRVQAHAASAILNFSENCTPEILTPYLDGIVGKLLVLLQNGKQMVQEGALTALASVADSSQEHFNKYYDAVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKDKFREDAKQVMEVLMALQGTPMETDDPITSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSAESDDEIDSDDDSIETITLGDKRIGIRTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRRAAVAAMPELLRSAKLAVEKGQAPGRDESYVKQLSDYIIPALVEALHKEPETEMCSSMLDSLNECMLLSGRLLDENQVRAISDEIKNVIIASATRKRERTERTKAEDFDADEGELLKEENEQEEEVFDQVGECLGTLIKTFKASFLPFFDELSVYITPMLGKDKTAEERRIAICIFDDVAEQCRESALKYYDTYVPFLLEASNDENSDVRQAAVYGVGVCAEFGGHVFRPLVGEALSKLNNVIRHPEARHPDNIMAYDNAVSALGKICQFHRDSIDATQVVPAWLGCLPIRDDKIEAKVVHEQLCSMVERSDADILGPHSQYLPKIISIFAEVLCNGTELATDETRNKMVNILRRFQQTLPPDFLASTFSNLQPQQQLLLQSILST >Et_7B_055135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7644570:7646001:1 gene:Et_7B_055135 transcript:Et_7B_055135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARACNHALRLLPNPIRTQLPSSRSRVGCRNFAVHAQLPTEDDDSYGAEPLKKVKVTQQSIRRSRRRGVGGARQSLVSVGTARGGGDQWSSDFDLTLRQLHLDDLVEDGQRDADVLVRLLVQQHTQFGMSIKGRVVTSFRKICDSCSSPYCTNIDEQFDLTVLSSSRKNQSGLPELGDTDPSVIYVRPGEEIDLDSVIQETVRLTAAAKSSCSEACEKSTVVWQYSGKQRPISTSQRWSKLLDLKKTLDKSPS >Et_5B_043615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12965947:12977874:-1 gene:Et_5B_043615 transcript:Et_5B_043615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LGYLCLAWSPLGGSMAPKSKRGKAKGEKKKKDEKVLPVAIDITVNLPDQSDVILKGISTDRIIDVRRLLCVNTATCAITNYSLTHETRDGPLKDAAEIATLKPCTLTLVEDEYDEESAVEHIRRLLDIVACTTSFGPAPPPPPPPSPKDGDAAKEPSSATSKASASSGARRTASPPPSGAAAKESSAAKEAAAKEYAASAELEAEMSGVCPRLGAFYEFFSLANLTPPLHFIKRVPQPRQEEQPSDDHLFFVEAYEDLMKAFLERNKFGNFPYGFRANTWLVPPIAAQSPSAFPPLPTEDETWGGSGGGWGRDGKSDMLPWADEFLYLTSMPCKTAEERELRDRRAFLLHSLFVDVAIFRAIAAVRHVMESTEVSTSTKTDEILHTETVGNFSITVTRDSSDASCKLDTKIDGGRATGMDSKQLAERNLLKGITADENTAAHDVDSLGIINLRYCGYVAVAKVNNIDKNNVNSSIKPINIADQPEGGANALNINSLRMLLNEANSAGEKKISNISQNHRQEELTAAQTFVEKLLKKSLQKLEEEEIGKQSFLRWELGACWVQHLQDQKNSDKDKKQGSEKEKKKTVDKSVKETKIEGLGKPLKALKSSKNAIDTADKTSLGAKNLSEGTSSTESQKDRPSDVELPQGESNASENGSLLKNLLPDSAFTRLKESETGLHQKSPSELIEMALKYYDEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGRVVKLSEKLSHVQSLCVHEMIVRAFKHIVRSVIATISDMRQLALSIAAVLNLLLGAPESEVSRGSLNVHPLVWRWLVAFLKKRYEFELTEKHYHDVRKYAILRGLCHKVGIELAPRDFIMDSAFPFHKHDIISLVPVHKQVACSSADGRQLLESSKTALDKGKLEDAVNYGTKALSKLITVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQKLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNQENKGRDSESGKRRYSSIKVLSHSNESSNDASPEISPRDSSVITDEDQQVKEPSKDDVTDMVPEAEVKQSPESSEHPAPSELPIEVDEVNINLSRDVRQQEAVEPEDGWQPVQRPKSVGAPGKQIKHYRPTTRKDPDSQDLSYSSQYKPRNSYPNNRYYFLRKRTVVPTTYTDPQQNVKVQTSGARFGRKIYKAVTYRVKPGTTSTEVQDTSKSTEQTSGKVESQMIYSQAHNLPSIDQKESEPHGTLVTSTGNALSYKDVALARPGTIAKSQIQKSRDDVPQNQPSLGQIIAQEMKDSLVDSHQVEQRSVSAKADDSKEVTNVQGEIQEPEDANISEKELKIYDSLQDMPISNVEESLTGSEPANSKAEANVFSNTSQEAAVSGNNGAVIDLSEATGPAKDEQSGKSETEYFETLPSSIEPIAVSVSITDTGSLEGVGSDKSKPNLLLSNIDLREMPNKKLSAAAPPFNPSPPAVLSPIAGNVGLPPPGAIPGVAPWPVNASLHPGHSNMVPSGPPLCTSPHHMYPPATRSPNLMHPVPFIYPPYSQPQVIPSTTFPMNTNMFPPHHYGWQPYMSPAASEFVPVSAWSSSHAVDFIPTPRVVDPISQSLADKHIQSDAAVVSIGPSLDSKTVASKEEMTPAVVGSGNLISEKHDDQKKQLKDAVRFELNPDMQGDNAHLGNNMKNEDEGSFRVYVKGKSRRKQTLRIPISLLNKTYGSRSFKLVYNRVVKENDIFRPPSVSFAEVVSSGN >Et_2B_021392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29292109:29296990:1 gene:Et_2B_021392 transcript:Et_2B_021392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLPAPPTARWGPLPPHAPASASAYARRVHPSRRLAARRAKGEDAEPEAAAMPVRTLLIDNYDSYTYNLFQELSVVNGVSPVVVRNDEWTWRDVFNRVYKERAFDNIVISPGPGSPACPGDIGICLRILSECGDIPILGVCLGHQALGEIEHDGCFLFNCIPSGLNSSFKVVRYHSLVIEAGSLPDDLLSIAWTASPKLLSFLESDQTDISNSGFWGSLENFMVDPSQCSSNAGEITNNVSDSCKIIMGIKHSSRPHYGVQFHPESVATHYGRQILQNFKKMTSDFGLRSLWLQERKVYSADQCSSIPKDSLHSETLELGDSVGAGVLVKRGSGKKHLRLRWKKINSLLSPTVDSEDIFALLFGHQNGEDTFWLDSSSVDQNRARFSFMGGKGGSLWKQITFHLSGQRANCGGTLVTRDANGCTAKNFIREGFMEFLNEEIESIQYNEEDYEGLPFDFHGGYVGYLGYGLKVECDAASNKAKSSTPDACLFFADNIVVVDHNNGDVYVLSLHDEYTSNNGDGVGSSRNSAHNSWLVETEKRLLRLTAMASGLRVNGKAYVRSPDATRQSFIVEKSKDQYIKDVQSCLDYIRDGESYELCLTTQMKKRVAYKNALQLYLKLRKRNPAPYAAWLNFSSENLSICCSSPERFLQLDRNGILEAKPIKGTIARGRTPEEDECLRLQLNEKDQAENLMIVDLLRNDLGKVCEPGSVHVPRLMDVESYKTVHTMVSTIRGTKKSNLSPVDCVRAAFPGGSMTGAPKVRSMEILDSLENSARGGAIVALSDPEEEYNEMLLKAKAPTKVVEDWSQAIYNSDRLDSMQATI >Et_5A_042533.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1900893:1901357:1 gene:Et_5A_042533 transcript:Et_5A_042533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSSVIDPFSMDLWDPFDNMFRSMVPSSLASSGGDDTAAFAAARVDWKETPEAHVFKADLPGVKKEEVKVEVEDGGVLVISGQRSREKEDKGDRWHRVERSSGRFERRFRLPEDAKVEQVKAGLENGVLTVTVPKAEGKKPETKAIEISG >Et_3B_028963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20942199:20944707:-1 gene:Et_3B_028963 transcript:Et_3B_028963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAERRLARVASHLAPSLPLRTLATAPPLAASPTAASSSSSPAGDSYRRVHGDVPSEPPEWRAATDESGKAFVDIIYEKAVGEGIAKITINRPDRRNAFRPLTVKELIRAFNDARDDGSIGVIILTGKGTKAFCSGGDQALRDSDGYVDFDSFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKRAREMWFLSRFYTADEADRMGLVNTVVPLAELERETVKWCRMILRNSPMAIRVLKSAINAADDGHAGLQEIGGNATLIFYGTEEAKEGKNAYMERRRPDFSKFPRKP >Et_9A_061001.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:5886200:5886508:-1 gene:Et_9A_061001 transcript:Et_9A_061001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLCSYSNHVWAVLAAPMDLKIAQLPQTNYRQIKRWWDDMLGPRQHQNATSRSQAIIYITWNLWKERCRRVFDDKALLADQLVTVI >Et_6B_050146.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:7099243:7099485:-1 gene:Et_6B_050146 transcript:Et_6B_050146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPQEEPGTKKPQETEAPQDPCKPLYDIEERLKYWCKVKADLSAMDEKWDAGAGNKCDCHFTAAQRGGGVGVHQPRHAP >Et_6A_045867.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21488731:21489996:-1 gene:Et_6A_045867 transcript:Et_6A_045867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRATAATVSTVLDNDDLLGEVLLRVAQPTSLVRVALVCRRWLRVAADLNFLARFHDRHPPRLLGFYVHSAAHLRGAPRFAPMPGLPRELAAIVRRAGSALDAYATDVTSTASVLDCLDGHLLVRLGDPNSHRDLVLSPMLPPAGRGGPPVVVAPPPPLSPSFYDHEATWLKFRDDDDFPRSRRGVTVCAAWSHRADQTISRYNLIDGAWHELCSSAPKTKIPPLIPPNLSYILIPFRDKIYLVSSASAIDQLRRSESSETWNVSLVAFPDGVKYELRHKGKLQAWADSSFIYLIKVGTKKLQLRVWRYGIDSETWSLEDTICLRMVFAHSRVLTSVVSQDGRGVSKGTDVVIHRFGPRRGSMCMLLQVGNDVLYINIKSRTAEKVYTVAPEDGDSIRLVPFDMIFSLVFPVINNDNDQS >Et_2A_015695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1758756:1760564:-1 gene:Et_2A_015695 transcript:Et_2A_015695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RIWLALLNLHLHKLCKVKTFLRPRRSCSEQHHQKNLSSSVHNQRHITPWTFSSSTFPSRREDLVAAGVAQCVSALTLQDSDDVSCSDDFSDCSSEESNSGSPRIVPRNTTEGSPQSSSSSLGSKILIQHYANCYQVFYIRMDRRGSFLTYPDVGGPFRSVSEAVHATKRFVDELWREARCKTERDEFSRVERGIHDRQYYLDGPTKKAPGSSTPSTKATYDGDRQLIHALLDQHNEDHNLSGKQMIYEDNWWYCHFNFTTKQKGASNDPSTGGKLFFAEVSHEQGESAWEVNCCCWIGREPNGAFCYGCKNNGSPSMKHPRDSSAFAGGHLDGWYLPYGCLELSSSDDDKKDNFVAC >Et_7B_053518.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5324853:5325011:-1 gene:Et_7B_053518 transcript:Et_7B_053518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTVGNKCFAACVTKPGTSLSRSEGNCVSHCVDRYIEATGIISRALFSSKP >Et_10B_003934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7930830:7931656:1 gene:Et_10B_003934 transcript:Et_10B_003934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSELNDIQNFLYNWFLDADNPCYFVGIQCSEDGRTSSLSLNNHGLSGTLSPAIGNLTGLRVLHNNSISGPIPVSIGKMTIMLALDLSNNQFMGTIPSSLGDLKFLNSLKLNNNSLTGVLPDSIVTGFI >Et_4B_040054.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:8432566:8433573:1 gene:Et_4B_040054 transcript:Et_4B_040054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAVATGGDDVVGPRKGGAGDGGGATPPPPGQQQPPPEQGLRCPRCDSPNTKFCYYNNYSLSQPRHFCKTCRRYWTKGGALRNVPVGGGCRKNKRSRSASSSRLSLNLPAVEGIADQQRMGFLAGGGAPVVSSSPIGGADYHQGAAVGMMALQPRLHAPDVGHYVPFAEWPSVGQQGIDITGNGNGNGVHAAAVSSSIASSIESLSFINQDLHWKLQQQRLATMFLGPPSSGTAAVHGGVDGAAAEHGGGGHFLQMAGAPPPAMEPAPPAATSWFMDSSYVLPSPPAPAAAMVTATTTNGNVDNGNATSNNSNNCGSAIAAWGDMSAFAMLP >Et_1B_010462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10807140:10807530:1 gene:Et_1B_010462 transcript:Et_1B_010462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKHHGLFHRNEDEEVDYEKKEKHHKHMEQLGKIGAIAAGAYALHEKHKAKKDPENAHKHKTKQEIAAAAAAGAAAFVLHERHEKKDAKKQGQN >Et_7A_052359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7117028:7129717:-1 gene:Et_7A_052359 transcript:Et_7A_052359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRTLFLSCFHGGGFEVSRHLALRPRYPSMPRRPRSGAVGGEGDGGGDLEAAAGSAAAEKEEEKVAVFAVSGMTCAACAGSVEKAVKRLPGIHDAAVDVLGGRAQVVFYPAFVSEEKIRETIEDVGFEATLIDEEVKEKNILVCRLHIKGMTCTSCSSTVEYALQDFPGVQRASVALAIEEAEIRYDRRIISASQLVHAVEETGFEAILVTTGEDRSRIDLKMDGILNERSIMVVKSSIQALPGVEEIKVDTELQKITISYRPDQTGPRDLIEVIESATSGDITASIYPEADGRQQHRYGEIKRYKQSFLWSLIFTIPVFLTSMVFMYIPRLKNGLDRKVVHMMSIGELLRWILSTPVQFVIGRKFYTGAYKAVCRGSANMDVLIALGTNTAYFYSVYSVLRAAISDNYMSTDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATLLMHDQEGNVVGEKEIDSRLIQKNDVIKVVPGGKVASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISRVFVPMVILLSLLTWLAWFLAGRFHSYPNSWIPSSMDNFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGILIKGGQALESAQKVDCIVFDKTGTLTIGKPVVVNTRLLKNMVLREFFDYIAAAEVNSEHPLAKAIVEHAKKFHPEENHFWPEARDFISVAGHGVKAKVNGKSIIVGNKSFMLSSDVDIPMEASEILMEEEERARTGIIVAMDQELVGIISVSDPIKPNAHDVISYLKSMNVESIMVTGDNWGTANAIGKEIGIEKVIAEAKPEQKAEKVKELQLLGRTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNIIGMPIAAGVLFPSSRFRLPPWVAGAAMAASSASRRLALRPRYPSMPRRPKGAALAGDGGGGGGDGDLEAAVALAEDEEKVAVFSVSGMTCAACSGSVEKAVKRLPGIHDAAVDVLGGRAQVAFYPAFVSEEKIKETIEDVGFEAKLIDEEIKEKNILLCRLHIKGMACKYCTSTVEIALQAFPGVQRASVELATEEAEIRYDRRIVSAKQLLHAVEETGFEAILVTTGEDRSRIDLKMDGLLNENSIMIVNTSIQALPGVEQIKIDTELHKITISYKPDQTGPRDLIEVIESATSGQVTASIYPEADGREHHRYGEIMRYKQSFLWSLIFTIPVFLTSMVFMYIPGLKDAVERKVLNMMTIGELLRWVLATPVQFVIGRKFYAGAYKAMCRGSPNMDVLIALGTNTAYFYSVYSVLRAATSDNYMATDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATLLIRDRDGNIVGEKEIDSRLIQKNDVIKVVPGGKVASDGFVVWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHIRATFVGSESALAQIVRLVESAQMAKAPVQRFADQISRVFVPLVILFSLLTWIAWLLAGRFHSYPSSWIPSSMDSFQLALEFGISVMVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESAQKVDCIVFDKTGTLTIGKPVVVNTRLLKNMVLREFYDYAAAAEVNSEHPLAKAIVEHAKKFYSEENQIWPEARDFLAVTGHGVRAKVNEKSIIVGNKSFMLSLDVDIPIEASEILMEEEDRARTGIIVAMDQEIVGIISVSDPIKPNAHEVISYLKSMSVESIMVTGDNWGTANAIGKEVGIEKIIAEAKPEQKAEKVKELQLSGRTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNIIGMPIAAGVLFPYTRFRLPPWVAGAAMAASSVSVVCWSLLLRYYKSPKISAS >Et_9A_062036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19006456:19007266:-1 gene:Et_9A_062036 transcript:Et_9A_062036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIFSHFPVCERKRRMTKVPCSQSRPLMSVETAYLSGGPCPAPDLVRMPMFWQYKVLLVLSMSGRRNSSPVTGLQTKRVMSGSASTLVILRCRVPSARRRREPRQRPSPLVVQTVPPRLPRRSLSGSGLGRRSKSSMGTVGGARGLGEVEGRPRRRRSDRVGVVDHRPRRARGGRGEGAVEADGRGRGGGEGVPERHFGRRRCRGGAGEAEEAWVVVHGLGTQSSSSDSSSRGPFGRGRVPRTAPGALQPLHSVHMDT >Et_1A_006182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18938236:18955166:1 gene:Et_1A_006182 transcript:Et_1A_006182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPLDAAAAHPLEPEAFARDSRAVLSFHAEYYGDVDKYPVRAADVGPGRLRALLPEAAPDRVRRGDAVGRAQRRAAAELEAVVIDWMAKLLGLPRWLLFSGGGGGVLQGSTCEAVVCTLAAARDRALAALGEGHEAISRFAVYASDQTHVTFHMGARLVGIPPSNFRELVTSPASGHGLTADAVLAAVERDVARGLVPLYLCATVGTTGIGAVDPVRELGEVARRHGMWLHVDAAYAGSAAVCPEFRGHLDGAELADSVSMNPHKWFLTTKWFERAVELDERFEVVAPRKFSLVCFRLRRPTCCLLSQQPGDDHTVLNDVNRDLLAAVNASGRAFMTHFVVEGKFVIRLAVGGASTEMRHVRDVWDLLQRMADDGVPLKHRREQNGANRDLLAAVNASGRAFMTHFVVEGKFVIRLAVSGASTEMRHVRDVWDLLQRMADDRSRVRRGDAVGRAQRRAAAELEAVVIDWMAKLLGLPRWLLFSGGGGGVLQGSTCEAVVCTLAAARDRALAALGEGHEAISRFAVYASDQTHVTFHMGARLVGIPPSNFRELVTSPASGHGLTADAVLAAVERDVARGLVPLYLCATVGTTGIGAVDPVRELGEVARRHGMWLHVDAAYAGSAAVCPEFRGHLDGAELADSVSMNPHKWFLTTKWFERAVELDERFEVVAPRKFSLVCFRLRRPTCCLLSQQPGDDHTVLNDVNRDLLAAVNASGRAFMTHFVVEGKFVIRLAVGGASTEMRHVRDVWDLLQRMADDGVPLKHRREQNGANRDLLAAVNASGRAFMTHFVVEGKFVIRLAVSGASTEMRHVRDVWDLLQRMADDVLQRYQS >Et_5A_042007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5133795:5136661:1 gene:Et_5A_042007 transcript:Et_5A_042007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSARLALRRSLAVPPRAASLGAGLRRATEEPYLRGLPHGQFLPRLFSSDAPGSKVLRVTQVSKSSPLSGFLTNAMNTREPAANKDSILENNKEFQQFRQDYNARTQFNFRNTYNGGTMSNTTGGEKPSIFGGPFPFAASRPFNDQKQFSRKKTKDFVHVLLKGNKTFVTVTDAKGNRKTGASAGCLEDRKGRSRLSRYAAEATAEHVGRSARKMGLKSVVMKVKGASFFKKKKKVILGFREGFRGERVRDQSPIMLIHDELVVCVLYFLNFLKEVAQSTYPRALYISVLGSMTVKSEEGRIQRGSGSTH >Et_1B_013734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14785871:14787748:1 gene:Et_1B_013734 transcript:Et_1B_013734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENQKPKAHSPPDSYIKSSRANPSRTLSIGAAAAGSAAVAMSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Et_1B_013488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:956063:958985:1 gene:Et_1B_013488 transcript:Et_1B_013488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVGNGDEGWRRSGIEVSALQFGYDAQPPLFARFNLRIAPGSRCLLVGANGSGKTTLLKILAGKHMVGGRDVVRVLNGSAFHDTQLVCNGDLSYLGGSWSRTIGSAGDVPLQGDFSAEHMIFGVDGVDPVRREKLIDLLDIDLQWRMHKVSDGQRRRVQICMGLLHPYKVLLLDEITVDLDVVTRMDLLDFFKEECEQREATIVYATHIFDGLETWATDVAYIQEGELRKSAKYSDIEELKGAKNLLSVVESWLRSETKLPKKEQPPRSETQPRRSSPFDASPFRSSRHMAYYR >Et_9B_064710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17961023:17965357:-1 gene:Et_9B_064710 transcript:Et_9B_064710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSEIDAAARHFAAMARIVGPDPKAVKMRRHAFHLHQSGSTTLSASALLLPRGALAEPPELLDHICAAHGHLEGDVALTAASLVEPFLIAEQRNNPGEEMHPKLVPEARLDVLVEHDELGNAKDGKARGPRWLSAHLLAVVDVPASADSVLSLLKHEESLVGAASWDLGWSLADVNQKQVENDIRSSLEFNRNSASIESMDPSKLAKSATRIAILGIPTMTANNARHINVSAIQHRGDSLLIVGSPFGIMSPFHFFNSISVGVVANCLPPGTARSSLLMADIHCLPGMEGAPVFDKNSCLVGLLMQPLRQRGSSIEVQLVITWDAICIAWNRSKNRSKMEKIRRPPSELPDHNNADHKTMELSCAKNYGRFVSFPANNLNGYCTSPSSLSKAISSVVLVTVGDTSWASGVVLNKNGLVLTNAHLLEPWRFGRTSTLGLQNKTTSMTGEYIFHGEKEPLHSQQCGVSNEDVVKHEVSLFNLGFKREKRISVRVDRGERQMWCDANVVFISKGPLDVALLQMEKVPIELNAIRPEFVCPTAGSSVHVVGHGLLGPRSGLCSSLSSGVISKVVKIPSALNSHLADTTEAGSMDIPVMLQTTAAVHPGASGGVLINSHGLMVGLVTSNAKHGGGSTIPHLNFSIPCKPLEMVFEYSANGDSTVLRKLDKPNEVLSSVWALAPASSPFPSGSPENGRGEKVLEFKKFLADKQQVLRSNRDLEELLRRKISSKL >Et_5B_044724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5104336:5104937:1 gene:Et_5B_044724 transcript:Et_5B_044724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDARRMLSLLLLLVAGTAARLSVLAAAQQASGVVATFNLYNPAQIGWDLGAAGAFCATWDADMPLDWRQRYGWTAFCGPSGAHGEPACGRCLAVTNEATGAQAVARVVDQCDNGGLDLDAAVFSQIDTDGAGAASGSLVVDDEFVECQD >Et_10A_000604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13524244:13528869:-1 gene:Et_10A_000604 transcript:Et_10A_000604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAPHGLAQPPARAALCSHPAASSTATARGFSSHPSSSPPRLSLRAAAAASTRAVRLPAAKAAVSTATPASTASAAMDAVAEWGLTPLSVVDPEVYDLIEREKRRQRAGIELIASENFTSLAVMEALGSPLTNKYSEGMPGARYYGGNEVIDEIEELCRARALAAFHLDPASWGVNVQPYSGSPANFAAYTGLLEPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSSDTGYVDYDRLEEKAMDFRPKLIICGGSAYPRDWDYARLRAIADKCGAMLLCDMAHISGLVAAQEASNPFEYSDVVTTTTHKSLRGPRSGMIFYRKGPKPPRKGQPEGALYDYEDKINFAVFPSLQGGPHNHQIGALAVALKQSMSPGFKAYIQQVKANSVALGNHLMSKGYKLVTDGTENHLVLWDLRPLGLTGNKVEKLCDLCSITLNKNAVFGDSSALSPGGVRIGTPAMTSRGLVEKDFVQIAEYLHQAVTICLNVQEEHGKLLKNFEKGLVNNKDIENLRAEVEKFATSFEMPGFRVSDMKTVTPPVSALSRHASWLRCERQTRPAAAPGGLRPNSTCLARFRLTTRPEYGSVSSTAQVWPDFELASLATSGCRDVCASAKSGSWVSASHVRQTRRRRQSSLAGKRSRISDRSSAGSRSKPSLAATAGGEVASLARLFCGGGVEAIVASDSVQARMHRSLRL >Et_3A_025565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30837000:30844137:1 gene:Et_3A_025565 transcript:Et_3A_025565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSVIGQFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPDAAMRACIDPAPVIDGRRANCNLASLGVQRSRPPTPQHAGARSFRVMKSFSQQAGIQGGLGAAFPSHATFPHYAIPQGLPYHVYGYSPYTPDYSYPPSYYNIYGGGQYQFYGGAAAGMVTGTSPFYPYFQFGQSRNTTTNYTSGQGYNLQYPQMFHFSTVASTAAAVTGFAQQYGGPLSLAASPQAQAACIKVVKALRRACAPNQRRDQRSVNCVSVKPGAILPQVLLGMDHVLVMPEL >Et_4A_034063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29619771:29621318:1 gene:Et_4A_034063 transcript:Et_4A_034063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEEANRAAVESCKKLVAVLSRSGDAFQPMAVAAETDDAVARFGKVVAVLSDRLGHARARVGKRSAPPVDASYLMDRPLKAPCQTPSIGHLVTAPAPPLPATSTTPQSVVMMRSQETEVAPAVAVVTPRANVMTLAPAKFDRNMFLETPLLDLNSCSVLSSNAVALQKNSAKVVAAVPAANPCATTTHIQFQPQQQQQQAKAQKSFLFDQTPSAEQFHIEVPVPAARGGGGAKEVISFSFDNNSVCTSSAATSFFNSINSHLISMSDAATSSAATGKKACGKRGEDGGVKCHCPKKKKPRDKRVVRVPAISDKNADIPADNYSWRKYGQKPIKGSPHPRGYYRCSSMKDCPARKHVERCRSDPTMLIVTYENDHNHAQPLDPAVLAANAETCV >Et_1A_005627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12106129:12110092:-1 gene:Et_1A_005627 transcript:Et_1A_005627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPQRVLTPWAVLLLALQLASASHVIHRSLEAEATPPSVPASIVSPLLRTGYHFQPPKNWINAPMFYKGWYHLFYQYNPKGAVWGNIVWAHSVSRDLINWIPLEPGIVPSIPSDQYGCWSGSATILPDGTPVITYTGITRPDINYQVQNIAFPKNSSDPLLREWVKPAGLNPIAVPDAVVNATQFRDPTTAWFADGHWRMLVGGVRDDRGLAFVYRSRDFKKWVRAKHPLHSALTGMWECPDFFPVTGAGLQNGLDTSDQSGVKHVLKNSLDRTRYDYYTVGKYDKSTDRYVPDDPNGDMHRMRYDYGNFYASKTFYDPVKRRRILWGWANESDSVTDDRAKGWAGIQAIPRKIWLDPSGKQLLQWPVEEVEKLRGKPVSVGGKIVKPGQHFEVTGLGSYQADVEVSFEVSSLAKAEPFDQAYADDAQKLCGVKGADVKGGVGPFGLWVLASADQQEKTAVFFRVFKDGYGKPKVLMCTDPTKSSLSPDLYKPTFAGFVDTDISSGKISLRSLIDRSVVESFGDGGKICILSRVYPSIAIGKDAHLYVFNNGETDITVSHLTAWEMKKPLMNGA >Et_3B_029375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24515568:24522978:1 gene:Et_3B_029375 transcript:Et_3B_029375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYGLFRVATGDGGGCLPLPIVASEAVLAVVDGSIAAAAFVQQEFMGTISNKDGLGKSIRSIFRVYHHGYLSEMDLLGAWMRLCSHGVDLCHQTNDEDEDDVRSHQEALLDRTKTKPGIRPVNIRRRCCPGIQLGSRQKFVLILSFLVMFAFAILIWVGRGENPIDSSLLKRVYLDVFSVVVLVLGGALACYGALLFSKMSKVRSETVSAEKWKVTSLAAVSLICFSSSAILALVTNVPVLLYWYSTDADIIYNAVILFVYYFIGSSVPSGFVLWIMRDLPHRQAVERPIESRVVTLFRERPSTTQDPQWRTAVTSSNKTRFVVHSCCL >Et_2B_021612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3554421:3571784:-1 gene:Et_2B_021612 transcript:Et_2B_021612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRVFIVHMLPARASRLCARALAVGMMTEGYVWIVTDNIGVVLDVFPQHTTETMQGVVGFRPYVENSARIVDFMARFVTRFRAKYHQYPDTRMIKPTVFQYWAYDVAWAVATAAEKVKRSKFPNIGSQTPKYVGKNLVDGLLTSLAGPTLLSSISEANFYGLSGKFRLVDRHLQVPIYEVVNMIGEKARCIGFWSPDCGLMKNMSFSSSQGQVKSCISSSAVLKPVIWPGDSIAVPKGWDFPVNAKALRIGVPVRREFKFFVDAETNPDTNGLSVSGFSIDIFEAAVKRLPYALRYEYIPYDCANSYDQLITQVYMKCYMIVILCDRSNYEEPSFKGCIGDLVLRGACVSAELYSKLVILLTAQRLQPSVTDPRQLLRDGHYVGYQNGSFVHALLRRLKFDEHKIRVLSTLEEYADALRKGSKHGGVSAIFDEIPYLNSFLKQYGKEFQIVGPIDRTDGFGFREKVTGYSIDIFTTAMEKLQHPQRYKFFGFNGTYDEIVRNVSTGVYDAAVGDVTITAERVMEADFTMPYTQSGLSLLVLSVNDSKLIQWIFLEPLTKELWFATVGGFFFTGFVVWMIERPRNPEYQGSSLRQFSNASYFAFSTLTFSHDQIIRSPLSKFVVVIWCFVVLVIVQSYTANLSSMLTAKRLQPLVTNLNQLMLNGDYVGYKDGTFVRSFLRKEGFPNERLRAYGNQTEYAEALRKGSRNGGVSAVVDEIPYLTYFLFDPQHKKEFKMVNHMYRTPGLGFVFPLGSPLVHNLSIAILDLTGGNEISQIEDRWLGTAALSTGDGNPIADSAPLSLRSFSGLFVITGCVSTLMLLIRITSSVYARYTRLQGSRLQNSDTDSGSAHLEQSSALRDDMGNGSVSDQHHSEDRSEHGLGAHWRSASVGREEPGQNHNSSVPADSVQIEMGGSTEEGVFENFVKFAIDIFETAMSNLEHPSEYELCAFDGSYDELVGNVNFGVFDAAVGDVTITEQRARIVDFTMPYAGSGVSLLVLANKDSKPAIQWIFLKPLTTELWLTTVGFFFFTGFVVWMIERPRNPVYQGSSLAQVSTALYFAFSTLTFSHGQIIRSPLSKIILVIWCFSVLVLVQSYTANLSSMLTAKKLRPQVTDLGQLVRNGDYIGYQKGAFVSSVLQKEGVRRDRLKPFNDQAEYAEALRNGSVSAIADEIPYLSYFLSDSHQQNKDFEMVGRLYKSPGFGFWFGKATPSTADGSPIADSTALTLRSFSGLFVITGCVSTLMLFIRIGRSIYAKYSRVRGSGLQDAVQDGYGSVPDQSHLEVRSDHSMGARGSGRIVDNEETGQIQNGMQNGSEPAFSVQIEILSAAFSYGVASMAMVFVNKAVLMQYANSMTLLTLQQIATALLIHFGQGLRMSKRKDFSLANAKKLLPVSLFYNANVGFALASLKGVNIPMYIAIKRLTPLAVLVGGCIRGKGKPPTQVTLSVICTAAGVLIAALGDFSFDLYGYCMAITSVFFQTMYLVLVEKSGAEDGLSSVDLMFYNSLLSLPFLFFLIIATGEFPHSLSVLSEKTASLSFSVILLISLVMGIVLNFTMFWCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVEVHALNVTGLVINTFGGVWYSYAKYMQQKRTPRKIEPDEESRGHKRVDLRVRSSRGDVVTAADAEITHTRRFFAR >Et_2B_019514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:42361:43294:1 gene:Et_2B_019514 transcript:Et_2B_019514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVGAGAPAPPLVRAPPVVVAPRLPPFFFSFPLRRRGRIAAAKQNHQGRRRWWSDSDQEEYDDEEEYDDFFPGGSLGGELFDEPWFSKVFKTYGYLLPVMLASMLVATGPRAFLMAMAIPLAQSAISFLLDAIWGRNDRRRQTPFEEEEEYEDFPKYGSDFASASGRERSSSSSNNYYGRRRQSYQSWVSDDFVADADDYSSNDRGVGQKTSGFGGWDELLDKDDDAGQARNKASSRPTTDDAPLSRKRARMSASTKYKQAPMLMRLLVAVFPFLGSWFRLL >Et_1B_013360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7747932:7750148:-1 gene:Et_1B_013360 transcript:Et_1B_013360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGFQLGVIGSLTLSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTFFTLHVAQRMRFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSETIKFSLLVLLLGVGIASVTDLKLNLLGSVLSALAIATTCVGQILTNTIQRKLKVSSTQLLYQSAPYQAAILFATGPFVDQLLTNRSVFAHKYTFPVVAFIILSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTMRNILGILVAIFGMALYSYFSVREGKKKAAGDALPVSQMPDKETEPLVATKDSSDAKKANGVAQD >Et_8B_060734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5859128:5864147:1 gene:Et_8B_060734 transcript:Et_8B_060734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPQLIDDAVAEILLRLPPDEPACLVRASLVCKPWRRLLSDPAFLRRYREFHRAPPLLGFFRNIYDEDPLFRFVPTSAASPFSAPVLDGRYRWTLECRHGRVLFNTFGPRGQELVLWDPVTGDQQSVPKPDADIYNTAAVLCGTDGCNHLDCHGGPFRVVFVGNFVEDDSEFFWWVSEYSSETGALSAPTIIQLNCFVEARPSVLSGDALYFSLDQGKRILKYDLVERRRGLSVIDAPDAYEQPEGIIVTSEDGGLGFIGVKDDSMYLWSWQVGPNGVSGWVQGRVVKLKTLPTLDSSASFHVIGFAEGTDTVFISTDVGIFTIMLNSGKVRKVGESGSYFAIAPYMSFYTSDRKKRKQHCILRSSSAADTRLGHARGNLEIFTECIFLATAPQIVCTASPGRDGYWYSKWCATSLSRVHMRVHSSRVTPRSSPSRNPFFAASAARTNTQNSE >Et_5A_042909.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6881104:6882120:1 gene:Et_5A_042909 transcript:Et_5A_042909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATSFLLVLLVAASAAPSPALSSPPPRRCTPGDLHALLAVKQSLGNPKTLSTWTASSPDCCSWDHLRCDDAGRVNNVFIDGADDVRGQIPSAVSGLTALMSLSLFRLPGLTGTIPPCLTALSNLQFLTISHTGVSGPIPEHLARIRSLDSVDLSNNRLEGRIPAAFADLPNLRSLDLRHNQLTGPIPAGLVQGQFRSLVLSYNRLSGPIPRDDAQDEINTVDLSHNQLTGDASHLFVPGRPIGKVDLSWNDLDFDLSKAVFPPELTYLDLSHNRIHGTVPPSLGRLSTLQKLDLSWNHLCGPLPRYHGVMKHGCQPYEHNQCLRGSPIETCRRLS >Et_8A_056331.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23136287:23136385:1 gene:Et_8A_056331 transcript:Et_8A_056331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSRVRNLTDRVEQRLQLQSNLGDDTEDAE >Et_2A_018034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9275404:9280878:1 gene:Et_2A_018034 transcript:Et_2A_018034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLCKFYMHGACLKGEYCEFSHDWSDQANNVCTFYQKGACSYGSRCRYDHVKVSRNPTVMPPPPSSSSTRRVASTSLQLLGSSHPPHTVHQTDTSNETQQVSHSASKPAWRNDFLKASAAEDGIDWSSNPTEQNQTSMRPADLPICSFAAAGNCPYGESCLQMHGDLCTTCGKMCLHPYRPDEREEHIKLCEKNHKRLEALKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCIACIRNWRNNSPSSGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKQEITESYKSKLKSIDCKYFDFGTGSCPFGTSCFYRHAYRDGRLEEVVLRHLDADDGSTTIGLPQSDASLGTSSCTYIKYSDDQLRVANFLDQSLTRPSCGM >Et_10B_004160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16825454:16829297:-1 gene:Et_10B_004160 transcript:Et_10B_004160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVEAAAAEGTSASSVQRSGGKRVCVGGGEDRHGRRRGAKERRMLMTHVDLIEKLLNYNKLTTSTAAMPIQPASHLYSSLLQQRTGAVLNMAI >Et_5A_040625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10539595:10540417:-1 gene:Et_5A_040625 transcript:Et_5A_040625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIDTSNPPTCRARIYKYPDYNLRVTNSEHLTELKDKLTRIYLTATYMHLDEELLSCTALSLSQGARREQPRRARARGVLRLSELTLVAFYGPEEGCGIFGDGAGAVVVGGDPVVDAAEHLLFEMIFASQTTVLETKDAIAMRITSGGLDFRISSQVPRLLGYTIERCLLLGLGAGKLATSRHVLREFSNMSGATVIFVLDELRRRRQA >Et_3B_027847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22568498:22568800:-1 gene:Et_3B_027847 transcript:Et_3B_027847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSETFRRSGSSGTGELAEPPKKDARQQRSGSGGHGGYTARGHVQPALDPPSPRVAACGFCSIFGKDKPPAHGAGGRANANGRRR >Et_1B_013175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6010789:6014094:-1 gene:Et_1B_013175 transcript:Et_1B_013175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKRLMADQLEEWKEYYINYKMMKKKVKQYVQQTQTGGKNRDQVLKEFSRVLDDQIEKIVLFLLQQQGHLASRIENLGEQRAALMEHSDAAQICQLREAYRDVGYDLVKLLRFVDMNATGIRKILKKFDKRFGYKFTDYYVSTRANHPYSQLQQIIKQVGVVAVVGALTRNLASLQDHQGSFSSIYDHPSIILKVFYLGFPHVQDPVIEQINLSVQKLTHSTSFLHFLGHHALIVPEDLQSGSDLVDEKSYHFVSLLLNLLNAFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQIFSSVYFSAWSNRSYFRPLVFSSIMLFFGNLLYALAYDLNSLTVLIVGRLLCGLGSARAVNRRYISDCVPLKIRLQASAGFVSASALGMACGPALAGLLQIKLKIYGLTFNQNTLPGWVMCLAWLGYLFWLWISFKEPAHIAMENSANTLSSDSDRRVSGNLEDGLGQPLLLDAKEGHDQDGDDNDDNDEDPEDSHKPAASLADAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFKWTTSTVAIFLAVLGLTVLPVNIIVGSYVTNLFQDRQILVASEIMVLIGIVMSFCFTPHYSVPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGYLGQSRLLNATLLPSLVICVASIVATFCTYNSLY >Et_2B_021542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30428590:30432560:-1 gene:Et_2B_021542 transcript:Et_2B_021542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSFKRTDSIAESMPDALRQSRYQMKRCFQRYVSKGRRLLKNQQLIEELEKSVDDKVEKEKLVEGFLGYIICSTQEAVVLPPYVAFAVRMNPGIWEYVKVHSEDLSVEGITPSEYLKFKETLYDERWAKDDSSLEVDFGALDLSTPHLTLPSSIGNGLQFVSKFLSSKLSDRPESMKPLLDYLLTLNYRGEKLMINDTIDTVNKLQTALLLAEVFVSGLPRYTPFLKFEQRFQEWGLEKGWGDTAERCKETLNCLSEVVQAPDPINMEKFFSRLPSIFNIVVFSIHGYFGQEKVLGMPDTGGQVVYILDQVRALEEEMLQRIKQQGLNVTPKILVLTRLIPDAKGTKCNVELEPVDNTKHCSILRVPFKTEDGKDLRQWVSRFDIYPYLERYAQDASAKILDILEGKPDLIIGNYTDGNLVASLMASKLGVTQGTIAHALEKTKYEDSDVKWRELDKKYHFSCQFTADMIAMNTTDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRFATGINVFDPKFNIAAPGADQSMYFPFTQKQKRLTDLHPQIEELLYSKQDTDEHIGYLADRNKPIIFSMARLDKVKNITGLVEWYGQNKKLRGLVNLVVVAGLLDASQSKDREEVEEINKMHNLIDKYQLKGQIRWIRAQTDRVRNGELYRCIADSKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHINPMNGREASDKLADFFEKCKEDPSYWNKVSTAGLQRIYECYTWKIYATKVLNMGSTYGFWKTLNKEERVAKQRYLQMFYNLQYRNLAKTVPRVGEHAPQTATSAGPSAIAVRPKERKPQTRIQRIMTSFLRQKDSTSG >Et_4B_039272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9307627:9319445:-1 gene:Et_4B_039272 transcript:Et_4B_039272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGMPRGFGSGPERDIDDLPRNDANYTALTPLWFLERAALAQPNRASVVHGPVRYTWAETYRRCRRLASALARRSVGHGSTVAVIAPNVPAVYEAHFGVPMSGAVVNCVNIRLNAETIAFLLDHSVAEVVMVDQEFYTLAEESLRIVAEKKISAFRPPILIVIGDPTCDAKSLQYALGKGAIEYEEFLKTGDPEFHWKPPKDEWHSIALGYTSGTTSSPKGVVLHHRGAYLMALSVAMVWGMPEGAVYLWTLPMFHCNGWCYAWSLAAFCGTSICLRQYEKFLETGDAEFAWKPPQDEWKSIALGYTSGTTSNPKGVVLHHRGAYLMSLSGALVWGMNEGAVYLWTLPMFHCNGWCYTWSLAALCGTSICLRQVSAEAIFSAIAHQGVTHFCGAPVVLNTIINAPPSDTILPLPRVVNVMTAGAAPPPSVLAAMSKLGFRITHTYGLSETYGPSTVCAWKPEWDGLPADERARLHARQGIRYVGLEGLDVVDPKTMAPVPADGSTMGEIVMRGNGVMKGYLKNPKANAEAFENGWFHSGDLGVKHPDGYVEVKDRAKDIIISGGENISSLEVEKVVYQHPAVLEASVVARADEQWGESPCAFVTLKDAVGRSDDAALARDIMKFCRERMPGYWVPKSVVFGPLPKTATGKIKKHELRTKAKELGPVRKSRM >Et_7A_051847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25540119:25545365:1 gene:Et_7A_051847 transcript:Et_7A_051847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGSGAGSGSPSGGSGSGGGGRGGGGGREGDWDCGSCGNRNYAFRSLCNRCKQPRLLVDPHTPRDSKWLPRAGDWICTGCSNNNYASRKNCKKCGLPKEEAAMPAMQMAGMAMPAYASYMARLQSLAASASGFKMNFGMAGNSALQQQLLASASWQYGMAGRYGMQPSGWPYGNSNTNQFQGVPKDWRDGDWLCSCGFHNYSSRTECKECNAPVPSGIPSTTMKSSTSDTSSTLGIKRLASEELANDWDNKRLNPGNSSYPLSTAGSDSLFLGIQQGVGSSNGQTAYSKFDSGNLMTLPSGQGLMGKGGKWREGDWMCSNCNNHNYASRAFCNRCKTQKEASVHPGYVALEWQMTQTSTPQING >Et_7A_050482.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:18675410:18675448:1 gene:Et_7A_050482 transcript:Et_7A_050482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLEGPEPTMF >Et_2B_022352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18921647:18923279:-1 gene:Et_2B_022352 transcript:Et_2B_022352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSWVLRKNLAMSTDDATTTGNEPKWTRISGPYCFDSALRERCGSEPRRLKFPMTGHGLGPGRHRKLMD >Et_9B_064110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11305462:11307164:1 gene:Et_9B_064110 transcript:Et_9B_064110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHINLVAFVSTLSLLLSCALGARVVCEQLPAELCAFAVSSASRRCVLENTQRAGRPTEYECRTSEVAVEDAGLAGRVETDGCVRACGVDRATAGISSDSLLDARVAAALCSPACFQGCPNIVDLYSNLAAAEGVVFSELCEAHRSNPRRAMAQLQSSGEANGVPATGHLDVAPAPSPAM >Et_3A_025767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32687139:32692754:-1 gene:Et_3A_025767 transcript:Et_3A_025767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDAKPASPAAADPPADDAKDAEPEPETNAEAEAEEGKKQEEEQKTPQQPEKKRGRRKKGEAQAEKKTPPPKKATPGIERPSRERKTVERYSELAPRVTPVKKSPAILQGSGTKLKDIPNVSFKLSKRKADENLQSLHTLMYGKKSNVHFLKRNIFQFSGFVWTDNQEKHRNRIKDKLDKFNKEKLLDFCEILDIHVSKATTKKEEVSAKLLEFLESPCITRDVVLTDDKKKRRRRSKGNGQATTEGASSEKKRKRGQKEADEVEKDNDDEDDAGSEDASMEGDDSAEANDHAVSDEESDEPPAKKKSTEVKQEKKEAGPKAKEKDTPKKSATKPAKGASKASEDIKDEPDVESKKVGKRAKTSKESDVPVDSNKGNKKVSKSKKDETQNIKAGAKDGAKLSNRSKGKGKGGADAGSAPTTEQLHAVVSTILKEVDFNTATLADILRQLGTHFKMDLMDRKAEVKRIIEEVINSMSDDEDGDDNSEDEAEGNGTAENSKDDPKGGEENVLMPQAPVCM >Et_8B_060741.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5918460:5919146:1 gene:Et_8B_060741 transcript:Et_8B_060741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKQEVSGGSGSVAPMCANGCGFFGSAATKNLCSKCYKDLVSKAVDEATEHMAATAIDPDNDQTVAESSAAAAKATVAVTAEKEETPAAVLCAGGCGFFGSAATKNMCSKCYVDSLKTVDAAPALVEMIKAGKAALAPDQPASSSAATAAEPAAEEEAPAVKVAPNRCAACRKKVGLLGFPCRCGGTFCSVHRYAEKHACDFDFKTADREQIAKNNQLVVAPKINKI >Et_4A_032200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23023764:23024555:-1 gene:Et_4A_032200 transcript:Et_4A_032200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLALGKMANTAETRLLSAGIDGYIRHPQPLLSVACSPCGTVLVSGSAKGKIYMGKKKKKAVDEEEGSKGVNGDIDWVSPAPEKPVLRPNYFRYFLRGQGEKAKEGDFVIAKPKKNHTRSVVAVMEELVSRRKLTTCIGNLGTDELGLLLEFLFRNATLPRYARFLLGVANKVVEMRAEDIRSDEKLRGYMRNHKRMIAEEIQIQYSLQGIQGMISPMPFLASG >Et_4A_034432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32545374:32547256:1 gene:Et_4A_034432 transcript:Et_4A_034432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGGYSGRGGALDRSSNGRCSNPCLTMHQPWASLLVHGIKRIEGRSWPSPITGRLWIHAASKVPDPDTIKAMEDFYREIYAVNGITDIKFPDYYPVSRLLGCVEVVGCVRSQELVCWEHVPESVRLEGLTDFCWLCENPQKLVVPFEMRGYQGVYNLERRVSLVIFNISNWHYSTHNQGDYVFYAVSQIYDGAVRGLLPVQGPQPAKFPLPDPRDPFSLKPGSLTFDPSKSALEKSASVTAAIAGARAAATQFSRKDHNASTTNDTAQFRVNSVDSSSGYGNLSSIAQGNPSYLQKQNQPSVFQSTPAYTQNPNTEPRRSPRLHNGASNRGTEAIKHQRERRAIISAQKLAQTKFVI >Et_1B_013470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8856230:8858274:-1 gene:Et_1B_013470 transcript:Et_1B_013470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLEAPPPVGLRPATCQPHGVRLPPWRHGFSTSTTFSLCRACTLVSRQLTICNAQSYADDLRVAASQSPASVRSRLLAAEREEAKAVLSLFLRQKGLRSTLAARIVNKSDGFIEHLVSKLQNTYRSRYVGGRELSTPEIRDALLPYLEALSREHGDGLVEVVENFSDPFSEEREALSSSMVLTPTSSNKQKAIARVSTPTSGGALPELVLFLLELGMDHEEIKNVVRKFPAFAYYNVDRKIKPLVELLIELGVPQSSIPGIIKKRPQLCGISMSDNLKPMMIYLENIGVNKAQWSKVICRFPAFLTYSRNKVETTVSFLTELGVSKENIGKILTRCPHLMSYSVDDNLRPTAEYFRSIGADVASLIQKSPQAFGLNVEAKLKPITEFFLERGFSMEEISIMVNRFGIIHTLSLEENLLPKYDFFLTMEYPRGELVKFPQYFGYSLEQRIKARYARMTDRGVRLILNQMLSVSDARFEEILKKKTVV >Et_1B_014360.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6700512:6701180:-1 gene:Et_1B_014360 transcript:Et_1B_014360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLSSGSEPTTSSSAEAPASPTATATTASSSDSAATAAASGAKKRRRNKDGHHPTYRGVRMRAWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVAALAIKGRAAHLNFPDLADELPRAASAAPKDVQAAAALAAAADFPAPDAAGGNAGAKDTEASAGSASTPPGNAEDALFDLPDLLFDLGYGPPSCQLSCAASWDDDACFAGAGVFRLEEPLLWEY >Et_5B_043970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18395214:18401548:-1 gene:Et_5B_043970 transcript:Et_5B_043970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDKQSGSPLLETLRIGRVRTILTHTYPYPHEHSRHIMTAVIIACLFFISSDNMHTLIHKLDNNIKWWSMYVAWICFASLYHLPSFQSMGVDMRMNLSLFLTIYFSSVLFIIAFHIIFIGLWYIGLVARMAGTRPGIWTILQNCTVISIACCVFYSHCGNRAVHKSKSFGSNSDPNLIAFLKNENGSTWISNFLRMNQLKDQICSSWFAPVGSASDYPLLAKWVIYGELVCSGSCAGPSDEISPLYSLWATFVGLYIANFVVERSTGWALTHPSTDSEDEKLKRDMKPDFLDMVPWYSGTSADLFKTAFDLMVSVTLFVGRFDMRMMQAAMKEPRNDTPNDGLLYDYFKEKEDFWFDFVADTGDGGNSSYTVARLLAQPSIQTVIGGSMHTLPRGNLLLIGGDLAYPNPSTFTYEKRLFRPFEYALQPPLWYRAEHIALDKPEVPPGVSRMNEYDGPQCFIIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKKSYFALQLPLGWWIFGLDLALHGDVDVYQFKFFADLCRKKVGENDSVIIVTHEPNWLLDWYWKETTGKNVSHLIEEYLNGRCKLRMAGDLHHFMRHSATRSEKPNFVQHLLVNGCGGAFLHPTHVFKNFERFSGTTYECKAAYPSYDESSGIALGNILKFRKKNWQFDIIGGFIYFILVFSMFPQCNLAHILNEETWSGRLKSFSSTIWSALIYIFEHSYVSSVGSLTLLMASYSFVPSKLSRRKRAVIGGLHVLAHLTAALLLMLLLELGIEVCIRNHLLATSGYHTLYEWYRSMESEHFPDPTGLRVRLEQWTLGLYPACIKYLMSAFDVPEVMAVTRINICKNGMMSLSRSVLIMYYTSVFIYFWIFSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTRFHIKKDGDLEIFTLAVDKVPKGWKLDPKWESEVRGHHQLSHHRRHPSKWRSASSPDPVRSVRVVDHFTIERTRNPVTEPSF >Et_7A_050823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11323934:11328229:1 gene:Et_7A_050823 transcript:Et_7A_050823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAICPAPYLRGPDKHGDARDLVVKVRLRCPIAAARMILYRDVWLGRGGGECGGVEYNGTLKRFNARVTGSHFDHNLAALQSKIASAFKLNSDAEFILTYTDEDGDIVMLDDENDLRDAAINQKLSPLRINVQLKNINTEAQRTKQQASNSRSPRSAALEDQLAQVKSAIDEALKFVPEKVPAVLAKLSHDLGSRAVSSAPSLAELLDRFATLMTPKGNMQTSSGPVYGSSCSCSGGLQASRNTKHENELMTGSASKPLDMQNSESSKAPGLKSVLPEDTKAQVKQAPVYPSVANSLIFTSSGGKKSDRKGSTVSESKGRCDSQSKGKSSTSSALPPIPAAVYYTPAPFVVENKGSTVSESKGRCASQSKGKSSTSSAVPPIPAAAYYTPAPSMDENKGNTVSESKGRCDSQSKGKSSTSSAVPPIPAAAYYSLAPSVVENKARYWPTYGSNGLTSSFHSATPYIPHWGSIGNTNSDWLHPSPTNMFDPPELDMPSPLGFVPSKDGRYPFGSSCKYDVPSSVSDYPEDRFSFGRSYNYGSIPQRALHKWIQCDGCGITPIVGPHYKSNVKGDYDLCGDCFSHMGNDFEYTRLDKPAAVNNQKLLGRTELKLEGSFIKDVTVPDGTPVAPSTPFTKIWRMRNNGCTVWPFGTQVIWVGGDHFAVQSSVKLEGMQYCTEASLTIAVLFVLQISANGVGIAGGQEIDVGVDFLAPAKPGTYISYWSLASPSGKEFGQQIWVLIQVEPIQTNGNKKTVATNLNLPAEASSTASKPFIINLESEPEPDSTTAMNFYPLGSTSNEMFAHFYEPFLPKEPEPASSTFQTTPVPDKQVQIPANYHDASSAGPALTSTAASMPGPETIPLPKYLSFAAPISALSVPAPASVPTAALSDGINHVEEKLLRELEDLGFEQVDLNREILRQNKYDLEQSVRDLCGIGDWDPIDEELSELGFDDAEMNKEAVAEAGGGSIKRLVKNLVARVKDQ >Et_7B_054687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3541006:3544303:1 gene:Et_7B_054687 transcript:Et_7B_054687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSDPDKLMAKADKLTKLSFTRWNADWKTATSLYEQAAIAYRFKKDNEKAKDAFEKASKGQEMISSPWDAAKHMESAAALAKELGRWNEVSDFYRRASELYRECGRSQPASDALSKGASALEEKAPEEAIKMYDEACVLLEEDGKEQMAFDLYRSAAALYVKLEKYSDAASFFLRLGTAADKCNAINSQCKAYLSAIIIYLYAHDFQQAQKCYNDCSEVQAFLNSDQNRCAMKLLSAYEEGDAEEVKRIAQSSAFNHLDHVVIRLARKLPTGDLQAIKKDAAADDGEEPLDEDDLT >Et_3A_024238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18845514:18846020:1 gene:Et_3A_024238 transcript:Et_3A_024238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASNAAGDVIKGIKTTMEMRIGKIVLEPNALLVKQAVESYSHVDCPYDGLITELRLLLDFDFSSARIEYKPRECNRVAHSLDALGVECPVSVVPLLDTLPSCIQVLVANDLVMPMN >Et_3B_028014.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:31751084:31751485:1 gene:Et_3B_028014 transcript:Et_3B_028014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKSAAGSCLSDDLMVEIPSRLPARSLLRFKGVSRYCRDLISHPDHRGKLAQTVSGFFYYTVTPASSRRQQRICASFVAMGTTSDVGQLPTSQIDPAFLPGMEVLDSCNGLLLLRGYRSSPLATAGYFYMV >Et_3B_028282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12872773:12874754:1 gene:Et_3B_028282 transcript:Et_3B_028282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRALLSETTKTPTNVAESKPPIVHGLVILAKLAKNPDKDNCTGIYSTEVLFFRISAPIINNNNLCSMLREIIEKSLHMVSILVRGNSEINKTIRVRQQICGKQLDAKGIHPILRYDRRYNKLKIRAVKILTRLSLADPTRTTVGAGTSTDFIDVLKGLFFDAENETNVRKTAGAEPRFESRGC >Et_2A_018720.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5974058:5974660:-1 gene:Et_2A_018720 transcript:Et_2A_018720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRARRTLTPGHDEVQKVTLIPRGQARGLTWFLPGDDPTLVTKQQIFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQVTQVARQMVTAYGMSEIGPWALTEPAAQSGDVVMRMLARNSMSERLAADIDGAVRRIVERAYEVAKAHVRRNRAAIDQLVDVLMEKETLSGDEFRAILSEYVDIGKEQRDTAARTELVTA >Et_2B_022254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15453975:15456017:-1 gene:Et_2B_022254 transcript:Et_2B_022254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKARSSHGDSRLSVRKSKAEKDPNKPKRPPSAFFVFMEGFRKEYKEKHPNVKQVSVIGKAGGDRWKSMSDAEKAPFVAKAEKLKVEYTKKMDSYNNKQSGGPATSGDSDKSKSEVNDEDEGSVELLEEPD >Et_2A_018334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23652764:23657439:1 gene:Et_2A_018334 transcript:Et_2A_018334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRADRRAVGAAEEDAVLEADLEDPNPDVEELFRHYDELYFRGALAAAGFVVKWSSSLQNSSFGSCTFSKPRNTITLSEPVLQYRSCTDLKKALLHEMIHAIMYVKHSTKLCSSHGPVFRAWMDAINSCSIKDLQRPVGRYNITTRHDFKPEEPLSFRGVLWKLWRQRSVLTGYAIFCSHENECGGSYENMGLKSVTPVQKNVPKGTQLLLTYPSETSKSKGAIQESNSAELPENIKVTINAEDEHLSLNSLMKAAKRHRSEVVQKARVLAVEPSKEVKQKQDSVATEKHKLFSPAGCNDVKSLATTSKNTSKKHKLEDVQEPNVLPAEALGKPKLNQQLVRTEGHKLLSLEGCTGKSLEISSLQKISKRRRSEVVGEASVPSDELPKGTKLKQALVASEDHAVFSPAGCNDASSPGNGSSKKSTKQHKPEDIQKPSTLLDLSQGEPEPNKKLVPTETHTLFSLEGFNDAKTPGNMNAKKAGKQHKLEDVQRPSALSAASQGKPKLTQELVATETHKLFSLASCNNVKSPGSSTPKQTDEQHKPKSAQKACAQRVYPLRRLTKDPVAQEKNVPIHLTGCSHEKILNKSSPKKARKQFEPEDIEKITMLPAVPGLKLKESSFVALRKQQRKCRRQPARKKEFAVMSEWMNIYESDRSSGSTEPLVNKRTVRRKRERERIQIYSRSKKKANSAPLDDSSIPVSDSGIKCGTGSLEDESAQQSRSPFPCSNSLLPVSADQVVTEATGGHSRTPAPCLDIVPLQPADAHGSIPSDSAIIDISDDE >Et_5B_044027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19170443:19171760:1 gene:Et_5B_044027 transcript:Et_5B_044027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVTPDVGDAAVTVREFDGDRDLAADVERLESACEVGPSGKKMCLFTDLLGDPLCRIRHSPAYLMLVAEAAGAGIVGVVRGCVKTVSCGQGQGQGPNNLVFAKVGYLLGLRVSPAHRRRGVARRLVERMEAWFRRAGAEYAYVATDAGNEPSVRLFTQRCGYAKFRAPSLLVHPVFRHDLAPSSSSRRRKARVVELSPRDAELLYRARFPGVEFFPRDIDAVLSNPLSLGTFLAIPAGSPPWQDIEAFLASPPASWAVASVWKSKDAFRLEVRGAPWLWRAAASATRAADAAAPWLRIPSVPDLFAPFGVLLVYGLGGGDGPDTPAMARAVCRHAHDMARRAGARVVAAEVAAGDPVRAGVPHWPRLGAEDLWCIKRLAEGYGDGALGDWTKAPPGASIFVDPREF >Et_10A_001648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6431195:6436305:1 gene:Et_10A_001648 transcript:Et_10A_001648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVSKALDAASLLFSLTIAVSAPLFDSQVVLPRRLYPTPLVSVHRWFAAEFDHFLVADPPPFFRGLVWLALAFLWPVCVANLYGIVTRRRRWVAATSLMAGVYLLTYLSAMFGEMLSSGRATPKLIKFYVPFALLAVALVLRGLRSCSQQQPDTAVSSVASSAQKKTTLDAASALFSLIIAVALPLIDSQVVLPCRVYPAPLVSVRRWFAAEFDHYLVADPPPFFRGLVWLALAFLWPVCVANLYGVITRRRRWVATTSLMAGVYLLTYLPAMFGEMLGSRRATPKLIKFYVPFALLAVALVLRGLCSCSERQPDAAVSSVASSAQKKTV >Et_3B_029900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28944185:28945736:-1 gene:Et_3B_029900 transcript:Et_3B_029900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPATLPLPAAGIVAASPPALVTATGAAAYKRYLPLRLVATPATTSSSPHSTSTSAVSSTPSSERHSNKQLAGRAGTSSKPSKPRVFFLDVNPLCFRGSQRSLSAFARWLALFFSHVSLRDPVIAVLDGEGGNEYRRRLLPSYKAHRTRGVGTGVDSRVVDVLRECNVPVVRVDGYEADDVVATLTEQVLQKGFRVVIASPDKDFKQLISDDVQLVMPIPEIGRWSFYTLRHYIAQYKCDPTADLSLRCFIGDEADGVPGIQHLVPGFGRKTAVKLLQKHGSLENLLNTAAVRTVGKDYAQDALTKHADYLRKNYEVLSLKRDVNVQLDDRWLSTRNTCNDTSVLSDFILKFDEGRS >Et_3A_023681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10967013:10972090:1 gene:Et_3A_023681 transcript:Et_3A_023681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPCPLPNQTPDPDASPPPAPMTPRAPPPRHHPPHLLLAEAVASWYPFHKKPCNSHRSTAPLSSAHFPDAETPTPAPSGGGSGGSFRWLGLRKRRRRGAGSRSVSGRSSDRRRSGTCSDFHFTCGAGGGGATDSSGEMWASDVGEVRMRDVPMATEFGPAPVGGAGTGAGVIGAVAEAAAAESGYGSEPGYRGDVELGYGDEIDEEEEDGKQQLFWGGVIGDMSKMGISDNKFGEQKSHHRCRRRKHDVRMLDPLRIVLRWKEEWSVETNEQHMLLQVLYLNEPLPNQIHHVYLEFESPLVIFRDELVIPPDLEDVTPWSFNNILVVVY >Et_4A_033002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16947772:16950466:1 gene:Et_4A_033002 transcript:Et_4A_033002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRVKKKFWWAYRVIAIHPERDVIFFQSGPDKKLCSYDLVSNEVHVICILGHDCESPVLAYVPLFSELMGDGTKMIPKAYSNCRLISSPPGLHKMEEPPGKRNPAVSFTDDLLVDILSRLPVRSICRFKCVSRSWRNLISHPDHRKKLPQTLTGFFYTSINGERFPIKAHHFTNVTGKGRPFIFPSFSFLPVPSSDVSLVDSCNGLLLCRCFQPGPREAGELPPFHYAVCNPATEKWVMLPDGSWVSGDARTACLAFDPTISSSHFHVIEYVVDEDDYVTGVEIYSSKTKSWNLVECGWINDVKLCDSRTTVFLNGFLHSVPLSDAVVAVDVEGKTWRRIPTPPNNEIGFIHQDQGHLCFINVDSDDAFKLCIWTLEDYGTYKWILKHSVSTLRLFGGKKFRFDLDYEVIAIHPEGNIIFFISGWDKTLMAYVMDRREVRVIRNLGMIALP >Et_6A_047152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2638692:2648485:-1 gene:Et_6A_047152 transcript:Et_6A_047152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLDGPQVKRQLLLSSGSGFPPHRRGNGGHRAHPLPIPRRSTPLAGEHNPCFYTLTMLLHRIPAPSLGQEPRLARPGGRSRRRGTGLVVRAEMFGQLTSGLESAWNKLRGVDVLTKDNITESMRDIRRALLEADVSLPVVRRFVSSVTEKALGAGTIKGVRPDQQLVKIVHDELVQLMGGEVSDLVFSKGGPTVILLAGLQGVGKTTVCAKLAFYLKKLGKSCMLVAADVYRPAAIDQLTILGEQVGVPVYSEGTEAKPPQITRNAVEEAKRKNIDAIVVDTAGRLQIDKAMMDELKEVKKAVNPTEVLLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGRGERLEDLELFYPDRMAQRVLGMGDVLSFVEKAQEVMRQEEAVELQKKIMSAKFDFNDFLKQSQNVAKMGSMSRVVGMIPGMNKLTPAQIREAEKRLAFVESMINAMTAEEREKPELLAESRERRIRVAEESGKTEQEVGQLVAQLFQMRAQMQKLMGMVQGQEAIAGMGDLMESLKADEKAPPGTARRKRRHGKPVQKDLNATSTLAFSPSPAAARRSPEKAVVGSIHLRRFCTTSSLQLRVAQAPVLRAPSSSFQVWRRQRRGCGLVVRAEIFGQLTTGLESAWNKLRGVDVLTKENIAEPMRDIRRALLEADVSLPVVRRFIESVSEKAVGTDVIRGIKPDQQLVKVVNDELVQLMGGEVSDLVFAKSGPTVILLAGLQGVGKTTVCAKLAFYLKKMGKSCMLVAADVYRPAAIDQLTILGKKVGVPVYSEGTEAKPSQIAKNGLKEAKGKKTDVVIVDTAGRLQVDKSMMNELKEVKKAVNPTEVLLVVDAMTGQEAAGLVSSFNDEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGQGERIEDLEPFYPDRMAQRILGMGDVLSFVEKAQEVMRQEDAEELQKKIASAKFNFNDFLKQTKMVTQMGSFSRLVGMIPGMNKVTPAQIREAEKNLKFMESMINVMTPEERERPELLAESRERRKRVAKDAGKTEQQAAAGTGQRRRKYGNLRRRQLDAMRGFRRF >Et_4B_039084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7593225:7595610:-1 gene:Et_4B_039084 transcript:Et_4B_039084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQHIAIFTTASLPWMTGTAVNPLFRAAYLAKAGDWEVTLVVPWLSKGDQVLVYPNKMKFSSPAEQEGFVRRWLEERIGALPRFNILFYPGKFSSEKRSILPVGDITQTISDDKADIAVLEEPEHLTWYHHGRRWKNKFRKVIGVVHTNYLEYVKREKNGYISAFLLKHINSWVTDIYCHKVIRLSAATQEVPRSVICNVHGVNPKFIEIGKLKHQQLCQREEAFFKGAYYIGKMVWSKGYTELLQLLHKHQKELSGLKMELYGSGEDADEVKASAERLNLDVRVYPGRDHGDSIFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKRFPNCHMYSTEKEFVRLTIKAMAEEPIPLSEELRHEISWEAATERFVKIADIAPTMSARQQPPSSQHFMHISPDELKRNMEEASAFFHNTISGFETARWVFGAIPNTLQPDEQQCKELGWNLQG >Et_3A_026474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8474720:8476701:-1 gene:Et_3A_026474 transcript:Et_3A_026474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHQQHSSATGMGAKSKSSWNKMQGLKPCAHINKSSGNVAAKRRRGPGFSLDQSHTETEIPCKTGILMEAPSRDSNKLSTRPPSHSGKIKLQLFPIDETIQKILQQEKHNPYLELILAPRKKISSVVQHLNTKWGSAQCAKGELMLFPNDARLDSIAVSEKWTLKDSCTAADVHVAVGSPSTFRLRYGWFGPNLKQQISESSLASAHFAGSTVVNKPSDLVFGGQKQMVASSEFPSNFVKPSIVDNTNTVQAVDNQIKVAPLSWLDGISNISFGALLSEAAPSQDSKPLPSQMSSGLQQVPGICDSFDAAIASLIARQQGSNQPRVSNPSLWEAEETCHAFSFQNQTSKRTPSSVPGNSSGTVTVSVLGAIPETGTDDDQQCSSKGKEEDLNTQTPVSMDDHNAKPEVSMHESTGDPELGASCSRLLSGTDSLGLTGLLANSLDGFQNFSIF >Et_10A_000013.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:13222867:13223253:-1 gene:Et_10A_000013 transcript:Et_10A_000013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAALKNIAFPAVFLVLVLCSLGQQETTTYCTNYCAATCNTTCNNAVKYCDVAKSSVMNQCMPNCYSNCYRFCHECDCNTTCFGSCGKSADDAYSGCRTALLQSCTSGCNEGCNRNCTNP >Et_10A_001238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22403373:22405652:-1 gene:Et_10A_001238 transcript:Et_10A_001238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAVGITALFLLQLASSYPSSVLADTVLGKKAGIINDENAPARPGRYAVIFDAGSTGSRLHVFRFDRKMDLVGIGDKFEIFAQVKPGLSSYAGRPQEAANSLIPLLKKAKIVVPPDQMERTPLRLGATAGLRLIGDEKTEKILQAVRDLVRTKSKFQYNPDWINVLEGSKEGSYLWVALNYLLDKLGGDYSQTVGVVDLGGGAVQMAYAISANAAAKAPVVPDGKDSYVTREYLKGKEYNVYAYSYLHYGAFSARVEILKAKNGRFSSCMLRGFSGNYTYHGEEYDATAAPEGAIYEKCREEITNALNLNAPCKTKSCTFNGVWNGGGGAGQDNLYLASSFYFLASQVGIIDRKFPSAKVTPAAFRLAAKKVCRLSVKEAKVAYPNFPGTGVPYLCMDLTYQYTLLVDGFGLKSIKIITLVSKVKHGEYYIDAAWPLGTAVEAVSLRRGLEDA >Et_2A_017329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33229282:33230172:-1 gene:Et_2A_017329 transcript:Et_2A_017329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKICLHNSNCKVIAVKKIINGLRMDDMLEKQFQAEIETLGSIRHANIVKLLGCISSSESMLLIYEYMEHGSLYDWLHQKDLTSTTEQLNWPMRMSIAIDAARGMCYMHHDCSPPIVHRDIKSSNILLDVEFKAKISDFGLARAIAKTGEPESISAMVGSFGYMAPEFGSLRKINEKVDVYSFGVVLLELTTGRRATGEAGGHENLAQWAWRQFQEEGFQLTDAIDENIQDASYLREVQLVFKLGLICTGTKPSSRPSMKEVLQVLQR >Et_1A_004925.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:17081399:17081791:-1 gene:Et_1A_004925 transcript:Et_1A_004925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFTFFFANFQAWLRSTCHGVSAAAGKVGDIDHQCVPISVCRAGPPTTRSLATRLALASATRCSCSRGATSPWDAHEAGRAGVQGLVTRGDMVGGRPRGGGELKESPKNSHKISANDYISAILQTSHVC >Et_1B_010210.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30118243:30118323:1 gene:Et_1B_010210 transcript:Et_1B_010210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMQRAQESWISTGTSRPAGTKTFR >Et_1A_008099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4327944:4329857:-1 gene:Et_1A_008099 transcript:Et_1A_008099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSLRNSRSVHSRLLPRKPTAMGPPPARYYYPSRGPPGNAASRPPPQVQNQWRERLPNFLRRITMVGGGAVAMYLSTREVVPYTNRTHFIIFPRKLERFIGELAFDIAKESSKVLPPHHRESVRVRRIASEIVRAVDLDRQRGRVLDAWRSRLWEGLGAAKPRRSSLLDGWEVIVVQDDQVNVECAPGGKIIVFTGFLDKFREDAEIATVLGHEIGHAIARHTAERCTKHVVRSILHITYRPALERASMSIPLLRRRLETEADHIGLLLLAAAGYDPRVAPPFYEKLGKIAGEDGTIHAPTVEAYHPSGTERVRILSQADVMNKALELYRDYWSRSTVIRAVHGGLQHPALLMAFTVSVCSEAERGRKWCANNKTLSSNDE >Et_4A_035445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2519391:2522648:-1 gene:Et_4A_035445 transcript:Et_4A_035445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFGSPCGACKFLRRKCVRGCVFAPYFCHEQGAAHFAAIHKVFGASNVSKLLAHLPLADRPEAAVTISYEAQARLRDPIYGCVAHIFALQQQVMTLQAQLATLKAQAAQGQGVHEDAKGYMGAAAEQLGYGGGGYPWCGSNGGGGSVGAPATQYGNGGGHDSITALLQSDYMQQSLYHALEQADDVDGLQAAAETSSSFGAEEDSGGWRSSSGYQDCEDLQSVAYAYLNHRSLVEVEPDVC >Et_1A_008300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6161750:6164850:1 gene:Et_1A_008300 transcript:Et_1A_008300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AEGDIKMRVIVVEGEEMSARTLKEDKCCEYTLDGSVDIKGRPAVKGKSGGWIAGGLILVNQGLATLAFFGVNVNLVLFLTRVLQQGNGEAANNVSKWTGTVYMFSLIGAFVSDSYWGRYKTCAIFQGIFVLGLALLSMSSRHYLIRPAGCGMEHAPCGPHTGKELGIFYIALYMIAFGNGGYQPNVATFGADQFDEEDPAEAHSKVSFFSYFYLALNLGSLFSNTVLSYVEDKGSWALGFWASTAAAAAALALFLCGTLRYRHVQPGGNPIGRVVQVAVAACRNRNAGESPGVVCLYEGDEKDDCSGGRKLVHTQGFSFLDRAAYADTDSKLQGKRDPWKLCTVTQVEEGAAMRRTTPFPGFSVPPSSMSAFDILTVAATIFLYRRAVCPLLARFTGRRTGPTELQRMGFGLVVGAMAMATAGTVELFRKDRAGAGASSDLHIMWQAPQYALIGLSEFYFLLAVLAVADFAVYLVYASRYGSGKAVDGRRNDDDEEVASPEYA >Et_10A_001188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2262955:2264591:1 gene:Et_10A_001188 transcript:Et_10A_001188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPADVDLGKLSYEIFSLLESKFLFGGGGGVASVPGTPGRPGLAGEERGRVRVLSIDGCGPGPGDALLAAAALARLEAALRARSGDPDARVADFFDAAAGAGAGGVLAAMLFLKGGDGRARYSAADALAFVAASLGKGGGGWGSGVGGGGGRRGSRWAALLFRRFSSSDRSSSPSLRRVFGDATLRDTVAPLLVPCYDLATAAPFLFSRADAVESDSFDFRLRDVCAATCAAGGAAAAPAVRSVDGRTAIAAASGGVAAMGNPAAAAITHVLHNKQEFPLAAGVDDLLVVSIGSGSSAGGAAAAPVAGWRTPMPPRSPSPAEMVRLTAEGVADMVDQAVAMAFGHTCGRNYVRIQVGDYAASPACSGKALSSLDAKKAVAVADGMLTQRNVEAELFRGRRLSEKSNREKLDAFAAELVKEHERRARSPGLPNVVIKQAAAVANATPRPSSATTASSATTAATGRSTTASSTIPSPASQGSYRC >Et_9A_062791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:513106:519943:-1 gene:Et_9A_062791 transcript:Et_9A_062791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAVDACGSENKKTSWPEVVGLPVKEAKKIILKDMPEAHIAVVPLGSPVTMDFRTDRVRIFVDTQVLLGSSEMSSSTMAECGEKTSWPEVVGLCIEEAKKVILKDKPDAEIVVLPVGALTTKDFRPNRVRIFVDTVAQTPLSVEGAAAGSKRSWPEVVGMTIDEAKEAILKDMPDAEIQVLVVGSPVTRDFRPNRVRIFVDTVSSTPSGLSRPANYEITKKMSAAGSCGCTGGEKKKTSWPEVVGLPAEEAKKIILKDFPEADVQVMPAGSPMILNHRNDRVVVTVNKVAQTPTVG >Et_5A_042679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25487279:25489994:1 gene:Et_5A_042679 transcript:Et_5A_042679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIATLGQHRLVTLTLLLSVIFWFQLAAGNRRLPITADGCRDKCGDIDIPFPFGMQVQRQGCFLPDFYYSTAEPNMPSRFVKYTASAVELMDVNVSASTARVYAAVSSDCSRNETYHELREQSIKLPTESPFLFSSEGNELVGVGRSVIPVLSGSLKAPEDYQAFLRSSPAAGGGAMERECETLGCCHQNMPRGINSTRLLFIHENKSEWATYPCAGLLTAYSSSTRDLPGYKFVSNMSLRADQFSLCRSRKASRRSGVSRLCRSRNSRCINATNGPGYICRCEEHFAGNPYIHGGCQDIDECALREQNPKARELYPCDGTVGGVFVLAVVLFIILLRREKHKMREFLKKNGGPLLENVHNIKLFKKEELDPILKSGKFIGKGFFSEVFMGFLSDQQAVALKKPINVNMTHKEQFANEIIIQSRVIHKNIVRLIGCCLEGEIPLLVYEFVSKGSLHDILHGSGRMQLNLDVRLNIAAESAEALAYMHSKITTAILHGDVKPANILLDDNFTPKISDFGISRLLGTARHTNYVIGDRSYMDPIYLQTGLLTKKSDVYSFGIVLLEIITRKKSTYADKNSLVMNFLDANIGQNVATELFDMEIVETGNIELLDNLARLAVECLNLDVDRRPEMTDVAERLLMMKRSRTKNIANVRQ >Et_6B_049182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19108735:19109497:-1 gene:Et_6B_049182 transcript:Et_6B_049182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEMQSSALYINEEEGCYSQREPWYIFPGDDFIPSRFSSYLRNNDGTTRASYAATGRWHVTLSQLICVAAMAIDMCLGIN >Et_1A_009103.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:29335963:29336679:1 gene:Et_1A_009103 transcript:Et_1A_009103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVCAEECVLVLEFAANGNLHERLHGGGKAAGTMPWARRVSVALQVARALEYLHDRCEPQVVHGDVKASNVLLDASMSAKLCDFGSARMGFSAAVRPRSSAHTMLGSPGYVDPHYIRSGVVTKKSDVYSFGVLLLELLTGMEAFCAEEGRLLTAVLAPRLRRGAACDARGMVDDRLGTAYDAAEADAVAALAAACVGENPSLRPSMADVVRTLEQTAQGSISSAVAVGKRSDGHGKF >Et_8B_060597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:337267:337914:-1 gene:Et_8B_060597 transcript:Et_8B_060597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLQALLGAGSRSLLLKEELASSAASQMMIINCYPAASIDIDAIYSNGRYKSVLHRVRVNSTRPRISVASFHSVPPERTIGPAPELVDGHRNPPRYMDTDFATFLAYIASAEGKHKTFLQSRKLPLHLPS >Et_3A_023673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10752876:10758014:1 gene:Et_3A_023673 transcript:Et_3A_023673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHACKLHPLSSHSLRCPNPSNILPSLLINYLLKVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREILMPRVVDPLDGVIVSKKQMTPRKTWKTNESLFPCELSKETLSSVKDAVEVAVKEWGEKSLTELEAEERLSYSCEKGPTRDEVIANLRNAFMKIADEYKVYTEEEKNKVITAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMQAFRVEDLPIESKMLTRALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYAERRRALASDSLESLIVEYAELTMDDILEANIGPDTPKESWDFPKLIAKLQQYCYLLDDLTPELLESKSSSYEDLRDYLRTRGREAYFQKAEIVEKQAPGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNVIYSVYQFKPVVKNQEEEKSQNKGSKKKVDKKGANKLGAAQAAS >Et_1A_008131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4661420:4661787:1 gene:Et_1A_008131 transcript:Et_1A_008131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQ >Et_5B_044497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2941934:2944466:-1 gene:Et_5B_044497 transcript:Et_5B_044497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRPGSTVPRWLLLLAAVLLSTAAPARALRFDLESGHTKCISDEIKVGAMAVGKYHVVSPDPNFPDAQLPESHRISLRVTSPYGNSMHYAENVPSGHFAFTAAEAGDYLACFWAPDHKPPVTIGFEFDWRSGVSAKDWPNVAKKGKVDMMELELKKLEETIKNIHEEMFYLREREEEMQDLNRKTNSRMAWLGFLSLGICLSVAGLQLWHLKTFFERKKLL >Et_1B_012264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30241237:30248399:-1 gene:Et_1B_012264 transcript:Et_1B_012264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATVGAGRRYALLLALKDSEYAKAAYGGYYNVFVAAFGEQGERWDGFRVVDGEFPAAEDLDRYDGFVVTGSPYDAYGGEPWIRRLCVLVQTLHAKRKRVLGVCFGHQLLCRALGGRVGKSPTGWDVGVRKVTLAQEGFDFLLAAAGGQLDQELLIPRRSAASIIEVHQDEVWEIPPGARVLAYSSKTRVEAFAVGEHALGVQGHPEYTVDILHNLIDRLVNDGSIPRRLGEDARRTAADQAGGPDRAFWTALCKRFLRGQPQQASIMGRPAAAAAALVPDVTASRGTAAAGAGGRRYALLLAMDDFPKYVGAARGGYFGVFAAAFGDAGERWDAFGVYEGEFPPLDEVDGYDGFVVTGSPSDAHGDEPWVLRLCDFLRTLHAMEKRALGGRVGKSNSGWDLGVRTVNLNVEEMQGVEFLKDLRELPRSAPLIEIHQDEVLELPPGATVLAYSEKTGVEMFAVGDYVLGVQGHPEMDMDIMHHIIDAFSRAADVARKAAEGRVLDMEFWTGLCKLFLRGKRMEKMARPTLG >Et_1B_013162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:594660:596696:-1 gene:Et_1B_013162 transcript:Et_1B_013162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRSGGGGGCGGGGGGGGGQQPKPAEEGEDKAVGDHDDESSCDKTAAENKDKGKDKDKDKGKKAPPPPFVTAVLKIEMHCDGCAKRIRGSGVAMEVDKGTMTVVGRFDAKKLRDRVARKTKKKVDLVTNKDGGDQQKGKDGEGGKKHDGFQVHEYGKPDYMYDYFWIDRVYGCAAKPPEKGHDHGKEDKKKDDRHKEEKGKDGNKGCGGGGGKGKDDKGGDGGKGKDDKGCGGGGGEKGKDDKSCGGGGEKGKGGKDNKKPVVPVVGTVVLKIGAMGLHCDGCMNRVRAKLFKIKGVEQVAMDLAKNQVTVTGTMDIKALPGKLQKKLRRPVDVVPAKDKDKEGKDKDKEGKQEGGCKDGKEKDKDASTKALTAELELWKTAFYDQRSLLNTELMLSDENPNACSIM >Et_2B_020396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19824377:19827861:1 gene:Et_2B_020396 transcript:Et_2B_020396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITKVIKFEKDSHPSVTSHLGWKDNKDHRGKRYFEQPADNMDWRFSRPGTQGTDETPKVPTPVEERNSKDAHESTGDNKTDPKSEDKFRDKDRKKKDEKHGARESDRNDRRIGIQIGGSSVERRETPREDRDADKWDRERKDPQKDNEGNAREKDSAKKEASVATEKENTGEKVTSDGAVKTAEHENTTTDSKAIKDDLWKAHNMDPKDKKRDKDADAGDRHDQGANIMIRNQTTMVLKEIWRKIRMVLEVSNARGLHGREEVVKHLSENLGFVRKCVMVRMVSQRFLPLFTKLGNACRSFLNHGNTTQDAGSSESLLNGPTLEIRIPAEFVTSTNRQVKGAQLWGTDIYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRATVQVLPPQDSYTSTLRNNVRSRAWGAGIGCSFRIERCFIVKKGGGTIDLEPRLSHTSAVEPTLAPVAVERSMTTRAAASSALRQQRFVREVTIQYNLCNEPWLKYSISIVADKGLKKTLYTSARLKKGEVIYLETHFNSCALAERSLNSNASEADPEKHQNSGHHSQNGVDHELRDVFRWSRCKKAMPESAVRSIGIPLPADQLEVLQDNLEWEDVRWSQTGVWVAGKEYPLARVHFLSPN >Et_3B_028250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12267624:12276188:-1 gene:Et_3B_028250 transcript:Et_3B_028250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSSFKVWCAVSCALVLATACHGLQVGYYSKTCPKAEALVRAEVKKAVQANPGIGAALIRMFFHDCFVEIIDAAKSAVEKACPGTVSCADIVAFAGRDASYLLSNGEISFHMPAGRLDGRKSLASETGKFLPGPSSNLSTLVSRFAAKGLSVEDVVVLSGAHSIGRTHCSSVTVQASDFYKNVLERRVLFTSDATLTSTPEAARMVRANAKFAGSWEKKFGKAMVKMAAIGVKTGGEGEIRRSCSMAATSSVKVWSLVSCALLLATACHGLQVGYYSKTCPKAETLVRAAVKKAVHANPGIGAGLIRMLFHDCFVEGCDASVLLDPTSANPRPEKLGAPNNPSLRGYEVIDAAKSAVEKACPGTVSCADIVAFAGRDASYLLSNGKISFHMPAGRLDGRKSLASETTMFLPGPSSNLSTLVSMFGAKGLSAEDVVVLSGAHSIGRSHCSSVTASSIAASLANLLSRRCPANVVAGSSNDVTVAQDVVTTHALDNQYYKNVLARRVLFASDDALLSSPETGRMVRANAKFAASWEKKFAKAMVKMAAIGVKTGGEGEIRKSCRLVN >Et_9A_063437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24323568:24325512:-1 gene:Et_9A_063437 transcript:Et_9A_063437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISGGNQRNDEAQQLGGCRKQGEKAVVASTRHSSSSWPASTESRIVRVSRVFGGKDRHSKVRTVKGLRDRRVRLSVPTAIQLYDLQDRLGLSQPSKVVDWLIDAAQHEIDKLPPLPFPPPAQDHHLVAHSSMSMLPPSSFADGDKAAIKGLMGLNNNSVGLVNPLAHSLFFAAGDSNWNNNNNNGSTTTTTVHDQVSHGSSPQTHHHSPFSSLLSLAPGPQLVFYSPEGGGFAMKEAADHHFPPVDNIDRSHGGQLSLSSYHMLRYFINNVLIGVKMRDANV >Et_4B_037559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21067526:21070954:-1 gene:Et_4B_037559 transcript:Et_4B_037559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARGQGQPSGGGRPPAANTDGISMAEVDRLLAAAAAAWTGAQRGRARAMQVDSELSMAQVVYKQVLQKSKIVAAYALQGKQEVERARDLSMKNKEMSLEMERLQRENIELSLKNKEQALEIENLNSIHSLVQTGSLSNRQVPEGGASDNVPQEGLFDADQQERVFFETHERQLELTEIRKKLKDVFTNMGHDDEDDPIIGIKMLGQINQKPFFQALSPNVKKAAMKCSEWQQKIEGHIWRPFKRITENGHFEVIQEVLDEEDESLKELKACGPDIYDSVVEAPIEMEKYNSSGRTVVPELWNYKEGRRATTVECTDFLVRKVMDYKQGCNNVRRRTSRRHRAAGSQRSGSMEMVAVMFVLDKHILPFGGVFMVTEPD >Et_4A_035768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5554061:5557728:1 gene:Et_4A_035768 transcript:Et_4A_035768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGEVDHGNEMFHGHGHSDPVVDELRRLENLLREKERDLGQAHSEIKGLKVTEALKDKAISELSKELKKQDEKMRSLERQLEQKDDNKALERHLKWKEAALVEAGNILRSALERALIVEDVQNQNIELQKQMEIYHEENKLLEKSNRQKVLEIEKLSHTISELEESILATGDVANAVNFYKNQASKLKEDKKTLERELARAKVYVNRVASTAANEWKDDSDKLMPVKRWLEERRLLQGEIQRLRDNVTIAEKSAKIEAQLNDKLKRRLKSLEEDMRNEKSNSNAKEINKVTPKRSTSQPRQPNTARVSHLSSETTDRKRPISQPRASMAGKVLKQPNSETKPAEKARSVKLLDSPRARNVVGKGERPVKNHLWGQRSKMISDSEKENNEQNTNSRPHLNASRLQGRDDTVSRTVLDADGECGVPYSEPPKAMEDEGNGHTSNA >Et_1B_012541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3360218:3360617:1 gene:Et_1B_012541 transcript:Et_1B_012541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSRGNISDDEINELISKLQALLPASSRRRGSGQASTTKLLKETCSYIKSLHREVDDLSDRLSDLMSTMDHNSPGAEIIRSILRS >Et_1A_005210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3327946:3328618:-1 gene:Et_1A_005210 transcript:Et_1A_005210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQHYYSQPYGTQSSSSGPPYQYMGYMPGGSGPRAGFSPMQQHARPFFQQPTAQMEGSFPPGSSLPPNFRLQLPPHAVSRQSDDTSGPQSTQPSPANEATSTDDQEGSRPVTSNSDPNTSR >Et_4A_031896.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27407817:27409007:1 gene:Et_4A_031896 transcript:Et_4A_031896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLEGKGTTYGIIRGSQQLTFLLTPFYLPEHGVEVRMKLLLEDGLAPQNNFIFALYIRLSESTDIVGVTLRCIQLFAPYLRSTAETIKIKLTQLPTQDFYWVHPYLMSSHRKILDHWNNLHTIFSQWTRPNPLCCPQHSHHYAQSYVGSSTRSSSESLTCDTYLEPVSQLFLQGHVALTAGHKRRRDVLDDESYPNPMRDFPHVKVAGLFSPHASYEDLSPAVGGSATEMINGEVGHRGRYSNISFEQLLGEIMIPKAVECFRRNAAATSYQMLWKTKHGGAYLRVEETSCRATKRKGRGGKRQQWCHKKVKGWSNRWLVEFLGSWVPHAPAQLQSPITDWIQNGKHIRPLGDKVAPLRLD >Et_1A_009324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3903125:3910510:1 gene:Et_1A_009324 transcript:Et_1A_009324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEAVGSQQKDSVKVAVNIRPLITEELRDGCTDCVTVTPGEPQVQIGPHVFTFDHTGSGKTYTMGTDYSGEGNCGGIIPQVMETIFRKLVATKDGTEFLIRVSFIEIFKEEVFDLLDANQAALRLESGPVAKSSAPARVPIQIRETATGGITLAGVTEAEVKSKEEMASFLARGSSSRATASTNMNRQSSRSHAIFTICLEQKRTSGTASDKSASSDYDILSSKFHLVDLAGSERAKRTGADGLRLKEGIHINKGLLALGNVISALGDEKKRKEGAFVPYRDSKLTRLLQDSLGGNSKTVMIACISPADSNAEETINTLKYANRARNIQNKAVVNRDPVTAEMQKLRSQVEQLQSELMFSRSGSAALEELQLLQKKVSLLQLKNSELRHELKERELCCEKLAEQARDSQLEMDKLKLKLESLRDGKSWNDTENDGNSQDVDLMKSYILKIQQLEVELMRQKFSTACSNDAHDQLATENHIFVNGLNSGCEVETPDASEIDEEEKEREHSSMQDKLDQELQELDKQLQQKEAEMKQFAKSDTSVMKQHYEKKLHELEQEKRSLQKEIENLRHALTNVSSSTDENAQKLKETYLQKLNALESQVSELKKKQETQQQQLRQKQKSDDAAKRLQEEIHRIKSQKVQLQQKIKQESEQFRSWKAAREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEATAASKRLKELLEAKKSTRETYGASGSGLQTLMRAIEDELEVTARAYELRSHYERQMQERQAISKEIAKLKEESKHKISDNPQAMSPSARSSRISALENMLASSSSAMVSMASQLSEAEERERVFNGKGRWYHVRSLPEAKHVMNSLFQLASSARCQLQDKVVMCNEKDLFITELKEKLFTALNNTKKSINASKNGTGVSDDGESHALNKDSRASQFFCSKNINWSDDMDISDSEHSEELEDLNEESDSDWVQSTRKEDMNEESDSDWEQSARKIRRRRRTVSSHSNSNLGRQSIKENAELEKFPDARSTLSQDDSCHGCSCSKSSSCKTNKCECRGSGAQCGEDCGCKSSKCSNRESSDNSEIVNQGVMLLENAFSEKEVHDVKSRKPLSDIGNTPAKQNAEVKTKPRKNWRRSTVQLVPSDPVPPSAPANTEAVPRDRGDIPLRLPRAMSSAASESNPPPLTDRNAAKPEESASSINKESTGAAAIRPPSQLRKKNSTTEKENQLR >Et_6A_048195.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:9303749:9303997:1 gene:Et_6A_048195 transcript:Et_6A_048195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLGCFGVGSGGRKVSPVARQSAEGPEAKEQKRVEKEKVQQEAAEDGQVAAGRKEKKRDHLKASIVMQHQFPFHSRPGLL >Et_9A_061562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13778154:13781547:1 gene:Et_9A_061562 transcript:Et_9A_061562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGPILLLVAVAAAAAAASPSSAKPTAYEALAEFDFPPGILPKGVVAYTLDNSTGAFTATLDASASGKGSSVCEFSIQGSYSLRYQTKITGKIAPDRLSDLTGVSVKVLFFWLNIVEVTRSGDSLGFSVGIASADFGIENFLECPTCGCGFDCNGLPELLREPGARTAKLRLRVLVLWKCGCIEYSSLTLGNQNEASTNKGIVKHSALPDFAEAVGCSWVRRRCRNSQAGRDTAVAAEVEGRQAWASDSIKS >Et_3B_031403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28341123:28347064:-1 gene:Et_3B_031403 transcript:Et_3B_031403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFSFGGSAAAGSSSPSPFSFSTAPSAFSFSQPAAGSSPAPAFGSSPFGAPSAAASSAPTFGSSLFGAPSAAASGATTASSPSAFGFGSTGFSFGQSTAAASAPSPFGANPTSSAGTTPNLFGAASTAASSPGLFGASATGSATTTPSLFGATSAPATTPGLFGATSAPASTPSLFGGTSSAAATPGLFGATSSAATTPSPFGATSTAGTTPTLFGAAAASSAASTPSLFSSAATGFGFGASTSGSTAITAAASTPAFGFSLNSGAAASTASTPASAPALGFGAATGSSLFGSTTSVPLFSTATASSPATAATTAPSFGSLASTTAASTAPSLFSTSSASGFSFPKSTSTTPAPASTPSTGFSLATSQAASAPSLFSNTSAAGSSSAGTSAFSFGSSAASAPTFASVSATGASTAPSVTATPATSGFSFTVAPSSAPAATATTTTVTSATTSAGMTSTAPSTGTTGLFSLQSSTPASTSTPALSFGVSTAAASTSATGTSTSQATSSAVQASSTGFPSTTAITPATSQAPKLPSEIVGKSVEEIIRDWNNELQDRTAKFRKHATAIAEWDRRILQNRNVLIRLEAEVAKVVETQTSLERQLELIETHQREVDKALQGMEEEAERIFQDERVLLREDEAASARDTMYEQAEVVEHELQHMTEQVKSIIQTMNATQGGELEAADSMTPFDVAVRILDNQLRSLMWIDEKVNEFSGRIQKLPNNNAAVDRDSGIPRGVQRPAS >Et_4B_038530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29642835:29644365:-1 gene:Et_4B_038530 transcript:Et_4B_038530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLRGGGAGGGAGFGGTAWEVLRRNFSRKRAVDVRRIKPKVPKEEAVAISGRLLQILTDHGPLTVGNTWNHAKDAGIDGLNSKTHMKILLKWMTGRRIVKLTCAHVGNTKKFLYKPYTDDSDASKEASSSSGSSETNKASAPGIGKYARAQLKKQADADAAAAAAAAALQ >Et_6B_048891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15077844:15080907:1 gene:Et_6B_048891 transcript:Et_6B_048891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVGEVRYKQENGCETTCKSQLDDAAGDGNSCMTRLGCCQMEPPIDLEIVQIRVGSSTATGNNTSKDSSCSYGFVAEEGSYRFNRSDLNGVGKMAFHKRFSKGKVAMVLKWAISNEYDACVSKHSQHVNATEGRGSLCMCSKGYAGNPYVEMGCTDIECKLWKSDPMKHEEYCPRNSKCIDTDGSHYCKCSIGRRLVGQKCLSIFSKTTIVVSGEQKDKLIHPGLHLCCNYINISYFYFLETTFAASITLGLLILCFGRKKYKQRKQRGFFNKNGGDILKRMNINTFTELQLEKITNNYNNPIGSGAFGKVFLGTTNDNRRVAVKPSIVEGENPWNDHELANEIAVRGLGGLWLWLQWMAKEFFHEQPSPMPNG >Et_7B_053847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12713119:12713697:-1 gene:Et_7B_053847 transcript:Et_7B_053847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GSLINMVGPKRGIELLAPILIEYDMKIKTNEHEKNDLQLIDGVSIISNFGSSDKPYKIRIPGDCGAIDITLARIGIAVEATVQIFISERFVIAVKKDSLIDLKFEMGAQSSTSDQRRCCFKAKVHGHDIQNLKTCFALISVKVTWSTLPDYFPIKPRY >Et_8A_057124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21349916:21355298:-1 gene:Et_8A_057124 transcript:Et_8A_057124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTKSKHEALINSAATPASPPPPHGRPPQGSTRDWAGLPRDVQWNIFIRLPSADILRGAGLMCASWRRCSVDEPTLLRHIDVGSKSNRDVDDGDCYGRVTAARVAVDRSAGLCVLPRPRRQRLPGLPCKRKIYYQEAPSLRSLHVTSSTLGLKELAEKTVTFPRLERLELSCGCFSTALRLLFAFLGRSPRLEVLVAGYCYFNLKNYESSLALARLKRMLPPGAVFSASSTRPLLQGRRGTGRICPATSCGASSAGSRTHADILRGAGLVCASWRRCFVDEPTLWRHIEVPCSKRDGDYGGVTAARLAMARAAVDRSAGRCESVRGPADSEFLAYLADSAPSLRILHVTSRASELKEFTDRAVTFPRLERLVLPRGFFPVPLLLAFVDHCPRLEVLVAGCVYFKFKDCDSSVIVARLTRTINMINLNRNNECNY >Et_2B_022466.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2322452:2323417:1 gene:Et_2B_022466 transcript:Et_2B_022466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTVANKPAPPVRQQQQQKVVKKEEEAPTKVRKLRIFCEDPDATDSSSDEADDFGVAPRRVRKFVVMEALDHPPVVSSSAPPVKAAAGGAKRKVPAPAAGGAPEPRYRGVRRRPWGKYAAEIRDPQSGQRIWLGTFDTAEAAAREYDAHARRFRGPSALTNFPAPPSPAAVVVVVPDEDSSDESQQNVSSPVSVLPTMPGETATATTAAVADPVRQAPAKPADAAGDAASQQKQQLSSSAAGDYLCPFSGADAFLFGEPASRSVFEQSFVEDVLSAPLIDYVADDYSCPLDLGDLPMWPGVDGGSFSDIGDDLFAGLPPM >Et_4A_032165.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21897471:21897614:-1 gene:Et_4A_032165 transcript:Et_4A_032165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAGVTEGRRGVPLIGRRSTSHILCCGNNMETIPFGLPPDLGTRLLL >Et_2A_015826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18153666:18160848:-1 gene:Et_2A_015826 transcript:Et_2A_015826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQPGAQGSENAPEEKPLDEKELERKLKKDQKAKEKEEKKLKAKQKEAARLQAQATSEGTKKSEKKQRKKTVEDENPEDFIDPDTPQGQKKLLAPQMAKQFSPTVVEKSWYAWWESKGYFRADSSSSKPPFVIVLPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMREKKLTRHDLGREKFISEVLKWKEQYGGTILNQLRRLGASLDWSREAFTMDEQRSKAVTEAFVRLHKEGLIYRDYRLVNWDCTLRTAISDIEVDHIELKEEKMLRVPGYTAEVQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDKRYKHLHGRCAIHPFNGRKLKIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRHNLEFINIFTDDGKINSNGGAQFEGMPRFIARVAVIEALKEKGLYKDTKKNEMSLGVCSRTNDIVEPMIKPQWFVNCNTMAKAGLEAVRSKRIEIIPQQYEQDWYRWLENIRDWCVSRQLWWGHRVPAWYVTLEDDQVKDLGSDNGRWIVARNESGANLEAQKKYPGKKFQLNQDPDVLDTWFSSGLFPLTVLGWPDDTADLRAFYPTSVLETGLDILFFWVARMVMMGMQLGGDIPFEKVYLHPMIRDAHGRKMSKSLGNVIDPLEVINGMSLDGLLKRLEEGNLDPKELKIAKEGKIKDFPDGIAECGTDALRFALISYTSQSDRINMDIKRVVGYRQWCNKLWNAIRFAMGKLGDDYTPPATIDVSLMPPICKWILSVLNKAIGKTVTSMEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNDSQEFESARAASRDTLWVCLDNGLRLLHPFMPYVTEELWQRLPQPKDSCRKDSIMISEYPSLVEGWTNERLENEMDIVLDAVNKLRSLKPPTETNERRPAFALCRDQETATTIQCYQSLIVSLSSVSHLKILKENDETPADCATAVVNKDLSVYLQLQGALNAEAEREKLRKKRDEIQKLQHTLTQKMDASGYREKAPPSVQEEDVRKLTALMEQLEVISEAEKKLEAKIGSK >Et_7B_054898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5368851:5369341:-1 gene:Et_7B_054898 transcript:Et_7B_054898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMQIVLAGGKIEAQYVEMRVPLYSYGCEKKIKKALSNLKGIHSVQVDYHQQKVTVWGICNRDDVLAAVRKKRRAARFWGAGEPAPGEGASPAGDAPKQYLAAFTAYRCRKAWKKLFPLIRL >Et_2B_022776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4951995:4954841:-1 gene:Et_2B_022776 transcript:Et_2B_022776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSSTPAPTSSSSPPAGSAHHDHEHTPLCRSCGAPTSAPTPAPWSGASDSPPPAYRPIRLPAINAPTNTAAIVLSPVPQPLPVPPAAPPHAFHVPAKRITSPDDIARFHASLHGRHFLGFVAALSASVHGRKLSDPLPSPPSAAVTALIDLISALSGFVACTPPLPHNSRYGNPAFRLWHEKLADSANELIGRITATAATPSDLAGAEVELAPYLLDSFGNATRIDYGTGHETNFAAFLYCLARLGLITESDYPAVVLRVFAAYLDLMRTLQDTYQLEPAGSHGVWGLDDYHFLPFIFGAAQLIDHKYMKPKSIHNPDILENFSKEYMYLACVSYVKKIKKGPFAEHSPMLDDISGVPHWKKVNSGLLKMYKAEVLEKVPIMQHFLFGSLIKWED >Et_4B_038531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29653522:29656421:-1 gene:Et_4B_038531 transcript:Et_4B_038531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAAPTGGKRPASGGREGDRMVITPLGAGNEVGRSCVHMTFKGRTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLVTHFHLDHAASLPYFLEKTTFKGKVYMTHATKAIYKLLLQDYVKVSKVSVDDMLYDEQDILRSLDKIEVIDFHQTVEVNGIRFWCYTAGHVLGAAMFMVDIAGVRILYTGDYSREEDRHLRAAETPQFTPDICIIESTYGIQQHQPRVVREKRFTEVVHSTVAQGGRVLIPAFALGRAQELLLILDEYWSNHPELHKIPIYYASPLAKRCMAVYQTYINSMNDRIKNQYAQSNPFHFKHIESLNSIDNFHDVGPSVVMASPGGLQSGLSRQLFDKWCTDKKNSCVIPGYVVEGTLAKTIINEPREVTLANGLTAPLHMQVHYISFSAHADFPQTSTFLDELRPPNIILVHGEANEMSRLKQRLISQFDGTNTKVVSPKNCQSVEMYFSSEKMAKTIGRMAEQVPEPGESVSGLLVKKGFTYQIMAPEDLRVYTQLSTANITQRIAVPYSGSFEVIRYRLKQIYESVESSTEESDVPALIVHERVTVRLDSESYVTLQWSSDPISDMVSDSVVAVILNISREGPKVVPVEEAEKTKEETERVAQKVVYSLMVSLFGDVKVGEEGKFIISVDEHVAHLDGQSGDVECENATLKERIKTAFRRIQGAVRPIPLSAS >Et_7B_053818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12409846:12415871:-1 gene:Et_7B_053818 transcript:Et_7B_053818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPAHRTKVVLRRLPPAIAQQAVVDQVDARFAGRYDWACFRPGNASQKNHRYSRLYLNFKRPEDVFEFAEFFNGHVFVNEKGAQFKALVEYAPSQQVPKSNIKKDGREGTILKDPEYLEFLERISKPTEHLPSAEIQLERKEAERAAAGKEAPVMTPLMIYVRQQRAAKSMAQRSGGRLGRKMAVAASSSSPAKRSSEKRRSSTSTQYVVRDNATSGGTSGSAQVIEGKRDKIVLLKGRARVDPNTSDSTIQQQSLTPVKNAPPSSSRQDQRLEASGRIIKTILSNKEVRNANAYQHEQEGHVLNTEKDKRPPRVLNPRHGERKTDPRSHGGIHGAPVENGSRHANRRGPPRGLKETETSATTSGGKTSKRGTASYGAHERQVWVQKSSSGS >Et_1A_006779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27584262:27584839:1 gene:Et_1A_006779 transcript:Et_1A_006779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGNKEWENPFSGAGAGATGEIVEVEAAAAAGREDGEQEKVYVAVPEQHKNGRSVLAWALRHAAAVHGAVVVVAHVHAPAQMIPMSTCLLARSRLAPVLRVLLLPFDRFPAALIEKRPFRQPNPTQMRRRRRRRRAEFAVRWVARYGERIRAHRAPPSVVPPTRQVCADCAKAAP >Et_5A_041971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4751810:4756088:-1 gene:Et_5A_041971 transcript:Et_5A_041971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPVQLRRIENKINRQVTFSKRRNGLLKKAHEISVLCDAEVALIVFSTKGKLYEFASNGSMEGILERYQHYSFEERAVLDPSIEDQANWGEEYTRLKSKLDAVQKSQRQLLGEQLDSLTTKELQQLEQQLDSSLKHIRSRKNQLMFDSISELQKKEKLLTDQNGALQKLMKAEKEKNNALLNIIERQNGASTSSPSPPPATVPDSMPPLNTGPCQPNGAGEESEPEPSPAQANHGKLPPWMLSNVSNR >Et_9B_064560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16489421:16490858:1 gene:Et_9B_064560 transcript:Et_9B_064560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQIPPVSRLLVLLAAALLAAAVAPAPAAAVNVTSVLAGFPDLADFARLLASSPVAAELAGRSSLTLLAVPNRNLPQSPSAFAAASGADLADVLRYHVLLEYLAPADLRRLPASGKLVTTLFQTTGRAPADLGAVNVTAGGSALAVVRSPAPSPADSNATVLGSITAVPYNLSVLAVSGLLVPSGLDLSASESRPPASAVNITRVLADARAFNVAASMLEASGVAGEFEADERGAGITVFAPTDDAFAGLPGGDRLQSLPADRKAVVLRFHVLHSYYPLGSLESIVNPLQPTLATEFSNAGRFTLNITRANGSVAIDTGVVQATITRTVFDQNPVAVFAVSKVLLPKEMFTRTEGGADDSIVAAAAAASPPPLASPPEASEGARTPPTKLSSPPALRGGGEGYDTASAPARAIGWGCIALVYLPLLPHLNLYTGREGGGEEED >Et_3B_028043.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:576192:576338:1 gene:Et_3B_028043 transcript:Et_3B_028043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVQSRKMLPDNAAKDPGKSSATKKLLAKGTHNRRSKVTGVKDSVQL >Et_6A_047293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3475931:3478226:1 gene:Et_6A_047293 transcript:Et_6A_047293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSKEHSEAWSRLLSRMDAFYTEASDRLHLTARSLDAAGGICVGLLDPVSNIMANAICASDDLDHGEDSAGAIVVDEKRGEGLGRRSLEGLVAFLLYFFPYIAHWEAVRYLFLADADLLAAARLVVADRGMTARFSLTSATAAPAFEEALTIAAEIAKHPQPKQLAHVWMSLSSRLHQVLTLLQEPHQNLANNLKTLLEKPAVPPLATPWDIAASRSLRHHSHNIITNNISYCQHTRSLRMALLDTIHGYYLKALAILPRGELRSRLHRSLLTAGYCYGPLDPVSNIVLNTLWDDANFPAAESVTPVLDVIGPNSLTRLVSLSFYGLVSFLQTRYHDLSEHRIVQCLVAASGRLSVADPKLLTSSDAKALLEAEEHRQYQHCLESMPGLYDDAIRKMQQGSPCADVQEAYAAAATAAWHPNPEDQAKFLASWEEGAFPRHPIAAEDVKSWSSVLSENAKPRAPERIWKPCYPARAGRARSMNLQRRISRKVKAALDKHLLQDGKPAFDLHIICCVNEDVCGPEYCADVDPLAFGPCKYRYSHVNFLATERASTSDSDVMPCPVLFFAEFDNEDQDGAPLFLCQVHEPTPFAEHVRCLYCEAEGVKVVHPPSLKFHGGSSELEEVIRGKHEHLSGDFLICKNEYAVQILNAVEEDFMYVDVQAL >Et_3A_026035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3731070:3731923:1 gene:Et_3A_026035 transcript:Et_3A_026035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAMLFLFVSLGVSSFEVAVAQYWTPAMATFYGGSDASGTMGGSCGYGNMYNAGYGTQTTALSTALYGNGASCGASVTAYDVAPAYWQFGNTYQSSVNFY >Et_2A_015962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19725789:19730035:-1 gene:Et_2A_015962 transcript:Et_2A_015962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFPTPAGAGGAAASSSSSSSSTPSPSTKRPNTTLRLLCPSSRAAALRSSRELHVEQPPLGDEAVLTVSGPDAPAAAVRAWERVVGHRVGGNEAGEGEEEREVTGPVGCRMLAAGAQVGCVLGKGGKTVERMRQESGAQIRVFRNREQLPPCAAPGDELIHISGNLPAVRKALLSVSTCLQDNPRPDTSNMPPGRSFGPPGGGCPPGIDPYSQRSYLPPHMPDYHTRPPRFFFEQEIGFRMIIINEMVGSIIGKGGSTIRALQSETGASIKILEPIADSDERIVAISARENSDMMHSPAQDAVLRVHSRISEASMDKSSAAPARLLVPAQHIGCLLGKGGSIITEMRKITGASIRIFANEQIPRCAQRNDEMVQVTGSFQSIQDALIHITGRIRDIMMPKPHPSGVMPPYPPLGNIPPHPSRQEPPLPHSSGGVPPYPMHSFRADAPIGPFETGDHRPPPPHSMEYMSADRMPYSYGCDHGGPRPFLEQPSPRTWASEAPKINSEAPRNMPDAVPDFRKGPVASSENQVVAPTSTSTEVVIPSKYISFVCGSNGSDLEEIKKMSGASLTVHDPKPGDANSTVVICGDPEQTKKAQSLIHAFIFCGLSQP >Et_1A_008970.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22358022:22358407:1 gene:Et_1A_008970 transcript:Et_1A_008970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCGCDQGKDIGEATKYRGVRRRPSGKFAAEIRDSRRQSARVWLGTFDTAEEAARAYDREA >Et_2A_018094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10264336:10266854:-1 gene:Et_2A_018094 transcript:Et_2A_018094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVMVASSVHDVNAKLKPLTSAKWASEGCKLIVFKSSVPSNRGAPLWVFPMASDSSCRDPFDIETADAELNRDAYVLDHSSAITLRNCYAMISESTTSVGKRCLSFPPSVVASSPWFDKIQFQ >Et_2A_015242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:102363:103222:1 gene:Et_2A_015242 transcript:Et_2A_015242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDAMQELFGFALTSFRPEVASPHEVTEMGHIADPAGAVVVGCKVLPIFNERGIMDGAMKKMVHRIDGKKAVTRVKELLKRAAQARPHRATVGGKKWKKALSFQAASDSAKGGQQQQHEQTSDDASCSSSKLSFKWDVGSCSSVSASSALYSPLSLASAPAKAADQMLTPSRKHYCMSRLSSMSQHSVSMLEPGIASMVYSGSSPKSMKSMEGEEETCRMGQWITTDSDCELCITIT >Et_7A_050476.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:18138052:18138216:1 gene:Et_7A_050476 transcript:Et_7A_050476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVICLRREAKCVAHCYASKPTHDHRVYSISDNLPVWLPEVPTKGCNAASISE >Et_1B_011317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20379323:20381279:1 gene:Et_1B_011317 transcript:Et_1B_011317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CCRTSIPVKAPARRTRIILLRIELALSWSSRRHTSHADEVVAHRRWHESFLPGSVTDSGEPRLVHSYTEVFTGFAARLTDAELDEVAKKPGFVRAFPSRTPRLATTHTPAFLGLTRGAGIWRDAQYGKGVIVGLLDTGIDATHPSFHEAGVAPSPARWKGSCAAAGSRCNNKLIGARSFIGYGNNDDDASDAVGHGTHTSSTAAGGFVAGAAASRDGLAAGTASGVAPGAHVAMYKVCTDRGCEAFDILAIGAFGAVAKGVVVVCAAGNAGPLAESVVNDAPWLLTVAAGSVDRRFPAELRLAGGSGAGRVVGGEALNQEKFAARSAPYPLLYSEERSYCDYSGHNVSIAGKIVVCEAIHGESQKPTISALKSAGAAGAVLINADIDGYTTVVRDYGPGVVQVTVADGNKIKAYATATTRINATTATVAVTFNNTLLGVRPSPTVASFSSRGPSVTSPGVLKPDVMAPGLNILAAWPPLAGAAGRFNVMSGTSMATPHVSGLSAIMTTADAVDDTGGRFLDEKREKAGAYATGAGHVNPARASDPGLVYDLGVADYAAYICSLLGDGALSAIVRNASLICATLHKTPGPQLNYLTTVPLLPTPFTVNRTVTNVGPAESTYTVEVDVPKSLAKQKITVYRCYLEHR >Et_7B_053443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19796838:19798115:-1 gene:Et_7B_053443 transcript:Et_7B_053443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVVTKSAPLVVRPSQPTPTEKIELSSLDKSFDRLYVNLVLVFDHPIHETAQTLKSAVSHALVHYHSIAGRIEVAAEDGKLTLVCNAEGVLFVAASANATLEHARFIEQPFSAELLKDLTVCPSGKAWSHADPLLLMQVTEFACGGFVVAVTWNHVIADGQGMGQFMVAVGELARGLSQLSVVPFRVQQLINLDRLNFSNVDITLPSGLISSIKSDYASNYSGRPCTQFEVTAAVLWQCRTRAAMLDPGAPAPFFFAVDMRKHIGAKEGFYGNFAFTQVVFATSDTVVSSPTRDLVKLIKDAKEKISDVTQNDMVDSTCVTAMERLGMISRYNAFGITSWRNLGFEAVNFGGGRPARVTCTSGWVAIPFCVLCPPSKVKDGAIVVSMCVKEEHTSGFLNELARLCQQNY >Et_2A_015446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13113311:13124007:1 gene:Et_2A_015446 transcript:Et_2A_015446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAKFPAKLELSEKSAAGALHTTSEGNGCSSLFGEAVKLQGDILVEEASKSTGSLITEEKEGTNSIELLRSTSGDGVDHASGVYSNSYRELSARLHESRPEAIGTGSFVESEDGSLEDVVSSQNSSVSSQMSPHYLFSRNEHMLYGTRSSTTYTELLRMQELKSKYCSDFGSSSYTALDTVDCSSATQAQTNFPYPSSVPRIATTGQPTPGDSYSALLYGRDGSLGQDNSPFATGPTGGTRFVSPMNDKSVQPSNFEMISFARDSCQKDISRNEAEATCVQQNGNLNCTTSSKQIDHEKFQSGCSQLSDNVGIQFVKVQNDHSSNCEIPDGVASDLIDHSKDVKKGSTEVPHDASKGKKARAGTGKKRAFDWDILRKEVLLNHGNKERGHNAKDSIDWERIRQADVKEISDTIRERGMNNMLAERIKDFLNRLVRDHESIDLEWLRYVEPDKAKDYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLELYPMLENIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFASARLALPGPEEKSLVTSSTPVAAEASDHTYQNFRTVGQKEDCNQAYMNNGPVSQLEWNSHVQGHILDNRNPIIEEPASPELEPEAAEIREEDAIEDAFLDDPEEIPTIKLNFSEFTHNLKHYMQANNMEIEDADMSRALVAITPEAASIPTPKLKNVSRLRTEHQLTYCILPACSYELPDSHPLLEGVSKKHRIVDIISVKILLLICDIYKCKTGETAQSTDAPKNFCNSHESDKLCESDTCFSCNSVREAHAQKVRATILIPCRTAMRGSFPLNGTYFQVNEVFADHDTSRNPIDVQRSLIWNLPKRTVYFGTSIPTIFKGTSLIIGTQDFRIWQHQVNQLKTYNIAFGENQERFVCVRGFDRKSRAPRPLYARLHFPASKITRKKSGTSAAEKRSLETVL >Et_7B_055515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12930857:12932943:-1 gene:Et_7B_055515 transcript:Et_7B_055515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRAQTSSGESVHCSTFASRYVRTALPRFRMPEQSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQASVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGDDETAVGVGTVGSSEAIMLAGLAFKRKWQNKMKAAGKPYDKPNIVTGANVQVCWEKFARYFEVEVKEVKLREGYYVMDPEKAAEMVDENTICVAAILGSTLNGEFEDVKLLNDLLTAKNAETGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRSKEDLPDELIFHINYLGADQPTFTLNFSKDFSSRLKLIGLLYWTGSSQIIAQYYQLIRLGFEGYKDVMENCRDNAAVLREGIEKMGHFDVVSKDSGVPLVAFSLKDSSRYTVFEVAESLRRFGWIVPAYTMPADAEHVAVMRVVIREDFSRGLAERLIADLGKTVAEMDVHAAKRHGADHHTHKKTEREIEQEVTTFWRRMVAKKKSSMVC >Et_3B_030962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9424439:9426856:1 gene:Et_3B_030962 transcript:Et_3B_030962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPKQRWTPEEEAALRAGIARHGVGNWRTILKDLEFSSTLRYRSNVDIKDKWRNMNLFVNTSGSREKAKAVVKKTKGAPKSNDQSTTISTATLDGEEEAVDAKHIAPVSNEAWNPLIPKKSQLRLDNIIMEAVKNLNDPAGSHRTTIANYIEVNRKYRIAPCSPYSESEGRQPKMLLLEDIQRESLKLGSDDSKTLTRTQVDAELALMATMTPEEAAAAAARAVEEAEAILAEAEAAAREAEAAEADAQAAQAFAEAALMTFKNRNTAKLVIALVIKSLLPSCPFTCSKGFIVPLQLIGYLLVTDNS >Et_4A_033891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27775876:27779350:1 gene:Et_4A_033891 transcript:Et_4A_033891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLPLISLLALLLCCALSPSTTASFSLLTASSNVSAAASSASASAAADPELEEPEPEPTFLEEVVDAVSEKYDWDPDADVRVWPLDLDTVRVGATQRYEFRARAGGTAVLARFPDEEVEWRRPAAPAVEEVDGPDGIDVVPGDGAFEFEHGVRDVELVGPVELRFAGGEDGELVELQFVPSGNVTYTRLKKILVAGGIALKVIGAQKVSLTHPHSIGLLQNGSLLTSSNDLSRIWLLSDRTCSPLIQVGIVGSVVVVVHENSISGGRVKTSFRSHNTMELLSDKCQANMSNRLISACMFCSISPKLTKLEKILKTWFSKRNQDNNSMHFIEAKVTSIPLVKFRLELERDITEDDVILENVSDWKTKPIVQQVTLDIIAKVENDERLKAISVKKVKNPFPIVDATSWSSLTSNVSFTKFLSLVLPPEPLLLDSTIIVAFKQASALGQGKN >Et_2A_017760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:614130:617966:1 gene:Et_2A_017760 transcript:Et_2A_017760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAPPHTPMPSAVTSAAVSITCSSSYEDDDGAASSWSLSSPRHRPYRRLLHDEAQRLRRARRSQGPGADTPRWVRRTDDQMARYVEDDRAGHVHGRHVVAAVRAVRATASRPAADMRQAMASFVAKLTFREMCVVLREQRGWRQARDFFEWMKLQLCYEPDVVAYTILLRLYGRVGKIKLAEETFLEMLEAGCEPDAVACGTLLCAYARWGRHKDMMLFYSAVRRRDIVPPISVFNYMISSLQKQKLHAKVIHVWNQMRQANVAPNQFTYTVVVISYAKQGLLVEAMDAFGEMRRRRFVPEETTYSLLISLSSKHGKGEQALQLFEEMKVQGIVPSNYTCASLLALYYKNEDYSKALSLFSEMEQYKITPDEVIYGILIRIYGKLGLYEDAEHTFEEIKKAGLLSDEQTYVAMAQVHMNARDYNRALEVLESMRMRNVKPSNFSYGALLRCYVAKDDIVSAEDTFRVLSTCGLPDVFCCNDLLRLYMRLGHLEKARALILKMREEDFQLDEDLCMTVMEVYSKSSMIDDAEKLFKELQINGKTMKIATMISLIEMYARNRISMFLKEESTAANIVLKSLLNMPEGLSNACQLISKLARCLYMYSAAGDTDHAKFLYEQLTALGTKPDDSALATLIVQYGQNQQLEQAQELFESASALSLVGSHVYNAMVDALCKCGKTDEAYNLFMEMADQGNNRDAVTVSILVSHLTKHGKFQEAENIVHGCFHDEVQLDTVVYNTFIKLMLESGKLHSAVSIYERMISSGISPSMQTFNIMISVYGKGGKLDRAIETFAAAQELGLPIDERSYTNMLSLYGKAGRHREASLLFDRMKDEGIKPGKISFNSMINAYATSGLHSEARTVFQEMQDCGHAPDSLSYLALIRAYTEGKRYKEAEEAIQMMLGGSNVTPSCPHYSQLIFSFLKEGQINEAQRMYNQMNEAGVAPDLACCRTMMRVYLEHGLVDEGISLFETTRGLLKPDSFVLSAAFHLYEHEGREDEAGEVLDAISLHGTTFLRNLKVGSKVRSKLRGPENVL >Et_1A_007664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3770965:3772529:-1 gene:Et_1A_007664 transcript:Et_1A_007664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRASSRRRAVLAAVITLIFLASVSFLLSVTATSSTTAAVGVNSPASRLAVVQRHAEDHAAVLAAYAAHARRLSDASASQTDAFIAISARLSSMASRVSVSTVGLLEKETRAQVKRARALAGAAKEAFDTQSKTQKLSDTVFAVGQQLLRARRAGVLNSRIAAGSTPKSLHCLAMRLLEARLANASAVPDDPPVPPPEYTDPSLYHYAVFSDNVLAVSVVVASAARAAAEPARHVFHVVTAPMYLPAFRVWFARRPPPLGARVQLLATSDFPFLNASYSPVLAQIEAGSRDVAMLDYLRFYLPEMFPVLRRVVLLEDDVVVQRDLAGLWRVDMGANVNAALHTCFGGFRRYGKYLNFSDRFVQERFSHRACAWSYGVNVFDLQAWRREQCTEQFHRFMEMNENGTLWDRTSVLPAGLMTFYGKTKPLDKSWHVMGLGYNPHIRPEDIREAAVIHFNGNMKPWLDVAFNQYKHLWTKYVDTEM >Et_6B_050033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4223374:4226476:-1 gene:Et_6B_050033 transcript:Et_6B_050033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQEQMKVYCRRKNRMPPLVGIEALPDEVLQHVLSFLPVREAVQTCVLARRWSCLWKSTPALRIIWGTEDDWLDEEDVEDMNRFVELFIFHRNHDAPLDLLDSMTQFGLTTFVQKDDNLWFDL >Et_2B_022605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27726496:27732064:-1 gene:Et_2B_022605 transcript:Et_2B_022605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTRLRSRLLILPASPASPAAASLHLRPPVSTLRLSCRRRSPRIATRAAPPVAPTAAATEEDNEKRRGEGLSPADAERLAEFLRADLPHLFDDVGIDRTAYDDRVRFRDPITRHDTIDGYLFNIRLLKLIFRPDFYLHSVKQTGPYELTTRWTMVMKFMLLPWKPELVFTGLSIMGVNPQNLKFNSHVDLWDSIQNNEYFSFEGLWDVFKQLRVYKTPNIETPKYLILKRTANYEVRSYASFLIVESKGDKLTGSSGFNNVTGYIFGKNASSEKIPMTTPVFTQTSDDKLSDVSIQIVLPMNKDLDSLPAPNTEAVTLRKVDGGIAAVKKFSGRPKEEVVLQKEKNMRSQLLKDGLKPQQGCVVARYNDPRTQSFIMSKLKPKKIQAK >Et_9B_064650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17368158:17373173:-1 gene:Et_9B_064650 transcript:Et_9B_064650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLKNYPSSWSGNDPCGARWDGVMCNNARVMSLRLSSVNLQGTLSNSIGQLSQLVYLDLSFNIGLGGPMPASIGSLTQLTTLILAGCSFTGDIPKELGNLLQLSFLALNSNKFTGTIPPSLGLLSNLYWLDLADNQLTGTIPISTATTPGLDLLVNTKHFHFNKNQLSGALTGLFNSNMTLIHILFDSNQLSGSIPAELGGVQTLQVLRLDRNNFSGAIPPNISNLVNLNELNLASNQLTGSLPDLSQMSKLNVVSIAYAQLSGVVPKGLFTLPRIQQVELSNNALNGTLEISGSISKQLKSVNLQYNRIIATNVTPSYNSTLVLVGNPVCLDPDVAGRSFCSVQQENLIAYTTSLAKCTSTAQCSNGQSQNPANCGCAYPYTGKMVFRAPFFTDLTNSDTFQQLETSLTTQLALRDGSAYLSDILFNSDNYLQVQVKLFPSSGMTFNTSELIRIGFDLSNQTYKPPPNYGPYYFIADPYLPLSGANGGSKKAQISTGAIAGIAVAGGLLVIALIGMVLFALRQKQRAKEVTGRTDPFASWGVSQKDSGGAPQLKGARFFSIEELKNSTNNFSDEIGSGGYGKVYKGTLVDGTRVAIKRAERGSMQGVVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYISNGTLRENLLVRGTYLDWKKRLRIALGSARGLAYLHELADPPIIHRDIKSTNILLDDSLKAKVADFGLSKLVADTQKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIEAGKYIVREVKLAIDPSDRDYYGLRSLLDPAIRDAARTAGFRRFVQLAMRCVDESAAVRPSMGEVVKEIEAMLQNEAAGAEGASSAGSSANEFDGAGGGARAHPYSDVEITRSSYGGDNASDYMPYFEVKPK >Et_3A_023207.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:1131356:1131610:1 gene:Et_3A_023207 transcript:Et_3A_023207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KIESGRQHLLELAAVSNHAHGVLNTSHRSTALDESKVALKFCLSVKIGGVDSYCCINEKSVPHCYDTWDICKQMCPDCTPKCPP >Et_7A_052413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7685396:7686200:-1 gene:Et_7A_052413 transcript:Et_7A_052413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRALDMEAAARRRLARRSRPFARGLLAASKRRRAKSKQWSLACSIVQAEEAINLIITLLAEMTFIDSSMVDPGSVDKDTRLVHTGVFYFGHLGQAVLQQYEFHRLDGSVVGAQFMHAMHSYEDHQFNAARGGFKVLRIPLYEGVAKSVMIELARAMGIAPPAFPRHSMCVFLPDGLWGLAEKVMSSQGFVHEHPIAQKRVEVSRFRVPVFELCSTTGPRRDRVQVSAGDDDGGALSSGAVGV >Et_7B_053610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10261245:10265020:-1 gene:Et_7B_053610 transcript:Et_7B_053610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASSPPPAHRSLASPLLLPGPTSYAPAPPWREQITLRGVAVAAVLGSLLCVVIHRLNLTVGVIPALNVASGLLAFFLSTSWRATAERLGFGPGRPFTRQENTVIQTCAIACAGLAFSGCSASYIFAMDKKTYELVGPDYPGNRAEDVRDPSLSWMISFMFLIALLGPFSIVMLRKVMVIDYKLTFPGGTATALMINSLHGKTESDLAGKKVHCLVKYMGISFGWSFFKWFFNGVGDSCGFDNFPTFGLEAFKDTFYFNFSPSYVGFGLISPHIVNCSVFLGSVISWGLLWPFISSQAGHWYPDNLSNSDFRGLYGYKVFIAISIILGDGLYNLVKIFVVIGRELYKMQPKQPDIPIQTVEDNERLEQLTDEKLQTEVFLKDSIPSWFAASGYILLTALSTATVPLIFPQLKWYLVLFCYFLAPAIAFCNSYGMGLTNLNLAPTYGKIALFAFASLVGSDGGVIAGLAACGIIMSISCSTADLMQDFKSGYLTLSSPRSMFVAQLIGLVLGCIIAPLTLWLFWTAFDIGDPDGEYKAPFAIMFREMAILGIEGFSALPLHCLEICYAAFFLALGICLLKDVTPANVSRSIPIPMAMAVPFYVGAYFGVDMFIGTVILFIWQKLNREEADGYAVAVASGLICGDGVWSIPSAVLSILGINPPICMSFKPSSASR >Et_2B_019272.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20844976:20845281:-1 gene:Et_2B_019272 transcript:Et_2B_019272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEWEEVRAGVDADDDGEGAPPAHLRAFEAFLEEVVPVDMVLAFGREEGARARRGERRRSQEEDVKEKLKLWAKAVARKTRAEKEHTRRNNACVCIRCT >Et_9B_064737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18159250:18161510:-1 gene:Et_9B_064737 transcript:Et_9B_064737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTGSQGHRANGKQNQVQTCDLPGPAVVINGPRHHPLTLMRRCQGVLCLVIMLLTAFMMMVYLSPVTTYLVRLFSLHYSRKSTCFLFGTWLALWPFLFEKINQTRFVFSGESVPPQERVLLFANHRTEVDWMYLWDFALRKGRLQCIKYILKKSLMKLPVFNWAFHLIEFIPVERKWEIDEPIIRSRLSELKNPEDPLWLAVFPEGTDYTEKKCIRGQEYAAEHGLPILKNVLLPKTKGFNCCLQELRDTIDAVYDITIAYKHRLPTFLDNVYGIDPSEVHIHIESIQVSDIPTSEDEVADWLIERFRLKDELLSDFSTQGHFPNEGTEGDLSAVNKPKNWNIFFWEAKLETFCPSPESQSA >Et_3A_024725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23624643:23632569:-1 gene:Et_3A_024725 transcript:Et_3A_024725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFSGASASRLPSPSLSLRRARPRCVPTSVSGSACRAAASSTTTAGDGGARKPWLFVGLGNPGKVYQGTRHNVGFEMIDVIAEAEGISMSSMQFKAMVGKGRIGDSPIMLAKPQTFMNASGESVGQLVSYFKIPLNQVVVLRLLPKGGHGGHNGMRSIINHLKQSRDFPRLRIGIGRPPGKMDPANFVLRPFTRKEQEELDFALHRGLEAARIMVLEGFNKGATYVNTSQASEMLNR >Et_4A_035675.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3544736:3545107:-1 gene:Et_4A_035675 transcript:Et_4A_035675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLLAISLVLATCFLATANAQNSTAPPPPPASTWTPVANVSDLTIMQVGQFAVRIYALSTNELKMSFDAVISGETQPYNGGVNYKLLIKVSGGKKAPQYDAFVWGVLGTMSWKLWSFTPHY >Et_2A_015570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15167471:15174840:1 gene:Et_2A_015570 transcript:Et_2A_015570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLPRWAPTPSPSRPLWWPSSAATTATGGSCMLSPFRAIRDALRISCFDGVDGRRAGHRGGRVGDGGVFITWEDVRVTAVDGGATILHGVSGSARPGEVLAIMGPSGCGKTTLLDTLAGRLDSNLKSKGDILINGRRQKLAFGTSAYVTQENVLMATLTVREAIYYSAQIQLPDTMSVAEKLSRANDTIQEMGLTSALDTRISGRQTKGISGGQSKRLSICLEILTRPRLLFLDEPTSGLDSAASFHVMSRIAGLAAREGMTILAVVHQPCSEVFELFQGLCLLASGKTIFFGPAANAAKFFTSNGYPCPPMRNPSDHFLRTINRDFEMECGGGSESKPSAADEAIEILVSAYKTSITSEIVKREMHDINEMGGELIRRNEASFLTKVVVLSRRSFVNMYRDVGYYWLRLGIYIAICVCLGTIFYNFGYGYDDIRARSSMLMFIGSLLTLMAIGGFPSFVEDMKIFRRERLNGHYGVSAFVISNSLSATPYLVFIAVLPGAIAYYLTGLQRGVDHFAYFTLVLCSCTMLVEGLMMIVAAIVPDFLMGIITGAGIQGIMMLNCGFFQLPSNLPKLVWKYPVSYISFHKYALQGFYKNEFVGLVLENNQGGGNKTITGEEVITKLFEVEIGHSKWVDLAVLCGMIVAYRMLFVVIVKVLDVVKPMFKGAKFRPRRHVESICVRNNLCIRL >Et_3B_030304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32115203:32115902:1 gene:Et_3B_030304 transcript:Et_3B_030304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVALSLVCPSSASSAPPPPSSSPGSPRAWPSSSSGTPASGPMRSSPSCSSKSSSSSSSQKLLPSTASSLASSFRPVLDTREL >Et_3B_028413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1546051:1552550:1 gene:Et_3B_028413 transcript:Et_3B_028413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLSGFLDDYIRAMAPFLAAAVALYFLAEQLSYHRKKGPLPGAPLVVPFFGSIARLIRDPTGYWDALASRARESGRGLAADYFLGTFVVFIRDTELTHRVLANVRPDAFHFIGHPFGKKLFGDHNLIYMFGDDHRDLRRRMAPNFTPRALSTYAAIQQRVVVAHLRRWLDVDRNGEEEAFPIRDPCRDMNLETSQTVFVGPYLTEEAKNERDYHLFNVGLMAMPVDLPGFAFRRAKQGVARLVRTLADCARQSKARMRAGGEPECLVDYWMQDTLREIDKAEASARPPPSHTSDAEIGGYLTRPPRPLCWAVSALDAHPDVLARVRAEVAALWSPDAAGEPIAPEQMAEMRYTQAVAREVVRYRPPATMVPHVAGEAFRLTEWYTVPKGATVFPSLHESSFQGFQDPEAFDPDRFFSEARREDVSCKRNFLAFGAGAHQCVGQRYALNHLALFVSVMDFRRDRKKGCDELVSHLAMAADSLSGFLDLRAAAPFLVAAVAFYFLVEQLSYHRKKGPLSGPALVVPFLGSVAHMIRDPTGFWDAQAKRARESGVGLAADYLVGRYIVFIRDSELSQRVFANVRPDAFHLIGHPFGKKLFGDHNLIYMFGEDHKDLRRRIAPNFTPRALSTYTAIQQRVILAHLRRWLDSDRSGEKKAFPIRVPCRDMNLETSQTVFAGPYLTDEARRRFERDYNLFNVGLMAMPVDLPGFAFRRARQGVARLVRTLADCARQSKARMRAGGEPECLVDYWMQDTLREIDEAAANGRPPPAHTDDEEIGGFLFDFLFAAQDASTSSLCWAVSALDAHPEVLARVRDEVAAVWSPDSGEPITAEQVLALRYTQAVAREVVRHRPPATMVPHIAGEPFQLTDWYTVPKGAIVFPSVYESSFQGFPDADAFDPDRFFSEARREDVAFKRNFLAFGAGPHQCVGQRYALNHLVLFMALFVSVMDFRRDKTDGRRDDIVYMPTIVPKDGCAVYLKQRCAKLPSF >Et_1A_007551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35860691:35866048:1 gene:Et_1A_007551 transcript:Et_1A_007551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLPLPQGFSFLKSVGWFDDRKVDSAAKQQLSPTLKLQTDKEVYRPGDSVTVTVEIFSPSGLKDDAGQTVSGEDVPSLLLDSLSFELKGIEKLDSQWFSVPKPLPGSKQRRDIVRTELPKILPPSYRGISIRYIYYIRSALFGRSIVLGNGDQNKVPNNSTIQLEARVPLQIRVSQKSSAVLSEEGTLPFSVDQLAIFWREKDEDSEWTKANDNTDLEEGYDSSKDEVSSVSSYNPSKANPEFSLRNSLSMQSLSSRLSTSEPLYNQGEPPSFPMYSPIPRLSVSEISDDPDGGLVSPQKKLNRLLSDPPSNGQSFSPDSDCPKDDVGLPLTPKHVEPAGSEGFSRGRSYNIRIDDQVLLRFSPKNSDSTYYFGDMIGGALTFFHGTGKRRCLEVSITLETSETINPRALHPSRRSSPTITKLHSEHHEVVADLHQTSFLFSIPIDGPMSFSTSKVSVQWSLRFEFFTTPEGTDSARYEHPLLVEKREKGEWVLPLTVYAPPLRRRATRGRNDRSVALGNIFSS >Et_2A_015834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18237414:18237738:-1 gene:Et_2A_015834 transcript:Et_2A_015834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVNYAIDHLHVKTIACLRVVRTEMEMGIGQIVWKLMRSWLDYGAERTLIRVEYKSRECNRVAHSLVALGAVYPVSADPLLDTLPVCIQDL >Et_4A_032428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1079456:1082516:1 gene:Et_4A_032428 transcript:Et_4A_032428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SAGFSPAKLRAMLRGLEKHQRNGDDTSPDANDSGELDDRRSLECSTSTEMSSNSGNRSRNRAPEDDSFDSESSSSGPPTVKRSAVAALLPPFSRPTPSKWDDAEKWISSPTSNRTGRVGAAAGTVPKKSALAFPEHGGRPPVVAKVVAEVPINTAALAKNSDGLTQPDLYKSAQSASIIDEPAPAVRSVSMRDMGTEMTPIASQEPSRTGTPIIASSPTSSRTPTPQRTVEFSINKTDPNKELSEEELQMSTRQEIMDLGQRLGKTTIAAWASKEEKAAANSMNTTADNAFDVDREVRAADWQETEKAKYIARFQREEVKIQAWENHQKAKIEAEMKRIEAKIERKKAREQDRLTNKLAEVSHRAEAKREAAEVRRNQEAARTEEQAAQIRKTGHTPSSFSCWCWCL >Et_1A_006640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25519198:25519741:-1 gene:Et_1A_006640 transcript:Et_1A_006640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSLVVCLESHPIETSTPIQSPTQRKPRGESARRRRREEKRPSKMVRKAKVEFDERPPDDFDPKNPYGDPVAMLEYREHLVREKWIQIETAKIIRERLRWCYRIEGVNHHQKCRHLVDQYLEATRGVGWGKDARPPEFHEPKKVVEAE >Et_9A_063069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9612521:9612924:1 gene:Et_9A_063069 transcript:Et_9A_063069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSFYQNNIEISETAKLTFLKVFAWEPFSYIKHIATSEKERSPGRFGGKNTEITETGPEQLQNSPSAAKLTFLNVFVWEPFSYIKHIATSEKERCPGRFGGNNTEINETGPEQLKNSPI >Et_6B_049849.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1568969:1569226:1 gene:Et_6B_049849 transcript:Et_6B_049849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERANSNLLLQNLCIMQQNEELRRMARKLDQENKALLAQIQRKHHHQQQAASSSSPSASQQHVPGGAASSGGGHSASAGGKQQPK >Et_1A_008454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7863514:7871161:1 gene:Et_1A_008454 transcript:Et_1A_008454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERARGVAGDEIEKHELAAPGDMESDPAEAREFERVPPWRDQLTLRGAVAALLVGFIFTVIVMKIALTTGLVPTLNVSAALLVFLALRGWTRMLERLGFAHRPFTRQENTVVETCAVACYTIAFGGGFGSTLLGLDKTTYELAGKSPANGPGTYKNPGFGWMAGFLLAISFAGLLSLIPLRKVLVIDYKLTYPSGTATAVLINGFHTKQGDKNAKMQVRGFLRYFGFSFLWSFFQWFYTGGDVCGFVQFPTFGLKAWKQTFFFDFSMTYVGAGMICSHLVNISTLLGAILSWGLLWPLISKQKGDWYPANIPESSMKSLYGYKAFLCIALIMGDGTYHFLKVFGVTARSLHERLSRKRASNRDGDGTTALDDLQRDEVFNGGSVPAWAAYLGYALLTIISVITIPLMFRQIKWYYVIVAYVLAPLLGFSNSYGTGLTDINMAYNYGKIALFIFAAWAGKDDGVIAGLVGGTLVKQLCADAGDVESDLAASEEEEGHAPAPPWRDQVTVRGLVAALLIGFVYTVIIMKLALTTGIIPTLNVSAALLAFLALRGWTRLGLGGGAAARPFTRQENTVVQTCAVACYTMGFGGGFGSSLLALNRKTYELAGVSTPGNTPGSYTEPGIGWMTGFLLAISFVGLLNLLPLRKALVIDYKLTYPSGTATAVLINGFHTSKGEKNAKKQVRGFLRCFAMSLLWSFFQWWPGLWISPIPYFWPKGLETNPHVCWCGDDLLTPCKPLHAVWCYTFLGDIVATHQQQKGNWYPANIPESSMTSLFGYKSFLCVALIMGDGLYHFIKVTSITVKSLIERSNHKNIKKAGNEDTIANDDLQRDEAFNRDHIPNWLAYTGYALLSIIAIIIIPIMFRQVKWYYVIVAYVLAPVLGFSNAYGTGLTDMNMSYNYGKIALFIFAAWGGKDNGVIAGLVGCEIVKQLVQVSADLMHDFKTAHLTLTSPRSMFVGQVIGTAMGCIISPLTFMLFYKAFDIGNPDGYWKAPYALIFRNMAILGVEGFSALPKHCLELSAVFFTFAVLINITKDLMPHRYRKYVPLPMAMAVPFLVGANFAIDMCAGSLIVFAWHKINSKKATLLVPAVASGFICGDGIWMFPSSLLSLAKVKPPICMKFTPGS >Et_8A_057235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22561955:22562211:-1 gene:Et_8A_057235 transcript:Et_8A_057235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADVLANAFGVSQEEARRIKFGRGQEMAIFSPAASGGGRSPPSCEGGAPTYFVRTTYQLLSAEALNNEGRVRST >Et_9B_064046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10597140:10604071:-1 gene:Et_9B_064046 transcript:Et_9B_064046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPAVSVDKATNDLLLGPDWTLNIAICDAVNSDHGQAKEVIKALKKRIQHKNSKVQFLALTADVQVREKILTLLDSWQEAFGGPGGKHPHYYWAYAELKRSGVEFPKRSPDASPILTPPVTVPASLPFQAGYGMPVGSPSRLDEATSSTGASLSMPDLERMLGGAELLSDMLRAVNPSDHDVVNDEIITELVNQCRSDQKRIMRSVSSLRDEDLLGQALDLNDKLQILLQKHDAMISGSPPPDDVTDFGSQLPAGTTSSLGAKVPPQAVVSPTVIQTNVLDEEEEEEDDEFALLARRSTNDEISSSDLGTISSTIHEGIANSGDSVPLTTSSSFPSNALSPPDPPAPVRTSPEEQVMSDLLALTISSNPSTPYTPVTAEQTLNHDGSPANHPQHYHVNQGHAAAHYVAPWAQSPSQTGGIQQQTLSQSQQLNNSCAYPPPPWASQDETEPNPFVQASYQHQLASNLPINVPSNLRPLPQSHSFGVPLRSASLESPINQNLKQPLSAGACRAPSYVSSNKFFDDLFERNSDGSLKVGSPVGSGTSSPYKA >Et_2A_016272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22838901:22842779:-1 gene:Et_2A_016272 transcript:Et_2A_016272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVANQGRGKQQFPVKSIDACVRRLRTQSRHQRAKHTFTHLPPRQPPRCALRSLQLRRPPPRSFHGCIPPHHAATAPYKYSHRHAPSFKHPNPQLSSCQLPPTKHSLETPLPSHQGTATMAFRLSNNLIGILNTITFLLSVPILGAGIWLGARADGTECERYLSAPVIAVGVFLMVVSIAGLVGACCRVTWLLWVYLLAMFVLILVLFCFTVFAFVVTNRGAGETVSGRGYKEYRLGDYSNWLQKRVESTKNWNRIRSCLQDSKVCKSLQDKNQNWQQFISSGLSPIESGCCKPPTSCGYTYVGGTNWTVTTTNSTDPDCKTWSSAATGLCYDCQRNYGFLSFRRTGLGIICFAPQHHGADQQCVFGFQEDRTGETYLPTRSSSLMKVTDSAAVITALSGVKIAL >Et_7A_050291.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:20085310:20085921:1 gene:Et_7A_050291 transcript:Et_7A_050291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAAVLVLLTLSTTSVAASKVKFNVTEMLGEFPEFSTFNNLLSQTKLADEINQRQTITVLAVDNGAAGAIASLPADAQKKVLAAQVILDYYDPVKLDGIKDKAAQLTTLFQASGAAAAQSGFLSYKEGADDQMAFGSAEPGAPLASKLVKVVASRPYNISVMQISAPIVPPSIASPAAAPPARVVPHRPQQRRQMPRLQP >Et_4A_034227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3123465:3131786:-1 gene:Et_4A_034227 transcript:Et_4A_034227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGGGTIRVLNVAEKPSVAKAVAEILSRRSMQSRPGRSPYNRIFEFNYAIGGQACHMSVTSVTGHLMELEFEDRFRRWHSCDPADLFHAPVRKSVPQDKQPIKQTLEEEARKCHWLVLWLDCDREGENIAYEVVEVCTGANNHLNIWRARFSALIDREIHEAVQHLGRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVIDVTGDDRNLVLSYGPCQFPTLGFIVERFWEIQAHEPEEFWKIICTHTSEEGTASFAWIRGHLFDYTSALIIYEMCVEEPMATVKNVRNQEKLKYPPYPLSTVELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDSFSPNTDLHTIVREQVPHPIWGEYAQRLLNPEARLWRNPSNGGHDDKAHPPIHPTKFSAGENNWSQDHKRLYELVVRHFLACCSQPAVGAETTVEIDIAGEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYNIGQQAGIGTDATMHDHIKKLLDRCYATKDENMHFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSIGTKSKAEVLESCLQRMKACFLDARVNKAKLLDAMGTYFARSNRPANETQSPVEVVRPCGACNESEMVLKQRPCRNVVWLPASISEASVTNQVCPTCRPGPVYKIQFKFRRRDIPPNFDVDHLGCVGGCDDILKELTEISRFGSRNQAATPARGQTPNGVRQGAPRQDLHTNFRPAGQLANENQSVMHSQGFRSTHTQNSTGVTGQVMCTSCGEPCISRTANTEANRGRKFYKCRDLDCNFFKWEDELENATPRGRRGRGSSRQTAASASAGRRDGAQARGRRGRGRNADGGMFVSATGDPVSGCCFTCGDPTHFANACPNRGRR >Et_8B_060685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4928369:4929754:1 gene:Et_8B_060685 transcript:Et_8B_060685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGEAAVADATGRAALELGLGLGVGVSAVRREEGGSGSTTAAAAVGGRWWAAASPEPAVRLSLVSSLGLQWPPSGSGECRASERVGFVLFLWLLWIWLHVECCHDRGAAFVPSRHAGRPEAPARGFDVNRAPSVSAAAGAAGLDDDEDDDREAAAAALSSSPNDSGGSFPLYLGRRAARAEGAAAQGGGGERSSSRASDEDDGASARKKLRLSKEQSAFLEESFKEHSTLNPCAGSIPTLFFSFGLVTDELYLVGGKQKQKAALARQLNLRPRQVEVWFQNRRARCMHRKSPNLRRVLHRPIRPATELTLCSPAMCACRTKLKQTEVDCEYLKRCCETLTEENRRLHKELAELRALKTAQPFYMHLPATTLSMCPSCERVASNPAAASASAPASSAPPAAPAAGPAPPREQHRPSSFAALFAATRSFPLVSQPRPPAPASNCL >Et_8B_059852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3371791:3386914:1 gene:Et_8B_059852 transcript:Et_8B_059852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSAAISRSVMLFAAVAVLLLQVLAAAPPSAATELEPNGCTRRCGNISIPYPFGVEPGCYIEQGFNLTCDRSHRPPKLFLGNGTVPVLDISIPNATVRINGSFAYFPGSEKNFMGLGTKPIAARTWSGALMEGGPYTLAQWKNKLVANGCNVQVVLEGDPNRTLSTCSALCNWDSNITWWFYPTAECSGVGCCQANIMEERSSYVFKTVQKNAATGPNNAALAWIVESESNFFETLSDGRHEVPELPAVLNWSINHTTCHGNASSAACRSRHSFCDNNTQPVGPFDAVIRGHLCYCDQGYQGNPYVPDGCYDVNECESPKTYPCYGVCSNTIGGYQCKCLPGYEGNASVPNGYIDECAHPDLHACHGICENIPGSFQCRCQDGTYGNPFKKGGCSSHSALKIGLGVGAGITFTILAIGVPFITRKMKSHKVKRRKERFFKQNHGLLLQQLISQKSHIGGRMIITLQELEKATNNFDPSHQIGGGGHGVVYKGLLDLQLVAIKKSKIVVEREIDVFVNEVAILSQINHRNVVKLLGCCLETEVPLLVYEFISNGTLGHHLHVEGAISLSWDDRLRIALEISKALAYLHSAASTPILHRDIKSSNILLDDNLTAKVSDFGASKYVPIDRTGVTTAVQGTIGYLDPMYYYTGRLTEKSDVFSFGVLLIELLTRKKPFMYRSNNGDGLVSHFASLLTEGKLSDIIDPQIMKEEGEQVDEIAALAARCTKLNGEDRPTMREIDMTLENLKVAKKHDAHNTTSRRKYNCGQTAAHYLSYAGVTVETSRQYIVLLPVPGASTPAAAGDAAPDGCTRMCGDISIPFPFGVEPGCYIEPGFNLTCDHSHRPPKLFLGDGTVPVLGIDVSNGTVRINGSDNHDFLGPNPITARTWSGALVEGGPFTLASRRNDLFMSGCETQVLLEGDPNATLSTCAALCDWSVNSTAHCYGVMCCQANIMEGRSSYTFKVVEMNGARGPNSASAAWIVESDSNFMETANHWKPGDEIPELPALLNWRINHTTCHGNASSAACRSRHSFCMNITQKFDTVQLAHLCHCGPGYQGNPYVPNGCIVFNFNLMFIVDFLLFRSDVNECESPEAYPCYGVCSNTEGGYECKCLPGYEGNASVPNGCKDIDECARPDLHSCHGKCKNMPGSFQCSSVSALNIGLGVGGGVIFMILTLGAPFITHKMKSHKVKRRKERFFKQNHGLLLQQLISQKSDIGGRMIITLEELEKATNNFDPSHEIGGGGHGVVYKGLLDLQKSKIVVQREIDDFVNEVAILSQINHRNVVKLLGCCLETEVPLLVYEFISNGTLAHHLHEQYWDDRLRIALEISKALAYLHSAASTPILHRDIKSSNILLDGNLTGKVSDFGASKYVPIDRTGVTTAVHGTIGYLDPMYYYTGRLTDKSDVFSFGVLLIELLTRKKPFMYRSNSGDGLVSHFAALLAESRLADIIDPQIMKEEGERVDEVAALAARCTKLNGEDRPAMREIEMTLENLKITKIQDHHNTTSRTKYNRDQTATHYLSYDAVTVETSRQYSMEEEILLSASPCHVKNELNVLTSR >Et_1B_013544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9373173:9378721:-1 gene:Et_1B_013544 transcript:Et_1B_013544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKIGLPPKPSLRGAAWVVDASHCQGCSAQFSLFTRKHHCQRCGGLFCSSCTQQRMVLRGQGDSPVRICDPCKKLEEAARFELRYGHKNRAGKANAKAASKPEDEILSEILGSEGAQTQLSRRESLNSELPGRSMSAASGGSSSSRRTSATFSMDGNGDDNLSAEAHNYELNNTASIFTPDELRQQAVEEKKRYKTLKSEGKPEEALRAFKRGKELERQAGALELELRKSKRMATKAPNVTAVVITQSADGPDEAETKRPSAGKRVKKEKNDLASELRELGWSDADLRDETKAAPLSVEGELSQLLREVAPRSSEVKKTGGIDKSQVNALKRQALLLKREGRLAEAKEELKKAKILEKQLEEQEILGDAEESDDDLAAIIRNMDDDNHEDILLDNPGFPALNFEQILGASDDLAFDGNFDVTDDDLNDPDMAAALKSFGWSEEDDEQQENHGYVSSMDQEALKEQVRALKREAVMNRRSGNVAEAMSLLKKAKLLEKDLETENPDLKIPSPGQNEDVTVAEVNTRPVSAPKSKLAIQRELLALKKKALTLRREGKVQEADEELKKGSVLEKQLEELDNASKPVAKETRNIGSVPPYKVEPISLDLPDEGCEPEVTDNDMQDPALLSVLKNMGWEDDTDSVKRIDTPSTSRVAPQKSSQTKGQIQKELLGIKRKALAFRREGKNTEAEEELEKAKVLEQQLAEIEESTNLAASQQAVSAGGHQTRENKSDVQQLPSIDASVPPSLISSTMKGDDLLPVHADEPGTSRDTLVSSSKPQAETIILKQGHAEHGSSDGTTSALSRPAFADTLGSTKGSHSPSDHKEAQKEHGDDTLKDEILLHKRKAVAFKREGKMAEAREELKLAKLLEKRLEGAQQNSGDGADGSASVVQQSNLMQQPASASNYTDTLASAPSAQANKSTQPPKAMSSRDRLKIQRESLAHKRNALKLRREGKTAEADAEFELAKELESQLEEADNQGSSSGGMSAEPNDAVVENLLDPQIMSALKSIGWSDADLSMQSANAPPPKKAEAKPAVTVTSRPQAEVKPAVAATSKPQNERTQLEEQIKAEKLKALTLKREGKQGEALEALRSAKRLEKKLASLG >Et_1A_006855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28340251:28352314:1 gene:Et_1A_006855 transcript:Et_1A_006855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAVTKGCGGGGKARAGALHVGGICIETPALLLSTRKGLPAVVSCDLLPSLPIPDSLLLHVCPTHFMEGPPSKTISNIGGLHRMVGLPNHILVSAAGDSIESLPSSNATNKFGASFETPSGRRLVKPTD >Et_9B_065699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9268605:9274610:-1 gene:Et_9B_065699 transcript:Et_9B_065699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPAQASAGGGGWWRSRKTLVGLGLGQLVSLLVTATGFASSELSRRGINVPTSQSLLNYLLLAIVYGTILLRRRKPLQMKWYYYLVLGLVDVEANYLVVKAYQYTSLTSVMLLDCWAIPAVIFLTWMFLKTKYRLRKYSGVAICVAGLVLVVFSDVHAGDRAGGTSPVKGDILVIAGATLYAISNVSEEFLVKASNQIELMGMLGLFGALISVCQIGIFERSEIKSIHWNVGAVMPFIGFAIAMFLFYSLVPILLKISGATMLNLSLLTSDMWAILIRVFAYHEKVDWMYYVAFAAVAVGLVIYSGDSNNEDRSGQVAEAGDIEGELNHGARSDEESVNRNFEDGKTPTVDSSKTSNN >Et_4A_033307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21302106:21306059:1 gene:Et_4A_033307 transcript:Et_4A_033307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFVAAASSAETDTTSASSSYADAPASPSPPSSARRAAPTLLLLASLAALLILSSGDDAAAQPLRDVSLKNPEVTFAPSSMDGQFCERIRLSGIPRLHLASYPSQIHVRMNVSQSIPEKFHWKIEVCFHGNASMGLCQCDTGEWRAFQTSMWNAVKSPYGNKYIDVKLADKKSTSFTLSVQEEFQRWRLACLGIGFVLLFLSPIVSKWAPFYYSSSMALGILLVVLIVLFQGMKLLPMGKKSLFYLTIYGSVLGVGSYVVHYFSTLVATILENFGLSEEMHNPVSIFLLIAIVLTGAGFGDWMVRRFILSKDGSVDAGIAQFVNWAMRVVATFFVTQSTLDPLLALVALAACWWETKAAKGFFSAKVYTRITQKSPGLGSTLARWVVPNQDEDYYSTFNNIQPGIYSKKEWKEFTQESTRKALAECTATPEFAKWVADNAHRVQVEKDDDVSDDEIIESSSNSAEETEDEAGKAPGLYWWSREQD >Et_1A_004983.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21258390:21258833:1 gene:Et_1A_004983 transcript:Et_1A_004983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATPMTDTSSNAFRCCSANSGHGARGTPCHRLSRIEFQPQWVTKPPMAAWARMSFCGAHLGHTRPLSLVLSKNPSGSSSSRLASVGIGGLAGGGPRSTHRKRCPLRSRPRAIARTCGSGKLPPMLPKQSSRTDAEGCASSHLTHS >Et_1A_007634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36590705:36602835:-1 gene:Et_1A_007634 transcript:Et_1A_007634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPREERSAAAEPRQDQQVLLVADGHPDAAAGRPAASFPWLTLLGFLFLTFNSFMAVYRSNGDREAVAFVAFSYLDLLALFYCLRRYEHAEHGSQERDRLKIVVWVLTTALTLLFSYKVAAVMPAAVAVVVWLMAFSTIAGGFYAFFCYNEKASDQQKLYVDEALLQDPTRPKEREGSWPSPLTIIGFAFLTFNSAVALYQANTRWGAISFVAFSYLDLVALFYCLRWYQMTPKESPRREHLKVAVWLLTTMFAFAVFMLLEFLLSNTGASMSSKQQALLEQCAIWPKPQDCEGNSPSLITLVGFAFLTFNSVMAVYRSHGDLAAISFVGFSYLDLVLLFYCLHLYERTPPESPRREHLKMAVWLLTAMLTAAFSYKVAAIMPFPVQVLVWAMAGMM >Et_4A_032584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11553294:11554656:-1 gene:Et_4A_032584 transcript:Et_4A_032584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEAAPAALQTVVLKVSIHCHGCKKKVRKVLKSIQGVHNVSVDAGQHKVTVTGTVDAETLVKRLYKSGKQAILLHPHSAVVNKPEAAPAPAPAKEAAPAAAGDGGKEAAAPAAPAAEKKPEEPVKEAKAESAEKKPEKEASEKEDSEKKPEAEKGAESKKEEAPAKPRAEAKKDGAGEGEKAAEPPKAKADDAPAKAKEAAGNDVGEAKKSKKAPEDAAGGEAKPVVAMAERTLSAPPPRHMYEEYVDHHYYPPQPILSYHAAQPRPSVSYYAPQPQQAYSMHQEHEPAAYPMQQHQRQQQPAYPPPPRRAYSMEEPAMQPMHEQQQWSPSYLYMPYPHSAPGSYYQQEDYYSPPGSHAPPPLQDSYRMFDDENPNACSVM >Et_6B_048748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13152887:13156584:-1 gene:Et_6B_048748 transcript:Et_6B_048748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEVAAKRMRLWEEEEEEGMEVDGDGEGEEQEDAWVWGAPAAEEEQRAAAIVVADAAEADFPVIYVNAAFEAATGYRAHEVLGRNCHPLSNGYISYFCACFSVCHLLSPVSLLKLLTLTNLTEGLVKHLTNSVHSNLSFSRFLQFRDPRAQRRHPLVDPMVVSEIRRCLNEGIEFQGQLLNFRKDGTPLYNRLRLVPMHGDDGSVTHVIGIQLFSEANIDLSNVSYPVYKQQSSHRPSIQDLNSVAHEHVPKIQSSDYCGILQLSDEVLAHNILSRLSPRDVASIGSVCTRMHELTKNDYLRKMVCQNAWGRDVTVRLEMSTKMLGWGRLARELTTLEAASWRKFTVGGRVEPSRCNFSACAVGNRLVLFGGEGVNMQPMDDTFVLNLESARPEWRRVKVSASPPGRWGHTLSWLNGSWLVVFGGCGQRGLLNDVFVLDLDAQQPTWREVASEGPPLPRSWHSSCTVDGSKLVVSGGCTESGVLLSDTFLLDLNKEKPAWREIPSSWSPPSRLGHTLSVYGKTKLFMFGGLAKSGSLRLRSSDAYTMDVSEDSPQWRQLATTGFPSVGPPPRLDHVAVSLPCGRIIIFGGSIAGLHSPAQLFLIDPAEEKPTWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRPDVDDE >Et_1B_013492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:976387:977213:1 gene:Et_1B_013492 transcript:Et_1B_013492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GTAGGQPPVEPVKMKGLLKGLRYISQIFDAKEPEMKIGNPTDVKHVAHIGWDNASVTAPSWMNDFKATPEPSQAGAGGAGGGGESRDEQPGEGGAGGRAEQTERPRRTKGKGSGASEAKRRDGPGEGSRRDRRLATKAEAEGGEGDAAAAPKQRRRKPRASGGGTSSGGRSKSSSRGAGCGTASDSEAARPPAAPEAEDDRNGY >Et_5B_044844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6481234:6485664:1 gene:Et_5B_044844 transcript:Et_5B_044844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKASVSKELNAKHKKVGLASLPLSSAELSGPRWASVNLGIFICMTCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYEDKRWIPRNGTSRPPSVARDEKSSESQASATRSGHGQRSSFEQHRASPAATSKIAPVASRMHTQASPQPKVEPPVPKVVSPPQPEKSPAKVDATAPRVEKPSIAPPPKVDYATDLFNMLSMDEITEKESESSANDDNAWDGFQSAAPVPSSEKKDAAKPVESKPQSAAAGLEDLFKDSPAVSSSSAPAVSKGNVKNDIMSLFEKSNMVSPFAVHQQQLAFMAQQQALLMAALKAGNAPQIPPGNANLLNANGSNAPQGTLPFQNWTNLGYQNAGSLPTVVQNGAAKVGNNNQELPPGNFNFGTPGMYNISPAVPANGATTADVNRGTTASAASTLPSQSGKDYDFSSLTQGFFSKR >Et_7B_053324.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:827287:827574:-1 gene:Et_7B_053324 transcript:Et_7B_053324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMGEPMMSLLSAEGSRVATQGDDQSSSSGGSSSGEHSKEGEGSSNNKEGEKHAKSCLTKEECHKKKIICGKGCTLSAHSKCAAKCSKSCVPTC >Et_8A_057813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7590350:7598907:1 gene:Et_8A_057813 transcript:Et_8A_057813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPVPDPPFKDATPLKPPPPPPELVGLTLRRSIRCLNRPRPPSYAEQEPPKQAGRRGRGPGKRKRNEEKQGPVAAALDATRRGGKPTTADSGERNPMPVIAAVPISCAGYADAAAEDDVMEGGKSAKLRVKETLRAFNSHYLHFVQAEQKRAQAALEEIKAKGGLKRQAKGGKNQKGTKQDTEGEEKEKRPSMRPDLKAITKMQETNAVLYPEKRIGSLPGIEVGHQFYSRAKMVVLGIHSHWLNGIDYMGMKYKGKKEYENLTFPLAACIVMSGIYEDDLDKADEVIYTGQGGNDLLGNHRQIGSQQLKRGNLALKNSRDNGNPIRVIRGHASKNSYTKKVYTYDGLYKVIDDWVQTGVQGHVVFKYKLKRLEGQPSLTTSEVRFTRAEAPTTISELPGLVCDDISGGQENIPIPATNLVDDPPVPPSSFTYTKSLKISKGIKIPSNIIGCDCEGDCARNKNCSCARRNGSDLPYISFKDVGRLVEPKAIVFECGANCGCDHDCVFKTASKGWGVRTWDTILPGAPICEYVGVLRRTKDLDGLQNNYAPPAPEYCIDASSIGNFARFINHSCQPNLFVQCVLRSHNDVKLAKVTLFAADTILPLQELSYDYGYRLDSCVGPDGNIVKLPCFCGAPDCRKRLY >Et_3B_028231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12024113:12024467:-1 gene:Et_3B_028231 transcript:Et_3B_028231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKQQPSASFLGFSTLEATLPHPLSLTRRRQEIQKELSLPMGSGSCCCIGCGGNGGDDDGGGGDAGLDPKGFLLAMMIAVVLFMLCNVRPQRRRNSYAVYRCY >Et_3B_029423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24897556:24902376:-1 gene:Et_3B_029423 transcript:Et_3B_029423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEPGGGGPPQRPPMPAVSTQPPIKKLVRQLDFNSAAMGGNPAMAAAAAAAVSRALQPRAVHVGFPHPQHARAAVPLGVPHHFQHRGLPVLRPHQVVGHVPLPRQAMAVPIPQLRPVPVQPVPRPPVAVPLKPESPQSRAKLYEGKDGTPTKKKCCNCRNSRCLKLYCECFASGAYCDGCNCTNCFNNPDNDAARREAIDATLERNPDAFRPKIGSSPHANRNNEASGDLPLVGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERKALFQGDHKNAIHMQQAANAAVNGAIGVTGFPSPSTSRKRKHIDPSVDHSVKEHVASRNYHLPQKNAVPDGSLPTNQSVHPPTLGPFKVTYRPLLADIVQAEDIKELCKLLVVVSGEAAKAHAGQKTQEEKAAKKEDDRAGCQTSTNHDRIENIPDRGQKTSISGRSSGGTHTDKALEEESRHNCADDKKSDRPMSPGTLALMCDEQDTMFTTSQNAGSQETVAINQNRSALYAEQERCVLTEFRDCLRKLVNGVSRVPYSKVAVPAVVKTFPQSSSSQPVAGNPVTGPLDKNLKPDNS >Et_7A_052525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8901997:8902643:1 gene:Et_7A_052525 transcript:Et_7A_052525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLIAEKKGSWFPAKECYRALIRTVTVINASAKKNKFFGELPVNGADSTISGAGAGDPPTAQSFDDARRTESITTVPHLIFPQLRWYHVLAVYLMAPVLAFCNAYGMGLTDWSLASTYGKLAIFVFGAWAGKSHSGVLVGLAACGIMMSIVSTAADLHEVSAEG >Et_8A_057026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20350596:20355940:1 gene:Et_8A_057026 transcript:Et_8A_057026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQHSNSIMAASIVQDAGRRAAVFVATPRMDAGRHGSHLLLRHHVCPHLVAASGSVTTELLAVAMAAFAAYSLVDLTTGVYYWLVDNHGNASTLVPSLLPPGVAALQAAGLLVSRSHITNCCTAPSVATGMWNG >Et_8B_059094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13920261:13923647:1 gene:Et_8B_059094 transcript:Et_8B_059094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARATVPRPGLLTPSNVAKPKAGLFLPIPSPSSSSPRRAVATAGAAPAAAPSPRPRLGDVIEAQQFDRDALNEIFEVAREMEAVERGSYGAPSRILEGYLMATLFYEPSTRTRLSFEGAMRRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVLRHFESGAARRAADTANIPVINAGDGPGQHPTQALLDVYTIKREIGTLDGIKLGLVGDLANGRTVRSLAYLIAQYPNIKIYFVSPDVVKMKDDIKDYLNSQGVEWEESSDLLEVASKCDVIYQTRIQKERFGERIDLYEAARGKYIVDKKVLDVLPKHGIIMHPLPRLDEITKDVDSDPRAAYFRQAKNGLYIRMALLKLLLVGH >Et_5A_042537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19022018:19026136:-1 gene:Et_5A_042537 transcript:Et_5A_042537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYSQGFSPARTLSPQIRSNPDADSQYLAELLAEHQKLGPFMQVLPICSRLLNQEIMRVSSMVHDHGFSDFDRRRFRSPSPMSSPIVRPGNGFGPWNGIHQERLGFPPPGTSMDWQGAPPSPGSYIVKKIIRLEVPVDSYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDTDKEEKLKGKPGYEHLNEPLHVLIEAELPANIIDTRLRQAQEIMEELLKPVDESQDFYKRQQLRELAMLNSTMREDSPHPGSVSPFSNGGMKRAKPSQ >Et_2B_020591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21620291:21629725:-1 gene:Et_2B_020591 transcript:Et_2B_020591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAHTAAVVDRLLRRLASGGRRPELPSSIDEDVAHVGRTLARLQDVLVSLETRTEAQEWMGKIKQIAYDTEDLLDELEDHHSTIQSQWSGSWIAKVAPFFLHSTTENRMKTIKRMLEFSAKDSVIFSLLQNPNRCPDLEPFDNVVFDGSKIIGRDDDKEKIKGMFLQSNTEKLSVIPIVGLAGLGKTSVAKLIFYDQGEGWNFDLRIWICLKRKLDLKKVAMSIISQCSNTEEKLLNVHTNIEIQEDLQLLKNRLWKLLGSKSCLIVLDDLSSTDKSQLDELKEMLKGTNEWIKVLVTTSSETTAELVHTLPPYKLRPLSEDESWTIFSQKAFGTANSISAHLMEIGKQIAKRCEGIPLLACSLGSVVKDQVTDVWLASRDEQIWKLQRRFTTKTEIFSSLYEIYNDLPSAVKLCFLYLSIFPKGSVIDKEKLIQQWIALEMIGSKHGSLPSCVHGEICIQDLLSICFLQVLNMHSIEGMDIRINPTALYIHNFVHEFSLHVACDDIIILDDREMRKGKARMQTFQYALMTNYRGQSMHSYSSLTRTRALHVRNSEAVKFHSGAFELLKHLRVLNLSGCCIGELPASLGHLKRLRYLDVSGVPIQTLPSTVGRLTNLETLDLSKTSLKELPSFIANFLKLKNLNMKNCDKLQNLPVALGHLQRLEHLRLSSCNEISELPDSMCNLHDLRFFDLSRCTELQYLPPLFGNLENLENLSLSSCFNLKQLPESFGNLYFLRFLNLSSCYELQQLPESLTKLEKLEVLILRRCWRLENLPSCLENIKFLRVLDLAGCEALHVGTDILTTTLEYLNLQCCLKLQTHPHCFENFTKLKFLNLSQCLRTIDCLQSVGFLFNLEYLNLSENFLEIPMFFAKLQKLHTLDLSGCRPVHQSSNVQQILPDIIGKMAALRYVLTKDPVLVACLPPHIRCSVGMDEHRHVTTDELVIKDITGGPRGLSIADRLNLQNRLELRFLKLQWNPTSHSDVDELVDDANNDEVLEKLQPNQSLEHFVLVKYAGYAFPTWMMNHMITSLPYLVSLSLFHLQNCNNLPPLGQLRNLRYLHIKGMPNVRNLEMGLSGGPQPFKKLTHLKLETLVNLNELSILVLTDTENQDFMFPLLEELSVLSCSKLIFKPSLPRCRRYEIRESNNVLSCGEPLGPSSSASPTKIEISGCLVSSSWLQWLNSMQSLKKVVINECVGDDGAQLTSSEFREIKSTLESSSTNVPHDIKIESSSVTNISHESTTKTTSISSNLLRSKMPVKLPGVGSLSLWKIKKATHKFSPSFKLGEGGTWIVYKAVLQDNNIVTVRRAKKGLAESANDLMKVNLAKINHWSLVRFLGFIDEGNEFVMVTEYVPNGTLREHLNGDKHVRILDFSQRIIIAIDVAIALTYLHLAAGETIVCYNLKTQSILLTESYRAKVCSCDLVECGPLEPLRGTIGYIDPEYFQSSVLTAKSDVYSFGIVLLEIISSHRPTDWNHWTDNSRMCTVIEEWACEKFNQGHMNEILDYRLKDDVDEKVLRNWLILALLCAAPKSDDRPSIEEVGERLWEIWKDHRMHTGESLEYERSWIDFVKEEGIVVPDSMCEGSYIQEAYSETDDMYWPSRPASFDSIIVSPR >Et_2A_017383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33838356:33840148:-1 gene:Et_2A_017383 transcript:Et_2A_017383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERLFEDIFKVVAIDPDGKKFDRVSRIVAKSESFDMYMQLDVATEVYPMKEKDKFTMVLTSTLNLDGTQDTGYYTQAGRKTLADSYDYVMHGKLYKISEDTQGQNAKVEIYASFGGLLMMLKGDPTSAANFELDQRLFLLIRKV >Et_4A_034429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32477707:32478523:-1 gene:Et_4A_034429 transcript:Et_4A_034429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAAGSVFKSHTHHRKGPARFRALDFGERNGYLKGVKELFVAAEGMYTGQFLYCGRRATLSIGNVLPLGTLPEGTVVCNVEQHVGDRGALARCSGDYAIVISHNTDSGTTRVKLPSGTKKVLRSGCRAMVGQVAGGGRTEKPLLKAGNAYHKFRVKRNCWPRVRGVAMNPVDHPHGGGNHQHIGHASTVRRDAPPGAKTGQIAARRTGRGRGQAAVAATKQSTD >Et_2A_018719.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5819658:5820359:1 gene:Et_2A_018719 transcript:Et_2A_018719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTSRHCSHSRWSNRDYGRCFEAKLRNLTSTKPVLTQEGHIGLHNPFQISAEEIGASLERKEEVIEGAKQGDVELELRDSGSAEEAVGVCHDQPSDMRGREAMVLNCGDERSEGLLHSGAEQTRAAGDGGDDVRRREAEAGEECEHRVGLGWGLEVGELLRGLDGLVPGEAAGGDEVILDSERGGSGEAVDAAVVANRLKERSHWWRNGAARCFWRWSIAGRWPPAADARGD >Et_9B_065312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3593998:3597202:-1 gene:Et_9B_065312 transcript:Et_9B_065312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIIKIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFAAQGDRKDVFFYQADDQHYIVHYIPRALLMDLEPRNSEYRNLYNHENAFLFEHGGGAGNNWASGYHQGEQVVDDIMDMVDREADGSDGLQGFVLCHSIAGGTGSGMGSYLLETLNDRYNKKLVQRYMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNAALNMIAVERLHLSNPTFVQTNSLVSMVMVVSASTTTLRCHFVLTGYTPKTVSRQTKNRMVSSYARIKKASHAKSISILNIIQGEVDPTQTGHLQAFRLLCQENPHMFKQLTGKCLGQYEKLRKNKPFLTTTGRSQTL >Et_9A_061318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:132399:138591:-1 gene:Et_9A_061318 transcript:Et_9A_061318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEELGRRLNAVGVSDSPTALETSNSNLFQVMRAVEDAEATIRQQLEENSRLKDELMLKTQELHRIRSEATNPTLFRDLDQDRNLETHINTFSSAPLGNNYEASKWFTTASSTPVAQSTLSSHQNGVGEPMFQDAMKHKYLETSQANEIPRKFSGYQSAVPSQLSTPSSRSLSPSRHRKGGDYDSNLAARGLLPVSELNSNIILKQDLLAKVKEHEEEIAQLRRHLADYSVKEAQILNEKHVLEKRIAYMRMAFDQQQQDLAAHQERSTFVSSLLPLLSEYNLQPSVLDAQSIVSNLKVLFKHLQEKLIITEEKLKESQYQITPWRAESSNNTSAAEQSPSHPPGNALMKANLDIVPQQAYSHIQSPVSSPVRARRDWDLLGTENRQVIPSEVAATSSEHDNVGKTSPSTSNQNVKDVVPQGTEHDPHAVRFNFESKDQNPSFKDLVRGDASENPDGAEAQIPQESSTQWASGGSPNLVSGLDDANPQYPYLPTVLEEPSSSFSEVAEDDPLPAIEGLRITGEAFPGRELQASGYSINGTTSCNFEWVRHLDDGSVNFIEGARQPTYLVTADDVDSVLAIEVQPLDDRKRKGEIVKVYANDQRKITCDPETKELIKKILSIGHVSYEVLLPINIPFGRPTEFSIISSDGAEFNLKPAENAPSRDTIVLILRLFRMK >Et_7A_052919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25729302:25731170:-1 gene:Et_7A_052919 transcript:Et_7A_052919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQAPEPAASGDDRPLVLLAQPLFPEFAAALEGRYRFVLASDADAAAAAEARVLLVPGLKTVTAEDLHRLPALELVAATSVGVDHVDLEACRRRGLAVTNAGDAFAADSADYGVGLVLAVMRRVAAAEAYVRRGRWAADGDYPLTTKRRRRGRHRLTVDLPAVTADVIGSLPALELVVASSVGVDHIDLAAGSGSPLTNAGPAFSADAADYAVGLVVAVLVSGKRVGIVGLGRIGSLVARRLAAFGCAVSYTSRSPKPQAPYRFVATVRNLAADSDVLVLSCALTEETRRMVNREVLEALGAGGVLVNVGRGGLVDEPELVRCLREGVIAGAGLDVYDNEPHVPPELMDMDNVVLSDHRAVLTPESIRGARDMVAGNLDAFFAGRPLLSPVTL >Et_9A_062704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3407393:3417743:-1 gene:Et_9A_062704 transcript:Et_9A_062704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPRGKCHVCGISTDGYGRCHDMERLLEKIRVIKCPNAAYGCTARPAYYDKHSHCLTCPHAPCHCPGEGCSFIGSTAALLDHFAGVHGWQCTTKLKTGRAKTLSLHEGFNFLLVNLDADGGQDATITPTTSNQCLFLLNVVRQPLDRVISVVWIHPHAGVDEQGPSSKGMFCCLSYIRSCVVNNRHGGDKLVKHFQRSTLRVECTDLSDGLPNPDEYFQFVVPNSVVGDHEEDAIKVWGGGGARVTLREGEESRQRMEGDGCPACPDELPVAGALAEAAGKVTIDDTDALDCGVCCLPLKPPIFQCDFGHVVAMSAASPLMATGRCHAMERLVESVHARDRPAYYDQRNHRQICTHAPCHCPGEGCGFIGSKAVLWVHLSGVHSWPRSRGRTSKGFDICIQDGVAAICERMNLITLRDGFNFLLATRATDGHGATSTSTANSKYLFLLHVARQPLGRAISMVCIDPHAIAAAGDGQGCSTKEVKCELLYTAGNGDKGPHGCHQVIEHYQKSRFRVACTDLSWSQLVSSSKRLMRDPVLTAAHAMDARQAGASHAAPSAIFSTRCVATSRAYAPGQLLCWPTVKTTTPLFSQKHRSLSWLTAFHERTFMCGGSRTQRLRRCSHLPADMSSSFPTAARRQDVTRTMVLQ >Et_8B_058976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11867173:11877804:-1 gene:Et_8B_058976 transcript:Et_8B_058976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LPNMVYKEDDSSVATPKGKYWGIFTCWLLGNGCLFGFNSMLTTLDYYMYLFPAKVNTRRRILVGYTLFFLSSLAVILLDVATSGRGGIAPFVGTCTIAASFGVANGHVQGGMSGDLSLMCPEFIQSFYAGIAASGVLTSALRFITKAALENSRDGLRKGAMLFSAISCFFGLLCVLSIVNFYRSQAASEGSLTVTADLAAAGIKSNLNLVSLESPTCVERFSTKELLLQNKDYAVDMFLLYVLTCGIIPGFLAEDTGSHSMGSWYGIVLIACTFTWDLIASYIPLIEQLKLTSRKWILIAVVSRFLLIPAFYYTVKHGDQGWMILLTSFLGLSHGYLSVTVMTEAPKGYKGPEKNALGNLLAFALLGGIFFGAILDWLWLIATSGRGGIAPFAGTCVIAASFGVANGHVQGGMSGDLSLMCPEFIQSFYAGIAASGALTSALRFITKAAFENSRNGLREGARTRTKCFGKFACVFPFGRHLRWRRSRLVVAHWQRMVN >Et_3B_028179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11386480:11391971:1 gene:Et_3B_028179 transcript:Et_3B_028179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGRTEMEVGADGVAVITIVNPPVNSLSIDVLHSLKESYEEALGRNDVKAIVVTGKGGKFSGGFDIGSFGSVQGGQMMQPKVGYIAIDILTDTVEAATKPSVAAIDGLALGGGLEVAMACHARISTPTAQLGLPELQLGIIPGFGGTQRLPRLVGLTKSLEMILLSKPIKGGEAHQLGLVDALVSPNDLVNVARQWALDIYELKRPWIKSLYKTDKLEPLGEAKEILKFARAQAQKQAANLHHPLVCIDVIEEGIAAGPRAGLWKEATAFQELLFSGTCKSLVHVFFSQRATSKIPGVTDLGLMPRKITKVAILGGGLMGSGIATAMILSNYPVILKEVNEKFLNAGIDRIKANLQSRVRKGKMTEERYEKAMSLVTGVLDYDSFKNVDLAVIENVNLKQQIFADLEKYCPSHCVLATNTSTIDLNLIGEKTKSHDRIIGAHFFSPAHVMPLLEIIRTQHTSPQAVVDLLDVGKKIKKTPIVVGNCTGFAVNRMFFPYTQSALLFVDYGMDVYKIDRACTKFGMPMGPFRLADLVGFGVAVATGMQYLENFPDRVYKSMLIPLMMEDKRAGVTPNPELMKLSEKDIVEMVFFPVINEACRVLDEGIAVKASDLDIASIFGMGFPPYRGGVMFWADSIGAKYIHAKLEEWTKRYGGFFKPCSYLAQRASKGIPLSAPAKQVQARL >Et_7A_052658.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:11103053:11105134:1 gene:Et_7A_052658 transcript:Et_7A_052658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVHTAAGLPAPAAPPPARATLRHVPQLHAQLLKSGELIASPASFHSLLEATALAAPAASPAHLSYALRLFRLGPRPPLSARSYNILMRAFLRAGHPEDALHLFVEMIDAALACPDQHTVACSLKSCSRMYALDVGRGIQAYAVKNGLMVDQFVLSSLIHMYASCGDVAAARLLFDAVEDKSVVMWNAIIAGYFRNGDWKDVVDMFKGMLDVGAPFDQITLVSVATACGRIGDVKLGMWIGRYAEENSMMGSRNLVTALVDMYAKCGELDKARRLFEGMQSRDVVAWSAMISGYTQANQCQEALTLFSRMQATELEPNDVTMVSVLSACAVLGALETGKWVHSYVRGKHWPLTVVLGTALVDFYAKCGCIDNAVEVFESMPVKNSWTWTALIKGMASNGRGREALEVFSFMCEAKCEPTDVTFVGVLLACSHNGLVEEGRWHFNSMSQDYGIQPKVEHYGCIVDLLGRAGLIDEAHQFIRTMPIEPNTVVWRTLLSSCTVYKNVEIGEEALKQIISLDPSHSGDYVLLSNIYASVGRWKDAAMIRKEMKDRGVERSPGCSLIELDGMIFEFFAEDSDHLQLREIYKKTEEMIDQIKKAGYVPNTADARLDIDECEKEVSVSHHSEKLAIAFGLMKLQPGATIRLSKNLRVCTDCHSATKFISKVYNREIVVRDRNRFHHFKDGYCSCNDYW >Et_1A_006999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:314166:316504:-1 gene:Et_1A_006999 transcript:Et_1A_006999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRANIPTNSSALIAIIADEVLSVVKLNNPKGMIFPIICLQDTVTGFLLAGVGNVDLRKKTNYLIVDNKTTVKQIEDAFKEFTAREDIAIVLISQYVANMIRFLVDGYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASDRR >Et_1A_004941.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:18040554:18040871:1 gene:Et_1A_004941 transcript:Et_1A_004941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESAKCECCGLREDCTVEYIAGVKAEFGGRWLCGLCSEAVRDEVAKARRKKKKGGGEGLEEAVRDHMSFCGKFGNNPAFRVADGMRQMLRRRSSDISTSSAAS >Et_1A_007688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37278147:37278828:-1 gene:Et_1A_007688 transcript:Et_1A_007688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMSLRFVALAIAVLALLPAASAGREGKQYKVGGPDGWVVPPPEIKERYYTNWASGLTFYVKDSLEFVFKNDSVVVVDKAGYYHCTVAGGEPGDGVKTFRLDAPGYAYFASGDIDRCKMGERLMINVLPADQPAAPGPWAPGPSAGAGHAIMAPSAVLAVVPVALAMAGFV >Et_1A_005259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35937862:35938452:-1 gene:Et_1A_005259 transcript:Et_1A_005259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSMGGSLALAAATAVAFSGSLVIFSLCRTHMSGTASPSSTEPSLRPCLSSSGSSPFVAEAEARGEERRAAEGGEASALRGRRRRQCRGVQQQLQGRGGGADAGQPGGALPWHAPRPIRAQGHLHLLTAAADSSPAGGPAPSIVVIRV >Et_1A_005077.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:25520718:25521179:-1 gene:Et_1A_005077 transcript:Et_1A_005077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLLVGDDAGALHDLGAGEHPVRQAGHHAGPRRRRRKRAHPGGVAQQRGHRIHAARHPGLRPRAGAAGAAAHRRPARRVPAPAPWRRLRAPGSGVACAGLVELRRMRVVREMLFIPGAGDTVPMRPLVGRRAAPRDAEPSASKVGQARIAKRHRG >Et_4A_032137.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:20307913:20308359:-1 gene:Et_4A_032137 transcript:Et_4A_032137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVSTPRNLARLPAAAPRVDMVALPFPRVDGLPNGAESTNSVPTDKFACSCCSRPSTPSGRRARAPCSTSRAALMSDHRPCTLTSGKVRCGFTSNMARRACPSPERIYLTLQSYTSAAMRSCPEWEPEVVPDSVCRSSLIEGLLYIR >Et_9A_061874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17354927:17356941:-1 gene:Et_9A_061874 transcript:Et_9A_061874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKVVVALCATSFLVGLLLSGRTTLLTPPSGAASGSSRIPLFADDCDQTHKAEDGKPNDIMKEVSKTHQAIQSLDKSVSSLEMELAVERAKRNGGLGVSLPSKGALPKAFVVIGINTAFSSKRRRDSLRDTWVPRGEKLRRLEKDKGVVVRFVIGHSATPGGALDRAVDVEAAATGDFLRLASHVEGYHELSAKTRTYFATAVATWDAEFYVKVDDDVHVNLGMLTSRLAKYRSRPRVYVGCMKSGPVLSQKGVKYHEPEYWKFGDEGNKYFRHATGQIYAVSRDLASYISINQPILHRFANEDVSLGAWLLGLEVEHVDDRSLCCATPPDCEWKKQAGNVCAASFDWSCSGICKSVDRMRAIHSACGEGDGAVWSSATI >Et_7B_055076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7041743:7045053:1 gene:Et_7B_055076 transcript:Et_7B_055076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LQVGGRRRPPSILVLANCFGDRCLQTVSSSKNRRGGGGAVGGARCCGTPMQQQQQQHHHHQGGGSQYGAAPPDMGPFSPPATSGAMPLSSRPPPASSQPHQQQQQQLRTSYEELAAVSGAAGGAGGFPDDEMLGDAGGGSGGGSGASGGNRWPREETLALIRIRSEMDAAFRDATLKGPLWEDVSRKLADLGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSELEALHAAGAAPQPQQQPQQQLPPSSSAPPLHAFAAAVSAPPPMNSLPPSTAPMQPAPISSAAPAPAPMELPPPQPLNLQGLSFSSMSDSDSDGDSEDDDMTAETGGGSLGKRKRGGSKKMMSFFEGLMQQVVERQEEMQRRFLETMEKREAERTAREEAWRRQEVARLNREQDHLAQERAAAASRDAAIIAFLHRIGGHGHSVQPPTAVVVPMPVQMQAQTTPPPKQPPPRQPPPPPQATPPPPKPISASPLQQQPPQQHKETSHHEASTPRSGSASLELVAASSEQHVDSGLGGELGAASSSRWPKAEVHALIQLRMDMDARYQDSGPKGPLWEDISAGMRRLGYNRSSKRCKEKWENINKYYKKVKESNKKRPEDSKTCPYFHQLEAIYRRKQQLGGGAPAPAANVIAAAAPPAFPDQVNPSRLEIEGKNINDDKRNNGGSGGGAQVPTSNGEAAPAPTAAHDVDSGSKKAEDIVRKLSEQPPRELTTDETDSDDEYTDDGEEAEDDGKMQYRIQFQRPNPSGTNSAPAPAPATAPAVPASTPASTFVAMVQ >Et_3B_031554.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:4424521:4425015:1 gene:Et_3B_031554 transcript:Et_3B_031554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRLAVVVFSALLLLGARPSCADTAYPADCAYGCLTPPVPPVTTNCPPPPASSSNSYPPPPPSSSSYNSPPSSYGGYPPPPAGYIPSYQPPSSGGNGGGGGGGGGGGGFGGPAPPPPNPILPWYPWYYRSPPSSAAMSARCSPVHILVTAAGVVAARLLIMF >Et_3A_026625.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:10888270:10888644:-1 gene:Et_3A_026625 transcript:Et_3A_026625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPADWSSLPVDLVNRVADCFLSTNDLDHYMGLRAVCHNWRGATADPSKISPCDPRFRPSRWIMLGEHDFSVGGNETPVFVNTVTGRFVRRRLPLIRRYYHLVTTTPGGFLVLAWRPRSRRAP >Et_7A_052582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9576005:9578529:1 gene:Et_7A_052582 transcript:Et_7A_052582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLACFGGDGDRRRRRRKSRRQSPARSPPRPNHVAVVRVPSVAADVVVKEASPPLRGANASKPPLSVEVPDEVVRGAPAADVAARGASPPLPGARASEPLSAVEVTEEVVGEASDAVVKEALRPSHEARASMAPSAVAVASNVLTSASPGKELRELSELGALSGGSLLPEKKATPPDTPVKCSPMVVAAIVSTPETEPREPSDHGSRSSGKKKVTFNMNVTTYENVAAPDQEEGYPEDEDEKHVQKAVVLPDNHRYRNCSDSDDDVEDEDELYVDDSDEEEDYVDCKIDLLDEEELNSEDNKQESHESLFSLPMSNDLQNDDEVISPAPKSSGASLDEESPLIKGNNLHGRSKHVRPVLNPVRNLSEWKEVKSIKTQPVPSKKLDKENVNVVPDVGASHVSNFASQIKVSASNKEVSVDASLSTWLVSSDNSTVDKLQSKSPCSISSVSQGERSGALTINDLKKSSDASSPQRLPGHNREGALILGTVGSYWSCTKQDNEYCSSRSDSGTNGIPNTTSKYREDKRVNWHSTPFNVRLDRALKKTSA >Et_10B_003924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7649166:7651301:-1 gene:Et_10B_003924 transcript:Et_10B_003924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKHGMIRDETRKGQIFVPSSPSIHTQHFTLVFLAGMNDFAIIIGTSIRSLDDDFYLWGSYLINADANEVGSHIGISNFRVIHKVSSRLDGGEWALERSPFIESVTFLAVFSRIYYRSCKHPKLSAQAFFPLSGVMFGNPFHLVNYVSNLVESRADNAPFKVFSFHSLRSEEANSWICYAMTTMLSYLSFLMSFGMKSIGNLLSYFIQEL >Et_2B_021015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25656396:25657297:-1 gene:Et_2B_021015 transcript:Et_2B_021015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GPCLLKQINPFPVMGMEHFMGSANPSSGFLWHGKETTEETKKVQGLRPETMMETCQSAESKDGAIKCPIPCKSSRWYREQELRAVQDLSDFIVSKASPPYFMGSPPVRATNPLVHDTQFCAWKMQSVEQSLGVPIPTKGHNVRYGVREGSVSKA >Et_8A_057968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10304800:10305289:1 gene:Et_8A_057968 transcript:Et_8A_057968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVGIKYCPDNEGDQSSDPPSDRHRRVSIAGWIIRAEARWYENLPDVITIEAIAARDGLLLASAHGCQRVILELDNLPLVESFNASTVDRREANYIAHCCAKKPTRHERVCSWSANPPQWLREIAEKDCNPVLLIE >Et_4B_037981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25276298:25285484:1 gene:Et_4B_037981 transcript:Et_4B_037981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGRFNVRPGTPAWSGPRPRPLGRCSTQKPAPCPVVVIDEDDDRDDGSDSEVYIVDGAAGKSVPTTSRSQAKKGEGSSGNVIDLDDDEEEVEEISGGDKAGPSTAAPAAGPPGATTPGRASPRNRYGLDCTSDSSDSESDLSDSEGWDSESDDGGASDCEIMDDTCGTARKMWETAASSRKKMPHEGREGKATASASSSGSETRVGGNAEGLFGSEEDHLDEDYFQFFSYADCSLNGNMHFADAWKGEQSSTCRAKNFNGPSSVPDAEESLNGNVSDGKETECGQKSNGSAKDSPKECHLNDEASQYFCDARKESGHNITGDTKDGRNPSSGQTANECSNTNDSNGKGPKNSASPTLDPGTARENETAHLHNGAVPEKASDGIQSPHLDQTFVNSFVSAKRVFPASTSTCWNDGSPPISVSTPEKMDERIPEGACSRKDQSPSDAQNVISGSFTFSQKGLVDDPGLGQFTLVQEASNFQDSLIGEREKHKESAEFKRAAEEEWASRQRQLQIQAEEAKKLRKRKKAEALRLLDMEKRQKQRLQEVRESQKKNEEEIQLKEQYRGVVRKELEDTERRYRDMATILRVLGIPVEGGEVRAALKQAQVKFHPDRVSRSDIYQQVKAEETFKFISRLKEKLPRYPGKDATKLLNVGYNETGKSSAAEVTQHPRWQSNANLRLLCGPVHPYTCCRRLCLEEIQI >Et_4A_033208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:255247:256983:-1 gene:Et_4A_033208 transcript:Et_4A_033208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTPAPKPPTGPRRGDLLRRLCFPDAGPSTSKSSNPFVSMLSAVPDWADAIQERRVRDRRPLYDKASWREHRSSRRHLRHFLSCLSSRVILSLVPPVSAFTAFAAAIAAFNTLLPAYALTASPLPYQLTAPALALLLVFRTEASYARFDEGRKAWMRVLAGAADLAGMLMAHHRHHDHPLRRDLLDYILAFPVALKCHIISDSDIKTDLEGLLAEDDLNVVLESKHRPRCIIQFISQGLQMLDLEEPKRNIMESKLSCFLEGIGVCEQLIGIPIPLSYTRLTSRFLVLWHLTLPVILWDECKWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDAMCKQFHDGMKDMMAVQDSVHTRLVTRTKGHRGPQNGWPGSKREEAKID >Et_6A_046098.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:24203431:24203553:-1 gene:Et_6A_046098 transcript:Et_6A_046098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQAALNHSRTALKVGATHTSSPKQLGTTLRSRWMRASSS >Et_10B_004063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:130608:134187:-1 gene:Et_10B_004063 transcript:Et_10B_004063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRGAIPGCALLLSLTFLLPASRALPLCTDARAPVVLNTTLKFCASYAGGTSSCCDADADAALRKQFDAMDVSDAACAAVLKSVLCAKCSPYSADLFNTGPKIRTIPFLCNSTTSANSAQSKETTQDYCKNVWESCKDVKITNSPFQPPLQGSTPLPKSSSSKLTDSWQSENDFCTSFGGAPDGQSVCLSGNAVSFNTTKPSPSPKGICLERIDNGSYLNMAPHPDGSNRIFLGSQAGKIWLATVPQQGSGGALQFEEASPFVDLTDQVHFDSAFGLMGMAFHPDFSTNGRFFASYNCDRTKSPSCMGRCACNSDVSCDPSKLGTDNGAQPCQYQVVISEYSAKGSSSNVSEVTSADPSEVKRIFTMGLPYTSQHGGQVLFGPTDGYLYLMMGDGGGKGDPFNFAQNRNSLLGKIMRLDVDNTPRETEINNKSLWGNYSIPKDNPYADDGDLEPEIWALGLRNPWRCSFDSDRPSYFYCGDVGQDQYEEVNLISKGGNYGWRAYEGPFVYHPPWAPGGNMSLKSINAIPPIMGYNHSDVNKNIGSASIMGGYVYRGSTDPCLYGRYLYADLYASAMWTGTETPESSGNYTSTEIPFSCSKDSPIPCETAAGSPLPSLGYIYSFGEDNSKDIYVLTSKGVYRVVRPSLCDYTCPTEKAVTPPGPSSKAPATGTGKQMGAVLLSAILMLWVLVR >Et_4B_037170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15799472:15808367:1 gene:Et_4B_037170 transcript:Et_4B_037170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPKKLVLAASSADAGVAAWDLRTGTEDIRLRPCASRPRALASVADRFLAAAQAPPPGGNSGTVHFYHWDKPQVAVKSFPAEPIQVLIADPEGSYLIGGGGKGNIFLWQVASGELLHTWHAHYRAVRCLALYDYLLVSGSEDGGIRVWDLITLLDEQARLEANTSYLYNFSQHVLPVTDIACFLGGVVISSSKDRTCKIWSLSEGRMLRSVSFPAIIDSIALDPRSHIFYAGGRDGKIYVTAMGVDVNFHGSADSSILGALDDHSKAVTSLAASSDGLLLVSGSEDGNVRVWDTRSQQVTRKFKHSQGPVTNVLIVTPKRINLPPLQPLRKVRSSNGEAEARALILPRPKNNVPIAGNSSSIFLERCLDALQKHDGSSRFFEPGMSTLYAASNQHGTEWRLRYLELQDLFVHQVLDQLPSSGESEAEAQKHSTPAKQRY >Et_5A_041115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18418391:18421832:-1 gene:Et_5A_041115 transcript:Et_5A_041115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRGSSYAVAAVLALALASSVAAEVFFQEKFEDGWESRWVKSEWKKDENMAGEWNHTSGKWNGDAEDKGIQTSEDYRFYAISAEYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLMGGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHAILTKDGKNHLIKKDVPCETDQLTHVYTLIIRPDATYSILIDNEEKQTGSVYEHWDILPPKQIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTAPTMPNPEYKGPWKQKKIKNPNYQGKWKAPMIDNPDFKDDPYIYAFDSLKYIGIELWQVKSGTLFDNIIITDEPALAKTFAEETWGKHKEAEKAAFDEAEKKKEEEEAAKAGDDEDDDLDDEDDEKADEDKADSDAEDGKDSDDEKHISASPFKHDFPSPLV >Et_1A_004736.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35670470:35671798:-1 gene:Et_1A_004736 transcript:Et_1A_004736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEWWETDLVVKERALNQERRSDACELLGDVAALSDGVVADGHGLVVGLVVLDVDVDHLHALAWYVVGAVERDDLGRVGRAVDVLEDDVVDADLGRPGLDADVVRAVLLVDDDGVGDVVHGDAVVEELGRLERRLGVLVRLDAEPVGGADDGGVGDLHVLHVLLVLVPAQAAHADAVARPASRPFDPDGLGARPDGDAVVARADGGVLDGDVDGVADVDAVRVGAVPRSRDVHLLHQHVLALVDVHVEELAVEQRDPADLRVGHVVQHQAVGQDLAVVVVVALVLLPHQRALPVDGAVPVDGQVVHVHDLDPVLLVRAQVARAQQVAVQPDDDGARAGARQLQRARQEVARRDHDLLHARRRARVLPRLHDRRRAVRLAVAARAQLRDVQRARRQRRRRRGRRRSARARRRGRRHQQQRQRRHRDVVAERRHFVSSNVCGS >Et_4A_033044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17639233:17653141:1 gene:Et_4A_033044 transcript:Et_4A_033044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSNGAAGMPPSTAPAPAAMLAATVAAAALAFMLAFYRRRGKVVVSESPPLPPGPRGLPVIGNMHQMLANKPVFRWLHGLLADEAGGGKDIVRVRLGRTHVIAVSCPAMAREVLRKNDAVFADRPTTFAAASFSVGYRSASISPFGEQWRKMRRVLAAELLSPATERRLRAVREGEAGHLLRRVRRAICDNNGAVDVRHVARHFCGDVIRRLTLGRRRFSEGSFDEEAEHVDALFATLGYLDAFCVSDYFPALVGLDLDGHEKVVRGIMATLNRLHDPVIEARVEEWRLMRKAGERRDVADDFLDVMASLDDDAGRPLLTVEEIKAQCIDIMIATVDNPSNAAEWALAEMMNRPEVLRRATAELDAVVGRARRVREADVRELGYLKACIREAFRLHPYHPFNPPRVAMADATVAGYAVPAGSHVILSRVGLGRNPRVWEDPLEFRPERHLVAGTAVSLAEPELRFISFSTGRRGCPGLSLGTLVTVMLLATLLQGFDWTKPPGVDKIELREAETSLVLAEPLVLQATPRLPEHLSNTAALIQTPSSPNILTAMLVTTAAMLILVLLHKIAAFRKREKCLPPGPASLPFIGNMHQLLWNKPMVVRWIHRLLDEMGTNMMSLKLGSIHVIFVTCPVIAREVIRKKEAVFFSRPATFASNLFSYGYKIASLTTLEDQWKKMKRVLTSEVLSPAMEYQFHGQREEEADELVRYVYNQVYMAPEGCVNVRHIARYFCGNIIRRLVFGDRYFNKSSATSVAGHGADEEEHIDALFTLVNYVYNFCVSDYYPALVGLDLDGNEQVAKGVIRTLDGLHGPVIEERVHMRSKRQKDSGNTSGFLDILDVLVSLQDADGQPLLSIDEIKAQTVELMFASVVYPSNTVEWALAEMINKPEVMQKAVDELDIVVGKERLVQESDICKLYYLKSCIREAFRLHPYHAINPPRVAMEDTTLGGYKIPKDSHVIISRIGLGKNPNVWPEPLEFRPERHLTGEVVHLTEPDLRFITFSTGRRGCPGVSLGTSFTMVLFARLLQGFSWTKPPNVDKIDLQESPTSLALTKSLVLQAKPRLAADLYESGYLCSKMKFEAQENAEGDTHEVVASDIYVSDQLLPPTSDSHT >Et_5A_040849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13294505:13308075:-1 gene:Et_5A_040849 transcript:Et_5A_040849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPLESLMPLDPETIAAESSAVVDFLADYYRKTYVGLKPNHVSPPVPQISEHQLANLSTYPYLRSVAMGSLPLESLMPLDPETFAGESSAVVDFLADYYRNVKKYPVMANSKPGSIRKLLPDAAPELGESMDRILDDVQRDILPGLTHWQSPSFFGYFPANASTAGFAGEMLCAGLNVVPFTGEVAVSCTGALGGAAALRSYGHARAHSEARMDGKGHLDGAELADSVSMNPHKWFLTNMDCCCLVAMGSLPLESLMPLDPETFAGESSAVVDFLADYYRNVEKYPVMANSKPGSIRKLLPDAAPELGESMDRILDDVHRDILPGLTHWQSPSFFGYFPANASTAGFAGEMLCAGLNVVPFTWMASPVATELEHVVVDWMASLLGLPERFHFKGGGGGVLHGSTCEAVVCTLMAARDRALSKLGGHEGILKLVVYASDQTHATFQKGASIVGIPPANFRILRTSADSGYGLTAEIVQRAVEEDVARGLVPLYLCATIGTTGLGAIDRVRELAHVARRYVNEQ >Et_1A_006325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20673137:20675595:-1 gene:Et_1A_006325 transcript:Et_1A_006325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEKKEREAQKKEKEEDEHILAIDLDKCQPRERIYYEAQQQDIILKWQSRRGPNERLVTRARANVIK >Et_3A_023038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1991138:1991562:1 gene:Et_3A_023038 transcript:Et_3A_023038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFFQQPLRHKYSMQGKKVTGSLRTWRGETLRQSSGGGIKYLHSRTESIFFFLCLQKPAMQIFFRTSTPQSEIFAPIQRKSMLAPLKMLSIS >Et_2B_019645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11778012:11783816:1 gene:Et_2B_019645 transcript:Et_2B_019645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKILVSASTGVMNSLLGKLTDLMGQEYAKIKGLRKEVKFISDELSSMNDLLERLADVEELDQQTRAWRNQVREMAYDIEDIIDDFTHYDTGNQTGDDAGFIKKTIRRLKALRAKHRIAGQIEEIKALVRETSERRERYKLDECIPTLSHAAIDQRIVALYEKAANLVGMEDPMNELINRLMDEEQLLKVVSIVGFGGLGKTTLTNQVYNKLGAEFQCRAFVSVSQKPDITKLLSSLLSQLQRHRQPSFCDYELHVLLNTIREKLQDKRYLIIIDDIWDVKAWEVIKCAFPENHRGSRLIVTTRILSVANACCSNCNHDVFNMKPLGGEDSRKLFFGRIFGSEEACSPKYKDFSVEILKKCGGLPLAIISIASLLASEGNKMKIWEHVQNSLRSMSGANLNLQGMREILNLSYRNLPSHLKTYLLYLGMYPEDYTIRKSKLLRGWIAEGFVTKSNGEDVWEDASRYFNELVNRSLVQPLYIDDDGSVRKCKVHDMMLDLILCKSAEENFITAVYDQSGFKFLRVLEVSVYPGGTIENIKIDLTELCKLHLLRYVKIRGCQSCQMPTQIRELQHLETYDIDGDMIPSDIIHMPCLSYLRAGERAKELPDGISNMKSLRYLWKFDLRDNSKESIRGLGELTNLTFLKLTNNNWSDEQGTHELLFSSLGKLCNLKHLAVEHVLGGHRFDGLSTLSPCLETLHLTSCWFSRLPNWMSGLRNLCELSIGVGNLHENDALASLAELPSLFNLTLYIGSSKEMIVIYPSAFPVLKSFAFYCARSWSSYAHLTFQVGAMPELRRLHLDFSVNGWNKHQPLPVGTEHLSKLERISVCIECFGMDVLESKREEVESALRSAASSLPCSPPVSVHWDDYDFEFWGHERTNSDKTPHNCFCHRWGKMPDYFVYFQNKIVKRMTTKASNRWWKCTATTAQPVYLVRFQQSQITFTLSQYNAMSKKKLSGTSEGHTLGRGLSVHQPSGDVSFQSQATPKRV >Et_3B_029074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21923463:21925395:-1 gene:Et_3B_029074 transcript:Et_3B_029074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFALMTGRLLTEATLRSAIHDAVADPSTTVGYDQPDLAAAPEDVHLGKPKSGVMYAHHVCVQRWCNEKGDTICEICLQQFTPNYTAPLKLFRHGRNAISVRGPGERPENRSSSYDQTTDPADGAPSFDTQNFNPKCVIYCRVVAIALMALLVLRDAFSLFLSDTQVYSMELITLLMFRTAGIVIPVYIILITATALLHRCNQQQVVHRSPVPEPGVAGGLQPTPPREQHVINIR >Et_10B_002930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14015840:14017037:-1 gene:Et_10B_002930 transcript:Et_10B_002930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLLRPSAFVTDFDAVKIERRRDRVAKRSRDGSVVLREEADARGWSSAVLERSLALRRRRGPASCPRRGCGWVVGAADPVTSAEADEHGAPREVAVTQGPVALLGGAAAEETGTDDSSYDIDFAFAASLLGIFCSHSSSSSSMKPEMCDSKFTTILLCEDYWYWISQGTIQGKGAMGSGKLRWWNDDDEAVEMVDDDHAVAIVDSANHRRSMTGCMFEEKTVTSPIICCDKIV >Et_3B_029846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28400358:28405306:-1 gene:Et_3B_029846 transcript:Et_3B_029846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPGATETPSPRGAGEDAQEGVEVCLFDESVDGFSRTVRAISELTAGEPELDVPEAEVERIASSVTFLREWRHFSYDPKGVSFTDVAESASSRNEMHTITLPQFSSASLPQYLAVAAHPPGSSYHKLGMPLTGRGIIQVWCLVAPFEDAHACKSLDTRNKINRRGRPRNIPDGNNSVGSSSNPLKPIGRPRKRPVELSESVPKRPRGRPRKYPLPVAKVEDSSLNSNSQDIVLFNPLSTSTVSPDDLPLACIMPTVKSVKTTPGRGRGRPRKNPIDKVTGSSGTVLQEDVCTVPSPTTAACTEPKRKRGRPRKYPVPSNSGSISATVIESGKDTTCQPVDQTACTVSDANLSIVATDATLPITSSSTATCDGMSKGERGRKKNKKEPISNSLSCSVMSGVESWSKSPTETISNDPMVSVENALPSVQSNIVPVTSDLCSAVLSCEGNLHKSVLADDSVRPNQISSGKRGRGRPRKKPISAETRSSVVSGADSPKTASVQTNSDNHTDLDESHGKVIASNLGSISLCGCNIEKCSVHLSAVSPDAASPDAASPAHGFNAECKKESRSKSGRGRSRKKHVSTHHGSSMDLNDGEPTKLTTPKSNDHVTLVEHCVEGLRPRKGRGRHKKPSTSNENSSTSIGVEARTVSSTPVTIQTSRSGNTADDAGLIGFKNGIVGREDMKVNENNTGTGTSHFSTENAQANQVAPICKNNSINVLEVAEPMSIEKSREDGCKLSLIENSNPSPIPKDIALPRVVLCLAHNGKVAWDIKWKPLMPNQPEQKSCLGFLAVLLGNGSLEV >Et_4B_037402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19422397:19426358:-1 gene:Et_4B_037402 transcript:Et_4B_037402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PSYASRKQAQTQSSSYHALICISNVRSRRRRRRRTRGPGRRSPASDLLLQRDRVTDGCRDARSLRGTSSERTSSVASDHARVSIYKPRALLLSLAKQQILVLVETTVVELSLAHPSTPAAASFSEKHLLPFLSRSVPAVAGLAGFARQTSRNGLFVLSSNSKCTSSGGQLMRRMCVYDPMTGNCAFFPGPPDSKCDGRVYYTYVLLAAADGIVGSSFLLLAADFYGFTDHSIKVGTMPSNSGTWSPITIASYSRKKQRRRRRRGSAPRLIPCRHDAVVLGSLIHWLMSEESGREYSHIVTYDVCTATSGSIELPMEALRSEGHRLHVASSPNGGLRLLVAEKFKISVWMMSRGAGLMRQAVIDTEATVYAVAQSVNANMVDIVGCGVKNGVVLLWPFDGMGLDIESEAIIVLDVETKGMRRVNRKSIFHLPYEGRCRHAHAPMSWRRRRRTPRGRGCPLPAELLLDIVARSDAAPRPASRSAATFSTRRSSAASAVSRRHEKGPGAPTLAFFTLAHPATPAAASFSRKHLSPLLSRSAPVARLVGVVPRLSLEPADRFVACACSYDPMTNNCTSFPGPPDSKRGGVDYAYVRLTAADGIVGSSSFLLLAVEPRHPNYSGQRQRRSAPQKPCGGAVVLGSLIHWLMCDKKGRDFHIVTYDVCTATAGSVQLPVEGLPGRFRFQCSNLHLTSTPNGGLRLLVAHKLTISVWLLSGSAAGWTRQRGFFACVLWYKPLPIDAT >Et_1B_012521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32776016:32779549:-1 gene:Et_1B_012521 transcript:Et_1B_012521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPGGAHPAGAGAAAADPMQVDQPRPAAAAARAPADSKVSCLSPTRRGGSGGTVTVSSAARISPARPRFVFPPRVVFGGLRLRSVGRSAPRAAMLGLVLPFRSRFLPQVLGLRRRRSFALRGSDAVPLLGLSVGSLDDDATSPMTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETFAIKKVLQDKRYKNRELQIMRAMDHCNVVSLKHCFFSTTPRDELFLNLVMEFVPESLYRVLKHYSNMNQRMPLIYVKLYTYQIFRGLAYIHTVPGVCHRDVKPQNLLVDPLTHQVKICDFGSAKMLVKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPNLRCTALEACAHPFFDELREPHARLPNGRPFPPLFNFKQELANASPELINRLIPDHARRHLGLNFFPTTGS >Et_6A_046796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20692878:20693123:1 gene:Et_6A_046796 transcript:Et_6A_046796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLCIAKIATNDPALTFALELVIPSWSAIPQALEDVAVLFYDEDMNEIYTGNKHGF >Et_5B_043306.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:23501077:23501391:-1 gene:Et_5B_043306 transcript:Et_5B_043306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVVSEFLSKLIGNNYESVANLWLCEKRFGDVNVISSSVLRALWLTRNDFVFHEQSWRDIKMVMWSCWKLVRSWSPMFKPPIQEKLKQWSACLEEKIKAPLQI >Et_8B_060432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15107796:15113275:1 gene:Et_8B_060432 transcript:Et_8B_060432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRERGPMELAAKPLVDDDGRPLRTGTLWTASAHIITAVIGSGVLSLAWGVAQLGWVAGPAVMVLFAAVICYTSTLLAECYRSGDPVFGRRNRTYIDAVRATLGGSKERLCSAMQLSNLFGIGIGVTIAASVSMRAIKRAGCFHWRGHRDPCHASTSPYIAIFGVMQIVFSQIPDLDKVWWLSTVASIMSFSYSTIGICLGVAQIIVNGGIKGTVTGVIGVGAGVTVMQKVWRSLQAFGNIAFAYGFSIILLEIQASSGCCFRQDTIKSPPPSEATVMKKATAVSVAVTTVIYLLCGCLGYAAFGADAPDNLLTGFGFYEPFWLLDVANAAVVAHLVGTYQVMSQPVFAYVERRAAQAWPGSALVRETKVRVGPVAFAASPIRLAWRTTYACVTTAVAMLLPFFGAVVGLIGAVSFWPLTVYFPVEMYIAQRGVPRWSARWVLMQALSAGCFLVSASAAAGSIAGVVDAFKAQSPFCWSFMIAKPNRAAKSTPNATTTVATYPNQLCLKPKSSSTNAFTDLPSFIVVMMSPYCDTTSPYSVHATGHIQEYHLHQMGIF >Et_2A_018478.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29388201:29389412:-1 gene:Et_2A_018478 transcript:Et_2A_018478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDVVKPVDELFLRRLLASPPIDSDDRDPPPDSILLDPFGFISSRENNTTAEGKTRDGRTIRVSFWPAKPPRVSCFTVHCPDLPNEAFGDFPKIVTSEADLVLLRVPICRRGDHQYRENNDYFIYKVGKMGPSLDLIPSDPDPRPNFTDSNTVLLPCRSRNMYFIAKLGNWASRGDGKQYSMYLYNSKKRTWSTKLMYASKDFNYCYATKVVTIGGPRGSVGWVDLWRGILICDLLQEGFQLRYIPLPSLTVPKEPNGPPTYIRDIIVVQGKIKYFDMHTYMKVDPESCCCVSDGWVAVTSERDPNKACQNWNGHCRMEISEVTVTDLTRVQMLPNQQGEDDTVAILKKLDAGCPSLSLQHDGVVYITNKLDFHGAEAWVIAVDMKRKTLHDVAYYHVSEDA >Et_7A_051352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17206518:17210721:1 gene:Et_7A_051352 transcript:Et_7A_051352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPAAAAGAAPPPSAQVVGNAFVHQYYNILHQSPELVYRFYQDASRLGRPAKPGAEMDVVTTMEAINDKIMSMDVTRAEIRAVDAQESLGGGVTVLVMGHLTRRTGLSREFVQSFFLAPQEKGYFVLNDILRYAGEGEGDAPPPQPEVAAAPAEAAPAAVLPNGTAEAAPAAVPRDLDVAPQPEPQVAEPAAQPQEEELNEPEVYNPPNEPQEPVVEETPVPEVINEVPNNAAVAAPSSAPPVPAEEAPKKSYASIVKVMKEYRPPASTAPPSRPAPPKPEKQAPPAPAPVADAPAFSSNPQGGSYQDPEVDAHAIYVRNLPLNATPQQLEDEFKAFGTIKTDGIQVRSNKIQGFCFGFVEFEDASAVQSAIEASPVMIGDRQCYVEEKRTNGSRGGSRGGRFAPGRGGNFRGEGMRGRGSYSGGRSYGRGDFNYRSDYGGRGGGRGGSSRGDVGYQRVDHSGTAGGRGARVPSAASAAAK >Et_8B_059963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4514919:4516503:1 gene:Et_8B_059963 transcript:Et_8B_059963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMHVPELVTNGSNGLILNPAQRRKGSLLSTPKTQTPSPAYGSVVTVLRIIPSAILAFLEEKIADYFDVVAGTSTGGLVTAMLTAPNDKGRPLFAAKDINDFYLKHCPKIFPSHSGRPLGFLKSMMTGPKYDGKYLHSVVQELLGKTKVSQALRNIVIPTFDIKLLQPTVFSKYDAQTDVSKDALLSDVCVSTSAAPTYLPGHQFETKYKDGSTRAFNLIDGGVAAKNPVLVAMTHVSKQILLGDKDFFPIKPADYGKFPVLWLGTGTAMVEEKYDAVQCGKWGVLGCLYNKGNTPLIDSFSQASSDLVDIHISVLFQALHCDKGYLRIQDDELTGKTASVDVSTEENLNRLVGVGRALLKKPGLQGELRDWQE >Et_9A_061060.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:12990315:12990407:-1 gene:Et_9A_061060 transcript:Et_9A_061060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFWSNRPLELILMIYLWWDWEVSYLSWLI >Et_5A_040134.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:14392842:14393531:-1 gene:Et_5A_040134 transcript:Et_5A_040134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRRPPQLGDAGALRLPPGRRRIVPRGQEDHGGRHHLRRDALPRLLHPRRSPTPSRLYVWWPRGPKLSMVCHLVAAHRDLVLLRLDYPADESDPSPFGEARNDYFVYIAADPASPQRKPLIRLLPDCTEYNCYFERQVQCIFEPHGAGLLRCGEEEFAVAYLAICRSASSERLVAELSVLRSSVLSSDAGEEEWRTKLLPIKFRDDVGEGDLYYWVTHDVVPFKNSLC >Et_1B_009982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16600136:16601239:-1 gene:Et_1B_009982 transcript:Et_1B_009982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYGSGSRKPSRSASTIVADNASGYHDFKIDGYSRIKNLPTGESLKSGPFTVGGHRWRIAFFPNGHESKGHVSLFLFLDEEVTQPVMAHHIASGFEEFVQRGAISFEILVARMGDSLTVRCDIIVFNELRPEGATAAAATPTSFVPPSDLHRHFGDLLQTGKGADVVFEVGGETFPAHRCVLAARSPVFSAEFFGTMQESATTTGGVVRVEDMEVQVFKALLCFLYTDFLPETREEDEVAMYQHLLVAADRYSNIDVPRVATILTLAEQHHCIVLKKLCLDFLSAPANLKAVMATEGFKHLSTSCPSIKKDLDAMLAS >Et_3A_023725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11354182:11356412:1 gene:Et_3A_023725 transcript:Et_3A_023725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPMPCIVSGLKYRLYLSCCKFRRISNSFSQAVGVSIVGHDYQISETDDGVPPFPPACLGAPPVFSICNNWAISIARISQMKVVGTMQAFVASTFCTSRRSKECPGAMETESSSKASTLERRNFASILSKGRPL >Et_1A_006372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21428041:21438228:-1 gene:Et_1A_006372 transcript:Et_1A_006372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGHHPLRVFFRDARLAFRWDELGQEIMGIAWPGALALMADPVASLVDTAFIGHIGPVELAAVGISIAVFNQVSRIAIFPLVSVTTSFVAEEDAMAKERDKDNINENNEYKVSDNEMEKLISPEASATTSKSSFELDSCELNSEHKRKHIPSVSTALLLGGALGLLQTLLLVLSAKPILGYMGVMTDSTMLKPAVQYLVLRSLGAPAVLLSLAMQGVFRGLKDTRTPLFATVAGDATNIVLDPIFMFLFKYGVRGAAISHVISQYFIASILLWRLRLHVDLLPPSLKHLQFGRFLKNGFLLLARVIAATCCVTLSASMAARLGSTPMAAFQICLQIWLASSLLADGLAFAGQAILASAFARKDHQKAIATASRILQLALVLGLLLSMLLGVGLCIGSRIFTDDMDVLQLINIGIPVLVAIVSIIFIMTLASYGGFVGIWIALAVYMSLRMFAGFWRYCRIANTDRDCTRTMTSQFHRALEFEFSVCLQRPDK >Et_2A_018288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21569352:21570554:-1 gene:Et_2A_018288 transcript:Et_2A_018288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPCPSPGDSNHSASPRHPLARTASRNARPLGALGSSDAVHTSSLSPASFARLGAPAAIGLIRGSSSPSFAPGKMAAHLLLLACQNSTSSSASILCFPEKDGWSRITPSIMHRGPAARRPLRPAAIAMLWAMLAPALTPAMKTRPRSPCSDSHGSAPDAAQLSAAQASSYAAGSRSALPLARNMEPVAVKLQLARQLRLQWFDEKRTSELLLSRHVANHARWRRFHGWPRRQD >Et_2B_021961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7379454:7380672:-1 gene:Et_2B_021961 transcript:Et_2B_021961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RTSHGRQVLDHVLAGAVPNLGNCQQSSTPYVVLLPSAGMGHLVPFARLAVALTTRHGCDASLVTALPTAISARGHRSGVRGRARGPGELGVPYVLRLLHRIGHHARLQGLLPHPSHGVGDVDVPGVYRVPRSSVPQALHDPGNIFTRQLVANGRALTEADGLLVNALDAMEPESRQCSPSGRLCRWTFTREGRRRTNRGSSRPTRSVVYVSFGSRNALAREQNIDLAAGLEACGHRFLWVVKGAVVDRDDGGELGEEFLQRVEVVGGAGRRAAAPGGGAVREPLRVELGDGGGAQRRAGAGVAEVRGSPACVNARVVVRCGAGVWSWEGEEAVVGAAEIAEKVKAAMADETSTESAGSVRRESHGGRPAPATGAWPSSCDGAGPERSVTHCHQPFAC >Et_3B_029944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29251916:29252848:-1 gene:Et_3B_029944 transcript:Et_3B_029944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTASIMWSATAAARAVSSPLPAPSLLGGRRCGAPRRGRATVVAPRSSLLDFVGGDLVKPDLGKWQDDVEEHKALAIYPPHEGGYEGRYLGRLKRNGYYFLDLTARGLGDPETTLTKIHPVCPPSLGRQPVARWYFPPEVDYRLSLLHPDAKGLVVWVIEGKVLSKAELQFLAILPDLRPKVRVIVECGNWRNFVWKPLKQIAGLEPDPDAED >Et_9A_062474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23366521:23372994:1 gene:Et_9A_062474 transcript:Et_9A_062474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRAVQDLPLAFAICLALASAAAVGGEASRRFWIENDTFLKDGAPFQIVGGDVHYFRIVPEYWKDRLLRAKALGLNAIQTYVPWNLHEPEPQKWEFTGFADIESYLRLAQELEMLVMLRVGPYICGEWDLGGFPPWLLTIEPALKLRSSDSTYLSLVERWWRVLLTKVAPLLYNNGGPIIMIENEFGSFGDDKKYLHYLVELARRYLGNDIILYTTDGGAIGNLKNGSIPQDNVFAAVDFETGSNPWPIFRLQKKYNLPGKSAPLSSEFYTGWLTHWGESIATTDATSTAKALKAILCHNGSAVLYMAHGGTNFGFYNGANTALRSVIHECTGTPLLPLPSDIQKANYGLVKLQKVASLFDIIDNLSDPLKVTVSEHPLHMELIGQMFGFLLYMSEYQGKQPYNILSIPKVHDRAQVFVSCSHDGVRNPVYAGVIQRWSSKTLEIPTLSCSSNISLYILVENMGRVNYGPYIFDRKGILSPVEIDGIALHHWKMYPLSFNALDNLPKLQLISQMPNVRASKVSIHGDSEKKLSLYSNESISGGPEFYEGHLYIDSESEIHDTFISFHGWNKGVAFVNNFNIGRFWPAFGPQCALYVPAPILRPGDNIVVIFELHNPNPELTINFVKDPDFTCGSKQ >Et_2A_017980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8678392:8680986:-1 gene:Et_2A_017980 transcript:Et_2A_017980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTAQAWFTGGGAASTAASSESQPSLLADWNSYAATRSDASSSASPLPFDIEAAVRTANDTVSGTFTSVTKGVRELPGSFQSATSSFPSGKALMYFGLFLASGIFFVFIAFTLFLPVMVIMPQKFAISFTLGCALIIASLFALKGPANQLAHMTTKERLPFTVGFVGCMVGTIYVSMVLHSYFLSVIFSILQVMALAYYTISYFPGGSSGLKFISSGLLSSVTSCFGR >Et_2B_022455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22507751:22508658:-1 gene:Et_2B_022455 transcript:Et_2B_022455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNIAFGRFDESFSAASLKAYVAEFISTLVFVFAGVGSAIAYTKLTGGAPLDASGLIAVAVCHGFALFVAVAIGANISGGHVNPAVTFGLALGGQITILTGLFYWVAQLLGATVGAVLVQYCTGVATPTHGLSGVGAFEGVVMEIIVTFGLVYTVYATAADPKKGSLGTIAPIAIGFIVGANILVAGPFSGGSMNPARSFGPAVASGNFTNLWIYWVGPLVGGGLAGLVYRYIYMCGDHVPVASSDF >Et_2A_018329.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23325452:23326624:1 gene:Et_2A_018329 transcript:Et_2A_018329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDVKYKTVVFPNGGAAKKVKPAAVAVAGEPMYRECLKNHAASLGGHALDGCGEFMPSAAATAADPSSLRCAACGCHRNFHRRAVDGAPQLAPAPLALPAPVPAGVLHGQPQQRREETPEDRLPAVVDGDDSDSDSDASEYDEERSLSPPPPHIVHHHAPAPVAQQPPPPPPPYYPTAPHMLLSLGSGAPGGAAVPAVQRLPAQHHHHQQQLLSSPSSAPGPGPAPRKRFRTKFTAEQKQRMQELSERLGWRLQKRDEAVVDEWCRDIGVGKGVFKVWMHNNKHNFLGGHSARRSASSSGGAPVSASAPPLHHTPAAGAGAGSAPPSFHPSAASPPPPPVLSSSPPPAATGFININGAASSAPTSAAGHHHQENNIINGSASASPQSA >Et_7B_053373.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:14113153:14113581:1 gene:Et_7B_053373 transcript:Et_7B_053373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRTLRNESYTPAAVLRIIRRLPRRSAAGSGHQLHALLAKLGLLHDPAFFPALLSRLPAACTSSPSLLIAVLFCPVIVAFSSCQGRTHQYGMVWRPPYLPLAPRNLYPSFSYPANRFHTMDFEQRSSLIASRQQLEEEEKK >Et_3B_030647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5936381:5937099:-1 gene:Et_3B_030647 transcript:Et_3B_030647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDKWAAALCPAAAARSSAAAPCRAFRCAKMSAMPATKQASGLPAASSSSNHFVAVSFSSATRRATAGSLHAARIALQLARTLRRSSMGRRELAAMWPAISTTTSCGSPNNGARFAAILRVNITMADPVLAAYCVRSQSLCSFATQAIAIYRDMVP >Et_1B_012836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35472422:35482174:-1 gene:Et_1B_012836 transcript:Et_1B_012836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAMAAQIAAEAPDGKKKGRRGRREMRRIEDTTSRQVTFSKRRSGLMKKASELSVLCDAEVGLIVFSPRGRLYQFASATELQETIDRYLNHTKGTPANLKVDVPGIETWKSEATTLEKKIDAIETHKRKLLGEGLGSCSIQELQELEQKKLMDQISELREKRMINVRNLLCMKERNLMKENEVLRDQCKALPLLELNRGHMMMNTSLPTTPATRRTNVALGGGGEEEQVAEEERRTEEAGKVLKKGKKKQAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKKQKKKEEQQRLDEEGAAIAEAVALHVLIGEDSDEPCHLMLNKHRKCNHWDPSAAFHFTQGTDIYPSDGLVCANHAYAPQGRWKDLEIGQPLPFWGEVGDFQAPCYQGTFHQSVTCPGFIAAQAVSLLQIREESSGITSPSKGVAAATVVNRVLVGVCCRTSAEPEIPFRSIARGGD >Et_1A_008985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23475454:23479385:1 gene:Et_1A_008985 transcript:Et_1A_008985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVSIVFLFTFRDRVFSGVYSSQAEAWGDLVSMRIQRELASIKEAKSVIVCDALYLQWPCNYKIKIVRFHLGTKRLQFVEDLPVDMFGIYDCHQIVKACDGELRVAVVRGSHLHMFALLNTKVSRTWVEYRAVQLHDLHSSLPLFDSDMSMMWRQLYFTMGYDEEGNAIFLQTSSGVFALHLGSMKVNKVLDRKRSMALRSMVMPYMRFYIPGADSDGDVAHDFAGQLSLTTSLMQIA >Et_1B_012668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34248051:34260673:-1 gene:Et_1B_012668 transcript:Et_1B_012668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGQAAHLSGQMSGQAVQMNQVGGSGVGVGSADGLPQHQPMQDAVSFGGIDAQFVMLRNSMREKIFEYIGRKQSSAEWRRRLPELARRLEEILFRKFSNKNDYYNMMKGPVEPQLQFAIKTLSAQNQQNHQNPRQTASSSGYGTMIPTPGMTQGSSGNTRIPYVTDNNTLSSSGAGMVPQNANMGTSMQGSMSNGYQHLTTSIQQNTITNNGQSTMGPVGVQRMIPTPGLSNQQNIAISDYSNGAGYFNGDSAVAPHMQHQKQFPSNQNSHQIQHIGGLSNSGIHSNMLENSSAYGLSDGHMNGGMGMHGSPKPLQQQFNQNTTQRIPTSVDMAGSGSFYGTGSSALATANNQSMNAGNLQSRSRMNPMLVSNQLNIQSIQPQPQIKTEVMDQSDKVNFQSSQLTHEQLLRQQHSMQQHQMQPNSQFVQSQYHLNQQQQIPQHQQSMLRSNSFKQSQLVSSHSMQLSEHGALQQSELISSQSTDTVDLPNFQGQYQQRSAHDNVKGGQMFGHLSGSQNFHASGSHDSQQLLPPNPQLDDGSNDVNYGLKEPQTDQMLQPQWQSQTIENASVTTNAPLEKQIQEDFCQRTMTQDGAQQPFSSDWRLSRCTVTSTDPSLPKLLGGGFEQITGNVYYFRQIKWLLLLLHAKACSYPVGSCKFHHCVQMQELIKHFQNCQRKDCLYRGCNKSKMVSQHYKTCVDEQCPVCSNVKMFLHRSAEQASKQKALESKKIAQQNTARIPNGVETDRMDIDPVSAELFDDQPSVPKRLKIQPASPSAPENEISVISNPHVNPGYVSQESHPELLEHNNKTTYMKREVDVKADMRAPQKPPKIGYGIDGNVPTARHNVIPGALNEMNSHIKQENVSIDKETSGNVLEVKNETNDSTDATVSKSGKPKIKGVSLTELFTPEQIKEHIDSLRLWVGQSKAKAEKNQAIGHSENENSCQLCRVEKLTFEPPPIYCSPCGARIKRNAPYYTVGTGDTRHYFCIPCYNESRGETIEVEGQAFLKAKLEKKRNDEETEEWWVQCDKCECWQHQICALFNGRRNDGGEAEYTCPNCYVEEVKRGFRKPLPQSAVLGAKDLPRTILSDHIEDRLFKRLKQERQDRAAAAGKNIDEVPGAEGLVVRVVSSVDKKLEVKPRFLEIFQEDNYPTEFAYKSKAVLLFQKIEGVEVCLFGMYVQEFGAECAFPNQRRVYLSYLDSVKYFRPEIRTVSGEALRTFVYHEILIGYLEYCKLRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKASKEGIVSELTNLYDHFFMSMGECKAKVTAARLPYFDGDYWPGAAEDMINQLRQEEDDRKLQKKSKTKKIITKRALKAAGHTDLSGNASKDAMLMQKLGETIYPMKEDFIMVHLQYSCSHCCILMVSGRRWVCRQCRSFYICDKCYGAEQQLDERERHPSNSRDAHMLHPEEIVGIPKDTKDKDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCCHDIEAGKGWRCEVCPDFDVCNACFQKGAVTHPHKLTNHPSAADRDAQNAEARQLRVQQLRKMLDLLVHASTCRSGSCQYPNCRKVKGLFRHGMQCRTRASGGCVLCKKMWYMLQLHARACKDSDCNVPRCRDLKEHLRRLQQQSDSRRRAAVNEMMRQRAAEVAGNE >Et_4A_035892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:811291:824388:-1 gene:Et_4A_035892 transcript:Et_4A_035892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVTSMETEKCYRTDWFVRPGENDNKVTCQFQAIAGGGCNNKVIGARAFGSAAINNTAPPVDDAGHGTHTASTAAGNFIQNAGTASGMAPHAHLAIYKVCTRSRCSIMDIVAGLDAAVKDGVDVLSFSIGASPGAQFNYDLVATATVKAMEHGIFVSAAAGNDGPVAGGIGTIDRAIRTTVKLGNGQVFDGESLFQPRNNTAGRQLPLVFPDRNGDPDARGCSSLMEEEVRGKVVLCESRTITEHVEQGQTVAAYGGAGMILMNKAAEGYAAFADAHVLPALHVSYAAGSKIAAYIKSTPKPTATITFRGTVMGSSPAPSVAFFSSRGPNKASPGILKPDITGPGMNILAAWAPSELHPQFADDVSFSVFMESGTSISTPHLSGIAAIVKSMPELSAIMTSSNVADHAGVPIKDEQYRSASFYAMGAGYVNPSRAVDPGLVYDLGINEYISYLCGLGLGDDGVKDITGRRNPCAKVKVITEAELNYPSLVVKLLSHPITVRRTVTNVGKANSVYTAVVDMPKQVSVVVQPPMLRFTKVNEKQSFTVTVRWNGQPAVAGAEGNLKWVSDEHVVRSPIVIPPANAVA >Et_8B_059475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18309607:18314146:1 gene:Et_8B_059475 transcript:Et_8B_059475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSSCSTTRSGLVRRKSFTDCNDGACSRTRSGLGRQKSFMDSSGASSSGTGSGLVRRKNLTASSDGSCSRTRSGLVRQKSFIKSSECSRTRSGLVRGRPSVKALIMDGPVRKELPDADGYLIEETPSRTRSGLVRRSPTVRKEDMPVATQSEVVGGSPAIKALGFLARSKDGPIIKGLADGWLKEDKPLGTRSGLVRGRLPTVTKVESTTKGQSDAWPQEDNPDETRHGHTLSKDESVTEGLPDGWWKEYRPRPGSALKSDPYYIDPVSGYEFRSMKDVYRYIRTGDIRQCAMRPKKGTLNDVCITENQTQTSSSSQQTRPATADKAIQCEILTSEGIMLSWDWEELFTPCREKNSEHTMFPESEGMKTMEGCVDELETVQQNGGQPFSAQHAPRQTDSVKRTDQNVEVQSKKRKISPFAAPPRVSPRLATLNAQSEAIIEPEDQPISINLVNKVQTVEENNNDQSDMSQSSTMNNINSHEEITSNQLWSSQEDTVNRMQAIQENTTNHSQPSQADTVNQENNGHQLPWSLADIPTLTVPGYAADQPRQAGIMNDKQTNRENTVNQLQANLANTVNHIEMNQETTARELQSSLEDTVIPIRTIREYTMDQLSQANTMNHIQMRQESTANQLQSSLADTIIPIRCTQEFASDHSQPSVVDSMNQMQVSQANTTDELQLGQAGTAAQIQMMQNNITNPSQLSQVNTLNHLYINMENTNNHLEPNCAENPLLQTGFSWAPQENGGALVTDFWRNVENQRSSVSMQIDGVPVASFPANVKFQNAAAAAAAEPVVPTTQATVAVTASDQSELAIPSLFGNAWSDPCIEFAFKTLTGDIPVLDDTAAVTDYFPQQQDLNKGTGANCSASALDNSGTHTQVDVNLPLPRASDKLYNGSWFPPQ >Et_6B_049922.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1799964:1801112:1 gene:Et_6B_049922 transcript:Et_6B_049922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGLAAIFSKPKLAAAADGSPSPPWPWPSCANNPQTASFRRQQRHVDDETDRPCTTAGRSSVPAAGRMLPRKAGDEMYKTVNSVYFDPAAADSSSCFFDDDDGEALDDCVSYSTTTAEEEWSEAVIRSLGRASTDRFFFDSGPPPASNSILAASRSPSPSPSQGRRSRTLPPPDPKPPAPVMPDRPEGVYGTDSESDAEEVEDDKPPPASASSPLVEESVAVALESEDPYGDFRASMEEMVSAHGLRDWASLQEMLLCYLRINAKHNHALIVGAFVDLLVGLAAATSSATTSTTTATMTTTTTATAGSTRSSSSSSSSVAGDGAATCSTAVEEHLEQCGGGSGTDVSCSPVVSSDQEEEDEDELVRETASDDELALRIRL >Et_4A_032978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16264847:16272256:-1 gene:Et_4A_032978 transcript:Et_4A_032978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASASSDKGGELRICCCCEPFKGNSAAVCLLEDGGTGEPCDERRQSVAAEFNTPITAFFIRSNPSGAAGDAAGTDTTYCIKSPVLYPLVHSRSRGANKHLIFFCTTCQLNFIELEFPAFPVVNCNSSDTSSISETLNGASVINELQTVSAASYFIVELNSCEEVINVRPNAAELTQYAGRGVAVTGPARDGSGYDFVTRFFCPKYGLDEDPVCASVHCALAPYWGKKLGKQTMTAFMEAQRVRIRGQGVTVMVGTLLV >Et_1A_008011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40052840:40057133:-1 gene:Et_1A_008011 transcript:Et_1A_008011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPLVQICWVEESLLDITTGERQKVYRINLPEDNDIDNDAHEAFWEDILGVKPRYWTGNRDEVSESHRSLLDEALKNDAKYRKDTDDYMQRIEELNDTNSDSDSEGFTEVIMLPRSPSPSKILTAPRPYEYISSALLQFKSMRFAGDLSCGQTLKIHGFVAVRDNIDRLRNYIFNRSQEHAQPITQDSPDLILTTPARGISAVRAVLVEYSLKVLCEEGGEELIDGCFQFEQEGCQGALVVLHRVRLFSPLGPFDIRFNYLRYAVEATVEVKVKRAVAGYSLTSVTAATCGCGSREEIVLLSAPVPPLGVALSSSVVAVASAVVAVELGCQLKLKFEITTPNISGRRSSCQHELLFRSRKSHHTKGSVVMGRMFKVAAKVTWSTMGTVYAPFLHNNRNLFGDPDNPMDPPQAVVAQGVKKAKRTLDGLISHESNSEMHQYYITRNL >Et_5A_041860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3529605:3530533:-1 gene:Et_5A_041860 transcript:Et_5A_041860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FWNWEFGGSKKAGLREAVQKSLARLLKWAANCYRYMDAVVAMLTCPMLKDQPINQWKVTDLKDELQRRNLPITGLKDDLVKRLLEAIQGEVLDGGENTSAATPPAEGLKGG >Et_9A_063254.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17725214:17726644:1 gene:Et_9A_063254 transcript:Et_9A_063254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRQSMCTPRLPNASLHPPALSPDDDGAEIPWVLLQERAYVTDRRNATTALSTTWDKKEIQVTLCLARAPRVSYICVFCPGREHNEFPLEPKILAAEEDLVLLRVIVSSKHGRDVYEDTDLYIYQAADMAAAGGGPSLKRLPRPPRRFESTHAGILRCGINHKQHHDQSGFVLRPHRDTTDDDFYVVVGLGRATNAKTGEFLLFQHSSKVPTHWSTDSISLNDQQLAQYWVQHCCNFHHNNSKVIAIGGNAGTMGFVDLWKGILFCDVLKVEGKPIPPLRYVPMPPDLLPGIDSMRDARNARDIAIGKDEEGRMMIKYVELQVRRKPGEGFRGPYAIDGWVTRTWKRPVSATYLEDAWVEDCTREFSLIPVDNNPHFNQLPKVLDREGRPMLVPFKGLVVRQPTLSLHDDDATVYFMVKKNLLGPKAWVIAMDTRKNALQDVAEFAADTKIDASFAYKHSRISRYLSMACHVTS >Et_1B_014424.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:8323242:8324669:1 gene:Et_1B_014424 transcript:Et_1B_014424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAKTGRGRVRLNVGGRVFETTADTLASAGRDTMLGAMLDASWNAGGGHDDAAGEATCREYFIDRDPACFAVLLDLLRTGALHLPPGLPEATLCREALYYGLLDRVRAARAGEFDGDRLRLAASVPGRAPGDGTAVRAAPDGGCCVAHGGAVRVYNWRLEERRPVYLDHAPVNDAAYLDAATLLVAARESPGSNRRVSDGGVAAFSALTGELRHRFRVAHGRQPRSFTAGALELDSGTNRAFASCKGRLNEYGVGVWDCATGAQAGFFYEPPGCALGDADKLQWLDATNTLMAATMFPRADASSLALLDFRDDDSKRVVWSWTDARTPASLDEKHAVHAVALEDGRTVCVVNQYDDLGFLDLRKNAACVRWSARSKLLTASASGKKKEAAAARGYEQETCYPKLAAHGGRLFASSNDTISVFSGPDHVLTSTLRGSGGGGAICDFSIGGDRLFALHNEENVFDVWETTPPAII >Et_5A_041500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23739263:23740394:1 gene:Et_5A_041500 transcript:Et_5A_041500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHSDNAAENIMSSIMDTIADNLPNKKSVRFDEGSVSEQAQRLFGGKRNVHHVLGGGKPADVLLWRNKKISSSVLAVATAVWIFFEWLDYHFLTIVCFMLVLGMAVQFAWSSFAGMLNGSRSNVPRVELSEELFANVGAAVGKQVNKVLAALQDISCGRNLKQFLVVIAGFFATAVIGSWCNFLTVIYIGFVCAHTLPVLYEKYQDQVDGFLCNILGLLQNQYQKLDRGVLSKGPKGSVKFRKSE >Et_5A_041384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2229547:2237790:-1 gene:Et_5A_041384 transcript:Et_5A_041384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSAQRAALTALAPDAPYLAAGTMSGAVDMSFSASANIEIFRLDFQSDSPDLPVLACAPSPDRFNRLAWSRPAAVEGDSFALGLLAGGLSDGSVAVWNPLSMISTDGQAEDAMVARLEKHTGPVSGLEFSELTPNRLASGADEGDLFIWDLKNPSEPTVFPPLKSVGSSAQAGITSVSWNPKFQHIVASTSSNGMTVVWDLRNQKPLTSFSDSNRRQCSVLQWNPDMSTQLIVASDDDNSPSLRVWDVRKTIAPVREFVGHSKGVIAMSWCPYDSSFLLTCSKDNRTICWDTVSGEIISELPTSANGNFDVHWYRKIPGVIAAASFDGKIGVHNLEFSGLYAAGDAVGAPARPRAPAPKWLKCPTGASFGFGGKLVAFHPAAPTQGAQVATSEVHVHDLVIEQSLRETWGFLRVMFEDGDVARTKLLAHLGFEPPQEPTVNSTDELSKTLEDTLNLDHGTVADNIDAQFLVDNGDDFFNNPQPPEPSAPEEISTNVQQKEQEMPEEFVPSDPAVDKSIQHALVVGDYKGAVNQCLAANRMADALVIAHAGGSALWESTRNHYLRNSISPYLKVVSAMVGNDLTSFVSTWPLSSWKETLALLCTFAQKEEWTVLCDMLASRLLTAGDTLAATLCYICAGNIDKTVEIWSRNLKSEDGGKTYVDLLQDLMEKTITLALATGHKRFSASLSKLVENYAELLASQGLLKTAMEYLKLLGSEEHSHELAILRDRIAFSTEENNVAGSSAPETNAPYATNQSSYMTPDPSQNLYQVSQQYNVPSNAYSEVYQQQPSAAYGYNNTYQPQQQTHMFVPPSAPATSQPSSGPAPVPQQTVKTFTPANLPGLKNPEQYQQANTLGSQLYTGAANQQYSSGPAAPYQSVPPTTFHQPRSPAQYQTATQVPSFGASATVPGTVPNQMFTPSVGTNSTARFMPPSNQGFVQRPGLSPVQPSSPTQAQSQAQPAPPAPPPTVQTADTSKVSAELRPVIATLTRLFDETSKALGGPQATQAKKREIEDNSRKIGALFAKLNSGDISPNVSSKLIQLCGALDNSDFATAMHLQVLLTTSDWDECNFWLAALKRMIKTRQNFRM >Et_7B_053746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11929836:11932139:1 gene:Et_7B_053746 transcript:Et_7B_053746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPLVAANGWSPVSSTARSCKVIECPLLVHELRAIESTFLIVFSRCATVIVVLPDMIRLSASCTTCSDFASRALVASSSNSIAGFRSITLAIAILCFCPSESCMPYSPHWVLTNKSCKSSIPKNIHVPYIHTINPYSPSVLKRSAAEISPDCDNFPPYQNSSALDKNKKKGEKPVVNPAIHDFFLDFLNGSSCAFSKS >Et_10B_003630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:479592:482065:-1 gene:Et_10B_003630 transcript:Et_10B_003630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAGNKIRNAKLVLLGDVGTGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNAEAKAYAQENGLFFMETSAKTAINVNDVFYEIAKKLLQGQQVQNPQGGMVLNQRPAERTVSASSCCS >Et_5A_040657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10927148:10927867:1 gene:Et_5A_040657 transcript:Et_5A_040657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMNVERSFAQQHYADLSDKPFFAGLVEYIISGPVVAMVWEGKDVVLTGRRIIGATRPWEAAPGTIRGDYAVEVGRNVIHGSDSVENGKKEIALWFPEGLAEWKSNLHPWVYES >Et_4B_039545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21139924:21143626:-1 gene:Et_4B_039545 transcript:Et_4B_039545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGSSPRVRDTESSLEKVKRQLSTGAGRYLLQGPLLKRSETLRKWNERWVILDPTSGKMEYKLRRNETAIKGIILFDASSTITATQLVLRAHKEAVNSLAGNGSPATLGTVATAVANANATAMEATKEIEAALKVSMRAALGLGTNNSTEGQLDDLTIMKETLRVKDEELQHLAKDIRSRDATIKEIADRLTETAEAAEAAASAAHTMDEQRRLLCVEIERLKQALERQMEQSMLKLRQSEEKVISLSKEKDLLMKERDAALQEAHMWRIELGKAREQAVIQEATIARAEEKARASEADAAARIKESTEKLHAVEKEKEELLALVGVLQSQVQREQSSTKQVCEERSESCSGTDNSPPLTKHVDASDDDVDKACVSDSRSVLVSSDSTEVQLAVDGVDIRPIGDADWGSFQQSEALIADVREVSPEAADVREVSPEAGDVREVSSEGADVREVSSEGADVREVSAEAEGSSLDIPVVNPPPVSDHLQGGTTHP >Et_9B_064248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13224547:13228468:-1 gene:Et_9B_064248 transcript:Et_9B_064248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWWSPASAAAEPRSVQLLLLGVALIAAAFYAGTLFGSSASPALVLPPSGPRSPVSSRTQDAPLFTNRVSLTYRTKPVSVPDYGVDVCPLEYNEHIPCHDAAYVSSLKGLDRSRHEDLESICPPREKRLFCLVPPPSDYKIPIRWPTSRDYVWRSNVNHSHLAEVKGGQNWVHDKGNLWWFPGGGTHFKHGASEYIERLGNMTTNSTGDLRSAGVVQVLDVGCGVASFSAYLLPLGIQTMSFAPKDGHENQIQFALERGIGAMISVLATKQLPYPGRSFEMVHCSRCRVDWHENDGILLKEVDRLLRPNGYFVYSAPPAYRKDKDFPLIWEKLVNITTAMCWKLIAKHVQTAIWVKPEDESCRLKNADMKLLNICESKDNFSPSWKIPLMNCVRLNKDQSEMQRLPSKPDRLSFYSKNLEMTGVTPERFEKNNQFWQDQVLKYWSFLGVEKISIRNVMDMNANYGGFAAALSNDPVWVMNIIPHTMNNTLPVIYDRGLIGSYHDWCEPFSAYPRSYDLLHAFHLFSHYEGRNKDCSLEDIMLEMDRIIRPQGFIIIRDEKNMLSRIMDLAPKFLWDVTAHMSENEENQAEQVLICTKKFWAIV >Et_10B_002980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14977376:14978951:-1 gene:Et_10B_002980 transcript:Et_10B_002980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVKKLFAPSEVALHASRKDCWVVIHGKVYDVTKFLEDHPGGEDVLLHASASGDATEAFEEVGHSTSAISMMDSYLIGSIEGYVPPTISKSSDAWSVEAPPNSRNKGPPNPNTFLDFVLPLFMLGLAFAAWYYLTFVAKGN >Et_9B_064086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11074341:11085593:1 gene:Et_9B_064086 transcript:Et_9B_064086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGYKLQLAFAALLGACAAAAAGYYLHCRVVAQVGDDLARSAASSRRRRTRAPAGADGGKTPPPRRAGVGSASLPDLSAFYDGGRVRPAAGGYLLEEEEDDAQGVVGLHANGALDAADLLQIPQGLPRLHVGPDGSKKMVRSGSNRRVRPKSPRSPVASTSADGSDEDDATQNGDKLENGYMNTNGKVEGEHKGSAAVENGASKPLAAANMLRSHSISNDLHAVQPDPVAANILRKEPQQESFIRLLTAPKEIPSADEIEVFKILQRCLELRECYLFQEEVAPWEKEVINDPSTPKPNPNPFTYVPEPKSEHVFRMVDGVVHVYADKDYTERVYPVADATTFFTDLHYILRVIAAGNTRTVSHNRLNLLEHKFKFHVMLNAESEFLAQKTAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFSDLSASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSDNVVWLVQIPRLYNVYKEMGIVTSFQNLLDNIFLPLFEVTIDPASHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMTTIKFRPHAGEAGDIDHLAATFLLCHNISHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPVFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSIATSLWKLSSCDLCEIARNSVYQSGFSHALKAHWIGRNYFKRGLAGNDIHKTNVPHIRVEFRDMWLEVSMRSNVMDDVSELNPAELSKRNRHNPEAYQIIEYLNNKVVKRSSSHKIGKKDDLVG >Et_4A_034372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32001668:32004010:-1 gene:Et_4A_034372 transcript:Et_4A_034372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGSPPPPLLLIAAAAVVAAAAEPASTLSGPARPVTVPLGDRGHAVDLPDTDPRVQRRVTGWAPEQIAVALDAAPTSAWVSWITGDFQMGGAVKPLDPATVRSVGLQNYTSGIIHHVRLQGLRPGTKYYYQCGDPAIPDAMSDVHAFRTMPAVGPTSYPGRIAVVGDLGLTYNTTSTVEHMVRNQPDLVLLVGDVTYANLYLTNGTGTDCYSCSFANSTPIHETYQPRWDYWGRYMEPVTSSIPMMVVEGNHEIEEQIHNKTFASYSSRFAFPSEESESFSPFYYSFDVGGIHFIMLAAYADYNKSGEQYRWLERDLVKVDRSMTPWLIAGWHAPWYTTYKAHYREVECMRVEMEELLYSYSVDIVFTGHVHAYERSNRVFNYTLDPCGPVHISVGDGGNREKMATSHADEPGHCPDPLSTPDPFMAGFCAFNFTSGPAAGRFCWDRQPDYSAYRESSFGHGILEVKNETHALWSWHRNQDLYASIGDEIYIVREPDKCLIKSSRVAY >Et_2A_016185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21836810:21838955:-1 gene:Et_2A_016185 transcript:Et_2A_016185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAQERQLQGRQAWPFHMMAATTTGCLLDAGTNYSYSSSTSCSASAGAGDCFVLGWEPPQQQQLGCFGLLAADVHELFPLCTYEPTGRLVISSVFLLACAVADMVEESSPFQVTSSSALAVPPPAPLDAVTVPQNLDDLLLNFWDGSCNDGDVGAQQLQVAFNSSYCLPLTHETSSSTTTTTTNSFFPCDYDDDPLSSIFSTGPAPAENALLQAPAAAEPPSSSSSNCHADPRGSDASGAQAQCTAATPSAAPAPPLPPPPRSSSSSSLKRSTREAAESDHQAETESKRRKASARVVSPFALLKPDGLDGGATLADINARLLMRPSRPVRHPVGEFACAPRVSADQPGISGKAVSSFTRLHTPGGRGTITIIRTRG >Et_2A_015359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11582461:11584319:-1 gene:Et_2A_015359 transcript:Et_2A_015359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding STRAHLSATQLPFPKCYGTVTRLSPRSCSSQVQPMVLLYNYYHRKQLPQLADPKRFIVAALFAARDADADLLVYLKRGGGDAEAGTSLTDRAFEDACDIAEALDANADSPQTLTWPISKVAVLLIDPMGKKCLIEQSSVTRVSGITGGSIHKDLSAPGASNQVALISEVYMLQAAAYSLVEAKIGMKHDSLRFLEEHLYEQTVNRNLTEMQIEDLISSGPIFINDDEPCLKKTFVVEYYHILPAPTAPKP >Et_2A_015483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13576240:13578352:1 gene:Et_2A_015483 transcript:Et_2A_015483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSFPQARRLLKRLGFEKEDAYFFKQMGKAMLCTYTLFGVAWLWNETSPLGWWTLKPRPKEEREMAHLYERREFPYPGDEEAVEEFIKSGGALGTTIGPKGFADANMDSDNMQKQLQSKKFEQEAQKLWLRMRNEVIQELQEKGFDIE >Et_3B_029544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25929240:25934023:1 gene:Et_3B_029544 transcript:Et_3B_029544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASGAQRLSRIFSWTKPRARPKKPAPEPAPAPAPAPIPEAPTSVQAKPKPSRQARQRKSLGRILKEIFEERDADKLVTKFLAASAASARFRHRHRVYEVAVSRLAFLGRHDAVTAIIEAQKPFLEASTEGFAARLLRLYGRASMPSQAAATFHDLPPQLKGVMTFNALLAAYVDSRDFEALATAFKVIPASCPAVVPSVYSYNILISALCHKPDLSAALDVITLMEKCGISPDVISFNTLLNGFYNNAPLDDAEKVWEMMKERNVEPDTKSYNAKLRGLVANGRIEDATALIKWMEKDGPKPDTISYNELIRGYCKEGRLDDAKKVYDDLVKNECVPNRGTFGTLVPHLLEAGELDHALKYCHEIFSRKCRVEVSLLQGMVTALVNASRVEDAEKIVELGRKNYYARKYLKVPKVGKDDAPRAQPKKKPALSPAPTPEREPVPEAPTGVQAKSKSSRERKSIGRILKEIFEERDADKLVTKFVAASTGIARFRERHRVYEVAVSRLVSYGRHDAVTAIIEAQKPFLEASKEGFAARLIRLYGRASMRSQAAATFRDLPPKLKGVMTFNALLAAYVDSGDFEALATAFKEIPESCPTVVPSVYSYNILISALCHKPDLSAALDVIALMEKCGLSPDAISFNTLLNGFYNNGPLGDAEKVWEMMKDRNVEPDTKSYNAKLRGLVANGRLEDATALIMVMEKDGPKPDSISYNELIRGYCKEGRLDNAKKVYDDLLKNECVPNRGTFGTLVPHLLEAGELDHALNYCHEIFSRKCRVDVSLLQGMVTALVNASRVEDAEKIVELGRKNYYARKHLKVPKVGKDDVVEAESDCEDSLLYENGSEEEEESRMLN >Et_1A_005570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11670896:11676337:1 gene:Et_1A_005570 transcript:Et_1A_005570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPPTSSQALEASFPVDAESAAIVAALTQVLSHGRGATTRPTPPAVLVAPSPCSRTATFSHTWSVGVQAACQGEEPAASADNVSVQDGIAQSPRLLVASGTRTPMSSAWSKETEQRAAASIRRSYRGVRRRPWGKWAAEIRDPKKAARLWLGTFVTAEDAARAYDAAALRLRGSRAKLNFPEEASSRRRPPAPVGSRQPNSAGGSSCPPVIVHRRGAADGLVGGSNGHFLADMLTPPSSAPALAAAFPENDAETAAIVAALTQVISDGRAATMRPTRWPPCSRTATFSYPCCVGQAACQGVSSSADNVSGTSVLFPGTGPNGGYSCSPGLVAPTSTSAPMASSTWSRETELDAVVASVTSSRRRYRGVRRRRWGKWAAEIRDTKKAARLWLGTFATAEDAARAYDAAAFRLRGSRARLNFPEDASSSRHRPPAPVGSRRQPDTATSDHIAAGRSSCPPVIVHRRDWLAGGNNNGRFLCSWSIGQASPSPERVSAPAAPELCWSHGTEEDAAHGSERSKSATYPVA >Et_3A_027288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6962646:6977148:1 gene:Et_3A_027288 transcript:Et_3A_027288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSKSTTTALSLKLLIDTKAQRVLFAEAGKDVVDFLFSLLALPAATTVELVGEESMVGSVGNLYASVEKLDSTYVMPGASKSTLLRPAVASPAVSANSSLLLPAPAPAPEQPKTLYICSNSYNSTCHAYITDVYGKACRACGSEMATEAQYLSSAESEQVAQSTGKGFVQGIMTYTVLDNLKVTPMSAISSITLLNTFAVDFSALQEKTVQLGYKENCEPPDDLQGVEILRASLQSKTRCGE >Et_3B_030998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9794933:9801508:-1 gene:Et_3B_030998 transcript:Et_3B_030998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQDEPTDLMVARALSKSGKAGKKSILSLIESFTPEQVKEHIDSLRRCVGESKDKPETSCQLCKVEELYFDPPSTHCSSCGAAIKLNAPYYAVARSYTYYCFCIHCYNESYGETIEVEGLLFLKTRLEKKINNEKMEEWWVQCDKCERWQHQICALYNGRTNHGGKETYTCPYCYVLEVKSGLRKPIPQSAVLGAKDLPRTVLSNHLEDRLFKWLKKERQNRASVAGQSFEEVTGPEDLVVRVVSSVDKKLEETFQKDNYPTEFPYKSKKIEGVEVWLFGMYVQEFGADCSLPNQRRVYLSCLDYVKYFKPEIKTVSEEDLSTFVCHEILIGYLQYCRLRGFTSCCIWPQIPLKREDYMFYCNPELQRTPSPHKQHEWYLSMLEKAAEEEIVVGVTNIYDHFFITMGECKAKVTATRLPYFDGDYWSRAAEDLIIQFRHEHVRNNIKCTTDNVTTKGDLKVTGHTDIIGNTSMDAMLMQKLGKNICPMKKDFIVVHLRYSCSRCCILLVSGKRWVCHQCRDLSICDKCYNAEQLFEERERHTSGSRHTHTLQPVDIVGLPDDTVDRDGILQSDFFDTSREFLNLCHGNHYQYDTLRRAKHSSMMVLYHLHNPTPKPVTTCSFCRRCDSNFGQGWKCQVCPGFDVCMACYINGATDHLHKLLNHPSIVDHDIQTMEAHRLLVVHELWQMLDLVIHVSTCRSGSCQYPDCQTFQGLFDHATQCQKQASGRCAHCKKIWHMLDLHARACKDSHCKVPRCRDLKEHLRMLKRNLLRGGGLP >Et_8A_058381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6218694:6222436:1 gene:Et_8A_058381 transcript:Et_8A_058381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRALLPSPHATATPARARARLAAAAAAPSSLTLAARRGGGPRIVRCAILSSPAPVKPAEQQQPTKRISRAGSDGALRPKPAVLVAEKLSEAGLAVLREFADVECAYGMSPAELLAKVAQFDALIVRSGTKVTREVLEAGRGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHGIALLASMARNVSQADAALKAGKWQRNKYVGVSLVGKTLAIMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGAELVSFDEAITRADFISLHMPLIPTTNKIFNDESFAKMKTGVRIINVARGGVIDEDALVRALDSGKVAQAALDVFTVEPPAKDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVVGALKGELAATAVNAPMVPAEVMSELAPYVSLAEKLGRLAVQLVAGETGIKGVKVVYTTTRGPDDLDTRLLRAMVTKGIVEPVSSTFVNLVNADYTAKQRGLRITEERVSHDSATAEAPLESIQVRLSQVQSKFAGAISDGGDIVLEGRVKYGVPHLTLVGPYEVDVSLEGNLILCRQIDQPGMIGKVGNILGQRNVNISFMSVGRTFRGKQAIMAIGVDEEPDKETLDQIGAIPAIEEFVFLEL >Et_3B_030129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30717979:30723121:-1 gene:Et_3B_030129 transcript:Et_3B_030129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVRTREEKRSEAPAVDASPVSARSWSPEAEIGMRVEDIWDSLDEQGQLSRSDKLNSCFDSISVASFPHTFAGSQLVEIPSDSTLAEAVDILSRNRIISAPVRNVDAPEDASWIDRYIGIVEFAGIAVWLLHKSEAVANADVGADELAAKLGTVTLEGTAAAAANVRETKASTESEGAIAEVFGALPSSDLFNKTKVKDISGSFRWAPFLALQSSDTFLTMLLLLSKYRMKSLPVVDIGEGTISNVITQAAVVHMLSECVGLHWFEEWGTKTLSEIGLPIMRLSKIVKIREDEPALKAFRLMRRKGVGGIPVVDDSGKAIGSIMIKDVKHLLTASEANRDYRTLTAKDFIANARQSSGERQMSIITCSRSDSVKDIILKLDAEKRQRIYVINEEGNLDGLITLRDIIAKLVYEPPGYFGDFFNGVIPLPQNSRGILNPFRINRHIYHLSTYIEQYKNKKVCDQHEHTMPKWSHVHVVSPIHLSVKTFKKIIQRGIVISKQPYIVHHITGERVDLSTGQGSPGSLSLNRTGKLSDRATHCIPKAHKDPLCLLLDEIPCLTREQAFELW >Et_7B_054187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16899880:16903006:1 gene:Et_7B_054187 transcript:Et_7B_054187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLALALTFCVVISFVPTFAAARKTGQITVYWGQDWNEGRLREACDSNLYSTVIISFLTYFGGGNYKLDLAGHPWTEVGRDVKYCQSKNILVLLSIRGGNGQYSFYKNDANAVADYLWNHYLGGHSYYRPFGNGVVLDGVELDIEIGTSKHYDDLARYLKGYNRKRNNYKPVWVTAALQCPFPDRMQLGEPLRNGLFDRVQVKFYDNPVCNYQTGNKAAFDRAWNKWTSNLAPQSSVFLGLLAGPNAAWNGYVNPATLKYKVLPIVQRSWNYGGIVVWNRYSDVQTGYSRAVKSALHKLVVSSMAMGSRMAARLALARTFCTVLCSVATIAAAGKAGEITMYWGQNRNEGSLRKACEGNLYSTVIISFLTNFGGGTERYSLSSKADAKAVADHLWDI >Et_5B_044774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5609306:5612373:-1 gene:Et_5B_044774 transcript:Et_5B_044774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKRAQGVVMLLMLMVFNVSGAFVGITIGTDMSKLPSATDIVSILKEKKIQHVRLLDADNQMLTALANTGIEVVVGVPNDQLLRVGQSRSTAADWVNKNVAAYIPATNITYIAVGNEVLTTIPNAALVLIPALQFLQSALLAANLNTQVKISSPHSMDMIVKAFPPSTATFNSTWSSIMLQYLQFLKNTGSSFMLNAQPYYGYVKGQGVFPLEYALFRSLNPNSQIADPNTNLFYANMFDAMIDATYNSIQSMNFTGIPILVTASGWPWLGGSNEKAANVDNALAYNSNLIHHVLNNSGTPSQPNNQSSTYIFELFNEDHRSGPESEKNWGIMFPNGSAVYSISFEDVATTIPESPALRGMFCVANSTAPHSALKHSLDWACGPGSANCSAIQPGQPCYKSDDIVAVASYAFNDYYHRTQSSGGTCNFNGTAMITSTDPISRNYLLWLLHRFQRQRWWCSFWASKPRQFCYEIPTVLVDSPIRRISARSSLELPGQRWVSGL >Et_4A_034765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6345353:6349040:1 gene:Et_4A_034765 transcript:Et_4A_034765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTFAAGLVYINERIILTKIVQIRLENALKFRERGPRNTESTNVNNDQKQKRGKEKNSHSAINRATAAHATLRFPPLRRHLPLSLPRNGPETTRPHRQWTPPAHRPKPPPPSPTISGAPDGGAGARNSSLLGLPPAVAAAAAASLVVLLVLAAAAAAAFFARRRGARPPSLSRVEHAPSSGSSHPASSSARKEKVVIDAEAGAGASSSDVASSSAAASSLESPARRKVEVRVGGAAAGVEMGWGRWYELAELEAATGGFCAENVVGEGGYGTVYRGVLAGGEVVAVKDLFDHKGQAEKEFKVEVEAIGKVRHKHLVGLIGYCAEGPKRMLVYEFVENGNLEQWLHGDVGPVSPLTWEIRMKIAVGTAKGSGFLHTHSLLIQMIVVCCEFVNGFVMTQTLMLPRLPPVFSIAYLHEGLEPKVVHRDIKSSNILLDKKWNPKVSDFGMAKVLGAGSSYVTTRVMGTFGYVAPEYASTGMLNESSDIYSFGVLLMELISGRSPVDYNRPAGEVNLVEWFKGMVGSRRVEDLVDPRIAAAPPARVLNRALLVCLRCIDADAHKRPKMGQIVHMLEGDEFPFRMVRQLPPLSESLCQRIATALAMKLMHMFLRDAYAGAPLAAGVAPDVDGNAAVAPVGERRGRGLRQVDVEVMSHRARHET >Et_3A_024955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25607610:25611518:-1 gene:Et_3A_024955 transcript:Et_3A_024955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QCLLPTSRGAWRHLSCDVPALDRRAVGIAVTQRHSHGHGVHPPPCCCSVPPRAALNIYGSNARPGSRAMLRCIWRGARRGGGVAAAAGGHRTRTVMSGGHCLLRDPRHNKGLAFTEKERDAHYLRGLLPPVVLSQELQEKRMLQNVRQFEVPLQRYMALMDLQTRNERLFYKLLIDNVEELLPIVYTPTEGEACQKYGSIFTRPQGLYISIKEKGRILEVLRNWPEKNIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITVDVGTNNEDLLKDEFYIGLRQKRATGQEYSELLDEFMAAVRQNYGQKGTAAVVLAGLIAALKIAGGTLADQTFLFFGAGEAGTGIAELVALAISRQSKVSVEEARKKIWLDDAKGLIVTSRDRTLEPFNKRYEHKHEPIKDLLEAVKAIKPTALIGSSGAGQSFTKEVIEAMSSINERPIILALSNPTSKSECTAEQAYSWSQGRAIFGSGSPFEPVKYNGKLLVPAQANNAYIFPGFGLGVVISGAIRVKDDMVLAAAEGLAEQATSEQIDKGQIYPPFSDIRNISANIAARVAEKAYDLGLASQLPRPNDLLKYAKSCMYSPVYRSYR >Et_3A_024206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18396355:18397467:1 gene:Et_3A_024206 transcript:Et_3A_024206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DVQSIRFNIRNAAAELTTTRTARLNGAREMAPVLLGEQLRTPVLVMANPFTENTTGFPCISHHGVLMHDPQNPERMVMLFFRDTDGYFAGFQRCEIVEAEGTRQEKWGTSFFFDDMMETKQVPGFFEPVSMGIESAHSEKGDFPGPEAIVDVFHMLFGYEDCIQVRDKQVRGLTKGLKGELKIAVARIIILFCEATRLRTIYNDALAALGSEHYSVDLTLAHWTLLHSWGKISVYVLTVRKNGEMELTNALDPDNDDLRSHNFPNNTLGDLIGPNGELTMCKLEVTKIMSEEDLMKLWTDSRAWTRQEEPTSGRWKGKKGKKGKRQEGAKSM >Et_2B_019720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1301924:1316676:-1 gene:Et_2B_019720 transcript:Et_2B_019720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGARNGGGGAGPRMSAKLDRQSSNATPKAAAGKQRLSSASAAGAYRRTSSGPLPAAGGGRASSDSGVSGRVRVAVRLRPRNAEELVADADFGDCVELQPELKRLKLRKNNWESDTYEFDEVLTEFSSQKRVYEVVAKPVVESVLEGYNGTVMAYGQTGTGKTFTLGRLGEEDTAARGIMVRALEDILADITPETDTVTGDVSLPGATVVEVRDQKSFMELLRVGEAHRTAANTKLNTESSRSHAILMVNVRRAVKGRNEMEVSISSENGHSSSMMGSFRPPIVRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSPHAMKVENMVKLKEEFDYKSLCRRLGIELDKLIAENERQRKEFDDEVERISAEAQRRIAEAERECKIALENEKMKYHQEYLDSIRILEEKWKVHQQSPKKQITEDESTSSDAGDMHNLLQNEKMLRQSAEEEANDLKNQVSHWKKMEATATAEVVKLRKMLDTEASRKDKLEEEIGVLRSQLLQMSLEADETRRSLDRGDGPGKIFPGLDSLVSQTRAPQPKEQSNGPKQPIAQLFEQVGLQKILSLLESEEPEVRVHAVKVVANLAAEEANQEKIVEAGGLTSLLMLLRTSEDETIRRVAAGAIANLAMNETNQDLIMAQGGVTLLSMTASDAEDPQTLRMVAGAIANLCGNDKLQTRLRGEGGIKALLGMVRCGHPDVLAQVARGIANFAKCESRAATQGNKVGKSLLIDDGALPWIVKNANNEAAPIRRHIELALCHLAQHEVNAKNIISEGALWELVRIARECSREDIRMLAYRTLTSSPTLEAEMKRLGIKL >Et_5B_045509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3371296:3377223:1 gene:Et_5B_045509 transcript:Et_5B_045509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLLHGTLHATILEANRFNASVRKLEEGLDKKQLNGSDRGTPVLYATVDLKRACVARTRVVDINGGNPQWNESFHIYCAHFSSDIVFSIMISFKIADAFLIGSASLPVRDILDGQEIDRWLDILDEEKKPFPHRSKLHVRLHFTDVTRVRHGWGGGVGDAQYPGVLRTFFKQRPGCKVTLYQDAHSLDTFKQKISLAGGLPYKPGRCWEDLFDAISNARHIVYITGWSVYTEITLVRDGTRHPGAGITIGELLKQKAREGVRVLMLLWDDPTSLLNLSIISGSLGTHDVKTASYFHGSGVHCILCPRNPDASNSFLQAQKTSWLMSHHQKSVIVDSDMKLDGARRRIVSFIGGLDLCDGRYDTQDHFLFRTLDTVHSKDFYQGNIDGASIKMGGPREPWHDIHSRIEGPAAWDVLHNFEQRWRKQGGKDVLIDLKGMEDVIIPSSQVVASLDDPETWNVQVFRSIDSSACAGYPTTPKEVAQYGLVSDKDHIIDRSIQDAYIHAIRRARHFIYIENQYFFGSSYGWKDDDGIKPEDIKALHVIPRELSLKIVSKIEAGEPFAVYIVVPMWPEGHPADDKIQAMLYWQRKTMEMMYYDISVALEAKKINANPKNYLSFFCLGNREAKLAGEYKPASHPRNGSDYARAQQARRSMIYVHSKMMIVDDEYIIVGSANLNQRSMDGGRDSEIAMGAYQPFHLNTDDQFARGQVYGFRMSLWCEHLGRLKDEFQKPGSLKCIQMVNKMASEFWRLYSSGNGDVKHDLPGHLLSYPIAVTNGGTLTELPAMKFFPDTQAPTFVTLWLPFIGASILGAALEVAINEQSYARVFFLNPEHHLPKEPQFANSLSKLQSR >Et_3B_031009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:68509:69707:-1 gene:Et_3B_031009 transcript:Et_3B_031009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNMFVEDQIEEDMIVEEQHMNEEGIQGAVQVPREEEAGPNEEFIELNDFVNQLMEEDEMVQDNGQLDEQVSLNVSQQPNDSASSVAQIVPDLNMQEQVLQKEDLPLQVHPALGIPAHIDAQNLFPPFPNQEELRQLVQPIQAPVLPSQANPNPGQGMGQGGYDHNADMQVGFVLVQEEHQADSVFQEKLMANHMVYTLQDFHYDHDKKKLSIKQNPMGEISDSKRETVIEEFPNDSSPEPSRSKLQLASTSKIHVGKRKGNQELTPLVETEVRHSERVKNRNKGFKSAACHQEPWDGSL >Et_3B_030419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3641195:3642066:-1 gene:Et_3B_030419 transcript:Et_3B_030419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMLALLALCAFFFLGRSAEAAKWTPAFATFYGGADASGTMGGACGYGNLYNAGRNWGASWQSNSYLNGQSLSFRLRSDDGREITANNVAPAGWFFGGTYASGAQFY >Et_2A_016593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26445705:26455159:1 gene:Et_2A_016593 transcript:Et_2A_016593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGAMAATSMAPIPAAFSATGDLRRAGPPGWRSGAGGKRLRAAVPAGRPFVFSPRAVSDSKSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYGNNIAGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHDVMEYLILAGDHLYRMDYQKFIQAHRETDADITVAALPMDEQRATAFGLMKIDDEGRIIEFAEKPKGEKLKSMMVDTTILGLDNERAKELPYIASMGIYVFSKDVMLRLLREKFPSANDFGSEVIPGATEVGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRYLPPSKVLDADVTDSVIGEGCVIKHCTINHSVVGLRSCISEGAVIEDSLLMGADYYETESDKKVLSETGGIPIGIGKNAHIRKAIIDKNARIGENVQIINFHNVQEAVRETEGYFIKSGIVTVIKDAEQLQDHAVPPMIIRPSKLRSQHVDIAALTCSAVRIWSTVLPSKSKPPSRSIIHPVQAGSAAAEK >Et_7A_050401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12917682:12918473:1 gene:Et_7A_050401 transcript:Et_7A_050401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLACISGGESKSKVACETAAALPVSGSDAGVRCGTVGADDAGAPVLFGAALRRDGSTKGSSNPKAALARVGVPRASSRRLAPAGKAATVVVSVLPAGKVVAQERRAPRRGWGRRPAAGARVFASEAAVGAAEPVSPKVSCFGAVRSERRGAAPPPEKQVEEAGRGSGCWASVAATVRRLCWNDSDPGEDEPEASDPSSAASAPELSPPDAVLSPPRPVVGLGDVKRLASRRWPETMAGEGSGLGLI >Et_2A_018624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35301689:35305613:-1 gene:Et_2A_018624 transcript:Et_2A_018624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVDPNPNPQPASDRPNGDKAKSRESDRRRRRRKAKKNKAAAQEPGATDGDAKESEEDASSKENDDPNSKPQVEVEVEYVPEKAELEDPLLDDFKAIFEKFSFKDTASAAADITLTDPSQDEEKEEDGGANAAKKGASDDDDDDEDGQENQKKDGGLSNKKKKLQRRMKIAELKQMCNRPDVVEGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSHGDLYYEGKEFEVKLREMKPGTLSRELKEALGMPDGAPPPWLINMQVRFLELAFKCFVVDNTFHGYHPHQCINWQRYGPPPSYPHLKIPGLNAPIPPGASFGYRPGEWGKPPVDEDEPVDRSKHWGDLEEEEEEEEEEEEEGSEEEPMEDEEMEDGYQSVDTISSTPTGVETPDVIDLRKQQRKEPEKQAERPLYQVLEQKEERIGAGTLYGSSHTYVLGAQDKSAASASKRVDLLKNQKADKVDVTIQPEELEVMDDVLAAKYQEAREEEKLRNQKEDFSDMVAENASKRKRKQEKEGKSKKKEFKF >Et_9A_061530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13243198:13253260:-1 gene:Et_9A_061530 transcript:Et_9A_061530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWPPLQSVAAAKPHGQKHVVLLVLLRVALTMSARTLVVSHVIYPILAMEGKRTTALMVISCLVILGLNLNLATAAECDCCVAARAKACCFACIAAGGSDTVCKNTCCFPCVLTDSVAAKMEEMGILAKMQ >Et_2A_016455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24905087:24909331:-1 gene:Et_2A_016455 transcript:Et_2A_016455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAPPELGQFDGWESSGEEERERWGWCRRSRRGSSRRRASPKGGEDTTVATGCCIRLWPIGSCPAPPRSKVDTSTSSASTHGRKSTENGSRNQPVASVVSGSTTTSNAESSSSASKVGEEIKVASQLRKFAFNDLKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLHHPNLVKLIGYCVEDDQRLLVYEFMPRGSLDNHLFRRSLPLPWAIRMKVALGAAKGLAFLHEEAGRPVIYRDFKTSNILLDAEYNAKLSDFGLAKDAPVGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPLLGERQRFYKLIDPRLEGNFSVKGAQKAAQLARACLSRDPKARPLMSQVVEALKPLINLKDMASSSYFYQTMQAERMAHSSSMNGRNSHGIKTQGSFARNGQQPMRSLSDGPRASPFRYSPKPNVK >Et_9B_066145.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:4831619:4832062:-1 gene:Et_9B_066145 transcript:Et_9B_066145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGAAAAEGEESDDGGVAYSDGYAGGFDRAADSYARYVERVPVPRRRAPPPPRRRASGHVRGVHAAAAVGGVRRDLPSFLAVTDDDDPFACVLPEFRALVDAIERPSESSPNTTYVNTFDAMEPEALASLRPCSHSCTTWTTTPSV >Et_2B_022439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21691275:21694786:-1 gene:Et_2B_022439 transcript:Et_2B_022439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSRLWIWLLNGSMEWVLKHNSYLKPTLPRIDLFDKVFFDEEFDGPWTLQEEYYDEDDDLVDVAENQVDWDSDNDDIAQIDDTVRSSSFGILGFHPYKKVMNNFSSSKVK >Et_3B_030392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3406565:3418991:-1 gene:Et_3B_030392 transcript:Et_3B_030392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRVVCGVGYSNSLLLELFVDRGLRVKLVVRFSMASSRKVRNANKRYAKINEDWQDKDDTNVHKSKVRKKKISDMLGSQWSKDELERFYGAYRKYGKDWRKVAGAIRDRTSEMVEALYNMNKAYLSLPEGTATAAGLIAMMTDHYNILDGSNSDRESNDSPKASRKPQKRGRAKFQSVSKTSDTRYPDLLQSQPASSSYGCLSLLKKKRSGGNRPRAVGKRTPRVPVSSMYYRDDRGVAERRAKADANNGDDEGAHVAALALAEVCQRGGSPQVSETHGRSGDRMFLSPVKSSDRKNADSEMGSSKLHGFHLDADYPEGSLGSREAETGDYTKGASFFMTNEGSASGKPQKKVKKSQKRRKKAARKTDDQFEDDREACSGTEEGHSARKAKEESDMEALGWPSTSNKRSRQLFFGDESSALDALHTLADLSVNILQPSSVVESESSAQIKDENKDDDSDEKPSMPAAVSVYEQKIGSKSTARKAKRQSETANTEMVTRKKAKLVKDPRHDGSSTDVKQQACTCGVKAERKKRKSSTAKISKDERNILKDVEKTEVSAEEGKVSSNKAVDIAETTTQGETTPQADLSSKGKSRRKLGIQQALTEECKPTKGTDDTGSDKFSYSVNNVVDLKDKLSHCLSSRLLRRWCMFEWFYSAIEYPWFAKSEFVEYLNHVKLGHVPRLTRVEWGVIRSSLGKPRRLSKQFLHEEREKLSQYRDSVRQHYAELRSGVREGLPTDLARPLAVGQRVIACHPRTRELHDGNVLTVDNNCCRVQFDRPELGVEFVMDIDCMPLHPMENFPESLRQQNIDNKYLSEVKLEDQMKELGSGGAARFTSNVNVNGADATFHIPSGHPIDTLMKQAKGDTINSIAQAKATVNEVAVAAQQAMYNQPCSHSQIQEREADIKALAELSQLRHMNEEVSGKQKDGETIRDLKHFRTQYAMVLVQLRDSNDQVASALLSLRQRNTYHGNPAQAYPTSMENGGSFTAPDPYSRFGYINPESGSQVIEVIETSRRRAKMMVDVAVQAMFKVSEGENAFAKIGDALDNLSSRGTGSGSSILGIRRIPPDSGQANTSYQDNGTPTPAALNSSSPRLPNGCDSEAQFPTELISSCVATILMIQNCTEKQYHPAEVAHILDSALSRLQPCSSQNMPIFREIEMCMGIIKNQMLALIPTPSG >Et_4A_033539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24246308:24253982:1 gene:Et_4A_033539 transcript:Et_4A_033539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIQVLLQFMSFVRFFFVTRALSLTYNSTCLLLRLTFFLTGFRICPLFRSAGARVRVHPDEYHSYVPYDRIAADPQVAQLLHNIPQRKVLFTNSDWAHMKRALERLGVDEAIFDAAVCFETMNPHLFGEERVNGDSFGEHLDDTLYPGNTGIGAVLKRNIDEFLVAKLGLTAEKAAAMRVELFRSHGSTLAGLIALGYDVHPDEYHSYVHGRLPYDRIAADPQLALLLQSIPQRKVLFTNSDRAHMKRALERLGVDEGIFDDVVCFETMNPHLFEEERVNGDVAGERPVVILKPAEDAIMAGLLVAGTDPRRTLFLDDSERNITAGKALGLRTALVGKKVRSKDADYAMESIGSLRLVIPEIWGSVAGEQPEIGMEKKGMRSELDSFLHPTTVQA >Et_7B_055534.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:13460747:13461049:-1 gene:Et_7B_055534 transcript:Et_7B_055534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPITKQCLNSLLNSLRNAPSSFWSATSTALTMSLNSASTSAPGPRMRCRADRAWSGWPRMTRLTGVSETKKAPMTTMAPGTAARPRERRQPHSMRLVK >Et_3B_027685.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:12448311:12448571:1 gene:Et_3B_027685 transcript:Et_3B_027685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYASRKNFHVDSYTCVLCSGDPMKSLNHLFFDCPFAEDCWNHKGNWNMNLDIPERIMDLVKGCQIYPSLRIDDGKLLGDLDSEERT >Et_4A_032168.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22003753:22003887:1 gene:Et_4A_032168 transcript:Et_4A_032168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIMLMFWSIWPTKNKGSQNRKEDLGLNLELLSILLKVAHDKA >Et_2A_016479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25142838:25144870:1 gene:Et_2A_016479 transcript:Et_2A_016479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSTPATKESGAGSSKGTRSRSPSSFAFQAFRAVRIGVLCGGIWVLVFGVLWSSEKSLCRDFGSAMSDATKKVRKPKPWKHPQPITVAQLRQMRDEFWDTAPHYGGRKEIWDALRVASESEVALAQAIVESAGIIVSNADLTLCYDERGAKYELPKYVLSEPTNLIQDS >Et_4A_033688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25868135:25870230:-1 gene:Et_4A_033688 transcript:Et_4A_033688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSIATSIFCSSSATTSKSAAVPRTIRIPLFSMHQYRPSLRPLRSSSVVRRSVQQEQEERADRASSVAVASGEQHQEEATSSRHVGGEDDEGKAPSGHVGGDGDGEEQRRKEEQQEVDWKSDEEFKKFMGNPSIEAAIKLEKKRADRKLRELDREPDANPVAGLFRGLAKDQLAREKQRLELAEQTFKALDLNKLKSCFGYDTFFAVDVRRFGDGGIFIGNLRKPVEEVRPKLEKKIAEAAGTEVTLWFMEEQNDDITKQVCMVQPKAEIDLQLEITKLSTPWGYLSAVALAVTTFGTIALMSGFFLKPGATFDDYVSDVLPLFGGFLSILGVSEVATRLTAARYGVRLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPAARTASAYLASIALAVSAFVADGSFNGGENALFVRPEFFYNNPLLSFVQLVIGPYADELGNVLPNAVEGVGVPVDPLAFAGLLGIVVTSLNLLPIGRLEGGRIAQALFGRGTAALLSFATSLLLGVGAISGSVLCLAWGLFATFVRGGEEIPAQDEITPLGNDRVAWGFVLAVVCLLTLFPNGGGTYSSSFLSEPFFRGGI >Et_8B_059691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20727478:20731221:-1 gene:Et_8B_059691 transcript:Et_8B_059691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEMVKAATSDKLKEMDWAKNIEICELVARDPGKAKDIIKAIKKCVGSRNKNTQLYAVMLLEMLLNNCGEPIHRQVIDNGILPILVKIVKKKTELPVREKIFLLLDATQTSLGGAKAKFPQYYEAYYDLASAGVQFSNQPNVIVTRAEVPVPETRTEPNKESLSTRLNGGQQQEVHTQPAPDTSIIRKASSVMEVLRDVLNSMDPRHPEGATDEFVLDLVEQCTFQKQRIMHLVMTSRLRKGKALSQDYADDSIPLFRSIPEDKMRCPLTIQPPQPDKRLGALNIRSPDSDLRPDPAPLIPPPPSKHAERERFFREKSMDGVTNLPGHLRDLSLHSRDGSSSCSGSTDCGD >Et_1A_004606.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22110110:22110400:-1 gene:Et_1A_004606 transcript:Et_1A_004606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWDHFLNESSIVPGYTKITLQETKDLSDIVISIMGDTPFPFDENTFNEMRILPDELPPQEPAIQIPMDNIDRKWRVGVSLGIMIGFCVVIGIYPD >Et_10A_000546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12492639:12494272:-1 gene:Et_10A_000546 transcript:Et_10A_000546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PAFDEHSKQAGVGVVIRDDLGHVQLTAWRFSSRQLVQRKLRPLLAEMAWRLQRTGVRNHPSWNLTAYPWLISQEASRATVKTGCVDVARDLLEGIVKYLKREQNWSFCTSVMSLRNWQSALIILLYGAWKHQFVLSKLLLGNVH >Et_1B_013331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7394571:7397022:-1 gene:Et_1B_013331 transcript:Et_1B_013331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIMGCGPSKWSDPVRSQRRPASVGEVVVFLPGLRAPRDMDFSQPLGDHLDKSVVERLSSLRARVVALATQESATALKPRRKHGGSGTANLLQALEEYLPVLLGLVKESSELRNKVQFAWTNQEDVAQETSMTDPWYEVLSVLHLMAMVCFLQANTLLLPRSYGDGQGPRVSEESRQATVDLFLKAAGYLDCAIHHVLPQISLEKRRELPVDLAEGNLKALSLQGLGQGVEMQLGLAIDNPKATLAVKRRLACEMVKCWRQVKDSIPELPSSDGWGRKHALFIKWKYVESKAAAYYFHGLILDEGETEKSHESSVAALQASEEFLNESKRASEAFHAAPPVSRSSAPFGTTKYILEMIPKDTLNKVQSYQELYPQERVSNVGTSRIITILPPLPDFALALSPEDYELPQSDPQWKEANNR >Et_7B_054659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3247925:3258807:1 gene:Et_7B_054659 transcript:Et_7B_054659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDMPTPAVEPKREQTSIVKVGREAWTQMFAVPHKVRLINILKNLHTSEVKIYSDASREFIELLDGESGGEVLREYVQESPRLVELVEAWRLHREKPGMAYIISLFATVLGHPDGRLRRHGLVKKNLDGVARMILEDKEKMGDVLLELNSGESRRQNAALDLLAAIVRRGGGLASEIAERFDFKMAILPQLAGTLKKKGGGRDGGHRRKVAETGSTRRSFIGFAMSFLEVGNPRLLRWVLQQKEVYSGVLRGIGNDDAETVIYVLSTLRDNVLVEESLVPPGLRSVLFGSATLEQLSLISGNQDAGVAADIAHEVLVMVCTDPKNGLMPSTNLRGNEKRLLDLMKKLKPTEVAHHKSLLLAIVSKRLSLCSAYMNEFPYSIEPRPSRSWFGAISLAADMISSAKCDGIIRTLSSNLHGLVVLKCIVPHACSRAVINRGLLHSDDLVKHGSLRLVFESVNLLCYVIEAINGMLSSVRVKSEVNGSTKVTVKIDSFPVLRFSDAADASLFDKVHQGDEMHVKRWISLREYIQDEIRGAMPDPQVLLKLLSSASQKHQNYSQSIRKNDEQHSEPPQKKRRCDASSEVDDIIIGGIDVEQDKDTPEDLDSENDHASILCEIWGLDKQDPKVKDAKVIDNVFHSKLFDVLRLYLKMMPSSFDGPFDFFRIIPPNPLNLSKEEQQSLLSLLLEYSGQPEGCWDPERVPESMYKHLQPLMYIMLHSQIKKTRDQAYILVKAAMASSGAFDLNFAEIDAWLVFLPGYEAKWCVNENLRVGASNKLSHIVTPFICDAISVIGNNLYKYQEHARKLITKSGKFEGCSPAFSPLIVCVLQKCLRLLDSESGSMKLHEKSIISLYVCNTIHLILQSQVDVRLLRDLLSAVLNERFDKFSSEEMNSMSCLAEWRPLINLLHFVRISDQQNYNLFATLEHFSEFDSNSLCSVTEKVEEMLSQPQIYSADDVATAFLFSVVCAPTKDIISGFPDLLAVVKTHFPCHLAFLSSVLYQQNDYLAKLLSYWPDMLCSIRLIKDDFNVDNVNTVEGKLQNYPVTAQSASMSAFLGVSPFCVLLPSALSLASSAPDDIREAYNNTLLRLLQHKLSVCSFSELTLDLRVILFWSHHLLSSYTVNCSSTLEQLCQLCSALVDSVFERIQVLTAETAHSKSAGLSSPVQHIRDILDSVLQHPVIALSLSCSLSSYQDLAYGSLNHLEEVLTAFSKENLHHVYRFVLNLLSKLYDLLLVVGSSEVHYTKDYGQSLLSLFATPKLLLESILLLFKEKFRLCMDKGDLGPLLPNFYMVRTLSKFMSPAKLLELANWMFAQLDNWCSTSSPAFVPTVLVCLYIADIAMEMLYCFLQQSDQRSASCLLWDLEIQNSDITAIQRAYHTILHFATKWNIEFADHCLLKMLGRIHHTERSAGWSTEYITFHMIVSTMAMNTPIDILHHCICPTSKVKAKAALLLLEASPMHMSLFGQIFLEVLKKDPSALQVKDYDSNASRAQEDGAILLLPVALSYLNSHTDGDGRFAEFLEPIPIFYCGLLLGNHGFLTWKSFVTRSVFEEDFSDFAPTSVKEAIIYFSGTLLGKSVTMLSSYFTLKDMSQKKRLEIIASIFPESSELLVSDVNDINPTAGQDTMKLANELFAKIALIKLLLSPRKSLSSELASERESKRLNKAKLNFISILVRTLDKIVRTFPWSDSTLTQFAKEQKVICFLEYVILKNIIELSSEIQTHLNQLKSIPFLDQFIRSSLLYRFSDPITTKAIRCILAVLSQGNVPADEILELILGHSNFVPAITCTGVSERSSACNTAGGLLQPCPSILKFIDPSFMEENKMLISVAEKRKIETVRLLRLLYDLKNRQQNNNLLNESRELVFLLLSVYGATLGETDLEIFHLMSEIESFECRTIAEVDHLWGSAALKFREELKLDFSKFDTHDAENVEITERRKALFRENIPVDSKLCAKTALQYCYKRSSRASAFSLEQLRQDSFADSFEVTSQRMDMAQIYDPMFILRFSIHTLLTGYIEPTEFSRLGLLAITLVSIASPDQELRMLGYESLGTFKKSLEASQKTKETWQLQLLLTYLQNGISEQWQRIPSVIAVFAAEASLTLLDSSHAQFAAISNFLMHSTCVNLQSIPLFPTLLRSSSVHFKAERLWMLRLLYAGSNLSDDAKIYKRGSALELSLAFCSSPVSDFESKVLVLKVLKKCVKLPVLAHQLVKECGLLLWLSSCISIHREGSDGVENTCSKVTELALEVVNDLISSRQITDWLQETALEQLSAISSYLYVLLVKDAKLLTGNVPLLTSVLRVITSTMRLSMKRKIYQPHFTLSLHGIFSMCQAIGGCSRSTELKLAIELGIDAILMNGPLPILSEMDKSRISMVVSRAILNIFWLHSNQSSVSEMSCEEPVRNESPLSKTLRWLVASVILGRISSISHEKSGDLGQNTNSLVTLRCLLDDAYVNVEMVDKCSANDTLAIIILYLQNHTRNSSDSLPSVVMALCLLLLDTSSNPAVNKYLGDNRGTIEMLCSKIRCPAECNPAWRWHYYQPWKDSAMQKTEVERMEEEQACRSLLIIFSNAFGAGVSDFPKLSLKDVEKCGLFQWERDSMSPIRSSSHSSVLTGGRTFTVSGTGRTLTPPFLHARWVPVKTMGTTGSLVCSAACTNPFLNGSSLPVRDRVPSGNRMSDRLFLTTARATSFMDATAAAGLERSMRRWLAST >Et_8A_056257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18838841:18839203:-1 gene:Et_8A_056257 transcript:Et_8A_056257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSPPSLCEYIEYIDIEQTPENIAHVYRVAERARRHWFDMEAEERKEEERRKMRQKEEERRREYEAERKQRQEAREAEKERARRARAAGPDAFRKGKYPRCIQ >Et_3A_027351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8523818:8528355:-1 gene:Et_3A_027351 transcript:Et_3A_027351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGHGAQLSSTADAGAMAAASSAAPTITFAFHPSPHHHPPASGLSHHHGVLGYSSCLVLDRPTTTTTTTSSSSSHAPPSTVPSPNLHHLHATASAHVSPPTRSCDERNQDKQRGKGAALTAGSGGAPALGVGAVRMKKSGSGGGKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHVHSPSRDEDDDAARANAEMSFIW >Et_5B_044215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21619982:21622406:1 gene:Et_5B_044215 transcript:Et_5B_044215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQGLLLALLATVAVACLIPAASAATAVEYCKKGKNYPVKVSGVEIVPDPVAPGQPATFKVKASTDKPIKGGKLVIDVKYWVIWAIPVHSETHDICQETSCPATGDFVIAHSQTLPSYTPPGSYTITMTVKGENDEELSCISFGFSIGFAASS >Et_3B_027670.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11571489:11571506:-1 gene:Et_3B_027670 transcript:Et_3B_027670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRN >Et_3B_029843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28481433:28484898:1 gene:Et_3B_029843 transcript:Et_3B_029843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTRFSVPLIATLLLALLATCHAGGIASGGVKVLLSIGGGDGSYGLTSVGDARQVAVYLWNNYLGGTSSSRPLGDAVLDGIDFDIELGGAKYWDRLARDLKSMGKNGGKAVLLSAAPQCPFPDEWDGGAINTGLFDFVWVQFYNNPPCQFDAGRGAFMDAWKRWESVPAGKIFLGLPASKDAADTGFVPADELTSSVLPLIKDSPKYGGVMLWSKYYDDRTGYSSAIKSDVLATSWPRSNPETMATRALSHFQLITALTVALLVTTSRAGGIAVYWGQNSGEASLSQTCASGNYKFVILAFVFQFGQGRTPQLDLSSHCATASGGCTVLSKDIRSCQRSGIKVLLSIGGGVGNYGLSSQADARQVAAYLWNNYLGGTSSSRPLGDAVLDGIDFDIELGSAKFWDNLASSPARRTPCRDLKSMGKNGDKAVLLSAAPQCPFPDQWDGGAINTGLFDFVWVQFYNNPPCQFSAGRSAFLDAWKKWESVPAGKIFLGLPASKDAAGTGFVPAGHLTSQVLPLIKSSPKYGGVMLWSKFYDDSTGYSSDIKSHV >Et_5B_045394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2098654:2102061:1 gene:Et_5B_045394 transcript:Et_5B_045394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRTALLLLLVAALHCLSGAAAKPRTRQGDYLNRLRGSPSTRRSWQTTAASLAVAPERRSKPAAAAAKAAPVGSKDADRVDKLPGQPDGVDFAQYAGYVTVDAAAGRALFYYLAEAAGVNASASNQQQAPLLLWLNGGPGCSSLGYGAMEELGPFRVMSDGKTLYRNPYAWNRAPANVLFLESPAGVGFSYSNTTADYSRSGDNKTADDALAFLLAWVERFPEYKGREFYIAGESYAGHYVPQLAHAILRHAASVKPASSPINFKGIMIGNAVINDWTDTKGMYDYFWTHALISDEAADGITKHCDFKSNGGSNKLCDDAMSEADDSLEDIDIYNIYAPNCQSAGLKSPPVTPSIESFDPCTDYYVDAYLNDPAVQKALHANVTRLDHPWSACSDVLRRWVDTATTVLPIIQELLKNNIRVWVYSGDTDGRVPVTSSRYSINQLQLPVEVKWRPWFSSTQGAGEVGGYVVQYKGNLSLVTVRGAGHEVPSYQPQRALVLVQSFLAGKTLPDCKQCEQV >Et_1B_014284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:516301:516558:-1 gene:Et_1B_014284 transcript:Et_1B_014284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLSNGASYRSVPELYTLPLDKRPGSTPSPNQMDAAAAIPVVDLGGADHGKIVEQVINAGREFGFFQIFGTSCLLD >Et_2A_015863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18480031:18494924:-1 gene:Et_2A_015863 transcript:Et_2A_015863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSTGAPIVQVYHEKSRILPDVSRVLACLYEKDIQFKTHTTSYKSLLKLQASTHAPVPFYDGPKFLEESREICRYIAERYEHHGYPFLLGKDALERASVEQWLHNEEHAFNPPSRALFCHLAFPLDKDDDDDINMHTRKLEEVLEVYEQRLSDSEYLAGNNFTLADLVHLPNSHYITASKDFLYLYDSRKNVRRWWDAISTRNSWQQVLMHMKRVEDQNKQEELKEQQLKRKNPRRTPGYPLRIYSRKQTTTEPRTILMHPIGTMSSSPIAPQTEKRLPTDIPDEAIVSSSQSSPTDHKTSVAQSKETPFKEKTPKKPPIPLQSTIAPATSPTTKYASSPELTKTDPPTRRKPSLSKDVSNKLHVSDYYEASSHTEEDTSYIKPTPQKASETLNTFSGSSTATGHTKTSSISAKEEPEQHSKSDFYKSNITATGVDSQDKDLVPYSERASQSARPTDAAASKLSTNDVHHKLQVQRWHAATAGLGNLREDADHIMPIQQVKSSKHFQQPTSQDSEQAASPPVPQESMNMEMVQGRGKISRRPYTDQKRDVSSPLPQQAGQGRGITEDDKAPPDQSSPLLHPSTQKHAATPPSWKEAAKEEHSKFPPQAGHRGGEDEETKISDSLLTSAKPMYTQRSALPPRRQDQVLHAQEKGTQSGVMSPEPQKVVERDAEDTHGERTTGTPEKQSWEPQQAIPQPRPAAAEDARGAPGNILDTTKKSRGSFKETKGRGSTLHTTRPLGAQDDPEYRTPAADERKDASTQVHSDAKDSFQQLKLPATNQKRDEKDLDATMSEEKPVSSGWLKEVKKSQSKAPKAHPADSQGSNKVEKTPFVYQKKPLFTQDSQEQAQIIPSGQKGDAAAPEHKEASYAPYTFDETLSATSPTIEKSHDEFSAEKPHKKDTADDQKVVPPIWHKEPTSQVQPTFGPSQGAVPRGDLSSKLDQWQSASAPLNDVTISSGGDEMGMPTIHQKLTPMSGQGRRSVQGANQMAKESSEKRVESIEPETSSVQRSSPSFPGASSAHHATTDERVKQPIQMQAPIPDAHSASGPTKRATPDGQFRGTRDPATFNEDVHHANLATHGIDKNTFRETNIADPTPPGAKPLYTQQPALTPSRHPEVEDAGYRGRSSKTIPDRQKMLERKTVTFGKQYSDPIKETHPSRWKESESTTPTAEQPKDLGSLSLEGKGAEAIMPEEKIFSTGRLREALKETESTTSKAQPSDYQSTIKEEKTSSIYQKRPLVAKDNQEQPQIITAGEKVDGSNLKHQHASNAPYTSDEKFSALSPARADIPAEESYRKDTTDDQKQKVVSPLLSQEPISEVQSPKPSQDAAPDGELSRKSSTIAQWQRASAPLKGVSTDSDDNELAMSSNIEQKPMPMSQEAPRISHRVNQMTLKSSEQTVEPPVQTGTDTSDVQHAAPSLPEAATADHTIIDDKLSDESPGKPKQMQAPITDARPTSVSTKKKTPDGHETSDIELSGSSEGQVLDAMKAALDPATIGEDSHDADIDEKTTAYDASGDQFAAGSLLEKARRHAPTHEATELLGGQTSKKPQLGGLLPAEVAHIERKSTPLDRKPHHAAQPLSPVVPVNLESKDSAPDHTIVQQVQSRPSAPITKGVPALDNLRVTRDIPPDNLRTHDSGKADVPNQEEQISHTSHSIPRKEDMTSVPSARDSPKSATQLPSAQVHEVTPDESSERQGSHVGFAFRPDELGPAELKFAPSDQGSGHSSEEPSSAEPRKDPPVFPTTEQIKALPTVMGQQRTPETREVPTLKGAIDTILTCGLHPSSTEPPRLSFSGQDSVYSEEPPPSMVPRNQVIGDTAASAPDQAKDLQTTLGRTLPPVRLVSPSSDTQLPSGKGHKDDLGEAKPIFQASAPDTQDEISTTEAAPDEKKFTLSEPTSSTEPWNKEIRDSVPSTQLISSTETRKESSIIAAPDQDKDMQTTLGQQDIPSAPVMSESRPSDIQHLSQKTQSDAPADDLGEAGFKPLAPVPQVRPPTVAPASAPVQNGGVRDEVLDKEKLAPSGQDSIHSTQPRNAYIGDSALSTQHISSTRPRKGDAAASSSPGQAKDSPTLLGQQDILPPIRSVSPSSDTQRPSNKGHEDVPVVGSGEAKTIIKASAPGMQDDTATTEGGKFALSVPTSSTEPRSEDTTDAAPSTQLISSTEARKGDATVGASDQDKDMQTTLDQQGIRSTPVKLASVYSDTKRPSQNALEDAPDNDLGEVASEPSTPVRELGPPTVPASAPVTQHGASRERTLDKPKFVPSGQVSVHSTQLKNGEIEDSAPSAQQISSTRTRKADSASSTPDQVKDSQTTYGRQDILSPVRSASPSSDTQRPLDKVHEDVPFDGSRETKPIVQASARGTQDDTATTKAAPDEKKFVPSEPTSSTEPTREDIRDATPSTQVISSTEARKGDVIISASVQDKDTPIILDQQRVWSAPVKSASPYSYTKRPSQDTRVDAPDNDLGQAASEPSAPVTEVGPPTVSAPATQHGSARDGDLDKPNFVPSGQDSVHSTQARNGEIEDSTPSAQHISSTSPRKGDSTSAPDQAKDSQTTHGRPDILSPVRSASPSSDTQRPSNKVAEDVPAGEAKPIVQASAPGTQDDSATTEAAPDEKKFVSSEPTSSTEPRSEHIRDAAPSISSTKVRKGYAFIAAPVQDKDTPTILDQQGKRSAPVKSASPYSDTKRPSQDAREDAPNNDLGEAASEPSAPLREVEPPTMVPASSLATQHGSARDAALDKTKFVPSGQDSVHSTQPRDGEIEDSTALTQQISSTGPSKADVAPSTPNQTKDSPKTFGRPDILSPIRLVSPSSDAQRQEDSVHSTRLRNGEIDDSASSTQQISTARPRNRDASSSTPDEAKDSQTTLGQQDRLPPTRSVPPSSDTQRPSSKGHEDVPANDLGEGKPIVQASAVDTAKAPLPGTQDASKKVQGITSDDIDTDESIPREGQISPGRHDSHPRERHATEPYKTTVDEKKTSSLSSKEYGLEYGSDLTRARNETHFPEHGIQKQPPSATQDISLDALSKAKSSGQGAKVPIASVSETQPGATQPQDEPAPVEKNFAISDQSAHASKLPSAAEPRNGETYVGPAEQMNVRRTIVGNQAAGQTPDAREALTKHEEPTRDDDNNGNLGNPVVTQEQASHHERASEEPTSEVRSASDLFDKTSLPPSQEQSSDTRPDSTPVNGGAHLTSAGVTATSTSQNQEAQPLAATQAPTTPSLQGSASSQSVHADSLENIETPRETSNNKVMSPVTSSATHSDSLKGEPGATPVQPPEEASFNSPGDEKSSTIQGDQKLEGTSKQEESKAKQQIDQSNTESPKDNKELNGDVRLNLPSTYGEVQKKPSWESEHQQQAGQASAQSPVGTIKQVEQSQTHGIETDDPEEREHQENTNQANRRASQVRALDSSGKQASGVQLLGENTKNAPNSTDDAPDDIQTRRNSEDNLRSYDESKVQQQPEGKSQGGLPENSYLNKSSQSQAEASDKSSEQSSPGIQNKNKSSSRLDGPTDSTKSGDTEDKSQ >Et_7A_053017.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:484462:484728:-1 gene:Et_7A_053017 transcript:Et_7A_053017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQGKRSMAGAEADSPASSCVSSDAEEEVSVPPAKPMVVVGCPQCLMYVMLSGEEQQPKCPRCKSPVLLHFLHADDDAKKKQAGGRR >Et_7A_051587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20832998:20839836:1 gene:Et_7A_051587 transcript:Et_7A_051587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASRRNGKHIILMVLNFVYAWWHSCDCLIYLPAMIFLFYHREIGGLHSAVVIPDDKILKQPVVEEKQRSQGKEVVVVEELDEELLEEPPGWLPDGWIMEAHGDDNGSIYRYYTSPISGYTFSSKVETLHYLFSGMDERFLESKACTEEDELNISHTWLPGGWVIEVRAGGKQMDKMYKILAHLEFSPDGLPDGWVKEVIFRRCNDGTRKDPYYTDPVSHHVFRTLRSVLTYLKSGEISRHAYMPRRSDTFAGLTPQSDSKGDKYETVKATDRKGVCSDTSKQPREGPNKTSKQTNESNLDCDMNPHKKKQNADVKIEVDMADGEDMPNEKTFEYTEKEKHTIVNQQVDNNSVGRNPSLNDHESMAASDLHEQENGKLSKASEKVACSTVHKFYMRRSNQILTSKKG >Et_8B_058937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11147467:11153606:-1 gene:Et_8B_058937 transcript:Et_8B_058937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWNALAPAATVAQLVGADAAGLVSATLHAVRTARRNRAECRSLARRVMMLGDLLQLVQAGSSETMRRPEVMRALEGLGDALRRAYELVESCQERGAVYGFVMAGRQAEQFREVQVEIDSYLVAFPMVSHIDVTIRLDRIYNVSEAVPDSTNSHFEQGASPRNRGNGLAGLFESEEHQISVIVSTASGEVANPVMTTRYKIVESHARMGDGLEVAIKSGSEQDLRRPSWRIGRECEHEFNVLSKLQHTNIIKVLGHCTEPEMILVYEYMPNGSLDTFICDILFHDPSCCRGSSLDWLSRFRVIQGIAQGLRYLHTQELCIVHRDVKPKNILLDVDMNPKIGDFGLATMMSSEKEILGAVGTLHYIAPEYARYGEVSAKVDVYAYGVTLLEVITARSIMANQTLLEDAWHLWETGRSLELLDPAVYKGNSEDQTTEITRWIQISLLCVHHDPAERPCMSDVLLMLGNKKAVPVPLRPDTARIPVLQNNGTCSSTEFTSPR >Et_7B_054960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:613810:615963:-1 gene:Et_7B_054960 transcript:Et_7B_054960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTTLLLGLLLAALTFVSAAAATTKASGGPVIGIDLGTTYSCVGAYRNGHVEIIANDQGNRITPSWVSFTDTERLIGDAAKNQAASNPERTVYDAKRLIGRHFADAEVQHDVKLLPYKVVDRNGKPHVQVQIKPGDVRPGGDPISGMVLSKMETAEAYLGRKVSDAVTTIPAYFNDAQRQATKDAGAIAGLNVLRIINEPTAAAIAYGLHHNQKNGDKEKNVLVFDLGGGTFDRAHHRRRRLRGPTATPTSAARTLTTASWTTSSASSSASTRRRRPRARKAAPRVRARRDRGALRWRRLLRAAHQGQVRGAQQRPLPQDHGAPVKKAMADAGLSKADIDEIVLVGGSTRIPKVRQLLKDYFDGKKPSSEINPDEAVAYGAAVQGSIVAGETDDTTKNVVVLHVAPLTLGIETAGGVMTSLIPKNTVVPTKKKQVFTTYQDRQTTVSIKVFEGERTMTKDNRLLAKFDLTGIAPAPRGKPEIEVTFEVDVNGILQVEAADKSTGKSEKIKITSEDRRLSQEEIDRMVREGEEFAEEDRKVKERFDARNQLESYVYNVRSTVEEAVREANEWLDENPDAVKEEYVERLKELEDVCNPVFSAVYQRSGGEDDTEDDGHDEL >Et_7B_053451.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:20287661:20287792:-1 gene:Et_7B_053451 transcript:Et_7B_053451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FRKSKNKPIIDSKPLPPQATFQGPYVNTGSHDIGPDLTNYPKK >Et_10B_002720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:143173:145693:-1 gene:Et_10B_002720 transcript:Et_10B_002720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSQARGRTRWSALAASALIQCCAGSSYCFGVYSPALKASQGYDQSALDAVAFFKDVGANAGVLSGFLAAWAPAGRRRPWFVLLAGAALCAAGYFPMWLAVAGIAPAPLPLMCVYMLLAAQAQTFVNTADVVTAVENFPDRRGTVIGIMKGFLGLSGAILVQVYRTIHMDASTFILMLAVLPTAITLLLMYFVDVHNAHERYNKRFLDAFSLIAVTVAGYLMIVIISDQVFMISSAVQSVCFLILLLLVMSPVAIVVKAQKSESIQHDESTLQQRIALLGEEASENSDCANSASTLGGSPDDQSADKENLNLLQAMCKLNFWLLFLAMACGMGSGLATVNNISQIGGSLGYTTKETGTLVSLWSIWNFSGRFGAGFISDHFLRQQGIGRPFFIGATLLIMSVGHAIISSGLPASLYIGSVLVGLCYGCQWALMPSITSEIFGLNHFGTIFNMVAVASPVGSYILSVRVVGYIYDMESPPDERACVGKHCFALSFMIMACVCVFGSAVAFVLFIRTRVFYRRVVYARLQLFLGK >Et_1B_010603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1284862:1286879:-1 gene:Et_1B_010603 transcript:Et_1B_010603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGMKKEIGVDHDALRFGLNAGVKADLVPVHPLQSTLQSETKFWADKKRFGTEAIYGSAFNIRKDLDAQILSRFQRPPGALPSSMLGYEALTGSLDDFGFEDYLNMPQDSDTLRQPDMHHGMEVRLGLSKGPICPSFN >Et_1A_005276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37503133:37504773:-1 gene:Et_1A_005276 transcript:Et_1A_005276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAALLAQPFPSSSSSSEDSDDAKLLPRPPEPEAASPPPPQHQEQQRPWQSLECHCNVLMKALSRAGDVDQVLDIFAELRRSASSAGAAPGVLCYNTLLNALAEAGRVGEVDGAVAEMEAAGVPLNVSTLNILVKLHAWRLAQFDTAYDLILKFQGKGVEADAGRLDEALGVLDLMLQEGCLPMVHTYTPIVQGYCCEGRIEEAKNLIAMMECAGCPANVVTYNVLIRALCSDHRFDEVKEILAESGTKGWEPSAVTYNTYMDGLCKKDMAKEALQQLDVMLGAGLHPTAYTLSIILNCLCHNSMVSEAISLLDRDTDLNWCAGVVAYNTVMSRLCDLSRWRSVLKLVTDMIKKGIAPNTRTFNILIRSLCIGGKSSVAKSLVCSQGFAANVVTYNTLIHWFYYRGKRSEVDGLIGHMDAAKIAPDEVTFTILVDGLCREENFEEAIQFFEMSLESGFSKDLLTVLINRLIHSKRLLDLLYIFTKMKEKGKGFPPDYSIFDSTIRACCRARFCHTKAGFVLHTVLETMLGF >Et_4B_039367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11983887:11986502:1 gene:Et_4B_039367 transcript:Et_4B_039367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVSGSKTARVDGIVGTEADDGSSRKAAGLGKSKTTSSKTKGLSRTVQLSGKKKRRARVAAGRSAGLPRLRRPLGRREARKTTDLPAGEVVSSFWSRIRFPDRLDEAVALMRRWNNFSRALLRLDGALLRRRRRARGAAVLNGGTCTGVMCWLLFVIEVMAVVKGLASPVKDAEKVGALRFVGGWTNLRLPATGVVVGGERRFRPDGAWGATPADWAISYGNCLDGGSVQGLRAMELPPVFGLMEAAGSLGVGEGWRWGVLPVLSKGTKGLSGATRVSKRGEAMAAMGSRYEVEVTVSSARDLKNVNWRHGDLKPYAVVWVDDGLRCSTRVDVDNGESPAWDDKLSVPLPPHARLDDAVLRVDVVHANAADGVKPLVGSARLPLRDVLDEAGGLGGRASRELRLKRPSGRPQDRLDVRVAVREPRRYYDPSSHPYPAPGTGYARDPYAAAGGGGYGSGAYGGGYGSGGGYGYGGSGGHGAAQPYTATWIFSASPPLSKV >Et_9A_062803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5082779:5086830:-1 gene:Et_9A_062803 transcript:Et_9A_062803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRCISLASVLAALLSVTAVGGCLDVGFYDSTCPTAETIVQQIVAAAFRNDSGVAPAIIRLHFHDCFVRGCDGSVLIDSTANNTAEKDAPPNNPSLRFFDVVDRAKASLESVCPGVVSCADVLAFAARDSVALAGGGGGAGYQVPAGRRDGRVSRAADALNDLPPPTSTAAELAASFAAKNLTVEDVVVLSGAHTIGVSHCSSFLERLYNFTNTTDGVDPALSKAYAFLLQSVCPPNDRFPNRTLFMDVITPTKLDNKYYVGLTNNLGMFQSDAALLKNATMKALVDSFARSEATWRTRFARSMIKMGQIGVLSGTQGEIRRNCRVINPAVNTTTGARDGSGSSEFTGTWMRPALSIVCSFSFKIFL >Et_9B_065413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4871273:4873962:1 gene:Et_9B_065413 transcript:Et_9B_065413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVASNGAFQGENPLDFALPLAILQICLVLLVTRGLAYLLRPLRQPRVIAEIIGGILLGPSALGRSTKFLHTVFPPASMTVLDTLANLGLLFFLFLVGLELDLNAIRRTGRKALAISLSGIALPFALGIGTSFAFRATVITDTRQAPFLVFMGVALSITAFPVLARILTELKLLTTDLGRMALSAAAVDDVVAWILLALAIALSGTATSPIISLWVLLTAAGFVASAFLLLRPALAWMARRCREGEPVREVYVCAMLGVVLAAGFVTDAIGIHALFGGFVVGVVVPKDGPFAGMLIEKVEDLVTGLFLPLYFVSSGLKTNVATIRGAKSWGLLVLVIANACIGKIGGAVATALLVKIPVREAVTLGFLMNTKGLVELVVLNIGRDRKVLNDEAFAIMVLMALFTTFITTPIVMAIYKPERASAPYKRRTVAGDGGGELRVLACFHTSRNIPTLLNLVEASRGTGTGGRRGGVALYAMHLVELSERSSAITMVQRAPFFNSGGDREGHQMVVAFEAFQQLSSVRVRATTAVSGLDTIHRDIIDGAVDKRAALVVLPYHRALQHDGSFASLGSAYHAVNRRVLREAPCSVAVLVDRGLGGHAQVSAKNVAFSVAALFFGGADDREALAYATRMAEHPGVAVTLARFRPDRPHSSGEETAADEAAVEAFKANVGAVKDGSVHFEDRDDAVTKEEVMQTIESLSSFNVFVVGRTPPTSPLVENPEDELGPVGSYLVCPGFRTSASVLVVKRYDPATNPKSKRYDPTARSPADTEDDERDVEIGGATVVPMSWSPPTRETA >Et_9B_064656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17434796:17441124:1 gene:Et_9B_064656 transcript:Et_9B_064656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSPWAGARPPARVCLLLIFVVLLIGRGGADGGGERGSSVYPAAIVYPHHSRQISWKPRVFLYQHFLTDDEANHLISLARAELKRSAVADNMSGKSTLSEVRTSSGTFLRKAQDPIVAGIEDKIAAWTFLPKENGENIQVLRYQLGEKYEPHYDYFTDKVNIIHGGHRIATVLMYLTDVAEGGETVFPQAEEFDDAQDATLSECAQKGIAVKPRKGDALLFFNLSPDGTTDSLSLHAGCPVTKGEKWSATKWIRVASFDKVYHSGGNCTDENESCSKWAALGECKKNPDYMVGTAALPGVDRSTNQPMEALKNRNAVAACMLLVVLVLMMVPRYRNEW >Et_4B_036167.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3575107:3575568:1 gene:Et_4B_036167 transcript:Et_4B_036167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARLPSESQDAAASSRLTANFPFFVAGSSSSSLHTYGTLSLRLERKRRSDKLLAICGSTSAGAGCSCGVPCLAAAAASPISSAAFSGAQPSSTTTVPP >Et_8A_058452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8121969:8123157:-1 gene:Et_8A_058452 transcript:Et_8A_058452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEDARSSTAPIISKVDLRGLAPGTQGWAEARAAVTASMEAVGAVLVSHDALGADLRQALFGRAMLEFFALPLDVKRRLVSGSVNGYIERSRRAGLPAYESVRIWEKTTPHGGARNLGDVVWPRGNPEFCETVGTFAKNMTGLERTVGTMILEGLGVRQEHVDAHHESVAHNVRLSRYGTLPEMGATDVSMQVHRDCTVLTVVVQHQVEGLEVQTEDGSWVPVPPEPNTVAIVAGEMLTGTVQSDRYSKILSCVQLVTNGRVPACVHRVRTPSNRERFSVQFVSMPKDGFAVRPLDELVDGDYPRQYNPCNFSEYVDFRFGAGAGRKLSDTLKAFCGTM >Et_1B_010445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10632923:10635189:1 gene:Et_1B_010445 transcript:Et_1B_010445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEPLLLPLRRGLFPLLFVLVVVLRQQSCAASGGGEPAEFEIPRDGTVVELDESNFDAAVRSADYLFVDFYAPWCGHCKRLAPQLDEAAPVLAGLPTPIIIAKVNADKYKKLRSKYEVDGFPTLMFFDHGIPSEYTGSRKADLLVQNLKKLVAPSVSILESDSAIKGFVEAAGLDFPLFIGFGVEESLIAEYGAKYKKKAWFSVAKDFSEDMMVVYDFDKVPALISHNPKYNEQSVFYGPFEGTFLEDFIRQSLLPLTVPINRETVKLLSDDDRKVVLTILDDETDENSPQLIKVLRSAASANHDLIFGYVGVKQWEEFTETFDFSKSLQQPKMVVWNRDEEYEIVEGSEQLEEGDYGSQISQFLEGYRAGRTIKKKLGRGSPTLLGVNALYILIFLVAVLLVMMYFAGQGEENIRPRAHED >Et_5B_043685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1480393:1482910:1 gene:Et_5B_043685 transcript:Et_5B_043685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSATTAGLRLAVVLTLLLCSATNATAWSLFSSSSKKTTPPQQLDGATDVVADFSTDGAAKDPRGARLMENARRRIAAPLATCWNEAYRRLFASCADIMDDKELQSRLAWHLSSCFQEDSGRPPLPTCDERSAMVSCRKRLSVSEDMVFLEFFLETNSLCHQLQAEAFKHSTERLVNDLARTSKSAHEKLEAIEEKSDRLLEESENLRRSVISIAKQTDDLAAASKAAGDQIGDVMEQSKAISNQSREIVDAQVELKAGQDAMKNAMDAGMARVEESYRRLGDGVEKLKEDTAIVGDGVRAVGDAMASKMGDLQRTADDIGSVAGRSLENQELLLDGQEKAMRGMNDLYSFQAKALEESRDTIQKLANFGQRQQEELLARQEEIRHAHDHLIQNSHFILEAQEEFRAKQANIFAALDKLYVLHNAILVESRFIKAFFFYCCIAFLIYMLTSAKQTFAIRGQLYFGLCVTIMLEIGIIKLGAGDFSCQFWVLSKVLLLRSVFLGAAVVQILHSIFTFKDYDVLNHNLLQTLVEKVRAIECNAVCGDKMYYPYSSGSDESLGNYSWIVDELQDDVDSKLDPDFVVPQKIRPVLLEEIGKNSITTCDSRRYNLRPRIKPR >Et_3B_030329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32277809:32280903:1 gene:Et_3B_030329 transcript:Et_3B_030329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLHLPRPPLPAISGSHLSSSTASAWSWGRRLPSAAASSASRLLCSSSPASPLDSSASPSIVGGLLDYLNESWTQFHATAEAKRQLLAAGFKLLSENDDWDLQPGGRYFFTRNMSCLVAFAVGEKYRVGNGFNIIAAHTDSPCLKLKPRSASFKSGHQMVNVQTYGGGLWHTWFDRDLTLAGRVILKAPDGSFKHKLVKVSRPLIRVPTLAIHLNRTVNSDGFKPNLETHLVPLLATKHEETTTNSDEKTSSSTKAAHHPLLLQILSEEIGCESDEIIGMELNVCDTQPSCLGGGNNEFIYSGRLDNLASCYCALRSLMDSSKVPEQLSNEKAIRMVAMFDNEEVGSDSMQGAGAPTMFHAMRRIVDSLIHQSMGEGALERAIHSSFLVSADMAHALHPNYPEKHEECHRPELQKGLVIKHNANQRYATSAVTAFLFKEIARIHNLPVQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIPQLSMHSVREMCGKEDIDTTYMHFKAFFEMFSDIDRKLSVDF >Et_1A_008687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9901024:9903605:1 gene:Et_1A_008687 transcript:Et_1A_008687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSAAAAEDDEYEICNDNGFVYKRRRGLYPDVARPSSQAAGPDLEAARLSRRRRALLRLRDKRLRELARWDAIEEKLAQPPAPQPTPPHAPPASPGPAATAAASSASASVLDDLLAQAEVMEANLKRVSGLCGQAKALSRAYEEDVVDGITALPVWGDPKELMIALQQSPDDPGTSDLDFRRDYQDEQNEGFHSRQGERVVEAGGYDGCQEAVGLSSHCRKTPAKLGDPSILNENRKRKGVTTPSDSKIVNKPK >Et_2A_016856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28868196:28868987:-1 gene:Et_2A_016856 transcript:Et_2A_016856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQSPLRRWKQFFATFDTVDAAITAPGQRGDEVLRQAKADVVHLLCEATDDDEAEELCQILDDVMADYLVALKSAPVMPRSLAPTGLAKAVGALREHESEKIRVLARDIVRRWRQSVESDLPEARGRVEELAKLSAEALSPTTPLPSSSAAPTTTTRRRQVASSDWSRRSETRRCPGRVLPPCPPRGSSGKATKRRRARRCRIVVIDAPKMAEQQRKMHPIIRERSQARSTKMAEQRKTHPIISEREN >Et_2B_018892.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:14186014:14187036:1 gene:Et_2B_018892 transcript:Et_2B_018892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGSTTPISTPPSPSGLSASATVPGVFSTDTISTLAGLPHPKSAPRYTPCRGDPPTDMGRSGSTDITLASHPSAPSSTPRSISRTARPIAAAAAATPSPGARRSAVAASTKHGRRQLPKYSAGSGGASLDRHSAEKSMWLRSAAPGPTTTPADPDLRRHTHASADAAANDDACPAASLIRSIWARDSRNEAITSSSSSSCGGGGGGGGGARRIIPARVLPRRSSGSATRERSSTGGGGDVADSGSRQAAAQVRTKCMAEASSQAEWWTETPRQRPRPGKTVARTAASANAPSAPGGGSCGTSGRIRARSLGSLPTRWSKSSGCSDSATTRLRPSAPWL >Et_1A_005172.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30754962:30755075:1 gene:Et_1A_005172 transcript:Et_1A_005172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IPGLLKIRHIGQTTVGSAAIFGLFLGAGSLIHCGKSY >Et_5A_042858.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:5691722:5692738:-1 gene:Et_5A_042858 transcript:Et_5A_042858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQPAEMAVKANEILARFRPIAPKPAMAVSPAAQAVAEGVAATSRVMCQLQSRPCRARKRGRPSLAAPAAASPASKRKRAAPPYPPLRCAAAAATDAVAATAHVSVVVPAGGGACVPIAPRPPSSEALKVVAPEEERDVPVERDLLRKLLEPKVISPRAVRPVGSTIRVESIHRRAAADAEDDATRAGATAAVPKTAAEVEAELEADALPAVVSDSSNRVRLVNDAYKEMVGQPECPWLRAVAAASRRISGEVVLAVVADPDHQAAATLPDSHHGGAFACTARIEWERDGKCASVSAPCDVSRLQCESRDYLYAWRFRTSDADASVSSRSRETSES >Et_6B_049066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17467876:17468729:-1 gene:Et_6B_049066 transcript:Et_6B_049066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELDLPRVRYFRYKGHFLHNRLLLKPHKPSSMVQADLHFTGPYKNGDVGTNIRAHFWQFVTQNFHMVKVLKLTLDFAIDRIAVVDDLLINKIFLNVQHLELQGRYKPAKKMAGELASVLPVVRDLNLKLTAIESAESSLQSSLGTQQAQLDFEKSCNHFRKPISSGRDDDDKTYDDEVSDVPGLSRRSFNCLQSCLRRVSLQFRMDVPNCFGVQLAKFFADNSQVLEELHVDDGSHKMCEHMNWKVGQWIAHSSKRKTPPTVVTFEPCPMKRQRIESQS >Et_4B_036207.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:10505537:10505797:-1 gene:Et_4B_036207 transcript:Et_4B_036207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ELITGFSDEKKEALKEIGFGSLLKFHPIRIDRVAILEIADTFDVKTGEYTIRGQKLKMTLPEVHHILGLPAKGPEIVELPKIKDDS >Et_10A_000191.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:16422821:16422952:-1 gene:Et_10A_000191 transcript:Et_10A_000191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLPCNKGKACRFKRSCFSEEDDAASSAMLLLACVVCAPSL >Et_3A_026961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28104383:28104818:1 gene:Et_3A_026961 transcript:Et_3A_026961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADADGNGTIDYEEFITATMHMNRMDREEHLYTAFQYFDKDGSGCISKEELEQALREKGLLDGRDIKDIIAEVDADNLHLYLCIIMYACLNAQDGRIDYSEFVAMMKKGNPDPNPKKRRDVVL >Et_4B_036223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11424247:11425269:-1 gene:Et_4B_036223 transcript:Et_4B_036223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLATSLLLVVGLALLLPSVCAAEPIKTTPTQWSFHLPLPEGVTGAESLAFDRRGQGPYAGVSDGRVLKWGGSALGWTTFAYSANYRKIPLCTASEVPSADTESVCGRPLGLQFYAKTGDLYIADAYMGLMKVGPDGGEAEVLATQADGAPFRFVNGLDVDQATGDVTEVMMNADSTGRLLNGDRTHVVVAHTAPCQAFRFWIKGDKAGQYELMADLPGYPDNVRRDLVGVRLDKDGVEVEELTAAKGVTLSDVAEKDGQLWLGSVELDYVGLVH >Et_3B_031511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3438149:3440009:1 gene:Et_3B_031511 transcript:Et_3B_031511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQCIMAKIKPKALLAQSKQKKGPSQIGVTTIVTYLAEAQQRLLWVSRGTDPQLCDCEKKCELHW >Et_10A_001951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1397233:1399485:-1 gene:Et_10A_001951 transcript:Et_10A_001951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRRRTPWWPRPLADSRGGGGGGGAAQDYIFTYNVLTATSGWIELPAEVPAHCRFYQRLHLAATSPANGTRLSLLVTDKFKISVWLLLSSGDDGACWEWQAVIDTETIVHSVPEVHERHRPHHPITGVDIMGIGARTGAIIFATAINVQPVKRSNQAANATGSPVLVVSSNSGSYRTRMASESGRGEFAAAEATLGRCPLPADLLLEIVARSDARPLLRVLQIVHQRLPPCFSLVHPATPAAASLSETRLAPSLRRSSGAGRLVGYDALSSRNGLVLLRRTWQETMMCVYDPMAGKGTFFPGPPDSTTRGPDFRDGSSDYEKYVPLTPEDGVAGCSSFLLLAADFSGLQDGSRAVTVRTFSPSDSDGRRRMEPGHLC >Et_7A_050830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11332629:11337674:-1 gene:Et_7A_050830 transcript:Et_7A_050830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYVYVLEARGLPARRNGELFYAKVALGKTQRFRTRAVEPGTSGAAAAAAWNEEFVFAVGAEEAASGDEVEVAVARRRDGRRGREVVGAVRLPVPAASSAAAAPGERRSVPPTWFTLQPPAELSRRKGANSDEDDAAAPDCGKILLTFSLYGERNDHAVIHQSPCSSSRSDTHTEIDNVEDSGCSHGMADSPRSRVSEQISLDNSDHSLQTDSNSIAEDDDLVETSPATANGLSDTEAMAPDASFEEAMEVMKSRSTPDMPEDLDSGIVFEHTYLVDSKDLNHLLFGPDSQFSKDLREHQGTMDYEEQPWTWKSKDPPSLSRTCQYTKGASKLMKDVKTTEEQTYLKADGKNFVVMTRVRTPEVPFGNCFVVVLLYKIIHSTGLSSGEESTHLTVSYNVEFLQSTMMRSMIEGSVRDGLKENFESFGEILSRHVKLADSVSMDKDQLLAPLQAEHQSDIRLAYKYFCNFTAISAVLIAIYVLVHILLSRPGPVMGLEFNGLDLPDTFGELITSGVVVLLMERLLNMVSHFVQARVQRGSDHGVKANGDGWLLTVALLEATSLPPVSSGSVDPYVVFSCNGITRTSSVQLQTQEPQWNEIMEFDAMEEPPAVLDVEVFNFDGPFDLAISLGHAEINFLKHTSVELADIWVPLEGKLAQTCQSRLHLRVFLENTKGPETSMREYLKKMEKEVGKKLHVRSPHRNSTFQKLFGLPHEEFLIADYACSLKRKLPLQGRLFVSARIVGFYANLFGHKTKFFFLWEDVEEIEVLQPSFTTVGTPSLLFTLKSGRGLDAKRGAKSQDKEGRLKFQFHSFASFSKSSRTILGLWKTKSSAIEQRAKMEEDHDDDESSIDIDDVQAVLSIGDVPLSKEYTVEHPINAHLLMGVFDGGPLETRTMSRVGCLDYSATPWEHARPGVNERRVSYKFNRYMSIFGGEVVSTQLKFPAEDGDGWSIHDVVTLHNVPFGDYFRVHLRYNVLSVASEPASCRCEILVGIEWLKSSKFQKRIARNICEKLAHRAKEVLEVAGKEIAPAVSG >Et_3B_027620.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:32407875:32410543:1 gene:Et_3B_027620 transcript:Et_3B_027620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PFGKQVHGIAVKLGLPVNLYVGTALINLYAKSGCMDAAMQVFDALPEKNSVTWTAVITGNSQTGQGGVALELFQKMGLEGVQPDRFVLASAVSACSALGSLEGGRQIHGYAYRSAAEMDASVTNALIDMYCKCSRPSVARRLFDHMVNHNLVSWTTMIAGYMQNSFDGEAMAMFWQMSRAGWQPDAFACTSVLNSCGSLGAIWQGRQIHAHAIKANLKTDEYVKNALIDMYAKCDNLTEARAAFDALAEGDLVSYNAMIEGYARQGDLAEAVYLFWKMRYCSLRPNVLTFISILGVSASHSAIGLIKQIHGLIIKTGTLLDLYAGSALIDVYSKCSLVDDARAVFSMMHNRDVVIWNAMIFGYAQNEQGEEAVKLFNQLRVSGATLNEFTFVPLVTVASNQASMFHGQQFHAQIIKVGADINPQVSNALIDMYAKCGFIKDGWLLFESTCGKDVICWNSMISTYAQHGRAAEALRVFQLMTDTGVEPNYVTFVGVLSACAHAGLLDEGLCHFNSMKTKYGVEPGTEHYASVVSLFGRSGKLHVAKEFIERMPIMPAAAVWRSLLSACHLFDNVEIGRYAAEMALLVDPSDSGPYVLLSNIYASKGLWADVQKLRLEMDYAGTVKEPGYSWIEVMKEVHTFIARGKEHPQADLIYSVLDELTSLLNDSGYLADISSELALLGENG >Et_3B_028320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13296183:13300999:-1 gene:Et_3B_028320 transcript:Et_3B_028320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYYDIDDILMEEEPISVVFQVTANRVGLLDPGAESNCVEKGAKVDLPFWLAHGLLSLDQAVSMNVPPCFTQKTRKEIQADAACVDLRIRCPYFYGLGCKIVPLVSDKSIGLFLRYAFTSRYKDILSKSHSSSLMTVPKFVPPLTKEETKVFESARESMTAFKKWRVGGVRMQKASVLGRKRKTKLPDGTT >Et_2A_018347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24181734:24184048:1 gene:Et_2A_018347 transcript:Et_2A_018347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAFLSSLRSRLRSPALQSPHPRLQPTRGYHVELGAREKALLEEDVALKRFKSYKNSVKQVSKIGNVLTLAVAVACTYEIAVLATSSK >Et_2A_015215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8202957:8204204:-1 gene:Et_2A_015215 transcript:Et_2A_015215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLHDEDDATKLPTSAVGHTHKPSGRYVVAAGRSGEEDGLCQAITAGTLQSRVTYRVAGWVSVADGSHPVRVGIRVDDGSHVVNAGAVCAEAGRWAEIKGAFRLKESPRGAEVYIHGAPAGVDVKVMDLRIIVADRKARFAELKEKTDKVRKRDVVLSFGSSALGASVRVVQLDNGFPLGSCINGSVVQDPGFVEFFSNHLDWAVFENELKWYWTEAQRGQLNYGDADRLLDFCDRAGKPVRGHCIFWAVDGAVQQWIKDIGNDRGQLMCVVQDRMHSLLTRYAGRFPHYDVNNEMLHGRFFRDRLGDDVAALMFREAARLDPQAKLFVNDYNVECANDPNATPEKYMALIDDLRRGGANVGGIGLQGHVSNPFQTI >Et_9A_063567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7511228:7520015:1 gene:Et_9A_063567 transcript:Et_9A_063567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSLCHDFEAGLPVADVWEIYGSLLIGKSAPQLLPDVFSKVEVLEGDGGVGTILLVTLTPASGENNLDLRTSLTYGWYKELIIKVDNKNYVKELEGRSPVETILGSGPPLPLTKIPKPWHPNSRSIQPSTSLASISHLRKRKTQCPPGVLKFNRQRQQKLAKPASQPILTSLGFYCFIVMGASFHISRGGFATAQTNKRMKRFVQTGTKIDMERSKIMDRTSRTYHCCREIWMTLYGTPPYEERKRRCHLQHSDASSA >Et_4A_032249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26361441:26362412:-1 gene:Et_4A_032249 transcript:Et_4A_032249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVAAFGSKIIAMHPRNGLHDDKDFVPVFDVGTRTFSFCPRPEIDRGGRPLYISIGDDKLFAMCGALQVLDQPPPDDIVGQWCDQSWSTLPYPPFDSVFFTSYATHPDGRSFFVSVGNLTAPANYSFHVDVGKRMGWRAVHGWVLPFNGQAHFGPKLDASVGLSRYPDAVGHVCACNLASASSSTASDGKFPGRKVSKEKLFSEDPAERHVGATLVYMGGESEFCLVQCIWTEYKHVAQRIHKREGTGSLQSWCYLFRLTTFSLKYDENGDLTTGTSRRVRYYRVPDGTTQFMLKFPVAFWM >Et_1A_007896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39289137:39292192:1 gene:Et_1A_007896 transcript:Et_1A_007896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGPRIGADPVGSGPPPPKELDGTGTSSGGAAEGSVSQPPADRLGDLSGILPPSGEGKACLNPCNQEVPQSTAEGAMQFGDRVHLPQDVQAEAACLTLGSDCNAAPFDKVHGMDSMALGKEKTGTDLQLKPDAERDENRMSAGHLGLDLNIVDSSDAAETNPFFPYKKLGQSKVSDPSECGSTTGATEESESHRKWREMKQNGFLSSSHANVVVPRPRGRPPKRKRDDELKKGIIAQNSQANKFMKVAAPSGLLSGLNPGIINHVRNSKQVYSIIKAMVHSEKLDNENQPVCTSRTGERGKEVSERIHDQKYESDMMKYHFMMKDNTASFHQGLPTASQFFPEDGDDLKLQLSSAVTMASDRTCSTSADGLASNHDYMTSLSVKAASVASQWLELLHQDIRGRLAALKRSRKRVRNTLNTELPYLISTEFSSNQENESSIANSSEAGCADKAVSDAHVARWRSLFVQMDKALQEEGKHLLKNPEFSESEWAVQAAAASIYSTCSMVMRTEN >Et_2A_015348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11455149:11455916:-1 gene:Et_2A_015348 transcript:Et_2A_015348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGDSGRQTQHLMRLQLPMPMISMPLISILSQLGQKQMNKCLTKLQHDHQNGVIENIAPQVDQQAQMGPGEAFLELADFVNGNAPDNSHGSQHLEVPNLINAEDEEVEQPINILEHVALDGEEVILALAAALRAPEAFIPEDVHMDEFIEMNDFAVAENENMQIDLNLLRENEQHNQALQGIQLNLPLAPQQNPSKDELADHQPDNGETILIMERPLLII >Et_2B_019019.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24494563:24500814:-1 gene:Et_2B_019019 transcript:Et_2B_019019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFPREAADLLGLVLQEDAHGLGEVGGRQRGGRHGNGLERDEVLAHELVEDLAVILVVPRIVAEELGDLRLGALKHHGHSKLVLGEAGRVGGLDGAVDGVERGEEPLPPAEGAVRGH >Et_5A_042878.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6123976:6126258:-1 gene:Et_5A_042878 transcript:Et_5A_042878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNARLFSHLALAVFLLLAQLTDSALVPKSRNQHELKPRTSNTYIVHANHIAKPPNFASLDHWYHSLVATHSPRAAANTSGRILYTYDTVMHGFAVELTGDEAQSMSSASGVTGVHKDRVLYTQTTRSPGFMGLDPLTGAWNETDFGDGVIIGVIDSGIWPEGASFNDRGLGPVRPSWKGKCVHVDGFNASLCNNKLVGAMAFDAVARLNGAVPSPRDKHGHGTHVASTAAGAVVPDAGMMSMFSRGTARGVAPKARVAMYMACGDHCFGADIVAAIEAAVKDGVDIISMSLGSYPAPYYLDDLAIALFGAERRGVFLAMAGGNFGPTASTVDNSAPWMTTVGASTVDRLFPANLTLGNGVVLAGQSLYTMKAKGTGMLQLVSSESWTPDQVMGKIVVCMGATDRDGVDLQNAGGAGMVTVDLSEWSRDGAEAFPFTLPGLTLSVDAHEKLKDYMASTPNPVASFSFGCETIVEENRAPVVAGFSSRGPNPEAPELLKPDVVAPGVNILAAWAANVPLSGGRRTEYNIMSGTSMATPHVAGVAALIKKKHPDWTPAMIRSALMTTARTVDNMDHDILDNGVTSDRRESVRSATPFAAGAGHVRPIHALDPGLVYDAGERDYLDFLCALNYSAEQIRLFAPDFVKCTRTLTGGVAGLNYPSFVVAFDNGTDVRTLTRTVTAVSEKAETYNVTVAAPERVKVTVTPATLEFTKPNEKKSYTVEFRSLAGGNATAGWGFGHISWENEDHRVRSPVAFQWKN >Et_8B_059639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20057862:20059652:-1 gene:Et_8B_059639 transcript:Et_8B_059639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLGQLMTRLHLASRSRSTAAATSRSDVPRGHMAVYIGERRKRFVIPTACLSHPTFVTLLKRVEDEFGFDHRCGGLTIPCASEGDFADIVGGMDARKRFVIPTACLSHPTFVTMLKRVEDEFGFDHRCGAGGLTIPCASEGDFADIVGGMDTHHQH >Et_1A_009626.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:9853788:9854279:1 gene:Et_1A_009626 transcript:Et_1A_009626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASANSSATVACFLLLLALAVVVSAGEELYHKLALVRTCSNCCRLHDVRRPTHTERVTYGDVESNMLCCWSTVPGSTRGPAAAPSPSAELHKADDVVVVVQPVSTERRRCDSDKRWNEQLCRNVCGMFKFYGHEFNLPAADAGGAPSCCCCPGGATCTQL >Et_7B_055518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12960396:12961177:-1 gene:Et_7B_055518 transcript:Et_7B_055518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLASQYARSVRCCQTGFSSTWFTAGGIVATARTFSRCLVVKLLTPIALASPRRWHSSMARHTPSKLKGSASSLLFGNAGGPAFRHTGQWISVSRRDGSTRSGWHCEPHSLDVTNSSSRDCTSPRRTASATASPSGFSVRYMEAVSKWRYPTSMAASTAFLVFSCTIGNAAVPMPTAGIGRALPDCRATSGTAVPFEAMDGARPHFRSAARR >Et_5A_041089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18156499:18162909:-1 gene:Et_5A_041089 transcript:Et_5A_041089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLVCMSSLTLIFYICAYVFQLVTAGRQQRLPPGPRPLPLIGNLLELVGGLPHRSLARLAARHGPLMTVRLGTMAAVVVSSPSTARELLRTHNIVPTGTAPTPSSSSRWAPSGTPCAGQDGDRMRPLLRDAALDLVHRVAAAELPVEVGRAVSTAAMGVQWQAMFLGGLDDGDHDDAVLLDAAREAVALSLKPNISDLFPALAAADLQARSPFNITCTRRFARRVGIVYRRIDKQIERRMQGWRERRGSSGSSGDKDLLDGMLDALEQGNDGGVLTSIERDMMRTFLTDIFLAAVDTISSMVKWAMAELLQHPHTLSKLQAELRRETLRLHIVVPLIPNKAEETVEIHGVHRAGQHMGGAPRRRGVARAGQVHAGEVPGGDRRLPGDDGVRPHPVQRRTTCLPRPALATRMVHTMLGSLMHRFEWALTPEAKENGVEDQEHDGTPDAKVYPGSGRKMRNTLRPALYKSD >Et_1A_006292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2053678:2072518:-1 gene:Et_1A_006292 transcript:Et_1A_006292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQMEEASTAAGSTPFQLQFDKPIPFQVSSPLPVPPPTLRKMPCELIRMAEWNPEKDLLAMVTDDSKVILHRFNWQRLWTISPGKCITSICWSPDGKIVALGTEDGLVLLHDVENGKMLRSVKPHDVAILCLNWAEEDPLSRSDKDDMLSYEDRTTRFFPPAPVMPRIGGLNSGDSGLADENEEAIQEFSSASCQRFNILCSGGKDGCICFSIFGIFPVGKININKIPIHVQSSVKRSCYQLQDASISKVSLSKNLQKLVLLCSGKLIDTDNISRSNGASVGLHCLHLDTSIFFNRKNELHQVSQQASSIQDLVEVVRASISLMSKQWSSAMNLFHEKFSALPSLIVANGAESSSDDEFLSLLFGTRTSPALHQFLASSLGEAGLKRVAKTIDSAGREIRGVVSEHLQPAVEIISFRLAELRGLARWRSRFQNIGLDEKLIDGVTESIGMLVVQVERFSRVAATVVYLFQNFFTWVLKCVKILLNEPTDQVPAANSELVVIFLKFLLDKDPIKQLLEADERFECDMDTTKYIEQLVVFGGFTDTQFLERSLAKQFNELEESLKQAFLMPFTTISSQIHCQGLYPLYPLTSSVSLSSTHMPASISFYKDEDSQHDGSSYSLTDYVCFKIPDGSLNKRNTLGVIKNFCDCCSALSTRSLSGFLLHIPDEYECVDLSLYKDNQVVLLLSERSCSDSTGRSWMVMLQTENFSFTPLSTNTYSLQELVALDFQLDTNYGKVRSLPHTVSTPLASVMEEASTAAGSTPFQLQFDKPIPFQIRMAEWNPEKDLLAMVTDDSKVILHRFNWQRLWTISPGKCITSICWSPDGKIVALGTEDGLVLLHDVENGKMLRSVKPHDVAILCLNWAEEDPLSRSDKDDMLSYEDRTTRFFPPAPVMPRIGGLNSGDSGLADENEEAIQEFSSASCQRFNILCSGGKDGCICFSIFGIFPVGKININKIPIHVQSSVKRCCYQLQDASISKVSLSKNLQKLVLLCSGKLIDTDNISRSNGASVGLHCLHLDTSIFFNRKNELHQVSQQASSIQDLVEVVRASISLMSKQWSSAMNLFHEKFSALPSLIVANGAESSSEDEFLSLLFGTHTSPALHQFLASSLGEAGLKRVAKTIDSAGREIRGVVSEHLQPAVEIISFRLAELRGLARWRSRFQNIGLDEKLIDGVTESIGMLVVQVERFSRVAATVVYLFQNFFTWVLKCVKILLNEPTDQVPAANSELVVIFLKFLLDKDPIKQLLEADERFECDMDTTKYIEQLVVFGGFTDTQFLERSLAKQFNELEESLKQAFLMPFTTISSQIHCQGLYPLYPHTSSVSLSSTHMPASISFYKDEDSQHDGSSYSLTDYVCFKIPDGSLNKRNTIGVIKNFCDCYSALSTRSLSGFLLHIPDEYDCVDLSLYKDNQVVLLLSERSCSDSTGRSWMVMLQTENFSFTPLSTNTYSLQELVALDFQLDTNYGKVRSLPHTVSTPLAVSASRGVACVFSSRRHALVYILDEDEDEEEDELSDME >Et_1A_008680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9834899:9837862:1 gene:Et_1A_008680 transcript:Et_1A_008680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLAEMPRAPLDRRPRKRQRMGWDVGPAEFQQMGLCGQEVANAISAVALGLSSGVVTSQGNQGSPPLREDDRDGHYVFAVGDNLTPRYKINAKMGEGTFGQVLECWDKERKEMVAIKIIRGIPKYRDAAMIEIGMLEQLGKYDQSRSSCVQIRNWFDYRNHICIVFERLGPSLYDFLRKNNYRSFPIALVREVAKQLLECIAFMHELRLIHTDLKPENILLVSPEYIKVPDYKVSSRSPKEGSYYKRLPKSSAIKVIDFGSTTYDQQDQTYVVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHMAMMERVLGPMPHHMLKRADRHSEKYIRKGRLNWPEGCTSRESMKSVMKLPRLQNLIMENVDHSAGDFIDLLQGLLKYDPASRLTAEEALRHPFLTEGKDRRR >Et_9A_061534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13353938:13356771:1 gene:Et_9A_061534 transcript:Et_9A_061534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKLPFCDNNPYMQRIWDDVDWSFEEVIEELPFTQFSVLELDVETGVHGFGPLVLHLLQIRPVRKLEVSLKRAGQVSCPLDCPCDRHSNWRDESISLTELKVVKIRGFEGEDDEADFLNVLFRCAAVLKRMTVEVAAGGYSKTGDDVREEDRISNLADDLLLDILARLDCAKEVARTNVSCPLDCPCDQHTNWRDESISLTEFRVVQILGFKVEDDEADFLKILFRCATVLKSMTVEVAAGGYNKICAICEQYPHVKCDITARR >Et_2B_020967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25359332:25369442:1 gene:Et_2B_020967 transcript:Et_2B_020967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRCVAAIARTVVAFLDAILVSCFLSCFRPRPRPGSSCRVRGTPNPSFPSVTLIGSDRGSDPWGVFVVHPFLGIRKRRCFGMMSKVVGLGLGSTGRLCEGLAADDGIDEDELRHEANYLKLCGAISETPAELQNKFHEISLENTDKCNSMPTSEPATNFTLQFEANSSKGCSGEKHYSLSPELTVEDSQPIENKLHHSELSNDPKGRNVGADSIKTRKQKSSSSVAEPGSSPDDNASHRKKEAQSKCQIDNVELDAELPKSNSDEKHAASSLSHWLRPSMDVENQGDAKCAVGDESYVECNLLTERPVFVASNLNWDAEKPTPRFSKIWNGNGIPNTTTRYKEDQKVTWHATPFEERLLKVLSDEDVRPARITEAASLKNSKGSSSAKFQEASEIALWQQEKLQILAHMIVSKVFRFEGFTIPTDNRTNKESNCGAIEQLSLLHGKYSPTSFRAEVAPE >Et_10A_000893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18801123:18809611:-1 gene:Et_10A_000893 transcript:Et_10A_000893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSWLRTNLTEFARVWSSSMMENVEALDVLPDAAAAGDEAKPLPVRAEPGTSLAAGGGEGSLKEEQGSNTSFLPFSLSIRPNLPQNLGLLSDAAIAMTAASSDSSNSKRPLVSSLADPSPPAKLQRSSEPDPAPAENTDGAPGGGEAAGEGSEAMAGLRNPRAQRYLVAVEYVGTRFSGSQQQPNQRTVVGVLEEAFHRFIGQPVSIFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLPAHEPGVVKRAVNHFLQKNEGDIMVTDVRCVAPDFHARYKALERTYHYRLLSGPEPPSVFEKNSAWHIPEDLDIEAMKKACSTLVGHHDFSSFRAAGCQANSPMRTLDELTVTEVFPFMFFPSSLERSEMELSDGSLVRLMVGLLKSVGTGNLTTTDVERILNAKAVTAAPPMAPACGLYLANVKYDLSCDWTSFQF >Et_9B_065582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7467071:7474391:1 gene:Et_9B_065582 transcript:Et_9B_065582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSVECLSLPDASMDVDDGDGHHHGHPHHHLGLPLHPAHIVSATGRVFPKANAAGAGAVVAAAGALAGAAGGGPPATSVHELLECPVCTNSMFPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEAQCSFRPYNCPYAGSECAVAGDIPFLVTHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENESRNYTYSLEVGGNGRKMVWEGTPRSIRDSHRKVRDSHDGLVIQRNMALFFSGGDRKELKLRVTGRIWKEQTNPDGACIPNLCS >Et_3B_028011.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:31560334:31560960:1 gene:Et_3B_028011 transcript:Et_3B_028011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEVNLSAEEEEEEEEEEFDDDDGADEEFDPTEDELVLHFLRPQLRGFPPRVSGAVVEADPCGAAPWDLLARYGLRDRGHFFAARVRGRASVRRSVGGGAWMHSATKSGRSVSELGLVVRWSRVKFCFYVQQRSTGWVMEEYEITDPRCYRRDDDGEEDAYWVLCRIRKSRTTAVTAAASPATSSLRKRMLASGCPMGVEAERFLRF >Et_6A_047013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24015015:24015681:-1 gene:Et_6A_047013 transcript:Et_6A_047013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAGVLLAAAVACAAPVPCAHTSSTPTSASRTSSACGGSCSSSRQRSCAGSLFTCVARLQFFHHASGIEAVVRAVLQPTRDEWPLMPPCWAPPSPSGRCEDVSIHPRGQSRSSPTASAGARRLADLCSRWPFASTQLRPFLVGLGTLVSTYNTEVVVPLRVCAEGASLGMTVNRMGGVRRREHAVHFAMTKCFFVYASAAVVPCMFV >Et_10B_003911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7403731:7408360:1 gene:Et_10B_003911 transcript:Et_10B_003911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKQGGCRLGYALCSCDADDLIQPQQPVMLARTHTFHDHDVFDEIPSWVGCLPKTRRRQWKGTRLVVNSSWKNPSQEGHVGCKLVFELFTDTLTSRLKNERKKIWDKENQEAISDTLKQLNEFEKKHAKTDDSKLKKDREDLQSRIDYLRKQAESYDDRGPIIDIVAWHDGDVWRVAVDTQALEDDKTCGRLADFIPLTNYRTEHKFGIFSKLDACSFVTNVHDEGNLVSIVTDCSSHATHVAGIAAAFHPDEPLLNGVAPGAQLISCKIEDARLGSMETGTGLVRALLAAVEHKCDLINMSYGEFTALPDYGRFIDLANEVIDKHRIIFVTSAGNEGPALSTVSAPGGSTTSVIGVGAYVSLAMAAGAHSVVQPPAEGMEYTWSSRGPTVDGDIGVSISAPGGAVAPVPTWMLQSRMLMSGTSMASPSACGGVAFLVSAMKAESIPVSPYSVRKAIENTAASISDAPEEKLTTGHGLLQVDRAFKYAQQAKDLPLVSYRISINQEGKSSKALSVYTLFNLLHIQLSDL >Et_7B_055265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8923477:8928079:1 gene:Et_7B_055265 transcript:Et_7B_055265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPLDEAKSKTVLRQVEFYFSDSNLPRDGFLRRTVEESDDGLVSLALICSFSRMRKHLGLEGDVKPETVPGETVLAVADALRNSSALRVSEDGKKVGRATELLKPDEVIEQVDSRTVAVSPLPHNVKLEDVESFFSKYGKVNSVRLPRHVSDKRHFCGSALVEFSEEDEAKGVFKNKLVYAGADLEIRPKKEFDAEREAKREAHEKSKNSPEGYPKGQVLAFNLKKISGDGDIVQNGADKVDDTNSADKGASNTTVNSSVEHEEISENNKDMSEEKSDNDQDIIMREDIKEEFTKFGTVAYVDFRRGEDSGYIRFEDSVAAEKARAFAALADEGGFILKGHIVTLEPVTGQAEKDYWSAIRGRHRKYKDSQNNKGRDGQSNRGGKQFGGKRGRHFDSHERTSNKAQKV >Et_4A_035643.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:32230172:32230861:-1 gene:Et_4A_035643 transcript:Et_4A_035643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALPRALLLFLLLAATAWADEEASSPISLPPASAPTSLLRKDSAADSDVSTPAPVSQPPTSSSAIASSPSASSPAPSPSAAADAVKGSPPAPPKTSPISAPTVSPAHAPSQEHKHKHSASTPAPAPAPAAADKKADDDDQYKKEHKKKAPAPAPVAAETIKAANVPPAVDGDGESRQEMNGGKKAGVVVGAFTAAAVVGLGAFVWKKRRANIRRARYAEYSARLELV >Et_2B_022654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29335280:29336925:-1 gene:Et_2B_022654 transcript:Et_2B_022654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGESVYSEKRVSVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGKRVLYLGAASGTTVSHVSDIVGPFPRVPESSQPEPRLTGKNANCIDCTMPAEAVFASEVEKLKAEQFKPSEQVTLEPFERDHACVVGGYRMPKKNKATC >Et_6B_048331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12727630:12728550:-1 gene:Et_6B_048331 transcript:Et_6B_048331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWKSLVALHASAPSCFPHPPSPSPCPSPPREEEEESESPSPRHAEQKQKEEVVRLVGCDGRVRSYRPPVKARELMQQHPRHLVCRADALLIGEKIPALAPAEELQPGQAYFLLPAHLFRSVLSFVSLASSLLLLLSTSTGTKGCGGGKGKPAVRPFELCRTASGTLQIKFSDDFLVGADPDEEAEEEKVKEKKPQPAVLRGDERLEKEYEELVGYGKSRRWAPKLEPIEEVVVAVVPAATAAASSSSERRKSRGLPFLGRLGSRRHRRDSSSAAAAAVACSG >Et_8A_056378.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:5853383:5853598:1 gene:Et_8A_056378 transcript:Et_8A_056378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LKHRNCLSLLRYWQVWKHRNEVVFRSAEPSLPRLLQTCGEEARLWRCRLPPGDEEVTRLICSLLPSFQNVI >Et_5A_041887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3947512:3948102:1 gene:Et_5A_041887 transcript:Et_5A_041887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEKEGHSLTPSPASLVDRYLRTKIAGGQIGDDASACFFHDADVYSARPHDLVRDRTFARVPCRDAGEGMQWFFFSRVRSRTIDGTSGKESWHSEGSPVAVEGSAGGGFVQKFSYHVRTAPAGVVEKPGWIMAEYSVVKDTRAGDLVLCKVASRTWPVLQNAFIFLRVVVGEDRLQKEGHG >Et_9A_062586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24224622:24228196:-1 gene:Et_9A_062586 transcript:Et_9A_062586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPQNSHGNNVGIPDPPSETLRLLQLAVAEEEDDATSKLADPRSPLPVPDSHQNLYEHGHGQDGWMRNAQQQGEGAQQSLEHGVQEGCGEVAAVANAMFSDQMYFMDHELSIDDFLRDDDDYKINLPESDQGSLNNIEGIDQLEHQQFNFPSDLPSHSSYVNVNNSVQDTGDVFVHMSDMLTTICPSPSQFLGPKCALWDCGRPVGGSEECRDYCNPYHAGLALNDDGLGTIPVMRPRGIDLKDGPLFTALIAKVQGKNVGIPVCKGAATSKSPWNAPELFDLSLLEGESIREWLFFDTPRRAFESGNRKQRSLPDYNGRGWHESRKQVMKDFGGLKRSYYMDPQPSSNYEWHLFEYEINDSDALALYRLEFKSSDAKKSAKSKLPSSSLNEIQQQMVRLTADSPVENKRTARSKPKSNQKDSRANVYPALNTPSQASAPNSYQQPVPQMAFLNENVVYGPHLPHSENIVYGPHLPHRKEAVFGIQVTGHEDRTVEEHVATSINIHFGVGEHVERAAFPVVAVVEAHLDVSLGVVVVVVDLDEVHGDDALRNAAIWRTSARACRGSPSKNANLLVADLERDTGTAAWGHDPGDRGGVELAGDRQAHPGLEALHGGLRVAVERVVVLVLGCTGKVTLQLGEPRHASCS >Et_1B_012442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32004657:32006798:1 gene:Et_1B_012442 transcript:Et_1B_012442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNITACITLMALICAVPVIASGIWFASAQGEECARLARWPVAILGGLLLLAALAGFVGAYWNRRRLLAFYLFAMAALIVLLIVLLVFAFAVTRGSGAYPVLGRAYDDYHLDGFSMWLRGYVSDDPGRWEKIKACLAVSNTCKKLALRGTGLTADMFYQSHLSPLQSGCCKPPSVCGFNYVSPTVWTSPSHPAADPDCGLWNNDPGQLCYECESCKAGLLEALRDQWHKANIALVVATVALVFLYLVGCSAYKNAQAESLFRRYKW >Et_4A_033587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24837860:24838795:1 gene:Et_4A_033587 transcript:Et_4A_033587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEYMGAAAPAATAAGEAAFRAQEPAPPVMIKLRSEPSSPATHPLPVSVPPSSYAWAAVPVEDFHKYRGVRQRPWGKYAAEICDPKCRGSRVWLGTYDTPVEAARAYDRAAFRMRSAKAILNFPNEVSSSTTTSASSIMSSTRETTATVSSTVTTSTGTMAEAAVAGGGEGFLVTPPWPRPPTRAAAPAPPAAPTRQHAAPHPLLLHLPVMDSVSHLALTPFMCSLLEEERRLHERTGGLGGGERAAADGA >Et_6B_049155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18831273:18834650:-1 gene:Et_6B_049155 transcript:Et_6B_049155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTSSTGPINSLIDKLPSRPEFSGLRKGLEVMKQQMLRFCVPGVVTSMLAQQWLLNLREIAYDVDDWLDESLICSGGRSQRQLCSPNDLAKIQEFSDKIICAQNIGESFNLLSVSPVDLKCSKVNMDRSELFLSREKPCLVGLGDPESKIEHHLIDNKKSLKVICILGPEGIGKTTLARELFRKHQNKFDCGAFVHAGQNPSVVDILMDIASQVMPRSEFPSDKKLIAKKLWSFLQTKRYFVVIDDIQSVQDWCPIRGVLPENDNGTRILSTTEFKPVANSCCVKSIDCVYLLKALNETDSRNLLLSHKSAVEDCSIVQRLLKLCGGLPLALVVVAGLLRVEDENVILSMLNQDTAEGMSKIVNMSYADLPLHTKSCFLYLSAFPANHTIMKDRLIHRWIAEGFLPVGKRESLFYTGEMIFSELARRGLIQLVFGVSDHRPIGCTVHDVIHGFILSISREENFVTVSEQLTRGSYPCETVRRFAHDCSKQVETNILESSTKHLSRVRSFAVSGHANKWMPSLSVFTHVRVLDLEGATGLMSMRLKGIGSLLLLRYLSLKGSDITKLPKEIEALEQLRILDIRKTVVTYLPSFESARLVSLLADRVVIREGKMDTSLLEELATIHIGRSCSIRNVSDLIRNSEYLTVLGVSFHNDAHEIYIREFLYWVEAVCVHEFLINDYTGKVIHTMMCIWQGGMPYFKRFEISQYSCSEIPERMGSLTCLTRLHITVDVLNAEGLHTLKYLPSLLVLHLNSRNTQEMHLIGMDCFICLRVLGLNFLDSGMGLHFGPGAMPALNKFELAFDIAESKRVCAGFDFGIHHLSCLEEIDVSFHCTGAPAADLEAAESAIKKQVTQNPNYPLFKLNTT >Et_1A_005020.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22141398:22141460:1 gene:Et_1A_005020 transcript:Et_1A_005020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDVMTSLTSVDALLFWNL >Et_5A_041309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2132359:2137007:-1 gene:Et_5A_041309 transcript:Et_5A_041309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTRVAVAAALSAAPLSSSSRRRAALPSSCRPLPASAAVAACGTRTPKALQAAAAPAAGAVDEEKPSSPPSDPGKGVENLVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGITGPDLMDRMRKQAERWGAELHQEDVEFVNVKSSPFVIRSSDREVKCHSVIIATGATAKRLRLPREDEFWSRGISACAICDGASPLYKGQVLAVVGGGDTATEEAIYLTKYARHVHLLVRSHQLRASKAMQDRVLNNPNITVHFNTEAVDVVGNDKGQMSGIQLKRVDTGEESILDVKGLFYGIGHTPNSQLLQGQIELDSAGYILVQEGSAKTSVDGVFAAGDVQDHEWRQAVTAAGSGCIAALSVERYLVSNDLLVEFHQPVHEETKKEITDKDVVMGFDISHTKHKGQYALRKLYHESPRLICVLYTSPTCGPCRTLKPILSKVVDEYDQHIHFVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMISLCDLVI >Et_2B_019678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12165140:12173581:-1 gene:Et_2B_019678 transcript:Et_2B_019678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLLHSSKSVPLRLLLPRRPPKPFFLPKASRLGRHPLFPRATMGSVAGDASRLAYPPARRDDSVVDNYHGDPDSEETKEFVARQAELAETVLAGCPDRENLRREITRLFDHPRHGAPFRRGNKYFYFHNTGLQAQSVLYMQGDLDGKAEVLLDPNTLSKDGTVALSTYSISEDGNYIAYGLSESGSDWVTIHVMSITDKQTMPDKLSWVKFSSISWTHDGKGFFYGRYPAPREGELDAGTETNINLNHQIYYHVMGSDQSEDILCWKDPEHPKNTLGASVTEDGKYIILYTYDGCDPVNKLYYCEISSFPQGIEGFRGTQDMLPFVKLIDNFDAQYQVVANDGDEFTFLTNKSAPKNKLVRVNLKNPELWTDVLSEHEKDVLESADAVNNNQLLVCYMSDVKHVLQLRDLRTGNLIHQLPLEIGSVSEISCRREDKEVFIGFTSFLSPGIIYRCNLTTTIPEMKVFRETSVPGFDRTRFQVKQVFVPSKDGTKIPMFIMSKKDIKLDGSHPTLLYGYGGFNISLTPSFSVGRVVLCKNMGFVVCVANIRGGGEYGEEWHKAGALAMKQNCFDDFVACAEFLISDRYTSSKQLCIEGGSNGGLLIAASINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDKEEEFHWLFKYSPLHNVRKPWEQRSGNHCQYPATMLLTADHDDRVVPLHSLKLLAINEAADRYGFMAKMLGASWTE >Et_6A_047654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9176945:9180086:1 gene:Et_6A_047654 transcript:Et_6A_047654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAAQLKDMFFVLVERVTGYGRPEEHHAAAGSVLSKHAYTRNSSCGQQPASGHTEIRARGIDKIPDDVPDVSRGSLPQILNCPYPQAIDLKPKQFVSSDTVGCSLGRKNELSPYS >Et_5A_041700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26010186:26013374:1 gene:Et_5A_041700 transcript:Et_5A_041700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTGPLDLASGLGGKINKEEVKSAVDEYEKYHGYYGGKEESRKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLGLKPGMKVLDVGCGIGGPLREIARFSLTSVTGLNNNEYQISRGKELNRLAGVSGTCDFVKVVWDKDLADDSPVPWYSPLDPSRFSLSSFRLTSVGRMITRRMVKALEYVGLAPQGSERVSNFLEKAAEGLVEGGKKEIFTPMYFFLARKPLSE >Et_7B_054286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19340109:19345601:1 gene:Et_7B_054286 transcript:Et_7B_054286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREGGMGSREQETLMGRMLSYAHHVIPDLPVSVDGDLCILFDADGGGVDRLSRLPDSLLGNIVSRLPIKDAARTAALSRRWRPIWRSAPLVLVDAHLLPSGEDQIPKRVKRAESSAVAAAISRILAAHPGPIRFLHVTCCYLNEFPSQFTRWLKHLAVKGVQELFLINRPWPLESLTKHMPATIFSMATLTRLYLGFWRFPNTASLPRGAAFPYLRELGLCSVVIEPRDIDFILARSPVLDTLCVHGHLFPSLRLRLVSQSPLLTVDVESIAVMDAPRLERLIVSKHVESSCKINIGRAPVLRLFGFFELGNDVLQVGNTIIKAGTPVKPSAMVLSVETLALQVRFRVCNDVKMLPNFLRCFPNLKTLHIRSKEATESTGRLGLKFWQESGAIECVQSHITTLGFHDFRGGRSELAFLKFLVESSQKLKVLVVAFANGCVGSMDEAKSQVKALFAGKKGIERCSVVVCENELSGGGAMWTFERGSEMSDPFGLFQCSSGCQFFNEEPLIYIDPATEAVMRSRGADPHELERCTKAIVHYLYTSLPDTPVEAAAFFSALPRPDPSGDDRISALPFALLRDIVSRLPIKDAARTAVLSRRWRPVWLCSPLAFADAHLVPGFLNGSRQILRADTPELLATVSRVLAAHPGPFRAIHLVCCYMGAYPRRLRRWVQTLVDKEVQELVLVNRPWPRDMRLPAELLAAATLTRLFLGFWKFPDTSALPRGGGGAPAFPNLRELVLHSMDINSRDLDFLLAGSPALEKLGIQSRICNKGIRLRLVGQRLRCVQVHVSAVESITVVDAPCLERLLLSGSRTHDGSFVRLKIGNAPKLRLFGYLEPGTHMLEIGNSVINFAGTSSNLRSMAPNVKILGLYVRFGVQNDVNMLPGFLRCFPNVETLHIMSGKTEETTGKLNLKFWQEAGPIESIRSHIKTMIFREFQGGPGEAAFLKFIFQTAQVLKDAVIVGAKGSFTSVPEVIRTLTPENWGSNCSVHISQSSGLEGGELFCLRAGFEFSISDPF >Et_4A_032874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1572643:1572964:1 gene:Et_4A_032874 transcript:Et_4A_032874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRKLFSALFVVLLLLVATEVGPVTVAEARTCESQSHKYKGPCVRKANCANVCRTEGFSGGHCRGFRRRCFCTKHCR >Et_10B_002511.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:12593304:12593432:-1 gene:Et_10B_002511 transcript:Et_10B_002511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPWETAASIAHNVFVLLDGWVCTCVLAADEAARLLRSATQ >Et_7B_054476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21774163:21778358:-1 gene:Et_7B_054476 transcript:Et_7B_054476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWARSKLRWLLSACLISLLLLTPPDCKGLQLVGAIRSSLLWPPPPPSSLPIPRQDVSVEQLWLIRQGVRNKNRCTPLLNSISNSYRTNNKMSSPQKIGSEQVIATILSSAEVASTFLDCLDKHSFPASEHGQKPQQEEETRSLFPNFNSINPPSVMKRRFLFEQAFAKQATRSVLSSKAGARPLVTSMKKASKPVPKSKSKDSSLATIVAGLSVACIALVALICLCFCACRGNHSSESSYDLRDDKPLLSLTDLSGSSRKSCATPIDVNRLGALSRSSSESQNNESTLPIKVGGRELSMRSEFERRSNVQAMKLSSHEITTIAGHPASSASSLGGKANGAVPCTNASDSACEPSAGPAPPPPAPPLPKVPCAPTAAPAPPPPTKPAPPPPGPPPPGPPPPPAPRAAAGPGPPPPPARAGVGPPPPAMPGPPKARGPPPFKKPGNVAGPQVADANKTKLKPFFWDKVTANPDEAMVWDQIKAGSFQFNEEMIESLFGCHSTDKKNTDGKKDLAAKEATQYVRILDPKKAQNLAISLKALSVSAEEVRNAVMEGLELPSDLIQTLIRWTPTSDEELRLRLYTGELTQLGPAEQFLRTIIDIPYIFQRLDVLLFMSSLPEEAANVDQSFKTLEVACEELRHNRLFKKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLADVKGVDGKTTLLHFVVQEIIRSEGVRAVRAAKEQVNSSISSMNSEDLSEDVGDDTEHYKQLGLNVVSSLGEDLQNVRKAACLDSDALTISVASLGHKLVKANEFLNTSMKSLDEDSGFHRKLGQFIEQSQVQVTHLLEEEKKLRSLVRSTVDYFHGSTGKDEGLRLFVIVRDFLSILDKVCKEVKEAASKAAAGANKKPPAAAGSKNRRSSDQSSSVSFHDPRQHLMPAIQDRRGAAAHSSSSSSDSDDDS >Et_1A_007753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37939832:37962543:-1 gene:Et_1A_007753 transcript:Et_1A_007753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRGRRRSPAAASPLENDDILTEIVLHLPPAPSSLPRASLVCKRWRLLISDPVFLRRFRARHRRNAPFLGFFSHTWSEPSFTPTLEPPNSIPPGRFSLELDEGCGILCCRHGLVLILNQKQLHVVVRDPVAGEVSRVALPPEFSDGGSAIVTHGAVLRATRDVPDWDDHSIPFIVVLVANGEGASACVYSSVTGLWGDIISTACPSMIPMPISNVLVGGSLYWMLSTDPGRSAILEFDMAKQCLAVIDVPPGILFYYHCHHWVVRTECSSLGFLCVSGYTAQLWIRKTGYDGVARWVLGRTIELDKLLSLDTAAFPGGSIAGQGRRSSMGRCFALPVVLVCTDKGLTQAIASLYSSKTGEWGDLISTTCPSMVPSLHSGSLVGGSLYWFLWGRYSIGILEFDLERQCLAVIDMPQVQRHIPAWIWIGQFRIMPTEGGGLGFLFLSSSRLQLWKRKTDGDAGWVLRNSVELDKLLSLNLEVTKALTVYGYVEENNVLFVTSRATMFVIQLDSMQLNKSFGTPRVYCGNNRNIIPLNTLHLASPLENEDTLSEILLRLSPAPSSLPRASVVCKRWRRLVSDPAFLRRFRARHRRNAPLLGFFRRRLYEFVLTPTLDPPDRIPPQYFPLQLDNAPPWSIICCRHGLVLLYHETQSQYLVWDPVTGDQHHVAVPPELGLWNKRMPIHNGAVLRAAGDANFKVVLLATDPRLTRTYVCVYSSDTGIWGDLISISCASLFPLYITPSALVGGSLYWVPESRPPGVRALEFDLDGQCLAMIEMPLEVPYGWSDKANKHVRVMQAECGDLGFLYLSLSSFNIQLWKRKTGCDGGAGWVLARTIELQTLLPLGSDESLSKTICICGFVEDKNVLLLGTGAGTLMIQLDSIQSNGICSPRLIHDADAVFYLNSYLPFTCVAGNIMVPHCSNAKVSSFCTWLIACLSYPSVVN >Et_3B_030060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30089625:30094856:-1 gene:Et_3B_030060 transcript:Et_3B_030060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAGSVTVVLLLLYPFWASAAHIRVVTDSYRGYRFTRYPAINKPAGVVHWLKHSPEADNVDWVVILDADQIIRGPIIPWELGAEKGKPFAAYYGYLKGCDNILAQLHTAHPEFCDKVGGILAMHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYSFGAAEVGLRHKINDDIMIYPGYTPRPGIEPLILHYGLPFKVGNWSFSKLEHHEDGIVHDCNRLFPPPPFPREVEMMESDPDIKRGLFLSIECMNTLNEGILLHHASVGCPKPQWSKYLSFLKSRRFSELTQPKYWKGPNVDTVNHVVSSKSNYGHPKIHTLFSTECSSYFDWQTVGLMHSFRLSRQPGNITRLLSCTDEDLKNYKGHDLAPTHYVPSMNKNPLTGDWYPAINKPAAVVHWLNHVQTDAEFIIILDADMIMRGPITPWEYGAKRGHPVSTPYEYLIGCDNILAKIHTRNPSACDKVGGVIIMHIDDLRRFALLWLHKSEEVRADKAHYATNITGDIYGSGWISEMYGYSFAAAEINLRHIIRKDIMIYPGYVPLPGAKYKVFHYGLRFGVGNWSFDKADWRNTDVINTCWAKFPEPPDPATVMREDPDARDRDLLSIECGRALNKAFNRANVGSMDVARNKTVERAAATIQHVHRSRRLTRSSRMWITAVWALSIVVFLMVISMFFTDRRRTVSRHRTSRSLKPHGLLYSIIQLHT >Et_3A_025467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3070233:3071561:-1 gene:Et_3A_025467 transcript:Et_3A_025467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKGCTDLHVRVMSRSIKPHVLAISNLDLLVQNIRASMFCHFFPLAGRITTNASCGLPEVHCNQGAELVVAEADVRQHRRVRVEVDPAPLRRRRGAVGAAGLIRVRRLPAEPRPLGAPPLRVAAIDEAFTPMDPMRQVNVLTAEQSFVERLYYVDTCH >Et_5A_042918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6898922:6905655:-1 gene:Et_5A_042918 transcript:Et_5A_042918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLADLACATEGPRLAGAGLRDRLAALLELAAADDVAGFREALDGGGEEEATELADGVGLWYGRSKAYEPRTPLMVAATYGSAAVVSLLLGLGCVDVNRRPGVDGATALHCAASGGSRNAIAVVKLLLAAGADPVTPDSAGRFPADVILAPPGSPDALGDLEMLLGRRRGLTVATSNARRRDPRKHPYTAVPCPNFRRPGGCPSGDSCEFSHGVFESWLHPSQYRTRLCKEGAACARRICFFAHDEDELRHVPHNSGAGLLSPRATSSIDMTAAAALGLLTGSPTRHFVPPPGSPSSANNGGGAAAHWLQGSRLRSSFNARDAQVDDLGALLEWESQYLGALSLPQSSRSQQRLSTGLSIRPTAITPSSLEEMYASDMAMSPRFANDQGHSVYSPAHKSAILNKLHQQKGLLSPVNTNRMYSPRSLDPSALIHSPFGGMSPRSPRTMEPTSPLSARVGSSVTQRDMFDQFSSLNRHQVPSVGSPCNSNAAWGNIGTPKSKVDWGVDDEEMVRLRRPVQSGVAEEEPDVSWVQSLVNHSELNGMASRSLNRPDLSNQADLLDQTQNVWSADEEGSRPPNRKQNNEDSNKSEHNETQKGPILSDFMKKTGQV >Et_1A_005867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1521373:1522408:1 gene:Et_1A_005867 transcript:Et_1A_005867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEPLLAEIIKRITRTSDLNSLSLVSKRLYKVEADERGIICVGCGLNPAPEALSSLCSRFSNLWKVEINYSGWSSDQGNQLDNNGLNVLSSHCPSLTDLTLSFCSYIDDSGLGYLVKCKKLIALRVNSAPAISSRGLSSVAIGCKSLSTLHLTDCMNVRSMETVLAKQEFERAGRWYETEPEELELWCPGNTGMEWLEYLGKSGSLVELVVKDCEGLSHYDLLKFGPGFMKLQKFECDIKNDYYWLSGMRDPSYNAHYPHKYDGICCENMKDLRLAKMITQPEIGLRFLLRTCKALEKLSLDYVIGLDESEMIALFQNCSNLRSISLKLMPLRCGHH >Et_2B_022279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16778451:16779513:-1 gene:Et_2B_022279 transcript:Et_2B_022279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVEEIRAAGPSTPVNLSVMFHSITYSIVARAAFGKKRENAAEFLTATKAVVGLASGFNVADLFPAWTRVLARLTGMTRSLRDIHRTVDTVLQEIIDERRAARDAKVRRSAGATADNVDENLVDVLIGLQEKGGFGFTLTNSIIRAIILDMFAGGTGTSGSAMEWAMSELMPNPSGQIRKAFRGKIQVTEGDLQTSNQPRYLKLVIKEALRLHPPAPMLVPRESVAAWAGYTVPAGARVVVNAWAIGRDPRYWGHDAEEFRPERFEVDGAVDFTAGKLRVPAVRVRKEDVPRVDLLDALRQLGD >Et_3A_025280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28493556:28495578:1 gene:Et_3A_025280 transcript:Et_3A_025280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GLIMASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYEATARSWTQKYAMG >Et_8B_058737.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:19797114:19797479:1 gene:Et_8B_058737 transcript:Et_8B_058737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAKSELLKPSFSPTATVSAVTVAECDDGIPPDPTNCFASHRFSLYLHAADLVTGSSDPPTTDGQAEPAEGPSDVPGGEDLDGLGDGEGEHRGDERAVGEDGQVGERGRAEGRRRRGGG >Et_4A_034632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:512376:513401:-1 gene:Et_4A_034632 transcript:Et_4A_034632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHIARASDKKVSHLFRASLSLLCSYQSQTSDGSEFDDVFDGCSQKVVLRVPTMTDDKMQQKAIEAVADIYGIDSISADLKDNKMTVIGDMDTVAIAKKLKKLGKIDIISVGPAKEEKKPEKKEEKKEDKKDEKKDNK >Et_2B_020303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18854270:18857435:-1 gene:Et_2B_020303 transcript:Et_2B_020303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSASPLRAVRLRRVPTGVRRSHIASSSSPSFVSVAPLCGLHTLSSASTVSCVAFLQWPLASPVVGRSRLSSAAASSADPGEIDAVNMEGTEQSVGDSNGMMLLEKLKRYGAAGVLSYGLLNTVYYVTAFLLVWFYFAPAPGRMGYAAAVERFLKLMAMVWAGSQVTKILRAGGALAMAPFVDRGLRWFTVKFNFKSEGRAFATIVGLCFAVAALLFFGLTILWA >Et_7A_051175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15112105:15116796:1 gene:Et_7A_051175 transcript:Et_7A_051175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAATTTDSQAAVPPHHPHPHAPPQHAHPHHHMPQPRWVVIPYPPPPPMVAAPPPPPQFAKHFAAGPPPPHPAANVLDGRELPPQLLRAQRRVIRNRQTGQSEGYGFVEFYSHASAEKALQNFTGHAMPNTDRAFKLNWASYSMGEKRNEVVSDHSIFVGDLAADVTDEMLMELFASKYRSVKGAKVIIDANTGRSRGYGFVRFGDDNDKSHAMTEMNGVYCSTRPIRIGPATPRRSGDSGSSTPGHSDGDSSNRTVYVGGLDPNVSEDELRKAFAKYGEVASVKIPVGKQCGFVQFVSRTDAEEALQGLNGAVIGKQAVRLSWGRSPSHKQSRGDSGNRRNNMYYGTPFYGGYGYASPVPHPNMYAAAYGGYPMYGNQQLVS >Et_3A_027368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9159347:9159651:1 gene:Et_3A_027368 transcript:Et_3A_027368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSWIHGYAPANTAAGNNGFMCGYAASCTPAELQHREEEEELLIGSQIQHHLNQASDGWMD >Et_1B_010322.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7732783:7732824:1 gene:Et_1B_010322 transcript:Et_1B_010322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYSSSKFSFCFP >Et_10A_002253.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5641763:5642083:1 gene:Et_10A_002253 transcript:Et_10A_002253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANSSPLAVLFLLLLVGALLPASPVVAGGGSFGDIATTAMWQRRRLEEEVAPEFPSAATSGQPGIGYGTFNPNQQSCVSNCAAKAQGAAYTRPCTYSQQCSQGHP >Et_8B_059659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20328825:20332142:-1 gene:Et_8B_059659 transcript:Et_8B_059659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHHHNDMAARGAGGDDGQAAHDMVMPGFRFHPTEEELIDFYLRRRVEGKRFNIELINLVDLYRYDPWDLPALASIGDKEWFFYVPRDRKYRNGDRPNRVTPSGYWKATGADRMVRVEGNRSIGLKKTLVFYVGKAPKGLRSSWIMNEYRLPHGEADRYQKEISLCRVYKRPGIEDNFHLTGTTTRSSGSKAAATMDKKHRTSASPRLATVFDGGHSSGLMNKPYNGTSNGGLTSSAAAPAATMALHTSMFRSTGSVASLSSTTSTEEDGTSLYHLKGANPMLPSSTHALLNANSTTMATIPIDELSRAIGSYNNNQGNPNQPLPSQGPLHPFPSMEKIWDWNPLLESPKVCTSFKQNVWQLKFTYAIY >Et_4B_036997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13299303:13299682:-1 gene:Et_4B_036997 transcript:Et_4B_036997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILRAKSINTVHPQPLPWLILERDSNMSTPKTSWPEVVGWPAPEAATQINSDRPDVAVEAVPYGATVTPGYNPRRVRVYFDGSSSVAPVIATPVVG >Et_8B_060775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:776460:780904:-1 gene:Et_8B_060775 transcript:Et_8B_060775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPELSEDLVVEILLRVPPDDPAILFRASLVCKSWRRFLIDPAFLVRYRAFHRTPPMLGFFHHWEPNQAQFVPTTSFPPCKPDREHCVVLDCRHGRVLLYNMSSETLEFIVWDPITHEERHVPSGTDIKYLNKNYVLATGAVFCASADCDHGDCHGGPFFVVLIDVDDDDNGGWFPRACVYSSETGEWSAPYSSSMVFEDWFYISKLQKALIGDVMYLSSREGTFIMRFDFCGEGDLAVANGPSDNIELAVLTSGVDGDLRLAGLMTGDRLSVWSLETIDDGVAGWTQVAVIHLNTLPPIAASNGLPYLIGSAKDRDSDVLFVSTNVDIFAIELESRKAKKVWANMDGRGYNYLYNFFPYASFITPVATVFLQQLQCLQLFFCSHSLPNRPNHEAMPDAEAAILCSGVVVLARIREASGTIFRLAAVAPGPAVHLPSLQSCMSAALQLQPALIQLLAIESSATVPVECLICVVRVCFVG >Et_4A_033942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28253383:28259274:1 gene:Et_4A_033942 transcript:Et_4A_033942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSSFKEVVALDISTASATLIDLQPAMWHARWTGHHRNKAFRLIESADGRLSLLVAEWDVISMWTLSEVEWTRKVVITKEAIGRMAGEDPSYSVPLLRFGERTGTVILQMDNIGLIHVNLESKEARILRCSDFDQQDVTGFIDMCLHEIDLSSRQLVPAAWNQTTVESPTPWLPVDLLLEIFARLDAATIVRCAATSKPIRRAVRDAAFRRRIALRAEAGDAVLLGVSYAFLDPNACRVSSASVTDVGQAPRQINLPFDAGLLKPFEPVASRRGLIVLRRRDGCFRELRVCNTFTGRSCCLPPGGCFTGPHALLAVDGAGASFRLLVVDESMQRMQIFSTEDGTWGAVVETQLPRFFQPPTIYRYSNPVVLGGTTVYWLHLHDGVCIVSLDINTARLTLIELPPECCKMVTCLQDFHGAFQLVTSANGRLGLPVAETFGISMYTLLTTTGKEGGSSSGLWTPETTWTTREVVIPLSSTVVLRMGVIGLVQINLRTKETLTLVLSQGFEEVGFDKLQMCLQETYLLPSLLLAMKRQLIVPAAWNQTTVESPTPWLPVDLLLEIFARLDAATIVRCAATSKPIRRAVRDAAFRRRIALRAEAGDAVLLGVSYAFLDPNACRVSSASVTDVGQAPRQINLPFDAGLLKPFEPMASRRGLIVLRRRDGYFKELHVSNSFTGRWSRLPPGERFTGPLALLAVDDAGASFQLLVLDESMRRMQIFSTEDGTWGAVVETQLPRYFRPDTFYRYYSPVVLGGTTVYWVHDGAYVISLDINTARVTRIELPDECCKRLRSSQNVHNALQLTASSDGRLGLLVAETVVISMWTMLDMTGEEGGSSSELWALEKIWTRQVVIKRQDIGWEVSDYAVRFFGFGELSSTVVLRMGDIGLVQINLITKETRVLSHGFKEVRLDKIQIKHQSPYTSVSVSRKRQRHPCTNSRDRTTTVRSPLPSIPFDILIDIFKRSDKATVVRCAATAKPIRRAILDPDFLRELCALRADAHCGFDPALLVGVTFTFSWENCSDTYRAAPPIVSRSSSLRFDAGLLRTLEPVASRGGLVVLRRRFDRSQPLRVSNSLTGRTLRLPLAKVRDEYPPALLDVDDAGRSFQLLVAHQDLRTQIFSSEHGEWGAVVEAHVDPPRFSLSLRHYACHPVVLGRSAVYWLCSYHSIIALDVGAAQATVIGVPPNCFSRMSETQQERADDGLLLVASADGKLGLLVAEYLLICMWTMTGATEEGTGSTSTARWTRQVMIQRHAIDRQGPGWPVRFLGFGERSGTVILQMHEVGLVEINLGSKETRVISREFRNIGAFNHLQMCLHETDLSTVIHSMKHI >Et_3A_023392.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:25129856:25130164:-1 gene:Et_3A_023392 transcript:Et_3A_023392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARARSAALLALLLICLAFSDPASAAGAGNARGGSGRAKAPPCRDLATRGECVASGVGSRCRWCRSEDLVDMCFGAAEAWRLPRQVFTCDPPAGAAHARK >Et_9B_065685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9088032:9088765:-1 gene:Et_9B_065685 transcript:Et_9B_065685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGTLLATYADIHDLYKHSSRYRNFSHSYGVPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKQREEAERRRKQEEDRLAYEAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_7A_053001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4390440:4390933:-1 gene:Et_7A_053001 transcript:Et_7A_053001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNNYQYELPLQRLYFFDGRPKSPPSPCEFIEYIDTEQTLENIAHVYRIAEHARRHWFDMEAEERREEERKKMRQKEKERRREYEAERKQREEAKRRRKQEEDRLAYEAREAERERMRERARRARAAGPDAFRLRSVYG >Et_10A_001922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11263590:11266828:1 gene:Et_10A_001922 transcript:Et_10A_001922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVDPAGGGREDYGPWPLDDAEQMSSFFLSSPSPPRALSPYLSSSPVGFVRLWNFEEGPNSPLDVSNGPPAAANHDGAPPEAGQGTTAGGNGESLSKEVETINLKWRFHLSHDDDGRVSSTVFKQKLQQALAFFKQSTDLHLLVQVWAPVKSGDRYLLTTIEQHYIIGHKSIGLLQFRAISMMYMYAVDGNNAGELGLPGRVYLQKVPEWTPNVQYYSSDEYPQLNHAISYNVHGTVALPVFDPSTQSCISVIEIVITSKKVNYAGEVEKICKALEAVNLRSINMFERPSAQIGNEDRTNGGFSFPHLYKGKKEVSMKRSRSSVVWSHFSKISSEDFSTVKAICKYCNKALIAPSTYGTSHLSRHLKSVHDMDLSANTEASMESQRLSWSKVQHVREISDNLIPLIEDNENEVNCELTEFDNKDVELESVNVSKEHKNGTRSKSEVWLHFTKIVDIEDCGIIKARCIHCDQVLKADSRRNGTSRLRRHVTDFHRIKLAGIHKIKKRLSINKNQQESTTYNQEHMNRITLELK >Et_3B_030635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5726528:5730210:-1 gene:Et_3B_030635 transcript:Et_3B_030635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTTRARFVHNCRGRRRGGGGQYAVDEASADDEQRATSSSSSDEEADEEEEAEASGEEVDDGDDEEEEVEEEAGPAEPAAKESAPSPVTGDKRGGRKGPITISLKKVCKVCKRTGHEAGFKGAVYIDCPMKPCFLCKMPGHTTLTCPHRVAMEHGVIPAPRRNTNTSLDYVFQSQVKGKISMVKPQFLIPNQLECGNIKFHQRRVTCLEFHPTKNNVLLSGDKKGLLGVWDYVKLHEKITYDSVHSCILNSMKIDAANDEILYTASSDGTISCTDLDTGIGSPLLNLNPNGWNGPSTWRMIYGMDLNTDKGLLLVADNFGFLYLLDRRSKTRIGDPVLIHKKGSKVTSLHCNPAQPDVLLSSGNDHYARIWDTRKLEPNSSLASLAHGRVVNSGYFSPQSGNKILTTCQDNRIRVWDYIFGNLQSPSREIVHSHDFNRHLTPFKAEWDPKDYTETVAVIGRYISENYNGVALHPIDFIDTNSGKLLAEVMDPDITTISPVNKLHPRDDVLATGSSRSIFIWKPKTEADLTEERAKQKAKEYVYGSCSRKKSNGKHDNSSDDDSDGSPDGKNKKAKKTRYTHTIKGKGKSKV >Et_9A_062274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21408887:21411412:1 gene:Et_9A_062274 transcript:Et_9A_062274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSADAPLLGGAGRKETALDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAIESEREQDDPLKLSGKTRQLGLIVCRGTAVMLVSPTDGTDEIANPFLADGA >Et_3B_028831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19664765:19671158:1 gene:Et_3B_028831 transcript:Et_3B_028831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVPTAAKSASPSQPSGKSEVADLKQQLRQLAGSRAPDADDQRRDVFKRVISCMTAGIDVSAAFGEMVLCSATSDVVTKKMCYLYVGAHARAHPDLALLTINFLQRDCRDQDPTIRGLALRSLCSLRVPNLVEYLVTPLTTGLKDPSAYVRMIAAVGAAKLYHISATACLDADLPASLKALMLSDSDAQVVANCLHALQEIWTLEAANSEAAAREIETLYSKPVVFYLLNRIKEFSEWAQCTILELASKFLPSDNNEIFDIMNLLEDRLQHANGAVVLATIKVFLHLTMSMTDVHQQVYERLKAPLLTLVGAGSPEQSYSVLCHLHLLVMRAPMLFSSDYKSFYCQYSEPSYVKKLKLEMLTAIANESNTYEIVTELCEYAGNVDVPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMDKDYVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSKNIQEPKGKAALIWMLGEYSQDMHDAPYILEGLVENWDEEHSPEVRLHLLTAVMKCFFKRPPETQKALGATLAAGISDTHQDVHDRALFYYRLLQYDPSVAERVVNPPKQAVSVFADTQSSEMKDRIFDEFNSLSVVYQKPSYMFTDKEHRGPFEYSEDLTNLTVGAEDPENVISAQRYQENDNDLLLSTSDKEDSGTRASNGSSTSSYNAPSDLISLQPLVETTPMNASGPTSSSLSNFSLDDLLGLGITEAPAPPPPPALTLNSKPVLDPGTFQRKWGQLALSLTQECSLSPQGAASLMNPQSLVRHMQSCSIQCIASGGQPPNYKFFFYAQKDGDTAFFLVECIINTASAKAQLKIKADDGTAAQAFSTLFQSALSKFGLS >Et_5A_040658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10928732:10931181:1 gene:Et_5A_040658 transcript:Et_5A_040658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASTSSFSPSHHGSFSVPRRRRSVVVASATALRSEENIVIVGAGVAGLATALALHRLGVGAAVLEQGPTLRAGGTSLTLFKNGWRVLDAIGVADELRAKYLRIQGMRMRSPATGGRVLREFSFEEEAPGQEVRAVERRVLLETLASKLPPETISFSSKLKSIAEQGPDGTLLELEDGRQVLAKIVVGCDGVNSPIARWMGFSEPRYVGHMAFRGLAEYADGQPFEPKVNYIYGRGVRAGFVPVSPTKVYWFLCFNRQSPGPKITDPAALKREALDLVRGWPSDLLAVMGSTPEGAVVRTPLVDRWLWPGLAPRASRGGRVVLAGDAWHPMTPNLGQGACCALEDAVVLARRLAGAAGDAAGDAMREYEAERWARVFPLTARAGLVGALVQWENAAVCAARDGVVIPRLVRLGPFLEHTNFECDLIEPTAASP >Et_5A_041224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19675156:19678787:-1 gene:Et_5A_041224 transcript:Et_5A_041224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYEEEEESSRHSDRYSRRSPTPFDFEAYMAEGQQDTLPASQLASAPPQTQPRQDYQDTPAVAVLRSTRQVVPPSPLMYSAGHLMPHVWVSGPVTRVVNGSMRTHSKEKIEARRIAQTTTMVGVRFCLPIRPLRNGYK >Et_2A_014987.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24802666:24802872:1 gene:Et_2A_014987 transcript:Et_2A_014987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTIMGAWQIWKQTNEKIFQQINPTNQGWREQFIEEAILQAHRFKNAMNEEIQQWLQIVPQDRPQVL >Et_10A_000365.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5664768:5664953:1 gene:Et_10A_000365 transcript:Et_10A_000365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFRMALRQYAIRSKFQVHKVVTDKKRYRAECRAARCPWRIVANKLVGQQTFEVWFLSIF >Et_2A_017657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4770793:4778500:1 gene:Et_2A_017657 transcript:Et_2A_017657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGARPSDKARAPTATIQSTIQSIKEVVGGHSDDDIFNALRESNMDPNETAQKLLNQDPFHEVKRKRDKKKEKSTQWMKPHGQRIENDQRRTPSQSQMSGPSREFRVVRDNRLPHGIMKNRPDVGQRGSPNVQMTDRSAAVVQSGRNRSPVTTSGGQVTHQIAKHNSDSDTQVKSDAQGTVQKHAKPYLTNSQNDQQILASDPTHGPSNVRAGGGIVGPRRQVGVVNSTRQFAGRSGSHANIQRGLAGASGRQAAFMSRNTHPNQRPDTGFRGRPVGRPFVAQNANRYYQGPTSNQKANLHEVEHVIIPEHLRVPEYEQTKLRFGSFTSGSDGDQAQASTSPVSEQTEHVQDPVHQVGEEDTLGAADDEVDEQARSSQHLSTSTAEISSPPSEDSDRMNGQVENDDGLGLVQSDTPIGVADGGNTQITSSLTAFSTYSHEDPNMHPSSEAQLYGLVETNVHPQVLASSSQGYPPDNPEADNAVQAFRMPESNAHSQILPSTSEALNPQIVSSGPASHQQHMSQQQAAAQMYPQMHMQHYPNYMHYRQLYPPVYCPPMPVPNYSANVPYPSNGNNYLQMPGGGSHLTAGGMKYGVSQYKPVPAGNPSGYGNYTHPAGFIGSPGVIGPGVGVDDVNRMKYKDNNIYASTPQVETSDIWMTPREIPTIQCPPYYNMPGQATPGAFVPNAANASFNATAQSSHAQFPGLYHPQQAPSILSPHPMVHQQVPSAIGPNVGVGVAAPAPQVGAYQQPQLGEFNIPSELDSFV >Et_2B_022852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6796066:6804603:1 gene:Et_2B_022852 transcript:Et_2B_022852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLVSMGIGAFAHYICSAVQTQKPHARVSSPSEPFELDGLPGLRLTKADLNPPFDDPEPAGRQWEFVSGCSKAMGSSRGIILNSFHELESLYIDKWNREIPFKMWPVGPLCLAGEPVRTMERDISDWLNARLAMNRPVLYVAFGSQADMSRAQLEEVADGLERSGLDFFWVVRSRWFDQENPFENRFGDRGKVVQGFINQLGVLSHESIKGFFSHCGWNSVLESSSMGVPILAFPMAAEQKLNAKFVVDALGVGLRVWPTKGEEGGMEGGLVVSGDVQAFVRELIFGKGDECVATKVNELAAYARNAVDTGGSSFESLELMDSARVGEGGVKFSTQKEVLPTIKQKPEPRSPAVRQRQAAIFQRQSPTPPAGLLITAVSTAPVAAAFASLFVGDRSTTSARQTGHTRLFRSHESTHGAWKLCSHRGSRLHRSPASNASKHTAQSPPPSAAAAAALYSWHGSVASSPAVRPALPPAQLSATRSSSAREPRPRRRAQNTTRMWTTSITATPATRKTADRMLLIWLDMLFYYSVVDALRRSSLAAAGFRVFMDDGEGDLFARSVQ >Et_3A_024911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25192561:25194676:-1 gene:Et_3A_024911 transcript:Et_3A_024911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEFWDTAPHYGGQKEIWDALRAAADADLELAQTIVDSAGIIVSNSDMTLCYDERGAKYELPKYVLSEPTNLI >Et_3A_026017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3565090:3565880:1 gene:Et_3A_026017 transcript:Et_3A_026017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSSWFLVACVFCSLTSCCKSADGAAAGGALQSQKKDAGYIVGRALFCFNDRYIYSGCQEYLRLGPGGTLTVPRESTDAFCGGPCLAETELVLRCIDGIMDNFRFSNGASVGDVRFALGRGCGRTGHRGDFDVLQRLPGDGNYGNGYFYGRGSSLLAPPLMMMMLSAGAAAILLRG >Et_4A_032470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10479733:10481758:1 gene:Et_4A_032470 transcript:Et_4A_032470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLPGLRCCDLGSPTTSLHPLGPALPRGPTPRASALRYSSLQAPAEDSIGEEVLRMFHEERQQHGDFVTKISDMVWRRNGANVDSLEPTGALETAADAVGTEDVGEDATGEGVLRLAATRDWISGESDLPVTKRLSAKERQNERDKRKELNLLKYEALKDELLLLTTGIGAACSLYCLLVFSFEAAVSYAVGVACSCLYLQLLYRHADNLSKRDVPEIFLKKKAKKKIGITSEDLKNTIEKTLGGTSVALSSPRLVIPAMIFGLSALSDHFQNNIFSFELVPGMMGFLAYKAAALVQVYRDNEDLRLILPEEDTDSS >Et_2A_018550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32490902:32493015:-1 gene:Et_2A_018550 transcript:Et_2A_018550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSERGRIMTRFADLVEQHADELAALESLDAGKHPAVTKAVDIGNAVDSLRYFAGAADKIHGETLKMQGQFQAYTLREPLGVAGFIIPWNFPSTMFAIKVAPALAAGCTMVVKPAEQTPLSALYFANLAKQAGIPDGVINVVTGFGPTAGAALASHMEVDMISFTGSTEVGRLIMEASARSNLKPVSLELGGKSPLIIFDDADLDMAVELAITANLFNKGEACIAASRVYVQEGIYDKFENKLAERMKSWIVGDPFSDPCVSQGPQVDKTQYERVLRYIDHGKKEGATVLTGGKPLGQKGYYIEPTIFTDVKEDMAIAKEEIFGPVMCLMKFRTVEEAIERANDTKYGLGAGVVTRDLDMANQVVRSVRAGLVWVNCYFAMSSDVPFGGRRMSGFGKDEGMHALDKFHALKSVVTPLRGSPWM >Et_2A_017596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:474599:475060:1 gene:Et_2A_017596 transcript:Et_2A_017596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCIFCVAALLAAAGVHGEGDGNQLVAQACGNATVGNITVEHCESMPRSDKRWVTAKNSRDLALIAMDLVERGVAAVDAKLDKYSGGDQLGMAFVLRSCRLHDSVVASTVPLCRALLHEYNPGGGNRQQQSDDNDDCSRNLFNAATAC >Et_2B_019941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15425819:15434368:1 gene:Et_2B_019941 transcript:Et_2B_019941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVDMSDADDFASPPSSAPGPAPRARGPGGGGVYHVGGLAVEFPYTPYGTQLAFMGRVIATLERARRQGRSHALLESPTGTGKSLSLLCSALAWQRNYPLRAPDPPAAAAAKDPFLHGGGFVADETQPQATPGVPEKATKKKNAPTIYYATRTHAQITQVVREYRKTSYRVRMAILASRKHYCVNQNACMSENIDEQCKLLLDKNGQGCAEFKNAQKLSRHPSLQIGGCYEVHDIEDLVRVGQKVKGCPYFAAQHMAEAAQLVFCPYNYLISPIVRRAMDIDIGGSIIILDEAHNIEDIARDAGSVDVDEESLLLLQAELDNLATDEAVAMIYQPLHDVIQGLISWIALREENLQGYEFGHPASYWTGEKAVKELEQAGITPVYFSVLQECATKAVKAASDTESDGAHLSGGCAMTLESLFSSLSYFYAQNGRNSCDYQLALQRFDKREGKDVISSKCTMSLWCLNPAVVFREIADLTLSVILTSGTLSPMGSFTSELGVQFEACMEAPHVINVGSQVFAAVLSSGPTRHTLNASYKTADNFSFQDELGASLEEICRIVPGGALVFFPSYKLLEKLRQRWIKTGQWVRLNAQKPVFIEPKGSTEELEPVLKGYYDTILGKAPAKKGRGGAKQIVKNRVTKNSSQEPDKAGAALLAVCRGKVSEGIDFSDDNARVVVIVGIPFPNINDVQVKLKKRYNDSYKSSKNLLSGSEWYCHQAFRALNQAAGRCIRHKFDYGGIILIDERYQEDRNLAYVSKWLRSAIKEYNSFQDTMDEMKKFFQNAQEQMKLKSQDMISKDKLNVDALGSHSDKRKLPWSELKFSNQSVPQKNQKVKTECLSETVSNINGLAVDHKKLGVSYKSQEASKISLRSSLSAKKEISPTPDNRSMAYQLPPCKVESNFEGVADMGVNYEVKKEVINLDEDGLKPRYANLTILNPLEDMSLQSPLVEEASAEAPLASPSYYSAVYTSAAINTATPESSCLENELLINRSVNSHCQKKRRLSSPMSCCTYTDHSNSPCDPFCCNNDAVGMVSGDLMANAELCCRSMKMSKCENVKLERNRKQENVSAKKSLQKKLIISCTRCKETLGLKQNGFLVTCSRSSSSKFYLAHLWRHGLSTVCFQEDDTPASPPVDVEVMDCDASSLNQNIIRKLSSQGSARHSDVWSANDGCVYRAVICPFCSSENACATTLGVQVVATDVSNQPLANKVLLFNDRLDVKSEQSKRQVVRTQKDAGNSVSPPVIDLESFAYKPVKKEPALNSRRSKVSYRLPLLLSLAVLLVVTFPVS >Et_8A_058444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:830652:832301:1 gene:Et_8A_058444 transcript:Et_8A_058444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAELIPCGLPPGAKPGIIIIGLRPWLPLPDDLLADILRRLAPRFLAWSRCVCKAWRDAVDSRRLLRADLLPHTLAGILLHLNVDVDYALPPFFFRPSSSATVVSDNLEDLMDHTTRSYCFSQLRDHCNGLLLFRGHVVNPATMQRARLPPPPPPPPPLPHLDLYHGSFLVFDPAVSPHYEVFLIPHVPSRPLLSNWESAEWPPSPFTLRVFSSRTNHWEERSFLRQGPPMGTLAQVHAQRQAATRFHCYSAYHRGRLYVQWSNGFVTRLDTSGDGSSTYCVVAPPVPGDPSKLPQFQIGRSENGVYCAAFDYHARLQVWYLDESHGQRHPEWVLRCDNNMEPLLPCWGCYSDDVEGPWLIRYYYYDYGGMGNNNNNNGALALALALAEEERSSTNDDHDDIVSTYCQSHDDDDWSSDFEDAPGSEYEVTCCNGHTASKSYYSQYIHDFLGFHPYKEALFLRDPFQRVFAYHFNCSKLQYVGTLILRSHMTIDTSFSYTPCWIGEFPEDN >Et_3B_028493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16121970:16124922:1 gene:Et_3B_028493 transcript:Et_3B_028493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTEDDVKARDIVIGVLVLVCVLAILGATTWWLQPVHFVPTFSVKLTDVGGLDPLHSPVIHPSFDLTVRVANPHRKLCRKNIVVTVFYGNMILGWGNVPDFCVDKWRSIQMKATMSHADVMLRDQLRRILDSELRAGELELSVVMRMSGDTARVESCRVKPGQGYAMARHGGDVDDYELEPRVGDEVAARWPGRARIRQAAALAWLGGLGRAQPMLASSFQISSGAGRMADASSATAGLFPRQWNSPIDLISSHFSTADIKLLVSPAAHRRPAPASLEARGVPLARGEAGQEQGRGVRILELALCTERACRSFRTRARSCGRRVSDVRACDQGSSGREGHEAAAAAAAVWKRDK >Et_5B_044368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23347682:23349070:-1 gene:Et_5B_044368 transcript:Et_5B_044368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGSVIACHTTDEFKSQMDKAKASGKLVVIDFTASWCGPCRFIAPVFAELAKKFPGAVFLKVDVDELKDVAGKYSIEAMPTFLFIKGEEKVDTVVGARKDELAAKVEKHHGPVVATASA >Et_6A_046069.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21569795:21570046:1 gene:Et_6A_046069 transcript:Et_6A_046069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCFGLLVSSGPSYCQSQQISDMLELWPLAEQRWPSIPHKVLNTFLIVALWNIWKCRNAKAFEGIAPQTDVCLRACANDLLL >Et_9A_063266.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:18086055:18087641:1 gene:Et_9A_063266 transcript:Et_9A_063266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAATLHVAVHALASSLQPQVASVFFASVACTVALAVLLSALRLRPPWWCACSVCEAYVTASWTAEFDNLCDWYAHLLRAAPGRTVHVHVLGNVLTADPAAVEHILRARFDNYPKGAPFSAILADFLGRGIFNVDGDAWLFQRKLAAAEIASPALRAFAASVVASELRCRLIPLLHSACDGDGKVLDLQDVFRRLAFDCICRISFGLDPGCLELSLPMSDFADAFDAASMLSARRATAPMHLLWKLKRLLNVGEERRLRGAIRLVDTLAAEVIRQRRKLGTAASGDDLLSRFMGSIDDDRYLRDIVVSFMLAGRDTVASALTAFFLLLSDRPEVAAAIRDEVARVAGDRRDDGDDRPAAAAVSADDLKGMHYVHAALYECMRLFPPVQFDSKFAAGDDTLPDGTFVSRGTRVTYHAYAMGRMDSVWGPDCAEFRPERWLRSGRFVPESPYRYPVFQGGVRVCVGKELAVMEMKAVIVAVVRGFDIEAVGRSSRRPKFAPGLTATFAGGVPVRVRRRARASVRGAPS >Et_9A_061631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14663918:14669712:-1 gene:Et_9A_061631 transcript:Et_9A_061631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCIEVEVKKQSSCSIHLANRSDQYVAFKVKTTSPKKYCVRPNVGVILPRSSCYFTVTMQEQKTAPPNLEIKDKFLVQTTVVPFGTSNEDIVPAFFSKETGRHIEENKLRVVLISATQPQAEQLITGAPDVTATVQVHKAEEMLVNMNEVPNVVNEFCHPLKESYPPLRETPAVLSEIPSPVKESPILRDFLVPSNEAPFTSTDSAPSLKESPAVSLESHFCSTETSATLKESPPLEDTPAPRGLAILSDNGPENPENLQLSHVTQDLQSKLNNLEAKLEEAETSIAKLREETRTTIQERDKLRKEMVFLRKAGTTQIQAGFPLLFVIYMAFVGMSLGYLLHL >Et_1B_013182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6126305:6131357:1 gene:Et_1B_013182 transcript:Et_1B_013182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGSLFDGGAGGSGGGMQFPYSAGFSSSPALSLGLDNNAGGMGGLMHPGGAGDGAMGRDPDAENDSRSGSDHFDAMSGGGEDEDDAEPGNPRKRKKRYHRHTPQQIQELEALFKECPHPDDKQRGELSKRLGLDPRQVKFWFQNRRTQMKTQLERHENALLKQENDKLRAENMTIRDAMRSPMCGGCGSPAMLGEASLEEQHLRIENARLKDELNRVYALATKFLGKPISFLTGYQPQSLLSTMPMPSSSLELAVGGLGGMGSMPHTTMPGTMSEFAGGVSSPLGTVITPARATGSAPPSMVGIDRTMLLDLAIAAMDELVKVAQMDEPLWLPTVPGAPNKETFNFEEYVRSIIPCIGMKPVGFVSEASRESGIVIIDDSVALVETLMDEMKAELQVLSPLVPIREVTFLRFCKQLGQGAWAVVDVSIDGLVRDQNSATTSTAGNVKCRRLPSGCVMQDTPNGYCKVTWVEHTEYDEASVHHLYRPLLRSGLAFGARRWLATLQRQCECLAILMSPGTVSANDSSVITQEGKRSMLKLARRMTENFCAGVSASSAREWSKLDGGAAGSIGEDVRVMARKSVNEPGEPPGVVLSAATSVWVPVAPEKLFNFLRNERLRAEWDILSNGGPMQAMTNIAKGQEQGNSVSLLRASAMSANQSSMLILQETCTDASGSMVVYAPVDIPAMQLVMNGGDSTYVALLPSGFAILPDGPSIDSAGQKTGGSLLTVAFQILVNSQPTAKLTVESVETVNNLISCTIKKIKNALQCDT >Et_1A_005535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11160691:11162641:-1 gene:Et_1A_005535 transcript:Et_1A_005535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TYAYQHRCAIDVNEPKGSAARFFFFEELVLGHDGGVVDDPDFNKPNNISPIFQQGPKTQAELRLAAAERFLLRWRPSRGAGAGIWDAEALCVNRSLLSALDDIVLLKEIQAFPMASAACTRVDSALGVAMSRLVEEFTHLRVWDASGLRCLTGLRFDVEKQRSGSASLAFFTGCSVTTEELSLASTSHASVVVEDAFLDDLDLICPESLPVLHEIALRVIRVGYTGELLRTFSKAPCAVLDRFLSTILRVERHSLEADRMSFEEAQWWVTEDMAKLWILASKVVGKALVVMQRQLQAQSCGAFERFKNDYFLAIAKQSIFALLRFADGFTTIQSPEKLVYVLEMYEALSNAAPGFLFLFPGDHATLVSGPVAVVLAKLARALRIVVAGLVTKVRIDSSHAESATRSVGVYHLTWYAMTCVKLLAPHRTALDLVLASTGGEAVAVISFDGLVAELIEGLERNLEEKSALACADSEGSAHLFLANNVSYMLNRAADADVLSLLGEEWAARRRSQLERHAASYVEECWGPVVACLERGRPAKALAKFNAAFEEAYGRQVCREVPDPALRAALRKSVSEMIVPAYSAFVRKHPKLEKSVRYTADGLAESLSVLFEGEAADGRRS >Et_4B_037626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21971688:21977990:1 gene:Et_4B_037626 transcript:Et_4B_037626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFLSPAPLLFPFPSLNLLLPPPPPPTAQHRLTSRRCVLHAPLAAYPASSSSSRNPRRRPYRRRRDAPFRPPAPPPPPPQQQREPANAAAPGPRNQEELEEAIYDFMRRSSKPGAFPTREELLAAGRADLAAAVASSGGWLSLGWSSSYSYAASTAPVASGGGHPDYPPPSGRYDGNLAPPGAADGAGREEEAEDKEASSSGRQPESEESREVRFKSGIEGMLTRLQRDRERARPRPRNSDGGRGQGDNGALAGNSDTSIHTAGMYTPIVPENGKIHGSHPENGTPEGNNALRSSSSDAWKTWTQGKSGLSDFEAAEILPTESTRYEHAERDIHRSSNGVAVSEYPIDDVDSERDEIHSRLQSLELDLSVALNTLRSRFDKVLSDMSNGDGATGVDDVSDDWEFEETKVMQAQEELRSIRAKIAVLEGKMALEIIERNKIIEEKQRRLDEVEKALSELRTVYIVWANPASEVLVVGSFDGWTSQRKMERSERGIFSLNLRLYPGRYEIKFIVDGVWKNDPLRPTVYNNGHENNLLAKPPRSFTYAVMIVLLWKLAEVAFISAFGGPLEPVPPGADDEVDEVEDADAGYGPVEEVEAALVEVLRQPVAP >Et_1A_005997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16511500:16515043:1 gene:Et_1A_005997 transcript:Et_1A_005997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPIGQLISHVILDLDGTLLNTDSIVSRVVKPFLVKNGKSWDSKKAHKLVGKTPYEAAAVVLEDYGLPYSTEEFMSMVTPMFSEQWGNIKPLPGANRLIKHLRANGVPTALASNSPRSNIESKISCHQGWKESFSAIVGGDEVEKAKPSPDIFLEAAKRMNTAPSNCLVIEDSLPGVTAGKAAGMHVIAVPSVPKKTAEFSSADEVINSLLDVRPEKWGLPPFDDWIEGTLPIEPWFISGPVIKGFGRGSKVLGIPTANLPAENFSDVVSEHTSGVYFGWAGLSTRGIYKMVMSIGWNPYFDNTEKTVEPWLLHDFGEDFYGEELRLAIVGYIRPEANFPSLESLIERIHEDGRIAEKALDLPVYAKYKDSPYLRKTLLQANSADGQAELNSK >Et_6B_048823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14045078:14047181:-1 gene:Et_6B_048823 transcript:Et_6B_048823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSEVVQWWEEWQLRTLVLTSLFLQYFLFITAALRKHRIPAWFRFLIWLAYLGSDAVAIYALAALFNRHKNQEQVSTHRRSASLQVLWAPILLLHLGGQNGITAYNIEDNELWRRHVLTAVSQVTVAIYVFSKTWSRRKDQMLGQAIFLFVPGVFKCLLKPWDLKRVSINSLVDSSYGSEEDGEISSFHGYIQAAVQYFQVWDRGQPSDGGEGNKVEFKRLYGLFVDLAPAYSVRLIFLKLLVQNPDEAHHLLQCGLSEMFNRLYTKESLLNRLHKLKWAKWHNFISSLRVAAAGSLIGAIPVSLYYMIQSKQAYKYIQTDVNITYALLVLTFVLELVMPFVLSLDITFWWRSRKNMGQPVVKGLWSDQVAQYNLLGYLARNKKHRNIRKLATLLVCKDYLDQLWCMKSSQSSGHITQLISDYITAGWQKINDITTYHRFNDNRGQWTLNREGLFNSLGWSLRRPFDESVLLWHLATDFYFHQTGTLPTHETARNCKEMSNYMMYLLFVNPEMLIAGARRSLFKDTYEKLKDMTQDKKPPLGEKEMTKHVIRRVMAHADGSSLVHDAWSIAQDLIDGFQPEDKDKMWRVIQGVWVEMLCFSAGRCRGYLHAKSLGKGGEYLSYVWLLLSYMGMETLAEKTQRT >Et_9A_063208.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:15559679:15560320:1 gene:Et_9A_063208 transcript:Et_9A_063208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQASSDLSSEFDDVCGNCAVLRAQMAALGATTPSCVYGKRLSAGAQADLRHGLLSVGGEHLTAALTGGELATVADHDNTGGLDVLVFDRDGTRHDFKCVSTSAEDDTGFYRLAAASQSGFELFPSDSNVACGDATELFMEVWAFRSRALRKGRKPVDGGHPDGALGMVVLFFDDLGTEGPEDEVFDDDNRSILHLLRHCLKVPEGYELEQFI >Et_9B_064162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1266774:1268818:-1 gene:Et_9B_064162 transcript:Et_9B_064162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTLPLCAMRRSTCTTMNALAESSPEVGSSRNSSMGSWIMSVPIDTRRRSPPDTPRCASSPMMVSAARVRPSWSTSASTRARFFSGDSARGRRNSAAYCNVSRTVSIGRSSCITYAEIARRRRPCSSSPLRVTPPRRLSRAIRPASASSRVDLPEPLAPITAKSSPSRHSPEMPSSRVLVCCLDPAEAESVDATARRLLTHSGRHASAPPFLTLYERSLNCSAYGTGGASCSVAVLEPGSSMSITVWPELVAMSSVKTRCTRLGTRRCCKLLWRLVVPWSCLSSTYNGVEGTRTGHAIGYDQLQHLRCRSRTRQYHDI >Et_5A_041801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:379754:387197:1 gene:Et_5A_041801 transcript:Et_5A_041801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEDYHVIELVGEGSFGKVYKGRRKYTRQTVAMKFILKHGKTDKDIHNLRQEIEILRKLKHENIIEMIDAFETPQEFCVVTEFAQGELFEVLEDDKCLPEDQVQAIAKQLVKALYYLHSNRIIHRDMKPQNILIGKGSVVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDNMSSNFKSFLKGLLNKVPQSRLTWPALLEHPFVKDASLQTAVDARITPFEVKRSEDTRKAEEIQQSRNQTSPVDQQSRNAATIRENACDKVRGNSKVDDPIGAIKDHEDSSTDCTALDKLEKTSQTVQGANIIVGDTEALSTILSPIKMWLSKSPSSPRELNIDGANQSLRIVKNLIDAGSSQPSAATDGIISVFLEFTSLIIRTKISDGYGLPVKCLAIARKLLDTSEAVILSSYDRHWSTLYELYSQILVATVDPSGRISRESTACLALMLSRVISGLKASMSSEVPKPVEESLLKIIDHARKSQLLELLCECLIASGSDIISGSTNMVPAACEACKAIWYLAHAVDIVSISAHHFSFPLTNSWRQVYSKLGGKLQEQGSMEHSNSTNLIDTFVKSFLASGPMQIAVYHCLHNGLESAIHASLQLISRACLLNVTFCEIICGPLNSPPDGNEVDYGGDGTIVSDMFSLLSLCASYLNKESKQNSNQKCKLSNPHALVVHCCLALATIAACLKSEGKSTASVVLTRSQKKQRSRLSVLAHLSSVDDTVKSCLQPHCASAMLALSSLVSLENGGETRSSLCETALALFPRMATLHTLLKLWLSDGSEALCRYNAGLLNLFGLRDGSIGLLEIRLKWGGPLAIEQACSVGIPQLLVRLLTDGFSKEISDGKDGATNRSGLSPLGVVWTLSALSQCLAGGFFREILYKREQLKLLTDLLSDVHLKALAAWTGLGGGKRGVQELLNSVVDILAFPFVAVQSSPNMPSTSASINSGFLLNVASPGGRIGTENKEMLKTIEHSMSQYIQVLLEVGVPGCILRCLDYVDMEGVARPLAILAKMVGYRPLAVQLLKVGLLNPSRVATLLEGPIAKETLLDFLMIVSDLARMSKDFYEPIDKAGLVGFLKNFLSSEDPDIRAKACSAIGNMCRHSSYFYGPLVGNAAYHNGVLYEELRRSIPQLTNLLLGPEEDKTKGNAAGALSNLVRNSDLLCEDIVSQGAIQALLKMVSNFSTVALSPSRRDVLTESPLRIVLFALRKMCDHAICRNFLRSSELLPVIVHLRQSPDPTISEYASAITSRACQA >Et_7A_051029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13851156:13853015:1 gene:Et_7A_051029 transcript:Et_7A_051029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPHRHAKTDSEVTSSMAASSPPRAAYYVQSPSHDDGENKTAASSFHSSPAASPPRSLGRDSRDSSSSRFSASKAPSSRRGGVAGPGGGVAGGPGGAAAAAAGGRRGGSPWMKEAAIEEEGLLMDDDGDDGYGSGAGGGLPKRWRYGLGFVGAFFALFFFFALILWGASHNQRPVVTMHSVTFHKFVIQAGTDASSVPTEMSTLNATVKLTFRNTGTFFGVHVSSQPVTLYYQQLVLASGNLKYFYQARKSQRSLTLAVVGDKVPLYGGGSDLSSTPTTLPPPKKKMPPVVVPPPSVPMKLSVRLRSRAFVLGKLVKPKFITEVQCRVTMDQTKMGKAVKLDKACTYTH >Et_4B_039230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8980645:8986281:-1 gene:Et_4B_039230 transcript:Et_4B_039230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRHKVGNEYALGGRDLYRAADQHDPEAVLDGVAMAGLVGVLRQLGDLAEFAAQVFHGLYDEVTSTSARGHGLMLRVQQLEAELPLLENDSCQRDYLYVASNKGIEWHSNPRLDHGAVTRGDVPRFIMDSIKQCRGPPKLFMLDKYDIGGEGACLKRYTDPSFFKTDSACSSVLQEGIQRERRPLRAMEIRPNLQNDEIFRPPSPVNIDSKLESDLSGEALNEVPTRRRKLKYRHRNGSVFQNIRPHMQNLYGTVSSEEKLQRADNAEVGISLTDSLESNTEERDIVVDTSIDMGKFMENRSVKVGKNRSISEEALSRSSDARSAGSSKGYTSEVEIYVDALTTMDSGVETDSERRSPGQGAITRMDSVITCSDGHNAVSSRSSSFEKKDSSDVASTNGDTCNEQEEAIVSSPQVKHIPGEHERTSSLEELFAQEKPAYCDHERSSSLEELLMEDLHPSERGVREQATELSSNGIVSNFASNGQDITNNAKEAKENSNIATISFKKIASKRSKYVGGMEMIASKVGILPRKLSKKHDPFSDSLRSMAKQLLELKYDGTQDTELYEFDADGEGCDVKFLEMYDPPVEINESVMQRIPSDPPHDDVGSRECQQEEVNHESEHDGPPTDSPQDSVPDDGNGFQDSSFHYLTGITSPIFQEEEGCAAAECDENSATHILNHTSEHDEEKFEEHPDSEVAEDPHTDVTSDNASDVGEDLKEVSICAGQVNPKDIVESNEYDACGLDDESTDHIEEQAVSDGMISSPISSKQSDDPCRITPLTLTDADDIVTCKDTDRYAPETYNITLSETLADGDLTKVIYESGTASEPAVPENEQCYLHPESTFKEDTVVGSYEVGCSIEQWFLCNSSTAVVTDSTVKPEEKHELHWAGHQEIPNSCNRNTEVLGDPLAPDSRDVSVPIISSFDWMLNGTMQQSLNVLPSQPTYGNLKVNGSSKDTEDPPPLPPLPPMQWRTNKLPTGSSAISAKFGRPPRPRPPVKHQENDGDSSLGVRNEEAGMVRESSLHNGLSLQNEIVQAIVSNEQETDQLVNKDSPAKFSREGEKEYDLQDSNSSLGVRNEEAGMVRESSLHDGLSLQNEIVQAMVSNEQEMNQLVNKDYLVNLAQEGEKEYDLHDSNSLSVSEVNCAPEVASVKYETLHTLQPTELIVVPEEAWSEFGDIALIAEQEGKQQLSIGVSGCNGMHTAGLSTEKTIEKHQIYVDHKETEFSAAGSDKLAESEGNEANGTPEQNGMLDPDLPEKQEDGECCGSDDKSREFSSALEEEPAKSPTHSAPKPPRYPLLPVISHDRSMLRKAPTLVQPSSRLSDEKNNILEQIKNKSFNLKPVLAKRPNVMGGPRTNLQVVAILERAHAIRQAVADDDDEDSWSE >Et_1B_009850.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:32733447:32733830:-1 gene:Et_1B_009850 transcript:Et_1B_009850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPMSLLDLMSLSASSCDPAPPSPGTVQVVPRDVSDELLGKFEDTGEFGFEYGRSALWSPLVLRPEVLASAQAGESRRGSRLRSWRRKVSAVDSVSLGSMAMVADALLLDPDCSLFLIRQVFRCW >Et_1B_011883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26865023:26871928:-1 gene:Et_1B_011883 transcript:Et_1B_011883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHHVQADGRFAWELCGAVDSADLSPADSCFKDLVFPEIWDAEEKRLTLDKVMCQFLTLDVYRDDVVYVRAKVKADDPNGWMLAVHTRSNQLERAPPFSQETAHYLRTDLQCDFSKYLSEDLNRSCVLLDTIGRNDFFVINATTAGTVTSAGWLFEVTFELVDPPSLSRCFFRYAGPPPTSGSGNQYTTSVTVTVSGADGAFLLVRLGFPEPGYKYRMAHDVFVYRSGPGAPSLQLVPPRPNRLSRYPVNLLSGYVAVLSCDDDGHCLVVVPKREFEPFFSYHLDIFSTKTGSWSEKAATVADDTEHFYHSDWISPSRVFSVDGGGAGLMAWVDVRHGILLCNVLDEDPQVRMLELPPLSPRNMERFGTESDLNSIRDVVFSDGSFRFIQLEYPDSTDDDNPGQHRFTATMFKRTVDPQDWELCGTVDSAQLSPADTCFPDLLPLIWDEEEERLTLDEVLCHYVTLNMYRDDVAYIKGKLDARDPDGWVLAVDTRNNKLERVQPFTEMPRYVCTVLQCDLSKYLSKGPRVME >Et_3B_030926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:938296:940268:1 gene:Et_3B_030926 transcript:Et_3B_030926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVGATDRSSMEFWPEFLASSGGREFVAGGVGGMAGVLAGHPLDTLRIRLQQPPHVSPGITAAAVLRGILRAEGPAALYRGMSAPLASVAFQNAMVFQVYAILSRSLDSERSSISDPPSYTSVALAGVGTGALQTLILSPVELVKIRLQLEAAGHKFRRRGDHHGPMDTARDILKKEGLRGLYRGLTVTALRDAPAHGVYFWTYEYARERLHPGCRRDEDESLATMLVAGGLAGVASWVCCYPLDVVKSRLQAQSMSTPARYRGVVDCFRKSVREEGLPVLWRGLGTAVARAFVVNGAIFSAYELALRFLAPRAGNDQRLVGHGGELN >Et_10A_000070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21568902:21569710:-1 gene:Et_10A_000070 transcript:Et_10A_000070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRCVSAPRDWGFGVGATERCGRMKRSATCESREGVEEGAEDESSWASSARMAARTPPSLEARRRAAEVLSRRRNIARAHGLEMSRGPNRARMCWGLTIKSPVSQKTIA >Et_10A_002220.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:4635789:4636544:1 gene:Et_10A_002220 transcript:Et_10A_002220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMQRRSSIDDVTNDLLDLILLHIPSRVGLVRAAATCKLWRRLVAGAGFLRRFRSLHGPEVLGHYYVSSIGPGAFFDDPTSTAPGEEEEEAIDVTVRYLKFLPRSQSNSLLTDSRGGLLAFIYGGIITVCNPWIRKHIQLYPPFRRRETSHYSVSLGAFLLDADACADETGSLSHFKVLWVRLLQQCNDASKKTIDAEVYNGCLEVLHHVCSGVPCVVDRRVYLSQLCTSIGSGPHVSWRFVDSTETVCA >Et_2A_014661.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32861386:32862042:-1 gene:Et_2A_014661 transcript:Et_2A_014661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLSCSRSAARGQHVKLVFPGGHVELLDRPTLAAEVMARHPRFCVARPDVFREPAGAVAAPDAVLQLGHKYYVVPSSTVRRLLKYSSSSSSSRVARSPAKRGGGGGGGGAVTLRAHLAGGGSHEKGYKVGGRRRWFRCLVGGEAKAQRPRRERESVGHGGQPEKTRELEVNESNKEDGKAPAVGSPGRRRRRGPSASPGNSASYSWQPSLHSITEE >Et_9B_064608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16923783:16925974:-1 gene:Et_9B_064608 transcript:Et_9B_064608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISDQKKSILESLKQQHAAAKAKKLQDEQLKSQKKSNINTPKPKFDASRKGKAPEFTRCQASAQPSPDKAVASSSSSRQHKPSTSSGEESNPVYDKISCALHENLFQDDIPEFDGTEVVHSVIYDIIQNGGDTGKITKGSKKLKLEKGILLDNYVQRGPRLVDAQARSLLIHSKRSKRHMSLKQHKNCGSFDLDQRFHKFDLYKPMHEMWKDYIKELTKITPKKQLSENFLSADLHGAFLIVVECKTTSYQGVSGIMIRDTAETFGIISEDNRFRVVPKAGSVFILQADCWKVTLIGDKLSPKEKLKEDQRLQHAQSLIR >Et_10B_003945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8152170:8153282:-1 gene:Et_10B_003945 transcript:Et_10B_003945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVRPAEEEVAQAQLVREEEEEAPAEKDVAVVGEQSEAEEEVEVEAEAEAGASAKKNRIQVSTNKKPLYFYVNLAKRYMQNYDEVELSALGMAIGTVVTVAEILKNNGLATEKKILTSTIGTKDESKGRLVRKAKIEILLCKSDNFNSIMSSKKSDRPKNADEEIKV >Et_6A_048111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6768035:6769353:1 gene:Et_6A_048111 transcript:Et_6A_048111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGSSTPVNTKSQSGPVPFKDVDDGSVCPEHTDFKEDLVAALPCRHLGNVEVRLHQGFWLPAFWVPGALPFQRRFTPRPDDVILASYPKCGTTRWPSPPCMARGAHHPLRRLNPFVEEIFAGGEQDKLDLLLPSPRLMNTHLPYTLLPADPDATSYKVVTWPSRRGTSSGLSSFRDVFELVCDGAMAVGPAWDHVLGYWRASRVARPDRVLFLRYEDLLRDPGGNVRRRAEFVGRPFSAAGAVDAVVELCRFDAMKGLEVNKTGRGFARGSYFRKGVAGDWANHFTPEMAARLDGIVRDKFQGTGLTFTRNVGTWDYIRAISDNRVVITKIYRTRSDLGLPGT >Et_2B_019333.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24273951:24274349:1 gene:Et_2B_019333 transcript:Et_2B_019333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVQQGSRQQQHDGVKVKFIETQFISSDAASFKAVVQRLTGKSSPCPAQSSHPAPAPPQRPRPCRPAPFDAGQQQQAGWAQHDQAAAHHFTMPAPKQEQQLLEDQLYELCDFGDLLYATAAGSRYDGFPY >Et_8A_057693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6106722:6116846:-1 gene:Et_8A_057693 transcript:Et_8A_057693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPSRGADDLTGLVDEFYFSALAHGRNDNADADAAGEDVELFPISDDKYAAELQLQEVIMSSAIASSLLPRPRINGVAVAEAALVPASSSFASSSSSSSPADATLVFCKICMDAVPAGDAYRASRGCAHAFCGGCLARYVGAKIQDNRIAGVRCGGALDPELCQALLPREVFERWCASLCEFTLLGAKKAYCPYKDCSAMMLVDDDDGDVAEAECPSCRRLFCARCGVAPWHAGVGCAEFGRLGNGKAAVDSRREDMLLLQMPRRRSGSGAPSAISSWRNAMAACTLHAARAPARDHFPPSSPPPEPTPMAGQQQSTCGICMEPMAPSEAHRGGAACAHAFCRACLTGHVRAKVEQQSAVVRCPDASCAAALDPELCRSALPAGMFERWCAALCEALFAGARRTYCPFPDCSELMVADDAGEVTQSECQVCRRLFCARCRAAPWHIGVTCDEYGRLGKGDRAREDMMLLEMAAGRKWKRCPSCQFVVEKIEDSSPPPRTSPHSGGARHPATTMAGAPRHHPCGICMEPSEVHRGGAACAHAFCGACLTGHVRAKVESGGGGGGAVRCPDASCAAALDPELCRAALPADVFERWCAALCESLFLGARRTYCPFPDCSEMMVADDNDGEGCVTQSECQGCRRLFCAQCAVPWHHGVSCDEFQRLGVGERGRDDLLLVEAAREGNWKRCPRCRFYVELAHGCLHITCRCGFEFCYGCGKKWELIHDGLTLNSATGA >Et_7A_051742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2419624:2422481:1 gene:Et_7A_051742 transcript:Et_7A_051742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLAGAEKEVLVEVVRFTQKNGLKGSDGGWKDFLARNDKKFGASVSDPKKRTRDVLLAFLQTFSKDFQKYFGKLVKRYKERSAIQEHMKDFPDDVSPEQKLVLLTAEHPECRKNYNFPSYQQGWKVLRVGEVSDLKGSRAMLAIDCEMVLCKDGTEAVVRVCVVDNNLEVKLDTLVNPFKAVADYRTHITGVSKKDLEGVTSSLVDVQKSLKKLLTKGKILIGHSLHRDLYALKIDYSQVIDTAYIFKYANLPATASASLNNLCKSVLGYSVREDGEPHNCLKDAEAAMNLVLAKLKHGFNDPIEVAASNYVPESDVLKLLAHRIPVYLPCQELCKIFSGNPSIDEKTESRIRGEFYSTFISFNDVDEVDKAFEELDGQVTKDSGGRLQKQVILKRDNGDVVIFYVRKMVYGSRLNHSEDSKKRPQPQLTTEDGELKQENGDGDQPKKKRKGKK >Et_4B_037244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17026204:17028751:1 gene:Et_4B_037244 transcript:Et_4B_037244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWPDGGGAAAAAANLAPQRPPALFTLPELDRPPAVLNLAELEAVAGALPDKKRRLRETFERLAASSPGPLPFTWEDLDAHLSSFQYPVTLRFQQLRAAQHNQVPLPAPVSVPAAVAEKGKKRKASPSQAADADDAMRVVGEHAAAVQKTPLLGDTDGAVAEKGKKQKASSSRAAEADDAMRDVDDVAEEAEKASPLGGTDGNGTRLCPAPSGAGGGSLAVVVPQERSDAAKSRRPSNAASVVKAGGPNSTGQRRRAPRPVPAPAVASAPAIAGGGDAEKSVVKMDVEKISGSHNAEKAKVSPSPHAGGVGKTTVGGTDEDTQVDPVCNVTAVGSKLHSSAACGASSASKDPKLEFSVEAAADMDMQVVAVTESTPDVIKVAAPAQAADTSLPLQCKSQEDCKVSPLPLSSGNSAAGQHLRPGKLDRSWWATPHTGVNVGTAMHADAARNTSAQANSPTFKPKIQNKLSVRNHGGRPMGPQGTAQWLKKEPGPWKGSSSPGSIVSAAGNGMPLANSSRNNGNGKGKPWVNESSKNVNKFCYKCGSEGHEFRKEKKKLEPSLCIGINTVELEWRRYGMDRADREGYGMGL >Et_5A_040529.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:5779465:5779527:-1 gene:Et_5A_040529 transcript:Et_5A_040529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADGFMDGSEMFLKAAVLC >Et_9B_064519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16075673:16080094:-1 gene:Et_9B_064519 transcript:Et_9B_064519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGEQAEDAIVADVPNGHGNEGEPRGAMGVEGGDPEHDGKFTMKSLLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMVSGVLLQVFYGLMGSWTAYLISVLYVEYRTRKEKEGVSFKNHVIQWFEVLGGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRVWSFLGLGMTTYTAWYLTIAAAVHGQVNGVTHSGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLVATLYVFTLTLPSAAAMYWAFGDQLLTHSNAFSLLPRTGWRDAAVVLMLIHQFITFGFACTPLYFVWEKAIGMHDARSVLLRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYVIPALAHMLTYRSASARLNAAEKPPSFLPSWSGMFVVNAFVVAWVLVVGFGLGGWASVTNFVKQIDTFGLFAKCYQCPPKVHAGTPLPAPPHH >Et_7B_055525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13057344:13060086:-1 gene:Et_7B_055525 transcript:Et_7B_055525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNQKKSPEYRSHMQMPCFDIKIKETNVTRLCHEKTVLTVNGQFPGPTIYARKGDVVVVNVYNQGDKNITIHWYRLIEVHPCLHKTSF >Et_4A_032343.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30819675:30819908:1 gene:Et_4A_032343 transcript:Et_4A_032343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGWQTPRREDCRIPVVPPCPAAPPRKKAVAMPERGVGGGKRREPPKGGYFQPPDLESLFVLVPPRRHAASSCA >Et_7B_055955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7982596:7989005:1 gene:Et_7B_055955 transcript:Et_7B_055955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNCSQGYEGNPYLLDGCKDINECDHNQCPSGAACHNTEGGYQCSCGAARKFSKQSNTCNLDSTGLIIVPLSDALKQVLQSAFVLMIFVFSGNMILQKRKLNRIKEEHFRQHGGMIVLERMKSERGLVFTVFSETELVEATDNYDKSRIIGKGGHGTVYKGIVKDNMAVAIKKCSLINERQKKEFGQEMLILSQINHKNIVKLVGCCLEVEVPMLVYELVPNGTLFELIHGKNQALHTSFSTVLRIAYEAAEGLYFLHSYASPPIVHGDVKSANILLDHNYMAKVSDFGASILAPSDQEQYVTMVQGTCGYLDPEYMQTCLLTDKSDVYSFGVILLEVLTGQLPLKLDGPETQRSLSSNFLYAMKVNNLDALLWRNIKGQENSELIRGLANLAKKCLEMCGTNRPTMKEVAEELGRLRKLLLHPWVQVTETESESLLDGASTTSFEIDVGTTGYPMQEGSHLPMNPRSSYYAR >Et_8A_056752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16340445:16343697:1 gene:Et_8A_056752 transcript:Et_8A_056752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNPRFRRHPQPFSIDLVRWLPSSATSPSGRLLAAAVHDPSAASSDILILPLADPSSPLASLPLPSRATALRCSTYALAAATSSGSLHLAPSSSFDAGAAVAVSGGAGFHVGPVRGLDFGGEEWVTAGEDGRVHVVGGGGDGRVVARRVWDGKGMAGYEAAKWASPAEFATGGAGCGVQWWDRRKGDAAVAQCSGIWGRGLVTGMVHSIDIHPSRKHICVVGGSSGTIFAWDLRWPQQPISLSGTGLTAARPVCESEVWEVLFDTCTQSSDILSSASARLLPVMMCSEDGILAVVEQDERPLELLAEPCAINSFDIDPQNPSDVVCALEWESIGVLSRGRDAMTEQ >Et_2A_018521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31610728:31624890:-1 gene:Et_2A_018521 transcript:Et_2A_018521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQIAGDKLAWFRDEEFARETLAGMNPMSIQLVTELPIVSQLDEETYGPRESAITKELIEQHINGVMTADEAVRNKKLFMLDYHDIFLPYVHKVRELENTTLYGSRTLFFLTKDGTLKPIAIELTRPKSPTKPQWRHVFTPGSDGSVTGSWLWQLAKTHVLAHDTGYHQLINHWLRTHCCVEPYIIAANRQLSQMHPIYRLLHPHFRYTMEINALARGLLISANGIIESAFSPKKYCMEISSVVYDKFWRFDMEALPNDLIRRGMADWWTEVRTRGHEDKKDEPWWPKLDSHESLVQVLATIMWITSGHHAAVNFGQYPFGGYFPNRPTIARQNIPLEMGRQGMRKLVEKPEEVLLETFPSQFQSLLVLTILDLLSSHSPDEEYLGTHVEPAWTAEGNVRSAFEKFQRRMREIMEKIDEWNEDPKLKNRHGAGVVPYTLLRPCDGDHSDEKSVMEMGIPNSISI >Et_3A_025646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31558520:31563447:1 gene:Et_3A_025646 transcript:Et_3A_025646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLFLQVPAEAGNVDADAVRARRSLLSNIEPIIKSVIKSAGRYEARLWLCNTVSSIHSLSRHDQRELFLDLLEMKNSRRDVAARLLRMIFEKKPERAGSILARKCHMLEEFFRGRRRIMQWFDHFSFSGESTHKRGARALSQYAFVNRDICWEELEWKGKHGQSPAVVATKPHYFRDLDILQTVENFLEYVPDFWSSDELASSVKDGEILQIDAEYFVDQFLYLMYEENSKDVWRVVEDFLMDEQFSSLCQHLLIHLDEQRLLDFLKALGKLISKNLQCKELAFQCCWLEVLLSGHSGHMSLDDLVLLNCVISKRRQLLWLMNDEEQVEERGRMEEFLKNENHLTDADHFSLMTEFVETEFLDALKWIGIQSWIIFSDLSKECKSADSCESLFSCNKIEFRKADDYSLVQSDGYSVSHISDADDEDLTRNGHRSRKRNRKRKRRRYESDEDHLDQLIEVAGCNTTNIIGSQCRSWSLSTDAFSASWDIADIPDHLSSCYFTTWVKWGTSYPGDPMQLSPIFEEAGKKLIEVRLKTEVRITKLREERYPTKDKPRYEQKKQHMP >Et_3A_023132.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30092801:30093192:1 gene:Et_3A_023132 transcript:Et_3A_023132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRSLNGGSGRARGYPRCYVQGLTPSLFWSHGGFKESEIKGSMSFKPLCRSRWHMRSLTMLTCGRLRGSPNFGSS >Et_7A_050678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10013252:10031876:1 gene:Et_7A_050678 transcript:Et_7A_050678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGSDFGAVHGRIPATTTSVLGADLAAAPQRIWPSIEQCAEAEICLLRLQQNEDKTMNYTLSPTDAALISRDLLDHPDPDVKLVVTSCLTEVIRLCAPQAPYGDEVMKVICCPYLDELLLVSICTDLIYLPRFQEIFQRIVETFADLADINSPSYARRLSILDSFSRVRCFVLMLDLDLDHLIRDIVHHFFKAASNVIGWMEHILRVIIEESDDVPADLASCLLQYLSKEAQETFPASFGLAERVVGSCKDRLKVVFVQLLSGTPLCNYSEIVILLCQDASGAATDNNADASIADMEGEGKISETFVSEEPPQEISKIGQDDCQGQDDTHPSITCTSAFSDGGPLCDNVTSLDNPASSEQKPGLSSSDGHAKIDQLISIDKELSESVTTETEKLSDGHKLDSSPVTGFEVTEHPKVVKVNGSVVASEELSPEIDDIDNEQLIETGEKAADGSSKPVDTKPAVIKRRGRPPKSQEKKKGSDLRSGKVDPVCGSGGRSTRRLIKDDAKPPSTKAVVGESVKKQHIDKDTDEDTSLKEMMSTTKSDKTKGQQEDDGVSKRKRLQEVEEAPPSKKKIKLDENFVGSRVKVWWADDKMSYNGVIESFNASSKKHKVAYDDGDVEILLLRKEKWEIIDELRVAFYFQPGRVAEEVKGAQGMNEGKTGAPQSGSRDPPKKRGRPCSSNNVSSAGSLAVTKSSLKRKRAEDAKETPKTGGNFKRVSSRLTRSTAKAKDDEAETWLLRLEQHKTVQYTLSPTDAALISRDLLDHSDPDVKLAVTSCLTEVIKLCAPQAPYGDEVMKEIFQRIVETFAGLDDINGPSYERRLSILHSFSRVKCLVLMLDLDLDHLIRDIFHHFFKAAKANHSENIIGWMEHILRVAIEESDEVHVDLALCLLQYLTKVAQETFPASFGLAERVVDSCKDQLNAVFIQLLRGTPMDNYSDIVILLCEDVSGAVTNSNADASMTDMSPSTKAVEGESVKEHIDKDTDEDKEMVSITNMDRTKGQQEDNRVSKRKRLQETEEAPPSKKNKMLDENLVGSRIKVWWPDDKMFYNGVIESFNASSKKHKVAYDDGDVEILLLRKERWELIDEEPASDPDVAADMPRGRRGRGSSRKGTKKGKAGTSQSGSRDPPKKKGRPKGMRSSNNVSNTDTLVVTSASLKRKNAEDIEDTPKTDGRLKKENSRVTRSTAKAKDDFVKASNKGEAGSTKSANESNGKAGSEDDRPKDEVKSSESVDESKTDALSTRRKLKEAEGKSSENAPAKVSTGKKRGRKL >Et_6A_046694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19509072:19512314:-1 gene:Et_6A_046694 transcript:Et_6A_046694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVAAVAPVDPSPSPAPSPSPVPSPKRRGRPPKNPAAEAPDSPVSPLAAAEAEMATGYEREREARIRQNMARMQELGILDLAQSLNHSAAAAASGRGGKRRRKPVEPGSVAAPRAKPAPLAPARRSNRLKSLEPVSYSEIPTKKEKGSKGVRSEFIEEGSKEEVYTEEHSKLLGPCETAWTLFVDGYNDKGERIYDPVRGKTCHQCRQKTLGHHTSCSKCHIVQGQFCGDCLYMRYGENVLEAKKNPNWLCPVCRGICNCSICRTKKGWAPTGSAYRKVVSLGYKSVAHYLIATKQSSANSADSSSTDSSDKLPCAKSETSCVSEHDAPIAKEGLEDGETSSRAKAKQNKAICRQVKSSDGFKDVSRSESVVTSDSQDDQDNKDAGCATPSKPAVPRKRKVTERSPDCVASRLRSRTNKP >Et_6B_048675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12531410:12533624:1 gene:Et_6B_048675 transcript:Et_6B_048675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAVAGSGASSSASGGGAEEAEITYVSYGGEHHLPLVMSLVDAELSEPYSIFTYRYFVYLWPQLTFLAFDAKEGKCVGTVVCKMGEHRGAFRGYIAMLVVLKPYRGRGIATELVTRSIRVMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLYRYYLNGVDAFRLKLLFPRPDPGFPPMMIGSERDDQLMDSPYL >Et_8A_058415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6932920:6936734:-1 gene:Et_8A_058415 transcript:Et_8A_058415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATTTASTWDEYAGQIFPAADMYNQETLEAVLRQRVTPATMERESSAVDGDAAALAAASAAAPRRRPQRTDRHSKIRTVQGVRDRRMRLSVGVARDFFALQDRLGFDKASKTVNWLLTQSKPAIDRLCGGDAAAVPAPTMTTTVKKGKGEGMSSSTRSRGVVLDAPVMALVEDHHGACELDWNMAEAAAAAAELTQPMDGLEHYQYQYYQLQDMMRCNNGGVLPRITFKKLAHPIQN >Et_3A_024745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23850866:23853941:-1 gene:Et_3A_024745 transcript:Et_3A_024745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPHCSDKPQSMNVVAVLRMKDGLSESSYAKNSSLQKKGMDTLKSFVTDSATRVYESVRPERFTIAELGCASGPNAFGLVEDAIRSVTGAVSARGAPPEFSVLLNDLPTNDFNAVFSRAPEFAAKLKAETKAEVFLSGVPGSFYGRLFRSRSVHLVCSFASLHWLSQVPPGLSDGTNTPLNKGKMFISSTSPPAVPTAYLKQFQRDFGLFLQSRAAEVVSGGAMILSMLGRRNRGYTDVETTILWDLLSESLSALVSQGLIDQEKVDAYDTPFYAPSPQEIEEEVRREGSFSLDYVQTLETNLSGTGDAKRDGRMLSMGIRAIQEPMLSHQFGPDIMDALFQKLTELVTVAIMDKGEIMNFKIGMVLHLSCWGLLQQQRIYTACWGRSIHGADDPATHSAPQ >Et_8B_059992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4884910:4886533:1 gene:Et_8B_059992 transcript:Et_8B_059992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAQETELLHQRQAWWPLDTMVCSLETSSCSLFGWDPHLFYFGQGAIGNGASDSGSREHNDLEIIVPKCMEFPVSEASAAVTGLLTVQDAMAMPTELDELLQNLLDSDEEGFSSWCALQEASAMSLFQHDHYFNLGNVSPTVPTSPEKILTPPQAELACFSSSQGSGAPRASDTTNGAQCQTVGANCSSKRWAPAEKESRGGESCKRSRTASSVVGIGSSVARPFAVVKPSGADGEVTLADINKWILAPPSRPVRHPTGEFACAPRVSAGNRPAPSGKTVAGFTRVHTAGRGTVTVVRTIG >Et_6B_048882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1503654:1507445:1 gene:Et_6B_048882 transcript:Et_6B_048882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPQTPGAMDVEAREEDSRPAARSTTMQRLLVALNCAMLALGATAGPLLSRLYYGKGGHRPWLAAWLQSAGWPLLLAPAAASYAARRRRRALGSTGQSAAPLLLAPPRILLAMAALGVATGADNFLYAYGLSYLPLSTSAILISTQLAFTVFFAFLIVRQRLTPATVNAVALLTVGAVVLGLHVSGDRPAGVTRGQYWLGFVLTLGAAAMYGLILPLVELAYKRAAGRGEVTYAFVVETQLVMGFFATAFATVGMVVNKDFQAIPREARQFELGEGQYYTVLVWTAVLWQLFFLGAMGVIFCVHTLLAGIIIAVGIPVTEVGAVIFLHERFSSEKGVALVLSLWGLASYSYGEWCEFKAKKRMEDEAAQAS >Et_10A_000189.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:16139352:16139396:1 gene:Et_10A_000189 transcript:Et_10A_000189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRVVLNETTQK >Et_1A_008033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40252984:40253854:1 gene:Et_1A_008033 transcript:Et_1A_008033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFGDSMVDPGNNNRLQTAAKANFLPYGMSFYGGKPTGRFSNGRLITDILAEKLGIGRSIPGFHDPKLKPEQLMAGVSFASAGSGYDDTTARRSSATFVISAGTTDLLFRYLPSNQSAEDAELK >Et_10B_004482.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:8893340:8893719:-1 gene:Et_10B_004482 transcript:Et_10B_004482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGCAGAEDIAIFQDRASSLPSGVPAYKVEVTNRCLGDECAIAGIHVRCGWFSSVALVDPRKFRRLRHNDCLLNDGRPMRAGETISFEYANSFPYRLSVTVATCVDPTTVGDGDP >Et_4B_038440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2942024:2945028:-1 gene:Et_4B_038440 transcript:Et_4B_038440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAGEPFPSVDKCDASRLGAQSTVVSDLDGTLLRSRSAFPYYALVAFETGGVPRLLLLLLLAPLAFALSRAVSESAGVRVLVFAATAGSRVADVESAARAVLPRFYAGDVRPDAWRVFASCGGRRLVVTATPRVMAETFAREQLGADDVAGTELATWRGRATGLVDARRGVLVGERKAEALREMVADGGVPDVGLGDRRSDYAFMSLCKEAYLVPPTRVAAVRPDKLRKRVIFHDGRLVQRPTPLVALLTVLWFPIGLALSCLRVATGALLPMPWLRVAFPALGVRVVVRGSPPPTGALFACCHRTLLDAIFLSVALGRPVAAVTYSLSRLSELLSPIPTVRLTRDRAADAATIRAVLAGVGDLAICPEGTTCREPFLLRFSALFAELTDDVVPVAVECRMSMFHGTTARGWKGLDPFYFFMNPSPADTVTFLDKLPPERTCGGGGKSSHEVANYVQKVIASTLSYECTGFTRKDKYQALAGNDGVI >Et_4B_036439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24146930:24147499:-1 gene:Et_4B_036439 transcript:Et_4B_036439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQCPLLLVPCFLLLLALRAAPAHGARTLATGAVGTTPPAAGSGSTSNATASEYLAPHNQARAAVGVPPLRWSADLAAVAGRVVAQQLQRGGCSFADMGGSPYGANQGWASYRARPGEVVASWVAQGKFYSHCGTYTQVVWRRTAEVGCAQAACPAGATLTLCLYNPHGNVQGQSPY >Et_2B_022034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8351663:8354069:1 gene:Et_2B_022034 transcript:Et_2B_022034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSAQHGWNWQTILISASFLGFLLGKKNKKLFWVSAIAPLISVIVSTIFVYITRADKHGVAVVKNIKKGINPPSANLIYFTGPFTLKGFKIGVVSGLIGLTEAIAIGRIFAGMDYQLDGNKEMVALGTMNVVGSLTSCYITTGGFGRSAVNSMAGCNTAASNIILSIVVLFTLEFITPLFKYTPNAILSSIVIAAVIGLIDCKSSYSIWKVDKMDFLAYLGAFFGVVFASVEYGLLIAVGISLAKIVLKATRPRTALLGNLPRTTIYRNMEQYPEVTMVPGVVIVRVDSAIYFTNSSYVKYRILRWLKEEEERQQEQKFPQIEFLIVELSPVVDIDTSGIHALEGLLKILEKRQIQLILANPGMLVIHKLQSAKFTELIGEDNIFLTVEDAVRKFAPKAVDSV >Et_4A_033712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26065381:26068061:-1 gene:Et_4A_033712 transcript:Et_4A_033712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAAPPSQTTTYVSQPSKSTHTTAATIPANSSMSHSQDFHHQGSSGVFGFSSDGFDRPDSSQEHQQTQQDHHQHVAQQSRREKLRVQQQGGGFDPSAAAGLLPIDGGGDEHGEPGGAMSYEHHAAAAAAGASNMLSEMFNFPAAPPPSGPSATELLASQMNAANYGRFGIRPGAGGGLSGDWFGGGAGGMGSLGETSSPKQQGGGMAGIATDPAAAMQLFLMNPQQQRQSRSSPTSPDAQSSIQQHHEAFQAFGAAAAPFGAGAGGGVVEGQGLSLSLSPSLQQLEMAKQAEELRVRDGVLYFNRQQQQQLPMALHGGAALAGQGQLQVGYGPAGVAGVLRNSKYTRAAQELLEEFCSVGRGQIKGRGGRGASSSNPNASTKGGGGASSSGAAAQSPSSASAKEPPQLSPADRFEHQRKKAKLISMLDEARPPHTTRRINPLSFSFSWTVGTTTTATRCRWW >Et_7A_052094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4573063:4576064:-1 gene:Et_7A_052094 transcript:Et_7A_052094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEEEEAPKGPVHGHRLSTVVPSSVTGEVDYELADADLSYKLHYLRGVYYYPAGDVARALTTKVLKDPMFPWLDAYFPVAGRVRRAEDDGDAQGGGRRRAYIKCNDCGVRIVEARCDRDMDDWLRDDGADRIKQLCYDKVLGPELFFSPLLYVQITNFKCGGLALGFSWAHLIGDVASAAACFNWWAQFLSGKKPDDTVLTPANKTLGHSPAGAAAPRSVKQVGPIEDHWLVPAAREMACYSFHVTEPTLKKLQQQQQQQVGPAGAFELVAALMWQTVAKVRAAGQEVRTVTVVRTDVAARSGKSLANEQKVGYAEAGSSPAKTDVAELAALLAKDVVDETAAVAAFPGDVLVYGGANLTLVDMEQVDVYGLEIKGQRPAHVEYGMDGVGEEGAVLVQPDADGRGRLVTAVLPRDEVESLRAALGSALQLA >Et_3B_029005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21265876:21267363:-1 gene:Et_3B_029005 transcript:Et_3B_029005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRLSKVEGFKKTTKMLQVQLDILDKKLGNEIDKTERDISKQFEEKGNKLETKMKTLEYKTEKLGDFDSRKEKKKGLDGADNDISLDDIRLYAREIVEIKIARHAADGLGMVDHAQGSGGAKVLSHSEPLKSGRTYFSGRSSMKAMAHKMLEPSFGQPGECFALKGSSGFVNIKLRTEIIPEAITLEHVDKDFKVHGWYEGSQDDLNKDMNSMETLVEFSDDLGKSNAQTSN >Et_1A_007256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32722680:32723188:1 gene:Et_1A_007256 transcript:Et_1A_007256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAVGTPSLTWTPSPATGASSSHKFLDAHPEYIDRISVSSFNFATPKIVEDIVCHNSAWRATKAKKRGKANAMGGKK >Et_1B_014211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35573020:35574602:1 gene:Et_1B_014211 transcript:Et_1B_014211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEGGDKKMITLKSSDGEEFEVEEAVAMESQTIRHMIEDDCADNSIPLPNVNSKILSKVIEYCNKHVHAAAAAKSDDNKDSAPASASASASASGGDDLKNWDADFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRTTFNIKNDFSPEEEEEIRRENQWAFE >Et_2B_019355.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25187736:25188047:1 gene:Et_2B_019355 transcript:Et_2B_019355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSRLHPEESEVIGARVHQRRFLEAGRILVTGGWLVLTHVAVSNRAEDADHALVGLIILLVGVSLIVMSLSPVDNRFPGAARVGAAVADVVLFYFSRRPGTSLW >Et_1B_012078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28706313:28709771:-1 gene:Et_1B_012078 transcript:Et_1B_012078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGSKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGDENILVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDAHTNRHIPYRENKNLTGTARYASRNTHLGI >Et_2B_021371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29004403:29010255:-1 gene:Et_2B_021371 transcript:Et_2B_021371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRAALRRLVPTHLHNPAARRAPRLPPPPRPDEGPLPDPYALLVHDPIDLLSSLWRRAFAHPQPSPFPNLSGYASRLDLWLLSYQRACAHATGTFPPRQAVPLPTLHSLLRLRAAALRRHPAFPWGASTHLLLRSPVDTPSTVPISRRKLEARFADAPPPFQDRVVQELLLLLLEPVFEPRFSPKSHAFRPGRGPHTAIRSVRSSFAAYLWFISADLTAVVDGLSPDTILSCVQKAVSDRKVLSLLKSALNAPDLDGLAKKRLKRKVLRKSRKKKVLNENEPKPDPYWLRLFFGFAPEQAHHVPNYGHCGIISPLLANVCLNELDWWMEERINEYFCPSKHDSIWKEAGDEGCHNPAWPEFVPSSGREKTRKMDYLRYGSHVLIGIRGPREDAVEIRRQLMEFCETTFGLRPENSMVEIEHITRGIEFMDHVISRRVIYPTLRYTASGGNIVSEKGIGTLLSVTASLQRCIRHFRKLELVKGDRDPEPLPCLPMLYSGQAHTNSQMNKFLETMADWYRYADNRKKIVGFCAYVIRSSLAKLYAARYRLKSRSKVYKIASRDLSRPLRESTRNDAPEYSDLLRMGLVDFIEGVQFARMSSIPSCDYTPFPRNWVPHHELILREYIKLQDPKFFCELHKTIKRQEISSPQDDVSKMVWHYKVYGVYDEKRSITNVKELRNDEVVNEDNQLLLDTIINGGVNWSSTSCFLDYTQNKKSTFRILVRS >Et_1B_013932.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:24496173:24496805:1 gene:Et_1B_013932 transcript:Et_1B_013932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATASRGTVGASGRAQRVAVLRCLIAALVVTILLAGLVVLVIWLVVRPKPIDYTITRASVRHFNVTPASAGATVNATFYLTLAADNPNRRVSMRYELVEFRVLYGESAQLAVADVPAFGQPRRNETRLDVRAVARSAQVSEQTARELEHDARGGAVAVDVRVRARVQFKVGGVRSRHYSLQAFCSPVVVGLSPSAARSFRRVPCDVAIS >Et_8A_056348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:24037703:24039301:-1 gene:Et_8A_056348 transcript:Et_8A_056348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWLLSCLKSIHRKKEEEEEEEKKKKSIAVRVLTNSIPSLKEVNGFAFGNLLQSFLLGNTNGVKVRSREGRRRPHHAASSKQLVAIPFSCGGGNGNSSSASSITGASPAPSPSSSQKSSSEVVCPDYFRYIHSDLDPWRERGGITREAVESARHRAAFRLVVVDGRAYVETYHRVFQTRDSFTQWGIAQLLARYPGRVPDLDLMFNCEDMPEVYYTSPKDKAPPPPPLFRYCKDDASLDIVFPDWSFWGWPEVNIRPWAPLLEEMEAQTRSLPWPDREPYAFWKGNPGVSPLRGQLLRCNASKARVFRQDWGAAIRAGFRDSNLARQCRYRYKIFVQGRSWSVSQKYILACDSPVLLVRTPYKDFFSRGLLAGKHYWPIDPNPKMMCPAIDFAVDWGNAHPDAAQRMAQQGSAFAREDLSMDYVYDYMLHLLTQYAKLLRYKPTVPENAVELCPETLACPAQQKEFSFMMESRERYVADYEPCTLPPPFTADDLIKMAQNDKRVRDKVHTMMMTTAAQNKHR >Et_3A_024330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19607759:19610844:-1 gene:Et_3A_024330 transcript:Et_3A_024330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEPRWNNMQELKHSLGGGVAKEASPRPDLWAGGLICAFEFVRGRGLASPTGLSRNSSALQSSRDLLPDIDPKRKPPPVAKNLADGYDDGGDEPRAARRQGTTGAPEGYWAPIGWARIAELVTMVDVDTAWDGRQGMTMSHVDGDGRCDDDDVITVADVAAPYWQRPAGPTWWCHVAAGHPAVDAWLAAARWLHPAVSVALRDESVLISEKMKHLLYEVPVRVSGGLLFELLGQSIGDPAREEDDIPIVLRAWQAQNFLITALHVKGSASSINVIGVTEVQELLSTCGSIAPRSIHEVVAHLACRLARWDDRLWRKYVFGAADEIELKFVNRRNQEDLNLLCIIFNQDIRRLATQVIRVKWSLHARDEIIFELLKYLGGTTTKSLLHGIKKDTRQMIEEQEAVRGRLFTIQDVMQSTVRAWLQDKSLRITHNLTIFGGCGLILSIITGLFGINVDGIPGAKKTPYAFALFSGLLFLVGFMLIIVGIIYFGLQKPISDEQVQIRKLELQQLVSMFQHEAETHGKVREGVLRSDLPPRAADLIYDKVRKLGSYFSCEAKKEPTLQAEERSECGSVIASCPALKKLFCVIQIRALFRGL >Et_9B_065992.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19578960:19579493:1 gene:Et_9B_065992 transcript:Et_9B_065992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALSSAFSLVPAPTSSASSLSKATLPFATRGRGRFAVACASTTASPKILELGDAVAVLTLEEARVLVEHLQERLGVTAAAFAPAAAVAVPSAGGGEEAAAPAEKTEFDVVIEEVPSSARIATIKVVRALTSLALKEAKDLIEGLPKKLKEAVGKEEAEDAKKQLEEVGAKVTIA >Et_8A_058427.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:7535037:7535600:-1 gene:Et_8A_058427 transcript:Et_8A_058427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKTPTRFDRLIDVSGDGFLLGAATGSAFHFVKGLAAGSPGVGGGRRLAGAAHAAVANAPRVAGSCGAYAAVYCASKSAVAIARRMDDDPWNAVAGAAASGGLLNLRRGAAAAASCAAVGAVAAVAVLGFLWFDEQRQGHVIAERDAQMYLEIPRPPEDVPRPWIIPPRSTVPRVFAAVGASIKYN >Et_2A_015391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11892111:11892675:-1 gene:Et_2A_015391 transcript:Et_2A_015391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYQQQAAARIDGTKKREPVPQFHMCVFLPDARDGLWSLVDDISSTPDFLHDHLPTEEVGLGTLRLPRFKTGFSSKLTGVLRDMGIGAAQTCTSDMAEEDDSGLPLVVQDVFHKAVIEVNEEGTEAAAVTCTELIIGASAPPRVTVGFVADHPFLFFIIEKVSSAVVFAGYVLDPTVKSRAS >Et_8A_056557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12266906:12279353:1 gene:Et_8A_056557 transcript:Et_8A_056557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFLDTCEQSNIQAEIRASSALLKQNTKMDKTPHAAGLTGWRLRAAAITFAVAAAILSSPLPALAMKQHSPPATRVREPTRTKETEDALKGCFITDPNGRKWACSRMNNPAAKLTLFECAVENRLGAGMNLYCADEAIDQFVGAGATADLLFPSVFDPAPRTRPYTGDRTGPNVTCEWFCAGNTMNGVVVWNERWPEASSCRKDGGDGQCRLVFESNREVVLVTNKGRRVLGDLAIRDCSKNLWGYGGWAGRNWPCSRINDPAAKPTSFSLSVENHLSAAMSLDCDDDTAYDFAVAPGKSARQLYASVFDPAAPPFRHRVRRTLPAVTCRWWCAGNVMSGVVVWDERWPEAWSCRTTASGGGQCRLVFESNREVAFVTRSGRRVLGDVAIKECSNNLGGYGGWVPFGLACTYPKHDHDAEMNLNCEGAGKNSIRRGETKEWVYESIYPREQTKRTSPTVACKWWSDGNIMDGVVVWDERWPEAPSCRMDGQCRLVFEGSSREVVLVTSKGRRVLGDLAIKDCSKNLWGYGGWEQKWWRTGPAVPCKWWSDGNIMAGVVVWDEWWPEAPSCRKDAGDGQCRVVFEASRREVVLVTRKGRRVLGDLAIKECSKNLWGYGGWVPFGLACTYPKHDHEYYGTIAWTTI >Et_4A_034608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4836139:4840441:1 gene:Et_4A_034608 transcript:Et_4A_034608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAKILRLRGGGGGGGHRLLPSRASTSHVSSPAPPRPPPPAAATPPPPGAGKDASVWSKLFLFAPGAITFGLGTWQLFRRQEKIEMLDYRTRRLDMEPVAWNETTSSAATRDPTELEFRKIVCEGDFDEERSVFVGPRSRSISGVTENGFYVITPLIPRPTEPGSSQSPILVNRGWVPCGWRDKNTKDNQNVGEASESQEAVKKTDKKSSWWNFWSKEPKSSPEIEKPGKPPVRVIGVIRGSEKPSIFVPANEPSRGQWFYVDVPMIAPACGLPENTVYVEDINEDVSPTNPYPFPKDVNTLIRHSVMPDDHLRYTFTWYKHVTPSFCIFVVVGFLSSVLILSFDCYYPGVSYLLIGISFCFFSATIELQSLLHWSISGANQLNVGNLKTHPWIEYIRVRSDQISHASACSF >Et_4A_035875.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7785503:7785952:1 gene:Et_4A_035875 transcript:Et_4A_035875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGGEAAGKEFGSMEEFWGFYLSQHSKPGTRRWHFVGTLASLACVALAAATGRAAILLAAPALGYGMAWYSHFFVEGNRPATFGHPVWSLISDYRMFALTLTGGLDAGSSPASAFGRGPTQRRPLRTEIDPAAEFVNCTCRVAYLDA >Et_9A_061008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7335658:7335871:-1 gene:Et_9A_061008 transcript:Et_9A_061008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRQPTNRCRATSAASPTTPTARRRSLPTLPAGDAPPSPGANATPTDPCTMDTHLYLY >Et_9A_063104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10319187:10321248:1 gene:Et_9A_063104 transcript:Et_9A_063104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLFKSKSEPVRQNPPSPEEQQRKRFSNSVALIGTKTNLQINEVREQLGDLTTEMPGFLTDGTIRRFLRSKNWSTVQATKALKETVKWRRQYKPETIRWEDLAERENEVKRVYIADYRDKNGRTVYISKLSIKSLTSTKEHIKQAVYNLENLAMDSEGAQEDNVVWLMDCRGWRPSDTPIGESRETLHILQNYYPGLIAIAVNSDPPKIFESFWKILKHFVEPTMKERVKFVYSDSPDSQRIVTDLFDLDKLDSAFGGRNTAGLDVVKYGERMRARDQIRGACTHGNANPSSS >Et_3A_025868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33516299:33519064:-1 gene:Et_3A_025868 transcript:Et_3A_025868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTVRSGGGSAAVDVEDLLVRVKNGTEAELADVAREVAALAEEGRLGEEDDEDGLLVPALLARLAGSGNAEVRVSVMAALRRLAGCVGGESKERLASIEALSSIVRSLSRDIDQRKEAIALLLDLTDIPQVRQRIGRIKGCIVMLVTLRNAHESGTNDDADKLLHILSSNPQNVLLMAEAGYFRPLIHYLREGSDMNKVLMATAISKMFLSEQMKSSLGEDGAVEPLVDMFKYGNLEAKQSALGALRNLSSSLQNAELLINSGITGPLLQLLFSVTSVLMTLREPASAILAAIAQSDRILLHRDVAPQMLSLLNLSCPVIQLHLLRALICISGHTNAKRARTKIRQNGGVQILLPFLTEKNVDIKIASLNLLFHLSKDSSQQFDEQFKETHLGILVKIIFSSISSSTSLDEKAAAVGILSNLPVTDKKITEVLVQANLLPTLISLFEANITASLSPQRMWLLEGIAGVFIRFTIASDKKLQSLAVGNGVVPCLVKLLSEGSVDAKSKAATSLAQLSQSSMALRKSKLPRWLCVPPSAESYCIVHNCQCTVKSTFCLVKAGAVSPLVRILEGEERGADGEVLEALATLMQDEIWENGSRVIEKTSGIHALLRVAEAGDLCSQDKAIWMLERIFRLETHRERYGEIAQALLIDLAQKGNPVLKPMIGKILAHLELLQTQSSYF >Et_3A_026166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:583250:586583:-1 gene:Et_3A_026166 transcript:Et_3A_026166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGYVNKKFSNQYKATIGADFLTKEVQIDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVTKSFEKLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRTVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFELIARNAVKNEPEEDMYLPDTIDVGGAGRQQRSSSGLIK >Et_4B_037105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14783850:14790359:1 gene:Et_4B_037105 transcript:Et_4B_037105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSGNKKGKDKVVLPPELPEIDDDELVVSDEDVDFYCSDKRGADVVRKFDQKSIDKYVRRVTGKDEGEVERLYEERERKRKATDESWPRREQDEDMEVDPVDALPIKTLQGELVYKNAKKARPEGNVGGIKSKGKEESADAKPSVQKDERKGKSKNQKGDNKLQNVQSQTDVPKGKLHSEEEVKEELSAEELFEKKKGQLAELGMAMLEDPESNIRSLNDMLSISNDKDQKVVKLGLMSLLAVFKDIIPSYRIRQLTEKELAVEVSKEVKKTRYYEYTLIRCYKAYLQKLVLLEKQTNFYSVAVRCMCALLDTAPHFNFRESLLASVVKNLSSSDDVVRKMCCEAIRSLFVNEGKHRGEATIEAVRLIADHVKLNDCQLHPDSIEVFLSLRFDDDLGKDDEEEENEKPKKNKRWQNKEVSKQLPASDKKKTRQELISKAREEVDADLRAVSFTLNPKERRRIQQETLSALFETYFRILKHTMNTSVSRSKGNNVSVSHPLLGPCLEGLGKFAQLIDLDFMGELIACLKKLSGYTDHQDKSPRVDSLSVSERLQCCIVAFKVWRNNLEALNVDLQDFFVQLYNLILEYRPDRDRGEVLADALKTLLWEGKQQDMLRAAAFIKRLATFALSFVSAEAIAAMITLKHLLQKNSKCRYMLENDSGGGSLSSLVAKYDPEAKDPYLSGGLASVLWESNLLEKHYDISVSSMASNILSMANLNPTQNPVPILNVNPLEAYKDLSIERELSKSPGKVLTLNCKRKRRGKEFVALSPDVLKAEILVDEDDLKEKLESHFAVLRGISENERLRAELNHTMSSINMYKEYKQKRKNMKSKTGRKKVAKV >Et_9A_061219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22501839:22502813:1 gene:Et_9A_061219 transcript:Et_9A_061219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GAAPDRQLRGAARGLRRVEPPVAVLLRARRQQPVGGDAGAVGGVPAAGRRRARRPAPPPPGRRAALVRVVLAAWVPRRVPRHRRPHGRQQPALLLQHVAPPRVDVVAAAVDAARLHARPGRRHRPPPAHLRQPQRRRAPHHLLGAPRPGGVLRRRQRQRQDALLRQLRRDAGRRGALRSVPPRHGAPLPQGGVGRLRPRRRGAGGDAVHGHGGGGGRDGGDGGPRRRGGAVEGLAGAVLGRRGDAAGVIYLTSSFHSGVCMAAVLVANVLAGVAVFGPRILPLRRVRQEQEGSRRC >Et_5B_045200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11712048:11713113:-1 gene:Et_5B_045200 transcript:Et_5B_045200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWSPPPPTRRTRKMLRDNCMIGKKQQGRRPPATAAAPGGADVRNIANKQRLPRGLAHETTNLEMEASLAGDPRNSPSAAAAAAPAPEGEKTKRKQQKSLLAVPAGIKNKAAVDKLVSKFASDEFAVMLFHYDGAVESWSDLAWSRRAVRVGPRADQAVRFLHPDVVAEYEYVFLWDEDLEVVGDSFDPARYLAVVRREGLEVSQPALDRASEIHHAHTARALAPDEDSGVHRGAGWVEVMAPVFSRDAWRCAWRMVQNDLIHGWGLGYCARGDRAVSVGVVDSEYVLHRGVPVLGDDHGGSNKDNGRAAVRWRSFKEMQIFQKRWDKAVAEDESWTDPYSAQPPAPNSR >Et_2B_019554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10051842:10063169:1 gene:Et_2B_019554 transcript:Et_2B_019554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSICRHAPADDGADAVDRWQHPAGNASRIPERLHGPALQRLERVDEDAVGVSVARPDVHHGLAQILLRVLEQFLHQMRGRKRRDAEELEGRVPELLEPRRPAGERRRQLRHDGEEGRRRLEEGVHGDAHLGRGVDGVGGEHGGDQGVQAVAGDGPEKSLELAVVAPQQLQRVEERGLVGAGEVGGRDDLRQHVERDGRVGRDDLVEDGGAGVGGNEWPLDEHHGDVVPALEEELGELRHGDDVPGAEAWLSRALHFSATLQDSLIPTQTATQAGRAPSPFHGRFREPDLDLLLPEGFLERTEHRGLVLKSWAPQADVLRHPSTGAFVTHCGWNSILEAVTTGVPMLCWPLYSEQMFNKVLLTEEMGVGLEMEGYATGFIKAEEVEKKVRLVMDSEEEGRELRARVAARKKEAEAALEEGGLSYEALTFASTSSAATPMSPSTASTLLNKCSACKVQHSMGTPAVTASSVEFHPQCVTNAAVDGWRSTSTCGAHDAPVFCPSGSSVSGAPGGLRTAHRNRCPDLSRPAAMSRGSCSTECVPLLPKQRNTTLRLGCASVAPVASIRRGGAHAAAGQRPDAVDRRQRQLATDQRLHGAGLQRLERVDEHAVGVTDPPPHVRQIRQLRRDGQERGGRGEERVHGDAQRRRNVDGVGGEHTRDQGGHVMPLMALAHLLVQHGIEVEFVNTDFNHDRIIKAGGGEAVPLPAGPRRRPRRHRQAGRRPAGRDARPGPGDGRVQEDQVGRGRRGHVLGSRRHGGVRRALRLVLPHLGGCLRDEAARSQAAGNVRRNERIQVSPGTPALDARTSIPALALAEVIVCNTFEEIESEALALFPKPALAVGPLVVPTCCSSGHFWPQDRTWLGAQAPGSVVYVAFGSLAVLDATGLQELADGLLLTGRPFLWVVRPNSAGGDIGGDGWLGELKRRVGGAGLVVGWAPQQGVLSHPSVACFVAHCGGAARLLCWPCFGDQFCNRSYVCDVWGTGVRLCADDEQGVVAKEEVRDKVARLLRDEGIKARALSLKKAACASVADGGSSHRDLLKRIKLY >Et_5A_041456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23090215:23095994:1 gene:Et_5A_041456 transcript:Et_5A_041456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQQGTSAEAEEHRETRKLFVGGVPSSALESDLMDYFARFGEVRSAMVMRDRETGHCRGFGFVEFEAEDAAAKALADTDHVICGRQVDVKRARRRPARSLDNQPPPVDNNVSYDSKKVFIGGLRDNVTEEEFRAYFETFGTVTDVVVIYDSLTSRSRGFGFITFDSEEAVNKVMCQSFHTLKGTKVEAKIAIPKDEQYYRNGRGRGGRHFGTRGPVNYEGPAYQQYNGRYGLYNGYMPQPVPAHPFYPAPYFALGGYPYANGYPTQGVMANVPGMMARRLPPVYGAYPQVYPGLSMSRGGFGDPAASFQNGFNGGSDNKKDQTTVDMQDVVSTASIATKSEASSELGHKLQTGDDGHKPSRTLCRVITPKIKDTGNTYKFLNNLSSSLFDV >Et_2B_022216.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:13993303:13994769:1 gene:Et_2B_022216 transcript:Et_2B_022216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGEWTRGPAIGRGSSATVSLAADRRTGAVFAVKSVDAARAGELRREKGILLSLAASPHVVRCLGGGSDTDLFLEYAPGGSLADEIKRRGSGRCEERLVRRRTRDVLRGLAHVHAAGVAHCDVKARNVLVAADGRAVIADFGCARRVDGIGAGVCGGTPMFMAPEAARGEAQGAAADVWALGCTVLEMATGAAPWGTRFADPMAALRHVALTGEAPECPSWMSADARDFLGKCFRRDPRERWTAEQLLRHQFVAAADDSNSAVPRLSEKEPFVSPKSVLDQEALWDDDDSTATTTAPTDRVRELAAGAPAVPDWTWDANWITVHSGSDDEERPMSPEPEVEANETSILHSIARSSAAASVSGGHPAAAEEETVNSTAGTWHGRGNSYGGDAITSRSSEESSDSCRGGNHVPADCNLAIDYKQWILSFHRRRSVTFAVVVVVVVGSCPSWPGPAYVSHVPFFPPISLFRTSICFFQTATNIVRTASYY >Et_3B_028858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19892941:19897259:-1 gene:Et_3B_028858 transcript:Et_3B_028858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIELEPELEEVTRASPAPAEQAATAAGGEAARPSAPEPEEQAGGGEAARASPPVPEEKAAGGEVPRAEDEEDAFEDALTDEQLREKARSQANDAKAEGNKLFAAGQYDEALSQYEMALHIAAELESAEDICSACHSNRAVCFLKLGKCDETVKECTKALELNPSYLKALLRRAEAHEKLENYDEAIADMKKILELDPSNQQAKRSLFRLEPLAAEKREKMKEEMIGKLKELGNSVLGRFGMSVDNFKAVKDPNTGSYSISFQK >Et_7A_051206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15587406:15609394:1 gene:Et_7A_051206 transcript:Et_7A_051206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPPLLLALCCVAALGAANAAAGRKTVGVYELKTGDFSVKVTNWGATITSVVLPDSRGNLADVVLGYDTIAEYVNGSSYFGALVGRVANRVANARFVLDGKVYHLYANDGKNALHGGHRGFSKVIWTVKEYVGGGDSPYITLYYHSFDGEEGFPGALDVYVTYRLSSPYGLSVHMNATAVDKATPVNLAQHTYWNLGGAGSGDILHNTVQLFASRYTPVDAALIPTGRVAPVVGTPYDLRSPTPVGAHVRDIPGGKAGVYGYDTNYAVDGGEADGALRKVAVVRDAASGRAMELWANQPGVQFYTGNFLDHVVGKGGKVYGQYGALCLETQGFPDAVNHPNFPSVIVRPGGVYKHDMVFKAVLFLALLCAVASATPVVAGARKMVGVYELRRGDFSVKITNLGCIIMSVVLPDSKGNLADVVLGKDTIAEYVRVARGRFVLDGKVYHLYKNDGNNTIHGGGRGFSKSIWTVKEYVRGGDSPYITLYYNSFDGEQGFPGAVDAYVTYRLSSPYALGVHMNATALNKATPVNFLQHTYWNLGGHGSGDVLGHTLRLFASRHTVLDKELLPSSGRVEPVAGTPLDFRTPTLIGTRIRSVMGGRIVGYDANYVVDGEGMRPVAVARDGASGRALELWANQPTMQLYTGNWLNHTKGKDGKVYEQYGGFCLETMGYVDAVNHPEFPSQTLRPGQVYKHDMVFKFSRQVVLLAVLCIAGAVLASGADARKAIGVYELKKGNFSVKVTNLGAILMSVVVPDSKGNLADVVLGKDTIAEYANDTSYFGPIAGRVAQRISRGRFVLDGKVYHLYRNDGRNTLHSGGREFVKSIWEVKEYVCGGDSPYITLYHYSFDGEQGFPGAVNVYVTYRLSSPYVLGVHMNATALDKATPVNLLSHTYWNLGGHGSGDVLGHTLKLFASRHLVLDKELLPSSGRVEPVAGTPLDFRTPTPIGKRIRQVSGGKVVGYDANFVVDGEGMRPVAVARDGASGRALELWANQATVQVYTANWLNNTEGKDGKVYNQYAGFTLETMGYVDAVNHPEFPSQTLRPGQKYQHDMMEYQLDELEWDDSWWSAVEPGDDDIELHTDIYAWQARMFRESWDSKSYGSFEAETKIASKRFTEREDGRTASTIQIFSVKVIAIRGCLQWPIVVYGRIAIRDPLDRNRNTIFYRDRNNCQTLTQEDPTLVLTGPVRAVIVNDHVIFEADLKVKGNIESEDKMLSFLATRFTSSDDETYLIKRDYISKLSKLEFTLGCIRCSVEATINMRVTDCGTWLDDFHGKFIASIGSIKDEQVVLLDSGDSKVLLDDGNNILLPRYVVSVDIPGELMVSIEAWQGLRQSLIFRRVKRVSQLLKWVAAVPVRAGRANERDGAEQGDAGEPPAAHVLEPWRAGQRAATSCVTRSRSLRRGTSWWTRSDLPSSGRVAPVAGTPLDFRWRWPGTATPAGRRSCGRTSRPCTVHLYTANWLNDTRGKAGEVYGPHRAFCLETQGYPDAVNQPEFPSQTLRPGQVYMHDMLFKFSF >Et_3A_024711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23514702:23518873:1 gene:Et_3A_024711 transcript:Et_3A_024711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLWISKVKEGQHLAEHELQSLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFATGGHVPDTNYIFMGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGQVLCVHGGLSPDVRTVDQIRTIDRNCEIPHEGPFCDLMWSDPEEIETWAVSPRGAGWLFGSRVTAEFNHINGIELVCRAHQLVQEGLKYMFQEKGLVTVWSAPNYCYRCGNVASILSFDEKMERDVKFFTETEENNQMRGPRTAVPYFL >Et_7B_054539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2311861:2314876:1 gene:Et_7B_054539 transcript:Et_7B_054539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSAPPPCPHLDAHRLSSRPLRFLRRCLRVRPLGRPEIRRDPRELPRCTPCAAAPSPSPSSRLYACLSCAAVFCPSHAASHAAASAPGHQIAVDVDRAELFCAACGDQVYDPDFDQAVFLAQSSSLLPSTSASAASPSPSPAVGPRKRRRVDYRAWAPDPAEFALMSSADPTTSASAAAPAGLRGLNNLGNTCFMNSVLQAFLHAPPLRNYFLGDRHNRYLCPRRTPVRNRAVEADAAKAACLACDLDEIYSAAFSGERTPYSPAKFLYSWWQHASNLASYEQQDAHEFFISILDHIHENIKDEQHKSHAPGHGDCCIAHRVFSGILRSDVTCTSCGFTSTTFEPCMDISLDLDAGCSNNCLGVTNTKLHVRNGERSSAGVSSKVTTLMRCLERFTRAERLDAEQKFFCERCKERQESLKQMSIRRLPLVSCFHIKRFEHSSVKKMSRKVDNCLQFPFSLDMAPYLSSSILRSRYGNRIFPSEASDADAISELSTEFEIFAVITHSGKLEAGHYVTYLRLNNHWYKCDDAWVTRVEEHTVRTSQAYMLFYVQKTLYYKACERAAAV >Et_7A_050314.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:24433804:24434358:-1 gene:Et_7A_050314 transcript:Et_7A_050314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPAERAARVTRFLKPYLLRMNFSNKYVSAQVIHTPTAAVACTASLQEKLLKPNMELTRDVAAAAKIGKLLGERLLLKGIPDVSMHMKREQKYHGKVKAVIDSVREAGVKLEQAQPFVTSTMHLSFCVSGRERNGERKRVCRSQLIRSISDFPLSSHYLAASIKPRTVNTKRILEYGEEPKR >Et_3B_029888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28884579:28887073:1 gene:Et_3B_029888 transcript:Et_3B_029888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDAAEADLFAANLKGALLAVASSAFIGVSFIVKKKGLRRAGATGSRAGVGGYGYLREPLWWVGMVTMLVGEIANFIAYMFAPAVLVTPLGALSIIVSAVLAHFMLNEKLHRVGVLGCGLCILGSTMIILHAPQERTPSSVEQIWNLATQPSFLCYAAVAVAVSLFLMIYCSPRYGQTNIVVYVGICSVIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWIFAVTSATCIVIQLVYLNKALDTFNTAVVSPIYYAMFTTLTILASAIMFKRASNIASEICGFLTVLAGTIVLHSTREPDQTVTADLYTPLPPKIYWHIQGNGDIRKQKEDDSLTCEFITVVRQDYFV >Et_10B_002685.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:7984029:7984244:1 gene:Et_10B_002685 transcript:Et_10B_002685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSCSYQRAFALLGELADEQRRDARPLVPAVLHHGSLEGLTLILRVLPHTPPPPLRLDSPPPALRRGCS >Et_3B_027718.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:15232982:15233149:-1 gene:Et_3B_027718 transcript:Et_3B_027718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKVSDFGLSRHMGIDQTSLRESDGCRNVGYMDPVYAEEDSLIRVIFTALGYCL >Et_1B_014419.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:8177752:8178432:-1 gene:Et_1B_014419 transcript:Et_1B_014419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIATSAQTLLLNNNDLSGTLPVDIGFSKLSYFAVANNKLTGPIPPSIGHLQDTIQEVLLLNNQLSGCLPHELGMLHKAAVIDAGKNQLTGPIPSSFSCLSSVEQLNLAENRLHGAIPDALCKLTGPAGRLANLTLSGNYFTSVGPSCAALKDGVLDIKNNCIPGLANQRRPAECAAFQSQPKTACPAASTQVTCPAAAAANAAAVPAERKARDYSSYVTYATLHE >Et_3B_028295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1337319:1338455:-1 gene:Et_3B_028295 transcript:Et_3B_028295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCLADHRRRGILLNGNMSSAPLSSDSSGLYAGFGDGHCHDLQDLSQVLGASSTSPDVVSNGNAQELDAAAAKEVGKRKGDRAESLKNHSEAERRRRERINAHLATLRGMVPCTDKMDKAALLAEVITHVKKLKTNAARISQHCPVPADADEVTVELVHDASSHDGGGVLVKATLSCDDGADIFADVKDALRPLRLRVVGSEVTTLGGRVRFTFLMSSCAGGDDVSEGAVHQALQSVLDKANNALEFAPRASLLNKRRRFSTFESSSSSS >Et_1B_011964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27582976:27593681:1 gene:Et_1B_011964 transcript:Et_1B_011964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQMLGLRGSASKERGRGAGAAGDASPSSPAAGTPRSPRTPASASTPRSPFSTDGAAGGGRPLRLVYCDERGRFRMDPEAVAALQLVKGPVGVVSVCGRARQGKSFILNQGTYSVQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRANGGRTTASELGQFSPIFIWLLRDFYLDLVENDRKITPRDYLEIALRPLEGRGKDLASKNEIRESIRALFPDRECFTLVRPLNNENELQHLDQIPLEKMRPEFQAGLDELTRFVFERTRPKQVAGTIMTGPVLAGVTQSFLDAINNGAVPTISSSWQSVEEAECRRAYDSATEIYMSSFDGSKLAEEDALRDAHEAALRKALDAYNNAAVGTGTSRAHYEKVLNNFCRKAFQDYKKNAFLEADKRCSAAIKNMEKKIRAACSVPGVKVSGVIQVLETSLTEYETSCSGPGKWRMLAAFLRLCLEGPILDLCLKLINEAESARSSFALRYRSNEDQLELLKKQLEASEAQKSEYLKRYEAAINEKQKASSDLSGHLANLRTKCSTLEERCMSISKELDHVRHECTDWRAKYEQSVSQNKSEQDRYVAQLASLESRYSSAEGKLAAAREQAAAAHDEAAEWKKKYESAAVQAKTALERLALVQEQINKIAQERESAIRTEFATHLEEKEEEIRKLNAKIRHAESEESVLVERLQVAESEAQSHNKVTATLKDEIKEITSKLEFLRDRAVSYEKQARMLEQEKNHLQEKFLSECKKYDEAEERCKSAERDAKKATELADAARAEAIACQKEKDEAQRLSMEKVAVIERIQRQVDRLEQEKVNLLGEVQRMRSSESEAWSKVTLLESRVAEREKEMDDLLSRSNEQRSSTVHVLESLLATERAARAEANKRAEALSLQLQSTQGKLDILHQELTSIRLVETALDGKLRTTTRGKRLRENEVGVESVQDMDIDQPERSRKRTKSNTSPLKHVQTEDGGSVHMGEDSVTVSTDTKDGNPGSYKKFTIAKLREELTKHGFGAQLLELKNPAKKDILALYKKLVLGE >Et_4A_034708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5598390:5602350:-1 gene:Et_4A_034708 transcript:Et_4A_034708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGAAAAAAESATSMAAEKHVVAIPDQPAPALPSGPRKTGLFLFVMNIRSLFKLDELGSEVLRIAVPASLALAADPLASLVDTAFIGRLGSVEIAAVGVSIAIFNQVSKVCIYPLVSVTTSFVAEEDAIISKAIEEKNSHDLEKASHVDSETNNLPVSGPDKTECVNSCIPTECTDLSDHGYKRKYIPSVTSALIVGSILGLLQAVFLTFSAKFVLSIMGVKSGSPMQGPAVRYLTIRSLGAPAVLLSLAMQGVFRGFKDTRTPLYATVVGDAANIILDPILMFVCHMGVTGAAVAHVASQYLITLLLLCRLVRQVDVIPPSIKSLNFGRFLGCGFLLLARVVAVTFCVTLAASLAARHGPTIMAGFQICCQLWLATSLLADGLAVAGQAVLASAFAKKDYKKVVAATSRVLQLSILLGLCLTVVLGLGMRFGAGIFTKDQPVIDVIHKGIPFVAGTQTINALAFVFDGINFGASDYTYSAYSMVGVAAISIPCLVYLSVHNGFIGIWIALTIYMSLRTIASWGQQGDHGRFSESNGLAWKMYDPGHILLIDSLAWWSH >Et_3A_023545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33608427:33608933:-1 gene:Et_3A_023545 transcript:Et_3A_023545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRDGITEGQKDSAQEGFNVGFRQSVNVGYKWGLVRGVTSALASLPDSLKEKMNSVQGISADDALEIFHNSIRQNNNPSEEPHETSGQDGATDSNSFKTLSKDLVVLLHECPDIKVSEELR >Et_2B_019564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10170373:10182952:-1 gene:Et_2B_019564 transcript:Et_2B_019564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSPSPAPAPSGVRAPSYPPPVREPQLGRRNPRSNLSLAETAAPLLQAAYDGDIPQVKTRAKQLRKVGMGVEKAMAEIKAPWYKGHGTLHMAASGGKVAMCRFLIKDLKLDVNAVCDDGVTPLIYAMYGSASTAIARLLLDHHADPNKAANDGSTPLHTATVRDNYETAELLLSRRAYVDPVSKSGSTPLYIAAKNGNARMLELLLRHQAEPNGVVHIEHTPLKEATSACSLEGLELPSEACADTMTPLIAAANAGSTDCVKCLLKAGADANIPYRNKTMPIVIAAVRGAQECVEALLPVTTPLARVADWSAAGIIEHVKHTSSKPQVENDGSDFEAEADGAFHKSDYAHALTLYTMAMENNPNDSTLYAKRSLCFLQTGDKGKALDDANSYKDMQPDFSKSCYPQGAALLLAKEYGRATEALMSGLKLDFGTSQQTRQYRSPPHPQIVKLPHLTLGFGNPSPGAAANLYLDDVLAHLLRAAHDGYHPPIKKFAKRLQETWGLSVDEAVAQVQAPWSKLHGPLHMAAAAGKVKACKVLIEQFKVNVNATGTDGATPLHFAIYDSGSTNVVKLLLENGADPNQGYSNGVAPLHIATVRGAYEITELLLSKGANVDPMWEYKTPLSIAAQRGSARMMELLLQYNADPNTYITHTPLKAAVLGHSEIAVELLIEAGAHVNVGMPDTPLIAAATAGLTDIVMCLLKAGADPNITDDIGITPLERAALQGHRECVEALFPVTNRLAGFADWSIDGVVQYTQFESSEPQDLLHEDDVSVEAEGDAAFFIRDYSYALTLYTMAIELDPDEPTLYAKRSLCFLYTGHEDKALEDAETYKHKHPNSSETFLSKEPLVLVMVSCNTKWR >Et_2A_018090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10019512:10021399:-1 gene:Et_2A_018090 transcript:Et_2A_018090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPAPAADGGSGQEGMRIMVGVLVTVIVCTLLYCVYCWRWRKRNAIRRSLIESLWPRSSSDLPLMDLASILAATDNFSKPNKLGEGGFGPVYKGVLSGGAEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGWCAEKEEKLLVYEYLPNRSLDAFLFDRSKSAQLGWSARHNIILGIARGMLYLHEDSLLKVVHRDLKASNVLLDDKMSPKISDFGMAKIFEDESDGINTGRVVGTYGYMAPEFALEGVFSVKSDVFSFGVLLLEILSGQRNGALYLEEHQQSLIQDAWKLWTEDLATEFMDPSLGRSYSKEEAWRCYHVGLLCVQEDPDVRPTMSNVLLMLISDHMKLPDPAMPPLFTRLRKPTFSAQQLTTKTESTASPQSINDVSITMIEPR >Et_3A_023991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15840791:15847543:1 gene:Et_3A_023991 transcript:Et_3A_023991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVAATPPTPRAYPYLSHLRRHHSRYRCHRLPPPSVRESCGAGRARLLLAGAFASGDGGAGQDVDSSASTASSGSAYIGLFVRMLGLDNDARDREHAVYTLWQYSLGGRKCIDEIMQFPGCIVLIISLLKSESARACEAATGLLYNITTVQIYRQLAIESGAMEEIFSLLFRSTITPQMMEQCLCTIWNFSIDENWRYKILRNDVLTKIVRCLDDEDIKVKEAAGGIISNLALSRSNHGALVEAGVIPKLAHLVQNKEDDCKIVRKEARSALIQLATDDYYHSLIIEEGLVRVPLVGSAAYKAFRPLPHSWPSFPDGSEIQRSSRPSKYGATELLLGLSVNEKETKPDEAKVNAMIGRSNQQFLARVGAIELDDEGKDQSGTAKNDLYTILPWIDGVARLVLILGLEDFSAIKKAASAIGDASANEHMRTSFKEAGAVKPLLQLLKHSDAPVREAASYALEKLSVSSIVCQKIKAEGGLEMLLDTVKDPNTAVEQLEKIIYILSRVLDTGINMTDLRIPQDDMDSGNTGVSHTFANQEMASETIIDFDAISRLIKVLKEASPSLQAKICSVLQHLAAFEEHATAMIAASIGSVIEAVLEMGVIYGTRGEADNFDDLPSTAIEVSQAVSAAVRLLTKLLDFDLFVRSINSEKFTSLLRRMLKSSFPLQSKDWLAACLVKLESRAGLSGDHGVSSVDMEITIYETIPRLVDQMMTSISIENKRSAAIELNRIISRGVMEYTRAVAAAGGIFPLVKLLEESDGDALEATLAILYNLSMDPENHPAIIAAGAVPVLKRIVAEGPHWTSALQLLRTLPV >Et_1A_008962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21768230:21772624:-1 gene:Et_1A_008962 transcript:Et_1A_008962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDIGTELRTKRMAAERDSGNCRAWAARDPSGILSPYKFNRRHEIAGVVTEVGADVKGFKMGVLGHMYCFRIPHGYPLEKAAPLLCAGTTVYTPMMRHNMNQPGKSLGIIGLGGLGHIAVKFGKAFGLKVTVFSTSESKREEAINLLGADNFVISSNTQQMEAANIIHQVVQIGKVGQKCARSLSGSVTGGTKDTQEMINFCSENKIYPEIEMIKIDYINEALQRLINKDDKKGENELLIKRFWIKGNQLYLIIKAYYFTYRIMWFKNNTLIITV >Et_7A_051717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23508028:23518709:1 gene:Et_7A_051717 transcript:Et_7A_051717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAPLRTTSAVSASSSTDSPTSGPPGGVPQSITALLNNPLPSAASSSYWLTWPPPTPLPDASPPPPSHPSDVTRADFAPYLAAVADPFARFADIRLHANAELAASSSEDGAPATSSGLAACLREVPALFFKEDFALEDGPTFRAACPLDDDALQERLGQHLDVVEQHLVREIALRSESFYEAQGRLRGLDGEIVTAVGRIRELREVVRVLTGDLVGAARQVQELNATRGNLVALQQKLTVILYVSQALAALKLLVAAADCAGALDVIDDLQNLLDTDELTGLYCFRNIRDQLATSLDSVYSILSAEFVHAAVPDGKAVDAMILSNVKRKASSPLNGTEDEINNDEEESFVLRDRLLPLIICLLRTDKLPAVLRIYRDTLITVMKASIKATVAELLPVLSARAMDSDSVTGDRATDSDAGGQSLANKLRSLSSEGFVQLLSAIFRIVQVHLLQASEVKKIVEWIMGNLDGTLSVDGSNLTLQHAGSVDLSQENDYSVSSRVSNSLTRSTSKIPFVHGKANDLSITNSIKNVRADVLRENAEAVFAACDAAHGRWAKLLGVRAALHPKLRLQEFLIIYNITEEFIAATEKIGGRLGYNIRGILQQQSKQSVDYQHSVRMAKIKAVLDQETWVAVDVPEEFQAIVLSLSSTYSINGMDMPNPESSSKLSDDGSNSQEPIHSAEYNADNGKASSVTSHEGKVESTPENNVSGSVRSTSQTIVLGGVGYHMMLSEYVDISKYLPSLSFEVVQRVVEILKLFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQIISFIHSLIPDIRRVLFLKIPEARKQLLMSELDRVTQDYKIHRDEIHSKLVQIMRERLLANLRKLPQIVESWNGSEDNDLQPSQFAKAVTKEVSYLHRILSQTLLEVDVQTIFRQVVQIFHSHITDAFSKLEVSTPQAKNRLCRDVQHILTCIRKLPAENFSAETVPNYGLLDEFLAENFGTKVTIDRLETRRLKTL >Et_6B_048470.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:887042:887170:-1 gene:Et_6B_048470 transcript:Et_6B_048470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPGHEPKRVLSLDDGRVPRTIAPPAIPNVRRSYTSLYKQ >Et_5B_044713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:582395:584904:1 gene:Et_5B_044713 transcript:Et_5B_044713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQQQQQLQSCNQHLSSRPSLPPEKQFLLQGGGDSGLILSTDAKPRLKWTAELHERFVEAVNQLGGPEKATPKTIMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQANAVHAKNVSGCRTATDKLCEGSGSPASHFNLEPEINRSMHISEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQEALAKQTVEGDVLGLADAAPKMPELVAKRVRHEHAHRRQHGDGSVDSCLTACEGSAQLQRDHDMLSIGLCTTQQSAGEEGRRPSTSCEEYMFLQEPSRGASIMDGHCEIRRDDGFANAGLRTRELDLGINNAGSCRPRNCDKIDLNGSSWNN >Et_9A_063092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10036895:10040574:1 gene:Et_9A_063092 transcript:Et_9A_063092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRCHLLRPLPCLRRGIHSSSPAAEDEAATDATLLGRLTRLLLLHRFPAAARLLSSSPLSPALLHAALRRVRLDPDAALHVFRLASSRPSLLAHAQLLHILARARRSSDARALLSSLLSARPQVPPLFPHLLEVYKDFSFSAASFDMLFRALTDAGQLASALQVFDEMPKVGCRPTVRSCNRILNRLVQAGDLGAADAVFKKMRDAGTLPDEFTVAIMAKAYCRDDRVAYAMTFLEEMEKMGVEVNLVAYHAVMDGYCAVGQTEDARRVFESLPRRGLSPNVVTYTLLVKGYSEEGRMDEVERVMTEIRENDQVVIDEVAYGAVINGYCQKGRMEDATRVRNEMMDAGKTEQALNLWKETLARGLVRNVNTSNTVINGLCKVGRMREAEELLDRMKKWRCPPDSLTYRTLVDGYCKIGHWINILGAVNEHANLSNGENEGYGIQL >Et_2A_015608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1613419:1614354:1 gene:Et_2A_015608 transcript:Et_2A_015608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRDGGPGVLLMPQQPPLPPPPPPPPCSFVQIVPAAPPLLVCSEVRDVWAANLHDELSLLAAMLPHYPCVTVDTEFPGTVHDSDTPRHLRGPRESYAVVKRNVDDLKLLQVGIALSGASGRCPVAWQFNLRGFDPRRDAHAPASVAMLRAQGMDFAALRDYGVDPDAFAAGFYACGLACPGLTWVAFSGAYDFAYLVKVLGAGRPLPDTVEGFLAQVHALFGPTVLDVKRLARFCGEGIRGGLDQVAAALGVERAAGRAHCAGSDSLLTCDVFMAMLDRFFRDKNVLTHAGAIVGLV >Et_8A_057865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8461349:8462152:-1 gene:Et_8A_057865 transcript:Et_8A_057865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFASPHILVVDDGLVDRTLVTRVLTKSKESPKLKHLLCMEGGAKGFILKPINLDVVARLLSFI >Et_3B_029543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25926905:25927256:1 gene:Et_3B_029543 transcript:Et_3B_029543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHRLQVKINVYGGFYSESGRAGLGVVIRDHKRDVLLTAWRVVFDADSTEEIQARACREGISLAVEWTRGKAILSPTAVHPSFVSVFCLMRYDIKEIGSGLPELRV >Et_1B_013203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6410112:6411547:1 gene:Et_1B_013203 transcript:Et_1B_013203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VIYIVVRMGIMHSSFTWKHWIGLVVTSAAYFLPYKQLASMAQPVYSDNGEILDGGFDLNTGGICEYLHDVIYITLFVQLASIISEKFWWTYLVIPAFAGYKIFGLLRGTFFSGGSEGEVEDEKTRKKREKMEKRASRGKMMKTRTR >Et_7A_052614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9866510:9869650:-1 gene:Et_7A_052614 transcript:Et_7A_052614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGVLISVVLVVLPLYEAGSLRLAELGANDTDLLSLLDFKRGITNDPTGAMIAWNTSVHFCSWNGITCGGGRGHARVVALDLAGLTLAGRISPSIGNLTRLASLTLSANKFSGELPHLGRMRRLEFLDLSDNLLTGAVLDSLTNCSKLRTLNLTRNLLTGGIPRDIARLSNLSILKISFNNLTGAIPRDLGNITSLEVIKLMHNQLEGGIPDELGKLSKLTYLLLGQNRLSGEIPQSIYNLSLLYELALELNMLVGELPSNIGYTLPNLQYFTLAINMLEGHIPSSIGNASGMWLMDLSGNRFAGQIPISLGKLPNLSKLNLERNKLEAKDKQSWQFLNALTNCSALELFSVNGNMLHGTLPDSVGNLSSSLNVLLFGSNMLSGLVPSSIGNLRNLTELGLEENDFSGTIDGWVGKLVNLIGLFLNGNSFIEKIPSSIDKLTKLSLLHLEDNHFSGSIPSSLGRLAQLSELYLSHNNLQGSIPKDVFRVATLVECVLSHNNLEGEIPELSKLQQLTTLELSSNKFIGQIPTTLGSCQELGTIRMDQNFLSGSIPASLGNLSSLTVLNLSGNNLAGIIPTALSNLQVLTQLDLSYNHLKGEVPTRGVFKNVTAVSLKGNWDLCGGALELHMPTCTAVPQKTGQLRIWLKILLAILGFVALLLILLLYFTFRCKKTIRSHLPLVSFGEKFSKVSYKDLAQATENFAESNLIGRGSYGSVYRGNLIRANMVVAVKVFDMNMEAAERSFMLECKALRSIRHRNLVPILTACSGMDNKGNDFRALIYEFMPNGNLDTWLHPTGNRNVPCQLSLTQRIDILVGIADALQYLHHDCESPIIHCDLKPSNILLDCDLTAHLGDFGIARFYLKSMSTSVRDSGSVSSLGLKGTIGYIAPEYAEGGDISVSGDVYSFGVVLLEILTGKRPTDSMFCNGLTIVDFVAMSYQDDMLRILDAHLQAECYEFNRLLNMEEGNRLYQCLLSLIKVGLSCTCRVPTERMDMRGTAAKLHAVRTSYRSLLAF >Et_5B_045769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9126570:9127996:-1 gene:Et_5B_045769 transcript:Et_5B_045769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLPYWASGHFMSMIEAGKRMLDSGGGALSLTVLVMRAPTPEKAAEVEEHVRREAAASGPAIRFINLPAVEPPTDCGSVEEHNFRYVELHAPRVAEAVAGLPVAAVVVDLFCTPLLDVAVAAVPRYVYFASTGAFLALMLRLPAIREERGGAAVTLREAEEKAVHVPGLPPVPPSYMPVCLASRNYRWFEYYGRRFLDARGIIINSSVELERGVLAALADGRCCVPAGRPAPPARHECVQWLDTQPSASVVFLCFGSIGFLDKAQVAEVAAGLERSGRRFLWVLRGPPAAGSRYPTDADLDATLPAGFQARTEGRGLVWPSWAPQKEILAHGAVGGFVTHCGWNSILESLWFGMPMVPWPLYGEQHLNAFELVADMGVAVPLKRMDDNCSFVEAAELEQAVRGLMGETHEGRTAREKAAAMKEACRKAVAEGGSSCAALRKLVREILPSGTVTSP >Et_3A_025871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33560450:33563443:-1 gene:Et_3A_025871 transcript:Et_3A_025871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQMRGKSRKANNTENLLGWKWKGPSRGRLFPSLAHPAPVILPFPVPHSSASSLAHSRRCTSLEAKMSGGAGVPGAGCSYQKFLHFALEQTRLRTSLNPQPSQEKFKFIRTNEDNTVFSALSFSAPKIRLLRSLTIEQKNSVQVLDFAAFSEPEYDLPIFCANAFTSPARSIVVLDLNPLYDTTTNKDYREKYYRNLMSLAHKYNELLPWGGKITSESLKFFSPIVIWTILEPTETNHRVLYSALTDYYKAWLELMDQALHEVSKEAIDRNREAQHKYLTWRAEKDPGYPLLKKLIGENLAKDLVTEFLFEGVNSLGTKSFLDYFPEYARDDGTVNKKRSMMGKSFETRPWDAHGEFVGFADER >Et_5B_043902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17425000:17428215:-1 gene:Et_5B_043902 transcript:Et_5B_043902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHDLTPRLAAQLDRHLVLPLLEFVQDRRLYPEAEVLEAAAAPLVSFLRDPKLNFSAPLNQLQNRVWLMHWSLFIFFNHENGRNGIIDLFFQDRYLNAIQTNAHHLLRYLATAVVVNKSRRNMLRELIKVIQQEQHCYKDPITEFLECLYVNYDFDGAQKKLTDCEQLNMRYDEAELWIMNLVRSSKLDAKIDSLSGTLIMSTNHVDVHEQIIEGLKNLNSRTYLIAKGIVEPAQAAQHATRGE >Et_9B_064372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14576547:14577584:1 gene:Et_9B_064372 transcript:Et_9B_064372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGAAVAAALLLAAAAVVAVPGAAGFHLGGDESGLVRGMLAALREKAEAEDAARFAVAQHNRNQGAALEFKRVLKSKRQVVTGTLHDLILEAVDAGKKSLYNAKVWVKPWEDFKSVVEFRQVTDLESKSSIASDGNSGQATAELSLPAHLVQEARLNTVENELNSDFSSSS >Et_4A_035792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6046585:6047458:1 gene:Et_4A_035792 transcript:Et_4A_035792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKGIAHLLFLTGIIWSHVMIGAEAAGTTVFTLHNNCTHTVWPATLSGNSAATVGGGGFELSPNATISFPAPAGWSGRLWARTGCVPSGASSLACATGDCSGAASCTLGGAPPVTLAEFTLGGADGKDFYDVSLVDGYNVGIGVAATGERVNFATCGYAGCVGDVNALCPPELQMSGAAKASQQQGETPAPGTVACRSACEAFGTPGYCCTGEHGGPDSCGPTQYSRLFKAACPAAYSYAYDDPTSTFTCGAGAQYLITFCPAQP >Et_4A_033941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28235081:28236896:1 gene:Et_4A_033941 transcript:Et_4A_033941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGLAFEAARKIIMHPLYAPRSSPWLDVKVFYVRVSNCVVNESAPEHLTLNHIPLSPDTVIEVNGQRSSMHTEFISSSLRRDRVDRRTEEATFVSTDNIRMTGSVRFQVFDKSDLLLTGDLELCNANGVVGESKSSSKKWNMKCQSATSGNGFLKGKLSAGSESVHPAIEVYIAGTFSGTPIILTKTIQLISRRKSEMKLKLDSIPENEATEEQKEESHENSLKVSEFQDSKAETDVDVDYNSLYSRQDFIEGEDGELSWFNAGVRVGVGIGLGVCVGIGLGVGLLVRTYQSTSRNFRRRLP >Et_3B_030365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32412050:32414382:-1 gene:Et_3B_030365 transcript:Et_3B_030365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRRRMAEERAEIQRRIDRGRDRASTSASAFSAALLSARSIADQTVSHRAQLNGLKVQLRKHEADLAQALTIQTSKKSKYKLVDESISNTTATNERLRSLVMEQRQRRDEYTKIISNQLQAIESLEADSAAQEDKNLDEAIMWYNKFLGFQVVGGEGVKFVFNKIGMQSPDKEYSFCIKLAKEKYSLLQCVPFVDGSKELVRDLNRDNDLFKFVRIMRERFQAATITGVLPASSFCPVTSSITSSSLSRLSIDSRSENTANQSHIVSGTKNQEIPGKKGLVTRSAISPGTVLSSKRHSLRILVSTSLHLPSISS >Et_2A_017568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3686918:3689289:-1 gene:Et_2A_017568 transcript:Et_2A_017568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVDGDDTAAPGRGQTVCVTGAGGYIGSWIVKLLLERGYKVRGTVRNPDDAKNAHLRALPGAAERLSLCGADLLDAGALRAAIAGCHGVFHTASPVTDDPEEMVEPAVRGTRYVIDAAAESGTVRRVVLTSSIGAVAMDPNRAPDAVVDESCWSDLEFCKKTKNWYCYGKAVAEQAAWDAAAARGVDLVVVNPVLVQGPALQPSVNASLMHVLKYLNGSAKTYANAVQAYVHVRDAADAHVRVFEAPHAAGRYLCADAVLHREDVVRILRKFFPEYPVPERCSDEVNPRKQLYKVSNQRLRELGMEFTPAAQALYETVICFQEKGILPVLPATDVLATEASPLSFVSS >Et_7A_052712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13879263:13880433:-1 gene:Et_7A_052712 transcript:Et_7A_052712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLTAAAPAKPPGTLNLADHRPATRCSRALERTRHRPGSGWWLPNLGGVAADRYRVSASLDTSSPASLDATPRRAVSGLPRHASAVAVSSPRLSCSPSPSLRLPRSPSAVAVPSLRLLRPPSPSRRASSVRLAVSSLRLPRRLFPSPRLPHLAAPLTSPLAAPRRRLHLPVDAASPLVLDCAVPRSWRSPHLLRPEHQKPGWMITLLPFMTRQLTSLETVLAIQYRVLQVALSLQ >Et_8A_057842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8171982:8175299:1 gene:Et_8A_057842 transcript:Et_8A_057842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRMGFDSGGARRGGGPQMLLFGGGGSANSNGFIRAFSPLGAGVPMAVLGRDDDARVGKRPFFTTHEELLEEEYYDEQATEKKRRLTPEQVQMLERSFEEENKLEPERKTELARRLGMAPRQVAVWFQNRRARWKTKQLETDFDRLKAAYDALAADHQGLQADNDRLRAQVNSLTEKLQGKEISPSAIIAAQEVDQSDDHTAVSGTEKLLAQQLKEALSRGDCTGLGALSSEEEDGGVVSDEGCSFDFPDAMFAVEAAEEAQLGSLASWFLN >Et_3B_030815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7709789:7712748:1 gene:Et_3B_030815 transcript:Et_3B_030815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVLGLRYGRTVQMAGCSFDALRERKGIIIPSSKEETSVGKSAESVRKAWDKIANVLEEAVQSRMHYSHFISLPLAIHSDLVDKLYHFQFQRSILGASSNEDSDKDETLSEGSVDEMDHDHKQADSSSVSIKLQVQEEEPVRVKIDTKGSLSGFGIDKSIFTKPKTFHLTVLMLKLWNKDCISKASDVLQSISSDVNEALGNRPISIQLRGLTRMKGSPAKARVVYAPVLEVGGEGRLAQIIIDAFVKSGLVLERDAKQELKQEKEQMERFIRCSGYIKEIRERGRFKFDESAVQKKSLMKVDTTTAVRQSPCLLRRKRSDA >Et_4A_035369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21959059:21960977:-1 gene:Et_4A_035369 transcript:Et_4A_035369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAVPFLLLLLLGTLLCAASSREWEREREEEQGRHERRGRGGDWRRGEEGEEQEEHRRPYVFGRRSFRRTAGGEHGSIRALRPFHEASELLRGIRDYRVTVLEASPRAFVVPAHTDADSIGYVVQGEGVVTTIQNGEKRSYAIREGHVIVAPAGAVTYFANTDGRRKLVIAKILHTISVPGHYQFFFGAGGRNPESIVSSFSKSVQRAAYKTSSDRLEKLFGRQDKGVVVRASEQQVRELRRHASEAGHGGQHWPLPPFGESHGPYSLLDQRPRIANRHGQLYEADERSFRDLAEHDVRVSFVNITAGSMSAPFYNTRSIKIAYVVEGEGHAEIVCPHLSQRGETQHGERRERQGRSERREQQRESEESEEERWREKGSESEESEEQQAGQGYQTIRARLSRGTVFVVPVGHPVVEVASRDSNLQIVCFEVQARQNERVYLAGQNNVLRKLDNAAKELAFAASAREVDEVLDAQRDQGFFAGPEEQSGQEREREEEREGRRGRGRREDVAETLLRMATGRL >Et_1B_012543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3309955:3312254:-1 gene:Et_1B_012543 transcript:Et_1B_012543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGVGLSLQSRAAGFGSGRRRGALYGGTDSRSWIGSLKVGEPVGAAVAAVRARGSKPVAPLRAKKSSGGHENLHNSVDDALLLKRKSEDVLFYLNGRCIYLVGMMGSGKSTVGKIMAEVLGYSFFDSDKLVEQAVGMPSVAQIFKVHSEAFFRDNESSVLRDLSSMRRLVVATGGGAVIRPVNWNYMKKGLSVWLDVPLDALARRIAKVGTASRPLLDQPSGDPYTMAFSKLSMLAEQRGDAYANADVRVSLQDIASKQGHDDVSKLTPTDIAIESLHKIENFVIEATAANPTAESQADSQIQRIQTL >Et_2B_018926.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17227819:17228382:-1 gene:Et_2B_018926 transcript:Et_2B_018926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSYTQEHVYRHPWHRVTAAAWRKFTDPAARAAPLSHILDVHTLSRGLDAGSGQLRAVRAIAGRAPPLPFLLRRLASAASSVVLCVERTDVDGAARDMRVVSRNATLRGLVDVEERCSYAPHPERPDEWTLFRQETCIRCAPLAAVAAKVAEMVERRCAERFVQNSATGRDVMERICEDLAELDSL >Et_1B_013784.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:16146051:16146305:-1 gene:Et_1B_013784 transcript:Et_1B_013784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVKQSRSASAIIADTGSGYHILRIDGYSSTKGTPTGEYLKSRPFTVGGHRWCIRYYPNGNTSQSADYISLLLHLDRAPPTR >Et_3A_027188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4297932:4300528:1 gene:Et_3A_027188 transcript:Et_3A_027188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQNPGVSPGTGSFSCFHGEAASRLPIDKATISDVLTLTRRIEEFYAEAARRLPLEELPDLCDCVHEGGHCIGLADPVTNIVLNAVALLLRDSEDAADGRSRHKRFRWSHNFFAMASDSFSGLVAFMTYYFRYVSDAQARRYVSLASFDLPLAIKLVHHDRFASSDSPAAVLLPDGGKVKAALRIAAIRAGHPAPDVLAQMMASKYPEPMLCLVNCIREGKKPLTVDDVHAIKNFIACKRRPPPVSFQFWCRPNADTCRRLDDGTLLISTDIGDGIVAQVSIVSAAKSSDQPKYIFDPMLRNEEVKTKLSGCLAVVTSCRGGKPRTRDDDDDSPCEHLRSLKLCLLDTIHALYIQAVAILPSCALSGSFIRALLVAGHCYGPLDPVSNIILNSLWYDTAFPVERGPLQIPFPQGICNTVHMARMESHSLDGLVAILAHDSTKHEAFERILSSRCDFSGSPLPEFADVAKAARHPQYAACGSFLNSLSSEMLTNLRILLSVPAGERLPDSKWNALKKIHAWEVRHAVYVPKEPPCSLLPYAQMEMSRMKDNLILILSFVRTKVDPILREYCYQHPWEPNFKLDIVCGVTQSLSKFRSNVYHVNFLATPDDAEKNARTLFFAEFWIPVSSEAPPNPPRCCPVENNIQFTGRCSFCEGKRSKVVHPASGGHFGDTDGFAGTVDLIEMDQPFGLI >Et_10A_001147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21563200:21568007:-1 gene:Et_10A_001147 transcript:Et_10A_001147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYLQEHFDLPPKNPSEEAQRRWRSAVGTLVKNRRRRFRMVPDLERRQQDEQTRRSIQEKIRIALYVQKAAIIFSDGASKKEYQLTEDIMKAGFSINPDELASITSKHDMKALKMHGAVDGISKKIRSKFDRGISVSDLDKRQSIYGINRYAEKPARSFWSFVWDALQDMTLIILMVCAVLSAVVGLASEGWPKGMYDGLGIILSILLVVMVTAISDYRQSLQFKELDNEKKKIFIHVTRDGSRQKISIYDLVVGDIVHLSIGDQAPADGLFIHGYSLLLDESSLSGESDPVYITKDKPFILAGTKVQDGSAKMLVTAVGMRTEWGRLMSTLSEGGEDETPLQVKLNGVATIIGKIGLVFATLTFVVLLIRFLIEKCLTVGLFKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKQLMKEKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWISEVSKSLADNNSLDGLNSDISSTTLTLLLQGIFENTSAEVVKEKDGTQTVLGTPTERAIVEFGLTLEGHDGEDRTCTKVKVEPFNSDKKKMAVLVSLPNGTYRWFSKGASEIVLEMCDMMVDGDGNSIPISETQRKNILDTINSFACDALRTLCLAYKEVDEDFDDNAESPTSGFTLICIFGIKDPVRPGVKDAVKACMSAGIVVRMVTGDNINTAKAIAQECGILTDDGIAIEGPEFRNKSPEEMRDLIPKIQVMARSLPLDKHTLVTNLRGMFREVVAVTGDGTNDAPALHESDIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDDMMKRPPVGRGESFITKVMWRNIIGQSLYQLVVLGALMFGGERLLNIKGADSKSVINTLIFNSFVFCQVFNEINSREMQKINVFRGMISNWIFLGIIAVTVVFQVVIIEFLGTFASTVPLDWQLWLVSIGLGSISLIVGAILKCIPVESGGTSASPNGYAPLPSGPDNI >Et_1A_005830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14499259:14499960:1 gene:Et_1A_005830 transcript:Et_1A_005830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPRPSSSGLQSIRRELQRRKHKAALAPARPASKKSSAPLPLPRDKCLEPRPRPSPEKDTSSNTSRSSSGSAPPATSSSVGYASSHMKVGTRVQVRTRSQRVIDGRTLVFWLPATVVSAAADGGGYYEVIYEGNLPREDPFSTVRVPLHHPAPRPTTAGKSSPRLLGKLAPPEMKPAARPTTAGKSIHVVRRILAAEKERQALDSFCLGY >Et_1A_005518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11037553:11040084:1 gene:Et_1A_005518 transcript:Et_1A_005518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAASEISDWEVLSATSACGSDDRDDVVVVSSGGGDVLPDHFAVDPNSLDTGFLGDGSWSEPEAFRGMEDQRAALALLEGFDCISQESLDLVAGLSSVQLQEGEVDENRESSVLEAAATCGASRSAEVMQAEALGVAAEQETDSARSCGELGSVLQPAQYDEVGKHLNSNATTTTDATQESEVSDDFTVQLADGGVDGGTETACLEDVATDDGIHGEQGEQEQPDGAFAASCCDESDGEVKDGSLPLVQNPGNGEAEKQVVVWWRLPFKLLHFCAWKVKPVWSFSIAAALLGLVVLGRRMYRMKHKARGMPQIKIAFDDKRASQFANRAARLNEAFLIARRVPMLRTSSGAVLPWSMVQDR >Et_10A_000467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10787591:10796156:-1 gene:Et_10A_000467 transcript:Et_10A_000467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGGSSWSPRAAVHRAAAWFSGCAPGAAGGPICRVPHRAASAGAAGCGAPAAAASCLPSLPSMAAADDDELVTSRCWNAEGLGGSASRSTSLGGGGVSARERSRSKIRPRKNAAGRTWLRGPRRQRVRRPVEKKMTFGAYYMKLIKLTTDRYAAPGLIGGDDVVGDKRPAGAGGEPDRDGRSQPAADRVVLPHRAPRLLHRLPRRASAAALLELDGAQVAVASLELDGHDEVVGVGAAVHHEGNVRAVPVALHPCVLDLDGAGVEEPVGLAGLGHGAERRAAHVEVRRALQARAAGVLVVLSTPGRRNRKCEQLAERGQQEPKRDNRGKILWLAELVAPPKDKRSKKLITRSSLMESLDDGPRWLGVGEPLHLKAHSAISAFALLPLALVSALVQTVCTTG >Et_6A_047341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4239034:4240405:1 gene:Et_6A_047341 transcript:Et_6A_047341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMETLTHPSESSSNSPAAAAAASPSWVMLKRYNNNNDDDDGDSSSVITGDATKTAAICRTTTGWLIRDKPNDDERYVEGPLVVAAHDGVVLLRLRVPRDRRGACTDAFERRRPAAGAVPPPRLLHLHAARCTSARHLRAEDTGVMRRRRGEDDDEVLVAQLEVALDAPRDTAELCVLRRRLPDCEWDLKRIPIVHNDGASNVDALMRPWKTDAVVTAGDRFMCWVDYYGGVLLCDMADPTNKLVYLPLPVEADQDTAEERRSSDRPDLRSYRTLAGAGANALRFVSVDGCCCGGGAVSESKCARSRFTFMVTTWTMSLEAAAAAGDAPIMSTWVKDGVFDCDELWALSNYGSLPRVPVEHPVVSSDDPDAVCFLLINDYRGCGNIDRREDERLWTVMVNTRSKTLLSVLPQDPMLSGDPLVPAKLSIHYS >Et_4A_035810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6539822:6540577:1 gene:Et_4A_035810 transcript:Et_4A_035810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPDGASVGGRVERQPMKKSAWTKNEDAVLLAQVKLYGPQNWKAISAALPGRNSKSCRLRYCQHLDPEVDGATAFTAKEDARILHYQSRFPNNGACLHLFPLAPGDIVNESGAAPMDVDCGADDPLTQLRLFPAAAKVAYPPMTAAAAFRAMVQAVRAS >Et_8B_060384.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:12164761:12164955:-1 gene:Et_8B_060384 transcript:Et_8B_060384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHNYVLAAGLDAGVAFMDVLTFVALGYFDVYGPRWWGGVADDGCDLASCPTAHGVVVKGCPVV >Et_3A_025795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3324528:3327744:1 gene:Et_3A_025795 transcript:Et_3A_025795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLSSSAAPFTCRSPKLRCYLVALPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDKFWRMPECYIRGNTIKYLRVPDEVIDKVQEETSKSRSGSRRVLVAGEEEGILVLNLEAGASGVAKMMEAKVVVAVEGVELVVKVATKVGGVGVAENDLHMGESRFLRPVVVLHRLLRT >Et_5B_045300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17033622:17035579:-1 gene:Et_5B_045300 transcript:Et_5B_045300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWCVPDIWQWIKSLPEQWSGGSYSLQVCSSPSTNQSLKLVISRDSETQPLNLSFSICAEFHEPIPLWSSNYSRLRSSVATDVSARFLHDIICGVLQYGPYSNRRSLVRLPNLQIPQDSSGKILNIAALSLAILVSIYEAPSSLRREFIDTISAQLMRHEMGDAAKKLMLTLGSNVEEQWMRSVNLGVTNWTMECLRSGGAPASPAAVFSYAVSAAKLWKVQVYCPIGAMDMEHPSHQTKDERLQFSLNYQQLESVIQFVCRVSVKENWIDVSVNVDNIRCDVVQLVSESLMARQGYGSDEKHFPSRVSLQLTPMSQPDILSLSVSRSTDNPVHEVGLETGLDASLGLGGGAPASMGLAVSAHETVTRAVRPWKFEHAVHGNTASLSWFLHGGGGREVFSAEPRPLEQLFRRPGSWFRNRYTSASRPFTRSGGVIFAGDEYGEGVRWRLCPAAAGKTVEWEIRGRVWVTYWPNKKRTMHTETRRIEFRELLKLTLAE >Et_1A_008487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8021457:8022964:-1 gene:Et_1A_008487 transcript:Et_1A_008487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLTICLAAVILLLLHVGVAAIAAPGTFVYAGCSPSRYAPNTAFESNLHSLLASMASTASSGAATYNTFTAGLGQSAAAVDGTAPAPAPSAAAYGLYQCRGDLSAGACTACVHDTVARLGSVCANAYAASLQSDGCLVRYGARGFVGRAESADADASVAYRKCSSAASDDAAFLKSRDAVLAQLQGEAATATTASAAASGYYKASTSGAVQGIAQCLVGIPAADCAACLGQAVGQVKGTCGDALAADVYLAQCSVRYWANADYFRASQGNSEDDVGKTVAIIIGILAGLALFVVFISFLRKTCG >Et_9B_065325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3824385:3828948:-1 gene:Et_9B_065325 transcript:Et_9B_065325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPKEGLFGPVKAYEEKYKEGTRSPISRTDAPDTMRRLDVARRIVYHKRGRKNAAAAARRARKNAAAGSPSWNNNGGWLSLRTGKRLKRPPHGGQADDDGLPFSDEILLIVFACSVLSLADLVRCAATCRRWLRLVSTEAEFICRASENHRRATGLAVGFFHQSPPGETNCSAPRFIPLSPSRFPGASLDALFDGDVFSSAAVNPMTGDVRVLPTLSNKDRPGCYAWALLTADDDLGSNAAANPLLRSRSAFLVMIIYRRCNFTACRFYSSETGAWAPERKVTGATLGATWLNQLYMNAAVVAIGGSVFWQANQAVVSLCVDTQFLPIKWKEAQDMTLKLEPYVLLHPSSVYLLGVCEKSGVIFFVASFDGDQRKFMYSLDMEKKKARLIPGDVPEGIPWLCPSSRGSFHGYEMDRIGYLTSLAGGDGNGKRLKRSPHGGQDDDGLPFSDEILLVVFACSLLSVANLVRCAATCRRWLRLVTTEPDFICRSPPPPSEHHRRASGVAFGFFHEVPVETQINGGAPCFIPLMNSRFPGASTLDALFDSETVWPTRILRRTSCGAALRLAVVNPMTGDVCILPKLADKDRPGYYSCARVAHRRRPRPKRLEVCLQPFRVIIVYSQVKLTACQSYSSDTGIWSPERKVSGARLSGARLNQMQMNAAAVVIGGTVFWQARHAVVSLCVATMQAKLKFLPFYRRHLSCSRKRCKSHLITASPDGRLCVVDARLSDKQLQVRLFLPDESGSGLGKKHTSRWKEAQKMFVCLIPDMIGSPDCLRLLQACEKSGIVLLLACFDGYDDRNSLYALDIEKKRVRLIPASAPQGFCSWRPKWHGSFHGCM >Et_1A_009047.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2697332:2697574:1 gene:Et_1A_009047 transcript:Et_1A_009047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTSVIKEAPVPEPEPELEPAPGPAGHGQLLRISAFQLMLFGATAIIGAAAAPVPWPRLFFVLLAWFVGCLSLFMTLA >Et_2A_017114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31007950:31010326:1 gene:Et_2A_017114 transcript:Et_2A_017114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQGLILEVRVTGCRKLRDTEFFTRQDPYVVLEYATTKLRTRTCTDGGKNPIFDEKFHIPLIEGLRELTVNVWNSNTLSHDDFIGSGRVQLHKVLTQGYDDSSWPLQTRHMRSAGEVKLILHFDVSAMKNKMGKSAAGSSTHYVPSPMPTLAPALASAVPYAGVQPSYPPASAYPASAYPTYPTPGYSMYKSAESVPPPQQPCPPMGYPATTYPPQPREQAYPPQPCEQPYPPQPYGQVYPPQPYGQPYPPPPATQSPYPPAPYPGTYPPGPY >Et_4A_035728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4483487:4486413:-1 gene:Et_4A_035728 transcript:Et_4A_035728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPIRDTKCMLPSVFSEPLSIPAMAACQNGLVSEPPMLNTEIITQKTTNFVAETANKDKSIGYLDVFVHQARDIHNVCIYHKQDVYAKLCLTSDPDVSCSTKVINSAGRNPVFEENLRINVRTVDASLKCEIWMLSRIRNYLEDQLLGFALVPLADIVMGDGKLVQEFSLSSTDLFHTPAGFVQLSFSYTGCSPDVILISSPRKSVSSVTNSGNGSVVPSELEKIEFPDLNVVNENQIMVSKYLEMGSLDAENPVKVESGKLLESDAAVPGAAIRTDKREEYRDESPLSCVSTTGSSATHSARQQSVSEPSSEASETTVEASSMQSQREKSQGVTDGEADSSEAPPKDEVVKPMISINLQPEQSVVQQDIVDMYMKSMQQFTESLAKMKLPLDAENSSPSNDDINSTTTEKPSQSPSTNSRTRGKASAVPEQSRPSKLVYARM >Et_1A_008278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5943795:5945614:-1 gene:Et_1A_008278 transcript:Et_1A_008278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKARFLVLAAAAWACLSAVSASAFDVPSVAFDEGFSPLFGDDNLVRSRDDRSVRLLLDRRSGSGFISSDYYLHGFFSASIKLPRDYTAGVVVAFYLSNGDVYEKTHDELDFEFLGSRWGGQWRVQTNVYGNGSTDHGREERYLLPFDPTVEAHRYSVLWAPTHIIFYIDDTPIREVIRHPDMGGDFPAKPMAVYATIWDGSTWATDGGKYKVNYKYAPFASDFSELTLRGCRTDPGLRLTERDSAAAGDCAEGGGLLGLVTADYAVMTPQKRAAMRAFRARHMTYTVCYDAMRYAAGPFPECDTSDEERQTFSAWGESKNVIMRQRARGRRRGRRAGAGAGTRGRSDVASS >Et_7A_050266.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16713277:16715854:-1 gene:Et_7A_050266 transcript:Et_7A_050266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IRAGKTSSPLAYQLRSLPCRLLFSPSLLYPSARPSHLLVKSLHGRPRPRVPNPSPVMSAPPSPPHWQEGGGLPVPVPAAVVGDDKVLAAAQHIVKSLATSKNAADDMIRILSGFDHRLSSITSDLFPSPSPSHPSAAAARDAEDAAAEEDEEEREEEEARSLADFDAAEKLINLWDTTPEALVFEAPEDDAAHYLEAVDVAVDQLAAGGPAARRADMVVQLAMARLEDELRHLMVRHAVPLDASGLFCSLRRLSLGSMDDLDTSSEFDPATPHSQEGGPDTARSASIADNPFDDQVFDLVRPEAVDELRAIADRMVRAGYASELAQVYCAIRRDLLDECLSVLGVERLSIDEVQRIEWKHLNDKMKKWVHGVKTVVRSLLTGERRLCDQVLAASDDLRDECFVDCTKGCIMQIRSFGDAVAVCTRSPEKLSRILDMYEALAEVIPELKELFFGNYGDDVIHDLEGVLERLGDAVKGTLLEFGKVLQQESSRRPMMAGEIHPMTRYVMNYLRLLVVYSDTLDALLDQNAAGDADRSGGDEDAEYLDSLTPLGRRMVKLISYLEANLEEKSKLYEDGALQCIFSMNNTLYIVQKVKDSELGRILGDHWIRRRRGKIRQNSKSYLRISWTKVLSYLKDDGHGSGSGSGSGSGSNSRIKEKFKNFNLAFDEIYRSQTLWKVPDPQLREELKISISENVIPAYRAFTGRYGSIVDSGRNSGKYVKYTPEDLENHLSDLFEGSLGSASHSKRR >Et_2A_016991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3032876:3040288:1 gene:Et_2A_016991 transcript:Et_2A_016991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSHFFHPLAQVNFHPRPPLMAPPPLAFVATLLLLLAGAAAQLGGPVPPPPPPPPLPQLVVQSTDASDSTDFSLPLVSQAVYPVAALLKVFQQWGLEGDGLAAEDPCQKRVWFESFGKNASIDCSCSKDQTDLCRVTHLNVTGYRNITEIPPALFNLTELISLDLSNNNLSGPIPQEVASLAKLEIWHFNNNNLNGSFPPGSSLLRNLQSLWMFDNKISGPVPEFIQNLANLTDLRIYGMELRGPIPTDFSKLASLECMMLGDLGGNHSSFGFIANWTNLSTLSLRKCGLMGQLAARNLSKLNYLDLRSNNLSGPIEKLLPYKNSKYIYVGENNFDGDLPVDIVRASVALDVSYNPSLSGTLPNNTAGQKWPIIWHILCKVLFVTHHLIMHADSTTSLAINCGGKEMKTSDPSPTVFFEDSTDLGGAGFHVNNASHWVVSHVGSDPFNYSPGPPGIVNTSQDISGTNVPQLYQTARTSTTALWYYVIGLANRKYTVQLFFAEIVIAEPGRRLFNIDIQGQNIKKDFDISLEAGGPRKPTNITHEVIIENSILAIHLYWGGRGTCCIPYRGAYGPLVSAIKVFPSQDSKITPPQPRASHSSRQDEKRRGVVAGIAALCIAAAVISSSVVYLWWKWVSLVKRPMA >Et_4A_034446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32551394:32566856:-1 gene:Et_4A_034446 transcript:Et_4A_034446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTDQHWFQASKGRCVVGSHVWVEDPDEAWMDGLVEEINGDELVINCTSGKKVTANVSSAYPKDTESPHGGVEDMTRLAYLHEPGVLQNLKSRYSLNEIYTYTGNILIAVNPFQRLPHLYNNHVMDTYKGAEFGELSPHPFAIADRSYRLMINDRISQAILVSGESGAGKTESTKMLMQYLAFMGGKAQAEGRSVQQQILESNPVLEAFGNAKTDCKKYKLGEARSFHYLNQSNCITLDGLDDSKEYMETRRAMGIVGISSDEQDAIFRVVAAILHLGNVEFAEGSEADSSVPKDEKSQFHLRTAAELFMCDEKGLEESLCKRVMATRGESITKNLDPRDAALSRDALARIVYSRLFDWLVNKINSSIGQDPDSKILIGVLDIYGFESFLTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIHMLRNSTHETFAEKLYQKFKDNPHFSKPKFSRSDFTIHHYAGNVTYQTDLFLDKNIDYAVNEHQVLLNASKCSFVSSIFPPIDESTKSTKFTSIGSSFKQQLQSLLETLSSTEPHYIRCIKPNNVLKPAIFENSDVLQQLCCGDTLPGEHFMSLLIDSVFCNPKFWVEVTAAKMLLEKANLTGYQIGKTKVFLRAGQMAELDALRTEVLGHSAKKIQSKVRSFIAQKKYIHLQQCATQLQAACRGTIARRCYENLRREASSLKIQTCYRMHHARKIYVDLCSASTTIQSGLRGMGARIRLRYSWQTKAAVIIQSHCRRFLAHSHYVRLMKATVTAQCAWRGRMARRELRNLKMAAKETGALQAAKGKLEKEVEELTWQLQLEKRSRADMEEAKTQENKKLQLQLQELHLQLKDTNALLKREQEDAKEESEEAAVMPQVHDDTALVNELTAENERLKESVDTLINCVVENVGFSEGKPVAAITIYKCLLHWRIFETDKTNVFDRLIQIFGSSMQKQENNTDLAYWLSNSSSLLIILQKSLKPPGSSVTTPLKRPQTQTSFLGRMVFRASSITVDMDLVRQVEAKYPALLFKQQLTAFVEGLYGMIRDNVKKEISSTLSLVIQAPRNAKAGLMTDQGIYWQTIVNNLNDLLRILQENCVPTIFSRKIFTQIFSSINAQLLNSLLVHRESCSFSNGEYVKQGLDELEAWCTQAKTEVLNVQQIYKICTQYWDDKYNTESVSEEVLDEMRKLMNVGSGEGTSDSAFLLDEEISMPLSLEEIANSMDAKEFQNVSPPQELVENAAFQFLKS >Et_2B_020939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2459372:2496211:-1 gene:Et_2B_020939 transcript:Et_2B_020939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIFSHTPLIFFLLLYHHASVALASDQSYLARGSSISPQDDTTAILVSPNGAFSCGFYKVATNAFTFSIWFSKSSDKTVAWTANRDAPVNGNGSRIVFQKDGSLNLLDYDVTAVRSTNTAAIHANSISLLDSGSLVVMDPDGKPLWSSFDSPTDTLLPSQLMTATTKLVSASARGLLSSGNYTFYFNSNNMLSLLYSRNEISSIYWPGNAKTSSNISQHGVFDRNGTFVVGDQLEFSASDLAGDIMRRLTMDYDGNLRLYSLDMASGNWSISGMLLIRVCEIPGICGVNSTCRYGPKPGCSCLEGFEMIDTSDRSKGCKRGANTVANKAFSFSKFPGTDFWGYETGYTSPVPLQKCMNMCLDNSSCQAFRYREGVGQFRPRSRQAAREDAPYTTITEIESPPIIASPRKKFMLGYFLSSALTLLAVEVILIIVGFWVVHKREQRPETPDEGSRIISSQFCRFSHKELQKATNCFREELGSGGSGVVYKGVLTDERKIAMKKLNDVIQGEQEFKSELSVIGRIYHINVVRIWGFCAEKTHKLLVSESACSVGCSCGCGCKKITANTVAAAEEITKTFRCKAAKRTGRVCAVENGSLDKVLFDNQNLYTVLKWSQRYKIALGIAKGLAYLHHECLEWIVHCDIKPENILLDEDHEPKIADFGLVKLLGRGVGAQMLSRVQGTRGYIAPEWALNLPITGKADVYSYGVVLLELVMGTRVSSQVIEGEGVVEMAVGHSAGILKQKLASEYQSWLLEFVDSRLRGEFDYSQAAMMLNIAVLAVAMRGIFSFTAILFSFLLVSSIARANNQSYLARGSSISTKDDTTTILVSPNGAFSCGFYKVATNAFTFSIWFSWSSGKTVAWTANRDAPVNGKGSRLTFRKNGVLELIDYNGTTAWSTNTTATRADRVMLLNSGNLVVMDSQGHHLWRSFDSPTDTLLPCQPMTRNTKLVSAISKGLFYSGSYTFYFNSDNVLTLIYNGPEISSIYWPDPFYKSWDNCRTTYNSSQHGVLDQKGHFLSSDQFEFDASDLGTMVMRRLTLDYDGNLRLYSLNAIDGSWLVSWMAFSRVCDIHGVCGINSICTYMPKLECSCLEGFEVIEPSDWSKGCRRKVNMAANGKWSKDKANSMTNQVFSFRTFRGTDFWGYDFNYTSSVPLWTCRKMCLDKKECQAFGYRKGTGECYPKVFLCNGKKFPDPYNDIYLKVTKATLPAPTIPSSLSNDCKVTEIEAYPSSQMLKPGTSKFKFGYFLSSALTLLLIEVVLIVVGCLVVYKWERRPEIADEGYMIISSQICRFSYKELHKATKCFQEKLGSGGSGVVYKGVLNDERKVAVKRLNDVVQGEQEFRSELSVIGRIYHMNLARIWGFCAEKKHRILVSEFVENGSLDKVLFDSQRSSPLLQWGQRCNIALGVAKGLAYLHHECLEWIVHCDIKPENILLDKDFEPKIADFGLVKLLSRGAGAQMLSRVHGTRGYIAPEWALNLPITGKADVYSYGVVLLELVKGIRVSSWAIEGKGEMEMAVRHSAEILKEKLASEDQSWLLEFVDNRLNGEFNYSQAAMMVKIAVSCVEEERRRRPSMTHVVESLLSLCFLVKGILISSWVVEGEGEMEMAVTHLAGILKEKLASEDQSWLLEFVDNRLNGEFNYSQAAMMDDGKDSSIMCGRGEKKKTKHDPLLYLILAAHTGLLVHARLAMATRGIFSFNATLFSFLLVSSIARANDQSYLARGSSISTKDDTTTILVSPNGAFSCGFYKVATNAFTFSIWFSWSSGKTVAWTANRDAPVNGKGSRLTFRKNGVLELIDYNGTTAWSTNTTATRADRVMLLNSGNLVVMDSQGHHLWRSFDSPTDTLLPCQPMTRNTKLVSAISKGLFYSGSYTFYFNSDNVLTLIYNGPEISSIYWPDPFYKSWEIGRTTYNSSQYGVLDQKGHFLSSDQFEFDASDLGTMVMRRLTLDYDGNLRLYSLNAIDGSWLVSWMAFSRVCDIHGVCGINSICTYMPKLECSCLEGFEVIEPSDWSKGCRRKVNMAANGKWSKDKANSMTNQVFSFRTFRGTDFWGYDFNYTSSVPLWTCRKMCLDKKECQAFGYRKGTGECYPKVLLCNGKKFPDPYNDIYLKVTKATLPAPTIPSSLSNDCKVTEIEAYPSSQMLKPGTSKFKFGYFLSSALTLLLIEVVLIVVGCLVVYKWERRTEIADEGYMIISSQFCRFSYKELHKATKCFQEKLGSGGSGVVYKGVLNDERKVAVKRLNDVIQGEQEFRSELSVIGRIYHMNLARIWGFCAEKKHRILVSEFVENGSLDKVLFDSQRSSPLLQWGQRCNIALGVAKGLAYLHHECLEWIVHCDIKPENILLDKDFEPKIADFGLVKLLSRGAGAQMLSRVHGTRGYIAPEWALNLPITGKADVYSYGVVLLELVKGIRVSSWAIEGKGEMEMAVRHSAEILKEKLASEDQSWLLEFVDNRLDGKFNYSQAAMMVKTAVSCLEEERRRRPSMTHVVETLLSLVE >Et_10B_003257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18294290:18299005:1 gene:Et_10B_003257 transcript:Et_10B_003257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTNLLLEEPIRMASILEPSKTSFFPAMTKIVGTLGPKSRSVDTISSCLKAGMSVARFDFSWGDAAYHQETLENLKLAIKSTKKLCAVMLDTVGPELQVVNKSETPISLEENGTVVLTPHQGQEATNSLLPINFSGLAKALKPGATIFVGQYLFTGSETTSVWLEVSDIKGDDVVCVIKNTATLAGSLFTLHCSQIHIDLPTLSDEDKEVIKKWGAPNKIDFLSLSYTRHAEDVRQAREFLSTLGDLSQTQIFAKIENVEGLNHFDEILEAADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICAEAEKVFNQDLYYKRTVKHVGEPMSHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPNMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDSSVVKIIELDD >Et_10A_001717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7258584:7260370:1 gene:Et_10A_001717 transcript:Et_10A_001717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCKIAVYTGLIKKLGDDAKLATVRSHEVAHAIARHAVENCTRSFLELGILRLYEYSLRGMVPRPVEFLIQTLHKYCLRLPRSRRMEIEADRIGLLLLAAAGYDPSDAPSVWKKLGGNAGDWKLSNYVSNHPSFKKRAQFVLQANVMEEALKLYNESAS >Et_4A_033691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25908568:25911919:1 gene:Et_4A_033691 transcript:Et_4A_033691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGVVMAAVDAGGGSELGLLGGSRPLKHGRGNAAGGDDHGWGGGRAKQARVAAAGDVTEAAVKAAAPYLLGSCSPGHGGEQMLSFSSAASSCPSAAAASAAAAAAAAAAADAAMPLYYGTPASCSGLSSVSLSSSIQGAMARMRGPFTPSQWIELEHQALIYKYLAANSPIPPNLLIPIRRSLASSYSPAYFGTSTLGWGSFQLGYSGNADLEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKHVEGQPGHAAKAMSAAVVAAAASATQPGALAASGAGATAGGLTVNQQDQQSVKNYAAGASDPCSLQYSRELMMKHNGSENMQESDNLSMLTSMNTRNTGGSIFPYSKQNNPFEVTNSRPDFGLVSSDSLMSSPHSSLENANMLSSQSLNEHQSSASLQHFVDWPRTPAQGGLTWPVAEDMQAQRTQLSVSAPMASSELSSASTSPIHEKLMLSPLKLSREYSPVGLSISANRDEAGQVEGNWMFRDSSMGGPLGEVLTKNGNGEGMNCMSAPLNLLTDCWDSSPGLESSPVGVLQKTAFGSVSSSTGSSPRMENHSAYDGISNLRDDLGSIVVSHPSIRLL >Et_4A_033916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2868088:2875031:1 gene:Et_4A_033916 transcript:Et_4A_033916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLFKSKIRWQHRSNEPAPPAGPPQGQGQQPSASASPATSPSGTGAAPALSTSTGSSSSPSAGATPTGATAAAGAAGGGGEDYMLSEEEFQMQLAMALSASNSDCVGDLDGEQIRKAKLMSLDRHSAHRDEGHTADSLSRRYWDYNFLDYHEKVIDGFYDIFGSSMESSRQGKMPSLADLQTGIGDLGFEVIVINRAIDSTLQEMEQVAQCILLDFPVANLAVLVQRIAELVTDNMGGPVKDANEMLTRWLEKSTELRTSLQTSLLPIGCIKIGLSRHRALLFKILADSVGIPCKLVKGSNYTGGDDDDAINIIKMDNEREFLVDLMAAPGTLIPADVISGRGNSLKSNRKLGLNQTAGTSRIVDANLDPSALQPEPKGGQLPVFSSGDWISDGQSGYETATTAGSSQTSSGVASAVPGRSIFDDSWTLVSHEQSGEPSTSAGTSQQKVVLRGGEQRNINQPPDLLENPESRNLFADLNPFGVVESKRTSIPFKGPDNRNNELQRRRENVVPNAGRPQQRLVMKNWSPYNDVSNNKQYNYVEDSFSRRNTGDNATSSSQMRWPAARNANNNVGLRNDTSYGAPPHNYDSIMAGTSAMKISSTAETGKATERAIRSDLDKGPTNSRLEDQPSLVQPPQERHPWDKPSDARIPMNRVQNQVKQSMELLDVKQDHKKLLPDPKKSPLDRFMDPSMPSRNTESVSPSLRSQRLDTMFDDVSECEIPWEDLVIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDFYGDALDEFRSEVRIMRRLRHPNIVLFMGAVTRPPNLSIVSEYLPRGSLYKILHRPNCQIDERQRIKMALDVAKGMNCLHTSVPTIVHRDLKSPNLLVDNNWNVKVCDFGLSRLKHSTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLRMPWSGMNPMQVVGAVGFQDRRLDIPKEIDPLVARIIFECWQKDPNLRPSFAQLTSALKTVQRLVIPSHQETQSPPVPQEISVNSTP >Et_2A_016460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2518216:2520262:1 gene:Et_2A_016460 transcript:Et_2A_016460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRTSKMLAQPTKKPKLDTAFDCPFCNNRGSVECSIDLKHRLAEAVCAVCKEAYSTSAHALTQPVDVYSEWIDACEQANEGVRRDYYPDEDDEGFGTFQNFCAA >Et_5B_043838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16649022:16649549:-1 gene:Et_5B_043838 transcript:Et_5B_043838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRTTTFIVIMCLVIVGLNANLVTAAQCSCCKSARAKACCFGCIALGRSDTVCKNTCCFPCILADSVAAKMEELGVLANMEEMGVLANMEEGQA >Et_1B_011351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20891538:20892437:1 gene:Et_1B_011351 transcript:Et_1B_011351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAARSNVEFDGRGRGGPISARPPLLCYAWSRAAASLQACWSTPDGLSGRRTEWRRRTGGGGGGGVERAASRSVLASLSVEAAGGMVEAGLLVELGDWLLSGPLARMLFATSEKYGDCSALTASGMFP >Et_2A_016035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20370919:20374891:-1 gene:Et_2A_016035 transcript:Et_2A_016035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTGFAASKVLILVGAGMTGSILLRNGRLSDVLGELQEIMKGVNQGQASGPYDIALIQAQIRNLAQEVRDLTISKPITILSGNSDSGSSLSSYILPAAAVGAMGYCYMWLKGLSLSDVMFITKRNMANAVQSMSKQLEQVSSALAATKRHLTQRLEKLDGKMDEQVEISKTIRNEVNEVKDDLSQIGFDIDTILQMVAGLEGKIELLEEKQDMANAGIRYLCQFAGGIKDGINAKFFQEASAKPKLSYPAQPENKPVKGLEWFLESAKEQKVIDTKPNKVTSDAEKPMKNTAVKGTAVHRSTKFSFRKEGLAL >Et_3B_031674.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:7480456:7481244:-1 gene:Et_3B_031674 transcript:Et_3B_031674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAPSRARALLDGTPHRVAPRWCSAAVAGYDTSRGRGVGDTVAEYAGMLARGARPDAYTFPSLLKAVARATASGVTLGGAAVHAHVVKFGFDRNAHAASALVLAYAAGGDDAAARAALDESAVAGSGAGASPVAWNALITGHNRARRFVQSRRSFADMVRTGVVPTPVTYVSVLSACGKGGDALLGTQVHKRVLASGALPADMKVGNALVDMYAECADMDAAWRLFDGMQVRSVVFVDVAGFWVREGRPGRSSQGAVRFYA >Et_8A_057327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23707752:23710792:1 gene:Et_8A_057327 transcript:Et_8A_057327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRKQMEKGKSELRLTLEELSFFNPGDGKEVQVQEKQKSSTTDLICISKQLIRVLEEIGPTLLVLRQDILQNVQRLQDLHAKDPSKYVSLTAIVTNEVEDGTSKKTNSCTKAIIWLSRSIKFSKYLLEKLLKTPDMSLEEAVAEAYRCTLKQWHGWISSAAYKVALKLIPERDIFIALLMGSCQDFEDLEEDVKTLIGVIHPVLEEIDVILILNERLQNQ >Et_5B_045174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10939001:10942299:-1 gene:Et_5B_045174 transcript:Et_5B_045174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLSLIEHNLPMPPHLLSRPLVDAIKAELERLFLDKVVANLGLCVSVYDIRSIEGGSIPAGEGCSTYTVSFRLLMFKPFNGEVLVGRISGYDDKGLQVSLEFFSDICIPGHLMQGGTVRCPDGRWMWKTEDGNELYLDLDDEIRFLVSNIKYPPIPVEQKEEDPPFAPMQIIGSIKGDGLGLLAWWVADEEGDEEADEEAEQ >Et_5B_044216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21627825:21634341:1 gene:Et_5B_044216 transcript:Et_5B_044216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATASAPTSASTSGRDALAAAASSPAAVCLVPFRWWSRVREEEAAGGVQYAATAAASPSYYGLRLLHSLLHPDLVLRLERGECRAAGSEGRSYALVPADELSRALARQNSGLALQNKHSFAGDSAGAYPLVLRISVRETSILTLKISKKDNPVENYKRANKIFNADSQPVHVWDFSGQTNLILMNEWNRPHHDCCNADQENLLEVQVYAMSDSLTSKIGGDFYDMNADLSYGSFGRAGSMGLIGLENLGNTCFMNSSIQCLAHTPKLVDYFLGDYARDINRTNPLGLNGELALAFGELLRSLWTTDRKPVAPHHFKSKIGCFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVKCKPYEEAKDASDRPDEEVADEYWSNHLARNDSVIVDTCHGQYKSTLTCPTCCKRSVTFDPFMYLSLPVPSTAKRSMTVTVFSTDGSTKPCSYDVSVPKFGTLSDLVRALSIACSLGEDEFLLVTEVYNNCILRYLEEPSDSVSLLRDGDKLAAYRLPKKFEKSPLVVFTHQHSDEHSRVGGVTLRVKEFEAPLLTALPEMVNGLSLRSIYRKLLNPFRVSEGTGLCNDSAGSNDNSTDLMDTPPSDSDSSVRNTQLEDGPESSHSSASECEIIKGPSLSYEGADDSNKSAHLEGFEFYLKNEKGDDQEKIEIDELDILKTAQSRLQVNVHWQQNALRKYDTSMLNNLPEIHKLELIPKGTEDSVALNGCLEAFLKEEPLGPDDMWYCPCCKKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETFVDFPTSDLDLSSYIADKSKQPNSHYRLYAISNHYGNMGGGHYTASIYHEQEKGWYKFDDDRVTPISEDSIKTPAAYVLFYRRE >Et_2A_014681.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34338115:34338417:1 gene:Et_2A_014681 transcript:Et_2A_014681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNHRGGRFFLLELRTLKTTVLDAATLRVCAELPPPPGGVRDIDEAYLAPASGNEALMLLHRAGDRRGTLVTEAFRARLKHWKKPRWARCLRKKKKVTL >Et_1B_012856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35600740:35604006:-1 gene:Et_1B_012856 transcript:Et_1B_012856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATKVRELQEFVEACKKDPSLLADPNLAFFRDYLHSLGAKIPAPTSSSDKPKRSSMDDIDDDDDDDDDLDMRDATPEPDELDEEIVESDLELEGDIVQSDHDDPPQKMGDPSVEVTEENRDASQEAKGKAMEAMSEGKLEDAVEHLTKAILLNPLSAIMYGTRASVFIKMKKPVAAIRDANAALEINPDSAKGYKTRGMANAMLGKWEEAARDLHTASNMDFDEEIDAVLKKVEPNAHKIMEHRRKYERLRKEREEKRAERDRLRRRAEAQAAYEKAKRKEQSSSHSSGGAPPRGFPGGTPGGFPGGMPGGFPGGAMPGGFPGGAMPGGFPGGAMPGGVPGNVDMSQILNDPDLMAAFSDPEVMAALQDVMNNPASFARHQANPKVGPIIAKMMGKFGGSQ >Et_6A_045872.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:22269619:22270034:-1 gene:Et_6A_045872 transcript:Et_6A_045872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKNLDVAPHSQRKRRRQRRHHPAPDDGAGASSDSDPPPPPSSPGSVRCLIEPYSKPRIVSILAEAAAADPALRARLRAAADASPSHRRLFVHGLPPRADAAALADAFSGFGSAALA >Et_2B_019603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10920408:10923022:1 gene:Et_2B_019603 transcript:Et_2B_019603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYSNSTGSSDDEACMLALSLLSGFTVPMTLKAVIELGIIDQLLAANGRAVTPAELAARLPHPAKAVAMVDRMLRFLASYSVVRCVTEVGPDGNTNRAYTATPVCNWLAGNGGEGSIVPFGRLILDKTIQESWHHMKGAVLEGKAPFEKAYGMPFFGYLGANESFNTLFNQAMASHSAIITRKLIEFFGGFKDLDVLVDVGGGSGTTLQMITGQYKNLRGINYDLPHVIAQTTPIEGLEHVAGSMFDTIPQGNAVLLKWILHNWGDSECVKILKNCYKPLPVNGKVIILDHILPASPEPTLQAQGALQFDVAMLCLFPQGKENREGVLRARNGGRFLW >Et_3A_026106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4380324:4383978:-1 gene:Et_3A_026106 transcript:Et_3A_026106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLHCFKQSGGGNGGGQGKRLERRLSLGEYKKAVSWSKYLVAPPGARIRGGSEELWSADLSKLEIRGKFATGRHSRVYSGRYAGREVAIKMVSQPEEDAALAAELERQFASEVALLLRLRHPNIISFVAACKKPPVFCIITEFMAGGSLRKYLHQQEPHSVPIELVLKLALDIARGMSYLHSQGILHRDLKSENILLGADMSVKVADFGISCLESQCGSGKGFTGTYRWMAPEMIKEKQHTRKVDVYSFGIVVWEILTSLVPFSDMTPEQAAVAVALKNARPPLPASCPVAISHLITQCWATNPDRRPQFDDIVAVLESYKEALDEDPSFFLSYIPPPTHHHHHHHQQSLLRCFPRCITMRRSASLNAVA >Et_2B_022358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1941794:1943641:-1 gene:Et_2B_022358 transcript:Et_2B_022358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYRGKYADELIKNAAYIGTPGKGILAADESTGTIGKRFSSINVENIEENRRALRELLFCAPGALQYLSGVILFEETLYQKTKDGKPFVDVLKEGGVLPGIKVDKGTIEVAGTDKETTTQGHDDLGKRCAKYYEAGARFAKWRAVLKIGPNEPSQLAIDLNAQGLARYAIICQENGLVPIVEPEILVDGPHDIERCAYVTEMVLAACYKALNEQHVLLEGTLLKPNMVTPGSDAKKVAPKVIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLNTKKPWSLSFSFGRALQASTLKAWAGKEENIEKARAALLARCKANSEATLGTYKGDAAVGEGVSESLHVKDYKY >Et_5B_044616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4046370:4049139:1 gene:Et_5B_044616 transcript:Et_5B_044616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRYWNINLKEMIEAGVHFGHGIKKWNPKMAPYISAKRKASQGKSFLIVGTKKRTADLVASAAIRSRCHYVNKKWFSDVAILKRKLSTLQRYLGGIKYMTRLPDIVIVLDQQKEYIALRECAILGIPTISLVDTNCDPDLANISIPANDDTMTSIRLILNKLVFAICEGQDNMNITPCSIKTLKGLYDISGVEVGQHFYWQIGDFQIHAQVLITSWVVITILLGSVIIAVRNPQTIPTDGQNFFEYVLEFIRDLSKTQIGEEYGPWVPFIGTMFLFIFVSNWSGALLPWKIIELPHGELAAPTNDINTTVALALLTSAAYFYAGLSKKGLSYFEKYIKPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEDEGEKWELKDIEEHEELTMNPLIAAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >Et_1B_009871.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:531143:531628:1 gene:Et_1B_009871 transcript:Et_1B_009871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GFSFPPPANAGLRALQTITGQGSNASDERSEDQEDSKTDTAPASIATLESSFKVRGASNLKISPRHDLAMVFTCKVCETRSMKMASRESYEKGVVVARCGGCNNLHLIADRLGWFGEPGSIEDFLAAQGEEVKKGSTDTLNFTLDDLVGSQVSSKGPSDQS >Et_5B_044557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3453937:3482932:1 gene:Et_5B_044557 transcript:Et_5B_044557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPRPRLGSRPPHGARRRDDQVTDGDGVDRISGLPDDLLLLVLSRFQSARDAARTSVLSSRWSDLWRRLPELYFHDRISPSAVEAALAKVAVPKLSVLNISFYQGPRGDDISSGAVASLLRTAARLDPMKLSFSVFVYKNDLDETIELPGFARATNIFLAVGRHLTLTLPAHGCEFPVLERLSIARCRTDTGALISRCPHLRVLRINQCQSYDTLVVHSNTIEELDVAHIGGCVDIVAPELKEFRLIATMHKDFRMSLSAPMVQYQSWHCRLEEGHLNLGIDWSWCLCCLELTTEIDSASYASVLHSQNLPEMFRFPTFSVLELRLDTSGHVYGALVLKLLKICNGIRRLKLVTNQNVVIFLTTTAYQIDFNKHSLTHRCSNTNNVALLFQGYNEEACTPNCPCDQLRNWRSQNIFLTGLEEVEIEKFKGRGHEVDFLKLMFRCAPLTKVIVKLESKVSPSSKGCKETYNLFKANPGVKCNVCGRAASSARRRRDDQGAYGDGVDRISDLPDDLLLLVLDCLFCGRAAARTSVLSRRWRHVWRRLPKLRLYNILPSALQPAMADSPLTNLSLLYFLKFPNHKSPAAAASLLLTVARLDPMELTFMAPGVNRDCTFQLPSFSRATCIDLLFNSHVTLTARGGECPVLEKLTVASCRIDTTTSALISRCPHLRVLQIEDSYDTVKVHSTTLEELDVVQILPLGGVDVVAPELKKFHLSAYLRKDFSMSLLAPKVQDLSWSCKWMDTVGIDVSWCLSYLSLVSKESGSGVLRLDIHRLRVVHASVIPSRSLPEIIQLPKFSVLELHLDTRGHLYEAMLLNLLKIWNGIRRLKLVIDKHADILPNYEECTPNCPCDQPQNWRCQNIFLRVLEEVEIENFKGSGHEVDFLKLLFSLRAMELRPRRRLDSRPQRRRRDPGADGDVVDRISGLHDDLLLLVLTRLRSARDAARASVLSSRWSDLWTRLPELYFRNVSPSALEAALAKVAVPKCSVLDIIETRCNDRHSFSAADVASLLRNAARLNPGELRVEVRLKVKDRGSAVELPFFAGATSINLDVGDLNLTLPAQGGDFPVLERLSIGNCLINTGPLISRCPNLRVLTLNQCLNDGSLTVHSTTIEELTLNNNSIGARSIDIVAPVLKKFILSAQLHENFAVSLTAPMVENHSWSCGWHGFQVMIYQTWCLDHLKFETEESGCIVLRLDILRSHSVSTKQKLQQILEFPKCYALELYLEASEHVYGGMVLNILRICNGIGRLKLVSNYWFRDVKACPKNCPCDKPQNWRSHDTLLMDLEEVKIVNFKGSGHEVDFYKLLFRCAPLTKVIVRLESKISTRSKGSKEIYNLFKANPSVECNVYQKCGKELRPRRRLDSRPQRRRRDPGADGRDGVDRISGLHDDLLLLVLARLRSARDAARTSVLSKRWGDLWRRLPELYFRNTCPGALDAALAKVTVPKLSPMESRSRRRLGSRPPRGARRRNDQGADGDGMDRISGLHDDLLLLVLARIRCARAAARTSVLSSRWSDLWMRLPEIYFRDSMSPSALEAALAKVAVPKLSVLDMAVSRHADDRRSFSAARLNPVDLRVVVQCLVKDPGSAVELPCFAGATSVNLDLPGDLNLTLPAQGGEFPVLERLSIGGCFVNTGALISRCPNLRVLNLNQCLLNDGLLTIHSTTIEELTVNIYTTGIQSVDIVAPVLKKFIWSGYLHKDFTMSLTAPMVEHNSWICGWPGFDLMIDQTWCLDHLNVETEESGCMVLHIASLLHTAARLDPLELSIKLWMDAKYPDNAVELPCFARATSVNLQVRNLNLTLPAQGGEFPVLERLSIGSCIINSGVLISRCPYLRVLELNQCQSDDAIIVHSTTIEELHVTSIDIVAPLLKKFRLSTRKHKDFTMSLVAPMLENLTWNCSVGYPYPDLKIDKMWFVSHIETKKEGSCCIVLRLDIRIPEYPPSYEQKLQEIFQFPKFSVLELNIETCGHVYGAMVLNLLRIHNGIRRLKVATNQLELRGEECTLNCPCDEPQNWSSQNILMDLEEVEIENYKVSGHEIDYMKLLFRCSPLKKVVVKLASYVSTRSKRFKEICNLFKANPSVECHVYHKCGKEVMCA >Et_3B_030214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31357167:31373176:-1 gene:Et_3B_030214 transcript:Et_3B_030214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHIDLKHIQTPQHRQRWLQLSKLGFTSSCSPPCSPSHQFIAGSCKPFLLQSKPLAAPITKDTETSLYTLSLSRKQYLLDLSGPLLWSPCSPAHPTIPCSSGECAAASGAPKFYGRHCTCTARPANPVKSERAVGDLTLIDIATNATDGKTPTAEFTVHGVLSSCAPDSLLRSFPRSATSDVGLGRGRVSLPTQLYSKFSLKRQFAICLPSMASAPGVAFFGNGPYGLMPPTSIDASTILSYTGLVKKNPRNPSAYSIRLRGIAVNQEAVRLPPGALDRGGVTLDTALPYTVLRRDVYRPFVEVFARERALIPRVPSVRPFEVCFNSSALGFTRVGYAVAPVDLMMTKARGGANWTVFGSNSLEQVAPETACLAFVDGGWAVEQLAAGRRGRVQAWVQWHTAVHQDYLRQLRFFREINKWLSMKMPRLKPLLLLAISVLVLAWPASCTYPVLLPVAKDPATSLYTIPVRDGDNHVVDLAGPLLWSTCAGDHLPASFKCQDRECKLANAYRPPGCHAAGQPCKKQCQAYPYNPISGQCAAANLIHTRLIANTTDGKNPVSQVSVRAVGACAPSKLLARLPKGVTGIAGLAGSGLALPAQVAASQHVANKFLLCLPKNGEGVAIFGGGPFFLPEMPQTDFTSTSTLANTPLHGRKGSPMYYLTVKNIAVSEAPVPLPGYALDTGGVVLCTRVPYTLLRPDVYRPFVTAFEKALGRNDAKVAAVAPFELCYRSSMLPNTRIGYGVPNIKLVLEGGKPWTFLGSNSMVDVNDKTACLAFAEMKGAKPGDSMVPSMVIGGFQMEDTLLQFDLEKAQFGFAKLPFFTAMTRSKTLLSGISLALLVLAWPVSCTARRQPRGQPVLKPVTKDPATLLYTIPIRDGTNLVVDTAGPLVWSTCADDHLPASFKCKDAVCKLANAYHAPSCRGVVRGCKKQCQAYPYNPVTGQCVAANLAHTRIVVNATDGKNPSPSGPSRRAPQRSCWRRCRCTPRASRDSRELRAGAPGADRGVPQRRQAVHALPPETRRGRGRLRRRPALPPPAVGDEWTSTLAYTPLKSRKDNPMYYISVQGIAMDHAQVPLPAYALSNGGGAVFCTRVPFTLLRPDVYRPVVDAFAKALGRDDARATAVSSFELCYKSSMLGNTRLGNAVPSIVLMLEGGKNWTVGGGNSMVDVNDKTACRPLFVEMKGVKAEDGNAPAVLIGGFQMENNLVQFDLEKKAARICQVARESEPPKMAQTKAALLLAISLCLSVSPCTLAVQGGKPLLAAVTKDPATLLYTSPLKNSRALVLDLEGPLIWTTCDASHPTFECHHHECAHAHRYHPPSCPHTGYGKADEEDRFRCKCTAHPHNPFSGKTATDDLTRTTLSANATDGKNPLYPVSFSAVTTCAPDSLLGKLPAGAVGVAGLAISKLALPAQVSRTQKVANKFLLCLPRNGIREGDGVAIFGGGPLFTSVSVSPPSEGPDLTLSLTYTSLLTRRHSPAYYLPVTAIAVGKSKLQLPGDALATGGVVFSTRVPYTTLRPDVYRPLVDALDKASGWTAFRVPAVAPFELCYNTTFLPNTRIGHLAPDIDFVLQDGRNYTFGSLDSMIDLDNFRTSCFALVQMKPGKGGYAGAPAVEIGGFQMEDNVLQFDLEKMQLGFARVPIFTACSNFNFTLAESKKPEMAQLKAALLLAVSLCISLSLSPSCTVATEGGKPLLTAVTKDPATLLYTAPLKDSRALVLDLANPLVWSTCDASASHPTFECHHHECAQAHRYHPPGCPHTGYGEPDDEDRFRCKCTAHPHNPFSGKAGSGDLTRATLSANATDGMNPLYPVSFSAVASCAPDSLLAKLPAGAVGVAGLASSRLALPAQVARTQKLANKFLLCLPRRETEGVAIFGESPFFTVPSGPVDLTASLTYTSLHTKLNNPAFHISATAIAVGKEQVRLPADTLATGGVVFSTRAPYTALRPDVYRPVVDAFDKASGWNDLKVPAVPPFELCYNASRLPNTRIGRLAPDIDLVLGDGKNYSFGSFESIVEVQEPRASCFAFVEMEVDKGGYGTAPAMEIGGFQMENNLLQFDLDKMRLGFAKVPFWTACTLFNFTQCTRAMVLLAVLLCCLSTSPWCTLATDGGKPLVTAVTKGAATSLYTAPLKDNRPLVLDLSGPLIWTTCDDASHQTFECHHAECVHAHSYHPPNCPHNGYGTPDEKDRFRCKCTGHPYNPISGKSGSGDLTRVTLSANATDGKNPLHLPGRRLLRAGLPPRQAPGRRGRRRGARAHAEGRQQGVAIFGGGPLFLLPPGRPDVTEGLVGQTPLQRNNPEFPGYFISAKGLAVNQEQVPLSSQEPLVVGLSSRIPYTVLRPDVYEPFVAAFDNATAERKRAPTVAPFELCYDSRELGSTRLGYAVPQVDLVLEGGGGNWTVFGGNSMVQVNDDTACFGFLKMTEEKGGQSGYGTASPAMVIGGFQMENNLLVFDEEKAQLGFSGLLFGRHTTCSNFNFTLASTSLYSTTIKHGGLPLVIDLAGPLLWSRCPPVHRTIPCHDSVCRTINQNLPVPASCAYTSGGGQQQPGSTDPNCVCTTSPYNPITGQCAHADATTFTLTPDATDGKNPLFPVTIMAPGSCAPEELFASLPAGSWGVAGLSRLPQSLPTQFASILKVAKQFALCLPGGTGTGAAIFGGGPFQLLAAPPVELADGLRQNQLPFLKNPKNGAYYFRVTGISVNTVPVALPPGAFDLDAGSGTGGVMFSTVTPYTTMRPDIYRPLLRAFDAATSGIPRAPPAKPFEMCYQASALGVTRLGFAVANIEMFLDGGRSWLLPGGSSLVQVDDQTVCFAFLEMSPATAVLPGSPAVIFGGFQLEDHLLLFDLDKETFAFSGPLAGIRTTCSNFNFTMGSA >Et_1B_011267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19878260:19880726:1 gene:Et_1B_011267 transcript:Et_1B_011267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKAGVISDGRDVLEAVARINGELAGAAEDGEAVLESLRRLQAVPMTFAALEATKVARAVNALRKSASSSEQARELAAGLYWGWKALAFEHLRSVLRSKAPAKAKRPAAGSSARGGKKQRSPDTAAVVKKQRSGQTSDAPSKAEQRPVAARQRVVGNNTVARRPKPAPGPSKPTTTGSNNGGSTPATATAKAAANKRASPPSVTSVTASKAKLPAEPPKPSNSPIAGKRKEAPTSFDEASLERAKKRLHERYQEAMAVKEKRTIQVIGAPGKTKAQQQRPVVVGRRQLQCTPAAGTEKGTLYLEWANAK >Et_10B_004248.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:20074717:20075895:1 gene:Et_10B_004248 transcript:Et_10B_004248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVWILAVGVSGAILFMVMTGMLNRALPQKSQRDTWFEVNNQILNALFTLMCLYQHPRRFYHLALLCCCRPPGDMLQLWEAYCKDAATCKPNERKHMAVVILLLHLNCFAQYALCGLNLGYSRPRRPPVGVGLTISVAICAPAVAGLYNNLSPLGKDYEAQPQTDEESQSNPQLQRKTTALDQQQQQPQWLGGLFELWDDDISLAYMSVFCSCCVFGWNMSRLGFGNMYVHIVTFILFCLAPFFIFNLAIINIDNEAVRDALGLGGILLCVLGLLYGGFWRIQMRKRFNLPANHACCGKPDLTDYLQWVCCYSYSLAQEVRTADAYEVVQDTLLYPSRTTCHHHTTNACSSQMPQQQHPTLQESCPQCNSHSGLQVFLLRRLQHQLLQDH >Et_1B_010280.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:34474854:34474979:1 gene:Et_1B_010280 transcript:Et_1B_010280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVISEILLSGFMINSTLRRRTHLVQSFSVVFLYWFYVFS >Et_8B_060043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5328954:5329958:-1 gene:Et_8B_060043 transcript:Et_8B_060043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDETLAGPRPDSGLSKLRKSSSFSRFSWSSSSPVAVNDAPPAPAMTRSTTMTALSVDASPRSESYGSSGPDSPASTLDSPYTSATTPKGEGWRNFRRKSKVADGQEAVFAPRSPTVYDWVVISSLDQ >Et_6A_047183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26504792:26507715:1 gene:Et_6A_047183 transcript:Et_6A_047183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRIHVSGGPPEPRRRNLAGNPVQMGLDLIGFGSSVLPIGLGYEVGRRHTVTAVVTCHRLLVDSGRRKSHPMASLKKSGVVCSLEDNGGGESTTLCVPVPSETVVLGGQVGTGGTPRSNAAREKGGKLWRRLPGGKKLVRHRAPKHGPRKDRQGSKIVVNEDDVNAILSGITPESSIEECNSVLIRLEKHSDKKALDFFDWMKTNGKLKQNADAYHLALQAIAWKEDWKMAELLLHEMVADSNCTLDARAFNGLIYVCAKRRLDDWGTKWFHMMLEREVQPNVSSIGMLMGLYQKTGKVAEAEFTFAKMRSCNVQCVNAYSAMITLYTRLGLFAKSEDVINLMKCDGVVPNMENWLVRLNTYSQQGKMEEAELVLQSMVNEGFTLNVVAYNTLITGYGKISDMQKAERLFDSLGSAGLAPDETTYRSMVEGYGRANKYEEANLYYGKLKNSGFRPNASNFYTMINLVARHDDSEGAVEILKDMRAAGCQCSSIVTVLVRAYGTVGRMHKVLPILRSCLYKKILFDATSCSILVTSFVQNSLLEEALCLLREKKWKDSDFEDNLYHILICSCKEAGSYNDAVRIYNQMSKSETHPNLRISCSMIDVFSMIERFSDAEIVYLELKASSCVLDMIAYSVVVRMYIKAGRLVDACSVLEEMETRKEIVPDKYLFLDMLRTYQKCGLLEKLADTYYWIRKSQVELDESMYNCIINCCGRAVPVDELSRIFDEMIQHGHLANTVTFNVLLDIYGKAGLFNRANKIFLMARKQGLADIISYNTIIAAYAQSGDFRSMTHFVQRMQEAGFPVSLEAYNCMLNAYGKAGLLEEFEAVLQKMKRARCNFDHYTYNIMINIYGRRGWVEGVASVLAELKSRGVEPDLYSYNTLIKAYGIAKMPEDAVKLMQEMRIKGISPDRVTYTNLISALQRNENFLEAVKWSLWMKQIGVTECETRA >Et_9B_065503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5955179:5959126:-1 gene:Et_9B_065503 transcript:Et_9B_065503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSKGELEQIALPAVQRPAPPLAAVPEVDLAKAARDGANGRAAAARAVAAACEEHGFFKVTGHGVPAALLARVEAAAAAFFALPQPEKEAAGGSPFGYASKRIGCNGDLGWVEYLLLGVTAAGAAPLSVPGDASPCPFRDLLNDYVAAVRRMTCTVLELMAEGLGLDEKDVFTRLVLDKESDSMLRVNHYPPRPELKQLVGAGHGGGRVTGFGEHTDPQIISVLRSNDTSGLEMSLRDGSWVSVPADRNSFFVNVGDALQVLTNGQFRSVRHRVMVNSARPRVSVIFLGGPPPRERLAPLPELVGEGGQRWYREFTWREYKTSAYKTKLSENRLCYFETAARS >Et_7B_053860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12851041:12852605:-1 gene:Et_7B_053860 transcript:Et_7B_053860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEPTPARCARAESELGRGDRLSALPDCLLHAVLSFLPGPQVVRTCVLSRRWRDLWRTAPCINIDMGEFGITYGSTGSDLTQQWSKFEDFTTNLLLFRSAVSLDKFRLNSCVITGHCLRDVDRWVRRGIKYCPQVLEVLVMPLGAGFLFPHLGTSSCRLKRLHLYGAILDQHFAEQIQSGCPILEELELRNCNHNFQEITSHTLKRLIMDDTRNFPKRCFVITAPSLVYLQISVSYGYYSNGISVHVTDSLVKASIYLRCQGEAFSLENQRSLLVDLCNVSDLVAGGFRTKAMLVEELDTLPIYRNMQTLSLDECFYDNCDLNDKLEAIGSFLQNAPCLKKVNLQRCMFQVDSETEGHIVRKSIRLPHQCRQTFQCDNLKSVEVIYEDDHDNQLIELLWGIGRILPNAAIILTKDLVD >Et_4A_035956.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9329852:9331285:-1 gene:Et_4A_035956 transcript:Et_4A_035956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPARRGTLARAVLLGVVALGLWLLFVEFAVLGGGGKMTAVPATLAGAKTRAQPAALRGLERRRRRSTDHHAAVLGRLVKDGLLAASSRAVCLGGGGDGVQAAAALRELGVVGAVAVARKRAPPLAVAGTDARLPFPDATVDFVFAGRALDAAKLPAALAAEAARVVKPDGHLVVLTSGATDAYSRRSLRALLPSLRLLRSREIDGPDGSTLRELVFRKHAADAGVPTTTTPTSSNNNNGNCPDRDHKLQIVGRAEPLIQDEPAKPWITLKRNLKNIKYLPALADIGFKRRYAYVDVGARSYGSSIGSWFRKQYPKQNRTFEVFAVEADPAFHAEYAAKRRVTLLPYAAWVRNETLAFEINDMGRIRPGAGAASSSGGEVRRVQAFDLAAWLRGTFSEQDYVVMKMDVEGTEFDLVPRMIETGAICLVDELFLECHYNRWQRCCPGERSPKYQNTYGDCLELFTSLRNRGVLVHQWW >Et_4B_037635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2275570:2278371:1 gene:Et_4B_037635 transcript:Et_4B_037635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGRSAGRRSDPSGSGDDLSRFSVATTASSASGRSVDGGGRGISFLDAFRSCFVPTEARSPETSLSDDFHPSHQLSQSMSSQGSSSGSTFGSKRSIRGLYNPMSRNSSDREIPGSTKFTLQEIQKATKNFSPNLKIGQGGSGTVYKGQLADGTLIAVKRAKKNVYDKHMGREFRNEIETLQHIEHLNLVRFHGYLEFGGEQLIIVEYVPNGNLREHLDCLNGKMLEFSLRLDIAIDVAHAITYLHTYSDHPVIHRDIKSSNILLMNNCRAKVADFGFAKLAPTDASHVSTQVKGTAGYLDPEYLRTYQLTEKSDVYSFGVLLVELVTGRRPIEPKRAIIERVTAKWAMENFVKGNAIKTLDPSLEATDAINLAVEKMYELALQCLAPTKRNRPSMRRCAEILWSIRKDYRELVVPTPAMN >Et_10A_001834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8953060:8959410:-1 gene:Et_10A_001834 transcript:Et_10A_001834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFANKLGSLLKKTTSSNPSLFQAIRCMSSSKLFVGDMSNIVSGLSYNTDDHSLREAFGNYGQVVEAKVIIDRESGRSRGFGFVTFTSTEDASAAITAMDGQDLHGRNIRVNHANERTGGFRSGGVVLVEILLVASVLPVVLLALMISLLAPPVTTSTVARTTTSWGISSRTTNLTLPTRARESPRVVIQKERLQTLHELVTL >Et_6A_046409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14520259:14531782:-1 gene:Et_6A_046409 transcript:Et_6A_046409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTNTAPPVAGAGAGEPALKPEPERSKTFSTRTRYLESKKMANGSYIKSEKEFVAGGHSWRILYYPNGRLPGTTASISLYLQLDTTSAAGDDAAGDEDVEVRFKFILPGGSGLRFLSEEVTGTVNRTRNAVGFERFVTREDLEMTDCVSNDWIGIRCDVKILGTRRQNPSAAEAQEEASSQQEQPPVDDTAPPPSEIQKPSMAASSLQEQPVDMATPPFEPQKPSMAAKSPVAESLPMVKSTLPTGHHQKKQFINKDMLLPGLNTDLRRLLATKEGADVDFEVSGKVFAAHRCILAAQSSILKEDFYGPAKEEDTSYMRINDMEPEAFEALLHYIYTDSLPEMHMEEFAAMAENLLAAADRYDLKDLKVLVDIKLCSCIEFSVATVVDTLVLAERYQCIKLRNLCLRFIASLENTREVTATGGVELLAKTCPAIMGQLAKRMVNDSIYTVSSLRCWRLFCTTYTHNTLPEIGKEDMAAMATYILVRWALALALAEQHQCHELKNVCFRYIMGHEKPNAVMAGESFDHLAKSCASKKKSWLKVSPTLTMDVSSYSRSKKMANGRYVESLTLEAGGYSWNIIYYPNGRSPGSTGFISLYLKLSGAAAADNINIDDEKCSRVRFVSAKTVGSVNIRKQNMIGIDCCLNRDDLELTSCIKVGRSSPDPVQRHSIGNAPRREGGCGAAVWTPEPRSSQQWRAPMLSSKSTARFLGPVHRCPEAKEQTRYICISDISFEAFEALLHYIYTDSLPKMKVEKVASMAKDLLPAANRYEVNGLKTGKIFGANHLKTKVENALCTGIDVATVLPMLVLAEPN >Et_7A_050898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12136498:12141249:1 gene:Et_7A_050898 transcript:Et_7A_050898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSASHGSLPLILLSAAALALLSPGLAGGADPGIDSSSAAALTWCVGDYDLHHAGAPYYPQFHSGIGAAANAAAAASDRTAVAGTPPDAILAQCRPDAPAGECSRCLGVLGAGILGGTACRAGGARSGVCLLRYDVDADFSGFDPDERTVAVYGGGDGARPQLPPGGLQITKDVVGDMLDKVAASAAAANGSRTVAGIADYPGGGAAVYGLAQCIPQLSAPDCSRCLSGALFRFSEQFNSSAAGMQVLRPSCMLQYNSSLFFNTSSSPPSLLPVIRVRIPNNQTATNVSSSSSPPSSSKHGALKVWLGAGVGVALVLAAGLTACWFIARGNRKSRSEAGSGNYRYEDLVAATCNFSEGNKLGSGGCGVVYKGLLENKQEIAVKKLTNKGLRELEREVSLVGQLQHENLVKFLGHCFQEGQMFLIYEYLTNKDLRNYFKDSDHYKKLEWPKWFTIIEGIARGLTYLHRDSGKNIIHRDLKPCNILLDSNFTAKIADFDLAREYRRTRSPESTQKNAGTYGYMAPECFWGQFSTKSDVYSYGVVVLAIVVGHSVEKFDTEDSTNLVEYVWSQWNDGRVEEKLDRYHLGIVSDEQMQQALRCVHVALLCVQKAKSRRPAMEEVVNFLNNEMHLGNPSVPGYLSPDDHGGVGYSVNGLTVSILEPR >Et_9A_061085.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:14882188:14882304:1 gene:Et_9A_061085 transcript:Et_9A_061085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRRQFVGIARCICLMNLRMGQSHLNRHIIEDMFDIF >Et_4B_038951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6260725:6268789:-1 gene:Et_4B_038951 transcript:Et_4B_038951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMVMAGYGEDKAVRASVNLDTPCGALLRELEQIWTEIGEREQDKDRMFLELETECMRVYRRKVDSANAERAQLRQSLMAKEAELKALVACIGENSPRFKKEERIKQISNVQSQIEKIKAQISDHNYQNNDTSPINDDCDLSTGRLSDLQMQLRNLQKEKSDRLQKVFVYVDEVHCLCAVLGMDFAKTVKEVHPSLHGTNSENSTNISDCTLEGLTQAILKLKAEKKTRVLKFQEIVEKLHKLWSLMESTEQERRHFAKVATTFGSTEEEITSPGILSLETIQETEKEVERLTKQKASRMKELVLKKRLELEDICRHAHMEPDMSTAPDKITALIDSGLVDPCELLSSIEVQITKANQESLTRKDIMERVDKWLSACDEETWLEEYNQDDNRYSAGRGAHLNLKRAEKARVLVQKIPTMIDNLIAKTFAWEDERNVPFLYDGVRLVAILEEQKLRRAQRDEDRRRSRDQKKLQSLLLKEKELIFGSKPSPKKTSSFNRRTSSHHPNGNGTGFMTPVPRRVSAGSATPELLTPRSYSGRYNNYFKENRRLTPAPLNFSTASKEDSMSSFASISGSEPDSPFMEMRRSHSQIRNLMEEISKNRNPRAQTTMNSWNSIPSLSKLKAFEIRRILLGPLLKLAEPSVQDVRGHNQLATVGAAEGLHEVEQILADPERDTLGALLVGRRWQRGRVLYGDTQLCGAHGGLRLVAGLRELEAGRGARGAERP >Et_10B_003042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15878296:15882624:1 gene:Et_10B_003042 transcript:Et_10B_003042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFGDRRGGMPPGSGDGWETVGKKSKKPAQAAGKQWAPRSSSNAAPNTARPAWGGSGSLQPSGTSWARPSAANRGNYRPPSQTPQAPRPFSAQPLANGRQWQSRARPVGSEIKENDTPSGNGHEVEGHETSDDDEDDLSDDFSDDHDSDAIEKSFETRKMNKWFKRFFENLEKLSVEEINQHTRQWHCPACKNGPGAIEWYKELQSLMTHARTKGSARVKLHRELAALLEEELSRRGTSVVPVGEQFGKWKGLQESTDREIVWPPMVIVMNTMLEKDDDEKWKGMGNQELLDYFGEYAANKARHAYGPGGHHGMSVLIFESSAVGYMEAERLHKHFVVQRTDRNAWQTGKARFLPGGNRQLYGYLANKDDMETFNQHCQGKSLLKYEMRSYNEMVVAQMKQMSEDNQQLNYLKNKVVRTEQRSKEVVKTLGVITQKLRETMEENIFVRAKAKEKHAEYEQEMKYQEEFFHNQIENIQKATEDKEKMFEKLLQEERSKARQFDVDSGTTEDRKLRKEQVQKFIDCQVKDVAEFESERDELIKAYEEKKMKLKKEYMEKEVQLEKELDAALTGLMEKHKPDTFETSSS >Et_7B_054893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5308001:5313200:1 gene:Et_7B_054893 transcript:Et_7B_054893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQLLSTVDRRETLPESYVRPESDRPRLSEVMTDNNIPLIDLASPDKERVIAEIGLACRTYGFFQVINHGISNDLLEKVMAVGLQFFRLPAEEKAKLYSDEPSKKIRLSTSFNVRKETVHNWRDYLRLHCHPLEEFLPDWPSNPDSFKEIISTYCREVRLLGLRLLGVISLSLGLEEDYIEKVLGEQEQHMAVNYYPQCPEPDLTYGLPKHTDPNAITILLQDPKVAGLQVLKDRDKWIAVNPRPNALVVNLGDQLQALSNGAYKSVWHRAVVNAAQERMSVASFLCPCNSAVISPAPSLVANGDALVYRSYTYDEYYKKFWSRNLDQEHCLELFKSPRLQ >Et_6A_046456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15444135:15444840:1 gene:Et_6A_046456 transcript:Et_6A_046456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAQDQQVFGPLVCTLANGDEGKEAGRNLEGCVLRTPVDENVDEFTNPGLNLEVVQTDCAAGAASPLSQLSNGSTEAGELQASGLEVDIPRKKMGRYCWMRYSVDEAAATVETDKVIAFDPDCSSVALGSFLEKTLQELKDSKRKVKKHIDGAAKVDPMSTVLRRSLRRQGTVDEDSTECASQVVAKKNLEDQDGNDLENSFLTFSDKHITDNIKNIGSQ >Et_10A_000930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19249409:19253530:1 gene:Et_10A_000930 transcript:Et_10A_000930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQNQNQSLPPLTSAELLQGQAELWCHKHAYLKSMALHCAIKLGIPNAISRCDGGAASLSELHAALRVAPSKRPCLSRLMRFLAVSGIFRVDKDANPAAQQGEVTYRLTVASRLLVDDDGDVASLSPFMDCRFLPGSCRSWLRVAEWLETEDGGAAAVETPFMMANGADFWGMAGRDAELGACFDEAMRSDSRFVAGIVVRECGEVFAGVTSLVDVGGGDGTMAKAIAGAFPHVRCSVLELPRLVDGLPPADHDDGQVQFVAGDMMEFIPPADALLLKFILHDWGDEDCVTILKRCREAISARGPKGKVIIIDTVLGSAASKQAFEAQLGMDLAMMVVVAGKEREEEQWSKMFTDAGFTRYKISPILAPRSLIEFILHDWGDEDCVTILKRCREAISTREPKGKVIIIDTVVGSAASKQTFEAQLLMDLAMMVLVAGKEREEKQWSRMFMDAGFTRYKISPILGPRSLIKVYP >Et_6A_047665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9312135:9313351:1 gene:Et_6A_047665 transcript:Et_6A_047665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRCSSGNVAAGLALASCYLFMLLGVSHGQLQVGFYSRSCPGAESTVASVVRQAGASDPTILPALLRLQFHDCFVRGCDASVLIKGGGNNAEVDNNKHQGLRGLDVIDGAKAQLEAQCPGVVSCADIVVLAARDAVAFTGGPSFDVPTGRRDGKVSNLRDADALPDVKDGIDSLRSKFAANGLSSRELVLLSAAHTVGTTACFFIQDRLYNTPLPGGGRGADPTIPAGFLAELRSRCAPGDLNTRLPLDRGSEGVFDASILRNIRDGFAVIGSDAALYNATATVDVVDSYSGLLSNFFGPYFRQDFADAMVRMGSIGVVTGDKGEVRKVCSKFN >Et_6A_046500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16164707:16165300:-1 gene:Et_6A_046500 transcript:Et_6A_046500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAAALKHLIVVLFFTVFLRETCGERCGTSSIQVQTINTGVVVGGGDQVFEVEVKNLCPCAVRNVRLDGGGFASTVDVDPAVFRTDDGTFFLVNGGEPIASMATVHFRYAWDHFFQMTPRGLEVDGQC >Et_2A_016970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29967398:29971729:-1 gene:Et_2A_016970 transcript:Et_2A_016970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRASRLASGHVVMAATSLLMLVMAVQGRFVVEKSSVRVLAPEHIRGHHDAAIGNFGVPDYGGTLTGVVIYPDKKATGCVEFDTKFKSKSRRPVILLLDRGECYFALKAWNAQRAGAAALLIADSVDEQLLTMDSPEASPGTEYLDKINIPSALVNRAFGESLKKMFEKVSAEDGREEVVVKLDWRESMPHPDERVEYELWTNSNDECGPRCDEQMAFVRGFRGHAQLLERGGYARFTPHYITWFCPEPFRQSQQCKSQCINRGRYCAPDPEQDFGAGYEGKDVVVENLRQLCVHRVANESGHPWTWWDYVMDYKIRCSMKEKKYSKACAEDVVTALGLPLDKVLECMGDPEADVDNAVLSKEQEDQIGTGSRGDVTILPTLVINNVQYRGKLERTAVLKAVCAGFKEGTEPRVCLSHDIETNECLRRNGGCWRDESTNVTACRDTYRGRVCECPVVNGVRYDGDGYTDCKAVGPGRCALNNGGCWSETRGHQTFSACSETALTGCRCPPGFQGDGHKCVDLDECKEKLACTCPDCHCKNTWGNYECKCKGNQLYIRGEDVCIANNMSKLGWFITILVVSCVAGVGIAGYVFYKYRLRSYMDSEIMSIMSQYMPLDSQNNENQPLRQHDAEAFR >Et_9A_061738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15984500:15986834:-1 gene:Et_9A_061738 transcript:Et_9A_061738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGSSSRRRRNDYYPPPPPPPGPHHYPSSYPTPPPPAPHHPHHHPPPPHHHRPPPPPAPSSYYHHPPPPPHAYHGPWHPAPMPPPQLQQQQPPQLLGPPPEFVEHQQARKVKNAVNLHKDTIRLVPDAADPDRRLVAFTFDAITDGSVTIYYFAKEEKDCSYASIYPELQTPTKIPFQKGLAQRFIQPSGSGVDLGFFSLDELSNPSEEVFPLVVYAEAFPSPEEGDELGNSTRAQITLAVIDKHNDDLRVKVVKQILWIDGVRYELQEIFGMDNSTETNVPSADDDDSGKECVICLTEPRDTAVMPCRHLCMCSECAKALRFQSNKCPICRQPVEKLMEIKVQNSES >Et_1B_011238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19080212:19093432:-1 gene:Et_1B_011238 transcript:Et_1B_011238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAAAASHASAAAPAADPHHAHYPHPYAGYPYPYDHYYHQPAPATDASATGAVAGSSSYYYPVAAAAPASAAASYEAYSAYHHYYAPPAGAAGAASGGAGLAGYYFTAGEATHQAAPAPRTQQAATAATGKDAGKHFGFNPQRYALAAAARASNGMAQPVSTPAMHHAQWNAHFGHPLPKNVLRKNIKKKPKVAQQPAPCEVCKIQCDTLEVLLLHKQGKKHKKNLEKLQDSITPKPIKPPSNTIGPTVAPSAVANGTVPVVQPKKKKLSSAATPEDLEVKKRRVLEAGAAQGEVKICNVCNVVVNSQKVYEFHIAGQKHKAMVQKQQAIHVARKSKRLFGHLSAQDCNKMKT >Et_4A_033426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22742191:22743696:1 gene:Et_4A_033426 transcript:Et_4A_033426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LMNIYGAPAVRSVHSIGCRFNTTGIQRFRKEGTLWIPILQQDHEDLRLKGRCLVCNKHIKKKKKKKRDFCSVSCRGRVMNGGGINIMEQLVHFARTYAEPSIQLNSFCRVCFRAYNSECCPDHLVHHGGRHEAAAVPGDVVIKIENIQGWPAINGAALPVAFIDQVQACRLRSYNKIKEMMTFVYISDKTEKESLPVQTE >Et_10B_003876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6998623:7001342:-1 gene:Et_10B_003876 transcript:Et_10B_003876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDWSQLAPDAVHEIADRLLAADMTEYIRLRAVCNPWRRSTVDPRLLHPRFFPRNWLMLQDKDDDGDDEEDEEDDEDVEEDMVVDAEAPAPEEGCRFVNVRTGAKLRIRLPPVEEYGEVLTTGGAEGLLLLHCECTDTVRLFNPLTYAMAVLPGLRMLGGHYIFYSRPSAVHLGRNRLRRDSPDRPTVLLIRSGVSILSSKTLLYAKPGDDGWGTVDVSCAVGDRDYFFHGGLSFRGQFYASTRHGDVLKVVLAPRPHLVYVARQHQSICTFRMAVSSYHVPSLDDDDDAAADDNDGMLLVRCYGMTAEVDVFGVHLGKGAFTLLFALGNRAIFLPSVTLRADKFPLLVDGVYQPRKTISGDTKSSEDDTDRESNEENLSRPTKQH >Et_3B_029032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21546468:21550679:1 gene:Et_3B_029032 transcript:Et_3B_029032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSSRSSPTGGESKLVLDNPDVVEMSVEAAADAGSGSSDSQKRKWKQVVPHDIIELDADDDPDGVMITGDKTSDHMNKKAFGFHEDWSKHAKSDVEVADNFAGPSAIPATNVDPWDGLGSFHLTAGGPDPVTATNIYPWNGLGGFLQNAGSPNPIVTTNIHPWNGLGGFTQNVGGPNPIAVTNTSPWNGLGGFPQSAAGPSAVPAIKFYPWNGMGGFPQNAAGPNAIPAANFYPWNGLGAYHGATVLHTTHFGQYSGATGVDDHAFNKGKSNNYYSYNNSLMESGSNFSLDANYYMGMAPGVGAFLPMGHMTSPEMPNQPSQTNIDNNETDEKYNAFKQFDTVGDHSDHFYALPVPGNGNVQAVKKPSKNWVKRIQREWKVLEKDLPDTIFVRVYEERMDLLRAVIVGPAGTPYHDGLFFFDVCFPPQYPNKPPHFEEFTVGHFRKHGVKFLRGCKAYLDGAQVGCLVGGVQDVDEGDKSCSKDFKALLKSIFVDLQTEFTNIGVDCNEFKSLEAPIATPDTTLKL >Et_3B_028778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19044770:19046923:1 gene:Et_3B_028778 transcript:Et_3B_028778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGGGRSAGDRARRQLQAVGRLAAYLGGGFLLLSAASSVAVRSLRALSDANQRKFATPCGACAGKGSYACRLCRGSTTIEWSPMYDPVFVNPCLCPTCDGTRVQRCLNCLGKGLVLEVMGTNQSGGTGQERQSPLSHNVGAICWLWDEPKDKIEPEMSNLIQDKHQENHEVA >Et_4B_038134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26671566:26673710:1 gene:Et_4B_038134 transcript:Et_4B_038134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNIMEYQVIAKQKLPKMVYDYYASGAEDEWTLKENREAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMVAPTAMQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRKVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPHLTLKNFEGLDLGKMDQANDSGLASYVAGQIDRTLSWKDVKWLQSITSMPILVKGVITAEDARLAVHSGAAGIIVSNHGARQLDYVPATISALEEVVHAAAGRIPVYLDGGVRRGTDVFKALALGAAGVFVGRPVVMALAAEGEAGVRNLLRMLREEFELTMALSGCTSLADIKRSHVMTEGDRLRPTPRL >Et_1B_011642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24485091:24487112:-1 gene:Et_1B_011642 transcript:Et_1B_011642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLAPLPKVVFGSAAFGVFWMLAVFPSVPFLPIGRTAGALLGAVLMIVFHVISPDDAYASIDLPILGLLFATMVVGGYLKGAGMFKHLGRLLAWRSQGGRDLLCRVCVVTALASALFTNDTCCVVLTEFVLELAAERGLPAKPFLLALATSANIGSSATPIGNPQNLVIAFNSKITFLQFFFGILPAMLAGMAVNTVMLLCMYWKDLEGVGGADIGEVAAGKELEAVEEGRVVARSPSSVLSLKKSPAGGGNGDDDGDADSVMSENISTKHRWFMRCSDARRKLFLKSFAYVVTVGMLVAYMMGLNMSWTAITTAIALVVVDFRDAEPCLDKVSYSLLVFFSGMFVTVSGFNKTGLPGAIWNLMAPYSKINHVSGVTVLSVIILLLSNLASNVPTVLLMGDEVAASAATISPSAVTRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRAPRNAHDLSFWSHVVFGAPSTLVVTAIGIPLIGKIGF >Et_2B_022667.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29814713:29815288:-1 gene:Et_2B_022667 transcript:Et_2B_022667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLAAVLLSVAAAAAASPANNAAASSDLIRRSCRATQYPSVCVQSLSTYRTSPPPRSPTELARAALAVSVDRARAASSYVGRVCGPKARAGPVRDCLENMADSVGHLRDAAEEMGGGMGRAGSPAFKWHLSNVQTWCSAALTDENTCLDGLSLGVDASTRSAVRGKVVEVAQVTSNALALVNRVGPGY >Et_10A_002017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18924841:18926136:1 gene:Et_10A_002017 transcript:Et_10A_002017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTIVASSRAAALAMALVMVLLLEVGVVCGQLQVGFYSDSCPDAEDVVTAAVQDAAGNDPTILPALLRLQFHDCFVKGCDASVLIRRASNDAEENNSKNQGLRGQDVVDAAKAQLEDQCPGVVSCADILALAARDAVAMTGGPAFEIPTGRRDGLSSNLRDADVLPDAGDPIDVLRSRFAAAGLTDRDLVLLTAQLHERTYSLTQRKWPAAAHTVGTTACFFVKDRLYNYPLSGGRRGADPSIPAAFLAELKSRCAPGDFNTRLPLDRGSEARFDDSILRNIRSGQAVIASDAALVNGNATRALVDAYLGAAARRFRRDFADAMVRMGTIGAVTGDDGEVRDVCSAFNTN >Et_8A_057983.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1099305:1100297:-1 gene:Et_8A_057983 transcript:Et_8A_057983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFTSTDAAVAAAAPPPVMTKENNLFMQIVDNEDGTVTRPQVPSVPPSDSPASPVLSRDVPLDAARGTYLRLYLPNPVPETPVKLPVILYFHGGGFVLFSAATVFYHGYCETMAAAVPALVASLEYRLAPEHRLPAAYEDAAAAVAWLRDAAPADPWVAAHGDLSRCFVMGSSSGGNMAFFAGVRTKCADLNPATLRGLLLHQPYLGGVERTPSEVNSEDDGMLPLEANDKLWRLALPEGADRDHEFCNPAKSLSPDAVAGLPRCLVTGNAGDPLIDRQREFIGWLKDRGVDVVAKTDSPGYHAIELFVPEKAQELCAAVRDFVFADDA >Et_5B_043377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:52901:53406:-1 gene:Et_5B_043377 transcript:Et_5B_043377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFWVQWDGLGHSDDERPLGHRQLPPFERRLKPFMAVSQKTKKKWSEVQEKTLLEGVEKYGKGNWKDIKMAYPGVFEDRSTVDLKDKFRNMERHQT >Et_6B_048701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12841340:12842022:-1 gene:Et_6B_048701 transcript:Et_6B_048701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVHGEEATSQEDDMVGGFTLLYHHLHEADGAKCAVDLGIPDAIHHRGGAASLADIAADAGVHAARIPQLWGLMKLLTTSGMFGATAAADGVNGEPLYTLTAASRLLVGPRGLGDVVRFQAGAVAVAPFFDMPAWLMAAPPPPAAASGSPRSLFELTRGRSRWDPANADYADAACAESRFLTDALLNDHGDVFRGLTSLVTLAAAMARSRRLSRRGSPASSAR >Et_9B_064368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14399374:14401779:-1 gene:Et_9B_064368 transcript:Et_9B_064368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSALLLAALLAAAHYVLRLLHSFLWVPYRMERRLWRQGIRGPPRSLLSGNAADYRALLAAARSAPLASFHHSIVGRATPQYTLVISDPELVKAVMTDSTGAFDKTGGGVNNPLARQLIGEGLVGLTGETWARHRRVIAPAFNMERVKAWIPEIAAITSSMLDKWEVQGESRTEFEIDIHKEFHTLGADVISCVAFGSSYEEGKRIFQLQEEQTKLALLAMRTIYIPGFRFVPTKKNRTRKSLNQEIRSSLRKLIEINGRKCEDSKNLLGLMLSASKAGSEFKMGIEEIIDECKTFYVAGKETTANLLTWATLLLALHQEWQDKARDEVLQACGRYDRPSAENLSNLKIVTMVIKETLRLYPPALFINRTTTRDVKLGKLDIPAGTRLDIPIIGIHHDFDVWGTDAEEFNPSRFADGKSYHLGAYFPFGIGPTICVGQNLAMVEAKVALAMTLQRFAFTVSSSYVHTPMLSLTLQPQYGAQVIIHKI >Et_2A_015936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19388358:19394537:1 gene:Et_2A_015936 transcript:Et_2A_015936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESDNDERAELDNEASSAPVEKKKATSADVKKVKRGSMLAEVKVENEPMPTAYHGDNGKGEEGATADYVDPTRPFEDQNLSLAKFSEWSRLRSLMIAKSMPNDIIVPDPTPKWVRDAFLKIAHRLDNILEEDSLPRFLRLFDEEDGMHWNFNITSQTLTYMVMNDAMSCVKAALEGKKPQLQGMHANPNCMNSYGYFPLHEAAERFSVEMIKLLFRHGASANVRTVGDRVIEGLLPLHVAVQNACLHKYLEDNLSSIQDRQDYIYNLIHLLCLPEMKIFLDTIRLLAKKTDNLVDEVWNYIKGEKLVEAAVLLLAAQGQIRWGSSSKKSLNGKQNGFGSIMYHMLEDCENAQMQLNERTTLKHASMLVRIIYQAGEVLDGYIQRHSKGPHAEVIEHVSSILKDFGYYPTGEGIDVTNLRPYDCKISDGESHDIGHADATVAVKMASHASGGRGARKKRTSGWDSDYTRRMFFPYWRSVLLNKCIVRIYPSYAPAPIMVGVDLEALLNSRRDSTGNGSSTNLSHNLTVLGRTQQPASNHLPGRLFATAAFTGYGYSRNPNQKLDLMERIRQPTNNHQTKRLFSSAASTLLKFLNYA >Et_2A_016357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23852720:23865126:1 gene:Et_2A_016357 transcript:Et_2A_016357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGGKTALGWAAKDASGHLSPYSFSRRDQRDGDVTIKVLFCGICHTDLHVMKNEWGNAMYPVVPGHEVVGTVTDVGGSVSKFKVGDTVGVGYFVDSCRNCESCTKGQESYCPDLVQASNGVDSDGATTQGGFSDVLVVSEDYVVRVPESLPPAGAAPLLCAGVTVYSPMVEYGLNAPGKHLGVVGLGGLGHLAVKFGKAFGMKVTVISTSPGKREEALDRLGADAFLVSRDADQMKAAASTMDGVIDTVSAGHPVAPLLELLKPRGLLVVVGIPSKPLEVPALAIVGGGKRVVGSGGGGVAECQEMLDFAGEHGITADVEVVGMDYVNTAIQRLEKNDVRYRFVADVAGSLRAAAYKAWSTADTIYSDRGVSMEQGGCITVLGWAARDASGHLAPYSFSRRAQGDSDVTIKVLFCGVCHTDLHVIKNEWGNALYPVVPGHEVVGVVTDIGPGVTKFKAGDTVGVGYFVESCRACDTCSNGHENYCPKLVLASNGVDRDGATTQGGFSDVVVVSQDYVVRVPDSLPLDGAAPLLCAGVTVYSPLMQYGLNAPGKHLGVVGLGGLGHMAVKFGKAFGMTVTVISSSPSKREEALVRLGADAFLSSRDPEEMKAAGGTMDGIIDTVSAGHPIVPLLELLKPMGQMVVVGAPSEPLQLPAYAVIAGGKRVAGNGVGSVADCQATLDFAAEHGITADVEVVGMDHVNEAIERLERNDVRYRFVVDVAGSLGTSA >Et_3B_030581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5009427:5011464:-1 gene:Et_3B_030581 transcript:Et_3B_030581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMGSRARMLLTGLLAALVAVLVAGSVGTAAQAPVTSAQLQQVAASLQMYVDALPQMPKILGYGFQQGRVVPVNLTIGMFQKNWKFHRDLPATPVFVYGQCPDSATFPGPTIVARHDVPLAVTWENHLPDRHVLPWDPTVPTAIPKNGGVPTVVHLHGSAHPPQSDGSAFAWFTAGFRETGPAWTTATYRYPNVQPPGNLWYHDHALGLTRANLLAGLLGAYIIEKPEVDTPMDLPCDDDDLHLVIADRSFYADGSLYMNSTGAVPSVHPQWQPEYFGEAVTVNGKAWPFLAVHRRRYRLRILNASNARYFNLTLSNGLPFHVVGSDASYLAAPVTVPSLLVAPAEIFDVVVDFSSSPTAEVEMLNSAPYPFPTGAAPGALNGKVMKFVVTPNGPRDPPDNSTVPDREVPYANVASPGPTSATRHIVMYEYLTPSGQSTHLYINGLRLEDPVTETPRSGTTELWHVINLTGDNHPLHIHLGMLQAVKMQQLLDLQTFTDCMTKLNDAVKCNVDQHAVGPVVPVPDHEKTWKNVVKVPPGFVTTVVVAFKLVDTNQNYPFDVTAEPGYVYHCHVNPGSRRQRHDPPTEAASMKRSTKPNESMQGNPSKRIVERTVTASR >Et_5A_040309.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:12863542:12863691:1 gene:Et_5A_040309 transcript:Et_5A_040309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICICRIITIDDQLEISISKTLRLARECHKPVPGGSKCGSKYLGMSTSF >Et_8A_058454.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8179580:8181802:-1 gene:Et_8A_058454 transcript:Et_8A_058454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHSKEERGRPPRRRRVLTLPAVCPCEAIAPAPLLASLLSLSADVAGRGGDAAAFPALRRGAGEAVRIAGLLLAFLDAARGAAMATGDHDDAVLGLSELHVALQKLQFLLADLGRKGARLWVLMNAELVASELRVALGSVATAIDVLPRDVVNSSEDAGELARLVSEHAWRAAAAVRPDAEDDLAARSVRSVLARFAGGATPDAEDARLALGRVGVATWSGCCEEAAFLESELLDRLEDTTTAPGGGSEDGNDLALIAGLMAFMVYCRVVLFDRIDDKKKKKKVDAPSVAATAPASGAAWNINEEALRCPITLELMTDPVTVATGQTYDRASIGKWIKSGCRTCPVTGERLRSAELVPNLVVRGIVEHQLLSRGVSLHEPSTSKHRSAVDRTAVPFGAAAAGGVRLAAAFLVARLSREGGGGAAAAPDQEERRKAAYEARKLSKRNVFHRACLVDAGAVPWLLHLLSTSPDAGAQDDAVAALLNLSKHPAGRRALVESGGIGLVVDAVSVAAKVEARQNAAAVLFYLSSNRDYCEEIARIPEAIPTLVRLAREGGAYRGRKNALVGLYGLLQCASAHGKAVAAGAVAVLAGLLLSSGPAGDGNGEDLSVDAVALLARLAEHPVGARAVLASAQPLVARLVDFLGEPPPSSLRSAREHCVALMASLGRHGGDRVLAMLGKLPGLMPALYALIADGSPQAGKKARWLVNEIHRHFEQRQAAAAAAAAPPPPASAGDRVIRV >Et_2A_015441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1301043:1303490:1 gene:Et_2A_015441 transcript:Et_2A_015441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSVVPPGFRFHPTEEELLTYYLKKKVASERIDLDVIRDVDLNKLEPWDIQEKCRIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSGAARIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDAAHPAAVAAGDHYYPSPPHAIKHNNLLLHVAYICTAAPTAIRGPAGDQAAQEEGWVICRVFKKKNLVHNHGQSSGATVAAVTTNHNNKMGSAPTTMESSPTSNCSSVTVVSDHAKTTMLHSSASDDALDHILQYMRKQDTKPALLDHHLASAATTACPSSFYGKFMKLPPLEHVGGGGGLLAPNNPAESSYAAVDASGGITDWDSLDRLAAYELNGLSVDASKNMAAFFDVEPGSVAAAAAGAGFSTDGDLWSLARSSVSSLHADLTMNNV >Et_5B_043210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17784556:17785620:-1 gene:Et_5B_043210 transcript:Et_5B_043210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPNPKKSTAAAGLPEDPLVEILSRLPVKPLHRSKCVARSWRDLIDDPSNRKKLAQTLEGFFLMDDGGDGDHFGFVNLLARSVPLDIDPSFSFLMSLPKIETLVFSDSCNGLLLFEHHRNSQPRDQGSDMLGYIVCNPVTKEWEAVPNCDCPSLGLVNLRYTYLVFDPAVTPHFHLVQFREESQPLVLATPHAYSSETGIWSHIQIDWNVPQEQGKSEASWYQGLIPCWSSWSAIVNGMLHLFMLGADDLVAVDEQGKTRRIIPVPKVAGCYPGYVAESQGCLHYLKESREYDTLSVWVLKDYGKQQWVLLDTLNFLELFGKKELLSLRPSKGVPCDHHSSGL >Et_1A_007041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30306448:30308553:-1 gene:Et_1A_007041 transcript:Et_1A_007041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRGLSAVATATDVSRRVRAGQCSCSELERIIRDRFRSGSLGPEDALHLFDELLPQARPSSVQAINLILTVIARAPTSSSVRNGQALTVSLFNRMAQAGAKKVVPDICTYSIVIGCCCRLGRLDLGFSAFGQILKAGRVDAIIFTHLLRNLCAKKKTRDAMDIVLRRMPELGCTPNVFSYNILLKGLCDDKKSQEALELLHMMLDDAGSCPPNVVSYSTVIDGFHKEGEVEKSYSLFQEMLDRGISPDVVTYSSMIHGLCKVQAIDRAEDILKQMLDKDGTLVDVHGLLDLMAADGIAPDNHVFNILMCAYAKRQMVYQAMLIFMKMRLQGLKPDVISYGTVIDGLCKTGRVDDAMSHFTQMIDEGLTPNILVFTSLIHGFCTIGKWDKAEELVFEMIDKGIQPDVVFLNTLISNLCQEGRIMEAQHTFDLMVRIGVTPSVISYNAMIDGYCLHGKMNEAMELFGVMVNVGLQPDDVTYGTLLSGYCKNGRIDDGLALFREILGKNVKPSAITYSIMLQGLFQSGRIAAAKEFYTRMVNSGIKLEVDTYNIVLGGLCANGCLDEALKMFKSLRSNKFQLEVRTFTILIQGLLKVGRKDEANLCFLVYGPVV >Et_1B_010743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13493157:13503017:-1 gene:Et_1B_010743 transcript:Et_1B_010743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSYHAATATPPEACVPGRRRPPPAGGTARSQQRALPTPRSGAGWQRLAVSARHRRRLGAVSAGNTGRFGLDSNSELQVAVNRAPEGSVVEVELVVTNTGGSSLSLHWGALQQGRREWVLPSKRPDGTRTLEDAALRTPFKTCGSNSTIKIEIEDPVVDSIEFVIVDEAQNKWSVLNFQIHLRTTDLHQGQHSAPTETRRHSSTEKIARKNRDVMQLLSKPATSHVNDLVETPPKNPTVLDLFLKSLQDKDSCKVLCKKLFKLGEKEILALLLEEQGRTKVQLATNHVEPLILHWALAQKAGEWKAPPAGILPPASTLLEMACESSFSEATFDGLGYQTLEIELDDDSYKGMPFVLRSNETWIKNNTSDFYLDFSRRVTKSTDDGNNGSKGTAKALLEAIADLEEDAQRSLMHRFNIAADLAEQAKDAGQLGLAGLLVWMRFMATRQLVWNKNYNVKPREISQAQDRFTDILQNLYKSYPEHREMIRMIMSAVGRGGEGDVGQRIRDEILRNNDCMGGMMEEWHQKLHNNTSPDDVVICQALMDYLNSNLDITVYWDTLNKNGITKERLLSYDHPIHSEPNLRSEQKDGLLRDLANYMRSLKAVHSGADLESSIGSCTGYRAEGEGFMVGVKVNPVKELPSGFQELLKFVLDHVEDKSVEALVEALLEARAEVRPLLRGSSERLKDLIFLDIALDSTVRTAVERSYEQLNNAAPEKIMYFISLVIENLALSTEDNENLLCCLKGWNHALGMAKNSDNQWALYAKACLDRTRLALVTKGEEYHHTLQPSAEYLGSLLGVEQWTVNIFTEEIIRSGSAASLSLLLNRLDPVLRKIANLGSWQIISPVEVVGYVTTVDELLTVQNKSYDKPTVMVAKAVKGEEEIPDGVVAVLTPDMPDVLSHVSVRARNSKVLFATCFDPDTLSELQCHEGKLVSVKPTSADITYRETAESELLVASSAGAQDDISRPSISLVKKQFPGKYAISANEFSDEMVGAKSRNIAYLKGKVPPSVGVPTSVALPFGTFETVLSDKMNKEVDQNIQSLKKKLNQGEFSALTEMRHAIVNLIAPVNLIKEIKEKMQGCGMPWPGDEGEQRWEQAWMAIKKIYAEVVKGLGETLVGAYPGRALSFVCKKDDLNSPKVLGYPSKPIGLFIKQSIIFRSDSNGEDLEGYAGAGLYDSVPMDKEEEVVLDYTTDPLIIDCSFRNSILSGIARTGYAIEELYGSPQDIEGVVKDGKIYVVQTRPQIQICHDSVGLAETRKIEDENAEAKVLLPLLKSK >Et_4A_035826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6795462:6800879:1 gene:Et_4A_035826 transcript:Et_4A_035826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFELLLTAVVTLLAAFLLATVFAANDPRREPDRAAAAIAEEVVEEERIIEVDEVKRSERTAAAEAEGWVEVEKEPAVVVEEPECSPEPDKEVVPVKVALAARPGAALEEEEVDVGEKRCDLTAAAATTGVVVEADTHAVVAEAVPREVVDVAGLETGRVQDVGAKQHDLRAEASPSEVLDAQQEKHEVQVVEVLPTILEAVEAEQHHLVSEVAPAAEVLDTGLEEEESVQAIEARPDELAAETTPEEIPDVVSEKKEEQTVEAEQNELTAEAVPQATLDVPLAEKEEPKVQHPVEEAVDTHEEVQSREEAKCETHSVDQQEEVVPEEELVATKTGVEEVSHEGGSSNKVELPVEVVTLQGPPEDDTVPDMDFEEWEGIERSEVEKRFGAAAAFAASEAGAAALSKLNSDVQVQLQGLLKVAIDGPCYDSTQPLTLRPSSRAKWVAWQKLGNMQPEIAMEKYMNLLSEAIPGWLRYETSDTKKHEAGGYFEGSILTMTATSDQQRNQGNEESTGIDEGHLTASPNPEKGQSSNIPAE >Et_10B_002563.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:16124548:16125048:-1 gene:Et_10B_002563 transcript:Et_10B_002563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLIQTPINLLSQASASIVPAIEVQALAHQAADPLQAEAAALLLASRINAVVNPACVQYRTDCQTLANTLSQDRFIEDPGYWSLRPLLHEFKPNTTGRGHEVTRINRRDNVYAHNLARQAIRAQIPPNCNFMCRHLAHSDQCPVFQAFALVNWGSFSPVNITCR >Et_1A_007505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35347382:35349100:1 gene:Et_1A_007505 transcript:Et_1A_007505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGAEQLVCVTGAGGFIGSWLVKELLQRGYVVRGTARDPEDCKNAHLHALDGAKERLSLYHADVLDYRSLRPAFSLCDGVFHVASPLSDDDPEDVSAAVEGTKNVINAAADMGVKLVVFTSSYGAVHMNPNRSPDQTLDENCWSDLEFCKQTKNLYCYAKTIAEKTAMKEASKRGIQLAVVVPSLTLGEMLQPTLNLSTALVVASYMKGKKRFPNAVAAYVGVQDIVRAHLLVYENPTASGRYLCIGDVLHRSEFLQMMRELFPQYPITNKCADDKPKVKPYKFSTQRLQALGMKFTPIRESLQKTVISLQQYGHIPILSRMPAL >Et_5A_042765.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:447874:448398:1 gene:Et_5A_042765 transcript:Et_5A_042765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPGKRKRESGDEQGPSSKWRTPRAQEAYSSKLIDALRLVRAGGTAPARGREVRDAADRALAAAARGRSRWSRAILARRRRRTALQRARLPRQSTAPAGCSVSGQRQQQQPAVARKAKVLGRLVPGCRKLAFPALLAEVSDYIAALEMQVRTMNAVAQALASVSGSSPT >Et_1B_012130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29275450:29276954:1 gene:Et_1B_012130 transcript:Et_1B_012130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMRAPADEQLQQEALHLHSRDDDGGDEEEVAVGVGGNESNKKARAGLCGVLRERKVVDLARAKRRLVEVPYTATLAHTANALLAARVSAVAVAAPPGHWIGAGGSMILESDPATGAVRKHYIGMVNMLDILAHIAEASDEAEADDEAVDVDRRMAVPVSSVIGHSLEGLTLWTLHPNTSVLDCMETFSKGVHRALVPLESSADNVVAVELVESSPGYRMLTQMDVVRFLGAHGAELRGVLSRTVRELGAVNDAVFAVASGTKVIDAVKAMRAASLTAVPVVDAAFDASEALLDGRGKKAIETFSATDLRDCPVARLQPWLGISVMEFKEKVAEYRASNKPGADATDTGVPVDDEAANVTDEVQQEEEQQLLVTCTPESTLGEAVEAAASRHVHRLWVVDDEGMLAGVVSLTDLLRVVREAALGEDRELHDLVSS >Et_4B_039652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25247754:25252320:1 gene:Et_4B_039652 transcript:Et_4B_039652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSNNRGTCSRTSSARSKHSARVVAQAPVDAQLHAEFEGSQRNFDYSSSVGAANRSGATTSSVSAYLQNMQRGRYIQPFGCLLAVHSETFAVLAYSENAAEMLDLTPHAVPTIDQRDALVVGADVRALFRSQSSVALQKAASYGEVNLLNPILVHARTSGKPFYAIMHRVDVGLVIDLEPVNPADVPVTAAGALKSYKLAAKAISRLQSLPSGNLSLLCDVLVREVSELTGYDRVMAYKFHEDEHGEVIAECRRSDLEPYLGLHYPATDIPQASRFLFMKNKVRMICDCSVKPVKIIQDDSLAQPLSLCGSTLRAPHGCHAQYMANMGSVASLVMSVTVNEDEDDDGDTGSDQQPKGRKLWGLVVCHHTSPRFVPFPLRYACEFLLQVFGVQLNKEVELAAQVKERHILRTQTLLCDMLLRDAPVGIFTQTPNVMDLVKCDGAALYYQNQLWALGSTPSEADIKNIVAWLLEYHDGSTGLSTDSLVEAGYPGAAALREVVCGMAAIKITSRDFIFWFRSHTAKEIKWGGAKHEPVDTDENGRKMHPRSSFKAFLEVVKWRSVPWEDIEMDAIHSLQLILRGSLQDEDANRNNSRSIVKAPSDDMKKIQGLLELRTVTDEMVRLIETATAPILAVDIAGNINGWNNKASELTGLPVSEAIGRPLVDLVEDDSVEVIKQILNSALQAQSLLLCFRNRRAKSGNQAQNIPSPGMQQPCDLDDKVVGVCFVAQDLTGQRMIMDKYTRIQGDYVAIVKNPSELIPPIFMINDLGSCLEWNEAMQKITGVKREDAIDKYVESLLTANKRTNAEGKITGALCFLHVASPELQHALQVQKKSEQAAANSFKELTYIRQELRNPLNGMQFTRNLLEPSELTEEQRRLLASNVLCQEQLKKIVHDTDLEGIEQCYMEMNTVEFNLEEALNTVLMQGMSISKEKRISIDRDWPVEVSCMYLYGDNLRLQQVLADYLACTLQFTQPAEGPIVLQVIPKKENIGSGMQIAHLEFRPGVSREGLGLYISQKLVKTMSGTVQYLREADSSSFIVLVEFPVAQLSSKRSKPSTSKF >Et_1B_014407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7951172:7951549:-1 gene:Et_1B_014407 transcript:Et_1B_014407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAALNSFAVACLLALVVVAGAARTEPVPDMHATRPCHSNNGWSNHLCKDVCKASGFVAYDFKLPVFATGEQARCCCCPQDDMDDCLDA >Et_3B_027826.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21010104:21010394:1 gene:Et_3B_027826 transcript:Et_3B_027826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGDCSILPQWGSGDAPVADRPATGRSLGTQHYRIERAAAPGESHPADSGPGNHKSCQVELLKPISNQQLHEATLPSYHSEILYKKNKSIEKLK >Et_1A_004629.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23907942:23908493:-1 gene:Et_1A_004629 transcript:Et_1A_004629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSRGAHNRAVAHSDPLVTELLRHGHAAAVAGGGVPHDEFMPGVVEDEDTPVADAEQLPRVFRMVPQDEAPAPDGVRRVQDGLEDGIATVIHEHAEEGRLPVAGAVAAKEAGAGDEASPDLADGAGAGERGGLGRQPEEDIREEVFVFERLRGRRRRRRLRAATAAAASH >Et_2A_016232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22379642:22388737:-1 gene:Et_2A_016232 transcript:Et_2A_016232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPTRGSNARRSGHRRIADYLADDQTTATDASDNESYTTAYGEEFFAAGAGGGMLPAFLADQGDLVEVMLELDEESMVVRSVTPTSAALYAAAANANAQAAAVVSPLRAPSECSSTSSRIRKKFAWLRSPSPSPSPTPAELQQREAAMAARERRRVLAQQNRSRAGARRALKGLRFISRTTGTVEAAELWRRVEERFNDLARDGLLSRDDFGECIGMVESSKEFAVGIFDALARRKRQNLERITKEELYDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLAKLKEQAEEYASLIMEELDPENLGYIELWQLEALLLQRDTYMTYSRPLSTASGAQWSQNLGVGGTLAVATGGGGGGDGAGGDHGGAAPDQRRRRRWKVGWWGVRKAAARVRVAAEENWRRAWVLALWFAAMAALFVWKFVQYRRTAAFQVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDNITFHKMIATAIVVGITLHAGNHLACDFPRVIAASPEEYALVAGAFGPDKPSYAGLLSGAEGVTGVAMVVLMTVSFTLATHPFRKGGGEPKGGAGAAAVTSRLPAPLNRLTGFNAFWYSHHLLGIVYALLLAHGYYLFLVKRWYEKTTWMYISVPLALYCGERMLRALRSNAYTVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPIISPFEWHPFSITSAPGDDYLSVHIRTNGDWTQELKRIFVENYFSPHLNRRASFSELGAGAAEPRSLPKLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKIADELMDLAMETSRSEDSANSFSVSTASSNRKRAYRTSRAHFYWVTREASSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGAPMLAKELKTLAHEMSHKTSTRFHFHKEYF >Et_10A_001020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20240019:20240807:1 gene:Et_10A_001020 transcript:Et_10A_001020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVLVLCMVIVSKPSAVAGDDSGDLTAGFTKVDLPEGNFVVQSPYDVPENQRYSYDVATGVRTFSVYADDKPFNTVTPTNPRTEVRLTGHDYSSGVWQFEGYGYVPSGTSGASVMQIHNEDGGAQATTLRYYSGAVVEDGIYDRWFRLNVVHDVGASTVAVFVDGVPRLVDVAVKPTALHYFKFGVYMQHHNLSSRMESRWRNVTVYTKPY >Et_3A_027123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33705811:33707928:1 gene:Et_3A_027123 transcript:Et_3A_027123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDAESRFHVLAVDDSVIDRKLIEMLLKNSSYQVTTVDSGSKALELLGLRDDGESDSSTFLDVIPVLLLQEIDVNLIITDYCMPGMTGYDLLKRVKGSSSLKDIPVVIMSSENVPARINRCLEDGAEEFFLKPVKLADMKKLKSHLLKRKQQPQPQAQPEIQPEAERVEQPAAHKQEEAAAEVTAGVTTISDCSDSGVNKRKAAAMEQEGLSSPESTKPRLSNSSLAVET >Et_3A_025867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33510583:33515377:-1 gene:Et_3A_025867 transcript:Et_3A_025867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPVQPVPTPAAANGDTFRLGFIGAGNLAESIARGVAASGVLPASAIRTASHRRPERGAAFASIGAKLFDSNAQVVDNSDVVVISVKPQIVKQVLVELKPLLTEEKLLVSIAAGIKMKDLQDWSGQQRIIRVMPNTPSAVGQAASVMCLGEKATQNDESRVRSLFGAIGKVWTAEEKYFDAVTGLSGSGPAYIFLAIEAMADGGVAAGLPRDLALGLASQTVLGAATMVNETGKHPGQLKDQVTSPAGTTITGIQELERGAFRGTLINAVVAAAKRCRELWKVLVDRPQD >Et_1B_012523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32813832:32837758:1 gene:Et_1B_012523 transcript:Et_1B_012523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRTWHCLLAFFLLASAASGQLSPTFYLTSCPTLGIIVRATMIRALLAERRMGASLIRLFFHDCFVQGCDASILLDGAGNEKFAGPNVNSVRGYEVIDQIKANVEAICPGVVSCADIVALAARDSTFLVRTIQNRVLATTVHQQSKVHALTNHLGGPSWAVPLGRRDSTTASQSQANTDLPAPTSSLGQLITAFGNKGLSPRDLTALSGAHTIGFSQCQNFRDHIYNDTNIDSNFATLRRRNCPAPVGTGDSNLAPLDVTTQLTFDNAYYRNLLVQRGLLHSDQELFNGGSQDALVRQYSANPALFASDFATAMIKMGNISPLTGSAGQIRANCRVVNSSLPLVTKRRTLGLAMASSFKVWHCLVALSLLCSVACGQLSPTFYNTTCPTLEATVRTAMIQAINTERRMGASLLRLFFHDCFVQLGGPTWAVQLGRRDSTTASQSLANTDLPSPKSSLTDLITAFGNKGLNARDMTALSGAHTIGFAQCQNFRDHIYNDTNIDWNFAKMRRRNCPAAVGTGDSNLAPLDEKTQLTFDNAYYGNLLVRRGLLHSDQELFNGGSQDSLVQQYSSNPTLFASDFVAAMIKMGNINPLTGSAGQIRAKCSVLTPTFYASTCPTLELVVRATMVRALLTERRMGASLLRLFFHDCFVQGCDGSILLDGAGNEKFAGPNDKSVRGYEVIDQIKTNVEAICPGVVSCADIVALAARDGTFLVQYPQKENICSYGVLLTRLGGPTWAVPLGRRDSTTASQSQANTDLPAPTSNLTDLITAFGKKGLSPRDLTALSGAHTIGFSQCLNFRDHIYNDTNIDSNFATLRRGNCQAAPGASDSNLAPLDVTTQQTFDNAYYSNLLVRQGLLHSDQELFNGGSQDSLVQQYSSNPALFNSDFVTAMIKMGNISPLTGSAGQIRANCRVLSENFYNATCPGLENIIKTEVISALATEKRMGASLLRLFFHDCFVQGCDASVLLDNTTVSNSERDASPNANSLRGFNVIDTIKTKVEASCSGVVSCADILALATREAVFQLSNGTTSWTLKLGRRDSTTANKNQAESDLPGPGFSISQLKAAFQKKGFNPNELAALSGAHTIGLARCGFAANTLLVATRPECMPLGSGLTALDVQTPVDFDNKYYGNLINNTGLLKSDQELMKDATLKKLVKDYSSKPALFAADFASAMIKLSELAVLTGTNGQIRANCNKLSTSFYATTCPTLESTVRSVVNSTVLNETRMGASLVRLFFHDCFVQGCDASILLDAGGEKTAFPNANSVRGYEVIDQIKTNVEAVCPGVVSCADIVALAARDSTFLLGGPTWEVLLGRRDSTTASQSLANSDLPAPTLNLTQLIQAFANKGLNATDMTALSGAHTFGFAKCSSYRTRIYNDNNINNQFARQLQSNCAATPGATDGNLAPLDVTTTPTFDNAFYGNLLKKKGLLHSDQELYNGGSQDVLVQQYSSNSAQFFNDFKNAMIKMGNIGVLTGADGQIRANCRAVNI >Et_3A_025840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33241304:33251917:-1 gene:Et_3A_025840 transcript:Et_3A_025840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAASAPGFSHLLLRPLPPPPMLSTLLLRHRLCSSSAAASSTLTRSPLRVLAAAMSSSASSSSAPGGRKPNRLAAEHSPYLLQHAHNPVDWYPWGEEAFEKARTKDVPIFLSIGYSTCHWCHVMEVESFENEEVAKLLNDWFVSIKVDREERPDVDKVYMTYVSALHGGGGWPLSIFLSPDLKPLMGGTYFPPDDKYGRPGFKTVLRKVKDAWETKRDALERTGNLVIEQLRDALAAKASSQDVPNDLAVVSLDECVDKLASSYDPKFGGFGSAPKFPRPVEDYIMLYKFRKHMEAGNDSEAQNILKMVTHTLDCMARGGVHDHIGGGFHRYSVDECWHVPHFEKMLYDQGQIVNVYLDTFMITGDEYYSTVARDILDYLRREMIGKEGEIFSAEDADSAEYEGAARKKEGAFYVWTSKEIEDTLGENAEMFKNHYYIKSSGNCDLSRMSDPHNEFSGKNVLIERKPFSLMASKCGKSLDEYSQILGDCRQKLFDVRSKRPRPHLDDKVIVSWNGLAISAFARASQIMKSERTQTRFNFPVTGCNPIEYLEVAEKAAKFIKEKLYDASSKRLQHSYRNGPSKAPGFLDDYAFLISGLLDLYEFGGKIEWLLMSCFWTNKEVAILILQEKILLFFCVLKRIMMVQSLLVVVVGQKGSAEFQDMVAAAFSTYDQNRTVIQIDPTNTKEMEFWECNNANIAQMARSSPPEKPAVAHVCQDFKCSPPVTSPGALQELLNKAVSAASPSA >Et_7A_050790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1157252:1176497:-1 gene:Et_7A_050790 transcript:Et_7A_050790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGGGILLEDFGQRVDLTRRIREVLANYPEGTTALRELIQNADDAGATRVRLCLDRRSHGGASLLAPALAQWQGPALLAYNDAVFTDEDFASISRIGDSRKVAQTWKTGRFGVGFNSVYHLTDLPSFVSGKYVVLFDPQGAYLPNVSAANPGKRIDYVTSTAITMYKDQLSPYCAFGCDMQAPFQGTLFRFPLRSAEQASSSRLSRQSYTEEDILSLFLQLYDEAVYNLLFLKNVLSLEMYVWESGMTEPKVAYSCSLGSQDENLSWHRQALIRFSGTSADSSKQMLDSFSVDFVSEAFLGNKSERKSYTYYIVQGMASALSKIGIFATAAAKEYDLHLLPWASAAACISNIGPEDTILRQGRAFCFLPLPVKTGLSVHVNGYFEVSSNRRDIWYGADMDRGGKLRSDWNRLLLEDVITPLFKELLLELRMLSDSTTSYYSLWPSGLYEEPWSILVEQIYKVIYSYPVLHSEIGGGTWISPVDALIHDEGFSRSNDLSEALLLLGIPVVRLPNVIIDMFSKFYMKSMVKIVSPVTVRRLIKDFGKVAKLGKSHRLVLLEYCLSDLDSADIGNCMNGLPLIPLANMQFGIFSESSQGDHYYVCDNMEYELLSEVGDRIVDRNIPPVLLDKLHQLASNSQANISLIDGPIFLQLFPRLFPPGWKRINQVPWNPGLGGSSPTAAWFKLFWQYIGNRSYDLNLFSDWPILPSTSGHLHRSSTGSKLVKTESLSSLMNGLLTKLGCKILDTEYLSEHHQLARYVYNGDATGVLQAIFDIISLEGVELQSLFQRITPGEKNELYQFLLDAKWYLGGCISDMSINQCKKLPIFKVFNGGSHSSYGFSDLSSSKKYLPPLGVPDYLLNTDFIFCICPSDEDIIMRYYGVDRMPKSNFYRWYVLNRLDELQAELRDSVILTILQDLPQLSLEDPMFKEALKVLKFVPTLNGTLKSPQSLYDPRVEELYILLQESDCFPHGSFQNPDILDMLLCLGLRTSVSTDTIIQSARQIDSLVNIHQQKAHSRGKVLLSYLEVHAHKWYVNKPSDGWKKVNMLAKVTTALRSRDKSFESDLEKFWSDLRMICWCPVLVTAQVQLCHGLQYHQ >Et_10B_002498.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:11960496:11961110:1 gene:Et_10B_002498 transcript:Et_10B_002498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVGDRFLYWVNLLRGIIFADVSAKDPVLRYVSLPVEPLLRRRYDFEACPEDHRRVCATGGDGSTVRFVEVAPRSCCGGPGRTACTRSRYAFTITTWTLRMEDMMWEKDGLVDCQELWSLYGYQGLPRARPTHPIVSLEDPDVICLVVHKNKYIRDVDGEDGTWVIEVDTRCKNIRSIRNDEAFYNQGFLPSELSKYFNIRC >Et_4B_038025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25572982:25573379:-1 gene:Et_4B_038025 transcript:Et_4B_038025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHTTTTMALLLLFLLASSSLQVSMTGSEFCDSKCAFRCSKASRHDDCLKYCEICCAECHCVPSGTSGNKDECPCYRDKTTGEGKRKRSKCP >Et_7A_050639.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:9368899:9369429:1 gene:Et_7A_050639 transcript:Et_7A_050639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAISDNPCNDSYSVALTAVDDFSWLPGGSGDLQLSPAFDVTLYLKNSRILSKSCFSHGQVTVSYDSVAMGDGPACAGLVCRGEDGLVQLCRGVAMGDGLVPWIFFFNSTNLCTPVCMREHEIHTTSFLQRKSSKMTVAKDKICNAKEEVFLAFSEKSWPMIWQQIGTVHGILLV >Et_4B_036808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11700281:11702676:1 gene:Et_4B_036808 transcript:Et_4B_036808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKKRRSWFERIRRLFTSEPKQKPNTKPEKKVKSKRWLPGKLRTQTSFALPAPEPAAGGQIIRQAEDEQSKHAVAVALATAAAAEAAVAAAHAAAEVVRLTGHQHSQQAAPSPPSRHEQAAVAIQSAYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLMKIQARQRGGGRPLNLDDDDMLLRRGRELYAAAVHEQQQQAGKGGWDSSIFSKEEMSAMMRSREEAALKRVRALQYASIQNEKLGIRRPPVPTTRDEADALNQRWSWLEEWVGSQPPFDKDVPVAHQSPYRDDAKARLAAADDADRLGCSARRSFVRPRRTPARDPHYHDDSSAAAFPGYMASTASAKAKFRSMSTPKERSCSSDAYSEHCFPFADRMLSPIPSMSPIPSIASDMGFARSTRPPVARGSPRVVKGPMTPARSRSRRSPSHHSFGSEAALHQMQIR >Et_5A_042856.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:5622575:5624128:-1 gene:Et_5A_042856 transcript:Et_5A_042856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFSSSSSSRQQPHFHHSHGGGVQPPTSSAAATPTAPTPPLSTTSSTAAGSAAAHALFEAADLSYPPDLNLDFSSPASSSGGGGGGGGGGGGASSAAAVGGGGGGRWGSQLLMECARAVAARDSQRVQQLMWMLNELASPYGDLEQKLASYFLQGLFARLTASGPRTLRTLAAASDRNTSFDSTRRTALRFQELSPWSSFGHVAANGAILESFLEAASSSPSEPQRFHILDLSNTFCTQWPTLLEALATRSADDTPHLSLTTVVSSSSAAASAGAQRVMREIGQRMEKFARLMGVPFTFRAVHHAGDLADLDLESLVGLHGEGTTALAVNCVNSLRGVAPAGPGARRRDAFAAAIRRLDPRVVTVVEEEADLVAEEADGDSSSSEAAFLKVFGEGLRFFSAYMDSLEESFPKTSNERLALERGAGRAIVDLVSCPASESMERRETAASWARRMRAAGFSPVAFSEDVADDVRSLLRRYREGWSMREAGADDSAAGSGVFLAWKEQPLVWASAWRP >Et_10A_001611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6051568:6052078:-1 gene:Et_10A_001611 transcript:Et_10A_001611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSKELSKPTSSYKDISIEAKLICLSWYFKVECHCCYIQEKIDFLLEDGCSTRTGSSQRKRARGELGLMELLEVKTSEQKALHKRIVDRNTDELHRIEKNFRSMWIEPMLAQLQDQAPQPIFNKVIWSMLLEFRMTP >Et_6A_046147.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4498780:4499061:1 gene:Et_6A_046147 transcript:Et_6A_046147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFQIEVDCYNLVNAMKASTYDHSPGVVVFKEARSLVSLFLNNVDFINVRRTINRKAHELARYGLSRDPEQPEIWLDPLPEFVNKTDDPRFG >Et_2B_019291.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:21840918:21841226:1 gene:Et_2B_019291 transcript:Et_2B_019291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVHFVRKNRKHWTICCCTVSIAEKLSSGSFDAWGYTTWPRPLMNPCLLSGGLGRGIEYPRNYVQSMTLLLFWSHGGFGGSATRWYMNSKLCSRSRWLRRS >Et_5A_041916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4177751:4180388:-1 gene:Et_5A_041916 transcript:Et_5A_041916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLPVNIREYQELAKKALPKMHYDYINGGAEDEYTLRENIAAYGRIMLRPRVLVDVSKINMSTSLLGYDMPSPIIVAPTGSHKLANPEGEVATARAAAACKTVMVLSFSSSCKIEEVASSCDAIRFYQLYVYKRRDVSATLVRRAESLGFKAIVLTVDTPVLGRREADIRNKMITPRLANLEGLMSFDDDLDTEGGGSKLERYANQTLDPSLSWKDVEWLKSITTLPILLKGIVTAEDARKAVEAGAAGVIVSNHGARQLDYAPATISVLEEVVKAVGGAVPVLVDGGIRRGTDVFKALALGARAVMVRTEDFLFFCRRMFLIGGKKDRFLTLGWAVGRPVFYGLAARGEAGARHVIEMLNAELELAMALCGCRSVGEVTRAHVVTEGDRIRALL >Et_3B_030370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32471166:32471465:-1 gene:Et_3B_030370 transcript:Et_3B_030370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDLSKLVKEKRFWLASALVAWAAALQGHMMWLQRQDAFKHKFGSLDDPAPASHEDSSSSSSSDD >Et_5A_040705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11415407:11416863:1 gene:Et_5A_040705 transcript:Et_5A_040705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAMVPADWSSLPADLVRRVADCFLATNDLDCWYMVLRAVCRNCRGATADPKSSTPCDPRFRPSRWVMLDEQDPSNDDGFTLSSTEVTNRVFINTSDEQDPSNDDGFTGDDPRLRQHRYRPLRMQEPASPSHLLLRHDDYRRLPHPVSELDIYMYDLGREIVVDEDDEEPERVSAAIGTDSVWSRIERPCTILQLFSNYTMDITRHLIRWGNHSFRNSRYTDDISEVDFYQSYDDYVEHDEDWDESYYDQPDWEYDEYKREYRRGSRECWKHSHAAEVLEAGVRCRALETGGLYDKDLL >Et_10A_001824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8877691:8889518:1 gene:Et_10A_001824 transcript:Et_10A_001824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VFVDGNLYVIPTDGVIGVVDVDGRTWRIIDFPRSEDSPFYDTAVGFIDLSQGKLHLARSDDITGNNIATWVLEDRNSEEWTLKHTVNFRHLVRKKSVHFGYDDIFVVAIHPDRNMVFFVFGDNKKLMSYDMGSGEKRRLAKLAAMAGGSSRKRSGGRKRRRRRRKRPNPADQLTDDLLVEILSRVPYRSLLRFTCVSRRWRDLIAHPDHRRKLPQTLAGFFYKFYDTSDGCFVNVSGTGPPLVDASLAFLPDQERKGLDLSDSCNGLLLCHRFSIAADLDEFDYLVINPATGNWVAVPVPRRRPDTVVARLGFDPALSSHFYVFEFQLDWDWDSDGEDDYIDGDGHVLGAKIYSSETGMWSYKQSGWSDEVTIATYCKSVFVNGMLYVVANEFMIGAVDVEGKTWRIINFPFERTSVDASPAHIDLSQGQLHFAIAKADVTGDELEIWVLEDRSSEEWTLKHTVSLMHMVRLPFVLFGYDEYIVVAVHPDRNMVFFIFGDDETLMSYDMDSGKDASASNRPSSGRSQQRRLTKLSAGGTLMDLLTDDVLAEILSRVPYRSLLRCSCVSRRWRDLVADPDHRRKRPQTLAGFFYHAPTICFANVSDTTTGSPLAFLPDREGNGLDLVDGCNGLLLCRCFRFPDEDPNMFDYLVINPATQKWVAVPVARRWSSKVAMARLGFEPAVSSSHFYVFEFQLNWDSDDDGEADDEDFGAKIYSSETGQWSYKKSGWEVDIELDSDFNSVFVDGVLYVIAACCVVAAVDVEGKAWRTIGFPRSENPRFPEPDVGFIDLSQGKLHLANSDDVTGDDLEIWVLEDRNSEEWTLKHTVSFSHLVRKKSVYFGLHEFVVAAIHPHRNMVFFVFGHDKQLMSYDMDSGEVRVIQKLGQDCHDHYVSYVPLFSEGSARGQQ >Et_9A_062430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22871491:22875642:-1 gene:Et_9A_062430 transcript:Et_9A_062430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAQVMLAVLAALVLLLFATAPVADAATTCDAMQLTPCAGAIIGNAAPTEACCSRMKEQQPCLCTYARDPNLQRYVNSPNGKKVMAACKVPVPPPNMAAAGSKQASLALFLVMLTVASAVLSLTPGAGAATTTCTPTQLTPCAPAIVGNSPPSAACCSKLKAHPATCFCQYKKDPAMKRYVNSPNGKKVFAACKVPLPKC >Et_1A_006134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18339693:18344107:1 gene:Et_1A_006134 transcript:Et_1A_006134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLAAPSPSHSGATSGGGASHRKRKLPPSSLSDATADEDDDTTAPSSPSTAPSSPSRPSSPSSSHSDDDDDDTMQTFTAARLDGAPGSASGRPPKPDSSSVSAAAAAAAAAGGPKPDSGNAAAGDGKEDPKGLFTDNLQTSGAYSAREEGLKREEDSGRLKFLCYSNDGVDEHMIWLVGLKNIFARQLPNMPKEYIVRLVMDRTHKSMMVIRNNIVVGGITYRPYASQRFGEIAFCAITADEQVKGYGTRLMNHLKQHARDADGLTHFLTYADNNAVGYFVKQGFTKEISLDKERWQGYIKDYDGGILMECKIDQKLPYVDLATMIRRQRQAIDEKIRELSNCHIVYPGIDFQKKEGIPRRLMKPEDIPGLREAGWTPDQWGHSKSRAAFSPDYNTYRQQLTNLMRGMNEHPDAWPFKEPVDSRDVPDYYDIIKDPIDLRTMSRRVESEQYYVTLEMFVADMKRMFNNARTYNSPDTIYYKCATRLENFFSSKIASQLAQTSTKS >Et_9B_064305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13847082:13848952:-1 gene:Et_9B_064305 transcript:Et_9B_064305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGFALLKYDGIKVFRAGAVKVVCLEEFKIFKDKSRAISLETVLSGRLAKMIKRWLNSGQKLAVGKSEYKTTIEAELGIQCLFDEPVMELMWGLKNLMKILVPGEESELSSEDRHHMSHGMKSVLNHYGFEVKPDMVNEDIVTAAATLYECDYCVNKFEDYLRCYAQFLEEVSGINSLDWDLQKLAAALTLICCPEEKIETGDSEEMLSEDMARALKDQAHLYENMLHKRSCLNVYREIKWAREIRSRTLEQLESLIKKVEDPADGSG >Et_5B_044049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19386939:19448529:1 gene:Et_5B_044049 transcript:Et_5B_044049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTGPGWLQIFAFQTTNGPDHAGGFIYLKADSKKKENLNPSNKIRFSFPFAIRSGPVATGVLTYSRAAMGMLTRAKKRRLEEEEKLVDRISRLPDAILGDIVSLLPTKDGGRTQVLSSRWRHIWRSAPLNIDLLHCRLRHRLDFDAISGVLSSHQGPGRRLSIPFYCGKPADLEGWLRSPALNNLQELEFDHAPWHMERTPPLLTSARRFSGTLRIASFGCCSFPDGDDAVMLRFPVLEQLSLRNVEISESSLHAVLAGCPDLQSLLLDRNHGCPRDYENPAATLDGWLRSPALDNLQELEFHFGLAHPIILGEVPSHLRLPASVGRFSSTLRVASFGGCYFPDENNDGELHLPLLKQLSLLNVRISEESLYALLDSCPVLQSLLLTLDIGCSRIRIVSGTLRSIGVRPGFEDITLQQLIIEDAPCLERLILCGTGFDTHEATVVSGSIVVRSRTVLHSVKILSLSHNDLRLNVAVFDFIKCFPCLENLYIKISSFGGCNFPDGNNDGALHLPLLKQLSLLNASHVVKSLTAVYNVKVLALTHIHLSLDTPAETNVWCRKCRNLTSTLDICLKKIVLTIYRGNKAHLNFSKFFVLNARVLESMVLEVDVKNDNSEWVERQHRLLQITNKASRDARYDFVPHLGRRKINHSWDEKVHDFLLSRRWRRVSTLLSKLRFDIVQESQHLWDTLGVSDVPLRCLLVGSLDASSQSLVAWLCNAARRVSGELFLVNMFTDSDDEEEATERGTFELPCFKKATLISLDLRFLGISVPPNGVFARLTELYLSGFRFHGPSDLGDAVSSSRCPCLQKITLRNSPGLDRITIDSKSLQVLEVRNLRDLRWIAVVAPALRELTRSVEDSDDEEEATERGAFELPCFEKATSISLDLGFLGISVPPNGVFARLTELSLSNVRFHGPGDLGDAVSSPRCPCLQKLTVCNTRGLDRINIDSESLQVLELKNPRGLRQLTVEAPALKDLSVTQCFLNDQNQPIVNISAPQLELLSWVDRYDPSSVHLGNMEHLQTLGTFFFVYGPHGFTHNRACLMLLPRFKAIENLTLSLVYILEADNLQYMMEDMTVLPDVKCLHLFVMALGHAFGAGPFHVLRICSGIQQLILVLSPRFELKEETACSSDCICDQPIVWKNEELSLNHLQELEIQEFRASEHEVAFVKRLLNWATVLKMMTVTFHYSITDSKAKELFQMFRSLSKPAVCMKFYIYREFRMELMVVSVISAPKLRVLGQLPADHPRLEFGTTTFKGSHVVKSMTAVNNVKVLALTHIRLSLDSVINFMKCLPCLEKLYIKMSKQYEPEEPAETNVLTTYRGNKAHVNFAKFFVLNARVLESMVLEVHIKNDNIEWIQKQHRLLQITSKASRDARFDFVSHFGRPKPQHRWYGQERSSGGGDVAAKRSKAAVDDADGGEDRLSALPDDVLVLILLHLGTTDAARTSVLSRRWRRIWTLLPDLRFGFARESQRLWDVLSASDVPLRYLLVGVPDPSPESLTAWLLAAARRVSGELLLVNLFHENNADESEEEEEEATERGSFMLPCFEKATSISFDLGFLGISVPPNGVVARLTERSLSNLRFHGPGDLGDAVSSPRCPCLQKLIVCNTRGLDRITIDSESLQVLELKNPRGLRQLTVVAPELRDLSVMQCFLNDQNQPIVNISAPQLELLTWVDKYDPSSVHLGNMERLQTFGTSFFVYGPHGFTHNRACLMHLPRFKAIENLTLSLMYMLRSNLSSGSDDDVAGEDRLSALPDDVLVLILSGLCTPEAAQTSVLSRRWRRVWALLPALCFHVAPEPHRLRDFLAAGEVPLGDLTVKYDNADPESLSIWLPAAARRVSGELDLVNFAMDANGEEVAAARAEGAVELPCFEKATDISLRLGLLGLSVPRAGVFARLTSLYLDGVRFPGPYCELGDAVSSPRCPCLERLTISDARGLDSLAVDSKSLVRMKLSAIVGLRQLVVAAPSLMWLTVAQCFVHAYSSDQPPRFKVMECLMITLLYLPAPTTACSPGCICDQSPNWKTEELMLNRLQEIEIQELQGSEHEFAFVKRLFSWATALKQVTVTFSSMITESKIKELIQMFQSFSRPGICMKFKNLQLEIEGFGASEHEVAFVKRLLNWVTVLKMMTVTFRHSITESKAKEFFQMCQSFSRPGVCMKFYIYQSFDKVVFVIRNQTGKLRNSC >Et_3B_031700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8135660:8137918:1 gene:Et_3B_031700 transcript:Et_3B_031700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFMLEAILPEARQDRRRAGFLKCNNYYARKQSMIKDAEDIILQAGNEKPMGAVKPVRLG >Et_2B_019852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14682433:14684746:1 gene:Et_2B_019852 transcript:Et_2B_019852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIAMSLRALAATSSSAALTLAKPAPSSSPFLVLLLPTAPRRLLHLRSARRLPLAPLAASDSFESSAALDFAEPAEGADPEPEQGADDAVEASAEEEEVGEYVEPSEDAKVYVGNLPYDIDSERLAQLFEQAGVVEVAESRGFGFVTMSTVEEAEKAVEMFHRYDINGRLLTVNKAAPRGSRVERPPRQVGSSFRVYVGNLPWQVDDSRLVQLFSEHGKVVDARVVYDRETGRSRGFGFVTMATREELDDAIAALDGQSLDGRALRVNVAEERPRRGF >Et_3A_025573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30976788:30978052:1 gene:Et_3A_025573 transcript:Et_3A_025573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQAQGIVFRARAPATAAPSAARRRPCRVSAVAVATAPPAVRSRVTHSMPAEKAEVFRSLEGWASRSLLPLLKPVEGCWQPTDFLPDSSSEMFEHEVRELRARAAGLPDDYFVVLVGDMITEEALPTYQTMINTLDGVRDETGASACPWAMWTRAWTAEENRHGDVLGKYMYLSGRVDMRMVEKTVQYLIGSGMDPGTENNPYLGFVYTSFQERATAVSHGNTARLAKAHGDDVLARTCGTIAADEKRHETAYGRIVEQLLRLDPDGAMLAIADMMRKRITMPAHLMHDGRDADLFDHFAAVAQRLAVYTARDYADIVEFLVKRWNLETLESGLSGEGRRARDFVCKLPQRMRRASERAEDRAKKDEPRKVKFSWIFDREVTV >Et_9B_063783.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:1422606:1423190:-1 gene:Et_9B_063783 transcript:Et_9B_063783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVRISSKSMLPSIGKVPDMVRQAALRRELEGCQLLAGIWCHGLTVAQLRSIRASLPPTARLLVTKNSDMAAAVAGTRWEALRPCARGMNAWLFVRSDEIPPALRPYRDFQKEWKLQLNDFTGAVYEGHLYGPDDFAQLEAMPTRAQSYQYLLGCLQMPAVNVLAVLRARQEAMAQADKPPAEAEAAAPAAAK >Et_6B_048791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13781826:13784212:1 gene:Et_6B_048791 transcript:Et_6B_048791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRENDPGTIFFYIGCFWGLTFGFWVVSFVMFKKKWKNILLLPLGQSTRQSLRVCGFDLGQVTTAGRQQTCAN >Et_7A_053105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6594126:6595805:1 gene:Et_7A_053105 transcript:Et_7A_053105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAAQDGNGAVEWRVTVPEGASVTVEHEAGRAARAWAWLVSCALAFRDTVLGSAKRVWRIGADDPRRAVHGLKVGLALALVSVFYYTRPLYDGVGGAAMWAIMTVVVVFEYTVGGCVYKGFNRAAATASAGVLALGVHWVASKSGDAFEPFIRSGSLFLLAAAATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVGALLALAQQRVSTISIGIAICLAVCVLIRPVWAGQELHRAAARNMDRLADAVEACVHDYFAEPEADKNVAKAKAAEGYKCVLNSKASEDAQANLARWEPAHGKFGFRHPYAQYKNVGAAMRSCAYCVEALLGCATRSSPETTPRRHLADACTRVAAQCARVLREASRSVSAMTAASRGLDLAVAEMDAAVQELQADLSALTSSELLVMAEAGSSTVMMDAAQLFTVATLLMEVSARVEGVVDAVDTLASLAGFEPEEDDEKPTECATKVQPAVTEPDSEELEGSRTMKALEQA >Et_1A_007086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30727798:30734804:-1 gene:Et_1A_007086 transcript:Et_1A_007086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERSAAAAATSRRCDDLPDWVLLDVVGRTGRCYDATTAGTVTSDGSPIEVVDPPGLSRCVAHGPELCSTSPASVAGVDGAFVLVRAHVPLRNDGKRRRCSDVFVYDCSRPGAPSLHVIPKPYPVGFLSDGIGVRSCGDHHHCCVVVVLPLHWRFDADDRMVLDLSVFSTRTGSWSNKVARVVDCGGTSEMRYGQLFEPSRVFPVGEHSLAWVDLRNGILLCDVLADEPEARLIDLPPLLPANAFRFRVRPEDAGCGGPLDPIRDMAFDGIDTFRMVELVLAYGQCTTATMFRRTLFSEHWEVYRTVDFADLSPAESCLPDQFPAIWDGVEKRLTLDRVVNKTPALDMRNDDVFYVTSMVDPWDPKAWAAVLAVDAGSNRMVKVAPFSNDRPHRDRPTCNAAGWPLEVSFQLVDPPGLSRCLFHYAGPTSESGDCSTSSAMARLRKSPLSVSPRHRRGRRLRPRTRALPRARRQRLDGGRVLRVQGRPRGAVASCTSSRGRTLVTSTPTTSPSYHETTTAAASPEEEVTASSWFPNGNARPTARCPTTMTSKSSRPRPCSGALRSRGWLVTPRRTFTMAFTPARCSPSEEARWPGLTCGTASCCATTSCREDPEMRVIQLPPLGPSNEPHLGRNTWGSEAALDQIRDVSCRNGWFRFIEIELPEMDEDNLDKLRWTCTIGWSVHVRDWWEPCGAVDSADLSPSDSCFQDLVFPEIWDEEEKRLTLDKVMCQFVTLDIYRDDVVYVRAKVKADDDPNGWLLAVHTRSNQLETAHFLRTDLQCDFSMYLSEDLNRYADAGTRGRRPTLGHPACVLLDTIGRKDFFVNASTAGTVTSAGWLFEVTFELVDPPSLSRCFFRYAGPPPTSGSVNQYTTSVTVTVAGADGAFLLVRLGFPEPGFKYRTAHDFFVYRAGPGAPSLQLVPPRPYGRLSRYPINLLSSGFVAVLSCDDDDGHCLVVVPKRQLEPSFAYHLDIYSTKTGSWSEKVAAVADDTEHFYGDTIWASRVFPVGGGGLMAWVDVRHGILLCNLLDEDPQVRMIELPPLSPRNKKRFGTESDLNSIRDVAFSDGSFRFIELEYPDSTDDDNPGQYRWTANMFKRTVDPQDCWELCGTVDSAELSPADTCFPDLCPPIWNEEEKTLTLGEVLCQYVTLNMYHDDVAYIKSKLDARDPDGWVLAVDTRNNKLERVQPFSEMARYVCTVLQCDLSRYLSKAPG >Et_1A_007693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37357427:37359323:1 gene:Et_1A_007693 transcript:Et_1A_007693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTLLLGFLVVFLTTSSTSQSTMAQLDAVEHDTLLKIKNDWGSPSALSSWSSMNRTYCNWKGVSCNNGHVTALSFQNFNISNPIPPSICSLNNLSYLDLSYNNLTGEFPTTLYSCSALQYLDLSNNSFSGSLPADINKLSPGTMQHLNLSSNSFTGSVPSAISGFSKLKSLVLDTNCFNGSYPSSAIGSLTELETLTLAANPFAPGPIPEEFSKLTKLKMLWLGGMNLIGAIPNSLSELTELTLLGLSQNKLHGEIPAWIWKHQKLQQLYLYANRFIGGIGPDITAINMLELDVSTNRLTDPIPESIGNLKNLKLLYLYFNNITGPIPASVGLLPNLADLRLFNNMLSGPLPPELGKHSPLGNLEVSNNFLNGTVPDTLCYNKKLYDIVLFNNEFSGAFPAVLGECTTVNNIMVYNNRFAGEFPEQVWSAFPGLTNVMIQNNGFTGALPSAISSNISRIEMGSNRFSGPVPSSAPGLTSFKAANNEFSGALPASMCRPA >Et_1B_013866.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:21245949:21246389:1 gene:Et_1B_013866 transcript:Et_1B_013866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQTIRHMIEDDCTDSGVPLPNVTSSILSKVVEYCKKHAEAEAAAGADADAAASSSTATKSSGDNKDLKAWDADFVKVDKATLSDLILAANYLNIKGLLDLTCETVADMIKGKTVEEIRTTFNIKNDFTPEEEAEIRKENAWAFE >Et_2B_022541.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25621179:25621808:-1 gene:Et_2B_022541 transcript:Et_2B_022541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVENVSDGKGTTADEASDAKGVSMITLISSDNESFEVSEAAASLSETISNMIEDGCAEGGIPLPNVSASILGKVLEYCNKHAAAAAATAAAVSSEAAGSSSDAAAASSSNDASSSSSAAATAGKEQEHLKSFHKEFIDVEMEVLFEILLASNYLHVKGLLDLAAQAVADRIKDKSPEEIRTIFGVQNDFDPEEEEKIRNENSWAFEK >Et_1A_009519.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:7128703:7129098:1 gene:Et_1A_009519 transcript:Et_1A_009519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCFFPREARQQAKAAAEALEQLHNGGRVLSREEVGGAVRVKIVVSKRELKQMVAALGDGAGAVAAAAAGERHRQRAAAGSCAAGAGGPSAEQRLQSLRRRSMRRAAEAARQMQGEWEPGLQSIPEEVY >Et_8A_056275.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19400864:19401112:-1 gene:Et_8A_056275 transcript:Et_8A_056275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVREPFSPLLMNFFPLDLALEKAMEHANGQQLFFYARYAGDLVFKVLDLGPRCHRMNARYTCFRKEANLNTESRRKKLFYF >Et_1A_007711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37464776:37467389:-1 gene:Et_1A_007711 transcript:Et_1A_007711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGENGAHEQRAMEEGRKEEYADQGCAMVSVPFVQKVSPAWPRCYRGGENGAHEQRAMEEGRKEEYADQGCALVSVPFAQKINFFLSMKNN >Et_3B_029780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27905643:27917560:1 gene:Et_3B_029780 transcript:Et_3B_029780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDCQCLSNDFSMTDSVPDNVVLEKCVKDILALIKPEEDDRNKRVSTILELENCMHSVGALKGAAVKPFGSFVSDLYSKSGDLDLSVHLGNGSNLPINKKKKQNVLREVRRALLTRGVAGYMQFIPHARVPVLQYVSNRFGISCDISIDNYAGRIKSKIFYWVNTLDERFGDMVLLIKEWAKAQNINDPKTGSLNSYSLCLLVLFHFQTSQPPILPPLKEIFEGNITEDVTEVASYNEEQINEVCAANIARFQLQNKGQKNESSLCRLLASFFHKFIHIDAHAEEVISTFTGQFERTKDNPSRKTKPYHLFVEDPVERPDNAARAVSMKGLHRIASAFNDAYRKLDSLECIDRNDLLVMLCTPGVCSKLGGRVMANSYTNTPQRSHQHIRSVGHGGHNQPFREARGPTGNRPVQRNQRPMTQYDHTYDLLKACIEDILSVIKPVEDDRKLTDSVNSVGALRGAAVKPFGSFVSNLYAKSGDLDVSVDLRSSSVLPISKTKKQNVLRELMRTLQIRGIARSVHFIPNVRVPVLQYVSNRFGISCDISINNYPGQIKSRIFYWINTIDDRFGDTVLLVKEWAKAQNINDPKNGTLNSYSLCLLVLFHFQKHVDEVCARNIARFLRQNTGQRNQSSVSKLLASFFRKFFRIEDLSGQVISTYTGRFERIQDNRSWMAKSYRLFIEDPFERPDNAARTVGAEELQLIGSAFNYVYCRNELVSLLCTPTVRAMLGDRGSTGRRTAQASTEHVTAGLYQNNNRSRVYTTGPEPAVPYQYHDDLRLHAARRPHASQYQNEPRRREYSPYQPAATTRYEPVGRRFDNGPAWDYGS >Et_3B_028632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17585703:17592539:1 gene:Et_3B_028632 transcript:Et_3B_028632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSHSKVENEEPVRRSKDRRQLMKQLVRSRPELAAAHVAYLHALRNTGATLRDFAEVESALSQGPPEDLAAPPSPARPPPPEPPVTSSMPPSPRPLSPPPPSFSPITIRKMEKRDDELRPPPLTFSPIRLTIRKMEKRNDELHGDESMDGDDSDTDSCSTPLPPPPPPGIAWEDLDPFNVRPSDFPSPFAGHSDAEVASQVTTDDNNWVETNTELDGDDDESVLGNAVDIVSRVELNPVKSRALGDNKSSMVSHVTKESDSSVVAWRSEASLVGIVKEIDEYFLKAAASGSDVVTLLDSAGGRPEHVELEAKKVTGRKSAKVFSTLSWSWSFKSQQANSDSSVRNSSDASGYGYHGKTLEKLLDEEQKLYKLVKDEESARLQYKKYTSLLQKLESGEYDKLHAERVRDTVEELQTRIISLDEAVTLACLSISKIRDEELYPQIIELSAGLVHMWRNMYECHQVQSHIAQQASLLGNRPGSEPTSDTQCHATSQLEAEVTRWHSAFCNLITLQREYISVLNQWIKLTDCLPDDDGLMKSSSGIRSLSEELQRALERLPEKVAAEAIKTFMSVIHSIVVQQSEERQLKKKSDNMESKFQTQLEKHSENAMQNSTQPPVKNEMKLDTFRKQVEEEKARYLHSVKASRAMTLNNLQTGLPNVFHALMGFSGVCVQAFEGISRCSEAAIGHSGADRVSGNITRISLDLGSDLY >Et_2A_016403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24310717:24314717:1 gene:Et_2A_016403 transcript:Et_2A_016403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGIQEEPAPPRLLTATRLGGSRWVDGSERPRRVDSLDVEAMSVRGAHGHGNKELSLLSAVAMAFQTLGVVYGDMGTSPLYVFSDVFSKVPIKSEVEILGALSLVMYTIALIPFAKYVFIVLKANDNGEGGTFALYAKVSLLPNQQRVDEDISSFRLKLPTPELERALYVKDCLEKKPLFKNILLFLVLMGTSMVIGDGILTPSMSVMSAVSGLQGRVPGFDTDAVVIVSIIVLLLLFSVQRFGTGKVGFMFAPVLALWFLNLGSIGIYNLIKYDISVVKAFNPVYIYLFFKTNGTKAWSALGGCVLCITGAEAMFADLGHFSVKSIQVAFTAVVFPFLLIAYMGQAAYLMKNPLAVERIFYDSVPDSLFWPVFVIATLAAMIASQAMISATFSCIKQAMALGCFPRIKVIHTSKKVMGQIYIPVMNWFLMVMCIIIVATFRSTNDIANAYGIAEVGVMMVSTALVTLVMLLIWQTNLFLVLCFPILFGAVEFIYLTAVLSKILEGGWLPLAFSSLFLCIMYTWNYGSVLKYQSEMRGKISLDFILDLGATLGTLRVPGIGLVYNELVQGIPSIFGQFLVSLPAMHSTIVFVCIKYVPVPYVPLEERFLFRRVGQKDYHMFRCVARYGYKDVRKEDHGFFEQLLVESLEKFLRREAQEIALEASTMEAERDDVSVVSDVPQSPAVDGDLHVPLLSDQRLVDDNKVLTTEGSAPLLPSSSMPTEEDPGLEYELAALREAMGSGFTYLLAHGDVRARKESFFTKKFIINYFYAFLRRNCRAGTATLKVPHSNIMRVGMTYMV >Et_1B_011691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25034265:25038722:1 gene:Et_1B_011691 transcript:Et_1B_011691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYTAGEHRRSPPPRGAFASSLSPSAAPFPAADPAGPGPGPGRDLPTAPSVYAAAAGDWGNASWIEPPVSYMAPVAASTASAPGYRGKLRPSVAPVSKAPSLVTCDRWMVDRRDVGFTPYGIYSRIHFSDFTGLPSSVSESSNLLSEKHSGICQENSEALSNGAGQSFFHQQQDSVVSKFFDHSGAKGSGPYPPQQDLNQYPFGSPYDKYMTQLSSCSTDTQPHILSSRYVSPSERTGPVLNATTGESSFSSSSYMNPCRINLDYFDCVWNEQKDTGYQTTDKQYGKWSNSLDDTAAVGNYPLNPRVENRAEHVGNERPMEESSELKLDLGNFNSKFSSSNIGFLQPQEFSSELLEVNNTSVDSPCWKGTPATYLPSFSIIENKDTPHTATGTVGYNCCYQSQKAPDLKFGYPGRFAECQEASGSENDLSKMFKLPMRFENSNNHVLPPIRVHDDVGHASSLLNIQHARTQECNAPGEDSKNVITSSQQESSDLSSKVNLSDQHSGSRTGSITEVIRKKTLSPIATSPRPHVDNLISGSAHGNNSAAAEKEESTQKREGPSQCYPDAEGNVLNMSSESNSSTRAIFLKLMHNLSVVLLSTCKDGSVFQENEEEILQSLIQNLTAASSKRSKIEQKTDDGLRNSSQMKFKNINCVSNKFYMATHEHSASESDLEFKTAVSQVLAALPDDKMLDDTEVSEASIYKNLWIEAEASACKLKYELQLARMNLATMKGHNNTLKVPYSSEGSKCANSSMTSSTKQQNLGEESAQRQGGDSGTRQSPIVHRSTVYGVDDDLFARLKVLQSCTDNVCSSGELDCEGQQEASKKPYGVEDAVMARLQVLKSCPDNVTFLSQESNPDASTNRADDAVMDRLQVLKSRPDNVTFLSQDNNPDASTNRADDAIMDRLRILESHPNNLTFFAQESSKHEIDAGTNREDVADDAVMARLRILKSRPDNVTSTCGVSKEHEELKRDEIEVSSIGLTVNKASGSADVASPKRCEATPEETSNKGEVQGEDGLGGNQVWPQAAGNSNVCPEVSAPVHQHGSSPSEWEHVLKENFFHPGK >Et_4A_034836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:764996:767911:1 gene:Et_4A_034836 transcript:Et_4A_034836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVALLWASPSSSAASSLLSRRCPASSRRSSARFTIMAALGDDPIKEWILTEGKATKITGTRSIGGGCINSAQRYDTDAGSFFVKTNRQIGPSMFEGEALGLKAMYDTKSIRVPLPYKVGPLPTGGSFIIMEFIEFGRSRGDQSALGRKLAEMHKAAKSDKGYGFYVDNTIGSTPQINTWTADWIEFYSKHRLGYQLELVSRRFGDSDIYAKGQRLIKNIRPLFDGAVIEPCLLHGDLWSGNISSDTNGDPVILDPACYYGHNEAEFGMSWCAGFSGEFYNSYFQVMPKQPGFDKRKDLYLLYHYLNHYNLFGSGYRSSAMSIIEDYLWMLGV >Et_2A_015685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16940143:16943649:-1 gene:Et_2A_015685 transcript:Et_2A_015685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYTLLRPKPLDYEQRNALVHVFSKMTAKIFGDGICCGVLPIVSARVPILKVIDRGTGIECDISVENKDGMTRSMIFKLISSLDERFQILSYLAKFWAKVQDLNSPRELTMSSMSIISLVAFHLQTRDPPILPPFSALLSDGLDCASVERHIRLFKDFGSRNKESVAQLFVSLMSKLVSAESLWEHGLCASNLEASWISKTWKKGVGKMQMKKICKCLRDCLLNLLDFMRGKINTSKLKTLLFGHLCPDELASKPRLRHAKRKRKLKLSLESRYLVQKRAKHSAHHVEPHSHANARTATGLPNPAAAKVMPHIRYQCPTQSSAHIVRVPWPRIIPSGFGYGLSVQLPVDPHCGKGILGPPPDMISVSNGIQRPQQRPLLPT >Et_6A_047327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:437853:442963:1 gene:Et_6A_047327 transcript:Et_6A_047327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCAVQRWSRRVATMLPWLVVPLLFLWGASQLLLPAAYRFEVTSPRLACVSVLLLTLFWYEILIPRLTRWRNRRSARLREERRAHALELHKLRKTATRRCRNCNNPYRDQNPGGGKFMCSYCGHVSKRPVLDLNSAGKIPRRWPCAQDCDSPYWSELRCPGDNSFLGLSRRLFSSFWVTMRWLISKMFRFASSEDSDSDGKRLAKREENGGKAEESRVEKAKRKAEEKRLARLEREILEEEERKQREEMAKLVEERRRLRDEKAEAEERSKGATPVGEKDARREADKRRLDRTKKEDKGSSKSNSDCEDMDRRLGREGDRKRDLDRKGDPERREGYKPHHFEANIHSSKVVDSKARYFGRMAGGFLSSSRGFGGGSFFGRSAQAPAPQVNKVSRPVVPATDQSNAVKRDFQPAAPQAAPKSATGEIRNSWTNSNRPVSPNVQPHPTAPKKSWHQLFSRSASVSPCPDVTASPHEMNRKPEPKGAQINNAHNFLSHYPPLDSKPNLSQSMQFPGFPPVKGASSNTTLPRFPAGHMSFYDEAELTVFEESEQFEDPCYDPDAIALLGPVSESLDNFPQDMDCGFTSSDVTKESHPRPSPIESPLSRSRTVEEKPIKPQNSSVTKGPDGSISPQANSDQGTWQMWGTPLVQESLCLQVPQSQWLGPSTNQFSHGANFLNGGTRSPLGTSLNDSDPWLQKTPIQQLPPDTPSLFLPHDLTGKAIRNNLGFGSPNKSARAHPFGPPGLSWSKEEEPLLNGNGLKESDQFCSPTGAHVGGGFFPANPDVQSVWSFNEKETT >Et_1B_013107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5556142:5563151:1 gene:Et_1B_013107 transcript:Et_1B_013107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSVHGAGQENGGGGEKKKKKKADQEVAKVPFMKLFSFADRWDYVLMAVGSLGACAHGASVPVFFIFFGKLINIIGLAYLFPTTVSGRVAKYSLDFVYLGIVILFSSWTEVACWMHTGERQAAKMRLAYLRAMLDQDIAVFDTEASTGEVINAITSDILVVQDAISEKVGNFMHYISRFLAGFAIGFSRVWQISLVTLAIVPLIAIAGGLYAYVTIGLMARVRKSYVKAGEIAEEVIGNVRTVQAFVGEEKAVRTYREALLKTYKYGKKGGLAKGLGLGSMHSVLFLSWALLVWFTSIVVHKQISNGGESFTTMLNVVIAGLSLGQAAPNISTFLRARTAAYPIFQMIERKSVNKASCKTGRTLPAVDGHIQFRNVCFSYPSRPDVVILDKFSLDFPAGKVVALVGGSGSGKSTVVSLIERFYEPLPGTILLDGHDVRELDVKWLRRQIGLVNQEPALFATSIRENILYGKGDATAEEIEHAARLSEAATFVNHLPERYETQVGERGIQLSGGQKQRIAISRAILKNPSVLLLDEATSALDAESEKSVQEALDRVMVGRTTVVIAHRLSTIRNADTIAVVDGGRIVETGTHEQLMANPSSAYSSLIQLQEAAQLQHKPSFSDSASITRPLSFKYSRELSGRTSMGASFRSDKDSISRYGAGDAHDEGRKQGKPVSMRKLYSMVRPDWFFGVSGTVSAFVAGSQMPLFALGVTQALVSYYMGWETTKREVRKIALLFCGGAVLTLAFHVVEHLSFGVMGERLTLRVRERMFAAILRNEVAWFDDAGNTSAMLASRLEADATLVRTIVVDRSTILLQNVGMIVTSLVIAFMLNWRITLVVLATYPLMVSGHISEVCNSAEHNVSSSSSSVAKQIKQLEPIEFSPQLTHSVTLKQKMFMKGYGGNLGKSYLKANMLAAEAVSNIRTVAAFCAEEKVIKLYADELKEPSKRSFRRGQGAGLFYGVSQFFLFSSYALALWYGSHLMSKELASFKSVMKSFMVLIVTALAMGETLAMAPDIIKGNQMVSSVFEILDRKTDVRIDSGDDIKKVEGLIELRGVEFRYPSRPEVTVFKGLDLLMKAGRSMALVGMSGSGKSTVLSLILRFYDPIAGKVLIDGKDVKKLKLKSLRKHIGLVQQEPALFATTIYDNILYGKDGATEAEVVEAAKLANAHSFISSLPEGYGTKVGERGVQLSGGQKQRIAIARAIVKDPAILLLDEATSALDVESERVVQQALDRVMKNRTTVMVAHRLSTIKNADVISVLQDGKIIEQGAHQQLIENKNGAYHKLVSLQQRQQQELQRQHNT >Et_2A_018596.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34434138:34435277:1 gene:Et_2A_018596 transcript:Et_2A_018596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSAHLHLPPSSCRRLPVPTNFPTRPVASAGTCQWRRLPASPHRLRAAGASRQLDYVEYSSLAAEEEDEEDRPLSLRIAIIGFGNYGQFLARTFVAQGHTVLAHSRSDHSAAAASIGATFFPDTHDLCECHPDVVLLATSILSAEDVVLSLPVHRLRRDTLFADVLSVKEFPKKLLLENLPDDFDIICTHPMFGPESARDGWAGLPFVFDKVRVGDSPARRARAEAFLDIFAREGCRMVEMPCAEHDENAAETQFLTHTVGRMLALLDLKPTPISTKGYETLLRLVDNTCSDSFDLYNGLFMYNKNSTELLNRLVWAMDSVKRKLFDGLHDVLRKQLFDFQGSPDPTTELVVDDGSIVDDDQDDTDNNDAAVDGDESG >Et_6A_046285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11992899:11994993:1 gene:Et_6A_046285 transcript:Et_6A_046285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELHRGRTMLQCPDAEDPRGAGGQGQWWRQHRPHPGSHMQARLIRCLSDLGDLGLMGCVFYFVIMMVASIRLLAKSKFSFQTNFDSWSRISIEGVFGGLMVRIPFCCRLDIVRVAIYYLQPCSYHYVLHMLFIHCNIPTEQEIFLGVI >Et_5B_043103.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:3032358:3032368:-1 gene:Et_5B_043103 transcript:Et_5B_043103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QSK >Et_9B_064345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14204857:14205581:1 gene:Et_9B_064345 transcript:Et_9B_064345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPRRHDDAACPARGFYTYDAFVAAGARVPGLWRHGRRRREVAAFLAQSAHGTSSSGAPSVWRVALGTTHIYMVVVATCRWWRVLHGLLPQGSEGRHVGLLRASAQFPCAPNKRCYACGPMQLSYNYNYGLAGQAIGADLLRDPDLVATDPVVAFKTALWLWMTPAAPNQPSCHAVVAGARVGLYKRYCDLLDRRDLWP >Et_6B_048682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12576682:12583609:-1 gene:Et_6B_048682 transcript:Et_6B_048682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRLSPSRHHRFPGCPRRDAIPRLDSTARIRFQSPSVLLYTTPGPLMEADGRPATASAAAMEKKEMKDGVAKEVVRLERESVIPILKPKLVMKLAYLIERDNDRAEFMKLCRRVEYTIRAWYLLQFEDLMQLYALFDPVNGEKSLEQQSMTSDEIETLELNFLTYLFQIMEKSNFKLLSDEEYEVAQSGQYLLNLPIKVDESKVDHKLLTRYFKEHPHDNLPAFADKYIVFRRGIGIDQTTDYFFMEKVDVIISRLWRSLLRVTRINRLFSKKRAIPKKDTKKTDEVNEDVEEPDLYVERIRLEKMELSIKNLLRKMTIQEPTFERMIVVYRRASTESKPDRGVFVKHFKHIPMADMELVLPEKKNPSLTPMDWVKFLISAVIGLVTLVGSLEMPKADIWVVIAILSGVIGYCAKIYFTFQANMVAYQNLITKSMYDKQLDSGKGTILHLCDDVIQQEVKEVIVSYYILMEQGKATIQDLDIRCEQLIKEEFGVECNFDVVDAVKKLEKLGIVSRDSIGRILCVPLKRANEIIGTTTEELVIRAQQAPSGKGFEGLELDRIYHPESGYFP >Et_4A_032575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11482134:11486483:-1 gene:Et_4A_032575 transcript:Et_4A_032575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAGDLNHRRKRHLEASPLPRHFHGPQRHSRIRLSFCPGASFPLLPPEARYLAFDMGNYVSSLLGGRPRDDGLGLYKGWVGTSGDLTVATTEDTGTTAHLVSRKFGDPRKAALEAVPRPREKRKPHYKDALEATKKYDRRLGEIGFEVKFQEEKFAELRKLDQAPKEDLSELFKPLTAEEENEVQDCLYGRGPSGKVLVSHESSNIEISKEKFRCLRPGGWLNDEVINLYLELLKEREKRETKRFLKCHFFNTFFYKKLACGKNGYDYKSVKRWTTQRKLGYELIECDKIFVPVHKDIHWCLAIINMKENTFQYLDSLGGIDRNVLRVLARYIAEEVRDKSNKVIDTSSWHEEAVDYIPLQQNGWDCGVFMLKYIDFHSRGLSLSFSQEHMEYFRKRTVKEILRLRAD >Et_6A_048107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6428566:6429365:-1 gene:Et_6A_048107 transcript:Et_6A_048107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAHIPPHNFSSQALTLTQWSLLPACSGKLAEGDARRAISSGTTMKARDILEQAITLEIKLFLEQH >Et_9A_062523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23773210:23775563:1 gene:Et_9A_062523 transcript:Et_9A_062523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFAQSSKMNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRLHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALIDVSRSAFPGNRPVPAKEGLASILEGNGAKHRRHLIIYIRTSRLIGKLRSSELPVQD >Et_9A_062054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19268444:19270666:-1 gene:Et_9A_062054 transcript:Et_9A_062054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAPASLGSLANSGPVALSFGSFLGGGSRLKTMAPSSLPLSPSSCFNAASGFLESPILLTPSLFPSPTTGTFPSEPFNWMGTTENLQESVKEDQQRQGFDFTFQMAAAVPPATMAGATQAESFPQSSVLMAPLGGLGDSSYNSEPQPWSYQEQAAMDFNAPPFEAPSSVATATQPAELHMHGGYGFREQTTSRRSSDDGYNWRKYGQKQMKGSENPRSYYKCSFPGCPTKKKVERSPDGQVTEIVYKGSHNHAKPAPGARRGAAASASAASTYVLQSGAANYNDAAAAAEHSFGAQMSGTPVATPENSSAGSFADDDVNGVSSSLVGDFGADEFFANDEPDSKKWRDGGGYGEGVSLQASGGGNRAVREARVVVQTMSDVDVLDDGYRWRKYGQKVVKGNPNPRSYYKCTAAGCPVRKHVERAGHDARAVITTYEGKHNHDVPPARGATSLYHRAAATQQQQATAGYSQGGGVVVRPAPVQAAAGQTSGAGFALSGFGDAMGASSYAYARHDHQQQQQQQQQQSDAMYSYASTTKDEPSDADDMTSFFEQPLLF >Et_5B_044378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23421170:23426430:-1 gene:Et_5B_044378 transcript:Et_5B_044378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLIVFHILKDRKLVVALDGDRKTRRVIYLPENRDFRCSVPAFVGQSQGRLHCISESYNPEGHPDHNSSQITIWVLEDYNSDEWSVKNSVSSLQLFGRISLDQYKYNVVAIHPDRNLVFFFQHWDRKLISYNMDSKEVHDVCMLGDGNESITPYVPRFSDSPLVMDCPNRSAVAGLPDDALADILSRLPAKFLCRSKCVSKPWRDLIAYRLRCKKLPQNLQGFFYGSLEAEDSDSGEDWSSDDDYSAEGQHYISDDSNGCSDEDGHNCNSEDNHNCRGEDNHNCRGEDNDESGSRDKNLTSDIHGHFIDLLGRPAPLVDSSFSFLRKMSKIGSITLLDSCGGLVLLGNRRDSDMNRTPGYIVCNPATEHWVLVPSSRFVWTSMGELLVDSDDDDEGPCVATNLIFDPAISLHFQLIEFCHTPKKGVVNTYSSETASWTDRSSRQKQWKRGGKWDMFRTISSLRDSTVFKKILHLIVSPRKGSELIAAIDGKGKICKIIPWLENRGFPVFVGESKVLLHCMSVSGDPDGNPCNMTELSLWVLQDYDGGEEWNKKHTVSFSELFGKVTCQFGSDYNVVSIHPEHNLVFFVQHWDYKLISYDMDRKEVCHLCTVGCDYGRVTPYFPYFSESPALSKKHLKH >Et_6A_045908.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:5023356:5023712:1 gene:Et_6A_045908 transcript:Et_6A_045908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGKATTLLLVVYAILFPQLVSGTYPTCTGTQKRVILDRCKSYLRRWRPPIPIFDHDGACCESVRQVPNRNMLCVIAMLTDEERKNNIIERILNLQVICAPPPPSPPGEAKDALPVW >Et_10A_000589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13242663:13248705:-1 gene:Et_10A_000589 transcript:Et_10A_000589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTATTASKLPSLSMPPYSTSFTGVDGMLSPTINRAISKVTVMGLHRVLERKPYRMASAAAALNGAAFVALCVMLVLSSLGQELPRASLCCTNSCASFCNSSCTAAANQTCDIQIPIAEGKCFLACNSSCPNYCAREGCSCDNGTWWSEWCGKACLLSRFQVGCNMYSFSTIYQWCWPSCNTTCYNNCI >Et_8A_058499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9699034:9702280:-1 gene:Et_8A_058499 transcript:Et_8A_058499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKVRDYADTTDPTEANAARRHLVKGPPSKKQQQEGEDPSEDFIEEVSRAIPQRYDAGPPSIYVVPRDLAEGTKDAYEPAAVCIGPHYDGTARESEHLSKLERYKWCCVRKLIINNAAAAEGDAWDPKVHSPLLRRCLGTVSALEPRILASYGGGSISMESIGGSRQLAIIVLLDGCFLLHRLLKYARLATAGAGPDPDDDDDDDWTQVPGRYGVWGIVTRDLLLLKNQIPFFVLQALLEQLQGRADDDVLIKGALRLFAPLRPGPPMDSSTFACSCDLQHLLHLFYLSIGFSTLHADSSNSSGPPQHEQALPLELQGWMPCAKELKEAGVKFQAARNNKQQQQQQSFLDVSFRRGICGGGVLEIPPLQLYDSSEALFRNIIAFEQTYLDTPGHVTSYAIFMDCLLKTPEDMRLLHQNGVLVSHMNGVDRDAVATGFFSRVCAQAHISPDRNYLDGVMADVVRYQSAGWPRWRAALKRDYFSNPWATIAFVAAFFALVMTVLQTFYAVYGYYKPNN >Et_10A_001183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2187516:2192354:1 gene:Et_10A_001183 transcript:Et_10A_001183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGLWKQGWRWVLSQKHILAWAHTAACGSGEQLAFLVDRHWPAVSRACVSSSRLALAALRQWRGCVARGLLAVASLGPAAVFVILWSFFVCMTSPACALYALLSLGAAGAVIHYMGYTPGLFIVGVFGILIMWMYGYFWITGMLLVTGGCMCSLKHARYVIPVLTTYAIYCVAVRVGWLGVFCTLNLSFVTNDLLNKLLQGYEEKTEERQFEEMKDSDPVMDEFYRSCEFPAAPDSEPETVSSAKPYCSSPTQDVLHVQKEESPSKVVKSDSSSLDEMKRIMDGSTHYDVLGIPRNRSIDQKLLKKEYHRMVLSDFTKKNCYDEQLRKDESRKMTPRSRAVSQQSGVEFLSEESRRIQCTKCGNFHIWICTRRSKAKARFCQDCSQYHQAKDGDGWVENGFSTSLKMEIPRAFVCAESKIFDVSEWATCQGMECKPNTHGPTFMVNMVGADRIPQRSYSSWYPFSLDAEMIPEDEFELWLQQALASGVFADSPKRRKSWSPFKLPQKGIKSWRRSS >Et_3B_030970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9540334:9544038:-1 gene:Et_3B_030970 transcript:Et_3B_030970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAEPAASSIINPYKPPLVSERVDGHTCCAWRRVSLAPAARSVHSQATAPQANAPELPPAWVPLYVRLASLPHGRPPGAAPAVLDAWIRERRPLSQDQIIACVRKLRNFKKPCAALELMDWMDARGAKFTLGHHALRLNLVSTMNGIQAAEEYFWSLPDVFKSVKTYSSLLNCYAEHNIADKGLELYEKMKAMNFDPSTLVYNNLMTLYHRSGQPEEIPIVYQEMNDRGVRPDNFTHAMLIKSYITMNDLESADKFLEELQKVTPVHWSLHTRMAVSYVNLGLFDKVEMALKNAEQVKDDGEMSRWHTLISIYACIGKLSEVKRIWESLKFRFKKCSNRGYLEMLLALRRLDDFESLQLIFQEWQSSNQQYDMRIANIMIAAYLDKGMIDEAEAIRQSAMAQGRCNDKTCSMFAVFYLSKSKVKEALEILRDGKNMVRTHKWVPSKVLLQIFLKHYEETKNVDGMESFCVCLKELECLDADAYEALMRTYISEARTMHCLAHRR >Et_1A_007090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30816569:30820321:1 gene:Et_1A_007090 transcript:Et_1A_007090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHRLPALLLVVLVAVVGMVDTVVAAVVEEGSTSGHHHHHSPLLDCGPAPPTTPSRNGDAAFRTNLSSALAALPSAAASAPHAFATVHVGRSFARGGGACFRTNTSSSSPAAASACNACLSAAARDVTGGCGATSARAGAWRDECFLSYADSNASSPREDASRGWFYAGPTTPAALDRGSARSTATAASTTLLDRRRNWGGCRGSTATRCSWSATAASYASRYPYCRRGRKLPMNCHV >Et_7A_052944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2984362:3020579:1 gene:Et_7A_052944 transcript:Et_7A_052944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWKGKAEGRREGKKALQLDDMASGVGGPPATSFNQNHLKITSGGSQQWRLLVNKCLACPEGYWCGFGDVPSFVDPRALSPPPTGRGFLDGAQLAVVNKDAIHPVTHGASITITSPTEAARWSHRRRALAVNTICDIYRDFEQSKAIKMVNIPAAKLWGTMDKKDNIRNISVIAHLQNGKTTVIDCLVPTSGTAPEAAGDIHRTDTRADEASVRSTGISVLYEMSDESLSTYEGQRDGNEYLINLIDTPGHAEFSSQVRADLHITDGTLLVIDCIEGFRMQSETLLRLALDERIVPVLTVNKMDKCFMDPIVKGEETYQALFHIIENTNEIIATHKDNFFDDVRVSPEEGAVAFSAALHGWAFTIPDMAKKFAPTIKMDESELRKKLWGDNFFNKKTMKWSTERGKHCERGFTLFCYKPIEAIINVCLNGQKEELWSKLDKLGVTLNDDEKELTGEALIKCVMHNWLPVGRALFDMMVYHLPSPSKAQKYRVDNLYEGPLDDKYAEAIRNCDPKGPLMLYISNMIPASNKGPFFAFGRVFSGTVAAGMKVRVMDGNYVPGQKGNPYVSSVQSTVICMREKKESVENIPCGNTVAMLGLDEPNANNATVTDESEVDACPIRAVKLSINPLVCVNVCCKRSDVTKLKRGLEYLKKSDPTVFIANLGSNGCIIAGAGAFHAQTLLNDLQDFMGPSKMIEPRLGALFRETVVGKSSHACMRAFVNRCNQIYMKALPLEEDVLNAIDDGHINPLDDLEFRSKVLSEDFMWEEDVAKKIWSFGPQNTGPNIVVDMRKEGTNEIRDCIVAGFQLACEKGALAEEIMRGIHPLRSL >Et_1B_014434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8588337:8589220:-1 gene:Et_1B_014434 transcript:Et_1B_014434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLLAVSASAQNCGCASGQCCSQYGYCGLGGDYCGKGCRSGPCTVPDTNNVSVASIVTPAFFDALTAQAADGCEAKGFYTRDAFLAAAGYYPSFGRTGTDDDSKREIAAFFAHANHETIKFCYINEINGPSKNYCDPTNTQWPCQEGKGYYGRGPLQISWNYNYGPAGQSIGFDGVGDPDAVARSALTAFRAALWYWTNNVHEAVVSGQGFGATIRAINGALECDGKNPSSVNDRVGYYLQFCQQFGVDPGTNLTC >Et_10A_001148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21587188:21592035:1 gene:Et_10A_001148 transcript:Et_10A_001148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFWIARLHPGAKVRAELIEEIDCPVSDDEVPEEFTYDLGKDSDDDVPVDTVTTCPGQRFTKEQAKEIRRKWLKGYTDLCAKYADLCNELLSDEDEDEDANSQKNSSLPPGPLKVLPETTPLCVERGYCYHREFMINSTDDTAKNLGFYEPHDMLQVLSMRLTHTESCSISVYGIFAIRDDLEPLRNYVFNRTRDDPVVIEKDSFALPLCSPCRGMYVLDRALLEVDLWIKKEGDKSTDERLLSVYVEIDLGSFLDEKLIGRIHGDRCMLDMSYMFLAESLEATIQISALADNPSDVRFIAFSSCFDDEIILFKGKGMCKYWPRCMELLPGQKANSLFVSAMAAKK >Et_2B_019093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8058931:8061672:-1 gene:Et_2B_019093 transcript:Et_2B_019093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LAVCDTSDSGAPPSPRIVPFGLTKLVHAAAEAEVLDDDPGLERQGLRAVEACPGLPAAVGDVELAQRGAGAAVSAVAVVPVLGEVLALDRLAGVVIVGGRRARVEEGVFLRVALVARCALNP >Et_1A_008509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8264057:8266111:-1 gene:Et_1A_008509 transcript:Et_1A_008509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGEGRPAGDGSSAAGSAPSESPSSPGIEALAAEAMAFDGVGNEESIDAKVQKALECPCLDDLKKGPCGSPFIDAFSCYLKSTKEEKGSDCVNPFIALQNCIKENKEAFIKEILEEEENDDEADKSNLKVLPPAWSREPKSKK >Et_10A_001843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:894364:896935:-1 gene:Et_10A_001843 transcript:Et_10A_001843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARDSLPGRRLVVQRADGGATTERARDVTVEDRSFFRPGMAVASASARGGQVGVVTAVATALDLLLSVDDGESVVARRRGGVSPAEVRRVTELCLGDYVVSGPWLGRVFEVSLDVDVLFDGGAAACRCRVAEAAGSDNMLSAVNKDCPNPHTNSCPRHAAGSPQRAPLQREGLRALPPDRRPPAGFEDLVREHFRRRGRHVLRACEAYLQEGCLVGTPDARSCSSGCRLALDNVVPRLVEAFRYHRLGVPPP >Et_8A_057109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21176732:21179631:-1 gene:Et_8A_057109 transcript:Et_8A_057109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSAKTSVFIGALSCCISAVLVLFPAHLYHSTTLQRRTVSHLSAPSRPLHFLTNHSIGNDHRRCKYNPLCDDFPPDFPPPDTTEVSIFCVDPNGCCDFTTVQEAVDAVPNFSKKRNVVWINKGIYFEKVNIPASKPNITFQGQGFELTAIAWNDTAKSAHGTFNSASVSVFAAGFVAKNISFMNVAPIPRPGAVDAQAVALRINGDQAAFWGCGFFGAQDTLHDDRGRHYFKECFIQGSIDFIFGDARLISIADPVPAGQRYITGSVAAQSRESEDDNTGYSFVHCSIGGTGQIWLGRAWRPYSRIVFAYTSMSDIISPEGWNDWNDPSRDKTVFYGEYKCIGDGANLANRVPYAQKLTDVQALPYLKTSFIDGDLWLKPYSDSLISA >Et_8B_058659.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:14724665:14724763:1 gene:Et_8B_058659 transcript:Et_8B_058659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQLTMSYSFQSGPEPVLLDATAIAADRIL >Et_4A_035771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5574977:5576480:-1 gene:Et_4A_035771 transcript:Et_4A_035771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGEGSGGSAASVREPHDFSNVASFSELPFLRSAPPRESPNSGIRIFGIDVPHSSPEGKAEENTATVVVAAPAAATAAATQSSSCSAIAAASDSSRKFECHYCCRHFPTSQALGGHQNAHKRERQHAKRVQMQSAMAAAAAAAGGVHHHHLLGYRQHRFGMAGSTVATLYPSWPTVSGPGGGADIGPQFYSGIGSIAQPINGNPLTAGLWRGLPAGHGNMSAPPGGERRPPVALSAFRGDEPRASASLVASSTSSSSLLLSPQGQFVCEQPATTASEGTSEKLMMCSVTISKVHYRNLNHQAYKRIN >Et_5B_044235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21840879:21847701:-1 gene:Et_5B_044235 transcript:Et_5B_044235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QKRTRPERAEEEREPANSQLTSQIRAFRFDPIAAAAAATMDPEMMRLAQEQMSRMSPDDLARMQQQLMSNPDLIRLASESMKNMKPEDLRRAAQQLNQARPEDMRNMTEKIANTTPEEFASMKAQADAQISYAISGAKMLKKQGNELHNHGQYSDAAAKYKLAKDNLKSIPSSAAHSLQLQCTLNLMACYLKIGKFDECITEGSEVLTYDSSNVKAYYRRGQAYKELGKLEAAVADLSKAHEICPEDETIAEVLRDAEEKLAREGGGKKLPKGVVIEEIVGDDTSEPSSSRRSSSAAYTISQPHEGAGDSARSESSKSSRDVPASIRSSQDYMSKSDSEGLSKLGMQGMSPELVKTATDMIGTMKPEELQKMFEVASSLNGTSSVSPNLGTNMPEMSPDMVKMASDMIGKMSPDELQNMLNFATQMGAPPGISENNTQSSSRATSSSTLRSERSSSQNIAANPDEILNVQRLGQSSSGVPPSTADMQETMKNSMKDPAMRQMFASMMKNMSPEMMANMSEQFGMKLSKEDAAKAQQAMSSLSPEDLDIMMRWMERAQQGVEVAKKTKNWLLGRQGLILAIVMLILAFILHQLGFIGG >Et_1B_010241.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31619367:31619771:1 gene:Et_1B_010241 transcript:Et_1B_010241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLALHAITAALPAAASDHFGAAVAAVAAFVAVCALALALCASHSWPGSSSGPGRLRRALASVSRRRTEPVIAAVHQVQPGNGEASPCVWQKGILMGGKCQLPDFSGVINYDPTGNIVAPPRPGRAVPALGW >Et_3B_030389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3466721:3470216:1 gene:Et_3B_030389 transcript:Et_3B_030389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCERSRTHVVSPVRAPLTSSSQLLTIAILSSLWSFAIPASPPSDFQTLICLKLHLSSSTTLLDSWNQNNSSLHFCSWPGVTCSKARASRVVALDLESWGLDGQIPPCISNLTLLTRIHFPYNQLTGLVPPELGQLSRLSYLNLSSNSLSGMIPNTLSSTSLQVIDLGSNSLRGDIPESLGMLPNISVLYLAGNSLTGNTPLSLGSSSSLVSVVLTNNSLTGSIPSALAHSSSLKVLDLTGNNLGGEIPPALFNSTSLQRLYLGWNYFVGSIPSSSNINSPLQFLTLSVNDLTGTIPSSLGNFSSLRRLLLAANKFHGNIPASIGKIPKLQELDVSYNNLSGIVPASLFNISSLTDLRLSVNRFAGSLPSDIGHTLPSIRSLIMQASNFRGQIPASLVNATNLESINLGDNAFHGIIPSFGSLYKLNQLILASNQLEAGDWTFFSSLTNCTQLEVLSLGTNMMQGNLPSSIGSLANSLRALVLHANKISGTIPPEIGNLTNLEFLRMEQNYFVGNLPEAIGNIANLTVLTLFRNKLSGQIPLSIGKLSQLNKLFLQENNLSGPIPRTLGDCKNLMNLSLSYNSLNESIPRELFSLYSLSAGLDLSHNKLSGQIPLEIGGLINIGNINFSNNNLSGKIPTTLGTCVHLETLHMEGNFLDGRIPESFVNLRGIAQIDLSRNKLSGEIPKFFQSFNSLKLLNLSFNNLDGQVPTGGIFQNSSGVFLQGNSMLCSSFPMLQVPLCLASSKHRRSSNNLKIVGISVALSLISFSCVICILFKKSKRSKQSDHTAFNELKNYSYADLVRATNGFSSDYLLGSGAYGSVYKGVLESEEKGIAAIKVFKLDKLGAPKSFVAECNAFRNIRHRNIVRVISACSTWDHIGNDFKALIVEYMANGTLESWLYSEMERPLSLSSRATIAVDIASALDYLHNHSVPPIVHCDLKPSNVLLDDGMSARLGDFGLAKFLHSSSSNAASTSLGPKGSIGYIAPEYGFGSKISTEGDVYSYGIIILEMLIGKRPTDKLFSDGLSLHKFVGNAFPQKIGEILDPNIIPNLGDGGVDNNLDHATVGRLDCIMQLIKLGLSCCMEAPKERPTMLDVYAEVTAIQRAVSALGIED >Et_1B_012497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32526817:32527126:1 gene:Et_1B_012497 transcript:Et_1B_012497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KSSGGYVSWNDEMDKVLLDTLVEYFNKGDRCQNGWKPHVYTAAVKNKCNVNITKSNIDSRSKTFDKHYHIISGFGWDWDKNKLKVDSDSVWDDYVE >Et_5A_040973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15985597:15986447:1 gene:Et_5A_040973 transcript:Et_5A_040973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HLMNPMLFSVRKGLEGPGLSVSQKILYCISTVGGQYNMVTLAIFFCIPPNGVKTIVERLLKARLVYGSPNMNRAVSFEYICT >Et_1B_013510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9080638:9083794:-1 gene:Et_1B_013510 transcript:Et_1B_013510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASASTSLLFPQATASSSKSRVGLSTSLGFAAPARLRSRAAGAAAAAGQRRGRLLVVRAARGKFERTKPHVNIGTIGHVDHGKTTLTAALTMVLASVGGSAPKKYDEIDAAPEERARGITINTATVEYETETRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPRIVVFLNKKDQVDDEELLQLVELEVRELLSNYEYDGDEVPIVAGSALRALEALMANPGLKRGDDEWVDGIFQLVDSVDDYIPVPQRQTDLPFLLAVEDVFSITGRGTVATGRIERGTVKVGDTVDVVGIRDTRNCTVTGVEMFQKTMEDAMAGDNVGLLLRGMQKEDIERGMVLAKPGSITPHTKFDAVVYVLKKEEGGRHSPFFPGYRPQFYMRTTDVTGSVTKIMNDKDEEAKMCMPGDRVKMVVELIQPVACESGMRFAIREGGKTVGAGVINNIIHVNVSKRREQRVITGTST >Et_1B_011491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22930115:22935487:1 gene:Et_1B_011491 transcript:Et_1B_011491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDCQVLSSMAAMAGASSSGDGLFVPNPGALASFMSSSAAMPFHHFSTSTAIIPKEEGGLQLTKEEEMELEMDMELSGGSGSGHLDGLLGFADVDDDRAEQKPQHGGDVQPAADGGGKSQQLGVNGKKKRYHRHTAHQIQQMEALFKECPHPDDKQRLKLSQELGLKPRQVKFWFQNRRTQMKAHQDRADNVLLRAENESLKGENYRLQAAIRNVVCPSCGHAAVLGDMSFEEQQLRVENARLKDELDRLACIAARYGGGRQPSLSASALGVMSAPPALLMPPPPLDLDMSVYSRHFTADHQSSIMDLMVPPVMAQHQQISDHHAAYVVAGPVQEQDRQLVLDLAAAAADTLARMCRAGEPLWARRSGGGASSAEVMAPEEHARVFSWPVDGGKQGGASAAAASAAARTEGSRDNAVVIMNSITLVDAFMDANKWMELFPSIVSKARTIQVISHGAASGHLGSGSLLLMQAEVQFPSPLVPAREVVFFRYCVHNGDEGTWSIVDFPAEGFELEALQTSSVVKCRRRPSGCMIQDMPNGYSRVVWVEHMEIVGEEKPLHHVFKDYVAGGAAFGATRWVSLLQRQCERLASELARNIADLGVIRTPEARTNMMKLSQRMITTFCANISASGSQSWTALSESTEDTIRVTTRKNTDPGQPSGVILTAVSTSWLPFSHQRVFELLADEQQRCQLEILSTGGSLHEVAHIANGSHPRNCISLLRINAASNSSQNVELLLQESSIHPDGGSLVVFATVDVDAVQVTMSGEDPSYIPLLPLGFAIFPAVNPTPVATSANSSNGESSSSGNADEPTNGCLVTVGMQVLASAVPSAKLNLSSVTAINSHVCNAIHQITTALKGTGVSRSGSAPAVSD >Et_4B_037180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1596624:1598515:-1 gene:Et_4B_037180 transcript:Et_4B_037180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSYLPVPTPCLVLDPDSITEEGRTILLDSKGECHTRNIEALGSNLRFSLTWQQGWILASDPINLRTFLSNPVTLDKIELPHFSHQLPRKFECALSHKPANDKCVVVVLHPDETTLWYCHIGGDKWAKYDYNVGTRQMDPKGKVWEKIVIFDLAPCKGKFFTFIEPEKLGVLEFNPLPTTRVMVLHGIPRNVPPNPGGFMSNFCSFEMDGDLYVFFAYYYWDSSITTSIALYKLDIEKGKQQWREIDEIGDDRALLWSGLHAGSCSATKFGLEPNCVYWINRSDRLMHISNILEKTERRIGPYTAAGGAWCCGTSVCIRSVAPDPVFDCIARHGVTNMIANAPASDQRKPLPRRRVCTASRRAARRRLRRFLSFRAIVDGGTAQLLRLGGSTSQKDSMIGQSAIAASASKLETGAAVGVRD >Et_1B_012285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30503532:30505894:1 gene:Et_1B_012285 transcript:Et_1B_012285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPAPRATHLVLLFLVSVPLFATDASAAAAGTDSSFVLAAAQTHRKDPLDGLRFYTGGWNISDEHYWASVGFTAAPVFAAAGVWFVVFGIALSLAACCFCCCPGRAGSYSRAFLAVSLVLLLAVTAAAAVGCAVLYDGQGRFHGSTTATLDYVVRQSGDTVANLRTFTGFLQTAKAAGVGPFTLPDKVKEGIDEVVGKVGAASDELAARTASNAAKIRSALETVRKVLIVVAAALLILAFLGLGELLLDFPQKYLLEMLVFIGWILVAGTFVLCGTFLLLHNVVGDTCVAMREWVQHPQAHTALDDILPCVDTAAATEALDRSKEVNYNLVNVLNAALANVSNANPPPQAPPPLNYNQSGPPVPLLCNPYTADLRDRACENGEVTADDAPRAWQAYVCQTTGAAQQPDPGHQVCATAGRVTPSMYAQLAGAANVSYGLAHYGPALAALADCTFVREAFRAVGDDHCPGLRRYSGQVFRGMLGAAVAVMLAVLMWVVHAREQRRRSEAKELRPAPPYMFHHVEERALLR >Et_1B_011602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2390864:2392914:-1 gene:Et_1B_011602 transcript:Et_1B_011602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAENKRPRISSGVEKRRSRKEILERKKAVEELIRTAVAVKDQLAHFPAFRKYQRNDFTVYLESGRGDQLTSPMRKYILNLLKVNMEKPYGSEWPSEEKVKRREMVAPEARYIFVKQYSNGLITENSMKENAGVQHIHAACSEDRLCGFVHYRFVVEEDLPVVYVYELQMEPSAQGKGLGKFLMQLIEQIACKNQMGAVMLTVQKANSLAIAFYTKMRYVISSTSPSRVDPQIGLEKSYEILCKPFDSEAKSKLEVCSIFFQYRG >Et_3A_026137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4713290:4713686:1 gene:Et_3A_026137 transcript:Et_3A_026137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILAVLVLCSVDVDCSKVLAADAGGQPDGGREGRAPPAPIPGTLHHRWCPKPGCRRPGNPPPPHRKMQPGWKEGLLMTEQEQFDDDPLSHVCTAC >Et_4B_039000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6881611:6883419:-1 gene:Et_4B_039000 transcript:Et_4B_039000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSPLTTLHSPFRSSTPSSACTASLPRRRRLGARYTRIRAIDLDQNTIVAISVGVVSIAVGIGVPVFYETQIDNAAKRDNTQPCFPCSGSGAQVCRFCSGKGNVTVVIGAGETEVSQCVNCDGIGSLTCTTCQGTGIQPRYLDRREFKDDD >Et_1B_009729.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:21475065:21476162:1 gene:Et_1B_009729 transcript:Et_1B_009729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLVTTADPEKEPPLTTVNTVLSVLAADYPAERLTCYVSDDAGVMLMGERCSRRPPGFARLWVPFCRKHGVEPRNPEAYFFSSVGDKARVDTRGRRGRSWRVTGGESGESTRWKQVLAARHAGVVQVLIVPPSSHEQQQFGVVGLLDLSSVDARVPALVYVCREKRRGRAHHGKAGAMNALLRASAVLSNSPLVLNLDCDHYVNNSRALRAGAGVMLDDEGVALVQFPHAPPRVALGLPRHVPRRVPRHGAHQPDGPPAPGPPLGRGIPGDLLLAQQRARGASRCASGGGTSSSGWSPLPARTSPRWRRWRSSSLRGNKYRSSSRRSSRRQSPASVGKTAQGTRPSCTPCGGRCSWSRRRWCWR >Et_10A_001332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23428720:23434863:-1 gene:Et_10A_001332 transcript:Et_10A_001332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEFDHTIECPKDLPWQLLEDITNGFSEERIIGTGGYGVVYKGVGKSGHEIAVKKFHHMPGIDEKQFQNEFQHLKDLCHENVVRLIGKSYEEQDRLVKYQGELVCAKQIDRAICLEYLQNGSLEKHLFDEFSGLPWHGRYKIIKGISEGLKYLHEHKIYHLDLKPSNVLLDKNMLPKITDFVDTCHQNIERRKISAKFDVFSLGVVIMEIMTGPHGYSRHSEMSCHDSIKLVHGNWRERLQGELEDKSLLDLFCKQVTTCIEIAANCVDIDRHKRPSIEDVVHMLNDQLLGILPLQLCFPSEQDRQQMLCPMQLTNKTDLYVVFNIRPKRAECFTGLLHGTVPPRSACTYVLTIREKNQQSSDVIDGYLIECFGLNKQPHNVLCGLEFSNIAPHEVKELPMTTVTDQARPTFEITLLEEGPIIDVHPVEPGILTNDDEAGVETIEYSRKIVVVKVDLHDNKDKQKAMKAVSTLQGIDELSIDMRSQKMTVIGLMDPVQILTVLCRTCASMMFSVSSVVVEKKVNEGNVYTGQRGGIKIPEE >Et_3A_027163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3693515:3700121:-1 gene:Et_3A_027163 transcript:Et_3A_027163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSQAPRSGARILEPRLRGSAAKCSRLRTLVKSRRQLNPRTKEVKNGPTASTGMVRRGGGAATVKALLSADATNFWHLLSWCSAAFLTDQELQWQEVSGGRRAEQSPSSTSALLVRLFSPECEPYGLLYLFIAHSDALLTRNTMLRYQLFVTFSAEAICGFATDLQDIANISHDQITALPR >Et_3A_023933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14975107:14981217:1 gene:Et_3A_023933 transcript:Et_3A_023933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSPCRSAPTQSAQLFTMQTAIFASRILSVTEDATCDEIRAAYKKHGKSCANNIEIIASEIELGDMIIENTAAVVAMQTFIGLDFISTSLSILLASHREGLEVVWRTRWTAGTWTGNYMRAGGLLVCKLHLKLKEIEKTIKPNSKMDIEHMSGIVSKSKHSLMIDSAHQRRTREGNIPATERSTGHHSSRYHAVEPRRGSQKAPAAAGQAS >Et_3A_027308.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7313071:7313319:1 gene:Et_3A_027308 transcript:Et_3A_027308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVQAMVVVLGLLLLAGLPQEADAQGFSIPMSLDSSSGSPSLGSISCKAMGNCEKKDANRAPDQAHKYTRGCSPIHQCRGE >Et_2B_020113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1774136:1775273:1 gene:Et_2B_020113 transcript:Et_2B_020113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAARSSSWSDLQPELLELVLRRLPSLIDRVRLRATCRTWHSNARMQPLPPPLLWLTLHNGSFLNIPGGEIIRMRTRHDYCCYGSIDNWLFLMRSDGRCSLLNPFSKAELHVPKTATTYSTYYKLVVPLPLDSSPDSFIAVLIFDDSSSTVCVCQPPVYIDLPKESNLELVHDIFDISFFEGKLYGVAFGYKLIIFEITKFGGKPKISSVECIINSKDDLDLPQRLPRDNLCRRWEYLVECCGRLLITPRTRPTGRDLFKHDRTAAFEVFEADLSTKPGHWRSVDKLGGQALFVGKHCSKSLPAGESSGIQEDCIYFMWNSRGLLQDYPSASFAADPLRDLQPVLLLILFVTLVCTT >Et_2A_017736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5649520:5651143:-1 gene:Et_2A_017736 transcript:Et_2A_017736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSQRAIVSAQSHQWDVKNSGFQKRTGNARLVSVGSRCSTSRKSRLVCASGVPGSVVQPIQLPSNGDGGHTPKKSSESALILIRHGESLWNEKNLFTGCVDVPLTPKGVEEAIEAGKRICNIPIDVIYTSSLIRAQMTAMLAMMQHRRKKIPIIMHNESEQAHRWSQIYSEETLKQSIPVITAWQLNERMYGELQGLNKQETADRFGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFKEQIIPQLVAGKHVMVAAHGNSLRSIIMHLDKLTSQEIKLSTQFAYLELIGKIIC >Et_4B_038360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28423038:28423383:-1 gene:Et_4B_038360 transcript:Et_4B_038360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGPLAQSGFHSHGSISPYGKKAQTIVAQKHSAAAQAPAFAEDGCGARSPYKTSPRCVVAPYLPGRGRRAIMKARGLGQWPALHLAGALAYHLLKWESGRHNLW >Et_1A_008575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8956416:8957464:-1 gene:Et_1A_008575 transcript:Et_1A_008575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHKAKETQNSLSLLRPSETTVGTGDGSELQDALLEWTGQRTVPNVFVKGKYIGGCECDDTHGRAQRWKVGAAAEEGRSNRHCHCHLVFLKLETMALMAQRN >Et_9A_061334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10051597:10056438:-1 gene:Et_9A_061334 transcript:Et_9A_061334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQQAAAGGRKRGRRGGVRNRRKNSSSSQQAQPPSPAAAPPPPSSPPAKRQRKAVAAQGAAKSKGGNTTSLLDKVVYLWSPGQDAFGYFKDDPNLFDVYHAGYQEQMSHWPEQPVNVIISWLKSHNPSWAVADFGCGNAAVAKNVKNKVFSIDLVSDDPSTPLEPSSIDVAIFCLSLMGINYPSYLGTQAKVCLIVSCYTSTANDMRLLLQRLWLCSCSGWLVIAEVRSRLDPNTGGADPEKFCKAIIQLGFSLVSKDAKNKMFILFYFRKKEKGNAVKNIDWPHLKPCLYKRR >Et_5B_045564.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:4486857:4487144:1 gene:Et_5B_045564 transcript:Et_5B_045564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLIGRLHAGDELRYRFVRRAGSTAESARRKLVPLPRRDFSWVPYLDSGDKEHWNAMHTSLTQWFAPQLALLQSPHADGLPAPTRHNDDAASRL >Et_1B_013726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14195758:14196013:1 gene:Et_1B_013726 transcript:Et_1B_013726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLVQSCGGAVEPKERQEYGKMDVEVTARSSAMYGGEAPDEGLEVVAHSVQGTVATIENGETRFYRLQPP >Et_3A_024505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21426514:21433093:1 gene:Et_3A_024505 transcript:Et_3A_024505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRNRPKTVAADGSAQQVLPDDLVLEIVARCPTVADVIRCACTSKPLRRGILNAPFLRRLRYFLLRDGRDGTFIPSLLVGLYRKTDDPHTPVAFVPAADGAWRPSSVAALPPAAPGRDEAGACQYGSYLPVASGRSLLVLRRKCRVAEREHLVERHGLYPVELSVCNPTTGERWVLPPHDVFDTSHAVLDADPLAPSSYKLLVAELTDNDPRKLYVQIFYSEEGEWGPVMACRLRRRCELPDKCRRGPVVLGDTVHWLCSTEERGPRILTWRCSRSGGSVERPHDTSLVPLPQEWSVPSTVDEMCLAASPPTGGGAAGSQALLSLIVRSRDNIDMWVHEKTSARPPWRLLHRINSTDIPRPVGSSFRWLNGVERSLFRNWKSSRVFPRANGGTGDGPLLIDLDSMLEGDFCPYETAASDGSAPQELPDDLVLEIVARCPTVADVTRCAATSKPLRRGILNAPFLRRLRHFLLRDGRDGTFIPSLLVGLYCKTNGPHRPVAFFPAADAAWRPSSVAALPPAGDDTACHYGSYLPVASGRSRLVLRRKCRVTEQEHLVEHHGLYPVELSVCNPTTGELWVLPPHGGALAFNPGITHFSESGRRGPVVVGDSVHWLCSTEVDPRILTWRWRGGVGVGEEQPRVTSLVKLPKSCRSASVDEMCLAASPPTDGAQGSQAFLSLIVLVGSGIDIWVRKKGGAVSTWRLLHCIQNTNIPQTMTEFFSVRWLNGVERSLFRNGKIGKIFPRANDGTCDGPLLIDLEWVLEQKCCPYEVDLFSYMLFGNRAFHKDASTTRGGTSKFAGGISLPPSPFFPLGPNAFSRIFSSFSMAFFLFSSLEVLASAGPATRNAANTAHDMNDRVSLRLVWFLSLLPWTLADCINQNRQK >Et_7B_054310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19805645:19810449:-1 gene:Et_7B_054310 transcript:Et_7B_054310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDASSSLPSPAQPPMFRNRYWILRHGRSVPNERGLIVSSLENGTKPEFGLAPQGIEQARAAGELLRKELEEIGVPADSVKIRYSPFSRTTETARAVAGVLGIPFEAPSCKAVEGLRERYFGPSYELLSHEKYADIWAVDEENPCFAPEGGESVADVASRLSQVLSSTDTEFDSSSIVFVSHGDPLQIIQAVLSGAIENSSFLEAVAYRKIGDTMASSILSQHRKFALFTGELRRVV >Et_1A_008552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8708408:8714570:-1 gene:Et_1A_008552 transcript:Et_1A_008552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAELKRDYEIGEELGRGRFGVVRRCTSRATGEAFAVKSVDRSQMGDDLDRELAEVEAKLAQLAGKGNPGVVQVHAVYEDETWTHTVMDLCSGPDLLDWLRLRRGAPVPEPVAAAIVAQLAQALALCHRRGVAHRDVKPDNVLVDAAAAAEEVDEDEAAAPRARLADFGSAAWIGAGGLGTVEGLVGTPHYVAPEVVAGHEYGAKADVWSAGVVMYVLLSGGALPFDGETAAEVLAAVLRGSVRFPPRLFSGVSPAAKDLMRRMMCRDVSRRFSAEQVLDLKRDYEIGEELGRGRFGVVRHCTSRATGEAFAVKSVDRSQLGDDLDRELAEVEGKLAQLAGKGNPGVVQVHAVYEDETWTHTVMDLCSGTDLLDWLRLRRGAAVPEPLAAAVIAQLAQALALCHRRGVAHRDVKPDNVLVDSVASAEDDEDEAAPRARLADFGSAAWIGAGGLGKVEGLVGTPHYVAPEVVAGEEYGAKADVWSAGVVMYMLLSGGALPFNGETAAEVLAAVLRGSVRFPPRLFSGVSPAAKDLMRRMMSRDTWRRFSAEQVLAHPWIVSGGGARALEQPT >Et_5A_040531.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:612017:612139:1 gene:Et_5A_040531 transcript:Et_5A_040531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNERWQQGRFYISGGEFGMKGTEEYFKVKNNPLQKLLTTS >Et_10A_001240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22430870:22432352:-1 gene:Et_10A_001240 transcript:Et_10A_001240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSGAAVLLLVIVPLCMYTCALFVGIQLGRALERRPDSVSISISIRGVFDYFSKPRGVISVGSWGGSGGQPFYMHGRSPPQLRSFILYHSAGAIHSLACEYSRAGDDGSVSRMAGPWGLSHSFGSRAVRAVINLSAGEHVTAVEGTMGHFGTVPGVVITSLTFRSNIGRTYGPFGDNEGADTRFSVPVAAGGCIAGFWGRSGWLLDAVGVYISPCPSSPSSRTRQQQGWSK >Et_4B_040046.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:8231868:8232089:-1 gene:Et_4B_040046 transcript:Et_4B_040046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGDRSKAATSERDILEDARLVKQVRELRRLVPASHEPCGLGELFRDAAAYIEDLQVQVKVMRMLLEKLSDE >Et_9A_063190.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:14758607:14759155:-1 gene:Et_9A_063190 transcript:Et_9A_063190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALAPRAAHVLAAERASLKGPRGAVAAAPVACGKMKFAHHVVAAKPSAPIVPAPPPRHNLAAKRLPAEASSSEEAAKRRKTSSSPSKPAATQAAQQQVVTPATAQRPMASLVDKAMEVMRRRWRDEIAIAREKFRRELLEVEKAAIPDETIYPDDMAELGLTELQYAVTPTRKQARRAAR >Et_9B_064477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15508625:15519845:1 gene:Et_9B_064477 transcript:Et_9B_064477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEITNDEWMSKRVCFHLGCRIVTATALVLLFFGIFAGTGILALVSTALGLTSFIIMRGEPSVEAAASGTNGTSQQQKQPPVVIMVGQPQMRRGEIVLSVAVGLLGVASAVLGFIAEATKLTPDDIDASGSHCVHPANPAFGLALCALLLLVVAQIIVSAAGGCCGCCTPRTGASETKRVVGIVVAVLSWIAALSAGESYLQGVVLNAPLSHNVFADGCYYLKGGVFTRAAVLSLVAAALGIVSYILLTRTPAAAPTTAATGTEPKQGGPVHSMKTREIVVSVSVGLLGVASAVLGFIAEARKLTPDDIEVSRTRCVYPKNPAFELALCALVLLVVARIIVLAAGGCCGCCRPRTTGASETKRVVGIVAAVLSWIAALSAGAFYLQGAAWNAPLTRNAFSDGCYILKGGVFTRAAVLSLVAAALGILSYILLTRAPAQAWPAAAPRTAATGTEPKPGGPMETGAIVVSVVVGLFGVASAILGFVAEAKRLTPHDIDLSKSECVYPANPAFALAYGALLLLVVAQIIASAAGGCCGCCRPKAAGASATNRAVGIVASVLSWVAAVIAGASYQQGAAWNAATTRHPVQGRCYLLKGGVLTRGAVLSVVAAALGVTSYIMLTRAPAPAATPTTAAAGTQPKANNDGLNPPAVGLPHESHSLLRYTMRTGEIILSVAVALLGVASAVLGFIAEATKLTIRGIFVHISSLSTYLSIDYEQTQSGDGLMLLPDDINVSRGGCVYPATPAFPLALCAVLLLLIAQLISSFAGGCCGCCRRHTGASRSRRLIGILASVFSWIAALIAASFFLQGAAWNAPATRDAANGCYFLKSGVFTRAAVLSLVAAALGIVSYLMLTRPSPATSAMAAGAGPKPGGPYPPSSVGMPQWPAQGQQGYGQAAQPYPPPAQQGYGQAPHQQPFGQAQPYPPPAQGYGQAPNPQFAPPGQGHAHV >Et_2B_020137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17162982:17166303:-1 gene:Et_2B_020137 transcript:Et_2B_020137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGEATPPPDPPPSRVSISSPSPYSRRRCALASRFCEPAAPRRQAWVSLQGRLVGAEEATSASAAAPGLPPDEAVSWEMFSPIHRVLLVATVAAASSRSHAARRIEQLQRSIHLRDEVLQSMQQKLDDLFDEMNSLQQQYVKCDSYISTQEEKIVFVDGKRPGEEEESKCCACVKPDLAVTPQKAKDLFATDDAKSDVVDRSSSCLMDHEERRMSDLSDFCWSVVSSVDNQINGDNQLSSLAADQELYNLQKECEEKDATIKELTAAAHASRTADAKRITELQEILKRKNMVISKLKKDMAALKQMVVELSRAKRASSVNLNPICSDLPVMSNNVLYDMSSTSSSSSSDSESPVTPRENLYEPVLVDGTSGDSQSKGICRVPAAKSSLSSKESSVCKPRSTSPLKEIRINPKVETNSVGRQKQHTSSSGDFKRTRRQSQHDSRNKAIRRWSHLRVALLGLFFSNRSS >Et_3B_027689.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:12697947:12698150:-1 gene:Et_3B_027689 transcript:Et_3B_027689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ACRSGLKLLAEEEGVNVVLETDSKALVDLWKAGDYDRSEIATILADIQELCVNFSSFSIEFVKRDGN >Et_3B_028855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19932826:19939786:1 gene:Et_3B_028855 transcript:Et_3B_028855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSDDDSEISDSEIDEYEEKIYASLMSGDLKVKKNGESYSCPFCSSKKKNNYNKNSLLQHASGVGAAPNRQAKEKATHRALAKHLKQDLAKSPEPQPQIMLEPQPLQNRDEKFVWPWMGVLVNVPTEWKDGRQVGESGNRLKEQLSRFCPLKVIPLWNFRGHTGNAIVEFGKDWNGFRNARSFESHFAAGGYGKKDSMERKNQGPELYGWVARAEDYNSPGLIGDYLRKNGDLKSVNDLANEGARKTNKLVANLANQIETTASLEKMMGQREKLLQSYNEEIRKMQQLARTHSQKIVDENQKLRSELETKMNELDVRSKQLDELEAKSDCDRRNLEQEKQKNAIKSNHLKLATLEQQKADDNVLKLVEEQKREKQAVLNKILKLEQQLDAKQKLELEIQQLKGKLKVMEHMPGDEDSESKNKINELSEELQDKIDELDAMESLNQTLVVKESKSNIELQEARKELENGLLYLSGGQSHIGIKRMGELDMKAFSKACRKKCSEEDAEITAAFLCSKWEAEIKNPDWHPFRVVIADGKEMALREANEYKSGGNFSGGELWNFKEDRKVTLKEAVQFVLKQWEAKSYAGQISGDLQVTNARSYNDPYCGSTEKKEYCNANAFPQHASGVAAAATNVPAENAGDCVVKRLKNNLGKSSETQSQLVAMNPGFLQNRDEFERATEWKNGRKVGASASELKEQLSRFCPIKVIALWNRRGHTGTAIVVFESNWNGFENSRAFEKFFAENGHGKRDWKVKKYQSSELFGWVTREEDYKSQGPIGHHLRKNGELRTVDDHENIGARRAGMLVADLAGQAEVKDRHLHEVECEFMEITTSLDKMEEEKEQLILSYNEGLLHSYASICFTRETAVSEWNVNEHDASSKQLVVSGARSDYDRSIAQERRMNTVTSNHLKLVELEDETDENVLKLLEEQVREKKAILNKIEKLTEQLHEKQMLEFEVQKMTAGLEVMELMPHSEESESKNKIVELRKELLVKEDKLEAVRSRTNVLIAKDNESKAMLVQAREELLKGFELLSGGRGNIRIKRMGELDLKPFLNACRQRSSTEDAEVSAVELCSEWQAEVKNSGWHPFKKTVDGEEPAIEDDEKLRELRDEHGQEVYAAVTQALLEINKYNASGGYTKKELWNFKEERRATLKEAVRFVVNQWQTSKRKR >Et_2A_015861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18423902:18431660:-1 gene:Et_2A_015861 transcript:Et_2A_015861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMISAKRIAQMAKKWQRMAALGRKRLSWAVPKEGDECCTSVADKGHRAVYTADGKRFEVPLVYLSSPIFAELLRMSHEEFGFMSDGRIMLPCDAAVMDYAMCLLRRSASVEVEKAFLNTMSISFHYARSRNSYWLAKSNGLPQASSIVTRPNHGAQERLLNLFRGLPPDQTQDVLHHHSITWKRDLAVVACVSELLLPHCQELAKNGCAEFPYEEEETSRQLTMAMISAKRIAQMAKKWQKVAALGRKRLSWGVPKEADEFCASVADKGHCTVYTADGRRFEVPLEYLGTPVFAEFLRMSQEEFGFISDGRITLPCDAAVMDYAMCLLRRSASVEVEKAFMNTMAASLHYASCVAPSVGVSQQVAVYSC >Et_7B_054201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1768367:1772018:-1 gene:Et_7B_054201 transcript:Et_7B_054201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASASSAGDEKPQPQESAPVEQCKGVNGLDKVVLREVRGCSTEVYLYGGHVTSWKDEHGEELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGNLEKHGFARNRTWTIDTDPPPFPVPTSNRAYVDLILKPTEEDLKIWPHSFEYRLRVALGPGGDLMLTSRIRNTNADGKPFSFTFAYHTYFSISDISEVRVEGLETLDYLDNLQERARFTEQGDAIVFESELDRIYLGTPSKIAIIDHEKKRTFVVRKGGLPDAVVWNPWDKKAKVMSDFGDDEYKRMVCVEAAAIEKQITLKPGEEWTGKLELSAVPSSYYSGQLDPDRVIQDSSVPEDSIS >Et_6A_047123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25583468:25593188:-1 gene:Et_6A_047123 transcript:Et_6A_047123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGELANLIGGGKGAELSYFSFSQIVAATDNLSERNLVGDGGFGYVYKGKLPNGLDIAVKRHSTSSFQGPEEFRTEIEAIPNLRHKNIIALLGCCMQGGEKILVYEYMPNKSLASIISDGTKRELLKWSPDYVATGKISEKADVYSFGVVLLEIITGKLVLSDKMKADGTYRGLLLPDYARKYRKKAQKIVDPLLGAKGHEKAQIMECIKVALLCTHRLPEHRPAMSQVVTMLHGIQIMRSFIPSTKGTNSGKMALVGQVATVAQLVGVDAYGLITMIAEAARTVRRNRATCRQLARRVEMIGDLLRQLHAAQAPLTQRPETRRPVEELEETLRRAYLLVRSCQRRGYAYRCFMGTRHADELREVQGEIGFYLQLFPLVSYVDATLAWAKLFSKVNDPSCQEAPMISKIQVLYGKYRCKSVMHACKI >Et_5B_043671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13978857:13980552:1 gene:Et_5B_043671 transcript:Et_5B_043671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRNKIRDDDAAEAPKGAATETGVEEERDMASEKSAGDAAAAAEDDVVIRASVHCDGCARKLRRSLQLLDGAGEVSVDSRSNKVIMRGPRAVDNAREAVQIVERKTGKKATLLNPPLDKLPLPAVKGARAEKDDADGDLANEIADVDTEMVVVLRMNMHCDACSEEIKRRILKIEGVKEAVPLLKSSQMMVKGMVEPATLVGFIRNSTGRRAAIIRAEPLDLLPTTKSPPMDAPVMEAETKQQDPTDNLGEKKDGHDKEAPPQEEDHAGEKHGMEKPSHDHGAEGHDTHDGDAPNNSGDGVVLENNKKDDRLFTVPLPPGVVTVAPEMALNKLNPYYSYQPYPYAHLHQYYQCPPPYYPYAYNPPATYGYPHYPSEAFSEENPNACTIV >Et_3B_028278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12830528:12834224:1 gene:Et_3B_028278 transcript:Et_3B_028278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEMDDEVQLEKVSVIPILKAELVRHLASLIFERDDRAEFQKFCRRVEYTIRAWYFLQFEELMKNLNKRKMSPDKIETLELNFLTQLFQMMEKSNFKLLTKEEYKVARSGQYVLKIPIKVDESKVDQDLLTRYFREHPQQNLPDFANKYIIFRRGIGEDQITEYFFTEKVNVIISRTWRLLLRVTRIDRLFTKKQAMSKKDTKKTDELYEDVEDQNIIVKRIRLEKMELSITDLLRKTTIKEPTFERMIVVYRRARTKIKPDRGIFVKHFTHIPMADMDLVLQEKKNPSLPPTDWAKFLVSAIIGVVTLISSLLIPKADIWVVVAIVSGVTVYCAKIYFTFRANMICYDNLIAKAMSDHQLDSGTGTLLHLLCNDVIQQQVKEVILSYYILMEQGMATIQLMKEEFDEDCNFDCADAVKTLEKLCIVTRDQIGRILCVPLKRANEIIGTTTEELAVVARQARVGP >Et_10B_002399.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17722558:17723714:1 gene:Et_10B_002399 transcript:Et_10B_002399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSARRGGSRQFPVGRWRHVAVVDAGCGCRPRRATSRLLSQLPSFLRPSPKPPGPARSSSRSSSGFFPSSASTASSSAATFTTTHSSSSYSTPPPPSCRTKLTTTEAARSTKRQQQQPGARRKKRHSSYENKVAASASAAEDSDDVGVAVEKESSDPRADFRESMVQMVVEMGMCGWDDLRCMLRRLLALNAPRHHAAILAAFAEVCAQLTAPPPPPPQPAYQYQYHAYDDYHYHY >Et_3A_025057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26475745:26477916:-1 gene:Et_3A_025057 transcript:Et_3A_025057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLDRDDSLDTVLEVPIPDEMLINAPGADKRRGAGGANMRAWLKTQAFDRATVDGPGPVNAELQLFLNVVGPPLIPCPVPHDRAFSSSIRDSSIQASTAKYIIQQYIAATGGQAALQGVQSMYAVGKVRMCASEFHLGDQTVTAAQGRAEVGGFVLWQKSPEVWFFELIMAGHKMTAGSDGKVAWRQSAAEQSHASRGPPRPLRRSLQGLDPRSIANLFSDAVCIGEKIINGEECFILKLEAGAATLRARSAAAFDIIHHTVWGYFSQRTGLLIQLEDSHLLRMKSGKGARRSENIFWETSMESVISDYRCIDGINIAHGGHTAVTLFRYGEGSVNHKRKLEETWTVEEADFNVHGLTTDYFLPPADLKKDVDDHRAGGPPGHNKEHGQIMYRLKFLNFSLMVLREAASPELHKPSSL >Et_4B_036529.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28395813:28396040:1 gene:Et_4B_036529 transcript:Et_4B_036529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEEGWQTPRREDCRIPVVPPCPAAPPRKKAVAMPELGGGKRRGPPKGGYFKPPDLESLFVLVPPRRHAASSCA >Et_1A_005342.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6149956:6150144:1 gene:Et_1A_005342 transcript:Et_1A_005342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAGVTEGRRGVPLIRRRSTSHILCCGNNVETIPFGLPPDHGTRLLLSKSTWNGFIKCHECI >Et_3A_024415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20549411:20553310:-1 gene:Et_3A_024415 transcript:Et_3A_024415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERQVAQLGPGTACCGWNHCGRRLAAGAVDGSVSVYDSHASPSSKWQAHEHAIVNVVWLPSEYGDAIACVCADGTLSLWEEVDTDDQLSTWRKCKVFEGSNCHILNVHFGLHMSSLKMVTAYSDGQVKVFELLDSLELDKWQLQAEFQNITDPISRFGKPACTSASISWNPRRGGCQQASFAIGFNSDSPHVSSCKIWEFEEAHQRWLPLVELGSPEDMGDRVCAVAWAPNIGRPYEIIAVATCKAIAIWYVGLNSDSDGGPSTENVAVLSGHDGEVWQLEWDMGGMTLASTGSDGVVKLWQANLDGVWHEQAVLDCTGSHN >Et_6A_046784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20551267:20552259:-1 gene:Et_6A_046784 transcript:Et_6A_046784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSALLPDLLNKAGESVSSEFSFMWGIEPRREKLHTLLLSINQVITDAEEQAYKKPVVKSWLAKLKLAACDAEDVLDELHYEALRSEALLSGHKINSGVRAFFSPHYNPLLFKYKIGKRLKEIVEHLGDLVRHMYRFGFMKDQSMPIDERMLTHSYVDEQDVIGREVERKKIVDALLGAKSDKISVFSIVGIGGLGKTTLAQLIFNDAKIKNGFQKHMWVCVSEEFNVADIAKKVIVSANGHDPGFKSDNMELLQQRLRKELRKQRKIGCSENIVRFMWSWQRISCDNSRYGSCINYGGNRDIPSGPAQSR >Et_1A_006452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22670390:22675381:1 gene:Et_1A_006452 transcript:Et_1A_006452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSFKREWGPAICMVLIQLFTTGQMLLTKVVVDAGLFVFALLTYRFCLGALLVVPLAMIFERFVPLLRESKPLFSLHTFLLAAVTMEYLVPLQKKHPSASCRCIASEMLSTMKESWPALSMVLIQVFTTGQMLLTKVVVDDGLSVSTLVTYRFFFGAILVVPLAIIFEKGKLQELKLKAFIWIFTSALVGFTIPGLYYIGLGDTSPGYAINFYNIIPIATFILAVLFRKEPLNMRSLVGNIKVIGTIVCVGGTLVISLYKGKVLHLWPTNIIGYHPRQAGAAFGHHHMRGTILLVTSCLSLAIWYTVQAQMLKVFPYKYWSTVVTCFVGSIQMAVVGVAMNREKATWQLKWNMSLLTIVYSAILNTAAKFAMISWVVTQRGPTYPSMFCAVSVLFTTVLDSLLLGHDLSVGSILGMLMILAGLYLFLWGKRKELVPENEEKPKEELQFQSDSRIKELGSNV >Et_2B_022227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14426747:14432412:-1 gene:Et_2B_022227 transcript:Et_2B_022227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGQFRGDIPVVIVRPSIITSIQKDPLPGWIEGTRTIDAFLIGYAKQNLPCFLADLDLTMDVIPGDMVVNAMMAATAAHASPRRQEQQQQHETVYHATSSLRNPAPYAVLYRTGLRYFCEHPRVGKNGQPVRTRKIHFFRTIAAFQLYMVVKYKLPLEMLHLLNLLCFGLFSQLYNDLNRKYRFVMQLVDLYGPFALFKGCFDDVNMEKLRMAMAGEDRVTFNFDPKSVDWDDYFYRIHIPGVMKHVLNSEM >Et_5B_045395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2116901:2121445:1 gene:Et_5B_045395 transcript:Et_5B_045395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSRGSGVLGLPDDPAVEILSRVPAESLRELKLVSRAWRDLITDPFHRKRLPQTLEGFFHGGGDEDNYGRFTSLFKSSLPEVDPSFSFITKLPWIERMVLIDSCNGLLLFGYAWNGSDRFGYIMCNPATKELVFVPSPSGSPPPAHLEVKRVMDGERHAYTFLMFDPAVSSHFHLVQLWQSGSMTDLDGEEVEGVHSYSSEARGWTDRSSEWKRGEKGGEWEQWGQALVKPTFGRAFVNGMLYFLVYHVQKMEALIVAVNGEGKTCRIIRWHEKHGNSDATFIGQSQGRLYCISADTRIEFDHPYFVMKRLSFWVLEDYDTQEWILKHSVSCSDVFGVSCSMFDFSVVAIHPDRNAVYIVQHWNNKKLVFREPRSSHMMHYAIKPYLLDHHDDVTDFRLELVEGVTFKANIPNNDDSLRARSDSEGTSLEALLMNNPYRPIPKINMTVPARYTSAKETHSKTAQEEHPTNSLDDFEDHLARVNSPKTARPINLECLFYEDTALITNIRALTLTLRKQRREPASEPATARRARNRKSKITCSERARTYSIVSIDIKSPTKSRSKEAHDGTTDDVRTLSTAVSMAVALALGATAPLVPPATPLYPRASDGGSATVAAPSPGEADGVERAAEATATSRDEVRRRGSSLDGRDVAGAGAALMAGATQPRSMRAIARGLVRGRGGARAGDWLSSRAAPAGGGGLDRVE >Et_9B_063928.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:2324896:2325126:-1 gene:Et_9B_063928 transcript:Et_9B_063928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGWSKSVKNPAPAAVAGEVAVQKVEKIEVRNVVTRPLVYGAASRPQGAGGGGAEGEDINRKADEFIKQRKRWFHS >Et_8B_059338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16865189:16865876:-1 gene:Et_8B_059338 transcript:Et_8B_059338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFWCDDPPGRAAASASASHLAALPRTAPLRAIASPTRAATCSLLTSASSRRPTPHTSQAYLQLVNWSANTGQHTMGTPAAIASQVEFHPFPRRGGAARAPAGTMSPRAAVSARNSGGSSRDEVPASAVPPAPVTTTPSSRMTHRNGRPVAARPHANSASWAAVSTVRLPKLAYTTEAGACASSQARHSTSCFHRLSSIVVESSLSLRLLWRRREPSGPTV >Et_10A_001366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23740323:23746489:-1 gene:Et_10A_001366 transcript:Et_10A_001366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGKGIFISRRRGAPFSSMHRVGSAGNTAGSTRPRKEKRFTYVLNDADDKKHCAGINCLAYLNGSASGTSDYIFSGSRDGTLKRWELNDGDASFSATFESHVDWVNDAIIVGQNLVSCSSDTTLKVWNCFSDGACTRTLRQHSDYVICLAAAEKNNNIVASAGLGGEVFIWDLDAALTPGAKSVDTKEDEVPNGNSGPALSTLCSVSSNIASSNGQSHAYSPITAKGHKDSVYALAMNDTGNLLVSGGTEKVVRVWDPRTGSKNMKLRGHTDNIRALLLDSTGRYCLSGSSDSMIRLWDLGQQRCIHSYAVHTDSIWALASTPSFAHVYSGGRDQSVYLTDLSTRESVLLCTNEHPILQLSLQDETIWVATTDSSVYGWPAEGRTPQKVFQKGGSFLAGNLSFSRARASLEGSAPVPVYKEPSLVIPGVPAIIQHEIMNNRRHVLTKDTSGSVKLWEITRGAVIEDFGKVSFEDKKKELFEMVSIPAWFTMDARLGCLSVHLDTPQCFSAEIYAMDLNVAGAQEDLKINLAQETLRGLLVHWSKRKQKSSSHSLSNGDSSVGKDVPLKDSPHPRSDVDDGAENHATHVLPSFEFSTVSPPSIITEGSSGGPWRKRITDLDGTEADLPWWCVDCIMHNRYPKENTKCGFYLHPAEGSPAPNITQGKLSAPRILRIHKVANYVVEKLVLDRPLDGGSDSTFATGLTSGQPLLPALDSSSRLGLKAWQKLKPSVLSPEMSLATVRTYIWKKPEDLILHYRAVQSR >Et_8A_058257.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:3686721:3688028:-1 gene:Et_8A_058257 transcript:Et_8A_058257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLDRARALRVLGRGAMGTVFLVADHSSAYLPSRYALKVLDKRSARGRDADRRARWEVSVLSRLAHPHLPSLLGCAETDDLLAWAIPYCPGGDLNELRHALPDRVFSPAAVRFYVAEVVSALAEVHAAGIVYRDLKPENVLLRADGHVTLTDFDLSRLLPLPTGNNTRHSAASPSPPLPAPAFRGGGGGHRNRRTRDSAVVGHKPPEHAGSWPSPRHHLQSLVRFLIRSSDGGSGGLAKKVKSARVTPVSKTKPASFGCSTPSWAKSYSFVGTEEYVAPEMVRGEGHGFAVDWWAVGVLVYEMAFGASPFKGANRKETFRNVLHKEVEFPGDAARRTPELVDLVSRLLDRDPATRLGHAGGADEVRSHPFFAGVAWDMLTELSRPPYIPPPADDDDDGAVGEGFDVRDHFKKLQQTPPPAGTTESSPEFLAEF >Et_8B_060678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4616684:4620703:-1 gene:Et_8B_060678 transcript:Et_8B_060678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQEYMIRFDGQFDDPSPSSASAEPPPPFAARPITPEQEHAVIVAALLHVVSGYTTPPPEIFPAAARCGVCGMERCLGCEFFAVGVVAFDGAAAEKAAPVAAAATAAAGGPQRRRRKKKNKYRGVRQRPWGKWAAEIRDPRRAVRKWLGTFDTAEEAARAYDRAAVEFRGPRAKLNFPFPEQLPGHHDDDDASAAAAAKSDTLSPSMCSGSEERGQREWTNGGVETGEQLWDDLQDLMKLDDGELWFPPRNALHALGYREQLLEFPTEYNDTIELIYNNKRKETFQNNG >Et_10B_003577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3341919:3347648:1 gene:Et_10B_003577 transcript:Et_10B_003577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCRLVHLRRPPSAPAPLPLLRQLGSRGSSARSSLRVGSLGGQQRRQRRGLAVMASGDPRVAQIASSIRVIPDFPKPGIMFQDITTLLLDPKAFRDTIDLFVERYKDKGITVVAGVEARGFIFGPPIALAIGAKFVPLRKPKKLPGAVISEEYSLEYGTDKIEMHVGAVDSNDRALVVDDLIATGGTLCAAVNLLGEILCFMLCFDMCILCPKMVSYDIFGHEIVIKRVGAKVVECACVIELAELKINAALEVLLKNVSGQYKSTCSVVQ >Et_3B_027860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23236717:23238051:-1 gene:Et_3B_027860 transcript:Et_3B_027860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKLVLPILFVLLLVSLVAKINATQPQRSLYLPVFFRDTVFPPDAGASPHDDRKQLRSPVQSGVPYHSGEYFAAVGVGSPSRRAFLVIDTGSDLTWLQCAPCRRCYGQATPLYDPRRSATYRRVPFDSPRCRELSFPVRDARTGGCLYTVVYGDGSSSRGEVATDRLAFPDGGVAHDNVTIGCGRDNEGLFGSGAAGVLGVGRGALSFPTQLAAEYGRVFAYCLGDREARAATSSSSSSYLPSAAFTPLRTNPRKPSLYYVDMAGFSVDGAPVAGFPAADLALDAATGRGGVVVDSGTAISRFAAGTYAALRDAFDARAAAAGMRNLRRNISVYDTCYDLQGIDVAAPALRVPAVVLHFAGGADMALPRKNYLVPVDRDGFVGFEPSDNERNMLGNVQQQGFQVVFDEERKRIGFAPNGCSG >Et_8B_059603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19908422:19911065:1 gene:Et_8B_059603 transcript:Et_8B_059603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPKKPSKSGEKDLAGAGSSLPSPTSDARTTTDLTMSSRIVNPNNYTATTTNSGQNYSAKNAGAGTGASNGYTASVGYEALPSFRDVPASEKPGLFLRKLAMCCVVFDFTDPTKDVKEKEIKRQMLLELVDYITSATGKFPEPVIQEVIKTVSANLFRAPSPAPRENKVLESFDMEEEEPVMDPAWPHLQIVYELFLRFIQSPETDAKLAKRYIDHGFIIRLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSMYHQQLSYCVTQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQPAEFQRCMVPLFRQIARCLSSSHFQVAERALFLWNNDHIEGLIKQNSKVILPIILPALERNTKGHWNQAVQSLSLNVRKIFMDHDPTLFEECRKKFEEDEAQEASMRSKREARWKRLEEIAVSKSTQ >Et_9A_061938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18093168:18094883:1 gene:Et_9A_061938 transcript:Et_9A_061938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIAAYLLAVLGGNTSPTADDVKNILESVGAEADEEKLEFLLTELKDKDITEVIAAGREKFASVPSGGGGVAVGAPAAAGGGGAAPAEEAKKEEKVEEKEESDDDMGFSLFD >Et_2A_016406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24374380:24380449:1 gene:Et_2A_016406 transcript:Et_2A_016406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVAPHRSSAARRRYRTPASVPTAWEARRAARAHVPGTCCALSSTHAHPVCHRPPPNQPTQGQKANWPNHRRPGWQQSFIDKRVPSGTSGSSPSPTPRLLQKIYSRKPLATSPPPPDEQPGTTSPTPPPPTPPLYKSIPPPPPPARHPPQSQMAAHHVLPCPKPLLRGAATPARHGARRPNAVAVRAALSTSTTASAAAAGAETVRSIRARQIVDSRGNPTVEVDLVAGDGRLHRSAVPSGASTGIYEALELRDGDKAVYGGKGVLRAVRNINEIIAPKLVGVDVRNQTDVDAIMLDIDGTPNKSKLGANAILGVSLSICRAGAGAKGVPLYKHIQELAGTKELVMPVPAFNVINGGSHAGNNLAMQEFMLLPVGATSFAEALRMGSEVYHVLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGKIKIGMDVAASEFLTKDGSYDLNFKNQPNNGAHVLSAQHLGDLYKEFVKDFPIVSIEDPFDQDDWSSWASLQSSVDIQIVGDDLLVTNPKRIAEGIDKKACNALLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLVVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGSVRYAGEAFRLEPLELRDPEQADGVSTDPSQSAPSRSSTSLTVAFPSPRSASAAAPSSARTLTAATDRGVVPSAAHDLSRLSATQYPPRPSSRRTLSGYPGRSAKRSVVPARGPRSQCRSRRHDAVSATTTWVPSALSATPLGKASPDASTVALPVDGSYRSSRPVASPVRMIIM >Et_4A_033520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24046743:24051905:1 gene:Et_4A_033520 transcript:Et_4A_033520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLMHLEGNVYSCKHCKTHLGLAGDIISKSFHSKHGKAYLFNKVVNVTNGVKEDRMMITGLHTVCDIFCVGCGSVVGWKYVAAHEKSQRYKEGKFILERCQALMEAVTGLRMMFILVEAMLMIGAAAAVTEENAAAAADAVAEMVEQLTIGTDNASTGGPVDLGAEGDVYSCKDCRTHLALAADIVSKHFYCKHGKAYLFDKVVNVTVGVKEDRMLITGLHTISDVFCVGCGAIVGWKYEAAHERSQKYKEGKYILER >Et_1B_013457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8616300:8621396:1 gene:Et_1B_013457 transcript:Et_1B_013457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAADAAGDLLRSQVPFQTDGDLVLPQRGGVGLVLVDVSNGFCTVGAGNLAPAAPNKQIEKNASRLAKVFCEKNWPIFAFLDTHYQDKPEPLFPSHCIIGSGEENFVPALEWLEKESNVTIKRKDCIDGYISCIEKDGSSVFADWVGKYQIETVLVLGICTDICVLDFASSTLAARNIGRVSPLKDVVIHSEGCATYDLPVEVAMNMKGALAHPQDLMHHMGLYMAKGRGAKVVDRVVLEPSQPMGSEAAAAAVDLLRSQVPFQTDGEFVLPARGGVGLVLVDLCNGFCTVGAGNLAPVAPNKQIEKMVEEAATLSKLFCERNWPILAFLDTHYPDKPEPPYPPHCIIGTGEENIVPELEWLEQNSNVSIKRKNCIDGYISCIEKDGSNVFADWVGKYQIKTVLVLGICTDICVLDFASSTLAARNIGRVPPLQDVVIYSEGCATYDLPVGVAMNMKGALAHPQDLMHHMGLYMAKGRGAKVVDKVVLEPSE >Et_4B_036174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6182926:6183627:-1 gene:Et_4B_036174 transcript:Et_4B_036174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRPNRLHHGPERRRRRREEPQLGERVVRAAIHVHRRGAALVDDVPGRQREQMEARAALVVPRAVVVLRGRHVVEHGAFNGFLHPDIPRRRAKQIQRPAVAAGAAAATGRCLVLRLLLLNLLAEDGVPAVLDGVVGAAGEVARDGGPLVGEEALVVEDPRVLLGGEGRGGVDVGVQVLAVAEAARLGVAARQRGADGLPVLRAVELHLRQQHGVLALGPRRLLH >Et_10B_004218.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19534570:19535010:-1 gene:Et_10B_004218 transcript:Et_10B_004218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESYTPAAVLRILRRLPRRSAAGHQFHALLAKLGLLHDPAFFPALLSRLPAACASSLSLLLAAPPGVLSRPSSARSSPHSRPLPYRPARWSSSTMSPPSPSPPRSPPSRPSLNPALALSSSAIAPVSVSLLQHLLPRAPSCIAVS >Et_3B_031387.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27876377:27877717:-1 gene:Et_3B_031387 transcript:Et_3B_031387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLVCLLALLLAAPLPWSAAAAPARPAAKPRVFPLRARQVPAGALPRPPSKLRFHHNVSLTVSLAVGTPPQNVTMVLDTGSELSWLLCAPGRQGSGPAPAAGAARVASFRPRASASFASVPCGSKQCSSRDLPAPPSCDAASRQCRVSLSYADGSTSDGALATDVFRVGDAQPPLRSAFGCMASAYDSSPDADVATAGLLGMNRGALSFVSQASTRRFSYCISDRDDAGVLLLGHSDLPFLPLNYTPLYQPTLPLPYFDRVAYSVQLLGIRVGGKPLPIPASVLAPDHTGAGQTMVDSGTQFTFLLGDAYSALKAEFLKQTRPVLPALNDPNFSFQEAFDTCFRVPAGRPPPAARLPAVTLLFKGADMVVSGDRLLYKVPGERRGGDAVWCLTFGNADMVPLTAYVIGHHHQMNVWVEYDLERGRVGLASVKCDVASERLGLML >Et_6A_047764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14953829:14957069:-1 gene:Et_6A_047764 transcript:Et_6A_047764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRQRDLRRRIIGDEPTICESGDREPQEPHVLAAPPRRLFYPCTADAEVATADETNNGDAGGGGNQPRIERLRRLPAPSMHFHQFMDRKGTERWPSMDDMFELLGPSSSSKGRILHTSLDGYALLHDADTCSTSIMRRLGHSKRPNIFISVAAAGVEEDSEGLYVMIGDYRSYSFEVLDLSQPHHWQPLPLPPHFGNGDKLPWIRSYTKDYYYYCFDTASREWRKVGDWVLPFHNRAEYVPELDTWIGFKWMCPSSRELHEHLCVSDLSAMDAHHAPTMQHVWEELSPLRPCTRMPLGPHVTMPG >Et_3A_025002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25994047:26002024:1 gene:Et_3A_025002 transcript:Et_3A_025002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREASESPETSPATTNTRSSPSRAAASTTLPQERKPLLLRGLRSASRARTVPLSHGSSPAPLGSSLLRRLYSSAAASTAASSTLAPGGVTDPSRIRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVERVVGMVEGAVLVVDAGEGPLAQTKFVLSKALKYGLRPILLLNKVDRPSVSEETCNEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASLTFTKSPPDNAKNMSALLDSILQHVPSPNADLEAPFQMLVSMMERDYYLGRILTGRVRSGVVRVGDKVHGIRSTDDGVQRIEDGKVVKLMKKKGTTMVTIDAAGAGDIVSMAGLSAPAIGHTVANSEVWTALPTVELDPPTISMTFGVNDSPLAGRDGTHLTGAKIGNRLMAEAETNLAINVLPGPLSESYEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTERGERLEPIEEVTVEVDEEHVGFVLETLTHRRGEVVDMGPVPGTTGRTRIFMTCPSRGLVGVKGIFSSFTRGTGFMHRAFQAYAKYRGQLGTVRKGVLISVGRGVITSHALMSLEARGILFVSPGMETYEGMIVGEHSRDSDLEVNPVRTKELTNIRAPGKDENVRLSPPRLVTPKVIRLRKKYLDSTKRKMMKNKVMD >Et_5A_040305.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:12355284:12355436:1 gene:Et_5A_040305 transcript:Et_5A_040305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGATRACRDPSARLSWDGVYLSEAANRIITVGWLHGPYCTPPIPGLRKM >Et_2A_018801.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:7951941:7953347:-1 gene:Et_2A_018801 transcript:Et_2A_018801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPHVLVVPYPARGHVQALLDLASLLAARGVRLTVVTTAATAHLLAPLLAEHPASVRPLSFPSATDAHDTSGPAPVGTDIHAFGAALRAPLGDWLMKRSRNGDGEDENKEERVTAVISDFFCGWTQPLAAAAGVPQLVFAPSGLLATAATHSLFRRAPRPPAADASGSGYAVSFPDLPGAPAFPWRQISRMYRGCVERGGEHAEAIKANFLCNLESSAFVCNTCRPIEGRYLDAQPLVDLAGKRVWAVGPVAPAPPAATTGHDDVTAWLDAFPDASVAYVSFGTMVVPPPPHAAALAAALERIGAPPFVWAASTAALPDGFVDRAAAAGNGLVLRGWAPQTAVLRHRAVGCFVTHCGWNSVLEAAAAGVPMLAWPMAADQFFNARLVVEEARVAAAASWGGFGAVPDVEHLARSLAEVVGEGGANMRARARELKERVAEAVKEGGRSRLELDGLVQELRELAGGR >Et_7A_052943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3065903:3066252:-1 gene:Et_7A_052943 transcript:Et_7A_052943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFASQIKDMFFAVVGRVTGYDHHGAGAQEASKLPSADARQTEEVVAFKHTEIRARSANIFEEKRATNVDPFVPDGSRPQVN >Et_1A_005777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13906016:13909216:-1 gene:Et_1A_005777 transcript:Et_1A_005777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQVFWPSLISSVAPSPVCSCSCIDPVALAGRSEVSLREPQLAGGRTACAAAPLRPACARGHGWTCLMADRERRTVFVTVGTTCFDALVKAVDTPEVKDALLEKGYTNLLIQMGRGTYVPSKVSGGTTLEVDHFTFSPSIADYMRAASLVISHAGSGSIFETLRLGKPLIVVVNEDLMDNHQSELAEELAERKHLFCAHPQTLRETIWAMDPETLLPYVPGDAKPVVTMINKFLGFPVAS >Et_5A_042152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6865366:6866578:-1 gene:Et_5A_042152 transcript:Et_5A_042152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSSEAVNDRSIRRTNNCTGDTQAEQQQEEEGSSVQKKERLVAGALPTALMKLASSSTRATLVPRLASADARFSSALRRALATSFCAFSIALALFSSSFLCMFRAWSIRNGDFVIELPTSYFLSQALAFSTWSPSHPLMTTSSRLNRLLTLAVSAICHAAILDSTSSFFTCPTDMPPSPPRPCPAPSPDSASSPPGAAAAGVVGEPRRGDGMGLLSGTMASGFVSSSSSSSPILPIASAAASSFLAFAAAAAATMAENSLLIVGKGSLGAVSLPTERIDVCLLPPSADPHDSRRYDDGWLGGGLIAWMSRNSGSSSSSWDSGCGRLSCSTLLSCSTPPRPSLLLLAAVCALNMWREFLLLLTLSHLERPGHHLRLRCAVVVVAVRW >Et_9A_061189.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21250144:21251142:-1 gene:Et_9A_061189 transcript:Et_9A_061189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKSRLDKAVGVGGRLRQRLAQILVRSSCATATTSATAFVSLASTNAAAAATTTVVDAASRQEPTPAGRASCAHETTTRSKLNVDDRCHQRRHHRRRSGDRALVHISIDCSARSVGAAAVQLPSPLPAPAKRPAVTNRRSRSKGNSGRHKTRASSSYYEWSSSTDDGEVAAFSSDNDGERADTARSSTLFSSRSRSFSSDSTSDFYTTATAIGAGAKSKNRPRRRAPPRAGALRRPSSDNKLETRTARSKGDGDGAVAAAAGSMAVVKRSHDPYADFRSSMAEMLAGRRIRGADALSELLVWYLSLNSPRHHPVILAAFEDVLGNATAPDH >Et_1B_012473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32346233:32351260:1 gene:Et_1B_012473 transcript:Et_1B_012473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPVSVGRAALRASPKRSIGSDPPLSLARPTVADLQRTAELEKFLVDEGLYEGKEESAKREEVLCELGQIVKEWVKQLTSKKGYAEQLVEQANAVLFTFGSYRLGVHGPEADIDTLCVGPSYVNREEDFFVTLHGILADKEEVTELQPVPDAHVPVLKFKFRGISIDLLYASLSRQVIPADFDLSQGSVLCDVDGATVRSLNGCRVADQILRLVPNVENFRTALRCLKYWAKRRGVYSNITGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCPIEDDDLGFSIWDPRKNPRDRSHVMPIITPAYPCMNSSYNVSTSTLRVIMEQFEFGNKMCQEIELNKANWAALFEPFRFFEAYRKFLVVDIVAEDDDDFRLWKGWVESRLRQLTLKIERDTKGILQCHPYPYEYADPAIKCAHCAFYMGLSRKEGMKRRGQQFDIRGTVEEFMHEIGMYTSWKTGMDLTVTHVRREQVPSYVFEQGYKKLNSPMHANHEELSDKNGIEDCTYLEDQLKRKYDSDGDGIVELCRPVKRASVSPPCEETPRTSCAAVGTVVMVDESSKLGSLTSITDIDTTQTMTVHTEKDETKFEGIRSLASSSCAEFLERAEVVTGKVLAENVHLSGDEVL >Et_6B_049751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:48136:53656:-1 gene:Et_6B_049751 transcript:Et_6B_049751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRKRGSDAGEVQDLHNKVPRAAATSQEELEQAKQQQQQEKLAEMAGRAPEIDEDLHSRQLAVYGRETMKRLFGSNVLVSGLQGLGAEIAKNLALAGVKSVTLHDDGKVELWDLSSNFFLSERDVGQNRAQACVPKLQELNNAVIISTITGDLTKEQLSNFQAVVFTDISLDKAVEFDDYCHNHQPPIAFIKSEVRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVSFSEVVGMPELNDGRPRKIKNARPFSFTLEEDTSSYGTYIRGGIVTQVKPPKILKFKPLKEAIKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRNELSRFPVAGSADDVQKLIDFAVTINESLGDSKLEEVDKKLLHHFASGSKAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVEPLEPSDLKPENSRYDAQISVFGAKLQNKLEQAKLFMVGSGALGCEFLRTLH >Et_5A_042734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3244824:3246840:1 gene:Et_5A_042734 transcript:Et_5A_042734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREAFGCRRRGQRSRRGVAFDGEFLLARAGARQRVKVSRMGGALECQEVLASVLRRRWLVARRRGRRSALRSCSVLSGAPGAEACRAGSAAVPCKKQLGLAASTFNAQQFSGSNSHWHSVSLKSRTPAPSSRLRAANRVVVAQAGRYKVAILGAAGGVGEPLSLLIKMSPLVSTLHLYDIANVKGVAADLSHCNTPAQVAGFTGKDELAASLSGADVVVIAAGVPRRKPGATLDDLFVANAGVVRELAEAVADHAPPGALVHVVTQPVDATVPVAAEAMKRKGAYDPRRLFGVTTLAVVRANALVAKKKGLPLADVDVPVVGGHAGATALPLLSKARPKAAAAFSDEEAEELTARVRNAGTEVVEAKASAAGGGEEEGSSSATLSAAYAAARFVEASLRGLAGDGDVYECAYVQSQLVAELPFFASRVKLGRGGVEEVVGSELRGLSDYEARALEALKPQLKASIDRGVAYVQQQPTTAALN >Et_3B_031624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6221827:6225152:-1 gene:Et_3B_031624 transcript:Et_3B_031624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSVVSRSGREIVKGGIDLKDSAKVADLQEAIHVRTKKYYPSRQRLTLPLQPGKGGKPVVLNSKASLSEYCEKGSSSLTVVFKDLGPQVYYSTLFFFEYLGPLIIYPMFYYLPVYKYFGYKEERVIHPVQTYAMYYWCFHYFKRIMETFFVHRFSHATSPVSNVFRNCAYYWTFGAYIAYYCNHPLYTPVGDLQMKIGFGFGILCQIANFYCHILLRNLRSPSGSGGYQIPRGFLFNIVTCANYTTEIYQWLGFNIATQTVAGFIFLVVAASIMTNWALGKHRRLLFDGKEGRPKYPRRWVILPPFL >Et_7B_054174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16585104:16588822:1 gene:Et_7B_054174 transcript:Et_7B_054174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QRQSSHPFHPIYKRTRHLAIQLVPLSGRPHSSGTVSSEFQDSSHAKSRTTAMAAAPVTVPRMKLGSQGMEVSAQGLGCMGMSAFYGPPKPEPDMVALLHHAVAAGVTFLDTSDFYGPHTNELLLGKALQDGVREKVQLATKFGIVTPGEREIRGDPAYVRAACEASLKRLGVGCIDLYYQHRIDTRVPIEATVGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPVTAVQVEWSLWSRDVEEDIVPTCRELGIGIVAYSPLGRGFLSSGAKLMASLPEYDRRKQFPRFQQDNLDKNAQIFERISAMATKKGCTPSQLALAWVHHQGSDVCPIPGTTKIENFNQNVGALSVKLATEEMKELESLVATSDVQGDRYPQMANTWKHSETPSLASWGAFLLLSPQLFQCIHVNCSSDAADCLTIGRPH >Et_1B_010198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29235688:29236563:-1 gene:Et_1B_010198 transcript:Et_1B_010198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPGDVDVVTRSGEINKPTRRPGRGGPRASARQTAGRGGAGPAVPTKQAGGREKNQEEGGASSLPSSPTRSRRGRGGGGPAGRAASRGETRAMRRARPPPVPAAGEAGPEVKYRGVRRRPSGRYAAEIRDPAKKTPIWLGTFDSAEAAAHAYDAAARSLRGASARTNFPASASAPLAAPPAAAAATSSHSSTVESWSGSAPGAAPIGAVRAAPAAEEDCRSYCGSSSSVLCEDGASGAAGDEAAAPPPRCSPLPFDLNLPDPSAAADEMDWRCDTLLHL >Et_1A_009127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30034525:30035459:1 gene:Et_1A_009127 transcript:Et_1A_009127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESKVAGASSAEETKIVETPSAVEPAEGAAEKGTAPEESKALAVVENVERAAGTDGSHERDAFLKRIETEKRMSLIKAWEENEKAKAENREPLCSPSLCFEP >Et_6B_050070.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:5363302:5364660:1 gene:Et_6B_050070 transcript:Et_6B_050070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAELCSFPTDSWGHDPVRTYATTPVLNRHATPSVLASYFKREIWRSRRRARLLSCPPRSRRLQPPSPPLASASPRRASRRVASRPMGEAAVPSGAAEAVPSAVAAAEAPKLRVAPPMPWRTRLAVLAAGYLTDATARADGTVNRRLLSFLDRGVPASAAPRNGVASRDVVIDPAVPLRARLFVPCPAADSAGDAGSPVPVIVFFHGGGFAYLSPASPSYDAACRRIARYTGAAVLSVDYRRSPEHKFPAAYDDGFAALRFLDDAKNHHNLPVPLLDASRCFLTGDSAGGNIAHHVARRYALNHSTFSTLRLAGLLAIQAFFGGEERTPAELRLDGAPIVNLARTDWMWRAFLPPGAGRGHEAADARASSPAAAAGIDSPHFPPATVVVGGYDPLQDWQQRYAETLRAKGKEVRVIEYPDAIHAFYLFPEFADAKDLMLRIKDIVAGTGSAA >Et_4A_034997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8714472:8717692:1 gene:Et_4A_034997 transcript:Et_4A_034997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQCRLYRRYDRFDGNRPTGETDTVLRNDVSDVRLPMALPVVRSQAASAHCKLHILVILVLRLPVNTTLVHRTMEPQSGGDLVLEKRGLFSIGIEQLRNTLRVVEPAAVVAVVSALEHLGL >Et_3B_029519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25621171:25640471:-1 gene:Et_3B_029519 transcript:Et_3B_029519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSDGGGGKIKIGVCVMEKKVSGWDVAIISGAKLLVVFIGIACNAGMVLRREQVSCSPMEQILERLRAFREFEIIIFGDKVILEDPIESWPLCDCLIAFYSAGYPLEKAEKYAALRRPFLVNELEPQYLLHDRSKVYEHLKLLGVPVPTYAVVRRDYPNQELNYFVEQDDFIEIHGKRFCKPFVEKPIDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFYPEVRKVRRDGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVRYPVLLTPTEKQIARSVCQAFRQAVCGFDLLRCDLGEARSYVCDVNGWSFVKSSYKYYDDAACILRKMFLDEKAPHISSTIPTSLPWKVNKPAQPSNPVRGRERATVGVSRHSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLKLMLKYNGGKAHAEAKLKSALQLQDLLDATRILVPRARSGRESDSDAEVEHAEKLRQVRAVLEEGGHFSGIYRKVQLKPSNWVRIPKTNGDGEEQHPVEALMVLKYGGVLTHAGRKQAEELGRYFRNHMYPIEGPGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGELTPILVSLVSKDSSMLDGLQDGTNEINEAKARLHDIIASSNNANFEKHVEFPWMADAGEVPTNAAQLLTELGELTKQITAQVKMLSDDEDKKAAINGDSPNRQYDIAKALGKAEIDLERISAGLPCGSESFLLMFARWKKLERDLYNERKKRFDTTQIPDIYDSCKYDLLHNSHLNLTGLSDLFKVSQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLHNTRREVTSAAAESNAYNDPVAISSAKWKERCYYEEVRNECFERFSSNKKSVDLDDSHKETKYCLDPKYANVIEPERRVRTRLYFTSESHIHSLMNVLRYCNLDESLNGEEGLVCESALNQLFKTRELDYMSYIVLRMFENTEVPMDHPKRFRIEMTYSRGADISSLENGGKASLLPDEHTMPIMEPERLQEVGSYITLDKFDKMTRPFAMPAEDFPPAAPSLSLSVRFCKDTELQGGRMHRKSEVLTGITKRKRKRLQES >Et_4A_034230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31015666:31022335:1 gene:Et_4A_034230 transcript:Et_4A_034230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLALAAACALLVAAAPAAGEEAKSANKFRQREASDDMLGYPHLDEDALLNTKCPKHVELRWQTEVSSSIYATPLIADINSDGKLEVVVPSFVHYLEVLEGSDGDKVPGWPAFHQSNVHSSPLLYDIDKDGVREIVLATYNGVVNFFRISGYMMTDKLEVPRRKVRKNWYVGLNPDPVDRSHPDVHDSSIGKGAALKESSVNQGKSGIESSNGTTESRTMQGAEPLKNISDHSSEAKPDSTQEVSNNINNTESGSISGVTAATENTSHTQRRLLQTADKSDGQTESSKTHETDPEAIGAANVENNEALEDDADASFDLFRDVEDLPDEYNYDYDDYVDDTMWGDEEWKEQVHEKAEDYVSIDAHILSTPVIADIDKDGVQEMVIAVSYFFDREYYDNSEHAKELEGIDLEKYIASSIVVFNLDTRQVKWTAELDLSTDNGTFRAHAYSSPTVVDLDGDGNMDILVGTAYGLVYAIDHHGKVRNKFPLEMAEVHAPVIAADINDDGKIEIVTGDAHGNRPTVGDVNGDGHTDVVVPTVSGNIYVLSGKDGSKIQPFPYRAHGRIMSPVLLLDMSKHGENAKGLTLATTSFDGYLYLIEGSSGCADVVDIGETSYTMVLADNVDGGDDLDLIVTTMNGNVFCFSTPSPHHPLKEWRSSNQGMNNAAYRYNREGIYVKHGSRSFRDEEGKHFWVEFEIVDKYRVPYGNQAPYNVTVTLLVPGNYQGDRRIVVSGMYHQPGKQRIMLPTVSVRTTGTVLVEMVDKNGLYFSDEFSLTFHMHYYKLLKWLVILPMLGMFGVLVILRPQEGAPLPSFSRNID >Et_3A_023711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11158045:11160384:-1 gene:Et_3A_023711 transcript:Et_3A_023711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRCLSVLALLVAAALQLPGDAIAGRGANHHGPAPHDYREALAKSILFFEGQRSGKLPPSQRVTWRRDSGLSDGASAKARYIQCLPLFLFSTTCLLVMLHCTVLDVSFLVDLVGGYHDAGDNVKFGFPMAFSMTMLAWSVVEFGGLMKGELQHAREAVRWGADYLLKATAHPDTIYVQASKHASSSSCLHCSKVFFFIRCPAPYGTVGDATKDHACWERPEDMDTPRTVYKVDASTPGSDVAAETAAALAASSLVFRKADPAYASRLLARAKRVFEFADKHRGPYSAKLAPYVCPYYCSYSGYQASNRLKKSIRPHSSRHPSPVTDKLRCLFMTQKRKTVQDELLWGAAWLHRATKSPAYLSYIQTNGQLLGAGESDNTFGWDNKHAGARVLIAKSFLVQRLGATHEYKAHADGFICSMVPGTPTDATQYTRGGLLYKLGDSNMQYVTSSAFLLLTYAKYLAFAKQTVSCGGGNVVTAHRLRAIARRQVDYLLGSNPMGMSYMVGYGGKYPRRIHHRASSLPSVAAHPGRIGCSQGFTALYAGGANPNVHVGAVVGGPNEQDQFPDERNDHEHSEPATYINAPLVGALAYLAHSYGQL >Et_7B_055472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11284631:11288160:-1 gene:Et_7B_055472 transcript:Et_7B_055472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASGLLDHCRPCSFSDERQELGDDSSSAIALLEESPAVGRERFSFRSSPLELFLLLRLILGGLRGLFRKLIKFDWPPIDPQLDVAMNGIYWAQTNPTINATFGANGGSNGWFWDPEPNGGKGWELNATSARLQRQRGRESNA >Et_10B_004363.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4840112:4841602:-1 gene:Et_10B_004363 transcript:Et_10B_004363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPLLCFALLCASLTFATGLHLKLTHVDAREGCTDEERMVRATERTHRRDLASTKPARLYENQYVVDYLIGNPPQKAEALLDTAANLVWTQCAACSLCFRQDLPLYDPSASRSARNLTCGDAACAAGLGAVTVCGQGANAGACFVAVLFNAFQKIAGDLSSDEFTFGAEKVTLAFGSIGATDLTSGNLDGASGFMGLGRGPLSLVSQLGETMKFSYCLTPFFGGKVNPSHLLVGASAVLSGGAIAPATTVPFVKNPKDYPYSTYYYVPLVGISVGNVKLDVPAAAFDVREVRPGTWAGGAVLDTGAPFMSLVDDAFRPLVAELERQLGAAVVRPPKTVLGKRVELCVAAADFPRLVPPMTLHFAGGGDVVLPPENYWAPVGDEAACMAVFSSARKISAPMNETTVIGSFMQQNMQVLYDLGKDVISFQPTDCSNFQPAPPGPAGTNGPAGTNGNGNGKKGSSSLSSSSSSSVPLPIPVFSLVSLLMFNGAAGLSS >Et_7A_050952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12816893:12818852:-1 gene:Et_7A_050952 transcript:Et_7A_050952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RLLREVRSEDGVGSEKTSPPSEGTDAVEISTPHPATLLLCLAGLHHKSTSNASSESLRNQYRPSVASHHSLTPSSSRRPAQWHCQSPLYIPLNAIDVSGSMPLSQHATRPKKMRMTRRVLLAARTLIVFALFAGATAAEYVRPPPRPIILTEHTEPASHPQQVHVSAVGAKHMRVSWVTDDKHVPSVVEYGKVSGNYTASATGDHTSYRYFLYSSGKIHHVTIGPLEPSTVYYYRCGKAGREFSLRTPPAALPIELAVAGDLGQTEWTASTLAHVSKADYDMLLVPGDLSYADTQQPLWDTFGRFVQRHASRRPWMVTQGNHEVEAAPLPPVPGSPLPFSAYDARWRMPHEESGSASNLYYSFDAAGGAVHVAMLGSYAGFNASSDQHRWLKRDLARVDRRATPWLVVLLHAPWYNTNAAHQGEGEAMRKAMERMLYEARVDVVFAGHVHAYERFTRVYNNQANPCGPVYITIGDGGNREGLALNFQKNHKLAPLSVMREASFGHGRLSVVNATSARWAWHRNDDADSVVRDEIWLESLAANAACGQHGDPGSVDSPNDEL >Et_7B_054686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3529158:3532843:1 gene:Et_7B_054686 transcript:Et_7B_054686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEMAFLKNSGYTPLPPAVLAVLVVALFLAAPAPAAAANGAAVAVHLEALLAFKKGVTVDPLGALSNWTVGATGDAAAAGLPRHCNWTGVACDGAGHVTSIQLLETRLRGTLTPFLGNISTLQILDLTSNAFAGAIPPQLGRLGAVEQLVLYDNGFTGSIPPELGDMGSLQLLDLGDNTLRGGIPSRLCNCSAMWALGVVYNNLTGAIPDCIGDLSNLKILTTYHNNLDGALPPSFAKLTQMESLDISANQFSGSIPPEIGNFSNLNALELYENLFSGAIPPELGRCKNLTVLNIYSNRLTGAIPSELGELTNLQELRLYDNALSSEIPRSLGRLTSLLRLGLTMNQLTGSIPHELGELRSLQSLTLHINRLTGTVPASLTNLVNLTYLTFSYNNLSGPLPEDIGSLRNLQKLVIQGNSLSGPIPASIANCTALVNASMSFNEFSGPLPKGLGRLQSLMFLSLNGNNLSGEIPEDLFDCGGLVTLSLVDNSFTGALSRRVGRLGELTLLQLQGNALSGAVPEEIGNLTKLIGLTLGRNRFAGRVPASVANLSSSLQVLGLQQNRLGGALPGEVFRLRRLTTLDVASNRFAGPIPDAVSNLRSLSVLDLSDNAFNGTIPAALGGLDSLLKLDLSRNRLAGAIPGAVIANLSNLQMYLNLSNNMFGGPIPPEIGRLTMVQAIDLSNNRLSGGVPATLAGCKNLGSLDLSANNLTGALPPGLFPQLDVLTSLNVSGNELDGEIPSNIAALKHIQTLDLSGNAFTGAIPPGLANLTSLRSLNLSSNHLDGPVPDAGVFRNMSVSSLQGNDGLCGGKLLAPCHQSGKKRGFSRTGLVILVVLLVLSFLLLALLVTVTRVSYRRYKKKKKKRGDQSDGAARFSDTFVVPELRKFTYSELEAATGSFDEGNVIGRSHLSTVYKGVLVEPEGDKAVAVKRLNLAQFPSKSDKCFLTELATLSRLRHKNLARVVGYAWEPRRVKALVLEYMDNGDLDAAIHGRGRDAPRLWTVRERLRVCVSVAHGLVYLHAGYDFPVVHCDVKPSNVLLDAEWEARVSDFGTARMLGVHLPDAAAQLATSSAFRGTVGYMAPEFAYMRTVSPKADVFSFGVLVMELFTKRRPTGTIEEDGVPLTLQQYVDNAVSRGLDGVVDVLDPDMKVGTEADLSTAADVLSLALSCAAFEPAERPDMDAVLSSLLKMSKIFGED >Et_4A_033580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24753623:24757400:1 gene:Et_4A_033580 transcript:Et_4A_033580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAARLLSRTSPVASPGATPRADPRRPSVLRAGALSGSKTIQKQKCIAKCAISVVEVGAAFDGVKQYTRLIIYMGGVGANFEVYRNDEITVDEIKKISPRGILISQGPGTPQDSGLSLQTVTELGTSIPFFGVCMGLQCIGEAFGGKVIRSPYGVVHGKGSLVHYDEKLDGTLFSGLPNPFQAGRYHSLVIEKDSFPHEALEIVAWTDDGLIMAARHRKYKHIQGVQFHPESIITTEGGSWSRISSRLLKASKP >Et_7A_050505.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:20327359:20327445:-1 gene:Et_7A_050505 transcript:Et_7A_050505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEDKKKKKIMLNFGRHSLGTCSQYFC >Et_5A_042460.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:12374575:12375762:-1 gene:Et_5A_042460 transcript:Et_5A_042460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKELEQSVKDLLFKQMEERLQQAANNADFYSREDIRTRWGQVAVLKRTDIRTLWRRVAVQKRKDNSTRLSRVAVEKGKDISILVAAEKGENIRTCLAVDKGRDFTDFKKRKEIFYESKLAFFGSAFQEDILLWHIATTIYLCSSNINHAETRVKAIEAVSEYLMFQLAARPYMLPGPPLPSLYEVTRQALQDLYNKNGGNDGDLCRASRKEKLVKKLRCKEFPNPNDSNIILISYAADLASQLKTLDARGLQVVDLVLDVWVNKLLYAGINCNRESHARQLGKGGELVTIAWVAAEYFGVFQIGETGDKEPPQDHDPDEPGQVTPTDSDPGKVTPTDPVPGKVIPTDVVIDPVEEASCCYPKCHCHEGPSEPVCPHTYCVQEYCYCTQHDYYC >Et_3A_027081.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32228686:32230290:-1 gene:Et_3A_027081 transcript:Et_3A_027081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPIGRAPHLDRLARALASDHPPPPPAALHAHLLRAHASSPPALLRSLINGAIRRLSKPRPRAALGLLLLMPRLPVSPDHFTIPFALNAAASLRLLRLGASLHAVALRLALLPLRLPVANALVDLYAKCEDLPAAHAAVADIPAPDAVSFNSLLCAHARLACVPSAESLFTSMPSRTQVSWNAMVVVYVNAGDLAAARRVFDEMPTRDSASWSVLVIGYCKCGSMQSARELFDKMPEKNLVARTAMINGYAQAGQPKAALALFRELEAAGIEPDAATMVGVISAASQLGSAELAGWIGAYVDRKRIERNEKVLTALVDMHAKCGNVEQALSAFREIAQPDAYPYTALISGLATHGHAELALQVFERMQTQNVRPDPITFIGVLTACSHTGLVDKGLEYWDAMVQDYGIQRRADHYACVVDMLGRAGRLEEAYEMVQTMPMGPHPGALGALLSACKTYDNVEIAEIVANKLFELEPQNTGNYILLSNIYAAKDLWEEAERIRSLMRTKLPFKKAGSSWVEGRQRDRVKVSARD >Et_4B_037028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13795991:13800687:1 gene:Et_4B_037028 transcript:Et_4B_037028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIAARRLLSRAAAARRALPLASVAALAPRRFSAGASVPPQTPTPTLPPPPLEPALEPLGSEGAGASSSSSTTTGTGASGARRSSPGASAGARRQAGEGYQEEQEKVLRASLLHPRMGWSESAMVAGARDVGVSPAIVGAFPRKEAALVEFFMDDCLQQLIDRIDAGEGEQLKTLILSERLSKLVRMRLEMQAPYISKWPQALSIQSQPANVSTSLKQRAVLVDEIWHAAGDAGSDIDWYVKRTVLGGIYSTSEVYMLTDNSPEFRDTWTFVNRRIKDALDLQKTFQEAAYLAEAMGAGVGGTVQGVLNRVFQNRST >Et_8A_058481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:966769:971920:1 gene:Et_8A_058481 transcript:Et_8A_058481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTSGDLDRLSALPDDLLHVILGRLGFAPTVTKTAVLSRRWRHVWTQAKSLTFKDDDTYLKRKRDFVGFVDWVLAQRDDAAMESLEIKFNLKGRTSTAEKINEWLRYAAQRVVRVDFDLGPLELDQQVIEFPSHGRATSISLDLSYNRFRLPDVAVASYQSLRKLRLMSLEFDEFEERSTIGDFVASCCPRLRRLSIMDAKGMPRLVLRTEVLEKLYISADDLQMLDVVAPKLRIIKLNCCSDAVVRIVAPRLEQINGSFPSKLPDLYIHDLKSVRRLKRLWLDMHGKYLGDGDDAFWLLQNCTGVQEVDMSVHHEHTMDELLDLTSEGKALFANIALESLQDVKITGFGGTDEEMQLVSLLFDSSNSVKSMSIALVRTPPKSKKQLDALSWQHWIGAEAAETIYHELMKIPCADLGHWRFVKRRKQPRRTFTWTRDTTHQRRR >Et_10B_002692.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:8476970:8477149:1 gene:Et_10B_002692 transcript:Et_10B_002692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LWTGRNERIPFSRDSSLISLGFNPNAREEKRKAWDFQYHRAGPQWSFMSEADVGVVNRI >Et_10A_002093.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22143417:22143977:-1 gene:Et_10A_002093 transcript:Et_10A_002093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAASSSTSWLPVLADLHDVTASAPSPPAPSHHPSMLPRAGADPANNRRVGSSKRRPRPSRRPATTYINADQASFRRMVHQVTGATHDLLLAPLPQQQPTTEVLINRPAPARCHGALNNTLLLPTLDTSAFLIGAARTPSHGSARAAGLPTVVAEEGSNSAGSSSSSCGLLVPTLESWDHHAALF >Et_1B_014357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6691144:6692743:-1 gene:Et_1B_014357 transcript:Et_1B_014357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFANIRRLHLLRARQAATNPAAVAFYNYPRSLRRIGTSNAEHQSSLAAPGISSNATGSVATMLLTKPHPSLAVSSSPLPKNGPIANRITPIATSASRRRSGLRVAAAAVTEARSSHSATPPAAAAAADAAAALSRVDVLSEALPFIQRFKGKTVVVKYGGAAMKSPELQASVIRDLVLLSCVGVRPVLVHGGGPEINSWLARVGVEPQFRNGLRVTDAVTMEVVEMVLVGKVNKQLVSLISLSGATAVGLCGKDARLLTARPSPDAASLGFVGEVSRVDPTVLRPIIDAGHIPVIATVAADEAGQAYNINADTAAGEIAAAVRAEKLLLLTDVSGILADRDDPASLVKEVDVAGVRRMVAEGKVGGGMIPKVECCVRAIAQGVTTASIIDGRVPHSLLLELLTDQGTGTMITG >Et_8B_059975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4590155:4597014:-1 gene:Et_8B_059975 transcript:Et_8B_059975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLVSSSLKMSEFLELEAQDGIRMTWNVIPGTKQDAANCVVPVSAIYTPLKPNPSIPVLPYGPLRCRICRSILNPFSIVDFAAKIWVCPFCFQRNHFPQHYSSISENNLPAELFPQYTTVEYLSTTGTGPLPPPVFLFVVDTCMIEEEIGYLKSALAQAVELLPDKSLVGFITFGTFVQVHELGFGLLPKSYVFKGTKEVTKDQILEQMCFFSGKAKPTTGLIAGSRDGLSAESIARFLLPASECEFVLNSIIEELQRDPWPVPADQRASRCTGAALSVAANLLGVCVPGSGARIMTFIGGPSTEGPGSIVSKSLSEPIRSHKDLEKGSDPLYSKAVKFYEELSKQLVHQGHVLDLFACALDQVGVAEMKVAVERTGGLVVLAESFGHSVFKDSLLRIFQSSDNDLDLSFNGIFEINCSKDIKIQGIIGPCTSQEKKSPLSSNTVVGQGNTSAWKMCGVDRKTSLCLLFDIAKKDGPDAIDQSTSNQFYFQFLTYQHHEGQMRLRATTLSRKWVAGSGGELIAGFDQEAAAVVMARLVTFKMESEADFDPIRWLDRALISLCSKFGDYQKETPSSFSLSPRMSIFPQFIFNLRRSQFVQVVNNSPDETAYFSMMLNRENVANSVVMIQPSLISYSFQSGPEPVLLDATAISADRILLLDSYFTVVIFHGITIAQWRKAGYQDQEDHEAFAQLLRAPREEADTLNEGTLSCASFGCARFLLAKLNPSVTHNSDNGPPPGGDLIFTDDVSFQVFMDHLQRLAVQ >Et_4A_032749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13318144:13318725:-1 gene:Et_4A_032749 transcript:Et_4A_032749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHRTPFTYVPQRIFFPPSVTSSATPASTSDPRRSRIASTGFRAVSLTTVLQNIKNLAAASFHALFIRGYDIRLKTLVLEGYRGIKAQVNFVSFFLANARELEIMRLEVGENNSSEEFSAEQRTMLRWRQGLRSVLG >Et_3B_029908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2978506:2981379:1 gene:Et_3B_029908 transcript:Et_3B_029908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRVCHRFAHLRRFSFPMAAPPQTGKTLRPNPSPSPAGSAPKRSRTMATDAAAAAVSKGCPAMKAEFAKHAEYLNALNDKRERLVKASRDITMNSKKVIFQEEVLSKAENDLATVVNQYIGKLVKELHGTDFWKLRRAYTFGVQEYVEAATFCRFCKTGTLLSLTEINESLLALSDKAVEPLQINVLDYVLGVADLSGELMRLAIGRISDGEVEYAKNICSFVRDIYRELTLVVPLMDDNMEMKKKMEVMLQSVVKIENACFSVHVRGSEYIPLLGSSADPDYSFFGASEFDQ >Et_2A_015769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17755780:17757650:1 gene:Et_2A_015769 transcript:Et_2A_015769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTYTPTYYSGLQDTIASLCKSILPFPFRGGRRLTADQAAARRHAEQLKWQQESFHRILHLSGLHREGIVPASDVAAFRASMLAALVAPPPKHPDPPAVLRDKLLFLQELLYARCVSASEYNASKAPLVQRLAALGVVVDCPDAEVSAEEWSEIDLRDPPPAIAAAADKPKHKAFITPWKSRSKKDQDANGASRPPLAPVDQNNAKNASVLMAESSPSEAAPSGKPEKGKRRHLAAMFQSGGSNGSENKDPAVEEGVVDDKETAKGKKKSSWGFDGLKKWKKTPGCGAGNEEAVEPTPVAPPRSSHSECRLEASPMAATGPDAKRAKTKLHSATGDDSASELLNDKVLVENTKKELSRIQAELSSTNRNLNFSDQQIEAISTKLPVDKSDLKTFFPKAWCDQHGEGVITAAKKEFKEHVEEMEKQKDIADSEGWATFEDIDLDENFNPRAFSQHQSDSAVKGKKVNESLTSSFTNPFYDEKNPFLNPNFN >Et_1B_013135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5793813:5798225:-1 gene:Et_1B_013135 transcript:Et_1B_013135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCGVTLRSKYFTSFRGGSQRHDTAGYAPVATAAAADEPAPRGNGKRALAEHYALGRKLGQGQFGTTYLCTDLATGVDYACKSIAKRKLITREDVEDVRREIQIMHHLAGHRNVVAIKGAFEDPQYVHIVMELCAGGELFDRIIQRGHYSERKAADLTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDMSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLRKRYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISDSAKDLIRKMLNPKPAERLTAHEVLCHPWICDQGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFMAMDTDNSGAITYDELKEGLRKYGSTLKDTEIRDLMEAADVDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVINEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISITDTPGAL >Et_1A_008026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40201580:40202283:1 gene:Et_1A_008026 transcript:Et_1A_008026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGADESGACRVLPVIDEEPESEVSSSPENEMTMVAERRKAIVSRMRELLRRAAAAQSAHTKLRRSTVATAKKWKRVVGRIHQKRGACRDHQGVPLHDDGMSSASSISSKSSFSWDAAATESCSSVMSTSNCSPQLWPAAAAFVSAQSDTTADQMVSSPSTGIVRFSSGSDDDMRMAHWVTTDSDCKPLLHL >Et_6A_047823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18423027:18426421:-1 gene:Et_6A_047823 transcript:Et_6A_047823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLLRGMRTPLLLRANSALLFTALRPSPARFTTTRAAESAQATTEAKPSPPKSIQMASKEAAEQKTQGFEAVIGIETHVQLSTVTKAFCSCPYTYGSQPNSTICPTCMGHPGTLPVLNEKVVECAVKLGLALNCEISMTSKFDRKQYFYPDLPKGYQISQFDIPIAEKGYVDLDLPLEFGGGHRKFGVTRVHMEEDAGKLLHSESGSYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYGAELQRIVRYLGVSNGNMQEGSLRCDVNVSVWPVGQSEFGTKVEIKNMNSFSAINRAIDYEISRQIMLHKEGQADQIVQETRLWDESSQKTFTMRKKEGLADYRYFPEPDLPEVVLTNEYIDEIRNSMPEPPEAKRRRY >Et_10B_003026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15518979:15522201:-1 gene:Et_10B_003026 transcript:Et_10B_003026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLILYSAWISSCSFRVRIALNLKGVDYEYRAVTRTDPDYEMINPIKYVPALVDGDFVVSDSLAIIMYLEDKYPQHPLLPQDLKKKALNLQIANIVCSSIQPLQCYAAIGLVDGKLGSNESLQIVHHYTDKGFKAIEKLLEGCDTKYATGDDIQLADVFLAPQIHAGVTRFQIDMSKYPLLERFYKAYMEIPAFQVAVPEKQPDAPSA >Et_3B_028253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12291979:12316502:1 gene:Et_3B_028253 transcript:Et_3B_028253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKVYGPVLSTNVSRILVCLEEVGAEYELVPVDMVAGEHKSPAHTARNPFGQVPAFQDGDLILFESRAISKYVLRKGGSELLQESNLSQSALVDVWVEVEAQTFDTAMSAITFECFTKPIFMGGTTNHQIVQENMVKLIKALEIYETRLSNSKYLAGDFISLADLSHTPMLRYLLATPHASVVDAYPQLKAWITDIMERPSVKKMGIKVYGPAASTNVARVLVCLEEVGAEYELVPVDMPSGEHKSPEHLARNPFGQVPAFQDGDLILSESRAIAKYILRKGGSHLLRENNLSESAMVDVWLERHVPNYLPVLRHPYCHGGTPDMKVVEENLEKLKKALEVYEARLSKFKYLAGEFVSLADISHFPTAHYLLGSPHATVVDAYPHVKAWIADIMARPSVKKDAKEQATMAPVKVFGPARSTNVARVLLCLEEVGAEYEVVNIDFQTKEHKSPEHLARNPFGQIPAFQDGDVVLFESRAIAKYVLRKYKSADADLLREGNLKEAAMVDVWTEVEAHQYNPAISPVVYECLINPAMRGVPPNQNVVDESLEKFRKVLDVYEARLSKSKYLAGDFLSFADLTHFPYTYYFMATPHASVFDSYPHVKAWWESIVSPPTCLPRRLDDASHLRAPKVKSSDADLLRKGNVKEAAMVDVWTEVEAHQYHPAMGGVPTNQKAVDEALYKLRKVLDVYEAWLSKSKYLAGDFLSFADLNHFPYTYYFMATPHAALFDSYPHVKAWWEGIVSWPSVKKLPANMLIKVP >Et_9B_065109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21329901:21332931:1 gene:Et_9B_065109 transcript:Et_9B_065109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRELRDGTGGLEEAEEDDRQETAGDGGEVVAVVRLRAKRALVGAGARVLFYPTLLYNVLRNRFEAEFRWWDRVDQHVLLGAVPFPSDVPRLKRLGVKGVVTLNEPYETLVPTSLYQAHGINHLEIPTRDYLFAPSLEDICRAVDFIHRNEMQGGSTYVHCKAGRGRSTTVVLCFLIKYRNMTPEAALAHARSVRPRAVKLFSTITNRCLSIQSSNRTCSVQSGEESSELSSTVTTRCLSLSIQSSNEYSSVTSDEESSEASVEDLEGDGYASEFDTDLFVLSRCRSMFSKPTSPTGCCDAVFVTEADLEGYETYADIGNDAISVDIVVRHKPIIRKLSCLLGSLKLTGNCEPPPSRLTEVRC >Et_3A_026345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7064515:7065228:1 gene:Et_3A_026345 transcript:Et_3A_026345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNELSIKLLIDTKAQKVCFAEAGNDVVEFLSSLLSLPVSIGNVLDSMQEIDAKYIVPNQKEHYLSPSIAPTVLDHFQQLLGGPLNINTSFFTCEGRTESYNRTQGSCGYLSAIKDTRCPRCSKSMNKEMNHVKADGFVVRTATYTIKDDLSNTGINCVNRRLACTMWCQGPEHAARKDHHDRQGRGAGDTPRFPEIQDCPD >Et_7B_055499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12085072:12086369:1 gene:Et_7B_055499 transcript:Et_7B_055499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYELLKDIGAGNFGVARLMRHKETKELVAMKYIPRGQKIDENVAREIINHRSLRHPNIIRFKEVRKQGTPFPFVLLNEVLNGKPVVVDLQQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKWAPVAAWILPHLS >Et_9B_064725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18043927:18046509:-1 gene:Et_9B_064725 transcript:Et_9B_064725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFVAIAAVCLAAILAVAVGQGEMEHRRFRDMQCRQEVQENPLDACRQVLDRQLTSRMGYGLSPFRWSTGLRMQCCQQLQDVSRECRCAAIRRMVRGYEETMPSLGEGGGDYYGGESTGREYYGEGAQRRQQGPAGYYGCGQMAGRRGQQGGDYYGEGRRQGAGGCGCGQRREGYGKSGQPRTTRVRVMRQYAARLPMMCRMEPQEMAKFFFALLLAVLVAVSGAQGEGAQRFRDIQCRREVQEMPLRACRQLLDRQVSGGLRVVVPAWMRSSGPRAQCCRQLQDVSNQCRCSAIRQMVRDFEQSMAPLEERCRGEQGYYGKCQKQEGGYYGERQQGGGYYGGDCRQQGGYYGGERRQQGGGYYGGDCRQQGGYYGGESRQQGGYYGGERCQQGGYYGETARQQPGGRCSQRMGWQQSGCRQADGEEYEQQQGPGYYGEQPKQNRGYIGFPPATGTRQGTTRVRLTKVRQYAQQLPGMCQIEPQECNIFDAGWYQA >Et_3B_028544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16641474:16648563:-1 gene:Et_3B_028544 transcript:Et_3B_028544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFGLTIPEKQLKDRADVLKEKVNGLFDASKDMVEKMVLVDTVEHLGIGHLFENQITNVLCTIQCMEFNSSSLHDVCLRFRLLREHGLWVSSDELNRFKDISGGFKMDLINEPKGLLSLYNAAHLLIHDELALEEAISFARLHLESMRQNLEYPLSEQVKRALDLPLPRTVRRIETLHYMSEYEHEPAYNPIILELAKIDFNILQRLHLKELKAISEWWQDLYAEVALSYARDRTVHLYLWSQAMYHEGIYGRARIILTKLSALITMMDDTYDIRATLEEAQQFNEAIQRWDENAVSLLPEYLKKFYLRIINSFKEIENNLEPHEKYKISYAKKSFQILSMYYFQGAEWFHRNYMPTFREKVEVSLMDSGSPFSCVALLVGMGDVATKEVLEWAIGNPDAVRACGELTRYMNDIAAMTDGKRREDSANSVECYISEYHVTSDVAIANINQMMENAWKTANKALLDLPTLYPAVKRVVNEAVSLTMIYVEKSDRFTFGKALDELMLRLRDLVMPKLVSGGQVHERISKLTMWRWRGDEQRATGMAGGEVTRRWRDEDDRGARVGGRRGVRWDAARVRGCAQTRGAAAPPGCGATAGSAAARGRGPRRRARGGEHSQGAMRGCAGVHELQCGRGRVLQRGRGGARWLRRVGSHGRSAAWPRRREATGERGAAGARCSGAAAVRGGGGRATAGAAGWLEGRRKEGRKE >Et_1A_009409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4973967:4976006:-1 gene:Et_1A_009409 transcript:Et_1A_009409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVEAMSRSSKDIPAGPEEAAAAATKNNAAPAHCHEEEEDDDDEEKVPKVIDLGPRVSIKDQLEKDKDDESLRRWKEQLLGSVDLNSVGETLEPDVKIMSLSILSPGRPDIFLPLPVEPNAKGVWFTLKEGSPYRLKFTFSVSNNIVSGLRYTNTVWKTGLKVDKNKEMLGTFSPQLEPYTYLTPEDTTPSGMFARGSYSARTKFLDDDRKCYLEINYTFDIRREWPSTS >Et_6B_049517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:662924:667195:1 gene:Et_6B_049517 transcript:Et_6B_049517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPPTSQPSPPPVCSPSSPSSSNSSSISVEIPPPCKRAKRTHKSAKQQATKAKRPRKDHTKEELPSAADPPNAAAATGKRSSIYRGVTRHRWTGRFEAHLWDKHCLTSLQNKKKGRQGAYDSEEAAARAYDLAALKYWGPETVLNFPVEDYASERSEMEGVSREEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTFEPDSSEVQEPESSEAKTPDCIEEPISTVDDGIEESLWSPCMNYELDTMSRSNFGSSINLSE >Et_2A_016574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26198607:26200674:-1 gene:Et_2A_016574 transcript:Et_2A_016574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAHRPTRSGQRPTRPLHLHGGQQPSTRRRRRAGVSTLSRRGSSSSSSWPGRRRLRPFFQHALPPSFTMAGQPDLLHGPSSSVQPSGGSFISGNASSSSLLPQQQQQASSSSEQQYELPPLPPSLQMPLLPVKQEPVHYSSGRLQPPELICIDEEISAGIDALMQSFDEWSSQTPGLEDLDLVESSSSRDLDKQLQLGDISSRGKDKMPWTGHSPSMESSVATVPKLMLGGMEAPRVLPQSPAVGASSSSTSPTGATGGGDLFTDAEMEKIQKDERLKQLIDTDPKRVKRILNNRAATARIKSRKEKRIQDLERQFEALQIENVHLSSEVTSEQVKLVDLKTQNNHMSMRLQQLEMRSNMNEAETEALRAEIESLKHIY >Et_3B_030381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3378599:3383443:-1 gene:Et_3B_030381 transcript:Et_3B_030381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEGVRHRTVEANGVRLHVAEAGPEGGAVVLLVHGFPDLWYGWRHQMAALAARGYRAVAPDLRGYGDSAAPPDASSYTTFHAVGDLVALIADLGQPQVFVVGHDWGAMVAWQLCLLRPDLVRALVNLSVAYHPRGPERSPLQAIKALCGEDHYMCFFQKPGVAEAEFAKNDLKYAFKKIFGMHKPAPLIIAKDKSFFDSIDSDGTSPGWLSEEDVSYYADKFAKTGFTGGLNYYRCMNLNWELSAPWTGVPVKVPTKFIVGDLDLTYNTPGVKDFIQKGGLKACVPNLEDVVVMEGVGHFINQEKPKEISDHICEFFSNGEDTGRREAMAAAMEGVRHRTVEANGVHLHVAEAGPEGGDVVLLLHGFPDLWYGWRHQMSALAARGYRAVAPDLRGYGDSAAPPDASSYTTFHVVGDLVALIAGLGAPQVFVVGHDWGAIVAWQLCLLRPDLVRALVNLSVAYHPRSPEMSPLQAIRTACGEDHYMCAFQKPGLAEAEFARHDLRYEFRMMFGMREPAPLILAKDKSFFESLDSDGTCPAWLSEEDISYYAEKFEKTGFTGGLNYYRCMDLNWELSAPWTGVPVKVPTKFIVGDLDLVYHTPGVKDFIHKGGLKASVPNLEDVVVMEGVGHFINQEKPKEVSDHILEFLSKF >Et_9A_061668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14990093:14994632:-1 gene:Et_9A_061668 transcript:Et_9A_061668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWWSPASAAAEPRSVQFLLLGVALIAAAFYAGTLFGSSASPALVLPPSGPRSPVSSRTQDTPLFTNRVSLTYRTKPVSVPDYGVDVCPMEYNEHIPCHDAAYIRSLKSLDRSRHEDLESFCPPREKRLFCLVPPPNDYKIPIRWPTSRDYVLRSNVNHSHLAEVKGGQNWVHDKGNLWWFPGGGTHFKHGASEYIERLANMTTNSTGDLRSAGVVQVLDVGCGVASFSAYLLPLGIQTMSFAPKDGHENQIQFALERGIGAMISVLATKQLPYPGRSFEMVHCSRCRVDWHENDGILLKEVDRLLRPNGYFVYSAPPAYRKDKDFPLIWDKLVNITTAMCWKLIAKHVQTAIWVKPQDESCRLKNADMKLLNICESKDNFSPSWKIPLRNCVRLNKDQSEMQRLPSRPDRLSFYSKNLEMTGVTPERFEKNNQFWQDQVLKYWSFLGVEKIRIRNVMDMNANYGGFAAALSDDPVWVMNIIPHTMNNTLPVIYDRGLIGSYHDWCEPFSTYPRSYDLLHASHLFSHYEGRKEDCSLEDIMLEMDRIIRPQGFIIVRDEKNMLSRIIELAPKFLWDVTTHMSENEENQAEQVLICRKKFWAIFLHPKRFHRQTADWLVTYPVQWLDEGTMRASVEY >Et_4B_039372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12100893:12107461:1 gene:Et_4B_039372 transcript:Et_4B_039372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSLHLNACSSDASRCGTTRSAMGRPGHCLRPDPNGRNLSGTNSSGRSSRAAGSRMIAHTLKNTVDHGAVGPSARADGVGRVARGEVPVVPREGLPGGVAGGDHHGRDDGAQLELHHGAVGAREACQHVVEVPAAQLEAVADARQRPRARRQPCRTYTAASCCGQRSETASVSQSNHPSRSILGFFWWVPFAPSLFRRKHQGTFVELKVSRSFYWKQFFYSRRSRRSGSHLLELLEEQRAYHLLKAQSSRVQAVELNV >Et_5B_044959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7732844:7735272:-1 gene:Et_5B_044959 transcript:Et_5B_044959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRGLFLVLLGAALPLLFFSHAAEASEVGVCYGRVASDLPDPAKVSKLLKDNSITMVRIYDANPTVLNALANTGIKVMVMMPNQNIAAAASDKSSAQQWVRDNVAAFYPATQINGVAVGNEVFDSSPDLSQQLVRAMWNVHDALKSLGLADAIKVSTPVAFDALKVSFPPSAGRFKDELAPVMKSMLDFVQQTGSSLTMNIYPFFAYATQTPGTISLDYALGNSNPGVLDDRTGLMYYNLLDAMRDAAFYAMEALGGQSSGVIGTMAAASGQDVGTSMVQTEDNWPKGGKIKHGGGRRRLLDIAGDGAASIANAQAYTNNLINRVLSGNTGTPHRPNAGMDVYIFALFDEAGKGSSDDVEANFGLFYPNMTKAYEFSFTGSGVAPAPPTASWCVANAAVGDARLQAALDYACGNGADCSAIQPGGSCFDPDTKLAHASYAVNSYYQNKGRVPSACDFNGAASIVFQKPADTCGVKPMTWCVANAAVGDARLKTALDYACANGADCSAIQPGASCFQPNTMVAHASYAFNSYYQNKGRAAGSCDFNGAASVVTQQPASTCGTSTATWCVANSAVGDARLQTALDYACGNGADCSAIQAGGACFQPNTKVAHASYAFNSYYQRKARAAGTCDFSGAGSIVNQQPKFGNCVLPSNG >Et_5A_042092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6241197:6244734:-1 gene:Et_5A_042092 transcript:Et_5A_042092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSHSNDKLMQSKSAANSCLGNQPQHYLNAQCHQNSETLHHISTLQLSSLLKENCRLTMRSILLFVTGAIAIYLITTPTTAMPVDINDREVQKLGSWAVMQHVDKASDGIKFIKVVRGDKTAKRRDGIYYDLIIDALNKDGEDGKYEAKILVEDLGLIRDLSSNGLAFFVASDRATLSTAAGPVPGPAQRHRQRQPQRPRLRRGSRHHLQRRVRRRNHVGVDVNSLRSINASDAGYYDAGTGQFQNLSLTSRKAMQVWVTTTAGPGRLPWPWAWPGPRSRCCMQTSVDLSDIVQATAYVGFTSATATLVSRHFVLGWSFSLDGPAPPVDFSKLASAPATAGSEASVQGLVRRAAAHHRVGRTCLYAEVREDWEHEFGPQRFSYKDLFHASDGFQDRNLLGIGWFFGKVYKGLFSASNLENAVKRSCRTAGSRQGVREFVRSRWRW >Et_4B_039093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7723229:7726547:1 gene:Et_4B_039093 transcript:Et_4B_039093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATREDAVAAAAPAKEASSTNQRTQSLKGCYREDAGAAAAEESSTNQRTQSLKGCIRFLKGMAHGTTNAISSSCAEKFYPVHSPLKKRKSQHELIDPRLLSLKYKFRKCLSRQDDESATTESLGCDGIFIKNCSTDMVSIPEELDPCEKTLSLFGGCIEVDSKNGIEIQSMTKMFEAWASGSSSSSNIFSSDASSSRSTGTKDTDSWIMHDDECYPPGLVLQPHDNDLDRMHDLLEQYGDLMDGELACGDVHGSASHTMDEKLYSNGVDDFQILPTGQTASHGSFPDLEPSGCQDCLYLESAIFRIRDVLLEAEIDSLPLA >Et_8B_059083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13600079:13607763:-1 gene:Et_8B_059083 transcript:Et_8B_059083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLLLRHARRRRHYSAAAASNGGVVPLSTPTFAVFGANTGVGKTLVSAGLSAALLSSPTTSAVDYLKPLQTGYPADSDARFVFARAPALLRASSPSRATRLVASCRTLFPSPAAGAEPAHREGQETVVRCGGDGPEQTKVLACRTVYAWREPVSPHLAAEREGMAVRDEEVRGSVEQWLVEGVVEEGEVWKVLETAGGVASPGPSGVLQCDLYRPFRLPAILVGDGRLGGISSTLSAFETLLLRGYDVGAVILEDRALSNDKFLLSYLRNRVHVLVLPQIPDDPSDDLTEWFSESSSVFGLLKDDLQSFHSKRIQRLSSMQRKSKDLLWWPFTQHNLVPVDSVTVIDSRCGENFSALKIKDNTMMLVPQFDACASWWTQGPDSNLQIELARDMGYAAARYGHVMFPENVHEPALRCAELLLEGAGKGWASRVYYSDNGSTAVEIALKMAFRKFSNDHGILMDGEKSIGNIQFKVLALNGSYHGDTLGAMEAQAPSAYTSFLQQPWYSGRGLFVDPPTVYVKNDTCNLSLPKSMKHDELSSGFSSLAEVFCNSRDTSSVADIYLSYISQHLSESLSNNSEHIAALIIEPVIQGAGGMHMIDPLFQRVLVNECKNQNIPVIFDEVFTGFWRLGVESASELLGCSPDIACYAKLMTGGIVPLAATLATEEIFESFRSDSKLTALLHGHSYTAHAMGCCAAVKAIQWYRDPSNNSNLDSDRMRLKELWDGALVQQLSSLPNVKRVVSIGTLCAVELETNGSDAGYASLYASSLIQQLRKEDDTYVRPLGNVIYLMCGPCTSRDSCTRQLSKVYRRLCGFN >Et_2A_018715.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5851340:5852551:1 gene:Et_2A_018715 transcript:Et_2A_018715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKHAHGHGGSLRARAPEPRRYSGLVGRHSVALKSSTLGLGTLSLDRAAAAAAAAKAAGVSAVSFAAGRIDGEAMMKAGHDGGGGGSGKYGPSRSFSGWRPATPNKTPARAPEEINVWELMEGLDDDDDREYEDEEEKEQQDGHVFERKARSAPGSPAFDPEILDAFRKALDELSPTSPPPPPGFLTKRDSAADAKKGETMMFPAGGIVRARVSVFQEKIDAKQRKKKPSSPSPKPPPESARRVVVYLTSLRGIRQTYEDCRSTAAVLRGYGVRVDERDLSLHAGYKDELRAALLGGAARLPQVFADGRHLGGAEEVRRMHEAGELAGALEACETAAPPAAAGGKGGGGGCSGCGGVRFVPCDTCSGSCKVFVEDEDGAGAFRRCPDCNENGLVRCPVC >Et_10A_001920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11111430:11114604:1 gene:Et_10A_001920 transcript:Et_10A_001920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNAADGASLGSAKPSDMRHGEHEVHFALRLDDLEHHALMLLRVRRRGDGKVCVCDFVYTRMPEMKERSLENMDALFADYSYDGYTSLTGLLVFEGNIFKEDITKTHHHKWNSKDELPEWIAATPVTVPQLKPAHRHLLHVHAATWAPQLHHRKLKTHCEKMDLAFSCTIGRLLRIQWRS >Et_7B_053979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1402258:1412762:1 gene:Et_7B_053979 transcript:Et_7B_053979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RAGLLIPETSAKQEHKAKRPKTERQREVTCPLGSRPAAAMAGLPLAACAAVAIHLCLLASSSSATASAGRDGRTAYHFQPAKNWQNGPMYYNGLYHFFYQYNPHGALWDIGNLSWGHSVSGDLVNWATLENGLDPTAPFDINGCWSGSATILPNGTPAILYTGIDANKSQVQNVAFPKNPADPLLREWVKPDYNPVIPLPADVPGDKFRDPSTAWLGRDGLWRIAVSAETFPRKVWLDKDGKTLLQWPIEEIESLRKTLIGLRKTILNAGALTEIVGVGGSQVDVEVSFKIQNLENAELIDANALLDPQQLCGEKGASVPGGVGPFGLIVMASGDLQEHTAVFFRVFQYNATYKVLMCTDLTKGVQATLRWICGHRRQGAQEDQTKNPGPLYYNGLYHLFYQYNPHGPLFDTGRLSWGHSVSGDLINWAFLGTALDPTDPFDADGCWSGSATVLPDGRPVILYTGRDTNTVQVQNVAFPKNPSDPLLREWYKPSCNPIISQPADVTGNNFRDPTTAWLGRDGLWRFAVAAEVDGVGSTLIYRSADFLRWERNAAPLHASPDVPVWECPDLFPVAVDGTEGLDTSVGNGPGVRHVLKLSKASDEDYYVVGRYDAVADTFVVPEDEKDVRNWRRLDHGHLFGAKSFFDARKSRRVLWAWVNDTDSQADDVAKGWTGIQTFPRALRLDGYGKQLVQWPIEEIETLRTTKRVVLKGTGLGSGGLHEIAGIQTLQADLEVVFEIPNLEDAETLDPSWLQDPKKLCAEQGASVQGGVGPFGLMVMASGDMEEHTSVFFRVFKHDDTYKVFMGTDLTRSSTKQGVHKPVYGGFMDVDVEKDRMISLRTLIDHSVIESFGGGGRMCITARVYPEHVGTSSSHLYVFNNGWGAVKVSKLEAWELRTAAINTTPDCIAVPSPLLLFQSLMLHSHSTMALAAFPLAVYAIALHIWLLLSSASSSSICAAETHDHYRTAYHFQPAKNWQNVLAYCLLRLGNNLASGWKSMDDAAGAYRSERYVCNHSVFLFRYSVLGPSLLID >Et_1B_013490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:966124:969860:1 gene:Et_1B_013490 transcript:Et_1B_013490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVALVSGGKDSCFAMMRCLDYGHKARQLQVVALANLIPLDDAVDELDSYMYQTVGHQIVVSYAKCMGLPLFRRRIRGSTREQGLKYNVTAGDEVEDMFALLSEVKRKIPSISAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQTLLLEEMIRRGIVAITVKVAALGLKPSSHLGKELAELKCHLLRMNENYGINVCGEGGEYETLTLDCPLFCNARIILDDSEVILHSADSIASVGILHPVAFHLEHKPNLSDRIGNSAIVQENSSCLYDVDENIVHTDLEENQTFDPVTAVDAYTNIDLCISKTGKNLRSIGCWIQDSTSASQGLKEDLVAVLGRIDNQLKEDGLGWVNVLYVHLYISSMKEFGLANEVYVSFITEKKCYLGVPSRSTIELPLVQVGMGKAYVEVLVSDKLVKRVLHVQSISCWAPSCIGPYSQATLYGEILYMAGQLGLDPPTMKLCSGGPTAELESALQNSEAVANAFSCSIYTSAIHFLVYCSAHLTSDEKEELKQTLQSSYITRLDCSKTGSYPTVLYVFAPDLPKGARVEIKPILYVPTNDDGFTTEEMDTASLQPVLGEAWSHWSAQYSDLHESCCQIHTIGGKICSALVSITNDMASKICSTSGQPEEQMKAITRFCAFQLAKILVDNRFSWDSITMLRFYYSVEHPVAADTLSRAFSEAFTDLAEADCSIKTGGVPFYNIVPVSGSGRSACTNDILTCELLASKV >Et_4A_034299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31521023:31523853:1 gene:Et_4A_034299 transcript:Et_4A_034299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVPVAYQGNTSAAVADWLNKGDNAWQLTAATLVALQSFPGLVVLYGGIVKKKWAVNSAFMAMYAFAAVWICWVTWAYNMSFGDKLLPIWGKARPALNQALLVGQAALPATAHYRADGTPETAAVEPYYPMATVVYFQCVFAAITLILLGGSLLGRMSFLAWMIFVPLWLTFSYTIGAFSIWGGGFLFQWGVIDYCGGYVIHLSAGFAGFTAAYWVGPRAQKDRERFPPNNILFTLTGAGLLWMGWAGFNGGGPYAANVVASMSVVNTNICAAMSLIVWTCLDVIFFKKPSVVGAVQGMITGLVCITPGAGLVQGWAAMVMGVLAGSIPWYTMMILHKRSKILMHVDDTLGVIHTHGVAGLLGGLLTGLFAEPTLCNLFLPVTNSQGAFYGGVGGAQFGKQLAGALFIIGWNVAVTSIICVAINAVVPLRMSEDKLEVGDDAVHGEEAYALWGDGELYDITKHGADDTEHGATRAAVAPVSPT >Et_7B_054208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17138188:17152967:1 gene:Et_7B_054208 transcript:Et_7B_054208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMELDEELEKRSPAAADTVETVVGVAGQREFIDRLIQDIEKDHLRLLEKMRDRMNRVDVQEPTIEVRFRDLTVEAECRVVNGKPLPTLWNSALSAASADSSARVPRVRQDHPFACTCRKAQQKPRRDIEYNGLKLNELVVQKTAAYVSQTDLHVPEMTVRETLNFSARFQGVGWRGEILREVMRREEEAGIIPDPDVDMFMKAISMEGPERSIHTDYIMKIMGLEKCADTMVGDAMRRGISGGEKKRLTTGEMMIGPSKALFMDEISTGLDSSTTFQVLSSLQQLAEVSQYTILVSLLQPAPETYELFDDVILMAEGKIVYHGPKSSILSFFESCGFKCPRRKGAADFLQEVLSRKDQKQFWSLEDGRYNFVTVDQFCQRFRGFHVGQSLSQELSDLYERSKVDNSAISFSIYSLSKWEVLKFCFARELLLMKRNAFLYRSKVIQVGLVAALTGTVFLRTRMFNDRAHANYYIRSLFFAIVFLIVNGLPEISMTISRLPVFYKQRDCCFYPAWAYAIPAFFLKIPVSLIESIVWTSITYCLIGYTPEASRFFRQLLVLFLIHSTALSVFRCVVAYCQTASVSSIGGSLSLLVMHLCGGFIIPQKSMPNWLQWVFWISPMSYGEISLTGNEFLTPRWQKVMVSGVTLGKAILTGQGLDYSSTFYWVSVGALIVFIVVLNIGFAIGLTIKRRTTQTLVSRDKLTITQGNDQDNFEDMVDRAPRLPKAISNTPNVTGKVLPFKPLAISFRDVNYYIDTPAAMRERGYSKSKIQLLHNMTGAFRPGVLSVLMGVTGAGKTTLLDVLAGRKTGGVIEGDIRIGGYPKVQETFARISGYCEQTDVHSPQITVWESVLYSAWLRLPTETDPRTRHEFVKEVLETIELDEARDALVGLPGANGLSTEQRKRLTIAVELVSNPCIIFLDEPTSGLDARAAAIVMRAVKNVADTGRTVACTIHQPSIEVFEAFDELMLMKRGGELIYAGPLGHNSHEVIQYFQGIPGVPKIKDDYNPSTWMLEVTSTSMETNLGVDFAQIYRESSNYKDNEALVKQLSIPPPGMADLHFRTQFPQKFGEQFKACLWKQCLSHWRTPSYNLARIVYVALSSILFGVMYWQEGNIDRINDQQGFFSILGSMYLTILYIGIINSKAVMPFVSVEWSVMYRERFAGMYSPWAYAFAQLAMEIPYVVVQVLLFMFVAYPMIGYAWTVTKLLWFFYTMFCTVLYFIYFGMMIVSLTSNSQMATIISSMCFIVQNLMAGFIVHGPQIPMWWIWLYRITPTSWMLNLFFTSQFLCEDDKNIMVSGEIKSVTSYAKDYLGYHRDLLPVAALMLAILPALFGVLFAYNISKFNFQRR >Et_3B_027767.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18296131:18297024:-1 gene:Et_3B_027767 transcript:Et_3B_027767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VHTNTYVDYGTHDIPASPCCSLAGDEVGGVGPCVVVDRVGEVVSEVLERALAGDDGLHEEAEHGEHGEAAVLDLLHLELGEGLRVVGEAQRVEAAAWVERVDDLAERAAGDAVSLDGAHEHDLAGPDGEDALRVDQARVAEVVQPALAEDLGPGLEPHGLAELDAVAGQQLGEDAAQRAQHGPTAVDHLQLPVLGEGLGVGGEAGRVPPVVAGELAGQVARGLAGERAEVQDAVRPVPRAAGRRNLGLRRGLAHRDAALAEHVDPAAGHRLAGESAGGEGHGGGCHVDQMATSDALL >Et_8A_058359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5854753:5857723:-1 gene:Et_8A_058359 transcript:Et_8A_058359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPAIAVYTSPPGAVYAAPELEPSSRGSSPCATAASPSPGSSLRHAGGLSCLFASPSAAPRATAHEELGALWHDRSDEPAVASVGGGGYSCPQPSSSSFKLRDHFHRSPAPLFHSPASSPASRSPSVSWLAGRERERLFSSIVRNALGSCIDYAPVTSLPLGVAAAASVDAAELAFELDENLSEEEPSCEPYARDLLAGAQARHRIFHDELVVKAFFEAERAHRGQKRASGDPYLQHCVETAVLLAKIGANATVVSAGLLHDTIDDSFMDYDDIFQMFGAGVADLVEGVSKLSHLSKLARDNNTASRTVEADRLHTMFLAMADARAVLIKLADRLHNMKTIEALPLVKQQRFANETMEIFVPLANRLGIATWKDQLENICFKHLNPEEHKELSSKLAVSFDEALLTSTLDQLDKGLRDEGISYHSLSGRHKSLYSIYSKMIKKNLSMNDVHDIHGLRLVVETEQDCYRALDIVHKLWPRVTGRFKDYILHPKLNGYRSLHTVIMCEGVHPFEIQIRTKEMHLQAEYGFAAHWRYKEGGCRHTFVLQMVEWARWVLNWQCEALSKERPSALSNSVGIRPPCPFPLHSEDCPYSYSRQCNHEGPIFVIMLEHDKMSVQELPANSTVSDLMERVGANSPRWSPYSFPLKEELRPRVNHKPINDPNRKLSMGDVVELTPALPHESLTEYREEIQRMYERGGFALATTPRS >Et_10B_003433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19860247:19861795:1 gene:Et_10B_003433 transcript:Et_10B_003433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEEENVGPFRRTSARTRRMATRMASALASSDNRAQAALARLEALESDNAGVEVVDLNDDEYGSTDEEHPVLMQKKQSKNMKRKTRQGKALEKIAARSFMDVLHEANLESLPPHVPTYLRAAVGPPSTSSRRHYCSVCGNSANYTCVRCGTRFCSCRCQVIHNDTRCLKFVA >Et_9B_063895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19872502:19872765:-1 gene:Et_9B_063895 transcript:Et_9B_063895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVSVLTEVVALLCILLVLSSAVTKTEAGRHWGRATTATVRGHFTKVMREEMEMDDAVGLGESKRRSPGGPDPQHH >Et_2B_019765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13690291:13700768:-1 gene:Et_2B_019765 transcript:Et_2B_019765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTLASNIFGLSRRTTAASWFNGSSSIVTPSYWQPVEEGGAMGLRKQLENIEIRARAKEDLHCSRSEADLSNRATNASRAEQRDPSAPRDENSPPNPHVIPDWDEEIFRPRTGINPSRLNVSYQRKGEDFLDDGERDLGSHLGKEAARAAGGLVPLDPSSRRNGEGGSKPPFDSIPSGRRLEQRSAGYFQAGRADPRGGWGRSPLRLRARQPRTARRREPPGSGELGRARLRMRERIPFLAPVRSRGRVHPNPNSPDARVPDSAADMARPGALAAAHFLLLLLCCIAVGARASPSTDALRRVAPRSVAGGGLCEQLLLPQGYPCTEHTVKTNDGFLLSIQHIPCGKNGVADNAGPPVFLQHGLFQGGDTWFINSPEQSLGYILADNGFDVWVGNVRGTHWSKGHSTYSVHDKLFWDWSWQELAEYDLSAMLSYVYTVTQSKILYVGHSQGTIMGLAALTMPEIVKMISSAALLCPISYLNHVSAGFVLRAVAMHLDQMLVTMGIHQLNFRSDAGVQILDSLCDDEYLDCNNLLASITGENCCFNSSRIDYYLQYEPHPSSTKNLRHLFQMIRKGTFARYDYGWWGNLRLYGHLHPPPFDLSSIPKSLPIWMGYGGRDALADVTDVERTVQELRSTPEMLYIRDYGHIDFIMSVKAKDDVYVDLMKFLRAKEGWHSSF >Et_9A_061820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16865078:16867377:-1 gene:Et_9A_061820 transcript:Et_9A_061820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGVHVSAQWFRLLVLLALLAATRAKDTVAADRPLFGEAKLVSQGGKFALGFFQPGNCSRPLVLRHLVQQNLGADAGVGGQPRQAHKPVSDPATSRLTIAADGNLVLLDASGSLVWSTNATTASNANANTTASNANANTTATAAVLLDTGNLVLAPASNASASFDHVTDTWLPSGKLRRDKITGVIQGMTSWRSRGDPAPGPYTLQLYPSGAPQYVLLWNGTRDFAFVDDDRECYITYGFADNSTVYRFVADVSGQVKGWFWVEALQAWNLVYAEPKALCAVPRGCGAFGVCGGAACSCARGFAPRDVEGWRLGDFTGGCVRNTQLQQQCGKNGGTVESKEDGFFRMDDVRLPDDGRVFAGASSSGGGDDDGCRRACLGDCACTAYAYNGSCVLWRNGLQNLEDNYVGQQAGAGGTLYLRLAASDLPHARSHKRRTVAIAVGNLAIIARCNLRDVFGWARVPNSIADIWEVYMVASQMWGVSTNFFEATGGENPQLIIIYN >Et_2A_016514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25514161:25516191:1 gene:Et_2A_016514 transcript:Et_2A_016514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSSSHFLATVARRSAFFASAPICDTTPALPEAHLDLLELDAEYYIASYPIKSDNCFPPIKAIDLNATMSGQRAPSSSPMPPQPQPFRFWLPFRSNAGSWRQQPRPPSSRPIPSSPQPPPTPPTPAEHPRSRAHAVEEDIPIQAESSDESDRLSVQSSGSSQLRGGSGPSMADMELTLTGAPPTGHEQSSGRGGGNDTKIAISGFPRSRLFDGARAPYRQEIEDGLKSLGAGREPRPESGQGYRVITLAGHNVGASMAEAAAATPTAAEPEDPGLAARPPPAVAANVNSNVQNVNNSSIEGSTCSTGNPGVHVDIKSAREEPPPPTREDEKPKRRPPLAVPATAPEKSAAAAPAKARPRRCLRSLMMENGSDTEAARKPKPSACKFQCVADHKPPAPTSNGGGAGSKNAEDGGNSGTKEAN >Et_8A_057751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6811798:6814624:-1 gene:Et_8A_057751 transcript:Et_8A_057751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLTGASGAARPLMRLVTMSGVPILRQLHLEERLLRRTGDNWCIINDGTAPPTIVMGVSGRVSELVEIPPVLRDRVPVVRRFSGGGTVIVDHGTVFVTFICNKTAVTGLQPFPRDIMTWTGQLYGKVFDGFGEFQLRENDYAFNQVKFGGNAQSITKNRWVHHTSFLWDYDVKNMDYLKIPKRAPEYRLARKHTDFLCRMKEYMPSRAVFTDGVIKALREHFSVEPTDIETVLSDDDEFVPSTKLLSQHDLEEIVSSKESLRVQEVQV >Et_2B_022257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15602058:15615413:-1 gene:Et_2B_022257 transcript:Et_2B_022257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARMTARLPALLPLLALSILAAAAPAAWAARFACNATAPRANTCQALISYSRPNGTATATLAAVRALFQLRSHRGLLAANGLPLSTPPTAPAPTPLRVRLPCLCSGGAGATFQRPTYRVRAGDTLDAIARGVFAGLVTFQDIAAANNVSDPNKVAVGQQLWIPVPCSCDPVGGQPAVHYTYVVPAGSSVAGIAQEFGTTEETILAVNKMPDAKSLLAGQVLDVPLRACGSNISNTAIDRNLLVPNGTYILTANNCVILDCQPTQGLSSSFCPVAKCGDMFLGNTSSTSSCEITKCSYGGYTNTTSFTILANLTTSNVCNAAGMSPTAQPAHSAAFRLEPARWRWAELVDDSVVTEAECLGFLEHNATFIYHVIEPFWQHCNQASRHSIGEAIVGCTEVFDTKHLTIEPDVPEEVKFASI >Et_2B_021742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:585650:586253:-1 gene:Et_2B_021742 transcript:Et_2B_021742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRALTAAIAGRRVAGQNGLPALASCRRGGGGGGAQSDTSRRSAHTQAAVEHDAVTAEQVEAALNSKNVDGVELQDHQGASRAATMRLPDEVVDDADAAWVPDQDTGVFVPAEEAAAASNSGATHDAPAPAATESVLDQTVFVREEEMQDVERPAVDMDNGDAAK >Et_2B_021498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30078946:30083299:-1 gene:Et_2B_021498 transcript:Et_2B_021498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPCSTSTTIPALLFARLPRHRAATAEATMPPRLLLLLLLAAAAGASPERDVYALGKLRAALVPATTPSRALADWDPAAAPPAHCAFSGVTCDAASRVVAINITAVPLHGGSLPPEVALLDALANLTVAACSLPGHIPPTVAAMPELRYLNLSNNNLSGPFPSPGDPSSSYFPSLQVVDVYNNNLSGLLPPFGADHARHLRYLHLGGNYFSGAIPESFSDLAALEYLGLNGNALSGRVPASLARLKRLREMYIGYFNQYDGGVPPELSELDSLVRLDMSSCNLTGPIPPELGRLANLDTLFLLMNRLTGEIPPELGQLTNLRSLDLSINELTGEIPPSFANLTDLELLNLFRNHLRGSIPAFVADFPRLEVLQVWENNFTGNLPSALGRNGRLVKLDVTGNRLTGPIPPDLCAGRKLSLLVLMENGLFGPIPASLGDCKTLTRVRLAKNFLSGPVPAGLFDLPQADMLELTDNLLTGELPDVIGGDKIGMLLLGNNAIGGRIPPAIGNLPALQTLSLESNNFSGPLPPEIGRLRSLSRLNVSGNSLTGAIPRELTGCGSLAAIDLSRNGLSGEIPDAITSLKILCTFNVSRNRLSGELPSEMSNMTSLTTLDVSYNLLSGPVPMQGQFLVFNQSSFIGNPGLCGGPIADACSPSADSPFRRWDSSKKLLVWLAVMLGVLVIAFFGGRKGCEAWREAARRRYGAWKMTAFQKLDFSADDVVECLKEDNIIGKGGAGIVYHGVTHAGTELAIKRLVGRGCGDHDRGFTAEVTTLGRIRHRNIVRLLGFVSNRETNLLLYEYMPNGSLGEMLHGGKGGHLGWEARARVAVEAARGLCYLHHDCAPRIIHRDVKSNNILLDSGFEAHVADFGLAKFLGVGGGGATSECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGSFGDGVDIVHWVRKVTAELPDTSDTAAVLAVADRRLTPEPVALIVDLYKVAMACVEEASTARPTMREVVHMLSNSSAAQPNDLNTF >Et_1A_006923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29024842:29028278:1 gene:Et_1A_006923 transcript:Et_1A_006923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLPETVPRLLTPETLRTAAKQSQGIHLVPLSLRRAIKRYLRDQDKAHMNRKVLLLSASFDRAKGTGAELAAAATRGALLDDPNAPAGAEQRAARWKVRSAYGDIGLRYREDETVAYVASRMPAIYAACHRVLREVRRRLPEFAPAKVLDFGAGPSSALWAIRAVWPKSIEKVNLVEPSKEMQRAGQSLLDNLKGLPLIHSYDSIQDLNRDIEKHERGHDLVISSYALGEIPSLNDRITIVRQLWDLTSDVLVLLEPGTPQGAKIISQMRSYILWMEKRKCRKLEKSSSRPPTNMKSIVDHESLLKNGAFVVAPCPHDGRCPLENSDKYCHFVQRLERTSSQRAYKRSKGVPLRGFEDEKFCYVALRRGKRPEGAWPLDGMKFETLKERHARRKPEDLIIDYDDQFPSEEDEEVPADGGDSLVPYASDAHELSLFHESEGEEVEEETMRADLGGGWGRIIYSPIRRGKQVQMDVCRSTKRDASEGAFERIVVTQSKNPTLHFQARRAGFEAAKCLIWDRVVGKSLLKFSAFDVPEFDFGQAVAWHSLQPLM >Et_3B_028020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31944360:31947455:1 gene:Et_3B_028020 transcript:Et_3B_028020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPVNEEVLGLVVFKSALSDPSGKLASWTESDATPCGWPCVECDPATSRVLRLALDGLSLSGPMPRGLDRLAALQEVSLARNNLSGPLPPGLSLLKSLRSLDLSHNAFSGPLPDDVALLGSSLRFLMLSDNQFSGPLPQGLGKSSLLLHLNVSGNQLTGSPDFEGTLWSLSRLRTLDMSRNQFAGPVADGVARLHNLKTLSLSGNRFFGAVPKDVGLCPHLTSLDLSCNAFDGHLPDTIAQLGSLVHFSASGNRLSGDVPSWLGKLTAVRHLDLSDNAFTGSVPDAMSGCSKLAELHLSDNKLSGSIPDSLFDVGLETLDMSSNALSGVLPPGTTRIAETLQWLDLSGNQLTGGIPAEMALFVELRYLNLSHNDLRAQLPPELGLLHNLTVLDLRSAGLYGEMPSNLCESGSLISVLQLDGNSLAGPIPDSIGNCSSLYLLSLGHNGLTGPIPAGVAELKKLEILRLEDNKLSGEIPQQLGGLENLLAVNISHNRLVGRLPASGVFQSLDASALEGNLGICSPLVAEPCRMNVPKPLVLDPNQYPHGGGGDNNLETTRNGAEGGPRKRRFLSVSAMVAICAAVAIIVGVGVITLLNMSARQRAETNKKKEEQMESAVTETTPPSSTGKSSGGKMVTFGPGSSLRSEDFVGGADALLSKATEIGRGVFGTVYRASVGQGRVVAIKKLATPNIVASRDDFDREVRTLGKAKHPNLLPLKGYYWTPQLQLLITDYAPHGSLEARLHGCGNALPALSWEERFRVVSGTARALAHLHQAFRPPMIHYNVKPSNIMLDERCNPMVADFGLARLLPKLDKHVLSSRFQGGGMGYVAPELACQSLRVNEKCDIYGFGVLILELVTGRRAVEYGDDDVVILIDQVRVLLEHGNVLDCVDAAMGDFPEEEVLPVLKLGMVCTSQIPSNRPSMAEVVQILQVIKAPVGGRMEAFN >Et_6B_048895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15149868:15150933:1 gene:Et_6B_048895 transcript:Et_6B_048895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIRAGSGSMLKIRARSEQDQSKNQNLIANLEESWRKVAHTFIFLYLLLVLTTITSFTIDKLNNNSLEEDLDVGVIKMLPITEMVMMSCLVTLSSLIKFKTLIHMCAMRSVEQRNVKISRSHYQALWHLRQFHDRFLAVPLVLPHFTVEIHCIICLLRKIFNAWNNEKDRGVNTFPSDVRTAFNDILNEHKICGQ >Et_9A_062380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22316422:22327750:-1 gene:Et_9A_062380 transcript:Et_9A_062380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGHQQRNPAKSHLCVWVAAHQCSPLVISPNVIDSGALKLSLLEVKAKWDPSWEEKALNAFATRDSSPIPEGISSCGSGKTENVDMSCAVGDDDCDKAALKERMRLWQQNYDEKWGSEELTWEEKVLEVLNIVRCREFTEYDPKKREFVHTRLCRFNIAFFDFEKESTAALGPPLSELKRSAWRSLDASVNVISLKITESGYPICVFGTVLARDQLDYKCVYLFKRDRDNPQVITSPIRVVVVPLGLTTNSLPHFDEKKLSSPIRIIKCMRLVPNAELGPPLSELDRSSRGLLEDSVNVISLRIAESDVGYPIRVFGTVLARDQLDYKCVYLFKRDTDDPQVITSPNDMLTLTGPYRILAVTDRMFFEINLKIKCDDTGDRDFSKVFVCAPVQLAVEATLAVNIIKGPRHFTGKVITWTARNSKSRVILYDSEAAGTITEVGDTGSVMLSRRAVAVSLGEKLVVNICVSGGDGFELKLGQQNDKQICNVGSYDLQVTVTWTSNLNSRRKKAFKNLDSAILL >Et_3A_025017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26131514:26133706:1 gene:Et_3A_025017 transcript:Et_3A_025017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSSSAPMNCIGCTIEKHGHVFLVHLKQTCLVKYLELQRHCSWREICLLSNGDFETAPAGGFAKSASVADGASSIPGWTINGTVELISAGQHQGGMILIVPQGDHAVRLGNDASVGQVVQVEKGSEYAITFSAARTCAQLEALNVSVLGGVSQTVDLQTLYNIEGWDAYALAFQATDEEAHLQFMNPGMEDDPTCGPILDNVAVKKLFTPDKPKDNVVVNGDFEEGPWMFPNTSFGVLLPTNLDEQTSALPGWMIESNRAVRYVDSDEYKVPQGKRAIELLSGKEGIISQMVETTPEKVYSLTFTLGSAGDSCQPPMAVMAYAGDQAQNFHYSPMGNATSQVANVTFTARAERSRVAFYSVYYNTRSDDHSSLCGPVIDDIRVWGLNAAAGLKASIGLVLGIVAIVSMLLF >Et_4B_038163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26933552:26934884:1 gene:Et_4B_038163 transcript:Et_4B_038163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCINIAIAKGGVEDFELVVNGDCVSYDLGLLDGYRDLRLRRLVLSNCQVVPIWNSSTLQGLTKLSLGEGSYMGLVNDILRNCTQLTDFRISNSIYYQSSFPIAVPSSKLKNLQVDRCSFGKIFLTSLPCLETFYCRDRPTKLYYGDVPRLRHVSLDYSQTKDDDKDERSGSNRVYSPSMFFSMVPPLDCLVLQFKEPQIWIAPLAVPAPFNHLKKLFVANVPVNWDTFWIFLLLDAAPALESFHVHFDTSTEVQSTDVVWSSLDADGQQQHQYRRLKELIMAGFDGVGWQTSFIRLIMKKSPLLRCIHLLDRQIVDDGQELGGLQIIPRRRKWHECERSKVIEDLTAGIHWPPEIILE >Et_1A_009599.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:949626:950954:1 gene:Et_1A_009599 transcript:Et_1A_009599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRRRRSRCYLLDYACHKPSDDRKVTTETAGAVIERNKRLGLGEYRFLLKVIVNSGIGEHTYCPRNVLEGREETPTHGDALDEMDDFFSDAVAAVLRRTGVAPRDVDVVVLNVGSFSPAPSLVSRLVQRFGMREDVAAYNLSGMGCSAGLVAVDLARNVMLARPARTTMALVVTSESCAPNWYTGADKSMMLGNCLFRSGGAAALLTNDPAFRARAKMELRCLVRANIAAHDDAHAAAVHREDADGRLGVSLSKALPKAAVRAFTENLQRLAPRILPAGELARFAARLLLRKLFLRQKQLPASGPKINFKTGVDHFCLHPGGTAVIEAVKKSLGLTAHDVEPARMTLHRWGNTSASSLWYVLSYMEAKRRLNRGDRVLMVTFGSGFKCNSCYWEVVRDLHDAGAWGDCIHDYPPETLVNPYMDKFGWVNDVEGQGGGFVF >Et_5A_041279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20573523:20575528:-1 gene:Et_5A_041279 transcript:Et_5A_041279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGEWGVGDGEWVAWADGGCSGGVGLTGVNGVGERTPALTCFHLNCSLLLLLLLVAAVLLPCLLSQPAGNICGTKANGRYVCPDCSTYNSTRGASFEANLLRFRDTIQDTAAANAGFLNATFAGGGGDAAGDTVYGLATCLADAERADCAACLAGAAAELPATQCAQGGRRSMVLWYARCLVRYDNASFFGAADTSPAWRFAVPNPSNFAAPQPEQLIRPDAPRRGAREARRPGARRRRRLAGTLREEVTANVTLHGLAQCTLDLPTAECDRCLASHMAWLAGCCADMDGVRLNGPSCYLRFEFMGFAPGTPPSMAPLIQPSPPAAVPPGAGSSSIPYIIAGALLDAVALCLFLLGVVFLCYKKRHRWQRHWPWKWSSNKLNTKRMESFLEHHHPRRYSYSELGQGGNGVVYRGSIRDTCEVAVKMLKDAKIDGEEFMNEVASISRTSHINVVMLLGFCLEGSKRCLIYEYMPNGSLEKYTVGNGGEAVGNAEERSLSWEKLFAVSIARGLEYLHRGCNAHIVHFDIKPHNILLDRDFRPKISDFGLAKLCTQKESTIPVSIAGARGQSVTLRRRCSGGRSEQSPASPTCTAMV >Et_1A_006737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2737423:2740234:1 gene:Et_1A_006737 transcript:Et_1A_006737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVELPAKQRNQEAEQNGKATGGIVGIELWNHPKLPIHGVHPLPSSTDAEVVAAEAMVPPLNFAMVDDGIFRSGLPDAANFRFLRSLNLRSIVYLCPEPYPEENARFLEQNGIELHQFGIEGRKEPFVYIPEERIREALKVILDVRNQPVLIHCKRGKHRTGCVVGCLRKLQKWCLASVFDEYLHFAAAKARSTDQRFMELFDASSLMHLTASQY >Et_5A_041684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25955517:25969647:1 gene:Et_5A_041684 transcript:Et_5A_041684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPLRQPPELPVEIVEEILLRVPPDEPSDLLFASLVCKRWCDLLSNPFFHRQYCRHHRTPPLLGFVDDVEAGPRFTATTIGSPLSTPGAGAESWWVLDSRHGRVLFHVNENSFVVWDPLTCDYHIVRVPPYAHNDRFTATVLCAGAGCDHLDCHGKPFLVVFVGGDEDDDSIWASVYSSETRAWGDPSTSELILAVEMCPSFLIGDAIYFMADVHKNILVYDLPGKGLSVIEAPDKEYKQGSSIVMLEDDRLGYVGVEDRSLYLWSWQEAGADGTAGWKQCRVIELGPLLALPETPVSISIEGFVDGTDTIFLCTGVDIFMLKIKSGLVKKVDGTGPYYVVIPYNSFYLPGIKKRLPMAPPPELLEELVGEILLRLPPDEPADLLRASLVCKRWCRLLSDPFFRRQYCRYHRTPPLLGFVDDAGGGRPRFTSTVASPLALPAADFGFVLDSRHGRVLFHSPLTEFMVWDPLTRDQHDVPAPPYPYSDDYAATVLCSVAGCDHLDCHGGPFQVVFVGGNKDDGSIWATVYSSETGAWGASSTSELKVNLDMFPCLRIGDALYFMANRGMNILVYDLAGRGFSVIEVPDADKEYRKGGSIVMLEDNRLGYVGVEDRSLYLWSWQEAGADGTAGWKQCRVIELAALLALPDTPVSISIQGFVDGTDTIFLCTDVDIFMLKIKSGLVTKLDNNGPYYVVIPYTKLAMAQPPPRPPPELLEDVVDEILLRLPPDEPADLLRASLVCKRWCRLLSDPVFRRRYCRYHRTPPLLGFLHEMYDDDHAPRFVSILASPCSPPALVCRSWWALDSRHGRILLHSFAPTNLVVWDPLTREQQRVPLPPYRHTFYTGAVLCAVAGCDHMDCHGGPFLVVFVGSNEDDGLIWVSVYSSETGAWGASSTIELALAVETLPSLRIGDALYFMIDLNKSILVYDLAGRGLSVIDVPDKRYKDIRSLVMLEDGRLGFVAVEDCSLDLWSWQESGADGTAGWKQCGVIDLATLLPLPDTSAYVGVAGFVEGTDTIFLSTDVGIFTLKINSGQVKKVGESGLYSAIIPCTGFYLPGLAMAPPPPRPPPELLEELVGEILLRLPPDEPAYILRASLVCKRWCRLLSDPAFGRRYRGLHRAPPLLGFFHNMYESGTIPPFVSTVASPCSPPALNCSSWWTLDCRHGRVLIHRFDPSDLVVFDPLTGEQQHLPLPPYPHISYAGAVLCTMAGCDHLDCHSGPFLVVFVGTDDDGPTWASVYSSETGAWSASSSIVIDSHIEAWPSLHIGNSLYFMVAQGMCILRYDLAGRGFSVIDVPDEYEEMGTFVMVEDGRLGFVGVEDRSLHVWSWQEAGPDGSAGWRQCRVIELTTILPISDMTDSIEVVGFVEGTDTIFLNTNGGIFTLKIKSGRVKNVGESGPYFAIIPCTGFYLPGFLLRAFKTCVTLVRPSYFFEEALLLVLDVRYERLGMATDSKTKKGNSMARAYALSAYRASSARPGPTAKAGRAARRGGEGAAALPEVGEGGGVRVRVVGGRGSSIHRRI >Et_4B_036899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12403799:12408092:-1 gene:Et_4B_036899 transcript:Et_4B_036899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLARLKMRAGRVELLPLLIAKGMMIPNPLGIFISPSAISVVVVTTGIFLSTFPQNLLPKSILPFWIHTEQEHCPVAVASLLALFECKPQASDRVDHFRADCSLALIVIHKITFNLSMEKAGLITLRCRTQSMPSTKTNPLPSNAKTTGGSLELFEDSIQIQKSEKKYRKNAIRACGTFAAFVTPFSNLNGSNSLALSPQTSLSRCSIGMGITIAFPSGIMRLPNLISEMGFLSMKITGP >Et_4A_034249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31141211:31142747:-1 gene:Et_4A_034249 transcript:Et_4A_034249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIVEGTKYECLIFGMSQALTTQLNRYCTYLFSLFQTVQISISAISFADMDDTLYPFSAGINLACRKNIQDYMRHHLKIEESQIAEMCLELYKEYGTTMAGLKALGYKFDNDEFHANVHGLLRRPKSPVLCKPSIEAVEAAIRIANVDPKKTIFFDDSTRNIASGKAAGFETVIVGRSTLVPGADHALESIHNIKEALPQIWDGQDWSESDVARPSATVEAAAVVA >Et_9B_064141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11706810:11710444:-1 gene:Et_9B_064141 transcript:Et_9B_064141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALAGFSAAAGEAPTSGEHRMGTTIVGVCYDGGVVLGADSRTSTGMYVANRASDKITPLTDNVFVCRSGSAADTQVISDYVRYFLHQHTIQLGQPATVKVAANLIRLLAYQNKNMLQAGMIVGGWDKYEGGQIFSVPLGGTILRQPFAIGGSGSSYLYALLDHEWREGMSKEEAEKFVMKVVSLAMARDGASGGVVRTVTINADGVTKKFYPGNKLPLWHEELEPQNSLLDILAGNPDPMVQ >Et_1B_011982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27763065:27764350:1 gene:Et_1B_011982 transcript:Et_1B_011982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPEWVDIVKTARFKELPPYDPDWYYIRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVEQALFSVTIRRCLPVCRTGQRCNHGNYSHVTEVQPWRSRPFPGDKLLRHSAGGRRMSYDVPASPCHHTIRCGNAGAGQTLLAAGVNPMLP >Et_4B_037091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14616051:14620122:1 gene:Et_4B_037091 transcript:Et_4B_037091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDGHSLHRQPETSWSLASPNRRQNPPLLVGVVLPKSKPKQTLTLSPAMALTTRGGGGAGGDPAKPPSASDPSLGFLTKRDTEVKLPRATRVKNKTPAPIQITAEQILREARERQEPEIRPPKQKITDTHELAEYRLRKRKEFEDVIRRVRWSVSAWVKYARWEEQQRDFARARSVYERALDVAHRDHTLWLKYAEFEMRNRFVNHARNVWDRAVSLLPRVDQLWYKYIHMEELLGAVANARQVFERWMAWRPDTAGWNSYIKFELRYGEVERARAIYERFVAEHPRPDTFIRYAKFEMKRGEVERARRVYERAADLLADDEDAEVLFVAFAEFEERCREVERARAIYKYALDRVPKGRAEELYRKFLAFEKQFGDREGIEDAIVGKRRFQYEDEVRKKPLNYDSWFDYIRLEESVGNKERIREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAQDVERTREVYKECLKLIPHKKFTFAKIWLMAAQFEIRQRNLKSARQILGNAIGMAPKGKIFKKYIEIELYLGNFDRCRTLYEKYIEWSPANCYAWRKYAELEKNLSETDRARSIYELAIAQPALDTPEVLWKEYLQFEIDESEFDRTRELYERLLDRTKHLKVWISYAEFEASAGLSGEDSENEEKKNEVGYQEQQLERVRKCRAVFERAFDYFRTSAPELKEERAMLLEEWLKKEVSFGDLGDVTLVQKKAPRKVKRKRPIPTEDGSTLAYEEYIDYIFPDEVTLAPNLKILEAAYKWKKQKTGDDDE >Et_10B_003919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7517283:7521890:-1 gene:Et_10B_003919 transcript:Et_10B_003919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVARYPRSQQEDHHCIISATKALAAVTNFLMPPSSQMAIQLILILISCSLYSMYSSSSSASSSLPASSSLAFLVLVISTCLSLLFANLRHLLKANTQKSQTTSHSMEDPVHEEKSIVLHDEVPEDAAEDLVGSMSESSDCTASDDERTEDSVSDDDDEESLIEISLADGHYVGVGQGEQCVFKEKDLLAEFLPDLVLDKRDFIDILSEISEEDSLIEIDIARGSIKCSNFGIKA >Et_1A_008604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9146850:9156308:1 gene:Et_1A_008604 transcript:Et_1A_008604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTPAAAAAVAAAATTDDPSPSPSDSASATFTVERRGDASASCRWTLPDFPRTRARTFYSRYFEVGGFDCRLLLYPRGDTQALPGHLSLYLQVLDPKTPVSSSSSTTTTSSSKWDCFLSYRLSVVHPTDPAKSMGRDSWHRFSSKKRSHGWCDFAPSYSSAFLFQPHDALVIAADISVLSETSSFADADGRFTWKVLNFGLFREMIRTQKIMSPAFFPAAAVAGGSDCGLRISIYQSNVSGSDHLSVCLESKDSVVQAASGSSVQASAASSAGNGVPDGDRGCWCIFRVSILNQRSGGCHIHKDSYGRFGADNASLGWGDYIKMDEFLAADSGYLVDGAVMFSASVHVIKESNSFTRSLPMVMGIGGTGVGRAGARKSDGHFGKFVWRIESFTKLKELLKKRKITGLCIKSRRFQVGSRDCRLIVYPRGQSQPPCHLSVFLEVTDPRSTTTEWSCFVSHRLSVINQKVEEKSIMKESQNRYSKSAKDWGWREFVTLTSLFDQDAGFLVQDTVVFSAEVLILKETATMQDLSDEDPEICSSSSGCQIEASPKRPSFTWKVENFLSFKDIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSSGSDPDKNFWVHYKMAIVNQKNSAKTVWKESSICTKTWNNSVLQFMKVSDMLDTDAGFLVRDSVVFVCEIIDCCPWFDFSDLEVLASDDDQDELSTDPDELIDSEDSEDMSGDEEDMFRNLLSRAGFSVTYGDNYTQPQVTLREKILTDASAIAGFLTGLRVYLDNPAKVKRMLVPTKVSTKSGGKKDGSKSDSSSTSLISLLMGVSALKQAIIDLLLDIMVECCQPSEERSTYGSSSSTKTSPDSNGASSPPELSVEGELTDCACSNVYERAEPNSDVIRDSPASQDADLATNDIAANNMEHSCFPPETSSTDLPADEDSEQASRSKWPEQSEELLGLIVNSLRALDSAVPHRCPEPRRRPQAVQKIALVLEKSPKQFQPDLVALVPKLIDGSEHSCAACALLDHLQKPDAEPSLRLPVFGALSELEFESDIWKRASVHALELLSDSNDESLVAAITYVLKVASQCQHLSQAAKSVRWRLKDLGTEVPQCVLDLLSKTIQSQPDVAETILKDIDSDCEPDSECISSSSSSCATDGLSAEGMHSWQEQAVHGRNHLSDVFVLIEMLSVPRLFVEVAQIFERALLRGTFSLQLVAMVLERRHSHRSSLKSGSVVNDSQDKLVLLDGQFEPLAVQEDDFTSVLALGEVLSLSTETKIQDFVRMLYAIMFKIYAEDHYRYRILKGLIERATNTSDNCRAVDIDMDVLVFLVKEEYGIARPILNMMREVAEVAQADRANLWHQICATEDENIRLREEMEIEQTNFTNEKAALNQQLTESEATIARLRSELKTERDRFTREKKKLSDQMVEMEKQVEWVRSEKDEKISKLSADKKSLHDRLNDAESQLSLVKARKREELKKLTKEKNTLAERLKSAEASRKRFDEELKRYAAETLTREEIRKSLENEVRRLTQTVGQTEGEKKEKEEQVARCEAYIDGMESKLQVCQQYIRTLETSLQDEMARHAPLYGVGVEALSLDELEALANIHEQSLRQIHAIQQRKGSSHLLGGTSLAHIPGLFSSPPSVAVARPSSLIPTSPIAPNGAGIRGNVRVNGAANPWFNPT >Et_1A_004840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11287871:11288869:-1 gene:Et_1A_004840 transcript:Et_1A_004840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQQLEPYRNTDNKPPRARAASEGTSKHAPRGADPAAMEEEEDDFTFPTTVAAATVAAEERGDVVVDGAVATPATGLGGGLPLLPGPAAQGASRLWPFAAPFIDSATAAATTVKQDEAPAPTRRDEEEQERATAAADEDRMDMLWDDSTAAPRRAAVQAEPAAPERRADANKPADEERAAAVAEQERMDLLWESFNEGLLLRRARSKKADDHKQQRIAGGAAAPGLVDDADTWYLCPSSTWSDAESDRETSASSPRYGCAPTMLRASSRAGGAGQFYGGGGSPRRRRSRSGGGWALLLRLFRRLFAVDNKAPSRRHSIHVP >Et_3A_025850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33290300:33293739:-1 gene:Et_3A_025850 transcript:Et_3A_025850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSVLLSVEVKKQSSCTVHLVNKSNEYVAFKVKTTSPKRYCVRPNTGVILPRKTCDFTVTMQALRTAPPDMQLKDKFLVQTTVVPYGTSDEDLIPAFFSKETGRDIEESKLRVVLVSASDPLEEQPINGIPNTEPAIEVPVLKEMSNIGNEVPALPKEVPYPLEQTPAVLTPLEQTTAVLAKIPSPVKETRGLREIPVPVEETPGLREVPVPLNETPAVLTEFHSSKNDEPAITIEHAPAITIEPHPPLKQNTTAFKESPPLDETPPKEAIILKDVQNLKSKLNSLESKLEEAEKMIIKLREESRTTTQERDKLQDEMVFLKKKGTPRNQVGFPLLFVVYVALLGTSLGYLLRL >Et_7B_054710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3790007:3792016:1 gene:Et_7B_054710 transcript:Et_7B_054710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCRVLVSVVCLLLAVVAWAPRAAVARSSKYAAIFNFGDSLADAGNLCVDGIPDYLATARLPYGKTYFGYPTGRVSDGRVVIDFIAQELGMPLLPPSKARNASFHLGANFAITGATSLHTSFFEARGLRHAVWNSGSLHTQIKWFQDMKPSICNSSPQECRDLFRRSLFVVGEFGGNDYAAAIFAFRPLEEVHEFVPHIVDSIGRGVEKLIAEGAADLVVPGVLPTGCFPLYLSTFRNPDDRPEAYYGPRSGCIKGLNTLSWVHNALLRRKVDELRRKYPGVRIVYADYYTPAIQFVLHAEKWGFLRQTPRACCGAPGVGEYNFNLTSKCGEPGAYSCEDTSNHWSWDGIHLTEAAYGHIAKGWLYGPFADPPILGSHAG >Et_1A_005034.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22773665:22773916:-1 gene:Et_1A_005034 transcript:Et_1A_005034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREDCPTQINYFRNCLARDEIRAQCCSVVADHKCLCQLEREVVAVPCHPHPRRYGHPCNKSAAPGVKRSELQGLPCFQKLKC >Et_9A_061827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16918731:16921463:1 gene:Et_9A_061827 transcript:Et_9A_061827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRDVVELLCCLRLRMNCLRRVKNDRINLLWRTRAQEPLPTNDMKKVGSAVRNIISDELQKLKQSVEEKDYQEMDVQRLEQSMEGKEDQEMEVIWEYQGPQVDWPADDNEDVLLEMERLLYEDLREESIRKELEALDEEDAYLANAVFEHMQLNDTEASGTAKVWCPVCKHGELRDTHNLIYCTSCMLRLDLGDDKITLEFLRERLANAHMEHFDRGCKAAPKFCLQTKFGLTALYIQCEECGAFDIVV >Et_1A_008086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4216748:4218931:-1 gene:Et_1A_008086 transcript:Et_1A_008086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAAMEDLPLITNSLTRLPLYGVDLRERTTVFVIWGSPMDQNSGELAYRAGNGRVLAGRKHPNTAPSTSLSTCPLSGTYRGCTPRAAAPSLGPPRQPGISRLLSFLGDRSREASASPAAKACGSRGTKKSLEVRACA >Et_5B_044253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2242792:2250433:-1 gene:Et_5B_044253 transcript:Et_5B_044253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIGGDVEHRVRMPAHRAGKGKGATLEKRLNCFVRIVAFIERVGNALGILAFTWATVVLLGGYPTVLHQGFGDFWCATIIVFLEAARMFSRNNKLDYQMFFHTKGAFRRLGWNGLIVIVYLTNIGNYRIALTGKQARLAFAIAKGIVLVVVPLWTAFLVIFLPVLLLTISRLRFPTIIRLVDSTLGTKIIFWRRLILNLCMFAALVVLVFIHDDPLFRKALAIYEAYAFVMFSYIPKRSLARHDELRGPWGVELVNLYYAYAFEKSMEGDVLAPKKISLNSFAIYCLNSDAARRYPDNAQSSRKGGDQQATLYETHKFNKNSVQIN >Et_4B_036705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10824099:10830105:-1 gene:Et_4B_036705 transcript:Et_4B_036705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAMAMAVKVLLSLCCVAACGLAAYLYYVLRVAPRRVLAEFRRQGIGGPPPSFPYGNLADMREAVAAAKAARASARRGGGSDIVHDYRPAVLPFYEKWRKEHGPIFTYSMGNVVFLHVSRPDVVRDINLCVSLDLGKSSYLKATHEPLFGGGILKSNGEAWLHQRKIIAPEFFLDKVKGMVDLMVDSAQTLLRSWEERVNKNDGITDIKIDDDIRAYSADVISRTCFGSSYIKGKKIFSKIRELQQAVSKPNVLAEMTGLRFFPTMRNKQAWELHEQVRTLILEIVKESGEDRNLLSAILHSASSSKVALNEAENFIVDNCKSIYFAGYESTAVTAAWCLMLLGLHPEWQDRVREEVHEFCGDRPLDSQSLQKMKSLTMVIQETLRLYPAGAFVSRQALQELTLGGVHIPKGVNIYIPVSTMHLDPKLWGPDVKEFNPERFSDARRPHLYSYLPFGAGARTCLGQGFAMAELKILISLIISKFVLKLSPRYEHSPTLKLIVEPEYGVDLTLTKVQSAS >Et_4B_039582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22813284:22817594:1 gene:Et_4B_039582 transcript:Et_4B_039582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLMETRDNFLRPPTPSPDETGAGKSLRIPTAGDTAATNLTSSSASSSSLTLSPPSFLHQVHAAVKRQRPLGSVQPNSQRATRVLVPRAERVKKGSASPSAQPNPAGKVMQPQRGLLGPSRLQNATPGQQKVSSSVKLGPTTPDQFMLATPSMLGNLTDSCDPSAGQKCQKKSDDMLVDKGLKSPLEVSASRLASQDALSGESFKKEQFYSVGQQLTSQTGDNFPANQGEHDHRVNSQELNIADAAVDMDIKYDAVNSSQRGTEEARNQNQGEPTSRCSAIGSSITAVSIHSGPTVQSGQASQRDQYASSVQMPESAVEASGGVPGHGPQKPPTGVTGVGDWNPHNQQVHNSGTCANDNVVPGNRSRLPSEGLSANDQSTSGRDGGGSKANKGEKERHKKSYDPNVFFKVNGKLYQKLGKIGSGGSSEVHKVISAECVIYALKKIKLRGRDYPTAYGFCQEIEYLNKLKGKSNIIQLIDYEVTDKSLLQEGSMSPRDGRIKDDHYIYMVLEYGEIDLAHMVSQKWKERNNSNMKIDENWLRFYWQQMLEAVNTIHEERIVHSDLKPANFMLVRGSLKLIDFGIAKAIMNDTTNIQRDAQMVYGKTPFADYKTFWAKYKEVTNRNHKIKYEPVDNPWLIDLMKRCLAWDRDERWRIPQLLQHPFLNPPVPPRDLPPVDYDTCKLLMERARAHWANPEVSRRLSEFCFELSSLIEKLEKDQ >Et_1B_010580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11865907:11868407:-1 gene:Et_1B_010580 transcript:Et_1B_010580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEKAKEAAAEKGKEVAAPAEEKKGDGGGGEEKKEDAPPPPPPPEEVVMKVFMHCEGCARKVKKILKGFDGVEDVSADSKAHKVVVKGKKAAADPMKVVERVQKKTGRKVDLLSPMPPSKEEKKEEEKKEEPEPPKAEEKKEEPKVVSVVLKVHMHCEACAQVIKKKILKMKGVQSAEADLKASQVTVKGVFEEAKLADYVHRRTGKHAAIVKSEPVAADNAGDGNSKDDKKAADGGEEKKDDSKEEKKDSGDADKQKDDSNAGDEKDPAAMANLYMHYPRFNHPSGYGAPGYTYHYAPQLFSDENPNACSVM >Et_10A_001374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2480919:2482474:1 gene:Et_10A_001374 transcript:Et_10A_001374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLAVGVRAFSTSAPGAGAGAGVSMVQGASRGIGLEFVRQLLRRSEQGRVVATCRAPDSAPELLKLKEEHAPGRLTVLPLDVTDESTIEAAAVTIGETHGSLDLLINASGILSIPNVLQPETTLSKVQKSSLLLAYEVNAVGPILVIKHMWPLLKVGGCSETGRGFSLVANMSARVSSIGDNGLGGWHSYRASKTALNQLTKTISVEFGRKDKIACILLHPGTVDTDLSRPFQRNVPQGKLFTREFSVQKLLSVIDNAKKSDNGKFFAWDGQEIPW >Et_2A_015141.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32162746:32163372:1 gene:Et_2A_015141 transcript:Et_2A_015141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFDGDVPRHGGAGSGALGVHAASRKIGKQQQQERKPVIIYMVSPKVIHVEAHEFMPLVQRLTGPDSGGRGDRKGRPSTSSGSRALDQEGTRDNKGAGDRTAPPVRVKARALNRPAGGAAVSVSVTATRMANAASSAPSPSGLMFHDLSPIRGGALKGEHPLVSPGWLHQVGDHFLSPSAAAGLGSPSAGFFDIFGPLSSQQQQQ >Et_8A_056076.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17034196:17034510:1 gene:Et_8A_056076 transcript:Et_8A_056076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFFSCSSCLFPLLSKYSIYTKFLRSTFLAFSRNSAETTVSILWRSSGDFSRAVRRNPLYSANTCLWIPDSFVREAISFLCSNHQSLENAAYEMSCLLSIHKL >Et_10B_004016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9237236:9238341:-1 gene:Et_10B_004016 transcript:Et_10B_004016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCFGEVVDNYKLNTMLRYVGKPKTQEDRAREALNLVNEDNKNTKAATYVRGVKQWYGNGQSTLCLVYNATGDTVSYVADHDWWGFIGRTPYPTEIGNGQWAAFHHVHKTGDASGSEAAVVYRGKNKDGELRDYLVAWSTPWNFLYRNKAYCEIGGVDSFNNRWNTLYDKVNNAGYSWKAKFDGSEIEASTASGDSPIFTAILKTQFAP >Et_1A_006939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29126675:29131057:-1 gene:Et_1A_006939 transcript:Et_1A_006939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAWGCVERVATGLLGANGGGRWNTAVAVGVTAAAGLALVAIIVSSRRGGLKSPWRRRRRKAPLTAQEWRDLFTPEGKLQDGGAKLLKKVRGGGIEPSIRAQVWPFLLGVYSLDSSEAERDAVKAQNRKGYLLLRKHCLRKSAYSIEESNQKSYETAADNCEESISPNKGEESGTSSVEVAEKPSIKEQHTLEEETPVNTEHEPQDDISETSPEQVKESHSSSSSSDAEESENSDVTHEEEPHEDAPAVHHSSVEDEQESIPRYSNTGGNMENDHELSKAAHPVKSTKAIEDFETWQRIIRLDSVRANDEWASYSPSQTAVSKEKAIESAKAVCLKDYEHLEPYRIHHASRLVAVLEAYAIYDPEIGYCQGMSDLLAPLLAVLEEDDEAFWCFAGFMRKARHNFRLDEAGIRRQLNMVARIIKYKDFRLYRHLEMLEAADCFFVYRMVVVMFRRELTFEQTLCLWEVMWADQAANRAGIAKSSWQKLWLGSPPTDDLLLYAIAASVLQKRKLIIESYSSMDEIIRDCNSMAGQLDIWKLLDDAHDLVVTLHDRIYDNTSKDT >Et_7B_055750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3421529:3421879:1 gene:Et_7B_055750 transcript:Et_7B_055750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKGRPLPKFGDWDVKNPASAEGFTVIFQKARDDKKTTGPGHAQNGIPPAFRNNGDGGYRPDFKAGDSYPYTPPKRGKKRWFFCTC >Et_5B_043151.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:11769550:11769585:1 gene:Et_5B_043151 transcript:Et_5B_043151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRIVVLKCVA >Et_7B_055555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14257058:14262698:-1 gene:Et_7B_055555 transcript:Et_7B_055555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRAASGLARVALRRSLSRAPPSPFAGALPARHFHSTRPTRFAAPVPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPTSVDESWDNFFRNFVGQASTSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEQRPVPDELDLAFYGFSEADLDREFFLGVWKMPGFLSENRPVQTLRSVLERLEQAYCGTIGYEYMHIPDREKCNWLRDRIETVKPREYTYDRRQVMLDRLIWSTQFENFLATKWTTAKRFGLEGAETLIPGMKEMFDRAADLGVENIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPIDEGEGLYTGTGDVKYHLGTSYDRPTRGGKHIHLSLVANPSHLEAVDPVVAGKTRAKQYYSNDRDRTKNLGVLLHGDGSFSGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPKAGRSSQYCTDVAKALDAPIFHVNGDDLEAVVHVCELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYKVIRNHPSALEIYQRQLLESGKISKEDIDKLNKKVSTILNEEFQNSKDYVPNKRDWLSAYWTGFKSPEQISRIRNTGVKPEILKRVGEAMTTLPENFKPHRAVKKIFDQRRQMIETGEGIDWAVGEALAFATLIVEGNHVRLSGQDVERGTFSHRHSVIHDQETGEQYCPLDHLVMNQDEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVIFDQFLSSGESKWLRQTGLVVCLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMDPTLRKQIQQCNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKDCKSNLSEFDDLAGHPGFDKQGTRFKRLIKDQNNHRDLEEGISRLVLCSGKVYYELDEERKKSERTDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYISPRLLTAMRALGRGTIEDIKYVGRAPSAATATGFYSVHVQEQTELVKKALQRDPINYPQDAQYTVSTECN >Et_4A_034417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32382111:32383439:1 gene:Et_4A_034417 transcript:Et_4A_034417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTEWSNSENERFERALATHGKDWERIAAAVGGGKTVDDIKRHYDLLVEHVGDIEAGRFGYPNASTSNGNSNSNRYDVLFVISVYVCTCVYIYTSVLAARTYLLLLIFVSVCVRVAELIGPRHDLTSTLLRHTHINQSLCTIIVHTIRIGMQAEWPPTCCGSWRGNLGVEDAEKVTRIAASQSVRMNYYPPCPQAHDKVLGPCRRTRTLLLQVSPVPGLQIPVAPLPGALVANGKHRSIEHRAVVNATEERLSVAAFHSIRFGNAFGPLDEIVGGGEERYKTVSVEEYVKLVLSSKLDGKNIPVPSCFSKGELRSRWKSTSPLPQRAEENGASRPVRHG >Et_5B_045586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4965960:4966480:-1 gene:Et_5B_045586 transcript:Et_5B_045586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASSSEPRLTFRCATCAKAFASYQALGGHMASHRKPRRRRATEARGHRRQLVAASTSARGFATGQALGGHKRFHYLHGPSVSASTLPREAAGPSARWFDLNLAPGTAPETAFAGADEGEEVQSPNLPAKKKNPHRLLKSSRSPKRSRPEHVNVSTNGVRSGEPWPKR >Et_2A_018858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9781645:9786341:1 gene:Et_2A_018858 transcript:Et_2A_018858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKMMYYSDESAREMELAAAERQMGCSCSAFGRMISRAVKGSNGRKRRGKKERFDYAMAYPPAQTHYVRPNASTITVTTNSHHQPSNAHAHTSPPEPTRAYATVPGAPFPATAAHPQSAGAGNKPRKKKKKKRVTFNPEVGSMPGNAPQYAAAASTGAAAGGSVYHHGAAEPYSPAPPPAHGHGHAYGYGYGRYAPSPLPRFEVVGTPRRHEYFSGEYRWYYPTPVRESIYSFATDANHRLTNFFSEENPNACTIEVSIDIILFHKEIKEA >Et_10B_004038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9526444:9537722:-1 gene:Et_10B_004038 transcript:Et_10B_004038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVMKIASERAVVVFTLSSCCMCHTVTKLMADLGVNALVVELDRDARGKDMERALLKMLGGRGPAVPAVFIGGRLVGGTNKIMSLHLAGELKPMLQNAGALWLNKAAMADRLAKLSTEKAVVIFTTSQCPMCYTVASLFSDLGVCAAVHELNKDPRGRDMERELARCLGRSPPVPAVFIGGKLLERSLRESFAWRSVKEMADRVMKIASERAVVVFTLSSCCMCHTMTQLMADLGVNAMVHELDSDPRGKDMEAALLKMLGGNGPAVPALFVGRRLVGGTKWVMSFPPRRRARANAQERRRALAVRRNVHCVDEMENEPKTEQGLM >Et_10A_000285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21210731:21212624:-1 gene:Et_10A_000285 transcript:Et_10A_000285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEDVLKVQTCVLKVNIHCDGCEKKVKKILHKIEGVYQSNIDAEQGKVTVSGLVDPDTIVKKLNKAGKPAALWGAKPGVVSQPQKLQLGGGKGQPKGQKGGGGGGGGGGGGKDAKMVLQQPTPQQLQQLQQQMQQLQMKGMKLPPQFMGGKMPFPAAAAAPAKDPKSVKFTLPEDEFDDEGSEFDDEFDDEFDDEDFEDDGFDDDFPYDDPKMMMKPVAMPQGGGGGGGGNEIPVQIKGNANNGGGGGKNQGGGGGKQNQGGGGGHGNNGGGKNGGGGQQPQHGKGGGAPGGGGQQPQHGQGKKGGGGGGPMGGGVGGPMGGMPPQQQAGMMRPGMMGGGAGFPGMGQMGQMGGGPMSMPMGHHPHMRGMMPQQQQQHGGGGAVNGMPAGGGMPGAGFYQGGGGGGMPPEMLQAAAYMAMLQQQQMIMGGHGGHHGHHGGGYPPMGYGYGRPPMHYPMAYPMPPPTHAEPYNIFSDENPNSCSVM >Et_2B_019011.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24063139:24063933:1 gene:Et_2B_019011 transcript:Et_2B_019011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPEPQFLPRKLRFRDHEDVAAEELDELNGIQGIHDPTDPNLILLLNAVDADVEGSILDDVVDAVRVDRGELSGIDGQAPATAEKQCTPAYALHRFKQIRVDHFELEDLHAMLLPENDEAARERVEDKRGMRGAPVREDEQAAVGAGDEEVPAQHRQVLADEAAGGDVDEEVCVVAPVHALLVEHGPPARVEARVGLGVGGGGAQGAADGAEVHVVVEVVGGQDAVGDAVDEVRRERRPVWRHGFHGGVAD >Et_1A_006669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2687063:2688233:1 gene:Et_1A_006669 transcript:Et_1A_006669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIEATNQPLTVCHVRDEAARGQPARARRRRAEAGVARRDVHGDVGDRPQAVLDLAAVAEEAELARRDDGRHHVQLLVHLHGTNNAQSFFTRGRRVRRDSDGIEGLLLVITSTNDSLRPAMPFTRTSIVRALHTMAGKNSIHLLCTKHSHQCTSRNPFHRLGSPDEAPLRRSRSSDGPSAGLPSPPTDRSSDDMDSGCTGGSCVMGRPRYLDVRLDTRSSCTSRAFSMRSFCSSK >Et_8A_056443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10054859:10059005:1 gene:Et_8A_056443 transcript:Et_8A_056443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCSRGSLPTWMTAAASRVDLSGGAASSHQGAPSSSFSSQAPPGAGPDQELGMAERALSAAGAAFVSAIIVNPLDVAKTRLQAQAAGVLYHHPPQMAALGPDAILSEFRCSPSCTRGIILGSEPVCPPDCFQYKGTLDVFLKVVRQEGFGRLWRGTNAGLALAVPTVGIYLPCYDIFRNWMEDFTTSNAPGLTPYAPLVAGSVARSLACIACSPIELARTRMQAYKEFRPGVKPPGMWKTLVGVLSPIASSSQNVQNYRALWTGVGAQLARDVPFSAICWSTLEPIRRKLLGLVEEGNAASVVGANFAAGFVAGSLAAGATCPLDVAKTRRQIEKDTEKAMRMTTRQTLNEIWSSGGLKGLFTGVGPRVARAGPSVGIVISCYEVVKYALHQRHSS >Et_10A_000212.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:17954948:17955058:1 gene:Et_10A_000212 transcript:Et_10A_000212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIILRAAIRRWKNAGPSALCATPIVRCCHLLTSDR >Et_6A_048196.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:9385524:9386801:1 gene:Et_6A_048196 transcript:Et_6A_048196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRAKLKPLVRANAALRVLAPGGRRGIHFVPGPTVSDDDGERGRAPATPRWFRAAYARLLRHAGSIRDATCPHAAARAAHFDALAAEFVAAAAAASRRRGAGPAELRATSLSSLTRVCDVLGVTAQRRKSVRLTVCPQVTQHHVWRGTLEEVLRDLQADLASLLDRHHSSPATQMAEQIAAACTRFLSNTGDAATSSTSSTPSWMRPAPFKKPASPAPAPGKKWQEVLDMFADLGKSLDDPRLAAHAEKVAAMKEGLHQVRDVVIERGIGFKEARRQDCLVQWKLSKSLGHSSRCLFTLLLFYLYGTVRDVDVHVGRRVSSGKGGRNVVAVHAAAFLTRGDEVAVRAGVKQLSRALGVFRFVWDAAHSETDGANDSGKEVKVKKNGEDAKGVLELQGHLWGFGVEEKVVTYRGDVFHVHQIQMP >Et_5B_043916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17787106:17790867:1 gene:Et_5B_043916 transcript:Et_5B_043916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFGGEDSFSPQHSFHAFADEDDRRPHFPGPAAAARRPLDLNSQSDGWSPQADYQGAWEGDVAGGSGGGGGRGFMATSGGAGRGLGFNGGGGSRGFGARGRGPGGASAGSAIGGAGGSHGSILGGGGGFHGSVLGGGGGFRGSGYGGGSGFGGSGLGAGQRMGGSNLGGGDGMGRSGLLGGDGIGGSGGSGGSGSLPPNPARRAGGSANRSVGRGASRGERSTPTRGAGLRDASPRRKATLRAATRSASTGGSSRGRRRRGGDDDDDEDEFLDDLVPGDENYDKANWLPEENSALLCRLLLEQIELGNYNQGNMTRRGYKLLQSRWREETNAFLKLKAFANRIGQLKALYGFIKQLHSDTGLGINPETGWLEATDDWWNAHTQGKSEWKKYKYQGPEYLPMLQQVFDGVAVDGSTGWEPGEVPNVIRMTYAFSRDGAMPFSSVWHKVNKQEVPINVVWLSALPSLGSLVAVQAMASVSTTALCIAYALPTLFRITVARKCFVPGPFNLGRYGVVVGWIAVLWVATITVLYQTTSFEHVLRYNRRKAVRLELHYVYVRVQNDTLVP >Et_9B_064035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10377297:10383607:1 gene:Et_9B_064035 transcript:Et_9B_064035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METNNCKTEMEVAREDMEMESSPGSQLKPEYNVESIDAAQIANIVRGSLNLVPVAGAVVSDSYFGCFPVILAGAAVNVLAFVLFTLTAALPSLRPPPCASPPGGACQHGTPGQLAVLYAAVCLLAIGTGGTRFNVATMGADQFGSAREQDTFFNWYFVFLAASFLIGDTAVVYLQDGVSWTIGFGVCLATTAASLVLLLIGARYFRMPAPKGSPYTELARVVVAAVRKARVDVGALGRVQYFVGDGSVADKDSDGAPTERLRQSYILKDLTRNNCYISQCRFLNRAAMITASDTSTYTPGGPRANGWRLCTVRQVEDLKSLLGVLPLWSSGILVSVSIGVMVGLATLQALAMDRSLGPRFKIPAGSITVSMLAAFIAATPLLDRAAFPLWRRATGGAPPTPLQRVGLGHVVNAAGMVAAALVERRRLGVVRAHRGGGAGEAEAPMSALWLLLPLGIAGAGEALHFPGNMAFYYQEFPKTLRSTATAMAPLLIALGFYLSTVFVDVVRRVTAWLPDDINQGRLDNVYWAAAAVAAANFAYFLICVTLYNKNRK >Et_6B_050132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6891450:6895030:1 gene:Et_6B_050132 transcript:Et_6B_050132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIEREICDYQQPDFNTVWVIDISFSFKEGGGGFDRLSELKAFDDTKAGVKGLVDARVTAIPRIFHHPPDPYLSVSQNNSCVIPTIDLAGATRQELVAQVKSAAETVGFFQVLNHQVPQPLMSEMLEALRTFHEQPAEVK >Et_4A_035965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9626786:9628337:1 gene:Et_4A_035965 transcript:Et_4A_035965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADYDRAYRPSDAPAPAPAGEYDRPYRNEVVPYGGDRRLDIVVKPPARSPPPPLPASTKSGGGGGGAASAWCFSDPEMKRRRRVASYKAYSVEGKVKSSLRRGFRWIKAKCSELIHGYSSNACTTGHVAGLDEPKPMNEALYECI >Et_2B_021121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26687644:26691529:1 gene:Et_2B_021121 transcript:Et_2B_021121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAERAPPAAATQSLVESFCAVTSATPQEAAFFLESHNWALESAVRSFYDSAEGDANGDAADLSHDARGGSGSGSRPSGATARGNVRTLSDLGGGKRGAGSDEDSDEDDEWAPPPEYYTGGEKSGMVVKDRSKRKNNADEVFKQAKKKGAKQGPFEPRRRSSRSFSGTGRLLTGDTVQPDAPQPPEDIVHNICFWSNGFTVDDGPLRSFDDPENASFLESIKNSECPTELEPADGKSKVNVNLVRKEEDCPEPVKHAAPFQGERRTLGTTPSDTTSVGAASSTATAIKPITVDDSLPSTSLQIRFADGSRLVARFNTSHTISDVRTFIDATRPESSDYTLQAGFPPKELDDTNKTIEEAGVANSVIIQKV >Et_2A_017292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32847669:32851225:-1 gene:Et_2A_017292 transcript:Et_2A_017292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LISFWYFSNERSLSPAPSFLLSSPAIPYHHTYIRPRGHGTATSYHERNGHAARLMDAIFSLAPQPRARVLERAAARIPGCLYICLWAPVIPGPLVRSGHLFCLDAWIGGAGGLATAAFEAYRGAFCAVVSGCVPGWAYKDGRAYMELPEPDLTASASLQTQQQFYHMAVFMGCDSGEMELGFSSDTSPPPPAVAEHVQQSLLEELMQMTPPQPPSSSSSSLPSLSIGSPEYSSLIRSMTTTTAAAEPSSSPLPLQPAVQLPGLLPPLYGDAPFPSSDAEDAAMAEAMLAVISSAAPPSPTAATAPPPPPPTRQLAPPWLARHRAQRSSPRRGGTGAFKAYNAALSPRARPRPGAPPQRMVKTAIALMVSVHMAIMRDRELAAARHQEDAVAAAQQAPPPAQQQPTSSQLHHMISERRRRERLNESFETLRALLPPGSKKDKATVLAHTTEYMNKLVAEVAGLEEKNRQLEAQLVGVPGRARQNASDDDSLVTTVQVDVTTGASTSTSTSGQPQEVGIRVAVRQECDLSELVMAMLARIKEMGRLAVVTVDAEQRGAAYAQVSITLRVAASDDELDETSLKEAVAKVVEDAVTRRPSPPPP >Et_7A_051000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13447653:13452653:-1 gene:Et_7A_051000 transcript:Et_7A_051000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDREEDEELQMALRMSLQGSPPAQPEPKRSKPPPSPAPESPEAEARRKQRELMAAAAEKRLRSGAAAEPAPVPVATEEEEKADPKPTEVPMEEAKGEVKEVEVEEEEGGDEGEELPLEVAENLWAMVFGNGVSKAVLAQWSNQGIRFSSDPETTMGLVQHEGGPCGVLATVQAYVLKYLLFFSDDLSNPEVSDPLYILGQRPFYQSSFAAGDDFSSLTDERKTRALVHAMVEILFLCGTGKRAVVASIACVNRRNMDAVLEGLSVESATDLQKVLRTSTVTSRKDAFNILLANIPLFESRLGAMLFLISALFSRGLEDIQADRDDPSQPLVTAPFGHASQEIVNLLLCGEAVPNVFDGKMDLGGGMSLKGIPSNVEVGFLTLLESLNLCKVGQYLKSPKWPIWVVGSESHYTVLFALNPNVQEENELEERESKIRRAFDAQDQSGGGGFISVEGFQQVLRDTNINFPSDKLEDLCNAGIIVWSEFWQALLQLDKRAGGMKDPTGLMGKKQFTIYHFNGIAKSVLNGNANIGGSSPIQRPRWTQDEYLADVVSASTSGSKDGSVLSLAPPVQTSQHAPLVDCIRTRWPRAVCTWVGDVPSIV >Et_1B_010412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10256763:10260596:-1 gene:Et_1B_010412 transcript:Et_1B_010412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPKGTGGAGAAARAAAGPRTVLITGVSRGLGRALALELARRGHSVVGCGRSPEHVRSLEAEIASPSRHFLTVADVNNKTWNVPAEEFDTVVDTNIKGTANVLRHFVPLMIEKKHGIIVNLSSGWGRSAAAEVAPYCASKWAIEGLTRSLAKELPPGLAAIALSPGVVNTDMLHSCFGTSAALYQTTDTWAPLHVVPLPSSVAGGGGAVAA >Et_5B_043410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10057139:10066401:-1 gene:Et_5B_043410 transcript:Et_5B_043410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SDVAPSERVPPRSRAPRRPRAQNREPEPTCQWPQSAQNPAQGSTKPAHSSPGLHFTCSSPDPQFLTPQAGDATAAMGRPRATAAAPKTRRRNLSPNPKPKPSFLSPLAAPAPAARTRARTRAASSPALSSSPGSSSSPADLSFLSSPGSSASANPKPRPRARAARAKAAPRSPLVASPRAAASPSAAASPAPASAAPAASNVGELRSAVTSQLEALKRRLDALHSRAHADLDASLTRVAKRAKTQNQACQQLTDEGEKEYKKMSDNIKESSEKVKAKYKKIIAEAQSSTARVCKVTIPEMTKTVEKAIKVGATGQAATAIKHIDWAPLTLVCRHGVCHHLNSWLCGFCPSFNPTQDLHQELSHAYNTARSAVAAAIEAPALHWPQCHHHPAPAGHATVAAASQGAGIGATTPHAEVGANRLGRWLRLRLRQDFIRFLGRQSRRQRAGDGDDHPRRISSTRRYAVLRASSGPQWRRPIHCKPASLTASCRLRRPEPNAASKGTRRWNHRSKYAGLEIPLKRHSNSSIVLQSQSPQVTTARRFLSAPDAASHASCLASASLISTPPSTWSCWIPVSSVQKAVRRG >Et_1A_007223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32280657:32283464:1 gene:Et_1A_007223 transcript:Et_1A_007223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPATSPSTYQAPSPAGLPLLTSPRSRASTLAFGRRRLRQRGSAASTAEPTGHVFLGLNRSRCSSISAVGDVAAVPDDCTENMPSSSGYPNSVVSVSSHEDDQSQRVNKINQKPRDSNKMVKICDKLIGVFMVDKPTPTDWRKLIAFSREWDNIRPHFFKRCQERANAEPNPEMKHNLLRLGRKLKEIDEDVQRHNELLEVVKSTPSDKLGAVVNKRRKDFTVEFFNHLYYVAESYNDDPEKQNELAKLGNDCVDALQAHDDTTGSLEALNAAELKLKDILNSPSVDAACRKIDDLAEKKELDSALVLMLSKAWSAAKGTDITKSEAKDIMFHLYMTAVANLQRQMPKDIRILKHLIMIEDPEERLSALNDAFTPGPELQGDNVDTLYTSPEALHTWSSAIVDAYYNSRQGTLLGQARDLMNPKIIRRVEEIVKIIKDKYL >Et_4A_034663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5210956:5218005:-1 gene:Et_4A_034663 transcript:Et_4A_034663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRAFLGAPCSSLAAGARRLAFASPPSGALTLPLRRRVGVRCGASASSSPDVASAPEPYVLTTPLYYRLLDKKVIFITGTDEHGEKIATSAEACGRNPKEHCDTISNSYKTLWADLDIEYDKFIRTTDLKHEAVVNDFYSRVLDSGDIYRADYEGLYCVSCEEYKDEKELAENNCCPVHLKPCVPRKEDNYFFALSKYQHKLEELLTRNPDFVRPSHRLNEVQGWIKSGLRDFSISRASVEWGIPVPNDTKQTIYVWFDALLGYISALLDDGEQAGLQQAIGRGWPASLHLIGKDILRFHAVYWPAMLMSAGLSVPDAVFGHGFLTKDGMKMGKSLGNTLEPKDLVERFGADAVRYFFLREVEFGNDGDYSEERFINIVNAHLANTIGNLLNRTLGLLKKNCKSTLAFDSIAAADGGSFRDNVENLVDKVKYQYENLLLSSACETVLEIGNLGNLYIDEQAPWSCFKQGSDSAEKAAKDLVIILETMRIIAIALSPVTPSLSLRIYTQLGFTEDQFRGLRWEDTKWGSLKAGQVMTEPKPVFARIENETEAEAQAGSKASKGGKKKARSKGLVEA >Et_3B_031555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4452937:4453279:1 gene:Et_3B_031555 transcript:Et_3B_031555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLAVLFSCSVVDCSEVVAGAHPDGGREGWSPPAPRPGTVQHRCPDLEPECRHPGTPPPATAPPHRKTQPGWKEGRY >Et_9B_065601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7824645:7829330:1 gene:Et_9B_065601 transcript:Et_9B_065601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDSGLPPPRGAWVAELQRLLPQWESLRNSSQVIIPISISRVNQFDAARLDVEMSAMLKEQLVKVFSLMKPGLLFQYEAELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERAAPISGKEVRTGLEGPGLSVSQKILYCISTVGGQYIWSRLQSFSAFRRWGDSEQRPIARRAWGLVQNAEGLYRAVSFCNLLLFLYRGRYKTIVERFLKARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKKFLLPFSKDKSAGSSGDEADCPICRSSPSIPFIAHPCQHRYCYYCLRTRCSAASSYRCARCNEVVLAIQRQGTS >Et_2A_017864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7172384:7185061:-1 gene:Et_2A_017864 transcript:Et_2A_017864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPVARKSDSWVAELAMANFGGGESCGLGVRPINGGRGGAVEQREAGQDGHPVSSPERVCTPPTLRVGGWRRLSSPGPVRCSTPRSVGYEDGDDPERYFSPQSEISQDTSDTDSMSTSISRVYTFRLGTSSPLDSPVKLLEGDTTPLSRRSVHSGQYSPSYPWNSGRASDDVDSSFTNSSPRDDQQNKDAVHQIDFESNRHIWYPPPPQDEGDDFENGFFEYDEDDYNDVSDGKVFAHVNLDHCDDDLGIKGKHNIAHKEFLRNSLHGHFRALVSQLLQGHGIDPVDGWSDIVSSLAWQAATFVRPDTNEGGSMDPTDYIKVKCVASGNPNDSAFIRGVVCSKNVKHKRMVSKHENPRLLLLGGALEHQKVTNKLASINSIIEQEKEYLKNAVAKIEAQRPHVLLVEKSVPLHAQQLLAKDISLVLNVKRSLLERISRCTGAQIASSIENITSTRLGHCQTFWIERVTECSSPKISNKKTPRTLMFFDGCPRRLGCTVLLRGRSYEELKKIKLAVQFAVFAAYHLSLETSYLADEGATLPKVPSDLQLEKQNLSSSYCQQNMNEFRIIDERASENGCIMPCLDGSSADQSHSRADIIPDVCVKSYSRADSGQEEYVGGAMDEYPNSTKASIQHVGIDVQTSRSLLTRTPTDVYISHNGHRDEMICGMVKVESDLDNGWHHISDEDRAAVHDHSENQNEYFPISENPQSILVSLSISCPLRGIVCKQSQLFRIKFYGTFDKPLGRYFREDLFVQTSCCESCKEPAESHVRCYTHQQGSLTISVRTLASVKLPGEQDEKIWMWHRCLRCKPKDGMPPATQRVVMSDAARGLSFGKFLELSFSNHATANRIACCGHSLQRDCLRFYGLGSMVAVFRYSPVDILSVNLPSSVLDFAYPTTQDWVIKEAADVASKKEHLYMEIIDKLDCIEKIVQAQNVSMTSGLYKHVTDLKELVKVEWKKYDVLLWFSNTDDLQTLETTIDVLELNRLRRELVLDAHIWDRRLYMMHSLTKENCHTVPANAQCSENLTGSLTEESNDTVSNKNGNIENSLEQAQSSTLEAATDSGRPSPTKEQENTSVSHLALETNTMDDVKSEGVLVDELNSQKTLLKSESSASNLSERIDLAWTGSGQFVYDPSQCGMEALPATPASLKDDPAYQKVVAPIRIKSFDSAVSSRNRLLPVEDPNASIKRSYSQRPPKSIERTGRAQSPTFTNKLSLSGIVDSEGRLLIPQNTSDVVVPIFDEELSSMIAHAMTVPEYHNFLLPRPDQHTESSILNCVAHESAYKTCSDGSLRSNGSDQPQPITGIGSKDIHLTVSFEGEDSNSVDKAKFSVTCYFAKQFDAIRKKCCPDELDYIRSLSRCKRWSAQGGKSNAYFAKTLDDRFVIKQVTRTELDSFEDYAAEYFKYLTETVSSGSPTCLTKILGLYQVIAKNLRDGKELKMDVMVMENLFFKRKVSRIYDLKGSLRSRYNPDTSGNNKVLLDLNLLETLHTKPIFLGSKAKRRLERAVWNDTSFLASVDVMDYSLLVGIDEERKELVMGIIDYLRQYTWDKQLETWVKASGFLGGSKDVLPTIISPDQYKKRFRKAMSNGHLNLLIASLIAKYQMHSGRVELPGENSADAVPPGQSQGECWLRKLRNRNNVPNLYELMSNELAASDN >Et_6B_049903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17073701:17074832:-1 gene:Et_6B_049903 transcript:Et_6B_049903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSAGRWSNGTTLGAAVAVNVVKTCFILVATLLSDCTGRRTLLVLSTAGVAAALVSVAKDPQCLSPARVVLSPMPIEVLASYICAFEIVYRGDQKDTHINTLYYP >Et_8A_057085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2058345:2059888:1 gene:Et_8A_057085 transcript:Et_8A_057085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNTDVRDASRPWADLLAYLVSDIKGHVRDAGDFVRFHAVCRPWRQAPPPQHTRRFLPCLIAQALSDDYTHPHLHSPFSVGKLRHKRTSILVALGGRKKLRSSDAGSGRVLGVSVDEFDQYHDVVLVNPLTREFSSLPPAPENMFPTYRDQQWPSAFVGDGIVMFNRSLGWYPFLVVLLHRLGETNWEEVDVRTCVATMVFDNTTKGLDVHTRRAITLCLSGLLRGGRRAMANLPQPKPDTHRYVLESLGELLCLDVPTIQNGEALASISFTIHALEVCEDGKPQWVKREHGQRETDEHVSFFLNKDGSGFAADAREFGGAEVTGGCAYFVHNHPKEALLYAVYRYSFKDGTATFVDELPAGFGVITPMWYTPRPRLPAASAATATPGAVVYHEVPNPELFQLGP >Et_4B_036428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23615815:23616939:1 gene:Et_4B_036428 transcript:Et_4B_036428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GAAPQSFIGINYGDVADNLPPPSSTARLIKSTTISKVRLYGTDPAVVSAFAGTGISLLLGAANGDIPNLSSSPAAAAAWVAAHVPSGPSAPPITAVSVGNEVLFADDATLAAQLVPAMQNLYDALPPNSSVKVSTVNAMDVLASSDPPSSGAFKPDLSAALDPLLAFLSKTGSPGAPGRPDAGSGLTYANMFDAMLDAVRAALDGKGFKDVEIVVAETGWPHKGDADEPGATPENARAYVSGLVAHLRSLAGTPRVPGKSVDTYLFAVYDEDLKPGKGSEKYFGLFQTGLTETYPTGLLRNGTVGLGPDVAPAAAPTTSVRPAPAQATPTPQVLSCYNLLSFLLGLC >Et_3B_028169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11230402:11234000:-1 gene:Et_3B_028169 transcript:Et_3B_028169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREEREGSAGREKRRRKGSSVEDRRQITYSRKKSRNEAKLTIEENCTVYATLAVSVMHGMAMAKEAGATMIIVESDNACLSGRDANMAPHCCAKFDSPANVSYNWERCAPQTSFWVHSQTIVILPCVCVYKPLRLALKGAERNILL >Et_6B_048304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1139666:1140020:1 gene:Et_6B_048304 transcript:Et_6B_048304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFQAEIVACFQGVQAAADLGAANVIIETDSLMVKQAVESGSYVMSCVGGLIGELFTFRFASRDCNKVAHALAAVGRAASLEDNPIVDVLPHCIQVLVASDIAAPE >Et_8A_058471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8760072:8761921:1 gene:Et_8A_058471 transcript:Et_8A_058471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQSVVVPEAAALLPYPPPRALPSAGVAARGRKYLRAQMDHQHIAAPGRKINGLVESMRASSPTHAKAAAAAAALAAATGAVDDEERQAWMAKHPSALARFEQVVAASKGKQIVVFLDYDGTLSPIVDDPDAAYMSDTMRRAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKASRHTKAKAKRVLFQPASEFLPMIQQVHESLIEKTKCIPGAKVENNKFCVSVHFRCVDEKSWGTLADLVKSVLKDYPKLKLTQGRMVFEVRPTIKWDKGKALEFLLESLGFADCADVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKYPKDTHASYSLQEPAEASSHFPLSSLSLAAVVVMEFLLRLVEWERLTKARPKW >Et_7B_053957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13756793:13758868:1 gene:Et_7B_053957 transcript:Et_7B_053957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEGLKKGPWTPEEDQKLLAYIEQNGHGCWRSLPAKAVSSVFYLRLLMRVLFTVGAENFALCCHNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPRSDALSAGAGAGAAGSAQHAKAAAHLSHTAQWESARLEAEARLAREAKLRALAASASTSAPLHLPAPAAGGLESPTSTLSFSDGAATLASVLEAARGGGGRSASTALASVLEAAHAAAMQPVQAAFEDQQQQQEHDQPWGDVDGEVDAGFGGTGFTGLLLDGALSHQDVMRPPAPARDADAGSQQQQQQETEEEKNYWDSILNLVNTSSSASAVVPPAPEAYSPAPEF >Et_4B_038516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29556225:29559792:-1 gene:Et_4B_038516 transcript:Et_4B_038516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVALRNAGSRRLFSYPTLRAAAISAPATLPDATAAAAAPAQPPPMAGTLWARSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEAGKAKAVAFDEIDKAPEEKARGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDEIPIIRGSALSALQGTNDEIGKNAILKLMDAVDEYIPDPVRQLDKPFLMPIEDVFSIQGRGTVVTGRVEQGTIKTGEDVEILGLTQSGPLKTTVTGVEMFKKILDHGEAGDNVGLLLRGLKRGDVERGQVVCKPGSLKTYKKFEAEIYVLTKDEGGRHTAFFSNYRPQFYFRTADITGKVELPEEVKMVMPGDNVTAVFELISPVPLEPGQRFALREGGRTVGAGVVSKVMS >Et_3B_029090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2243446:2245980:-1 gene:Et_3B_029090 transcript:Et_3B_029090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSSQGGRMDDAGLEQWRRRFDGADIFTVITHAILVAATDSPQEFQRRRDSIVEQIYSAAAVDVPVVGTAAAGEGPSSTARRVSAENVHGHGDSSADPLDYDLYKLADDMKEASLEKEEVLRIKDILLNYHEQVRNLNPLHLTDLVASLCSKHISFSFTSANYLIESLRRLQLMQLTADVLENSKIEVAVSALAKHRSHEIRELVLDITNGWKVRAEEWIANTKIAMASDSVTNHITSMNKGPRRPLDTAASTKERIMVQDSSAAQASPLKKTKPQKRSQVQQQGLEKREGQPLQKNPQKMLNVDGETNVAVPLATNPQNPQQLERRRFRLIIKNKPTISKGETRSLSKDTPKVTPREDVKPRQDPRGTTVTRNPPTAAATKQPLPGHVIKKGTTAQPLEDPKVELGKRKMPLADDKELEKTRRRLREAYQEAEDEKKKRTIQVMKPHDAPKPDKSPVRKPRRSVRRG >Et_1A_009473.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6191310:6192206:1 gene:Et_1A_009473 transcript:Et_1A_009473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRCKKHPFQAGGGVCATCLRDRLFTLAAAQNGGASSPPRPAPPPLRPAPEAVAFPRSVSPYVSRRKSDASSLRHHHPSLLFFRTPQVGPAGSGALEEGDIAYEYEKRRAGKFSVLATLFGAHHHHHHRSDDKDGATKDRKKHSWFAGIIPRRRKKQQQAPESAAADAFAPSPPPARRACRVVSNRGLSPERDGSCSGDDESSSPAADPPWRPSPSPMRKTTPGRRRQTSSMPSGFAVCLSPLVRPSPGRRHRGVQPPDPCSFSCELRPSPLHNLSSAAASITRCRSKKLADAGRFR >Et_4B_038683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:438917:445363:1 gene:Et_4B_038683 transcript:Et_4B_038683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGHLRPGSYLGDVSALSFLPSSPRPLLLAGTGSELLLYEVGAARLVASFQVFEGVRVHGIEPRGGGPSCSDYSLAVFGERRVKLFSLVVDVNAEDGEVGAVRLELDQRLPGFDHWVLDARFLEVDGLLAVGLSDNSVALWDLSKRLLVTRVKSPEKCLLYSMRMWGDSVTKLLVASGTILNEILIWKLATQIPGSSSYEGESPDGNNYDNVHCSSKQYMAVHLGRLKEHEGSIFRIAWSADGSKFMSVSDDRSARVWMLRVQSQGFVNQVARQVDVEIVPQFTLFGHSARIWDCCISESLVITAGEDCTCCVWGMDGKLIKMFKEHIGRGIWRCLYDPSSLILVTAGFDSAIKVQHLFNSSVDDTFEDNMVSDGLNCDAEVFAVSSPTVAGQHGPLDSKSEYVRCLHFAEENLMYVATNNGYLHHAVLSSVEDVRWTEIIRTIEKAPIICMDVMSMYSGLPLNREDIVALGDGQGNVTIVRLSNGQIEPKTALSFTWQAEKDRQLLGVHWCKSLECSYIFTADPRGVLKFWNIRGAVFPNANDINAAEKVLLVAVFESSFGARIMCLDASPREEILIAGDKKGNVTAFPFPKILAAYEGSVTQQKIPQQDRFKGAHGISSVTSVQMKNSTSDHIEFHTTGGDGCICFFKYGRNARKIEFFGMRQVKELGTIQSIHNNLTSENQPCRTCAIGFTSADFIIWDLENETKMVQISCGGWRRPYSYYLGKVPEYQNCFAFVKDHNIHIRRHWVPTQDKKLLPQALHLQFHGREVHSLCFIDPSSYSKFPNSSDLWIATGCEDGTVRLTGYLSGSSGIWHSSKLLGEHVGGSAVRDTCFIPKTYTLADKSRNGSSSGIADDIVVGNNDDTFLLISVGSKQVLTTWILQPRVADNRQVCISGFNVDTKQSSESLENGVSAVSFQWLSTHMPQKLTRNRLKASHVKHNFHEGNSPVMQPNMSIMDQMENDWRYLSVTAFLLKHTATKLTICFVIVACSDATVVLRALLLPSRLWFDVAVLVPQTSPVLVLQHMVINSSQFKDDAGDRYIIMSGSTDGSITFWNVTDTIHGFMQLVSETQPHMTINCQMRPRTGRGSQGGRRRWRSLANHSSMKQSNREASLPDGCNMSTSCAAENSSETSIVEESDPTNTQSITLEESEDMSTLNIMHPSTQSCDIPEVRPMHIFSSVHQSGVNCLHVSYSTMDASYHIISGGDDQAIQCFSFTVGSPERCSTDTGRQNSHDNDTLKVLCQHNVPSAHAAAVKGIWTDGRWAFSTGLDQRVRCWKMGSSGRFTEHSHAVISVPEPETLDVFCEKRKYQIAVAGRGMQMVEFSPYEQD >Et_1B_010042.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:19964233:19964532:-1 gene:Et_1B_010042 transcript:Et_1B_010042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTLNRNDFRASPAHWSLRPILYDFGHNNEGICYTVQKIRRQNNTMAHNLAQEACTLDHSMSCIFTCDHLAHRNQCPVREALQLVSGDNISLMAVTCR >Et_3A_024518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21553343:21559349:-1 gene:Et_3A_024518 transcript:Et_3A_024518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYVACPPLPMASVSRALRPRPRAAVCSASRLGLGIACSLQRGTNEKRELGLTAASASASSATPVLRNRQILCKAEVNVSSNVPESSPSQYEKIVELLTTLFPVWVIIGTIIGIYKPSMVTWLETDLFTLGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYVIKPLLGLAIAVTLKLPAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVDAVGLAMSTFQVVLMPTIVGVLANEYFPKFTERISSLTPLIGVLLTTLLCASPIGQVADVLKTQGAQLILPVALLHVAAFGIGYFISRWSSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVAMALGGSALAVYWRTIGLPANDKDDFKE >Et_3B_027778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18829619:18830596:-1 gene:Et_3B_027778 transcript:Et_3B_027778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKAPPPAAADEEELRLSDLEHICHLGAGACGVVTKVRHRRTGAVFALKTANYPERDSDEEAEAHRRCAGSPHVDDVVAYVLELMDAGTLAAVLARRGGVGLPEPALAEVAAGCLAGLAHIHSRGVAHLDLNPDNVLVSSDGDVKIGDFSESRIFRNRDGEGVLVSIAVAATAYKSPERFVPNARAGPRGTAAVDVWGLGITVLELFLGRRPFFPSLKWPPYEQLRRAICDEEPPAVPEFVAACLQKDPRRRATVPQLLAHPFVARRHVQESRRSLREVIVQSMEKRRSRTFSDATVPSDS >Et_3A_025348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29061350:29066244:-1 gene:Et_3A_025348 transcript:Et_3A_025348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVYSPTAAAAAQQQQRGKATSQAWQAVVGWIGFILQVLLQILRGTPSCAQLLSFVGFRYPLLSASAATEPSPEVAFMPLRSEIPADTAPVPTSPPEPLDRLTVVLDLDETLVSAYESSSLPAIVRTQAVEAGLHCFDMECISIDVHNRFRLRLYRPSTVTTEYREHVKDLSGLSKDFCRIVIVDNNPFSFILQPLNGIPCVPFSAGQHSDDQLMTVIFPLLKHLSLQKDVRPVLHERFHMPEWFQKHGIPRTDQIRSNHVHMELSQPNIRRLLIIWKCTRSEKTQPSSLIALYSAAALSNSFSLPKAFIAVAIVSESGNWPNFLISSKTSTTLRCLRFFANKSIIALCAEESLISPLLAARRNSQAASSRRPALAKILTADWVNLRAGFPQLLKQLRGTIKLTSLHPYGQDGGVSDGIGLDAVGLHVLHQAPGFLVLQRLAIDGNHGVVSDNVRLDIAAIGIGSAAHEAEQVNRLPEQPGVAVHAEHGVVGHHARRHAGAPHGPEEPVGLAREVELPVRVEHDVEHREVGLDARHGAHVREERHGGEVPPASRQRGEDRGVGLRVGCDAVGGHVVEQQPLGVPQQVGLAVCGDGGVVGLEVGPDPRAAQPGEERERLGRVPGAEGEVDEVGEEDEVRGHGVVLHERQEGERVVEVARARERREEGGVGEGVRRDAPVAHLEEEARGEAELPRAARGAEEEVVGDEERGGRDVGRDEVEQRDAAARVGEAGEERAEEAPGDRAVRGHCRGQVGRGAGGGELGHEGGDVEGALLGLALLELGGLVALVKGVGAGELGVQPD >Et_3A_023786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12228782:12234419:-1 gene:Et_3A_023786 transcript:Et_3A_023786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSDQEEVGMSKAPSQQDGTSSSGACHRGSHRRRRLILPREQDPDEIRRDSRIRRGWLGHSWGSQLPGDMEGCVHGGSVFGSFAAGESSRQLTEEHPFRVRDRAEHLRKEALSWEAELHRIERTIVLPICINPDAGGRRFFPDRAERLNRYLTAAKQLLQTKTTGDVDHRKKSLLKTVMSSIAAEFCHLTVWRLDDVARRDYSPASIWKSVRRRHGSDYSAASSQNSASSGYFTSSCGNTSDASYGSSYLSEELTVRSYNSCSGMILTDRKSLPILDEIASIMIPAGYEQVLRGAFDGHCAQLARYIEIFDIDNILGDHMEEPREILLKVWTSAMRIIIGFLREMHRQLNEQDLGSFHTLKEDYFCAIAKASVLKLLKAANSICIQVDTRIDPSCKDTYEVLKSDLSKMVNVAMMYQALNYGMPIILTLFSGEAKELIIAEGECHLDRLSRVFFKLYGDLDNLVRSQRLFIIHTGVHRATRCIMHHLLLLFEHKNTISLMLKGGMKAFGELVTRLVSSLEFMLDTSSRSLQLQGQQHIFLLNNVQFLLEEVEKNTELRQILGERWLSRCHDLRDQCVEGYLDASWTSVTSLLEKPTLFPTILWRHQMLDKFTSTFEITYTVQKNWKVTDPLTRQMLREAISLKVIPLYRMHMENHSEKSHKSARYSIEQLESQLLELFEGSP >Et_6A_046986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23709119:23711983:1 gene:Et_6A_046986 transcript:Et_6A_046986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCSCLQVDYSDHHGNNASSAFRNCVCLRCFTQQLINAYTVLFRVGAVHPVSQAIEATPVDTSESSFDTYRAPPRPLPYDDPRFSPPSRDWFALRREASSHSPEESEPLRPDDDDEEMETPITVDKPSKTNYDTKMKRSSSAYGEKVPPKDLGNYFTYFSPSAEDEDVCPTCLEDYTSENPRIVMQCSHHFHLGCIYEWMERSEACPICGKVQCAISLLKTGSNTQLEKSRDKTETFAEPSTRGKESSA >Et_9A_061165.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19641352:19641711:1 gene:Et_9A_061165 transcript:Et_9A_061165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FASRVATGTLPTRKNKLIRHLEKDGTCTICGKEEETEAHALLRCPLASALMHAMRDVWHLPEGWDVDTSATDCCLFCCLRPRLMQEIRYFSFFGEHGLSEIRSRMMARRHQSLALLSSC >Et_3A_024998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2671460:2675636:1 gene:Et_3A_024998 transcript:Et_3A_024998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGSRSSARIPGHAARWAVLELDWVQLRTLQAMHGWQLESGYGFVHLLKMVNVGHLEGYTIHMESLGLLVLAVTVVLKLAGADGWDTARATSYGGSDASGTMGGACGYGNLYSAGYGTNTAALSTALFNNGRSCGAVSCGKKGGIRFTISGHSFFNLVLITNVGGAGDVTAVSVKGSRTGWQSMSHNWGANWQNGAKLDGQALSFQVTTSDGRSVTSNNAVPEGWQFGQTYSGSQF >Et_1A_005137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28812364:28813644:1 gene:Et_1A_005137 transcript:Et_1A_005137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTSSLQLPKHLLFSRTRRHAPPSSSSSSSRPPSFVPAAAKINGAPRVSGSSEKAPPNGKVRINGGSGVNGKAAPAVNGHGKKGVNGKSPPHVNGHGDRIHLSVSAGGGIGAQDGSGLRVAYQGAPGAYSEFAAKTALPGCEPVPCRAFADALAAVERGAADRAILPVESTMEGTALRNYDLLLRHDLVVAQEVNLFVHYCLLAMPGVRAAQVRRVISHPMALAHCGRALAALGVAREPVEDTAGAVEMLRSERMLDTAAIASPRAADLYGLDVLAHGLQDESWNVTRFLLLSRPPSPVALPPDADAKTSMVVAHRGGSMMVVLKVLSAFSSRNINLTKLEVINNDNGGDGDVDGTGARAPRAFPHVLYVDCEGAAHDPRVREAIQEIEKFAVFVRVLGCYAADSTVYDLQ >Et_4A_034261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31222786:31225738:-1 gene:Et_4A_034261 transcript:Et_4A_034261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTFAKSLFNLNRALVRRLRPLVVRVQPPAARPQPHDLAATRDDALPADGGGRAAVCKVEGGLLMSSSTFPYFMLVALEAGGFLRALLLLLLYPVLRMLSHDRAIKVMAMVSFLGLRKDAFRAGRAALPKLLMDDVSAEVFERAVAPARCVCVSAMPRVMVEPFLTEYLGVDAVVAPEMREFMGYYLGVVEEEDEVLQRVNMEEVIAGGEKGGVLGVGGLGCSFDRLFQKHCKEVYVPTESARRQWHPLPRRRHPKPLIFHDGRTAFRPTPSATLAMFVWLPLGTALAVARTAAFLLLPFALSVPLLAALGMHSRAILPNPSSSSSSTTNLFACNHRSLLDPLYIAAGAGRADLAAATYSISRLSELLSPIATFRLSRDRAADRAAMQARLSSSGLVVCPEGTTCREPFLLRFSPLFAELGRDVAPVALHSEVAMFHGTTAGGWKALDPLFFLMNPVPAYVVHFLDTVNCGGGDGPEAAKAVANEVQRRIAEALGYTCTGLTRRDKYLMLAGNEGLVGVDQGAKKKHCLY >Et_1A_004522.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12561531:12562567:-1 gene:Et_1A_004522 transcript:Et_1A_004522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASWMSLGMMVTRLAWMAQRLVSSNSPTRYASAASCRAATAEDWNRRSVLKSCAISRTRRWKGSLRMRSSVLFWYLRISRSATVPGRKRCGFFTPPVAGADLRAALVASCLRGALPPVDLRAVCFVRAIAVDWRRGRRRRFGRGGTAKAGAWNFGEVVSGFGAGFGLV >Et_5A_042481.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:14443274:14445850:-1 gene:Et_5A_042481 transcript:Et_5A_042481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGFAWHLNILFAQVNIMMAPPGRKNPPVAFLIVLCILSSICTCEAQFKPADNYLVDCGSAKSTTVGQRTFAADGASPVTVSTSQDILAGTSANGVASFPNSALYQTARIFTGPSSYTFPIQKQGRHFVRFYFFPFAYQSYDLSLAKFTVSTQDVLLLSDFQQPDKTAPLFKEYSLNITRDKLVISFKPSNGIAFINAIEVVSVPDELIADTAQMVNPVQQYSGLSTQPLETVYRVNMGGPKVTPDNDTLSRTWVSDQSYLLNPDVTKRVAYGKAIVHKKGGATELTAPDIVYGTATELAASNTSNAIFNMTWQFDVDAGFSYLIRFHFCDIVSKALNQLYFNAYVGAFSAVSNIDLSSQSESQLAAPVYVDVVLSSNDASSKLGISIGPSTVNNALPDGILNGLEVMKMSTGGSAFTVGSGGANKNLGVILGSVLGAVGLLIIILVVVLLCCRRKKTLEKQHSKTWMPFSINGLTSLSTGSRTSYGTTLTSGLNGSYGYRFAFSVLQEATNNFDENWVIGVGGFGKVYKGVLRDETKVAVKRGNPKSQQGLNEFRTEIELLSRLRHRHLVSLIGYCDERNEMILVYEYMEKGTLKSHLYGSDNPSLNWKQRLEVCIGAARGLHYLHTGSAKAIIHRDVKSANILLDENLLAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPVIDPTLPREMVNLAEWGMKWQKRGELQQIVDQRVSGTIRPDSLRKFGETVEKCLADYGVERPSMGDVLWNLEYVLQLQDADSTVSDVNSMNRITDLPSQVQNVGALESISVTMAEAGASNEPDHDLSDVSMSRVFSQLIKAEGR >Et_3A_024683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23256755:23266496:1 gene:Et_3A_024683 transcript:Et_3A_024683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPEHGEERRAGHSDAAEQHHGVDGAVHDGHHARLRHQRLHRPRISILLHVLIVFAAKYLAVSLCFMLAFVCNVQAIRLYAHASFLLGGLPPGEGAGARAEFAAYVARTVNNGSYAWSLGLRAFYVSMALFLWTFGPIPMLAGSVLMCGLLYFLDTTRGHADALGHGTAMNGQASGNGTV >Et_5A_041339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21353775:21354233:-1 gene:Et_5A_041339 transcript:Et_5A_041339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHLLLGALVACSLHTAAATTNSNNSTLDSVSATVYDVLAQNNLPRGLIPKGVQSYVLHAGGALEVTLPSECNFFVTFAGKQFKFRYGSSVGGVVFAWLDFNKVERVGDTLVISLGPAQQSFPVSVFAQSPSCG >Et_6A_046623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18361005:18365949:-1 gene:Et_6A_046623 transcript:Et_6A_046623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAKIVGDEFHGTKGGGSAPDPNNTEHGDLEAGRGGSETSSTTTPAPTRSGRRLVSLDVFRGITVLLMILVDDVGGIVPAISHSPWNGLMLADFVFPFFLFIVGVSLAFAYKRAPYKTMATKKAVLRAAKLFLVGLLLQGGFFHSIHDLTYGIDIRKIRLMGILQRIAIAYIVVALCEIWLRGGAGDVGFGGYALIKRYRHQLFVGLVLIITYTALLYGMYVPDWEYEVTPPDSTSKHFLVKCGVRGDTSPGCNAVGMIDRRILGIQHLYARPVYLKTTQCSINSPRNGPLPSDAPSWCQAPFDPEGLLSSLMATVTCLIGLQIGHVAVHFKEHGKRIVHWAIPSLSLLILGFSLDLAGLRMNKSLYSLSYACVTTGTAGLFFAAIYFLVDVCGYKKLFFPMKWAGKHALMIFVLIACNIAPTLVHGFYWREPQNNLPSRS >Et_2A_014531.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1993804:1994889:-1 gene:Et_2A_014531 transcript:Et_2A_014531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPCTTYNLYFWHISSSSSTPREFFVCGSANSLAWLADTAVERPRELGELSDETSVLGRDHVELAVLALVLAAGLVEEGAVQQDVALRLPHPLLGVAVRGVLPLRHLLGVDVVGGDEPVPLADDGGVHVPANGVHAPVRVVVVDDVEEPEPAPRHPLHEPLPEMVERDGDLHDLVLRVGVAGPEQHDVVVLGEVGVGDGDGGGAVDDVDEPVGAVVHGHVVHPHVAGGHHGDAVAVAGRAEAVVALRVADHAAAVPRRVVHVHAVDDHVLHALHRHLRAHDVHLRAAPVDGFVPVHDQLLTETDHHVAREGDPERAVLDHAVPERAFFGDDHLVVARVGHHVDLAVRAAGRLVSEPHGALG >Et_9A_062831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5599696:5601522:1 gene:Et_9A_062831 transcript:Et_9A_062831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHAEAGDTTSAGDDKEAEEQVRLVSVSWNQDKSCFVAATTADFRVFRCRPFREMLRRVHEDGGGEFAAAEMLFRSSIFATVSSSSVSGDGGRHFKVEVWDDREHRRMWQRGYPSAVRAVRLSRDRAAVVVDDKVRVYDLWAPTRLLWRFDTAPNPRGLCCLSCRADGSTVLACPGKERGQVRVEHLEEDEEATRFIAAHSSELASMAMTADGAVLATASVKGTLVRVFSTVDGTCLQEVRRGRDTAEIYSIALSPDLKWLAVTSDKGTLHVFSLRIRVGGGKDAGAVPSAAAVPLVQTNTGSYNARSSLSFMKGILPNYFSSEWSFAQFHLLEATRCIVVFGEQNTVMILGMDARCTFDPINGGEMARKEYFRFLNNKNNPE >Et_3B_029759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27745615:27747104:1 gene:Et_3B_029759 transcript:Et_3B_029759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPFFHELRRQASSYLTGKIRSARLALTDVTPTQLLTEEATNGDASPPNAKTMGLIARQAFEIDEYLRIADILHRRFAGFDRRQWREGYKALLLLEHLLTHGPRSVVLEFQKDTGAIQKMTTFQHIDEKGFNWGLTVKGKAERVLKLLERGPFLEEERERARKIAREIKGFGSFNLSSASRAVAQLPGGDASRGVASDGRSNSKYEERWRRDDGDDDDKENLIARLEPRAAREVEAEAEEPPHHHHPFHAFGQQRPEAMLLLSQ >Et_2A_017105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3163258:3168031:1 gene:Et_2A_017105 transcript:Et_2A_017105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGAPPAAPAAMAVDDAEDDQLATMSTDDIVRASRLLDNETRDELQRTNLELESFKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >Et_1A_008020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40140570:40142541:1 gene:Et_1A_008020 transcript:Et_1A_008020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGASPRFPPVSDYDASARARRTAAADLDGTLLVSSSAFPYYFLVALEAGSYVRALALLLAAPVILLLYVAFSEAAAIGILVFITFAGLRVRDVEAVARGVLPRHYAAGVRADTWAVFRGCAERRVVITASPAVMVGEFVREFLGAEVAGTELETFAGGKRFTGRIDHVLVGERKREVVEKLFAGGDMPDVGLGDRESDHDFMALCKEGYMVPPDKRAPRAAADALLSRAIFHDGRLVRRPDPAHALFALAYLPVGFLLALFRVFFNLPMPPRLVRHTYRLTGIRLAVRGTPPPAPRPGSPGSLFVCNHRTALDPIIISIALGRPVTCVTYSVSRLSAAIAPIRAVALTRDRAADAARMAALLEEGDVVVCPEGTTCREPFLLRFSALFAELTDRIVPVAVEARQGTYYGSTARGWKWMDPYFFYMNPRPGYDVTFLPPLRPEDTCGAGGKTAVDVANHVQRVIAKELAFHCTTLTRKDKYMKLAGNDGTVTTKLANTTKKSL >Et_6A_047638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:920052:920464:1 gene:Et_6A_047638 transcript:Et_6A_047638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKGGGVLLTSRPQLLGVGSSVAVAANSTSLDERKLKLVFCTFAICGYYNRTGQGCYCCPDMSRKEYCHLTMEECKNNCATCNPKCSP >Et_4A_034013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29110891:29113354:-1 gene:Et_4A_034013 transcript:Et_4A_034013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDHAARVSCRDSAACASRPRQGAATTMPLASRAATTRRPSAAFGQSEHRGCVRQAPPSPSSEPVNAPDPARSALIGRLSLTWGQSLFQIASAQSLTAIPPPPPPPQLAPPRRATARQGAAAFPKSRTPSPKAVAARAWSSPCPWAFRCSSRPAGSRPVRHATHNKLSLLAVHGREDAANLLRPPAVEPDACAPPGHERPMLLASPLPCTAPPSSPAWRGKFCRYRCQLHVFRWKKYPFGFLRHWKMRVLPSFRRDMLLLPRHEPKRVLSLDDGRVQRQLYHLQSPMLATLGSLMSYIWAVDHWTQHIPRRSSTKAERVRCGSVLIRFSAVSTDFRAAGRAASSQASVTSVARIRDSPPWLCFDGLGLKQDAVPGGHDTGTAAPCPAAMNDHASRLVPRRRRLRVQAPGDARRRRPCCSRLVVPRPPAARPARASSAAVSGSAIAVLRAAPDPDRTALIGRLSLTWGRSLFQITSARSLFQVTTSQGRPSGHLRATPRLPEVPNAFSPSAWSSPWAFRWCSSRPAGSCTVRHGHATHNKSLLAVQGRDDAEACMREPFAPAGRGT >Et_1A_006527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23886241:23888653:1 gene:Et_1A_006527 transcript:Et_1A_006527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVIIKAAEFIEKMQQKDGSWYGSWAVCFTYATFFAIKGLVAAGRTYQNSVSIRKASNFLLLKQIKSGGWGENYISCHSESPPHAVNTAWAMLGLIYAGQMERDTKPLHSAAKELINMQLETGEFPQQDAVGNFNSSIFFNYTNYRNLFPIWALGEFHRQLHGKTG >Et_6B_048317.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:11801360:11801566:1 gene:Et_6B_048317 transcript:Et_6B_048317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGLHPQMQWISYVTQSGRLINIMMTKVNHTGKVYHMRAKRQMAQSLGQIAKFKRRYELEAEENKDK >Et_2A_016838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28764956:28779855:-1 gene:Et_2A_016838 transcript:Et_2A_016838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVDGPSLRRVLRHERGGKPFPERDVRRMMRQVLGGAKAMHDLGVVHRGIHSENILLVGGVVKIGDFGEATSVSKNTDVHCSRRMSHVAPEYLLHAPGAVKSELLDSWSMGCLMLELLTGESHFHVAEEEDSGSEGQLFKIFDGLGVVPGKRTMKAIKPRRDLNRAKEVRKWRERQRRVGKQQRSRLRELVPCEVLSDDGFRVLQGLLMINPNKRLTAAAALQHPWFASNKEYDTDDHRSTLVSPCHHHPSSQGSPSLPAAFTVTRMGGFLPGTGRFVEVSALGEGKHGVVAKARDDVTSETVAVKTLRPKPLFFTHCNDESYEYYQAVLQFPHPCFMAACRGHPSLVRLSAVGRNPQSSSYFLVMEHAGPSLSHVLEKQRRGKPFPERDVCRMMRQVMSGAKAMHDRGIFHRAINSDNILVGDGGVKIGDFGEATSVSETDVSYVARMSHVAPECLLHAPGALNSELTDSWSMGCLMLELLTGEDHFNVDVDNDVEGQLYRMFDVLGVPGKRTMKDIKPRNEGLARKLLGVDALGLASMIAQAALTARRNRDACMQLAGHAHVVAGLLDKLQALPRLRQHPETRRPLEQLDDALRRAYLLVLRPGPGGEELPLPALHRRPHLRAPEEEIDRYIRLIPMISLVATVRAKLSAEQGAAAAETKDDSSICMAIYNLGNAAAAPGPRMGHFLPNTAHFVELDGLKSAWFPCIAHYEEVCELGAGEHGVVVKARNSVTGEIVALKTLRPKPLYYDDEDEEETDQQVSRRVLREACFMAACRGHPSLVSLSAIGRLPRTAQYCLIMEHVDRPSLYDVLVDQRGGKPFPERDVLRMMRQVMSGAKAMHDRGVVHRAIHPLNILVADSGAVVKIGEFREATSMYETDVPYGARLSYMALECLVLAKGGSNKKLADSWSMGCLMLELLMGENHFAVAEADDYEGVLYRVFDVLSLGVPGKRTMKALKPQDFELAKKVQQWLARQQR >Et_5A_040976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15976916:15982407:-1 gene:Et_5A_040976 transcript:Et_5A_040976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLLLLALLPAALVLGASAAASGGSTLTQSRTITGEQRLVSPNDTFELGLFAASNRSKWFLGIWFAVSPDTVVWVANRDRPLNSSSGVVAVSGQGALVLLDGATNETVWSSSNSSAPAAVAELRDTGNLVLTDAASGAVLWQSFEHPTNTFLPEMRVGKNTRTGAEWSLWSWRGADDPSPGDFRYVMDASGSPELHVWSARRGRKTYRTGPWNGVRFSGIPEMTTFEDMFEFRFTANADEASYMFRNRDGSPMSRVLLNEAGVMQRMVWDRASGAWSNFWSGPRDQCDDYGRCGAFGVCNVVDATVCGCIRGFAPRSPAEWYMRNTSGGCARRTPLRCGAGGDGFYVLRGVKLPETHGCAVDAGATLQECARRCLADCNCTAYSAADIRGGGCIQWFGDLMDTRYVDGGQDLYVRLAKSELDVTKRTRKFVVVIALVITGFTLLLISFGFLFWRKAHRNKKVTFLDDTVQLVSSECQTYPLEIIRAATKGFCEENEIGRGGFGIVYKGQLPDGQQVAVKKLAPENTAQGLNEFINEVILIAKLQHRNLVRLLGCCIHSSERMLVYEYMSNKSLDSFIFLKKLQTDERRRATLSWNTRIDIILGIARGVLYLHQDSRLNIIHRDLKAANVLLDDDMVAKISDFGIARLFSSSGDRQETITKTIIGTYGYMAPEYAMGGTLSFMQDVYSFGVLLLEIISGRKNHFNLIARTWGLWEEGRSHELVDPTIRSNCSVAELEQAATCIQVGLLCVQECPSQRPPMADVIPMLSQQKAPSQPRRPVVCTPMISHPAIALGVQEITYGNSNLTITSLEGR >Et_4A_033333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21585201:21587041:-1 gene:Et_4A_033333 transcript:Et_4A_033333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RQIRAKWHGYLASEGIGAVVLLEESLVTAITLVLADHGSGRDDLHFSPVSPAPTWAPLHELVDACRLVFTYPSIPPTEDDVSFVSGVMVNGMTVLQRSWACPVANDMGVSSVELMDEVGFLYHMSTASHEIPPMFACKTIYECTNFKVTDARVFSAQIVVINLLKRGTMHLHDLPGTAHIEAYKVSSRIHAAGSAGSAVLAEKVIDHVITAPCGASTQSPEYIHCSWLGRMGRARSSTCSRHPTRRQSSGAALSTRSSLMSFTRRRAKPQVASVCAVRQEYHEDSDDEAERLHWHLAPKDARGGRPVGQWRLRKGVRKTTSIGDAWRSQERGS >Et_9B_065849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15047326:15048753:-1 gene:Et_9B_065849 transcript:Et_9B_065849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKLLLALCVSVLVVLLYKLIKSLLVAKPKLNLPPSPWMLPVIGSLHHLATSPRPHHAMRRLANKYGPIMMLRLGEVPALVLSSPEAAQEVLKTNDLKFADRNLNPTLYTFSFNGTDLTFAPYGERWRQVRKISVMELLNPAPARLLSYRRIREEEIAGFLEKLATFAATGSPVNLTKMISNLINDTFVRQSVGSRCKYQDEYLDAFQTSLRQTSSMTLADLFPSSRVLRLFAMAPRKVLESRVRMQRVLKQIIHEKTETMDRGDDETAGQDCFLGVLIRLQKERSTPIPLTEDTIVALMFNMFAAGSETSSIVLNWCMTELIRTPRVMAKAQAELRDAFKGKNRITEADIQGLRYLTLVAKEALRLHPPGPFLIPRMCRETCQIMGYDIPKGTVVFLNAWAIARDPKYWDDPEEFKPERFENNNLDY >Et_5B_045748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8718326:8721689:-1 gene:Et_5B_045748 transcript:Et_5B_045748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEMRSRSDAILASWHHALVSYSGIFLKYAFQLPSHNNGFFVLDPVVFRYNCRGAAPPESSVLSF >Et_9A_063017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8347850:8367430:1 gene:Et_9A_063017 transcript:Et_9A_063017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTGAPAPTTPSAPPANATTPPPATPAAPPPSTPATPSPPAPAAPSPPAPSAPPPSSTPSPPAPSAPAPSSSTPSAPSPSSSSPGTPATPSPPSDTPSPPSSGGGGSSPSTPSSGGGGRTPSHSPPKSSHSPSGGGGGGGSGGVSTSVVIGAAVGGLVILLLVSAVCVCCFRKKRRRVPQPQHYPYPPPPPPYKEDPYGTTYQSWQQNAPPQHPPEHVVKMHPSPPPAYANRPPQPPPPPPPAMISSSGGSGSNYSGGEILPPPSPGAALGFSKSTFTYEELVRATDGFSDANLLGQGGFGYVHRGLLPNGKEIAVKQLKLGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGGKRLLVYEFVPNNTLEFHLHGKDRPTMEWPTRLKIALGAAKGLAYLHEDCHPKIIHRDIKASNILLDFKFEAKVADFGLAKFTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGKRPVDTTQTYMDDSLVDWARPLLMRALEDGEYDSLVDPRLGKDFNPNEIARMIACAAACVRHSARRRPRMSQVVRALEGDVSLEDLNEGVRPGHSRLFGSYSSSDYDSGQYNEDMKKFRKMAFNNNNYTSSQYSAPTSEYGQVHSASSSEGQQTQEMLESGATKKGGYSGYSSGYSGASNQSRATRIMPALHILLGVLLFSLQHTPPCSAATINGTLTADKVLVVGDKLVSRNGKFALGFFQPTAPASLSKSTNTTTSPNWYLGIWFNKIPVFTTVWVANRETPITNPNINQTQLKLSTDGNLVVVNRAKSVIWSTSIANMMGTGKNTTSAVLLNTGNLAIVESPSSDVLLWQSFDYPTDVLLPGAKFGWNKVTGLIRQGISRKSLIDPGFGSYSVVLDTNGVVLKRRDPSVVYWSWQSKSSLKLIPIIKSILALDPRTKDLVPLYVDNDEEEYNMYTSPDESSSSFASLDISGQIKVTVWSEANQTWQAIYAQPVDPCTPSATCGPFTVCNGVANPICDCMESFSRKSPQNWVLEDRTGGCMRETPLDCSSSKDNMTRSTDVFHPIARVTLPYNPHSVEDAATESKCTQVCLSDCSCTAYSYNNSRCSVWHGELLSVNMNDGIDNYSEDVIYIRLAAKDLQNLRKEKTKSSMGVVTAASIICSGLLVLMLLLVIRRNKFKLCGAPLYDSQGSNGIIAFRYTDLCHATKNFSQQLGAGGFGSVFKGLLSDSTPIAVKRLDGNRQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGDNRLLVYEHMPNGSLDGHLFKSNSSVLDWNTRYQIILGIARGLSYLHESCRECIIHCDIKPENILLDASFVPKVADFGLAAFVGRDFSRILTTFRGTAGYLAPEWLSGVAITPKIDVYGFGMVLLEILSGRRNSPEAYTSNSYHVQYFPVQAISKLHEGDVQNLLDPQLHGDFSLEQAEIVCKVACWCIQDNEFDRPTMGKVVRVLEGLQEIDMPPMPRLLAAITEHSDMLSFLLRRPEQNRAARIHASVPLPFFSMAALHILFGILLFSLQHPPPCSAATINGTLTASQVLTIGDKLVSRNAKFALGFFQPTAPGTLSKSTNTTTSPNWYLGIWFNNIPVFTTVWVANRETPITEPNINQTQLEFSTDGNLVVVNRAKSIFWSTSIANMTGTGTNTSAILLNTGNLAIVESPSSDVLLWQSFDHPTDVFLPGAKFGRNKVTGLIRQVISRKSLINPGLGPYSVVLDNTGVILKRRDPSVVYWSWQSKSSLKLIPILKSILALDPRTKGLVPLYVDNDEEEYYMYTSPDESSSSFVSLDISGQIKVNIWSQANQTWQAIYAQPVDSCTPSATCGPFTVCNGVAHPFCDCMESFSQKSPQNWEFEDRTGGCIRETPLDCISKENTTRSTDVFHPIARVTLPYNPYRVQEAATESKCTQVCLSDCSCTAYSYNNSRCSVWHGELLSVNTNDGTDNYSEDLGAGGFGSVFKGVLSDSTPIAVKRLDRALQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGDNRLLVYEHMSNGSLDGHLLKSNSAVLNWNTRYQIILGVAKGLSYLHQSCHECIIHCDIKPENILLDASFVPKVADFGMAAFVGRDFSRVLTTFRGTAGYLAPEWLSGVAITPKVDVYAFGLVLLEILSGRRNSPETYISDSYHVEYFPVRAISKLHEGDVQSLVDPQLHGDFSLGQVERVCKVACWCIQENELDRPIMGKVVRVLEGLQEIDMPPMPRLLAAITANSGLQ >Et_2A_017081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30815790:30817950:1 gene:Et_2A_017081 transcript:Et_2A_017081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSCFQPHAGSCSYIPFDSYMQPTGPYELHPVDHSFEDNGEYTSAGSMVTSATFNDLGYQYYSEREIKRPFVNQVGSYLPLLTPKTEVSHLIESGLGLYKAYEMNARLVPRSRKASSNSLKKANVVKGQWTPEEDRKLVKLVEQFGLRKWSCIAQILPGRVGKQCRERWHNHLRPNIKKDIWSDEEDMVLIQAHKEVGNKWAEIAKRLPGRTENSIKNHWNATKRRQFARRRSRSSKGPKSGTLLQSYIKSLGIGPISRNVVAPVAAQPTTPPSSPAFLTAKPSAKTNNGSPEHSPSSILNTQGMFSIQENGCSETRSFEELLAPICDDFSVDMCEGLFDTSAELFQVCGMDDDVDMNSIFNHLDYAGKADHGIDMEMTWDDDVLADCVGLESGPSAQIEVAQVKEEMDLIEMMATTQNNAGAED >Et_4B_038846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5227128:5230881:-1 gene:Et_4B_038846 transcript:Et_4B_038846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCYSRDLLRGIGRGDALQREDGPRPPRVEMEEEELSLGLSLGGRFGLERREEKLARSSSVAAILMPPERVVAPPALERTSSLPVKAEAVEKQGLDGWGSCRENGGLGKEAAARLPGSGSPSSASSDGEGQRLQDPLMRTSSLPAGFDDDSMKRKAAQSLKRLELKKKRIERRNSLTCNISKEAVGQIRQETNANTEKLETTNDVITRNKQSHSNDKHPINGLPPMHQATFASRDYSSSAMRKKHISATKGTDGAKEHSPPSGVPLPCEGANGATAAKLPFSLIAARVAALGSRGDQQNNAGRAAARARSMGDAERIMMQEMPSVSTKGLPNGNRVEGFLYKYRKGEEVRIVCVCHGSFLTPAEFVKHAGGGDVTNPLRHIVVNPTPQSFL >Et_1A_005258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35904674:35905915:-1 gene:Et_1A_005258 transcript:Et_1A_005258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGKKKRERGASRQAPDLPDELVTEILVRLPVKSLLRLKSVCKAWRATISDAFFVRAQLDHSSARQAQHPSFLITPHTLDRDLAGEFSRVCFFAQCDGLVLAPTDTKLFLFNPATRDAVALPSDTRDVTQQQGGYYHSAAGLGLDPRTGEYKVVRSFYRTLDVCSNIYHMGMEVFTVGENGGGGAWREMARDPPYPVARWQTAGLLRLSLADEAFSVADLPDSLDPALDDSFMLDVLNNGEELWLTARTRWQRRYTIYVSDVCHPIGLLPGDGGLLLWKGYALYRCDLESSEVTTECEMNGGVRYQGRRARKWKNLFRFNVRPYTESLVRVTG >Et_1B_012097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28889258:28894119:-1 gene:Et_1B_012097 transcript:Et_1B_012097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSPHRKLLHSVRLSLSLSLSLDSSFPSSHGHFALPFQLVYSAVQRCRMSESPCRLTVSLKRPAEPSPLRISVSDTGVGSKLEEFLELDTLAHETPVEKWDATLLITTTGIDDEAIYRYQFNLQEDISSARFTKLATTYKNHATFSGTEVCLCLSNESDANDFISWLVDFIRKNLACELFIEQTGSAQTRTVCLPQDYDDASLSVVTLSIDRLVSGLKDYSLSHGNTCDKCDTCSLNRDRLKIGTGAVSNLDRKQVKDLHVDLVIVIAHTASDLSCWMVNCSSTKVMNFEEFVPCPISQSSFDVLMNIDWQSYGFKLKGGFMDDEGNAVLEWDNMTFALQEWQGSQQDRYLVRKALKSALSHLKADHAGGFLSCHGQRVREHAPDLAQSIAGLIMSSNDAEFQGECITLLGLPSDEEISEGEVKSSIFEKMTRIIEMNDTKDNVEDSAAPYLFECEKLDEDSELYEEDGNEELVFDF >Et_5A_041241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2027021:2034894:1 gene:Et_5A_041241 transcript:Et_5A_041241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFVCPSSLRDLERDTDCEEEPSLRPQNPVAIATLRAADLEEFVKGASFDLSDKELFCIEEQEVFDGIYSVVRDFNCLPPGLKFNIVETLRSNLSVLLPNIDSLSRASMSSPSDATPITDRIASHRNALKIYSFFLLSIVLTEESAAESCAGAKVAAHGRKKNLVYAWNWEAQRGRIMNLIANALEADLSLLFGPGGADEQYLSFISKCTFVLWESQNILKDEDTRNGLGRIIGTIATRHQRISQISASVLHLIHKFDFSVAHLAEAVATAEKRFGDGSLAISLIREIGRTDPKDYLRDGVGADNVGRFLVELADRLPKLILTNIGVLVPHFGGESYKIRNALVGVLGKLAAKAFKDVEGDSNARLRSKQAILEILMERCRDVSAYTRSRVLQMWAELCEENSISIGLWNEVASVASGRLEDKSAIVRKSALQLLITMLQHNPFGPQLRTATFEATLEKYKEKLQGMEPPNPDNDELENDSALGEVVMGQEESISDSCVASSQDQNDNEATIVDITNLEQIRALVASLEAGLRFSKCITSLMPTLVQLLASSSASDVENTILLLMRCRQFQIEGSEAALRKMLPLVFSQDKSIYEAVESAFITIYTKKSPSETAKSLLNLAIDCSIGDLAALESLVSSLVAKAEISSSTLSALWDYFCFNINGVRPVQSRGALSVLCMAAKSSPSILGSHLQDIIDIGFGRWAKEEPLLARTACLALQRLSEEDKRKLITTSSRVFAALHGLVTSFSLPEKIWYGAADKAISTIYALHPAPEIFAAEIVKKSLSSVFSVARMEDVSNGDQTENDTFLSSVPPSKLGRFLFVVSHIALNHLVYIETSVRKIQKQKRKDDKSEPSSEDIHADASKSSEAQGINAELGLGATVDIAIESLAEKAEREIVCCSAEKNLIGHCGPFLSKLCRNLTLLQKFPELQASAMLALCRLMIIDAEFCEANLQILFTVAESAPSEIVRSNCTIALGDLAVRFPNLLEPWTEYIYARLRDPSGSVRKNAVLVISHLILNDMMKVKGYINEMAVRIEDEDERISSLAKLFFHELSKKGSNPIYNLLPDILGRLCNQHLKEETFCNIMQFLISSIKKDKQMEALVDKLCNRFAGVNDVRQWEYISYCLSQLTFTEKGLKKLTDNFKMFEHALSEDSVMNHFRSVISKCKKFAKPELKVCIEEFEEKLTKVHQEKKEQEATTKNAEAHRQRIGSLDEFLVTKEARQNSGNSAEGESSDVIDPSVDSNTEDKENMPESSDNISTENCQTSTTCTEPEDDGAEVQAAQTVRKGMSRSRIKKARDPVLEDSADSAPVRRVGRSSRRKGPCNLVNSQPIRWET >Et_9B_063656.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:1707641:1707911:-1 gene:Et_9B_063656 transcript:Et_9B_063656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAGLAGSIRRISPTTSSKSSGGGTMTAARRMERQRFGLWKTGGEEPNCSRGRFQWATATLLFFRS >Et_10A_001536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4822142:4835932:1 gene:Et_10A_001536 transcript:Et_10A_001536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQRCRSASVGRGNDDERRGEVGSQRILASRVRSDATSNSDHPAAVFVRRRCACRRVRSSWEGHSRRRLTSQRTNPTQSVPRTVDAANQREGASPSLLEEETSQSTIPNHGATIGDHDHLLPWPATAPRSITRPRTAAVHVFSPGKINSHQGGTPRRRLLCRSTPTGRGAEEPLRPIWLAAQEIAATRGNLFRCRCFHAGIVTGPLLVVGGLCQLYRVAPNLFMDIVLAYMFYKLSVLAADLKRRGKDNTICARIQCGELVKLLQPLFCHKNRWKLDFYYHFTKFIWDFAFEVYSCTVFYEYCGVKYPRHVLEAVFKKMLETIGMALQSSATTNFFFPPAGGGLRSATACRAGAVRFFPGKGRRGSTTTSLRLRCSSGHYHLQERWWTPQMRPEDFVEPTGRGAEEMKAIRDALVRDPLQPVWLALKEIVATRGNLLRCRGFHVAIVSGPLLLVAGLCKLYTVAPNHVMDIVLGYIFYKMGVLAAHLSRNGKANNICTRIQQGESSRNCYNFVFDEIG >Et_1A_007371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33887383:33889594:-1 gene:Et_1A_007371 transcript:Et_1A_007371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRFQFLLTVVLWLSGVAGVATRKGAPAPIPIPTTYSVVSSASTYCSTTDNFTASNQYQVNLVDLMNELPTRAIANGCFYNATVGEPPNTVFGLTMCYADSDPTSCQECLRAAGYAVQQECPFSREVKAAFDACIFRYSNESFFSVADVTLTSKGDQSFYDLNMAGMNSTRWKLLSQLAEQAAGSRLRLANGSEPYTDSKGRSQMMYGLAQCTRDLSAGECARCLTTFLEDMLPPYNNGSARERELMFDDEPLGKEFETGAGPRRFRYRELAVATKFFSGDEKLGEGGFGSVYRGYLKDMDLQVAIKRVSKSSQQGRKEYISEVKTISRLRHRNLVQLIGWCHGGDELLLVYELMPNGSLNTHIHSQSNVMSWELRHEIVLGIGSALLYLHQEWEQCVLHRDIKPSNVMLDASFNAKLGDFGLARLVDHERESHTTALAGTMGYMDPECLLAGRASAASDVYSFGVVILEIACGRRPIVVVEDSEEYATVHLVQWVWDFYGRGRILDAADARLNCEFDIEEMERVMVTGLWCAHPDHAARPSIRQAVNVLRHEALLPNLPAKMPVAMFMPPVGGSSLSGAGTGASGSSDSRATTRTSVAREASTLQR >Et_4A_033405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22328276:22331568:-1 gene:Et_4A_033405 transcript:Et_4A_033405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIKYHKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDIRRLDDCRAELHNLLKEEVLDLQAMEGSRHCRIVGCSAYTGEGLLEGFDWLVQDIASRIYVLD >Et_9B_064426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15024092:15024427:-1 gene:Et_9B_064426 transcript:Et_9B_064426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFVPERTSAYISQHDLHIGEMTVRETLAFAARCQGVGDRFDMMTELSRREKPANIKPDADIDAFMKASRP >Et_4B_037595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21548928:21550188:-1 gene:Et_4B_037595 transcript:Et_4B_037595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRLSELLQEQQEPFLVETAAYKTRRTRRAGRGITGGCFPAGAGAADACRRLLSLCNHGFKKRRNNSGGVGGLRSALSKVLCGRAMRSVQLRWENLAGGGCFSGCGGREFRRLRRSSIGDSGECDPGRMEFGDDDERGRWKRDVDEVDSSRQLSPVSVLDLHSDDESPVHSPWEDDKPSTSGSSPPSDAFLGPASPCFTYNLTDKFCDMDVDESEEETVSRNGRSIEEQISSWEKIAGDISRIPSMMELDLKQSMQQWREHRPEVAEIGTRIETLIFEDIRRETVCDMLASHCTLTTPTSC >Et_9A_061035.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:11892620:11892715:-1 gene:Et_9A_061035 transcript:Et_9A_061035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVLSRHSRPPRPPSARRLRRQPPDCPR >Et_1A_008253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5772227:5780894:1 gene:Et_1A_008253 transcript:Et_1A_008253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAWSDWKLVLSMQGASSLIPSVSALVSECSENTKFREVVCMKLGTGSNTWLRFCDICFCHDRDPVQVLPCVLDSGLTRRFKSSMSQKLQNACNPSALTMKESSSLCDSAADGNWGSKYKRKRSKLKVSPSNENEATSPTSDSPMSHVSTKKKFKHDTNIPPSAKKIRGHDGYFFECVECDLGGSLLCCDSCPRVYHLECLTPPLKRAPPGKWHCPRCRSKKGSLKLSGNADADTSKHEKTKRVHASTASDSPPSHTKISFKTRSATQDKSGSNEQGKQSCGGAVRGGDLSMKNNEVEKKKPLILHIKKRSTKELSADAQPLKSEFLGEPSEEKLEKHGSALKVKKHPSIMDMSPNKSISRRQNSHRDSKRSAVKKVKYSVSDDDSVSSTEPSTSLDNSETPPKRKPLDGRTPSSSTKKGKKKVKFVDRKNSEEMGLVGDTIMTPHEDQQVDRILGCRLQTSQINPLSHASSNQFESNLQLDDMAPCASSGPEASHGNSNKFHDGIQFSSNGTVEDVCADASSNHSGDNHLEDVGIEKERNNKSCGSKESLKQGVKNVSVCSGDQTAMTKDDQIVEDEKPSDTPAEKDDAKLPVSIADTVVPTKKAAESKLHGKIEERAGHDNSGIGYEFLVKWVGKSNIHNSWVSESELKILAKRKLENYKAKYGTSLINICKEQWCVPQRVIAVRTSVDEVEEAFIKWCGLPYDECTWERLDEPTVMKYCHLVTQFKNFESQALDKDRTCNYAHGRNRQELHVLVDQPKELQGGMLFPHQLEALNWLRKCWYRSKNVILADEMGLGKTVSACAFLSSLCCEFKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARARSIIRQYEWHAGDASQIGKAKRSHKFNVLLTTYEMVLVDAAYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNSLSFQHRVLLTGTPLQNNIVQAEYYRAMLTKNYQVLRNIGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPESGSPEFLHEMRIKASAKLALLHSMLKILHKDGHRVLIFSQMTKLLDILEDYLTFEFGPKTFERVDGSVSVAERQAAIARFNQDKTRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSDSVNGKDSNEATGTVADVDFKHRRRTGGLGDVYEDKCVGSSTNFVWDENAIMKLLDRSDLPSTVAESTDADLDNDMLGTVKSLDWNDELNDDPGTNEDIPDMDNDGCDQAPEPKQGAATRAEENEWDKLLRVRWEQYQIEEEASLGRGKRLRKAVSYRETFATLPSEALSEERTSNRQAGEAKVPGGQAYLERPMIPIGAKGHSSTDCGQQTVGMLSLPMGNILTDS >Et_10A_002107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22846707:22849441:1 gene:Et_10A_002107 transcript:Et_10A_002107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPLHYAVNKGQHQALRILLDHGADAGVDVNFTTPYGQTALTDTLTFCLPGITELKEVGADANYHGKEVLDDSLADIFKFLLEAGADPNIPNQDEISVDLEEYQRNWKSKVKEAFGKGDYLAAAYFYGLAMEIDPLDAALFANRSVSYLRMGEGQLALADAQRCTMMRPRWRRHGTVRALLSACSRYCSSYLKFGHTYFHVQSYKEAVHAFEEALNLDSASDEIKNALRQMLSFPEISTLSKINSGSPRP >Et_8B_059967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4479789:4480399:-1 gene:Et_8B_059967 transcript:Et_8B_059967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRYSPSYFGPTIMLFTKPRGPPLNARQDWVKRSSPVGREDLGAVLEVKAVDVLGGEERAAVLVGRREHGGHKPTGARPGDHVEVVSDPGVRAVQLLQLLLEEREDCAGDDPSHAAAVDAQHGDDALATVSWAWTATTLFRLSNRCAGFRIRPLDPLVTSSGTCTGGDMAARNLNESN >Et_10B_003341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1944549:1949597:1 gene:Et_10B_003341 transcript:Et_10B_003341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RFGDRFQEFPHRQNKKRLTTALQNLVARDLRIFVLRRRENGPLQTTPPTPDRAVRGGAYINGTHPPLALLGSSCRLQLITEISSTDPLLASLIQRTENPNKAMVCFPVFRRRRNSRSQIVQPDHDIPIAGNIKIYSLKELRKATKNFCPGNKLGQGSFGCVYLGKLKNGEKVAIKVLSSESRQGMKEFLNELGVISNVTHPNLVKLLGCCADGEQKMLVYNFIENNSLAHILFGNSHSGIRLDWRTRVKICIGIAEGLAYLHEEVRPPIVHRDIKASNILLDRDLNPKIADFGLAKLFPGNMTHISTRVAGTLGYLAPEYAIRGQLTKKADVYSFGVLLLEIVSGRCHTEPTLPLEDQYLLEKVWMLYESGDLESIIDRTLQRDFDAEEAHRLLKIGLLCTQDATKIRPSVSTVAKMLKNECAVGDKIMRPGLITDVMDLKVRAVEQVQLSPSMSPIVDSSQVSTLALAGSTLVGNL >Et_8B_059281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16104112:16106438:1 gene:Et_8B_059281 transcript:Et_8B_059281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVALKAKCEQFGTGLIRHWRCAVSQQDTGGRTLCRPAADDDGFPRPGRTCQCHRRRNGDCAVGVLVLVGAQRARVEEPGQLRRASVVGIVVAVLWLLGRRELQDLELHDADVAADGEAVVDEQALAPPLQLRPRDELGVAEPGLLRLRGGRRCGVDAERHAGHRGDFGASQGRRLGGELVHGVAGGEVEQAELVLDPDAPLRETPQEPEVEGDGVGVGVPGGVGAVRVQVHLPHPAAGVERLRADALAAGEHRLGPREAVDAEHLERLYRLGGDGRGRAQSLALVGQHAAGRHGR >Et_4B_038040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25681356:25687817:-1 gene:Et_4B_038040 transcript:Et_4B_038040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRKYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELDYKAIVYSSFYYMEAMIYDSNNGTLH >Et_10B_003419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19593880:19598826:-1 gene:Et_10B_003419 transcript:Et_10B_003419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCAVQRWSRRVATMLPWLVVPLLFLWGASQLLLPAAYRFEVTSPRLACVSVLLLTLFWYEILIPRLTRWRNRRSARLREERRAHALELHKLRKTATRRCRNCNNPYRDQNPGGGKFMCSYCGHVSKRPVLDLNSAGKVPRRWPCAQDCDSPYWSELRCPGDNSFLGLSRRLFSSFWVTMRWFISKMFRFASSEDSDSDGKRLAKRGENGGKAEESRVEKAKRKAEEKRLARLEREILEEEERKQREEMAKLVEERRRLRDEKAEAEERSKGATPVGEKDARREADKRRLDRTKKEDKGSSKSNSDCEDMDRRLGREGDRKRDFDRKGDPERREGYKPHHFEANNHSSKVVDSKARYFGRMAGGFLSSSRGFGGGSFFGRSAHAPAPQVNKISRPVVPATDQSNAVKRDFHPAAAQAAPKSATGETRNSWTNSNRPVSPNVQPHPTAPKKSWHQLFSRSASVSPCPDVTASAPEMNRKPETKGAQISNAHNFLSHYPPLDSKPNLSQSMQFPGFPPVKGASSNTTLPRFPAGHMPFYDEAEPTVFEESEQFEDPCYDPDAISLLGPVSESLDNFPQDLDCGFTSSDVTKESHPRPSPIESPLSRSRTVEEKPIKPQNSSVTKGPDGSISPQANSEQGTWQMWGTPLVQESLCLQVPHGQWLGPSTHQFSHGANFLNGGTRSPLGSSLNHSDPWLQKTPIQQLPPDTPSLFLPHDLTGKAVRNDLGFGSPNKSARAHPFGPPGLSWSKEEEPLLNGNGRKESDQFCSPRGAHVGGGFFSANPDVQSVWPFNEKETT >Et_7B_054864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5033673:5036603:1 gene:Et_7B_054864 transcript:Et_7B_054864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTELEMRPRQPLMLKEWLELESSAELSRDGFGCYPRHLADELRSASGRRRNGGDVIARVSAAVRAALLLRTPSGREGEAGALPRSLSKRLRVAFWKKRRGEQEEMDRRVPSCSAAVSCGRRDGPSSPAMSSPRRTSWEGRRVGADGAGLGSSRRSHEAEKVAGSETTRHVDEEQEQEQRLSPVSVMDFPGQDEDDDSNETVGTCHGEDDGDDETMSPTFARNMANIHRASQLLLQKIRQFEQLAELDPSYVDAATTATEDASCHVTESDIEDETIQDLLGILQVNSPVAACRFQKLLEDFFRDGLASSCNRERSDDPDRVKLLLENAKSWLEGQYGVLRSYGKAEVEEIERLGRWRCFREDEKQPLAVDVEGGIFWSLVEELVVDMC >Et_10A_001906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10814392:10815310:-1 gene:Et_10A_001906 transcript:Et_10A_001906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAKQQVTRAAVQPQQPPQLPPGFRFHPTDDELVVHYLRHRALGLPLPSPIPDVRILQHDPADLLPPGWAEPERYLFTCKEAKYEKGRRANRATDAGYWKATGKEKQVGAVFAGGGGRAVLVGMKRSLVYYYGRPPTGTKTDWVMHEYRLAGVGAQPWGRGRAQPDLGLGREQGSSA >Et_3A_026242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:679565:689495:1 gene:Et_3A_026242 transcript:Et_3A_026242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYAHLLLMPSSVSHQKPAATRAHGMNMIACTAPATKMLRRHQLAAWRLQCSAPAAETAVYGGAAAARRVTLATLRGKHRRGEAISMVTAYDYPSAVHVDAAGMDLILVGDSAAMVAHGHDNTLPISLDLMLQHCRAVVRGARRPLVVGDLPFGSYEASPAQAVESAVRIIKEGGVDAVKMEGGSASRVSAAKAIVDAGIAVMGHIGLTPQSISALGGFRAQGKTVDSALKVVEAALALQEAGCFAVVLECVPAPVAAAATAALQIPTIGIGAGPFCSGQVLVYHDLLGMFQSKNHSKVTPKFCKQFANIGAVINEALTEYRQEVEARSFPGPAYTPYKIPSADVQVFANALQTSGLNGAADAAITATESERKTLARRLLSNVPESTVYGGPRPQEAARRVTVTTLRGKHRRGEPITVVTAYDYPSAVHVDSAGIDVCLVGDSAAMVVHGHDTTLPISLDLMLEHCRAVARGASRPLLVGDLPFGCYESSAAQAVNSAVRVLKEGGMDAIKLEGGAPSRISAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGKTVDSAVKVVETALALQEAGCFSVVLECVPAPVAAAATSALQIPTIGIGAGPFCSGQVTPKFCKQFGNVGDVINKALSKYKQEVETRSFPGPSHTPYKIAPTDVDGFANALQKMGLNEAADAAAAAAENNEKDVERAIKHIRQKDQDYAIHLVSTYKFQHANKIQRPQQVAIPACEQNTELQHPPTLGHNGNQQIRSLWCFNWGGYLLVRKCGSSKRVLTAMRPFPEGSSMVKTCPTNAGPPPPPPLIPPPPLPPDSAPAPAIREPGRGCGPRRDSSGEAGDDDVVAEETGRRSGTARCGAAGEGEEAGVEEGEDERKGKEEAREWKGLEKEEERGMVVVDRR >Et_7A_050746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10739534:10741399:1 gene:Et_7A_050746 transcript:Et_7A_050746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAAPAYDTPEGVDIRGRYDPEFAGILTRDALSFVAGLHREFRGAVRHAMERRREAQRRYDAGELPRFDPATRFVREGGWSCAPPPPAVADRTVEITGPAEPRKMVINALNSGAKVFMADFEDALSPTWENLMRGQVNLRDAVAGTITFHDASRGRTYRLNEHDTATLFVRPRGWHLPEAHVLIDGEPALGCLVDFGLYFFHNHAAFRAGQGAGFGPFFYLPKMEHSREARIWNGVFERAEQAAGIERGSVRATVLVETLPAAFQMDEILHELRHHSAGLNCGRWDYIFSYVKTFRAHADRLLPDRALVGMAQHFMRSYSHLLIRTCHRRGVHAMGGMAAQIPIKDDAAANEAALELPPAGARTVDGLRLNARVGVQYLAAWLAGSGSVPLYNLMEDAATAEISRVQNWQWLRHGAALDHAVRATPELLARVLEEEMARVEVEVGADRFRNGRYAEAAEIFGKQCTAPELDDFLTLDAYNLIVEHHPGASSSPCKL >Et_9A_062855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5917892:5922214:-1 gene:Et_9A_062855 transcript:Et_9A_062855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAPSRPPAARAATLCTMLAPALSPARNNRVASAWEAIQGSESDAAHRTAAHASSYAAGTGCSGARRWEWALSDLLKRNGPVRPSSAAGFITWSIIGHHVRASLGRRALTRRRPLRLGVSNRRRRRPAIDEVDDGVHERHGGGAFRPVEEEHVFLALGLHERHLALALAAQPRRVATPALTHHVLGGRGHNRAPERQRRDHLVRRVDAGVIVAGGAGRQERPEEVRPLRREHRVAAPVRLRRQPVRAPQKRVQHDQAGDVDVDAGAVEAERHVVHDVGARALAGEEHARRVGVSVEPLVGEGRRPPDRHPRVVVRRRDRMLRRQAVVDGDDDRRRARGEGGRVPVVQLGEGAPGEERAAVEVDDEREPLATRRHCAGAEEEAH >Et_5A_042968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8165316:8168913:-1 gene:Et_5A_042968 transcript:Et_5A_042968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSWFGYAVVGVAVNFVLLLKYRLTCPNVFYEIPTENNLANSPWPASPLKSEFSNSPYTPLSSQLECDNLSALSNTPDNQSSTETISAQAISPLEADSSYRQAGIILRENIQVRPDPLYATSRHNMQHALREIETVLMAPDADDGATGTKHEIEENKPAQLMRQRSRTCSHESRQPSPGVVWPQFASGFPSASYEFRPEKRQRELREDPQNIVKQLLTRCAEALSEERIEEFHKLVQEARGVVSITGEPIQRLGAYLLEGLVARHGNSGTNIYRALKCSEPKSNELLSYMKILYNICPYFKFGYMAANGAIAEALRNEDRIHIIDFQIAQGTQWITLIQALAARPGGPPHVRITGIDDPVSEFARGEGLDIVGKMLKSMSEEFKIPLEFTPLPVYATRVTKEMLEIRPGEAVAVNFTLQLHHTPDESVDVNNPRDGLLRMVKGLSPKVTTLVEQESHTNTTPFLMRFAETMDYYSAMFESIDANMARDKKDRINVEQHCLAKDIVNIIACEGKDRVERHELLGKWKSRLTMAGFRPYPLSSYVNSVIKKLLACYSDKYTLEEKDGAMLLGWKNRKLISASAWH >Et_3A_024016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16079390:16085132:-1 gene:Et_3A_024016 transcript:Et_3A_024016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILQQLDYLRISAFIVWLVWILVEFANLKRQEETENGVTVSAERKGITLLPCKIIAVSNTSITSINIGFAVSEVWKHQTVSLSLIFASMSWLLVSFFSLYCKYRGAGVASNWPAVLVSWWVFSFLLESILTSLHVFDLFNCATIVDFTSLPFCVIICLCLVATAMTPTNKTQDKLNQPLLNREDSGSSSRDRFSSSGWWSQLTFQWLNPVFDKGHKVRLEIEHIPSVPQSDTAEQSYALLQETLHKQKPEPMSLQRAIICSVWTPLVINAVFAGLNTFASYMGPFLITYLVELLSDKKSDKAHGHGYTLAVLFFASKTIESLSQRQWYFGARRIGYKVRAALMVSIYKKSLLMKNSTTGTGKIVNFLDVDVEKIGEFFWYIHGIWLLPLQVSLALVILCQSLGMVASLSAVLATVLVMVSNTPLAKSQEKLNMKIMEAKDSRIKATAEALKSMRILKLHAWETAYLDKLLKLRDAERGWLRRYLYTCSAIAFLFWASPTLVSVVTFGICILVEVPLSAGTVLSALATFRILQDPIYNLPELVSMVTQTKVSLYRIEEFIKEDHQGKPSSYGNKSSLDEQFMTGVVDIEAGEYSWEATDNVSKKTKFTVKINRKVNIQKGQKVAVCGPVGSGKSSLLCAIMGEIPRISGSKITVVGSRAYVPQSAWIQTGTIQDNVLFGKAMDKTMYDEVLQGCALDKDVELWANGDMTVVGERGMNLSGGQKQRIQLARALYSDADVYLLDDPFSAVDAHTGAHLFKVMKDGQIVQSGKYDDLIADRDGELSKQMDAHNQSLSQVTPAKVYGLARSKKNKKKRMELTEIEPDHNVLGKESEEERESGRVKWSVYHKFVTSAYKGALIPVILACQVLFQVLQICSNYWIAWASERQKQVSREKMIGIFVLLSAGSSVFILGRAFVLSTIAIETAQQLFLGMTKNIFRAPISFFDATPSSRILNRASTDQCTIDIDIPYRLAGLIFALIQLLSIIFIMSQIAWPIFFLFIIIISISTYYQSYYISSARELARLVGIKKAPVLHHFSETVSGGATIRCFNQDENFFRKSLALIDDYSCITFHNSATMEWLCVRINFLFNLVFVVMLIILVSLPRDSIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQFSNVPSESALVIEEYRPRENWPWYGTIQIDGLQIKYNHDMPMVLKGISCTFPGERKIGVVGRTGSGKSTLIQALFRIVEPSSGRIMIDGVDISLLGLHDLRSRLSIIPQEPTLFQGTVRSNLDPLQQHTDSDIWEVVRKCRLEKIIREDNRLLDAPVVEDGGNWSGGQRQLVCLARVLLMKRKILVLDEATASVDTATDNIIQRTIRQETESCTVITIAHRIPTVIDSDLVLVLGEGSILEYDSPDNLLKDESSAFSKLVTEFVGRTEDIINQR >Et_10A_000166.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:13875146:13875838:-1 gene:Et_10A_000166 transcript:Et_10A_000166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSCLCQEPAKRPSAEKLLRHPFFKGCRSNDYLVRNVLVAVPSIEERCKDTSNLCGCAGGARCISPCRHTKTAKNRRISGWNFNEENLELHPTDGSAQRCLPFDEEDLGERASRSTGDDQEDSQTRQGGRKGFKEVVIPQLMTILESLEMQRGMVMHVLEGGDVNSNGCARAPAREEREDMLLGYVRQLEHRVEELTSEVEVEMARNAQLEKQLQARFNDKSSQTSGSS >Et_4A_034456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3309739:3311756:-1 gene:Et_4A_034456 transcript:Et_4A_034456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAHGMPATSFFPLIGLSKYVTIFLVFLSWILVHRWSLRKQKGPRSWPIIGATVEQLRNYHRMHDWLVEYLSKHRTVTVDMPFTSYTYIADPVNVEHVLKTNFTNYPKGNVYRSYMHVLLGDGIFNADGELWRKQRKTASFEFASKNLRDFSAIVFREYSLKLSSILSQASKAGKVVDMQELFMRMTLDSICKVGFGVEIGTLSPDLPENSFAQAFDATNIIVTLRFIDPLWRLKRFLHVGSEALLEQSIKLVDEFTYSVIRRRKAEIVEARASGKQEKIKHDILSRFIELGEADDDGGFGDDDKSLRDVVLNFVIAGRDTTATTLSWFTYMVMANPEVAEKLRRELRAFEAERAREEGVALIPCGDDDDEEETFAARVAQFASLLSYDSLGKLVYLHACVTETLRLYPAVPQDPKGIVEDDVLPDGTKVRAGGMVTYVPYSMGRMEYNWGPDAARFRPERWINEDGAFRNASPFKFTAFQAGPRICLGKDSAYLQMKMALAILCRFYRFQLLEGHPVEYRMMTILSMAHGLKVRVSRAV >Et_9B_065214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2536026:2545364:1 gene:Et_9B_065214 transcript:Et_9B_065214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMTTPEEWREGSDHRSRATRWRRRTRVRRPSSPTPSRAGQSEASTSSPRPAPPTPSPGGQTEPSASSQQSPSPSTTSRAPQRRSLHPSPSRAGQSESPASSQRGRRARGRRVGAAKEKSADMNREAEDVADRTSNRGGQPAAASAPVASKRAKKGRSKGRNEQPKRRKRTRTTDEGRLCEETASKRLKRSVGDLAVAVASASADTEHASDVSSAVSSPLRRPYFKRAVISVSDGGEETLEPFTNISPAILDTYEEHEAKYHAKQRSHLKLITLERPRLRFGSTLEYGEEIVLKSAKYVLGMSSYIDSNLLAHASGFIIDQDPESKAAIVITSALLIRTKSPSMDEWLARDEFAPHSEVRVHLLDKDDTTVVAELIDYHKHYNFALFKIKMDVVVEIPPFNAEVNFGQDIFVLGRDENRNLIVDYGMVLRRGPNCFERHHNVLTSCEVNERCFGGPVIDLNGQFLGMIILPVAFIPSAIILKCLDILKTNNCLPRLHTGMKFSAIKFLDPVRKEKLSRKCNIHAGLIVTEVCDGSIAEKVGIRIGDVLERWNGVLMSTTYELENLLLSICQKHLDNGGVEIPVRIYHVRKDSHRTVNLTLKLRDDAEVVATGEYDVSAAHRAMVSHDDRDSASVSGSRSQGVRGQRSGELTAEEDSEEEQAAASSSVHAAEAEGAAS >Et_3A_026526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9249199:9255082:-1 gene:Et_3A_026526 transcript:Et_3A_026526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAAELPHRGGGGGRLGPGPLAVAASMLRREHRRRALAGGAVLASALLLVATPRLRHSPALHLFADMRNFLGVPNTLNVLTSYPLLLAGVPGLVLCLCGSGCFGVSLRWEALGWFLFYTGNVAAAFGSAYYHLKPDDDRLIWDRLPMMISASSLLSILVIERVDERAGISCLISLLSLLFVSTACERVLDDMRLWVILNFVPCIAIPAMLFLFPPKYTHSRFWFLATGFYLLARFEGLADRKVYSVNRYFISGHSLEHLCFAMVTLILTVMLSFRNIKIASVYERPGFSKYSWGTWQLKYESEETLIARTHPRMPRLLRASSPPGSQQLCHRLLSAFAADQPILPNPSTDSAVPWNRLLRAHIAHSRPDLALALYRHMRALAPALPNSYTLPLALRAALSPRIASTVHAHAVHLGLHAHPDVAGQVLAAYARFGRAAEARHVFDALPVRRTTLSWNTLISAYSIGCDPDSARAAFARMVAGGAPPDAVTWTTLLSAHSRHGRHLEVLELFRDMHESGCEGNAEAVAVALSACPYAGGPALAKGRALHAYGVVKGIVHGHLFVTNSLVCMYGKLGEMEEAEKVFRDAGEKNAVTWNTLITSYAAAGLCDEAFDVLVRMEQGGGTVLPNVVSWSAVIGGFASSGDMQQALELFRQMQQRRLSPNVVTLATVLSACAELLALQLGREVHGHTIKAVLDWHSLVENGLINMYGKCGRVSAAQMVFDRMKSRDLISWNSMIAGYGMHGLCDEALSMFSEMARAMVEPDGVTFVAVLSACSHSGRVSEGRRLFDRMVQEHKISPSMEHYTCMVDLLGRAGLLKDASELIETMPMRPDLCVWGALLNSCRIHGNSAMAEATAAKVLQAEAETTGNHMLLTNLYAACGMWDESKRVRVMTKEAGLKKSPGQSRIEVTNKVFAFTAGSVSLPGSDEIFRLLDDLYREMEDEKHDICEAIASTE >Et_7B_055330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9406077:9411148:-1 gene:Et_7B_055330 transcript:Et_7B_055330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPTKARPAKHLVALAVVAVLGLVLVADFLWASSSSGSSPAIWSSKLNLGTGPAAAAPPPSKKKNLDINATFADLLAPELKWEEMAEAPVVRLDGAAIQIKNLLYVFAGYATLNDVHSHVDVYNFSDNTWGGRFDMPKDMAHSHLGMVTDGRFIYVVTGQYGPQCRGPTAQNFVLDTETREWNDLPPLPFPRYAPATQLWRGRLHVMGGSKEDRHEPGLEHWSLAVKDGKALEKEWRTEIPIPRGGPHRACVVANDKLLVIGGQEGDFMAKPGSPIFKCVRRSEVVYSDVYMLDDEMTWKQLPAMPKPDSHIEFAWVNVNNSLIIAGGTTEKHPITKKMVLVGEVFRFNLETLEWTVIGRLPFRIKTTLVGYWDGWLYFTSGQRDKGPKDPSPKKVVGCMWRTKLH >Et_2A_015328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10991562:10992773:-1 gene:Et_2A_015328 transcript:Et_2A_015328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AFGYPKFLQISTLGKKIVLEILRQYLNRVVLKEIEDRRELSPIAKKTGDRRNHQHTEMVGFLGTSAASGHHNLQLRAGSDHYELIRANKYGARSMIRFCSTARTRDYYYQVLGGHDYTLLLNEVYKNISWHADGSVKSRGGRGSGYTGNGYSSWNGACEKPGSLFVVENKMHRYVSILTVEHGGITGYMLTECIT >Et_7A_051027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13792216:13794873:1 gene:Et_7A_051027 transcript:Et_7A_051027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDQGTLVFGLHLWELVGIGVGAAFVLLLVLLSLLCLLASRRRRRRRAVQATPVLHLPVTASNAQPKNPTKPPKDIQEVPSRGTAAPAAPPKAPLAQVLQAPPPDSIQIDTGKEHRITFPEQPPPPHHQRSGGGSSRGASGESRGGGGEPGVPEVSHLGWGHWYTLKELEDATCMFADEKVIGEGGYGIVYHGVLEGGVQVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVNNGNLEQWLHGDVGPVSPLTWDIRMKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKRWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLVEWLKTMVSNRNSEGVLDPKMTEKPTSRALKKALLVALRCVDPEARKRPKIGHVIHMLEVDDFPYREERRGGKAPAQVKSVETPASDPGDSSGNNTPKDTPKGEPKGEPFKWRT >Et_6A_046499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16142877:16145586:-1 gene:Et_6A_046499 transcript:Et_6A_046499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLLKRLGFCVWPLFWLGGQSATVSPIAGGEAVVVDAQSAIAVTDEDFVCATLDWWPPDKCDYSTCSWGLATLLNMDLSNKILLNAVKAFSPLKLRLGGSLQDMLIYDTGEIQQPCTPFVKNSSAMFGFSQGCLPLHRWDELNAFFKESGANIIFGLNALNGRVPTPDGSLGGPWNYANAASFIRYTVNKGYDIRGWELGNELSGSGVGARIDADQYAADVITLKQIIDSIYQGSSKPLVLAPGGFFDAAWLTELVDKTKPDQLDVITHHIYNLGPGMCDTPCHWNSNPCKSNPKRNENSSNSKFEI >Et_3B_028591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17088954:17092313:1 gene:Et_3B_028591 transcript:Et_3B_028591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDALVPPPDPLAATDAAVLTRRRSHLDSASYRTLSRLFAHCFHLHPSRREAPVPPEAEPAAANPTGVESGDSAPAPVDADFHRRKDVEKEAVAAGSSRRQETVSPVMDQPAVANPTGVPGETPQCSLEDADELVVKSTCSKAGDGGEESGVGAGLLVEDEALKSVKSCLEGETDESMEAVGDDDGRLLLDAMMTNFTGLIDDVGAGLVPEQRCAILGGELQNSNEESKQLGDGIEEDRPAGNSGYGKVDGGGGFEEGEIEGELQDLDAEESGDSEPADENADGEEQGRDSVSKVSGAIESCVHDTRCGNVHLTPEMCATRAQAVSYDEVLDWNETPLPDDEDIKPGKKRKRNLTEERKAKKTKNKRIKRAQQRIAEGVHKLKLQPVIKPKKVKPCHFYNHGKCQQGDSCKFSHDFIPSTKSMPCKHFASGSCLKGDDCPFDHELLKYPCHKYKSGVCFRGDKCKFSHVVHVKKSDAPLAFGKTNLTGQTGSQKTSSVQSGEPMVSAPSKQQHSILKTLAGISISSQGASTRIPKGVQFLPLGRDGSNSSSVHQDVLSVEKHRNANGTRNQFVGHQAEGQKIVTQNDQKPVKPLDVRNSLKEATLLTDSTATPGSIHTQSEVSEASRILQDFLFGVGS >Et_5B_044362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23323653:23330257:1 gene:Et_5B_044362 transcript:Et_5B_044362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKQLCDALAAAGFDGADPLDPESLEWAFLQGDDSRRMLAWVCARLRPANVLSATDLELYEQLELEGKLLEGEDLDFAFDSISAFSDKGDNMEPTVLSEESLEDIRDSKHALRSEVDNLEKQLASLEWKLDLLTAQATTITQGKKSRSSAQTRANGQLTSLDEKLAKRSLEMNAVLGKLAATTQELSYYHSEADIGVYLTYCDFQSYVSKNLACTKELNKWFSNKFEKGPLQLVVKEDESRGDSMHSHHFDVELNRINSVFAKSKRQYIEAQVEYAKEDAILSKLKTQLASQQSYIHQDTNSLRTKSSELTKELKDLSLHVQKCLSETLTGLCADLAQLEGANILQGDHNLKLMRQECYISHQKKLINYLVNQLAAHQFLKISCLLEKQTKISSAYSLLKSVEFELQGYLTAVDGRLDRYHLIDQAASEMFEEGSVDDRDSFLHAVRDILSSHSSSQAMTPTYVSSYGMIEQISELQDELYYLQHELENVFPRERGRCVEEICRMIQTLEQILSVPLLDEQPKLTPWPLMQSLEELEIIGQQVSASVNEVTMARDENAEMLQQPSRNAQQGRRVFVDFFCHPGRLENQVQELTSRIRALPQ >Et_2A_016024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20217705:20219614:-1 gene:Et_2A_016024 transcript:Et_2A_016024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGETTPPPDPPPSRVSISSPSPYSRRRCTLTSRFREPAAPRRQAWVSLQGHLVGAEEASSAAVAAPGLPPDEAVAWEMFSPIHRVLLVATVAAASSRSHAARRIEQLQRSIHLRDEVLQSMQQKLDDLFDEMNSLQQQYLKCDSYISTQEEKIVLVDGKKPGEEEESKCCACVKPDPAVTPQKAKDLFATDDAKSDVVDRSTACLMDHEERRMSDLSDFCWSVVSSVDNQINGDNQLSSLAADQQLYNFQKECEEKDATIKELTAAAHASRIADAKRITELQEILKRKNMVISKLKKDMAALKQMVVELSRAKRTSSVNLNPICSDLPVMSNNVLYDMSSTSSSSSSSSDSESPVTPRENLYERILVDGTSRASASEGSCRVPVANSSLTPKESSVCKLRSTSPLKEIHINPKVGTNSVGRQKQHTSSSGDFKRTRRQSQQDSRNKAIRRWV >Et_3A_025980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34280221:34281415:-1 gene:Et_3A_025980 transcript:Et_3A_025980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEGEDLFFGLSLHDDDPASAAAKADRAKRKAGGRWTKDKIWEAELQKIKEQQAKSPAITYRRLDPQVESSAGPMRHLAVTLRGPQLVSSANVISVKIINSAVGFPLDCKRLYLFRRDPDNSQRINSTARDESLSLTGPSRGLVAFNNLYFELHLKRKNRQSEKETELGNWFVKDSALTSTSKVVRNRFVGKICTLDLTYAPTHRAVEVAIEVKIFEILRIKQRPDGDTAEEWLPFNKKMKECSEFHGRITACTNKTPQEVVLYDSKAAVCVIRVGGEGLLQLSRRVVAVPMNDKVSFKLVSHDGVCVVTSYARMCGCSSPVRRVGSLRLLLNLVWSALYWQNLDGDPHCMDLQHL >Et_2B_020899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24655923:24659050:-1 gene:Et_2B_020899 transcript:Et_2B_020899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLLPHSGLRRSSVVAGRSSAGAGADGAAADVSGAGARAPASSTFWFLLHALCCLISLFLGFRFSRLLFFMLFSTTALYHSATTSSSAAVLRATTTTTTTTTTTTTTTNTFTLSFNAANPPPSSLANRTALETAAAVKAGTSGNPQSHVVVGRHGIRIRPWPHPDPVEVMRAHRIMERVQEEQRRWYGVKEPRQVLVVTPTYSRAFQALHLTGLLHTLRNVPYPLTWIVVEAGGTTNSTAAMLSRSGLTFVHIPFPDRMPTDWADRHATENRMRLHALRVIRERKMDGVVVFADDSNVHTMELFDEVQKVQWMGAVSVGILAHTGVAEQPRLSEEDKQNMPLPVQGPACNSSGHLAGWHTFNSLPFAGKAATVVGEAAPVLPRGLEWAGFVLNSRMLWKDAEGKPEWAKDLDAVGENGEEIENPLTLLNDPSSVEPLGNCGKKVLLWWLRVEARADSKFPKGWVIDPPLENVVPAKRTPWPETTTELPSERIDGCQEPTNRLGPEAPLNGRVTFKSMRTDEKCIWPCHVYLTSPNHKEAYPAPSNLLVIVFIFLMFCSCGKDSYLGMPPVKCKLFVVVSLCCNILQWALKNGGNSVCF >Et_2A_014587.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:25482245:25482709:-1 gene:Et_2A_014587 transcript:Et_2A_014587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAAEAATGARFTAMVVVSGSMEPAFKRGDLLLVATSDDGPIRVGDVVVFRKPVPDDDDGPPVVVHRAIEVRDGGAGILTKGDDNSVDDAAFLYGGAPYLRRDQVIGKAVGYLPGAGWPAVALREAGVDQRAVAGVLGLAALVQIVREAMNQ >Et_1A_007956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39713982:39715541:-1 gene:Et_1A_007956 transcript:Et_1A_007956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRFSSPKAVVSFAAMVVILSLSAGVNAVAAFDYADALDKALLFFEAQRSGKLPPGQRVTWRGDSGLSDGLEQGVDLVGGYYDAGDNVKFGLPMAFTVTMLSWSVAEFGDGMPRAHLEHARDAVRWGADYLLKASAPAPEAVYVQVADPYQDHRCWERPEDMDTPRGVYKVTPRNPGSDVAGETAAALAAASLVFRARDPAYAARLLRTARKVFDFADRYRGSYTDSLGYVACPFYCSYSGYQDELLWAAAWLHLATTAQGDGNNMYYMSYIMANGHTLGAEQDDFTFSWDDKRVATKQQRQQPKTTTDSSSVLKMYKAHADRYVCSLVPGATGFQSQYTPGGLLFKDGDANMQYVTSTAFLLLTYAKLLFSTNGAVVSCGSTAVPPSTLVAIAKKQADYILGANPAGTSYMVGFGARFPRHVHHRGASMPSVRDHPARIGCDEGFRYLHSPDPDPNVLVGAVVGGPDQADRFTDSRDNYAQAEPSTYTNAPLVGVLAFFAAGRRH >Et_3A_025990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34446418:34449388:1 gene:Et_3A_025990 transcript:Et_3A_025990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GAPSNGYLGERGEETVARESGQQREESFPSSHLQLRSETRREIRHSLPFLPFFPCQSKQAIMAAATDSKPAAPRLNVPPSMAGALRLDPLASPSPSRRLAAEAPKTPSPSKTTTYSDRFIPCRSSSRLQNFALLDSPKEDTPYSRLLRAELFGPDSPTAPSSTPASPNANNNLFRFKKDHSAPTSPFAAAAAQLDCTAGSGEAPSPQKQPRKVPKTPHKVLDAPSLLDDFYLNLVDWSSQNMLAVGLGTCVYLWSASNSKVTKLCDLGPRDSVCAVHWSREGSYLAIGTGLGDVQIWDSSRCKRIRNMGGHQTRTGVLAWSSCILSSGSRDKNILQHDIRVPNDYISKFSGHRSEVCGLKWSHDDRELASGGNDNQLLVWNQRSQQPVLRLTEHTAAVKAIAWSPHQQGLLASGGGTADRCIRFWNTANGNALNSIDTGSQVCNLAWCKNVNEIVSTHGYSQNQIMVWKYPSMSKVATLTGHTMRVLYLATSPDGQTIVTGAGDETLRFWNIFPSVKTQTPVRDVGLWSFSRSHIR >Et_8A_056493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10909304:10911693:-1 gene:Et_8A_056493 transcript:Et_8A_056493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLVSAATGAMSSVIMKLDDMLRREYNVFRGMRCDITFIKAELEYMHAVLEKLSCVENPSLQDKLWMRDVRDLSYEIEDRIDQSFMLHVNNEFFQPETFWGFIERSMSILTELKSCYLMAKEIHGLTVRIKEAAERRGRYACGVYDVPKYNNMTVDPRVCALHKNVSELVGIDRPKEEIIRLLLMEKGSTSSQNLKMISILGIGGLGKTTVAKQVYDSLGRQFESRAFVSLSQSPDITRILSSVRYQLLQDESIQDRKRIKLVDEPTVLLFESYNRYFIVVDDVWSIQAWQIIKCVFTENGLGSRVITTTRINEVAEACCSSYGDFVYKLRPLSHFDSRRLFITRIFGREEQCPSHLSMIADKILRKCGGLPLAIVAVSGLLASKDQTSYQWDQVQNSIGSGLERNLDVQGMMNVLSLSYFDLPHFLKSCLLYLSIFPEDYNIEKKRLIRRWTAEGFIREENGHTLYESGERCFNELINRSLIQPGEISIFGEVKTCRVHDIILDFLISKCAEQNFASIIGAQNAAHEPEQKVYRLSLQGHYKDVLGGEERTLKLSGTNILRATIPRKLYTRLL >Et_4B_040015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7768137:7771883:-1 gene:Et_4B_040015 transcript:Et_4B_040015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDNTYGELSGTFFGGLSYDGYTDHNSSSDYFRFLDSQPAIAPQVAAKPSPSPSSSMSRTNTETDNPEDWEFISDESLNYISRMLMEEDIDEKVSMYQAESAALPAAAKPFYDILGHKFPPSPDHQPISWSLDSPAESGSSNHTQSLASTVTSSSIGGSVDSNQHYSEQLETYRGLHGQSPPPLVGPSSDSCIPDGMLEYPLITNGRIPEYLFESLPTWDFRRNVEGAQKFLPGSDKLVIDLESTSVSRPQEIRKDVSLGAKKEEVLNSKKNRQSEDLDLLEGRIIKQSVFCSDEPDWIEMFDNLLRQTEKKATVLREMMRNEASKNTQVTQTKPSGPRPRGRKPTKRDVVDLRTILIHCAQAVAADDRRTANELLKQIRQHSKPNGDGTQRLAHCFADGLEARMAGTGSQLYHKLVAKRTTASDMLKAYHLYLAACPFKRLSHFLSNQTILSMTKNATKVHIIDFGIYFGLQWPCLIRRLSKREGGPPILRITGIDVPQPGFRPTERIEETGLRLAEYARKFDVPFEYQGIASKWETIRVEDLKVEKDEVVVVNCLYRFRNLIDETVAVESPRNRVLNTIRQINPAIFIHGIVNGSYSVPFFITRFREALFHFSALFDMLETTVPRDDAQRALIERDMFGREALNVIACEGSDRVERPETYKQWQVRNLRAGFVQAPLNQEIVMKAKDKVKDIYHKDFVIDEDSGWLLQGMSEVAVALHQINKQALSEFSTSYMDLAGSSSGLFESVASSRVSSSQYRNEGESWATPITAAPKSIRASTEHEGRAVHVKQGKGNKVDGNMEPTGACELKGEARRNDGADVSPV >Et_2B_019760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13666427:13667091:-1 gene:Et_2B_019760 transcript:Et_2B_019760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEDADGERFIEVVSAGALYRGGEWERKYWSCSRGKDRYPYPLGYHTVRHFFGISYTMEIQEGPRGPVFLVRSLLPHRLCLIPYGNGG >Et_9B_065368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4296408:4298005:1 gene:Et_9B_065368 transcript:Et_9B_065368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASQRWDTIAACLLFHVQRKTLKSCHSKPGVASLSMPLIPRSNKANSVQAWDHLQLHSQDHVFAQQPESAMEGSGDLVLKRGDGAALQLLVQSYSLSFKKRISKAAFDTERCMVVKLLPEARKIASSKIQSTLHYYASCSNWSLVSKASHEKRIVCEEEQLKELEFDIADFENMQLLLLPYIKREAKAGKTPLLHIAFSSLPFQKKRSFTT >Et_3A_025457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3004370:3008616:1 gene:Et_3A_025457 transcript:Et_3A_025457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSWEIARAVLSTCWCPLYGGAPPATKRASRRRSAPSRMSAMSRPARPRRSIACATCFGGASPPAESCWWATTAGAAAADGATATADACACCILPLLVSLRLTFGLRLRVHELRGCVLAIGSPIAFGQAWAHSGRCTPTAIVTSAFDKLLPGCLGVPNVPLPHRPAMPRPGGNGEPPPPPPPTLPSLIKLGCTVTPRHVDRLLAALLRRRKHCLLAAIASQALANSLAPTPRTHLLAASALLDSARPREAAERLALASPAASRRLWDALLRRACAGRGEPRHALELLSAAVEDHGASLSVSTYRVMVVGLCARGEVDEALRVFDIMTQRGCQVDDRVCSSIIAAFSRVGNAGMGLEFYKRVCRGFSGFEPGLVTLTAVVHALGLEGRISEAEELVRDMEQKGLVVDKVLYNSMIHGYMSHGFLTEGLREHRLMLDKGIPADVVNYTTVIDGLCREGSVEKVMGFLDEMEQCGAKPNLITYTSLVSGFCKRDRFEDAFSIVRKLEQTDEVVDEYVYSILIDNLCKKGDLDRAFSFLKEMENKGLKVGIVTYNAVINGLCKAGDTKKAVKIFEGVDADNFTYSTLLHGYMNRGDAAGVMAIKDRLESSGISIDAVTCNVLIKALFMIDKVDDAWSLFRKMPEMGLRPNIITYHTMIHTMCKVEEIDKALDLFEEYKNHSSFSSAVVHDCLLRALCNGRKVEMADQIFYDLVQKKISPNSCYYRRLIRAHFKEQGEHGVLDFICKVSELDTDLFSSVCNYASAFLSSRDCCQAAMNVYKLLRMQAIPVTIEACYKLLKSLLRNGNEEVIQPLLCEFIKLHGLHEPRMINMLSCHLSKRSVSEAISFSNYMDNSTVPVRVLRGAVYALKKQGEVLDACNFLKEAEKNGFSVDLAMYSIVVDGLCKGGYLEKALDLCETMRKKGLRPNIVIHNSVLNGLCQQGCLTEAFRLFDYLESSKMLPTMITYAILIGALCRESLLDDAHQLFQKMSNKGMRPTTPVYNLMISGYCNIGLTEKALELISHLEECVLAPDCFTIGAIISGLCLKGDTEAALGFFNEYRCKDILPDFVGFVSLIKGLYAKGRMEESREILREMFQCKEVVELIDSVGNKIQSESLVGLLSSACDQGRIDEIVTILNEVGLMFASTSDSSSYNAPEYLKKLQKTEDACDSMTDSGQVLSPVTYGGIVQPMIDGDGSLSKPSDDADMDYQNLLGRSFYDDFDSYYGAVALLFSKGEVLKANKAIEAMIQNSGKA >Et_3A_026696.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:1527080:1528450:1 gene:Et_3A_026696 transcript:Et_3A_026696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKATILMNRYELGRMLGQGTFAKVYHARNLASSQSVAIKVIDKEKMLRVGMIEQIKREISVMRLVRHPNVVQLHEVMASKSKIYFAMEYVRGGELFTRVARGRLKEDVARKYFQQLIGAVDFCHSRGVYHRDLKPENLLVDENGNLKVSDFGLSALRECQKQDGLLHTTCGTPAYVAPEIINKKGYDGAKADIWSCGVILFVLLAGYLPFRDANLMEMYRKISRGDVKYPQWFSSDLRRLLSRLLDPNPKTRITIEKLVEHPWFKKGYKPPVMLAQLHTSNSLKDVQAAFSTENKANEVNKVEHPESPLKPTILNAFDIISHSKGFDLSGLFEKDQEQKANSRFMTQKPASAIVSKLEQIAETECFKVKKQDGLVKLQGSKEGRKGQLAIDAEIFEVTPSFYVVEVKKSAGDTLEYEKFCNKDLRPSLKDICWTGQSEEKLPSLAESSPPKQSS >Et_8A_056982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19867236:19873801:1 gene:Et_8A_056982 transcript:Et_8A_056982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRCAIWPRLLLLLLLLRPAARVLANMEGDALHSLRTNLNDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGILVPQLGQLKNLQYLELYSNNISGTIPSELGNLTNLVSLDLYLNNFTGPIPDSLGNLLKLRFLRLNNNSLSGSIPKSLTAITALQVLDLSNNNLSGEVPSTGSFSLFTPISFANNPNLCGPGTTKPCPGAPPFSPPPPYNPPTPVQSPGSSSSSTGAIAGGVAAGAALLFAVPAIGFAWWRRRKPQEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDTFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERPPSEPPLDWQTRRRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKRLEMLVDPDLQNNYIDFEVESLIQVALLCTQGSPTDRPKMSEVVRMLEGDGLAERWEEWQKVEVRQEVELGPHRTSEWILDSTDNLHAVELSGPR >Et_2A_014743.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:11584736:11585188:-1 gene:Et_2A_014743 transcript:Et_2A_014743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWRKDIKVDMAPNLYKLTRFNNRTVAKKLKGGNCIKSVRKITTTEQIVEYVKLWQRLRDVILRTQNIIGDGLQMAYTHPSQHTWCNSRAHTHTRLPAVPGCDLPSLNYVPLSFHVQIPHAIASPSPPAFLCQQPKLCFPSHPRLLHLL >Et_3A_027383.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:9777797:9778120:-1 gene:Et_3A_027383 transcript:Et_3A_027383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWQSFLCLGVIAASVAASVGAIIWETRRPAASSPPASSDPWRSCWSRVRPAWLLAFRATAMVALAAVLLWDMLTYDASIMMYYTEYVRTSLAFSLEAFLSLSSNR >Et_1A_005487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10840922:10842621:1 gene:Et_1A_005487 transcript:Et_1A_005487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGSAEEDSYGPPAHQAAPPPNVNAPGNRGGPRGPGAPRTGGPAKPVNIDVPAIPFDELKKITNNFSDRALIGEGSYGRVYNATLSDGRAAVIKKLDPSASQDSDTDFAAQIAMVSKLKNEYFLELVGYCLEDGNRMLAYQFATMGSLHNILHGKKGVQGAEPGPVLNWMQRVKIAYGAARGLEYLHEKVQPSIVHRDIRSSNVLIFDDFASKIADFNLTNQGTDTAARLHSTRVLGTFGYHAPEYAMTGQINQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNSDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKAIQPLLNAKPAGPPAPAAS >Et_4A_035730.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:4490024:4491268:-1 gene:Et_4A_035730 transcript:Et_4A_035730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQMRCRLTMRVIVSLLLLAVAVLNIPGGARAAAAGRCTTSTPVRTYDKCIALPTQGATLAWTYDARNATLDAAFTGSFISPSGWVAWGVNPDAPAMTGARVVAAFSDPTTGSLLALPFVLSPDVKLQATPLVSRPLDIPLLASSASLLSPARTVRDGASVTIAATIRLSPNRTRLHFVWNRGLYVQGYSPTIHPTDASDLASHATVDILTTATEASPTASATLQWLHGSLNALSWGLLLPVGAAVARYLRPCASTGPAWFYAHAAVQATGYTMGAAGFALGLVMGAASPGVTYKLHRGLGVAAAVAGSLQTLAVFFRPKTTNRYRKYWKSYHHLLGYGCVVVGVVNVFQGFEVMGLGATYWKLGYCLALATLVGACVALEVNAWVVFCRRQQEDKLMRREVEDVVVKDRAAAF >Et_9B_063655.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:1764542:1765105:-1 gene:Et_9B_063655 transcript:Et_9B_063655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSGNGGCHGRSSGSGISGMSTGAPAIWNTSSTPVRASSLPASSPWRARSTAFSPSTLLSSPSKAVMRACTCAFSAWRRATSATSSSSRCCFRARERRADSLFESILLRLRSSVANPPPPALPSVWLSGLELRRDDMVGSIFFSNRIETEFLWNEGRGKGFKEENKLRQKSNQLEAGVLGGRAMSS >Et_1B_011591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23888356:23889285:-1 gene:Et_1B_011591 transcript:Et_1B_011591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNTEVPVWEVLDAFHVARMAYERVLPQTPSQAQEEVAKNVICLLLWLETIMGVKVLEDVWAMDSDSTTLSQVIHEADAVHSYIVHGQLPGRPEHVEEGIPAITALCGGGRLVDLRFFAFHRALVARGVGVIRDNVAPLLFKDRLQVMLLRFKDEVASLSLPANPRLAPALLEPHVVRTRTPPEDSRVAFVSFPDSPPIGPQCILGYFERILGFRHHIERIEMEQPREGQVPKHGIIVFRSAELRDEAMLNETAIFFRINGHDMWVQLYQPLC >Et_6B_049624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7431581:7432298:1 gene:Et_6B_049624 transcript:Et_6B_049624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFVDRLVQRGRPFHSLEWTYLIVADTSSLSRRTASLGGWERVDGGVTMAGRVLSTSLQSYYDRCKSGMWRRGVCGHLHVLTPGSHGKRSYNRSGLDF >Et_9A_062580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24136161:24137755:-1 gene:Et_9A_062580 transcript:Et_9A_062580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DMTTTEPLVLAHVVQDVLDPFTPTVPLRITYNNRLLLAGAELKPSAVVSKPRTDIGGNDMRAFYTLVLVDPDAPSPSNPSLREYLHWMVTDIPETTSVGFGQELVFYERPEPRSGIHRMVFVLFRQLGRGTVFAPEMRHNFNCRNFAQQYHLSVAAATYFNCQREAGSGGRRFRDE >Et_10A_001232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22413460:22416411:1 gene:Et_10A_001232 transcript:Et_10A_001232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWEVTKVVFERVHALDHENASKIVGMLLINDNSEKEMIRLAFGPDHLLHSFVDRARADLAAKPASPPSPVVGPLQAPPRPWGMPSSGGEQAPFAGGEKIGLDGGEALYPDEYDCWSPAVVGGHRRSFSLSDAEVAAAGAWRPCMFFSRGFCKNGSSCRFLHGLPENDTEREMVVERAKAMAPRPPQLMKPAFPFSPSPNGPNFLLHQQQSDLQRSAAMLLGGEDLSRFAVSSPRMMDRSVDFMTHASRQIYLTFPADSTFSEEDVSNYFSVYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILSKGNPHFVCGARVLVKPYKEKGKVPDRIRHGSSPSRIFLASETSIIFLGINSDQLLSGCRKLQPPHHPEFAGCMTPTALHNPKDPFDLQQPQIGPRMTLADIASREAFLRTKLEEQQQAAELQRAIELQGRRFMDLQLLDLKNRGHHLGSPMSFGQTDDKGNVNGNGNAAHLEDVTIQDNKLNSSRLAMSGPAFSALSEIAAKGKDEEQQKEEDGDGTPKQAVNPGEEKKRESGSVTATPDVACGFQESGVDHNLPEGLHASIDSDSTGVIAPNENISPHHVASYLFPPTSSPDQPPYNSCFFQVPRDYHDPIKWRTVYKA >Et_2B_020982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25482488:25486559:1 gene:Et_2B_020982 transcript:Et_2B_020982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVSLRASASSAAAAGGSRAADAIKVSCVRSKISGSFPSIGASNSHARSLEPVRATATQVPPAAPQSSSGEKTKVGINGFGRIGRLVLRIATSRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGTIKVVDASTLEINGKKVSVTSKRDPSDIPWGSYGVEYVVESSGVFTTIEKASAHLKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTPNVSVVDLTCRIGKSASYEDVKAAIKAASEGALKGILGYTDEDVVSNDFVGDARSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSNRVLDLIGHMALVNAKR >Et_5A_041198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19317864:19326331:1 gene:Et_5A_041198 transcript:Et_5A_041198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPSPPPPPPQPSASPGAPPRVVRTPPRRPPTRAPGPPSWAERRPAVSVDLDRGRRSSRVEVDGVGAASLPARHRLRVEGSRWQRDWKVSEVAARVLALPPANAHAVDAVLNCWAGRFARRNFPLLIREITISGSLKHAVHVFRWMKNQENYCARTDIYGMMIRLHARHNQVDQARGLFFEMQEWRCKPDADTYNSLIHAHARAGQWRWAINIMEDMQRAAIPPSRTTYNNVINACGAAGNWKKALDLCKKMTENGVGPDLITHNIVLSAFKNGSQYSKAIAYYDIMKGSNVVPDTFTLNIVIHCLVKVGRYGEAIELFNSMREKRAVCPPDVVTYTSIMQSYSVCGQAENCKAVFDMMVAEGVKPNIVSYNALLGAYASHGMHTEAMETFKSLKQNGLRPDVVSYTSLLNAYGRSALPEKAREVFNEMRDNSCKPNKVSYNALIDAYGTAGMLKEAISLLYEMERNGIQPDAVSISTLLAACGRCRQLTKIGTILAAAKSRGIELNAVAYNSGIGSYLSLGDYEKAFQLYTLMRESSMKPDAVTYNILISGCCKLGKYTESLKFFEDMKNSKIRLTKEVYSSGNLIEAESTFNSMKESGCFPDVLTYTTMMKAYNDDGGWRRAWDLFEDMEGNGIQPDAIICSSLMEALNKGSQPERVLHLMEFMIEKHISLNQKAYFEIIASCSMLRDWKTASEIIEHLDSSLSTISHGTLNHLLNFLGKCGKTESMMKLFYKMLTSCSTVGLSTYTVLLRNLLVVGKWRKYVEVLQWMEDAGVLPTLYMYQSVLPYIWKDNSMDYVNLMQEKITDMYVLNDAQEIYFKSSGGNRKRHGQSGHSAHI >Et_4A_033039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17398995:17399609:-1 gene:Et_4A_033039 transcript:Et_4A_033039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEQLQNSPKQHRNNRNGSRTAPFDQSAAKLTFLRVFARESLSYIKHIARTEKDRVPNRSISAPFGQSAAKLTFLRVFAQELSSYIKHIATSEKEQSPGRFDRNIAEITEMGPEHLQNSSVCPVCSKTHIFESFRVGIIELHQHIARSEKE >Et_6B_049072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17550828:17553254:1 gene:Et_6B_049072 transcript:Et_6B_049072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFNILLVAVAIAAVFCSTVRTRQGDKHMQVTDDCTGRTQETRVILLDRCTFLLGSVNAGEAVKYGMCQSECLSIQPNCDAWCHRIGYHKGGECVPPHYIDCCCWEIPQSEKSNQISGMAAKRSAAVWIMVVAVTVLAIFVPSGEAFKYGTCVLDCDSKKPNCDAWCKTISFPYGGECVGFAPNDHRCCCWEIPPTETRSHTAGLLHALHM >Et_8A_058246.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:3419458:3421371:1 gene:Et_8A_058246 transcript:Et_8A_058246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFKHLPRNCLAATRRSSRRRLHAHPYPHPLLDTFSRLCAEGPLTAALALLPDLAAAGLQADPVSLCRLVKLCVRQGTASYGRLIHRHVAHEAPDGIGGLFVSNSLLSMYIKFGLLDDALRLFDAIPQRNVVSWTTVVAALANAEGRKEDALRFLMAMRRDGVAPNSYTFSSVLGACGTPVVLAAMHASIVKVGLDSDVFVRSSLIDAYMKLGDLDGGSRVFGEMVTGDLVVWNSIIAGYAQSGDGIGALELFMRMKHAGFSANQGTLTSVLRACTGMVMLEVGRQVHAHVLKYNRDLILHNALLDMYCKCGSLQDADALFRRMPQRDVISWSTMISGLAQNGRSTEALRVFDLMKSEGVAPNHITMIGVLFACSHAGLVQDGWYYFKSMEKLFGIQPEREHHNCMVDLLGRAGKLNEAVEIIHEMNFKPDATIWRTLLGACRMHKNANLAAHAAKEILKLEPEDQGAWILLSNIYADLRQWTDAEKSWKAMRNRGVQKEPGRSWIELEKQIHVFIAGDLSHPCSDAIVQELNRLIGRINALGYVPQTEFVLQDLGSEQKEDLLKYHSEKLAIAFAMMHAMEGKPIRIMKNLRICGDCHAFMKLVSKCEGKVIIIRDPIRFHHFQDGVCSCGDYW >Et_10A_002108.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22811036:22811404:-1 gene:Et_10A_002108 transcript:Et_10A_002108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQQKLVLVAALVVAAALLMADHQANAAISCGQVSSAIGPCLAYARGSGAGPSAQCCSGVRSLNSAARTTADRRAACNCLKSAAGRLSGLKPSNAASIPGKCGVSLPYSISASIDCSRVS >Et_8B_060562.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:2098447:2099466:-1 gene:Et_8B_060562 transcript:Et_8B_060562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQSGFIEFKLDYSANKDLEIGEVVSSENISAGGQIWMVNCYPRGAKEKDQGEYLSMYLQLLSKSRNVKAIFDVFLMGREGVPSSWHARRYPPPDGFDEWGFAQFVRRSDLESIYLTNGWVTIMCVVIVLQDNPLDLDRLDVPPSDIGMHLGRLLDCTDSSDVSFVVGGETFNAHRAVLAARSPVFKAQLYGSMAEAKMSSIIVHDIEASTFKVMRQFIYTDALPEDDELEASSAGAVFDRLLAAADLYQLDRLKLMCASKLWDRVSVDTVAATLACAETYSCSKLKKKCIAFLADEKNFRNAVLNRWFCAVGAEVPINRYGDKGSREIEMLTSGVQA >Et_5B_044233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21809777:21811497:-1 gene:Et_5B_044233 transcript:Et_5B_044233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLLPTMSTIATALLVVFVIAIIRSVVSPRGRRKALNLPPGPRGWPVFGSLGALTGPLPPHRALAALAARHGPLMHLRLGSRDVVVASSPDAARLVLKTHDLAFADRPPTAAGAITSYGYRGVVHTPYGAYWRMARRICAAELFSARRVDAFERVRAQEMRALVRGLFELAAAGDGDGAGGVVVAVREHLARATMRNILRMAVGEKWSGCYGSAEGEAFRGALDEAFAVTGAVCNLGEWVPWLGWLDAQGYVRRMKRLSKLFDRFYEQILDEHEEERRRRRRREAGGELAPAAERDLVDVLLQLAEEEGTGPDESSEASRLTRDGVKAIIQDVIAGGTESSAVTVEWAMSELLRRPDAMSAATAELDLVRDLRDLPYLDAVVKETLRLHPVGPLLVPHHAREGAAAVAGGCYDVPAGARVLVNAWAVARDPAAWPDEPDAFRPERFLEGGDAVDVDVVAAGVATLVQGFAWRLPDGVKPEDVSMEEHFGLSTRRKVPLLAVVEPRLPVHLYAAAE >Et_4A_034841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:787294:787653:-1 gene:Et_4A_034841 transcript:Et_4A_034841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREKGAFINGMQRDRCQNSRQYSRSLYHLKVLEVLMLKRLSCCDSFVWLISKHFLSREGPNQQVQDLGQLLQVPGMKFQTGHFSGMNEHKF >Et_3B_028515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16404074:16407360:1 gene:Et_3B_028515 transcript:Et_3B_028515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLRPLSAAAATATLRLAPAAPFTGSAVFASAVSGRRWRRVRTNAGGGGWLSGLLGGRGGGAPPAMTVTPGTVKAGDPVLHELAQEVAPEDVSSEKVQGVIDRMIDVMRKAPGVGLAAPQIGVPLRIIVLEDTQEYISYAPKKDIEAQDRRPFDLLVIVNPKLKKTSKRTAFFFEGCLSVDGYRAVVERHLDVEVSGLDRNGSPIKVQASGWQARILQHECDHLDGTLYVDKMVPRTFRIVDNLDLPLPIGCPPLGTIPQNKATCTWSAVFFMFVTLFKEKKICLLPHPLIAEYPNP >Et_9B_064019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10090053:10094450:1 gene:Et_9B_064019 transcript:Et_9B_064019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVCTEKTRKNSLIYFVVTILLLTDGKLAHADCVEMFGDDIDSPAQRSYNNSQVVDKQWGMVKTKGTQFVVGDRPFYVNGFNSYWLMILAVDPSTRGKVTQVFQQAAAIGLTVCRTWGFNDGGWRALQKSPSVYDEDVFKALDFVVSEARKYRIRLIFSLSNNWDDYGGKAQYVKWARDAGVNVTSDDEFFSDQTVKAYFKNHFKNMLTRVNTYTNVMYKDDPTIFAWELMNEPQCASDPTGNRLQAWIQEMAFHVKSIDPDHLLEVGAEGFYGPSSPARLQANPNTYAGQVGTDFIRNHRVLGIDFASVHIYPDTWMSDATREAQLQFAQSWMQAHIADAEGALGMPVLFTEFGVSTTKARSAFNATSRDQFIQTVYGALLGSARRGGAGAGGLLWQLFPEGAGYMDDGYGVVLPREAGTAGIMSAHSKKLQTFNSRCAWSCRWGCRKREDQSEEDVDLMRSNTHLAPDIGTSTRKRLGALIAFAAPKFV >Et_6A_047905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23593779:23596525:1 gene:Et_6A_047905 transcript:Et_6A_047905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNLLTLLVSRCFLLLLPARRATAIGSVCGYAGNYTANGTYESNLGSLSRSLPGDTSSSAQLFATATAGRGAPDAAYALALCRGDMAAGGGGGNSNRTAAGCGACVAGAFRAAAVYDDDCVLGFSDGGGILATSNYQDRSYLFQSWHRQSIPGGGRDPAAVGAGVRDMLNQTAQLAAGRDGSRFATAFMDVGAVGTTLYSLAQCTPDMSAGDCLACLQGLLGMVSAAMAVRQGGRVLILRCNLRYESTRFFNDDNASMVRITPSSSSLAPTTDDAARARRPWVIPLTVAPPVAAVMCVYSPANLSYCCAGNVRILRPNKRTNNNVNLQQQGGDEQLDWEMEAELSEFSVFDFDEILDATDNLSEENKLGEGGFGPVYKGQFPNGVEIAVKRLASHSGQGFVEFKNEVQLIAKLQHTNLVKLLGCCSQGDEKILVYEYLPNKSLDFFIFDETRKTLLDWNKRLDIIEGIAEGLLYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIFSSNNTEESTTRRVVGTYGYMAPEYASEGLFSIKSDVFSFGVLVLEILSGKRNSDSHECGDFINVLGYAWQLYEEERWSELIDSSLVPMHNSAEIRRCMNIALLCVQENAADRPTMLDVVAMLSSKTKILAEPKHPAYFNVRVENEVTSTTATESCSINDMTISITTVR >Et_5A_040894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14273565:14274572:-1 gene:Et_5A_040894 transcript:Et_5A_040894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFTLLLLGALVVLSLLVSPIDCSRKLNKHKPTKTVGHRPQAHAAKASHKPAPAAKAPCNHTATPSAGSGSGAWLSGAGATYYGAPNGDGSDGGACGYQTAVGKQPFDSMIAAGSTPLYRGGEGCGACYEVKCTTNAACSGQPATIVITDQSPGGLFPGEVAHFDMSGTAMGAMAKPGMADKLRAGGVLRIQYRRVPCKYPGVKVAFKVDQGANPFYFDVLIEFEDDDGDLNGVELMEAGCNTWTPMSHNWGATWRLNNGRRLNAPFALRLTSDSGRVLVANNAIPAGWKPGTTYRSLVNYP >Et_6A_046547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16913649:16915803:-1 gene:Et_6A_046547 transcript:Et_6A_046547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGSVPNVHSNKNLLKSQALYKPSEQDATVLPKEPECMRELRLLTEKHEWSVMASPPDEAQLLGMLIKLTGAKNTIEVGMFTGYSLLATALALPHNGKVVAIDTNREWYKLGRPFIDRAGVAHKVDFREGPALERLDELLADEGNHGAFDFAFVDADKPNYARYHEQLLRLVRVGGVIMYDNTLWHGTVVLPPDAPLSDYSQPISAAIRDLNPRQACQLTIADGVTSVAASCDRCQQPCGTCYICSSSVSAV >Et_10B_004001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9039604:9041052:-1 gene:Et_10B_004001 transcript:Et_10B_004001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRCPRGARGDPHIADGCIKSSLGEPKTSMFLATLFLQLPLVFANLYSVFALIKYRRRSWQWHWSSVAGTRCCLFLPVRLSIAGRDCSDKSSSSKTVGISQKADITERMIIPLAELDRATNNFDKAREIGGGGHGTVYKGILSDLHVVAIKKSKVAIQREIDEFINEVAILSQINHRNVVKLYGCCLATEVPLLVYEFISNGTLYHHLHVDGPTSLPWEDRLRIATETARALSYLHMAVSFPIIHRDIKSHNILLNGSLTTKVSDFGASRCIPADETGISTAIQGTFGYLDPMYYYTGRLTEKSDVYSFSVVLIELLTRKKPYSYRSPQDDGLVAHFTSLLSQGNLVPVLDPQILEGGQEVGAVAALASSCVMLKAEDRPTMRHVEMTLESIQTSRQDNMLHSAGTKLSKDKQVVVSYATGEGGSSEVSSRLYSLEEEFLLSA >Et_3A_024723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23572291:23596487:-1 gene:Et_3A_024723 transcript:Et_3A_024723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NGRYHDDLAKNLTWMFRGDKAGRKYLLTAAPQCPFPDAHLAAALGTRLFHHVWVQFYDNPSCQYVAETGNVSNLRSAWEQWAGALPSASIFLLLSAAPASGGYIPPRLLDLDVLPVVNGSANYGGIMLWNRFYDLTSGYSAKLLGKADTSTLFGVILLFIFVMWYKKYGKMPCHRESTNAPRIESFLQKQALPKRYTYLEVKRMTKSFAHKLGQGGYGAVYRGNLLDGSEIAVKMLKDTKGDGEEFMNKMGSISRTSHINVVTLLGFCLQGSKRALIYEDNNLSWEKLFDIVIEIARGLEYLHSGCNTRIVHFYIKPQNILSGLLSKDLGFWISKLCRQKESKFSIAGARRTIGYIAPEVFLRNYGAVSSKSDVYSYGMVVLEMVGARKQIEVSTDNSSKYFPQWLYDNLDQFCGATCEITSETTELMRRMTIIGLWCIQFTPTDRPSMSKVLEIAGCDLAVAYYFMKPNQNVTYIASLFDIADYHSLQPDNIDYASLEFIPANTYVAVNFTCRCLALPSAPFSTYLAGSFPYEVSPGETYTSIAANYNNLTTAAWLHATNSYTATSSLDESAVVNVTVNCSCGDPAVSQDRLFLTYSLSDGETLSSVASKFGFILESEMDILRRYNPGVDSVTGNRLVYIPLRDIIAGTSSLSGVCIILFTFFMWHKKHYGKMPWQRGSTNAPRIESFLQKQGTSHPKRYTFSEVRKMTKSFSHKLGQGGYGAVYRGNLSDGREVAVKMLKDTEGDGEEFMNEVGSISRTSHVNVVTLLGFCLQDAKRALIYEYMPNGSLEKYNFGNNCIEGGNSLTKEKLFDIVIGIARGLEYLHTGCNTRIVHFDIKPQNILLDQDFCPKISDFGLAKLCRLKESKISIAGARGTIGYIAPEVFSRNYGAVSSKSDVYSYGMVVLEMVGARKQIEVSTDNSSKYFPQWLYDNLDQFCGATCEISSDTTEIVRKMTIVGLWCIKFVPTHRPSMSKVLEMLESSTVDLQLPPESLLSYTLGGDLLHRPLHLRDHRLFLSEQRPGTGPQPGRLGCLCAGLGGDIATCQSKGVKVLLSIGGGGQNYSLSSASEALSRLLCACLLLAHAAATSGAGTVINIAVYWGQNSSEGSLADACNSGNYAYVVISFLSTFGNFQDPVLNLAGHCGPGSIGCTAEVTSDVIQTCQSQGIKVLLSLGGGGRNYSLSSSKDANSCSYPDASIGPALQAVRFDIVWVQFYNNLRCQYANGDDTNLVKAWSQWTSNVKAGRFYLGLPASNQAVPSGGYIPPGDLKRKVIPAVKGVGNYGGIMLWSRYYDAVTGYTVNLQRADIIAGTSSLSGVCIILFTFFMRYKKHYGNMPWQRGSANAPRIESFLQKQETSHPKRYTYLEVRKMTKSFSHRLGQGGYGAVYRGNLSDGREVAVKMLKDTEGEGEEFMNEVGLEYLHTGCNTRIVHFDIKPQNILLDQDFCPKISDFGLAKLCRQKESKISIAGARGTIGYIAPEVFSRNYGAVSTKSDVYSYGMVVLEMVGARKQIEVSTNSSSKYFPQWLYDNLDQFCGATCEISSEITELVRKMTIVATCEISSDTTELVRKMTIVGLWCIQLTPADRPSMSKVLEMLESNTVDLQLPPKAFCAV >Et_2B_019293.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:21951547:21951690:-1 gene:Et_2B_019293 transcript:Et_2B_019293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAAGGTAASATAAARTPTTRRAAGASWASTTPASARPCPTSPSS >Et_1A_009415.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4992291:4992494:-1 gene:Et_1A_009415 transcript:Et_1A_009415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAVLLLAVAATVLFAAASAQEMDAGVPPAPAPVTGAAAGTAASALAVACSAVFSILVAGGLMQ >Et_2A_015742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17455859:17468102:-1 gene:Et_2A_015742 transcript:Et_2A_015742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPLVTVTALMLAVAAAAAPAPIGLPGCNTTCGNVSVPYPFGIQPGCYREGFNLTCDTTSRGEPRLLLGDGSLRVVDIFIHNATVRVLRDGSMINGADNITSHGLNVTFATIVAGGHSRISSFHNDLVLIGCNVMATVVADDIRLANDSGPIAIGCASLCFRGFPFNYQHYCSGAGCCQTSFGGRIDWFHVPTELQLRPLESRNHNNSDLQDYSHVSVFLAEAGWLDKWGKQSSSEPKSDIPLILRWDIMQGLALPPVSYDPTKGCPRNVANLCKSNNSMCTTDLEVYWCKCEVGYDGNPNVDGGCQDIDECLHPQDNGCFGDCTNTDGFFECRCPSGTFGDATVRGGCLETANSSPATDDALQLAGMPLAPIGQPNCDTTCGGVYVPYPFGFGPSRCYLPEFKLTCDANHKPPRLLLGGGNSTFQVVGIFLNDFTMRVIHASTFDVTTDVNTDKQVGIHFPDVGGPYMFSTRNEFILTGCNVEATLHGTYDDNIISSCISNCTSGVIGDGSTHMDKEYCSGRDGCCHAHIPQGSKPEKVKFKQLRGLRSLLPPLAFVAEEGQINQWYMIFNRSTYYFYIRNESGKDDMLAVRRNMASQVPLVLRWMVTQNVSISAQGNCQRENGGYICHCKEGFYGNPYIIGGCQDIDECKIPRTRDACFGECKNLDGSYKCQCPRGTRGDPSKLGGCVKILTGTQIGLLAASGPALLLLVIGVTFALRKIRQRKINLTRQKYFKQNRGQLLQQLVSQRADIAERMVISLEELEKATNNFDQTRKLGGGGHGTVYKGMLSDLHVVAIKKSKVVIQREIDEFINEVAILSQINHRHVVKLLGCCLETQVPLLVYEFISNGTLHYHLHKEDLTGSLSWRDRLRVAGETAKAIAYLHSSVSIPIIHRDIKSANILLDDAMTAKVSDFGASRYITTDQNEATTTAVQGTIGYLDPMYYYTRKMTEMSDIYSFGVVLIELLTRKIPTSYRSQEGSGLVAQFNALLGEGNLAQILDPQVVAEGGNEVEEVAALAASCTKYRGEERPTMRQVEMALEAFQTTKHPSQDNVVVRNSEGNIARNHLLTRRRTNTEERSRQYTGLAAPIGLPGCNTTCGEVRVPYPFGIQPGCYREGFNLTCDTSGGGSPRLLLGDGSLRVVDIFVHNATVRVLRDGSMINGADNITSDGLNVTLATIFAGGHYRMATFDNELVLFGCEVLATLVAGTKWFVKGRGSGSVSCASSCSGSGSIGEKDWLEKWGKDPRDLEPKNDIPIILRWDIMRGLALPESDETATPTITIFMRGAPVT >Et_4A_034111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3016396:3017374:1 gene:Et_4A_034111 transcript:Et_4A_034111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAQIDPSPAAPPKSRLKRLFERQVLRVSPAERLPSVPVAGEKDELLEPSSLCLDGMVRSFLEDGGGVEKGAASRCCNCFHAGDASDDEDAAAEAAAATDIAETVKGLVHCASIRERNLLADVSTLVERHRAAGARKRDLLRLLAESLRAAGHDAALCLSRWDKSSSHPAGEHAYLDVLLPAASDRGEPERVLVDVDFRSAFEVARPTKAYRAVLQRLPSVFVGKEDRLRLLVAAAADAARASLKKRGLHLPPWRKPEYMRAKWLSPYDREVPPPAPPPTPPTEAATSELAGDAE >Et_10A_000049.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:19842216:19843111:1 gene:Et_10A_000049 transcript:Et_10A_000049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGAGSMLIIFAVFILTLAASAASQQAPAPAPAAGGCTVLLAKVADCLQYVTPGSPLNQAPERCCTEVNDGLKDPAAVACVCGLLGGHTFNLPINLTRAAGLPVACGAPATSLSQCNGWYPFIAHSSL >Et_7B_054931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5733551:5737362:-1 gene:Et_7B_054931 transcript:Et_7B_054931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGARDGDPRLSPVSLIRPAPPIYPKKRNQAKPAHINLKQGQNRQPSLPRRRQPRRRPGPTPEFPTADAMALSLARSSLHPAAALPAPRASQSVPALPFHSARRPYPRLRLRFPATAVAASSPPEAAEAEEEQGEKRRKLYVSNLPWTLPAPEVEKLFAQCGTVKGVELIKGKDGRNRGFAFITMSTAEEAATAVEKLNASDVKGRTIKVEFSRTFRKPAPPPSPGTIVERHKLYVSNLPWKARSPDLKEFFSKFNPLSARVVFNDKKSAGYGFVSFGTKDEAEAAITELDGKELLERPVRVRWREVVDDKAEGVKADGEVEAVNVEGAGIDGSSDDGSEDKQEYHHRK >Et_4A_033005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16954524:16961390:-1 gene:Et_4A_033005 transcript:Et_4A_033005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDIDWYEVLCDSVRDDPVDVEVTPSPAPPCTRAGRAKGKRDGDEDWLPRPNTRFGGRRRDGDAGERGGRAGKVDGDVRRGTGSATDVFAFGDDDAKGESLSRKWQSSVSNRKKNYAQLGTNRGRNMKHFGSGERKSIPVDKMYSSQPCSTTLTGQQQRVHAIDPEEFDHARSPQSQSYSFSKFTKRRKEQHQDSSSLFTRKVQDVVLLDDETMQPEEIVDCGTPDKQIESKIYYPSRDDPEAVELSSSDIACLEPGVYLSSPVINYYIQYIKRTKLSKEEKFYIFNTYFYSKLEEALVRTSDFLKLRRWWKGVNIFHRAYIILPIHGMAHWSLIIICIPGKESNSGPIILHLDSLGMHSSTKIFDTIKRYLEEEWHHLKKNPPPDTSISESIWEDLPRNIHTQIVQVPQQNNAYDCGIFMLYYVKRFIREAPERFTSDNLGMFSRSWFNSEDASELRLRIQALLLEEFESARLDDALSEAATSDGSEIEDITKGGELEAVTPSSSSEMVIEGVHSGDGGKNDEGFKVAAGEQGSGESGSIDKSNVGIKEVPALDDTPTDSTRHDVKTLADCVLPEADTFSDEMKDEDPVKAYSDSSKSEEEEEVFAIVSPDRLKNYVVYDSCDSDSDSVMILDVRNRRANPRNCLII >Et_1B_014450.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9031797:9033389:1 gene:Et_1B_014450 transcript:Et_1B_014450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALVATALRFVCEHVRASDLAVAAALLFACSAAANRAASRGAPMMWPVFGVIPTLFAHLDDIYDWGAAALVRAGGTFPYRGMWGGGSSGVITSVPANVEHVLRANFANYPKGPYYRERFAELLGDGIFNADGDAWRAQRRAATAEMHSARFLEFSAGTIRRLVHGRLVPLLARVAERGEAVDLQEVLLRFTFDNICAAAFGADTGCLAVDGLPDVPFARAFERATELSLARFVTPPFVWKAQRRLRVGGERELVGAARAVREFAERTVAERRTELRKLGSLDGRCDLLSRLLSSSSPSVASGGGYSDEFLRDFCISFILAGRDTSSVALAWFFWLLASHRDVESRCLADVRAARGDVARMDYLHAALTEAMRLYPPVPVDFKEALADDVLPDGSPIRAGQRVIYYTYAIGRDAAFWGADCLEFRPERWMRGGKFAGAGESPFKYVVFNAGPRLCIGKRFAYTQMKTAAAAVLARFRVEVLPGQEVKPKLNTTLYMKNGLMVRFTPREQEPQVAEVGCDQSPRSPANRT >Et_3A_024401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20470452:20471428:1 gene:Et_3A_024401 transcript:Et_3A_024401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQQDPARFTHTVDTKLSSCRGVSFELKTQPDVRPCHGIMHPGSFRYGSSARIFVRSQRQASSHFRDVEVATAAGAVDDLPAGNRAREPAPPRRSRLALAVAGHFPYARGHAAVFAMGNILALTLCSSEAVLRVVFWLAVGACGHPDSRPARRRSCSPSAHCAHSGCGVSSLLWLVLRSRAPPRSRSSATSTATCWSARNGSPAGPLWAFVLLSTGYDRPSDQIVLTADHRRPREAPGALAAITFSTFLSRGSPSAACRSLSPRVPPTRLRHNLLGRISRSPLSEWHAFGNVSDGGDTHT >Et_9B_064296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13713273:13729176:1 gene:Et_9B_064296 transcript:Et_9B_064296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDGIPADVFLDVLLRIPPCPRRRLRLVCRHWRHVFDERTPPEPRAQAKILAVFSRCRAFVVDGPPGGGSTELNVPGSYMIGTCNGLLCLFRDYGRRAEIAVTNPATRETIAVDLPTASWTWHRTREATYSFGYDPARGQYKILHVPCHEQFVSSMVFPVVHVFTLGGDGPSSSSWREVLAPAGSSCDVRFGFVTIDGVTYWAAADAERIMSFDLKDERVAFVECPPMPVAARTETDPWRQHHHLTDVRGRLGVAVSCRRDEFQQSPTEVWVLEGGREEGWRWVKRLTMTAHGKYPHQEVALPHVVHGEHVLTTWDGDPRAQRNGPPLVLSANPTWEEGKSRPCIKLLVGSPRPETVVAAATYGARVRTFAYVETREPLLVYGGRAATPAAAAMGGVWDDIPADVLVEILRRIQPRISRIRLRLVCRSWRDVIDERRRVRRASPTTLVFLGSDRGRSRAYILNDNLFGDYVRGRALDLDGSVASNSGGVSMVGTCNGLICLCCERGDVAVLDPRIREQLRVAPATGWSALPAPHASTYSFAYHPETGQYTILHVPRDLSGEIDKVHVFTLWGESSAAWRQVPVPAGSSCDIRFGLLTLDGVTYWVTKDAERIMSFDHKDDRVALVDTPSLPAVLWMEGYAYTGHLADVRGRLGIAVCRPRDGAESADTEVRCLDRCTWVHELDLFKIALWVLEGDREEDREWVKRYTVMAHGKTPCQQIALPRAVHGEHVLTTGRPFKAGRMRRLLSLYAHRQRHDMKLGCRAVRVSARSPGREVREFDGPSLQTGGEIAAKRANTSDEDRLSALPDDVLVHILLHLKTAAAVRTSVLSRRWRRAWTLLPELRFDFSPEPHPVASVLAAHDAALRFLHVGALDAAPESVAAWLPDAAGRLSGRVVFENRAPGRNEVEDDERSAFELPCFERATAVSLDLGFLGLAMPTAGVFVRLIELCLIRVRIRGPYKLGDAVSSPRCPCLQKLTAYYIQGSSDITIHSKSLLLMELKKVCGLKQLTVVVPALQEFRVLVCFSYGQNQPVAHISAPLLKSLVWNDAYDPSSVHLCKMEHLQVLSTSVSPYAHDGYMCNRAFSKILPLFKVIERLYLLLCYQRDIRGFQYLMEDIKVLPQATSLGLVVINRGHAFGDSLFHLLKMCKGVRTLGLEFYMHDNLEVCPSGCACDQPTNWKTEELALNCLEEVAIVGMKGTDHEVDVMKQLFSCATVLIEITFNCSVSESKARELCQTLSTFCKSETRLKFYRAAAAAMGDLLDALPTDAFVEILRRLHSPTSSRARLRLVCRRWRDFIDERAPVWRAPLTVLAFFPGKRYCRWRGTGSSPSRAYIFDEHDLSGDDQEDNVVCREVDLSADAGAASMVGTCNGLICLRREGGDVVVLDPLRRKQLRVEPPAATGSSSTSAASHDSTYAFAYHEATGRYKILHVPCDGRAGARRQEAVHVFTLGDGGEASAWRQVPVPAGTSCCVHFGLLTVKGVTYWVTRDAERIVSFDHGDERVALVETTLLPALLWTGSYTCHLADVSGRLGVAVCRPRAWTESADTELWVLEGEGEQERVWVKRYMVMVHGKKTPCQQIALPRVVHGGHILSMGRPLKSTPWHILSSFYANQPRHETKLRCGVVRVSARSPGREVREFDSESFQTFAYFETWEHLPAGATTYEPTIKPVLTGSDDDHHGSSNDDNH >Et_8A_057759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6979773:6984921:-1 gene:Et_8A_057759 transcript:Et_8A_057759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAMKCVFLLSSASVALLLLNFEALEGAALRLASRQQEVSVVAAAGNAGFSSIARFRMLIGLNHHRSQHRRRQKRSAAPAPAPTAAPSPAPALVQEKVRPPAPAPLPYVSHRRMPLKKHNHVAPLRSVARRLGGGGQPRLPKGAIIALAVIGACLLVLGVIIAAVSFRRSRRFWKGGSKPFKLFFHGSGAQRSPGATRKVSSHPSPDLLYLSTVTQGQEHYSIVKQSSKSKGLSTFTTLSKSRESTISDCTVKTNINLQSDEADSFHSIPCSNSSSGSIIESPLQICTKNVTDPSPSFPPTDNSPSGSSYQSLSPDLRYQFSPKSPTFPAPTNCHERKTFHCLPEKLDAQKTEIKCRQTAETIDNSASVTQPEVTKVEQLISKNPSEFNTNTHYRDITPSRTNTMFSKSNANVNLDCKIPSRSSAEGEFFQTFNAMSAPKAPPPPPPPNKPPPSLKGKNSGQPPLPLSVQVGKDGLPLPRLKPLHWDKVRAAPNRSMVWNDIQSTSFKFEFDEQMIKSLFAYNFHGPARNEDTKSKTLSTSKHVIEHHKLQNTTILLKTLNASTEQVCSTGLSVQQLEALVKMKPTKEEEEKLLDYDGGINMLDPAENFVKVLLTIPMAFSRIEAMLYKETFDDEVAHIRMSFSMINGACAELRSSKLFIRLLEAVLKTGNRMNVGTIRGSASAFRLDALLKLADIRGSDGKTTLLHFVVQEMARSQGLKAKPSETSEYCDATPTGRDEYWEMATEFVSELSNELGNVKKVASIDLDTLKSSISNLSHGLAQLTKLIEDFSSSDKNQNFLQCMRSFQAYAENTMQELKVGEAQVLLHVRELTEYYHGEVGKDESNLLHIFVIMRDFLGLLARVCREIRGSRNHQPLNVVLALSVLQDGCNKPTINSDSHCNVDVTVLALTTGCCVSAMAAAFASRTVIVAPFGLT >Et_9A_061101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15961233:15961985:-1 gene:Et_9A_061101 transcript:Et_9A_061101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWRGGSPPGAPRAGTRARPTSPSRPLTPPRRGARPRSSAPPRSTSSRSGRWTATASGTASAGTTSRSTSPATPGSRGLPSWTAATAPTPSGSRWRPASPRGSSASPSSCCSAASRASSSPPRGSSTGPSCAASHSCSGPATRRSRRWRRAAPPTSRGRPGPAGGRGWPRTTTARTSTPQGGTGAWSPSTRARRPGATGRWARWRATGSSRPTRRGGAWTASGSSSGATPTMSTPSVTS >Et_8B_060264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8167259:8175102:1 gene:Et_8B_060264 transcript:Et_8B_060264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVLPFSSEDTWQSSLTVGERLCAAFFPLITIAEAVLFALTSCLPDIRPSTASSRRCYRTDTSSSAAFSFSVPWTRNCKRRPSMGWFSVSICQIARLADESPCFSVNEVEALFELYKKISCSIINDGLIHKENLQLALFKTPSSKNLILDRVFDLFDEKKNGVIEFDDFIHGLCVFHPLAPLEDKIDFAFKLYDLRQTGFIEREEVTQMVISILLESDVKLSDDLLDTIIDKTFEDADVNRDGKISKQEWKEYVLRHPNLMKNMTLPYLSLIQIQLTKGETREKVSTILPFYAGSWVATGRVHRKGGRG >Et_4B_038532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29665767:29671117:-1 gene:Et_4B_038532 transcript:Et_4B_038532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPPREDAGAEALATYLGVSFALFLATLPGGASAARHVASLQSRGRLLASRLLAAEDNLRQLRARRREDARANARAAEIFAGHRAAWMEAERRLLARAAAAGDEAASLRSRLAEAEAEAAALRARVERLEREAAERDELLTALLAATRSGEDADLRGTEEEQIPDAREAEEDPMPAPADPAEPCSSSAETTDAEALAVAAARYAQQRHKHEGFGDEFYTAAAAASGMTPWMERSKGWQDLNYDAAESTYNTKHSVPRRESPWKVDVESSGVPAKLRLLEQELINLEKVVNGDVSRIPLVMRKQVKRYQTLAGKIDDLCKRMQTSDPCDSTLSSEFRTQRQTEFLLEAFHLQHRATETRQKLSALQADTAKSSFGDELTAEAKMCTRRALSSIRNNFKEIQRSLEIWLARILGDLEGMLVRDGASRIREYFLSPYASAVLSLYPLLVLLPPQLRVQLCKPLAAAVTTHTSMIRKKPWRMSGASS >Et_4A_032691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12664918:12667233:1 gene:Et_4A_032691 transcript:Et_4A_032691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSAVTVHVTGFKKFHGVAENPTEKIVSNLKSFMEKRGLPKGLVLGSCTVLEAAGQSALGPLYEFLESTVVDKEYQSPNQQLHFGANSGSPRFALENQAVNEATFRCPDQLGWKPQRVPIFSSDGNISRTRQTTLPVKEITKSLQQMGYDVMPSDDAGRFVCNYVYYHSLRFAEKHGIKSLFVHFPLFMAIDEEVQMHFVASLLEVIVSLNL >Et_7A_051334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17027663:17036650:1 gene:Et_7A_051334 transcript:Et_7A_051334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAAAVSSPAAAVAPSARAAARRGFVTFAGASRSPASLRSSHGFSGESLPPPPSLISVHGVSGGRGSRVVCRAGSEFRDLASLMCIMLAAVAIPVVKCSLAARRSALPWIHARENVTQGLMQDIFLVLTGRTEMVGGVRTHVAAVEQAVVKDATKLESPVVIVTGASRGIGKATALALGKAGCKVLVNYARSSKEAEDVSKEIETSGGEAITFGGDVSKEADVDSMMKAALDKWGTIDVLVNNAGITRDTLLMRMKKSQWQDVIDLNLTGVFLCTQAATKVMMKKKKGRIINIASVVGLTGNVGQANYSAAKAGVIGFTKTVAREYASRNINVNAIAPGFIASDMTAELGEELEKKILSTIPLGRYGQPEEVAGLVEFLALDPAASYITGQVLTIDGGMTPSTTGGSRWNDLNQSSDKSYEPGLKRGCLLPCLPLEKLFWAEKDVLKAGYSYVEQEHSSLRDKKCFEEFI >Et_10A_001330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23396081:23399331:-1 gene:Et_10A_001330 transcript:Et_10A_001330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDLDRLGLHAGASGECVPRQFAAAPPGLLVRSPSVHRTAAAAAADANAVGDLSVDLSGFCSETWYKKKKMMMNSFDLAFVGCGVDQLAGARYPIVPLIVVRTLLKSLPRNLAIPKSAIFGRYESLRRMFSGLILQYMMQSRQFSCKEAHTFSWPEDV >Et_9A_061291.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:7220673:7220798:-1 gene:Et_9A_061291 transcript:Et_9A_061291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLVLQRRRQRHPKLGALPASPTFLSFRKHRHNIFHEAHF >Et_3B_031415.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28632063:28633487:-1 gene:Et_3B_031415 transcript:Et_3B_031415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDADDGSMRVVMLPWLAFGHILPFTELAKRIARQGHQVTLLSTPRNTSRLIHIPPELANLIRVVDVALPRVERLPDDAEASIDLPSDDLRPYLRQAYDAAFARKLSDILQEPEPSRPDWVLIDYAPYWAPALAAKHGVPCAYVSLFSAAVLGFMGSPETLVGQGKHAKTTPEDFTSVPDYVPFPTTVVYRGYEARELFNPGMIPDDSGVSEAYRFSKSIAESQLVGIRTSKEFEPEWLQLVSELYQKPVIPLGLFPPPPTQDVAGHEATLQWLDRHAPGSVVYAAFGSEVKLKSAQLQTIALGLEASGLPFLWAFRAPADSDVAQNGGLPEGYEQRVNGRGLVCRGWVPQARLLAHESIGGFLTHAGWNSITEGLARGVRLVLLPLLFDQGLNARHLVEKKVAVEVARDEEDGLFTAQDIATAVRRVMTEDEGQGLGAKAKELSNVFGDDEKNDQVLRDFLKCLSEYSIQHKA >Et_10A_000774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17042659:17047338:1 gene:Et_10A_000774 transcript:Et_10A_000774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRTKTKKDSSFHVDFNILIQEISPWPPSESLKSLRSVVLFWENGERNSGKTNTVAPSNGSGSAAGKVEFNEFISIQAVFQKEGSSKSGKWQKNLLEMNLYEPRRDKLKGQHLGTATLDLAEHAMFHEDTSVPVPLNSKRSFKNNAQPMVYLRIQPLDGDNSSVSSRDTLSKEASVDKDSKEMVSATMSEEYTEDTEFASFTDDDEEAPYQYRSGGNVRTGSDRSQESLKGKDVRMSSNEGTSSSFASQREVASSKAKVRSEEVEKYPIQVQKTNGHSGNTSSLSALHGEHTSSIPPHSAFRSGRKMSFAYGMTDSNQRHFGERTYSTLTTDRARNMRFSMRVPDVNGAIINKKVDPQKEEVKEVYSQDITIAHENRASADDELHVQEPIRISNNRNDSKVRELELKVELLEAELREAAAAEIGLYSVIAEHGSSVNKVHTPARRLSRYFVHALKNCSRDKMGSAARSATSGLVLVAKACGYDIARLSFWLSNCVVLRAMVTETSMQSGTANGMNGSDYNSKTTYKKNSASMWESLNRKKGKILSPEFDNWEDVDTFIAALKKIESWIFSRIVETLWWQTFTPHMQSARIASDLKPTSNAKKSYGRITVVGNQQQATISMDIWKKALKEASERLCPVRAAGHECGCLPMLSKLVMEQCIARLDVAMFNAILRESDDEIPTDPMSDPITDPKVLPIPSGKFSFGAGVQLKNAIGSWSRCLTDLFGMDMDDYPEGANRDGENGFAESGKPFYLLNALSDLLMLPKDVLMDTSTRKELCPTFSSSIIRNVLDGFVPDEFCPDPVQDSLLQALELEHLEGNKGIRSIPCSASPILYNPPASGAILSVIGDPRKSGSAILRKSNTSDDELDELSSPLTFISNTSSNPLAKLKRISNSSTARYRLLHEVWKLDDK >Et_8A_056767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16512453:16515155:1 gene:Et_8A_056767 transcript:Et_8A_056767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCYNDVEHRLVPAVGGSSESQTVPRRQLGVLLCPVIDLNEACEPSREVETAEHYGYVEGLCLWASATQRHTHLKVMVLLDIGNPAVLAEKRKISWQDVFAKSIAYYFDQNDVCSKKGDKIASTIFV >Et_3A_024602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22547424:22550076:1 gene:Et_3A_024602 transcript:Et_3A_024602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLVTRPAHKSPESAPRSVVRRLLLYHPLAANCFAGCRLRYSEFALDLVPPSLPSSESRASPWRMKTRSQTGPKPLKTVALAPRPSSSPKRKARPKPSGKGELGAPKKPPTAFFYFMEDFRKTYKQENPNVKTMQEVGKACGEKWNTMPFEEKVKYFDIATEKRAEFEKAMAEYNKRKKSGELSDESDDD >Et_5B_043590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12226349:12231102:-1 gene:Et_5B_043590 transcript:Et_5B_043590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTTRPLLLHRLLCLFSCRLFLFVVLLAFTSSPFASGKVVTNLPGYDGRLPFYLETGYVEVDEDNGAELFYYFVESESAAGDDVPFLLWLTGGDRCSVFSGLAYEIGPIRFVLEPYNGTLPRLRYNQDSWSQVSHILFIDSPVGAGFSFSRQPKGYEVGDISATRQLHEFLIKWFSDHPKYLTSPFYIGGDSYAGKIAPFLAQIVSEGIEAGRTPLINLKGYLVGNAATGESVDFNSRVPYAHGFGIISDQLYETILGHCQGEDYSNPSNTLCAQALVTFNNLINEVQGAQVLLDTCVYASPLPNDANSRMDSSDGRRILKEEIGVGKLYHPPARPPFGCITYGYYLSYYWANDRRTRDALGIKEGTKDEWVRCHDNDLPYSNDLGSVIKYHRNLTSRGYRALAYSGDHDLLVPHLGTQAWVRSLNYSIVDDWRAWHLGGQAAGFTISYSNNMTFATIKGGGHTAPEYEPERCYAMFSRWILNRPL >Et_10A_002192.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:413130:414032:1 gene:Et_10A_002192 transcript:Et_10A_002192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDASSNVVAGDGAIAENSKKPVVLITGCAKGGIGFEYCRAFSELGCRVVATDMPDRVAELAADLAGGESTDTLPLDVTSDESVSAAVSRVMAAHGRIDVLVNNAGIGCTGPLAELRPELVRRAMDVNFLGQVRTVRAVAPHMAARRSGRVVNVGSVVGNAATPWAAPYCASKAAVHVATDALRLELRPFGVHVVKVVPGAVRSGLGRANAAHLAGQEWRMYRDFAAAIEERARASQAGKATDAGAFARVVARRVLSARPPREIVYGHMTLLFAALAASPAWVRDAFFTKRFGLDKTVR >Et_2B_022634.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28548803:28549003:-1 gene:Et_2B_022634 transcript:Et_2B_022634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQAVAALFLLLLVVGGELGHAEAVPLRHALSIGWMNGVRGGSPGGMQPSDTAKLSATAAVAKN >Et_4B_038972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6528235:6531280:1 gene:Et_4B_038972 transcript:Et_4B_038972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSGFAPRAAAFLVLLWMLHCGNELVAAVPPAGWYDYSAYTDCRARPEPALYNGGILKFGDSGDDPDGWRTTETGVFSPAFVVYNLTKTTMYTFSCWVKLEGAYSALITARLSPDNAGARCIATVLARSDCWAFVKGGFTLDWPSQTGVIFFQNADKTPMKITVASGSLQPFTTDQWAMHQQDTIRKRRKRMATVHVADPQGARVVGASVTVQQTAKDFPLGSAIASTILGNDAYQKWFVDRFNAAVFEDELKWYSTEPMSGLLRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPRWVKNLTSPDELRSAVNARIQNLMTRYRGEFAHWDVNNEMLHYNFYEQRLGPNASMEFFSVAQDADPLATLFMNEYNVIETCDDPFSTVDTYVTKLKELRNGGAILEGIGLEGHFSKPNIPLMRAILDKLATLGLPIWFTEIDISNKFDAQTQAVYLEQVMREAYSHPAVSGMMLWTALHPNNGCYQMCLTDWNLNNLPVGDVVDRLLNEWRTLQAGGQTDAHGAWSFSGYLGEYLVTVSYNNRTTQAMFSLSPGDETRHINIQI >Et_9A_062091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19672945:19675125:-1 gene:Et_9A_062091 transcript:Et_9A_062091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGRSMLLSLLLFAVTLSLLEMYRTKFASSELMTIVGGLICSFLFLLLLTFIGNYQESNGVRTGWGAVVVAELVALIVAGTVHRVCITTCFLFSAGLLYEVDKLSGMTLAKSESQLMLLSSLLF >Et_10A_000332.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:23404650:23404883:1 gene:Et_10A_000332 transcript:Et_10A_000332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFCSDCGSTCNNQVTTTCGGIKSSVGVSCMSACRGSFNECTSSCRNAADNSYNSCKSTVYQRCMDTCNANCTTNP >Et_4B_037670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22331012:22331803:-1 gene:Et_4B_037670 transcript:Et_4B_037670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAASSAPAAKQKAANRLVVEEATIDDNSVCNIHPATMEKLSLFRGDVVLLKGKLPDEQCAEHALKINKAVRSNLRVRLADVASSGHINVGYGERVHVLPVDDTVEGLTGDLFEAYLKPYFVDALARFTAGFSGADITEICQRACKYAIREDIEKDIERQRQAKENAEVMEVDGAAEPAEIKAAHFEESMKFARRSVSDADVRKYQAFAQTLQQREGSAASSASRRRPKRPNLLARPLTPTRMIFTIDTWRGHQQSA >Et_10A_001702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7068516:7068756:1 gene:Et_10A_001702 transcript:Et_10A_001702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELDPEPHLTYVDKMMTCDKDCGMLLVVQNICSGPSQWKPFSARADRGSVFPSMAHNSIYSRGQMIRLMGGDYI >Et_4B_036658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10150891:10153403:1 gene:Et_4B_036658 transcript:Et_4B_036658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CTFMATSNLRRRLHHGDVDGRKNEHFDISGVDSLDEPLLGRSSDDNSGSEVYDPRRRDLWDDDRKKEQLHWSFLFSNLIAQWAQWLASIIAGSGSIFGRLFPFTSDNQNSPVYLSPLQEERLNTLKRRLQVPFDGSRIEHQDALRQLWRLAFPTREIPPLKSELWKEMGWQGTDPATDFRGGGLISLENLIFFARNYPNSFQMLLNKVQGQRSDWEYPFAVAAVPSSKSGIRFLELLGQDENAFDHLYCVAFRLLDAQWLVKRASYMEFNEVLKSTRTQLERELVLEDVLAVKDLPSYTMLDK >Et_5A_040686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11059965:11061157:-1 gene:Et_5A_040686 transcript:Et_5A_040686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFTPSHASLVDRYLRARIDVGSIISDDATSSLFHDADVCSARPHDLVREYTPARVPCRDAGGGLQWFFFSPARFDGKRRSRTVDGTNGKESWHSEGSSKAVKGSSHGGFVQMFSYHVRTATGKVEKPGWIMAEYTFKNTSAGDVVLCKVYRSPRSKACSSSGSSSAMSGTKRKAADDGKHLVAATPSTRPRLDVADQDDVMMFAEDIIERDLMAHDDHDDTAPDQFGETTQHVEECPHPEPQVAPEASVMTLQEMETFLMTDEEDDGTTLQVPDGEDPEAFYWRLMTLQEMETLLMADEEDDGTTLQVPTARTQKPSTSESWQDAAIQQQQGEDATIQQQDADIQTARGLLSEYDVITALATGVTVDELLLDRPSTSCLHRTIFMC >Et_3B_029360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24350648:24354599:-1 gene:Et_3B_029360 transcript:Et_3B_029360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANALSSPAAMRTPSHKGAPPPSPSTRRAVADAATAAAAAAAADSKARFEAYNRLQAAAVAFGEKLPIPEIVAIGGQSDGKSSLLEALLGFRFNIREVEMGTRRPLVLQMVHDPTALEPRCRFQEEDSEEYGSPMVAATAIADLIKQRTESHLRKIQAAVSSKPIVMRAEYAHCPNLTIIDTPGFVLKAKRGEPESTPEEIKSMVKALASPPHRLILFLQQSSVEWCSSVWLDTLREIDPTFRRTMIVISKFDNRLKEFGERWEVDSYLSASGYLGENIHPFFVALPKDRGTISNEEFRRQICQVDIDVLRHLRDNVKGGFNEEKYGPYIGFSCLRKYLESELQKRYKEAAPATLALLEQRCSEVSTDLARLDSKLQATSDVSQLRRLAMLHAASICTHLRSLLDGAADPAPELWGKTTDEEHMHSGIGSWPGISMPVKPANSTLKLYGGAAFERVMNEFRCATYSMECPQVSREKVANILLAHAGRGGSSGLTEAAAEIARAAARSWLAPLIDTACDRLAFVLQSLFDLAMERNRNKESQYHQNVEDMDGYVGFLAALQCSYYNFVKELSKQCKQIVRHHLDSVTSPYSHICYENDLLTGVGSVANSMRFNHFPGVTSFDLSDSGSALDEAQENVPPKDQQHMTPPTKGNESKEILRESQLTVPETPSPDLPADIHGGKKKDNGIPNDVGPRKRHARMAAYTSRHHHNNAIIGADDMGSKSGYSTICAISAQYFAKMREVLIERNVPSALNSGFLTPCRERLFLALGFELFAVNDEKFMDMFVAPGSVDAIQNERQSLLKRQKILLSCLNEFKSISRAL >Et_5A_041015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16832911:16836734:-1 gene:Et_5A_041015 transcript:Et_5A_041015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFTGAQAKSMKSEAWHSQEQPPGRGGGGGDGGGPDLISLLPVEVLGSVISLLPTEEGARTQILSSRWRRLWRSAPLNLKVYGRSMTADVVSRILSEHHGAGRRFYASYSYISDLPARLDGWLRSKALDGLQEIDFCYYPLASPRSLMPPSALRFSSTLRVAKFACCQFPDDAVHQAQFPNLLHLELHSVDIAEDSLRSMLVGSPALNRWELKYCSEDSPRPLMPPSALYFWSTVRVAKFGYCQFPDVAAHKPHLPNLQHLGLERVSTSEDSLHAMISCCPALTCLILDYCSDFYQVRINSLKLKRVEMDFRRSNTDRLEQLIVENAPCLEVLHHRGPYEDNLDISIISAPKLKILGRLTDIMHTFRLGSTVFMRLHAVRIATVMRSVKVLSLRLENLELDVIIGFMKCFPCLEKLYIKVILYLCFHLNICMSTTVSLLHGPAKTNKRLECLDFHLKKVRISCYHGNKSHINFAKFFVLNARVLELMVLDVEHDKSEDDYWIENQQRRLKLENRASIGAQIEFTSDEGFHYLNETHEFSDPYTRCRKCFSSEHALYWSTAAKPSEV >Et_1B_010630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12297169:12301753:1 gene:Et_1B_010630 transcript:Et_1B_010630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKALDEGDGRLAEKVAVAAVLDSNGLGPLHLAAGRARLPVCRYLVEELRLDVNAADCNKGETPLTFAINFESVDTVRYLLDHGADTEKVNNDGLTPLHFAAGQGLCEMVEVLLSKGADIDALTIGGTALHCACHNGRDGVVKILLDHHADHSKKAWGVYIPLVTAIYVGSLSCVKLLIEAGADVQGVVKETPLMVAAANGLTDILKCLVQAGADPNMGQTPVEIAARFGGRKDVEVLFPVTSRIPAVRHWSVDGIISYANSRPPVKNKDVCSAMLAGGKFQGREAVKNKDYLAATYIYTEANRSFCWLRLGEGKKALMDAQTCRVMRPGWSKACYREGAALMLLKDYEKACDAFLDALKLEPGNAETKRALREALEFLKMSHSAAKE >Et_1B_013324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7381831:7383895:-1 gene:Et_1B_013324 transcript:Et_1B_013324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGEGRPAGDGPPAAGSAPSESPSSPGIEALAAEAMAFDGVGNEESIDAKVQKALECPCLDDLKKGPCGSPFIDAFSCYLKSTKEEKGSDCVNPFIALQNCIKENKEAFIKEILEEEENDDEADKSNLKVLPPAWSREPKSKK >Et_9A_063468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3512893:3521045:1 gene:Et_9A_063468 transcript:Et_9A_063468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSCLRRGDGTTTVTQQHDQKSCFRVACTDLSNGLPSPDGCFQFLVPDCVVPDDEKDAITVIAQIATVSRGIKSNISGQPPAFDGGFKLAVPDYVLLLQDVKNDATVDAGSGGREVELVQELGNPEVGSGKREHLARTCAASGAERREAEVAAEHADVLLLEPLRDELFRLGPDVGVVRDGPHVDNGGGAGGDEVAADVSVVDGEARPGEERARRVHAQRLLDDVLDAGEVGHVGVGHGGPAGWQRCRRLGRSFPKFFYDEKTNRSERTVQQDVDEVASELVSDNTAGAPLPVLLDDLAHKRLHLLDQPVAAAAHALEVEVLDQRNVVGPVKGAEEVVALGDHLLQHLRVWALEVVVPLHEDGGHDRRRVTSPPSRIMWPATSATMFSPMASRWRPNMWLAARRRSPRQCASPDGAKPMARWNRRWRAASLMGRSPNAGLARISRAVSGWLATTSRVAPTENDISALPPSTARDSAASVRCAKGLHSAVSTPGGPDGGRRLRDRAHAGPPGVSHRRTAPRTNKARMDGSGEANGRRKRSDSSTPVVTDNVLKNREGLIVTSDVFDDVRWRKKMTIL >Et_2B_019276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20843138:20844280:1 gene:Et_2B_019276 transcript:Et_2B_019276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTMEEERGWSSLLTDLLRPILARLPWSSHPRFAATCRHWRSVVTPFYPAWLTPMLLSAAHVGSTSLRFYSPLHHKNFEVSRTLAVPDARFCCATGRHLTLCRPSMVLEADLVTGHVQELPPMLYAWFHFVVYDGARRTMFGVRTICQPPRTSVAVKDVNNDEWLDWEYPEPLTGTELIETSPNTNPVLHGGNLYALFDDGKLAVYDERRHGDDGHFEILDKPAAGSFARFQQRDDDRYLFESDRGELMAVLVGRRGTPVRVSLDGRAVFTGTLTTLMIKTDVKWMQNRVFFPRLHDWPETVRVDIVDRHGEVAFVPTSSTGADTAVVEDGANIWAYELGSEEPREFWETEKLNYSIWVDFSTC >Et_9A_061433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11762842:11766455:1 gene:Et_9A_061433 transcript:Et_9A_061433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRPKPHPAGAQVPPPSFERPRGPPSPGPASPPAQDSLAAQAMRASAAHRDASSLASAYSSSAAPAARRSHHEPSVSAPSPDSSGYEYTSMKSLNEAKYGFWGALARKAKSFLDEDGSPGQYESPTRQEPQRDGATVGVQHARSPQPPGETWKSETPPSQKRSEAITSSLNYIGGTIKSALEEGRTIVETKTADIIQETRKLNIRRKGAGSNIQGEAPHKPTQRHFPQNPLDHETQLKASRDVANAMAAKAKLLLRELKTVKADLAFAKERCAQLEDENKMLRESYDKGDNPEDDDLIRLQLETLLAEKARLAHENSVYARENRFLREIVEYHQLTMQDVIYVDEGIEEVTEVYPTQVLPRTGSSLSRAATPATPKSGSSSPASTSIVVPEACPIVPASPRPLSRASSLSNKASPPPPMVGEE >Et_2A_016508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25440936:25448627:1 gene:Et_2A_016508 transcript:Et_2A_016508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGEIHALGSLRREGSIWSASAASNVFSSSLSRDDSGGGDDEEALRWAALEKLPTYDRARTAVLAMPEGELREVNVQKLGPQERHALLQRLALVGDDYQSFLSKFKDRVDRVGIELPKIEVRYQNLNVDAEAYVGSRGLPTIFNTYANVLEGLANALHITPSKKKKISILHNVSGIIKPHRMTLLLGPPGAGKTSLLLTLAGTQPPSLKVTGNITYNGHTMDEFEARRSAAYVSQHDLHMGELTVRETIKFSAKCQGIGHRYDLLVELSRREKEANIIPDPETDVYLKAAATGEQKADVVTNHILKVLGLDVCADTIVGNNMLRGISGGQKKRVTTAEMLVTPGRALFMDEISTGLDSSTTFQIVNSIRQTIHIVGGTAVIALLQPAPETYELFDDIILLSDGQVVYNGPREHVLEFFESVGFKCPQRKGVADFLQEVTSRKDQRQYWIHSDETYRYVPVNELAEAFQSFHVGEALRNELAAPFDKSMSHPAALKTSKYGASMKELLKANIDREITLMKRNSFVYIFKATQLTLMAIITMTVFLRTNMHRDSITNGRIYMGALFFGILMIMFNGLAEVGLTISKLPVFFKQRDLLFYPAWTYSLPSWIIKTPLSLLNVTIWVFITYYVIGFDPNVERLFRQFLILLLMNEASSGLFRFIAGLARHQVVASTLGSFGILIFMLLGGFLLARENVKKWWIWGYWISPLMYAQNAISVNEFLGASWNKTIPGFTEPLGKVILESRGLFPEAKWYWIGVGALFGYVLLFNILYTVCLTFLNSFDSNQPTVSEETLKIKQANLTGEVLEASSRGRTASNIVTSRNAVDDEAASNHATMNSNPTNKGMVLPFVPLSITFEDIRYSVDMPEEIRAQGVTETRLELLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNITISGYPKKHETFARVSGYCEQNDIHSPNVTVYESLAFSAWLRLPADVDYSTRKMFIDEVMELVELLPLKDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPLGLHSCELIRYFEAIEGVSKIKDGYNPSTWMLEVTSAVQEQITGISFSEVYKNSELYRRNKVLIKELSTPPEGSSDLSFPTEYSQNFLTQCFACLWKQSMSYWRNPPYTAVKYFYTTVIALLFGTMFWGVGTKRHSQQDLFNAMGSMYASVIFMGVQNSGSVQPVVSVERTVFYRERAAHMYSPLPYALGQVTIELPYIFVQSLLYAVLVYAMIGFEWTAAKFFWYLFFMYFTLAYYTFYGMMIVGLTPNYNVSSVASTAFYAIWNLFSGFLIPRTRIPIWWRWFYWICPIAWTLNGLITSQFGDVTETFDNGVRISDFIESYFGFHHDFLWVVAVVIVSFPLLFALLFGLSLKLFNFQRR >Et_3B_029269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23636570:23640940:1 gene:Et_3B_029269 transcript:Et_3B_029269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGKDGNPLRNYRIGKTLGIGSFGKVKIAEHISTGHKVAIKILNRRKIRGMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVVMEYVKCGELFDYIVEKGRLQEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKWNIKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSGAARDLIPRMLVVDPMKRITIREIREHDWFKIHLPRYLTVPPPDSALQVKKVDEETLREVMSMGYDKNQLVESIQKRLQNEATVAYYLLLDNRLRTTSGYLGAECQEAMDSTFSNITSSETPSSARGNRQIFLESPSGLRSHFPAERKWALGLQSRAHPREIMTEVLKALQELNVYWKKIGHYNMKCRWSPGFPGQESMVHNFGAESIETVDLSAKLNLLKFEIQLYKTRDEKYLLDLQRVTGPQLLFLDLCAAFLAQLRVL >Et_1A_006541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24104102:24123995:1 gene:Et_1A_006541 transcript:Et_1A_006541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSALVLAILSSYIRQLITNAHGRLPPGPWPLPLIGNILQMSTLPHRSFARLAERHGPLMTVRLGTSLYVVASSPSMAREILHMHNASLSGRNPADAWRGAGHGDNSVFVLQPHRKWRVLRRLGTAHMFSPRRLEALQSLRQDVVRGLLRDVSESGQSPVSVRRVAFAAMVRLLWRAMFSNELDEAAEQGFQDCVRETVATVMTPNVSDLFPVLAEADLQGVRSRFGTLMGRLYRLIDQQIDRRMQERGIGGGSRTNDLLDVMLDMSEQDDDGSGVTINRDMMRVFFTDLFVGASDTSSNAIEWALAELLQNPSTMRKLQEELKSVLGSNAQVEDSDIDRLPYLEAVIKETLRLHSVVPLVSYRAEATVQVQGYTIPKRSNVLVNVWAIHRSADVWTEPHKFIPERFLQRKVDYFNRSFDFLPFGSGRHMCLGLPLANRMLPVMLGSLLHQFQWMLPNMAERSGIDMTEKFGLVLSMANPIQTIAKTKISRITPLHTSPSATVLCTSLRVVTVHGPRHPLDIQRQPVRPQPANKWLLPLLIGNILHMSTLPHRSLARLAERYGDLMTVRLGTSLCVVASSPSVAREILQKHNASLSGRNPHYCPLTRGVAPGVVRRRVRPPATRQVARPAPDRHGVPVLAAAPRGAAVPAGGSGPRPHPGRLGVRRIAGECGFAAMVRLLWRAMFSNELDESAAQGLQDSMREAVATVMTPNVSDLFPVLAEADLQGVRGRMAKLIGRVYHLIDQQIDRRMQQRAFCGGILARSTNDLLDVMLDMSEQGDEGGVTITRDVMRAFFTNPGTMRKLQDQLKSVLDSKAQVEDSDIDRLPYLEAVIKETLRLHSVVPLVSYRAEATVQMQGYTIPEGSNVLVNVWAIHRSADVWTQPHKFVPDRFLQRKVDVFSRSFDFLPFGLGRHMCLGMPLANRMLPMMLGSFLHRFEWILPEVPGRSGVDMTQKFRLVLSMATPLQAIALCSPSSPPTSYSWSPMPSLSSAGPWPLPVIGNILHMTKLPHHSLTRFADRYGPRMTVRLGNSLCIVALSPSTAREILQKHNASLSARNPADAWRGAGHGANSVFKTRPRGETSPGILLRRSTKTRPRVAGSLLAPPTNSPANSVFVLQPHRKWRVRRRLGAAHLFSPKRLEELRSLREDVVRGFLWDVSEESTASSGSPVSVRRVVFAAMVRLLWGAMFSNELDESVREAVALVMTPNVSDLFPVLAKADLPGVRSRMGALIGRAYNLIEQQIDSRMQERGFTGGSRTNDLLDMMLDMSEQDDKHSAVTINRDVMRAFFTDLFVGASDTSSNAIEWALAELLNNPSTMRKLQELKSVLGSKLQVEDSDIDRLPYLEAVIKETLRLHSVVPLVSYKAEATEQVLGYTIPEGSNVLVNVWAVHRSADVWTKPHKFIPERFLQRKVDYFSGSFGFLPFGSGRHMCLRLPLANRRKRSLTCGAWGPWVSDRDARQRIPVPNRMLPMILGSLLHQFQWILSEVAGRSVDMTEKFGLVLSMVTPIQAIANKKKKKKKRKKKRHRILVHDVYSATLYVFCESMLYFPGSFLQILVLM >Et_8A_056239.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17668621:17668845:1 gene:Et_8A_056239 transcript:Et_8A_056239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMKVMCESILARRLDVDGVADTLALAVQYHCKKLKDACIEFINSSTNRKADVLTSREYPHLKTAHPSIIVEI >Et_6A_048106.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:6409510:6409716:-1 gene:Et_6A_048106 transcript:Et_6A_048106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICPKLALSSRPLHLPTLRRVNRSSALRIAAMSAAVPNPVEHIVLIKARPDESAAAKAMVSALQALAT >Et_3A_026859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24090654:24093045:-1 gene:Et_3A_026859 transcript:Et_3A_026859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEETKMKVEATVLEILRESVTEHKVRAAAAERLGIDLSAPDRKLFVRGVLEGYLRSLPSQEEEEDEEAEKQGGAVREGKGEPVEKQDEEQKGGGGGKKEHDDTGDLILCRVSSPFRASTLGPFSLTGLRLQLATNRRVTLSEFRGRKLVSIRESYMKDGKELPTSKGISLTAEQWEAFRDAVPAIEDAINKLGGSD >Et_1B_009989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17039676:17040491:-1 gene:Et_1B_009989 transcript:Et_1B_009989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNAAAADKFRYRGVAALPDGKWRSIIVNREGYRYTIGDFGTAAAAALAHDRTILAILGPDADAEALNFRAAFSDTELRFLQRAPCVAGVVAMIRGGGYDAELARFAEHALAAYMDPELAQDVASFRLRLAQDDAAKVERDAEREVFLENAKNKATNETWVERYCIRRRQQSGLMFEDENRWPPVVPPTDDINVDGWFPGPGEELIYLPNGSSYVDEMMVGNRNGLIDQERKCIHGVATTVCEC >Et_6A_046989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23712518:23712987:-1 gene:Et_6A_046989 transcript:Et_6A_046989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGVSYGGGHSSLDYLFGGGTATREAVTAAPEQRPKETVTAPAPAPPVATDGGKTKEIPAGVRGSQTNNYFRAQGQNCGNFLTDRPSTKVHAAPGGGSSLDYLFGGGGAGGK >Et_4A_035305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18891891:18894760:1 gene:Et_4A_035305 transcript:Et_4A_035305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVEKLKNLWDSQVNDEEQWALNYVRTLNLPAPLLALVMNWCEVMWLFKSVVLKLLKAAAGYDHYDFLFI >Et_1A_009386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4529444:4532114:1 gene:Et_1A_009386 transcript:Et_1A_009386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKKAYAFPKWPRRRGFYEADSMTPFDTDPYQTRKRNRRFSTAQAAATATDEPIQPLVEIKHTQLLINGNFVDAASGKTFPTVDPRTGEVIVRVAEGDAEDIDRAVAAARRAFDEGPWPRMTAYERCRVLLRFADLIELHAEEIAALETWDSGKPLEQAAGAEVPMVARFVRYYAGWADKIHGLVVPADGAHHAQVLHEPVGVAGQIIPWNFPLLMFAWKVAPALACGNTVVLKTAQQTPLSALYAASLLHEAGLPVGVLNVVSGFGPTAGAALCSHMGVDKLAFTGSTGTGKIILELAARSNLKPVTLELGGKSPFIVMDDADVDQAVELAHHAVFFNQGQCCCAGSRTFVHERVYDEFVEKSKARAENRVVGDPFRKGVEQGPQIDGEQFKKILRYVQSGVDTGATLVAGGDRVGNRGFYVQPTVFADAQARPRRPMMILDEMKIAQEEIFGPVQTILKFRGVEEVIRRANATPYGLAAGVFTRSLDAANTLSRALRAGTVWVNCYDVFDATIPFGGYKMSGVGREKGPYGLRNYLQTKSVVPPIKDAAWL >Et_2A_017640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4586750:4589023:1 gene:Et_2A_017640 transcript:Et_2A_017640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVYQAAGWGFFGARTSKRARVAEATTTKRSSSRSTNNKDTMTPFVPLPSTPTVGGLSGLFTAVAYRLLSGLSACTADMTCLFPFRLLVVVGTGHGGGLDLSCWLRLRVRLCGDREHKRAHGHILVEREQRLERGDDVAHGGARLGHALQALVRHLGGLVRGALRVLHLHRRVHDAVELVGAAAQVGARPVHEVLLPGAAALVDGAPAREQLEEHHAEAVHVALRRQVARQDVLRRRVAVRAHHPRRHVGRVARRALLR >Et_1A_005362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25807:28980:-1 gene:Et_1A_005362 transcript:Et_1A_005362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPLLLHPSASAAVAARRVLDSPPPELRYLASPCRVSFPLRPRRTRVSCAADSNGMASPGSGSGGDATANPAANGSLPKNRRDTLLEYVKNVQPEFMELFIKRAPSQVVEAMRQTVTNMIGTLPPQFFAVTVTTIAENLAQLMYSVLMTGYMFRNAQYRLELQQSLEQVALPEPKEEKDSPDYAPGTQKKVTGEVIRWNKATGPEKIDAVKYIELLEAEIDELSRQVARKSSQGSNELLEYLKTLEPQNLKELTSTAGEDVVFAMNAFIKRLLAVSDPAQMKTTVSETSANQLANLLFWLMIVGYSMRNIEVRFDMERVLGAPQNPKLAELPPGEDIRVED >Et_5A_042370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9278398:9286883:1 gene:Et_5A_042370 transcript:Et_5A_042370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLVVALLVALLTPLATIYWLTRANKKPLPGNLSLPPGSLGLPVIGQSLGLLRAMTTKKPLPAKNLPPGSLGLPVIGQSLGLLRTMRSNTGERWLRDRVARYGPVSKLSLFGAPTVFVTGPAANKFAFTSDALAPKQPRCLPLILGRRNILELAGGDWLRVRGALMQFLKPDSLRRYVGAIDAEVARHFDDEWDGRRTVTVLPLMKLLTFDIIATLLFGLGRGAVRRELAAAFADMLEGMWSVPLDLPFTAFRKSLRASARARRVLEATLAEKKGRLERGESAPADDLISYLVSLRDGDGGRQLLADEEIVDNAMVVLVAGHDTSSVLITFMVRHLAGDPDTLATMVQEHEAIARNKADGEALTWEDLHSLRFTWRVALETLRMTPPIFGSFRRALEDVEFDGYLIPKGWQVFWASSVTHMDAGIFRDPDRFDPARFEAQAPPPYSFVAFGGGPRLCAGIEFARVETLVTMYRLLRRFRWRLCSKENTFVRDPMPSPLDGLPIELEQIDPVSSCKSAL >Et_2A_017423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34198302:34200597:1 gene:Et_2A_017423 transcript:Et_2A_017423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAATATAAEAPAAEKATSYRYWVREATGEAAPLTAPRKLDAADLAVKPTALGSVWNQAGTWEEKNLNSWASSRIKDLLGSLDPLEFSTGKASVYEVSRCSGDAFLVTVRNKKRVGYTYELSLKFKGEWLIKEENKKVRGHLEIPEFSFGELEDLEVKIIISDDKDLSSGDKAQICKDLKTFISPIQEKLREFEKELKDR >Et_6A_047125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25668329:25672743:-1 gene:Et_6A_047125 transcript:Et_6A_047125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKGEASTAMGERGSNNLADLLRASSQVGSKREGEKPARLAALITVQAASNGSQPYPRNLLDLLHPELPLSYTHQVPITVFLFLLQRLEQGPKMAGRKGKQCPAIGIDLGTTYSCVGVWQNGQVEIIPNDHGNRTTPSYVAFTDSKRLVGDAAKNQVAMNPTNTIFAGVIAGLNIMRIVTEPTAAAMAYGLHEKATSSDEENVIVIDLGGGTFDVSVLTIEDGCFEVKATAGDTHLGGEDFDNRMVNHFVKVFMRRYNKDISGNPRALGRLRKACERAKRTLSSTTQTTIEIDCLYEGIDFYSTITRAMFEGLNLDLFTKCLETVKKCLSDAKMEKSSIHDVVLVGGSTRIPRVQQLLQDFFDGKKLCKSIHPDEAVAYGAAVQGAILSKESNVKLDRIFLVDATPLSLGLETYPGIMTVVVPRNTSIPIKKEHFLSTHDENQPSIRIKVYEGERTRTRDNNLLAEFEFCVPPAPRGVSQITVTFDIDANGILEVFAEDKTNGNKKKVTITKTFHFK >Et_4A_035451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25098270:25098883:-1 gene:Et_4A_035451 transcript:Et_4A_035451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPCGTPTTTAPPARPCLLLIGHHGGALPCPAAAPAPAFLRQPRQPRADRAVCRVRRRVRYEAEDEEDGEEWGHNEELASLERYSEDARDQALLVKARVDDEVEVVLVFRVHNTTPSQQPSIVAMSPGFSSSLSGGTAADLSMSVLPERAIIQSVDVVRGPFDPNNIEYLEKGVEWNDFKSRLP >Et_4A_031922.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29960295:29961959:-1 gene:Et_4A_031922 transcript:Et_4A_031922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPRLSHRRSLYYPRPCHARPRRRPRHARPRLRRSPRHARSRLRHHLRRAQPRRHTRHARPGLAIPRATPGLDLTATHATRGLGATRAFVARATRGHSVCIIAATCATRGRATRAIITRATCGHVTRTTVARSTCTVATTRTTRGRATSCAPPPGWCGLCHTTTILRDYLFYQFGVPLRALLRSRAASLQQTRTTTSLNHPSYDGTRTTASNVNLPGTKKAGDGLAAPFLADAVAGGAGGRKPDWIVIDFCHHWLPAIADAHEVPCATFFIVQPTTIAFFRTRSSNAAARPRATWEDFTAPPEWCGGLSSFPPAVACRHHGSDGDGCSNLSVERPEILRWLDSSQPHKSVHLHRTRKRSAVDRQQPAARARSGPRARRRPLPLGVPEAGRHVGARSTPTQQCFCRPGSRRGRGLVRTGWVPQTRVLAHAAVGAFLTHCGLRVGLYAREPRVRAPMVMLPFVVDQPLVARTMALRGVGVEVPRDEDADGSFVREDVAAAVRRVMVEEEGKVFASNAAKLKEALGDQRRHDGYVDALVDCLRRHKDNDCSEHSLC >Et_3B_030830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7827125:7836276:-1 gene:Et_3B_030830 transcript:Et_3B_030830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRRQQQQSILSFLQKQPRREPDPAGDGATPEKPPRPPSGSIADIMERLVRPLPPPQQQPRDRNQDASQVRHVDEKASPSNGQHSVLSSGLCNGNNNRVNLFPEQGSGMASFHEPPKNPLRSSKDEFVRASTLFPELSSDYTLPQEHPKKLSSDSPNGRCIRATSLFEEFDVQTPSQKPSKRIFLGPSHAYTPLTDCGSGQTLSQHSSKKFSLSSANGEYARAAATFGLDSNGTPTEQPSKKLPSQHSDPLYIKPTNLFAELDSNRTPSQNHSNSFSPELMNGKHIGVPELDSSPFKPETPAMGAAIPRLKRVREEQSATPLWVLNKMKPAHCSPFEKQLHDEMAESARSKFEWLNPSNIRDANRRRPNDPLYDKSTLFIPPDALRKMSTSQKQYWNIKCRYMDVVLFFKVGKFYELYEIDAEIGQKELDWKMTVSGVGKCRQVGISESGIDDAVDKLVARGYKVGRIEQMESADQAKARGSNSVIERKLVNVSTPSTAADSNIGPDAIHLLALKELTTASNGCRVFGFAFLDYAALKIWVGSLHDDDLSAALGALLVQVSPREIIYETSGLSKETHTSMKKYASAGSVKTQLTPLSTTDFSDASQIRMLIHSKGYFKASKDSWLSALGSSINQDAAVCALGGLIGHLTRLMLVDAVTNGEVLPYHVYRTCLRMDGQTLVNLEIFRNSDGSSSGTLYKHLNHCVTAFGKRLLRSWICHPLKDVDAINRRLDIVEAFIQKEGLNSCTLECLRKIPDLQRLLGRVRSTVGLSSTARLPFVGEKILKRRIKTFCTLVKGLKIGISLLNDLQRADISALSKVVEIPTLSSLEELINQFEQAIKEEFPNFQDPSVKDDDHNTLVVLVELFVGKASEWSLVINALSTVDVLRSFAAMKESSFGTMCRPHILVKDSVPILRMKGLWHPYAMAENTNGLDRLVPNDLSLGQDLSGLNRFALLLTGPNMGGKSTIMRATCLAIILAQLGCYVPCQTCELTLADSIFTRLGATDRIMSGESTFFVECTETASVLQKATEDSLVLLDELGRGTSTFDGYAIAYAVFRHLVERVRCRLLFATHYHPLTKEFASHPHVNLQHMACMFKPKSGTHGDSDEKELTFLYRLTSGASPESYGLQVATMAGLPKSIVEKASVAGQMMKSKIARNFKSSEERAEFSTLHEEWLRAAIFVSDVKDGHLDDDNMDTAICISQELKAHFRKAR >Et_5B_044695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4795250:4797324:1 gene:Et_5B_044695 transcript:Et_5B_044695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGFIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVSLLTENGNTKDDLRLPTDENLLGQIKSGFADGKDLVVTVQSSMGEEQICALKDIGPK >Et_9B_065476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5494899:5506381:-1 gene:Et_9B_065476 transcript:Et_9B_065476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATKRTRSSYGSTEPDRLSALPDELLRHVLSFLRSRQAVQTTVLSKRWVDLWRKVPAINLDIREFPDSEWEKMKDFATDLLMLHNAERLDMFRLSLWYTDSSKRPDVDRWIRRALKHHPLVLDVAAGPRCGLYQFPHLFSGFCRLKKLHLHGMSLKQSLEEHLRSGCPVLEDLNLNQCYHFFCCIQSDTLKNLVVYDCTNRSVGDTLTIKAPAISSLCLEGPFQRYIDVSLDAGNSLERASISFLPGYASQRIEVGLLGSLFNVTKLDLEGFNIMGMLEKGFDELPIFVNLKILSLNWCFNNHLGECHVQKIKALGRFLQKYPNLEKLTLQNIRVGMFEHLNCIRAREASGMIINSTDCDIHAANYRRDGSKGDKACLDDKRLKNRLERQ >Et_3B_031020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10238628:10239787:-1 gene:Et_3B_031020 transcript:Et_3B_031020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVADTLNNRLLFMFMCRRHHACPRWGGGGSSEGDDYFDQQLPYVVDGDDDEEPDEYYDDEEEGDYYYDQQQPYVDGDDDDDVSFLGFHPFQEVVFFNCNALCRGVAYDLNTSKVRDLGNMLPKSPEGQHTAYIRSSFPYTPCWMEDSLPTTH >Et_9B_064094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11128101:11130704:-1 gene:Et_9B_064094 transcript:Et_9B_064094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQESFSSLSSLRQCRICHEEEDEGCATMEAPCRCSGSLEYAHRGCVQKWCDEKGSTVCEICLQNFEPGYIMPPKKTPVAEMAVTFSEPELQHDQNMQPVGSSENLIDSADYTEYCYDMNHGNTWCRSLTIMFAIMLLVWHLVAVVTTEAADHCAFSLATVYLLRVAGILLPFYIVMRLIRMIKKGQWQYRLQQLEEQRRIASTMNNMYSHQQHLVINVH >Et_2A_017656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4767468:4769612:1 gene:Et_2A_017656 transcript:Et_2A_017656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFPSPPLLRPPTANVQKVLTRYSSSLCSWAVGTHVQYHVALCQLPVSTDREANIARARARIDAAAAAGAKLVVLPASRFHSCCRVHCVKRQTVKGLNSTKTQVLSTVGPLMEIWSCPYSVETLPSYAEDIDGGKSPSISMLCAVADARKITVVGGSIPEMASGQVFNTCCVIGPDGKITAKHRKLHLFEIDIPGDITLRESDTFTAGPELTFDTDVGRIGIGICHDIRFPELAMLYWSRGAFPFHRCLTYLLPVSIQHEHWGASVGPHAEIKLFVATCSPARDPNVKSDYMIWGHSSLIGPFGEVLAAAGHEEATIIVEIDLSLIQSTRGSLPLEVESRRDLYRLVDVRRESGRSDVASGNIADVRTQWRDL >Et_3A_026062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:405093:410841:-1 gene:Et_3A_026062 transcript:Et_3A_026062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVPAPLRFLLPLSQTLAPAPLLHLSRRLFSSPAFVGRVASLRALAYRGQTGHRPNPSRGSSTLRKAPKEEEMDGGANKEVSFNRKRAEGRDAGKRGSMELKTRRLNPVNTTCYVQILGTGMDTQDTSPSILLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLTRVCSETAGGLPGLVLTLAGIGDEGMSVNIWGPSDLDFLAGAMRSFIPNRAMLHTHSFGVEGDVSSSHSKDSVVILDDEVVRISAMFVKPRYQSEANSLEDIDLKPGDTAIVYACELPEIKGKFDPAKAAALGLKPGPKYRELQLGNSVQSDQFNKMVHPNDVLGPSIPGPTVLLVDCPTQNHMQGLFSLQALSCFYENSSDQTDSGKKVNCIIHLGPASVTKSDDYQCWMKKFGATQHIMAGHEIKNMEIPILKGSARISSRLNFVCPQLFPSSGFWPVETANGGTEKNMYTSLETCESMPAQNLLKFHLRPYAQLGLDRASIPNLFTYEDIVEELISEIPEVREVPEHVRKFWHHNPDDKSTLAPVGSMLMVEEPWISQNSDKLADGTHLQEVVQRKHNSTGEDMEITFLGTGSSQPSKYRNVSSIYVNLFARGGILLDCGEGTLGQLKRRFGVKGADEAVKSLRCIWISHIHADHHTGLARVLALRSKLLKGVPHKPLLVIGPRPLERFLKAYSTLEDLDMQFLDCRHTLKPSVEVFLRENVNESAIPQLENTLFAPGSRMENFNRKPASPRDTTSLSNLKEVLQESGLEILYSVPVVHCPQAFGVVLRAMEKNSAGKVIPGWKVVYSGDTRPCPALIDASRDATVLIHEATFEDSMKDEAIARNHSTTKEAIEVGTSAGAYRIILTHFSQRYPKIPVIDEVDMEKTCIAFDLMSVNLTDLPVLPKVLPHLKVLFKDELVADEADEIQEAVMF >Et_4A_034887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7551047:7556095:-1 gene:Et_4A_034887 transcript:Et_4A_034887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLHRRLLLLAVAIAVAAVASLPRPAAAVRPFVLVLSGDDFLKDSAAHPSLPSADSAGADADEWDDFADDSPTTDPLLSPSSWVPLLDPTSPPAPDDEPDSTADALFVAGARAMISAASAGDEAAFSTASAQIEAAAAEGHPGAQSTLAFLSGAGMTRPASRSRAFLLHKFAADAGDLQSKMALAYSYFRQEMYGEAVTLYAELAEAALTSSLISKEPPVIEPIRLHSGTEENKEALRKFRGEDDEDFQITEYQAQRGNAAAMYKLGLLYYYGLRGLRRDYGKAFSWFSKAVEKGETRSMELLGEIYARGAGVEKNYTEAYKWLTLAAKQQHYSAYNGLGYLYVKGYGVEKKNLTKARELFELAADNKEPGGHYNLGVLYLKGIGVKRDVIRACNLLLHAVNAGQPKAIYQVAKLFQKGFGLKKNLHMATMLYKSVAERGPWSSLSRWALESYLKGDVGKALLLYSRMADLGYEVAQSNAAWILDRYDDQSICMGESGFCTDMERHLRAHALWWQASEQGNEHAALLIGDAYYYGRGVARDYDRAAEAYMHAQSQSNAQAMFNLGYMHEHGHGLPLDLHMAKRYYDQAVEVDSTAKLPVMLALTSLWLRKNYADSFLVHFIDSLPEIFPVVEEWVEDVLMDEGNATILTLFTCLVTVLYLRERQRRQVAAANPQQPDGAAM >Et_3B_030673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6134465:6134998:-1 gene:Et_3B_030673 transcript:Et_3B_030673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPAMVGHTIAIHNGKEHIPIYITNPMVGRKLGEFVPTRHFTSYESARKDTKSRRRRGITLFMTSFKLVKYTPRIKKKKNGLRKLTRKVPTDRLLKFKRVFKAQKRDNASEKERSPGRFDRNNTEITETGPEQLENCPV >Et_2B_019089.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6739426:6739923:1 gene:Et_2B_019089 transcript:Et_2B_019089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRLLASATASTASTAERFPPPLTKTHPFLPTQSDWAPADGAGVGGGGRRRWAREAARMASLRRSWRSQIRSRAPSASGASHRIAAGARGPGFSGRRLGGVVERRERKEAGKGRNGRENSEVKDGDAGGMGACDDGRTDLLLFASRCGRGRLSGGFSRCFVGEQ >Et_10A_001111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21175749:21179011:-1 gene:Et_10A_001111 transcript:Et_10A_001111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGARRAVKGPLEKAGLAGLAAVAVATAAALLLLVCAASLRCSAAFGSALAAAPRKLWAGGVSIAAEASSSAAAAAGEECDLFDGKWVWDDGHPLYDSRDCPFLDVGFRCSENGRPDASYTKWRWQPARCDVPSSIYEVNGSPITKHMGFLIFNFRDYNCTVEYYRSPFIVLQGRAPAGSPDIVRYTIRVDAMDWMSERGKWKDADVLIFNTGHWWNNEKTIRGGAFFQVGDEVKMEMTVADAYRRSIQTLSDWLHKEVKTSKTHVIYRTYAPVHFRGGDWKTGGSCHLETLPDVTPLTSIQEWADLLQPVNDFLGDNLKPKLHGVNMLNVTQMTAQRKDGHLSIYLSPSGPVPRYKQDCSHWCLPGVPDTWNELLYALVMRRHMKMDQNVSLAGTEALNIG >Et_8B_059290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16163831:16165753:-1 gene:Et_8B_059290 transcript:Et_8B_059290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGVSCSDVLTICGLFAVQCIMGLYMMFLNGLLTAGVPSLFIIVVACAASSLVVLPFAVAFERKKWRRKVWRPMLVFQLVIISLGGVSIYQVFMMLGVERTSPAIASAMPNLGPGFIFVIAACVRFERFEWKCKYTRAKILGTMVCLSGAMCVSFLKNPTPSVSPKSIPSNEELSQRNGKNEWILGCFYLLTGVLILACNTVMQAAALKRFPAPLSICSITAMMGSIFSAIIQVLMEGKLSAGTSDNIPRTISEIILVGGVVIGLCTTFQVSSIGRKGPVLVSMFSPFQTVFSALISLFFFGQWIGLGCLVGIVLMFVGLYVVLWAKNCEDKMFTELKAPSETECDVERPLLQ >Et_5B_043527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11492951:11495524:1 gene:Et_5B_043527 transcript:Et_5B_043527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIVQVVVILLDLIAFGLGVAAERRRSTAVVVPDSEQYYSHCVYDSDISTGYGVGALLLLAVSQIVLMTASRCFCCGRGLKPGGSRACALILFLFLWLTFLIAEACLLAGSVRNAYHTRHWGNWNGNPLSCETLRKGVFAAGAAFTFFTAILGEFYYISYSKSRDAAGGAPYGGSNIGMGPYN >Et_2B_018949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18642668:18645007:1 gene:Et_2B_018949 transcript:Et_2B_018949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSFPIIDMGLLDGQKKPAAMELLRDACENWGFFEVVNHGISTELMDEVEKMTKGHYKRVREQRFLEFASRALKADGSAAQGAVKAEDLDWESTFFVRHLPESNIAEIPDLDDEYRAAMKRFAGELEKLAERLLDLLCDNLGLEKGYLTRAFRGPSGAPTFGTKVSSYPPCPRPDLVNGLRAHTDAGGIILLFQDAQVGGLQLLKDGEWVDVPPMRHSVVVNLGDQLEVITNGRYKSVMHRVLAQPDGNRMSIASFYNPGGDAVIFPAPALVKAEEAAGAYPKFVFDDYMALYVRHKFEAKEPRFQAFKSMETDSSNRIAIA >Et_1B_012407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31772089:31773940:1 gene:Et_1B_012407 transcript:Et_1B_012407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGEPASTSSPPPPPSMPASRPKAKPRLEVEGYSVEGISIGGQETCVIFPSLSLAFDIGRCPQRAISQDFLFISHAHLDHIGGLPMYVATRGLFRMRPPTIFVPACIADLVARLFEVHRAMDQSELAHKLVPLEVGQEYQLRKDLKVKAFRTYHAIPSQGYVIYAVKQKLKQEYLGLPGSEIKRLKLSGVEITNTVTTPEIAFTGDTMSDFILDPDNVDVLKAKILVVESTFLDDSISIEHAKEYGHTHLFEILSHSEKLENKAILLIHFSARYTAEVSISCQCFRALYLYSMDVNTGFIPEIDAAINRLPQSFRTRVYALKEGF >Et_4A_034093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29981189:29985260:-1 gene:Et_4A_034093 transcript:Et_4A_034093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAIDPRSAVAPSSEAFCKIMRSSWADSVANAEESAPATVTTAAAPVANHQSSRPTLRSYVPPHLRGRSAGSPSESQAPPSVPAPGPGPAAVQPSGYAAAVGGGSHWSGPTGSGGGSVIVGPRQSGGSGGRGGGGGWNSRPGWDRRDREPNPFADSEAADVEFESQENTGINFDAYEDIPVETSGHDVPPPVNTFAEIDLGDALNQNIQRCKYVKPTPVQRHAIPIVVAGRDLMACAQTGSGKTAAFCFPIISGILKSRPPPRQRGSRTAYPVALILSPTRELSVQIHEEAKKFSYQTGVRVVVAYGGAPIHQQLRELERGVEILVATPGRLMDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGVRQTMLFSATFPKEIQRLASDFLADYIFLAVGRVGSSTDLIAQRVEFVLEADKRSYLMDLIHAQKANGAHGKQSLTLVFVETKRGADALEDWLYKNGFPATSIHGDRTQQEREYALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNEGNLSMARPLCELMQEANQEVPPWLMQYAARSSYGGGGGRNRRSGGARFGGRDFRRDRDFRGGGSGGGGGGYGGGGGYGGYGGGGATSSWD >Et_8A_057521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:383372:389076:1 gene:Et_8A_057521 transcript:Et_8A_057521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WRSTTGTPAAAARGPPSSAAPPSRRPRLGALPHLTAAAVEGLEIGGDGERRPDQFEIPVEVSGEGAPPPADAFEAAGLAEAVLQNALRCGYEKPTPVQRYVLPIASAGRDLMACAQTGSGKTAAFCLPVVSGLVEAAAGREGRRDRGSFPAHIYLYSIVSVPLRISSLHVYDESRKLSTQTGLRVAVAYGGASIYNQYDLETGVDILVATPECIVDMVEGSNISLEAIKYLVMDEADRMLDMGFEPQIRKIVDKMVMPESSLQTLLFSATFPPDLQTIEFVNDGEKKSFLLDPLQKQYVGIANSKGANGLTDYEVPCIENDLVDDGLDTPVVGNENPAERKSKKWFPDSGTDIDYLRGQNPYPYLTVRVGCDKI >Et_1A_005824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14456700:14459101:1 gene:Et_1A_005824 transcript:Et_1A_005824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWPSSVNSARVAGGAAPCPAQSSRPEPQSPVAQGNRPVAASVEQSVRPQRRPRLARRQRDAGAVQRRRARAGEDPRPHLFCVYLSEFEKGEEVKRYIRTKRISYPSSCPRVKTRRANPSYAVLRCRLLKEARKPDTTERNPEEDKAGTKPPRRCWEMKRPPPK >Et_3B_028505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16234232:16243535:-1 gene:Et_3B_028505 transcript:Et_3B_028505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIAPYVVSFLLRFMYGVLNILTKVAFSEGTSPSVLVFYRHLIATVLLLPIAFALERKTTPALSLKVSLKLFVHALYGMSASMYISCVGLSYASATSASAVQNLLPVLTFFLAVLFGMESLKIRRFHGLVKVSGIVLCSVGVVVLALYQGPELKSFIHYRRFRHTSHDGTNSSRKWILGTFLQSLAAVMWALWAVRQGRLSREYPSKLFNITLQIAFATAQSFFMTLVIERDFSRWKLGLDVGLVAIVYCAVTFAISCYLQIWLIDKMGPVFLNMTVPVICGVLMVGGLYNVLWGQRIEQVATSMEEDHRQKSACSDLEEQENAPPIVTKGAFNEGMSTYVFVFYRHATAILFLVPISFVLERISGAINIYSLGLSYASATASSAIFNLLPVVAFFLAVLFGMESLNLKRFHGIAKVSGVVFCIAGVIVLAFYHGPELKSFNHHHLFHHISNSSLGAISHPTRSWILGIFLTTLSTTSWALWTVLQGPMLEAYPSKLLNTTLQMLFSTIQSFFIALAVERDFLRWKLRLDAGLIAVLYSGILVSGVAYYMQIWVIDKSGPVFLSMTMPITLLVTIMLSSFVLGEAVTLGSIIGGAIMIGGLYCVLWAKQSEQVGEKKLQVTATVQATEV >Et_9A_061396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1121603:1125958:1 gene:Et_9A_061396 transcript:Et_9A_061396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSESPILHIFIDQDHFILFITVPNQRHQMASTLHCNLGLIPQLSLKQVQHNRFMSPRALREHIKNIEKKCVTALQESPETFFLQQPSSDNKHREIDLWWAGKRLAMGQRLCDYVGVNDKTKLQIAKRARDSCLGWNSWCFGS >Et_9B_064316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1419031:1421822:1 gene:Et_9B_064316 transcript:Et_9B_064316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEPVAREGEEPGTDEGVSTAAKAAGTPAPARDIRRYKCEFCSVVRSKKSLIRAHVLEHHEDEVDGLEDYLGGVPRKEVFHDCEKCGMRFKKPVHLKQHMQSHSLERPFVCHVDGCPFSYSRKDHLNRHLLTHQGKLSLCPIEGCNRKFSIKGNVQRHVQEIHKDGSCENKKEYICPGVDCGKTFKYASKLKKHEESHVKLDYTEVICCEPGCMKTFTNVECLMAHKQSCHQHVQCDVCGTKQLKKNFKRHCRMHEGSCVTERIKCHFKDCKCSFSKKSNLDKHVKAVHEQHRPFVCRFSGCGKKFSYKHVRDNHEKSSAHVYIEGDFEADEQQRHSAGGRKRKRITVESLMRKRVAAPDDAPAPEDGIEYLRWLLSG >Et_1A_009045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26935715:26939770:1 gene:Et_1A_009045 transcript:Et_1A_009045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPASRGRWRRRSARSHLPLVVAALLLLIPASLLFSSAYSSVLRSLFPFSASVRGVPPRCGASRELKGERFLWYAPHSGFSNQVGELRNAAVTAALLNRTLIVPPVLDHHAVVLGSCPKFRVSDPTVLRASVWDHVMQLHREQRYVSMGDVVDLSPMKSVVRTIDFRVFISLWCGVDMTKTCFSGVCCAVSGGSSSSDDYNRCRSMLTGLGGSEKGCVYPVQDDCRTTVWTYKENHDGALDQFQPDDELKRRKKISYEKLKAIELEMKGNKASGPVHIFIMTDLPQVNWTNTYLADIAKRDGRYKLYTLKESDEFVLQTAERLMTAEHGIQSGFLPKIMESSKKDCDPVQLPDILLYIEESVCSCASLGFVGTAGSTIAGSIETMMKNNACKLFADQ >Et_1A_008948.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:20399903:20401514:-1 gene:Et_1A_008948 transcript:Et_1A_008948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPWSSVLGVVLATALFLVTVLRVGRSGMRKNKYNLPPGPRPWPVIGNMNLIGPLPHRSIHELSKRYGPLMSLRFGSFPVVVASSVDAATFFLKTNDPAFIDRPETAAGRHTFYNSANMLWAPYGEYWRQGRKLYQTELLSPRQLRLHEHVRREEVRAMLRAVHDDAASKKVVSLRDHLFMLSLGVISRMLLGKKYVGVQGGNGDTAEEFSWMLSELLFLNGALNLGDIIPWLNRFDPQGYIGRMKRLSERLDRFLEHVLDEHDERRRLEGDAFVANDVAAQLLQLAEDPGLKVPIKRDDVKAMILDLLVAGTDTSSVTVEWAMAELVRNPEALARAADELDRVVGRARLVAEDDLPRLPYVEAVVKETMRLHLVSPLLSPRLAREDTSFAGYDIPAGTRVHVNVWAIGRDPALWGDEDNKPEEFRPERFVGSGVDVKGKDMELLPFGSGRRMCPGHGLGLRMVQLALASLIHAFAWRLPDGVAAEGLDMEETFRISMPRKVPLQAVAEPRLPPHLY >Et_3A_024084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17177548:17179213:1 gene:Et_3A_024084 transcript:Et_3A_024084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMSLQRSLAMSPRCSLYDSVHRIGGARRRWASCRSQSSCFAYNARLSPIVLNINSAVDPSEPKNQDTSSSASRSGPYSGSCHPSGTIGVMGVSSTSCLRFLEKFVRWSTSDGEEAPPFVICNDPLVKKELLSSGNQLTSDSDITLGKLMQKRLVLEQSGASCIVMPCQFLHAWHDKISLNCSVPFLHIGDCVVKELKAANLKPVEYGSNVRVGILSTDSMLATKCYLDKLESQGFEVLCPDKASMEHKVLPSVNAFRKGDMEGARNLLRVSLQVLIVRAVNTIILASDDLVDILPDDDPLLKKCIDPLDALVREAITCTRTPRP >Et_8B_059591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19635726:19641906:-1 gene:Et_8B_059591 transcript:Et_8B_059591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDRAQPPPAPPPPPPRPPPPSPAPTENHNHTAISSPLLQHSANADAPLARWLRQLEVFLSASGLAASSRVGVATAASALAVAGLALPALAVVLSPCRGRGSQCEDFEVEAFEVCVLLSQAAAAAVALACVSRKMAMYGIRKFLFVDPELGMRIRFQKEYTAKIQDFFRILMWWIVPCFVVKVTRELFRFSHIFQESAWRACAVLFASIMSWMYLTTIILSSCMLFNLVCNLQVIHFDDYGKLLEQDADPLVYLKEHLQLRHNLSKISHRFRMFLLLLFLSVTASQFAILFKTTAYTGPINFTNGGDIAVSSVVQVVGLCLCLHAAAKISHRAQNIAALASRWHALATCSTDSTYATTPNSSGNLVPFPAHLFMRDYSESDLESLESASAHGNTQGTAQLASYMSSYHKRESLVLYLLANPGGITIYGWIVDRTFLNTILMLELTLVLFVLSKTVVIPGKALALNYIRGHKNVKWQCTKKAPYTKLSLCQLVQTEHRHQSVQRYTKNAKIVKLSSSTSSTE >Et_2A_018240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:249668:254371:-1 gene:Et_2A_018240 transcript:Et_2A_018240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASQLLLRHSRKVTVLLSLHLFIFPARVVRIVATTGRVCYNRLESPIRSGREYGLEIRECCKECTLFWTVHMGALLVTSLLLHPRFQRKAVSLTETSRNYICKRLSSYRTAIPVTRVNSLFLRGQVVLARPFSSGADLPPHQEIGMPSLSPTMTEGNIAKWLKKEGDKVAPGEVLCEVETDKATVEMESMEEGYLAKIIHGDGAKEIKVGEIIAVTVEEEEDIAKFKDYKAPTSSASGGPAEPKSQSEPTEAKEEKAASKAPEPDATKAEDSGDRVFSSPVAKKLAEDNNVLLSSIKGTGPDGRILKADIEDYLAEGAKEAAPAPGLGYVDLPNTQIRKVTAYRLLQSKQTIPHYYLTVDTRVDKLIKLRSQLNPLQDISGGKKISINDLVIKAAALALRKVPECNSSWMDDFIREYHNVNINVAVQTDHGLFVPVIRDADKKGLATIADEVKQLAQRARDNSLKPEHYEGGTFTVSNLGGPFGVKQFCAIVNPPQSAILAIGSAEKRLIPGAESEFEVGSFMSATLSCDHRVIDGAIGAEWLKAFKGYIENPTSMLL >Et_5B_045096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9534865:9539074:-1 gene:Et_5B_045096 transcript:Et_5B_045096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPVNRTDGACQAAAPVMDLSSPWWLELMMESKSSKEDTSKPAILQKLPISRPGFGTKGREIRLLTNHFIASIRDPDVIFHHYHVDIKYEDGQPADQRVGALQNARDVFTVVVEDVSSAR >Et_6B_049522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:672642:675232:-1 gene:Et_6B_049522 transcript:Et_6B_049522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIKRRPFSADTDKTSEKPMETTISDSTTEPLLENTPHEDKPKIYEAASRSDSWDWTRRECLRWLHLLSNFIAQSTRKIVNVITDFGSLLARLFGRSSAIQSSQNGQTVPINLTPIQEERLKLLRQRLDVPYDSSSFKHQDSFHRLLHKADGKRAEWEYPFAAAGVNVSYMLMQMLDLQSGNMSTKAALYFVRLLEDDDAAFDNLFCVAFQMLDAQWLERRASYMEFNEVLKSTRVQLEQELAIEGISSVQNMPSFTMLKR >Et_3A_024434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20756773:20759145:-1 gene:Et_3A_024434 transcript:Et_3A_024434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSIFGNFAFPPGDCRSADQQQLLASPHKVSGSAVLGAWVVSSPLVRRFLQNGDTSSAARVGGASPFLNSSPAPHLSSSPPSPSNTHPSDPLLPLLSCRRALRPFFSITPVAARASQPPSRHPYIQSDVSSVTSLKFHFLSWMDLFPVSSCGGADACFDSLGRRSRPGDELAELLWDNGPALRRSTPHFPPPFQPFTCSAAGSSRARELNKAAPVMDDDDLGLPVHHQHHDHDDDDAVPWLHCPVIDDGDSDTAPLPLEYCAGLLSGYSGLHSVPVPPASGVERPSANGGVVVPRLPTARAVQRETAVTQPQPSAAATGGGEGVMNFTFFSRPLQRPQASAAAAAPQNNPVDSAVAQTAASRLRGTPLFSEQRMAWLQPPPKGPRAMTAAAPPAPQAPTSATEHHRRVEAAATVTQHRLHPDPRAPDAAAAMTTSSVCSGNGDRTQSRRTTAECSVSQDEDGDDEGGAMRRSGARGTKRTRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKTSMLEEAIEYLKTLQLQVQMMSMGPGLCMPPMMLPAMQHMQMPHMPHFAHLGMGMGFGMGAAPFDMLPRLAAAQFPCSMMPGAPPMAMPPGSMFGLPGQVTFPPHMAGVAPPEQMEAAGPAPGGAGAGDHTPVPVTTTQGEQKQQHPKQA >Et_3B_031687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7916441:7920789:-1 gene:Et_3B_031687 transcript:Et_3B_031687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGHGAQLSSNADAGAMAAASTAAPTITFAFHPSPHHPPASGLSHHHHGVLGYSSSLVLDRPTTTTTTTSSSSSHAPPSTIPSPNLHHLHATAAAHVSPPTRSSPPHPWSCDERNQDKQRGKGAAMTAGSGGAPALGVGAVRMKKAGSGGGKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHVHSPSRDEDDDAARANAEMSFIW >Et_1B_011512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22990533:22991859:-1 gene:Et_1B_011512 transcript:Et_1B_011512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRAELSFLRSLAEDNAAEIDRGLEEDFRTLRASAAELQDAVVLSFESWDWAEATEHMLLQAAADIAKQGNAIRKGVAAWLLSPGEAEVSVEALRKQAAFSDALLADAADMVAVTRRAREKDLRRLAAEEHLVEPEMAGLLADAVEETDSALEHGGHVPTPEELAQAAAAEDAAALLAERLARMSGRLTRRAAAAARDDGEEEAALVVGLRRQAANADAARATVEGFAASVRRFRAAAPAGTGRPPLPDHDGAGAAHTTPVAVTGRRRQGRVGEGRGEAPMALGSEGRRWERCAVRSRQLHGGGRGEREGKDLARRPASPRPHAASEH >Et_1A_006934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29102497:29105114:1 gene:Et_1A_006934 transcript:Et_1A_006934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGERENQGRQGQPGKNLIKIPSYQEVFGSSTSSSSAPASYNPPLASSGASSSSSSSSASFSQSFSFLKSSEFYTPPPPPPQPTTAPRPPQASSSAPVPQGKNVILVSHRQKGNPLLKHIRNARWTFADIVPDYVVGQSSCALYISIRYHLLHPDYLYYRIRELQKNFRLRVILCHIDVEDVVKPLHEITRTALLQECTLLCGWSMEECGRYLETIKVYENKPADSIREHMDNDYLSRLTHALTSVRHVNKTDVVTLGSSFGSLSRIMDASMEELARCPGIGERKVKRLYDTFHEPFKRVTPRPNLVVPDTPDREKASGKPSATHDDSGNAAEKLDASKSKKGPDVKSALTAAFAKYSEKIRSQGRDAAKEAGEGTSSSTTEDDSTKN >Et_1A_006641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25540688:25541277:-1 gene:Et_1A_006641 transcript:Et_1A_006641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLSLGSPAGRGPRLSAAAEEAAEEKAAKAVVRAAPDKSIHLIPVLTLLCFLVLFLLSRDPSAAAALTTDSPVLAATARSLEAAAAAAGGGGAAAGATVASVGGRGGVYRRLKEDLDRGPRPRRR >Et_8A_056360.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:400905:400991:-1 gene:Et_8A_056360 transcript:Et_8A_056360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVSLNVQEGIGLIWKLRKGERRNAER >Et_3A_027017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29595179:29596712:1 gene:Et_3A_027017 transcript:Et_3A_027017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCQEAKSTPAPASATAAAAAAVTATAAAAVAVASSALERRRRRLEMRRHLETSVAEDARAGKRQRLARTASGPCPDDGLETERPPALSDRMPRFGVSSVCGRRREMEDAVSIRPDFLPGATGGTHHFFSVFDGHGCSHVATTCQDRMHEVVADEFKNAGSGEESAWKGVMERSFARLDEQAASWATSRSADEPACRCEQQMPSRCDHVGSTAVVGVVSPTQIIVGNAGDSRAVLSRAGVAVPLSVDHKPDRPDELARIEAAGGRVIYWDGARVLGVLAMSRAIGERACLNSLRQKTAFVPLGGFVLTGVWCARAGDGYLKPYVSSEPEVTVTERTDDDECLILASDGLWDVVTNEMACEVVRACFRSNGPPSPAAAAPNGVPPPAGADADSESATVKGVSKAESDKACSDAAMLLAKLALARRSADNVSVVVVDLRRGL >Et_1A_008029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40245175:40249651:1 gene:Et_1A_008029 transcript:Et_1A_008029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLDAVLAVDAGSPSQALPVLEAAVGCTEGLAAICETAESVVSKMMKGGVPPVYSDRRAVDAAADGFIGAGGDGDNSPMHVPSLSCCVNATPPIATDEQCTVPLGEGYALYLEVVVASEELCDEHAGGVDDGVLGCALLVPGVRLAGLRAIGPVEVVEQGLAFVAEEPVGDEVVGAVEHLRVPHGQRLVAAEQLALRHGEGGVVRAENKVLSGAAELQRHGRPHPQHLVHRGPHQRHRIGEVSIKHSAHLVPEAWSDACGVPGASDLGDEEWQEDGEAAECVESEDEEDVVDGVLLGEAVLRLAERDGVGAVLLDEAVEAVVERRDGEGRQREGVQEQLLERLEEAHLLRGDQLQRQPHVQDPEAVLVQERLGGRVRARQRLAQETEQATLQLHDERRRRCRLLLEVGLDGVEHGADAGAELGGPDAGGAVEEVDGEGLGALVLRLLRVEEHAHAGAPREPGAGVVGPDQDVALVHQRRVEQRREGGGVHHGDHARVRAHKQRERRRDVGAEHGAAVRGGAPPEELLGALQPEVVQPVAQGPDQRRTVASRGGAVAGGNDGSMAAALA >Et_8B_059241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15700202:15701438:-1 gene:Et_8B_059241 transcript:Et_8B_059241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLDPLINEGKFLCSVPPFIKSSDMNLQEEEILKLASLGNHRNLENVVDVLKRSLSIRNKSETRMQQKLEKAKELARVQELEKELEEKENSLIMDLRSSKKFKADQTKSWNVTANSGFPNPCSGCGSEAMKLN >Et_3B_027666.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11538002:11538106:1 gene:Et_3B_027666 transcript:Et_3B_027666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQKCYYLRQQECFFAELETRIQGGDGLDCDQS >Et_10A_001534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4722510:4723081:1 gene:Et_10A_001534 transcript:Et_10A_001534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRTYGKHVVMRQCIKPSNGLSRKRNRVSMRKRGNKAGRMHVISYGDEGGVFEVKVENELVLILEGNHVLYRRKYFKYK >Et_5A_040222.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:23768610:23769026:1 gene:Et_5A_040222 transcript:Et_5A_040222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRGGGGGVCHAARRLADTTGTTTAPAATPAAAAVPGIPTTSVPTVALPPKPIVPAVTLPNGAALPPVPGVVVPSLPKPKVTLQPMPFLAPPPKASTRCKFTCVTSCATYRCVLCFFEGYAKPYVVRVSNALKSLGA >Et_7B_054619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2945214:2946388:1 gene:Et_7B_054619 transcript:Et_7B_054619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWPDQNLISLVQAWSLWKDGKARELVDSSVVESCSLHEVLRCIHLGLLCVQDQPNARPLMSSIVFVLENETAPLPTPKEPVFFTTTNSETDQSNEYMRRSLNYMSITTLDGLGLVGCYANVEKRSTLKRRDVRSGT >Et_8A_056435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:998693:1012650:-1 gene:Et_8A_056435 transcript:Et_8A_056435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALPDDLLHVILSFLGDAAAVTRTAVLSRRWRHVWIHAKSLTFRDGDLTKNNVPGHFAGFVDWALAKRGDAKIESLRIRAFNPGCTSPDQANEWLRYAARRVVKSVDFHLSGLSDRQVVIELPSHGVATCVYLHLNDHSFQLPAAPTARYEALTELKLFNPLFSQRSPGSGSTLGDFVTSCCPRLRRIEIEDAKGLPHLVLQAEALEELEVILGKDLQTLDVTAPNLRVVRLEMCFINPTDLGTNIMARIIAPKLEEIAMSDFHIRKPNLDVHHLTSVRRLKDIYIDMHGKYYRDTDIGLWLLENCPNVQDVNVVLWHCVDNYVTHREPADLAPFANVKTLAVRGYYFQRGHFTASVSSLLKRCPHITSLSVDTSYLALENACTIDGKIALESLEEVKIIGFGGTDEEMRLVSLLFESSDSIKRMELLPQQEKPCALSLKWKRAEEDDDGDEATGTVGQGLMNISVRTRSIMDVLAGDLDRISALPDDLLHVILTFLGGNDAAAVTRTAVLSRRWRHMWIHAKSLSFYDNHMKNCTVPGHFAGFVDWALVKRGNTNIESLGIRISKPDSTSPDQVNEWLRYASRRVVKSVDFYLSGPSDRQAVIELPSHGRATCIFLYLHDRRLQLPAAAKASQGTPGAGGSTLGDFVTSCCPRLCWLQILVAKGLHELVLQAEALEKLEIILARGLHTLDVTAPNLRFVHLEWCFLHSPRPGANNTARIIAPNLEEICMSNFGFRRPDMDLHDLTRVRHLKDLRLEMHGKYYGDTDIGLWLLENCPNVQDVNVTLHHCVDMRFKRELVDLAPFANVKRLAVEGYQYSRRHFMASVSSRLTRCPHLTSLSVDISSVALENNCTVDGRIVVLESLEEVKIISFGGTDEEMRLVSQLFKGSNSIKRMELLPQQKKPCAKRAEEDDNGDEGMGTVGQGLMNISGTDRGHWHFTEKAYTWTSYDSDSLATNIMDGAAGDLDRISALPDDLLQAILSFLGDATAVTRTVLLSRRWRHVWVHAKSLSFYDDRLKKYTEPGHFSGFVDWALAQRGDADMESLKIRFSENGRTSPVEKINEWLRYSARHVVESLDVHLGPPRLGEPDQLAVELPGHGKAASMQLGLSNHRFQFQAAASYEALTDLNLFSPLFVQETPTLGDFVTSSCPRLRRLQIIGAKGLPQLVLRAEALEELKVSSVLDLQTLDVTAPNLYTPSS >Et_3A_026233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5863797:5871799:-1 gene:Et_3A_026233 transcript:Et_3A_026233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWLLRVRMTFWRSVPNYFPVILTIDPICPLYKVICRDRSLHFTVPQTNRQQAGFLPTNPSPTQLSAITCSIPPLIEYTMACTQHKVIRLCHLCVCHLHCFVCTRTDTLLSVVQEVMFDVNLIENRTLEDGLAGWSPVGDCTKLSVHEEEPAKVPTETIRDVADDYRPSGRYILASGRADEKDGLCQALTGAALRPRVTYRVAGWISLGGDGAAAEDGDAAGAEHAVRVSLRVDDECFVDGGAVCAEAGKWTEIKGAFRLKKSPCGETTVFVHGAPAGVDVKVMDLQVYATDRKARFRKLRKKTDKVRRRDVVLNFGAAGGASGISGASVRVMQMDSSFPFGACINPSVIQNPAFVDFFTKHFDWAVFENELKWYHTEAQQGQLNYADADALLDFCDRYGKPVRGHCIFWAVENTVQQWVKGLDADQLKSAVQGRLQSLLTRYAGRFPHYDVNNEMLHGSFYKDRLGDDIDAFMFRETARLDPGATLFVNDYNVEGGNDPNATPEKYIEQIAALQQKGAAVGGIGLQGHVTNPVGEVLCDALDKLATTDLPVWLTELDVSESDVDLRADDLEVVLREAYAHPAVEGVVFWGFMQGAMWRQNACLVNSDGTVNDAGERPFAIYVLTGVLCACRFVDLRREWTSHARGHIDSNGHFKFRGYHGTYVVQLSTGTGKVHKTFSVEKGDTPLETHLVTSLFENRESEDGLTGWAPSGSCKVAAHEEPSPDSVPLLSATAVAVADDEDEEDADEAAERARKPSGKYVLATHRTDEKDGLSRTIARAPKPKVTYRVSGWVGVGAGASGEDGSSHPVHVKVEVDDGRPVGDGVVVVEPGKWGEIKGSFRVDDDDDKPPRVAKVYVHGPAAGVDLKVMDLRVSAVNKIPRLRHLRRQADKVRKRDVILKLNRTTSDLDSIAGAEIRVVQVENSFPIGSCITKAGLKNPAYVDFFTKHFDLAVLENELKWYHTEPAQGRVSYTDADELIAFCDKRNKPVRGHCIFWAVEDSVQQWVRAQRGDQLRAAVQARLRNLVTRYKGRFPHYEVNNEMLHGSFFKDRLGDDIDAWMFRETARIDPAPALFVNDYNVESGNDPNATPEKYLALVTDLQKRGAPVGGIGLQGHVTRPVGNVIAGALDKLAVAGLPIWITELDVSAADENVRADDLELVMREAYAHPAVEGVMLWGFMQGIMWRSHAHLVDADGKYTAAGDRLAALRQEWLSHAMGKVDGNGQFKFRGFHGKYQVTLKTASGKTKKQTFDVKKGDAPLQLSMDF >Et_9B_065507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:615136:617019:1 gene:Et_9B_065507 transcript:Et_9B_065507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAANGIGTHKAEHACSRANRNCMAFYGWPVKVGVHKEQDESNGQHEEAHRVAVEECLVMAREVEEKRRVCSVVPKPVVLGSEAARRGEPRLVMVAAKIAKSNNLLRLFSTT >Et_1B_012748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34859684:34863556:-1 gene:Et_1B_012748 transcript:Et_1B_012748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TTPVDTPPQPLDPVSTQLHTRRPLPPPTPPLSLTQHKATPLAAAAATAKSPAVRPPLPIRPPSHGSQRHGPVMRRRFGRWAQYEKTEKIGEGTYGVVYKGLDRQTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHRNIVRLQDVVHNEKCIYLVFEYLDLDLKKHMDSSSDFKNHRIVKSFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRNNVLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARHYSTPVDIWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRIMGTPTEESWPGVSSLPDYKSTFPKWAPVDIASVVPTLEPAGIDLLSKMLCLDPSKRISARAALEHEYFKDLEFIVMELGMDEVA >Et_3B_030795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7486531:7489781:1 gene:Et_3B_030795 transcript:Et_3B_030795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSQSQSPKQSPSPRAATTAGAEHARSASEPWLVATAAAASAPAASTGGDDSCVNDVENFARTVAAVKSKASASCARPDLLASVLSHYAAKWLPDVSTSSASGRFHLPPESPTATWLKRRLLLESLVAALPPSCAGAGDDGITCDFLLKLLRAGSMVGADAAMLRDLEARAARRLDQATLGAVMIPAFGGSAAPALLLDVPLVLRLVRGFLKEGASGGGGGAAAARVARLVDAYLAEAALEAGLRPAEFEELARAVPAHARPADDALYRAVDTYLKVVITHLFPFPSSFSAAHPNTSKEEKKSLCRLIDARKLTAEAAAHAVQNDRLPVRSVMQVLFSEHGKLNRLAELSASFSGPRSPNPALELPGRCPSKREVLAQHQEVRRLREDVARLQVQCNALQAQVDRLISDRRRRGGGGFFKWSTFWFGGGMGADVARVDDSESGMDRRTPPKGKKDSATTVTPNAKWRKSTS >Et_2B_022825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6039051:6042767:-1 gene:Et_2B_022825 transcript:Et_2B_022825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSMSTSRRLAPLAVRRIRSYLRLSTSTSLDHPLDPEAPTAAAILASLHTEHNPSAATLSVLRTDPCLTADLYSLLAEQPGALTPGSLAILHSLAARHRIPPPSASLLSKLLARFTSPSDAARFLRDSLAAGAPAPDVYAFNTLLAALGRARDLNGMNQLFASMQGASVRPNVVTYGILINGLCKAGSVQDALKVVDGMSRPGSDLRPDTVILNSVLDGLCKTGRLQEAVAFVERMRPKHGCAPSTVTYNCLADAFCRVGDVGMAFEVVARMEKDGLAPNLVTMNTIVGGLCRVGRVGAALDFFREKRTAWPEARTGNAAAYSTLVGAFLHCNNVALALELFHEMVGLGHRPDAIIYFTLISGLTQAGRLEDACTMASSMKKAGFKLDAKAYNILIGGFCRKKRLREAYDLLGEMKGAGIQPDVCTYNTLLSGLCKAGDFSAVDELLAKMIDDGCRPSVVTFGTLVHGYCKVGKVDEALRIFRSMGESGIKPNTVIYNTLIDFLCKNRDVDSAIELCDEMTELHVPANVTTYNALLKGLRDKDMHEKAFEVMDRMRKERCTPDYVTMDVLMEWLPEIGETDRLKDRKALLAVKDMQFPASCHDKGSDKLTVPSPWKRKKPN >Et_7A_052738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14908934:14913691:1 gene:Et_7A_052738 transcript:Et_7A_052738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVELVRRPFLPGAAATAGRDRGGGLCSARSLPVSSRRRRSLRSTAAVCALAERPVVTPAPAAERAGAAPPEPHPQSVAARAVVTVRRRRKEDAKQRVVEQLDAFADRIGRSVLLELVSTEADPRKGGPKKSKRSALVGWFDKKDVKAEKVVYTAEFAVDASFGEPGAVTVLNRHTREFFVESIVVEGFPSGPARFTCNSWVQPTRVDRGPRVFFTNKPYLPSETPPGLRDLRLQELGDLRGDGDGDGGAGERRVTDRVYDYDVYDDLGHPDKGAEFARPVLGGERMPYPRRMRTGRPRTLTDARAESRVEYPEPIYVSRDEEFEEGKNEMLSEGALKALLHNFMPLLVSSVSPDINDFAGFHDVDNLFKEGLRLKQALQDQLFQKIPFVRKIQENSEGLLRYDTPDIIKKDKFAWLRDDEFARQALAGINPVNIERLQAFPPTSKLDPAVYGPPESAITEAHIIGQLDGMSVQQALEGNRLYMLDFHDIFMPFLDRINAQDGRKAYGTRTLFFLTAAGTLKPIAIELCLPPMTEGCKRAKRVFTPPADATSNWLWQLAKAHVCSNDAGVHQLVNHWLRTHACMEPFIIAAHRQMSAMHPIFKLLKPHMRYTLKINALARQILINGDGVIESGFTPGRYCMEMSSFAYRELWRLDQEGLPADLIRRGMAVEDPSQPHGLRLLIEDYPYATDGLLLWSAISRWCDAYVAVYYTSDESVQNDTELQSWYAEAVQTGHADKRDAPWWPRLTTPADLASLLTTLLWLTSAQHAALNFGQCGAGVLPYELMAPSSGPRITCHGVPNSVTI >Et_6B_049220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:265060:266714:-1 gene:Et_6B_049220 transcript:Et_6B_049220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPALPRSSCVCSSVVNPTTSKRKDGRGKTTKKDTSPAAAQVFDEMDGKNLVSWNTIIQCYADNLLDGEAFSHFRPMMFEYSECDEFTLGSILHVVTRRGAFDYGMELHGHLIRAGLDSDKHVMSALVDMYVNRATLQKRHRMFPLRMLRYYMSVQGRLDQFIVASSLKSCASDLDLASGRMLHACILKFDMNPDSFVTSSLIDITKDPGTAAWSAIISSNCQNGQYERAMHLFRRMQLEHVQPNEFTYTAVLTACTALGDVASSMEIQGSSIRNGYEANTSVVKSLISFYLRQGMYHQALKLCMSLSNHDISWGTLVQEFAQDDDHVGIVNILRVIQRSGVELDYKTACLILSSCEKLALLDEGLQAHAYITKRGLASTACVNNHLIDMYSNCGNLRHAFDAFNYMSDKNASSWTSIIVANVENGCPETAIRLFRQMLRKEKPPTSTAFLFVLEACSKMGLVSEAFMFFVSMTEVYKIQPSEGHYSYVIEALGRAGMFKEAEHFIDSVVPSESSASARSLLKL >Et_9A_061284.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:6345826:6346017:-1 gene:Et_9A_061284 transcript:Et_9A_061284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGVPNLGEYKIELNIEIDVDQRRYNAPTICHVAAISWLSKLKGRQVLKKKKLKGRHIFVFR >Et_9A_062103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19750872:19753072:-1 gene:Et_9A_062103 transcript:Et_9A_062103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKVWY >Et_2B_022121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9469164:9471811:1 gene:Et_2B_022121 transcript:Et_2B_022121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLNLECHRYYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPVVVAFSIKCTYTQHLDKVLEEAAATFYPHIKFVRVECPKYPGFCLTRQKNEYPFIEVFYSPEQAASQGKGVDPNVTKYSVKVLPFNYDQSVYGFREYFKKHGFSFSETK >Et_1B_012625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33902133:33906263:-1 gene:Et_1B_012625 transcript:Et_1B_012625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVLCSSALTSPSSLPPPPPAVSDRSPEEMLPPPPPLPPPPPLGSSRPERLSDRLKGGSEASSWRPPRHPAVADRSPEELPPLLPPLGSSSPERIEEEEERQPWSGRRPLAPPIPTAEVAVARAAQTLSLQEEFVERAQGKRRKGQAYLQSAFPRSANRKCQFETDQPHSGYGTTCNVKDNTEREGLMTYKQFTQVLDDDVSPAEAEGRYQDYRTEYITTQKRVYFDLHKNEDWLKENYHPTNLLSVIDRRNEFCKSVAKNLILDLRAGTLDIGPGLTANATSKSGNSNDRKSEDDAYYDEKKRMHGIGPQKDIERLSAAPKAHPVSAQYRRIQTDIDQALALVKKLDSEKGILGNILSTDDRPNVDESHGASMGPIVIVRGLNTVKGLEGIELLDTLLTYLWRVHGVDYYGMSEMKYAKGFRHVRAKNKSVNMSNLDAADWEKKLDSFWEERLMNGEDPLVAFTAKDKIDAATVEVLDPYVRKVRDEKYVWTFGCGAKGCAKLFHAPEFVHKHLRLKHPDLVAVLTSRVEDDIYFQNYMNDPDAPGGKPVMQRSIVDTKKERPDEQMYVASGRQVSRPVPGLFPSPLLIPVPGAGPLGPFVPAPPEVAKRMMQQGLPGPNGAQGRKLATLGIPMYPSFPLGSHHFRRLLIINQLLFISYKPLLL >Et_5B_045506.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:3201429:3201842:-1 gene:Et_5B_045506 transcript:Et_5B_045506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSISRQRRAEERRREEELRRKKEEEEKTPCFRIRRNLAAYEMEEGRRMRMAERERAARNDMAADKGKEKKKKRLEEDVRKEREKMRREEEEKRLRAEKEKERKKRDAEAKRKREEEEKEKKRQDELKKKKNAKRK >Et_3A_027338.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:8039146:8042460:1 gene:Et_3A_027338 transcript:Et_3A_027338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRKLQLVGAFLLPLFAIASSFDFFHRNDQRVDPMGGGQGPFVPHEYVRFADVRRECRSVLSAGAALTFDANRANALMPELSFVKGDWKQDGGAPLMPFDGTDVDAAAEAPDPLRLASFSLTHVDVERRGRTALNVSGVLGVAISRNGTAPEMGPYVSSELKVWPGSTELKILFEGVYTETDEDEGVLCMVGDALLPKRGGGDAGNPWGWAKNTDRDGFQPPIAKDKNIVLVLRYPKKLTLTTRAVRGEMRSTSGKSEAAYFDAVQVLSQLGAYSNYRFGAAEKLVGKACDPYPYAGDDVLRGGGGGGRGLYKGGSFCGILDRFTSEDVLAVVPNWRCNSATTKDGAFCRRLGPFVMSDKAADDGAFTNVSVVMQDVRCEPRNAPGGESSARVSAVFRAVPPWEHAYTAGKRSGLGGATLSAEGVWRASTGQLCMVGCLGADGGAKACHTRICLYVQTTFTATRRSITVGQITHIDGAGGVDHFPITLKRTVHPTELWSRFGVSGGAPLSMAYNYTKVGKATEFLRRSEPFDFGAVIAKSVLSYPRKAADDGDISLSNLADDLSLHVAAVPYPLPRERRFERAFLQLEVLSIASLVGRTSSPAAFPGTPESAAVSSSSSSSSTPTSQAAAGEPSSSSSSLLNVSAELTLSGSAAYANVSSLFLEGVYNPVDGRMYLIGCRTIAAPRKAFSSMKDVEDGGMDCSIEVMVEYPPTTARWLINPVAKVHVSSTRAPGGDPLRFDATAAQTLPIMYRAQRQDILSRRSVEGILRVATLAAAIAAEFTQLMYIKSHTDVMPFVSLVMLGVQALGYSVPLITGAEALFARIASSGSGDEASTPSYEVDKSSLYWTIDCVVKILILAAFLLTLRLAQKVWRSRIRLLTRSPLEPGRVPSDRKVLLYSCGAHLVGFTLILAAHYVNVYSRPVRGDGDQYMDARGKTHALREWAVTMEEYVGLAQDLFLLPQVVGNVVWRINCAPLKKSYYAGLTAVRLLPHLYDYVKAPAMINPYFAEEYEFVNTSQDFYSRFGDVAIPLAAVALAAAVYVQQRWNYKIISKTVKTQQKKLQHLGSRVYERLPSMSSANFEAELVSGVNEGAALRRDTSLA >Et_3A_023863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13736703:13743476:1 gene:Et_3A_023863 transcript:Et_3A_023863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQPDPRPSIRRLRGHLLNWPRVRNVARLPNDQGLALALSLPSPTQPHLAGEEGGPATPTAVERREKLAREFNARGFVQFPNLARLSRPASPKRKAKKAGDSDEETTSERNNDKTYVVEVVGEEAADEWKGLVGEEGFGKGAWRMGPTRLLLLDERYAKRRVDELPEAVKVVLDHETAQGGSSAYELVRCQLTLFYSYWPMNEVLESILPEGIIIPTGFERVGHIAHLNLRDEHLPYKSLIAQVVLDKNKPKIQTVVNKTDAIQNDYRTMQLEVLAGKDSLHTMVTENGLRFQVDLGTVYWNSRLATERQRLVDNIFRNSDVVCDMFSGVGPLAISAARKVQYVYANDINPTAVEYLQRNIVLNKLERKIEAFNMDARRFISAIYSSQDVRPVTQIVMNLPNDAAEFLDIFRGILQNSRLGLHRVMPMIHVYGFSKAEDPENDFHERINLALGENVNIVEMHRVRLVAPGKWMLCASFTLPESVAFAKPKYINY >Et_2A_015093.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29681104:29681199:1 gene:Et_2A_015093 transcript:Et_2A_015093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSNYLQLHPSFLSISTYGKFAPNQPRKRS >Et_7B_054224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17892019:17911531:-1 gene:Et_7B_054224 transcript:Et_7B_054224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGSNNLAGLIPKTISQIRNLSMLILDNNLFEYVPAEIYNMSPLTIFDLRENILTGRIASNIGNSLPNLKMLIMAGNMFGGFIPASLANASKLEMIDLFNNSLADPVPSLGSLSSLSILDLGSNNLVSDDWAFIMSLKNCSNLEVWSMYGNCLDENLPASVGDLSSNLQTLDLGNNKISGPLPAVLKSCYLVTNLHKTYSKAQYQKRQNLMFGEIPSSIVNISTLVRLRLSHNRLSGQITPAIDYLIQLTEHSLDSNDLRGNVRTSLRQCNQLTMLNLSFNSLNGYVPAQLLTIGNLFSLDLSNNNLTGSIPQQIGALINLLVLKIFYNHLSGQIPSSLAQCLALSTIYMDKNVIDGMIPDYFSNLKALQQIDLSQNALTGPIPDFFNSFRTLEQLDLYYNDFVGPVPTSGYFCNSSVVMATLIHACIAGLSRDLKSEDQFFSHNAIVIPTITVALFLFFIVTFRKKRAHTTPCYKETMKKVSYGDILKATNWFSPINKISSSHTGLIYIGRFEFDPDIVAIKVYHLNKHVSLNSFLMECEVLRNTRHRNLLKAVTRGLSLGQRIRIAKDVASALDNMHNQLAPPLIHCDLKPANVLLDYDMTARVGDFGSAKFLTSSLASIEGFVGIGGNIGYMHLVKYGMGHKISTRCDVYIFRILLLEMLTGKRPTDRMFTEGMSLHRLVSSAYPNELCEVLDPSMSLEAHHACATLVMQSYLMPIVKLPSCVLWSHPKIDQKCKIIFIFLSFATITLSSAQSNNRTEDDRQALICFKSGISMNSTGSLASWRNDSLNFCSWQGVICSTTLPTRVVSMDLRSLQLRGRLSSCMANLTSLVRMDLSNNDLSGKIPDEVGTLPSLNYVNLSSNNLTGVIPDSLSKSSSLSVLILSRNHLSGEIPASLSMLFWG >Et_7B_054111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15655281:15657388:1 gene:Et_7B_054111 transcript:Et_7B_054111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHFFRAGDFPSGLGAAPFFGSSPYAPAAPPVPLDVAACAAAMEPFGMMLPPWAAELHLPADSSAATAGHFDSALSSLVSSPAHGGGIGAGDDAAAIGDLIGRLGSICNNNSTAASASNSCYSTPLSSPPRGASPAMAFRGYHPSVQAPPHLETAGRLSRVASSKSFGAAGAPALENAEAAETTSTKAAAAGEAGTPTKGAARKRKGKASSSAATATAANGSPKRPKVAGDDKCSEDAAAGNASEEKKKPEPEKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQSLVPGCNKITGKALMLDEIINYVQSLQRQVEQFGGFGVLLFPQFLSMKLATMNPELEVGAPQYLPSEKDVSPLFD >Et_2A_018442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28256969:28257686:-1 gene:Et_2A_018442 transcript:Et_2A_018442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDGARILSTGVVTILFAALGFMSPARRGALVTGMLCFYLVLGAAAGYASVAFWKTRRRGDASGWPLVAWSDALAFPGAGLAAFAALNGVLWHNGSTCAVPFLLFTVVILLWSFVSVPLTLAGGLLASHVRHHDLPVKPNKIPRHVPPPPSPATATSPWLLVAAAGTLPFGTLFIELFFIMSSLWLGRVYYVFGFLLVVSEALTYMGLCVEDWRWWWRAFFASGSVAIY >Et_1B_012421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31933166:31939968:1 gene:Et_1B_012421 transcript:Et_1B_012421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVEQSVEQFKGKPRLPNFATPRRYDLRLTPDLEACVFSGSVAVSLDVAAPTRFLVLNAAELDVAPGAVSFAPQGSDKVLQPAEVTNVPEDEILIIRFDEVLPLGEGTLSIEFKGTLNDKMHGFYRSVYELNGEKKNMAVTQFEPADARRCFPCWDEPSFKAVFKITLEVPSEIVALSNMPVVEEKINGPTKVVYFQESPIMSTYLVAVIVGFFDYVEAFTTDGTRVRVYTQVGKSAQGKFALEVAVKTLVLFKEYFAVPYPLPKMDMIAIPDFASGAMENYGLVTYRETALLFDEKHSAAANKQRVAVVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADQFFPEWNIWTQFLEESTTGFKLDALEGSHPIEVDINHVDEIDEIFDAISYRKGASVIRMLQSYLGAEIFQKSLAAYIKKFAYSNAKTEDLWTALEEGSGEPVRTLMHSWTKQQGYPVINVELKDGKLQLEQTQFLSSGSTGVGQWVVPITLCCCSYSRQEKFLFQGKQEEFNLSGLIECQKKDDFWIKLNVNQTSFYRVSYGEELASRLRYAIETNKLSAADRYGVLDDAYALCMAGKQKLASLLHLIAAYKDETEYTVLARIIATSLSIVEMMAVAAPEELVNLKKFLIVFLEPFAERIGWDAKSGEGHLNALLRGTLFTALAELGHEATINEAVRRFNVFLEDRETPLLPPDIRKAAYVALMQTVNRSNKAGYESLLKIYRESDLSQEKVRSLGSCPDPDVVREVLDFMFSPEVRSQDSIWVLRGVGAAGYEVAWEWLKLATDEKGDDAEEFFKSRTKANIVRTVKQSIERVRINAKWVKSIKSEAELGNVLKEHAVKL >Et_7A_051963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3276546:3280683:1 gene:Et_7A_051963 transcript:Et_7A_051963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAAKASWMVAMTVGAVEALKDQAGLCRWNYALRSIHQTAKANVRSLSQAKKLAPAAEKRRADRAEEGMRTMAGAAKASWMVAMSIGAVEALKDQAGLCRWNYALRSLHRTAKANVRSFSSQAKKLAPATEKKPASKAEEGMRTVIAAKASWTVAMSVGAVEALKDQVGLCRWNYALRSVHRTAKANVRSFSSQAKKLAPAAAEGKRAEKAEEGMRTVMYLSCWGPN >Et_4A_032237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25708697:25709431:-1 gene:Et_4A_032237 transcript:Et_4A_032237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSDSAEEEGQEEGEEEGRRAPRVNWTEDENHRLVGSWLRNSVDSIDRNDKKGEAYWKDVARDFNKSMPKNGHKRSVRQLRTHWGGVKRDIARMMYKKENNEKPFTSTLEHMWRDLRNEPKWRRLLIHEQKKSKRTKNSESGAYTSSSNQDTETESEAVTSKAKAQQALVKTRRLEAS >Et_10A_002075.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21560548:21561741:1 gene:Et_10A_002075 transcript:Et_10A_002075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAARRPVVPPGYRFTPTPEELIRYYLNPWVTGQHLFPGVVVAADIYALDPDALTSRFAHAGHDGNWYFLCVARWKGGKAGTRMNRCVSGSGGTWHGSSKRKPVGKDGHRQGFEYRAAGDKKTAWIMEEFVTNLKDATDDEGVRVICKVHRSPRAPPPPDSDEEITSNNKVSSSKKQVHGENNVDQCYYAATTSEAAQGTEEETSNKVGCGFKKRPKQLHEENNVDQCYYATTSVAGQAAAEKESYELAGTKRPRLHGEHGHAACTVTVAPSPAEVGYCYSYAGKSQGAAAVAFSTTTTLQQQPVMEQGVGFYYPNSVNGRVGIGDGEPEQSPEETAGMEGEGLSQMDYSFFMTAEGMEVNEWLVKEILRPDKPTDGVEHGDDPGAYAAETSAGV >Et_2A_018336.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23743677:23744228:1 gene:Et_2A_018336 transcript:Et_2A_018336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSERLAEPLRVRAEPSECGEDIEAEADAAARKVEALEDLKGVVGALQASGGGDADGDGSCMSRVRRKAKDDAGAREMLAMLGAIPPRCWTRATAGRKSRPLRCTRCSTWESAMTREFLAHPCLCPSVSSVS >Et_5B_044243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21946254:21952465:-1 gene:Et_5B_044243 transcript:Et_5B_044243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLRLSRTRRALLPLSSLRIPLSTQSTAPSPSPGPSSAGRLPRFFSFLAAAAAASIGTAVALCDSGIDHQRAGGKDSTELVVKGERRRVPQEFIDELASFLGENLTVDLEERTFRGTPQNSFHKSVNVPDVVVFPSTQDEVQRIVMTCNKYKVPIVPYGGATSIEGHTLAPHGGVCIDMTMMKKIKSLHIEDMDVVVEPGVGWIELNEYLKPYGLFFPLDPGKLLGLGRPLEECVLPAVLAVLPNGEVVKTGSRARKSAAGYDLARLIIGSEGTLGVITEVTLRLQKIPSHSVVAMCNFQTIKDAADVAIATMLSGIQVSRMELLDEVQIRAINMANGKNLPEVPTLMFEFIGTEAYALEQTLLVQKIATEHHGSDFVFVEEPDAKAELWKIRKEALWAGFAMKPDHEAMITDVCVPLSRLAECISASKKLLDASPLTCLVIAHAGDGNFHTIILFDPSQEEQRKEAERLNHFMVHTALSMEVPGEGVGYRVTEDHEKNKGRVGSQQHHESGKADSTSCLHMTV >Et_5B_045291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16828098:16831003:-1 gene:Et_5B_045291 transcript:Et_5B_045291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMTPSSSARDRVVYHYSRSLHGFAARLTEDEKNRLAGKEGVLSIHERVVYWPHTTRSWNFLDLPQHNDPSRLQFENDVIIGMVDTGVWPESASFSDEGLPPPPAKWKGVCPGDKNFTCNNKIIGARGYYNGTRTASPLDTEGHGTHTASTAAGRAVAGASLGGVARGTARGAVPGARLAAYKVCWPDGCASDDIVAAFDDAVADGVDVISASLGFSRVLDYAEDPLAVGAFHAMRRGVVTSLSAGNSGPFAGTVTNVAPWAASVAAVTTDRKIVSEVVLGNGKRLVGNAVTAFPHLGKQPSLLVDPGSRCGAEELEGRTYKGAVLLCRNGVNDTAVKATGADAAIILLETDTAYSFAIPAVLVTMEQYADILDFYNSTRLPMVTVKNSATVMDKDAPSVAGFSSRGPNRITYGVIKLSINNGDLIDEQCPRVQPDISAPGVDILAAWTPLSTVSGKDDVDDRRVPYNIISGTSMACPHVTGAAAYVKSVHPDWSPAAVLSALVTTATPVTAAPEAEFAHGAGQVNPLGARYPGLVYDAGEADYVAFLCAQGYNSSQLATMTGKSGTAACSKDQIKSAVGDLNYPSIAVPVINYGVPFAAEFPRRVTNVGPSGSVYRAKVTSPASGIKITVAPEELAFSASNQTLSFTVSVSGVLTVNGTLGASASLVWSDGRHVVRSPIFVFPHKHVS >Et_1A_005066.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:24964012:24964107:1 gene:Et_1A_005066 transcript:Et_1A_005066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEICIGNEHRTVHVWSKYIVAMYTCVIIID >Et_4B_039048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7310297:7318501:-1 gene:Et_4B_039048 transcript:Et_4B_039048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLELLLIQFLMPDNDARRQAEEQIRRLARDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITSHWPKLPPHAKASLKEALIDSITLDHSHLVRRASANVVSVIAKYAIPAGEWPELLPFLFQCSQSPQEDHREVALILFSSLTETIGTTFQSHLNNLQPILLKCLQDEASSRVRISALKAVGSFIEYVNDGGDIVKMFRDFVPSILNVSRQCLANGEEDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVCANQDLEINIRQQAIQIISWLVKFKASFLKKHKLIVPILQVMCPLLTETANEDEDSDLAADRSAAEVIDTMAMNLPRHVIGPVLEFASVSFHHNNPKYREAAVTSLGVISEGCCEHLKDKLEDCLKIVLEALKDQEQMVRGAASFALGQFAEHLQPEILSHYASVLPCILNALEDPSDEVKEKSYYALAAFCEDMGEDILPYLDPLICRLVMSLQSSPRNLQETCMSAIGSVAAAAEQAFMPYAEKVLEMMKGFMVLTNDEDLCARARATEVVGIVAMAVGRARIEAILPPFIEAAISGFGLDYSELREYTHGFFSNVAEILGDSFTQYLPHVVPLVFSSCNLDDGSAVDIDDADSVDNGFGGVSSDDDVNDEPRVRNISVRTGVLDEKAAATQAIGFFALHTKSAYAPYLEESLKILIRHSGYFHEDLRLQAIISLKHTVMNIYTKTMTEDDDKEVVAQACMSVSDIVKDCDITRLAEATLVLLRQESSCQQVESDGEDDGDIDHDEVLMDAVSDLLPAFAKVMGSYFDPIFAKLFDPLMKFAKSPHPPQDKTMVVATLAEVAQEMGAPISAYVDRIMPLVLKELASSDATNRRNAAFCAGEICKNGGAAALKYYGDILRALHPLFSNSESDDAVRDNAAGAIARMIMVQPQSVPLNQVLPVFIKALPLKEDHEESMTVYSCICNLLLSSHPQILPLVPDVIHVFAQVVVSPDESDEVKTNIGKAVSHLVSVYGQQMQPILSALPPAHANALAAFASKR >Et_4A_032206.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24264751:24265599:-1 gene:Et_4A_032206 transcript:Et_4A_032206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLRQARWLLVEHPAVASFRWQPGRTLGATPSFAAAVIFGYLATVLLLRRVVLPRLPPPPPRALRAASAAHNAVLLALSAAMAAGCALSTAAAAPPPRRWRWPFCFPPGDGATEASGPVFFWAHVFYLSKVYELGDTLLILLGRRPLTLLHVYHHAVVVAMCYLWLATRQSLMPIALVTNAGVHVVMYAYYFSCSVGWRWPPRCKRAVTELQIVQFLFSFAASVVMLWLHFTDGGCEGMAGWVFNAVFNASLLALFLNFHGAAYAAAKNKKAGNKGKAE >Et_8B_060262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8035828:8038772:-1 gene:Et_8B_060262 transcript:Et_8B_060262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGGMMCRSQAATAVCMPGDARSMVVARRADRTIVADDARALHDVRYARLGDGDQQQQRRRSTSSSSRRFTAAPPAPPPPPPANKPRRRTGRAPVAVTLPMVTKSPVREAQARGLAAAAKRGPTVVVMKVAIHCQGCAGKVRKHISKMEGVTSFSIDLESKKVTVMGHVSPAGVLESISKVKKAELLVV >Et_4B_037397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19393680:19394962:1 gene:Et_4B_037397 transcript:Et_4B_037397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFIVEILSRLPARSVCRFKCVSRHWNRLITHPETPAMALLLCLCWKDFPEDATNYYVVCNPATEEWIVLPNSVQWSYTSEYHLGFDPAVSPHFYVFQMLDGGVNIYSSETGLCSYKGTGWGNSKIHVVDTMSIRYSQLTLRVRYGGPFLCWKLCVFKVLCYVFLLLLNDNVWILKYSISTLQLFGKKDLRFQQDYALITVHPECNLIFFVWKRKNMMIINII >Et_9A_062478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23330712:23332585:-1 gene:Et_9A_062478 transcript:Et_9A_062478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLSPPLHAHLLPLLPNHSPCLNPAVPTFPTGGTRRSPPRHRIFAKPPSRNGSSPETGWCPVPPEQQPVNEYEALVASLPFSWAAGDLRLYCSRLAATGAAVAIFVGLPVAAFGGRGGDGLHLALGATGSGILAVTLAVVRMYLGWAYVGNRLLSATVEYEETGWYDGQIWVKTPEVLARDRLLGSFSVKPVLNRVKFTLVGLALSLIFCILLYMNTENPKDPYENTGGRAIPGVYSDKAAKSFEPDAFCGEPDLS >Et_4A_034863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7293414:7301606:-1 gene:Et_4A_034863 transcript:Et_4A_034863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDEVIGGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKPGGFPPLGAHGPFQPAPTAVPPLAGWMSNPPAVTHPAVSGGAIGFGTPTNPAALLKHPRTPTTGNPGMDYPSGDSDHVSKRTRPVGMSEEVNLPVNMLPVTYPQSHNYQQDDFHKTVARTLSQGSAPMSMDFHPVQQTLLLVGTNVGDIALWDVGTKERLVLRNFKVWELGKCTMALQASLVKDPTVSVNRIIWSPDGTLFGVAYSRHIVQIYSYHGGDDIRQLLEIDAHVGGVNDIAFAHPNKQLCIITCGDDKTIKVWEATSGAKQFTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKDGESHLVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEFLIKIWDMDNTGLLTTIDGDGGLPASPRIRFNKEGTLLAVSTLENGIKILANADGLRLLRTLENRSFDASRNASETVTKPLINPLSAAAAAAAAAGATSSGTAAPTTINAMNGDSRSLVDVKPRIADESLDKSKVWKLMEISESAQCRSIKLADNIRPSKISRLIYTNSGVAILALTASAVHLLWKWPRNDRNSTGKATASVSPQLWQPPSGIFMTNDTADSNPEEAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKRITGLAFSNVLNVLVSSGADAQLCVWNTDGWEKQKSRFLQIPSGRPSNILDTRVQFHQDQMHFLVVHETQLAIYETTKLEPVKQWPVRENSAPITHATFSCDSQLIYASFMDATVGIFNASSLRLQCRILPPSYLPQNISSSVHPVVVAAHPSEASQFALGLSDGGVYVLEPLESERKWGNPPPAENGSTSSLSAPPPNGASSSDQPERYG >Et_4A_032026.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:12154616:12154846:1 gene:Et_4A_032026 transcript:Et_4A_032026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPVSSMRRGKPQWASLPEMIKVLFSFHLGDAYLTAVMRRRQSFSPVKRACPLPSNGCHSQLLLKTDCMTIAAAL >Et_2B_020522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2146823:2151351:-1 gene:Et_2B_020522 transcript:Et_2B_020522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQALLRLGALALPLVVVSAALLLPPASADDHDYKRCEGVVKTWADSATGWEKDGDKLSLKDLLFFLHIPRTGGRTYFHCFLKKLYTNAQECPRSYDKLRFDPSHPDCTLVVSHDDYSLLSKLPSERTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNISSAMQMTNRVLKKSRAVSTLDIWPWKYLVPWMREDLFARRDARGNDKVHSSKKVNAYDVEDMVMPLHQYINDPVSHEIIHNGATFQITGLTNNSYFDGAHEVRHCVRRHPDLGRLVLEVAKNRLDRMLYVGLTEDHEESARLFAHMVGAQVLSQSATLNLDIKEDLPSGNDSHTSMLDPEDEDTNEHLNSTHGWQNSEAPNTTDDHGKGNMTVGKLMEAYETCIAKLRNSQSNRRKISLKKVEEANFSKEARKLVPEAVLKQIISLNSLDMELYEHAKKIFTQEHLMVKERAMVGQHRQLAEQKGWISVVCNEGICSPWMVVLLGLGITMIVGFVSVVTTRRRNSKLKV >Et_5B_043483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11039875:11043955:1 gene:Et_5B_043483 transcript:Et_5B_043483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDCKGNVTIEDYGPILPALSLGSLLAAFSSYIYFFSWFTVLVTTSPIFLIAGIFLGIILAYGEPNHPEKDHVYKKIEKAHVRNIHDSGKSVGSVAVPRIPSGDKRVDKHYNIKKKRRKRSHGVGSSSEPGSSESGGSDADTTPMLHAFHHLSSACNSPHSSQDGDSSDSSTEVGAENQECNAGNLQEGEDVKVVAWTADDQKNILKIGSLEIERNQRLESLIARRRARKYSDRNLVKVGSSDSLPIIEELSKFNVQIPAVFAPRINPFDHPYNEDNFPDSAPSALLQTRNPFDLPHEQEDESSSAGGVDSSHEEPVSVASQLQRSALFRRHESFTKGAPFLSEFLQDARLSRLRPYFITEKMANEETTSSSIDEETSGKGSSKASSVQDSDSTSVTDQENQKDVLEDCSNQGQRSPFIQAEEQAHIAQHVREVSFSLDMEPPVLISDSSDDDISLSGEHINDWVEAQHSQNLNFSHNRFLEDPSVMQHHQEIQMTSNGFHQMSPNSNDLDLTSSSTETTDDTFEVNDTELPANEMVVTDDAHIPDPIYDSSPSGSEKPASIGLVIDAAILQDGHADAAIGEEGSSSRTETSSTEVTKSRLHSVEQSEPAEKETKSGHATIEHDEAHEGAVSHAGPSVSDTISQPATESPTNGRAIIEGSCGDEPSSLGMVQGVPVDVLVASLELGRQSPSALSRPLPQHALATSVNPKAHGLERMLTMTPWKSPRE >Et_3B_027920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26520831:26521373:1 gene:Et_3B_027920 transcript:Et_3B_027920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQRRQRHGSPSGGNAPGPGAGSGGGASSGRHKGGGGGGKGGGKKPIKVVYISNPMRVKTSAAGFRALVQELTGRHADPSKYSPDDLVAAGGAGGLSPGDAVASSDTVVGRLNAAADHQPDATGSAPHGGYYDEDDDDDDNVFGSQLLENNYAVFSPPTLLYDHHPPS >Et_5B_043022.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:14331018:14331296:1 gene:Et_5B_043022 transcript:Et_5B_043022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSREMWCLLLRRAYLQCLTPTQMMASSHGRSLEGRGFPSRVGTLSTLWSSYVLGASGMSKMPASLRTPRSPKPCWSRRSQKRLRSEATHDC >Et_3B_029555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2655226:2658482:1 gene:Et_3B_029555 transcript:Et_3B_029555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDWVKDLQKQNEELCQKLLEEQDEHKKSQTDFLDQIREKDQEIFRLNLLLGEKTDKSNSTTTGTPNSTPEIILENPTPAPPAKKTPQSNSRAKRARVSEEAIVPHGSSPEEEAREVWIGTNESSSAHMFRMLLELLESLVCMKISLNKETEEFSVSVSHEASGYSFTLTWLEQHNEWSYKVSSLGNLENVAWDWMNQDIRFHMPMCLMLFQRISDVILKG >Et_1A_008908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18010462:18013397:1 gene:Et_1A_008908 transcript:Et_1A_008908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTMRRAIMNLTRTVPPPGSRSARRALCSDDSPQDVELEAAVCDVVSSEAGSLDEVGGALNRLGVAVSPALIRHVINSCGERGGSGRRLLRFLAWCRSKDATVLGYEELDRAIAVLARLGDLTAMRIAVVDAEKAGHRMDPETFTAVVEALVKAGREDEAVRLFRALEQQKLLPLRGTGVGGEGLWSSSLAMVQALCMKGHAREAQGVVWHHKSELSVEPMLSIVQRSLLHGWNGRFEVGRELWDDAKKCGLVLGCSEDLLDPLKTERVFVSTFVERKCVIKERFSKKYRHPLLDSKLTLKRLNAEARCMTKARRLGVPTPVLYAVDPLQHTLTFEYVDGLSVKDILLGFGSNGVNEERLNDIATQIGNAVGKLHDGGLVHGDLTTSNMIIKNSNNQLVLIDFGLSFTSTIPEDKAVDLYVLERALISMHSSCGDVMEKILAAYRKASKQWCATTNKLAQVRQRGRKRAMIG >Et_10A_001110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21171340:21174803:-1 gene:Et_10A_001110 transcript:Et_10A_001110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEEDTAPAVAAAAAAPDPTAGESLLASRPSLLFPSLSSGAGTSENEITVEEASFVHTEPPQDGTAPPVATSDMEVLHDKVKKQVIKEGHGKKPSKFATCFVHYRAWVQGSLHKFEDTWQEQHPIELVLGKEKKAMAGLGIGVGNMRSGERALLHVGWELGYGREGNFSFPNVPPMADLVYEVELIGFDDVNEGKARSDMTVEERIAAADRRKIEGNEYFKEKKLEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLKRFDEAIAQCGIVLSEDESNVKALFRRGKAKSELGQTESAREDFLKAKKYSPEDKEILRELRLLAEQDKALYQKQKELYKGLFGPRPEAKPKKANYVVLFWQWLVSLISYVVKLFKRKND >Et_10A_000856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18232880:18246953:-1 gene:Et_10A_000856 transcript:Et_10A_000856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIPPAHEARGPPSLADHLLEEILVRIRDPADLARATAACKAFHRLINDPTFRRRYRTLHPPLLLGFVQDYDFLPVEAAHPNAAAARTIAGAAVFYFHDYLPPAPSYGWQHCDARDGRFLVESTEPERGLVLPELAVCDPLTRECTLLPPIPDDLVISALVQVQQRNIDSFYPFFDPSGCYEEAQFRVMCCTRSEEMAAVFVYSSACGTWTHGTSVSCNALGLYWDIVGWPSYAYGCLYWEAGESNKLIKLDINNMEFTSVNLPGDHVGREVAVVEAGEGKIGLFSLILDHDLWRHENLQPLRYSVMQNERENGNELPVETIVPLPCEYNSYHIFGAAQGYPYLIGSKKHVLPGYTCYSESAIFSLDIKTLKVERVCLANGVAEFVIPYFGFLPFYTLLPPIPEDLLTSTLVQVQYQNIDFFDAFFDPPGGYQEAQYRVMCWTRSPEMAAVFVYSSVSDSWTHGTSVSWNTLGLNVQPDDMPCIGYWPSYAYGCFYWEAGVSNKLIKLDISTMEFTTVSLRADHEYRYIVVVEAGEGRIGMFSLIVDAENLQSLHYSIMQIESENDNETPVDTTIPLPCEYNMCFMHGSRRDLTRRSAFFSLEIRTLKIERLCLSNCTPDHLIPYFGFPPFIYHGEALEVGAASPRRPPSGGDPRPDPRPHRPRPRYFLRRYHSLHPPLLLGFVEECEFLPVEAPHPNAAAARTIADAAEFCFWDYLPPAPSFGWQHCDARDGRFLVESTEPERGFLLPDLAVCDPLTCDYTLLPPIPDDLVPSTLVQAKKGNALPFDAFFDPLGCYEEGQLRVICWTRSEEMAAVFVYSSACAGLMVLLYAYGCFYWEAGISNKLLKLDINSMEFSIFNLPGDHGGREIVVVEAGEGRIGLFSLILDHDLWLRENLQPLRYSTIMQNERDNAKVLPMETIVPLPREYNTYHIFGAAQGYPYLIGSKKHVLPELTYYSGSAVFSLDIKTLKVERVCLADGGYVVPYFGFPPFMSPRTM >Et_1B_011037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16928824:16932471:1 gene:Et_1B_011037 transcript:Et_1B_011037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVAALSQLQLQLLGVVSELRLLRVSQPHHFSNLHRPFDRPNPLIPTATLFPPSQERERAAREELRDAAQVRLPGPRFSRWWSQGWVGLFCLLTRVGWAQRWDEAEEERRREARELRAEVDARDDALQRLETRAILANMLRAIGMRFCVPTYCVSVQIKCLENENEDSTCSMQWTIQMKDKQIAVMSEKLNFHMVLFSSVEKEVAAVKQVLSDVQCLVSEKENVVADLKDKVQRISVLEKYFIEKINFLESKIISYQLELRSRGRIIYELKDRLEAEKLKNSFEPELEEISIYCYLSLTLQLWILPLMLQIEWLLFFTQTMHMELHNMETALRKFQDIFSSIGHEGMKNFSTVSESHDVEDVNEKQLERIFNMLSMLNGKSIAFQSSALPSPEPSAANADCALESKGDIDMGNLSPMQPTDSVNPDPKSENQPLSATRENLFDTTNLDLQSS >Et_3A_024695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23345951:23350040:1 gene:Et_3A_024695 transcript:Et_3A_024695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNLPYMPLSGQPRDAATAYTTGAARHFLGPARERIGSLAGERRRHSFAAVAGTSRAALQPTRFYSRWRRGPGRDGDGDVAGWERSGAAARLIRSLSAVAQKFKQIFEIELRLKVPIMSDCAAKRFSNKEEFQTLSSQSDLGKSSYLKHSLWMAHWTRASSSAEPRSGKSCGPLEEISDVGYSKDCGTSPFELKSRLAERLMVGVSNADASAGNTQQFSSKTWGVTHNVFQGIECKNIDQVDRSFNSTMMQENVNLYAADTVVSERFSVHKISDISVNSCHKVLSSENLNSQWNHFPMFEINRKIDSILNPRRSAFITSPDKPFVSQRALKVNMSTSNVMAFSSKEYEFHSHRVTDDNKSKCKSAGGFRSHEDNHIGLSSDHVGTKLKGHSIEESSSCSKNEFNSSGSLRDKQSEINFIVNSKGSPHCSENKFMLSASREENEDIQGSLREKRLGASGGKQPDYERVASHHQVLSSEYLMKSVNPPARSEMNAVETNHCGIISANILQCERENLTVNRVDSAMKLTQSCKLPDTTENTVAVKSNGATQAGRKPLNDKLKNGKKKAPCLFEMLTVPSESHATCFKDPISLGRTCGEMSSSLLEAQKQFSTKSDILNMRHTPGFAGTSTQKDFDGPNTEQTSTSSIRRVSSCTGGNEALNFNGPNHSSFSKATYASKQEWSSDKWLKRLRHDVSEADPYFLRSKRSRFGDDPARGGTCTVFGQELGRDIGKTGMISHVKEDQPGIAAMAMMGRVMNKLQPRELERRGPSVVWKTQGL >Et_5B_044377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23430589:23431117:-1 gene:Et_5B_044377 transcript:Et_5B_044377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVSRRPASKRSPFLHAPSAPICAAIVDSDHPLTLLHLDLPRRSLLAVAGLPEDALVEILSRLPVKSLHRCKCVARAWRDLIDDPLLRKVLPQTVDGLFVMDKEIRSGRDEGHLVFVDLHAAREPRFSFVRKPPENEVLILSDCCNGPLLFEIAICEEESLDDESLR >Et_4A_032181.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22650163:22650360:-1 gene:Et_4A_032181 transcript:Et_4A_032181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFASGVKGIALNLENENVVIVVSGSDIAIKEGDLVKRTGPIVDVPDENVLGRRSFVDCASFSP >Et_1A_005977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16264049:16273964:-1 gene:Et_1A_005977 transcript:Et_1A_005977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQVGGQGARPTFFEMSAAQQLPASLRAALTYSLGIFALRRPFLHKILDYEDEFFALLMSVLESHSLRTTDGSFSESLYGLRRRPVKVPVRKNIPVTESSDKVYDSALRKRQKILSVVFLVVVPYFKSKLQSIYNKEREARLQATLWGQDDVRFDEAGFLIDQEQTSQVQAEPSGGEVSNLTRFKKNFASLVGVCYPWIHATNEGFSFAYQLLYLLDATAFYSPALHVLGVHVCRATGQELAVQRVFLSCMYTTLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPAPKVAKDGIPLPPDRTLCPLCCQKRTNPSVLSVSGYVFCYSCIFKSVSQHKRCPVTLMPASVEQIRRLFHDM >Et_3A_026003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34506948:34507857:1 gene:Et_3A_026003 transcript:Et_3A_026003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCKLDLAVDMGHPFLNRTVDGFIKIGAVGACKVAAEETFECLHKGNESKHKVEQALKKMCKEGAYWGTVAGVYVGVEYGIEKIRGRRDWKNAMIGGAVTGALVSAVNNNQRNKVVKNAITGGAIATAAEFLSHLTT >Et_2A_017167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31637225:31653535:-1 gene:Et_2A_017167 transcript:Et_2A_017167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYLHYTIQYVCESCIMSFADPSSEARTNDPQDIYVPRDEAFSESKQLAFETKKKLAGLHALKGLYKNKDHKNASFPSLAAIEAIYEDGFMNMPPPKEGGSVKGFFFKVIKTELQNLLKGDFGAMKDEIDKILKFETPEIHDKDKLAWFRDEEFAREALAGMNPMSIQLVTELPIVSQLDENTYGPKESGITKELIEQQINGVMTADQAVENKKLFMLDYHDVFLPYVHKVRMQEDTTLYGSRTLFFLTEHGTLKPIAIELTRPKSPTGKLQWSHVFTPASDGSVTGSWLWQLAKTHVLAHDTGYHQLVSHWLRTHCCVEPYIIAAHRQLSQMHPIYRLLHPHFRYTMEMNALARGMLISADGIIESNFWPRRYCMELSSVVYDKVWRFDMEALPKDLIRRGMAIEGEDGKLELTIEDYPYANDGLLVWDAIKEWASDYVKHYYSCPEDIIDDVELQCWWEEVRTKGHEDKKDEPWWPELDSHESLVQVLTTIMWITSGHHAAVNFGQYPYAGYFPNRPTIARQRIPVEMGRQAMKKFVEDPEKVFLDTLPSQFQSLMVLVILDILSSHSPDEEYMGTHVEPAWTAEENIRSAFDKFQGRMRDILCLIDEMNDDPKRKNRHGAGVVPYTLLRPCDGDPSDEKSVMEMGIPSTHTQSHGPSSLTSTVPSGRWVSPVPRRRQSRRTASKISCRSSSAAEGNGEAGVATIGRPEPARFKEQETTVQMKALVMVHMKSEPSLISKILELATSSWLSIELVSTELNPETGLEWGTASRKATYRGGLNNQYTFEATFNVPASFGTVGAVRLDNDYKQEMFIKEIMVFLDGDESRAVKFYCESWVVDNEQCGNDDRRTFFPLKSYLPSQTPKGVQWLRKTELEAIRGNGCGERKPIERIYDYDVYNDLGDPDKDPKTKRPVLGGKEHPYPRRCRTGRLRSTTDPASESRTKDPQDIYVPRDEAFSERKQLAFDTKKTLAGLHALIGGKKNEHHKELSFPSLAAIDSIYEDGFMNMPAPKEGGSVMDFAFKVIRTELVNLLRGDLAAMKDEIDKIFKFETPQIHNKDKFAWFRDEEFARETLAGMNPMSIQLVTELPIVSQLDDEIEYGPKESLLNEELINEQLNGVMTAKEAVQNKKLFMLDYHDALMPYVNKVRSVEGTTLYGSRTLFFLTKDGTLKPIAIELSRPKTESGKLPWRDVFKPDGSVTGSWLWQLAKTHVLAHDTGYHQLVNHWLRTHCCVEPYIIAANRQLSQMHPIYRLLHPHFRYTMEINALARGMLINADGIIESNFAPREYCIELSSAVYKDFWRFDMEALPNDLIRRGMAVQGKDGKLELTIEDYPYANDGLLIWDAIKEWVSDYVNHYYSSAEDIINDEELQGWWDEVRTKGHEDKKDEPWWPKLDSHKSLVQVLTTIMWITSGHHAAVNFGQYPYAGYIPNRPTIARQNIPKEMGRQAMKIFMEDPEKVFLDTLPSQFQSFVVLVILDLLSSHSPDEEYMGTHVEPAWTAEGNIRLAFQKFQGRLRDILEQVDDWNEDPKRKNRHGAGVVPYTLLRPCDGDPFDEKSEMEMGIPNSISI >Et_3A_023178.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:5987930:5988718:1 gene:Et_3A_023178 transcript:Et_3A_023178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPALHIFAFVLQLSVAAPLEPPPPPAPHHHHHQQQEACSPKTCGDLNISYPFWLEEPGRPPCGPSSFELKCNRSDAFLKSSIFESYRVVSIFMENNTIHVVDENLHLAIGCPPPCFNISLIGEMAAFAISKTNGGILFLSRCEEPVHEVPPGFQRMPCDNSSFVRLGGEGEFSVDEAVPPGCLLSVVPTRQAVDGNGSDFIAGMKNGFILEWTTTVFSGDCSKCMASGGKCIQRDNGLGFSCSCPDGIQYPLTCGEYMLV >Et_6A_048081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5512208:5515231:1 gene:Et_6A_048081 transcript:Et_6A_048081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPVPFLKDEDLEKGLDDLADGAGFLAAGLCCPNDLLDDVMDCFVAPSVDDGFLEALGIGSGGGGGRSPPRLVADGRPAGDGDGGGTKDLLDVVSAPMLAAANATPGGGADLPSSRGVPHDFKDMDFDVDMFFADDAGCGVGVSNVSCLAPVTVAFPVASPANPPGAVDGGVHDRALTCPARGLDVSGALSGVVPGCTPPLPVPGTDDFCGALDGVIVPYCAPAYVCGAQYGFSTDSAPSTLLLRGPADRFVLDSTTPQYALASKPSSSGSGCVSSMTWETESPARISPPRAWVVPRKKKRHQPITRRNHFWLPKSHPYSLLASAPPRATNPPSLKSGGTTGFVRRLQRPDAAAPAPPRQRNRQRQRACSHCSNTETPQWRAGPRGPGTLCNACGIRFKNGKLFEEYRPSTSPSFQSDKHSNRHRKVVKLRERKALLSRMPDDDKKNVLALPPPLPSTGGEFMHIYWPPKPRV >Et_6A_047069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24897442:24905184:1 gene:Et_6A_047069 transcript:Et_6A_047069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRFCKPKQNCGSRAGAFRALGKHCRTEPAPSGLGALQYFWVAHEVKRDSVLLGFGSLDGRPASLRRRRVRHEPPRAGQRWRTARRRSRALGRDARPAETKAEEASPRPATAKAEEASPRPATANAEEASPWPESSGLGDRRGRQVQGHNGDAGSGSGAPPPQSVQPNVGGGGGRGRATEAAVGVGDGGRGEFSDGEGRATEAAVGDGDGGLARREHSGDADSGHRERGSGAPPNEGGQGGLETNVGGGRTVATEAPVSDGDGGGGRSTTVKGEAARGGGQGRNRGGGGSDEPRGGLDADADAGGGNEGRDGGGGPARRQGGRNGGVEQKGPHLGGPCVQPMQHGGHHGAGGPDASSPRDGGGLGGLKLGGLGEHNYNSDHENLSNGGLGGQQVQNGADIGGGLGGAAAASRQSATRPVEQKGKKLRAKRRRKLKAERRKRRRNKVKAALTGTPSTLLPPRNFLTESLLSWTIKDVLNDKLYEKEVKKVPSQYNSTTQFIDVHHTLLVEETRASLCSAVAKKCDTCAEHCKDGMQPGSRKSLSILNCLHQNRAEIVWAPPGTLNGFVAAMLKDFLHLAPKVLICVPSVLSLADVMVDLEELSVSDVLVLNNIKGLGNCSKYEKFCLEQQSQELYCCLTQCEGSLKGMYTLLNLQAYYHTQCSDAASSCARCSKSNLLKFSVKSVTRRFDAIIGFLEESLICEEAGYGVSIFKKLQPLVSRKEMLRQQYVLHPLLIQFPNEYFYKRKIVNGSNVMSTEYNTEFKSLKLAPYAFFDIPATKMNQIRKSYVYSAAILGLLQQFCAGMLNTTRKFNIAVVCMTTAESNTVIGHLRSEEELHEKIRLQVTSVGKLKEELFDVIILSMLLEDKTGLKCIKENILNVALTSSRHCFWMVGEQKVLNDSGGIWKSLIRDAKKRGCLENVGRDKLAEVAKKFENNDNGHCTNPHSVFSGKIIWLPGQEFTWDGRPCRTKYTLADVRDQSGQPDTCALQASLGAVVSMMKFWTAGLEPPQEFKWDFILDNLKIQYEKIVSKEFRSEDKADRGKKRLETSLDILKKYGVLAINESRTKWRVFKILGWEYVDPANTEAVKKILEQGSIMVGHFRMSRNYYNLKPGQVYVYDKDQALLNPKSDQPASHAVMMIGIGRNGNFRHMGMQNSEGMIFGINGLGRVAKQSVEGLYRIKVREMKGTAGSGA >Et_3B_028172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11189878:11192713:1 gene:Et_3B_028172 transcript:Et_3B_028172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQISRGTLALLVLLAAAATVFADGDDVVALTEPTFEKEVGQDRGALVEFYAPWCGHCKKLAPEYERLGAAFKKAKSVLIAKVDCDEHKSVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRTAEALAEFVNTEGGTNVKLATIPSSVVVLTPETFDSVVLDESKDVLVEFYAPWCGHCKSLAPIYEKVASVFKLDEGVVIANLDADKYRDLAEKYGVTGFPTLKFFPKGNKAGEEYDGGRDLGDFVKFINEKSGTSRDTKGQLTSEAGRVASLDALAKEFLGAASDNQKDVLSKMEEEVTKLSGSAARHGKVYVTIAKKILEKGSDYTKKETERLHRMLEKSISPSKADEFTIKKNVLATFSS >Et_7B_054908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5423104:5423415:-1 gene:Et_7B_054908 transcript:Et_7B_054908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENTVTAMDVVYALKRQGRTLYGFGG >Et_2A_015176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34363497:34364261:1 gene:Et_2A_015176 transcript:Et_2A_015176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGFGQPRELPDSHKNTPAMIPMRDGTVIRIDTLLFNGIYNVETLRRFADDGSWHATPLPKPPRVWISVERKGTFSLDTADGGGAAWRQEGTWQLPFEGRALYVPELDSVFGFNDQCLCACDIKETGEPPVVRYVWEDTFPRPSEPRPKDLPSFAYLGKGRFCICRPMGTMEPRILGPQITYNSSSFLVVEVKRLLGGELQLAKRGKINYMWLPQGRECRYIGLIQPAI >Et_1B_010932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15590894:15593291:-1 gene:Et_1B_010932 transcript:Et_1B_010932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKCGGHNRSLRDTKKSCRSLTSTSPHAAPAASVVSISSPEQDSIALMEDDSMEIQLLLTGPEDESRLDECLKGVSDHRSHPLIFSDLMVLSYLSGKYIDQEELEREGNHGGQIQSFICFINRLRSRGGIHRSRKNNDHWTRDQMTELVKGVTNLGVGRWSAVKGATRLRTSIRTAVHVKDKWRNLVKAFRAIVISKRKVQLQEATELIVQEFKYHILEMEASNNHAKKKKRISSIRNRSRRIN >Et_5A_040226.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24676424:24677341:1 gene:Et_5A_040226 transcript:Et_5A_040226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPPPPPPRGNQPCLACRHARQGCGPSCLLAPYFPPGSDSARFEAVRKVYGIKNFTAMLRNVSPEQRGDAAATLIYEAQCRSLDPVRGITGNISALEEELRQVQQTGAAANLLAEHYRMEAESTAHFFAELRLQQQLPAAGDSMAGIANFGTPMDVDQQWADAVIGPFLEQPAAADVPAFLMQQPPPQAPTAAADVPGSSTGVTAAFPEPAATAPAFLMQQPPPPAPTAAADVKDTGLPDLNSSAGTELFPPLRAFSSVLRFRLSSVFDCLVAFLIAETTNRCMKIICNQPDYLKISILSRSI >Et_10B_003779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5861493:5869904:-1 gene:Et_10B_003779 transcript:Et_10B_003779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVVVVRPNNGVVGDDDVAARRAEEAVLKALIYIKQNEINYGLFDAATRRHDAASWLRRIVGVVCARDIPEEPSEEEFQLGLRNGIVLCNALNKVQPSAIPKVAPHLSHSMFYFIWLACYYGMLIHFVVGAPSDTTVPADGSALCAYQYFENLRNFLVVVKYLGLPTFEVSDLEKWSSIHSKTCHSPEMDMNQMLRVQNLNSVLFSLRTINGGKSVRVVDCVLALKSFSESNKTGRHALTSRNYFILNNSDAFMNKSMRNHSGAIQNVFSEVQNIATDCSLESDEETTSEPLSRLVRAMLLDKKPEEIPLIVESLISKVIQEYEHRIANQNMMGEEKQNVLNTNEGVNFVAQNGGNATQRFQPEAKTKLDLHHKQIQDLRGAVSSVKSGMEQLRLQHSEEFSKLGKQLCSLSSAASGYHKVLEENRKLYNQIQDLKGNIRVYCRVRPFLPGQISSSSTVAGMEERTITIYTPTKYAKDGTKSFTFNKIFGPTATQDEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPKVLTEESLGVNYRALNDLFKLQAQRKGTINYDISVQMIEIYNEQKGLAVPDASIIPVTSTADVVELMNQGQKNRAVGSTAINDRSSRSHSCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEVVGDRLKEAQYINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFIHISPEPDSVGETISTLKFAERVASVELGAAKANKEGSEVRELKEQIASLKAALAKKEGESENILSTQSSPSIYRIRQGNATPAFPKNRQPMEEVGNLEVRSILTTTQKRSKLRLSGILTENNSSDSAENCNGLPKEMELGSWVNKMAVCENHFENSNSFLELEPDIPQLPASFYQRYSPVQKICRAESVPSEGSYDFNHAATTCSDQVVVSAIGLKATGVTNRGASLIKKPEVTPTRSTNPPCKSPLSQKKLQTPIGNRNQLGLSSTGLRRTPNVKVNTAKRHTSSPSIGG >Et_3B_027925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26953141:26954331:-1 gene:Et_3B_027925 transcript:Et_3B_027925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EAVAPRQRRSERGGTSTFSSSRGVRHAPGIDGGGVHPGERGWGVGVRDPGNGHVCLRRGVRVGVPAMRRRRGLQEAPRRDAGNISSLYQDVELDAFISSNDTLLHTNQLTFFDRAAIVTPGDIAISGQPDPWRLSTVHRVEELKSLVRLLPIWSAGIMLAAAGSHNYTFAVMQARTMDRHARRATSLHSGITYFQRMGVGLAIAIVSIATTALVETKRHGTVAEHGLLDKPAAVVPLSVFWMVPQFAVRGVADAFSTVGNMKFLYDQGPKSMRSSVVTLFWLASSLGNYTGTVLVTAVQRATRGRGDWLQDNINRGRLDNY >Et_5A_041188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19181808:19183550:-1 gene:Et_5A_041188 transcript:Et_5A_041188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVADLGVRRTTELCRFSGAKIYPGKGIRFIRADSQVFLFSNSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRSTKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEMAKSQKAGGKGGNAPKPGKGPKLGGGGGKR >Et_4A_032845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14646963:14650126:1 gene:Et_4A_032845 transcript:Et_4A_032845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMAAVSTPLAVRFAPSGLLSHRRNGAKAHLGLRGMQLVTHGVSSRLDERLHCHSSLRQHTIVASENENPPLMPAIMTPAGALDLATVLLGNRVIFIGQYINSQVAQRVISQLVTLAAVDEESDILIYLNCPGGSLYSILAIYDCMSWIKPKVGTVGFGVVASQAAIILAGGEKGMRYAMPNTRVMIHQPQGGSEGNVEEVRRQVGETIYARDKVDKMFATFTGQPLDMVQQWTERDRFMSSSEAMDFGLVDALLETRY >Et_7B_055406.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:148013:151075:-1 gene:Et_7B_055406 transcript:Et_7B_055406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVEVSDASELAPKDGAGSCNPLVEVEFDGQRQRTAVKPGDRSPYWNETLVFEVRGLLASLPVEVSVLHDKRLQDPNAVRAHTFLGRVRINGDSVAASASEAVLQRYPLERRGLFSRVSGDIALRLYLIQQDGGDGGGGGGGSSAAAAAANPQQQQQQDPGSLVRSSAFAAAGGPQPGDLNHHVHEQPPRVFRSVASGGGGGGGGGGGEQHQQQQQTRRTVHAVGAPPPPPPGQMPKSPPAPSGGPPPAGSGFGLVETKPPLPAKMGPRAAAAAAAKIASTYDMVEPMQYLYVSVIKARDLPTMDVTGALDPYVEVRLGNFKGVTKHLEKNPNPVWRQTFAFSREHLQSNLLEIIVKDKDVLKDDFVGRVAFDTSDIPSRVPPDSPLAPQWYRLAERSGEKLRHGEIMLAVWFGTQADEAFTEAWHSDAHSLPPEGLGSTRSKVYYSPKLIYLKVVAIAAQDLQAAHHPATAIAKIQLGSQTRRTRPQGSPNPVWNEEFMFVAGEPFEEPLVVTVEERVAPGRDEPVGRVIIPVAAPYVPRNDLAKSIEPRWYNLSRGMTTDEAAAGVTKERRTAFTSKIHLRLSLETAYHVLDEPTHHSSDLNPAAKKLRKGPIGILELGVLGARNLGGAGSRNPYCVAKYGNKWVRTRTVLNAAAPQWNEQYTWEVFDPCTVVTVAVLDNQTLLPSGGKGDQRIGKVRVRLSTLETDRVYTHLYPLMALTPGGLKKTGELHLAVRFTCTAWANMLAMYGRPLLPKKHYSDPISVLQLDYLRFQAMQMVAQRLSRAEPPLRREVVEYVLDADSHMFSLRRSKANFNRITSLFSGAVAVAKWMDGICKWKNPLTTVLVHVLFLILVCYPELILPTVFLYMFMIGLWNYRRRPRRPPHMDTLLSYAELAHPDELDEEFDTFPTSKPSDVVRMRYDRLRSVAGRVQTVVGDLATQGERAQALLSWRDPRATAIFIMLSLLVAVLLYVTPFQVVAVVLGLYLLRHPRFRSKQPSVPFNFYKRLPAKSDMLL >Et_1B_011893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2728492:2729916:1 gene:Et_1B_011893 transcript:Et_1B_011893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVTAAISSHGRVFNTCITSSKPIATWSRRTFATPGHHVQSPRARVVVRASTDRATWLPGLDPPAYLDGTLPGDFGFDPLGLGEEPDALKWYVQAELVHCRFAMAGVAGILLTDLLRVSGISDLPVWFEAGAAKYFTNATTLFIVQLLLMGFAETKRYMDFINPGSQAEEGTFIGLEAALAGSQPGYPGGPLFNPLGLAKDIENAHEAKLKEIKNGRLAMVAMLGFIVQASVTHVGPVDNLLTHLSDPFHKNIIHTLSP >Et_4B_036949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12970540:12977356:1 gene:Et_4B_036949 transcript:Et_4B_036949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQALTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKETIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISSNNIEIGIIRSDREFKYDTYQLDPMVLSPAEIKDFLEEVE >Et_3B_029180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22720785:22724158:-1 gene:Et_3B_029180 transcript:Et_3B_029180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTAAPAAPPSRFGRICVFCGSNPGNRAVYGDAALDLGKELVARGIDLVYGGGSVGLMGLIARTVHDGGCRVLGVIPRALMLLEISGASVGEVKVVSDMHERKAEMARQCEAFIALPGGYGTMEELLEMITWSQLGIHDKPVGLLNVDGYYDPLLALFDKGAVEGFIKQDCRDIIVSAPTAHELLTKMEHYTRSHKEVAPRTSWEMSELGFSGIIKLRSAILAQVLALFPKYKVSSDEMFATRFSNSEMEHWKE >Et_5A_041196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19237886:19241139:-1 gene:Et_5A_041196 transcript:Et_5A_041196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHDLTPRLAAQLDRHLVFPLLEFVQDRRLYPEAEVLEAAAAPLVSFLRNPQLNFSSPLNQLQNRVWLMHWSLFIFFNHENGRNGIIDLFFQDRYLNAIQTSAHHLLRYLATAVVVNKRRRNMLRELIKVIQQEHHCYKDPITEFLECLYVNYDFDGAQKKLMDCEQLNMRYDEAELWIMNLVRSSKLDAKIDSMSGTLIMSRDHIDVHEQIVEGLKNLNSRTYLIAKSIVEPAQAAQEATRGQ >Et_1B_014338.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6277507:6278712:-1 gene:Et_1B_014338 transcript:Et_1B_014338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPSQPMEATDDDSDNMAKVTEEEGTQDRGELWPQLLPELLRLIYRNLPDTGDFVRFRAVCTAWRDAAPASDPPAQLPWIIQLGHRTQGVLARPRLRFHSHTSGRTYHVGVGGRRSMLLAHGAFQGYAVAALDLSATILYNPFTGERRALPPSPPYLKWSKYKVPGVFSVVSDGAAGCVVVNTCTRTRHFAYCRPGVDARWSVFNRRQNLRANAYHGGRFYANTATRATLAIDPATGDVEAEVQRPLGEKFRSARGDYLVGGSSSTRGTKKKLLRAVKQPRCATQAPEETDLCFNVYQLDVPRAAAGGIKAAAWTKVDTIGDAVLFIDDHGHGFALEPNDAAGLRRDCVYFMHVSWTWGCEYRFLCRYSMEDGRVDKAVPLGETFGETWVLPSLCHSDDQ >Et_8A_057107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21156210:21156585:-1 gene:Et_8A_057107 transcript:Et_8A_057107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGVIIRNELGQVILSAWRAVFDADSVEEVEARACLEGVQLASECSTLNLPDVSFQAVKRERNLVAHELSQLAKRNVHTAVWREQDCNFSSV >Et_4B_037899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24538771:24539648:-1 gene:Et_4B_037899 transcript:Et_4B_037899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCSGSTTQQTQGRKVRVLLVEDEAIHRAVARAVLKAACGVELDEAENGAEAVRRVREHGAGAGAYDLILTDRQMPVMDGHEATRQIRALGVATPIVGLSSDCLAADVDAFHQGRRRRLRAQAAVQGEAGPHPRQVWARVAFVVGSVGMHAARNRRCNVGFANCKTSSIISFSSASSYLAKLDM >Et_6B_050133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:725713:731404:1 gene:Et_6B_050133 transcript:Et_6B_050133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIRRGNFTPHEEGIIVHLQSLLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKHQAIGAIFAPPLSSDLSTTVVPAITGAGHVDHHHNMMTNHLSKDNYTSSACSNNPADVTQLIAGRSPFAAPGSLDADSSSSSSYASSMDNISKLLNGFMKSSSPQKDIKPSATEVNPLLSFENMSGNALPDFADVLPPQPVLMEQPRHQESRQQQQAQPPLSSIEKWLLDEAAEQVVDLMDLSDGCCSKARGGTVWGVD >Et_6A_047832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19414343:19417253:1 gene:Et_6A_047832 transcript:Et_6A_047832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTSAKFLVLVTCNFILVVSALKQPKLADDNVAKSCIPRERDVLLTFKQGITNDTSNMLASWRRGKEWLPPFRLKEARFAFCQMGPLFPSWLKFLEGIEVLDISSTGITGHVPESICDLQDLLVLDLSNNTFYGELPQCFRMPNITFLLLSNNNFSGKFPLPLQSISNLAFLDLSWNKISGSLPVWIGDLVYLRFLQLSHNMFNGDIPPTITSLKVLQHLSLAGNSLSGAIPASLSSLRAMTQKVPVETMDEILWHIRQVRPFKDVLSVVMKRQELKYGEAISEIVGIDFSQNHLTGGIPDQISSLTGLLNLNLSWNYLTGQIPEKIGDMKSVKSLDLSRNQLYGEIPPSLSDLTYLSYMDLSYNNLTGPIPSGRQLDTLYNGNPSMYDGNSGLCGPPLLRNCSGGISTDSDNQSTSETDPDAQFFYFGLGSGLTVGAWVVFCVLLFNKTWRISYYRLFDKAYDRVYVFVVVAWGRLAEQTAAE >Et_3B_028870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:269919:272747:1 gene:Et_3B_028870 transcript:Et_3B_028870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWAGRMRTAFGATFLWLVCFIYFIQGFRSFVWTAVSYQMKDVMKLSPSASQFSVSLAYFPWSIKPLYGILSDCVPIKQRKRTPYLIISSCLSLFPWLILGLSETLRSSSSFLTALLMVQNLGSAMADVVIDAMVAEAVRLSGPEFAGDLQSLSWSSMAIGGIFGSLLGGYALSNLSIHVIYVVFSALPFLQLVSCIFVEDSPKGVQSVADEHKYLDDQNVVSVFSEKGSTEPFRFEGTRRRKVSRKNNKRRTLSRGTEGKEKRNRSTESSSSLSLRSAFFSLCAAFKQPAILRPMAWFFFSNVTVPNISTVMFYYQTEVLLLEASFLGTARVIGWLSLMLGTYIYNRYFKHKKLRNILVFAHVGLATISLLDIILVSRLHVQYGIADKYMVLWGSALADAINQFKMMPFLILSGQLCPPGIEGTLFALFMSINNLGSTLGSFLGAALASALNISTGQFDNLAVGLTVQTVGTLLPVGFLFLIPKEVTGLTV >Et_3B_027470.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20193159:20193898:-1 gene:Et_3B_027470 transcript:Et_3B_027470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNATSFLCSLARRLMPRRRRMRITCSAFMARRPFFPCGAGHRDVVVNDSHFVKPKALRKVKPRRIGDRKKRARDDGKGGDLLDGDEDEPCVWRRTILLGRKCQPLEFTGAIHYDCEGQRLWQPRTPPRTPSLMSPVRSSELGIGYMDRA >Et_4B_039620.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24031496:24032401:-1 gene:Et_4B_039620 transcript:Et_4B_039620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLASTLEKAAGYEEGRNLFGAPYDFRYGLAGPGHPSRVGSAYLQRLRLLVESACAANGGRPAILVAHSLGGLFALHLLARSTPAWRDAHVARLVTLSAPWGGSVQVMLTFASGNTLGVPLVDASLVRDEQRSAESNLWLLPAPRVFGNVTLVVSRKNNRAYSAKNVTRFLRDIGFEQGVEPYRARIRPLVETLPEPGVPVTCLVGTGVDTVESLVFGDAGFDEGPETVVYGDGDGTVNLASLVGPIKAWADSPAQVVEVVELPRVSHSGILNDKSALEQIIRVVDSINLNATSTSHQPS >Et_2B_019488.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:7361831:7361995:1 gene:Et_2B_019488 transcript:Et_2B_019488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLTMWTQQCKGAKQIHEEFQAPVTEGQKEIFPKNLGMDRHNLIISLFFYPFF >Et_1A_008474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:867790:871078:-1 gene:Et_1A_008474 transcript:Et_1A_008474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAAELYNPRLMAMLRELNAHLGGDVFVGVDTQRITSGFIEDPEAYGFANAKDACCGHGRFNGMGPCTVVSSLCADRDAYVFWDAFHPTERANRLIAQQFMTGSVDVVSPMNLSTVLAGLPTSHAARAFFVFGDSLVDNGNNNYLATDARADYSPHGIHTPDHRATGWFSNGKNLPDVISDHLGSEPVLPYLSPELDGDKMLVGRRRDPQRHRHPIRNKLLLN >Et_7B_053685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11084714:11088322:1 gene:Et_7B_053685 transcript:Et_7B_053685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIARVAAPLRLACSPRPAGGAAFPPPAFPGAVSARPRNGGIIGRGRAPHRRPNASPDPRAHHHHDPGLETGDAGSRSVNGVAGVARPHPHAHASPQRKRGATASVEDEAWELLRESVVRYCGSPVGTIAACDPDDPTPLNYDQVFIRDFVPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRVIPLDGDDDAIEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNNRLIALSFHIREYYWLEKRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPSWLVEWIPHKGGYFIGNLQPAHMDFRFFSLGNLWSLISSLATTQQSHAILDLIEAKWSDLVAEMPMKICYPALEDQEWKFITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPEIAERAVEVAEKRIATDKWPEYYDTKRARFIGKQSRLFQTWSIAGFLVAKLLLENPDKSRILWNNEDEEIVNALSLMADASSPKRKRSRKLLKTYIV >Et_10A_000025.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:1649312:1649618:1 gene:Et_10A_000025 transcript:Et_10A_000025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLLSLWELQRPSRVAPLHYNSFLHLCCWQVWKHRNEVVFQSQEPSLRRLLNACKEEASSGAVVYLRLTPMSLILGVDCSV >Et_3A_026884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2557860:2562177:-1 gene:Et_3A_026884 transcript:Et_3A_026884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSSVFSAPAAAEHGDDEEEEAQQEKHEGEQEAEAGEEQSGGGWIFGGLIQTLKEEMEEQRREQEAAEAAQREAPAAEGEGEADSGGGWIFGGLIKTLAEEIEAQRKEQDAAGSAEEGERGEEVEAEAAAAADGEELKEGEGEGEGSGGGWSFGGLIKTLAEEIESQRKENESAAAEEEGELEPEAETAVADEEDGEGSSNGWSFGGLVKTFASRSESVIGGYRRDLEDLGSGLRVETAALRAAAARAAAVLPGALEAGASAASERLESVGQAVDDLGAAAAVLLSQANVALQSAEDDGEDGDGSSRPSDAAASGVSWRASLSNKKYTRFEAQVLALRADPTTFTEEPEDAEGFARWRGSFSVDEMKNEIETVLQESPGLESFVERLDLAKDKDAALGAGKDGKVESSGEASTPKSSDGSGLEEKAEGGDSIKESDFSVVSLPSAQEEEISWEEIEDIADPDEKKGASPRSSTASKVEDLRKRLNSVEDDEDLKGIQVLHLLGRGNHVKKLVYFTVSGASNLDSVIYPLL >Et_9B_063674.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18084005:18084823:1 gene:Et_9B_063674 transcript:Et_9B_063674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAARSPPAIDRREQRLVTCVSVALAAVATLCLIHLLRNASASCFPASRRLALTLSLSPFPRTSCDAASRRVVPPDRRLAKLRATQRWRRRTAALSASALPPLRRLGLLAAHSRVLCLAAGAGHAVDAFRSAGVEEVTGVDLVEFPPLVRRGDPHHLPFSDGAFDLVFSDDPSAISGALFPTRLAAEAERAVRRGGGIALAVGPEIEPAAVAALFKRTRVVGVKDVTLDGSQVRMLILQSNCTIANLH >Et_2A_015565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15072278:15075329:1 gene:Et_2A_015565 transcript:Et_2A_015565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIETAMPALSGHGRTVCVTGAGGFIASWLVKRLLEEGYTVRGTVRNPVDPKNNHLRALDGAADRLILYRADLLDPESLAAAFSGCEGVFHAASPVTDDPEKMIEPAIRGTRYVINAAADAGVKRVVFTSSIGTVYMNPYRDPNKPVDDTCWSDLDYCKKTENWYCYAKTVAEQGAWDVARKRGVDLIVVNPVLVLGPLLQPTVNASTDHVMKYLTGSAKTYVNAAQAYVHVKDVAEAHLRVYETPDAHGRYICAESTLHRGELCRILAKLFPEYPIPTKCKDEVNPPVKGYKFSNQRLKDLGMEFVPVLQCLYETVKSLQEKGMLPVLPANDQDQLMTL >Et_8B_059112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14020755:14024756:1 gene:Et_8B_059112 transcript:Et_8B_059112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCCHLVMSSTADWLLARRTENTGTVSSARTMQLLRQHGTEALAAAAAAERPEGPSPWWSGSAALKFTIMFVLATILPDLVRPCFCPPTI >Et_6B_049801.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:12698897:12700051:1 gene:Et_6B_049801 transcript:Et_6B_049801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASGAGAGGRRISIRSISCRGVKAFVPFQKPPLYAAVSLGGRCREKTPPDADGGENPDWEGAAVAFDLDGDGTQLVQFEVKAQVPLLGNKLVGTASVPVADLAAAAGGGGGGDGGVAPLRHVSYQVSAPDGKPNGTLKFAYVISSGATAAGARPQQSSPAPEQGQSFCCAPPPPPVTAYPPATASFAPHGGGYPPQAQPSPPSAPASGSPYPPLHDLLPPSSSTYPPPPSTLPHHTTATNPLFPEPGTGSYPPPPSPAYPPPPESCSPYPAHYGASYPPQPPPPPSSYPPPPPIGYPPPASSLAPPTSAYPPPESGSVYPGLPRSAPSPSDRELQYYPAPSSTYPPPPGSSYYPPPATQHRDLDGAGRTPPYYYPPPGSPYP >Et_7A_052446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8145829:8149920:1 gene:Et_7A_052446 transcript:Et_7A_052446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGMSDSAGSRAGAELMVEQFHLKVLHSVLAVRAPRPLATAAAGAGSSSFRRRDKLFLLPLHDPPPPPEAAERLDALAPGEPLVVDIHLAPAGAGGGGAVVERWTVACEPWPDAEGEELAVNRAYRHCFTLLRSVYAVLRLLPAYRVFRLLSANQSYNYEMVHRVSSFAVPFTRDEETAMRSHRFVPVETQLGRLVVSVQYLPCLDAFNLEITSLSPSMLITDYVGSPAAEPMRFFPASLTEAADSAFPPSYQQQRPHSWAPPAFWPHTAAAPQAKFSPPVIYASLTPSPPNFHGGHQQRLMRGESAPVCIPQVAERRSPVHRQNTLDPSRGFMLPPPSPRRVGDLGAAGAQESPSESGRLIGRIEGLRMGDPYASSSPRHKPHIVWADMQGKDSKEESGRFSALSSCDSPRQDDLDDADYPFAVDDVDTPVSQPGSNDGKEVGDQAGSSSHKSQDAQVGSLVNLLRTARPLRDPGYSSQMSRAESSEVASTSSVMSRRTSDALEELQSFREIRERLLSRSSAKHQEPPEKP >Et_10A_001135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21479182:21479808:-1 gene:Et_10A_001135 transcript:Et_10A_001135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAHCGSRSCRSRRRAPSRSSTSLISSPRASSAAAAAAAGLLRRAADASASRQPYAAAYASAKRFLNETPLWLASCSVTTRIMGDACARAADRKLDSAGGVWNRGVDAGGETKLSGVAGLRYASSSSSWYELNDDDAICWSRATLAACSLKRRAEEPKLLAASSSVARRRCSCCVQQIALASPDFSWSVPCRSLKSRVSTWPTT >Et_2A_018159.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:14993615:14994289:-1 gene:Et_2A_018159 transcript:Et_2A_018159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTAAYLLLDVTLSSIIEIIVLFAAVATYSGELRTFVALLGKAKAHLKGPLVTLAFVVVLEIAYSVLVGVLQGFLIFLIVFKHYSALKYIKSLVRVLNFIFRLYFSFLCSFSVVVAVAEPGCHGASAVLRAWRLMKYKRRRVMLFSVVTHALTTVVSPVRTLAKACAQSNMASGLLLGLLYIILMVVVEVLTTLAMTAFYYECKESTDASVTEYVKVSSQELV >Et_3A_025194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27809454:27812136:1 gene:Et_3A_025194 transcript:Et_3A_025194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDCHSNQSTLSISVTTAAITEVCQTSLPLSPRPQVADSPARRQPILTASIRYDSRDQQSCLQFAALPPSISSPHFPTMASAVAPASLSITRPAVRRALASTAAVSLRPPARFMSSAARGADPRLAIHVAARCRAASPWSRGTRAVATMAKKSVGDLTAADLEGKRVLLRADLNVPLDGSQNITDDTRIRAAIPTIKHLISNGAKVILCSHLGRPKGVTPKFSLAPLVPRLSELLGIQVQKADDVIGQEVEKLVSELPNGGVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTQGVTKFLKTSVAGFLLQKELDYLVGAVSNPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGFSVGSSLVEDDKLKLAASLLAKAKEKGVSIMLPTDVVIADNFAGGASTQVVPASAIPDGWMGLDIGPNSIAAFSSALETTKTVIWNGPMGVFEFDKFAVGTEAMAKKLAGLSSKGVTTIIGGGDSVAAVEKVGVADAMSHISTGGGASLELLEGKELPGVVALNEA >Et_5A_040434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21890751:21891842:1 gene:Et_5A_040434 transcript:Et_5A_040434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLEEVLLRVPPDDPATLARAALPRADRRGLRVHDARHGRVLLHQKYPYYHGAVSLIVWDPITGRRKELPRLPREATWCSWKATVLCAAAAAAAGDCDHLDCHRGPFAVVFVGTDNDEMFSYVFSGRSALVGNALFMLFQKKKKRILKYDLSTGQMSVMKVPPVRTTKVSKPYVPIELMTMEDGRLGFARVVRSRLFLWSRDDKDAGWELREVIELNDLLPLEVPLAAATPDLVGFAEGVGIIFLTVGFGTFALDVKSNRVTMVYEGSDVKCLVPYINFCTPGTTNLRHPHHHLFSNTAFLSVFFLS >Et_3B_030532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4718699:4728517:-1 gene:Et_3B_030532 transcript:Et_3B_030532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSSTPEDRVDWAGMLGELLTCVSQLLDVPTRICFRATCRSWREAADDVKDRPAAVTMPPPWVVVPGDIGCSKSFTLLSVPTRQSFRWTPPGGLGLRCVGFNGSWLAGAYVANDQTVRISLVNPLTGARVEAPPYGPIRLMPDPESQKAHVELELSTAVQKVAFSPNPTAQDLAVAVVGVSRLEASASPGGSRSAAGRFGVTFARAGGWCAFAALPEDPDRSSRLDVAYRDGKFYYMTLCGEVRVVDMAAPAPKSVPLARFPPATPYDRRGYHLGFSGDGALHVVSSSEGAARRYPRLDVLTQWHDPSGLSEGVLPPWTQARQLRGQAFLVGDFNQTLCVADGAWLRPDSVYFAGVPLCSDLAEWYRRYYDHEIGRRDGVGVWRFDLITGDFQRPDCIRKVRLRPPLEFWKPAWSRHKDELLEDETWNRLDWSKAIWFMPSMRNPDWAGMPGELLTCVSQLLDVPTRICFRAACRSWRESADDVVKQDRPAAAVTMPPPWVVIPDDIGCSKSFTLLSVPTRQSFRWTPPGGSAGLRCVGSNGGWLAGAYVAPDQTVRIALVNPLTGARVEAPPYGRVRCTPDDPEGQKDQVEHLLSAAVQKVAFSPNPTAQDFAVAVVGASRLQERATRGRFGVTFARAGGWCAFAALPDHELHRSSRLDVAYRDGKFYYMTLCGEVRVVDMAAPAPKSVPLARFPPATPYDRRGYHLGFSGDGALHVVSSSGDATHRSPRVHVLAQWQHDPSGGLPADGVPPWTRARQLRGQAFLVGDFNQTLLCAAPVGGGGAWLRPDSVYFAGVPLCSSGLAKHSYDSYRDPREVGRRDGFGVWRFDLITGDFQRPVRTRKKIRFRPLLGFWQPAWSSRHKDDLLEEETTWNPLKRALDWTTAIWLMPSMR >Et_1B_012057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28535632:28536638:-1 gene:Et_1B_012057 transcript:Et_1B_012057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVHLAGLVGGGSTLSLCDSRTRSSRIHLPLSPLHTAELSIPFQTCAASSINTDGRKAAAASENPAPAALDNDDLLRRIFSFLDVPDDLVLSTLVSKRWLTAALDPAFLRDFGVRCPPRLLGFLAVFGFDPLR >Et_7A_051113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14647998:14651109:1 gene:Et_7A_051113 transcript:Et_7A_051113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAHPAPHSGLRPPPPRRSPARGRVSLRSRAHVRARTLARARPPNAGAAETETASTSGGGASSVLSFLCPLLKLLGGGDPSKERNDVVEVATSSLSSLARLPWGSRVATNNGQNIDFATSGPTLQLYEFGLSVSKRIAKSQRSGDIVKYLFSQYGQGTSPSFGILESTIFTGWVPTLLRAGRGMTLWNKAGVVPAEKLELFSYENNSYARIVREALCELELPYVLQNVGEGSSKMDLLIKKSGSKQVPYLMDPNTGFQSSDYKKILSYLFQRYSTS >Et_5B_044798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:642620:643786:-1 gene:Et_5B_044798 transcript:Et_5B_044798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALCNGVVASPCGGNVGVAGRARGAAAALGESVPMGGYSTKSSFPGGRMAVTDKKARPLQRSPEAAPGQMNTLVCKAMRWWEKGVQPNMREIESAQDLADSLLNAGDMLVVVDFFSPGCGGCRALHPKICQLAEQNPDVQFLQVNYETHKSMCYSLHVHVLPFFRFYRGAQGRVSSFSCTNATIKKFKDALAKHGADRCSLGPARGLEEAELMALAENRDLEFTYEKPSPAPIAEAIAMEVAAPGSPWFDLPASATQLLTTQGSESSLLSSGR >Et_10B_002904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13275101:13291321:1 gene:Et_10B_002904 transcript:Et_10B_002904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQDFCGMDAPSVQFGAGSSGDLLEFVVLDPNSTREAKKPEKMPPSPSSRRSPARETYHKRTNSFGSVLPVKPKDDELMLFSDMQKVERENFLLEPSEDFDETIAKLSYFPEVKLGVNIPARGESHDLLNVDGDKNDYEWLLTPPETPLFRSLDDAEDQSIAQISRGRSQSKPIQFSRSSTMDNTQRSRRSSASPSRLSPSPRSVARTRPSSSSSRSSPPPALQPPMPSRRSSTPPATKTLTPPRRSPSPASRRMSTGSSGPTLNGTRGASPVKANRRSPSPKPHGWQSNVPGFPFDAPSNLRTSLPDRPVSRSRGGSPSSFSGLDMSSRGRRQSMSPTPSRRASSSHSIERDRMSSHSKASATSSGEDDLDSMQSIPISYSSSPAVKKSLAVMKTRTIAASKNLSKSFSPSSVPKRSFDSAVWLMDHRKTPQDRFRPLLSAVPATTFGTGNGTNVRKPMFSHNSSFKISSNASSDHDATFSPNMNGNQEQHDLCGEWEEDDRSRGHEDIFMFDNLDELDDGSIREKSTTFTGNTPMTVNYLESNKHDFDMEGSGTPNQSLCHAVNSSQVGYGRIATCTRCRKVFNTMTVDERDYCDECVSKVGHIFTDSTVQNMEVADKQDGKTANEHCVAFDPSMAPNCVGYRKEVSLDHQLVNSKAHSDCLQKGPPIDSMDDTAEEMLLGQEEKNKAEHIKPHVGDSTLGNSNDIVFPQSCADDCQQTESASVEHDHSSDHMDTHNHGLSQCNEPFPEILTSDTSHQLGSTAYTSPKLENTEGTGISVLLLQKSNSNKWPALEGKTLSATSMLYSEPYYARDGVNIMKRSFGRDSSSAASSSDLGSSRQSVLCVERLRSGKRGDFEKSQISSTISRQSIASMSDMSICSSSASLCPQNDTAGDTCFPMDTLESNASRTSVSTKERDGSCKDALSSAMECSYAAHAIFNDDSLVDVTTSNFVSEVDRDTTFENHNIDRMADNDHFSTNICLSDTEIPSDIQESSTLVESCVPKTEEEASAISQCYTSGAPEHPSDESNFDDIQMQSEAVQESSEKTGLDDCCMSAISEEDVSDSGAETNITKLPNDEEPPEAVEAPRKEIQRCFTLEEAADTILFCSSIVHDLAYKAATIALENEQESECIEPIRPTVVGKSIPKEDGLVKLPQRRTTNRKVKRKRLEGETNVSESAEKGSIAKDSSPVRSASGITRNSDNMKPPKLESKCNCIIICHRHHHQGDLRKKLFTRGDTVLGAFCLLNQRMMNSCCSLICKNMREMASYWNQQRILMNRSQDSAIFGIQSLVDTLLHEAKTVISLMLMATEMITIGMLLTPPETPLFRSLDDEEDHQIGLARRGRAQIKPVSISRSSTVSAYSWYYKLSVDRTYLSSKYEHSFSDFAQMETTRRSNRSSASPSRLSPSPRSCSSTTFTRTRSSNSSSRCSPPLALQPSSPSRRSSTPPASKTLTPPRRSPSPASRRMSTGSSGPMLNGKRGSSPVKSYQRSSSPKLQGWQSSDPGFSFEAPPNLRTSLSERPVSRSRGGSPSSFSGLDMGWRGRRQSMSPTPSRRASSSHSNDRDRFSSYSKASATSSAEDDLDSMQSVPISYSSSSALRKNFTVMKTRTITSSKKPSKSFSPSSAPKRSFDSAVWLMDHRKAPQNMFRPLLSSVPSTTFGAGRGSDVHQPVSLPNSSLTISSNTSSEHGATLGSCMDNDEETHDAISEYEAANSSITHEAPKCLQCSSSTGPESLSTVKSAESTKQDLDIGRSIGDQTSCNVAGNSEVEHSKIATCTRCGKLFNGMNVNREVDYCEECALMDEDCFADSKIQTLEEIHQQDHNITNPKPCTASEAPRTIPCCSEDIKDVCLDNQLVNGEPQDDCLQCCLPSQPTMSTTEEILLEKNVENISENVRTSDQFTVSDCQQTEPTSVIEYSILGDQSGSHHNELPQCLPESVCDTEFVSDTCMIDDSRKLESVGHRNHRTEKTTGIPLLLLQKSSSKKWPVVEGRTIAASNILCSEPYYARDNVIIPKCIDGRGSSSASSSMDQGSSRQSDVRYCLTDNVSMLKCTIGQGSSSAASSTDQGSSRKSDVQLERLKAPKSSTVSSQSIASMSDISASNCSVLLCPQSGAVTDTGFPTDNSESSTSRTRVCTEELDASCKYNLSSAIECWSEAQAIVNDDSEPFGDAALHNRCAGQMSQEDNLSAFLCSSDSEICGNTSLAFAAAESYIQNAEEGTSVTTPEHANDDSGINNYQMHYEAAMSSSEENKLDDCCVSSISKEDVLISATKSIKMDLPGDDESPVTVEGSREKTQRCFTLEEATDTILFCSSIVHDIAYRAATIGLEHEQQSELGIPHPTVTMVGKSIPKGDSSLKLPHRRIPRHRKKSDGGTTTETGNVEVVAKDPVPVRLVPELSRTSDNMKPPKLESKCNCAIM >Et_2A_014511.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18069259:18069981:-1 gene:Et_2A_014511 transcript:Et_2A_014511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRCPVRQRGAEVGGDDAGVEEVDEVWALGHAPGHLVLAHGRAAGDGVVRERLERAIPDHPDLQRPDGAVRHAFLPVHRAQGLLHLQTAHLQHVANDHGRALLVAGEKHLPVDDVVARDALGARPAGVLQHARRAAAGVPAERGPPRSAARPRRSCRSPRRAAHSYGARTGSSVRRCGSPSRGGRRRRGTGSARRRWSPPPRTRARPPRGRAPRAGSRRLRRRRRGRPRGGTRSRPCWA >Et_9B_065649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8709387:8715593:-1 gene:Et_9B_065649 transcript:Et_9B_065649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSILTTTVICLCVILEIVVSVIHSTPYAELVLWVMRRQRFPAGLVFLRMPSSCEHIYDGDDLPAHPVRCCKERKTEHLIHGQPESLISELYSNYATFVEVIRPNFEKSFNRTGFSFCKQLAYGILSGERVPSTLHLQLSPPSPWMNMSIIEYWVCCRSGVHSCAPRT >Et_3B_030222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31422855:31426241:-1 gene:Et_3B_030222 transcript:Et_3B_030222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVITARVQGGLDYLNSEEPGDASQATAIDVVERLLEEDDRDTSQKVRNDQISRTKSTPILGTKVAQCLAKRAECSSPFQKSGSFDWVDTPNNDECTASIISKKTQRIHAITQVKQLAALRYRGNGSSTTAGFIPEYTEDNAGENSCKHLEPVASTDELYEAYDVGPSTQMAAEAMEALSSASTVNFIVRENGHFDGSNLVRSLGKERNADMMPSVESPVENRISGSSSSFVKHPSKSESMKRRKIISGKVRRSMGSGIMQGTINHEASEGMMGSGAHNSNFLGSDDVIHPRRKRTYMFISGSSKIQFNKASRSTTVSTPDPYFNQLAVLKEQPRSLRKDRNSSLTRVPLRELNSTPQSSAQISEKPQKRGLLKSPGSRELASLFRNEVSPVLPTSRQRRNMSKIRVLLSQSMDKETIKLQTKILTYFGLPVATSISDATHFVAEKFARTRNMLEAIAMGIPIVTPSWLECCGEARCFIDEKKYIMRDIKKEKELGFSMPASLSLACKKPLLEGRRVLITPHAKPSKEVLKSLVTAAHGQPLERITASMMKNKNFEGTIVISCEQDHSICSPFIRNGFEVFDSELLLNGIITQNLEFESIKKSARLLIHECFVISPLQRGQALQEKDILLLKEPRASCSIHTAPENRTVQKNIVTVIDVD >Et_7B_053932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13494762:13497246:-1 gene:Et_7B_053932 transcript:Et_7B_053932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVPVRRPHTNTSDLLTWSATGPDAAADASPAASSRPNLKPAGGITPAMFGAPVSEQEAEDLSKRERKFCSGSKLKEMNGSGIFAEKGENGDSEASNPANKTSVRMYQQTMTGMSQISFSADGSLSPKKPSSIPEVAKQRELSGTLEDADAKINKQLSEAKTKELSGSDIFGPPPEIPARPLAARNMELQGNVDFSLPPPRSVHTSVKVSNPAGGPSNISFGDDPVVKTAKKIHNQKFQELTGNNIFKEDAPASAEKSLSSAKLKEMSGNDIFADGKAASRDYLGGVRKPPGGESSIALI >Et_6B_049015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16748445:16751304:1 gene:Et_6B_049015 transcript:Et_6B_049015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHVLPGTLFLLVGLWRTWSSVSRYAAEPSSFRVRAWNPVGGGSSSASPLRLLELYVIAGGAFADMCVEVLYSTHLRIFADGEVNPAHLNDLEHGGMLLMFFLFGALALLSQKTRYLPLSEGVLCLVAATAFTAEFVLFYFHSTTHMGLEGYYHYLLVVLVGLCIAATVLGALLPASFPVDLASGVLIALQGLWFYQTAFTLYGPMLPAGCARDADGHIECRNHAAQERSEQLANFQMFGLVFLAFVYVLGCYAVAAARHGHPDLKTTHDEHVTALESRGDRDAGVRGEELAI >Et_2B_019546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1022232:1027016:-1 gene:Et_2B_019546 transcript:Et_2B_019546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDDEDMRDASSSMDEGGFSEDEVEAAAAAGADQGVMTLEVTWFQVDLDYEFDAPRWFDLAQEEPPVEAAAAQQWFAVAPDYPPSPLIAMLLAEDLGLQSLRSTADNDAVNYTTTSHECSSGQGPCNVASENDRKPIIRTARRCTSSKSSTLMKPTASQLARQNRQVETKNSMLSKKSVGMRSERTTMTSNDCTYQPAKRQRLENGHLNKAAAAHQPVFIHKNHEKNAANRNIDRPTGLPKLKITVPREPELATKQRAERSRILRAMPTNTKQINQQHAPSAPIVHAAPTRKVVNPTRATDHQHTSRHHGDVGPNVPACTSNHSRHLNNVDKKPEDCRGDLFKFKARPVDRKILASKGDVGVFRTAKRNTTVPKEFNLSTGRKGNPTQPAPLSELFNKLSLTAGAHRGIERQTTGLPNYITTKDCKENMIGNVRC >Et_7A_053085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6289244:6291918:-1 gene:Et_7A_053085 transcript:Et_7A_053085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLDLVRRPRGLVYTSVHFHVPNLFMMFSLQYFYGMGAEDNNEVKYDPRCGMSKEVFEWHCRQHERNDPEGLKRWEDYEKSVLYAYERNLPASLTSPAITPHTVLYKDLILRTARSKTSLGMLSGEWNKLKQATKNSRFAGAGAIAVVGATVGLAVGLSFGPSKAARDQEA >Et_4B_036393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21534867:21535526:1 gene:Et_4B_036393 transcript:Et_4B_036393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGMVDLVPIGPRELLTAYGAIGLEIFYYTTARPRIIVQWNVDDNDETEGYRRTISPGPGRNLEITYLVIPTAIQTSVEVRLKLKDLSSRSRAVYGKVKANAIDYRNKRVHLFSCDRGRRLSLPSGTTSILPLSLSKIALPYSRLLKFHIEVDFTVITTCESHEDDKNLKFREVDDDQVQVEIKYASDY >Et_7A_051637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21781077:21796979:-1 gene:Et_7A_051637 transcript:Et_7A_051637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTCSIPFAASTKLANRAEWGLTFGSLPLPQDYLKTVVPSQLMAERGSNLVVINPGSANVRMGFASQDVPFNIPHCIARRINPQQDEEPRFSVRDQMLNCHATPSMNAERERAYDIIAALMKIPFLDEEMPSANQPFPPKMGRVDGFSSQQSREDSKFPWTDVTEKNVKSSTSTDRSVDKNADEDTLPSTSGDGNEHGFEENKYKEMIVGEDALRIPPSESYCLSRPIRRGHFNVSHNYSLHQVLEDLCTIWNWILTEKLHINPRDRNLYSALLVVGETFDNREIKEMLSVVLNDLGFSTAVVHQEALAAAFGNGLSTACVVNIGAQVTQVVCVEDGVALPHTALALPYGGDDISRCLLWVQRRHGTWPNFQTDPLSKPIDMLMINKIKESYSQIRSGNFDAVALVHSYDNEKSAGHQKTRLSALNVPPMGLLYPRVLVPEEYPPPRRPWFQDYDDMLEDTWQTSDSLHPSGNGGFGMWDSYPMFPTRLKKFDNIGLVDAIVSSVLSTGRVDLQRKLFCSIQLVGGAALTAGLAPVLEQRVLNKIPANQSIERVEVLQSRTYPLFVPWKGGVILGVLDTGRDAWIHRDDWIKNGVHIGSLRKYKDSYFLQAQARYLVTNK >Et_8A_057103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21158916:21163204:1 gene:Et_8A_057103 transcript:Et_8A_057103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRKVAAQAAVLLLLLIIAHETAVPAPAAEARICGRRRSAGFKGACVSSKNCAQVCLGEGWGGGNCDGVLRQCKSHVDDEGGHAGGRDPAAAHQSADEKAVPSSSGANKAVGAEKRVCRRRSAGFRGLCVSDHNCAQVCLQEGWGGGNCDGFRRQCKCVRQC >Et_2A_015118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30811121:30812167:-1 gene:Et_2A_015118 transcript:Et_2A_015118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASPNLALLAVSFLLLLLVPSTAAARVGASIAETINAFQTQHLELPDMIYGPESVAFDGNGTGPYVSVNDGRILKYGGESTGWTTFAPLGLRFHRDSGYLYIADAYMGLMRVGPNGGEATVLATSADGVPLSFTNGVDVDQVTGDHEMVTATKDSTGRIMNADRTHLVVALTGPCKLLKYWLQGPKANTSEIFTDLPGYPDNVRLDEKAIRIGANGEKIQAMRGPKSVRPTEVVEREGGKIYLGSVELSYVSIVST >Et_10B_003669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4644927:4653179:-1 gene:Et_10B_003669 transcript:Et_10B_003669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGSIFFPLSFSACTSHGKSNLSPLGVPRTFSDTMAESKRFDTQSPGCLEGLFNFLALNQRLQMPKMIAYRKHSEASNNTLRVKVPKPKNHGENDENIPKETNNVSPRAKANMFMWRTLMFKKKTPKKDRKKSSSPSSSHSSSSSRLLRSRSIHHSKCFEYEVPDELSAHYHKMNESTSSEMDTCRGEPPLSRGSPQHLINQEQCKACGSVRGKDSIDLESPCEIGPRNLTEENEASSKQKIRDAATHHSQEFVDFLELFNAHRELFLKILHDPSLLVTPEQQGQEASSSNDVPMNKLESFPRPGGSSGKRNPIFDRSDSDKSRRPEIQRSPSRNNSDPEATKVISTRMPTGVDGSAVSLAESRSLKKSGTTSNRFKAISRKIKDVVKENRKELARITKDGVFHKLPYGQKTSELTRSPSTEKFAQEEKQIRRSYSIAESVDKYSTLYESITRDSKVSPERLSITMEGSRGLKDKKPPTGFKRIISLPEMRLCSPHQGVLTDISDSQIGSKTCNVDPYPFYSHQTNSFSICSEGSFYADDIIERTGYNHGEVSFVESMEEDVRSILQGPSLSSLGRSFSHRRVNSLPSFDRSFFQDPIGNFVECSVKDTEPTFENMQLEDGDWLVKPPDPQDAKGAEDEEWLVKPGQPLIADALDSDFRFIHEFSEQESAEPLHIYVSDKNEADFQYVKDILKKSGFSCGEVDWYASNQPVSPVIFEEAESSCQELSMVNDDPHNIVRHMLLFDLINEVLLDIYDSSLVIGPWHSRFDSRTRPIPMGSHVLEEVWAKVSCYLCLQWKAGQTVEHVVAHDVMRKDGWMNLVYDAECAALDVEDLIVEDFLDDVVIQIVVMYHGFAEKNTDTTGSIVLGVSPETEIGTVKAASENGTESGEAGHGCSCGSGCKCSPCSC >Et_9B_064152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11845924:11848778:-1 gene:Et_9B_064152 transcript:Et_9B_064152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAMAEQVVADLREKCETPVSLLHDVAAAMAHEMGAGLEKEGASKVKMLLSYVDKLPTGGEEGFFYGLDLGGTNFRVLRVQLGGNEKHVINRESREVAIPPNLMSGSSSELFGFIAAELAKFVADEEKCTNSLNGKKRELGFTFSFPVKQRSVASGTLVKWTKAFSIDDAVGEDVVAELQTAMEKLGLDMHVAALINDAVGTLAGARYYDKDVIAGVIFGTGTNASYVEKANAIPKWVGELPSSGDMVINMEWGNFYSSRLPIYEKLTSGMYLGEIVRRVLLKMSLQSSIFGDIDHTKLKTHFLLRTPHISAMHHDETPDLKIVAEKLEDNLAITGTSLEVRKLVVEICDIVARRAARLAAAGLAGILKKLGRDCNAQKQRSVIAIDGGLFEHYTKFRECLESTLGELVGQEAAKSVVVKHADDGSGIGAALIAASQSQYKTVE >Et_5A_042332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8841998:8843720:-1 gene:Et_5A_042332 transcript:Et_5A_042332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRRPPVGAFLAVIAVASCVPCAWSAGEAAAPGNATTTPTFGDNFEITGAEDHVKTSADGQTWYLYLDQKTGVGFQTKQRYLFGWFSMKLKLVGNDSAGVVTAYYMCSDVDAAPQRDELDFEFLGNRTGQPYIIQTNVYHNGVGGREMRHSLWFDPTADFHSYSILWNAKNIVFFVDKVPIRVYPNDAKQPVNGFFPISKPMYIFSSIWNADEWATRGGLEKTDWTKAPFVSSYRDFAADACEWPAANATSPSPPPCAAPASAGASWWDQPPAWALDDAQRQDAAWVGRNLLIYDYCRDRERFPAPPEECALRGAAA >Et_4A_033679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25694997:25699910:-1 gene:Et_4A_033679 transcript:Et_4A_033679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNTGSLYGRVNRTSTRGFLAYVAAGAACAAVLACFVISAADPPSAASNDGALLRLSSRSARVWPDLAFNWRVVVATVVGFLGSAFGTVGGVGGGGIFVPMLNLVVGFDTKSAAALSKCMIMGASASSVWYNLQVSHPTKEAPVIDYKLAMLFQPMLMLGITIGVELSVIFPYWLITVLIIILFVGTSSRSFYKGILMWREESRILMETCEREEQAKSACAASDVVIDPSYEEPLLSQPQPKEKSAMETFLFNLRWKNILMLMAVWSCFLVLQILKNNSKSCSTFYWVVNVLQVPVAVSVFLWEAVKLCKESRARRMNGNSECVCEASIEWSPAQLIFCAFCGLLGGTVGGLLGSGGGFILGPLLLELGCIPQVASATATFVMMFSSSLSVVEFYFLHRFPIPFAAYLIFVSILAGFWGQCLVRKIVHVLKRASLIVFILSSVIFASALTMGVVGTQKSISMINNHEYMGFLDFCG >Et_3A_023047.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:21162363:21162620:1 gene:Et_3A_023047 transcript:Et_3A_023047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNKAAGSGKGGSEAEASASAQSSPRSKLRAGPFSSALRWKKPRGNVLAALFQRVSYHLLWLVESVVVVARLLVFFVRFGFRL >Et_10B_002631.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19349978:19350205:-1 gene:Et_10B_002631 transcript:Et_10B_002631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDKLDQLMEMNERTITSCESLAAGRDKPGSSIEDVMALVTDCGATVRTNEHLIASTLFTERDQVVDFEVWMDG >Et_8A_058287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4278833:4279221:-1 gene:Et_8A_058287 transcript:Et_8A_058287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGTCNALLCLCDNTKPGGSIYLVNPVTGETLPVPPLPGSGDQRVKITISAGWSMIMIDRAMFTLEDDASPRRDIPVPGGSSCCLDAGIVIASPMLPPLSPARLSPLPTYQ >Et_7B_053822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12476338:12480138:-1 gene:Et_7B_053822 transcript:Et_7B_053822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSAKRSRGGGTEGSPNVVGDRLSALPDCLLHEIMSYLKARQVVQTCVLSKWWTHLWRSVPCLGLNVHDTELRGTAAVGDHVDNFANFVDNLLLFHDAPTLDAFRLRFDGAGTWGRSRDADRWIRRAIKYGPSVLEVCFGVQFSNKVQIPGLGTCSRRLKRLHLSGVSLDASFAPQIQSGCPVLEDLELKSCCCKFREITSRTLKILKLDGCYIGIPVLDDRDGFMVITVPALVSLHLVVCSFQFSVNEATNLVQASIGDAKDYCMGIRIKRNLPKLLDGLSSVKNLMLTSLETMVLEDMSEKFPAFNNLKTLFLHTCKLGNQTLGHFLNRDDRLSALPDALLHSIMVSLPARQAVQTCVLSRNGSSPQASCCCAARREREPVPQAAASWLSTG >Et_8B_060114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6040517:6042529:1 gene:Et_8B_060114 transcript:Et_8B_060114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSSPPPPWLLRVGSAAEQASSSSSSKGGGRVVTATMDTCGNAGEHQESSSSGGQSRLAARGHWRPAEDAKLRELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRISKRPFSDEEEERLMAAHRFYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSTAYRRRKLNQAVQRKLEAAASPGSDAAAAAGHHHHLLAAAAAHDAACGFGVVGDPYYGFSTTLRHYCSFPFPSGADEPTPPPAPFCLFPGPSADRRLPWQPDAAVGRGPAGGRGVVDGRYGTEPPLLMPLPNAWIDGVGGGAHLEPQFISGPIVAAGAAFDGTMTRDGAGAGAHFEAAAAAAVAPAFIDFLGVGAT >Et_6A_047342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4266032:4266443:1 gene:Et_6A_047342 transcript:Et_6A_047342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKTDVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLELLKEIGGTRVYEDRRQKSLKIMRETANSKKQIDLLSNYLEERLRECD >Et_8B_059815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:351189:353227:-1 gene:Et_8B_059815 transcript:Et_8B_059815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPPPPPTEKKKKAPLPKVVTLNKALKLAQTWVDKMSASDPDEPNDKDFEGRPSRLGLGAKVALGVKRAAPTDPVERRLLGKVNAQKRRSMEEEKKTAKEVNEASDDDSGEPESRTSAFNKKRTLPSVTSKPSGKKAKGAPLQFVQKSNDLRKPMLLHVSDQTTSIS >Et_3A_023931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14918543:14923679:1 gene:Et_3A_023931 transcript:Et_3A_023931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QNIEYGCVKTTKHPCIQGRAYTNVNPYPYPWAKEEKIFVTVRVRPLSKKELAVRDDIAWECADSQTIIYKGPSQDRAAPASYTFDKVFGPACQTDVVYEEGAKDVAMSALTGINATIFAYGQTSSGKTFTMRGVTESAVCDIYKHIDNTPEREFVIKISAMEIYNEVVKDLLRPDSGPLRLLDDPEKGTIVEKLQEEIAKDSQHLRHLINICEEQRQVGETALNDTSSRSHQIIRLTLESRLREMSGCVKSFVASLNFVDLAGSERAAQTHAIGARLKEGCHINRSLLTLTTVIRKLSSEKRNGHIPYRDSKLTRILQLSLGGNARTAIICTMSPALTHVEQSRNTLFFATCAKEVTNTAKVNMVVSDKQLVKQLQTEVARLEAELRTPDRGSSTEIIIMERDRKIRQMEIEMEELKKQRDNAQSQLEELRKKMGDHQPVGWNPFDSPQKARKCLTFSGSLQPSNKTMMRSSIRQSSTAPFMLKHEIRKLEQLQQQLEVEANRAIEVLHKEVECHKHGNQDAAETIAKLQAEIREMQAVRSLSRDVDMIADEGNGSDLKDEISRLHMQDNDIAKLEAKLENVQRSIDRLVMSLPNVGMPCNETTPKCNRAKKKKKTLLPLGVSNNINRANFLRTPCSPHSFSRPSESEVENRAPEGDTVSHEGSEKATPTKSEDGDISSRDETPRYRRSSSVNMKKMQRMFQNAAEENVRNIRAYVTELKERVAKLQYQKQLLVCQVLELESNEAKPNDDFEEENAGLLQDDSWDRLFKEQMQHIIQLWDVCQVSIIHRTQFYLLFRGDRADQIYIEVEVRRLTWLQQHFAEVGDASPAAADDSAISLASSFKALRNEREFLARRMGSRLTDEERERLFIKWQIPLEAKQRKLQLVNKLWTDPNDQAHIEESADIVARLVGFCEGGNISKEMFELNFAIPTSRKPWLVGWQPISNMIREKTQLW >Et_4A_031937.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30917461:30919901:-1 gene:Et_4A_031937 transcript:Et_4A_031937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLNLQQQPQLPDGGEGQGEEEAYKILVMDAPCVSILSPVLRVNDLRRHGVTLHLGIDRLRQAVPDAPAVYLVRPTSANVDRIAADAAAGLYASFHLNFSTSVPRSVLERLAAACAASPGAERRMARVADQYLDFVCLEEGLFSLAQPRAYVALNDPAAADADITALVDAVALGLFCVAATLGVVPVIRCARGGPAEMVAAALDARLRDHLLSKPNLFTEAASASISSFQRPVLCLFDRNFELSVGVQHDWSYRPLVHDVLGLKLNKLKLPVEKYELDDSDKFWVANSWSPFPKVAEEIEAQLANYKQDVDEVNQRTGGGNGVEFDGTDLIGNTKHLMNAVNSLPELTERKKMIDKHTNIATALLGHIKERSLDGYCDCENDMLVNGTVDRNTLLSLLRGKGTKEDKLRLAVTYLLSFETPPSSELEQVEAALRESEVNMSAFQYVKRIKALNTQFAAASGTATKSNIVDWAEKLYGQSLSAVTAGVKNLLSDGRQLALTRTVEALMEGKPNPEVDDYLLFDPRAPRSGTGGQFKGPFREAIVFMIGGGNYIEYKSLMELEQRSQPSKHVIYGATEILSGAEFIHQLAELGQKAGLGGGGSNPPSGPPQ >Et_7B_054545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:23002811:23006062:1 gene:Et_7B_054545 transcript:Et_7B_054545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPPLAGVVRLAAASRVLVLALSLLARLLFRPYDTSASLNPPCLRSPSSPSPSTNLSAAVSSLAVWDGVHFARAAECGYEYEQSFAFLPLLPASLALLARSLFAPLVPVLGYRAVLVISGYVLNNVAFVAAAAYLYRLSVLILKDQKAAYRASVLFCFNPASVFYSSLYSESLYALFSLGGVFYLFSGANTVAMIMLALSGSARSNGALNAGYFCFQALLQAYDSAIQKKRPLLAVQVLVTAALRSFFVFVPFFAFQAYGYLNICLLGSSEDPRPWCKAKVPLLGVGFLRYFQVKQLPNFLLASPVLSLAVYSIVHYTKMLHRLFQSTSIHKQIVTDVEERSVDSNKSSDVAIVLKSEQSAGPTNRDQGHPDVKQRKSAATATTSSSVCNAVSDSRNLKGTPSQCSILFLPFVLHLTFMTLTAFFVMHVQVSTRFLSASPPIYWAASHILASPSHSSKRWRYLIYVYFTSYIFLGSLLFSNFYPFT >Et_1A_006158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18647966:18650736:1 gene:Et_1A_006158 transcript:Et_1A_006158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRRASSRSSQDLASSLTCINNLRFVSFLASSSERKQASRREKPSKQTREGSHLSFRFEGGRGSEMGECRGGADCLIKLFGTTIPVPEACDAKDLQYSGSSSSTESDAKENTPQDNSDPPPQPEVVDAEDPKSSPESQQPGDDEANQKEKLKKPDKVLPCPRCNSMDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKNKNAVAASHFLQRVRAALPAGDPLKTNGTVLSFGHGAPALDLAEQVSHLKEKLHIPIGTSTGSEGSIKRNDNTLGAIKEKVTVEKPANGVQHPAATMNGAAMWPPYSCAPPQAYYPPGIAIPIYPAAPGYWGCMVPGGWSVPWPVQSPTQGLSSPTSAPSVSSGADSLTLGKHAREGDEGRSHESGKVWAPKTIRIDDADEVAKSSILSLIGIRGDKQSTDMDGRKHGTVFELKHDAKTAKQAMITSSPLLHTNPFLFPFWLG >Et_3A_025430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29885088:29886937:-1 gene:Et_3A_025430 transcript:Et_3A_025430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGQSCRPFAAERSLHDLLGGGTVADVMLWRRREVAGGLLATVAASWVLFYRVPGYTLLFRVLMILLTVLFVWAKAARLLNRAPPPVPFIKISAGSMSEAAKIVGNYMNKILQDFENIALGKDSSLFYKVAVVLLLTSIVGRLTNLITLVYTSLVISLTMPALLDNTEEHIARFLNKASTYIQACVEACKEYKFKMRNSVLEKKKLC >Et_1A_007866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39002537:39005186:1 gene:Et_1A_007866 transcript:Et_1A_007866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAATTAEKASSYRYWVRENTGDAAPPPVPRKLDPVAATANGNGNPPPLGSVWNQAGTWEEKNLNSWANGRIKDLLVSLDSLEFPTGKASIYEVSKCSGDAFQVTVRNKKRVGYNYELSLKFQGEWLIKEENKKFKGHLDIPEFSFGELDDLEVQVMFSDEKGLAADDKTRICKDLKSFLNPIQEKLRLFEEELKGR >Et_6A_047403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5095534:5099131:-1 gene:Et_6A_047403 transcript:Et_6A_047403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGLMEQDLSKLDVATLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDKCPRPMCYKAYGSGKEDSPLCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQEAAAMNQHEAIQKFIQGTIAQGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEGVLRVNQKIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVFIELEVNFFLLRRLLGVRTSGTERASRVSKLTKAEILMLNIGSMSTGARVVAVKNDLAKLQLTSPVCTSKGEKLALSRRIEKHWRLIGWGTIQAGTTLDVPPCPL >Et_2B_022296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17195612:17200842:1 gene:Et_2B_022296 transcript:Et_2B_022296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAISSAAGGMLRARLRGAARTRGGGDGAGRWTTPGHEERPKGYLFNRPPPPPGESRKWEDWELPCYVTSFLTIVILGVGLNAKPDLTIETWAHQKALERLQQQELAAADAQADIVTLDGGKNPLQSEVSRRKSMFNLAKRQPLEMTVCAVAST >Et_2B_021934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:780578:782839:-1 gene:Et_2B_021934 transcript:Et_2B_021934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGCGGGGERADAKYNSYKAPGLRGAILEAAHVSCLEDRYALGPQLGWGQFGVIRSCSDLVTGEALACKSIAKDRLVSPDDFRGVKLEIEVMARLAGHPNVVDLKAVYEDEEFVHLVMELCAGGELFHRLEQRGCFSEHEAAVLFRYLMEVVTLCHSKGIVHRDLKPENILLVSKSPSSPIKLADFGLATYIQPGRSLSGMVGSPFYIAPEVLSGGYNEAADVWSAGVILYILLSGFPPFWGKTKSKIFECIRSTELRFPSDPWDRVSESAKKLVIGMLQRDPRQRLTAKQVLEHSWMQEHADQPQDSCSHCHEIGLRREDSGSCSFSTPLASRSRDVSFNTGGPIACQSLSEEVCSPTFACRSSFSAFVADSAPPCTTSGFSFDGTAEPSGIAFPSMPSFSFFCAQECSEPEASVSGDAGGEKASVDTTVAATAPSTSLRMSEAVKTARSANTSRPVGINSRRNHTIGAGEREHLDVAVAESVIRWASCTNFSTTHSLRASLVC >Et_9A_063132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11170322:11171095:1 gene:Et_9A_063132 transcript:Et_9A_063132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLIYRSQPGPHLATRITRLVATLRFYLGGANYKSGRFKAAHAMLFSLEEELRALDLDGGRLFREETPFAAVVLLYDLVRASNRLEELAGGGNGGCAAAAQDAVARWLDDKENRMRMLIQGQALA >Et_3B_030016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29978036:29979661:1 gene:Et_3B_030016 transcript:Et_3B_030016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRSFNLRRSLGMEDDNPNFSPFLHKLFKEWDDRKARGMFHHDISSCETKVLPGEHDFVATLIEGRDQKKRPTEFGMNQVLQPFESGKFNFTKVSPDEIIFRFHESENDSAQYFDGAPHTVSASPSAILINVSPIGYCHVLLIPRIQDCLPQRIDQESFLLAMYVAREARDPFFRVGYNSLGGFATINHLHFQAYYLKVQYPVEKAPTEKLTTLSNGVSIALLVQYPVRGFAFQGGTCLEDLSDVVSKISIFLQESNRPFNVLISECGKRVFLLSQCYAEKQLSGKASQEFLDMRINPAIWELSGHLILKRREDYDEASEATIFRFLVEASLSETEFQELKRCVLDWLASGAGRKSGINS >Et_6B_048338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13512695:13513479:1 gene:Et_6B_048338 transcript:Et_6B_048338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFACHAPFSCSPPSPPSTFSPADPNNSLFEFMSCEVPEEWFMDDDEKPPHLQNDDANGPSSGPVAVARRRGRRPGTRLGPTLSHVEAERQRREKLNRRFCELRAAVPTVSRLDKASLLADAAAYIAGLRRRVEELEAAARNKQTGGGGGHRQEDEEKLEVRRMAGDEGRVVAVRLTTTASRHAAARLMCALRALDLPVRHACVSRSGGVRTTTVQDAVLDVPAAALRDVGCLRAALLHALQQDDDR >Et_7B_054000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14029404:14031949:-1 gene:Et_7B_054000 transcript:Et_7B_054000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNLKGTGYEALLGCLAVVVIVGVVAVFCHMRRRAYIFKSSRKDNEVTFASLEYEETTCKQMSIKDIYTATKNLSPSNVIGQGIAGKVYRGVLANGWPVAVKHIVKNEHAETFLREVTSLSHIRHPNLVSLRGYCDGQEECFLVYELCINGKDKNLSWIQRLRIALGSACGLWFLHIYPEGCIVHRDIKPTNILLGVDMEPKLSDFGLSRVMELGVSHVSSEVRGTFGYVDPEYRHNHKVNSAGDVYSFGMVLLQLLSGKRAINILNTAKPMSLDKMASTLIQEGNVMEFADPRLNGDYSAEAFDVSLKLALSCTGHKKQRPSMEQVVSRLEKALEISLKDNEKYNSISIVESLA >Et_3B_031475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3176160:3183477:-1 gene:Et_3B_031475 transcript:Et_3B_031475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSLPPALHRVLSLFPRIISTRQLLQAHSFLILRGGDRHPRLLSALLLASFRLAPRPHAVSLIRRSNPSVVLRAAARIPHLKGRLGPQLHSLLLRIGLAASDTHVSASLVQMYCACGCIASARGVFDEMVDKDLVAWNIMIAGYVKAGDLIHARELFDVMPERNVVSWTTVIGAYAQMKQPEEAIEVFRRMQVEGIEPDGVALLSVLSACGDLGAVDLGEWVHRFVVRRGLYREIPLMNATIDMYVKCGCIGKAVEVFEGMEQKSVVTWTTLIAGLALHGLGLKAVEMFRRMERENVVPNDVTFLAILSACCHVGLTDLGRWYFNIMVSQYRIKPRVEHYGCMVDLLGRAGCLKEAQDLVQDMPFKVNAAIWGALLAAARNHGDADLGEQALLHLIELEPHNSGNYILLSNIYAEQERWDDVSKLRKAMKEKGLRNVPGASSIEVDGMVHEFTSRDGSHPCLHNICEILSEINANMKSAGICLFKPVLSWLEAVGFIKLGALTEFRGVRLEDWGKRYSKEIKETYLWKARRYRQFLPVFPCSVKCCRVEDEGKCWIWKLPLEPHTLLKSILEFPCPYQLSRIGQFHFKVSNNCIPMVR >Et_1B_011167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18240583:18241046:1 gene:Et_1B_011167 transcript:Et_1B_011167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGKDVPDATDILVPKWFFKGTFSNWPVGVNRYEFDLIRAPVGRVYFTGEHTSEHSNGYVHGAYLAGIDSADILINCAKQKMCKYHVQG >Et_8B_059357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17047878:17053300:1 gene:Et_8B_059357 transcript:Et_8B_059357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPRCAIWARLLLLLLLLHPAARVLANMEGAFVASFRFLETAEWGHRRFAALCCGSAAMAEAALMHGIDQVFARCASVGDALHSLRTNLNDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGILVPQLGQLKNLQYLELYSNNISGTIPSELGNLTNLVSLDLYLNNFTGPIPDSLGNLLKLRFLRLNNNSLSGSIPKSLTAITALQVLDLSNNNLSGEVPSTGSFSLFTPISFANNPNLCGPGTSKPCPGAPPFSPPPPYNPPTPVQSPGSSSSSTGAIAGGVAAGAALLFAVPAIGFAWWRGRKPQEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDTFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERPPSEPPLDWQTRRRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVVRMLEGDGLAERWEEWQKVEVRQEVELGPHRTSEWILDSTDNLHAVELSGPR >Et_5A_041348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21489796:21491968:-1 gene:Et_5A_041348 transcript:Et_5A_041348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVVLGLKAAVLPSRTGPDRGGGFFLISEAPPLHEERRRKRPSIGGTIMAMPSNESTCSVSEQQHDQGGTIMVCLGLIGCQNGRRSSMDILMTLPVIVARLLVCAVGPLRGAVPLRRRRSCRAPPVHHHFHSPDTSDVDEDVEAWDGAGLALFGNA >Et_9B_064468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15419373:15427880:-1 gene:Et_9B_064468 transcript:Et_9B_064468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSGLDVGHPARDSSSMAGGSDTVAEVAVVATVDHRGRPAPRVSTGGWKSASFIIAVEIAERFAFYGVSFNLISYLTGPLGEGNAAAAAAINAWNGVAQLLPLLGAAVADNWLGRYRTIALASLLYILGLGMLAFSTLLSPGPGHQCTAGGGKACSPSTLQVAFFYISLYLVAVAQGGHKPCVQAFGADQFDPSDPSESVSRSSFFNWWYFGMCGGTAVTLLLLSYVQDNIGWGLGFGIPCVLMACALVVFLLGTRTYRYYVTTGKRGLFARAGEAFAEWRSKRKASPLHQASQERDGRQVRHKPAGVDEEGQEVVSDAGIIKEAKAILRLFPIWATCLIYAVAFSQSSTFFTKQAATLDRRIGEHFKVPPAALQSFISITIVVFIPIYDRVIVPLSRRYTGAPSGITMLQRVGFGMLLSLVSMSVAALVETRRLRVARDAGLVDRPGVPLPMSLWWMVPQYVLFGAADVFTMVGLQEFFYDQVPGALKSLGLALYLSVLGVGSFISSFLISVIDRVTRTSGGTSWFADNLNRGHLDYFYLLLAALTALELLAFAYFSASYVYRRKA >Et_1B_009993.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17251855:17252481:-1 gene:Et_1B_009993 transcript:Et_1B_009993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISALKSQASPAPFSTLECVVAHLWRCITRARSRLDDDYTSLRIAVDGRGCCCTRGGGRVPVGYCGNAVLWAWPTTTARQLLGSPLGLVCGAVAGINDAYFQSFVDFASSGSVQREGLVPTAPDPSAIEVHSMLGMPFYDLDFGGGPPVYVVVNTGSYTKREGVVVIMPSRSGDDGGVDAHVSLFRRHVHVFNNCCYGAAMGPNAARL >Et_6B_049331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3353951:3367083:1 gene:Et_6B_049331 transcript:Et_6B_049331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSNSSEPRDSRQPTSPAPSTSSSISRGKSDLAEVYDPESAMSTVARLLEELHASMISPYEKEVATIRLLELAKAKKEARILIGSHAQAMPLLISTLRIGTSTAKVNAAALLSALCKEEDLRVKVLLGGCIPPLLSLLKSETAEAKKAAAEAIYEVSSGGLSDDHIGRKIFVTEGVVPTLWDLLNPRSRQDRVVEGYVTGALRNLCGEKDGYWKATLEAGGVEIITGLLSSKNTASQSNAASLLARFITAFSDSIPKIIDAGAVKALLHLLNRDNVISVRESAADALEALSSKSSIAKKAVVDAGGLPILIGAVVAPSKECMQGDTCHSLQSHAVRALSNICGGTTSLLLYLGELCQASRPPVPLSDTLGALAYSLMVFDGSDGKSFDPVEIENILVVLLKSHDNKLDRILEALASLYGNARLSDKLDHSNSKKVLVGLITMASADVQEHLVRALIALCCDGLGIWDALGKREGVQLLISLLGLSSEQQQEYAVSLLAILSNEIDDSKWAITAAGGIPPLVQLLETGSQKAKEDAAHIMWNMCSDSDDIRACIESAGAVMALIWLLKSGSPLGQEASAKALKKLIRSADSATINQLLALLLSDSLSSKAHVITVLGHVLVMASQRDLVQNGAPANKGLRSLVLVLESSNEETQEVAATILADIFTMRQDICEMLATDEIVQPCMKLLTSGNQVLATQSARALGALSCSANAMSKNKMSCLTEDDVRPLIEMAKTSSIDVAETAFSALANLLSDAHIAKEALDENIILALTRVLKEGSLEGKISASRSLRQLLNHYLLSEVLSDYSQCCFIIHALLVCLSGISLDNVTSLEPLDVLALMARTKEDAHFSPSLRTAFLEVPESLEPLVRCVSVGLPPIQDKSIQILAGLCQGQPSLLGEYLNRSQGCIASLASRVMESTNMEIRISSAVTLMSAMRNSREQSIDVLESSKLLKDLISALIDMLKEHSSSTSLDIEVWKPYTEKSSSNYEQDVLNVPELGKVTEETVALWLLALICSHHGRSKHTVMELGGVDIVSDRLASHTARRQEQYEDPENIWTCALFLATLFQDSVVVQSSEITRTIPSLALLLKSDDIIDKYFAAQSLASLVSTGSRGIQLAISNSGAVMGAVALIGQVESDMPNLITTAEEFRLAENPSQIILRNLFELEDVCTGATARKSIPLLVDLLKPMPDRPGAPLIALHLLTQLAEGSEANKVAMAEAGALDALTKYLSLSPQDSTETTITNLLGILYTNLDLVYHESSLSTSNQLVAVLRLGSRSSRLSAVKTLQKLFDSEYIRDTEVARQAIQPLLDMLESGTEIEQQAALGALIKLSAGNVSKASPMFDVEGTALESLYKILSFSSSLELKKNAAQLCYILFENSTIRASPIATECLQPLISLMTSGSSLVVEPAVCAMNRLLEEDYNAEIAATNEVIDLLVSFVPGTNYQLSEACIGALIKLGKDRPNCKLDMVKAGIIEHALDMILDVPIAVSSSITELLRILTNNSGIAKSSAAAKMVEPLFLLLRRPDVTMWDQHSALQALVNILEKPQSLAALKLAPSQIIEPLISFLESPSQAIQQLGTEVLSHLLEQEHFQQDITTQNAVVPLVQLAGIGILSLQQTAVKALESISQSWPKAVADAGGIFELSKEDPQPSQALWESAALVLCNVLRYNSDNYVKVSMAVLVRLLNSTMESTVTIALSALLVQEKSSSRCAVAMAEAGAVRALLELLKSHRCEESAARLLEALINNSRVRERKVAKYAIAPLSQYLLDPQSKNQSAKFLVTLALGDIFQHEALARASDSVSACRALVSLLEDQPTDDMTMVAICALQSLVMHSRTNRRAVAEAGGILVVQELLLSPNVDISGQAALLIKYLFSNHTLQEYVSNELMRSLTAALERELLSTSTVNEIILRTIYVIFNNFKKVRFSEAATLCIPHLVCALKDGNEAAQESVLDTLCLLKESWPQMNEEIAKAQSLISAEAIPVLQMLMRTCPPSFHERADSLLHCLPGCLTVTIIRGNNLKQTMGNTNAFCCLQIGNGPPRQTKVVNHSMCPVWNEGFTWLFDVAPKGQKLYIVCKSKNTFGKSTLGRVTIQIDKVVTEGVYSGFFSLSHDGGKDGSRTLEIEIVWSNRPSNDSM >Et_1A_009246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34991867:34993239:1 gene:Et_1A_009246 transcript:Et_1A_009246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAIKQHEQRRRAAMVEAGDGAVEEEDLVDVLLRIQKEGGLEERCPSPWESSRRHCILLLLQDLFGAGSETSANTLQWAMSELMRNPRVMRMAQAELRDKLRGKPTVTEDDLADLKYLKLIIKETLRTHPVVPMLLPRECQESCKLMGYDIPKGTTVLVNVWAINRDPKYWEDPETFMPERFEATTIDFKGTDFEFTPFGAGRRICPGIAFAQATMELALAALLYHFDWELPGGMAPSELDMEEVMGITMEITSASSNN >Et_5A_041150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18845018:18849947:1 gene:Et_5A_041150 transcript:Et_5A_041150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTTFVLLIMLCFLAAATAGGAVQFRVVDTIQDEESGRNFDATIGAELAGRVLVDATHMAWTTLGQAAATDRKNISFRYNKLQLSPKKLVAKSGCSRRHVCVDMADYQMFVTLSVEGYLHRGTFTKHGTIHLGGGYGRDIYNGPETFDKEEVLGILYREVTTIWLFNGNGQADKGLLGGIAELVQMKSGHTPARWAKAGDGAYWNEQTPGVTAQFLQYCETRHPGFVASLGRKMKTGYNEHFFTEITGETVNQLWKEYKAAHKN >Et_4B_038041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25698744:25700517:1 gene:Et_4B_038041 transcript:Et_4B_038041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEAAAAAVVVREYDVGRDRAGVEEVERACEVGSSAAGKMCLFTDLLGDPLCRIRNSPAFLMLVAEETAPGGSGAANGGTEITGLVRGCVKSVVSGATPAKDPIYTKVGYVLGLRVSPRHRRKGVGKKLVDRLEEWFRQMGAEYSYMATEQDNEASVRLFTGRCGYSKFRTPSVLVHPVFSHTLEPSRSASIVALDPRDAELLYRWHFANVEFFPADIDAVLSNALSLGTFLAVPAGTRWAGVEAFMDAPPASWAALSVWNCMDAFRLEVRGAPRLMRAAAGATRLVDRAAPWLKIPSIPNLFAPFGLYFLYGLGGAGPDAARLVRALCRHAHNMARRGGCGVVAAEVGACDPVRYGVPRWERLGAEDLWCIKRLQDGYGEGPLGDWTKAPARQSIFIDPREF >Et_5B_045439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22471529:22472849:1 gene:Et_5B_045439 transcript:Et_5B_045439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVAAYLLAVLAGNPNPSAEDLTGILESVGCEIDNEKLELLLSQLSGKDITELIAAGREKFASVPCGGGGVAVAAAAPAAGGAAPAAEAKKEEKVEEKEESDDVISMVVMANVFTELFGS >Et_10A_000174.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:14588084:14588530:1 gene:Et_10A_000174 transcript:Et_10A_000174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREARRALLSSLAEAAAGDALRFAAGSQGYTDAARGRWLVMYGMAQCTRDLTPGECAGCLVDHLAALYRDASLTNSTEASVMGLSCYLRYQVDEPIKITTGLAVPPAAVPTAETPTSSAPPPPTRLLVAALAAAAVTLFMSCVKCMIP >Et_4A_032220.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24814464:24815552:-1 gene:Et_4A_032220 transcript:Et_4A_032220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQQTPFPPVPVPPNPNPTAPPTAPADPTPPPTSSAARKLPIKRRSPRPTSSSPPSSGSDQHQHQPQQQQQRQEQPAFKFQRIWTESDELRFLQGLLGCGAQGLVFPRDLNVFYDRFSESMPQPYTRSQLSEKLRRLKNKYRSMSARVAKGLDPARLAPHDRDVLHLCSRLWDPANAATSPFASGGPAGSSGNKRRRANPQGAALPLPDVSGESNSHDYNGISLPDGSNGEDMFFLEQESGQLGDHEGAPLVADGAFGGIVLEQPQTVADVPIGNNGIAGEMNGNHKLVVPYSSDHLMANAVLDVFEECLREAKMNEIFNGGSIVESELAKRWRAQRVDELDVLSRRLRLLVEDAAAAGR >Et_1B_010607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12043346:12050910:1 gene:Et_1B_010607 transcript:Et_1B_010607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFILAALMRCIPVVMFTYALEVITVRRWRRLPPGPWPLPVIGNFHMVAWSSAHRSLAHLAERHGPLMTIWLGRRVPTVVVSTPDAAREVLRNADLAGRPVMDAWRAEGHAANSVIYLPPRDKWRAMRRFAATELFAKGRLDARRQLQLRQEKVQEMVCHVYERAARGEATAGRRRGRDAGEPSKNDMMDVVIDKEQEWEEDGRPMNYDAVKGMFTEFFIAGTETTSRTVEWAMAELLRHPESMKKVGDELKTVIGTKQAMEESDIIKLPYLQAVVKETLRLHPVVTLIFYRAMATTQVQGYTIPKGSNILVNFWAIQRKEDIWTCPDKFMPERFFARDISFWGKDFELIPFGAGRRICLGLPLAHRMVHLMLGALLLNFDWKLPPEVEENGIDMTEKFGAVVSMATPLKAIAKKTRARGSLQGHRATRLLVVVDFWAIHRKGDTWTHRDKFMSERFIAKDISFWGNDFELIPFGAGRRICLGLPLAHRMVHFMLASLLCSFNWTLPPEIEENGIDITEKFGAVVSIATPLSVVNKY >Et_5B_043304.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:23458341:23458838:-1 gene:Et_5B_043304 transcript:Et_5B_043304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGEDLVREREVPVGEALQEVVAQHGGDKNKAVTVGDALQAVARSSAGKKPVDFADAAALQAAVEGQDGVVAAALQAAETNVKPADERSGGVSTVRLRDVLGGGDNAAAAVPGADSKAVTREDAERVAAAARSSAGKRGAGTGKAVVEAMAAAADMNQQRMMQQ >Et_4B_037073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14328060:14329562:1 gene:Et_4B_037073 transcript:Et_4B_037073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVWPWLASLTPSPARDVAASPDGACIVLQANVAASADDVGEPALVSFSVAINAATDAFDASTSPVAPTLRVRLLAPLLDEAVALAPSVPCLMSANNDASSEAKLDTEVVSAVVVGATAGTEAAFFSLASSGRAPADSGFLFFRVLGAKIERALRESRPALAAFLRAVGPDVEERFMRSLGYMVAKWFLLREIDQAAGPPSPAPEPPRRPRAACVSYATEVHGLWVLRGYAPVLAMPRVFTSSSLARGAGAAVRFLTVSVRVDNVRVRVARLGFRNRNHDDADEDDVMDGEGHFPSRIRLWVGPRYGASYATGPSLGRSTGNPERDVVKGAFSCSGAAAAKLASGGNGAPARTSRMKAKVRPELAVGAGGGGRRRRVRGRAVRPRHRHGGLGVAPTRLRHDPRNGMRRRYAGPGRAFSKMRGLVVAGDELPEEVTWRVGTEAEGKTLRWRLGLKVWVSYLPNEVRSRHFETRCVERAHQVDLPLVPVHADGES >Et_2B_020715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2342590:2342978:1 gene:Et_2B_020715 transcript:Et_2B_020715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLVGFVLILEPLLLSGGFIGRFRRHTQPVAEQRTIRYVRADAFGNFDDLGWQTFKFYGRSDIALREGEPFVSVNIILCNRAGRHGRLTPLITDLPRSEQPMDIVVLTAGSPGE >Et_3B_031240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22523010:22526446:1 gene:Et_3B_031240 transcript:Et_3B_031240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLVWRDYRGDVSALQAERFFTKLLDKERPTDQSLALLPCLVPVRSGSELLWNGQGDAEAHSPVVYDDAGVTYMFIQHNNVFLLTASRQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVSQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGAFDLMTYRLSTQVKPLIWVEAQIEKHSRSRIELMVKARSQFKERRAEFSLPSITAEEAVPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >Et_2A_014668.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33540070:33542315:1 gene:Et_2A_014668 transcript:Et_2A_014668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPRIVLLFALCIILSGSISISSASSATDEFLGCLAADIPPPLVQTPASPSYAAVLLSSVRNLRFAMPGTQRPLAIVTATEPAHAQAAVRCGRRHGVRVRVRSGGHDYEGLSYASPDRRERFAVLDLAALRDVRVAAALAEAWVGSGATVGELYYAVGAASRTLAFPAGVCPTVGIGGHLSGGGFGTLMRRYGLASDNVLDALLVDANGRLLNRTTMGEDLFWAIRGGGGESFGVVLSWKLRLVPVPETVAVFTVRRSRNQSASELITKWQEISPALPRDVILRVTLQRQHAQFAAMFLGRCSRLLRLMRAHFPDLGVTRPDCKEISWIQSTVYFAFHSSSKPLELLLDRGKPDSFVKATSDYVQEPIPRHVWERTWSWLDRPEAGLLILDPYGGMMGSISPSATPFPHRKGNLYNLQYYSSWSENGTAALEMHMSWVRGLYKEMEPYVSKNPRTGYVNYRDLDLGTNELEGGVTSYTKARVWGEKYFKGNFERLAAVKAMVDPDDFFRNEQSIPPLPAAKGWNSV >Et_3A_022990.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:13705361:13707631:1 gene:Et_3A_022990 transcript:Et_3A_022990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAGAPLAVFLVASLKSAARVRCGDQLHALAAKSGLLAANVFVRNSLLACYSRAPSGLASARQLFDETPPALRDAAARNTLLAALARAGHLDRAQRMLEEMEPGQRDAVSYTTVVTALARAGHAGRAVGVFRGMLDEAVPPNEVTLAGVVTALARREAPAPVGMVHGVAVRLGLDGFIIVATNLVHAYAAASELRSARAVFDGIPDKNTVTWNAMLNAYVKAGMMDLAEELLWRFPERDMISWSTIIDGYVSADLISNALKAYIHMIGEVGTNGNEARLVDLLKACSRDHAVTEGQQLHTVILKNGFDAHAFVQATLIHFYGCCGLIDHAKMQFRLSDKSHMASWNALLVGLLRKDIMHEARQLFDDMPERDIVTWSTLISGYVQIGRSDMALQLFFSMLSTNAEPNEITLASALSAVADSGTLEQGRWIHDYIISRSVPLTDNLGAGLIDMYAKRGSITDAVHFFNYANDRFSSVSPWNAMICSLAIHGYAHMSLDLFSQLQRTYIKPNSITFIGVLNASCHAGMVAEGKHHFESMKREYGIQPTIKHYGCMVDLLGRAGYLEEAEQLIAMMPMKPDVVIWGSVLAAARAQGNVLLGEKAAVELAKLDPSHGAVKVALSNLYAEAGRWTNVSVVRKELQDESFERLTGNSGIVQ >Et_4A_035900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8163258:8166116:-1 gene:Et_4A_035900 transcript:Et_4A_035900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTIRKALGAVKDQTSIGLAKVTSNIAPELDVLIVKATSHDDEPAEERHIREILHLTSGSRAHVAAAVAGCSRRLSRTRDYIVALKALMLVHRLLADGDPSFHRELLHATRRGTRLLNLADFRDEAHSGSWDHSAFVRTYALYLDQRLEFYLHERKQGSNAGSSANGPSPRDRDRWGSPDPYGRRSPSYSSPPGYGGYDDYRERNGGGNPDDKKPPTPVRDMKAERVLARMHHLQQLLDRFLACRPTGGAKHSRMVLVALYQVVRESFQIYADICEVLAVLLDRFFDMEYAECVKAFEAYASAAKQIDELCAFYAWCKDTGVARSSEYPEVQRVTDKLLETLEEFMRDRAKRPKSPPREPEPEPVKEEPEPDMNEIKALPAPEDFKEPEPEVEEEVKPEPPPQPQGDLVDLREDTVSADEQGNRLALALFQGPPAAGGSNGSWEAFPSNGGNEVTSAWQNPAAEPGKADWELALVETASNLSKQKPAMSGGMDPLLLNGMYDQGVVRQHVSAQVTTGSASSVALPAPGQKTQVLALPAPDGSMQTVGGDPFAASLAIPPPSYVQMAELEKKQQFLTQEQMMWQQYQREGMQGQATMAKLDRAYNNGFAPNPAMPYGMPAAYNTNPMPMAYPGNGCPPDFAAL >Et_6B_049697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9000414:9001958:1 gene:Et_6B_049697 transcript:Et_6B_049697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKKVEEEAKVEDQKKEEAPPAAAEAEKAEAPAAEAEEKKADEPPPPPPPVILGINLHCTGCVNKIKRCVLRCKGVEGVEVDMAQNQVTVKGIVDPQGICDRLRKRTMRNAVVISPPPPPPPAEGDAAGKEDPPPAPPVVHSQVSEVRTVELLVNMHCEACAQQLQKKILKMRGVQSADANSGAGKLTVTGTMSADKVVQYIHRRTGKLATVVPPPPPPEPPKEEESPKPEDGGDKKPEEPPADGEKKPDEPPAEDAAKKEGDGEKKEDEAAKQPDDGGEKKEGDDEKVVAVDGFPPEEMMKRMMYWPYSHKHFYHPAAQAEEEAAAMMAKRMAAMAHPYAMPPMMHHHPQYYTPPPPPPPQPVAPPPTMMYPYYNYGMGVVERPAMAPQYFSDENPNACVIS >Et_8B_060754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6157391:6161295:-1 gene:Et_8B_060754 transcript:Et_8B_060754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATTASWDEYAGQIFPAADMYNQETLEAVLRQRVTPATPRQQDQPPVAPAVDGGAAAPRRRPQRTDRHSKIRTAQGVRDRRMRLSVGVARDFFALQDRLGFDKASKTVNWLLTQSKPAIDRLCGGDAAIVPAPTTTTMTKKGKGEGMSSSTRERARSRGVVLDAPVVALVEGHHGGCELDWNMAEAAAAAAAELTQPMDGLEYYQYQYYQLQNMMRCNNGGVLPRTFKKLAHTIQN >Et_2B_020636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22206088:22216166:1 gene:Et_2B_020636 transcript:Et_2B_020636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGSMAATSMAPISAAFSATGDLRRAGSPGWRSGAGGRRLRAAVPAGRPFVFSPRAVSDSKSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYGNNIAGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHDVMEYLILAGDHLYRMDYQKFIQAHRETDADITVAALPMDEQRATAFGLMKIDDEGRIIEFAEKPKGEKLKSMMVDTTILGLDTERAKELPYIASMGIYVFSKDVMLRLLREKFPSANDFGSEVIPGATEVGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRYLPPSKVLDADVTDSVIGEGCVIKHCTINHSVVGLRSCISEGAVIEDSLLMGADYYETENDKKVLSETGGIPIGIGRNAHIRKAIIDKNARIGENVQIINFHNVQEAVRETEGYFIKSGIVTVIKDAEQLQDHAAVPPIIIKPSKLRSQHVDIAALTCSDVRIWSTVRPSKSKPPSRSEETKFLNPEFCFL >Et_3A_024308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19309406:19310111:-1 gene:Et_3A_024308 transcript:Et_3A_024308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKQVLAALAVLLLLAAAAAALPAATATAAAAGDEHMYHWKCFKSCNTRCHDDDKAASLNASVSVPDHKCKKGCLNECFEDLPAICYQQCVVSTCLCKPPYSKEKMECMKMCCDKCFHHVPPPHLPPPKPPTPTKPPSPTPKPPSPTPKPPKPPTPKPPPSPKPPRPPCPPVPKKALNNIEN >Et_7B_054025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14269290:14306427:-1 gene:Et_7B_054025 transcript:Et_7B_054025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIAEKLRAFSANRWLVFVAAMWLQSMAGIGFLFGDISPVIKASLGYNQRQVAALSVAKNLGDCVGFLAGWLSAMLPAWGLLLIAAAQNFIGYGWLWLVVSKQAPALPLWMMCVLLFVGTNYSTYFNTAALVTCIQNFPKSRGPTVGILKAFSGLSGAILMQFYAMMHADSATLVFMIAIVSPLVAIGLMFVLRPVGGHQQTGQSEKKSFMFSYTICLLLASYLAGVMIVRGFLQLSDNVVVLLTVILLCIFVSPIAIPVALALSPKIEHPTEEALMSEPLIIEAQTSQEKDDLPEDILSDVEEENSKDIDSSKSLYESRKWIAEWKAHLTQSAARGGVGIRRKPQWGENFTLMQTLVNTDFWIIWLSLLLGSGSGLTVIDNLGQMTLSFGFQDAHIFVSLTSIWNFVGRVGGGYFSEIVVREYTYPRHIALAIGQIVMAAGHFLFAMAWPGCMYIGTFLVGLGYGTHMAVVPAAVSELFGVKYFGAMYNFLTVANPIGSFIFSGLIASNLYDYEAEKQAHHHQSSAFPTPHLDHNVGFLSDGPLMCEGPVCFLVSSLVMSVVCVVGAGLSFVVVYRTKRLAERLSAFSTNRWLVFVASMWLQSMAGVGYLFGAISPVLKASLGYNQRQVAALGVAKSLGACLGLVAGALSATLPAWVLVLIGAVQNFVGYGWLWLIVTKQAPALPFWMMCALIFVGTNGDTYFNTAALVTCIQNFPMDRGPIVGILKGLNGLTSPILTQLYAVMHTSDRAALVFMAAVGPSFVAIAVMFLIRPVGGHRQARPSDKNSFMFIYTVCLLLASYLVGVMLIQGFLQLSNQVAISVTIILLILITSPIAIPVTLTLSPKPEHPIQKPLLSEPSMGEASTSQGNEDQPEVLSSVVEREKPKDIASLPWSERMTKPHLGESFTLTQTLMNYDFWLIWLSLLLGSGSGLTVIDNLGQMCQAVGFKDAHIFASLISIWNFLGRIGGGYFSEIIVSKSTYPRYLVLIFCQIMMALGHFLFAMAWPGTMYIGTFLVGLGYGGHWAIGPAAVSELFGVKNFGGMYNFMALVNPTGSLIFSELITGNLYDYEAEKQEKPLECEGAVCFFISSLIMTALCVVGAALSLVVVFRTKRMRDFSRNRWLVFVAAMWLDSMAGIGYLFGVISPVLKAALGYNQRQVAALGVAKNLGSCVGMVAGTLSSTLPAWALVLMGAAHNVVGYGWLWLIVTRQAPALPLWMMCILIFVGTNGDTYFNTATLVTCIQNFPKNRGPIVGILKGLNGLTSPILTQLYAIMHTPDSAMLVFMAAVGPSFVAIAMMFLIRPVGGHRQVRPSDKNSFLFIYVICLLLALYLVGVMLIQGFLQLSNQMAIYVTMILLILIISPIAIPVTLTLSPKPEHPIQEPLLSEPSMGEASTSQANEDQPEVLSSMVEREKPKDIDSLASSETRKKIADMQAKLVQAAAGGGARMTRPHLGENFTLTEALMNSDFWFIWLSLLLGSGSGLTVIDNLGQMCQAVGLKDAHIFASLISIWNFLGRIGGGYFSEIIVRKRTYPRYIALIFCQIMMAVGHFLFAMAWPGTMYIGTFLVGLGYGGHWAIGPAAVSELLGVRNFGAMYNFVALVNPTGSLIFSELITSNLYDYEAEKQARHYQSSALLSPRLLHSMSFLADRPLECDGPACFFISSLIMTALCIVGAGLSLIDEKDVGTNGDTYFNTAALVTCILNFPRNRGPIVGILKGFTGLTSAILTQLYAVMHTPDHATLVFMAAVGPLLVALGVMFVTRPVGGHRQARPSDKNSFMFVYAICLLLASYLVGVMLIQDFLVLSNRVAAFVTVVLLILIISPIIIPVILTLSPKTEHPSEEPLLSEPSIREASASQEAGDQPEVILSEVEEEKPKDIDSFPSSERRKKIVELQAKLVQAAAVGGALGNPDFWLIWLSLLLGSGSGLTIIDNLGQMCQAVGFKGAHIFVSLTSVWNFLGRIGGGYFSEIIVRYQTDSSTYDLTYYLSLGSIMMAVGHFLFAMAWPGTMYIGTFLVGLGYGAHWGIGPAAVTELFGVKHFGAMYNFLTLVNPTGSLIFSELITSNLYDYETGKQAQHHQSSALLAPRLLHSVGFLADGSLKCEGPVCFFISSLIMIGLCLVGAGLSLVVVYRTKRMLRAFSRNRSLVFVASMWLDSMAGVGYLFGAISPVLKAALGYNQRQVAALGVAKNLGSCVGLVAGSLSSTLPAWALVLIGAAHNFVGYGWLWLIVTRQTPALPLWMMCVLIFVGTNGDTYFNTATLVTCIQNFPKNRGPIVGILKGFTGLTSAILTQLYAVMHIPERAALVFMAAAGPSLVAIGVMFLIRPVGGHRQLRPSDKSSFMFIYAICLLLASYLVGVMLIQDFLQLSDNVAVFVTVILFILIVSPIAIPVSLTLSPKSEHSTEEPLLSEPSIGEASTSQKRRINKSGVEEEKPKDIDSLPSSERRMKIADLQAKLVQPAAGGGVRRPRCGESFTLLQALANSDFWLIWLSFLLGSGSGLTVIDNLGQMCQAVGLKDAHIFVRSTYPRHIALIFCQIMVAIGHFLFAMAWPGTMYIGTFLVGLGYGAHWSIVPAAVSELFGVKHFGAMYNFLTLANPIGSLIFSELITSNLYDYEAEKQAQHHQSSALLSPALLRNIGFLADGPLKCEGPVCFYISSLIMTVLCVAGAGLSLIVVHRTKRVYCHLHFGERLRAFSTNRWLVFVAAMWLQSMAGIGYLFGAISPVVKAALGYNQRQVAALGVAKDLGDCVGFFAGTLSAMLPAWAMLLIGAVQNFVGYGWLWLIVTRQVPALPLWMMCVLIFVGTNGETYFNTTALVTCIQNFPKNRGPTVGIMKGFAGLSSAILTQLYAVMHTPDHATLVFMVAVGPSLVAIGLMFVIRPVGGHRQARPSDKNSFMFIYTICLLLASYLVGVMLVQDFVQLSDSLVNFVTIVLFVLLILPIAIPVALTLSSKTEHPIEEVFLSEPFKGEASTSQGKEDQPEIILSEVEEEKPKEIDSLPPRERSKRIAELQAKLVQSAARGGVRIKSRPHRGENFTLMQALVKTDFWLIWLSLLLGSGSGLTVIDNLGQMSQAVGFKDAHIFVSLTSIWNFLGRIGGGYFSEIIVRDHTYPRHIALAAAQILMAAGHFLFAMAWPGTMYVATFLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLTVANPTGSLIFSGLIASNLYDYEAEKQAHHPSSALLSQRLLQNMGFLSEGPLKCEGPVCFFISSLIMSAFCVVGAALSLLIVQRTKRFYAQLYRSVRT >Et_4A_034527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4056560:4059076:1 gene:Et_4A_034527 transcript:Et_4A_034527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAWWPLLGAAIPALVAGQAFRIKRRRDEEQRLKAARGREKSSDEVFVCERVCTSKRMLKKVGAFSKDPIPETCVTVCGVSELDACADACARTVCVNQHQVPNWNDVCLKRCQSECLKLSSTLILHWSSGFGPCPSPTMKTSVAGERSVTSRQEWWARTVLRVAAWGFSAATRSEREPCYPRSLSPALVLTLVRRWWLRFPPLHPSSISWWEHDSLLHLLQNTKSPELSSRECQITSQVTTTQIQHWGTWWYQAKSQRHNASTQLLY >Et_9A_063546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:735501:737733:-1 gene:Et_9A_063546 transcript:Et_9A_063546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPALVLLLVVLAAAASPQLCLAVDPITTYCAKNFTGGAQKQSSINQVLAALTPRTGDPNPPYYTTATAGHGDAAVWGLAQCRGDIPASDCALCLAAAVKQLASSCRGQADARVWYDYCFARYDDADFLGLPDTGYELILINTMNASSDPVAFDRAQRKLMARVAAHAGDAGAAGLARETAKFDATTTIYGLGWCTRDITAADCGLCVAQAVAELPNYCRFRRGCRVLYSSCMARYETYPFFFPVDGKDAAAASSSDAGEYEKMMQIN >Et_1A_006200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19087729:19095985:1 gene:Et_1A_006200 transcript:Et_1A_006200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGRMKGVEGAGKGAGDEEEERDEEAREELELALSLGRRGWHLPARQEPAPRSLNWTAVLPEWNPDAAGSSRDAGRGSGGQPTPSLGLRDMLGGVILEGIRASGSAEGEDSPQHSGVNATPFGSESLFLPIPGEHIQYKPSHSPEHELDFGLSLFPNDGSNESPRVATNKIVDDVEESGGRNSEDVGIKMDFSDDLLHLIFSFLGQKDLCRAGASCKQWRSASMHDDFWRCLKFENTRISLQNFVDICHRYQNVTELNLYGVINAELLVMEAIMFLRHLKTLTMGKGQLGEAFFNALAECPLLTTLTINDASLGSGIQEVTVNHDGLRELQILKCRALRISVRCSQLQILSLRRTGMAHVSLNCPQLLELDFQSCHKLSDNAIRQAATACPLLVKLDMSSCSCVTDETLREIANTCPNLSVLDTSNCPNIAFESVRLPMLVDLRLLSCEGITSASMAAIAYSRLLEALQLDNCSLLTSVSLELPHLRNISLVHLRKFADLNLRSPVLSYIKVSRCSALHRVSIASSALQKLVLQKQESLSSLSLQCHNLIDVDLSDCESLTNAICEVFSDGGGCPMLRSLILDNCESLSIVELTSSSLASLSLAGCRSMTFLRLSCPNLQNVNLDGCDHLERASFCPVGLESLNLGICPKLSVLQIEAPNISILELKGCGVLSEASINCPRLISLDASFCRQLMDDSLTHMAEACPLIEHLVLSSCLSIGIDGLSSLHCLDKLTLLDLSYTFLCNLKPVFDSCPQLKVLKLSACKYLSDSSLDALYREGALPMLVELDLSYSSIGQAAIDDLLACCTNLVNVNLNGCTNLQDLVCGSDDCGSVDMPVDICPPDSAPIKSEEISEQSGRLLEVLNCTGCPNIKKVVIPSMANYLHLSKINLNLSTNLKEVDLTCSNLYTLNLSNCSSLEVLKLDCPRLINLQLLACTMLQEEELESAISLCSALEILNVHSCPKINALDFGRLRRGAVIYMIHFIEALLVSCQHGIRPLWDCALLSTVDRLAAEA >Et_8A_056152.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:7227928:7228440:-1 gene:Et_8A_056152 transcript:Et_8A_056152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARRFPRRNRPRSSARLPTSPGISPETALNRRESFRSAVRLPTVPDGISPRRLFPGSRSSTTRPPAASHTTPRHWPPHGVAAAGSHGRRRPLGSSASRSATSAARSDASELCATAPRPGMKAAAARSATASRSMTTPAPPIICYGRRCCCVRIYVRRTATHVVNQSAV >Et_1A_006323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20651185:20653188:-1 gene:Et_1A_006323 transcript:Et_1A_006323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEATVEQPLLVPHGRDEDAPSSPVSTEVKRLLQLAVPLVASFILRNAVQMVSVMFVGHLGELPLAGASLAASLANVTGFSFLAGMAGSLDTLCGQAFGARRYGLLGVYKQRAMLVLALACVPIAAVWAFAEQILLVVGQDPDVAAEAGAYARWLIPSLAAFVPLTCHTRFLQAQSVVVPVMASSGVTAATHVVVCYALVYTAGMGSKGAALSSAISYSTNLVILALYVRMSSTCERTWTGFSAEAFSGLREFAKLAVPSAMMVCLEWWSFELLVLLSGILPNPKLETSVLSICINTATLLYMVPLGLGISISTRASNELGAGQPQAVRLAVRVVLCMTLSVGIVLAITMILLRNIWGYAYSNEEEVVQYIARMIPILAVSFFVDGLNGCLSGILTGCGKQRTGAHVNLSAFYMVGIPAGVLLAFFFHLNGMGLWLGILCGSTTKLLLLLWITLRTNWESEAIKAKERVLGSPVSRK >Et_3A_026092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4137269:4141377:-1 gene:Et_3A_026092 transcript:Et_3A_026092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAALALPIFLAAVVLSVAAPALARRCESPSPLPLPSCPEGWQISPVQNRCFMYISSSLSWDKSEALCHNFSGHLAALSSTQELNFAKSLCGASSSGCWVGGRRYNTSTGYGWKWSDDSSVWNQTIFPGEPWHANCSGTLCGLASSNDICTLVTNGHATLTEKRCSESHGVICMINHVDRCYHDHCHKEYFIALIAVSGFILATTLSVVVWLLVYRRSKKRRRSREASGTSTAALVAPQWKVFTSEELRSITKNFSEGNRLPGNAKTGGTYSGILPDGSKVAIKRLKRSNLQRKKDFYSEIRRVAKLYHPNLVAVKGCCYDHGDRFIVYEFVANGPLDVWLHHIPRGGRSLDWAMRMRVATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGSHLMGVGLSKFVPWEVMHERTVKAATYGYLAPEFIYRNELTTKSDVYSFGVLLLEIISGRRPAQSVESVGWQTIFEWATPLVQSHRYLELLDPLIQDLPDVGVIQKVVDLVYSCTQHVPSVRPRMSHVVHQLQQLELKSAASEQLRSGTSTSATSPMLPLEVCFSGWLALGSSGFLVCVLATRPGACATLVLTLLVVALGFFALGASSLSRILEVFSSSCFTEDGGLARWGLPAAGLGAAGLAKSSSRSSMTMSFSHSSLMLGEGFPFLDWLGVFSFGIRNDDVCGSLKEWIWSLRLPALSAAWVRKLASGT >Et_4A_033085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18226565:18229067:-1 gene:Et_4A_033085 transcript:Et_4A_033085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCDLKKEAVKKVYQKESVEEEEDEDRNLWPKAKELMDAKPEDFATQGVTLKLEMVWTQDKLALGIISIGCSKVQIGHFVPKKLLISGQTRYDTAMTEIDHVVVHNIADIFSHPMLAVLQVAHLVESNPAWRAGIERVLQALAEKTNLDIDALMRPESEPDVEWCDGVERVLQSTSTTVFSASRATVGTRASTSDGPNSTAMADSSGTVETVSPLSLDIRALNSLPTGALSAMSCRDQHCRQFTSKLSAASLIPDKVVELHSGQSSGDVGQSSMMGGGAAPSGRAPWRWFAVGQAEWVPVPKLPAKVCSRKASSIIALGLSSRI >Et_5A_041426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22432804:22434700:-1 gene:Et_5A_041426 transcript:Et_5A_041426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIFMIFFDHLYDSSYKFGVEFLGYTKCEYKFDDRVLDIVFHTYPFIISIFFTTAPRPEMEALILEVGEHEDDVCGDRQSAATRNMLSRWPTAGRKYGVMGTKWGCDRNRKPSALTDVRTPRRRGGAARWKAR >Et_7A_052325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6986988:6988553:-1 gene:Et_7A_052325 transcript:Et_7A_052325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANKYSERQPIGTAAQGSDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFIATFLFLYITILTVMGVSKSSSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYIVMQCLGAICGAGVVKGFQQGLYMGNGGGANAVNPGYTKGDGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNREAAWNDHWIFWVGPFIGAALAAIYHQVVIRAIPFKSRS >Et_7A_051066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14038368:14041687:-1 gene:Et_7A_051066 transcript:Et_7A_051066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIEDVLGPAGLSGGGAPPGLRIPLSATVAVKPKRKSSRVAQTPEPRIPGTQSDSEYMSGQLSAFGYDVSDEPEGADLWLINTCTVKNPSQSAMTTLINKGKSANKPLVVAGCVPQGSQGLKELEGVSIIGVQQIDRVVEVVEETLKGHEVRLLSRKTLPSLDLPKVRKNKFIEILPINVGCLGACTYCKTKHARGHLGSYTIESLVDRVKTVVSEGVREIWLSSEDTGAYGRDIGTNLPNLLNAIVAELPIDQSTMLRIGMTNPPFILEHLKEIAAVLRHPNVYSFLHVPVQSGSDAVLEAMNREYTVGEFRKVVDTLCELVPGMQIATDIICETDEDFAQTVKLIKEYRLPQVHISQFYPRPGTPAARMKKVPSNDVKKRSRELTSVFESFAPYKGMEGTVERIWITEIATDGVHLVGHTKGYIQVLVIAPDSMLGTSADVKITSVGRWSVFGEVIEGSVVAKEAPKQPRTELQKDCRENQVEEASCCATDSCGTCACSDAAQSCGTERCGNPPDAPQTSSGDVTRVEATQSTLVKRAVAKARKPTDKEQQVNVVTSRTVNIDKVLWCGLGLSFAATVALLVLLTSRISSTPSN >Et_3B_030747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:774789:775737:1 gene:Et_3B_030747 transcript:Et_3B_030747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRRVENEGARVFITPSPPVLDQPLTNYNQDAYRLQLKLFLYEVKQQQLLSGIRSYLKLYSTITISKLAQYMEVDDYGLYIKR >Et_9A_062369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22274652:22277308:1 gene:Et_9A_062369 transcript:Et_9A_062369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISRLAAAKRFYAAQLGHGDRAALEELDMDEEVRPEFACPYCYDDHDVASLCAHLEEEHPFEPHAANRRRLRRFVIPGSQALSLLSRDLREAHLQVLLGGGHRSSNSNTTTNISADPLLSSFGLSFPTSEADETSKSTISIPDDATLVKETPARAQKLSIDSSLTSEEREQKRKQASIRATFVQDLLLSTLFGD >Et_10A_001052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20598494:20604298:-1 gene:Et_10A_001052 transcript:Et_10A_001052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGELLSKILLLLFGYAMPAFECFKTVETRPNDAHMLRFWCQYWIIVAMVIACESVISWMPLYGEIKLAFFVYLWYPKTKGSDVVYDTFVRPIVMQYEPNIEQRLLHLRAKSGQLLSFYVKNFADKGTAFFMDVLRYVVSEKPEGSNAEQKNKKSGWSPFATKRRPPSPPPQESLFESNPDAAVVAEALRATLGAHPRRAPNGKHY >Et_5A_043006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9919828:9922917:-1 gene:Et_5A_043006 transcript:Et_5A_043006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWSTLPSDLLRRVGDCLLATSDIDCYMAMRAVCHDWRFATVDPRADGAAAVDPRFRPRRWVMLEEESNGDVDDARLFVNLSTGHFLRRRVPLLRDNILVAATSDGLFVLGDKSYPHAASILNPFTGSLLRFAARIPSDGRVVAAVADYDPTLLFVAGFGYHAYIGGEVMCADPTSDILFAPYFGHDNHFDLTASMVSHAGHVYMAAYPKGEVVQSTGTVDHFPSGRLSPRIDMVLPPMVETTAFCGHTYLVGSAPELLLHLGTMGSSVERREQQQIQRNYLRQWRRLADLHLGFVENVYYRPSSYGSKSRSSQRYA >Et_7B_055702.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:22973985:22974320:-1 gene:Et_7B_055702 transcript:Et_7B_055702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAKRRRTTPPAAAVAIASAIPNELLFFEILARLPLHSLARFKCVCRSWRAGIENPAFVRRHLNFVSPDILFPRGRSWVSLMFVTRPASIAHAGGRDGRRPRRPAYYKQL >Et_7B_054527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22651684:22652974:1 gene:Et_7B_054527 transcript:Et_7B_054527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMAEEKQVAAGGPDGAAAAGDAAEGPARVRPVETLLRAAPLGLCVAAMVFMLKDKQTNEYGTVAYSDLGGFKYLVIANGFCAAYSLGSAFYTAVPRTASLSSSWIVFLLDQVFTYLILAAGAASAELLYLAYNGDKEVTWSEACGVFGSFCFQARISVAITFASVLCFILLSLISSYRLFSAYEAPPPLGNKGVEIAAYPR >Et_3B_029746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27601387:27608962:1 gene:Et_3B_029746 transcript:Et_3B_029746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPQHSREFEFHMSAPLDQWEPMASPADELFYNGKLLPLHLPPRIQMVETLLETAADKGLLSASTAPATPYQSCNASEANSCYVSGELNAEHYFHECISAGIAFAEEAAASEKKPWSRKLKFIKHLNLGLKLKASKAYIKTIFATKGGNPADKNGTPRANELSNAQFKTWRKNPFGHIRSNRYMASPISNNSTLGTKLKEDERGHRRSFSSVVIRYSSSNKTSSVSSSSCSSSNSSSFSIPSDSGVGPVLRRSSSARCKLRDDNPIQGAIAYCKKSQQLASVRKSASDAGFRFMSSSASKIAAESEYAEDIIEISQVTNVNSQQIRLKVIFGKRSSENQRRTLPEDNEEENETLCQDSVCTMDRHIRGIVALQKSWSSGISYPQNSGNKQGAMQAQQTQ >Et_10A_001878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9517583:9518019:-1 gene:Et_10A_001878 transcript:Et_10A_001878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RHVQALSINNRWTGYLTNYGQPSNLVFRLQDPKPVLYMKGHRQPKGKEETLRLSGNWILYSDISCHRERSRPRQRAMKRMMAGRTSTKWCCNQSMTRPLWLASLPF >Et_9B_066065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2255619:2256793:-1 gene:Et_9B_066065 transcript:Et_9B_066065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTEAAADIAVDMYPFLRRAHRAAATGVATRDVVIDPATGVSARLFLASSASRSKPLIVYFHGGAFCSGSAFSKLFHRYAESLAARAGALVVSVDYRLAREHPVPAAYDDAWAALQWAASLSDPWLAGHGDPARLFLAGESAGANIAHNVAARAGSVDGDGIDIEGLIALHPFFWGSDRLPFETDRRHDGHALAPERLDVYWPFLTAGAAGNDDPRFNPAAEQVASLPCRRAFVSVASEDVLRDRGRRYAAWLDRDDRGREVTFVESEGEGHTFHLFRPARAAAVELMDRVVEFVNRTSTTVPSSSMDEMLAALANNGSGKKVCVSPRSMARVNALQLVGPEQASSAARFGLMTGHSRLVPASNKLVRKDQFGPAGLGRTVARAYL >Et_4A_032252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26454706:26455065:-1 gene:Et_4A_032252 transcript:Et_4A_032252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAERKAREAERERMRERARRAREAGPDAFRKGKYPRCTQ >Et_8A_056723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15963003:15969962:-1 gene:Et_8A_056723 transcript:Et_8A_056723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRASARGGWGETRGTLSDKTNAAALNTILGRWRLRASPRWNISGEPCSGIALDTTDVDNDPNINLGIKCDCSFNASTVCHITKLKVFNLNIVGQIPAELQNLTYLTNLNLRQNYLTGPLPSFFGKFSALQYLSLAHNPLSGPLPKELGNLTNLLSLGIGSNNFTGELPEELGNLVNLEQLYCGSSGFSGPFPSSFSKLTNLRILWAPDNDFTGKIPDYLGSLTKLQDLWFQGNSFQGPIPASLSKLTKLTSLRIGDLVNGSSSLDFIRNLTSLSVLILRNCRISDNLATVNFSKLAGLTLLDLSFNNITGQIPESILNLQNLGFLFLGNNSLSGSLPNAKSPSLNNLDLSYNHLWGSFPSWATQNNLQLNLVANNFVLGSSDHSILPSGLNCLQRDTPCFRGSPEYYSFAVDCGQTRWGVSNVGKFNQAPNGSYVIYRSQQFQNALDSELFQTARMSPSSLRYYGIGLENGNYSIDLQFAEFAFPDTQTWQSRGRRVFDIYVQGDLKEKNFDIRKTAGGKSYTAVYKKYSATVSRNFLEIHLFWAGKGTCCVPAQGYYGPMISALSVTPNFTPTVRNGVPKKKSKAGAITAIVIGAFLFGLAALAGTFIWVQKRRRAALQKEELYNMVGRPNVFSNAELKLATENFSPQNFLGEGGYGPVYKGKLPDGRVIAVKQLSQTSHQGKNQFATEVATVSAVQHRNLVKLYGCCIDSNTPLLVYEYLENRSLDQALYGDSGLSLNWSTRFEIILGIARGLTYLHEESSMRIVHRDIKASNVLLDADLTPKISDFGLAKLYDEKKTHVSTKIAGTLGYLAPEYAMKGHLSEKVDVFAFGVVVLETVTGRSNTDYSLEEDKMFLFEWAWELYEKGQALSMVDPRLDKEFESEEALRIIHVALLCTQGSPHQRPPMSRVVAMLTGGYEVTQVVTKPSYITEWQLRGGNSYVTTDYSSDTTGEFSAQRDVVVPLTPSPVVTGVVDGGR >Et_1A_008874.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:1768402:1769511:1 gene:Et_1A_008874 transcript:Et_1A_008874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRLVNLMVTTSIGRRPAFSLRRINPWRCFYPTTAQALEAASAAKNKTVMEDARLPPAAMSFYRPCPPDDIGHITFASLGCSSNDIISMDQDGNTLLYDSSSRAVRAMPAPHAPKLSPISVAVGGSLYLLDSNPGPQEDHPFEALVYRGPRAGRFREKWCWRSLPPPPPPFSFDYECGEAEEVNDEGNTSYVIEAYSVVGGSQIWVSTVSGGTFSFDTTSGAWSKAGEWALPFHGRVEYAPELDLWFGFSSKDTRQVVASDLGAASAVKPPLLVKVWDELVPPLPERWVPVMSYLLPLVSGKFCIARVFDLAEEGWSREKDGNEYLSAESFAVFTGVEVVRGSKGVLKMVRHKSRRYSCGRRIVQMLL >Et_8A_058066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17163758:17167618:1 gene:Et_8A_058066 transcript:Et_8A_058066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEYQEVVHHNSGGTKGQTVSPLKEGWTPLEDSALEEMIKVYGQQNWVAVATGVPGRTGRQCRGRWINNLRPGIQEKNKWTEEEDNNLIEAHKICGNSWKAIANFLGRSENSVKNHWNATKRSIGSRRWVKKKMREQTFCQFTALEVYIGSLCMNSQPEQLGSDPGHQQQAFPNTFVEPLVSNPHAVGMYMNDGGVVPPQMMQDGLVGESNAINNIVPLSENAVWQSSNLGNSNGFSDEVLM >Et_3A_023210.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:11391522:11391605:1 gene:Et_3A_023210 transcript:Et_3A_023210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREARGPAPTAGTQPSPRGPRPRARTS >Et_10B_003406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19537180:19540632:1 gene:Et_10B_003406 transcript:Et_10B_003406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTRRCGGRRHEKPAVVGDNSNGYVETDPTGRYGRFDELLGKGATKSVYRGFDEERGVEVAWNQASLSEVLRTPDAVERMYSEVQLLATLRHDAIIGFHASWVDARRRSFNFITELFSSGTLRSYRLRYPRVGLRAVRSWARQILQGLAHLHAHNVIHRDLKCDNILVHGHTGQVKIGDLGLAAVLREAAHSVIGTPEFMAPEMYDEEYDALVDVYSFGMCMLEMLTLEFPYAECSNPAQIYKKVTAGKLPDAFYRLKDDEARRFIGRCLVVASKRPSAAELLLDPFLLQDDHHATLPSPRPLPADVSDTTTTTTTTETSSSSLTDDHQEPAARSDNMTITGKLNAEEDTIFLKVQIADDETGHVRNIYFPFDLASDTATEVAREMVKELDITDRDASEIADMIEQEIDRLLLPGSREQHEYSYANDENEEQVPPPFYYLSSSPTSSQGSSRCGVGPWSSSAGFPGQHGGGWSKDHCYYSLSDDDDMSSMHSGKYSALHYDTSGHEEEAQAPEQEQQVGAGPTSSGSSKAKNTRFGPGADTAAHLQLQRQCSIMSPHERRRGRLSRNRSMVDMRSQLLHRTLVEELNRRLFFNTVAAVENIGFRAPPGYGSSSSSSSTTRGRGRHDDHRRRSTRDDKDRYYML >Et_2B_022345.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18767491:18768786:-1 gene:Et_2B_022345 transcript:Et_2B_022345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKRSAAAVQPTTDDEPAASGTIMDATRLAAVCDKIDEYFERGAAPVNRRQVEAVCAMIDGRAVSRKDRRMRRRNCVDSTRRYEQASQIGSGTFGVVAKASHRGTGRTVAVKTFRALDAGERPSDVGDVLREACFLAACRGHPNLVGFHGVARDPATGEYSLVMEYVGPSLRSALNDRLEKTGRAFTEAAVRGVMRQLLTGAEAMHGRRVIHCDIKPGNVLVGDGVVKICDLGLAMSPAESRPPYGSVGTHRYMAPEVLTGVPEYDERVDTWSLGCVMAELLSGEALFKPDYVGDDDVHRQLDRIFDVLGVPAVETWETFMPPPRMGGEVLRRQAAQPRPPCPRGRLRELFPRETLSQDGFEVLKGLLACNPKERLTAAAALRLPWFTTDTGDGTILGDVASPLVRVLNNVWALVRQIALPLIVSCPGVA >Et_1A_009365.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4124353:4125477:1 gene:Et_1A_009365 transcript:Et_1A_009365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSAVRAALVLAAAFLLLVAASGPAAAAAGLPRFAEAPEYRNGDGCPAHVAGAGVCDPSLVHIAMTLDAHYLRGSMAAIYSLLKHASCPESLFFHFVAAEGGAAPPVAELRAAVAASFPSLRFEIYPFRADAVAGLISASVRAALEAPLNYARNFLADLLPRCVPRTIYLDSDVLAVDDVRRLWETRLPAAAVVAAPEYCHANFSRYFTEAFWSDPELGERVFNSRRRAPCYFNTGVMVIDLRRWRVGNYRRRIERWMEMQKEKRIYELGSLPPFLLVFAGEVEAVDHRWNQHGLGGDNVLGSCRPLHKGPVSLMHWSGKGKPWDRLDAGRPCPLDHTWKSYDLYIGENDSASAPSRSALSSSALPAAVFSW >Et_4A_033974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28675086:28678311:-1 gene:Et_4A_033974 transcript:Et_4A_033974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRAPPPALVGSARVGFGSGLRLASHRVLKPRGLSTTCAMKSYRLSELSYAEVTGLKARPRIDFSSIFGTVNPIVEDVHVRGDAAVKDYTEKFDKVTLDDVVVRVSDLPDVELDPAVKEAFDVAYDNIYAFHVAQKLPETTVENMKGVQCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCHIIVLATPPSRDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVLAGDGVDLGAIEAEVSKQCNALPRGDFASKALSHSFTVFAKDMIEAISFSNLYAPEHLIINVKDAEKWEVLIENAGSVFLGPWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTEEGLRRLGPYVAKMAEVEGLEAHKRAVTLRLQEMEANVTM >Et_3B_028738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18642513:18643064:1 gene:Et_3B_028738 transcript:Et_3B_028738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSIVSRVCRGVRSWSTHRQMSSYQRLLTTCSPSSKRPAWRAIRLGRRRLVLGSPAVWRSGSGGRRPPLHLLTRLVDACINSTLLRPLKAAVGVVASDEEGASPPSTTPPKLRRHGGGDSSRRRGGDLGGGRGVMLNICVAEVLLRRSSSQVRRSPLQAARMKGRQDQEH >Et_5A_041166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18984465:18986347:-1 gene:Et_5A_041166 transcript:Et_5A_041166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGKQEGPKMFSSRILSRDLSNVTNASFRVYYSLGAGTVPFVWESKPGTPKSAATPALATNTMPPISPPPSYQSKSHQSKIRKGDRKAASWPAGGWVSWLSLSIRRRSPPSVTDHRQRWLASDPGVDDEQQRRRRSTSCPNRNNQIDMSMPIRLFAKLFNLESFFTENKENVLATKKLHKRSGLTKS >Et_4A_035565.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29469238:29469792:-1 gene:Et_4A_035565 transcript:Et_4A_035565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINLVTTSLLPSCRTFRAAASSSARVLRSRLHRPPSPSAARLAGVRCHAAMMPPEFPGPTPSEVPGTNRPPEEVPGTSRPPAEVPGTDRPPAEVPSIDTPPEFDAPPGVDVPMPGWPGTPAPGPELPGPSIPSPPTPTPEVPNVPPNPDVTPPPPPEVDPPRPPPEVEPPLPPGAAPVPPPVV >Et_3B_028910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20282462:20284702:-1 gene:Et_3B_028910 transcript:Et_3B_028910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGDPLLAGEDGGGGGRLGFLPPSIRLKTSVWSELGGAVGDLGTYIPIVLALSLASHLDLGTTLIFTALYNFASGVLFGIPMPVQPMKSIAAVALSSAHLTVPQIMSAGIAVAAVLLFLGATGLMTCIYRLLPLPVVRGVQLSQGLSFAFTAVKYVRYVQDFSRSSSASTAVPRPLLGLDGLVLALAALLFIILATGSGDDEDVGSDGERRRRRRSCSRVPAALIVFALGLVLCFARDPSIVRGLRFGPAPLRLVKITWDDFKIGFWEGAVPQLPLSVLNSVIAVCKLSSDLFPERAELSPARVSVSVGLMNLVGCWFGAMPCCHGAGGLAGQYRFGGRSGASVVFLAVGKMALGLVFGNSFVTILGQFPIGILGVMLIFSGFELAMASRDMGTKEESFVMLICAGVSLTGSSAALGSSRGSCSTCCCGSGTWTTGASSVVGAPADIKPGTKLEEMLTKMLDQDLMSYRSEARILWYIHTHESICHLAKKKRVNLSYVLFRRCNDLLMAR >Et_8B_059017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12379524:12379924:1 gene:Et_8B_059017 transcript:Et_8B_059017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKESVAKARERAANPPLYHCGYQSELEMPPPGQPERGCDFQEFIHRPKSHYPYPDSLPDDVLNGVVLSVSPSLNINAFLVLFKSP >Et_9B_065826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1427771:1431357:-1 gene:Et_9B_065826 transcript:Et_9B_065826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLNVAEGAHEKPASAARSDSGTSDSSVLNAEASTPPPPAVLEFSILRSSASAEGDSDDDATPSPPRPLQPHQPPPPPQLVTRELFPAAGGPPRPVPPHWAELGFFRAEPPPRTQQPDIRILPHPHAPPPPPVQPPAAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLNDYEDDMKQMKSLSKEEFVHVLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYEGELLTEVGSEGADVDLNLSISQPASQSPKRDKNSLGLQLHHGSFEGSELKRGKASIDAPSELAGRPHRYPLLTEHPPIWPAQSHPFFSNNEDATRDHNRRPEGGTGAVPSWAWKVSTPSPTLPLPLFSSSSSSAAASSGFSNTATTAAPATPSATLRFDPSSSSSRHR >Et_10A_000911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1981447:1985008:-1 gene:Et_10A_000911 transcript:Et_10A_000911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVKLDCQTKRFSMHPTMQESMVGLVLILFIFFPAAVTPEGVSSWHSISLPGCADKCGEVSIPYPFGIGVHCAAASLSSYFVVTCNDTFHPPRPTVGDDEGAVEVTDILLEQGEKRVLSPVNYICFSSNSTSTKLTGGYELRSTPFLPSPSRNRFTVIGCNTLGLIGGYKGAASQYVAGCYSYCEGINTTSDGAPCAGLGCCEAAIPANLTAFGVMFEMNQSKVWSFNPCFYAMVAEVGWYSFRQQDLAGYLGFIDYRAKSGAPIVADWAIRNGSCPEEGNEPPSGYACVSANSYCASANNDIDECMLRMQNIKYEELYPCRMGVCHNTPGSYLCKCKMGTRSDGTNFGCQSLRAPAGLVIGLCVSAIVLMALACLFTMQLQKRRHKKEKEEYFRQNGGLKLYDEMRSRKVHAICILTEKEIKRATDNYNEDRIIGCGGHGMIYKGILDDHKEVAIKKSKIINDDSREEFVNEIIILSQINHRNIVRLVGCCLDVDVPMLVYEFVSNGTLSEFLHGTDCRVPIPLDLRLKIATQSAEALAYLHSSTSCTIMHGDVKSANILLDHQHNAKVADFGASALKSMDEREFIMFVQGTLGYLDPESFISHQLTEKSDVYSFGVVLLELITRKRAMYDDKSNKKKSLSYTFLLRFRQNKHQIMLDSEITDEAVIVVLEKLAKLAVHCLRPSGEDRPTMKEVAECLQMLTRLYMDTTNGYDNIHYAHNHHEGPSSIEVPLDEMTCSSIDTTTL >Et_10B_002861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12655886:12669222:1 gene:Et_10B_002861 transcript:Et_10B_002861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKLLCSNRRLSTHIGLDIDKLQMLLSFKAQGARLLEASLDDHAGLVAAVKQVDVVVSAMSGAHIRSHNLLQQHKLIQAIKEAGNVKRFIPSEFGIDPSKMQDALEPGRVTFDEKMDLRRAIEEANIPHTYISANCFAAYFCPNLCQLGTLLPPKEKVHVYGDGNVKVIFVDEDDVATYTIKSIDDPRTLNKTIYIRPKDNILSQNEVIKKWETLSGKRFLPSEFGMDPARMAHALEPGRITFDEKMELRRAIEEANIPHTYISANCFAAIFCPNLCQMGTLVPPKEKVRVYGDGNVKVIFVDEDDVATYTIKSIDDPRTLNKTIYLRPKDNILSQNEVIMKWETLSGKVLEKIHVPADEFLASMKDMDFAQQVGVGHFYHIFYEGCLTNFDIGEDAAEATLLYPEVVYTRMDEYMKQYL >Et_7A_051311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16894824:16896392:-1 gene:Et_7A_051311 transcript:Et_7A_051311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGASSRVSSGESNASLLRTLQNIPASFLKEITDNFSPERKLGEGAFGTVYKGILRDESTIAVKKLDQKSQMPAERQFTNEVGNLMAIQHENIVKLVGYCHESHKEVVEHNGKYIIVDVTETFLCYEYLPRGSLDTYLFESHTIDWDTRFNIIKGICKGLHFLHTGMDTPVVHMDIKPDNILLDDNMAPKVSDFGLSRLFGKEQTRINTQNVVGALGYLAPEYLYRGEISTQSDIYSLGILILQISTGDKITPNNEDKCGIKFIEQVRQKWTLHQITSTFASFDARRLQEVNICIQIGFECVENDRKKRPSIVDIVDRLSGKR >Et_3A_025526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30474863:30477945:-1 gene:Et_3A_025526 transcript:Et_3A_025526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKESTSIPLSQAAEAVDPEDPAKSPPRPSSPTTSTRQACCAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPLYAQLGINIFGLGFLTSLVFIFLVGIFVSSWVGSTIFWVGEWFIKKMPFVRHIYSASKQVSTAISPDQNTTAFKEVAIIRHPRIGEYAFGFITSTVVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSIREGIEIIVSGGMTMPQVIASLEPMPRKNQSIRLNRMS >Et_1B_010203.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:29629729:29629821:-1 gene:Et_1B_010203 transcript:Et_1B_010203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLSAFSKVSGVPLVFGLFCRQVSWCRFQ >Et_8B_059889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3779154:3779463:1 gene:Et_8B_059889 transcript:Et_8B_059889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMIYIQLATSICSNTLITSPHLTHGESYRRSQPGCYTGTRWETNLTGKDGQKRQLQLSKCAELIMRAEDNNGSLNTFAYVETLEPLPSPNTLG >Et_8A_058337.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:5203965:5204168:1 gene:Et_8A_058337 transcript:Et_8A_058337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEFDESDTWGAFRSAAPDPNPVEPPRARLAPAAHGSLPVNIPDWSMGDEYWGQRSRGCRLPLTLI >Et_3B_028805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19315655:19318100:1 gene:Et_3B_028805 transcript:Et_3B_028805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSWWCPWVTPWLLAAVLCAAGGAAAQETCSGAVPTPPRRGARVSVADFGGAGDGRTLNTAAFARAVASIDRRRAPGGATLYVPPGVWLTGPFNLTSRMTLFLARGAVIRATQDTSSWPLIEPLPSYGRGRELRGARYISLIHGNGLQDVVITGDNGTIDGQGSVWWDMWKKGTLPYTRPHLLELRSSSDIIVSNVVFQDSPFWNIHPVYCSNVQVRNVTVLAPHDSPNTDGIDPDSSNNVCIEDCYISTGDDAVAIKSGRDEYGITYGRPSSDITVRRITGSSPFAGFAIGSETSGGVENGQNIKEAGLIKGIADAAFSRICLSNVKFNCGLPVRPWKCEAVSGGALDVQPSPCTELTTTSGTSFCTNSL >Et_4A_034907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7751139:7752639:-1 gene:Et_4A_034907 transcript:Et_4A_034907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGANSEANRDEKRSGAAGSGSGKVYHERQRLQFCLLHALNNLMQEKECFTRADLDGIAGNLVLNDPNKGQWTPLSFIFKPHHNVVTGNYDVNVLIASLEARKKKVIWHDHRKGASLIDLDAEALVGLMINIPVKRLRGLWTGRHWVAIRCIDGVWFNLDSDFSEPKKFKDKENVIAFLDSVLSQGGELMIVLQDE >Et_10A_000897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18915124:18917399:1 gene:Et_10A_000897 transcript:Et_10A_000897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PGCSSVGGGAFTELGPFYRRGDGRGLRLNKKSWNKELLTLFEMNNEASDMRIFLLKWYKKFPEYKSRDLFLTGESYAGHYIPQLANALLNHNKESKDFKFNIKGVAIGNPLLKLDRDIASIYDYFWSHGMISDEVGLAITNACDFEDFTFSSPHNESESCNDAIAEANKVVGDYVNNYDVLLDVCYPSIVMQELRLRKYVTQISIGVDVCMSLERYFYLNLPEVQQALHANRTSLPYQWSMCSKVLNYSDTDGNINILPLLQRIIEHKIPVWVFSGDQDSVVPLLGSRTLVRELVHDMGLPITVPYRTWFHEGQVGGWVTEYGNQFTFATVRGAAHMVPFSQPNRALGLFSSFVNGQRLPNTTSPSVR >Et_2B_020720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2285285:2285914:-1 gene:Et_2B_020720 transcript:Et_2B_020720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKEVEASSYLRDDTLIIECDVTVIVKEPRTGGAGGIIVVVQGAGAALGPVRQSPETDGEERVGCYFPDQRRGGFCSQDHPRNAVTRGVIYTDSVPAIREGSTQMVKHLLAAADRYGVARLKLICEDILLRIEAGNVATELALAEQHDCSSLRDACVEFVASTNKLDVVVASQGYKQLRKGSPTMLVDMLEKVTKWMHRHKKME >Et_7A_051851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25587615:25588730:-1 gene:Et_7A_051851 transcript:Et_7A_051851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAVQGMAMSANPALPSHHHGGVCPSFITSSYALAATSSPRARTTTTLATALAAAPAPVLQVYCGRGDKKTKRGKRFNHSYGNARPRNKKKGTGPPRLYAPPAPPRKDQFDDGEIIPVEIDEDILE >Et_2A_016505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25397749:25400212:1 gene:Et_2A_016505 transcript:Et_2A_016505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVRSPYLLIFMPSFWLCYSLLATLHLPYGNSLSFNFDLSQPNGYNAANFSFQGDAYLHPQAIELTSNKNSVGRVSYPILVTIWDDITGELASFTSTFSFQIKGFLGGGMAFFLDHYPSSIPSMSFGGGLGLMSMDASKTGQIVAIEFDTSLDPDFDTSDNHMGIDIGTLVSKVYTNTTVRDNTLTSGLVMTCNISYDNSTQSFSADLLIGGTSYQVETIADSRQQLPSLVAIGFSAANGMGEDQHRILSWSFNSTLDGSRHAPAPAPAPHGRRHQQSKSKSKHPKFIIEEIVGVALGLINLIACLTCTALLVLRLCRLKMKKYYETPAHVPRRFSYRQLANATNNFAEESKLGEGGYAIVYKGELRNSRRSVAVKKFKPGTCSSLGRRAFEDEIKVISQVRHRNLVELVGWCNDGKTHRLLLIYELVSEGSLSEHLHGGRSWLSWPTRYKIILQLGCALRYLHAECKECIIHGDIKPCNILLDSQYVAMLADFGLARLVNHENQLQTTSNVAGTIGYVDPEFVCTGKRRKESDVYSFGIVLLEIASGRHPGCINHDTTMVTPLLKWVWGFYDRNAILEAADATLRDESNEEDRNQMQQVLLVGLWCAHPDPSQRPSIEEAVTTLKGRGHIVEITHLPLHTAIPRPLSLTTIEIVSGSSDGGLSSNAGRADENSYDITIISFRGISSSF >Et_3A_026397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7725712:7733464:1 gene:Et_3A_026397 transcript:Et_3A_026397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGGDAAAEGGGFEWDADSQLYYHASTGFYHDPVAGWYYSSRDGQYYTYENGNYMPLTTDLGSDPKAHHPCDEANQDVLECSWQEPAIPDNENETLGPPSEWMEETLINLYLSGYKNIEVNAEKSLGDQCSNEEDRNETVGNLSSDNASSPNDATLPQNEDEMETKNSTSVHETLSEEEEKWLSQCGQVERINDDIPLLPAIDLWDWDMVQEPVSKGQPVARLVGCLSRGSSKLHPCLPARGGLLRTAPVREVHLDLVRVSTGKLYRLRNPCRKYLTSLSTYDSSNPTKDWGFPDIYANPDIDLHKQPIAQCQSEVADESSSESGISTASGKDHKTKAYRDRAAERRNLHGGLGIGPGQKRSNIFDSGEYEESSEDMGPMELVDMNFRSSGLHSAKRIMESMGWKEGEALEKSGKGIVEPIQPTMNKHGAVYRWGASNDPIEQSSWNSSSGLFEF >Et_6B_049335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3443184:3448394:1 gene:Et_6B_049335 transcript:Et_6B_049335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDRNQRHRSSFGSTATIVLFVAICLLGLWMMSSPQTVPAAMSAASSSSEKVIKAEVKEEDSSIDATNTVKQESANVVAETTTAAAGDGGNKAASFDDENGTTEGGELVKPGAGEAEKKRDEKKGTKGSKKNKKKSDEESGSSFTWKLCNSTAGADYIPCLDNEAAIKKLKTTKHYEHRERHCPSSPPTCLVPSPPSYREPIRWPHSRDKIWYHNVPHTRLAAYKGHQNWVKVSGEHLTFPGGGTQFKHGALHYIDLIQESLPEVAWGKRSRVALDVGCGVASFGGYLFDRDTLTMSLAPKDEHEAQVQFALERGIPAISAVMGTRRLPFPGNVFDVVHCARCRVPWHIEGGMLLLELNRLLRPGGFFVWSATPVYRKLPEDVEIWEEMVKLTKAMCWEVVAKTKDSVDQTGLIIFRKPVNNRCYEKRSQKEPALCEPSDDPNAAWNITLRACMHRVPDDPTVRGSRWPAPWPERVRKVPYWLSSGQEGVYGKPAPEDFAADTEHWRKVVRSSYLTGMGVDWKTVRNVMDMRAVYGGFAAALREMDVWVMNVVAIDSPDTLPVIYERGLFGIYHDWCESFSTYPRSYDLLHADELFSKLKPRCKVLPVIVEVDRILRPNGKFIVRDDKETVDEVQRVVRSLQWEVRMTVSKNNQALLCARKTTWRPTEGGEESESEHAVVSVRIGTIGGRASLLWI >Et_1A_005845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14623680:14630928:1 gene:Et_1A_005845 transcript:Et_1A_005845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CEFSAASRYRSNSDETSPPATNPKPPVLHTKLAEEPAVAGPAVSAMVLIPLVRDYIDRMLHDIPGMKVLVLDPETVGMVSVVYSQSDLLKKEVFLVETVDNASSSRESMAHLKAVYFLRPSSDNVQKLRRHLAAPRFAEYHLFFSNILKIPQIRVLADSDEQEVVQQVQEFYADFCAIDPYHFTLNIRNNHIYMLPTVVDPPGMQSFCDRAVDGIASVFLALKRRPVIRYQRTSDVAKRIARETAKLMYEQESGLFDFRRTENSSLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIENNKVDLRGFANVPKDQQEVVLSSVQDDFFRSNMFENFGDLGMNIKRMVDDFQHLSKSSLNLQSIGDMAKFVSNYPEYRKTHGNVTKHVALVSEMSRIVEERKLMVVSQTEQELACTSGQAAAFEAVTSVLNNESVSDIDRLRLGMLYALRYEKESPVQLMQLFNKLASRSAKYKSGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLLFQTMEGIVKGRLRDADYPFVGNHFQQGRPQDVVIFIVGGTTYEESRSVALYNAANPGVRFFLGGSVVLNSKRFGGGTKNIEVEHSNMSRMVPSLRVNKD >Et_6B_049487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5544096:5548865:1 gene:Et_6B_049487 transcript:Et_6B_049487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESRQGSKGDSMAATAVASEVSVDWRGRPCDPRSHGGMKAAVFVLGIQAFEMMAIAAVGNNLITYVFGEMHFPLSRSANIVTNFIGTVFLLSLLGGFLSDSYLGSFWTMLIFGFVELSGFILLAVQAHLPQLRPPPCDMMAAAAAGQACEEAGGVKAGIFFAALYLVALGSGCLKPNIIAHGADQFRRGGAGEARRLSSYFNAAYFSFCVGELVALTVLVWVQTRSGMDVGFGVSAGAMGIGLISLVAGAAFYRNKPPQGSIFTPMAKVFVAAVTKRKQVCPSTPPLQGTSNAATYEMSVNTTNICRINKFRFLDKACMKAQDGAPAAGKESPWRLCTPAEVEQVKVLLCVVPIFACTIVFNTILAQLQTFSVQQGSAMDTRLSASFHVPPASLQAIPYLMLVALVPAYEAAFVPAMRRLTGVSTGITPLQRIGVGLFAVTFSMVAAALVESRRRAAAVAGDGERLLSIFWIAPQFLVFGLSEMFTAVGLIEFFYKQSLAGMQAFLTSMTYCSYSFGFYLSSVLVSLVNRVTSSAAGDGGWLANNDLDKDRLDLFYWLLAALSLLNFFNYLFWARWYSKSVETVQVAVAGDRDDEKDATEC >Et_3A_024290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19137502:19139221:-1 gene:Et_3A_024290 transcript:Et_3A_024290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKTDAAPRLDEADRTLYSTFCGAANSLSQLYTQAMAQQKLSFQAGERHGLEKLYQWILRKHEEEERLTVADIMSHIEHEMDYGGTDAHVSPRVHQHAQIANPFANSTVQPTTCLYGQTTAGFAPRPSLSDQSKNTIFSNALSSPVRRSLQNYHLSQGAGNGGQSTEADSAGANQETNPASSNDTTMDMVSDSAGNLFYQ >Et_4A_031850.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2387495:2389562:1 gene:Et_4A_031850 transcript:Et_4A_031850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHCGLRMHESCEETKRREIGGEKLIIKRTRPAAAASYQAAVGGLVVGGGELLVGVAVDEEDVVDDAGEAAADERADPVDPLVGPGPADEGGAEGDGGVHGRAVEGAADEDVGADDEADGDGGDGAQAALLGVHGGGVHGVHEPEGHHDLEHHGLPHGDAGEAEGAGGEPAGGEAEEEARHGGAQQLGDPVQDAAEQGDVAAEEGAEGHGRVHVAAGDVGADGNGNEQGEGVGDRRGDQAGRGGNAAAQLACTTQNVFRSGSLGGFRMIFTAYCETVTVTVVSLLN >Et_10A_001654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6457235:6458856:-1 gene:Et_10A_001654 transcript:Et_10A_001654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESSRHNKRGSILQDLNLKPLSVPLEDLKRITKNFSEDLLLGEGGFGKGVRENGSMIAVKKLTSVMPGIHNRQFENEAHHLMGLNHLNIVRLVGYRSETETIPTEHDGKIVDAEKPEILLCLEYLPKGSLRNHLSDESTGLGWGTRYKIIKDICNGLHYLHEEGLTPIIHMDLKPTNILLDDNMVPKLADFGLSRLFGEEKTRTYTTSRYGILGYMAPEYINRGIITKQLDIFSLGVIIIEIVTGRKDYPDEGETLSQEYIELILNNWRNRMDEAPGEHECCQIEKCIEIALHCVKLERTKKPTIGQIVEMLSREVAPLASYV >Et_1A_009352.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:40168509:40169513:1 gene:Et_1A_009352 transcript:Et_1A_009352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSNAPVPRAVPEQYVFPPEKRPGNEKEVDPSVTLPVVDLGGKDVVRAVMEAGKEFGFFQVVNHGVAEGAVRAFRDAAAEFFALPPEEKLAYYSDDRSRAFRVASSTTYDKSETRYWRDYLKLQCFPVERFLHQWPSSPESFRPSLADYIVQVQKLSATLLELIADGLGLPRDYFRKRDLSGGETQMNVNYYPACPDPSLTLGLLPHCDRHLLTVLSQGEVTGLQAKYGGAWIPVRPIPGAFVINFGHQMEILTNGLLRSVEHRAVTNSAQPRMSVATLIMPATHCLIAPAPELLLNNDDPPKFRAFRFKEFMDAYAAAAASRESVLDYFRI >Et_3A_024409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20542097:20552424:1 gene:Et_3A_024409 transcript:Et_3A_024409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRDRRRPPSPPPSHARTPPSAGSSSTGVRLIFLAPLLAVFVLAALRFSGRLSWSPPHTQSLQTTPLSVYERGLVKRDVSAHEILSEHARVSENRSGRHFQNPILAYVTPWNSKGYDMAKLFSAKLTHISPVWYDLKSDGNRLVLEGEHNFDAAWVSELQSNGSLVLPRVVLEAFPAVVLLEKKQKEKAIDLLVSECRDKGYDGIVLESWSRWAAYGVLDDPELRNMALQFVKQLGEALHSISSKSSSHRLELIFVIPAPRMQKLNNQDFGPDDLFQLADSLDGFSLMTYDFSGPQNPGPSAPLKWIQHSLTTLLSAKDSSSSHFRMIFLGINFYGNDFLLSRGSGGGAITGRDFLHLLGKYKPSLQWDDKSLEHYFIYSDEGVRHAVFYPTLMSLSVRLNEAENWGTGLSIWEIGQDNSTKVS >Et_8A_056890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18672064:18676600:1 gene:Et_8A_056890 transcript:Et_8A_056890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSLVRSPVSSSAVNGCFTYNPCRSRNYYQCSPTTNVQRLQVGQTFLPRKLRKSTQWNTTFFTQRKIVPQCSSDLSTSCREEVPNFLAVNLLQEQLNTRQETTRKVLVILNPNSGFRSSRDVFYKKVQPTLKLSGFTMEVIETAYAGHAKVIASTVDLSNFPDGIICVGGDGIVNEVLNGLLGRDNLNEAIQLPIGIIPAGSDNSLVWTVLGTRDPVSAASAVAKGGFTPIDVFAVKRIQAGFTHFGLTASYFGFVADVVQLSEKFRLQFGPFRYVVAGFLKFLSLPKYKFEVDYLPSSQERDPELKPLTDKCHEQLSDCGKVMRGVRNNDLIQDNWVSRKGEFLGIFSCNHFCKPAQGLLSPVIAPKAQHDDGNLDLILVRGSGRLRLFCFFIAYQLCWHLLLPFVEYVKVKQVKIRPVGNTHNGCGVDGELLRADGQAEWQCSLLPAKGRMHIIRFGLRFWKELRLATKLACIA >Et_5B_043277.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:21492103:21492339:1 gene:Et_5B_043277 transcript:Et_5B_043277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVTAVIAIAAVVLGWITIEMACKPCLETGRRAMDRALDPNYDPDDNATSTGASANEPLLADLSTASSTAAPAKAI >Et_9B_064332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14086738:14090833:1 gene:Et_9B_064332 transcript:Et_9B_064332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDPAAAEASSPPPSPAPPATPPLESQGDEDELGAVEPAADPATPDRCVPVGDLNPVPPTSPASAAPPPPSPQQTEGEEDAGSYEVEQDKEAEAEIGEDLRRFMEEFGDKGEECLVLSPQLKKIDTPDCPAALRFLGQKYNILLEKCKKQSAKCSEECAPRYDALKKKYTDEYAERRRLYNELIEVRGNIRVFCRCRPLSADEVNRGCSSVVEIDPSHETELQFLPTEKERKAFRFDHVFGPEDDQEAVFSETMPVVRSVMDGFNVCIFAYGQTGTGKTFTMEGVPENRGVNYRALEELFRMAEERSASVAYTFSVSIFEVYNEKIRDLLDESNEQTSKWLDTKQTSDGTQEIPGLAEAPIYTIDGVWEKLKVGARNRSVGSTNANQFSSRSHSLVRVTVRSEHLVTGQRSRSQMWLVDLAGSERVAKTGVEGDMLNESKFINKSLSALGDVISALASKNSHIPYRNSKLTHLLQSSLGGDCKTLMFVQISPSSSDSGETLSSLNFASRVRAVENGPARKQVDPVESLKLKQMTEKLKHEEKENAQLSHRLKMMEMKYASRESVIQKLNDKVRVAEQTCRDYQQRIRELENELGNEKKAARSRPPLVPMKQRQAPQGRNSSYYLPPSGPSRSRFSKAPTFQNKENVPMMGSKARPGTEDKAVGKARRVSVAGVIRQIPLQPKRRSSMAILPSLSEQISSVHAEKRASRLPHLQPRRSSIAFPGASLGAGLHVPSFVTPDGREDKFRRLDLGCSSKFSSPTLLDMLKRNTVPSTPQQRLSLAPGPGSASKYGFSVAKKVQVPSPLRARHGAPSGAGIPNLARGDKTMVVGRAGNALRVVNNSKRRQSVI >Et_3A_023127.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29954599:29958815:1 gene:Et_3A_023127 transcript:Et_3A_023127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARPDPEPPPIHRLLELIKSEPDPAAALGHLEFLVSTRPAFPPPQPLLFHLLRRLAASAPTLLPRLLDILPRMRHRPRFSESAALVVLSAFSRALMPDAALAAFRDLPSLLGCNPGVRSHNALLDAFVRSRRFSEADAFFASFSHGAFGRRLAPNLQTYNIILRSLCARGDVDLAVSLFSSLRRRGVAPDRVTYSTLMSGLAKHNQLGHAVDLLDEMPNYGVQPDAVCYNALLSGCFKTGDFEKAMRVWELLLKDPGASPNHATYKVMLDGLCKLGRFKQAGEVWNRMVANNHKPDTTTYGILIHGLCQSGDADGAARVFSDMVKAGLVLDAAIYNSLIKGFCQVGRVGTAWKFWDSTGCTGIRNITTYNIMMKGLFDSGMVDEVKELLVQLENDASSSPDKVTFGTLIHGLCENGFANRAFEILEEARISGKELDVFSYSSMISKFCKDGMIADAIKVYENMVKDGCKPNTHVYNALISGFFQACKISGAVKIFSEMVESGCYPTIITYNILIDGLCKAEKYQEALSYTREMVGKGFTPDIRTYGSLIRGLCQDKKIDDALAIWNQIPDKGLRADVMMHNILIHGLCSAGKVEEALRLYLEMKGKKNCSPNLVTYNTLMDGFYETGSIDKAASIWTTILDDGLKPDIITYNIRIKGLCSCNRIPEGVLLLDEVLAAGIVPTAITWNILVRAVITYGPIQI >Et_1B_013358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7674320:7677812:-1 gene:Et_1B_013358 transcript:Et_1B_013358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAAADEVVAAAAVAAAEGKGQDKEKEKGKKGGVLGRMWRALFGGREDFEKRLQYLSKEEAAVHARMRRRTQFSRRAVRNLIVLSVLAEVLAVAYAIMMTRDEDLTWQMRAFRVLPMFVLPVVSSVIYSTVVNFTRMLERKDQKTLEKLRAERKAKIDELKERTNYYLTQQLIQKYDLDPAAKAAAASVLASKLGEETGLKVHVGEDPKLDAAVARSNDVEILPSDGLRNRKQANARGSRTGNTMAAHSPAQGAESSQPASSGLEGAPPPMVVEHHQGSGASDGGWIAKIAALLVGEDPSQSYALICGNCHMHNGLSRKEDYPHITYYCPHCHALNTSKQSMGQYSGSASGRSTPVVPADTSSTQESELSNLTTLPEVAKEGNAEKQDAEAS >Et_6A_046203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7508:9165:1 gene:Et_6A_046203 transcript:Et_6A_046203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTYLHGWPEPVVPVQILSNSGMQTVPQQYIKPPFERPDMGSIIGTNDPKLSIPVIDLAGFDDNPEHRQVVLAAVADACRNWGFFHVVNHGVDLDAVKRMRGAWREFFDLPMEEKKVYANSPATYEATGVGLELRKEQSWIGVTTTSSTSYQNLEKWPKLPCNLREATEKYSCELVNLCEVLLKAISSSLGLEEDCLHRAFGGSDGISTCMRVNYYPKCPQPEVTLGLSSHSDPGGITLLLVDNHVTGTQVRKENTWLTVQPIPESFVVNVGDQIQIMSNGAYKSVEHRAMANSGADRLTIAFFCNPRGAACGPGVAGGPGISFNEYRKYVRTKGASGRAQVQALSIKLAAANN >Et_4B_036448.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24685627:24685719:1 gene:Et_4B_036448 transcript:Et_4B_036448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLEGDGSACMVISSKRSKRGPGLLNHQR >Et_10A_000057.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20809310:20810821:1 gene:Et_10A_000057 transcript:Et_10A_000057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAETEKFVCFFAGCWERASSVVVAARNSREERLMGAQMAADGGGLRRLFEKPMPENPTLLEALSAWSHRVHPPKKPIDTASFTEIFGELHFQEKPDHHRAVLLPSPPPCRPPPPRTTTSWAVAADETDKSKDDSSLGALLRPRPRPAGSGVKRSASFCVTKKGSSSASSLLLCTEGLGSESAVDADDMFKDADAEAEAAALGGRNSNDETDADAVEGAAEEEAKRRPPMSFPPPIRSIGRGGKPSVCFRAFRADGRFVLMEVVIPGKEILQAYREGGRLRLQFANDAAAAAAAGVRAVEEVHDGEDKRHAENAVTAES >Et_1A_007467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34940256:34942845:1 gene:Et_1A_007467 transcript:Et_1A_007467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSSIFGDELIPSSSSPPSPSQHHHHHPSKRSRCSPTRSFDDAARRREALVHHLFTLFPHMDPQFLERALEASGDDLDSAIKSLNELRLGSAEALIAATMCESENNLSTALKLSAEGAVSNGHLDVVTENPSATDNCHTSHHSTEWVELFVREMMSSSDIDDARARASRALEAFEKSIMERTGPEAVQNLHKENMMLKEQLAIILRENVVLKRGVAIQHERQKEFDVRTQEVHSLKQLVLQYQEQLKTLEINNYALRVHLKQAQQNSSMPGHFPPDVF >Et_1A_007607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36458270:36460923:1 gene:Et_1A_007607 transcript:Et_1A_007607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGKSAAIAPPPPPEQKKKKAKPGMDIEGYHVEGVSIAGQETCVIVPALKLAFDIGRCLPFAVSQEFVFLSHAHADHVGCLPLYVAMRSRRNMAPPTVFVPAPLADLVRKLFDVHRAMDQSDLPHKLVPLNVGEECEFGNGLRVRAFRTYHVVPSQGYMIYKMKTKLKQEYPGLPGSEIKKLRDSGVEMTDTVATPEIAFTGDTMSDFILDPDNADALKAKILVMESTYVDDKMTIECAREKGHTHLSEIMSLSDKLENKAILLIHFSNRYTTEEIDKAIDTLPPSIGSRVYALKEGFDSKA >Et_3A_025109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26936431:26937932:-1 gene:Et_3A_025109 transcript:Et_3A_025109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSWLTACSPWSAFAALAALTTSFLVLSYVSSAFLGRPAYDYDDGTYGSSAAAARELAPRRGAGSPPVFAYYIMGGRGDSLRVTRLLKAVYHPRNRYLLHLDAGAGAYERARLAGHVRSEQAFLEYGNVHVVGKGHPVDGRGASSVAAVLRGAAILMRVGGGAEWDWLVTLGAADYPLVTQDDLLHAFSSVPRDLNFIEHKPDSETHQVVVLDQNLLQSTNAEISFSSGQREKPDAFELFKGSRWTILSRPFVEHCVAAPDNLARTLLMYFSNALDPADFYFQTIAANSPRFKNSTVNHSVRFAVPPKGVDPRFWYDAMVGGGAAFAGGFGDDDALLQRIDEEVLRRPLDGVTPGEWCKGPNGEEGECSVGGDINAVRQGAAGRRLASLVAGLVGTTPCEGCNSVGAPVSSPTSP >Et_3B_029584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26186391:26188686:1 gene:Et_3B_029584 transcript:Et_3B_029584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPPLPPLLSPARHSAASYPLRAAAASTTVSLPLSPQCRRRHGSLCRSGPNGRPLRQRRWGVAASVEQEESGSTETSFAPEGELEPPVSTEQAEASPEDLENIREVKRVLELLQKNRDMTFGEVKLTIMIEDPRDIERKRTLGIEDPDDITRDDLADALVEVNEGRIPENRVALQLLAREMAEWPDLEVEAPKNKGKPGKSIYAKATDTGIDPEIAAKRLNIDWDSAADIDGNEDEEEETEVPSAVGYGALYLLTAFPVIIGISVVLILFYNSLQ >Et_1B_013906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23263316:23265126:-1 gene:Et_1B_013906 transcript:Et_1B_013906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMTLPPGFRFHPTDDELVGYYLKRKVDNLKIELEVIPVIDLYKSEPWELPELIINFCCGWMDGFAEKSFLPKRDLEWFFFCPRDRKYPNGSRTNRATVTGYWKATGKDRRIACDGGVYGVRKTLVFYRGRAPGGERTDWVMHEYRLCQDLAHGASNFIGAYALCRVIKRHEAGLLQGEPKAKAAGGARAPMSKVPSNSSLGSIDQLSTFTSANSGSPPLDMSRGMCTVTESCNNFQVRIKHTRVSLLCNLLLHVNDSSKAHEPPDMRIFVQQNSLAYGGDLCDMTATTNGIMPPAPPLFAPTHSSHQMYAPPPEDMFFIGDAAESRPHDAPFFDMGSVSEHELKWDDLTYGSNAFPTTTTTTGADMLCRQASDGVDDLAAFYFPDENRFVF >Et_9B_064652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17390010:17391858:1 gene:Et_9B_064652 transcript:Et_9B_064652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMRVINSYEEELEMNIWLTLTDGIASTMRDCVVPLASFNGHIVICLGDARCFACTGVFIGCYSSRILTSASLVRTGDGNEIDKNLQIQVYLRNKKRVAGTLKYYDLRYDVAVIDIMGFCIPRAMDLKKRISFSPNTEAGIGGPLIDNCGNFIGMNFFDVEETPYLPREKIQEFLENADAKGHGVDEAIYKDGSNSWPVPKPVWRRGVCAAREQRPASSSLPLATRELKL >Et_2B_022714.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3594428:3595486:1 gene:Et_2B_022714 transcript:Et_2B_022714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGLPTQQPGTPGRPRRRPDLTLPMPQREAVASLAVPLPLPPPSSAPGPTAAATGGGGGPTPPGSAPPAAATQPPPLAELERVRRVGSGAGGTVWMVRHRATGRAYALKVLYGNHDDAVRRQIAREIAILRTAEHGAIVRCHGMYERGGELQILLEYMDGGSLDGRRIVSEPVLADVARQVLAGIAYLHRRHIVHRDIKPSNLLIDSRHRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGAYDGYAGDIWSFGLSILEFYLGRFPFGENLGKQGDWAALMVAICYSDPPEPPPTASPEFRHFISCCLQKNPAKRLTAAQLLQHPFVASPQPQPLAAPPS >Et_1B_012704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34595683:34597697:1 gene:Et_1B_012704 transcript:Et_1B_012704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEAFRVGGHLGSSHAPYASSAMENTNQNQFLFDARSAPLQLQLFGNSAVPAGYCNYAGNNHLSVMNQARNTNVDIGDEKQLKLQMSLNNYNQEEAGRLARVGNPSGVSTGLRLSYEDDERNSSITSGSGSVSSLPTTVSFADDIIAQMDKENKEINYYLRLQEEQIGKHLKEVNQRQLISLMANLERAIGKKLREKELEAEAMNRKSKELNEQIRQVALEVQSWQSAALYNQSVANNLKSRLMQVVAQNTNLTREGCGDSEEEIAASSHNVNATPGGFFQSSVLGSKCPAVGLGACKLCRGKEASVLVMPCRHLCLCLDCERNADVCPVCRFPKSGSVEINMS >Et_7B_054050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14710407:14713415:1 gene:Et_7B_054050 transcript:Et_7B_054050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFTVCSHSTSISPKAYFSRNALFEYVVKDILEKCVGLPLAIICIFGLLVSKHAVKEDWIKVQDSISSQFENKVNAILKMSYNDLARYLKLLVNKWIAEDFVNGELGLSVQDATHSYFNELIDRSFIQPDNIGSNSKATACKVHDLLLELIVSMVTKLAGCTPIMITKFSTEAIETISPSKRSCTLQAVALLLHIPSGVASIHIVAQLVSQTCGPHVIAL >Et_8A_057359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2396049:2401404:-1 gene:Et_8A_057359 transcript:Et_8A_057359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSSARSLAVNPFPSSVNFRPPHARRPRLEVLRRFSRGTPWRLELAIPKNGEGAYYGDRRYRLPRSKEMRSVSHPILRHKSSSRDELETADNYLSCQQWKILWACNPNEERGPMMAVPQVNKRMLDELEVMGFPTVRSIRALHFSGNSDLESAVNWLLEHENDPDIDQLPLVPREISIECGDTSNEVRNDFPGMRALVHERKPEERTENGSQEHSRPIVRFQEKPQLEGEMNEDEHREEDRKRILALYKEKRDEENRGRGRVRNQIQEDRRERIRAAKDLMEAKRTLEENQRKRMMESRIEDQEEEKRARERIRQRIADDKAERRRRLGLPQENPGVAVPIISTTKVKPVEHVVTSEQLRDCLRNLKKNHKDDNTKVTRAFQILLKIIGNIVKNPEEEKFRRIRLSNPVFKDRVGNLQGGVEFLELCGFQRLKASGYLVMPREKVDMALLNAAGVEIASAMENPYFGLLSK >Et_5B_043474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1109498:1111310:-1 gene:Et_5B_043474 transcript:Et_5B_043474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LPSRRRPFRRRNRRRRRHGKPVFLVLLLVFGTPQLTTNSVNSFRPAQGIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLVRRTKSNFNAVILKRLFMSKTNRPPLSMRRLVKFMDGKGDQIAVIVGTVTDDKRILEVPAMKVCALRFTETARARIINAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >Et_5A_041161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18969502:18983336:1 gene:Et_5A_041161 transcript:Et_5A_041161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VIVVRVSADATTSITCRNNRPNANLGRLILMAGLPKPPQAIVDRRFCVPRELQLTATMKPAGGCAVTDGGGAVLLQMDPIFFRFRGRCRVLLDAAGRHLLTVFSMPDRWDVFSGDSSSRCDLLFTARKSSVVPLRTHLDIFLASNTAQLACDFKLKCSFNDRSWVLYRGSSNKVIAQVHRQYFVPSAVLGRRTFELTVFPNVDYAFITALILISDEICRDREHDALPIPMAAPLVMVGSRFCIPHVLPLTFTTKLSGGCTVTDAGGAVVLQINVPLFRPCPRRVLLDPDRVPLLSVQRKASSAWHVFRGDRNNESDLLFTVNALSMRASKLDLNVFLAENTAQDVADFRIKGNFSRSCYFYLGNYSDIMIASMNRMESFGRRMFGVSVFPQVDYAFITTLVVILCALWAPPVVVVGRQYCEPRVLPLTMTMKFNGGWTVTDASGHVVLRMDVPLFNFSGHRILLDATGQPVLSLKQKARTQSNPIALSSLFHEWAGITWHVFRGNSNNAKDLLFTVRASSRRRPLAQIGLDIFLAANEAQKVADFKIKGNYYKQIMNRMKSFGMPIFGVPVFPHIDHAFIAALVVVFHGVITTEARRRQENKQNR >Et_1B_013876.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2252099:2252566:1 gene:Et_1B_013876 transcript:Et_1B_013876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVGYYHDQGPAYRYSYAPAPAPPRATSFHLCVFLATAALLGGTSLYSRCESAMESLVDQVRIAVVLSPLLFLLAVQYWAATSGRRASSGGGGGLSSLLVSPRVGEQPWYDGRQREAGGASSPWGVALVLALVLLLVSYQSCFQDLWFPLIRRR >Et_10A_001704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6989698:6992119:-1 gene:Et_10A_001704 transcript:Et_10A_001704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEAIMAYPAVFFTAMAVGVALYHVASGLLALPPPPQPEPEQITPPVLLGEVSEEELKQYDGSDPKKPLLMAIKGQIYDVTKSRLFYGPGGPYALFTGKDASRALAKMSFEPQDLTGDISGLGPFELDALQDWEYKFMSKHAKVGTIKKSMPVEDDNTASTSAATNEATPADNEPLSEADNAPATEEKPKAAVAEEVKEKEAAAVEGAKERAYQYLLLK >Et_8A_058089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1979887:1984762:-1 gene:Et_8A_058089 transcript:Et_8A_058089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVARRRLGSGTVSAAPSPGSRSPPPPRQVLSQLVQTLRPAAARTYSAAAKEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYHGLRPIVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLAPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEALDSSFCLPIGKAKIERQGKDVTITAFSKMVGYALQAADILAKEGISAEVINLRSIRPLDRAAINASVRKTTRLVTVEEGFPQHGVGAEIWFGSILLVHYLFVTVVSIHCNGLKCFAFCSMAVVEDSFEYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRAVPMAATA >Et_2B_020593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21651202:21653515:-1 gene:Et_2B_020593 transcript:Et_2B_020593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SKEAKGDEYLMMYYASIQDFLKEVSQRDMKLESIVSMGFPEAEAKMAIARCEPDAPVSVLIDSIQASQTAGEHYLGNFSNHERLMEGNKRKRKGFGDEAQGSRGPLYGSDDSMPLPNPMVGFNLPIEHFRSGERWPPLPTQAIGPPYFYYENVACAPKGVWTTISRFLYDIKPEFVDSSLICATNRKRGYIHNLPVKNRSPLIPLPPKTIFEAFPRTEKFWPSWDPRKKLNCLQTAAASAKLIENIRTTLASNEDPPPPRVQKFVLEECRKWNLIWIGKNKVAPLEPHEMEFLLGFPPDHTRGITKTERYRSLGNAFQVDTVAYHLSVLKELFPHGNVLSLFSGIGGAEVALHKLGIRLKNVLSVEKSKANRSVLRTWWDDNETGTLIEIDDVQKLTSEIIEVYVRRFGGFDLVIGGSPCNNLAGSNRHHRDGLEGEHSSLFYHYVRILEAVKSAMQRM >Et_2A_017715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5422903:5426541:1 gene:Et_2A_017715 transcript:Et_2A_017715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRRKVRPAGAGAAARRAALRWWLLSLAAAGATLSATAALLAVALHVSTAASTAFAGTPYRFSQQPREAEELRWEQEFAPPQLASPQSRKLDGAADDAAEKRLWLPAPSRGFVPCVAPSPEYRRPVASRGYLLVHTNGGLNQMRAGISDMVAVARILNATLIIPELDKKSFWHDKSNFSDVFDEEHFINSLIHDVKVEKKLPKELVKAPKSVRYFKSWSGVDYYQDEISPLWDHRQVIRAAKSDSRLANNYLPPDIQKLRCRAFFQALRFSPPIEALGKLLVERMRSFGPYIALHLRYEKDMLAFSGCTYGLSQTESEELAMIRENTTYWKVKDIDPLEQRSHGYCPLTPKEVGMLLSALGYPSSTAVYIAAGEIYGGESHMVDLQSRFPILMNKEKLASAEELQPFRQYAAQMAALDYIVSVESDVFIPSYSGNMARAVAGHRRFLGHRKTISPDRKALVRLFDKVSSGALKEGEMLSQKIIDIHRKRQGSPRKRKGPVSGTKGKDRFRSEEAFYENPLPDCLCQQGSPASDDSLVSI >Et_3A_026332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:740436:743266:1 gene:Et_3A_026332 transcript:Et_3A_026332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEEVEAVLETIWDLHDKVSDTIHSLSRTHFLRAVRRRAAGDKPAAGLVYVKGRGFAAGDGEDAADLAALAEEARSLHAIRAALEDLEDQFECFLAVQSQQQAELDIALSRLEQSRIMLAIRLKDHHGENHEVINEASNFVHNVYQDVWPSLSVNKPDKSSDSSCNMAKVSNFFGRMLRWMATRENCPAVGNYSYRRVAKNYSSQLGTSLPSSSQGHLDVSLARG >Et_1A_005807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14200819:14201249:1 gene:Et_1A_005807 transcript:Et_1A_005807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSPNAKPDRQTAAALAAAAALNPALVRETLKKVDRCMARLQELQYTVAGGAKVVFGVSLSRAAPAGTSAPASDASKRQSVSGVLLCSLSDSIDLAPNSLLTGANSLSYSGGRMRGGAPVQKRSPNGKFGGAPGGGEGA >Et_7B_055725.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:2763511:2765439:-1 gene:Et_7B_055725 transcript:Et_7B_055725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFCHIPRGRRAAAASHSHQPLPPPEWIEPYADLSDPSPYPSASAAPPTPSPWLPRVVSLVLRSPPATLAADLRAFCKTFLLRLSPAFVAAALRSPQLLAHPLPSLHFFRSLPNRADLLAHPQHHLSCYVSLLHSLSRSKETTPGAADKARQLVAELRAHGDAVLRHLTPPSSASLIRSLAGLGLSEDLLWAWQAMRLAGVEPSRITYNCLLDGLVNAGLLDTAINVFDAMSKEDRLRPDVVSYNILIKGYCREGRTQDAVARLEDMREQAELAPDKVTYLTLMQRHYSEGTFSKCVGLFQEMEERGMGKEIPQHAYVLVIGALCKEGKPFEGMAVFERMLKRGCPANAAIYTALIDAMGKSGREKEAMELFERMKASGIELDAVTYGVVVKCLCRFGNMDEALACFRNCVQKGVTVNAILYASLIDGFGKLGMVEQAQELFEEMIAKGCVPDSYCYNVLIDALVKAGKMDDACALYKRMENDGCDQTVYTYTILIDGLFKEHKNEEALKFWDTMIDKGITPTAAAFRALANGLCLSGKFSRAYRILDELAPMGVIPETAHEDMINVLCKTGRFKQACKLADGIVKKGREIPGRVRTMMINALRKAGNTDLAVKLVHSKIGIGYERSGSIKRRVKFQTLFD >Et_1B_011776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25898669:25902212:1 gene:Et_1B_011776 transcript:Et_1B_011776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLQEPLSWVLLGSLAFVFLQLRRRGKAPLPPGPKPLPIVGNMNMMDQLTHRGLAALAEKYGGLLHLRLGRLHSFAVSTPEYAREVLQAQDGAFSNRPATIAIAYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRRRAETWLAVRDESAALVRAVATSGAGGEAAVNLGELIFNLTKNVIFRAAFGTRDGEGQDEFIAILQEFSKLFGAFNIGDFIPWLGWVDPQGINRRLRAARAALDRFIDKIIDEHMRRGKSPDDADADMAKKDAKGGAGDAEDDLQKTLRLTRDNIKAIIMDVMFGGTETVASAIEWAMAEMMHSPDDLRRLQQELADVVGFDRNVNESDLDKLPFLKCVVKETLRLHPPIPLLLHETAEDCVVGGYSVPRGSRVMINVWAIGRDRASWKDPDAFRPARFAPGEGEAAALDFKGGCFEFLPFGSGRRSCPGTALGLYALELAVAQLAHGFNWALPDGMKPSELDMSDIFGLTAPRATRLYAVPTPRLNCPLY >Et_3A_024467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21022473:21024937:1 gene:Et_3A_024467 transcript:Et_3A_024467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGAGDAAFPWKLVLACAVVAWCAVRTLEWAWWRPRRLGRALRAQGLSGTAYRSLEGDAPLTERLNREARSRTLPLGCHDVVPRAMPLYHQTMKEHGKTSITWFGPVPRVTITKPELVREVLSNKFGHFGKVKFGSLQRKLHNGLGVHEGEKWAKHRRIINPAFHVEKLKRMLPAFAACCTDLVQRWEGLVRDGEPCELDVGPEMQNLTGDVISRAAFGSSYLEGRRIFQLQGEQVGLVVQAMNRIHIPGYLLLPTRNNRRMKAIASEIEVLLKGIIAKREKALRAGSASSDDLLGLLLESNIEHCRGDGNPKAGITTDDVIGECKLFYFAGMETTSALLTWTMIVLSMHPEWQDRAREEVLHVFGDRTPDYDGMSRLKIVTMVLYEVLRLYTPLSTLQRRTYKPMELGGVRYPAGVVLMLPLLCVHHDKDVWGPDASEFRPERFAEGVSKASKNAPAFFPFGWGPRICIGQNFAMLEAKMGITMILQRFAFELSPAYRHAPFPVGLLQPEHGAQVMLRRLP >Et_1B_009644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10503243:10504327:-1 gene:Et_1B_009644 transcript:Et_1B_009644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGRDRHSRKKKKKKKNKSRAAEPLVLTAMAMQAARAVALPGAGRAVPGSGALVEGGPVVVEHGPREEREEEGGEHPGRAEHAAEAVEPAELGAVGGDALAEPQRQRVRARLHQRAVLLLERRRLP >Et_1B_012024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28178248:28182201:1 gene:Et_1B_012024 transcript:Et_1B_012024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDLRVDDLPMQRAGLKKYHFMPSLASLQETRARVRSHEKYHCMPSLASPQDTRARVRSQTRSCDRAEQTSASWKMPNKADPDVSPPRRERRNVVEATLLMTTRWPEQLHTMLCCDIDGRLALIELYHYWPLGRSLNVVRDQLSATGEPLYNIDWINGSSNLFDAPSCVAVWHPVGILPTDWVDGASYLGCDAVDGFDCHIICFSGALRHVMSFETGGVPEVSSPMWQTPAHCFTGGNADGRGTSADVMMDSVIRLDSAGASFD >Et_4B_037578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21312961:21322075:-1 gene:Et_4B_037578 transcript:Et_4B_037578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTRASAGSTPPLPPQLSPCHPPLSPCHPPYRPRDQSYEGRLGKNQRRETLGRVRPIARLLPDDVLDAVIRRLAPCWVAACRCVCKAWRDIIDARRLLQADLLPLKLAGIFVSFNNRRFPFPDFLARPSAASTVSGWPNSLPTQFPWWNIGDHCNGLLLIDYKVVNPATRWWAQLPFSKCEPEKIYYDYEVKRLVYDPTLSPHFHVLIIPRFHYENELHLDLLFDEDPRELDPVIEQSEWPPLLYTLEVYSSRTGQWEERSFAREGEVAGTLADMRSGPPQYNIDFAVCWRETLYVYSQSDYIMRISLSHDKYHIMKPPADVSECHDFYLGKSRHGVYFATLDAQCWLQVWRLKESCAKMEWVLIHYIDLKPIGAIFDEQIHGSWILQRINYNDEHQNPVYSAINEEASKEEETEWSSDDDNPMTSDDRDMQIVGFHPYKEVVFLTESSTRVIAYHLNGSKVQELGHLHPTEHDEVNKRWSFPYTPSLLESPLETSDAKPEAMETDLSALLPADVLAAVLRRLAPRGLAACRCVCTAWRAVIDGHRLLRAEELLPHSLAGIFINFDGLNLSEFFSRPAAAVSSDSGKPVFLPDNSSFSRYYVKDHCNGLLLIHGGRVLNPATRWWAPLPEAPPPRMGSNSFEDWKLVYDPTVSPHYEPPNSYVTRVVYDPVVEQSEWPPSQCVLNVYSSKLERWEARSFVREGKPAGTVEDMRSHFFREKENAVYWQGVLYAHCQTDFLMRISLSNSKLQVIQFPLTRFQEGRYAELHVGKSEKGVYFASCEKKCKLKIWYLDESCCDRIGWVLKHDADLIEWFSKHDLMQRQVRGPWTLQDINYYYCDRKYRHQQDDKAEVHELERSSNNDDGDGREYRKFIEILGFHPYKKIIFLSEKLSRGFAYHWNTSKVQDLGNIWPTGYGAQLLNEQEIRECFPYMDLLPDDVLADVLRRLAPRWLAVSRCVCAAWRAAVDARGLLRGADLLPLKLAGVLINFGGMCSITDFFSRPSTDPSISGKHSDYLPEASGERSWSYVVDHCNGLLLLDKYVLNPATRWHATLPPCPDPHEKKMLCYELRYLAYDPSVSRHYQVFAIPEFWFRESDPDIERSEWPPSVYVLNVYSSVTGRWEKRSFVREGEAAGTTIGDMRLDSKRSIRRAVYRRGSLYVHCQTNFVMRLSLSDDKYRIVERPRDTNVSTYIYLGKSASGVYGACFYERFRLRL >Et_2B_019562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10139028:10141305:-1 gene:Et_2B_019562 transcript:Et_2B_019562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVAPRLSRSSTRYGPIGSSAASFSGPVRKWRKGWVPLAGAGGAGSGTVGGVSRDNKVMLFKWTPSNGSSGGGGASGGMEPSTTRRRYVPAAGEAQNTSKKGSSSELNLNLELEDPDDDTDVDLSTEEQRDMDNNPRPESRLKRKAF >Et_3A_026851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23925440:23927310:1 gene:Et_3A_026851 transcript:Et_3A_026851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGGGRVVVVLALALFLVALPTPASADKKACSSGNTFSPSLSFKLLYALDGIHGIAVVIDYVFVPSIQQLPGVLAVIPDTLVQLETTHSWGFLGLGSNGQPTTAWGLDGRFGEDTIIGNIDTGVSPDSASFRDDGMPDVPSRWRGTCDNRGDSSFKCNMKLIGARLFNAGFQAQSFAALLLGQGVPPASPEDLSSPRDYVGHGTHTLSTAGGAFVSGVGVLGRGVGKAAGGSPRARVASYKACFEPGCSGVDVLKAIVTAVADGVDVLSLSLGSPASDYLNDPIAIGAFYAVQKGVTVVSAAGNSGPGPSTVTNVAPWMLTVGASTTDRTFPAYVNFGGNVIEGQSVANSTLPLGQAYPIISGEQANAANQPTANS >Et_6A_047438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5900807:5926769:1 gene:Et_6A_047438 transcript:Et_6A_047438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVGLIIRKLGEALSKEACAYGVSLLCAETSALKGLFGEIRRATGWLEIMKAYLQDTERFRDTNKTINTFVKKIRGLAFRMEDVVDEFKYKLEDDKHGGFAAKMKKRIRHVKVWRHLAQELRDINADLEDAAKQRNLCALPEGCGQGRGSDHHAVLTNETASFAREEDLVGIKENAEKLKGWLLGGLEERKSKIVAVWGMGGTGKTTLVNHVYKVVKEEFDVAAWVTVSKSYQVKDLLKKISQELSVSVDVSNMEMRSLGQVISNHLQCKSYILVLDDVWDKDVWIDIMDVFPNDCNSRFVFTSRNFEVASMATTGCAIELAPLEKDNSWKLFSNLAFRNVGDKKCPSELHDLAVKFLERCDGLPLAIACIGRLLSCKPPIFSEWKKVYEELELQSSKHVIRGVDKLLLTLCNVPEDYEIKRRRLIRHWITSGFVEEEQNKTLEEVAEGFLNELVNRSLLQVIVRNEFGRVTCCRMHDIIRHLALNKAEEECFGKVYEGSRTFSKGSIRRLSVESANISPLVQSCATHIRAIYAFTSDIDVDSLRPILASSSLLSTLDLQGTQIKELPNEVFSLFNLRFLGIRMTRIEILPEAIGRLQNLEVLDALGTGLISLPKSVAKLKKLRFLYACTMVIEGTMQHYGGVTMPRSIGNLTGLHALQDVKASLETLCDVATLTELRTFAVRDVTSEHNSNLCTAIRNMRHLVHLRISASAENEILPLEALHLPESLSKLELEGQLEKKRMPQIITSWSHLSNLKHLYLRLSKLDDNSFSGLVMLRGLCKFLNQIEIEEGALEHLTKLEPVDCPELKCLPRGIEYLTALEELYLLETSEDLIQKLRQKREADECNEEIMKIGNIRNIVVKLSEKKHLGENSLNDVLDAAVPRALEQATEAIMAEAVVGLLIGKLGEALLSEAAAYGASLLCTEASALKGFFGEIRRATGWLEIMKAFLTDSEKFKDTNKTTDALVKKIRGLAFRMEDVVDEFKYKIEGDKHGGFAAKMKNWIQHVKVWRRLAQELREINADLEDAAKQKNLFALPERRDGGSDHHASLTNETVCFAREEDLVGIKDNAEKLKGWLTGDLKEHKRKIVAVWGMGGTGKTTLVNHVYKIVKEEFDVAAWVTVSKSYQVKDLLQKIAQETGISVDVSKMDMRGLGETINNHLQCKSYILVLDDVWEQKVWIDIMDVFPNDRSNRFVFTSRNFEVASMATSDCAIKLAPLEDNNSWELFCNLAFRNVGDKMCPSELHDLAVKFLEKCDGLPLAIACIGRLLSCKPSTLSVWEKVYKELELQSSVIHGVDSILKVSLEDLSYELKNCFLHCAMFPEDIKRRRLVRHWITAGFVKEKRNKTLEEVAEDNLYEIINRSLLQVTTVNEFGRVKSCQMHDIIRHLALHKAEEECFGKTYEGSETFLEDGIRRLSLQSANIAPLCESGTKHLRAIHAFISNLDIDFLKPILASARLLSTLDLQGTQTKMLPDEVFSLFNLRFLSLRETEIEILPDTVGRLKNLEVLDAGETFLKYLPKGVTKLKKLRYLYAYRLATQGHSLFDGVKVPKGIRNLTGLHALQQVNASLETLCDVAALTELRTFSVGNVTSEHSSNLCRAIMNMRHLVHLSVYASSKNEVLPFEELRIPGTLYKLDLTGQLEKKHIPQIFSCWSHHSNLTNLLLVFSKLDDDSFSSLVVLHGLCHLSLQNAYDGKKLCFPAQSFPKLRSLTIVGTPQLNQVEIEKGALGSLVVIWFNECPELKCVPSGIENLAALEELYLEDTAEELIEKLRQKPEEDECNEELMKISHIRKLRSKRSQAIMAEAVVGLLIGKLGEALLNEAAACGASLLCTEASALKGLFGEIRRAMSRLEIMKAYLQDSEKSRDSNKTTDVFVKRIRGLAFRMEDVVDEFKYKLEDAKHGRFASKMKKRIQHVKVWRRLAQELSEINADLEDVARQRNLCAMPAIVERSGGGSDYFAGSTYQTSCFAREEDLVGIKDNAEKLKGWLLDNLEEKMSKIITVWGMGGAGKTTLVDYVYKIVKDDFDVAAWVTVSKSYQLEDLLKKIARGFGIPGDISNLEKRSLGEVIYNHLKGKSHILVLDDVWETDAWISVMNIFPTNCISRLVLTSRYKEVALLATSSDCIMELELLKERSSWELFCNVAFRNYDDKSCPTNLRDLAAMFLEKCEGLPLAIACIGNLLFFKNPTPSEWNKVYDELELQSTKHMIPGAEMILRVSLEDLPYDLKNCFLHCALFPEDSEMKRRRLIRHWITSGFIKEKANKTLEEVAEGYLNDLVNRSLLQVVRRNHIGRVKSCRMHDIVRSLALKKAENEGFGRIYEGSTTFSMDVTRRLSIQNTNVALHNQSGATHLRAIHAFMCYLDIDSLRLMLASSILLSTLDLQGTQIKILPNVVFSLFNLRFLGLRRSGIEVLPEAIGRLVNLEVLDANETRLQSLPKGVAKLKKLRYLYASHNATGQLWSGAEVPRGIRNLTGLHALQNVKASLETLCDIAALWELRTFAVCDVKSEHSLYLCSAIMNMSHLVHLSIIAANENEVLPWEALRLPANISKLDLQGQLEKKQMHRILSSWSQLTSLTQLNLGFSKLDEDSFSSLMVLRGLGYLELAKAYDGRKLCFPAQSFPRLQVLKVFDAPQLNQVEIENGALGSLVNLYFEECPELKCLPRGIENLSTLEELTLKETAEDLIEKLRQKREVDESNEEFMKIRHIRKVFVALTEKYICERIR >Et_10B_003040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15786992:15790322:1 gene:Et_10B_003040 transcript:Et_10B_003040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGARFLRSAAAAFSRLAHALLCRALPLIMSTGLCYSPTSPSAAMVAAAPWHQQARTAKSTTKVHPATTLAVAEDSKPANGGKDHQPLLVLAAPPPAFGKREEAGKKAARGVRPPRLVIPQPLGEAAGVDPFGVAADRETDAATEAEVQGEGFCLASRRGVRHAMEDGYGVVTANNNDNGEGSQMAFYGVYDGHGGRAAVDFVADKLGKNVVAAAALAKSNEDEVMAAIQSAYLTTDSEFLSQGVRGGACAATALVKDGELYVANVGDCRAVLGSRGGGATALTTDHTAGREDERRRIESSGGYVSCGSSGVWRVQDCLAVTRAFGDASMKPWVTADPDLSRRRITPDCSFLVLASDGLWNKVSSQEAVDVVFAAADTTTASCKELVAMARTRGSRDDITVMVVDLQRFLLR >Et_1A_004631.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:24048715:24048996:1 gene:Et_1A_004631 transcript:Et_1A_004631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSDKRFCTMNIVTFAGLWGLRKVRNDLCFQRASWKGCRDMIIPMVTNWQILCPVGKMDSLLQHIAGLKEMRKRSGRILMGALNEQSTNSSA >Et_5B_045599.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5201597:5202298:1 gene:Et_5B_045599 transcript:Et_5B_045599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLIEAVYLLELERQKRRDAAAVAQQWWKPFHYRLAHELVDDRDGSVFGAVFERDHLHPLTGAGAVPPASPSGAPSAVIAFRGTLLRAPTIRRDVEDELRLLAWNSLRGSARLRRAVQALRATVDRFGSENVCICGHSLGAGFARQVVRALAASPRHQPQQASLEFHLFNAPYLSLPKGVRCVVKTADCLLKAVRSSVATVGRWHGKALRHVAYANCVLGYTRLESSSRRFV >Et_4A_035765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5366655:5370419:1 gene:Et_4A_035765 transcript:Et_4A_035765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSSRSSDSPASRVARWRSTGIVALRDARLKEVPNEVLQVGNSMRILDLTNNKLVEIPQEVGRLVNLQRLILAGNLIENIPANIGYLRNLKILTLDRNKITSLPEELGSLSNLQQLSVSQNSLLCLPKSVGDLRTMSLLNVSDNKLKALPESIGGCKSLEELQANGNSIEDVPSSICNLVCLKSLSFNGNKIRQLPQNLLKDCKALQNISLHDNPITMDQFQQMDGFQEFEARRRKKFDKQIDSNVMMSSTALDEGIDLH >Et_9B_064262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13428994:13434834:1 gene:Et_9B_064262 transcript:Et_9B_064262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLSPCLCPGAAQGKAAAARLVFWGGSTTMADERRFTTAGDVTAEVAGDHLVCAADSFFIGLPIPALPAGEQLLPGRTYFVLPAARLSCDKALTAATLASLSPSPAKVSLAGAASPFEYVTGGDGAALIRVLPEFIEKVITSSGKGGGAGATEQLCSTPELRKHYMQLVGARAERPWSPGLETISEGEKRRRMPSPVRLWISLSWRASESPCWVIVASTIVRRTYRECEGGWNSGNKISLTYHDITVDRCSEAKKARKTLRSVH >Et_9B_065470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5417582:5419213:-1 gene:Et_9B_065470 transcript:Et_9B_065470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLLASSQPEPPLSDIATSSAHGEDSPYFAGWKAYDEDPYDPVTNPSGVIQMGLAENQVSFDLLETYLREHPEASDCGVGFRENALFQDYHGLKSFRMAMASFMETIRGGKVKFDPDRIVLTAGATAANELLTFILANPGDALLVPTPYYPGFDRDLRWRTGVNIVPVHCSSATGFQLTAGALQAAYDAAAAAGTRVRAVLLTNPSNPLGTTVKRAVLEGVVDFVARNNIHLISDEIYSGSVFADDADADGLVSVAELVDDMGLDVAASRVHVVYSLSKDLGLPGFRVGAVYSRNDAVVAAARRMSSFTLVSSQTQRTLAAMLADARFAASYLRANRDRLRERRARVVAGLRRAGVACLRGGNAGLFVWADMRALLDQDTVDGELRLWRRVVGEAKLNISPGSSCHCAEPGWFRVCFANMSVETLDVALQRLSRFTERWNKGIIRN >Et_5B_044855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6531288:6532856:-1 gene:Et_5B_044855 transcript:Et_5B_044855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRNKKNKAKNGGGPAAMDTSEGAPAASTATEAPQPMDTSEGQQPSSASAALSSINRKIKKGVQIKRSKNVRKMKAVARAISKNEQSEEKVQKAKSKKTRIQSAKSLYD >Et_5B_044623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4027182:4029451:-1 gene:Et_5B_044623 transcript:Et_5B_044623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGTGILDDDDMNHLKNKRIRSVADLLQDQFGLALGRLQHAIQKTIRRVFIRQSKPTPQTLVTPTSTSILLITTYETFFGTYPLSQVFDQTNPLTQTVHGRKVSCLGPGGLTGRTASFRSRDIHPSHYGRICPIDTSEGINVGLTGSLAIHARIDHWWGSIESPFYEISEKAKEKKERQVVYLSPNRDEYYMIAAGNSLSLNQGIQEEQVVPARYRQEFLTIAWEQIHVRSIFLTIAWEQIHVRSIFPFQYFSIGGSLIPFIEHNDANRALMSSNMQRQAIPLSRSEKCIVGTGLERQTTLDSRVSIIAECEGKIISSDSHKILLSSSGKTISIALVAHQRSNKNTCMHQKPWVPEDKSFKKGQILAEGAATVGGELALGKNGYNFEDAVLISERLVYEDIYTSFHIRKYEIQTDTTTQSSAEKITKEIPHLEENLLRNLDRNGVVRLGSWVETGDILVGKLTPQIASKSSYIAEAGLLRAIFGLEVSTSKETSLKLPIGGRGRVIDKHEIKVGDKVAGRHENKGIISKILPRQDMPYLQDGTPVDMVFNPLGVPSRMNVGQIFESSLGLAGDLLKKHYRIAPFDERYEQEASRKLVFSELYEASKQTKNPWVFEPEYPGKSRIFDGRTGDPFEQPVLIGKSYILKLIHQVDEKIHRRSTGPYSLVTQQPVRGRAKQGGQQVGEMEVWALEGFGVAHILQEILTYKSDHLIARQEILNATIWGKKSA >Et_1B_012325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30930808:30939008:-1 gene:Et_1B_012325 transcript:Et_1B_012325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTAPLGGASPSGRVLGPALDRIIKNAAWRKHSALVAAAKTALDLLSSSSYSEPDPTSPQPSPLLGLPPSAADAALHALLLALESASPKVADPALDCVSKLLYHRLLLGDLGGSGDDASSPASRLLAAVLACGALSDDVMELATLRVLVAAARCPTVAIRGEGLGQVLKTCYNIYLSSSSGANQLCAKLALAQVLVIVFARVEVDAMDVRVRTVSITDMMDVSDRSLNDSNIVQVAQGFINEAMEGSDVPEQGTPVASTEVDGTDDVGMSKIREDGLTLFKNLCRLSMKFSTPDNPEDQMLLRGKMLSLELLKMVVDNAGPFWRTNEKYLGAIKQYLCLSLLKNSALSAMSIFQLLCSIFVGLLSRFRSGLKEEIGIFFPMLVLRVLENIHQPSFLQKMTVLNLLEKICKESQVLIDMFVNYDCDVDAPNIFERIVNGLLKTALGVPPGSTTTLTTAQDQTFRIESVKCLATIIKSMGSWMDQQLRIGEFSPKVSEASLNSIDNPNILTGEDGSGIDYELQSDSGSPDISGAPSLEQRRAYKIELQKGISLFNRKPSKGIDFLIKSKKIGHSPEDVAFFLRNTTGLNATMIGDYLGERDEFPIKVMHAYVDALNFEGMDFGEAIRYYLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNTMVKDKMSKSDFIRNNRGIDDGKDLPEAYLSTLYDQIVKNEIKMSADSSVPQNKQPSSVMKLLGLDNIINFVNWKQAEDRALGANDLLIKNIQEKFKAKSGKSESVFSVITDTTILRFMMEVCWAPMMAAFSVTLDQSDDKAATLQCLQGFRSAVHVTSVMCMQTQRDAFVTSVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGDYLQEAWEHVLTCLSRFEHLHLLGEGAPTDASFLTVPLVESEEKTQKLTNSTPSKRTNALQNPAVMAAVRGGSYDSTTAKNNASPLVTTEQINNFISNINLLDQIGIFELNHIFAHSQRLNSDAIVAFVKALCKVSMTELQSPTDPRIFCLTKIVEIAHYNMNRIRLVWTRIWKVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLQPFAVVMQKSNAPEVRELIVRCVSQMVLSRVNNIKSGWKSVFTVFTAAAADDQKSIVLLAFETMEKIVRGYFPYITETETTTFTDCVKCLITFTSSKFSSDASLNAIAFLRFCAVKLAEEGFGCQDKDTDQQPNNVDPSDGSTAVHKDDHVYFWVPLLAGLARLTADSRPTIRKGAVEVLFDILKDHGHLFSQPFWANILESVIYPLFGSESFIPNGHNSSVNSTEDDSWNFETKMVALKCLADLYITFFEVMRPELSRVTSVVTNFIRSPYKQSASTGLSVFQLLTEGLASKLSKDEWEKILLCFKESAAHTFVVFDKIVRMMQNIEIPDRNESYSEAEQYSDHDIYNDDEEEANMETASYAIVRMRNHISLQLLIVQGVIKLYEAHRSSLCSEHTGIMLEMLSAIASHACQVNSESNLLVKLHKACSLLDVSEPAVIHFENESYQSYLKLLQALLHDNPSLSENMNIESQIMLVCENILRMYLTCAGHEPSTDASVRDPALHRMPLGTAKKEELEARTSLVLHVMQLLGGLEMNCFRRNLPLFFPLLANLIRCEHSSREVQLALYDYIIMCYEMVVYHRYDSWTRLSSFPKRPTLPN >Et_3A_023050.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:21436022:21436747:1 gene:Et_3A_023050 transcript:Et_3A_023050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNAMSFLNRLARRLMPRRRRMSITCSAFMARRPFFPCGAGHRDVVISDSPFVKPKSLRKVKPRRIGDRKKRAREDGKGGDLLDGDEPCVWRRTILLGRKCQPLEFTGAIHYDCEGQRLWQPRTPPLMSPVRSSELGIGYMDRA >Et_1B_011334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20600818:20608035:-1 gene:Et_1B_011334 transcript:Et_1B_011334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGDKLDAYFRRVQTTPSSLAHLPEEVQAEILLRLPSPQHHLLRASQVCSLWRRLVRNPLFLASFQKRHNGVPPLNGVFHDRSFSCNRRFTLVGEDHSAILWCPTSLRILDSRHGRLLFLGRGVLVVWDAMTGIFEVISTMPFDWAACDHDNLNGAVVCTAGDNDEGRHGDCRASPFLVVLVAGRAPRAVVSVYSSLAREWSETTWYDGLPMWADVRRQPCVVIGQILNFAVLPHPAETAWMNVQIMKLDGTTLGLVVADNAAFSLHFWASIEASDHWVLRQTVHLETLQPQPALAATPHGSVKLLGACEHGNVIFLGTRLGTFLFYLDSMKLKKLSFGGAIPLGPLSPYESFYAPSRRMQTAPTSLVHLPEEVHHLLCASQVCGLWRRIVGNPVFLGGFREHHNAVFPLNGVFHERSFSGSRRFTPVGEDRPAILWCPTSLHILDSRHGRVLFLGRDVLVVWDAMTGIFEVISTMPSDWTACDQNNLNGAVVCTAGDDDEGRHGDCRGSPFLVVLIAGRAPRALVSVYSSLAREWSETTWYDGLPMWADVRRQPCVAIGTTLYQPLLGSHILSFDLETRNFAVVPHPPETAWMDFQIMKLDGRTLGLVGADNAAFSLHFWEREEAGQWVLRRTVHLHTLEPQPALAAAPSRPGCLRSVELLGACEFGNVIFLKTRLDTYLFYLDSMQLKKLSSGNTIPLGVLSPYESFYAP >Et_1B_013244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6748593:6753471:1 gene:Et_1B_013244 transcript:Et_1B_013244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADGEVLFLGGVGEVSIGVDHEGLSFQPLHPLRVFHRGAGRVDAETLRGKVVAFTAVHIPTGALHKLLPFKIEDVELTSSCWSSLQLQPKLENEIKFSDIYAVQLLDEGPVCGPWSTNTVIQGKKNSEMHRFVVHGTTRSRKHPSAWVPCEYLFGHKELKTCKIWVQQIAAFINNEGDRPKNLMVFVHPLCGKGRGLKNWETVAPLFNRAKVNTKVIVTERAGHAYDTLSSLSDKELKTFDGVIAVGGDGLFNEILNGLLSSRYKTSYPPTPEGFRYFGGNENCKGNTSDGTRTPDSANIMLSGNSNKCDDHEPLLSNLQHTGLDISSSDQDHVISFPNDWFRLGIIPSGSTDAIVLSTTGERDPVTSALLIILGRRMSLDIAQVVRWKSSPTAEVLPAVRYAASFAGYGFYGEVIRESENYRWMGPARYDFSGTMVFLKHRSYDAKVAFIETGNTHSPAASSEDAAGGAQPLQFCQKRPRKAICRTNCFICKEASTSTQNSEDEIPDSSRTVCENPKWVWSKGRFLSVGAAVISCRNERAPDGLVADAHLSDGFLHLLLIRDCPLPLYLWHLTQFTKKGSDPLNFKFVEHHKTPAFTFISSHDESVWNLDGELFQACEVSVQACRGLVNLFASGPEV >Et_7B_055160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7964195:7967595:1 gene:Et_7B_055160 transcript:Et_7B_055160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSYEGILLGMGNPLLDISAVVDEAFLAKYDVKPGNAILAEEKHLPMYDELASNNNVEYIAGGATQNSIRVAQWMLQIPGATSYIGCIGKDKFGEEMKKNAQAAGVNAHYYEDENAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKKPENWALVEKAKYIYIAGFFLTVSPDSIQLVAEHAAATMKVFMMNLSAPFICEFFRDAQEKALPYVDYVFGNETEARTFARVHEWETENVEEIALKISQLPKASGTHRRITVITQGRDPVVVADDGKVKTYPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKSIDDCVRAACYAANVVIQRSGCTYPEKPDFN >Et_8A_056538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11794506:11801214:-1 gene:Et_8A_056538 transcript:Et_8A_056538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GSGTAGCASRRKAVVRAISGVGPWPREGTGVQGAPLAGGRRDARGGRSAEAPPGYLGCWGATCTARRKKARREERKEEDEVGVQSSSLPHTPAAAATAAAMSSGGRYMAFSPSPSTGAHSPHHLPLADHEKYVAELLAEKQKLGPFMQVLPCTSRLLNQEILHVSALLGIPVLDQPGYQHGSPFINGGAMPNGRPVDMNGWAPAVPSEGADMLQPPSRNWLNPQGHSGFIVKKTMRMDIPVEKYPNFNFVGRLLGPRGNSLKRVEATTDCRVLIRGRGSIKDSTREELMRGKPGYEHLNEPLHLVIEAELPAEVVDIRLMQVREILEDMLKPVDESMDFFKKQQLRELAMLNGTLRDDSSQKSGSVSPFHSSMGMKRAKTRG >Et_8B_060019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5067212:5071059:-1 gene:Et_8B_060019 transcript:Et_8B_060019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAPAAEQEPRPSLFSPYQMPRFRLAHRVVLAPMTRCRAPGAVPTPALAEYYAQRSTEGGLLISEGTIVSPAGPGSKHQLTKYCSIRFPRVPGIYNQEQIDAWKKMVDAVHAKGAIFFCQLWHVGRASHQVYQPGGASPISSTDKPISSRWRILMPDGSYGTYPTPRRLTTSEIPEIVEQYRQAAINAIKAGFDGIEIHGAHGYLIDQFLKDGINDRADEYGGSLSNRCRFLLEVTRAVVSAIGADRVAVRVSPAIDHLDAYDSDPMRLGLAVVDRLNALQEEAGRLAYLHVTQPRYTAYGQTESGPHGSAEEESRLMRALRGAYRGVFMCSGGYTRELGIEAVASGDADLVSYGRLFIANPDLVERFRRDAPLNKYVRKTFYTPDPVVGYTDYPFLGQPKARM >Et_4B_038760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4737394:4745062:1 gene:Et_4B_038760 transcript:Et_4B_038760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHGLWELLAPVGRRVSVETLAGKRVAVDASIWMVQFMRAMRDDSGEMVRDAHLLGFLRRICKLLYLRVRPVFVFDGATPALKRRTLAARRRHRDAAQAKVRKTAEKLLLSQLKARKLEELAEQIKSDRAKHDATVKQVGSSREGQAEETNQDHNQNGDANTSEGTAASINQEKVDEMLAASLAAEEERSFTEAGEHHFTSVPLQEAAEIDEDEDDGDEEMIFPMTTGDIDPAVLASLPPSMQLDLLVQMRERVMAENRQKYQKIKKEPAKFSELQIQSYLKTVAFRREIDQVQKCAAGKGVGGVQTSKIASEANREFIFSSSFTGDKQMLAQRGGKEQISDSARPRKEINPSVFGSSSTSSSGTVKPLNSDPLRDFGPDVETYRDERGRIRVSRVRAMGIRMTRDIQRNLDFIKENEQAKSREHTNTHKVSTQNEEPPDFPEHLFENTEVRSLGSLDENSTETASDNLYTPSLVGGSDKISENLYPGNWEAIEISFMDDQTEVKGNDEIFLHLASGTSDIFADDNCLAKKAEESDDSDCIWEEGAIEGETLDMNVDGKDHKSSLQENCADDEVEWEEGDCCVPGVHSSSEFHPGKAPKGDLEQEALIQEAIRRSLNDFENQTSETVVTEVLHASVEERSLQSADDAPNTSGALGEASRSGVRVEKEGNEETRISINFVENNVMRDIGVPGADGQENEKVAQPVYSDGSVDVQRVQLLESLPLHNKPATNLPEEVSDISKDNVSDVILCTTKIPERPADDAGKCINKNSVNSEKAKFCKDVASTGETSKSPQRNILNDDLVPDTASWKENTTSEISYTQLGDNNENHTISATYIDKELSLLREEQINLGNERRKLESHAESVSSEMFAECQELLQMFGLPYIIAPTEAEAQCAYMEMSNLVDGVVTDDSDVFLFGARHVYKNIFDDRKYVETYFMKDIESELGLTRQQLIRMALLLGSDYTEGISGIGIVNAIEVVHAFPEEDGLRKFKEWIESPDPSILGKLDIETGSRSKKRKAGRNDSDGKEKGPGPDCIEGSDDKQPSNGTEHIKEIFMSKHRNVSKNWHIPSSFPSETVISAYISPQVDNSTEPFSWGRPDLALLRKLCWERFGWGKEKADELLLPVLREYNKHETQLRMEAFYSFNERFAKICSKRIKKAIKGITGKSFNDTDEPDQDNPSTSKTTKKKVATSSTRVRGRGKQNNNAGVRNMGSQENNKIGSQDDDSNSFADTVELTKENNNTKKRRKKSPSSRSKGRGQSRMNAGHGNTENKEDSDTKYYTLASDDISQERQASSCKSEGMVVRRSNRKRKQVTYVEDGNEADDNDVPFHQDDGENDSSEAAGDMDMAGQDTQYNPVHHDTSELNSNQMHPDPSTTEDINEDFPGFELHDDHVTPKEYLFTGGGFCTEGDEQNLGGDAPGPEMKPGRSDACEGIDGVSDSGKSTGEFSENASMEEARGESSSQKRPASRGLTAMPTLTKRRRRS >Et_2B_020411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:268155:269749:1 gene:Et_2B_020411 transcript:Et_2B_020411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGDGLPVVVMLFLNMVAAVMVSLVKVAMDGGMNPLVLVTLQQLTASIFLTPIAYIKERKSRPKLTLEIFGYLFVSAALGAALRQYMIFVGLRYTTATFVTAFSNIAPVLTFLLAVATRSEAMKLKSKTGMAKLVGTLISLGGAMVLTLYKGVALTHAAPPDHRRHQHDTVSHAKWTLGTLAILGNCVCLSCWFLLHGRLAKKYPHVYSCNSLMSGLSFLQVAVVGLCTQRTISPWIIRSKFQILTVLYAGIVGCGVSFVLLTWCIEKRGPVFVAAFIPIVQIIVSVIDFCILHEPLFLGSVLGSVLVIGGLYLLLWANRHEALHCPPKDAEDDKEQQQQQLQS >Et_1B_011155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18072628:18088445:1 gene:Et_1B_011155 transcript:Et_1B_011155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRVLVSCLLLALAVAAVAAAAGKPEAQSSYIVLVAQRHAPRPPRRPRLLAPRAYAGFLRDHLPEHLQRPEPRVHYAYAHAVTGFAARLTRRQAKHLASRPSVLAVLPNTVQHPRTTRTPKFLGLSTLFGLLPASDGGSDVVIGVIDGGVYPKGRASFAAKPSLPPPPPSRFRGGCVSTPAFNATAYCNNKLVGAKFYYKGNEETHGRPINETEDSKSPLDMDGHGSHVASTAAGSAVADASFFGYGRGRAVGVAPGARIAAYKVCWKGRGCTSSDVVAAFDEAIADGVDVISMSIGPNGATAPKFYQDLHAIAAFNAARNGIVVSACAGNEGPGEATVKNSAPWYITVGASTVNRRFSASVVLGNGETLAGVSLYAGKPLGASKIPLVYSGDVGSAPCVSGMLNASLVAGKIVLCDPRNFTRGHLRQGEAVRLAGGAGVILGVYKEDGEQIIPSPQILPAATLVLSDLTKVWNYTRTHPSPVATIVFHGTVTGRVPSSPRMGSFSSRGPNVRAPEILKPDVTAPGIDILAAWTGADPPMGFGDDPRRVEFNIIYGTSMSTPHVSGIAALLRQAQPQWSPAAIKSALMTTAYNLDNAGDIIKDMSTGQASTPFVRGAGHVDPNRALDPGLVYDADGDDYVSFLCALGYGAKRIAIFTKDGSETNCSERIDSVGDFNYPAFAVEFDSDMENVTQRRVVSNVGRNFMATYNVSITSPPGVHVTVNPAMLRFSRMRRKHKYEVTFAPLGAAKVTDEYTFGSIVWSDGTHTVTSPIAITWPEKEVATMHVAATCCLLVAAVVLIAAAAQEVPEAEVQSSYIVHVAHEHAPRRPRRLHVTRAYAGFLRDHLPEHLLQPEPRVHYSYSHAATGFAARLTPSQAAHLASLPSVLAVDRASFAVEPELPPPPSWFRGRCVSTPTFNATAYCNSKLVGAKMFYKGYEAKMGRPLDVNEKSPLDTNGHGTHTASTAAGSAVRGASFLEYGKGRAVGTAPAARVASYKACWTHACTDSDVLAAFDEAIADGVQVISISFGGNGDLIVPELHNDTVALASFRAGASTVKNLAPWVITVGASSIKRQFAATVVLGNGASFVGATLYAGAPLDGESSKLPLVFAGDAGSSTCRAGKLNPRKVAGKIVLCEPSKWNHAAQGEAVRAAGGAGAIFTSAMDFGEQTVASAHIIPAAAVTFKAYMQIRTYIVLSKQPAAATATATILFNGTTFSREPSSPRMASFSGRGPNVRAPEILKPDVTAPGVDILAAWTGEASPTGLATDARREQFNVLSGTSMACPHVSGDAAADVEPRRDHDHRAQRRHQRRRHQGRADRQGVHAVRHVDPTAALDPGLVYDAREDDYISFLCALGYTAKQIALFTRDGTATNCSTYTSSVGDLNYPAFAVVFKSDKDKVTQRRTVTNVGTLVATYTANVTSPPGVRVTVNPPSLHFMRGRSRRKYEVTFEQLESEAVTNKYTFGSIVWSDGTYKVTSPIAVTWPTKQKLHEIRTAWSRRDAARKGEKKSGNMSIIR >Et_3A_025826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33120568:33122975:-1 gene:Et_3A_025826 transcript:Et_3A_025826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLVKYVLVVLRADDHGEGGTFALYSLLRQHVNFKGDTPVPVTRLESDADLCFHGRKRGLPSKLHQWLESSSKFQSAVTYFVLFGTCMMIGDGALTPAISVLSAVQGIQSRSTNITQDHVVILSVVILLFLFLVQRFGTGKVSCSFSPIMIVWFASIALIGVYNIVTYHPPVLKAVSPHYIYYYFAKDKGAGWEQLGAVILCITGAEAMFADLGDFNKSSIQMAFSGVVYPSLLLAYAGQAAYLIKNPSQLSTTFYSSIPDPLFWPMFVVATLSAIVASQSLISASFSIIRQSVALGCFPRVTIKHTSEEYEGQVYCPEINYFLMVVCILITAGFRGGPEIGRAFVIFVMLITTHLMTLVMLVIWKVHIALAASFFVAFVAIEGVYMSALMNKVAEGRWVPFAIATFLLVPTLAWTYGRKLKSEYEARHAVGDEELDALVARSARAPGVCVFCTDLVNGFPPIVRRYAEHTACLRELTLFATVRDVPVRSVLPEERFLVARQEPAGVYRCIVQYGYTDKHDLVGDGFVGSAIAALKQVAGSAEEAELMGSALVEGYMFVFGRTILQMGQGHNLLKRFVINILYRFLQKNFRSSISTLKIDHAKTLQVGMLYEI >Et_10B_003888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7236520:7237667:1 gene:Et_10B_003888 transcript:Et_10B_003888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTDDVLVEILSRVPYRSFIRCSCVSRRWRDLIAHPDHRRKLPQTLAGFFCFAPTRSFINASGTGPPLVDPSLSFLPDPGREDLSLLDGCNGLLLRRCFRFADPDEFDYLIINPATAKRVAVPVSRRWSNRVQTARLWFEPAVSHFHVFEFQLNWDENGDEEIEDDDGDGRLLGVKIYSSETGKWSYKQSGWETEVTLDMNFNSVFVSGILYMIATECFITAVDADGNTWRIIDFPRTENSPFYDTAVGFIDLSQGKLHLANSDDITGDNLAIWVLEDRNSEEWTLKHTVSFRHLVRKRTVHFGYDEFIVVAIHPDRNIVFFFGDKKKLMSYDMGSGEVRVIRILGQDCHEHYISYVPLGMCGWAAIILLEQCGSIFV >Et_7A_050407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13130716:13131090:-1 gene:Et_7A_050407 transcript:Et_7A_050407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGSDAFRKGKYPRCTQ >Et_1B_011427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22061233:22062698:1 gene:Et_1B_011427 transcript:Et_1B_011427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFTVSRGKTELVVPARATPKETKMLSDIDDYPGHRIYFPVVEFFQRRETINGQASSEDPATAIKAALGEVLVYYYPVAGRLRETIGAKLVVDCTGEFGIPFVEADAAARLDELGTPLVPPYPCVDELLPDAGDIEDVVGKPAIFLQVTRFRCGGFAMGLQFSHCMADGFGMVQFMKDITDVARGGEKAPPVIPVWGRDQLMARSPPCPDYVQEKLMSLLTTDPAGATPPSSMTCRYFAFGADEVAALRRRVPEDLGATCTRFELLTAAIWRYRTAAWCPGQHGKRARLCFAANIRRRYERIPPGYYGNAVVYHVADADAGELCERPLGHAVELVCKAKKDMTEEHVSSTADFLAAVRALAAADVAFVVSDWTRLGEDGLDFAWAERVAGGVAKQVTPDGRELVVVSMLLPGQVMERFEKEMAAWMMNN >Et_1B_014245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4240249:4240746:1 gene:Et_1B_014245 transcript:Et_1B_014245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILWRKKLVLHSSLVGVFIGISENPFMAAPTPSARGISSAPHGGDHWPRTTRLAGRRSRRGAGAGTIRLRCCGGRRTGWALERKLDEMGRGLLIGGVRAELTILPPGGSGGWPAPVTNGVNRGR >Et_8B_058511.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:10762585:10763031:-1 gene:Et_8B_058511 transcript:Et_8B_058511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLVLPGGSAISFPHGETFHLPEGTCYHNSYGEWLLLSREDSTCFLMNPFTEETMPIPSLSSYSPYNEPVETLNVRIVPGYEMHHKWMHIMVAKDITVVSLIVCSPLLIAAI >Et_3B_027392.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11081447:11082960:-1 gene:Et_3B_027392 transcript:Et_3B_027392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQELVVAGDGQVPRYIVTGERKRHVEESSRGYRVRREALDDKNSGLVTLDRVWRMAEQGDGVVAEHRDLCLSFSFFKILRLQLSGGYPSPEAGSVEAREFVLRGMDVVGAGGAVDADRVFRVLEDELWFASDFYYSAVPLSTFGGWSAVVSHLCSALIVVGAVAVGWIYVAKEVVNTVPYYVITFSLLLAVVLVEAWEVVAGVCSNWTKMALLGHYVRHQPAWRRFRSVLAVLLRLRPARRWRDTIGQNSVLEPRRFRSRTGLLSEQLYGRAGLMKSVKVSPSVKDAVLRSLLSSYGRLPAKDDDAAARRVGGKVDWARYGSSGQRSWAWDGGGNSRSNTELILVWHVATRLFEMKTSTAAATPDMAVAAHLSNYFAYLAAAAPELLPDSAAWTEKRYREVADDVRAALGADQKAFTTVGRYERLVRALSADERDAVLRRGAQLGRHLVVEYAGDEASACRILADFWSDMLLYVAPSENVR >Et_4A_034055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29505562:29510907:1 gene:Et_4A_034055 transcript:Et_4A_034055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERWAPVICAVALVLLAAGAVKGDPDPEEFERAFPIVEPDYGHTKLRLSEQGLEAIRRIENPIAIVGVIGPYRSGKSFLLNQLLSLSCDKGFGVGHMRDTKTKGIWIWGTPVEMEVDGSKVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETVREADISRLSFAVEIAEEFYGRGKMLLLSQRSFSGLSREIFYKENLFSRWSMKPSSGCLMIMVNQIRDSLAVMGDNSTAFSLPQPHLQRTKLCEMGDKELEPLYVERREQLKKLVASIVKPKIVQGKTLNGRDFVSFLQQILEALNKGEIPSTGSLVEIFNKAILERCLKVYREQMVGLGLPVTSDKLQRVHEMANDQSRMLFDKQHFGKHHAAQSILQLEDEIKKVYRNFLLANEYQSSKLCEARFSECEDKMDNLQVLKLPSMAKFNAGFVHCNQSFVRDCVGPAKESYERRMSKMLAKSRALFIKEYNNKLFNWLVTFSLVMVVVGRFVIKFFLLEIVAWVMFIFLETYTRMFWSAESLYYNPAWHIIVSSWETIVYSPILDLDRWAIPIVILLSFAVLYWRCFGHRRKRGSRSLLPLYKNSHKNSTRPRSD >Et_2A_017694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5159756:5164066:-1 gene:Et_2A_017694 transcript:Et_2A_017694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGCGGRYYWAPGSVAPGQARGIAVLFAWVWSDEAHLRPFVELYASLGWRCLVCHPDLVALYLSEKATSLASGIICELVKELKIKPVPTVLASFSGGSKGCMYKVIQLLDGKCEGDATVRDFRLVRNCICGQIYDSGPVDFVSDVGTQFIQKPVDDNSSQPSIFRSWMAKALASGMDTLFPSRTEAQRAEYWHTLYSSAGLGPVLILCSEDDDLAPSHVVCGFARRLIELGTDVKLIKWSGSSHVGHYKSNEAEYRTAVNDLMEKALVTFCHRSQLNDKRAAGDQEYKIAHSVCSLHNAAASSNESLRRVANSPSDHFFLPSSKDHNESRDPDSPIEEQRQQISHPPYMEPQGVLGQILFDVCVPKTVEGWDIKPTVSPNGRPSLTSARQLGPFNPIKYFRRSRL >Et_2A_018306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22085518:22094250:-1 gene:Et_2A_018306 transcript:Et_2A_018306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDMRKQSHTATTPPPIAASSPPSSATAAAAPVARAAFVILAGLMSACFFVEPFAAAAADLRLPWAAAVATVFFFVSSFCASVHLFCSFFLPRPPPAAAAVAAQLQGVGVIAIASVGIGVAACLVAASGSAYGYANSAVEEAPYSHLDEKP >Et_3B_030692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6377659:6379818:-1 gene:Et_3B_030692 transcript:Et_3B_030692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEELDRLVLTGELARHTISEGAKAATKIAELLVVDIDYEDSPKHQQCRQQYLASVLGLEYLPKWAC >Et_10B_003059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1647635:1650790:-1 gene:Et_10B_003059 transcript:Et_10B_003059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLVALQSIPPAKLTHRSCLISAIGVPSAWLHMGPGKNRGIKMKHQSKAERQVQLVVAVDAVAILRRSLGIARRRGNHRSSTCKLVRHPRPLVCSGGRPMRAHGSCHLSPALGEAALVLVRVLVATERLSVAELAVAVRADEDACRLRRDGAVEEGELKVKLLLH >Et_10A_002178.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:2989904:2990347:1 gene:Et_10A_002178 transcript:Et_10A_002178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQRHILLLAAVLSASVLHVAAAASNWTVYDILAQNRLPRGLLPQDVQSYEVHVGGALDVTLPGDACAVSVAADGKTYRFRYGRRVGGVLVNESITRAYGIAMELDSTWLDVSEMRCLGDRVKVELPASLLYLPAIAFAQSPRCN >Et_2A_015347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11427876:11429481:-1 gene:Et_2A_015347 transcript:Et_2A_015347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGFSVTRTNKSLVAPSSPTPRETLGLSVIDRVAGLRHLVRSLHVFDSAGDHQGEPPAKTLRAALGKALVDYYPMAGRFVEGEGGETCVACTGEGAWFVEAVAACTLEEAKHLDHPMLIPKEDLLPEPAPDVALLDMPLMMQVTEFRCGGFVVGLISVHTIADGLGAGQFINAVADYALGLPKPRVSPTWARDLIPDPPMMPAPPPRFELLDLQSFTVNLDPDHIAKVKARFLDATQHGISISSRRCSTFDLCGATTWQSNNMKFEIARPRISSRYLTRSTWGSINSLEKDRSTSGW >Et_3A_024260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1957453:1961978:-1 gene:Et_3A_024260 transcript:Et_3A_024260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVHLYVAAACAVVLALAAPSIAGDPDMLQDVCVADKASRESFISLSSSSFMIDIYVPIHDYHACRSAVKLNGFPCKANVTADDFFFDGLRNPGNTNNPNGAVVTAANVDTFNGVNTLGVSLARIDYAPGGLNPPHTHPRATEIIFVLEGVLEVGFITTADKLFSKIITKGDVFVFPRGLVHFQQNRGHGPATVIAGFNSQLQGTQQVAMTLFGATPPISSDILAKGFRIDNKLVDIIKARIRSFVIFHLYVAAACAVVLALAAPSIAGDPDMLQDVCVADKASPIKINGFPCKADVTADDFFFAGLKNPGNTNNPNGAVVTVANVDTFAGVNTLGVSMARIDYAPGGQNPPHTHPRATEIIFVLQGVLEVGFITTANKLFTKIITVGEVFVFPRGLAHFQQNRGMGPATVIAAFNSQLQGTQAIAMTLFGATPPVPTDVLAKAFRIDNKDVDEIKAKFAPK >Et_8B_059980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4745293:4746784:1 gene:Et_8B_059980 transcript:Et_8B_059980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRHLAVFLAAAGLLLAAGVPAVRAQEETDHEEEFSYVPGTENGPEHWGAIKEEWSACGTGRMQSPIDLSHARVSLVRSLGYLNHSYRAAEASIVNRGHDIMVRFEGDAGSLSINGTAYSLKQLHWHSPSEHTLNGRRYDMELHMVHQSAEGKAAVIAVLYEVGDHDAFLHRLEPFLERIADVGDREERLGVVDPRGARGRASVYYRYMGSLTTPPCTEGVIWTIVRRVRTASKYQLELLREAVHDDMEKNARPLHELNDRDVSVFRPKPHKHY >Et_5A_040683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11043728:11046079:-1 gene:Et_5A_040683 transcript:Et_5A_040683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPPSLLSNAILALELGSPRAVTQRTGGVRRIAPSVTVRLGWRRGDAALWAPAFVGRHPAGPRRPCAPTPPGLRGPLALRRRVPAVACRRATASPAPTAATASAPGRHLLLPGFGFAGYKSAACGRWLVFPRDDGCFLVDPFSRATVTLPALSRVRLRPPNAVARYTQRGNLQTPHPFLTWMHIKDLEGMPTLHKLILCSPNLVAAFVGSGPFTQILDDPGPMVDAWLPVDPTFVKKLYLVESCGTLLMYGMPSDHIFFLDDDKVDVTDYLYDKESTSVGVYDMKTREVSSPLPLVWKREMVWKASPQLTSEPKEKPLPSCAPEGSRQSTTVLEGSAPLVSPTDLCSTASPSNAAMLPPPHLASACARPGRSPAVAAAVVGT >Et_9A_061987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18599594:18600808:1 gene:Et_9A_061987 transcript:Et_9A_061987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPKSGLFVGINKGHVVTKRELPPRPSDRKGKATKRVIFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVLRKMRAAGTHTDKKK >Et_3A_024848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24851979:24853286:1 gene:Et_3A_024848 transcript:Et_3A_024848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKTRVKRGPWSEEEDAILKGFVKRFGNAGNWIALPQKAGLKRCGKSCRLRWLNYLRPELRHGGFTDEEDNLILSLYGEIGSKYELMHHAIKRQSTRPAWLTVHAFMWSVIASRLPGRTDNDVKNYWNTKLKKRFLASAKRQGTPPPPPSPPSSDDSMTVAADNDSQPQDDQQTPPPPVTPSFDNLDDDEELLLKSEQLYSELVGLIEPTSTSTTNNAGTSTGEDSSLTASSSSSGTSPAASSSSGSSNAWPMDVQDTTLLSESISSLLSDAHGGDDVFGAADLPASYSFQDLLAASYDEFTTMTQELYYY >Et_1B_012167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29652116:29654511:1 gene:Et_1B_012167 transcript:Et_1B_012167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKSVDTLGEADLKGKKVLVRADLNVPLDDEQNITDDSCVRACAPTIKFLLEKGAKVIVAGHLGCPDGVDPKYSLKPLAPRLSELLGIDVIMANDCIGEDVEEQACALPEGGVLLLENLRFYEEELKNDTEFSMMLASVADIYVNDAFIASTMGITEFIQTAVSGFCMQKMAAIAEECALRNTVTMCIDGEIGDMLKSGSNVLVTYEQCAKQEVSTWIFQNFAWAPQRLKFTPRLQ >Et_2B_022177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1145707:1148827:1 gene:Et_2B_022177 transcript:Et_2B_022177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALATSQLVATHAGFGIADRSSPSAFRVHGVGPRAPSADAALSMRTGARPTPCRNARSRVQRPGGRRFPSLVVCATGGMNVVFVGAEMAPWSKTGGLGDVLGGLPPAMAANGHRVMVISPRYDQYKDAWDTSVVAEIKVADRYERVRFFHCYKRGVDRVFIDHPSFLEKVWGKTGEKIYGPDTGIDYKDNQMRFSLLCQAALEAPRILSLNNNPYFSGPYGEDVLFVCNDWHTGPLSSYLKTNYHPHGIYRNAKVAFCIHNISYQGRFALADYGELNLPERFKSSFDFLDGYDTPVEGRKINWMKAGILEADRVLTGTGKKKFERLFKSAEEKYPDKVCAVVKFNAPLAHHIMAGADLLAVTSRFEPCGLIQLQGMRYGTPCACASTGGLVDTVVEGKTGFQMGRLSVDCKVVEPADVKKVATTIKRAIKIVGTPSYQEMVRNCMAQDLSWKGPAKNWENVLLSMGVAGSEPGIEGEEIAPLARENVAAP >Et_7B_054193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1704412:1707309:1 gene:Et_7B_054193 transcript:Et_7B_054193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSSVIGHPTDGSPVSGSGLRCKRFPNLLSVLLISPEFLIYLCASQNGKFSYGYASSPGKRASMEDFYEAKIDCVDGHIVGLFGVFDGHGGSKVAEYVQQNLFSNLIKHPKFISDTKVAIDDAYKSTDSEFLESDSTQNQCGSTASTAVLVGDRLFVANVGDSRAIICRGGNAIAVSKDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEVVDDTLEFLILASDGLWDVVSNEEAVAMTRSIQDPEEAAKKLLQEAYKRESSDNITCVVVRFFHGQGSSGYA >Et_3B_029380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24497660:24500054:-1 gene:Et_3B_029380 transcript:Et_3B_029380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQCDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPDNFPFVLLGNKVDIDGGNGRVVSEKKAKAWCASKGNIPYFETSAKEGTNVEDAFQCIVRNALKNEPEEELYVPDTVDVAGGSRTQRSSSCC >Et_9B_065851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14989434:14991841:1 gene:Et_9B_065851 transcript:Et_9B_065851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLTIATAPLPPADGGAYAGPFEPSVWGDFFINYTPPPSQACRSEEWMRERAEHLKGQVALKLEAIKKMGTGDMMMLVDTLERLGIDHHFRKDIDLALSHVHREEPADIVSSDDLHIVALHFRLLRQHGLWVSTDVFEKFRDGTGKFSQSLSNDVRGLLSLYNAAHMATPFEEILDEAIEFTRQHLEAAKGKLRPPMSGQVTRALDIPLPRFMPRLEAVYYISEYEQEEGHDTEILELARLDYALLNSLHLKELRDLTLWWRDLYKEVNLPYTRDRIVEMYFWAFGVSHAEEHSRARMIHTKIVALTSLMDDTYDVHASFEECKKVNEAMQRWDASAVSLLPEYLHALYIRTLSQFKEFEDSLEPHEKHGVHYTIKAYKLLSTFYLKEATWCHGNHVPSFREQLHLSGMSAGLPMFSVAAWMGSGRVATKEAYEWGVGIPEMLRACGEVGRLLNDIASYKKGKNKKDVASTVECYKKEHGCTGEEAMAECAAMSEHAWRKINRGCMEIKPILLPAAHLAAVNLSRTSEVFYIGGVDAYTFGNNLKDIVTSIFLRGPA >Et_7B_055549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1417289:1420944:-1 gene:Et_7B_055549 transcript:Et_7B_055549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVHSTKAVKPSYVAPGTTADVIPLTVFDKANFDTYISVIYAFRPPAPATAVLEAGLARALAEYREWAGRLGVDAAGNRAILLNDAGARFVEATADVTLDSVMPLKPTPEVLSLHPSGDVDADGELMLIQVTRFACGSMVVGFTTQHIVADGRATNSFFLAWSQATRGVALDPAPVHDRESFFKPRDPPLVEFEHRGVEFKPYEKKQHVDSNDGESLSSEDEVVIQKVHFSREFISKLKSQASAAGAHRPYSTLQCVVAHLWRCMTTARGLDERQPTSVAIAVDGRARMSPQVPDGYTGNVVLWARPTAKAGDLVAKPLRHAVELINREVARINDRYFKSFIDFACSDAVAKERLVATADANEMVLSPNIEVDSWLRIPFYDLDFGGGRPFFFMPSYLPVEGLLILLPSFVGDGSVDAYVPLFSRDMDTCDNGDVLDEV >Et_5B_045025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8518181:8531638:1 gene:Et_5B_045025 transcript:Et_5B_045025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRREPAASPFRDLSNIRTPRPNRKPAASALAFPPFETPLKGPSPTTLRRRKPVAGSGAPTRTPHHSRLRALEADQTRSARRAESGRERALRTFAASASSWLSLLLRDPSACGCPRAAGSTAAASRPCAAGKRDTLDGERARGRSPKRRRRGDRGGERRKSMTPSMKAALRDSLREACSLDDVTERMERYMSKDACEEVLVMMCQICKNIDEGRLKMKAHCPLVTDLRLKEKATRIFLCYNPEWLRIGLHIVLGGDSLLQNGSGKRDKEVPFLKLILEKQIFAQIMTAKSFAHKVAEGLYKQGYSEALGNIVLKRIFLLVAALDRAKVESALPLETGIDGLDGGTPLLFHHQDQIKSSRQIIQESLVEAMHGEGDLMMHLTTMGYKLSYQQPSLSEYDFTIRSIFEDLQDGIILCRVVQLLLSDSSIILKVIAPSDTHKKKLHNCTMAIQSIKQAGVPLSDSDGITILAEDITTGDKELILSLLWNVFIHMQIPLLADKTSLARELARLQLPVTGQLVPENKSHVCLLYDWVQVVCSKYGMGYETTSQLNKDAVSCIINYYLNIDAFPLKESLAGCQKELFASHELDSITDVTSCPDSKMGNLLADFLQDIPASGILADDVLFDERSAILLLAFLSSHLTNEKRLGQLKNLVNTRFHYRSPSTKTSARSRSQGKNDMKHQSPQTENKDGSSSNQDWAATTIQTQARCMIAKNKYCNRRKAIFILQGAMRAWSAVIKKRNSSCLTTAPTPWEEHGNDNRYFDLIIERHRFVQMRKCAIMIQRALRIWIKGRKSHENNEHLERHESSETTISAPLEHFSNLRVIAAIKIQSHLRGLSVRKCFTRKLQAIIAIQTSTKQFLYHRAFQQQRFAAKLIQRVARGWLERKRMLGSSSLQTCIRLGVLDQSQHKKCHQSLELKIVLHSVLRLQRWWRKFLLRQSTQTSVISIQSFIRGWLVQKQLNRICCCINIIQRWWRKVLFLESRKQAVIVIQAHFRGCIARQAAIRKRRCITMIQVRTNHQQNDSCIGTIKIEADDSYFKAYLVRKASKQEVALIRSRLQKSSAQVDDGMRLINRLVAALSQLVHRRSLSSIRQTCATLSTATEYSKKCCETLVAAGAVDILLKQIHLLNRGIPDQEVLKQVLLTLRNIARYSNLRTVLINTPESVEIVFQELLRNKAEGFFIASGILKNLCESKEGHETARALQHHVKRLRNLLQDLEKKVEVDKRLAPPTDVLFTERASLLSARNGRTGVVKETNLRRLGEAATLYHILTSDHRLGNEAN >Et_3A_025661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31687267:31690451:-1 gene:Et_3A_025661 transcript:Et_3A_025661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLPSPANTMGKLPRALSLAAAAVAAATTSLLLISVAISRSHAHSSTSPPAPSTSASTTAALPPAPSPSPLPDAEHHHSTPPPVPPCPPNATHLVPCHEPPSGERHCPPRPPPPPLHPPKEPPPHPPHPPPHPPHCRVPPPPGYCPPPPWPARRDIARYANVEVASLPTAKVEAGPGKWLTFPKGVENYVEQLERVVPLRGGMVRTVLDIGCGAASFGNYLLNYGILTMSISPPSRHGAQVQLALERGLPAMIGALGAHRLPYPSRSFDMVHCADCLVPRSALDGLYMLEIDRLLRPGGYWVYSRPPISWKSPHNVSNQTVNDMQNKQSAMDDMAYKLRWTKLAEKDTIAVWRKPTNHLHCDRKEKLFGLPPLCTGDDPDSAWYTNISMCMTCLPRVDLVKGCASDAVEKWPERLVAVPPRIARGEMKWISTQTYKHDSLIWEKRVGFYITCLNNLSNGTYINVMDMNAGFGGFAAAMSKYPVWVMNVVPANVTKNTLGIIYERGLVGTYMDWCEAFSTYPRTYDLIHAYGIFNLYMHRCGIIDILVEIDRILRPGGAVIVWDRDDVVLKVKKDADRLGWRSQTYDTENGALDPDKVLIVDKSFPLPGS >Et_1A_009022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25581307:25597322:1 gene:Et_1A_009022 transcript:Et_1A_009022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEAAAASDETLAAVFAQLKPHTVALLDLLRSRGGSRPSAAAAASSLRSMSAFLRSAPAPALQLCFDYTVFPLLLLLDAAVQCRKDGNPPGQIAVDLDITDAVAEGGLACLEVVLTKCRLTSVNQMVAMLRKLTSGAMLSPSEASEEFRGGIIRCFRAMILQLQPCSDRSCSCNQTTVLPTTPTVTSLEVKAVVRSKHSAQPEECLLAFLRSQNASAAVGHWLSLLLQASELEASRGHCGSADVRKESLYTLRILIAKIGSADALAFFLPGIVSRLGKVLYTSKTMITGAAGSSLSIEQAILGLTEALMIVLNDKENLSSRNTPTNEICAHSSGDNGSTEHVLQMLRQLPNRSISEQIVHDEVSSDFTSDGNNSSVDRKALHVKRTKQWLEETSSNVDKLLSATFPHLSIHSSEKVRRSVVSGVRGLLSCCGCTLKGSKILLVECLCVLACDDAASVSESAQDALDYLFKEGYNYITENEISDIFTRLVDRLPQVVLGSEETTALSHARRLLALTYFAGPQFLINHLHRSPVIATRFFDCLGLCISHSSQFSGSMEKLIVSKPLSVGFLYSVADLKSGAYSKDATHSSLHDMSTSAASKVSVIQDNGLTNAILGAVEYELPHIPPWFVHTSSRKLYLALAGTIRLVGLSTVSGEQTAASLSVFVDILLDQFRRLSTELRAKDIYKDDMQRWYVKSEAGQKLRQASSAVCMLNELMYGLSDKSLVMFSQLFKKRSAQLTSTACQNDQLRACDQHKGITNEREIWGFNEQKGTKDNILHCIGSILHEYVSPEVWDLPTEKETELGLAESNLPLHFFRDTSALHTVMIEGIGVLGVVLGQDFARSGFMHSSLYLLLRELISSSAQIRIASDAVLRALAAAGGHCSVGQFVVANADYIVDSLCRQLRHLDMNPNVPDILASMLGYIGASRDILPFLEEPMRAVSSELQVLGRHDHPHLTVPFLKAVSEIAKACGHESTRLPDEALSFHGKVSSEGQAVQHMIEKRMESSAMSERMDVDAQPDFMNLEYWEDLLCKLNEMRRYRRIVGSLAVSCLSAATPLLSSTKETACLVALDIVENAIISVAKVEEAFKCENQSKTVIEEAIQFLSFDELLDEAEATEDADENRLLPAMNKLWPYLIICLRNKISVPVVRKCAGVLSKAIAISGGDFYVRRFHKDGSSIWRLLALSPFHRKRMSLMDEKAIILPYRDTSLTSEEPMAEISSQKIQIAVLDMIAEVSSNKRSAVALESVLKKVCGLVVGIAYSSLTGLREAAVRALTGLAGIDADLVWLLLADVYYSLNQRDMPVPPSQDLVEISDILPPPMSTREYLFVQYGGEGVRCDVDPSSVNEVGRQEPRPASAVAGYGYLEGWLPRNGLGNKRTHRRIQLPSSRSGASTGAGVGLAASSWVTSSTTSPLTSEDARNPSSEPYTVSTRHRFRSSLLSAASSSCGSTPAVTGAAGWSSPHSSSIPGLGGSAAAGGSGSGGPGSPHSSATTGLEFPASASRPHSSSSMGGSGFEGRSGAGGERSPHSSGIPPSTPRPTAPGARRRRSVKPGRAGRELRPWARRPAAAAAAGLGDRTGRREAAAKRGAIPLGSCVGLLG >Et_5A_042259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8017975:8029185:-1 gene:Et_5A_042259 transcript:Et_5A_042259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIDTVHELVLIVKVEQGAQEYKRFMQDTKIVAKCEILVLKLSLIGHAFKPILLHFLRRSVGIRKLVVELRSEMIVIMKDSNTKIMKQDDYPCKSWSQCLGSWLENRKTTDIVLGALEEVEVKGREATDQVVRILCKLCSTFQRRVGITVSECGSEFMRIQLSPQTTNGAAPLLSPPLPAAA >Et_8B_060420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14500097:14502221:1 gene:Et_8B_060420 transcript:Et_8B_060420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPTMSTSMATPSPARATAPSPANKPLAAPRQCHLLLRRRRRCVSASAKKKNPWLDPFDDGPDEEFDYQGVFSGGKQEEDPRPPEDPDNPYGFLRFPMGYNPELDSLASKVRGDVRRACCVVSGGVYENVLFFPVVQMLKDRYPGVVVDIVASARGKQVYEMCKNVRYANVYDPDDDWPEPAEYTHELGVLKNRYYDMILSTKVGGLGHALFLFMSSARDKVGYVYPDVNRVGAGLFLDEMFEAPTANLSEGGYHMYKEMLDWIGRPGKNVPRQPTPPLRVSISKKLRAYVEDKYNCAGVEKGKYVVVHGIASDSVANMKSKGDNDCLLPLEHWAEITKAISSDGKGLKPLFVIPHEKHRDEIEEIVGEDTNILFITTPGQIKFRLKVLTLPSLTAKLTCLINDSAGVVATNTAAVQLANARDIPCVALFSSAEKAKLFLPCVEDKASCTVISSATGKLIDIDVEAVKKAVNDFEPAPSFALTPV >Et_7B_054609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2784405:2787902:-1 gene:Et_7B_054609 transcript:Et_7B_054609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHMSCSNGSSLDPCGPLMDYYIPDYILKPDSDERAVDNPPSCPVVVFINSRSGGQLGSSLIKTYRELLNEAQVFDLSEEAPDKVLHRMYCNFEKLKSNGDTLAFEIQKSLRLIVAGGDGTASWLLGVVSDLKLSQPPPIATVPLGTGNNLPFSFGWGKKNPATDQLAVKSFLEQVKRAREMNIDSWHIIMRMRIPQEGPCDPIAPLDLPHSLHAFHRVSGSDSLNVEGYHTFRGGFWNYFSMGMDAQVSYEFHSERKRNPEKFKNQLTNQGTYAKLGLKQGWFAASLTHPSSRNIAQLAKLKIMKRPGGKWEELKIPRSIRSIVCLNLPSFSGGFNPWGTPGTRKVQDRDLTPPYVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHRLRFEFHKGAAEHTFMRIDGEPWKQPLPREDDTVVVEISHLRQVAMLASDPCKSKSVNDPSSPCHPHDDDDTNSLEDEDEWENGRKKFGAAATFKIPDDVDIAHLS >Et_3A_026403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7747210:7754904:-1 gene:Et_3A_026403 transcript:Et_3A_026403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGDGLKLKITTQNYELREVMQDKLGEIGGRRCSFKYYCKEKISDSVCFNRQILWKPAHIKTQRIILFCPGFLLFKLVILPPELIRT >Et_10A_000829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1797748:1803079:1 gene:Et_10A_000829 transcript:Et_10A_000829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRRAPPRSSSGGVEPRFRQVGFVTSAGEPSAAPAAAEAPVRAVSSSPTPSELSPVPLSPVMIPPPLVPDHLPVPDAASGLRPLHDSVTPSSPPPPSSSLLDAGSDLEDDVDVSWARPPPPALLEPIKKDLTETKNGDDPTSSVPQKPKLSKAERRAIQEAQRAAKAAAKEAGLSGKSKAMASGVSTTMSKQPNTAKTPLKKDVTTQDNPPDAPDRKTGERHPDRDRKKDAPQPRMQFDDVHRVDKAKRRSVVKQSETEKRVELFRHLPQYTRGTLLLDLESKSFLLGAIHPSVYKVGLQYLSGVISGGNARCIAMLLAFKDAINDYSTPAQKTLDRDLPAKISSYVSFLVECRPLSISMGNAIRFLKNRITNLSLTVSESEAKASLQSDIDRFINGKIITADKVIVSHAITKIRDDDVLLTYGSPSVVEMIFNHAHELGKKFRVVVVDSRPNLEGQGLLRRLVAKGISCTYTHINAVSYIMHEVTRVFLGTSSVLSNGTVYSRVGTASVAMVAHAFAIPVLVCCEAYKFHERVQLDSICFNELGDPDAISKVPGGENFNHLKNWTDIENLQVLNLNITLSSLCTCRYDITPSDYVSMLITEYGMICTAFLSPI >Et_10A_002094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22178941:22181328:-1 gene:Et_10A_002094 transcript:Et_10A_002094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPLPWQQRHQTLLQALLSRGTLAEPQFHAIFANVSGRDPATHQQLFNDTFLKINKELAYLQFELRACINQYDGMVYYGVVNNIADEESKLGTKYSVPQIAFYKGLLEAIVHETGNDGSITDIDALNVRLENQVVIADVDSSQDSQSRLPTSITNFTLTQKEKTLNELIRDRWLSYTSSGKIGLGIRSFLDLRSWFRGNDIPSCVVCNEARIKASRACPGCGTEWPRQEGEVDGDDEVNEPGEDEAPSANHSSRKRRKGVKAELVEENENAGPSTAAMPRRGSRRTKAEAVAAAQEASAAGASQPTRTSNRRKK >Et_8A_057973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10624751:10629233:1 gene:Et_8A_057973 transcript:Et_8A_057973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAQAPPLPLPLHESRSSSHGPRHNTRPPGQEPRANLTPGPSPSLRKVPKIVSETKLITMHSCAGRLDDARKVFDEMAYRDLLAWSAMIGAYAIRGMYREVVALAVQMVGEGVIPDRFLITRVFQACAYAENLDLGMTLHSMAIRRGFMGRVKDVPVANSVLVMYVKCGELGRARMLFEKMEQRDLGSWNSMIFGCCLSGEWEEARRLLHDMRREGTEPGVVTWNTLISSYARCGDLDIAMELLEQMEESGVSPDVVTWTSLVSGLVHSDRGDEALHSFSRMRLAGVEPNGMTIASAISACASLKLLNQGMELHCHAIKVGSVNNVLSGNSLVDMYAKCGEIVAARKIFNEIPEKDIFSWNSMISGYAQVGYCGKAYELFCKMESHGVRRNVITWNIMISGYIRNGDDERAFELFQIMESYGVKRDTASWNILIAGSVQNGHFDRALRIFRQMQSLLMRPDYITILSIIPAFSNLVAAWKVREIHACIFHHNLEVDGKIANALINAYSKSGDLAGACAVFDSHSSKNIISWNCIILAHLLHGCPDEALKHFGQMKEVGLLPDHTTLTAVIKAYGLKGNVSQGKDIFFNMTHDYNIIPDLDHYAAMVDLLGRSGRLQEAYELIDHMPLIPNSAVWEAFLTAATANGNVRLAYMAARELSEIEPRDPRIQRLLSSLQDLAGKSLDVPDLMVPNRGRELDESLGS >Et_8B_059694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20794245:20797726:1 gene:Et_8B_059694 transcript:Et_8B_059694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVLQAFKAAELDVPTDSEASPRRNVPGSVSSPLVNGDKSVFRDQNAGSITRSFCIDDNDLEDGKASKDRDTPSHFLRLPKIQNQALLSGLAYCIASCSMILVNKFVLSAYGFNAPIFLMLYQNIVSVTIVSTLSLSGSVPTEQLTWKLIKVWLPVNIIFVGMLITSMFSLKYINVAMLTILKNVANVLTASGETYFFKKQHATQVWIALILMIISAVAGGITDLSFHAVGYTWQIINCFLTASYSLTLRHVMDSAKQATKSGNLNELSMVLLNNVLSLPLGIILVLGFNEVKYLLETPLLKMPSFWVVITASGVLGLAISFTSMWFLHQTSATTYSLVGSLNKIPLSIAGIVLFNVRTSMENSLSILFGVFFARAKLRDNSP >Et_4B_039657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25560197:25565618:-1 gene:Et_4B_039657 transcript:Et_4B_039657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSRKKSRTRRGAAARGASSGGARVHEVGGARGEDRRHRWWAGRAPAASDATTRNTGGGECQLQDGQSIPVCEGQGSQNLPYYAPDQWPYQLESSMDCLELPQSEQVNYFIDAEIYCEDVEVVAPPTTPPTTRKGREKNVSRRGGAFTKEEDGVICSAFLNVSKNPETGANQRRGGYYKRLHDYYNTFKPEGSNRSQLAVQNRWGTIQRSVHKFCEFKSAVDRLNESGKNEQDRANYVIGAQICREGVEVVAPPTTRKGREKNVSRRGVGFTKEEDEVICSAFLNPEGSNRSQLAVQHRWGTIQRSVRKFCGFKSAVDRLNESGKNEQDRVNYVIGAQICRKGVEVVAPPTTRKGREKNVSRRGVGFTKEEDEVICSAFLNVPVRGKEPEGSNRSQLAVQYRWGTIQRSVRKFCGFKSAVDRLNESGKNEQDRVNYVIGAQICRKGVEVVAPPTTRKGREKNVSRRGVGFTKEEDEVICSAFLNVPVRGKEPEGSNRSQLAVQYRWGTIQRSVRKFCGFKSAVDRLNESGKNEQDRNREKCQQKEDEQMVQILTRKDEKLSLQREVLELKKQQLEENFILRKKEAENAAKQAEAQLLLAEAQIMSVDIDKSNLPIDVLSQQLKLLQLSQHRQHLTPNWQPFQSLKA >Et_4A_032429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:993020:998623:-1 gene:Et_4A_032429 transcript:Et_4A_032429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEESVEGEARPRARANQRVVGEYVLQRLVGKGSFAKVFRAAHRRTGALVAVKAIDRELVDKRVHEGILQEREILNCISHPNILRLLDTIDTGKVLYLVLEYCDGGDLDSFLNKHGRLPEATAKDLMRQLAEGLKVLRRRNIVHRDLKPQNLLLSTNGDAITLKIGDFGFARSLMNENLAATICGSPLYMAPEIWQGKDYDAKSDLWSVGVILFQLVSGKLPFQGSNCHQLHQNILASDKLNFPSAIEADLCHDCIDLCRRLLHRDPEKRISFEEFFNHKFLATRKSECVIESDHAVDRRDTNETTSSVVSKAESESVESNNSKVFDSWEWIEREYVVVPANCTSMEMLSSLEKSTKDDTGTRTAGYDRSTGKGSVHDQNRDFVHRVISVQNHGRSPVSISQQSTTVEDKQGKQPDYHTRLHILNQYVVVLTELAREKLFKGLDLEALSIELVLLAIWKEALDACSLLMDATGDGNFSKSSQEHFLPKSDRSSLNVARGLDFTRPVSVCSWVESEFMKAYDRAEKISQRLRENDDNTEMPDAMDLIFQTALEYGKSGAANEVLGHQSRSIALYSKAIILLTFILQEAPVLPLNPPFSLSPSNQQRIHRYIANLKSHLCSGQVAGQQQRSIQN >Et_5B_045296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1754960:1760255:1 gene:Et_5B_045296 transcript:Et_5B_045296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVLESQGESQHLMANSKLTLVMLVFIGGGVELYRVKCFIGKGCPVTGLQSCRQAGRRKIPTILYPDGSQFARRFKYIAWRAAVEMAENVAQLILQIKELEWNIKWTEIFSTLPSSLATKEAQKMQDFLRKKRIVGTNVEYLLDFGKRANVPPVVSKHGTKLEEPSIERNRYWLSEGHVPLNLLKAYEAKSVARLLKKKEIDDPPKKTKKLRDSKSKKPKKSGFDYLFEKAKRLSIRRCGQCKKEVIDSEAVNCQYCAALFHKKHFKVPKGAADAVYVCNKCLAEKVLKVKSPQKKAAPKNSPKKKRKEQTRKIVTRRNQIVLKYRMKTGKKGKRGRPRKNPLNVLKNELPKVLESATSNVPKNEPVKRLSKRLYNKYMKGNSNTSEHANSSRKRRTPSHYSYWLNGLQWTHNPDDERARSFRKERLVFPSEDGEISELSPIGFMGIYILSPLRV >Et_9B_065315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3774126:3779465:-1 gene:Et_9B_065315 transcript:Et_9B_065315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHDICVINQIASALAAHHRLHGPNSNRHHHQQTGTEKSRGCRRGRKEGGEEHPSMAASGAGERWVGLAMDFSEGSRAALRWAADNLLRAGDQLLLLHVIKEADYEQSEAILWEATGSPLIPLSDFSDPTVAKKYGVKPDAETLDILNTVAKQKEVMVVVKVIWGDPREKLCQAISEIPMSCLVIGSRGLGKLKRVLLGSVSDYVVNNAACPVTVVKPSTEANIPGDQALDLEDHLRRVVQRAQAIVDEAMGRQITNRAMLKQLELLREAIHRGYYILDTFLYQSQDREAKDHIVSHFPLLSKINSIKDLCFFSAPRAHILKEMNEMLDRLSSMILEANELVIFLKSYPRMYGQPYSMHLLLGNCMFGRRMEIEAVISFLLDTQHRRDGFEVLPIVGPGRVGKSSLVAHVCNDERVRDHFSEVVFLSDHDLRDEKIYVRREGCALEHQNCALSKDGRLLVVVEAAGDLNEDAWKNFCLSEWSAMNAGKIIITSRSDKITKLGTTGALNL >Et_1B_011688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2538692:2552419:-1 gene:Et_1B_011688 transcript:Et_1B_011688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDMARSEVSPEGAAPGFGVDLFEQATKALALRTPFEGDEVPLRNPTLPARLVSWSAQEDYRKKHKKAPPPPDADAAERPPEASTSRPAKAGLWEQFEAYFRPVTLDDVEMLKPKSPFNCSKVDSCMVIPFLGSSKELMDEAQTFDVAVAETSSYLGVGGEEVISNRERSELSAHLVGQKERKDQCTDQDIHDVVVQQMVSDKELCRQNREQGIHEVSVRLGERPFGVYEAGRSSGLVSAECAEEEGTSGTSLKWLLGAKGRFVLTSERPNKKRKLLAMDAGLEQLVLLPRLGAEASPSCDVCCLGESSMVSNRIVNCSNCKVSVHPKCYGLHAVPDGQWLCAWCTYIESTVWSSNKDAGSTQSMPCVLCPKEKGALKPAKVESTQNASVGPRKFVHLFCSLWTPEVFVENMESMEPVTNLENVQENRMKLTCSICKVKHGACVRCSHGTCRTPFHPICARESKHQMEIWGKSGHPNVELRAFCLKHSAAGFVNSVENSNNASEQSPLESSSNNSNLITGKIPKLRFTRKNKDNIMNCETSTSSSGNLIRVETIKQGALPHMVRRSANAQSVRTWETDTSHPSVGGDHMRSSSDIAAVLRKLIDGGNVNVSDIASEVGISSESLEAALLGETTTFSHGLKLKIIKWLQNFELIHAGQGSALKGSSLVLQDEKRDGSDATQAFGGKSSMVSYCDNGALVDVPDSAVTEPPLTRSKSNNKVLKDKSATCASEVTILQNGNKNNVKEGPDLECSPAEESESSLIGGMDVSKEEHGKLILNTTSGNKEFGTSTDIPNENQGALLGAKCNDTFLAELGSELEGVSSPGRCFSQGDNARNGVNSVENGIGAPCNYDSICYHGKPSFNFDDSCSYIHPFIKNKIAHHWNTTFKQDSEAPYHHEQPSYPSHGKTSVESLLKLEDTTEINAADHVLKARSLKILEHSPDDEVEGEIVYLQARLLDNAVVLKHRYEKLIAKVVQNLSSELHAFSKRKWDLILVNQFLRDVREAKKRGRKEKRHKEAQAVLAAAAVASSSRNSSMRKDVKKDVASSNQEGSPKLAAGSSRFAPRTSSLPRAKDSSKSSNSKVPPENNFGSFHMPVSSKENPLYCDVCMRTETVLNRIFVCSRCKAAVHIDCYKNLDNSVGPWTCEFCEDISSEVATISDQSDCNGRKLPVARCGVCHGTSGAFRKTTDGQWVHAFCAEWLLGDKYVRGQDNLVDGMESLEDGKDTCCVCLHSVGMCLRCNSDDCHVTFHPTCARNSGFYMNTKGFGTTLQHKAYCGKHSVEQKEVDAQQYGPEELKSMKQMRVELEKLRLLCERIIKREKVKRETVLCDHDILAKTKDTVVFSYLASGASSESATTTVNNKSYSGTMQRSDDVTVDSTISGKKTIRFSLSNRDADINTADSSRTLISFKRKLGERGSLAGKQLPQRPAIASQKLEDGGRKTKDQHITMQQKGTFQKELVMTSDQAFTQNQRLPKGYVYVPRDSLSKDKPWNRNTEEDLKVLCYITVNSATAIGGSLLAEASGDLLRILY >Et_3B_028674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17914009:17927044:-1 gene:Et_3B_028674 transcript:Et_3B_028674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKVYALLFTFFFSGLMQLSMAQDKPAMATARVMDATAIDQAIAYLLMLTALSYSPHHKHQLKSAGIAILKEQVVQSRARTLKMAAMKVYALMFTAFFFSGLMQQSMAQDKPAAARARVVDATAIDQAIAYLLMFAALFVHVLLFTAFFFSGLMQLSMAQDKQTVAAARVIDTHAIDQAIAYMLMFAALFVYVLLFTALFFSGLMQLSMAQDKPATAATRVVDATAIDQAVAYLLMFAALFMAAAKAYVLLFTAFFSGLMQLSMAQDKPAVAAARVIDAQAIDQAIAYLLMFMATVRAYVLLFTAFFFSGLMQMSMAQQDKPAAAAARVIDGQAIDQAIAYLLMFVALFVTYFAS >Et_4B_039607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23744109:23745471:-1 gene:Et_4B_039607 transcript:Et_4B_039607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQWFSNMVMDEPSFFHQWQSDALLEQYTEQQIAMAFSQGEVDQAALMPQQQQYSPAPAPAAEHRPRKAAKVNTSWDSCITEQGSLADSSSPTILSFGGGHGAAATAFAKAEAAHVPSAAAYYGGAPVKAPKQEMLDAGVPAPFQARPVKRSYDAMVAAVEAPAPSRPASQNQDHILAERKRREKLSQRFIALSKIVPGLKKMDKASVLGDAIKYVKQLQDQVKGLEDVARRRPVEAAVLVKKTQLSADDDDGSSCDENFVGGEAGTLPEIEARVSDRTVLVKIHCENRKGVLIAALSEVEKLGLTIMNTNVLPFTSSSLDITIIAMAGDDFCLSVKDIVKKLNQAFKSSC >Et_9A_061088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1577116:1577523:-1 gene:Et_9A_061088 transcript:Et_9A_061088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSRSCWPSCCRPSASSSATASEWSFGSACCSPSSATSRASSTPSTSSSHDQPSYLVVIAIIISFLLISCYSCAVFLASSICCAHGWEEKHSSA >Et_6A_047501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6567447:6573948:-1 gene:Et_6A_047501 transcript:Et_6A_047501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNCPLYTDKIVSNSIKRLHIDECSMEFDVRMKVAIPSLVWLHLHIFGSTAAPLLESIPLLETAFIKLEFLQFDHCSKGNLGQRCGVCAICSGNDEHNGTCVLLGGLLSARHLELTASDKMNVSLALYIYMHFEYQKLRYTSFSLNHTCTSKLPLFVLTIMHSAQPIFNRDLRWCPTFSKLKILLLNDWVCVSQTVIIVLFQSLHVIYLFMLISICITDQLFVQGFESESEGTYDAVEKLPTISEHLNIVEMKCRVVDMRVWKILSKAPTASSGDSFSAIPDDVIHHLLGFLPALDAVRTCVLARHWLTLWRYVPRLRIADVEALGSVCSLNKFVNRVLLLRESGCPLDDCEFDLRGLPFLDRVCIDQWMRHTLICHVRLLRVRVYAVERVGIADWPLLSKYLMRLELEGLVLEGSFLDFSGCLVLEDLKITNCLIDTDSILSPSLKHLKITACELCWRHIPTHISAPKLVSLQLDDYVGVTPILESMPLLETASVNLGQINEEYCDFCDKGESVDCTCDMCIIYYDDNGHRNDVCVLLGGLSSATHLELKASPKMVTFKRDLRYCPTFNKLETLLLDDCCLVDLHTLVCFLQHTPNLQKLILKLSEVCILPMYNVSYCLYDLPSKSCCFQQRPKPAMEMDSSNLMEQSLMLQQPKVVKVKCQLIDERVHNILKILRSCGIKEISAQELLDVEFEIQREQSINLVTRKCLVLYEETWAL >Et_7A_052315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6941880:6945249:1 gene:Et_7A_052315 transcript:Et_7A_052315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLPVPAMLTHLLLLFAHGVTASAAAVATPPPLPVLPVPSYAQLRWQLSEMALFLHFGPNTFTDSEWGTGRADASVFAPSALDAEQWVRVAAAGGFGRVVLTAKHHDGFCLWPSALTEYSVAASPWRGGEGDVVGELAAAARAEGIGLGLYLSPWDRHEPVYGDTVAYNEHYLGQMTELLTRYGDVEEVWLDGAKGDKKKMEYMFDAWFALIHQLQQRVVIFSDAGPDTRWVGNEAGVAGYTCWSPFNKSSVTIGHTTAEYSGSGDPFGQDWVPAECDVSIRPGWFWHASEKPKNATTLLDIYYKSVGRNCLLILNVPPNSSGLISDEDTQVLQEFTEIRHTIFSRNFAANATVTASSVRGGMDNLQFAPSNVLKDSIYSYWAPQEGETGWEMFLDLGQSISFNVLQLQEPIQMGQRVIEFHVDVFIDEEWQTIVEATTVGYKRLLQFPAVESQYLKLSVDNARADPLISFFGVFMDTFSPRYNLENHGKPPRTNSSGFILLRTDRTAVNWSAAVII >Et_5B_044320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22638178:22642457:1 gene:Et_5B_044320 transcript:Et_5B_044320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVTASATSPSMAKRPFQSSASALQNPLLRASALSPCSSGASDAADSTAAETANQARPEPLPACVRRPPPLDASTASADTKPTMARRPLMRSGAGPLNASASHRPGLAGFALGFGVGVLGNGEEGAERRLRRETAGGGDEGAHGGHHSARHWRLNYVKPSTRDQPSRSRKCFGGR >Et_1A_008964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2243423:2245805:1 gene:Et_1A_008964 transcript:Et_1A_008964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPEMDAAGSSRESKKYKGVRLRKWGKWVSEIRLPNSRERIWLGSYDAPEKAARAFDAAFVCLRGRGAAGADLNFPDSPPPPCRSGRSSDPQEVQAAALSHANRAAVTAREAAAALMDVDVDESPPAMLEHGAGVLVGAGGDEVVAPVRADGSIDWRPVMAHPPPLFSPTGWGSNAYDFLQMPPADEDMEESIHGASASLWSFDLRSDSVITLIIEKKEEASATNIAVAGSFSLLEYRPCF >Et_10A_002292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7430394:7434636:1 gene:Et_10A_002292 transcript:Et_10A_002292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIARSKRAVPKRAGVLEHWHELAGEVQRHDPFGAADEPATDEECRHGGASAAEHLEQRGFHLLALVVFVQLVHHRVHSQVSH >Et_7B_053652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10743331:10750160:-1 gene:Et_7B_053652 transcript:Et_7B_053652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRFSWPYGGRQASFSGSFTGWREYPMALVGAEFQVVFDLSPGVYQYRFLIDGVWRCDEAKPCVRDEYGLISNEVLVENNAHPVVQPEPSSGRGINMDDGTILTTMPPESSTQNPGVQLAVFRHRISGILLHSTIYDVVPVSSKLAVLDAQLPVKQAFKIMHDEGLPLAPLWDDNQGTITGMLTASDFVLILRKLQRNIRALGHEELEMHPISSWKEAKLQFYVAPGGAAMQRRPLIHVKDSDNLVDVALTIIRNEISSVPIFKPLPDSSGMPLLNLATLPGILKFLCSKLQEQPEGCSYLQSQLVNIPIGTWSPHTGRPSNRQLRTLQLSSPLNSCLDFLLQNRVSSIPIVDENGSFRDVYSLSDIMALAKNDVYARIELEQVTVQNALDVQYQVNGRRQSHTCLQTSTLLEVLEELSIPGVRRLVVIEPSTRFVEGVISLRDIFTFLLG >Et_9B_065484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5764695:5772635:-1 gene:Et_9B_065484 transcript:Et_9B_065484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLDASLRAYEAPSTDLMISTRTPCACTFLDISGGVISVQSLQCTLTNSAGLKLKNPMSPGSGSAEAASEKSAGKGKKVEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEIALIIFSSRGRLYEYSNNSVRATIERYKKVTSDNSSAGTTAEITIQHYKQETAKLKQQITNLQNSNRTLIGDAIATMNHRDLKQLEARLDKGLGKIRARKNELLCSELEYMERREMELQNDNLYLKNRVEENERAKQTANMMGTPSTSEYQQSFLPYDPIRSFLQFSIMQQQQPPPQPQPSQCYPQPQDDRNSSIWVRFSCIYYVFFLTDNLLLCMHMNPFT >Et_3B_029913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29013906:29015825:1 gene:Et_3B_029913 transcript:Et_3B_029913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPPELYHKILNLPKDTSPQEIRSAYKNLVKKWHPDKHPPSSKPEAEARFKAITEAYEALLDQQENRAVFGVCNDGRAADRAPPFGGAGLGAGRVGARMERTRSDDFYTRSAPGTPAREFKKVYSSGNSGGRRAFAEFSSSIMRKAPPLERKLECTLEELCRGCKKEVKFSRDVVTKNGSIVKKEVSQMVLVKPGWKKGNQIILEGMGDERPGCLPADAIFTISEKKHPTFKRVGNDLVLKAEVPLVSALTGWSFSFRLLSGKKVSCSFHDEIICPGYEKVIKGEGMPIVEQKGARGDLKVKFEIVFPKELTDEQRTGLAEILKGCC >Et_5B_045442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22582947:22585236:-1 gene:Et_5B_045442 transcript:Et_5B_045442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYQYSGGEHGESSVPAATLDFEHGQHRRRGHEPRQPPDRPATGASTASADTKPTIASRPLIRSGAGPLKASESHRPALFVSTADRGRVEATSGGGSHRTLRLALTGSGDVSLVGACAVSVSLVEYSEFPVIEDFPS >Et_2B_019012.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24142255:24142593:-1 gene:Et_2B_019012 transcript:Et_2B_019012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRRGCPPSPPPSSTRRGRARDPRTAATRCSRGTPACPGPAPRTGPRRTPWCTSGRTPWCSRRRRSPGGLFASRRRRWRRRAAWRGGRGGDGTPPLLERARRSSYCGVIGLDG >Et_3B_029598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26288752:26290957:-1 gene:Et_3B_029598 transcript:Et_3B_029598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRKTLLHGRLPAPPPAAAASSRISSLFRLLSSSSFSGGSGGGDEWGASSVPGGGGGGDEWGSTWSTGLTKDHFDGSSPPAGRPVPSPSDPVSGKLATVRAMDEEDEIMRALERDNRESKAYVDSWNDRMRETCALLKQVREPGARGSYLKDSEKQKMYQLHKEDPATYTVERLAKDFRVMRQRVHAILWLKEMEEEEERKRGEPLDDSIEILLDSCPEFFDSHDREFHVASLPYKPDFKVMPEGWDGTTRDPDEVLYEISMKEDQMLYEEFVQRLEFNKKKVAGEVKCHKYSRRRPDDGWTYMVEKMGPQGKRGAGGGWKFISLPDGSSRPLNDMEKMYVKRETPKRRRRIIAPYK >Et_2A_017963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8414082:8422146:1 gene:Et_2A_017963 transcript:Et_2A_017963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMHTAVVLDLLGLLVAYATGGSRDWGTFGYVLAMAVTVLAYVAIYMALSYEKKLDDDTKTGDPSTTPSPSQTK >Et_4A_034858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7274902:7276814:1 gene:Et_4A_034858 transcript:Et_4A_034858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAATSFLPSALSARKEGGVKESAFLGVRLTDGGVKLETSSLGMRTKRVSTSVAIRAQTAAVSSPSVTSASPSDKKTLRKGVAVITGASSGLGLATAKALAETGKWHVIMACRDFLKASRAAKAAGMDKDSYTIVHLDLASLDSVRQFVKNVRQLEMPVDVVVCNAAVYQPTAKEPSYTADGFEMSVGVNHLGHFLLARELLEDLKSSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGVGSSVMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRYHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSEDEAGKRLAQVVSEPSLTKSGVYWSWNKNSASFENQLSEEASDAEKAKKLWDISEKLVGLAETE >Et_3A_026799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21201547:21202968:1 gene:Et_3A_026799 transcript:Et_3A_026799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVVKGEEVMKGKGPVVVTGASGFVGSWMVMKLLQAGYTVRATVRDPGEPISLFLAPSFLHEHDTHKTEHASHNEVIKPTVEGMLSIMRACKDAGTVRRVVFTSSAGTVNIEERQRPVYDHDNWSDVDFCRRVKMTGWMYFVSKSLAEKAAMAYAAENGLDLISIIPTLVVGPFLSTAMPPSLVTALALVTGNQPHYSILKQVQFVHLDDLCDAEIYLFEHPDAAGRYVCSSDDATIHSLAAMLRDRYPEYDIPEKFPGVDDDLPTVHFSSKKLLDHGFRFRYTVEDMFDAAIRTCREKGLIPLATAGGDGSVRASGETTGAALGKDNPAISA >Et_2A_015590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15549573:15567108:1 gene:Et_2A_015590 transcript:Et_2A_015590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSTASSLSDAMAVNSAFCPYRYPDRLPQSCCGGADAEREAMLMRAAFEGNLRLLKEMARALDKGRGAAAVVAALREDGVGALHLAAAEGKMGVCEYLVEDLRLDVNVLDDLGETPLFHAVLYGRIDITRYLLDHGADPTVIGHRGSPLHIAAVKGDCETVQLLLLRGTNVDIASVPGAPLHLAAAYGKDSTVKILLEHHANPSMVANADNTPLGMAIWAKSLECVKLLIKAGADVNFIFSSGATYVTMAAVYGLTDIMKCLLEAGANPNTPDGFGRTPVEIAAFQGRREDVEVLFPFTSHIPTIPDWSVDGIISHVKSHGFNRIDKRNCELKRAKLKSQAKVAFMNKDYLSALTLYTSALGTGSSSDNDDVADLLANRSLCWLHLEDGENALTDANASRVLRPCWPKACYRQGSALMSLKEYGRACQLFAEGLKIDPTDVEIQNALSHGGGTSGGDGQAGYGEAAFNGNLRLLKKMARMLDAGGRGEAAVLGATADRNGSTALHLAAMEGRMDVIRYLVEELRLHVDPCNERGETPLFLSAFYGRTSAVKYLLDNGADPMVVSMSGSPLHGAAGKGQCETVELLLSRGTSVDLVTLCGTPLHIAAFYGQGGTVKILLEHHADPNKVFNVDDTVLHNAIVAKSLECVKLLIAAGADLSFIESHGFTYVMVALQYGTPEILKCLLDAGVNPNIPDAFGTTPIEVAALQGKMDIVEMLFPVTSLSRIPNWSVDGIISHMNTVGSKPLDAQLCEKKIASLKLEAADAFKRKEYLLSGQLYTNAMELGACEATMLANRSICWLWLGNVCRIKRPHWPKACYQQGAAFMLLKVENSVLFPFSLCLDNEVNSSTGAFADALKLDPTNAEIKNAL >Et_6A_046346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13469047:13476846:-1 gene:Et_6A_046346 transcript:Et_6A_046346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRARCTLSAAQAARAFGFPTTSAAGGGGGDGGGDAPAVPTLHMDRFRPSYNVAPGAYLPVGAVRARPAGGGDGGAGGAEEVGPVIQCMKWGLVPSFTGKTEKPDPFRMFNARSESVKEKTSFRRLIPKNRCLVAVEGFYEWIKDGAKKQPYYIHFQDHRPLVFAALYDTWKNSEGETMYTFTILTTRASTSLKWLHDRMPVILGNKDSLSAWVNDASVKLEEMTAPYEGSDLVWYPVTSAMGKISFDGPECIKEKSSAHDQSGNPKKTPQELAEKHALRAAKIECEESVENQPEEINQQSGDEQTATNTVKHEPVNLEPQDLEKPRSIMHEDVILADANVVKQDDLGTKRKVEDTEVNPDNKMEKSWSPSMKKGKVSKAASDGQASLLSFFAKK >Et_9B_066086.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:2895535:2895804:1 gene:Et_9B_066086 transcript:Et_9B_066086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAEGECRRLCEALLDADALACGVCFQPLKPPIFQCEVGHVVCSPFRDTLAAAAGTGGKCHVCGVAIAGGGYRRCHAMERLVESVRVP >Et_8A_056994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:213938:215566:1 gene:Et_8A_056994 transcript:Et_8A_056994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLENVGGSCEAPAVTVTGSRTVAPAKNRCALATFDLPYITFYYNQKLLLYRAPDSFPDAVARMTAALADALRVFSPLAGRIRQDADGALAVEGDQGAEVVEAEAQGVSVDDLAGGDCGDEVMQSLVPYTGVMNLEGLRRPLLAVQFTKLKDGLAVGCAFNHAVLDGTSTWHFMSSWAELCRGAGQQPSLPPVLDRSLARSVRVRLDLPESAEAHEKTDPNGPKKALVARVFSFPEAVVARIKAAANAALPPGAKPFSTFQSLGAHIWRAVSRARGLGPADITAFAVFADCRSRLDPPLPPAYFGNLIQAVFTGVPAGMLLGGPPELAAGLLQKAIADHDAAAVTRRLEEYEAAPKLFHYSDAGPNCVAVGSSPRFKVYDVDFGFGRPERVRSAGNNKFDGMVYLYPGRGGDGGIDVELALQPEPMHKLQNDPDFLLLTA >Et_5B_043472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1151397:1154347:1 gene:Et_5B_043472 transcript:Et_5B_043472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVRRTTLEKQGTCMHTFSSHLHGLESKNVLHVVSFSIRVFLTLPRNCKHHPTLALSISKGMQQVEFVFEYKSTMILCQMAILHCACFANFSRNSKTTAIQPAE >Et_5A_040253.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6029379:6031977:1 gene:Et_5A_040253 transcript:Et_5A_040253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPWILLQRKVSFVQGEVEPVRSTRRASKPAAEVADWRRKETLEEAADAMAANLEAMRPVPQLFDPPVVNQLSMVQQMDARHKVPQMDGIHGGRVSCTDKALVLLYVGGYQPGFSNNPLTGCYLVYDASNNSLSAIPQLPDPDSFFGLGRGAAILSSDEGTYMVAELVEASSGFPKAVLFLWQSCRRKWIRRHVHLPKVFSPDYFFRIDMAFTHADSQVCWVDLLKGVLICNLKEPTEPEFTFVELPMGFSIDIPHGRRPRTEEFRTMGSVGGAIKFATLHGYYEELSCEEVLLKTWTLSHDLKCWVAGKDVCVSDLCDSFAIKNLPKATPSCPVLCVDKPEVVYVVLDEIDSVDVVDVLGYVSGEDNICKAQYVIGLDTKKKQVISCAKSVPDRMTPQFSNLIATEFKAHLQRPMEVTLPSSFLFRPTLFLASSWFHFAIINLGILY >Et_9A_063286.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:1934961:1935398:1 gene:Et_9A_063286 transcript:Et_9A_063286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSSPDSHTDGSAGGGGFATDERKRKRMLSNRESARRSRARKQQRLEELVAEVARLQAENAQVQARITAFDGELSKVDGENAVLRARHGELAGRLEALTGVLEVFQMAGAPVDIPEIPDPLLRPWQPPFPLQPIAADAFQF >Et_2B_022967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9798052:9801059:-1 gene:Et_2B_022967 transcript:Et_2B_022967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPYMASKRIQKELMDLQKDPPTSCSAGPTGEDLFHWQATIMGPTDSPYAGGVFFVNIHFPPDYPFKPPKVNFQTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHMYKNQRQRYEETARAWTQKYAMG >Et_7B_053513.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:4322486:4322533:-1 gene:Et_7B_053513 transcript:Et_7B_053513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILVFVTARRGVK >Et_3B_031056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12940740:12943002:1 gene:Et_3B_031056 transcript:Et_3B_031056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGIPGPRPQLPRAPRPPSPRRLRLPPLQLPPTAAPWRGRRPGPRRSSFHIPCRLVRDCRHGLLLISPDDQAHDLTVYEPRSRRFVPIGRRPVDHHQGGRYFTDFIVVPAGDATASSFRIVSVQYHHEQRIRAAVYDGHAKQWTFHPWTPVAAPTRSPDSVCASPMHAGRWVYWRYPSDNDALLCIDTATMAFSVVPLPPGVTAKAPFAVGETRSGQCCLVSFSISKGLSRLTKRDGQVMQVWIHPGDNDDDDDEQNYWELRHQERFSLGPPEYPQRVCDVRAVSAGVVLVRLGDIGPSMDHYAFCIDSFKTEVQFMCDESIVYPYQMAWPPLRHAYEIIYSNLGISRSY >Et_8A_056335.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23385538:23385552:1 gene:Et_8A_056335 transcript:Et_8A_056335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPI >Et_8A_057950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:132910:133449:1 gene:Et_8A_057950 transcript:Et_8A_057950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVNGVRRPVVRAWLFYEDRPDLIPNDVRGARPRSPCSASASGACSSTPQTEESVKRWVTTTTRNRGRRVRRDVTPEAHECIASVQEALRVSISQTDHGH >Et_8A_057901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8991963:8995584:1 gene:Et_8A_057901 transcript:Et_8A_057901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHVPSGRMLYHLHPFWLHLFYFLSISLFGSFLLNALPMKDITTPIPSGLDLIFTSVSAMTVSSMVVVEMEHLSNSQLLLLTLLMLLGGEVFTSLLGLQLSHTMSKKIEESAERDGKPPPAPSLELTPMEAPARAVTVDQMELGFKNQRSCRTSIAKMLILVVLGYLVVVHLAGYTLILLYLSVVADARAVLTGKKISPQTFSIFIVVSTFANCGFVPTNEGMISFKSFPGLLLLVMPHVLLGNTLFPVFLRLAIAALEKAMRRRELGDLLRRRDHDAVAAAYDHLLPRRQTWFLPLTVAAFLAAQQVLFCAMEWRSEGLQGLTAFQKLVAAMFMSVNSRHSGEMVVDLATVSSAVLVVYVVMMYLPPYTTFLPLAKDQQQQSGPHQLGEKSSSSSMGSRIWQKLLVSPLSCLAIFVIVICITERRQMADDPVNFSVLNVVVEVISAYGNVGFSTGYSCSRQLRPDGSCRDAWVGFSGKWSWEGKLVLMAVMFYGRLKKFSMHGGLVSQVTPRLRSKDQTR >Et_5A_042640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23492222:23493832:-1 gene:Et_5A_042640 transcript:Et_5A_042640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNARPPLCDLPRRRLPSRKTHPPPPPALSGLHADLARLLETKDGADVDFEVRGVVFAAHKLVLAARSPVFRADFFGAAKEKPTSYIRICDMHPDAFAAMLHHIYTDSLPETVTTSARQDAATLAQDLLVAADRYNLKDLKAPPECWKLKKTCLEFMASGRNTRAIVANDDLEHLARNCPAVLKDVLTKILDAREATPSNPLVVSIDDSFFVNALIVISAIAFWGMLVMPTIVM >Et_2B_022830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6115087:6117926:-1 gene:Et_2B_022830 transcript:Et_2B_022830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIWLAKCDVAALKDHEKDVYFHSVLSVVVVLAMVYCFLKQLTGNAEAEQEPIGHQDARTHETDPILPRKMAFISYGATEEQPESSVNPAEDSCSEKMCKICFDEPQSCFFIPCGHSVSCLTCARRIVEEENKACPICRRLIHRVKVRSEIRRIFAVTIGPCDFDAMSCTTALHHVFGLK >Et_3A_027060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31154000:31155291:-1 gene:Et_3A_027060 transcript:Et_3A_027060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQLQSHKSKETTLGTGLQGPNLNGLFGRQSGTTPGYSYSSANKNMAVIWEENTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKNATA >Et_9A_061309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2264:5700:1 gene:Et_9A_061309 transcript:Et_9A_061309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKKPVMELKLAVPAQETPVDKFLTASGTFKDGELRLNQRGLRLLSEESSDENQSTNLKVEDVQLSMDDLEMIQVIGKGSGGVVQLVRHKWVGTLYALKGIQMNIQEAVRKQIVQELKINQATQSPHIVMCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTILEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNHKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCSFISSCIQKDPAERVSASELLNHPFIKKFEDKDLDLRILVESLEPPMNIPE >Et_4A_034560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4300735:4302814:-1 gene:Et_4A_034560 transcript:Et_4A_034560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAEKVDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIDQRSVKAQIWDTAGQERYRAVTSAYYRGALGALLVYDITRRQSFDHIPRWLDELRAHADKNIVIMLVGNKSDLEEQRAVSTEDAKEFAEKENLFFLETSALQATNVESAFQTVLTEIFKIHSKKNMVSEPKSNGVAPAMSGKKVVVPGPAQEIPKSKCCSSM >Et_5B_045477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2766654:2770530:-1 gene:Et_5B_045477 transcript:Et_5B_045477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALLSAVERRLKPRPPLATQGSPAAATASRRGSSSRHGDRAAPLSHASLLLRLRSCRDLAEVRRLHAALLIGGHGRSTVLAAQLVRAYAGRGDVGHALCVFDGMPRRNSFAWNAVIKALVDAGWFSEALEWYWDIVHDGSVAADGFTYPPVLKACTALGEIEQGRKVRENIEADIARGSAKPNVFVQCALVDMFAKCGCLSEAKSVFESMAVRDLAAWTAMIGGAVNGAEWVEVMSLFNRMRYGKEIHCFSLRNGLEHSEFLVSGFIDFYSKQGFVREAETVFELTPKKDLVVWNSMVGGYAVNKDSESALRALRALQTVGLRPDHMELIDFVEWRKQSSAKGHILIRSRAHLFTNFLKVSDIKWPV >Et_2A_018411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27050399:27051493:-1 gene:Et_2A_018411 transcript:Et_2A_018411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKSTTWLAPLMVCALLACTANGYDPLSAGYYDRSCPSAKHVVRSVMEWKVAANPAMAPAVLRLFFHDCFVNGCDASVLLERTRDLEGDKDSDVNESLAGFDLIDEIKSQLERACPGTVSCADVLALASRDAVALLGGPAWTVPLGRKDSRAANKSAAEAYLPSPHDNVTVLIEMFKAQGLDARDMTALSGAHTVGKARCHHYRARAYGYDGEGGAGEIDPAFAEMLRRKCGAGGDYAEAPFDELSPMRFDNAYYKDLIARHGLLTSDQALYGSGGPVDRLVEMYSQDGEAFARDFAIAMVKMGNIPPPAGMPLEVRLNCKRINNY >Et_9A_061403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1177658:1182160:-1 gene:Et_9A_061403 transcript:Et_9A_061403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAPASDAEFPAWARSVADCEARLGVSASRGLSSADAAARLRSHGPNELAEHPGPTLLQLLLAQFEDTLVRILLAAAAVSFLLALSTSAGELTLSAFVEPLVIFLILVVNAAVGIWQETNAEKALEALREIQSDHAAVLRDGRWVPALPARDLVPGDVVQLRVGDKVPADMRVLRLVTSTLRVEQGSLTGETASVNKTSRAVPLDDADIQAKECMVFAGTTVVNGSAVCLVVHTGMATEIGKIHAQIHEASQEDDDTPLKKKLNEFGEALTKIIGLICALVWLINVKYFLTFDLDDGWVPRNVRFSFGKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAAKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSVAKLVAIGDSAEQVRDFKVDGTTYDPQDGKIHDWPAGTMDANLETIAKVAAVCNDATVAHSSHQYVATGMPTEAALKVLVEKMGLPGGKNGLSLDPSDALVCCNWWNNVAKRIATLEFDRTRKSMGVIVKTSSGSNALLVKGAVETLLERSSHIQLKDGSVVPIDEKSRKSILASLEEMSTKALRCLGFAYKEDLSDFATYDGENHPAHKLLLDPANYAGIETDLVFAGLVGLRDPPREEVFDAIEDCRAAGIRVMVITGDNKETAEAICREIGVFSPGEDISLKSLTGKQFMALEDKKSLLRRKGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITPWILFRYLIIGLYVGVATVGIFVIWYTHGSFMGIDLTGDGHSLVSYSQLSNWGQCPTWDNFTVAPFTAGTRTFTFDSPCEYFEEGKVKATTLSLSVLVAIEMLNSLNALSEDTSLLRMPPWVNPWLLVAMSVSFGLHFLILYVPFLAQVFGIVPLSLNEWLLVMLVAFPVVLIDEVLKFVGRCMSPSSPKRASKKLKGE >Et_1A_006578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24863124:24863974:1 gene:Et_1A_006578 transcript:Et_1A_006578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLTLVNYLKADSGGRSMIAGLWHEIRELGRSFSLFKVAFVRREANSVAHCCARMSSCNNRVCLWVGDSLDWLKEIAPQIVTLPKARFYAKKTDSGTDYIKIDGLALKYFYQLGAFWYLDNLQ >Et_4A_031798.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:16017735:16018202:-1 gene:Et_4A_031798 transcript:Et_4A_031798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGSVGVDEKGMYSDTDSLVAMSDSSYDTDLAASSDSDIDSSDVEYDPDDDIVDEDDDDDDNPPFSYDVHDPCIDVGVVFPDVKQCKEAVTHHAIINNHAFRHTRSDSDKFRVVCKRAEQGCKWKFYATTSKKKYIGCKVNFHLYVLFIHVVMY >Et_4A_033169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19506327:19511712:1 gene:Et_4A_033169 transcript:Et_4A_033169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGVLTPRIAILPSAAPAPFSGSRIAWRPFGLVVALRRPKKSLDRRLRLSSVACGDLCKRAHHEKLEVVVLVSKSTLQCEHGVSLQSEYVVPESVKAAGFHICANELASIVESRDHRKLSMHGQLDGIADKLVTSLADGIRADEYSLNQRKDIYGVNKFTETEVRNLWEFVWKAFQDTTLIILIACALVSFVVGIATEGWPKGAHDGIGIFTSILLVVSVTATSNYQQSLQFRDLDKEKRKIHVQVTRNGFRQRLLIDDLVPGDVVHLAVGDQVPADGLFISGYSVLINESSLTGESEPVAVNEDNPFLMSGTKVLDGSCKMLVTAVGMQTQWGKLMSVITESGDNETPLQVKLNGVANTIGNIGLSFALLTFFVLSQGLVGQKYHGLLLSWSGDDILEILEHFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRQLAACETMGSATVICSDKTGTLTTNRMSVVKACFCGNTMEVDNPSVPYNFSSRLPEVALEIILESIFNNTAGEVVINQDGKTQILGTPTETALLDFALSIGGDFKEKRRETKIVKVEPFSSTKKRMSIILELPGGGYRAHCKGASEVVLASCDKFIDARGNIVALDETTTNKFNDIIETFSSEALRTLCFAYQEMDDCFSIDEQIPLQGYTCIGIVGIKDPVRPGVKQSVATCLSAGIAVRMVTGDNINTAKAIARECGILTEDGLAIEGAEFREKNPEELRELIPKMQVLARSSPLDKHTLVKHLRTTFNDVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKENADVVILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNIVALLVNFSSACFTGDAPLTAVQLLWVNMIMDTLGALALATEPPDDNLMKKAPVGRTGKFITNVMWKNIVGQSLFQFVVIWYLQTQGKYLFGLEGSDTDTVLNTIIFNTFVFCQVFNEISSRDMEDINVLKGLPDNSIFMGILAGTISFQFILVQFLGDFANTTPLTLLQWLVSVLFGLLGMPIAAAIKLIPVEPHDGDAHPS >Et_2B_020920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24858922:24861966:-1 gene:Et_2B_020920 transcript:Et_2B_020920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLTLVHILISFAVCAEAIRRADFPPGFVFGTASSAYQYEGAVNEGQRGATIWDTLTRRPGRVIDFSNADVAVDHYHRYKEDVDLMKDIGMDAYRFSISWSRIFPNGTGEPNEEGLDYYNSLIDALLDKGIQPYATIFHWDLPQALQDRYGGWLDSQIVEDFVHYASTCFKEFGDRVKHWITFNEPHNFAIEGYDLGIQAPERCSIMSHLFCREGESSTEPYVVAHNILLAHAGAFHAYKKYYKKAQGGLIGIALDSKWYEPLSDVNEDIEASSRAMDFELGWFLDPLMFGQYPPSMQKLAGDRLPQFSIEASKLVSGSLDFVGINHYTTLYVRNDRMRIRKLVMNDVSTDSATIPTAYMHGKRIGDTAASSWLHIVPWGMFKLMKHIKEKYGNPPVIITENGMDDANHPFSRLEDVLQDDKRIQYHNDYMTNLLDAIRNEGCNVHGYFVWSLLDNWEWNSGYTVRFGLYYIDYNNNLTRIPKVSVEWFSQVLGQKTAAM >Et_3A_024256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1967805:1972428:1 gene:Et_3A_024256 transcript:Et_3A_024256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVHLYVAAACAVVLALAAPSLAGDPDMLQDICVADKASPIKINGFPCKANVTADDFFFAGLKNPGNTNNPNGALVTAANVDTFPGVNTLGVSMARIDYAPGGQNPPHTHPRATEIIFVLQGTLEVGFITTANKLFTKTITVGEVFVFPRGLVHFQQNRGHGPAAVIAAFNSQLQGTQAIAMTLFGATPPVPSDVLAKAFRINNGQVDAIKAKFKHHSSTPCSKIKEALQIVASIH >Et_3B_030654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:647778:659364:-1 gene:Et_3B_030654 transcript:Et_3B_030654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQVPAPLRLLLPLSQTLAPTPLLHLSRRLFSSPASLGRAASLRALAYRGQPGRRHHPRRESSTLRKAPKEEMDGGANKEVVFNRKRAEGRDAGKRGSMELKTRRLNPVNTTCYVQILGTGMDTQDTSPSILLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLTRVCSETAGGLPGLVLTLAGIGDEGMSVNIWGPSDLDFLAGAMRSFIPNRAMLHTHSFGVEGDVSSSQSKDSVVILDDEVVRISAMFVKPRYQRAANSLEDIDLKPGDTAIVYACELPEIKGKFDPAKAAALGLKAGPKYRELQLGNSVQSDQFNTMVHPNDVLGPSIPGPTVLLVDCPTQSHMQELFSLQALSCFYENSSDQTESGKKVNCIIHLGPSSVTKSVDYQSWMKKFGATQHIMAGHETKNIEIPILKGSARISSRLNFVCPQLFPSSGFWPVETANGGTEKNMYTSLETCESVPAQNLLKRKHSKDNQEIPTCVENSTREDMEITFLGTGSSQPSKYRNVSSIYVNLFARGGILLDCGEGTLGQMKRRFGVNGADEAVKSLRCIWISHIHADHHTGLARVLALRSKLLKGVPHKPLLVIGPRPLERFLKAYSTLEDLDMQFLDCRHTLKPSVEAFLSENVNESAIPQLENTMFAPGSRMENFNRKPASPRDTASLNNLKEVLQESGLEILYSVPVVHCPQAFGVVLRAMEKNSAGKVIPGWKVVYSGDTRPCPALIDASRDATVLIHEATFEDSMKDEAIARNHSTTKEAIEVGTSAGAYRIILTHFSQRYPKIPVIDEVDMEKTCIAFDLMSVNLTDLPVLPKVLPHLKVLFKDELVAEEADEIQEAVTY >Et_1A_008792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1344072:1357241:1 gene:Et_1A_008792 transcript:Et_1A_008792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSLLAGRSLRSPKIFRQNLTGSCSNLYGRKDPRNEYRPKSFPLNPSSLDQSNPSAARRRRGERGEEEASAEAASATRDRHSGGTEVSGEEGYGYEAVLPSMASRAILRKGKSIFNHGKTSVLTQWSGSARPKHRRDGLIHSQAAVRMGSRMPLELGSPIYTGTVLSYFSGMPGDNSYPEDSDEDNEEKKHEDSEDKKRQEPDLNNSKEDSEQPSSGPLADLLKQENSLLRKENSLLKERNAQLQQLAPKERSETALQEQSPPNESGKDLQKQGQPGEKVQVRWDYLIFGALEVVYKSIVHLVEPLGIPLPANAQDLVLVKAISGFFSESKVQEGLNQLKHMLAQELSTADLEKLSARRRVILKCAAAAVGSLLLIHCTLLVVILIPVHCDLLSFRWRNKALSLPSWFAMRYLINRSFLLSDDANHISPLQRARSASSLMALALSTLPFAPSNRSPSPRTLAAFPPRRVHFAAARSSGVLRLACAAPRHRGWAPRRHRGGGLVIWASADYYATLGVPRSASNKDIKAAYRKLARQYHPDVNKEPGATEKFKEISAAYEVLSDENKRAMYDQYGEAGVKSSVGGSDGAYTTNPFDLFETFFGSSMGGFSGMDQSTFRTRRRSTTVQGEDIRYDVILGFTEAIFGTEKDIILSHLETCDTCGGSGSKAGSKMRICSTCGGRGQVMRTEQTPFGLFSQVSVCPTCSGEGEIISEYCRKCSGEGRIRVRKEIKVKIPPGVSKGSTLRVRGEGDAGPKGGPPGDLYVCLDIEEPSDIKRDGINLYSNVSISYVEAILGTVKKVRTVDANSTLRIPPGTQPGDVIVLAKQGVPSLNKPSIRGDHLFTVKVTIPKRISGRERELLEELASLSDGGFARAAPKPKPTKPTTIHQEKESGSGQENNDKPDEEEGDWLKKLTDFAGSIASGAAKWLKDNL >Et_3A_025294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28591372:28595045:-1 gene:Et_3A_025294 transcript:Et_3A_025294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLVHAVGAPLPSAGQPARAFHPLASAPALRLARSASSSRRRLEVSFKALSAGGHRGLVGRGALRGRRVVAALAGEEERAEVANDKDNSNVEIQPEEAQEAWKVMLEQFKAEALRMQSLSMQAYDVYSKRTREVLLEASKKLKIQADKAQKDLSVIADEVQQEGQEYLRMAAQNSPDSIKDITETFNALGGLSFPSQYKDYHVGIPFGTFLSVGGFLNFMLTGSTSALRFGIVLGLALLALAISSLRSQRDAGRQRRLLLKGQADWLVSKNIHGPAQSQVQWSHQLIGFTAHSDTVPAWN >Et_1A_008852.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:1688147:1689295:-1 gene:Et_1A_008852 transcript:Et_1A_008852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKSSHESSDRATKRLVPSQKQHLYLVVDDWERGYSIRKLDVEAFDTDGGDADWLPKNFTEPPVARMEAAHDSCIDSNFVSHDTTIFSMHPGEASPAIPALDTHTLSLTICPWPSCNGEYRNPFFISVGGKLFLFTNAVAEYLGDPPPPRGSKTPWSWTTIKAARPPFYTSRIICYALHPDGRTLFVSAGSRPPRSRYYKPPPFSSEEDGQGTFSCDAERHEWTRHGDWLLPFAGQAHFDAVLDSWVGLCDERDGDGRLCACDVAQVAAAELTNPPAWKLGDDRLFRRERQRLHLGAKLVDTGDGKFCLVQAMTHEDDARLLDDEHRPMPRRRVLRMTAFGVKYDNKGELRTTQRRARSCKMYERSHDLWEPPEPLAFWL >Et_5B_045309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17886728:17887404:1 gene:Et_5B_045309 transcript:Et_5B_045309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLGRTRGDGKLKVLSIATETDVLSDIWHDCMVLTLGSGDGGVWRDAPEPPVTIYANRWQSAVVNGVAYFSVRDPGDDEDGRIASFDLEREQWRPRLLPGPTRYDSPASVADTEYSVELWFLASDDQPQVQWCKQCTIQVPLAQRGFLNLRPLWVLDDGRVAFWASKEGDHMLRVYDPATETCTDVATMADSMDVGIRIYTGSLLRVQGAH >Et_8A_056813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17114556:17118375:-1 gene:Et_8A_056813 transcript:Et_8A_056813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPAAGLTDYERRREENIRRNEAILASLRREAAELSAFFRAPSPKRRKKQQPAAPAREKSPVVLRRSLRTRGLPPSASSDAAGASSSPATPPSPPKPHTTRISSSLAAALRAATEAKEEKAEEAFDAGRELVLRHANVRRVVPERILAVRVLPLADRTVVAAGNKIGHIGFWDVDGFVEDEEDGDGADGVFEYFPHRGPVGAISVHPAAPRKIYSCSYQGEICLMDVEKETFNMIQLCDYPIFTLCQAPNSPSCLYFGEGNGELKLFDERMGKVATTWYVHDNRINSIDFHPENPNMFATSSTDRTACLWDLRSMKKSGPESLKVFEHQKSVHSAYFSPSGGMVATTSLDDTVRIFNVDNYDISCVLKHNNQTGRWLSTFKAMWSWNDSDLFIGNMKRAIDIISIHRSESSISASNTASLGSEHMTAIPCRFALHPYKVGHLACASSGGKEWISGKADDPKGSRNFITHRVVQQSNCHKDRYNISN >Et_9A_061211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22169609:22170277:1 gene:Et_9A_061211 transcript:Et_9A_061211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEWDELLSRTATALTSDASGELLTSVASPASQHDNRASCKASAPAAAACRSYRGVRRRPWGKFAAEIRDTRRKGARVWLGTFGTAEEAALAYDTAALRMRGPRAHLNFPLDVVQRELASLGAAGNGRAELATRVLRRKRRRSGSAGAAAAAAATCSDQAMVSFAWEGGQGERIKERSSSDAAVIELEDIGGEYWDYLFAPRMQGGNLT >Et_1A_005158.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30083154:30083504:-1 gene:Et_1A_005158 transcript:Et_1A_005158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSLYNTYIATHGHSLSGLRIAISLAARYHVHSTGARDPPETQRVRARASDFTRGRGCGWHISPKTVFFTGGRLPHPTQTRPVVIPTPDVAVPPFLAWARLLRCSLGFGCSCNHR >Et_3B_030584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5072421:5074375:-1 gene:Et_3B_030584 transcript:Et_3B_030584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAKAAVVPESVLKKRKREDQWAAEKKEKALVDRKKALESRKLIFARAKQYAEEYDAQEKELVQLKREARMKGGFYVDPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNNVIEEGLGKHNIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNREIYINELIKRMN >Et_3B_030718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6706555:6707453:-1 gene:Et_3B_030718 transcript:Et_3B_030718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPPQSSSSNGQPAPFSRFFESWISEQTRDLAALRAVASAVPATPDADLRRLVDQVLAHYEHYYQTKLAAAAEDVLRMFSPSWTSTTENLFLWCGGWRPTAALHLLYTKSGMQLETQLPAFLNGGSLQPDLGDLSAEQLLAADQLQRRTIKKEREIDNAAATAQEALATATMVELAGGGGIDAEAMEVEMKSKADGMKQVLEKADALRLDTMREVVALLRPAQAVHFLIAAAELHLAVHHFGRRKDGHAPAAPPPPAH >Et_3B_027514.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24046985:24047461:1 gene:Et_3B_027514 transcript:Et_3B_027514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSGAWGSARRPRSISTTMASAMPRSSAVGVANFCSTSSITAAAFLFFSSSASRRRRLLRDTTTATPTAAAAAAHTITAVPTTAPFPIAPALTSRRTLASRSSRAHVRTPDQLGFPDRELRHSGLVPRDLSLSSPHFSRLLFLRLRKRGSGSRATS >Et_5B_044226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21681233:21686170:-1 gene:Et_5B_044226 transcript:Et_5B_044226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFRPTLKKAIAHIRKANNAASNWRTTEAWSSQPPHGRNSGRNSTEVPLACTPCSSARPPLDELPLPRSLPSVSPRRRRRPSSRPRRWRRRSRGDGLDLVDLLLLVLLHLHCLADRASFAVVCRSWRQAQELHRQRLAQGLVPLPRQISWLLSPSASQGRGPYITSFLSGSRRRIRSLQANLSRARLYGSHPGGWVAAVLGPCGGHLLANLFSDARSGLPHRMRLVRVVTRLFSTDGRGALHGAVEVRCKGRARRGPPAGGSKTGPGSAGEEERRGRGTERGGRGGATAAAGDRASTFSFPHQGRGVTYVGGPTCRRRNFFSGRRDATPFTMNNKTKDMHSRLP >Et_2B_021386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29276686:29279340:1 gene:Et_2B_021386 transcript:Et_2B_021386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVDPPNGIGNQGKHYYTMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNEKVAIKKINNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSSQSLSNDHCQYFLFQLLRGMKYLHSAGILHRDLKPGNLLVNANCDLKICDFGLARTNNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSEADLEFIDNPKARKYIKTLPYTPGIPLTIMYPQAHPLAIDLLEKMLVFDPSKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENLGVEMIREMMWQEMLHYHPEVVTGMSM >Et_2B_019047.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27168491:27168953:-1 gene:Et_2B_019047 transcript:Et_2B_019047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKETGCQAPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAKLAASSIDSIVNGTDAVKEPVVSGNAAVAVAQVEVQTLTVQPTDVAGPSEGAAVISKGKEGPNRCTTCRKRVGLTGFNCRCGNLFCALHRYSDKH >Et_10A_001031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20310055:20317719:-1 gene:Et_10A_001031 transcript:Et_10A_001031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEDVAAAGRGPLGSLQAEIIAFLRGAQAVADLGIARLILETDAMLVRNVVYSEDFELSSVGGLVSELKSIVWRHGVVKFRWMRSRLIPSKLAAVSLCGKRDANLMS >Et_10B_002560.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:15917110:15917169:-1 gene:Et_10B_002560 transcript:Et_10B_002560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVPCFCPCKTLVFTGV >Et_4B_037976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25187994:25192658:1 gene:Et_4B_037976 transcript:Et_4B_037976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRMITIASSSEGECTRNFSEIDLLAYKDVENIAFYQVPSNLGSGLSMESDRSLRVHVCTEHNDVNFLHRFLHLLVEYKEKYKYVMNLLFHGIEWQSEGVRLLCSFLIPGSSVKQVEFQKNVFGTKSALALIPLSEMLQKSNTVRAVVFADCRIGSTGATLLASALSKNRSVEEFQIWQDSIGSKGAEELSKMIEVNYLLKKLVILDNSSIAAAPLISAVVARNRRVEVHIWGRIRAVSNIADSCKIVEFLPETGSMRIYNSINSQGLQRIVCALAWNTTVTTLDMSSVPLKSRWTKELRGVLERNRNLRTIKLTKCSLKDKAVVYIAAGLFKNTHLESLSLDGNRFGGVGLEHLLCPLSTFSPLQRQANTALKVLSFGGERANIGRYGVTAILQMLETNQSLIQLTIRNDAILKPNDVVKIFTSLERNATLRSLSLRGCKGVEGEVVLQTILGTLEVNPWLEEIDLHETPLHLAGKTEQIYEKFCQNGGLVLPNDFLDLPLNAPTCCRVFLCGQELAGKSMLYNSIYECLNPIKSPHMDVISTLKTPVEQRACINEDKINAIFDGNTKLTMRNLGEHEESFALHDFMFLAHGGPCFFMVLCSLVVKPANRYPKSVDQIEQELIYWLKFLVSNARRVSQLFLPSVTIVLTHYDKVAHLPEGLQPIATLVQRLREEFHSYAEIYPTVFAVDARSSVSVSRLTHHLRMTTMTILQQVPQVYEVCNDLVRILHDWRLKNDKAAIRWSEYREICQLNIPALILRSRRDNVEKVDARRRAVAKSLHNLGEIIFFEELGVLIMDCDWFCRDVLGQLGTLKSINKERSGFVSKQDLEKILQEKLCNQIQGANWRAGASFQGSDVINMLLKLELCYEQDPGNPNTLLLVPAILEESKEGTQRWHLTMPGCRYVGRRLECKDTHMFLTSDFFPRLQVRLHNKIMCLGQPQGALYNLEKNLIYTVINGIHVRVELGMKLDSSINVLACSSKSVTDMVRLFHKITIPTVLNLSSSMMFEESVIRPECVKYLIPHRFLQTQQLPLKRIKQILLSLPAESMYDYEHAWSAIESNKRILLQPGSDHARDMLSDDDFHEVLHRRYYDLQHLATELAVTPNNQQQSETIHETDVVDPSILGIAKGVEMVLQRLKRVEQGISDLKEEIASLRYYEYHLVTELHRKMDYVMNYNIQLEERKVPQLFYFVSLDNRSKHLVTRILPGMRSLRVHMLCEFRGEMHVVEDQVGCDLVQVDNQAVKSLLPYMSKFMKLLTFALKIGAHFIVGMGEMIPDLSREVVHLLDSSVMYGTAASTASVGALGAAALYGKARNSSSNQSAASDMGEDMIAARQWLVDFLKGQGVLTGMDLAQRFGLWRVRYRDDGHIAWVCRKHIIAREEEIFELPL >Et_9B_065174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21791631:21798023:-1 gene:Et_9B_065174 transcript:Et_9B_065174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFVNALHEITPAFGASTDNLERCRLRGIVDCGKAHKHIYQRAMLLVEQVKVSKGSPLVTCLLEGSAGSGKSAMAATVGIDSDFAYVKIISTETMIGFSESSKCAQICKVFEDAYKSQFSIIILDDIERLLEYVAIGPRFSNLISQILLVLLKRVPPKGKNLLVIGTTSEVGFLESIGMCDVFSVTYHVPKLKKEDAKKVLQHLNVFDEGDLDAAAEALDDMPIKKLYTLVEMAAQGPTGGRAEAIYSGEEKIDINHFFSILSDSIRY >Et_3A_024916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25318883:25323168:1 gene:Et_3A_024916 transcript:Et_3A_024916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESKCNLACLPIDRITLYIVCSLLRECPSKNIPVHFSPDHSSTTVAYVPSTTKHRRQRSLSRRAMKKTVVLYPGLGVGHLTPMVQLAKVFLEHGVDVTVALVEPPVKAPDFSAVVARAAASNPCITFHILPRPAPPSTAPGSDDDAPKHHIIRMFDFLGAMNAPLRDFLRSLPAVDALVIDMFCTDALDVAAELGLPVYFFYASGAGDLAVFLNLPSMRTKMSTSFHELGDSLLTFPGAPPFKASDLPAEAVKETEAAKAVWRMFERMPESNGILVNSFESLETRAVRALRDGLCVPNRATPPIYCIGPLVSEGGGDKKHECIEWLDAQPDNSVVFLSFGSMGTFPKAQLKEMAVGLEKSGQRFLWVVRSPPSADHKFGEPLPEPDLNELLPDGFLERTKDRGLVVKSWAPQADVLRHRATGAFVTHCGWNSTLEGITAGLPLLCWPLYAEQRMNKMFIVEELKLGVEMRGYNEELVKADEVETKVRWVMESEGGQALRERVLAMKDRAAEALKEGGQSHAAFVKFLNDLEDARQQIESRDHPFVSRPAAIRRTAAFSIQRNYTLPPQCHTGSELLSRPAMKRTVVLYPGLGVGHLTPMIQLAKLFLQHDVAVTVALVEPPIKSPDFSAMVARAAVSNPSVTFHVLPPPPPSPADSTSDNIVKMFRFLAAMNAPLRDFLVSLLPAVDALVLDMFCADAHDVAAELGLPAYSFYASGAGDLAVFLNLRSVRASMDTSFAVLGDSVLSLPGAPPLKASDLPSECSDDSEAAKEILRLNERLAEGHGILINTFESLESRAVRALRDGLCVPNRATPPVYCIGPLVSGDGDKEHECLRWLDAQADRSVVFLAFGSLGTFSKKQLEEIASGLEKSEQRFLWVVRSPPSDDHKYGEPLPEPDLDALLPGGFLERTKNRGLVVKSWAPQVDVLQHRATGAFMTHCGWNSTLEGITAGLPLLCWPLYAEQKMNKVFIVEEMKLGVEMRGYNDELVTAEEVETKVRWVMESEGGKMLRQRVVAAKHAGAEALKEKGSSHAAFVQFLKDLDNIVHS >Et_2A_015703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16996388:17001752:-1 gene:Et_2A_015703 transcript:Et_2A_015703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEEEDEEMGVKDEEDEDDDGEDDGCYFMAAAAGPAAVGVLAPPGPVAQAAGGGGRRRAREKERTKLRERQRRAITGRILAGLRQHGNYNLRVRADINEVIAALAREAGWVVLPDGTTFPSAASSQPAYRPVMVTAAPPCTPLAFPTSPAPPLRGVAPVAARPISHRPAPGALRSSSLLSPPCAAAAASRPADVPDDDEEDPSPLLAVPVPMDPATDGFVAKKVPEVAPRPPERDFAGTPYVPVYVMLPLNVVSATGEVVDADELVGQLRVLKAAGVDGVMVDCWWGIVEANRPQEYNWTGYKRLFQMIRELKLKLQVVMSFHECGGNVGDDVNIPLPHWVTEIGRSNPDIYFTDREGRRNTECLSWGIDKERVLQGRTAVEVYFDYMRSFRVEFDEYFEDGIISEIEVGLGACGELRYPSYPAKHGWKYPGIGEFQCYDRYLQKNLRKAAEARGHTIWARGPDNAGHYNSEPNQTGFFCDGGDYDSYYGRFFLNWYSQTLLDHADRVLMLARLAFEGQNIAVKVSGIHWWYKTASHAAELTAGFYNPCNRDGYAPIAAVLKKYDAALNFTCVELRTMDQHEAYPEAFADPEGLVWQVLNDAWDAGLQVASENALPCYDREGFNKILENAKPRNDPDGRHLFGFTYLRLSSVLFERPNFFEFERFVKRMHGEAVLDLQFVDWIEMVGRLHGRLNCSSGLKAPNSSSPTYELRTSLN >Et_2B_022244.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1549137:1550552:-1 gene:Et_2B_022244 transcript:Et_2B_022244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKKKAKILAGVAAAVLVVTELSLFLCFRLSRPFYLSTAVILSVVLAGTVAALLCHALTSPRGRAELMARQRPAIIDGEEEAVEVRVEYSFFRKVAGLPSRFSLAALSAATDEFRYVVGRGSSGTVFRGILDDGTAVAVKRIEGSEHAEKEFRAEVAAIGSVQHVGLVRLLGYCLVPHGGPRFLVYEFMEHGSLDKWIFSPASKSGGNGRWLTWAQRWRVAVDVAKALAYLHHDCRAKVVHLDVKPENILLDDRFRGVLSDFGLSTLMGKEQSRVVTTVRGTTGYLAPEWLLGAGVTEKSDVYSFGMVLLEMLGGRRNLQAEEEPDPAGSSAQRRWSYFPKIVADKAREGRVMEVVDRRLVASGEAPADEERVRRMAHVALWCAQEKPSARPDMARVLEMLEARGGGGEVELPPPSEMILVDMLALDPARAHHGGPFGLPMLPAGSGRTAASSGMSVSESFAMSYLSGR >Et_4B_037468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20006952:20007984:1 gene:Et_4B_037468 transcript:Et_4B_037468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAAEANSIMLYLRGEQSFNLEIPLLSRLSQGFIHPGFFAIHQDLVVRGVGEILESIGVLIFDDHLNWLMTRYQAGLIDRMPDQLREPYAFHSVTVPEDRRSMFITFSRGQHVEPEDIFNHFRDKWGDCIVRVNMENTTGGVPPMYGRIIFKKEAFVSMVLNGEHLVQVNIGNRKIWLRKYSEGLRNSELVVCIVIKLDLFSVDLASFLIHSDMYLSSESLLYFLSSGSICSPKSNH >Et_6A_046177.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7680072:7680584:-1 gene:Et_6A_046177 transcript:Et_6A_046177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SCPRSATASRTAPPSGTSSTRGPRSAAARRRRRRRLCLCWSCGSRTRAPSPCLCRSPSWTTPWWSASSPSPRRASAASRPGPTPKSWPSPPPPKSLLSLLAHVWLAVTRARRLPPEQETLYTLALGCQVRVRRVAQGTPWCGARGCEPVLRSGLGRAALLLNRVVTAAQD >Et_3B_028715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18392828:18401733:1 gene:Et_3B_028715 transcript:Et_3B_028715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGFQEKGIDEVFVVLNPLTWRQDERLSCIFHEDGFFTHDAYAHSNSPDVYPARLVFSEVDPSSFHIVLLAHGEDEDDHPRVCVSVFSSKTGEWSVLPWVNVPEGSKDDDCSCITIVYEGRMQANGFLYWLPDDRRRLITLDTATMEISFAELPVCLSEECTYDAGETKDDNTCIVYSDGSNVGVLMLRRDEDGVERWVLDRVVPMAAELKRVMKYDVDENVHRKVFVLAVREGYAYVSTTPMFNNPRTPCWFLSLCLETMRLEKLFQRTYDSEALPYIMPWPFHERPQPRRRRKVATQTAAGTNISSLPDDIVLQIFLWLPSLAALARAACACRAWRRAVASSPDFRCRFRAFHPGPLLGLFFDTAARCQHPCVPAFVPIRPRDSDLAAAVRGGDFFLTSLHERSDDEGVEKFVVLNPLMRRCRHVFGKWSVSPWVNIPQSSDGDDFRSNLVWLEDESRMQANGFLYWVYFYGQRHLVSLNTATMKFTFAEMPQCLGRHCTYDAGETKDGETSIQHIGILMQTRGDDGVEKWVLNRVMNLVQASLVPDRTELFVFAVRDGYVYLSTSARSKVPRAPCWFMSLCLETMRLEKLFHRTYDCDAHPYIMAWPSCLTGNNGWFTLKDATQ >Et_2A_017280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32753912:32757448:-1 gene:Et_2A_017280 transcript:Et_2A_017280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVAERAYQTAARAALAALERNVLPDAVTRLLTRLLLAQRLRLGYLPSAPLQLQQLLLFAHSLEEMPIAIETDKAKAQHYELPTTFFKLVLGRNLKYSSCYFPDQSSTLEDAEIAMMELYCERAKVQDGQSILDVGCGWGSLSLHIAKKYKNCSVTGICNSTTQKAFIEERCRENELSNVEIIVADISKFEMERSFDRIISIEMFEHMKNYKALLKKISRWMKEDSLLFVHFFCHKTFAYHFEDNNDDDWITRYFFTGGTMPSANLLLYFQEDVSVVNHWLVSGTHYARTSEEWLIRMDKNITSIRPIFEETYGKESTTKWIAYWRTFFISVAELFGYNNGDEWMVAHYLFRKK >Et_10A_001244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22551088:22556099:-1 gene:Et_10A_001244 transcript:Et_10A_001244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLPPRSSPHIATTTRVHPSDADLYRGGASVGAGGRASCAADHRPAVYTVWKRSSMGFQGTDGFSVYDADGSLAFRVDNYSRRWKLFAGELLLMDGHGAPLLALRPQILSMRDQWNCYTASEEAGDKGSRRQQLFSMRKCSVVQSGDEAEVHMIHPSNAGRARRAAPAPAADRPVVYTVWKRSSMGFQGTDGFCVYDADGSLAFRVDNYSRRRKLCAGELLLMDGRGTPLMSSALQSISDQAEVHMGAATTSAASSSLSCKHPAPAPSFQIEGSFSRRNCKIRGSDGREAARISRKKAGVAYKPVTTLGDDVFSLVVRPGVDAATVMAMVVILDRICRKPYTPMVCSSQ >Et_8B_058951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11455867:11459097:1 gene:Et_8B_058951 transcript:Et_8B_058951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTQTRDVAVSDDFNPRRRRTLWNTPNVSMPTNTHTIRYHTPKKGLFNAHLMVKFEDLYGFMVEGNVDDVNVLNEVRERIREQGRVWWALEASKGANWYLQPRISSNGGEGMMRVTSLKLSVLTNTITLRRLVRKGVPPVLRPKVWLSVSGAAKKRSTVPETYYDELIRATEGKTTPATRQIDHDLPRTFPCHPWLNSDEGQASLRRVLVGYSFRDSEVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLEDVLVSDSYTDNLSGCHVEQRVFKDLLAKKCPRIAAHLEAMGFDVSLVATEWFLCLFSKSLPSETTLRVWDVLFNEGAKVLFHVALAIFKMREDDILRIQHIGDVIDILQTTTHHLYDPDELLTFAFDKIGSMTANTITKERKKQETVVMAELDQRIRRLSSLKMDGRILRICFHDRVVSPPASSLSSTFGVGIAHSLCALEQQQDND >Et_3B_029436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2573143:2578313:1 gene:Et_3B_029436 transcript:Et_3B_029436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGSKGNASKPAVLQKLPISRPGFGTKGREIRLLTNHFIASISQSGCHLPPLPYGQSAKQRGICRKVMDKLREIYASDLANMNFAYDGETSLFTVGALQNVRDEFTVVIEDVSSARTTTSRTPGGNGSPEGSDLKRMKRPMWTKTFKVKLLQKAKFPMSAIIKVLRGQESDSYLDVIRVLDTILRQNSAKQGCLLVRQSFFHDCPDDFIMLGGGVVGCPGYHSSFRPTQSGLSLNIDLSTTIIVKPGPVIDFLLSNQNISDRRLIDWSKAERALKNLRIKTTHTKSEFKIYGLTKEFCYAQKFSMKQRNGDGSGTVEVTVYDYYLQHWGIKLKESANYPCINVGKPSRPTYIPIELCDLVSLQRYKKTPIVLQRSSLVQNSRNNPSERKSLLSSLKAGGGQDISVNNGRWNFNQNSFFGAITLKQWAVVNFSAPCNVQDLAQRIISCGKAKGLQINREDAVIQEEHEMIRAPAPSRVDAMFKQIMACFPDEAPKFLLCILPQKKNSDIYGPWKWNCLVKYGIRTQCLAPPNKNTNDQYLTNVLLKINAKLGGLNSILQMEDTRAIPLVSRTPTIIFGIHVSHGLPGSNVPSIAAVVSSLGWPRVSKYRASVCAQKARQEMIGSLFKLEGNTDHGIVRDGVSEGQFTQACKFIEDSWSPKFTVIVAQKNHHTRFFLPNGNRDDNNVANVPAVRPTHYHVLHDEIGFSPDQLQELVHSLSYVYQRSTSAISVVAPVYYAHLAAAQARQFFRLDEMSETASSGSSGPAPVPELRRLHESVRSSMFFC >Et_1A_008044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40344064:40346462:-1 gene:Et_1A_008044 transcript:Et_1A_008044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEDAEKKSNLGEASKEVDPVAEYWLRKIREEDEQERAKYPDEDWFDVLAIWARDYREAWESYNAIHYGPFDRITSIPPMRYTDGPVSCHASDHYRTLQIFSVKIRELRRGLQWPLNVFGIVAVRDAIDHNRNIIFHRERENCQILTEQDPYLVLTGPTRAVVVRTPVYFEAVLKLKGSTESEDKELSFLTVSLTGSCEESSYTCLINRDYTSRLSTLELTFGRIVRSVEATVNVRITDGAWPVGFYGRFTAQTASLEDNKILLLDSSGDENVPVDVDGVIKLSRRVASVELEGELKVSVVALQCDNDKIIIVGKDDKHFRPKRAGKSFGKLDVSFCKMEVTVFWSLISLFPDEYS >Et_2B_020813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23906955:23908289:-1 gene:Et_2B_020813 transcript:Et_2B_020813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLFSMEHPWASAFGILGNIVSFLVFLAPTPTFLRVYRKKSTEGFSSVPYVVALFSCALWIIYALVKTNSSPLLTINAFGCVVESAYILMYLIYAPRTARLRTLAAFFLLNVTAFSLIVVVTLMLVAEPQRVKVLGSICLAFSMAVFVAPMSVILVVIRTKSAEFMPFSLSFFLTLSAVAWFFYGLFTKDLYVALPNVGGFFFSFTQMVLYFCYRKPKKPVAVLPTTAEITATLAARSDEELMELPLGVHAVPAITIPVLAELQKVEQEVASPRKAGAKAI >Et_5A_040155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16680541:16681096:1 gene:Et_5A_040155 transcript:Et_5A_040155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLLGLKHMHEKGIIHRDIKPSNILINSDSDCVVGKICDFGLATYYDEAVTTWCGIPHGTYGYMAPEVYETKSSCTFESDMWSLGAVMYEFITGCPLISGRNSTGTITRTHSLFGSPDNCT >Et_1A_005914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15457241:15458908:-1 gene:Et_1A_005914 transcript:Et_1A_005914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEACTVLHAENKRSLPAWMLKSCSDNQVVKNEDQNVKASESDEQTRNLDLTKSVKTSTGRRLKQVDLVGAGELGVLQRCEGRAKARKTSKNVVKDGVEEIEELENGKSEASSPERVDVEIELTIEDLISIAKEYVNADEQKQHELENVKTARDYEDTSCHTISTDCAAITRNTSPSEHGRDENISHQELHYTSSVKMTGDVYQDMLNLFLCPLLSKPASYLKEPEPIDSVAATIYHVPEKKECCSEVPRQVEPVVKKKSSLKDK >Et_8B_060635.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3772071:3772520:-1 gene:Et_8B_060635 transcript:Et_8B_060635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAYPPTPSWTSSHRAPVGASASSAGTGARSSSTSARKPAPRSSPSSADRQDLRQLRRRRAPHARVEVPDLLQPRAAPSTWSAPGCNGLICLHGGVPLPRHGTVMVTNPITRQKFVLPPLPAASSEPKQFLPPPVPATDNTAGIYS >Et_2B_019305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22769033:22770202:-1 gene:Et_2B_019305 transcript:Et_2B_019305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEGYEADTRPPRDGPTPSQVLLPAFAAATTALVLVSILCCVTLLLHPAPSSTLPASLVYPPFAEAAVKRAAAITAVTSTDEYTATAALGNGTFGRVVKARHRDDNDDVVAIKSLIRDANRSYDAGAAEVLTEARVLAVAGGHPSVVALRAIAVDPSTGELSLVMEHAGHTLYRELYLHRGGEPFPERDARRVMRRLLAGVEHLHSRGVVHRDIKPENILLSDNDYLTNVKICDLGIAVPADAARKGRAGTLNYMAPEILAGEERYSDKVDMWSLGAVMAELLGGTPLFDVEKEDDAAQLRVIFDVLGPPCPGHNSEPHNNDKAPTSRLSDEGFDVLKGLLSCDVIDGRLSATNALRLPWFADVSEAPSVPSR >Et_5A_041964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4777709:4780156:1 gene:Et_5A_041964 transcript:Et_5A_041964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELCPGRILSDAGGAFAMGAVGGSAFYFIKGLHNSPNGTRIAGGLDAMRNVPRLAGSFAVWGGVFSACDCALVYVRQKEDPWNSIISGAAVGGILSVRQGLRAVARSSVQDAVLLALLCGTGLMGEHQPPPSNKSMPFNSPEISSGGGLFGKRKVEDGAANWSKTDTFETSDVPSTSVSPSEYNDWFGKLQSGSTKFTLPLSRVS >Et_7B_055081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7067872:7076881:-1 gene:Et_7B_055081 transcript:Et_7B_055081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPCIGNLQEPDVLTPEFVLHLHILKQVWLRFFYKRPPDGLLEFIDRIYVFDSCFSTEVLPDGMYPVYINEILTELHEEHVDSSFLAINFRDGDKRSQLANILRGYNIPVIDYPRHFEGCPVLPLSLIQHFLRVCEYWVSTGNNQNIILLHCERGGWPLLAFLLSCLLIYKKLHGAEHKTLDIIYCEAPKGFLQLFSALNPMPSQLRYMQYVARRNISPEWPPMERALSLDCLILRAIPCFDADNGCRPLIRIFGRNLLGRNSSMTNMIFSMPKKKSLRHYRQEDCDVIKIDIQCLVQGDIVLECVHLDLDPEKEVMMFRIMFNTAFIRSNVLMLNSDDVDILWGSKERYPKNFRAEVLFCEIGGLSPPRAPTATLNGDMKGGLPIEAFSAVQELFNGADWIESSDDAAYWLLKEFSANSLQEKFQKLILNDMKELSKMQAKVGLQMPLMSPLDSDEEKYSVASDSISSVDHEKVQLGGNSSDSENIDRDLTTEDSESTATLSLNNSPPQPGPPPPPTPGISLSLSLSPVSTTGQSETVTGSLQELQNKSLSSSQPASPPPPPPPPPPLPIGRKPVTSVPPPPPPPPPSGGKPVSSSPPPPPPPPPPPPRIGSVGTAVPPPPPPPPQTSSIASQPPPPPPPPPPLSGTTAPLPFQQQKNVRAAPPPPPPPSAHGSNKGPAPPPPPPPPSSGKAPTTAGATHSRGPPPPPPPPPSRTAGPGVAAPPPPPPPPGAIQRNPPPPPPPPGATQRNPPAPPPPPGAIQRNPPAPPPPPLMTGKKSPAPPPPPKAPGTAPPPPPPSSKMSNAPAPPPPLLGRGRGNLTGSAKGRGIGLAQQSNPPKKASLKPLHWVKVTRAMQGSLWADAQKQGNQARAPDIDLSELESLFSTAVVTSSSEKGATRRGSAISKPEIVHLVDMRRANNCEIMLTKIKMPLPDMISAILALDTSVLDNDQVENLIKFCPTKEEIEMLKGYNGNKEMLGKCEQFFLELMKVPRVEAKLRVFAFRITFSQQVDELRTNLTTINDATKEVKESLKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLAEKLPELLDFDKDLIHLEAASKIQLKLLAEEMQAINKGLEKVEQELAASENDGAISIGFRKALKSFLDAAEAEVRSLISLYAEVGRNADSLAQYFGEDPARCPFEQVTSILVIFVNMFKKSRDENARNAEAEKKKLEKEREKASASDGAHHLLSPRKLRTKPK >Et_1A_004780.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:40461278:40461800:1 gene:Et_1A_004780 transcript:Et_1A_004780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSSLSCNPVQGRRGDEQPQTATCCTALQRGFTPDGLTPPRYAPVRAPASAAALLLLHDHDHDGDHPAANEDDDTTTTQTKKKVVRKCKSTVDDQLSIQPRQLRRSGAVRRDWSFEDLRSNKAA >Et_4A_033141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1899449:1902245:1 gene:Et_4A_033141 transcript:Et_4A_033141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVMDYFKSCWGPRSRAGHRGKGSDVAGRQDGLLWYKDAGQLVTGEFSMAVVQANQLLEDQSQVESGPLSLAEPGPQGTFVGVYDGHGGPETSRFINDHLFNHLRRYATEHKCMSADVIRKAFQATEDGFLSLVSKEWPVKPQIAAVGSCCLVGVICAGTLYVANLGDSRAVLGRLVKATGEVLAMQLSSEHNACYEEVRQELQSSHPDDPHIVVLKHNVWRVKGLIQISRSIGDVYLKRPEYNREPLHSKFRLRETFQRPILSSEPQITEHQIQPNDQFVIFASDGLWEHLSNQEAVDLVQSSPRNGIARRLVKTAMQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNAISKAAWSKSPSVSLRGGGVSVPAKSLAPFSAPAQLNSTY >Et_8B_059382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17344324:17345363:-1 gene:Et_8B_059382 transcript:Et_8B_059382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRAVLPGVPEYHRDTLVSQLGCVVRGILPVNYPSGNQQFAMASHCIVNCSKLRVWEVITLAELFRLRSSLTYACLFCGVREDIVYLDAGIRVLENIDDLFELDKGHFYYAAKLSPPPYFNTGMFVHEPSVVTAEALHRPPPSRMSSCLSNSLTSYRAKFGDFKDQYKPIPLDRNLVQAMLLGHAGDVSKRSWGEKLPQFGCWLTAKTSAFARQNPAAMATLTASSAAVGVITTSAANPAICFRFYTVFLAAIATITISLRGM >Et_1A_004693.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31280380:31280781:1 gene:Et_1A_004693 transcript:Et_1A_004693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTATSRLVEAELRRIGVPRRLRAREHRRGHPRLHRPRRPRVRGTHGARVGRRGGGPRAASRAALGPGQRVRPSRRGGRRPDAGAAAARRVEADAHHARHGGRDRRGPRLLLPLRGGAARLPRGQPGRGHAVP >Et_4B_039550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21651397:21656570:1 gene:Et_4B_039550 transcript:Et_4B_039550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQPSFPSALKGSCSAIKFPKTALLSGFGGISRPQDVQDRNASLTLSVPKAASVTHQSTGEPAKPRQSKHTVDPAAPDFLPLPSFEECFPRSTKESRHDVLRLTCEIVHEESGHVLKVPFRRIHLTGDQKHFDTYDTSGPQNISPRVGLPKIRKDWIDRREKLGSPRYTQMYYAKQGIITEEMLYCAKRENLSPEFVRSEVARGRAIIPANKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEDEVNKLQWATMWGADTVMDLSTGRHIHETREWILRNSSVPIGTVPIYQALEKVNGIAENLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICSKYDVSLSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPIHKIPENMVKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAATIGSLGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPYAQAWDDALSSARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVLQGMNAMSAKFSSARKTISGEQHGEAGGEIYVPESYISLEPFIPGDVDLLATTIETVNRLVEVEQPVWHKSTLFSP >Et_4B_039313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9972610:9974783:-1 gene:Et_4B_039313 transcript:Et_4B_039313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSSSRRLKPRPASVWVSCNRTVDAAATCTGSKTARASEVVEYVHVLLLIVADDGHVLGQLPAVSDHDANAPATLLLIVKHVVLPRQVQRGALEVEHQLGHARRLRPRRHREAEQVAAVRRRLQLHLGDKRVAE >Et_3A_026715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16525505:16538102:1 gene:Et_3A_026715 transcript:Et_3A_026715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELRQAREKLEREQRDRVQRAKAKAERERRAKAEAARRRDALEASHRERRLDAARAQEEADQKMEEVMLQSKGVSFSHMFEALRYDGPGDKIKLPPSSFKELSDEGALDKGPMYFKVSKVRDRVPGASQEQDAEEATCCGVLEFTAKEGSAELPPHVWNNLFRSDSPDVPLIEVRYVSLPKGTYAKLKPEGAGFSDLPNHRAVLETALRNHATLSENDVVVVNYGQLRYKLKVLELKPASSVSVLETDVEVDIEGSDSVLDNEEDQHVLVPLAIGKVESSIVEEGRFRYYKFSVEESVSEKVASGHANIEVKIDTDASGGDTDIYVSRHPLVFPTQHRHEWSSHEMGSKVLILKPRDTSMVSGVYSIGVYGFKGTSKFQLSVAIKDVNSQRVGEHASASSSVDADTVLCKNCKRHIASRTAPLHEAYCLRHNVACMHDGCGVVLRKEEAADHVHCNKCGRAFQQREMEKHMKVFHEPLQCPCGVVLEKEDMVRHQSSTCPLRLIVCRFCGDTVHAGGEPADVRDRLRNMCEHESICGSRTAPCDSCGRGVPPGLPLGCHENLFRLWFINDGEFLIIIITFEHWVDCSSVISIDMSSIPSEMTLFVYGSSLPERYYIETREDLLSSEDFDVNPSNLDININMLGSNIRKIFKAIPGRKPWMKALINVMPKQPEIVGQPCNLTSKQET >Et_2B_019820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14258766:14262520:-1 gene:Et_2B_019820 transcript:Et_2B_019820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMTPRRRREPSEPRSESDWDGGSSREGSPDLVRRPAAQIWLCEIERDRVRHVREWVHMAARDRDDDTGPPPSPADHARRDVPRIRGRQARLELVMRMAADRQAELHRLSHQRAVSDFPHRNRIHALLRGRFLRNGGLPEERRSPSVAARELGQLRQRHPVSGLREEFRFRLENLVQGQAVSQSDASSSQNVELSSNDNSESSPTTSEDTQERHQQTNGIIEFRHNDNTRTASGLENNAPSVAEGLYGPHNQEEDSQEDLERRSWLQFSHAVVGEESERNLHENADNGSFREVSEVGDGQDDRLPETLEESTTDDNLPEPHEDSTSDYNLREVHEESTSDDNPPEAHDEQHDRNHFPEVVDELHDGNHLHESHGEWSGHNHPIEVYDEWQSEDNLPEVNEEWHDDDESNDAADNWHGDNFDQPIDHDAALIRRANTFIPGDDDNVYSTELRELLSRRSVSNLLHSAFRENLDRLIRSYVERQGRGPHPWDLEGTTPAPNSPERSQDQQGDDEDQELPQTIDRPPLVIPPPPVPPRQPLWHSELHRNNWIRQNIHRSSSDIEWEAINDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRFIGEQGESKEIIDDGSKWINVRKGTCCICCETPIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIIEVIRAYFIM >Et_6A_046955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23019256:23020900:-1 gene:Et_6A_046955 transcript:Et_6A_046955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANLLRTFFISEEESKICSKLLDYFKGEDSVIDVHYDRIVSKIPYCKMDQDHWKLRAQQNKYLMKILQPDSTLSTQVQPSIATDGGAQPPIDQHINSIGPEYSNSGDGLLNLMSNLQQNLNEIRFGVVKLKEQQDNGEKGKERVVLFEHSQVQHMFDAAFPQVSYGYACENALPPQIFGVATLSDMVVLHFCNKAQLTMTGFFSSWRRAAEY >Et_3A_026501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8840102:8842157:-1 gene:Et_3A_026501 transcript:Et_3A_026501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIYLLLAALIALSHAQDTSGARPAASWPASLAALAAAGKLRTDINATAPASMDFGNITAALPAAVLFPSSADDVAALLRAANSTPAWPYTVAFRGRGHSTMGQAFAAGGVVVNMPSLGDGDGGARINVSDDGTYVDAGGEQVWIDVLNATLRRGVAPRSWTDYLHLTVGGTLSNAGMSGQAFRHGPQISNVYELDVITGHGETVTCSKDINADLFHAVLGGLGQFGVITRARIALEPAPARTRWVRLIYTDFATFTADQERLVSPPFGPVSYLEGSVFVNSSLAGGLKGTSFFNDSDVARIVALAAERNASVVYSIEATFNYDFADDATAAAVAAVDEEVRSVLDGLRFEEGFAFQRDVSYVEFLDRVHNEEAALDKAGLWRLPHPWLDLFVPRSQIAEFDRRVFKGVLQGTDIVGPLIMYPVNRNKFDDAMSAMTPEGDVFYAVALLFTAVSPADATRLLAQNQRILDLAGAGYKEYLPHYTTRADWTRHFGSKWSRFVEMKNKYDPKKLLSPGQDIFN >Et_5A_040336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15183486:15183860:-1 gene:Et_5A_040336 transcript:Et_5A_040336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSPPSLCEFIEYIDTEQTPENIAHVYRVAERARKHWFDMEAEKRREEERRKMRQKDEERRREYEAERKAREAERERMRDRARRARAAGPDAFRKGKYLRCTQ >Et_2B_020582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21583150:21590845:1 gene:Et_2B_020582 transcript:Et_2B_020582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGEIHALGSLRREGSIWSAASNVFSSSLSRDDGGGGDDEEALRWAALEKLPTYDRARTAVLAMPEGELREVNVQKLGPQERHALLQRLAMVGDDYQRFLSKFKERVDRVGIELPKIEVRYQNLNVDAEAYVGSRGLPTIFNTYVNVLEGLANALHITPSKKKKISILHNVSGIIKPHRMTLLLGPPGAGKTSLLLALAGTLPSSLKATGNITYNGHSMDEFEARRSAAYVSQQDLHMGELTVRETIKFSAKCQGIGHRYDLLLELSRREKEANIIPDPETDVYLKAAATGEQKADVVTNHILKVLGLDVCADTIVGNNMLRGISGGQKKRVTTAEMLVTPGRALFMDEISTGLDSSTTFQIVNSIRQTIHIVGGTAVIALLQPAPETYELFDDIILLSDGQVVYNGPREHVLEFFESVGFKCPQRKGVADFLQEVTSRKDQRQYWIQGDETYRYVPVNELAEAFQSFHVGEALRNELATPFDKSMSHPAALKTSKYGASMKELLKANIDREILLMKRNSFVYIFKATQLTLMAIITMTVFLRTNMHRDSITNGRIYMGALFFGILMIMFNGLAEVGLTISKLPVFFKQRDLLFYPAWTYSLPSWIIKTPLSLLNVTIWVFITYYVIGFDPNVERLFRQFLILLLMNEASSGLFRFIAGLARHQVVASTLGSFGILIFMLLGGFLLARENVKKWWIWGYWTSPLMYAQNAISVNEFLGTSWNKTIPGFTEPLGKVILESRGLFPEAKWYWIGVGALFGYVLLFNILYTVCLTFLNSFDSNQPTVSEETLKIKQANLTGEVLEASSRGRTASNIVTSRNAVDDEAASNHATMNSNPTNKGMVLPFVPLSITFEDIRYSVDMPEEIRAQGVTETRLELLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNITISGYPKKHETFARVSGYCEQNDIHSPNVTVYESLAFSAWLRLPADVNYSTRKMFIDEVMELVELLPLKDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPLGLHSCELIRYFEAIEGVSKIKDGYNPSTWMLEVTSAVQEQITGISFSEVYKNSELYRRNKVLIKELSTPPEGSSDLSFPTEYSQNFLTQCFACLWKQSMSYWRNPPYTAVKYFYTTVIALLFGTMFWGVGRKRHSQQDLFNAMGSMYAMGSCRHSQQNSGSVQPVVSVERTVFYRERAAHMYSPLPYALGQVTIELPYIFVQSLLYAVLVYAMIGFEWTAAKFFWYLFFMYFTLAYYTFYGMMIVGLTPNYNVSSVASTAFYAIWNLFSGFLIPRTRIPIWWRWFYWICPIAWTLNGLITSQFGDVTDTFDNGVRISDFVESYFGFHHDFLWVVAVVIVSFPLLFALFFGLSLKLFNFQRR >Et_2A_017496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34913102:34914948:-1 gene:Et_2A_017496 transcript:Et_2A_017496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANYQHYQTMAVHAAAAWREPDSPQLSFVSGCSSLFSISALQDDDGDAVVIAGHALPSTPVSLAGFAGDEVDMEVQQTSGGSGDDRRSIRMMRNRESALRSRARKRAYVENLEKEVRRLVDENLKLKKQCKELKLEVAALVLPTKSSLRRTSSTQF >Et_3A_024176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18179297:18185706:1 gene:Et_3A_024176 transcript:Et_3A_024176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSCFSTQLIDGDGVFNVSGLENFMKEVRLGECGLSYATTKGIWLAKAHDIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQTPLENLEPILREDIQKIWDGVPKPHAHKETPLSEFFNVQVVALSSYEEKEELFKAQVSDLRDRFQHSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGNEKVASFTADEEWQQFEEAVQHDYVPGFGKKLSSLLDRCLSEYDMEAIYFDEGVRSSKRQQLESKLLQLVNPAYQALLGHLRTRILEGFKESFDKALEKEGFAIAARDCTEAFLGKFDKGSEDAAIQQVKWDPSKVKDKLKRDMEAHVASVRATKLSELCAKYEAQLNKALAEPVEALLDSAGDDTWPAIRKLLQRETKAAVSGLESALTTYELDEATEKELLVKLENHGRNVVESKAKEEAGRVLIRMKDRFSTLFSRDADSMPRVWTGKEDIKAITKTARSASMKLLSTVAAIRLDEEGDNIENTLSLALVDSAKPGTTDRSIQSFDPLASSSWERVPEEKTLITPVQCKNLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWALAAMAILGFNEFMTLLRNPLYLGVIFVAYLVGKAIWVQSDFAREFQRGFLPAILSLSTKFVPTVMNILKRLADEGQAPAAPQREMELQPKSNRNGTSYSNVTSAGSSSVTTSEIGPEYSSPVPQ >Et_5B_045347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19053460:19056597:-1 gene:Et_5B_045347 transcript:Et_5B_045347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGWVLEIRAGGENFNKMFKFYDHLRTGVRAASKQDFLSYINDGKIPGCTSEDCDTSGEDNIIAQLQFRIDGLPPGWVKETAFRKCSDGRIRRDSYYTDPLTKKVHRSLKSAKQYFACEIDEDSHTPKQSVTDMYFFDSCAEMSEGQCPNIAPYHRAYIQ >Et_8B_060793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7676011:7677201:-1 gene:Et_8B_060793 transcript:Et_8B_060793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEAAVVPSIESRPINKDLKLAVRVGSFWSLPDGAPKTYLRGKKLPLIDVPMNYELIQLVDYVAKYYMWGSKKYLSLWRDDDDSYCVAIKSDEQLHEWLQLNIESGVVPANHTHKRESKKEDNKKEEEESKKEEEDVKEGEVEVGLLHDSKMDGEIAKSEIGW >Et_3B_027572.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28307297:28309601:1 gene:Et_3B_027572 transcript:Et_3B_027572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAGTKSARLHDASAVPMTAATSCRYAKKNLLTAASTAWNAWSSSTLPASSPSPRLRLWYSLMAASCTLGPPLGSASTPSTHGTRFLRSLVVFATCSSARDRKQNAVSQPCAARIASWLFSLRKSSGSISRWRSSTSARSFHTRSRIQQSLAAASQLFASIRSLRRVRSWEAAHSHDSSIWWMVNRASVSRLRYPDLGSGAGLGLSACSSCGRTTRSYSSTGLPARLGSPRPRVKAQPCIWPSMAYMILAASVSDLSHAARRGSRLASLTWHTTAAALPGSCHTSDALRSRGSTPPEALSSASGAHRSPSQSAATSSTSRAASDSSRRACSRRLAYARGSESATDAKRKVISSACSAGFTRASPGHSGKHPACHSRDSSGSRKYCSYFSAADASCTLRTGDDEGAHGLSPGRTGETGDSLGVAISVPAELSSR >Et_9B_065336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3933653:3941942:-1 gene:Et_9B_065336 transcript:Et_9B_065336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSRAVEAGGRSGVARAVKGYADAVAHHAGQAVADILHDRMGTQNYRSFKKTVARLEEAAVSCRGGERVELLKRWLGALHDVDAEQGDSDLKPSEDHDSSSEMDTTKAPLVLFYDADIDGAPMNFRDVFLYSQALEGITLSMILEAPSEEEVSLLLEIFGICLTGGKEVNEAIMSKVQESAKAFAEYKDEVLVKREELLEYAQSIISGLKRNADILRIDAETLELQRKLDEKQKLRVQSTEDRDKKSEKTAVANLEAFKEALSEVDKLKVLADSLANSSSKAEQRILEHRRQKEDALNFRVKKENEVSAAEKELLDEITELEKQRDDLEAQLKKVNISLNAAAGRLKKTREERDQFDEANNQIIFSLKTKEDDLSKSITLCTVESNVVKVWINFLEDSWQLQSSYNEQKEKKTCDELNKCVKNYLELTKHHLSAFKDDLSPLIESIKTYVDNLAALNSREEAKEHGEDEASERTNPRTSLEEEYLETEKKIIIAFSIVDHIKKLFYSEQGTNSRRDDPEIKNLVDEIEKLRESFESIERPTLSIESRKAKPLPFEGTELSPSPVQAPATPKAAHVDSPKSPMKPEQHFDSDTELATPGAEPGKEDKDYSGEEINGWEFDELEEDLKD >Et_4A_033107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18687252:18689265:1 gene:Et_4A_033107 transcript:Et_4A_033107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCGVGADEGYGPTWDSDDEYDNFIRKMNPPRIVVDNDSCADATIVKVDSANEYGILLEVIQVLIDLNLVIGKAYITSDGGWFMDVFNVTDKEGKKLKDKATIAKIEDYIRKSLGADSRYIPSRRRSVGVAAATDHNVIELTGTDRPGLLSEVSAVLASLKCNVVSAEIWTHNTRAAAVMRVTDEDTGSAVTDTERLERIKERLSYLLRGGNLSRGATMAMSSGAGTTHTERRLHQMMLDDGDYEQLQRRSLDQSRPNVTVRNWNDKDYSVVTIRCKDRPKLLFDTVCTLTDLQYVVFHANIDAKDNQSYQEFYVRHVNGSPMNTETERLRVVQCLEAAIQRRVSEGVKLELCTNDKVGLLSEVTRIFRENSLTVTRAEVSTRGRMAVNTFYVRGSAGEAVDQKTIDSIRQAIGQNVEVKGQPEPPEPEKKDSPTWFLFANLFRPRSLYSFGLFGP >Et_2B_022240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14984077:14985633:1 gene:Et_2B_022240 transcript:Et_2B_022240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYNEDYYYCSDDDYEYCADDDHEYPEEDPPCEEDDRADGREKEKEKDYVLETEDDVREKQDEAVAKIAESLALPPGFAAVALRHFQWDTEQLQNEWFDDERRFGEKLGLPVHGGGVPTSLNEIPLTCAICFDGFGPGEMRSAGCRAHFYCHECWRGYIRAAVGDGAQCLSLRCPDPRCRGAGARRRRRRRRGQGAAFAVRSFVEEGKNKRTCGGARGPGAPAPSAHASACASAIRCPASTGAAAPSASGAAPAGVVRDEPRVATAPTGRRPTGCWPTPSTARGAAAPSRRTRGCMHMTCRAPCGHEFCWLCLGPWEPHGTDFYSCNRYDAEKAQGRHTEADARRRQAQASLERYLHYYERWTAFGASRNKAREDLDWIEAGGRGLDEVAAAMGRQPTEMDFLVKAYAQVVECRQVLRWTYVYYLDPEQSLERLHGCAEKERQELAREAEISSLAHTFMSYVEKLSNLTSVTRNHFTNLAKGFEDGMPEVVVPNMPAAPENEEPANE >Et_8A_056714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15778971:15789282:-1 gene:Et_8A_056714 transcript:Et_8A_056714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHHDLLFFLRALRALEVQGRDGGDGDHLDGAEQQHVRDDSGHRGGGAAGPEAARGERVHGRGQRAERARGDDGERGLRAAVAHEPPRARQRGGALAAGDDDDDGRRYAGDGEVGEEEGDQEDEERVEEDDEDGAVEEGSDGEQRQRYDDGAGAAGGLGQDGAAPDVVALGIVHRRGVGGGGGGEGGDGGEDGGHLDGGPGGHGGEEEAPDVGLHAAHEVPVHGGAGRVRAADDAFGVDEHGGGQRLHGEGVAEEQRGGEARGEGEEEAPRGVRVVAAAAAAAAPVSAARARPSAAEVARTVVELAPSGTLSVVGPDGWPLGVGARFVADASGAPALCLATAGVATPNALSSFHVEFQQSGVRTPQCTMLGALTKPSDEAVLKKLSTRWEKKFGEEINQDLLYLISVERIMHMEDFNEDGMWVVPSEYTSAEPDPLRNFAENIVEELNSKNADDVHRIYSIYVESDFQVADVKMIWVDRLGFDFHVRSGEGIFAVRIPFSREVSDEKGVKSSFNMMAHHAWEVEKSFASPEFEKVHHDLLFLLLALPALEVEGRDGGDGEHLDGAEQQHVGHAGGDPGGGAARPPPRRERVHGRDGRAERARGEHVERGLRAASPHEPPRARHGGGAADALGGGDDDGDRADDGEVDEEERGEEDEQRVEEEEEERVVEEHDGEHGQRGDGAGAGGLEDVPERDGEHGAPEVALRLRHRCCRRGGGAVAGSGGAGEGGDGGEDGGDFYRGAGDDGGEEARGGGEEEAPDVGLQAADEVPVRGAGRVGAADAVGVDEHGGGERLHGERVAEEERGGEARGEGEEREEEAPVAGREERAVAQEGEEARRLPASPGRVVLHGRMAGWSENRAEIYLAGRDERREGGRRAAWCARGGERRSG >Et_5A_040847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13360187:13365704:1 gene:Et_5A_040847 transcript:Et_5A_040847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPRRSGDPSSPNSPLLPSPPSPSGGGGPLGRLSGLRGAARFIRRTGSRRLMREPSVAVRETAAEHLEERQTDWAYSKPVVVLDVLWNLAFVAVAAAVLAASLGEQPSVPLRAWLAGYVLQCLLHVLCVAVEYKRRREGMSRGGADREGVGDGDFKLSVVKHLESANTMFSFIWWIIGFYWVSAGGQALSHDAPQLYWLTIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVTDQEGASEEDINNLSKYKFRTMGDADKLVAGIAAPVGGVMTECGTSPPVEHILSAEDAECCICLCPYEDGVELRELPCNHHFHCTCIDKWLHINATCPLCKFNIVKSNLGREEV >Et_7B_053722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11479253:11488859:-1 gene:Et_7B_053722 transcript:Et_7B_053722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAHKEDVTSASDEVNGQTMDELDPWNPPYPPCRRIPPDLDLNSYAKLMCEWFDEMDEIIATSRRTKTIIPDRTPQSVDDAFFNVPPRLVPILEKDSVRRFLSLYDRVRDGLGWGFIITPLTFNQIVKQNALRCAKVALEGKAPELRGFRANPNCMNRSGYFPLHQAAEIFSVDMIKLLFRYGALANLRTASSEVIEGLFPLHVAVENTCLHKYLEENAFANLEDLDDNRPNVNYICKLIHLLCLPEMKIFLDTTRVLAEKTHNVVDELWNYIKPDGFSIIKDRILNHTVMLLFKMGQSGKDNKRLEVDKKLTNVALFLVHAVSQAGVDLDAFIRSHPEVPHNMQFPHDKAHEKGLLPLHVAVENTCLHKYLEDNAFPNQEDLDDYQANVDYVCKLIYLICLPEMKIFLDTTRLLAEKTHNIVDELWNYIKDGRLVPAAVLLLAAQEQIHGVSSRKKNEPDGFSIIKDRILSHTVSLVVEMGQSGKDNKRLDVDNKLMNVALLLVHAVSQAGVALDACIRSHPEYLYPKYVSCRCRITCRCPMTGYLKKSRRFSRVMDFALQEKASTLEICTIFNLLFHFYNNCNSTYNYTFMSFVHLYVLIVLEAAHTRMYCLKKRHLTNLHVIHPVNSYLAGREEISDVCGFLTLALL >Et_7A_051181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15101373:15103741:-1 gene:Et_7A_051181 transcript:Et_7A_051181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSLHRLLLLRTGRPLFPLAASTAPSNSKRPQNTGTCVAPQRWRRRRSARIVVAWYDRGAGEWRVFDDACQHRLAPLSEGRIDGKGRLQCVYHGWCFDGAGDCKFIPQAPALGPPVHKNSKACVASYPCVAQNNILWFYPRSEPEYKDVLQRKRPPYFPEIDDPSFVTTYGTRDLFYGYDVLMENFNDPAHVRYAHKGLINVNREIEDPGRYSVPMQHLIGDVRFFTVEYDDERGIPLKMEIDCVTSDGFVSLTEFGTRPGYSRFIAPCTVYSSLASIDQVNTHAPPLLSIFRLRWNGGCSCMQADQENKKTPRFVLVSLLVPVSPGKSRVIWASPRNFAIWMDKIIPRWFYHINQNRVLDSDVCLIHGEILPQLVFGRDKKFYHSSSMLQNLLLLLQERRLATVGLDKWQNACYVPTSNWFKKYCKNEVGWATPQPNQLPPTPPRDQLLER >Et_1B_011615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24088530:24090569:-1 gene:Et_1B_011615 transcript:Et_1B_011615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGSSLLAAAAAALALLSLLAVGSCREAQFDAGDALAGFPNFTASDATRYYGGWVPARATWYGQPNGAGPDDNGGACGFKHTNQYPFMSMGSCGNQPIFKDGKGCGSCYKIRCRKDPSCSGRTETVIITDMNYYPVSKYHFDLSGTAFGRLAKPGLNDKLRHSGIIDIEFQRVPCEFPGLKIGFHVEEFSNPVYLALLVEYEDGDGDVVQVDLMESKTAHGPPTGRWTPMRESWGSVWRLDSNHRLQAPFTIRIRNESGKTLIAKDVIPANWRPNTFYRSFVQYS >Et_9B_065257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2860020:2861880:-1 gene:Et_9B_065257 transcript:Et_9B_065257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTNDAPIANNQPATEEVTVERENAEAAAAAAEEERLMYLAFVQQAAAQALVLAAAAYAYAKQGAGPLRPGVDHVEGTVKAVVGPVYDRFHGVPLDLLKFLDRKVGESVEELDRRVPPVVKEAPTLARSAAAEVRQAGLVGTATGLAKSAIARAEPKARELYTRYEPVAERRAAEAWVALNRLPLVPTVTRAVLPTAAQLSAKYNSAVLDGAKRGNTVATYLPLVPTERLSRVFAYPTADSAPAPEMQPIPSQ >Et_2B_020600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21723044:21723348:-1 gene:Et_2B_020600 transcript:Et_2B_020600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSGDPDAVDGPSERKLTSCKWFYFNKGAMMAVYCSNGVFVLDLTRGRWRRSWTCLTALPVTDITCEMDLLDFFLGKLGGLAIHRERGGVALALEL >Et_2B_022933.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:8601038:8601472:1 gene:Et_2B_022933 transcript:Et_2B_022933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHVDGKISKEEATVKPTRSFRYEDYNTRRVFLRSYPLQWDWSAEPDEKQGLDAAAATPGGAKKPIYCSDDDEDDRGDGRCGGRGWKRQVVVAVVEWGEEKLVLLRRAKKRLALYLLGCHYGRPALPFRSGSSGATTAMLKSR >Et_2B_019030.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25950630:25951637:1 gene:Et_2B_019030 transcript:Et_2B_019030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSNVVKKGPWSPEEDALLMRLVEQHGPHRWSLISASIAGRSGKSCRLRWCNQLNPEVHHRPFTPHEDALIVSAQARHGNKWATIARLLPGRTDNSIKNHWNSNLRRCKRREAAAAAIAAVASTSGSIAPQVPPINMMHGDNNLVADQPVFKRQCVVSHDKSSSALVMPDAGASLGLNSNNTSVVSGPVIDPPMSLSLSLGQPLLPQTPHQATKEPMEASMRNAGDKVFGNCSSSPPVADGNAQLLAMIRQMVREEVQLQCGQLAYSLMAATTKGKGHQQ >Et_9B_065248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2784562:2785001:-1 gene:Et_9B_065248 transcript:Et_9B_065248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NRLGRGGGGAEDKHWIPPVLGVLKINVDGSFVASCCPTTVNGMEEFVSMQKKWTRQYVWIGFGSQQGGLEHQMFFKKVRGEVEGPSLIAYVAHGTRDEVQQLPEVCCLRLKGSVIKWRICLRIVLVVRGSR >Et_10B_003952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8290290:8294940:-1 gene:Et_10B_003952 transcript:Et_10B_003952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVPSDSSHHGVVENGPTETKQGTRGEAGQLGASWYFSRREIEENSPSRRDGIDLKKESYLRRSYCNFLQELGMKLKVPQVTIATAMVFCHRFYLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYELIHKKDPNAGQRIKQQREIYDKQKELILLGERIVLVTLGFDLNVHHAYKPLVEAIRKFNVSQKSTLPQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKMKPPSDGDKVWWQDFEVTPRQLEEVSNQMLELYEQNRSPQAQPSEGNEAEGSSAGVRNQRSSARSEGNTKESSAHGHQQASKQSNSKNSGAPDAKHTNPGKQVSDHNMLRNGNGDHGSSKDRSCQSGSRFDGTDKSHPLYDNPNETRDGIPNGNEAPCVSSSMMDAMNKIDKDKVKAALEKRRKSKGDVAVKENMMDDEDLLERELEHGVELAVEDEKGKDEGQNTCSGSMHQGDIQNADHVENGHHDTHNVPATAEDVASPMNNNEQNSPQLAKTTTAGDSELPIKSEELSPQPVKRNDSPLAGRHELDGRDLKRPKLEG >Et_8B_060125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6151469:6152769:1 gene:Et_8B_060125 transcript:Et_8B_060125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAVASGKGGGVLDRPVEKVTPGRQSEFELTVCFPMALIAYRVMLPNDNYNRREYVVQVLMKVIPGMTVDNAVNIMQEAHSEAEEHCTSLISIEPASGGC >Et_9A_061846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17010743:17015028:-1 gene:Et_9A_061846 transcript:Et_9A_061846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERIPPPPFFQYSPSGVHSSPHHHSPMRSSASEKERYLAELLAERQKLAPFMQVLPFCNRLLNQEILRASSLPPNPNFVEPERIDHGSPSPLRLAHPMNGQPMDLEWSGMQIEHLGALQTSSMGWNGVPGVVGSPVVKKVVKIDVPVEKYPNFNFVGRLLGPRGNSLKRVEATTQCRVFIRGRGSVKDSVKEDKLRDKPGYEHLNDPLHVLVEAEFPVDTVDARLNQAVAILEDLLKPVDESMDYYKKQQLRELAILNGTLREESPSPHLSPSVSPFNSTGMKRAKTGRHYKHRLVFL >Et_4B_037245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17007147:17015933:-1 gene:Et_4B_037245 transcript:Et_4B_037245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLRRNLKRQASRSLSALAAATSPRGASADQENLHPNLASPPASPAKNSSAKDRSPRPKQHPAAPQPTAAAAEDNHATAPVADEPSVKVVVRVRPPVSLPTDGKDLWFVCKTAADSLAVGDRAFAVDGVLDDRASQADAFDMVGVPMIESALAGFNTSLVCYGQSGTGKTYTMWGPLAAMVDSGSDHIDLGVVPRVFQNLFSRIQSSEESSPEKQISYQCRCSFLEVHNEQINDLLEPSQRDLQIRENAGNGIHVENLTDEYVSTVEDVNQILMKGLSNRRVGTTSMNLKSSCSHVIFTCVIEAWSKGFSSNGFSTSRTSRITFVDLAGLENDEADGAAKHCTKEERHLKKSLSKLGKLVNILSETPESQKVDLPYEQSRLTHVLKDTLGGNSRVTILCSVSSEHRSGTLSTLRFGERAKLMPNKAVINEISEDDVNGLSDQIRWLKDELIRTKSGDTTTCKAGYFSAQNARESLHSLRVSLNRSLILPHIEVDSEEEMEVDEEDVHELRDQINKLHSSSDTFDDFMDAESGDDTPCSKGALKTSEEDDQPNDDTEGQLQEETKETSENTNANEVLTSDRKSSLSISSSPRMSPMQDPTLCSSPKIHNKTRKSITSPGLSPSKLRVSVSPGDRDVETCRNSAVRSSLQSSKLSPTDSLAASLQRGLHIIEYHQQNIAPRKSFVGLSFDHFALNPRQSMAKVSSAVQTLPEDQGTILCSSCKKPVKINEDQTENSDKQIVVATDATSNESASASSKDVNNSKAIGSKRETELEALCEEQAAKIQELSTLIDQLREASKDGPGSNGVATVEELSSESKISEQCDDTKMSVNEREALVNEIESLKEQLNRQTNLSINGSLLDQIRNGSTDQEYELDKERQKWMESESKWISLTEELRVDLESNRMHAEKTEMELCNEKKCTAELDDALQRAMYGHARMVEHYVELQELYNDLLEKHRRVMDAISEVKRAAAKAGRKGCGTAFAAALAAELSTVRIDREKERTQLKEQNRRLRIQLRDTAEAVHAAGELLVRLREAEEASTLEKERCGALLQENEKLKKQLEKMRKKHEMEVETMKVHLAESRLPESALGHFYHHENEETTESTRNNLQNTIELQISSRRHMRREFLTPAGIERLLLVLRPVGRGFLAPARSGGAVHRKQLCKEAARVRALSRT >Et_1A_008708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10082857:10083233:-1 gene:Et_1A_008708 transcript:Et_1A_008708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGGYGFWERQASPRMRDAEVPPPRSAARGRRHLQERARHAPRGRRQYRASMRTKKDRPTVYGAPDTYDASSFLVVEVKRLPGGELRLTKRGKMSYMRPPQRRQCPHIGLI >Et_3A_027046.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30825906:30826124:1 gene:Et_3A_027046 transcript:Et_3A_027046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRTYFAAEGPTPRWPGSWSACAAPPRRRLRDEAGALIARQFAQAPWAVAGGGQLEHDRCGASRGLAGAR >Et_7B_055870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6424862:6427502:1 gene:Et_7B_055870 transcript:Et_7B_055870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSPASAQAQGRRAGTVVERKLDELCACLDTALSSSSSPRSLSPGAEARLVEDLKKRTDFLRSLLVAEGECHVAGARPAYLVEAKARFAVLEAAFIQWARRVGAAPAAAQEEEEEEMERLLAKEDARSGSGSGSESSECSCTDSCQEEVAATGDADVGADRVAVELLMDATSPATDKKRDMERDSVATREVAFADQNRDDAVATREKAPPDATAKKRDAEHQAAAETKRRTVQRRWWRRSAAWCGAAGVVAVVAVGVAVELAAVAHNNNPFKKTSYSDNGMVPKRISIFQ >Et_5B_045544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4000482:4002703:1 gene:Et_5B_045544 transcript:Et_5B_045544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPAVNVEQLWSMVPADKAAEAGADKAPQVDVTQFGYFKVLGKGRLPEKPIVVKAKLISKIAEKKIKAAGGAVNFWRD >Et_3B_028708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18231806:18238367:-1 gene:Et_3B_028708 transcript:Et_3B_028708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEDGGGMTTYGEIEQYFNNLGLDGPSAQERIDFIFPFIVSLLPQPLVPAPEADGDSDSDDECFSLISSSSSDSDSGVDPAAVPPAAPSDGEDHISRLPDSLLSDIVSRLTTKEAARTVALSTRWRRVWAATPLVVDDAHLAGDEGIRDIPIVRAASRCVAAHPGPVRGVRLTCVSFFHHEYALRHLVAALAVKGVQDLILFNRPWPLNMPLPEDTLRCASLERLYLGVWQFPKITAARAPAFDKLRELGLFHCIVENEDLDALLAHCPKLEVFSLVMGYKSPSRLHVVSRSLKVAVEWMSDLDEVVIEDAPCLERLMFQTIAERRPIKIVRAPRLEFLGFLDLKLHTLEIGGIAIRAGMKVRARAMVPSLKILAVNVRFARSEEAKMLPTLLECFPFLETLHIKPVPSKYPDTAHDVEFWESLGSCKCLESHLKTVVFHGPLTQNHEFQFQNYIAREGKVLETVMVPWSAGMEDHLSSGFSGESNAAVGGGESNLPSGVIASHWRFQNAIDLALNDPFCVSLKVLAHILRGLAHPLLREEPIWRFAPQWAYSSLV >Et_3A_025055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26436856:26438417:-1 gene:Et_3A_025055 transcript:Et_3A_025055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPTKTIKVPEGFDYELYNRNDINRILGPRASCISFKDSACRCFGYMVSKKKYIYTIDDDCFDIDALAQHIKNLLCPSTPLFFNTLYDPYAEGADFVRGYPFSLREGAPTAVSHGLWLNIPDYDAPTQLVKPRERNQRYVNAVMTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEDIIPFFQAVVLPKDCDTVQKCYVALSQQVKDKLGKVDPYFTKLADAMVTWIEAWDMLNSGEAAANGK >Et_9A_063408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23312677:23316477:1 gene:Et_9A_063408 transcript:Et_9A_063408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLADNAPKSMKEQKFESYFGRKIAVDASMSIYQFLIVVGRTGMETLTNEAGEVTSHLQGMFNRTIRLLEAGIKPVYSKREDATKDLTEAVEEGDKDAIEKLSKRTVKVTRQHNDDCKRLLRLMGVPVVEAPCEAEAQCAALCINDKVYAVASEDMDSLTFGAPRFLRHLMDPSSKKIPVMEFEVAKVLEELELTMDQFIDLCILCGCDYCDSIKGIGGSTALKLIRQHGSIENILENINKDRYQIPEDWPYEEARRLFKEPNVTLDIPELKWTAPDEEGLISFLVKDNGFNEDRVTKAIEKIKSAKNKSSQGRLESFFKPVVSTSAPLKRKETSEKPTKAAANKKTKAGGKKK >Et_3B_030919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8862533:8870073:-1 gene:Et_3B_030919 transcript:Et_3B_030919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFDSGGGVKAGGDAGDDGAAPPLPQTVQVGNSPTYKLERKLGKGGFGQVYVGRRISSPRLGDRNPGASALEVALKFEHQTSKGCNYGAPYEWQVYNTLSGNHGVPRVHYKGKQGEFYIMVMDMLGPSLWDVWNNSHSMSVEMVACIGIEAISILEKMHSKGYVHGDVKPENFLLGPPGTLEEKKLFLVDLGLATKWKDAGSGQHVEYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGFQGENKGFLVCKKKMGTSPESLCGICPQPFKQFVEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKKRGRLTVDENDDEQPKKKIRMGMPATQWISIYNARRPMKQRYHYNVADNRLLQHIQKGNEDGLFISSVASCSNLWALIMDAGTGFSSQVYELSHYFLHKEWILEQWERNYYITALSGASNGSSLVIMSKGTQYAQQSYKVSESFPFKWINKKWKEGFYVTAMATAGSRWAVVMSRNAGFSAQDKWSKNLYLASICYGRSSTCAFG >Et_10B_003213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17865160:17866472:1 gene:Et_10B_003213 transcript:Et_10B_003213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPERRRARRATRSGHIGAGAAGGEALVTDLPDDVLSAIFSRVSGAADAARCAATPRRRRARSGDLPRPAAARPVSPAPHARLPAPPGQARARPRAAALRSHGGVRRLAASSSARRRRRRSSFRSRSPRCVPQRPRRPGAPARQPRPAHHPERLEPHDERQVRAPTCSRSGTRLRVRVTHLDDLPAAAAADDDASASSPPGGFFRLLLVYNRRTITALRCYDSVSGSWGPEAAKPGAKIGDRALGRLGPAVVLRGVAYWLTHDAALGVRLDDGSMDVRSLPYLLTDHEADERVLGVSPDGASLRYLAVCFTWQTTLNIAFLATQLIKRDGGFDGLWDPVLDRHVAVPGVGRVSTRTTSFKLRWFGEKSGTLLFTVGHDAGGGGTSSGAVYALSVATGALEKLAEGDGYHACRDMCGYEMDRAALLASVPPPR >Et_1A_007603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36347727:36353753:1 gene:Et_1A_007603 transcript:Et_1A_007603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANCLAQTNALFRKNLVIQRRACKTNCCLICFPFLVCLLLGAGQIAVTAFYLRSVGANGPKMDCGYCAASTNASFIKDTLGGLDCPSICPLPFAPRAPPLLQLPPGDSELGPDDGLSQSTNLQGSSIRRLASSAATFLVTGSNQSFAESVMSNMFPNLDSPNFTADISTLADFALGTNAPRFESLGAEDLSSDIYGFGQLYFLQGSCMANSTLSFPVQEGSSNFTKGYYQENNETNKIASAYDFTSSDFNKFNLIVSYNPIYKGPDHIPILLIPLPSILLRLPRLLNLVSNAYLQLRGNDTKMRFEFVKDMPRAAQPMPVPDISFILGKLLFVWIIMLLFPVILGNLVYEKKQKLRTMMKMHGLGDTSYWTITYCYFLLLSLLYVLFLVLFGSFVGLKLFRLNNYRVQFTIYFAYINMQISFAFLMATFFSNVRTAAVTGYLFTIGSGFLGEYLFRPVFEDTSLSRHWTTLMEFFPPFSLYRIIYEFSPPPSVLYRSDFSGIQWRDLSNRENGMIDILIIMVLEWFAFLLLTLYLDAYGSLRKGSCGSCLKGNAQAAQGQPLQLQEFTASVETGSTDVFREREIVEQLLQESDSSYSVICDNLMKVYHGKDGNAAKIAVKRLSLYTQRGQCLGILGPNGAGKTSLIGMLTGFTKPTSGTAYIDGMDIRLDMDKIYTGIGVCPQFDLLWDTLTGREHLMFYGRLKNLRGAGLAEAVEQSLKSVHLFDGGVADKHVAKYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRKALWNAVKSAKQNRAIMLTTHSMEEAEALCDRIAIIANGSLQCIGNSKELKARYGGTYVLTVTAAEGEEEAVEQLVRSISPAVDRTYRISGTQKFEMPKQGVRISEVFQAMEHAKIWLNIHAWGLSDATLEDVFIKVAEESDISSV >Et_2A_018248.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20140110:20140625:1 gene:Et_2A_018248 transcript:Et_2A_018248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRDGWRSGSYKAAIANADQELVSAPPFGDPACFLHVDALPLRDTLDAEVDAIRAAASGLRPVSAAAFRLRSANEHRKVAEEFARRRGDGRNARNDELCRGVQAAMDTGVPGACAYCGCSFSVVAVVELMGMGKVCGRCGRGLRAHQPVPVVRAAAENEEERFKGWTPW >Et_1B_012606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33652890:33658405:1 gene:Et_1B_012606 transcript:Et_1B_012606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARVVVFPVKGRAWCFLRPRASAAAAASAGGDGALPPPPTLKDLWRGVASAERTPPEKAEAIVDFVADKMNRAWIGFGSAPEGSMKNRIHSFGLKLLSRMRPSEVLLKSVTKDVRALEIVHPASINPRLVRRRLRHIAVRGESVHRKFLYGSVCLLPITSVFMVLPLPNIPFFWVLFRAYSHWRALQGSERLRLLVSDCSDQWKVLEKKEDINSGKNGDDANFSPWNLRPSKKLDVFLKMRNLDEGLDCAIISSICKEYDLDKIDVLKYRDLP >Et_3B_029406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24764184:24769567:-1 gene:Et_3B_029406 transcript:Et_3B_029406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAVDKATSIDAQLRLLAPKKLSDDDKLVEYDALLLDRFLDILQDLHGADIRETVQECYELAAEYESKLDPRKLDEIGNVLTNLDPGDSIVMTKSFSHMLILANLAEEVQIAYRRRIKLKKGDFADENSATTESDIEETLKRLVHQLKKSPMEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRNCLTQLYAKDITPDEKQELDEALQREIQAAFRTDEIRRAPPTPQDETRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYNAQIEDLMFELSMWRCSDELRAKADQLHRSSKKDTTKHYIEFWKQVPPSEPYRVVLSDVRDKLYYTRERARHLLASGFSEIPEEATFTDIEQFLEPLELCYRSLCACGDRSVAYGSLLDFLRQVSTFGLSLVKLDIRQESDRHTDVMDAITNYLGIGSYRDWPEEKRQEWLLSELNGKRPLFGPDLPKSEEIAEVLDTFHVLSELPSDSFGAYVISMATAPSDVLVVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSVEWYRNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEDLIKVAKLHGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAVVATKEYRSIVFEEPRFVEYFRLATPELEYGRMNIGSRPSKRQPSGGIESLRAIPWIFAWTQTRFHLPVWLGFRAAFKHVLEKDIRNLKILQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEDLWSFGERLRSNYEETKQLLLQVAGHKDLLEGDPYLKQRLRLRDSYITALNVCQAYTLKRIRDPGFQVNTRPHLSKDIMDAGKPAADLVKLNTTSEYAPGLEDTLILTMKGIAAGMQNTG >Et_5A_040342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15635337:15636884:1 gene:Et_5A_040342 transcript:Et_5A_040342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFADAYDLFCITLVTKLLGRIYYHKPGHPEPGRLEPRLTIVGQLVFGWLGDKVGRKRFYGTTIMLMIMGSFLSGLSFGNSADGGLGILAGCVVTLAVSAAFQARYGAPAFEEDPVASAPKEADYAWRIVLMAGAVPAVFTYRWRMRMPETARYTALVARDAGKAARDMSKVLKDVDLAGGDEPDKASARSIAGRDGGYGYGLFSRRFARRHGAHLVGAAASWNILQEEIFSDVKWVPRARTMSALEEAYRVSRAHAIIALCGTLPGYWLAVALIDVVGRKPIQFLGFAMMTAFMLVIAAFYDGLTSPGGRVCLLAMYAFTFFFANFGPNTTTFVVPAEIFPAHLRTTCHGISAAAGKAGAIVGTFGFMFAAQKADGSETDETGYPSGIGVRASLFVLGAANVLGILFTCLLPEPKGRSLEEVSGDAAESMNREDGDAGDSKVLPL >Et_4A_033806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26990533:26994103:1 gene:Et_4A_033806 transcript:Et_4A_033806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLDMSALETQFGALAGEDGGLLFDVDGAAMPAMEFPNCPDFDRFQAKTKDMVKNKRGTTTLAFIFDKGVIVAADSRASMGGYISSQTVRKIIEINPYMLGTMAGGAADCQFWHRNLGTKCRLHELANKRRISVTGASKLLANILYSYRGMGLSIGTMIAGWDEKGPGLYYVDSEGARLKGNRFSVGSGSLYAYSLLDEGYRFKMSVEEAGELARRAIYHATFRDGASGGCVSVYHVGPDGWKKLSGDDVGELHFQYYPVQKTPVEQEMTDASTS >Et_2A_017250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32444501:32445537:-1 gene:Et_2A_017250 transcript:Et_2A_017250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTEFHPQCVTKHPTAACDNTLTWSHHSTTIPFFSPSPISASASVSTVLLSFRTTKRPAALLKATKQLIKLLPRHRSQAPEGNVHYRFHRLGVEPLDVLGVVLLEDAGLVAGREDGADREHVVRSHGFEHLHLELLARVDEHSRFGRLPIEGVEQVAHSGEGAVEGIGRVGGLGEKGRQVPDRLRRQARDLHDERRVVQVLSDDAGEAVEVVVVDGEHGHRLDPVERGRDAALARDVGRPREQERVHDAGDGPPARGERVEELPDAARALGVEGLHVGVERPCAAAPDVPGLRAVGERDELEPPVATARTGVTLHGAVCGLLCRLCREHAAVGDLGGGERD >Et_8B_060083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5797952:5802459:-1 gene:Et_8B_060083 transcript:Et_8B_060083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HSASPRPQRTADRAPPPPPHLAAPAHRPHFPPQRVSLLVFYARRCLSFVPPEISAPGSTTGAMSAQRAAAASAKQVTKRNFAEAVRELGAHLEACDYVAVAAQKTGAPTGWRRALPVDTAETAYLKAKLAAESFQPLQIAVCPFRLHASPSKLVAYPYNFHLFPRDELQLGMPSYSFSCQSSYLSNMANDGFDFNMCIYDGISYLSRVQESLARQKIFTPQLRQLLPSASTSVADSVFMTRIKSRIVHWRKGYVEPSKTADGSLVSSLSKLIFGGESYGSRPSMSIDVCSDRQVQLVLEAVNLISDDLVPLVVPDKAGAARAVRLVFTSSKEDKNILLMDIKKSEEEQNFKFRGFREVIDLLSSSHKPVISYNCLNDLTMIHSKFVAPLPSNMHEFMCSLKMVFSNVVDISHMWREIGPLRKAKNIQAALSYLQRQYFVPMEIEIPHQGVVPAIIRHTKHGTSSITKNEQNVLRITKLFGKLSNLLKINPYHQLQSGEQCSTVEEYCNIFYPICVEDCDDVDFADESDTAKAVSSDNVVFLWGFRSKSVKELRSNLTGLHHAFSEDFEIKLLDKTCSALIFRSSDTAIKLLREISSESSSLNSFFSEGLQAAGFEVYRKVCRLGLWDSDLAEALEIASSELAVSTDSTLSECSTSQIYWNSSLMLDLKDIWSHKHYKPLGLFSMSYQINDSSHGPQLMSETKVDQFFFGS >Et_2A_017849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:772326:774409:-1 gene:Et_2A_017849 transcript:Et_2A_017849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALVGVGGGLSPCLFSASSCTSTSAFFSLRSIRYPRLLSCRLALPQRGVAGGERRTRRKCSRVHCASDVNVVAEDDMVDDGAAGDESDPDAAANDTGDSDGDVEGAFPVEDASVEWIKQQPLPYASDALEPYISKETVEQHWGVHQQIHVDRLNGMIGGSEWEGMSLGQMMLSSFNEGREQPHPPFFHAAQIWNHDFYWRSMKPGGGGKPPERLLKFINRDFGSYDGMIQQFMDAALTQFGSGWVWLSYKGSKLPYVNSKSPIPSDNYGRLVISKTPNAVNPLAWGHSPLLAIDVWEDRRADYVSAVLRKLVSWEMVESRLTKAVVRAVERDGPITKRQRKKQLLDRAKDQGRARPRQGKRPVRREGNQEVASSSPLDV >Et_2A_016837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28750391:28751020:-1 gene:Et_2A_016837 transcript:Et_2A_016837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTFEKVLSIESSDDEWEPATMILTRTGYKIVLKYVDEVVIEEEYSPALKLKIPIGRTTRFVLISSGGVTLAINTRGEDEDYDVRLRDLIVLVMRAFQEK >Et_2A_018004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8905690:8912556:-1 gene:Et_2A_018004 transcript:Et_2A_018004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVKQFIPCEIDEQVDSDANELVGTTTKLCSMLLGTVKQKLDKMMASRRRYDGTTPWQCFSLTKEQTTMRPFFIGYLRSTKSFFDVSNTPVRALYQKTKHVTSNQTNINSPSAGATPESDLTTADIIEHLERALIHVADDSETDIWPRLLESEAVVQQYPVGHVELDRGATAERQSNLRHGDVAVAGEVVACIQAVRVRRQERVSLHGLPERLLQRVVGRRLEPRDDLAGVYYHGAAIRRLWHAKPLPADADADQPHHVKCLLVTDAAKRREHEPRRAVARSAECEEPWLAVHRWEAVREGAAVNRGRLDGERLRRAAEGEQSVEPVVLLPLFTAAAEKKVLDGESRRQRQRLAAEHARRARAVAVLYEVGAVRAAVRAALAVAVDDESPAHVGVAGDGSVRGRLPRIEQGVLLMVTLGGVAWCALHPRHVAAGVDEENLGDGRRPDAHGDGVLQRVHEASQVCGDARVPILTTADDVARGRSAGDEVCAGAVAAECLGKARLVGGLRRRARSVPMH >Et_1A_008479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8013050:8017189:1 gene:Et_1A_008479 transcript:Et_1A_008479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGCLVLLVVVLAADAAAAARNGAEDGDWDPVIRMPGEKEPAGVGLDREEEDDGVGTRWAVLVAGSNGYGNYRHQADICHAYQILRKGGVKEENIVVFMYDDVANSALNPRPGVIINHPEGEDVYAGVPKDYTRSQVTAKNFYAVLLGNKTAVTGGSMKVIDSKPNDHIFIYYSDHGGPGVLGMPNLPYLYAADFMKVLREKHASNSYAKMVIYVEACESGSIFEGLMPEDLNIYVTTASNAEESSWGTYCPGMEPSPPSEFITCLGDLYSISWMEDSETHNLKEESIKEQYEAVKERTSDSNSYGMGSHVMEYGDRTFKGEKLYLYQGFNPENANVTNKLLHHGQKAAVNQRDADILFLWKRYELLHDKSEEKLEVLRTISETVMHRKHLDSSVDFIGKLLFGVENGRSTLQAVRPPGQPLVDDWDCLKRTVRIFESHCGSLTQYGMKHMRAFANICNSGTADTAMKQASISACGSYNSARWSPLVHGYSA >Et_2B_021562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30542003:30543647:-1 gene:Et_2B_021562 transcript:Et_2B_021562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLECRKMMAPTSKTALSVQQQAPPRCTLWFLCKGNLICTRLATAPIPVSRSMKSEEEDAAAAAERKGGPVQQPLGTFIQGLGRDSTSSFGDEPSSSSISGPGHYQQLPPAVAASDSETMMYNKQKQDMQSIFEEAERLRRERHIPMMPIVEELELQVLSSKRVINDLQDKLSEAHCVLFSLEREQEELRRQRDDAVREAAALRDKVRQLEDQLVVARGFTELSYGDLRETTNNMDEALRLGGGGYGAVYKAVLLLHHGRHDQSVAIKVLNPHGLQKQEEVVKELRKLRHPNLVPLLGACLQAPEASALVYEFLPGAGSLDDRLPHLPWAHRTRIAAQIRSALVFLHQNGMIHGDLKPGNVLLDDDGGKTTAKLTDYGLCRMLAEADAGAVLMRCAASLAYMDPEFLASGELRPSSDVYAFGVLLLRLLTGRPPNLGLLKQLQAALLQPEEGGSLLPDIMDPAAGAWPFPPDQAEQLARLAVACCEIASTNRPDLAGEMVARTLGCFLHH >Et_4A_033675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25757602:25758420:-1 gene:Et_4A_033675 transcript:Et_4A_033675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRVADENPQLLSKKAGSNSHGQDSSYFLGWQEYEKNPYDPVSNPNGIIQMGLAENQLSFDMLEAWLEANPDALGLRRDGVSVFRELALFQDYHGMPDFKNALARFMAEQRGYKVVFDPSKIVLTAGATSANEALVFCLADHGDAFLIPTPYYPGVIFTTTCAVAYSSSGTTCKWRRLG >Et_4A_033466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23033981:23045422:1 gene:Et_4A_033466 transcript:Et_4A_033466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FSIAAINENDSGGQWEPLAPTKEAQEFALSQKYHEGLLKLQQKDYAKACELLEDVDNVGSDQHLLQLRFLTLKNLASVFLQQGSEFFDNALHCYLQAVELDANDSVVWNHLGTLSCSMGNCMEKLLEVLIAIRDEVACLSVANLILRSWPSHHRALHVKETIECAEPVPFSPRGVDILEPKHVKLKFSNKRKSIDVEMHQQTRTKKSKVNSTLQLNEAKWMALLDGILSLLSGNIEKTDVDNCTYPSTEGSVHGIIDIVISTDTFNTVESTGGNGNDSHHEGEGVPSHDSKTTAKEKDVNSDIEHPHERRSTRLERLRSRKSGKDENESNGKDISHAMTQFLDPFVLKGQSSKEKVNCSGNTDICNPDTLNYTADHEAGDVKRFLIKMSRNFGPRHIGYMLLEEIAHLKIPFQDYFVRFIELDKLTRGWAQDRSALCSLFLAELYYDQALCSGSPSTSSELSDSSYHLCKIIESVALEMPLKTSVGEVDSIRLGLAMDNSRAAVSSSDQTASLVLARPENSEKTLSVNMPSDEKSVCESSSYTNFAFWVRFFWLSGCLSLSSGCKEKAYKEFSIALSILSNSNKEKGGEEPILLPHTKIVKLLTTDRILGEINLIKLESLLWNNDENISKITYTEFMKLLPPLLLSTKDVYVGSAYGQPREWDNVISLEVGALEVLISACEKAKPMNLEVYLDSHRRKIQVVTMAAGMVDSSVPIKEKSSSDEDYVEAMNRNRLENVVEAVKDVSRNASKAKDFIDQYNYSDGQDGWGSLVSVIGNIQSLLLTIMCAAVKMILSRKLLCSGTSYQVDQLESSCLIDTAIAFCKLQHLDPTISIKTQVELIVAVHDLLAEYGLCCAGRDGEGEEGTFLKFAIKHLMALDVKLKSQFNLNGMEDVVPENDRAEDRTTDEPSVCGSKHNSEDEDEEESVKMILWFTKIRAGEIIKRRNNVLISDPYSDVYGNLYYYIAQAEDISATDKYTGFVLKKEGEEFVEQSANLLKYDLLYNPLRYESWEKLSSLYDEEVDLLLNDGSKHISILDWRTNTTLIQRVEMGRRHSRRCLLMSLPLAKTTLKQSEIHEMLALVYYDSLQNVVPSYDQRSTLPVKDSTWENFCQNSMKHFEKAFELNAQWLHAFYLGKLCEKLGHSSAKAFSYYSKAMALNPTAVDPVYRIHASRLKLLYTLGKQNLEAIQVVADYSYNQSTKENVLNMLGSIINVQHSSSDQNEEPAPDSKMENKIVEPELLDKVWHILYDDCLHALGTCVEGDLKHFHKARYKLAQGLYKRGEAGDLERAKEELSFCFKSSRSSFTVNMWEIDGTVRKGRRKNPNVGGSRKNLEVSLSESSRKFITCIRKYMLLYLNLLEKNRDLWTLEKAYTYLRTDKRFALCLGDIVPVGLGKYLQVLTEAIRNPEVRQASGGASVEQLLEKMFSVFMDHANLWADISTLPEVNSQELSESNLYSYIHQYIHLLESDVRLDVLEGLNEKIRKRFKTPKLSNSNFAKICKHGSLAWCRCILIKLASITPLPNSMDAIEQPAPLSSGLQLFIDLQPDELLISSPDGPSQFKGLDMNWFETFNRIKNIPIKQTSEDNMETAVTVMKSTYNFYRESSCGTFPSGINLYTVTPSQAPIEGLPQGPNVHDILDLSIPRKLLLWVYTLVHGRYTNISSVVKYCDEMKSRNKRGTPTATASQPSQQAVQPMVHVTVSSQAKEKSTQVESTEAAYDANPTPHLTSSTEPGGSTSQTTIDAQKSTTVASQLICSSSSRAMENAEEGGEKK >Et_3A_024184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18250792:18251591:1 gene:Et_3A_024184 transcript:Et_3A_024184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASQGSRLKQTKVGLPGVNGLSTEQRKRITIAVELVANPSVIFMDEPTSGLDSPPATIVMRTVRNTVDTGRTVVCTIRQPSIDIFETFDELFLLKREEIYIGPLCHHSLELIKYFEGIQGVSKIK >Et_4B_038681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:409581:413465:1 gene:Et_4B_038681 transcript:Et_4B_038681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DGISDSDHGFDWDSDEDAEVTRSLDGAGTSEAASRNLDTPGPSTLAGPSSSLVEYFVGMGFEEKMVLKAIKENGDGGAESLLELLLTYKVIGNDDNCSNSGCVPRAVDNEEDDEDILQNWDDEDAGRSGTNHRVANCDDSDDEDFLQELSEKDAKIESLVKMGFPEDEANMAISRCGQDASISVLVDSIYASETAGAGYYDNLSDREDNSYGGRKKQRFMEGNIKKRKRFGGEAQGSRGTFDGCHDEPMPLPNPMVGFSLPNERLRSVDRWLPTQAIGPPFFYYENVALAPKGVWATISRFLYDIQPEFVDSKFFCAAARKRGYIHNLPLENRSPLLPLPPKTVCEAFPRTKKWWPSWDRRTQLNCLQTCTSSAKNVERIRLELMKYEDPPPQRVQKYVLDECRKWNLVWVGRNKVAPLEPHEMEYLLGFPTDHTRGINRTERYKALGNSFQVDTVAYHLSVLKDMFPYGMNVLSLFSGIGGAEVALHRLGIRMKTVVSVEKSDKNRTVMQSWWEQTQSGTLIEISDVQSLTAEKIESYIRRFGGFDLVIGGSPCNNLAGSNRHHRDGLEGEHSALFYHYFRILDSVKSIMGRM >Et_1B_010236.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31435471:31435710:-1 gene:Et_1B_010236 transcript:Et_1B_010236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPEHVPPQHYHCFLQLCCWMIWKHRNSFVFCSEPLSLPQLLRSCREESRLRRYRLPSVGRSNWNLGIPNPFSQANK >Et_2A_017586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3895632:3899996:1 gene:Et_2A_017586 transcript:Et_2A_017586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGSRRRVEDEVALTRQRTVRFHDEKAKPTIPIHQKQAELAASRLGLGSSGKNKLFVAGHNLGFSKIIDPSSDFILRWTYIFRITCFIALFMDPLYFYVPKIVYGPRTCVGEDRRLAIIVTVFRSIADLFYAMHIVIKFRTAYINPSSTLGVFGRGDLVTDSKDIAKQYLRSDFAVDLVASLPLPQIIFWSVIPAIKYSSSEHDSNLLVVVSLFQYVLRLCLIFSLNNRIIKVTGTFAKTAWQGAAYNLLLYMIASHVLGALWYLLSFDRQASCWKRYCRQETNETGCQPWYLSCVLKPEPDPNWANKTSVFTSCNASNDSISFDYGMFKPFCYGQTLSVSTYMGETLYAIFLAMLGLVLFAHLIGKVQTYLQSITARVEEWRLKQRDTEEWMRHRQLPHELRERVRRFIHYKWLATRGVDEESILKALPADLRRDIKRHLCLDLVCRVPFFSQMDDRLLDAICERLVSSLCTEGTYIVREGDPVAEMLFIIRGKLESSTTDGGRTGFFNSITLKPGDFCGEELLEWALVPKPTVNLPSSTRTVRALVEVEAFALRAEDLRFVASQFRRLHSRKLQHTFRYHSHHWKTWATRFIQHAWRRYKRRKMANDLSTRESFSSVRSFNDGASPEQNLAIRRGTHIIKELPKLRKPSEPDFSAEHGY >Et_8A_058420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7135701:7136542:1 gene:Et_8A_058420 transcript:Et_8A_058420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAPKSSPLPPYPEMILAAIDALNDKNGSNKSAISKHIEGKYGELPPAHASLLTAHLARMKESGELIFLKNNYFRADAPDAPPKRGRGRPPKQRDPNAPPPPPKPTSPRGRGRPPKAKAPQDALDAAVEQATAGMPKPRGRPPKKAKTAAPAAPAAAPAGDGSAPVKRGRGRPPKVRPAVPSETAAA >Et_4B_038077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26080853:26085905:1 gene:Et_4B_038077 transcript:Et_4B_038077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARSLFAGVLILAGLIGVAVHGAGADDDDHFVYNGFTGARLSLDGTAAITPTGLLELTNGTAQLKGHAVHPTPLRFRRSPAGPGGPVRSFSASFVFGIIPAYPDLSGHGIVFFVGKDNFSTALPSQYLGFLNSSNNGNASNHVFGVELDTIQSTEFKDPNDNHVGIDVNSLTSVAVNDAGYYDDGDNGAFHGLSLISGKAMQVWVDYDGETTQISVFLAPLKMSKPSKPLVSATHNLSDVLVDPAYVGFSSSTGTVRSRHYVLGWSFAMDGPAPAIDIGSLPKLPKFGPKPRSKVLEIVLPIATAAFVLGVVTVIVLLVRRRLKYAEIREDWEVEFGPHRFSYKDLFRATEGFKCKMLLGIGGFGRVYRGVLPKSKLEVAVKRVSHESRQGIKEFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYGQEDKPALDWAQRFRIIKGVASGLLYIHEDWEQVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPELARTGKASPLTDVFAFGAFILEVVCGRRPVEQSMNDNRLMLVDWVVEHWQKESLLEVVDPRIRGKYDADEVILALKLGLLCSHPLPGMRPSMRQVMQYLEGDMPFPELTPSHLSFSMLALMQSEGFDSFILSASHPSSTAIKPHAVRRATMSLLVFLLLFSLGLVLPSGDALDFTFNGFAGANLTVDDSASVTPDGVLVLTNGTFTVKGHGVYPSPVQLRSPAPAAGGGVVSFSTTFAFGILSEYADLSAYGMAFFVAPTRDFSATLPSQFMGLFNTSNVGNASNHVFAVEIDTLLNVEFGDINSNHVGINVDGLRSVNAATVGYYDDDGGSGAFRNLSLISGKAMQVWVDYDGRSTQVDVTLAPLGVAKPKRPLLSHAVDLSTVITTNTSYVGFAAALGAMSSRHCVLGWSFVLNGSAAPPLDYSKLPKLPVAARGGGGGGKSSEVLEAVLPIGIVALVLAVAGAGFAFGWRRAKYAELREEWEDEFGPRRFTYKDLFRATGGFDDAHLLGAGGFGKVYKGELPVSKVEVAVKRVSHDSRQGIREFVAEITSIGRLEHRNLVRLLGYCRRRGELLLVYEYMPNGSLDKYLYYDHQRRKDTATLDWAARFRVIRDVAQGLLYLHNNCEQVIVHRDVKASNVLLDAGMGAHLGDFGLARLHDHGAHPRTTRVVGTIGYLAPELARTSKATPRADVFAFGVFLMEVACGRRPIEEDVLLVDWVLRRYNSDGSLDGCVDARLQGEYDADEVSLVLKLGLLCTQVSPDARPSMLQVGQYLDGTLPLPEPSHTALDFGTMASLQSNGFDSYVRWYPTSSTASDTSHGTVSDLSGGR >Et_5A_041810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3043561:3048172:1 gene:Et_5A_041810 transcript:Et_5A_041810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCHTVLASLLLWLSAAPWLPAVAGGPLAPPAGRRECQRKCGVVDIPYPFGYGPDHCMRPGFQIKCTHTGNGVFKPFLGTTNVEVLDISLPSGQARVLNNISYYCYDASSQKMKPSEWHWDLKGSPFTFSDTANKFTVVGCRTLAYIGDNKDDADAYTTGCVSMCRPNDKAALINGSCSGMGCCQTAIPAGMQYYQVWFNHGLSSRNSKSPCSYAVLTESSNFTFSDSYVTSSSGLHNQAVPVVLEWSIGRDNCGIARLKPGYACVSNNSECFNAADRGRGYICNCTQGFEGNPYLHDGCKDIDECGDRTKYPCIGECFNTNGSFTCSCPAGTRANASIQACQRDPFPTGAGLAIGMRMFPTKLRIFVVVLVVLIVFLAIKVFHKMRSNKRQGYFEQLGGQMLLRILKTEGNTDFNFFDRGGILKATRNFHKANIIGEGAHGSVYKADLTVGGATTTVAVKRCKQIDKSLTEEFVQELVILCRVSHPNIVRLLGCCLHFEAPMLVYEFVRHGTLNDLLHCHGRGRPRRVALATRLRIAAEAAAALAHLHAPPHATLHGDVKPENILLGDGWAAKVSDFGCSTLDDGVQVVPKGTLAYLDPEFLQDFQITDRTDVYSLGVVLMELLTRRKPPAKEQKNLRIMFQESMENGTLGELLDADIVDEEGGALGVIYEAAELACRCTAVPGETRPAMAQIADEIRRLSNRVPERAQALQDLEGPGYDDDGFASTESESVGFYSLGSKAALSTELAR >Et_10A_000929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19225871:19229080:1 gene:Et_10A_000929 transcript:Et_10A_000929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLGLLPHAALRLASASSSSSRAVAPSRARLPYPPLPFPTYRRAASAAPPHLHSRRSHLAPRAMSSSSGNPYAAELAAAKKAVTLAASLCQTVQQDIVESDVQSKPDKSPVTVADYGSQILVSLILKMEAPGSFSLVAEEDSEELRKDGAEEILENITDLVNETIFDDGSYNISFSKDGILSAIDDGKSKGGPSGRHWVLDPIDGTKGFLRGDQYAIALALLDEGKVVLGVLACPNLPLSSISNLNGSSLGDQVGALFSATIGCGAEVESLYGSPPQKISVCSIGNPVDASFFESYESAHSLHDLTSSIAKKLGVQAPPVRIDSQAKYGALARGDGAIYLRFPHKGYREKIWDHAAGSIVVTEAGGIVTDASGKDLDFSKGRFLDLDTGIIATNKQLMPSLLKAVQETIKEQNQAASLL >Et_6A_047504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6663011:6667379:-1 gene:Et_6A_047504 transcript:Et_6A_047504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDEKMMSTVDAGTEAVVPPEADGHGGGGSIDAMPDGVLEHILGFLPAEEAVRTSVLAPRWRHLWKSAAGLRIGCRDDDGGPRAVKEYRDFVDHLLLLRGDSSLEACEIRIGEIQVDDKRRINLWVRHAILSKVRVLKVHKCSDHSLSLDDLPFISQHLTRLELHNLGLHNNSLDFSSCPALEHLELNGCGLSRAKRIVSGSLKNLKIISCCFNESYHDFYRVHVCAPNLVTLRIDDIMDTCPMLYSMPSLVEAFVRFRNLHTGGTSCVLLNGLSQAKSLALISDPYVTIFKGDLRWCPMFSNLKTLFLNDYWCVPDDFHALTCILEHSPVLEKLTLQLFSEGPNHKVEMKGGFCLMGPPATISEHLEIVEVKCEAVDERVLKVLDFLSTFNIYGNVSCSLERNLMSHLVVIAERMSGSKDGKERCMGQGVLTVRRQSPQENLCWFLHKLLLIFSDSTLPDRDLCYPRTHRLETSIEDAGNKEVVLPDIRGGGGIDAMPDGVLEHILGFLSAEDAVR >Et_3B_030345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32307722:32310307:-1 gene:Et_3B_030345 transcript:Et_3B_030345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDWLAVFLLLVATTTTSQVQLVHGELHVDPEDISKTITVYNRNGMGSIGHGSSVQQEWHTSGSCRAGTIPIRRLPKNVIEPNITMMQPFTRSSDTVVTGNSNSPTDESSITCIAVAGTVDTAWVIDHHPGDFPPDTTNQIVVGLMSLPYTPSGCKLFASRIYRGRETMVVRDKDASTFNVTASFRQWWVSVNDTVIGYFPHTLFPTFFPNGHVNQLGGIVHNSRPHGMHTDTVMGNGRTPESGGSAVVKAYLAVAANGMDKKDRPITFGATAPKCYDTTIQSLHLLR >Et_10B_003158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17107505:17113324:-1 gene:Et_10B_003158 transcript:Et_10B_003158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAVTGQLSDGASRGHEMPEEAVIGDDVQKPREGDEDRGNGGGDHQEHIENFAKIEAKLLTDGPVLPTNAWPRDMLQIFSVKVTEVMGGLRWPLDVYGHVAVRDSLDHKRNYIFRREREDCQTLASPQDASLKFTGPSRAVVLMDPVLFEVDLKVKSSGTPFECEDKVLSYNAFFYNCIVQTREFAQRRVESTEHSTMEFVFAHVKHAVEATIEVQVVEGSTDFMARFMARIAGIDEDVVLLDSLDRKVVVAEDWVVRFQRRVVAVKEEGRMGLLTLHVEATEGGDGAGIVKKVGFRPRVALRSQSFMTLGFCKLSIVVAWSMLNIEALHESHYLQRHLAPNSAVHRLLNPEHHLPQRAAQGHGEHRDAGVPVPRAHHVLARQALGEEAEVRAGRRRAQRPLDVGAVAGPPVLRDGGELAREERVVGRDADGGGGEGVRGAACLGVAPGLLRPREVRGLEGERAGGVRGRILERGNVLRRGGC >Et_2B_020644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22354047:22354886:1 gene:Et_2B_020644 transcript:Et_2B_020644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVGGAVVAGTTAPHVLAVDDSSVDRAVIAAILRTSRFRVTVVDSGKRALELLGWESSKLKQIPVVLMSSENVPTRISRCLEEGAEDFLVKPVRQSDVNRVYSRVLQ >Et_1B_013463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8683003:8687785:1 gene:Et_1B_013463 transcript:Et_1B_013463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVAPAPLPHVRVRDGGIGFTRSVDFAKVMSAPSTGTMRTNSSRGRALVAKSSSTGSDTMELEPSSEGSPLLVPRQKYCESIHQTRRRKTRTVMVGNVPLGSDHPIRIQTMTTSDTKDVAKTVEEVMRIADKGADIVRITVQGRKEADACFEIKNSLVQKNYNIPLVADIHFAPTVALRVAECFDKIRVNPGNFADRRAQFETLEYTDDDYQKELEHIEKVFSPLVEKCKQYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESALEFARICRKLDFHNFVFSMKASNPVIMVQAYRLLVAEMYNLGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLMDGLGDTIRVSLTEPPEEEIDPCRRLANLGTQAANLQIGVAPFEEKHRHYFDFQRRSGQLPLQKEGEEVDYRNVLHRDGSVLMSVSLDQLKAPELLYRSLAAKLVVGMPFKDLATVDSILLRELPPVEDAEARLALKRLVDISMGVLTPLSEQLTKPLQHAIALVNLDELSSGAHKLLPEGTRLAVTLRGDESYEQLDVLKGVDDITMLLHNIPYGEEKTGRVQAARRLFEYLQTNALNFPVIHHIEFPKTIDRDDLVIGAGSNVGALLVDGLGDGVLLEAADQDFEFLRDTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVQRGIAMEGATEALIQLIKDHGRWVDPPAEE >Et_3B_030993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9825152:9826575:1 gene:Et_3B_030993 transcript:Et_3B_030993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DLVRTESTGKEENQLIHDQPREISLWERLGNAAPLDHIESSDFHGGTVNSGGEVLFALFNSSGLNGLPKEAAVVIKCASSKMAIRAERLGYEFARLLRVKTPQARIVHKSCEEWQQIKHAAENARAVAVSTNDEVGKTTCSLLLKDLEKNSCLLLMRYYIHGSPLLENSKASNSLEAACITASSLGRVLMLDLIIRNEDRLPCCQLGWRDSKIPKALWVVPINRLLKNFRERSVLTLKVALDKKLHGKVSMDWQHKRPEAKLCPICGKNVGLSTSSLKLHLKKEHKRLAAGSVDSAPRPWKLRRPLSRSGPVVAGAPESMHLRR >Et_10B_003259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18301137:18305550:1 gene:Et_10B_003259 transcript:Et_10B_003259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATQVGTYFLRNYYNLLQQNPDVVHQFYSEASTMVRVDDLAGTNTTVNNMMDIHSLIISLNFTQIEIKTANFVNSWGDGVLVMVSGLVQTKEYSHQRKFIQMFFLAPQEKGYFVLNDYFHFVDQEQVQSAPVIAQENFESNLASNTVVETVPEYSHEEESQAGQYAVPVTSEDNDAVENYNYSEPSQQVVSQPDNWGEEPLPEEPPSSFSNGMAVAPEEPVQPPPVPPPHVEEPVGEPVKKTYASILKTAKAPPALPAQPAPVSKLPHPTSESNQAHHSVMTSTAAAERPRPDGYGEVAAHDDEGESKSVYVGNLPSSVTEADLESEFKKFGRLIPDGVAIRSRKETGGYYAFVEFEELSGVHNSLRASPIEINGRQIYVEERKPNSGIRGGRRGGRGRFGGGGRGYGRGGGDEYNGNRGRSNGYQRGPHQERGILGSHAARH >Et_6B_050089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5895287:5896174:1 gene:Et_6B_050089 transcript:Et_6B_050089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHLVFFPFRRRATSPPASQLATLLHRRHGNGITFVHTEQNRRRLLSARGPGALAGAPGFRFVFVPDGLPPSDEDSAQDMAVLHSSFPSTVPHHLRTLLQPSDPALATVVVSDMDHVLLAAAQMGLPCITFWITSASSFMAFQQCQQLVAKGLIPLKDAEQLSNGYLDNTVIDWVSGQPKAMRLRDFPSFIRTTDPDDAVLALTLCSMECLRTVPSVIIFHTLEELEGPVLREEEDEDGTLLPGSSNLSMEDRACQ >Et_1A_004575.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:19622768:19624068:1 gene:Et_1A_004575 transcript:Et_1A_004575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLKLNDDARVGVGVDERRHEVLPPVPSHDVVTRAGGRVPPHRAGVARVGAAAVDARARGGHLDRRGGVALEVSVAVRVGHRAGQHRRQVDHHAVHAHVAVRRAHRLAAMGPAPALVTSGEAGVGGVERPRAPAGALRGGVAHPHVRGRARRRRAVGPARAAAQALHRHVGGGEHHGDAARRDAETRGEALHLVTRQGLSQRAGLVARLARQQHARRLLAAGPAHVPVHRLPPPRHRRRRRCDDARRAEPVRDVLRPRAGAARRGEREEEVGDDGLLVRREGAVVEDGHRHGAVQHRAVVVRHGSRRGLVELGMAWVCEADNQQQRQQEAGALTYLRHGCAVQIKELMSLKLQRLRCRRFDRYTQRDAV >Et_2A_015200.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4547305:4547397:-1 gene:Et_2A_015200 transcript:Et_2A_015200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPCPCPPVLIFSRNTFASVVACLDCSFA >Et_9B_064980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20112264:20118621:-1 gene:Et_9B_064980 transcript:Et_9B_064980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPATADLPAGASVPTNSTLRNRKQRLAPIDTSRGPREGSSREPAPGSERAGKARQEEEEEEEEEGAMAPAAAGGRDLEAGEPMSPAGRLFRERHFNCYIVAVIGLGAPVDVATARAGLEATLVRHPRFCSVQVKDDVKKNAKLRWVRTTVNLDDHIIFPFLDPTATSANPDQAVEDYLSSLSTAPMDHSRPLWELHVLDFPTSEATATVAVRMHHSLGDGVSLLSLLIACTRSAADPARLPELPPAPRRAGPVYARAQPPLAAGLAALLLWAWSYVALAWHTLVDVACFVATAWFLRDPRTPFMGAEGVEFRPKRFVHCTLSLDDVKFVKNAMKCTVNDVLIGVTSAGLSRYYFRKTSDANGESGEKSQKDICVRSALLVNIRKTPGLHAVAEMMDSSKNNGAKWGNLIGYIILPFHIAMHDDPLEYIRQGKSTAERKKTSLEAIFTYWSGNLIVKLFGMKAAAALCYGMFTNTTLSFSSMVGPVEKALTVHYQSYTNIVKLVLAVDDAQFPDCHQLLDDFAESLKLIRQAASTR >Et_7A_053147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8201375:8202032:-1 gene:Et_7A_053147 transcript:Et_7A_053147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKALLPTFAADQKKTKKLLLPLPSVQLVCLWALLNAFAIVAGFTIATTFPATCSPSSWIFPCVNGTAEQVVEAQALLTGILWCAAPQMAAAAALLLPRRRRRCRWSLAFVALAGTGAMHFLMARLILTFLAADPGYHFMRVVVAGLNYIYAVFDIVGFLGLLMGGVGEDK >Et_7B_055563.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:14588260:14588886:1 gene:Et_7B_055563 transcript:Et_7B_055563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKASSFLKQMMSTIVAVVKAKSTVVRAKTSALKTRLLIFGILRNKKLLMTAINTKIHAIMGQRQQQQQQDNIDGKKQDDGASSSYSDEEAVKKAIVLYSAPSYSFSTELGAHDAAEAEEEEDSDEYLTHTLFAEEEEEDELVRAPGSVIDVMRDAREREGGDGAEFRLEDEIDHVADVFIRRIHRQLKLQKLDSFKRFCEMLERGA >Et_4B_036467.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:25832789:25832905:-1 gene:Et_4B_036467 transcript:Et_4B_036467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAILKISAAAFIWAPNALPRLCLPAGLSDATILAKE >Et_9A_063010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8046491:8047894:-1 gene:Et_9A_063010 transcript:Et_9A_063010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPNAWQVPAVQVPAHPAPTINAGNHQAPPEFGLPAARSFNAEHHYTAPALFGFLPRTSINTEIHHVPAYGDATLVQGHQSVVEHGTSWIDDMLAGCVIRPPASISLYDLVVNHGKEELLALSGSIETGYAIGGAAGEDHGITPDVHGQLDRTKCHTGREVLHDSGKHINRYPKYILCALLAIVILPVIFVVHLIDNNKTHFYSHGSEQGQYQHAIFNPMCTSVDDQTETVQLQYHDLSNRTHEWSHNFMVSSVETLKNDNSGPLKNGWQTFCALPRNNDAPLELEVDMLNNTLYVSAANAERSLPEEQDDCAGNSLEAFDWAGFHPEILESSQDTDGVSGTSATMYPSLRDKLREREQTELSKLSTKRA >Et_2B_019237.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19249843:19250409:1 gene:Et_2B_019237 transcript:Et_2B_019237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVLFGEENRSIVAAAGIDSSVVDLPPRSGGGGHYRPASAVSAASALRWVPYDEAVSAVRALLRVSHEDLRHDAQSLSRSFGDTFFGAVAADATPVAAGGARFPEGGLYVCADLPPLAPALRDVQRALVQVSVTDASQGPCHWYFDTVGYAMHLLVGDAGDGSVTCGSVVFGREKFESAFALEWVDA >Et_10A_001320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23337565:23339256:-1 gene:Et_10A_001320 transcript:Et_10A_001320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKIRSSACASYGMMRGAEACNVGGLVQIWVNKTLGEGNFRCRNYPEKTAKIGLCAINSFKKKAFHLMGCLCSKGAKDDVNATSENRTPLRNDDSAAPVSANTDAITNNGGTALLNANINEITTNANILQAGGGEKVLVALDVRISSGNNADLKGLSGEHVVAGWPAWLVNVAPKAVEGWLPRRADSFEKLAKIGQGTYSIVYKARDLETGKKVRSFNIDPESVRFMAREIHILRRLDHPNVIKLEGVITSSVSQSLYLVFEYMEHDLAGLIAFPGLKITEPQIKCFDQQLLHGLDHCHKSGVLHRDIKGSNLLIDGNGLLKIADFALAISYDPSNPQPLTSRVVTLSYRPPELLSAHGFPGHGPFRIPRVGRNLRVVGSWLERLRLCSKQHIHSFRKLESSYFKAHLD >Et_3A_024812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24577436:24580509:1 gene:Et_3A_024812 transcript:Et_3A_024812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTALPATAASVLLQPSPRRFAGASPSTSPRIASTSRRDGGSGVACSCSPGPPPAIPAERRGSGAGQATSPERTVRIVTVVGEGSVSPIKDTPWEEVMRHTADRLKWVDEGFEMLVFSDNSIQQGELRKELSCCDMLVNVAVTSDESVRWLTENSKDISNVICFQSSPSLVNKLGGTYVNYTGEQDMFGKLASIGKPSDLKESAEVLKTVSNAWERHNSDDIRFCLLVVVNAYIRPVGMLKNLRAKGLSTLSCMIKNCGPQVLNCLFDPNCRKALQCLNSCSPTDQVCSYRCIASYESPHLEAFSLCVLQKNNCLDLNAEIPSKPNVMPLTMFREQTLSHELAEDLFIGWLDSMDWSWRVAAGQNPAYDQFPCQYQLFYRGKAKGSFWYEPVFQVKTLEGKLVWRRRRYRVRRASSPGTFYFSVLDNGVVSKEFWTIVDVSDDFSWGLFHYHGAAQAAGQAYTGAVLVTPDGSYPDVENPRLASALEKCGIKKWELYMVDNCSCIGAPLGTPEGSKLHYQITPGQETEAIHLGHGFLLLKILHVMLYC >Et_6A_046378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1472296:1475990:1 gene:Et_6A_046378 transcript:Et_6A_046378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGSPVNRLARRAVKGPLEKAGLAGLAAVAVAAAALLLLVCAASLRCSAAFGSALAAAPRKLWTGGVSIAAEASSSSAAAAAAGEGARRNVAEEECDPFDGKWVWDDGYPLYDSRDCPFLDVGFRCSENGRPDASYTKWRWQPARCDLPSSIYEVNGNPISKHMGFLIFNFIDYNCTVEYYRSPFIVLQGRAPAGAPEIVKYTIRVDAMDWMSDRGKWKDADVLIFNTGHWWNNEKTIRGGAYFQVGNEVKMDMTVADAYRRSIQTLSDWLHKEVNTSRTHVIYRTYAPVHFRGGDWNTGGSCHLETLPDVTPLTSLQEWADLLQPVNDFLGDNLRPKLHGVNMLNVTQMTALRKDGHLSVYLSPSGPVPRYKQDCSHWCLPGVPDTWNELLYALFMKRQMKMDQNVSLAGTDTLSIGR >Et_4A_033501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23889009:23892235:-1 gene:Et_4A_033501 transcript:Et_4A_033501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRKSEADMMVYVHPSNAADVRCAVARQISSLLFSYEDHFDGVLLAHEFAIGVTNDKKGKEENTGKEDNRGKEDNMDNQKNIKAKTLNGLVPYFGVPVQASLLLFSPQPNMMLEGMVEMLGKESINATVLGVFSAAIMSDDIHEKKGDGGRFVSRSDKHHVIEKGSMVRFSVKSDKRRSRDTNIKVEQDEQEYGEVNNKNGVRNSERPHESRKRSFEERY >Et_2A_017097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30943058:30946510:1 gene:Et_2A_017097 transcript:Et_2A_017097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLALYLLLPLLAIVVNPFVYLRRAESRWRGDNHYRARMLLPPSPWALPVLGHLHHFVGALPHRAMRDLASRHGPLMLLRLGGLRIVVASSADAAREVMKTRDLDFATRAMSRMMRLAFPEGAEGIIFAPYGEAWRQIRRICTVELLSARRVQSFRPVREEEAGRLLRAVASAAAAQTVDLSELIAAYAADSAVRAIIGNRFKDRDAFLELLEGSVKLFAEMSLPDLLCSLRRSFTVPRTTKHRKTLPPSCLTMLVSRMPGQMNRQRQELVGFMDAVVREHEENKATGDAKEDLLDVLLRIQREGALQVPITTDNIKSVIGDMLGTGSETAATTLHWIMAELMRNPRVMQKAQDEVRCLLAGQAKVTEDDLSKLHYMHLVIKEALRLHPPAPLLFPRECQSACRVLGFDVPKGTMVLVNAWAISRDPKYWDMHEFVPERFEHSKVDFKGTDFEYTPFGAGRRMCPGMAFALVNVELVLASLLYHFDWELPRGMEPVDLDMTEEIGVTVRRLQDLKLIPVIRVPLCP >Et_2A_017447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34479519:34479886:1 gene:Et_2A_017447 transcript:Et_2A_017447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQ >Et_3B_031424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2973727:2977542:-1 gene:Et_3B_031424 transcript:Et_3B_031424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPILTLPAPEGDGGGGGDAQQQQAAPPPPPPPPGARADPPATVVTHTLPIGIIHPPPDIRVIIEKTATFVAKNGPEFERRIISHNQGNAKFNFLQPSDPYHAYYQHRISELAAAPPGADAPSGGEPDGGAGSDGATLAPAPADGTAPADGAPTADAKPDHSAPFRVLPPTKVLVPPKAELYTVRLPEGITGEELDIIKLTAQFVARNGKNFLTSLAQRESNNMQFHFIRPTHSMFPFFTSLTDAYSRVLRPEEGVPALLKELREGSKDLTTVLERCLNRLEWDRSQEQARQLAEDEIEQERLQMSMIDWHDFVVVEEIDFADDEYEGLPVPPTLEELKRWKKMENLGEEEAMELAEPAKEVEMEMDEEEMQLVEEGMRAARLEENEGAQVKVAGDEEAPMRIVKNYKRPEERIPAERDPTKFVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMLAKIKETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGWTATQALSQGGEEQADASNVDGRPIPGPAPLIRPGMPLPRPPQPLSLANVPRFVPPNAPQYSHMPGVQMMPHMHPPPQQIPGQPQMIRMHGQMVHMPNIPPPPPGQTQFMPGPPRPYHMPPPQHMPPMINPIGIPQPPAPPLPPQPPAEEQPPPPDEPEPKRHKSDDASLVPAEQFLAQHPGPARISVSVPNLDEGNLKGQVLEIPVQSLSDTVGSLKEQIAGELQLPANKQKLSVKTSFLKDNLSLAYYNVGPGVMINLTLRERAVTEIRKFMPKSNECSQPPLNFGLSHGPIWFKLCGSICSVV >Et_2A_016236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22445375:22450227:-1 gene:Et_2A_016236 transcript:Et_2A_016236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYPLQFSTAFSAGPIISGGEPSARSDGERHTWRSSSRQQLKRSARPWASWRNRQLVFISAPRLTSPHLAAPRSSCLAMAAAAAARLLCSAVTATTANPGPRGPGGGPSRLSKRSRCLSCGASLGTDGSLAVLGAPGPRPVPPAGRPYLREHSCLIFPPPRGRRPLAVVKFLGGAFIGAAPEATYGYLLELLAREGFLVVCVPYNVTFDHEAAARQVFDRFHACYDALLASGLPKAGLSAPDIAELPLYSVGHSNGALLQLLVGSYFSERIPKANAIVSFNNRPASEAVPYFEQIGPLFSQLMPMVEASPVYSVARNASGDAWKALFELAGGIIREYDQEAMVSLSKFVDQLPSVMNQVTEGVSEFKPTPPENRELCKNSYNVPNTLLVKFSVDAIDDTDIVEDVLRPRVESIGGQIKKIILSGTHLTPCAQDVKWQVGSEYTPADALAQGLKSLALNETRVLSRTIADWFRSL >Et_4B_037565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21091827:21094416:-1 gene:Et_4B_037565 transcript:Et_4B_037565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSRSRRAVGAKQGGGGGGLMAEPAALAATGAQSPRHSSSSSSPSAASVFPSPRPFMAAMALPQAGGYFSDGTEGPASAMSPTSILETKQFCCSALPPFLSERSLRRAQHMDAAAAAPEPAGVGLADVLREHGDKAAGGAKVVFGSQLRIQVPAGVRAAEMVSSPIEFGVKNRDAQLAAALSPARRFLPEVVSSPSARVLAGGVSPVEMAMSEDYTCVISRGPNPRTRHIFDDCIVESCGDVLVQKVDKEAGAVDRHGMDVASGFMTFCHACNKQLRHGNDIFIYRGDKAFCSSECRYQEMLFDEALDNLR >Et_6A_046454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15353109:15353904:1 gene:Et_6A_046454 transcript:Et_6A_046454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIINTYGLDGIDVDYEHFAAGADVETFVECVGRLLTRLKARMPHITTSIAPFEDDVVQRYYQPLWRKYSGVIDYVNFQFYGYSANTDVPLYIRFYDQQAANYPGGKVLASFLTGDTTGLISPELGISAARELQRQNKLPGLFIWSADSSKKSSYGFKYETQGQQRSSPTTDLSHNIAGVEGLL >Et_7B_053528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7264969:7265961:1 gene:Et_7B_053528 transcript:Et_7B_053528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSLQIKLRKAFVRRRSHESGQINLPRSVHWNLLHSGHFENLVLRCTKNLSWEASVPYASAQDGTSIIAGANVVDPTDTEEAPEIPIFQSDQDVVEVKKDPSLPRTAFKFPMWLLGPSILLVTGIVPTLWLPLSSVFLGPNIAGFLSLVGLDCIFNMGAMLFFLMADAFGRPENNMFDLKRQVPVSYRLWNLAAGTLGFVAPLALFYASHRGILQPQLPFIQFAVLLVPYLLLLSVQMLTEMLTWHWKSPSLRGLVSWWVLVLGIQLMRVAWFAGLSFARNSRYRLSDDVKQ >Et_5A_042847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5564169:5567532:1 gene:Et_5A_042847 transcript:Et_5A_042847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPAFTSLPHQCSVLLRQLAAHVTSASAATTSSSSFLRALRRLHARLVTAALLHAPPHPHLTLRLIHLYTLSPDLSAATLLFRAGPCPIAATSLVAAHAAAGRLPAAAAFFDAVPPARRDTVLHNAMISAYARAAHAAPAVAVFRSMLASGSLRPDDYSFTALLSAAGHLHRFCASHCAQLHCAVLKSGAGTALSVCNALIALYMKCDAPEARKVLDEMPDKDELTWTTMVVGYVRRGDVSAARSVFEEVDGKIDVVWNAMISGYVQSGMYAEAFELFRRMVLERVPLDEFTFTSVLSACANTGLFVHGKSVHGQIIRLQPNFVPESALPVNNALMTLYSKSGQISVAKRIFDSMKLKDVVSWNTILSGYIDSSCLDKAVEVFKEMPYKNELSWMMMVSGYVHGGRSEDALKLFNRIRAEDVKPCDYTYAGAIAACGELGALKHGKQLHGHLVQLGFEASNSAGNALITMYAKCGAVKDARLVYRVMPNADAVSWNAMISALGQHGHGTEALELFDQMVAEGIYPDRISFLTVLTACNHAGLVDEGFQYFESMKSEFGIVPGEDHYARLIDLLGRAGRIGEAKDLIKTMPFEPTSSIWEAILSGCRTSGDMELGAYAADQLFKMTPQHDGTYILLSNTYSAAERWVDAARVRKLMRDRGVKKEPGCSWIEVGNNVHVFLVGDTNHPEAQEVYQFLEMVSAKMKKLGYVPDTKVVLHDMAPHQKEHILFAHSERLAVGFGLLKLPPGATVTVLKNLRICSDCHTAMMFMSKAVEREIIVRDVRRFHHFKDGRETSVQHSLGDDEPALMAFKFHSYFLFAKMTLFVVILKCNNI >Et_1A_007396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34075298:34076141:1 gene:Et_1A_007396 transcript:Et_1A_007396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQISQHSPETSQATTGRRYVSCSPSTGRSPRFPLATARRPPFGTTIGWTYCGRLAEIRFSTLASHANTGAATVSQVLGTGLRTCTAGAAPSVAELDEVQSLIQNIELNQERDTRCSEMLTKDGKLSASAVYNLPDISARAPWNIQWPQADPAKHRDTLALLCFWMIGKHRRFHDSLQHAEKKQGCGAAG >Et_9A_061122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17035565:17036197:-1 gene:Et_9A_061122 transcript:Et_9A_061122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRGGGERQPRYRGVRRRRWGKWVSEIRVPGTRERLWLGSYAAPEAAAVAHDAAACLLRGAGALHLNFPERAACGGPVQPPLSPRSVQRVASDAGMAADAQLLEARDRASSPPTTAHHGARADAAATNWCTAPRAEASRSSSAGTSDHEHQLVYGDISVDDIEILM >Et_7A_051838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25475922:25482537:1 gene:Et_7A_051838 transcript:Et_7A_051838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVSAPFATATGRAAQKKTPVSLLLSRRQPATISRNRSTTIRCAVAGGNGLFTQTKPEVRRVVPPEQRGVPRVKVVYVVLEAQYQSSVTAAVQQLNADPRRAASFEVVGYLVEELRDADTYATFCADLADANVFIGSLIFVEELALKVKAAVEKERDRMDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRKKNDGNFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMIAGSYVPALKAAGGIKYDDPVLYLDAGIWHPLAPTMYDDVKEYLNWYDTRRDADPRLKDPSAPVIGLVLQRSHIVTGDDGHYVAVIMELEARGAKVIPIFAGGLDFSGPTQRYLVDPVTGKPMVNAVVSLTGFALVGGPARQDHPRAIAALQKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRTGKPASLLIDSISSVFPPPPLTAELILSSLTPGELLINVFLYFDAGKSHALHKRVEQLCTRAIRWAQLKKKTKEDKKLAITVFSFPPDKGNVGTAAYLNVFSSIYSVLKDLKNDGYNVEGLPDTPEALIEEVIHDKEAQFNSPNLNVAYRMNVREYQQLTSYASLLEENWGKPPGHLNSDGENLLVYGKQYGNIFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYTFVEKIFQADAVLHFGTHGSLEFMPGKQVGMSDTCFPDSLIGNIPNVYYYAANNPSEATVAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDSGRGPQIVSSIISTAKQCNLDKDVPLPEEGEELSPKERDLIVGKVYAKIMEIESRLLPCGLHVIGEPPTAIEAVATLVNIAALDRPEDGITSLPGILAETVGRNIEDVYRGSDKGILADVELLRQITEVSRGAITAFVEKTTNSKGQVVDVTNKLSTILGFGLSEPWVQYLSKTKFLRADREKLRVLFGFLGECLKLIVADNELGSLKLALEGSYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTTAAMKSAKIVVDRLLERQKADNGGKYPETVALVLWGTDNIKTYGESLAQVLWMIGVRPIADTFGRVNRVEPVSLEELGRPRVDVVVNCSGVFRDLFINQMNLLDRAIKMVAELDEPVEMNYVRKHAQEQAAELGVSVREAATRVFSNASGSYSSNVNLAVENATWTDEKQLQDMYLSRKSFAFDSDAPGAGMKEKRKAFELALATADATFQNLDSSEISLTDVSHYFDSDPTKLVQGLRKDGRTPASYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMMKSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANNTFIEDEAMRKRLMETNPNSFRKLVQTFLEASGRGYWETSEENLERLRELYSEVEDKIEGIDRTTNSEKRLNFSADVLLPTKKSTTYGATHLTGSKSGITSAVPGGGGRCRCSEHTAARARLSGCHGGNATSTLSTPANGVSSGRSSPAVSTR >Et_3B_029299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23858180:23870326:1 gene:Et_3B_029299 transcript:Et_3B_029299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSPAAATVSVEYAKSGRSTCKGCGEAIASGALRLGTSVHDPRGFDSTKWYHVACFPAASHLLGPVESIHGFDAIKDEDREELRELEKSHKTVGPLEEPSPKKEKTQVSSPAEELSDKASVSVEYAKSGRSTCKVCNENIVKGALRLGASFHDPRGFENTKWYHVVCFPTSLYPIFPVEHLKGFDSIEDHDREKLRELEDNHKIDDNATDRLSEQNLKNEMNHKRGEAAVGPLEEPSPKKVKEVSEEAIISVEYAKSGRSTCKVCNENIANAALRLGASFHDPRGFENTKWYHVACFPTSSYPIFPVERLKGAPFSALARNPSLLLLLLPSVVRAARVVMSTSLATATVSVEYAKSGRSTCKGCSAAIASGALRLGSSARDPRGFDSTKWYHVACFPAGSHPPGPIESINGFDAIKTEDREKLRELEENHKSDGNAADRLNEPNLKKEVVDNMGNSKEGAEQSLEEVKNRKRGAVEVGPSDEPSPKKVKGVPEKASVSVEYAKSGRSTCKGCNENIAKGALRLGASTHDPRGFDSTKWYHVACFPASSYPVFPVENLQGFDSIETEDHEKLRELEENHKTDGSAADQLNEPNLKKEMLPAENKGIGSLISFSASDVKNVYKDATLPAHWKAFETVIFREKEDGLNASAKIAAFDFDGCLAKTSVRSIGADKWSLQHKSIPEKLQTLHNDGYKLVIFTNESNIERWTKKRQVAVDSKVGRLDQFIECVKIPIQVFIACGSGKGTPEDRYRKPKPGMWWLMAQHFNSGIKIDMDQSFYVGDAAGRENDHSDADKEFAK >Et_4A_032275.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27864800:27864829:1 gene:Et_4A_032275 transcript:Et_4A_032275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPRESP >Et_7B_055452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10991082:10992168:-1 gene:Et_7B_055452 transcript:Et_7B_055452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLVVRPPRDLLPPPTPVTRTPSSALHRRPGSSARRERLQLRQRQETAASSWKRSASFHGRGGAEAEQRRLLPKQRPKTQPDLLAGMRPPATVTSPRSGSGSPDGESTGRRTPSKVLVSVSVQRSMWPLHVMASAEWRVSDLVAAAVALYVKEGRRPPLPSADPAAFGLHFSQFSLESLDPSERVMELGSRSFFLCPKTSALGLAVSSPSSNGASGVITREASGKAGETPAWMSYMQFWPMM >Et_4B_040107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9956059:9959732:1 gene:Et_4B_040107 transcript:Et_4B_040107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPPVRFLPSSAAPAVADASARIGRLARAGNMEGARAVFEAMPLRTTASYNALLAGYFHNHLPDAALRVFHRMPSRDLTSYNALISGLSLRRHTLPDAAAALASIPYPPSVVSFTSLLRGYRNVVSWTAMVSGYARNGQVHLARKLFEVMPERNEVSWTAMLFGYIQAGRVEDAEELFNAMPEHPLAACNAMIVGFGQRGMVDAAKAVFDRMCERDDGTWSAIIKAYEQNEFLMEALSTFREMLRTGIRPNNPSVISVLTVCAALAVLDYGREMHGAMLRCSFDMDIYAVSALITMYIKCGNLDKAKRVFNMFEPKDVVMWNSMITGYAQHGLGEEALCIFDDMRLAGMVPDGITYIGALTACSYTGKVEEGKAIFNSMGTNSSVRPGAEHYSCMVDLLGRAGLLDEALYLIKTMPVEPDAVIWGALMGACRMHKNADIAEVAAKKLLELEPGNAGPYVLLSHIYTCAGRWEDASEMRKFISSRQLNKSPGCSWIEYDKKVHLFTSGDVNAHPEHDTIIKMLDKLDGLLMESGYSADGSFVLHDVDEEQKSHSLRYHSERQAVAYGLLKVPEGIPIRVMKNLRVCGDCHSAIKLSLKIPIPTVMLGSTDVGYLWAAFSISSHIISWKLWVIYCTEFGRHMGVFGSQAAAPKQGIANYWAEELAALVSPCYGQENERSWALECWRTKIRVDPNICSSHVLPYD >Et_1B_011668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24724269:24735331:-1 gene:Et_1B_011668 transcript:Et_1B_011668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTAGSDNPISHFRGSCWLPCRVVPPPSLPSALFCGRRPEIPLQRLQLTNDSNYLMGHAFLDSPVQAVRDGAVVVSFSTVFVFDIVTIDRNGGHGLAFVVAASKALPDATPEQYLGLLGKYNQGNSSNHVFAVEFDTVLASGLLNDINDNHVGVDLNNLVWNVSQPAGYFTDDDGKNVSVKLESAGPIQAWVDYDGRTKVLNVTIAPVSVATRPRRPLISHAIDLLPIFKQDMYVGFSASTGKLASSHYILAWSFRTGGEAAQAIDLSRLPRVPKPPAPRLPDPPSIVAVSCVVTLSVIAAAIFFALWLRRSGELHHPHRLPYRELHKATKGFKASELLGAGGFGQVYKGVIRRSGDVVAIKRISNNGTQGMREFVAEVASLGRLRHRNLVELRGWCKRGQDLLLVYEFMPNGSLDAHLFGQAGEARQLLAWEQRVGIVRGVASGLVYLHEEWERVVAHRDVKAGNVLLGADMGARLGDFGLARLYEHGADPATTRVVGTLGYMAPELTVTAKASTATDVFAFGALLLEVACGRRPIDQATGENLLRRVRDLGAKGDLLRAVDERLDGRYDKMEAKLVLWLGLACSQARPDARPSMRQVCQYLDGEADVQEDAVLIFSDVDSPGPHAQKPPPPHRPSFSLNAHQAAPPGRANGGDVPAFAEFTLAELRAATGGFAAENIVSESGEKAPNLVYRGQLKGPRGATARAIAVKKFAKLAWPDPKQFAEEAKGVGELRHPRLANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQSIEWAMRLRVACYISEALEYCSTKGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTQESVIFSFGTVLLDLLSGKRIPPSHALDIMRGRNIQALMDSHLEGNYSTEVATTLVNLASQCLQYEPRDRPDIKKLVSILEPVQTKLEVPSYVMLGIPKPVEEPQAPPTPQHPLSPMGEACSRMDLTAIHQILFTTHYRDDEGTNELSFQEWTQQMRDMLDARKRGDFAFRDKDFKAAIDCYSQFVDGGTMVSPTVLARRSLCYLMCDQPDAALRDAMQAQIVYPDWPTAFYMQAVALSKLNMQSDAVDMLNEASQLEEKRQKSTKGP >Et_9A_062879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6153151:6155603:1 gene:Et_9A_062879 transcript:Et_9A_062879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSRRAGAAEDVKIGTGNVFAALETLKKKKKKPAADKAGAKGGSKAANGQEPPAPKPEVFWAPAPLTAKSWADVEDDDDDDYFATTAPPPRPVWGDGAAAKELRTAPALEEVRSHSSSSCPIVSLNITLSKKELKKKELAELDAVLAELGVGASSNSSQDETNGKNGADQAAGEKKADAPAPPETKSSKKKKSKKDKSSKESKETQDQADGSEEAAGAEPDEDTVSVDVKERIKKVASMKKKKSSKEMDTAAKIAASEAAARSAKLAAAKKKEKNHYNQHPV >Et_10A_000871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18488729:18492460:1 gene:Et_10A_000871 transcript:Et_10A_000871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDDIIDFWNRWGIPLMVLLSFILQILLLVFGEKRRRSSSALLRGSLWLAYLFADSTAIYALGHLSVISGPRDDHRLVAFWAPFLLMHLGGPDNITAYALEDNNLWLRHMQTFVVQALGAAYVIFKYLSGSEILLLLGAISMLATGLVKYGERIWALKCGNMSSIRDNFNKSDHRANPSRFVIAGSSLTEDEILLEAHYNFSVCESVFLDTTLEVEGVEPGRPLSNVGPVGLETYKMVEMELSLMYDILYTKAPVIHTWYGFCIHFISLIGTAITLCLFQLSISRRGNGYSLASVIISYVLSVGALTLEVILVCRAILSSWTYSFVHSKTQQPHPPVPAEPKPMLFRIWAWLRWPAQPTRRSKWRGSMGQYNLFHLCCTRDTTEIGSILAMKTGMADWWNKMHFSGTFSGTDTLSMDELKRLVSERLLQAHRLAGTNFSVKSYTPARYLNSRGRITLEEGLALRVNAEWSLNIDFDESILVWHIATDVFLSVYENEPVLAEATRVLSNYMLFLLVKKPEMLPGRTRHNLYPDICKPERLAAAASRTNQEGTQQESAQPETVTASNSDHSQPESCNWYYRLKKLFRDPPNSSSRSTSRITKRETLAKKLYEPVRVRAVGPQSLPYHLICFDAGQLLYFCHIEYRSRHEEEAKNSRQRPFRESGLMCGVWLGKELLDLGDNRTLKMIFGVWVEMMVYAADNCNRDSHARQLSNGGEFLTVVWLLMHHRIYIERYNTSIETARRRNPAAVPVRQAQGILDTVKRRREAPGQGQSEQHEKDEEDRRDE >Et_4A_033074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18077081:18082159:-1 gene:Et_4A_033074 transcript:Et_4A_033074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWCLAESTKPVFVGIYGAVLGGFAVSALFFLLSSFSSLSAPPLPFPADATGALAAGANLSGSIPAQPETMYNRPIWKPPPRGARMPPPPAFRLTRDMVRARARDGVIVVTFGNHAFLDFIVTWARHLTDLGVDNLLVGAMDTKLLRELYLRGVPVFDMGSRMATEDAGWGSPTFHKMGREKVLLINALLPFGYELLMCDTDMVWLKNPLPYLARYPDADLLTSSDQVIPTVTDDSLENWKEVTGAFNIGIFHWRPTEPAKRLAAEWKDLVLSDDKLWDQNAFNDLVRKVFGQSVEGEDDLVYSYDGKLKLGVLPASIFCNGHTYFVQGMHQQLHLEPYAVHTTFQYAGTEGKRNRLREAMLFVDQPSYYDSPGGFLSFKPSIPKSLLLDGAHTVESHFELVNYQLKQIRTALAIASLLKRTLVMPPLWCRLDRMWFGHPGVMEGTMTRQPFLCPMDHVFEVHVMLKDLPEEEFGPHIDFREYSFFENPSLPKQVKESLLEVQLCDDHSSRCSAANGTSKHRPLLLPRNSTDQKLLDVLSSYNDVKIIQFSSMVNAFMGFTNAAVEKKFRNRVQRYVGIWCCVQFREIGHIYYDMYWDEKPGWKPHPPETREDDHPPLS >Et_4B_039103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7831796:7834465:-1 gene:Et_4B_039103 transcript:Et_4B_039103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGASCGRPSEEVDFFGAVQSGDLGRLAAALSARPSLLGRTTLFDRLSALHIAAAHGHLQVVSLALDLCVHPDVVNRHKQTALVLAAMHGKTDCVRRLLDAGANIVMFDSSQGRTCLHYAAYYGHAESLRAILSAAKSAPVSQSWGFARFVNVRDDTGATPLHLAARQGWRRCVHVLLENGAIVSASSGAFGFPGSTPLHLAARGGNLDCVRQLLSWGADRLQRDSVGRIRYEVAVKRGHVACAALLNPSSAEPLVWPSALKFISELEPDAKALLEAALMEANRERERRILKGTKNALLPSPSRSDDGAHDAAMSEAASDAEVCSICFEQACSIEVRECGHQMCAACTLALCCHTKPNPATQSQPLPTCPFCRGAISRLVVATRAAAGDDDEEEESSKLASPGRHRRSRRSMNLSSDGGSTSSIMGSIASSIGKMGRRRTDSSEQVDDKP >Et_1B_010006.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17815017:17815481:1 gene:Et_1B_010006 transcript:Et_1B_010006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHGGFVGAVPAGATPYSHRSGVLYNIQYVASWADDASGSAATSWIDGLYGFMGRHVTKNPREAYVNYRDLDIGRNVVVNGVSAFESSRVWGERYFGAANFRESRQGEEGGGSDGLLPERAEHPTVQPKLLNSVKTVPSNKPILFGAILAESA >Et_3A_026209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5531083:5538165:1 gene:Et_3A_026209 transcript:Et_3A_026209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKLKKLSGKDAREFFNQVLEEQPLLPFLIPLGLFAWFVERWVVPFSNWVPLAAAVWATIQYGRFKRRTAVEDLNKRWKHLILNTTPTTPIEPCEWLNKLLSEVWPNYMEPKLSRKFQTTVEDKIELQEFSLGSCPPILGDQGMRWITSGDQQVMRLGFDWNSHEMSVMFLAKLAKPLIGTCRIVINSLHIKGDLLLIPILDGEAILYSFESTPEVSIGVAFGSGGSQAIPGMELPGVSTWLVKLLTETIAKTMVEPRRLCFSLPSVDLRKRAVGGVLSVTVVSASNIGRRSTSNELGNHRSSSGKATSGIADNAVSQKLVEVEVGNLMRKTSTSKGPNPTWNSTFNMVLHGETGVVKFLLYELDSDGVKFNYLTSCEIKVKYVLDGSTIFWAIGHNSGVVAKHTEQCGQEVGMVVPFEDIKGELTVSLVLKEWQFSDGSVTLSNSLSDGLQSPFDGLPKLQSTTGRKLRVRVVEGRALTANSKSGKCDPYVKLQYGKALYRTKTLSHTVRPVWNNKFEFDEIAGGEYLKIKCYNADTFGDESIGSARVNLEGLLDGDSRDVWVPLEKVNSGEIRLEIEPIKNDDNSGLKSSSCKIEAGWIEIVIIEARDLVAADLRGTSDPYVRVQYGNKKKRTKVVYKTLAPQWNQTFEFQETGEPLILHVKDHNAVLPTASIGHCTVEYSMLSPNQCAEKWIPLQGVKSGEIHVKIMRRELGMEKRTALETDASTHVKGHKISTQMRDSLKKFSGLIEEGGDPEALSLAVTEMEGIQGEQELYIQQLEREKAALLRKIHELGSEIIRTSSGPPRTPY >Et_2B_019327.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:23871014:23871373:1 gene:Et_2B_019327 transcript:Et_2B_019327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPAGAAEAHQSRLLYELCALLLTIIRSPEDAAGARPRVLPRHVTPAGVASMLLGASMALMLCGSVTFMLGFFLMPWVLGLGCVFLFVGFVTNLSGIGKAILIWTSADSSPKEACPCT >Et_9B_066268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9255004:9267529:-1 gene:Et_9B_066268 transcript:Et_9B_066268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTAAPKNSGIEFPDTKEADEPKQLRTTHQGGGGQIGADTPMVAWNRNRIGTNSGDRAGRGRVGELGKLGRREGKGGSFGRGERERERATSWLLATSLSLGMPKSQRWRKWRNHLFMGEKVSKLEALGELEMSTETVKDKSVDGGFAKRLTASRRLPRIQIPSLSLVSRVAFLSRRRLADDSAIGVATPFAGDEQLPAYQFYRIGFVQFQMSLDNSNRVKWNEENLYEIESNKPVRQKITEPKTPYHPMIDDDIDGSLSPTRPFDKCLDETVQAEAILTALNGVASSSNSSTLKDDAWASSDDDTDAMEQDNDPEADTERLSFKEHRRAHYDEYRKVKELMRSGSLVEDEADEDERGANKSEGKGAHKELRKAQLIGVNGDQENPPRYDKA >Et_3B_028372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14379779:14383382:1 gene:Et_3B_028372 transcript:Et_3B_028372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAAASSLAVRSAVAVVLSATIAGRAVRRRSLDASGGVAGFVVMAVHLACGYRYGAMLLAFFFTSSKVTKIGEDRKRRIEEDFKEGGQRNWIQVFANSTIATILVLIFAIMTWGQDQCLDTKSSKVITGIIGGIIGHYCCCNGDTWSSEIGVLSNEQPRLITTLKGTNGGVTVQGLLAATAGGLTIGLAFVVVGLFTAECSFNMALRQLLVLPISAAAGLLGSLIDSLLGATLQFSGYCSVRKKVVSKRGPTVTKISGMTILDNDAVNAVSVLLTTVLTAYACIYVF >Et_3B_030746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:725122:726475:1 gene:Et_3B_030746 transcript:Et_3B_030746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVRQVVVAVLAAVLMAATAAEGFISTKTWRDIRRLNRDGAPFVGLVVPNAYEMDPVLNSPSFKPSHTVPYLDVQGRRFRFGTIGGQNVVMVMTGLSMLNAGLTTQLLLSLFKVKGIVHWGIAGNANEELQIGDVTIPEYWAHLSLWNWQRHGDGPENELSLEAAGDYTRELGFLNFSDYTVGKQPAANTLNSIWYQPEEIFPVSGKPEVRQHAFWVPASTRYLAIAKKLEGMELPACVNGTSTCLPRAPRVVRVSKGCSANVFVDNAGYRQFLRSKFGCTPVEMESAAVALVAHQLDVPFLTIRSLSDLAGGGSALGNEAAKFLSIAAQNAVDVMLKFVPLLAGEHAGLSKDDK >Et_4B_038438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2927347:2928961:-1 gene:Et_4B_038438 transcript:Et_4B_038438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLFVMAQPASPVASTPHAALKIPAGDGPYARAKHFQLVEKDLEASIAWFWKAIHTGDKLDSALKDMAVVMKQRGYLTEAIDAIKSLRHLCPKQSQDSLDNILLDLYKACMENHFVALNFTEFNSALTHQVSRVMAIASGRTKEEIELLKQKLRKIYLGEAFQGKTTKRARSHGRKIHVSVRQETSRVLGNLAWAYMQQSNFMAAEVVYRKAQMIDPDANKACNLALCLIEQARFADAELVLGDVLAGRYPARDTQDGKIARKVEELLARIMAETSPAGSDGASDDASQQEDDEDCYDFDWIEQEMLKLLDVVATEWGPPPSNKKSNGRLPVFEEISPIGRREQMAC >Et_3B_030269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31977266:31979635:1 gene:Et_3B_030269 transcript:Et_3B_030269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRSSRHRGKVKSFPKDDPSKKPHLTAFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPRGLRTLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKFDSDAGKKEIQMQLEKMKKYASIVRVIAHTQVRKMKGLKQKKAHLMEIQINGGTIAEKVDFGYNFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKAGQESHDASTEFDRTEKDITPMGGFPHYGIVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTEEKQRFFGKLKN >Et_5A_041816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3070742:3073940:-1 gene:Et_5A_041816 transcript:Et_5A_041816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQGTMDFLVLALVLAFLVAAARAAEPWLNETEVYGTTANSGGGNGVFVGLTLIQSAAAKGAVCLDGSLPGYHLHRGFGSGANSWLVNLEGGGWCNDVKSCVFRKGGRRGSSNHMERQLQFTGILSNRPEENPDFYNWNRVKVRYCDGGSFTGEGADASAALYFRGQRIWQAAMDDLMAQGMRYANQALLSGCSAGGVSTILHCDEFHGLFPSNTRVKCLADAGMFLDTVDIAGRREMRSFFNDIVRLQGSGRSLPRSCTSRMDKTSCFFPQNVVPNIQTPTFILNTAYDVWQLQQSVAPKTADPQGQWRGCRMNHASCNSNQLQFLQGFRNQMLNAVSGFSASRQNGVFINSCFAHCQSERQDTWYASNSPRLGNKRIAEAVGDWFFERGNAKYTDCAYPCDGTCHHLVFRGDH >Et_4B_039600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23559373:23562047:-1 gene:Et_4B_039600 transcript:Et_4B_039600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSTDPAPAATEEIAPFEDGQYVRLVNRGRGGYLFADETGRGVSVDRRRGMVNTAWAVQILRTPTAAHVLLRGAYGRYLAVTNHAPPRPGHAGFYVAQCVFEYPDDHHIEWWTARGKRGSVLLLHGTAGGLRALRANGRYQWWNTGVTAEAVNFTRITSMMEWEVEVIPMTVERPPYQLRPLNAAIQWHEGCQEEVDITFARADNNGSFQRQGWTCMVFDGRSLTDLGKEIADQMGRGEEFKNMTLFVIGGQLGRPTPLLTDLPLRDDPVTIVVFRVGTPGHDALRFPDLAAE >Et_1B_009638.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10161310:10161777:-1 gene:Et_1B_009638 transcript:Et_1B_009638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHSRIGRGTVLARPLGTWNGTVPVAGLAYYVIPPSGTCSTPTRSTNSPTLRAAVHLLRAPVPGLGHGFRLVRQGRRQTARRPAPRRAGNAPPRYHPLATPPLHPHRCHPRWPLHWSSHHPRRRHRLRHRDPASRNRRLQPRPQLSQRELLTCT >Et_4A_033622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25033850:25036618:-1 gene:Et_4A_033622 transcript:Et_4A_033622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPPPWRPGRRLHCCALPLLLLVVVAALTAPAAADLADDARALLAFRDAVGRHLPWNASDTSGACNWVGVSCESGRVAMLRLPGARLSGQVPAGTLGNLTALHTLSLRLNTLSGGLPDDLASASALRNVFFNGNRLSGGFPQAILALQGLIRLALDGNDLSGPIPAALGNLTRLKFLFLENNRFTGEIPEINLPQLQQFNVSFNQLNGSIPAGLRSQPRSAFLGTGLCGGPLGPCPGEVSPSPAPAGQPSSPISPTGGGGGDKGGNGGDSGNKSKKLSGGAIAGIAIGSALGAALLLFLLVCLCRRSGRTKTRSLEMPPPSSTTASVAGGRKPPEMTSGAAVAPLTTLGHPNAPITQSTSGKKLTFFGSATAVAPFDLEDLLRASAEVLGKGAFGTTYKAVLESGATVAVKRLKDVTLSEPEFRERIAEIGELQHEFIVPLRAYYYSKDEKLLVYDFMPMGSLSAVLHGNRGSGRTPLNWEIRSSIALAAARGVEYIHSTSSTASHGNIKSSNVLLGKSYQARVSDNGLTTLVGPSSAPSRTTGYRAPEVTDSRRVSQKADVYSFGVLLLELLTGKAPSQAALNDEGVDLPRWVQSVVRSEWTSEVFDMELLIHQNVEEQMVEHLQLAIDCVAQVPEARPSMAHVVMRIEEIKKLSGSTEAKDIRESDDQSSRAQTVEAPTNPFAP >Et_2B_022248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15297836:15300037:-1 gene:Et_2B_022248 transcript:Et_2B_022248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSLFLVASMPIVQVLLVGVVGAFLASGYSNVLTTSARRDMNKVVFTIFTPSLMFASLAKTVTLADVISWWFMPVNIGITFMAGSILGWIACNILKPPQHFRGLIMAFCSAGNLGNLLLIIVPAVCDEDGNPFGRNRGICRSRGLSYSSLSMALGGLFIWTHTYSLMQKSGKLYHKMQSKSIQCPADSDEEHSGQDADEEAPLPTSVKLGAHREENQMETPLLSCQSEVADKGFWTNLKDSVHQFIEELMAPPTIAAMTGFIVGLVPWLKSLIIGDGAPLKVIQDSLQLMGDGTIPCITLILGGNLTQGLRKSKLKSTVIIAIVCIRFVLLPLIGMAVVHAAYGVGFLSHDPLYRYVLMVQFALPPAMNIGTMAQLFDVAQEECSVIFLWTYLVAAIALTTWSTIFMSILS >Et_9A_063088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:150158:152024:-1 gene:Et_9A_063088 transcript:Et_9A_063088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTWKDGRPTSASAGQTRAGASLTHSLTPLFRNSRSSSVSALSADEAAAPAGVVGHNKPLLNLSTPAKPTAAPGSTNKKKQNPQQTPAAANASAAITNAKRKRKHKNMMNNTGHQQVQHFGNPVALVLDFAEGTPLPSTDDLLSTFRKFGFLIHSETATLQDHRSARVVFATRAEAEAAYRCAPQALGAFGPPFATLRLQDLPPITLNAPPPLPKLPLKDVRNNLEKMISSLTRRSSSFEAAAADAPQGAKPAVGDLVGEMQGLLAKVDKMLEGMPSEWDSPQPPALAKTPAPLGFSAAAATPPPLISLRRSRRRRKEREALPL >Et_7A_051341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17158328:17165476:1 gene:Et_7A_051341 transcript:Et_7A_051341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSEIAIPESSQASRTSWRRAKHGGTFEFEIDRYSVYRDLPVGTFIQSPVYNIGGYDWCILFFPGGHASEAHASKHHVAVTIQLMTQGSLVAVSYDMGLVDQHNRAFRYVTECETAEFDTRRSCPEGYSQWHISRKNSSSRSRCQKSPSPNPPRHRGFHLQTSWRRAKHGGTFEFKIDRYSVYRDLPVGTFIQSPVYNIGGYDWCILFFPGGHASEAHASKHHVAVTIQLMTQGSLVAVSYDMGLVDQHNRAFRYVTECETAEFDTRRGCPEGYSQWHISRFMWRCDLHHYTVNNLLSACFLLLYLLKHIVDDESKVTDGSCIKKKKKKKIIVCIYVGLQKNAEILTREVSFVSAIQLPYNGDMHAPPGLAAKALGRRHGAGPASQEDEDGKVCHGESWLAAERPSSWRSPSGCRSSGSPGYPEHTGPGTTPRPRRRPSLTATMRSPPRSSPSCRAVGARRRTPNHTWTTMRFRPMRLKYLRCPRVISAKSCKEVLRRGGVPYNNRDDKPRLLQEHLLRGRGRSGSGRGVVPPVASPPRRSSSTALRNCRGVQHGGRSGAERRAGG >Et_3B_028045.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:5025273:5025953:-1 gene:Et_3B_028045 transcript:Et_3B_028045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKGAQPFHALGVIRLHFVSLTQVAFKKMTALCPNLRTIDLQSCPFNYTRLILMSANLRSVTIAECDGIAYLNWAHVPRLQSFLYSCSFSKAPFILSGNATLSNLYICLGYSILGCYDIKQFNKPHPNDLFGLTICSDALPVLSLYGDICLIISYHPIHSFFTGHVPFRLCLPFLLMKQLTNRPSLATYTVCLYIFWKVARQHTHTPTHTQREREEERERERLTN >Et_3B_028841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19699565:19701444:-1 gene:Et_3B_028841 transcript:Et_3B_028841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIVQGTLSILIVLFHSQRMLPVFSACCIEMISKWESSMSSEGVSEIDIWPEFQNLTGDVISKTAFGSSYQEGRRIFQLQAELAERLIQSFQTIFIPGYWFLPTKNNRRMRAIDREIRRVLHGIVGKREKAIKNGETNNDDLLGLLVESNMSQSNGKVNLRLTTDEIIEECKLFYFAGMETTSVLLTWTLIVLSMHPEWQDKAREEVLTQFGRGRPDFDSLSHLKIVTMILYEVLRLYPPVIFLTRRTYKEMELGGIKYPAGVNLLLPLLSIHHDPDIWGKDANEFNPERFAAGISKATKHQAAFFPFGWGPRICIGQNFALLEAKMALCTILQSFSFELSPSYTHAPYTVITLHPEHGAQIKMRKL >Et_8B_058561.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:17910544:17911463:1 gene:Et_8B_058561 transcript:Et_8B_058561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDHVCIKMDEDGGRQLIDPATGAVYPVPTHLADKDWGYGLSVHGWTEDLYLVGQVTSTGDYKLLRKVSYLNGCMVDARFEVCTLCCCCDEGWRVMCDSPHSVCWSEKTSVVVDGVVYFLSTDKDTYSSLVLDGQEINDDYWVVSFEFETEMWDASGPLNRFLDNPLDLNNEADGPREKQLSLANLNGSLVIVHVTSTCMDLWFLMDFDKDLWAKQYSIHIERYVSKCLSFRPLFVLEDGRIVIYIGGL >Et_7A_050200.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:11080762:11081193:-1 gene:Et_7A_050200 transcript:Et_7A_050200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRVAVAFLPHGRRQHPAAGAAARGPREAGCAPGPRLLRVPAPGDARGRRLHRHAHGPVPGAAPARVGRARPDELLGQPPAAGGPVPAGRRPRAQRAGARQEPAPRLLLRQGPQPRAGARARQRQLRRRALYRQRAVPAVP >Et_10B_004023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9379927:9381108:1 gene:Et_10B_004023 transcript:Et_10B_004023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCISAGALFFVLILSILQAAVPSPNLSLPLNPTEVSAGSTLSPDFYKAICPQLEDIVRRAVQHAFSADTSIAAGLLRIHYHDCITQGCDASLLLKGKNSEQLINVNENLHVGALQLIEKIRAEAQRQCGPTVSCADITALAAREAVVTTGGPAYSVNLGQLDSLSPATFKEVRDLPGSWNSTYSKLMAEFTHMKFTDIELVALSGAHTLGSATCLAFYDRFRQWDNAFNKKIGQECERDSRWKHGLDSTPKRFDNQYYVGLLNGHGVLTSDRALVLDKRSLQFVQLFARDQQKFFDAFGKAMRHLSSLRKHPGGEVRNISCFVPNSSRSPGDLTRTDGDSEGYAASA >Et_8B_058950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11399235:11399796:1 gene:Et_8B_058950 transcript:Et_8B_058950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGALTQPTCYLEIPNAPMYQRHCLDWFFDAVEKPFPLNLVHRTNIDMEKLFNVNLSLLCLFPWEKR >Et_1B_012082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28781464:28782678:-1 gene:Et_1B_012082 transcript:Et_1B_012082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKQTKALQQGAIVLAFAAALLGLAAAGNFYDECDATWEPQNCWAYDEGNRLSLALVSNSSGSMIRSKREFVYGTVSTMIQLVPGDSAGTVTTYYTSSLGDNQDEIDFEFLGNETGQPYTIHTNVYVNGVGNKEMQFKPWFDPSADYHNYTISWTPCMIVWYIDGTPIRAFRNYEASHGVAFPTSQPMYVYSSIWAAEDWATQGGRVKTDWSKAPFVASYHGIDLDVCECYGGDCVAGCAAKFGDWGRYCRLNDAELRQMQGLQSTYRIYDYCVDPKQWTNGQRPVECGLPQY >Et_9B_064303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13824082:13831439:-1 gene:Et_9B_064303 transcript:Et_9B_064303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KPLGPAPRHSPTRGGPPTCIYPAPNSARGVLAQLARRGSKQERKESGGGGGGLPQHHKPSKPSAPSSCSSWIRRSPPPSPPHKKAGGGGGRGRYACRLVPLLVLTVYSVFTVLRIPSSSLVVTTDSERVDRRDDLEALKTHLPSNQNGLEAREETRSVASLPCSAFINGEAGHGEEGVLCCDRSHYRSDVCYLRGDARTDPSTSSVFLYGAPRGSAPEKVRPYTRKFEDSIMSTIDEVTVVPVPGPFNASSGEGGMRRRCDVRHPRGVPAVVFSTGGYTGNVYHEFSDGLIPLFVTAQRFAGEVVFVVLEYHYWWLGRYGAVLERLTNYKVVDFRNDRRVHCFDEMIVGLRIHGELVVDPKLMPNGKSIKDFQALLHQGYSRTPVAPLALAPPCPRPDDHAARAAKPKLVIFIRKKNRVLLNLPHVVTACRRAGFAPHVMNLRRQTPLAAIHAALASADAMVAVHGAAVTHFLFMRPGSVLLQIVPVGLDWAADAFYGKPAQQLGLEYLEYKVAPEESSLAARYGLNSTVVRDPWVISSRGWWEMKKVYMDRQNVTVNVKRFAELLRQARAHIKNNTAAACRGHHHRGGSLSSEVEKRR >Et_1A_006555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24373883:24375280:1 gene:Et_1A_006555 transcript:Et_1A_006555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDLAVVLFREVQVKDIEVLSHPPLIEAFDDHAITLLAQPSTQPELPMHRSKKKVTMKPRNGSFTRFYSLWLSHLSIFILKIWRSCRKSRFAKHFRHNHRHNQRFRCTAKGDVYFLDVVLLELLTGRRPTDKEDFGDTNLVGWVKMKVRECACKEVVDPEIVAAAVDGEEAEMARFLDLVLQCIDDFLSKRPNMLQVVATLREIADAQAPKAPVPTSAA >Et_3B_028919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20397677:20416678:1 gene:Et_3B_028919 transcript:Et_3B_028919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPQDKSGTGSGPSRTFPFRACSSAFPRARKRGSGHGFLCIRFRRRRPRPPLSAGGGGAEALGLPFVRPYLSGRSAEDFAFGANFAVGGATALSPDFFRNRGFDIGDPVHLDMEMKWFRELLDLLCPGDLSGAKTLMVPGNLPIGCVPSYLMVFKSNKKDDYEPDTSCIRWLNDFSKYHNKLLIKEIGKLRKRHPDVTIIYADYYRAAMEVFLSPKRYGRGGPGLLLLAVVLVLLAGAEPAAACYPRVFSFGDSLADTGNYAFYYGNNSGEPALRPPYGETFFKRATGRFSDGRLILDFIADTMGLPFVRPYLSGRKAEDFACGANFAVGGATALGPDFFMARGFNIGQRVHLDMEMKWFRALLDLFCPGDLAGCSDMIHQSLFLVGEIGGNDYNLPLLSRVSIEKIRTFTPSVVAKVSSTITELIKLGAKTLVVPGNLPIGCVPKYLLIFKSDNKEDYEPETGCLKWMNEFSQYHNKLLMEELEKLRKLHPNVTIIYADYYGAAMEIFVSPERFGIENPLMACCGGEGTYGVSLSATCGYGEYKVCDNPDKYGSWDGFHPSEAAYKGIAMGLLRGTYTQPSIASTTSSCPQLTELGSSVEYKNRGAVLHFTAVAQVTEKMGIPILLVSFVLLLSSPVALCGCYKRIFSFGDSIIDTGNFAYTTGNNSTPVKEPPYGTTYFHHPTGRVSDGRVLVDFYAQAFNLPLLPPSIPEQNSGKFPTGANFAYLGATALPPDYYKAKYNFEMAGSSNLGMQLDSFKKVLARIAPGPDATKSLLAESLIIMGEIGGNDYNYWLLSKDRPRDTPFQYMPDVVGRIGTAVQDMINLGAKAVLVPGNFPIGCVPGYLAPFQTSNAADYDANGCLVWYNNFSQKHNQLLQQEVLRLRSKNPGAMIIFADYFGAFMQFAQNPKKYGIDDPLVACCGGNGRYHTEMGCNKTSKVWGNPSNFASWDGIHMTEKAYSIIADGVLKGPFADPALLGFGDSIIDTGNFVHLVGDRPSALKERPFGMTFFHHPTGRVSDGRVIIDFYAQALQLPLPPPNLPEQDTGEFPTGANFAVLASTALPDCYFKPFNFRMPPWNLGEQMTWFKQMLQRIAPNDGDKRRILGESLIVLGEIGGNEYNFWFRALRPREQAYQFIPDVVGCIGSAAQELINLGAKTIVIPNNFPSGCVPAYLSTFGGLNEPRDEFGCLRWFNGFASRHNAALRGVVEGLRARNPGVKLIYADYFGAAMEFVKNPARFGIGNPLVACCGGDNQYHTNYGCNATARIWGNPRSFASWDGLHMTEKAYEVIANGVLNGPFADPPRLSVLLAVSVLVLLNSPSVRCGCYKRIFSFGDSIIDTGNFVHLIGNGSSPLKELPYGMTFFHHPTGRVSDGRVIIDFYAQAFQLPLPPPNLPEQNTGQFPTGANFAVFSATALPGSYFKPFNYNLPTPWNLGVQMSWFKQMLQRIAPDDGAKKRILGESLIVLGEIGGNDYNFWFAAARPREQAYRFIPDVVASIGSAAQDLIDLGAKTIVIPNNFPIGCVPAYLSGHGGNNEPRDGFQCLRWFNDFASRHNAALRGVVEGLRKRNPGVKLIYADYFGAAMEFVKTPARFGIGNPLVACCGGDNKYHTNYGCNATARLWGDPSSFASWDGTHMTEKAYHAIADGVLNGPFADPPLLK >Et_10B_003548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:354219:357334:-1 gene:Et_10B_003548 transcript:Et_10B_003548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVVTSLSSFRALLLCLLVVVLAAGDGVGGTASAALLDAQAAYLSKMKDEFAGPAMSRWDFSSSPPSDYCRFQGIGCDKGGNVTVIDVSSWRLVGRLPPGVCAALPALRELRLAFNDIRGGFPADVLNCTFLEVLNVSFSGVSGAVPDLSSMRSLRVLDMSSNLFSGAFPTSIGNVTTLEVVNFNENPGFDAWRPPEDAFAALKSLRVLILSTTHMRGGVPAWLGNMTSLTDLELSGNNLTGGIPRELARLRNLELLELYYNLLEGEIPEELGNLTRLTDMDLSENRLTGGIPASLPDLRVLQLYTNRLTGPIPASLGNSTQLRILSVYRNQLTGELPGDLGRYSPLNVIEVSENQLTGPLPPFACANGQLQYILVLGNLLTGEIPAAYGECPALLRFRVSNNHLEGDVPAGVFGLPHASIVDLSYNHFTGGVPASVARAANLTSLFASNNRMSGTLPPEIAGAAALVKIDLSNNALTGEIPESVGRLARLNQLSLQGNRLNGSIPEALSELRSLNVLNLSDNALSGPIPESLCALLPNSLDFSNNNLSGPVPLPLVRQGLLDSVSGNPGLCVSSRPLNITSGDLPTCPQRGGRRGLAGNAWVVAVCALACAAAALVLARRWVLRGGWWLWGRNDADGHDGSSPTRSSAASYDVTSFHKLSFDQHEILEALIDKNIVGHGGSGTVYKIELSSGELVAVKKLWVSTSRRGGPNYKNHHHQGSSSSWLGDRELRTEVETLGSIRHKNIVKLYCCYAGADSNLLVYEYMTNGNLWEALHGCFLLLDWPTRHRVAVGVAQGLAYLHHDLMFPIVHRDIKSSNILLDADFEPKVADFGIAKVLQHARGDARSRDASISTTTIAGTYGYLAPEYAYSSKATTKCDVYSFGVVLMELATGRKPIEPEFGDTRDIVHWVSGKVAAGAEADALDKRLAWSPYKEEMVQALRVAVRCTCSMPGLRPTMADVVQMLAEAGPKTTSPKDATAKEDSAKVDAAGQQPTKVVLPPSP >Et_4B_036021.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13939228:13939482:1 gene:Et_4B_036021 transcript:Et_4B_036021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKILQWHAVASWTWDAQDETCGICRMAFDGCCTDCKFPGDDCPLMWGACNHAYHLHCILKWVNSQTSTPLCPMCRREWQFKG >Et_5A_042761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3968753:3969263:1 gene:Et_5A_042761 transcript:Et_5A_042761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPTPSKGRQRIEMRLIPDDDARLVSCAKRKKSLLKMASETALRHGDHVEVVVFSQAGNVSALGSPSVDQVLRRLAEEDADADREAVEATLREAEETRALVAGERRQGAKGRGREALLADVEALGETELPVFDRALHALKDHVQLRAERLRSAQSRTVVSSKFAN >Et_3A_023425.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:26821115:26821414:-1 gene:Et_3A_023425 transcript:Et_3A_023425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQLQELRVRPVSASGSSHRTLMPALSMRLYYAPQVELPGGTVLKGATLVAIKPSEDTGAAGSAATCWISNAFEEPYRTAAKVLLKRTPYCLEMNSF >Et_1A_007328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33334896:33342801:-1 gene:Et_1A_007328 transcript:Et_1A_007328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATTSRLAVVAPRPAPGRRRPAPAPCSAPFRGRAVSAAVCSRGRVLCLAAPAPASSTDAGQDRLQKVPISNIRNFSIIAHIDHGKSTLADKLLELTGTVQKREMKEQFLDNMDLERERGITIKLQAARMRYVKDNEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENDLEIIPVLNKIDLPGAEPDRVAKEIEEIIGLDCSDAIRCSAKEGIGITEILDAIVTKVPPPKDTAKDPLRALIFDSYYDPYRGVIVYFRVVDGTIKKGDKIRFMASEKEYVADEIGVLSPTQMQVNELYAGEWQMPGLVIQLLIFLKEQIALYQDIQKLLQWFEELREALEKLQLNDAALKFEPESSSAMGFGFRCGFLGLLHMEIVQERLEREYNLSLIITAPSVVYHVNCANGETVECSNPSLLPEPGVRKSIEEPYVKIEMLTPKDYIGPIMELGQDRRGDFKEMNFITENRAKIVYELPLAEMVGDFFDQLKSRSKGYASMEYKLVGYRESPLVKLDIQINGDPVEALSTIVHRDRAYSVGRALTKKLKELIPRQMFKVPIQACIGTKVIASEALSAIRKDVLSKCYGGDITRKKKLLKKQAEGKKRMKAIGRVDVPQEAFMAVLKLEKEVL >Et_9B_065345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3992836:3997628:1 gene:Et_9B_065345 transcript:Et_9B_065345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDETSTSASVPAQTQEPVEGAGSVKQQLSKLVASSLRTTVPEVDVEPMVEVCTGKFGDYQCNNAMGLWSKIKGSGTSFKNPNAIGQAIAKNLPASDIIESTSVAGPGFVNIILSNHWVAKRIQDMLVNGIRTWAPILPVKRAVLDFSSPNIAKEMHFGMLIEYLFEQFPNWKEIGGQAIGDLQVFYKASKQKFDNDEEFKERAQQAVVRLQGGEQKYREAWQKICEVSRSEFDLVYKRLNVVLEEKGESFYNPYIPQVLEELNSKGLIKESEGARVISIEGHQIPLIVVKRDGGFNYASTDLAALWYRLNVEKAEWIIYVTDVGQQQHFDMFFSAARMAGWLPDPKEKKFPKTSHVGFGLVLGSDGKRFRTRSTEVVRLVELLDEAKSRSKSELLQRLTENGKIVDWTDEELEKTSEAVGYGAVKYADLKNNRLTNYTFSFEQMLSDKGNTAVYLQYAHARICSIIRKSNNDVEELKMTGAISLNHPDERVLGLYLIRFAEVVDEACTNLLPNVLCEYLYNLSEMFTKFYTNCQVVGSPEETSRLLLCQATAVVMRQCFQLLGITPVYKL >Et_2A_018279.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21155976:21156848:1 gene:Et_2A_018279 transcript:Et_2A_018279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDHDDGDEEMAPLPPVLSSSYETPPQSAGFGSGGGGAAPKPPPPPPPLGEPGGRATKAPGISGGRYRECLKNHAVGIGGHAVDGCGEFMAAGEEGTLDALRCAACNCHRNFHRKESPAGEAGSPISPAGAIVAYGATPHQQFSPYYRTPAGYFHPHHQPPPHHMAAVAAGRPLALPSTSHSGRDDVDDLSGMAGPMSALAPLSGMSLGGAGPSGSGGSGSGKKRFRTKFTQEQKDRMLAFAERVGWRIQKHDEAAVQQFCDEVGVKRHVLKVWMHNNKHTLGKKPLP >Et_1A_008544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8617623:8619836:-1 gene:Et_1A_008544 transcript:Et_1A_008544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGFQLGVIGSLTLSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTFFTLHVAQRMRFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSETIKFSLLVLLLGVGIASVTDLKLNLLGSVLSGLAIATTCVGQILTNTIQRKLKVSSTQLLYQSAPYQAAILFATGPFVDQLLTNRSVFAHKYTFPVVAFIILSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTMRNILGILVAIFGMALYSYFSVREGKKKAAGDALPVSQMPDKETEPLVATKDNSDAKKANGVAQD >Et_2A_018837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8698837:8706583:1 gene:Et_2A_018837 transcript:Et_2A_018837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGNQNPAQSAPFELGMLFRPPVNPNHPTTAPTPTGVFPGGPGPASAPPPTGPYSYPPATPPFHRGPYLQYPNDPHGFHHPAAAAFANANPTANPIPNQGPNPGARLMQLLGNTAPTHLESAASMPPSSSEPAAPLPATSSAPPARMPSSKMPRGRLLGAGERAVHDVDSRLPGEAEPPQLEVTPITKYTSDPGLVLGRQIAVNRSYIVYGLKLGNIRVLNINTAVRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWKIDEGPDEENKSQITGRIEIAIQITGDAEIYHPRICWHSHKQEILYVGIGNCILRIDITKVGRGRDFSAEEPLKCPLDKLIDGVNAIGKHDADITDLSISQWMTTRLASASKDGMVKIWDDRRVAPLSVLKPHNDQAVYSVSFLTAPERPNHINLVTAGPLNREIKIWASTNEEGWLLPSDSETWKCTQTLELVSSLECRPEEAFFNQVAVLPQASLILLANAKKNAIYAVHIEYGPDPSSTRLDYIADFTVTMPILSLTGTHETRNDDEQAVQVYCVQTMAIQQYGLELSLCLPPTADTGFARDPAISHIYERSSEMAVVDSSTEATPVDSSTVVSTKSSSEAGSSLIPSVAPPSKMNLLGSPVVLSRRPSGSSSYGDRDADQSSLGRKDSFGKEDLRGGQSDGITISDPSPMFQVGGHAAHLISPSEIVSGVVASAEMGANGSGNGEADAKHVDERKASQTFEHEAVKETQILTENKEESGKHSEKTVDTISEQTITTDKYSMDDSLALADRPTPSKQCSGSGTENVVKKATEAPERTDDPSASRDLQIPSATKEDKVMHPQMSGQLSPSTSTLNSTGSSYEPQINANPTVDSSLQAAAIQGTLQQLISMYNDLQKQLSTIVSAPIAKESKRIEASLGRSMEKSIKANVDAMWARFQEENVRHEKTERDRMQQMANQITTSLNKDIPVMLEKSLKKEVSSLGPAVARTTAPIIEKSLSSAVSDSLQKVLGDKVVNQLDKSVSAKLEANVARQIQTQFQTSTKQTLQDAFRSSFESSIIPAFEQSCKTIFGQIDNTFQKGMSEHSAAIQQQVVGGHTPLAQTLRETITSASSITHGLTSELVDGQRKILSLVTSGNPIPRSAGASQTINGPVTNLPEVDAPFDPVKDLGKLLSERKFDEAFTLALQRSDVSIVSWLCSQVDLQALCGAVPIPLNQGVLLALFQQLACDMSNDTSRKLQWMTYVAVAINPRDPIIDTHVRPIFDQVYGVLARQQSMQTTSAADGTNIRLIMHVITSVLMSHQ >Et_10B_002703.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:9184608:9184877:1 gene:Et_10B_002703 transcript:Et_10B_002703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLMVGVEYETPEDLVQCKCFLNSLRCLPLHPRSSYPPPLISLGENGQQTVALPRRAHIMRSSWGNLRCSGREFFGKFRHQIIVVFSSG >Et_5B_043043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16903314:16903865:-1 gene:Et_5B_043043 transcript:Et_5B_043043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLLGLKHIHEKGIIHRDIKPSNILIDSDSDCVIGKICDFGLATYYEAVTTWCGIPHGTYGYMAPEVYKLKSSCTFESDMWSLGAVMYEFITGCPLISGRDSTGTITRMRSLFGSPVNQIRQTKKKIRCKLPSIVQTKKPKHTPIENEKFDMQFFIVGSLSNAPNLCRTV >Et_7B_054438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21342267:21343569:-1 gene:Et_7B_054438 transcript:Et_7B_054438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAVHGMALSATPALPSHHHGAVCASFTTSSYALGATSSPRARNTTTLATALAAAPAPVLQVYCGRGDKKTKRGKRFNHSYGNARPRNKKKGTGLPRLYAPPAPPRKDQFDDGEIIPVEIDEDILE >Et_4A_032305.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29129952:29130056:-1 gene:Et_4A_032305 transcript:Et_4A_032305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCFKRGKCNECCKNHGFHSGECQAVFCFCCTE >Et_4B_037639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2265737:2268039:-1 gene:Et_4B_037639 transcript:Et_4B_037639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFRGPNESIYQGGVWKVRVELPDAYPYKSPSIGFINKIYHPNVFLPQLLLYPNPSDPLNGDAAALMMRDRPAYEQKVKEYCEKYAKPEDAGVVPEDKSSDEELSDEDEDNSGDEEIMGKADP >Et_10A_000373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6042856:6043237:-1 gene:Et_10A_000373 transcript:Et_10A_000373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CLLLAKNPTSTIVDRLNILLGSLVLTLLCGIHSRSALGVTSSSGWNSSQNPTTSPTLLPSTVSRTSIETEGFHVLSSIGYSSLFVSLYPISVSISSQD >Et_9B_064156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11915465:11920347:-1 gene:Et_9B_064156 transcript:Et_9B_064156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACDGGEQGAAVKLEKAAKLLVERLVQPVLEPGVLRRENQEDVARQVHAVVLLYNYYHRKHFPHLDFADPKQFALAAGDALPVHLKHGGGGAEASGIVRAIEDACGIAEALDAKEDSPQTSMWPISKVAVLLVDPTGTKCLVDYASVTEGVWSILEKDITAASGKSCSTPGSSHEMEVNSEPYKLQQAAYSEVESKTGMKRGSLRFLEEHLVYSLSKKETTAKLFVLQYQQTVDRDLKEISIEDLIDRISGPIFTNEACPEITSVVDYYHILPYKEVLLNLLNRQRSLDSSSIPKRRRGRPSLQSKKDGSLKEREANSKSNIKNTTTNASDPNKNKGMKEVGNNGTNKNRKDGNLNRKRKSEALKASPQRGNGSLSSLGAENLILLSNAANPELTMANSEGLVNVVKWTRTNQAEDSTTYKPMYASLRSLQKMRNDTVRECCMLEDLSAQFDMDIQTILTEGKMTPRVISILQKYEETTSNVVKIASSTSSGEGSQTRKLKRRRLTEAVLSRTKCEELDVICGENNWILPRYTVLPSPIDGLYQAAVHLVCPDLELKADGGMKTTPCEARDSAAAAMLHQLHTKAKEKLAELDSSTPDAVQLDKIPEK >Et_9B_063717.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21821558:21822349:1 gene:Et_9B_063717 transcript:Et_9B_063717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLPSVEPKSAFHLSMTPSSTSSSPPGSWMRKRRSSLKERSTSEGEWCETSAGDDDGETEAEWAGERIWPSAWMGLTIPPSTMRAIWEKTPRARTEAVGEDGSDGDEDEGGSSGMTQSTRTRTESTRGTSSWKRRETREQRPSALTSPMRLKMTSRSASGRRSKWSSPAATESQRSSPPGSHLQRREPAGSSAIDGGGADGIWRRGAREGDVGTRRRRDEGRREKRTARTHSLVFAWEDALKPLNHREITYAGASGCQAQSS >Et_5B_044291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22284450:22286485:-1 gene:Et_5B_044291 transcript:Et_5B_044291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPRLARAAATPASRTETLQHQRWGAFSKKVAKKNGGLGCKNGDRDYSKNRDRDSKNAREIIVRLLGSQIEDEIWNKHQIIYGIALLGPLGLVLVVAAILPRWMIFLFTDVWELWECEMDYSQHFARFNFMGFSLFVIYTMYRSMLLLEASSPSCSPQSAADMASPPSPATHDAPHVRMIWTLGYGIVGGLVMVGHMFSWVRWTGVEHFRFTAEAFGQTLGIVDVSFKALLGFRASGLIDLACGDLFLLSF >Et_4B_039659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25644685:25647309:1 gene:Et_4B_039659 transcript:Et_4B_039659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGIRVLAALVVLAAAAAGVTAQLRQDYYAAGCDASVILVSTGNNTAEKEHPSNLSLAGDGFDTVIRAKAAVDAVPQCTNQVSCADILTMATRDVVALAGGPSYAVELGRLDGLSSTSASVNGKLPPPSANLDDLTTLFAANNLSQTDMIALSAAHTVGFAHCNTFSSRIQPASVDPSLDASYAAELQAACPAGVDPNIALEIDPITPHGFDNQYFINLVQGRGLFASDQVLFNDDRSRPTVVAWSQNSSAFEEAFVAAMINLGRVGVKTDPSQGNIRRDCAFLNN >Et_2A_015103.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:363964:363993:-1 gene:Et_2A_015103 transcript:Et_2A_015103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQLRHR >Et_2A_014617.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29020194:29020559:-1 gene:Et_2A_014617 transcript:Et_2A_014617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAVALFVLGVLASGVAAQSPSTPSCASKLVPCGPYMNGTDTPPDTCCGPLKEAVKNELPCLCALYASPEIFKAFNISINDALRLSKRCGVSDTTSACAGNFSFPFTPQIVLLLPSRCDF >Et_1B_009696.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:16466389:16468548:-1 gene:Et_1B_009696 transcript:Et_1B_009696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVGIYGACFSTITETILVQARDPSQLALEIENAIDQQRFEDAWRAYEKHIHMDGLPRKSVLSKLITGLAESYDDHWLNQSYNVVSHAFEEKYELLDREPLIFLSLTLARCALPNLAINVVRKLIKMEAYPPVAAWSAIIAHMCQNNNGAFLAADLVMEIGYLFQNNRVDPRKKSNRPLLSMKPNSFTFNMVLTASLLFGTTRKAEQLLELMPRIGVKPEGNLLIVMARIYEKNGRKDEIQKLKRHVHEACGLSESEFRQFYDCLLSCHLKFGDLDSAADMVLDMLRKGKNAKQSLEAAKAVLEAVENRKIFLPCEKTGSENPCSSEQSMSKSNRQMLKYAPFFKDTSFARIELEARQSLKVISDKLHKLVGLVKSEHGILHPTETVYAKIVKAFLEAGKITALASFLVKASKEDSPVSVESSFVVQVITACISLGLLEQAHDLLDEMRFSGIRVGSAVYSSLLKSYCKEGQHEDDITALLKDAQQAGIQLDASCYEDLIQSRVRHSDTTGALHLFKELKNLNVFKSCHKEFQILAQGCYNNEADLTTRLVEEVRSGHMVDHAVHDWNNVIHFFCKKRLMHDAHRALNKMRALGHVPNAQTFHSLVTAYAAVGGKYIEVTDLWGEMKVLAELRSMKFDQELLDSLLYCFVRGGFFLRAMEVIEMMEKSEMFIDKYKYKSLWLKYHRTMYKGKAPKVQTEAQLKRREAALYFKKWIGLT >Et_9B_065099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21147340:21149230:-1 gene:Et_9B_065099 transcript:Et_9B_065099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSTPKVDAMIVKTFLMNAENSKKLKKPKAWKHTEPITLAQLKQMRDEFWDTAPHYGGRKEIWDALRAAAESDLALAQTIVDSAGIIISNPDMTLCYDERGAKYELPKYVLSEPTNLIRDA >Et_5A_040210.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:22634879:22635570:1 gene:Et_5A_040210 transcript:Et_5A_040210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRPPPELVDDAVLEILLHFPPDDPACLFRASLVSKRWRRILSDPGFLRRYCTFHRTPPLLGFFHDVIGYGEGPRFVPTTAEASPSLRAALDRGSWAFDCRHGRVLLHAMGSDNDNDSFVVWDPITGDQVELPEPDIPFRYFSAAVLCAQDGCDHLHCDGGPFLVVFVGSDAHGVQKACIYSSEAGAWSATASVRATSCSFVLPNRGAVVGD >Et_9B_064575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16635179:16643815:-1 gene:Et_9B_064575 transcript:Et_9B_064575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHAAGAAAGSDATQAEVIGVGQANPSPYPPVPSGHQPWSSSTGTATASWNYPVDKPSQDTVYYDPQRDVSVAGGDQSVGSSAPHAAQSTMGMENAAQSHAPYSSTVQHGYNPVDYANYYYSYPQATNDSSVQQGANQHTGYGSSSYYYQNNTWSDGSTGNNYAQPYQNYTPSDTNALQSSTAMPANSLPYQQQQYNQWPYYYNQSVPSASSNPVAGNSTTDTIAVNTPSSYSYSSSQPPPPGTTSWKNNSVASVAPPMQTPGVPGPQNQYANQVENQYGQAPGGPWSQNHYANQAVAYHQDMTNLNHAPPSNPEVQQRTVDSNGSSSNILSTNHVSENFQPNLQGSVTTDASNEKKIQIPTNPRIAPGFSMLVPKIEKKKLGADISKKPAYVSVSMPKNDAKAAQAVPDARSIPFSLRNYTLRNLSRCKDEAQRAACQNILQGIVRKAIADGTIHTKNWDTEPLLSLPENVVAMTEACSAKNSNPFSPTSAPRKRVKSRWEPAVDEEVTTKVEQLAKGPVNSNIFSNSDAKNKLGGSWNQGKFIQPQLAPLNKVNQKPAKKQKISSYSSQIQNGNASSDSDKEQDLTKYYASATALANSPEEKKRREHRSKRFEKSQGSSLKSRNSSGNKDLMASLHTRRAISSFLSRNSEGTSLAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALAMVETSQRNYLYKCDQLKSIRQDLTVQRIQNEFTVKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIRGCYFEFSAYNLLCVMLHSNNKRDLLSSMASLSKEAKQDVAVKHALAVHAAVLSGNYVLFFKLYKQAPNLNSCLMDLYVERMRFEAVKCMSKSYRPTVPVGYVAHILGFSRTDGEGSVISEDDGSEECEIWLKAHGAVLSVDNGGELQIDMKASSATLYMPEPENAVAHGDASLAVNDFLARTS >Et_2A_017502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3536898:3540754:1 gene:Et_2A_017502 transcript:Et_2A_017502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATGAGRRRVRIAVVGDVVPPSSRKSSALPSSCLGGRDATDGCECCSVITRCGFQGRGLGVRREEGFLEMREVLNFSSCEMQPDLVLFTGDYGNENVQLVESISDLQFPKAAILGNHDCWNTYQFSEKKVDRVRLQLGSLGEQHVGYKYLDFPTIKLSVVGGRPFSCGGDSYGVNDMAGSAKKIYDAAARAPEGHFVILLAHNGPTGLGSRIDDICGRDWVPGGGDHGDPDLERAISDLQRETRVSIPLVVFGHMHKSLAYGRGLRKMIAFGANNTIYLNGAVVPRVKYAQPSRPTIPSDEQNQLEGSGATAPTLRAFTIVDLLEGHVEKISEVWVLVNGTRTELEEEIILYKLPREHM >Et_4B_037288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17717986:17725236:1 gene:Et_4B_037288 transcript:Et_4B_037288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGRELAPLAATMTASCHHLYLALFLLVPAASRARDSIAPGQPLRGNETLVSSGAGVFALGFFTPPGSNGTYVGVWYAKVSPRTVVWVANRAAPVRGAVADNAGAALSVSRDCTLAVADANATVVWSASPPQRSAASGGESCTARIRDDGNLVVADARGRVAWQGFDHPTDTMLPGMRVGVDFAAGNKKNMTLTAWTSPSDPSPSAVVAGMDTSGDPEVFIWNGATKVWRSGPWDGVQFTGVPDTVTYTGFTFRFVNDPGREVTYSFQVPNTSILTRLTLNSTAAFATGGLLQRWTWSSAASAWTLYWYAPRDQCDAVSPCGPNGVCDTNTVPVCSCLRGFTPRNPASWALRDGRDGCVRASPLDCGNGTDGFVVLPHAKAPDTTTAVVDYDASLEQCRRRCLSNCSCTAFARANISGEPGHRGCVIWTGGLEDLRVFPGFGQDLYVRLAAADLDSIKSKKKVSTVVAIVTSVAAAAVILLALTGFIIWRGRRTKSRKPGSNTWSGGSCSREGFNETNDNVDELELPIYDYETIVAATEGFSTSNKLGEGGFGPVYRVPTSIHIVVPVKGKLEDGQEIAVKTLSRTSIQGLDEFRNEVMLIAKLQHRNLVQLIGCSISGQEKILIYEYMPNKSLDYFLFDKSKSMLLDWQTRYRIIEGIARGLLYLHQDSRYRIIHRDLKTSNILLDKEMTPKISDFGMARMFGNDETEINTLRVVGTYGYMAPEYAMDGVFSVKSDVFSFGVIVLEIITGIRNRGVYSYSGHLNLLAHAWSLLVEGKSLDLVDENLKGSFNSDEVLKCLKVGLLCVQENPDDRPLMSQVLLMLAATDAASLPTPKQPGFAARRAATEDTTSSKPDCSIVDSMTITMVEGR >Et_1A_006159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18656776:18660742:1 gene:Et_1A_006159 transcript:Et_1A_006159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCCVAARPHGTSTASRDWSSIGPSDPLWRTNAGFSPPLSRRLEYRINSEGLSYGSHGDSGVAANYGSSLSSNSKEASRSWERSELPHDHRYSTSEGAISYFNSPDVNFQNHHIMLPITQDSSIDEYMRVSAAEPIGALLLSEGMPGQQNSGGSTSSHSDGSEYDIVPKSYSSTPRNFPSRRSFLSKPIHPLIFPEHALEAQENPSLVATASSNNPLRSDFKGTGELRSSCFMDYGCGSHGESANWSAASSIDLTDFSDRPEAERAGALRPNNVMEKTRCDFCERLLTKRSPWGSRRIVRTGDLPVAGVLPCCHVYHAECLERTTPKGQKHDPPCPVCDKLAGKDTEQWSICRLKNGFPRLRSLGEGPSRVWSCTQAGDCVGGAVQRPSSIALVSRSSHKRHASLKGEPSKDWPEASKSDCM >Et_3B_030260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31814998:31818450:-1 gene:Et_3B_030260 transcript:Et_3B_030260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQLFYDPFASSISSLEADIFSGGQLASPAWPDLDLDDDDIQGLSPPAANATSSGGYGSGGSGSHRKLSHNAYERDRRKQLNELYSSLRSLLPDADHTKKLSIPTTVSRVLKYIPELQKQVDNLEKKKKELTNASCKTGVLNTTESRTPVVSATCINDMEIMVQVSLPSNVAGTALPLSKCIKVLENEGLHLISSSTYSTFENRTFYNLHLQRSQRTMNKECPAFCDELERVGHQYVKISRQINCTLAICTTFK >Et_5A_040160.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:17344227:17344889:-1 gene:Et_5A_040160 transcript:Et_5A_040160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDILDRRAGPRALRRARLQRQPPRHPADRWVAVFAGKRPRSLSVSLPFPQSAEGLFLPSVFSCDPASLSELKLNRCIIPRPPTGFAGIHGLTVLDLEMVSFSELEAWRQVEAMLVAAPGIEELRLVDLVFNLPNFRLPGRCVIRAPNVRRLVLNLTLEMAGLWKLGPLPKLEFTDVHFNDPAENRGYGKFLAALVNAKELQIRRFSIHLHKANRDPLL >Et_4A_032777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13783108:13788233:1 gene:Et_4A_032777 transcript:Et_4A_032777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWKYMFDKDELRVLILGVDKSGKTTFLEKLKSMYLKGEGLPPDRVVPTVGLNIGRIEDANAKLVFWDLGGQAGLRTIWEKYYEEAHAIIYVIDAAAAASFEDAKSALEKVIRHEHLRGAPILIVANKQDLPGVIADEELARFLHLKELDDRPYMFQAVSAYDGKGIKSGIDWLVEQMKGSKRTEVLRARAAQLFLPLRHEETKKANH >Et_5A_042985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8793257:8793810:1 gene:Et_5A_042985 transcript:Et_5A_042985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSCLERPRVTAWDNDDDWGLPARRSGEASREATGNGGVKLKIRMTKGQLRRLLESAGHGASPDEDVVAEVMSMGAVRVEVVAAAGHRQPKRLDTIQEDDLDDASTVRDS >Et_3A_025239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28090090:28095122:-1 gene:Et_3A_025239 transcript:Et_3A_025239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRQGGAAATAAEDAGGAMPSFGPPQHAIHTDVNSMQPSGVTDFGALAQSAGFRIEDLANLNTNTFSNLKPSIHTNNNDPLQFGSYRKPISSLHTSTTTAATVASRIDPSSLAQQTGVQTNLVSVTSGNIENWGESALAAASPMTDTSTDPDTDEGNQMFEQGQLGGPTISDSSDKSRDKLDQKTLRRLAQNREAARKSRLRKKAYIQNLESSRLKLTQLEQELQRARQQGIFISSSGDQPQSTSGNGALAFDMEYARWLEEHNKHTNELRAAVNAHAGDNDLRSIVDSIMAHYDEIFKLKGVAAKADVFHVLSGMWKTPAERCFMWLGGFRSSELLKLLAGQLEPLTDQQLLGISNLQQSSQQAEDALSQGMEALQQSLAETLASGSLGPAGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQSARALLAISDYFSRLRALSSLWHARPRE >Et_2A_017674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:557483:558580:1 gene:Et_2A_017674 transcript:Et_2A_017674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVVGRPGTRASLALRVAQLLFAGASLIAVVTSSHFNGYSAFFYLYCSMSMQLLKSFVLACIDIAYLVTNLTLNFPSDCIGILSFSAATASAGVVIFFKRDTLFCRAFPESICDQYEISVILAFMAWSFGAASALSLFWFRVSFVE >Et_1A_004648.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:25806534:25807262:1 gene:Et_1A_004648 transcript:Et_1A_004648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHATWNAWRQTVSRRTASPAANSERQTAHSGAAELAAAAASNRAVGNVARTAGSSPAGLLGACAASSDSSAVSASASRRRRRRRSQREKKWRRSRRRKMERKQRRSTKRMNTGSSFGNDARGSGSSAGAGAAACARRWSWWVASSRRRRRRAAVATVSIGAWGGMTALCLGLLGVCGSCRARARKVVLVGPASSVCLAGGAVAFTASSVKATPGRHATPTLHHACVSTAACCTHGPAAWFGV >Et_1B_011922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27195077:27197499:1 gene:Et_1B_011922 transcript:Et_1B_011922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVTAPAARLLAARTLSAAAGSSSSSSTTVKVALDLVPEVRSLASWWCCVAESWIRVLCFQMARSALDEVTDTGAFDRSPSTFRSSVSKDASARFPAVPGRYHLYVSYACPWASRCLAFLKLKGLDNAIGFTSVKPIFERTKETDDHMGWVFPAAADEVPGAEPDPFNGAKSVRELYEIASTNYTGKPTVPVLWDKQLKTVVNNESSEIIRMLNTEFNDIAENPGLDLYPEHLRASIDEINELVYDAINNGVYKCGFAKKQGPYDEAVTRLYEALDKCEEILGKQRYLCGNQLTEADIRLFVTLIRFDEVYAVHFKCNKKLLREYPNLFNYTKDIYQIPGISSTVNMEHIRKHYYGSHPSINPYGIIPAGPNIDYNAPHDRERFGAA >Et_9B_065173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21910872:21912541:1 gene:Et_9B_065173 transcript:Et_9B_065173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTPLFSLVVFLLCLFGVSSEANYLTSMFTMGDSHIDTGNALIMFAQVMPVWIDNPPYGETTFGHPAGRFSDGRVIIDFVAEAFGLPLLPAFLTTGGSNVVSQGVNFAVGGATAIEVGFFERNNLVPFKLVNNSLDVQLGWFEEMKPSLCNGTGGYQLDCFSKALFFVGEFGVNDYNFIWMSGKTEDEVRTYVPKVVETITKAVERLINQGAVYIVVPGNPPTGCSPAILTFRQSPNKTEYDQMGCLRDVNRVVRYHNVMLRAAVGSLRGKYPHAQIIFADYYEPIIQVLQNPGFGTDDVLKACCGSGGGPYNWDPSAICGMPGVTACENPAAYVSWDGVHYTEAMNKHIAKGWLNGTYAQPPILSAVQHY >Et_2B_020826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2432652:2436274:-1 gene:Et_2B_020826 transcript:Et_2B_020826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAILRSASVIAVPSAATAAVLRHHPAVVLVAGRLRPRVPSHRIPQLRRAAAAMTPTVATSTTHETGEWYAVPGLSLRDHRFEVPLDYSDPNGCSITVFAREVVAAGKEDTYLPYLLYLQGGPGFESPRPTEACGWIKKACEDYRLVLLDQRGTGLSTPLTPSSLAQISSATEQVEYLKHFRADNIVRDAETIRLRLVPYAKPWTVLGQVQQQNEKYYKRYPEDTQVMHDLVRYLNDSEGGGVPLPSGGRLTPKMLQCLGLSGLGSGGGFERLHYLLERVWDPILVPGAKKTISYYFLKEFEMWLGFDQNPLYALLHESIYCQGSSSKWSAEKIRSEYESLFDPIKATEEGRPVYFTGEMVFPCMFDEIHALQNLKEAAHLLAAKEDWPPLYDVNVLNNNKVPVAAAVYYEDMYVNFNIAKETASQIAGIRLWVTNEYMHSGLRDSGSHVFEHLMGLLNGKRPLF >Et_8B_060679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4683131:4687693:-1 gene:Et_8B_060679 transcript:Et_8B_060679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDGERREAAGPGPLSRQGSIYSLTFDEFQSTLGGMGGGLSKDFGSMNMDELLRSIWTAEESQAMASASAAPAPPGEDGALQRQGSLTLPRTLSVKTVDEVWREFVREGAPSAAAPGGTGAAEPQPNRQPTLGEMTLEEFLVRAGVVRENPAAAAAVAAAGISVPPVAAPRPIQAVNNGSSIFFGNFGGASDTGASAMGFAPVGIGDPAMGNGLMPGVAGMGASAVTVSPVDTSVAQLDSVGKGGNSDLSSPMAPVPYHFEGVIRGRRSGAGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKELNAELQKKQEEMMEMQKNQVLEVVSNPYAQKKRCLRRTLTGPWCLRWDGCDFPHK >Et_10A_001984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16703778:16705881:1 gene:Et_10A_001984 transcript:Et_10A_001984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGGSTLQASVSPGSQRRRLEQHPPETADCDGAIEEDHISRLPEDLLLDVLARLGCAGEAARTSVLARRWRGLWTRLPELMTFENTSLPFHTPQCLLAQITSPALNLLHIGIDDPPRSNITPVHISSLLRAAVRLAPKNLTFNLWRYAYGKEEAVELPCFDRTTSLRLELPFINLAPPPGGEFSALERLVLYSCNVEPSSLLPMCPSLRFLHFEACEDFDVVTVHSTSLEELVVYSHHDEGSYEIDYIDIMTPQLKKVNFSIAWGEDFSMSFSAPLVEDIKVDCMFQHGNVGLEYMRLSHLKYILKRGVHKLHLDIRCWDNLPYHPNKLQDLDRSFEEEIARLPFSQFSILVLELETEEHAFGPLVLHLLQIRPIRMLEIYLNRAGETWKDAATHKFRRTRRDL >Et_10A_001168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21846430:21855668:1 gene:Et_10A_001168 transcript:Et_10A_001168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEAPLLLPAPVVEGCPGCAMERRKARSNGRIPYKEFFFVGVTTLASSLPITCLFPFIYFMVRDFHIAKTEEDIGFYAGFLAASYMIGRGFAAIFWGMIADRIGRKPVIAFSILSVVLFNTLFGLSTTYWMAIAIRLVLGALNGLLAPIKAYCVEVCQTEHQALGISVVNTAWGLGVIVGPALGGYLAQPADKYPHMFPKNSIFGRFPYLLPCLSVSSFAAIVLIGCTLLPETIHRHKLPEKATKEVKSLLPEEEGRTKSASLLVYQLFIYRWVHKILGTVNSSRIASALSVLVLAIYPFMTYLHGVKLSFALYSAAVMKSALAITVTTGICLLQNNSVRQEQRGTANGISTTAMSFFKAIAPIGAGALLSWAQKRQDAAFLPGDQVVFMVLILLQLFGLISTFRPFLVEEAPLLLPVPAVEGCPGCALERRKARGDGRIPYREFFLVGVTTLASALPISCFYPFLYFMVRDVDIAQKEEDVGFYAGFLDRIGRKPVIAFSILSVYMEIVTRIVMGSLNGLLGPMKEKVYAKIHLTFINNCRPIVLKFVIQNIKHLANTSWGLGLIVGPALGGYLAQRLIPYCSPSISGASLLVSQLIIYPWVHKILGTVNSSRIASVSNLMLCLQKPQKGYSMNIS >Et_3B_028091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10017069:10019375:-1 gene:Et_3B_028091 transcript:Et_3B_028091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSDKRCALPSHPHRRHRAALDVRSAIDNKAKGRGGEDSLGATLAQATAGAAQPRTWCARAARESEGIGISLIRSLDITSKAIIAGKPSVGAMAAAPAAPAGLDFDVIVVGAGIMGSCAAHAAASRGARALLLERFDLLHHLCSSHGASRTIRDAYPKPQYRPMVRLARALWADAEAESGCRALAAKKGAVVRDNAEVVDIIVRNGPSEGGGVAVRTSAGDEFRAAKCVVTVGAWTSKLVRHVAGGAVDLPIQPLHTTVLYWRAKPGRDLLQLRRTLPGLIKINYDGGPACDPDRRDWADGGGDVAGRVARWIEEFMPDHVDAAAGPVVRQPCMYSMTPDKDFVIDFLGGEFGTDVVVGAGFSGHGFKMGPAVGSILAEMAMYGEARTAAKAGIELGHLPHFRINRFDGNPMGNAKDK >Et_8B_059541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1902592:1904963:-1 gene:Et_8B_059541 transcript:Et_8B_059541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFDPYENNGGTCVAVAGDGYCVVAADTRLSVGYSILSRDQSKISELADKCVLASSGFQGDIKALQKNLAAKELVYEHNHNKKMSCPAMAQLLSNTLYYKRFFPYYTFNVLGGLDSQGKGCVFTYDAVGSYERTGYSAQGTGAKLIMPVLDNQLKSPSPLLLPAQDAVTPLSESDAVDLVKDVFASATERDIYTGDKLEIVVINSSGIHREFIELRKD >Et_7A_052581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9569840:9574729:1 gene:Et_7A_052581 transcript:Et_7A_052581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTEWPWQWMGNFKYLVLAPAVLHTAHRVATKGWRDLDPAYATMLPALLLRMIHNQIWISLSRYQTARRKNLIVDRSIDFEQVDRERSWVDQIIFNGLEFYLAYATIPNVRLLPVWRTDGFIITVLLHMGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFAEHVVYFMLFSIATLTPIFMGCGSVLGVVLYIAYIDFMNNMGHCNFELVPKWIFKVFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDELYETSLKVKEETPDFVHLTHMTTLQSAYHLRIGIASIASKPSDNPVWYAWMIWPVAWLSMVLTWVYGSSAFVIERLQMKKFKMQTWAIPRYNFQYGLSWERESINSLIEKAILDADERGVKAKQLNRSGELFIQKYPKLRVRLVDGSGLATAVVLKSIPLSTKQVLLSGSTSKVACATAIALCEKGIQVIMNQKNDYDMLRSRLPESRTFYLKFSNSNIPQVWLVDNIDDEEQKKAPKGTTFIPTSQFPIKKIRKDCTYLSTPAMKIPETMQNVHACENWLPRRVMSAWRIAGILHALEGWDMHECGDDMMDIEKAWSAAIKYGFVPLMKG >Et_4A_033966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28497878:28500746:-1 gene:Et_4A_033966 transcript:Et_4A_033966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSRALGSAFAGITRAPAAAPSLHHQCGSSALLTQWRWSQASRVRRFSSGRAARISMSLRAGIVGLPNVGKSTLFNAIVENGKAQAANFPFCTINPNVGVVAIPDPRLHVLSKLSKSQQTVPTSIELVDIAGLVKGASKGEGLGNQFLSNIREVDSILQVVRCFEDDDIVHVNGKVDPKSDIEVINLELIFCDLEQIEKRLDKLKKSKTKDAQVKVKEGAEKSGLEKIQEALMNGKPARSIDLADHEKEAIQHLCLLTMKPVIYVANVTESDLADPDSNPHVKEVAKVASDLQSGIVTISAQVEAELSELPLEERVEYLKSLGVAESGLGNLVKETYNLLGLRTYFTTGEKETKAWTILSGMTAPQAAGVIHSDFQKGFIRAETVAYDDFVAAGSLGAAREKGLLRLEGKDYIVQEGDVMLFRFNV >Et_4A_033461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22989016:22994149:1 gene:Et_4A_033461 transcript:Et_4A_033461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPRPATAAHHRRTHPAPSAPAYLAATLALLALAALAFSRIAFPRFPNPPATRRCRPDAEGSWSAGVFLGDSPFSLKPIEDWGISSDEGAAWPVANPVVTCADVEEAGFASSFVANPFLYIQGDSIYMFFETKNPITSQGDIAASVSKDSGATWQQLGIVLDEEWHLSYPYIFSYENKTYMMPESSKNGNLRLYRAIDFPLKWRLEKVLLEKPLVDSVIINFQDSYWLLGSDLSSYSTRRTGDLNIWYSSSPLGPWTPHKQNPIHSTDNRSSARNGGRPFIYNGNLYRIGKDNGGGSGHGIQVFKVEVLRTNEYMEVEVPFVLDKPLKGRNSWNGARSHHLDVQQLPSSGIWIGVMDGDRVPSGDSVHRLTIGYMFYGATCILVLLFGGLIGAIKCFIPLRWYLPHTEKRSDSFSMQQQFFIYHNFGFLISNANKLVSFIGGIINYRTWKGRIYTSSIMLILVVLTCLGTYYIYAGNGVEEPYPIKGRYSQFTLLTMTYDARLWNLKMFVEHYSKCASVKEIVVVWNKGRPPLQSELKSLVPIRVRVENKNTLNNRFNIDSEIKTRAVMELDDDIMMTCDDLERGFKVWREHPDRIVGYYPRLAEGSPLEYRDERYARRQVGYNIVLTGAAFMDHGLAFKRYWSKEAEIGRQIVDSFFNCEDVLLNFLFANASSTSTVDYVKPAWAIDMSKFSGVAISRNTQAHYHVRSTCLAKFSEIYGNLTTKRIFSSRGDGWDVKDKVNEPAKQKCR >Et_5B_045246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1437534:1438401:1 gene:Et_5B_045246 transcript:Et_5B_045246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAFQVYLYKVRRCPQSRAHDWKECPYLHHEERAHGREPRTHRYLPEPCPYYLASYEYHKTHHMGRAPTCVPGHTCSYAHGIFEVWMHRDHFRTCMCDAGLECGRKICFFSHILWQHRCPGDMVPFVDLRHLKMTALSRHPRGKQVCTCTQRERPASDFFPGPFAVITVAN >Et_1B_012032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28290885:28305225:1 gene:Et_1B_012032 transcript:Et_1B_012032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASIIATLWVLTVSSQVLAGATAAAPAIFVFGDGTLDVGNNNDLTGGEMGDAPHANHLYYGIDFPPGNNPTGRFSNGYNLADFIAMAVGFGMSPPAYASFPSPGPTKMEDFTGVNYASADAGIRMSTNADMTIPLSAQVTNFNGTVAQLKVLLGGRKPLNQFLSKSFFLIGVGTMDLLPESNPFDPPTDDKTRVQRLVDMYAATLTALHGMGARKFGVINVGLIGCVPSVQGSSGHGDGCDESMNRLAAEFNAALGPLLSGLAAKLHRFRYSLADFYGFSNATFANPSAAGFANVNSACCEGPCMPNSYFRAPCSNRKDYWFWDDGYMTEQAANVSATAFYSGKAFTTPVNFKRGTHGACCGDGALDVGNNNDFPEGQEMGDAPRANHPFYGIDSPNSEPIGRFSNGYNIADFIEIFMIDQRILIPWHTAKALGFAMSPPAYGSLPKPSPTTMQGFNGVNYASANAGLRESTNAQMTISLADQVKNFAATRSQLKDVLGSRKLLNSFLSKSLFLIGVGTMDLLPCCNFYLSYPIKDNKTEVQRLIELYENTLTTLHSMGARKFCVINVGLLGRLPSVQMSRYNGDPSLDRRAAEFNAELGLLLSNLTTKLHRFRYSLADFYGFSNATFANPSASGFLNTKYACCEDPCSLDSYRYPCDNRLEYWFWDNWYTTEKASKLAAAAFYNGKAFTTPSVTNEISEVVSIAEPPGCLGLWEVDAVVWVVGPDGFGLNMKAARAAAARPAAATAAKLTPGCCGESANAAKQVAASCGAASPLASTSNRRIRTGKLDNGEPSLGDNTILIPGDFIAIVTTGDINFFAGFFFRSTCRQHSHWLIGIAAHLRTRLALERSEQVGTDYLVMHGKGLCVSVRIPRLDRNA >Et_3B_028649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17717368:17720951:1 gene:Et_3B_028649 transcript:Et_3B_028649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEISFKHLCMAENGLTLQDIGLDVAMQYVNADLVGRSKVTYLHFADTASFSPGATSPSQQRSRRRQRNPASSSGVPTTPVASTRTSTIQAIYDVSKEVFAAATPGFQPLPSDVARLSGFLNGLTLQNIGLDLAMQHVNADIVGRSKVTYLHFADTASFSFGVFYLPPSAVIPLHDHPGMTVFSKILLGSMHIKSYDWVKTPPTGIRTTRTSNGCYLRDIATQNLPRLLNLLIDRLLNMYHAGARLAKLNTDDVFDASSDTVVLYPEDGGNLHCFTAMTPCVVLDVMGPPYRKAEGRDCSYYGAKAVRAGGDDGQYAWLKKVRGSLELDTFHAGPNIRKSPSGEPCRSGVARPGAPSQRSPFGNVSEQRTRPAAPAIKEATEQIDKASFLHHRQFA >Et_10B_004447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7535353:7536401:-1 gene:Et_10B_004447 transcript:Et_10B_004447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALEEAPPYCRDEFTVQSTRVDGSLTAMDITEDMFIEGSGKVVDDTTLIFCVDNIRCTKSSCFDYGYVAGAGLLRPLWAGL >Et_4B_039481.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:18413731:18414201:1 gene:Et_4B_039481 transcript:Et_4B_039481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPSSCAKSSANRDPLAPVRPAATKKPSAPPRERPSCAVPRRPPAPPSRSIAGSVAAASPSPSAAPRLKPGTAVLVRTRTEKTVKGKAVVLWLPAVVVSAIDGGYEVVYQGKLPRRDPFATVHVQRDHVRPQKPSATTETPCAAGTTTAASSVH >Et_2A_017448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34392710:34396305:-1 gene:Et_2A_017448 transcript:Et_2A_017448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRLSESRCHERLFPASSPELRRIPVVCVSSPAEALPFRCGLTEGAIAFRAFTSSDQNIRITEQAPQESRVIVMQVEIAARASSHPGKAETPPPSPAAAAASSASEDAPLLPDGVVRRRVGCGRFAQRSGSFRREVGRAAAETFLLTRLTLILLRYLGIGYRWIRQFLALCCYTLLLMPGFVQVVYYYFFSSQVRRSVVYGDQPRNRLDLYIPTSTTGLKPVVAFVTGGAWIIGYKGWGALLGRRLAERGIIVACIDYRNFPQGTIGDMVEDVSQGISFVCNNIASYGGDPGRIYLVGQSAGAHIAACALFNQAIKECGEGDAASWSISQLKAYFGISGGYNLLNLVDHFHSRGLYRSIFLSIMEGEESLQKFSPQVMIKDSSARSAVSLLPHIILFHGTSDHSIPSTESQAFVDALQQHGAKADLFLYEGKTHTDLFLQDPLRGGRDKMLEEIASVIHRDDPDASAQHLAVPVARRLVPEFMLTLAGRVSPF >Et_7B_054902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5431922:5432743:1 gene:Et_7B_054902 transcript:Et_7B_054902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAHAAFAPTVAAYRGIGVSLSARRSASSHSRALHPATSAGGVRNRPRLQTSTPCARPQSASQSAATRLYVSGLAFRTTEESLRNAFEKFGELTEVHLVMDKVAKRPRGFAFLSYADEEQAKAAMEGMHGKFLDGRVIFVEIARRRAEL >Et_2A_016446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24848696:24868808:-1 gene:Et_2A_016446 transcript:Et_2A_016446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRPAGDVGPRNEHDACGVSPHKADVHTVSSCGSTRGADAKAAAAQPRYAAAPAVVGSPAQFRGQARLPRFAAPRRYDLRLRPDIVACTFTGTVATAVVVSAPTRFLVLNAADLSVDRASIRFRNLAPRKVLFYADDEILVLWFAKELPLGEGVLSMKFNGTLNDQMRGFYRSTYQYKGKMQNMAVTQFEAADARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPIADQTVAGPIKTVRYEESPVMSTYLVALVVGFFDYIEGATAEGTKVRVYTQVGKSDQGKFALDVGLKSLAFYKDYFGTPYPLPKLDMVAIPDFTTGAMENYGLVTFRESSLLFDGHSSSVSGKQNVAISVSHELAHQGFGNLVTMEWWTHLWLNEGFATWMSYLAVNSFFPQWNIWTQLLGDTAAALKLDSLAESHPIEVEIHHASEADEIFDAISYDKGASVIRMLQNYLGPERFQKAMAAYIRKYAYSNAKTEDLWAVLEEKSGEPIKNMMTTWTKQQGYPAINAKLKGNYLELEQAQFLLNGSSGSGIWTVPLTLGCSSYDTQKSFLLQGKSEKLDIKDIAPHCVNTEKGRNFWIKLNINQTGFYRIKYDDELSAALQSALQANKLSLMDKIGLVDDSLALSMACEETLTSLLRLLYVYHEESDYSVLSHIKTVCKSVIFVDYILLNFVLRASISVAKISIDATPGLVGDIKQLLIKLLLSRAEKLGWDPKDGESHLDALLRPDLLEALVQLGHVKTIDEGLRRFRIFVHDRNTSLLPPDTRKAAYLAVMQNVTSSNRSGYDDLLTVYRQSSVAEEKEHVLGTLCSCKDANIVLDSLNFALSNEVRNQDATYVLRGISVEARETAWNWFKFTSNEKAAELSRFFETRKNPAFERTLKQGIEAVQINARWIQCIRKEPKLAQTVRATTTVAGDGDGRRKLEAPPAAGGANVKAAAAPPRRALAAAAAGTPDQFRGQARLPRFAAPRRYDLRLRPDLVACTFNGTVAIAVAVSAPSRFLVLNAADLSVDRGSIRFRDLAPKNVVVFADDEILVLEFAKELPLGEGVLDMKFNGTLNDQMRGFYRSKYQYKGKMKNMAVTQFESVDARRCFPCWDEPAFKAKFKITLEVPSELIALSNMPVANESVAGPIKSVVYEESPLMSTYLVAVVVGLFDYVESVTPEGTKIRVYTQVGKSNQGKFALDVGLKSLALYKDYFGTPYPLPKLDMVAIPDFAAGAMENYGLVTFREVALVFDEQTSSESSKQNVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSNLAVDSFFPQWNIWTQFLGDTTAGLKLDSLAESHPIEKALAAYIRKYAYSNAKTEDLWAVLEEKSGEPVKSLMTTWTKQQGYPVINANLKGDYLELEQAQFLLDGSSGPGMWIVPITSGCSSYDTQKKSLLKSKSDKLAIKDIASQCGTRDKGGNFWIKLNINQTGFYRVKYDDELAAALQNALQAKKLSLMDKIGIVEDAHALSMACKQTLTSLLRLLYAYREETDYSVLSHINTVSLSVARISFDATPGLTGDIKQVIIKLLLQPAQKLGWDPKDGESHLDAMLRPVLLDSLVRLGHEKTINEGVRRFRIFLNDRSTSLLPPNIRKAAYLAVMQNVTSLNRSGYDDLLKVYRKSAESEEKARVLGALCSCKDKNIVLQSLNFLFSNEVRYQDAYYLLQGITIETREIAWVWLKNNWDLISKMAGDTQVGGLIRYVVTLFTSNEKAAEFSSFFATRKRPAFERTLKQSLETVRINARWIQGIRSEPRLAQTNHKIDGHPQGKKKRIQAK >Et_3A_024789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24181147:24189250:-1 gene:Et_3A_024789 transcript:Et_3A_024789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTQEEEDALRRGVLKHGAGKWRTIQKDPEFSPTLSSRSNIDLKDKWRNLSFSASGQGSRDKIRVPKITGPSSSSLPPSSQALLLPTSTKIAEASAPADAEKKAQDVKTPPKYGAMILEALSELNEPNGSEFNAILGVIEQKLEVHGHPNLRRLLSSKIRRLVDTKKVDKIDNKLFKLPESFATKTPAPIKASTQKQKDPSKPSKGAKSLGLFAAISPALEAAAAAAAKVADAEAKAHLAHEHMMEAERILKMAEDTESLLTLAAEIYDRCSRGEIITVNPVSQREF >Et_4A_035776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5681783:5684076:-1 gene:Et_4A_035776 transcript:Et_4A_035776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASDSRFPIARMAAEPFYTVASDSETTGEDKSQSSFPDVAIGIDIGTSKCSVAVWNGHQVEVLKNTRNQKGMRSYVMFKDDTLSAGVTGGAAKENAHEERDVLSGSAIFNMKRLIGRMDTDEVVQASKALPFLVQTLGIGVRPFIAALVNNMWRSTTPEEVLAIFLLELKALAEMHLKHPVRNAVLTIPVAFSRFQQTRIERACAMAGLHVLRLMPEPTAVALLYAQHQQQLMHDNMGSGIEKIALIFNMGAGYCDAAVAATAGGVSQIRALSGCSVGGEDILQNIMRHLVPNFDSLYAGQTMDRIKSMGLLRIATQDAIHKLASQDTVEINVDLGDGQKVSKVLDHSEFEQVNRAIFGKVEKIINQCLADAKLVPEDINDVILVGGCSRIPRIRSLVLGLCKTEVSYKNIDALEAAVSGAALEGAIASGVTDPTGSLDLLTIQATPMNLGIRADGDNFAAIIPRNTTVPARREMLFMTTHDNQTEALISVYEGEGERAEENHLLGYFKITGIPPAPKGTVEISVCMDIDASNVLRVFAGVVKPQGQGVPPFIEVRMPTLDDGHGWCGQALAKMYGSTLDLAVHPKKLHP >Et_6A_045805.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:13893253:13893711:-1 gene:Et_6A_045805 transcript:Et_6A_045805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFDENFLCCICRAFCPTVVMTKAAVEADSRRATSFSRPSTAFGGVGRVGMYFWFHGGTCAYFDSQELYDKVRKMCHKEEPVTPASCPVDARFLFFVVLFTCVATAGVIPLAISLQHWAQRVGVLLGAAVFYAALACLIWRKCSTPQNNRN >Et_7A_050475.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:17846132:17846587:-1 gene:Et_7A_050475 transcript:Et_7A_050475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVDCKNNCAPLLCYLPCLPKSKEYAGSDPVLQSPSHTAVAEEKPPLVQKIEAVAARVDADAHGAVVGGNLSRRFDVDLDRRLGGDVLLQYIGGSPCFSALCWGWGVRRRRRASSSGRRSGVGPSGRATKQCVGRERRSLHFWVVEGRFS >Et_5A_040248.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4449186:4449503:1 gene:Et_5A_040248 transcript:Et_5A_040248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSNFFLTISASPLTNTRTPRATTPARRFPVLTAGEQERP >Et_7A_052338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6998771:7002764:1 gene:Et_7A_052338 transcript:Et_7A_052338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDRQDTRPACHGPSDFGSQTSLGRPRLGVGDRDPAIEARDQWQRAAGSTASTCSGSAFPSRHPHRRALLLPPLSTRPTNPPTARPVAGQGPRTGLQMEVRLGAVVRVASPVSGRGPVSRIVKHLPQRAVFSTHRGLVARRRRRGSTMKTAASKTGMPDLVDFDWDSLGFQQVPTDFMFVMRCSSDGVFQKGELMPYGPIELNPAAAVLNYGQGLIEGLRAHRKEDGSILLFRPDENAFRMRIGAERLCMPAPSIEQFLDAVKVTVLANKRWVPPAGKGSLYIRPLLIGSGAILGVAPSPQYTFVVYVCPVGHYFKGGLSPISLITEEEYHRAAPGGTGDIKTIGNYASVVSAQRRAKEKGHSDVLYLDPVHNKFVEEVSSCNVFMVKDNVISTPLLTGTILPGITRKSVIGIAQNLGFQVEERHITIDELLCADDVFCTGTAVVLSPVGSISYRGRRVEYGKDQKAGVVSQQLYATFTAIQKGLAEDSLEWTLQLN >Et_2A_016420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24497644:24502223:-1 gene:Et_2A_016420 transcript:Et_2A_016420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PAGSPTEPRKRALLYPLHLPRFRYHPPRHSRAHIVVVSAPPTPSYLRLRSHRPNPTAAAAAASASPPMAGQPRERGSRASRKGRPVRTGPAAAPSPASDPDPATGGDSAPRVRAKADDLEDRLLKRLEEAYAAALARLADLGHEEEAALEAVLRAGHCYGKLEDPVANIVANARAYLSNPGHAGATGGFADLRRLEEYSLAGLVCLLQSSRPTLTRAEAMWCLLSSDLRLEEAIAIGSSLKNKTLPLPAAAPAVSNDRPPAVADTAQRGCSTNHTTTAAAAPDPALFDPETFMRLTIRQGPGNAAGVISCIKTSGWSRSSGAAPEGHPKESFAKKLSTEELIESVVSELEALDIDKKDPPDANPDPENQMVRDLIKQTREMEAQLKERKEWAQGKAIQAARKLGADLTELRVLRMEHDENQRRKKEKQVMEDDTLKRLSQLETELKKKSGQLDRSNATVQRLEMENAEIRAEMEAAKLSASETERQCQSLARKEKKDNKKLDAWERQKAKLKEDIADCKTKITQAERELAEVNKAIKNMERKIREDTKAKEENIALLEEERRKREAAKVNADRRLEELRRKKEVESQCYKDDLRRLQDELNRLQKSTGANVPTSLSTNPAGTTNRSTTKAPKQPIQRPNPASNQPPQPTQKPSHRKECVVCKEEEACVILLQCAHQVLCVACNKLHEDKGVARCPCCSAKVEERIRVFGASTN >Et_3A_026719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16900374:16901170:-1 gene:Et_3A_026719 transcript:Et_3A_026719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDPRCPGTEDLISGLPDELLHIILVRLRSTCGAAQTSLLSRRWRRIWTNLPEIFLDATQPKATILDALRFASTRRVRTLHIHVPTQRWEEEELELPVWDAATSITYTLDHVWRLTLLPAGVFYSPD >Et_10B_003469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:260888:265539:-1 gene:Et_10B_003469 transcript:Et_10B_003469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRDPPLPDAFQLLLGKDPEKWPQEVRFLVAAYDGDVRRLKEMAKSMDKEGKGIQVTLANTNFVGTYALHAACDSGSLPVLRYLVEDLNMDVNKPDTLREFSPAMHAACYGNLPALRFLLDHGADMHYMRKEITILHAAVEKGQSEIVKFLLSRGAPVDVESNFLTPVQTAAHRGYTIILKMLLEHNGDAGADVNVSRSFHPLAKAAEKGLTEAIKCLVEAGANANVPDTLGRLPIELAAEYGTWEDVEILFPVTSPIPTIPDWSVHGVISHVYMEVMQREDDDIVKKRKSELKRQAGDAFRKEDYLNASLLYTQALKVDHFDGTLFSNRSLCWLRLGEGEKALDDAVKCVKLRPKWGKAYYRKGAALMLLKDYEDAYNTLSRGLDLDPESEEMEKLFWEAMELKCCPQEADAKIGSS >Et_8B_059148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14374867:14377061:-1 gene:Et_8B_059148 transcript:Et_8B_059148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAASAGGGGFRARIDHYLYSGEKKHVLAGIAIFGAIFGVPWYFMTRGAKHDSHQDYMERANKARSERLSSGQSSSLKE >Et_3B_030094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30430011:30432642:1 gene:Et_3B_030094 transcript:Et_3B_030094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSVPGRKAPAGAGGVRRWLVTVVVSVVVLVLTLVVISLSVGTSLPRTSLYDYLPVRATGPGKLPSSVEHADGGKNDAAIDVPLQGEVLQGGREPLVKQNGQGGDMNSSDKTAPTETVVSKVPDPVAADTTATPDEDSSNGSEKAEQGTCDLYRGKWVLDSAGPLYTNNSCPVITQMQNCQGNGRPDKEYENWRWKPEQCILPRFDARKFLELMRGKTLAFVGDSVARNQMESLLCLLWQVDVPQNRGNRKIHKWLFKSTSTTIARVWSSWLVHRSTEAVGIAPKGIDKVFLDIPDETFMEFLPRFDVLVLSSGHWFAKRSAYIQNGNVVGGQLWWPRQAGKMQINNVDAFGVSVETCLTAVATNPDFTGLAIVRSYSPDHYEGGAWNTGGSCTGKVKPLDEVVRNGFTDVMHEKQVTGFRKAVENAGKHGSRLKLMDITEPFAFRADGHPGPYRSPDPNKKTQRGPDGKPPPQDCLHWCMPGPVDTWNEMLLEIIRTEFEKDRS >Et_3B_028217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1215253:1215788:1 gene:Et_3B_028217 transcript:Et_3B_028217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQQEVYFVFMNFDPVYERLRADRSKEGSATLDAYLSHKHDKLLAKLLQPDSYLKRSSLAIVDGFAVEITDAQASILRSAKEVRVVEKNQELA >Et_4B_037568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21209581:21250278:1 gene:Et_4B_037568 transcript:Et_4B_037568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAESCDRGETELPEIALADAWCDIIDDHNLLLTVSGIFVIFNNLDSPEFLARPTTGPKVSGDLLWDFLPYHLPSWEPFLQDHCNGLLLLNRHVVNPATGRWDQLPPRPPRLTRRDYFEHDEYLVFDPTVSPHYEVVAVPRIMEKTSPRDCGYDSSRDNSFDAAIEESEWPPSQWIICVFSSRTRQWEERSFSREGDAMRTVADMRPRLRRCSEEHYAAYWQGDLYVHHDANFVIILICLSDNKYQVIKPPIRIPESTSLRLYLGKSKKGVYCALLGYWSEARRLWVWMLQEVSGKMKWVLKDNTDLDLLLKHRRYDEDIDGPWILQDINYKAELDEDDDGKAIAPAEFDWDSEDDNVLEVGDRVHNLYRYYSFLGFHPYKEVVFLCESRRRGLAYHLNSSKVQDLGKFTPNKLHFKGWPPCTHNKLFSVHTVLDGRSTAWRAINRRPSINRRDIQQEGEKRSMEGQDDLLRRLPEDTLADVLRRLPPRDLAASRCVRKAWRDIVDDRRLLLPHLLPHKVGGIFIKFNCKRLWELFSRPSDGPIVSGWLDFLPVRTIYMCHPRLDHCNGLFLFETEYVFNPATRKCACLPPRDLPPAMGMAKQYFYEDPYLLYDPAVSPHYEVFLMHRIAYKSEPGSTSYRADWDTIDPAVEKSEWPSSLYVLQVFSSKTGKWEQRSYTRQGEGAGLLPTFDSIVFWARSDMLYICRENFIISLFNNKFQVIKPPEGRSKGGIQCAPVDYRDLTNQLRIWILQDCCGQMEWVLKHLADIGPILPRHKYNQPIGGSWMLQDINYYEKETIAMPEKFEWDSDSDDVLENGDRVDEHSNDISFLGFHPYKEVVFLAESSRRGLAYHWNSSQIQLLGNIYPKEPCYGQSFSSISQTFLYTPCEGGGGGGCEQAKERSMEEQDDLLWRLPEDTLADVLRRLPPRDLAATRRVRKALRDIVDDRRLLLPHLLPHKVGGIFINFHSKSFWELFSRPSAGPVVSGWFDFLPGGTEDVFKPPPLDHCNGIFLFNGIYVFNPATRKYACLPPRPPPVMTKQHFYEDPYLLYDPAVSPHYEVFLMHRIAYKNKPRYTSYRVDSDALDPAVEKLEWPPSPYVLHVFSSRTGEWEQRSYIRQGEGAGTVADVRFNSFLYEKRYAIYLREELYVHCEADFVMRYYSVIKPPKDNEVSLFNGLYLGRSKTVIQCAKVENSGHPCRLRIWILKDLCGQMEWVLMHQANIGPMLPRDEYNQPIGGSWMLQDINYYGIRHRYYRKKETKIAVPEKFEWDSDNDDVLETGDRVYDGCKHITFLGFHPYKDVVFLAESSRRGLAYHWNSSKIQLLGNIYPKEPCIGQFAPISETFLYTPCWVEEVAGSIAHRMQEMEQQEEDLERLLPDDAIADILRRLSHRSLAVSRCVCRAWRDVVDASDLMLRHLLPHLVGGIFIDFGGLGSTKFLARPTTGPKVSGNLDFADMGCILQDHCNGLVLFEDLSVANPATRRWAYLPEQPLPRLGMKYFWHDEYLVFDPAVCLHYEVGLNVPSISFKLLPGQPGYCRFRDKLDLTVEESEWPPSPLVLQVFSSRTGQWEVRSFIREGKPAGTVGEIRSAGVFSEKRAGVYWRGVLYVHCGANFVMGISLSDNKYQVIQPPPDVEASPVDGNHGIYLGRSEKGVYCSMVDGRDHLCQLRVWILTESNDQMEWAFKHQTSLTPVLGRHKCNEQSAGPWILQDVNSYNHHVKDDTYETLEQQQIEWDSDNDNAFDNEDAVNELGYVNFLGFHPYKEVVFLGESMRRGLAYHWNSLKFQDLGNIYPRHYNHLSTKARQRQGDTVDHQDEDLTLLLPDDAITNVLRRLTPVDLAVSRCVRKAWCEIIDDRRLLLPHLLPHVVGGIFTKFNCLESWEFLARPMVPPSMSCTIDFLPDHKHAMDHCNGLLLMEDCLLNPATGRSAPLPPRPQLPRMETKICLSTGKYEITKPPIGVTDSKGSGHYYLGRSEHGVYFVLVLNSSDRSSRLRVWILNEFCGQLEWVLKHQTNLYACRQKFGQKVYRPWIFQDFNYFRYNHEDGGEEEEEEIEDAKFEWDSENDDVLEIEDARVEEYDKYIVFLGFHPYKEVVFMSENISRGLTYHLNSSKVQDLGNMIPINYFKQSGHHGLIRKSFVYTPCWIGEIPQMSSSTSWACPGASVNPGVLPSMCAACCALSSSRSRWPASSSTSTGVPSRSSSQGPRARRPATSGSMSTCREGSSGSWSTVVQHSNGALPLVEDSVQYGAVCVLYVVNPATGYCARVPPCPQPNTKTNTLEEQYLSYDPAISQEYYVFSISWFLWNRITCGDAGVPSNSSIFFFVSGRPPPLCLASSSATDQLDNGRRGHLFEKELGQRESSPRCDSAGQARYSLLQSGVEHCMYIANCQKREFTVHPFKNNIDFESEYLTNHMEHREEAPAQRLPDDVLADILRRLAPRSLAASRCVCKAWRDAVDARGLLRPDLLPLSLAGLFINFHCLRMITEFFSRPSTDPSKCGKHDYFPEAAGARSWSQSTTTTKTSVSSIPLHDGGLRCPSARPAPVLNTDLFHNEYLAYDAAVSPHYEVFSIPRFYYRGRSWDYRCDRPKGVLDPTVEQSQWPPSPFILHVFSSTTGKWKVRSFVREGEPAGIIANLKLENRDQYNMICWRGELYVHLQDDFVLRLSLSNDTYQVIKPPSLWNRPGFYLGKSEKGVYCASIDERYMLRIWILKESGCKVEWVLTHERSLLEWLTKHKLVSNGMYRSKNHPRVRGPWSLQDLNYYYDEYDKDDKIEAATEEFEWSSEASVDEEFEWSTDDDPNVLNNKYPFGYSPGYIDILGFHPYKEIIFLSQSITRGLAYHLSTSEIQVLGELYTTRCELELLNDQFITSAFTYTPCWMQQIDETAGDWQAEDLPALLSEDVLADILRRLAPRDLAASRCVRKAWLAVVDARRLLRTELLPLTLGGILVNFKGHGYRITELFSCPSTTTISGKRRYLPPDARNSSWSTVRDHCNGLLLIEDYDKGTELTHDCVLNPATRWVAPLPRCPLPIAEMPAYHDKYLAYDPTVSPHYEVFAITRLCYVRQPQIRSRCFYGTRRRGLDPAVEKTEWPPAVCTMHVFSSLTGQWEQRSFAREGEAVGTIADMRLYSPSRQHNAVYWRRALYVHCQSDFVMRYLAAHSSSIIICFLYYMFSDKIPLSGGIYQVIKPPNVRHDHNSTWGRKKRECVLLQLRDNAKFKFGSLVNLLLEWILKHNRDLLPWLLKNKLRRRRQKAQLPWILEDINFYYRNCDEDDSMDPTLEASPESSSQESVGEEFPWPSFNTEHYYGSNECYNGCIAILGFHPYEETIYLNESSIRGLAYHLDSSEIEGEMGGDCKAEAERGTVATTVDLPALLPEDVLADILRRLAPRDLAVSRCVCKAWLAVVDTRRLLRTQLLPLWLGGIFINFHNYYISEFFARPSTSRPSISGKHDYLPGAGTRSWSQVEDHCNGLLLVDGYDEVLHRRLDYVLNPATRWVSPLTMPPTLEPNLDKDLFNDIYRKYIVYDPATSQHYKVFMIPCLHARIHPGDFHYNKYLEQLDPVFEQSEWPPSPCVLHVFSSETEQWEEKPFIREGEAAGTVEDIRHGCPYGRRNGVYWRGALYVHCQTDFVMRISVSNGRYQVIKPPAGIEDERYSPQFYLGKSKWSVLCKVWILKELGNQVEWVLKHDGNLLGCLLKNSIGSEWDYQRQMCGPWLLQNINADNRNDTMEQLVAEKFDWSSDVSSDENSPSDNAYDDKEAAWYSGYLDILGFHPFKEVIFLSESITRGLAYDFTSLKVKVLGNLYPARYDEELPNERLINSCFPYTPCWLGQTGEMPGDCKAEVERGTVATTTDLSALLPEDVLADILRRLAPQDLAVSRCVCKAWRAVVHARHLLRNELLPLWLGGIFIRFHGFYISEFFARPSTSRPSISGKHDYLPGAGNQSWSEVTDHCNGLLLVEDYDEVLHRDLDYALTRPRDGWFP >Et_4A_033477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23419922:23421292:-1 gene:Et_4A_033477 transcript:Et_4A_033477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHISVDTLTLSRGNLVYHWNMGRFRRNTTTSSISPISVGVVPGNFGNKRNADSTSFLPLKFRKLSGAI >Et_4B_036409.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:22583860:22584780:1 gene:Et_4B_036409 transcript:Et_4B_036409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPITIRPYLLLTLLEERLEVARALLAGGHRGLPLGHPPLRLGHLVHLLAPLAHEPPEERRDLILVAELLERHLPLLAVALPPLGLRPGLGLRRRSRRLGPQRLDVAEEVVRLALPDLRRVDVRQRRGVLEHVVQAAEARRVVLAEERGRLPQQAQAPDDLLPRERLRLVVRTVLRPRRVGRGQGGTGRRGGLVGARAPELLLELELALGLADALDLAGLDLGAADELAAAEVGVEELALPLQLALQDVHGSTEFATADARVVGVVAGSRRLRSVLDRKMIFVCRSRSRNARRTGEFEFSREPSGF >Et_3B_030690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6466555:6469433:1 gene:Et_3B_030690 transcript:Et_3B_030690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAPPPAAAAPALAVRLVIDGAGTRVLFAEAGQDAVAFLSSLLANPLRAAVELQLQEDPTAAAAGCFGNLLSAGALLLHGAAASAPSSSPSRAPPPASSPVSASRPPTGTGIMSRRLYRCDGLGCRCSDVASRVPGSPCPCRSCHSGGAYATRDTELHFLEACFYRRPDGSAASSARGGAGRGGDTFYRCHARDGRHDGRDFIQCRYRVTDEHGVECPLCHSLTTVSVKLAKGSSARPPRAAAATPGLGFVVMDDLSVRAMAEGMSRAALLAELGVADPASVRDKVVPFGSKQGLSIIWASLQMKTVLTEVFLRTNAECWEDTTKGRNLAELQNLKHRGPPYYDKLKEVFQGVVVDGSTAFGTGDDSEEEEEEEYEQDEEPNAFCWPYGSPCGSIVNAFNRMMDQQDMMNHAKIKAMKEVEEKKIAFMREQAQVQMEIERKKIEMKAEAEIKKEERHAKKTADEMAILDKIIEIAIADGWDTGSIEYMALAYMFYEEAPRTAWLRCTTSDARLNFMRHWIKANILQ >Et_2A_018811.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8002545:8002931:1 gene:Et_2A_018811 transcript:Et_2A_018811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRATVAILFYILAVTALSAAQAPAESPKASKATAPAKSPAISKKAFPAMAPKAANAPESEKSGPAATPATESEVSSPLKPSTSAASPVVADGPAEGPADADHSGAATLGSGAAIAGVVAAVTTVIFY >Et_4A_031960.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:5354856:5358063:1 gene:Et_4A_031960 transcript:Et_4A_031960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCLGAGYVGGPTMAVIALKCPDVEVCVVDISVARITAWNSDQLPIYEPGLDDVVKQCRGRNLFFSNDIEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVAKSDKIVVEKSTVPVKTAEAIEKILTHNSRGIKFQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGQKAVKALKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVAEVAYAVGKDSRIGPRFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRIVSSMFNTVSGKKIAVLGFAFKKDTGDTRETAAIDVCKGLLGDKAKISIYDPQVTEDQIQRDLAMNKFDWDHPIHLQPMSPTAVKEVSVTWDAYEATKGAHGICILTEWDEFKTLDYKKIYDSMQKPAFLFDGRNVIDPEKMREIGFIVYSIGKPLDPWLKDMPAVA >Et_4A_034623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4933221:4936277:-1 gene:Et_4A_034623 transcript:Et_4A_034623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGPGLFSDIGKKAKDLLTRDYTYDQKLTVSTLSASGVGLTSTAVKKGGLYSFDVSSVYKYKNTLVDVKVDTESNISTTLTVLEVLPSTKLVTSVKLPNYNSGKVEVQYFHENASFATAVGTKPSPVVEVSGTVGAQGMVFGAEAGYDTATGKLTKYTAGIGVTKPDYHAAFILADKGDTIKVSGLYHLDEKQKASAVAELTRRLSTNENTLTVGGLYTVDPQTTMKARLNNTGTLAALLQHEVKPKSILTISGEFDTKALDRPPKFGLALALKP >Et_9B_065618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8084341:8088301:1 gene:Et_9B_065618 transcript:Et_9B_065618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFANSAERTARVYHTHIYGSQNHASTISLARPGSYNFSPSSPSYKPKFSLRPNPRIAAKKHQKSSNSVLKCRANLHGCIDEVVQSKKDQITEIPIDLCLSVFRVIYSAKNGKMAYAGCIFQVIEYEQLIDDWFFLTCVGVDRVQFMEIIRKRPYVVARIQVLNDEVCSEPQDDMGSLVLLSEQLNQNMRGDHQAVQLHSEYLYGM >Et_2A_015678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16892626:16893479:1 gene:Et_2A_015678 transcript:Et_2A_015678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSFSSPARKSCLDERTLIFSVGTCGHKGIEYQEKMKQRSQKHILPWKIVLLIQDVCSYSTAHSPVSYPVALRCRLTRLSNLLNKNDVVDRYIDAGQEDTMLNEKQKQHSTHIWFLIWEGHLGHTLQCHLG >Et_9A_061442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11984676:11985208:1 gene:Et_9A_061442 transcript:Et_9A_061442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQRNQAVRGKVSKIHFVVQGFAVAAIILLLTNGSQAHVDPANTTGGHTPLLMSNFHRQNTATSKVVNDEQWVMVKTKGNQFFVGDRLFYFNGFNSYALMVLAADSSTRGQVTQVFQQAVVVGVGGGPSCPLPLAPWPV >Et_7A_050661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:163375:173287:-1 gene:Et_7A_050661 transcript:Et_7A_050661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALWQPQEEGLREICTLLEAHISPNSDQARIWQQLQHYSQFPDFNNYLVFLLTRGEGKSIEVRQAAGLLLKNNLRTTFSSMPPPSQQYVKSELLPCIGATNRSIRSTVGTVISVLFQIVRVAGWIELFQALHKCLDSDDLDHMDGAMDAIFKICEDVPEELDVDVPGLSERPINVFMPKMLQFFQSPHPGLRKLALGCINQYIVVMPSALYMSMDQYLQGLFHLATDPSAEVRKLVCSAWVQLIEVRPSILEPHLKNVTELILQANKDSDDEVALEACEFWSAYCDVSMPPDGLREFLPRLIPTLLSNMVYADDDESLADAEEDESFPDRDQDLKPRFHASRLHGSETGEDDDDDDAVNVWNLRKCSAAGLDVLSNVFGDDILPTLMPLIEQNLARTDDDSWKEREVAVLSIGAIAEGCINGLYPHLPQIVAFLIPLLDDKFPLIRSITCWTLSRYSKFIVQSLGHPNGREQFDKILMGLLRRILDTNKRVQEAACSAFATLEEEAAEELVPRLEVILQHLMCAYGKYQRRNLRILYDALGTLADAVGAELNQAKYLDIFMPPLITKWQQLSNSDKDLFPLLECFTSIAQALGPGFAQFAEPVFQRCINLIQSQQLAKVDPTAAGALYDREFIVCSLDLLSGLAEGLGSGIESLVAQSNLRDLLLQCCMDEAADVRQSALALLGDLSRVCPIHLHPRLQEFLTVAAKQLSPQSVKDAVSVANNACWAIGELAIKIGKEVSPVVITVVSCLVPILKSPEGLNKSLLENSAITLGRLSWVCPDIVAPHMDHFMQAWCNALCMIRDDFEKEDAFHGLCAMVAANPTGAVGSLAYICQACASWNEIKSEGLHNEVCQILNGYKQMLGSGGWEQCMSALEPAVVQRLSRYGV >Et_2B_021276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28103425:28108601:-1 gene:Et_2B_021276 transcript:Et_2B_021276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHADLDRQISQLRECKFLPEAEVKALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGETPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALVENQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDMTRKTPDYFL >Et_3B_030363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32544601:32570870:1 gene:Et_3B_030363 transcript:Et_3B_030363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPRRAVLPLLLFLCLFQFSPLVFAQEGQENDPSTLFARASEMMNLRKYDGALGLLNAVLEVDPNHSEAYRQRALVLRHKCRYKEAESDYNRYLEIRPGSAAVEKELSQLLQAQNSLQSACGQFDSGEFSKVLEYLNKIVLVFSPDCLKAKLLKAKALLALKDYSSVISEAGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSGLKKAYFGLKNLLKKTKSAEDNAAKGKLRLAAEDYKAALAMDPDHTAYNLHLHLGLCKTLVKLGRGKEAIGSCTEALNIDGELVDALTQRGEAKLLSEDWEGAVQDLKEAAQKSPQDMGIREALMRAEKQLKLSKRKDWYKILGISKTASAAEIKRAYKKLALQWHPDKNVDNREEAENMFREIAAAYEVLGDEDKRVRYDRGEDIDEMNMGGGGGGFNPFGGGGQQYTFHFDGGFPGGGFPGEKEANINYSLQPKFCSAKNK >Et_3B_029538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25816337:25820149:-1 gene:Et_3B_029538 transcript:Et_3B_029538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAELSASTSSSSSSPHAAAPRRPGGEEDEEKARPAPRGDSSRSKPRRRRGAWRVAAWAAALDPRAGRWARAYLLACAAGLMVDPLFLYAVSLSAPLMCAFLDAWFAAAVTVFRCAVDLVHAWNLLLRLRDARALPMPEAAVDEEAAASTPPELDDNGSGAVPARARSSSEKSLFLDVFVILPVMQVVVWVAAPAMIRAGSTTAVMTVLLVSFLFEYLPKIYHSVRFLRRMQDVSGYIFGTIWWGIALNLMAYFVAAHAVGACWYLLGVQRATKCLNEQCAEAGGGCAPWTLACAEPLYYGGGAAARGLGADRLAWAGNATARGTCLDSGDNYQYGAYKWTVMLVANPSRIERILLPIFWGLMTLSTFGNLESTTEWLEIVFNIITITGGLILVTMLIGNIKVFLNATTSKKQAMHTRLRSVELWMKRKKLPQSFRHRVRQYERQRWAATRGVDECRIIRDLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLIFPKGEVIVREGDPVQRMLFIVRGHLQSSQELRNGAKSCCMLGPGNFSGDELLTWCLRRPFLERLPASSSTLTTLESTEAFGLDAADVKYVTQHFRYTFTNEKVRRSARYYSPGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYAALLTSPKPNQDDLL >Et_10B_004192.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18221672:18222466:-1 gene:Et_10B_004192 transcript:Et_10B_004192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHMNDEEVRRCRAALRSQIGVLLEAHRRLIEAEAPAVHVDAARPSTGNDGQLAAGPLVAGSSGNVSSHHDGNPVATDYYPLDEPEEDATQLDTDWAHRLFNELVYSDMGGLHETREAASGHHGNVVRSDSDPYYYDDDDISQDLIDQAIVAGRLHIPHIEDTPAVFNEAHANDGFGGIPASAAAIKALRKQKYDGSGTDSRCVICMRDYKKGKRLVVMPCEYTHRFHGKCLKKWLLRSHLCPLCRHALPTEEKNEPKSTNVST >Et_7A_050458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16637397:16637777:-1 gene:Et_7A_050458 transcript:Et_7A_050458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEQIDSYLSFKKTPPLPISKGPRRILDTFRQEIWPKDVLDRLAEDVRIQYQEKGYVEYEVTDEEEEQDNAPPAPASLAPGRRRYRPGVKRKSGTTKRLN >Et_1A_004843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11743201:11743716:-1 gene:Et_1A_004843 transcript:Et_1A_004843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAVLLCALICALGLNSIVRCALRCSRMSPASAEQPAAAAAGAGARRKALRAMPTLVYSPELPMKQAAGGPVCAVCLAELEAGDRVRVLPKCSHGFHARCVDRWLVARSTCPTCRQPLFGAPPKGSSCAHGGGDAGVQQPPVRAFLVPLRPEGFVTPYDF >Et_4A_034419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32404168:32409375:1 gene:Et_4A_034419 transcript:Et_4A_034419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHGADSAHDVGVSPGGPTVPARFVWPYGGKRVFVSGSFTRWSEHLPLSPVEGCPSVFQAICSLSPGFYEYKFFVDGEWRHDERQPTVTGDYGVVNTLHLTRDINQINSILSPSTPGSRSNMDVDNDFERVVSLTDGALQEGHQRVSEAYIQISRLRVSEFLSLHTGYDLLPDSGKVIALDINLPVKQSFHILHEQGIPVAPLWDSFRGQFVGLLSPLDFILILRELETHGSNLTEEQLETHTISAWKEAKRQTYGKNDGQWRQHLVHATPYESLRDIALKILQTEISTVPIIYSSSTDGSFPQLLHLASLSGILKCICRYFKNSTGNLPILNQPVCTIPLGSWVPKIGDPNGRPLAMLRPNAPLSSALNMLVQAGVSSIPIVDENDSLLDTYSRSDITALAKDKVYTHVRLDEMTVHQALQLGQDANTPFGFFNGQRCQMCLRSDPLLKVMERLANPGVRRVFIVEAGSKRVEGIISLRDIFKFLLSL >Et_7B_053418.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:18071546:18071776:-1 gene:Et_7B_053418 transcript:Et_7B_053418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKALVLGALLLAFAAAITDAQNCGSEGCANNLCCSQYGYCGLGGDYCGNGCQSGPCYNSANLGAEQINFPPPPAS >Et_2B_021834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:594558:596252:1 gene:Et_2B_021834 transcript:Et_2B_021834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAGVSDAAIAVRDKLRGKIGQTKVKRYWPGKAPEWADDAEEDLDIRTARVSLDKAFPKDEDGDVPAKDDRRLRRLAETRAENKEELRADHRRIRQAEIVSTVEEENERQEVDIDEEDEEAQEERRRRIRERQLLREQEEELLPQEEEELVDEEDEDEESEYETDSEDEQMGIAMVKPVFIPKSQRDTIAERERLEEEERQLEELVKKRLEARKIETKQIVVEEIRKEEHIEKALNEEANIEDVDTDDELNEAEEYEAWKNREIARIKRDREERDARLKEKEEIEKLRNMTEEERREWERKNPKQVRQTKQKWKFMQKYYHKGAFFQEGADDVIQSAGKDDIYTRDFSEPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNTPWATNDPLRAKYNAKMAGMNRPIAKPKGSKKLKDWDAK >Et_5B_043061.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:18533271:18533591:1 gene:Et_5B_043061 transcript:Et_5B_043061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNLKSLPVSMRPLVSLKSLSLYECSGISALPEWLGDLSSLKSLTISCCMRIESLPQSIQRLAKLQMLSIVSNKKLKQWCESKKNKKLLAHINNVVSLLLSCTFT >Et_6B_048559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10837726:10848598:1 gene:Et_6B_048559 transcript:Et_6B_048559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILTGALGTLIPKLAMLLTDEYKLQKGLRGEIMFLKAELESMQAALERLSEAPVTDRQIRLWARDVRELSYDMEDSIDKFMVHIGIEPTAKPQRLRSFIHRSLRLLTQAKIRHQIATDIKAIKPLVKDVAERRHRYKVDSVIGQSITTTIDPRLHGIYEESTKLVAMGGPRDELTKLLIKQGCFKLKVIPIVGVGGLGKTTLANVLFQQLRGQFECCAFVTVSQKPDLNRILRSILRQVSEQGYINIETWETGELINKIIQGLQDKRYLIVIDDIWDKTVWKQIKCGLPLSHDDSKRLFYTRIFGSEDCCFPELKGISDKILRKCDGVPLAIITISSLLTNKPRNINEWNRVLNSIGTGLQKCQNMEDMRQILSISYYDLPSYLKPCLLYLSVFPEDCIISANRLIQRWIVEGFIQGDQDNDYELGRSYLSELINRSMIQPEYMNAYGGLSHCRVHDMVLDLIISLSDDENFITVLDGQRTTAHPKKIRRLCLQDCDAEGNMQQSVAMCLPNVRSLVIFPSATKLMPSLSSFRVLRVLDLEQCRDLDNHHIAGIGNLFFLRYLGLRETNISDIPNEIGKLHSLHTLDLSHTNITSLPPTIVHLKNLVRLYIEPSVMLPDGIGGLESLEVLKSITVGSSPNLAKDLGSLKQLRQLEMFLTDSLDENCEKAVLNSLCNLRKISNIFMSGRSAEFILDLECSHQYLRRVYLDLWISRLPSWMNSSLSDLSYIFLKLNRLRQEDLQNLGDLPFLDELYLFVLNTESIGARLVIGMDHAKFFMSKKVSL >Et_5A_041118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18464237:18464560:-1 gene:Et_5A_041118 transcript:Et_5A_041118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLDLSPKFFAVVLVLVISSTEMQGPVCLVLARECQSQSSRFLGPCVRDGNCKNVCETEGFTGGKCLGVRRRCFCTKIC >Et_9B_065757.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:10281239:10281955:1 gene:Et_9B_065757 transcript:Et_9B_065757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSASQHLHPPSCVTCGLLAPCRRALTRLFRVPASAALSIRAFRFRSLRNAAARMSPQRRRRRRTFRSVRAVFWPLVPPPLTTPAASGAAAAADSDAARRSSTTELLAAAQEALAPVPSPETPAYVKVVARLRSGRSAASGDASDGEDDREEKEAACRSFESCLMEMLVEEGKARDLQDVEELLRCWDRLKSPVFVDLVCRFYGELCNDMFPANAGDDDDDAARDGVDASAVSTSSA >Et_2B_020439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20122762:20125242:1 gene:Et_2B_020439 transcript:Et_2B_020439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALATLTAVVLSPLLALLLLRAAWVALSYYCLTPMRIRRVMARQGVHGPPPRPLIGNLRDVSALVARATADDMPSLSHDIVGRLMPHYALWSRTYGKLFVYWYGSEPRLCLTDTAMIKEFLSSKYAAHATGKSWLQRQGTRHFIGRGLLMANGDSWSHQRHVVAPAFMADKLKGRVGLMVECTKQTIRALRDATSPGGGGRRGGAVEIGAYMTRLTGDIISRTEFDTSYETGKRIFHLLEELQRLTARSRRYLWIPGSQYFPSKYRREIRRLNGELESVLTESIRRSREIADEGRTTSAYGRGLLAILLAEMEKKKKKQQAGDGFSYDAQMVVDECKTFLFAGHETSALLLTWTLMLLATHPEWQDRARDEVRRVCGDDPPSADHLSKLTVLQMIIQETLRLYPPATLLPRMVFDDIRLGGGDGSGGLHLPRGLSVWIPVLAIHHDESVWGPDAHEFRPERFAAGSAGRRAAFLPFASGPRNCVGQAYALVEAKVVLAMLLAHFRFAISDDYRHAPVNVLTLRPKHGVPVHLRPLRP >Et_2B_020941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2511780:2519263:-1 gene:Et_2B_020941 transcript:Et_2B_020941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRGSAVEERLSFFFVGPEQGQRRGEGRGGEGRGGEERRGENEQRREAAAVAAEEKIEDEPCVASTIVVRGEEESAISHHVLSVIDSLKKKITADRFIYIKNKLAENKINLSTYTQNTYSLSNIRQSNTSNGSDLVSNWLTKRQDDALCSLHSLDICHADKDGGNSQDESSFSTSNAILGGNLGAKNAIRPIKLPEVEKLPPYTTWIFLDRNQRMSEDQSVLGRRRIYYDASCGEALICSDSEDEAIEDEEVKKEFKRSEDCIIRMTIQECGLSGAVLETLARYLERTADDIKARYEILHGEKAEDSCKKVPEHNVKVEDLYCDKDLDAALDSFDNLFCRRCLVFDCKLHGCSQDLVFPTEKQQAWNGTDDGAPCGIHCYKLASIPDSVATTNSHMIIDVEEPAHSSDNAMNQPGRTGKKHGSSGKKTKSQQSDGSSTGRVASESSDSEVHPTSNKSPQHSPSPSKVKIGPKGGIRKSANRRIAEKILMSVKKGQREVAQSDSNSVSGSLLSRDMKLRSDTRNGNKDSFASSQYNSPSTSSKKKSTPQIGNNSGEAQNDSMEEMNNEHSATDGYDSSRKEEFVDESICKQEDNRKSWKAIEQGLLVKGLEIFGRNSCLIARNLLGGMKTCRDVFQYMNYIENSSASGALSGVDSLVKGYIKGNESRTRSRFFRRRGKVRRLKYTWKSAGYLFIRKRITERKDQPCRQYNPCGCQSACGKQCPCLTNGTCCEKYCGCPKMCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVGCGDGTLGVPNQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHKEADKRGKIYDRENSSFLFNLNNEYVLDAYRMGDKLKFANHSPDPNCYAKVIMVGGDHRVGIFAKERISAGEELFYDYRYEPDRAPAWARKPEAGGKDDGQPSNGRAKKLAQNTRA >Et_4A_032387.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:5534621:5535010:1 gene:Et_4A_032387 transcript:Et_4A_032387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAFPPSVSPAASIFPCSIVDNDVRPRWPAPTTRFFFRVAAAGADGRSPVRHPMPLPSRRRGAATRTVEKVADRGHRPPPAPVAQAARAGKRGAGARRGRAPFRPPQVRLHNGYEEWRKITGFILSPI >Et_2B_021217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27579629:27586216:1 gene:Et_2B_021217 transcript:Et_2B_021217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATVMSGEANKPFMVEMRAEAMRLHSKDQSREGKVEALEPPIDTWEPTLEAYIRYLVDSKLVFETLEAVVNRAAVPWYAELRDTGLERSEALKKDIEWFRQQGHTIPEPSALGITFASYLEELSENAPPAFVCHFYNAYFRHAAGGRNIGKMHQRAL >Et_9A_061523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13080264:13082203:1 gene:Et_9A_061523 transcript:Et_9A_061523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKPISTTTVAAAVLHGDHQIAAALLAAAGALRGEGNAVPVPGSLPPGPHRHLHRPHNPLPPLLPHPSCSRRRPQPLPAPHLSAGSEPQACGAADALLRFRPGRCLSTSAVSGDEEEGSSPEQEAGASSDQEHVGRVCAAIADVVAAGTDANLEAALSALSPPLSEALVLAVLHRFKHAHRPSHRFFRWAAASGGFTHTTITYCKMVQILGKARQFESMVALIQEMGKAGALSMDAFKISIQSYAAAGEIKNAVGVFEMMRKYGFDNGVESFNCLLVALAQEGLGREARQVFDKMHNRYTPDLRSYTALLLAWCNAKNLVEAGRVWNEMLEKGMKPDVVAHNTMIEGLLRGQRRPEAVKMFELMKAKGPRPNVWTYTMLIRDHCKRGKMDIAMWCFEDMHESGLQPDVATYTCLLVGYGNAKRMDRVTAVLEEMTQKGCPPDSRAYNALIKLLTNRKMPDDAARYTRR >Et_1A_007228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32342299:32348107:1 gene:Et_1A_007228 transcript:Et_1A_007228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVDAAGAERSDQHNRKKPRLGTGMPLADAVVAGGAEAAASVECGSMAVEEIVQHPLPGYEAPGALSFSPDDRRVAFLYSPDGTLHRKVFTFDPAQRRRELLFGPPDGGGLEEGNLSAEERLRRERSRERGLGVTRYEWRAPTSGGPTNRAGIVVPLPSGVYFQDLSGSEPVLKLQSSPTSPIIDPHLSPNGSMIAYVRDDELHTLGFFNGETRQLTYGASESGKVHGLAEYIAQEEMERKMGFWWSPDSKHLAFTEVDSSEIPLYRIMHQGKNSVGPDAQEDHAYPFAGAANVKVRLGVVPSNGGEVTWMDLLCGEPTGIHGDEEYLGRVNWMNNNTLAVQVLNRTHTKLKLIKFDIHTGKREVLLEEYHDTWITLHDSFTPLDKGVNSKRPGGFIWASEKTGFRHLYIHDNDGVCLGPITQGDWMVEQIAGVNESSGLIYFTGTMNGPLETNLYQTNLFPDWSIPLQSPKRLTRGTGRHSVILDHQLLRFIDVYDSMKSPPVIMLCSLLDGNVIMPLYEQPLTVPPLQKFQQLSPEIVQFAAKDGTALYGTLYLPDERIYGPPPYKTLINVYGGPSVQLVSDSWMSTVDMRAQYLRSKGILVWKMDNRGSARRGLHFEGQLKYNIGRVDADDQLAGAEWLIKQGFAKPGHIGLYGWSYGGFLSAMCLARFPEMFCCAVSGAPVTAWDGYDTFYTEKYMGLPSEHPDAYEYGSIMHHARSLKGKLLLIHGMIDENVHFRHTARLINALMAEGKPYEILLFPDERHMPRRFSDRIYMEERIWDFVKRNL >Et_2A_016430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24766444:24770043:1 gene:Et_2A_016430 transcript:Et_2A_016430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLTAPPRILLAGDAHGRLHQLFKRVNSVNQSTGPFHALLCFFSPDGDAEGDVAEGDIEGRASVPIPTYFTGDYGPAAPRLLSKAAAAARGFSPSGIEICPSLFWLRGSNRFTLHGLSLVYLSGKKGTGGPGCYSQDEVDALRALAEERRFIVLMNGQPEWPMGLILPICLVRYHIAGTKGVFYSRDPYVNDSAAHVARFIGLANVGNKEKQKFIHAISRTPASTMPSADIHARPPNATLSPYVTPVNSVPNEEATKHPAENNDVQYWRYDVKRQRQGNAERGRLCFKFTSSGSCPRGSKCNFRRDEEAMEHYSRNVCFDFLNKGKCERGPECKFAHSLSGESAAKDARPRSERRRVESSCWFCLSSPDVESHLVISIGEGYYCALAKGPLVPNCPNDTS >Et_5A_042656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24464700:24467306:1 gene:Et_5A_042656 transcript:Et_5A_042656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPAASPRRVVICGGGVVGACTAYFLSTHAASPTVPTLFEKCAPACAASGKAGGFLALDWCDSVPALSALARASFALHRQLASTLDGADSYGFRPVHTLSICVPTVTKPSTSPPHPRLPAWVDPEASAERPRELGTPDTTAQVHPGLFTKAVLAASGAEVVIGEVERVVVRNGRVAGVVVKGRDGGAVVDADAVVLALGPWTGRLEVARELGVSGLKGHSVVLRPRQPEKITPHVLCLTYQPEPGAETLAPYVFPRPTGTPLTSLTRFMSNYDLLMLIVYIGGLSKYEDAPDDPATIIAEPDLIAVLHKVAGKVSSHLKPEKGAEVVAEQACYRPCTADGLPIIGEMPGVKGCFVATGHAHWGILYATATGAALAELILDGKAKTVDLAPFSPARRRDLRLALDAGDEERGGAEPSE >Et_3B_030966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9429144:9429635:-1 gene:Et_3B_030966 transcript:Et_3B_030966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAKAAGHLTVPNVQALAQTWNESGEEVPERARDEEIVVVGSGIAVVDLGRLLDPQSSEEELRKGSACQQGFFQLSFTSCVQIINHGVLDEVIQDMRRDTTEFFKLPLEAKEVYAQLPDSWEAYGHASTSAN >Et_7B_055504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12471464:12474085:-1 gene:Et_7B_055504 transcript:Et_7B_055504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSTAGSEGSLRTVASSPATGQKMLGAGRLMELEATGKRARGASSGVLAVDRLSALPDCLLHEIMSYLKARQVVQTCVLSKRWTHLWRSVPSLDLDMEEFKTAVENNDTSDYSSSEDSDDDNSKQWEQFEDFTDHLLIPNNISIAQLDAFRLHVPCARRWGKRAAKWIRYGMKYNAQNLVIDWTTCDSLIISAPAVVDLFLALTSYDAAAVSYGGMPSLFPKYLKRKGMAKSKRVPTCEILNLVDAECKNLKLTEIIYKDYYDVRQLVEQQAFIGSVQ >Et_1A_008775.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12561868:12562278:1 gene:Et_1A_008775 transcript:Et_1A_008775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Et_1A_004962.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:19484043:19484216:1 gene:Et_1A_004962 transcript:Et_1A_004962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQKYNYWNQQSKNGVQCLAILPSSTQESVLGSQLQWGWQMTYDLTANVLQATLVA >Et_1A_007039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30368495:30370593:1 gene:Et_1A_007039 transcript:Et_1A_007039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEVGGMENLGFTRVDVKNKLFTRRSIKIHKVFKLFEDQVMQTWNCDLFYCPDEGTKKLSRIFNQIAARAAQSKEASSISTKAAEKLAEDIEKCLKIRSGPDLDNSPAPKDSNQNVKTRKPRGIKLKTKEVRGSARPIGGFEKSSRSRKKKKYDSDILSQAPPQTNATMMGHLETASTQNLLHIPEYYGSVGASMQPSWVTPMPMPQQSHGSVGASMQPSWVTPMPMPQQSQALQEQRPIEPFSAGLGFYNMFH >Et_7A_052901.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:24710310:24711281:-1 gene:Et_7A_052901 transcript:Et_7A_052901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVHKEDEAGTPPALVAPAVVVKGKRSKRQRVHAPPVVLTAPEWSSSAASATATAAPGEEDSGSTSRSADEAASATGCVTEEEEDMALCLMLLSRGEPAAAAKAVKGGEAVVKEARFRSRRPAADGAAAGEFVYECKTCNKCFPSFQALGGHRTSHKKPRLLPPAEADKNPSAATVETSPPSPSPPPPAQDSSTTADATVLAIPVPVVPKQEPVAIIAAAPISSSSSKHPRVHECSICGAEFASGQALGGHMRRHRPLVPAAAAARDDGAPRKEKSLLELDLNMPAPCDEAADTSPRFAFTERQPLLFPAAAASALVDCHY >Et_5A_042787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4342009:4343479:-1 gene:Et_5A_042787 transcript:Et_5A_042787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSRPVATPSPFSSFKSNTIDQERPHLSAACIRSFVKHLSSASSAARSKTRHLHTMSSATSHDQKQPQQGHEQAPLKPHIKKQARRRMHTSKPYQERLLNMAEARREIVTALRIHRANMRQQSRYHHQEQHQPRLQQPVQVMFQEQRQAFEGVPVAMSYASAFSDNHWCSSPLAHLIDGSPAGNYSSSMRSYGLTPLEEAPPAIGGLEQLACTLPAQPLGLNLSFQGFGGSVDNAKNSDDPFGVALIQSSSCPASSFSVYSSPSTVHGSLALRVTEDYSSSADAQTALSPVLDCDMCLIGDGERQGTEWSGAAANVAAPSAWWTKIFESMESGGVGEGVGVPKTRTEGEVGMADDAEAAAGLLPDWQRLCDGVRVEQEVTGPNKPDALEMHVDDGEAEYSCCYEGDHSYNGGDIALPCVDIGDIEGWDDGEWFS >Et_6B_048544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10622024:10624975:1 gene:Et_6B_048544 transcript:Et_6B_048544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLSNKHKYEVVRLLYHWSVLLVLRANLRKLRINHRLNRLLLDKWKYKDIPRKEVSLFWRISLQLDTLRLLGNLDEALCSVTPNSYVSQQENEKQLIHQNGTYEYPNSAFHHFEKDCSHEIFEQHAKETSNEWHFEIFQRVDLNNLSELDTRPVPSSIISCQQAVGEIFEVTEACTKLSFMSKVVSYTLACWRDLNNLSELDTGLIPSSISSCQQAVGEIFEVNEACTKLSFMSKVVGYTLDAKETSNEWHFENGFLLASFLFNKLSVRSQKSLRPAQNCLSCLKLLVTHKLPSLANRLKIGGKDRQM >Et_1A_006154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18519569:18523525:-1 gene:Et_1A_006154 transcript:Et_1A_006154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRDLHLLLAAALALCLVVDGVSAAAASHGSFDPSRVVQLSWRPRAFLHKGFLSDAECDHLIVLAKDKLEKSMVADNESGKSVQSEVRTSSGMFLEKKQDEVVTRIEERIAAWTFLPPENGESIQILHYQNGEKYEPHFDYFHDKKNQVLGGHRIATVLMYLSNVEKGGETIFPNAEGKLTQHKDGTWSDCAKNGYAVKPVKGDALLFFSLHPDATTDSDSLHGSCPVVEGQKWSATKWIHVRSFDLPVKQTSSSDDCEDDNTLCPQWAAVGECAKNPNYMVGTKEAPGFCRKSCKVCAQ >Et_1B_009900.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9857250:9858307:-1 gene:Et_1B_009900 transcript:Et_1B_009900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HLHLFFCCALLSIYLSIQLQQFLQPVAIPWAPTTTMVLPPPLSAACAAITACRTSCSGAVSAAHGCSTGTRSSITVICSFKFNGPNRDCTEYITVRSFRYCSELYPRETAYRSCNWCLTDGGGGGRRKPPVKTPTMVASKRGRTNSLDVSGDMMSPSGGCSRSAFSAEPGKPVKKPKTKKGAGTMEQRPVTTAAASGRTEGKAGTGKKLKVRFRAKVRRYKLLAEVIC >Et_6A_047547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7109162:7116447:-1 gene:Et_6A_047547 transcript:Et_6A_047547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADIGQLCVDLRKQLDRKRYFIVIDDIQTEDQWKSIKSAFPDNDITSRILVTTTIQSIANACISADGYVHKMSRLDEKCSKQLFSKKACPEKYLRYKQPDPTEILKKCDGQPLALVTIGEFLKTKGWPTRPTCEDICNQLHYHLENDKTFGAMRQLLIRNYTALRGHALKSCLLYFGIFPSNHPIRIKSLLRRWLAEGFVELQSSHATLDPANYFDVLMDRNIIEPINVSNNEKVKTCQTYGMMHECILHMAIAQNFITMFSDDKIEPRYVRRLSLHNNTVTDEDNLNTIDLSLVRSLTIFGKASEAFLDLSSLLLLKYLSLGGNITRLPRDVAKLKGLEVLDLRRTNVNILPVEIFEMPCLVHLFGKFKPKFEVLDFLSKGKSNLQAISGFVFDGSQCFLHLMSYMKKLRKLKIWCEPSADADSTNLTDLQEAIQQFIKDKNDANTGPRSLSLHFDKCSEDFLNALEGPCYLSSLKLYGKFSALPEFIISLRGLKELCLSSAKLPKGLLEVLSELSYLKYLKLIADDLEKIIIKDQAFPRLLRLCFVLQRPTFPTIEEGSMPFLVTLQLFCKDLDGLSGIKMEYLRHLKEVFLDHRVAPDTRKEWERVAKKHPNKPKVLSTKSTVKAESELREDSIASDLDRLESTEISVPSRGSVQEIDTQMLVDQELESSAATKKQISCAVQSSSGDELNSESSVMGISDVCPLTEFSELADTQNILPADVYAASELAKTKSEKYSSNASIQEANTQISNNQGFESTISQRKQNNFAPQSSSIGELNSASQDMGISEDSPLTNFYETASSAEKRVKIDSLENTAALEESIRQTNTQIPNFAWRRQPARRHPDVPAGEYRNSQVECSTSSNISPKAEVIDVE >Et_2A_017444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34408394:34411341:1 gene:Et_2A_017444 transcript:Et_2A_017444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSAGGSAERWRVEAARAFQHYLDRAAPHTAGRWAGTLVAAAVYALRVYYVRGFYVVTYGLGIYLLNLLIGFLSPMVDPELEALDAGPGLPTRGSDEFKPFIRRLPEFKFWYAITKAFCIAFAMTFFSVFDVPVFWPILLCYWIVLFVLTMKRQIVHMIKYKYVPFSIGKQLSTEPYKAS >Et_2B_021319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28677821:28680981:1 gene:Et_2B_021319 transcript:Et_2B_021319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPEHAAEAAGAPTLSIYKAARRIKRRESTLYNALRSVAEDAAFVAEVAALWPALPLVANLRCGLWYAPPRAFAATCYFKSTDGHAGNWSFSTARLNLHLALLAGERGGCIIVDSTRKGKRFPDSMSKTIPIWCCVLNRAIERHRLQAINKGSGVNNEVSAAMLNGEAEKTSCSSDWDSSLHLPVWVLDTEKNAIGERIEKWTDQFESCGADIQSLLLGLRKPLRPLWISPRTRIWLNEVPEHESWDFTPIILISASASDAVSTLRMSSEFSWHYIPGAGDDEESWARGLTPTLFWKHSYDLLDAGPDLCNQLVADIVERDRVYRAQRGEYSPQITAKPMKTLDGPNCGDDHITSMWPMNSNSCISTTDAQYSTNGNLLFWIGTSNLAVSSTFQVADALVGVDCILNCDSTSTLPSNSSENSYLELPIVGSKDDRFSLNKNLPKAVDFAKRNLIAGRKILVCCQNGEDISICVALAIVARLFDDSGCFDNGNSFVKREITKLEMRKRLVFICKFAVNARPSRGNLKQVYGFLCNEKDQSSCLT >Et_6B_049515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:630146:636362:1 gene:Et_6B_049515 transcript:Et_6B_049515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKADANTETGDAAAGGSGGGGSFSEQRLVEKLNKLNSSAASIQTLSQWCIFHRKKARRVVDTWEKQFNSANSNKKVSYLYLSNDILQNSKRKGGEYVNEFWRVLPTSLKYLYENGEEEGKKVVARLIGIWDERKVFGTRIESLKDEILGGSLPTLDSNGKNSDPASNPKSARKDSSTIIKKLTVGGMPEKIVSAYQSVLDKHFDEDTALNKCKSTVGVLEKMNKDVDDACNNGIQHGSSLISDLQEQETILKQCIKQLESVDTARATLISQLREALSEQESKSELVRSQLQVARAEAEQISEKRQRLRGAHVINGAGSNSSPIMIAPTVSVAGDEPKKTAAAMADKLASLSAPEQVLSSIFSSLAAEHAASMNSGSSSGELSGPPGFQLEKRPRIEKPTQGGDMGTPPFFGQVPQVQQQIGAVPTSVGGTQPPTPANQAPGSFPPTPPPLPSLLPPLMQQFAQNTGGMIGMGPFSMMGGSMPPPPPLSNILPAGFPRPSGPPPPPPLPPAQSLPQQQSPQAPQQSPTSGGFFQSSGIGFFPPVQVQQSPSVQRHNGDGRKNCFRDKQQW >Et_4B_039469.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:17801183:17801371:1 gene:Et_4B_039469 transcript:Et_4B_039469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLKLRILSVAAAAAVVASSLVATASAAEGPAPAPTSDASMAAPAFAAASLTALVFGYLF >Et_3B_027520.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24654366:24655481:1 gene:Et_3B_027520 transcript:Et_3B_027520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QQQHHHRRQQPQARGGDGVSSGESTPVDAALATAFGSGRIVRSAAGRKDRHSKVCTARGLRDRRVRLAAHTAIRFYDVQDRLGYDRPSKAVDWLIRNAKAAIDELPDRPEVPPPPAAEEGAAADQACSEQAEQQVTSTSYGFGGNHAGGGAISGVAGSFIQHSVVGGADGVSGSVKSLFPSSSTASTTQAHDVEYRGSPPDLLSRTTSSQPQELCLTLQSNQHQIFSHVSSNHHGMISSAGVAGWPEHNQQRMPSWHASENSAGDGRGASGNGDSYMFGMQQQQQRRQGLDQSQLFSQGETLQSSGGWASARSWLDPLAAIHQPSSAMAGQVGFSHLVGAAGGFMGFLAPATAQRLQGEEDEEESEAMRRD >Et_9A_061441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1258872:1263507:-1 gene:Et_9A_061441 transcript:Et_9A_061441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDDFGRPFIILREQEKKSRLRGLDAQKSNIASAKAVARIIRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAEKLLERGIHPIRVAEGYEMASRIAFDHLERISTKFEFSADNIEPLVQTCMTTLSSKIVNRCKRALAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGIVVDKDMSHPQMPKRIKDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLREQEQKYFDEMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELSAEKLGKAGLVREKSFGTTKDRMLYIEKCANSRAVTIFIRGGNKMMIEETKRSLHDALCVARNLIRNNSIVYGGGSAEIACSIAVETAADRHPGVEQYAIRSFADALDAVPLALAENSGLSPIDTLTAVKAQQVKDNNPHCGIDCNDVGTNDMKEQNVFETLIGKQQQILLATQVVKMILKIDDVISPSEY >Et_2B_022164.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:127768:128676:1 gene:Et_2B_022164 transcript:Et_2B_022164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPAASGSLQAALSYCVRQVRSYDYHHYLCLLHLPPAMRQAAFTFRAFNVETAKAMDVVSDPKTGLMRLLWWKDVIDKIFAKKLVEHPVARALSVVASQQKISKHWLKRSVDARINDANRDEGAIPETTADLERYAEDTQSTILYMTLQAGGIQSTIADHAASHIGKASGLLLLLKALPHHVSKQGIIPYIPASVAEECGLLTREGGRSEVRMGERLPDAVFKVASVAEAHLQKARELASSVPREAVPVLLPALPAQVLLDSLRRCEFNVFDSRVSRGVHGVSPLWYQVKLNWNAWRNKY >Et_5B_043678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1409263:1412777:1 gene:Et_5B_043678 transcript:Et_5B_043678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLPCSGSSGKEAKNMAAFSPSPRPAAKAAPVRSNSRTWGSKKEDSVPVRRGGNIAHGPAQIFTFRELAVATKNFRRDCLLGEGGFGRVYKGHMENGQVIAVKQLDRNGFQGNREFLVEVLMLSLLHHPNLVRLIGYCADGDQRLLVYEYMLLGSLENHLHDRPPDVEPLDWNTRMKIAVGAAKGLEYLHDKANPPVIYRDFKSSNILLGEDYYPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRRAIDHTQPSGEQNLVAWARPLFKDRRKFCHLADPLLQGHYPKRGLYQALAVAAMCLQEKAASRPLIGDVVTALSYLASHPYDPNAPSTKDSRTCPSTPRAKTHRRTTSVPDAQHAANALILNFPDLRKDTSRTGEFEQDHTEGYGSGNSSGRNDGLDVPELLAIHNGKDYREADSIHKSAAKVDAREK >Et_1B_009669.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:12849941:12850702:-1 gene:Et_1B_009669 transcript:Et_1B_009669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEDGDILALLSEPSRTEEQLEASESDDILPAILEAIKSNEKAVELSPEEAAWADSCFVKTSELSDDDWGAMRNALLDSLEKPMESHYGQESIHAISEGEPHSLHAENVSKHEDVHMKQRSSSDDERDSTEACEIADVIRGADEHGKQVGNYATKPEDGDEMTSSKVLDETESRDSIFKVWDLELSFSDDDGELELIKDLKKILKDDDKESPEAVYPPPPPSSDDAMKPLSQISMDELVAGLSDLSIQQTKE >Et_2A_017159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31518695:31540350:1 gene:Et_2A_017159 transcript:Et_2A_017159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEALDLNEQPNENRGGSLTYVLLQKDSKNICRTKVCDLPIEVPFIWSIVSFVPTKAYERRDFPKLSMLPYPEDRKQKAEWGKFMQYLSDYKKAAIVRCGSSTFHILAPQPDESPDFSHAILMFECGQNGSGDYKQTPGLSGLPNVIFNMAQGTLSFHTLMRRLVISRPNPKGMATSHKHHDPLDMELRRSVPESSPCESVEDSPKVLNPTLKKQKTSLTKNFISADPSYLRTLSQTHAGWIFGAIAELIDNSRDAGASRLSISIESLFSKKAQRKIPVLSVIDDGCGMTYADMMRMISFGHKRPNEHCEDQIGRFGIGFKTGAMKLGKDAVVLTQTSSSRSVAFLSQSFNEEKDNLEIPVVAYRKEGHYMEVDLSVQSEATAEYNLNAIKEFSSFNEYFIGEKLGLFGENDYTLEWNSGKLSENPVHNGRGDILIRSRRVRSRPGQTSATGLFSSVIFGTNVPESPNEDNCPKVKSRPLAKTLNNTSVVSGEIMGRSIILTLGRSKVEWDRMNCGIFLYWHGRLIESYKRVGGQKLSADMGRGLIGVADITNLIDDEDGNSWVLNNKQGFQDCEMYAKLEEWLGRKVDEYWDTNYDSLELRKAGERYKPDQDWVQCNSCRKWRMLNAGFDSGTLPEEWPFVGSLIKFVSAKAYRRSDFPKFSLLPAPEDSHQNSEWAKFMRYLWDSKRAAIVKFGSSTFHILAPQPDEHTNFSHAVLMYENEKNDPGGCRQMSYKREFQYHSRNQKPYFNEEIYESGTSKEMSSSHKHHELHRTAPEFSPLESVKVDPKASDPVVRKRATAPRENFIHADPSYLRTLGHTHGGWIFGALAELIDNSRDGGSSRLDISIELLFLKKEDAFVPVLSVIDDGHGMTYADMMRMISFGHKGPKERCEDQIRRFGIGFKTGAMKLGKDAVVLTQTSSSRSVAFLSQSFNEKKDNVEIPVVTYRREGQFMEVDLSIQSEATAEYNLNAIKEFSPFNEYSLGEKLGLFREEGTGTQIYIWNLDKWGTDYTLEWHSRKPLENFAHTGRGDILIRSRRVRSRPGQTSNKVPLDYSLQSYLEVMFLNPRMKMSVQGSVVKSRPLAKTLNKTSVISGEIMGRTILLTLGTSKVEWERMNCGIFLYWHGRLIEPYKRVGGQKHSTDTGRGVIGVADITDLIDEENGHSWVLNNKQGFQDCEIYAKLEEWLGRKMDEYWDTNFDSLELRKGRGHYKADDPWVQCYSCRKWRVLSAGFNTESLPDEWFCCMPPFNGKCEIPEQQLGHGVIVVGEKRTGYHEQNRVAAQKEEMAKKSLKSENLEVESTSEDDPEFASLHAEDSTSRPTLKRLRRGPASSTRPPRNSTTPYSTNCLPSNVCANIQSAGATLYAVTVRPLPPVTSNDSGCPRRSASHCQFWPQFRPMGIHPVRDPFTFTAATSPSAATLVISTCRKWALPVIVNRMPPALSQLTLHHRSPV >Et_5B_045060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9005281:9012344:1 gene:Et_5B_045060 transcript:Et_5B_045060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGPEEDTAQGLISPNKERVMYRPPPGKSALGLDLLAHRKRELEGGNAFKPPPQKVTVAADSVNEDEKPGPAESDATSLSGSSRGNSSRRYRGSGSDDKTSSSEPSVSENERAPTPSRRDESLRQEPYVSRSSQGSCPHSTPRRYDDYDDRGSRDRHGERERSSSIGYSSSGKRGGYHDDRGSHTRRGERERSTSIDYDNKRSRYEHSSRSSRTPARSDWDNGRWEWEDTPRRDYRDDRPGSRRLPSRSPMLGAASPDARLVSPWLGGNTPRSAASPWDNVSPSPAPIRASGSSKGSSYSHSSGRSQSHQLTFSSTSGSNDIDADRSPSNVDGRSEITEEMMQAMDYNADRAWYDCEEHSTMFDGDNSMYLGDESSYKKKEAQMPKKLTRRDGSLMTLAQSKKLSQMTADNAQWEDRQLLRSGAVRGTEVQTEFDDEDERKVILLVHDTKPPFLDGRVVFTKQAEPVMPLKDPTSDMAIISRKGSALVREIREKQSMNKSRQRFWELAGSKLGNILGVEKSAEQVDADTAVVGDQGEINFKEEAKFSQHMKEKADAVSDFAKSKSLAQQRQYLPIYTVRDDLLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTSTGIVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTGPTTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNADKFSKFFGGVPVFHIPGRTFPVNIMFSKTPCEDYVEAAVKQAMTIHIASGPGDILIFMTGQEEIEATCYALAERMEQLASSSTKTVPKLEILPIYSQLPADLQAKIFQKAEEGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGGLTEIGWKMVEFPLDPTLAKMLLMGEKLKCLNEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYLQWKSNDYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCAMEWDVVRKAICSAYFHNSARLKGIGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELVLTTKEYMQCVTAVDPQWLAELGPMFFSVKETDTSLLDHKKRQREDKTAMEEEMEKLRQEQAEAARIEKEREQEKRAKQQQQVAMPGLKKGSTYLRPRKMGL >Et_2A_018268.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20818882:20819694:-1 gene:Et_2A_018268 transcript:Et_2A_018268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLADALLHAAVLLLAVAAAADAATPAATAKPPAASAAAAPPDVLAAMSKGGCKAFADLIAASPEASDTYKSSAEGGVTVFCPSDDAVKAFMPRYRNLTADGKASLLLFHAVPVHYSLGSLKSNNGPMNTLATDGAARNYNFTLQNQGDVVTIRTAASAGAPARVRSTVLDKDPVAIYAIDAVVEPVELFKPAPAPTPAPALEPAADAPKAGKAARHPAPAVADAPGPAGNDAPPEDQKKEAKKSAAAGKPCVRWLAAALAAAAVASALA >Et_2B_022497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23862776:23867995:1 gene:Et_2B_022497 transcript:Et_2B_022497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSGTSMTKSLERYQKCSYGGPDTAVQNKENELVQSSRNEYLKLKGRVENLQRTQRQVELNLLGEDLGALGVKELEQLEKQLDSSLRHIRSTRTQHMLDQLTDLQRREQMLCEANKCLRRKEKLEETNQVQGQVVWEHGANLLGYERQSPQQAPSHVGNGFFHPLEAAAEPTLQIGFAHEQMNNFMPTWLP >Et_6A_047405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5265485:5265958:1 gene:Et_6A_047405 transcript:Et_6A_047405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASLMLYRRCWTSERLQRHNMENYVIKKTEFIDHLLLGCSYSFKQLSRFIVDGRSLGVAGRMSTNGFI >Et_9B_065796.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:12486656:12486907:-1 gene:Et_9B_065796 transcript:Et_9B_065796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARVRDPGPPPRQKLASGGSKSWRATISDPFFILAHLQESATNHDQRQASFLVAALNLMEEGDPRSFRAELPLVVPVAGRG >Et_10A_001910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10962563:10963082:-1 gene:Et_10A_001910 transcript:Et_10A_001910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWSNCPLQIFHSVVCLLTVTRNNGNSSDEPAAAAVARPSRVDDGCAGAEDIAIFQDRASSLPSGVPAYKVEVTNRCLSDDCAIAGIHVRCGWFSSVALVDPRKFRRLRHNDCLLNDGRPMRAGETIAFEYANSFPYRLSVTVATCVDPTDFPGDP >Et_7A_051421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18250385:18256264:1 gene:Et_7A_051421 transcript:Et_7A_051421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVNYMSLLSYQIAKLAESEQLPETPPLSIQNHKSKHDWPSNEGEGQKKPKGSMTILKKRSKIYCVYDIGPPAWMKKKVDFTMVEKHHFTLPRPFCNAIGFQEPCMITLQASMDSTKLWQVQGHTYNTGSYQLGSGWKLFCRDTRLREGDILTINVIQTTLWQVVITRGKENINQSYHAHVRNPIKQSRRKEAKYGSLTNLEHAKPRCIFEIGPPAWVKKKINTSAIEDRLSLPAVFCDAIGLQETCLINLKSSLCGARSWQVCVSPNKNRSHRVTAGWKRFCQENELKVGDACTFNIVETRLWESLPLTFCEAIGLQEACMIILKTSISSTIAWQVRVVPYKSCAHMRQSGWKAFCKANEINVGDVCIFNAVETKLWH >Et_1A_007333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33415474:33416342:-1 gene:Et_1A_007333 transcript:Et_1A_007333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQPTRRRGHGDAVGRNQQTDAAPGSWRAPRVNRQPGGAGRADQTRREGGRKAKRREEPPLCQAAQRGVAEDEGEDQAEQPRRRRGGMRRARPPPVPAAGEAGPEVKYRGVRRRPSGRYAAEIRDPAKKTPIWLGTFDSAEAAAHAYDAAARSLRGASARTNFPASASAPVAAPRPFTARRPAAAAAAAAATSSHSSTVESWSGSAPGAAPIGAVRAAPAAEEDCRSYCGSSSSVLCEDGASGAAGGGEAAAPPPRCSPLPFDLNLPDPSAVADEMDWRCDTLLHL >Et_2A_015319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1171649:1176168:1 gene:Et_2A_015319 transcript:Et_2A_015319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRTLADTFSSLLLSSGGRAGAPMDAAAPSPAAVVGERVALKLKGYFELAKEEIDKAMRAEEWGLPDDATAHYRNALRVMLEAKAARVPDAVSSSERGQVRVYQEKIAKWQTQVEERLRVLGQRGGTVAAAAVAPKKVVVSNPINRNSKPTATSIQKSTFQNSPTFNRGGQASAPQKNTIGGSRHAQRAGGSNDDKLVEMINTTIVDRSPAVRWDDVAGLDKAKQALMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAIERQPSIIFMDEIDSVMSTRLANENDASRRLKSEFLIQFDGVSSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDRNVRRLLLKNQLKGQAFKLSIRDLERLAAETEGYSGSDLRALCEEAAMMPIRELGPQNILTIEANQLRPLRYEDFKNAMAVIRPSLQKSKWDDLEKWNEEFGSS >Et_1A_005475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10750678:10751277:1 gene:Et_1A_005475 transcript:Et_1A_005475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTENTKMITLRSSDGQAFKVPEETIAAASATIKAMLDAGRAADVVPVPNVTAATLSRVLEYVNKHSTDPDDDDDDSLFLPRHDSPLARFDDDFVNVNNNTLFDLLTAVADRIKGKTAEDIRKRFSIVNDYNEEESEEVLRENSWAFE >Et_2A_014624.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29466434:29468295:1 gene:Et_2A_014624 transcript:Et_2A_014624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HTHSPSFPVNRIPSLTTNAAFFLRSPIQAREAEEADTSVHVEHLADISMATTALAMLDQTLLPSPAATAAFGGSDRLSQEIFSILESKFLFGGGAPPELGACSSAGRVRVLSIDGGADGGALAAAALVRLERRLQELSGNPDARIADFFDLAAGSGAGGFLAAALFARRMPADAARDVVVKNRKVLSGRGGGLFFRRPEAAFRKVFGDLTVRDAAKPLLIPSYDMASAAPFVFSRADAVEADAFDFPLWQVCAAACGVGPAEVASLDGRTRLRAAAAAGVGGGASAAVANPTAVAVTHVLHNKREFPFATSAGDLVVLSLGGNAGGAAFRPSSSSLLRIAGACQADMVSQDSSSRQFLAHQPAQQFFCTISSTWAGLTLSLLFQVDQAVSMAFGESRATNYIRIQGNGISPGATAEAALTERGVESVLFRGKKLMPQTNGERLDAVAEQLVREHHRRLESKTPVVLVKPSATPRTSSSSASTLITVSTNSSSSESP >Et_3A_026572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9829106:9830789:1 gene:Et_3A_026572 transcript:Et_3A_026572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKDRPTDEDFVRAFVLFTIGVLLASNTGSTVHWSYIEAVRDIAQIPLFNWGQFTLNHLHALLRLIGAKKPEGKFGSSTGQFYIFWYWERLRVANHYGIKYEERITRPPVMVFWNEGNAKLRQAALEKDGLDGGTLILPVASNTKQKREATVSEAKYKPEGPSNQPDIKFLEHKKELASFRNDSILEDRINSLEAKAKDRIYDESPSVGNDTMETTMEHHSDPAITHIKKREPIIDEDYNTGSIEREAAVFLLQSYEDAWVVDIDGIRIKAGQLRRNVSQENIYGEVIIAYVKLSDVENDTASFISTFDAQRLADTRWDRIKKLYEANCRSM >Et_5B_044744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5336337:5344252:1 gene:Et_5B_044744 transcript:Et_5B_044744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDECLKSLRNRVCQLHLCQADRIVAYIVSCKTPAEIKQYLLASDDQIRPLIIEAISSVVSPAQQFSVLSPAQPPSRGNDKPFPYFQPRVHPSVSSHGFQVPLPIDQTGPLHHGLPHFPPAGNFLGIQAPIPVIGRLPGSLLVLDKEIRELLFSRQQSKVPIKFLANMYTERYGKPLWTEGSSVEGHKHESVGCSLTCLLLGLKTTSVINRQGQRYIVPVEDAPKYLTDDFTVVMPPAIYGSNQIYVTFQPKSTCTKRDAWNYFSRYGAVNDVRIIHGEKYMFGFVSFLCSETVKRVLSEKGPRTPHIICGGQVFVKAYKEKHELDQEQNYIVLVEDVPMYLVHGFKVVVPDATSDQICITFLPEGTFTEDDVWNYFSRYGTVNDVRIPPQKRRVYGYVSFLYPGTAKQILSERWPTTPHHINGDQVFVRTYKEKFEHDPKYLAYDPKLVPPIRSESNQIYLTFVPESTFTEEDVWNYFSQYGPVSDVRIPSQERRRFGYVTFLYPNTVQRILSRTPHFICGEQILVKPYKENHELGKLAGEVASVIHEHHKGEQLSSDHKLFGKWMDNTRDQWILAEKSRVHIAPEMASPPRDNLSVHSVGETGHLQEPSYVSNHLDEAPARED >Et_3A_024935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25423564:25429766:-1 gene:Et_3A_024935 transcript:Et_3A_024935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHIILPKEEEEVKVEGDHDSPAQRPFQAPAGEGAPATGSKTALPFSATCVRISRDSYPNLRALRNASAASIHDDDAAFVKLDEGDYGYVLDDVQHFSDYIPDLPTFPNPLQDHPAYSTVKQYFVNADDTVPEKVVVQKNSQRGVHFRRAGPRQRVYFDSEEVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNEVFGIQNGYKGFYSSNYLPMTPKSVNDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIFKEIRRRGLKVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEASSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLLEYIDRRLKENNHMVIVVAEGAGQDLIAQSIPAADQQDASGNKLLLDVGLWLTHKIKDYCKSKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHAYIPFHRVTSTRNKVRITDRMWARLLSSTNQPSFLSQKDIDAAREADKAASNKENGEDTKKKSASVFANEAPNGVEEPPAASTPPRQPAIAATVPPSAAGDVDNASAPGSGTADTAGAAGDDQEARPNQGTRADEKPAHGTNFSSPATPPKTPERDMAPPTRAEDHAIAAETVPDVDNTTKTDGCTTPVVHGLALEGSDARSPLRGDKHAGASFKRDVVAAKSSLLTFRSFSRDKKVNKSGDAAPPLSTEEPRPVHGKAAEDGAKEKGKERRKRFWK >Et_8B_058772.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3251785:3251877:1 gene:Et_8B_058772 transcript:Et_8B_058772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPSQVFSLIKEEMALREMAYGPGQTSLV >Et_8B_058643.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:12618946:12619473:-1 gene:Et_8B_058643 transcript:Et_8B_058643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPTAPFPRLLPAAPSHPTARASVSPTLRPASVSLSVSLPDRRLAAASKDTASSKGQDQEQDPAPAAEGGAESGEGASAEEKSPEAVAAELKEVLRARKEEAATAGEGGWWGGVVQEMSEIEWPAPGKVVGTTGVVLGVIAGSTAALLSVNALLAELSDRVFAGRGLQDFFSG >Et_6B_049369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:470629:480660:1 gene:Et_6B_049369 transcript:Et_6B_049369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGARSFLFVLLVAGAASSLPPLTTAAPGTPPGAADDGGVKLRVDRRQVLVDNGVVQVTMSRPQGRITGIRYNGERNLLHYTGGSNSGGYWDVVWNYPGSGQPRGMINSLDGTEFSVVSSSEEQVELSFKSTYNPSRPNSFRLNIDKRLVMLKGSSGFYCYSIYEHAGNSPALNISETRIAFKLNRGRFNYMAVSDDIQRYMPSDADRNPPRAKPLAYKEAVLLVDPKEPQFKGEVDDKYAYTLDNKDNIVHGWISSNHPNPMGFWVITPSNEFKSGGPTKRELTSHVGPTSLAVFFGTHYMGKDMVLNIKDGEYWKKVMGPVFIYLNKSPNKGDLRALWDDAKAQAHAEASKWPYSFPMSKDFAKAAERGSVTGRLMVRDRCMANYDMPAGNAYIGLAAPGEPGSWATDSKGYQFWTRATSSGSFTIGNVRPGVYNLYAWVPGFLGDYTYTSTVTITPGCAINLGDLVFHPPRSGPTLWEIGAPDRSAAEFFVPDADPKYASKLFLNKDKYRQYGLWERYPQNDIVFTVGESDPSKDWFFAHVTRKVGNNLAPTTRHIRFNLDHVVPDGVYTLRIALAAAHMSRVQVHVNGGARRGGVFTSQEFGDGNAIARHGIHGVQWDLEFPIRGYLLNQGENSISITQTRAFSIFFGVLVDNGLVQVTLSRPQGHITGVRYNGERNLLQYTGDENTGGYWDVVWNYPGSGHPAGMIDMLDSTEFKVVFSSEEQVELSFRSTYNPSRPNSVRLNIDKRLVMLKGSSGFYCYAIFEHASDWPALNITESRIAFKLNTGKFNYMAVSDDIQRYMPSAADRDAPHGLPLAYKEAVLLVNPKEPQFKGEVDDKYEYSLDNKDNVVHGWISSTHPNPMGFWIITPSNEFKNGGPLKRELTSHVGPTSLVRYADLYPEEDLVFSVGESDPSKDWFFAHVTRKVGNNTMPTKRQIRFNLDHVVPDGTYTLRISLAAAHMSILKHLRRGHVRLHPAGRAFRIMARPDATRILLEEAAVVVISKPKMKASYKALLSLL >Et_9A_061791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16486382:16489032:-1 gene:Et_9A_061791 transcript:Et_9A_061791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFILSLMELGVSAAVHMLFGFYVFSSAVAADISQAALASGSLLLRRPPPAPEGIVDVAAAGERDEGRGAAPVVLDGSPPPIVLVHGIFGFGKGRLGGMSYFAGAEKKDDRVLVPDLGSLTSIHDRARELFYYLKGGQVDYAEEHSKACGHSRFGRIYDTGHYPVWDEQNPVHFVGHSAGAQVVRVLHQMLADKAFPGHDTSEDWILSLTSLSGALNGTTRTYYDGMLAEDGMSMKRISLLQLCRIGVIIYDWLDIPWLKNYYNFGFDHYEMSRRKVGFSGLIDLLLGNTGPFASRDWILPDLTIQGALRLNATLKTFPNTFYFSYATKRTRKLFGITVPSSVLGIHPMLFLRVLQMCMWRHPQNAPLPYKGYMDEDWEDNDGALNTISMTHPRIPIEHPNRLVVNDSDCHPLQPGIWYYKIIDGDHILFIINRERAGVQFDLLYDGIFQRCRKHAFRKSPPTVPNETSQ >Et_1A_008161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4879962:4883815:-1 gene:Et_1A_008161 transcript:Et_1A_008161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRLLALSLLLAVATPIRDITDACSSEIKDFQHLNSSGLHLTLHHPRSPCSPAAPLPADLPFSAVLTHDDARVASFAARLAKTPSARATSLDVDASPATAASLASVPLNPGTSVGVGNYVTRMGLGTPAKSYVMVVDTGSSLTWLQCSPCMVSCHRQAGPVFNPKSSSSYASVSCSSAQCGDLTSATLNPAACSSSNVCIYQASYGDSSFSVGYLSKDTVSFGSTSVPNFYYGCGQDNEGLFGRSAGLMGLARNKLSLLYQVAPKLGYSFSYCLPTSSSSGYLSIGSYNPGQYSYTPMVSSSLDDSLYFIKLKGITVAGKPLSVSSSSYSSLPTIIDSGTVITRLPTAVYSALSKAVAAAMKGTPRASAFSILDTCFQGQASRLRAPAVDMAFAGGATLKLAARNLLVDVDDSTTCLAFAPARSAAIIGNTQQQTFSVVYDVKSNKIGFAAGGCS >Et_1A_006604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25164761:25169210:1 gene:Et_1A_006604 transcript:Et_1A_006604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPRPQGGGGGGGGRGGGRGRGRGDEEDLPLHRAARSGDAAAAESVCQSNPLAVNSRDRHSRTPLHLAAWAGHVEVVRCLCKHKADTGAAAMDDTAAIHFASQKGHVEVVRELLAAGASVKAKNRKGLTALHLAAQNSHLDLVKYLVKKGSDITAKTKGGQTALHVAENDDVRAFLKECEQSLKKGGELTSVKDGSAPSTAESEKANDNKSSGQVEKRKSEGVVGSSSPESKKAKVSLGHLVCENEMDEEEEQN >Et_3A_024514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21532840:21534775:-1 gene:Et_3A_024514 transcript:Et_3A_024514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLPLAYIFDHVMEDLFIFHSALLDFGVGQASDVKMLLEEIGVLKRTIMCVLDQIFSGKLSKPHESFLLDEMSDTITSGRTVKIYRIQAKIPSGGFVKSTLVDLACKYKQFRMMRGVKQNEATAICDKVVFPKVKEDLGGRVILSSAAPLAIYVEEYLHVVTCVIQGYGLMET >Et_9A_061031.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:11370809:11370919:1 gene:Et_9A_061031 transcript:Et_9A_061031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSMMVARRLGWCYVIIMELSWAVRHIGMSIVKMR >Et_2B_021515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30183002:30189334:1 gene:Et_2B_021515 transcript:Et_2B_021515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIASVRAHFAERLERMTMEGQPRAGSERTRLGVYHDVLRRLRDAGATEALAPDFPDKLWAHFHRFNFRYAMDVNVDRAEDVLTHMHLLDKATHPENQPAFSVPVPTEADASEPDSSQSNPTEDDGAATPRRLAAHPEPIFGSTQNLKALVRQASSKNLLDDSDALDALLRPMHEITFASSDRPKGLTQLSTLLGKLNLDIKEVHALSTNDGYFLDIFIVVGWDHKETQQLEEALDKEIHTYKPRIPSTSSCWPPELASKQCGTNTKDNHIDVPKDNTDEWEINFKALKFQDKVASGTYGDLYRGTYFGEDVAIKVLKSDRLNENMENEFAHEVYIMRKIRHKNIVRFLGACTKPKTLCIVTEFMKNGSVYDFLHKRKGCFKLPGLLKAAVIQHKPYDPKADVFSFGVVLWELLTGKIPYEYLTPLQAAIGVGIRPVIPKGTNPKLKQLIEKCWQQNPINRPDFTEILQFLDGIIEEVSMDPGKTHKEKEKGNVFFSFGKGHS >Et_2B_022511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24195904:24197369:1 gene:Et_2B_022511 transcript:Et_2B_022511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREAIKSVDYDEGVRGGGDRGGSAERRVWASFPLDTGFGFRHAALAMPTWETEGLCSGNVAATGRGSSVLTCGRGSPRLLRLMSGVSSSLLRAVTSLSENARHPSGYGTVGRDTCPWLRKP >Et_4B_037692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22676885:22683316:1 gene:Et_4B_037692 transcript:Et_4B_037692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLSYFRQAAPPLFTLKATATTQASGSPDQLEGCIRRRFDASVIHHVAPRHVTPVHLITGQTESSANRRHRVSSRKLSSSAQFLASGPSGSRSMDGTGSSRSSMHVVIFPWLAFGHLLPGLELARRLASRGHRVSFVSTPRNLDRLPLPPPTFASSVDFVPLPLPRVDGLPDGAESTNDVPPDAFGLHRKAFDGLAASFAAFLDAVCGQEETKPDWVFVDTFQHWAAAAAADRKVPCAMLLHCPASMVAAFAGQPQPEQAGTGRHLATAPAPRFETVQQSRMFNTEDDSGISVAKRLFLTLQCSSLVAMRTCVELEPDALARLPTLFGKPVVPFGLLPRSPDDGAHAAVTDGDGAVMSWLDARPANSVVYVALGSEVPLSVELVHELALGLELAGTRFLWALRKPSGVFDADILPQEFEERTRGRGLVAMGWVPQISILAHGAVGAFLTHCGWSSTIEGILSGHPLIMLPIYGDQGSNARLMEARQIGVQIPRDENDGSFDRHGVVRAVRAVMLEEESRRVFRGNAKKLQETVADNECHERCIDEFVQYRTLAEDGEEQAKQGSGRLCAYKSLKTLFHRFHRRALAGLLAMDFDSSTMHIVIFPWLAFGHLLPCLELAERLAARGHRVSFVSTPRNLARLPPVRPSLAPLVDLVALPIPHVDGLPDGAESTSDVPFDKFELHRKAFDGLAAPFSVFLDATCADGMKPDWVVADFIHHWVAAAAQARNVPCAMLVPCAAGVAASAGRPADDSRAEHRQAIARSMSAAPKFEADQAAKDFATESASGESLIGRFVQTLTRCKFVAMRSCPELEPDAFPLLTRLYGKPAVPLGLLPPQPDGTRGVGKNKEDDAIVRWLDAQPTKSVVYVALGSEAPLSAELLRELAHGLELAGTRFLWALRTPVGVHEGGIIPDGFVERTGERGLVTTRWVPQISVLAHGAVGAFLTHCGWGSIVEGLRYGHPLIMLPIFGDQGPNSRFMEERKVGVLVPRNDVDGSFDRDGVAGAVKAVAVAQEGRVFASNARKLQEIVADRECHERCIDGWNSTIEGLLFGHPLIMLPFLGEQDQEAASEGDGLMDKGRLVSLGPMDAADDSAPLHVVIFPWLAFGHMLPCLELAERLAARGHRVSFVSTPRNISRLRPVAPALAALIDFVALPFPRVEGLPEGAEATSDVPPEMLEVHREALDGLAAPFSDFLDAACGDGKDNKVDWVIVDSFHRWIAEVAHEHKVVTETDSNERCLLISSPPSL >Et_4B_036213.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:10977053:10977340:-1 gene:Et_4B_036213 transcript:Et_4B_036213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSASCSGLMAFLASRTSASDAAEPPPLPGEKKSPEPSTELLWRCLGRGGDELSAARSRSEPAAGPAEEIFSMSMKVEKRSSSEPISSRPSPP >Et_5A_040891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14191649:14195634:-1 gene:Et_5A_040891 transcript:Et_5A_040891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRQSGIHGRGDGNETVIQSSPINHEGVRIAKFTQKGWLVTGCSDGFIQVYSSESSDTMKRIKSFSAHDSAICSLDVHPTKPYVLSSSNKEWKLWDHKKGWHCIRVFETEDMIIKVKFNPTDADSFVSFNSCELQIWNFNLPEFAHKFSALRRMECFDFFTRGSHLYLITVSSDTKKAMIWDYESETCLQILQYGVTSVCSHPDLPIIFTGSTNGTVHLWNSNNFRPDGKYNFGLGE >Et_1A_008590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:892625:894273:-1 gene:Et_1A_008590 transcript:Et_1A_008590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSCLSTAAHAALCLPCPKLSGGDAAAWPHLVFACPARAHRRLMADHVVVTTVPVARRRWFDVTVMASQEEGAATAVEEQVAEGQLDGAAQHEQEQEEEQGGGKEEEEEASTIATKLYFGNLPYNCDSAQLAAIVQDYASPEMVEVLYDRVTGRSRGFAFVTMTTVEDCELVIKNLDGSVSTILSAATNASTFPSPIDCLSEMFRSLLDQLYGGRTMKVNFADKPKPKLPLYPETEHKLFVGNLSWTVTSEMLTEAFQRCGNVVGARVLYDGETGRSRGYGFVCYSTREEMDEALSTLNGMEMEGREIRVNLALGKN >Et_10A_001029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20322060:20326948:1 gene:Et_10A_001029 transcript:Et_10A_001029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASCKLNHFRIKELNYVLRQLGLPKQGKKQFCLLQHFVISKIKVYSISLFTKLMLFYFCFFPNAMRIGSHQNELRAGKKMVERETMNVGFHKLLLHPSQDTVLTLKKKPYVSPKFDAVCCPCGNSMPNESMIKVYSENYTKSNFLPRLAALLAIISNKDGEKFDDALAHVRLSICGGIEANIAGSDSDIEVVADSMTSSRIRVDGRFKPCAHMGSSDLEAFIEINQRSRKIQNCGDDISDIDVMSDVSWRVKGGPGLKDLTELHLSDGTLCVATDSGAKPNTGIMKHGIK >Et_4A_033038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17447189:17447731:1 gene:Et_4A_033038 transcript:Et_4A_033038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VKMTLKNFFLGRSDLYLLQIDTAKLADGIIYESTDNENYFPHFYGPDRSFAPLQLDAVVKSDKIEFVNSDFTCNLLDGN >Et_2A_017382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33821601:33822656:-1 gene:Et_2A_017382 transcript:Et_2A_017382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVPLVLGVLLACSALAAANFNQEFDITWGDGRGKILNNGQLLTLTLDHTSGSGFQSKHEYLFGKIDMQLKLVPGNSAGTVTAYYLSSQGATHDEIDFEFLGNVSGEPYTLHTNVFTQGQGQREQQFRLWFDPTKDFHTYSILWNPKHIIFMVDDLPIRDFRNLEGKGIAFPKNQPMRLYSSLWNADDWATQGGRVKTDWSHAPFAASYRGFRADACVAVAGGRTRCGATVGTEGTAGTSAAGDWYNQELDLTRQQRMKWVQSKYMIYNYCTDPKRFPQGLPAECSM >Et_4B_036528.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28475082:28475339:-1 gene:Et_4B_036528 transcript:Et_4B_036528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ADLAFKALTAGLGVATIYLAGTFSVNVYRGLAWHSEQSVSTPHPKPTLPSRRRWRDLDSESPNILPGNSVHIYAEFDCTVAILAN >Et_6B_048933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15685474:15692137:-1 gene:Et_6B_048933 transcript:Et_6B_048933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWQPVVAGDLTTTGMVVAPTEAAAAAEVIRFSMRPGEILIGRNLVLMNGGLRIVLQLPMLMIAVAERRRIITNWRASIVVQSQGENQLMEEANPDHGIEDTPTSGQLNTSAPGTSGTKPTKEETRIMKEAIDRAVEDLLVEFANNVIAEDPVLVGEGMVVFAGAKQEPLSASQACTDLGGENQMTHRVLKSAATHATLQDADQVTAEVEAPVMAEVETPVSPLTVAGKGEQQVGAAVVQLGEHATRVEVLGAADYTTPTTERSNERSQGSTGALSSYSDVVRGSVSPKGNLTAMFAAKTGGTGSQLPIDALELIRSSPTLPDSPPLQVQAKTNLLSQLNFSLSSSCICNVRKAVSTKINRPKGVGRAVTRVQLEFLDDESAGGGRRRQLLAINAARLQRCLDEYPRNSR >Et_8B_059714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20981071:20983752:-1 gene:Et_8B_059714 transcript:Et_8B_059714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDAGDDEPPVLLDRASRATRGKRITKLLEDEVEQDEAFWNQDALKDEEHDDNYEEEQDAGDEFDSDFGEDESEPDGDPEKEVQERLPIKKRLVFPGKTLRKTNVKKKKVTPKLEDDDSKTEKSTDKPSPSRQADAPDEFETEKIIRKSTRTSVIVRQAEREAIRAEKEATAKPIIKKKKEGEEKRMTQEEMLLEAAETEIMNLRNLERVLAREEEVKKKAVVHKDTYDGPIVRFCSRDGESRLEFINGATFGSELCTTSAPYPDKSICVVTGLPARYRDPKTGLPYATMEAFKIIRESFLKEEADTRRPNMSNMGELFESITGEYSMPKKRRIDVRSPNVSAGRRHGGRFRQIPALDSTDED >Et_2A_014749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11838761:11840418:-1 gene:Et_2A_014749 transcript:Et_2A_014749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSRWYASSGLAAFSHRLTKQLAVANKQENSSGADGEIKKPRNLVFSPLSVYTALSLAAAGAQGTTLSEILEVLGARSRERLADNVRFMTERAFPDERQPGGGPRVVHACGLWHDARYKLKPAYRDAAAASCKAVARAVDFLKKPKEAVDLINKWVAAATNNLITSIIAGAVPRDTDVILVSAVYFKGLWAKPCNKRSTKLDTFTRLDGATVDRPFMRSTERQYIGCHHGFKVLRLQYKQGPPSGRFLMRVFLPDAHDGLWALVDRIASGRGFLRDHLPTQLVAVGKFRLPKFTPEFSGDITSTVKTLGLHHVFDTGEADLSKMVEGKGRLALSRVIEVNEEGTKAAAVTGVVMCGASARKAQVPVPVDFVADHPFAFFVIDEVSGAILFSGHARPELNNIKRTHEKFYLESVQLYQCSR >Et_2A_018232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19225703:19229793:1 gene:Et_2A_018232 transcript:Et_2A_018232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLAAAAFVRRMAPARPPVPVPAAAVSAEAEAVTCGRGDKKTKRGKRFKGSFGNARPKKEKKIERIKDRVEAVHPCLHLSDIVDAKLAAVVVDINTREMITDLELRCSPCGAVVAGIPQLTDKGIHFVTGACHNLKSVRLINCSSLTEKAAMIIASNCPALQNLVMFRSSITNDGLSQVAKQCNSLKSLHIEGSSYVTEASLRSLVQDAKRLESLTLGSCPQIGEDAIMSFLLDHPYLEKFELKDMMAGESHLSGARQSSPFERHVEECPKLEVIRLKNCESIMNFFLEWVSMVCSGLKELSLIGFEGDVQEQDISAHFSLILQRNIITKIEVGRCCQLTDIVVCHIAQSCLRALQELILDDCQLIFGKSALVLSYCCPNLIRLGLSRTQVKDDQIGTLLTHGYECLEELILMECPWITDSTLHILALFARSLPKLKRVNVTGCPRITQDTMGASDLNGSVRSHHGHLQRHGSKPLFHIFTIRGWCGVTLSTGNQGESSKPSTGCRVDGLRSRLARHHQPAQLPHRTR >Et_5A_041043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17135426:17135888:1 gene:Et_5A_041043 transcript:Et_5A_041043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPLVNFTAHPTLCAVTTLAFRNANWALSLKNTKALETAYKFDTKATRALGECRQGVPSSYGEDTGVPPRQKLQYSLWRWGTPVRGCGGGDRRGEAHVTGEKKNARSRHRSFLLKLQLATPCTQGVLFKKDECKQARGL >Et_1B_013723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1464345:1469240:-1 gene:Et_1B_013723 transcript:Et_1B_013723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPAAAVIPKPDGGDESVEIREVWADNLEEEFALIRDIVDEFPFVAMDTEFPGIVCRPVGAFRSPADYNYATLKANVDMLHLIQLGLTFSSPRGELPALGAGRRRCVWQFNFREFDDARDIFASDSIELLRRSGIDFRRNTERGVDARRFAELLMSSGVVLNDSVYWVTFHAGYDFGYLLKILTCSSLPDTQAGFFKLMKIYFPMVYDIKHLMKFCNSLHGGLNKLAELLDVERVGESHQAGSDSLVTSCAFWKLRDSFFAGSTEKYAGASLISRRVIRVCRSARARTTPQRKQHLYLVVDDWERGYSIRKLDIDAFHTGADADLSPRPFAEPPIARIEAPHVRSWNFVSHGSKIFAMKAKESSPAIPAFDADTLALTICPWPSCRADYVIPLFVSVGGKLFLPHRVSWRSATIRRQQGPLVLDHHQGATAVLQRAGRTLFVSAGGGTFSFDAERLEWTRRGDWLLPFHGQDYFDAELEAWVGLCGDDRDNAGRLCSCDVPPVDAEFTRPPPSRKVCKDKLFRKEAELHRGAKLVYMGDSKFCVVESMFHKDERHLRRDPTTDDLDDVPCPRRRRRVLRMTTFGLKYDKSRGAADHAATRSRLYDVYAAS >Et_1A_007144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31251767:31257326:1 gene:Et_1A_007144 transcript:Et_1A_007144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNPEKQPRFVIIIVTLTVQDNLLETTTVAQMIDNEVDFGNILDDAEEAQPASKLSLKPRVKPRKASLQSKSSAPTPAAKVKDGKAGAISQGNSSEEHSNVQGRAPAACPVSVTVDAIAGSKGSPDTRFEDVLTVPLGPLSNCGLVSGSAAGGSSAAVSVSQDDEHANDSSKIGTHHESLAVSDIHAAPASSCGKTIDDMVDFGETFDAQAKEETVSKLQPRVQVKLPKLAVKSQKKKVAASTIGVVIQNERSDANQVGVKADHIQAPRCHESGGQTSDSETLMGAEPKGKGKSVSFSLPDASDRVEPRDTNSEMDDFSRFCDEFYISPQQTTQKEYIESASQYPEGEPSDQAVEQQPKSHVGETGSSMKLRSRKKLQKVGISQETAADYDEDFVEPSASENDNDNSVDYTAGSKRKIRRKSRDGAEEPQQQDVQNDGSQVPSRGRKKTSKDAPTEKTEKKLTHRIRQKRMKEVKTLLEKPYDEIDHMKLSAAHLRLLQEARGRINLMSYLSVSGSSQHENPDDFDYNRYDETENFDEDRTETNVENVTKLNYHSYMNRKTRSKWSKSETDLFYQGLRQFGSDFAMIQQLFPDKTRHHVRQKFKAEEKKNPMLIHDAIIHRSGDNLYFKKVIKQLNIDDVVPDISSTRKQDNASNEGGPANENASDDFINEEESNSNQMDTEQDMHMSDVQEEEHAPGNSDDDLGDIFDWFYTELKNIKDAKK >Et_8B_059948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4319517:4320173:-1 gene:Et_8B_059948 transcript:Et_8B_059948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGPESVAFDGRGGACQMAASSSTVPGTWDGPPSHRRFGIRFHRDNGYLYIADLYMGLMWVGQNGGDATVLATEAAGVPLSSTNGVDVDQVTASSTTFTWAQHELVTYTGDSTGRIMKYDRCTNEVMVIQSDVTYPNGIAISADRTHLVILSTGPGYSRSGFKGPRLAHSRYTGSGAEYRERCK >Et_6B_049651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:806852:809614:1 gene:Et_6B_049651 transcript:Et_6B_049651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWEVTKVVFERVHALDPENASKLVGMILIADNSEKEMIRLAFGPDHLLHSFIDRARADLAAKPASPPSPMLGSSQTGRPWRMPSSGGEQMGGEALYPDEYDCCDAEVAAAGAWRPCMFFSRGFCKNGSSCRFLHSLPEDDAERDMAVMERAKAMAARPPQFMEPAFPFSPSPKGPNFLLQQHSDLQRSAAILLGGEDLSRFSVRSPRMMDRSVDFMTHASRQIYLTFPADSTFSEEDVSNYFSVYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILSKGNPHFVCGARVLVKPYKEKGKPPHNAEFARCMTPTALHNPKDPFDVQQPQIGPRMMLADIAGREAFLRRKLEEQQQAAELQRAIELQGRRFMDLQLLDLKNRGHHLSSPIGSPMSFGQTDGKGNVNGNGNAAHLEDVTINQDNKLNSSRLAMSAPAFSALSEISAEGKHEEQQKEEDGDGSGTPKQAVNPGEEKKRESGPVTATPDVACGFQESGVDQNLPEGLLASIDSDSTGVIAQNENISPHHVASSLFPPTSSHEQPPYNSCFFQVPRFNSGHEAIEL >Et_1A_004950.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:18565413:18565610:1 gene:Et_1A_004950 transcript:Et_1A_004950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >Et_9A_062995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7897026:7900539:1 gene:Et_9A_062995 transcript:Et_9A_062995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVQLPNLAGVRRPATAAAVPWSCRSGRLTVSAAAPGGPVKEEEEKGAGKKDKIVIRVSDPVRERRLPPPLFSVPEAPSEPPPAPDDVVFKDPLNNFSGIDNYKRIFWALQFIGQILFKAVWIDIVSVWQPVGNVIMIRWTVHGIPRVPWEGYGRFEGTSEYKLDKNGKIYKHKVDNIAKNSPTKFRILPVEELIRSLGCPSTPKPTYFEMTSYWLTLTWMQFYLTLVLIGATLAKG >Et_1B_012293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30541567:30545553:-1 gene:Et_1B_012293 transcript:Et_1B_012293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEAYYYYFLFLALLLPLVLLKFRKQRRGGDGVRLPPGPWRLPVIGSLHHLIGKPLVHRAMADLARRLDAPLMYLKLGEVPVVVATSPDAAHEVMKTHDVTFATRPWTRTIRIMMEDGQGMLIPELLSARRVQSFRRVREEEVARLVAAVAAAPPGKAVNVSERIAVLVADSTVRTMIEDRFRRRDEFLVSLEEGIKLISGFNLADLFPSSRFVNFVSDTARLAYANHRKSFELMDSAIKQHEELKVAVNGTVVEEHEDLVDVLLRIQKEGGVNTPLIMGIIKAVILDLFSAGSETSATTLQWAMSELMRNPNAMKRAQEELRANLKGKAKVTEDDLPQLTYLKLIIKETLRLHPAAPLLLPRESRESCKILGYDVPKGTTVLVNAWAIATDPKYWEDPEEFKPERFEFSTIDFKGMDFKYIPFGAGRRICPGMLFARANMELVLAALLYHFDWTLPDGLKPSELDMTEEMGITVRKKKDLHLVPIVHITMAIEPVLYSCLFLPLLLLPLVFLKVRKANGKGGGAVQRLPPGPWRLPVIGSLHHLLGKPHAHRAMADLARRHGDAPLMYLMLGEVPVVVATSPAAAREILVTQGASSASRLVRPAMRALMSDGGAALASAPYGVCVLELLSARSFRRVREQEVARFLAAVAGAACAGDDAAVNVSERADAMVADAAGRAMLGDRFERRDEYLDGVAEVRDHVLGFNLGDLFPLSKLAGFICGSTLPWAEAIRRKLFELMACAIRQHQEQIRSDRDGDEADILDVLLRIQREGGYEIPLTMAGVKDNIFNLFLAGTETTTATIEWTMSELMRNPRILHKVQAELRDKLQGRWTVTDDDLPGLHYMKQVIKETLRLHPATPLLVRQCGEACKVLGYDVPKGATVLVNAWAINRDPNHWDDAETFRPERFEDSAVDFRGEDMELIPFGAGRRMCPGVAFAQAMVELALAAMLYHFNWELPAGVAPRDVDMEEAAGVVVGRKNDLYLHPVVRVPRTALAV >Et_8B_060115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6066487:6066854:1 gene:Et_8B_060115 transcript:Et_8B_060115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFIPDLISCLKKGPTVSDLPEVFLMEPFLIFLQILNKLFGYEPYPGFCKNVDKKMEADLKESRELKQKKIT >Et_10B_004024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9383827:9388893:1 gene:Et_10B_004024 transcript:Et_10B_004024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDICLSAGPAGSLVWKALGDLNVGPRAAWDPWNYRKEQQATSLVYIASPHGFIQTRHGSDDRSQQWLLEDMRLQVGEHQVHHRLAGLVRPASHMRHQDDVVQREQLPGHVGLVLIHVQARAAKPALNDGRDELWLVDMRAAADVYEHTLGPQRVNHLTVDDVAGLLVQRAGDHEYVAVGSQFNHRWVVGVVGVCLLRSLVVVDRAVKSFHSLGNSEPYPAQPMMPTLLPLVRLGTDLGGEAVVAFRRPLSGADVPVGLRDPAKHGDGEHDSEVGDVVGEDAGGVGDADAALAAPGEVDADGVGREDLELGQGVCD >Et_7B_053960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13697694:13700443:-1 gene:Et_7B_053960 transcript:Et_7B_053960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAQEKGKRARVDEAEEEGGEPIDAALLVSIEKLQEVQEEIEKVNEEASKKVLEVELKYNEVRRPVYVRRNEVIQKIPDFWLTTFLSHPILGELLTEEDQEIFKHLESIDVEESEDIKSICSITLIFSANPYFEDKKLTKTYSISDDGRISVKATSIKWKDGMDIVNGKTCMKNGDKRLLVDESFFTWFSDKKNSRLTNGEMDEVADIIKEDLWPNPLKFFNNEFEGGFEQEDEDEGSDEEEAEDDSEEGDGDN >Et_3B_028896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20174326:20177431:1 gene:Et_3B_028896 transcript:Et_3B_028896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASFVGAMAIADLVKTTLGPKGMDKILQSTGRGRSVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIAGFRMAADCARNALLQRTMDNKENTDKFRSDLMNIAMTTLSSKILSQDKEYFAELAVDAVLRLKGSTNLEAIQILKKPGGSLKDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGEIASTFDNPESVKLGHCKVIEEIMIGEDKLIHFSGVEMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVVFGGGWPEMVMAKEVDELARKTPGKKSHAIDAFSRALQAIPTTIADNAGLDSAELISQLRAEHHKENSTAGIDVITGSVGDMQKLGISESFKVKQAILLSATEAAEMILRVDEIITCAPRRREDRM >Et_4B_036102.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:23709498:23709986:1 gene:Et_4B_036102 transcript:Et_4B_036102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIPPFPPKLKALEGRKVYLAAATLRPETMYGQTNCWVLPDGKYGAFEINDTDVFIVTARSTLNLAYQHLSRVPEKPTCLAKLSGSDLIGLPLKSPLAFNEIIYALPMMTILTDKGTGIVTSVPSDSPDDFMALQDLITKPALRAKFGVKDEWVLPFKAVPI >Et_9A_061495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12685390:12693614:1 gene:Et_9A_061495 transcript:Et_9A_061495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVLNKVGSYWFSKRANKEIDSIGDDISSISTSIGEGAKWMVNKLKGKMQKALPELLKEYDMPAGLFPRDATNYEFNEETKKLTVYIPSACDVGYKDSSVLRFFTCVTGYLEKGKFSDIEGMKTKVLVWTKVTAIKTEGSKVHFTAGVKKTRSRDAYEVVRDENSRIEAEGRRQETDLDAVEVGGIDPARRREGDEVVGEVDEDVDEVPVPERVGLRVAPALRRRVVAVPALHVVAHQDAAVAAPRTRGRRRG >Et_3A_025173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27543203:27548024:-1 gene:Et_3A_025173 transcript:Et_3A_025173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGAQAPRGGGGFFSARSLSNYMRIVSSGASTAASTLRSASASLVNSIASHEEDGSRDQVQWAGFDKLECGGGMPRQVLLLAYKSGFQVWDVEHADDVRQLESRHDGPVSFIQLLKNPIATEKSEDRFADVRPLLAVACDGTYTGTGNGHDTNVPVFDGTNGSSHNVGTENLPSVIRFYSLRAHEYVHTLKFRSAVYSIRCSPRVVAISQATQIHCFDAATLERDYTVLTSPTVAQISGYGPLGLGPRWIAYSGVPVPVPDTGRVSPQLLTLSPYVPPPGSNGSVVAYYAKESSKQLAAGIVTLGDVGYKKLSKYCSDFIPNGHANVKQRNSGYKVNGAMNGHRIDSEYAGMVIVRDIVSKLLIVQFRAHTSPISALCFDPSGTLLVTASVHGQNINVFRIVPPHGNASEAGQVGTYVHLYKLQRGITNAVIKDISFSEDSEWIMISSSRGTSHLFAISPYSGSTSFHYSDNNPAENNYVVDSSVKPTAHWSQSSAPSLSLNQKTLFISGPPVTLSVVSRIRNGSNLLKGAVHGAAAFATGVSSPISGAITSTFHNCKGADLSSDGSSQFMKYYLLVFSPSGSIIQYVLHRSAEQDSGFDFPSGPVSYGSERETDTKFVIEALQKWDVCHKRNRRDNAESFTYGDFENGENNKLFQKVMKKGTSIYPLDSAAVERLKLSADENRNFYISESELLTNIHFQVMEGGNLEADSSDTISGELEIEKILTHNIESRSKNLIPVFDSLHTSILQQTRLNIPDNNRYGLLQRQKSGISEDGRLSHRSSCSSLDCMSEGPKSSDDGGFGKYVVDDSGSAVNKNRDAKFDAELVNNTGSLKSEAQLGFVNSKENGEDREQLPDL >Et_3A_024221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18537183:18540970:-1 gene:Et_3A_024221 transcript:Et_3A_024221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPTPAPPQGLCFGAPWPWGMGEQSMPELKTWWLDRMEGGGGLRFFLQFDPGKVDRTLLGVSLSALFSRLVGWTRNGERRGAMAIAEAGGESATAAAATISAAALAAAVSVCLAAMHASGQRPRRAHRPPLSAAVLAGSSSARPRALPSPDDGLRIVSSKEDESLENVIHGASIGAGDDEPVIVARVVTTPPDVPRANADDEAEHGQSEAEEKRQEAERLRELWLSLVEREQILELRLQELEGLREQEATVRELESRVASAATEARLLQLRVASLQEENGRLRAQLEELDDARAALARAKEKLKEIKARVEEEQEVTRREEAALRAKVAEMERGEEERALALAAEAAALREANAALEEENMELALRLQEAEQAAASSVHLALEQEEMVEEATQLRETNERLTQQIEQLRSDHCAHVEELVYLKWVNACLRHELTDDGGALTGDGGGSGAMDLSKSMSFRSSERARQLMLRYGHPGLDPAALFSPLHESVYGDELATPARNNYASAERSPAAASAATTTTAAAATAPGKKKSGTSKFLGNFKKILPGGGGGKKKSHSRHGHGGDRDGSSTAPAPSDEYLEKAMRWLSSAHDVLDVGRSYETTPLSSCDRTPPSSVTTATTPRRSERVPAAPHGEPATLARSRSDAGASYGREASRYHSLRPDHPHPADETGPAPEVWEPRRRSEELRTRGGAARA >Et_1A_006667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2632990:2638414:1 gene:Et_1A_006667 transcript:Et_1A_006667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVGLLRPALPITCSTTPLLGRFAAVGHRSRIAAAVMARLTTTPFAAAQIGAFLSRKPYAPPSWASHLSPMPSHTYSLGHFPTPIHKWNLPNLPEGTEVWIKRDDLSGMQLSGNKVRKLEFLMADAVAQGADCIITVGGIQSNHCRATAVAAKYLNLDCYLILRTSKLLVDKDPGLVGNLLVERLIGAHIDLVSKEEYGKVGSVALADLLKKKLLDEGRKPYANGLGYAMNTAEELKFVKDVAAATGIILDPVYSGKAAYGMLQDMAANPAKWKGRKVLFIHTGGLLGLYDKVDQLSSLAGSWRRMELEDSVPRKDGTETLPSWTWLQLEGSPVPPCRDSTRTSPARSSP >Et_9A_063445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2585507:2586341:1 gene:Et_9A_063445 transcript:Et_9A_063445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSVSLYLSISCLVSGIILATRGSCSTDNIIFLQWGLIAIGLVFMANFIMVVNCYRRHEEAASGFYILIMFFSLLALLSLMIAAFVHMNIKQVDEYPPMDTLEDIKALKKTTSRPLRDYGGRLRRRVTDEMYWARISGCLRHRHACNGMSPLFRDPNTGVFFANRTSKKPPHDYGLSPIEGLMIDSTDLSPSEYLQSGCCKPPLSCGFTYVNQTTWTVPGVSSNNTDNECSRWSSDQQNLCFQCDSCNPR >Et_9A_062521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23763691:23766733:1 gene:Et_9A_062521 transcript:Et_9A_062521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDKSPRSLSPLGGRDRDRELLIPVSGGGSGPGDGDEDGDRAATSSASAALSSSSREAFHKVVRSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGINIFGLGFITSVTFIFLIGVFMSSWVGASVLSLGEWIIKRMPLVRHIYNASKQISAAISPDQNKQAFKEVVIIRHPRVGEYAFGFITSSVSLQSYSGQEDLYCVYVPTNHLYIGDIFMVNSKDVIRPNLSVREGIEIVVSGGMSMPQILSTLDPQVIIGDRTGPSRS >Et_10B_002614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18189693:18190649:-1 gene:Et_10B_002614 transcript:Et_10B_002614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSLLLPSSVRDLASCVSDGAVRVACTTPSSTRISSYSASSTASSTSPSTLSVAVSYRAIPRSPSAPPLLLRLVWTHSPAGPPALSFAASASSPSILLRRRKGTRSVPWDPPLALFWDLTCAKYGASPEPFSGFYFVAVADAEVVLAVGDLAAEFIKAKFEGQIPKARFLPVARTDRVHHATPNAMHTVRVRFAEGGAEHEVSVGCSTSSSRPGDEELWVSVDGKRAVHARRLRWNFRGNQTVFIDGAPVDVMWDLHGWWFRDQPVPAVVMLRARSALESRLWLEEEAAAPGFALVVQAFNAPP >Et_1B_010011.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:1832164:1832247:-1 gene:Et_1B_010011 transcript:Et_1B_010011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFIRLHRRRVDGASSLGTLTVMWCK >Et_3B_030445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3851787:3852424:-1 gene:Et_3B_030445 transcript:Et_3B_030445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAATTVGFSAVLPAKGRPAARAAPVVRVPAARRSLRVAAAAVLTAEPAEFDYSSIFSVFPMEACELLGGEACSAQMYPEVKLEAGAAAASRRTEEVDRDYLAYDEPKTVFLSEACDDLGGEFCEAN >Et_10A_002109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22857543:22858669:-1 gene:Et_10A_002109 transcript:Et_10A_002109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDLFDWLQWGVLMFCFCAMLLVVVMLIFMGVAEAIYRLRRRGKKAPETLSIEQLLERIPDVPYRELPGGDAGSSGDREDTCVICQAAYEDGEKCNRLTGCKHMFHKACIATWLRKRITCPLCRAMVVALPGQAQLNAAENMVFLIIDMVDTSFNKIKCVGL >Et_9B_065659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8958160:8960877:1 gene:Et_9B_065659 transcript:Et_9B_065659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLQSGGEWSMKATRSEDNNTRLALREPVVITVNKSAVHANYKLTYLQEVPYKAEELHFDTRKCEPDTTLMYDNGSAMENTELVKLPEEKLIRLTVPDFQMSGRFHVWEIGTWSLEYSIEVKVKKGSTIKIPCRKSGPPIEHNYNL >Et_6A_046302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12609975:12666131:-1 gene:Et_6A_046302 transcript:Et_6A_046302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLPCVHADEHRRRSLLLLLVVLLPLPQLPSVSSTVVTHLPGFDGPLPFYLETGYVEVEEETGTELFYYFVESERNPATDAVILWLTGGPRCSAFSGFAYEIGVCAYVFSGPVRFIVKPYDGTLPQLAYNPHSWTQVASILFVDSPVGSGFSYARDPKGYDVGDITSSMQILTFLRKVEIHQALEHHNLIGTRMYLFFCQKSTYILSVFFYISLPQWYDGHPQYFSNTFYVGGDSYAGKLVPLIAQYISEGFEEMHNAPINLKGYLVGNPVTGDKIDNNSRIPYLHSHGIISDQLYEAAVKNCRGDYVNLTNKECADVVQTIDTLKSEVNRGQILLPVCHLYASKPWRADLEIKSVADEDHREMGSQDEPPFRCFSYHYYLSYIWANDKGTRAALGIKEHSSLTGNSDGMDKTFLTQMIFQAALDSILTSLQGDIALSYTGGNTAIFFMQRILFESFLVAVTRECSGDHDSIIPFSGTQAWIRSFNFSVIDDWRAWHLDSQAAGFTIKYANSLTFATIKVCSLSNLVPAFLGVVTTLLQKTGLRNALLWLNDGVTCIKEKGEYTGADTNLRDLVKRFGMLMHVAQICSVAHDLTELSAVDLEMHSYVSPTKYFDMLTKDSS >Et_3B_029251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23475423:23478108:1 gene:Et_3B_029251 transcript:Et_3B_029251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKVVAVAAGEAHTLALTGDGEVYSWGRGMFGRLGTGREGDELVPTAVAPVVAGRPRPKFVDVAAGAYHSLALDGSCPVAASSVRITGVLRGNAWNWMKVRSGPGVTTSLGYGEENSLFPCLVERFQDLGSPELEDGAQSTSTQTSLKLSFVKAGGMMSFAIDSLGALWMWGNCPQQTDAGEFCIASSSVPLPVWDFHGHSVVKVACGNEHVVAAVSAGETYTGGDLVCYSWGNNNHGQLGLGDKENRSRPVLISAFREESSWEVYEIACGAWHTAVLTNKKTFDQDLESRCWTFGIGDNGQLGHGTTATICSPQPVDGLPTGSFLISLDCGLFHTTVVSSDGEVWCWGMERGLGLCPDASFSGVDAGDALYPIRVQSPETNGFKFLGPVQITCGAAHTVLVAGEGYRMWAWGRGRSGVLGRGQAADSYIPCVVMWPPLDGNFQEVHEERAEASTSRASDRTSTELEQKLSAATEELQFLRSKMTLMERYANILHISIFRKPLDERTLPRSLQESHVFDIRKEFENILDAADTDELHRLEMFYRSMLSGVKDKLLKRRVQEMVQECIISLSAGRQTQQGQ >Et_5A_042270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8212439:8216003:1 gene:Et_5A_042270 transcript:Et_5A_042270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRAQEMYKKVARRVAGEGKLPAGLMESVKNMLPNKKVVMGRAKRGIFAGRHIQFGNQVSEDGGNKSRRSWKPNAQEKRLFSYIHDRHIRVKIIRKTRLLLPRNLSWSFYLPSLLGEDITENGFGLRVVFLSFWGALDLRRVVKLQTEKITLF >Et_8B_059742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2197389:2251887:1 gene:Et_8B_059742 transcript:Et_8B_059742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSITLRDIAPATFEALLQYMYTDAFSSRVVKRQGTSGPETQTTMMDSAVAALKFKVDYEQTKHLGIGEAVHCDVITAGRHMWRINCFPLGTAPGGLSIFVELMSKSRTVKAAIEVVLWTTAASRRPTRQNAIQMDNDTFGWPLYVRQTDLVRDCIKDGQITFICCIMVLEDNSIPVPPSDICKNLSTLLDSTDGADVSFTIFFLRGSLSPLTARHSTRTEQCSLPALRSSGQSCSVPWPRLQCRQSHCTTSPLQHSKLCFGSCILMPCLAMMSSGTLQTRTMFEHLLAAADRLYVPKICGAVSVDTVAEALACAEIYNCPELKNKCIDFVVAEKNFKKAVLTDGFMQLGQKFLSIVAEVRKRRSLSEGSKAQTTLVDSAVIEFKVDYEQITTRLCEDVLSDIFSAGSRKWRIICRSFMASSPAKRTLSIIVEHLSKSRWCKAIVEAFLMDKHGNPSVTFGNKSPSRVFDTGREMVGWFWDVPRIDLAENYKKDGQIMIICSVMVLYDSSIPVPPSDIGKHLGQLLDSTGGTDVTFNIDGEVFHAHRAVLAARSPVFRAELLGSMAEATMSSITLHEIAPATFKAMLRFMYTDSLIGDNELEDTPSEIMHDLLAAADRYSLDRLKLMCAQKLCDCLSVDTVAVTLACAQMYNCPDLKNKCMDFCAKDKNFKKAVLTKSFFQLGLQFPSIIDELRERVSKRSRSEEPGVRTSLVDSAFVEFKVDYEQTTHLHEGEPLHSDIFSAGDHMWRITCRRITVSAPANCYLFIIIEHLSKSTCSKAIVEAVLMNKNGNPSVTFRERSLARVFESGHETAEWVWKMPQTDLVENYIIDGLITIICSVMVLGDSSISVPPSDIGKHLGHLLDSTDGTDVSFNIGGETFYAHRAVLAARSPVFRAELLGSMAEATMPSITLHDIAPATFKAMLRFIYTDYLTGDDELDGSTSETLHDLLAAADRYALDRLKLMCAQKLMDSVSVNTVAVTLGCAEMYNLPELKKRCMDFLAKDENFKKAVLTKGFLELGLQFPSIIHELRERVGLLWFMYMNELPEDDVFVDSPAELMQHLLAASDRYALYRLKLMCARKLWDNISVDTFASTLTHNCPVLKSKCIDFFAVENNFNEIVFTDGFTWLVQSFPSLAAKLKEKVKASSSLRIESPSLKGRPCHDPHPRQPPPGQSRRRRRSDLGWHVVPASQPMEAQDGARGEEMEPPVASCRCHFFEGCGDLPPQPCGSGEEHWVDEVLRRRFLRLVRWKERRRRVDRSLPRSSLIDYNSGDEMQQLSMSTDFLVELSDGIFAKREARSSFATFSHQAIDFILASLKSILSSERDKDLIEEIINCLVTRLMKRMCNVPENAGPSDSGTLGLSHPQFSIQHLFRRLGNEEFIGQRIILAVSQKISNLSERLLLADPFDDAFPDMHENIFIISILKARMDLQVLENMIELYVVYIERVVGRLTREVAPAAHQGIRSFFQTLMKLNYADTRSLAVGDAAFWEEVAVGGHLWRVTCYPRGYDEEDEGKYLSLFLELRSESATSRIRAIFEAFLEDLYGDPSVTHAQRLAGECPAGGQYWQGWTRFVRRHDLQSYYVGDDGCFRISYAVFVLLPGGDAIAVPPSDMRSQFARLLGSDAGTDVSFSVAGEAFPAHRAVLAARSPIGDSPTETMKHLLAAADRYALDRLKLMCAQRIWEKVTADTLASTLIFAETYNCPELKNKCIDFFAVERNLKRVIFTADYNSLLQKFPSLVAELEQRVAFNLDYSASTNHFAGVSVSTGDIYVVGGYQWRINCYPRGRSREDNNGDYLRSRAPTSGVNAVFDAFVLDRDGMPNWYTTRLPQVTFRPRRESGWSRFVTRRDLEARHVSADGCVTFVCGIIVSGAGGDAIAVPPCSDLGSDLFGAMSSTSPSIEVRDIEPEIFGFIYTDALPGDDELGESPVDTMQHLLAAADRYSLDRLKLMCAQKIRDNVTVDNVASVLALADKYNCPELKSRCMDYFAVDANLKVVIFTDGFTWLVQKFPSLAAELKERVGIFIEFDLEHPNPANLAAGDAVSEAVSAGGHRWIIRCYPRGLNDDENIDWISLFLELRSRSTAATGVRAIFQAFFLTGDGAVPSPWCSRHVYRPGDSWGWRHFARRDDLGLSFAGGGGGGSAVTLVCGVVVVGDAIAVPPSDVGTHLGRLLDSAAATTDVSFVVGGETFPAHRAVLAARSPVLNAALFPSTAADATTPSSTITLQDIEPATFRLMLRFMYTDALPEDDELEQETLADLMQHLLAAADRFAMDRLKLMCARKLGDNITVDTFASTLACAETYNCPELKRRCVGFFAMDRNFMKIAFTDRLIIAPAFACRGRHVPPRQAEARTHNCSELKSRCIEFFMVEKNFKKAVLPEGYLRLMQNANMWDSGFIEFKLDYEGIGNDAVLSDKFSAGGHIWRICCYPRGQGSNGAEYISIYLQLLSESKNVKAIFEAFLMGRNGQPSSLHSLRCVHLNSRPGLYPRPRYKYTVKRSDLEMDFVMDGHVTFMFGVLVHCDDNPIAVPSSNMGDHFGSLLNHTDGSDVSFSVGGEIFPAHRAVLAARSPVFRAQLFGSLADAKMPCITLHDIQPSTFKILLRFIYTDVLPTDEELESSSRMELFQNLLATADMYYLDRLKLLCAQKLWERVSAETVATILACAEMNNCQELKNRCLDFLVVDKNFKDAALTEGYLQLMQNYAKTKSFATGKSIVFENVSFGGLTWYVVCFPRGSHQDLSNNGEYLSLRVVIRDTIVKAIFHAFVTGRDGAPSVSRPNSSSNVTYASAGTSSGLVGGWHKFMKRSELESEYLTDGCITFICGLTILPDDRSMSVPASDLGSHLGRLLDCAEGSDVSFSVGGETFHAHRYVLAARSPVFKAQLLGNMADAKMPCIKLHDIQPATFRALLRCMEFFMAENKFKKTVPTNGYLRLTQSFPSNRRRASQAAKMMDSCFTTFKLDYAGTKNLTVGDVVCSDEISAGGHLWRLRCFPHGYSTGGHLAFFLQLRRLQKLIFDVALMGSDGLPSPMHAKRMVKEYSQAFDSWGRRCFMQRSILEQSRCLTEDGCAWFMFGVIVLCDNPRHNPVTVPPSDIRDHLGHLLDCTDGSDVSFSVAGETFHGHRSVLAARSPFFKAQLLGTMADAKTDRITLHDIKPATGKLEEGSPATFRALLLFVYTDALPPGVEALGTSSAIEFLQNLLAAAHRYRLERLKAVCAHKLWEHVSTDNVAAMLSFAESHGCREQRNACMDFFLVEKNFNKAMLDSGFFEFKLDYPQTKDLAIGDAVYSDNIFAGGHVWRIKCYPRGDNEEFRGEYISIYLELVTEFKNVRAIFDAFLLGRDGAPSPGLPRRCAHVNQPNKYGCGPCGWSRFTAWRDVEADSLTDDGSSVTFVCGVVVLRGAGRRREPSRQPSAKRRRLRPLTFPAHRAVLAARSPVFKAELFGPMAEATMPSIRLRDIEPETFRDMLHLMYTDKLPELKELCPSSSTTAAASIEHAEDVRRRHAALHEQRFQALLAAADRYAVDIC >Et_7A_051149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1529751:1532979:1 gene:Et_7A_051149 transcript:Et_7A_051149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGVTPRPPSSKVQALYELCKRTFPSPSAAGASSSPPPAPAIRSISSLMDTITPADVGLRDDNLEDDRGHGFFESNFLKSSARVARWAQPITYLDVYECDAFSDDVLTSSCPTSVLYPQSGGNLHCFTSVASCAVFDVLAPPYSEDNGRICTYFHDYPFSSLSADGQTDEVDSPDNYAWIEAINTPVHINMRSGMYSGPMVLPELYLSPLLLTITNLPKNALESILWFCRFQEHQS >Et_2A_018169.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:16443250:16445763:-1 gene:Et_2A_018169 transcript:Et_2A_018169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKHSDRGGGGGGGTELFICFTSRPSSASVAGGAAPSSLRPSSSSKLLSPGRGGGGGGGSAAAGADAPAPPLHPSRSRRLRNSGSLKGGQSPMFPSGSTGGRRGRAGFEPAEPSSPKVTCIGQVRVKGGKRRPKHASAAALRSRSRRGGVGGGGGSAEASFRRAGDDRDGPQGKNQGWVYQIPVNICEALKTFGSCGGRSLCSPSRGGGERGALSAGAHGDKKRRRAPAGGSWLCGAAVARCLLAIQEEEDDEVGKGAAVVPAEEIRASEVGLVMEGWDVEEEERGVMVGEVEVEKKDEIFVVGKEEEGRVSVCIPPRNALLLMRCRSDPVRMAALSTRFWGSPATATVEQVNNEAAGDDGDDEEEEEEDEAEAEAVVEKECNAEARNSAVSVEDMKFRECSAGEDDGVEAGEVDQAQAEVEQSPNCGDPVEDENGGHCRAEEEEVQIVRKDAALEVSLVEDEERKNQGPDAVDLVADGKAEGVPAPDNVEEEVKARRSVSNYSPSAALKEERKLRRLSSSRRRVSTSSRTSSVSDRVGRRHSFSADTEGRRSSFSSLKDSRRASFSIDRDGRRWSFSIEQEHLVAEPKVLMASRKGKKNLSEPESEKECAVVVAPNSAEEDQESRDDGKEEVVENGEEDGTTQGEEMNPKVEKVETQVEEGAAGQVQRRKKSGELPDCLLMMMYEPKLSMEVSKETWVCSTDFVHWKSHQGKNNRNRRQQKVSASDAATEEPKEEENAEVTTVANDADESKDESVVNSAPKPPPVVQKATAPKPVTTEQKVKIELPLVSNAAAYAPFVLKRCKSEPLRSSARLAPDACFWKDRHRPLNATGVGF >Et_4A_034995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8658805:8661202:-1 gene:Et_4A_034995 transcript:Et_4A_034995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRILTGGGGLAALRAARAVKETTGIVGLDVVPNAREVLIGLYTRTLKEIEAVPKDEGYRKAVESFTKHRLQICQEEEDWKSIEDKIGCGQVEELIEEAQDELKLIGKMIEWDPWGVPDDYECEVIEDDTPIPKHVPQHRPVALPEEFFKTLDAVRSDPALQGDAPPQVKA >Et_2A_017026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30239443:30241339:-1 gene:Et_2A_017026 transcript:Et_2A_017026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRLNASAASDDFPFAPMQHQQQPPYAGFDHGVATGGAGGMGQRGGGMQQHHHLYDGLDFAAAAAAMQFQQEAPHHHQLLTLPSSLGPMAPPPPMPMPLQMPMPGDVYPALGMVKREGADGGGGRIGLNLGRRTYFSPGDMLAVDRLLMRSRLGGVFGLGLGGAHHQPPRCQAEGCKADLSGAKHYHRRHKVCEYHAKASVVAAGGKQQRFCQQCSRSVIIRVAPLPSYLSLPFSLSNRHSQHTTFKQCLYILAFDMDMVKLVASTSYIGTLASNMCRLGVDGRIVVGRGFHVLTEFDEAKRSCRKRLAEHNRRRRKPATAVSSKEGSPPAKKPNAGGITSSYSTDDKSKHAFYDSRSSFLIKPLVSAKF >Et_10B_002481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10630558:10631967:1 gene:Et_10B_002481 transcript:Et_10B_002481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNGLCLNREHLIAGNIPGVFVGDAFRFKAELFVLGIHGDLHSGIHYSKILNSSEPGLTLATSIVFSDGYEDNADYDDGNTLIYAGQGGRSPANKKQTKDQVLKDGNLALKNSMDYNIEVRVIRGLKLIYSDSKIFIYDGLYKVESCLYGPGQSGFNVYKFKLQRLPNQGAIGSIMYRAATQVREGMINLQFTHPCSDLSSGQENLHVGFYNDVDDCVYPSTFQYLRKPLYPPETLSSWSQGGCKCIGNCSAAAGNMCSCVWMNGGELPYNNFGVLLHGKPLVIECGPHCQCPPTCGNRVSQKGLTKRLQVFRSEEMGDWMVRSLDFIPAGTFVCELTGKATTLSPSEHLFVDDPILVFPGKLPPRWMEWGNNIPDVLPSYTPPVSTVQPQLSRFVMDVTFGRNVGFYLGNSTSPNVFAQSVLFDHQDLEMPHIMIFALQDILPLTELMLDYGYGSI >Et_7B_053640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10629710:10632506:1 gene:Et_7B_053640 transcript:Et_7B_053640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAGRRLSSSLSWRPAAAAARGPLAGPVGDNDDAARARSQPRFAIESPFFAAARGFASTETLVPRNQDAGLADLPATVAAVKNPTPKVVYDEYNHERYPPGDPSKRAFAYFVLSGGRFIYASLLRLLILKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDVASLRHPQQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG >Et_1A_009548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7913555:7914762:1 gene:Et_1A_009548 transcript:Et_1A_009548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGCCLPPCVRRDKRERGEPGRTGSRVSDEPASASSSSVGKSGGAARLFAWAEIESVTAGFSSRVIGQGGFSTVYLACLSSSRLGAVKVQRSSERLHRAFCQELDVLLSLRHPHVVRLLGYCDEREEGVLVFEYAPNGDLHERLHNGEKQKRPALPWARRMAIAFQVAMALEHLHESRDPAVIHGDVKASNVLLDANLDAKLCDFGNAHVGFSAAVTTRASARPVMGSPGYVDPHFLRSGVATKKSDVYSFGVLMLELLTGRNAICGDTGRRLTAAVCPTLDEGKVADVVDSRLGHEYDAEEAATVAALALRCVSDSPGVRPSMAEVVRELQEKTTALFSAAGSKPAGKVVS >Et_7B_054217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17817054:17818066:1 gene:Et_7B_054217 transcript:Et_7B_054217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGSLARVLVLLAATCFLWQEAVGFTSSGLNKAFATFYGGSDASGTMGGACGYGNLYSTGYGTNTAALSTALFNDGASCGQCYRIMCDYKADPQFCLPGTSVTITATNFCPPNYALSNDAGGWCNPPRQHFDMAEPAWLKIGIYRGGIVPVLYQRYPLNVFSHELMIVQYQLLSVMTFANACRVPCVKQGGVRFTINGRDYFELVLITNVGGCGSIQSVSVKGSRTGWMAMSRNWGVNWQSNAYLNGQSLSFQVTTSDGQTKTFLNVAPANWGFGQTFATSQQFS >Et_4A_035118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9679209:9682547:-1 gene:Et_4A_035118 transcript:Et_4A_035118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKVGLKRGRWTAEEDDILATYIAKHGEGNWRSLPKNAGLLRCGKSCRLRWINYLRADVKRGNISKEEEDIIIKLHATLGNRWSLIASHLPGRTDNEIKNYWNSHLSRQIHTYRRIYTAGNDTTITIDISKLHSAEKRRGGRTPGRSPKSSTSAGGEKTTKQPGPEPEPPESAKAKDAAASPAAATSAASSPPQSDGARSAVVDPDQNQPNSSSDGGTTTNTPDGPCSEDATGPMALEPLDLGLWEAESEMEMEALLSSSGIGGGGGDSCFTTGLELDAVGEAPPVDDLLDMDWDGFAAHLWGDPAAQNDQSGVVQASEPHAAGCNPDELESFATWLLSDF >Et_4B_037504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20663589:20666873:-1 gene:Et_4B_037504 transcript:Et_4B_037504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGVGAAGEIRAAAYPGGGIAGAGAGSATATPAASPYQVGFEIEEQMYSPAFGNIAVQDSRGCCSGVTASVTKVLFILHLFAFIALAIFLGVKASSHQSPAYKPFSNFIPLVSSVIVSTIAACFWVILSVTSAPKAIKTSLWAAPVFALACAVVILLVGNGVAVGIGVLVVVFAVAASLYSCWATGPRLRHASELLSTSVIRAYLPPSTSCLVIIVLIATFGYMAFWTVAISSIAAAEGHFMNYQLAYVAALLVSMAWTMQVLRYFVYVTVAKLAHTQLIYGLRVPGGAVEAFCGTTMGPAFGDICMGAMAVPVNSAVRGFARAMKTATAGNDEFLFSGRGCCLSVSEKMLGRANRWGFVHVGARGKAFCVASRDVWSLFVLRGIENLVDSDLTGSFCFLSSVATGALASLVAGSWALAMDKEQKELALPVAIYAFLIGYYMCRMMIAWPQACVAAYHVAYAENPQNPQLGTLIPDHLRELQALAAD >Et_10B_004121.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:1407826:1409667:1 gene:Et_10B_004121 transcript:Et_10B_004121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLTAHSPASFSSVNRGGLAAAGPSAAARSATVRFPPRAAAAANSVLAAPSPRPVRSPPSYVPPKPIAPSSPARRGTKKLPSPRHDTTNNNDKGLNFLQRAAAAALDAFEEGVITNLLEKPHALPKTSDPAVQIAGNFAPVGEQPPVHSLPVSGRIPPFINGVYARNGANPHFTPTAGHHLFDGDGMVHAVRIRNGAAESYACRFTETSRLAQEKRLGRPVFPKAIGELHGHSGVARLALFFARGLCGLVDPAHGTGVANAGLVYFNGRLLAMSEDDLPYHVRVTGDGDLETVGRYDFDGQLNCAMIAHPKLDPDSGELFALSYDVIKRPYLKYFYFRADGTKSDDVEIELEQPTMIHDFAITENFVVVPDHQVVFKLAEMFRGGSPVVLDKEKTSRFGVLPKYAKDSSEMVWVDVPDCFCFHLWNAWEEPETDEVIIIGSCMTPADSIFNESDARLESVLTEIRLNTATGEHVRRAVLPPAEQVNLEVGMVNRNLLGRKTRYAYLAVAEPWPKVSGFAKVDLETGELTKFEYGEGRFGGEPCFVPTEGAPARGEDDGYVLSFVRDEEAGTSELLVVNAADMRLEATVQLPSRVPYGFHGTFIGARELEAQA >Et_5B_043811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16432232:16433633:1 gene:Et_5B_043811 transcript:Et_5B_043811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAVVLALVLEVARELDVSGLKDPSIMVRPRQQEKITSHALFLRYQGRCTLVSKYEVHEDAHLTSGDGRRRAGCDFNAAQDSADATDMMAVD >Et_9B_065290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3238441:3242366:1 gene:Et_9B_065290 transcript:Et_9B_065290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HGGEVESHETVSAYDLLLEYYDDEVFYGNVVVRLQPSETAIAANDLSWVGHAIDLCDDGCIQVKWGDGTTSKVLPHEISEVKEQTISEMEEEMGDWRALDAMKEARENKNEDHVVAVAVLSHEITVVEEPSISEMVAELDDWVAIDGAVKEAQDDTDENYAAAAAGNVTDDNRGSDDAADLSGGEAGTPVVSGIAQGLMRLAAEVKAKGKRFLVRDPEATPRSEHAGMENVVRQPNRDGSETMVVQEDVDSNVCADEKSSANGRGDASFHFPQFDVVQMSPPDHYFLKDAEQGIDGGKKCIKRVQEEWKILENDLPENIYVRAFEDRMDLLRVAVVGAVGTPYQDGLFFFDLQLPPTYPAVPPLVHHHSFGLKLNPNLDMSTILQIVVSIQGRVLTAQPFYNDSENEMYLDTPEGARNEVVYAEDACLDTLRTMLHLLRRPPAGFEELVRRHFRRRGRFVLRACEAYLSKAFPVGTLDEEANTTEASRGRTCSAGFRLSLNKFMPRLVEAFTSIGADGCDQFNRLPSTIDFGDALKPQTMPWTCLAGFRLVQVFTTISADGCEEFHRFFLSLVGSAASAGGHELSSCPPTASH >Et_5B_044543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3349083:3352698:1 gene:Et_5B_044543 transcript:Et_5B_044543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPSAGRGRPIFSFAAARDRCFSRRFTRAGLRPVAVPLPASPEDPSATTVHMWVPARRPPRNPLLLLHGFGASATWQWAAYLRPLIAAGFDPIVPDLVFFGASCTRLPDRTDAFQAAAIKKALDAIGVARFGLVGVSYGGFVGYRMAAMFPEAVRKVALVCAGVCLEEKDLAAGIFPVATVGEAAQLLVPRRPEEVRRLVRLTFVRPPLIMPSCFLWDYIKVMGSDYIQEKTELLYSLIEGRQLSTLPKLTQPTLLIWGEQDLVFPMELAHRLNRHLEGNSHLVVIKNAGHAVNLEKPKEVCRNIIEFFKEPVMEASNDEKVCKLWAI >Et_7A_051837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25441134:25446015:1 gene:Et_7A_051837 transcript:Et_7A_051837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDITGVVQLGAARFYGTKDKRKDEKEERVHKNREVTRICIHTGMANGLVVVQAFGLGEHLAALRAGAQLPRPRPERPLREEWPAPTTEEDDDAARCARARRVSGAVSRSVAAAEDAAELAASTTSRMAQSEAPHAPAPPPRSRASAEGAAGSCTRAAAVAFRQLSRPFSCSHDDEDAADAAFFDGTRCCCCWYCGFFTVAVTFRDDGAPAAMARRLPAPDGVLGVDGGSDGCGDRGGFFLRLLPCWWWCSCRRLSPATAALLVAEDAEEEGSRSVASAMKIFLCTKGGGKLDLVELKLLKAIVKSGLRGRYEAYSG >Et_1B_014384.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7253698:7254099:-1 gene:Et_1B_014384 transcript:Et_1B_014384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVALFVALGLLFAVAAHGCGPSCYGPVVPTPPVVPTPPVVPTPHSHGKCPIDALKLKVCANVLGLVKVGLPTHEECCPLLGGLVDLDAAVCLCTAIKANVLGIKLNLPVDLSLILNKCGKYCPDDFKCPN >Et_4B_039946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6557505:6561420:-1 gene:Et_4B_039946 transcript:Et_4B_039946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMADEGSFLLPASFHPPVLPARNAASSGGLPGSSAWVFLDSTAYIAERKNGTFAETTTRTGQSLGVSFWLADPPAVSHLCIHCPDMKVTDLLDEPFVVCAGKDIAVIGVAYAYGARRLESLEDLGVTDLDYFVYRAHTGKPTLRRLPDPKPLFFEAREVGFLPSVDGDDFLMAVICPRLVQLQYDLHIFSSKTNRWTTKLALLEPPSPRCETEYLVHQTDKVIALEGGTLGWVDLWRGVLLCDVLASAPVLRYIQFPEPAPGNLCRLLKTPARAVRDVTYSNGFVKLIEIEERYRVVVPATSPNDLMNRDAVSVPGLETEITMSTCTTESSVLDGWTAVTWISKTTDHWSEPWRKTCRAYVSESSVLSMLKHNLPEDSSLENLVIAGPVWSMHGGDVCYLMAKAALDDKIAWGMAIDMRKSTLEAAAGDQLQGREDCSNDQKDPNNTMVLVEGLDSFITENHLKGILAMFGDLRYLKFHENDGYCLVQFASRSCAEEAMSALNGAQFGRRVIRLSWKRSSLNKQAPKHSLSGRNGASYHPYERRRGVHSYSNTAAPSSNAHEAPVAAATHCSTPWQQQTWLAPAAADYNTNQARREEAVVVGPEAL >Et_9A_062344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21990774:21992832:-1 gene:Et_9A_062344 transcript:Et_9A_062344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGEPAVRKGPWTLVEDLLLVNYINAHGEGAWNILARGAAHREELPAAWLNYLNPNVRRGSITSEEHDLIVRLQAAWGNRWSRIARHLPGRTDNDVKNYWRTRIHKKQRKQDCVATNKSSTSTEDQGCSDSGSGREEVTQDYGIVVPQTHTCTLDNPPQGYEAHGGGGGGPGGGGCDGAMGLPPGFLSMLGENFWPFEDFWPMIQTSKTSTQLDMPPIVLEKTVASAINLSFSLSWVYMAESTFCAASVSIFQ >Et_1B_010973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15984240:15990306:1 gene:Et_1B_010973 transcript:Et_1B_010973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFQRPLGVGSTIGAGIYVLVGTVAREHTGPGLTISFLIAGIAAAFSALCYPELSCRFPSAGSAYHYSYICIGESVAWLIGWALILEYTIGSSSVARGISPNLALFFGGQDKLPFFLAQVHVKGLDTPLDPCAAILVLIVTALLCFGIKEHRHHSNVTVMLFVICAGGWLGFKNGWTGYKVPEGYFPNGVGGVLSGSATLFFAYIGFDVVASTAEEVKNPQRDLPLGMGLTLALSCFLYMMVSAVVVGLVPYHAMDPETPISSAFSQYGMQWAVGAVLALIASLIGGILPQPRITMAMARDGLLPPLFSAVNRQTQIPIMSTILTGICAAILAFFMDVSQLAGMVSVGTLLAFTTVAISVLVVRYAPPNELPMEVALEGTPESLTSYSTDMQQDENLDDPFGNVQEAPTVSEVVSKERQQKVIRSILLILVGVIVFVSGVSFSFLPFSYVRFFVCAIGGLLLLSSSIVLFSIGQDKSFMCPWVPLLPICCIIINVYLLMNIGSRTWIRVSEWLVAGAPIYLFYGRKHSSLASMAYQRILPT >Et_9A_062722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3736725:3737823:1 gene:Et_9A_062722 transcript:Et_9A_062722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQALQTLPSDIKVRTQIGIKRIGELDSKAFLNTCNRKFSKEHAKAESAILCSKWQNEINNPEWNPFKIVMANGKESRVRREDDAKLQELKEYGEEAYDAVTTALVELNNGEGSRRTPFPVLWNPEEGRKAPMKEAVRHALELWKASKASGKGRR >Et_10A_001902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10622362:10632069:1 gene:Et_10A_001902 transcript:Et_10A_001902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVHYLTFENVKSFEFCLTPHLPKCAVGPRPGRLAACAVLEVLNLSTNAFPVHGAVPPELCVLRALRRLFLSKNLLYGEIPAAVGNLTALEELEIYSNNLTGGIPTSIRALWQLRIMRAGLNGLSGPIPVELTESVGERRRRSIGLVGVDEADGGDLDAGVLGYPAGELERLAVVWAAFSITRLPSPANLLFVRVGGGNRRPSRIPPSAMGTRARPWRFALHRASIRHELARHGLLHARRPAVLALRCPPASPLLDLGASRSAASDPGHAARSPFRLPLRLAFLPPRPGHGAGDASPPRRRRRAVTPAAPVRTLPPRRRRAVTPALARVHGHAQAKLRLGAPGELGLERRHGMAAGARLGKKGRSSD >Et_4A_032288.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28487051:28487386:-1 gene:Et_4A_032288 transcript:Et_4A_032288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GALGVVSLGPVVAGAGLAEDEVVGAEDLAEGAGAHRVHGPGLEVHEHGARDVAAAAGLVVVDVDALELEVRVPRVAARRVDAVLVADHLPELGPDLVPALPALDVEDLPHG >Et_4B_036327.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1819523:1819702:-1 gene:Et_4B_036327 transcript:Et_4B_036327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSDFIQASVTHKSRSCNNVAHELAALGYLCTEVDPVMDNLPDCIRVMVACESAAPQV >Et_1A_006892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28749474:28752484:-1 gene:Et_1A_006892 transcript:Et_1A_006892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLPYGGYGQRRLVLHPGDLLRQVSGRLTSEHDLLRFRQVCTHWRAFTPLPVAPYRPWVVARRARPIIVGPLGDYSLWLPRGLQRVHVAGPPGLPYYCDTPRGWLALADDEQSPTRIVLWEPRSGTKIPLPCMRRVIQIFLSDDPLTTSSMFGWMAVATQPKDEMGQNVFFWRPGDAAWSAADEVFTWNRLHSVAFLGRNMYCIDYLQRLAIYNLNGTKSPPMLLQLVLFNPRHPSFVEVYKPELTPAQCMDLTPDRSLGLGERVTDLGGYLLFLGHGDGFALSAQDFPAIKRNCVYYVVHFRNVQVKDWVYVFNLESNVLESFPFPQEHKDPANEWWPEVSGRLTSERDLIHMRQVCTLWRASTSVPVAPCRPWVVAGGSKATKAGLLGEYSLWLPRGHERVQVAAPPGLPYCCGTPRGWLALTDDEQTPRRLVLWEPCSGTEVFLSADPLTSSTSSSWTAVATQLRGERDHHIFFWRPGVDGAAWVAAAEVYTGERLHSVAFHGGKMYCIDFAKRFAVYDLNLRTTTAASSPPVLVHRMYEAPLLNLQLCSLRCGVNKVHGTRAAHFVNFNGELLLVVLFYDRHPSFAEIYKSDLTPGRRLELGLGERVTDLGDFSLFVGRGDAFALSAQEFPGIKRNCVYYVVHYTNIDRKHWVFVFDLGSNVLEEFLFPLVHEEDPTKELNWPCSWFCPKRPVLLNEQRA >Et_2A_017606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4003491:4005144:-1 gene:Et_2A_017606 transcript:Et_2A_017606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLQKPSYYTVSLIVLFPLTLLCLAFLLPFSSYLSNPLAAVAGAAVDSAAPAAEDGGGMHRPELSILVGVHTMAGKHSRRSLIRTAYALQQTPALRRAARVDVRFVLCARPMPPEHRAFAALEARAHGDVLLLDCDEGADKGKTYTYFASMPAMMKLAGGERPYDYVMKVDDDTFLLLNALVETLRAAPREDAYCGVGLPVYNRAFPPFMLGMGYLLSWDLVEWISTSDMVKREAIGPEDVTTGNWLNKGNKAKNRLNIFPRMYDYKSAKPEDFLENTIGVHQLKQDIRWAHTLEHFNLTRLGPSSKL >Et_9B_064493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15799484:15803835:1 gene:Et_9B_064493 transcript:Et_9B_064493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSNRTPKESLKAGDHIYSWRAAWVYAHHGIYVGDDTVIHFTRGRGQEVGTGTFIDLLLVSSAPKRSDTPCSICSNEGANDTATTETNGVVSSCLNCFLAGGALYRFEYAVNPALFLAKARGGTCTLATSDPDETVVRRAKHLLSNGFRCYNLFKSNCEDFAIYCKTGLLVAERGVVGQSGQAISIIGGPLAAVVSTPFCLVTTNIYGMAVMAVGVYCASRYAADIGNRRDVVKVEVEDLTAGLATGRIRAVESVALLVAPAQSLVAPTQSPAVTTLAA >Et_1B_011419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2271439:2274853:1 gene:Et_1B_011419 transcript:Et_1B_011419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADLEEMPFDLDFHPSGSLVATSLITGELHLYRYAAESQPERLFVVKAHEESCRAVRFVDSGKVILTGSADHSVVATDVETGKSIARLEDAHEDGINRLVCLTENMVATGDDDGCIKVWDTRERNCCNSFHTHEDYISDMTYVSDTNQILATSGDGTLSVNNLRRNKVKFQSEFSEDELLSLVIMKNGKKVVCGTPSGALLLYSWGYFQDCSDRFLGHTQSVDTMLKLDEETLISGASDGVIRLVGILPNRIIQPLAEHSEYPIEAIALSNDKKYLGSISHDKILKLWDLQELLNGPQVVQGNESVGAGSDSDDDEMDVDIEPSSSKGSRSRKKGKGGSSSRPASDFFADL >Et_1B_009642.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10240082:10240864:1 gene:Et_1B_009642 transcript:Et_1B_009642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSRALGALLPAVPRAETKVPLRQRALYTGACVSAFLACSHLRLYGVSYAAVSGGADPLYPARALLASTRGTLMELGVAPVVAAGTVTRLLRVGDREEDQLGAARKALAVAISLGASAAHVLLGMYGGSVGGVFTGALIVAQLFSGSLVVVFLDELFDKGYGLPGTSAVSLLLAASTCGKVVWQAFSPVIMVTARGARELEGIVTAVGQAAGGDTKLLLATLFRRHRPNVINLLGTCLVLLAAVFLEGFRVLLPLRR >Et_2A_017115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31025254:31028329:1 gene:Et_2A_017115 transcript:Et_2A_017115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSGGGAAGVTAVVLFLLLMCGGAWGLNDDGQLLMSFKNAVTDPSGALAGWSYNNPEPCGWNGVVCAGFQEPDTAGAAAVNVTSASGEGNGKAVAAGTTNGTALMGGLGINASLAAATVSRVISLVLPNAQLSGSLPAELGRLQHLRHLDLSGNSLNGSRLPATLLNATELRVLSLAGNGLAGELPDGAAAYARGLQELNLSGNALTGRLPAALCRLPSLAVLGLASNGLAGELPIGGLGALELVDLSDNRFNGSLPSDFGGARLRLLNVSSNNLTGAVPAEMSAVVPANATLDLSRNNLTGAIPQAGAFAAQPAAAYEGNADLCGPPLKQACSIPSSLSNPPNATDSPPAFAAIPKNPTTTRAPPSADGTPHAPGGGQDKLHPAAIVAIVVGDIAGVGLLFALFLYAYHVRNKRRQRREEDPMPLQQKSMRVMDDGVKTLDIAGSKEDKASTSLACCIGRRNDGADSSECSVSSDGESDDGEDFRKRGSLIGRSTPQDHGSKKYNPQQAPPAPATLVTVDGDGELEMETLLKASAYILGATGSSIVYKAVLADGTALAVRRIGESGGADKLKDFEAQVRAVARFRHPNILRLRGFYWGADEKLLIHDYAPNGSLANIAFSRRFGASSPLHLSLEARLRIARGVARGLAYIHEKKGVHGNLKPSNILLSADMEPWIGDLGLDRLLSGEAVGHRAGASARLFGSKRSMHSTSSLPDLSQMPGPGASPCGSASAATSSSAAPPPYQAPECLKNLRPNAKWDVYSFGMVLLELLSGRVYSEVELCQWHAGLVAEERGRVLRMADPTLRGEADGREDALLACFRLAFACCAMAPSKRPAMRDAAVVLERTAASAPGASSSSNAGIP >Et_3B_031015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10131984:10136086:1 gene:Et_3B_031015 transcript:Et_3B_031015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDSSSAEAIGSIRREISVGSKQYISLWRESEHDDDVRFPIKSDEQLLQWFELNLDKGVVHIIAEIDDFEGPLQCSPTKRSLHPKVKMSGPKHPCGSVNQSVQGGCKWKRKCKCGCIRKCKCKWGCKGKGKRKGKRKRTRYGKRNKWRKTCRMIIPRDLSTLLAIWYKNLVQPAVHCTSTRQNATLASNETHVL >Et_3A_024554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2221607:2223594:1 gene:Et_3A_024554 transcript:Et_3A_024554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDRKRLYQVWKGSNKFLCGGRLIFGPDAGSLFLSTILISSPLVGLCFQCITKLNSDTPDKQVLGLPVLIVTILLGLANMAFLLLTSSRDPGIVPRNARPPEPGDGDGEPAAAHDDVATPSTEWVMHAAANPHLRLPRTRDVVVDGGHVVRVKYCDTCLLYRPPRASHCSICNNCVQRFDHHCPWVGQCIGLRNYRFFFLFISTSTFLCLYVFALSWLNIAAQRASHDGSLLRSMTGEPLSLVLIVYTFIAAWFVGGLTVFHVYLMSTNQTTYENFRYRYDKKENPYDRGVLANVSEVFCTRMPPSMNRFRAWVELSELPCDDDEAPLSSRNKIDLVGPNDEKMIDLEMGNNKGVYHHGVPAILQGMGMGMGYGGMERRNVSVHVKDRQAAEAPDPLMIPAVRQLDERRRGPGGNDDDVE >Et_4B_040075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9015399:9018714:-1 gene:Et_4B_040075 transcript:Et_4B_040075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNANGSGGEGHEIVEVVGDPGTPSSSGTMRLMDFIPIYIPTVERGALSRSRRKRRFLDFLRAHPSKDWFLRSTFVGRLRRRSQPSTEDSDEGDDGEREQPRRRFRVPFVRRIKWAKLWSYAVSWCRKPANFAMLIWLGFVAAGLLILFMLMTGMLNDAIPDEERRKKWTEVVNQILNALFTIMCLYQHPKLFHHLVLLLRWRPGVGGDRDEARKVYCKDGAPRPRDRAHMLVVVALLHVTCLAQYYCCALFWSYSRRDRPDWALNIGYGLGTGCPVIAGLYAAYSPLGRKQAGEPDTESSSSSSGGGAEQENHRTEGDVEIKIYNRRVVVSSPEWSGGLFDCCDDGTVCALSATCTFCVFGWNMERLGFGNMYVHAFTFILLCVAPFLIFSVTALNLHDPDIRDVVVAAGVLLGFCGFLYGGFWRAQMRRRYKLPARRGWWWCGSAAVGDCVKWLFCWSCALAQEVRTANFYDVEDDRFVAVLDARNGEGRAVLVPLPREASSTHSRSLSCPPQIDAIDGGGMMSPLGVEMAGAMERSATLILVLHFSALEYYVSDFLVSPDIT >Et_6B_048576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1100175:1100672:-1 gene:Et_6B_048576 transcript:Et_6B_048576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPAQLAVAAVLVALVLVAMPAVNSFDVRAGSSTSRDAAPCLAGLLQRHLDLIVASRQTSTADRQNICNCISQQTVGLDGHELSLMASLAGRCRINIPYHPINYFRTDCSKVH >Et_8A_057278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23017562:23018505:-1 gene:Et_8A_057278 transcript:Et_8A_057278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVACTYAALILHDDGIPITAEKIATLVKAANIKCESYWPALFAKLLEKRSVDDLILSVGSGGGAAPVAAAAAPAGGAAAAAPAAEEKKEEAKEESDDDMGFSLFD >Et_7B_054059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14859862:14865058:1 gene:Et_7B_054059 transcript:Et_7B_054059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFLQAAAKVTEEAPTPLRVVQMEGLAVLKIIKHCEEFAPALVTGQLLGLDVGSVLEVTNCFPFPTREDDDEADADGANYQLEMMKCLREVNVDNNTIGWYQSCLLGSFQTVELIETFVNYQENIRRCVCIVYDPSRSSQGVLALKALKLTDSFMDLYRSGGFTGEKLREKKLTWVDIFEEIPIKVSNSALVSTFMQELEPESPVSQCDFDRLKLSTAPFMERNLEFLIGCMDDLASEQNKFQYHYRNVSRQQSQQQAWLQKRRQENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLESYLVTNQISSYCNHINGVG >Et_10A_001300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23097959:23100590:1 gene:Et_10A_001300 transcript:Et_10A_001300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEENVGPFRRTSARTRRMATRMASALASSDNRAQAALARLEALESDNAGVEVVDLNDDEYGSTDEEDPVLMQKKQSKNMKRKTRQGKALEKRAARSFMDVLHEANLESLPPHVPTYLRAAVGPPSTSSRRHYCSVCGNSANYTCVRCGTRFCSCRCQSGRSGGGASMDWSTPTPSSTRNAMARPQVMCTSRWQCISHTPGLSARRVVEVEKLGGVGCGVEVAESLGEDVEVVAVDVDGVVIGGEDVGALEHHLHHGVVLEPVVDLRSRLRLPERAADVLRCVVELHRRVGREVGGEDSAGRGAVVVRLEDGDGGGQHEGDVVHARREPRVVRPAAGAVEEAHAHREPGLWIQVACQSFGSNQVIIRIFFLLLLVLCPTLIE >Et_10B_002534.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:13984250:13984375:-1 gene:Et_10B_002534 transcript:Et_10B_002534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACICRILTVEDEFEISVAKLLRLAIQCRKPVPVGTQCGSK >Et_2B_021527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30332327:30332870:1 gene:Et_2B_021527 transcript:Et_2B_021527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTDSWQHWTCTVSPFLYGDSKTHFFTAWTRDGYKTTGCYNRKCSGFEPASGASIAPGAVIETVSHNGVKQKLTLK >Et_4A_034879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7441579:7445408:-1 gene:Et_4A_034879 transcript:Et_4A_034879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRAAASRQGGECSCSNGGAVEQPRWRAAGGGGDGASCSYLPLRKRLSVDGSGRCQAAAPRICIWECDGEAGDITCDIVAAPLRRSCSARALPPPPHAPPLFRRMMTPPPPRPRPPLRQAEAVRRPGETICKGHRSYSLMLNLQLGISYSVGKSSALPFRKLLSSDFDPREKVWTRFPPEGSKLTPPHHSVDFRWKDYCPAVFRHLRKLFGVDPADYMLAICGNETLRELASPGKSGSCFFVTQDDRFMIKTVKKAEVKVLIRMLRGYYEHVCRHKSTLLTRFYGTHCIKQAGCPKVRFIIMGNFCRSEYKIHRRFDLKGSSHGRTIDKTEEKIDETTTLKDLDLDYTFHLQRFWYEELMMQIQMDCTFLETQGIMDYSLLLGVHFRNDFSVSKLGVSQYIGLPKSTGKRKSFEGGCDVCELCFMESGPKDRDFIIDSRKPLIQLGMNMPAQAERRTKKILDKFLLNERHLFITTPSGGLCDVYLFFGIIDILQDYDITKKLEHAYKSFQVNPGCISAVDPKLYSRRFQDFIRRVFIREQ >Et_2A_017318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33131377:33138972:-1 gene:Et_2A_017318 transcript:Et_2A_017318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGGNAGGEDEHRRTGKEEAEAEAAAAGERGDGVAVAEAGGEVAAQGNATAKEGSLADMIDRALEKEFPESEGEQGGGETDPGSFNNTVAEKQGVLETVARRVTKKNETKDNKSFPFKEVFLDRSEQEDVPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACLGQPVITGYLLAGSIIGPGGFSFVNEMVQVETVAQFGVIFLLFALGLEFSTAKLRVVRAVAVLGGLLQIMLFMFLCGILATLCGGKTKEGVFVGVLLSMSSTAVVLKFLMEKNSINALHGQVTVGTLILQDCAVGLLFALLPILSGASGLLHGVASMTKSLVVLITFLAILSILSRTGVPWFLKLMISLSSQTNELYQLAAVAFCLLFAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLINVHFLWNHVDILLAAVILVITVKTFIVAIVVKGFGYSNKTSLLVGMSLAQIGEFAFVLLSRASSIHLIEGKLYLLLLGTTALSLVTTPLLFKMIPAVVHLGVLLRWFSVDSNQVELGLKNDVLRIDSGKRINLIVQGSHDS >Et_10A_001160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21732840:21737339:1 gene:Et_10A_001160 transcript:Et_10A_001160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSGAASGEVGWYVLGPNQETVGPYALAELREHVANGYLNEGTMLWAEGRSDWMPLSSIPELHSVLSAKGQPAQAAPVLEDDFAKFQQEVTEAEAEVEAMKGSAEDGDVKKELDERPATPPDGEEEFTDDDGTIYKWDRTLRAWVPQNDVQGKNEGYALEEMTFALEEEVFQAPEIPGPSALQKINSPAENKNKESDKADKRGEKKRKSSEKPDEKKEANKPPDSWFALKVNTHVYVTGLPDDVTLEEIVEVFSKCGIIKEDPETKKPRVKIYTDKETGRKKGDALVTYLKEPSVALAVQLLDGTSFRPGGEVFIPKKTDKHKKRKTKKVEDKMLGWGGHDDKKVTIPTTVILRHMFTPAELRAEEELLPELEADVSEECIKFGPVDNVKICENHPQGVILVKFKDRKDAAKCIEKMNGRWFGGRQVHASEDDGSVNHTLIRDYDAEVSRLDRFGEELEST >Et_3B_029777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27846748:27848921:-1 gene:Et_3B_029777 transcript:Et_3B_029777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDQATDGAAVWPGELDEQLISELLDDDSLLGALQVSGDAEHHYCSGDTGPDATPAPCNSGGDSSGAAAERELPQPAAVSRALCSVYSGPTIQDIEKALSSRPRHWSHRRYSSMFGATSRAPESKYTTKVRSCAGKTPSDGFKWRKYGQKSIKNNPYPRSYYKCTSSRCGAKKQVEKSVDDPEMLIVTYEGPHLHGPQPPFPCRQWASSAEFLSGAAARRAKAPSPPAAHANEHTCDATEARGGGRGFVAGDQLRGCQDARAAEDVAAATRSCDDGGSSASVAAAQDATALHCDSPATTWSCPDFYYNSWSPEALLP >Et_1B_014082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3061333:3066679:1 gene:Et_1B_014082 transcript:Et_1B_014082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSEAQPPPQSDAEAAEAVEQPPPVPMDQDEDGEAAAEPMEDDEAAAADASEAADPMEDGEGAGNAAGAAEPMEDDDAPTSSPTPSAPSATAAVDDSTIARKRRRRKKQFPGMIPTEGVRVLPRSSSASSTAHLSGVPRRRGRPPTSSSLRLARELDSEALIALAAGFPADSLSEDEIAAAVLPRIGGADQANYLVVRNHIVALWRSNPLSHIAANAALASIRAEHAPLVAAAHSFLSEHAYINFGLAPSILSLPPRPPPSFPPPSILIVGAGLAGLAAARHLISLGFKVAVIEGRLRPGGRVISDGVPHGVDVSLGLALEAFRAAHGVAAEPEERMLLDWHLANLEYANAAPLADLSMAFWDQDDPYEMGGDHCFIPGGNSQFVRALADGMPIFYGQNVRKIQYGCDGVMVHTDKQAFRGDMVLCTVPLGVLKKGDIKFVPELPARKKDAIQRLGYGLLNKVVMLFPYDFWDGKIDTFGHLTEESGQRGEFFLFYSYSSVSGGPLLIALVAGESAVKFEKMSPMENVEKVLDTLKKIFSPKGIEVPNPLQAICTRWGTDRFTYGSYSYVAIGASGDDYDILAESVHDRVFFAGEATNRRYPATMHGALLSGYREAANILRAARRRAKKVDLPEKMNVSGEVKVDVNGEVKVDVNGEVRDGVKDGKIDLDDLFRSPDAAFGGFSVLHDPSTSEPDSTSLLRVGIGARKLGSGSLFLYGLIKRKNVAELAAIEGDEQRLSTMYRDFGTKLVGLDSLGDAGQSLISRIKGRAAFLTPFQIRDHSCRPQRASPSSGNGGL >Et_5A_040907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14539892:14546150:1 gene:Et_5A_040907 transcript:Et_5A_040907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGRPRSSPHASPASDVPDPTADGDGSAVFRLLLPQSFTDAETMLLYAAVNPLRRRTAALQVRVEPLDSSSSAADTIRVAVVLGPTTPVRRVEVSSSSGEPLALSPVQEALLAVVDVEGALHRADEAGRGGPGSVTCLLLVEASRLEAAAGRGIMGRIALETGAGVRVVPWEMGAPSPRGQLSEEVVEITGDRTTVRKGLVALSSCLQGDQPVGSSTNPVKKDGPMFSWATSEMPEPNTGTFGSEASRENEQSSVPQSDCPQSVSRDAQNKGLQQISFRLLCPINIVGGLIGKKGLIIKGIEDETGACIDIGPPFSGCSERLITISALESSDADYHTVQSALLHIFDRMQEVESQTRSTFNQPYQSSVRALVLKNQFGCLVGLGGSIIKEMVNATGAKIQILDESDITGELMNVRDALCLVSWKLRNHVFSFNSNITKNGCVPASDIAESSAPSKVHISSTGQCSTGSCHRVDYGPSLSYGMDSVEKSFGDFQLSSSEIQKAENDIVNGINDSDNGGWSDNGINKSNSGVASIGENNLVRGVEPARITRMTYETVISGNILHLVYGDNGNNLVQLKEITGADISVYNPPSEDNEATIVVSGPPDNAQSAQRLLVDFILQVQS >Et_3B_030319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32189142:32192834:1 gene:Et_3B_030319 transcript:Et_3B_030319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKGLSSDAIRVSTSSAPSTSSHGSAQDDYDSSGDVYVWGEVICDNSVITGPDTVIRSTGKTDFLLPKPLESKLVLDVSHVDCGVKHAALVTKNGEVFTWGEESGGRLGHGSREDAVHPRLVESLAISNVDIVACGEFHTCAVTKSGELYTWGDGTHNIGLLGNGTDVSHWIPKRISGALDGLQVDYISCGTWHTALITSRGQLFTFGDGTFGVLGHGNRESILCPREVESLSGLKTIAVACGVWHTAAVVEVIVTQSSSSISSGKLFTWGDGDKHRLGHGDKEPRLKPTIACGHSLTVGLTTSGQVLSMGNTVYGQLGNPRSDGKLPCLVENIMGEHVVKVACGSYHVAVLTNKSEVFTWGKGANGRLGHGDIEDRKVPTLVEALRDRAVRHIACGSNFTAAICQHKWVSGAEQSQCASCRQPFGFTRKRHNCHNCGLVHCNACTSRKALRAALAPNPAKPYRVCDSCFMKLNSAAYSSAITKRKEPVPRHSGEGNPDAKLAKAIVPSNLDMIRSLDSKAAKQGKKTDALSFLRTPQMSSLLQLRDIALSGRIDLNKSVPRAVRTSAVRSLNSSRAVSPFSRRPSPPRSTTPVPTTRGLSIAKTGTDSLSKTNEILAQEVERLRAQVDNWRHRCELQELELQKSAKKVQEAMALVAEESAKSKAAKEVIKSLTAQLKDMAERLPPDQGAYDGSEAKPSHIPNGIEMHGSIYSSLNGIHQPRNESISALSTPSLNVGRPLHSNGIPSLHKSSGSISENSEVSAHSHRVSGHHDAENSNRRAHISSDEMLNASSRADDSSKDVRSLLNGEDGYKSRSAISLPSNHVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFRRFGEHQAENWWNENRDKVYEKYNVRSSERVSSASSTRSGR >Et_3B_030002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29792037:29797122:1 gene:Et_3B_030002 transcript:Et_3B_030002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLFLQVPAEGGNVDADAVRARKSLLSNIEPIIKSVIKSAGRYEARLWLCSTVSSIHSLSRHDQRELFLDLLEMRNSRRDVAARLLRMIFEKKPERVGLILARKCQMLEEFFRGNRRRIMQWFDHFSFSGESTHKRGARALSQYAFVNRDICWEELEWKGKHGQSPAVVATKPHYFRDLDILQTVENFLDYVPDFWSSDELASSVKDGEILQIDADYFVDQFLYLMYEENSKDVWRVVEDFLMDEQFSSLCQHLLIHLDEQRLLDFLKALGKFLSKTLQCKELAFQCCWLEVLLSAHSDHMSLDDLVLLNCVISKRRQLLRLMNDEEQVEERGRMEEFLKSANHLTDPDHFSLMTEFVETEFLDALKWIGTQSWIIFSDLSKECKSADSCESMFSCNKIEFRKADDYSLVQSDGYSVSHISDADDDDLTRSGHRSRKRNRKRKRRRYESDEDHLDQLIEVADCNTTNIIGSQGRSWRLSTDAFSASWDIMLLHLVSPEGSLAAEASGRGGAVQGRPVLVKLLLQG >Et_5B_045409.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:21447549:21448100:-1 gene:Et_5B_045409 transcript:Et_5B_045409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPSSRESRPYALPSLPAAVVDLSLAIAAGSAADDDLMSLTPTRRVGGKEVRLFPCLFCDKKFLKSQALGGHQNAHRKERAAGSWDPYVYGHHHYDAPAAATSVAVASHGGAGGAAEPPAAADVKLERTDGAGASFLAGHAVADTVAMLNWKAVSHASAPPESSTANTAGREDDELDLELRL >Et_8B_059127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14147977:14152598:1 gene:Et_8B_059127 transcript:Et_8B_059127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRPSAVRRSGSACRLISSMERRGSVGVAAAPRSATSLAATMRDAATARSSAATARTRSPGASAARIGCARHPAATVSCSLARRQRSAASNARSRTRALHSCVAAVALSSRSSHSRTSAIARSL >Et_1A_009212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33631883:33633859:-1 gene:Et_1A_009212 transcript:Et_1A_009212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYAASCPDVERMVGDYVRQHVRRVPTVAAALLRLHFHDCFVRGCDASVLLNSTGGNVAEKDAPPNLSLRGFDLVDRVKALVEEACPGVVSCADVLALAARDAVAAIVRGGPSWRVPTGRRDGTVSRMVEALDEIPRPTMSFHELADLFASKGLGVRDLVWLSGAHTIGIAHCSSFADRLYGYAGAINGTDPSLDATYAANLRRRKCRAPVAAGGGAYAGGDAVVEMDPGSHLTFDLGYYREVLRHRGLFRSDAALLTDAAARADVAGVAAGPEEVFFQVFARSMARMGAVQVKTGAEGEIRRNCAVVNGD >Et_10A_000383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:736979:737377:1 gene:Et_10A_000383 transcript:Et_10A_000383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPIKNRISGECGTVDVSLAYVEDAVEATIEVAISEVHGAFTLSLSSFIDVMDVFEEITLFHGAVDRSMGLGSDSVEHCCSFKAQHHGYATQQIQLGLASVSVKVTWSTIGLF >Et_7B_055761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3746432:3750692:-1 gene:Et_7B_055761 transcript:Et_7B_055761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMLLRVAECKQLAVFFCKMRPSDDRAQLSGFAQSEESSLDEGHCNHQQSFPCSPSMQPIASGCTHTENSAAYFLWPTSNLQHCAAEGRANYFGNLSKGLLPKSGRLPKGQQANSLLDLMTIRAFHSKILRRFSLGTAVGFRIRKGVLTDIPAILVFVARKVHKKWLIPVTQCLPAIVEGPGGVWCDVDVVEFSYYGAPAQTPKEQMFSELVDRLCGSDECIGSGSQVASQDTFGTLGAVVKRRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDISTVTTTVRGVGDIGDVKFIDLQCPLKSLIGRQVCKVGRSSGHTTGTVVAYALEYNDEKGISFFTDLLVVGENRQTFDLEGDSGSLIILTRQDGEKPRPIGIIWGGTANRGRLKLTSDHGPENWTSGVDLGRLLDRLELDLIITDESLKDILKLTKFVGNKESVQQQRIALVAAANSAVGESSTAAVTVPEEKVEEVFEPLGIKIEQLPRPDIPASGAEGEDAAVINVEEHQFISNFGGMSPVRDEQDAPRHIANLNNPSEEELAMSLHLGDRETKRLRTDPESELDLEK >Et_1A_005938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15887711:15888034:1 gene:Et_1A_005938 transcript:Et_1A_005938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPTSCLLCSRPGSLSMSRAMRLVLSLAVSRWCEARPKARKMRSPPTLHENCKFLISLFDTLEEFRFLSPGERCVRMLCHDKAAGRLARASGLLELGSSVASAS >Et_10A_001619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6056300:6056704:1 gene:Et_10A_001619 transcript:Et_10A_001619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGRKERGRHSLSFLNRSDHFSHSLLFVWGPGLVRFLLLSTQFSVFVRDHLRRKDRLANPIKLFSYILLFGVLSLLTPGRRSLCFDSINQYFSTLGNRVSGKNLGQRSLDIKVMGLGWATAS >Et_1B_011094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17554646:17560483:1 gene:Et_1B_011094 transcript:Et_1B_011094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDEFRAKFHVLAVDDDRVSLMLIEKQLRHCKYKVTTATHAETALEMLRARRDAEDQFDLVITDVHMPGMDGFKLLELINLEMDIPVIMLSANDKLETMMKGIKHGAWAVPNKIVEQMNVEGITRDHVASHLQKYRMHLKQVSTGTYKSRNPFTNENVLSEGNFINTDGQHGRYQPSISFACSSAASGTHNMLPTQSVQLMNQRNLVISSKDMGSVGYGGNLSQIVVPRSQHGVSSFTSASNSNTDICFLSGSSGSSFTNISNNTTFNTSNSSANIRKGRSPLAVSMKATYYPYISYASLCMSDPDPSKRNKRRLNQLSSLAALSGPNSEFQKQVATLTRTTTPMAGFTEQVAPFKIGNNTNSVVMPNYNSAPGGASSVISDLPSIQTYSDAMQSQMLNVRDGSGSLLDHQVVADELNYNNESLIGTRSGQNGLGDDLDEFLTDCFDQHQDVQLDHKLQ >Et_2A_018481.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29541531:29541842:1 gene:Et_2A_018481 transcript:Et_2A_018481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVPRHDEPEVIGARAAALHDVQRRRFLQAGRILVIGGWLVLAHGSVSNRAANAEHGLVGLIILLLGVSLIVLSLSSFPGAAGAGAAVADAVLIYFFTPAGN >Et_7B_055251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8726738:8729140:-1 gene:Et_7B_055251 transcript:Et_7B_055251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAGARGRLSPASGGGDSEPRSAGSRTRSVSATRGRKPSPRPGRDASATAEEKKPAAVPTLLPSLSAPAGMRRQELLLRSGLSLDASCSSDASTDSFCSRASTGRIGRPAFGARKKKTVSQTDYKGVLMLEREGGLAYSDADGVKRRCAWVTANTDPCYAAFHDEEWGVPVHDDKKLFELLVLSGALAELTWPAILNKRPIFREVFMDFDPVLVSKLSEKKIIAPGSPSSSLLSEQKLRGVIENARQILKIVEEFGSFDKYCWGFVNHKPILSRFRYPRQVPVKTSKADAISKDLVRRGFRSVGPTVVYTFMQVSGMTNDHLISCFRFAECAAAATGAKVTDQSEANSSSSSSTSSHATELKMNGTNGLAADLELSRSIDELSIS >Et_3A_026268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6159847:6166301:-1 gene:Et_3A_026268 transcript:Et_3A_026268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRHASPFFSSSLLRRVFVPLSPPLLIPSSPPHRVKHQREATAATAPSRIRHRRAQSAASPAESPSDPPVPAAKAAPFPMYSNFKEQAIEYVKQAVQEDNAGNYVKAFPLYMNALEYFKTHLKYEKNPKIREAITAKFTEYLRRAEEIRAGKDGDGKDGDDSEQSKLRAGLNSAIITEKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDTKARQHMFKVHLGDTPHSLTENDFESLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFQADGGMWMPCGPKQPGAVQTTMQELASKGLAAKILPPPISRTDFEKVLSRQRPTVSKKDLEVHERFTKEFGEEG >Et_1A_005194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31627100:31628911:1 gene:Et_1A_005194 transcript:Et_1A_005194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPAKWKGRCEFNVSSACNNKLIGARNFVTGRRRAPPFDDDGHGTHTASTAAGAAVPGAHVLGQASGVAVGMAPRAHVAVYKVCGEEGCLSSDVLAGTDAAVADGCDIVSMSLGGESEPFHRDAIAVAALGAVEKGVFVSMAGGNSGPNVSSVSNEAPWMLTVAASSMDRAIRTTMAAFSSRGPSLANPGILKPDVTGPGMNVLAAWPSASGGLPGAPPTFNIISGTSMSTPHLSGIAAAIKSKHPEWSPGGPILDEQHAPADWFAAGAGHVNPEMAADPGLVYDINPADYVGYLCSLYTSREVSVVARRPVNCSAVTVIPNTVLNYPSISVVFPANWDRSKPMVVQRTAKSVGKVPSTYVAAVDMPASGAGEVTIDVSPKQLVFSQANQELSFKVTVLPGQNGAKVVQGALRWVSGTYTVRSPISIAFA >Et_5A_041218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19670122:19675330:1 gene:Et_5A_041218 transcript:Et_5A_041218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDADAVKGRRGGGQVCQICGDGVGTTAEGDVFAACDVCGFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPPIRGEEGDDTDADDASDFNYPASGNEDQKQKIADRMRSWRMNAGGSGDVGRPKYDSGEIGFTKYDSGEIPRGYIPSVTNSQISGEIPGASPDHHMMSPTGNIGKRAPFPYVNHSPNPSREFSGSIGNVAWKERVDGWKMKQDKGTIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPLPSSRINPYRMVIVLRLIVLSIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWFPINRETYLDRLSLRYDREGEPSQLAAVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFVKKYNIEPRAPEWYFCQKIDYLKDKVHPSFVKDRRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDSEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYMLNLDCDHYINNSKALREAMCFLMDPNLGRRVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPAKQKKGGGAGFDDEKSLLMSQMSLEKRFGQSAAFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYSGRLKFLERFAYINTTIYPLTSIPLLIYCVLPAICLLTGKFIIPEISNFASIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDTQTCGINC >Et_1A_006862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28359752:28363323:-1 gene:Et_1A_006862 transcript:Et_1A_006862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTAFSALVIALAIMVPAANARFTAMPWTPAHATFYGDETAAETMGGACGYGNLYATGYGTDTAALSTVLFKDGHNWGAAFQAFAQLGGKPLSFKLTSRTTRQTIIATDVAPANWCLGLTYEARWTPAHATFYGDETAAETMGGACGYGNLYTTGYGTDTAALSTTLVPCVRKGGIRFALQGNSYWLLAYVTNVAGAGDVGEMWVKSGSSTGWIHMSHNWGASFQAFAQLGGNALNFKLTSYTTKQTIIATNVTPADWSLGLTYEALVNFR >Et_5A_040553.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:9041946:9042635:1 gene:Et_5A_040553 transcript:Et_5A_040553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAKAKAKKTKKRHLMACCIALSILAVLGALALAFYLRYRPRPPRVLATPVDLSIDQFDLLPHPTLKLSVGVHVVVNNPSNSPYRYGAAVSPVTYHGEPVGETLVPAGEIGGKSTRMVEPATAVDGVKVAESPHFAADVVAGVLPFVAVVRVVGKALVLKTFEVPVTVEVVCFVRMYVFHGESSSRCVSTVRTGGSAAGSGRGSTLPPSSPGGAVRSGYPEGYAYEHD >Et_2A_015102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29945274:29945489:1 gene:Et_2A_015102 transcript:Et_2A_015102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYACGSGADCDMTAHASYAFNSYWQRNKVAGGTCDFAGAAMLVTKDPSKHTTIVERE >Et_9A_062790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:465679:497220:-1 gene:Et_9A_062790 transcript:Et_9A_062790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSVSMPRGKGDCWQGARLRSALSEYSDARMASSRGRGVAIRGSDKRSFVAEDVAKELAGLDPFTEEDAKRAEERKELLQYTRKMERYRQEHQTDLQWELKDRLRDFDPKQGGTYYNRYHHVDLRKFDLDEESPLGPMRFTNAVYKDKDDYELCGGINIYSVKIACSDVGFPIHVYGTVIARDSIDRKCVYLFRSDRDHCQIINSEDDSLALTGPKRGLAKMDDVHVETDLKIRDHQGQDRELSKGVLTLGRIGSLTKLKVKSVSLATRLSTVDVLYGYVVDAVEGTMGIEVIQGYFDGQITAHTTSTPNRLVLYDRELHGDVKGAIQLTRPIVCVSLKEMLVIVAKTRDGKSKRTISFTPRVNSGDEDVIVVRDVRMRVKMLTVNIVGVTGSGETEQATVEFKPAVNGGVSSSCLWVLGFVSSSDGEEFAGLEPFFFDEAVAVAEHAAAEEKGAGGGSQEGADDGEGNCSVLDKITEYDPKLGCEYITRFYMADLSVYSTSTRTPLGPMRHTETHTEKSTGDYGTMCRQGRKWFYPNDSANVISVNIISSDVGFPLYVYGTVIARDSLDWKCLYLFNRGRDTCQLINSKDDQLILTGPKRGLALIDAIYFEMDLKIKGDQGQQDKEISKGVLRLDGIRFFDTMIVESDSLETKLSTVQVMYAVVQRAVEATVAVEIVQGNFDGTITACTTSIQQHLVLYNSKLACVMTGSDDNKSIQLLRPVVAVCMEEMLEVTIAQGKTESKILFMPDANGGDNAEYTCGPLTMLVKETMGDYKQQPRSMEDSREEWAELKPFFFDEAEAIVQGDHESSNDALSVKAVAASDVISEKMFVPCNSANFLSVKIASSDVGFPIQVYGTEWAELEPFFFDEAEAIADHARRAPKEKLKALRLQKIMTTLARIQQYDPKLGRVVYNKIYFVDLRSFDHDEESPLGPMRDTEASIDMVDGSVCKEGKKQLVIQGDHESSIDALSVKAVATSDVSEKLFVPCNSANVLRVKIVSSDVGFPIQVYGTVVARDMLDQKCVYLFRCDRDHSQIVLNEDEELILTGPKRGLALIDAIYIEIDKGYLTLTGLARHFRDEMEVERYTIESMLSKVVVMCGVEKRAVEATIEIEDGIVLHDSKLISAAATAGGALPLLRRVVAVGLMEKLILNGQRTIKFTPRVNSGDEAEIACGSLKMRVKGIIDSGLGSSAVEEEVEEEECAGLEPFFFDEAVVVAEHAAAEEKRRKKEQEADLKKERMMQKAIAYQSVLDKITEYDPKLGCEYITRFYMADLSVFDLDEESPLGPMRYTETQTEKSSGEYGTMCRQGKKSFYPENSANVISVKITSSDVGFPIYVYGTVIARDSLDWKCLYLFNRDRDTCQLINSKDDQLILTGPKRGLALIDAIYFEMDLKIMAEQGQEDKKLSKGVLRLDGIQHQFLDTMFVDSDPLETQISTVEVTYAVVQRAVEATIAVEVVQGQFDGTITACTTSIPQSLVLYDDKVACAMTGGNGNRSIRLLRPVVAVCIDEMLELTIAQGKTEGKIPFTPDVNGGDEAEYTCGPLTMLVKVTWSIIAC >Et_3B_031214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21306846:21311400:-1 gene:Et_3B_031214 transcript:Et_3B_031214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDLNTVEGEEDEEEEAPPPVARAGGAVCLELWHACAGPVAPLPRKGSAVVYLPQGHLERIGADAGAAAAAGVPPHVFCRVVDVDLHADAATDEVYARVSLAPVDEAETRAREGENAARRGDGDEDEDAAKRLARVPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSLQRPSQELVAKDLHGTVWKFRHIYRENTPPTPALHNQCSGHRNLENVARAVAMKTVFHIYYNPRLGQSEFIIPYWKFMRSFNQPFSAGMRFRMMYENEDASERRCTGIIIGSREAGLMWHGSKWKCLVVRWDDDIECRRPNRVSPWEIELTGSVSGSHLCVPNPKRLKPCLPQVDPGTVLPNGSVSSDFAGSARFHKVLQGQELLGFKTRDGVPRHGVRSPSAIPGFSYHCFGFGESQRFQKVLQGQEVLRPFRGGLGEAHIRTAGMYQADGLAPQGCDFQLPAKPVLLQASSPSSVLMFQQTSSRIPHIEHEYSCLDKDEGDRYVSVGPTQDMGRTKQALSLWPHLVSGEVINECTRAEKLHSPVSDAEHESNNESTVENGCKIFGISLAEKVRSCDEADSCNANYNSRLLSNPQMQKPLGSCWATVHEQRPIVGRVVDVSAVDMMI >Et_10A_000406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:24212:37364:1 gene:Et_10A_000406 transcript:Et_10A_000406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPEPDDAVLFVGVSLVLGIASRHLLRGTRVPYTIALLVLGVALGSLAHFQWRYTKSRCMAQMVLLAGPGVVISTFLLGTAVKLTFPYNWSWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGRTFDAGSIIKFLSEVALGAVALGLAFGIVSVLWLGFIFNDTIIEIALTLAVSYIAFFTAQDSLEVSGVLTVMTLGMFYAAFAKTAFKGDSQQSLHHFWEMVAYIANTLIFILSGVVIADGVLQNNVHFERHGTSWGFLLLLYVFVQVSRVLVVGVLYPLLRHFGYGLDLKEATILVWSGLRGAVALSLSLSVKRTSDAVQDYLKPEVGTMFVFFTGGIVFLTLIVNGSTTQFLLHVLGMDKMSATKVRILKYTRHEMLNKALEAFGELRDDEELGPADWVTVKKYITCLHDLDDEPEHPHDASDKDSRMHTMNLRDIRVRLLNGVQAAYWGMLEEGRITQATANILMRSVDEAMDLVSRQPLCDWKGLQSNVQFPNYYRFLQISRLPRKLITYFTVERLESGCYICAAFLRAHRIARRQLHDFLGDSEVARIVIDESNAEGEEAKKFLEDVRVTFPQVLRVLKTRQVTYSVLTHLSEYIQNLEKTGLLEEKEMIHLDDALQTDLKKLKRNPPMVKMPRVGDLLNTHPLVGALPAATRDPLLSNTKETVRGHGTILYKEGSRPTGIWLVSIGVVKWTSQRLSSRHSLDPILSHGTTLGLYEVLIGKPYICDMITDSVVHCFFVEAERIEQLRQSDPSIEVFLWQESALVIARLLLPQIFEKMAMHEIRVLIAERSTMNIYIKGEDIELEHNYIGILLEGFLKTKSQNLLTPPAVLLPSNTDLTLFGLESSAMNHVDYCYTAPSYQVEARARIIFFEIGRVSEIEADLQRTASLLSQTHEPPRTLSKEHSGLLSWPESFRKARGPHNVSLAEIRSQPGSLSARALQLSMYGSMMDDMHSGQGQRRQRQRRMQATNQKRHSSSYPRVPSRPSNTRPLLSVQSEGSNMNRMPASKEAGEAATPAPPPPAPATSAGRRRPKAMEDDNSSDESAGEEVIVRVDSPSMLSFRQSSGAVHHSPPPRD >Et_4B_036846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1240994:1247172:1 gene:Et_4B_036846 transcript:Et_4B_036846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPVFAYAPKEQGFSGFVIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSEPYKGIADCFRRTIKDEGVISLWRGNTANVIRYFPTQALNFAFKDHFKRMFNFKKDKDGYWKWFGGNLASGGAAGACSLLFVYSLDYARTRLANDAKAAKKGGQRQFNGILDVYRKTLASDGITGLYRGFTISCVGIVVYRGLYFGMYDSLKPVVLVVRRRMMMTSGEAVKYKGSLDAFKQIMAKEGVNSLFKGAGANILRAVAGAGVLAGYDKLQLIIFGKKYGISSYSAMNNPVFNNARSAYSAPLRSHHGMGSGVGLSSVIAPSPVFASAPKEKGFSGFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSEPYKGISDCFARTMKDEGVIALWRGNTANVIRYFPTQALNFAFKDHFKRMFNFKKDKDGYWKWFAGNLASGGAAGACSLFFVYSLDYARTRLANDAKSAKKGGERQFNGLIDVYKKTLASDGMRGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGSLQDNFFASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVQYNGSLDAFKQIVAKEGPKSLFKGAGANILRAVAGAGVLAGYDKLQVVVFGKKYGSGGG >Et_8B_060236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7762032:7775746:-1 gene:Et_8B_060236 transcript:Et_8B_060236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASPHILVVDDGLVDRMLVTRVLNKSKVRVTVVDGAEEALNFLELEHDVNLIFTDYHMPGMTGYDLLTKVKESPKLKHLPVVITSTDEDPEIIEKCMEGGAKGFIRKPINLDVALRSKGKHVRCHTPYPPKVPRGRSRRSKVTRQEWILHKHMAFASPHILVVDDGPVDRMLVTRVLNKSKVRVTVVDGAEEALNFLKLEHDVNLIFTDYHMPVMTGYDLLTKVKESPKLKHLPMHGRRSKGVHTQAYQS >Et_9B_066066.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:2316196:2316516:-1 gene:Et_9B_066066 transcript:Et_9B_066066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWSKNGKKSPAPAGGELAVQKVDRIEVVRNLVTRPSIYGGRWRKLRGGRGGATGAPPLTPRIGSSGAQGEDIDKKSSEYIKKVRKMWFHSLKAAEPATPWSPAA >Et_6A_046723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19901750:19910124:-1 gene:Et_6A_046723 transcript:Et_6A_046723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAGEASSSALAWLALSLLFLVPALGLLARSRWRRSVARREEVRRLARMAAEESELAERESVLAYYSELFPSVVHAAEVPEAPVWGPTPEAAVASSPALDEVELQQPQAQHPAGAKGVCAVCFRPTTFRCKQCKAVNSFKCQIAHWRQGHKDECRPPNITRPDDEGDAEHGRASGENVLVGVKPVAVGSETSGANHNLSPLNETSKHSHSEEVCTSTELPGDHHSNGKVEISQTARPSVDRSKTGSNINPANFVENGSTTKSLDEAQSCNSQASARKITSHIGNEESFDRRARDASVTEDCSQSQTTCDRNVVDNINPQAAASVHILQPKSSRTPIRVEVEKSKTKPVVHDSIQSTKPVPSASSADKLSVLGGYSVAPDPSKRASNIPERSSRTSERAGSTANNLATSLKKMVRQQTAPKVTRHYPSELAIFPYEHFVRLYDKVELRPFGLHNLGNSCYANAVLQCLMFTRPLTSYLLGRLHSKNCSKKEWCFMCEFEKLVVEGKQGKTALSPTGILSHLHDIGSSFGPGKQEDAHEFLRYAIDAMQSVCMKEARKGGAHRLAEETTLLQLIFGGYLRSKIRCSRCHGVSEQSERLLDLTVEIDGDISSLEEALERFTSTEILDGENRYQCGSCKSYERAKKKLTILEAPNVLTIALKRYQSGKFGKISKAIRFPETLNLARYMSTDEDRFPVYSLYAVVVHHDVMNAAFSGHYVCYVKDTQGKWYKADDSQVKPVSLEKVLSKSAYMLLYARCSPRAPSIVQTPARVKKGKPKVVSEGVTLQEGSLSGPHGVQLQMANDLTHTFDEYGSYPPAESPSPSESSSLFSNSDAVSHSTTSTDSSDSTRNSTSAEEYEYLLFGTTEQMYPGGPGATEENDYMTYSRSRSCLNTSTSGREAGERFAELKLQGSRGGWFEGDEGSSLLYTDRSRQHLSSKLTEQYRQLDGSGHDPGETRGSVLSRRSARERTAQTFY >Et_5B_044197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21371840:21372330:-1 gene:Et_5B_044197 transcript:Et_5B_044197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDAQGPTRGLGTGEPSLATGHDKLTEDGRPDQLALPAPGYQGEAPAKVRDQPVLPSPRLRRAGRSHGDRERVGHRPAGTRRTGTTSPTYERFEERNGGRDFRGGDFEFVPFRAGRRIVAAGDLDMTEAFGITTQRRDELTVVPIARVPVPMEGTE >Et_7A_050698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10214640:10217295:1 gene:Et_7A_050698 transcript:Et_7A_050698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTATSSVAAHTSSCARLRSAPLRETTAAVSFPSRPRPAALTASAGPSRRPDVVAAAGHQKLMGSLTNNEGLRFGVVVARFNEVVTNLLLQGALEAFERYSVKAENITVVSVPGSFEVPVTAQKLGQSGKFDAILCIGAVIRGDTTHYDAVANSAASGVLSAGMSAGIPCVFGVLTCEDMDQALNRAGGKAGNKGAEAAITAIEMASLFRHHLG >Et_5A_040167.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:18346478:18351447:1 gene:Et_5A_040167 transcript:Et_5A_040167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLRTPAARRPILAASTFLRHISFATVPPHNPNPLAAELLRLLSAAPSWTPDLAGAISSSISAAPASASDAIIPVLRSLRNPSLAAPFFLLASSASSPHPLPADAYNAVLPFLSHDLAALDKVLEEMSVLGYGLPNPACADLVASLVRARRLNDAQHAIGIMRRLKFRPAFSAYTVLIGALAEARQPERALELLRQMQEVGYEVGVPLFTTLVRALAREGRVEGALALVDEVKGSCLEPDIVLYNVCIDCFGKAGNVDMAWKFFHELKAQGLRPDDVSYTSMIWVLCKAGRLGEAEELFDQMEAERAVPCAYAYNTMIMGYGSAGQFEDAYKLLERLRERGCIPSVVSFNSILTCLGKKRKIDEALNLFDAMKKDAEPNSSTYNIIIDMLCIAAKVEEAYKIRDDMERAGLFPNLLTVNIMVDRLCKARKLEEAYAMFETASQRGCNPDSVTYCSLIDGLGKKGKVDDAYRLFEKMLDAGHSANPVVYTSLIRNFFMHGRKEDGHKIFKEMKRRGCQPDLTLLNTYMDCVFKAGDVEKGRAVFEDIKGYGFLPDVRSYSILIHGLTKAGQARETSNIFHAMKQQGFLLDARAYNAVVDGFCKSGKVDKAYEVLEEMKAKRVPPTVATYGSIIDGLAKIDRLDESYMLFEEAKSKGIELNVIVYSSLIDGFGKVGRIDEAYLILEEMMKKGLTPNVYTWNSLMDALVKAEEINEALICFQSMKEMKCPPNTYTYSILINGLCRAQKYNKAFVFWQEMQKQGLVPNVVTYTTMISGLAKVGNITDACSLFERFKANGGIPDAASFNALIEGMSNANRATEAYQVFEETRLRGCRINVKACISLLDALNRAECLEQAAVVGAVLREIAKSQHASRSF >Et_8A_058354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5598476:5602518:-1 gene:Et_8A_058354 transcript:Et_8A_058354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAPSPPAGAAPEPATEPLMEFFCYECESSVSLPAAVALNPWTRRPPCPLCRGNSLEPGPNPFPEEDPPPPLPPGLLTLSGSEDSDDFDDLDDIGMMDPAEARLYLTRLIQERLYEPGDVAATAAVSVLEEHQRGGEPPAPAASIAALPTIEVSRPAETCAICREDLPPASAALRLPCTHLYHSCCVVPWLQMHNSCPVCRSRLPATDPSEASSSEQDPNTTRITIRFTTAPRRRVRVNDDARFVAAPVSASPTQLAQAVNGEGGSGPANSGETVSSEWPSQPESDIVITK >Et_3A_023660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10497289:10509041:-1 gene:Et_3A_023660 transcript:Et_3A_023660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMASLDAIAASSPSLGLRPSRSKVLFISSSCRWWMRRRRWEGRATNRSNRSSARARPVVLPALFSPVAMEWQECTTELEVDIPCSVAYQCYLDRESTPQWMPMISSVKILEDKPDLSRWSMKYEILGQNVEYSWLAQNLTPIKNQKIHWRSVEGPSNRGAVRFFPKSPSSCRIQLSVGYEIPEMFALVTSALKPFVEGLLLKGLESFATYAKEPMAAGATLCYHAAAGKASLEVFAAASPSLGLRPSRSKALFISSRWWMRRRWEGKVTSSRARARARPVARSALFSPVVMEWQEGTAELEVDVPCSVAYQCYSERETIPQWMPFISSVKIIEDKPDLSRWSLKYEVLGRNVEFSWLARNMTPIKNQKIHWRSLEGLPNRGAVRFFPKGPSSCRVQLTVSYEIPEILAPVASALKPFMESLLLKGLERFAAYAKERNSKIPQP >Et_10B_004199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18595730:18598816:1 gene:Et_10B_004199 transcript:Et_10B_004199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPPPGSGYPYGPGGGYGAPSPYGSAAPSAPPYGEKPPKEGKTSSSSAPYYGAPAYGEKPPKEGKPSAAPYYGAPPASQPYGGGYGAPAGGQQYGAPYGAPQHSSAPAYGAPPPAYGAPGGYGSPFAALVPSQFPPGTDPNVVACFQAADRDGSGMIDDKELQSALSGYNQSFSLRTVHLLMYLFTNTNVRRIGPKEFIDVFYSLQNWRSIFERFDRDRSGKIDASELRDALLSLGYSVSPTVLDLLVSKFDKTGGKNRAIEYDNFIECCLTVKGLTEKFKEKDTAFSGSATFTYEAFMLTVLPFLIA >Et_1A_006125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18097465:18101265:1 gene:Et_1A_006125 transcript:Et_1A_006125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPAPPSAASRSAIVAGTAAGHHLLDIDGYSHTKEVPNGQCIRSRPFIAGGHLWRVHYYPNGLHPGCKDFISIYLSLQPNGSSAMARAKFSLLDQAGEPVPSHTRTTDLQDFSDCSFGCDRFVDREYLEASEHLLLDDRLTIRCDVVVSVGLRAVDRRASSSFVAVPLRSRCYFRCRGQKVQRALIRSRGLVGRLQGRALRPYEGGQQHSLVILSICLKAAPLFGKSLWPIFQLVCPLIIQKQNEKGQGISERIKEDLPNGERIESCPFNVGGFSWRIWCYPNGNESSADEFISVYLHLDQISNKPVMARARFALLDRTGKPVDSHIRTTNIWDFCGNDYGSRVFIKREWLEASKYLNDDSFTIRCDVTVNKELRAEERKPAPPFVSVPPSDLHRHFGDLLIHKDGADVTFQVAGESFKAHRCVLAARSPVFKAELLGAMKESFREAVVHVDDMDAQVFRELLCGLKEACVQFLCSPLTLSVVMGTDGFDHLTTSCPSVVRELMSNVAA >Et_8A_058075.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17681167:17682255:1 gene:Et_8A_058075 transcript:Et_8A_058075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKRSRARTASKCTTKTAQATHSFTISKYSRLKRLGCGKFIRSANFTVGGYDWCIRYYPNGDAEDNKDHVSVFLELRTKNTEVRAMCDFRLANQASLLSSSVLATAGRLFKSGASENPTWGTRKLMTISEFETSPYLKDDRLLIECDVTVILGEPVLVESQTLCEIQVPPSNLSDNLQKLLEAREQTDVTFEVQGESFRAHRFVLAMRSPVFKAELYRPIGENNRQCAITIEDMQPAIFKALLHFIYTDSLPAMDNLGKDENKDMVKHLLVAADRYGMERMKVMCESILARRLAVDGVADTLALAVQYHCNKLKDACIEFINSLTRVADVLTSRGYAHLKRAHPSVIAEIWERAAMTQKI >Et_7B_054781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4426892:4433468:1 gene:Et_7B_054781 transcript:Et_7B_054781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGSSRQEDAAPLWTPGEATAFRRYVAAPASGRRAEASPSASGNGALARVSSLHGVRRKPFVVRLTADIIQTFELCNPEFNYSEARIPKRFLTNPSTPAHNDGLDNANWDLILYVNLELVNKASNRRFIVKEMLGQGTFGQVVKCWDTEINDYVAVKVIKNQPAFYHQAIMEVSLLRTLNQTVDPDDQHNIVRMLDYLSFQNHLCIAFEMLGQNLYELLKRNQLRGLKMKYVQAFSKQILDAMVVMREAGIIHCDLKPENILLAPNVTTAAAVKVIDFGSACMEGKTVYSYIQSRYYRSPEVLLGYPGQPPDDLLREAKNTVKFFKHIGSYPGSEAPGGLASAYRLLSEEEVEARESKRPTLGKWYFPHLKLDKLICTYPWNNSELSETEKMDRLALVDFLKGLLDFSPTKRWSPLQALRHPFVTGEPFTTPYEPVPETPRIPVARAAAIDHNPGGGHWLHAGLSPQVGSANRCLPLSNAYPPRIPFSYGSSYGSLGSHGSYTGNTGLAGSYGSIGDVNTINNMYYSPLGSSGLSQIGYSPDVRLRPRLPHDRGIRFSPGSLGQMSLGASPSQFTPPNYQMHGSGSPASGGMHGSPLGPAGPHGLRRNMPVLPHEYVSQHGQGRYGDGLSYSHSDTYVRGHTVYSQNAGLSSGHSSWRPQISSRSGFSMETSFSHGPSHAFRSQAPSHSFDSSPNTSAPSALDPANWDPDYSEESLLQEDSSLSADLSSSLNLGDSTGQASRSTRSSTVQGRMFASTNPVPANQRVDQFFNAPSQGGSVPINFGGYNPPNYPQQNLRGHHGQPLHHPRYNQSNSSQMRPTVNHHNGQLAWSNHGMGDGVPWGNVTIFFLLCEHRKLASRMLTMAPNFAGGAGRHSFTTNGLPSTLARNDYGSIF >Et_1B_012610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33712589:33717363:-1 gene:Et_1B_012610 transcript:Et_1B_012610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSELEGDELNKPDRRLLTPDNDILISLGEHSAKELIARYGDCRPAHGAEDEKRARINVISGEEDACRTSLEIIDRKRDTTEVIMDGTCCELNSDDTETWTAVAPGYPILPNSSHRDGSIYKGDDCWKKAYRIADRNETRLEAMMLSDPTEDCYFKDGICWLHTARHTLQFFSLKLSEILVDGDSVELYGYMAARDSFDQLLNYIFNCRRDDPLIIKQGSLLNLAGPKRGIELYDTIVIEYDMRIKIGETEMDDLQLIDGVSIFDHISTQNCRPFTCRIHGDYGAIDMTVARLNDSFEATVEVLISEVQGRFRMCLDCFTSGLDEEIRLFDGSIGDSRALKRSVVAVVMDTQIDLKFKVGADPSITTEHCCSFNANRHGHVIQEIKTDFALISVKSQYNLIHYEARSEAMMYSDPADCYVRYGPGMIYGSNIMMQIISLKLAKIHVSYSSVELYGYIAVRER >Et_2A_018766.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:702501:703160:-1 gene:Et_2A_018766 transcript:Et_2A_018766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSTFAAAIFVFTVAFLAAHHVVDGRNAVMAGDNSGHVDDLVAKACANASYYYSKKEMTREFCESTLRSDKRSAAAKVPRDLAVIAVDLLQRSAGDAVAKIAGYKKAKDEVVLELDYCLVDYNVLAQTIPLCRAAVQKYKGDGFSDMLELDYFNCADRLMGAAKDCWMDIDALIEYGGMTKDVWGQVKEASHRATLVKAMVEQMIGETDSRDHNN >Et_1A_006077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17559139:17565556:1 gene:Et_1A_006077 transcript:Et_1A_006077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADEASPPQPPPWMQNKSAAAIEASSGPLAAAASRLAARSRALPLSRDFHFYNNFPSFKSPVGAAAAQADDSLGILGTAPLLPRQQPLFPGAGDLDDAHDWLVALNDDLLERFGASMDEFRALREKEEKDGRRAAEEAAAGADDGFQVVYGKKKKKNGGGEEEPVSKVEPFGGSGSVTMVTKDKAAVPGTKAKDVYHIVVDNTNKPFEHVLLERSEDGSRVIHPLEKLPVEQLIDRNIADSEPVKPPALDDTPFTYVDDLKTLEVLATKLKSATEFAVDLEHNHYRSFQGLTCLMQISTRTEDFVVDTLKLRKYLGDYLRDLFRDPTKRKVMHGAGRDIVWLQRDFGIYVCNLFDTGQASKVLQMDRNSLEHLLQHFCGVTANKEYQAADWRLRPLAYEMIKYAREDTHYLLYIYDLMRLRLVKESSGENDQLLEVYKRSNEICLQLYEKELLTDSSYLYIHGLKENELNARQLAVLSSLYQWRDSIARVKDESTGYVLPNRTLLEIAKQMPVTSGKLKRIATSKNKFLESNMKQVTDAIRNAMANSDAFESIAELLKKGKLEELRVANVKHSGEDTELVSAVDADNNECPSEQPAIVSTVITSDGTASHCTGTFASQASFGDMQLDGITPEMKNPGTGPANNEILNNGGQRQVAKATVQVSKRPTAFGTLLGKPASGRKPNLFQGFSSEQGKSKVDKIMSSVVLPFHHFSGGAKPPAGLPVKEPVHSEPENIQQRDPACHLEEVIQLDVETDDPQVPKNRNEDGQGESGDTEMSEPSSSEVPSASEKQFHSLNEERNLPQNRKKPQEPEFNDKLKAFDYAEARKNVSFGVPKAERRRDNTVARAINTDSGDKPRTSNKPGGGEAEGSFQNPRRRQAFPPSGNRSSTYH >Et_3B_030725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6825557:6829784:1 gene:Et_3B_030725 transcript:Et_3B_030725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYGSDDYRGGGGGGYGGRGCFLGPVTSLLVCFSGSASERVVVAVAAVGEEAAVEEGTVVVVVEEEGMEVAEEGMVAAVAEVEAGDSVAAGEVEVEVAAAAAGVGEDAKATGCGNVNFARRTECNKCGAPSPSGGGGGGGGYNRSGGGGGGFNRGGGDYGSGGGSGFNRDGADYNSGGRGGGGGGYNRSDRGFDDNRGGRGGDYGGRDQENNQRGGEGGYNAGGYGQAPPQGPPSYGGPPGDYGGNNAYGSDSAVPPPNSYGGGPGSYPPSYGAPPPNPSSGGAPGGQGNLPPTYDGGYGGRSMPGGGASGGAPPPYHGSGGHTSSAAPEPAQKVKQCDENCDETCDNARIYISNLPPDVTVEELQELFGGIGMVGRIKQKRGYKDQWPWNIKIYTDDSGKAKGDACLAYEDPSAAHSAGGFYNNYDMRGYKISVVMAEKSAPRATSYGHGLVFYYLFISSIHTNPGMVYPIELSNLAVVVVAMVADAGIISEMVAMDLIETRVAHKIPFDWMYQLTYIDFSTSMAIWMDLELTLDI >Et_1A_005805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14098203:14119900:-1 gene:Et_1A_005805 transcript:Et_1A_005805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTKMLDGRDPIIMLMQQVLSCTPEPPIDAAAPLSNAVAARAPDDGVDRIGRLPVEILRNIVSRLPAKDGARTTVLSTRWRRVWRSVPLVLVDEHVIPGPEIVLARVLPRAAEPRDAAAPSRKDAVLSGVLAGHPGPFRGVYLTRVNMDSRQAEIASWLQVLGAKGVRELVLVNRTRNKYTDARLPATLFRCTSLTKLYLGLWEDGGIDSILMRNVLTCLPAPPVSVEAPLSQSRAPTQRIRLLRESPEKTSARAPGDGVDRISRLPVDTLRNVVSRLPARDAARTTVLSTRWRRICYSVPLVLVHAHLVWYADIIRLLGRDVDPRDSRTPRLHLTAAVSGALAAHPGPFRCVYITGSSMETHDVGTARWFQIFAAKGVKELVFVNRIEKPMDFDAHPLLPATFFKCTSLTRLYLGFWRLPETATLPRSAAFPYIRELGLCSLVMKEQDLAFLLDRCPVLEKLMMVGCKWLVCLRIQSRSLRCVQVSYSIVTEINAVHASLLERILLWEPWGDGGRANMSCKIKIGHAPKLKVLGMLVPGMHKLQIGNTIIKAETKASPSTIVPSVQVLALQVRLGTRIEAKMVPSFLRCFPNIEILYIQSENDDFKFWGPQSGGAGKVSLTFWEKAGPIECIQRHIKKLVIRTFRGTKSELNFLKFIAERARVLEKVEIVLHPESTPSNEVDAKVRTFMASAKWANGCCELMVSPYQGTPWCYRRGFDLTNQDPYDVSKCGEGQCQNHYFASGLGSGSRVTMDDIGASRGRGSDPSKKAGGLDTVLTRDVLTCLPAPPVSIEAPLAHALAERAPGDGVDRISRLPVEILCDLVSRLPARDAARTTVLSTRWRRIWHSVPLVLVDSHLIWFAEIIRLMGRDADPRDYRTPPLHLTAAVSGALAAHPGPFRCIYITGSDMVMHDVGTARWFQILAAKGVKELVFVNRNEKQMDLNTHRLLPPTLFKCTSLTRLYLGFWRLPETATLPRSAAFPYLREVGLCSLVMKEHDLAFLLDRCPVLEKFMMVGCKWPVCLRIQSRSLRCVQISYTIVTEITTVHASLLERLLLWEVWGDGGLANMSSKIKFGHAPKLRVLGMLVPGMHKLQIGNTIIKDDTKASPNTIVPSVQVLALQVRLGTRNEAKTVPSFLRCFPNVEILYIQSEDDDTKIWGPKSSGKVSLKFWEKAGPIECIQRHIKKLVIRMFRGKKSELNFLKFIAERARVLEKMEILLPPESPPLNEVDAKVRTFMASAKWANGCCELTVSPYQGLPWCYRRGFDLTNQDPYDDVADMLVHGPDFALMDDNIRSLTLDIFQALPRPHHVARAAPLSSAVAARPPSDGVDRISRLPGELLRNIVSRLPTKDAARTTALARRWRRVWHSVPLSLVDAHLVPARRSSRASALESLMAGLGLGENSAGTPRDHLTAVVSRVLAAHPGPFNLVYLAGTNMGAHQDQAARWLHLAGAKRVKELVFVNLAAKLEADVHLPATIFRCTALTKLYIASWRFPDTASLPRATAFPYLRELGLCNLIMKEQDLAFMLDRSPVLEKLMITRSRWPVCLRIQSHSLRCVQVCSGIVPEVTVVNASRLERLFLWEAWGGGDLTNMSSKVKIGHAPKLRFLGIFVPGMHQLEIGNTVIKAKTKASPNTTVPSVRMLGVHVKLGTRIEAGMLPSFLRCFPNVETLYVQVKFDTGNSCKLNPKFWKEAGPIECIQRHIKKLVLREFRGKRSELDFLKFIAEHAQVLEEMVVVMTHGHLPSDQVGAKLRTFMASAKWANGCCRLMVLKSPFDQEGTAWCYIRGFNFSVEDPFDVSKCRVGKWKVVPVDE >Et_3A_024253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1934858:1935450:1 gene:Et_3A_024253 transcript:Et_3A_024253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAKRKKMDGCVNRLPDDIFKDIISLLPPMEGGRTQQIPINKVSHILSLHEGPGRRFFIPRCYLDLTNRLSETLDGWLQSPALKNLQELDFCYDFPFHDISLLPPPLPVSVHNFSPTLRVASFDGCGGFPDGNSAIACSSCRFLNSRVFCMSAFLIYVCKPYLMVAQF >Et_3A_024539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21804541:21810641:-1 gene:Et_3A_024539 transcript:Et_3A_024539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCIKLPVAPAAAIFLSAVIVLSCFTNHNQMVPYMDYYSSFTSALNLSPNFTSAFSFSPNFTSPFRLSPEPVLAPKCDIFRGQWVPEPSLPQYTNETCTYIYGNQNCLLYGRPDLDFLKWRWKPDECDLPLFDPHKFLQTVSNKTLAFVGDSLTRNHYQSLLCLLAKAHVRCMHGACMDWFQVARPKDVVGNQYDMNKVLYYEAYNFTIYIFWTPFLVRAEKIPGTNTINNLYLDEADDKWLSVVHKFDYVLISAANWFSVPCYLYERRQLVGSLFLPLNFTSNLTIYYHHRMAFRTSLKALNDVDFRGKVILRTVSTFSHFEGGMWNTGGDCKRTRPYWANETVPVSDLEREFYKGQVEEFREAQKVAAARGAEMLLMDMTPAMQRRPDGHPSRYGHWPHETRRANDCPVPKCDIFRGEWVPDPGLPQYTNVTCSYIQDHQNCLHYGRPDLDFLKWRWKPDGCVLTRFDPYKFLEVVGNKTLAFVGDSLARNHYQSLLCLLSRVALPKDLSDPERPHDGNKIMFYEGYNFTIHIMWSPFLVRSEEINGSSGVFNLYLDEADDWLSAVPRFDYLILSAANWFTRQTYFYERRQLVGGRYVALDFPTNITTNRYSHRMAFRTSLRALNSVGFRGKVIVRTISPMSHFEGGAYDAGGDCPRKRPYWANETAPMIELERDFYQEQVEEFREAEKEAAAKGVDMVLMDPTIAMAKRPDGHPSRYGHWPDEKRAMYNDCIHWCLPGPIDAWNDMLLHILANY >Et_1B_011101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17630547:17632921:1 gene:Et_1B_011101 transcript:Et_1B_011101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQERSTAPSSMMLLMPGADVTTDIQEEPGAAPARVMYGRRLMVPMDMPYVKAGGSTTHAGRGLVIVRATRA >Et_3A_026207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5396660:5398653:-1 gene:Et_3A_026207 transcript:Et_3A_026207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMWSRARMLLTGLLAALVAVLVAGSVGTAAQAPPVTSAQLQQVAASLQMYVDALPQMPKILGYGFQQGRVVPVNLTIGMFQKKWKFHRDLPATPVFVYGQCPDSATFPGPTIVARHDVPLSVTWENHLPDRHILPWDPTVPTAIPKSGGVPTVVHLHGSAHPPQADGSAFAWFTAGFRETGPAWTQATYRYPNVQPPGNLWYHDHALGLTRANLLAGLLGAYVIEKPEVDTPMDLPCDDDDLHLVIADRSFLVDGSLYMNSTGAVPSVHPEWQPEYFGEAVTVNGKAWPFLAVHRRRYRLRILNASNARYFNLTLSNGLPIHVVGSDASYLAAPVAVASLLVAPAEIFDVVVDFSSSPTAEVEMLNSAPYPFPTGAAPGALNGKVMKFVVTPNGPRDPPDNSTVPDHEVPYANVASPGPTSATRHIVMYEYLTPSGQSTHLYINGLRLEDPVTETPRSGTTELWHVINLTGDNHPLHIHLGMFQAVKMQQLLDLQGFTDCMTALNDAVKCNVSQHAVGPVVPVPDHEKTWKNVVKVPPGYVTTVVVAFKLVDTNQNYPFDVTAEPGYVYHCHILDHEDNAMIRPLKLLP >Et_2A_016676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27097751:27103218:1 gene:Et_2A_016676 transcript:Et_2A_016676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAAAAEAVARIRLVRCPKCDKFLPELPVYSIYVCGGCGATLQAKKKNSDSSSHDSDNRNVKYLEVLECVPGASETMPGTSTADRLETNKLSDVHSQSIYSHHDNMRKGATAANLNTTVRDDGREVKYRHFRDWENREMGRSLRVRDISPRSPIDAIPPSAYRGEGLVDYHSKPRYNYSNREHTDERTFDGPSRVRGLEKDRADILRMLDELRDQVKQSCDVTDEASGSAPTSRAADAPSSYGNCDRLSHLRRDASQLHRNGSHHSPSFNMHSPSIPHVYAPLPAQKDFLGYAETVGHARASSHHSRLHPWRNVDNYFFGQHDPDPLFSCHHDGFCHQGAFSCLHCYHREFSPVQGNPLGVNEQRAPYFMNSHSAYHVDSPLFGQQRYHSRGIDNNLQRNHPRATVSKKPSQTCHPIAGGAPFTICYNCYEVLQLPKTQALSGKEYKLRCGSCSHAIVVKLDGSRLDISELAVSTHLSAGQQNCTGDSMGNNDPATADEKSVPAYCFSVESHESPEKDLHPNLSESENNHSPQETNSDDTSQSRDLHPEANEVSHVPSLPHHDHGGFSPSDGSGVGSRSTHSEPEKVILLTESCKQNSVRDVCVTNEMQSPYNESDDPECAKDALNVPRDAGHTRATKAGDSFFTNLIKKSFKMNNGTRNGRAKVFVNGFPISDRAVRKAEKLAGAICPGDYWYDYRAGFWGVMGRPCLGMIPPYIPEFNYPMPKNCAGGNTGIFVNGRELHQKDLDLLMARGLADSPGRSYIVENSGKVSDEGTGEELYGLGKLAPTVEKMRRGFGMRVPRVIHTSLVPHEPWSQLKQEPTFRQCIQIIDSSDELTNKDPTSTLHSTHEELLSWLAQSFSLVTISFVASKNRLSSSGAPTSTARSTVLSGRFRLQQKDVGVHLHA >Et_1B_011318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20381753:20383647:1 gene:Et_1B_011318 transcript:Et_1B_011318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRAAITVGLRRATSYQPTKAPSRAAKEDHRLCWMRSYCDYSGHNVSIAGKIVVCKAVHGESQKPTISALMSAGAAGTVLINADIDGQPTVVREYGPGVVQVTVTGEERHRRRHRHIVQHAARLASFSSHGPSTTTPGVLKPDIGGSTSILDAWPHPRAGRHRARRCPRYSRTGAELSDDHRAAAADAVHREPDRDNVGPAESTYTVEQAYVPN >Et_1A_009327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39093749:39098365:-1 gene:Et_1A_009327 transcript:Et_1A_009327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDGQEDGGECNSFPDEQYGYPKAESERIMRPLNCTVNFRDKENGILLAVDNAKGLQFWPKRTLAGGFIYIYKFGDHSHKAQVEEVPLALCQFQGRLLAGVGSVLRLYDLGKRKLLRKCENKLFPRTTVTVRTYRDRIYVVDMQQSFHYCKYKRDEDQLSYSLMTVSPDGIQKHSTSILLVFLRIWISQMRLRKTQSDVTDGDVSEQYPPFQLPFGKVLLGRLLDGSQV >Et_7B_053361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13229665:13230901:-1 gene:Et_7B_053361 transcript:Et_7B_053361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLEEELGREREEKARAVRELEELRRDGENAAKSDAEKVHHLEREVEKSKESERKMLESLIYQTKQLEQAKISLEEAKLEIAALQQANKGLENAASRRQGVEQQPRSVKDLVFGGADEEIRVLRAELRAATQGEERSRKAVDDLSVALSDVTMEAKQVKVWLAEVQAELEAANAEATRLRGDLAASEAAAREHGRRRLEAEECAAAWADKERVLLDCVRASEEEVNRARQENTKLVESQRVIRDENARLRDILKQAVAEANAVKDSLELARAENARLGDAVAEKDAALQSLRQEYECVKVSEAAAQGSLRELNSLLAATTTACSTPASAKTAPAPDYDHHLSSNGGCRRSRGRRAAGGSRSASPTSSRPASRSRRGWGTWTSPRSACSPPSAT >Et_9A_061190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21298125:21298856:1 gene:Et_9A_061190 transcript:Et_9A_061190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPAGTRLLAPSIAPVTDYKPSGVVPMGMEVFTICGGDGSWRETLADPPYPALSSQTGNHCNGHLFYFINKKNQQHPPRGLLRFSLQEETFGVTLLPSNLDPAVSDNDIRVKEMDGELCFTYFSKPLQRLLIWMIRDVLNPQWDCRYKIKVPPVPSYPMASLGSMASLGTNGILLQQAHGIREDEIFDTKDLRYLGPSEDTLGCAWENLCWFDIISYTESLVPITRKPSSEA >Et_3A_024109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17503645:17508357:1 gene:Et_3A_024109 transcript:Et_3A_024109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEINLLRQAQREHQHHLMVRGIGEEIDLEIGPGDDPSFSGADLVAVTSAHDTKKKKVVKKWREEWADTYKWAYVAVHDSTTRIFCSVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKEKVQTPEVERPVYVKALSKTAASILESVLRKDPHEPEFIQSIQEVVHSLEPVLVKNAQYVQILERLLEPERCFMFRVPWVDDRGEPHVNRGFRVQFSQALGPCRGGLRFHPSMNLSVAKFLAFEQTLKNALSLYKLGGAAGGSDFDPKGKSDNEVMRFCQSFMDELYRYLGPDQDFPAEDVGVGPREMGYLFGQYRRLSGHFQGNFTGPKIFWSGSSFRTEATGYGLVFFARLVLAEMNKELKGLRCVISGSGKIAMHVLEKLLSCGAIPVTVSDSKGYLVDEDGFDYMKYSLLRDIKAQQRSLKEYLKSYPHAKYIDDAKPWSEQHDVAFPCASHNEIDQGEAVAIINSGCRVLIECSNMPCTVQAVDVLRKAKVTVAPAKATAAGGVALGELELHPEFTLMQLSVEDFENKIQDAIKQTYERSIKAAQDNGVMKENPESLVHGANICAFLNIAQAMIDQGCV >Et_9B_064619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17060031:17061870:1 gene:Et_9B_064619 transcript:Et_9B_064619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLLSLIIALLCSIVRQLRAINKWPWQTTVTWDWESILRFLGIRLGDVPTTVVRDRAVTVDALVRRADVFSDRPLGGSATTIISGGRLRIITTVPYGPHWVALRRNLTSEAFHPVRGLARAAPRRARALSALVADVAARSSSGDGVVPVRECLYAALFALNVATCFGDGVDGEQVEAMRVAQREFLRVLPSLRVFSTFRKVARLLYRGRWKQLVHSRRRQEEMYLPLIRACQERRRRTGCTKAATSYVDTLLDLEVPTT >Et_9A_062252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21059625:21062074:-1 gene:Et_9A_062252 transcript:Et_9A_062252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVISCFFKTLAVAVAAVKAFWTWIRSQRVQRRLQTHFRCKRHHKIWKLLIIPGTSEAQSSNQSFGRHDPSADAYGAEVIHLNTFGSYQIDTSITIERPRSPDLQAGRQALIAMQMAEAREARLHAYADRYLSLGTVPMEQLPRRDAKSSEMCDLADRVQICDVWADGVTDAFSEIAKIIGSDVDGEFWVAFDTEFAIPDDVYVSKTEPPTADGHYRQLCTYLNGGNLVQVGIGLADRKYNLAKGIVFQFNLRFDPEWRKPDHSGVAFMRKSGLQLEQHKIKGIPSEDFMILLEGSGLLGKKNLKWVTFNGYPDFGFLIMLLRGNQPWPATRTEFLNRFWCNFPASFDCKYIAKYGKCVPENKRKLIQVASAMGVKLDEDKAHQAGADALCALKVFKKFEDLKPEFVKESSGVLYGICAVQSWMFC >Et_9A_063540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6730996:6732930:1 gene:Et_9A_063540 transcript:Et_9A_063540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATVVVAPAPVVTAPPAQHKLLELKAASFAPAAKSAPAGKATPAAKKKLNGGSGGYVLEDVPHLTDYLPELKSYPNPLQDHPAYSVVKQYFVNPDDTVAKKIVVHKSSARGTHFRRAGPRQRVYFQPGEVRAAIVTCGGLCPGLNTVVRELVCGLHDMYGVTSVCGIVGGYRGFYARNTVELTPRSVNDIHKRGGTVLGTSRGGQDTAKIVDAVQDRGINQVYIIGGDGTQKGAASIGDEVRRRGLKCAVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGVGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFHLEGKGGLLEFVEKRLRDNGHMRITEKQNKVVITDRMWARVLCSTNQPCFLSHEDVERAGEEDEEPHVDGENSLLLKSSLTCNGNGHLCNGTA >Et_1B_013238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6666112:6668600:1 gene:Et_1B_013238 transcript:Et_1B_013238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVANGVSSCGSTNVRRADHLLPLPIPLPCIGEPTAASRVSPGSSPARSEASGVADCYAADTEPEPEVSAGRSTQMLLQMAAMGGRGGQYGRRPASSYGSCAAWSAGSLTKHRPASPSPICSPVSSHGGGDPEPHGGDDEASFATPRMPSATPRNVRLQTPRHPSLRRVEGGNQVPQRFIHRATPARLMRRARSSHNFRHRVGAIDAINEWRLPKVSEEEDEAGDEKDWQADTVSSRISSARDWNFESDGPFEGNNHNGGAFDHSDGENCPVAGQRMERRFSSSVLKPKSNFVHAKLVAWKDAQVAKLIEKLRKKEAEIDDWQKKKVAKARQRMRKTEMKLEKMRAEAAAKMQKEIKHAQRKADKKKVKEQAATANQMGGVERALEKMEKTGKLPWSLAFL >Et_2A_018081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:77317:80061:-1 gene:Et_2A_018081 transcript:Et_2A_018081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFSSSSSAAASPAPLRFYCHQCDRDVSIAPPASPDADVLCPLCGGGFVEELDDAPNPSLGSGGSFLFASPPSFDLRHPSDLSAFFGLPSPSPAASPSFDPSNFLHDHFGGILSSGATIQIVIEGGGGGIPALAPGLSLADYFMGPSGLEQLIQQLAENDPNRYGTPPAAKSAVAALPDVTVSADMMQVDGGAQCAVCMDDFLLGASAKQLPCKHVFHKDCILPWLDLHNSCPVCRFELPTDDPDYQPQRHQAFSSSAPEAPPAAASPRVAERRFRISLPLSLRAAFGGGAQAETSNPTGQPQNHDDGPSGENNNSATGAPQTLYSIDASALLPKGRSQER >Et_9A_061208.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21918569:21919084:-1 gene:Et_9A_061208 transcript:Et_9A_061208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCRSVMIAAVMLAAVAASGALVVGAVKDDDFFVEGSVYCDTCRAGFVTNATTPIAGARVRLECRHFMSKSGTVERSAEGVTDAAGRYRVELVDNRGSEEVCAVALVSSPVPGCAEKEVGRDRATVELMRDAGLANTVRRANPLGFLKAQPLPICGQLLSSLALGTAPSY >Et_3A_024391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20327102:20329468:-1 gene:Et_3A_024391 transcript:Et_3A_024391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVPEESSVLSEVKKQLRLAVPLVVGCLLQKTILTISIMFVGHLGELALASASLATSFASASGYYLMTGMAWSLDTLCGQAFGADQHRLVGVYKQRAMLVLALTSIPVAVVWVFAGEILVWFRQDPEIAAAAGSYLRRMIPAVLLFGQLQCHVMFLQAQNIVVPVMLSSGVTVAVHVAVCWLLVRRLALGANGAALGIVVSYFFNMSSMAIYVRLAPSWKKTWAAGFSREAFRGIPEFLKLAVPSALMIEPRLPSSLIVISSGRSLECWAFELLTLLSGLPPNPKLEMAVLSICFQHLRLGIHDPNGPWFCRKVNIRVSNELGAGRPQAARRATQVVMLLAFSTSLFVALVMVLSRKRLGYVYTDMKDVVLYSSKIMPILAVCFFLDSIQCVLSGVVRGCGRQNIGAFINLAAYYLVGIPAASIFAFGKVAVGLWFGILCGVAVQMFLILSITLCTNWNKQVRMHLKLQNLCCYPVLSETCWVSPGIEGKGQGLLLTISRTTQQANGCNSVGSEAQGTIEEQIVLYPSEG >Et_1B_010066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21692499:21693311:-1 gene:Et_1B_010066 transcript:Et_1B_010066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRGTLVDGRHVAVKVPMRATETDMTDFRNELRIQSRIKHRNVVKLLGYCLEGGAPKLVYEFAGNSLDIRLRIALECAEGLSYIHSSTDTCILHGDVKSENILLDDNFTAKVSDFGLSRLLSTSSSTMYTQTVRGSFGYMDPMFKKVGILTQKSDVYSFGVVLVELITRKKARDEVGTELAESFQSCFARKKTSVHSIVDKEIATKNCKGFVEEIAQLAFECLSADVKDRPEMKKVAHRLIGLYEKQQVDSQRI >Et_3B_027724.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:1689184:1689498:-1 gene:Et_3B_027724 transcript:Et_3B_027724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEISRLICSIMPTRSTFSLSMTLMATLWLDARFRAWYTLAKVPCPSIRPSSYRFMRMVAFFSILFDSSVQQQQQQVEWVTNVRSTPLRASIFLPPWQEERKKR >Et_6A_045973.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:14670341:14670676:1 gene:Et_6A_045973 transcript:Et_6A_045973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALACRNGVALARQHGALRVSLETDSQDLAQLWSTRDMQRSSISAVLREIHDISLLLLGFSFKYVSRVCNKVAHELAKQVSSACVTGVWQSEAPLCIQRHLVSDCNPALQ >Et_1B_011302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2016990:2019775:-1 gene:Et_1B_011302 transcript:Et_1B_011302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALESGRGLGGGPRFGRVRRCGYAVSPPASAGRGSSSAGRDSDSPAVAAQWEWDGEEVEGGDGEVQSSYKGSPFDTMDALQEALPFRKGVCKFYNGKSGSFGRLTDAVTPSPPQKDLPKPETPSPRKRKGLLPFTFKWGKPQHKEEVFPEDVVDSPTNCRRMTLSPACPSSSGSNSGSDDEHHISQKPSRRPHRRPSNAMDVFASPPAPRPPQLHPVHMRSQSMLELQDVTDSTALVTPRDKRMKN >Et_8B_059120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14073348:14075332:-1 gene:Et_8B_059120 transcript:Et_8B_059120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGCSNAVFASFNVLTLLLGASILAFGIYLGAPHHHRGGSGATDCERLLRAPALILGAAVVVVSVAGIAGACCRASMLLWLYLLLAALLIVAALCFGAFALAVTNAGAGRAVSGRGFREYRLGDYSGWLRRRVENERNWGRIRSCLAGAGVCRSLQSNRTFDEFVNDNLSPVQGCDLNSFCTELIHVVFAVWMLQAPN >Et_7B_054752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4053927:4056259:1 gene:Et_7B_054752 transcript:Et_7B_054752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGPNFAGMIGGIGGHDNGGNFCDMAYYRKLGEGSNMSVDSMNSMQTSTHGGSIAMSVDNSSVGSCDSHTRMLNHPGLKGPVRADYSVGGHSVFRHGRVSHALSDDALAQALMDPRYPTETLKNYEEWTIDLAKLHMGMPFAQGAFGKLYRGTYNSEDVAIKLLERPEADPERAGLMEQQFVQEVMMLATLRHPNIVKFIGACRKPMVWCIVTEYAKGGSVRQFLTKRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLIAGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDQKVDVYSFGIVLWELITGMLPFANMTAVQAAFAVVNKGVRPTLPQDCLPTLGEIMTRCWDPNPDVRPPFTEVVRMLEHAEMEILSTVRKARFRCCISQPMTTD >Et_3A_025439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29921744:29924485:-1 gene:Et_3A_025439 transcript:Et_3A_025439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSGQLLPLTRPPLLPPAPRLLSRRHRVHPHARTPLSPPWRTHRPLPSAPLQLSRAPARPSIAPAPPRASAAGGEEAQVAATAVEFLTSERVKVAAMLGLALALCNADRVVMSVAIVPLSQAYGWTPSFAGVVQSSFLWGYLMSPIIGGALVDYYGGKRVMAYGVALWSLATFLSPWAAGRSIWLFLFTRVLLGIAEGVALPSMNNMVLRWFPRTERSSAVGIAMAGFQLGNTIGLLLSPIIMSRTGIFGPFVIFGLFGFLWVLVWIPAISGTPGEHAQISAYELEYITKGQKLVKPQSGSEKTKKVPPFSKLLSKWPTWALISANAMHSWGYFVILSWMPVYFKTIYHVNLREAAWFSALPWVMMAVLGYVAGVVSDSLIRNGTSITLTRKIMQSIGFLGPGIALLGLNAAKSPIVASAWLTIAVGLKSFGHSGFLVNLQEIAPQYAGVLHGMSNTAGTFAAILGTVGAGFFVDRMGSFRGFLILTSLLYFSSALFWNIFATGERVDFDSTG >Et_4B_039227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8916797:8917759:-1 gene:Et_4B_039227 transcript:Et_4B_039227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIMNKVGGYWLGQRANKEISSAGDDIESLSTSVGEGAKWLVNKLKGKMQKPLPELLKEYGLPAGLFPREATNYELDLETRRLTVHIPSPCEVGYRDGSELRFDTTVTEVEGVKTKVLVWARVTAVKADAAKVHFTAGIKRSRTREAYEVVRGGIVVDEF >Et_10A_000981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19862518:19863337:-1 gene:Et_10A_000981 transcript:Et_10A_000981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLVYACLVVMLSSPASASRSLAVAAGDPTGGFTAVSLSESNFVVQHPFNLPSNARYKFDGGVRQLWVLASDKPHDSQSNTSPRTEIRMAGYDYSSGVWQFEGYGYVPSGTTGVSVMQVFGAGESATTLMLHVYDGALRYYDRQVVEDHIYDRWFRLNVVHDVDASSLTVYVDGVERLRVPGRGGSSHYFKFGVYAQRHDSSCMESRWKDINILKKV >Et_1A_007324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33317963:33320901:1 gene:Et_1A_007324 transcript:Et_1A_007324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCRSNYIYFVGDMQHCSLCGEECGSTWGMYSMEGRKVLFEHAVSKFGRHVEARWFLPSPVLLMAPTNGVAATSTVSTEDDGAQVSRRRRAAELPLHVTEKILCYISPLQSARFATVCKSWAATVAERLARPVPHFFSCVMSKNKSHSHGVIVGGAHLSPVAIPSRERMVDPNVRRCCIGATPNGRLAYKSIWRESVLLVNPVTGMSQIINMAMSKPPRTVLTNPVLGTGGGGSIICSILIDRLLLRQAANGCDDDEWTYKMFAMAAHRKNIIYLSAVVERNGCLYILNKRGHVFVIDTTMPPPLHMEMLPVAGSRIITNRRENYLLESTGEILFVLGLRARKHVVFSFCDHSVFDSIIVGFEVYRLDVKDRCWTKIEKLANDQSLFVSRESSFALSSPEARLHEQLHLLYRREEVLQMVQKGRKEQHLGRLLLGGPQGFV >Et_1B_010907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15270506:15270963:-1 gene:Et_1B_010907 transcript:Et_1B_010907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSYCLAPFDFVTLPKIWIYISKMELKSFATLFACKHLNLNSADYASKLKRLPQNLQEAVESLSADKILHELIGEKLVTASIAIRKAEIDHYAKNPGAFNDLIHRY >Et_9A_062339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2214593:2217798:-1 gene:Et_9A_062339 transcript:Et_9A_062339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVCLEEPKLLIEEKADDFVVVLGKLTRLRVLNLWFACKLNKTSYKALCVRYLHLQMDGTTEVGMGKLALLPELLYFKLTGLQTYAQRIIVGADGFENLRVCATDTKFYFLQGAMPRLESLSFGVTPGDDLDFNLAVLLSLKQVTIEVSCYKYFRGHVEETEAVVRRAAEDHPNRPTLQMNRGAEADEVVYVLLKARDTVGWLNIDNVIIQFCPWLLEITYDIDCEGSTLSVVKGIEEDL >Et_3A_025894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33830164:33831358:1 gene:Et_3A_025894 transcript:Et_3A_025894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDTTQAKQVGDQSQTPLHGEKDELKGPKPDDAKKLIQFMETHYEEFVAGVQSFDEFYHAIFELIEMFCEERGQFQYKIPEKKTLLDAYKKHHKSQGELKKEEFVAITRDVVGVNSFTFGKAAVEFAMFLFGAPLCAVVAKRILPGLGWLSDDVVIPLATSGSVAYLIKSKRL >Et_8A_058027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14795009:14796034:1 gene:Et_8A_058027 transcript:Et_8A_058027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASSSAWYAGGGVACHGNGGSASCDESAVRSGRTNLGRVPHFFMNGDEDTLALCLDEANGSGFASKESYLFGRFDIDIKLVANKSAGTVRSNGHCKQLPRAGIGGHLAVNPSNRRRFFHLKLKSDSEHQRQWDRPRRTAGFQKTAL >Et_1A_008089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4255956:4264638:-1 gene:Et_1A_008089 transcript:Et_1A_008089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAGEEGNASAHKGSARRRAPVQAGLDADDLLTLMHGSDPVKVELNRLENEVRDKDRELGEAHAEIRALRLSERAREKAVEELTAELEKVDEKLKLTESLLDSKNLELKKTNDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKQKEAALLEAERTVQIALAKAAMVDDMQNKNQELMKQIEICQEENKILDRLHRQKVAEVEKLSQTVRELEEAVLAGGAAANAVRDYQRKNQELIEEKKILERELARTKVNANRVAVVVANDWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLSIAERTARSEAQLKEKYQLRLKVLEDGLRGAPSGSGRPPTEGKSIGNGPSRRLSLGGADNMSKVSANSLFARRSPSFNSRTSISTSSSLVLKHAKGTSRSFDGGTRSLDRAKIIANGPHSLNRSTDAVKDCETTDSWKANAEEKNNETTNSDSSDMVSGVLYDMLQKEVVSLRKACHEKDQSLKDKDDAIEMLAKKVDTLSKAMEVEAKKMRREVAAMEKEVAAIRLEKEQENKAKRLNNSKGPGTASQALSGRPAPRGGLTRNVQ >Et_2B_019373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25908074:25908520:-1 gene:Et_2B_019373 transcript:Et_2B_019373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLIAFALLILACSYWKLSGDGAGSGADGDQKGSAAGTARPAAGFQEHVVVIMAGEERPTFLATPVASRVVVELGAAATAVPDSCGCSSSGEEKKVEDNDDNARSQPRLEAEDGAGSIQSHESSSSSSTTSLQESSQ >Et_10B_003497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2148535:2152238:1 gene:Et_10B_003497 transcript:Et_10B_003497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEQSDTYSVLPVQSEERTLQLDPSLETEPLVRPVACRSAAPTHARRPPSSSSSRTLARWRQLASGDVAWRSGLIMSSSMQPSYLPATTESIAKAQEAKDASESISILYQVLEDPSSSADALRTKELAITNLTNYLTKENRAEDLRNLLTKLRPFFALIPKAKTAKIVRGIIDAVAKIPGTSELQISLCKEMVEWTRAEKRTFLRQRVEARLAALLLENQEYTEALNLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPSQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFSSLDDPKAISSLKYMLLCKIMVSQADDVAGIVSSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIAHIAEMIELPIDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKTEEIFPATLETIANVGKVVDSLYMRSAKIMA >Et_3A_023514.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:31982187:31982366:-1 gene:Et_3A_023514 transcript:Et_3A_023514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYTAAKMAVVGLALAVRGGGAGALRRAREHHLASLHPHAAGDGRHGHVVSCDGRRRA >Et_10B_003605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3714271:3719726:-1 gene:Et_10B_003605 transcript:Et_10B_003605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PPFPNGVVPETKHPVLLIVTSSPAINAGHYNSLDFLPDNSDWKLDAARVGTFLQFYLIGRAGMSNFRVMCEHYKNHSMHVMVFNPGDGNDSSAWQEKDISSVNPAGLRRTSSLGRATGSWYFGDFNRSNMLVVLDGRTGEFSTSMLPASENWEGKRRYNYCVTEGRDGKPRVCSVVAGSMKVFVMRDEGEWALEKRPLLDEAIRGLPGYEPGFNRRLRILTRGPGFVILSLTPSEKWAVSVNLETMEFNPGVDYMGEMVYRCELPWPPALNACVDK >Et_10A_002111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22931582:22934808:-1 gene:Et_10A_002111 transcript:Et_10A_002111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRNGTDRTTILASLSSSRAAAGVAMDGGGAAPPGAATNPSPVVPSVVAGRGGIRVENCYVFKSRLQEYAQKAGLPTPEYHTLKEGPSHEPIFKSTVVVNNTKYESLPGFFSRKAAEQSAAEVALMEIARSVPATEGIRAVQETGLCKNLLQEYAQKMNYAIPSYICTKQASGVAPFICTVEIGGIQYIGAAARTKKEAEIKAARTALLAIQGNSLIIKSRGFHSANLFLLLGAGLRLDHKWAMFGAGQSEGGTNGATQYIVVPGQRQVKEAEKRPTETPKPLKTKKDGYKKKWNKRKFTRKTDQTVDDEVDGVRMAGDCHDSDVPMQAIIPEEPPTNITMARPDDEVRRVEHELLRDTTMMQHSGEAKIAKQEPPSGLAALLHDDDLRRTELEAFRDTAMAHDNEEARILKQELPCDTAVLQPGEEARLVEPEPPRDISLVQPNDPSILQSCNLVCSAELLNLDKEARSIGQESLSFSATMQPNGEATNVKEGSPGNPATMQPEDETRTIELTFDSDTYAPSLFGAILRICSAASTPSALFPWIRQPFISDLQTAGHG >Et_7A_053115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7051736:7053081:1 gene:Et_7A_053115 transcript:Et_7A_053115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRPVAAVSPARVAARTSKRLAKAVHCRAVAVSEKLAARGLGAFVWRKKLDRDLARGLRPPIVSARAERRRCLARRGGGRNRQGFCRLAPREDAEAAKEASFLREQSRRRAAIRFAEGRPARIDTLVASLIDGTRRCTPLAAFRGASAEELKLLREEIRTHADLDEAANAAFWEAAKVTCDAELAKSTAGRGAASSLHSEVAADVRSLVEGKSLEELDAMQWAIAAQVAAGDAKIAEHWQEVAQLVRVEKARKLLLARNDSASCDGDDATPPFDDDKTGTERERGDQRVADDADDEEGSEPLFPVALPATHPSPSKPGWRKPKYVARVWTGYEWNKYNRAHYDRDHPSPKVVRGYRFVLYYPDLIAGAKPPTPQYTVEEDGGSGGETCIVRFRAGWPYEDVAFRVVNRDWERSRKAGFRCTFDGGLLRLSFNFKRFFYRR >Et_3A_024404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20370449:20371635:-1 gene:Et_3A_024404 transcript:Et_3A_024404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIRRVGLFKAVADLSTLTGARAAIVLESESGKFSSFGTPSADSIVDAFLAGNASMGPYANGVVTELQNELFQLEKDMAVEEKRKKQSITLAKELEERSRTGKLVFGKEEDLDDSEIREKYRGLLRVHKVVQHCLLLALNHDKKQEVGGLRDPLLLQPSWWRRSLPSHMSPPRALPWTYIQPSPKFLGLSVRAPTMSQSSIPNPMMLLPRSLTPSMVALPAQNMPVPNEAIPSKHCISRLDANNNNSSPFFQSPISSSSPPMPPLLRIPQFNESSHHLSPPPLSLDGELPFKDLNNSSIELPQQYPNSGSTSAPTSKLCFATFDGLNIELGKTNENGGQTYDGHNMFGDSGLLQGDGWIDEMLSESSSIGEQSRAGAGNNLGGMNLP >Et_1B_010196.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:29195327:29195413:1 gene:Et_1B_010196 transcript:Et_1B_010196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPQRISHLDTGQPIRQVLVTYSGVQS >Et_9A_062718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3649277:3658109:-1 gene:Et_9A_062718 transcript:Et_9A_062718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RKCTDRKNTQLLPVDRGGVVPVDGPRGARHAQRQPDGAEPAGRVARAGPRRQLRLRPPAAPPVARAAQGRRRAPALGAAARRRAAAADAAGRRVDVSGGGGAAVEVEVGRAAFAAAMEFQWRAMFSAGLEEDGDGEALQDAAREVVALSLSSNVSDFFPALAAADLQGVRRRFARPLAAVYRRVDKQIERRMRRRREASRGGDDGRSSSSGEKDLLDVLLDMSEEQDKDGAGAVTMDRDVMRTFLTDIFLATVDTISATVEWAMAELLQHPDAMRELQEELRSVLGSKTHVDHSDVGRLPYLRAVVRETLRLHPVVPLVPNEAEAAVEIHGRAVPKGATVLVNLWAAWPDPDRFVPERHLADGFLGTNKEFDLIPFSAGRRVCLGMPLATRMVHAMLGTLVHRFEWALPPGEGNVVDMADRLGLTMTMATPLRAIAVPKRDIFLATVDTMSSTVEWAIDGGAAPAPRRHEIAPGGADSRPRLHLQGRDTRGRGGALRRGPPSPLPPGGGPGDAPTAPSGAAGAQRGGGRRVCLGQPLATRMVHAMLGSLVHRFEWALPPELNVVDMSDRLGLTMTMATTLRAIAVPKRKFSAHRP >Et_4A_033295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21109489:21116310:-1 gene:Et_4A_033295 transcript:Et_4A_033295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLPSQAIRLFPLLRSGEAPVSTPSDAKNCAALEGVATGDARPWRKGRRIMGIASKWIKSLVGIKKQEKGQNAEKQENEQNAESSKNASSANQSLHKRKHSLYPEGAHAVEEIAESSTDDKNTQTVSSSVCSDSTLLEVHVSQTGDDRREDLAATVIQSAFRAFLAKRALRALKGIVLLQALIRGHAVRKQTAETLQCMQALVKAQARVRARQVRVALENQGMRKKVPEQDDEDNYVREVEEGWCGSIGSAEEMQAKVLKRKEAAAKRERAMAYALTHQRQAGSRQHKSTSLQGSELDDSHWGSNWLDRWMAVRPWENRLLDNNAKESVSMLEDKQDEEMKSQVTPKGKVTISGTPCGQSRKKGVRHKKSYSDVSCTSFAQPTSVLPSTSLGSSKQKAKTAGEVFEEVSSHATELASKAVSTPKDRLAQLNTPAKKRLSLPNNGKNTESSMIELSFAKCVEVSWETVLQLSQSTSFC >Et_4A_033049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17671170:17689738:-1 gene:Et_4A_033049 transcript:Et_4A_033049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGDAGAAAGGEAHGASGRLESILTNSSAPVASRAWAATAIELRLLTRLAAPAVVMYMINYLMSMSTQIFSGHLGNLELAAASLGNTGIQIFAYGLMLGMGSAVETLCGQAYGAQKYDMLGIYLQRSAVLLCGTGIPLAVIYAFSEPILLFLGQSPEIARAASIFVYGLIPQIFAYAINFPIQKFMQAQSIVLPSAYISTATLALHLLLSWLIVYKVGLGLLGASLMLSLSWWIIVAAQFAYIVMSPKCRHTWTGFTWQAFSGLWDFLKLSAASAVMLCLETWYFQVLVLIAGLLPNPELALDALSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKSAFFSVWVVTALSALISVILAIVILCLRNYISYLFTEGEVVSNAVADLCPLLAITLILNGIQPVLSGVAVGCGWQQFVAYVNIGCYYIVGVPLGALLGFVFKLGVKGIWGGMIGGTCMQTAILLWVTLRTDWNKEVDEAQKRLNSAGGDEPKLYSPLLPASSAPASDGGGEGHETSGQLESILSDESVPWTRRMAAASVVEMRLLLRLAAPAVLVYMINYLMSMSTQIFSGHLGTLELAAASLGNTGIQVFAYGFMVPGMGSAVETLCGQAYGAHKYDMLGIYLQRSTILLMATGVPLAVIYAFSRPILVLLGESPAIASAAAVFVYGLIPQIFAYAANFPIQKFMQAQSIMAPSAYISAATLAVHVVASYLAVYRFNMGLLGASLILSLSWWVIVVAQFVYIVTSRRCRLTWQGFSWQAFSGLPQFFKLSLASAVMLCLETWYFQILVLIAGLLKDPELALASLSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKSAAFSVVVVTALSCIMSVLISVVILFCRDYISYIFTDGEDVSQAVSKLTPLLALTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGIPLGCLLGFYFDLGAAGIWSGMIGGTLIQTLILVWVTFRTNWNKETLCGQAYGAHKYDMLGVYMQRSFVLLTAAGVPLAAIYVFSKHILLFLGEPEDIAGAAWVFVVGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLAAHLALSYLAVYRLRMGLLGASLILSLSWWVIVAAQFVYIATSARCRRTWTGFSAQAFSGLPEFFRLSAASAVMLCLETWYTQITVLIAGLLKDPEIALDSLAVCMSISGWVFMVSVGFNAAASVRVSNELGAGHPKAASFSVKVVTTLSLIVASLVAVVVMCLRDYISYVFTGGDDVARAVSTMTPLLAVTIVLNGVQPVLSGVAVGCGWQAFVAYVNVACYYGIGIPLGCVLGFYLDLGAMVEKARLRLNKWEEKKKPLLVED >Et_10A_001121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21283785:21286264:-1 gene:Et_10A_001121 transcript:Et_10A_001121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAAFASPPSPPPSALQPPSDLSPPPPSSPPAADTPASAAQTPTPSLPETPASADPDTPFSDSALADASDAGTPALADASDAGTPALAPPDAAADGDDDDGINPSGGAPRKHMTLAPAAPPSKKSKKKGGNSVWTRPASRKGKKKAKQPGQGPTLLGGAANGSRPKPSAAGEEEFLLVPAPRLAAERSDDAADLPVLLSRVFKSERVELSDDRLTAGSAKGYRMVRATRGVAAGAWYFEVKVVHLGATGHTRLGWATNRADLQTPVGYDAYGFGYRDIDGAKMHKAWREKYADEGYGAGDVLGFYIYLPDGEQYEPKQPDLIQYKGLPFHVQVPKEHKAPDPVPGSKICYFKNGVCQGIAFQDIPGGRYYPAASMYTLPNEPNCEVKFNFGPDFEHFPQDFGDLSIPQPMSEVPHQVYELKNEQPIENGVAEKAI >Et_3A_024316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19456668:19463360:-1 gene:Et_3A_024316 transcript:Et_3A_024316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EKLDGVSLGGGFDRLTPSYMDPLLFMAINESQIVQRSQNKDVPQLDAGATSLYVATHLMDSPSYYGLTVTSDVYGFSIQENERSGILVQINNFGYGTDTSQDGISLGWHKDNYHKTGCYNLQCPGYVPEANVSIVPGVAIEAVSDPNGAKRAMIFKVFKVDSSGDWLVHIGFDSEPNLVGRFPKSLFTNLAKEGNNIRLGGFVITRETQLAPMGSGFLCNNTKAASFSNIQLIDQNGQTSKVEQNQPTFASDNNTYSVATHIMNSQSYYGIKVTSDVYGFSIQENERSGILIQINNYGDGTQTSQDGITLGWHVLPALYGDSKTHFYVTWTTDNYQKTGCYNLLCPGYVPEANVKIIQELPLKQSLTLMVPNDNSGDWLVHIGFDSEPYLVGHFPKSLFTNLADKGNYIRLGGFVMTRKTQLAPMGSGFLSTSIKAASFDNIELIDQNGQTSKVQQDQPISVTDNNRYTVSPINTEGKFTYGFLELENLQ >Et_2B_020836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24011340:24012780:-1 gene:Et_2B_020836 transcript:Et_2B_020836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSRIQQQMEHGLTNASYTMSSYLFCHGAGTDSAIPEDASLEASSAVLDTSPQGTASVDKKTKPRDDSCSLNSAQSKDSKEATKKRGGKRERNSKEMDEEEAPKGYIHVRARRGQATDSHSLAERVRRERISERMRVLQALVPGCDKVTGKALVLDEIINYVQSLQNQVEFLSMRIASLSPVLYGFGMDSDAFNDHTQKIEGMLHHEALAMPGSVLNRAPSHAIMDTNTSTSSASYEVHGDGGISFHQDNGSYMVQTVGDEPRQELFNQVVFSNHMGSFQ >Et_5A_040316.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:13153008:13153181:1 gene:Et_5A_040316 transcript:Et_5A_040316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVAIMTEVLEEYTAAVARAVERLLSAAPLRILPRRVRLIVLRSLPFVPPPHAVAR >Et_3B_031739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9459114:9464969:1 gene:Et_3B_031739 transcript:Et_3B_031739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPDEIARVVPGRVFSGHSSRRFCPEGPSGKLISRQSSYNSRNSSLPEFLHQLALVQAIDLTQVPLFHDTFLVIRFGLDGLLKHALEQHLEVPAGLERLRHRHHRLALEIHLHAPAHLHGQRRLAETPEPDDRKHLQLVLLAGARPQPLDQGVGLLPDADELVVIVVGARERRRPERRRRERDGQQQTGRAERVAVDAEPRVALGGGDGAGPEGPDFAGEAAAQQERPEQAPQPGARAVGQPRLDAEPVAVDAVLGVVRDLAQLLHPLPDEVVHGGAVAGVRLHAEEGVHGRQIPCPAAARHCLLGFGRCSPFSPLICFGFGWGAQLLVGLPPQRRSLLPALRLLCACAAATMACAARGALSVACELAGKRASRRGEDENAQTGGVAASGLQAPRRATGNADLAYSVALGAAQSITYLSGFAKGLRLGGTYFTVFCCDAVLLAKPSKSTPMVQVEAARTGRWRSGSMPMFAAMNRTKGRHHLSRLRPVPINSVPEWFNTNVSMLQQDRVNRSSRRLPERRRLGRPEPDVPRLDEAKHKVHHIRPVQLMGLREDKGLAIALEAAGLDVLLLGFSGSLKNSAMSLTLWTTLSGTLGYVPHVMDHLVRHAS >Et_4A_035837.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7070662:7071969:1 gene:Et_4A_035837 transcript:Et_4A_035837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQTPESTKQRGGGGGTTASEKVDRKYAHAATPLHHNGTTKKTPRGGDGADPAAAGYVAAVSCSDCRFKQRALAPASPGAVIRSLFVSLTRRSTPRSSPSPASGASDGGDGGEQWRLAAADLSRRLAAATRTRDEALEETTRLKHSVAELELKLARLEARALPTPPAASFPVESFLRAVSTSRAAVRNLARALSAHLRSSSITASPVSPNLESFLNRAFHAGFELDADADALQTPDPAGRCEANLAAYTAVAALTWEEVLLRGTKHYSEGLSRFCDAKMSEVVASLGWARARAWPEPLLQAFFLAAKGVWGVRLLARSVHPPLPVVRAERGARFDPRFMEDAAAGRAGGRLEPASVKMMVAPGFHVYLAGAGVVKCKVVCFYSSSSTTTGSRTAGHRDGGSSANGGVVGLGSSCTDINGSATDVAESGKTSRVG >Et_7B_053574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1070444:1071943:1 gene:Et_7B_053574 transcript:Et_7B_053574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SKITSMDRTANVVLDIEGLPQPPDKCCSGSPKMTRALSRKGSNRLERRGGEEQEQEDLGKKLIIKVVPSQLEQPLVQNKTLGAAHCAPSTPVFIDSGEGRNKRFNRLTSINPRRILLFFATLSSLGTTILIYFTLAINSKAEA >Et_4A_031944.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:32157352:32157816:-1 gene:Et_4A_031944 transcript:Et_4A_031944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSTPLGDEVILRTMMRIMEPAIQGIRELDEYKQHLASISTSLGAIDAAIRRYKARRIERGLFYLVPMANSISFWIRSPLDHIPPGAAARRDAIRKCKLRHDKWKAIAAAAQLPTDDAACGGEMLARLMKPPTACYFFYLVFVAVLSCAIVFL >Et_4A_035307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19005547:19010560:-1 gene:Et_4A_035307 transcript:Et_4A_035307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPPASSSPPPSAADGDGDGVEGGAVRCSSPTPASRRRSSPNRTGGSARKVRSLDPFSPSTWCSGELKLFGLRGFGLSPGSRDFRGGVNKSTLHFKKSRNRRSGSPINWTPRKKTESYMKRKIKQLQETDGMTASLHETLGNANPHYTRMAREKIAAREAATKATEARKAAMVEASWCRILRAARIQNKYAEEVMEKAMLRATQAFEEARAMGVMMYDTPDCSNQQCEVESSSHTGGRSTHKVTASFQTAFQVDMEVAAAVKKAFLQLANSPDSSKKEEFKELLRKISQNPDLAEVDVNSESKERLGDEGDGCSLKIKKEDSSANSISSDFNSTKVQESIDVVSIMVDRLKALHEDELSSLAVIVATSGLNAVLQSDRGKCHITESVSGNSYGSLRPQSRRYSTAASFVDVHGTKKEFTSELPSLDKFLVKHLSKLEREVQEAKEASGKPTSVKHSQFTGRNAKAPKSADLGSILVKHMSKLEKEVLEAKKNNQVTHPVEESCKDVRVSAEIGGQSRNNESDCDKPQSVAENNNDLMGSYDPRRSSEGSNPIQNVSDFVQDDKENKISHSRQLPPSGAKSKQSGKRLTRVEAATLEALQSFCSKDGNTLDVGLDKIFVKPVHRLEKEKREARERQSNAQKHPQKHAQSTPLIGSLDDVLVKHVSRLEREKIEYKKRNALGEGWTNVPHDQRRNCNNVESSGSLDQILLKPVSRLEREKMEFEKRNALEGGGTNDQNKTLRQSKSATASDSLDQILVKHVSRLEKEKMEHEKKGGMIFVKKSDAHCTDGREGSLADIFVKRPSKLEQAKLASTAEEKASGFNPVEERRKAREKELLDAWGGMGLGNSMKPQVSKIERDKAAWRKAEEEQKQICATVEL >Et_4A_032358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31589610:31590698:1 gene:Et_4A_032358 transcript:Et_4A_032358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTYLTFLEKKIGYLRGILCSAPRQIVSAELSCMAAQLVAISKSLAASSATAEDVDAANAKSPSAATAHEEGDSDASDHAEDDDVSPAVGSYEVIQLAEEEILAPHVHACKVCGKGFKRDANLRMHMRGHGEAYRTAAALAKPLPASPAPDANTTTTSRCRVYSCPYAGCKRNRENRSFQPLKTAVDKSFVCRRCGVKRFSVLADLRTHEKHCGRDRWVCSCGVSFSRKDKLFGHVAAFDGHAPALPPDDDDDAANGISGSGDQLLMDTEAIGRMASSMEWFSDNNVFDDLSSSDIKGFPLISDGHCLDDGRVFLSPMGTDESCDFGGFGLFGTPGIEF >Et_10A_000060.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21061518:21068865:-1 gene:Et_10A_000060 transcript:Et_10A_000060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TAFIALIMASTFQSGKDGIGFVHGNRISTSLRGPQAAAT >Et_2A_018486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29595559:29597866:1 gene:Et_2A_018486 transcript:Et_2A_018486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYAGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEDEEDYEEEEEEETA >Et_10B_004133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1550298:1554080:-1 gene:Et_10B_004133 transcript:Et_10B_004133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVGMERAACKRPRGALDGGGGPAAAAWRTCRVARAAAGGKDRHSKVVTARGLRDRRVRLSVPTAIQFYDIQDRLGVDQPSKAIEWLIHAAAAAIDELPSLDCSFALPVPSPPAPAGDDADAEEVSTSETSKGSVLSLANASTETGAGGAAAAHQTNQAYNGGGAFAELLHGSAGDNKPMQQQQEQPTLAYYPAQPPSSHAMPGMSFEMIPHLAFLQEQQPPAAVVFDRGTLQSNAVAAPMWPPTQHPCLLQRFASASADAAGLPFFLGGGAAPYPSFSPPQSSGSISISGGR >Et_6A_047453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6061623:6065878:1 gene:Et_6A_047453 transcript:Et_6A_047453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYRQSGGFFDSRGGGHNHPLPDYHRAHPSKPSRIRRPGKPASRRRSPAAAAAISAALLLLAGVFLLSRRISRNSPEINQDLGEGEGLPEWNQSKSWKELKFGHGGGGRSAQDSRYWDQDDRRRDEDYSEDEKDKISGGGGNSTDAGGSGEKGVTSETVVEDKGSTLETNGGEKEVPEVTEGGKGGTLYNEGGRKELEQYEAAAMGAMGTGVREVDPDDEYDDGIDTLEDIDDAHLRYADGGRKLGDGTHETAEKTNEVTLERHTETGGVVDVHDISSTDKKKGSGSSEKKHGSKKKSKRKKSSSTCEMNFLNSTAQLVEPARNEKFASFNLEYVEVEEKPIGSENWEPRFAGHQSLQEREESYIAHDQQLNCAFIKGPNGTSTGFDISEDDRKYMRKCHIAVSSCIFGNSDRLRTPFSKTITSLSKKTVCFAMFLDEITLQTLESEGQKMDSMGFIGIWKIILIKNMPYNDMRRVGKIPKFLAHRLFPSSRFSIWLDSKLRLQTDPILILEYFLWRHGSEYAISNHYNRHCVWEEVAQNKKLNKFNHTIIDQQFEFYQADGLTRFNPSDPNKLLPSYVPEGSFILREHTPMSNLFSCLWYNEVDRFTPRDQLSFAYTYLKLRRMNPGKPFHLNMFKDCERRSIAKLFHHRSEERRSGPQLTR >Et_2A_015219.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8570634:8570780:-1 gene:Et_2A_015219 transcript:Et_2A_015219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNEKCRHDLRSDFCSIVKKKNYLLHPTSSRFKSRATHMETRKEQSEF >Et_7B_053385.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:14650343:14650438:-1 gene:Et_7B_053385 transcript:Et_7B_053385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTAGCMLQFHNGGHCTGKIYWGKCYCFACS >Et_1A_006580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24885206:24888178:-1 gene:Et_1A_006580 transcript:Et_1A_006580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRADDEPGGCAVPGSDARAPPPHEVQLQARQSPHPRPPGSGKGTQSPLIKDEYCLCHLATGDMLRAAVTAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAQKLDEMLENKCTKIDKVLNFAIDDAILEERITGRCIHPSSGRTYHNKFAPPKVAGVYYVTGEPLIQQKDDMAEVLKSRLEAFHRQTEPVIDYYAKKGLVANLHAEKPPKEVTAEVQKVLS >Et_2A_014626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29913774:29914166:1 gene:Et_2A_014626 transcript:Et_2A_014626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIMTESAGDGKASKTPAEVVAQVLPRTMFLRNVGIKTTQVKTGTTAGARVEELEKQMERMAKQMDEAEAARVKEAEEAQARMCKQAEELETLKKASEDTQSLLQELAKRYKGSS >Et_8A_056659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14733179:14736079:1 gene:Et_8A_056659 transcript:Et_8A_056659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHNSIHLYVYLTSNMFAPMASASSLFALVLLAIFLSALVTGVASSSPSPSLTSNINGSSTDLAALLAFRRQVSDPHGILASSWTTNVSFCRWVSVSCSRRRLCVTTLWLPNTPLQGELSPHIGNLSFLVQLNLSNTGLVTIGDTLSLPELRKLYLQKHNFAGGIPLQLSACQHLQVLSLAYNSFSDTVPKWMAQMPHLTELLLGQNHFVGSIPAVLGNLTSLTLLDLSFKNLKGVIPTELGLMRELTYLHLGSNQLITGPIPSSLRNLSKLNNLILQENSLSGSVPDIFGNIPGMERLLLTSNNSFPSFPIVNTCSNLDLRILVLDFMPNGSLDMLLHSEGGSHLGFQKRLEIAQCGGPVGPGHRGVTVSMPMGYLHNEHHEVVLHCDLKPSNVLFDNNMTAHVSDFGIAKLLLGMTGAIGYMAPEYGSLGKASRKSDVFSFVIMLLEVFTGRQPTDPMFVGELNIRKWVHQAFPTELASILDIQLLHMLPVPAI >Et_1B_012811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35276440:35278451:-1 gene:Et_1B_012811 transcript:Et_1B_012811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRDGCRSREAPLLPVGLIRVAEIGSRVAGIPGGNPASPSSCCHGVQPLDAAQMVEHLKQGLGKQGGVLLAAASPPVAGHEGRAEEHRRVEAAQAISAAASGKHVVVSTATSSGKSLCYNVPVLESVSPPGATSRALYVFPTKALAQDQLKTLLEMKPDLDVAIYDGDTPMKDRARIRGRARLLITNPDMPSSGGSSPTSGAFLYKGAFGCHTALILRRLKRICEDVYGSHPTFIFCTATLANPREHVTELAKLKLDDVELVSNDGSPCGSKHFLLWNPSVARSSETRCPSPICRDGSARPALHRLLQDQEALRAHSRGDVAVATLRRRIEADLFGGKLRGVAATNALELGIDVGHIDATLHLGFPGSIASFWQQAGRSGRRSNQSIAVYVAFEGALDQYFMKFPHKLFGKPIEHCQVDSNNQKVLEQHLACAAYEKPLRLDHDETFFGSSMASVVTTLLKDKGSLTNDPSAGPSSSTSVWKYAGPDKKPSSTVSIRAIEHDRYKVIDRQGYRLLEEIEESKAFFQVYEGAVYMHQGVSYLVERLNLSSRIAYCRAAPDLNYYTKIRDHTDINVLEGDLALPPETSLVRTSARANDCKVTTQWLEFRRIWKSSDRFSDTVELDLPSYSFDTQAAWIGIPQPVRAAVEQREVGIS >Et_3B_029147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22430893:22433121:-1 gene:Et_3B_029147 transcript:Et_3B_029147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TLYQLYIINAGQGFKMLWGTIKSFLDPETASKIHVLGNKYQNKLLEIIEDSELPDFLGGKCRCEEYGGCLKSDKGPWKDPEIIKRVLNGEANYGRQIISISSVNEKEVCCSEPPHQTKLGNDAFGESSSEVEDVSSPSTSINPIANPHLTPSHASTSDAPPIIEDGIPVVDKVVDACIDPRASSMASTSGSFPLKNMPTTWRALRTRIAAWLTVLIVSLLAFIRSIPSIITKRLTHQDITFRHHSAEFPQGHVENGTLSFMLIQLRELEEKVRLLEAKPPQMSFDKEELLNATVCRGDALEAELISVKKALYETLIRQDEVLAYIDRQETVNFCVSSWCCSYGALISFEIENSF >Et_1B_009888.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:8603228:8604608:1 gene:Et_1B_009888 transcript:Et_1B_009888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDACEGAAATVVCCADEAALCARCDVEIHAANKLASKHQRLPLEALSASLPRCDVCQVLLLLRRTHICSHLFLLLARGASLLCLADTPSHAVQEKAAFIFCVEDRALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGFGAASGCSDSAHDADHHAPPPKAAAAVEQAPSSQTTSSAAAQQVPSPPQFLPQGWAVDELLQFSDYESSDKVTTTPR >Et_1B_013532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9233729:9235990:-1 gene:Et_1B_013532 transcript:Et_1B_013532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPSKIDSMRKWVVEHKLRAALTLAALVGSACVEYYDQKYGSTGPKVDKYTSQYLAHSHKD >Et_8B_059899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3888731:3891330:1 gene:Et_8B_059899 transcript:Et_8B_059899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRADTVRASSKAPLRGRANLCISLALPGRSAPVARSVTSSGSQAGGKELHGQEQSHDTSKTEATLNLWRTKESEFTLYEFSDLVAATANFSEQNRLGSGEFGPVYKGKLQNGTEIAVKRLSSYSKQGLEQFKNEVQLLVKLQHTNLVRLVGCCAHQEEKLLTNSYKFIILMIIPLLMVISRCCFQNLTCTENK >Et_9B_065219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2501764:2506595:-1 gene:Et_9B_065219 transcript:Et_9B_065219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDLDGMPVHFPYAAIYPEQHAYMGELKRALDARGHALLEMPTGTGKTAALISLIISYALANPSRPLRLIYCTRTVHEMEKTLAELRLLFSHLPPAASRSLLALGLSSRKNLCVHPQASAATARDSVDTACRRLTASWVREKAASDPESTPLCEFYETFDRAAAGGDLASFMPPGVYTLADLRALGRERRVCPYFLARQMVKYANVVVYSYQYLLDPKVASIVSREMQKECVVVFDEAHNIDNVCIEALRRFKATDANRLRAEYNRLVDGLAQRGNLPISDAWLANPALPEDILKEATICDFATLIGTYTRGFSIIIEPYDERMPDIRDPVIQLSCHDASLAIRPVFDRFETVVITSGTLSPIDLYPRLLNFNPVISRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGRLLLEMASAVPDGIVCFFVSYSYMDGIVNSWNEMGILQDIMQHKLVFIETPDVVETTLALDNYRKACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSRILLARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLHDAHLNLSTDMALHIAREFLRRMAQPYDKTGSGGKKTLLTEEDLLNMAQDSMDT >Et_9A_061914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17782595:17784405:-1 gene:Et_9A_061914 transcript:Et_9A_061914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFDVTIAGLYGLTGHHRGKSQSTVHELRDAEIIIQESRWASLPPELLRDVIRRLEASESTWPARKNVVSCAAVCRAWREMCRELVLSPEFSGKLTFPVSLKQPGPRDGMIQCFIKRDKSKSTYHLYLCLSTAVLAENGKFLLSAKRNRKTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFMIYDTQPPYNGAVVPHVGRSSRRFSSKKISPKVPTGSYNIAQVAYELNVLGTRGPRRMNCVMHSIPASAVEPGGIVPGQPEQIVPRALEESFRSMTSFSKSSIMDRSMDFSSSRNFSSARFSDIAGGAFAGDEEGQNKERPLVLRNKAPRWHEQLQCWCLNFRGRVTIASVKNFQLIAASSQPPAGAPTPSQPAPPDQDKIILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Et_6B_048694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12678733:12680030:-1 gene:Et_6B_048694 transcript:Et_6B_048694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRSVTFTFSVTADNQSEDGVEIQFATNHLGHFLLTNLLLDNMKVTAKSTGIEGRIVIISSDARFFAYPEGIIKSTTRKRIYNDKILHMYKLSWRTYCTRKSSLEVIRFVTYTYSVEKCTPGSRDCWLRRG >Et_3A_024612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22597062:22599768:1 gene:Et_3A_024612 transcript:Et_3A_024612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSWSRDMRFFLVRRRVVLFLLLVASAVGTSRGREAQPLPPVATAEGGKASSGSSSRRAPERHGLSLDFYAKTCPAVEQIVANVTAARFRDFPAAGPGVLRLLHHDCFVEGCDASILIAPTADAAGAARAPKVERDMEENKYLPQEAFDTVEMAKAAVESKCPGIVSCADVLALAARDYVQLKRKETHTTSLKLVAVPGSTGRNFWENLAAVQAGGPFYPVKKGRKDSKVSLAGKVRGSLPRANATVDELLRVFAAKGLDAGDLVALSGAHTVGFAHCVHVLGRIYDFRGTRRPDPLMDARLVKALRMSCPSSGGSARAVAPFDVSTPFQFDHAYYANLQARLGLLASDQALFLDARTRPVVEGLAADKARFFQAFVASMDRMGSIRIKKGKKGEVRKVCSQHLL >Et_6A_046324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13045640:13049019:-1 gene:Et_6A_046324 transcript:Et_6A_046324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFLQSLEFFDENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGIVLSFITEFFKEYLKENSLDDLIGLLKKGKMEDNLLDFFPSAKRSHEALAEHFTKEGLTSLVSYNEKIMFEVKLKEIKSTLTTMINEETEISEVIETVKQQVKDAKFPDLEVIRMLWDVLMEAVQWSGKNQQQNSNSALRQVKAWAGLLNAFCTNGRLELELIYKVQTQCYEDAKLMKLFPEIIRTLYDQDVLAEDTILLWFRKGSNQKGRQSFVKALEPFVKWLEEAEEEE >Et_9A_061395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1117403:1118722:1 gene:Et_9A_061395 transcript:Et_9A_061395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVKGYDDDSGEEMESLDPFFLDEAEEMAGLEPFFFDEAEAVADHQRRLLREQEAFRQEQLVKYRFDRVISYDPKQGGLYYTRFGFYDLATFDPEEESPLGPMRHTGAVYEGGDDAEVYLYPGINVLSVKIVSLDDIKFPIHVYGTVIARDSLDCKCVYLFRRESDHCQVINSEDESLILAGPNRGLVLVDDTNVEIDLKIKDPRWQKGVDLSKGYVSVRGINRRLKDVVETKCHDSSLTTVEVTYAVVKDAVEATIAIDVMQGEFDGKITARTASIPDRLVLYDDKVADTKDIRCCDGAIQLLRPVVTVVVNDMLIIVAETGDGEAERTVEFTPRINGWSENVCAVGVTNMRIKVAWSIIY >Et_5B_044802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6004597:6017738:1 gene:Et_5B_044802 transcript:Et_5B_044802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSFNVDRRRRFVNLSTGERIHVGIPDLRRHYFLGTSAEGFLVLCQKSTHVVQLLNPITGQVTDLPRATTLLGPATTYQLRNLYFGSAGITNDNTVALFYNHHMLAIAKPGQEAWRCLNLGPHLPIMAALPFAGRMYCVTEKQILVVVDDDTTSASPRLVVVGDYKLERELSERHDRMYLVFDEQGGTILVHRLHLSDEEFTAYRARLDAGRMEPVRGLGGRALFIQSTHLGRSQSVPANFSSSIKPDTIYLCHDREEVFAFDPSSGTNFKAYFWKKDITNCLLAYDWTNLTSGLAGLIAEHLLASDVADYVRFRAVCTAWRACSDDPRAQSVFDRRFHPRRWIMTRRSFRWQHLLNVDTGERIDLPHLDGRHYLVLGPTAEGLLVLCRRGYHLMQLLNPLTGQLIDLPRADTVVDLVVARHLSPYFHLDDLTLVSAGLAEDSMMIALLLQHPKDGLNCLVVARPSGKYWIPVHTNNRIVSALSFAGRFYCATPGKVVMLQATMAGQQPQLVLAAADYGFHTSPDSSVCLVDDNGGLSLTRWFLDYTGQRTGACKVYRVDLDAGKTVVVPRVDRERAVFISPYCDRALSVRAGLSPFITGNAIYFCTHQIGISGLNFHVCRVMDGSITEDCRMKPGCSIVDHLARYILGYWRDWASLTAGPTGLIAERVLSSDVADYVRFRAVCTAWRACAADPRASGVSDRRFHPRRWIMLPRAHTFVNVHTGERIQTRLPADDLRRHHMFGRIAGGLLVLCREDTHVVQLLNAVTGQLTDLPPATGLLPPCNIKRSMDSRPRRVSLRSGGVADDSTVALVCNDGRTLAVAKPGDDDWTRLWPDGGDDDRADLWADDERVLLALPFAGRVYCVTNWNILVVETAASHKAARLAVVAANAKFCRCPWHDDYVYPVYDDEGGQLILVHRCTTSSSGLYVHSLPGQLGHWHYGTDMWPWWASPSVFPARISSSVKADTIYVCQKGPGVEQEQPRVVAFDFSGAYTETTFGKCDIAYYLSSYLVVARPSGKNWIRVHTNDMIVSALFFTGRGVASYYYYGRAAAADYGFYTSPDSVCLVDDNGELSLTRSLVLDYTGQHTEACKVYRVDLDAGKTVDLDAVFISPYCDRALPVHAGLSPSITGNAIYFCKHQTGNHGLNFDVCRVVDGSIAEDCRMKPGCSIVDHFARYILGCWRDWASLTAGPAGLIAERALSNDVADYVRFRAVCTAWRACAADPRASCVSNRRFHPRRWIMLPRDHMIRRQPFLMNVHTRERIHVRLPDLRRQYMFGRVAGGLLVLCQKGTHIVQLLNPVTGQLTDLPHLTGLLPPWNSKRSMDSRARRVRLRSGDVADDSTVALLCKDDHALAVAKPGDDDWTRLWPDGGDDRTEVWSDDECILLALPFAGRVYCVTNWNILVLETAANQKARLAVVADFKLCSFPWHYVYPVYDDEGGLILVHRCTMSSSGFNDKYTAFRANLDTGTVEPIGNLGGQALFVSSLDRSLSVSPARISSSVIADTIYVCQKGPGVEQEQPRVVAFDFSGAYTETIFGEWDIAYYLSSYVCSRDWANLTAGPASLIADRALANNVADYIRFRAACRAWRAVCADPRAHEISDRRFHPRQWIMLPSNFDVDGRRRFVNVSTGESLILRSAGVTSDGAVVLLYNFLNGRFAAVAKPGQQSWTRLNLGQHRIVSALPFAGRMYLVTNKDILAVETSANEQAPRLVVVAKLRGTFSFSDLPEVGSSLQCDYVYPVLDGGDVILVHRRLRDLFATGHCSNEQRTAYRVKLETGNIEQVHGLGGQALFVCCNWDGSVSVPAKFSSSISADTVYICSNYHENRPKVMAFDLSAGTCLETKFDKKDTAANYLLSYVCL >Et_1A_007872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39050042:39052396:-1 gene:Et_1A_007872 transcript:Et_1A_007872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEANGEEGLQQPQPPRGEEEEEQDEDEEERQPPQQRRHGQSQRAPRPSSGQQQPQPHPPQVAMRNVGYVGKHRLTAAIARLDQELQSLQHVYFMQDELNELETMEPASAACQHQSHHEHRRKTRPTSSNLTSSCKNPSTALMTHVTIFFAAPVVQRTLLGTDGFNGSAALAATNGGHPKALTSPSQGKRP >Et_5B_044797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:609617:611230:-1 gene:Et_5B_044797 transcript:Et_5B_044797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGMSGDGHAATEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQLQAQAQAAAAAAGSSSSSGGSPPASGGGLTPGHAGSSLAGMYAHGGAANYGSSASASWPPSSAGIMGDLDCGGGDDLFAISRQMGYADGGGSGSSASAAAAQQQLYYSCQPASITVFINGVATEMPRGPIDLRSMFGQDVMLVHSTGGILPVNEYGILMQTLQMGESYFLVSSLLKLI >Et_6A_048071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5021338:5023249:1 gene:Et_6A_048071 transcript:Et_6A_048071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQSGIHDPPRPEAASQPLATYPPWVTLERFCTVEVQGSSSTSVGDPNTLAAARTTTGLVVSVSLSLAAPPEGSRVCVQLPSGVHASYAVVLAAHGDSVLILAAGIRYKTSEHFVYNAGDAAADPPRPPSLSLLPQYGLLKEKGNRRTYLDSESTGILFRNVCVTAGGSAIKYVNIYPRCCCGGAGDANCRRSRQACTVRTWTLRMGDMEWVMDGMIDATQLWAPDGYKGVVPRVQPDCPVVSMEEPHVVSFEVCEEHHIDRGDKTVWMVMVDIRSKSLRSVFRYPEWRRYIDRQLVRPSRVSEYFSPEQARTMAPSMTSKSGLGINEKQTKSNTDESAQPSCKSSDAPTQASKAAPSPEAMILASLQEIPGLARDDMLKSYRILSHDDSGRRFRCLMALPLDLRMDYLLMEIKASEQT >Et_10B_002568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16319858:16320775:1 gene:Et_10B_002568 transcript:Et_10B_002568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTDSFVAASAKAQQGGAPSSAGGDDPELRAFLAEADAAKNEMAALRDELTQLRSAHEASKTVVAVSGGGRAAATHAALVRLLGSARRLRARLASMDRRAPAPAAHAAAGLRGRVRDLTADVEALRRQVSAERRDDAARRYLAVAGDAPTEEQLDGLLAAADTDAGKDSAGEEQEAAAKEVAEVERGLLELQQLFLDMAVLVDAQGARVDDIELHVGAAAGDVAAADAELSEARRLQGAARRRKFCLAGGVAALVLVAVAVAVVAALVLARRGGQAGKLLQLLLAAEQPAR >Et_2B_019137.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:13242491:13242754:-1 gene:Et_2B_019137 transcript:Et_2B_019137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPHANTATTDSKAKLIPSESRCCFRINDFLPFICTASSYASGITSSSRLCTSTFLCLVAIVEIQDIIHFNIIVCAGRRHMHMQDT >Et_9A_062134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:283650:287690:1 gene:Et_9A_062134 transcript:Et_9A_062134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALDCSDPFFQSWPPPASAWASACTPPATPAASARCATWARLMATLATGSASTGTTAPAAATTALSPAAATSSPRASARPPSRAPLRSAKGSRSPTRSASANASRTSPRRKRKRVSVELVGKNKVQEKLKNLNDLSSASVAYMGVSSIGSPDDLKNLVPNLRLLDLTGNLLSQWQIEKIKVSFACLSELHLMSNQLKMIMTPDGKFVQGFSALRLLNLEDNHIVSWDEIVKLSYMRSLEQLHLNKNRLKHIKYPSDLASPGPLGDAPAVPFENLQALLLGSNEINDFPSVDSLNLFPSLTDVRLSDNPIADPTKGGAPRFVLVARLGKVKTLNGSEVSPRERKEAEIRYVRLVMGKESNDPEEIKRLHSRFAELKAFHGIEDEKPTSSTSGPQKMASGLISITLKCVGPSMGEKQPLTKKLPPTTTGCPLPQLLEEDTASLVELGIGSGTTIVVDEES >Et_1A_005910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15433314:15440025:1 gene:Et_1A_005910 transcript:Et_1A_005910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRGGDGGQSTYGFPIYCAAWVPLSHILKPDDPAAGNADGDDASSPAPPPPRTEMTMLGGGGGEGRSGVPNKLVVAALEGDATAAALALCTEPVLVVETKEQVPYRMAVHPRGDGVLVSFPNGCRLYQWESKEGKKPHKLDMNCDEESLVELKDVGSQLAVSFSGEGSILAIGGEDGHLRVFKWPSMESVLAEADTKTSIKDLSFSLDEKFLAVNRSSGPCRVWDLKSSEVVANLPREAGEIFSFCRFSSKTDGTHILFITAMQGDYGKIISWNTTSWTRIGSKKITREAISAFAVSPDGARLAIGTIEGSVIVLGSKNMQTLVTVKKAHLGIVTTLAFSHDSKTLLSTSFDSTARVTSVGSVKSHGTSVWPMILAIILAILVYYCMQHKEDLLAMLPRHRDNSISSPLTPAAVDGPDLVCESWQLPAPNESDLILSTPPRQRHHGRGAPDRALRRQDAGRCLLCLSATDSAGIRLGGLAAGPCGGARGGGGDLDRRAAERAVDAGAEPGVDAGDVERVATPRQQAQRLAVPELGEAHRAAAVPVPAALLHLGGVVHHRGDGRDGALVESLGLDVPRVMHTTVNILLLLAELASHRGHALGALLVVRRVLPFPAAPHLAVNEKGEEKQRGDGEEGRRQREEGVRQAAGAEVNSGRRGRRRRTTAVGFTGELRRERDDAGGPVPHRLRAWLRRHRLLLRSNLGLGIRLRWLGLWPRRLLFRRWHGWPSHASTVSRVVNFGVGCDVVEPWKGG >Et_1A_005948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15958979:15966046:1 gene:Et_1A_005948 transcript:Et_1A_005948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGNIFHCRKHSWPAEDFVGRTALQLLDFDGGAPPEQAWRRRLNSHANLLKEFSVTFMEAMRMMSLGLRLWSYVREEASHGRKAPIDPFTKERCKPSASQGVPLGGMGSGSISRGFRGEFKNWHIIPGLCESSPVMENQFSIFVSRDGGNKKYSSVLAPGHHEGLKKNSDPGISSWDWNLSGQHSTYHALFPRAWTVYDGEPDPDLKISCRQISPFIPHDYKDSSLPTSVFVYTLVNTGRDRAKVSLLMTWANSIGGFSHNSGGHYNESFITAKDNPPVTFAVAACETQNVNVTVLPVFGLSGENHVSAKEMWNIMKQNGHFDQENFNAGSSMPSSPGQKLCAAVSASTWVEPHGRCTVVFGLSWSSPKVKFQKGCSYNRRYTQFYGTSERSAVNLVHDALTKYKLWEEEIEKWQNPILKDERLPEWYKFTLFNELYFLVAGGTVWTDGQAPAIDEKASPDSNQQKSSKKGIKDTKPQSVHENHAKLTAEQGDEGYMSNSEDRSVSKFAAVHGSQMQEQTTELKLEEPIPYLISKDGPEHVGKFLYLEGVEYIMWNTYDVHFYASFALLDLFPKIELSVQRDFANAVLYEDRRRVKFLADGTSGIRKAKGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVLQIYRDFAATGDMSFGRDVWPAVCAAMDYMDQFDRDGDGLIENDGFPDQTYDAWTVHGISAYCGCLWLAALQAAATMAHRLGDRHFAEKYKIKFIKAKAVYEAKLWNGSYFNYDSGTSSNSRSIQADQLAGQWYTASSGLPPLFDEQKIKSALQKIFEFNVMKVKGGRMGAVNGMTPKGKVDDTCMQSREIWTGVTYAVAANMLLHGMEHQGFTTAEGIFIAGWSEEGYGYWFQTPEGWTTDGHYRSLIYMRPLAIWAIQYALSPPKAILEAPKVNLMDRIHISPHMVRAINEISIRKIAPDNRCFPSSAFHCEC >Et_8A_057587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4869058:4871420:1 gene:Et_8A_057587 transcript:Et_8A_057587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPMASVVGDPWEYSLRKYLMLLATLVATVTYTAGFNPPGGEAVPLPESLRPGGVWQDTDAAAGHLAGDPIIRSTSYRRYLVFFYSNATAFASSLVVIVLVLILAVLHEHRSTSLAPLCILRLVMALDLLSLVGAYAAGTCRDRLTAAYTSALVSGVVVYLLVHLALSSSSRCGGANADATDADDAGSEAAPERHRKVLMLLATFTVSVTYLAGLNAPGGFWDDQGAGDAVLKGGRQDGRLKAFYVCNTTAFVASLLILVLLLEKKLRYSPRVRSLELYGFIVAALVGLVAAYSAGSSREVDTTIYVNSLIAAVLVCILLQVVIVKYFKDSSRLRNNYFWKKLERIRDSSDDAVQPEPASGGEQQEPTSRALEKARSLDAGAGYKAGDPILLTTNPRRYKAFYYCNSVASVVVVVLVRRKTLHQHNALEAAMVLDLIGLIGAYAAGSCRDATTSIHAVGLGAAVLVYVVIHVILFTLADDDGEAQASLEKRRKRLLLFAILAATITYQAGLTPPSGFLLEDDDASGHRAGDPVLLHNHPRRYKAFFYCNSVSFMLSIALIMILVNPNVYRPAAIRSNALSVCTAVGLVCIMGAYAAGSTQHLKTSIHIFALVAAVLASVVFLVVVFLLKNDEQEDRSNGSRSTMAGDVEMDAAKELHAKRKYLMLLGILVATVTYQAGGSVGVLPHAAGGVEPRGVRHRGHQRGEKHEVLAQAVLPRVAVGCHWRRQARFASLVAG >Et_3A_026337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7009964:7010342:1 gene:Et_3A_026337 transcript:Et_3A_026337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRLVVDVAAQHMGFAEAGKDVADFLFSLLGRAAAGPGLVGDTGGGEDELGAVGSLRRSAATMDPAHVQSGAARESLLSPPAHTGHSFFPLKRKLYTCRGIYSAGCGAFFSNANGALLAKKN >Et_1A_006655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25817617:25819575:1 gene:Et_1A_006655 transcript:Et_1A_006655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAKDLTAGTVGGAANLIVGHPFDTIKVKLQSQPTPAPGQLPKYSGAIDAVKQTVAAEGPRGLYKGMGAPLATVAAFNAVLFSVRGQMEAVLRSEPGAPLTVKQQVIAGAGAGVAVSFLACPTELIKCRLQAQSSMAEAVAASGVALPKGPIDVAKHVVRDAGARGLFKGLVPTMGREIPGNAVMFGVYEATKQFLAGGKDTSNLGRGSQILAGGLAGAAFWLSVYPTDVVKSVIQVDDYKKPKYSGSIDALKKIVAADGVKGLYKGFGPAMARSVPANAATFVAYEITRSALG >Et_5A_040446.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:23021048:23021179:1 gene:Et_5A_040446 transcript:Et_5A_040446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHRSPRDQTGARHFLRRRLSCSRGWWTLARCSSTRSATLSPR >Et_10A_001968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15703146:15709422:1 gene:Et_10A_001968 transcript:Et_10A_001968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADANPPPPAASPSPIASISPTSGADAIEEQLAGLGLTVAGGGAGFEPSGWDDGPAPDVAGGGVGGIEEEFPVEKVHAAVSSPGADARVRFPRRPGEPDCSYYVKFGTCRFGTKCKFNHPARKKKPRVKGSGGSGSGSNSSSNKASSPDDDQVPREDYEGLTPDISDSSGFDDKGSASNSVQGGDDKGSANTSENRRKTSYEIAAEPEKGSYFKKLDEANVTKHKFPRGAKDKRKETVGEGNAQEECKYYATPGGCKFGKACKYIHREGKEGKIDAEKVDLNFLGLPLRPGEKECPYYMRTGSCKYSTNCKFHHPDPSNVSSKEPVMEHENDDTPQQNVQGPSQTTVPIWPDQRAMNEQHVPFLAPAQSYSAGMMPPQGMYPSPDWSGYHQVRLNPYYPPGVPFQHFPAPVNHPMYKPADIPGHQQMPTDEYPERPGQPECQHFVRSGFCKYKMKCRYHHPRSRLPAPLTGGLSPLGLPIKPDQPVCTYYGRFGVCKYGPSCMFNHPFNFGPPPVPAAGPPLHGQYPTPANFTV >Et_6B_049266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2475798:2487308:1 gene:Et_6B_049266 transcript:Et_6B_049266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDLIASCQNSIRQIGDEISESILYIDAGTLEAFQFIGAFPLLLELGARAVCSLENASPLDAAADWNSSFLHPASKIVVITSCLLSDAHRYILRCLGNHVSVSHCTVLTAVSEIGHSAYVDSPLGPDAFREYETLLIQDHEELFKKHEKLDKNKDNHDMETDFTSDVDTKLGSGVHYGTNSESNSLGPGLPSISAGKPFDSDEVPPGVTLTAQFLYHLANKMDLKLDIFSFGDASKAIGKLMMDMSSLYDVGRNKRSAGLLIIDRTVDLLTPCIHGDSFLDRMLSSLPRKERMSSYCAAKNPQTPSKHAQATIKRSPLDFKIPFRTVFSNEETKSRTNMLSESMMSFVSGWNSGEVDSEVSWLPDYADDVDDDKVGSQPATINGSFLSNNAGVRYLEALLDRGAKDGLMLIKKWLVEALQHEKLSFASKGRQGVTSVSELHSMVQMLSRDQLSLLKNRGVIQLALAAEMALREPQSSRWDAFTSAERILSVTSAETTQSLASELRDFINTSTSVESHKLASAVASSQGLLSFQDVLLLTVIGYILAGENFPTSIAGGPFSWEDERSLKDVVVDSILERPSSVKLRFLDGLDSELVAKVGSKDGNRSTKDSTEPASSTDDFDDELGNWDDDDNTDQKDDAYGDMQLKLEVRDRVDQLFKFFHKLSSMRLRNQALGEGLAALSRFETDSYSRKGLLYKLLLALLSRLDVPGLEYHSSAVGRLFKSGLGRFGLGQSKPSFGDQSVLIVFVVGGINTLEVREVMNAISESSRPDVELILGGTTLLTPDDMGTKIQDKMFWIV >Et_1A_006222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19341237:19343468:-1 gene:Et_1A_006222 transcript:Et_1A_006222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQAGAGVHAPLLPGKAAAEPARWGPAVAEAERLARLAVPNVASFVLMNTVSMVSLMFVGHLGELQLAGAALATSLANVTGFSVLTGLSSAMDTLCGQAFGARQHHMLGVYKQRAMVVLALACVPVALAWAFADRVLLLLGQDPDIAAEAGAYARWLIPSLAATVPLQCHMRFLQTQSVVLPVALSTGAAALCHPALCWALVYKAGMGSKGAALSTALTWTVNLAILATYVRLSGACKATWNGFSREAFKELRQFVELAVPSALMTCLEWWSFEILVLLSGLLPNPKLETSVLSVCLNTGVMLFMIPSGLCSSVSTRVSNELGAGQPQAAKLATRVVLYMALSEGLIVALIMTLLRGVWGYMYSSEHQVVTYIARMIPVLGISFTIDGLHSVLSGVLTGCGKQKIGATVNLGAFYLAGIPMALLLGFVFHLNGVGLWLGIVCGSLTKLISFAVIMWFIDWEKEARNAKGRVFSSSLPIS >Et_5A_042677.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:25245315:25245701:-1 gene:Et_5A_042677 transcript:Et_5A_042677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSAAAVAANGASTARITPAHATRMCGAAPEPKEDSAASKSARMEASSATSARTATARGSEAARDSASAALDAYPSTRLAPRAERCAAMARPMPRDAPVTMATRPASGWDAGGEEAAEAVTSAIRR >Et_1A_007115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3108958:3114586:-1 gene:Et_1A_007115 transcript:Et_1A_007115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EQVLVSRPQPAPSLPFPFPLPSPPPLLSHPIASQPSSPVGSLLRTRAPAPLSLSARSGWVRGGGSRAGARDRPCGMARERREIKRIESAAARQVTFSKRRRGLFKKAEELSVLCDADVALIVFSSTGKLSQFASSSMNEIIDKYNTHSKNLGKAEEPSLDLNLEHSKYANLNDQLAEASLRLRQMRGEELDGLSVEELQQLEKNLESGLHRVLQTKDQQFLEQISDLQRKSSQLAEENMQLRNQVSQIPPAAKQAVADAENVVAEDGQSSESVALCCMEVTPLKRPGGSEWSCGLCGQALVLWTKPDCNNLALEARSVNLIYHPYGFIMRCSACT >Et_1A_005479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10783497:10785082:1 gene:Et_1A_005479 transcript:Et_1A_005479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNVLAAWPVVAPGIAGAVFGVGWWFWVDAVVCSAAAVPFLHYLPGFFASFAALMFNCVNREDIGDSYYSPYDDSEWRVKLWLFISYVVSFVSLAGAVGFLVQDALTDTGPSAWTGIAGVLQCVFVLVSGLIYWTCHSED >Et_4A_035552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2945352:2946056:-1 gene:Et_4A_035552 transcript:Et_4A_035552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSCEENAKIVEVDVGEPARRGAAAKADNRQSFFLEHHGGRCSPAPSAVTELSPPRAYSGHFDELFSAATTAQSSPQHGSSEMCPSYMANTESSRAKQARSQSAPRQRTADAPLERQPSRRKGTPPRTTRTTMMQRSASLVGAAPRVGSQSPWWTSSSGARLDASNASECGSTTSSVLTAATVYSRTRSLVGFEVRRGLY >Et_2B_020721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2288376:2291649:-1 gene:Et_2B_020721 transcript:Et_2B_020721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNKSKGAKFAAVKKIISKKTIKKYKEDVLNPKKKDAEKEKLGRNVPQVSSALFFSYNTALGPPYRVIVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFQRLACTHKGTYADDCIVERVTQHKCYIVATCDRDLKRRIRKIPGVPIMYITRHQYSIERLPEATMGGDGDRKTDCSKYTAEVTLR >Et_2B_022215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1451589:1459350:-1 gene:Et_2B_022215 transcript:Et_2B_022215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRPLAMPDPRPSARARHRARARGMSRAKLAVLSRKKAERQPPPPPSKNRVSDVAESISAQILQGPSLKECTASSSTPTVPHSTTDGSTSTCPTRSEKLLPDVLSLDELLSSLPEEELTTAIPQTVLHNTMDGLSNTFPSSCENLGAHADKSASALQDPDVSFLDDFLDSSSEESITASPRTVPHNTTEGSLLSTSSLGSKIVIQHYANCYQIFYIRMDRSGSYLTYPNVGGPFGSLQEAVHATKCFVDELWRETRSRKLVADVAESASAPTLQEPSVSSSEVLIDAKSLEESFTTTPPAEPQNTIGSSSSTLLSNCEKLLIDVADSASTPALKEPDVSSYELIGSSSESIIASPQMLPYNTIDVSSSSEKIVTGAGVSICAPALQYPDVSSSDEFPDNSSEESISSPRTVPHNTTDASVAFSLTACASLQQDENVIDMSNVDDDDDDDAEEELNEALLKVSNAWQNRLRPQVDRDLYALSLEG >Et_5B_044576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3726321:3731864:1 gene:Et_5B_044576 transcript:Et_5B_044576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLLLSLFVVLLLASSSPAVLSASNGGDNDDGLTHIHLYVHEKFAGKNATATAVLSSPLGANSSFGSMGVVDDELRVGRDRSSQLLGRYQAIIVGTSLEVGAGYLTSITLVFTAGEHAGSTVSVQGPVLGFKGVIERAVVGGTGKFRMARGYSLTKILGNPTPETVVFEVDLFVLMHNNGYQPYASHLAQITMLASSKMLSLVLLLASSPAVLAASNDEGLIHIRLYVHETLAGRNATVTPFSASPFGANSSFGSYGVVDDEVRVSRDRSSQLLGRFQGLLFGTSRDVSTEYLSSAMILFTAGEYNGSTLSVEGPLRGSNDTIERAVVSGTGKFRLARGYSLTKTLGKTSPKTDVFLFDVFVLMHNGKY >Et_4B_036651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10049916:10051926:-1 gene:Et_4B_036651 transcript:Et_4B_036651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPPQSRGRIRPWLAVGDLVLSALWVCAGVLVKLAVYGPLGLGGRPEGDAAKIALSVLYMFVFAWLESATGGASYNPLTVLAGALASRSGPAVYLFTAFVRIPAQVIGAVLGVNLIQLAFPKVGKGARLSVGAHHGALAEGLATFMVVMVSVTLKKEMKSFFMKTWITSIWKNTIHILSSDISGGIMNPASAFAWAYARGDHSTFDHLLVYWLAPLQATLLGVWVVTLLTKPKNVKDQETDENKTKKE >Et_5B_045370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:279661:281663:-1 gene:Et_5B_045370 transcript:Et_5B_045370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLALFEKRLLVIDRYIDEARAALAAAAQDTEDGDDAAAAALGLVTAALEMAPRAEAAQELQARALLALRRYRDVADLLRDYIPSCGKSCAGDDVTSSSSSSSSSCSSGSGDCVAASRADLLSPGRDLSVAGAGAARFLCCFDVFDLKRRLVAGFSRNSNAEAQWRYLVLGQACFHLGLMEDAVVLLQTGRRLATAAFRRESVCWSEDSFSPSNLTSNVASVPAGKKRASKSGPASAAPSGEAESVSQLLAHVKLLLRRRAAAVAALDAGLPNEAVRHFNKVLDARRGVLPHPFATACLVGRAAAFRAAGRPADAIADCNRALALDPAFIPALRARADLLESVGALPDCLRDLDHLKLLYDAALRDGKLPGPTWRPQGGIRFGEIAGAHRTLTARIQQLRGRVAAGEGCSVDYYALLGVPRGCTRVELERAHLLLTLKLKPDRSTSFAERLELVDEHRDLEAVRDQARMSALFLYRMLQKGYSFIMSAVLDQEAAERQRAKDAAAAAAAAESARKLEEEEEEAMAAAAEKALKQEEQDTALPEKTIPESVSVPIANGVKPEAVASAAIPVPRAMVTAAATSAMSPPFQGVFCRDMAVVGNLLSRGAFDRPIPVKCGAMSC >Et_9B_063960.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:6426064:6426135:1 gene:Et_9B_063960 transcript:Et_9B_063960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASALAPPSTSMPPSSSSLLR >Et_8B_059847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3327166:3335663:1 gene:Et_8B_059847 transcript:Et_8B_059847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGIGMFLLLLVIAAIFAAKRLRIRRARKTRERFFKQNRGLLLRQLVDKDIAERMIFSLDELEKATNRFDEARVLGGGGHGTVYKGILSNQRVVAIKKSKFVIQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFIPNGTLYAHLHVDNAQSSLPWKERLRIATEVASSLAYLHSAASTSVVHRDIKTANILLDDRLATKVSDFGASRGISIDQSGVTTNTIQGTHGYLDPEYCYTRRLTDKSDVYSYGVMLLELLTRKKPTTLTSSEGVGLVAHFVMSLNEGQLNDILYEQVIEEGEEEAKQVAAIAAMCLRLKGEDRPTMRNVEMRLQGLHELTAELNRQAFQEANTRSGDNYTSRQYMLLWNFYLLMEIKIATSAYIGKTPTRRVLFLAVIALFLVSPASACSSRCGNISIAYPFGIEPGCYKDGFNLTCNHTQHPPKLFLGDGTVEVLEVSIPNGTVQINYTGIATDSNSSRTWGGLRAGGPFFLAPHKNKLLVFSCNSTQFTLMGEDNSTISACSTFCPDMGSRKMSILQLQLLVEDCAGIGCCDAAILKGYTSYNIKLQPPDSPGSEVQSVLFIAEMGFYSPRKLLFENHQEALPALPALLDWVISNSTCHKEVPASECRSSHSFCRNYTSHVYDGYQCSCSAGYEGNPYIPNGCQDINECAIPEVYSCHGICINIPGTFHCRCPDGSNGNASIPGGCIKNYSSGLGLTIGLSVGGGSILMLLGLGLPFIASKIKLYKVQKMKEKFFKQNHGLLLQQLISQKADIGERMLITLAELEKATNNFDKTREIGGGGHGVVYKGILDLHVVAIKKSKIAVQKEIDEFINEVAILSQINHRNVVKLLGCCLEAEVPLLVYEFISNGTLYRHLHVEGPVSLSWEDRIRIALEVATALAYLHSATTVPVFHRDIKSSNILLDDNLTAKVSDFGASRHIPIDQEVATTAVQGTFGYLDPMYYYTGRLTDKSDVFSFGVLLVELVTRKKPFVYRSDDDDSLVSHFASLLTEGKLAEIIDPQVMEEDSREVQEVAVLAASCTKLGGEDRPTMREVEMTLENLQARKKPSPCNASSNRYDEDQIATHYKAVEDLLSENALSVEHSTAEASRMHTTGDERLARRKVGFAVAVIAVLLRQLLGAAALSTTRANCSTHCGNIRIPYPLDIEASCYHVGFNLTRDLLQNPPKLFLGDGTVEVLEISIPSGTVRINSSSIVPLSSRQKGRYHTWDGLRRSGPFFVSPDKNNVSEFVRLALEKATNNFDRAREVGGGGHGVVYKGILDLHVVAIKKSKIAVQKEIKEFINEVAILSQINHRNVVKLLGCCLKTEVPLLVYEFISNGILSHHFQSCLPWNDRLRIALEIARAVAYLHSACSVPVFHRDIKSSNILLDDSLTAKVSDYGASRYIPIDQTGVTTAVQGTFGYLDPMYYYTGRLTDKSDVFSFGGLVELLTRKKPSLYSSDSGILSRFSWKATLLT >Et_2A_017363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33604958:33606149:1 gene:Et_2A_017363 transcript:Et_2A_017363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTAVVRCFLTVAVVMASLLAGAVGAPLSTGFYNTKCPNVQSIVRTGMAQAVAAEPRMGASILRMFFHDCFVNGCDASILLDDTASFTGEKNAGPNANSVRGYEVIDAIKTQVEASCNATVSCADILALAARDAVNLLGGPTWTVYLGRRDARTASQSDANSNLPGPGSSLATLITMFGNKGLSARDMTALSGAHTIGQARCATFRGRIYGDANINATFASLRQQTCPQSGGDDTLAPIDAQTPAAFDNAYYVNLMSKQGLFHSDQELFNGGSQDALVKKYSGNAGIFVADFAKAMVRMGAISPLTGPQGEVRLNCRKVN >Et_6B_049890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16622116:16623421:1 gene:Et_6B_049890 transcript:Et_6B_049890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALHELTVRGYSGTKGFGVGRFISSVAFTAAGHRWKIRDYPDGHDQKSADWISVFLQLDSPIPNREVRAQFKFSLLDQVGKPWFATAMSRTTTFSKDNLTWGYGEFIMRKNLESSPHLLNDSFHISCHVSVIKIRAETTPVQFVAAPSHDLAHDLGGLLESKLGGDVKFKVGKEMFTAHRYVLAARSPVFEAELFGHMREKKAAHIRIDDMEGKCSLQKLVIVEN >Et_7A_052806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1719881:1723880:1 gene:Et_7A_052806 transcript:Et_7A_052806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAEESPKHTSRMVRLFLSDDSPSQAGLRPQDNLQAKGSWLMHAAGPSSDDSLPPGFESLQPANDVKIDISKVPLIRWKCPPKMLFNPDWLVVAGEESKESALQNERIFGALEAIYPRPSNIPPNPFVSPDVVDSHYDDSQTLLVPLIPIEEDDTSDQLEEPYVNLPNNYHQADKYETAVISAPRVTDAPIITTTQHQANGFIGTASAGMPIEPDVLAAASAAYTAIMQSNQMGSMIDQDLLIKILSDPAQLERLMKEYGTIKHEQATTSAVVAPMLPGPPPQTPVSAPPSFPDPMATYQTLNPTLPPPPPVLNRLPPAVPSVSMNPPANSSQAVNFSSRGIGYYKTLIHQHGGERQEPLEQHGMQFGAYQQSNPTQTNTVDVASSGNVQGHYPKPRLTKPCAYFNTARGCRNGANCTFLHDVSAARKEQPKGAKRLKLDSRIAGQY >Et_3B_030032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3000451:3000940:1 gene:Et_3B_030032 transcript:Et_3B_030032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSNNIANKVPYSSMCSCNVSFGKSHC >Et_2A_015655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16550096:16553932:-1 gene:Et_2A_015655 transcript:Et_2A_015655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEANGETEDRYPWDDPPPRRNLDRGFFSQPATFVASSSTDGNGCFPHHGSDGSRGLDLNSDADNLDEGMRSYVELLQSPFGAGVGGQHWGAAPSGGGHVQAMAAAALGAQGRGSNGGSQVGLHGDEFDWTGDGNGGVNGGQGQITGRGRGKGGRGDGRGRGRGGRGDGRGRGQDEGGGRGDGRGRGQEGGGRGDGRGRGVGRGAGRPPIPPSSLPYRASRSAAPSNNVGVPGGSQELHASSGSEQQYELDADDFQGLDASTEDGNFDKAEWTNSENTAAFCTLCVEEINAGNRSNGYMTARGYKNIAAKFEQQRGLRHSKLQLKNRWEGLKRFYTFWLWCNKQTGLGRTPNGGIMAGDAFWKLHTKGHAEWRKLKNGPPDNIAELQIMFENTAVDGSTSCVPGGHMDDVGDVGEEGEEGYADGSPMSTGSMKRGATSNTTMTSPRKKTKSPIVKLIKGIKDFVQETNVVAQKAMQGDYVVQSMKDLMRLVVQCGAPAGSAEHFLAGKLFVKPAYRGMTSSLSDDSVENSSRSDGEGDSLDSLSGSDDERDSLDSLSGSDDEDETIEIARETLMRNATIACIFGMYHEAYFMTKKKRTKTVEDVSHRGHCLLN >Et_9B_064956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2088497:2088830:1 gene:Et_9B_064956 transcript:Et_9B_064956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWVRTITTPFRKMLNPQREQHGKKASRHRRQSSGIPGGDLERTTSSQLYGEVMACTYEDVQVMWNMLDKARICSAAAS >Et_5B_045391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20688117:20691994:-1 gene:Et_5B_045391 transcript:Et_5B_045391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDGQQPGKAAAFDIAEAGFGDRRDLDDDGRERRTGTLWTASAHIITAVIGSGVLSLAWAIAQLGWVIGPVVLVAFSVITWFCSSLLADCYRAPDPVHGKRNYTYGQAVRAYLGVSQYRLCAVAQYVNLVGVTIGYTITTAISMGAISRSNCFHRNGHNAECKANDHLNMVIFASIQILLSQLPNFHKIWWLSIVAAVMSFAYSSIGLGLSVATIAGGVHVKTSLTGATVGVDVSASEKIWKTFQSIGDIAFAYTYSNVLIEIQDTLRSSPPENVVMKKASFIGVSTTTMFYMLCGVLGYAAFGNNAPGNFLTGFGFYEPFWLIDVGNVCIAVHLVGAYQVFCQPIFAFAETWARDRWPDNAFVNAEAVLRLPLAGDLPVNPLRLVWRTAYVVATTAVAYKFPFFNDFLGLIGAVSFWPLTIYFPVQMYMAQAKTRRYSPTWTWMNVLSGACLVVSLLAVAGAVQGLATDLMKKHSA >Et_5A_042818.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:507535:508731:1 gene:Et_5A_042818 transcript:Et_5A_042818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKFRSEVTIRSSTSTASNSMSGHHLIGGDVSVSGGLKSFSMNELRAATKNFGPNSYLGEGGFGCVYKGWMDAATLAPTKPGVGRMVAIKKLKKESFQGHKEWLAEVTYLGQLHHGNLVKLVGYCSDSDRNKLLVYEYMLRGSLENHLFRRATQPLPWHTRVGIAVDVARGMAFLHAQDVIFRDLKSSNVLLDAVMIDQASTDYLYSVLYLQYLSSRLITDHRSGENEIVACLQDYRAKLSDFGLARNGPTGDKSHVSTRVVGTRGYAAPEYIATGHLSMKSDIYSFGVVLLELLTGRRALDEGLITSLVDWARPQLGERRKVIRIMDTRLGGQYPKKQAQEVAALALQCLQNDPKNRPAMADAILPQLEQLMHNKSSSSSSSAAPPVHRPGRHSKG >Et_2A_015879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18739299:18742685:1 gene:Et_2A_015879 transcript:Et_2A_015879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITGSAVSFARPVKALDINSVSFSGLRKDNVAFPLLTAPQRFAVCCYAKKETVDKVCEIVKKQLALTDDKEVCASSKFQDLGADSLDTVEIVMGLEEAFGISVEESSAQSIATVEDAANLIDDLVTAGKWHD >Et_8B_058846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:144176:147495:1 gene:Et_8B_058846 transcript:Et_8B_058846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKAAQKKAAAAAKRGAKVPATKSSSSAAAADKRAATDGIAALNLSDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLKAIGCRELPIPEHMDIYHLTHEIEASDMSALQAVVSCDEERVKLEKEAEILAAQDDGGGEALDRVYERLEAIDASTAEKRAAEILFGLGFNKQMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVISHSQDFLNGVCTNIIHMQNKKLKFYTGNYDQYVQTRSELEENQMKQYRWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKILVFRFTNVGKLPPPVLQFVEVTFGYTPDNILYKKLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLVPLDGMVRRHNHLRIAQFHQHLAEKLDLDMSALEYMMKEYPGNEEERMRAAVGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAYRQPQLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAHEIWVCENQAVTRWEGDIMDFKEHLRSKAGLTD >Et_2B_019119.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:11380669:11380770:-1 gene:Et_2B_019119 transcript:Et_2B_019119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFFPSFRRKEAHCLSLGNGMSMILPQPRDSP >Et_5A_040750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1264840:1267345:1 gene:Et_5A_040750 transcript:Et_5A_040750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAKKYETYMKGMEAELPGVGLKRLKKMLKKCRSEFTSHQEDGGCAEHCPVCDGSFFPCLLNEMSAVVGCFNKKAKKLLELHLASGFKKYTLWFTSKCDRNHGTLIKQGKDLVTYAIINAVAMRKILKKYITQSKGKSSKLNLKACTLRYFNLHGSVN >Et_6A_046457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15444873:15453862:1 gene:Et_6A_046457 transcript:Et_6A_046457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKKIEKERFKLPVVKTGEDSHVDVNDSDCEIDHLALGHLCRDLTEELMDDDDSTGKMVPVAHAVCTRVWQYSARVTARQRASARPHTEQRWNACSCVSRRPHRAYLHSHSSVLLSSPRAGEVQAVKVQCLREIIGLQHKTVIDQSERYVMGLRDMRQLAVATYPDLQHQLLSRYNAIYNVSQEMVMTTTDSKHITGSLLYVAKNIFGHIMSHRFMTGTYV >Et_9B_065101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21188338:21190593:1 gene:Et_9B_065101 transcript:Et_9B_065101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTIVMYPGLAVSHFVPMLQLADALLEAGYAVKVALIDPSLKGDIALAAVIGRVASSKPSVAFHTLPRIPNPPAIAYDTRFLGSYFDLVSRHNKHLHDFLRSLAPGSVRALIVDVMSFVALDVTRKLGIPAYTFFASNASALATSMQVNEGDASFGEMGDTPLHLHGVPPVPSSHLFAELLESPESEAYRLMVRMSRAVLEHDGILVNTFLPLEARAVGALGDPRCFPAMPPVYCVGPLLAKAGEARGKHECLAWLDEQPDRSVVFLCFGSVGVGNHTEEQLREIAVGLEKSGHRFLWVVRAPVSNDPDKPFDPRADPDLDALLPEGFLERTSGRGLVVKLWAPQVDVLHRRATGAFVTHCGWNSVLEGITAGVPMLCWPLYAEQKMNKVFMTEEYGVAVELVGWQQGLVKAEEVEAKVKLVMESEEGEQLRERVTKQREAAAMAWKDGGSSRIAFSRFLSDAGNNHRSEPFRKQQAGSSQQSKS >Et_7B_054809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4605253:4607594:1 gene:Et_7B_054809 transcript:Et_7B_054809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILFFLQVFLLACLPPSFVQSVESSASNCSNISIPYPFGIPGKSLSKGFEITCNPFGAGPVLPIGNTVFKIFDISLLDGSVSIMASANSQQCKGNSSFNLHGTIFTFSDTRNKFTALGCNVVAMLLNGSSGYSGGCASFCSNNHNIINGSCSGVACCQAPVPKGLKKLELEFSIISNKDNSIPSCGEAFIVEQNSYMFSSLDLNKTNDTNPQYRPVVLEWSIDGGSCEVAKRSTSYPCQENTYCYNSSNGIGYRCNCSHGFEGNPYLQGHDGCQDIDECSTGNPCTHKCVNTNGGFKCMCPAGMRGDGLKVGSGCSGISTLLISIGLALAVLFLILGFWTHWLVKKRKHAKIRQRYFMQNGGLLLRQQMFSQRAPLQIFTPSELEKATNNFNDEYIVGRGGFGTVYKGILSNQMVVAIKKAQRVDQSQMEQFVNELVILSQLNHKNVVQLLGCCLETEVPLLVYEFVTNGALFHHLHNTSVPMSWKKRLSIAAETASAVAHLHLATKIPIIHRDIKSSNILLDKSFVAKVSDFGASRSMPHNQTHVTTLVQGTLGYLDPEYFQTSQLTEKSDVYSFGVVLIELLTRKKPISDYMIEEVRSLALQFSMLFHQNQLLDIIDPKVAEEAGMRHVETVAKLAVRCLRLKGEERPRMIEVAIELEALQRLMRQHLILKSNPLVMDNDTPLNLSIDGDGITEDESMEIIVPPT >Et_1B_010988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16213714:16220184:1 gene:Et_1B_010988 transcript:Et_1B_010988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNSSAPNTADRAARTYLCALNVSPPTSNVTSAPFSATSRSTRCRCSSDGGTSTNGDAALLSSTLSPAMTVTSHLIVNLSSLRCSDFSSHALLMNSPMAAVLLGQCQDVGDGADVDAPAQPVFAHELQPVQVVPVRRDK >Et_6B_048941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15806177:15810397:-1 gene:Et_6B_048941 transcript:Et_6B_048941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTNMASRHSLLLAFLLVLTTAAGLAAAAGPGNIAMFWGRNKTEGTLHEACDTGTYKTVIISFLTAFGHDNNHFAKGHLTPSSPPNGTGFSLLHNLLHSCNPQITHQSMSLTNMASRRSLLLSLLLVVTTAAELAAAAGPGDIAVFWGRNKTEGTLREACDTGTYNTVIISFLSAFGHGKYTLDLSGHPLAGVGEDIKYCKSKGIVVLLSIGGPASGGGAYYSLPSSQAAADLADYLWAAFLGGAPRAGVRRPFGDAAVDGVDFYIDHGPADHYEELARRLAGYGKPAVTLTATVRCRYPDPNMAAALATGLFGRVHVRLYGDLKCTWTDREAFEAWAAAFPATKAFVGVVASPEADQDAYMFQKDLYYNVLQFAQKLPNYGGIMVWNRYYDKRDHYLSSS >Et_2B_022477.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:23189347:23190318:-1 gene:Et_2B_022477 transcript:Et_2B_022477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRPLSAALPARLRPHLARLTTFLIVFAVGYSLGLLSSSSTRPSPKPSQTVIRPHAAHLPAAASGYPRSPPHDLFRFREECGEPVPSAAVLPTLLEKLFDGASPYAGFPSPHTAALLHPAASRPRGWGSTGAVFAELIESVRPATVVELGAFLGASALHMAAVARNLSLSPVILCVDDFRGWPAFRDRFRRDVPPSRHGDALLLPQFMANVAAAGQEDRVLPLPFSTASALAALCEWGVYADLIEVDAGHDFHSAWADINLAWAVLRPGGVMFGHDYFTAADDRGVRRAVTLFAKVKGLTVRPNGQHWVLSPKPHGRDDDGR >Et_9B_063740.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:1076612:1076860:1 gene:Et_9B_063740 transcript:Et_9B_063740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMLLLPTMASARQSPPPPPRRSTEAFSVLRKGPFGPDHITSDPPPPPQGHTPITPVLREVPGGRNPMTSDPRPPPIARSI >Et_8A_057205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22342816:22347828:1 gene:Et_8A_057205 transcript:Et_8A_057205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCTGTAVADHYNGLLLHYDGVLNPATGGWTPIPEEEPPSMAGMEHFPHEMYLAFDPALSSRYEVFVVPEVPVFYAGPRRPSPSSTSPCSSSELCLSSEWPPSPLTLSVFSSRTGRCRWDQRSFVREGEPAGTVADMTLDKRFMEQRNAVCWRGALYVHCQTEFVLRISLSDDNYQVIKPPTDLTVSFCAESYLGKSQKVVCLAVVQYHRLRVWILDDESGRQTNGDGLFMPSPINSSQTAAPGPWILVNDDSDEPDKELHWNSDDDDDGESIIRTSSTDEDKDGERRYVNIGIFGFHPYKETVFLHRSWRRGLAYHLNSSKLEDLGNLRPKNDGSHAGIRESYILEYATSRIGRTFPYTPCLMGEFSGKLEWRLLPDDVLAEVLRRAAPRGLAVSRCVCRAWRALVDGRGLLRADLLPHSLAGLLIEYRELPYFFARPSVAAGDYQMHCTGTAVADHCNGLLLHYDGVLNPATGGWTPLPEEEPPRVAGMEHFPHDMYLAFDPAVSSHYEVFVVPEVPVCYAGRRPSPSSPCSSSSSSELCPSSEWPPSPLTLSVFSSRTGRWDQRPFVREGEPAGTVADMTLDQRFLPQRNGVCWHGALYVHCQTEFVIRISLSEDDDNKYYRVIKPPTDLTLSYCAESYLGKSQKQGVCLAVLQYRRLRVWILDDDESGGQAKWILKHDSGDGLSMPSPMNSSQAVASGPWVLVDDDSDEPDKEKQMDQQLQWNSDDDDDDESIIRTSTDEDKGGKRHYVHGILGFHPYKEIVFLHRSWRRGLAYHMNSSKLEDLGNLRPKDDGSYADIREAYILECARSRIGHTFPYTPCLMGEFSGKLEDVLDDD >Et_3A_025775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32869189:32870152:1 gene:Et_3A_025775 transcript:Et_3A_025775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARLRHAAAGGGGLAGLPIGFRFRPTDEELLLHYLRRKALACPLPADIIPVADLARLHPWDLVPSGDAADEERFFFHLPTTRCWRRGGGASRAAGTGVWRASGKEKLVVSPRCKRPVGAKRTLVFCHRGGRRSDWLMHEYRLLPAVLAVGNPSAPHVNFHGAAPRGDDWVVCRIFKRTKPSARGGRGREDAEEEIPSSPSSASSCVTDNSGNGDQEEEDEQSSGSNGSSCSEASS >Et_7A_050967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13037342:13041100:1 gene:Et_7A_050967 transcript:Et_7A_050967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIFVQRGAAGSSSSSSRSSPQQVQQQQQQQQPAATAREEELPLQPQSQPPELLASDDVTEHSHEGSESISSSTKPLRQDDPVSESSSSAEEKAVREKPPKDESNVIDPAFLVEELTGLHFSDQFEHGSSVPSGIGSSQMVGAAPHPPPPPAPPPKPSSGNNGLRRMGSGSSNSVRIGSSRRPVAWPPVTARTSPSGSRPSSPRSLADGEGYNSADEQAPCYASSYDDSERERMFEHELRRVKGLEIRKMAEDGNCLFRAVADQVYGDAESYDMARQMCVDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQAFAEMYNRPIHIYSYSTEPINIFQGSYNTDVPPIRLSYHHGNHYNSVVDPRRQTVGAGLGFSSLRGTNNVDRDQVKAAIKAQQDQQIENALVAEGRFYSDLELTEREIERMVMEASRAEYLAEEKKLNIRESSTSGAEPSSSAAISGSSRSAAAPDRGSEDCFVLPDTVLTQSMQLLLAMGFSYIQVMEAYSIFGEDVDSMICYLVETGGPGASAGGSNRRKGKAAE >Et_7B_053478.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:21790810:21790902:1 gene:Et_7B_053478 transcript:Et_7B_053478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKNFVRRYHEKLLTIELMSKSKEKAMFY >Et_6B_049291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2796577:2797103:-1 gene:Et_6B_049291 transcript:Et_6B_049291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVYGAAELKIVIIINQRKQKPSSTGGACARSRFAFMVTTWTPDDDEWRANDGVGEKRHVRLRRALGAAKVLREPPSRACPMEFPVVSFNDPDGRVNEAAIVEWSSPKDTLNYGAPIQFLEQPP >Et_5A_040448.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:23230756:23231454:1 gene:Et_5A_040448 transcript:Et_5A_040448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RLAHLQGSQGYIDPLDLAVVVHPRLHRLVADQRQAVEVAFAVVVVSSVGGVHREEAVDVDADMVVADVPELVVLHRVELDREDAVGGVAVVVAVEEAEVLRWHGAREVVARGDEERDAIGAHVRVGGEDAEHEGGREGTHRAAASDRLIAEAQRRWVDGVAFETERAVGELKKAALCDGSCAIKGEVPAGEAGVGELVIVTGDADYKVEEHEEEASKILPGHGCCFLFQRLR >Et_8B_058986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1241327:1243963:1 gene:Et_8B_058986 transcript:Et_8B_058986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GFTERGYISLSPPARRRLGISFYPWDSAAASARRRARRLPGSKRKMPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDAEKANKLKQSLPPGHVWLLTSSGHATAFCYEFVWPPPLLAGNEAQASFAWPPQL >Et_3B_029990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29621541:29629343:-1 gene:Et_3B_029990 transcript:Et_3B_029990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELPSPVVPRHRRSLAFVMAVAPLLVLLATSAFPCSPVRSTELVELTLLTGAREKGAVCLDGSPPGYHLQRGFGHGAHNWLVYLQGGGWCNTTESCSERKMTALGSSKFMEAVNFTGILSNQHDENPDFYNWNIVVLRYCDGASFAGDAEGRDQDGTKLFFRGLRIWEAVIDELMGKGLATAKQALLTGCSAGGLSALMHCDNFRTRFSQEVSVKCLSDAGFFVDEKDLSGERSMRSLCSGNVREALPKDCLAKKDPTDCFFPAELTKSISTPNIRNVLAPNGSYPGQSWSSCKADIRNCSSNQIDVLHGFRKKIISKLKVVEEKKEWGLFIDSCFTHCQTPFRISWNSRISPRLDYRRGCWGLAMAPLLMLLAITAFALSPVAVATASPESVELTLLHSALEKGAVCLDGSPPAYHLQRGFGSGSHSWLVYLAGGAWCNSTETCSERKLTALGSSRFMEAFAFDGILSNKHPINPDFYDWNKVLIRYCDGASFAGDAEGEDKDGSKLFFRGLRIWEVVIDKLMRIGLADAKQALLLGCSAGGLATLLHCDNFRARFPHEVAVKCLSDAGFFLDIKDLSGERSMRSLCEGVVQLQNVRKVLPKDCLAKNDPTECFFPAELIKSISTPTFILNSAYDSWQIRNVLAPNGSYQGDSWSSCKYDIRKCSSSQIDVLHGFRRKLVSDLKAAEGKRDWRMFVDSCFTHCQTHVNISWNSPMSPILGGKTIAEAVGDWYFGRCRIPNLIDCKYPCNPTCSSQLLT >Et_1A_004827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10523310:10524151:1 gene:Et_1A_004827 transcript:Et_1A_004827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAKHLILCSSPTSSSSSAPLQRRRRPLPPHAAGSSDNQAAPPSATRRLAVAASAALAATAARSYVRERFFEPGLTPEEAAARIRQTAEGMRTLRPMLETMSWKYVLFYVRLKSKYLDLDLTTAMAGVPEARRPDYVRVANELVDNMTEFDYFVRTPKVYESYLYYEKTLKSLDDVAEFLA >Et_10B_003490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:20045986:20046764:-1 gene:Et_10B_003490 transcript:Et_10B_003490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQVLSVQRKFDQSELLLVHPSEVGYVMKLARDCINDHIARAHAANASKEKRERPALSSWKTLTSPNFMKLEEHVKVKLLHGMLPTCPQDGCTTKLTVEGSKIFLSRQLLQIMVERIREGQIPPTQKIYFREGQIPPTQKIYCPYPRCSVLMSLSELVQPLQQSSSKYTSADAATLRKCVRCRGSFCITCKVSSHDRMTCYQYKRRHPHARPEDVKLQNLAKHRLWRQCVKCNHMIELAEGCYHMTC >Et_4B_037644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22053651:22060513:1 gene:Et_4B_037644 transcript:Et_4B_037644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEGNLDAVLKEAVDLENIPLEEVFENLRCSHGGLTSEQAQQRLHLFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVLTAIGNFCICSIAVGMFVEIIVMYPIRHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFEKGVTQDQVILMAARASRTENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSVGKMYRVSKGAPEQILNLAYNKSEIERRVHTVIDKFAERGLRSLAVAYQEVPDGRKESPGGPWHFVALMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWEFDFPPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLSEIFTTGIVLGGYLAMMTVIFFWAAYKTNFFPRIFHVESLEKTAQDDFQKLAAAIYLQVSTISQALIFVTRSRSWSFMERPGFLLVFAFLVAQLIATLIAVYADWGFTSIKGIGWGWAGIVWLYNLIFYFPLDIIKFLIRYALSGRAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQPPDAKLFPERANELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQQSYTV >Et_7A_053191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9745200:9748817:1 gene:Et_7A_053191 transcript:Et_7A_053191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGWRKAWLSVLGRGGGGGSTGSLQAHLQGHHHLSPSSSSSSLASSYRPRGGKHGGVGGKAVAGCFAAALALAFFYVSFDVTSGPAAAADGSFPSTSSPAAASALLLSWLTTSNSSSTAPAAGESVPPRPPIPPAVIASDAAMASRRVQSSEAGDPSPAEGVSGRVADAQGSPLLDAGNITVAGSDAEPVGNGTREDEPQVETAMPAVPLWRRTDGANSSRTAVVGAPGEPAGDTDVATGGNSTNAAKAPSRQDEITKNIAAIDNAQDATRQAALPPSPPPERKEDRHRRKRAARRRHARRRKDTVLPAATSAAVASRNEMMAGANASAAAAVVAPGDNRVVWTSGVQDLVSFANCDVFNGRWVWDESYGFYPPKSCPHIDDDFNCHKNGRPDSDFLKWRWQPHGCDIPRLDATEFLERLRGQRIIFVGDSLNRNMWESLVCTLRHGVRDKRNVYEASGRNRFKTRGYYSFKFREYNCSVDFIRSTFLVKEVRREGKNGTGDEKLKLDTLDAATPAYQTANIVVFNTGHWWTHAKTSKGLNYYQEGNHVYPSLEVLDAYKKGLSTWARWVDKNIDPRRTQVVFRGYSLEHFRGGLWNSGGKCHRETEPIFNQTHLTEYPDKMIVLEQVLRQMKTPVIYLNISRLTDYRKDGHPSVYRIRYNTVEERIAAVSKQDCSHWCLPGVPDTWNELLYASLLQAGKEYMQDKHWINNEV >Et_4A_033434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22850885:22851239:1 gene:Et_4A_033434 transcript:Et_4A_033434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCLASRSTRDKMGESRTFPKEIIFINDTYKRILAFHLDTSKIEDLGLNRDHMLKPIHTSFVYTPCWMEGFPKNN >Et_3B_027815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20686656:20687465:-1 gene:Et_3B_027815 transcript:Et_3B_027815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELPPVEVADAAMPTEQCSAGDGDRGSGARRRLAKVAGSGAPSTKFRGVRRRPWGKYAAEIRDPWRGVRVWLGTFDTAEEAARVYDNAAIQLRGPNATTNFSAASAATTNSGGGQDQVTAGGYESGAESSPAVLSPTSVLRKVPSLSSLAEDKDDSATGEPATAECSLASLEELGEFVPFEDAPVYASSSSFWDFEPDAGYLYAQPSSPETAAWDGGAGSASSGSTAPWAAPVQENDYFQDLRDLFPLNPLPAIF >Et_9B_065118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21357773:21361706:-1 gene:Et_9B_065118 transcript:Et_9B_065118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAAVGTAVVVCAAVGVAVVLARRRKRREAELLGSAAAVRKRRAAAVIEEVERSLATPTALLRSIADAMVVEMERGLRGDIHACLKMLISYVDNLPTGDEHGLFYALDLGGTNFRVLRVQLGGREKRVVKQQYEEVSIPPHLMVGTSMELFDFIAAALAKFVDTEGEDFHLPEGRQRELGFTFSFPVNQTSISSGTLIKWTKGFSINGTVGEDVVAELSRAMERQGLDMKVTALVNDTVGTLAGGRYMDNDVVAAVILGTGTNAAYVEHANAIPKWTGLLPRSGNMVINTEWGSFKSDKLPLSEYDKAMDFESLNPGEQIYEKMISGMYLGEIVRRILLKLAHDASLFGDVVPPKLEQPFVLRTPDMSAMHHDSSHDLKILGAKLKDIVGVADTSLEVRYITRHICDIVAERGARLAAAGIYSILKKIGRDKVPSDGSKLPRTVVALDGGLYEHYKKFSSCVETTLTDLLGEEASSSVVAKLANDGSGIGAALLAASHSQYSETD >Et_9A_061830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16979137:16981079:-1 gene:Et_9A_061830 transcript:Et_9A_061830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLEDGHGAAGSAAAAAGGAGRGGSEASVGGGEAGDSHDNDLVMPGFRFHPTEEELIEFYLRRKVEGKRFNVDLIADLDLYRFDPWELPAMAVMGGKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRGENNRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPPATDADPLTHKSEISLCRVYKRSGIDDGHGQASSSTSSGRRTSSRTGRNVSSPASTPLSPTQHPSSFHLLLGECSPASAPAIMDQVVTAQNVPQLLPPPRPRAFVSSANSLPMIAPTEGAAILAPTYSLLNMTGAPRPGDELSTLVGHSQAYANYLSATTGSYFLPPPMPQVPPLGAPPMAPPLASSVSDKLNWNWNPVPDTTGKDYNTSSFK >Et_1B_011919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27163732:27165233:-1 gene:Et_1B_011919 transcript:Et_1B_011919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFVVLVAEAAVAAALLFKTPLRKLAVLGIDRLKRGRRAPVAVKTVAGVVLALLASTLYSMAEISSRSVGGDPESGGGGGALTPTDQVLFSRHLLEASLMGYSLFLALVIDRLHQYIRELRGFKKNLEAVSKHNKMLEEAKLGISEETKKYQEEIACLNEEMKKLKLQVNEKTEEVNAAEDKALAIQKQSEGLLIEYDRLLEDNQHLREQLQSLDLRLS >Et_7A_051982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3455872:3460462:1 gene:Et_7A_051982 transcript:Et_7A_051982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLTPRNPYQKKENLGSARRGMGFKVAPRRNVLSAINNGAPSEQSDEGGPPPPPLVEFSGREDVERLLSEKMKGKSKNDFKGRVDQMSEYIKKLRVCIRWFMDLEDGYLAEQEKLRGDMHAENTRHGELEAQLSSAIDDLRATNLKLTRQCESLEESLNKEQADKLLAIESCEKEKKQRESVEAVRDQMIVDLERVTDEAKRFSDQLEMIQETNKTLQLYNTSLQTYNANLQADASKSGETISKLQKEKSGMMEIMTSLKERNDSMNNQLESSRASQQEAIRVKEELRKEVECLRAELKQVREDRDNSVAQLNNLRVELTNYKEQIGKSSEDCENLRIKLSALEKTCSTQQEQILNFQRQLAVATEKLKLADVTAIEAMTGYEEQKERIKYLEERLAHAESQIVEGDQLRKKLHNTILELKGNIRVFCRVRPLLSHGDSNGVEGASISYPTSLESAGRSIDLMTQGQKLSFSYDKVFDHDASQADVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRRGVDQKGIIPRSLEQIFKTSQSLESQGWKYSMQASMLEIYNETIRDLLAPGRSNSFEAANSKQYTIKHDSHGNTTVSDLTVIDVFGTADVTSLLEKASQSRSVGRTQMNEQSSRSHFVFTLKIFGSNEHTGQQVQGILNLIDLAGSERLAKSGSTGDRLKETQAINRSLSALSDVIFAIAKGDDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPEASSQGETLCSLRFASRVNACEIGTARRHTQTRAVDSRLSYG >Et_6A_046540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16784850:16787139:-1 gene:Et_6A_046540 transcript:Et_6A_046540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLSMSCLLLLGVLVQPSAAGTCSVDAIYSFGDSIADTGNLLREGAAGFFANIGSYPYGQTLQKPTGRCSDGLLIVDYFTMALNLPLVSPYLDKGADFSRGVNFAVAGATALDRVALLQSGVMAPPASVPLSSQLEWFKSHLNATCSSPEDCAKKLTNALFLVGEIGGNDYNYAFFQGMRSVDSMKPYVPQVINAIVDVTKELIELGATQIIIPGNFPIGCSPSYLSLFSGFGSTDHDERGCLKSYNSFAQYHNEQLQAAIEGLRKVNTDINIIYADYYGAFMHLLDHASILGFDGGSLLQACCGAGGSYNFNLNLMCGAPGTSACANPARRVSWDGIHLTQQAYRAIALALLMENFAQPADAVQEIWSC >Et_5A_042419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9889884:9895097:-1 gene:Et_5A_042419 transcript:Et_5A_042419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNAGAAARLLGALLLLPLLVAGDDPYRFFTWTVTYGDIYPLGVKQKGILINNQFPGPQIEAVTNNNLIFNVFNNLTEPFLLSWSGLQQRRNSFEDGVAGTTCPIPPGGNFTYILQAKDQIGTYYYFPSLAFHKAAGGFGGIRVLSRPMIPVPFPPPAADYTVLIGDWYKANHTDLKYVLDSGKALGFPDGLLINGRSWNGYTFTVQPGRTYRFRVTNVGLATSLNIRFQGHTMKLVEVEGSHTMQSTYSSLDVHLGQSYSVLVTADQPSFDYAIVVSTRFTSKIISTTAVLHYTNSAGKAPGPLPGGPTTQIDWSLNQARSIRWNLTASGPRPNPQGSYHYGLVPVQRTIRLANSAAIINGRQRYAVNGVSYVNPDTPLKLADYYKIPGVFNVGTISDNPRSGGAYLQTSVMGANYRDYIEIVFQNDENEVQSWHIDGYAFWVVGMDGGKWSPASRQGYNLRDGVSRYTVQVYPNAWTAIYMPLDNVGMWNVRSEHWARQYLGQQFYLRVWTPSTSWRDEFPIPKNALLCGRAAGRRTRPL >Et_1A_005108.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27675768:27676430:-1 gene:Et_1A_005108 transcript:Et_1A_005108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARASRRLAPGDGPRATPAVGPGPGSGRTQFNLSSGAATAVVFVSILLCFILLCTYCRCARQRAVASARRRVMRELVPGAALFLRPAGAALPPAVSYAGARAAGAAKKGLPEDCPVCLEPFADDDGVRVVPACGHLYHTPCIDRWLDDHNSCPVCRCAVVCFYDGARDAAAVAGGGDGVADDQEAVLERVVAMIEAIREEQREEEARRAPANASGDAG >Et_1A_009288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37568060:37573343:1 gene:Et_1A_009288 transcript:Et_1A_009288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGDHHHGGHDAAAGHHAGHEVLPIIGEDGVALDLVGDVCHRIRLAEEPSRASGSGRPAYLYMEMELRRVGLRRLDKQDGEAEIKSGEDRVWLFPCQQQLHSDDDQEGLKINTKLEKSQAVTTSIAESEKIWLWIATAVLVHKRNSVKINKPKRSRDGLPISYA >Et_8B_060485.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:17891331:17891570:1 gene:Et_8B_060485 transcript:Et_8B_060485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAATPPQRKRMKPTSPAARDWAALPRDVLSTVFLKLGPSEIMLGAELVCTAWRRVAIEEPRPVAPHRGAAVPLRRR >Et_7A_051313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16905299:16908851:-1 gene:Et_7A_051313 transcript:Et_7A_051313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDQISTGRKRSIHDRIDGDLPAGSGAGGRVRHNVSKRQRQIDEKWKHDLYREDDESASRSMDPRDLRLKLQRRSSQPSFTGTKSSGVRDLREKLSGTMHPQPSNVDPPKPKPVSEVVKITRRENAVEVPVRQSKKASKQTSSKKTSQPKAESPLDNFLSSLGLEKYSITFQAEEVDMAALRHMSDSDLKALGIPMVGGLLSRPVIRSFELYIIGELLAPHVPHLNNKRNLPSIVFFKGVNTRAYLCCGAIQALPQMPHCLKNYVSSCFLRNLWSSEEDYPCLGIKSIGHWRKSMM >Et_7A_050585.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2707987:2708073:1 gene:Et_7A_050585 transcript:Et_7A_050585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLSRALNLAMSAGNTVQDATPNVIRH >Et_7A_052205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5620263:5622374:-1 gene:Et_7A_052205 transcript:Et_7A_052205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAPRWIRGLLGGGKKAAEPKPAKEKKRWGFGKSFREKAPARPPTPPVQPTATPRRGYAVAVDEGDDEQSKRAIAVAAATAAVAEAAVAAAQAAAAVVRLTSSGRCAPAAAKREEWAAVRIQAAFRGYLLGIDTLVVHSAIVFTASSPLPSFVSGSRARRALKALRGLVKLQALVRGNIVRRQAAETLRCMHALVRVQARARACRAIRSQYVVSHPEPPTPEKYEQVPRDGATRNGRSGSLRGSSSKAPGNERLGRERSESCGRNWLDRWVEERYMDDEKNAKILEVDTGKPGRHVSRRRGGGNHHHSPCSTMTSEQNSRSYATMPESPSKDSTTAQQSVPSPPSVGMGVGEALSPLRLPVDIAELCDSPQFFSATSRPGSSRRGSAFTPTKSECSRSLFGGYSDYPNYMANTESFRAKARSQSAPKQRPQYEKSSSLRKASAHAFAPGPCASSAQRSAASLHAKFTNKAYPGSGRLDRLGMPVKY >Et_3A_026695.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:14840828:14841394:1 gene:Et_3A_026695 transcript:Et_3A_026695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMQCVDQPKLTAPTSSAAASLRIFGYDVAGGGGGGASVVQDGRRFECQYCCREFANSQALGGHQNAHKKERQQLKRARQLAARVAAADGVGFFAPAPPPPGHVIAVGHAGPRWVYLAHQPSSLGGVPFHAAVAPGGHAESRLLLDGGNGCGALSSYEMCAPADDEHEEATAMGLDLHLSLAPARSS >Et_9A_062593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24307790:24308344:1 gene:Et_9A_062593 transcript:Et_9A_062593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRWRPGATRTGVGSTSCWCSATPATARAWYYPYGSSDSAHGNRATGRLSDGLVQLATDEIVDGVRRLQRLGCNTCDNKGDMISMMHNTALAQKLNTPRSNGVLVLDLYAVFNNLLFGQEDNLGRRLYSVCPTPDHTFYWDYVHPTQAAWRAVMNQLQGPIQDFLGI >Et_6A_046584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17717420:17719885:-1 gene:Et_6A_046584 transcript:Et_6A_046584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLADNRQRLIAGAALLVATIAFIKLLLRSGSRGKRLPPTIPAAPVVGGLVRFMRGPIPLIRQEYARLGSVFTVPILSRKITFLIGPEVSAHFFKGNESEMSQQEVYRFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRANKLRSYVDQMVSEAEEYFSKWGESGTVDLKYELEHLIILTASRCLLGREVREKLFDDVSALFHDLDNGMQPVSVIFPYLPIPAHRRRDQARARLAEIFATIIKSRKASGQSEEDMLQCFIDSKYKNGRPTTEGEVTGLLIAALFAGQHTSSITSTWTGAYMLRFKQYFAEAVEEQKEVMKRHGDKIDHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHNDFSVTTKEGKEYDIPKGHIVATSPAFANRLSHIYKNPDSYDPDRFGPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFEFELVSPFPENNWNAMVVGIKGDVMVNFKRRKLVVDN >Et_1A_006766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27297151:27300333:-1 gene:Et_1A_006766 transcript:Et_1A_006766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPRVAGWRRRLAAFAAVWCVLAAVASAAAAAAAGDGGVERRSLQVRQTLGPARHRHAYAAMMYMGTPRDYEFYVAVRVMMRSLARVGADADRVLIASADVPREWVRAMREEDGMRVVIVENLKNPYENNLGGINRRFKLTLNKLYAWTLVDYERVVMIDSDNIFLQNTDELFQCGQFCAVFINPCYFHTGLFVLQPSMDVFKSMLHDLEIGRDNSDGADQGFLVGCYPDLLDKPMFHPLENGTKLNGTYRLPLGYQMDASYYYLKLHWHVPCGPNSVITFPSAPWFKPWYWWSWPVLPLGLSWHKQRWDDLGYAAEMPVLLMEVLMYTVIIAITRLAKPGMTKLCYNRRSEKQSALVQWLIKLTAIVAMVAAYTIPFFVIPRTVHPIMGWSIYLFGALALSILVINVFLLPPLAVLTTWIGVVGMLFVMAFPWYHDGVVRVLAVFGYAFCSAPFLWASMVRMMDSLQTMLERDPFYPRLGEPSQETEFSKLY >Et_6B_048482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:71682:75929:1 gene:Et_6B_048482 transcript:Et_6B_048482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLLSRLLLRRSSPLPSHHLPLLRALSSAPSPISSDAELRKYAGYALLLLGCGAATYYSFPFPADALHKKAVPFKYAPLPEDLHAVSNWSGTHEVHTRVLLQPDSVEALEDALAAAHKERRKLRPLGSGLSPNGLALTRAGMVNLALMDKVLDVNVKKKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVGGFTQVGAHGTGARLPPVDEQVISMKLVTPAKGTIELSREKDPELFYLARCGLGGLGVVAEVTLQCVERHQLVEHTFVSNADEVRKNHKKWLSENKHIKYLWIPYTDAVVVVKCNPPSKWRTPKLTSKYGKDEALQHVRDLYRESLKKYRTEAESNDPEIDALSFTELRDKLLALDPLDKEHVVRINKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSENCFPTGTLGNPSMKDLDFIENLLQLIEKEDIPASAPIEQRWTARSKSPMSPASSSEEDAIFSWVGIIMYLPTSDARQRKEITEEFFNYRSLTQTSLWDDYSAYEHWAKIEVPKDKDELAELQARLRKRFPVDAYNKARMELDPNKVLSNAKLEKLFPVLEPVLQTK >Et_1A_007259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32711136:32728465:-1 gene:Et_1A_007259 transcript:Et_1A_007259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGSKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGDENILVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDAHTNRHIPYRENKNLTGTARYASRNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLSTPIEALCKSHPVEFASYFHYCHSLTFDQRPDYSFLRRLFRDLFDREGFQFDYVYDWTLLKCKQSQKAKAQQGCSQDAGVSSRPVATNADKHQVSVSRPVEASGLLEGEQRPAVRMQFRPSAENSRLNNLHTERLRVGASTDNALLQSTLLGRSDASRKDVTTSRTQGSVDPHIRGNNSPGPSNRGAQVKREMGWSRANEFRQPGCMITQVPW >Et_1A_009208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33454639:33459536:1 gene:Et_1A_009208 transcript:Et_1A_009208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHSTAAVAARSSSSPAKRDSDASAASSPFFSSPSARGRGGGSSGGVDDDDSKDAHRSSPLLAHHHKHLRMPSPLRSLLALEDPRSAAASTSYRILLALLALLLAAGLFAVPSLWSRLTKEPPSLWENPRAATTSWKPCAERRSDEPSGKSALHICNAVAIAKIMSATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPDWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRVKEKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPHIEEMADKLAGRMRNQTGSVNPYMALHLRFEKGMVGLSFCDFAGTREEKAMMAAYRQKEWPRRYKNGSHLWPLALQKRKEGRCPLEPGEIAVILRALGYTSGTQIYVASGQVYGGKNRMAPLKNMFPNLVTKEELASAEELAPFRRHVTSLAALDFLVCLRSDVFVMTHGGNFAKLIIGARRYAGHRLKSVKPDKGLMSKSLGDPDMGWASFAEDVVVSHRTRTGLPEPTFPSYDLWENPLTPCMCRA >Et_1A_009571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8380585:8383741:1 gene:Et_1A_009571 transcript:Et_1A_009571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEPCKRSTSGSPTGIRHRASPAPAPGQHDEVAAFAAALLPPRDDGDPMECDDDDIIVVAEEPRACGDLRMTFESCYLPDHDEDSHFLHADTNVLGVADGVGGYRDTGVEASAFACGLMYNAFEELAAASPGTHVCPRTLLERAYGKTVASRTPAASTAGRTLRWAYVGDSGFAVFRDGRLLRRSQAQQEGILTRSKWSRRRGLGEGGDGDHQTLAAAAPANPIATPRHSRDGSMRDVKDGLGCGMTSSTVRMTAPRQDDEVGELG >Et_1B_012584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33455038:33457531:1 gene:Et_1B_012584 transcript:Et_1B_012584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDTTSSKQTSSSLDNTNRSVQMSPELLKAAKRGDCGKLGDLMSQEGALVIDVKEEDGEPAPMKWTHTDGPDSILHAVASGGDDGQKFLDSATVICDKAKHLLLSTCNAKGDTPFHCAARGGSVKMLSHLIALARRDGGDEDGGGGGGASRLQLALRKQNDRGETALHEAVRWGDAEMVHVLMSADPCLAGFPRKDGASPLYLAILLGHDDIAEQLYGKDNRLSYSGPDGQNALHVAVLRSERMTRKLLAWNKNLTKEGDGSNGRTPLHYAASWGYDYNRPERITDRRLHAQRAKLLLEADESLAYQSDNNGLFPIHAAALGSNLDFVVMVLQVCPDCTQLRDKQGRTFLHIAVSKDGPLFWLMFTHFGIQKRFHVRRFVSVVNAQDNEGNTVLHLAAMVGRPMIMFLLIWTKEVQLNLQNKEGQTALDFSLKKKPSGTFYGWDPHMRIYNLLVAAGARFGVHKDGEKEKAAAVNMKKMTETIKDSTTNIAVASVLIITISFAAAFQLPGGYTNGKPEGTPELAKKYSFEAFLLANNLALFCATMSTISLMYAGVSTVDMDTRIPAFAISIFFLNSSARSLLAAFAFGTYAVLAPVARATAVLTCIGASLLLADIVWFTFMLCLFQFVLINRLGVKACFRTAAAMVDFPLGVMWPYIVIAGFMAYYKTHGIK >Et_9A_060991.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:24195580:24197463:1 gene:Et_9A_060991 transcript:Et_9A_060991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFPRWADLQPDLLCRIGDRLGLKGYASARGACTAWRCALAPTSPALLVVVAGKDRWHPYAASLPLRRSFALTAVVSGSRCVGSSNGWLALSVTQPWKHTVFVLLNPVAAVEIVLPPLIYESEWVSKVVITPNPTKEDFAAAAVCGIDRIAYVTAGARRWAVMGPVRLTRDDQLTDVVYTDNGKVYCLTKCGDVHLLRLPERRRRSRGKPTNSDDEGPSEPEFSVLQRPTATPKVLGNNLRGHGNRPETRIILGTDNAVVPVPPQLHAGMRFPVSVPLEFQGRVPLPPESQGPDMNAPATIEPLLSGINLPFNPAVTFAPPYDTVSAFTSAKNLVISEGSLYQVWRNSSCTVTLQLPGGRQCRLSENEIFVLRYYPQRQPCWDVVKDLGGYSFFVGRNNAVSMYAEGVPGLKGNCVYWIGGRGRDQGMIYDMATRRSTPCQAPQIGLAPGHLHSTVCWYFLSDLVSNNSCSGGRRV >Et_7B_054866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5046590:5057032:1 gene:Et_7B_054866 transcript:Et_7B_054866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELNHDFDVPLAKLTAVKFDLMTSADMEKMSSVSIVEQSDVTSPKLGLPNSSPQCDTCGSQNTRDCDGHFGVTKLAATVYNPYFINEVVQFLNQICPGCLNPKQNVNMKRLERVPVQSSCKYCSNDGARTYPSVIFKTLSIPRVLLSKSTLHRSPSVLDRILIVAEAADRVSNRSKNKGSLEVLPQDYWDFVPSENQTQSNITKITLSPYHVFHILKKVDPVLIEQFVSRRELLFLSSLPVTPNRHRVVEMGYGLSDGPQLSFDDRTKAYKRMVDVNKKIDDYRQLPQFSVLASSLLYSKKTEDETSTDTYGMKWLKDAVLSKRSDNAFRSTMVGDPKIRLHEIGIPEDLASNLLVSEHINCYNLESINLKCNFHLLSKEELFIRRDGKLMFIRKANQLKIGDTALRPLQDGDLILINRPPSVHQHSLIALCAKLLPIQSIVSINPLCCVPFMGDFDGDCLHGYIPQSIQSRIELGELASLHKQLLNMQDGRSLVSLTHDSLAAAHLLIGHDVFLKKSEIQQLQMLCLSLSPIPVPAIMQSMSSQGPLWTGKQFFSMLLPSGMNFSCDDKLQIIDSELLTCLMGSKWLQNSTSGLFSIMFEQYGSRALDFLSSAQDVLCEFLTIRGLSVSLSDLYMFYDHCSRRKLAKGVKLALDEAEEALRIRHILLNPANLPILKCYEDIKEVPSSYREFHSFQSNQHIIRYSIMAFKDVLNDLLKMVQQHVSNDNSMMAMINSGSKGSMLKYAQQTACVGLQLPASKFPFRIPSQLTCICWNKEKLSNFEIIEGTNENLGGQNLYAVIRSSFIEGLNPLECLLHSISGRANIFSENATVPGTLTRKLMYHLRDLHVAYDGTVRSSYGQHIMQFSYDSADGMYCDRGPVGELGAPVGSWAACSISEAAYGALEQPVNGLEDSPLMNLQEVFKCHKATNSRHHVGLLFLSKKLNQFRYGLEYASLKVKNHLERVNFCNLVETIMIMYDGREKARKGSPWTTHFHLSQEIMKKKGLGLRSVVKDLTRQLNTCCVTVVLQAESDSISQLDDLKKRVIPIILDTLLKGFLEFKDVEIQCQNDRDLVVKIAMSEHCKTGKFWATLQNACIPIMDLIDWERSQPQSTYDIFCSYGIDAAWNYFVQSLRSITADVGRNIRREHLLIVADSLSVSGQFHGLSSQGLKQQRKRLSMITPFSEACFSSPAQSFIKSAKQCSVDNLCGSLDAIAWGKEPFNGTSGPFEIMHSVKSHEPKQNESIYDFLCHPEIRNFEKNRMDTCKQSTKNTSRWRLASKSKGSVVVDGGTISIDQKFLHAKVGIWDNIIDMRTSLQNMLQEYPLNEFVAEPGKSRLMDALKFHPRADEKIGVGIREFKIGLNPSHRSIRCFILQRNDGTTEDFSYNKCVLGAANSISPQLGSYLKNKVYHKA >Et_5B_044078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19663384:19669681:-1 gene:Et_5B_044078 transcript:Et_5B_044078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVIQLPPDFTVNCAVLTTVEDGALGFASLEDSRLDLWSMETGSKGDVGWAKIRVIQLQMLLPVNVATSYGFVGFAHGIGVFLVGTNEGVFSIDLKSQRVRKICDETCDDEYDGIHIQRVVPFMRFYTPGILHNLLIFRDQIATQISSPFPTKPSIAAGRMEPPPELMEELVEEALLRIPPDDPARLLRAALVCKRWCRLISAPRFRRRYREFYRTPPILGALLNQSDGESFYTRFVPTTSFGQPCADLRGWRALDARHGRVLVHTLPFGLAPWDNSLFVWDPITDAQLELPKMHWEPDPYEWAFNGAVLCAAHGACDHLDCSQGPFLVLFVGTGRTETFARVYSSEDGTWSEPTFTPHIDDLLELAPGVLVENALYFMFYKTARVLKYDLPTGEMNVFDLPPTTTAHPGHFVLTTTEDGRLGFARAKGTKLCLWSRVAGPGEVAGWAQSRVIELEALLQIDALSSSPRVIGFADGANVIYIRMGDALFSIDLKSSQVKKVEKGIGALDVVVPYMSFYVPALEADSTGEEPRATSNSPSCFTFPNITSCTVIFGIVSG >Et_1A_006933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29073804:29076626:-1 gene:Et_1A_006933 transcript:Et_1A_006933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFYYLVFGALAGVVAALELGKSVKDRVATSPAFNSFKNNYILVYSLMMSGDWLQGPYVYYLYKQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCISYILSCITKHSPEYKILMIGRVLGGIATSLLFSAFESWLVAEHNKRGFDPQWLSITFSKAIFLGNGLVAIVAGLFANFLADNMGFGPVAPFDAAVCFLAIGMAIILSSWGENYGDSSDNKDLMTQFKTAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNEEDIPHGFIFATFMLSSMLGSSIASRLLARKLKVEGYMQIVFSISAFTLFLPVITNFLVPTSSEKGGSISVGGCLQLLGFCTFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCSIFLFMAAILQRRLMVVSDLHRSTKATEMTAEDEPLNP >Et_9B_064579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16678493:16686773:-1 gene:Et_9B_064579 transcript:Et_9B_064579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSAPTDRCRKRPDSDPEGSGEREPPADKRPCTAEPSTSSAAAAAADGDGDGDGDGDGDGGSSCESDGDGSSRACGSRRGKFQQMVEAVAADGAGEDALVAALTELCEALSFCAEDAGGYFPTEAAARALVRLAGAGDGVGASPDVMLLSLRAITYLCDSMPRASDAVVRDGLLPVLCSRLLSIEYLDVAEQCLQAFEKISRRQPTQCLQAGMITAVLAYIDFFSSSIQRVAVSAVANACVKVPADCSKFVMDSLPMLCNLLQYEDKMVVEKVAACLVSIVDSFGNSVDLLDQICYQGVIEKVLPLISTGGHTSLSPSTSSNLIGLLAKLACTSLVAVKCLFEQNVGSTIKGILLTSDLSHGMSYLPLEKQNNQVNEALKLANQLMPSAARDIENTQIILAKEKIITDEPRFLCQFSRDILPVLIKAVNSGANSSICYGCASIVNNICYFCKPEMLQELLKETNISSFLAGLLSRKDHHVLTLSLKIIEILMQKLPDAYLGSFIKEGVVYAVEALLTQENCSKSVHPSDDVHPSEIQPVIRNKSTCFCYAFDSRRSDATETRSCRIGKDGLVTFARHVKTTYFTKEVVSSEVGLTELLQKLKTCCAVLNETADKSSDQGNLQNEENLSTILSEVMMELHGGETMTTFEFLESGLVKSLSNYLSNGKYLQVDENKNCSDDHFLAVVKRFQSFARMSFSRMDQTRGDMLLTLLVRKLQSALTSLDNFPVIMSHNFKPRSYISDVPARHSTIIPCIRVRFKKDESETNLSSYDNAVNVEVSSSLQTIENFLWSKVSIDINGQNAESSPSRTALESKHDDLQERDSTPESSSSEGVTIHENQNSSIEPFSEQGTSSSGRAERNTSISSDHVLQPKLIFSLKGKELDHSVTLYQSILQDQINAGSDIILDTQFWRSVHDITFRTAVNPEANDSPKHSSTAITSTNDSTTDFTWQMLPFFSSLLLGKLPCKLDRSSPSYDILFMLNILEGLNRYFFHLVSDERKNSFAHGRITNLDDLKTEVSSIPQQEFVSAKLTDKLEQQMQDPLVFKSCCLPLWCTELMSACPFLFSFEARRKYFQLTAFGSLTTHYVHMIDPSVNSIPERGSPHSRKKFIVDRDDILVSAAKMMKSHARSNALLEVEYKEEVGTGLGPTMEFYTLISHEFQKSGLGMWRGELSSEAGADEAHVSRFVVAPNGLFPRPWSSSSDCASFQEVNKRFYLLGQVVAKAIKDNRILDVPFSKAFYKLILGQELNIYDIQSFDPELATTLMEFQALACRRIYAASNMRKDCQIISDLSYRGCRVEDLAIDFVLPGYPEYVLSSGSSSDSLCLENLEEYVHCVVEASVKSGISRQMEAFKSGFNEVFPLNNLQVFSEDELERLLCGEQDTWDFAKLVDHIKFDHGYTCSSPPVINLLEIIQEFGCDQRRAFLQFITGSPRLPPGGLAALNPKFTVVRKVYFHFKALSGVVQSFSTSIANTLQCNKQHNSNEADHDLPSVMTCANYLKLPPYSSKERMREKLLYAISEGQGSFHLS >Et_2B_020029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16236603:16240944:1 gene:Et_2B_020029 transcript:Et_2B_020029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIVTYNVNGLRPRVAQHGSLRRLLDALDADIICFQETKLSRQDLSGDVIMAEGYEAFVSCNRSSKGRGSYSGVATFCRVSSAFSSQEVALPVAAEEGFTGLQDYTKNSEIIGDFVLAMPVEDEGLGEITREDLLRVDNEGRCIITDHGHFVLFNIYGPAVVEDDEERVRFKLLFYKILQKRWEHLLSLGKRVFVVGDLNIAPASIDRCDAPSGFEKQMFREWLRSMLREHGGPFFDAFRSKHPDRTGAYTCFNQKVGAEEYNYGSRIDHILISGACLHHCNSMSMEDHSIFCCHVEECEIMNHFKRGNSENLSKWNGGRSIKLEGSDHIPVYILLKEIPELPVHNIPPSAARYLPEVRGRQQSIVSFLKKGKNHELQDATYLNLSEDRTDDIRCSDYLGNKTIVKEEPAAGIPEFSKGGNFPSLMCMGTNLDQWITDGSGGISRDSKKASFSSTKSVSNKKMKHTLSSQRTIKSFFQQPGSKEGNAGASTLFTPEETVHCTDESCGPNGDNLPENMQCSTSASEDQDNTDTSCTLSKDKSNAAMLEWQRIQQKMKMTLPRCKGHREPCIPRSVKKGSNMGRLFYVCARAQGPSSNPEANCGHFQWAPVKSKQK >Et_4A_035375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22083894:22087657:-1 gene:Et_4A_035375 transcript:Et_4A_035375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGSSPVRAPPPSTYFWIFLFLSKRVRDTESSLEKVKRQLSTGAGRYLLQGPLLKRSETLRKWNERWVILDPTSGKMEYKLRRNETAIKGIILFDASSTITATQLVLRAHKEAVNSLAGNGSPATLGTVATAVANANATAMEATKEIEAALKVSMRAALGLGTNNSTEGQLDDLTIMKETLRVKDEELQHLAKDIRSRDATIKEIADKLTETAEAAEAAASAAHTMDEQRRLLCVEIERLKQALERQMEQSMLKLRQSEEKVISLGKEKDLLMKERDAALQEAHMWRIELGKAREQAVIQEATIARAEEKARAFEADAAARIKESTDKLHAVEKEKEELLALVGVLQSQVQREQSSTKQVCEERSESCSGTDNSPPLTKHVDASDDDVDKACVSDSRSVLVSSDSTEVQLAVDGVDIRLIGDADWGSFQQSEALIADVREVSPEAGDVREVSPEAGDVREVSPEGADVREVSAEAEGSSLDIPVVNPPPVSDHLQGGATHP >Et_4A_035887.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7962256:7963743:-1 gene:Et_4A_035887 transcript:Et_4A_035887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRSWLADLRSRLGAGARADGLGILAFEAAAAMSRLVSLHLALSDAEVRRLRGDALRAEGVARLTSTDQSHLLRLACGELVADLDRAADAAARLGARCGGNGNGEPLLRDFDKVYADAKRGRLAQLDAAVGFSRGAARRFRKMERLVAATARLYAEMDALGELEASERRMEQWMRHSGPIPAQSTSPAPGKRAAEPAAGEKLMRELRAQRHKVRRLTEGGGGSLWSVPAPKAAKLMVKSVLAVLARVSVAFGAYVPGLPPLVTGGRGAWALGHASGPLRHAGAAPDAAVRHSAPILRHNDPALSPLESIKPSPNTVGGSGMELRYANVILSAETLLAALRPPAAAAGDTHTDQEVPVGIDLQRRDALYRMLPVSIREAVNVKLRETWRGGHQPMAAAVVDEAAAAASRDAVERALRWLGPVARDTVRWHDERSMERAQRFSVRPRALMVQTLHFADRKKADDAIVQVLVGLSCVCWYDDERRRMESLDWGTSSK >Et_3A_024495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21343545:21357974:1 gene:Et_3A_024495 transcript:Et_3A_024495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSCADSRCCPSVTLGLNPGEAFTVRNIASMIGPYDKTKYTGTGSAIEYAVCALKVKVIVVIGHSRCGGVKALLSMQDGAPDNFHFVEDWVRIGYPAKKKVQTECAMLPFDDQCSVLERGVVNVSLENLKTYPFVKEGLANGTLKLVGGHYDFDMDPVEHLKSGFDKFKADVYDTKPELFEPLKAHQTPKYMVFACSDSRVCPSLTLGLQPGEAFTVRNIASLVPAYDKTKYACVGSAIEYAVSALKVEVIVVIGHSRCGGIKALLSLQDGAPDTFHFVEDWVRVGGPAKTKVQAEHASAPFADQCSFLEKEAVNMSLENLKSYPFVKEALEKGTIKLVGAHYDFMGESCCCFPARKPPRENPMHPAKEPLIRHGPSSTANRHPPPMITYSEGLSAVDRLKAGFRTFKRMIYDQNPKLFGPLKAGQSPKYMVFACSDSRVCPSVTLNLKPGEAFTVRNIASLVPAYNQKIYSIGSAIEFAVTILKVEYIVVIGHSCCGGIRELLSLKDDKPRSYHFIDDWVKIALATKKKVERENSLLSFDDQCTVLEKEVVDLSLRNLKTYPFVMDRLASGSLKLIGARYDFVHGRFETWHP >Et_7B_054586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2652797:2653451:1 gene:Et_7B_054586 transcript:Et_7B_054586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDKEEDKFQESSAQPRKEEAEEVDTSEESTEEEEEEEEEEEEELTVQLKVVESGAEEVAASSSPFDIFAHPCSLLRYLLRFCAGCWGLHGAFGDDPKSQPAAAVAPPEAAVEPANSSEKGDGEEKANYFYMQGEVVTRVWAVRRGRPPGAPGHPREGSGGYGGHHN >Et_7A_053006.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:4507139:4509481:1 gene:Et_7A_053006 transcript:Et_7A_053006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRVGLARGTRLRGAGAASLPIALRRRGLSSEPPPPPEWTETVDYLDESGALLSSAPGARPAIPGADSTILSGASAHPVPRPAAAARLASLALRHRSGAPLSAALSALPHPPDPPLLLLAASSLPSSDPTPLISLIAWARLQPWFVPSDDLSSLLAARLPPATHSSELLALFDETLALPDTAAFPKTFNTILSALATHGLLEPAFYCFKRLRDVGFRGLETPAYNALLSLLLTRGLAFKAFEVLDEMAASGCPLDLGTYEIAVPALARAGRIDTARKMFDEMKQREGVDRAPAGVYSVMVDVLAKAGRLDAAMGMYREMVAVGHRVSMAVSTAMVEGLVRSGKLDAGMELWEEMRRRGLRPSFGLYTMVVEANARSGRLDVAAKLFGDMEKAGFFPTPATYACLVEMHASAGQVDAAMRLYHSMANAGTKPGLSTFSALLTMLANKSLLDLAAKVLLEMKASGFPIEVTASDLLMIYIKDGSTDLALRWLRFMGSAGIRTNNFIIRQLFESCMKTGLYESARPLLETYVAGAAKVDLILYTSILAHLVRCQDEGNEWAIMDILSASKHRAHDFMCGLFTGPEQRKKPVLSFVREFFQSIDYDNEESAARYYVNVLLNYLVLMGQMNRARCVWKVAYENKLFAKAIVFDQHIAWSLDVRNLSVGAALVATVHTLHRFRKRMLYYGVVPRRIKLVTGTSLKIVVAQVLSSLESPFEVSKVVLRAPGDSVLEWFKKPIVQQFLLNEIPSKADVLMHRLNVMFPSSAPEVRSLSLPRSLGMTR >Et_2B_021446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29773557:29774718:-1 gene:Et_2B_021446 transcript:Et_2B_021446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIGPKKKLVEEITRAYTRQIYIDDFFNGNPHPDHLSPWSYHSILCLMCLCTVCTSCMHLHFCRQPCKQGTSHKPILLDFRLTTHIKIYEAGISKDVFIMCRVNYNKKLKENIKALNDQRERNLKDLQENTKWNKKEERFNLVSRDVLLATSIN >Et_6A_046494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16065132:16067657:1 gene:Et_6A_046494 transcript:Et_6A_046494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLWTILTHLHSLAGPTVMLLYPLYASVQAMESPSKLDDEQWLAYWILYSFITLMEMVLESLIYWIPIWYELKLLFIAWLVLPNFRGAAFIYDKFVREQLRKHGLSSGGSKKNDNKSSSPSSKDKDKPKSKFLAFVTPKKTHGHSGLPLVMECSSKLDGEQWLAYWILYSFIALMEMVLHSLICWIPIWYDLKLLFMAWLVLPNFRGAAFIYDKFVRGQVMKHNGVVAANTINNDMSKLPSPSLRQKKTKRKLLSFVVLKKLSF >Et_6A_048200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9806737:9809990:1 gene:Et_6A_048200 transcript:Et_6A_048200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSGLVDWRGRPVNKKKHGGVRASIFIHALVLLSNAANIANILNLVSYLRESMHMDVARASTIASNFFAALQMFSIPAAFLADSYMKRFYTVLIFGPIEILGYILLAVQAHVPSLHPPPCNSNLKTSTCETVHGSNLSLLLLGLYLIPIGDGAARACLPALGGEQFDISDPVEQRQEASFFNWYTFAVSSGGFVGLVFVVWVENSKGWDLGFVVCALCVLLGMLIWIAGFPFYRNQLPTGSPITRILQVLVAAFKKRKVQLPEDPSELKQIKQDDADALEVLQRSEGFKCLDKAAVDTGNASPWSLCSVTQVEEAKIVLRMVPIFLSAVLGYIPVPLILNFTVQQGNTMDTKLGTIHISPATLFIIPTVFQMVILILYDRFIVPFLRRITGYVGGVTHLQRIGIGFLSATLATGVAALVETKRKKVAEENGLMDASTGIPMSVFWLTVQFFLLGVVDVTSFVGLLEFFYSEASMGMKSIGSSIFYCILGVSAWLGSLLIQLANQITRRDDGTGGWLDGTNLNKGKLDRFYWLLAILELVSLLIYMFFARLYVYRNNQRVVVEGEQNTALNGSEEVI >Et_5B_044452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2557605:2559505:-1 gene:Et_5B_044452 transcript:Et_5B_044452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVERCGEMVVSMETSPHAKPVPAPFLTKTYQLVDDPCTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFRKGAKHLLADIHRRKSSQPPPTPLPLHQPFHHHHHLHHHHVNPFSPPPPPPTHPAVYHFHEEPAAAAHNGGGNVDGNGGGADFLAALSEDNRQLRRRNSLLLSELAHMKKLYNDIIYFLQNHVAPVSSPSSAAAAHAQLPASAGAASSCRLMELDPDSPSPPPRPEAVDGTVKLFGVALQRKKKRVHLEDGSDDDDHDQGSEV >Et_3A_026549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9570867:9576319:-1 gene:Et_3A_026549 transcript:Et_3A_026549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESWRDAEANASPSAAAAKSRGDGKKPLAKGEAAAGGGATTRVPFHRLFAFADCTDVTLMLLGALGAVANGAAMPFMTVLFGNLIDAFGGALSIHDVVNRVSMVSLEFIYLAIFSAVASFVQVTCWMITGERQAARIRNLYLKTILRQEIAFFDKYTNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVVTFFGGFIVAFAQGWLLTLVMMATIPPLVLAGAVMSNVVAKMASLGQAAYAESSVVVEQTIGSIRTVASFTGEQRAVEKYNKSLKSAYKSGVKEGLAAGLGMGTVMVLLFCGYSLGIWYGAKLILEKGYTGAKVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINRTPEIDAYSTTGRKVDDIRGDIEFRDVYFSYPTRPDEQIFKGFSLTIPSGTTVALVGQSGSGKSTVISLIERFYDPHRGDVLIDGVNLKEFQLRWIRSKIGLVSQEPVLFAASIKENIAYGKDNATDQEIRAAAELANAAKFIDKMPQGFDTSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRVMTNRTTVIVAHRLSTVRNADTIAVIHQGSLIEKGPHNELLKDPEGAYSQLIRLQESNRQDKSDRKGDSAARSGKQILINKSASRRSSRDNSSHHSFSVPFGMPLGVDIQDGSSNKLCDEMPQEVPLSRLAALNKPEIPVLILGSIASVISGVIFPIFSILLSNVIKAFYEPPHLLRRDSQFWSSMFLVFGAVYFMSLPISSYLFSVAGCRLIRRIRGLVGDALQLVVQNSTTLVAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKMMYEEASQVANDAVSSIRTVASFSAEEKVMDLYKKKCEGPLRTGIRTGIISGIGFGVSFFLLFGVYAASFYAGARLVEDKKTTFPKVFRVFLALAMAAIGVSQSSTLTSDSSKAKSAASSIFAIVDRKSRIDPSEDAGVTADTLQGNIEFQHVSFRYPTRPDVQIFRDFCLTIQAGKTVALVGESGSGKSTAISLLQRFYDPDVGHILLDGVDIQKFQLRWLRQQMGLVSQEPALFNDTIRANIAYGKDGQATESEIIAAAEMANAHKFISSSLQGYDTMVGERGAQLSGGQKQRVAIARAIVKDPRILLLDEATSALDAESERVVQDALDRVMVNRTTVIVAHRLSTIQNADLIAVVRNGVIIEKGKHDTLINIKDGAYASLVALHSAASS >Et_7B_054326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:274314:276877:-1 gene:Et_7B_054326 transcript:Et_7B_054326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCSMVRDLRETFGNISKRNFDMRIHHRGKSLGSSSDMQDGPVVIQHGRWASLPPELLRDVMKRLEEDEKCWPSRKDVVACASVCKTWRDICKDIVRTPEFCAKLTFPVSLKQPGPRDGMIQCFIKRDRSKRTYRLYLCLTSAVLDDNGKFLLAAKRSRRTSHTNYTISMDSKNISRSSSGYIGKLRSNFLGTKFIIYDTQPPYNAGKLCSQERASRVSSRKVSPKLPTSMYPIAQVNYELNVLGTRGPRRMQCTMHSIPASSVEPDGMVPGQPKELLPRLFEESFRSTGTSFSKHSIADSSMDLSSSRFSEFNGGTLDYGDDSDKESPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIASAPQPASAGSSVPSQPAPPAQMQSQSTQASSSSSSSSHDTVILQFGKVAKDMFTMDYRYPLSAFQAFAICLTSFDTKIACE >Et_2A_016746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27873152:27877801:1 gene:Et_2A_016746 transcript:Et_2A_016746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPATVRDRRRRSRAPVGSAAAAAVDDGDEQHLNPFLDAAPSASSRVQFRNVASLARWVEEAGAAEVVDSKGKLWLTTGVTRGGKLYYNVEEIGFLAERGALVLFDDKDETIGMEYIYEKIAGGNYGCSWDAFQAYKHFKSLGYIVGRYGVPWTMKHSGTCDPVTDPMNIIGTDPSFSATEGTSNDITNCLKKMHIDGISPSFQVYLPNSKFKKSSPGVPSFLICLLRDKPPSRIELESAEDKFEAIPLKYCHVDYGRVSFLSFDQVTLPSLPANATADSLDRDEDRVGRLAAGEDGEHSVDDIREAGAEQQLEVPPHLRSQTHEDSRQRESLARSPGWGSRRRRRAGLTLRRYWK >Et_7A_050256.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15801611:15802396:-1 gene:Et_7A_050256 transcript:Et_7A_050256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAADAAARLSFSSSSSATSFSIFSSLSRFLSHCTLPSSESLISPTLSSSSLSASCSNSAASDLETGLSTPLLEELERALVPTRPFFRAWILDPHTAQTTPPTRNACIAESALQTSPSTASQRLPRRQTLAARKRSEIATSRWWAPTEPARASAAHRAAEAHSPPPTSNSEAWNTATTPRAVPTRKYTVPAPGTHPGDAPASGFKNPAPETLLLLLLPPIVTELGRNFLQIQVRFPGKTQALGACVAILIDFAWSWKKS >Et_10B_002558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15836220:15836631:1 gene:Et_10B_002558 transcript:Et_10B_002558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGYWPQDTPSSTTTDGGRRRGLNRPRYWVFRAASWAGVASSMVMTASLLVRMPVESRHVRYSFLGAYTSLLLLFAASQTKTRLSLDVIARLAVLTVLGFIICNREQNRARVMRALCCSGAN >Et_10B_002712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:68503:77050:1 gene:Et_10B_002712 transcript:Et_10B_002712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGDSSPSPSSPPKHSRSPDDVQPDASPKRRKRHHHHHHHNRRSHRHRSPEPVAANRMEEDEVEEGEILDAAAAAAMDVDADSQASLAPEAFGNGDGTDSNSDATKLHAPVLLAHSSSNDERKSVPSTLESESGGIISSDAEDIKGYEQSQIISKSLPLRTEKGRKHRDGHHTSSSKDSHSKDHCRISPYPRHHSEAHAKDQSRSRGKGAEANGSRASPRDDSDPDISDRNEKSGRHSIRSRESERERSSSRVIRNADRHGSTERYRDDRRHSTNVSDRDKVDSREATHSRYRERSSSRSRSERRESAHYRDEGRDRERRSGSSRHKDHEWRDLSKDRHRESDRIDREKVREDRDREWQSVKGSENRRAGEARDKVSNTDRHRDSTRSKYSTSDGYKERPRSRDKARDVDDKSRRPEEMKDRRKMKRNTKRKSNNKERTMGRDETALLKESNDDSYMANDEAENKRDSSEIFSGEVEFTVGKSPASNNIIDSSGALGNERGVSGLGEGTPKSERSADMFCDDIFGESPAAIRKPGKDDGMHIERNVLHDNWDDADGYYTHRLGELLDGRYEITAAHGKGVFSTVVRAKDLKAGKDDPEEVAIKIIRNNETMYKAGKQEVSILERLASADREDKRHCVRFISSFMYRNHLCLVFESLNMNLREVLKKFGRNIGLKLTAVRAYSKQLFIALKHLKNCKVLHCDIKPDNMLVNEAKNVLKLCDFGNAMLAGMNEVTPYLVSRFYRAPEIILGLPYDHPLDMWSVGCCMYELYTGKVLFPGPSNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQDLNFLSTEEDPVTKKAVRRLILNIKPKDVGSLITNFPGDDPKMLATFKDLLDKIFVLDPEKRITVSQALSHPFITGKHLNY >Et_7A_052017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3808577:3812028:1 gene:Et_7A_052017 transcript:Et_7A_052017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNRSAAAAAAPAAPSLRTPRRLRRRPVKASASVAAPGGGRRSGPATPLLKWDVGGGGGCEGKGGGAEEAAAAAARETKAREVSVRRLAAGVWRLRPPEAVPGGAGGGERRVRVGVEHIPRHLQVQLLKPNTFSYHQNLKNEVSSPISVLERKSGELHKVQLHSSSAMLPVNAMEKATKWEPEGIKGMESHDAYLIASQLNLLDEQQDTTYVANLQMELQEARDRVTKLENERHAAKKKLDHLFKKLAEEKAAWRNREHEKMRAILEDMKADLDHEKKNRRRLEMINLKLVNELKEAKMSAKQLLQEYETERKARELTEEVCNELAREVEEDKAEIEALKQDSLKLREEVDEERKMLQMAEVWREERVQMKLVDAKLTLDAKYSQLSKLQQDVEAFIASCSCAKGDIMAVEQAENIIQAIKSVRAQDAEFRYEPPAASEDIFSIFEELRPVEEPVIKEIEPCYNNNSAICESEIQEASPMTDIFLEKKAKVYSNKSTQDDSNTEDGSSWETISHEDMQGSSVSPDGSEPSVNKICDGSISWESRNEFEYMENEKLKDDVTNAHLTNMNQPKKKESAISKLWKSSRPRNSEVCKKDAVEMVNGRSSNVRLSVGTYSTVESGIQEIGLSPPSVGQWSSPDSMNIQFNRGFKGCIEYPRTSQKHSLKAKLMEARMESQKVQLRQVLKQKI >Et_6B_049887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16565793:16567337:1 gene:Et_6B_049887 transcript:Et_6B_049887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAGTSTSVVVAEEVTGSHVHTINGYSCIKGLVWNEFYCSSTFTAAGHCWSILYYPNGHNNANESGWVSIYLRLDQTFSTNVKARFKISLIDWAGKPSYSQTSLWTHTFTPGVQKGFPRFAWKISVERLAKDHWSDSVKIRCDITVVKETKKEDAPARLIVVPPPDMQCHLGELLSSGEGADVKLEVDGETFPAHRNILAARSPVFKAQLFECMFKTYVTMNRNIMLGHLVSTRCLMRVNIGA >Et_6B_050048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4714571:4715852:-1 gene:Et_6B_050048 transcript:Et_6B_050048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQCLLTCKIKSKMSPGKIIVLLLLVCAISSPYPATGETNTRCTDEEKGKILQRCQEFIDPGAGFDIPKPESPCCMSVRVVVGFDMTCIVNLLTEEEKKAYDQHKILLLQDECDTALVGENRPLSKVKA >Et_8A_056503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11024056:11028293:-1 gene:Et_8A_056503 transcript:Et_8A_056503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVLAASIVSKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGICKTAFELIFAFDEAISLGNKENVTVQQVKQYCEMESHEEKAHKLMMQAKINETKDVMKKKANELDKMRMERGKLDKGGYSSISGPRVIEKTFSDMSISGTGFGSGSGLGGLSTDMDSFASKPKGRQPAAAAAPGKGLGMKLGKTQKTNQFLESLKAEGEVILEDVQPSSLPSRSSSLPPSDPVTVTIEEKLNVVVKRDGGINNFDVQGTLALQVLNDADGFIQLQIENQDIPGLSFKTHPNINKDLFNSQQVLGAKDPNRPFPSGQNETPLVKWRIQGMDESSLPLSVNCWPSVSGNETYVNIEYEASELFDLHNVVISIPLPALREAPSVRQIDGEWKFDSRNSVLEWSILLIDQSNRSGSMEFVVPPADPSSFFPISVGFSASSTFSDLKVTGIHPLKDSNPPKFSQRARLLTANYQIV >Et_3B_029739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27491495:27493622:-1 gene:Et_3B_029739 transcript:Et_3B_029739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSGSHEGGGGGGVREQDRFLPIANISRIMKKAVPANGKIAKDAKETLQECVSEFISFVTSEASDKCQKEKRKTINGDDLLWAMATLGFEEYVDPLKNYLQKYREGDSKLSTKGGEGSVKKDSISPHGGTSSSTNQLVQHGIYNQGMGYMQPQGVPVPVLEDMVELLYGLQALPPGARGPNLQGF >Et_9B_063938.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:3770401:3770898:1 gene:Et_9B_063938 transcript:Et_9B_063938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPATGSCTRNSSAFSQRRRPWRQLRSSHPHLLDSQSRRSRERRAAATRSGGSRVGTKLASWRKKPRRGGAPWWARKRRRNSGSVTRRRQCLQTKPARGRKEGRGGRRRRTSARMSSLSSAAGEAVGRRTRDIAGGARRRRRGNPRDADVRWPVDWGPPPVPPLTFI >Et_1A_008306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6153090:6154268:-1 gene:Et_1A_008306 transcript:Et_1A_008306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIKRYYLPYESIWDAPVPSPYPILKCECNMTAVATQSSHPLTAARAYFCCGNEVIRSIVYICMATREGASFRSWYIVRSHTTRSQILCPMMCCMGKNCHEAPLLCQCGVPAREGVVPSELGYGHYCRNTVGENDEWDTWRCDWETFEGKEEFLLKAKKRGPECFKKALATRRSKMRHKYLTMPPSFIYNTICSELKIKRECPFWEGAEVDVVIHHWR >Et_2B_019398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27231004:27232446:1 gene:Et_2B_019398 transcript:Et_2B_019398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGADGVAPSAHALSAAAKACAVLRDRRAGACVHGTIFIRGLYGNVIVESSTLDMYAKCGMMMDARKVFDRMKVRNAVSWCALLGGYCQSGEHEEVLSLFRKMDKEDDDWYSLGTLLRACAGLSAIKLGKEIHCRFMRMRGCRDVVVESALVDLYAKCGAVEYAYRVFEISTIRNTITWNAMICGFAQNGHGEQAISLFNEMVREGARPDYISFIGVLFACSHTGMVEEGRNYFSSMSKDYDIAPGIEHYNCMVDLLSRVELLEEAEDLVNKSPFKNDSSLWAAILGACATHSNPDVAERVAKKMMELEPQYHLSYVLLENVYRTIGRWQDAVEIRKLMESRKVKKEPGISCVDVNRSNLYMPNANKGASHLVVGGA >Et_1A_009349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40031037:40033941:1 gene:Et_1A_009349 transcript:Et_1A_009349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFLGINVILSSTVLIPRLKKVKENRTFGLVTALAAQEASQHSSTTGNTLIIADVLVKLLAKVVLQEPPDLGNPGASTNKNNLVNLAVIKVNIFHGLLDRLHGLVEQALAQFLKLVAGTTTKVKDKNILSFAILLVQTVGNSSSSWPIDDPDNIETSNDTSILGGLPLRIIEVGLSGILHLAKNHGTDLLRAEGLVLSIPEFDLNEGLTILVDNLAFGLLFSKIAFRWRFGVITVLAAQTVSQHHSTIGNSLIRVHILVMHLAKVVLQEPLDLGNPGASTNKNNLVNLALHKASILHDLFDRFHDLAEQPHAQLLKLGTAQ >Et_9A_061255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24424836:24425234:-1 gene:Et_9A_061255 transcript:Et_9A_061255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRNALSTSSEAPFLSKRIMYSIAAASQGSVILPSSPASLPKMAVPRYIRGTSNRQQWPLPATDVDVHQDFSASFAGVSLFLARATILCHFFAIWTSLLALIMAESSKKSKIADGMMKCWC >Et_10A_002160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2594016:2594570:-1 gene:Et_10A_002160 transcript:Et_10A_002160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPITIDWKKVFCDEEPLREEQTPRRRRRRLASPEQQEDVTSPRRDTLGEQAAGEDRVQAPRATARPSVLASSSSKAAAEPPRQASKEASPEEIFQALTDIRDLDRADLLRAYSLFLRDDRRFRALMALPKDMRKEWLLMEIDWEQMHN >Et_3B_030367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32428302:32430680:-1 gene:Et_3B_030367 transcript:Et_3B_030367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLQEVADLKGGIGGSTRKWKDDGPLIATEWWYKCSGSGTRRKMGAGVSSRVSSASVPPTPRSEGEILQSANVRSFSFNELKTSTRNFRPDSVLGEGGFGSPGTGMVIAVKKLNQEGFQGHREWLAEVNYLGQLSHPNLVKLVGYCLEDEQRLLVYEFMPRGSLENHLFRRGSHFQPLSWNLRMKVALGAAKGLAFLHSDKAKVIYRDFKTSNVLLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRALDKNRPSGEHNLVEWARPYLTHKRRIFRILDARLGGQYSLAGAQKAAALALQCLSGDSRNRPTMDQVVAALEQLQDAGSKETSGNGNGRSARPNHPIPRRRLPTAASA >Et_9B_063839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16963854:16966530:1 gene:Et_9B_063839 transcript:Et_9B_063839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDNINCYVVPQTTGTGRNIFQGGSPLQESLPLLGVQLVLIVAITRVLYYLLRPFKQPRVVSEIMGGIILGPSLLSRSPAFKDVVFPPRGEPVLNTVATFGLMYVIFLIGVRMDPMLVVRSGKKGVVIGLSGFLLPLALTYSASSGSAFDEEPEVTRRSTFLFALATSISSTSFAVLSPILSELNLLNSDLGRTAMSASMTTDGVAWLIMVGYILAEAYLVSPLTSLWAFLSVAALAAFILLVVRRIALKVVERTPPGKPVDEAYVFFFLLIVLLVGFYSDVVGTNSFHGALMLGLAIPEGPPLGTALGEKIDAMVSGLILPLYYAMTGLSTDVWEMHCGGLLLVVFLGWFGKLVGVMAPSLYLEIPLLDAVSLSLFMNSKGIVEVITFTFFLTNKLIGKHTFSILVCSSVAITAVSAPVAALLYDPARRYAVYKRRTVQHLKADADLRILACVHDESHVSGTLSLLEASHATPHTPIALYLLQLVEIAGRSAPVFIRHKLLRDAARDSGPSAPPTDSNRIINAFFRHELRHPEGAVSVHPYTTISPYSSMHDEVCRLAVEKRTSLILLHYHKRHMLAGGVRAAVGLRLVNRKVLEVAPCSVAVFVDRNAGSVGLSSFIPGPFHDSSAGGSGRAASGPMFHAAVAALFFGGGDDREALAYAARMARHPGVTVAVVRFLPTRGIKDDPADRRVDNRAIEEAKALASRNRNMKVQEELVGDMEKIAEVLRGLDKAGYDLVIVGMRHRWYPVMSANGLSDWSECPELGVIGDLLASSDFDSPYSVLIMKQQDQGGLNAAVPGAQDVWHGNPVGSGALPQRTMSTAGSGKLMQ >Et_10A_000002.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:10692099:10692418:-1 gene:Et_10A_000002 transcript:Et_10A_000002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEVICYVGWWPGKCFRYISHVLNFCPDSIATAFDFGSHSGHLVPVEGIILVRGTNILQRHLESSFLSQGNTLPTVPNKAEIVQT >Et_8B_058516.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:11440549:11440848:1 gene:Et_8B_058516 transcript:Et_8B_058516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIPNERKKGLNTVICFFGAWVLWNQRNTCVFDGATPCIQNIYANAAGCSVADGRRHADLAAAWPSLVSLVRLRSSMVMVVLWPWSPMSLFGCGTRGH >Et_5B_045479.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:2805667:2806086:1 gene:Et_5B_045479 transcript:Et_5B_045479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLEPSVTPPPPQPDGDRHHQQGVDDKEEAAAAGYRTPSRAKHGGAAPGDATCPPAPRKQRPPPSVAAAAVAQRRGFYAGADLQAFFAAHNVLASEQRTTYAGPVIRSSKSCNACRDGTVVVCVAFCGVLRCHCVLM >Et_6B_048877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14923815:14927727:1 gene:Et_6B_048877 transcript:Et_6B_048877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFAAGEEARRYGGGGGRITAFVALSCVTAATGGAIFGYDIGTAGGVSSMDPFLREFFPDVYRRMKAGGDGRVSNYCKFDSQLLTLFTSSLYITGLLTAVLLASWVTARRGRRPSMIVGGVAYLAGAAVSGGAVNVFMAILGRALLGVGLGFANQAVPLYLSEMAPARYRGAFSNGFQFSLCLGALLATVVNFGAEKIKAGWGWRLSLALAGVPAVLLTVGAVFLPETPNSLVQQGKDHASVKALLQKIRGTEDVDHELDDIVAANAVAQEGDSNGLRLIFSQRRYRPQLAMAILIPSFTQLTGINAIGFYAPVLLRTIGMGESASLFATIVMVIVSSASTFISMFAVDRFGRRTLLLVGGAQMLVSELLIGAIMAAKLGDEGGLSRTYAIVLIVLIGVYATGFGWSWGPLSWLVPSEVFPLEVRSAGQSVTVASGFVFTVLVAQYFLAMLCRMKAWIFFFFAGWIAAMTAFVYFLLPETKGLPIEQIDKVWGQHWFWKRTQLLTMAAGDVDGEHAEDCRYGGRVTVFVVLACVTAALGGAIFGYDLGSSGNTDLLLKQKVNIVLVIRFHNLFGGVSSMESFLEEFFPDVYRRMKGDVRVSNYCKFDSQLLTLFTSSLYISGLLTAMLLSSWFTARHGRRPSMIIGGVAFLAGAAVSWAAVDVYMAILGRALLGVGLGFANQAVLLYLSKMAPARYRGALGNGFQLTLGLGSLAANIINFGVEKITGGWGRRLSLGLAGVPSVLFTLGAVFLPEAPNSEQPRAAGARTLARGTDAVDDELDDIVAANSAAAQGAGNGMRLILSHPRYRPQLAVAVLMPAFTQQNGINAIGFYAPVLLRTVGMGESASLLSTVVTVVIYTASTVLFMFVIDRFGRRTLLIAGSLQMLASELLIGAIMAAKLGDEGGMG >Et_8B_059796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2887419:2889439:-1 gene:Et_8B_059796 transcript:Et_8B_059796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDELELAADKHVRYIVTVEKKKDSFESLVMEHIRLNGAYWGLTTLDLLHKLHAVETAEVVDWIMSCYHPESGGFGGNVGHDPHVLYTLSAVQVLCLFDRLDVLDVDKVADYVAGLQNEDGSFSGDIWGEIDTRFSYIALCTLSLLHRLHIIDVQKAVDFIVSCKNLDGGFGAMPGGESHAGQIFCCVGALAITGSLHHIDRDLLGWWLCERQCRDGGLNGRPEKLADVCYSWWVLSSLIMIDRVHWIDKEKLTKFILNCQDKENGGISDRPDNAVDIYHTYFGVAGLSLMEYPGVKPMDPAYALPLDVVNRIFLRK >Et_3A_024773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24016805:24019254:-1 gene:Et_3A_024773 transcript:Et_3A_024773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHHHHNGRHGGHRNPETVIDMAEKPRLGFSGGLEFTSLTYTVVKKQRGAGGEWEKKDVDLLHEITGYAPKGCVTAVMGPSGAGKSTFLDALAGRIASLDGRVALDGVEMSPSLIKRSSAYVMQDDRLFPMLTVYETLMFAADFRLGSTVSASDKKLRVENLIEQLGLTSSRNTYIGDEGTRGVSGGERRRVSIGVDIIHGPALLFLDEPTSGLDSTSAHSVIEKVHDIACAGSTVVLTIHQPSSRILLLLDHLIILARGQLMYSGGPKEVAAHLGRMGRRVPKGENSIEHLLDVIQEYDKSEFGVNALAEFCLTGLKPPKLNGGADGLSTVSSVPATPISGVGGEDFDHSLRSQHSKSPWSGVQLTPSRRPRHKDGRGASHNNNPPGHGGNHRYAPEIVMGTPTPLSSAYTVTEGDFLTPTKAATGAPAVGVNALGHRGKFANSYPGEVWVLMRRNFTNIWRTPELFLSRLMVLTVMGFLMATMFTKPKDNAQGITNRLSFFIFTVCVFFFSSNDAVPAFIQERFIFIRETSHNAYRASAYVVAGLITYLPFLLLQSATYAAIVWFALKLHGQFLYFLVMLYASLLSTNSFVVFISSVVPNFILGYAAVIAFTALFFLFCGYFLNSHSIPVAWKWMNTVSTMKYPYEGLLMNEFRGGRVFSTEPLLTGDAILQQLAISTKEDRKWWMVLYLLGWAVFYRILFYLVLRFASKNKRK >Et_4A_032888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15016554:15018945:-1 gene:Et_4A_032888 transcript:Et_4A_032888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAFTAAPALGVLLFFGGLLGAATANVGDSCSASSDCGAGQWCFNCEPELSGSHCVRSAATNTFQLINGVRALMLDTYDFRGDVWLCHSSGGKCNDFTAFEPALDTFKEIEAFLSANPSEIVTIILEDYVHAPNGLTNVFNASGLLKYWFPVSKMPQNGQDWPLVRDMVSSNQRLLVFTSIRSKQSTEGIAYQWNFMVENNYGDDGMDAGKCSNRAESAPLDDKTKSLVLMNYFPSVPVKLTACLQHSQSLTDMVNTCYSAAGNRWANFLAVDYYKRSDGGGVFQSMDLLNGRLLCGCQDVKACVQGSGVVCSS >Et_4B_039453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16599111:16599579:-1 gene:Et_4B_039453 transcript:Et_4B_039453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGILWNYSMGSMDGLPTSGDKRGSGDNGSFKRGSRNAKADKKTMADEVKAAASEKFLKAKAAALLGAEKMKSGTSRGIEWVKEQYQKRASK >Et_2B_021930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:728326:732941:-1 gene:Et_2B_021930 transcript:Et_2B_021930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTQPWTSNLGHVPKDMNAGAPVQTTNSSGPSIGVSSLVTDANSSLSGGAQLQPSSSMNADSFMRVPASPMSFSSNNISGSSVIDNSIMQQSLPQEQVQKRRSSSVTSQPVYEAGSALHAQKKSRIDVRPDDILQHQLIQQLLHGQNSSHLQMQQNAQLQALMQQHKLPQIPRQLQHCSQPFSQIQRPQVGIPRQPQFRPPLSQPVMQLGGPVRVPVESGLCSRRLMQYLYHKRHRPENNPITYWKKLVEEYFAPQARERWCVSSYEKRGNPSVAAPHTTLDPWRCDICNAHGGKGYEASYEVLPRLCQIRFDHGVIDEYVYLDMPNEFRLANGQMLLEHTKVIQKSVYEHQHVTHEGHLRIIFTPELKIMSWEFCARRHEEYITRRILAPQVNNLLQVAQKYQALASGNGPAGVSNNDAQTICSMFASASRQLAKNLDHHTLNEHGLSKRYVRCLQISEVVNHMKDLIEFSNKNNIGPKESLNNYCIQTIPKLPVQNMHESRQLMAAAGLPNNQSNVKVMGAKQEISADMNNGTPGVGAIGNNSPQNAAALNGYQNMLRSSSGNQSLLQQEASNVFKGPTAMHIRGPNQGQLAQFQHPASFQQQMSQQNGIQGLGMSSQYQQHVINQLLQEVRNNSNHTFGQQRPPETPNANSGLASGVAINSSAATREQTQGISNNNGGVNGAAPVSTVPSNVINSKAGIGPSRSNSFKSVSSNPAAAAGVNASSSKAEPFHEMDDLSHLISSELAESGLFGEQGGAFSWNM >Et_2A_015687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16955878:16959549:-1 gene:Et_2A_015687 transcript:Et_2A_015687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASKRYNHITDKDNAETARDIMTTSGQIQPLKIPDAVVALAQAAAKANDLPGWPLFSPPKLQLDKCTKCSREFCSSINFRRHTRVHRRTLKLDKDFPKNRDHIAAFWDKLTVDEAKTILSFLDVAIEGVTGSSVLTALTSWMCKPGYASLPVAYARAGSELLDLIQTKASLHLHVSSNELFSVLDEASENTFLCTNTTAYIQKFLFEGEADKVATELKNVVACASYMLEKKLVEAWSADKAAEALRCQKLLVEEEEAAQKRQAELMERKRMKKLRQKEQRSKDPKDEEVSVHLSEIVIVASGSPKIQSLKAVSDPGLYEQEDSQHPQFEAQDDNGFNVGRSVEGTSCDSGHEMGADVITTQQVISRHHIGGTENLAQNSFSSTTALASKHPARHSSYRNPNVCTFSNRNKTWERKVRADTEQQCPKHELDIDDGLGKSSRVLIGSISVAIEDGSEHSQEFRDPKHDSASPSSKITNNSVTEMMGPLRHEESRNNGNITPAVEKHLSSSVMTYDSSYSTRCNADLAGCGRLQCTTFSSEEATAFLSRRWKDAVAGDHVKLVLCPEK >Et_4B_039278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9477828:9486080:1 gene:Et_4B_039278 transcript:Et_4B_039278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KEKAGCDCGQEKRRLLCNLQFCIAQSQPPCAKSSEQRTAKTWFKLRSPSQAGPSHGIRHEHQLQSKALLRSRLPFASPPSPLPPPRAANTPRRREMSSPAAPHSPSWVILGAIPRVSADLPPDADLAVALSAPPRVTLLTIPKRLFPDAVTPRNYPSVRAADADSGLLLLQANQGRAKGPTIIDRPGHYSFCWLEFVAGYFVLDTTTASALALPNPEYVMHPGHIGIIASPVRAGAYMVVELQPIVGGDTTTLLCFSSEVGEWVFKDVHYPLPPRPLAPNGVVSHNGRLWWVDLSWGLITCDPFADKPVLTFVPLPPGKALEYREAWGELDKHRVVGVSSGKLRFVDIDNRGALKVSVWTLADPEATEWTLEHEASFADIWEDHTYKAAGLPNKIPVLALIHPKEPHVVYFFLEEYIVGMDLRSRSVVECEVYELVEPRDRDLVATRFVRAWELPRSLSSGISNRFLYIIVSLASRHANSSASPASRYHLPMAPPSSPPSSPSWVILSTVPRVSDDLQDNADLSLAVAAPPRVTHLTLSPRVSPARPDPSARFKRPYVRAAEPSGLLFAITPPPASPPSSPTGASDNDEEEDDDDEWDGAVTLNITDAPRPGYFVLDVRSATATRVPDPRLVVYNWGNLGVIAAPAGADPGFMLAEFDHTVGCDVATLTCFSSRTGKWVDKDVDNPLPSWIWNFDDVVSHDGKLWWVDATAVLLGCDPFADEPEMLFVPLPEQEDGDDSDDDDHASCYYCSERDIGARRFVNVSDGKFRCVEMTCAEHGQGEVPMVTMRTLADPETSEWTLDYEVRFDEVWAGDTYKAAGLPEKAPVIAVIHPENPDVLYFFVKEYLFGVDMRAKKVVECEVQELTKDGAFLAGVLALVLPPALTAGTAHLSDFPTHPCAVSPAGLRCSITVAEACSPEEHNGVKEDESAAPPSDRKGV >Et_2B_020235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18186542:18190253:-1 gene:Et_2B_020235 transcript:Et_2B_020235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAPEVNGRTRRPAAAHGAKAPAAAKSDNRVTDKDPRKPAMPARGSANAAATGRTVTNRIQSRRERKLALQQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLALLAEVAVLEEEVVRLEEQVVNFRQGLYQEAIITSSNKNAHLAGGEGPVPPHQLPPSSPVPNSEVSPSVRHGSDNHPPARPSLNNGVAGVKQTPRKPVPSTPSQDDRSGAGKENQSCSNSSSRNSRQTPLQQKTPKSRAPAAAVAPDKRRATPATQATSPDNSSVPNKLSEELLRCLLTIFSRMGSSAAGGHGDDEPSPSVSGSSSESSEDAYPQDPYGVLELGARDIGPYKRFHVVDAASFDRNALARDTLLSRRLKALLRRLSSVDLAGLSHQQKLAFWINIYNACMMNAFLEQGIPTTPHTLVAMMPKATINVGGRTHSAMSIEHFILRLPYSVKQVDPDGKKGDDVTARGAFGLEWPEPLVTFALSCGSWSSPAVRVYTAARVEEELEAAKREFVQAAVGVSAPGKLAVPKLLHWYLLDFAKDVDSLMDWVCLQLPTELRHKAMRAVEDGRRAGAEPGRIQVLPYELRFRYLLAS >Et_5B_045056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:914348:915550:-1 gene:Et_5B_045056 transcript:Et_5B_045056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIRGVVALPDDALAAALGRLLSQSLAVARCVCKAWRDIVDARALLIPHLFPRSVHGIFINYLDHGQPHLFSGPSSSSTPTIDGILLCNITGRSLCVCNPATRQWTPLPWRKEASNLDDLDAHCYSTKRCAGAYLAFDPAVSPHYQVFLIPAVPEKPRPPARFGEAEMERRALRSREELANPFCLDWFFASPEGALLAAEEPAAGDEDLSVDEEDKNDPTRLLEWPPSPWTLSVFSSKTGQWEERDFVREGDPAGLVKHVRMCNSELSWWDGPRRRYSVYWKGALYVHCQGAFVARIPLSTMDKYRIITVPAIIKGGKPYYMGRSTEGVCFGIVHNGELRVWILNESHGQMEWVLKCRHDIVKQARYIHSH >Et_1A_008373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:769488:770418:-1 gene:Et_1A_008373 transcript:Et_1A_008373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRNLKIKTSTCKRIVKELRSYEKEVEKEAAKTADMKEKGADPYDLKQQENVLAESRMMVPDCHKRLEAALADLKATLAELKEANEQGAEIGEAESTITEVEAVVKPTED >Et_10B_004205.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18744814:18745080:-1 gene:Et_10B_004205 transcript:Et_10B_004205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALGFVYRENRVADGSIGPLEIRVVRPGTFEELMDYAVSRGTSIGQYKVPRCVTLRRSIIELLDSRVASCHFSPKLRHWAPSQSQA >Et_10A_002237.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5098248:5099324:1 gene:Et_10A_002237 transcript:Et_10A_002237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGSGTGDEFGRAVARAAVAQALEASGFDCAHRSAVDALVDVVLRYVTHLGRSAAFHASLAGRALANECDIIQALEEVGADTDGFSGAVATGRCLVGSGVVRDLMAFVDSRDEVPFARTLPHFPIPRAQQQPAANFAAAGRETGMRHVPEWLPVFPDPHTYVRTEVWVEPPPTKERVDKVEQVRQRRKAEKSLLSLQQRLALAGADGFRPAVSHDTEEKGKEIQAAGSKRNPFLEPALPPGEKDASEVDMPPEKKQLSILEAFAPAIQAATFREIDAGTGLDQSKNQKSIVPKERAPVHLKIGIDKKPLAAALNSGALDLRGDPSFLKEEAKDDRKRRAGMILRASMENPQELPQH >Et_4B_039863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4475234:4478968:1 gene:Et_4B_039863 transcript:Et_4B_039863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTTSGGGGSRRPASSESVLPPEAAPDELSSYEAACLSDPELRTFDRTLQRRTSRAISTLAVGVEVRSMSLDSLREVTGCLLDMNQEVVRVILDCKKDIWKSPDLFDLVEDYFESSLQTLDFCTALDKCLKRARDSQLLLHVALQRFDDEEENAAAAAPSSRYERTLHELRQFKAAGDPFTEEFFAAFQAVYRQQLTMLEKLQQRKHRLDKKVKAIKAWRRVSSIIFATTFAAVLICSVVAAAIAAPPVAAALAAAASVPVGSMGKWIDSLLKGYQDALRGQKEVVSSMQVGTFIAIKDLDGIRVLINRVEVEISSMIDCIEFAERDEEAVKFGIEEIKKKLENFMKSVEDLGEQADRCSRDIRRARTVEFGDSDADGKACSLDKQAKEGMTKLSLPPSTSRQAIEAMACATCFFLRGYGVRELLPRALLVACCLSPSRGQGEWRYEVLREERKREKLELWEMKKEERERKKFELREVKKERREKGEDLKL >Et_6B_048850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14490869:14493097:1 gene:Et_6B_048850 transcript:Et_6B_048850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILQNFPAFCFNGYSTLLAPANEVVIPPELLSSKTVWTPDRELGQYEDLVARVTNFHNEDKGFMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFEDLDVPSHKTKNIVQYISQMDNTKKVLLVDGGDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMSRDAINRIVERMHTPINR >Et_2A_016752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27913869:27918868:1 gene:Et_2A_016752 transcript:Et_2A_016752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLPVYEITVDEGGFFQAFPDCPGSPPKEYYFNMKKGLFHMHPDGLGGPFNGLSDATAAIQRHQDSLKPPPFDFEKEGREKMRRMKKILSELDPAEYIPVIAPPQTELHEHPKDFSLGVKYKFQKLDYQCLYDSYPRVYHHYNFTMNIKMPSEKHWVNKSFFAEDVVSDVAKVAFVVLGTLQMVDMKRRRSMWVLGTLRMITEC >Et_7B_055849.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5815847:5816095:1 gene:Et_7B_055849 transcript:Et_7B_055849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKESSKLTPGATKPPEWLEQSLDILLVGEAEMMQLLPLGEEAATTVHFGSRPRDSDSEHCSDGSSGSPFAAATLKLLAGPR >Et_7B_055182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8082090:8084083:-1 gene:Et_7B_055182 transcript:Et_7B_055182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEKKVPAAMEEEVKKEMVAVDVSLKQLSKKLDDFAKERDWEQYHKPRNLLLAMIGEVGELSELFMWKGEVPKGLPGWGEAEKEHLGEELSDVLLYLIRLSDMCGVDLGDAAIKKIAKNAIKYPAPSKGA >Et_4B_037054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13998468:13998815:1 gene:Et_4B_037054 transcript:Et_4B_037054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLTAEAEACRAGVQLLSGNSVAKVIMETDSKTLAELWRNRNLNNRSDIAMDPDRYTRAILSQASANWAAYLCAQHAMHHRAFYSWSYPPNFLLQCLQYDCNSYD >Et_1B_014202.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:35244234:35245781:-1 gene:Et_1B_014202 transcript:Et_1B_014202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTPGSSVHGMTGREPAFAMSTEAAAKFDLPVDSEHKATTFRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLSKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLIMLAAPTVFCMSLIDDAAGYIVVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVYDVIRKCGATPFTAWRVAYFVPGLMHVVMGVLVLTLGQDLPDGNLRSLQKKGDANKDKFSRVLWYAVTNYRTWIFVLLYGYCMGVELTTDNVIAEYYYDHFDLDLRVAGIIAACFGMANVVARPLGGILSDVGARYWGMRARLWNIWILQTAGGAFCLWLGRASTLPASITAMVLFSFCAQAACGAIFGVTPFVSRRSLGIISGMTGAGGNFGAGLTQLLFFTSSSYSTGRGLEYMGIMIMACTLPVVLVHFPQWGSMLLPPSATADEEGYYASEWSEEEKSKGLHNASLKFAENSRSERGRRNAILATAATPQHV >Et_10A_001568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5156145:5161655:-1 gene:Et_10A_001568 transcript:Et_10A_001568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYMDDKSKLLLKKAGSRRSPPPPAGAGRLSAGFKGRASRGPTVGRSVPGRLASLVKEQRARFYIMRRCVSMLKQQLTGGMELYMDDKTKLLLKKGSRRSGERSPAGLKGRALRSSASRSVPGRLSSLVKEQRARFYIMRRCVSMLMEMYMDDKTRMQFKKGSRRSATAGSQARCPARSVPGRLASLVKEQRARFYIMRRCVTMLVCWKD >Et_1B_009759.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2496706:2497776:1 gene:Et_1B_009759 transcript:Et_1B_009759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRSVVTYNTMISGLMRNGLVAEAFEVFDGMPGPDKVSWTALIDGCVKNGRHDEAIDCFRAMLLDGVEPDYVTLIAVVSACAEVGALGLGMWVHRFVVRQGLERNVRVANSLIDMYARCGQVELAAQVFRSMRKRTVVSWNSMIVGFAANGRCTEAIEHFEAMRREGFKPDAVTLTGVLTACSHAGLTEQGLRYYDLMTTEYGVAARMEHYGCVVDLLGRAGRLDEAMRVVQTMPMRPNEVVLGALLAGCRVHGDVDMAEQLMQHLLDLDPGGDANYVLLSNIYAAVGKWDGAGKVRGLMKARGVKKRPGYSAVEIDGSVHEFVSGDRSHPQAGEISQMLGLLGHEMARYGCEEH >Et_1A_008399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7275657:7278003:-1 gene:Et_1A_008399 transcript:Et_1A_008399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNFDHETNQVEDDYYGEDEDDLDDFIVYSDDDEDRALKKQKRQQQQEEDQEDEEDEVQEESSEEEEEAPAGTQEILSLREQLKEKIRRKNAAMAGTSSVKETRPTPAKDKFGTLIGPSWPVLAPRVLQEGCSTMMKEQQRVPSRKDVSLVSKTQPGTSSNLQKPKIVSEEKRKVDALRENRDYSSLFSDDAEDPPTKEQPDNRKPAPNGQPARSLANGHRLKDAATASQSKAGSPGKAPLADRKRTVATARNGSTPPAMNKTTPLSNGQKLQPALKRKRPQALLPGQRQQHSSQGQKLPQASQSQRLQSNGHQQTRRPASSAQGQRSGQNGSPHDIAKLAQKPLVVSSKLKVSRPVEKRAVKRKSDDEVDYESISKHIRAIFNYNRFKYAGLDEDDSNMEADYASIQKEERRSAKLARQEDQEQLRLIEEEERRERAKKKKKPALTQG >Et_10A_001470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3761169:3767473:-1 gene:Et_10A_001470 transcript:Et_10A_001470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLIEDSTGDGRSSSTEELPSDQQSHSGDSLAEWRSSEQVENGTPSTSPAYSDTDDDDCGPRPSELYGKFTWRIDNFSQINKRELRSNSFDVGGFKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVINRDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKLHDGFVVEDVLTIKAQVQVIREKADRPFRCLDGQYRRELIRVYLSNVEQICRRFIDERRSKLSRLIEDKLRWSSFSAFWLGMDPSVRRHMTREKTDTILKVLVKHFFIEKEVTSTLVIDSLYCGLKALEYQSKNKKGTSKLSEADARSTPMVLIDQDMFVLADDVIVLLERAALDTLPHQPLPTKDDKSSQNRTKDGSSGDEFNKDSIERDDRRLIELGWKTLELFALAHIFSRIEVAYQEAVALKRQEELIREEEAAGLAEIELKAKRSAAEKEKRAKKKQAKQKKNSRKSTKGKNGKSDLISKEILMDSSASDDRILDEFSRQGDEISSNADNPEDVSDISDSRDDNSDALHVDIEDRESSPVNWETDSSETQATAPGSGEMQNDQAGKRTSCVDDSSSTCSSDSVPSVILNGSHTGGAWTNVRSSSNRGNNRRYKDSDARAQGSNSVHNGIVGSSSNGSGSSKDIRHESEDDKVVQQKKQHVTRHVDVTSPSKLRMAESSFSSVGHVKKQPNISQQPKFSLESTRATEVSGAVTATTAAGGIAPTQTVQLASNKGSLSSPTPQVEKSIPGANRTLQLPASSKSEAQKQTSLASSAATTQVITVSRPLSAPQVPGAKQCAAVPTTVPNAPLLSRSMSAVGRLANEPSANAPSYIPRSRTYRSAMMEKSSAGGSGITHQPGSSEQGAHWQSFFTSSILASENFSRKEETTLKPGFTFGTVKPESLNQYQCREESSQQASSSSSNNGCTSAGLNIGSEVEKLSLQGRSRSKQLLSEISTRFTPYQPQGLVGDEFPHLDIINDLLDEEQNERRKVLQHGFVRQYSMPNDASTPDYSMFGDSYLFDQSEQYYEEEPPRFYSPLGSAPRGLRDRSYSHFDLPSYSNSGQYDDMMMGQWPYSHTDVSMPNFGSDSSGYSYQSRDYPNLSNGGGRYTSYRPANGH >Et_1A_005589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11803157:11804490:1 gene:Et_1A_005589 transcript:Et_1A_005589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIPRPRLVDAVWRRPAGERGQAGRPRKACSRGLLFDRRGRGWGHEAAGRGRCRLDAGSLLAGSSSRSPRPGMRREGVVRARPGLLSVTLRRSKVPDVRSRDQSREKGGRAVAASPCARREEADGRAGRRKQRPGGFIESAVASAGHTPLRTNQETRHWPLLGLSPSSATGRNADLPEQRSLLSLYCTVKKSIADSLLCARIHQHPEKGPTVCRDDEERCSFGTWFVADDVTERRSCSRQLRRAGQLLQQGFGCLEHGRGRRRRPDVSCWNGGFRRRSHLHTASMLPIASSGHGGAGRARLEVAAQ >Et_4A_032438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10073579:10087979:1 gene:Et_4A_032438 transcript:Et_4A_032438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLAPSSGSLHRLLASRHYPPTSPTPPPRPLFLPRTISPATAAAMQLPRRGRRDVAAASAAAPAPSSPDTGVAPGVAWGKVSAVLFDMDGVLCNSEEPSRQAAVDVFAEMGVDVTVLDFVPFMGTGEANFLGGVARVKGVKDFNPESAKKRFFEIYINKFAKPNSGIGFPGALELIMECKNAGLKVAVASSADRIKVDANLSAAGLPVSLFDTIVSADAFENLKPAPDIFLAASKNLDVDTNECIVIEDALAGVQAATAAKMRCIAVTTTLEEDALQQGRPSIIRKNIGDISIVDILYGGSNAPHNERAESTQNNNSLGNSSPESLNGATDSGFSNTEKPSSSRNEGLLGSRREILRYGSLGMAVSCLFVAIRNWKAMQFASPKGLLNFFIGGGSSIFAKNEGEPLSSRVQEIKKYLADFESGGSATYVPEFPRKLDWLNTAPLQFGRDLKGKVVLLDFWTYCCINCMHVLPDLEFIEKKYKDKPFTVIGVHSAKFDNEKDLEAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVLIGPNGKVLAQISGEGHKKDLDEVVGAALEFYEEKKLLQNDPLPLALEKSKDSRLLTSPLKFPGKLAIDVQNNRLFISDSNHNRIVVTNLEGQFICQVGSSEEGLLDGSFDAALFNRPQGLAYNSKRNILYVADTENHALREINFVDETVLNSPWDVCYDPSEETVYIAMAGQHQIWRHDVRDGVTKVLSGDGYERNLNGSSATRTSFAQPSGISLAPDLQELFVADSESSSVRAVNLKTGGSRLLAGGDPVFPENLFRIMMGLAQMRYSNIPWIKRLDPVTRKVTTIVGTGHAGYKDGPGLSAQLSEPAGLVEVGEGRLLIADTNNNAIRYITLSEKGAEVKTLDLIGVQPPSPKPKALKRLRRRLSVDTDVINVDGGSSTEGALSLAITVPDGYHFSKEARSKFDVEIEPDNAVEIEPANGYLNSEGMASLTFKRTTSSSSTGRINCKVYYCKEDEVCLYQSVAFDVKFREATEPSPSQITLSYSVAPRDNSGGAQLITATRNAKV >Et_9B_063903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20337423:20338376:-1 gene:Et_9B_063903 transcript:Et_9B_063903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIVGATGTGKTKLSIDAARELAGEVVNADKIQLYAGLDITTNKVPLADRRGVPHHLLGAVPATAASIAARRRVPVVAGGSNSLIHALLASRFDSSAADPFAAADNRRCSSSYRPALRSPCCLLWVHVDDALLAEYLDRRVDDMVDGGMVEELREYFASTTPAQRAAHAGLGKAIGVAELGDHFAGRTSFRAAIEDIKANTRDLAAAQVSKIQRMAEDWGWPVQRLDASATVRARLDGAGPAAESASWERDVRGPGLAAIRSFLADQTATPRDINADDDDHEMGEALLRRPRMQCCDVVG >Et_7B_053266.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:18365319:18365750:-1 gene:Et_7B_053266 transcript:Et_7B_053266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFMLGLAVPYHHWITPGHHVGFIVMYAFTFFFANLGPNSTTFIVPAEIFPARLRSTCHGISSAAGKAGAIVGSFGFLYAAQSPDPTKTDAGYPPGIGVRNSLFMLDGCNVVGFMFTFLVPELDEEATSLDKQQTVSGNQSE >Et_2A_016263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22761454:22763947:1 gene:Et_2A_016263 transcript:Et_2A_016263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLGLVYPWGRDVRRGASVVVTMENPNYSVVEIDGPEAEVLQAGVGVPMDKGRGRSAKQFTWVLLLRAHRAAGCLASLAAVAWALPAAVAKRFRRTAAAAEGPGHGRGLLLYRFIKGFLILSLIALAVELTAYWNGWHLPKPSFTGWAHSAYLSWMSFRADYICRLIEFLSKSCILLFVVQSLDRLVLCVGCFWIKLKKIKPRIEGDPFKEGSGYVHPMVLVQIPMCNEKEVYEQSISAVCQLDWPRDRLLIQVLDDSSDESIQMLIKAEVSKWNQQGVNIIYRHRVLRTGYKAGNLKSAMSCDYVKNYEFVAIFDADFQPSPDFLKKTIPHFEGNPQLGLVQARWSFVNTDENLLTRLQNINLCFHFEVEQQVNGAFLNFFGFNGTAGVWRIQALEDSGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYEAYRKQQHRWHSGPMHLFQLCLPDIITSEISSWKKANLILLFFLLRKLILPFYSFTLFCVILPLTMFVPEAELPVWVICYVPVCMSFLNILPSPRSFPFIVPYLLFENTMSITKFNAMVSGLFKLGSSYEWIVTKKSGRSSELDPFTQSGKETKSIYLGQLQKQLPDGELAQINMSKEQHDKVLHDVKKANKIYKKELVLSMLLLTAAARSLLSAQGIHFYFLLFQGVSFLLVGLDLIGEQIS >Et_4A_032870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1508677:1510746:1 gene:Et_4A_032870 transcript:Et_4A_032870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFWSLLIVAWLPVLQVLLVGLLGALLASSRFNILTPDARRNINKIVYIVFIPSLVFSSLASTVTLNDIISWWFMPVNMGIIFSIGAVLGWLAVKAFRPGEHLQGLIIACNWGTIPLMIVPAICNEEGNPFGDANTCNSLGLSYVSLSMALGNFYIWTHSYSVMKRSAKLHKEKRRYSTPVYPGKEESSGQHADDSYAAFLPLASENRCEDVRSNSISSSLSPGDPRTCVIYCSKRTKDVFVEILKELWSPPSVAALVGFTVGAVDKLKSLVAEEGSPLRVIQDSTKLLGDASIPCTVLVLGGNLTKGLGRKLIKPIMVLSIIVVRFIILPACGICVVTAAANLGFLPRSPLYRYVLLLQSTVPPAMSIGTIAQLFDVGEEECSIIFLWTHLVAALALTFWSTVFMSLVL >Et_5A_040676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1179750:1184000:-1 gene:Et_5A_040676 transcript:Et_5A_040676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WRSQHHIIGGAGHELGLESQMHKKNYGMAVKLDRASKLDLPSRRRFCRRHGKPVILVLLLLLVFGTPQLTINSVISSRPAQGIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLVRRTKSNFNAVILKRLFMSKTNRPPLSMRRLVKFMDGKGDQIAVIVGTVTDDKRILEVPAMKVCALRFTETARARITNAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKINYSYVLLSSNETFWNIIGMKAVCEKAGRARFLSSSDGHGGADVVKGPELHPRVDVLLRLLVGLVHVRLLRRRCDGYGVVDDVVRRRLVEEAHAHAHDGNVVVDEVPPGLCDDHPLCCSYGCGGVVVVGRRVLVGEVDLGLGPADAPRGAVVGARGGLGGGEGAQPHARTFCRVADLRRPFPPRTPADAPVHRLALALPHRLFFFCAATK >Et_3A_025003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26014428:26018539:1 gene:Et_3A_025003 transcript:Et_3A_025003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWRALRARRRVAGSRPASTASAAAVEKTCRAVVVPRFGGPEVLEIRQGVPVPDLKPQEVLVRTRAVSINPLDLRMRSGYGRSLFEPHLPLILGRDISGEVAATGTSVSSFSIGQEVFGALHPTALRGTYADYAVLPLDELTLKPSTLSHAEASAIPFAALTAWRALHGTARISEGQRVLVIGGGGAVGLAAVQLAVAAGCGVSATCGAQSIERVMGAGAEQAIDYTTEDTESAVKGKFDAVLDTIGVPETERIGINVLRRGGHYMTLQGEAASLADRYGLAVGLPAATAALLKKQMQYRYSHGIEYYWTYMRADVEGLHEIQRLSGAGKLQIPVEKTFPITQVREAHQAKEKKLLPGKVVLEFD >Et_7A_051740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2389723:2391148:1 gene:Et_7A_051740 transcript:Et_7A_051740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKTLACYAMALLFSAAAVSAQLFTDFYDETCPDALDIIESAVRAAVHKESRMGASLLRLHFHDCFGCDGSVLLDDAPGITSEKNAQPNKNSLRGFEVVDDIKSQLEDACNQTVSCADILAVAARDSVVALGGPTWDVELGRRDGTTASLDDANNDLPAPTSDLGDLTKAFSKKGLDANDMIALSGAHTIGQARCVNFRGRLYNETTTLDASLATSLKPRCPSQAGTGDDNTSPLDPATSYVFDNFYYKNLMRNKGLLHSDQQLFNGGSADAQTKAYAADMAGFFDDFRDAMVKMGGVGVITGSSGQVRVNCRKAN >Et_6A_047758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14421709:14422313:-1 gene:Et_6A_047758 transcript:Et_6A_047758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQGQYGHGTTGRVDEYGNPIAGHGTTGTGGMGMGTGTGGMGTYGTGTGAGMGGQFQPQREEHKTGGILHRSGSSSSSSSESDGEGGRRKKGIKEKIKEKMPGGHKDNQQQQMGTGGMYGQQQGHTGMAGTGTYGHEGTGEKKGMMDKIKEKLPGSH >Et_5A_040401.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:19701276:19701452:-1 gene:Et_5A_040401 transcript:Et_5A_040401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIWDMIIPMVTNWQVLCPVGKLDSLLQHIAGLKEMRKRPGRILMEVQSMQSTSSTA >Et_5A_042989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8819325:8826507:-1 gene:Et_5A_042989 transcript:Et_5A_042989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPGYYQHKNDVDFLEPSVLLDETHYQEGYKTGYHDGLASGKEEGRQVGLKMGFQVGEELGFYQGCLDVWTSAISIDQDAFSARVRKNIEQLASLVSGYPLSDPENELVQDMMEKIRLKFRVITASLGAKLEYEGRPASSRQDVEDFPGQRKRHRIFNESGTEPNLVLSQNAKYSETEEVPRKTKMLPLPVNPTH >Et_3B_029400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24710498:24712220:1 gene:Et_3B_029400 transcript:Et_3B_029400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLQRTQYKPPPTQTHTTSPDSARELPCFPLACPVSSHPSRVLCSALAFRRDNRSTAMVVLANPPVVDQIPLLRSPSPGDYFSAVPVVDLSSPGAARAIVDACERYGFFKVVNHGVAAGTMDAAESEAVRFFAQAQADKDRAAPAYPPFGYGSKRIGLNGDMGWLEYLLLAVDNNASISDGCAVPSGAAFRNALNEYVAAARKVAVRVLEAMAEGLGIAPADALSAMVAEQGSDQVFRVNHYPPCPALQGLGCSATGFGEHTDPQLISVLRSNGTSGLQIALRDDGGQWVSVPSDRDAFFVNVGDSLQVLTNGRFRSVKHRVVTNSLKSRVSFIYFAGPPLAQRIAPLPQLLGEGEESLYKEFTWGEYKKAAYKTRLGDNRLSQFEKASC >Et_9B_063651.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:16519915:16520340:1 gene:Et_9B_063651 transcript:Et_9B_063651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEEKAEKAPKAEKKPKAEKRLPASKEGGDKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFT >Et_1B_013951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25271519:25272312:-1 gene:Et_1B_013951 transcript:Et_1B_013951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEDLKLLSLDVSPFAIRVQMALIMKGVNYEYVEEDLSNKSELLLSSNPVHKKVPVVIHNGKPICESLVILQCVDELFAGVGVPSILPTDPYDRAIARFWSAYIDDKTCKLQDQSVVLSFLQLYLGWVGILLVLTEEERAEKVKETFGAIQPLEEAFVMSSKGKAFFGGDSMGYLDLVLGSCLFWFEALRRMYNVEIISSSKTPLLAAWTERFVESEEAKQVVPDADKAVHYAKKLQAAAAAAAASK >Et_2A_017340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33373128:33373789:-1 gene:Et_2A_017340 transcript:Et_2A_017340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMQLELRAMPPVPEALTSLGLMLERDLGPSLARRRRISLMASAWNSTGCVRPKPLKRLHTPMCARSASSLSPPFFSASSVTAVAHSPHTHRPQNRPRTPRTYSGVHSSDMPQHSHLALQQLLLVVGLLRRLGALVVVVVVELVGDGALERVGRRPAPLLAVPVVVRDVDVVARDRQERRCHGHGNSRARLSAGSHGLKPHSHSWFSSSATM >Et_5A_040556.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:9423163:9423519:1 gene:Et_5A_040556 transcript:Et_5A_040556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRLRCLRCLCTIRHARTLTPRTASRSFFSRTPILAFTRASAGGRAGLDRAAGIDSSSTLWMRNCALMRRASSASTLSTFAYANGVAVLSIIIFGFFAVAATTTRWWWWWWWRRRGSLV >Et_3A_023958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15111565:15117381:-1 gene:Et_3A_023958 transcript:Et_3A_023958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSRQDCRAAPRRPTPPRPALPCPALCLLRPDTSSAAVLKNMWKSKRVGGDLPRNFCKDSKAFKNEGISGNLLPDKQYVWTGLKDGLKSYMSRSVASITLLNGDKNLFSCSGIAVEHQFFTKFLTTATLVRALNATNKYHRDLKIQVRLDSTKLYDGYIAECDLDNDFAVVRVYNVHDVQVGPFQCALESLPHGVVLAVGRDTSGDIMVKTLKLSGDSLVSNDRRDLARKISKPWEGAPLLSVDGDMVGMILYLTKRRARFLPWGTTLKHYLTFIQKKTGLAQSKKMFCSPGASTGEKSDSHPENLLNQEQLDLDSMGYPKLPSSMLGAGMILVNSFEDPFGDICGQGVWSKFSRRASILNRNVVALASFSGFFIVWNGSTMILTSASLVRDSGGENKIDENLRIGVMLYNQCKEGKLEHCNLHYNIALVSVKYRARRPLNTRFYLESTYRVAAVGRCFKSGTLMATSGRLVPWTGTLDCEFLKRSTCKITKAGIGGPLVDLDGNVIGMNFYDTRIGTPFLLWRSICKILASFETKSWPVPKPSWCHPEDVESDDVDKLAFDHMGRLQYSYILGQKVKLRSLTIPISVRLLKLNPLMPAVDPFTQRKQKMVEKQGKNRLDNLKKAAKVGALPSHIQLVAKSLPITGTEADLLKKTRKEDLENGAGLGSATTIGGKFDEKLSGKKPQKHPGKHRQAITMYKVKKEKQRRKDREMSSESVKLKPQKKPFKKSSKKKA >Et_3B_029617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26494324:26497707:-1 gene:Et_3B_029617 transcript:Et_3B_029617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TNGKQTKIKAEREGTPKHTLMAAEAILGAFMQSLFEKLSDVVLDQFRSYRGIHGKLDNLSGTLSELKNFLDDAEAKQLTDASVRGWLAKLKDIAYDVDDLLDRYAAKSMHLRLHTQASVCSPAAFISRNLYQCKIKQKISSIMERLDKIAKDRATIGLQSLGEINRRETSERPQSSSLVDGSTVFGRDEDREEMPYKDNYVMHNAMHDLARFISMEDCHQLEHGRMLANASKIRHLSVSCTDANCMQFGPLYGYRKLRTLIIMHGYKSKMSQLPDGVFMKLQFLRVLDMHGRGLKELPESIGNLKQLRFLDLTSTQIKKLPASIVKLHNLQILKLTDCNSLREVPQGITKLINMRHLEASTRLLTRVPGIGSLICLQELEEFVVQKRLGHKVTELSRMDQLHGQLSIRGLNNVVDDQDAFDANLKAKENLRTLHLVWDEDCKVSHLEQQEMVLEGLQPHLDLKELMIKGFLGLRFPSWLTSSYLPNLQTIHICNCRSRVLPPLGQLPFLRNLDIAGATEVTQLGPEFIEFGNCKCFPALEELLLEDMPNLREWSFDVAEQLFPKLTELGLIMCPKLKKLPPLPPTLTSLRIHESGLESLPELQNGACPSSLTSLYINDCPNLTSLRVGLLAHKPTALKSLTIAHCEELVSLPEECFRPLLSLRSLHIYECPCLVPWTALEGGLLPTSIEDIRLNSCSQLACVLLNGLRYLPHLKHLKIADCLGISNFPVEGLPHTLQFLEILCCDDLQSLPESLYEVSSLETLHICNCPEIESLPEEGLPRGLKELYIKQCPLIKQRCQEGGPDRDKIAHIRDIEIDGDIIMLEEI >Et_1A_006626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25326962:25332520:-1 gene:Et_1A_006626 transcript:Et_1A_006626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGILKNSGSSRMPPQGGAGGKPPPGPTAAAPTVVFGRRTDSGRFISYSRDDLDSEISSVDFQDYHVHIPMTPDNMPMDDGKAEEQYVSGSLFTGGFNSVTRAHVMDKEGGDGGGGRAGGKGRGACMVQGCDAKAMRDGRGDDVVPCECEFRICVDCFTDAVKAGGAVCPGCKEPYKNTEWEDIAGGAGETTRALSLRRGPSRADSKMERRMSLVKQTAGNQSGEFDHNRWLFETKGTYGYGNAIWPQDGVDDDGDGGAPGGHPKELLTKPWRPLTRKLKIPAAVISPYRLLVLIRLVALAFFLMWRIKHINDDAIWLWGMSIICELWFAFSWVLDQLPKLCPINRATDLSVLKEKFETPTPSNPTGKSDLPGMDIFVSTADPEKEPVLVTANTILSILAAEYPVEKLACYLSDDGGALLTFEAMAEAASFANLWVPFCRKHDIEPRNPDSYFNLKRDPFKNKVKPDFVKDRRRIKREYDEFKVRVNGLPDAIRRRSDAYHAREEIQAMNLQREKMKAGGDEPFEPIKIPKATWMADGTHWPGTWLHPSQDHARGDHAGIIQVMLKPPSDMPMYGNINEKSPLDFSGVDTRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYVYNSKALREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRSKDHSPGFCSCCLPRRRKGLASNANPEETMALRMGDFDGDSMNLATFPKKFGNSSFLIDSIPVAEFQGRPLADHPSVKNGRPPGALTIPREMLDASIVAEAISVISCWYEEKTEWGVRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTQRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASSKMKVLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLTYLLIITITLCLLAMLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKQVGDDVEDEFAELYIVKWTSLMIPPLTIIMINLVAIAVGFSRTIYSTIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVYVWSGLVAITISLLWIAIKPPSAAANSQLGGSKLILVSVWLFQKELKTDSD >Et_2B_020375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19540613:19544112:1 gene:Et_2B_020375 transcript:Et_2B_020375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALKSNVKKAAVVDETLRPPLVPSEKHNAFLGRDVASRYKTGLAAASKTRRCTSPSLVRTSATDGTAAPKRAQSADRRRPSTPSTPSSKVSRPSTPTPRSVTPSRNTLTELSKSSKRIASTRAPDGLWPAMRNLSSSFQSESAAASADKKDKVVSDSSLDCIKGEVSVLTERKKSPFRRKNIGEHCENDQPSEEPPRRATERHRWPAMIGGQVPTNLTSRSIDLSEKASKPPTLSNTSRGLSPRRMPAREGKVKGSNQSLDEVARRFASQASRRDDNVDSGSNINTQITERSKSVSRPARTVTFPVPVLNRPSSPSKVLSTASSTSRSFQSPSRTRPSTPCRSQSAGTIPTGVASPIINYMVDAKKGKKNASQIENIHQLRLLYNRYLQWRFINARAEDILSFQTTAVENTINNVWRNTLNLRDSVNIRRIMVQQLQQELRLYSILNEQIAYLEQWPALERENNVALFGATEAVKASTLRLPVTSGARADVIALKNAVSSAVDIMQGLGSSVCCMFSKAVDRASLASELSVIAGQEKVMLDECRELLAVAAKMQVITFYFQVTVNKYDHRSLIYYVQYS >Et_1B_014101.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30742957:30743490:-1 gene:Et_1B_014101 transcript:Et_1B_014101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGERALHSNVGTPLTWLRTAVLNASLTRRGVLLDAHGGAAGVADAGTVPESGAPAGRGVLRPQGGLHVGGDHQRLQRQPRGAGRAEREGVGFALLWRLTLVALPSVLLLVVPGFLYGRMLVGIARRVREQYTRPGDIAQQAVSSVRTVYSFVAQRSTMAPRRSRSRRGLGSSRG >Et_4B_036172.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:667982:668269:1 gene:Et_4B_036172 transcript:Et_4B_036172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLESGKFLFLRYWDCLIDFLIATYKRALKPQKIQTGIRKQNEKFVFTFSCRVKKKALRESVRPREKQPLLSKSSTKKLHTQLTATFDVCIVS >Et_4B_039153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8280654:8283343:-1 gene:Et_4B_039153 transcript:Et_4B_039153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLAPISPRRGCLLFALLVALCSIPGSSSSRLVTLDSIVIFTTHEWIPISKPTVYFRCNEDNKTDLLDVKEANSLYTFKGEESWQPLTELPEKKCKRCGLYEKDTFKPDDVFDEWELCSSDFKDGKYTRFKEGQFHATFLCPNCTASSGNSRADESSAEMETKKASIAVIIIVSVLVSVLVIIAMFLGYKYWQKRKRERDQARFLKLFEEGDDIEDELGLSNEL >Et_8A_057230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22579995:22583386:1 gene:Et_8A_057230 transcript:Et_8A_057230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATATVAPAAATPAFALASSVACRGFLPLPRRAGRLVPQSLRLSASALKRRGAVVVAADAAAAAGSAEFGDEENPYELLGIRPLDSFDHMKIAYKKKRKDAEETGDEELLAKLDRAYDTVMLQQLQYRKKGVTYGSVQVSKDIKYADNQPIVPWGPRFSRSTVKDMRINMAISAAFVVWIALMGNADWKPLQFLCFSFFYRILQKLRATEPPITPIYNEYGEVEGRGIRMAKRVVRALGLIIGCVFVASLGYTAAVNLIELSWQYTPRIVYYYQELIVTAASSALLYITASYYR >Et_9B_066116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4160267:4162766:1 gene:Et_9B_066116 transcript:Et_9B_066116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGRVEEYGRPVAAGEILAANPNHVLSKPCSQGVAVRRILIVSPDSELERGEIYFLIPAASVPPEKKTKRSSAKSQQQQESSHGDQYLVKKTTTTTAASWDGKSSRPSKSNGGHGSGGDALSRKRTASHRRRTSAGNRAAEWRPHLDFVRVDVPPACLHVDGFDFGAQQGTWISTWKTTHIQY >Et_3B_030945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9194349:9198658:1 gene:Et_3B_030945 transcript:Et_3B_030945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGFLWKGNGNRADAAGMSGGHNNRSVEWDLNDWSWNTNLFLATPSSAVPSERGGRDTIRSQGEIDFGVVDKWRRVSPEDDSAECSNSIVANRENHGVVVQRARSSEEERPRKGARSSSSPSCQVDGCQADLSGARDYHKRHKVCEAHTRSRMVRIKGIEHRFCQQCSRFHLLQEFDEGKKSCRSRLAQHNGRRRKVQPQATVDGNSMIENQSLSSTLFLLLKQLSGLESGSSSERIDHPNYLVNILKNLASIAGTQAYQEILKNANSTSISSNAGNRIANGFSMHDQTRPTLRVVTESSPEEHPVKRRVQNFDLNDACIEDVESRTDKIVFKLFGKEPKDFPVNLREQILNWLSHYPSEMESYIRPGCVILTIYLRLPNWMWDEFDEDPAPWIEKLISMSNDGFWETEWVYARLQNWLTLSCNGSLVLASPWQPLIGDKHRRLCVTPIAVACSSTANFSVKGFNIVQPTTKLLCIFGEKHLIQAETQMLLEDTTIQQDPQCLSFSCSFPSTSGRGLIEIEDYDQSSLSVPFVVTDEDICLEIRMLEHELNMIPVCETLEHIDDLMASHNRALYFLQEFGWLLQRSHMRAMSDQARYCTEGFPVGRFRWLLSFAVDHEWCAVVKKLLNIMFQGNLDVPSPDEFVQGLNLLFVAVNKRSKPLVECLLRYTTPVDTGATTPVQFLFTPLMTGPPNITPLHTAATISDAAGVLDALTDDPQQMGIKVWKNARDATGFTPEDYARKRGFIPYIQMVQEKIDRKIPKAHISIAIASAPSTTNIVGKHNSRLNLADKTTFDVEKSHSGIKQPPVSCNRCVQQLAYRPKTSRFLSNRPAVLSLVAIAAVCVCVGLLMKSPPHIGCMRPFIWEHIKWGPT >Et_10A_001134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21474809:21475497:-1 gene:Et_10A_001134 transcript:Et_10A_001134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPAAVLPSFAAFLFLRINLSKSKQKAEGIENSWPLHEIMSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPNHQPPPPSPSSAAQQEERRSCSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGTRGCWHTRCGAAVDLALDTLAAARSFGVEQLALLVQVRTWESESISSPSWDLQLINKLPDDAWWLISFCPSCHD >Et_2A_016963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29960762:29962135:1 gene:Et_2A_016963 transcript:Et_2A_016963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNCCSLLILLLCCFSIASVSSARPPDDPCAGRRIHVRRLPARFNTELLQHCGTAFPLSSDAAADPNGLSCASLANHGLGRRAHNRSRSWYRTDGRFLEPLFHHRILERDCLVAHPARADAVFLHNFTVLTTESRAWPWQEHAVPHPTSFHPPSLPLLRGWLARARRSRRPTLMLFAGGVSKPSRPNIRGSILAECANRTGAECALVDCSGGACALDPAAYVRPMLRARFCLQPPGDTPTRRATFDAVIAGCVPVFFEHAAARTQYGWHLPRGGYDRFSVTIPKESVVLGGVSIVDVLAAVPEAEVARMRARLLELAPRVVYRRHGSTAELREAAKDAVEGVLRRIRRRVRALEDGHPDDIYALDDDDDDDTDLL >Et_2A_015914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19065064:19069282:1 gene:Et_2A_015914 transcript:Et_2A_015914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAEEEMKVVDVEDWEGEEDAGAEGSAKETMMLPKMPVRVLLAEGDDSTRHVISALLRKCGYRVVSASDGMKAWEILKEKSFNIDLVLTEVELPSMSGFLLLSTIMEHDASKNIPVIMMSSHDSVSMVFKCMLKGAADFLVKPIRKNELRNLWQHVWRKQLANSGPDVQNIQQEDNLTERAEERTAVAKVEQLIESVAWKNKEYSEQESDAQSSCTRSEPEAESKQANNFLEHKQPTERNFSIPNHKNAEKNGQTNIQSWKDIPTREDGPSPKKRICSNDNSSEKASRDIDLVHIIDNQPKHSIQMDVDNMGTSQETDEKCAVPAHLELSLRRSDYSKLENQDKNDRRTLNHSTSSAFSLYNCRTASSLENAGDAQICSTSGAQADPENKNGDLAASSQEKTETNRPPIRVVPFPVPVGGLTFDGQPFWNGTPVASLFYPQSAPPTWNSKTSMWQESTPQATSLQQKSLQNDPKDMDPTQVRHAEEQTVVSPNVNGKQLRIEIPKDEQRNVSPMTGESGTTTLLDSARNTLSSSGCDSTSNRINAPTESPNTFQGVPEDPNTGGPCNLSQRQVALNKFRLKRKDRCFEKKVRYQSRKLLAEQRPRVKGQFVRQDHSIQGS >Et_3A_023466.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29374854:29375018:1 gene:Et_3A_023466 transcript:Et_3A_023466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLSSFFVCVSCIYGDFFFQTVTSDMPNHKVFRLTCEQLAPFALCHGRNCHGV >Et_8B_059379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17214164:17217598:-1 gene:Et_8B_059379 transcript:Et_8B_059379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKLLLLINSMGINLKLGDRRNVTKDICIRPGHVRVGSSPCFTAPTDRLKRSTSPPGPAGLFHDFLLFPSPHARRNQITKPNRIEQEPPAGGGEMNKRPRDDPSSSAYGSAPKRQYGAGGYGPQQGYSEERNSARRVADHYSARSNQTLEERENSPIIHLKKLNNWIKSVLIQLHARPGHCVLDLACGKGGDLIKWDKAKVGYYVGVDIAEGSIKDCMTRYNGDTDQQRRKKFSFPARLICTDCYEARLDEYLSEDAPFDICSCQFALHYSWSTEARARQALANVSALLRPGGVFVGTMPDANVIIKRLREAEGLEFGNSVYWISFGEEYAEKDAVDCPEWVVPFHLFKLLAEEYGLELVLVKNFHEFVHEYLQKPEFTELMRRLGALGDGRQDQSTLSQDEWEVSYLYLAFVLRKRGETPTESRASNANRGKMFLTEGDIEYLEI >Et_1A_005496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10908522:10912639:1 gene:Et_1A_005496 transcript:Et_1A_005496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEATPAGARPRLYSYWRSSCSHRARIALNLKGVDYEYKAVNLLKGEQSDPEFVKLNPMKFVPALVVGDAYLEDKYPDPPLLPQDLKKKALNHQIANIVGSGIQPLQNLTVARFIEEKVGAEESLAWIQQQIERGFTALENLIKDCAGKYATGDEVQLADIFLAPQIFAALNRTKIDMSNYPTLARLNAEYMAHPAFQAALPDRQPDAPSSA >Et_3B_029942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29220015:29222634:-1 gene:Et_3B_029942 transcript:Et_3B_029942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVHPVSGELNTSFNDPMDIEGEKNGDAQNAGTGAALTGWKDLPMELLLRIMSIVGDDRMVIVASGVCTGWRDALGWGVTNLSLLWCQDHMNDLVVSLAPKFAKLQVLSLRQINPQLEDSGVEAVANYCHDLHELDLSRSFRLSDRSLYALAHGCPHLTRLNISGCSNFSDAALVYLTSQCKKFKCLNLCGCVRAASDRALQAIACNCHQLQSLNLGWCDSITDKGVTSLASGCPELRAVDLCGCVLITDESVVALANGCPHLRSLGLYYCQNITDRAMYSLAANSRVKRSNGGGWDAVKRVDERDKDGLASLNISQCTALTPPAVQAVCDSFPALHTCPERHSLIISGCLSLTSVHCACALHPHRAGRAALLSNHAY >Et_2A_016367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2397203:2399668:1 gene:Et_2A_016367 transcript:Et_2A_016367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKTKQGPDHLLVLVHGIMASPSDWTYGEAVLKRRLGDNFFIYASSSNIYTRTFDGIDISGRRLADEVSDLVRKMSSLRKISFLAHSLGGLFARYAISILYLPETKNKGQSGARNVPTTKESEKSQCTSGLGAIAGLEPINFITLATPHLGVRGRNQLPFLQGLSILEKLAAPLAPLIVGRTGAQLFLTDGEPSKPPLLLQMASDREDKKFIVALATFKNRILYANVSYDHMVGWRTSSIRREKDLITPLHHSLNGYKHIVNVEYCSPIPSDGPHFPSKAARAKEAAQRIPNFENTEEYHKIMEEEMIHGLQKVGWKKVDVNFHSSLWPYSAHNNMHVKNEWLHNAGAGVIAHVADSIKQQESRPCLPANL >Et_3A_024543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21875767:21879382:-1 gene:Et_3A_024543 transcript:Et_3A_024543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDKIKVANPIVEMDGDEMTRVFWKSIKDKLIFPFVDLDIKYYDLGILHRDATDDKVTVEAAEATLKYNVAIKCATITPDETRVKEFNLKQMWRSPNGTIRNIINGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVLKGPGKLKLVFEGKEEQIDLEVFNFTGAGGVALSMYNTDESIRAFAEASMTMAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEADWKSKFEAAGIWYEHRLIDDMVAYALKSEGAYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFAQKLEAACVGTVESGKMTKDLALLVHGSSKVTRGDYLNTEEFIDAVAAELQSRLSAN >Et_8B_058617.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:10506146:10506481:-1 gene:Et_8B_058617 transcript:Et_8B_058617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VQYLTDCKVLATSLRQDRFAVDPVHWRLRPLLYEFKQNTAGGASDVHNINRQRNTAAHNLAQQASRSNSQSKSIFTCQHAAHGDQCPVIEALPLVNWGSFSLVNVTCRLQQ >Et_4A_032054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14201772:14202215:-1 gene:Et_4A_032054 transcript:Et_4A_032054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLHLYIHETFTGANATAVTVSGSPLGGNASFGSVGAFDDALREGSDAASQLLGRAEGALWCTAITLAFTEGDYASSTLVVAGRADLGGGVIERGVAGGTGRFRRARGYSLMTKFGNPTPSTVVFELDLYVKMTGV >Et_8A_058301.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4563225:4564007:-1 gene:Et_8A_058301 transcript:Et_8A_058301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALETAAALGRRPAPQFAGVDLRRPKGYAAPPSPVASAPARQEAAPAAAAAAEGDPCPRCESRDTKFCYYNNYNTSQPRHFCKGCRRYWTKGGTLRNVPVGGGTRKKPSSSSSSSSPPSSYAAAAKPKRPYKKKRRVAPPEPAAPVPVPVAAPAADFTKATTTATTATTTTPTTDAASETTAELLVPAAEDDSFAHLLHADDAVALGLGLSDLPSGKGAALLEPDSFEWPASLDLGAYWSGAGFGDSCSDPAIFLNLP >Et_1A_006780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27574857:27577811:1 gene:Et_1A_006780 transcript:Et_1A_006780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATEKVFVALPAEFKAGQFTLSWALRHFGGGGATIVITHVHVPSQMIPWEANFMPAKDKEVEIARVFPPRLVPPAFPEFARHPLQLSAHEEIYDQEEGDFVLELGLYAEIDEACKAAESLMRRALDESSRRQKADEELASALHKAKECRELYLEEVRKREELEAALERANREIAQLRQANNQFKDEQDRTTTDELHDDEMAETSSVVERHDVDTNTNFGGQRLAATMAQEEYEVMGDPMVAADGFTYEAGAIRGWLEAGSEVSPVTGQPLVHRELAPNFALRGVIQELVIRRRQQHRFSR >Et_7B_054829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4729729:4733052:1 gene:Et_7B_054829 transcript:Et_7B_054829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASAGPRAASSRALEAHRSTSLDFSAEPSSSSSCTTSRAAELKPVACEGGGEEDLLDLDSPWVAAAEAEAVLEVTAAAASTAALEISGEAEQVEDEIRDNQQRQEDELMALEAIYGDDLAVHGNKRGLRYFQIYIRYDVPDGVGVCAKFSSANARCKDEGCSDGSGHGDGPEVFSYNCNFEYLPPLILTCLLPPSYPSKDPPYFAVTVKWMDGHQVSQLCEMLDTIWAELPGQEVVYQWVEWLRSSSRPHLWFDGKMTLGPDIATHNSDNRAISRKRSNFIQLSCQHPFCVKCMETLCRMHVKEGTVFQLVCPDAKCNASIPPYLFKRLLSEEEFERWDRLVLKKATQCQIWFTAQEDESNNAECPECSFIFCSLCKETCHPGKECLTPEERIQRQQASGRMSRREMERELLTIQKI >Et_5A_040203.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:22389632:22389899:1 gene:Et_5A_040203 transcript:Et_5A_040203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRPRSPPALMDDLIGEILLRAALVCKPSRRILFDPAFLRRYREFHRTPPLLGFLLFSSDGLGFVPTTTPS >Et_6B_050119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6811191:6813066:1 gene:Et_6B_050119 transcript:Et_6B_050119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPLIEKYKSQKNIEENLHNLRQLLTTIHAALEAAEGRAISNLWLLRWIRNLEEAACQGGRLLREWKHHTDEVSYALENSSNTFKRIKVAAAQFVSCKEATIRIDDTLKKIEIVAAGTSKFIKLLQFECSNTVVDRPIIVSVSMHHRIIGRIQEKKQVIDFLLKPPVSQIRSIYIEKIGQRGNARDLYLASRMHWCSTLPVRFLPMEDLGCLFIENALGGEHPESYQKLLVIGKEIAETLRKCSPLAVKVVSGLLRDNLNEKYWYSVLSTCRQFDPRSKVTLFMLSYKLLPPHLQTCFGVFGTYPRWTFTREDLISHWVHNGVVGNRGRGNSMENIAADCFDDLLRKAFIQPSQVSGLYSVDDMLRDIALYIGPMPLPKTRMSNSTNKNRYQISRLL >Et_10A_000566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12913354:12916691:1 gene:Et_10A_000566 transcript:Et_10A_000566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGEHGVPTADDDHGRLASREKQLNGFVRVVALTESVGNALGTLAFTWATVVLLGGYPTALRPHGDFWFATVIVFLEAARMFSRKNKQDYQLFFHTRGAFRPFGCHGLIVMVILNVCMFAALALLVFMLESSKMRLVMIAKEMYALVVVSVGNLQFPAAILRVEISLMRLIFHNYNSDDHTNLTPSMNIFYGMVLGQGILYIIACILQVFSFIPRQSLVHRGGFRGQLGVESVDLYYEYAFSKCMGGNVLAPKKINLITFAVDFLNSDSSKNQLYGIWMAHSLLHNEQTKTRLLSKFTTSTKTMARLINMLDWTNPSDAKIRLFAAKVMVEVAKNFRVVTIPGTVQVVSRLLDNSHQKKPGNPFLDRDVEQEEIHGLISSARRNEAEKHVVVNVNLLDAQTRSTEQVDTAKQSFWTVRSWERMLDYWSTPKDEPATDQDLLPVLGMSVFHNLAGCSGGNIQEFIDEKDLIPKIIGFTRSGTTNTDTQRNVLAKSSLKVLHKLTNIEGRIGVKLRRSISNHHFLLGNLAGILRDSRSSQEQFLLVAGILRNLAIDGNTREQIGHIRGIIIKLTQAFLSPDEPSMVNDDHLVQKAAGQALAMLAMECARNCVVMVQEAEHVLIKKLTRMVHDDSYRCIAASLLRNMCLHARCELKESDLMELSYTLGEVRERIMDAKEAKLEILIGLSSQMCKVIPGEFTQQLKPGQNTERFVKRLVDVLNENMEPRPHCPGIRRMTLEQAINMMEYDPSYESWFNGCNMVEALSKVEETSLTAENYIIFWGDAGLMEYSEPLSSLVVTAKQLLALSHHHRKV >Et_4B_036062.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:18986283:18986668:-1 gene:Et_4B_036062 transcript:Et_4B_036062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGPPTFVNGELMKMFVGRRVRTVVQVQRDEGGVLVGQTTDGHQLTIRGALDVPMPHSHFMEVFGTADSEQSICAEVCTDFGNNFGKIWPAYGVIYGSLVILLLTFCVKWLCMVHRLSVL >Et_5B_045170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10875653:10878083:1 gene:Et_5B_045170 transcript:Et_5B_045170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQPWVGEGRRPSERRPSVLIGRWDWVLRRQPVIHRDDERPGARREGGGVTVEQLGEGTPGAEGTTVEVDNDREPRASRGCMSIKKEAHRQASARFDDNVPRCHSSCAWRLRSRHEGADHEPLYAAIGVPAEFHLPS >Et_9A_063292.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19130381:19130947:1 gene:Et_9A_063292 transcript:Et_9A_063292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQESAAGGNQVMRMRYGDVGDSNFTLHGHAVPLLVGLLCALVFFVALCLYLRWRCARYAPDPAESSSSSPPGAPDPGLDAEAIRALPVTLYRAPASPARVVDVEKDGGGDGEEEANREEAAVCSICIGALVDGEKVKVLPPCGHCFHPECVDAWLRSHPSCPLCRCLLVAAKAKPVDVVNGTDEAV >Et_3B_030293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32061878:32070691:1 gene:Et_3B_030293 transcript:Et_3B_030293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSHDAFAAAVKSRARRRSSASSSSAPRRADPAALCRERAALIRAAADRRFALAAAHAAYFRSLAAVGDALRRFAAAALAPATPSPGSSPVLTLPPSPAKPVAAASAATVSSLPPSPSSSSTVSPLSHSLSDDDIEEAALGAKRRGGGGSEKASSSSSTTHHHHYMRRSPTVPNVVYEDPPNNAQAQYAQGGEPSYGYGYGYGYAYPYGPYGEVVAEGIRPEETAPRPPPSPPTAETSPWDFFDPFTHYDQFVEDYSRGNLPTNSPNYTELRRMEGIPELEDEAELEPDASKPSTSRTADQNAKGKGPIPENDSSVGGKSKDDGVQKSGSTTLKATAGGDIDGTSSSGKKKSVAFDEEDAIRPAEGGGGGESLGKSVQSAVSSESFSPLHRGTRDVVEAMDEIKEQFDEAVNCGAEVSKLLEVGKLPPRATPRVLRYLSSRVVDPLGLTVSTSSCLPRSHGRKSRASSSKASTSASSSAGRGNGAGNLSSTLEKLCFWEKKLYQEIKDEEKLRMEYEKKHKRLKSMDERGAESSTIDSTRLSVRLLRSKISISIRTANAFSSKIQKIRDEELYPQLVDLIQRLRGLWTAVLECHEKQLLAIQDSKIHRLKAMTISQSSVASTASKDLERELTKWYRCFNKWISTQRSYVEALNGWLKKWIPEVQEEVTADGVPPFSPGRLGCPPAFVISNDWFQAIERVSKSEVLRAMDHFSKLVREFKKSQEDEQRQKRKVDHASRDYNKRREDLRGELELSTSPDVVAVMENPRYSHDDRVMDLVKARRRRDEERTRHDKVLNHCHVAASATLPIGLVPVLQQIISFFQGNVQMVKADDHSPWKVSHELQGVNLMDKLRLGRPAHSRVTRLQNIEHKMIQEAKADF >Et_9A_062201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20633950:20636633:-1 gene:Et_9A_062201 transcript:Et_9A_062201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVICQAKSGMGKTAVFVLSSLQQIDPVAGQVAALVLCHTRELAYQICHEFERFSKYLPEVKVAVFYGGVHIRKHKDLLKNECPHIVVGTPGRILALAREKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPSICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNAVQERFEVDIKELPEQIDTSTYIVAPQSLIITCYNMPYCCLPQCHHKLQRCSTSVPRFNCDELSNGGRGER >Et_1A_006539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23995966:24008510:-1 gene:Et_1A_006539 transcript:Et_1A_006539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAISAVASDLASRFISFLINKFSAGSSCSEEIVQRLHHLLLRVQMVVEEADGRYITNGAMLLQLKLLEEALYGGYYVLHDFKYRHIKGAAEEVKNYDKDHKIESALVNLEAAVANITEFVILLGGCERMSRRPNDTYLYMENFMFGRHAEKQQAINILLHHSLPNSNAPTVLPIIGGRLIGKKTLVAHVCNDERVRAHFSTVLHLNSDNFLRVDPALYTSGRTLVIVEFTSDVRDEDWTKFYSLVAQMGRESKVILITRIESLSRFGTVKPICLNNLSYEEYSYLFKVLAFGSTNMDDHPHLASMVDEFPMLLRGSLVSVYAFADALRKNMNARFWLSVLDRGRAVVQSNLSIFGEHPKLLLERDQPMDIARFISPSSAPLRLMPPRCEADATERPRFISFLIKKHTEGACVEEKLERFQHLLLRIHTVVEEDEGRYITNSKMLVQLRMLVDAMYQGYYVLDTFRFKPLEEIPNPKQVTTSSSLSSPLKRTRPVFGSIRTTMSVNDELQAAMDKLETIVANMTEFVILLGGCKHMTKRPYDTYLYIDNFMFSRLVEKQELINSLLQDNSPIGAPAVIPVIGGYRAGKKSLVGYACNDNVVRSHFSSVLHLKSSNFGNVSQETFMHIRTLVILEFISDVDDREWVKFYSATSRMDAGSKIIIISRFQEIVLAFGSTDPENYPQLASIAMELSTNVDGLLLVANMLAGLLRKNQNVQFWFHILKRFRNSLERNFSMFGEHPKKLLERDRPTDITMLIPSSSGPLHLMPSHEDSSSCKEELPKVKFGDLVEGSITILPKEEFQIIIWESRIPPYTKFVANCIEDRQRCILSYQKKRKPHPQLTKSKTYPLSFASPGKSTQTIASVDYRMPDINDLERYWRI >Et_4A_032281.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27954733:27955026:-1 gene:Et_4A_032281 transcript:Et_4A_032281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDDMPGGSGDGYALDFAGFVALMEREGGQEDDLRRAFEVFNAVESAGRITARGLQRVLAQLGDRRSVADCEAMIRAYDVDGDGGLDFHEFQRMMS >Et_8B_058957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11489345:11497150:-1 gene:Et_8B_058957 transcript:Et_8B_058957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDDDGVEPQFEAVDDYHFEYSRDKPVSFSILPFQFDENDEVQDCDSEKKVYLCGVIDKGLYQVHKKVVAWRLRLECDQPNILTLLSDGNWIRLLKPHKWYKDEIARSIFITVQMIHFVRKQGRDKRNLYGRLRDHLDQVFKYYINIKRHTKLATDDFKKHRSLIKLFLGAQRTLMKSKPKTSRTKVQFIGSDKSCASNKNDDCYYDGGGENNGDYMADGNAADDDDNDVLCALCDDGGRLLSCAGQCKRSFHPRKKDGRESKCKTLGYTSEELKGIGSYVCKNCKYKQHQCFKCGGLEPSDEPNAKVFKCKNPSCGHFYHPECVAKLLEPDDSDGDENCELAKRIMDGMPFTCPVHWCFECQRMEDRTQRALQFAVCRRCPKSYHRECLPRGISFDTKDNHVKQRAWELSKIIMIYCLDHKICKATGNAKRDHIKFPTIPRISKLRDLRKKKDKMIRKRKRIIVQCSTKLIRVSNSLSIKKKGHARKAAANRSFEHMVLKPESPAVSLQAELQIEPSMVGDPGLSMLEAQKGQGKQFAKSSTSVLGPIMPSSTVGGKPGKRRRSRPQKEMSSQASRNIAKKCVVQSKGMLDHSVQKDILLEKPPSDNDAELENEICRIAEDKDCNEKEKACEHYSGKGNATIYVTSRENEEQNDVPGKHFVDKYAERNQSKLKSGEIRVMAWGENADGCNSVSMHQLCQRPCEHNSRSEEKVARSGSTVSIPGNVGVTPDHIVENPPEKQLIVRHVHWTADKIGPHPDYGCDQDGETLNQGYDCQNEPKSSHPKESDRGVLGDQFSEIRDKKRKATDGNNADLDKNSRCVYIKTEENLVMMIAVISVLRVSKNLSIWIAVMEIVETIVFVVMMRTE >Et_8A_058038.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1572256:1572537:-1 gene:Et_8A_058038 transcript:Et_8A_058038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFLTLLVILTAVVAARLLVCDRPAREKKCSKLRAAIGDLQTPPHEEPCRRPPGRSGAEGSASGTRRRSSEATGVVAVANSDRPWIPCGRSI >Et_1B_011592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23890852:23891724:-1 gene:Et_1B_011592 transcript:Et_1B_011592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQVWQVLEAFEVVRRAYQRVRARAATPGDTEAAKCVTCLLLWLEMIAGVKVRWGVSSRRRAPSTASSSTASTRCRRRSGISRTWRLSAGARLVDFRFFKFHKDLVERGLAMISYTVAPLIFDDHLQALMRQFQDEGNARPSAPELLAPFVFRASTPPQDSRTVFIALPDRDPHGAQEILLCFRTAGLEHYIESIAMEQPLPWETHTPRHAAIVFRSEDMRAQTMAHAIETGTFCRLNGRNFWMQCYKPLS >Et_2B_022378.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19577279:19578073:1 gene:Et_2B_022378 transcript:Et_2B_022378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRREAANKTDEIMAQFYPVEPKPTLMLAPPLPPSTVPSSAASTSGAHKHDMEEDHNLRLLRTGDDNPLVRLSLVVPDDLSPALLSDSLTTVIPVEVPKVIAPRPTRPLRTTICIDSSNITGYKSAAPRGAGVSKKTIREVEVEVELDARPAIVSDGYNRVFMVNDVYKEMVGQPVCPWLDALPGVGASRRINGEVMLNVQTIRPASRLPKYGGAFPCTARISWEHDGAMTSLTVPCGVESLTGNSGNYRFIWRFDSARASITF >Et_10B_002674.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:6379510:6379710:1 gene:Et_10B_002674 transcript:Et_10B_002674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKEVFVLVDELKEVEFNHCFREQNRVAHVLAKNSCNETSTAVWLRRALVFVADLVATDCNPAMI >Et_3A_023642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10226580:10227226:1 gene:Et_3A_023642 transcript:Et_3A_023642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAEPLKPKLQRTAYHQLPPRRPACTSE >Et_3B_030623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5564584:5567473:-1 gene:Et_3B_030623 transcript:Et_3B_030623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAISSASSPLRLSGNSTGPGRSPTPRPNIVAAGRQPAGAGASSARLHAVSPSPSPPAPVESFGFDALKETFSVDVAAAEARPLDVPLAAPFTIASSRLEAVSNVAVRVELRSGAVGWGEAPVLPSVTAEDQPAALAAVGRACAALKGAPAVPLGALLRGVASVLPGHDFASARAGVEMALIDAVANSIRIPLWRLFGGASDRVTTDITIPIVTPNEAAQLAAKYRGQGFQTLKLKVGKNLNSDIEVLKAIRLVHPDCSFILDANEGYTAEQAIEVLDRLNEMGVTPVLFEQPVHRDDWEGLHDVSIVAMDKYRVAVAADESCRSLVDAQKIIQGNLAHVINIKLAKLGVLGALEIIDAARKANVALMIGGMVETRIAMGFAGHLAAGLGCFSFVDLDTPLLLSADPVYGGYEAFGPLYKFTNARGHGGFLDLDSDGLK >Et_3A_023620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:186840:188177:-1 gene:Et_3A_023620 transcript:Et_3A_023620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYGQAEDQVPVYESGAEVLQVLQEKRNNTWAPAPYPAMYSSVVGGIILDPAMMVVPMDDHMVHRGHGVFDTAMILDGCLYELDAHLDRFLRSAANARIASPFPRDALRGILVQMTAASGCRRGSIRYWLSSGPGDFLLSSRGCPAPAFYGVVIAAEYEQCRDGVRAVTTSVPMKPPQFATVKNVNYLPNVLSIMDAEDRGAFASVWVDEQGHVAEGPMVNVAFVTPERELLLPPFDKILSGCTARRMLALAPKLVDAGLLAAVVNDRHITVDEAKRSVEMAFVGSGLPVLPIVEWDDQPIGDGNPGPLMLALSDLLWEDMKSGPDRIPVPYTTEQ >Et_2A_018765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:725796:726429:1 gene:Et_2A_018765 transcript:Et_2A_018765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGIPLCDADRYPWLESLQDAIRKHLDRGEDVVVSCSALQLKYREILRAADGNYKPRSYATCRVKFVCLKASAEVISERMKRRHAATVLDKEDERKPEPN >Et_6B_048728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13033176:13034375:1 gene:Et_6B_048728 transcript:Et_6B_048728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHENPDGAGGRSPRRAPVAGLRRAAAREGSLRALRQLAPRRGGAQHPGLGGGAQDLRALRRLLHAGRALTPRLARRCQRGHPLRRAPEPHPATARKCGCSTSTRPRSPPSPTWPSMASERAEEYRMQGSSPALPETLRLYNTCLALGIRPVILTGRTETRKEITVKNLHRQGYTDWEKLLMKPKTLKSSVIDFKKGERKKLLDAGYDIVGNIGDQWSDILGAPEGTRTFKLPNPMYYIG >Et_2B_019698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12497292:12505940:-1 gene:Et_2B_019698 transcript:Et_2B_019698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPDASKYVFFDSAHPTEKTYKIEATEMLKTALQPPIVQMFSKRLHYSLLFTILQFMLSSSMATSGKIPAIIVFGDSTVDTGNNNNRPTISKANFPPYGRDFDGGIATGRFSNGRLVTDFISEFLGLPPTVPAYLDTSYTIDQLATGVTFASGGSGLDNLTAAIMSAIPLRQQLQYFNEYKEKLKLALGESTANMIITEALYCFSIGHVDILQNVLLGRLRSAPEYVTNLVSHAEAAIRDIYYMGARKIVFTGLVPVGCTPIVMTLTLQEPGHCNEEYNQLAQRFNSELQEAVSKLNGELVGVQVVYSETYSVVSRILANPSDYGFANSVQGCCGTGLIEMGFLCRPEEPLMCQDDTKYVFFDSVHPTEGTFKIVANEFLENALTVFL >Et_8B_060004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:558487:568574:1 gene:Et_8B_060004 transcript:Et_8B_060004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGSWDAIDWNQIEDPRPRRPGRAFKESMDDFLLEDEEVIAQGHGVVLLNTGEAGTLSVTNFRLLFVSQSKKCVIELGTIPLTTIEKLNDDVKLQSPPRLSDKKHPRELLQVVGKDMRVIVFAFLPKTKQRNEVFDALRRYTKPANLWDLYAFSCDPSTIKNDSDPKWRLLREYFRLFRRSFSQLQGVEEISLSNNWWRLTRVNSSYSLCSTYPSELIVPRSISDEDLFQASTFRSGKRLPVISWCDPGSGAVLARSSQPLVGLMMNFRNNADEKLVCALSSNTTDINGSPRKLYIIDARPRANALANGAKGGGSESSSNYPRSEVLFLGIQNIHTMRDSLSRLRDYVDAHGSISSNGTPSAVSVVGDRRNRGSTWGGGNLNSMTSFSSTLGEWLNHIQNILVGASWIAAQIAVESASVLVHCSDGWDRTTQLVALACLLLDPYYRTFSGFQALVEKDWLAFGHPFAERMGVPTVAENVGSQSQYELLRQPSLGNLSSSPSRNALGQPGTSSNTSGQSQTSNNSSPILLQWLDCISQLLRLYPSAFQYSSKFLVDFMDCVLSCRFGNFLCNSEREREQSGVTNSCRCMWSYLADLRASGGSFHEHYNPFYDPVKHNGPLLPPAAALAPTLWPQFYLRWTCPSESQGGDLESQWHAMNKKFAEATKAKDIAEWRAKDIKMKMESMKQDLQRERHASSKALAMAQSAQRENAAIKKAIESIGCTIKFSVIENELDKPEVLSYSIRRETDASARPQQDESADISVSISAIEDSLISETPGDHFCESLCPFRTREGCRWPDAPCAQLGSQFVGLKANFDAFDRLSIQDSYFGPEQAKGHNEMIN >Et_3B_030895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8419001:8421447:-1 gene:Et_3B_030895 transcript:Et_3B_030895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSVSIDVERLFFGGTEHRVETRCGTLSVSVYGDEDKPALITYPDVALNHMSCFQGLFFCPEAASLLLHNFCVYHITPQGHELGAAPIPPDVPVPSVDDLADQVADVLDFFSLGSVMCLGVTAGAYILTLFATKYRERVLGLILVSPLCKAPSWSEWLYNKVLLNLLYYYGTRGLVKESLLQRYFSTEVRGNGQEPESEIVQACRSLLHERQGANVWRFLQAINRRHDLTDMLKKLQCRTLIFVGEYSQFHADAVHMTTKLDQRYCALVEVQACGSLVTEEQPHAMLIPMEYFLMGYGLYRPSQHESSPRSTLSPICISPELLSPESMGVKLKPIKTRISLNA >Et_3A_024284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19178259:19180834:1 gene:Et_3A_024284 transcript:Et_3A_024284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQEVVVHAWKEWGIRALVLLSFTLQVVLLVLAEIRRRVRSGTLMFFLWSAYMLADSTAIYVLGHMSVTSRSAPEHQLVAFWAPFLLLHLGGQDNITAYAIEDNRLWLRHLQALAVQVAAAAYVLYESSIITGGQTLLRWATILMFLVGAVKYGERVLALRLASRSAAGNNYLSSFHIMSDRSSTTGDMLLELVSNIPEDAKGCKGARDTEAHIVMAHRMLDVPNNILMKGLPYNTKYELRGARLYKVVEMQISLVHDMFYTKTEIIHSNWPYGLCTRTISAVVTAASCIMFHILVRNDHHKDATGYNRVDVAITYVLLAGAVVLETLSLLRTTFSSWAFSQSNVRLAGIVFYVRQLVHAASWRGRGWSASMGQHNLLQLSARSRASRSSKMARWMGLEDPWNTVAYSWSIPVSAFIEEKLMDRLSRREQRELERKREMRMSAAGGEEEGDEEEREEDVVVEVNEMGPDSDLVEEEDKEALVVWDLERRPVEEPFELRDLFVRRVPEKLKRWSMYTFCLNQTVEERVLAWHIATNIYLTWWHKEHQQTSKVTAEAVEALSNYMVFLLAARPDMMSPTGSRRSYVDMCYALATNRRPECETADQLAGELGRYGDGLLNYRGTEPRNYGFHGYHLPLYLPLTLDAGCMLGAMLIRKHAEDTLDAIAQAWVETLYDAAQGCSGEASEEAPLWLENM >Et_6A_047546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7116470:7117360:-1 gene:Et_6A_047546 transcript:Et_6A_047546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFWSLPSKLTQKGCKPNLADTHGGSQLTWKSVLGGSPFRVDPQHARKHWERSSIDQCKLKLLSLAEEKYKLHKGVKKDITFLVKELRMIVGAIDDELSTETEGHGAVPRLSIENLRELAHKIEDCIDSRSVRSPKMMVGGHLKLAEEMKRLRKRTSERRDAASMQESSSWPLSDPRILDTDLVGLDGARAELLEQVAQVNGHGQPKQLKVVAIVGFCGLGKTALAAQVYNITTGDGRFEKHAWVCAANRLKET >Et_6A_048159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8038855:8041512:1 gene:Et_6A_048159 transcript:Et_6A_048159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQRIDKKGIAIPVQGTLDLHSRKWRKQKGNIEVHVHVDLEHGVHVGEVDGMGPDQAITGGAAEHAGAADDRDLPDLGCDEVPGLHGDGAAVAGARREHNVEAEHQGQLRLGRGEVEPLRAPDGRGRRVGPFRQRVVEDVHVAEPGPGVGRQRRGPPRDSRRRRRQPRQRRHHVVQVEPQVGGGGLVAVAGDGERCQSEECQMEQHWRACACWGAHENADQGRRRACAW >Et_8B_059344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16964736:16974165:-1 gene:Et_8B_059344 transcript:Et_8B_059344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRIGEGDGPWLRSANNFIGRQVWEFDPNAGTPDERAEIERLRQEFTKHRFEKRFSSDLFVRMQYAKQNRLQQVDVPAIKLVESDKVTEEVILRSLKRALTLHSALQAHDGHWPSDIGGLLIVIPITNEDGGWGTQEVGPSTMFGSCINYATLRLLGEPSTNDALTNGRAWILSHGSAAAIPQWGKIWLSVIGLYDWSGNDSLVPELWLVPRFLPIHPGRFWVFSRMVYMPMAYIYGNKFVGPITPTILSLRDELYDVPYNQINWEKARRSCSKEDLRYPRSLVQNVIWTCVNKIAEPILNRWPANRLLRDMALKEIMKHVHYEDEITNYVNLCPINKWYDGSQTWETALIVQAYCSTNLVNEIAPTLQKAHEFLKNSQVRENHPDCKNYFRHRSKGSWTVSSVDNGWSVSDCTAEALKALFLISKISPKVVEGPIEGESLYDAVDCLLSYVNKDGTVSAYEPKRTTSLLEVLNPSETFVKIVADYPSVECTSSVLQAFIMFSKMHPGYRKVEIEKCIESTTKFIEDEQLKDGSWFGYWGICFTYGTFLAVKGLVASGRTYENSSAIRKGCSFLLSKQLRTGGWGETYLSCETKVYVEAKSAHAVHTSWAMLALILAGQVERDPRPLYHAAKELINMQLPSGDFPQQEHVGNYNRNLYFNYSNYRNLFPIWALGELRSRLLAQKNLNVFA >Et_2A_017068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30695679:30699593:1 gene:Et_2A_017068 transcript:Et_2A_017068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALASLDDLKNENVDLESIPIQEVFAVLKSSPQGLTAVDGAGRLQIFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIVLANGGGRPPDWQDFVGIMTLLVINSTISFIEENNAGNAAAALMASLAPQTKVLRDGKWSEQEAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPATKMPGDSIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAVGMLVEVVVMYPVQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNQLTVDKNMIEPFVKDLDKDAIVLYAARASRIENQDAIDASIVSMLADAKEARAGIQEVHFMPFNPVDKRTQITYIDSDGTWHRVSKGAPEQIIELCRLREDVSRRVHAIIAKFADRGLRSLAVARQRVPEGTKDAPGSPWQLLAVLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSTALLKDGDTGGLPVDELIEKADGFAGVFPEHKYEIVRRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRVVLGFLLLALIWRFDFAPFMVLIIAVLNDGTIMTISKDRVKPSPLPDAWRLQEIFATGIVLGTYQALATVLFFWAVRDTAFFTHTFGVHPIGENNEQLMAAVYLQVSIISQALIFVTRARSWFFVERPGLLLVAAFLAAQLVATLIAVYADWPFAKIKGIGWGWGAVIWLFSIVTFFPLDIFKFAIRYFLSGKQWNNVFDNKTAFANELDYGKSKREAQWAIAQRSLHGLQQPEAPGLFNNENSNDFIELSEIAEQAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQHNYTV >Et_9A_062178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20380063:20387303:-1 gene:Et_9A_062178 transcript:Et_9A_062178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKRAVLVGINYPGTKAELKGCHNDVDRMRRSLVDRFGFDESDIRVLVDADGAGARSLPTGANIRRELARLVGDARPGDLLFFHYSGHGTRLPAETGQDDDTGYDECIVPCDMNLITDQDFTELVQKVPNGCLFTIVSDSCHSGGLLDKTKEQIGHSTKQNKSQHREREEPAPVTGFRSFLKETVRDVFESQGIHIPHRGQRQSGHGDYGDEEPRDIGAESNPDVHVKNRSLPLSTLIEMLKDKTGKDDIDVGSIRMTLFHLFGDDASPKIKKFMKVMLGKLQQGQHGGVVGVVGALALEFLKAKLEGNPEEELGKLQPAMNQDVDSDQEAYAGTTARVPSNGVLISGCQTDQTSADATTPKGASFGALSNAIQAILAEHGTVTNKELVLKARNMLSKQGYTQQPGLYCSDEHASSSTVPNPPRAPKSQPTKRQSASHLGTPAATVTSTPPPPNAPRHVASRKEMGRKRAVLVGINYRGANGESELKGCHNDVARMRRCLVDRFGFDEAGIRVLVDADPAEPQPTGANIRRELERLVDDARPGDALFFHFSGHGLRSPAETGQDDDTGYDECIVPSDNNYIEDQYFKELVAKVPDGCLFTIVLDSCHSGGMIEKTKEQIGNSTMQNRIQHLQHPHSEAQIQPRFGTYFLRMVRGVFESLGIHLPPRDRRQSSQNQSDVPELDAKPEVTKLATIKNRSLPLSTFIKMLREKTGRDDVDVGSIRTTLFQHFGDDTSPKVKEFVNVMAGQGAVKRAAEQAANGVKQVYAGTPASVLVPRNGVLVSGCQTDQIAGDATRDGMSYGLLSDAIQTVLAQKQGKVTSRELKRAVLVGINYPGTDGELKGCLNDVARMRRCLVDRFGFDEAGIRVLADADPATPPPTGANIRQELERLVADARPGDVLFFHYSGHGLQLPAETGEDDDTGYDECIVPCDLNLIKDQDFTELVAKVPDGCLFTMVSDSCHSGGLIDKTKEQIGNSTKQNKIQLRDREMRPPSGTGLCSPLLRIVRGVFESLGIHLPRRGHKQSSNNAQSGGQEPDTKTNASISNRSLPLSTFIKMLGDKTGKHDVGVGSIRTTLFHHFGDEASPKVKRFVKATVAKLRHEGGKEGAGQAQTLREAMLEQDGARGVQEAYAARATAPRNGVLISGCQTDETSADATTPDGTSYGALSNAIQSILGGRKRVSNRDLVVKARELLSKQGYTQHPGLYCRDEHASMPFIC >Et_2B_022125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9478956:9484777:-1 gene:Et_2B_022125 transcript:Et_2B_022125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARSSFSFVPLLLLLMLVVSSTSATAALASIPRSRGGSDAASNATAKLRPGKELLRYKRIRALLKKLNKPSVKTIQSPDGDLIDCVPSNLQPAFDHRMLKGQKPLDPPERPKNFTVADDEVMQAWHATGEECPEGTVPIRRTTEKDLLRASSLRRYGRKPVRRGVRRDSTSNGHEHAVGYVNSEQYYGAKASVNVWSPRIGDPSEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDSNPRFFTYWTTDAYQETGCYNHNCRGFVQTTNKIAIGAAITPKSVYNGRQFDITLMIWKDPKHGHWWLEMGSGLVIGYWPSYLFTHLARHANMVQFGGEVVNTRPSGSHTATQMGSGHFPGEGFDRAAYFRNLQVVDWDNNLIPAANLKLLADHPGCYGIQGGSNAYWGSYFYYGGPGRND >Et_6A_047245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2892635:2897625:1 gene:Et_6A_047245 transcript:Et_6A_047245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPRINLGGVCAPMKSNKNSSGVCPAKLNKNMSGVCPVTGKNKGAEHRNRTGNTEEKNADPRMMPAMCPFGYDSGTFKLGPLSCMICQALLHECSKCTPCSHKFCKAFILRFKDCPLCGADIEGIEPDSQLQALVDRFIDGHARIRSHTAGDAEVTGGKGKVIYEDVSMERGAFLVQQAMRAFHSQNIESAKSRLSMCAEDIREELKSAEDNLDLRSQLGAVLGMLGDCCRTLGDAPSAITYYEESAEFLSKLPRKDLELVHTLSVSLNKIGDLRYYEGDLQSARSYYARSLDVRRNAVKEDSEVASQVIDLATSLAKVADVDRNLGNESIAVEGFKEAIDCLKKLKLGSEQASLEQRALLLWHLLGVF >Et_1A_006308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20328516:20333077:-1 gene:Et_1A_006308 transcript:Et_1A_006308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHESQRQLVQEVATGSLSELPSRYVLREEDRPTKDAVTSSGLVFPTVDVRRLAEPDDVEEAAKLRSALESWGLVVVTGHGMPESLLDDILRLTKEFFHLAPVEKLRYSNVVDGDKFQYEGYGIDRVDTDEQVQDWCDRLYLQVQPEDELAAAAVLAQPPGPSQRPPPRLHPSGLPSSCSGPRRGRWASGRRSSPTGSASRSVPPCPRPDLVNGVKPHTDISVFTVLLLDKDVGGLQVRKDGVWVDVPMLGNDLLVLVGDEMEIMSNAVFKAPMHRVVTSEKERVSLVLFFGPDQQKDPEELVDEKRPAMYKNQNAKTFSEGSWDAFALGERAIDFLKLRVEQDAASTT >Et_6B_049001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16561830:16563504:1 gene:Et_6B_049001 transcript:Et_6B_049001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLRCDITVVKEIRTEDATMSSAVIVPPSNIGQQLGCLLESGVGADVQFDVAGETFAAHRCILAARSPMTKNLIRGEFFSSSTFTAAGHRWSIKYYPNGHMYSDSAADWISFLPAARPNLRSGGQGTIHDEFTRPGG >Et_5A_042756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3692722:3695184:1 gene:Et_5A_042756 transcript:Et_5A_042756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTHNMLASNIRGVTAGYPLKLEATNWCTKEVDLNADFIRGLLPKIDWRALVAATRALGLPELLPEEQPAEEEIFAEGAADVEGSAIRRIHHALLEIHVQQGALVCPESGRTFPIDKGIPNMMLHEDEYYNYRDHGRTVVTDGLGGADGIA >Et_3A_023173.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3571530:3572177:-1 gene:Et_3A_023173 transcript:Et_3A_023173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEDLFVAGRIRVGCLSPIRQADAGREDQDDGDDDEEDERAGRAAPRTRRARSASPPRSPRAARVVVAEPSDPFASASSSSSSSSSSTSTSGKSIRRRISLRDLLTGTGCGDAGAENQRADAAGAGATRSGFWPPAIWPSRSKKALLPCPAPQPGRRSTSSDRAPAAKKLPGGGGGARRTTSLPYRQGLVLGCLGFGARSYGLAKSMHPLYSR >Et_4B_037358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1940297:1941601:1 gene:Et_4B_037358 transcript:Et_4B_037358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSASTPILGALHPSGCHSPAVSSPAVHFPESPAAAYHPPAISCHLSGGGGSDHERLRGQGGMRRTSSEGNLASLAGRGDDHHLLPPSGKSAARARPAPPLETIQSFTARNDASTDDEEEEDEDEDAYAADREMSFGQFAFGGGGSTYSQEHPLFLARGLGIDRLGSGLLGADGGVGVGGFGGGGNGGGSYLVTSGNGGDRSGIEMHYKKMIEEDPCNGLFLRNYAQFLYQVKGDYRRAEEYYSRAILADPEDGELLSEYAKLVWDVHRDEERASSYFEQAARASPQNSHVLAAHAAFLWDTDDGDGPEDCSSDALGYAGFAPARSSMASATT >Et_5B_043437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10348086:10352133:-1 gene:Et_5B_043437 transcript:Et_5B_043437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILGDHSDANDVAGAAMELASSGVIGGMSECRMHGRNALGGRDGQRAFEISRQSLIGSVSPFIVVLIINMHSLRFHNDDVRTLPVELNPSVDISVVSEDIKNYLYLYDSLALFLILVVSCFLCWLFDYLVGTFDHVRSVRGMEDHQQLQQGRSEGTVDLNLAPGFRFHPSDKEIITCYLTQKVRDYNFTAVAIGEADINKSEP >Et_6B_049933.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18689126:18690325:-1 gene:Et_6B_049933 transcript:Et_6B_049933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSKKMKLAEAAAVVGLLVLLVVIWLPSSSEAAAPELSIYEWVTQQPPVATGGGCTEKKDSALSAAERIHINNIIDPSGSVGSSYKTIGESVANIPDGSTKRYVLTLKPGVVYREKVLIGKGKPFVTIRSEDPYNPAVIVWNDTAATLGKDGKPLGVDGSSTVTVESDYFVAYGVVFRNDYAPPPSSSKAPAWTKNGEAPALRLVGTKATIYNCTVEGGQGALYDQKGLHYFKSSTIKGTVDFIFGLAKSLYHDCNIVSAAPADLGLLPVAPQPKGSALMIKVAPGSETGFAFKTCIFQGQRIYLGRGDVPSVYSYSKMDKMIVPIILSAHLDNAHVPDRGYFYALFKCHQPEIDDPSLNLGEDIMTYAQAKPFLGTQFVSGDSWIPSLPPPEEADR >Et_2B_020275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18518900:18520991:-1 gene:Et_2B_020275 transcript:Et_2B_020275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLLLRLPSFPWLPPPPPPGSFSGHGGGGGGDGGDWRPNVIAAVAGAHLGRALRRRFVGLLCSPEVRNLEALPRIGDILSGGSQAFAAHALLGALGNVFSASYVCSFALFDGNGSNGTYIGNGKVLSRRPRGINSKKRLWTNVLLAVNVLAYLAQVATQGKLLMWGAKINSLIDRGQFWRLATSSLLHANLTHLAFNCFSLNSIGPTVEMLTGSRRFLTVYFTSALAGSLLSYRCCEYPAVGASGAIFGLVGAYAVYMWRHRRFFGNGKQSLEHIGRVVVLNMGMGLLSRGIDNWAHLGGLLGGAAMAWLLGPAWRYQYVAKDGRVVFKDKAPILLLKRGRRSR >Et_4A_032936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15806457:15815518:-1 gene:Et_4A_032936 transcript:Et_4A_032936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGAAFSAALVAEDFPWVETEEEMGMAPDKYREVFDLAQRGARAFRERRFDEAISLYSKAQNLRPGDPIILGNRSSAFCQISQLLRERSAADSEYQPLNGLDPTTHAELALKDAEKIVAINSNSPRPYLLKAYALILLEHYREAREALLAGLQVDPLSHVLQACLSDLDRNTNIVAGARRGRLDRTDDFECTLCFKLLFEPVTTPCGHSFCRSCLHQSMDHGNKCPMCRTVLFIGPRTYPISVTLSNIIQRNFPEEYAERRAEHETMTYAGVDLMPLFVMDVVLPCQKMALNIFEPRYRLMVRRIMEGNHRMGMVAIDSATGTVADCGCEVEILECEPLPDGRFYLEVEGSRRFRILRSWDQDGYRVAEVEWLQDIPLPEGSQERRDLIEMANGASELARAYIRRARETVRYERRTRNLDLESMPGSHDPEKFSFWLVNLIHLRPSDRLDLLRLRDTRETLIMSC >Et_3B_029681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27031901:27035250:-1 gene:Et_3B_029681 transcript:Et_3B_029681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFTALRSKKKKIPSEIFPAASKKPIEARESTSSRLPEPEVHVPSLQSAPPSFRNRAKISQSATKVSNSRARVLSAPSTLLVVDQFGFPYAELKDQDDSRDKESSTKGHRFSNPLPLPLPSPEGNSFRNFGSFKASNVSGPLEASGPLPLPPKKCDGLRIFSYEEVSSACQWFSGDQCVSETRSSTSYKATFRDDFSDTKTTEATVACLLPSTQSLKEFKTQVNTLASLQHPNLCKLIGFYAREGSNERMLVYERLHHGSLDKLLFGRPDGCFMDWSKRLKVALGAARGLAFLHDEGPFQAMYSEFSTSNIQIDKDFTAKLSGYGCVGFSAEEIPKAFVSAANLSVETLEKGLLTPKSNVWSFGVVLLELITGRKNLDVNSSKEERNIVKWSRPFLTDDSRLSLIMDSRIKGRFPTKAARIVADIILKCLHKDPSERPTMRDVVESLARVQEIKVPSRYPLQEPSAAPRKIMMKSTSLNGIVPQHPVITFSPSPPSQNQHLISPRSSTSALLHPRTCYSTLDDPRVSSIKKTAPPIMRRSSVEERLVDV >Et_9B_065804.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:13102100:13103005:-1 gene:Et_9B_065804 transcript:Et_9B_065804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANNLPPPSTVINMYKSYGISSMRLYAPDQGALQAVGGTGIRVVVGAPNDVLSNLAASPAAAASWVRNNIQAYPSVSFRYVSVGNEVAGGAAQNLAPAMENVHAALAAAGLGHIKVTTSVSQAILGVYSPPSAAEFTGEAAGFMGPVLAFLARTGAPLMANIYPYLAWAYNPSAMDMSYALFTSSGTVVNDQGVGYQNLFDTTVDAFYFAMGRHGGAGVPLVVSESGWPSGGGVQANPTNARIYNQYLINHVGKGTPRHPGAIETYLFSMFNENQKDSGVEQNWGLFYPNMQHVYPISFN >Et_4B_038419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28918783:28921578:1 gene:Et_4B_038419 transcript:Et_4B_038419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVAPALRRGRGSCRSRFFAQSFRRFASTLVVAEHEGGQVKPSSLSALAAAESVSKENKVSVLLGGSGPALHKAAEHAASSHPLVSEVLIADSDAFAHPLAEPWADLLRSVQQKGGYSHVLASSTSFGKNLLPRAAALLDVSPITDVTAITEERVFVRPIYAGNALCTVRYIGVDPCMMSIRSTSFSPTTTEAMSETKVAPITQVDLSFLSEGKSTWVSLASQDTERPDLANARVVVTGGRGLKSAENFKLLEQLAEKLGAAVGATRAAVDAGFVPNDLQVGQTGKIVAPELYMAFGVSGAIQHLAGMRDSKVIVAVNKDADAPIFQAADYGLVADLFEVLEELLKKITDKK >Et_4B_036882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12221883:12223156:-1 gene:Et_4B_036882 transcript:Et_4B_036882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPSFSRVLVEKLVQPKKSAAGILLPETTKQLNAAKVIAVGPGERDKDGKLIPVSLKEGETVLLPEYGGTEVKLAEKEYAFL >Et_6A_046592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17975056:17978766:1 gene:Et_6A_046592 transcript:Et_6A_046592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLAIATSYEESVGSCGPPTPTTPPPPASAGPRSASVRRQLDFTGGGDLEDDDDELFCRLADDIERDYSEAKRRAPPCICGRGVCAVEWDGQCDRLKYVCPSWPKCKHAAFCEEVDLNPQTQPTSRSHPKPSNLCAFNDPSNHMAGPGTPVSVCPQVTGATTPVNVHPQVAGATTLFIDSPQGEGTATPSKDCSHGAGAATQTKFIPPGAGITTPVKVSPQGAGATTRVEVSFQGAKLNDEGPLCQCTAGKCRKSRVGNEDYYVCPILKGKGACSYK >Et_3B_030527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4658062:4685526:-1 gene:Et_3B_030527 transcript:Et_3B_030527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEKKTISHFTVKVGLVLLAVCILLPMSLVTMFRLTVPMQTLRLLFSVDDSSVTFEDRRIGSHRSGRSDGTVLCDFSSPRSDVCELKGDVRVLPNATIVHLHPSARPQSWRMKPLARKSDRHALARVTEVLVASSRLTTNHSANSAPPCTARHAAPAVVFSAGGYAGNMFHDLTDVLVPLFVTARRRAGDVQLLVSDAQPWWFDRYGPLLRGLSRHDVVDMDRGGGGRGVLCYPHVTVGLEFHKEMSVDAARTPGGYSMADFAGLVRRSYGLPRDTTIRINGDHRRPRLLIISRKATRTFTNIDEITRTATAAGFDAAVAEPVQHADLGAFAKEVNAADVLVGVHGAGLANLVFLPAGAVVVQVVPLGGLDAMAAEDFGAPARDAGLGYVHYAVAVEESTLARRYPRDHRVLRDPDAVRREGGWMALRDAYLVGQNVTIDVARFRGALRRAMELLRPSDENVAGIGSMVSAADGSKNQLDSGRNASPEDTRKDETFVGDSGDARVFVASAAAPNPAAVGSEEGEVPAKDGDATGGAAVLPAVASEESANSTQESGALEDEELQVQDAIAIAAKKSNGSSGSNGNGGSPPVVPSDPAILPAPVEKIPLPSPEVKAPAEQQIPLVPEIKQADSGTPLREWKPLCDVTSNRRIDWCELDGDVRVLGANGTVTLVASPGADNRTFREESWRIQPYPRKADPNAMRHIRTLTVRSVSGNGDAAAPACTDRHEVPALVFSDRGYVGNYFHAYTDVILPLFLTARQYAGEVRLLVTDFQMWWLGKFMPVFKAISNYELVDLDSDPRVHCFRRVQVGLTSHDDFSIDPRRAPNGYSMLDFTKFMRTVYGLPRDAAYVVAAAASASNGKTKKPPRPRLLVIARARTRRFVNTEEIVRGAEKVGFEVVVSEGEHEVAPFAEIANSCDAIMGVHGAGLTNMVFLPTGGVVIQVVPLGGLEFVASYFRGPSRDMGLRYLEYRITPEESTLIDQYPRDHIIFTDPDGVKSKGWDSLKAAYLDKQDVRLDMKRGAQRRRRQQKEVAMSFAEVKTGRSQKSSAARLLNVAVVVGVLLVLLTYLVVSQQFAFRVPYVVITKAQHTTDKQLTEASELNRGESFATEESKVVCSSEGHFSESCEINGDVRVNGTSRSVFLVPTSRSEPREWKIRPYPRKEVEAIKKVTVTQLPDRSAAGAGASRCTATYTVPAVLFALGGLTGNIYHDYADVLVPLFVASRRYDGEVQFLVANLGDRPWWPGKYRTLLRRMSRYDVIDLDADAHVRCFPHLTLGLHMYKEFTILPDQQQALTMPDFTRFQREAYGLPRHETANLAREPNTKPRLMLLHRGHYRKFVNEEEVVRAAEAAGFETEVVELRFEMPIEEQARLLNSFDVLLGMHGAGLTTEVFMPPGGVVIQVVPFGKLEFIARVEYDEPAADMGLKYIDYNIGLDESTLPETLGPDHPAVRDPDSIQRSGWLKVYEFYLQKQDIRKEEAMCCCEMQPGKSLKSWAQMHLTVSFVVGVLLLLLTYLAVSQQSAISAPDQKNGKEACSTEGPFSDTCELDGDVRVNGTALSVAFVPSSPSRSEDPRLRVWRIMSYSRRNVSDAKVVTVTRLPDGAAAPPCTAIHTSPAVLFSLGGYTGNYWHDFVDVLVPLFAASRRYAGEVVFLVTRGNPWWLAKYETLLRRLSRYEAVDLDRDDAATVRCFPRVAVGLDLRKEFTIVPEQAPAAGAPRLTMADFTAFLRETYALPRAAPTRVSGERRRRRRPRLLLVHRGRYRRLVNEEEVVRAAEAAGFEAAVMEPRGDARVDEQARAVNSFDALVGLHGAGLTNAVFLPPGGVLVQVVPYGKLEPMATREFGEPAADMGLRYIDYSVAAEESTLLEMLGPDHPAIRDPDSVHRSGWDKVAEFYLGKQDVRINVTRFAPTLEQALNHLLRRQQASHRGTAVATD >Et_10A_000744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16380124:16380977:1 gene:Et_10A_000744 transcript:Et_10A_000744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GVKGGRESETRLNFTSARWRDGGGRSRHGGAGKAYIYKLAPYLHADLREFPSLSPSVALLNARGPLAVSAALSPLLLTCGGRSCTRSRRQRRRSSPTTRSSTDGVPPPRRRPRREEEGRDRLHKVLLDELIARTGADMAGFHDRRIVAAILPPRTRRRHGLRSPRAGDRSGGCGRRDEQPKQRRCWNRRWRVFRDRVQEDVQGASSLQGCLRALEEERMRLELERAVTASLGHRGELIGWSRRTSRAAETETVLEPQMAAGGEWRCEAVGGGVKRSLR >Et_2A_017088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30871704:30876484:-1 gene:Et_2A_017088 transcript:Et_2A_017088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFLPELATQVVVPAAAVVGISFAVLQWVLVSKVKMSPDQRRCDGGSAAGKSGGGSSEYLIEEEEGLNEHNVVVKCAEIQNAISEGATSFLFTEYKYVGLFMGIFAILIFLFLGSVEGFSTKSQPCHYSKDSTCKPALANAIFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLFVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMMYPLLISSVGIIACLITTLFATDFSEIKAVDEIEPALKKQLIISTVVMTLGIALVSWLGLPYTFTIFNFGAQKTVYNWQLFLCVAVGLWAGLVIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAEISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGNAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGILAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKWL >Et_3B_029747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27610652:27611952:1 gene:Et_3B_029747 transcript:Et_3B_029747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSEPTMEDAKALLPYGMVDSTLRALAGQAEGFGRHAIGGLHGDIYHVTTLEDDGPGSFREGCRRREPLWIVFDVSGTIHLSSGVRVSSYKTIDGRGRRVRLSGKGLQLRESEHVIICCLEIEGGRGHDADAIQVKPRSRHVWVDRCSLRDCADGLVDVTSGSTDVTVSRCHLAAHDKAVLVGASSAHVEDRGVRVTIHHCFFDGTRQRHPRVRFGRVHLYNNYTRDWGIYAVCASVEAQIISQCNIYKAGENKKVFRYMEEQAADRDRSSSGRIRSEGDLFLNGGEQCAAYASEAAGDEPWDFEVQDCYQSCSVQPASMALKKLLQCRAGWEPVPMPPDVSSTDI >Et_8B_058833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3299:8030:-1 gene:Et_8B_058833 transcript:Et_8B_058833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTKSEASDGVKRRQERVANHRGKMRIECMTPLSRDIVVSVRNRITFPTNRRDASRLPHLISTEYGCVPHIHPLARTQSTVTPLLSALEERRRQRKERGRLAGLSIGAGVISTQKIWPSLQAFSDIAFAYSFTNIFIFKKQRGPRPGLRVRSKVEIQKSRHLQGSVKNRCDEEKRRNFRGMRHYEKHFGMLKLEPAFGGSEGHQVEYGSIWDEYLYIEFYDVMYVPMAIALSGQKLLGQPVMVKPSEAEKNLVQSTATSGAASGGARNMNCLYLVSEPFGQVELVQLPTDPLTGLCDGYGFIQFDWLEDAKAAQCLNGQLDIAGRVIKALNATSRAAVVMKLDRSGTTTRLTGGIGDFGLNTSMALPAASVIRAAPVASPFPQPTIPTAALVAGAPLLPHSTFGH >Et_7B_054673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3355141:3357123:-1 gene:Et_7B_054673 transcript:Et_7B_054673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVLQPDRFLSELTSMYERSTEKGSVWVTMKRSPLKGKAQLQKMEKKGHEVEYRCLVRASDGKKSISTSVSLKEYLKFQASYATVLKAHMHALKKRERKDRKKAAEAEKVPENAPKKQKKTSSKKSSGSKS >Et_7A_050968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13041928:13043917:1 gene:Et_7A_050968 transcript:Et_7A_050968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALREASRCLGLASRVSPRPARSALLAHSRGITYKLFIGGLSNFATEDSLTEAFSRYGQVIEATIVTDKVTSRPKGFGFVLNGRVIYVDIAKAKMDRGSDARPIARGPPKPIGND >Et_9A_063493.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:4836030:4836362:1 gene:Et_9A_063493 transcript:Et_9A_063493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHTGRRCNSSASTTRRARPPASSAVAPRRPSPTSSSKAGGHGKVIRTTRSRDMAAVRMRMEALRRLVPSDGGDRDDELLLRAAGYIARLQAQVQMMQVMVDVLENAHD >Et_6B_048452.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6297263:6297313:1 gene:Et_6B_048452 transcript:Et_6B_048452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVMVWRPCGSRSWS >Et_10B_003283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18475271:18476862:-1 gene:Et_10B_003283 transcript:Et_10B_003283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFFFAKRRLIKPLLRGLSLSPISSSAEPRKYTGYALLLLGCGAATYYSFVLPLPRRRASSTRRPSRSSTRRSRRTSTPFPTGATPTRTSCTPASSFSRRARHRAQGATQARAARIGAGLSPNVLALTCTAPAWSTSRSWTRCSMSTWRRRPSRCRPGIRVAELVDAVREYGLTLLDFASIREQQVGGFTQVGAHGTGARLPPVA >Et_10B_003792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6232874:6244037:1 gene:Et_10B_003792 transcript:Et_10B_003792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSINFRGIAGSAGNIMQGMGKFVFGNERSESKEDSYVERYLDRISNGTIPDDRRSAMTELQALVAESRSAQMSFGAMGFPVLLNVLKEDREDVELVRGALEALVGALTPIETTQGPKTEVQPASVNSDLLSRETENISLLLSLLSEEDFYVRYYTIQLLTALLTNSLKRLQEAILLIPRGITVLMDMLMDREVIRNEALLLLTYLTRDAEEIQKIVVFEGVFEKIFSIIREEGYSDGGVVVQDCLELLNNLIRHNASNQMLLKETMGFDPLISILKIRRGSAFNFTQQKTVNLLGALDTVELLLMGGPSGEQGKDANKIANQTALAQKNILDHLLLLGVESQWAPVALRCMALRCIGNLVLRNPQNLDSLARKQVGEEPHVQPALNAILSIILRTSVAQEFVAADYVFKCFCERNPSGQALLASTIAPHPNQGATNGATSDMPFGSILLQALISTDDNGDMEACCRASSVLSHIIKDNLQCKDRVLQIQLETPAPSLGRTEPLLHRIVTCLSVAASTEGENDLNTQPEESYIQPVILRLLITWLVDCSNAVDCLLESAVHMNYIIELASSKRYTACVRGLASVVLGACVLYNASRQKGLDAFAVADAISQKIGLTTYFLRFDELRKSLAHPSLAQQHRKQLSRSSANSMSDFQEIEEEETNKGDPHPVLSEIFDSQFINFLSKLEADIRENIMDIFSRTKTATAILPPELEQKNGEVDGEYIRRLKSFVDKQCNEMQDLLARNAMLAEELVRTGGGNTADTSQKPSSGRERVQLEALRQELEGGGNMPYPDLEAIKAQAKEEAEKDSEAELNDLLPLLEMEHFNLATGMGRTLAFWVSSVTAFKNATSRSKRTLSAWTVFERLFDSSCANSKPAIFSFSISASLSLLAGNFVERPLGDGRTLDAVESQKRGIGLSPSVGNTPQFSEMLKARLSRSKVSEEQR >Et_8A_057881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8705073:8707472:-1 gene:Et_8A_057881 transcript:Et_8A_057881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHPQLIGTSYECLWGKKFKDTQTGNWFVFLNQEIVGYFPKEIINNMNGATNIQMGGITYAPPGQRSPPMGTGVAPMLGKMNFASQFIQIVVKGAKIGLAYGRMSCVARIIKDQESVGTLSLSPSQAQASSPSLQNT >Et_8A_057276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22988297:23016495:1 gene:Et_8A_057276 transcript:Et_8A_057276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGVVSQVLAGLLRRYVKGIQKEQLKIGIWNEEILLENVELILEAFDYLQLPFALKNGRIGKLSIRIPWKKLGWDPIIIVVEDVFWSSDSLDKRELAGKLAKLNAIELAKFSRRVTDNQTGQSFLSYISAKILDNIQVSMRNVHIVYVDSPSDQGSFVFGLEFSSLSIQTDTQKQSFPMSLMARSRQDEVNKIIEISNVGVYCHQLEEQQDICHANKAAKLDGAPQYDMTVELTALALSVDEIQLQQILNLCDYFTICALRTKYGRYRPPQSSLSKRRKGWQRMWWQYAQRSVLADVHRRLRKTSWRYLGQRLDSRRKYVILYRTKLELLQKGQIVNKDILQELENMDKECDLDDILSYRTIAEQQLQESLVKSTKDVFSSPGSPGIDEQSAGTSRGWLNWLSLGMLGAGGTAESSSFAGVISDDIIKDIYEGTEFHPVSAAENFSTKENYYSLFVRLSISQILTTVTSRRLSMKLVDAMFAGLVTECKIWDDSVTILAWLDSLQVMNPLSESKILLAEKGSTGDGLGAPVISVQVDYPKSNQRSEASIRVVIQEFNTIYEPEFFVNVLHICNPFFTFQFQHDRVLSSLNQFDNFGARLVSKLKYVSANRKKLIWDLRIHQFAIRLPSKSCEREELTMVFEAADVLVQSKDIVDDVSRTQESNSLLGCISKNLSDDLVQGIQYDELYNDFEVCLTGLEVNVCLPNRHNIASTLIKLDASIVLRLCVFQDEPVMKQLEVDFIVPHIDIYLSPMMHSAIVNLPRVKESNLVGNDMFDNTKTHGPKKPAFNMSASLKLAKLCGRVDLDDNYEESSVVTLDAEDIDIRYAICELSDLSLAMKTVKITSNNQKDESDSHVLCLSGNCKSSAMGCPEKFTDTCLNLHYRTHKHDGQMHHVYQLNLQDVDLYVYPSVIGQIRCFLGNLDSVPLVDSDAVPSTRRQNSLKSRATNDLLPKFSLSNLCDVEARESLYTKNEQYQDHSGLNGSHASDIAGSSLCETQHSNCSSSSSNNAENVSVTVLDLSLVSVRVHFAESCSILATITIPESIATLTLLDACSWDFLLSANNITLASPWTPPNFHELLWGTSSHHNDSVLNVRVKKDLPTLSTEVCVGIQNVCCILPSKLLAMFVGFFLLDDWDPILEQHHPLSSNNLECYGETHESISYKFELCDCVVIFPVGKQDFFCLKLEVPYFFCEFIPTGSSIEFAKRIPKEFFCSECIVSNRADIISIYARKASISLLFVSDQTNFILKLDENVPKRTCSLIENLDAGIWIQIPCNEVSCSMQPTVPIFIMSKISKCNLIAEDLYFMNGMEAVVHVVDQLISIGKESKMYKGNALQFLGLRISNEGNPDPNQVTNITISIKDLVIFLGRSKDQGLALERIATANLEFDFSAVLVSEELERMNLDIVSLALESPGGYILLSVVSEGPLSPVFFRFKKHHAGRDEMLVSVPLFEMWLYLVDWTTIIDHFHSYVKKEVNGLETEHRTALPPFQERAPPPFVAPKFGSPDNSNLVVTCDTIAGVVHVPIWENKENHTSIIGGASGFPMQVSPNHVTDDIRNLEPKSCKFVTLTFESKTFVVMSNDSCLNFKCDFERMKVMLEMIQKNKVTSAPFVHISKVKACGYIHQSERNLEHLFLDLQAEYMDVSFSHQIFSFWRNMELTFPAASSASSYSSVIFKAGLKKGSLLLNDGRWSSHGPVIETLLKNLTVQFNQMNDQTEVSVFVDLLVNYNNIDKVMWEPFIEPSRFQLKVLRKCADCALDLSPSTDVCLTSSKQLNVNISEPLIEAILRLSQMITDSLDPNIGGGLQEDPGILRLSHDDVRTRRYAPYILSNDTSLPFRFKAYRGAVNSDDTDSFSVIDENSVPAGCAVPIYVEETLDEFFFQHREARSSDHLIEKRMGVVSHYMISIEFDGTSGPSKPMSMDLVGIYFFEVNFSSSKKPTLGEESLGAFSSRKGSNGLIVPVVLDVSLQNYSKRVRVYSTVILYNATSLPLELRFDIPFGVSSKIIGPIPPYKEVPLPVHLSEAGQIRWHPVGRTYLWSETHSLSSLLSRESRVGFMKSSVCYPSHPSNDPFRCCVSVEEYGVPSSSGTRKGQYCSEGLKAQPVSGSSAPKTSKQISTRAHFIRHVRLNTPLVIKSYLPVCISLTIDNGGAARVVSLKEVGSASIFFVDPSNDLGITFNIQDYRSLSIKFPRAESFSTAAKSDAPLNVMLEKAMDARSGARELYLSVPFLLYNCTDLLLTVIESSSERSGSTLVIPSSFEFDGHARHLLHKNGLSLVSEDSSVQRFASKMHQLDLTDGCFSPSEKSSTNNSESVKKEYDEEVKAYMFAPDGHTPATELLVKLNASPPNDGTETTRRDWSSPFLLVPASGSTNITIPQSSASGAFLVAATSVPVSAELFGRTRAIAFRPRYVICNACSSDLFFRQKGTRFSKHLSSGQHSFLHWSDTSRELLVSIRFDGPGWQWSGSFFPDHLGDAQLKMRNSASGVSNMVRVEVQNADLDIHSKKFSGRNNINTGTVLILLSDDKTGFVPYRIDNFSMEKLRIYQQKCESIETVVYPYTSCQYAWDEPCYPHRLTIEVPGERSLGTYNLDILNDDIHVSLPSTSEKAERKLCISMHAEGAIKVLSVIDSNCHNTETKETAFPGPKEPKLGDQKQEVLEMNFAEVIKIQFPFIGISLISSSPQELMFASAKEVTIVAMQSVDQQRFMVEVQSMQIDNQFPDSPHPVMLSFEESHKGKSMNLFKSKDTKLRPLNDRSFCNTTEPVLRFAAAKWRTKDVSFVSYQCINISVAPVRLELEERLVLSLIEFFRSVSSRVNLGQLDKNLELSILSGVTDMLGEDKISKHLSEKSQSFCMVGVQDSELLPSVVPVGAPWQQIHLLARKQKKVYIELFQLTPIKLIFRHHCGQKLSIDCSAIFSDVVLQAHRGSIGMKVVQIPAQVSITLLRSRWRHVPHFNADFRLKDRGLMALLDVEGVPVHLGEIMVENLMASWQSVQDILVRHYSRQLLHELYKVFGSAGVIGNPMGFARNVGFGLKDFISASRKGKLQSPVELLNGIAQGSKTLIGSTVYAISSATSHFSKTAYKGLVAFTYDEQAASKMDERERQLGLQGEGVLNGFLEGLTGLLQSPIRGAEKHGLPGVISGLAMGTAGLVARPMASILEATGRTAQSIRNRSNPHESNRLRVRFPRPVARDHPLFPYSWEEAIGVSLLIQADSGRLKEETFVMCKALGEPGKFVVLTEKLLLQVSSPYLVDLGTPQFVGVPPDPQWSIGTEMNLKSIVHLDRSQEVVNIVGSNGETSPRDRKGGIRGRAVSSAFIPLFHLSVELPNIEDAEGTLQVLQALVEKGKARRWDKNILHRSNIS >Et_10B_004129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14739227:14741880:1 gene:Et_10B_004129 transcript:Et_10B_004129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYSGSCYDPEDDDIDQGAVDKIIASPSTMKGCSSKRVVAPMEQQMEQPDCHVSSKTGCTETVKNAIVAMEAIMAESAGDDNASKTPTEVVAQVLPKTTFLRNVGIKTKEVKTTTVVGARVVELESELEGSKQMAEDLKEQMERMAKQMEEAEAARVKEAEEAQARMLKLAEELERLKKSSDETQALLQELAKRYKVGQLVGRK >Et_1B_013931.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:24462923:24463774:1 gene:Et_1B_013931 transcript:Et_1B_013931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVGPTAGGGITLPSSGAPMPPLHPAPTSPTARPHHHYYLFSIKQLNTLGAAAVLAFSTTVPLSEIAFALLALPYLLFLAAVAFPQRPGKPDPAAPVFPGLAGRLRLAVHTAVGFAVGAALPALYILDGLRTGDTAGVAHAAPHAFLLSAQVFTEGFTSAFPGKFSLPVRAAVAVMYSARRMFSASEWLRQEMEGRDELGRGPAVAPRRVMAGRALAVANMVFWGFDLFFYLLPFYLPKALRRYYLGRDHEDDGKDRSKTREQQQKQLHEQKRGEEGKKDS >Et_4B_037989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25341014:25341948:1 gene:Et_4B_037989 transcript:Et_4B_037989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYLALKHATGSDQPVFFSISEKKIIDDDRGVGELTNNNCWATPQGWILVRDGLSSTTYLLDPSNRDRKISLPHLPEENLTCRHTAPVCSPNPAQHTSCVVLLVETDLPVIWYCRINDENWTRHEYDIGTLNLCGGCTEKLVISPITSCRGKFYFNGGGFKELGVLEFCPAPVFSFITIRDAITGHPGLRKVIKVESEEELYMVSLVSSYDLNVVHRFSVHKMDFGTEEWREVHDIGDRTFLLSSWYFGASRSAEECGLEPNCLYMVYAGVKRLMTFNVRDGTMTVQDLDEVPASKQALWILPTHP >Et_4B_036498.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27288310:27289089:1 gene:Et_4B_036498 transcript:Et_4B_036498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFWRPPRGRLCVFGAANDPASSDGDVDGPWRIKAQRFPELSDQAFVADARRQGLLGRPLSGRRAAEGSEAVDEPVFIDLPHGYTIRLADSPVNGMCEPAKLSRTMGCVGGRIKFVCIHRPSSRRRGNEFVKVGGGMKRREGSPYPWKELWKNVGFMHDDDVEPQYPVLIPDGGLCLLLYNTRVKRRDFLGPDYICSFDMRSRRPNWFGLSRSCNVREPFVLPYVVFLLRHRRVARRSCQASHVRRPSAVVRSRIFS >Et_7B_053801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12339243:12342515:1 gene:Et_7B_053801 transcript:Et_7B_053801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGHGGHHHALPRRGRLLPLAAAAAALLLLALLVLLPPAPPEGPASLLRAAVAGHPSPVSYERPCADHLALSLRRLNAAISSLESGDVPAALHLASASLQCQYDCSHLLSLPAFRSQALTSRFLNSLAPLTLTAALKPSASASTAAAAAFPGRIRPDATVCKPGEAAKQCGYSTVQAAIDAAPNYTDGHFVIRVAAGIYNENVIIPYEKTNILLVGEGMGATVITASRSVGIDGLGTYDTATMAVIGDGFRARDITFENSAGAGAHQAVAFRSDSDRSVLENVEFRGHQDTLYARTMRQFYRRCHIFGTVDFIFGNAAAMFEECIVKTVPRAEGARKTARNVVSANGRIDPGQTTGFVFRNCTIDGNEEFVELFQTKPQSYKLYLGRPWKEYARTLYVSCFLGTVLRPEGWLPWRGDFALRTLYYGEFDSRGPGANPTARVEWSNQTPEKHLKKRCLHCECLSFAGVAKEKLSPCLFASDCAQFEP >Et_9A_062292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21580856:21587194:-1 gene:Et_9A_062292 transcript:Et_9A_062292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTQSMEAEVEEMRAALLLTGAWRAGGGAAAAKRAARAQEGAAEARTVCVTGGTSFVGFAVVDRLLCHGYNVRLALETQEDLDKLREMEMFGENGRDGVWTVMANVMDPESLHEAFNGCVGVFHTSSLVDPGGISGYTKHMARLEAKAAEQVVEACVRTESVRKCVFTSSLLACKTILMTVGSQPALMRTAGAMRAYLWLALGKTMAEKAAWRAARRTDLKLVTICPALVTGPGFRRRNPTSSIAYLKGAHAMLGDGLLATADVERVAEAHLRVYEAMSDTAGGRYVCYDHVVRRAEEFAELQRQLGLRAPAGGRVPASDNRPARFELCNRKLAALMSARRRCTYDAYFSVPYE >Et_10B_002487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10912970:10913443:-1 gene:Et_10B_002487 transcript:Et_10B_002487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGLVRAGHYYHDAAGEVVLFRSSASPPPHKPAKKVLALHPHARESHQVRSTMENQAGSRRQQGALVADGGSHKPRRTRVVRAVDEDLYQVPSDLLRKKGKGVLADPSTLYLNASLHLAV >Et_10B_003775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5829244:5835451:1 gene:Et_10B_003775 transcript:Et_10B_003775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEMDVDLDAPDEIDSPSSSSGTPAASGSVSGVLSELAALHRRASSSAASSPPLSLPSLNYLSSSASAAASLFPRLAAAGIPASSLLPPLAASLTAHPPPAAAASLRLLLAPASPLLTLFSPLPFLSLLLAIRKAASAAGVHGGANPNPGSGGGNPRKRKNQQQRQPAAATRAPPSLLPLALSLLADAAGRLPLGDHADARRSLVDTAAELAAFDVLAAVLGSDYHADAMQDVIRALAPLVLAATKSPVRVAAVQFLVGKLVPLGAEEGEEAVKKAVGYLPRYLAVKAPDKAEARGLAVEAIVEVVRALGEEEREGFAGYVVAMAKGKAKGRLLAVDLALAMLPMLLPSNADDSGIEEGSWGLKFLRVLVERCSDIVGGVRARALTNAAQALDVLSERGVEVARLQEVMKIDNMGLGELLRWRCTDDKAAVRKAALVLTTKAIGLIGRPVDESLLCAMGAACSDSLVSIRKAALAAISEVFRRFPDERVTKEWLQAVPPLVIDSETSIQEECENLFLELVLNRICRVANLNLDDDSVTLEEVFPEGTLDLLKSICDGEVAPCIKKICASLGKKKKLKPLLASSLQNIITISESLWLRNSKPIEKWTAPTGSWWLLSEVSSFAPKSVNWKFLSHHWKLLDNVGQDGRSKASSDEESNSALWAVNRASLLQTISNVSMELPVEPAAELAHSLLTRIENFDMNLSEVDAHVKSLKILCKRKAKTANEGDALILKWAQQLIDAAVGILDQHIKDASDARGRSFVTPLSSKHKGMKGASMPKSTSRAVIAVFTVGSLILACPNASVKDITPLLHTIITSANSEPRPEKLVGGTVSFKELAPSLYIQSWDTLAKICLVDDKLAKRYIPIFVQELEKSDLATLRNNIMIAMADFYVRYTALVDCYVSKITKSLRDPCEVVRRQTFILLAKLLQVRDYVKWRGVLFLRFLPSLVDESEKIRHLADYLFGNILKAKAPLLAYNSFIEAIYVLNGCTGHGAYSESQGSQDRGPSLFSIRGTNERSRSKRMHIYVSLLKQMAPEHLLATSAKLCAEILAAACDGLLNVDDAAGRAVLQDALQILACKEMRIHPSICTDNSEMDEESGEGGGTASALLAAKGRAVTQVAKKNLIQIAVPIFIELKRLLESKNSPLTGCLMECLRALLKDYKNEIEEILVADKQLQRELLYDMQKYEAGKGKTRATCEAGPSGTAKSPSKQTPSGRAGAPAPSVDASARATVRSVLKEVNQNMLTPPLHSMSVPKVKSILGTAGPGSRHPNILESVRRLHPFESDDEK >Et_1B_011362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21073628:21075658:1 gene:Et_1B_011362 transcript:Et_1B_011362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDGGRRNPSLPAPILALTDDLLQEILLRLPPHPFHLASASCVRKDWHRLINDDDFLARVWRHHRTPAVVGFFGNHPDRSFVTPNAVGGRERFATQIPPGRWWAYGCRHGRVFLHQRARGELLVSDPITRQNHYLFTPPAYMPWCDSLGTVLCADDHEHGDCHDRPLLVAFLFVNRVRSAMFACVYSSETEEWGDVSSVSSSFAYIDMDAKHTVLVGNTIYWPASIFSILKYDLDTHTLDMVAETPYNVSIRSEDAIVILPADDGGLGIVGIEGFHLMFWSRKPNNDGALVWTVYRSIDLKRFLKPRHLMRLTQYYITPETIAIAEDAQMIFISLGYNVYSLHLRSMKFKKIFRREHFSRMTHPYSTFYTPGVNPGAGDGPAEDLDGAINLI >Et_3B_030707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6602492:6616673:-1 gene:Et_3B_030707 transcript:Et_3B_030707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVVGDCLGVLQLLSDGTVVRSDAAAVLPSEPFSDVPGVQWKDVAYDAARGLKLRVYRPSAVAEAAAGKLPVLVYFHGGGFCLGKFAQPNIHACCLRLAAELPALVLSADYRLAPEHRLPAAIDDGAAVLSWLRDQAAEPWLAEPADLGRVFVSGESAGANVAHHLAVRFGFDQPDGLSLAPVRVAGCVLLTAFFGGAERTATESQPPAGVFLTVEMSDQFWRLSLPASADRDHPAANPFGPESPSLEAVAMPPVLVVAAGRDLLRDRVLGYVERLEAMGKAVELADFVAEEHGFFVLQPWGEAAAELIRVMRRTMSSGDTAPHVVEDYRAIIQILSDGTIVRSDPAVLGSPECFPDVPGVQWDDVVYAAEHGLKLRVYRPVSTAEKLPVIMYFHSGGFCLGTFAQPNFHTGCLRLASELTAVVLAADYRLPAAIDDGAAALSWLRAQALLAGAGAHPWLAESADFTKVFVAGESSGANIAHHVTVRHGSGQLALDPPLRVSGHVLVTPFSGGVERTATEAEPPAGGWFTTEASDKLWRMALPSGATRDDPVANPFGPDSPSLEPVAFPPVLVVSARRDRHPPRPRAALRGDDEGDGKDRGARQAQHAFFSRQPWGEAANELIRAVKLFVRRNNGGVEMVPTPHVVEDFLGGVIQLLSDGSVVRADESVLTPKETFPGVPGVQWRDVVYDVTRGLKVRVYRPSVAGGEGGNHNKLPVLVYFHGGGYCIGSYIQVGISEMFVQRVASEIPALVLSVQYRLAPEHRLPAAVEDGAAFLSWLGAQAALGAGGEPWLAESADFSRTFVSGESAGANLAHHVVVEASSGKIVPGPVRIAGYILLSPFFGGGERTATESEPPAGVSLTVELSDQLWRMALPVRATRDHPLVNPFGPDSPALEPLALPPVLVVAPEVDVLRGHVLRYATRLKEMGKVVELAEFKGQQHGFSVLRWGEANEDLIRMVKRFVQRTKSIHPVKLLSPASIDRRSPTMSGNTAPHVVEDFFGVVQLFSDGSVVRGDESVLMPEQTVPAVPGVQWRDVVYDATRGLKVRVYRPSAAAGCEGGKLPVLVYFHGGGYCLASYNQPGISDMFRQRVAADLPAVVLSVQYRLAPEHRLPAAIEDGATFLSWLSEQATLGAGAEPWLAESADFSRTFVSGVSAGANLAHHVVVQIASGQIALGPVRLAGYVLFSAFFGSVERTATESQPPAGVSLTVEMSDQLWRMALPVGATRDHPLTNPFGPDSPALEPLALPPVLVVSPELDVLRGHVLSYATRLKEMGKAVQLADFKGEQHGFSVRRWGEANEELMRILKQFTPTMSGNTAPHVVEDFFGIVRLLSDGSVVRGDESVLMPEQTVPAVPGVQWRDVVYDAARGLKARVYRPAGEGGKLPVLVYYHGGGNCVGSYNKPGLCDMFLRRIAADLPAVVLSVQYRLVPEHRLPAAIEDGATFLSWLSGQAAIGNAGADPWLAESADFARTFVTGGSAGGNLAHHVVVQASSGQIALGPVRIAGYVLLSPFFGSFERTATESEPPAGVFLTVEQCDQLWRMALPAGATRDHPLTNPFGPDSPALQRLALPPVLVVSAELDVLHGHILSYATRLKEIGKAVELAEFKGEKHGFLVRRWGEANEKFIRILKRFVHGKHDTAPAQVVEDFFGVVRLHSDGAVVGGDESVPSCR >Et_9A_062092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19688212:19691568:1 gene:Et_9A_062092 transcript:Et_9A_062092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTKRKQRLKCVFSMVELSVAGYTITIQNVELLSSISDVPVVFRYHISVLALVCKLNPVVRILTSASLVRTGDGNEIDKNLQIQVYLRNKKRVAGTLKYYDLRYNVAVVDIMGFCFPRAMDLKKQISFSPNTEAGIGGALIDNCGNFIGMNFFDVEETPYLTREKSRNCWKMLMQKAGQCPSQFGITLLGFSLRMT >Et_1A_009277.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:36680062:36681582:1 gene:Et_1A_009277 transcript:Et_1A_009277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGANSGSAGGSEEKNLSPSGLPIRDIPGGYGVPFFSPLRDRLDYFYFQGAEEYFRSRIARHGGATVLRVNMPPGPFISGDPRVVALLDARSFRVLLDDSKVDKADTLDGTYMPSLSLFGGHRPLAFLDAGDARHAKLKRVMIGLAAAWMHHVAPAFGAAFAALFDAVEADLAASGAVEFNKKNTVHMLDFTCAALFGGAPPSKAIGEGAAGKAFKWLALQLHPIASKAVKPWLLEDLLLHTVRLPSFLVRRDYAELTAYFADVAAGVLDDAERAHPGAVPRDELLHNLVFLAIFNAYGGFKILMPHLVKWLARAGPELHARIAGEVRSVFPAGSGEAITLTAVEKMPLVKSVVWEALRMNPPVEFQYGRAREDMIVESHDAAYEVKKGEMLFGYQPLATRDERVFPRGREFVPGRFVVAAGDGDDGERRRMLDHVVWSNGPETAAAAEGNKQCPGKDIVVAAARLMVAELFRRYDTFTAGVEELPVEPVVTITSLTRAAAGHV >Et_4A_034524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4031632:4036079:1 gene:Et_4A_034524 transcript:Et_4A_034524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPIAVALLFFLVAAALFPSSSSQPVAPQPRGFYINCGSDKEVQTGSVKWIQDDGFITVGNVSAINKPNLLPVLATLRYFPDKTARKYCYEVPVVKGARYLVRTTYFYGGFDGGADPPVFDQIVDGTRWSAVNTTANFRRGMSTYFEVLAQAQGKALSVCLARRPDTASSPFISSLEVVDLADSMYNTTDFGKYVLTTVARSRFGSKPEIVSYPDDPYNRYWAPFTDGNPAVESHSPITPDAFWNLPPAKALRAGVTASRGKKLTVQWPPAELPAASYYVALYFQDSRTASPFSWRVFDVAVNGQDFFRGLNASAAGVVVYSNMMQLAGKTEIVLTPNETSPVGPLINAGEMYMIVPLGGRTATRDVVAMEELAGSFKNPPPDWAGDPCLPRNNSWTGVECSEELPARVLSLDLKNHGLSGSLPDSIGNLTGMKTIYLSGNKLSGSIPDLSSMRTLTALHLDGNQFNGTINPSMEKLASLKELYLNNNNLTGQIPIGLKNKPGLDLRRPEEMVEQYHYLMGEVNVPHSYGSKQNRYLCILDLGELSQTPGPPNRRRSHDCCVDDPASLLLLLGGKPNQEKKVTPSQHNQWMKR >Et_8B_058879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10354634:10357068:1 gene:Et_8B_058879 transcript:Et_8B_058879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRMADIQLGVHTIKSHGAKLIAIVLPWAVFCGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKPTYDNITTNVICHGVKSVIKEGHKSFPRSFAGLGFLAWYLAGKLKAFDRKGHIAKLCLVFLPLLVASLVAVSRVDDYWHHWQDVFAGGIIGLTVASFCYLQFFPYPFDNDAIWPHAYFQQLADTHSNGNANSFSTRPTDFENEEEGHGGIALEP >Et_7B_053601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10178702:10182282:1 gene:Et_7B_053601 transcript:Et_7B_053601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGELALSILLASLLALVLSHFLPLLLNPKAPKGSFGWPLIGETLRFLRPHASNTLGSFLEDHCSRYGRVFKSHLFCTPTVVSCDQELNHFILQNEERLFQCSYPRPIHGILGKSSMLVVLGEDHKRLRNLALALVTSTKLKPSYLGDIEKIALHVVGAWRHDGKSSKVIAFCEEARKVLGLSPEEPVTARILEDFLAFMKGLISFPLYIPGTPYAKAVQARERISSTVKGIIEERRSAGSCNKGDFLDVLLSTNELSDEEKVSFVLDSLLGGYETTSLLISMVVYFLGQSAEDLDLVKREHDSIRSNKGKEECLTSEDYKKMEYTQQVINEALRCGNIVKFVHRKALKDVRYKEYLIPSGWKVLPVFSAVHLNPSLHGNAQQFQPRRWEGASQGTSKKFTPFGGGPRLCPGSELAKIEAAFFLHHLVLNYRWRIDGDDIPMAYPYVEFQRGLPIEIEPISPGF >Et_10A_001479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3941942:3947911:1 gene:Et_10A_001479 transcript:Et_10A_001479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRTVLAAVRRPAPAPAAARLRAPPPFAAPRRRVPAAFTASSPTAAARPLAAMMGSPVTVASVMARLTAHPGASARACCELSQEAPWRIGDDRGRPLRALARGSHRRPRRSDAANHAAPLLTAGYRGAVDLVLGHDMSEGWIIHESPAGTGEAEEAGIERRSTEPATESRGEPAGPGHLREMGFFANVGTQ >Et_5A_040922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1524464:1527964:1 gene:Et_5A_040922 transcript:Et_5A_040922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLPCSGSSGKEAKNMAAFSPSPRPAAKAAPVRSNSRTWGSKKEDSVPVRRGGNIAHGPAQIFTFRELAVATKNFRRDCLLGEGGFGRVYKGHMENGQVIAVKQLDRNGFQGNREFLVEVLMLSLLHHPNLVRLIGYCADGDQRLLVYEYMLLGSLENHLHDRPPDAEPLDWNTRMKIAVGAAKGLEYLHDKANPPVIYRDFKSSNILLGDDYYPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRRAIDHTQPSGEQNLVAWARPLFKDRRKFCHLADPLLQGHYPKRGLYQALAVAAMCLQEKAASRPLIGDVVTALSYLASHPYDPNAPSTKDSMTCPSTPRAKTHRRTTSVPDAQHAANALILNFPDLRKDTSRIGEFEQDHTEGYGSGNSSGRNDGLDVPELLAIHNGKDYREADSIHKSAAKVDAREN >Et_1B_013362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7759645:7760709:-1 gene:Et_1B_013362 transcript:Et_1B_013362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEVLSRGKKTTAEDEADAVNRPLKPADSADTLFDISNHKVTAIGASGARSLLKPLAAPGQSYKKFCFRNRSFGLDAATFARPVLESIKKRTRIWISQDEALHVMSIFSKALEGSVLRYLNISDITLDYRGVMTFKELLESQKDLEELYVMNNGMSAEATKALSELIPSTGKLKVLHFHNNLIGDEGAMSIAEMVKRSPNLQSSSFYCKSRVWAQKKGFEKHWISLLTSTVMVEKKSNEMSMPMVEQNLLWGHGRMMSIEHALLV >Et_9A_061550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13575682:13579166:-1 gene:Et_9A_061550 transcript:Et_9A_061550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREDEAVDAMEIDDQRQLVEAPAAVPEGFNADYLRVYYGKLFPYGDFFKWLSYGNDGKHPGCDQSYVGRREFSFTLENDIYLRFQSFDSAAELESSIKEKCPFKIDIGPVYSVDPSKRHAYAQSGNNVFVPVERELIFDIDISDYDDVRYCCSGADMILVSITYYGYTVVVVVYIAGLSNEQRAAIADYFRVYKGGENTSKKISLTGPVLHPFLARSYTDVLKSFFEDKLLKSQQLFASEERCQKILDLIPDENVASELHDKWQGNRRSSISKEDVNVARWEQLKTTLQSGKNKGQGLRRCVEEIVFSYTYPRLDMEVSKHMNHLLKAPFCIHPKTGRVCVPIDPNDCDDFDPTAVPTLSQLLGELNAAGFQTDSENNWERTSLEKSIRFFRTSFLQPLLKACKEELESAYNTKLQQSKNSLNW >Et_4B_039810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3209518:3213008:1 gene:Et_4B_039810 transcript:Et_4B_039810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDIVLFMDLGRSIGKAYLVSRSLPSSCEPESEWAYFAHEVLRGKRPAPEDVEVEDLDEADGGGKRSKPPSPQPHTPDISESPGHHRHGSGGAEEPGAGSNLIGSIGRDLTINCLLRLSRSEYGSVASLSRDFRSMVRTGEIYRLRRLNGVAEQWVYFSCNVLEWDAYDPYRERWIQVPKMPPDECFMCSDKESLAVGTELLVFGMARIVFRYSILTNSWTRADPMNSPRCLFGSTSVGEKAYVAGGTDTNGTILSSAEMYDSETHTWTILPSMNRARKNCSGVFMDGKFYVIGGVADKNKVLTCGEEYDLKRGSWKIIENMSEGLNGVTGAPPLIAVVNNELYAADYGEKDLKKYDKKNNKWITLGKLPERSVSMNGWGLAFRACGDRLIVIGGPRTYTGGTIELNSWVPDERPPTLGDQENFA >Et_1A_005913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15449387:15450870:-1 gene:Et_1A_005913 transcript:Et_1A_005913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKLKKMASAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNTIPGIEEVNIFKDDVVIQFVNPKVQASIGANTWVVSGTPQTKKLQDLLPSIINQLGPDNLDNLRRLAEQFQKHAPGASGGEAGAVTGAAQDDDDEVPELVPGETFEEAAEEKKELS >Et_6A_046073.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21765038:21765286:1 gene:Et_6A_046073 transcript:Et_6A_046073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFGMNHSAISNILWRFIESMEERAICHPKWASPEEIATIKARFENIYGLPKYIESMDHSCILSTSGFYRLCEKGVRLDTSK >Et_10B_002532.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:13483674:13483757:-1 gene:Et_10B_002532 transcript:Et_10B_002532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKEEINSYRIAWGYQGGDGGILEQG >Et_3A_026340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7030447:7031029:1 gene:Et_3A_026340 transcript:Et_3A_026340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEGTSAGTEECHLMAQESPLPPLRSGNKQARKKIVAHRGSAFSKEEDSVLCSAFLNVSKDPITGVNQKQDGYYKRIYDYFCDHKPEGSKRWAMIQKCVTKFCACKSAVDRQNESGKNEYDRVEDAQKMYEKTEPWQFMHCWKMLRNEAK >Et_2A_018796.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:7743212:7743703:-1 gene:Et_2A_018796 transcript:Et_2A_018796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGTMDAAHHGRRRYDGPGVRARRGTTVESDVYSFSVLLEIACDRRCAWGRTTSSTRLVQYVGLWDTVVGASSTPPTPGWTVSATLVGLWCAHPERCLRLTIRQAVNLLRFEAPPQSLPAKMPVTTYRPLAGCSGSATSSAEAVAGGCGCTGRSTTTEPSD >Et_2B_020382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19590605:19591074:1 gene:Et_2B_020382 transcript:Et_2B_020382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSKQKIFRHELTRTNMPRNGIAVSVKQNVTLNLNLSITAERHRKKIKALQGEGTEAKLCDLKTEHKEPSDGSDSMIATSDKVEEQMTPCVICNLLCSSENISAGHYSREVHLEEQKLLNFCEVCDLQCNSEKMLLHHCTGKKHLKKLNAKK >Et_7B_055307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9199666:9202906:1 gene:Et_7B_055307 transcript:Et_7B_055307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDMSMPGSSGLLTAVGKRDMKFFSNQYVLVLTGCAGIGGFLFGYDTGVISGALLYIRDEFPAVKDNYFLQETIVSMAIVGAIIGAAGGGWINDAYGRKKSTLLADLLFALGSLVMCAAGGPYILILGRLLVGLGVGIASVTAPVYIAEAAPSEIRGGLVATNVLMITGGQFFSYLINLGFTEVPGTWRWMLGVAAVPAVIQFVLMLYLPESPRWLYWRDEKAKAIAVLEKIYDSDRLEEEVELLASSSMHEFQSDNTASYLDVFKLKELRLAFFAGAGLQAFQQFTGINTVMYYSPTIVQMAGFTSNKLALLLSLIVAAMNAAGTIVGIYLIDRCGRRRLALTSLCGVVVSLSSSNLCMDGMNGSCQGALGYFAVAGLALYIAFFSPGMGPVPWAVNSEIYPEAYRGMCGGMSATVNWISNLVVAQTFLSIVGLVGTGMTFLIIAGIAVLAFIFVAVYVPETKGLTFEEVEQLWKKKAGGSHENCQSLLGAVV >Et_4A_034539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4091420:4093798:-1 gene:Et_4A_034539 transcript:Et_4A_034539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSHAAAGASSARAGGPLDWILLDTQVLTDDDTQNATTATALTRSGEPIRGDLELLRISSDEYFIYRAGSRPSLLPLPAPGTFCDTGFFRYKVPVGLVTVGGGDGEHFVAAALVHIPSEWSHKLHVFRSERGTWTKPAPFDIESYGYLDISKVIALGGGELGWVDLKRGIVVCDVLEENPEPRLIPLPKLLPCNRKDKGPRFASATHRSFRDVVVCTDGSIKCVEMEHVLKRPDVSDEDVLYDADLLLENFGLGSAPSPRTHQYLGWRIIVWNKTASSNCWRKRSLVHVDDLVADNDALLRQIIGGDDCARSLTVAHLTAEVPTLSLDGGDVVYIACKANPYDTNTLMVSVDTGKKTLEEAVLVISGQEESTDFISPGPVSRPNKPFKSSYTSCALCKYLTVD >Et_8A_057577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4744447:4750863:1 gene:Et_8A_057577 transcript:Et_8A_057577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASRAPVSLHHRIVAGGQRRRCIAGKHGRAARRHFTQALESMWRRSPQPPAPPAAAAARTEDKPRWQAPLLENAAFEEYYKMQGIVREEEWDAFMSVLRTPLPATFRINASRQCFKDIRSKLESDFRRSLESEVSNEYKEDAIRPLAWYPDNLAWHLNFSRKDLRKNQALESVHEFLKHESEVGNITRQEAVSMIPPLFLNVKPDHHILDMCAAPGSKTFQLLEMIHQSVEQGPLPGALVIANDLNVERCDILVRNTKRICTANLVVTNHEAQNFPDCSEAFSRTQKPQRLEFDRVLCDVPCCGDGTIRKGQNVWRKWNSGMGNELHRLQVDIAMRGLALTKVGGRMVYSTCSMNPVENEAVVAELLRRSGNSIELLDVSNELPGLVRRPGIMTWKVQDRGSWFESYEDLPDNRKNVILQSMFPSSTQESHTVCGKVEINIENYGSSLKNHNIGATNNINCDMAKSLGSNSNIMDSNFPLLRCMRIVPHDQNSGAFFIAVLHKVAPLNDSQIVDMAKLKHTPVADSIMQLQKELEPEIRPSENSVVRQQLIEVADRVELLGRLQNLSIDETLKDVNLTEVKSDQVESGDIMQKLQNQSRWKGVDPVLFLEDEGVIESLVSFFGIKESFPFRGHLVTRSIQANNARRIYYISKSVQEILQLNLEVGEQLKIASLGLRMFETHRSKDGCSIAYRLCYEGLPLLLPYVSKRVLYASPVDFHRLLQYRTIKFAHFVDAKLGEEAAGLTPGCCVVVLHDEGDSLSVDPSATAMVCWRGKGTMNVMVSPSHRKDLLERMEYLFGLNAAKVEDERTCHKIGGLDQRR >Et_7B_054315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:192641:193810:1 gene:Et_7B_054315 transcript:Et_7B_054315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESCCVPPGFRFHPTEEELVGYYLARKVASQKIDLDIIQEVDLYRIEPWDLQERYYSNWQTSVLIDGAHAERCKQGVTGGEEQTTEWYFFSYKDRKYPSGTRTNRATAAGFWKATGRDKPVLSSSSGGGCSSRVVIGMRKTLVFYKGRAPNGRKTDWIMHEYRIQSNEHAPPQASSPKVLSPDRWCREEGWVVCRAFQKPVPNQRPCTGLYPTPGYYDTTILGSSSSSSSYYRAAGPADAVFRPQQLPSNYYAVDDDLFESKKHLFSIPPLQSPTAISDPYQLTQRCNAAIGADDHYEQGGGRPAAAIDWNFLDSLLQSTTSQLHDPTSSLPRADY >Et_3A_023236.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:13056425:13056658:1 gene:Et_3A_023236 transcript:Et_3A_023236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRWGSSQGTVVKGGGFLDFIRRCSFGANDAVDIWARVPRQRSARGRRQAGRAAAALLLLPHPARALKHQSRTRDGV >Et_2A_015196.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3956787:3956942:-1 gene:Et_2A_015196 transcript:Et_2A_015196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALEDFYAAHPNFTTRLSLRVRDSERDVVTAASAGTRSRSSVCSRELEY >Et_2B_022935.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:8714660:8715001:1 gene:Et_2B_022935 transcript:Et_2B_022935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLLQAVAALVSRLQRAAKRMGKAKGSPVTWRKAFSMPSLPLPARKAGRDAEGGLWRKEILMGERCQPLDFSGVIYYDAEGRRLAHPPPPRSPMRSPLPASPRLVAGVGRY >Et_2A_017080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30805453:30807909:1 gene:Et_2A_017080 transcript:Et_2A_017080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPCKRFLVLLLVSASLFTYVSAAESNTSVLAAERTRRKDPLDGLRYYTGGWNISDRHYLASAGFSAAPVFVVAALWFVSVSVAALLFCCCRCCCAGGISDSYSRKVFAITLVLLVLATTAAVVGCAVLYDGQGKFHGSTTATLDYVVGQSGEASATLHNFTGLLETAKTASVGGVTLPADLARRVDDVASRVDAAADELAARTADNSRRIRSALETIRKILIGVAAVMLVLLFLGFVCSLTGLQSLVYTVVLLGWIIITATLILSGTFLVLHNVIGDTCVAMDGWVLLPPGQGRTALDDILPCADTAVTNEALRRSQEVNYQLVAALNTIITNVSNANIPPQAGPPLYYNQSGPPVPVLCNPYNADLSPRSCANGEVATDAAPQVWQRFVCRATVAPGQREVCATTGRLTPSLYGDMLRAVGIGDGLRSQAPSLADLATCATVRRAFRTVSDVYCPPLRRHSARVYEALLAASAFAAFAAAAWVAHARERRRRRESERFRVSPYRLPVEEKVLLNSPRRPYRRV >Et_6B_048578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1135739:1138962:-1 gene:Et_6B_048578 transcript:Et_6B_048578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVILIFLSATIAGFFLIRGINAEPDQFQDDDDKASESGSPRAPVPLHSKVGSAVKTGFWTMVDMASGRYLWRTLVASPAKSESDKARALPHDEPLMSYKHFSVGCESSLDGIHNLLQMEL >Et_10A_000571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1346002:1346474:1 gene:Et_10A_000571 transcript:Et_10A_000571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VFAREPSSYIKDIATCEKKRSQGRFDRNNTEITETGPEQLQNSPASRGNHPVTSKTMQQEKGSDPRGVLTEATQNKPKRVPNSSRTAPFDQCAAKLTFFSVFVREPLSYIKDIATSEKERSSGRFDRNNTEITETGPEQLQNSPV >Et_3A_026639.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:11109368:11110246:-1 gene:Et_3A_026639 transcript:Et_3A_026639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGSSNRSRPWADLPVELVDAVVDHLDLFSTTRLAGVCTSWARAVASNASLPFGAPCLLMTTPEEDELDVGAHEDTDCTFQLLEHSRGKELSFRAFVAAMRGGRWWAGAKDDWIATVDEQDRNAELVNLYTGRRISLPPLTTIPEVQIGDGLTVVFEGGEHSFGRIVVCETPPSGSDTDDENGYLAVAMLSSVPLLAITRAGRDDRRTPLIAPFDRTMSYSVEYADVVLHKGKLFAVSWSGDIHTWDMASGLLPDTEPYSIRPPHNNVDQGRCGWSWNLAESRLTAGAFSS >Et_4B_036998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13300198:13301655:-1 gene:Et_4B_036998 transcript:Et_4B_036998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSMIFKTWISLQTVVALGRQLAARGRRRGVILGNAVVIHIYPINGKSYACQVLEQTTAVLVKLSKMGEVQPDPHKTSWPELVGWQDLAAAVRIGIDRQDVFIDFFSVGETPPSHNPHHHRKRVIVFVTDHIVDLPAPMTG >Et_1B_012907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:461880:464461:-1 gene:Et_1B_012907 transcript:Et_1B_012907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPRFRCSAKRRVPIAMRWLMLLVLAAAPAAAGDDEYAHCACDGGGGGDGAGFWTLDNIFKWQKVSDLLIALAYFSIPLEILYFVAGLRHLLPFRWVLVQFGAFIVLCGLTHLLAAFTYEPHPFMVVLLLTAAKFLTALVSFLTAITLLTLIPQLLRVKVRESLLWLKARELDREVDLMKRQEEASWHVRMLTREIRRSLDRHTVLYTTLIELSRVLALRNCAVWMPSEDKSAMCLTHELRRGSDGEAIVSADDIDVVEVKSIDGVKLLSPESVLGLASGGGKEETGTVAAIRMPMLKVADFKGGTPEVIKTSYAVLVLVPPAGRNWAQHELEIVEVVADQVAVALSHASLLEESQAMRDRLAEQNRELMQAKRDALMANEARDAFQRVMSQGMRKPIHSILGLVSVVQEEGLTPEQKLVVDTMARTATVVSTLINDVMEMSAINQERFPLETRPFRLHSMIRDAACVARCLCDFRGFGFAVHIENTLPDIVIGDERRIFHVLLHMVGNLIGRIDAGHVTFRVRADDEALEDSLGQRWDPWRPSYSSGHSSVKFVIGVKRQQSAGPSSSVAKFLRKPSAEGFDLRLSFSMCRKLVQMMQGNIWAVLDGQGLPESMTLVLRFQLQSSLASSSLGGSFDLQYPSPSNQIAGLNVLLIDDDDINLVVARKLLEKLGCIVSSIPSGSGFMNSVGPSATSFQIVVINLEMGIVNPLDVAARIGQYRSAHWPLVMVMTSEQNVWEKCAQSGINGILKKPLILHEVKEELARLLQNT >Et_6B_049946.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:19131529:19132227:1 gene:Et_6B_049946 transcript:Et_6B_049946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSKTLLLGEATTSIVVLALAAVLLATVAGAAAADEPPHTSTANLTLHNLCPFPVWPLVTANAGLPGIHGEPVGRLAGNGEGLATLSFPSGAWSGRVTARTGCHAAEEEDDAVVRCATGESPPVTVAQVSVGGPGGLAAYSVSLVDGFNVAVVITPHGFAQGRRCPALGCAADLCGARAPRGGCGAGASTLAAAFKQVCPDTRTNATDVEATPQDCIAPRELKVVFCPTQD >Et_5B_044091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19919237:19922411:1 gene:Et_5B_044091 transcript:Et_5B_044091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPELVDEAFREILLRIPTDDPECLVRASLVSKRWRRILSDQAFLRRYRSFHRPPPLLGFFHDVPTYGECPRFVPTTAAASPSLRPPLDRGSWAFDCRHGGVLLHAMGSNKVDSFVVWDPITGDQVDLPEPDIPYRLFSASVLCARDGCDHLHCDGGPFLVVFVGSDTQGLQKACIYSSEAGAWSAPASVRVSSCSVVQPNPGAVVGDKIYFRLTSVKEILKFDLGKHRLSLIDTPDACCTNGVLMGSEIGLLRLSCIKGSRLYVWAKKVEPEEVAGWVQWRIIELEKLIFTYGTLYGSRVIGFAEDLSAIFIKTNEDGVFVFELKSGKIRKVCNDVGYTAVLPFTSFYAPGTVPLSLISLDAMYNLYMFPSPPLMDDLVGEILLRLPADEPEHLLRASLVCKAWLCIVSDPTFLRRYRGINRAPPLLALLYRRQVLDGDPDPRFVPTTRVPPFPHPGADGGHTRPLDCRHGRVLIHMVDNEEADYLVWDPVTGDQHILPGPDINWMAYTAAVFCATPGCDHLDCQGGPFRVAFVASDHSINIIWASCTHQRQKSGARRSLLTTVMSGMSRPGEGHLLEMKSSSQFGIPG >Et_1A_005376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:159096:164126:1 gene:Et_1A_005376 transcript:Et_1A_005376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQARAGPPGHVVILVVAVAMLLLCSSVARFPLAVSAARLSSSNPTRLGGFLFAALPSSSPLRAFCPRARPSPATCPAHFSSSSSSTAMADVNPLLLDGFDFPPFDRVEPRHVLPGMRELLTRLEGELEELEKGVEPTWGKLVEPLERITDRLDVIWGMVQHLKAVKDSDDLRAAVEEVQPDKVKFQLRLGQSKPIYEAFKAIRNSSDWESLSDARKRIVEEQIKEAVLSGVALEGEQREKFNQIEQELEKLTQKFSENVLDATKKFEKLITDKKEIEGLPATALGLAAQTAVSKGHENATAENGPWVITLDAPSYMPVMQHARNRALREEVYRAYLVRASSGELDNTNIISQILKLRLEKAKLLGYKNYAEVSMAQKMATIERVEELLEKLRAASWDHAVKDMEDLKTFAKESGSPEANDLTHWDLTFWSERLRESKYDINEEELRPYFALPKVMDGLFTLAKKLFGVSVEPADGLAPVWHSDVQFYCVKDSSNTPVAYFYFDPYSRPSEKRGGAWMNVVFSRSRVLARSGLPVRLPVAHMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGFVAGIRGVEWDAVELPSQFMENWCYHKDTLLSIAKHYETGETLPEEIYTKLVAAKNFRAGTFSLRQLRFASVDMELHTTYDPSGSQSIYDVDRRVSERTQVLAPLPEDRFLCGFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDNEEAIQETGRRFRDTVLALGGGKSPLEVFVAFRGREPSPEPLLRHNGLLPVAA >Et_4B_037527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20925265:20929061:1 gene:Et_4B_037527 transcript:Et_4B_037527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNSGGGGPLSPEGAAALSEGVGLVFERWTALQMAVENQWGGRDSRAKADQLGASILSWFGFIILSPFGCILLIKMPFSGPHYFEDLVDMMYDTISESFNADFEDGSVEEVAEQLLIMHEECQHRNYSSIEKLRNSRAPGNSVSQSRQIVDEDDDSDSSDDEGDAKMMDDEATQQQMAVDKPKPSKPAPDADGWTTVPSRRGRSKN >Et_1B_013823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18390009:18392453:1 gene:Et_1B_013823 transcript:Et_1B_013823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDKDGKQIRQEIQDARVHRPRLLAVRVGVVEDVPHAGGLVQRHLAGVPAGPQALGVPDAPVPERVLPRDADKHAPARHAARRAPVSLRERVAQRVVQPRRARAPEPPERAGLRPVLRLRAADARLPREERVHHEQALERRRAGEEEAAFPRAGAGGHVVRDGGAGARPAEEHARQVPVLREPGVPVLGRRLGGGGVRGHPLERLPRVVERRGQRVLRREAVLGGHDQDVRVGGQPGEVHVRGPPRRRADHEGAAVVVHHDGEPGPDAAAVVAVALACRGKEEARGRVDRDDTPVPAPAGTVSTAPPPYRSTRPLLNCRRKGGKSYSTTLSGSICFLLE >Et_5B_044574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3659670:3667405:-1 gene:Et_5B_044574 transcript:Et_5B_044574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCDGSSQTNKYYLVTRALVQAQTLPLKNALLSDVCIATSAAPTYLPAHFFQTQDSSTGNTRDFNLIDGGVAANNPTMVTINQISRKMIVNKEEFFPGGPTDYDKFLVISIGTGSAKNAAIYTAKEAAGWGILSWLHKDGYAPIIDMFSYSSAAVVDLNVSILFQALRSEKNYLRIQDDSLKGTAATVDDASEENMAELIRIGEQMLAKTVSRVDIETGKSVPVPGEGTNADALNRFAKQLSKERKARTSNQAKTNNAIQLHAPPSTGRQLTTVLTIDGGGIRGLIPGTILAFLESKLQELDGREMRLVDYFDYIAGTSTGGLITAMLTAPDKDNKKRPHFAAEDINKFYLENGPQIFPQRWLPDVFVEIKGPKYDGKVLHSKIQSIFGATRLSDTLTKVVIPAFDVKYLQPTIFSTLDAQTQPLKNALLSDVCIATSAAPMYLPAHFFQTRDGLTGETRDFNLIDGGVAANNPTMVAINQISRNTIVSKEEFVPVGGPMNYDKFLVISIGTGTTKDAEMYTAKEVAGWGLKSWLYSKDGYTPIIDMFSYSSAALVDYNVSILFQALGSEKNYLRIQDNSLKGTTATVDVATKENMEELIRIGERMLGAFVSRVDMETGKPVLKPDEGTNADALTRFAKLLSQERKDRMRSQVTRPRSAL >Et_10A_001665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6580492:6580936:-1 gene:Et_10A_001665 transcript:Et_10A_001665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FSFRLACFLIILTNFYNLKSINGKCNFHLIFKKELFIPQGGTLVATICMDICHNPYDKKIELEELASLQQQFLNMLNMQDGQSLVSHTHDSLKVAHFLIGQYVFVMKSELQQL >Et_8B_059970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4549747:4563194:-1 gene:Et_8B_059970 transcript:Et_8B_059970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DAAAVLHRKRRSFCRFLSTVFSTHAQKRDYDRISEPTNTHICMAGSKVLCACVLIFIVISSQAAEARWLMTAMSNAAEGPSRDAVGKARHGHREEHGARRPRDDANDDHGLPQAEARRLIEAASNGIARNDAVVEGDGSFRAKQEMPTATSSGQGIDGTMQTTTADSRPTAPGNSPGIGNKGNIVLFTCILIFIIVSSQAEARRLIETPSNGMTRSDAVIEGDGSFRAMATVTSSGQGGYETAQMTTTDSRPTAPGNSPGIGNKGDIIN >Et_9A_063466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3395266:3402486:-1 gene:Et_9A_063466 transcript:Et_9A_063466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAHRQSPLLVDSLDIVCILFLDLDVQHDFRDAENTTLAMEVRLVLCLVIFMQVLGAAVGVRPPAMYVFGDSTMDVGNNNYLPGLGVSRANRPYYGVDYPLRIPTGRFSNGYNTADYYMLAAKSMGFVSSPPAYLSLTPMSSLLAVTALSSGVSYASGGAGILDSTASPPSMSTLQASHCWIESKYNGDISIPLSKQIQYFNGTRARMVATLGSGAAVNALLAKSVFLVGVGSNDMFGFAAAEQAQNRSAADDVAAFYTSLISSYSAAIQELYGMGARRFAIINLGLLGCVPIVRVLDPSGSCNDGLNALAAGFDGVLESSLAGLAAKLPGLVYSLADSYGLTVDTFADPQASGYTDVAGACCGSGRLNAETDCMPNSTLCANRDQLVFWDRFHPSQRASFLTARAFYNGPSKYTTPINFMQLAAETYRDQFVFWDRYHPSQRASFLTARAFYNGPA >Et_8A_056466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10499210:10501347:1 gene:Et_8A_056466 transcript:Et_8A_056466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDVLPIYPDHRDLVYGFIDGVGEAFAFGAASGAAFHFVRASPGGRLAAGFHAARAGAPRVAGAFGVFCAVFCALEAATYLARGGEYTFTGSAAAFAATCGLQGMRRGGAARCALLGGTCVVVLEELSWAKMVSDFEKSLARQRRMHGDRPAPAALRPKPDGCDGRGPAPPTPSMFPWMEHNLVDRGGAGAGAGRSRLAAGFRAARANAPRLAGKFGAFGAVFTALEAATYLAGGGQYTLAGSTAAARGAFLGGACILMIWELERSRMVFRFEESLARQKRMNGDRPALASALRQKPDTSQLLMDGRCRTSGLSMFPRMEHK >Et_10A_000966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19731813:19742087:1 gene:Et_10A_000966 transcript:Et_10A_000966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSVAATSSQTEARTSKVSCLQLQASSAHAPSETTQRRWQMVSWRAVAAASVALCLLPVALTLALLCLPLLCCAVAAVRFQQRRARRCCGGRGGGGGRWQAETGGAGDRTRLLHQYLEDQMELRRARKRRPARGCGGRGRWHVETVDAGDRTRLLVGRRPSLRAVTAAALAMWLVPVVLTLAVLWLPLLCCAVAAVRFRRVRSRRRRLLRGCRDGDGGGGRWRTEMVDVDYRLRLLDQYLEDQMELVGAADAGEKDP >Et_10A_002127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23166622:23167976:1 gene:Et_10A_002127 transcript:Et_10A_002127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVNTLNFSTPNFGTARQIWRPVYFHESLETRPLIRVVARIWRKKSTLQLLIVVVGDLHFPLSVAMVLVLLDDPLAGFTSSLFLSDKAVLQIAENPVVKTDGFQAGLDDPACATDSFVIVVEVELLQRQTGARLSRHRSGPKALDIDLKMKAGGKKNAVMSSCVNAVLGKSSNVSPVASTSAVTKDDIAQEQE >Et_6A_047740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12719851:12728518:1 gene:Et_6A_047740 transcript:Et_6A_047740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAEPWQWEKIAKDNKKVMKFPKKGFPHFKNCEILYEEHKKTQSKKTVDALLEDKNHAEAYSVDKCLDTFDSMEEWTDEQKAIASET >Et_4B_039669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2623884:2624869:-1 gene:Et_4B_039669 transcript:Et_4B_039669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQPRRPSEQQEQGGAVRYGDVFPVSGGLAEQPIAPQDAATMQSAENLVFGQTLKGGPAATMQSAATTNERRIGVVGHDQATDATAVQGVTVSETRVPGGRMVTEFVAGQAVGQYLVPDDAAGAGGDAGAGGAAGGGGAADLTKVTIGEALEASALAAGDAPVERSDAAAIQAAEARATGLNANIPGGLAAQAQSAAESNEMAARDEDKTTLGDVLTDAASKLVADKPVDSSDALKVAGAESRNKGDATARPGGVAASMAAAARLNRDEAVWE >Et_10A_001596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5768971:5776672:-1 gene:Et_10A_001596 transcript:Et_10A_001596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAAAAATSLAATAIAAPTSLSCRSLRLPARCSRAPRRAVASMAVSAPRSGAKASFLERRESERALHFVKYQGLGNDFIMVDNRDSSVPKVTPEEAAKLCDRNFGIGADGVIFVMPGVNGADYTMRIFNSDGSEPEMCGNGVRCFARFIAELENMQGTNSFTIHTGAGLIIPEIQNDGQAELAVDGLTWHVTCVSMGNPHCVTFGTKESKLLQVDDLKLSEIGPKFEHHEMFPARTNTEFVQVLSRSHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAERKCIVDLPGGPLEIEWREDDNHIYMTGPAEAVFYGSAMAVDSCRLTPMEVMEKLLMATTEEIPEASDGSGCRYVTE >Et_6A_048165.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:8383765:8383959:-1 gene:Et_6A_048165 transcript:Et_6A_048165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPGFLHDHLLVKSVRVGEFRLPRFKLSFGDSIIKYLKSLGLNLQFEPSFEANMTEIVQVST >Et_1A_004973.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:20848718:20848765:1 gene:Et_1A_004973 transcript:Et_1A_004973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRRNPHCSLSGG >Et_4A_033010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1759883:1764319:1 gene:Et_4A_033010 transcript:Et_4A_033010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRGLAAPPFDLTAARGAAPWPRRPARVQCRCGADPPEMRRRLSRAAAAAPERAEEWRVDGNKPSAAAPGRRRAGLIAMPPAPFPSPRYRRLPKQQYFYPRCTPRGPAPQSRDTPPKRDTGIASEKEWGINLLDEAVKESGTNEDGSTWYRESGEDRSENGYRGGRKVTGLGAEKSGKNAEGDSWWEKWKEVMYQDEWSNLARIERSAEKQAKSGTENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWERWGEHYDGRGFVLKWTDKWAETDLGTKWGDKWEEKFFAGIGSRQGETWHVSPGGERWSRTWGEEHFGNGKVHKYGKSTTGESWDLVVDEETYYEAEPHYGWADVVGDSTQLLSIQPLERPPGVYPTLDFSSSPPPKDDPPGMPPSPLE >Et_8B_059305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16447974:16448886:-1 gene:Et_8B_059305 transcript:Et_8B_059305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRSRRRSAAAFDSPRARNSGRLSAWRAEPFKREGRCGGGGAADDDDGLPPSSSSSRPCPGRPPTSSAAPPPAGAGAASSPPTPPSSAAAARRCGRTPSSAASRWASSTSAPTLAAVGVEPTAVPRFVPLSPAAAARHGPALAVAAGDASRGVASRNGHLVLDLLRRARARTTHAVRLGVSNPFTGGGGGGVDVLPPLRGKDSPTRPYACTVITAADEVDVDHQRGGTGDALASYRVVLLYNRRSFTALRCYSADAGVWGPETQVSGARIGRIQLAGRPHAAVVHCRSTRCGSLPP >Et_10B_004094.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:12007819:12008130:1 gene:Et_10B_004094 transcript:Et_10B_004094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMTWEKDGAGDCEELWHLRGYEDVPRVRPSRPVVSLEDPDVICFDVCRYSYMCDADEEDETWVIAVNMRRKKLQSVRYDGGLYHQGFHPSELSKYFETWQE >Et_1B_014371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:776987:783142:1 gene:Et_1B_014371 transcript:Et_1B_014371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGANQAGHRGGAANRGTGRGNGQSGMIGRGGFQQGNFHPGYGGYGGRGGGRYNDNRHRFGGRNNGNRNRGGYGGRNNGRGGRHGNVEMPMGSNPHVSVGASAEQAAHAAVLLQQAFAAIQGGAGQLSQDGAGTAAPIEMKTTSTMPGTVARQVLAGKTAEMSHPRVELTQARLQQAACELACKGCQDDGDGADKEMEMMEAGPEVDFDTEGMDADVVASPAALAAIPEAAGTELRRSKRRAADGDDELMDTATKLKAGRNMEKLHAMKGDKATHGHAGRDNTYMGLMSLVI >Et_8B_059955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4419141:4423236:1 gene:Et_8B_059955 transcript:Et_8B_059955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRAAAPRLRSIPLLLPRPDAAAALRRSLCASATPAPAPAQAMSTPSASSSTPSPFTTLEGRVRCEREIKRSKFIAIAAPVPNERAAMAFLDEVKDPRATHNCWAYKLGEQFRYNDDGEPSSTAGKPIYSAIISSGIDMVMVVVIRYFGGIKLGTGGLVRAYGGVASECLKDAPTCLVKPKARVGMEVPFDLLGTVYHQLQHFQAEDIKQDYDTGKDGTVMVMFKVGYEKIEELGNAVHDQTGLAVLGGGATNGMGGIWNPGMVGIVVGIVVGMDGIVVGIEGIGGRVPGTAGMVGTAVGIVGFGRDGIVPAAAGGRATFGMGMDGIGGTVTLGIAGMEGTGGTVVGTAGMDGIGGSVLGTAGTEG >Et_10B_002355.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:10965729:10966530:-1 gene:Et_10B_002355 transcript:Et_10B_002355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KLLRHPFFKGCRSNDYLVRNVLVAVPSIEERSKDTSNLCGCAGGARCVSPCRHAKTAKNRRISGWNFNEENLELDPTEGSVQRCLPFDEEDLGERDSKSTGDDQEDSQTRQGDGKGFKEIVIPQLMTILESLDMQRGMVMHVLEGGDVNRNGCGRAPAREEREEMLLGYVRQLEHRVKELTSEVEEEMARNAQLEKQLRARFNDKSS >Et_1B_013056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5081294:5083695:-1 gene:Et_1B_013056 transcript:Et_1B_013056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSIVRELKEMRDGIGSMSRRAAGGSDGRGGHGRAGSRHSWPSLWAEQQQPQRQGQSQGQEGPQQQQGRWANLPPELLLDVIQRVEASEATWPARRQVVACAAVCRSWREVTKEVVKTLEECGRITFPISLKQPGPREQPVQCFVRRDRATSTYLLYLGLSPSLHGENDKLLLAARKVRRAARTSFVISLVPDDFSHSSSTYVGKLKPNFLGTKFTIFDSQPPSDAAVLPNNKPSKRQSKQVSPRLPLGNYNVATITYELTVLRNRGPRRMQCSMHSIPAQCIQEGGKAPTPTGTIQSLDEQASSVPTSKGKEPAIEFSSTSLGADLSGLACPNESPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVASVDPSLNIPAAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLTSFDTKPACE >Et_10B_004219.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19560217:19560750:-1 gene:Et_10B_004219 transcript:Et_10B_004219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDSTGRRNGEEEFKAADHFDRMMRSCDPLDALMQYPSSSFSRFCQTKYLTAVPSEMEAAMFRNLEQRAFVLRGGHPRTWFYRAFATMARSAWALRVAMAKYGASAGQNVRMFYTRRGSEYQMEFMESVNAPASGGREETITVAFTVTPGLKVSDTAVPCRVFLCDSEHNKPIFSD >Et_1B_011387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21384193:21385308:-1 gene:Et_1B_011387 transcript:Et_1B_011387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NPIFVNAVLHPRPDAARTRKVADLRCLAIGPTGNRICFITSNYNSKLGNGAIGEVFRGVLRDGSPVAVKRYFHADSEEEFAKEVIVHSQINHKNVVRLIGCC >Et_7B_055873.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6479883:6481679:-1 gene:Et_7B_055873 transcript:Et_7B_055873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPEYKHFCRVCNKGFTCGSALGGHMRAHGASDVDAFAGADDDEPASGARCRGSPSDDQWVDAAAETSAAATHAYALRANPNRLIRSCQVCKNCGKEFTSWELFLEHGRWNSDDDDDDGSSPRSSSSPPLSDDADGEDDPAVAAAGGGWSKGKRSRRVNKLMAAAGDDVAPAAAAPLSSRRCAASGEEEDLANCLVMLSSSNVEQSAGTTSHRQEPFAASASKEGDRGPPPPQLLQPISFLAPALPEPVMALPSAGAAATQYAASPAPPRGMFECKACKKVFTSHQALGGHRASHKKVKGCFAARFDSHVSETPTSHHAATATADPNTDNASKAAAVEAASTHYAGAADAATKSSVLHGSSGADGDANAGTSEAATALSMSLAGVHDPSPSVAAFPVVSAAPSNKKNTKMHECSVCHRLFTSGQALGGHKRCHWLTSSTADPANPVAPLAVPPPLTEDLVGVVRHQLMLRAPLADPPEPARDLTIAHPAARAADQQPGGGSFHHLDAPPPVLHFQSPVVLDSASLRNKTSTTSGQDGATASAAAAEDEADSTVVKRARLSDLKAVVSMDGEAPEPWLKVGICSSSAKADEDKNTRE >Et_4B_036404.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21904599:21904976:-1 gene:Et_4B_036404 transcript:Et_4B_036404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTWWTERNRIREGEQRRATSGLAQSIHAYFAEVMKLNEKEAKPLYKIKQRWSNTEGDFIKVNCDASYSLADRSGGWGYIIRDQDGDVASAGRRRIMQESPQIGFRELLCVFPFFVLKHKTSLSV >Et_1A_007179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31683641:31690651:1 gene:Et_1A_007179 transcript:Et_1A_007179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGFLADFNDPWLKPRLLKALVAERLPQPGGAEVPPAELASVLDAVRTHGLLTESLPGHPLEPKLAEAWRAAVDAWVERLSALVESDSAYSRWLGTCFLGVTFQECSNERFAESYSSWFEKILSNLQGSSSLQLVSTISCTSMSDLFVRLTKFLNLKKEASSFAGRVVEPMLQLLNENGPLADEAIDLLRTIIKLYPSSVNRHYSKVESCIAARLLSAEVNEKSSKKFARALASLPSVRVSEDSWSLMIRKILIMVNKFLDDAFIGLEEEKKGHEIMLLLVPPGTDPPPTLGHELRSGGNVHITKKFRQRTVPIISALIHCCSMMLTSYYPVQVNVPVRALIALIRRVLLLDGSLHKKMFPSTTSLHQELICFELPSLHSTFLDLLNATIKGMRSQLLPHAASIIRLTAEYFKGAKLPTVRTKVYSTAQLLVISMGAGTSLHLLEAIVSNALADLNDGIENDMTIFSTNPSKVISESSSKSYSKKRKHDPQIQNSVVSASEKAAKSPRKKKSSSTATASKEMAPECIADGRMLTPLPVKIAALETLEILLNVGGLFRTELWRAEVDLILINVARSACETGGAHEQRSPKVDEPSTVDFQLASLKTLLASFLSSPNARPPYLAQGMGLFRKGKLEIGTKLAEFCSHALLALDVLTHPRALSLEMATPLGRGLNYGAPEKAVFGAGQHKLSSGDQPQAMEVEDIFDDWLASKDDERAEAPLNGSAVGINRAVIGSNNDGQLTPITEDPKNYSPGVADAVQDVQVSTKRDAEMIDAAAGEIVKPNTMDPSSSSVVSDPVYTTNADLENHIIASFPEHKLTSGVSHLENTSPVNASSSSHRAPGPSATSFAELFGSDSGVESDSEDSMPEIKDGDPDSD >Et_1A_008936.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:217009:217233:1 gene:Et_1A_008936 transcript:Et_1A_008936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWMQYTATRSQRAATACLFLTGAALIVAAARLSYANIEPQRAKAAERRRVLEAFIRRKLGSDSSSPQDPPPKP >Et_5B_044786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5796213:5798690:-1 gene:Et_5B_044786 transcript:Et_5B_044786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLNIMRIQQSPDGDTIDCVPISKQPAFDHPFLQNHTIQVTLSAASLLFSVSLLGADQLQCFSPTCIMASLNGPPSIITLQMRPAYHPEGLYDESKVASQQNTQRITQMWHQNGKCPENTIPIRRTKKEDVLRASSVRRYGKKRHRSTPNPISHAIAYVEGDKYYGAKATINVWQPKIEQANEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNQIAMGASIFPISNYGGSQYDINILVWKDPKEGNWWLQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNTEPDGSHTSTQMGSGHFPEEGFGKSSYFRNIQVVDSTNNLKAPQGVGSFTEQSNCYDVQNGNNGDWGTYFYYGGPGKNPNCP >Et_8B_060804.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:7971921:7972793:-1 gene:Et_8B_060804 transcript:Et_8B_060804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAINHPGTTGEEMMRAVESFVLDAPPAPQMPPPFPAAPHGLHYMGPGQAAQLTPAQMQFIQAQLHLQRNPGLGPRAQPMKPAAGAGAASAAASAPAHPPRPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDQAAFRLRGDAARLNFPDNAASRAPLDPAVDAKLQTICATIAAASSKAGKGGRGKAVPINAPAQPASPSQSGSSDEEGSGSGSGSGSGSDDEAMSSAVAAAVAAPLAEIGQLDFSEAPWDEAESFVLRKYPSYEIDWDALLGPN >Et_1B_010050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20761693:20762766:-1 gene:Et_1B_010050 transcript:Et_1B_010050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSTLPGDLVRLVSGRLHDPLDFLRLRAVCRSWRSAAASPPPFLPWLLARPCADHPTTPAFSFYSLASGATRSVPRPAAAHGLLGPTSTHLLLSDNLHLLLLNPLTGARLPLPDSPFPASGPVIQGYLISNAKKLFFRFGRPNPAGGEPTNPGAGWTELPVTGLVAENMYHGGKLFVYDDHGHITAFDATTLAVVGAVPPPPASLPSRDACRCAAFVPSGDELLCVIRYFAMDGCGEKLEDCGVLEVYRLDMGTGRRDKEDQQPAWRILFVGLYQGFSFRAADFPGFKGDCIYFFKVQMSKRSCIYRFSMEDGRTEELPGPWMHACTWFVPSLS >Et_2A_016261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22714631:22724307:1 gene:Et_2A_016261 transcript:Et_2A_016261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPLMPPRNIIVSVLVVLSSWVLFLGHDQPKLGRAQRQSTPPSTVVQVGALLDLGSAGGRATRAAISLALEDFYASQPGSSTTVALQVADCGNDEINATSAAIDLLKDFKVQAIIGPKTSTQARFIIGLGNKTNVPILSYSATSPYLSAKQSKYFVRTALDDASQVPAITSLIQFFNWSQVVPIYEDSDFGRGIIPYLVDALQDIDAHIPYRSGVLGVRPYVPPSDKLLNFPVRFVSRYRQQNPGTPDPANPNVFHLWAYDTAWAIATALRKVGPLTLGFQMPSSQNNKSSNDLRMLGVSQDGPGLIDAIRATRFQGISGEFVLVDGQRQASVFEIFNVIGNSYQSAGSWTPKFGLSKNLISSSVPSDTVGLNTIIWPGGSTQPPRGWVWPVAGKKLQIAVPVKPSFNPFVNVKKNAATGKFDVTGYSIDIFEAVIREMPYAVPYEYVPVVDPNIATNMTMSYSEICHQVSLKTYDAMVGDTTIIINRSLYVDFTLPYTESGVQMRKESIYFGGSAGRVKQLVNVAYFSFQAILSIPRDPKLKEWLSKFALINFVLLVWLLEKLYSASLTSMMTIRQLQPTVVDLNQLIGNGDYVGYQSGSFVKDLLKSLNVDESKIRSYGTDQYTEALMKGSWNGGVAAIFDEIPYLKLFMSKNCKNHSVVGRVYKTGGFGFVFPKGSPLVADVSRAILKVTEGDEIVGIERKWFGDEVACNSKGSGMESESIITWSSLRGVFYITMGLWAVAWIIYAVLSYRKKGKPVERPVAELAVDHVHDGDVDESVTWPISRKTHLIIRLRGNPSQGLQAGSETVVDGGTAQNGGGSLVQGQAGHDELERSVGGGQSGQGQAGHDEASAVWHLEVDLQQSPKNRGTRAGNDIEAAN >Et_1B_010810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14314406:14328545:1 gene:Et_1B_010810 transcript:Et_1B_010810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPASLALGPAAPAQIAKPHLSPLPPTRVLLQASALQPSLGHCEWIPRRGTGGDMGNSCFAAPALVKTNDPACEWKIYGFPYVLEREAIPICSVSFPSCGYEWYARQSQARLHLECTTYNFNVKNVHSEKKCLIPLDELLKSSDFLIDDSCIFGLRILRADVISPKMMSAVFPKNQITVQNLFLQKKEFIKGTYTWTIDNFIGSKLEICSPAFEVGGHNWYINIHPLGDQYSTKSLSLYLHLHDPSKLPSESGMMIEVTLSIVNQKSGKHYISTGRCPFTGKNSWGRPNFIPLKTLNDPSRGYIVGSNCIVKADITIIGSSNSAPALLGKADSPTFQWKVYGFSALLERGAITTCSPFHCGGYRFLQVTPRHNKSGDGNAYIALCLCLNPIGFNPGYIIDAVFELSMYNQSNGTYCGCKASYSFNVKKTHSEKWCLIPLEELLKSSSDFLIDGSCVFGVRILKAGLYINMHPLGDQYSTKSLSLYLHLHDPSKLPSESGMMIEVTLSIVDQEHEKHNIQQGRFSFAGTNGWGWSNFIPLKTLNDPSKGYLVGSKCIVKADITIIGSSNNC >Et_4B_038051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25876807:25877384:1 gene:Et_4B_038051 transcript:Et_4B_038051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVTCHWFWEFLSEDSIWRDAFLRHVHLAGNSTQPPCRPQGSWRNIYFANIGLHESYHAYSFRQTKKLNRVWRIGAFFMESSDMLLTVTLPLPMSKQHTNTVKIGICNLNNARRGIWIAGACVLHNHHITQADVISY >Et_1A_005420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10264388:10268062:1 gene:Et_1A_005420 transcript:Et_1A_005420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVEPAQLASLVQGSPKLLSACVVCGRGSIALASSPLWQQDGDASDDEEEQRHGDWRDLYDVRRLHLGVEPAAHDPRDEGTADEWVKRSPSLVRLTGKHPFNGEPPTPRLMEHGFVTPAPLHYVRNHGAVPKADWSTWTVEVAGLVRRPAALTMDDLVRGFPAIEIPVTLVCSSSRRKEQNMVRQTSGFNWGPGATSTSVWRGARLRDVLRRCGIMPSSAGAVYVCFEGADELPAGGRPGGAAYGTSIRREWAMDPAMDVMLAYMQNGAPLLPDHGFPVRVVVPGCTAGRMVKWLRRITVTAAESDNYYHYRDNRFLPSHVDAELADAEGWWYKPEYVINEMNTNSVITTPAHDEILPIDAITTQRAYTVKGFAYSGGGKKVTRVELTLDGGKTWLLCELNHPEKPNKYGKHWCWCFWSVDVEVADLLGSKEMAVRAWDQTLNTQPENLTWNLMGMMTNCWFKVKLNVCRPRKGEIGLVFEHPVQPGNHPGGWMARQKHLEISAEAAATGAGIRRSTSSATLTNAGANADANKQRFTMSEVRKHASRESAWIVVRGHHLDALTVGSCIDVKGPRGRVEYAGRGRFTIDGEPRGPVVARRLAMVAGGSGITPVYQVIQAVLRDQPWDGTEMHLVYANRTEDDILLRNELDRWAVEYPDRLKVWYVVSRVKRPEEGWKYSVGLITEDVLREHLPEGGGDDTLALVCGPPPMIEFAVTPNLGKMKYDTSKSVIVF >Et_5B_044350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2327560:2338293:-1 gene:Et_5B_044350 transcript:Et_5B_044350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGFGREAGPSSRGPGSAVPAFGAGAATTAAPSPATSPFPPARSAIPLGSSTSPFPSVRPTAPLGASTPSFPSVRPASPFRAAAPRFPSPRPQLTPAAATSRPAITPQSPPIPIPSTRPAAAPGATASARFPSSRPALDPGAAAATGRHVARHLQAQPRSAAPSVSRPVDPFISTRSRAPSPLSSPRAGSPTDYNNGTGQRRLLLNYADPLFENGTLQSSEQMMIQPSEFGNRARSPQSNSSSKFRPPSTFQDNRPVQIADPHDHVSKFSGPLQSRPLDHNISKRSRSSTLSYQDVDGAEAHRDAGANARRLVDYTDTFIGGENVEMSKRMRSPSSEFTRTIKSPPSDIRENIRSATVDFGGSNAAQSLRTHADVQKSNASLPKFKNQIQSRIGVARSPPPKASRLLDSYSNERGILAVSPPKPSIFSATKRTDPPLDARDDKDAVPLTELEREEEAKAKRFARFHVELSRPVENTNDYVKTLKGSADKIKQVTSVGKVSMLSNDDTDERMADMDSPELAAIVGLCPDMCPEPERAERERKGDLDRYERLGGDRNQTTELLAVKKYNRTAERDADLIRPLPVLQKTMDYLLNLLDQAYDDSFLGLYNFLWDRMRAIRMDLRMQHFFNQDAISMLEQMIRLHIIAMHELCEYNKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRRKGVFFPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSREIKGSPEILFAREVARMGNFIAFFRLARKATYLQACLMHAHFAKLRRQALASLHSGLQNGQGIPISHAVEWLAMEDEDIEGLLEYHGFGLRQYEELYLVKEGPFINSESDLPSGRSQLVHSKKSQKIVDDVSSGPVCGPISKRETNVLYSGRLGTGQRELFPPQHALAIPADGKGKFDLPFSQPVSPISGRQVTPPNSGSFSPKSVGKQLGSTHPSPLSPTAGVKGNVSFFPTAVSPRTSKLEIFSKTPKVASPNKAKSNTKLADDLIADEDQDGNVTEFPQKVEIQAGIPWSQANTKPSDFEEPTASHPHADSISLDYSSMNGEEDKFREHGSCTGVDMDEGTPPYHEVVFIEPGSPIDTRLSDDEEYEYHNISGGTEDDELPIVMSQKKQISNERLRAILRKWSQRAADKRFLREQKNALAVAALSSLSLGPPIHNTTAVPKLTVHELDIGHAFKERHAKQQRSWSRLNVSELSGPILLEKNPDARCICWKLLLIVPSGSLESQTNNFASKWLLRKLMGSGNEDGGLIFSSLGLSIWTEWTSIPSTCCLSIVRASDQQVIGDDITDSASCIVFVVSENISWEMQKLRFSGLLASIPAQSNLPLLILSCDAYNEGHDSASQYICDRLGLGDLSGGKISSSSVIFLVETYMEGYGNGFFDDDNMREGLKWLASKLSPQPDVTLVKTHELLLDFLNPQLELLSRHATPEVGPGDCISVFNNAVNQLAEEILAAAHTNPNRWPAVEVDLLERSSNERIFAEMFLPSIGWSSPSRILPLLAGINSCKLPDFSYDLSWLNQGSFMGKQTQDLKLFLEECLAGYLTESAQLLNKTQVATEVNIMVQKCVGLELRDSYYYLVPRWTAIFRRIYNWRLAKLFTGEFSEAYVLSQHLYQAPAAESNGATQYGLTANGNTTKSPVLEDHSMMLAVSTGLCLDEVIEINCDLDEKDVHTVSPLPPRLPAQVHEEPQAPADTNHEMNEVHVLTNEKMYIPRRADLSEFMPLEKDEKLARLLEQCSNLQDRIDDTLSIYF >Et_4B_039882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5033203:5036394:1 gene:Et_4B_039882 transcript:Et_4B_039882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLARMIQQIGDPFSSYAIAEKAKKDAARSAVRVADLLVDAVDGGVQGLFVNEKRIELESRVLLGTVARYRRQTDQWLAATNEINSVLKEIGDFENWMKIMDFESMAYAAAAMVAANAASYSPPLLISTGSCNVVKEKAVSKETVVLEAGKRDGNGIAFEPRI >Et_5A_042237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7980214:7984424:1 gene:Et_5A_042237 transcript:Et_5A_042237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDAAAMAVVRAARPALRGAHDGVAFAAHAAFLAAGYSLCAVGPDALTDPPPSGDEEVGIDGWNSMENCYAFLYNKEEKGKKKRVLVKCLVIGDLLAIDVLDLEQKKAPYNVQISVKDFFSEENPKNYGDMYKNFAGLIENINSNVLSKLDEKDAGAAAAKKNDVESSSSISQNLGPRVGEPSGYPGPRTDDPSGLVYPPVAPLGSDDLYPSPGAGFYPHSGIGSGGSMHVGPNDPRFFPSNPFPAPFGGPGSVPPGGRYDPIGPPDVPGFEPSRFVRRARHPGGSTHPDLEFFQQGPDL >Et_6B_050076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5374523:5375685:-1 gene:Et_6B_050076 transcript:Et_6B_050076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLHRARGSPVSEDEPPKFSPGDLQMLTVDYIQMGVLLPSWPSTTKRQNLDMVDHLAQALARALARFYPFAGRLAQSVADEGILTVSLLCTGEGAELVHAVADDVTVSDVMGSPRIPRVVWSLFPLNGLVGADAAAAASSTPVLLAAQVTELADGGIFVAMSLNHGVADGSAFWHLFNTWSEISHRCQETEISSTTPLPVLERWFPDTCPVPVPLPFPKLDHAVRRFHGPPVEDRCSRMCGSPCARRLPPEQETSYTLALGCRGRVRRVAQAYAGNAMVRCAARAAAGEVLRRGLGRAALLLNRAVASQDEATLVGSAASWHCGDPMRFLATVRRVRQRLRVGEAPGGAERRGE >Et_7B_054520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22518371:22520459:-1 gene:Et_7B_054520 transcript:Et_7B_054520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSAHIVLPHSATPPPAESPIVRNPCSNSTDEVVSAARLRLGSRLSIRCVPSGPIHHAQAKPKMDSSSSSQPEFDYLFKLLLIGDSGVGKSSLLLRFTADSFEDLSPTIGVDFKVKMVNIAGKKLKLAIWDTAGQERFRTLTSSYYRGAQGVIMVYDVSRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERAVTKKEGIEFAREYGCLFLECSAKTKVNVEQCFEELVLKILDTPSLLADASSGAKKNIFKQKPPEADAAASSCC >Et_3B_027819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20735210:20736280:-1 gene:Et_3B_027819 transcript:Et_3B_027819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLVPCSRPTNRRPARQRFLYLVLDDWERGYSIFRVGEDDLDSDVGLDAHLAESPVVRIQAQHWFEVSFAAHGTKILAMHPSRFSPGIPVFDTETLGVTMCSFPKSRTGLGAHKLVYASVGDSILAFVSRFLELLGPEPLPAEKESSWSWASIEPPPPFESNCVSSYALHPDGRTVVMSVKNWGSKNDWHIHKRSSTFAFDTERLEWTHLGEWLLPFKGRGHYDRDLDAWIGLCRFEQERQTMPAWKLGKHVFFDVDSIRHKGATLVYMGDGKFCLIECRLPEDYESYPCSRKLKITSCVLKYDKYGELVTTHCRSYSSISYQTAREHLNLEIEDPVAFWM >Et_2A_018459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28702431:28704598:1 gene:Et_2A_018459 transcript:Et_2A_018459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHLLPPPRRAATPLLTPTVPHLARLLLSHAPATPPLLLALLPACPALLTPLLSHLLLSHSPPLPALSLYRHILTLPHFPVSESSLPVLLRLLARSRRYAHLSFPLLESLPSTHPHLLSTPALAVILSTALSASGPGASFDAAVTCFDSAALVWARAGRAFGAAELNALLRAFCARGRVAEARALFHRYCDTYPPDTRTFNTLLLGFKEAGHAQALDLFYHDAVLRGFVPDAVSYCVRMDAYCKKGRFLDALQLLEEMRTRENCKPTLQVFTTLIYGAGIVRDAVRARQLFDEMEKCGVTPDRGAHNALMGVYVRARDLQSAMELMGEMERKGIGLDDVSYNTMFCGFQRVGDLEGIWKVYSKMVGSGFMPRTRTTMLLMKVFCENRRPDLGLELWNYLMGKGCVPHRHALDLLVTGLCCRGAVIEAFGCFREMIEMGMAPTERAFRTLEGFLRRTREFGKAEEISQMMKAIQLDGDQVEEEAFLRHGYTYCHHTSSRSTDSNLDDILIDLDKLEASTSGAR >Et_10A_001663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6546333:6548108:-1 gene:Et_10A_001663 transcript:Et_10A_001663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRSTVRFVPAEVSIQSTDIPPLFPQSPHRPACKDGKLGCSEKSKSWMATSVGSLQKNLSKPPRRISPVSCGGRMSFGSMFNGGVGGRMQFPYSTRFLSLPTRSLSLTLMGNVCIDISHNPYNQELSLES >Et_2A_017628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4195383:4198429:-1 gene:Et_2A_017628 transcript:Et_2A_017628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRASESAGDDLWAKAAELERDFAGYKRRLAERRSCAVAAVAADSNGGTDDEEARGGDVAGRGRRYEEYVRRRDERLRQEWRARMERKEAEMKEQWARLDRAGSRGRGGGDGELASAGYGREGHVNLQKIPGSLEVKVKPIAPVTPRLSPATKLSRPRTSVPSSPAAASPRLSAPDTRRRPSHRDLPQATPRKENRLPPPPSTAVATLPATPRPRTALSRSRSMVKDRSSYSTVRDSPRPPRFQAPRTSCDSVSIIREAPLSPEHDTVAVVQSSPCFGEQAVLRHVKKACASAPEPFRLGRAGGNGAEPASSFLVTPKQEDERHEPECSEIAPAGCNADNKCNNNGDVDQSSDKSGSPDITGDSDTEPSYVYIKKDSVSTGPGTCPQAELRSQNKNRCNFEGAMESSDANTSPMADAEEASRRASSESLYSNVRSSFSQKSEELDASATNSPLRDPPSCSTPSTDPSPVDNEARPRKNPEKEDAETTRQIPTTPGTSVTVSITVLSPMDAMTGLKRFLTFGKKNGKAGEVATTVVESERHCLPHGDRIMSGAWPTGDSVMARSGSSDAAASDDLDSSYVISPHVRSLQSFMSSCPANPELKEVGLHAKSPRGSAELDMLQSYSIDRSSRCHLSSPEQTEFEDVHLFSTMEQSGIEAPLLMLSHMNLLSPAIGDPICVPTQDMLIGLYVLTIRNRRGICANRYNSCGNYPNKKVNMHYLVP >Et_5A_041259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20182866:20185047:-1 gene:Et_5A_041259 transcript:Et_5A_041259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVTLSWKRMLSAPRMWAGAISDKNSGAAWLPNPTPAPRQTRPTMSMPRPVAPAHSFIDSLLCEGNDGKVRDMLTQTEPQGSHSLRG >Et_3A_023457.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28741063:28741713:1 gene:Et_3A_023457 transcript:Et_3A_023457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVPDQQALPLPPPGASPRRGVSPQSPLRIKQDGKFYERLLTKEASAPGNLSFRYYWADQPGSVPFVWETQPGTPRDVAGMAAGAVPAITPPPAYLLRHGNSKVVAAASHHHHQPGNKRAPKSRKRCRLRRIRIGFIAGIFRRFSLRKTWRRPVEVSSSSRWLFCSPATERGERDHEHNHDHDVSAARQEKPVLCSGVFRARPRPWLLRFRGAR >Et_2A_016377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24017026:24020556:1 gene:Et_2A_016377 transcript:Et_2A_016377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPPAASPAAAGARPSLPQPHRPAIVTLNVPAGVRSREPPGRLRLCLAVPPPASEMAAAAAAAQGEEEEWERMAATEMDAAVRRELAIRRLQEEAEADGGTGRSRRDFAVFETARGDALFTQSWTPAAADRVKGIVVLLHGLNEHSGRYNHFAKLLNDQGLKVYAMDWIGHGGSDGVHGYVSSLDHAVGDLKEFLEDVVMEENHGLPCFLFGHSTGGAIVLKAALDPCVELHVEGVVLTSPAIHVQPSHPIIKVVAPIFSVLAPKYRVSALHRRGPPVSRDPEALKMKYSDPLVYTGPIRVRTGNEILRISSYLQRNLSRVTVPFLVLHGTADTITDPRASQRLYQASRSTSKSIKLYDGYLHDLLFEPERDDIANDIINWLSARLDVLQRW >Et_6B_048651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12067678:12070714:-1 gene:Et_6B_048651 transcript:Et_6B_048651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKTKWAIGQSSSEVLRPSLELMSSSSSGQGPATGHSLQCLGRERQVHALVAGEPAVHYQIVPGHILGVVGGEVHGSLGNIHRLARRALELAEPPNDGRHLRLVGGALQHRGELLHHHRRRHRVRRDAVDAHTVPTQLRRGGLDDAGDGELGHRVGVRTQAAEDAADARHAQDAAAGAGGRHDARRVLDPGEGAAHVHGHYGVERGEVHLGHPRRADQRAGDAGAVEDDVESAVPGHGGVHGRGDAVLDGDVAGDEVGLWAELLGGFEAQLGVDVRDDHGCGAFLDELMGRRLSKPTRSSGYQSNLPLESEHFPMVSGLIPMCIVQLLLRLDY >Et_8A_056051.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:12742737:12743861:-1 gene:Et_8A_056051 transcript:Et_8A_056051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEKNANILHGVLPGCITNLSKRLEVFLLSSNKISGTIPQGIGHLNNLEVLHMEENLLTGNLPCSLGHLPNLFVLSLSQNRLSGPIPLSINNLTKLIELYLQENNLSGSIPEALGSCKSLEFLNISCNNFDGAIPKKLFTLSTLSMGLDLSHNQLSGQIPPEIGSLINLGLLNISNNQLSGQIPSTLGECVHLESLHMERNLFDGKIPESFSAVRGIIEMDLSQNNLSGGIPDFFESFSSMKFLNLSCNNLEGKVPMGGIFQKSREVSIQGNKKLCASVTLLQLPLCHAEILKERHVSSIMKITGFVLLSSFLLTCFVIIWMKSKGVKHGVYQSFRELKMLSYADLVKATNGFSSSNLVGSGKYGSVQRYIPT >Et_2B_021420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29541356:29541863:-1 gene:Et_2B_021420 transcript:Et_2B_021420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAQAALALALAAILSTPAPQADTFSNIPPTLSARTHDHMFELGMQEVTRNRSGSSTPSLPRRSSARPNASVPASAAAAARRARDPSMCASPSSCSKTDSEAGSTGILPTLLLVVLPYISVLIKVRRALVDLLFISLVECSDICNRIKDGQDGP >Et_10B_003224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1801223:1803068:1 gene:Et_10B_003224 transcript:Et_10B_003224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DHRAEDQHQQNKQKQAEPEDQQEASVTSSGSQTMVGTPSADYVAAYAPHDMAHAMGQFAYPNVDPYYGSLYAAYGGQPMMHPPLVGMHPAGLPLPTDAIEEPVYVNAKQYNAILRRRQSRAKAESERKLIKGRKPYLHESRHQHALKRARGAGGRFLNSKSDDKDENSDSSHKEKQNGVVPRNNGQPSSPTSPNGATSANQADNRD >Et_5A_040416.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20583912:20584175:-1 gene:Et_5A_040416 transcript:Et_5A_040416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSAMVMHVNLHLPRTPVAVRDAILEAGLGYVGFPLIVASSVVACLDLAWWTVVAVVCLLVIVIFAAIAFWVRLVRTYKKWDAQT >Et_5A_041976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4774131:4774495:-1 gene:Et_5A_041976 transcript:Et_5A_041976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWLKPDVYPLIAAMSFVTGMCVFQLTRNVLMNPDVRVNKNHRQSAVLENAEEGQRYSQHAFRRFLSTQRPEVFPALNRFFAGPANK >Et_4A_035778.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:5772985:5775447:1 gene:Et_4A_035778 transcript:Et_4A_035778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVLLHLLILSTLNLPTIGAIDTLTLGQSLPWNQTLVSDGGNFELGLFRPGNSTKYYIGIWYKKVSLQTVVWVANRERPILEPSTARLTLTDRGELQLLASSSSSNTLLLWSSNASSPSPRTTVATLQDDGNLVVRTNNASSTTTSAADVAWQSFDHPTDTWLPGARLGYDRGRGVHSFLTSWTDSGNPAPGPFSMEIDPRGQPKFDLLANGGGVGARQYWTTGLWDGEIFANVPEMRSGYFVGVPYAPNASVSFFSYHDRVPMGIGNFKLDVNGQMRRRQWNGLDGKWILFCSEPRDACDVYGACGPFGVCSNATSPACACPGAPAFAPRARAEWELGNTAAGCARRTRLECATDGFLRLPYAVQLPINGSVEADEGAQISDDKACESSCLRDCACTAYLYDGTKCSVWTSELVNLRTLPIDQDGLPAGAVLHLRVAASEVPPAAAPGGHSSWKKSMVMLSSGVAAVVLFLACLVVAVTAVLVVRKRRGKGKVTAVQGSLLLFDYRAVRAATRDFSERLGSGSFGSVFKGALADATPVAVKTLDGVRQGEKQFRAEVVTLGTVHHVNLVRLRGFCSEGTKRALVYDYMPNGSLDAYLFKNGSNAKVLSWSQRFDVAVGVARGLAYLHEKCRECIIHCDIKPENILLDEELGAKLADFGMAKLVGHDFSRVLTTMRGTLGYLAPEWLAGGAVTAKADVYSFGLLLLELVSGRRNNGSSSEGGCSAMYFPVHAALKLQAGDVVGLLDKRLAMDANLRELERVCKVACWCIQDEEGDRPTMGLVVQQLEGVADVGLPPIPSRLHMLAMVNGCVGGDEKGE >Et_5A_040460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24284833:24286020:1 gene:Et_5A_040460 transcript:Et_5A_040460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPWSAAAAAQHPHPASRIYRVSRASGGKDRHSKVYTAKGIRDRRVRLSVATAIQFYDLQDRLGYDQPSKAIEWLIKAAAAAIDKLPELDAAAGFPAHPASSNKDHHHQQQQLTTTRSGCSSTSETSKGSVLSLSRSESRVKARERARERSAAAKAATAASFTELLTGMAAAAASAPAPAAAEHKQQQQHPVTSWPPMAVAATTADYIGFAQPRKDAMAAHAFASPAPRLMAAPAQHFGAVTVAAEDHFMPVHAAPASGPAGDYNLNFSMSSGLVGVNNSRGTLQSNSHISGHHHHQQQLQRLSSTPALEAPNIPFLFSPAPAADTQFAAFQLWNGFHHADMKEKGKN >Et_7B_054332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2078821:2088387:1 gene:Et_7B_054332 transcript:Et_7B_054332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASPAPCFLRILLAVAIACAASEVACADRRFPHLGRLRDLHRRESSPAAEQEAAARGLLDRLLPSHSSSFEFRVISKEHCGGKACFVINNHPLFQGEGTPEILILGASGVEISAGLHWYLKHYCAAHISWYKTGGAQLSSIPLPGSLPHVPSGGVLIQRPVDWSYYQNAVTSSYSFAWWDWDRWEKEIDWMALQGINLPLAFTGQEAIWQKVFQRYNISKSDLDDFFGGPAFLAWSRMANMHGWGGPLPQTWLDDQLALQKKILSRMYAFGMFPVLPAFSGNIPAALKSKFPSAKVTHLGNWFTVDSNPRWCCTYLLDASDPLFVDIGKLFIEEQIREYGRTSHIYNCDTFDENTPPLSDPNYISSLGAATFRGMQSGDEDAIWLMQGWLFTYDPFWEPPQMKALLHSVPVGQMIVLDLYAEVKPVWINSDQFYDFEMYGVLDAVASGPVDARLSDNSTMVGVGMSMEGIEQNPIVYDLMSEMAFHHRQVDLKVWVDAYPTRRYGKSVRGLQDAWRILYRTLYNCTDGKNVSCDFHKYHAVEHHAMCPFCIKTLDKDKNRDVIVAFPDVEPFVIQTNSVMSSKNIVVKDASSDAYEQPHLWYDTSVVLSALELFLQYGDEVSDSNTFRYDLVDLTRQVLAKYANQVFLKTIESYKLNNMKQVATLCQHFLDLVNDLDTLLASHEGFLLGPWLENAKGLARNQAQETQYEWNARTQITMWFDNTETKASLLRDYANKYWNGLLRDYYGPRAAIYFKHLLLSMEKNESFALEEWRREWISLTNNWQSDRKVYATTPAGDALNISRSLYMKYLRSPDPLEQVTGTSGKAATS >Et_1A_007260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32730969:32732234:-1 gene:Et_1A_007260 transcript:Et_1A_007260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRALLLVTVAAAIAGLAAAGNFQTDCDIKWEPQNAWFSNNGNALAMNLVSNSSGCMLQTKKTFLYGTVSTLIQLVPGNSAGTVTTYYASSVGENHDEIDFEFLGNQTGEPYTIHTNLFADGFGKKEMQFKPWFDPTTGFHNYTISWTPCMIVWYVDSVPIRVFRNYKDHGVAFPSSRPMFVYSSIWAAEDWATQGGRVKTDWSKAPFKANYNGINLDICECYSYGGCSTGCSAAAAPSRGACQLSSAELGAMQWVQSTYRIYDYCVDPKQWTNGQRPVECGLTQY >Et_1A_005795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14000246:14004986:1 gene:Et_1A_005795 transcript:Et_1A_005795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQRILAAALVVAAALVARLCVRPVRAAPEGAEVTEFPGFGGELPSKHYAGYISVGHEQQERHIYFYFATSERNPTLDPVVVWINGGPACSGFSAFIHSIGPFKIEGSQIHVNDKPRVIKNPFSWTKMASLLLVDSPAGVGYSYADNEDDYVTNDTSRVADLYDFLSKWFAEYSEFLPNPFYIAGCSYSGVIVPGYSLCNPAIDVDIENNALVPYAYKMGLISDELFQSLVATCNGKYWNNTSPSCLENLNCFYLQIKGINMEHILCPPCRYKMGITQEATENDFGQLFERLSETSEYGLECQNQELALEELFDAKLGREKLHAKQEVSRPWKRCPKDIRYTRDILTLTEYHLNLTSKGHRVFLYSGDHALLVPYSSTLEWLNKLKYKEIEKWHPWFVENQIAGYSIRYENNILFATIKGAGHVPSDYLPFEVFTAYQRWIDGADSL >Et_1A_006661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25837728:25840905:-1 gene:Et_1A_006661 transcript:Et_1A_006661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGRKCPQRSGPKQSIGEEEKPQRQKSPDGRKQTHRSLPSSSNLPNPYRPTPEAAAAPATTQALRGRLPAIGKMVVATEEMAVYCFDTLVSHYSGDQPPPPAFEDGNHPLFVTWKKASNGSEPRLRGCIGTLEPRQIVSGFKDYALTSALRDRRFPPIQSKELPSLECTVSILTDYETAEDYLDWEVGKHGLIIEFTDPDYNARRSATYLPEVAGHEGWTHIETIDSLMRKAGYNGRISESLRKKIRVTRYQSTLYTMHYGEYVAYVKKNRGAAPAVDGVPLPLSDNNYVVFIFLVKYPSLRDHLRAVVIRIFLRAFDTVTVNRFAWLLKQLVRNDMDGVFVSMEELALLVIVSSRL >Et_8A_058120.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:20780503:20780778:1 gene:Et_8A_058120 transcript:Et_8A_058120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQRKRMKQTSPDSTAGVSASDWAALPGDILLIIFHKLGQREIMLGPELACTAWRRVALEESDLYRHIGWRTIDELLQRRHIGAAAEMA >Et_1B_012553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33103734:33116599:1 gene:Et_1B_012553 transcript:Et_1B_012553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPAGDGGAGGEEPAVAPPQAAPVAAPAPARSRWPSEIKVYSRKYPRKNPKPPPPPEPSPNPSPLSETLSSIQPSVGHPQPQAVFAGETCPAATSSTPSPPPLPAAVVSAPPPSPPTATAALISPSPPSPAPAASISPPPPASALEAVTSPPSAPEPAPPGEPTPTFNGISSGPVPNGHGTDMALVTAEKAEKARKRRERSELRRQLASELDQVRVLSNRLKVAADALAQEAAVTMPLAMVAPPSQSIDAGYMHSQFSPTGPVTPIPARATSVAPVQSLLQRRQLTVSVARTEPFEKEKRTPKANPLYQNSEFLLAKDKFPPADSHSHGRKKSKHHKKKHRSREARGPDFEADRRLFSHAFKKSSALLSRLMKHRFAWVFNKPVDPVTLGLHDYFLIIKHPMDLGTIRGRLSHGQYRNPKEFAADVRLTFHNAMTYNPKGQDVHFMAEQLLGIFEAQWPEIEAEVDYLASCPPLPKEFPPPPIDLRFLERSDSVKHHMALDSNSRLMSHTPNYNARTPSSRKPRAKDPNKRDMTIEEKRKLSDNLQNLPPEKLNAVVQIIKNKNLSVTQHEDEIEVEIDSMDAETLWELDRFVANYKKYLSKQKRKAERAMLARQDAELRAQYAAQQAQTTQEPNIGGKSPMQSKIDLMAAEKLASSMPNQNGTNGQNATTAGRSRRQPPRRLRRRIPRPPPRLGTVPRKNPKPPPPPEPEPAPNPNPLSETLSSIRRSIRRGEAGLAAVRSDPAAAGSAPPPKQPGEPAAASGSLSSGPNRDVGGVVPNGHGDDPAAAAVKAEKAQKRRERSELRRRLAGELDQVRVLSKRLKEAAEALAREPPAPAPVPLALPPPPQGVDGGYMQTQFPASGMVTPMSAQLAAAVPPVRSVLQRRPLTVSVVHSEPLEKEKRTPKANQLYQNSEFLLAKDRIPTSDSHGRKKSKHHKKKHRSHEAHSAEFDAERRLYSHAFKKSSSLLSRLMKHKFGWVFNKPVDPVALGLHDYFAIIKHPMDLGTIRARLSHGQYRNPKEFAADVRLTFHNAMTYNPKGQDVHIMAEQMSAIFEAQWPEIEAEVNYLASCPPLPKKFPPPPIDLRFLERSDSFRQQMALDSNSRPISHTPTYSARTPSMKKPRAKDPNKRDMTIEEKRKLSDNLQNLPPEKLDAVVQIIKNKNLSVRQHDDEIEVEIDSMDAETLWELDRFVANYKKNLSKQKRKAERLMLARQDAELRAQYAAQQPQPIQVAQEPNVGEKSPKQSMKANNRQLLYQTKMIIINRMQAVQAIQVAPAVIQDHPLVTLTAIAPLQTNLKLPSHLEIE >Et_4A_033021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17049235:17051348:-1 gene:Et_4A_033021 transcript:Et_4A_033021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATVGVGVGKEYPGRLTLYVFLTCSIAATGGLIVGYDIGISGGVTSMDTFLQKFFPSVYRREQMSQGSSQYCKFDSQLLTAFTSSLYLAALVASFFVATVARSLGRKWSMFGGGVSFLAGAALNATARNVAMLIVGRILLGIGVGFAGLSIPIYLSEMAPHRLRGTLNVGFQLMITVGIFSANLINYGAAKIRGGWGWRLSLGLAAVPTGVIAAGSLFLPDTPNSLISRGRREQARQVLRRIRGTDVDVEDEYADLLAASGEEASGAVRRRRLWLGILERRHRPQLAMAVLIPFFQKLTGINVIMFYAPVLFKTIGLGGDASLMSAVITGLVNIVATFVSIATVDRLGRRKLFFQGGCQMIICQVVIGILIGMKFGTSGEEAIPMTFASTVVVFICIYVAGFACEIFPLEIRPAGQGINVAVNMLCTFAVAQAFLPMLCYLRFGLFYFFAGWVLLMTLFVVIFLPETKNVPIEKMGVVWQTHWFWQRFITDLQDGHAEKCDAEMVIRKADHTVVT >Et_8A_058327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:555148:557909:-1 gene:Et_8A_058327 transcript:Et_8A_058327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNHIDSKVIRLSITHQAPTCDPVYLPEWNYPKKEEVSTVTEIELENVTPEPLQLPPPSNSVRTEASQPAEEGVSEPENDDNYLLNPEPENEHGGVDDEGLYNDNASGPDQVEQSTPPDKKKNKLKKDKKKVKRNVKEKKKKGPPKQKPIDPTSAESPATNKGTPKRKLTNPTPPDSPAMRTRSKLNLDLAMVCVCARSRVYIVWRYGLAIGIIQD >Et_4B_038211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27118853:27119981:-1 gene:Et_4B_038211 transcript:Et_4B_038211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCFLRYSHNTTPAPRTLRWRTSTLPPAPASAWASFRRGRRRHPAYSSTGALPTGKDLVEPARAPRTLLLVPANWTPRAVAAHRNAILLRFLIASGTGLFREVHEEFFVCNYKAASPPCLARPPPCRHRSEMINSYGRNSVGILCRDGDDGAFVVAHLTVTPNPTGPVTADLYYTRGNHNDVGELLSCWETDAVVPFGEDRICWVDYLRGILFCDDVFSASPELRYVALPVDPYDGRDDPETRTRPGRTWPRTGAYGGAAAAAIRFVDAADTTRWFYGRPLRGKTASPYGAITSWRLAGDGRSWVVNGTVDCEEYLAFAKRRDLPCTRVFYPLVNMEDPQTVYRAADVHPCRHLVV >Et_7B_056040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9951467:9953919:-1 gene:Et_7B_056040 transcript:Et_7B_056040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASRTWPPPTPSPPPFSSRLRESPSPSPHRRRWRHSKKLRPPPLPPSTPSPPQGADFSALPPELVHRALAAACASDVAAASRACRAWRDALRPLREAAAVHAYGRRVKHGPVAGANASAARGGGGGGGGRLEAEGQRALGLFRRAARLGSAAAMVDAGLMCWEEGRRDEAVGYYRSAAELGHPVGMCNLGVSYLEADPPKAEEAIRWFYPSASAGNARAQYNLGLCLQNGRGVKRNQKEAAKWYLRAAEGGNIRAMYNLSLCYNFGEGLPQDPVRAKKWLQLAADYGHKKALFECGIKYCAAGDKMKSLMYLELATRRGESAAAHMRDVMVESLSVANAQRALSDADKWKPKTLHPRR >Et_4B_038865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5450523:5453333:-1 gene:Et_4B_038865 transcript:Et_4B_038865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAGGLKGVALIGGGANSTVAGALHFFQDPSSGCTEVRGKVTGLAPGLHGFHIHAFGDTTNGCNSTGPHFNPHNKPHGAPFDDERHVGDLGNIVANKDGVAEVFIKDLQISLSGPHSILGRAVVVHADPDDLGRGKHACITAAILLCSKMCPSLCIVSLDFSPRYNEAQP >Et_8B_060121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6056085:6060778:-1 gene:Et_8B_060121 transcript:Et_8B_060121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSATQFRYTQTPSKVLHLRNMPWECTEEELVQLCKPFGRVVNTMCNVGANRNQAFVEFADQNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEITNNKGTGDSSGNVLLVTFEGVQPNDITIEVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAPTALEAKNSLDGRSIPKYLLPEHIEACHMRITFSAHKDLNIKFQSHRSRDYTNPYLPVNQTAIEGIVQPTVGPDGKIKEPESNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAMFEKNGGMQALIQYPDITTAAVAKQALEGHCIYDGGYCKLHLSYSRHTDLNVKAHDDRSRDYTISDPNAQLQAAAQAPALTTPAVGWQNTAPAAPFYASTAATTPVGQVPAWNPNMQPGAFAPASTAYPSQPMMANSMPHYPAIGSSSGAPPVSFQASQQMPQYGITPGAPPHAPPAGQPMYFPK >Et_10B_003776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5859971:5865742:1 gene:Et_10B_003776 transcript:Et_10B_003776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTSTRRLPLSSDSGGELSRPRNRWQSVVLHFRGRRRTSLQGMFGFRQIGGPEFMSLFLASFGSMVQRVVSEEVEKAFRQSTAPAPPRLLVGWNERPRYQLVFLNGPKTVYTMSKVESDDGTAIKVAVVETLENNQTSIVRFGHLSSAKVEVVVLHGHFNAKNEESWAAEDFNKHIVSSREKSAQLLTGNLTLKLNGGEASLGNATFTDNSSFTSTKKFRLGLRLVNASGERVLEGVTGPFRVKERRVEGFEKHYPPMLGDDVWRLEKIGKNGPYHKALSKIGIKSVQKFLQAYMVNEEKLIKIFSKMPQSTWKSIIRHAMTCETSDDLYLYEVKDQNSGLFFDAVYKLVGVKFGDYYKSIDQLDQVEKNLAESLKQVAYHNLGDLQYDYKIVNNQPVHKNFHAQVTSLLSPALQNQQIPNCAPHNSVLRDISNAQGFGSRHSRENFGTSSQTSNVRIDITSFVHEEASNDVLMRHEPITNRVLPYHSSQGALIPGPRITQLQIPQTETTYFGPDGSSAVVPCTFLVSAPFGPYRQSEISHFSEESYSCHSLNSLSPTNDVMSLMQSQIPLPRSSEQCNGQSTIQKQQAITEFQSSRTNSFDLSSCDELIQNFMSQISNSEVASMPLSPRKWVKIRAALKLASVGRLSRGSRRGSYCAPPRPRLDCIFDRRRLEAVSISYNLRLINPFTASKIHKMHATS >Et_4A_033221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20081709:20087089:1 gene:Et_4A_033221 transcript:Et_4A_033221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPKPPPPPRPKSRGSYNCGRCGQPKKGHICAHNRLRGALSFDEAGTPSSPEKKPKVEAVEMEVDGGACGDGEDDDDDDGEEAMMEVGGRPVPKEVMAEVLRRLGPRGVMAAAGVSRGWRDCAGRVWGATEELRLRAAGLGLLGALLPRCTALARLSLRMESDVDSTTLACLAFSCPSLKTLEITMAANAVNRITGEDLSRLASEKSTLSVLKIGGCSNLDFLNLSSSSLSILWLSDLCSLSKSVMNCPSMSELSLCFAQQSDDCTDLVSLMDGLGRTCPNLKKLHVSSNQLSNEAVYALESANLRGLCMLSLILGSKITDAAVASIIRSFASLELLDLSGSSIGDNGVGMICKAFPHTLSRLLLALCPNVTTRGIQLATAQLPQLQLMDCGMSLCANSKNEKEGPSYGEINGGIRFIRKSSTSQKQSIEQKLIIKHGNLKKLSLWGCSAIDALYINCPELNDLNLNSCTNLHPERLLLQCPNLKNVHASGCQDMLIGAIKNQVLNEFAAAEPSLPCKRLADGSKRVQLPQFLQQQVKFILRSSLPSEPDDNKLIELSRTQCN >Et_6A_046013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18465516:18466870:-1 gene:Et_6A_046013 transcript:Et_6A_046013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRCCRCRRGASARRWRSRRAPWPRRWCSSAPPPSSRRPPCPTSSSPSQNPPPPPSLPPPPPPRSPVGGGARVTNWDAKRAAWLRSRGLSPGSASVASRVVMVSGSQPEPCRAPGGDHLLLHFLKNKLDYCRLHPNVELLYNTAHLEPRMVAYWAKLPAVRAAMLAHPDAEWVWWVDADAVLTDMDFALPLDRYDAEGHNLVVYGWEKEVYERRSWVGLNAGVFLVRNCQWSLDLIDAWAAMGPASPAYARWGRTVKKELAGKPNAESDDQSALVYLLSEHPDTWGNATFLETAYYFQGYWAEVVDRLDGVARRDGGGQAGWRRPFVTHFTGCNPCGGERNPAYSAASCRDGMRRALAFADDQVLRAYGFRHAAPLSDTVRPLPFGHP >Et_3A_026384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7496426:7497221:1 gene:Et_3A_026384 transcript:Et_3A_026384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAVAIETISSLAEAGDALKNRGIDQVQFLLHEQTSLKAATEVMARTRPGKLGYRFLNFELMDCKFRTKVELDEAYQRMFKECMQQCDRELLPLEVHITQLKRLLRLPDNEIVHVGPDIMQRNQGVQHVLYPHPPFLLHPSYEYHDSPEERISYQPPYATAEERDDARSRDRRAQRAWWRTNLTLLETKKKILEGKRIELEIGLASEMKKALESQSDLGAGYANYHFRH >Et_3A_024312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19384033:19386171:-1 gene:Et_3A_024312 transcript:Et_3A_024312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRFPLLMKVGEEIETGSAACCRICLESGSGPGDELISPCMCKGTQQFEGIAFSHCTTCKAQFHLRVQFLEGSRCRQMKFRLFVARDILLVFLAIQASIAAIGSFAYLLDKDGKFINNFAYWNHFLSKHPIPFYYCVGTYFLPQLQLSGMKIDVVKCAVLTNVLCARYSLVVFFALVGFFGCIMHCSTCPSTNNNYSSSTGSSNWNTDSTEDCCAVLVIFVIIFAVLGLFFGFVAASIAVQRILQRHYHILTKKELTKARVCCGGPSRGLHSTKDEPRARATPEDAASH >Et_1B_014307.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5641650:5642942:-1 gene:Et_1B_014307 transcript:Et_1B_014307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDMEEPPHLFLCPISMELMDDPVTVSTGVTYDRRSIERWLLKYGRATCPATMQPLPSLDLTPNHTLKRVIDSWRDRGSPSTSASSSPSTSSLSSPAHDLATTPLSRMLEAERLRSALADLEETPFKVTALRNMRARIAGDVAMQCEFVASGGIEAVGGVMAQALAECGAGGDFSSFTVCEEAAAVLAMLPLADPASVGLVLAPECVRPVMALLQRGGAEARLHAMDILTKISDAAGASDWIAGVDVDDVVKSLLELLADEGPTRLGSRALDVLLAVVGLFQCGPAKAVEVGAVHVLVELLADADGRHDAERILLLLKRLCKCPEGRLAFADHGLSVAAVAKTMMRVSELATQLAVKVLWLVSVVAPSEKVLEDMVLTGAVAKLLGLLHVEIPPATKQKTVRMVRINGGFWRQYSCFPTDLRDYLRLLD >Et_4A_032061.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:14712820:14713104:1 gene:Et_4A_032061 transcript:Et_4A_032061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFPLAATQKITYLIFSLAATIQKKYGTCWECTTHICSMMLQKPSPARITSSTEAIKKTGQPFSSQSLGTYGWLGTGKRSIMRQSRSSESRKI >Et_8B_058663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14974167:14974967:1 gene:Et_8B_058663 transcript:Et_8B_058663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFYLVLGAAAGYASVFLWKTLRRGDAAGWPRVAWSAALAFPGAALAAFAALNGVLWHNGSTGAVPSLLFAVVILLWLFVSVPLTLAGGLLASRARHVELPVKPNRIPRHVPPSPAPTCSPWLLVAAAGTLPFGTLFIELFFIMSSLWLGRVYYVFGFLLVVLALLVAVCAEVSVASGSVALYVLAYAVYYLVFDLHSLAGPVSAVLYLGYSLLMAVAVMLATGAVGLAASFCFVHYLFSTVKLD >Et_3A_023884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1468141:1472368:-1 gene:Et_3A_023884 transcript:Et_3A_023884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSARQRRATAATPLSDDGYSKDAKDRKRTTGGGEDEGIKWFLPFLALGLLRHMSASSNLIHDCDEVFNYWEPLHFLLYRSGFQTWEYSSDFALRSYLYLFIHALVAGPASLFFGEHKVRVFYSVRLFLGLISTITETILVVALSRKYGKRVACYVLAMLCLTSGCFFASTSFLPSSFSMYAVTLSSALFLLEKYAAAVSVAAAGVILGWPFSILVVLPVTVYSLIRGPFKRVFLSGLLTSGCLLVLSFLADYYCYGRTTFSVFNLLKYNVLGGGESHLYGTEGPLFYFRNGFNNFNFAFILALLFVGVVPFARKKYAPDLLIVVFPIYIWLAFMSLQAHKEERFLYPIYPLICVAAAAVIDSFPDFFHDKYSSQQSIFEKIARGLRPLVLGFILCASHSRTFSMLNGYGAPLQIYQHLEYHEDTGPGSILCVGSEWHRYPSSFFIPSYISEVRWIDDGFRGLLPLPFNETLGGTTAAPSYFNNKNKASEEQYLKDIGACSLLVELDLRRPYPSRGSDLSTWETLAALPFLDRELSPALYRSFFIPYRWQQNNVFGLYKLLRKLRTDQL >Et_3A_026169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4976664:4985560:-1 gene:Et_3A_026169 transcript:Et_3A_026169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCITASAYIQYKVSSEVLNISSQFLLNLPAVVITAEQRVADKQKIKSPGNETGWDSCCFDHTRLLPLFQMNEEKSKVVCNTEGRFSESCEADGDVRVHGTTLSVSVVPTTTGSSERREWRIRTHARKSVDNIRKATVTQLPDPAAAPPCTATYTMPAILFAIGGHSGRNFWHDFADVLVPLFVASRRYDGEVQFLVSNIVRPKWLSKYKTLLRRLSKYEVVDADGDAHVRCFPHVDVGLRIDKEFSVVPEQAPAGGPRLTMADFTAFLRETYALPRHEAASLARDTPDTGSRKPRLLLIHRGHYRRLVNEQEVADAAAAAGFEAVVMELRGDTPVGDQARAVNSFDVLMGLHGAGLTNAVFLPPGGVLIQVVPFGKLEFIARVEYGEPAKDMGLKYIDYNIGLDESTLPEMLGPEHPVIRDPDSVHRSGWAMVDEFYLRKQDVRINVTRFAPTLAQALEHLRRRHDAEQSCSGSTKQVAMCCSEVKPGRSLTSWRHLNAGFVSWWSSPISLLSTPATVTRTFLTSPHSEWHLSPRGLFIGSCFLLNVQLSLRKHSRPWTNSSSELLVMVKQVSEKQGKVICSSEGYFSESCQVDGDVPVNGTALSMTVAVPGNQSSERREWSIRPYAQRNEGHRDAAAGSRPAVHGDVRRAGRAVRTGNYWHAFCDVLVPLFAASRRYGGEVQFLVSNIVEPRWLERYEALGLLRRLSRYEVVAVDVDAHVRCFPHVTVGLHIHKLFNIVPELAPGPGGRRGLTMADFTAFQREAVSLAPGEKKKRPRLLLIQRERTPPVHELALAAEAAGFETVVTDLGGNTTTVAEQARAVNSFDAMVGVHGAGLTNAMFLPPGAVHVQVVPYGKMEAIARSEYGDPMVDMGLEYIEYVIGVEESTLLETLGPEHPAVRDPESLHRSGWDKVDEFYLRKQDVRVNITPFAPTLVQAFEHLRQR >Et_9B_064721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17997886:18001868:1 gene:Et_9B_064721 transcript:Et_9B_064721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAATVSAPSRFLSSANPRSTAQLNPSSLAFAAPSVGHRLGGDLCLGVRAKVASAVQSASVVDDGAQRPWKLSDARLVLEDGSVWRAKSFGASGTQVAEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNPDDEESNQCFLGGLIIRNLSICTSNWRCKETLEEYLIKRNIMGIYDVDTRAITRRLREDGSLIGVLSTDESRTDAELLEMAKKWKIVGVDLISGVTCDAPYEWSDKTDSEWEFKKDQSTESFHVVAYDFGIKQNIMRRLTSYGCKITVVPANWPASKVLNLKPDGVLFSNGPGDPAAVPYAVRTVQEILGKVPVFGICMGHQLIGQALGGKTFKMKFGHHGGNHPVCDLRSGRVDISSQNHNYAVDPESLPEGVQVTHINLNDNSCAGLQYPKMKLMSLQYHPESSPGPHDSDTAFGEFIELMKTNRS >Et_4A_034905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7720680:7724725:-1 gene:Et_4A_034905 transcript:Et_4A_034905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAATAAFSPSRLAARPGHAPAAALVTAGAAVAARRRSGAAGGRVRCSAGAPRANGVVSRSAVAARAAEEDRRRFFEAAERGSGKGNLVPMWECIVSDHLTPVLAYRCLVPEDDVEAPSFLFESVEQGPEGTTNVGRYSMVGAHPVMEIVAKEHKVTIMDHEKGEVTEQIVEDPMQVPRSMMEGWNPQQIDELPDSFSGGWVGFFSYDTVRYVEKKKLPFSGAPQDDRNLPDVHLGLYDDVLVFDNVEKKVYVIHWVNLDRHASAEEAYEDGRSRLNLLLSKVHNSNVPTLSPGFVKLHTRQFGTPLNKSTMTSDEYKNAVMKAKEHIVAGDIFQIVLSQRFERRTYANPFEVYRALRIVNPSPYMAYVQARGCVLVASSPEILTRVKKGKIINRPLAGTIRRGKTEKEDEMQEKQLLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVSGQLDDHLQSWDALRAALPVGTVSGAPKVKAMELIDELEVTRRGPYSGGLGGISFDGDMQIALSLRTIVFSTAPSHNTMYSFKAADRRREWVAHLQAGAGIVADSSPDDEQRECENKAAALARAIDLAESAFVDKE >Et_8B_060113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6019329:6022554:1 gene:Et_8B_060113 transcript:Et_8B_060113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPEPPREHAWRARASPATNAPPRRKRTRHSKADHRSRDPGKVQLRHQLFQQQTSLKSLVPDLKAAPPVTPVFQLHGTCSTDASEFLAFPYLFRSISELPFSASASSSAPPCCWPWLCRTALLLCDTGCSTVHSRVSAHDVHSLLAPALLSAFEDDDDVTQLSAERWRGGDREREEEVEAAAEASSESSAMAASGEPRLWSARTSCARRSTDCRYLPCASILSCRFLWTSLCIHATLLEESQRASLGAESPSSPANVRYNPVTVSSTYSSGWLSVSEEDDRSPLSAGDASRPRLTARRCFCSSGEVHMQKMIGCCVLLGVRIHRTWRWLLRWCSVSPGTAIALSTDLGVASAMPRKKVVAIRIKSVEGIVATHRARAREDSLSAPPSSDTARTNRSCSWRVHLSRGQTASRPPLTCCAWAPDDDISSVPKTTSRGVAASAAWPA >Et_8B_059388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17471338:17479097:-1 gene:Et_8B_059388 transcript:Et_8B_059388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPMVVVDVVEEEPVEVEGVKVPVDTSKPNPNGLEFDNLYLDMNGIIHPCFHPEDRPSPTTFAEVFQCMFDYIDRLFIMVRPRKLMYMAIDGVAPRAKMNQQRSRRFRAAKDASDAYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKIMSYIRGQRNLSGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVGHLAANCEGKAKRKAGEYDEKGEAIVPKKPYQFLNIWTLREYLEYEFKMPNPPFEIDVERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPAMGGYLTDSCTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIKREKAQAKRGDDLDPHVKDDLIVPVANFRGSRLASGAVPSPYENGSRRKENSQAQKAARVSSSESRIAAAIVEAENDLEAQERENKEDLKSMLKNVLREKSDVFNSENPEEDKVKLGEPGWRDRYYEEKFGAKTPEQIEEIRRDVALKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLRSLSELNITFELGSPFKPFDQLMGVFPAASAHALPLQYRQLMTDPSSPIIDFYPTDFEVDMNGKRFSWQGIAKLPFIEQDRLLAEIKKVEHTLTPEEARRNSTMCNMLFVNGSHPLSPYIYSLNSQFGHLPDKERNDIKEKIDSSSSGGMNGYVALCGGDPCPPVFRSPVDGLEDIMDNQVICSIYKLPDPHKHIARPPAGVIIPKKAKPGRSIIWATSWRSCPSPGYKQLERSGWQWAI >Et_6A_046402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14481684:14483713:1 gene:Et_6A_046402 transcript:Et_6A_046402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEKKMITLKSSDGEEFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKILSKVIEYCNKHVHAAAAAAKAGSDDAGANNSSASTAAASGEDLKNWDADFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEIRRENQWAFE >Et_1B_014048.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:28836941:28837210:-1 gene:Et_1B_014048 transcript:Et_1B_014048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMAALSRRSGQDALATTYAATTACVTKLSRWRAHSSLSCFEKAVMVSCPPRWMSTKGAQKDMSWWRGSLAWTARWPSTKMATESADR >Et_9B_066060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21913230:21914640:-1 gene:Et_9B_066060 transcript:Et_9B_066060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALLLSFIFFLPRASGVSSGSHYFTSMFTLGDSYIDTGNFVVMASPVIPVWIDKPPYGMTFFGRPTGRPSDGRVIIDFIAEELGLPFLPASLLIANSSVDVSLGVNFAVGGATAIEVGFFERTNLAPFKLINNSLDVQLGWLEELRPSICNATRAGCAGCFSKSLFVVGEFGVNDYTFIWMAGKTEEQVRSYVPQVVQTIALAVEYIITCSLLLKTKQRLIKDDGAAHIVVPGNPPNGCSPTILTFRQSGNKTEYDHIGCLRNVNRVARYHNMMLRAAVGSLRGKYPHARIIFADFYEPIIHILQNPTLFGVVRDDVLKACCGAGGAYNWDVSSVCGMPGVTSCKNPAAYVSWDGVHFTEAVNRYVAEGWLHGPHADPPILRF >Et_4B_039656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25547292:25547565:1 gene:Et_4B_039656 transcript:Et_4B_039656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGASSLVTETLQLYPATPWLLDTSTDCEVGGYHVPRTVWEEPAKLVPERRKGPRETLALVLGTGCLE >Et_4B_037763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23187202:23188757:1 gene:Et_4B_037763 transcript:Et_4B_037763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEEDDSGSDDAVGVAGLPEDGGLRDQRGHHVRVHVGRRPPVLELNVLMSAVSWRPVRRRSLPSPYAAMCSRCRMPSFLIAFLITSYPPSHLIDLVLNPHHQLISYSRYVLARGVARPNFTVSFCLYLWLSPCTVTSTRESQDQSRITYTKQKHLLEEMLTGIGFGSKETTTPAISRATQISSPAETPTEGPTWNSHCLVVRVDNVSAVGFVRSGSAVWPPNRPVDVLLKEGVLLLNPKPEFCG >Et_2B_020447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20295576:20299863:1 gene:Et_2B_020447 transcript:Et_2B_020447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSDIVHTGGCHCRRVRWQVEAPASVVAWICNCSDCSMRGNTHFVVPAAKFRLDSGAEEFLTTYTFGTHTAQHTFCKVCGITSFYTPRSNPDGVAVTVACVDPGTLAHVEYRNFDGRNWEGMFERSGISKLSKAEAAADGGCHCRRVRWRVEAPASVEAWICNCSNCSMRGSTSFVVPAVKFKLEAGAEEFITTYTFGTHTAKHTFCKVCGITSFYTQRDNPGEVAVTVACVDPGTIAHVEYRRFDGRNWESLAMGSDDVVHSGGCHCRRVRWRVEAPASVVAWTCNCSDCSMRGNTHFVVPAAKFRLDPGAEESLTTYTFGTHTAKHTFCKVCGITSFYTPRSNPDDVAVTVACVDPGTMEHVEYRRADGRNWEAWFASSNIAGFSQAEAAE >Et_7A_051457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18953167:18953690:-1 gene:Et_7A_051457 transcript:Et_7A_051457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILLRPSTHTSGSKNASPKKPLPSSLSQHHPGLLTPRTTALNASTSLSVTMPGLLASTPASDAVRLSRRNDSATVRDA >Et_1A_008568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8912734:8925275:1 gene:Et_1A_008568 transcript:Et_1A_008568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGLLGSCRIMSLLGTRVISLHVINAPDPGQEKGIWGWSKRHANWILLCIGVCFVLAIIAIVVYFSWRDKEKAKEPLYHVVLDAVAGLDPATDLARPELDPEFNLTISLASRSVTTGWCLGRGSTVRLSYRRVELARGPVPRFCVGRRRSGTQGSIFAWGNGVRVPRMFREALASDLRRGVAELDVAFMVEPLEPVPLPEGFPHEVFCKAKVARPAVPPDPGQRPEGDSIWKRIALWFLCFGIVCGVVLFFVTMGWVYWSGQDEIKQPRYHVAVDAVTGLDPDTELQRSAPLAPEFNLTVGLAPRSVTTGWCFHGGSTVRVSYRDVQLATGALPRLCVGPRQSGEKGSVVAWGKAVDVPRMVRDALASVLRRGGAEFEVALTVAMAPHAISWVVVSCMAQVSRSAVPHATDVGPVSYGRSAPQLLKSNLVIDDEGGASAWVVWFVFALILVIAGVMSDEQAYHVAIDAVAGLDPKTDLKRPTLDPEFSLRVRIDPGLWKHDDACLNVGSAAAVSYGRFPLAAAALPSKLCVGGGSGQSSSGWDDASSVAVVAKGNGVRLPRAVRDALAWELWGWGSPEFDVTLTVPYEGWWKLVSCKAKVGVPAMLQAPCNVTVLAAPRATAEIRNVALPEKDDGGQHSRCCNCTLRMVEAVVFAFLGFLGISIYAGFMTWIILDTAPQYSAAITSVSGLDPATDLGRVTLDPEFNVTIRIAATSSVGTALDVSYRGVRLAGAPAPHLCAGKGKSAGPVVAWGTAVRVPGFVLDGLAADLRGGVAAFDVGLTVPSVTSNDGDGRQGQLVRCMARRVGDHDVLRAPCDVHYVDTAVVTPQPGA >Et_2B_020830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24038455:24039232:1 gene:Et_2B_020830 transcript:Et_2B_020830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRAAPLPGEEQEADKLRRGDGFLGHRYYSVARAEYDVAVVAAALTHVVCATEPPSGGPAGATATRVVVEEEEAGWVEARAQYRGVRRRPWGRWAAEIRDPAKAARVWLGTPEEAARRLKGAKARLNFPTAPLSSPADQQASVSSSSASAAAEDLFPDLRSYARILQSRSDADVLKSGGGTPPLRWPPADDDGRDRGSSSAGGVARRA >Et_9A_062519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23723601:23726155:1 gene:Et_9A_062519 transcript:Et_9A_062519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLRAPPLIAGSLATPLRWFPCSRRTRSPATGGLPCAKLSDPRRRRREQARRGFRTCASVAEAENGTPEEDVADDYYSVLGVMPDATPEEIKKAYYSCMKACHPDLSGEDPDVTNFCMFINEVYSVLSDPAQRAVYDEIHGYTATATNPFFDDSAPKDHVFVDEFTCIGCKNCANICPDVFQIEEDFGRSRVYSQSGNTELIQDAIDSCPVDCIHWTSAAQLSLLENEMRRVERVNVGLMLSGMGNSVDVFRMASARWEKRQAKVLENIRTRMVNRKNSDTTSSWSDIWGSPTQNPSNEEEASERAKRAAAAARRWREYSRRGADRPPTYKLPEAVGNKD >Et_8A_057843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8103877:8104478:-1 gene:Et_8A_057843 transcript:Et_8A_057843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRKGNEEGTMLPCGQRRAASLGVLNADALPGHAGDLPDVALERAHGGDVPPHGVQWQREELRHGAAEQRAPEVRADRVVRDGDAVMDAVTAARVASHPGT >Et_1A_008184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:522626:525199:-1 gene:Et_1A_008184 transcript:Et_1A_008184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPRFRCLAKRHVPIAMRCLLLLVLAAAPAAAGDEGYAHCACDGAGGGDGAGFWTLDNIFKWQKVSDLLIALAYFSIPLEILYFVAGLRHLLPFRWVLVQFGAFIVLCGLTHLLAAFTYEPHPFMVVLLLTAAKFLTALVSFLTAITLLTLIPQLLRVKVRETLLWLKAHELDRKVDLMKQQEAACWHVRMLTQEIRRSLDRHTVLYTTLIELSRVLALKNCAVWMPSEDKSAMCLTHELRRGSDGEAIISADDADVVEVKSSDGVKLLSPESVLGLASGGGKEETGTVAAIRMPMLKVADFKGGTPEVIKTSYAVLVLVPPAGRNWAQHELEIVEVVADQVAVALSHASLLEESQAMRDRLAEQNRELMQAKRDALMANEARDAFQRVMSQGMRKPIHSILGLVSVVQEEGLMPEQKLVVDTMARTATVVSTLINDVMEMSAINQERFPLETRPFRLHSMIRDAACVARCLCDFRGFGFAVHIENTLPDIVIGDERRIFHVLLHMVGNLIGRVDVGHVTFRVSADDEALEDSLGQRWDPWRPSYSSGHSSVKFFIGVKRQQSTDPSSSLAKFLRKPSAEGFDLKLSFSMCRKLVQMMQGNIWAILDDQGLPESMTLVLRFQLQSSLASSSLGATSFEIVVINLEMGIVNPLDVAARIGQYRSTHWPLVMAMTSEQNVWEKCAQSGIKGILKKPLILHEVKEELARLLQNT >Et_6A_046298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12310140:12316369:1 gene:Et_6A_046298 transcript:Et_6A_046298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLVSLQLVFLVCLFNLASATERNIVASLPGFDSALPFRLETGYVSVDEENGAELFYYFIQSEADPRSDPVLLWLTGGDRCSVFSGLAFEIGPVKFIIEPYNGSLPRLRYNPYSWTKAANILFVDSPVGAGFSFSRNPEGYDVGDASSSLHLKKFLAKWFTDHPDFLANPFYVGGDSYAGKIVPYLVQKISEDIEAEGKPAINLKGYLVGNPITGEPIDVESRVPYARGVGIISDQLYENIMEHCRGQDYRNPMNVICAQSMNRFNELFNECSKPHILYKKCTFVSPAQNNKSAKRTILIEEIGRLKHPPPRPPIDCQSYESYLSYFWANSDATRDALRIKKGSKDEWVTCHEGDLPYSKDMRSSIKYHRNVTLKGYRSLVYSGDHDALVPFLGTQAWVRSLNFSIIDDWRAWHLAGESAGFTIAYLNNLTFATIKGGGHTAPEFQPERSLAMFRRWISNKPL >Et_2B_019857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14702797:14704256:1 gene:Et_2B_019857 transcript:Et_2B_019857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAADVASSNTTAAPQFRSIFSTTTTWCPPVGTYYTGVGHINRWLGTDDGAGAWLLTIDNEAGTPPRLVDPFTADAITLPPFTNKMLEYVKESSGVVCSNGAVVLYAVADYVCSKYDCYITVTVLHPSDEAWAQRKTHLMLYTGFGDSCSAAYHRGCIVLVDRLQVYIVKLRLSTGNGDGIEDDGNALQEIRTSPETPIWCSFAEQFWGRGPRQQRLSIHAFESRGELLVACIVLATSDDADENGGDSRALAAAMSVWVYALEESVDGQEISKQRWVWRDGRSLSDRVLFLGSPTSFAVDGARFAGAAAISGGCANFVLDSREAGWSWRKVPEARRVYRYSFEDGSCRRMLVPPDGTVTTDKAMMWIMPLPFVTSPTTHSVQTPCILTHEGSTAKINVEQEIRPPFTVFVGNLPSWVDGVRLKQFFDNYADVTEARVIHDTRTGRSWEYGLVTMATSEEPAETCLASEE >Et_7B_055117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7410762:7414045:-1 gene:Et_7B_055117 transcript:Et_7B_055117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRDKLVLAPMVRVVTPLDPSSPLQSVGWICFSRPPLREHMRLSLRFRLKFGTLPFRMLAAEYGADITYGEEIIDHKFLKCERVINESLGTTDFVEKGTDSVVFRTCPEERGRVVFQMGTSNAVRALKAAEIVCNDVAAIDINMGCPKSFSLSGGMGAALLSKPELIHDILTTLRRNLDTTVTCKIRLLNAPKDTVELARRIEKTGVPALAVHGRKVKDRPRDPAKWDEIADVVSALSIPVIANGDVFEYEDFKKLKEATGAASVMVARGAMWNASIFCSKGKTPWEDVKKEYVRKSILWDNDIKSTKHTLKEMIMHHSCLELPEGKAVYKCDTSADVA >Et_10B_002518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12710756:12710935:-1 gene:Et_10B_002518 transcript:Et_10B_002518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKVHCSVHPIPVLILHNSHNPHPPKRELHLPKR >Et_3A_024162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1849134:1851632:1 gene:Et_3A_024162 transcript:Et_3A_024162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPSPAELPPDEVLQEILLRLPPHAAVFHRASLVCKLWRRLLLDPDFTRRFRAPPLLGFFRSDSRYLPAVERIHSSNNIRVLACRHGRVLILLTNPSGKLQVEVDDPLAGYGVVFPGPKSKVLNFGCVVHGSLICDHEDSRRGEDYRCSWRRFFQDARGEDDGCSPRPCQVAVLLYGSGCIHASVSGDKHETWWRGLVSLAAPHDLFASLKPGVLLGNAMYYLASPPQSKIVVFHLDTNRLHLIESLPVDSLGPYDYYRIVEAGDGKLGMAAVRGSQLHLFAHVANSDGTAARRWMEYRAVELDTLRLLSPPVKAIEIIDEDANTVYLESTDGVFALHLKTMEVNKVLPPGGALGTMIAYRRLCITDGSGVQDGSSYGAGSADVIDGNTSNFFWN >Et_5A_041387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2284633:2287299:-1 gene:Et_5A_041387 transcript:Et_5A_041387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPRTDDDGAEEEEGGGSGGGRALSYGEAEYWDARYVEECGAPYDWYQRYDALRPFVRRFAPPASRLLMIGCGSALMSEDMVNDGYVEIVNIDISSVVIEMMRKKYFDVPQLQYLRMDVRDMSMFSDESFDCAIDKVWCGRPPQCSSDGPGSGQITYGDPSVRVPHLNQPGCNWKIVLYILPRPTFQGKTRRSVLDPVALTERGGLPDGFVLEDPDSHYVYVCEKMQGLTGTSSPTLNHIEKQKGE >Et_3A_024231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18718331:18723573:1 gene:Et_3A_024231 transcript:Et_3A_024231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFQCEDCGENLKKPKLAGHFRSCSAYKLSCIDCGEFFSQDTIQGHTQCISEAEKYGPKGQNKPSNGVQGKPDKPKPNADVDINVGLSTHPPWSCSLCKTITTSQQTLLLHADGKKHRAKAKAFHASQKQANGNEQTPENKESGGARAVESGSVNAGKSDNSDREEAKDAGKRKRKDGSATEQPDNVKRQNLSSSIGAVIQSENGKSENKTKSEHTADEQDSGVGCKNLDKQKIKWKKVITKALKTKPDGAMTLLKLQKLVIKELQESGLSVDNEGLRATLMDKIASSSRFSVDGKHIRLVA >Et_2A_017528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35179312:35181402:-1 gene:Et_2A_017528 transcript:Et_2A_017528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAVVVAIAAAIGNLLQGWDNATIAGAVLYIKREFHLESQPAVEGLVVAMSLIGATIITTFSGPVADMVGRRPMLIASSLLYFAGGLIMLWSPNVVVLLLARLVDGFGVGLAVTLVPVYISETAPPEIRGLLNTLPQLTGSLGMCASYCMIFVMTLSPSPTDWRSMLGVLSAPSLVYLALAVLYLPESPRWLVSKGRMKEARAVLEMLRGRDDVSGEMALLVEGLGGGGGGGGDDTAIEEYVVGPAGGAQAQQGNEVTLYGPEQGLSWVAQPVPLSSMLGDMAAARQGSMYEQMKDPVVALLGSVHDKMMPSNSSETARGSTLFPNLGSMLSPGGDSWDEENVPPNFEEEEEEEEEAGTDVQAQGSTSTTMGIGGGWQLAWKWTEDVGPDGVTRGGVKRMYLHEGTDASAAALVSRSMLYTKDVLVGQTPLVALPDPDDTKATACNLWWKELMAPGVRHALFCGMMIQILQQLSGINGVLYYTPQILDQAGVSVLLSSLGLSADSASILISGLTTLLMMPSIGVAMRLMDVSGRRSLLLRTIPVLIASLLVLIVANVVPMATTAHAALSTGSVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLTFWLGDIAVTYSLPVMLTSVGLAGVFGFYAVVCCIALAFVALKVPETKGLPLEVIIDFFNVGATTTTNTNTPPQH >Et_5A_042289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8322201:8324679:-1 gene:Et_5A_042289 transcript:Et_5A_042289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHHRHLLTAAAAVVAAVVLLSPGAASYPWPFCGTDNFRANSRYQANLNLLATTLPGNASTSPSKLFATAFAGAGRDRVWAAGLCRGDVNATNCFACLARAFHDLPNDCSYNKEASIYYDPCVLRYSSVRVLSAVDADDSGLSAFVTFPPNVTANPAQFNRAVAVLINATADYAALNSTRRFATGEAAFDEEVPTVYAAAQCTPDQTPAQCRSCLAGIIRDNMAYFENAVSGRLLWLSCNFRYDNKPFFNGPAMVQLASPIPAAPAPALAPAPAVQPRVQPPSSGLAGVGDLKGRKYSVPVLVPAVLLPVLAALNLAICLCFWRRRRQRRSVAEAKKPYPTDEAEDGEIVDSMMIDFSTLRAATGDFDDSNKLGEGGFGAVYKGVLPDGEEVAVKRLSSSSMQGVEQLKNELALVAKLKHRNLVRLVGVCLEQQERLLVYEYVPNGSLDAVLFGTENRNREQLDWEQRYRIINAIARGLQYLHEDSQLKVVHRDLKASNILLDANMNPKISDFGLARIFSRDQTQAITKSVVGTYGYMAPEYVMRGNYSVKSDAFSFGVMVLEIVTGRTNNNSYNSKQSADLLNTVWEHWEAGNVMELVDPSMNGGFPEGDVLRCIHIGLLCVQGDPAARPVMSSVVMMLGSETVALQAPSKPAFIARKGDANSVSTVSLQG >Et_5A_041151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18860655:18867226:1 gene:Et_5A_041151 transcript:Et_5A_041151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFGKRKTPAELLRENKRMLDRSIREIERERQGLQAQEKKLITEIKKTAKEGQMGAVKVMAKDLIRTRHQITKFYQLKSQLQGVALRVQTLKSTQAMGDAMKGVTKAMAQMNRQLNLPGLQKIMQEFERQNERMEMVSEVMGDAIDDALEGDEEEEETEELVNQVLDEIGIDINQELVGAPSAAVAQPASAGKVAQAESAGTADSGIDADLQARLDNLRRM >Et_7A_051257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16157245:16164506:-1 gene:Et_7A_051257 transcript:Et_7A_051257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAASSSSSKKQKAKPKPRGGVDFKKYKHKVGRKLPPPKNATDTEIKFKAIVLPEQSMASERSGMAVNKRGLTLRELLQQTGHYNVNVRRAALNGIKDIIVKHPTELKLHKVAIIEKLQERISDSDKVVRESLYSLLQSPIIPSLKEDNAISTRSTLFLLMANILNGMTHLSLDIQLMAFRFLELVVINFPSSFPSYAEQAFDNFIAVLSNDRIQLHDRNKLNSVLSGLGHCLSLVAKATNNNDTSNRQVRNLSARELWKSNTDEGTFAMSKLLAKLQNLVQILVKSIEVSASDLCAKSSIDAQSSEALLSALHCLDLICRVFIHEVKKPHLNISIPKTQFDPDWLRSSLLVHLKNLWGVKRLFHEKAKTLRNKDLMEMYMSPLITCIPDLISNAPDDSKGYLLEAFTDAFRDCKVESKLILPYLDAVGEMLLHVVLELLLRVGQHFPTMDCENLRALIRLFGVTSSSGMVELGPFVNLTRHCQELAISCLYYFPSLLPDIIGPLASCCLSDVVEPFILFRVVEILQSIYKAGHLQITEQLSFLSLLMARFKVHPGNLFTPEDPSKIANWDTFKLLNRLILTSLSEMGDGSLVLELMWNNLSSAIAHKPSLHNLNGLFRIIVTLDAGTSKLLNEDVIKLIAGYLVDASLDLSKTIEFGFQPDKTRLFQYFIKPCIIMFEKNDKVLCSTLEMLKSFVTGDDHLFSSPSNLNYPRELSSRVCVVTSILIFLCNDRKLHRNLSLSKLVIKGILEYVRQQLVTSVPDVTFEEKQRLKSAFEQLKTKALQLNCWARSELEGLLSAT >Et_2B_022705.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3441386:3442669:1 gene:Et_2B_022705 transcript:Et_2B_022705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVERLTSELVVPAEETPAGAVWLSNLDLAARRGYTPTVYFYRTNGKPDFFEAEAVKDSLARALVSFYPLAGRLGLDDTGRVQIDCTAEGAVFVTARSEYVLDDLLNEFVPCNEMRDLFVPATPAPNPPSALLFVQVTHLRCGGVVLGLALHHSVVDARSAAHFTETWASIARGSTGDAPLPPCFDHRLLAARPTRTVLYDHPEYKAEPEPVHPVTAANYASAIITLSKAQVTALKARCAGASTFRAVVALVWQCACRARSLPPDAETRLYSMIDMRARLAPPLPPGYFGNAVIRTSALATVGEVVANPVGYAARRALAATSQGDDYARSLVDYLEGVDAMNLPRSGISRAHLRAISWMGMSLHDSDFGWGAPVFMGPALMYYSGFVYVMQAPGKEGAVSLALSLEPESMPEFRKVFADEMARLEL >Et_3B_031374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27366796:27368945:-1 gene:Et_3B_031374 transcript:Et_3B_031374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYHYQNMHDPLGFPIIHPQPSYLIHPTAGLSVDSRVRGGCHGGGRRRPGAKLSTDPQSVAARERRHPISDRFRVLRSLVPGGSKMDTVSMLEQAIHYVKFLKAQVSLHQAALMHHEEGCNESSFAAAYDSSADEVVPASTNGGHGASDHEVMQLLVPAQLEAANYAAVAAHQLQVLDPWQMRGAHELPPLPPCVFLEEPAAAAACYPALKLAADEGTQLL >Et_9A_062822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5515929:5520249:1 gene:Et_9A_062822 transcript:Et_9A_062822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPKFFPTEIKNKEKRIEDLNEKKRKHEMMMMMATKAGLEETREPPEEEDTRKKHAKEIKSLERRIKWLEKKKKCLAVAIENSIDLMAAQNLVEQQMEDEAAALKNKAQETMREQQLPAGEESSITESSSSLPPLPVRCRPCPKGCDSDEYLEWVREYKRVLDLNADVYNLPTLSTNHKDPETVSAVVVPDDKRKLLRVADAVVNVSYTFYDRKINPDLIDLNQYITGIIISWDVTNKRARILTCNSFEYTESGHKVVTIYVRWQYMEDTVFESKLLFISSHYMIAVLEIAFPEMPVDIPSEIILSFGLSPKYGQEVFALARDKDLSLMVRRGTIFCQEESIEEFSRGYLFADHELPECGSGGPVVDHNGDVVGISFSFEEKGTSVVLPISTALLCVDMWTRFRRVARPLLGIYFKNVERTNEVSGDTTFDSFVVDQVNPDSTAWELGIRSGNVIVSINEQCPLPLPEFEDFLLSCGLEHLHGTPTEINFKLEVHDPLQDGKRSITLHVPFSDVSKLECSRFSNENEDTDG >Et_4B_039100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7823833:7826150:1 gene:Et_4B_039100 transcript:Et_4B_039100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGITKNPCFSGDPYAAAVASDPLPEDGLGHSFTYVPSSVAAAAFDHPPPVMPSSESPPFFSLSGAAISANPATSASMPSFHALNEMTWPPSACTFESSRSFAAVPLQAAPPCTARLSLSGPLQDSSGRFSETSGTASTISGTLSDGPFLSGALDRSLSSGRLHQPSVPQLIAERRAARRRGNEQPQSLLRFFSRTASKLRFRSPRRYGSLPNEAPAKVSVSDGDYRSPPPNGGNVEWAQGMAGEDRFHVAVSEEHGWVFVGIYDGFNGPDATDYLFANLYVAVHDELKGVLWDDVIQGGGGGSSHHQAEPAASPDDNAGEDIVVVDDGGAAEAKRRRTEERPVPRNNASAAVHRDVLKALSRALKRTEDAFFEAAEQRADECPELGMMGSCVLVMLMKGADVYVMNVGDSRAVLARRPEPDLDILGKADQDLQRFKTEIMRQLEAHDRYGLQAVQLTPEHSTAVEEEVRRIKSQHLNDRHAIVNRRVKGKINVTRAFGVGYLKQPKWNNRLLEMFKIKYVGTEPYITCAPSLCHHRIGSHDKFLVLSSDGLYQYFTNKEVVDQVESFTVQYPDGDPAKHLVAELVTRAARKAGMASHELLDIPQGARRTYHDDVSIIVISFEGRIWRSSF >Et_1B_014142.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:32543262:32543522:1 gene:Et_1B_014142 transcript:Et_1B_014142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIAPARPRHRRALRRPRLLGRTAPARAPKCAICLGEVGKGETACLHAFHERCIDVWLHLHGHSTCPVCRCNAFAAPLPAQMVL >Et_6A_047768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1548003:1548548:1 gene:Et_6A_047768 transcript:Et_6A_047768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSGSSSPLAALAVLLLICSFHCAAAARPLPAVHLSLHENGVKNAADDLVLQEGAVGNGDELSISEMMGAEEVEEACEDGNDECMQRRLLRDAHLDYIYTQHKGKP >Et_3A_023597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:45656:46416:1 gene:Et_3A_023597 transcript:Et_3A_023597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENMKPVAFLLLLLNMCMYIILAVIGGWAEISFDRRNAKLGTMEAFTIVLTVTQFFYVLAIHGGSHGAVPVERRGNFA >Et_1B_010252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32458089:32458874:-1 gene:Et_1B_010252 transcript:Et_1B_010252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVLRAVLYMLRRGLPSGRKLAMDLHLLLHRGKIAGKALGDLLAFHGHQHRDATGFSSYAAGAGAGAGARREVEFSCSNTPSSADFGGLLGKRGRRRSRARRDDAGADVARVFEMLNDDDREPVAAATAAYETPSPAQMLWALARSPALSKHLRVADSPAASSGRDGDGRAQVDRQADEFIRRFYEQLRAQRSAASTPDYYGYASPYVSGGRRTPRAVATGIA >Et_3A_026420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7927934:7929837:1 gene:Et_3A_026420 transcript:Et_3A_026420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVSTALVKGLVRSFRPSSTAGVSQPAIQQFMNYSSGLGGAADAYGDSTTSRVAADPDTHQDFQPTSKSSGMSLSDIVAQDVKENPVMIYMKGHPDAPRCGFSALAIKVLQQYDVPITSRDILTNLKLKESVKAHTNWPTFPQKGELTDLLGDIAQKGGRNDVS >Et_2B_019334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24240657:24242073:-1 gene:Et_2B_019334 transcript:Et_2B_019334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RARRHSAGARRLPPLLLRPRPVHAGAAGDFLKCLSLVLTPSSPSFTSVLVSAVRNPRLFTPATVRPFVIVTATNASHVQAAVLCGRGHGVRLRVRSGGHDFEGLSYRSTNPEEVFAVVDLARLRAVRVDRVTATAWVDSGATVGELYYAVGKADPRLAFPAGVCPTMGVGGHFSGGGIGMMMRKAGLSADNVIDATLVDADGRILDKNAMGSDLFWALRGGGGESFGIVLSWKVRLVRVPPKVTVFTVARNVSQGAVDAVAKWQTLGPALPDDLTIRVLIKNQQAQFQSLYLGTCDRLLLVMRSRFPELGVTPADCHEMSWLQSTAYVNYRDLDNGRNAVVGGVSSFDSARVWGEMYFGAANFERLAIAKGMADPFDYFRNEQSIPPLVPRK >Et_7B_054496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2215917:2218495:-1 gene:Et_7B_054496 transcript:Et_7B_054496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPAAEPTPAMSPSADDSGASSSSAPALVEDADDADAVVTRQFFPTPPAAAAGAGASANARAGWLRLAAAAPPPAAGNVAPVGGPAAGAAASVAAAASKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSLEDYEDDMKQMGNLSKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEEEAARAYDRAAIKCNGKDAVTNFDPSIYVEEMEPPASEAAGGDEHNLDLSLGSSAGSKRGSLDGGGDEETSDQRVPMAFDLDWQTAAARSTKAKFDQNSKQPQMPPASSRHLPFSPMHHHQFLSSGGGGDPGTAGGLSLTIGGGGGGHWPPHLQQQRLPQQGWGTATTSWPPPPPYPPSPTTAAASATAAAAASSRFPPYVTTPPAPSWVQKNGFHSLTRPT >Et_8A_056973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19674861:19684315:-1 gene:Et_8A_056973 transcript:Et_8A_056973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRSQQHRHRYTYTSVVFPRHYLEALALVPRELASSNFFAQLNDLISLTSTYSQVVAVKDLASAYVQFLSAPGTPDDAVLAATKFYLEILFFENSLPLHRTLISVLAKCKKYFPVISGCFASLCEEYGGSSSKAKKRFMVCRAALSLIGYPKLGFLDEAVERCAEIMARDVIDGLNGVIGDIGDGSRPSPVVMEQCQEAMSCMYYLLQRYPSKFTGLDTASSVFERAVRTILSVLRSSAFSRDCLVASGVSFCAAMQVFMSADEICRFISQGLFCISADHEDIKGLSPPNILSDFDLCEEIRDLSVLSRLCLLRGILTSIPRAVLNMRQLQSDGSSWTVLYDGILPELCKHCENPIDSHFNFHALTVTQICLQQIKTSVLVDFADFSGDLKPFSRDVINRILRIIWRNLEDPLSQTVKQVHLIFDLLLDIELCVPSENNEQNRKLFLCNIANDLLRLGPRCKGRYIPLASLAKRLGAKSLLNLKPSLLSETAYAYIDDDVCCAATTFLKCLLETLRDECWNDDGVEQGYDAFRVLCLPPLMRGLVSGNSKLRSNLNTYAVPALIEVDTDSIFAMLGFISIGPNAKATNLDVVLKNDQRIAALISLLKVSRNLALVEGDIDLDSDTLSQPQQEDYKGAAVISVKGINVTVPVNWFALALTHSDESLRIDAAESLFLNPKTSSLPSPLELSLLKETVPLNMRCSSTAFQMKWTSLFRKFFARVRTALDRQVKLGSWIPSLTSSVEGSHSVDTAKAVVIQRAEDLFQFMKWLSSFLFNSCYPSGPYERKMIAMELILTLLDVWPICRSEGKNDLYPYNDRITLPDSTISFVGSIVDSWDRLRENSFRILLQFPTPLPGISLGTSINDVIWWAKKLVLSPRVRESDAGALTFRLIFRKYVLELGCIFIFSEESDCLECYTKSLNGDTDGITIQNPVAQYISSLIQWLCTVVEEGEKDLSEACKKSFVHGVLLTLRYTFDELDWNSEAVQSCVSEMRCLVEKLLQLIVRVTSLALWVVSSDAWYMPYDMDDVIDDGPFLSDIYEDQPNTASEMEANGKPGSNAKPAEHVVMVGCWLAMKEVSLLFGTIIRKIPLPGCSHSNSSQNGLSDNAEETSISVEILDVEQLETMGEHFLQVLLKMKHNGAIDKTRAGLTALCNRLLCSNDSRLCKMTESWLELLMDRTIAKGQTVDDLLRRSAGIPAAFIALFLAEPEGTPKKLLPRALDWLIQFAKTSLANFQKDSNQKSQVMKVDFGELCELQSETAVSKHSNGSLSKSRDEGVVPTVHVFNVLRAAFNDANLATDTSGFSAEATIVAIHAFSSPYWEVRNAACLAYTALVRRMVGFLNVQKRESARRSLTGLEFFHRYPALHPFLISELRVATELLADGHSSNLESHIAKAIHPSLCPILILLSRLKPSPISCGTDDSLDPFLLLPFIQRCATQSNYRVRVLASRALIGLVSNERLQHVVSDILDNFPHGNHEVVVNSVRCSDPPMSANMGNGNGNLHPKPFSFNTIHGLLLQVSSLMDNNFRCLTDSSKKDQILGQLIEVLSRCSWLGSTKLCSCPVVSTSYLRVLDLMLDVARTGTSKHTEIIQTLLLELSSQCLNNATSTHYAFHDPTLIELQQQATESFFSCVGFSWKNDQTSEEDVQLQIVAEPTSFVSEMSREEITLPEVHKEIMSCLADPTYDVRITVLKRILRLIKSIIHGESKNILHQWAWENLQPVLMERLFVEEQPKCLYYILKIIFSWNMECPFDDEEDSITCLSFWDRLNHLNSTMSHAKTKEIILCCMGMCMKRFAKSIRNVVSVDGLETSDLSASFDGINEGNRLSDVMLRANFFAGLVKNHSEPSETVNSRRVAAEAIIASGLLEEVNFLAPLVSNMYVPPECDEGHIKEKCMEASTFEFISIYTSKMLDLWFICIQLLEDEDAYLRQKLAKNVQEVIAKCSTSTFCDDSTPLQVDRVIELSFEYLTSLFGHWLKYIDYLLRIVLDTGNTLNSRGDLVRQIFDKEIDNHHEEKLLICQICCSNIQKLLQSKCQMETGGKTKLFLKNWRKSFLNQLTSLTSGYLERKGQTDWIGGIGNHKDVFISVYANLLGLFALTQSGSLEQLDDSDAIYLQEYSDLEGSITPFLKNPLISSLYLLVKLSHEKLRSPTKPEDQVDCSASSFDPNERVFPKTIDITQWDRGNDRDKPCKTILLDSSMQSCVTSDEKVGICEESGDHSKVATAYGNDDHEGK >Et_7B_053641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10635051:10636430:1 gene:Et_7B_053641 transcript:Et_7B_053641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPKEADWFYTPVYTTCDLTPAGLPLPFKSPRVMRSAIQYISNKWPYWNRTDGADHFFVVPHDFAACFHYQEEKAIERGILPLLRRATLVQTFGQENHVCLKEGSIIIPPFAPPQKMQAHLISPDTPRSIFVYFRGLFYDTGNDPEGGYYARGARASLWENFKSNPLFDISTDHPATYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVEEKDVPKLDTILTSMPIDDILRKQRLLANPSMKQAMLFPQPAQPRDAFHQILNGLARKLPHPEGTYLQPGDKRLNWTAGPVGDLKPW >Et_2A_017101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30937970:30940549:-1 gene:Et_2A_017101 transcript:Et_2A_017101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASDLVDAKKRAFRMLELFEKSTVKVGPIQEHKIVKQMLGSLLHQNGVLKRAFLIQHNRLKDYEEMMQERSQFKQIIEKYQEQIKALEAMYRGAAETMDQEHQLSHYHYLFLAVILLLPLLAAKLRPRRRRKNLPPGPWQLPVIGSLHHLVGALPHRAMRDLARRHGPLMLLHLGELPVVVASSADAAREVMRTHDAAFATRPRTATIRELTRDGLGVAFAPHGERWRELRKLCVTELLSARRVRSLRRGREAEAASLVASVAKSSSSSPSEQPMNVSALLATYVTDSIVRAVVGDRIRDRDAFLERLEEGVGVAAGFSLADVFPSSWLARAFSGAARRAEAHSRAMTLLMDGVIEEHRERRRAAGGGNEEEDLLDVLLRIQKDGGLQFPLDMGTLRAVIIDLFSAGSETTATTLQWAMAELIRHPAAMRRAQDEVRRVLAGRNRVQDDALPELRYLHLVIKETLRLHAAVPLLLPRECQEETRGVLGYDVPKGAMVLVNAWAIGRDAASWGSDAEEFRPERFEDGGGDKAVVDFRGTDFEFVPFGAGRRICPGVTLGLAVMELGLASLLFHFDWELPGGASPYELDMAEGLGITARRKSDLWLHATVRVPIPRV >Et_4B_037416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19585623:19588687:-1 gene:Et_4B_037416 transcript:Et_4B_037416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSVLRLLSLSSSPRPPQDTRTRPVLPLHAWPARRRRFSPACCVSSPTPPPPSLDLPLLPFQPAEVLIPSESKTLHLYEARYIALLEEAMNKRKNSFVHFVLDPVVDSSPKASFAIRYGCLVQIESVQKLEIGALVSIRGVCRMEPYFRGAVSPLMDEPYEGAELSTRISKLKECMCSLHSLQMKLKVPEDEPLQTNIRASLLWSEKEISEEYNKYFIPSFSERLSFAAYQTVSGMSDAELVTLQENKIKAMDSTNTLERLNNGIEYVEHNIGMIAARLAIQNI >Et_5B_044564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3495089:3496787:-1 gene:Et_5B_044564 transcript:Et_5B_044564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILCVGTPSTLLCGEDRNSVLGLGGCGELEEVGRGLDFFDAAGAMFPVDTDEVVRELMEKEMDHLPQAGYAERLEQGGLEASWRKYGMDWICKAHSYYNFGPLSLYLAVNYLDRFISSYNLPHDKPWVKQLLSIACLSVAVKMEETVVPLLEDLQVCDPKPFFEPRIIGNMEVHMMKALNWRMQAVTPFAFINYFLDKFNGGKPPSFALASRCAEIIVGTMKGSAFMSFRPSEIAAAAALAAVSENQVVGLGDLLATFEIPVNKEMVVRCYELMQGQALVKRGHNGIPSVPQSPIGVLDAACFNFRSDDATLGSSQSNNEASIPASKRRKLRMSPI >Et_7A_052520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8820453:8823573:-1 gene:Et_7A_052520 transcript:Et_7A_052520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAASPSLSSLASSSSAAAAAASAASSASPSGFHESRHLHFSLKPVSPLPKSHSLSCSAAHVPHGDDDYEEAEFGRLLGFDDVLRLAAARGVALPGDMMEAAKDAGIREVLLLRYFDLQAAPWPLGAMIRSFSMLRNRMLADPSFLFKVGTEIVIDSCCATFAEVQKRGKDFWAEFELYSADLLVGIAVDIALVGMLAPYVRFGKPSASTGLFGRFSRMAGSLPSSVFEAERPGCRFTVQQRIGTYFYKVVLYGTVGFVCGIIGQGIANMIMTAKRNVKKSDEDIPVPPLIKSAALWGVFLAVSSNTRYQIINGLERVVEASPVAKRAPPVAMAFTVGVRFANNIYGGMQFVDWARWSGVLLNTMRDVEQQANQLRCSED >Et_3B_028399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14734065:14740356:1 gene:Et_3B_028399 transcript:Et_3B_028399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDGLVVVSDPYLQRRFTQTDLRALQAQYAALRDASPTGRLRLRDLPAAISTLRTGAAKGVNGGDADEEKENSAPDPAPPPGPGLTDEEWASVLKAVAARADERPHQDASFELFLRVYAEMQLRLKAPGKKPGGGIARSSSSSAAAFLTAATTTLLHTISESEKASYVGHINAYLAEDPFLKDGLPIDPATDHLFHITKDGVLLCKLINLAVPGTIDERAINTKKVLNLWEKNENHTLCLNSAKAIGCTVVNIGTQDLAEGRPHLVLGLISQIIKIQLLADVNLKSTPQLVELVEDSKEMEELMSLSPEKILLRWMNFQLKKGGFQRTVTNFSSDIKDSEAYACLLNVLAPECSAKPSPMSVKDLLHRARLVLEHADRMGCKRYLTPKDIVDGLPNLNLAFVAHIFQKRNGLSKQMKQVSFVDGLSDDAQVSREERSFRLWINSLGISSYINNVFEDLRNGWVLLEVIDKIAPGSVNWKMANRPPIKLPFRKVENCNQVLKIGKELKFSLVNIAGNDIVQGNKKLILAFLWQLMRYNILQLLKNLRFHSNGKEITDNDILAWANKKVKDSGKHNSRMESFKDRNLSSGTFFLNLLSAVEPRVVNWSLVTKGEKDEEKQMNASYIISVARKLGCSIFLLPEDILEVNQKMMLTLTASIMYWYLKRPTAYSLDSENGSLCETSSTSTSDDSASESSIDENGAR >Et_2B_018895.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:14194525:14195412:-1 gene:Et_2B_018895 transcript:Et_2B_018895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTERDASGHELGWDAAVHCHRRGIWHLSHHWRHSTAGAGVVLSIVQRQPLVRGARERGRDHHDGPGRRERADDVAADDLPLPAGEVDGEAGGARRGGAREERAGEGQYLEAAAEGDDGCAARARLAQRHVGDGAGPAEHADAPLPAAREVGDGPGHVGAGGHLHHVAAQRVRAVARHEDGRLRLVLRPRGTPARAAVHGHRRRRRAAVRLLAVVVVLLATCCAAALALLRRHVLPARRVLVVNGAWGSGKRVLGRLRGRREAQPPFVPPPVRQLVLPFLACLLASSLWESLPPS >Et_1B_010070.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2250328:2250693:-1 gene:Et_1B_010070 transcript:Et_1B_010070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSLSKKASFCFASSIFLWSSASFCSFSISVVSRAFSLSWFAMVSLLLAGSCLLLMLSLLPPLGALLTLRGVGLLNDASSPASFSFWFISFVTSSDNAFNSTPAAEAKPFAYVLSSSSF >Et_8B_058629.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:11980441:11980929:-1 gene:Et_8B_058629 transcript:Et_8B_058629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIKLPRLRPDTWARDLLQDSVCSRQDRAVIICGMWSLWMLRNRRRHGESDIPVRQAVTWVQDTAHDLWQLLHPWKEKNAACYAKMEKDEGWMKCNTDGAFYADNSGATGMVLRNHHGVFLGGAARWYEHCQDALTMEALACRHGVVFVRCLIVILLLSNE >Et_6A_046296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12250287:12252830:-1 gene:Et_6A_046296 transcript:Et_6A_046296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMELADKAVGFLLTLTSLSIFTYYTFWVIILPFVDSDHFVHKYFLPQEYAILIPVFAGIVLLAFLSIFVGLVMLKSKRKKKTT >Et_3A_025222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2788617:2796730:-1 gene:Et_3A_025222 transcript:Et_3A_025222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLRPPPPPPHLRGLLRRLLSTAAAPSLMLPVRSPCRAAAASPRFLFGPRVVVGATPRPNVVPVRAFMATTAASEAMQGKRVDGEYTAANVQVLEALDGVRTRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGYATKINVVLHDDNSVSVTDNGRGIPTDIHPQTKKSCVETVLTLMHAGGKFGGSKSGYSVSGGLHGVGLSVVNALSEALEVTVWRDGKEHRQDYSRGKPMTSLSAVTLPGESSSRQGTRIRFWPDKDIFTTTITFDFNTISSRIRELAFLNPELTITLTKEEGNTEVQHNEYCYAGGLVEYVKWLNTDKKPLHDPIAFRKEIDGITVDVSLQWCSDSYSDTVLGYANSIRTIDGGTHIDGLKASLTRTINNLAKKSKTLKEKDITLSGEHVREGMTCVISVKVPDPEFEGQTKTRLGNPEVRRIVEQSVQENLTEYLELHPDVLDSILSKALNALKAALAAKRARELVRTKSVLKSSSLPGKLADCASTNPEESEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERRDEAALYKNEEIQNLILGLGLGVKGEDFKKEALRYHKIVILTDADVDGAHIRTLLLTFFFRYQRALFDEGCIYVGVPPLYKVERGKQAHYCYDDSDLKEVVNTFPPNASYHIQRFKGLGEMMPAQLWETTMDPERRLLKQLRVEDAAEANVVFSSLMGTRVDYRKELIQNASSMINIHQLDI >Et_2B_020392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19773698:19779364:1 gene:Et_2B_020392 transcript:Et_2B_020392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSWDALRKQARRLEAQLDDQMIAYRKLVSMKSDGSENDIESDIERSLKQLQQVNSQMQTWVSSGGSEVLSHTLTRHMEILQDLTQEFYRLRSSLRVKQQHASLLDLRDFDRAKFDVEEASDSADQALLREQAAISRSTGQMDNVISQAQATLGALMTQRSTFGGITTKISNVSSRLPSINHVLASIRRKKSMDAIILSLVASVCAFLILIYWLSK >Et_2B_022735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:424619:429609:-1 gene:Et_2B_022735 transcript:Et_2B_022735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCAVATASLPSPPATHVAADHHARLKAAASRSDLPGALAAFAAMSSSPSTAARPVLRTFTALLKLCAGRGDLVTGRAVHAQLAARGLASEPLVATALANMYAKCRRPEDARRVFDRMPARDRVAWNALVAGYARNGLAQAAIEMVLRMQEEDGERPDSVTLVSVLPACGAVRALHACREVHAFAVRAGFDELVNVSTAILDAYCKCGAIEAARAVFDSMPVRNSVSWNAMIDGYAQNGNATEALALFNTMIKEGVDATDVSVLAALQSCGDLGYLDEARHVHELLVGVGLKSNVSVQNALITTYSKCKRTDLAAQVFTELRNKTRISWNAMIHGFAQNGCSQDAVRLFSRMQLKNVKPDSFTLVSVIPAVADISDPLQARWVHGYSIRQHLDHDVYVLTALIDMYAKCGRVSIARSLFDSARERHVITWNTMIHGYGSHGFGKVAVELFEEMKSAGGLPNETTFLSVLSACSHAGLVHEGQKYFTSMKEDYGLQPGMEHYGTMVDLLGRAGKLDEAWSFIQSMPVEPGISVYGAMLGACKLHKNVELAEASAQKIFELGPEEGVYHVLLANIYANASMWKDVVRVRTAMEKKGLQKTPGWSIIQLKNEVHTFYSGSTNHRQAKEIYARLAKLIEEIKAVGYVPDTDSIHDVEDDVKSQLLNTHSERLAIAYGLIRTAPGTTIQIKKNLRVCNDCHNATKLISLVTGREIIMRDIQRFHHFKDEARFIKLVDDHVGSPPAKSDTPRNTITGSQKTSFIGLPTEYSSSFLYFRDPSSLPLVATKKPVKW >Et_4B_039933.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:6277770:6278447:-1 gene:Et_4B_039933 transcript:Et_4B_039933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPASRRRRLFPPRPRPLQPGRLLSAAAAACTLVFLVLVLLSTTPSSPHHAVTRSSSSSLPRPPHPCGAASIGELGDAMVSMLPQGLPFTVFVPSPDAFRRVLNLRPENASSARATEAGQTAGSDGGDANTYAVLSRVLGFSAVPRRLLAADVPPRGGARALESVSGLRIHVARDETRGAAALVANGVRSECVDVVRGETVVHVMAGVLMDAEFERSFSVGFYSR >Et_2B_021066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26186570:26187746:1 gene:Et_2B_021066 transcript:Et_2B_021066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWIGQPSLSLDLNVGLPTARPLPVKKVLVEENFLSVKKDRDQVEAMEAELRRVSEENKRLSEMLRAVVAKYTELQGHVNDMLAAGHSGAANRQSSTSEDGSAASPSRKRIRSDSLDTNQQHRKPSPPVATAASGFAVPDQMECTSAAAAAAFHEPGRRIREECKPKVSRRYVHADPSDLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPSCPVKKKVQRSAEDKTILVATYEGEHNHGQPPHHDGKTARPPPAAASNGARALSPPQKPVEAAAPAGPSSEVARKNLAEHMAVTLTRDPGFKAALVSALSGRILELSPARD >Et_3B_029549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25957491:25962185:-1 gene:Et_3B_029549 transcript:Et_3B_029549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGAQAPRGGGGFFSARSLSNYMRIVSSGASTAASTLRSASASLVNSIASHDDDGSRDQVQWAGFDKLECGGGMPRQVLLLAYKSGFQVWDVEHADDVRQLESRHDGPVSFIQLLKNPIATEKSEDRFADVRPLLAVACDGTYTGTGNGHDTNVPVFDGTNGSSYNVGTENLPTVIRFYSLRAHEYVHTLKLRSAVYSIRCSPRVVAVSQATQIHCFDAATLERDYTVLTSPTVAQISGYGPLGLGPRWIAYSGIPVPVPDTGRVSPQLLTLSPFVPPPGSNGSVVAYYAKESSKQLAAGIVTLGDVGYKKLSKYCSDFIPNGHASMKQRNYGYKVNGAMNGHRIDSEYAGMVIVRDIVSKLLIVQFRAHTSPISALCFDPSGTLLVTASVHGQNINVFRIVPPHGNASEAGQVGTSVHLYKLQRGITNAVIKDISFSDDSEWIMISSSRGTSHLFAISPYSGSTSFHYSDNNPAENNYVVDSSVKPTALWSQYSAASLSLNQKTLFISGPPVTLSVVSRIRNGSNLLKGAVNGAAAFATGVSSPISGAIASTFHNCKGADLSSDGRSQFMKYYLLVFSPSGSIIQYVLHRSAEQDSGFDFPSGPVSYGSERETDTKFVIEALQKWDVCHKRNRRDNAESFAYGDFENGENNKLFQKVMKKGTSIYPLDSAAVERLKLTTDENRNFYISESELQTNVVQIPLWSRSGIHFQVMEGGNFEADSSDTISGELEIEKILTHNIESRSKILIPVFDSLHTSIFQQTRLNIPENNRYGLLQRQKSGISEDGRLSHRSSCSSLDCMSEGPKSSDDGGFGKYVVDDSGSAVNKNPDVKFDAELVNNTESLKSEAQLGFVNSKEDGEDIEQLPDL >Et_7A_050964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1312469:1314131:1 gene:Et_7A_050964 transcript:Et_7A_050964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SKITSMDRTANVVLDIEGLPQPPDKCCSGSPKMTRALSRKGSNRLERRGGEEQEQEDLGKKLIIKVVPSQLEQCLVQNKTLAAHCAPSTPVFIDSGEGRNKRFNRLTSINPRRILLFFATLSSLGTTILIYFTLAINSKAEA >Et_7A_051502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19706048:19706808:1 gene:Et_7A_051502 transcript:Et_7A_051502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWINLIDHLTYKPRRWISQFATISEVALLILLSRRPRRPGRWQIWAFLIVCLVVATHQSLRLFNTKVYYSLTPNMLVYLLATLLGYITSVVAVLLVNFRPSRLSYLTSTPSGRRLVSFAKVVSALWLASGLVLDMLPSTVLKTAAAYAYYLFNTPFTIAVLSLGSIQKPADSPFGRWIDAFVHILFLWDLVFPFPAYFFYFLTSIPTSQNCPIIHPTVIVAPDPELADPGRSRASGALVLALPTAVRSP >Et_9A_061915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17800007:17802025:1 gene:Et_9A_061915 transcript:Et_9A_061915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEDMAVKEPLDLIRLSLDERIYVKLRSERELRGKLHAYDQHLNMILGDVEEVVTTVEIDDETYEEIVRTSKRNIPFLFVRGDGVILVSPPLRTA >Et_3A_024167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18033865:18036839:1 gene:Et_3A_024167 transcript:Et_3A_024167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLPQQIAHPSLPIYDPLAKIQQARDQAKPLAPSASLAAVHLMFHHQQQLLQEQQAAEAAADQDSGMSNLTSSASGPNNPPPPLPPAPAASAGNKRKRSLPGNPDPDAEVVALSPATLMATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRGTGKEAPRKKVYVCPEASCVHHDPARALGDLTGIKKHFFRKHGEKKWKCDKCSKKYAVHSDWKAHSKICGTREYKCDCGTIFSRRDSFITHRAFCDALTEESAKAIGLNAMAAPAHHHGLLFSPPPQQQMMQQQQQQHQDLAAFQQEVMQPQQHCGNYAMKTEMQAWPAMPYDHPLLQPLCNAAQSSATSAPPPQPLPAASSAHLSATALLQKAAQMGATISSVAGAGGGAHYTTQMAGPATSATPGSATFGLGLPGLNAQQQGGLARSASSHGRSGEDGGGGGGGDGMTRDFLGLRAFSHRDILNLAGFDSCIGNMQACYEPQQQHAPPQQQQQQQQSNEEPWHGMGSHS >Et_6A_047725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11722725:11729377:-1 gene:Et_6A_047725 transcript:Et_6A_047725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHLSVPNPSPGLGANKPHLQRGTAASTAAAVAAVAAPPSTFAPQTTRLSTPSPAIALNVRHAPPQPVVVAAPERMTKKDDETCLASMWREIQGADDWAGLVSPQQHLHPLLRAEIVRYGELVAATYKAFDLDASSKRYLNCKHGKARMLAAVGLAGAGYDVTRYVYAAPDIALPGGAGEPCPSRWIGYVAVSTDEAARRLGRRDVLVSFRGTVTGSEWVANMMSALEPARFDPADPRPDVKVESGFLSVYTSDDATCRFSSGSCRNQLLSEITRLVNKYKREDQISITLAGHSMGSSLAILLGYDLAELGLNRTRNNTTIPITVYSFAGPRVGNTGFKDRCDELGVKVLRVVNVNDPITKLPGIFLNENAARVLLLGGKMELPWWSRACYAHVGVEVALDFFKAGDPACVHDLEAYLGLLKCPKIAKVKRDGEDLLSTVKSFVLRQSFDTWRWQMAAIQVGGTEELVLVRGNKEWSYNKATYGTVPNSS >Et_2B_020895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24626267:24627342:-1 gene:Et_2B_020895 transcript:Et_2B_020895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKHNLSVAIIFLGWDICNANSSEVQVQATWNMLHLHTSGLSSGKWLELASVEVAEERAIITPNKTQHTRK >Et_2B_020214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1807792:1810181:-1 gene:Et_2B_020214 transcript:Et_2B_020214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLEDLAGLLSELAARLSQPPATGDRGAASTAASDTLSASISSLAGALNPSGGRAGAASSGTRVLDAALSLMCFDPLEARPSPTRVDCLVRTLVSALSASVSCRVVRPDGGSADGMLCVGSFISPEDCRELVRSCGALVEKLGNYGGGSHSHDLLYAVAKSAVLSPRYRCLFPLPYYREEEESAYDMGAISAELKQHPSYQVLPSDHSIPLRYNFLLRGELKNMLAISLHTLLITSSVWDRVLLWRLDPPFFKRDLSAMLQETIRRPLLCLRKELHCRMEWRIILICLVCSPSMFMEMRSLFHIWFLETGLGSVLELHSAMVSSVLDILLKPMSWGISIELGRTFPFSHAYFPSQQSDLLAILTGPLSCKGFMDLVRYIKDLVTLDKTRTSHSLQKNFQLQPSKGLVKYNSAWYVCFIIQQSYMFSILYSSS >Et_1B_011855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26568366:26575531:-1 gene:Et_1B_011855 transcript:Et_1B_011855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQSPPLKTSAVRRGDHSSCQELGRFISECYRSGDLSPEDALDLFDALLPLANHGSVIPLNQLLTTIARAPASSSSIRDGPAVAVSLFNRMARAGAKKVAPNKYTYDLIIRCCCQVGRLDLGFAAFGQILKTGWQVEAVTFNYLLRALCAVKRTSDAMDIVLRRMQELGCTPDVFSYNVLLKGLCDENESHEALEVLHMMAEDGGSCRPNVVSYNTVIDGFFKQGQVDKAYNIFHEMLDHGIFPNVVTCNSIIGGLCKARALEKAEGILHQMIDRGIIPDCTTYTSLIHGYFSLGRLEQATRMFEEMKRVGQRPDVVTYNMMMDHLCKNGRCAEARKIFDSMGQEGPKPNATAYKILLHGYAIKGDFVEMNHLIDLVAQNRIPHDHHVFNILINAYGKLGMVDEAMLVFNKIRQEGLMPDVVNYGAAIDGLCRAGRLDDAMFQFNQMIDEGQTPNIYIFTSLIRGFCTCGKWEQAEVLFYEMFDRGIHPDVVTFTAMMRTLCKEGRVMEAQNLFNLMTRTGVKPDVVLYNTLIHGNCLAGKMYEVVKLFDAMISIGLKPNDATFKIILNGMLSIGLNPDIVTSNTLIKSYCKDGKIEDPLSLFREVLAMAQEMEHTHLPIRGLNIHVVQVGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAIAPDCRGYGLSEQPPEHEEASWEDLVADVLGILDALSVPKAFLVGKDFGAMPAYDFALRHPDRTRGVVCLGVPFSPVPFSFDTMPEGFYVLRWREPGGRAEADFGRYDVRRVVRTIYLLFSGAEIPTANEGQEVMDLADLSTPLPEWFTEEDLDAYAALYEKSGFQYPLQMPYRAFHRRPNHMNAKFQVPLFMVMGEKDYAFKFPGLETALRSGAMEHFAPDLKVTYIPEGSHFVQEQLPEQVNELLLGFLKDHPVAAEVNELLLYNRWRLERFISECYRSGDLSPEDALDLFDELLPQANQGSVYALTQLLTTIARAPASSSIRDGPAVAVSLFNRMARVGAKKVAPDIYTYGIVVGCCCQPLGPWLRGLRQNPEDKIEVDTTTFTHLLKAFVRSRGQATPWT >Et_9A_061272.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:4421029:4421166:-1 gene:Et_9A_061272 transcript:Et_9A_061272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENWKVLCPAGKLEQLLQHVAGLKEMMVQPGRISRMGSPSQARG >Et_7B_053311.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:573725:574168:-1 gene:Et_7B_053311 transcript:Et_7B_053311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTTHLEREVSLRMQMILMGEFFERLEALGLFQWEAYKKNRDSINDTIRFLRQKLAMYKDRRLKFGLFYLAQHQTRLTIVVLSHLKHMPLKEALKRSQLDLEKRKCIVEKYNKSQEAHNVMSRSALSKLAIYTVLSVIVGCIIIFY >Et_3B_028220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1281957:1284795:1 gene:Et_3B_028220 transcript:Et_3B_028220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGDPLVVGRVIGDIVDPFVRRVPLRVVYASREISNGCELHSTAVAEQPRVEVGGPDMRTFYTLLMVDPDAPSPSDPSLREYLHWLVTDIPATTGASFGSEVVCYESPRPVLGIHRLVFLLYQQLGRETVYPPGWRQNFCTRDFAELYNLGPPVAAVYFNCQREAGTGGRRM >Et_4B_039989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7265129:7268182:1 gene:Et_4B_039989 transcript:Et_4B_039989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRRTGDKPVDDVKDAKGMVAASASAVTASAIANSYNDQIRPLLDAVDRLRHLKVTQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQDDPSAASPKLQLEYGNGRVVDTSEDTVADAINAATAEIAGSGKGISDAPITLVVQKRGVPDLTLVDLPGITRVPVQGQPEDIYDQIAKIIKDYIVPKESIILNVLSATVDFPTCESIRMSQQVDRTGERTLAVVTKADKSPEGLLEKVTMDDVRIGLGYVCVRNRVGEETYDMARVEEERLFKNHPLLSKIDKSMVGIPVLAQRLTKIQAAIIAKCLPDIVKQINDRLVRSSAELDQMPPDLNNVTDAVRLFFQIVKRVCTSLEKILVRGEFAEYPDDRFFHGTARVAEMLNRYAKKLPTQYPRRKDELFLMEEMQVLEETKGINLPNFLPRSAFHVMLKKKMATVDQVPQDLVKEVWEYVEDLVLKILLQHSENFPQVQPSCRRAIQSLMEKARARSAQHVKELIEMELVADYTANPDYMQTWAAIMGGQNNFMQAVRYRSMPAKITLEVIGSVDVSHLREHADCAEQAFDLMARLAAYWKSIVLRLVDGLALHILRGINQLVENDLEVELAEEILGNNMAGVERMLTPPPSTGTKRDRLKKSIGLLQQSKEVVANIMDRISAAVKPSENLAASRLILKTYLPSQQHALVFYHVANKQ >Et_1B_011742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25549068:25550874:-1 gene:Et_1B_011742 transcript:Et_1B_011742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAVAQQQQPTASERRRMYRDLALALRCGLRDAAAGFSFLRLRGLRALLRALRSAADADARLFRDAQLIRDLQACARRATARWSRWSRLRNPATDSEVVLALRVLEGCCLLCSDCAAAAHRYNAVKVILNILMTRGILEQRACLDTLLALLDFKELEGLNKIADIVKDTNRDDHVRQKCAEFLLLYSGSAIDGYSAASEFNMQEDLRQLFGERCSTFICSMNLFKPTLDQQVQQSELSFLVEHLLDCIKPY >Et_9A_062486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23413446:23414457:-1 gene:Et_9A_062486 transcript:Et_9A_062486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGARPVLYHHHHHHHPAPVAGDVDSMSSYFSHGGSSTSSSASSFSAALAHPPLGAADPAAAQFDISEYLFDDVATQGVFAAPPAAADAPPPHVVPAADSASHGGASAAARSAAEAMPERPRTERIAFRTRSEIEILDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCNVKKRVERDKDDPSYVVTTYEGTHNHVSPSTVYYASQDAASGRFFVAGTQPPGSLN >Et_8A_058195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23633615:23634807:1 gene:Et_8A_058195 transcript:Et_8A_058195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHHKVGPQAPQRKRKKNSAEEGNRQDRHYAAACAKRQKREDIHGTEDNSSMPGEVMSSRAQARLNKKESIARVCAELPGPTPWKVSENSIMLQVTERIKALEVENNDVADELEGLELQSINGSISEACNNRLSIQHHIAPPAQQATDGLAALRSVLPLPSNAKGPTLWNLVHEYVKDLKQEIYQNKAAIKRKRDGAPKG >Et_6B_049606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7145670:7146583:1 gene:Et_6B_049606 transcript:Et_6B_049606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSDSDREDAVFSTTTTAASPSSAFDAPPTPPTHPRRRRHRARRRAQRRAKNVEEEEEAAEAEDVWRGLQQRAGAAPWPRRASRPVVVAGEDGPGPLSRAGSAESGGMGRARSLTDDDLEELKGCVDLGFGFSYHEIPELCGTLPALELCYSMSQRFLDEHQQLGKAEEAAAAPATAPASPAQPVATNWKISSPGNKRQLFFIQLLVVGCSRLVMMWPRKFILDRDSPDEVKARLKYWAQAVACTVKLCS >Et_5B_043107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3970912:3971963:1 gene:Et_5B_043107 transcript:Et_5B_043107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HARAKRERRGREESVDGSRRPRPPSGARRRWCSSSCRLGGGGAAGLRRGLLRAGGDGAGGGCGGGGGGVRVLRLHGGVHGAVHRRGARAVRRAVDLRPLRGRRRRGARPRVAAHLPRRGARPPRRRLPPRVRAAVTRRERRRRPHRGPAPAPAPQARLAAAAAAEEGSLHAEQPEARRRRLRRGRGRRRRQLARADGELLRRARGVKKNRKNSEESKW >Et_1A_009266.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:36055215:36055634:1 gene:Et_1A_009266 transcript:Et_1A_009266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAAARQCASSGRMGLSRHLSKRSLATNTYGLAALSHRLTEQLSAAKDKHGSDGAGNLVFSPLSIHSALSMLALGAQGRTSSELLDALGETTREGLAENARGMVESAFPENPQPGGPRVAYASALWHDANATVHAL >Et_5A_040150.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:15831243:15831976:1 gene:Et_5A_040150 transcript:Et_5A_040150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TASPLPASALSSAAPTPSPSLAAVDVAFKDGAHSPFRSSSSAAALGTMKHEIEFDNQKFETTVTKSLEAVDRFIKDVVGEHHEHLVVGLDTEWRKIWWMSTGRRNKTAIIQLCVDRRCLHFQVYHAGDVVPVRLKNFLECPHCKFIRADVQEDVLRLANDYGIFMKNSVDLQKIAEKKMGFKKKTSLKDLTRALLGPNIDKSKKYLHEPGIGKS >Et_1B_012466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32258463:32259877:1 gene:Et_1B_012466 transcript:Et_1B_012466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNGAPLVVFDFDKTIVDCDSDNWVVDALGATERFDELLRQLPWNYAIDAMMGELHSQGKTIEDVRATLRTAPLSPHVVAAIKSAYALGCELRVLSDANAFFIGTILEHHGLAGYFSGTDTNPARVDAAGRLAIRPYHEFHAAAPGHGCDLPTCPPNMCKVIHRSFVECVFPVHQLLLQFVTDRPGQLQGKVMERILQEEEEAAASAGKKRRRAVVYLGDGRGDYCPSLKLREGDYVMPRTGYPVHDLLLEASPPGADVRAWDGFEDLAPVLLGIVHAEITRAVAEADVAAADKDTGGVVVTAPVAECRGAAMPMHQDALLGRPNAVRVPN >Et_1A_007318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33216204:33220242:-1 gene:Et_1A_007318 transcript:Et_1A_007318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNCCFTIGWPRFSTVGSADACGGFGGGSALSWAVEQSGDGASRRRVTYHIKLPYIAKMRNRKRQRATKMSTASRRLVVQKVMKGPKANGHQRKAEDELLTQMVNKHGLSNWQTVARAIPNRNALQCRMRWKNNLDPAINKGAWTEQEELRLICAHQLYGNKWLKMVKHFPGRTNYNLKEYWRGPMKRKLDSYLASGLLEQVDLHDDISAPNSSQSDIPKDSASLSDRKLESPAFPTSPKSKEVCTEQGSDSSEGECSDFTNTKGLSAHSAKASERIMAKSNELATARKKLDFLSTPVELKVCTAAVSYQRPIPKMEQMNPSAKNISLSDVCHDGPPNVPSGCADMALSEVASDYSNIVPSSETPQQCSLDINDDFASDLLDMSYCDDLMMDFPSFSHIDSFI >Et_4B_039293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9690918:9691222:1 gene:Et_4B_039293 transcript:Et_4B_039293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTLAADRPRLTLEDYVLFFTTRTGQGLTLDQINQIIYMHAFIKLHRTTKVLPVHSDPPSPASSLSGCVSDR >Et_5A_040836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13161086:13166894:-1 gene:Et_5A_040836 transcript:Et_5A_040836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVASVLMHADATDVVLMVLGLLGAMGDGLSTPVMLLITSRVFNDVGGGPYQSGSRTNENARDLLYLAAANLVMGFLEGYCWARTAERQASRLRSRYLRAVLRQDVEYFDLLAAGSTSDVVTSVSNDSLVVQDVLSEKVPNFVANCAMFLGSYAVGFALLWRLTLVALLPSALLLVVPGFLCGRALIGLARRIREQYARPGAVAEQAVSSARTVQAFAAERSVMARFSAALEESVRLGIKQGLAKGIAIGSSGMSFAIHSLNIWYGGRLVMDHGYRGGTVFAVSTCIIIGGLSLGSAMTNAKSFSEAIAAAERVQQVIQRVPKIDSESHAGEELASVAGEVEFRNVKFCYPSRPEIPIFVSFNLRVPAGHTVALVGSSGSGKSTVIALLERFYDPSEGEVTLDGVDIRRLRLKWLRAQMGLVSQEPALFATSIRENILFGKEDATEEEVIAAAKAANAHSFISQLPHGYDTQVGERGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDSESERVVQKAIDLASVGQTTIVVAHRLSTIRNADIIVVLQSGEVKELGSHDELIDNVNGLYSSLVRLQQTKDSGEADEVNGTRSIFGMGHSSSHSMRRRFSIASRSSSARSMDDAGDNENNEKTKLLVPSFRRLLMLNAPEWKHALIGSFSAIVVGGIQPAYGYAMGSIISVYFLTDDNEIKDRTRTYALIFVALAVLSFLINIGQHYNFGVMGEYLTKRVREQMLAKILTFEIGWFDRDENSSGAICSQLAKDANVVRSLVGDRMALVIQTISAVIIACIMGLVIAWRLGLVMIAVQPLTIVCFYARRILLKSMSKKSTQSQSESSKLAAEAVTNLRTITAFSSQDRILSLFHQAQDRPHKESIRQSWFAGLGLATSMSLMRCTWALELWYGGRLMAEQHITSKALFQTFLILVNTGRVIADAGSMTTDLAKGADAVSSVFAVLDRESEIDPDNPQGYKPEKLKGEVDIKGIDFVYPSRPDVIIFIGFSLNIQRNKSTALVGQSGSGKSTIVGLIERFYDPVRGVVKIDGRDIKTYNLRALRRHIGLVSQEPILFAGTIRENIIYGTETASEAEIEDAVRSANAHDFIRNLEDGYDTWCGERGVQLSGGQKQRIAIARAILKNPSILLLDEATSALDNHSEKLVQEALDRVMLGRTSVVVAHRLSTIQNCDMIVVLDNGIIVEKGTHASLMAKGPSGTYFGLVCLQQGDNQH >Et_4A_031818.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:18074361:18074624:-1 gene:Et_4A_031818 transcript:Et_4A_031818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHEARGDDLEKKADKKFSGWGLFGNKYSDAANLLDKAGNFFKLAKNCKLCHFPIASSCNLFLREHPLVISPSCASFASPMCGRSR >Et_1A_005814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14221040:14223643:-1 gene:Et_1A_005814 transcript:Et_1A_005814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLEYTPFDSINLFLEQLNLGDCTIRGNLEAFSCKHTGTDRRLSISLEHEILDYLGKSSDSDPSSPVEHLSSRSSRKTLIYLVLTLGHMYPDYDFSAVRAHLFFREEEWESFKLMIDTYLSDASRQWATTNDGTSLMDSMTKAIDEVIKIRECDIYSYNPDSDGDPVLEKGAIWSFNYFFYNRKLKRVVSFRCYCTSKLSGDDFLIGAPSDGEEEDALIDMDI >Et_5B_043716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14586931:14603836:1 gene:Et_5B_043716 transcript:Et_5B_043716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVTTAAKKRKLDRIDGQDPPGNHDGDEILDLISRLPDEVLCTIISFLHTKDGARTKAVARRWRPLWRSAPLNLQVDDRLSGQDHKRFRIVSKILADHPGPARRLSLQGIRLRNPYAKIDGWLHSPTLNGLQELEFTCGMEEPGLRPYLLPPSVFRFAPTLCVVKICSCQFPNEMAASLNFPYLQQLTLSGVTLSEDALHSLLSACPVLLSLLLNNNIGIGRLHIISKTLRSISVGGPWNRQTAVGFEELVIQDVPRLERLLHRNPDYGPSKIRIVRAPKLEILGLIPEGISKVEPLTRVFQVTAAFLHLVFCISVLRLLITMLTFGFFIQETIALSLTTSMQTVKVLALVSMGPNLDSVIDFLKCFPCLEQLYISSDLKNFMRNKRAYNALDPIECLERHLRKVVMKKYHGMRPDVDFAKFFVLNAKVLKKMEFDVCVNCNAKWMANQHRRLQLDNRASKGAEFNFETGDARYYPSSMYTHSLLKVNPFNLWKTRSNEANIAKKPRVDDGAAGSQNPPVTGNGYPDLISNLPDAILTTIISLIPTDDGARTQSLSKRWRHLWRSGPLNLCDDDLYDDSLCDRHAESRDLPGLVSRILSAHRGPVRRLSLGWRCWRTSYPDLEKWLQFPSLDNLQELDLLSAGGRFNSGGQIVNFPAEDVERFHFPHLKHLTIQCIDIPEAALFILLSKCSVLESLVLSQNEGSRCVRINSATLVNFGVSVDREEPQAGTRLEQVIIEDAPLIERLLIRHPDDGLSVKVSGAPKLEFFGSLTYCITELELGTTIFKEMVAVNLTTVVRTIFRYEKSKRIQLHDPREYNECLDAHLEKLVLINYRGTKRDVDFAMFFLSNARVLKVMEFASRRQKCDAEWMTKQRTKLQLQNRASQDVQLLFSCDSYWSDFIHIPHIHNLNITDPFDQSFCNCKTFTHMVAHPKT >Et_2A_014799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15917715:15919061:1 gene:Et_2A_014799 transcript:Et_2A_014799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAALFDPLYCPEEHLDLYQEELSGEEYWPPEQLLPPAAAAVLDDELPALFEALRAKEAPETEEDGYGGAAGREAAVGWACRAAARLGFSALTAALAAAYMDRCFLLGGGALRLVGEPWMARLAAVACVALAAKVEETRVPVLLDLQLCAAGAGDACVFEAKTVRRMELLVLSALGWRMHPVTPFSYLEPLLAGAAARRQHCEGVLLAVIADWRWPRHRPSVWAAAALLATAGSGDDDSELLALINAPEDEVAECAKIISELTGMCFLAGDVTGVVGSNNKRKHAAARVYSPPLSPSGVIGALSGFSCDSSSSSVDSRAPVAPASAPVSVSSSPEPPAKRAAVAAATLLPPDEESRDAWPSTCAA >Et_6A_046599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1878977:1889285:1 gene:Et_6A_046599 transcript:Et_6A_046599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSGAASGEVGWYVLGPNQETVGPYALAELREHVANGYLNEGTMLWAEGRSDWMPLSSIPELHSVLSAKGQPAQAAPVLEDDFAKFQQEVTEAEAELDERPATPPDGEEEFTDDDGTIYKWDRTLRAWNDVQGKNEGYALEEMTFALEEEVFQAPEIPGPSALQEINSPAENKNKESDKADKRGEKKRKSSEKPDEKKEANKPPDSWFALKVNTHVYVTGLPDDVTLEEIVEVFSKCGIIKEDPETKKPRVKIYTDKETGRKKGDALVTYLKEPSVALAVQLLDGTSFRPSPAKFEQKGSNFVMLFKPNMFKQLQKFLAMLHVKFSFQRKPISKRKERQRRLKTKCLDGADEELLPELEADVSEECIKFGPVDNVKICENHPQGVILVKFKDRKDAAKCIEKMNGRWFGGRQVHASEDDGSINHTLIRDYDAEVSRLDRFGEELEST >Et_1A_009257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35486528:35495534:1 gene:Et_1A_009257 transcript:Et_1A_009257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQASSGRRGHRVRHIRLGSLLRLRVRLFSVAGLLARCLEELNCCPRRWSPTRAHKVLGAGRCQRPATADRESSFHAQAIADCLGTKRAPVCLIQFNDALQGSQEKTQPVVLPDLNLDSKPTNIEHNSWKILIADNALFFNKDKQRYCLMAPRMVRHCRQPSSAIQVTTSYPAATSDPQGYLALRTSLRVCTGLEHLAEALPPDGNGWTPRRGDEDTEGSELHCVVRESAARKDGGEKPGGGGGRVVAGAAEVAEEKTVVEEFGDAVQRGVGDLRGGGLESEEQRVERRVGSGRRQAEQRRGVRRGGVRRRVGR >Et_3B_030710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6641895:6644692:-1 gene:Et_3B_030710 transcript:Et_3B_030710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRNGGNTRLYVGRLSSRTRTRDLEDLFGRYGRVRNVDMKHEFAFVEFSDPRDADDARYNLDGREFDGSRMIVEFAKGVPRGSGGSRDREYMGRGPPPGSGRCFNCGVDGHWARDCKAGDWKNRDSRDERRSMSPRDSRSPRRSPRDSRSPMRSPRDSRSPRRSPSPSKGGNRSPTPNVSRSPAPRERNDSGSMSPKRADSRSPADQERRDFSPAANGRSPSPGDYKANGNHGDSPRGSASP >Et_4B_036171.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:493250:493591:1 gene:Et_4B_036171 transcript:Et_4B_036171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAEKKELTLGAKGDKKRTRKCKVCGLKMGHNSASCPLLEKNQKRLQELVNRKRGRPPGSKNRKTIEALAQAKADEGEKSRPTTRSIRRKLYNVEVNDMEAESEDDEEFEGL >Et_8B_059628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2028345:2032590:-1 gene:Et_8B_059628 transcript:Et_8B_059628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEDPVIRRMLIQEGILRRKKGIMMAVPQVNKRMLDELEVMGFPTVRSIRALHFSGNSDLESAVNWLLEHENDPDIDQLPLVPREISIECGDTSNEVTYDFPGMRTLVHERKPEERTENGSQKEKPRLEGEMNEDEHREEDRKRILAFYKEKRDEEDRVRGRVRNQIQEDRRERIRGAKDLMEAKRTLEENQRKRMMESRIADQEEEKRARERIRQRIANDKAERRRRLGLPQENPGVAVPIISPRKVKPVEHVVTSEQLRDCLRNLKKNHKDDSARVTRAFHILLKIIGNIVKNPEEEKFRRIRLSNPVFKDRVGNLQGGVEFLELCGFQRLRASGYLVMPREKVDLALLNAAGVEIASAMENPYFGLLSK >Et_6B_049748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:48164:49200:1 gene:Et_6B_049748 transcript:Et_6B_049748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLSSSSSQATTTSRWRRYEGTSTLATSLATSTTFLSRRSLCLGNMELYSSEVPQDMLYAFRPFSLSQSRSSLSVMLPVMVQRSQTVQDMSWCLMVLGGTGSAIEKRGIASFAISSSMTAASSTEADDRLVAFSSVSILTPCFGWKSGTMTLSTASASFFWSFTQLGIGIPNVSALNIEPASKKLR >Et_1B_013016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4842425:4845153:1 gene:Et_1B_013016 transcript:Et_1B_013016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEDKTDGWDEVLEEADDLPCVQRNPLGAPFLSPGANRRSKSEKKPTFSLRGYSFAPLDMKTENLRTGEQEGTSGLPTTKASETMEPERLENIEEETEHLPPEIAFPAHKANPSVSELLEDLQGRCGSSVRTPASLHPHALGTAIREQEVSSGIPPTKASQLLMAELLRNTKEDTEYLPHESTLSTKKANISVAELLEDLQGRSGSSVRTPALLHQHAPDTAIKEQEQQVSSAMPPTKASQPVMAELLGNTEEVIEDLPSEFARPTKKASVSVAELLEDLQGRRGSSVGTSSSLHWHVRAKDWKPKPPASGKKTLAILGERSLGSEDPLEHVIDGTSSEEEEVIQNYLTPVNKDVKRQTMTDLFQEIFDPTNMESTMLPVRSTGAGYCERMQKIMQMEKDRRAEFSRQYNREQDDPKGITVQIMSRSLEGKLAVCRCLFQETINLTLTSNASTDQTMDENRTKRTIIFSPKICDSVDLLVGNKIRIFPPWYASSDSLFFRCFYFVSSCFCITEALCCSKAAVIDCTLRRLTFFFTTINIMNQISSDGKC >Et_5A_041129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18615880:18620564:1 gene:Et_5A_041129 transcript:Et_5A_041129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLNRLREEMCRGHHTLDTYRCRALEDQDRDHHQAVSSSFKPSIFNPAKRFRFRSGSSSSFREQEWINEALGRLKISIRDATELVVFLRGCPRLYRQPYDINFLLQEEAPAPPLLNTLVSCQSSAPEKLGRLQSSSTLAMMTESGAISLKSQDSINDEKRIATLGDCDVIKHHNRAVQEERILVVIELTGEIDESIWKELYSDCKHQLGNGSKIILSSRSDMIVKFGTTQALRVQFFVQEVYWYFFRVLTFGSTNMEDHPKLVSIAMEMARELNGCFFGAHVFSWLFKSDFSAHFWSMALSYVKEYKRMNPFVNVDPWQVDEPVYIRRVNKFSSEYVVALGDYQTCSAQSEGPALSVRGYIFGRIRARGKFKVLLWRSHVPPHYSYMLTCELRRPQQGMVSRKKRVHEIAS >Et_3B_027871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23949441:23950082:-1 gene:Et_3B_027871 transcript:Et_3B_027871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSDAAGLPVHHEDEDEELFETSSSISGDSEDEDQFSDGEGDEALENKFMQQAAFTTQQPVRRLNSDSLYDLSSMMAQLPVKKGLSKYYDGKSQSFACMSEVRCLEDLRKKEIPYKKIKPSKSYVALDGVAKTPSGNSCANLMARNNSKNMLYRPPPISVNKSGYHQ >Et_10A_000109.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:438084:438878:-1 gene:Et_10A_000109 transcript:Et_10A_000109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKSAVKRGPWSAEEDARLRSYMERHGGGGGSWLALPRKAGLRRCGKSCRLRWLNYLRPGLRRGGFTPEEDTVICALYAAVGSRWSFIAAHLPGRTDNGVKNYWNTRLKKKLFAGGGRRRGYRHDEATNAGNVDDAAPLLPLPPPPCWSSQPYAYGAMGCAGDVGDHMHVGAGGVFTGMIASTNEAAAVHQSASTCYSSEPAATELDEIFRSIGPSGGEHSDLSRSSNKDMEALNWNHHIQQGESVLQNHLGVPYTYW >Et_4A_035635.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31867304:31869358:1 gene:Et_4A_035635 transcript:Et_4A_035635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHAKHPPTPPHLPAPPSSHSTLAVGKSRAEPADIVLDCKRLDKLMKSGRVGDALDLFDRMPRKNVVAWTSAMSGCTRNGRPESAMAMFVDMLESGVAPNDFACNAALVACADAGALSLGEQVHSLAVRAGFDGDAWIGSCLIELYARCGYLSAAKDVFDRMESPDVVGYTSLISALCRNGEFAQAVETLCQMMRQGLQPNEHTMTSILAVCPRGLGEQIHGYMLKTIGLQSVYASSALIDFYSRNGHIDLAKAVFENLDCKNIVSWCSMMQLYIRDGRLEDALRVFCSLISEGVEPNEFAFSIALGACGSTVLGRQLHCSAIKHNLLTDIRVSNALLSMYGRNGLVEELEAVLKKIENPDLVSWTAAISANFQNGFSEKAVELLSEMHSEGFTPNDYAFSSGLSSCADLALLDQGRQFHCLALKLGCDFKICTGNALINMYSKCGKIGSARLAFDIMHLHDVTSWNSLIHGFAQHGDANLALEAFNEMCSSGCKPDDSTFLGVLVGCNHAGLVKEGEIFFRLMVDEYGITPTPSHYACMIDMLGRNGRFDEALCMIDKMPFKPDVLVWKTLLASCKLHRNLDMGKLAADKLMELSESDSASYVLMSSIYAMHGEWQDAGRVRQRMDAVGLKKDAGCSWIEVKNEVHAFIARDMSHPDSESIYQMLAELVDVMQDTDSHFQI >Et_6A_045938.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:11445041:11445208:-1 gene:Et_6A_045938 transcript:Et_6A_045938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPTRHPAGAGAGARIHPRVRVRVTYFTRDVLLPGWVFAPSDRTRPVAIPNCKAKR >Et_2B_022394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20027116:20028328:-1 gene:Et_2B_022394 transcript:Et_2B_022394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQNDRNPSAVLNGLYGVQLGRPSPQLAQSDDESLRTSAIESPTCEAKKGASRTQQRLLIRKNLNTAIYANSLVGVGIASSLYHSSRGEIRKFLRWADYTMIATTTLVSFARRASIEPELRMAHNLHKMSSLLGGALFIADDCFPETPYIHAAWHLAAAIGTATCNKLLE >Et_4A_032617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11958776:11966534:-1 gene:Et_4A_032617 transcript:Et_4A_032617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQDSSIHPVFEITSHTLSKLLTALNECTEWGQVFILDSLSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKIILLQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLDRAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLETFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQAVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDANQLDSSLLDELLANISTLSSVYHKPPETFVSRVKAAPRVDDEEFAETGYSESPSQGVDAASPSSSAGTSSHVPAKQPAAASPAAPAPMPDLLGDLMGMDNAIVLADEPAAPTGPPLPVLLPSANGQGLQISAQLARRDGQIFYDISFENGTQGVLDGFMIQFNKNTFGLAAGGPLQVPPLQPGASARTLLPMVMFQNLSPGAPNSVLQVAVKNNQQPVWYFNDKFSLHVLFGEDGKMERTSFLETWKSLPDDNEFTKEYPSSVISSIDATIERLAAANVFFIAKRKNANMDVLYMSAKMPRGIPFLIEVTAAVGVPGVKCAVKTPNKEMVPLFFEAMEALINIETASFVVPKFSPRNTGNGDLCQGDSLHGRVAVERPLVRLVFLHGPALVEEAHKAADVARRQGVVVLELRVVQAQLEVVPHQQRRRARLAGLLPLPRLVVGRRELAAGGRRLVQDDGVVVLAHFRPVLAVDSAALPERVVALQVAADLILLFLFLLQLGHGVVQDHEEAEFEVGRLALFLLLVIILHLFILGLLLRLRAPHPDIRGGFPGRLGHLAAVQRRVVDPGHGKLQRAFDRSLSSAADDLADGEVDRVGRLQCLGDAAAGRRLHDDEPQRGHRNGLP >Et_9B_064673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17529554:17533113:-1 gene:Et_9B_064673 transcript:Et_9B_064673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDEQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMANMAEKLTQDQVNECKEMFDLFDADEDGMSPPAKLSLTNFLIATDELVTALRSLGLNVDEAEAQRLLSDVAAGAGPIDFAAFQAVAARKMAAGGRQSEARLAECFDVFDDGRSGSIPAEQLRQVMTSHGDRLTEEEADAMVREADPRGEGRVEYREYVKVLLRNK >Et_9B_064355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14317964:14320211:1 gene:Et_9B_064355 transcript:Et_9B_064355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLLFSVHPTPPLLPAPHLRKLLRLRASSPSSYASASTSSPPRSGRRPPRPPPSRRSSLYARPSLLDMERERAARRAEVDAFLSSLGIDPGELAGLELPATVDVMRERAEFLGSLGLTHEDLAAYPLALGCSVRKNMVPVLDYLGKLGVRRDALPDLLRRYPQVLHASVVVDLAPVVKYLQGMDVRPADVPRVLERYPELLGFKLEGTMSTSIAYLVGIGVARRQIGSVITRFPEVLGMRVGKIIKPFVEHLEGIGLQRIAVARIIEKKPYVLGFGLEEKVKPNIEALMEFGVRKEALSSIVMQYPDVLGIELRDKLVVQQSLFESSILVSRDDFGRVIERMPQAISLGRQAVQKHVNFLTACGFMLSQVSKMVVACPQLLALNMDIMRMNFEYFKNEMERDLEELVDFPAFFTYGLESTVRPRHEMVVRKGFTCSLAWLLNCSDAKFDERMKYDTIGVEEMEVDDSSEMNTFVVDVDSEEDEYSDYEDSDDEFSGDPRFVPEFLRGYDSVHKQFERDLDVSSDANRVLIRFFSKVHNNMEETRKKI >Et_1A_009036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26432943:26436537:-1 gene:Et_1A_009036 transcript:Et_1A_009036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGEPPATRSYSGLLGALHHCVSGGDASAAVSLLPTLARAGLRAPFPLLSSLAYLLLQRPAAPSFPSLAGRLLLYVRLAGLKRLVPCSTQLADRLLSLHFLLGRPRDARRLFAKMPRPSIHSYNAMLAGYARLALAAPAAEVFAGMPHRDLLSYNATMLALARGGEMAGAVATYSELRNRSPSLGYSYHTFLALLVACAELMDGDLAKQLHAHLAALGFLSDVNIASSLLDVYRKCGCVDDAERLFDEMPVKGLQTWTAIVCAYAEDGQLSAAHELFDQMPERNIVSWNALIEGYAAHGKPLEALTNFQLLIMEDLGPDQFTFASCLSACSATCSLKLGQQIHGMLLRMITKLYCNKEFMAPETNSQIIQPYRFGRYSKRRHDASCKNIGCKGPNWQPSERSLFANTLAIEPCQVPA >Et_3A_025639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31412982:31421358:-1 gene:Et_3A_025639 transcript:Et_3A_025639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELPPPVVLGHRRSLAFVMVVAPLLVLLAAAAFPCSPVRSTELVELTLLTGAREKGAVCLDGSPPGYHLQRGFGHGAHNWLVYLQGGGWCNTTESCSERKMTALGSSKFMEAVNFTGILSNQHHENPDFYNWNIVVLRYCDGASFAGDAEGRDQDGTKLFFRGLRIWEAVIDELMGKGLATAKQALLTGCSAGGLSALMHCDKFRARFSQEVSVKCLSDAGFFVDEKDLSGERSMRSLCSGVVHLQNVREALPKDCLAKKDPTDCFFPAELTKSISTPTFLLNPDYDSWQIRNVLAPNGSYPGQSWSSCKEDIRNCSSNQIDVLHGFRKKIISKLKVAEEKKDWGLFIDSCFTHCQTPFRISWNSRISPRLDNKAMAPLLMLLAITAFALSPVAVATASPESVELTLLHSALEKGAACLDGSPPAYHLQRGFGSGSHSWLVYLAGGAWCNSTETCSERKLAALGSSHFMEAFPFEGILSNKHPINPDFYDWNKVLIRYCDGASFAGDAEGEDKDGSKLFFRGLRIWEVVIDELMRIGLADAKQALLLGCSSGGLATLLHCDNFRARFPQEVAVKCLSDAGFFLDIKDLSGERSMRSLCEGVVQLQCFFPAELIKSISTPTFILNSAYDSWQIRNVLAPNGSYPGDSWSSCKYDIRNCSSSQIDVLHGLRRKLVSDLRAAEDKRDWKMFVDSCFTHCQTHVNISWNSPISPILGGKTIAEAVGDWYFGRCRIPNLIDCKYPCNPTCSSQLLT >Et_1A_008177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:559920:562487:1 gene:Et_1A_008177 transcript:Et_1A_008177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNDLFEGLPPPAAPTAAPAAGGDRAASPTPPPPAAPESRPALKSSLKRTKPSSAAKRLRFRTTVDASEKQIIEAMQKITSHIGNRSKFSKASKLALQLIEAGSVKPGTISHFFAILEASMSSPGACNEPSVRADYHTLFDAAQGVMELFNQQQKNQFDIWVLHTVVANDLFTDDSFVFSKAVGKIKDAISALPMATVDDDNDEAAALAVASKTGATENKADDSVPAAASNSLPDARTHAAASDSREESSDPFGLDDLLEHKSKKSEKTREKTVAALNRKADEEESKRYLKSRREALLKCLEIAARRYRIPWTQTAIDIFAKHAYDNVKRFTQQQRDAIEKLWNSIKEQQIRRKQGKSVNGKLDVNAFERLQEKYSREKISIRRAVGGGGERRAAQWLG >Et_3B_030544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4803498:4803960:-1 gene:Et_3B_030544 transcript:Et_3B_030544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYVEKKDHVASCANAPCHCPIVGCADGYDGPSLCGLKFLGTNVGASYVNTATFWLSSKKHSALNTISLLFYGIFGNSGTLRVFVTHIGHVSIRFETLHWQCCRTRRYCTQPQARQKWVFSSVP >Et_3B_028068.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:9100275:9100424:-1 gene:Et_3B_028068 transcript:Et_3B_028068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRAISPPRHNNLSFFITRWPAMRAHPAWQRPVSHLFFFPFFSISTHA >Et_1A_004695.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31563922:31566817:-1 gene:Et_1A_004695 transcript:Et_1A_004695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SGDLASLSPLEAQPPWNPAPPLQRGTACCGSERMAARRLLLHSRRYTTSSAAEDMVVTSLRLLSSTPPSIPLPPPLDLNSINPIPDPTTSPPPLPRRPPPPLAAHLLSVADRLLGVFLRKPPCRAALHRALSSTGLNPSAALAPEVLSDVVNAGELGGAATVAFFDWAVSNSEPPPSVHTCNIVIRALGRKKFFDILHDALKIMRESSIFPDLTTLEIIIDSLVAARHVSRAVEVLSTDQFGFEIGKACHKKDALTILIRCLSRRSHVGLASSLLLAGREELLGLDNNAYNEVMGGWARSGRVDKVEDFWAKMQEDRLVPDEVSHCHLIEALGRAGKAEEALKVFENMVQEGFGPSTMAYNAVIFNFASIGDLDRCMKYYKDMVDKNCPPNIDTYFKLIRAFLRERRVADALQLFDDMLARGNLPNTGMITSFIGPLCTFGPPHAALIIYKKSRKAGCIISLKAYKFLLERLAKFGKSGTVLKLWEEMQECGYPSDKEVYEFIVNGLSNVGKVDAAVSVVEESLRNGFCLGRVVYSKLNSKLLEMDKVETAYNLFKKVKEARAHSNSRNYCRSNGWHC >Et_1B_010109.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:24360036:24360644:-1 gene:Et_1B_010109 transcript:Et_1B_010109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATFLSSDSACDSAKELGGTGTNPADANTVRHGSGLSTPARWRPTTRCSTPGWTRKRSILAAVSASVPTASTDSRRETQDWNAWKRCAAKGSSVRSHPWSTETTTLAPSRLTRRRTAGIAATCAEPTGRWTAMASPLAMATRSAGSRVSMLKTANWKRTPSSAAKPERLSWMSCSSSGWCDAGDTNAVTLSAVAGRRCASS >Et_5A_041664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25626809:25637510:1 gene:Et_5A_041664 transcript:Et_5A_041664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRFVNLIASPQYRSTGVYYSLHRIDVANHLFYPSTADAEAANAAKEEDSNGGGDSKAAKPTRMGKLRQLPAPIMRFEPFAIDRDDRGLSMDAVPVFALLNPRSSEAKILSISASDGDAVVYDVDSNSISTMPSLGDQRPFRKPTIVAVDGGAGDEKKNRLYMLSSHAYTCNFHVLDFNQHPRKWKALPLPPFVADEKKLTCADVNSFAVADGGRSIIMSFGGKGTYSFNTSRAGDWELPFTGRAEYVPELGAWLGLSSCRPNHHLCACADLCAAMNAHQAQTLGHVWEDFHLPPDEEESIVLNGRYPFIVLEKRKRWCALQQDLVNLGAGRFCVARILMVSRTGTVGWDEFMSSHKKFIVLTGVKVIRGDNGEDGLRMVKHKSRRYKFTNDRVEWQLLQQLFLLGASLILFASSSTLAAHSGGLHPVVLLPGYTCSQLEARLTDEYQPPSALPGCGARKGKGWFRLWQEDDPTTDPCSADQLRLVYDPAAGDYRNVAGVETRVVGFGTTRLVAALEGAGYTEGENLFGAPYDFRYAPAAPGKASAGFSNITSSLTRLVERASKMNGDKPVILVGHSMGSFTSHVFLDRAPRSWRHRYIKHFVMLGVGVGGDPVVMQLLNPDPSVPPANALAFVNTTRSFVFGDMPLVITPGKNYSAHDIAELLAAVGFSDGEAARYRTRALPVILGLRAPLVPMTCVNGVGLPTTDRLVYMDDAGGLMGGKPRVVYGDGDGVINLRTVLALDTLIGDDPNQRYFKSILLLNVTHNGLVSDDSALKRIVRVILQAIHSRSMWSATSHHPVNLGSGRFCIAKIFNSKTKEASYEERPEFVVLTGVEVQKSKRYMFNNDEIKWMIRRYVNLIASSKSRLGVYSLHRLDVAKHLFFPSTAEAQAALADGVGDNKPNPTRMGAQLRRLPSLGMQFEPLPLPNDEDEFHTNTEDDMFALLSPRTSEGRILSVRADGDAVLFDADSNTISTMPSLGEELPSIKPTVVALEDDEKQVNRLYMLGNYTSSYGFSVLDFNQYPRKWQPLPLPPFFDEEDDCTLCAFVHSYTVVGDGGRTICMSFGDDGTYCFDTVKHEWSLAGDWELPFADRAEYVPELGAWIGFSSCRPNHLCGSTDLSAAIDTHEEPTLRHVWEDFSMPHNEEESIVLNRRYPSFVLRKKTTWGSLRRHLVNLGAGRFCVARIFHVSRTASVGWDERLGPDEEFAVLTGVEVIPGNDGEEGLRMVKHRSRRYMFTTDSIEWVL >Et_6A_046139.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:3516898:3516969:-1 gene:Et_6A_046139 transcript:Et_6A_046139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTHRHRHTGPNFSVRMLLSRH >Et_4A_035769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5584702:5589529:1 gene:Et_4A_035769 transcript:Et_4A_035769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRPTLLRRCSHDPKLTSFLSAVSSLAASRPSSPPAGAVPPAPTPAAYNALMSAYSSAGSSDEVLRLFRSLPFPPTTPLYTTLISSLASSGCHLDARAAFACLLRSGLPLTPYPFTALIKSHGAASIDFGYKVIDAMLALGCSPDAAAYNCLISVLCDYQRVEEAVGLLDAMPDLNIYPTVCSYTPILHGYCEQGKILEAERLVDCMMEVGCLPDVISYSVLIEGLCRVGEFAKVERILGESEANGWTPNAVTYNIYMAALCRMNFLDEAFSQVAIMRSRGLSLTLETVNILFDCLCRGSRFSEAVCLLEYSEELGWDADVFCYNTLMSRLCEAGDFAMVLKLLVDLLKKGIGPDVFSFTIAIRSLCNAGKLRVAKCLIEDEGIEYDVVAFNTLIHGFHMVGDLRGVLVRRNQVVASTDHLLRQADSRSPNKSIKFQSNRMKVIPSRTAQASSHLPASASDMDTSA >Et_10B_002943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14188554:14196194:-1 gene:Et_10B_002943 transcript:Et_10B_002943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMASDPAAALPLSLDLEDFKGDFSFDALFGSRVDELLPEYRGDDDAAPAPPPPPPVLGAAPPVFPAVDELLGLFKHSCKELVDLRRQIDKRLQNLKKEVAVQDAKHRKTLGELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSAESQRETASQTIDLIKYLTYLYSLMTVVLLRLLRLHRNYEDVGRHGVQSAVGSANVSRGLEVAVANLQEYCNELENRLLARFDAASQKRESSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEIMNTDIQVVLGDEGLQADSDSIADGLSTLYREIADTVKKEANTITAVFPSPSEVMAILVQRVLEQRVTTILDRLLIKPSLASLPPLAGGLLQYLRFLAVAYDKTKVLAKELQSIGCGDLDIEGLTESIYVAHKDEYTEFEQASLRQLYQSKMAELRAEAKQQYESTGSIGRAKGSSLTPPPVQQISVTVVTEYVRWNEEAISRCTLLFSQPTTVAANVRCIFACLLDQVSQYLTEGLDRARDGLNDAAAARDRFNIGSSVSRRLAAAAASAQDAAAIQGEQSFKSFMVAIQRCASSVAILQQYFSNTISRLLLPVEGAHSSACEDMGSAVSVVEAAAHKGLLQCIDTVMSEVERLLSSEQKATDYRSPDDGAAPEHRPTNACTRVVDYLSRVLEVAFSSLEGLNKHGGLRLKRDITEYGEFVRSFNAPSIDEKFELLGIMTNVFIVAPESLSSLFEGTPSIRKDAVRFIQLRDDYKTAKIASMLNSITYE >Et_1A_009510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6994999:6996736:-1 gene:Et_1A_009510 transcript:Et_1A_009510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGWSDLPAELLTDIAGGIAELADITRFRAVCSSWRAAAADAAAAPPPQPPWLLLPSAPSRLFFSPREDRIYPDLLLPHRAAEARRRRLYASPHGWTLAIDPTDLSAALLHPFTGAARPLPTLPSFFKETDDLAWDWSPQGIMASCGEGLLFCASDPPSDSWVPIPALADCNASSINYADGEFFVFEEDVCRTTIVDAFTLAIAGVIPAPAVELPSEARLVVAGDELFLLVKSKWMYLFGDDIDFSKTFRVNHRSVEPAWQELDGIGDRALFVDSLHGFAVPTAGFRNLESNTIYSVTCKEVNSRRPSTVNYNVSAFNLGSRSSKKLPCRLNSQKMAMRAMTGHYEEEDAVTMMC >Et_6B_050012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3223482:3227300:-1 gene:Et_6B_050012 transcript:Et_6B_050012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVEHGCGSRGVPSAGFRSPRFGDCLISMVTQSTQSFLGDLVTDEYQGGCENMTAEKVKKARKGRLINVYKIICYIGTAMLICRDNTALLNKINLYDHSTAFACTMYFTFALLCMLLGLVAASFPESAPLANSISWNGALQAVICMIASFHLNLIEFYANVQHLYTSFVISSVVFAIIWCFFTKVASKCSKFTTIAGQLQFLESFHEASELKQLGLSSRQQLDQ >Et_1A_009117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:337851:343303:1 gene:Et_1A_009117 transcript:Et_1A_009117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQAISPALQTSFLCSLALAFLRAGRLSAASHIVSSLPAPPPAPLLLRLIPALASAGLATAAVRFHPIPGDTLTLNSIILSYCSLRLLRPALNLLHASAKPPWQAVDTVSYNIFLSGLSEQGRGELAPAVLAEMSKRGVPFDGVTVNTVLVGLCRRGRVDEAASFAEMLVRGRAIDSLDVAGWNALIDGYCKVPDMAAACAVVERMRTQGVEIDVVGYNSLVAGFCRAGEVDAAWGIVETMKADGVQPNVVTYTAVIAEYCRRKEIEEAFSLYEEMVRTGVLPDVVTLSALVDGLGRDGRFSEAYALFREMEKIGAVPNHVTYCTLIDSLAKVQRRKESLALLGEMVSRGVVMDLVTHTALMDWFGKEGKIDEVKTMFQDALLANLSPNCVTYTVLIDAHCKTGDIDRAEQVLMNMEEKSVNPNVVTFSAIINGLVKRGWLSKAAGYMKKMQEKGIAPNVVTYGTLIDGSFKYQGQEAALDVYDAMLRQGVEANSFVVDSLVNGLIKNGKMEEAEALFKDMSRRGMLLDHVNYTTLIDGPFKTGNMPAAFKVGQELMERNFVPDAAVYNVFINCLCMLGKFKEAKSFLTEMRNSGLKPDQATYNTMIAAQCREGKTSKALKLFDEMKRCSVQPNLVTYTTLVAGLLKAGAAEKAKYLLDEMASSGFTPTSLTHRRLLQACSESRRVDVILEIHEWMMNAGLHADILVYNTLVHVLCYHGMTRKVTVILDEMSRRGIALDTITFNALILGHCKSSHLDNAFAMYAQMLHQGLLPNIATLNTLLGGLESAGRIEEADTVLSEMKNVGLEPNSLTYDILVQGFAKKSNKVEAVRLYCEMVSKGFVPKVSTYNALISDFAKAGMMSQAKELFNEMQMRGVLPTSCTYDILVNGCFQHMICANPLTDVLHFQKVEDSESGGVVISNSEVIVESHVGHIFEGYLRAFIITDGLQSACKGLGDYACASL >Et_3A_026845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23454826:23457890:1 gene:Et_3A_026845 transcript:Et_3A_026845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSTIAARFAFFPPTPPSYTVVVADAATGRLAIPEISRPPGRRRRRDGAGGDSSSGAAEDEDGTEVVRLRTRRGNEIVGVYVRHARASATMLYSHGNAADLGQMYGLFAELSRRLRVNIFGYDYSGYGRSTGKPTECNTYADIEAAYNCLKEKYGIADEDIILYGQSVGSGPTIDLASRLPNLRAVVLHSPILSGLRVLYPVKRTFWFDIYKGTSDDVVDCSHGKQLWELCKVKYSPLWLSGGGHCNLELYPDYIRHLKKFVSSLSKKSSKPEPKEITAMDDTTSKSTEAACSDKPKEATCLDKPKDSAKCSQISRKSLDSRVGKSKTVDVPEKPRMSSDDIDKFRRRRCLVW >Et_6B_049594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:767285:776144:-1 gene:Et_6B_049594 transcript:Et_6B_049594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSRMPSLPAGFRFHPTDEELIVHYLMNQAASIPCPVPIIAEVNIYQCNPWDLPPKALFGENEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKAILSTPTSENIGVKKALVFYRGKPPKGVKTDWIMHEYRLTVGNKTTKRKGSSPMRLDDWVLCRIYKKTNNFQLSDQEQEGSTVEEDSSLNNMNGVASPKSEAAHASDDDQFRPLSMTKSCSLTNFFNNIDYSTLSELLLDVPTGPEPQQSPLIYTTPASHSLNDVNNSINMPQVDALCSDYGASYNGLKRKRIMTGDGANSFDDGSSFMKKLHLPSDTRSGNFSSTSSYFDQQVAEAGRNIQYGSPEAGRNIQYSSLPSHPFLNQQFYPTDEEVITSYLLQKFLNPSFSPRAMGEVNLNTCEPWDLPSKAKMGEKEWYFFCHKGMKYPTGSRANRATKEGYWKATGRDREIFKTASASAGGGRELVGMKKTLVFYMGRAPRGSKTNWVMHEYRLEGKSKDNNHSNLRFNPKDEWVVCKVFNKKMEEKKAAVEYSAGTPNVSSISVDAAGDGGDDFLDSMIDPMSYLNSTMDASVAPYNAVATTTSSAVGSFVNLPKYTFTDMTSRNLHEAAVANSTVQTSSSGYSSSWNLHQANHAMGSYNLHDQAMMARALGGVISPNLVGGLPSFSTSFTGISQQNSLGVPQQKLEPDYGGSYATNYLTGGAATDSTVIGKAARNLGA >Et_4B_037958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25029548:25033491:-1 gene:Et_4B_037958 transcript:Et_4B_037958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRFSPALQASDLNDFIAPSQDCIISLNKNTASSRRLQIKPKENAVSTKPQEEAVKISLKDCLACSGCITSAETVMLEKQSLGDFINRINSDKTVIVSVSPQSRASLAAFFGLSQSQVLRKLTALFKSMGVKAVYDTSSSRDLSLIEACNEFVSRYNKHQSSAGKAAGAHLPMLSSACPGWVCYAEKTLGSYILPYISSVKSPQQAIGAAIKHHVVQKLGFKPYNVYHVTVMPCYDKKLEAVRDDFIFSVDDKEVTEVDSVLTTGEVLDLIQSKSIDFKTLEEYSLDRLLTNVDEEGHLYGVSGGSGGYAETVFRHAARALYNREIKGLLDFKTLRNSDFREIILEEEGKPVLKFALCYGFRNLQNIVRKIKMGKCEYHFIEVMACPSGCLNGGGQIKPVQGQSAKELIQLLESVYTKDVLISDPFENPITKRLYDEWLGQPGSESAKRYLHTDYHPVVKSVASQLQNW >Et_9A_063587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8556719:8557954:-1 gene:Et_9A_063587 transcript:Et_9A_063587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGDGDNSRRYKYDTKTIRQDLEARDIDGAKDEILDLVKKNVNGSTIYFDGWNALGTTAVLICVEKELRSMKDPPPELCFGRIIYIDCSTWKSKRVMQKRIAEELKLDRKTMDMFDKEDEEDDFNGVDAASRDVIRDVARAQDGIGQIMVPMKAQAGFGQIMVPTIVYVTGSFKGTE >Et_3A_024268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19046828:19050612:1 gene:Et_3A_024268 transcript:Et_3A_024268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPGFFYGLKLQDVGLHVDMQFVNSDLVGRSKMTYLHFADTASFSRMYIGLARLSTSRRRPAVAHHTGIAYGQRRLVQRTTILPAKMPIITYGQPTGCFGSATSSANATDTCCRLVLRLPLIISFPAEETSPAKEPGRFLRCPDDTASTTPAASTRTSTIQAIYDVSKDVFAAAMPGFQPLPSDVARLSVFLYSLTLQDIGLDVAMQYTSILLAKMPITTYGSPADCFGSEHRRQMRQQVGIDTCCRLVSSVAANRFSRVPT >Et_5B_044272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22090850:22091520:1 gene:Et_5B_044272 transcript:Et_5B_044272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GCGRGATRGRGRSGARGSGPITGHGRGPRGRLGWLGCRGRPRISCAARLRYRDARLAFAVRRRDPELAGRPGVWRETRRLSDIINDRSDMWLRWHVLTILFDISTAAGGGADLAAGGRLHRRGRPAELEAAPVPCMDQLLFDVAPLRLRRARNCMWCRELLDARAEPRQSLTSLTPNTWSHTRTFTFGPANIISLRSRSASRTTAALEDEDARLVVSGA >Et_3B_027882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24758772:24759441:-1 gene:Et_3B_027882 transcript:Et_3B_027882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPAGSSSSARSSRKAAAAAPTRRRGREQRRRGAPARGDAAASGGGGHGGGARRRRRRRCRARGAGARTPSSATSTTTTSTSRDTSARTATATGPPAARSATCPSARAAARTGPWAPSSSRPTTSSTTTARRRRPPGASSSASPTTTLPPRPRRSTPTGGRSAPTPGSDLIPGSVSG >Et_1B_013600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9889452:9890170:1 gene:Et_1B_013600 transcript:Et_1B_013600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSFVFPLFPSVTLPTTAAAASRPPPATTLLFPRASVRRHRLAASVAESSSEEEATGSTNGSLPGLPPVEEDDDEFCPVDCVTEFKTNDEFARHLERAKATGALVVVDFFRPSCGSCKYIERGFTRLCKGSGDDGAPVVFLKHNVIDEYDEQSEVAERLRIKVVPLFHFYKDGELVESFATRDKERIIAAIRKYTSIEPE >Et_1B_010760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13842531:13845316:1 gene:Et_1B_010760 transcript:Et_1B_010760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPVRIELDKLSVEQLKGIKEQTDLEVNLLQDSLTKIRTASRKQILVPLTASLYVPGSLDDAEKVLVDVGTGYFIEKTMAQGKEYCERKINLLKSNFDELFEVFTKKKTLADEMDTLLRAKLRQASPGPSS >Et_5B_044590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3963168:3966181:1 gene:Et_5B_044590 transcript:Et_5B_044590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPQSSGGSGGRRRGRDTAAPYDRRVTIFASDGRLYQVDYALNAVKLPGLTSVGVRGADSVCVVGQRKTKDKLIDPASGSSLFPITERLGLLTTGNAGDGMTLAQQARNQAAEFRFKWGYEMPPDVLAQWIADAAQICTQHVSKRPSGVVAMILGIDEEKETPQLYTSDPAGYFLGHKAASAGFKDREAINFLEKKMKNNPSLSFEETIQMDISALQYALKVDLKAKEIVVGVVKKDDPAFRMLTASEIDEHLKAIKQHKQS >Et_1A_008999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24560127:24563554:-1 gene:Et_1A_008999 transcript:Et_1A_008999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPHLNHCWLPNDVLNVTGVRQQLLNTWVRRHQRRAELVQPCRGIGFRKGYSFPGAVHNQLAPSGLSHTASDGVVVHQNLAQRRLDGRRWVLRRCLAIDRLTALEEFNYGNVHPMIRMVVNVGQRLCLLWCWPSWHHQPGLTSAYREDHLGQIEIVFSNAQVAKIELLVPVVLIEKLEIE >Et_4A_035477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2642528:2646294:-1 gene:Et_4A_035477 transcript:Et_4A_035477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAVPAAGYGADGVYRSLRPAAPIASDPGLSLNDLLLRRADACPTALALIDAATGQALTFAEFRSAILKTAVALSSRAGVRRGDVVLILAPNCVLYPICFFAITALGAIATTANPLYTPREIAKQVADSGAKLAITVAGLLPKIAELRLPTILLDGVAATTPGAVTPYSDLVAGVRETDYRRPPTKQSDTAALLYSSGTTGESKGVILTHRNFISASTMVTADQDQRGEGPNVFLCFLPMFHIFGMSVITFGQLQRGNAIVAMSGFDMDSVMAAVQRHRVTHLFCVPPVMIALAKHGKARKYDLSSLKLIGSGAAPLGKDVMEVVAKNFPDAEIVQGYGMTETCGIISLEYTERGQNRQYGSTGTLVSGVEAKVVDVETLKYLPPNQLGEICVRGPNIMQGYFNNVQATEFTIKDGWLHTGDLGYFDEAGQLFVVDRLKELIKYKGFQIAPAELEGLLLSHSEILDAVVIPFPDAEAGEVPIAYVVRSPDSSLTEVDVQKFIEKQVAYYKKLRRVTFVKSVPKSASGKILRRELIAKVRSSKL >Et_9A_062241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21024491:21027183:1 gene:Et_9A_062241 transcript:Et_9A_062241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGSGSSGGRSGRRVDYGRTYVVRPKGRHLATIVWLHGLGDNGASWSQLLDSLPLPNIKWICPTAATRPVTAFGGFPCTAWFDVEDTSVDGRDDIEGLDASAAHIANLLSSEPSDVKLGIGGFSMGATVALHSAACYAHGKFTSGIPYPITLSVVISLSGWLPCSRTLRGKMESSHIAARRAASFPILLSHGKVDDVVTYRDGERSAEILRSSGFSYLYFKSYNGLGHYTIPEEMDDVCKWLSARLGLDRSR >Et_5B_044787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5813383:5817491:-1 gene:Et_5B_044787 transcript:Et_5B_044787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGSGDYAASAPAGGHYYPHQYAPPGPNPHPAADAGAGGYSSAPPYSAGGGYVEHPPSAPTYSQPPSAPAYSQQPPPTHYGEAYPPYTNPAPYPPESYYSYPPPTQPVAPPAPEPSPPTLPYDAPYYGGGYQPPAAGYDNDEYLDEGAYAYSGGGAGAEPYGARGTAPARSGSALFDDYGRSISLPSGGERQQSWNGGGGGGSGSFGKIARAVPKAETHEDASGGAQKYRVKLLPEGAGNPTDVLCQIGLDGIRMLDPNTSRTLRIYPLDSLTRWDVLDSNIFAFWAKTSVDIDAKRIRLKSNSYTSNTMLDTVTAATVQFKEIGGDARGKETVDASKPSVQSNEKKKGFDWMFAKPVDEVKDHWVPDEVAKKCQSCGVDFSAFNRRHHCRNCGEIFCDKCSQGRIALTAEDNAPLVRVCDRCMAEVTQRLSIAKEAANRSATVQSHEDLARKLKEEMERNRKSSGPVSGGGSGTRMREVACPTCTVHLQVEVPTYGSETVECGVCQHAFLVSAN >Et_10B_004237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1990465:1991329:1 gene:Et_10B_004237 transcript:Et_10B_004237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTALSVAAGPAAQREELPDELLEYIFLRLDDAADLARASASCASFRRVVSGRRFRDARDGRLLLARTTSRSWFVFDAFAVYDPLHRRHVQIPPVPGVLMASAGHRGSRQHLEAFLAPAGDDDEEEATSLQVICVLFSDATVVAFVYSPAATGTWRCVTSFNIETFQWIRGIPRDQLSVWTRSQKKPDAHYLTVEVKTLSVEQLCVLKFETSHGYLYANFPPPFSLPTI >Et_4B_036229.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12256622:12256819:-1 gene:Et_4B_036229 transcript:Et_4B_036229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGSLAPKTRNLVVAGGLSSFVLGVYYYTMRAVGGTDELQVAIDKFEEMKKKDAGNSSSAGSS >Et_10B_004222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19734381:19736759:1 gene:Et_10B_004222 transcript:Et_10B_004222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGAAPPDAATNPSPAPGVVVGRGGIRVENCYVFKSRLQEYAQKAGLPTPEYHTLKVGPSHEPIFKSTVVVNNTKYESLPGFFSRKAAEQSAAEVALMEIARSVPATEGIRAVQETGLCKNLLQEYAQKMNYAIPSYVCTKQASGIAPFICTVEIGGIQYIGAAARTKKEAEIKAARTALLAIQGQSEGGTNGATQYIVVPGQRQVKEAEKRPIETPKPLKAKKDGYKKKWNKRKFMRKTDQTVDAENDGVRIAGDAHDSDVPMQAIIPEDPSANATTALPDEEVRRVEHELLRDTTMMQYNGDAAITKQEPPSGLAALLHDDDVRRTQLETFRDTAVAHYNEEARILKQELPCDTAVLQPGEEARLVEPEPPTDISFVQPNDPSILQSCNLVSSAEMLNPDEDARSTGQESLRFSVTLQPSREATNVKEEPPGNTAKMQPEDGTRTTEQDQQFRPSNAECVQSHDEHSVENSKFVYETNDQESEWARIAGDNKFTGDI >Et_5B_045217.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1276858:1277262:-1 gene:Et_5B_045217 transcript:Et_5B_045217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHAPVVFTVLAVLAVAAASASAYMRAADKDELVIFRGTGLVGDEADEVGLGRGLISRRRMQDLNNTSPDNSTDATTAADNSTDATVGYISYGALRADSVPCDVQGASYYNCRPGAEANPYTRGCSAITQCRS >Et_8B_060093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5962765:5966552:-1 gene:Et_8B_060093 transcript:Et_8B_060093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPNARARPIEQTLAPAPAPAPAPAKMGPQRRRPSSRRRVAGALALLAASALALLLLLRSPAPSYGVIIDAGSTGSRVHVIAYRAGAGALPRIDWARTASLKASPGLSSFAADPSGAGLSLAPLVEFARRRVPRGSWGDTEVRLMATAGLRLLDAAVADSVLESCRDVLRRSGFLFQDEWASVISGAEEGIYAWVAANYALGTLGGDSRETTGIIELGGASVQVTFATGEALPPEFSHVIKFGDVTYNLYSHSFLHLGQNVAYESLHDLLSTPELKSMATHLIPQAKYRDPCTPRGFTHIAGAVNLPASVLEPKVGYRPFAHAVGNFSECRSAALSLLQKGKEACTYHECRLGAAFVPELEGKFVATENFYHTSKFFGLQSKSFLSDLMLAGEKFCHGDWSKIKKKYSSFNEGELLLFCFSSAYIIALLHDTLKLPMDHKRIHVTNQIHGVPVDWALGAFVVQKSLNLTDMLHPEVAKTTAEDDLRHGERPVHHNQGQPMSLARHRWELVGLLQRSTYLM >Et_4A_034584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4563826:4567630:1 gene:Et_4A_034584 transcript:Et_4A_034584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGGGEAGADAVSPGNVPVCYYGPGGRVPAALERRVRAAEVFMRCAACGLAALAAALLATDRQSRVFFSVEKVARYTDMQSLVFGDCKWDGSELQPASRGEVASSSAGPWLGLSSLVIRQVMAYFTISAVAVAMEAALIGKYGTPQFQWMKTCHLYKRFCAQAGGGLACAIAASVNMVAISLVSAFNLFRLYGSGKGRK >Et_1B_009877.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5852532:5853020:1 gene:Et_1B_009877 transcript:Et_1B_009877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEEQLEDIGSPSDSESPSTSGDSAGSSSELDDDASSSSSSECHGSRSFEMASLMTQLPFKRGLSRFFDGKSQSFASLAAVGSLEDLAKPPRKRLKPSRSCGGGLDAHRGRLLSPRRHCPKAAKKAVARSGALAVLGAATRRPPLAVPARPEGVAGKVLIVS >Et_1A_009014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25336186:25337855:-1 gene:Et_1A_009014 transcript:Et_1A_009014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMDKVNALGERLKISGAEVSRKMSVGVSNMSFKMKEFFQGQNMADKIVDEATLETMDAPDWATNLEICDMVNTERVNSVEVIRAIKRRIMLNNPRVQYMSLVLLETIVKNCEKAFSEIAAERVLDEMVRLVDDPQTIVNNRNKALMLIEAWGESGDDLRYLPVYEETYKSLRSRGIRFPGRDEESLAPIFTPPRSVPPAESYSEAAQDGYQEIPEESFAPVRTVPAVQVNEAFEVARNSVELLSTVLSSSPQKEVLQDDLTTTLVQQCQQCQRTIQRIVETAGDDEGQLFEALSVHEELQKVLSKYEELKEPVHVEPEPQPAMIPVVVEPEESPSSVSNTGTHARKPAGSGDRSSGDD >Et_3B_030939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9028432:9039357:-1 gene:Et_3B_030939 transcript:Et_3B_030939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LALATNQPSVCGINGEREKVLFCVSVWIQQAVLRREAGRAAAESEEADAGRTQQRYYQGTRVRPRDDDGDDNYNGRYGYADRDIDRKASEFIQRVHRGMLANGKRSFFASLFGFCKQCSGEKQEEPPQRYYQGTSVRPSDDDEYYGRHWYAERDINKRASEYIERSCFASLFGFKQQSADDGQQSEEADAGRPQQNYYQGTRVRPRDDDDNYNGRYGYADRDIDRKASEFIQRVHRGMLANDQDG >Et_1A_006249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19624902:19627411:-1 gene:Et_1A_006249 transcript:Et_1A_006249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMSCFPALLAGRRKKHRKVADAVKAAGCNDCPKKVKPVEFVDAMVTAFVGECGEKVRPRDVKVADDDAAPVADSKGGDQLGDKASSVKGDDMSSDFEFEFHAAKKSVGSDDGVAAEKGDDAVRAPETDTAAEETDASPKLKRSCSNIETKRPGPRDATVAPARSRSYGDLRDLLPTGLFMETTATTPRGAPDASPASVKTSRTADRVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPRSAARPAAAACSPGRQGGYTSDTHAEESRNADRKNKKVMVDGSPPPPMPVPNQWVAFSAENSLLDRVSAWVSSIENEPFRIAEDDERDDADVEGGECAARPRALELGEPSSGKGHGKSKRSTAADEVVQANSVVQSLNAFSSVAHISGMGLKVMPMIAPFSSLRVVNLSSNFIVHISPGSLPKGLHSLDLSRNKIATIEGLRELTKLRVLNLSYNRISRSGHGLSNCTAIRELYLAGNKISDVEGLHRLLKLAVLDLSFNKITTAKALGQLVANYHSLQALNLVGNPVQANVGDDALRRAVTGLLPSLAYLNKQPVKPQRSAREVATDSVARAALGGGGGGRSQRRRAARRLGSGSGEGSARSRSKSRHHGSGSMRK >Et_4B_038145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26744612:26751702:1 gene:Et_4B_038145 transcript:Et_4B_038145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSPYAGSGAGGKIRRRPPSRASATPYERPPAAAAAHRLAAAAAAASSGPSGEGSGGGGSSSGWVSRLVDPASRLLAGGAARLFSSVFRNRLGPAPAQEPQSSSPSGRNMEPKQDMPESYVNSTLVMEDGLGKGKNPAGTSDDKALSEVEHLLMRKTFTKVEFDRLTDLLRERTIESDLPASMVSYEGKNEGGTRINEPGGPKLLGMAADYSPTVKVHSQGVGSPAELAKQYMSSRYSREPQSSSLRSRLFLQNKREASSIAYDRRSGQPVVQAPVEFGNENPGLPVNGYATPGLRGRSAIYRMSRSPYFKGPSTSSDVNMSSLSQRAQSLHVGDRQVLKRRGADLENEHGSIGPIRRIRQKSNMMSSFRDARANSRENLLASRTSGSDFADDNSPIQESPSSKRLLLGTGQSVRLHEFHKNGRDVSGTVPPIPPQSNKMAEKIFEQLNIIAPSPKEKQSGKQSAGNNNSSPSMSKEPVLQDSGPSSMGDPSSSLKFHDLDGANGPIDQELNGSKKGKLKLINDGSSKVASPDKHSILGNSVSAATSRKPGFKMAVSEKEFLELDDDMEAPIPPKNSASKIEVGATEQKFDSNRKEQKVEQNMLGQKVGPSLLKDIAVGSPVSDKPTASVSKNVSSSGVLSSNDPGKTDSARVAAENNTGFTFSHVPSDTQPESITPAQPVASVKDIKQSGALTSIFGLKQSSASDLETSTDANVLNKVGFSQSVNKPTLDSTSSEKGDKRGRAEDGSQSSDKVLPSAASATLNAPFHFASGTSTSPGLSNGFSYSSSQKLTPTDKPTLSSAPSTTIFALPSTSAAISSSTQPAAFSFGSSASVGSSMAASVKAETAEAKPATTLTFGIGGTTAEAKSTAPDSTSKPASTFLASPISSNVATFSSSPTSSASIFSSVSTFSSSSSAASSVAAVSTTTTAPSSTSSTAPGVQSSSTVPSTFSSSGGIFGFSSTAQSTALSTSVAPSTSQPPAASALFGSKLQSEGTISQPSQSSVAQFGSPFTSTSGSGASSSGSGTVSFGVGASAGSGTTPFGVGTSSSAPGTVAFGTASSSSGPGIFSFGAGASSSGSGTVSFGLGAGAALSGPGTVSFGAGAASSGPGSVSFGTGAASSGPGTVSFGVGAASSGPGTVSFGVGAASSGSGTVSFGVGAASSGSGTVSFGTGAASSGPGTVAFGAGASSSGPATVSFGATTSASGSVFGNSPFGGGATFANPFSSTSGTGFTFSSPSSSAVSSTVANTSVFSSSSGASSTSSFSNPIGSSSSSPSTFTFGQSASSGGGFAFGAQSAPAFSSQPSVFSFTSASTSMNSSTAQPAFGTANTNTGFGMGSTGNDQMNDDSMADDTNLAAPMPAPIFGSPFGQQGNSPAAPVFGASPVQSGGVFQFGGQQQGSVPQNPAFPPAGSLEFQSGGFSLGSGGAGGDKSNRRVIKVKRGPKKR >Et_8B_058560.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:17831529:17831891:1 gene:Et_8B_058560 transcript:Et_8B_058560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDGKPATAAGVRLPEGGGGDEAHDAFPVLVGEAADEAQQGGRPVVLAESAAEAGAGDEAAPGRARGGGSHQASRLVWWDSEEDLLHDLTRQLGQRRRRHDGARVWSVACVSESDPSL >Et_10A_001006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20011647:20018269:-1 gene:Et_10A_001006 transcript:Et_10A_001006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAASRLAAVAASLSTRERFARHLAAAASSAWTGPSRLPNPGRERRSTSWWCPSRTFHATRSVNARDYYDVLGVNKDAGASDIKKAYYALAKKFHPDTNKDDADADKKFQEVNRAYEVLKDEDKREIYDQLGPEAYERQASGGGPDGYPGGQGFPDGNPFGDIFTDIFGNALRGGQDVKVSVEISFMEAVQGCRKTITYEADTLCGTCNGSGVPPGTVPKTCKSCRGAGVIYMQRGLFTLESTCPHCNGSGKIVKSFCKTCKGEQVVKGKKSVKLDIMAGADDNDTMKVFGKGGADAEHNKPGDLYVTIKVREDPIFRREGNHVHVDAALSIAQAVLGGTVSVPTLTGNVSVKVRQGTQPGEKVVLRGKGIKMRNSSSFGNQYVHFNIRVPTEVTQRQRELIEEFDKEECADRERVAAASG >Et_4B_037706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22759589:22760054:1 gene:Et_4B_037706 transcript:Et_4B_037706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIPTQCSIQNDDASTEKMLMLATSEGDRLSLLRTWEEASMEVSVWLYVTDHGIDEERSWWVLGQSVNIRKLIEDAARLEWFCPKSQRLIVWIPYIGLFVLDPESMQIRRAEGDSHGRIWPYEIDLTLCFSKMKPS >Et_1A_007699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37322348:37323385:-1 gene:Et_1A_007699 transcript:Et_1A_007699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPAASTLFAAGLVLCPFTAFAPSGRNLTELLPELGGLLATLYRHCREEYLYLLAVVRRRRRLYVLNSECERSLRTVVNNGLHLNFEQSTAQASTTNGLTCRSSTCKATTSEVANGHSRIRTHVAGGVAVRGGLSALPPFAALAPSGRNLTFLLPELRDLIASVYLRYQDEALVLQAAITSPVRRRPTNHFIFLCTRRAGFCVLVLETHGFEQPVVSPASMGRWVSSG >Et_5A_040867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13760791:13765347:-1 gene:Et_5A_040867 transcript:Et_5A_040867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDGAIKGTSSTGRAAVRWRDHAHNVGVVDSEYVLHRGMPMLSDDSKGTSSTGRAAVRWLLQGDAYLQQEVGGGFYGGQVLDGSICGSPIDDEPMRGGDGTRANAGPVLLWLRQLHHCIWAKVPILSLGGLKARRAPFVFFGKAVLPVPEPCRVTATVA >Et_2B_022330.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18017842:18018180:1 gene:Et_2B_022330 transcript:Et_2B_022330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIPREARHFELGEARYYTILVWAAVFWQFFFLGAVGVIFCVHTLLAGILIAVFIPVTEVAAVIFLHEKFSSEKGVALVLSLWGLASYSYGEWSEAKAKKKMEAAAAQASS >Et_3B_028375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14399358:14399931:1 gene:Et_3B_028375 transcript:Et_3B_028375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILDHFSHICSITETREALRLRKKRPLQTVNIKVKMDCEGCERRVKNAVKSMRGVTSVVVNPKQSKCTVTGHVEPSKVLARVKSTGKAAEMWPYVPYTLTTYPYVGGAYDKKAPAGFVRSAPQAMAEPSAPEVKYMSMFSDENVEACTIM >Et_3A_023030.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:19423586:19424233:-1 gene:Et_3A_023030 transcript:Et_3A_023030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYFSMPDGLPKTYCRRKTLPPIVVDVSSYGLLQLVNRIAEHFLWGSKQYISLWRESEHDDDLHFPIKSDEELLEWFELNLDKGVVHIIAKINDFEGPLQCSPTKRSLHPKVREKLLETPSTPSLDLDPRVDPTQLTQSTPTKERAPPTKERTTSTKKKVTSNKGRKKLSDGSVGVDEEGMNSDTNSLVAMSDSSYDTDLAASSDSDIDPSDVE >Et_4B_037282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17671079:17674265:1 gene:Et_4B_037282 transcript:Et_4B_037282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVELTAEVVAAVGGHRSLPSPAVHGTHPAKTQLRHAAVFKRKAKQRRRQKCVQNEDQGIFRLKVLTVQDYPSSAQPVSPPAIDRREQRLVTSVSIALAAVATLCLIHLLRNASASCFLASHPIALTLSLSPFPRTSCDAASRRVVLPDRRLAKLRATQRWRRRTDALSASALPVLRSLGLLGAHSRVLCLAAGAGHAVDAFRSAGVEEVTGVDLVEFPPLVRRGDPHHLPFSDGAFDLVFSDDPSAISGALFPTRVAAEAERAARRGGGIALAVGPEIEPDAVAALFKRTRIVGVKDVTLDGSQDYSTWLNGKSPKDVAPTLYGVSHFTGGLSKQRSKNHAWI >Et_3B_029883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28826795:28832604:1 gene:Et_3B_029883 transcript:Et_3B_029883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAVAGGESAGELLLRASAMVPAERYAIAALFVFSVIVYRFLELHVIGDLLRGFRGGRVELTFHPASEIYHRVVSKCRSLHGRYLATPWLASPHLQTLFLGISGRPPSFTYKRQLYTVHDGGTVALDWLLGSDLEGADSFISKDASTPLVVVVPGLTSDSSAAYVKHLAYSMASKGWNVVISNHRGLGGVSVTSDCLYNGGWTEDIREVINYLHKKYPKTPMFCVGTSIGANILVKYLGEEGETSPVAGAVSICSPWDLLIGDRFISRKLVQRLYDKALAIGLKGYAKLRALSGNLTTMPLALLQDLRLWIPTTAGAAVLVMLEMANKNIVLATTPNGGHLAFFQGLTARRLWWVGATSEFLCALHDSSYMHRQKVQERVLHSSLESSIDKSPYVNFMEDGMVAPVTNDGTGNDGSPSNQTVDEVETSDTVGVIEQNKKSIEKQIERTSGVENKSPAGSADQQRDDVYSNKLREIITPVKRTINQLTRYQGRSVWLLAYIAFVTSWPLLGSLAFITFRKKIRNPLRAK >Et_1B_013556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9465894:9466446:-1 gene:Et_1B_013556 transcript:Et_1B_013556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSASSTLLVVLLAISLLALRDGHIVHARHLKSTSMATVEHSSTKKGMHGTSKPDEANTKKTETVEVKGSTGNGPNFSHGASPDVAEVVVQRFGPRPHPKKHNK >Et_1B_011945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27426797:27430747:-1 gene:Et_1B_011945 transcript:Et_1B_011945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VNERYTMRLRKRLQHEERKGANQRKLLSDAETVRWLNFAVEKMWPVCMEKVASQQFLLPIFPWFLEKFKPWTAKKAVIESLYLGRSPPMFTDIRVVSQSTDDDHLVLEIGINFLSAGDMDARMAVQLRKRLGFGITTIMHITGMHIEGKVLVGVRFLQQWPFIGRVRVCFVEPPYFQMTVKPLFGHGLDVTELPGISGWLDRMLDVAFSQTLVEPNMLVIDLEKFAAESEENWFSVDEKPPIAHAKVEILEGVEMKPSDPNGLADPYVKGHLGPYRFQTKIHKKTLNPRWHEEFKIPITSWEAVNLLSLQVRDKDPIFDDALGDCSISINKLRGGQRHDIWIALKNIKTGRIHIAVTVLEDENEKSAADGKDKVR >Et_7A_052874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2282667:2287419:-1 gene:Et_7A_052874 transcript:Et_7A_052874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWISSKLKAAETLLHQASPPALAPSPHLSLLLTPSLLTLRLSCIDQQAAESLGKSPSASDLGALQPSSANPLLDEPPRRSPPAGPPPSLGLRLGAVKRPTPSQPPPSPGPRRSASAAAVLDQAVDGPVGPVAEARAAPEEDRLDREVGEGGAPESESGSDEDSDGSGSDDSYDSEEERRREEERRQRRAERLAAMAARAIAEREEAVARLEGEKAGLEKLLDEREKEQAQEASELQTSMIETMEAVEVEKQRHHSTRMEALARLARLEVTNAELAKSLAREQWNLDFQVDQVAQLREEVESKTLAQDKYRRKLAKMQKTSAPPVDEARKIEENIELTRRDMVHPTDVEIELKKRLDQLTDRLIQKQMQVETLSSEKAALLMRIEAISKSLDNNASSLASSSSSSGFDIEAGAWRESYSPRLHDRIRAGQQQLGSAIRQLDSIFSAGHIFLKRNPKAQVWAVVYLVFFHLWVLYILTSHPTVSETRPGAVFSLESINKTITMKFHERAFMKTK >Et_3A_023682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10976957:10980293:1 gene:Et_3A_023682 transcript:Et_3A_023682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELTYRGGAAHGSASNGGEYSPKPSKQLSWFTRAARYAAAEHRPLFALAGMLFAAAIFVFSSSASPASSYPAASAGFSHLAVTSGGRQSLPDFVGGKVPLGLKRRTLRVLVTGGAGFVGSHLVDRLVERGDSVIVVDNFFTGRKENVAHHLQNPRFEVIRHDVVEPILLEVDQIYHLACPASPVHYKWHKTNVVGTLNMLGLAKRIGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVAGLMKLMEGEHIGPFNLGNPGEFTMLELAKVVQDTIDPEARIEFRQNTADDPHMRKPDISRAKELLGWEPKVPLREGLPLMVTDFRKRIFGDQEGSS >Et_1A_006971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29756508:29765765:1 gene:Et_1A_006971 transcript:Et_1A_006971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFNSLAIEKVLKYEEVLIFSYEGKDNARHSNLPMGDVVLSAFLQVIFQSISDFVKKELWLKDHIEKERERLISNMDMIQAILMEAEKKTQLSELQKRLVGNLKDASNGGIEALDDHLYEVQRRQVIHFADIRNSTICSSMNLLRAKFNHEMETKIVDFKKRIEVIKSMQEISRPFQVDVQGHRGQNNEGSGLGPSTLLPPTVVRGRDDDCKSISYARSYEDIQDNRLKEEVLRICKGVPFIAASIGYSINRHRENDISKWADILREEWDSSDHFHKALRLSYAHLDYHLKPCFAYSSIIPPKFLFEEEWLIQHWMAQGFIGPNPNTGQTMEDTGRSYFSSLVNQSFFQTAHVDRTGQHSYRLSEIMLKLALLASDEACKCHVVEGPCSLPEKVRYLTVIFNKPGRQDLFKEISGGERLHTLIVVGGSEDYVLKIPDDIGQRFIRLRTLDLSNFCVSELPESIGKMKYLRCLQLRSTKIRRLPESICRLYLLQTLGLRNCYDLEELPSKLKDLRKLRHIDLVMTRKSCHSICRLKCMPKHIGLLTDLQTLSRFVISKDGGGIAELAKLNNLRGELLISNLHLVEDVEKVARANLASKQFLQKLELSWSNNNNNNKQAEQVLQHLKPPINIKELTILGYTGVACPSWLSSSEYTNLVTVCLYDFKGSSALPPLGLLPLLENLHLKSWERLVSISCSEFCGSTAGFQSLKKLHLDRMGRLKLWEGDEKCAFPRLDELVIENCCMLEQVTHSLPLLTKITVDGSPALLGLPKFPRLKYVNVKESGEWIWGSWRSLSSSASITLCKLPTVHFPSGSGLKQFHSSLQRLEINHCEKLETIPDDWPPCKLIHFSVKHCPQLRELPRGIQRLQALEDMEIIDCGMLTQLPEISGLSSLIWLEVAGCDSIRSLPHRGFPGSMQFLSIHRCSKLAWSCKHVETDRVKIEKIFSVWIDGREVRTSAQQGQTSLCYPSKLQ >Et_3B_030848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:810544:827774:-1 gene:Et_3B_030848 transcript:Et_3B_030848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSAFVALLLVSLLSLFILGLATRKDSPSRGHGRQQLPPSPPGLPLLGHLHLLGRLPHRKLRSMAESHGPVMLLRLGRVPTVVASSASAAQEAMKTRDLAFASRARVRMAERLLYGRDMVFAPYGEFWRQARRVSVVHLLSPRRVQSFRRAREHEAAALLARVRRSGAVVNLSDLLVSYAGGVISRAALGDDDYELDGGNLRKVFADFEELLGAGTVGEFVPWLAWVDTLMGVHAKATRTFEALDGLLERVVEDHRKRRRGGRSDVDDDDRRDFVDVLLDVNETEEEAGGAVHARRTLSFRRAREQEAAALVASKVVNLSDALICYSKAVISRAAFGGDGDYGLDGDDGGGERLRQVGSKGYTWQAITPEGKHGVAFLLCFLAPVLLIFKSARLRRGSNSSSSRGKRLPPSPPALPLLGHLHLLGSLPHRSLRSLAASHGPVVLLRLGRVPTVVVSSAAAAEEVLRTRDLAFASRPRMLMAERLLYGRDVAFAPYGEYWRQARRICVAHLLSARRTLSFRRVREQEAAALVARVRERSDSGVVVELSDLLIAYANNVVSRAAFGARGLYEEDRELRKVFAEFQELLAAEPLGELLPCLAWVDSLVYGLDSKIKRTFQALDAVLEKVIDDHRQRPPTTRQDDGEHQDFVDVLLDVNKNFAEYGIRFETNEIKALILETLRLHVPVPLLVPREPSADAEILGYHVPAGTRVVINAWAIARDPATWGRHQDADQFVPERFLPGGSAAAVDYKGQSFELLPFGAGRRGCPGVGFAEQSMELALASLLYHFNWEAAPGTSLDMSETNGLAVHIKSGLPLREVALASLLYHFDWKTAGASLALDMSETNGLAVHIKSGGLPLVAKSWIPQFSYLLPLCNNLW >Et_3B_029169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22656974:22660052:-1 gene:Et_3B_029169 transcript:Et_3B_029169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARRPPLRLLLLVLLAAWLGAAGAGAGDEVLRRAQRPEFAAWMAGVRGAIHERPELAFQEHETSALVRRELDAMGVAYRYPVAGTGVVASVGTGNPPFVALRADMDALPLQEEGEWVHKSKEAKKMHACGHDAHTAMLLGAARILHEHRHELQGTVVLLFQPGEEVGTGAKKMVEAGAVDNVEAIFGFHVTVMFPTGVVGSRAGPILAGCGFFEAVITGAGGHAANPQGSIDPVVAASSVVLSLQTLVSREADPLDSQVVTVTRFQGGGAFNVIPDSVTIGGTFRCFSSEGFQRLKRRIEEVIAAQSAVHRCRAAVDFGAGARGTPLLPPTVNSADLHEHVFMAVAQETVGAGAVRGDMEPNMGSEDFSAFGDAVPSAHFYFVGARNEAVGAVHDPHSPRFFVDDAALPYGAAMHANLAAGYLRRRAAASAPVRDDSRDEL >Et_3B_030247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31768692:31776038:1 gene:Et_3B_030247 transcript:Et_3B_030247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAADRKLAGYLRAVLSVPSGDGAETASMPPLSPCSLSACGAVPLAPLPDDGPQPRSKWWACGGGGSVVRTLRTLVANRCVDVEGRVLRTATRTTGEGVVEARAVVLLDVYLPVAAWSGWQFPRSRTAAAAVFKHVSCDWDARNALLAFDWSSHDNPHCDDERIWSCTDCHVIGCEDHQIASISKNEKSFDLHEIFKNLPSLRMERSKQIARITPDAGALELGIWSVPDDILNKVLIRLKPMDLVRVAGTCHHLRTLAASIMPCMKLKLFPHQEAAVEWMLKREQHSQVLKHPLYKDFYTEDGFPFYINVTSGEIFTGNAPTVNDFCGGMFCDEPGLGKTVTALSLILKTQGTLANPPQGVDVRWCMHKTDKKYGFYELSASGPSNRNCSPSGSKMLLGKGVAREDPCSSGLPHNDDSIYSTRSSRKRGRLVSPDPTLVLSHAASGKSPTPSASNEAHRTPATPVLRFTKSSRQVRKTLLDAYSDRSVGNKRKRSTTSELIETWVQCDACRKWRRLSDRTVLDSTTAWFCSMNPDPARQKCTASEESWDFKEKITCLPGFYKKNTLPGNEENVSFFANILKDNVAMINSETQKALIWLANLPPNKHLEMESVGLTRPVLDTRATMGKGSRPYFKIFQAFGLVRKIEKGVTRWYYPPVLEDLAFDSAALGLALEKPLDLVRFYLSRATLIVVPSNLIDHWTTQIQRHMAVSLVASNRWILTGTPTPNTPTSQVAHLHPMLKFLHDEVYGENYQSWDCGIHRPFEAQMEEGRVRLMQLLQRTMISARKADLKNIPPCIKKLTFLDFNEGHAKSYNELVVTIRRNILMADWNDPSHVESLLNPKQWKFRATTIKNVRLSCCVAGHIKIAEAGQDIQETMDALVQLGLDPSLEEYQFIRYALLNGASCARCKGWCRLPVITPCRHLLCLDCVALDSERCTFPGCGNHYEMQKRLARPENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTSSSKVAYLVEKLRNLRERDMNHMNCTNMTSGAGPSSAPSCQPQTKLDKVIIFSQFLEHIHVIQQQLTIAGITYAGMYSPMPLGSKRSALAKFQDDPTCMALVMDGTAALGLDLSFVTYVFLMEPIWDRSMEEQVISRAHRMGATQPIHVETLAMRGTIEEQMLKLLEDSNACRKIVNKGTSSTDGEGGRSHRSLHDFAESSYLAQLRFV >Et_4A_033083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18141103:18146372:-1 gene:Et_4A_033083 transcript:Et_4A_033083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLRRNLKRQASRSLSALAAAASPRGAAAAADQENLHPNLASPPASPAKTSSAKDRSPRPKQHPAVPQHAAAAAEDNHAAAPVTDEPSVKVVVRVRPPVSLPTDGKDLWFVRKTAADSLAVGDRAFAVDGVLDDRASQADAFDMVGVPMIESALAGFNTSLVCYGQSGTGKTYTMWGPLAAMVDSGSDHTDLGVVPRVFQNLFSRIQSTQESSPEKQISYQCRCSFLEVHNEQINDLLEPSQRDLQIRENAGNGIHVENLTDEYVSTLEDVNQILMKGLSNRRVGTTSMNLKSSRSHVIFTCVIEAWSKGFSSDGFSTSRTSRVTFVDLAGLENDEADGAAKHCTKEERHLKKSLSKLGKLVNILSETPESPKVDLPYEQSRLTHVLKDTLGGNSRVTILCSISSEHRSGTLSTLRFGERAKLMPNKAVINEISEDDVNGLSDQIRQLKDELIRTKSGDTTTCKAGYFSAQNARESLHSLRVSLNRSLILPHIEVDSEEEIEVDEEDVHELRDQINKLHSSSDTFDDFMDAESGDDTPCSKGALKTTEEDDQPIIDDTEGQLQEETNETSDNTNANEVLTSDRKSSLSISSSPRMSPVQDPTMCSSPKIHNKTRKSITSPGLSPSKLRVSVSPGDRDVETCRNSAVRSSLQSSKLSPTDSLAASLQRGLHIIEYHQQNIAPRKSFVGLSFDHFALNPRQSMANIGSTVQTLPEDQGTILCSSCKKPVKINEDQTENSDKQIVVATGATSNESASASSKDVNNSKAIGSKRETELEALCEEQAAKIQELSTLIDQLREGSKGVTTVEELSSECKISEQCDDTKMFVNEREVLLNEIESLKGQLNRQTNLSINGSLLDQIRNGSTDQEYELDKERQKWMESESKWISLTEELRVDLESNRMHAEKTEMELCNEKKCTAELDDALQRAMYGHARMVEHYVELQELYNDLLEKHRRVMDAISEVKRAAAKAGRKGCGTAFAAALAAELSTVRIDREKERTQLKEQNRRLRIQLRDTAEAVHAAGELLVRLREAEEASTLEKERCAALLQENEKLKKQLEKMRKKHEMEVETMKVHLAESRLPESALGHFYHHENEETTDEDQSWRSAFASAYE >Et_4B_037740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2363657:2368751:-1 gene:Et_4B_037740 transcript:Et_4B_037740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRSPSAASSSASPTAAAAGMAPAVGGVEPAPPAVSFMSFSDPLTGDDAAAGAGGRGASRFPVDNEINSKIYLWRGHPWNLEVDAVVNSTNENLDEAHSSPGLHAAAGPGLAEECSTLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIATGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDKIRAIVFCTTSSSDTEIYKRLLPLYFPRDKHEEEIAALKLPADVGDENGETVIDERKIRIRPLPAGDSKTPIPALADIPLPDSGLTTRRRNSFKLDSYLDPAFMSIIKDPDLRRKEQWEKSAQANKGLHFANLLGFGDLGSPPLSAAEEYSLHSRYLAKANSLNLSDIAEMKIIYRGGVDSEGRPVMVVVGAHFLLRCLDIERFVLYVVKEFEHLIQKPYTIVYFHSAASLQVQPDLGFMKRLQQILGRKHKKNLHAIYVLHPTLGLRTAIMGLQLFVDGEVWKKVVYVDRLVQLFRYIPREQLTIPDFVFQHDLEVNGGKGIIVDPRTKHVYQRPSG >Et_8A_056369.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4716234:4716386:-1 gene:Et_8A_056369 transcript:Et_8A_056369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRPTRHPTSVGAGERIHPRVRVRGTYFTRVIFLHGRARTSPDPNPTRCHP >Et_9A_062271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21308744:21317393:-1 gene:Et_9A_062271 transcript:Et_9A_062271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRAYPVVVLLVAVAVSLSDAAAAGVVFPKEALPTKSGYLPIPPANASLYFAFYEAADPVTPPASTPLLLWLQGGPGCSSLTGNFFELGPYFVNSDSLTLRRNPFSWNRRFGLLFIDSPLGTGFSAAPSAAAIPTNQSVVAAHILAALQSFMDLDPGYRARPLFLTGESYAGKYVPAAGAYILEANTALPAARRVNLAGVAIGNGLTHPVAQVATHADSAYFTGLVNARQKRELEALQAEAVALTRAGRWREAADARAAVLRMLENVTGLATLYDYAKQRPYATAPLGAFLNGAEARAALGARRDVAWEECSDAVGAAMHEDVMKSVRPRVEALLRKTRVLLYQGIRDLRDGVVSTEAWLRGVDWDGLPVFLDADRAVWRVRGGDGEEELAGYVQRAGALSHAVVYGAGHLVPADNGRAAQEMIEDWVLETGLFGHVMATPCAYAVVLVLAAFSGGAAVAAAAAGVVFPKEALPTKSGYLPIPPANASLYFAYYEAADPVTPPASTPLLLWLQGGPGCSSLIGNFFELGPYFVNSDGLTLRPNPFSWNRRFGLLFIDSPLGTGFSAAPSPAAIPTNQSVIAAHILAALQSFLDLDPGFRARPLFLTGESYAGKYVPAAGAYILEANTALPAARRVNLAGVAIGNGLTHPVAQVATHAESAYFTGFVNARQRRELEALQAEAVALTRAGRWREAADARGAVMRVLENATGLATLYDYAKQRPYATAPVGAFLNNPEVKAALGARGGGAAAWEECSDAVGAAMHEDMMKSVRPRVEALLRATRVLLYQGIRDLRVGVVSTEAWLREVEWDGLPAFLDADRAVWRVGGGGDGEGELAGYVQRHGALSHAVVYGAGHLVPADNGRAAQEMIEDWVLETGLFGRAGIGMRRVA >Et_4A_033503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23925504:23928541:-1 gene:Et_4A_033503 transcript:Et_4A_033503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKAEDLVPFPVKEQFGGIDYCITSPPPWLTTVFVGFQHYLVMLGTTVLIATIVVPIMGGGHEEKAIVIQTILFLAGINTLLQVHFGTRLPAVMAGSYTYIYPAVAIILSPRYAFFIDPLERFVYTMRSLQGALIIAGVFQAVIGFFGIWRVFIRFLTPLAAVPFVTLSGLGLFYFTFPGVAKCIEVGLPALVLLVLFAEYAAHFFAKGSFVFGRGAVLVTVVIVWIYAEILTAAGAYNERGPITQYSCRTDRSGIIQGAPWVRFPYPFQWGYPIFCFQDCLAMMAASFASLIESTGTLIVVSRYSGATFCPPSVFSRGIGWQGISIILDGLCGTISGTAASVENAGLLALTRVGSRRVIKISALFMIFFSLFGKFGAVLASIPLPIFSALYCVLFAYTAGAGLSLLQYCNLNTLRTKFILSISLFLGLSIPQYFRVYDMFFGFGPVHTHSVAFNVMVNVIFSSPATVAAILAYLLTCTHLYWEASVWKDSGWHWWEKFKSYRHDARSEEFYSLPYGMSRYFPSL >Et_1B_012372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31235543:31237338:-1 gene:Et_1B_012372 transcript:Et_1B_012372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGPRNVPRTPRAARCSNARPRPRHRAFPLLFLLRWLPLLLLPSLAASSPRRQDMALMAPRRPAGIGHRRHMLLLLCCCFCALAVPCHGAVTTNVSRASHHKATQSFIGTYGINYGRIADNLPEPREVVRLLKLARIRNVKIYDAEHSVLEAFRNSGLNLVVAIPNGLLKDMAANPSKAMDWLNENVQPYYPSTRIVGITVGNEVLGGAGDPGLAEALVGAVANVHDALAMLRLADKIELSTPHSEAVFANSYPPSACVFKDDLMVYLRPLLDFFSRTGAPFYVNAYPFLAYMSDPEHIDINYALFKPNSGIIDQKTGLHYNNMFEAQVDAAYFALEAAGYPNMEVRVAETGWASAGDATEAGAKLENAVTYNRNLRKRLFLRKGTPHRPDKVVKAYIFALFNENLKPGPTSERHYGLFNPDGSVSIDLGFKGLTSSASSLTPFKVRSTYILSANKHSFCSALLLLPYTKDHLDRVRALGWIVALLLCTLIF >Et_4A_035538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28238216:28242205:1 gene:Et_4A_035538 transcript:Et_4A_035538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVPDLSSDFSQKLLKDLRRRRERLGFESAAAQRSTANVAPRDAGSNSQKPLQIQKPQQAASRVRKSEATTNRLQPQHRQGSNATSGAGKPRNRGAPPIAHSNAIVPFQGGGKPKPAAKTDVDMQMTLALALSNSGKLQLMESNGENYLLSPSAHVGKVAIGVQKLNDILMAYSSGAGARGFNKRGSVEVGRQLLKGAMDLEESLSMLMMLQEASDYMESSGKGKVLLLEGKESRRSSSRLPSSARLVEIVDEDSEAELGNDAKGSSDASLQIVPFGKSQGSSANRRSALQLATITNRSRGEKDDSKVRMPNLIAKLMGLENLPSAKEVTERKATERFVRPEAVPRTNATFGTLPIRIVGSEGVPSKGKLKKLMATEWNISLTKSEESDYATVLSNRSSHLRANKQTRQTMRHVLSNQESTDRRVSLTQVVDEKTTYEGMKLTEESNLQKTVGVGCHSDRKMNFLQRFRKNAKSKPVTVEKDIIQENNKKVGKMHAPSVKQSLGIDSEVKSRIKMEKFNKENLSSVENKAERNSCKKDQLRRPAQNKHNVEKRLQNYRQMQTKTANQNLEHKRSLKSEPTHTKEKLEYTGLMRLKNGECTKTDVTVVGKPSYNKPGDDSIFRQPAEGRKDDSTTRGASSNQSEEQLPEETKDPTTAFAQTRACSIAETTVNVDHVSKSGNLTELKDHKIHVVSCNSFTENQLLLTEMLLKDQYLLETAKSITGIHVPVSTVHVNTGKWLDKGNKVLSDIGREIIRRKGKRTEAMMDVSMTCPANLRLQTLDDLIRELDGDIQSLNIPMEPHQQSNNSTAENLKMILRSDIESTHSDANSMWDFGWNRMWDLPIEKNEVVKDLEKNILGSIITDVARELIDGICMRVLKL >Et_8B_060153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6538532:6540626:1 gene:Et_8B_060153 transcript:Et_8B_060153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRAVVSLQGAAAFGGHRRAVPMRRATESFVAPLRCNKMYVPGFGEGSPEKKAAINLQHFFNYLAVRIVLAQLESYNREAYFELKEFVNRTSLDDAETFCKKLIRESPRHKGLAMRILEVRSAYVKSDFEWDNLKKLSFEMVDEANTKLMRDYVLEVSHIEDENYKQQ >Et_5A_042443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1184425:1186810:-1 gene:Et_5A_042443 transcript:Et_5A_042443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVKLRYWVRWTDHAFELHQRQHSPPFSYLTLSNQHHKMAVKSHHVLVSMTRSTRQGAQCYPHYQRPNEMPEQPRCDTALHLMVNGKTLPGASTTTSTGQIDMRVNLTTMEQVVSILSNGSQVLVTVPPHSCGAPSIPKGNVVGANVHLKALILNDDALGEDDTPAANGVVKLIDGKLHATNDRDAARLKMLLLKVGYNGDAGGVLPNIAASDGVLFLPGIIRTIMADVECTLCHAVRIP >Et_2A_015589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15535944:15543328:1 gene:Et_2A_015589 transcript:Et_2A_015589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLAYLPSLLAVLLLCCSPLVIASISEPNLSQAACGGDQVVILDASDGLHNLSVNDVLVQDRVLGCKKLWSYFRSGCLRCDELSNAWKGAVKQYCGEGSKSSYATSAQNTPRKLLKPQAADSDNGPCGSMCFHENDQETNDSSENGDHILAVPGVILLCCGLMFPCFHAQRKEVSRHDTAAIQRNAVHSFEVSTSSDKIPPTPQRIPPSPSRFAPSPQIARVGSVNLTVQQILRATHNFSSSFKLGEGGFGMVYRAELPDGQVVAVKRAKKDQFAGPRDEFSNEVELLAKIDHRNLVRLLGFTDKGNERIIITEYVPNGTLREHLDGQHGRVLDFNQRLEIAIDVAHALTYLHLYAEKTIIHRDVKSSNILLTESYRAKVSDFGFARSGPSDTEKTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLVEILSARRPVELKRTPEERITIRWTFKKFNEGNTREILDPLLEDPVDDEVLEKLLSLAFQCAAPTREDRPTMKEVGEQLWEIRKEYGKSVRKATK >Et_3A_024894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25114531:25119150:1 gene:Et_3A_024894 transcript:Et_3A_024894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSLLPLHAAVSSSPRLPCLHSSRSPSRPTPRTHPLTPPEPKRALPDIAAAGLRDALTGTFLVSPPTWRSSAASNLAIFVVGSPVLLSGLSASGMVAAYVLGTLTWRAFGIPGFLLVVAYFVVGTAATKLKIKQKEAQGVAEKRGGRRGPGSVIGSSAAGCVCALLSIYNAGGTPLAALWKLGFVASFCTKLSDTVSSEIGKAFGRTTYLVTTFKAVPRGTEGAISVEGTLAGILASIFLTSIGYVLGQVDVQQGVLCILASQIANYGESYIGATLQDKEGFEWLAFCRDWPGSGAIKLGCYGESYSGPSFHYRNTNNTLAVLSAPAPLHLFLMN >Et_6A_046131.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:27055566:27055685:1 gene:Et_6A_046131 transcript:Et_6A_046131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVSSIVLGLYNIFLIRNSNFIFVLICEATNIFPINLS >Et_2A_018464.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28888382:28889137:-1 gene:Et_2A_018464 transcript:Et_2A_018464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALAMSAVLAAAALLLLAAPGASAATLALYNRCPETVWPGIQPSAGKELLSRGGMQLAPGHAASVRLPTGWSGRVWGRQGCKFDAAGRGRCATGDCGGALYCNGAGGAPPATLAEITLAAAPTAQDFYDVSLVDGYNIPIAMTPYHGKGANCVPAGCVSDLNRVCPAGLAVRDGNSVVGCRSACAAYGSPQYCCTGQFGGPQQCKPTAYSKLFKSACPKAYSYAYDDPTSILTCSAGASYVVTFCPHHR >Et_7A_051061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1440144:1441721:-1 gene:Et_7A_051061 transcript:Et_7A_051061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAWPSIALARASSPSSLLLSRSPPRAGFTLAPAPGSSMRRRILLGVGTPAVAALAAAAPPAVLQDGAATLFITAGAYTLVRAFDVLAERQLIEQSLSRKIVHVLSGVLFMSSWPLFSNSTEARYFAAVVPLLNSIRLLTYGLRLYSDEALVKSVTREGKPEELLRGPLYYVLVLLFSVLVFWRDSPVGIVSLSMMSGGDGFADIVGRRYGSLKLPFNKKKSWIGSISMFFSGFLLSALMLFYFSRLGYINVVWEEALGKLVLVALAATIVECIPVTDVIDDNISVPLATMLVAFVLFGSNAQ >Et_4A_032789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13951704:13956275:1 gene:Et_4A_032789 transcript:Et_4A_032789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSKLSRVASLLVLMILLSSNDMICVQGKPITMMHRKFNLLSHSDEATKGTTIQGTVVSPNDASGALGNVKDARPTAPGHSPGAGHAAINNGVGRKLLAQPNSHKVQGIEKIEGLIPPQGSSDRR >Et_5A_040804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12882568:12888160:1 gene:Et_5A_040804 transcript:Et_5A_040804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQEVKSFIREVHGDHRHHLVVGLNTGWRVVQEDGELRHRIALLQLCMDKFVFQMASLCSSHTDVIPDTLNDFLTCPRCKFVGSVVKRHLAAERGLRN >Et_8A_056812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17158677:17161845:1 gene:Et_8A_056812 transcript:Et_8A_056812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSAISSIDPLVYSALKERVRVYGQRNWAAIAKGVPGRTGRQCRERWINKLRPGIKEKNKWTEEEDNNLIHAHMIFGNSWKAIASFLGRSENSIKNHWNATKRSLGSRCWIKNKTKEKPFGQFTALEEYIGNLRVNSQPEQLGSDPGHQQQVFPNTVVEPLVSNPHAVDMCMKDGGVVPSPMMQDGLVHPNVLASTTSFNPPNMGMYSNSATLDETQAMQSQVPFYRPTFTDHLNYPVQQNMVSCQHPLGTNINFNYPGQQNSMSYQDPQGTYKNLNYPLSENVTCQSSYQANSNWFNNEIGASSIGGNIVPFSENVGLQCPNLGNSNGISNQVGESSAVNNIVPLSENAVWQSSNPGNSNGFNNEVLM >Et_3B_030523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4606225:4607118:-1 gene:Et_3B_030523 transcript:Et_3B_030523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDVRVALENSSVALAMAMQKDEEMPRIRPYARQNDTLPPLVKEVVIRAATGENVPPNAASTMKFQQLFSRLVDIPETSSMTYVLIPLYQTSFRFKGRVKFFITCYKHWWIQKYKSMIRRLPRHDIMRDRDLIIRQDPTRNPKGYTMLDTRFLRHSYRLSREPGIGLARNQKCWSRAQGFEVLFSKAGGNLKSSATMVNSCDVLLAVYRAGRTNHSQGLRDGMHWHLADLIMSQDVKLNLRRFKSLPFCRPLTFFSCSLTNRLQFCILSCTIYSPFFVT >Et_2A_018061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9602278:9605224:-1 gene:Et_2A_018061 transcript:Et_2A_018061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCSKLFALLRKSRALATSTTTAAAAAATVAGAATANGMEEAAAGPLRTRVCIIGSGPAAHTAAVYAARAELKPVLFEGWLANDIAAGGQLTTTTDVENFPGFPEGILGAELMDRCRAQSARFGTKILSETVTSVDFSARPFRVASDDTVVHADSVVVATGAVARRLHFAGSEAFWNRGISACAVCDGAAPVFRNKPIAVVGGGDSAMEEANFLTKYGSQVYIIHRRNAFRASKIMQARALSNPKIQVVWDSEVVEAYGGAEGGPLAGVKVKNVVTGEVSDLQVAGLFFAIGHEPATKFLAGQLELDSDGYVVTKPGTTHTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEVGAQEGKAD >Et_1A_008551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8688047:8692585:-1 gene:Et_1A_008551 transcript:Et_1A_008551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDEASKDLCLCEKHEIGNTREEDKASHHTELEEGEFRRDEPFGSVSLVHKDVDARAIKLSPSFHVAAQRDQVNTPQSMSPERDSHQGGDADSIAEQSNCAPLECQSVNVRKSTFHRKCSEKHLRSSSPSFHISSKERQRLRQLSCFRSYEYHHVLEKVEKVCSERLSKLLLKQNGDRKEFNILQKKQELEFFQENARSYKIRYERIRPTVRYDRIKLPKLLFCTLRETFQKYIQPQPLNFVKRQINDRNKEKRRKERWIFEAKAGYLKKYFDETSLTHSGLEMEKSKWHVRDYFDGEQQLQYFDMQDLTSAIEAIASSGELADSYASKNIDVSEPILVNLQTSPETNDSSKHGLSGDTTDEMATIDSMPSHSYAPMEFNENDGAQAAFTSPLQNQGEKVGGSCSFKEAMEALEVAKEVAVGSENILSLSMEKRKRASSGDDATEGSCSRSQRKSPHGIISKFRRTALYHKEPQAAMLSTSLIVNQREQSGNSVNEQPNINADPSTSTQILTQRHRCDLIGQNGTHPYQPSDGDTRSVRIGLGSPEASNVQPTNQLMTRSMVDQYMPEIRLQADRVTSELTQVLRSCIDLAPFAQATEQRNNDACSSLLTQHETQHQYCDQIHQTVAHHYQPSGENTSSLRTWLHSSGESNVQQQSSYLTTAGSTSEQYMNDRGLQSDPFRIELHRLLMLRDVMTKRHLFEKQKIILEREMAVAELKRKYDERFHNLEMETLQKKKDIETLRSKVCKQQILAEAFQVVNNGSAGVASDSQREPVSGATSRTTEVSNRSSGRQILPIPAPATVSEPRQPAEQSSTNHFLCQPSMITQEGTTNTSGRFAPTLAPAPGVVAGPGIANHAPAPRFRAFLTSLPTSRRVSAALER >Et_7B_054690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3507572:3509751:-1 gene:Et_7B_054690 transcript:Et_7B_054690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISMMEARLPPGFRFHPRDDELVLDYLGRKLSGKGGEYGGIAMVDVDLNKCEPWELPEAACVGGREWYFFSLHDRKYATGQRTNRATRSGYWKATGKDRPVFRRRGEGVVGMRKTLVFYQGRAPRGSKTEWVMHEFRVEGHPPVADAVVAARPGSPLKEDWVLCRVFYKSRTVTTRPAAAADVEAGSLSSELISLPMPMPTPHMPPVEAYLAGFDHTPAGTISGGYYQQDDAGISPAQAAQFKSLSSFRELLTSMAERDDGAAAKAELGQDWTEAAYAQQLAPQSWNPFLSSG >Et_2B_022757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4493031:4499730:1 gene:Et_2B_022757 transcript:Et_2B_022757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKRPSTHAMDEAAAAAARLDAASPRSNKSRSKSRSRDRRRSPNPNPSSRRRAPEPAPVSASRKSDRKPKPRAFPDSTTLAAAAAAAAASSSASRGAAQKLWSDADEVALLTGAAAFKERSGVAPRLPDMGDLYEFLRDSIAPHLDQAKVYYKLKRLKSKYQHSDLGATSTPHDRLVRDLSADLWGEELAPPVEDGAPAREDGERLAEDGADVEEAEEVNAIQVHTGTRGKRPVEDEPEPARLPIVKEVLGEYWKLNGQALSGVSLEKGLSQLGPDEAEVAEAKWRRQLEADMRMQMRRHDLGKEVYARQLAIPMIEAGITNQWGLVEYIAGYIDAVLFCRLINPTCPWLQMLTDRPNTSLVDEDDIGWVQATNSVSSCQVGIDSEHDLGTTGSNGTMNMFCS >Et_4B_039716.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27626546:27626812:1 gene:Et_4B_039716 transcript:Et_4B_039716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAWPSSAFIVLNGSVDTDNITGVPGDREWARIECASKKAYGCGAHGQALVDGISLHVRRLDDLDNNLTTDLAIRLTAAGWRCWAS >Et_4B_039498.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:19361775:19363079:-1 gene:Et_4B_039498 transcript:Et_4B_039498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKSKAVGKTGSPLLGKYELGRLLGRGTFAKVYHARSVDGGDPVAVKVLDKPDLAAATGMAARVLREVSAMRRLRHPNVLRLHEVLATRSKVYLVMELAPGGDLLSRIASLPARRLPEHAARRVFLQLVSALIYCHERGVSHRDVKPQNVLLDADGNLKVCDFGLAALPDSLRDDGRLHTACGTPAFTAPEVLRRKAYDGAKADAWSCGVILFVLLAGALPFDDANIADMCRRAQRREYAVPGWVSAPARRLLARLLDPNPATRLAVADLASHPWFKRSLSVDSQLGGLIGGQPERELAFRAPAPPLNAFDIISMSPGLDLSGLFGESRRSREKRFMTGSTPERTVERLAQAGAKLGYFMVGKKGVERLPLGGLSGLVAMSMEMSEVSPELMLVELRLECGDGDDAEAFGWEELRVELGDVVMAWHVCEDG >Et_9B_066250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8578164:8581261:-1 gene:Et_9B_066250 transcript:Et_9B_066250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSSASSYFCMAPIFSACVPSSKQNASSDAGKSRLSFSFPNSVAGGKPQQQQTTQEQNSESIIDPAASVIARKDGDGDGGRHCAVIVGTIFGRRAGRVTFCVQRDAAAPPPFLFELSVPMQSLAAEMASGLLRIALECHRPGSKAAHDGANAATGGGGRSVVWKASCNGRDVGYATRRRPTEWDRRVLESMRNMTTGVGALPPELVTTQQEEGEKQDGGGGGEVLYMRATYERVVGSRDAVSFHLISPAGGTGGGDGSPPQELSVFLLRTRGYYLAVAGHRDFKLDSEKH >Et_1B_014369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:745253:748797:1 gene:Et_1B_014369 transcript:Et_1B_014369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTPIGSPCGCVLPISVIIDLDVAPYLLFMHIAELEVEVAAGTFLKQSQVKIMAAVASIQDDQKTRVTIYLVPLREHFDTYTASLISDRFRDKKVQINSTIFGDYKVINISYPGLRSPSPSFPWGSDPSGTGEDPITAEVPNQKKKHKKLDIWIIVVVAGSALALMLACAGIMFLIVKWTKYRRLHESMSLSSTPAVNRRYGTRSALSTSMVSSASASMLSTVATCTTSVKTFSLAQLQKATDGFSSERILGQGGFGRVYHGTMEDGNEIAVKLLTREDRSGDREFVAEVEMLSRLHHRNLVKLIGICIEQSKRCLVYELIRNGSVESHLHGADKAKGMLNWDVRMKIALGAARGLAYLHEDSNPHVIHRDFKASNILLEEDFTPKVTDFGLAREASNGTQPISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVSISDSKDPENLVTWARPLLCDKEGLETLIDPSLDGNFNFDNVAKVASIASMCVHTDPSQRPFMGEVVQALKLLYNEADEACDDSYSPRDSSDPDGEYHGGLVFESGSWGMGTSGCLDYRNSLPFVNMEYSSGRIEGPHDPCAALSMGSQVQSPVLQNRSGPLRTKKKLSSFYRSRGSISEHGHLPRR >Et_1B_011326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20484557:20486777:-1 gene:Et_1B_011326 transcript:Et_1B_011326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVYGAGGAMKGGKLGMEGAVDLQLNRIRITLSSKNVKNLEKVCADLVKGAKAKELRVKGPVRIPTKVLHITTRKSPCGEGTNTWDRFEFRIHKRVVDLISSPDVVKQITSITIEPGVEVEVTIADV >Et_2B_019265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20729555:20730124:-1 gene:Et_2B_019265 transcript:Et_2B_019265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNRFTQWLWAGGAGRVATHELPSAALTNASFPDFPSGFREPDTVTFYTAGAGGRRTRGEARVDREYDMVIVPSDGGGCLSGSESDDSDWSIGWLEPQAPELQTDGDPENSFAVLVPCYRRGRSEQTGRAEGRFLGAGTLADGSLSGEHVYLICVSSAFFLVIGMNHAAPVRIYDCI >Et_8A_056114.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:21221219:21221920:-1 gene:Et_8A_056114 transcript:Et_8A_056114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNLRILLNMQQRCARFKEAGAHVGDVLKYSISNPSAVWLAGHSLGASIALEVGRDMMLKKGYNLPTFLFNPPHVSLLPVVNMLGVKEKAKRDVHINSYFLKHAIGMTIVKRQKQHMEAVFERLSPWVPELYVNQGDIICNGFIDHFELRQKTQERYPRIAKLAATLAYRDMLHLSAMSSLFGDKIKARQHLLPSARLWKNESSYGKAHELKQWWKPEGPELTLSSERYNWP >Et_10B_003672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4705477:4707974:1 gene:Et_10B_003672 transcript:Et_10B_003672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRAAATFFSSSPISPRPFFSATPPAPSFTGRSAHCRRLRAFPTTELTVEELNPSVDLLRKTAEAVGDFRKTPIYIVGTDCTAKRNISKLLANTIIYRYLCSEELLEDVLGGKDALRDFRESDEKGYLEVETEGLKQLTSMGSLVLCCGDGAVMNSTNLGLLRHGVSIWIDVPLEMAVNDMLKSMGTEATSDPDTFTQVMGKLRQQYDELKERYGISDITVSVPNVASQLGYGSIDSLTLEDMVLEIVRQIERLIRAKAMMEAAGKPF >Et_10B_002432.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19957612:19958169:-1 gene:Et_10B_002432 transcript:Et_10B_002432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQAALSWQNDLKKKKPPVLHRNLSSLAPNTSNKGPKVDRVPVSYILATHQCTPGTTVMNCDPSKPSKTSSSANQYDVIDLNSKVGNCVPLANVRIGTWVNDIECRPGQGGKMVRAAGTFPKVVQEPGAQCVLRLPSDAEKTVDSKCRATIGIVSNPSHGARKLTILCGSWCCHEPCGSSSWWR >Et_6A_046719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19820678:19835815:-1 gene:Et_6A_046719 transcript:Et_6A_046719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQKPKSMSKQGSVRADDVGQTTISFSGGRRSDGEESGMSVFGGDSWGREAQQRKRRVDDLMLPASASSSSSSPESFRRLPNGKLACLVCPHRPVLDSPLMLSSGNQTSKNARSKNLGSLLRVGNGTATTNGTETKMCMQNLQDEQFIAFWFPAFPGTSSADGARKDSPDKVKLVTVLSIDGGGVRGIIPAKILAFLEEKLQELDGPDARIADYFDVVAGTSTGGLLTAMLTAPDKNGRPLYAAKDLAPFYIEHSPKIFPQKNWFMSKVMGTLRMVSGPKYNGKYLHSLLRQNLGDLTLDKTLTNVVIPTFDIANLQPTIFSSFELKHRPAKNALLSDISIGTSAAPTFFPAHYFETKDEKGQVRPFNLVDGGLAANNPTLSAMSQVTKDIILEKDDFFPVKPADYGKFMVISVGCGFNRDTTYSAKAAAKWGIFNWLIKGGTAPNVDMFTSASADMVDIHLCVLFRALHFSKNYLRIQYDQLTGSAGSIDDCSKENMNKLMSIGDELLSKHVSRVDLETGRFVEVPGEGTNAEQLANTSFTTSVPSHYSEARTLIGAIRTKDGRDFPDKVKLVTVLSIDGGGVRGIIAAKILAFLEEKLQELDGPDARIADYFDVIAGTSTGGLFTAMLTAPDKNGRPLYPAKDLEPFYVEHSPKIFPQKNWILSKVTSALRMVSGPKYNGKYLHSLLRQHLGDLRLDRTLTNVVIPTFDIANLQPTIFSSFELKHRPAENALLSDICIGTSAAPTFFPAHYFETKDEKGEVRPFNLVDGGLAANNPTLCAMSQVTKDIILGDDEFFPVKPVDYGKFMVISIGCGFNRETTYSAKKAATWGIFSWLIKDGTNPIVDMFTSASAHMVDIHLRVLFRALRSSKNYLRIQYDRLTGSAGSMDDCSKENMNKLINIGDELLSKNVSREDLETGRLVEVPSEGTNAEQLAKFARLLSEERRRRQNLKYRERSKGDGATPTRPARKQSRLVQHALARLLMLGPTTSSNTVKLGGFTNHGGVVPISFSDHPEQ >Et_3B_027601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3181984:3183133:1 gene:Et_3B_027601 transcript:Et_3B_027601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKYQRPKSVSPTWQHAERIARKVTSFGTTFSLSIRRNISTAFKPRPCNASPAINVVQVTTLFCSIPSKTSTAFPIHPHLTYMSMVAFISGISRYNPRLTTNLCTHSPRSTAPRSPHADKTDSSATPSGSIPSTCILRNTSIASSGCFICAYAPITVVHDTTFLSGITISSKTPRAEAMQPQAQY >Et_2B_021410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29489310:29493709:1 gene:Et_2B_021410 transcript:Et_2B_021410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLVCLAVCAGEKKAPTINSELWHACAGPLVSLPPAGSLVVYFPQGHSEQVAASMQKDVDAHVPSYPNLPSKLICLLHNVTLDADPETDEVYAQMTLQPVTSYGKEALQLSELALKQPRPQTEFFCKTLTASDTSTHGGFSVPRRSAEKIFPPLDFSMQPPAQEIQARDLHDNIWTFRHIYRGIRRANRQPTNISSSVLSSDSMHIGILAAAAHAAANNSPFTIFYNPRASPTEFVVPFAKYQKALYGNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFICPPPFFGAKRPRQLDDESSEMENLLKRAMPWLGEEICIKDPQTQNTIMPGLSLVQWMNMNMQQNSSFANTAVQSEYLRSLSNPNMQNLGASDLSRQLCLQNQILQQNNIQFNSPKLPQQMQPINELPKAALAQNQLASNHKNRLKSLAICRGSNSP >Et_1A_007801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38420417:38422073:1 gene:Et_1A_007801 transcript:Et_1A_007801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVYGHELKRGQWTSTAIWFKIKFSQHATETPVPTSIPIRLMNIYTIQRDGSQKTGCFNMDCPGFVRADGATIAPGVVIDPVSDANNLQNVTLKVFKDRVSYNWWIFYGYNSIPKAVGYYPRTLFTSMEDNANNIALGVFAAASETLQTPLMGSGALPNGGQSRVASFSYVSLIDRDGKSIPITGDWPSNADKGQCYFITPLASAKCFYGRPGGCM >Et_10A_002061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2116471:2117833:1 gene:Et_10A_002061 transcript:Et_10A_002061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEIAEPAATCVDDVPDDVIELILRRLDFPLWLIRAASTCARWRGVVVAGDGGGAFLRRARSLHPPTIVGRYHQRKSIDFAPSSPAALTDINSRFSLDFLDMDARCQCQMVLDCHGGLVLLQRLGLHCRYLVVCDPMTRRHRSVDVMQEDQIITGYFFLLDGEDGTISVSNFRLLHRLRERGAPGPTSCVFSTGDNKWNPVPPPAKDGLHTYVAFAGRVDGCIYLGTPCGSVMVLDNTSLEPSKVHLPSKFSRQKTFPPSTFCAVDRRTYGTLRRPHRQGIRLAAYRPREGRRGRVLEHSISRLREAFGGLPGYPEKYDFVDKVVVGDAGFVVLLVYDAGQRRWPFCVCLDTMEVKVVPESATSYRGTMDCFVYTLPWPPFTRASDVGTTATGHQTDEQPSSSTHALGGSQWARISRWIKWWRKPASPAGGFGFRFHAN >Et_9B_064788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18655386:18658069:1 gene:Et_9B_064788 transcript:Et_9B_064788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGSSSSGGRSGRRVDYGRTYVVRPKGRHLATIVWLHGLGDNGASWSQLLDSLPLPNIKWICPTAATRPVTAFGGFPCTAWFDVEDTSVDGRDDIEGLDASAAHIANLLSSEPSDVKLGIGGFSMGATVALHSAACYAHGKFTSGIPYPITLSVIISLSGWLPCSRTLRGKMESSHIAARRAASLPILLSHGKADDVVTYRNGERSAEILRSSGFSYLYFKSYNGLGHYTIPEEMDDVCKWLSARLGLDRSR >Et_3A_026163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:528760:535346:-1 gene:Et_3A_026163 transcript:Et_3A_026163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLLIETLDPQKPAWPRQQSQALDFECLQLRLHLFFLLFITPILDTKPFTFPAECFPDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIVQMVNKYGPKKWSTIAQALPGRIGKQCRERFRQRMGETRVAKSSVKSLVCPCDVGWAGPQTKPALSSLAIKANALMMNYIIRFRWHNHLNPAINKDAWTQQEEITLIHAHRMYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKVDSYMASGLLAQVPCLPLIECPETCDSSSVMNQQNIEDSDCNVIGEVENASCGSQSSFAEIYCSQVQNASVALSCDLKVNVDESNRDAQNSSMCQGVSYAPTEAVVSALSGAHYNVSSSNFDPDKHLEEEFDQRMNLQMDTDEVPSNSMFSGNQTHCSSANQEMSFVPIHITQEMHLSVISNVSGAGQNLHSISNCLESDLWQGISLQSLVSGPDTVAADLQPDMSVSAPLICSDSLSDAPENRPEPREMTDSQAETFPISNNSFGDTEQSVKSGSSDEASTTMECIIQCGDQQLADAKEPVASTEKEQSTKDIENNQDETKDDGALFYKPPKFPSLGDPFVSCDLVPSGDLQDFSPFGMRHLMRSAMDNVPTPLRLWGCPTDDENSDGLLAAESFGCTPSVMKKRHRDLLSPTPDKRIEKKSGMEKNRGISDTSHKSVATYSKNATPSCKELISSKSKPTGLIVEKSSPCINASYEYVNILADTPGIKRGLESPSAWKSPLFMQFQGSYLFSPGDRTFDALGLPEHISVHCATAVAEAHDVLAGGNRNTDEENKENIDAKNEPGTSKLQTKIIAEGRVLDFNECNTPARTADRKLSSSLGRSVSSPILSSRNLKIFR >Et_1A_007760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3831359:3834503:-1 gene:Et_1A_007760 transcript:Et_1A_007760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLVALPPVARPSRRPPSTALPRRGRALAALPCRCRCRCGRRHLLGASSAAALLPLIAPSSPAAPPIDPDVMLERVHPSRPDWYEEFYATAMDKGMKSYEAEIAGYKAKLFSQLTVAEKNILELGVGAGPNFKYYANGDKFNVIGVDPNKHMEDYARTAAVSAGLPSSNFTFKRGVAESLPAEDNSMDVVIGTLVLCSVSNIEMALKEINRVLKPGGLYLFIEHVAAPDGSFLRFVQGALDPLQQFVADGCHLTRKTGESIRDVGFSTLTLDSVRLSNAYIISPHVYGVACK >Et_3A_024687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23217304:23219707:-1 gene:Et_3A_024687 transcript:Et_3A_024687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFVRKLPRKSSASGKGGNAADNRGTIQRTSSCPSGGPARPASSVKRMSSAVFPSSVVAGIEPLVPFKDVPNGEKQNLFLSKVSLCCIVFDFSDPNKNSAEKDFKRQALLDLVEYVDSASSRFTETMIAACCRMFAINLFRVFPPSYRTSSSGGGEGEEEEPMFDPAWTHLHLVYDLLLKLIGSSSLDTKLGKKYFDHSFIVKLLELFDSEDPRERDCLKTILHRIYGKFMVHRPFIRKAVSNIFYHFVFETDRHNGISELLEVFGSVISGFALPLKEEHKIFFWRVLIPLHKPKTVGVYLQQLTYCVTQFVEKEPKLASSVILGLLRYWPITNSQKEVMFLSEIEEVLEATNTVEFQKCMVPLFQRIAHCINSSHFQVAERALFMWNNDHVISLVAQNRQVIVPIVTPALERNCQNHWNQSVLNLTVNLKKMLSEMDEELFSTCITKYMEDEDKQESLKQKRKLVWERLESAAAFQPVTGNTAVLVSR >Et_5A_041749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26631486:26634095:-1 gene:Et_5A_041749 transcript:Et_5A_041749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKVGRIFVGGLSWNTTERTLERTFGEYGKVIEAQVVVERDTGRSRGFGFVTFSEPRAVEAAIRGMHNGELDGRNISVNKAQPRMNTDDGYGYGGGGGSGGGGGYSSGARGGYRSGADAVPAASDDCFKCGRPGHWARECPYSDGGRTGRYSPASKYGGGTVGRGDRFGGSDRFASRYDDDRYDGGRYMESRDTYYGAGRDRYASDRYAPAADRYSGDRYNGADRYASSGFSRERSYERDGGRTSGTYYRDDPRGSGGYGRGGARVGSGGPARFGGSYRDRPAPYDRPARAARTYDDRY >Et_6B_050156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7754398:7776609:-1 gene:Et_6B_050156 transcript:Et_6B_050156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISSILPIASILLDGAVYFHHDPGHSDGSATSSTHLHFYMHDDYAGAQPTAAVIVSGRPPIPVNSTSAAEVSSPRLFGDIAVMNNALTEAPERDSLRVGTAQGFTVRVAERGSVNALSLHLVMRPASSSLAVQGRVDTDIAVRESVIDSGTGRFWFARGYALSRSYDYDLAKGVNIPFTRFGKGMRASARIRKAITSIAERGKELLQQEGPDTSSTDFITYMLILRSQGKHSLKLEDIVDNVIGIIIGAHGTSSALITFMIRHLANEPDVLAKVHEEQVEIAASKGTDDALRWEDISRMSYTWKVFSAQSITHLDASFFHEPTKFEPSRFEKRSSIPPYCFLPFGEGPRMCPGTEFARAETMVAIHYLVRQFRWTMCNKDEAYIMDPRPKPVLGLPFGGEGDDVITRRDERHDSSSCLR >Et_4B_040067.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:8945795:8946475:-1 gene:Et_4B_040067 transcript:Et_4B_040067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIQTSSPGTMPHQHHGRVLGGVGLGCAAEAAKASTAASASASAATRCGAHDGEIPAEAARHHEHAAPGAGRCCSAAVQHVAAPASAVWSVVRRFDQPQAYKRFVRSCALLAGDGGVGTLREVHVVSGLPAASSRERLEILDDESHVLSFRVVGGEHRLQNYLSVTTVHPSPAAPDSATVVVESYVVDVPPGNTPEDTRVFVDTIVKCNLQSLAKTAEKLAAVSS >Et_7A_050935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12670597:12675912:1 gene:Et_7A_050935 transcript:Et_7A_050935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHIYATTSGRCGPAIPHLLPAPPPPTLHLPSRFRRRMGARDHLGGLAVPLAAPEAAPGGGDDGGALLVHPSAEFAAQALVSSTQQYREMYQRSIDDPARFWSEIAETFYWKQKWNPDEVFAENLDVTKGPIKIEWFKGGKTNICYNAVDRNVEAGNGEKVAMYWEGNEPDQDGKLTYSELLDKVCQLANYLKSVGVGKGDLVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSADALAQRIIDCEPKVVITCNAVKRGKKLIALKNIVDASLVESAKNGVDVGICLTYENQSALKKEDTQWKKGRDVWWQDVVPNFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMIYTATTFKHAFDYKPTDIYWCTADCGWITGHSYVTYGPLLNGATVLVFEGAPTYPDPGRSWDIVDKYGVTIFYTAPTLIRSLMRDGTEYVSRYSRKSLRVLGSVGEPINPTAWRWFYNVIGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATLPFFGVQPVIVDEKGQEMEGECSGYLCIKNSWPGAFRTLYGDKDRYETTYFKPFAGYYFSGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGIDHEVKGQGIYAFVTLVDGVPYSDDLRKSLIMTVRSQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLAEPGVVDQLIALSDS >Et_7B_054152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16164629:16168521:1 gene:Et_7B_054152 transcript:Et_7B_054152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPGCPPRWVRTVVNITDHLIFPNLVPADVSADPDKALEDYVTSLSTFPMDDSRPLWEVRILDFPTSEAASTITLRFHHALGDGTSLISLFLACTRSSANPAALPAMPPPPSHRRGPIYSLQRDGRPANVLALAIWIISYYAMLVWHTIVDVVRFLGILLFAGDRRTVFKGVKGVEFRRKRFISRSLSLGDVKHIKDALGCELAKMMESGENNDVKWGNKLGYIMLPFHITVPDDPLEYVNIAKKMAERKKYSLETIFTRVNRLWQEKARKIWSQCLRAKSVSGAIFHRMVSSTTFLFSNVIGPLEQVELYGHPVIYIAPSVCGHPSALTIHWQSYAETIRIILAVDDTQFPDCHQLLNEFVQSLDIIRDATSRVS >Et_4B_037454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:235474:239306:-1 gene:Et_4B_037454 transcript:Et_4B_037454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRIASRGTIRPAPLLSGCLPRPPPASLQIQNHIYSMPTLSYKVPTMATCHSSLATNYMGTSEVADLDWENLGFGLVHTDFMYVAKCGADGIFSKGEMQPFGPISLSPSAGVLNYGQGLFEGLKAYRKTDGSILLFRPEDNAVRMIAGAERMCMPAPTIEQFVDAVKQTALANKRWVPPSGKGSLYIRPLLLGSGAVLGLAPAPEYTFIIFVSPVGNYFKVLKAQKIAKEKGYSDVLYLDAVHNKYLEEVSSCNIFVVKGNIISTPAIKGTILPGITRKSIIEVAQSQGFKVEERLVSVDELLDADEVFCTGTAVVVSPVGSITYLGKRVEYGNQGVGVVSQQLYKSLTGLQMGHMEDYMGWTVQLNQ >Et_4B_036927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12745616:12749746:1 gene:Et_4B_036927 transcript:Et_4B_036927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIPSLKSLNAFPHAEEHLLKKTYSGAIVTIFGLIIMLTLFVHELKFYLTTYTVHQMSVDLKRGENLPIHINMSFPSLPCEVLSLDAIDMSGKHEVDLHTNIWKLRLDRYGHIIGTEYLSDLVEKEHGAHNHDHDHEHHDEAKKHEHTFNEDAEKMVKSVKQALENGEGCRVYGHLDVQRVAGNFHISVHGLNIFVAEKIFEGSSHVNVSHVIHELSFGPKYPGIDNPLDHTTRILHDTSGTFKYYIKVVPTEYRYLSKKVLPTNQFSVTEYFVPIRPTDRAWPAVYFLYDLSPITVTIKEERRNFLHFITRLCAVLGGTFAMTGMLDRWMYRLIESVTNSKTRSLLR >Et_1B_010813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14291007:14291463:-1 gene:Et_1B_010813 transcript:Et_1B_010813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLERKRDSNLGESFAWNQREMGSSNPAADPLPLLRLRGTPAALARRVAMARDASGPALRPWLADLVPLLVILLIAAHVLALARHGRVQAARAEQEALGPRSACRM >Et_4B_038020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25530365:25546906:1 gene:Et_4B_038020 transcript:Et_4B_038020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAYVAILSFAFLFLLHYLIGRVNGSDTGKGKGKGAQQQKLPPSPPAVPLLGHLHLVKTPFHAALSRLAARHGPVFSLRMGSRPAVVVSSPECARECFTEHDVAFANRPRFASQQLVSFNGAALSTSSYGPYWRNLRRVAAVQLLSAHSVNCMSGTTISAEVRAMVRRMSRAAAAAPGGAARVQLKRRLFELSLSVLMETIARTKTSRTEADADDTDMSPEAREFKQIVDEVVSYIGLANMWDYLPLLRWLDVFGVRNKIVSVVRRRDAFLRRLIDSERRRLEDGNDDDSEKKSMIAVLLTLQKSEPEVYTDTMIMALCGNLFGAGTETTSTTTEWAMSLLLNHPEALKKAQAEIDAVVGTSRLLAADDVPHLPYLHCIINETMRLYPAAPLLLPHENSTDSKVGGYDVPAGTMLLVNVYAIHRDPTVWEDPAEFRPERFEDGKAEGRLLMPFGMGRRKCPGETLALRTIGLVLGTLIQCFDWETVDGAKVDMTEGGGLTIPRAVPLEAMCRPRAAMCDISSPLMDKAYIAILSFLFLFLLHYLVGRVGSGGKSNGNGKGARKKLPPSPPSLPFLGHLHLVKTPFHQALIGLAARHGPVFSLRMGSRRAVVVSSPECARECFTEHDVTFANRPRFASQRLVFFDGAMLGSSSYGPYWRNLRRVAALQLLSAHRVGCMSGAIAAEVRAMARRMSHAAAAAPGGAARVELKRRLFEVSLSVLMETIARTKTSRTEANADTDMSPEANEFKQIVDEIVPYLGAANRSDYLPVLRLLDLFGTRNKIRAAVSRRDAFLQRLIDAERRRLDDGSDAEKKSTIAVLLTLQKTEPEFYTDTVIMALCANLFGAGTETTSTTTEWAMSLLLNHPEALRKAQAEIDATVGTSRLLTADDVPHLTYLHCVITEALRLYPGAPLLLPHENSADCKVGGYDVPAGTMLLVNVYAIHRDPAVWEDPAEFRPERFEDGKAEGRLLMPFGMGRRKCPGETLALRTVGLVLGTLIQCFDWETVDGAKVDMTESGGLTIPRAVPLEAMCKPRAAMRDLLQELCSPVMDTAYVAILTVAFLFLLPYLVRRATTGCGNGNGTQMKLPPSPPAVPVLGHLHLVKASFHSVLAALAARHGPVFSMRLGSRRAVVVSSPECARACLTEHDVAFANRPQFASQKPVFFDGAALSTSNYGPYFRNLRRVAAQQLLSAHRVGLMSGVISNEVRAMARRINRAATAAGAARVQLKQRLFELSLSVLMETVAHTKTSRTQANTDTDTSPEAREWMQIVDEILPYISSANIWDYLPVFWWFDVFGARKKLAATVRKRDAFLQRLIDAERRRLAGGGDSDKSMIAVLLTLQKTEPEVFTDKTIMALCDNLFGAGTETTSTTTEWAMTLLLNHPEALRKAQAEIDAVVGTSRLVTADDVPRLTYLHRVMNETMRLYPPVPLLLPHESSADCKVGGYDVPAGTMLLVNVYAIHRDPAVWEDPAEFRPERFEDGKAEGRLLMPFGMGRSKCPGETLALRTIGLVLGTLIQCFDWERIDGVEIDMTEGGGLTIPKAVPLEAICKPRAALGDVLREL >Et_2A_016554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2631835:2635517:-1 gene:Et_2A_016554 transcript:Et_2A_016554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIIFSLTEGAVRSLLCKLGCLLSHESWLLQGVRGEMQYIKDELKSMNAFLRTLTMAEGHDDQVSIWMKQVREIAYDAEDCIDEFVHHLGEPSGMGFLRRLICMLRTLVCRHRIANQLQELKGRARDVGKRRSRYGVEVPKTVLRGSPKLTKHASLYLDPQLHALFTEEAQMVGIDEPRDALVSWLMEDDPCLRVLAIVGFGGLGKTTLARMVCESPTVKGSNFHHCPLFIVSQIFNIRTLFQHMIRVLIQRPHHKASAIVGDKHGHFIDENLERIERCEVAALAEKLRRYLQDKRYLMILDDIWTISAWESIRCALPDNNMGSRVIVTTRNEDVAKICCSRPQDWIYKIQRLSDATSRELFFKRIFGSVDNLPNDDLEEVSSCILKKCGGLPLAIMSIGSLLASKTNRSKQEWQKVCDNLGSELVNNPTLEGAKQVLTLSYDDLPYHLKACFLYLSIFPENYVMKRGPLLRRWIAEGFVSQRHGLSLEQIAESYFEEFVARSIVQPVRIDWNGKVRSCRVHDIMLEVIVSKSVEENFASFLCGGSTFITHDKIRRLSMHSTHKLVQKINISVSHVRSFTMSASVQEVPRFFPELQLLRVLDMQGCSCLSMNTLECVCNLFQLKYLSLRKTNVSKLPRRLGNLKHLETLDIRATLIKKLPASSKNLSCMKHMLAGHKVHLTRTASVKYLIPRSGLEIAPGVIKNMEDLQSLVHIVVKDQSWILQELGLLQKLRKLNVLFRNVEANWKAFVESLGKLASSLHSLSIHILDEKEHSSYLNILDYLESPPLLITNFGLIGKLERLPYWISSLRSLSRFTLRNTGLHAEVIGVLGNLPNLLCLELYHKSYADGCIIFPRGKFAKLRMLVIDDLENIDKVHFEEGSVTNLERLTLSFLREPKDGISGLNNLPKLKEVEFFGSITLSVVNEVVSCLKTHPNHPRVVLEKWNS >Et_1B_012471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32297674:32299579:1 gene:Et_1B_012471 transcript:Et_1B_012471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNPSTFSHNGAEAMNNGYFTAGGFGGGGGGGGVMSADVTPFHPSMLLEHFGFGLGGAPAATDMGAQFAASNVTLASFAGQHFAAAPTVAPPQSYRHGSSMPPDEEMDGGYGVAGAGDSCSTASMQCPGQPGTMAVWSSSSRTPYGSWNSADRSRTVTVCEPYLAGIPDAAGFHYPLAACGGGGVPRAPAKSELSLTLCSKSSSDSALNAAADQQCSSVASRSALTTELPYATPARFDEVVARSRYAAVAQEVLNDIVCRLLDGVAVADSGSGIDGGGETPLSWSVDAASVVSSNRLMASPEDFGSDAGARWGQARPVRSDLVKMLQMLDEKYNQCLDEVQSTTAKFNALMQQGSAGVGNARVCAPFAHRAVSAMYRGLRRRIEVMAAMPTCWGESSSSVTAGGQEQRSLEAALIQKHWAAQQLRRGEQQCWRPQRGLPERSVAVLKAWMFEHFLKPYPDDHAKDMLAARSGLTRSQVSNWFINARVRIWKPMIEEMYKDLKRSSAGEERGMEMEPQQSNMLSA >Et_7B_054554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:23147504:23157432:1 gene:Et_7B_054554 transcript:Et_7B_054554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKKKESKRGPASELAPPLLSVLIPFITHSLRRKRGGSTVLSRSSSAAASNAVTKGKQAASGDPHAAMASGSGGKRKRPPGKPSSSFSAAVKRRRRGGVSGQVVDDAVCISGEENEKEEERSSAAQPKLYGDDTILTPKKEEAALILSRACTSAIPVYIKTIRGHTQLMLLKKFSQRFLAPHLGSRGQINISAGNNRSKVRFSMNDGIFRISAGWCQFIKDNNIKKGHVCAFTFEEEEGGPFSVRARAILLAVRHDKHTATPRLGRADSVVATYGGMDDAPSGRIGGRGRDAARRIRSGGSGSQTISIHELNFNSMPGLQAKDKEQQRRTEAGCQALSFWENVVVKETASLHIQYSPLVHLVNEIIERQSIVFSLEALLDLHKLAVDHLVKLYELVNQLALRISLVYALKGSVYARVCTARADCNFYFVATPYYGDDTSLTPEQEQAAVLLSCGCASGIRTYICSIKQHDVTHCIFEFNEEFSERYLSPHLGSVKLATNVFTGDELTPVEVNFYMAKTQRATIGGGWIYVVRRCGIKNGDVCAFTFEKEEESTLLSVRRIAPLGVL >Et_1B_012087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28876922:28878820:1 gene:Et_1B_012087 transcript:Et_1B_012087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAKWSETAMLVIDMQKEFVHPAMSRLALSAGEAILPAVVEAVAVARERGVFVVWVVREHDPAGRDVELFRRHLYSGGQKGPATKGSKGAELADGLVMEEGEYKLVKTRFSSFFATPLDSVLKASGIKNLIVVGVQTPNCIRQTVFDAVALDYEKVTVITDATAAAKQEIHLANMRDMKNIGVETPTLEEWRR >Et_1A_006684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26377986:26382032:1 gene:Et_1A_006684 transcript:Et_1A_006684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRALLTPRHRGLRLSISAPSSRSISISNRNRASFRRGVGEPTKKRRGSISWTASSEATPAPADIGSALSKVAPLEAILFDIDGTLCDSDPIHFLAFRDLLQQLGFNDGVPITEEFYSAKISGGHNDDLARALFPDMDFEKAMKFMDDKEAFFRKLAAGKIQAVDGLHDLCRWIESRNLKRAAVTNAPRANAEFMLSQLGLTDFFPVLVIGSECARAKPFPDPYLKGLELIGASPEHTIIFEDSASGVRAGVAAGMPVVGLTTRNPEKMLSDAGASLLIKDFQDPKLLSVLEEIEPAAGKAKARVSTQQQQRFLTMSAATTADMPAGSSSSLTKLAPLEAVLFDIDGTLCDSDPIHFQAFVELLQQVGFNGGVPITEEFYSSTISGVHNENLAGRLFPDMDHGEAMKFMDDKEALFRKLAVGQLKALDGLHELCRWIEGRNLKRAAVTNAPRANAELVLSLLGLTEFFPVLVIGSECDRAKPFPDPYLKALQLIDASPEHTFIFEDSASGIRAGVAAGMPVVGLTTGNPEKVLKDAGASLLIKDFQDPKLLSVLEELEAAAQG >Et_10B_003594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3590144:3594175:-1 gene:Et_10B_003594 transcript:Et_10B_003594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKHSHLIGWTIVDAEDDASDAGMDDKFWREMLDLFFVRGATSNRREEDDLVFFVNNMKLHGYGFNDNMEDPPPFFVRRWAPVLDKVISSNTVDVDWERSFYLNLIAHTSYTVTVAICGIDELRHRAGKNKRVSPIYKVAKTVYASPSRVNFHLDRRKAVETVPAYPNICFSVDDFDDTFDAVVLSDPEHCYCVVLNAHDGAAFPEETEQSGVNSLSSQQRPPKRTLFSGYVSYQNVREAYDAGRSKFGGILSLGHDHTKPDRLYMRGPEGRGEVEVAVSGIADQSHEKSKKDPGDSFRVLVHRAASAASKLAKHAYESASANKRTDDELVPLKCCLMSVSLPWDYIAHDLLHKVRSFTSVSNNLKVVFTFSLHHNFPWLIYD >Et_4A_035566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29493463:29494785:1 gene:Et_4A_035566 transcript:Et_4A_035566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPHPAMPRVSRFRRLLVRVSASEKLAVDGGKERGDKDEKPPYGPQAPEVGSLGLDRMVLSFMEESAAAVERPPRGRCNCFNGSNQEESDDEEFDFLPSQHASAATAAAAGDALESLKGLVQSASVLERNLLADASRLAERCRKGCKGKAECRRAVADGLRALGYDAAVCKSRWDKAPTYPAGTSSSGTSVRKPKKKKKIPFAPGRRKVADRAFPAESICAAGEHEYIDAVVGADAVRLIVEVDFRSQFELARSTKAYRAAMQALPPLFVGTPERLGKIVAVVAEAARQSLKKKGLHFPPWRKPEYTRAKWLSPHSRVPVAATAAPTRVQAASFSGEFELVFDRKPSSVAAASSPESGDKITVVVSPWRPTEDAASKPKAKVVTGLAAVL >Et_1A_006423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22011961:22012317:-1 gene:Et_1A_006423 transcript:Et_1A_006423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVPRGYIARRRRTKMRSFASNFRGAHLRLNRMITQQVRRAFVSSHRDRGRQKRDFRRLWITRINAATRIYKELILNRKMLAQVAVLNPNNLYTISNKIKTIN >Et_4A_035473.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25943612:25944067:-1 gene:Et_4A_035473 transcript:Et_4A_035473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRPLAVVPAVVVVVMLSLSSCCCVATAAAARTPVARAAGGGGGEQPLHPVILIPGAGGNQLEARLTDEYSPSSLACRVWPLVRGRGGWFRLWFDPSVLLAPFTRCFADRMMLYYDAAVDDYRNAPGVETRVSDFGSTSTLRYLDPNLK >Et_1A_009428.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5207418:5207849:1 gene:Et_1A_009428 transcript:Et_1A_009428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSLAQAVAALVGTCARRLSRAARRLHLRPREGIASSFSSRAIVPFLGGGGVKKALASSSSSSKSRRRRRKSSAAADAEDEEEVWRKEIMMGERCQPLDFSGVIYYDAEGRRLAQPPPPRSPLRSPLPASLTLAANARGGGY >Et_3B_027646.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:1064291:1064443:-1 gene:Et_3B_027646 transcript:Et_3B_027646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDALAWVCMTREMNPPASSPRAWIEGSSSLSLSLSLSLSLSLSLYIQWC >Et_1A_004714.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:33473980:33474834:1 gene:Et_1A_004714 transcript:Et_1A_004714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCGNSPGLPPCNSSSSHPSSTWLRRHKRSLIIASSIVGAVLLLAAIAVCLLVACRRREGNPEFVVLEQGARLRFSFQAVVNATQHFSDSCCIGRGGSSSVYRAQLLSVGLVLAVKRIHVAGAGGGHRKRAFENEVQTLTLVRHRNIVKLIGFCTIGEYGYLLYNYLERGTLGKALHGKEGSSLLSWGSRSKVIKGLAHAVAYLHNDCNPAVVHGDITSSNILLDSEFEPHLSNFGTANKLGSLTRWTSVVGFHGYMAPGNNLTCPECSFLPLRHELSVNTQC >Et_9B_065832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14235772:14238177:-1 gene:Et_9B_065832 transcript:Et_9B_065832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIVLERNMQLHPRHFGPHLRDKLVSKLIKDVEGTCSGRHGFVVAITGVEDIGKGLIREGTGYVTFPVKYQCVVFRPFKGEILEAVVTMVNKVEPLASSPPVVMGFFAEAGPVQIFVSNHLIPDDMEFQSGDVPNYTTSDGSVKIQKESEVRLKIIGTRVDATEIFCIGTIKDDFLGVISDPGAAVFEDRVKV >Et_6A_045853.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:19944165:19946042:1 gene:Et_6A_045853 transcript:Et_6A_045853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVNPITGDQILLPPVTTIEQVSPIFDDAGSIHKYEYAWYTGKDMISDSPSIFVPSELRDYLFYKAFLSSDPSTGDYFVVLIHNPWSQLSFARAGDDKWTWLPPHSDYEDCFFEREFLYASTFSGEIYVFNLGGPALKWKIVLSKMKSYVYERIYIVQSPSGELLQIWRSGHTLGGDEGDESDSDFQPELDDDSYVHKTSSIIVHKVDISSKKLVKMCSFDEIVLFVGRNQSLCLRAKDYPQLKANHVYFTDDDCLYIQWYKNNQRDIGVFDLENNSNEEVVPSPQLWSNCPTPVWLIPNPRRMNSATHS >Et_8B_058751.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20685728:20685871:-1 gene:Et_8B_058751 transcript:Et_8B_058751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KNNKIFENKTPSSKLGRKASRITVDYKHIELRIVIEQPLLSWVDSVI >Et_1A_006993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:290448:295883:1 gene:Et_1A_006993 transcript:Et_1A_006993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASMGVVREVLGTHVIEEVDEPIIDYIANVLADEDFDFGFPDGHGIFDALGELLIDAGCVADQEHCLEVCSKLCEKLGKHGLVKPKQAVRSLVTPLRMNEGMDSDVAPKKQPDVFEGPLLSSRDKAKIERRKRKEERQREAQYQMHVAEMESLRAGMPPVYVNHTNDGGPAVRDIHMENFNVTVGGRDLIQEATITLAFGRHYGLVGRNGTGKTSFLRAMAMHAIDGIPKNCQILHVEQEVVGDDTTALQCVLNADVERVQLLQEEARLVQQQKDLEIEAEFGEESSKAKGDLDKDAISKRLEEIYKRLELIDADAAEARAASILAGLSFTPEMQRKRTKEFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLLKWPKTFIVVSHAREFLNTVVTDILHLHGRKLHAYKGDYDTFERTREEHLKNQQKAFETNEKARSHMQDFIDKFRYNAKRASLVQSRIKALERMEHVDAVVSDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPLLFKNLNFGIDLDSRIAMVGANGIGKSTILKLISGDLQPTSGTVFRSPKVRMAVFNQHHVDGLDLTVNPLLYMMRCYPGVPEQKLRAHLGSFGVSGSLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLLVFQGGVLMVSHDEHLITGSVDELWVVSEGKVTPFSGTFKEYKKMLTK >Et_10B_003445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19923042:19925668:1 gene:Et_10B_003445 transcript:Et_10B_003445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRVSPSPSAAAANQLAGAAYATPASVRVAAPRAGTACRAAAKGKEVLSGVVFQPFEELKGELSLVPQSPNQSLARHKFVEECEAAINEQINVEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEEREHAEKLMKYQNKRGGRVRLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHSVATRCNDPQLTDFVESEFLQEQVDAIKKISEYVAQLRRVGKGHGVWHFDQMLLEEA >Et_3A_026923.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:26625717:26626217:1 gene:Et_3A_026923 transcript:Et_3A_026923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQQPQEMPSSFEQPSPCAKGCGFFGSPATQNMCSVCFTKHLVATSQPAAATSTAAADVAVKTTGNAAVVAGEEEALTEAELRQKAWSERCRAARAENYWGNRCSQCSKKMTLVGRFKCRCGRTYCPTHRHSEAHACAYDYQRAGVISIIRNNPLVEGEKLRDRI >Et_7B_055176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8009811:8012301:1 gene:Et_7B_055176 transcript:Et_7B_055176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRNMEVRVLQLGLGLLLVLAAQHAPATAIPSPECQNKCGNVDIPYPFGIGRSCSLAEDFNISCQVQDGTWKPFLYDFELLGISLTDSTVRVKNSILSYCYNSSGLMEFDGFGYFNLNSTPYRFSDVLNKFTVIGCNTLGYISDSDGTGYTSGCVSTCTERSLSQVKEGTCSGIGCCQTTIPKGMDYYEVGFARGLNTSQIWRFSRCSYAMLIEAAAFNFSTAYIGTTKLNDTNFGGVPAVFDWAVRNDTVPCEVARRNESGNYACVSRNSECVDSRNGPGYVCNCSQGYEGNPYLPDGCKDVDECNQSPCPSGGICHNTIGGYRCSCRAGRKFSKQSNTCNPDTGLIIGVTTGFVALVIFFFSGSMILQKRKLNRVKQDYFSQHGGLILFEKMKSERGISFTVFTEAELIKATDNYDKSRVIGKGGNGTVYKGIVKNNMPIAIKRCSLIGERQKKEFGQEMLILSQINHKNIVKLVGCCLEVEVPMLVYEFIPNGTLYELIHGKNRALQISFSTLLRIAHEAAEGLNFLHSYASPPIIHGDVKTANILLDDNHMAKVSDFGASILAPSDEEQYVTMVQGTCGYLDPEYMQTCQLTDKSDVYSFGVILLEVLTGQVPLKLYGPETERSLSSHFLSAMKENNLDALLASHIRGQESSELIRGLAELAKQCLDMCGANRPTMKEVADELGRLRKLSLHPWVQVTDAESESLLDGASTTTGFEIVISTTRYPMQEGESMPMNPGSSYYAR >Et_3A_025803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33031677:33033068:1 gene:Et_3A_025803 transcript:Et_3A_025803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AENRGKEHRNSWTVHVHFHYPLVFVSLHRSISAAAAALAKGGGARQRAEQSARREIMSSNLVSSVSASPSAAAATARHRHDEGVKQLRVKSQRRLLGGSRGGHGRDRAVVARAGPGPLTEIEPDLREDPIDVYRTNGISPEDFEYGKYDGHHTYHEGHDKKKGFWEDVSEWYQEAEPPQGFQALISWAFPPAIILGMAFNVPGEYLYIGAGLWIIVFCVIEMRKPDKAHNFEPEIYLMERSARDKLISDYNAMDIWDFNEKYGELWDFTVNREDIVKS >Et_1A_008892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17528044:17530780:-1 gene:Et_1A_008892 transcript:Et_1A_008892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTSSRSNKSLGDLENSLVPGDEEPADQACINKYDVDDGWSNFDDSQCITLPPDEDPFLCLVNGPPCFTDDTEQEAKNPSSTPCNSDKAAALDSGQACMGVSRYTGIQICVDDDDDSLEFDKEIASKGEDISEEEGNSYLWQLTSARSPAHYWIGRNRYQYFKADPDELDKINLSKWTDIDDWKLKERYPNDILEENRFFVGYEENLDWIFHPEHIILSGLDDYQRLVPKLQEAAEFMMWKEYRLIFSDYASDKEYVEYCKEISKKLKWIKSYVHMPEGSLKKQFRDAFKEVHSGYMFPVSSDRLNRELSRDTEDDDSIEHQFNTYVASIPETEFGFPFQALRIDGYDRTIEISYLKNLPGPGGVEMLEKDQKKVQKQEGNQQRMSSTKNWKKMSTTVLGVVFVLMSLGIFVKYSLWP >Et_2A_016245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22498982:22499952:-1 gene:Et_2A_016245 transcript:Et_2A_016245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGSKKKKQSCHGLCSPPHSATCLCLYLLLSVTLLFAVAAVLLVVFVTRLKKPAFYLQSVQMDRSFSLSTSGTSNHSGGANGTTTCSVASLVFAAQNLNGIGIRYGATALGVSYANESVGAMDVPAFYQPPWSGNVTVITHAVSAQRNVTRLLIRELSAQRTYMEIRIVGSIDARTHIMNFPLPKVQFSLDCRIGTNYTDMVLHEGIKSLTTRKALVLSTLPHVSQKCSIKIDMRSRRKWSRLEDLVC >Et_10A_000134.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:1052062:1052259:-1 gene:Et_10A_000134 transcript:Et_10A_000134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEHGYTEFTEVKVVYKPRACNAVADRLAKFGCELEPGGIILWPDSNPAFVNCLVAADLQSASS >Et_1A_007257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32694314:32697334:-1 gene:Et_1A_007257 transcript:Et_1A_007257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADDVWCRETVPRVMELVSPRLPQRDACALLVVSTWCYRALVANPKLWEVLDLREMRNAGDRLISALSLERYRHLKLLNLEFAQDIEDWHFIHLKDMSAISLDNLELLNLNACQKITDKGIEAVTSLCPNLQGFSIYWINISDKGLRLIANNYQGLKKLNITRCVKLTDDGLQEVLQKCSSLESLNLYALSCFTDKVYKEIGSLTNLTFLDLCGAQNLTDDALACISRCGGLTYLNLTWCVRVTDIGVIAIAQGCRSLELLSLFGIVGVTDACLEALSKSCSCSLTTLDVNGCIGIKRRSRDDLLKLFPLLSCFKVHS >Et_6A_047913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23960980:23962997:-1 gene:Et_6A_047913 transcript:Et_6A_047913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSYSSIASVMSFCVRYKTLPSPITRPYMDLWLTDGCALCDVADLALMSGAQLFIREDLGLSDAEIEVLAGSINVFMLVSILAAGWAADRLGRRGTLVLANAFLTAGALAMSLGGSYAALVAARFVTSVGSGFSIVVTSVYNAEISPPSMRGFLSSFLDMFISIGLLLSYVSNYAFAGMPVHLGWRVMYAAGVLPPLLLAAGVLAMPESPRWLAMRGRLDDARAVLLRTSDNAAEADLRLEEIKRAVDEEPTKKSTGAGNDGGAAVWNELLVHPTASVRRALVCVVGIHFFQQASGIDAIVLYTPLVLRNAGMASNDAILGATVAVGVSKTCFVLVATLLSDRLGRRPLLLASIAGVAVSMASLGVTLSLNTKSAASVAACVASVLAFMAAFSVGFGPMTGTYTAEIMPLRLRAQGASLGSAVNRVTCGLVSMTFISLASWITMPGCFFLYAVVAATAFVFVYKRFPETKGRSLEDMDVLFAK >Et_2A_015117.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:30864562:30864636:1 gene:Et_2A_015117 transcript:Et_2A_015117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRCPRPAGELSGRRGWQHPSSC >Et_7A_052336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:778798:781758:-1 gene:Et_7A_052336 transcript:Et_7A_052336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPLITVSDGRLTVRGRTVLTGVPDNVTVAHASGAGLVEGAFIGADAGEAKSIHVFTLGTLRECRFLCLFRFKLWWMTQRMGVSGRDVPLETQFMLIEVPPSTGAGDDDDSNTKPLYVVLLPLLEGPFRSALQGTDSNDALQVCVESGDKAVEAARWERMVYVHASGGDTTSPFDAVAGAVKAVEKQMGGGQEAGFRHRERKRLPSFVDWFGWCTWDAFYTDVTAGDVTRGVESLADGGAPPRFLIIDDGWQQIASDDVEKDGDGTQVKVQEGAQFASRLTGIKENAKFQHHHGEEGDNEKEQEPDGLKRLVSETKLLHGVKQVYVWHAMAGYWGGVSPTSPATAKYSPSRSYPLQSPGVTGNQPDIVADSLSVLGLGLVPPERAHAFYADLHAYLSACGVDGVKVDVQAILETVGAGHGGRVAVTRAYHRALEASVARSFPDNGCVSCMCHSTDMLYSARQTAVVRASDDFYPRDPASHTVHVAAVAYNSVFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHDFELLRKLVLPDGSVLRAQLPGRPTRDCLFSDPARDGKSLLKIWNLNKCGGVVGAFNCQGAGWCRVAKKTRVHDDKPGTLTAAVRADDVDAIRRVASDGWDGEAVVYAHRSGELVRLPRGAALPVTLGPLEYELFHVCPVRRTLAGGDGVSFAPVGLLDMFNAGGAVEECAVKMEDSATSVSLRVRGCGRFGAYCSVKPARCLLDAAEVQFGYDAATGLVAVDLPVPEKELYRWTLEIVVA >Et_5B_044796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:602959:607404:1 gene:Et_5B_044796 transcript:Et_5B_044796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCVQWTHLTGTHAFPGKQEATASGSGRRNLGSVRAPGVGTPRGQLPADLANVGPAPVNSWLRIARRALTRTRPAAPVAAHRVHPKTGPLCKSRNSAGVWLHENARPNGQIFFPFLAAPTPLVGSCRYGMELAGAASAQPPESHVEPPRPPPQPPEKHKDDRDAQQWELSSLSSAADTKACLVACNRVAILAAAQDAWVPLAVHISSDASKERDETAEPDSSKGTRDMMTTEEEPSTDQETELGQTNSSSLNSSSECENLTPSNDEMTGSESNSEAAKTAGAGLSREKVLKKPDKILPCPRCNSMDTKFCYYNNYNIKQPRHFCKSCQRYWTAGGSMRNIPVGAGRRKSKSSSSNCRSILIPGSSLAPPVGEASLYPLSIDGNQAAVKFGPDSPLCNSMVSVLKIGEQSKNANPASTAQPRNGETQTCTPSTAASDSPQSEPLKGAARGPQNGIVGQCNGITSMHPIPCFPGPPFVYPWSPAWNGIPAMAAPVCPAPAEAANSSEHSNTSNVQWNVPPMVPVMPPGFCGPPIPFPVMPPSVWPFITPWPNGGWSPPWLGPSASLPASSPTSSSTCSDGGSPVLGKHSRDSRSQGDEKAERCLWIPKTLRIDDPNEAAKSSIWTTLGIEPGERGMFRPFQSKPESREQISGATRVLQANPAAMSRSQSFQENT >Et_7A_053067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:649927:652878:-1 gene:Et_7A_053067 transcript:Et_7A_053067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGKLEVLLIGAKGLEDTDFLNNMDPYVILTCRSQDQKSSVAKGAGSEPEWNETFVFTVSDDTPQLIVKIMDSDALTDDDFVGEATIPLEPVLQEGSLPPTVHRVVKDEKYCGEIKLALTFTPAQETCRHDKEEGAYNSWNKDGYVSDVWRFYAIFPVRTSKLCHGRFKVLTKGSCHQAAAETPVIFGSKSS >Et_3B_028540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16652314:16654464:1 gene:Et_3B_028540 transcript:Et_3B_028540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVPLDSYLVSFLSHLLVAVSCFVIVRSFLSGLRISGTRPLPPSPPALPIIGNLHQLVHGHRHRTLQALAKRHGPLFLLHLGSVPTIVVSSASMAEEVLKTQDHAFCSRPQQFTARGILYDCQDVGFCPYGERWRKLRRIAVDQLLSTPRVNSFRRIREEEIASLIDQVRAASARDNNNNNNKRQGVNITKHILGLTYSVVSRAAFGNKLGGMAPQVMHEMFKEVFDLLQTIAVSDMFPRLGWVDRATGLDARTKRMASKLDGLLETALREHEKCWQDNDEDGDLLDDLLSVLKEDKDSSGFKLDRTDAKGLIADMFIAGTDTTFKLMEWAMAELVKNPKEMEKIQAEVRQIARAQGRILEEQLDSMSLLRAALKEALRLHPPAPLLIPHEVVQDTKLQGYDIPAKTRVIINAWAIGRDNELWESADEFRPERFMQSSFEYTVNDFRFIPFGAGRRGCPGVAFGTRLAELTLANMLYHFDWMLPDGQDLESFQVTESSGFSPGLNHALTLVATPLKQET >Et_4A_035361.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21584135:21584674:-1 gene:Et_4A_035361 transcript:Et_4A_035361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLVGLPRRRAARGEHKLGKMLSGQARASWGGRGVQGGDLAGRSCDVAELLRPGAEAVARERKAVAAALAGTTPRRLGRQRQRGTRRWAWRAIMEGHTRYLLYALFSSICPRSLIKRDGGSIRRITHIGAWALFLDSGGNMHWFAPGEDRPCAFLDVVTPPWACRLLPGLHIRPLRLW >Et_8A_058073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17737106:17739026:1 gene:Et_8A_058073 transcript:Et_8A_058073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVLQHGPQELPQLTAAAPAVSLLLVCPVVVLLLVLRHSSSTATTRAREQLLSILPSPPRKLPIIGHLHLLGSLQHISLRDLAGKHGRDGLMLLRLGAMPTLVVSSPSAAQAVLRTYDHVFASKPSSFITDILFYGSTDIVFSPYGEHWRQVKKIATTHLLTNKKVKSYCHSREQEVRLVVAKIGEAVAAGTAIDLSDLLNSFANDIVCHAVSGKFFREEGRNKLFRELVDTNSRLIGAFNPVEYFPILGWSDKIKKILCAKAHKVHRGWDDLLDKLIDDHASKPASQVDGEENEYKLTRDHIKAQLVVMFEAGTDTVFLVLEYAMAELMRNPSSMNKLQADLRTAIPQRKGIITEDDLNGMHYLKAVIKETIRLHPPAPLLMRLSMADCDIGGYTIPSGTRTVINNWALARDPSYWECAEDFMPERFMEGGSAAAMDYMGNDFLYLPFGSGRRRCPGKNFAMPTIEVMLANLVYHFNWELPPELAERGIDMTESFGVTLHRTEKLLLIPVPPQH >Et_1B_013909.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:23467957:23468166:1 gene:Et_1B_013909 transcript:Et_1B_013909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDEVASVGDDSSGEAVVEGRPAFPVVVCTAEGATVRLLFEHQTHCKVWKASIEGMLSEQRLKRANSS >Et_6B_050168.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:8382608:8385088:1 gene:Et_6B_050168 transcript:Et_6B_050168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDAAAAAEEPRRRLTARSYVRGKIVRALSCLRCRCCGGAEQCHDSSGMPLFQDIAGIDDVGGRKLAGAGGSPRIFSYSELYIGTNGFSDKEVLGSGGFGRVYRAVLPSDGTTVAVKCVASRGDRFEKSFLAELAAVARLRHRNLVRLRGWCVQGEDEEEEELLLVYDYMPNRSLDRLLFAKAPVLSWDRRRRIVTGLAAALFYLHEQLDTQIIHRDVKTSNVMLDSEYNARLGDFGLARWLEHAVCADDQGLEVSPSPPSARLSSSGSANYQFRLMDTSRIGGTIGYLPPESFQRRSTGTAKSDVFSFGIVLLEVATGRRAVDLTYPDDQIFMLDWVRRLSDEGKLLNAADGKLPDGTTYALFDIGRLIHIGLLCALHDPRARPTMKWVVENLSDSCSGDLPPLPSFLALPRYISLTSSSDSGTTTITTESTATSLSKPVYATAAGDTIYLTAEDGRPSSRSADSGANNSGNSQRSSRPMAMPNVDMPREISYTEIVAITNDFSESQVVAELDFGTGYEGFLDTGHGRVHVLVKRLGMKTCPALRVRFARELCNLAKLRHRNLVQLRGWCTEQGEMLVVYDYSPGSLLSHHLVRRDDAAVLPWRQRYKIVKALASAVLYLHEEWDEQVIHRNITPSAVFLDPDMNPRLGSFALAEFLSRNEHHGGHHVVVSTSSARGIFGYMSPEYMETGNATTMADVYSFGVVMLEVVTGTMAVDARLPEVLLVRKVQLSQEQNRPVEALADRRLEGKFDVTELVRLARLGIACTRSDPAARPSMRKIVSILDGNDEVLDKFEQTKESAEDWHKRNAASLSLVRRFKAFGIH >Et_4B_036275.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:14227959:14228330:1 gene:Et_4B_036275 transcript:Et_4B_036275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDSAQRPSTSDAAPTRTTSGATALLHGFSSSAGFWYRGASRTARHCSPNTSSKTPPASSAVKPLNRPTTSSSNAPLRGPSRMPSVFNYQVTLRCTTSGQSIVPRECLTNDTTTVSFNFAAG >Et_1B_012298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30642162:30644754:1 gene:Et_1B_012298 transcript:Et_1B_012298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLLLPARPSPPPPAAMLIRPALSACASAYHLRRPLLGSFVQLSCRGGESARRPLAVSASSSSSSGPLYPTPGPTEQEVQQVKLEQVIKRLDKTARYFKNLGTLGFWSQLVCTVVSAGILSFSTVVTGKVTAPLTFYATAAGIAAAFISVFWSFGYIRLSERLRKTAKDPEKAPPRADVVKSLKNGIVVNVIGMAAAVLGMQATVGALVAKALTTSAVPIYQAVPGQSPVLALDVFLVQASANTILSHFLGLASSLELLRSVSLPPAEPAPAPARA >Et_8B_059490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18415777:18418050:-1 gene:Et_8B_059490 transcript:Et_8B_059490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERETPEDAAPATFLRREEGASPDSARARFERMIRRVQAEVCAALQAIEGGGVQFREDAWTRPGGGGGISRVLQGGSVFEKAAVNVSVVYGVMPPEAYRAARPDAATAAGREGDKAGSVIHPVNPFVPTMHFNYRYFETEILLVPLDSGGLEVVQKQVCDKFDPSFYPKFKKWCDDYFYIKHRGERRGVGGIFFDDLNDYDHETLLHFARDCADSVLPAYIPIIERRKDTPFTEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHKPEEGTEEWKLLDTVIFLSELTLFKTCKIKEANIGIGSQ >Et_7A_052280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6439766:6441046:-1 gene:Et_7A_052280 transcript:Et_7A_052280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYIHEVIVMDNFEEELFAISELKFEFLEVAEDMDIYAKLPRLTLKEKFYHNFELDHVASLCMQLEERISRPFREDQCDSKDDDPPLWGQVFCHRLPAMNRTTWSPPPQGWIKLNFHGIGCSKSLPASAGGIFHNDKGEVLSYYAAPVGDVDQIVASSMALEFGLGHMIELHEPVYKLIIEGDNVTVIRWCNLITHPPERAKDSFMHSLWCMDLRPCKAETAEDCNGEEGKNEDVGSKDIHEDDDSKDEDEDDCASQGASSGFVIPPGWASREYIAWRVEEEANQVAIGLASLGRFLPEHRLHLSTVCECGHGMDMKDKPDITW >Et_10A_000092.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22807040:22807532:1 gene:Et_10A_000092 transcript:Et_10A_000092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKKTLLVAFAVVVALLATEASEAAITCGQVGSAIAPCIGYATGRGTLTQGCCNGVRSLNSAARSSADRQAACRCLKSLAGSVRNLNMGTVAGIPGKCGVSVPFPLSLSTDCNKYVLQSSSYSHLACHIHATLI >Et_9A_063560.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:7463612:7464994:1 gene:Et_9A_063560 transcript:Et_9A_063560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPFSSYHLTGALRREPDPAAALRLFLSPPTDAAPSAPFRYSRRCYDIIISKLAAARLFPAMEAILSRLPAAGPHLRPKEQLLCRVITSYGRARLPAAARRAFAHPAFPAPRTVRALNVLLHALLVCRTPLIELLSICRDTGIPPDACTYNILIRAAAASSSLEHARHLFDEMLHRRIAPTVVTFGTIVAALCEAGKLEEAFEVKDTMVQRFNLMPNAHVYTSLMKGLCEKGDVDAAVRLKEEIAGNADVVLDSAVYATLVRALFRVRRKSEVVGLLEEMKGRGIVADTVVHNAMIAGFCEDEKDPSAAFAVLEDMKKCGCKVDVVSYNTLLAGLCKLGRWRAASELVEDMPRRGCSPDVVAYRILFDAMCAAGEFREADQVLDEMVFKGFAPSKNGAQEFVQGIEREGDAALLESVLCRLAKVNALESSGWEEAVGGVLNDLADLRTGNQLDSLRIA >Et_3A_024048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16701629:16712753:1 gene:Et_3A_024048 transcript:Et_3A_024048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGGRGRKIGSSSGQQKWSAKPHPQTFWINKHVLVTTYLLMAVTGLGYLALTWSTVVLLGGFVSSLQREDFWCLTLISMMQAARIFNDLGEHLIPNFVNIVTTLFCHNIALGRRTFRDVTHTFRQRRFLAVVTSRYTWSKVASLLFTGTIALPFWTLCHVPAFLYGYGPVACIVLASYRIVKHDYGSTDGDASKSNLMPALVMFYVLILCQGALYLAWLFLDYVGVRTFIYDFHDDCKLPNKGWCRMSLVDYLLDTRARCWRDPASIRGRTVLDFAVDLLDSGSWEDNFSGARLLDAFIRQGVDVRSLLLPSRPKIQKMIDTLGWRRGPTAGATARETKEVAARIMAHLASDIHLAQFPGAIQCISSLLQDEISQMYWNSNQQQGESHIQTQSPPEKNAMLGLFQSLRKWSMENVVDNQGDDVHNELTMQGLTILERLASNHQNCDDICKAPGLLSKITAPLYTTTLIHDIEVSSAWADVVNGSFKVIHQLIRGNGNACRSLSQEISSNKQALTNLDGILYQGNRASQELQMGAMAILTRLAKDRTVDLAMEIKEGLIKKQLQIFLDYEEEEEPDIVLKPFKALAGRTLAFLSSNSVTNSAIIMGASDGTVGRLTKMLHAQNNITYRIIAGKILENLCTHCKSDEEMDKIQETLLPLVLRELLFGTKKSHQSNSAQGEPEPGKDAEHQGNSGDEENQNNSVQGDENAIQDSSSLQDQLSDGGGNEEETDAKELQEAVLSLALVICDKLSAEDFHNAVKKNTQEHVFVAKLKTIVDKNCQATANSLRIVKLCGQIAVLIMRCNQYTAHFKDQEFMKSFSEASKIMSNLESCMLFAGKSARPLLADLEKKALELVAT >Et_3A_026404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7756110:7759457:-1 gene:Et_3A_026404 transcript:Et_3A_026404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTCGGMTRRPGVPIIASLVPLLLIFLVSSPAAFADAKHGTRQTPFRAKEELLAYRRIMDRMARMEKACNKTIQSPDGDVIHCVPAHLQPAFDHPRLRGQKPEEEPAERPKVKGGGTDVEDVDDTVFPQAWSDGGESCPEGTVPVRRTTARDVRRAASALRFGMKPRASNLRRDSTSSGHEHAVGYVTGDQFYGAKASLNVWSAKVASPAEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDSNPRFFTYWTTDAYQETGCYNLHCSGFVQTNNRIAIGAAISPTSVFNGRQYDISLLIWKDPRRGHWWLQLGSGPLVGYWPSFLFSHLGGHANMVQFGGEVVNSRPSGSHTPTQMGSGHFPREGVNRAAYFRNVQVVDWDNSLVPAAALRLVADHPGCYDIRGGYNRAWGNYFYYGGPGRNVHCP >Et_4A_035299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18094336:18098085:-1 gene:Et_4A_035299 transcript:Et_4A_035299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDYEADMGFDLCLLVPVLLSYEDEPPEPDMEDGAEEELENNNEDAHEDVVGAEGEETEQEKTERTRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLETFFDRYEDWGVDELIVEDSWKRQKT >Et_2B_022068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8692192:8696691:1 gene:Et_2B_022068 transcript:Et_2B_022068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDSPSAAAAVPVLSGAKSAARTVAQHRHSVVGFLMGFFLVLLLYTTVSSQFGPRAAIGLLQSTAAERTDQNTRNPLTSPSSTPSNLTGGDVHVVGNAMKQGIAHKTDADNKGEDEHIQHDVKKNKTEAKSGDEQGKTTVKASQTVNEASDRMEEELIRQEIDQGAVKNDTNTKPAGAPRKPICDLSDPRYDICEITGDARTMGANRTVLYVPPAGELGGDIQEWSIRDQSRKHLEYINNVTVVSLNSSHAAPACTSRHAVPAVVFAMNGLTSNPWHDFSDVLIPLFLTARAYDGEVQLLVSDLQPWFVEKYRLVLSNLSRYDVVHFNADAGVRCYPHVTVGLRSHRDLGIDPARAPRNYTLLDFRLYIREVYSLPPAGVDIPYKVTAGAGGEQRKPRLMLINRGRTRKFVNFPEIAAAARDGGFEVLPVEPRRDLGVEEFARTVDSCDVLMGAHGAGLTNFFFLRTNAVMLQVVPWGHMEGSAMRFYGGPAIDMGLRDVEYSITAEESTLYDKYGKDDPVVSDPDSIHRKGWQFGMRYYWIEQDIRLNVTRFAPKLQESHAMARELYYWSLHRYLSRRSNRQRVVGRGHHQQRVVLPQKKQRDVGRSYHE >Et_1A_005893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15233653:15235915:1 gene:Et_1A_005893 transcript:Et_1A_005893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLSAASRRSAPSPIQQLSHLAQRVGAVNLAEGFPDFPAPAHVKAAAAAAIAADHNQYSHVQGICDILAETMKRDHGIDVDPLTDFVICCGQSEAFAAAIFAIIDPGDEVLLFDPAYETYETCIELARGVPVYVPLDPPSWTLNEDKFIKSFTSRTKAVVLNSPHNPTGKVFSKEELLIIAEACQKMDCFAITDEVYEYITYDENKHISLASLPGMQERSIITSSLSKTYSVTGWRVGWACAPTTIASAIRNIHVKLTDSAPAPFQEAALIALTSTSDYYTSLKKDYKMRRDFILQLLKDIGFHISFKPQGSVFVFAELPRSCQLSDIDFVMKLINDAGVAAVPGRGFFHRSFDGESYHHQYVRFAFCKGDDTLKAAALKMRNMADSNGRVWLNGRQDPSASTSP >Et_2A_017137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31281454:31283074:1 gene:Et_2A_017137 transcript:Et_2A_017137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLMEVRYLAAGPEQRVAVKGRRGGCVSFIARASSNSGSKVSIPKQWYNLVADLPVKPPPPLHPQTHQPLSPGDLSPLFPEELIRQEVTEERFVDIPEEVLDVYSLWRPTPLIRARRLEKLLGTPAKIYYKYEGTSPAGSHKPNTAVPQAWYNAAAGVKNVVTETGAGQWGSALSFASSLFGLSCEVWQVRASFDQKPYRRLMMETWGARVHASPSPATEAGRRILEADPASPGSLGIAISEAVEVAAGDADTKYCLGSVLNHVLLHQTVIGEECLEQLAAVGETPDVVIGCTGGGSNFGGLAFPFMREKMRGTMSPEFRAVEPAACPTLTKGVYAYDFGDTAGLTPLMKMHTLGHGFVPDPIHAGGLRYHGMAPLISHVYELGFMDAISIQQTECFQAALQFARTEGIIPAPEPTHAIAAAIREALECKKTGEEKVILMAMCGHGHFDLAAYEKYLRGDMVDLAHSAEKLEASLADVPKI >Et_1B_012312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30861399:30864216:1 gene:Et_1B_012312 transcript:Et_1B_012312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPHLVIDLNEAPSPPPYDTPPEDAPSPPREAAPAAHAPPPPQPPPPVPALPTAPASSSQQLRRQQEALEMACQHHPVPDWSTAPFGAMGSVATGLLLGLPLPLPPLREPAEFPGEAGWGHPQLPCASCGRPEVMGNTIVCDSCDRGFHPACVRVWPPLVFTPPPPPGPPGARRPRPVANEDWICPECEMRGARSTLWKLGPVPLDINAAPPEEPPVISRQSLELYLTHIVAIVACLIIEFLNEGEAIIVYYVGSVDSKATIIDYIMVFKVTILLTIFV >Et_9A_061091.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:15316214:15316282:1 gene:Et_9A_061091 transcript:Et_9A_061091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLYHCVAGRSPSRMNLLWLF >Et_1A_005699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12958963:12960876:-1 gene:Et_1A_005699 transcript:Et_1A_005699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHFSWFPQSVYKLYHLQIMKFDDPQLAVPVKEEMERFCNLVNLRHLQLSYGILPIIPYVGKLTSLHELYGFCIQQLSGYTIGELKNLKNICHLHISGLEKVKGAEEAAEIMLNRKDNMSAIILSWSPGSSDSCDPSKAEQLLNELEPHSNSCKLKIQGYPGSLSPRWLQSDKLINLTYLYLCDFERLQHLPPIGQLPSLQYLYIVNMKSVEHVDSSFYGSEKPYGLQSLKVLEIEGMPSCTEWVGLEDENLFPQLDTLVVRNCKQLRKLPSLPISIRHVEIHHAGFQIMPPFFVGPNTRPIRLPHTVRSVTLGLCGDAEPPLLGSLHGLKYLKRLFLDGCALLSLPQEAFTCLVGLTDMMFVSCSMTSLPSAESFTRMINLENLAIWDCKELVSLNGIQGLSTLSLLTISGCDGLVQDMSVQSMESAHLSECAIKLGELDIDHPSVLLKNPLRSITTVKKLRISGGPQLTLLPEGWLLRNCQTLEEIVVSNASHLQCLPQNMASMTSLQSLQISHANMLQALPNMPASLSKLHMDNCHSELKKRCKKNVGNDWDKIAHVGDVDIC >Et_3A_025064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26506109:26509195:-1 gene:Et_3A_025064 transcript:Et_3A_025064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLVSKAAATSPAHWGVPSRGAKGWSRVGFGPAPAAKTLVLCAGNSSATPVTNISYSSLCMCTSEERVDRSEILTLDSIRSSLIRQEDSIIFSLLERAQFRYNANTYDSSAFPMDGFEGSLAEYIVKETERLHAQVGRYKSPDEHPFFPEDLPEPLLPPIQYPNVLHPVADSININKEIWKMYFDELLPRLVKEGSDGNYGSTAVCDTFCLQALSKRIHYGKFVAEAKFQESPEAYMPAIIAQDRDQLMHLLTYETVERAIENRVEAKAKIFGQEVNFGAEDNGDDPPVYKIRPSLVAELYSYRIMPLTKEVQVAYLLRRLD >Et_5A_041691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2601462:2603193:-1 gene:Et_5A_041691 transcript:Et_5A_041691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SQHPYQLKSSKGAPFVPRSIRIFVIALCGFYVCYLSFNQISLENKGEMKSGEQQRENICRQPYLPNEELRYVHFPKPTSYSRGECACTPVRFFVIVSMQRSGSGWFETLLNSHPNISSNGEIFNKIDRRENISSILQTLDKLYNLDWLTSAAKNECTAAFGLKWMLNQGMLDNRADIVSYLNKKGVSVLFLFRRNILRRLVSVLANDYDRDAKQLNGTHKSHVHSKEEAEILAKFRPELDTSNLIINIRNIEKTIRGGLDYFNSTRHMILYYEDIIGNSNALSQVQGFLGVPVRKLISRQVKIHTRPLPNLVKNWEEVSSKLKGTDYARFLDDADYVK >Et_2A_017377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33760868:33764759:1 gene:Et_2A_017377 transcript:Et_2A_017377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRGLLPRQQSMVAAVAVVCLVLVSRAAAQLSQSYYATTCPNVESLVRGAVTQKLQETFNAAPGTLRLFFHDCFVRGCDASVLLSGPDDEHSAGADTTLSPDALDLITRAKAAVDADPQCTNKVSCADILALATRDVVSQTGGPSYQVELGRLDGKVGTRAIVKHSLPGAGFDLNQLNKLFAANGLTQTDMIALSGGHTIGVTHCDKFMRQTCPLNYSPSAFAMLDAVTPRKFDNGYYQTLQQMKGLLASDQVLFADRRSRATVNYFAANQTAFFDAFVAAMAKLGRIGVKTGADGEIRRVCTKVN >Et_1A_007989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:457109:461257:1 gene:Et_1A_007989 transcript:Et_1A_007989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPVALPNELDGISHSARVQLSRDISCNIEKEVLASLANGQDSRASGTNPGFRVGEIRLPNGDIYSGALLGNTPEGSGRYIWSDGCIYEGEWRRGMRHGQGKTVWPSRATYEGEYSGGYIYGEGTYTGADNIIYKGRWKLNRKHGLGCQTYPNGDIFEGSWIQGEIEGHGKYTWANGNTYVGNMKNGKMSGKGTLTWKNGDSYEGNWLDGMMHGYGIYTWNECGYYIGTWTRGLKDGKGTFYPKGCRVPVNDELYINSLRSRGVLPDIRRQNHGSRILHSSSVDMGNMKVGMTRQSSDASSKRNSSDQPPSKNVSLERRWSLEVAIEKFIGHESSESSGLESCENLDDSRLPILEREYMQGILISEVVLDRSFSDSSKKSKRRQKKIVRDTKKPGETIIKGHRSYDLMLSLQLGIRASFWMNFPKEGSRLTPSHPAEDFKWKDYCPMVFRNLREMFKIDAADYMISICGNSALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPNYYHHVRTYENTLITKFFGLHRVKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIEIDENTTLKDLDLNYSFYLEPSWREALLKQIETDSEFLRTQRIMDYSLLLGVHYRAPQHLRTRASYRRSMAADRLTVLSEEDAQEDDAFNYPEGLVLVESSGENSVVVGPHIRGSRLRSSAAGFGEVDLLLPGTARLQIQLGVNMPARAEQIPKEDQSKPFHEVYDVVLYLGIIDILQEYNMTKKIEHAVKSMQYDSVSISAVDPQFYSERFLKFIQTVFPENS >Et_4A_034109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2998818:3000842:1 gene:Et_4A_034109 transcript:Et_4A_034109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQQQAADTDTSSKQSPSCCVVHGPIIVGAGPSGLAVAATLRRHGVPFTVLERSDGIADLWTNRTYDRLRLHLPKVFCELPHVGFPPDFPTYPTKHDFLGYLRSYAARFAVSPLFGRAVTRARFDAAASLWRVTAVSAADGGGGVATEYVSPWLVVASGENAEVVVPKVKGRERFEGEVLHSSEYRSGERFKGKRVLVVGCGNSGMEMCLDLCEHGATPFMSVRSGVHVLPREMFGSSTFGIAMKLLKWLPVKLVDRFLLLVAKMVLGDTEKYGLKRPKLGPLEIKNITGKSPVLDVGAWSLIKSGSIKIVPEVESFSCSGARFVDGNEMAFDAVIFATGYRSNVPSWLKDGEMFTDEGKPKTQHPSNWRGPNGLYCVGFSGRGLLGAGADALRAAADIAGSWQEVAAAAAAAAGAKISSV >Et_7B_054027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14315854:14331451:-1 gene:Et_7B_054027 transcript:Et_7B_054027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LAVGFDINVLREEARTRWLRPAEVYYILQNHERFPITPEPPKKPPSGSLFLYNRRVNRYFRRDGHAWRRKKDGRTVGEAHERLKVGNVDALSCYYAHGEQNPCFQRRCFWMLEPAYEHIVLVQYREVAEGRNYSPQPSNVPSESLSSLSYPNAIYGNQYRNSASGISEGSESHQSYSNLSSLTEVTSYSVNKESNGDGGTLLSIPELGQTFPEQYTEVYRADNDNTANKSGLNVALKKIVEQLSLGDDDEDDYIYSDHAQPLGYAKNVEAAGDNQLKQIRPEGIQNGLDRNIAPSWEDVLHCSSGLPTTSVYQSDFQHQQNLEYQQQGGLDSSDLRIRLSAAKRFLLGPEDSIDSPSSDFVLRNIGNNGTDTLLAHDGRHAPNSDWKTKTPLAFQCNLQGSELTELFDHGQFEPYPRADTRLSLGQKQLFNIREISPEWAFSYEITKIIITGDFVCDPLNSCWAVMFGDSEVPAEIVQPGVLRCHTPLHSSGKLTLCITSGNREVCSEVKNFEFRAKPTACSFTDPAPSSRSLKSNEELLLLAKFTRMLLREDGSSDASGDDSQSEHCPKLKMNEEHWEQLIHELGLGCKNAVATVDWIMEELLKSKLQQWLSAKLQVSDEVQQCHLSKHDQGIIHLISALGFEWALSSVLSAGVGINFRDSNGWTALHWAAYFGREKMVATLLAAGASATAVTDPTAQDPVGKTAAFLASERGHMGLAGYLSEVSLTSHLASLTIEESDISKGSAVVEAQKAVESISRRSAEWNGGTEDELSMKDSLAAVRNAAQAAARIQNAFRAFSFRKRQQKTARLRDEYGMTQEDIDELAAAQRSYHQTHASSGQFYDKAAVSIQKKYKGWKGRKTFLNMRRNAVKIQAHVRGHQVRKKYKTFVSTVSVLEKVILRWRRKGQGLRGFRAEQPAMIDTEEEEEEDDEFDDDEATKIFRRQKVDEALKEAVSRVLSMVDSPEARMQYRRMLEEFRQATAESGGSEVTSIFNKDWEFISDESLNYISRMLEEDIDEKVSMYQAESSALSATAKPFYDILGHKFPPSPDHQPIPWSLESPTESGSSNHAQSLTSTFTPNSIGVGVLEDPLITNARIPEYLFESLPTWDFRRGVEEAQKFLPGSDKLVTDLEAVGVARPQETWKDVSFGARKAEVLNSKKNRQSEDLDLLEGRNIKQSAFCSDEPDWIEMFDHLLRQTEKKATVLREMMRSEASKNAQVTQTQTKPSGPRSRGRKPTKRDVVDLRTILIHCAQAVAADDRQTANELLKQIRQHSKPNGDGTQRLAHCFADGLEARLAGTGSQLYHKLVAKRTTASDMLKAYHLYLAACPFKRLSHFLSNQTILSMTKNATKVHIIDFGIYFGFQWPCLIRRLSKREGGPPVLRITGIDVPQPGFRPTERIEETGQRLAEYARKFDVPFEYQGIASKWETIRVEDLKVSKDEVVIVNCLYRFRNLIDETVAVDSPRNRVLNTIRQVNPAIFIHGIVNGSYSVPFFITRFREALFHFSALFDMLETTVPRDDPQRALIERDLFGREALNVIACEGSDRVERPETYKQWQVRNLRAGFAQAPLNHEIVMKAKDKVKDIYHKDFVIDEDSGWLLQGWKGRKQLFNILRSLQNVHSPMRSPGVNYDVLSEELLLLAKFTRMLLCDNGSSDASGDDPQSEHCPKLKMHGEHWEQLIDELSSHS >Et_10A_001540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4795317:4798063:-1 gene:Et_10A_001540 transcript:Et_10A_001540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWFLFLVPLFCLPASIRSEDYSDVTIIVRGSETIASTSNEFVCATMDWWPPEKCNYDQCPWGQASVLNLDLTNPLLAKAIQAFSPLRVRVGGSLQDQVVYGTPNLGSPCNPFTKVSGGLFGFSQGCITMERWDAINDLFMNAGAVVTFGLNALQGRQQIRKGVWGGAWNSSNAREFMEYTVSRNYPIDSWEFGNELSGSGIGASVGVEQYGKDIIELQSIINQLYGDSTKPLVVAPGGFYDQKWFAQLLEVSGPNVLNAMTHHIYNLGAGNDPQVANRILNPQYLSRVSDTFRSLQLTIQRHGPWSAPWVGEAGGAYNSGSRQVSNTFLNSFWYLDQLGQSANALLWHRLMGTGVLSIDISGSSSLRAYAHCGKQKGGVALLLLNLHRSMGFMVSVRNDLNVDLADGQGIRRDSAFVHGLKRTVSWVGSKASDGLEKREEYHLSAKDGNPFARTMLLNGAPLELTEDGDIPQLNPVQVSVNSPIYVAPLTIAFIVFPDFEAEGCSR >Et_2A_016021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20277397:20277886:1 gene:Et_2A_016021 transcript:Et_2A_016021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSEPNDLCRAHHRVLPGDGVPGDALLHPWRSEYRCWPQKSSPHRHRNPVSPTRFRQLEHRFTDVLAATGGGDRSTAAAGEAGSTGASTSACGLGCDAADEDGEEVGDDGDGIDDDVASRKQLWHRFCVAGFPGNPQLLAQSVISGARSSVGSSFLSR >Et_1A_006038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1698765:1702636:-1 gene:Et_1A_006038 transcript:Et_1A_006038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTGREMQGGGGGGGQDDFFDQMLSTLPTAWSELGSGKSPWELPTGGAAEDPAFDESVLLASRLRHHQIGGGDKPVMLHLSDLHGLAAAGGEDGGSAGFLPLPLFTDRAREDMGAAFKSPNAAGGDQALYNGFGAAGMQPPFGQGGPMPAQSFGGAAAAGGGSAPAGAPAAGAAPPRQQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGASAVAPLVANMSSEGNGNGNGTGNSGNGNTTNSNSNSENGGSSLRLTEQQVAKLMEEDMGSAMQYLQGKGLCLMPISLASAISSATSSSLLSRPSIHPMGGAGGTLHDGGSPASPPLVNGPGGDDSRTIKDAVAGGKEESKLLVRRGS >Et_1B_010594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1267778:1270687:1 gene:Et_1B_010594 transcript:Et_1B_010594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSEVATEVLVPLAAVVGIAFAIAQWVIVSRVKLAPSGPGARDKDVLGDSLIEEEEGLNDHNVVVRCAEIQNAIAEGATSFLFTEYQYVGIFMSIFAVVIFLFLGSVEGFSTKSHPCTYSKDKECKPALFNALFSTVSFLLGAITSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLASNGLLVLYITINLFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGVNHDFTGMCYPLLVSSVGIIVCLITTLFATDFFEIKAVQEIEPALKKQLIISTVLMTVGIALITWLALPAKFTIFNFGEQKEVSNWGLFLCVAIGLWAGLIIGYVTEYYTSNAYSPVQDVADACRTGAATNVIFGLALGYKSVIIPIFAIAVGIYVSFTIAAMYGIAVAALGMLSTIATGLSIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVIIGLVVGAMLPYWFSAMTMKSVGSAALEMVEEVRRQFNTIPGLMEGTGKPDYSNCVKISTDASIRQMIPPGALVMLTPLVVGTFFGVHTLAGVLAGALVSGVQVAISASNTGGAWDNAKKYIEAGASEHARMLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKLF >Et_2A_015296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10668823:10671443:-1 gene:Et_2A_015296 transcript:Et_2A_015296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMRCAAAAAPCSLAALLLRRLSSSSPAYSIRRRASSAFSSQSTTSSAAAWSPPRPFSCRPRARGFAAWASAPGSAGPAESPATKALEAKIKEQLEADAVTVVDASGDGRHVCIDVVSKAFEGKSAVNRQRMVYKVIWEELQSTVHAVDQMTTKTPDEAALNK >Et_1B_013438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8411713:8412952:1 gene:Et_1B_013438 transcript:Et_1B_013438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPERDDFAALSFASLRALDRCAGCPPRLFRNAALGDASERLSMPRNIEIKTAPADFRFPTTNQTRHCFTRYVEYHRCVSAKGDNAGDCEKFAKYYRSLCPGEWVSDFVASCHFNYISIET >Et_9B_065492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5800700:5803150:-1 gene:Et_9B_065492 transcript:Et_9B_065492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKRTRNGGPLRGFFYGVGNVLMKTEIEEIATGGSWTEEEKSLCSSVLGSDAFTYLSKGGHAISEGLVTTSTLVDLQNKLQNLVEADSQSFRWNYAIFWQLFHTKSGDIVLGWGDGCCREPHDSEMGSAAPIIIDDVPPVTKQRMRKRVLQRLHATFGGADEEDFAPGIDQVTDTEIFFLTSMYFTFPRQVGGPGKVYASGAPLWIPNTDRKISPANYCYRGFLANAAGFKTIVLLPFETGVLELGSMKKVPESVEALETIRSVFSGALSNRAAIIKHDENGSVQASQGLAKIFGNNLNFSQPSASKGVAAPNVEGSSWDPQKSDNGESMLLPNLRKGLQNFTWSQTRGLNSHQQKFSNGILEPLSHVEAERQRREKLNKRFCALRAVVPNISKVDKASILEDAIAHIADLKKKLTELEAEKEKFVVQGEILVRVVSQMEYHPIKKVLQAFEAAEVKVGESKVTANNGTVAHSFVIKSPGSEQHTRNKLLTTLSSAIS >Et_1A_005509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1112740:1114434:1 gene:Et_1A_005509 transcript:Et_1A_005509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIELTLPPGFRFYPSDEELVCHYLHNKVANVGGVMVEVDLHTHEPWELPDVAKLSTNEWYFFSFRDRKYATGLRTNRATKSGYWKATGKDRVIHNPKAGRAVVGMRKTLVFYRGRAPNGIKTSWVMHEFRMENPHTPPKEDWVLCRVFYKKKADALDYSMDNEQEIAMAHSGGAASGKYSSSSDPGYSPPFPGLGSTHHYHQLPPSSDHHGGAGSLNDISAMALLQHNSIFDFHAHHQPLDGGSVMAAAAASRDGSGGGALMDLGLEEHYSYNSLMQM >Et_5B_045644.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6170721:6171002:1 gene:Et_5B_045644 transcript:Et_5B_045644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAQVAPTAMCCLSTPCSTALPRALLSRTSTGDHAGARHGPRRGGRATGRGRGLVVVSEFEGTYDEGFDDVDKVRTTRSRSMAYKMEHGYFS >Et_3A_027021.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29900471:29901277:1 gene:Et_3A_027021 transcript:Et_3A_027021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQAAAAAAGDAVFARCLLTLYLISPLTVLALRFVSAPYGKLSRPGWGPSLPAPLAWFLMESPTLCLPPLVLLPSPARRLLLSRPLAAALPAALYAGHYVHRTLVHPLRLLRLRRATAPVTLLVAACAFGFNLLNAYLQARSIVLHADRPVTALAVARCAAGLALFAWGMRVNVAADKELLRLKEAGGGYKIPRGGWFDLVTCPNYFGEAVEWLGFAVVAWTPAAWAFFLYTCANLGPRARDHRLWYLQKFGAEYPASRKAFVPYIY >Et_1A_006945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29269862:29277283:-1 gene:Et_1A_006945 transcript:Et_1A_006945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSDHHEQNLTSPRGLIHKLFRRTNSKRSPTAADQQHSPVFPETSNSTFLEQKDSDDAIKDPETASTPGIRIEDEKSDLLGYEIYSGKLTLDNKSSEHPGSGSSSNCFDARLSTEALTWGSNILKLEDIISVSYNSGLRHFTVHACPLEKRTRGLSCFMKPRRTQKDLRFLSTSPHEAFRWVNSFADQQCYVNLLPHPMASSKKHSSELIPYDSMFDPYVKCRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVVKTTHAGHAKSLVSTIDFCSCPDGIVCVGGDGIVNEVLNGLLSRDDQNEAVSIPIGIIPAGSDNSLVWTVLGVKDPLSAALSIVRGGLTPIDVFAVEWIQSGAIHFGTTVSYFGFISDVLELSEKYQKRFGPLRYFVAGFLKFLCLPKYSFELEYLPTSDVDGADQKIVEEQEKAHESDLYDDVIRRSRAECLPRASSLSSIDSVMSTGIMSGAELEVCSPHANNEPSELVRALDPKAKRLSLGRSSTLNEPEEVLHPQAHSSTPSWRRSKSKSRTEKTWPGLTATNDAKSPMGITTHDKEDTSSTISDPGPVWDSGPKWDMEPKWDNQPTWESETPIELHSPPDDIELGLTKELVPSLDERWIVRKGRYLGVLVCNHSCKTVQSLSSQVVAPKAEYDDNCLDLLLVGGSGRLRLLRFLVLLQFGKHISLPNVEYVKVKSVRLKAAPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKNPV >Et_7A_050469.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:17463391:17463519:-1 gene:Et_7A_050469 transcript:Et_7A_050469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIITLSWSILVTRNGLTFNNVDPTVQECRDTFCKEFSPYS >Et_3A_027150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3499313:3500946:1 gene:Et_3A_027150 transcript:Et_3A_027150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCMRPYQYDRVVIEITNFYEKNEYASPINLEQYEILGGRWRSFLHWLRSFSWHYQQCTMAKIKPKALLAQSKQKKGPSQIGVTTIVTYMVLGVLVVSSVYFAYQYWVGRGSAAAAVGVEGN >Et_1A_005476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10755964:10759356:1 gene:Et_1A_005476 transcript:Et_1A_005476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESESESYVGERGLVPVGGGSSGRHDALKNDGFVRRDQSWYVNSDIPSDLLVKVGDVSFHLHKYPLISRSGRMSRVIYETASATSSSAQYADPADTAAVVDLGDVPGGADSFELAARFCYGMAVDLTASNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEGLSPWAENLQIVRRCSESIAWKACANPRGVRWAYTGAGSGGRPPRGPGGGTASPRWNLGGGGSGSGGGDSKESSPSRQAVPPADWWFEDVSVLRIDHFVRVVTAIKVKGMRFDLIGAAITHYASKWLPGLTKDGPHGGVPDEPWAQASAGGGGGGLHMIISGGGSGGGGVGRDDLAGSAPAREQRMVVESLISIIPPQRDTVSCGFLLRLLRLAVMLKAAPALVTELEKRVGMQLEQAAPADLLVPSYGRADTAYDVDLVQRLVEHFLVQEQTEMMMMASAGSPGQGEMQQQQAEYYGGAGRTAGTAGMAAASGLNAKARVARLLDSYLSEVSRDRNLSLTKFQVLAESLPESARTCDDGLYRAVDSYLKAHPTLTEHERKRLCRVMDCQKLSFDACMHAAQNERLPLRVVVQVLFSEQVKISNALANSSSSNSTGASTALLKPPPTAPADVFGGAMPATRRQLLDGTPQSFQEGWAAAKKDINTLKFELESMKAKYLELQHDMDALQKQVERAAPSPAPGHNQGVGGKQPSAWSSGWKKLGRLAKMTGTDAAGPDGHVPGEPGQAPRKGPRRWRNSIS >Et_5B_045698.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:7844117:7845517:-1 gene:Et_5B_045698 transcript:Et_5B_045698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADGHHFRVVDTHLVTPHSPAAACSLPLTFFDVKWLHLPPVERVFFYRLPQDANAAAIISSLKDSLSHALQTFYPLAGHVRLVPGTTNRHEVLYQPGDGVVFTTAEYDADADDLAASPDGGGDPVPVSRLAPLVPRLPEGRAVLAVQATLLQRGGLAVGVTVHHSACDGNSSMHFLRTWAAACAGYGSGEAPPPPPVIDRALVPDPRGLYDTYLERMPPATRRHDFEFVGKAPSAVTGNADDEKRLIATFTLSEEVLQSIKSGYASARAPTAPSRCSSLVAACGFMWWCHSRATAPSNINKKKIRYFLLSVDQRARLNPPVPATYFGNCLCPAIATAAQDELAAGAGVGGLYAACAAVAAAVEEEVGEGARERWDACMERVREAVVNGTLSVAGSPRFRVYDIDFGFGRPAKVDIVSVAKTGAISVAEARGGGRGMEVGVSLPPPAMERFQACFKDGIAWLRRQ >Et_8A_057929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9567676:9572638:1 gene:Et_8A_057929 transcript:Et_8A_057929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTPVSLCKSMLDLVLSHAKSMVAEEVVIQLGVQGDVAIIAEEFEMMKYFLMTGDNSQDPSWVTRVRDLAYEVQDGLELLGAKVDQLEKPSRWRIPRILCERHGAAVEVKKLLDRVTDVAFTSKRSLYTRLVDGSGVDPATVEVAEQSEIFAAIAAIDNARHASAAGRDNSKIDLLQLIDDDLKDLRVIVLWGTSCDLQKISAVREAYSDGHENKKFGCRAWIKLASLSPRDQHLRNLVRQLSAEVIDDSSCARLETMVSKLLNENRFLIIIDGLLSTAEWDWIKTYFPDKRNRSRVIVSTRQVEIASVCTERPYQVFELKQSTSDHALYLFSKMVEPQQHFRESVSVSSTEAAVDEFITGRLEEQYELIDLISQPHDNHGCKVISVWGMGGIGKTTLVKAVYSSNKLDDLVQNRAWITMMRPFDILFSLRSIVMQLHCLTGRETQCLETLRLEELFNELNRLLGMQRSLVVLDDLSSTLEWDLMKKYLENAAVVIVTTRVKSVAIYCSMEEKNIYHIQAIADTEALNLFKRKVFKENESSILDRDMLEEAKLILKKCGGLPLAISVIGGFLSKRPRTVQEWKSINNTIGNNMLQMNTDLNSVRSILIKSYDSLPYHLKPCFLYMAIFPEDHKIRQTRLVRRWIAEGYSREMNHMTAEEAGERHFIELIDRSMVFPTAGAVSTGKISFCHLHDLILEICVSKSVEEELVFTLEQGCSSNPNNKVRHLAVSNNWVRDKDVFDSIRDISGVRSLTVFGEWRSYFLSSKIRLLRVLDLEDASGLAYDDLNQICKLIHLRYLSLRGCGSIFHMPDSLANLRHLQTLDIRGTKIFHLPTTIIKLKSLQHLNAPAWFKGRHEVESFIANEHVTDSLKIHGKFKLSCCYSSNFHLLSRDASRVDHVPRGFGKLSALRTLGYVRIAQGNASAEELKELTQLRKLALQGIDKTNSRGFWSAICSHHHLRTLSVNRNFVADGLDCYLDGSLSPPKFLETLKLWGSLVRVTEWIHQLQNLTKLQLQLSRLGPDDLGVLGKLPKLSILRLWESSFQEEQLKFEQSSFPSLILLEFRELYAVEMVYCEDGAMPKLELLQVAGWSKLQGFSGLRSLASLKEFHLGPDFSEEFIDIVRRELSAIRNNPILKVASDGQTSNGHMGLD >Et_2B_020088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16714222:16717735:-1 gene:Et_2B_020088 transcript:Et_2B_020088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQDAPSGGAAAAGKLSLASVGYAGAGAGSGGSGGGGYKELLVMALPGDGGLDGAQVAEVIGVSLPDVGGAVRSILGRREIREFASGALAGAMSKAILAPLETIRTRMVVGVGSRHILGSFAEIMEQNGWRGLWAGNTINMIRIIPTQAVELATFECVKRSMAEAQEHWKENGHPKIQLGNLTIELPLHFLSPVAVAGAAAGIAGTLACHPLEVIKDRLTINRESYPSIGLAFSKIYRTDGVRGFYAGLCPTLIGMIPYSTCYFYMYDTIKTSYCRLHKKSSLSRPELLVIGALSGLTASTISFPLEVARKRLMAGALQGKCPPNMIAALSEVVQEEGLLGLYRGWGASCLKVMPNSGITWMFYEAWKDILLADKNKQRA >Et_3A_024125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17655057:17661072:1 gene:Et_3A_024125 transcript:Et_3A_024125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTENEFTVLSTLGEPDEDMSALGNPGFAKWVVQESPRGDRELPVHLDHGGGLVITAEDRETEVFHGTVHGPGRAGGCELPEVEESSGGLSFGFLRLRRSDVATESGKRADVIEGFSLAVSSMQEGEKAIFIIPPKFALTKSGCLANIPWNIPPNQTLLFDIELISFTTDILGDQGILKKTTKIGAGDQHPSDSDEVFVDYDAFLKDGTFVSKSEGIEFSLAEGFFCPAFAHALKTMTEGDEAFLVIKPEYGFGDSGRPSMGNEAAVPPDATLHVNLRLMSWKTVSHIGENQEIIKTTFGRAKFQGSSSAQAGESMKVRLIGKLQDGTVFDRRGHDGQEPVEAIMSMVEGEIASVIIPPHHAFGTVGANQFQLAVVPSNSVVIYEIELVSVEGVFSSLSLSKRKLKHNRERPERSMGVPPAAWPQGNTSQQPVSAAPAVVGVGAQSLATPVTPAAGGNGATAASNNQSSGT >Et_1B_013193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6247385:6252490:-1 gene:Et_1B_013193 transcript:Et_1B_013193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAAVAALFGIRDGDHHEQMKPLLAPPHQQSPAAPLNGASSSAGSVQATATPPPVKKKRNLPGNSSKVISLSPKTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKDPLQAQRRRVYTCPEPTCAHHDPARALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPAGALAAAGHHFYGATGAANMALSLSQVGSHLASTIGADAAHHHPDLLRLGGAARLDHLLGQPGAASGFRPMPPPPAFLMGGAPPEFGDGDVGTGPHAFLQGKPFHGLMHLPDLQGNGAGGQPVASSAPGLFNMGYIASSGNSSGTSSHGHASQGHITSDQFSEGGGGGGPGGGGGSESSAAVLFGAGAGNFPGGGDHQVAHGRMYNDQAMVLPQMSATALLQKASQMGSSTSGASVFGGLVGSSAPHGRAPMVDQSQMHLQSLMNSLAGGGGGMFGGGANSGGNMIDPRLYDMDDHQDVKFSQHQQAGRGGAEMTRDFLGVGGGGGVMRGMPVARGEHHGGAGGMSSLEAEMKSASSSFTGGRIQ >Et_2A_017885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7416655:7417174:-1 gene:Et_2A_017885 transcript:Et_2A_017885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVSVLLLAFLLIAVACFPMVSSLIFSSHIFCISSVPILLHFWLIFCSDLSQVVMGGRGGGVPGGGNLKPWQCSGKCSSRCGNTQYKKACLTFCNKCCAKCLCVPPGYYGNKGACPCYNNWKTKEGGPKCP >Et_5B_043126.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8241252:8241940:1 gene:Et_5B_043126 transcript:Et_5B_043126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKPVLICCGATVAVAVVLAAVFVALYFTLFRPRPPRVVATVVGTETSAFSIVPPTLNLTMHVEVTVDNPNYASFRYGDVATVVRYHGADVGQSLVPAGEIGARTTQTVAATVEVDTVKVAFTPYFPGEAILGVLPFETDTTVAGKAVVLNTFKIKASSVVVCQVEVYPLKNNATAVCTSTVHMRRL >Et_9B_064681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17601088:17604301:1 gene:Et_9B_064681 transcript:Et_9B_064681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRPVGRYFTAGSAGRLPPGMGASRVMWMGADRRGARRNGQYRVRVASVALCHGVISGRVEGGRRRIGEETRLLFDLLKLTRHLKLEFSQKHRKGEHNQTWEFRALLNCNIGNWEEYNMAYASPGTDTSTDPDADEKNQMFELGHLTANEAFDSGGKSKDELGPKALRRLAQNREAARKSRLKKKAYVEQLETSRLKLAQLEKELQRARQQGIFISTPRNQLHSTCENGTLAFETEHARWLEEHNKLINELRAAVSTHAGDGDLRRIVDSIMAHYCESFRLRGAAARVDAFHVLSGMWKTPVERCFLWLGGFRSSELLEMEAAMAKLGTLENFLQEADNLRLQTLQQMQCILTTRQSARALLAISDYFSRLRALSSLWIARPQA >Et_5B_045715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8060564:8061035:1 gene:Et_5B_045715 transcript:Et_5B_045715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRGKKNAGAPSEAIGGDRDRIGALPAALLDHVLSFLPAEDAVQTCMLARRWRHLWKSSSGLRIGCRDEDKPVSVKDLRRFVDYLLLLRGGSPLQRCEFRIGDFRLDDDKDRVNLWLRHAVVCKVRFLKLYTTCLSFPNT >Et_4A_035930.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8722136:8723014:1 gene:Et_4A_035930 transcript:Et_4A_035930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPREPEPRAPAAAAAIYDHMPTILAHLEKHQVVTVSAAPGSGKSTLLPRCLATSGHGPVICAQPRHFAAAVASAKAGEEWERDVAFTTTRRLLDAFRTAGRPSSALLAAFRAVVIDEAHDRTLCTDALLAAVRAAVAAGEMGRHLKVVVCTAGAADDDALSGFFFGAPLVAFQRAAAPHPVTVHHSRGPMLDLLSAVVDEVAEIHGSRPAGDVLAFLPDALRVEEAYRRLRRLGLPGLVACRMHDHLPAELMGDALGPAPDRCRKVVLATDVAEIHVRRRPRRGVGRAV >Et_1B_012447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32059664:32063868:1 gene:Et_1B_012447 transcript:Et_1B_012447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAKDVAEMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLENSGKHAEGGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDATH >Et_1B_011069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17144384:17148766:-1 gene:Et_1B_011069 transcript:Et_1B_011069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARLQDCRRAHLSIDVDVRCTVHVQKPGDEPPLGLWGPRIRAAEITYALWIYAATITSAVSADPSKQSTHQFSVSFDHYEQKVLMEAKLIVKGTMSTKSEDSELVVASIEAKETMWLMRASLTSLRSMGDHQHLRTISGDTISNDLELPPGFRFHPLDEEIITFYLTRKVLDVSFTAHAIREADINKFEP >Et_6B_050171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8517577:8520826:-1 gene:Et_6B_050171 transcript:Et_6B_050171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDQLDVGGGLADTATTDADGGSGSGCGRAGGSEQSWARALLRRGWDLSLKAAVAGAAVTAAPVVGPPIIILSIAGVALSVPFAAYLASLAATDRLMGALLPPPPLEPYYTYGFGDEDAEQEFMDAPEAAHDEAPVFGHWSKTEEDATVEQDESLASLALSLEPRHSEELVPLLASEDGEIAEGEFAIQKSDHLELFAPKDGKQEEKSGRAMEVDNEYITMEAPSPGDVSGLAAPLLREESNIVQGMEEAPIHVKESVQELSISDNRKKTEDDKSRFTEETGFLSPGVATKESSVLMLPMLGNKDIMVQREGEAEVSVSKSGQDSHVSDNGDKAIEAMPRRDVNVSESSVPDDNTVPSKIEEEMSPEEDMGDTNPVTEEVVGVQPGAVATIVPECESLQSSDQVSREIQAMSISADAYDTLGSTLTQGVVKDVGDKGTGDLEHHGEGGVCTVVTVPSAVAEDDGNDLVSSRAPHVSAVSSDTICVDSRLHSGDPRQTAEAVNMELGKEEVTKDKCVKTEESKTMDSKASSTELGTQDDHVAKSPDDRKKGDDEVSVEMVLQEVTSSISPVTGAAIEIIASESESLPVSDLVAHDLQAVTEAEMLDDRTEDAVKGISDSLKRLSEGGACSSVSVTSVVTMDDSEDLMSNRSTPYASAISDDMTSIEGRPDVDHHHSTTDVGNKVMNEGFGMKEVAEDKDIYTEEQLREQLDTLRKITGYCAVPSLTLEGDLAGLYIFVGVEPPHTSGDASNLMELNTKLRFLKSIIGVE >Et_2B_020827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2448694:2451710:-1 gene:Et_2B_020827 transcript:Et_2B_020827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVDDIIRRLLDARGGRTPRNAQVTDADIRKLCAAAKDVFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGYPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYPENFFLLRGNHECASINRIYGFFDECKRRFNVRLWKIFTDCFNCLPVAALIDDKILCMHGGLSPELTNMDQIRNIARPVDVPDHGLLCDLLWSDPDKEVEGWGENDRGVSYTFGADKVAEFLQKHDLDLICRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSIDDSLTCSFQILKPSDKKGKASGNMSKPGTPPRKIKISII >Et_5A_041857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3516841:3519410:-1 gene:Et_5A_041857 transcript:Et_5A_041857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRAMSSLLHIYSSSAVAAGRSINRMRLTPQLGGSSRVPSKGPSAFVLDEVSNAAGSARRTASTRAASWDSEKSPYETLELDRDADEETIKTAYRRLAKFYHPDVYDGKGTLEEGETAEARFIKIQAAYELLIDDERRKSYDREHRVNPMKASQAWMEWVMKKRKAFDKRGDMAVASWAEEQHREMTLRARRLSRSKVDPEEERKLFAKEKKASMDFYSTTLKRHTLVLRKRDIMRKKAEEDKNNEISRLLAAEGLELDTDDDDNIMFLK >Et_1B_011295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:233296:235717:-1 gene:Et_1B_011295 transcript:Et_1B_011295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRPLMRGIRPPRVFPARGLRASPYALALTALLVASAFLLALIAFGVFSLPISAPNAATTAGAAGGETESVDARPARPRPRRDLGEGLGERGAQWTEVISWEPRAFVYHNFLSKEECEYLIGLARPHMVKSTVVDSTTGKSKDSRVRTSSGMFLQRGRDKVIRAIEKRIADYTFIPVEHGEGLQVLHYEVGQKYEPHFDYFLDEYNTKNGGQRMATLLISDVEEGGETIFPDANVNSSSLPWHNELSECAKKGLAIKPKMGDALLFYSMKPDATLDPLSLHGGCPVIRGNKWSSTKWMHIHEYKA >Et_1B_012209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29987152:29989176:-1 gene:Et_1B_012209 transcript:Et_1B_012209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGPRFHGMIGGGGMQDNEINGFYNMPYYHKIGEGSHMSVDSADGFNLANCAGGSVAMSVDNSSVGSNESRTVILKHPGLRDTPTASYSVGNSVFRPNRVAAHTLNEDALARVLMDPNHPTEILSNYEQWTIDLGRLDMGEPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDPERAHLMEQQFVQEVMMLSRLSHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQHKSVPLKLAVKQALDVARGMAYVHALGFIHRDLKSDNLLIAADRSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNKGARPVIPHDCLPALSHIMTRCWDANPEVRPPFTEIVCMLERAEMDIVSNVRKARFRCCISEPMTTE >Et_3B_031740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9419265:9428283:-1 gene:Et_3B_031740 transcript:Et_3B_031740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSKGLGSLGICFCSLCFSRSCFSFSQDSLCLFNCTSGSRCSFLRGRGSAIGSLPFCVGTTGIWRLPFFVAGRTGEGRTFATPLWRTEWPRPSSLAGTGPLKLEDPQVELLSFALPSLVRPSEIDESQLIRFMAADMCLEPPEAPRLCSVDSRRPCLKAGWRGEVIGLSPHTDACVLTLLLLINDVQGLRIKKDGNWLAIDPMDGASSISATCSSSDMYASIKITAKA >Et_1B_009681.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:14401354:14404699:1 gene:Et_1B_009681 transcript:Et_1B_009681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLSPPPPPRAVFSPRCTGLQASSYAQHHLLDGARQRHATPGRSRARAPRERERNLAVSYAREIGACVRARRWGAACEAFAAMRTADAAPDRFLLPQVLRACAGIGAHRLGAAAHALAAKSGTTLAGDPVVGNAIVAMYAALGDVAAARVAFASLPDRDVVAWTALIGAHVDAGELDEAFDLFEEMQESGVPPDVISWNTLVSGFARNGDLDTALHLFDEMRNRCVEPGVNSWNCIISGCVQNAQYDEAMGIFQEMCESERPDSVTVASILPACAGLQALCLGKQLHSYVLRSGIKLNVYIGASLISMYSECGEFDYARVVFSTIEEEKNVTVWNELIQSYIKEGRMDRAWETVGLMQEDGFEPDIVTYNSFIAAYARAGQKDQAYELFSAIVEVGLKPNVVSMNALICGLHQHGLYADALEAFRYMQCSSNTSRPIQPSSTTITVVLSLLTDLKLDRLGKEVHCYALKNCLTSNIFVSSKLVDIYGKTGDMISAAKVFEGIRSKNIITWNSLLAAYKHNRKPELALKLFGEMLQSNLHPNLVTVQIALLSCGMTMALRYGRELHSYIMKIWSGGYPATLASAMIDMYGKCGNIEDARSVFECTVQKDIAVWNAMMSCYLLHRLPRDVIDLFSNIQQSGTKPDSVTFILLLSACKQEGLLEEAQRYFYSMEDVYGIKPTLKHYTCMVEIMGATGLLEESLTLIQKMPLEPDACLWSIVLKASKLHSNLEIGEKAAKSLFELEPNNTSNYMVLSNIYANTGLWDSTEAVRDAMTEQGLQVKKQCSWLYRGTAVHCFEAGDLSHPAIDNILSTWKDLTIRMEQSGYPPRDTEPYCNLEADPLSCHHTERMAVSYGLISTSDREPIRISKNFRMCMECHSSIKFISRDKNREIIVSDGCTYHCFKDGACNCGDMW >Et_1A_007250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32622401:32633235:1 gene:Et_1A_007250 transcript:Et_1A_007250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSLTDMFNNVVRWMGKPFLFSLHRRYKGIKQMVTKLKVPKKELDNSGKQLQRTMEDLSERTKRVGEMLEEAVLVRKQITSSVTDVEQMKLDGDKSQESINKLKTSHRKNIHELEENVKELEGKLTEERISLRLLESLDAKNVGEAITESSFSFEDVVAKGDALRNEIAERRHQMEEDRLQILANAEDFNQQVFLEQVIVSLDGWENFFSSHFTEGIKQMVTKVKVLKEELDKDSGQQIQHTMEELSQRSKLVGEMLQEAVLVRKQITSSVTDVEQMKLDGDKSQESINLLKTSHTNNIQELEEKVKELEGKLAEERTSSELWNH >Et_4B_036624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:96603:99933:-1 gene:Et_4B_036624 transcript:Et_4B_036624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGADASSLAAAVLDAATPQAAAAAVSRVLGYLARHAADHPRAFFADAFPSLLYRLFVSSPSSPSFIDLAAADPALADLLLSLLVPSGALLAAAAAADRLALIRFVFPSERLPDWLRLALASPSSTDLVSPLLSPRVGSDLHLSVFEYYLFWFAYYPVSAAAPPAAASNPARSRLESWVSSTLAATAIRKPGHKPETSIYLKLLYAYLKEFVPTSRAPLRQMLLPNDAMDAAQPFARAEFLLHTLLHFWLVGDDFSPLPLQTCCALGLRPPSRARADLSERPPSPGLGDAVRLLVMYLNCCDGRALVDSPQGLPVCNGASCDTQVGFWNPLIQRPLYRFILRTFLFCPIGAVIKNATQVFSVWLAYMEPWKVTQQELDGYDKNLDGEAQNRQLLYNASWETYVLSNYLFYNSMVVHFLGFAHKFIHSDVALVLLMVYKVLEVLSSSLELLDLLHKVDGACHSRLVISSPASDVLKYVPSIREQLKDWEDGLSETDADGSFLNEHWNSDLRLFSNDENGAYNLLQLLLIRAEAEILHLSGDTQEALQTLDSIKSQMKRVFQGHTEKVRKNTELHNQHQGREDIFTPKHPCSGKCSWTDVKYKGDWMKRPISETEIAWLARILIRLSDWLNGVLQLDNADHSPADATYVLFDRNEPNTVGGPKDAARMALLAVCSLMVLVGQALLKFMRSHGMKINLRVLASKKLLSAAVVLYAVVAVTRTASG >Et_9A_061163.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19583185:19583829:1 gene:Et_9A_061163 transcript:Et_9A_061163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGDAPPQSNCAHHVHQRRRRLRILVCLAFAVLALLLLAAAAAIVALVVSRPHDPVTELLSVNATGSVPGAVSLPTLSFQLNLTFLLAVRVRNPNPAAFRHGPAGTQLLYRGAAVGDGEVPAGAVPSRGAAVVRLNVTVQADRVVAAAGVAGLIADVLGGEMEFEARTSVPGTVVILGFVKRRVEARSVCRVVVGVADVKVRRQECHNEAKL >Et_3B_027798.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:19817364:19817489:-1 gene:Et_3B_027798 transcript:Et_3B_027798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRSLNGGSGRARGYSRSYVLGLTPSLFWSRGGFGESEIK >Et_7A_052782.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1623995:1624597:-1 gene:Et_7A_052782 transcript:Et_7A_052782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFHMDTLLPSSVSPKISYILQSHVYPRVGRVFRALARFKSLLLNALGKTRRGVLPWSSSRGRKHAISYQSRSTKGSKVAGLVTKPHYSSWPRGGSSARAMEPDASHVWSCYESAWNVVVPAPAPAGVDEYCGYLCWLEEETPDDEVLVLEEGEDDGEGGVNEIDRLAEKFIERCHAKFLLEKQESYRRYQEMMARSV >Et_1A_004692.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31084515:31088795:1 gene:Et_1A_004692 transcript:Et_1A_004692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLQRKCSAELFSLSRVLTLESSSFSFSVLATRVQSDDSIGDENLTCAHDEVVNKRSCYLSSDHVAQTLRCLRRRPAIAFSYFRHIESIGFNHDLPTYSEIIQILSDACQRKMLVSLFCELVTQTKTGDTDILCLIDHLRRSSTNSHALTFASNCLTKAYTNCHDRQATTELFCHLCRLGFIPSVWACNVLLKFLSESGEPDMIVAAYGQMKCFHLTLDVHTLDIVTRSLFQAKRVDEEFQVWVEMIEMGVKPDTRAYSMFVTGICNCGKYDLAHAILQEIIKERVPLDAMTCNKVLEGLCKEMRLEEAESLLENWTKQGYAADVYGYSYLIRSYCKTSNLSKALDHYEAMLSHGMEINCHTVSYLLQCLKKLGMTHEVIKHFQKVRESGLHLDGVLCNIAMDAYCELGNMYEAVMLFNEMKSAGDASDKIHYTWLINGYCRNGEMQNANQLLDEMLKINIKPDIVTYNILIRGYCNSGLFSEAYALIDHMTDQGVEPSSLTYGVMIYGFCRGGNVSDAELLYRTVDMAGIDNIEVMHSAMVCGYLHSGFIDDAYTVFHRSVLEGNIVDPISCSKLINGFCEDGNVERASSVCRMMLEKNVVPDVISYSKLISAYCKARDTQKAHFWFCDMIQRGHSPDVVVYTVLMNAYCKSGRLEEACKLFMQMTDVGIKPDIIAYTVLLDGHLKEMLQQSWQSITKEGRSFILRAKHKWLLSSMKDMEIEPDVHCYTVLINGQCKAEYLEEARVLFDDMLQKGLTPDVHVYTALINGYCSQGEIEKAEDLLQEMKDRGMKPDVLTFSVLNKKKMMHRKVWSCL >Et_5A_040797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12754554:12755303:1 gene:Et_5A_040797 transcript:Et_5A_040797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGPPLRLTDLYYDWPRRRNLNLVRHQLSPDPLYDVEWNNGTTFYFDSASCRVERFDVGVLPPTWLSGGGAEYVGRRVNGGIDCHVWAKAGFIVYYEEVATGRPVRWDFIDGIQQFVMSYEVGVALEDSQWQAPAHCFLDDDKNEQDTKDKVADGLLEAARLLKKLAGAAAEF >Et_10B_004368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4941348:4944453:1 gene:Et_10B_004368 transcript:Et_10B_004368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHSGAGNKNAFKGLIAAEYSGVKVELVKNFEMGVSNKTPEFLKMNPIGKVPVLETPDGPVFESNAIARYVARLKDDNPLLGSSRIEQAHVDQWIDFAATEVDAGVAGYLYPRLGYRPYVQVTEETSISALKRSLGALNTHLASNTFLVGHSVTLADIVMTCNLYQGIARILTKSFTSEFPHVERYFWTMVNQPNFKKVMGDVKQADSVPPVPKKTTPAKEPKAKDVKKEALKEAPKPKVAEAPEEEEAPKPKPKNPLDLLPPSKMVLDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSEPPFKVKGLWLFRGQEIPKFVMDEVYDMELYEWTKVDISNEAQKERVSAMIEDQEPFEGLIWVHGAVRQPRGSADAACSIRPRGVCSLWRDPDGGLWLAGLVVADDATETGNELVGRGALPCGKRAGWGR >Et_7B_055807.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5087241:5089448:-1 gene:Et_7B_055807 transcript:Et_7B_055807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFDFHHEWYASTLKSVSSSELQEAAADDPYARIVYNYETAFHGFAARLHEDEAERMAEADGVVAVLPETVLQLHTTRSPDFLGISPEISNSIWSPGLADHDVVVGVLDTGIWPESPSFSDKGLGPVPAKWKGLCQTGRGFTAANCNRKIVGARIFYSGYEASSGPINETSELKSPRDQDGHGTHTAATAAGAPVRDANLFGYAAGVARGMAPRARVAAYKVCWAGGCFSSDILAAVDRAVADGVDVLSISLGGGASSYYRDSLSIASFGAMQRGVFVACSAGNAGPDPISLTNLSPWITTVGASTMDRDFPAMVTLGNGANITGVSLYKGRESLSSQQQYPVVYMGGNSSIPDPRSLCLEGALQPHEVAGKIVICDRGISPRVQKGQIVKKAGGIGMILANTPANGEELVADSHLLPAVAVGESEGIAAKKYTKTSPEPTATLSFGGTKLGIRPSPVVAAFSSRGPNFLTLEILKPDVIAPGVNILAAWSGEASPSSLPSDRRRVGFNILSGTSMSCPHVAGVAALVKASHPDWSPAQIKSALMTTAYVHDNTYRPLKDAATGRASTPFEHGAGHIHPLRALNPGLVYDIGQGDYLEFLCTQNLTPMQLKAFTKNSNITCKHTFGSPGDLNYPAISAVFADQPSAALTLHRTVTNVGPPSSTYHVKVTQFKGADIVVEPNTLHFTSTNQKLTFKVTMKTKAAQKTPEFGALSWSDGVHLVRSPLILTWLPPM >Et_5A_040830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13138128:13143621:1 gene:Et_5A_040830 transcript:Et_5A_040830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHDSKDDVDRLFACFKCGVSPPQSALRERPLRRGKKPRVSPVPERGGGASGGGGSSPPAPTPDAAEKHGAASSGVIKFTGRKQMTPMVFYGSPQGVPVKKPLSLMRLLREIRIDLRKQTESIPRDVWATFPRQEEAVRFSKAHEYTNVFSYQDHLTGTRRFLVSTYDEFWRRYNDMDPKIRHHYEVIQEGSPCHIYFDLEFDTRLNIKRDADEMVDILVALIFSALHDKYAIEAHEEWITELDSSTEVKFSRHLIIRIPRTAFKDNSHVGAFISEVCSQIAAERAANPNLDKLYIKKDSSSSGPTDQLFMDTAVYSRNRCFRLPFSSKSGKKSFLVPTRRFKCKEMNDKDVFMESLICRLDDNWEKLLICKMDLECKKTLHFDSEISIPRIQGNDCKNPIITYRGDFPHEYTYGRSPFPALDGFIESIASFGNVSGKIRSWYWFSQYGLMIYSMSRSRYCEHIGREHKSNHVMYIVDFQRAAYYQKCYDPDCQGYRSPLRAVPLDVMPEFSSVIESAQTEYQGEVVEVNIEKSSKNEYVADDNTILENGEDPDWWEEAEDNTYDDADPEWWMLAERILEQVEGQIGSQNNQ >Et_3A_025078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26775682:26780954:1 gene:Et_3A_025078 transcript:Et_3A_025078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVEADGSGRGRGHGHGGGPSSSSASENFDAGQYAFFGKESLEGLDLGCLEDGGGDGNGGGFSGPEEGLYRLSSVGEEIDTMSNLSDIDDLASTFAKLNRSISGTRNPGVIGDRRSISRGSSLTVDWTEDVEFTNWVDQDMLENEEFQENKRWWSSNSSVQQGDNNSKPLSRTSSYPQQPLQHRSSEPIVLPKTSSCTSFPPPAGGRSPYAAQGLTRHGSIPSLGAGLQMGSPSMSVSGSPYHMAGPSHGLPYGGGMPYGALNLPLNNPMQNDWSNQASPFGGEHLNLLPNLLHKQLSLPNNPMSSLLFSQKQQRLAQAHSSHHNYPNLPPHLFYPHHSPEILGRFDSVGSVPSSRDKRSRSGRGKHNIRFSQQQSDTSSQNGDSGGLKFRSKYMSSDEIESILRMQHSASHSSDPYVVDYYHQACMAKRGTTSRQKTNFGPTSMKDLPSKSRSSSDQHTYLQVDALGRVSFSSIRRPRSLLEVDHPSSADGSHDQKSIVRPLEKEPMLAARVTVEDALCLLLEVDDIDRLLQSSQAQDNSFQLKRRRQVLLEGLAASLQLVDPLGPNKSGQSSGLATKEDVVFLRIVSLPKGRKFLARYLRLLVAGSELTRIVCMAIFRHLRFLFGGLPSDSSAAETTVVLAKTVSSCVHHMELGALSACLAAVVCSSEQPPLRPLGSSAGDGASLIIKAVLDRATELLTDHHAAASYTVSNRTLWQASFDAFFGLLTKYCLSKFESILQMFVMQTPSSVMSPEASKATSKEMPVELLRASLPHTNEQQRQMLLDFAQRSMPVTGFNPPGASGGHITSESVPG >Et_2A_018748.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:6650964:6652334:1 gene:Et_2A_018748 transcript:Et_2A_018748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPMSRATRPVSRLVPEIPLLRRASRQVAPATTTSEEELAVPPHFRCPISLDLMRDPVTAPTGITYDRESVEGWLARGNATCPVTGRPVRLAELVPNHATRRMIQDWCVANRARGVERVPTPRVPLGDADAAEAVAAVSASGRRGDEAACGAAAARARALGKESERNRRSLASAGAARALAKAFGRLAGERVDAGGAVAAALGEILAALTVFFPLDDEARLRIASPPSLKSLVSVLSHGELAARAAAAIVLRELASSAAPATVESLSRTPGLCDALVGLVRSPVSPAATKAALVTAYYLVSASDRAAARLAELGAVPVLLELLVDADKGTSEKALAALDGVLGAAAGRAAAAQHALAVPVLVKKMFRVSDMATEFAVSALWRLCQQGADDAGDAAACRAEALRVGAFQKLLLLLQVGCAGVTKERASELLKMLNGSRASVECIETVDFKGLKRPF >Et_7A_053136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7782194:7785995:1 gene:Et_7A_053136 transcript:Et_7A_053136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVVPPRAAGPRKYKAVVTWRFRPGSVREPIKHAAATAPIGGGGRAGGVPEAKNCGLGATPAPGGGETPTKRVTRSASRKSLDAEDGDGPRSSNGGESGSHGAPKASGLKGSGNSSGPGVGVAAGEDCNSSRPHSNASVRDVDGQGMGRAGGSSAAGDAAACNPEVVERNAGVEECISEALKNCCVNGSGSKSNGDSAPDRMSDARVENEGGFGDSANYKAKESEAAAKGCNLADPDRSGNGTYCRKGHKVVVPWRFQVGRKRSFSKAFDSDCGSLDAQSYGVEDSSTRCTPATRSTVRCYASTHSGVRVSAIRDFSVSGEESGIGFENRKANKGDHRSSIARESTMRSLQDFRLIYRELLSSDGHKLRAAGVTNLASDLQALRIFKERSFVECDDKKYVGSVPGIHVGDIFHLRVELCVVGLHRPHRVGVDYIKDDDGTCVAISVVSYAHPSDAKNLDVLVYSGSLAVTCNQKIDGAPNLALKKSMETKTPVRVIHGFMTHLKGNFRQKKSSTYIYGGLYLVEKYWRQKESEDRYVYMFRLRRMDRQKHIDIEEILKTGKAESNYRIIMNDLSHGLEKIPISVVNSISDEHLMHYRYMSCLRYPRSYEPAPPAGCGCVGGCSDSKKCACAVKNGGEIPFNDKGCILEAKPLVYECGPSCKCPPTCHNRVGQHGIKFRLQVFKTKSMGWGVRTLDYIPSGSFVCEYIGEVLEDEEAQKRTNDEYLFAIGHNYYDEALWEGLSRSIPALQKGPRKDDEAGFAVDASEMGNFAKFINHSCTPNLYAQNVLYDHDDITVPHIMFFACEDIRPLEELAYHYNYTIDQVHDANGFTVLVVELRASFTVSLAAGVSEGVVMVGSAGI >Et_1A_006203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19102329:19115671:1 gene:Et_1A_006203 transcript:Et_1A_006203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRPGTAVWVEHLDLAWAEAEVVSSVPTASSSLSSVTVVLFNGAKVVVDGRKVLPRDTEADLCGVDDMTKLIYLHEPGVLCNLARRYALNEIYTYTGRILIAINPFAKLPHLYDMHMMEQYRGVQFGELSPHVFAIADASYRAMVGENCSQAILVSGESGAGKTETTKLIMRYLTFVGGRSTGNIRSVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDKSGRISGAAVRTYLLERSRVVQISESERNYHCFYQLCASGQDADKYKLAHPRNFNYLNQSHTFELQGVSDAEEYLKTRRAMDIVGICFSDQEAIFRTVAAILHIGNIEFSPGNEFDSSAIKDAKCEFHLQMAADLLMVDASLLLSTLCYWTIKTPEGNIIKAIDNSSAVIGRDALAKTVYARLFDWLVDNINKSIGQDMESRAQIGVLDIYGFECFKYNSFEQLCINFANEKLQQHFNKHVFKMEQEEYKTEEINWSYIEFVDNQDILDLIEKVTYQTDLFLEKNRDYIIAEHQNLLSSSKCSFISCLFASQQDDPSKSSYKFSSVASRFKQQLQALMETLSSTEPHYIRCIKPNSLNYPQKFENSSVLQQLRSGGVLEAIRISLAGHPTRRTYSEFNNRFGLLVPEYMDERLDERTLTMKILKQLNLENFQLGRTKVFLRAGQIAVLDSKRAEILDSAARVIQCHFQTFIARKKFLSTRKACISLQISCRGYLARNILEARRQIAAAVSVEKYARRWLCRCAYLHLRSAGLVIQSGIRYILAVKRLLRLKNIKAATVIQAWWKMQKLYDFHQHYRWATILIQCCWRQKLAKRALRKLKHAAYETGALREAKGKLERSLEDLSLRFTLERRQRLAAEESKTLEISKLLKTLELLKLELEASNEENKHNCTKIASLQNQLEWSTKDLEALRNSLAEMEEVKRENISLKAKNTEMEQELLKAQKCSHENIDKLYDVEKNYFHLRDNLKNLEGKISNLEDENHLLRQKALNLSPRHSRTGESSPVKLTPLPQNMTESRRSRMNSDRHEDYHEVLQQCIKDDMGFKKGKPVAACIIFKCLLHWGVFEAERTTIFDFIIHTINAILKTENENDILPYWLANTSALLCMLQRNLRSKGFIMAPSRSSSDTHLSDKANEVSSNPSNLLTIEFRFVALCFSQIEFRYVKM >Et_1A_005756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13583017:13591235:1 gene:Et_1A_005756 transcript:Et_1A_005756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTAVEPDASAGDGSRQLAAVTAGGGGEEVVIDLSSSDSDTESDGPGCRGNGGKRPMRAAGGGWREEKKPRISAAAVGVPPGFLDPLPPTRLLPPPPPPQRQERSVTKQFWKAGDYDGKPHLLGNEAGQHSDFGMDHVRVHPKFLHSNATSHKWALGALAELLDNSLDEVANGATYVNMDMMENKKDGTRMLLVQDDGGGMDPDKMRHCMSLGYSAKSKLKNTIGQYGNGFKTSTMRLGADVLVFSRSRGNGGTRPTQSIGMLSYTFLRSTSKEDIIVPMIDYERERVWKKKVRTTWADWHISLATIIEWSPYSTETELLQEFDSVGEQGTRIVIYNLWEDEEGQLELDFDADVNDIQIRGVNRDQKRIKMANQFPNSKHFFTYRHSLRSYASILYLRVPCIFRMILRGKEIQHHNIVNDLMLKKQVKYKPVMVDGFPKDKMVADVTIGFVKDAKHHIDIQGFNVYHKNRLIKPFWRVWTPADCRGRGIIGVLEVNFVEPAHDKQDFERTNGLARLEARLVRMQKKYWSDNRHQIGYGIKRGNKNSATGDRDSSPDGAPNTGPALRQSGRKSNYLQRHKSSDRSYSGEINKNMEIRMSSPEMSKCADPKRHLSSRIMSQGRTEKSARTIRSKVSLHGSSYTSDDDENTEIAGTPPRSTSPILRTPEKYLRNQSTCLPSPSPSGVKRSARIANRHQLKVVNITSNGDEQSIVEHEAVIKQLKDENSSLKESILIIKESLSRELQIERDKNKSLTERNEDLEKQLETAKKEQDALIEIFTEERQCRDQEEENLKKKLKEASSTTQDLMEQLNAARNRRKR >Et_3B_028065.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:8278792:8278947:-1 gene:Et_3B_028065 transcript:Et_3B_028065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVCEVLGSIKCCTAQSALGGKQALGFTPGSLHLSPYPTSRTLMPKRIII >Et_7A_052458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8196778:8198212:-1 gene:Et_7A_052458 transcript:Et_7A_052458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNTYRASTMDVSESSTTVRGRGKNKRKWFSAEDDELMKALYDVSLDPKWKAEGGFKNGYLFELEARLAKKLPNAKISALPHIESKLRYFRTKYGALEQMLNKSGFNWDANRMMLQCEKQQYDTHCKNHVDAKGLYGVAFSYYDTLSAVYAKDIATGEGAEGFTDAVSNMELELSAEHRNDQEEEEERTSRETPRRSFDSTSSSSKRQKKEGKGKESVSSDPLLDMFNEVSGDLKFVTKNVGKMAEAMEREAAIQEKAMHEDPQQKLREKAVNELRRLEFTGGELIQAASVFVKTPDQMGMLFVLSEALRREYIVNMLHEGERGEAGWLKIGQLGDL >Et_7B_053804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12335080:12335559:-1 gene:Et_7B_053804 transcript:Et_7B_053804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSCIITLNSSKDRTPSPLRSNLQIMALHSSKPSCACGAPSWPSIRFRLSGVMYCCSPPSPAAFVAHLDLQSSSGACSTSRASLRNSSRSSRPSPSASAAATMAAASSSPTPSAAAMPPRSSAADTLPSPSLSRAANRDVATMAAISS >Et_5B_043051.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1861864:1862223:-1 gene:Et_5B_043051 transcript:Et_5B_043051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AELFLGKPVGLNFEDIASKWICQKKCAAENVITASVLRSLWLLRNDHVFNKQVWRDMKSVLKISLKLAKTWLPIFKESTLLTMERWCIFLEQQVQAPMMIQIQENTSSAASENSPVTSD >Et_2A_015979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19913710:19918565:-1 gene:Et_2A_015979 transcript:Et_2A_015979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPKSVFLRLLLPALAVFAASARASPYAPSDAAGEVPPDMLRQHDGSGRLLRLPPPACELPSPYLRHAAADKFLRECCANTTYAAVCYDSLHPRASSFEGNFVKVAGAATFIAYARLRSFDHELRSLLRGGPGAGEDVVGALESCVKYFPDVFYREDDALAMLRRLETPAGRREKEAESNLNTVNLDISGIFDFTNMCMDGFVSSGGGVLASPVGKMMLAGNATVLLYGEIAIDLPVFLRLLLLPVLAMFAASARASPHAPSDAAVDKFLQACCANTTHAAVCYDSLLPHASSFDGNNVKVAGAATVIAYARFRSFDDELRSFLRGGTGAGEEVVGALESCVKYFPDVLDDEDDAVAILRRLETPAGRREEKANYNLNTVNLDISAIYDFTNMCMDGFVSSGSGVLASPVGKMMLAGNATVFLYADIALDLVVSITL >Et_1A_007089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30772427:30776769:-1 gene:Et_1A_007089 transcript:Et_1A_007089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRPLVAMVMFLLVALSTSHVAYSLRLGASLGVCRASGYLPGRSGNCEKSNDPDCCEDGKQYPQYHCSPPVTSSTKAVLTLNSFEKGKDGGGPSECDNAYHSDQEKVVALSTGWFSNMARCGHQIKITANGNSVYAKVVDECDSVHGCDDEHNFEPPCDNNIVDASPAVWNALGLDQNIGMVDITWSEDTRFVHLKHREEEFGAQSAKQLASMVNTKLLAVLALVQVLTLHVHAVSAAAGGTCRVSGFLQGKAGKCNKENFSDCCKAGQKYPQFRCSPPVSAKTPATLTLNSFAEGGDGGGKSFCDNRFHPDSEMVVALSSGWLRLDGTRRCNKMVRVTANGRSVLAKVVDECDSVNGCDDEHNFEPPCPPNVVDGSPAVWKGLGLNKNIGEFKQRVAVANNHFMFTSGSMATI >Et_1B_011529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23188010:23191037:-1 gene:Et_1B_011529 transcript:Et_1B_011529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATEEMAVYCFDTLVAHYSGDQPPPPAFEDGNHPLFVTWKKASNGSEPRLRGCIGTLEPRQIVSGFKDYALTSALRDRRFPPIQSKELPSLECTVSILTDYETAQDYLDWEVGKHGLIIEFTDPDYNVRRSATYLPEVAGHEGWTHIETIDSLMRKAGYNGRISESLRKKIHVTRYQSTLYTMHYGEYVAYVKKNRGAAPAVEGVPVGVVGTDFWSCDAAISTDHADSSNFSDNNHVFFIFLVKYPLLRDHHLRAVVIRIFLSAFDTDAVNRFDVLHQAVRNDFDTVVVNRFAWLLKQLVRNNMDGVFVSMEELALHVIVSSRL >Et_4B_037486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20204004:20208647:-1 gene:Et_4B_037486 transcript:Et_4B_037486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQRSGRRRRRRRCRDDPSGRSRPGSVKDHVTSVQKQQEASSIANASSSSPGTQNIAHDTIDTLPPSECCLSSSPVPRVFARRELEWGYTFFIRLDFQGFFHTYPNLGGPYQSLQEADKAIDCYYEERRDPKMKSREQDHDSPIDRIMRRCLYWPDGTSKRRSKSRGMENGRDKIRRLVLALLEKYNEDHHLVEDLAYELKDVSNFQSIYEENSWYYHLNFIATSKAGGDQEVFAEAKAKEAELRHRYKVRIIGHKN >Et_5A_042707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26654382:26657549:1 gene:Et_5A_042707 transcript:Et_5A_042707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSGVSGRGGGGVDLAAARIPRPWMHIEGEEQRGEDERRRRLTEDWRRCGEERRWWWRRLDGRKEKDGCQHGVGPHHKAPPFGRLVSTSLLPVPSLSGGEDECAILLRHAQSATEQFEMLATKGLNQERTFPMYLGPCSSDFKVEATKVFGMVRGSSADIVGILIDLARWSKMHVPCSEFRP >Et_5B_044185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21163260:21165674:-1 gene:Et_5B_044185 transcript:Et_5B_044185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRRVDAQRGRGLSCRCWVFRDVGILWVGRVSAKAPPNLAAAAVMDPAANDLIWRWTEESKYGAGRIWGFLWMHSSAQSRERGESGDEALYGGTGNYASALFLTAAKANVLDKVESEINTIVEASKQSLMFSQFIKDLSVPKETRVKAITEIFAEAGFSDVTKNFLAVLADNGRLKYIERIAERFVDLTRAHKGEVKVVVRTVIPIPEKEEKELKETLQDILGKDKTILVEQKIDYSIMGGLVIEFGQKVFDMSIKTRAKQMEMFLRQPLEF >Et_4B_036835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11887534:11889765:1 gene:Et_4B_036835 transcript:Et_4B_036835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAESVPETSIHEFTVKDGNGKEVSLEMYKGKVLLVVNVASKCGFTETNYTQLTELYQKYRDKDFEILAFPCNQFLRQEPGTADQIKDFACTRFKAEYPVFQKVRVNGPDAAPVYKFLKASKPGLFGSRIKWNFTKFLVDKNGKVIERYATSTAPMSIEKDILKALEE >Et_4B_037433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19864592:19869594:1 gene:Et_4B_037433 transcript:Et_4B_037433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAQTVDILGERQSGQDVRTQNGNYIAAPSLLRAARFHRAIYWRSSPPGLMACGAVANIVKTSLGPVGLDKMLVDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRANDLVRNKIHPTSIISGYRLAMREACKYVEEKLAVKVDKLGKDSLVNCAKTSMSSKLINSDSDFFANLVVDAVQAVKTTNPRGEVKYPIKSINILKAHGKSSKDSYLLNGYALNTGRAAQGMPTRVTPAKIACLDFNLQKTKMQMGVQVLVTDPRELEKIRQRESDITKERIEKILKAGANVVLTTKGIDDMSLKYFVEAGAIAVRRVRKEDLRHVAKATGATMVTTFADMEGEETFDPSFLGHADEVVEERIADDDVILVKGTKNTSAVSIILRGANDFMLDEMERSLHDSLCIVKRTLESNVVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESFLIIPKVLSVNAAKDATELVAKLRAYHHTAQTKADKQHYSGMGLELTKGIIRNNLEYGVIEPAMSKVKIIQFATEAAITILRIDDMIKLTKEETGNEE >Et_2B_019249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19991034:19991380:1 gene:Et_2B_019249 transcript:Et_2B_019249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRFLALLLVTALLAISFSQGIRAGSVEGRKVRVMRAVRHEGRLLPEMVATVMDYDGPKPNTNTHGGMVPPQDPPTPPGH >Et_7B_055003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6354108:6357499:1 gene:Et_7B_055003 transcript:Et_7B_055003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PWKPRPRRLRKLPSAVRTPYAPHGSERPRTTAVPLDNFKDSGSGASTRLKDNTFTSEVISRSSREQENKMRNKKPLGIQLFECFKGSPISFRSCQGLVLVLTFLSYASYHATRKTTSIVKSVLDPKTNLGMLHWPSHLYIEKLKGAENNLTLSSGWDPFNGEDGTALLGEIDLAFLGVYAFGMFFAGHLGDRVDLRILLTIGMIGTGLFTSAFGAGYWFNIHNFYYFLGMQMISGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLIAAAMLKYGWCWSFTVPGIMIALVGLTVFLFLPVSPDMIGIQEDLKDFGKNEVDTPLLERCSDVKEKAVGFIEAWRIPGVAPFALCLFFCKLVAYTFLYWLPFYISHTAIGGQYLSDSSAGVLSTLFDVGGVVGGILAGHISDRLDARALTAASFTFSAIPALFFYRLYGSISLTWNIALMFLTGMLVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSIGAAVGPLLTGYISAKSWSAVFTMLMASALVAGLLLSRLVMAEIAAKMESRRPAPASDLPVSSSTDEP >Et_9B_065053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20854793:20855785:-1 gene:Et_9B_065053 transcript:Et_9B_065053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGARPVLYHHPAPVAGDVDSMSSYFSHGGSSTSSSASSFSAALAPAPALADPAAAQFDISEYLFDDVATQGVFAAPPAAADAPPPHVVPAADSASHGGPAARSAAEALPERPRTERIAFRTRSEIEILDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCNVKKRVERDKDDPSYVVTTYEGTHNHVSPSTVYYASQDAASGRFFVAGTQPPGSLN >Et_2B_019657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11856409:11856622:-1 gene:Et_2B_019657 transcript:Et_2B_019657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQQPPPSNQLGQAQLPVSMLLSDLLKCEKYKDLKLEQARAKEEVKTLKHQDSLQKIDVDLGT >Et_2A_018608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3572642:3573946:1 gene:Et_2A_018608 transcript:Et_2A_018608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGRSSSRLASVVLSFLLCFRSGKVPGMFAGEEENRRSHDEPIATFSLATQYDDRLPMDWMENMGNVADSLTHALGRCSVKEYSKGDSRYAARDTATVTLCIASRKSYSHILQFTVCLCQRYGCLVYFILPT >Et_2A_017547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35286819:35290171:-1 gene:Et_2A_017547 transcript:Et_2A_017547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFLQGFLLGLVALAAAEAAALFWAIRSLSRRKGASPEATARLPVDPPPPCDKEGSLWMLEQEKIPKVTRNRSSAGSNQEIKEKKNVVEVFPEKMLAKLKGHSLCLSGLDGSQITIELLNCTVVAVSASNLPSRKWAKRYPIKLESKGSEIYKGSKVCYVYADTSWEKESWCKALRLASTTDKEKLKFHAMLIEEFRSYISSLNAGYPCFLKSSELSGEEHVVTNKTVKTDGSSKVRLFLKKFAKKASLKAFPESTKSPVLSKQDVQQPSTPSSSLGYGTHFLDSPYANVDEKFADEGTLCCNLLLSRLFFDVKRNDEITNAIKSRIQRTLSNTRTPAYIGEITLSDLNLGKLPPYLRRMRILPQDLNEMCAFEVDFEYSSGILLHIETRLEVQEPELQKDIMKTTLKDDSNSDVNSDLLDSIEHYGNHFRSSQDLSSATEDNDAADALRKSKSTGWTSTYMPRWKRILHSIADHVSQVPLSLAIKVLSVRGTMRIHIKPPPTDQIWYGFTSMPELEWDLESSVRDRKISNSRIASLISNRIKASLHQILVLPNCESIPISWMISDKDDWVPRKVAPFIWLNREPHAENAGHSADRTTSLPWPGEVDVSKTIANNKADQSSPSALSARSESPKNTVSVTDGQNEGTEEKTSTRQHSLVSASAATLQSGDANDQLRTPLLSSRELQEGASSERAVAVEAEDVKRKVGRRARVIDLGRRMGDKLEEKGKHIVDKMRGSPNLLDLERGTTAHAHN >Et_3A_025547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30640361:30644317:-1 gene:Et_3A_025547 transcript:Et_3A_025547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGDDDMERDFAARLRLAHSPAPATSSAPPASSSPTVGGIAFRAPQEQFTVDDFELGKIYGVGSYSKVVRAKKKDTGNVYALKIMDKKFITKENKISYVKMERIVLDQLDHPGVIRLFFTFQDTYSLYMALESCEGGELFDQIVRKGRLPEDEARFYAAEIVDILEYLHGVGLIHRDIKPENLLLTSDGHIKIADFGSVKPTKDTPIKVLPNSTNERACTFVGTAAYVPPEVLNSAPATFGNDLWALGCTLYQMLSGSSPFKDASEWLIFQRIIARDLKFPDYFSNEARDLIDKLLDVDPSKRPGAGPDGYASLKKHPFFRGIYWKNLRKTRAPKLAVEANANEDDDSEDSNWLSHTGSVPVNQHAMNNGATSSSEARSHISKLASIDSFDSKWQEFLEPGESVVLISMLKKINKLANKKVQLILTDKPQLICVDPAKMVAKGNIIWSDDPSELNVQVSNSSHFRICTPKKVATFEDAKQRAWQWKKAIEDLQPSTLDNITENDGI >Et_2B_020354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19255172:19256048:-1 gene:Et_2B_020354 transcript:Et_2B_020354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELEDISLIKLKDEDYELGVVDVENAKLDKKEVERSSATEELDSKSMLSIDNRNVLSEESYFDSSDNCLEEEQLKEGSDVAGPPVVLPGKMYDENVQVVLEMKMQFDHASMSVDQVFKALKVGKMPYNQYKSGPKVSSMMIHGFSKMGKKSLKFEEENAMERGECARDTAAMGYQAIVAPADSTPVAHCRDYSTLLVEAICRCYEVTDVHRACTTSLRAMGAGLHDFFRAVQGTVLPLKPRSTADIHKREAAP >Et_1A_008610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9098634:9100419:-1 gene:Et_1A_008610 transcript:Et_1A_008610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCHGVATANARPFGVPAVTRYGSPARPSYLARPAFLSLRSPAFRPEKKSLALRAGADFHSQAISSSFAKYDPIKGIKPLLSARSLRPRTQVACQASLSSFSYLELESKPKWWWRTLACVPYLLPLHNMWSHTDAIYQLHPYLQRFSLLYAFIDTMALLPGWLFLVIFMTIYFFVVRQKWSPHFLRFHIILAILLDTGSQALATACNWNPSIIFQGKPMAYFWMTMAFIQIFTVVECMRCALSGVYANIPFISHAAFIHSDLNLFR >Et_7B_055893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6792290:6794725:1 gene:Et_7B_055893 transcript:Et_7B_055893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAQGFHPRGIPKSEARGGAGEAVKNVKRWLAKMDKAVDYDFYEDEELRYSRFKSPFDRRPLVGRRPRLRKNEGKRTLRLVGSSNPDYMRQCEEAAFGDFNRDDWEYEDEQQANRITARALFYNKSRNYSSRVRIT >Et_1B_010059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21211723:21212745:1 gene:Et_1B_010059 transcript:Et_1B_010059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLNGKTIVIQIESSATVDDVKEKIQETEHMAPKHQRLIYSGRQLEDGHKISEYKIMQYSTLHLTGRLGCSRCYKDVDVQTLSGKTITLEVTPSIRIEDVRAKVQNPRGMIKDQQRIIDGNQLNDQVKLADYNIPTQSSQHLDNCWHGGIPIFVMALPSKTIRVKEIGHSDTIGGVKAKIQNQQRLVFDGKRLTFDGKQLQEGRTLADYDIESDSTLHFDLYLPGSGSS >Et_10A_000184.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:15995062:15995190:-1 gene:Et_10A_000184 transcript:Et_10A_000184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPWETAASIAHNVFVMLDGWVCTCVLAADEAARLLRSATQ >Et_10B_004361.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4876376:4876723:1 gene:Et_10B_004361 transcript:Et_10B_004361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLVEALVGERRHWVPAVRHAAAWAAALALAVSVASFAPEAAFVWSLAGGGSGCAAGTVRVPLDGGGDHVCVPARMAGRSFADLLVPPAFAGLAVAASACFVRALAIGRRHDEY >Et_2B_020136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17159050:17161861:-1 gene:Et_2B_020136 transcript:Et_2B_020136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQEDGARSRRKDATQMVVALECVAGSSKAEEWGGGAGVVQEGDVVEAVRVGARGAAAAAALELEAPFKGGRAGLHKALQAAFKRGDTSVEVRVRGGRELQACVVPHHPAGGGKKQYVLRSMHDPNYLLGFVDRLESECLVLQGTRSTRVASALSKAQLQDGYVAYPWEEKMCDMLRMPNSSCYLSMLVLPKALDSNACRYESFEDTLARANAWLYSSQASGVPIDFMNVQSEALLTKISGETASATVNSGSLSDLSNVTNATLYGFEDYHGVDIGVVKAARLCSVAGELLLQIPLEEGDTRLGFAISRTEEGFIFVSSVVSDDKENETPSTRSGLRDLFNRAKEASKLLVISRVSNEKVLPWIISSSGAVRCSDTISLSQKLSLHRLAVRPIQLHLLAWEKPTGPVERTIRSPKLPPPSVFPQVHQNLKESVEPRVDVDAAYVGDLSFRLDDLSFESSWV >Et_8A_056960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19488837:19491927:-1 gene:Et_8A_056960 transcript:Et_8A_056960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSGNQSEDVLARIFGTSSGSQSPTNKTRNPEDIFSEFFGASSTQARKPLAVETKLPCTLEELYNGATLKLKISRNTVKQNGEGNDLLVYKKLDLVDALAGTTLKLNTLDGRDLAIQLRDVVAPGYELVVGKEGMPFAKEHGRRGNMRIKFDVEFPKRLSEEQRRNIRKDLQVWGR >Et_8B_058626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11256710:11257180:1 gene:Et_8B_058626 transcript:Et_8B_058626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTAEKRTAKSDPIFRNRLVNMVAVKKIQQKTETNPLLVLRQAIRRVTPNIGVKTRRNKKGSTRKVPIEIGSKQGRALAIRWLLEASQKRPGRNMAFKLSSELVDAAKGSGGAIRKKEATHRMAEANRALAHFR >Et_10A_001598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5788969:5792835:1 gene:Et_10A_001598 transcript:Et_10A_001598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPALLALVAAVLCSSATGDEVGRSPAVPAVYVFGDSLVDAGNNDFLPPPAPRAVPPNGVDLPSWVGRRTGRFTNGFNLADIIAQQVGFKLSPPAYLSLPPLLRPDLLRGRVGANYASGGSGILDITVQLFAETKRKIIRAGLVGREELDDLLARSLFVMSSGGNDFDAFDYGVPTSEAPAFIAGMVATYIKLIKELYGLGARKLAILDMVPVGCLPSQRAITANGDCDTDGNAMAEMFNGGLRAEMAKAVAASMPDLRYSIASLYNVLSDMIADPALAGLREVENACCGGGKFNGEMNCIAGVSCLCADRDEYLFWDKVHGTQAAYSRAVHAFFNGTTRDAEPINLSQLVRVGAPSSTAPATAAAYSSI >Et_4B_039616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2391095:2393057:-1 gene:Et_4B_039616 transcript:Et_4B_039616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPANSTTFSGDVWAELRLADARDVPHIHRLIHQMAEFELLTDLFAATEELLTSTLFPSPAPPPFTSFTALILDISSSPLAPDSATTIGSCRLDLAASPLADPEAAAFASPRGGGRVTAGFVICFPNYSTFLSKPGLYVEDIFVRAPWRRRGLGRMMLSAVAGKAAEIGMGRVEWCVLDWNQNAINFYEEMGADVLPQWRICRLTGPALDKYKGNQEEAAEGKAAEPRTRLLLYVPVVD >Et_10A_001443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3320215:3326547:-1 gene:Et_10A_001443 transcript:Et_10A_001443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAALPPTAAFSPPPLILPCVLFSSSCGRWAPPLRSSREASAFGWSQLRRRERGRRSRAGDFPAMGRPPILSVALPSDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGFDVDPINSVQFSNHTGYPTFRGQVLNGTQLWDLIEGLGENDLLHYTHLLTGYIGSVSFLETVLQVVEKLRSVNPDLVYVCDPVLGDEGKLYVPQELISVYQQKVVPVASMLTPNQFEVELLTGLRINSEQDGLTACNTLHSAGPQKVVITSALIEGKLLLIGSHKKTKEQPPEQFKIEIPKIPAYFTGTGDLTTALLLGWSNKYPDNLETAAELAVSSLQALLKRTVEDYKTAGFDPSTSSLEIRLIQSQDNIRSPAVTCKAVKYS >Et_8A_056581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1291002:1293954:1 gene:Et_8A_056581 transcript:Et_8A_056581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAAEDRFSHDETPELCYDDHPSPVAAAEAVAPPITNRKISSPGKRIHQDGAIVAAGRGAFVLDAQQVFELCKLNVTPSVFKMYVELSIFKNWKTINDGPVNDFASLMTLPLKWADSDWTRSVLPHKA >Et_2A_017337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33304559:33307307:-1 gene:Et_2A_017337 transcript:Et_2A_017337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQDLEMAARYGDGGAYPRPAARHGGGGGGGSKVELDDDGKKKRTGTVWTASAHIITAVIGSGVLSLAWSMAQLGWVVGSITMILFSLITYYTSCLLADCYRSGDQISGNRNYTYMDAVAAYLGSWQVWTCGVFQYINLVGTAVGYTITASICAAAVHKANCFHKNGHDADCSVYTTMYMVVFGVVQIFFSQLPNLHELTWLSVLSAVMSFTYSLIAVGLSLARTITGPSVKTTLTGTEIGVDVTEAQKVWLTFQALGNIAFAYSYSMILIEIQDTVKSPPAEHKTMKKATLYGVSSTTVFYMLAGLLGYSAFGNAAPGNILTGFGFYEPYWLIDFANVCVVVHLVGGYQVYCQPIFAFVETWAAARWPNAKFITQEHPVAAGKFSFNMFRLTWRTAFVVVSTVLAIVMPFFNDILGFLGAMGFWPLTVYYPVEMYIRQRQIKKFTTKWMALQGLSLLCFLVSLAAAVGSIEGVTESLKHYVPFKTKT >Et_4A_033241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20311008:20328676:1 gene:Et_4A_033241 transcript:Et_4A_033241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METYTTDDALTAMGFGKFQALVLAFAGFGWLAEAMEVMLLSFLGPLVTQEWNLSAQSESLLSSVVFAGMLTGALVWGFVSDRYGRRTVLLFSTLLTSGLGFLSALSPNYVCLLVLRFFVGIGVGGGHVFLSWFLEFVPAGNRGKWISIFAFFWTIDTVLEASLAWVVLSALSWRWLLALSALPCFLLLAFFGITPESPRYLCVQNRMSDAMLVLERMANANQSALPLGFLVYQRETKNNHIIHTFEDEHLIPVSEKELGRVLVHHQESRDGHGTLTSENEHLLPVREDCMSSKSGGINALRELVSRKLLRSTLLLWFVSFANSFAYYGLVLLASQLSDANRSCTSGLMHEMHKKDSSFYKDTFITSLAEIPGLIFSAVLVDWFGRKVTMWCMLFACCAFLGPLVVHQNELLTTTLLFGARAGGMASSTVLCLYSPEVYPTSVRSTGVGISSTIARIGGIICPLVAVGMLQSCHQMEAVLTYTIDDALTAMGFGKFQALVLAYAGMGWVAEAMELMLLSFLGPQVREEWNISPENESLLSSVVFAGMLIGACTWGFVSDKYGRRTGLLFSTLFTTGVGCLSALSPNYLCLVTLRFLVGVGVGGSHVFISWFLEFVPAKNRGTWMIIFSGFWTLGTVLEASLAWVVLSALNWRWLLAFTALPCFLLLPFFGATSESPRYLCVQNRISDATIVLERIATANQSSLPPGVLTYHCEMENDHKPLDSENEFLLDAREKECTIDNAVSSKSGSIEAMRKLLSRRLLRSTLLIWFVFYANSFAYYGLVLLTSELSDANRSCKSGLKFERHQKETNLYKDTFITSLAEVPGLILSAILVDWFGRKASMWTMMFACCAFLCPLVLSQNELLTTILLFGARACAMGSFTVLCLYAPEVYPTSVRSTGVGIATAIGRIGGVVCPIVAVAMLRGCHQMEAILVFELVLLLAGVACFLFPVETKGRAMD >Et_10A_001793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8219765:8221785:1 gene:Et_10A_001793 transcript:Et_10A_001793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCPCFGSAQAAERERAAEADRVEAQEARAKAAEAAQRRQEEYEKSAAGRAAKAQMKAMKESKTANQGEPVLKRMRGHLVCDA >Et_6A_046317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12898258:12902508:-1 gene:Et_6A_046317 transcript:Et_6A_046317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEFKLRGFDEEDVACFNLWIRHAVRCKVQVLQLDVVIEDNPADPFLQLDNLPLISSHLTRLQLYNLNFSNNFLNFSGCPALKDLEITNCDFLDVKKLSSLSLKHLTIRACFNSQQLGPHICTPGLVSLHLDDPTENRAPVLERMPELVVAYVNVSPYLDKCDCDYSVNYCRHVMGTSILDSDSNDDDAVKGYDSMLLRGLSEAKDLTLLARNPRMFIFRHDLRWCPMFVKLTTLLLNEHWCVPTDLRALACILERSPVLKSLTLQLFLEGPEHKVEMEGSRNPTESTPTISERLWIVSVKCEVVDERVLSVMKFLSRHNIRKWTNDTSYNLRCKEHCCNYMELPGYSFAATISTPYPRKLG >Et_2A_017968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8429857:8433506:-1 gene:Et_2A_017968 transcript:Et_2A_017968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWESILRGGGRRFLKRKDSDAGEAGRALEELRGSLYNDFHTSEGAKRQQQRLCGPIVALTFNFVVAVGIIMANKVVMGSVGFNFPIALSLIHYLVAWVLMAVLKALYLLPIAPPSKSTPFSSLFALGVVMSFSTGLANVSLKHNSVGFYQMAKIAVTPTIVVAEFFLFQKTVSLQKVITLVVVSFGVAIATVTDLEFSFFGACVALAWIIPSAVNKILWSNLQQSGNWTALALMWKTTPITIFFFIVLMPLLDPPGFWSFNWNFKNSCAVIISALFGFLLQWSGALALGATSALSHVVLGQFKTIVIMLSGYLVFNSDPGFTSLCGAVIALVGMSVYTYLGIIDSATGGKKNPLKSARQNSPLLKSKVIKDGEKQETRTIESVLHISYWSLVDT >Et_8A_058048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16070760:16073036:1 gene:Et_8A_058048 transcript:Et_8A_058048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEWRARVRDRVLEAADLCRRANGLLAVAAGRLAQPMRAADAPGDRVRGLAAEDLLVDASSNLAVVASLMAAAELVVLRGAAPTLEVPLRSVEEINLHAEPNLQLALGELRPARTRADDACLAVERGRGHLWTAYQLLDFGRLPGISVDGYLDAERAAAHREVDHARALAEECATLARAAYDRLVLIVPFILLPLVDDG >Et_9A_062594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24352740:24354917:1 gene:Et_9A_062594 transcript:Et_9A_062594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVESAPDSPTQAPPSSASSLPKEHSQVELELRLLQGLEFYPPSKLKGVHRSFVLYGLMEYLSKSLERNFSCDEVVQLLERFFNLDLLKPEDEEKDSFSQSEEFSLPESFRIKEE >Et_7A_051336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17021937:17028033:-1 gene:Et_7A_051336 transcript:Et_7A_051336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSNFTSRAWIQGSADRRAASEHFTTGIATAASIIHIREARSRNSDPARQTTREPRPPLTPWTEIREGGGGRDSPENPWLDRSDAGEREAPANVTNPRRAAARAEGATAAAGEETAAAAEVAMRERRREPRAEVAEKRREARGRDVMGEAGAVFVAVAKRTRRRRILFAGWGLEALEAQRGRTPPAERTRRGGGNTDECGSKSGSPKASQVGPSQVSFRRRRRPKAEAARGLEIQIYATGFPGYINLSLISSEHNISGEEILKSSDIYNLVTLTKPPEAMFISIFIILVFFICSTCSSFGYTKSRDVGARKSFSFRHTVNPNTSVISMLSMPLGSFQSKWYTRFASMISTEAKPKFTPGHILRPDPKGKY >Et_1A_009232.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:34598250:34600280:-1 gene:Et_1A_009232 transcript:Et_1A_009232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIADASYTLVGPPEARHAAARATEAAPAAAAASTAAVAPTGEAFLDLIDANFNKATALSPAPAAAEGGGKTLTENLSPTFVSSGDPCLDFFFHVVPGTPASSVASLLSTAWAADPATALRLVANLRGVRGSGKSDREGFYAAALWLHAHHPRTLALNAASVAAFGYLKDLPELLHRIVHGGRSTRTPGKKARLAAEGGFGRRRRFTSRSSQSRRRRPAEAEAEHAASPRSAGTTEERVAASLERDRGLAAAAAAARRTKRAEAAAMAVEMYSRDPTYRLLHDRTADLFATLLAEDMRKLADGKVREFSLAAKWCPSLDSSYDRSTLLCEAVARRLFPRGSSPELSAADLADAHYAYRARERLRKAALVPLRRALKLPEVFISARAWESVVYTRVASVAMKNYKDLFLKRDADRFNAYLADVKSGKKRIAAGALLPHEIIASLADDTIDDNNDVAGLQWRRMVDDMRALGALSNCVAVCDVSGSMSGIPMDVCVALGLLVSELSEEPWRGRVITFSESPQLHRIAGETLAEKVEFVRDMDWGMNTNFQAVFDKVLEVAVGARLAPDRMVRRVFVFSDMEFDVASAQPWETDHEAIVRKFTEAGYGDAVPEVVFWNLRDSKAVPVEAGQKGVALVSGFSKNLLKLFLNGGGIISPRAVMEKAIAGEEYDKLAVFD >Et_2A_015427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12526519:12535946:-1 gene:Et_2A_015427 transcript:Et_2A_015427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAIRTFHEVKRKRDKKKESRKESADPRWRPGVQGRDIKGGQGTYSSRQLSNSNDLSGKKALPGKEIGLNQNMDKGNIIFVNRNMESKSLTSSSSFVASVVANTARIPKSTSKPTTAENLTYKDVPITVGTVTLSIPVLNSAHKEDLSLEVDKRLTEMQLSDKQHVIIPDHLQVTESEKYGLSFGSFGNSFERTAPKGTECEKSSKPPEEDSSHELHELVHEPAISCQGVSSVINMEAHKGVRQLSVDDSSPQGAYGSSETLDVAGSDLAKDSSEASNVHQESAAQTTMFALAPQNHGNQTQLLETSESQVHAANDFSASYHTQLYRPIANVDAHLSPFAAPEAPMKYGNIPVSHAQTSDAQEGINSFVGPSTGSSTLVPAASGVVPSSMAIPQAPVPFFRQPVGVPHYAPSFIPYNHYISPLYYPPHTLNHFMGNAAVFPQPPSTGSMYPPVSAAGAPPVKYSSSLYKPDDNTGNQTHVGVPGAYAVYGSSPSVYTNNAVVTNGASVETDDAIGSQFNENNGFVAAQQNDGSTVWIPAPAPGHDVSLLQPTSFYGMPTQGQPLAFAPAQAGVFRGMYHPTQTLAGPAYHPLQSSQTTAGVVEMSGPPASGYQQSQQAQMSWGSY >Et_2A_015884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18762211:18762760:-1 gene:Et_2A_015884 transcript:Et_2A_015884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TTKTVGRANWNHQRIVYLIGLLKEYDVPRYRTNNAWSKEAWNNIVAQFNLKFSTTYTLFQVKQKEQDMKKEYRVVKDLCGESGFGWDSDRKMIVFGNHWEHAKTKSPFFDGEISRIRTMTTCLLYIMLATQFCTYMCNGSIKFMQGVMLKEEVVMAWITMRIRKSSL >Et_3A_026818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22013543:22016805:-1 gene:Et_3A_026818 transcript:Et_3A_026818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELKDLQKDPPTFGHIHVGRSPVGEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRHKYENTARTWTQRYAM >Et_4A_033202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:190100:191158:-1 gene:Et_4A_033202 transcript:Et_4A_033202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DDGLFYNASAAAFMQWGNARATWYGQPNGAGPSDNGGACGFKNVNQYPFMSMTSCGNQPLYKDGKGCGSCYKIKCSGHSACSGRPETVVITDMNYYPVSQYHFDLSGTAFGKLAKPGRSNELRHAGIIDIQFARVPCEFPGLKVGFHVEEGSNQVYFAVLIENENGDGDVVQVDLMESSGGPKRWTPMRESWGSIWRIDSNHRLRPPFSIRIRSDSGKTLVARDVIPVNWRPNTFYRSFVQYS >Et_8A_057467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3360173:3361126:1 gene:Et_8A_057467 transcript:Et_8A_057467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEWHIDYLKKQHTGFKFRKPHHMLCADALNKKFVMRVTVGQVDRHYRYHKENWKYIATALSKSGNSFDNTRCLVIISESEKSTLCVNQNTCMGGSDGSDSDDSRDLIDLNCYTRPEDPLGEDSDTLPTPTRHGNVDNTCSSTSRGNSKRPKGKKTPPTEKPQNKSRLAESTKEITATMKSLRETLATTAPLQMPQLIDPHATLWQKLERIPMTSDQRVLVGEYLFSKKNKGKRSWLCSASAETLHAWVFKFLCEKEGINL >Et_2B_021049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26030536:26033453:1 gene:Et_2B_021049 transcript:Et_2B_021049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTEKLSEDGENDKLKFGLSSMQGWRTTMEDAHSALLDLDNDTSFFGVFDGHGGKVVAKFCAKYLHREVLNSEAYAAGDLGTAVHRAFFRMDEMMRGQRGWRELQALGDKINQFTGMIEGLIWSPKGSDSNDEHDDWAFEEGPHSDFTGPNCGCTACVAIIRNRQLVVANAGDSRCVISRNGQAYNLSRDHKPELEAERERIQSAGGYIQMGRVNGTLNLSRAIGDMEFKQNKFLTPDKQILTANPDINIVELADDDEFLVLACDGIWDCMSSQQLVDFIHEHINTEPSLSAVCERVLDRCLAPSTLGGEGCDNMTMILVQFKKPISQNKEASGAEQSAGDADHTETCVAEENGS >Et_6A_047442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:663765:673062:1 gene:Et_6A_047442 transcript:Et_6A_047442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSQIDSSNHVLLDTEPDVQCLVCTRSFTLDPEVNDSFEALAICRECKMTVLNENNRDGAASIRRERRRRRPRSRATSLESVEATFSQRLSHLINLAGQGHEADIDSPPVSRQQASFTSTPNQSQRGHASDDESDGLNYVDSVFGETESNFSFGDYVGESDASLDQHARLGREIAIHLDNESYLNTDTDIDPMNAGMDHWDSDDQEDEQSEDSDFDDVIGIMQQRRQHLHDIAPGGFSDNESEDVWTSRRLGIRRVERVDMMANMDRPAVRRHFTGNPGDYVDARQFEMLLDRFAEDNNTRRGAPPASNSIVENLPSVVISASHETNGGVTCPVCKDHMPIRSVAKRLPCTHLYHSSCILPWLSYRNTCPVCRYELPTDDQEYERLKQATTNERDIHGAQHTRLQETLEEISDEPEVEGTFDTRGGTTEEANTNEHAVPARQQPNGSPGRHRWLFIAAAPVVSLVSLALVLCFTNSSSNARRQLWRRSQSTTTGHVNTKSSWWSITLSPFLPLFLCLMEEVLVLVIAFLLCLPLSYLFHQLCLRPENIRKKLRKQGVKGPEPTLLYGNTREMKRIKQELKLVQTQDAKDYISTLFPHFLLWRKTYGRVFLYSTGALEILHVSDPEMVKDIGHWTPSELGKPNYLKKSRKALFGGGLFTVNGDEWAYQRKIIAPEFFMEKIKGMIQLIEDATVPVLEAWDNMFDNAEESREIVVDDYLRNLSADVIARACFGSSFTRAEDIFCKLRQLQKAISQQDGFAGLPALWKYLPTRTNREIKKLDEEVRLLIRDVIKEHNNSMNNDFLHAIINGASEKGSHASIAAHKEFIIGSCKTMYFAGHETTAVTLIWCLMLLATHPEWQERARAEALEAFSGRATLDVDFLRRSIYLYSTGSIQVLNVTDPDMVKELANCKSLDLGRPLYLQKELGAFFGRGILTSNGDLWVHQRKVIAPEFFMGKVKRYEHDSSGIKDLLRSIVEGAKTGPLSSCTPEDFIVDNCKNIYFAGHETTSTTAAWCLILLASHPKWQSSVRAEVLDVCQGNPVHADMLPKLKTLTMVIQETLRVYPPAVFVTREALNDINLGGMNIPKGTNVRVPIAIVHRDPSVWGSNPDKFDPGRFANGIAGACKPSHMYMPFGLGARTCAGQNLAMVELKVVLSLLLSKFEFALSPKYVHCPAFRMTVEPGQGVPLILKKL >Et_6B_048281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7517577:7517814:-1 gene:Et_6B_048281 transcript:Et_6B_048281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSEGEKHFIRGGIAQDIRTDGSRRLQFRAISPSRQESSHRRMVRSVL >Et_2A_015559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1561080:1561873:1 gene:Et_2A_015559 transcript:Et_2A_015559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LERRVNEVVDFYDGNKMPGSRGRMARGRSRGGHSRGMPDLMRQFGEIMREGDVAGTDSFLRRVVAEELQRYRALTSVVVRDGGSTSF >Et_3B_027768.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18446048:18446689:1 gene:Et_3B_027768 transcript:Et_3B_027768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGSSLTHSLPAKRRDKPSTSTIHSLSEDLLRLAIFLHLPSLATLVRAALTCRAWRRAVASSPAFRRLFQSLHPPPILGFFFEAPGVVQTPNTPAFPTFIPARRRGRDLAAAVRDGDFFLTSLDHCPDEAPCWYIVDCCRGRVLLMNWDDSSLVVFNPLMPRSEDAFDLGSEDLFEGRRGHYAQLNPRLLFSDEDPTVSLKRNTPDERSKS >Et_2A_014979.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24360736:24361086:1 gene:Et_2A_014979 transcript:Et_2A_014979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFAAMVASRRAAPAPTDAPAEVEEVKEEKPKKKMTKEDEAYLSIQLEEIVIVKNEDIGAAHGGNTQCSAASGRASASPFMEQCASAAAAPPAGSSTAAAARGALTTVARMVGFD >Et_3B_028521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16459038:16461184:1 gene:Et_3B_028521 transcript:Et_3B_028521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLISFSSRPVPVLSFSSRSRPVAGSSFLLRRPNRQSSHGRLLILASANYHAAELEPPVPCDDPTATGNPDADPTPLFLRPAIHPVPASELAAFRRRASSLAPHYLHGHLRLLLADAGVADSDPALLRAPLHVLEALWLGHVRGRRPIQYVVGNERWRDLVVAVREGVLIPRPETAAVVDMVKAMLGEEGFAGGWWADVGTGSGAIAVAVARELGPAGRVFATDISDVAIQVARLNVERYGVQDKVEIRKGSWFEPLQDVKGKLTGVISNPPYIPAEDLPNLRGLRPEMVCHEPKLAMDGGRDGLEHLLHLCEGLSSALKPGGIFAFETNGNEQSEFLVDLISSKWRSSFRDVEAKNKYNSRGLIHLLSGLPWRCPRNTIWAAMYSYANLSRAKLQRWRREVPRLLDPFIDIEAEEVGSGDDQESRAQLM >Et_2A_017207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3192677:3195152:-1 gene:Et_2A_017207 transcript:Et_2A_017207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYALVARGNVVLAEHSAAATNAGAVARQVLERLPDGGADSHVSYTQDRYVFHAKRTDGITALCMADDAAGRRIPFAFLEDIHGRFVKTYGRAALTALAYAMNDEFSRVLSQQMDYYSNDPNADRINRMRGEISQVRSVMIDNIDKVLERGDRLELLVDKTANMQGNTVRFKRQARRFRNTVWWRNVKLTVALILLLLVIIYVVLVFVCHGFTLPTCIR >Et_4B_036313.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:17085540:17085728:-1 gene:Et_4B_036313 transcript:Et_4B_036313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISYSCYYTFYRNKKKSQLFSVTHKNGISKVNFSFQYLSFLESYFCSSTNRLRMRKEGLFFP >Et_7B_054919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5519700:5521092:-1 gene:Et_7B_054919 transcript:Et_7B_054919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALGGAGRTGSGGGRDNPYALGGGGASAAAWTRLVSSGVEDELLSVVVGGGGRAGGMPPPPPPQGHFLEACFLCRKPLASNRDIFMYRGDIPFCTEECRREQIEMDEEMERKESTSKKVASRAPSPKDVESPPRPPKARAGSILAG >Et_2B_020637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22241392:22242723:1 gene:Et_2B_020637 transcript:Et_2B_020637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWQYRNDYCISHWLRLIPRNFRLLEELERGEKGIGDGSVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMACVNHETGVVDPKKFNVLANWQREYTMEYILTQLKKEMASPQNRKLVQPPEGTFF >Et_7B_055030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6567667:6569261:-1 gene:Et_7B_055030 transcript:Et_7B_055030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSLLQRLVKWCPWLLRDEPKQPVKVLVTGAAGQIGYAIVAMIARGLMLGPDQPVILHMLDLPRMADVLNGVKMELVDAALPLLRGVVATSDQAEAFKGVNFAVLIGGWPRKEGMERKDLIAKNVAIYRAQASALQQHAAPNCKVLVVANPANTNALVLKEFAPTIPDKNITCLTRLDHNRALGQISEKLGVHVGDVKNAVVWGNHSSTQFPDASHATVKTEQGEKPVKELVSDKTWLREEFVSVVQQRGAAVIKARKQSSSLSAASAACDHMRDWVLGTPKGTWVSMGVYSDGSYGVPEGIFYSFPVTCDKGEWSIVQGLQVDDFARSKMELSAKELEEEKAIADEFVSIERE >Et_1A_009166.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31463196:31463999:-1 gene:Et_1A_009166 transcript:Et_1A_009166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTVLPLLLLLPFLFFASAAAAADVAQAPTPQLSEANLTRTLEKGEQYTTLLRLLNTTRVLDQLGGQLRDSYDGLTFLAPTDSAFAKLAPGALNAFNDQQQAQLVLYHVLPRYYSLSTFQTASNPLRTEASGPGGAYSVNVTAGTAAGSLVRVSTGVVDVPLSNTLLAEFPLAVYSLDEVLLPEQLFGGKGAATGKQAAAPAPATAARKKGAAATKSRGAAGPSAEAPGTEEGDESSTNAAAAGRGSTVVAALALMAVVVNIVVA >Et_1B_011191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18597653:18600825:1 gene:Et_1B_011191 transcript:Et_1B_011191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLTLASLLLLLPASAGDVRRALHEPLFPIEWTPPPSTTAPPGPGFAADPSTPVPPDDGGPALLPPPPPPPTTTVPADASNSRTGPAPRVHGGGTPKAAIVVASAAAAAVLALLAFAAAFLLTGRLARHHAQAQQHKPQPAAAYSVAAAMGSSSVAGSSSGTPYRKVRADRAPRRGMCRDVDTVPSPELRPLPPLRRAGSSDEDAAYYTPGQRSAESGSGGGGRGEGEGGGTWSEASASSPRTTTPSRRSLPSLTSDVFPPTPAATAVAPAPPAPPPAAPRSRRTLPRTRFSAGSASDMIKQMVSPPTNQPAPPAPPPPPAAAPRMNNAIPKPPAPPPPESSTRRMSMRQDDDDGRPKLKPLHWDKVRACSDRDMVWDRLKSNSFQLDEDMIEVLFTNNAANAPSTRDTPKKAGVPPCRQEKVLDPKKAQNIAILLRALNVTLEEVSDALLDGNAESLGAELLETLVKMAPTKEEELKLRNFPGDVSKLGSAERFLKAVLDIPFSFKRVDAMLYRANFESEINYLRKSFETLKAACDDLRGSRLFLKLLEAVLRTGNRMNVGTNRGQAKAFKLDSLLKLADAPMAKPLYYTLLFKKLSDLRMENRRKLQKHGINIVSGLSTELGNVKKAAGMDFDVLHGYVSKLEGGLGKIKSVLLLEKQCTQGQKFFTTMRDFLQEAELGIEQVRCEEKTALGTVKEITEYFHGDAAKEEAHPLRIFTVVRDFLSMLDHVCREVSQQDRTVVGSARSFRMSAAAMRVLNTRTQHGSESTSDD >Et_4B_039232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:954561:956363:1 gene:Et_4B_039232 transcript:Et_4B_039232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMEQWADQQAASTSAAAAAQMPFLALLQGAMVDNNADDGAARKGQAAAFACADLDLLESCVTQAAAAVTVASSAPATCRAERRIRKRPRPRSRAAPQQEKRKKPEEAETQRMTHIAVERNRRRLMNDHLASLRSLIPSSYIPRGDQATVVGGAIDYVKQLEQQLVALQAEAAARRGGREAASDGVFVSPQYTSFSEARGGCAGVDVEAMAAVGGHVRVRVAGRRWPGRLVRAVAALEDLHLAVLHLAVTSVGHDAVVYCFNLKMEEGCEVATADEVATVVHQIFAYAGTCC >Et_1A_005421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10271172:10276592:1 gene:Et_1A_005421 transcript:Et_1A_005421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGQPVRAVADRHVARRELIDRGYQEQDDASSGTTSDDGGSQTVTGAPSVTIGEALQAAALTAAGDRPVDHADAAAVRVAEARAAGLFRWAVVPGGVGDAAQRAADANAAAGEEKARVTTLRDVVGNACSAMPANKVATWEDAMAVAAASGSSAGMGGMGEVADAVAAAAEMNDGRKLMSQAQAVLGGDVYPQSAGDQEARRERDRVITQEGHVKHDGALHVDETDLPGGKRMVTSSARGQVLAQFTVPVPDKKAAEATDAVTVGEALQAAAQTSAGDRPVGLADASAVQAAEMRATGLAGNLPGGVAAAAQRAAEANMKAAAPGSERGKARLKDVVGGAAAALPANKVATREDAEKVAAAAGRNKGATKGSGARSGVVEAMEAAADMNKGRMM >Et_3B_030028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:352069:353038:-1 gene:Et_3B_030028 transcript:Et_3B_030028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQKDDHQLVEDQEEVDDDDLNWSSIYEGVLRTRMAERNSSRSSPALHRAPSMPVAPSPPEMATKPTPSMPRLRHSYSTLDRHQVRSFSANQQPPMVKSVFQKWKSSSDLESIEVQGFKDLGFVFDKEELRENLADVLPGLKSSSAPAAAANRHGQGAAVPRPYLSEAWHHVRRPPAAIRDARSAAEMKDQLRMWAQAVACNVRQECRQLCSGLLNNTMAKKDHHPILLLTHRFTK >Et_8B_059836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3173302:3179994:-1 gene:Et_8B_059836 transcript:Et_8B_059836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGTGRYGGGPRSPWRRSSPWSSPVDLWCARATDEEEAPEDLNRTAGLREGGGSTSMKTKTWSTNLDILVGLEEGDEGFKSLMNTCHFRPEYVIFLLKGGIRKDDASQKLNVFLEDDSTAFVSWLWDHLSIHLHLYAQEQNQQQIKDVESPKEVSGRQKSSVLLPRSKGQTHSEHTIESSTNAVKRNKREWKGIGREGNEKFPLRSVLTDILHGEEKRAQKSNETRQPPLKQQSGRKRDREDEPQQTKRDVSSRPMLGGGASRRLLQFAVRDAVKAVQPTSSPSEPASKRLRSVVSTASADNLHDKRSERSQECLNDRRSERTRPVLQVQGAALALKAAAEAAADSTKVRSTGSVFGRLGQGNVVNQRSHSREEKRDYEDLEPVSTVDEHDSDRYGNDEESEEESGELTMADREAEMSVDSASDDDVDRDEGIIRYQSSGSHESAVESLVEKKAFLVKCSIEPEIKAKRPSSVTNKEQPISSSTVAASKTVAVPAVVNNLEPINYETPKDVHVVEKPFIKPTNANATSLVSNVKEVDHAEVQKESQRTAPSVAVSYSMAHPTEDADSRTLYVSNVHFAATKDSLSRHFNKFGAVLKVVIVTNAASGQPTGSAYVVRRSSHEAAQFFGWHGIGRPSMYGRPSRMYPRSVHPGGSFRGRAPMKAGARSLQWKREPSGTDSSAGAALSSEQVLPPAT >Et_7B_055202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8243012:8246886:1 gene:Et_7B_055202 transcript:Et_7B_055202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GYILDMNSDHVLEVPDTPDRIQQSTCPVSSPVAKRDINPSPCRRFRIKTRNTVYDPSSQSNACRVLPAPTVADNIFRQADAARSLAVSEAKLPSQRSNKTIGTSLQNEKMTEKCVLAQSSSISNHISCGGTGGRSRSCQIRDGEVSKQDANHRDPNFLGVGSALPTIPVGKPRNKKGTSIPNRLKAVAGADVCPGLSSEEVKGEVISNMVVAGPSSPQRVVPQRHMGQKKLVRNGCISPSNIARRSIIVDEKQEMCSPGGVLHHPHPECDGFGTVGVIDLTDNSPMVTRHGNAVNISANIKEIRAEKRFRTERASEAFVPPSEYLANGSNSSGISLSGRISKGKEISHDMLDREQIREANSRRVFLSAAGTSATNTSSSCVNLEEGWRTTHNHTSRLPISSMGKTSSRYRQESGSSAPFDLGHESAGDIDLISGATAIPTERLGNRATRNAGGRRKRALSSSHPGESSSTLDEPRESFLASSEATTSRYRNTHRHNIPVITIDDTIPEVGPSSSGCSDGTSVDPTVQAQLESDELLARQLQEQLYNETPRVAPAEDIDAVIAMSLQHEENTYRTTRAVRRPQYNTGSRSNVYRNAVARTNNTISRLQNTASITLGLGAVFPRSPGIPRTQPNIDLNDYDALLALDENNHQHTGASESQINSLPQSVVQSNSIEEPCAVCLENPSIGDTIRHLPCFHKFHKECIDEWLKRKKLCPICKSGIR >Et_1A_009325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3949808:3953213:1 gene:Et_1A_009325 transcript:Et_1A_009325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGEEFAIGVVISVKTTLGEEFEGQIVSFDRPSNLLVIHILPKSLPPVSISPPISMLFTLSIPLLACSRSQEGVGKAGRGDRRNVRVLKANYIREFSVVSQGEDPLDPAGCMLDLAAIHAREEAALRQAEIEAERIGVGVTPEAQSIFDALSKTLPVHWDKTDIVVMKEVRVRSPYLPENVSGGTSAANERVKKVEEHLARLL >Et_9A_061269.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:430886:431203:-1 gene:Et_9A_061269 transcript:Et_9A_061269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDTRCPMCYRLDKDGGHLLPFIFQMQICSECLERATDVRHSSHFGCTAILTGSIDEHMGMGKGSATESHHSTFDAMDSMKWHKCRGKNEISRHCGWNDPEEFY >Et_5A_042193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7230401:7232002:-1 gene:Et_5A_042193 transcript:Et_5A_042193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGSCIGAATVVGLVAETKLREAAMAKGAASSPLAVVTGARGKAWMTTLHPAGVRKSLPAKRSGLCVDNGSKHVTVTQRNNWQCGFFRTHPQEFPQKGAHPVHHHVHRYHAVWVPGDRRDLGGAGLAECILDLLPRRSLQEDMREQLLELLPSPICVGAILPREAHVDPRRSHRPIARTPVLVVLDGAEAAEQPSLLPLYLHRLIRLRRLW >Et_4A_035604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30864485:30866782:-1 gene:Et_4A_035604 transcript:Et_4A_035604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIILIEFSERLSYFGIATSLMIYLTKVLQEELKVAAKNANYWMSVTTLMPLVGGFVADGYLGRFSTVVFSTIVYLLGLMVLATSQLAPRLKPGHSLHLHETLFFVGIYLVSVGTGGHKPALESFGADQFDESHASERVQKMSFFNWWNCALCAGVLLGVTVIVYAQERVGWGAASVVLAGVMAASLVAFLAGWRFYRYRVSEGSPLTPLLQVVVAALRKRRLQLPADAGELYEVKPQNIKKRLLCHTDQLRFLDKAAIVEEHGEGRGPWRLATVTQVEETKLVLAMVPIWVATLAFGMAAAQVSTFFIKQASVMDRRLGPHFVLPPASVFALSALAMIATVALYDKALVPYLRRATGGERGLSILKRIGVGMAVAVAAMAAAALVERRRLRSPAPAAVHVAWLVPQFVLMGVADGFALVGLQEYFYDQVPDSMRSLGIGIYLSVVGAGSFLSSLAITAADKASSRGGRASWFAKDLNHSRLDLFYWVLACVGAVNLAFYMVVAARYSYKTVKGAARVGVDKTAAGDVECAAAATAY >Et_1B_011792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26016703:26019285:-1 gene:Et_1B_011792 transcript:Et_1B_011792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKVCATAAEEMTGGGELPLGHVKVMTDEQIEVLRKQISIYATLCEQLVQMHRLLTDHQESISGMSFSNLYCDPLIVPGGHKITARQRWQPTPMQLQILENIFDQGNGTPSKQKIKDIAVELSHEGQITETNVYNWFQNRRARSKRKQAASLPNNAESEAEADEESLTDKKPKSDRSLQESMAMSFHNADRISEMHHFETEQNQMGGMTYGSNDNNLRMSGSSGQMSLYENIMSNPRIDHFLGKVESSRSFSHLQHGEGFGMFGGHVDALSHP >Et_3A_023479.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3054452:3054688:1 gene:Et_3A_023479 transcript:Et_3A_023479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPARGKKWFSKEDSPAWKALTMAVKSPVLHEEVEVGESEDVGLDAGVGGLHETPANDSDMQVSAAFGASHSSSQGSC >Et_3B_030867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8122819:8130702:1 gene:Et_3B_030867 transcript:Et_3B_030867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPIHSAAAKVSSQANGQELASSEFKCRDSSNGGKLPHYGPRRVVFPPSKFREDFAGSKKKYIVSSSDIQNYNAICSLATSPNQKEFAVDISGVRCTFWSLGESLKPGGVVMPYVVSAYCYHLFSKSGGHTDMSKKYYYFPSIGENLLCDPDVAKLEVLKKAFKLSKKARPLQRSDIVSIYVMVFLELWQSPRTILSQLFDGSDIPNIRIKIANTLLFLHNNVGKKYLVNDFINKIQHLIFTAQFRIGSFICEQRIPSFKNLQQMQLNSSLPGVSLAE >Et_5A_042393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9541697:9544848:1 gene:Et_5A_042393 transcript:Et_5A_042393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TNGYGYSHQQKRAQTPSSYNPSQPQSTAEVRYTPPAMNAPVVPPVVVPPKPTPDTILGKQYEDVRSVYSLGKELGRGQFGVTYLCTEIATGRQYACKSISKRKLTSKADREDIRREIQIMQHLDIVGSAYYVAPEVLKRSYGKEIDVWSAGVILYILLSGVPPFWAETEKGIFDAILHEEIDFESQPWPSISESAKDLVRKMLTRDPKKRLTSAQVLQHPWLREGGDASDKPIDSAVLSRMKQFRAMNKLKKMALKVIASNLNEEEIKGLKQMFMNMDTDNSGTITYEELKAGLAKLGSKLSEAEVKQLMEAADVDGNGSIDYVEFITATMHRHKLERDEHLFKAFQYFDKDNSGFITRDELESALIEHEMGDASTIKDIISEVDSDNDGRINYEEFCAMMRGGTQQPMRLK >Et_2B_022794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5375241:5377151:-1 gene:Et_2B_022794 transcript:Et_2B_022794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFLPTGTLAIRLQDDPLGRVRRADGDSCPTHRVVMLWTLLLLCAAACCFFHFIASFRASNGQVYYGLVTPMGLSPLRTGLGVEMRPREDRYRLRFRDAVHAGMSVLIFAAVALADHRVYGCLIPGHSKNTHKVMENHFPPRLVGTQSKAKIKPKALLAQDTQKKDPSAKSLLLSLPMFGLFLVFLNTRYSIVALPHEMADP >Et_2A_017183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31813808:31815348:-1 gene:Et_2A_017183 transcript:Et_2A_017183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHLAAVLLVAMARWFPEVADAGPVFNVTDFGAVAGGHTDNSKAFLMAWMKACATPGRPAVVVPKGEYFLHPLVFRGPCRGYMEVHVGGVLRAPDGLGAFRGWREWIHLAGIDGLLVTGGGTFDGRGATAWPLNECPRKRDCTLLPTSIKLGRVRNATLTGVTSLDSKSFHITVAGSQDVRISGVTIRAPRDSPNTDGVHIQGSSGVRVADSAIGTGDDCVSVGPGASDVVVSGVRCGPGHGISVGSLGRSPGEEDVRRLRVANCTIAGTANGVRIKTWRGGTRPTAVAGLVFEDIVMKRVRNPIIIDQEYCPYTTCRESEMRPSAVRISDVKFKNIRGVSATRVAVKLSCSEASPCQGLELRDIDLRYVRRGLATQSRCAHVAGGVVGGTLVPPSCI >Et_1B_009937.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:12767702:12768001:-1 gene:Et_1B_009937 transcript:Et_1B_009937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATLQRDDFIRFPGDWTLRPILYEFKANNEGTNNRVKKISRSRNSAAHGLAQQAIRAAPNTPFQSTCNHLDHDHQCPVLEALKLVHWGSITPLVVTCQ >Et_6A_047109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25406448:25407365:1 gene:Et_6A_047109 transcript:Et_6A_047109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLGYCPDDGEGVLAPSCSTACTPLAPSCSTRLAASSTCTCMVHRDIKKSANILLDDSWTAKIGHRPLVHPGRRRVHQPDPEAAAGLHCGVYGPRVLQAAGPEVQERRTLNGR >Et_3A_025991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34451159:34452924:1 gene:Et_3A_025991 transcript:Et_3A_025991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRKDQRLKCDCLVFDLDDTLYPVTSGIGADVMKNIQDYMVHKLGVEESISLELCILLYKQYGTTMAGLRAVGYQFDYDDYHSFVHGRLAYQKIKPDPVLRNILLSLPIRKVVFTNGDKIHASRALKRLGIEDCFERVVCFETLNPTSPPCVPVDELQIFDIMKHLAHPEPGVDLPKSSIMCKPSVDAMLHALKVASINPQTTVGTSERTRGADYALESLHNMKEALPELWEEAQKDEDVRNSSKVGIETSVIA >Et_2B_019572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10252997:10257861:-1 gene:Et_2B_019572 transcript:Et_2B_019572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGLFANDGSFMEKFKQMQQEMQEKEKAAAAAGGSSSAAPKPVNPKQPVVVAANKRPLEVKKAGPIGSGGKLAFSLKKAKVAVAPVFAPDDDDEDAAEVEKEEAAKRLKSVQADNPAAAAPTGAVAPPPPNDMTVRQVADKLASFVAKNGRQFENITRQKNPGDTPFKFLFDKSCSDYKYYEFRLAEEERALSQSKEAEASKNANTSSTASSRAPSGPHRSSFDQKSNYQIPASALYGAYDGSSSQGSSSSHGDSNMSVPSDPVALMEFYMKKAAQEERKRPLRQSKDEMPPPPSLQGPPKKGHHMGDFIPQEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSEKRGRADPIMAGDVKKDHLGVGAVQPGEVSAEDDIYEQYKKRMMLGYRYRPNPLRCKRGGPRRRAGRRRNPQHGPFKRPLHRCGRSGAACGTGGAANPQDTVASVVVAGEDETPTAGSSRSRRRWALAGQSPTVTAMSSSASTTAVIRGCAARPASDAMPSRVDAAPPSTLDLSFWSALCSWKCLVYCIERGVLIHGDGAGSVVAEEMIDLSLKL >Et_3A_023592.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:8761914:8762072:-1 gene:Et_3A_023592 transcript:Et_3A_023592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QFQAQSISIITGPRHRKNRHIPQLTQKFNSNRNLRNSNQNLKKQQSVLKSDC >Et_6A_047922.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:23969974:23970273:1 gene:Et_6A_047922 transcript:Et_6A_047922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPVLVAAGMLTLPECRGGSPCAGATPTRTQSSYAPRTPPPREADLIDALYVGFVEAGEREWKKEPARSRPTRMTASGGGNASVLRPLVRPGGGRYSK >Et_10A_000305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21998057:22000029:-1 gene:Et_10A_000305 transcript:Et_10A_000305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGLASDAVNLAFIEEVTRDADAVQGRVLSEILGRNGESEYLTEKCGLSSSGSVDRAAFRAKVPMATYEDLLPYIRRIADGERSLVLTGAGNPVTELFTSSGTSGGERKMIPTVEDEVDRRYLLEGLFTTVMNQHVPGIDKGKSMYFLFVSSQSKTSGGLTRLDVMHVGAVFAVALVRAVRFLQDNWDRLAADIDAGDLISGVVTDPAVREAVAAVLRGPDPELAAFVRAECGKGEWAGIIPRIWPNTRYLGTVVTGSMAQYVPTLDYYSGGLPMASDIWGASEGDFGLNLSPLCDPYEASYTIMPNMAYFEFLPVVDDGDDARDQLVELAGVEAGREYEMVITTYAGLNRYRLGDVLRVTGFHNAAPMVRFVRRKNTLLSVDVDKTDEADLQRAVERASALLRPHSGAAVVEYTSRACTADIPGRYVIYWELRYFDNEEDDTVVNGDVLERCCLEMEEALGSVYRQKRVEDGSIAPLQIRVVRSGTFEKLLDYAISRGTSIAQYKVPRCVTENPPVIDLLDSRVVSTHVSSALPHWAPDQPSNNY >Et_9A_063087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:170332:174458:1 gene:Et_9A_063087 transcript:Et_9A_063087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPCDGEGVCMVCSAAAPPEVDLLRCSTCATPWHSPCLSNPPALSDAATWSCPDCSGDAAPAPAAPGAGGELVAAIRAIEADHTLSDQEKARRRQALLAPPSSADDDAAAADDAGDDVLEIVGKNFSCVFCMKLPDRPVTWGAHLPHVAGIAGQSTYGAQSVALSGGYLDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSFDQKFEKLNAALRISCLKGYPVRVVRSHKEKRSSYAPVSGVRYDGVYRIEKCWRKVGIQSIRKRALLTLTLNDLALSDTRGDCPRDLPKINELKAATDITERKGRPAWDYDVKEGIWKWMVPPPLSRKPIPSGDPETDKQVRKMAKRAHMSVAERLLKEFGCSICRKVINEPLTTPCAHNFCKSCLLGAYESQSSMRDRSRGGRTLRAQKIVKKCPSCPTDICDFLENPQINREMMELIESLQRKAVEEADEKVASDGEECDDDAEECGDDAEECGGGDSEENDDAVGKEEGDFSLNEEEQDNAGDKKTECPVNADGSVKIVVDIKEESQPPKKLKGGDAEKGKDDKKSAPEVVAVLVEENAAKETKKGSNGVENKESQQQPHKRKGDTAIATNAAKRTKSSAAVKELAVCGTPVRQTRKGGDADCSPAVSSGRRVTRSSANPSEADDSPARRTRSRAQA >Et_5A_041383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2204516:2206173:-1 gene:Et_5A_041383 transcript:Et_5A_041383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGARARFSYNHIEETKTLSIENSALIEKCLQNDETIDILNKQLNAAREQNPGLIAEGQNKDVIIESLKKQLVAMTEEYTSLLARTQKDHDVMGNLKKQLAGMTEEQALLMNKYHEKIPRLAERPARPEAIHGRARQGALVLGRREEDEAAEGECVINRTI >Et_7B_055118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7416528:7427440:-1 gene:Et_7B_055118 transcript:Et_7B_055118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGTLEVLLVGAKGLENTDYLSNMDPYALLTCRSQEQKSSVASGKGCDPEWNETFVFTVSHSATELIIKLLDSDGGTDDDFVGEATIPLEAVYAEGSIPPTVYNVVKDEEYRGEIKIGLTFTPEEARDESFSEENFGGWRQS >Et_6A_046358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13642872:13644592:-1 gene:Et_6A_046358 transcript:Et_6A_046358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTVVNSLAVFRGDHDAMIPFLGTQSWVRSLNFSIIDDWRAWHLDGQSAGFTIAYSNNLTFATVKGASHIAPEFQPERCQAMFRRWISNKPL >Et_7B_054460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21536922:21544277:-1 gene:Et_7B_054460 transcript:Et_7B_054460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAASLRGAMLLQSPLIAAVRRKPMTTRRRAVPAKISCIGWDPEGILAPPQGGHIARLEFRRRLERDSDAREDFERQVREEHERRRREREARVIPDTDDGLVEFFLDTDAREIEVEISRLRPRLNQGFFDHITREIAQIKFSVTRTAEMEDRLIELEAMQKVLLEGVEAYDKLQNDLVTAKERLMNILQSTDRKATLLEMVERNELNMSILTLLDENIASAKSSNQNEAVAFMEDVRASIVKYITVPYLHFMSESSGDNMESKRRASPLVSRKMASMYLH >Et_8A_057311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23479508:23487443:-1 gene:Et_8A_057311 transcript:Et_8A_057311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDAAPPPPEVSPEEQAPAVESASAEQEVPATGEIDLKRKLEEVEPGTEANGVGEDAKRPRVDGEPDDAGAEQQNNEASVDLAEPAVAENSKAAPAEGAADAVNGEAPPDADAQAGSDEKPVEPTSEAPQQAASAAQETSRKIEVPNSKVGVLIGKAGETIKNLQMSSGAKIQITKDVDADSNALTRPVELVGSLESIDKAEQLIKEVIAEAEAGGSAALIARGFGSGQAGSEVFEMTIPDNKVGIIIGKGGESIRSMQGRSGARIQLIPQHPPDGVTLTERTVRITGNKNQIEAAKDLVKQAMTQTKHTPGGYGQQNYRPQGGAASQWGPPMRSQTQPGYGYPPRGMPPPQNYNPPYSGYPQQAPPRGSMGWDQRPPHSSYQGGGYDYYKQGSQPYDGQPPSYPPGPGNYNYGQSQPPSYGQPQYPQPHQQNYGHGYGEPRYSAPAPNQYYGQPPMGPQQGYPQQPDPYARPAYSGPGQWAPRGAPAADGSYQAQPPSYGPPSQQPPAYGQTYGTTAGPDGYAQQGYPQQGGQAPAPYAHNAPAAPGYPQQGTQQGGYAQYPPAAQPAYGDQAAQTNANYGYQGAPADPNYGNAYPQSGYAAAPATGQAGYTSAPAAGQPAYGQAGYTQPPANPPSYDQSAAPPAQSGYAAAPANPQPAPAKGVSPQPASGYRRGPPEQRGEEEKTGSVLLTCQPTNVVARWLRIHVHLEFVQAALLLIREHHPLHKLVDGPDVPFGLALLGQPEHGRHLPELRLPSRGVRRRAAARRINPEEVRVQLGRRQRPLHGPDAERPHVVQRAVPSVRRPGLGRLERVPEPVFSPGGVVRALGRPERRLAHPLVRHGRRDGVGDPRPHLLGLRRRLVHGAVGTHPVHGAHRAAPEPHHVVVQHRGQRAPGFGFVVHDELTQAAGARVPVAGELVRHDGLAVGAAAVVVVEVAPVPELGVVDAFGLEVPLPEVALRGAVADDARHGGGGLQRGDPDPAGVGADALHRPDVVRLVGDPFVLDHPVLISVELVHVRHGQVHRGPRRLRERDIPGGHGVAVHLEHELGVEVLPPRRVVEHVPLVSNHGSLSPMSCGTAPRDLRRTVLPSRVRRRRGSWRSTARAKSRCRDSSREGNSDSSAKEAYRNWPTFSESWSSDVPSDAVDRWWCSVADDIARRTPSTAVLLLLVGVVALGVVVANVSSENRPAPDAAKLEF >Et_1B_010423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10425714:10428545:1 gene:Et_1B_010423 transcript:Et_1B_010423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGQVVIGPPGSGKTTYCNGMSQFLSLLGRKVAVVNLDPANDALPYDCAVNIEDLVKLSDVMDEHSLGPNGGLVYCMDYLEKNIDWLEEKLKPLIEDHYLLFDFPGQVELFFLHSNARSVIDKLIKRLNLRLTAVHLIDSHLCCDPGKYVSALLLTLSTMLHMELPHINVLSKIDLIENYGNLAFNLDFYTDVQDLSYLQRHLDQDPRSAKYSVGNLVKLIDKSNGYIFSSIDSSAVEFSKIAAAPLGWDYFRYPLFVLLIILSNMSLIYHNLHATIVDPKGH >Et_4A_032797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1457851:1458989:-1 gene:Et_4A_032797 transcript:Et_4A_032797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISAHHMATLPCLPTLRARPRRLPPNAVAASVAPQRTARVALRDCRIGVLQCRNCNPLPRSFHGFESAVSLRMERKKQAVFASDRDSRNPITKQSSSINDNSSSSTGPPVLTILAGVIVFLLVIWVAGSFLTWI >Et_9B_063823.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:16345237:16345494:-1 gene:Et_9B_063823 transcript:Et_9B_063823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIIPFIYRAVVQYRKEGQVSFADLFFDEPSATSYFHLPDDSGRYQVTVSDLFSHSAAGSGATRRSPARCPSPRWRRPQDRESR >Et_3B_030272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3184927:3192248:1 gene:Et_3B_030272 transcript:Et_3B_030272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSFYRWLAEKYPMVVVDVVEEEPVEIEGVKVPVDTSKPNPNGLEFDNLYLDMNGIIHPCFHPEDRPSPTTFSEVFQCMFDYIDRLFIMVRPRKLLFMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFEREGRKLPPKQQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKIMSYIRGQRNLAGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVGHLAANCEGKVKRKAGEFDEKGDPIVPKKPYQFLNVWTLREYLEYEFRMPNPPFQIDFERIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDSCTPDLERVEHFIQAVGSYEDKIFQKRARLHQRQAERVKREKAQAKRGDDLDPHVRDDLIVPVARFQGSRLASGAVPAPYEQNGSRKENRERNKRARKAARVSSSDSSVAAAIIEAENDLEAQERENKEELKSMLKDALREKSDIFNSENPEEDKVKLGEPGWRERYYEEKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLRDLGQLNIKFELGTPFKPFDQLMGVFPAASAHALPLQYRQLMTDPNSPIIDFYPTDFEVDMNGKRYSWQGIAKLPFIDEARLLAEIKKVEHTLTPEEARRNSVMFEMLFVNGSHTLSPYIYSLNSKFGHLPEKERNGIKEKIDPSASGGMNGYISLCSGDPCPPVFRSPVDGLEDIMDNQVICSIYKLPDYHRHIARPPAGVIIPKKTVEASDLKPPPVLWHDDSGRRPHDNNNSGRRPHDNSNRQNPAGAISGRQLGEAAHRLVINSLNVQGSGHNDTSSMPYQTIMSGVNQLNVVHHMGNQDMPPPMEQSAGHAGWYVPRGNAPNRGRQQSHPYARGSYHGTRDRAPSAYGYQQAGGNMYSSQPPALPSGPGLFGQSPSAYPGVRGGGYRPPPYGGAQQWQQQPYSSYRGRGPYGGGVPTRTDSRPQQPHNRYGALDRTNRRPPSGYGR >Et_2A_017910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7885407:7886328:1 gene:Et_2A_017910 transcript:Et_2A_017910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPEPCRTLSHCLCRCSPWVPHIAADGEYAFMQAGAGDDDDDDEGTVWSLLAGVMSVTHGRDRSLRLHRLRVAARSGRVLGRNGDALETLGDDDSDTAKNASSRHAGFSLSLFLLAQDFDLSGVGGTKPVRPLHLRLDGRGTTAATLSRLPALPEHVKSLVSSAICPVSSAGELWAPYLTTAGCGPPPCSLVMLRLDRDSSRWTEVAAIAVAKPPPPWAGRVQEEDTVVRTIQGSVVIGDATILLSLHPHHLFFAFDCATEADQRTMLHYTPIRGRGVHVEEDDAIYFLGNGCRLRLQALQR >Et_7A_050354.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:9613628:9614191:1 gene:Et_7A_050354 transcript:Et_7A_050354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGADEAVLEGMGFNAFARELEETVERMAAEDADEAVLEALGFNAIAREIEETIDEMEAGDAADEVSLEALGFNAFADELAETIHDMGAADADEAAWEALGFDDAFARDLARLIHDMAVAAGDVVALGLDAFARDVAEAIDDMGLKDGDMDALVFDTYARELEEEIYAWNEEVASMYESGYCEIS >Et_4B_037547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2109824:2113034:-1 gene:Et_4B_037547 transcript:Et_4B_037547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSATPRQSSTSHLFFSLSFSLALLCCIAVCNAAGDEAAALLAIKKSLVDPLGKLQGWNSASASSHCTWDGVKCNGKGVVTGLNLAGMNLSGTIPEDITSLSGLTSIILQSNAFADELPLSLVSIPSLQELDVSDNNFGGHFPAGLGACASLTYLNASGNNFAGPLPADLGNATALETIDFRGGFFSGTIPKSYGKLKKLKFLGLSGNNLGGALPGELFEMSALEQLIIGYNEFSGAIPAAIGKLANLQYLDLAIGKLEGPLPPELGRLQYLNTIYLYKNNIGGSIPKEIGSVSSLVMLDLSDNALTGAIPAELSQLTNLQLLNLMCNRLKGGIPAGIGELPKLEVLELWNNSLTGPLPPSLGSAQPLQWLDVSTNALSGPVPAGLCDSGNLTKLIMFNNVFTGPIPAGLTTCSTLVRVRAHNNRLNGTIPAGLGRLPRLQRLELAGNELSGEIPDDLALSKSLSFIDLSHNKLRSALPSNILSIPELQTFAAADNELIGGVPDEIGDCPSLSALDLSSNRLSGAIPASLASCQRLVSLSLRSNRFTGQIPAAIAGMSTLSVLDLSNNLLSGEIPSNFGSSPALEMLNLANNNLTGPVPATGLLRTINPDDLAGNPGLCGGVLPPCGSTSLRASSSESSGLRRSHVKHIAAGWAIGISAVLVACVTAFLGKQLYQRWYVNGGCCDDAGLEEDGSGSWPWRLTAFQRLSFTSAEVLACIKEDNIVGMGGMGVVYRADMPRHHAVVAVKKLWRAAGCPEEAAATVDMEDGGEFAAEVKLLGRLRHRNVVRMLGYVSNNLDTMVLYEYMVNGSLWEALHGKGKGKMLADWVSRYNVAAGVAAGLAYLHHDCRPPVIHRDVKSSNVLLDTNMDAKIADFGLARVMARGHETVSMVAGSYGYIAPEYGYTLKVDQKSDIYSFGVVLMELLTGRRPVEPEYGEGQDIVGWIRERLRSNTGVEELLDASVGGRVDHVREEMLLVLRIAVLCTAKSPKDRPTMRDVVTMLGEAKPRRKSSSATVAATVVDKDKPVFTTSPDSGYL >Et_1A_005155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29818432:29818890:1 gene:Et_1A_005155 transcript:Et_1A_005155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASHICAMLSLLSLGAVSLLLSPASAEPAVLSHVTSSDDDKWSAGLPAAAPTAVVVTWQGFEDNVAAAAADIALSPEARQRMDHEARCGPRVPVRRSFPWSWWTPRCRGGGDATTDGARTEPVWPHVWFVGN >Et_10A_000819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17780526:17781423:-1 gene:Et_10A_000819 transcript:Et_10A_000819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSDSEHDLAVAAAAGVHLSPSSSPSAVSPPQSPPPPALQSSSRRHRRRRKKSSAAAASPSPREENPEPEAEDVWRGAQWEAAWPGRHQSSKPVVVLAAEDDGDQVGRSRSLTDDDLEELKGCADLGFGFSYDEIPELRSTLPALELCYSMSQRLLDDHHPPTQAQPEADAPEAPVAPPPVTNWKISSPGDSPDEVKARLKYWAQAVACTVRLCS >Et_9A_062916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6615799:6616992:1 gene:Et_9A_062916 transcript:Et_9A_062916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKNKLLAAAVLLLVLATTTVSGEYAPLIHMLRPLASSGGHLGRSGGVPCDSWRFAVETNNARDWSTVPEHCERYVGNYMMGGHYARDSKAVVDEAVAYAESLNLTGTGKEVWVFDVDETTLSNLPYYAKHGFGAEPYNSKEFGDYTKEANAPALPHSLRLFNRLKSLGIKPVILTGRREDKREDTAKNLERAGYYGYEKLLVKYTTHTCLLARPSNSSTRVVVTNILLLINQPHVIDCRPQDARVSSLEFKAGERRKLEDAGYIIVGNIGDQWTDILGAPEGGRTFKLPDPMYYVG >Et_5B_045069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9103032:9105966:1 gene:Et_5B_045069 transcript:Et_5B_045069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATPITDASSNALRCCSAYSGHGASGTPCHRLSRTEFHPQCVTKPPTAAWARMSFCGAHVGHTRPLSLEPFREQLVDVGIGRVRRPGRRRATEHPQEAVPAAFKAVSNLAHLGFGKATAHAPEAEQHDGCRRLRVEPPHALVWLLFGRLIIERQHRADRVECWCTASGHATVLGDGREHARLELGHRVDDDAGGLHEPVAVRRRHESSRHSRHPRHVYCSFRLPEPEAHLRIESRQAQHEREHGRGGREVHVHRQGELLRDVEQGGAEVVHHDGGDRGRQHAGDGGLDVRLVELYEAADEVLGALRVGGELHGREVVEADVEAGLRFPAYEVGDLGRQVGGHGHRRDEHRERHGTGAAGEQPLAGFQDGREVARDAERNEHDSRDCHFEPCAEKQCGMPTNTALKENASMQNSVDDQLQLQTTSIKLHRKTTVSSFVGKHLTEQSLTMDYLVEELKRLKIAVDNMLSLDTTGQITPQASNGSEDEEEVQSTSNLA >Et_4A_034265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31268239:31270837:-1 gene:Et_4A_034265 transcript:Et_4A_034265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNVSAHHPPPSQFVSYSHARFRLSPSTASQRPPSGMEPMEAAAVRHRQVEANGISIHVAEAGPADPSAPAVLFVHGFPELWSSWRHQMGYLAARGYRCVAPDLRGYGGTTAPPAPSSYTVFHLVGDLIALLDALQLPQVFVVGHDWGAIVSWNLCLLRPDRVRALVNLSVAFMPRRPGVKPVESFRSVYGEDYYVCRFQKPGIEAEFTGFDLKRFFKMALTAKTTGSSAMSLRKMRASNKEIVLPSWLTEEDISYLASVYEKTGFAGGINYYRCLDLNWELLAPWTGAQVKVPTKFIVGDGDLAYHHPGVQSYIHKGGMKRDVPMLEEVVIIKGAGHFIQQERAHEISNHIYDYIKKFQSGVQVPRASKL >Et_8A_058462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8323512:8326347:-1 gene:Et_8A_058462 transcript:Et_8A_058462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLPAEKKAREAVGGDGPRREQSRPFLNDLHLLVDVGRVERPRLHVLDAPEEAPRGHVLLVGARLDEALVLTGGDDGVVPVGRGRLAGDRHEHDVLGVGDAAVAVVLHVPHREHAVLREREFQHGRLAAHAPATRLAVLNIVVLLLQDEPSEKNQCEGDMPLAGFI >Et_10B_002412.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18973489:18976162:-1 gene:Et_10B_002412 transcript:Et_10B_002412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNMASTVFSPRNLNARSLRLHILTEAFQYGPFNRSVQRRWKKPVDSARTRLEGRTRDHRLDKLMVQLRNLRLALALHELISQQRNGFASLQLLSKWRYEIGLNIEIGAFLKKYPHIFEIYMHPIKKNPCCKITQKMADLIAEEDAVIRENETDIVQRLKKLLMLSRNGTLNMHALWLIRRDLGLPDDYRSSILPNHQLDLSLDSPDTLSLVSWDEELAVAKVEEWREKEYTEKWLAESETKYAFPINFPTGFKIEKGFREKLKNWQRLPYTKPYEKDGLHPIHNIERLEKRVVGILHETLSLTVEKMIPLERFSHFRRVFTMEVNLRELLLKHPGIFYISTKGSTQTVILRESYSKGCLIESNPAYNVRRKMLDLILSGCRNIDEIENAILPDEEYNARSSQEAQNKTSNMGTTSSILEVGTENNSDGKNHFSEEYPQKSTRDP >Et_8A_057931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9582014:9585176:1 gene:Et_8A_057931 transcript:Et_8A_057931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTAAPSTSLAAFPYRARRRRRALPPSVAVVAAAASGAGTGPAEGGAERFATSTSITDYLRYRRPGTGGAGGGGVAGGELQTAVVRFEKRFPWSLLNPFLHVDLVSTVHIADKEYFDRLQQALEGYDCVLYEMVTSRDNLNNPKGPMAAKKMKASRRGFSILGFIQKQMARILSLDYQLDCLDYGNEKWLHADLDYETFKKLQSERGESILTFAVDMTLKSTKALIQPTSMPDGLDFWRSKLLWASRVLPMPLIGLFVITGLCLPVDNQEGYPELEALSRLDFGAAMKIFLAKQLTSDFTAVASPIEEKSVIIGERNRVATEKIKEAINRGYKRIAVLYGGGHMPDLGRRLREELNMVPADVQWVTAWSIRSRELDSKSIPLLKTLAEVSGWPLNRYETLALLIFSSVLAVDLWFWELLVGTVVDWASLAGSWIDHLNGPPTMAPTWMFGFGSLVGYHDEYPSLQVSFLGRTLIEGIDEPRWWMRLGNLEL >Et_5B_044611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4003778:4006975:1 gene:Et_5B_044611 transcript:Et_5B_044611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPITGPVKCRPHHRIMSPQRAAAALDSLAARITVAAEPRARAPTRCRSPPSLSLSRAADPPCRSNPPATARRARAAVAVGGDGGGCSSAALLAGAQAHHAIFRDELVRSAFSVAEAAHRGQVRASGDPYLQHCVETAALLAELDAGPDVVAAGLLHDTVDDAGLDYAFISEQFGAGVADLVKGVSNLSHLSKLARRNNTASRTDEADRLRTVFLATVDARAVLIKLADRLHNMRTLDSLPNIKQQCFAKETLEIFAPLANQLGILNWKEQLENLCFRYLNPDKYEELSNNLLEFYNRDMIAAATRRLEQALEVRGLSFYAVYGRQKSMYSIYSKMRRKELAMDEIYDIHGVRVILENKSDCFAALEIVHHLWPRIPGRFKDYIKSPKTNGYQSLHTVVLTEETVPLEIQIRTRDMHLHAEFGIAAHWRYKEGVQNCFWSVPEMVEWVRWVVTWQRESLHMEHPSSLAPDTLRYDIHTIPLDSDVYPSPDSKQCDHNASILVILLENEKMSVQEIPRNFTVLDLLKRACCYDTQLRLRLNCHLTHNWNQELKMGDVLELIPLTPCKSGGYMRGLNQMFDHRLVISQS >Et_2A_015827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18171070:18174505:1 gene:Et_2A_015827 transcript:Et_2A_015827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSSTLKHRRKFASMSPIAICAAALLLLPALCVSDDRLVPGKPLSPGTTVVSDGGEFALGFFSPSNSTPNRLYLGIWYNNIPQLTVVWVANRATPAMSSSAPSLAVTNTSNLVLSDANGHVLWTINTTTPANPTPSPRPNATGAVAVMMNIGNLILRSPNGTVLWQSFDHPTDTILQGMKMWRSYKTQDGSRLVSWNSRDDPSPGTFSFTTEIDQLPQTFVWNGSHKEWRGTVWTGFTVFTHYFQTNASFFVYWQYLDTTEEVSSAFTLSNGAPPWRIVLSYSGGLESQVSNADPVRLLRASGYCDFTGATPSCKFLDGFEPVDKGEWSSGRFSQGCRRKEALRCGDGFVALPGVKVPDKFVRIKNKPLEECAAECGGNCACVAYAYATLNGSISKGDVTRCLVWTDDQLIDTLKFNANVLNMSSAGADIRETLYLRVASFNGERKKANAVKIVLPVLARTKGNVNRGKRLMHGGLTTSDELQEENKTLDIEFPFLNFQDILVATNNFSKTFMIGQGGFGKVYIHVPDFSLIIKKGTLEGGKEVAIKRLSRDSEQGIDEFRNEVILIAKLQHKNLVRLLGCCVEGDEKLLIYEYLPNKSLDAVIFSFARNAALDWPARFKKIKGVAKGLLYLHHDSLLTIIHRDLKASNVLLDSDMRPKIADFGMARIFGENQENANTRRVVGTYGYMAPEYAMEGIFSVKSDVYSFGVLLLEVVSGIKISSVDRIIDHPNLIVYDFETFRAPYKQVIDVIVSGMEPLEGREGK >Et_5B_044598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:408310:411963:1 gene:Et_5B_044598 transcript:Et_5B_044598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRPLPPAVSLRGFLDAHFATPDDLAAAPALTELLRRECAGLEASLRRLEAQLASASASWLARSAEARSDLRRLKSRGGGIDAEDEGAEAVRNVGLPALVREIQRIDTIRHYAEATLQLEALVGNLEDATFSIVRQASKLNLSSILRKSNETEWKQGMLLHSVNAVRDIERELVKISTSRPQWINLIMAVDSRVDKTLAILRPQAITDYRALLAALGWPPSLSSPDSEKDKFSQILNPLALMNEENREKYSQSFLALCALQHVQANREVRQCQTAAATPALSGSKYFDKTACFDNGLWAIDELVHPITSRMEYHFTKWSKQPEFIFALVYKITKDFMDGVDDILQPLIDRARLVGLSAKEFWVTGMVKVLVGYLERQIFPPLVTSYQDQSTVDKSEVHSSWMHLNDLMITFDKRMQLLADSGIQRIPSISEGMTRSLSIFSIYNEHSDWLQIWAGVELSSAQDKLKSEMESETSWSCSDSQHDQLGHQENSNKFLLSTREDYKAPPVSDFVVKTALSIMERGRALPNDGMQIQYNKSSSIQFLNDFFLILRDRCEALQLSNTALEDQSLSKACCAINAARYCENVLREWDEDPAFLEGSLFTDDIAFLVKLGTNYLEQIMSAILLEFEDLSWEYVQNIGLFSEQTNLDDQTLDEENLGVSPGFVASLDVLTDRTRKLKLYLNSKDFLDLWRSVAEGLDYFIFSSIRWGEVSFTDRGVTQLRVDTKALHHVFRPLCSRPEAFLPFLSESLRLLAMGKADAQCLLEMLAKDAKSDNCLRQQGLHHVNASQAAKILRSRMFGG >Et_2A_017476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34744950:34747129:-1 gene:Et_2A_017476 transcript:Et_2A_017476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSFKSGKNRSKSQKPVGALARTPPTSDSSGQKSKASSASTPSRSIQELSEERGAQRLRVFDLEELSSATNGFSRALKVGEGGFGSVYRAFFRSAGGGRVVLAVKRLNQRSLQGHKQWLAEVQFLGVLEHPNLVKLIGYCAVDSEASKQRLLVYEFMPNKSLDDHLFNRANPPLSWRMRLQIMIGAARGLDYLHEGVPEVQVIYRDFKAANVLLDADFKPKLSDFGLAREGPTEGKTHVSTAVVGTHGYAAPDYIETGHLTVKSDVWSFGVVLYEILTGRRSLERSRPAEEQKLLAWVRRHPPDGQSFRSIMDPRLGGRYPLAAAREVARLADRCLVKNPKERPPMRDVVQELERVLPMEPPPPPTSDKKGGDGRPPPSARR >Et_7B_055834.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5598776:5599594:-1 gene:Et_7B_055834 transcript:Et_7B_055834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCARHPYEDGVGVCAPCLRGRLLALAAAQNEASSLPAPLPLPEPEPELAFPRSVSPYVCRRKSEASGPRQARRPPGLLFFRTPQVGPAYGGLEEGDIGFRRRRSGRFSVLGALFGGRSEEKGGGDAPKHRSWLARIMPRGRGRKERDTAPPRRSCRTISERGLSPVRYGCGDGEESASPAESPWITSPSPWRKTPCRRLLASAGAGVSGFAVCISPLVRPSPARHHRGGPAPAPDAAVPSELRPSPLHRLTSGASLPHCRSWKLADGGRFR >Et_2B_020225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18057918:18062561:-1 gene:Et_2B_020225 transcript:Et_2B_020225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAPPAAAARPAKPLLQVPSASTPTRFPHLSMSSSSSSPRAPRLAAAAAAGATPSLLTADPGHREAVMLAARAAMGNCLGETRLDLAVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFNGTRHITPNAVVSSPDKNVTIAKRCSVFPVEFVVRGYVTGSTDTSLWTVYNKGVRNYCGNALPDGMVKNQKLSANILTPTTKAADHDVPITPDEIIKSGLMSKDDFDEAKSKALSLFAYGQQVAFENGLILVDTKYEFGKTSDGTIVLIDEVHTPDSSRYWIASSYEERFRSGLEPENVDKEFLRLWFKNNCNPYEDAVLPEAPEELVCELAWRYIFLYETITNTKFEIPVTQEPIHERISRNVAKALQNL >Et_3B_027997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30558196:30561527:1 gene:Et_3B_027997 transcript:Et_3B_027997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVCFIPISVSAEYNDISSLFTLRDSITEGKGFIRNWFHSETPPCSWSGITCIGRTVVAIDLSSVPVYAPFPSCIGLFQSLVHLNFSGCGFSGELPDAWGNMQHLKYLDLSNNQLTGALPVSLYGLKVLKEMFLDRNFFSGQLSPAIGQLQELRKLSLSVNSISGSLPLELGTLQNLEFLDFHTNAFSGSIPATFANSLVGSIPKEISQLQNLRMLVLGQNAISGSIPEGIGDLKLLELLELQTNMLSGSIPAELCQSNSLKSLILHNNNLTGNVMEAFKEATQLQGLFLSHNHLDGSIPINISHILPKVAVIDLSSNVLTGTLPKSFLCKEYLVHLDVSNNSLSGQIPFFCPKEKETSSSLLFFNASSNNFSGNLDESISNFTQLSSLDIHNNSLNGSLPSELSDLSSLNYLDLSSNDFHGAIPCGICNIYGLTFANFSGNHFGMNSLADCAAAGICTGNVIGGMVVHSSHRVLRAVIICVILAVVIVLILWVVYLRWKLSRNKPFTLVPTSKSKAMVEPTSSDELLVRKSREPLSINVATFEHALLRVTAEDILKATENFSKVHIIGDGGFGTVYRAALPEGRRYQGDREFLAEMETIGKVKHPNLVPLLGYCVCGDERLLIYEYMENGSLETWLKNRADAVEALGWPDRLKICLGSARGLSFLHHGFVPHIIHRDMKSSNILLDENFEPRVSDFGLARIISACETHVSTDIAGTFGYIPPEYGMTMKSSTKGDVYSFGVVMLELLTGRPPTGQEEVEGGGNLVGWVRWMIAHGKENELFDPCLPVSCLWREQMLCVLSIARDCTAEEPWKRPTMMEVVKGLKMAQAMECGPLVVKVCGDM >Et_10A_000065.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21315363:21315782:1 gene:Et_10A_000065 transcript:Et_10A_000065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEERQAHILGMYAASLIADIDMRDYDDDNDDDEEDVVPAFKKVILNLHVPTWGQTTQTRTKHGCYICREDLEMGQQFRMTPCYHSFHQTCIFEWLLVNRRCPVCLFALPTDEEQRLLDEEEARAKDGDGEDQFVVID >Et_2A_018250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20170349:20175516:1 gene:Et_2A_018250 transcript:Et_2A_018250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLDHGSNGSTHQEQRQTLNIFPSQPMHVEPSPKGEISLVLSPAPVGSKQPRSPDHLHHHQQQAAMEELAGSRRQDHNHLQHQPFAAAAADQATTAAGIKDVKPLAKKEHKRGASTADRDPKMQTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLAQLEQELHTARAQGVFYPSNGLLADQAVAGKGIPIGGIDGLSSEAAMFDVEYGRWQEEHYRLMYELRAALQQHLPEGELQMYVESCLAHHDEMMGIKDAVIKGDVFHLTSGVWRSPAERCFLWLGGFRPSEVIKMLLSHVEPLTEQQIVGVYGLQQSALETEEALSQGLDALYQSLSDTVVSDALSCPSNVANYMGQMAAAMNKLSTLEGFVRQAENLRQQTLHRLNQILTTRQMARSLLAVSDYFHRLRTLSSLWVTRPRAPQDQQQGHT >Et_4A_033315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21365714:21367945:-1 gene:Et_4A_033315 transcript:Et_4A_033315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGAIYEEYLRAEQHKYLAKCSHVEYKRLKKVLKKCRVGRSFQEDSANGNKQQEGNTESSDVCECNSCASCDQMFFTELNKEASEIAGCFSSRVQRLLHLHVPSGLQRYIWRVRQCFRDDQQLMAQEGRMLLNYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTEHIELLQSPWLIELGAFHLNCNDSDADEPVGFFKNEFFKNFSCDLTGAQPILTMAISDAMKYEYSLTCPICLDTLFNPYALSCGHLFCKACACSAASIYIFQGVKSAPPEAKCPVCRAVGVFGRAVHMTELDLLLKTRDKDYWRQRLREERTEMVKQSKEYWDSQAMLSMGI >Et_3A_025829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33159244:33160315:-1 gene:Et_3A_025829 transcript:Et_3A_025829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKLYGMALSPNVVRVAAALNEKGLDFEIVPVDLRTGAHKQPEFLALNIPALQDESRAINRHIATKYKSQGPDLVPTPSAKLEVESQHFHPAVSPLVFELLVKPLLGGAPDAAVVDKHADALGKVLDVYEAHLARNKYLAGDAFTLADLNHMPYLLYLSKTPKAELVAARPHVRACLGGRTSPSDPRSRRPSPPSRSLRRLERTDDGARVLVDV >Et_7B_054593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2623336:2634928:-1 gene:Et_7B_054593 transcript:Et_7B_054593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEISIYMFGTVSTCLHSLVPTMGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDIKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELQTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSQYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKLKDMFFAVVGRVTGYDHHGAGAQEPTKLPSADARQTEEVVSKKRKYFRREMSNEPTCLHSLVPTMGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDIKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELQTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSQYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Et_10B_004166.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17068306:17068623:1 gene:Et_10B_004166 transcript:Et_10B_004166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGVGRRPSLRAVAAAALAVWLVPVVLTLAVLWLPLLCCAVAAVRFRRVRSRRRLLRGCGGGDGGGGRWRTEMVDVDYRLRLLDQYLEDQMELVGAADAEEKGP >Et_9B_064849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19114596:19115404:-1 gene:Et_9B_064849 transcript:Et_9B_064849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLEIPTTACFFKFIFRKELKWCPIFGNVKTLLLNEYWYPKSKVQMKGIPNPTGRSAVTSEHLKIVEVKCEMVNDNVLDVLKFLSKLDICK >Et_1B_009873.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5333942:5334652:-1 gene:Et_1B_009873 transcript:Et_1B_009873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPARTSRKAAAGPLQAAVSTVATAQILRASGYSAAEPAALRALSDIAGRYIASLGRAAAALAEARGRTEPNVVDVVLALEDHAHGGFPGASDPARPALCSGALAELAGFVSSVREVPFAKPLPRREAGGGKRWESFAVAGEEPPLRHVPRWLPRFPEGWEEDRRGEVEAKSEEQDTGEVVTVMLANGNGGMALRGAVPEKREKVSFRVAVKKPRRVGPDKCDVGGLERFAKKER >Et_1A_005242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35126064:35127375:-1 gene:Et_1A_005242 transcript:Et_1A_005242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIDLIFFRSFLLLLASDHADALRCGAHDALDELRHARLADGAGAAAGAQPRGGHVLAHLGPDHLLHRRHQLAAERVGRGLRRRGCWRRRRRRGPRGRELVEAAAGAVVVEARRALVLPRQREGERGQRVLVSPLQRRRRRWPHVRGGDGVEPAGAGDGAEDLRAAADRAVAELAAVRSRRTPRPGAHALARSLGLRRRRGGGGGELPLERGVPVVLDRVVGAAGEQPRDGGPAVAEARMPRPIAVQFRAPWRSTRRRSASSSSGLHGPFILSHSASAPITSELLHCRNDGGGNGVCVCVCDYIARRTRLGRLDRWREEGEGEAEVYIARRRGAVTGSGRWLGNGVQLAGRHLTLAGPRRFSPRPMAGGLTSVPGPVRQRENVEAVWLLLITRSPGTV >Et_4B_037229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16958688:16961280:-1 gene:Et_4B_037229 transcript:Et_4B_037229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDYEADMGYEDEPPEPEIEDGAEEELENNEDAPEDVEGAEGEEKEQEKTQRTRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >Et_2B_019002.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22527128:22527772:-1 gene:Et_2B_019002 transcript:Et_2B_019002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQKSQRDTIVEKERILQEKRQLEELIKQRLEERKMETRRIVVDVIMKEEQLEKTQSEEAGIMDIDTDDELNAEDEYEAWKNREISRIKKDREARLREKGETEIVRKTTEQEHKESEGTNRKPHVKPKRKRKFMQKYYHQGAFFQEKPDDGRQTFGLDDVYMRDFSEATGEDKMNKSNLPKVMQVKSFGRKGRPKWTHLANEDTTHSSVPYVL >Et_6B_048554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10762946:10777582:1 gene:Et_6B_048554 transcript:Et_6B_048554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMKSISMQQMVSHSTDMRWEAMNGEAGEDPLLHISGSLHANIYKQLRSSKSSQPPLISKRQLSLAWGQEAIPSRPHTAILEYRNRNRSQASPHGTSINLVSFLHYNFAQRTSELDQTAYTSGTCNCITGLASPADPVAFLAFDTNHPPPPDASSGLFTFGGSGLLTIGTLGIAAVATTGDDEEDDYDVDFDANNNNNNDTTGDEEEDDVDDGAATPTFTYPLPQPEPAAVEKAVVAVEAIAEKDDDTTTEDDLMVVSAELEKVLGGRSSGAAGDLVASARASFAAGVDCPLQGFLFGSPVSDAESRQERRDGGGGRRTSLGELFLRTRFADEKVALVAVEEGEDGKADDGSREEAGDGRGGGGGKMMKRKVKDEKLAGGDAAPPVSASKSKFQKILQIFHRKVYPESTALARSLTKKSRKRCSTDGELPASPKLQCRKEPRLPGFGCCANRASFGGGASPDVDDEHNGSKSGHWIRTDADCECACMHPRLEIPVRKHCIHNTVHSDPPPAGTNQTTFVNLFHRLMTSYNQDTKQERLVH >Et_3B_029223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23161300:23162129:1 gene:Et_3B_029223 transcript:Et_3B_029223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGGQRSPASTGFFRMPGLFVRLSSKALSNNGVDPDSVWSPTSPLDLKGPRSSPPRVGLGLVDALTADASSLLFGGKASFLDSIRPFLELGLPKPAAAAACEKAARDELFAGEADSEEYTCVIARGANPRTTHIIGGEKMDVRAGVGVPGVGGKVVFTVEPFTDRPSGPAPASQAGVASGRCRCCMMRLPENRDIFIYKGEKAFCSNECREGYIEEEIEDGQDVVTMDQGSSTAFFLGEDW >Et_10B_003453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19892283:19896202:-1 gene:Et_10B_003453 transcript:Et_10B_003453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEGQQRRRPRGDFEWRRWAVLVATVWIQALTGTNFDFSAYSSALKSSLGISQEALNYLATASDLGKAFGWSSGLALLHMPLHAVLLVSAFMGAAAYAAQYYCLLNGALAVPYPLVFLVCLIAGCSICWFNTVCFVLCIRSFSASNRSLALSLSISFNGLSAAFYTLFANALSPFSPSAYLLLNAILPLAVSVLALPAILLCQPHGSHLQSMPRHDRRVFLGLYILAFITGIYLVIFGSYTTTSSIAWVILTGAMVLLALPLIVPASSSCLHGGTHHPDPVFPLNHDDDAQKPLLVSTDHQVESDDTVQKTMEHQPQDCCCETLLGKGRIVVLGEEHSAKKLIRSVDFWLYYTAYFCGATVGLVYSNNLGQIAQSLHQQSRLTMLLAVYSSCSFFGRLLSALPGFLHRKVSFARTGWLTAALVPMPLAFFLMWNLQDEATLVAGTAIIGISSGFIFAAAVSVTSELFGPNSIGVNHNILITNIPLGSLLYGQIAALVYDANGQTMTTTDNRTGIIDKMVVCMGAKCYSNTFLVWGCITLLGLISSIALFLRTRPAYATAAGRSNCKNTLSS >Et_8B_060047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5465104:5465470:1 gene:Et_8B_060047 transcript:Et_8B_060047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SHSSKLGGEPRINDLVASAGPQTRAGCPRERASSQPDVDRIMNILLSCNKISDCVSLPTTKTGTFAVKSAYIMTKCKKVHLKLVLLE >Et_7B_054519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22509802:22511061:-1 gene:Et_7B_054519 transcript:Et_7B_054519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGDMSSLETARRLLEEVAPPTSPELTEAKEGFYDAFVLRGIRVHAAEPGTLLCHLTVPSRLLNSGGFLHGGATASLVDLVATAAFRTAGLRTRGSPLEMNISYLDAAFADEEIDIEAKVLRAGKAVGVAVVELKKKSGKIIAQARYSKYLGASSKL >Et_7A_051756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24086953:24087886:1 gene:Et_7A_051756 transcript:Et_7A_051756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NLTLFFPICAHKKHNGAPAGWHHFQPLVVPDGTRRRLFTGGLSSKFGWKGRRPRRRRRGSGASPGGPTSGGSGSRKRPLNTGIDLKEFLSTRKFPVGGELPAPAVETEGGASTGKRKSPEVPATPPLPQHQQLPAAARPTPQHEQVIAARSTPPGFAATPRPPGVSPATPTRPWFDSGGAQGCGELSLPPGIWASEALQLWETITAGESEKIQLQNALRAANAEVAKLKAELQEAKHMADKERALIMDHLGAAVAKINRLEEDHARLKDAAAKEVRDAEIRAKKKAVLALFPNLDPTLLELSKLD >Et_5A_041608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24926908:24928852:-1 gene:Et_5A_041608 transcript:Et_5A_041608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRRFAYIVTEKRSSGNYSLHRLDISKCLFYPSTAVADAESKETGKVANLRRLPAPVMRFHPLPSGSSWGVAKLDLFALLNPHSSEDKIICSDSAGNNILYQADSNSIQSMPAFNGDKGFMPTTISVGRPDTPLEDLYVLSSVMSSFDVLSFNSRSRYEDVQMSRKHWHWEPLPPPPFTAHLYSHTVVGDGNTICVSDIRSRGCTYYFDTLKREWRKAAGDWVLPFAGRADYIPDLKLWLGFAAGSHNLCAWDLSVMDKPPTLLVSTDIKTPEDWSATRFNILNLGSGRLCIAKIFQVVDNTAGASGWDIEDKFAVLTGVEMVTGGGENKIERFVRHKSVRYTFVNDYIRWLPRQFYHPALSLNVSYLM >Et_3A_023246.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:13682638:13682682:1 gene:Et_3A_023246 transcript:Et_3A_023246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLTLGALCLVP >Et_2A_017811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6461990:6463974:-1 gene:Et_2A_017811 transcript:Et_2A_017811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQSVLKYKKTSSELKLVRKALFETKQVLVKALRKICATVLPENLVASDLGCSSGENTLIFISGVINATRGLPLELQFFLNDLPGNDFNHIFQSLEQFKRSIADDHKEETLPPFYVAGLPGSYYTRLLPCRSVHLFHSSYCLHWLSRLPDGLEGNEENIYITKTTPLSVVKRYQEHFGKDFMPFLQLRNEELVFGGQMVLTFLGRKDDDVYNGNLNYLTELLAQSLWSLVEKGLVEKDKVNSFNLPVYGASVNEVKTVIKQSRLFDINQIKLFESNWDPYDDTDDDVVQDNIQSGANIAKSIRAVMETLLASHFGESVLDALFNEYACKVAEHLKWHKTKYSVIVLSLQKR >Et_5A_041078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17969694:17970762:1 gene:Et_5A_041078 transcript:Et_5A_041078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PVGWSAEKMVHFLQSSVGIIHKSHVESITSFVENSVVVELKEEREMPSMPSTTDKRSTFCVEGNISVGKSTFLQKIANDTVELRELVEIISEPVSKCISMLKCAYTFQNYVFVRRLMQEKESSGGTKPLRLVERSIFSDRMVFVRAAHEANWLNEMELSIYDSWFDPVLSSLHGLIPDGFIYLRATPDTCHKRMMLRRRTKEVSLCSIFESYMRNMNVGYCLLSMGLADYCQQANCHVALTHPCTLILRTEYFV >Et_3B_029069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21899564:21901207:1 gene:Et_3B_029069 transcript:Et_3B_029069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGHTAHALAPDKNDNNTPKFSKSHTTQLTPKSLRIPNSHTNCCVFSIKSCADVGAAKKHRGPAMASPASPHVLLVSAPLQGHVNPLLVLGRRLASRGLLVTFSTVPHPGLKFTHADGASVAVGRGTLRFEHLRGGGLRASDDPTYRNGNDVVSHLEDAAPAALAELIRRQADAAGRPVTCVVANAFAPWALRVASGLGIPHAVLWTESCAVLSLYYHHFHKLAEFPPPEAGPNAPVAVPGLPPLAARDLPGLVHAPEQFTWRRLLVADLRGIRDTASWVLVNTFEELERETIGALGGAHLRVLPVGPLCFDPAEIRGGHDHDDDGVLAWLDAQPARSVVFVAFGSMLRFSRDEAAELAAGLAATGRPFLWVVRDDNRALLPDDDDDDCLAPVDAGSSSRGKVVAWCEQGRVLSHRAVGCFVTHCGWNSTVEALAAGVPVVAYPGWGDQPTNAKFLTDVYGVGVRLPRPMAREALRRCVEEVMSGPEAGATRERAGKWKAEASGSLAGGGSSDRATQDFVDAVLSMGAGASC >Et_3A_024361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2030215:2031905:1 gene:Et_3A_024361 transcript:Et_3A_024361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATGAMSPLLDKLGKLLVSELTLDTRVRQDVASLHREMAEMHAALRSVAAVPPDRVDEVTAAWARDVRELSYDMEDAVDAFTVRVDDEDRPDAGDHGLRSRLRGFLDRTTRLFRKGKALHQVADAIRDAKDLAQQLGELRQRYGNLQLHHGGGDGDSFDPRLTAMFAEATDLVGVDGARDELIETLSDRSEVGVKTVSIVGFGGLGKTTLAKAVYDEIKQQFDCDAFVSVSRNPDITRMFKKILYDLDKGRYANINEAVRDEKQLMDELREFLLNKRYLIVIDDLWDEEKWKFIKCAFPMNNCSSRLITTTRKSKVSEASCSSDSDKIYKMKPLSDDDSMMLFCSRIFHRGDGCPPELEQVSRDILKKCGGVPLAIISIASLLASSQRPVKSKDQWHIVLNSIGRGLTEGGNVKDMQRILSLSYYDLPSHLKTCLLYLSIFPEDFDIERDRLVWRWIAEGFIQHENGASLYELGESYFIELVNRSMIQPVAIDLEGRAQACRVHDIMLDLIISLSRKENFVTI >Et_7A_050357.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:9918308:9918688:-1 gene:Et_7A_050357 transcript:Et_7A_050357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVCLQILALALVVTTIFTAHEAWAERDCRHEKVLVMYKCKVTLAVGTDYVDPSNKCRRAVESSDMVCVCNIIEVIDQLTISVAKLVRLTRECGNPVPAGTKCGSKYLYYIIFFYKNNHIKTLQ >Et_5B_043662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13806719:13808217:1 gene:Et_5B_043662 transcript:Et_5B_043662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPALKMKMLDAGDQLITCLFQTHGLLNFLQGSLDILIQQGSFSAPITTDQQYTTQQLQTPLELQQSATIPSAISSGTLAYQPAPMSQVILDYSNYWVNLRDLSDSVKIGKELISTVAEAAICTLNDPSLQHKGKSKIKRIPKRVMALHIASYLLGNDLNHHEVRRVFRRAENHLDRCDMVMPSVFFFSDFICFLHYDIFMTSSNSFNFLKSYILFPVLQTLIPKGLTQGVGHYFLLVLNLRDQQFEVLIQ >Et_2A_017062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30663729:30665043:1 gene:Et_2A_017062 transcript:Et_2A_017062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEVRVRRIFDYLNDGEELGVEGAVGAPPCSPSAVRLSLPRFRWPRLSRLGKKGSCVKEKTALEEEEEIVAEKSEQESVDVSTSVEQETRQCEPATTSGTRHADLGVGLSLVFLLAKTSDEFNKMVKVRAEAEALLKEMKHQVRESSGGSGHGVREIRNTDSTTSSCVTYGNDQSTSVHRQDQATSSGMEAANCEKSSGDDESCAGTDVLEDEFHAELERLQVNYGSDIPLFVAEEDELDSDLSDDIDDYQHEDDDELREVVEDEEDIDGDDVTEYNGVSAVELERRLHELLHERNRERIEELEAALRRAEKKLAEKDMEVCLWKDTAKFALRQENEPQ >Et_1B_011750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25671513:25674713:1 gene:Et_1B_011750 transcript:Et_1B_011750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRYTWHEYVVPPLRFATLNGCNLGHPLAVEWDSYPTIRRPFFHPRGPGASTSQSRLSRLPAAEDPSLAYKFGTISCSLHRSATTLSDLRDRSPLLLTLPSNAPNPNGPGYGPRRRLRARDPQAPVPERRDRANRLMHHLQNTTQFGLMAVSLGYYETLMSCSGNSTSSEMPPEDRARAGISPGLVRMSVGYNGTLEQRWAQFERALALMQPTPQTHHLKAADRDAAADAAVNNHRKH >Et_2A_015209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7545493:7545975:-1 gene:Et_2A_015209 transcript:Et_2A_015209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQISSILSAVFLVAGVAVMLVVHILVVFWALRRGLGSRGASRTDEERAEDTCAGVAAGLTYKAAAAAAADGGGDCAVCLEAFEAGDRCRRLPRCEHSFHAPCVDSWLRKSRLCPVCRADVVDRSPAKGEAKAVETVETRSSAGLVGD >Et_1B_013387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:836338:838527:1 gene:Et_1B_013387 transcript:Et_1B_013387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPLLTALLLACVAWMAVCRRRRSRCYLLDYACHKPSDDRKVTTETAGAVIERNKRLGLGEYRFLLKVIVNSGIGEHTYCPRNVLEGREETPTHGDALDEMDDFFSDAVAAVLRRTGVAPRDVDVVVLNVGSFSPAPSLVSRLVHRFGMREDVAAYSLSGMGCSAGLVAVDLARNVMLARPARTTMALVVTSESCAPNWYTGTDKSMMLGNCLFRSGGAAALLTNDPAFRARAKMELRCLVRANIAAHDDAHAAAVHREDADGRLGVSLSKALPKAAVRAFTENLRRLAPRILPAGELARFAARLLLRRKPKPAGDQGPKINFKTGVDHFCLHPGGTAVIEAVKKSLGLTAHDVEPARMTLHRWGNTSASSLWYVLSYMEAKRRLNRGDRVLMVTFGSGFKCNSCYWEVVRDLDDAGAWEDCIHEYPPETLVNPYMDKFGWVNDVEGQGGGFAGVRAITSESAEMRGYYHKRSTRTRTWVSTRTGVVRDERRHDKQSH >Et_2A_018172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16692704:16695536:1 gene:Et_2A_018172 transcript:Et_2A_018172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTTSPATPQQPCKHATRAAVLRPCSTPMPRWRSRSHHGGAQVITTKATAARSRTVRAAATGGRVEARDGAGVSAEEGDVVRRLQNGPDVRGVALEGETGRAVDLTPLAVETIAESFGEWLREELLGAPDVGKELLRVSVGRDPRLSGPRLSAALFAGLARAGCSVFDMGLATTPACFMSTVLPRFSYDASIMMTASHLPYTRNGLKFFTKRGGLTSTDVEQICDRAARKYNARKLGLGTTTTPRAVVMRVDLMSAYAAHLRDIIKRRVAHPTHHDLPLSGFKIVVNAGNGCGGFFARDVLERLGADTTGSLHLEPDGSFPNHMPNPEDAMAMALTRRAVLAQGADLGVVFDTDVDRSGVVDATGAAINGDRLIALMSAVVLDEHPGTTVVTDARASEGLTRFIQSRGGHHCLYRVGYRNVIDKGVQLNDDGVETHLMMETTGHGALKENYFLDDGAYMVVKIIIEMVRMKLAGIEGGVGSLIMDLEEPVESELLRMNIMGEPKYAKQRGVQAVETFKEYIQEEKLSGWMLDDCGDCSVGEGAKLYDGDNRPLGMVHIRQSVHNPNIALNMQSYVPGGCKSMARDLLDRFLLASGVTEFVDISEVEKFVK >Et_7A_050709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10308300:10311110:1 gene:Et_7A_050709 transcript:Et_7A_050709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGHPPPASAAAQNGSHSGGGGGGGGGGGGANPSNGGTAAALRHDPGLAREWTPEEQAILDELLAKYASEAPVIRYAKIAMKLPEKTVRDVALRCRWMNKKESGKRKKEDHNSSKKSKDKKEKVSDSSSKPPVHMAGRPNVPPYPLPVLPMDDDEISSKVIGGPTGDLLETNAQVLSQISTNLSNMQIQDNISLLCQTRDNILRVLKEINDAPEIMKQMPPLPVKINEELVNSLLPRPTVPMQ >Et_8A_057390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2556513:2557055:-1 gene:Et_8A_057390 transcript:Et_8A_057390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGAVVVRMGPCGGGGGSGRDVDMRGVDRVVKVAVRHGHTVDAVSVLYDRTGREEWTDLWGGPGGALSQICLRADEYFTGVEGHCGEFEGNFVVRSLTFLTNLRAYGPYGAQDGVPFALPAAGGRILGFHARSGRHLDAIGTYVKVEAPRH >Et_4A_034917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7822544:7824133:-1 gene:Et_4A_034917 transcript:Et_4A_034917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTSSHLLLLSRQAASLRCRLSFLGQPRRPAGRVAAAAGDSVRCMAAVDTVPAAAETSKQKSSYEIVTLTTWLLKQEQVGAIDGEMTIVLASISTACKQIASLVQRAPISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLRSSGRTGVIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADIGDNPTVRTQNLPLLTLDDTRHSTHNKLISNNRSCLQLDAVEQRCVVSVCQPGSNLLAAGYCMYSSSVIFVLTIGTGVYVFTLDPMYGEFVLTQEKVQIPKAGKIYAFNEGNYALWDDKLKKYVDSLKEPGDSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDQKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRILDIVPTEVHQRVPLYIGSVEEVDKVEKFLA >Et_3B_030399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3533258:3535004:1 gene:Et_3B_030399 transcript:Et_3B_030399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGKADTGGRRIQPSTSTTRVLPCSLSSGGGGGLGFVVAAAAIRLRWRRPASFRKRRKKKARSGLDNDEGFIAMASYTCWLEMRTGKLCCAPAAVFYASCAEEVEALACQEGVALAAESVRKPAVAIRRERNGVAHELA >Et_7B_055389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9901387:9901703:1 gene:Et_7B_055389 transcript:Et_7B_055389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLKSNTKRQKYELEQCVRDLCGISDWDPIVEELSELVNNNQISFYDPSLLQSSEPRRLWLRFDGVEMNKEAVAEVGNLKETLVIILN >Et_6A_046363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13735257:13741080:1 gene:Et_6A_046363 transcript:Et_6A_046363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QTSILGSTIKYVTQLEEKVRALKEQSATRTSEPAVLESKCRITDNDATSTNRSASSSAISGDFPTVEASIHGNTVLLKISCKNRKGVVVMIYSEVENHGLSIINTSSLPFTDSCLSITITAQYSELKKKKKKKKKKKKKKKKKKKKKKKKKKKK >Et_1B_011700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25178464:25181363:1 gene:Et_1B_011700 transcript:Et_1B_011700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVKGLDGDKLASRLYVGNLDFRISESDVIKMFSPFGKITAEDFLWHKRGPKRGEPRGYAFVQYTTKEEAQLAKEKMNGKLVCGRPMVVHLASEKCSLDSSNSQKGLKEKKLSGGSGGKSGQTDRAAKIAAIKNKLKSLEGEGCSTKRPRLKPDDLQCTGEQSRVAQTRRHDEDR >Et_7A_050208.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:11852655:11854049:-1 gene:Et_7A_050208 transcript:Et_7A_050208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLINLLRDREQVGGESGGDHRWRERHRGAHGARVVVADVQASYVHCDVAVEADVAAAVDHAVATFGARDVMFNNAGVGGDAARADLDRVLAVNVAGPFLGAKHAARVMVPARRGGCIVSTASVAGMALHELVGLTQSLAAELGRHGIRVNSVSPAGVATPLALGYLGPDEEAFNQAVEAKDVLRGVAGGLTRADDIAAAVLFLASDDARYISGHNLLIDGGISVAVNPSMAIFKD >Et_10B_003860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6891038:6891333:-1 gene:Et_10B_003860 transcript:Et_10B_003860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNVFGLGIGGGCGVGIGLGWGFGNAYGCQYRSSRVQFQGIEFQKKTEGGGEPKLVSPELAEKSRPYG >Et_3A_023431.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27250226:27250450:-1 gene:Et_3A_023431 transcript:Et_3A_023431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHPGLPPLPPGCAVVRRRDPQAGAVASPPAAALARPTAPEQQHYHCVCSPTAHRGSFRCRWHRSGFEWGRRRT >Et_1A_008289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:668648:671535:-1 gene:Et_1A_008289 transcript:Et_1A_008289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEMSSISYRSWRVEVSREGLSEIMGKKQHKDTGCGSKTYVLKVDMHCECTGCVKKITDGIKEISLLEGAHRSYQHLFVTASSALQLYRTVFVSGPVQYFCVIGVERADLLLETGEVIVVGTMNPERFCCLLHEVTKKSVEIVTQGNLCEGRITTSQQTKNLLSQVPSYLLAQETPKSEWQNGISGAFEPVTPSAPPLPEAWSETVASERCWFRWAAPSSTLGVWATSDITGTLAMYEL >Et_7A_050423.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14620975:14621019:-1 gene:Et_7A_050423 transcript:Et_7A_050423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARHHRCSRFLLQ >Et_1B_010725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13367199:13372617:1 gene:Et_1B_010725 transcript:Et_1B_010725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAYEKVYHCFSIVIEWTSLGSMLCMVTTAGPAGCILVLDLPIASFCSPSELPDGSGHRVGHGVGQRVLVDTIFVHEVCDHLNVQIRKPGDAGSYVWPLGVGDNTEETWWGEEIEAMRKYGPKMKQRKIPNLSREDKETQSLAVHS >Et_10B_003519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2323741:2328062:1 gene:Et_10B_003519 transcript:Et_10B_003519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCFSSGLGVLLELDSGEKSCNLPLRVSGSRRMDQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIVIGYCEGGDMAEVIKRANGNHFSEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFIARDQSIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGTKSDIWSLGCCIYEMAALKPAFKAFDMQALINKITKSIVSPLPTRYTGAFRGLIKSMLRKSPEHRPSAAELLKHPHLQPYVLQVQLKSSPSRNVSSIYQSLTDKVKKMTFPNDITDSARRRAARRNSLGNERTVTFCKPSPERNSISSTRSIKEYTTTLSVKELSIDSTQVDDEVTSKAIMTKTPGILRTPKSAPTKTFTARNRLDPPKTSYTRTNQNELPSRTPLNKSARTARRASLPLPTYGTASKSTISILERLDSPDVSVNAPRIDRIAEFPLASSEDPLVPINKPSSAHGSCSTPPFINRSITKDKYTIQVSRTDGDNGSDSSGRNATAASSRGSNDSRLQRFDTSSFQQRAEALEGLLEFSAQLLQQERYEELGILLKPFGPEKASPRETAIWLTKSFKETSS >Et_1A_008113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4467407:4467908:-1 gene:Et_1A_008113 transcript:Et_1A_008113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRGSASSGGVLAAVLLVGFLVTMLSAPPVAEAAATYMVGDFGGWKFNVAGWAKGRTFRAGDWLVFNYNRAVHDVAVVDAAAYRSCVVPRGARVLRSGRDRVKLGRGTHYFVCTVRGHCQAGMKLAVRAV >Et_9A_061273.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:4598139:4598318:1 gene:Et_9A_061273 transcript:Et_9A_061273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATCWIMWTHRNSIIFDKKTVSYVRWNRELKEELTLTLLKAHPSIKTELRNWIVNMQV >Et_9A_061958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18323727:18328476:-1 gene:Et_9A_061958 transcript:Et_9A_061958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIAREASKVWRKVTAEISAELPLLREKWRLLLAGVICQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGQDKGYLSESIFSSIFISFVLASQFLRIITFYSTQLPGPNYHCREGSKLATLPPPNSVLDVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSKRFIKLLAWIMAIIQSLLIIASRKHYTVDVVVAWYTVNLVVFFVDNKLPEMPDRTSGSPLLPLNAKERDTRLKEDRDSKLKDEFHKLLNGNHGDPTDRRQRAHMNGRHDEDINHALSEATANGT >Et_9B_064574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16618496:16625173:-1 gene:Et_9B_064574 transcript:Et_9B_064574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVGRVGSLISQGVYSVATPFHPFGGAVDIIAVEQPDGSFRSTPWYVRFGKFQGVLKGAEKVVTITVNGVEANFHMLLDNSGQAYFMRELVPGSEDSTSGSVAEEAINEPEPPARSKSDGELYIGIGDRLGSQELNVEQQEKQTSEEFEAYGYGSLEEAEDVPKQDDGGNSEVVLVSVDGHVLTAPVSSTEESMNDVQLSEPQFHLGPGESSSGDFSRSGEVWEAGIVGDIYISQEKVKFDSGHQSRVLEEREEVLIEEHESHHISVDEDEALNVSVNEDRVLQVSVNENEAHAVEDEYRGVSVNNDSGYQPLTIEDESRGVSGNNDPGYQLLTSEDDEHDNNDEDQQPLTNDDDYCDSPVPEKANGCSSPTNKDEVCDLSNEDTDLENVGASFSKYDTFKSCLDLTSQIDDGDSGNELFSPESDHQRDSELSFSNRSVVETDLEEDESKTACCDEEELLQEGMDVPTFTSKDNITESEEHSSHHGKEPNFLQSSMGCSDKDKLGSIPEHSEGEEEPDKEENSQLQKGLGVEISLCGNMLRPGMGRESAEEVFQQHLINEEDFKSSGLSIMKNSNLIVKVDNNYFPWNNVSHVILGKAVFGSDFCIEPTDAIPVEQKETPSSREDSLGMSPSSRRWRLWNIPFRISRSLQRSNSDSSEDIFLDSETVLSPMDEQAPEQSKSQSPRKQFVRTLIPTSEQVASLNLKEGQNLVTFSFSTRVLGKQQVEAHIYLWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSQSGVARLFSAIKENGYQLIFLSARAIVQAYLTKNFLFNLKQDGKALPNGPVVISPDGLFPSLFREVIRRAPHEFKIACLEDIKALFPPDYNPFYAGFGNRDTDELTYKKMGIAKGKIFIINPKGEVAVNSSVDVKSYTSLHTLVNDMFPPTTLVEQEDYNSWNYWKMPLPDVEL >Et_3A_027259.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:6109271:6110791:1 gene:Et_3A_027259 transcript:Et_3A_027259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATLLPLLLVAAVLAVAPRFAVSSRHSPAVTETLDVAASLSRARAAISTDGVSLLHQSVAKEPVWTRSRRSRKKKAGGLTVRLHSRDFLPGEHGRHESYRSLVLSRLRRDSARAAAVSARAALVAEGLSRADLRPANDSAIFAASAAAIQGPVVSGVGQGSGEYFSRVGLGSPPRQLYMVLDTGSDVTWVQCQPCADCYQQTDPVFDPSLSSSYASVPCDAPRCRDLDAAACRNATGACLYEVAYGDGSYTVGDFATETLTLGDSPPVAGVAIGCGHDNEGLFVGAAGLLALGGGPLSFPSQISAPAFSYCLVDRDSPAASTLQFGDGAGADADAVTAPLLRSPRTNTFYYVGLAGISVGGQALSIPTSAFAMDASGTGGVIVDSGTAVTRLQASAYSALRDAFVRGTPSLTRASGVSLFDTCYDLSDRTSVEVPAVSLRFEGGGTLRLPAKNYLIPVDGAGTYCLAFAPTNAAVSIIGNVQQQGTRVTFDTAKGTVGFAANKC >Et_2B_021456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29873839:29875929:-1 gene:Et_2B_021456 transcript:Et_2B_021456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRLAPLLPDPRRLLRYRNPSASMAPAAAHLATAADPDEDLCASAAAEAASAEELAPAPPLPPPPVSAEERVERAWAHWRRLGSPRLTVAPMVDNSELPFRMLCRRYGADAAYTPMLHSRIFSENEKYRSMEFTTCKEDRPLFVQFCANDPDILLQAAKMVEPYCDYVDINFGCPQRIARRGNYGAFLMDNLPLVKSLVQNLSANLHVPVSCKIRIFPQLEDTLAYAKMLEEAGASLVAVHGRTRDQKDGKKFRADWDAIKAVKDALRVPVLANGNIRHMEDVKDCLEYTGVDGVLSAETLLENPALFAGFRTKEWKEDGDEIGDSGLNQADLVIEYLKLCEQYPVPWRMIRSHVHKMLGDWFRVHPEVREELNKQSILTFEWLHDMVMRLKQLGGRTPLYRKDGALPTTTMAAGNA >Et_6A_045876.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:22946744:22947424:1 gene:Et_6A_045876 transcript:Et_6A_045876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RHQSVHPPPPPPPPPPPPADAGSATGDRGIEPDVPSYSIILHVYSRAHKPELCLCMFRSMKNKGICPTVATYTSVIKCLASCGRLEDAETLLDEMTAEGVCPSPATYNCFFKEYRGRKDVSGAMELYNKMKAIGSPTTPDIHTYHILLIMFIKLNRYGTVMEIWSDMCESTVGPDLDSYTLLIHGFCDSQKWREACQFFMEMIEKGFLPQKITFETLYVVLYRQTC >Et_3B_028789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19173105:19174114:1 gene:Et_3B_028789 transcript:Et_3B_028789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGLSATARSLLSAATAAAERTPFLMPRVRRMVRAGRLADIDAAFAALLPTHTVAALSALSSAGLPDRTSALLATMPSPAAAHLNAVLRPLLGRRRLARLVPSILDAHPSVDLPHLRRRLRAPPSGAAAPSVQLFTALIDSYYKQGRPDRAEMLWSAMVDDRGIAPDAAAYNVRIAYEAANGGTVEEVRDLMRDMRREARIQPDIVSYNALMQAMARHGMVDKLVEVYQRLENGEDDGVAALVPDCATYTCVVRALCGAGRWSEAEAVFYAGVKRRKVTDLATVHMLVSGIRGTSDDDDDALSGSEDWTSDWSDGDSDET >Et_10B_003658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4400753:4402398:-1 gene:Et_10B_003658 transcript:Et_10B_003658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTQFCSLMIVVTRCIALVVQLVNLVPLLVLELHVPFQKLHLRRHATTTPYKILEDIFLLFDPRADLARASATCRFFCHVATRHYFCHHFLSLHPPPFVRIINQDESTGLHVLCPAEAPHESAVAACAIVQLHLLLLPSDPNLKRTSIIQDTHDGRSLLTRKGIV >Et_8A_057083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20952555:20965536:-1 gene:Et_8A_057083 transcript:Et_8A_057083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVAKRGESSGTRGEEDGIGPATDRLSDLPDALLHHVMSFMKAWEVVRTCVLSRRWRHLWASAPCIDVRFRRFCEPVKDFAKFVYRLLLARETMASVDTLRLRSSGMNDDFNNEDVKMWIRHAIKRNARVIQLSGLRNLFVELHPMDLVSRHLKILKLSYADVFDKLTSQLSSGCPYLEELELKGCLVEARGIMSASLKSLTMINCAFTPNFTVDAPNLLFVRCIAPERFVPLFKNFGSLVTGSVMLDDSLLSAYYQKYQTSDGDDDSDSVHTSDDSDESSGDYDYSDDHSDGSSDDIKDNYDYGSDISSDSDTYKYSDIANGFEHRQFGNCDNAYDSSKCSKYLNSSGKHAIGDYRKLVGENVLHSLSSARNLELLGHSGEAPEQFAKFVYRLLLARDILAPVDTLRLRSPGEDDDYDVGDDDVSMWIRSAIKRKARVIQLNGHLHESAKLDYRDFVSCHLKILKLSYTELDDQFVRQLCSRCPSLEELDLKRCVVEAHEIVSASLKSLSLVKFHCQPLSVDAPNLLSLRCIAPEKWVPLFKNFALLVTASVMLDDSLLSREFEKYQEEDEFPQTSDEDEDNNSRRIGYGTGFARHRFSSYFDRKEYGSDIESDDDTYQYSKIANECDGSGYDCAGNGSRKDGNHQAYGRNSGCNDSKILGGQNVLHSLSNATSLELLADAGEVVLTRELERCPSFSNLKTLTLGEWCIDANFDALVFLLQHSPNLERLFLELKLNFKIRKLSESGVKPTGRSFSCKNLQMVKIKCSKDDVRVHKLAHLLKANGFPVEKIFVRRSGSTYLRGKKTMKELARHELQMDFGVVSDHVLVLLAAVAVRGTCST >Et_3B_029539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25830813:25834242:-1 gene:Et_3B_029539 transcript:Et_3B_029539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNIEKNNMLKEFYIPTYIFIPQSPAEHVSQIPSCPLIVFINTKSGGQLGHELIVTYRDLLNHAQVFDLREEAPDKVLHKLYSNLERLKRDGDSLASEIYKRLRLIVAGGDGTAGWLLGVVSDLKLEHPPPIATVPLGTGNNLPYSFGWGKRNPGTDQRSVISFLQLVREAKEMSIDSWHIVMRMESPKGSPCDPIAPSDLPHSLHAFRRVPKTDPLDMEYSYTFRGGFWNYFSMGMDAQVSYAFHSDRKSHPEKFKNQLSNQKTYLKLACTQGWFCANLCHPMSRNIAQLAKVKIMKKSGKWENLEIPQSIRSIVCLNLPSFSGGLNPWGTPSKRKQRKRDLVMPPLVGDGLLEIVGFKDAWHGLVLLCPKGHGTRLAQAHRVRFEFHKGAADHAYMRMDGEPWKQPLPTDDGKVVVEISHAGQVKMLVTKDCIAKSIHESSPDISTVHPESSSSDDTDDDFEEERRNFGAALSFRYTGDVIKL >Et_6A_045821.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:15683195:15684043:-1 gene:Et_6A_045821 transcript:Et_6A_045821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPPRAADPEPVAEELLGEHLLHPAVDVVEEHVGVPLHGARARHGRRRPYRRHPARRGREVALGRVEHDHVPLVLVHLRRQVVQRQRARRVAAHRRQHFAGAGDGGKAGRVAAVGGEEAVGGVEAGAVGDEVGPEVRRLGPHGAVPGGVLLEEDGGAPRVERVPQRRVAAQAEDQQPQRRAAAEHGEGERDLGERLLRHGVGHGVGDGGVGERGDAGRRVPGPRRRVGHGGEAGERQRREEEVRQRRRHQRG >Et_2B_019768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13702142:13703354:-1 gene:Et_2B_019768 transcript:Et_2B_019768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLLVELTYDPFRDYNSNPAITSDGEGNTDANVRTDASSCAGVLLISVQSAHNVQGKSHINPSHLLVERFTLESKANAMEFPSRNHLGNLANVINNGRINEILKYNLINSKNGMIHVEIKWSSTT >Et_8A_056588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1378231:1383444:1 gene:Et_8A_056588 transcript:Et_8A_056588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSAARKEWRAVPDAPLRSNGTEDAAEHGKLGKSEDRAIYEEGAGGLRDFCSITIDGSGGLSEDILQQRLQSIVQQREELQQVEIELRAQALAHPQIVEAQRSFQAAAKEHVAAAAKLKDQLHEREQYILELEMKLNDKDRELNALKIDHQTVWANQDLLREQTKELANVRRERDNSEAERAQHLKQIHDLQEHLREKENQFIALEEQHRVAQENILYKDEQLRDAHAWVARVQEMDALQSQSLQVELRERMEQFNQYWISSQQQYAEMQRGYLHTIQQLQAELTEARERSGAQKDGAQVSREGSAESSFVQSIGNSVASNGSATVDGSQSLNNNGSADASVKVNNASAVPVAPSSLLGMGGYVPSAHIAGMHSYMMHPQGIPQPLASPNSGVPQFGSFQSQSAIQPNLHWPNQQEVQNVSQTQDETNYQSAQPDQTALQPGPNDDLSSKPSQVSHPDQLKAHGKQQQSASSAPAESTRELAVREPNIAEHIVYDEQQKAFKEQISPSSLNNHPSVAEPEVKKMESKDEGVASDKQPEQVPRQQNTPSNFAASTNQTHYRTGVAELNPGVSNQADTAKSAGGGLGSSVPRVTKEPALLDERSLLACIVRAVPAGTEGIRISSTLPNRLGKMLAPLHWHDYKKQYGKLDDFVASHPELFVIEGDFIHLREGAQQIISATAAAAKIAAATASSAPYSLPSVAVTPVAQNIRQKRGPVVDSRPTNAIPSGNGSITDQYNIIQGVGDVTISGKVKHIQDNGFSDETRTGKPSMHTTPGNGVRQERAGQGVNNIRHGYGGKQQGSKYVIQMAADLFFISYISGRLGQGTFPEDELVTFI >Et_3A_023522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32458145:32458837:-1 gene:Et_3A_023522 transcript:Et_3A_023522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEQAQRRFIAIPFSSGCRSTSSVDVVDTARQAGKKPQGCKSVSELGPASGGKPAGAGKGESLVARLLRGFKNLSQIFAVYDEDDEEEEEEREMVIGLPTDVKHVAHIGWDGSTNTTTSLRSWNRAPPPGSSAAAAAPQPQPQQVQQAAAPPALPPLSMRQFELAMAAQAAAGATTSAAAAAAHRRHS >Et_3A_025085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26802149:26806420:1 gene:Et_3A_025085 transcript:Et_3A_025085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSHPWQLFPGMSPAAAASAYAPAPRSVCKSSKVLAGLPHRRKQLFWGFSSVTRRTRIRSVKDDFIHFDPSKIEPPPYSSYLDSTSGEMEPASGARASIPGQEYWPEGTAARVRAARAPAPVGESAGAPSFGKKPGSRRKGYKEQVPSASAGEATETDDDDGGIVVAAEDPDDAPEETKDSADEYVIYETPQEEELSEYDMDKMMGRPHPFIDPAKAMSLGEPKTSEELWWHWRRKSDKDVWSRWQRRRPDVDTVFAKAMAETGQIKIFGDHPTRTEAALAKTRRHLYKEERLEAEQRRLEEIGPIAYYSEWVEAYKNKDTSREAVQKHFEETGEDENTQLITMFQHQTAGEYRIMMGTDVRIQRDPLAMRMREDQIKQIWGGDPVYPTINYVQDPDEVIDYRGPEFHEPTPEVVPYLMEHGIMITKEELYARLNEEREDFNQDITYIPEVKDPMATAVDIGEHSYNEDSDDEEEEGDKAAAQPESLEDEEDGDGAEEVEGASQNWSVLKSTGQAEKPKEKSKKGDLSLKEAIDDSANLTDFLMDFEED >Et_1A_009033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26387698:26395071:1 gene:Et_1A_009033 transcript:Et_1A_009033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFRRGVEFGMASFQRCHSLSCQVAESTPIDAPKAALRCKEKSRRSKCCHPAEVPVIPEQAMEFLSRTWSPSSLDLFQILSPSSLGSSSEDHEPDQAGGDKEEDEEKNLDAERANGETRQLFNQTWLNVGHMKTALRGFFLDSVPVTGSRRRRRRDELRLHSAQAHAAVSVAQLAAAVAGIVSVCELRPAVASDDKRLGSVLASAAALVATVCAEAAQTAGANRGRVTSAVRNGLDSRSSAELLTLTATAATCLRGAAALKLRAADLRGISSGGSSNAAMAMSISAGIQKGTTLRVCLPCGRVCVRTVAVFPQRGGGGGGGAVALRLGKKRLRGAFATYKECK >Et_2A_018639.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3885247:3886716:-1 gene:Et_2A_018639 transcript:Et_2A_018639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATVAVPGRDHVVVFPFMAKGHTLPLLHFAAALAARHGDDDGGGLRVTVVTTPGNVAFARRRLPARVRVAALPFPAHPDLPPGVESTDALPSPSLFPAFLRATARLREPFAAYLASLPSPPLALVSDFFLGFTQRAAADAGVRRVTFHGMSAFSLALCFSLARSPPPAETMRDGAPFRVPGFPDGVTITADEVPHAVLQSEDADDPVTRFLGDEVRDWDYRSWGVLVNSFAALDGDYAAILESFYLPGARAWLVGPLFLAAGEEEAADDGDEDPEGCLSWLDEQAAGSVVYVSFGTQAHVAAAQLDELAHGLAGSGHAFLWAVRSESWALPVEPGPRGKVVRGWVPQRRVLAHPAVGGFLSHCGWNSVLESLTAGRPMLAWPVMAEQASNAKHVADVIGAGVRVGAATAEKATTLVGRAQVAEKVRELMDDGEAGRRMRARAEQVRREARAAVGEGGTSRVALGRLVDELRRSYDDAGDDTAATVATS >Et_6B_049272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2593999:2595436:1 gene:Et_6B_049272 transcript:Et_6B_049272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVSLLPDSSITDDANGHAGSETGKTTSKPGREVGVPIEEVVRLGLGVDPGTDDDGNDEPVDTEHTSHDHGHDGLHDELRPHHTHGRNTDATLGRPVRGPHACTGSRGQ >Et_3A_023800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12448087:12451761:1 gene:Et_3A_023800 transcript:Et_3A_023800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSAAMNGDIRSLSKDCKIEVPTWSDLQIEAKKFNTYSKPPSLKDMAQHMMGVTMDKDIVHMCWVEPELSPSQIKYAAIDAYVSSQLGLQMNIKPTTVTSRPRKKWRASSWWSAGITTIISLSGWHRMTSCPGRWRAQAPDGTTVAMSLSAHLYLNDDIRRLSKDYRIKVFTWWDLQIMAQKSKGYRRKLWLKDMAEDMMDVTMGKDIVHTFWGEPELTPSQIKYIVIDAFLSSKRALLMGIKPGTKGVNE >Et_2A_014723.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:9814169:9816327:1 gene:Et_2A_014723 transcript:Et_2A_014723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LERHFARIPLPATKCREAAPPSPKPRRRRRPHRHASPPSMPPPASHLPLRRLIRRPIHAAASRSHSSPSVSSSSSSSDDESPLAAELFPAAGAPTLLSVARSLAISSPSPSAASVLAFLHRLPHDASPHIFPHLVAALARSTAPLLALRLFLSPPTAAVTTHHSFNSALLRFPLPPHLLPAFFAHSLRRFPGLAPTLLSFNLLLKCVCSSLAPRNPGLCLAIALRILHDVIPAWKLAPDKFTYSTVVSALADAGRVEDAVALVHEMVVDGVVAAEAFNPVLKMMLRSGDVTGAVKLFRFMQLKGCTLTAATYNVLLHGLLLCGEARAATSVMRKMEREGIEPGLMTYGAVVDGLVKCGRVEDAWKVAEEMGSKGLSPSEFVYSAVISGYCKSGEVDKALKVWEGMVASRIRPNIVLYSAMIDGLTCCGRMAKAEMLFQEMVDAKCMPNIMAFSSMVRGYFRIGDASRALSIWEEMVKVGCAPNAISYSILIDGLCNVGRLKDAMMVWKHMLARGCAPDTIAYTSMIRGLCMSGMVDGALRLFYDMLAKGDAKPDAISYNVLLDGLIRSKELAQAMDLLNHMLDQRCDPDTVTCNIFLREIGVTEGKGREFLEGLVMRLCNRERYKAAGDVVMVMLSKYIMPEAAIWFTVVRGVCQTKKVRKMIDECWDEIWRP >Et_3B_028453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15571073:15575223:-1 gene:Et_3B_028453 transcript:Et_3B_028453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLSSAIRGVSWRRDGCARLRWPPALAQRDAPLMAAASAALPNRHLDFLFRFVYGPWSMQRDIGARWGKWQSKQQVRFASASTKSMDTGLRQKTATECNDNAEASKIGTNERTIAWPRMTYGFQQSFQIAATVMVPYTRIGAIGRDVDIADHEETRLEPMMLSDTKRCIPDPENCISHSTCDMMQIFSMTLVKSPTNNCPVQLYGYIAVRDDKDYMLNYVFNCSRDDPVIVQQGSLIKMTGPKRGIEILCPVLMELDMRVKNGENEDEDLQLIDGVIVCSEKPSWRPVKHRICGTSGAVDITLAVVEHAVEATIEVVISEVKTGFSLSLSSFVDVRDEYEEIQLFHGTIGESCAMRRFVVAVTSDTFMLFQLKAGDSNDEHFCTFIAKRHGCDSRQIKFEVARVSVKEEL >Et_1A_007340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33574402:33575492:1 gene:Et_1A_007340 transcript:Et_1A_007340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSALSSLEAMLFSLMRGSGGGGGDENAPVEDDTLTSPPPPPLPARPTPRGRHPSRYPVVRAAAGSWPTSPPVAAAAASPSKDDESATADGESATADDSLLAELERKAVEVEAQLRQKEEENAALKRRIESYHFMWLKYEIKIKSLEEACQEQKAALQMVQDAAARADEMARDRRASLESQMKMSEDPSSARLGHGRDRGVAAGARRSSVSRLSSEFRRQSQALDHGAAAFVAAEPPRPWQPAAPSADSVDDLRKLKAQFRAWKKDYKARLRMAKAELDRDRRHRVGCWI >Et_7B_054818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4608253:4612317:-1 gene:Et_7B_054818 transcript:Et_7B_054818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRESRSASALARSLSPLALVLALLSTMPGGVFSKRLALPPLPPPQYPNMTCDSVPYPFGAGNASLRGFKVLCGPNGEAMLPIGNIRYKIHNVSVPESTVVIFAGPISQVCYDRNGKPKPDTGAGAMSLEGTPFTFSKGNKLVNIGCNRELKVSFTHPPGDSHFWPVPSCATSCNGSDAITSGTCSGEACCEQVIPSQVTAAQSFTLSSFNRTAPNVAREEYGTCSAAFFLAQDEQVFTFKDADEGVPLREALVPPGERRMILDWAIDNYSTCDQARGYTFGPLCPDDMGVCVESPRGKGYLCKCPEGYEGNPYVSGGCEDIDECRDPSRNNCVFSPKFCRNTLGSYTCSCPDNMIGDGYRNGTNCTDTPLSIGLSVCNHPGNNPCTYIKYCNDMQGSASCSCPQGMSGDGRKMGSGCRKTFPLDTVLGASLALVVTITTAGLCFCWAMKKREVERKRAELFRKNGGLLLQQKFSAITTQEEGLPAKIFSAEELKTATDNYSESRILGKGGSGTVYKGILSDKTVVAIKKSKVFDESQVEQFVNEITILSQVDHPNVVKIFGCCLETQVPLLVYEFVPNGTLFQHIHNRNVPCPLTWEDSLRIATEIADALAYLHSTSSVPIIHRDIKSTNILLDENFVAKIADFGVSRSVPFDQTHVTTLIQGTIGYLDPEYFQSSQLTEKSDVYSFGVILAELLTRQTPISVARPEESRNLAMYLVNLFNEGHLLREIDPHILAEAGQDQLYATAQLSVRCLNLKGEERPAMKEVASILDGLKRSLNVEQNIRRKDDLVEKNSEQGEGHLLREIRPTSALQSSEVSTECSMEAEILSSLHMPR >Et_1B_013340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7516950:7531402:1 gene:Et_1B_013340 transcript:Et_1B_013340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVIPGTLQAIDRRIPDALRVAFGIRHRASPAPSPGQHDEVAAFAAALLPPRDGGDPMECDDDIGGEDLRMTFESCYLPDHDEDSHFLHADTNVIGVADGVGGYRDTGVEASAFACSLMYNAFEELAAAAPGTHVCPRTLLERAYGKTAAPRTPAASTAVVLSLAGRSLKWAYVGDSGFAVFRDGRLLRRSQAQQFYFNCPFQLSSASNSNSVSEAARHAEVGEVPAKEGDVVVVGTDGLFDNVSDDELERIVRMGTAMGFSPKNMADVVAGFAYEAARCSYRDTPFSVQSRRERGTAFTGGKPDDITVIVAFIGGCESSGREVDDVLAKEDNAEIPCPENESTPRALWMDVASCYDAHFALPDAGVVGVADGVGGYRKKGVDAGAFARTLMDNAYAAANQAATKPDSPICPHTLLRRAYDATSRSRTPGASTAIILSLDGATLRWAYVGDSGFAVLRGGEIIRRSTPQLHHRNCPHQLRVRKSGDSVSKAEVGNMPVRDGDVVVAGTDGLFDNVSGEVLELLARQGAELGWAPQVMANVIAGVARRIAKKMDDITVVVSFITEEQVQQKLREIDERVFNAVLGELGRGCRARPLPSFQEDGEKSFPASMSQPMKPTGDSDGRFAELESGGHCPMEELADAPAPELMKDGDCAGQLDDQAPRTRALRMDLGSCYIQDHDEDSHFVHAGAGVVGVADSVGGFRKHGVDAGAFARALMDNAFASASRAATKQQPGTPVCPYTLLRKAYSKAVRSRAPGASTAVIVSLHGETLKWAYVGDSGFAVLRGGKVARRSAPQQQQFNCPFQLRASKGGDRVADAAAGDVVVVGTDGLFDNVFDAELERVVRNGTKLGLSRQDMADNVAATACEMARRRRAHSPFSIESWRHGVEGKKRFYGGKVDDITVVVAYILELRMKQIMIEVDERAANSTGNAHERAIIAEMTGDGRSPGEEEEEEVDDTPVPAPQDGGGDRSRKLHAAPQARALRLDFASCYLSDHDEDAHFGHAKAGFVGVADGVGGFREHGVDAAAFSRALMKHALAGAKRAGTKHGRRSKPVNPYNLLQKAYLKARRASTPGASTAVIVSLRGATLRWAYVGDSGFAVLRGGKMVHRSAPQQHYFNCPYQLSAAGAGGDPVARAAVGEMAVAEGDVVVVGTDGLFDNVFDAELERVVRKGTELGLSPQSMADKIAAVARKMSRSWWTPSPFSVESARDVKDGRERFLGGKVDDITVVVAYIMATMDKLEQIQQTLSEIDDRVPEALRVALRIGYPAYPVLAPVASFVASLLPPPATDGTIVVVPGELDDVSLAQEGDGAAAEPSDATPALGIRYGSCYAPLHDHDAHFGHADAGVFGVADGVGGYMRRGVDAGAFSRSLMANALAEVAAGAPGARVCPHALLEAAHARTAASGASGGSTAVILSLAGRAMKWSYIGDSGFAVLRGGRIVCRSKPQQRISKRWGPPPPFHLCADPASSDDVAQARRGQIAARDGDVVVVGTDGLFDNIRDAQLERVVRMGTTLGFSAKNMADVIAGTAYEVSRTIKGKPDDITVVVAFVVQSDS >Et_1A_007395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34073007:34075293:1 gene:Et_1A_007395 transcript:Et_1A_007395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSECGGDEPPSPGEATPPSGGGGGGKLPPGGGVLPPTVGGRVLPPPGAGQVPPSGGVLTPPGGAIMPPPVGVLPPATGCRVMPPPVAGGLLPAPGASQIPPGGGYGAGTPSPMHELSTRALRLPPGVIARYNAKRRAFMWTAEDKASGAIELPRRLGHRHQDQGRLRRIGHPRHGAAEQLCLLLKLPHRLHCPDQSSWAL >Et_1A_005366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:57307:58701:-1 gene:Et_1A_005366 transcript:Et_1A_005366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TERKGEKRSLVKLGLGFIESHGIRPSFGFDPIPFHFISGPTESLRLLLIKVKEEEPSMDPSQMMFPMWAPPPAPPSDDLAAPPQPFLPPPNRGWKRKNPPSSAVGYQPPALGDLQVQNRAKARRWFKNPNTNRTKHHHFFPKNKAAAPRNTTSYIIRAKRAGGIASLVSPCPVTPAVLPTPRLSPSREGLADMAQAQWGVDGYGSMKGLIRLRSSPQPATASDDDEDGNSSGSDVEEHVEVERRLDHDLSRFEMVYPAAGEDAGGYVFEEEDDYDQDAHVARLEEENLTLKERLFLMEQEVGDMRRRLEALEARFSQTDGVGGGRGNPETGDIHVVVSENSSEDNVDRVTDVGSHKKHIAVEMVDAVLGKSCEDDAGHNRLESTRD >Et_8B_058898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10634116:10639244:1 gene:Et_8B_058898 transcript:Et_8B_058898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYAGAIIALSVYILKQEQTVSQGGCENWCSTIREAETEEATIRTIGALPADKLMDADRQGQAQDSHALDMASLDRQLMLELTTAESSSSTVELNSSGGPIIIDEVGSLTREVDPAEYDPYHSTEFVRMLLLDACYLLVRFGAVPGVPASNGHVQGGYHLNDGATLASAAGGSCDKLESIAVVRDVLYLAENQIPFFVITKVHELTSSDGRIPAVDAFTRYVTHLLLKHAYSILARPQLSTEPGNLLHMVHMYLKPSVPPPRTGGKAAGIQVDRWRTATEYYFAGVKFRGRCLHPDGARCILDVRLNSGGSVLEVPKSMPTLGGCSAT >Et_7B_054521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22521888:22536526:-1 gene:Et_7B_054521 transcript:Et_7B_054521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNSSTAAAVPTSKKQFPPSYLPPHSTSPSAMDADLVQRCLEAGGRDGLLLPHHHPSSSSPPSPTSAAAAASSSSILQSLPLHVSFDRGFYLLVKAIQELRERKDGLVVTVGIGGPTGSGKTSLAEKVASVLGCVVIVSMEDYRTGAGGDDVSDVEAIDFDALAHNLQDLIKGKDTMMPVVDFQEKKRTGWRQLKIPSSGVVIVDGAYALRSTLRSLLDIRVAVVGGVHFSLLSKVQHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRIDNSFVCSFREPYYKLKCKNESPDGKKFYSFDSNKAETENFIEMYLRPPFASEEVKIDDWIKVRQCGIRYYLSLGDQRIVDKYFIIRPKAEFEVGRTTLGGLLALGYSVVVSFKRTCTSVYSDQLLIAAETIDTLNETFLVLKGPSRKIVAAEASKLSIKGPWITKSYLEMILESKGVPRLNTPPPVSRMLLTDSQEKKIAAPKPIRVSTDNIANLDDLVQPWTRSPPRKLDQEHVLAKWQFIPDSSSRSNIQLAPLPDSYDLDRGLLLSVQAIQALLENKGFPIIVGIGGPSGSGKTSLAQKMANIIGCDVISLESYYKPEQVRDYKYDDYSSLDISLLTKNIMEIRKNHKAEVPCFDFENFSRNGFKEIQVSEESGVVGGVHSHLLTRIQRDKNRAGFSISQTEIMTTVFPLFQQSIEPHLVHAHLKIQNDFDPVLSPESSLFVLKSKRQVSYQDILKVLDATKVCSSVQNFTDVYLRLPGVPSNGKLTEGECIRVRICEGRFALLIREPIREGNFIIQPKVDFDISASTVAGLLKLGYQAGAYIEASAVIYQDGKILIEVDHLHGVTTPYIQIKGTNKEIVSTAGSELSLDGSYTTKSYLQIILESLPADDNVSAGMNNQQAARLQELVEFIQSQGGSFNSDASSPMREISSTDSVLDEMQSRIRKLERWNTINMVLWTILLSALVGYSLHQKRRH >Et_1B_012561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33235811:33248081:1 gene:Et_1B_012561 transcript:Et_1B_012561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMDGGVGVGGGGEAAAARRWRLADERCDPRALETDYVRRFHQHEPRDHQCSSAVAKHIKAPVHLVWSLVRRFDQPQLFKPFVSRCEMKGNIEIGSVREVNVKSGLPATRSTERLELLDDNEHILSVRFVGGDHRLKNYSSILTVHPEVIDGRPGTLVIESFVVDVPEGNTKDETCYFVEALLKCNLKSLAEVSERLVIKDQTEPLDRTCGARISLRRRRVANNYKRPKGLAT >Et_8B_058508.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:10257242:10258012:1 gene:Et_8B_058508 transcript:Et_8B_058508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLSLCFHLALVVTLATSVPGRAHGRVIDPKPEPKPKPKEDPKPTPQPDPKPSPKPTPQPDPKPEPSPEPKPEPEPSKPDPKPQPDPSKPEPKPEPKPQPKPEPEPSKPDPKAQPDPSKPEPKPEPEPSPKPTPELKPPQPDPSKPEPKPEPEPKPSPKPVPEPKPQPEPSKPTPEPKPQPEPSKPEPPPQEGN >Et_5A_042976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8502847:8505479:-1 gene:Et_5A_042976 transcript:Et_5A_042976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSSFLPLVLFLLAVWPVATAWRPFTECTGDATYAANSIYEANLRRLAAVLTAGVNASHGSYTHRAVGFWPYRVQASALCRRRDDDNDNGIFLIWEDGACHGTDDGPASRYTSCADCIAGAFRELESTCPYHKEGYFSDCNCTLQVNEVRIFGTDGIYYDFFGRHVVATQKNISSSRVKIVECIAGMHGLKDEWRDRKRGTTMLSTPLIAEDP >Et_1A_006938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29119797:29125168:-1 gene:Et_1A_006938 transcript:Et_1A_006938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAETRSAGAEDLANGTPEPEAEEAATPEVLVEEEDEEPPRSATAKQEEAKAAMGIEGSRPFTMRELLGELKEDGDSAAAGGRSAFGEGSGVGSNGAEGSPYSQDSTQQLSSHHDVAMDLINSVTGVDEEGRSRQRILSFAAKRYVSAIERNPDDPDAYYNWALVLQESADNVDPNSSTSKDALLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAILNYEKAVQLNWNSPQALNNWGLGLQELSAIVPARDKQTIIKTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTMRSGKPDVSPNELYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKVGYLTAPPANNAIAPHKDWVRSQFILNHEGLQQADASDQPPSQSPGHLDRGRKPVRIYVADIVSVSACADLTLPSGAGLCIETIHGPTFLVADSWEALDGWLDAIRLVYTIFARGKSDVLAGIITG >Et_3B_029491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25478719:25484768:1 gene:Et_3B_029491 transcript:Et_3B_029491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VKDMFYHAFDGYMQHAFPLDELRPLSCRGEDSLGGYALTLIDSLDTLALLGDKEKFAAAVDWVGKNVRFDINKTVSVFETNIRILGGLLSAHLIASDYATGMRIQSYDDQLLHLAVDLAQRLLPAFDTPTGIPFGSVNLLYGIDENESKITSTAGGGTLTLEFGILSRLTNNTIFEQVTKNSVRGIWARRSKLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLYIFQEAYKAAMHYLHHDPWYVEVNMNSGATVWPLFNSLQAFWPGLQVLAGDVDPAIRTHAAFFSVWKKYGFTPEGFNLATSSVQNGQRSYPLRPELIESTYWLFKATRDYRYVDVGRDILASLQYGAKCPCGYCHISDVETHKQDDHMESFFLAETVKYLWLLFDLAAGPDNILENGPYKYIFSTEGHLLPVTPEIALANEHCSYFGAFCNGSAGHGYGIGASSVKHHTANYTQSIDIQTSSSQYSVSDILFTRGYIKGVCPGLTHAQKLGISYSDEEANVAERNSESHDDSDESAVQSSVEAHSSSLILISHPVASQTDEALDSMSRRPDEANIVVAADSEPIKTDHTGDSLGEKTQGVTEVSESISEHTEDTDEGIASQDFEPNEETN >Et_1A_005832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14529557:14533139:1 gene:Et_1A_005832 transcript:Et_1A_005832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASAWAKPGSWALAAEEQDDLPPPPPPVPAADFPDLATAATTKVPKKKKAQPVSLSDFNAGKFVAPGSRRGAVDEGPIVLPTAPRERSEEELANARYGRWGASDRPARGSDEPRRGGSEDFGPSRADEADDWGATKKPMERRERMGGFGGDMSASRADEVDDWGATKRSAPAPFPERRERVGFGGDSHSRADDSASWVSNKSYSAPPPAPADSRRGGSVWGFNREGGPDSDSWNRRREEVSNGGGSGVARPRLNLQKRTLPLANDTDGEKKEEKEEEKGEERPRSRSSNPFGAARPREEVLAAKGEDWRKEEPKVEKLEIQPKPRSFDPFGKARPREDVLAEKGEDWRKIDEKLEAMKVRDAPPERKSFGRRGSPVAREENGNAQEPESRADRAWKKPDDAVEAAKEPEQGSDNSSELSFNPIYDVACDACHDRCLGRETIEKLHVILKFLLMPDLDD >Et_2B_021024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25783421:25788190:1 gene:Et_2B_021024 transcript:Et_2B_021024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAPPLPAAAAGEDESICRGVFAEVMTKVARFEEQVEAGKRLLSRFHQELEYFRGPLIPENSDVMSEILKSNCTGRMKSYLEAGCILQSRNISNINQLKTLLQELECLVKDVHAITLTASLSILKVLESPSADNELNNECSFMEEEKRADLDSDVSFVTVMIIVHNMLKHDYTMQKINA >Et_4B_038714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4191522:4195240:1 gene:Et_4B_038714 transcript:Et_4B_038714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTSKRSVDAAAAAPTKPADPAAGLDAYLHATRLHDDAASSPGKQQQQQQQNRAAAAARSLLRRPQPPPQPLQVPVAPPAARADLLKKQFERVRQCWPQPQPQPQPPSYAAREGSAELYASILGPRSPSGFFNSHFGAHSPRFNARSPSSFPSMIAPSHAFVPPSMLPSSSTTNHYYQPTAASASSAWEHHHNVGFNPFASAPPVAMLHDNAGRSSSSSLDVPYYASAFPSEQEEQEQVADYYYNYYNHHPWMMGVPAARRVPTLEDVRARLLRAPMDPALLAAFPEAAAHVVRLLQEGGAVARRSVLAGVRAGAAVHGVMGSRDARDVFLALLRACQGRPDELSGVVGAVCAGKGVLMGILKHDHGVAALKGLIVAVAPYPELSFAVILWLLNERLIEHCKCADLVHHCFATIPYETCLIMIRFATYHVNEMLSSTTGSIYLAACFAHARNQELQLLEDVVLSRTSAISKGQYSNYFMQKVLECGSEALKERVAERVAADVASLSADKFGSYVVEACFQLTCSLTPMRRVLAAFLALSTDQLAELVQGVYSNYVVHKLLAAGKKYFKEETLELARRIEELPAEVQREMHAQRVMRVVKKQFPRSPRFFSQQTMDTNHSADSSPLGRSGSNNASRLGSSSSSRSSSSSHPAGDFDADGAGLRSLIRRIKEYGVDQETLHDVMRNLVAFSTHWLGSYAVEACILKCPTPLDRELVAATFAALRDHELAEMVQDEYGSCVLQAFLQSAAKDDFLGQEQPRDLAQRIERLPEAVLEQTHAKRTVKAIRRMFTRRGD >Et_4A_032298.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28895680:28895928:1 gene:Et_4A_032298 transcript:Et_4A_032298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQIMIFTNLDLVLSRKSRVAEMRTMKSKIPNKKTETEETTRVKTSRVSSFVILCSFFTLPLWWVKNAFRGSIEISHQCNG >Et_4B_038948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6230308:6233038:1 gene:Et_4B_038948 transcript:Et_4B_038948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DAANDEASQKEPSINPRPHDSRYQRRPQCPSIYAGPGIRKPKCRHTAVTTSEPEPAVTMSAPGGGATARSVPRIGLGTAVQGPRPDPVRRAVLRAIELGYRHFDTAAHYATEAPIGEAVAEAVRAGTLASRDEVFVTSKVWCADAHRDRVLPALRRTLSNLQMEYLDLYLVHWPVTMKAGRYTAPFTAEDFEPFDMRGVWEAMEECHRLGLAKAIGVCNFSCKKLETLLSFATVPPTVNQVEINVEWQQRKLREFCREKGIELIAYSPLGAKGTHWGSDSVMDSVVLHDIAKSKGKTQTEFTERKHVLTRSVFQVCLRWVYEQGDCLIVKSFDEARMKENLDIVDWELTEEERQRISKIPQRKINQGRRYITEHGQYKSLDELWDGEI >Et_1B_013212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6436765:6439398:-1 gene:Et_1B_013212 transcript:Et_1B_013212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANKYAERQPIGTAAQGAGDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFIATFLFLYITILTVMGVSKSNSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYMIMQCLGAICGAGVVKGFQQGLYMGNGGGANVVNNGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNREHAWNDHWIFWVGPFIGAALAAIYHQVIIRAIPFKSRS >Et_2A_018404.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:2693494:2694471:1 gene:Et_2A_018404 transcript:Et_2A_018404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTTVANKPAPPRQQQQKVKKEQEAPTKVRKLRIFCEDPDATDSSSDEADDDVAPPRRVRKFVVMEAFLDAPPVVSSSAPPAKAAGGAGKRKAPAPAPAAGGAPERRYRGVRRRPWGKYAAEIRDPQSGNRIWLGTFDTAEDAAREYDAHARRFRGPSALTNFPAPPAPGAVVVVVADEDSSDESQQNVSSPVSVLPTMPGEATTATAVADPPVQQVPVKPEVAAGDAATSQQKQLSSSSAAAADYQLCPFSGADAFLFGEPAASRSVFEQSFVEDVLSAPLIDYVADDYSCPLDLGDLPMWPGVDGGSFSDIGDDLFAGLPPM >Et_2A_014675.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33921595:33922887:1 gene:Et_2A_014675 transcript:Et_2A_014675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGRFALEVTDLDDVRNAADDADDDEAVAAGKQRSFALRSSFNAAKRARLTSLILRRGGGGGNEKTERLAGVVEELETLTRDYMREFILLVQGYPRKVHRPVTTTLYMDRCVFGRHVEKERVVDFLLQRAPSGRAPFLSALAVVGAKKVGKTTLVKHACDDERVRDHFARIAWFETPDVVRAGGRPDQTVWESDGPEYLAGVRRILGEPRFASAAAGGRSLLVFEDAWPVDESAWAELASTPSALADGSKLLFTCRDADLGRIGTAEPVVLGHLREEEYWDYFKAFAFGGADPREHPRIAAVAREISEHLERTFLDARVLGTLLRANFDARFWRRVLAAIVSCERRPLHVGVLLELLPVSGRLQSYGYCRTPPKFTVQDVLSASAASPSGHGDSEEGFTIHLCRETLYMDHWYSITFKNDARAPPRVVT >Et_1A_008107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4390897:4394361:-1 gene:Et_1A_008107 transcript:Et_1A_008107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVVLAMLLSSAAVSTAQHDYGDALHKCILFFEGQRSGRLPPDQRLRWRRDSGLHDGAAAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGAHKEEARKAVRWATDYLMKATAKPNTVYVQVGDAGRDHSCWERPEDMDTPRTVYRVDPSHPGSDVAAETAAALAAGSIVFRESDPAYAKRLLDRAVEVFEFADKHRGAYSSSLHAAVCPCYCDFSGYQDELLWGAAWLHKASRRREYREYIKRNEEVLGASESVNEFGWDNKHAGINVLISKEVLMGKDEYFQSFRVNADNFMCTLLPGISGHPQIQYSPGGLLFKVGGSNMQHVTSLSFLLLAYSNYLSHAGARVNCGAASASPVQLRRVAKRQVDYILGDNPLRMSYMVGYGARFPRRIHHRASSLPSVAAHPARIGCKAGAAYYASPAPNPNLLVGAVVGGPTDNSDAFPDARAAFQQSEPTTYINAPLMGLLAYFSTHPNPAQWSDEHQAELASP >Et_7A_050569.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:25272319:25272543:1 gene:Et_7A_050569 transcript:Et_7A_050569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFKSLLAVYWSLITSEPYIHANDLIAQEEDQFQLLYGYNHPDVLEPLGNKECGSNCSELQRIFFHGAVFGYP >Et_10B_004437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7090768:7092836:1 gene:Et_10B_004437 transcript:Et_10B_004437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLNKVNMPKSRAEQDLPPVLQPSSASVKSKAEQDLPPIACKIEPELLSSRISASSPSPPAMLVVEDRGGAVALMLASLFLLGTWPALLTLLERRGRLPQHTYLDYALTNLLAAVVLAAAFGQAGESKPDVPNFFTQLAQIPDNWPSVLFAMAGGITLGLGSVVVQYAWAFAGLSVTNIICSSLTVVLGTTVNYFLDGRINRAEILFPGVACFLVAVFLGAAVHSSNAKDDEEKLSVSGCHFCTKKKSGGVELSSDVGDADKAKLLPDPEELENDDYEDDKTYGEAKAGTAEFIIQVEKTRSIKVFGSNRFLGLSLVFLAGICSSLFSPAINLAINDQWRALREGVPHLVVYTAFFYFSISCFSIGVGLNLWFLYRPMAGVPASTIEAYARDWNGRHWALLAGLLCGFGNGFQFMGGQAAGYAAADAVQFLVFHDQALPLVSTFWGVVLFGEYRRSSRKTYMLLTAMLLMFAIAVVVLMASAGHRKAHNDNAKFVVTNTAQLN >Et_1A_005593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11898124:11901990:1 gene:Et_1A_005593 transcript:Et_1A_005593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSGRPPAAQKILQSLRPPFGFVAPSRPPFASPDDYHRFPAAPAAAAAPAAATSGGVGAESIEEGLVIRTPLKRKAASEENDAAESSECMIISPMLTPVSGKASKTSKSKAKNNKAGPQTPTSNIGSPLNPSTPAGTCRYDSSLGLLTKKFINLLKHAPDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGSELDNGVSALQAEVENLSLQEQALDERISDMREKLRNLTEHENNQRWLYVTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGEYLQRRYRIVLRSTMGPIDVYLVSQFDEKIEDLGSAATPAKHTNLPRHQPAEDFNTINAGQSSTSQDVVHNVQHIQKTPQDPSASQDFGGMTRIIPSDDTEADYWLLTEGDVSITDMWKTAPEVQWDQMDFLSEEVSTPRAHSQQAVAVGGPQMQIQNMDKP >Et_4A_032167.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2256856:2256966:-1 gene:Et_4A_032167 transcript:Et_4A_032167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCGVTLYGFTHIGNARVYGAVCFGSLRGSRSGSA >Et_3A_026919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26478706:26479666:-1 gene:Et_3A_026919 transcript:Et_3A_026919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLFFLLFLVAMPFRGSDASWCVCRSDATDAVLQKTLDYACGHGADCGAVLPSGPCYSPVSVRAHCSYAANSYYQQNSGAKGATCDFGGTASLTDTDPSSGTCKYPASASEAGTSSSNTTTPGAAPGGASSSGSTNNPATTPRTGGSFTTPIGADGPAPSTFSAATAAVFVSRHAVLLAVVSVLAFLVR >Et_3B_031486.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:31374034:31374384:1 gene:Et_3B_031486 transcript:Et_3B_031486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQAKVAPKEPMIHLFATTLLVLATALPCTLVASEQLPNKPLVVPLSQDPNTSLYTISIKNGAPLVVDLAGSLVWSTCPSTHGTVPCDSDTCRVANQQHPRRCRFVDPGRFWATP >Et_7A_050656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:100397:101450:-1 gene:Et_7A_050656 transcript:Et_7A_050656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCFSSVAVTSSSSRSRRMPGCRPEPPRFLVVSCDTRTAAAADVYSSLAAKILGPPTTFNAAKLKVEFAGEDMMTRSKKQIFPRAYTLTHCDFTANLTLAVTGSITSEQLRSWQSTLQRDDVVAEWKEMATGEMTLHVHCYVSGANLLQELAAGFRYYVFSKELPLVLKAVVYGDATLFAERPELMEAKVWVHFHSSSRKYNRIECWGPLKEATKRNLLDGRFNELQNAITKRRRKWGPETIFNALVALLL >Et_5A_040880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1386306:1389849:1 gene:Et_5A_040880 transcript:Et_5A_040880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYTSMLLVLVASLIPVNFNAAGFSLPLISRHDGFTYRSDGFFGYIPAATKPTSGETNLTAIRPPMASYGWSYAVQVGIGTGHGRQYYMLQLDLTGDLTWMQCEPILPDLPQTSPIFDSGESPTYVNVDARSGACKAPYTPVGQHRCSFQAWFHGALAHGYLSIEVFTFASSSSGVQMIPGIIFGCAHMTEGFQNQGALAGVLSMSRQRTSLIKQFRPGRQLFSYCLSAAGSVLRFGDDVPHPPNTRSTAIMFVNKPSAIPAYHIRVTGVLLNGQELTRIAASTFRGSGCRIDVGTPLSRMIQPAYSILDHEVAAHLARYGAQRVAVQGYNLCVLDTPGIRGHLPSMALKLYQGTLLDIAPHLLFMVVSNEGNQYLCFTVVPDEHQTVLGAMQQGNTQFTFDLSINTISFASGHLALELSGGARLDITPQLLFVVVSNGGNQYLCFTIVPDEHQTVLGTMQQVNTQFVFDLSTNTISFVSTQC >Et_3B_027703.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:13351962:13352288:-1 gene:Et_3B_027703 transcript:Et_3B_027703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VQYKTDNQILATTLNQHQFIVDPGHWSLRPLLYEFKANTVAGRHQVQHIPRQNNRLAHNLAQQAIRTNPTPNCSFICNHLAHGDQCPILPAFQFVNWESFSPVNIVCR >Et_3A_026280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6434335:6434634:1 gene:Et_3A_026280 transcript:Et_3A_026280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARELWQMLLKKEIFNQQNPYSPKTQVFSTSPGSRLPEDWSGEAVFCSDVCRIRHEYVEFFSLVTLPASAS >Et_2B_022462.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22805389:22805694:-1 gene:Et_2B_022462 transcript:Et_2B_022462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGPATLSSMSMAKSAAASAGTKNGVVAAADQQQGQHNKCSSYAFQMPLHYPRYKKADYETMPEWRVDCLLGEYGLPVSGDLDSKRKFAMGTFLWPDQY >Et_7B_054849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4917954:4922580:-1 gene:Et_7B_054849 transcript:Et_7B_054849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSLPPHRRGALSGNWQWSFFDAIWAVFIVAVVVFLALVFTPRRGDPLSSAASVRAGAAVPPCAASEVDLLPCEDPRRSSRLSREMNYYRERHCPARGEAPACLVPPPQGYRIPVPWPESLHKIAERKGHQGWMKHEGSYFIFPGGGTMFPDGAEQYIEKLSQYVPLKTGVLRTGLDMGCGVASFGGFLLKENIMTLSFAPRDSHKSQIQFALERGIPAFLLMLGTRRLPFPAQSFDFVHCSRCLIPFTAYNGTYLIEVDRLLRPGGYLIISGPPVRWKNQEKVWGELQAMTRALCYKSITVDGNTAIWRKPAEASCLLNENEFGLDLCSSNDDPDEAWYFKLKKCISKPSTVEEIAIGSIHRWPDRLSKPSARASLIDNGASLFDVDSKKWVRRVSYYKKSLGVKLGTAHIRNVMDMNAFFGGFAAAIVSDPIWVMNVVPAQKPFTLGVIYDRGLIGVYHDWCEPFSTYPRTYDLIHADGINSLITDPTSGNSRCDLSDVMLEMDRILRPEGTAIMRGSPDVIGKASEVAQSIRWNVKVHDSEPESGSSGKILVATKTFWKLPLTSQ >Et_6A_047514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6803027:6804627:-1 gene:Et_6A_047514 transcript:Et_6A_047514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQEGRKGAHASNALMDGAALESMGWLRRACARGDPEHQSNKPECEKNIGLRIPKHIRIQGLMAMLAARSDKALAFIVMPLMMALLTASVSADQEGNHCVEWPRCDPNAPTPDNCGGRCAVLGIKGIGICVVTYGVSSCCCVDTNLSQIQVQQQLVH >Et_7A_051668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22143198:22144600:1 gene:Et_7A_051668 transcript:Et_7A_051668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAVALASPASDDRRFWDRLRNRVETILEGRRVLVLPAATMRGVESERGKRLREDSLMLVRGLDSVAASLAQLSDTLTAAQKGVSGLATCPTQATRCESAADAEEPKAKRQCSASTEAADLDGNTPAAINEAGDAIPRQGTGEVQASTEVAQSTNLRRARNLAVSMASRAAALARELKNIKSELHFMQERCGLLEEENKRLREGCDNEVAPEEDDLVRLQLEALLAEKSRLAQENANLTRENQSLMQLVEYHQLTSQDLDESYEEVMQGMQLYFSSPLGRIDSGDEGEYDDGAPVTPTDKLEVLSSQEE >Et_8A_057632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5486294:5488811:-1 gene:Et_8A_057632 transcript:Et_8A_057632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSCPPTAVPHPEAVAGPPEPFRSLQIATASAAGAKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICGQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPSCLHHDPAHALGDLVGIKKHFRRKHSGHRQWACARCSKAYAVHSDYKAHLKTCGTRGHTCDCGRVFSRVESFIEHQDTCDASRPARAEASQQLHAAALSRTASSASPSSGDQLVASPVAWPAGPAMASSPANAAAFHRFDVPALSSPTTASERRHGAHNLELQLMPPRGDACTALPAVVAHHQLGAADPMRLQLSIGFGAGSSQASSASARLKEEAREQLRLAMAEKAAAEEARAQARRQAELAEQELATARRMRQQAQAELGRAHALRDHAVRQVDATLLQVTCYACRHKFRASSRAAPAVMSSEVATSYVSSVVTAEGGAGDVYAEVDNNDDLILHLNADDVPSHGRMDIN >Et_5B_043934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1855263:1865488:1 gene:Et_5B_043934 transcript:Et_5B_043934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFVFPSSLRDLERDTDCDEEPSLRPQNPISIATLRAADLEEFVKGASFDLSDKELFCIEEQEVFDGIYSVVRDFNCLPPGLKFNIVETLRSNLSVLLPNIDSLSRASMSSPSDATPITDRIASHRNALKIYTFFLLSIVLTEESAAESCAGAKVTAHGRKKNPVYAWNWEAQRGRIMNLIANALEADLSLLFGPGGADEQYLSFISKCTFVLWESQNVLKDEDTRNGLGRIIGTIATRHQRISQISASVLHLIHKFDFSVAHLAEAVATAEKRFGDGSLAISLIREIGRTDPKDYLRDSVGADNVGRFLVELADCLPKLMSTNIGVLVPHFGGESYKIRNALVGVLGKLAAKAFKDVEGDSNARLRSKQAMLEILMERCRDVSAYTRSRVLQVWAELCEENSISIGLWNEVALVASGRLEDKSAIVRKSALQLLITMLQHNPFGPQLRTATFEATLEKYKEKLQGMEPPNPDNDELENDSSLGEVVTGQEESISDSCVASSQDQNDHDATIVDITNLEQIRALVASLEAGLRFSKCITSLMPTLVQLLASSSASDVENTILLLMRCRQFQIEGSEAALRKMLPLVFSQDKSIYEAVESAFITIYTKKSPSETAKSLLNLAIDCSIGDLAALESLVSSLVAKAEISSSTLSALWDYFCFNINGVRPVQSRGALSVLCMAAKSSPSVLGSHLQDIIDIGFGRWAKEEPLLARTACLALQRLSEEDKRKLITTSSRVFAALHGLVTSFSLPEKIWYGAADKAISTIYALHPAPEIFAAEIVKKSLSSVFSISRVEDVSNGDQTENDTFLSSVPPSKLGRFLFVVSHIALNHLVYIETSVRKIQKQKRKDDKSEPSSEDIHADASKSSEAQGINAELGLGATADIAIESLAERAEREIVCCSAEKNLIGHCGPFLSKLCRNLTLLQKFPELQASAMLALCRLMIIDAEFCEANLQILFTVAESAPSEIVRSNCTIALGDLAVRFPNLLEPWTEYIYARLRDPSGSVRKNAVLVISHLILNDMMKVKGYINEMAVRIEDEDERISSLAKLFFHELSKKGSNPIYNLLPDILGRLCNQHLKEETFCNIMQFLISSIKKDKQMEALVDKLCNRFAGVNDVRQWEYISYCLSQLTFTEKGLKKLIDNFKMFEHALSEDSVMNHFRSVISKVCIEEFEEKLTKVHQEKKEQEATTKNAEAHRQRIGSLDEFLVTKEAGQNSGNSAEGESSDVVDPSVDSNNEDKENMPESSDNISTENCQTSTTCTEPEDDGAEVQAAETVRKGVSRSRIKKARDPVLEDSADSAPVRRVGRSSRRKGPCNLVNSQPIRWET >Et_2A_016647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26907719:26917371:1 gene:Et_2A_016647 transcript:Et_2A_016647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGIRSPCSDSNYLRRPDPDEISSPSPGIVGLAVPDRRAASHAMKCHSVAALWSPSPPSHHVTAAAATPVALFTGAADGTVLHWPLASDPASPRPSSLLCAHAAAITALCPLPSPASLLASCAAGVLSLFSASAPLRCLRRRSLPPWAGVPSLVAPLPSSSSSSPRVAILCHASDDGGHRHVSALLVVDARTLAVLHTAFHGPLSVAPPKAIAVCGGDAVSIVLADAQGRVQVVPVAEGAAVELDSPRRLSASSMSSVASVEVADGTMEAVALSPDGKVVALALKARCLLKCVAEGTLLGEVSLVDTSLCRDGEAGEKGCIAGCFFLNNGELDARVSEDGVVVRTLVLWSSNGAAVVYRVAVGSSSFESEAVCEIPGDISMQGEGSAVKFCQSDRRLVRVESCPHKVAGSLSWKPVVSIWSMDLLELNTVKDTESPPLSKVLGEGGLQGEEFRLQSSHSKCSLDNGVGESSQKFSEDTNGLEIYGRTVSSSMVLSEDSYAPYAVVYGFHNGDIEVIRFLNLSPAAAKFGGGGIYPHISERFFLGHKGAILCLAAHYMHAHSDSRNFHRALISGSLDCTTRVWDLDAGTLLSVMHHHVAPVKQIILPPALTHHPWDDCFLSVGEDGLVALISLQTMRAERMFPGHPGYTSMVAWEGGKGYIACLCRNLHSCNDAGTILYIWDLKTGARERIIKGTASQSAFEHFCRGISKNAVTGSILGGTTSASSLLVPIFKDTNHHNPHANKKGHGISSISSNHQNESTVSVAVSAHTTYDFKGKAQAPGEEHAVHGDNSVYSSGKAVSSHSIHQRRKCPIKCSCPYPGIASLRFDLTAIMSTQGMTNSNSDRQSRDHPFSENAKEAVHPGNVDTIYGVHEMDSPSRESLEGRLLRFSLCFLHLWDIDCELDKLIVDEMQVCKPEGCHIATGVVGDRGSFTLTFPGKEATLELWKSSAEFCAMRSLSIVSLAQRMITLSRSCTNASSALAAFYTRHFAEKVPDIKPPSLQLLVSFWQHPSEHVRMAARSLFHCAAPRSIPHPLRIHKKKAPNALSSSSDDMNTSVSRYGLLKADGENIDKEDCDTASINSWLESFENQEWLSWIGGTSQDAVASNITVAAALVVWYPSIVKAELASLVVSQLIKLVMSMNDRYSSTAAELLAEGMESTWKACLGTDITHFMSDVLFQVECLSTATSNIAIHKSAIAVTMREALVGTLLPSLAMADVTGFFGVIESQIWATSSDSPVHVASLKTLIRVVRGAPKALAPYLDKAISYILHTMDPSNLIMRKACIISSMMALREMARVFPMVSLNDSMTRLAVGDAIGEIHNATIRVYDIESVTKIRILDASGPPGLPSLLAGSSNTTATILISALSFSPDGEGLVAFSENGLMIRWWSLGTAWWERLSRSLTPIQCTKLIYKKTKELDEADNLKLLLHNLDLSYRLHWVGGKTIKLTRHGQELGTFQL >Et_5B_045707.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:7943722:7944528:1 gene:Et_5B_045707 transcript:Et_5B_045707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCVQSCSSSYAHASCRRLRHPLTVDSRQPVLRQPLSAPPGIPIGSRLAHELLPDAGQGRRGSDLNSSICPLERRLSPFRPSWTPAATSDGRRALREPCASRSRRRSTTRLASRRSRSSRARAPVPVACRGHARLGGASLPGSAFGCSTANTDCASGILGLGRSAVPGIAAWRRQVLVSRHGAGASTMLFGSVANVTGDAVQFTPLVRNPVTRHAPYYYVNLTGIKVGDAERPVKSHTFGCTAAGAGGLIVDSSTTFTYPAEAGYAN >Et_7B_055283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:930674:949901:-1 gene:Et_7B_055283 transcript:Et_7B_055283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEDFGQRVDLTRRIREVLANYPEGTTALRELIQNADDAGATRVRLCLDRRSHGGASLLAPALAQWQGPALLAYNDAVFTDEDFASISRIGDSRKVAQTWKTGRFGVGFNSVYHLTDLPSFVSGKYVVLFDPQGSYLPNVSAANPGKRIDYVSSSAITMYKDQLSPYCAFGCDMQAPFQGTLFRFPLRSAEQASSSRLSRQSFTEEDILSLFFQLYDEAVYNLLFLKNVLSLEMYVWEPGMTEPKVVYSCSLGSQDENLSWHRQALIRFSGTFANSSKQMSDSFSVDFVSEAFLGNKSERKSYTYYIVQGMASALSKIGIFATAAAKEYDLHLLPWASVAACISNIGPEDNILRQGRAFCFLPLPVKTGLSVHVNGYFEVSSNRRDIWYGADMDRGGKLRSDWNRLLLEDVIAPLFKELLLELRMLSDSTTSYYSLWPSGLYEEPWSILVEQIYKVIYSYPVLHSEIGGGTWISPVDALIHDEGFSRSNDLSEALVLLGIPVVRLPNVIIDMFLRFYMKSMVKIVSPVTVRRLLKDFGKVAKLGKSHRLVLLEYCLSDLDSADIGNCMNGLPLIPLANTQFGIFSESSQGDRYYVCDNMEYELLSEVGDRIIDRNISPVLLDKLHQLASNSQANISLIDGPIFLQLFPRLFPPGWKRINQVPWNPGLGGSSPTAAWFKLFWHYIGNCSYDLDLFSDWPILPSTSGHLHRSSTGSKLVKTESLSSLMNGLLTKLGCKILDTEYLSEHHQLARYVYNGDATGVLQAIFEIISLEGVELQSLFQRITPGEKNELYQFLLDAKWYLGGCISDISINQCKKLPIFKVFNGGSHNSYGFSDLSSSKKYLPPLGVPDYLLNTDFIFCICPSDEDIIMRYYGIERMPKSNFYRWYVLNRLDELQAELRDSVILTILQDLPQLYLEDPMFKEALKVLKFVPTLNGTLKSPQSLYDPRVEELYILLQESDCFPHGSFQNPDILDMLLCLGLRTSVSTDTIIQSARQIDSLVNIDQQKAHSRGKVLLSYLEVHAHKWYVNKPSDGWKKVNMLAKVTTALRSRDKSFESDLEKFWSDLRMICWCPVLVTAPSPALPWPSVSSMIAPPKQVRMQEDMWIVSASSRILDGECNSSSLSYSLGWSSPPSGSVIAAQLLELGKNNEIVTDQVLRQELALVMPKIYSLLINLIGSDEMDIVKVVLEGCRWIWVGDGFAKADEVVLSGHLHLAPYIRVVPVDLAVFKDLFLELGIKEHLHPVDYAGILSRMATRKASASLEAEELRTAVLLVQHLSEFRFQDQPTQIYLPDSSARLCLSSELVFNDAPWLLDFGHDVSGGASSLALSSKKHVHNFVHGNISNDVAERLGVRSLRRLLLAESSDSMNLSLSGVAEAFGQHEDLTTRLKHIVEMYADGPGILFELVQNAEDAKASEVVFLLDKTQYGTSSILSPEMAEWQGPALYCFNDSVFSPQDLYAISRIGQDSKLEKPFAIGRFGLGFNCVYHFTDIPGFVSGENIVMFDPHACYLPGISPSHPGLRIKFVGRRILEQFPDQFTPFLHFGCNLQQPFPGTLFRFPLRNEAAASRSQIKREQYAPQDVEMLFSSFSEVVSEALLFLRNVKKITLYVKENNSQEMRLVHRVSKHNSPQITKEPHALNTMLEFIRGNRPSGMDRNQFFSKLNKTKESDLPWSCQKFAILEQNPTAHMVHLWILAECIGGGRARKLSTASDSKSHFFVPWASVAAYLHSVNVEDIKSQTEEAEGNNDNLVFRNLELGSLRERINFEGRAFCFLPLPINTSIPVHVNAYFELSSNRRDIWIGNDMAGGGRIRSEWNLALLEDVVAPAYGHLLSAIAEEFGPSDMFLSFWPSAVGIEPWSSMVRKLYVSIAELGLNVLYTKARGGHWVSTRQAIFPDFNFSKASELAEVLSEAGLPMVFVPKPVVDCFISAYPSVHLLNPQLLRSLLIRRKRGFRSREEAILVLEYCLSDIGDPSFSDKLQGLPLLPLANGSFTTFNIRGEGERVFFTSQIEFDLLKDSVPHHVIDNSLPDNILTKLYDIAYSARLNMYLFTCNFLLELLPRILPPEWQHANQLSWFPEQQGQPSVEWMMSLWKFLRHSCEDISIFAKWPILPLVDGKIVQLGNASNVIRDGGWSENMYSLLQKLGCFFLRSDLQIEHPQLASFVQESTAAGVLNAVQSVASNFQDIKELFVNASLAETHELRSFVFQSKWFSGNQITSSHLKIIRNLPIFESYKSRELVNLTNPRKWLKLEGVHEDLLNERFIRTESTREKSILVSYFDIREPQKAEFYKDHVLPRMSEFLSQPAVVSSIIHDVKLLIENDNSIRATLSETPFVLAANGEWVQPSRLYDPRVTELHKLLHKETFFPSEKFMNSDVIELLASFGLKRNLGFSTLLDMARSVSLVHNSGHGDGFAHGQKLLTYLNFLEFKTLNVEDRETFPKEENPETTEIGEHLEAENNGDEGCNQSDQSSISLFSNFEYDIPEDKFWSEMKTISWCPVHVAPLLKGLPWFISEDNVASPVITRPKSQMWLVSSKMRILIADSCSMYVQRNLGWCDPPSVNILSSQLVELSKSYDELKMFSADTDIDAVLQKEVQVIYSKLQDIIGTTDANILKDNLDGLPWVYVGDRFVSPGALAFDSPVKYHPYLYAVPSELSEFKKLLSELGVRQTFDATDYLNVLRRLQDDVKGEPLSPEQLSFVHCVLEAFIDCYTDSQAPDVLLNSLVVPDSFGVLAPARNLVYNDAPWINADSTSKSFVHVSIGNDLAKRLGVRSLRGSSLLDDELMRDLPCMEYAKISELLALYGESDFLLFDLLELADHCNAKKVHLIYDKREHPKQSLLQQSLGDIQGSSLTVVFEGAIMSREEVCSLQVPPPWKLRGNILNYGLGLLSGYFVCDTLTILSGGYFYIFDPLGLTGGASSTATSSARFFSLIGNDLVERFQDQFAPMRVTQDASLSTANSTVIRMPLSSKCLKELEAGCNRVKQIFDRFTQNPSSSLLFLRSIIQVSLSTWEVGAPQPTLKYSVLVDPSFASLRNPFSEKKWRKFQISRIFASTSAAIKMQAIDVHVIESGCSYIDKWFVSLCLGSGQTRNMALDRRYLAYNLTPVAGVAAHIARNGVSSNIHPSSCILSPLPLSGSISMPVTTLGHFLVRHSGGRYIFGNTHDSELKKDRDSLVEAWNKELMLCVRDSYVEMVLEFQKLKKDPMSSAIETRSAESVSAILQTYGDRVYSFWPRSKQHPTSFTGHDSTVTNLNSPRATKADWQSLVEQVIRPFYLRLADLPVWQLYRGNLVKVDEGMFLSHSGNGDDDNLPSPSVCSFIKEHYPVFSVPWELVSEIQAVGVTIREIRPKMVRDLLKSSSSILLRSIETYIDVLEYCFSDMDPYRFSELHISDESRVTSQHVETMYSSRSHSMPSSSSSSSYHSNNQSPGASGGDALEIMTYFGKALYDFGRGVVEDISKTSGPASHRTQAAEHNVLSSIITELKGVPFPTSTKCLTRLGMTELWIGNEEQQLVMNPLLDHFIHYKCLEKPFLALLLSTQVIHRPLKLRGFSPNLLSGYLKHVLDARWVHFALEKKSSWIPWDNNAESSTTGPTPKWIRSFWKIFSSLNGDLSLLSDWPLIPAYIDKPVLCRVKERHIIFVPPGDDSNSVNVSVNNVAGALDTTDISRDDTGESEQKNVLDTAFESMNSKFPWLPALLNHLNVPIFDSSFSECSAICNLFPSNSQTLGQTIVSKLVAAKNVALLPSPLSLSSEDCDRLFALFVSEFRLSSNHLYQREELDVLRTLPMYKTVTGTYTSLLGSDHCILSPTSFFQPSDARCLSCSPNANLFLQSLGVEQLSDQEILVKFALPGFGNKTAQEQEDILTYLHANWKDLQLNSAVVETLKETSFVANANEFCKDFFKPKELLDPLDALLTSIFSGERNKFPAERFMSDGWLAILRKTGLRTSIEADMIVQCATKIETMGHDIMSSSEDPDDFEADFSDSKNEITFEIWSLAESVVSVIFANFATLYDNSFCEKIGKIAFVPAEKGFPSIGGKRGGRRVLASYNEAILSRDWPLAWSSAPILTKQAIVPPEYSWGPFRLRSPPAFSTVLKHLQNVGRGNGEDTLAHWPTSSGIMTVEDAFLRILQYLDKIWGVMSSSEKLELQKLAFIPVASGTRLVSVKSLFARLTITLSPFAFELPSRYLPFVALLREIGMQENLTNSYARELLLDIQKACGYQRLNPNELRAVMEILDFMCSGVNQGTSDGYYDSVIPDDGCRLVSAASCVYVDPYGSHLLSNINTSRLRFAHPDLPQNICKALGIKKLSDVIVEELDGKEELKVVNSISSVTLDRIKQKLCSKSLQEALRIIMISISNHFPSFEALSLVQIQCILEDISQNLQFAQRLHTRFLLLPNLQDITKTLQHPSIPEWSSSGMHRSICFVNKSTGYILVAEPPSFLTIHDVIAIVVSHRLGAPVILPIAALFACPDGSENEVLKILHLGTDIGVSIREGRYDASLGAELLSQDARQVQFLPLRPFYSGEIVAWKTGKEGEKLRYGRVPEDVRPSAGQALYRFPVETAPGETRMLLSSQVYSFKSVSMADLSSPFQVDGSRVAEGGQEDLLSTNTGTEVAGDMAGGLEYGKVSSTELVQAVQDMLSAAGVRMDAEKETLFQTTLSLQDQLKESQVALLMEQEKAEAAAREADVAKAAWSCRICLNAEVNMTIIPCGHVLCNRCSSSVSRCPFCRTQVSRMMKIFRP >Et_3A_024569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22153386:22155028:1 gene:Et_3A_024569 transcript:Et_3A_024569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIYFTIANLRGRLQAAVPRRRRRLRARLCGSADDWLVVAHGSEPRGNFLCNPFTSATLPLPHQCTITRSSFLFQDGRRAGWVQYYPRRQHEPEPRVRKSVLSCAPSSVDDPGKCVVAAIVDSGELFFARPGQRCWRRPRTRDGSSSRFHDKVDDVVFHNGMLYAVATLNFHGDTMRVFAVDEDANELVASRVDGGFMKKLPDYRSDVPQQYEPGLERRYFVESRGRLLMVERYYFRYRNDVRRTHLFKVFRRTPMMVEPYRSPIEPWMPLEGLDGEVLFLGGGGSRSFAASEFGGDDADCIYFTDDHYPGEEYDLLDNLIHMPCHDIGRFCMRDKSVTFLNLEELPSNERRSPPTWLYLSDRRS >Et_1B_013787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16111228:16113786:1 gene:Et_1B_013787 transcript:Et_1B_013787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEARLMNQIHEAGDVSTMQLQAVAPLPVVNLSIEDWIKQSQHSVTPLMIRSKTDQQIGHELIKSLSIFNQKVALDQSPPILPHASAEKKVSVVSQTGSEGQKPVIKIKPQKTTVKVVRDMLTKKWFFVKEDKQLGSWPLRRVLDTYKKLSSASNIDAIRLAVLIQGIRKITVNETKQQLKHVCFHVFYWYETGGCALFHWAEKLSSEDSRSCGENTPVRSERLAVNLKYHISSISGLEENSEV >Et_2B_022355.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1889933:1891345:-1 gene:Et_2B_022355 transcript:Et_2B_022355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVERFDVAVASRTLVPASDPPRGFPAVLPVSNLDLILGSFHIYLINVYPAPGAGLNAVAAAVRAALPAFLSRLYPFAGRIVTNASNGVPEIACNNAGAELLVADAAVKLADVDFADADRSIGRIALPFQQGLALSLQLLRFACGGFALTWATNHLLVDGHGLTVMANAWAELLRTGGLAWEPHHERASLFRPRSPPRYSPSLDAEFTRYAPASLPNSLLAATFVRRNYVVSAADVDRLRAAASTPARRATRLEALSAHVWKLLARAVGESDTRCRVAWLVDGRPRLDPARYDGDAVRRYVGNVITYASREAAVEAVASAPLADVAATAGAAIAEVFRSERLEELVDWMEARKGVFRDGGKWTEVVGLGTGSPALVVSAFVQFRVEGDFGFGRPRLVMPWIRPGRLGCAAMTVARSPREDGSWVITARLWPRLADAVDADPEAVLKPATAARLGFGACEPVDVGHHASRL >Et_4B_036445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24566448:24566924:-1 gene:Et_4B_036445 transcript:Et_4B_036445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLKLVIKEALRLHPPAGAASGATRRQSIEACDLEGYTIPAKSRVIVNAFAIGRDPRYWDDAEEFNYEFLPFGSGRRMCPGFNYGLASMELALVGMLYHFDWSLPEGVEEVDMEEAPGLGVRRRSPLMLCATPFVPVAVSTN >Et_4B_036389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21295953:21297095:-1 gene:Et_4B_036389 transcript:Et_4B_036389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAHQLLHMGGTQKLAAIHAGWEGGGGISCEAAPASTAYASSAACYGRQLQGCQYPYQQSSMCAGLAAAPTPAPAPMMMVGQQQYGQVCAASESGVTFGGAQEAAAAPRKRKRAEQQPQFLGLDAAGVAAHLQQQLVDVDRLVLQHTAKMWAELTEQRRRHARQVAVAVEAAAVKRLRAKDEEISRIGRLNWALEERVRSLLVEAQVWRDLAQSNEAAANALRAELQHALDAQSRRGGVAADDAESCCCGENDVVAGAGEEVGTAASSRRGTCTACGEGAAEVLLLPCRHLCACAPCADAARVCPACGGAKNGTVRVNFS >Et_10A_001077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20970212:20976714:1 gene:Et_10A_001077 transcript:Et_10A_001077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGGDVTSSMMRPVMHLMVALVLYGVAEEMTVPALVDKVTAALCPADGSSCPEAIYLTGLQSSIGGVFKTVGFTLMGQLADEYGRKPLLLFTASTSIIPFAVLAWNNSRTAVYVYLCLRTFSFLIGQGTIFCLSIAYTADTVEPSKRAAAFGILSGVLSASHTLGSVFSRFLPEQFIFQVSIVLLTCSILYIKIYLVETVQRASSAPCQSLSFSSLVVSLPRQRWESIKENINIIKNSETLRRISCVAFFYKLGMIGISDVLMYYLKSVFGFDKNQFSEILMVVGVGSIFSQILILPIISHVIGEKGVLCISIIASIAYVPYVSSTLGVIYVLAKPAIYAIISGEVLSTDQGKAQGFIATMESVAVLLAPLFMSPLTSYFISPEASFDCKGFSFFVAGFFLVISLFFAWILNPESKDDCAKAAAAKPDEEAPLLAPRLH >Et_10B_002802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11570206:11576274:1 gene:Et_10B_002802 transcript:Et_10B_002802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAAKMAFFPPTPPSYALVEDAAAGVTTLSGQPHRENVEVLRLRTRRGNTLAAVYVRHPDAASTVLYSHGNAADLGHLYQLFVHLSFNLRVNVLGYDYSGYGQSSGKPSEHNTYADIEAAYKCLIENFGAKEEEIILYGQSVGSGPTVDLASRLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPQVTCPVLIIHGTSDEVVDWSHGKQLWELCKEKYEPLWLRGGKHCDLELFPEYLKHLKKFVHTVEKPPSLRQAWRESLDQIEPSRKSMDFFEPSRKSTDRREKSRSTRDRTRTIEHRYSNVEKMDKLKISFDQFEKSRRSVDIFERPKRNIEQLDCGRKSVDRLDRIWAG >Et_4B_037409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19561052:19563074:-1 gene:Et_4B_037409 transcript:Et_4B_037409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESESSVPLFQGVSAGAVHAGRVSQVIPGAGMIPSMGALLAGFGMAPAGMFLPGRPPALPAGYGGAGADVPRAVWNGAAMAAGQPRAAGGGNVERAAPPPPPHRGPWTSEEDDILKQMVREHGERKWAVVARALPGRIGKQCRERWTNHLRPDIKKSHWTEEDDKRLIEAHKTYGNRWSVIAKFIPGRSENAVKNHWNATRRSLKAKRRLKKKKNAPASPPGQQLSDLEEYIRALYPGDAAGADTPAPPASPPSSYNQQLGYGEVVGSPASGGAAAASPAAGFDPAAGMGMMYLNDGAGSSSASSSTMPLMLDLNASYYYGGDSMAPSPMHPMDIDQQQQQQQQEYQQYQHQQAAASYTNLLMGYPFMDNFVSWQASSNFHHASTSAANAAGHQQYYGVVGGPSGGGGRDGGADDVVEMASREFLMPSQDEVTLDLARFM >Et_1B_013314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7259632:7261332:-1 gene:Et_1B_013314 transcript:Et_1B_013314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGRAVTLHERSGSGARLIEDVAAEAGDEEAEATARVLYRASFLELMPNYLQYDTIIWALISLLLVLAWGVGLLLLIYLPYKRYVLKRDILSRQLYVTENRIVYKATRPSYIPFMGIVEKEIKVPLHLVIDVIVEQGSLQSAFSLHTFRIQSIAHGKPAPVDELQFHGVHNPGLLLKVIIREASRRTQEVQNWKMIMYSGEGPSDVPPVSSIHSPSAEVKASPRHVVLDSKGSIPESVLLHKVEEVTRSVKNLESILVGSHTRG >Et_2A_018227.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:19005102:19005578:1 gene:Et_2A_018227 transcript:Et_2A_018227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSTGATGKVKKGAAGRKAGGPRKKSVTRSVKAGLQFPVGRIGRYLKKNRYAQRIGSGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAIRNDEELGRLLAGVTIAHGGVLPNINPVLLPKKAAAAEKAAKEPKSPAKKAAKSPKKA >Et_9B_064458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15376706:15377840:-1 gene:Et_9B_064458 transcript:Et_9B_064458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERENASSDQRPEGGSTRQHAPTRPPYIPPVLRSRVPHSGTGERTSALSLARAKASQGQGSRLKPRPRQEGKRIVMGRSPCCEKAHTNKGAWTKEEDERLVAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWMNYLRPDLKRGNFTGDEDELIIRLHSLLGNKWSLIAGQLPGRTDNEIKNYWNTHIKRKLLARGVDPQTHRAVNSAAAPRAVAAALLLQPPVTAVPAAKQFAVESSSSSDAGSSSSGVASAGEPRCPDLNLDLSVGPPASASSDDTPTSRPPVCLCYRLGLRAGEACGCQDDRARSSGPQQGFRYFRPLLEQGQYI >Et_2A_014940.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:22571675:22571701:-1 gene:Et_2A_014940 transcript:Et_2A_014940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLRQVA >Et_5A_042939.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:7251148:7252794:-1 gene:Et_5A_042939 transcript:Et_5A_042939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDTEAATTTPLLTNHKARLKRPASKAPTIDDAIETYLGDTGAMQLFKAVLLAFAWAFDAQQVFISVFTDAEPRWHCTDGGAACSPAAASPCAFPAGSWAWDRPAATSMVSEYGLNCGGGAALVSLPASSFFAGCLAGGFVLATLADSLLGRKKMLLASLASMSAAGALTPLAPNVWAYAALRFASGFGRSIVGTCTLVLSTELVGKRWRDTVSVAGFFFFTVGFLSLPALAYALREASWRSLYFWTSAPALCYAVLLYFLVQESPRWLLVRGRKQDAIETLRQIAALNGGAGVTSSFSLLHACAAAHEDDDGAGGDTGAGGGVFSTIRTMWERPWAIRRLAAIMTASFGIGMVYYGMPLNLGNLGGSDDNLYLSVTYNALAELPSSILVWLFIGRINRRISLVTVTVAAGALSLACVAIPEGSPARMAAEILSFLATCTAFNVSLIYSIELFPTSVRNSAVGIVRQALVLGGVVAPVLVALGRERSFWSFGVFGLAIGCLGLFVVCLPETRGRAMSDTMDEEEDNVSAESCAAAVVVDANCNSDLV >Et_2A_017830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6888162:6896177:1 gene:Et_2A_017830 transcript:Et_2A_017830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGSHMASSQHVEVEAAKLLHKLIQESKDEPSKLATKLYVICQHMKLSGKEQSLPYQVISRAMEKVVNQHGIDMDALRSSRIPLVGGQQAGGSSGAMPKDKEVIAGQSPMIAGDASQSSGQAGLWQFPSSADMARHGASMSGRVPAGPNRADAAVPDIHQGSMSQKSGRSSGIESPASLQMEDTRSMNSHDSLKSDEKTSKKNPSKRKRMDSKATGDLHSEDNSKSDAISTGHNTRKGKLVSQSSGQGQPSTGVEHEQSHALQGGTAQASSLHGGAPFQRAHPEGPLTSSGRTTPSNPFTMTQISNFAEGQAPGGVPIELQKSILGGANLFNSGFGWNQNPQIPNTKNSQGSIPNLVRPGLNVEGKVGPQGAFNSSLTPNMEFPTISSYNTSALGGGSQFLDKGKELASSELHSAAKAAAQSGISHGISMQERQGMIRPPQRAEAPLQEGRFSLLPNRNSGPSQMSHTSPNTPFKEQQLKQLRAQCLVFLAFRNNVPPRKVHLEIALGRGPTTESDTAGQRANENRVADGLGKENGSSRENSAMFGRQSDISRLPSTSAGSMAEVDSFSKDPENAQKKIKVAEHEKSLMELENIQQAAFMQGTSSEMRSQETASPMQSVPHQSYLQGDTRRITPDLHMTEAENLNRNSNWGGQGPAAFGSNKQHGNQEVAVSTRGHLLDELSKESPVPPSSHHVPADGNSNIPEIVQTPDTAGAGNDVENCSRITEFVPDQSADGDEDLSELDDVPSSPPKYTMTEKWILNYQKRRYNESKKKVLEQQKVHSRIAASYEKLKENVNSSEDLSAKTKSVIELKKLQLLPLQRRVRSEFLLDFFKPNTADVERIKAVKKHRHGRRVKQLEKIEQKMKEERQKRIRERQKEFFADIEAHRERLEDSFKVKRERLKGFNRYVKEFHKRKERIHRERLDRIQREKINLLKNNDVEGYLRMVKDAKSDRVKQLLRETEKYLQKLGAKLQNQNAKSTDGRTSVFSETANDIEDESYQPQHYLESNEKYYQLAHSVKEVVNEQPSYLQGGKLREYQMNGLRWLVSLYNNNLNGILADEMGLGKTVQVISLLCYLMETKNDRGPFLVVVPSSVLPGWVSELNFWAPSINKIAYAGPPEERRKLFKEMIVHQKFNVLLTTYEYLMNKHDRPKLSKIQWHYIIIDEGHRIKNASCKLNADLKLYRSSHRLLLTGTPLQIELLDGIKEGGEYQFMFGCPHRGI >Et_6A_047253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:369130:372544:1 gene:Et_6A_047253 transcript:Et_6A_047253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLWRSSSFTLLKPSLIVEDIWQYIHSLMSMRDAARAACVSRAFVPSWRCHPNLTFSNETLGLNKNTRGKDGSASIFSSISMYNFPYSLLSNGSGNSIRYLHLVRCSFCLTTTLNCLRSLTRLYLCHVRVTGDELGCLFPHSLALERLEVRYCDGIVRLKVPCILQRLSYLEVLGGDRLEAIDNEAPNISTFLFEGDNAVKLSLGETIQMRRLKIQRSGSVFYARTELPSRMPNLEALTLRSDRERVTPMLSSKFLHLRHLSIGLNGVTFPSTYDYLSLAHFLEAAPYLETFLLDHVSIFADPSDLRQMQEHGHHNLKDVRIRNFCCAKSLVELACHVAESVTCSDRDNRSGKCCPMDRDILVEAHRAILAIRRYIEPKVPSTIKLHVLEPCSCHAV >Et_1A_007716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37554093:37557547:1 gene:Et_1A_007716 transcript:Et_1A_007716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GSLTASCSTITNQKVFFLGPKQFPRVTYSPASRASSRLSRREIIAFAGQQSWDVGRFVKTLFFFNGPPNPLKIVESIINSFTSPVASETPKKMETSDVVLVTGATGGVGRRVVDILRKKGLPVRVLVRNEEKARSMLGPEVDLIIGDVTKENTLDPKLFKGIKKVISAVSVIVGPKEGDTPDRQKYKQGIKFFEPEIKGPSPEMVEYIGMQNLINAIKNSVGLSEGKLLFGLKGNLSGKIVWGALDDVVMGGVSESTFQILPTGSETGGPTGLFKGTVSTSNNGGFTSIRTKIKIPFSSLRPVFRARTMTDAPPFDASNITSLQLMFSKFEYDGILNPTFAEGPFELPFSRIRAYINEPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRLNKELGSILTYKLKGEDLVRESGIPYTIVRPCALTEEPAGADLIFDQGDNITGKISREEVARMCVAALSSPNAVGKTFEVKSTVPFSEPYIIDPSNPPPEKDYEVYFKELKEGITGKEALEATPAQV >Et_7B_055067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:716459:719034:1 gene:Et_7B_055067 transcript:Et_7B_055067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVSGGWSWNKSKPPQIRDSLRIPAVHVGIRKNTITREDMSWCCFPRSRKEENPYSNSIGGIYTEKNIRLFSYAELRSATDNFNRTKKIGRGGFGTVYKGTIRNGREVAVKVLSAESRQGIREFLTEIDVITNVKHPNLVELIGCCVEANNRILVYEYLQNSSLDRALLGSNSEPANFTWNIRSAICAGVARGLAYLHEEIASPIVHRDIKASNILLDKNYIPKIGDFGLAKLFPDNITHISTRVAGTTGYLAPEYAWHGQLTKKADIYSFGVLVLEIVSGRSSSTSLLDDEKVLLEKTWEYYEAKRLKELVDPALGDYPEDEVIRYIKVALFCVQAAAARRPSMPQVVTMLSKPIRINESELTAPGYIHDYHTTVSKANTSSNSRFKTSASDDSNAFSTVVPPTISEMSPR >Et_3B_027832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21336332:21337783:-1 gene:Et_3B_027832 transcript:Et_3B_027832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSANSIPTKWPKTLTADHLHRLVRAERDPRRALELFDAATAAATSASPSVSSPGPITPSPATVSLLTSRLASAGLLPLASSLLSRSRALFPSAAELELPFLTLLRAFSRVHRPLAALHLFRSAPSELALPHSARSYTAVLAALVAHSHLSLARTILADMRAAGFAPTTATYNVLLKAHCSDAAAPIDEALRLFRNIRKPDACSYNTIIDGLCRRGDLAKALDLFSEMVAKGIVPTVVTYTTVIHWLAREGCFDEALKIFDEMGRRGISPNLITYSSLIDGLCKGGRAASALELLDRMTKEKLPNMITYSSVIDGLCKERRLSEAMEVLDRMRLQGRKPDAGLFGRAVEAANYLDEMVLAGIEPNRVTWSLHARINNAVLTALCAKGELDRAFRMYQSTRTRSISTEPTTFHLLVESFSKKNNLQKAAHVVLDMLSERCIPERETWDVIISGYWSQKKVRQEAEEIWNQIAAS >Et_3A_026872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24565807:24569140:-1 gene:Et_3A_026872 transcript:Et_3A_026872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKTVLLGKKSTKSGSTKTNESKNANNNGYSTGEEVAPSGNSPVISQPVLVNVHKSGPVSADGKAENSNFPNDQAGQQGLHNQSIPEPKPLGPEELGEQQAAVKAQAAFRGYLARRSFRALKGIIRLQALIRGHLVRRQAVSTLRTTWLIVKFQALIRGRNVRLSGTDMPFSITFGRLKFGGANSSDAWKEKLSSNAYVRKLLSSPISIEPLHFKYDKRDPNSVYNWLERWTISCIWKSVSQPKRVTDGKPQVKKASYAMETESAKLKRIVRKGSAVTVESFHTSMPVESEKLKRNPRKLSGTPSDSVPDSQLSELEKVKRNLRKATNSMVEASKLSSARADSSKVSNSTADVPKVSNPAAEISKIPSIMNGISDHQDIQCEKALQGTQDASFPLETKEHSDNGHLLGYSNIDDFNLLPGLKSDLETRLDSFSMGDNVDEPTVGAPTVEVMPLQNANNEDNVLRKKEEARSKEEHQSHGSFKTNKRKSSYSNKSEHMENGTHTPPVQPRKPSYMAATESLKAKLRAQNSPSLDSDSSAEKNGFPRRHSLPSSTNSRAIKAEWRR >Et_8B_059567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19335330:19338752:1 gene:Et_8B_059567 transcript:Et_8B_059567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACRGHVAHCLGGVLARRGAVAVAVSGSRRLTGAEFVDGVRRLAAGLAARGVRPGDVVAVVAFNSIDYIELFLAVTYVGAIIAPLNYRWSFEEATQALELVQPSVFVFDDSFSSWALRLTGSNKIPCISLYLALGDHSSVGHAANFVPVDHIKKSVTETTVLEPVSAPSDVALICFTSGTTGRPKGVAINHTSLIIQSLAKIAIVGYGEDDVYLHTAPLCHIGGISSCMAMLMAGGCHVLTPKFDTKLALDAIREHGVTSFITVPAMMADLLSYARKERISDCGTTVTKILNGGGGLSNDLINGASQLFPRAAILSAYGMTEACSSLTFMALNKPKLQDPNSQAGSYYGGVCVGKPAPHVEIQIAIDGNNNSSSPTGNILTRGLHTMEGYWVKNKVDPSDSVRNGWLDTGDTGWMDKSGNLWLMGRQKGRIKTGGENVYPEEVELVLSQHPGVAKVVVIGLPDSRLGEKVTACVNITEGWKWVDPRDEQQGDDKQVSTKILQDHCRLKKLSRFKVPRSFYQWRRPFPVTTTGKIRRKELKREILASMQLPSNL >Et_2A_017871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7328576:7329940:-1 gene:Et_2A_017871 transcript:Et_2A_017871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLWPHQRTRGSSHLLLTVSSRMFPSTSTPRFLLPFTATAAASRRAMAAAAAPGSTDPQQQQPTKAVRVVVKGRVQGVFFRDWTVETARSLGLAGWVRNRRDGTVEALLSGEPAKVDEMVSSRLPVGPPAAVVTAVEPAPADPVDPADGFHRKPTA >Et_1B_013827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18872101:18878105:1 gene:Et_1B_013827 transcript:Et_1B_013827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRSSDAGDWVWVRRPAEAEIVAAAAAARRTWSSAAAAEVEERPLKVVFASPAEYFTDAATIGNGSLGGMVWGGVGTEKLQLNHDTLWTGAPGNYTDPDAPAALEAVRELVNQGRFADAPAEAKRLFGGQSEEPLFDFLASLAANGSKTAEEFLEDIAYPLLECCATFLVDWFIEGPRGYLETNPSTSPEHAFIAPDGQPASVSYSTTMDISIIREIFSAVLMSAEILGKSDTDLVEKIKKALPRLPPIQIARDRTVLEWALDFQDPEVQHRHLSHLFGLYPGHSITMEKDRDVCEAVSNSLYKRGEDGPGWSTTWKMSLWAHLFNSENAYRMVQKLITLVPPGGEVKFEGGLYSNLWTAHPPFQIDANFGFTAAIAEMLLQSTMSDLFLLPALPRDKWPRGCVKGMRARGDVTVNICWEEGELQEAILWSHSGNSLTRLHYERAT >Et_10A_000920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19143114:19148354:-1 gene:Et_10A_000920 transcript:Et_10A_000920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLQKAPKGRSTIPGRKKKKTPPPSDRWVRAELVGGLPCIMKFSERQRPRASSAAAATTMSASSSNGGSAYYDVHGRDAKPDVVYKEATSDSTLNLLNVQELVTWVVGDGILPSWVFVKNKPLIPKVVLLYVPGLDAALYMLQSSLLSSLKEFCGNPKPVLASSSIPDERHTIDALLTCRVKRKRDAKTSNQSVEPDTNGKMFNLDDLKDIPFPITYYTLSEKDLEDNGYSFNLPDIVPTVSAPSGSSPHKMLALDCEMCVTEAGFELTRVTLVDVKGTVVLDKLVKPTNPIIDYNTRFSGITAEMLNDVTTTLKDIQEEFVELVYKETYLVGHSLENDLTALRISHSLIIDTAVLYRYNRGRCCKIALRVLAKKFLNKEIQNSGSGHDSVEDARAALELALLKIKHGPDFGSQQSTSRRNLTSVLHESGKKCSLIDNAYVLERYSDTSCNSIAVFSDDDALSKSMKEVKNDKVSFVWTQFSGLMSYYRRRAQDPEKLKSFVAEVIALQTCDEKTARKRARRQICPELKESLCELDKKIRQLYGALTDNTMLIICTGHGDTPLVQRLKKMLNQEEETVEKRESIVQALGDLKAKAEVALCFCCVKH >Et_8A_056684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1569540:1571572:-1 gene:Et_8A_056684 transcript:Et_8A_056684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSSSVGVMIGDLREEAERRDRYLERGDAPARLDVQTRWRSRHSDSSLNDALPGLDLVPRRPPIGHGPREEKKNCPHRPNAARHRHHRLGGEAALVCAVTRCLCDDDDGAAAHHHHHHHHSPGPTDRRRRGRGGVARRRSGDLWGRLEQQPGLDVAPTPTQNREWRIKL >Et_5B_043271.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:21328065:21328298:-1 gene:Et_5B_043271 transcript:Et_5B_043271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPLVYRAIVQYRQARHVAVGNLLFGDQPSRASALFCGDSGWYAASPSPGASPPARGSLVSPLLRSASRRHVSG >Et_5B_045100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9579366:9583422:-1 gene:Et_5B_045100 transcript:Et_5B_045100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLQSASTGVMNSVVEKLVALMGEQYEKHKAVRRDVAFLKDELGSMNAVLKKLAGMEELDPQTMEWRNQVIGTAFDIEDSIDDFMHRVCEDANTDDVGFIAKIRQYVNELRVRHHFTKQLQELKSRVIEVSERRKRYKLDEGACSSSFVTIDPRMRALYTEAGNLVGVDGPMDEIIKLLDKEGDASVQSLRVVSIVGFGGLGKTTVANEVYRKLGGQYDYEIFVSVSQRPDLLRILSRIIHKLGIPHLNNVVQLEDLIANIRGYLKDRRYFVVVDDIWDASVWEILRCAFPDNHEASKVITTTRIESVAKACCAYRPEFIYRMRPLNDQNSAKLFFSRVGYVCSQPLKDISDEILQKCGGLPLAIISIASLLASQPARSREQWKFVCTSLSSNLRTNPTLEGMRQVLKLSYNNLPLHLKTCLLYIGTYPEDHSMEKADLVRLWVAEGFVISLNDEDTEKVAGSYFNELVNRSMIQPTYTDYNGDVRCKVHDMILDLIRLKSEEENFLRVVDNARHMALSVQSRVRRLSLHVAFGENQDKATATSLNMTHIRSFSVFGNTSFIPPISKFKCIRVLNLKDWHIDGHESIDLTPICKLFQLRYLNVSRKARLPAQMRNLQCLETLDLKKLDGDVPSDIAHLPNLMHLLVPAGKNLPDGICSMKSLSTLRYFDVGVNSLDIHKGLGDLMNVRDIQITCTGGVTQQGIRDALWSSIGKLISCKLRVLIFPPFPIHVPPPDIGSDFLATTQTGRHLEIVMIPWTMFPHVPRWIGQQYNLSKLSLAVNILIQDDVDLLAKLPNLSHLELDIRKSLKERILIHGDGVAFPVLKYFLLSCFKPWLVFETGAMPDIQSLELKQDGRGLEGDNPSVPEGIAHLLNLKELHVVISNGFSIGTGITDDDAEATYRNAFKMHPRHLGIKIRVTLFCLVMTPPAYLYDSDEGEIAQESQ >Et_5A_040597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10173479:10178561:1 gene:Et_5A_040597 transcript:Et_5A_040597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRKQANKFKEQVAKQQQLRIVLARPPGCGEVAVRLKDLAVVLRLDLRAVIKQFSTTGYERSDSVVIDEVELQRHQQLEKLYTSTRSARDFQKDIVRAAEGLVSIGSKHIEVGTKFSDDCFRYGGENSASDEALAKAASLYGGALRNVEKEYEDFNRNLSSQTIDPLRAMAMGTPLEDARGLAQRYSRMRHEAELLSAEIARRKARVREAPIAENTTKLQQSEAKMIEHKASMAVLGKEAAAALAAVESQQQRVTLQRLVGMVEAEKLFHLRLAAILDDVEAEMSSEKQKRESAPPTLPSHKRAEKAQYFLAEAVHNFNGSTEKELSLIVGDYVVVRQIAPNGWAEGECRGKAGWFPSAYVERRENIPPNKVFPQA >Et_4B_036552.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29420629:29420826:1 gene:Et_4B_036552 transcript:Et_4B_036552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASYPDKRRSEALSVIRNGSIAGKSEEKHVKSRTDTPLITLSWSVVFEAIANGSSNIFGFSLN >Et_7B_053485.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:22663958:22664089:-1 gene:Et_7B_053485 transcript:Et_7B_053485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSYVSSFLGKTVGENFESIASLWLSQKKFGFTNFEGSLAYKE >Et_8A_057318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23626337:23629215:1 gene:Et_8A_057318 transcript:Et_8A_057318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMAAAAAASSYLSPPTATAERPTTRGCVFLPGASVSSRSLRLPHAGARSPATRKTRSFKGVVAALADPLKVMISGAPASGKGTQCELIKAKFGLVHISAGDLLRAEIAAGTENGKQAKEFMEKGQLVPDDIVMVKDRLLQSDAQEKGWLLDGYPRSYSQAMALETLDIRPDIFLLLDVPDELLVERVVGRRSDPVTGKIYHLKYSPPENEEIAARLTQRFDDTEEKVKLRLETYYSNVDSLLSTYDNVIVKVKGDTTVDEVFAQIDKLLESSLAKKAEKVSSA >Et_5A_041247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20018906:20022160:1 gene:Et_5A_041247 transcript:Et_5A_041247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTADRPKTAPLTGDPHLYGRDVEMKFILDGITHKFCAEKKLIIIPIVGHEGIGKTTFAQHVYENQRRCSTFITDAAFSHLKILVTRHDIRDAEIRVSNDPLIGDAPEKGQGRSCWWWPHGVTARVKALVPDLGKAKERLTQIIPKLMTLPLRSISICAHEG >Et_1B_014231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3963965:3968496:1 gene:Et_1B_014231 transcript:Et_1B_014231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRNCLLSAVRAASPLPAVASLHRLLRLPLSTTTASSAHFVAEDYLVTACGLTPAQARKSSRHLAHVKSPSKADAVLAFFADIGLARADIAAAIAREPNILCSKVDQTLLPRIRMLRDAGFATPQISSLISTVPIILKSPLMISRLEFYLSFLGSFEKVLLAIRRSNKYLLSRDVERVVKPNIALLQQIGLTDHDIHQIFLLQPCVVLQEPERVKETIVCADQLGVPRDSALFKRAVVTVYVVTPERIASRLDFLKRALGCSEAEVGIAVRKSPNILNFTGDRMSSTIEFLKMEVGLKAEYIVNRPSLLHYSMTRRHKPRYYVLKVLQSRGLVKKDMDFYNVVVESNILLELSMLPHFPYMSMRQFHTVAFLSKPRTVAIVCICFPRTRDPNAPHA >Et_9A_063115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10656264:10659161:-1 gene:Et_9A_063115 transcript:Et_9A_063115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALTSNPPSFRPLSSSPFRRRASTVLCRVGGKPGGKDSGANDDAAAKKRPGGLFADFSFGKQLSEGTSLIPAFPSPQGAGSIFAGGRGRKDPQTVFVAGATGQAGVRVAQTLLRQGFAVRAGVPDLAAAQELARLAAAYRLISPAEARRLNAVESGFDDPEAIAKAIGPAAKVVVTVGPAEQGPEGGAVTTEDALRVVQAADLASVAHVVVVYDEGGAASTGNVLDGFTSFFSNLFSRVQTLSLDDFLAKVVETDVRYTLVKASLTDDYSPESSYPLVVAKEGTSSIPGATSTTESGKVSKSQIATLVADVFSNVTVAENKVVEVSTSSSATSKPVAEAFIAIPEDIRRKEYQEAAAKAQAEEAARASQRATEAEESVSEAEEKKAPSEDAAARGVNEAQASLENFLGKLSTDFSWNKFSAQLAEVTAQNSTEKEPKAQIATVRGQAKAKKLAPKRAVVKPAAQKVKPQPKQPDTKPEVRPVFGGLFKQETVYVDDD >Et_4A_035138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9943912:9946512:1 gene:Et_4A_035138 transcript:Et_4A_035138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATANYNRSNPAVKRILQEVKEMQSNPSPDFMALPLEEDIFEWQFAILGPRDSEFEGGIYHGRIQLPSDYPFKPPSFMLLTPSGRFEIQKKICLSISNYHPEHWQPSWSVRTALVALIAFMPTNPGGALGSLDYKKEDRRALAIKSREAPPKFGSPERQKLIDEIHEQMLSKAPPVPQQLTDGTNEASNKLPGSDSFGEHVDKAVEGVNASGSMSASSDVPEPESVLEVAENTGEAPADGVTHHHIPEVSQRESIPRVPAAPSAPAIAIQKPKHDRLLTLAAFGLTLAIMALVIKKFLKINGLAGFIEGKF >Et_1B_010035.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:19414738:19414842:1 gene:Et_1B_010035 transcript:Et_1B_010035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLELNLDVQPLMQTVSHNMLRQQLLRVTENLK >Et_6A_047280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3227653:3234409:1 gene:Et_6A_047280 transcript:Et_6A_047280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDALRRLCEEIGWSYAVFWKAIGAADPVHLVWEDGYCGHTSCPAGSEPSEALPTEVGCSAADGTMCSLVKKVMASQVHVVGEGTVGRAAFTGNHQWIVYGTANGHGLSSEVAAEMNNQFKAGIQTIAIIPVLPRGVLQLGSTGLVMENTNFVMYAKKLCSQLNNRSGMTASASVKNASSQHGQPRPSHGAFHVQSDDHCSKISSRFPVASELRSCPDTATMSSSTSSSAFLNASLLEDVQQDGRPFREHTFHAKPDVRLEQQTPYCKSRFGSSTQRADASSALISPSLSSVQKQSLLFSNAGLLGSGNNADLARNILLQSIVYRNSSVYENTNINTAHGRYEVYNGGHGPANFDFLPGVGRLVRDTSLLSQVTDERCHSISGALLQKQPVVPQLSEFSMKMDNPERGPFQNPSAPSSVSDGQVSNSFNTIHGQDDQLDRSDHIHQGQLMTDSSAHVSTQRMKNGDAHRLPDMPGERVSSLVVDPPPDNDLFDIFGAEFQQFSHNSGADLVSWSGPKSQSSDRDVPESSIYFDRSPLFSSLDNDFPYSGNFSLTDTDQLLDAVISNTNPGGKQSPVDSASCKTSLTDIPSSSHLGEKGLKQYESTGIPSVLIKHESAQIVKQPCFFDKPEDGCLSQNNGGHKSQIRLWIESGQNRKCESASASNSKGLDTPSKTNRKRSRPGESAKPRPKDRQLIQDRIKELRELVPNGAKCSIDALLEKTVKHMLFLQSVTKHADKLKNSTESKILGGENGPLWKDYFEGGATWAFEVGSQSMTCPIIVEDLDRPRQMLVEMLCEDRGIFLEIADFIKGLGLTILRGVMEVRKSKIWARFTVEANRDVTRMEIFLSLVRLLEPNCDGSGAAENANNVKMPLGLVHQPVIPATGRIQRRTGLLLGHEVSHELSREGLGALHVGHVVAAAAVAGDSAAAHEERRRRLVRRRATAGAGAVREAERERDAAVVAGERREGLGGEVLPVRRLRLVVRREQRGVPAFVLLVVVLRRPRRAGAAALHELHEQLAVAHLLVLLPPPCRGLRVQRGHVVHVVAVVVLERRRLVSSPRLLQPTLLIPVLLVVVVEPGVRRLLLVPGELEQGGAAMDDALRGRAVVQARRGLRHGRATAARPRRHVAAGAVVVPSTGGLAL >Et_7A_051177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15147066:15148981:1 gene:Et_7A_051177 transcript:Et_7A_051177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAGLPLRLPAYAAAWASRQPHPTTAGARAAGGPLACLARGLFRASEPTVPGRQPLPSLQRRDDARPLLRPAGVVASGGEGNGRARGQGRRRPRFLCLHGFRTSAEIMRKQVLGRWPADVTARLDLVFADAPVPAQGRSDVEGIFDPPYYEWFQFVGEDYLKCRNLDRCFSYVEELMAREGPFDGLMGFSQGAALAAVLAGLQEQGMALTGVGKVRYLISIAGPKIRSPAAASRAVAGKIKCPSLHFIGIVASNSVSVRVRARDNDFVKVHSEELLEAFADPLVIRHPCGHTVPRLDEKGLQVMLSYLDKIERDLSDHEYIGGDDDDDDDDDTDIINSEVLHMHAN >Et_4A_034395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32230172:32230891:1 gene:Et_4A_034395 transcript:Et_4A_034395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARRFFQTNAPRPTTAAAVKAPTTTPAFLPPFISWRLSPSPSTAGGTLAALIVSAATGAGAGAFFLCSFLYWSSSSAFLSAAAGAGAGAGVEAECLCLCSWDGACAGDTVGAEMGEVLGGAGGEPLTASAAAEGEGADGGGGGYVGVGGGVLAEEACGGGGRRQGDGAGGFLVGPRRGGEEEEEQEGAGQCRRHGEARLWLCL >Et_7B_054289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19309528:19311351:-1 gene:Et_7B_054289 transcript:Et_7B_054289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGIPVNPSSMVPSLETLALPVQFGVRNDVKLLPSFLRGFPNLKTLHILCKTTTESTGRLNLKFWQESGAIECIQLHINMLAFHDFRGERSELAFLKFFIENAQMLKTLVLQFANGYVSSETEAKSHVNALFAGKRGAASCKVMVCQNRLEEGGDFWDFKRGFDYSDPFALFRCQGHSLFTNAGLVIVLAKGCFSSMVEASAKVKIVLAGYRMLFSGDT >Et_6A_046447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15160705:15163675:-1 gene:Et_6A_046447 transcript:Et_6A_046447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGVNIPKFGEWKSSDGATPYTMYFENASKKRKGNSTITPPPGASPAPMGTVPAGHRTPTRTADAKPMKSADRANRSRNQGTAGQGGSVPTWGQWNNNNNGAGADNYTLIFDELQKGKKSAPPTPTMEPPRRATPTRTNRQDLYYDHVPKVHFPLDSRSLWHLITKTLIDLYVLSSNTSSQYYIKLFSLQGFTCWGLFGRSYDNAKCPLHMNHNWISPTIFTLAMFLLHAPSSTKGAAPMLDNNFNMKVLYLLSSQSG >Et_4A_034513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:434239:435720:-1 gene:Et_4A_034513 transcript:Et_4A_034513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKLKRMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNTIPGIEEVNIFKDEVVIQFQNPKVQASIPANTWVVSGVPQTKSLQDMLPTIINQLGPDNLDNLRRLAEQFQKQAPGEGGASASAAQDDDDDVPDLVPGETFEGAAEEKVPEAEEKKDS >Et_5A_041119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18461180:18461629:-1 gene:Et_5A_041119 transcript:Et_5A_041119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVLLLLLSSTEMHGPVGVALARDCESKSHKFVGPCVRHANCKSVCQTEGFSGGKCRGARHRCFCTKIC >Et_5A_042992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8975511:8981681:-1 gene:Et_5A_042992 transcript:Et_5A_042992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVAVGQSRSRPGYPWEPFLSLLPQLEVVNEAFADDVPLVHVQLRHPRRQLLEHFLHVLLLGPQNRLPHRVLQEIAADVHILFCLLGHAQHVGRRRQRHMRRVEEEGREVDPVEHHVPSHLQSNRRAERVTGEPDGAGIIGHGLQEVSDVFACIFKAGATVLFVENDSEVESHIAENCGISWHGASEGNYVAWSRRVAAHAVRGHVLEDGSVGEPCTWSKDLAEDAIASTVKYLMLAEVEALPPWWGKSHAPVLPHLCAVPLLYGLPVMAIVTNKQQSGVDNVVI >Et_1B_010514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11171409:11175835:-1 gene:Et_1B_010514 transcript:Et_1B_010514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGAGRKVAVAAVQFACTDVESENVATAERLIREAHKKGANIVLVQELFEGHYFCQAQRLDFFKRAKPYKGNPTILRMQKLAKELDVVIPVSFFEEANNAHYNSVAIIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKAFKTKFATIGVGICWDQWFPECARAMVLQGAEILFYPTAIGSEPQDNNLDSREHWKRVMQGHAGANLVPLVASNRIGKETVETEHGKSTITFYGNSFIAGPTGEIVKLANDKDEEVLVAEFDLDEIKATRHGWGIFRDRRPDLYKVLLTLDVLAVGTNELSFLRFGLGEVELEPAVLPARLEELLGRYPHLPQHQAAVCPMRSQPRLPAGADHRDRRRERLPADLRPRRLSIINVTLLPLARQALDFVLVDVVVGGEIVKIHVAGALGSEALGAEAGVARKEGRRRLGGPWQRWRQWRMYIR >Et_2A_015356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11561937:11563581:-1 gene:Et_2A_015356 transcript:Et_2A_015356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVGESHHQSSTDPVEEPPQDILHSLDPKHQSKSTPLHSTALQPSSMENKATMAMEQQTVVLYPSFGAGHIIPMTEFAKLLISRGYDVTMVLIKRPFVSSDSSAAVVQQIVASNPSITFHVLPQVVPAPDFAGSDKPPFFHTLQLLRSYNDELERFLRSIPRHRLHSLVTTMFAAYAVDAAAKVGVPVYTFFESPASFLAVVTQLPAVLAGRTTGLKELGDAPLEFLGVPPFPASHLVKELLEHPEEDLCKAMVDVWTRNTKADGILVNTFESLESRAVQALRDPRCVPGRVLPPIYCIGPVIGGGVTVATDRERHECLSWLDAQPEHSVVFLCFGSRGTHSVEQLREIAVGLERSGQRFLWVVRTPASTNDPKRFFEQRPEPDLEALLPEGFLGRTKDRGLVLKSWAPQADVLRHPSTGAFVTHCGWNSILEAITAGVPMLCWPLYSEQMFNKVLLTEEMGIGLEMEGYATGFIKADEVEKKVRLVMESEEGRKLRARLAARKKEAEAALEEGGLSHEAFAQFFLDVKKLQEQRAM >Et_3A_026773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:245395:250326:-1 gene:Et_3A_026773 transcript:Et_3A_026773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPASHPLLYACVFRDTALVAELAGTAADCADLPALAANLVAGAPPHHRHLTHSAGGRAHALLLAPPLTLAAVSLAPQLPASQLLLFLRRLRCLPEARMHDEMPRLALRLPLPPDDEGALAREAEEVAAAEAEAEEAARRDAELAARRTPKRDGRRGTAAWAWRRQLWMVIIADLVLLCVLFGVWLAVCRGFSCIGRCLLALLRLRNELPPRQNGRHRPSSSLPTK >Et_4A_035573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29716354:29722154:-1 gene:Et_4A_035573 transcript:Et_4A_035573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALSASTSTVNWLVEDDILLKNAVETGASLESLAKGAVCFSRKFTLQEIQDRWNSLLYDPEVSTQASSRMAEYENELSTSDPAKAHKLFNSKAKDFAFQKRKIDSIKNLYYAMRKRVRNDPCNSADLGFLVSPCSCIAIGGECVCGGMPKISEGHHIINNIEPGLSSVRHYGQVGAGYNAGHVYPEMNGHSFSTKHTESMSRDGDPTNIVPYGYSDVGQMFEHHAYGAKNHGSNEGDNASLKGITDFHDSMQFQQLDSNQCGVAESKTLVIPNQVGVDHVHFNSNVQEPMPLHVTDQPEGSQAPGAVIWSGVQARDALTFSADKKIKSENRESLAFEGSLDGGICTSDLEHTELPDNDFIDFPFFNNGEEFDMLNGEDFLNSPNEANQEDLDELESKVIPGVRATMQTLTHPSETNMSCDPINPGHVKENFADVSGIILVPASLEVPCPGRVFMCTLNTEDPDIPCNDDDVTPGEYPQCSTSAFGQNSENIHSASPATSPPSNPEHSNASDLAPIKVEDMANVLPSQTMKLSPSTSEQKEGPTALNIGSVQGAKPSEGASTTGLLVTSNIDTTDANTSMLALPSFGAAGFGEGSPCSLNQHESFDNSHDLALQNSVQVPDQMQYNPHDNRHELGNEAVLPNCMPSNAQSDLGIEDHVATVPPPTQAEEFSDNENDVPNYYDLEALILDQDLIPWDQDSDFMHPEVTRFQHPESRKSLIRLEQGARSYLNRAIMSRGAFAAIYGLHLKYFIKDPEVTLGRETEDIKVDIDLGKEGRANKISRRQAVIKMDETGSFHIKNIGKYPIFVNSKEIPSCKRINLSSDSLIEIKDMRFIFHVNQDAVKQYIARDLKPEP >Et_2A_014971.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:2428280:2428468:1 gene:Et_2A_014971 transcript:Et_2A_014971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSAYKNVGCHSLGTCLLSVYLCSHLYESLYRFEINTYSYMFSVPSYNLFVLHSACNIRMY >Et_9A_062662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:288615:290208:1 gene:Et_9A_062662 transcript:Et_9A_062662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLTRIGVLPWLQSKIVDPLLLVIRRGAEPKQLAFSAALGITIGIFPICGTTVILGGVMVAMLGSRCNAVTVMVLNLAATPLELSLIIPFLRLGEAVTGSGHFPLTSDALKNILVDRFGGTPSSPRTPIKLV >Et_8B_059760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2395659:2397093:-1 gene:Et_8B_059760 transcript:Et_8B_059760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLEPEETRDWAALPVDLLCDIFHRTRHADILGGAGLACTSWRRVAADEPTLWRCIDLSFEEDELKYVNERVYLEQLAMGRTAVDRSAGRCESFRGFADRHLLAYLAARAPSLRILHVTSLWCVPKAFEDRVIGKLPMLEELVIFGGRLLQSNLRALLKHCPRLHRLETGQLCSSDTQLGYKLLRMCRSKIKGRGTDGVAHDIFCEIFHQTRQANILCSAGLACTAWRRAEDGDVPSLWRRIDLYEDYRDEQGCWTGRMAMVRAAVDCSRGQCESFRGPADRHLLAYLADRYDLFALHVTFLPARRVGRRLGDPEGHNVGGA >Et_9B_064388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14685547:14688528:-1 gene:Et_9B_064388 transcript:Et_9B_064388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPALLDPSAFDLRHYPAHLFDPDLPIAGGGLQLGEFSGDGDCDGFDFDLPADFSVDDFLLRSPLRDDDDDNSGEASAAGSGPAPTSSASPTTSAANSAVAHGECEVKHEDSDEGRSGGDDPSWSLKRKQPSPGLSSDGAKCRRSGDGELSPSASASASASRAAAEGSEERDAVGEEEDPRRAARLMRNRESAQLSRQRKKKYVEELEEKVKSMHSVINDLNSRISFVVAENATLRQQLGNGSGNCPPPGVVYPPAPLPGMPFPWVPGYAMRPHGSQVPLVPIPRLKPQPAAAAAVKVSKKPETKKGAEGKSKTKTKKVASVSLLGLLFVALVFGAFVPGFNHSFGMSGRSDNIMFRNVGHSDARVFSVTNHVKGSRAGLNSSDMISTDPGTMKGDTGGAEQKHQPAHNSSEILPALLYVPRNGKHVKINGNLIIHSVLASEKAVAHKASNGNGNQSVKDRKETSVAIARYLSPSGKDTNSQETSPADAPLPQWFREGMEGPILNSGMCSEVFQFDISAASASPGGIIPASPIVNSSANATEKIPTPAPAGGKLKNRRIMYNEAIPLTGKTVNGTEPRSFNRTSESSKLPDTDRKPASSVVVSVLADPREAGNGDGDPRVSPKPLSRIFVVVLLDGVRYVTYSCTLPFKGASPHLVN >Et_6B_049058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17204246:17207109:-1 gene:Et_6B_049058 transcript:Et_6B_049058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FATDQDSVDPRANPAASSSLHPALQPPRPGESDLARALEAARGEAEVNMGWAARFLTAVSFLAAGVLFAPDALVGGRSGSGVAAAEKLAHLLLFAAARGAGHWVTFVGGIAFAETSVREFAGEDVSGVFHADISMLCYISCSVYIPPPPWKTASTIERYQLGFLISALGFDLSNLLVFTPMTVEMMMKRHKMEKGLGIASEVGYSRNA >Et_3B_027417.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:14414561:14416369:1 gene:Et_3B_027417 transcript:Et_3B_027417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSGLPEDGDPRSSDNSVAGAAVGMQTSCGLEGYTVAGDSDFNNINEAVQRISEVIRSEAPGSSMEQRLDSLGAVYTPIVVNMVLKRCFKARQLGFWFFNWVKRVPGYHHTTGTYNTMLYIAGEAKSLGIMEELVVEMDREMCPKDVKTWTIQIASYGKARQIGKMLSTFDAMRKSRSVAIDSKVYRTILHALCHSDKPELALEFYKDMPKNMEVGSDILRLLMRCLATLDSGVEAVCLVRDDMIKGMKHAEEYCYMEALQSFCISGKLEGAFKIFQQMKNNSMANSSALETLLRGLCRAGRMDEALQLMEYMKSRSGISSTAYGFLIHGYLSKGEHTKALDLVRVMREYGITPLVSSYTQLMQHLFAINQYEEACGLYEDMLKNRVEPDVVTITALIGGHVRSDHISEAWDVFKNLNKNGQKPTLKAYTVFIRELCKASRPLDTLELLKKMLESDFRPSEVTFCRVISALRDKSYLE >Et_5A_040465.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24429639:24429863:1 gene:Et_5A_040465 transcript:Et_5A_040465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVTAVIAIAAVVLGWITIEMACKPCLETGRRAMDRALDPNYDPDDNATSANEPLLADLSTASSATAPAKAI >Et_4B_036339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18681889:18683349:-1 gene:Et_4B_036339 transcript:Et_4B_036339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARRRRQRRPAAQLGSATEEHHGAGKQGHPRQHPSRELLAENLVRGRGLLCRALIRSQAACPGLTAKLPCVGRLLLVRLVLRLRRAHASGDRQQLAAVARFVAHDLLALLLDTPTGDTVDVAAGFVTECGATLRDCCPRGLDAVRSILHDADVDRRVQFIIENLFAIRKAQFRGHPPVRPELDLIDPDDQVTHQIEELSLDHPLDNPEVHLDVFKPSPSFTKDEAAYEDLKKDMLGVGSDYGDCSNQSSCLGGDDDECTDDEKPPETDAAIRDETDTDLINLRRTIYLTVMWSVNSEEAGHKLMSVVRSGQEAELCSMLLECCRKEKTYTRCYGQLGQRLCAIDRAYQAAFEACFAHSYSTVHRTETNELRASARLFAHLLATDAVSWRGVLGCVRVTEEDMLFQDLSEHLGIRRLSEKMNDDGDTEVRVALFPRDSTKNTRFAINLTPPPPLLRNVTCSGSTNAVLISILRSQW >Et_1A_005350.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:8377069:8377650:-1 gene:Et_1A_005350 transcript:Et_1A_005350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFAFHDSLNAVLDTTSVCGFHRDPDLPHPNDVCGVDELVTRYRIAYHANPVPDSFQRRVPPAVRDEAPYRLMLKDILLRRPRHDFSAADDGIHKASWEAGSPRRMVLENPQEWPAGGRQTERELGYLVRSDRLDPASERDVDDRTRTLPIQPVRAAGICLPHGHGGCSRLVVLHGRHGDGESMSFGRSNK >Et_4A_034339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31985751:31986355:1 gene:Et_4A_034339 transcript:Et_4A_034339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERRGAGGDRFAVFPFSIGCMSQSSVAVADPNEKKTQSDPSSSSATATATTAAQSLEEGGGEATKEKPTATPASPGIVTSGVQKLMKGIKQSLSQMFTAYDGEDDDDDEEQEMVIGYPTDVQHVGHIGWDGHGGLNNLGAMGMVNAFSLPSSLSLKQLEVAMDQAAHA >Et_10A_001316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23307974:23314581:1 gene:Et_10A_001316 transcript:Et_10A_001316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGCDACGRAEAAVLCCADEAALCRRCDGAVHSANKLARRHQRVALLPSSPAPDVGEGAGGHPVCDICQEKTGYFFCLEDRALLCRPCDVAVHAAGVHASAHRRFLITGVRVGDVQIHDHVPCKDGAVSPSSSSAHSGFPCSSGSDPVSISNDVMRPSPAAEEIHAEEGFCRERWPWSEIFADGSGSLYWRKDLLCQPRGKDRDSQVARSNCEIETARLADQVKTSARPGLEIPAAARLGDAEQGQLHGGVEKTTTRPANPKAAAAVDQQRNPTYEPEPFADKRYGRQSKNSTM >Et_1B_010188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28974634:28975050:1 gene:Et_1B_010188 transcript:Et_1B_010188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVAARSRVLAQAVSPSLLRRGLLPTSRRASCINSALGGSCRLPLVSGGLLSALPLHSAVASARLRSAIAPESQSWGLVPQGTAFAYYMFVEWLTVVYGDIYWN >Et_8A_058398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6640275:6640935:1 gene:Et_8A_058398 transcript:Et_8A_058398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQEVTGGGSAAPMCANGCGFFGSTATKNFCSKCYKDMIGKAVNEATEQMAATAITADSNCKIALAHPVSKAESSSMACEAAKEVTEKQETSAAILCTGGCGFFGSAVNKNMCSKCYVDNLKTVDASLALLEKIKADKAAITPDQRASSSSSSAAEPVGLLGFPCRCGGTFCSVHRYAEKYACDFNFKTVEREQIAKNNPLAVAPKINKI >Et_8A_058102.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:221276:222382:1 gene:Et_8A_058102 transcript:Et_8A_058102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSMIPVGGGGGGGGNPYFLQNQLFHGLDGCSMESGGAFMGATAAESESQCHALLYNLSVLKDKVQQLQPLVGLAVAHDGAGGPVAAAASGASGVIQEIISAASSMMYAFQQLCSSSSSAAAQQPAEKDTHHVHTLDAHVMQQQWQHHQPAVHGGGGVGSYDDDSRIHSTSGKSTAPLDASATIIELDAAELLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKSSAALANPAKQQAGAGAGEEAAAGGSNNNRSYYSCPQEGCRWNRKHAKFQPLKSVICAKNHYKRSHCPKMYVCNRCNLKHFSVLSDLRTHEKHCGDHRWLCSCGTSFSRKDKLVGHLALFAGHHPAVPLDTTRANGGKRTSA >Et_5B_045490.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:372644:373210:1 gene:Et_5B_045490 transcript:Et_5B_045490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPAAESQEIGGKRKRESGDEQGPSSKWRTPRAQEAYSSKLIDALRLVRAGGTAPARGREVRDAADRALAAAARGRPRWSRAILARRRRRRTALQRARLPRQSTSPAGCSVSGYMSPPQGQQQQPAVARKAKVLGRLVPGCRKLAFPALLAEVSDYIAALEMQVRTMNAVAQALASVSGSSPS >Et_3A_026713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16304552:16314209:1 gene:Et_3A_026713 transcript:Et_3A_026713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRAAQSLAARSLLAVRALQGAASPNCVGARWSSSVVPPPRSPLPSSGAVPAGVTGAVSFSLTFASFAAAEAKAKERPPSDLLPQNVLYFSLHVCCTTPQVLIASAYLDYHDIPYKVVEVNPLSKKEIKWSDYKKVPILTVDGEQLVDSSERFAVKYAGAAAMYMVSKKLKKKYNITDERASLYDAANTWIEALNGRDFLGGSKPNLADLAVFGVLRPIRYLRAGKDMVENTRIGEWYKRMEDAVGEPSRLQELDTIEGRLEDRTNIGVCGRVGGGTQW >Et_9A_060992.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:24268518:24268776:1 gene:Et_9A_060992 transcript:Et_9A_060992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMLLSRDGKKDQIIGSDQRGNTLLYDAELRTVGAMSSSLNQPKQSTVVSVTVGDSLYAMADTGTRAWSKAGDWLLPFFGRAE >Et_2A_017947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8166948:8172937:1 gene:Et_2A_017947 transcript:Et_2A_017947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCRKGARGLARTASVSTLPNPKKVDSKRQERMAETVLSMAISVLSSAMSKAALAAGDEMSLLLGVRKEIWFIKDELRMIHAFLMAAETIEKKDII >Et_10B_003954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8345284:8345690:-1 gene:Et_10B_003954 transcript:Et_10B_003954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LSQGKGPDFFMDCTLGTTGDPGNPDKTFLFGPKYMARRVYQRSPPEDLTLGIAMVRPSRRFLNDETMNGDVLTPERYGAVRRMYVVAEDDEWNPAEMQQRLMASGNPGT >Et_2B_019115.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:11057616:11058974:-1 gene:Et_2B_019115 transcript:Et_2B_019115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWYLGERSGTILRPRTRAPRVDALHAVRAVAIGPFHRRDAGLRFGDAAKLPFLRYLQDQCGLDAERYVAALAADRRRLRDEFAAGDGDGEDETLMLLLDDEDKFLEMLLLDSCFLLVVSMMLSKAGAGEEDSVARAACISREYFILHMAVAQHADDIKLDMLVLENQVPFAALKMLAAAVKLRRPVEDLVLGCFDDICPHRAACGHAGEFAGDDIFAFHHVLHLFHWSRVPRDKYCILSTPLKLLRIKKESERLFPSYTELRRSAVWFRPPATTSSPSSSSGFDMRFWRHPASAVAVMTVPCLHVHEYTAALLHNMLAFEKHFSWASHGAAVTAHVARMEGLVRCPQDAAMLRRRGVLAATRRSDAELVDLFRELGAETVGARLPDEYAQMLDDVARHRCRRLSGWCGGFVLHFFPSPWVAVSLVAAAALIFVPSMLQTVYTVLSYFKSSS >Et_3B_031648.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6883349:6883885:1 gene:Et_3B_031648 transcript:Et_3B_031648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLVRIVHLSGRVDEYGRGVSAGEVLAAHPNHVLSRPCSSQQGVVRRILIVSPDSELERGEIYFLIPAASVPDAKKTGCSGAGAGSAAARHVRSKSEGSVVVTDRQLGLGTASPEMMTTAAAAATTVKKKRKPSQQHRRRMSTGSHASPWQPHLACIAEDL >Et_4A_032821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14204778:14205229:-1 gene:Et_4A_032821 transcript:Et_4A_032821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISSVVSSLFKSPRTGSKKTSTPSSSATGCSTTS >Et_1B_012950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4283382:4287116:-1 gene:Et_1B_012950 transcript:Et_1B_012950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLPPVDWEAESYPAYSDFAAIPFFAVFFFVIRFLLDQFVFEWLARKLIFKGDEKFDPTTYAGMRKIRKFKESAWKCIYFLSGELLALLVTYNEPWFTNTKNFWVGPGDQVWPDQKTKFKLKVVYMYAAGFYTYSIFALLYWETRRSDFGLSMTHHVATFFLIAMSYIFRFSRVGSVVLAIHDANDVFLELGKISKYSGHQLLADFSFLLFVCSWTVLRMVYFPFWILWSTSYEVVLILDKEKHKFDGPIYYYVFNSLLFSLFVLHIYWWVLMYRVLVRQVQSGHVGDDIRSDATGNNW >Et_7A_051702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23289195:23289789:1 gene:Et_7A_051702 transcript:Et_7A_051702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DDPKAVNIHLGCDTNVLEPLRGNISSGASDALFIKKYVLRFYVTMDDLCAAFFVQVKESPCDPKCKLSSKNPSNLTKFLWWVRPIVWTSMVNSFSVSCSSLSIFLTAIKVLSSSCSWPLYTIPDAPVPRTSWNPFVASLSSA >Et_3B_029708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27318392:27322701:1 gene:Et_3B_029708 transcript:Et_3B_029708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAADSTGKEAPNPTSDGPAGDPHETPPRPDHGEANADGDDEEYEEVEDEGEEELDGAAAEAAERERVQAVFQKLSSGPVGIRVHDITIKGNSKTREALIEAEVTDILRSAATVQDLVRAASLASGRLRNLDVFESVHITLDAGPPELPGTTNVIVEVVEAANPISGTVGYLSKPEARSWSLEGSLKFKNIFGYGDIWDASGAYGWDQSSEIGIGVSLPRFRSLSWPLTARMALSSHDWLKFSSYKEQLIGLSFGLLSTLHHDVSYNLTWRNLTDPTQMASRSIRRQLGHNLLSALRYTYTIDQRDSHMRPTKGYAFASTSQVGGLWDTKGLKFLRQEFDVRGAVPFGFCNAALNAGIAAGVILPLGRGFMKSPSPVPDRFYLGGNSSPVCNLGGLTSLLGFKTRGVGPTELRRFVPSESVTDDSAAYPGRDYLGGDLAVSGFADLSFDLPLKLFRDAGIHGHAFLAAGNVAKLSESEFKNFSFAEFGRTFRSSVGVGIILPTKLFRVEINYCYILKKCEHDRGKSGIQFSFSSPM >Et_7A_052369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7282838:7283632:1 gene:Et_7A_052369 transcript:Et_7A_052369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFVVCDYRKEDKFFEVEMTVRDDELDEYGVVNNAVYVSYIHRGRDLLLEKLGFSVGCFVATGKIMALSELNLKYFAPLKSGDRFVVKIKPVKIKGTRMIIHHMVEMLPERKLVLEAKGTVVFLNKDYRPTRVFPELSAKTRAMFTCMEC >Et_5B_045354.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:19699667:19699990:1 gene:Et_5B_045354 transcript:Et_5B_045354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSRLNELCQGRRWSAPVYEVTREGPHHTPLFRATVAVNGDEFQSTEEGARSAREAQNLAAMAAFQRLSAISAPPIPAPGELISVKTLSAINYRNFGHAGSAAGVR >Et_5A_040456.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:23868783:23869322:1 gene:Et_5A_040456 transcript:Et_5A_040456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RGASCLSSPAPRRRTYVFLLSWAWTSGAWRLICLRFRLPAPWTTRRRRVSSGTYVLTAPCWKLVRRERDVRGAQDHRRRRTIESDLLTAPRATTLPRQKIMNLLSSFLLCLCHDVDDDEFLLRDTAVTLLLAGRDTTAAALSWFFHLDRVQEPERRGEAPGRAVPPDRFPRSRSCRRER >Et_7A_050836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11412496:11413795:-1 gene:Et_7A_050836 transcript:Et_7A_050836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSIGRGIIKLLQGELCDGAKIAVKRLSAQSLQGLVEFKNEIQLIAKLQHTNLVRLVGCCVEQEEKMLVYEYMPNGSLDFFIFDPVRRQLLDWKKRLHIIDGIVQGLLYLHQHSRVRIIHRDLKASNVLLDKDLNPKISDFGMARIFGPNITKANTNRVVGTYGYMAPEYASEGIFSVRSDVFSFGVLLLEIISGKKNNAHQQYGDFINLIGYAWQLWSEGREIELIDPMLGDCDKSTITRCIKVALLCVQKNTMDRPTMTEVTTMVESEGAVLRDPSQPPHFHLRVTDDDGAGEDGWEAENRPQVIGSFSTNDVTVTMMEEGR >Et_4A_035901.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8225166:8226323:-1 gene:Et_4A_035901 transcript:Et_4A_035901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGLRESFDRVVKKRALSSAKAQEAVDQILNELEQTIVKMQMMNTDSMGSVDDSAILAELKAKLNEMAPLTQLEVCQKELNVALSKYLKLIEKSFSPDISKAYRNVDFEVNTINNIIANYFYRQGLFDLGDLFIRECGESDGASLKLAFQELYAILEAMKVRNLEPALSWASKNRDQLLQNCSMLEWMLHELQFLEMLRDESAKLEAVEYAKAHFAPQDHMGHFTKLMGCILWIGRLEQSPYRELISPERWEKMAEEFTRQFCSLLGQSSKSPLSVAVSAGFQGLPTLLKLTTVMAAKKQEWQAMKQLPVPIDIGPEFQYHSVFVCPVLREQSSDENPPMRMPCGHVVSKQSIMKLSKSSSRPFKCPYCPSEAVASLCKQLHF >Et_2B_021050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26038726:26052270:1 gene:Et_2B_021050 transcript:Et_2B_021050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQHQPSTTVAWSLSALARDTISNCISNRPDSHGQGSINTTGRSIHSAYSSPPPSSTASCHNESTTATAMERNSSNGVRVCVTGGTGFIGSWLVKKLLEKGYTVHATLRNIGDEAKAGLMRRLVPGAAESGRLALFEANLYDAATFAPAIVGCQFVFLIATPFQHDPTSTTLKSTAEATLDAARVFLRLCAETKTVTRVIHTSSMAASSPLKEDSSGFKDAVDESCWTPVDVDYPHRTEQFHEYTMSKLLAEKELLAYNDVESPAFEVVTVPCSVVASDTLLSHTTEAHGHEILSSPVTRNERHWEELRMLQRLMGSVPVAHVDDVCDALVFCMERASMAGRFLCAAAYPTIYDIIGHFANKYPHLDLLKENEVLPIVQARSNKLGELGFRYKYGMEEILDGSIQCAVRVGSLDAPKIGEMNSSNGGRVCVTGGAGFIGSWLVKKLLERGYTVHATLRNVGDEAKAGLLRGLVPGAADSGRLVLFEADLYDAATFAPAIAGCQFVFLIATPFLHDATSTKYKSTVEAALDAARVILRLCAESKTVKRVIHTGSMAASSPLKEDSSGFKHAVDESCWTPLDVDYPHRNEHFHEYTMSKLLSEKELLAYNESESPGFEVVTVPCSVVAGDTLQDHTTVAHECVLAPVTWNERHFGALRMLQRLMGSVPVAHVDDVCDALFFCMERPSMAGRFLCAAAYPTIYEIIDHFANKYPHLDLLKETEVLPTVHAHSNKLGELGFRYKYGMEEILDGSIQCAVRVAMAEEGKSNGVRVCVTGGAGFIGSWLVKKLLEKGYTVHATLRNTGDEEKAGLLRRLVPGAAERLHLFEADLFDAATFAPAIAGCRFVFLIATPYGLESASSKYKNTAEAAVDAVRVILRQSEESKTVKRVIHTASISTASPLKKVSGAGYRDFISESCWTSLSVDYPLRSAHFDKYILSKLQSEQELLRYNDGENPALEVVTLPLSLVAGDTVLGNAPETLESAVSPVSRNEFGFGFLRLLQRLLGSMPLAHVDDVCDALVFCMERPSITGRFLCAAAYPTIHDVVDHYAKKFPHLDILKETEAVASVQPGRNKLGELGFRYKYGMEDILDNSVACAVRLGCLDAAKLSMQDG >Et_1A_005134.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28684715:28685050:-1 gene:Et_1A_005134 transcript:Et_1A_005134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCTMIKDSEMGLSWWSRTGIFLCTGLDLSSSSLFLPTTSSKTSCSTPFSLSAIRTRMTKGLAQRPSTRTAGASSLVDDIVFEFLALGWVSSCVPLLSGLSTEEIVAAACC >Et_6A_047711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:999938:1002573:1 gene:Et_6A_047711 transcript:Et_6A_047711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARSTTISRSVSFSGKPWRNLQDEPFLQNPREKNMHGLHAPAAATSASLREKLRRCPPATAGDQQSYSSGYNASPPELGIPHGRGGACSGPTTGDGGDAGLAARSARALSTKEWRRWSGPKARRIISFSLLSLMRSMPTILDAFSWSRACTRSNTTLVTSHESMASRRSRSRHPSAPGAFLSGGFSLEAEEGEMEGKVNRNSRTEGEERDSDIIDRALLFGSQSPANATIELKLG >Et_7B_053398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15416564:15420010:-1 gene:Et_7B_053398 transcript:Et_7B_053398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSGGFLSAVVDSAATKAIACLESNYDISERARELLRELESRLTVVKAISEAADNRLITNVNLIQWLKRLHMAAQEAEDALDEFEVDEASISGKRKVSEFIVSTLRSLKSLVIPDKSMEKLEHVVNTLTDLCASSATFVELLKMEDSKANNQQAGFLAESTSLLPLDVHVFGRDEVKEYILKVITGLSLHDHAGSSNGTVKVRGAKHNILVLSIVGMSGVGKTTLAQVIYNHTMVKEQFQHRAWVYVSEHFSVKRTLQEILRSFNGYKDMGLDNSDTMEATITKLRSKLCGSYRFFLVLDNIWDDICQEWSTLLTALLDEARQYGSAILITTQSQRFAQIISTIDPINLKSLPWESFWPLFQYHAFGGVEVAQEEEKKNMLLIGEEIARKLDGLPLAAKIIGNLLRCRFSRDNWLRVAESDWWNMGEALLGILPYLRVSYQHLAPKQRQCFAFCSIFPRNYLFDKDRMVQMWIAHDFIQRNDFRDAIGSSLHQCFLHCERSGGASSVSPEKVRHLTLQTGSLEQCQELHRYKNVRTLLLFGRFQSDTVFSIIDSMIGNSPSLRVLDLSFIEAPVKGWPNDSSSLRKLRFLDLSYTRIGRLKDFPSNLQVLHLRGYDADCFPQNITKLANLRHLFIDDSALSKIQGIGRLTELQELDSFIARKGQGFMIRELKNMRELTGQLCIRGIENVRSKEEAMEARLTDKKHLDALVIEGRKVPKFALEGLQPHRNIKELTIKFYQDQTFPDWTLQPDNLANLWHVNLESCRFLSTLPPLGHLPLLKRLCLSKLPLVKHVSGISFGCFPSLEELEFHWMEQWEEWTEPDTAAAVESYAHGSSLFLRYLTKLHLESCLSLRQLPRLPFLSALRELKISTPGNYIMALATCIQVLAYLKTLKIEYCHHRVVMFAHQFKSLENLELIKSEGIRLADGFQCFRNLRSARVEGCPQLLSATTTSTSAGCEKETYENQLTNLRTDDSLMTGDYFRAMGNLPSLRNLLIINVPNVTHFSEEQEIWFQQLTSLESLCIESLYALRRLPSSLAARPSIKKLELRGLHNVHSLSDVALPPELHELHIRDCTETLAIRVSKDGADWPKVVHVPYIQVHGTTIQNL >Et_7A_051006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13498450:13499610:-1 gene:Et_7A_051006 transcript:Et_7A_051006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GAPLCSDRAGQALLLSRVLTTAAHPHPTPQSLRLTANGGVTGSTASTEHCSSRQRARVVRPRASTRAADRAMGGGRGGGSRPGEKARRALLLALALGSLLAQPCRASFFSFGVRRAYTVPLSRDTGGHREEKVPMTVVVPDYSPRPAPLAAAAGAPAPAPRAAAAAAAGAPAPVHGSDPDGMPRLPSERRAPLAPSSGNNNNAGAGAPTSTDFISSSPAVPLPAGVTDSATVLPMPTPGQQHRRDDTGTGARQLQAPPVKLAVPLLMMLSLGALC >Et_2A_014961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23503597:23505174:1 gene:Et_2A_014961 transcript:Et_2A_014961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAALLPPNLPPHVPYSRALQQRLYLLAQHLSRARTTSPTAARRDLDQLHAQLLLNGFAGKRFLLAKLLSLAAAASDLPRAESLFLLSSTPSSPASPTLANLLLRAAAASGAAPSALLALFSRLVGRHGLRPNAFSFSTLLAAIAAAGGARVLPHGRAVHARALAAGTLAPSGAHVMTSLVDVYAAARQLGDARKVFDEMPARTVAAWNCMLAAHVRCGEVDAALRFFAHEMPRRDAVAWTTVIGGCANAACAELGDLELGRWVHTRVDWEWGDRRTVLLDNALVHMYVKCGAVEDALRMFLQMPRRSTVSWTTMISGLAMHGRAEEALDLFHRMKERPDGATLLAVLRACSHAGKINDGRRYFERMERVYGITPEIQHYGCMVDMLCRWRHLHDAFELVDKMPFQPNESLWGALLSGCRREGNLGLAAKVTDKLVELQPDRAAGHLVLLANMYADVGQWEQAQMVRERVATLNAGKPAGRSWVNLIQSSMVVL >Et_9B_064815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18898909:18902447:1 gene:Et_9B_064815 transcript:Et_9B_064815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWAYKLNVLLFLQVSFLLGLFPVLIAWIYSEILEYRKSLSHGKGHSDANLDNGTIKEDDKAVLLEGGQSKSPSTKLRNMSTKANLIRFITMDESFLLENRNVLRAMAEFGIVLVYFYICDRTDIFPQSKKSYNRDLFLFLYILLIIASALTSLKKHHEKSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAASEIYNAIRVFIACYVWMTGFGNFSYYYIKKDFSVARFAQMMWRLNFFVAFCCIVLDNDLMLYYICPMHTLFTLMVYGSLGLFNKYNEIPSIMAIKIACCFLSVILIWEIPGVFELLWAPFTFLLGYKDPEPSKANLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVEKWMEKLEESETKVRLTIKGTIVTISLMAGYLWYEYIYKLDKITYNKYHPYTSWIPITWLGKVTLETYISQIHIWLRSSMPNGQPKWLLSFIPDYPMLNFMLTTAIYLLLSYRVFELTNVLKAAFIPSRDNKRLYQNFIAGIAISVCIYCCSLILLKIPVV >Et_1A_006811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27850789:27854763:1 gene:Et_1A_006811 transcript:Et_1A_006811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAILQVFGQPVATDVARVMACLLERKLEFELVRTDTFKQAHGSKVSQLVKMKARFIPCIYRCHLFLQLKWRVHTLFCSLALLARTQLQGPRGHVTLKHGDTTLTDSRDICRYVCTEFPRWCTRGLYGAGALERASIEQWMQTEEQSFDAPSSALAFHLALGHEEEEEGLHAGVVVAESEAQLLRVLDVYDDALARSAYLAGDEFTLADLSHLPNAHYMACTGRGRALLASRENVARWYGAISARPAWREVVTAQARSAHRPVVFVSFCKPLAAADPPGDKMPVKVFGSPASAEVARVMTCLFEKDVEFQLIRVDNFRGAKRLERPKLHPHGESITFDDGHVTLVESRKILRHIADADRYKELFGPGALERASVEQWLQTEEQNFNVPSAELLFSLSYLPADMPLVDGVGRGRGIAPAPPASPAAGGGMHPAHRQHMERMEEMRQRFDKSRKELGKLLDIYEQRLYETEYLAGDVFTLADLAHLPNADRLVSDPRSAHLIQSRVNVSRWWDAISGRGSWRRIKALQRPPSPAEAPF >Et_3A_024887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25075796:25079786:1 gene:Et_3A_024887 transcript:Et_3A_024887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRALWQASVNATKRVWNSEDLIPPSERFIFSFNSKDEIKRWHLYSDSEYGGLSSASLEITDATAGGDTPLTGVFSGKLSLDMSEGSTWRIRRSGFCGMRSKKFDGFIDLDAYDTIAMKIRGDGRCYISTIYTENWVNSPGQQEDNSWQAFVYIPQDRWQILKIPLDNYLPTWRGNVIQAKLEMNPARIVGMSLSVNAEGGVPGARTGPGDFRLEVDWIKALRTISFYEHARMLARLQSSDLHQK >Et_10B_003555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3061393:3063914:-1 gene:Et_10B_003555 transcript:Et_10B_003555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASGPGLLATALAFALISSAAAMSSSEQAKLQVDLCLFIPASFDSSNAQTGSSQKLINCMPCSGKYIGDAYLDISTGQLDQRRHLAEMPYSGDLCNGLADDLDVPMLSELRRQLVGEGSHRRLVYSMKFDACQDAVLSFLDSYDAHLVVIEKLPNGVFADPFELQHFVERKVFLDVSVFGDTNLELPSALSNRSSVEFHIDLRSSTSENCNLVIDLPLHARYPPLDASGYATVEFGSPDLFLRYHKKKVHSDPCLWALKNLDAAPVEKAVWRIPCGDEAHIGLVSSMTFLSALICSVSIVLAALIF >Et_9A_061297.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:9160965:9161201:1 gene:Et_9A_061297 transcript:Et_9A_061297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLPPARPDGYSTSDGEADEPDAPVLEEEDLEPRAGADGEDSEGSEMEGFMLEFGSGSDDEGDYDDDEEGAEERNK >Et_7A_052602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9685696:9697187:-1 gene:Et_7A_052602 transcript:Et_7A_052602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPHLGEANGVQQEFPVWKRVCNACFWPVVFAIVITAIVLPDVFLHHQFPEKPPIYSVAIASVAGLDPARDLTAAGRTTLSPVWNLTVHINNLRNALSTATVSYGDAFLGKGSVPEFCAGKRRESERVARVWGQDVVMPKFLRDQLAGELAVGEAAVDVKVTMPMGCSAMLCTDGLLVCKAKIVMYKQPHHSVAITGGGVDPAPEGLASLAAMFNFTVHVQNPNKVRAVCIESESMTTVRCNDDIVATSNVPSFCVGGREEGEVVVPVGVGVQMLPGGLKRCEGVVDVSVVGIYSGMSCRNVQALDCRVAVGRGFAPCTPWRRPDVPIVAMCLIFAAMFGISFYVIFLLPAPPKPEYSVAAAGIRGLDVGAAQIIISPVLNVTVHIRNPDRHFEACVCRHSAATVSYGGVALGSGLVPPACARPAAECEVAPLCSAWTWQCRGSSGIGWPRSCRGARQRWTSPLQWQRIVTEATASRGPRSPCSVAEATEYRENGTFVRLNNP >Et_2B_021306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28416374:28422965:-1 gene:Et_2B_021306 transcript:Et_2B_021306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASDRADGGEPASALRLRRAPDADAGDRSGEATSGRRENGEPHPPQQEQQQHQPPQHEMFCYRASAPAHRRVKESPLSSDAIFRQSHAGLLNLCIVVLIAVNSRLIIENLMKYGLLIRAGFWFSARSLGDWPLLILTLPIFPLAALAAEKLIQRKLISGHVVILLHIIITTSVIVYPVVVILKCDSAVLSGFLLMFLASIMWLKLVSYAHTNYDIRALSKSIEKGVAYGNSIDAENMKNPTFKGLVYFMLAPTLCYQPCYPRTTCIRKGWVIRQVVKCLVFTGLMGFIIEQYINPIVKNSKHPLKGNFLNAIERVLKLSVPTLYVWLCMLNILAEILRFGDREFYKDWWNAKTVEEPVHKWIIRHVYFPCIRNGLSRGAAILISFLISAIFHEICIAVPCHIFKFWAFIGIIFQIPLVFLTKYLQEKFKNTMVGNMIFWFFFSILGQPMCVLLYYHDVMNRQAQASR >Et_1A_009206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33389583:33391961:-1 gene:Et_1A_009206 transcript:Et_1A_009206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYLKYDNCNPDGTDVICRFPRMARALMNSGRSIFYSLCEWGYMDVAKWGGLYGNSWRTTGDINDTWACMLENIDMNDAFAQYAKPGGWNDPDILEVGNGGMTYNEYAVHFSLWAIAKAPLIIGCDVTSISKETLRILSNSEVIAINQGLFICGFYSYTSWFIITKPPPPQIVIPLTTTLPPPFGSDECRWQIEPSTGGLRNPDYLVAPSDSAANPAPVTRPASMSRPEYERKLTKFSIINNHN >Et_5A_041834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3196853:3204661:-1 gene:Et_5A_041834 transcript:Et_5A_041834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIPPVVRRYWLPILLITAGFLFQLLVLPHSYPPSHYDALRIERFAPVERVVEAYEQLSKEWLSETNHQSTVDIIKIRYAYELLSNPILKRDYDLFGLDEHTDVLERTKERYQKEHFLKIDLPLLKDSLLHFHDYSFNVLTHESFMCAIAEEYPVLIMVYSKGSARCAQFMEYWKQIGTLLDGVAKTAMVEVGDLQLAGHFTEKRFSKQPFIRNGVPALVAYPADCRSPSCYMRYPGGLFVDSVVDWVATSVVGLPRILYYSTEALGPQFIGKGGHHKVKVIFFSSTGERAAPFLRQAAQEYSSYASFAFVLCKEGKSQIWWNSLGVESAPALVFLKGPGTKPIVHHGTFSKSEFTEMMEEHKHQELRQLRSDTSLELGCDARGHSRAGNDTTVWYCVVVAGRPGVGLSKKRQVLRKAQDQLISAVDTSTSGNEDTSAEISSAATALKDNRLTFVWLDGEVQKQICAFYLATDYNGACGPRGFGDDNDKPELFIVRFQRNATYEALKVEKKNNLMEAIQGQRSSDASQLVARYKGPDEIQEINKWVSQIIKDGDTREIPYFTSKVPDLVPEETSKEWLKGTKSIRSAGKSLRNNIDFHFRDYLTDVRIGPTLLMSGCISLGMIWFRNNQPNQSARQDKPPKDETKRRRPKLSTSLFGQPSDSITDVEPKDARQWEMTDSDSD >Et_8A_057694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6127778:6128487:-1 gene:Et_8A_057694 transcript:Et_8A_057694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KLPLLISTELKGGGEPSSPPSTLLPSALLTSMLPRRQSIFHLGEEGGAAAAHHHRGGLVAGAAVTTAGGGVRRARDQRERHVVGLQILVHHHNHHHGRHGQAHAHAGSVVLKPMVRPRAAAVSCSFLKACCLCRLELSPNKDVYMYRGEQGFCSEECRWQQILVDEARERDAAVVSKERQRRGQARHHSPHHTAPVRGRPPRKTLAAAVA >Et_4B_038827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5090920:5092359:1 gene:Et_4B_038827 transcript:Et_4B_038827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ISGHCSSVQREQIKMSISAYKKQNSLGTPPVHRTNPFDSDSDSEVPSRPSRAQSVPVQRSDQSVQDLEHYAVVKAKETSSKVNDCVRAAEAIREDAAQTLMALHRQGEQIMHSHQIAADIERDLSVSEKLLGSLGDLFSKTWRPRRNQQIKGPASENNSFARTADNMELRRRLGIAPTRQECPSPVHPSPAPSATTMQKVQAEKEKQDDALSDLSNMLGQLKDMALDMGTEIERHNKALDTFSDDVDELNFRVKGANQRGRLLLGK >Et_6B_048436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4221328:4221705:-1 gene:Et_6B_048436 transcript:Et_6B_048436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAILRTEEGITLAVEERWLENLADAVTAEAMAAREGLLLAVEIKELSRSFSSFAISFVRREANSAAHCCAKMPTASNRVVSCVGTLRPG >Et_6A_046310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12858884:12864947:1 gene:Et_6A_046310 transcript:Et_6A_046310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASLAAASSHCHAGVSTSPFPPRSHPQPRSTARVPAPNHRRGRLPLLRASASASSAPAGSDRAAGLERCLAATSAPASAPPEMKGGRRRSGAFGAVTIEKAPLDLARRLKKANPELFKREFVDAVLQEWYKSMSNLPAGLRQAYEMGLVSSAQMVQYLSIFGRPTRARYLSRAFPSFFSRGLVGRMLADPSFLHKMTFEFVATVGSSVWWEMKNRKERFQKELDLVFVNVLAATICNLAVFSSLAPCRSYMIQRVPNNIFEKSYPMRQFDLLRRTQSFFSKAAELCLGGLLIGSIQGGLSKVLSDRKERLSMPVPSISTNALSYGAFYGFYANLRYQMLCGLDRSMVHHFDVLGVAMFFSATIRMMNIQIGEVSRRAWLGEEADMLYSDNLLRAYNGPAELTVEQQQQGRFLSKNAIVSGLRLLGIKQGTPQDASSKPRRKRIVRKVPVS >Et_1A_009167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31464711:31465209:-1 gene:Et_1A_009167 transcript:Et_1A_009167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNLSVQDGEYPSLLAEKRKRLSSMSMEDMNALTSQIEKLEQAALRNVGTTRLLAIKEQIDDLKNKIKQIYEDFMAGIIREDEMKKGAGSSGSK >Et_5A_042657.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24418959:24420719:-1 gene:Et_5A_042657 transcript:Et_5A_042657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSAPPAGVAAPPPAKFKRPSTQMNPNPSKNRAAAPARPMRASPPARREPKKKWNPLQHLAAAALDAVEENLVAGVLERAHPLPRTADPAVQIAGNYAPVGERAPSSPLQVTGRVPPFLDGVYVRNGANPLHAPRAGHHLFDGDGMLHAVRLRAGRAESYACRFTETARLAQERDLGRPLFPKPIGELHGHSGVARLLLFGARSLFGVLDASRGIGVANAGLVYFNNRLLAMSEDDLPYHVRVTDDGDLETVGRYDFGGQMDAGDTMIAHPKLDPATGELFALSYNVVAKPYLKYFYFSRDGRKSRDVEIPVESPTMMHDFAVTENFAVVPDQQIVFRLQEMLRGGSPVVLDQNKVSRFGVLPKRAADASELRWVEVPGCFCFHLWNAWEDHATGEVVVVGSCMTPPDAVFNSASASDDETFRSVLSEIRLDPRTGKSLRRPVIRGDGQDQVNLEAGMVNRNLLGRKTRYAYLAVAEPWPKVSGFAKVDLEAGTVQKFLYGEGRYGGEPCFVPRPNDGGDAGAGAEDDGYVLCYVHDEARGESEMLVVNAADMREEAAVKLPGRVPYGLHGTFIGAKDLQRQA >Et_2A_016616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26674437:26678542:-1 gene:Et_2A_016616 transcript:Et_2A_016616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAPASIRALALRRLPLPHPLLLPPRPPPRLGPARSVMPFSAQPADQEEAEADEEALPLAAAAGDAGEVSHEEWQRWGTTSPLPTAVAAVVRELLEMEAAAGEKMRFGGVGSKLKGDFKDVEDKKHRAVYETLADSDKKLQYYSARQIGCRLLGSRGYLCQKCWLPMEDCMCSKLLPCNLWKGVKFWLYMHPKDFLRQNNTGKLLWQVFGIQAAQLCLFGIQEHEDIMWDAFQRSGKGKISFLYPNKSSVPKSVSDLAFDGLALTSDLDVVASHDEPFNFVLLDGTWSNSAALYRRLKERWTAVWGEEDIPCISLSTLTASVMHKLRPQPAWDRTCTAAAAAGLLWELHTRPDLSAFELEKQAEAVECSLDILLDALTARRVRLGRSITRKQRHNRNCV >Et_1A_007537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35677389:35681307:-1 gene:Et_1A_007537 transcript:Et_1A_007537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLRRARFLPLLRLRRGLSSSSSAAEAGAFPPPRTGAGRRVVVTGLGAVTPLGCGVGHTWDRLVSGKCAVRALAAEDLRLPGDAASAGRTLEQLPSRVAAAVPLGKGEGDFNALAWIKDKSVLWFISYALCAADEALRDANWMPSEDDKKERTGVSIGGGIGSISDILDASQMIIENRLRRLSPYFIPKILINMASGHVSMRYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTESSIDALLRALSTKFNSSPEAASRPFDCGRDGFVIGEGCGVMVLEALDHAKERGAKIYAEVRGYGMSGDAHHITQPQYDGRGAILAMTRALEQSGLRANEIDYLNAHATSTPLGDAVEATAVKSVFGDHATSGGLAFSSTKGAIGHLLGAAGSVEAIFTVLAIHHGLAPPTLNLEQPDPLFQGAFVPLAESKKMPIRAAISNSFGFGGTNTSLLFSCPP >Et_1B_014029.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2823594:2824862:1 gene:Et_1B_014029 transcript:Et_1B_014029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMAPPPQDFMLDSSAVNGAYAPEPAVTNPFASAADDAAAGNPFLAATAVTAPPSPNPFEHLPPGATDADPFDLFQHFTSAPASPTRAAAIYAQFDSAEHGHGNVHDDDDGFQPRVSYSTVASTVPFDWEEKPGKPKPEFASVTAATADGDADADFDFGVLLDKAEQTPELTTADELFDEGKIRPLKPPPRLLDGGSVGSSPRSARSAIWSPRLRGTRGRSAVGPGGADFDPFAAALEKAARAPSPLGAGSVSRDDDAVGGGVESDSSPMNTSDSATSPTSTGPPAKSNGGRKKWRLSDLLLFRRASAKGRAAGNISRDPVFKYAPVQPLGTAVKDNNAGAEPVAAANGGDASSVGKHKKQSKKGAAASTAAEGGMAPMPHRQGVMGCVRLHPGLHRLAKGFNGHSVHLGGRGAARSAMKG >Et_5A_040275.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:9502119:9502649:-1 gene:Et_5A_040275 transcript:Et_5A_040275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLSVRPAPSTTDARACRRARYTCGGTHPPSSSFDSSSSCCASTNASGSPAAKRLGQAGTSTGADAAICRPSPNARLSPPTARRGARPPFTAVAAVVRPSSGPAS >Et_7A_051728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23638712:23641611:-1 gene:Et_7A_051728 transcript:Et_7A_051728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRVAIVGAGVSGLAACKHMLERGCRPVVFEADTVIGGVWAHVPDCTALQTPRPLYQYSDFPWPEDVTEVFPDHHQVRAYLNAYARHFGVLDCIRFGHRVVGMEFDGVGEETIAAWDEWAGNGKAFGDGDGEWCLTVTDAEGRVERHKADFVILCIGRFSGVPNIPTFPPGKGPEVFDGQVIHSMDYARMGTKKAQEMMKGKRVTVIGYLKSALDIAAECAEVNGTDHPCTMVVRTKHWIVPDYVAWGVHISKLYLNRFAELLIHKPGESFFLWFLATVLTPLRWLFSKFAESYYAIPMKKYDMVPDHSLFEALAACLIAITPKDHYKRLEEGSIVLKKSKTFSFCKNGVLVEGESFPIESDLVIYGTGFKGDDKIKDMFTSKYFQSIAVGSTSSTVPLYRQCINQKIPQLAVIGYSESIANLYTSELRAKWLAHFLDGGFKLPNVAEMQKDVLEWEKCMKRYSGRYFRRSSMVLVHIWYNDQLCHDMGCNPRRKKGFFSDLFDVYGPGDYVDLDPKKK >Et_6B_049713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9288921:9291898:-1 gene:Et_6B_049713 transcript:Et_6B_049713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASGDGGDASEPWWRRKRPRRPAPPPEADAEAVKAEALKLMADFPVLPRLVVFDLDYTLWPFHDRLPKDEIPYLYPQARGILNALKDKGVEMAIASRASRRGVAKTFLEKLGIQFIFGAQEIFYTWSPKGDHFQNIRRNTGLPYQSMLFFDDEVRNILAISKLGVCCARVEKGVTLEKLRMGLGNYAKSVAIPKAAQTQMGLNSFFKTSESSKAEKTES >Et_3A_025303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28789958:28793164:1 gene:Et_3A_025303 transcript:Et_3A_025303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFRSAAAARRLLRLAPAASSALSTASRSSAAAAPLLRPIAAVSGGSNPISRDLRRFFSSNEKHLPAISDPEIESAFKDLMAASWNELPDSLVAEAKKAVSKTTEDKAGQEALQNVFRAAEACEEFGGVLVTLRMALDDLCGLTGENVGPLPGYIEDAVKAAYNRYMTYLESFGPEENYLRKKVESELGTKMIHLKMRCSGIGSEWGKITLIGTSGISGSYVELRA >Et_3A_024101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17323727:17326401:-1 gene:Et_3A_024101 transcript:Et_3A_024101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVSAIVMCHTRELAYQICHEFERFTKYLPELRVAVFYGGVHIKNHKDLLKNDCPHIVVGTPGRILALARDKDLPLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLGEAEKNRKMNDLLDALDFNQVVIFVKSVSRAAQLNKLLCECNFPSICIHSGMTQEERLTQYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADAYLHRVGRAGRFGTKGLAITFVSSASDSTVLNQVQERFEVDIKALPEQIDTSTYSKLCYSFIPDEAYWCTWY >Et_7A_052851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:284634:287309:-1 gene:Et_7A_052851 transcript:Et_7A_052851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDSSSAATSIGRSIRSLRRDQIPTFPHPADASSDLDEADAFQRRAADLLTDLLAPDLLSLAWTSRLLDSFLLCLEHFRALLFGSGAAAAARPPLDRLVADFFERAVKALDLCNAVRDGLDLVRQWRNHLAIAARVLASDAPLGEAQIRRARKALTDLTILMLDDRDGGVVGQRNRSFGRANNNNRETPRAHGQGHHRRSSSGGSSGSGSSNHLRSLSWSVSRAWSAARQLQAIGGGLPVPRPNDIAATGGLASAVYTMSAMLFIVAWALVAAIPCQDRGLQAHFSVPRNFPWSGPVTALYERILEESKKKEHKNSCGLLKEIYQIELCSRHLMEITDAAEYPLPEEKDAEVREAAQDLVQVCGSLKSGLDPLERQVREMFHRIKYEISSVLGVLLKILVIKFRLGDCSNLLITRFQIKGGMLKRS >Et_3A_024179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18113504:18114042:-1 gene:Et_3A_024179 transcript:Et_3A_024179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTVQIALFVSSSDAVQSGWLVRCLIGIDAKRVNEMQSNLVKCPKHFLYSSPAEPLGPEDLTWKLIAGKLISIKLHIRHSQCGKRVKELVYMRV >Et_1A_005578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11699360:11699787:1 gene:Et_1A_005578 transcript:Et_1A_005578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEKKHHHLFHRHKDGEEEEVVDYEKKEKHHKHLEQLGGLGAIAAGAYALHEKHQAKKDPENSHGHKVKEEIAAVAALGAAGLAFHERHEKKDAKKHGQN >Et_7A_050783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1141291:1146094:1 gene:Et_7A_050783 transcript:Et_7A_050783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTVTAAAALHPAVSTRRLGVGNGNATAAAGEYVAGCRRGAAACVVRARVAEAAPVATETGRQEAPAAPMVEIPVTCYQILGVTEKAEKDELVKAAMELKNAGIEDGYTAEVSTCRQALLVDVRDKLLFEQEYAGSIKEKVPPRSSLHIPWSWLPAALCVLQEVGEEKLVLEIGQAALRRPDSKLYVHDALLAMALAECSIAKASFEKNKVSLGFEALARAQYLLRRKPSLEKMPLLEQIEESLEELAPACTLELLSLPQTPENSERRRGAIAALCELLRQGLDVESSCRVHDWPSFLCQALNKLLATEIVDLLSWDTLAITRKNKKSLESQSQRVVVDFNCFYLAMLAHLAFGFSTRQTDLIRKAKTICECLVAAESTDLKFEESFCSYLLGEETGTTVFEKLQQLQSNGSPNSKNYGLAKKKDSSDKVTVNQSLELWLKDVVLSRFADTKDCPPSLVNFFGAPKRNLTTSKQNLGSPRLVRLSSQPSSSVSSCNRTSGEQTARLSPNSHLGEAVKQLAPTNLGLHSSTDRPVNGSGTTSVPLKRNLGSHPLRSLELWGITGDIIGKLAYSALLGFVVFGTLKLLRFQPGHTGSPNPSRGSASMFSLNEASVQEGSFITSSIRKRFEKLSKMLWLNDRLYSRSEENDKYPVPSDGTAAVCRQKMDIQEAEALVKQWQDIKSEALGPDYQIDMLPEILDGSMLSKWQDLALLAKDQSCYWRFVLLNLSIARAEIISDEVGAGEAAEIHAMLEEAAELVDDSQPKKPSYYSTYEVKYILRRQNDGSWKFSEAVVRDQTRQVELQWWESMIEPAPAVV >Et_1B_011055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16995212:16997385:1 gene:Et_1B_011055 transcript:Et_1B_011055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRRVLCVAPPGRGGGADEEAFPTVQAAVDAVPLGNRARVVIRLAPGVYREPVYVAKTKNFITLAGPSPETTVISWDNTATRIKHSQSSRVIGTGTFGCGTFIIEGEDFIAENVTFENSAPQGFGQAVAVRVTADRCAFYNCRFLGWQDTLYLHYGKQYLRDCYIEGHCDFIFGNSIALMEHCHIHCKAAGYITAHSRKSTSESTGYVFLRCIITGNGEAGYMFLGRPWGPFGRVVFAYTFMDRCIKPAGWHNWDKCENERTACFYEYRCSGPGSRPSNRVTWCRQLLDVEAEQFLSHTFIDPDLDRPWLRQMMAIRIPDSA >Et_3A_023897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14141792:14143721:-1 gene:Et_3A_023897 transcript:Et_3A_023897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LYPNNIHRHLSTAIYESHSQNHQAPQQSFSVPCICFVQHSPCDKNEKNPYDRQKILFNIHATMASSVPLPLRRPVAIRLPQPAPEPRHVQPHVLAVQVYLLGDLPSLGVDGVRVGEHGVVLGVVAVERALGRLDLVRHVAQAEAARRLAVVGLGGPVGAERHDLDEDGARAHEEEVRHGCAVHAHHDVGRVEGAVHLGELRVLARPQDGDTEPRGGRGAHQLGLVLDGARAVAGHDHEPRLLDVLLLEGLLGLGLRCLLLLLLDDGLDAATVRVVEHPAEVLDGVVARHPRGVDGELVVDAQPHHGLREAVRAPVVGEVDGRVVGELLHDVSVLGDPPVVVLEHDEHHLPVVLARGDVERDDAVVELVVHVAGVAAGGEDDGGDVVADVASRRRGGGALGHGAEAELVDGGHHARLPLAGVGPDALVGGGRGRVGAHEQEERVGRGLGADVPAHHAHVGAQRGAVAADRAVGQVRAGQRRLDLDLLVVPRPPAQLQQLVHGRGEGAAREQQEEARDEREAGQHAEQRVVAVLPVLARVVGKAAAELLHPAAAGSGLDVPRVSLSGLPLLVVVHQQAGSVVVHHRDRMNRSERAKQDENRAHKAEQERGILPSEQSKEASDDGRRGVVAYRARRRVF >Et_1A_006705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26650085:26653065:1 gene:Et_1A_006705 transcript:Et_1A_006705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMAKRGPSGGAMGGPVRRARPCPTEEGGAGHRSTAELIAAGRVSLSSREQEIEEMRRRLQELEKIDFEIPPAASHEEEPEPAVEAAATAEKEEVDARSIYVGNVDYACLPEEVQQHFQFCGTINRVTILTDSFGQPKGFAYVEFEEVEAVQNALLLNETELHGRPLKVSPKRTNIPGMKQSRGRHTFHPFYPAYGKVPRFRRSVEYRPYY >Et_1A_006171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18757712:18758233:-1 gene:Et_1A_006171 transcript:Et_1A_006171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRPRSRASGGASRISDEQISDLVAKLQALLPEARLRSSDRMPSARVLQETCSYIRSLHREVDDLSDRLSELLATSDVSTAQAAIIRSLLM >Et_9A_063496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:533869:536577:1 gene:Et_9A_063496 transcript:Et_9A_063496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTAASYWCYQCDRFVRAAPQDNDDAASSAVACPGCGGGFLEEMGAPPPRAAYLRRPRAHPHHHHHAHAADLRLRRNRRGGAAGAGGGDRASPFNPVIVLRRSPAPGAAADDDGSPAAAASSFELFYDDGAGSGLRPLPESMSDFLMGSGFERLLDQLAQIEAGGALARARDNPPASKAAVESMPTVTVDHSHVGEESHCAVCKEPFELGAEAREMPCKHIYHQDCILPWLQLRNSCPVCRHEMPTDAPRARSTAANDGAGAASEEETTVGLTIWRLPGGGFAVGRFAGGRRPEERELPVVYTEMDGGFNNGGAPRRISWGSRQSPSTERSTIRRVLRSMFSCFGRGHSSQASSSQARPELNDSASDRSAAAFSHGSRSRSTSWRLEDGHADAMGFARSIGWVPPPALPTTKPKVLQLHGPCRYASTTQLKTRERETIPP >Et_2B_022286.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1770433:1770744:1 gene:Et_2B_022286 transcript:Et_2B_022286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREGSVDEEEVPWEYSLRKYLLLLATLVATVTYTAGFTPPGGVWQETDPGGGYLAGDPIIQHTSKFRYVAFFYSNATAFASSLVVIVLILILSVRHELASG >Et_2B_022155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9906690:9907503:1 gene:Et_2B_022155 transcript:Et_2B_022155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LAAGRPAGRAGRIRSDTGQLIAGGEGVHLVLELLQVSLLDAHGHDARPGLGLWRRADDGDAHDGDVCEWHDELGRAPAVVRVQEPEPCPVGDEKPVVGEGELVGVEVEPSRRPAREVRGEPRLGVPDGAHHLRHVGPRHLAGRPRHRHRVEAVANPSAASSIAGASRWRVLAKKQTWTGRSASSRPRSSARARDCHVAATHTSNAEQRCCVAAKKRAFTLAIASGPRSTVKERRSRRSIRGGGAGILGGSGIRSRAQVGATRGLGSPRA >Et_1B_011793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26022046:26024984:-1 gene:Et_1B_011793 transcript:Et_1B_011793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTLSPGRTARKAAAHARSVSQPCRHSHPALARVDGAVRALRSWSSSEKSSFEGLARVEAALVALGDLLATPRAAAALRGAAADDGDDGVLDAFLALADAYGTLGTALLAARQSAAEARAGVRRGDAAATAASVRAHKRTAKELCHLAAAMRHAKAPSRPPADAADAEVVDMVAEVADAAAEASGVVVLRCAAMSPDVSGVVHQMVSTHNKWLERLGVVPEAKKATPETAAAALERLEELEERISLLESGSEVVFRRLLQTRVLLLNIHNPLEVDSSLAPICTHQPFRDKKNMSIHWRRRSCFLVLRQESTPGTATASRGLPVLHLFCSPARTPKTAARQLQLSSTTHGRCPVNPTISFTPTCSAARYKLQPFRSRTRQETNAGAQRDDRSMHLTLSPGRTARKAAAHARSARQPCRHAHPALARLDAGVRALRSWTASGRRDAAEGLAIVDALLAAVGELLDTPRAALALRSAAARGERVLDGFLVLADAYGTFGSALLAARQSAAEARAGVRLGDGAAVAASARAHRRAEKETRRLAAAMRHATSHAMVESSRTADVIADVEVIDLVAEAAAAVADASGFVFLGCASMFPKVTAMVHTVSSHNSWLARLGVVPKAAAPEKGEAALERLDGLEDRISGLEHGSEKVFRRLLQARVSLLNIHNPL >Et_9A_060941.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19627686:19628426:-1 gene:Et_9A_060941 transcript:Et_9A_060941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAKVMGTILIAVSYTMTFRDGVALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVAMTALVTPVVTTVYRPARRLVGYKRRNLQRSKHDAELRMLACVHTTRNVPSIISLLELSNPTKRSPIFIYALHLVELTGRASNMLAASNTSSSNSASEHIFNAFENYEENVGGVSVQSLTAVSPYQTMHEDVSVLAEDKHVSLIVLPFHKQQTVDGGMEPINASLRGFNE >Et_1A_005075.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:25463904:25463987:1 gene:Et_1A_005075 transcript:Et_1A_005075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPAKTWNHEHHNRGRRTTFCRVVD >Et_5A_040926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1487206:1490208:-1 gene:Et_5A_040926 transcript:Et_5A_040926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILHLQPHPPSHALPPPTTPSSRLILRRSSLFLPPLPSLKMQAGTARLRHVSRCAADGACEPSPDEGSKTSRFCEQVDKFVMGRIAALRAQGAKAPKQLSNQVAGQEITTIVAVTEERQLPEFHFVPDTYDKAGQLAGMISLRALMAIRMSLRVTANAHLRVDESSEMSPDTVKQIIRTYVWTFLKAAEDASHRKVDRMVIVSFIDALRGLAAISHILFEDTLAVVNNTEDSSRHYSPGYDVEAINHDLQCNIKETLERLMTAPEREAYELLPSTLSTATLLVSEFVRLITDLRHKALAHAHVCSNDKDQGNK >Et_1A_007514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35482846:35485137:1 gene:Et_1A_007514 transcript:Et_1A_007514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATATTKVAAEDLAILDEETLALLGGGGAVAAPACVGAEWEAFKENVRPLKRGRDVSLLNRALKAYADPAQRAALLDTRRRMIEAIEEYRGEDPLQPWLDCIKWVQESFPAGGECSGLVVMYEQCVRAFWHDERYKDDLRYLKVWMEYAANCTDAEVIYRFLEANQIGQGHAIYYMSYASLMEVKNKLRKADEIFNVGIARKAKPLEKLEGVYRAFLRRSTKKREHSEDDTSNDDQPIRSFGADLKRGETRAPHAENFRLGKPKALQRIDVNRPLSVYKDENSVQGPEKIRNNKENNMSWRTLGTQVDRNKENNMIPAKWTSHKIPQKLGARAAVQSTRASSIEVFVDDECAQEPARQVPKSPNPSVLKLRQATSKNLKKETELLKENPLRHFPLSKLR >Et_10B_003987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:974201:976418:1 gene:Et_10B_003987 transcript:Et_10B_003987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLGVGDYAEMEDFVTDPINNKASFRGLKMYVKDLDSNTLPPFLARVCAPDKPSSYSEEEILCIFETAAEAHGRSIVPHIGQIIARVIRVMASVSRSSHSAGCSKVVCSLSRYCIDPLSGEEEKSGVLSSLCRPLSDCLMSSDENISSGSALCIAALVQSNNWQFASNELVNDVCLKVSGALEEAHCHTLVHLSLVVALSKYNPLTLEPYGRSLIRSGLQILDDSTKARNSQMIMSSIQMIRSIMESLDVRIISSEITSIIHALEQCHDESVSDIRIAAIQAAETAKVLGRQEGCGDQKKISLFAHSCERRSRKGSNSPIDCVDIRDSGSSGSSCERQSVRSFAGFDSQPAVGQCVSNLGGTRARRRLWSNGSHSSLEMPNYEFFRTAAPDSDDALSVRGHSNSAGLVKSGRRWSGVSRRIVDMCPMCSTPQATNQLSQCRGQGTFLIVLCNIASRLSPERCQKEITLNVSKRQALSGDIRKQSTPRKQLHSFSPCRDSERYDRPSLASPGFRQIQCSGRCSNHTLFQKNGEFEERLYCNSIQHGSQSHEENNDLLTEDNLKFPTNSGHSGSAQTRHEERQAEHEKMTERKKSKGKCSSGPLFPFFCLVVIVAFLLAWWKQDCNELLYVVPT >Et_10A_000795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17316976:17321642:-1 gene:Et_10A_000795 transcript:Et_10A_000795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLLQSVALGTSFGGRISIQCWRSHGTRRPASMLAMSLSRPVKMSAFVGLRSVHSFSVTPVSTSRSTVASYRSSRRTRRSRFVTRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGNGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPSNIRTQVIRMIGETTEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISTGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIEILRGLRERYEIHHKLRYTDEALIAAAKLSYQYISDRFLPDKAIDLIDEAGSRVRLQHAQVPEEARELDKELKQVTKQKNEAVRGQDFEKAGELRDREMELKAQITALIDKSKEMSKAEAESGETGPMVNEADIQHIVSSWTGIPVEKVSSDESDKLLKMEETLHTRVIGQDEAVVAISRSIRRARVGLKNPNRPIASFIFAGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDSDEKDSSYSRIKSLVIEEMKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVVDRLKVKDINLQVTEKFKERVVEEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLNSQGGLPELTTPAVTV >Et_3B_029954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29345106:29347722:-1 gene:Et_3B_029954 transcript:Et_3B_029954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPHLLPPQLSPSPLLSSHFSPPAPGALPWRRRLRGRAFYPLLSKLREPDKATLRKASPNVPFRLGGGGGSGSPNDRRPSADKEEAKEEGGASGALTGTLLAGALLIGVVGGFGAAGYVYKDQINSFLTQFSELIDGYGPAGYALFVLVYAGLEILAIPAIPLTMSAGLLFGSVTGTIIVSISGTLAAAVAFLIARYFARDRILKMVEGNKKFLAIDKAIGENGFKIVTLLRLSPLLPFSLGNYLYGLTSVKFLPYVLGSWLGMLPGSWAYVSAGAFGRAIIQDESEIGLGGNGQLWTLGLGVLFTAVAAAYVTRLAQDAVKDIDE >Et_1A_007008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3076510:3080276:1 gene:Et_1A_007008 transcript:Et_1A_007008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQASPRFLSTILGDRLALSAKPLLLRSSARGNRRPAYQATRTLCNLVDILFNRRSGGDAPENNPRRLRPGKVSPRLSVPNHIQRPPYIDSRQRPRVNNGPEIHDEKGIECMRASGRLAAQVLKFAGTLVKPGITTDEIDKAVHQMIIDHGAYPSPLGYCGYPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVFLNGYHGDTSATFFCGDVDDEAKKLVQVTKECLDKAISICAPGVEIKRIGRTIQDHAEKYKYGVVRQFVGHGVGKVFHAEPVVLHFQPMLTVGSINPVIWSDDWTAVTEDGSLSAQFEHTILITEDGPEI >Et_4B_036551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29286810:29288723:1 gene:Et_4B_036551 transcript:Et_4B_036551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGKLGDALDLFDRMPRKNVVAWTSAISGCTRNGRPESAMEMFVTMGLQSVYASSALIDFYSRNGHIDLAKVVFENLDCKNIVSWCSMMQLYIRDGRLEDALRVLCSLISEDIRVSNALLSMYGRSGLVEEVEAVLKKIETPDLVSWTAAISANFQNGFTEKAVELLSQMHSEGFTPNDYAFSSGLSSCADLALLDQGRQFHCLALKLGCDFKICTGNALINMYSKCGKIGSARLAFDVMHLHDVTSWNSLIHGFAQHGDANLALEAFNEMCSSGCKPDDSTFLGVLVGCNHAGLVKEGEIFFRLMVDEYGITPTPSHYACMIDMLGRNGRFDEALCMIDKMPFEPDVLVWKTLLASCKLHRNLDIGKLAADKLMELSESDSASYVLMSNIYAMHGEWQDAGRVRQRMDAVGVKKDAGCSWIEVKNEVHAFVARDMSHPDSASIYQMLGELVDVMQDTDSQFQI >Et_1B_013884.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:22315963:22316196:-1 gene:Et_1B_013884 transcript:Et_1B_013884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWEEGGRSAMAGILADIKALRGGFGQLKIIKIKRDSNASAHELAKFDHRENSSAVWIAGVPRALEQLVLGENTPMI >Et_4A_032050.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:13807520:13808170:1 gene:Et_4A_032050 transcript:Et_4A_032050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCERRWALQRLAAIMAAGFGVGMVYFGMPLSVGTLGSNLYLSLAYNALAELPSNVLLWLLIARTNRRSTVVGFSVTASACSLACVAIPRRAAAARMAAELSSFFATCTAYNVVLIYAIELFPTSVRSSAVGLVRQAMVLGGVAAPVLVALGRDQSSGLWSFGVFGLAIGCSGLVAACLPETRGRAMSDTMEEEGERHEADAAASCTKHKNSDRDLV >Et_4B_038292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27914325:27917181:-1 gene:Et_4B_038292 transcript:Et_4B_038292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGGAAISAGPTPPSATATAVEWHQRPPNPKNPVVFFDVTIGSIPAGRIKMELFADIAPKTAENFRQFCTGEHRKNGKPQGYKGCQFHRVIKDFMIQGGDFLKNDGTGCVSIYGSKFDDENFTAKHTGAGLLSMANSGPNSNGSQFFITCGKCDWLDNKHVVFGRVLGDGLLVVRKIENVATGTNNRPKLACVISECGEM >Et_4B_038095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26252542:26260438:1 gene:Et_4B_038095 transcript:Et_4B_038095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVARGPPGRHSSSALLGCWRRRPPLGFGAKVAIAIALGLSFAVIWTLLSWTSSSQQISTERSSFAADVAAPPPPALDRTSGGGGHAHRKPRPAPHGHKKRHPPPSKSHSHRTNATASPDAAAAKSDHAEQAPVTEQEPKEKEPEPEPDMEMEPEKEAELPMPEENGDNGGKAPSEEEEEKAPQLELEEEPNEGDGEEEDPEAAKTKAASKKKRKLPPLFSSSAHYHWKQCSAKSGHHYVPCVDFEGDGSQRHHERSCPRSPLTCLVSLPKDYKPPAPWPERKDKVWYENVGHPRLSSYVKGHNWVNHTREYLMFPPNEWEFKGGARHYVDSIDEMAPDIDWGKNIRVILDIGCKSAGFGVALLEKDVITLSLGLTNDQTDLAQVALERGIPAAIGSLGSHRLPFPSGAFDAIHCGECNIAWHSNGGKLLLEINRVLRPGGYFIISSKSTDLESEQGISASMIALCWNSIAYNSDDVSEVGIKIFQRPASNEEYDTRAKKDPPFCKENQNKATAWYTRIKHCLHKAPVGIEERGSDWPEEWPKRLEAYPEWLGDLETRVAADHKHWNAVVEKSYLDGLGLNWSNIRNVMDMKAVYGGFAAALASEKVWVMNVVPVHAADTLPIIYERGLIGVYHDWCEPFSTYPRSYDLLHADHLFSRLKIRCKQPVAIVVEMDRILRPGGWAIIRDKLQILDPLESILKSLHWEIVMTFRKDKEGIIVPIRATDAAAFEPDDLPSVPLLLLVLPTHDAGAPPPAAAFLARWLEESAADFRAGALLLSGLRFAIFGVGSGAYGETFNAAAKSFSRWLRALGAVEVVPLGEGDVDGGDIEAVFEEWSGRVLRVVKGEVSDEINGESDGLDVLEGEESDDDEEEDVVDGEVDMEDIAGKAPGRKQNGKVENGLRNGGENGARDMVTPIIRTSLEKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWKWKMDDPLEIVNAAINQHTKMVKQMKGVPGVKPEKLEEGLSPRHCALSLVGEPIMYPEINTLVDELHRRHISTFLVTNAQFPEKIKTLKPITQLYVSVDAATKESLKAVDRPLFSDFWERFLDSLKSLHEKDQRTVYRLTLVKGWNAEEIDAYAKLLNLGQPDFIEIKGVTYCGSSATSKLTMENVPWHSDVKEFSEVLASKSGGVYEVACEHAHSCCVLLAKVDKFKINGKWHTWIDYDRFHELVTSGKPFKSQDYMAMTPSWAVYGAEEGGFDPDQSRFKKERRHGAAALKK >Et_9A_061382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10800830:10802358:-1 gene:Et_9A_061382 transcript:Et_9A_061382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQIGATGQQDRLSALPDGAIGHILSFLGAKEAARATVLARRWRHAFAAVHTLSFEQEEGSSYGTVSLDDYNYTAFHEEGGNAAFASRRRCSAGEKCGHPASAPSASPSTRSTTRSRATSTGGSPPRCPPPRRSASTRAGRGSARAPASAPSITTRRSNTPARDESDGEDADEFRNLKSRDRAYRVPRELFFSSPSAARLRTLSLGSCLLDLPPPDDGGHFLRLPCVETLALRRIPDSGKDIQRLVSACPRLADLTLDSCRRVRSLTVLDARLRRLALRCCHGARLAVDASQLRALEYKGPVPGDDFAVLSFLGSPPVIVSCDIEFCGSTIASSDNVFSGKAFAFSDAELVNLVQFLGRFVNDARSLRLGIVSLGSGIEKISGGVAPFSCLRRLELKGTLIRGSAVDAVTAMLERTPNLEILTLVISPDIYGNNWNEVTCDPKAVIDAPDVLPVIPCLRDQVLREINVVHYQGRTPPRKLLKLLLRLAPALDELYVVFPKGKFADG >Et_5A_042184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7088032:7090272:-1 gene:Et_5A_042184 transcript:Et_5A_042184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSRIGRGGAQQLSQSLSRAAASEGGAAPGASALRNASGALTLRRTDPLHGLALAGLADRCAGRGVLPPQSCRGISTTAPMMRSPAATATAAAEPSDGEEAADANMPGLGPTKPNEKPRLVVLGTGWAACRLLKDVDTRAYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVVEPVSRIQSALATRPGSFFYLANCSGIDTRRHEVYCTTVSSEGLPSDPYNFKISYDKLVIASGAEPLTFNIKGVKENAIFLREVSHAQEIRRKLITNLMLSENPGLPEEEKKRLLHCVVVGGGPTGVEFSGELSDFIMRDVRERYTHVKDDVKVTLIEANEILSSFDVSLRQYATNHLSKYGVNLVQGIVKEVTPTEITLTNGTRVPYGLLVWSTGVGPSEFVKSLGLPKSPGGRIGVDEWLRVPSAPDVYALGDCAGFLESTGKPVLPALAQVAEREGRYLARLLGKVAKQNGGKAHCAGNAELGEPFVYKHMGSMASVGRYKALVDLRENKDARGLSMAGFTSWLMWRSAYLTRVVNWRNRFYVAVNWATTLVFGRDNTRIG >Et_7B_053347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12361648:12363102:-1 gene:Et_7B_053347 transcript:Et_7B_053347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVARRGGGAFRVKNKNPSEVHITAEQLLREARESRAELDEPRAPCRGIADADELSEYRLRKRQEFEALVRRRAGAGASYAWSVFERALLDAAARRDHALWAGYAEFEARNGRLGRARAVLDRAVAALPSADDLWRKRAETEEALGAGVAGARVVFERWTARMPRAAAWEAYADLEARHGEFGDAEEIERAITAKRRAQHEAAVAADPLCYDGWFDLVKLEESLGKGNKDRVRAVYERAVANVPPPAAEEKRHWRRYIYLWLARALYEELDAGDAEGARAAYAACLRLVPHRKFSSSKLWIMAAQLEIRRKDLAAARRILGNAVALAPNKEKIFAKYIDVELRLGNVDRCRVLYQKYVEWAPASRCAWMGYVELEKSLGEDDRARAIYQLAVDQLPELLVQGTLFPRKEEDDVPGEQSTKMTAKRKRPDLKILEAAYLWKLQKRVHAANPSFLYANNM >Et_7A_050486.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:18760165:18760317:1 gene:Et_7A_050486 transcript:Et_7A_050486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKAVSTETLLKKKTKKIIVKKTTIKKKAGGDKQKESTNERKSTKKGGN >Et_6B_048534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10466481:10470136:1 gene:Et_6B_048534 transcript:Et_6B_048534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTELVTTLITLAFLTHFTTTSSIAHPPWLFSLLSLVLAAAAVTLPFLVSARRNGAAAGVAIPGPRGWPLVGSLPAVSGPLMHRRLAALADAHGGGARRLMSLRLGFTPVVVSSHPETAREILTGAAFADRPPKAAARELMFCRAVGFAPAGEYWRRLRRVAGAGMLSPRRIAALEGLRRRVAERMVRAVRGESTERNGGEVAVRAVLQRAAMESMAGSVLGLEGDGVGKELGEMVREGYELVGTFNLGDHYYTSLWGPLLDLWGVGPRCRRLAERVRGYFGKIIEERRVAGDCQQERDDLLSYMLALPKEERLEDSDVIAVLWEMIFRGVDVVAILLEWTMARIVLHPDIQSKAYQELTTVVGSRPITDSDIPNLRYLQSIVKESLRMHPPGPLLSWARLAVHDAQVGKHIVPAGTTAMVNMWAISHDEAIWGDPWVFRPERFMEMEGGEDVSVLGSDLRLAPFGSGRRVCPGRFMGLATVHLWLARLLREFEWVPAPEQPVKLTECLRLSMEMKKPLVCRAVPRGRASDPHSSNLPDLIGPPPLSLLRRVAVMAFGPAGFLPGRCLGHSVATGDLQL >Et_4A_034615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4906510:4911124:1 gene:Et_4A_034615 transcript:Et_4A_034615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IYLQCLPEPLQPQYGGGILRNADFSAGLRDWSVFGYSSVAESVSATGNGFAVVVNRTRPYQSVSQKVYLQNDTHYTLSAWSQVSDGVADVRAVVKTVDDFIHVGGVVAKSGCWSMLKGGLTATSSGRAELYFESNATVDLWVDSVSLKPFSKAEWTSHRASSTSMARRKTVRLQATDSSGNALPGAAVSLDAVRGGFPLGAAVSKYILTNTDYQAWFTSRFAVATFENEMKWYATEPVAGREDYSVADAMMAFAKAHGIAVRGHNVFWDQPSQQPAWVQSLPYPQLLSAASRRIRSVMSRYAGQVIGWDVVNENLHFNFYEGRFGWDASTAFYAAARLLDAGSALMFMNEYNTLEQPGDMAALPDRYLTRLREIVAPYPENGAGMAIGLEGHFTNPNIPYMRAALDTLAQAGIPVWLTEVDVAAGPAQAEHLEEVLREAYAHPAVQGIVLWSAWHPEGCYVMCLTDNSFNNLPQGDVVDRLIAEWRATPRGATTDEQGYFEAELPHGEYQVTVSHPALNTSVSRSVKVQLGADSEYFIDIRTPGVIRSTIVEPARPEGSIPPSKTYEQCGHKSSKSLTLTLLGRPLKLALKNESR >Et_3A_023589.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:8422184:8422489:-1 gene:Et_3A_023589 transcript:Et_3A_023589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WQLVLIPCRYGTHSSRWESFRSNYHQTNYRRLKQWWTSMIGPAGTQASRQTEQAVIYIAWNIWKERCRRVFDNKTMTVLQLVFIIKQDIQIWHTANTFWEE >Et_9B_063910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2129913:2130221:-1 gene:Et_9B_063910 transcript:Et_9B_063910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNFAGAQGIGKVILETDNLILVNYLKDSFAHFCTHMSSCNNRVPLWTSDSLDWLKEIANTDCNPAVS >Et_9A_061391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10960893:10964114:-1 gene:Et_9A_061391 transcript:Et_9A_061391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPYAVKGRKKKRKLDEAAASDAAPAADEAEELQPPPEEAGEEKGNENMTAAAEGEEEEEQAAVEGLPVVPRTVDGKRQPGAIFVLERACLEVGKVGKTMQILNSDDHANYLRKQGRNPADYRPDIIHQALLAIFDSPLTKAGRLQAVYVRTEKGVLFEIKPHVRMPRTFKRFCAQLLQKLSITAVGKREKLLNVIKNPVTRYLPVGARKIGLSYSAEKSVNLFDYVAKSNDDEALVFVVGAMAHGKIDNEYSDDYIQICNYPLSAACCLNRICSALEQKWKIQ >Et_10B_003676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4749555:4752471:-1 gene:Et_10B_003676 transcript:Et_10B_003676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGPKEDKYNDEGPDAFDLFKECHYSKKKGYTPAVQLVIVRTLFSNADVLAENIKKSLFLHNVGIQMTRPRSSAQDLEAQLEAEKREKN >Et_1B_011323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20462267:20462586:1 gene:Et_1B_011323 transcript:Et_1B_011323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKAKEPEITESNQKKKQKKLKGIEQQAQELYNRNILREFKIQLQIIANLRYKELDKGKVFEESSKEKIACKKNTGRQNTLCILTLHQGRKNSVASATNS >Et_9A_062476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23311551:23312534:-1 gene:Et_9A_062476 transcript:Et_9A_062476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPPWSNGDRHGLPVGPTQGIQPNDQPCGPISKPPHVVSPTPEPAVVTPRRAVAGAVEEEGPGMSSRFWRWYADRQFHKWEKTVLWDMVEPYRPPRSFAPLVGTYVAAFYTGVVGAAVTEQLYKEKYWEDHPGEAVPIMPPKFYWGPWRVVNGEVPRFIQQPDEAKAA >Et_2A_015126.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31248723:31249754:-1 gene:Et_2A_015126 transcript:Et_2A_015126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRSHTTWPGRQLGKASQASERPQPPGLYINPPRPRPPLSFAANRLSQANHSSDTEANPAASGSQSDKNTETERERYDAGKRRRRWRCGGHDGRRRRRRAAEPVADADAVPVPGVRLHDGPHRGGAAGAHLHAAQALAVRGRRRGGGELVGARARAARQGAQGRRHHGRRPRAVLPRQRQAARLRPRPCLRRPQQRRRREGSCVGARRARTRVARRAAARGHFCREFFRFLLCFPALLFVVGGGEFGGRARMPSCRRRRRLEICETRRVEVWFGLFRQASLRLASINEYSSCLQILDPVVDFGLVRTQISCRTIILFVHQCARRRTPFSTRPYEHIPVSS >Et_10B_004337.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4264743:4267466:1 gene:Et_10B_004337 transcript:Et_10B_004337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATTSHLLLLITCTAFFLTCGALHLQGTDGRSCIPAEREALLSFKKSITSDPVNRLSSWHGRDCCRWRGVLCSNETGNVLKLELRNQNPDINSGDGCEDENALFGEISPSLLSLEQLEYMDLSMNCFTGQRETIPLFLGSMKKLRYLNLSGIPFRGEVPPQLGNLSNLQYLDLGSKSLSSNIYSADITWLKNLHMLQHLSMRRVNLSLISDWPHVLNSIPSLKVIDLSSCSLDSANQSISHINLTKLEMLDLSWNIFDHEVASCWFWKVKSLKYLDLSYHRLFGEFHYALENMTYLQFLDLSSSVNKNLVLKGNFKNLYSLEILDLTDNGMNGDLAVLMEWLPQSVWDILQELHLGSNNFTGALPNLIGRLTRLTIFDLSNNNLVGSIPPELGNCSGLVTLDVSNNQLSGNVPIELGAFANLTLLDLSNNNFNGVMTEEFFDGLTSLKKIDLSSNSLKVALDKDWLAPFSLNVALFRSCQMGPLFPAWLQQQQEITKLDLSRSALVGKIPDWFWPTFSQAIYIDISNNKLSGSLPAHLGDMAVVELYLSSNQLTGEIPQLPRNTSVLDVSNNSFSGTLSSDFGAQELQTLIMYSNQIAGTIPGSLCKLTSLTDMDLSSNLFEGGVPQCFEAEFSQSITYLLLSNNSLSGTFPALHNYESLQFLDLAWNNFFGKIPAWIGNLTNLQFLRLSHNTFSGNIPDEITNLSQLQYLDLSWNNLSSVIPRHLSNLTAMTLKNFRPLSGAAMTLPDGDGFTGVTIYGQFGQIISIITKGQQLGYGNVLAYFTSIDLSRNSLTGEIPSDITSLDALININLSSNCLHGNIPNKIGALRSLESLDLSMNKLFGEIPSSISNLASLSHLNLSYNNLSGRIPTGRQLDTLSADNPSLMYIGNSGLCGPPSSKELCGK >Et_7A_052346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7044135:7049890:-1 gene:Et_7A_052346 transcript:Et_7A_052346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREEGKAAPARSPPNLGAILANLGRGCHWCAVTEFLGQVPLLQRLPGSSIRRIAEAVQVKRYEPGDYVAREGEPVDGLYIIVDGQAEVSAPANAEEANNPDYVLNKYDYFGYGRNSSVHQVNVVALSKLTCFILPNQYGYLLQPKTIWNAEDTPETHSLLEQILHLEPLEVDLFRGFTLPEAPTFRQALAAASKTVDCLKLVHMPIIYQVHRERDGSSFATQKVEAKQKGQVVFTLIASFQKEEVGFDHQAASIPDLLNLEEIRERHLTDPRFPMQYRNSAAKKKFVPWPIEMRFCEDSASQHKPSSNYWFRARGKLSDDQALHRCVVAYASDLVFSGVSLNPHRKKGLKTYSLSLDHSIWFHKPVKADDWLLYVIESPSAYGGRGFVTGRMFNRQGELVMSLTQEALIRKEKTRGPNPRPKL >Et_7A_052912.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2499892:2500182:1 gene:Et_7A_052912 transcript:Et_7A_052912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHLLAFAAARGFLQVFHLSAPLLWPLNLWLPSARHLPEACAAFCGVLAAHAASLRAAYARGTVWGHRRRRRRGGSGGDSDVDEYIRHALLSVSY >Et_4B_038651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3769746:3776603:1 gene:Et_4B_038651 transcript:Et_4B_038651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRGLHLRRLHHHRHRLRPLSTTPTPPASSAPSTSASSSSPLPIAAPTPPPHHLAPHHRWGGRRLGPLLAFSTLSLAAAGTLYLTTDNLEETLERSRASAGRVVQQMHHTWTALGVLCKSLLSVLSSANQEVRWGFELRVAALLADITAASAARRAAIVSAGGGAVVDWLLDCIVRGATQGEAARALSHLVSDPWVAPAVLGRPGAVPCLLKFIFSYQPKRGKKNSRHSSFDGSDHSKGRSMLVAALMDVVTSNCDNADYSSFQPLLPADADTRDIAAAIEVIEQGGMHFDDHEDNSSNDGDTGLKGIGIKVLGGTTILGFSRGNNSLELGNTNDEIMELSHDSRRSVVHKAAIESPLVEKVSSAAVPGLWDDLQREHVAVPFATWALANWAIASDLNRTRIQGLDSDGHAVATALKAPERTVKWHGTLVARALLEDHKLTLPATVPDWCSSLLSTASQATENDDTPLGQLSLSTFLLSMVRCNESKFVIRQKGLHPLRSIAKKIENQNGQNSMKESIAVALGLLYAGEVPLSLEESQRWSGILLRWLFDKSVSDKTHLTAVKILSSILEDYGPASVPISQGWLALALSEILGDNKAQNLKGTTPPEPEKVKTPVDYHNATTATQVLNQLASAVVKLASIQLDNESGSDDKVPLLDFLSLEPFATTLKSLNKKNPPKFDAADSASATLKGIKALAELCSEDGACQKRLADLGALSLLRHILLGDDYEKLAAIEAYDASRIREVQDKNASASNVSSNDATTDPSSVRVPPAAHIRRHAGRLLTILSLLPDSKKEIISDDVWCKWLEECASGRIPCNDIKLKSYCRLTLLNIFCSENLNTRMASDEHPDSESEYKRKCPQFGDALFLLNPELPLEIHLDNTGLAISKVTRYDCDGDRCTEDSGPETGTSVDGAEGASKTAPLMDVVFVHGLRGGPFNSWRIADDKSSTTKAGLVETIDEDAGKEGTCWPREWLAADFPQARFFTTNLTQWTGASLPLQEVSSMLLRKLVAAGIGSRPVIFVTHSMGGLVVKQLLHQAKLNNYDKFLNNTVGLVFYSCPHFGSKLADMPWRMGLVFRPAPSIGELRSGSPRLVELNDFVRQRHSKGLLDVLSFSETQVTPIVEGYGGWALRMEIVPIESAYPGYGELVVLPSTDHINSCKPVNKNDPSYAETLGFLEKNFKLRLKKEDS >Et_1B_009781.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26562987:26563276:1 gene:Et_1B_009781 transcript:Et_1B_009781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASVASTDDCNPNQLSVCFPALINGVAPTTDCCSTLRAHQRCLCRYACDPKSRKYIRSPNTYHTLKSCGIPAPYCLAVSPLRTC >Et_2B_021041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25915477:25923152:-1 gene:Et_2B_021041 transcript:Et_2B_021041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEYLADPEDEVAPSMWPENIGDKHQRQFRMENFRKDQDAFKDVRFDEKPVHVDFHRLMEMANSEKGVSQMQYFMKHWEYKRANAARLLEEEIGLLCQQRKEIEQNKQQILEEQRFQDESYYAVKRHVPILDEVYEDEWKRASKKNDDLSRNREPKIDVNYDSVSYWKERAIQLEKTLEESIQRERSLVEKLEENIKNLKSHTPAEEFSGMLKRADYFLHLVLQSAPIVIAHQDADLRYRFIFNHYPTLADEDVIGKTDYEILSGEGIHEMNNVKREVMATGIATKREFAFDTPMFGAKTFVTYIEPVFSKSGETIGVNYVAMDITDQVKRREKMADIRVREAVQKAKETELSKSIHITEETMRAKQMLATMSHEIRSPLSGVLSMAEILATTKLDKEQHQLLEVMLSSGDLVLQLINDILDLSKVESGAMKLESTTFRPREVVKHALQTAAASLKKELTLEGSIGVDVPVEVIGDVLRIRQILTNLISNAVKFTHEGKVGINLQVVHDQQPGRKKEHEKIHKRAYSGCPITTEENSAASPRNFDKDTTRCSKHEEAFQNGIPTCENLREDPEGEGVVWLRCDVYDTGIGIPEKSLPLLFKKYMQASTDHARKYGGTGLGLAICKQLVELMGGTLTVVSKENEGSTFTFVLPCKIPVKEEHSDDPDEEHSSHHDFTNGDIEGSFVFKPQVRSYLMSPGVSIMNNSKLFGGKLMCYDPPNISDDHKPISNGFTSTEQNFANCPSAAHQSNGPSVKSTSEEQQDNVMVFDLNSQAERVSSSQGDAVSVSGACLQETGPFDALEEQSLHKKSKFSPNGNKAKILLVEDNRVNIIVAKSMLEQLGHGIDIVNNGVEAIRAVQQHQYDLILMDVHMPQMDGLQATRHIRSFESTGCWDASVKPEDNQMIADSAILSDCAHPKKQAQRVPIIAMTANSFSESANECLAAGMDSYISKPVNFQNIKECLQRIVYSCLQVPVSLAAVERRTQKETLQ >Et_1B_010623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12138728:12145788:-1 gene:Et_1B_010623 transcript:Et_1B_010623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKAEKAATAAGAADLGPNLGIAPATPDIFRKLVRQSGTTGTDGSCCTALFTASSSVGPAKVESDGFNSVPSPVGEQSQSVQDDLRELDCPVNTQESSTLPAQVCESPGKIPQQWGEGVVSDSDPGANDAVPTPGKVEPTPPRWRKKSTKGVPRFKVMKDKILKPKVTPKESTLDKVKKKKKKILQEDGSEHVGTSASNIVRRKLDLNSSESKVCFSRATLIGNLRFLAKSRGLQDDPCARMRSKRGKKRKFMIFKHQESGHLAMVPYQSIQTDVSSSTLVPLTDFTQLDITRKGSQAQKLQTKVLDLDEETLQVYDVLRKWNESDSESFEGFDIGSGPEWEQRRFILEKCVDVFIATMHDLLGTVLASFYVLLLGPRKFSQWGGSVIDSVVGTFLTQNVADNFNAFLNLAAKFPATKRRHTAGECSNVAPLFSAFEENLNLGIEDGYEKESKGQYGQEYRALIENFINDMEQKDMSTLDKDHLMNLVKDKSGNPICSEKTLRKFIATLEPKNTSEWDTLREEACRKGYNNRSETRINDAVDWESVLHAPLVEVARCIAGRGQHYLMACRIHAFLARIKKDHGSFDLDWLRFVPRESAKQYLLSVLGLGDKSVDCICLLSLGHKAFPVDVNVARIVTRLGWIKLQPLEGSAEFHSVNLYPIMRDVQRYLWPRLCTIDKEKLYELHCLMITFGKVVCTKVDPNCSACPFSGKCKYYRSTLGRLPLPPAEAHRHSSKEQTSIVISGRPHVSNGSCMPSLQQMCQHQIGISRTAEKQPTHNCDPIIEVPPSPEHGYEDTPNELEEPYEEDLCDLEDIVPEEVQYDAEIDLTSSKHMMNNHYWTPDYGKDLVLINPQCSFGQNKKLKNIGRLRTEHNAYVLPDDHLIIEGHFEERVPEDPNRYLLVVTSCPNDNIVKGTILIPCRTATGGNFPLNGTYFQEHEVFADHQSSHFPISVPRSCLWNLERCIVYFGSSIHSITKGQTRQDIQDCYKKGYICVRGFDRATRQPKRLCGTLHATNGEKKEGDENPAKRARTSPKVKDNGEASLAN >Et_1A_005291.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:38308071:38308133:-1 gene:Et_1A_005291 transcript:Et_1A_005291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADGFMDGIEMFLKAAVLC >Et_4A_032452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10206008:10207318:1 gene:Et_4A_032452 transcript:Et_4A_032452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPELAGKMTAKAVAAATAKPATRAYVTFLAGDGDYWKGVVGLAKGLRKVGSAYPLVVAVLPDVPESHRRILVSQGCVVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQDFLNMFFRDQYKPIPNVYNLVLAMLWRHPENVQLEKIKVVHYCAAGSKPWRFTGKEANMDREDIKMLVKKWWDIYNDETLDFKGLPDMPADEVEVAAKKPLRAALAEAGTVKYVTAPSAA >Et_1A_009360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40432075:40433498:1 gene:Et_1A_009360 transcript:Et_1A_009360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMDIPEEVTVKVAAKVVTVEGPRGKLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRRTMAAIRTAISHVQNLITGVTRGYRYKMRFVYAHFPINASITNANTAIEIRNFLGEKKVRKVDMLDGVTILRSEKVKDELILDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGPISDEQ >Et_1A_004728.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:3578763:3579194:1 gene:Et_1A_004728 transcript:Et_1A_004728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEICGRERPKSRSWAMRGVSVLSTLEQRRSGSLAIAAATSALARPISARKARTASGLSGLLRCSMCLDALKACAGVRPQRVTRKSAATKLEGVAAVVEKRRRWWREEAARTPESIAACGGRRRGRRVRVRQGRWADAAQSL >Et_10A_000845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18045421:18045749:1 gene:Et_10A_000845 transcript:Et_10A_000845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYVWQSTRRWGSAQDVMRLILKMEVVQQTRVIICSGLIVRMKGTKCVKAKREEGWPLN >Et_7B_055517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12957337:12959274:-1 gene:Et_7B_055517 transcript:Et_7B_055517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGDRSPSTLMKADLIDRWGDLCNEKQLPKLLRCKVANTDHSSKAKPMTFLHCTPYANQETYCKLSLREGSTRSGWHCVHHTLVVTNTSCLDATIPRRAASATASPTARSEP >Et_8A_057575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4692830:4693568:1 gene:Et_8A_057575 transcript:Et_8A_057575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSKMSSMFMVMAVVLLGAASTATASSGIATFYEQYTPSACYGNANMGNMVAAASDSFWNNGAVCGQCYRVRCTGAAYGGSGNPCTGRSVTVKIVDECASSDGCQSTIDLSKQAFALAPASTPARSRSHSTPRTCPAFLFRFYSYHVWMCDAKRVCSCVFAGTARNRMWELLERACRAQKV >Et_2A_015960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19702834:19703467:-1 gene:Et_2A_015960 transcript:Et_2A_015960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTTRRTIPLEVDGTDTVDDVKGKIQDKEGILQGHQRLIFAGRQLVGSRTLASYNIQKESTVHLLLRLRGGGRNSCFTITHRLVQTYNKKKLFSSLASTLMHTDTASYKEPASCQPLLT >Et_8B_060026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5094491:5096657:-1 gene:Et_8B_060026 transcript:Et_8B_060026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKQANNNTVSAHQHQHHHQHHQDLMSMAALSKEERKSRWQQQVAGGDRECNPRPQLVEALKCPRCKSSNTKFCYYNNYSVLQPRYFCKACRRYWTQGGSLRNIPVGGGRPKNKRSSSSSSSSSSSSSSTTPPSSSTGLPSILPTFKPTGDFELPRLSLPFTPPPPVSILAPAPMLTPVAAMPTTPSFMDIFGGGLFDNQSNDFYGPMTNSGDNEMDVLLPNDFGIMQQHGVIGDHHGGAPVGGATKGGQ >Et_4B_039339.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:10197065:10198969:1 gene:Et_4B_039339 transcript:Et_4B_039339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGGLMMDQSMVYTGVHNFVDLLQQNGGDKNLGFGQLMTQTSSGDQCVMGEGDLVDPPPDTFADAGDDDSDDDMEDIEELERRMWRDRMKLKRLKDLQQVRGKEQGPGGEGSSKPRQSQEQARRKKMSRAQDGILKYMLKMMEVCRAQGFVYGIIPEKGKPVSGASDNLRAWWKEKVRFDRNGPAAIAKYQADNAIPGAESELASGGASPHSLQELQDTTLGSLLSALMQHCDPPQRRYPLEKGVPPPWWPTGDEKWWPELGIPKEQGPPPYKKPHDLKKAWKVSVLTAVIKHMSPDIEEIRRLVRQSKCLQDKMTAKEISTWLAVVKQEEELYLKLHPGARPPSSAGGIASAISFNASSSEYDVDVNEDCKGEDSGNQKPAIQDPTAFNLGAAILNDKFLMPAPMKEETADVEFIQKRNAPAAEPELMLNNRVYTCNNGQCPHSDYGYGFLDRNARNSHQYTCKYNDPLPQSAESKPPPPPAQVFPATFNQPNQALNNLDFSLPMDGQRSIAELMNMYDNNFMNKNMPSDSVTIMERPNAIPQRIQMDDGFFGQGNGMFDDVNSMMQQPQQTPVEQQQQQQFFIRDNSQFGNQMGDITGNSEFRFGSSFNTSSAVEFPGAAQQKNDGNNWFY >Et_3A_022997.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:14873535:14873984:-1 gene:Et_3A_022997 transcript:Et_3A_022997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKRTRFSDYNLLQDRCVMASCGHLTCVDVHVQRPHQRRAGAPHILFCDDCRGGSVTTWTLDHISSAWVKRHEVKLSTVWKDDSYSSRHLPKEAPEFPVVHRLDDDIVYFSIMEGNEPNCREFGVNLRTRSVTSCSCSHKDVSFLPG >Et_7B_054518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22558920:22561749:1 gene:Et_7B_054518 transcript:Et_7B_054518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPEPFAFIDPSAAPDTSRRSQDPQELERCNDVIVWYLYTSLPDLPVCAAAVLSALAPAPGEEEGGVDRISQLPDPILGKIVSRLPVKDAARTAALSRRWRPVWRSPPLVLDDTNLRPRPMLGRVLTRADSPALTAAVSRVLAAHPGPFRAVYLVRSYMDAHQELLDLWVRMLVRKGVEELVLVNRPWPLDVPLPVTLLNIATLTRLFIGVWKFPDTSGLARGGAGFPNLRELILCCVDIKNRDMDFVLARSPALETLALQGIQELRLRLVGRRLRCVQIFFCVVGSITVVNAPCLDRIILWGSLPSSCIRLKGKRTNPSIKSSSVKILSFCVRLGVRSDAKMLPTFLRCFPNVETLHIRSEKSNEATSKVNLKFWQEAGPIESILSSIKVMSFHDFSGERNELAFLRFFLQNARVLQTVVIVTKCGSLLSTDELQSKVKSLEPDKWASQDCSLRVQIPVIPWTFEKDLISHSMILLCTTEVKAPKHTHTHKNAKAGARTHNNGAVYSLDWQIETVEYKGLLSAGDAHLGATNSMPGYRALDPHDRSATSRVIRSPAHDAASFRAERRHKPNLLTDYDVPALCVLLHA >Et_8A_057850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8344734:8345192:-1 gene:Et_8A_057850 transcript:Et_8A_057850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALREALFRRVFLVFALPINVQLRNAPGEPPYTGYITSPAACMSPCAWTTPKTLATYVPSPTSSGPAAATLSSGRVGFVGEGDAEAGEPGGEEPRMVLESLGLPENVAASPHTPP >Et_6A_046538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16700387:16706568:-1 gene:Et_6A_046538 transcript:Et_6A_046538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAALFRSASGLRRSPMAAAPFSTAAAASAAWLSDGPASTPPKVRLLIGGEFVESRADEHVDATQEVVSRIPLITADEFRAAIDAAKTAFPGWRSTPVTARQRVMLKYQELIRANMDKLAENITTEQGKTLKDAWGDVFRGLEVVEHACGMGTLQMGEYVSNVSNGIDTYSIREPLGMFPVAVTCGNTFVLKPSEKDPGAAMMLAELAMEAGLPKGVLNIVHGTHDIVNNICDDEDIKAVSFVGSNTAGMHIYSRASAAGKRVQCNMGAKNHAIILPDADKDATLNALIAAGFGAAGQRCMALSTAVFVGGSEKWEDELVKRARGLVVNSGTVNDADLGPVISRQAKDRICKLAQSGVDSGARILLDGRDIVVPNFEDGNFVGPTILADVKSDMECYKEEIFGPVLRLMKAENLDDAIQIVNRNKYGNGASIFTTSGVNARKFQTDIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVQFFTQIKTITQQWKEWPAQRVSLSMPTSQK >Et_1A_006582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24909543:24911507:1 gene:Et_1A_006582 transcript:Et_1A_006582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGDRVYRCLGAADAEEPAFWSLLVWYPASVPVRAESRVRHLRERAELLRAGGRAAPSSARPLLWSVRSRTRAGAAGVAVRGGPRDREWLPRPLGGDSPWSSGRWRQRPSSELQAGARAARVGLGLMGQHNVFVIIIVKNPVNSPHLTIHQHARKAMAAQQRRRHARASATGTLPSEILLEIAARCDDVATLFRCAAACKLLRREIASPDFVRRVCEMPGDMMPPRLLGFLDWGFSLVHPTTSPAAESFVENHLAPFVSRTAGDLLHRYEALTSRGGLVLLERREIYPNQDLERASDMCVYDPMTGDRTFLPLPPDIDRKNR >Et_1B_012217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:327674:333255:-1 gene:Et_1B_012217 transcript:Et_1B_012217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYRHRMGAAADFRRDLEDLVCDHLGGCLSPPSSSSSSCSALGGGGGAGHEPDEAESSAARRRRRESRLLSRWVARQAEEVLSSMEREVERRNREAELLALARLHPVSTLDPSSFLLSSPTTPPPRPQAPSPAAPSSLLQMWRELEHRRTDADQPFDREPSPDTADRERERVRQIARRLTDANGGATAAAAATGEWLGETERQRVRLVREERRGEAPRLRGRQARMDVITRMARERQRELQGISGYHIVSEFPRRSRNRIQGLLRGRFLRNRALPIEEERPPSMAARELGQLRQSHRMPASRSESVASSHDVSQSGASVAESVRLVDNDESQQGAEIRVFTGSEDPVRTMLENVGFQEVDTDHAEAHTPSITLDGTDEMQDSAAQGGNRQQDDTEHNSRFWQPSLEGRLDRWPNEIEEGAERNWGDNAEDLHSEPLEDDGREHGHLQEEHDDWNDDESHGTVENWQDDYQDSGLDTGPIPRTETRFIPPDDDNVYSMELRELLSRRSVSNLLTNGFGESLEQLIRSYVQRRGHGPLNWNLDSAMPPSNSANENQEQERNAQTRQFQGPVNRPALVIPPPPLPPRQPLWHRELRHNNWSSRHREWDAINDLRADMGRLQQGMSSMQRMLEACMDMQLELQRSVRQEVSAALNRFKGPEGLSMDVSDDGSKWNQVRKGTCCVCCDTQIDSLLYRCGHMCTCSKCANELVRSGGKCPLCRAPIVEVIRAYSVL >Et_2A_014510.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1795285:1797345:1 gene:Et_2A_014510 transcript:Et_2A_014510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARVSEFPARAWLVVGPHDPAPEPVVAPHRGDLLYGHSLRLGKEEVDEHRHDGEPRGKEEEDPGLHVAQHGQERLRDEDGEREADGHRDALPRGAHLEREDLAGNQPPERAPRPPEPGHVHGDEEDQHARRRLADAGAGVVPELGGHDGADEHLRRQHLRAAGEEERAAAEAVDGEHGREGRADADDARDHRRQQRRALAHADGAQQHRRVERDRVDPRHLLEERDRHGHRQLRTVLTPGEQHPQPVAGRRLLAGVGGDDVVEFVGDVDAGAADPPHQPRDVLPVASTLHEAVGRVREEERAEGEQRGGHGGERQRHAPPPPAGDLLGAEVDDVGGERAEPDAKLEPVAERAAVARGGHLRQVQRHGLVGEPEAHAEEHAAQDHHVDVERRAAHGRAGKERGASQAHGRAAAAAGGHARGQEGGQEARHVQRRGEGRQKLAVELAVVAHARVAPHPTVHVREELAQERLHGRHPACICHSRFQSITVKRRSYANFAGFYKEYQR >Et_3A_024707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23458612:23472651:-1 gene:Et_3A_024707 transcript:Et_3A_024707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLPLLLLLPVLLLVGGAAVAFPSSCSSGTCGNQTVQYPFWLNSSGSDCGYPGFGLACEDNHRLILNVHSHRYIVARINYDTRTLTVSDAEVDNYGEGCPRLYLNLTLDYASSWLQLTSSDSNITFLYNCNKNVSWFWSSARELSGCRGEYDSKRSYVLPDGATTGTEAFEFECEEVVVAPVLDVRKEEMVGAPDGSPPLITNGGVVMAGFELMYKTHSEQCDRCEKSQGWCGYQSNESASSGLRFTCFCDDGPTTDRCSTYSSPSLRITFFVVFFLIAHVPALHGNPLPSTYDGSICSESFRCGGVEIRYPFYLANATRTAPDYGPLYSCGYTDLKIFCQDETPLIQLGQFNYTVKDISYDNNTILLLDADAFSGGNSGCANVRHNVSFGADWLKYTGYDDYLTFFLGCDAVSPGLDKYRVDCPGLGNPLGGGPSFVFSSDQHDASGGHDLVDHCHDIVVVPVLSDFLAPVRNRSTLPGEFGGVMKEGFELAWNRTTTDQCYRCEQSGGRQCEASNGQCAYNGGGEFVACLCSGGRIDAGGNCNSGSNKTAIIAGVAAGGFALLLVAGVIAFFVIRKKKQKKVVNSSSKLLKYSGSGGTPYSRGGDTESGSIQDLQTHVFSYEELEEATDRFNDNRELGDGGFGTVYKGYLKDGRVVAVKRLYSNSYRRVEQFVNEAAILSRLRHPNLVMFYGCTSSSSRELLLVYEFVANGTVADHLHGDRAPARALSWPLRLNIAVESAAALTYLHAIEPPIVHRDVKTTNILLDADFHVKVADFGLSRLFPVDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRHRNEINLAGMAINKIQKCQLEELVDLELGYESDPATKKMMTMVAELAFRCLQQNGEMRPPIKEVLEVLKAIQGECRLEKDGDKDEDLAGAFSPNTVHATWESRATTPNTSRD >Et_5A_042942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7314506:7315502:1 gene:Et_5A_042942 transcript:Et_5A_042942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAAAASTFLGTRLADPAPQNGRIVARFGGFGFGKKPQQQQAPKKGAKKAPSPGSDRPLWFPGAVAPDYLDGSLVGDYGFDPWGLGKPAEYLQFDLDSLDQNLAKNLPGDVIGTRFETSDVKSTPFQPYSEVFGLQRFRECELIHGRWAMLATLGALSVEWLTGVTWQDAGKVELVDGSSYLGQPLPFSISTLIWIEVLVIGYIEFQRNAELDPERRIYPGGSFFDPLGLASDPEKKERLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIFDTFSSGSS >Et_3B_030500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4323854:4350372:1 gene:Et_3B_030500 transcript:Et_3B_030500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSAAPPEYPYTLELLRGTATSTEELDSIRGIAEAHFLHRGKLRNSQRFDRLQYPKGDEAFGEAIAQTERSSSMENQHDEQATGKTPEEKQLDEKELERKLKKDQKAKEKEEKRLKAKQKEAARLQEQVAAEDVHKKAEKKQRKKAVEDENSEYSIDPDTPSGEKKQLAPQMAKQYSPSAVEKSWYAWWESSGYFGADPTSPKPPFVMVLPPPNVTGALHIGHALTVAIGDAMIRWRRMSGYNALWVPGVDHAGTQVLVERKLMRESKLTSHDIGRENFISEVLKQKEQYSGTILNQLCRLGASLDWSREAFTMDEQRSNAVTEAFVRLHKEGLIYRDSRLVNWDCTLLASISDAEIDHIVLKEETMLSIPGYAAPVQFGVLISFAYPIEEGLGEIVVATARIETMLGDTAIAIHPEDKRYKHLHGKYAVHPFNGRKLKIICDAELVDSTFGSGAVKISPAHDPKDYELGKRHNLPFINIFSDDGKINSNGGAQFEGMPRFTARVCIIEALKAKGLYKGTQKEEMSLAICSRTHDVVEPMLKAPQWFVNCNTMAKAGLDAVRSKKIEIIPQQYEQDWYRWLENRRDWCVSRQLWWGHRIPAWYVTLEDDQEKTLGSDNDRWIVTSNESAAKLEAQEKYPGKKFHLHQDPDMLDAWFSSALFPLMVLGWPDDTADHRVFYPNSVLETGCDVLFFWVARMVMMGMQLGGDVPFQKVYLHPTVCDEHGRKMCKSVGNVIDPLEVINGMTLEGLLKHVEDGYLDPNELNIAKGRTKNYYDGITECGTDALRFTLISYTCQSDRINLDMKRVVSCRQWCNKLWNAVRFAIGKFGDNYSPPATVDVSLMPPICKWILSALNKAVVKTITSLEAYKFSHATSAIYSWWQYQLCDVFLEVIKPYFIKDPQEFESVRDASRDTLWICLETGLRLLHPFMPYITEELWQRLPQRIDSCRQDSIMISEYPSPVEEWTNDKLEKEFDIVLDVVNKMRSLKPQIKTNKRGPAFAVCRGQEITTTINCYQSLIVSLSSISVLKILTENDETPADCVSAVAKEKEEKRLKAIQKQKEAARLQEQVAVEDVHKNAEKKQRKKAVEDENPEDSIDPDTPSGQKKQLAPQMAKQYSPRYFGADPTSPKPPVVMALPPPNVTGALHIGHALTVDIGDAMIRGQRMSGYNALWVPGVDHAGIATQVLVERKLMREGNLTSHDIGRENFISEVLKWKEHYGGTILNQLHRLGASLDWSREAFTMDEQRSNAVTEAFVRLHKEGLIYRDSRLVNWDCTLLASISDAEIDHIVLKEETMLIIPGYAAPVQFGVLISFAYPIEEGLGEIVVATARIETMLGDTAIAIHPEDKRYKHLHGKYAIHPFNGRKLKIICDAELVESTFGSGAVKISPAHDPKDYELGKRHNLPFINVFSDDGKINSNGGAQFEGMPRFTARVCIIEALKAKGLYKGTTKKDMSLAISSRSNDIVEPMLKPPQWFLICNTMAKAGLDAIRSKKIEIIPRSTNKAGIDGLKIYVTGVFQGNFGGDTSYLHEDDQEKTLGSDKDRWIVTSNESAAKLEAQEKYPGKKFYLHQDPDVLDTWFSSALFSLMVLGWPDDTADHRAFYPTSVLETGCDVLFFWVARMVMMGMQLGGDVPFQKVYLHPIICDEHGRKMCKSVGNVIDPLEVINGMTLEGLLKHMEDGNLDPNELNIAKGRTKNYYDGITECGTAALRFTLISYTCQSDRINLDMKRVVSCPQWCNKLWNAVRFAIGKFGDNYSPPATVDVSLMPPICKWILSALNKAVVKTITSLEAYKFSHATSAIYSWWQYQLFTKPYFIKDPQEFASIRDASRDTLWICLETGLRLLHPFMPYITEELWQHLPQRIDSCRQDSIMISEYPSPVEEWTNDNLEKEMDIVLDVVNKIRSLKSPIRTNKRFILTENDETPADCVTAVINKDVTKMN >Et_3B_028633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17592952:17601642:1 gene:Et_3B_028633 transcript:Et_3B_028633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSAASPVSHSSSVSSPVSYWCVHVDTILDKENFTLEELLDEDEIIQECKALNSRLINFLRDKAQVEQLLRYVVEEVPEDAEKKRSFKFPFIACEIFTCEIDVILRTLVEDEELMDLLFSFVKPDHPHSTLLSGYFSKVVICLMLRKTAQLMSYVQGHPEIVVQLVDLIGITSVMEVLIRLIGADETIYSNYGDTLQWLENTDVLEMIADKFSSSDSPEVHANAAEILCAVTRCAPPSLAAKICSPSFVGRLFHHALEESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGALVTASPETVDGMLESLGNLLKLLDTSAAENVLPTTYGYLRPPLGKHRLKIVEFISVLLTIGSETAEKELIRQSAIKRSIDLFFEYPYNNFLHHHVENIIISCLEGKRVELVEHVLNECDIVGKILVAERDSSLSTESNGPTVPSEGKTPRIGNVGHMTRIANKIIQLANSNSTIQTHLQENSQWVEWQVNVLVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDIEEAQGSLERDDEDVYFDDESAEVVISSLRLGDDQDGSLFTNSNWFTFDGERGINDRLAASVPSSSPNSEETSLETEETDDGKTIGTEDQMETIYLGNGAIQEAKDVAECNEQPNCSTEDEPLEATEEMERHPDAANGDTGVGTNESASAAPESSPPSVEAESTTEEPTGSPDSVPEASPDSVPEASPDPVPEALPGPDVNGSESANTEASSEQVTHDTDVQQAAKEITAEDVAATDPEVIKGNE >Et_9A_062362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22156350:22157471:-1 gene:Et_9A_062362 transcript:Et_9A_062362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFARKGLIPAFTCCVILLLVTPTQGDSSISEDPEQSSKIAQPLELTPKLSSQLKLHAFLLWSSVGFLMPAGVLLIRVSHVVKSARSVKILFYCHVASQIVAVVLATAGAVLSISNFENAFNNAHQKIGLALYGFIWLQPLIGFIRPDRGVKTRSAWYLAHWLLGLAICVVGIANVYIGLHTYQERTGRRARLWTLLLTVQVAAMAFVYLFQDRWSYVVRQEEAALADERSEGSSVMYPANDHKEVVVVP >Et_1B_013900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2326856:2328178:1 gene:Et_1B_013900 transcript:Et_1B_013900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMLLPSRAVTGRPLLPATRLRRFGVVARPSAASSGNVEVVDATAASSASTSGDGKQEWRVGARGLELDLSGEMRRGMMWRMVAAPAAAVAADAAFLRLLDRAAPAVDVPAWAGAAGSAALFAVGLLGAHYGFLSSRWDPVEKGSLVGWDLAVRHWKMKSISSRPKSNISLSDFQKSA >Et_1B_011368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21125286:21125632:1 gene:Et_1B_011368 transcript:Et_1B_011368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGKYSSPKERMRSAAREARRSVGAAHRADNDGGHTLSISVPENGTPIAVGLGRPGRFSRGSSARRKPPRRLTEAVLAASGAEVVIVEWMERMVPWWCATPAFR >Et_5A_042201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7301421:7306083:-1 gene:Et_5A_042201 transcript:Et_5A_042201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSEERNAHAGGESGGGKLWNLCRMPFRQAGGAPAPQSSSSGIHHSAGRYGHDAPPVAGDGAGGAQGAQAGAISSVAKALLPARRRLRLDPANKLYFPYEPGKQVKSAIRIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGETIIATVFKFVEHPENNENVLQKCKVKFKILSLKVKGPMEYAPELFDEQKDQAVVEKILRVVFLDIENPSPQLEKLNNQLAEAEAALEARKKPPEENGPKIVGEGLVIDEWKERRERYLAQQQVEVVDSVLVQSCARVCLATTARVDVDNPKREEY >Et_4B_036999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13297524:13298987:1 gene:Et_4B_036999 transcript:Et_4B_036999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYSSRQPCAACSTRAMAGAVVGEPVSPGQRVTVLAIDGGGIRGLIPGTILAFLEARLQDLDGPEARLADYFDCVAGTSTGGLVTAMITAPGEDKRPLFAAKDINRFYLENGPRIFPQTRSWLAAALSALRQPRYNGKYLREKIRSILGETRLCDTLTNVVIPTFDVRLLQPIIFSTYDAKCVPLKNALLSDVCISTSAAPTYLPAHYFQTGDAASGKEREYNLIDGGVAANNPTMVAMTQITKTMVAREKAEELYPVQPANCGRFLVLSIGTGSASEQGLFTARQCSRWGVLRWIRNKGMAPIIDIFMAASSDLVDIHTSVMFQSLHSDAGYLRIQDASLRGAAATVDAATPENMRDLVAVGERMLAQRVSRVNVETGRNEPVPGAGTNADALAGFARQLSDERRTRLARRDAAACTRGSSGCKLPSKI >Et_10B_003498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2091828:2100720:-1 gene:Et_10B_003498 transcript:Et_10B_003498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLLVFSVVTVFLCTTAAGQGDKKLPLPFAPTCSTTGNYTAGSPYQRNLADLLARMPAAAGDNGWFFNGTAGEGTDTVYGLIMCYADRNATQCADCLAGAPAGITSVCPGSRNVSAAYDACLLRYSDAPFFSVADPSEAFFVYALSSPDDPAALDAARLGLMNQLAKTAADSLLLLANGSAPYVGKNGKAEEVYGLAQCTRDLTAGQCTWCLTTYIPKLQQVFTNFTGGVIKGYSCYVRYESGAFEVTLPPKVASSTPPSSLPAPPSASVSTGLVVGLSAGGSAAFLVVLGSAVWLLLRRRRRRRRARARQTAAAGREMEEGDDFFDGEAEMEEDEFEKGTGPKRFRYGELAAATDNFSDERKLGEGGFGAVYRGILKETNNNLAVAIKRVSKGSKQGRKEYAAEVRIISRLRHRNLVQLIGWCHGGSELLLVYELMPNGSLDAHLHVPGAPPLPWPRRHEIVLGIASAILYLHQEWEQCVVHRDIKPSNVMLDAAFNAKLGDFGLARLVDHGRGAHTTVLAGTMGYMDPECMVTGRANAESDVYSFGVVLLEIACGRRPMVPLAPHGEEDVVHLVQWVWESYYGRGALLDAADARLDGEFDATEMETVMVVGLWCAHPDRSLRPSIRQAVNVLRSEAPLPSLPPRMPVATFMPPPDAFYYTSSVATGGTSSTGTGTTHGTVAPSTMHTSAMIIIYLLRHGHERNSEEQWRRRVAGGHGLTPSPSHHRHVALPQGGWSERQPPADNYTADSKFKKNLDQLLATLPEAAGNNGWFYNGTAGASDDQVYGLIMCYADRNAKECTDCLRGAPAGITTVCFGSRNVSAAYDACMLHYSDRPFFSMADPREAFYVVSWPGAAVEGSALNDARSSLMDQLAKKAPNSPLLLEKGRGLYNGAPEAMQGLTTAERELEEGDDFLDNELEMEDEFEKGTGPKRFGYAELAVATNNFSDECKLGEGGFGSVYRGFLNEPRLAVAIKRVSKASKQGRKEYASEVRIISRLRHRNLVQLIGWCHGGGELLLVYELMPNGSLDAHLHHSSHNNDGAQPPLPWPRRHEIVLGIASAILYLHQEWEQCVVHRDIKPSNVMLDAAFNAKLGDFGLARFVDHGRGSHTTVLAGTIGYMDPECMITGRANSESDVYSFGVWVWEFYGRGAILDAADGRLNEEFDASEMETVMIVGLWCAHPDRSLRPSIRQAVNVLRSEAPLPSLPPRMPVATFIPPPDAFYYTSSVATSRTSSTGTGTAKSSTKETTTLLR >Et_6B_048837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14357583:14358946:-1 gene:Et_6B_048837 transcript:Et_6B_048837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARAAASASNHLNQLRAHLLRRGHPFPPAPHPEPDRAYLSALRSAASPRLALAACAWLRRAGLSPPGRRALPALLRAAARCDADADAFVGCAHGLAVRVGVQEDGFVGTALVGAYVARGRVGDARRVFDGMVVRDIVAWGVMLDGYCQTQHYEEALLLFDEMKRSGVVPDQLILASVVSVCGHTRHLRTGKAIHSYMLVSDVSINAHLNSALINLC >Et_3B_027745.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16914589:16915575:-1 gene:Et_3B_027745 transcript:Et_3B_027745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPVEVALTKAGPPRRGLGWWRRDDEYIYDGDVGVLRIGRDLAVVDTTLAESDDVTKRWLAEASAESRGRRLRAALVVGLVALRGHDPATTDRFWWSSDAGYKPNDPANPIRCVALCLGGSRALVYRPDAYGRPERDAGSRLKFQGGNSMKQLRAFLDDKRVTVACFGAREAAKKLAREWGLHVAAPAEVTDLFVRAYGKAAGLNDGKAKAKVPEKYWMGKAALARARAKAERDEYDSDDYEEEDRGTDPWKRAEKVVSGLSLERMARVAIGPEMRLARRPAKVADADWGAHRDISQDEWAYATRDAFLCFEIAARCLQKLGIPTGA >Et_4B_037092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14664548:14668193:1 gene:Et_4B_037092 transcript:Et_4B_037092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGQKLGTRKESPAEVTMREYEKASALASTLNNSAGQSKSKGSAPEASGSLYEPEDTEDIGQGIVDKAIMEAIVAEPAPEGQAPKSPTEAFSHVLPSKFLQNVGLETAAPKKSSRAAVAARIRELEAELDAKKQVTAELRDKVENLMKQVDDSEAARIKQLEELERLTKTLEGTDALLDGLLNLSKD >Et_5A_042667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2537604:2540552:-1 gene:Et_5A_042667 transcript:Et_5A_042667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRALLRSAEISPDRKAAVEYLHSLSRAQHARSFNGAGLCSASRSFSTQAATTSSTPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWHRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLEKARQEAYAAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELIERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVTSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTPWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLAASA >Et_1B_014262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4610493:4612532:-1 gene:Et_1B_014262 transcript:Et_1B_014262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVEAMSCSSKDISAAPEESAAKNNAAPAHCHEDEEDDDDEEKVPKVIDLGPRVSIKDQLEKDKDDESLRRWKEQLLGSVDLNSVGETLEPDVKIMSLSILSPGRPDIFLPLPVEPNAKGVWFTLKEGSPYRLKFTFSVSNNIVSGLRYTNTVWKTGLKVDKNKEMLGTFSPQLEPYTYLTPEDTTPSGMFARGSYSARTKFLDDDRKCYLEINYTFDIRREWPSTS >Et_7B_055831.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5561148:5562275:1 gene:Et_7B_055831 transcript:Et_7B_055831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSLPSSPAPPSGGRDIGATSPYSASSSFLPSFMIIAALLAFVFLASVAIHLLLRFIADRSSSSQPQPPLPRTTHRDEVHSAGTEEVHSAARPAAPEGKKEEGPGDEKQRLIDSLPLFTMASALAALPKSSPDCAVCLSPFTPDAELRLLPACRHAFHAACVDAWLRTTPSCPLCRAAVALPHPSLSAMLAAAQHPPPAPAPSATAPRPRARSFVVEIGTVSNRGSSPLAGGNSRNSRTYSLGSFDYQIDEEVEAVVSRVARATVREAAAIKEEKPPAVQEEDAPSPPGETVAEAAGSSRGWLREYVDRLASTAYTFSGRWSSRWSQSHQTQTTRQEEPWLWDAEAAEMSAAPGPDEEETAFMVMYRWIAGV >Et_5B_045632.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5830309:5830662:-1 gene:Et_5B_045632 transcript:Et_5B_045632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVHQASMPAASTTAAQMAYDDEFVPQGFTCFGRSLSRSSSSSRLEYRELQGEERRAAQEVRSARAKLRWKAVAHELMAKGGAGAARRRKQQQLAAFSYDSRSYALNFDDQGADE >Et_1A_008861.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:16352606:16353625:1 gene:Et_1A_008861 transcript:Et_1A_008861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNLEAMKPDPQLFDPPAISHLSMGQQMDAPHKGRQMDGVHVGLVSSTDKALVLLYAGDYRPGSSSNPLSGCYLVYDASDNSVSAIPQLPDRHSFVGLGRGAAILSISKGDYMVAELVKANSGFPNAVLFLWRSCSMRQDGQWIRREVRLPKVFSRNYFFQIDMAFTYADSMVCWVDLLKGILVCNLEEPTKPEFTFVQLPRGCSIDVPPMRRPRTEEFRTMASVDGAIKFATLHGYNERLPCEQVKLQTWTLSNDLRHWGSCIDLLVSDLWSCFVQRNLPMVTPSFPVLGMDKPAVVYLVLNEIDRVDDLDIFGYVCGVNNICKAQYVIGLDTVNQE >Et_7A_052966.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:3542216:3542875:-1 gene:Et_7A_052966 transcript:Et_7A_052966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTTTNATRPTTGYFAGRIRPVQAERPHLTRCTKLLCSAFLTVLFVVGVVIFVVYLAVRPHRPRFHVTALTAAGVASGGPVTLSGQLAVRNPNHDVAFFFDRLYMSVVYRDAEVVKDQDLTGGPAYLGPKTTTAVPFQGVAVEVGAGAGEGMARDAAAGGGAVAMTVKVRSRIRARLAFWGNRHWHPLHVSCDVAVGPDGQLLPEYPQKRCSIDFF >Et_4B_038905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5867961:5869258:-1 gene:Et_4B_038905 transcript:Et_4B_038905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEDVSHLSDARLALGLSLGGGGGGGASEAARHHSPSGRASPCPLEPSLTLSMPDEATATGSGGGAHSVSSLSVGGVKRERVEEAETTERASSTAAAARGEDDDDGSTRKKLRLTKEQSALLEDRFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQRELQELRALKLAPPPSATPPAAAPAPPFYMQLPAATLTICPSCDRLGGPAAAAKADPDRPTKAGSAAAVRTTHHFFNPFTHSAAC >Et_8A_056089.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:18536996:18537306:-1 gene:Et_8A_056089 transcript:Et_8A_056089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLETTARVDLVKRTSILSFIKELLMASCWIIWTHRNGIIFDGKNVSYHGWRQELKEELILTLIKVKSTLKEPLQNWIDTF >Et_5A_041437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22751493:22758413:-1 gene:Et_5A_041437 transcript:Et_5A_041437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLHSDIASSHWDMLRKLQPSYRAITVVQLQDSASTSFWDDVWLPNAVLRTPFRPCTATASTLKSQFMLWYRKACRAAEEELIDIKGIIHASSLCCWQLWKHRNDVVFRSCEPSLPCLLLNCKVEAALWRCRLPRHDASVADALCPSFHLM >Et_7A_053119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7081154:7088117:-1 gene:Et_7A_053119 transcript:Et_7A_053119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGKTSGSDLTPEQPPDLDVQAAKQQKKTNSSSTEAAISCKTNVHQFTPDEQTVHRLRLGKLKNEGSIEDRAYGEWWNPTSSSFRFFGGGLESEARGGALCGGACCCIGSVVVVDSDGGGREAVVVVAGAVIAAAWSDRGKGMSVGLDCRLGWKRSRSDEMVWFGKPGGTAFHDGFPWAAGQGTAHAAAHRGALRSPSITVSLTSEPNILGPTWHRHEAAAAATNERWPPVSRCGIVYPDGGSSPPSKGSAGDKSPVARASSTPSSSRCSPLLLPARLLTQSLGKAISMKRARLRPHATDIKRNTQSHELYKLVYRLPENLSWLLAQPEIAKRPSSKKKKQKEETVTVNRFGVILEWEGVVVEDDDPDLEPRVWYVLSLEEAKSFPPDAVLKEIEGMRTDQAISEVLCWSEDPVEIQRLAARKEVIYQTFRGGYYQLRPGVLDFLNTLVDFDIPIAIATPRTRTSLEEGIRTVGLPGYFDVIVALEDFCQGKPDGEMFEVAAEQLDLEPDSCLVFGNSNLTTESAYNAGMRCVAVASRHPAYELQAANHVVRWLDQLSIVDLQRLANGEAIGGRGRESDMGMEIVLIQYGYDGSANLHNYNTLSTVPLMVGYSSPKSFPDHGRRNGG >Et_2A_017631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4280537:4286584:-1 gene:Et_2A_017631 transcript:Et_2A_017631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQTHQRYRLHWDGSLELSHSPPSLAPTGGRGLPAIEPQLPPALHARSLRSTMPLMTVASPHFTSSSTRHLRRTTTTTAAASSSSDDFDYPLADPSVRWPNLRFPHLPAPRFPATVTTAAPPAPVRPPPGEEDEGSAEATASTSAIAAVVEPLDARAHRSRVKKLSKLALRRARDWRARVAGMADAVLALPPGAPVDDVLEDARAAPDEAALVVRAVGERSWRRALDAFEWLARSGAPAPRAVAVVLGVLGRARQDAVAEEVFVRFAGEGATVQVFNAMMGVYARSGRFDDVRQLLDAMRDRGIEPDLVSFNTLINAMAKSGCSAAGAALDLLLEVREAGLRPDVITYNTLISACSQSSNLDDAVAVFEEMVASECRPDLWTYNAMLSVHGRCGKVQEAGRLFKELVEKGFQPDAVTYNSLLYAYAKEGDAENVEHVCEELVKAGFSKNEITYNTMIHMYGKMGRLDLAIGLYDEMRAMGCTPDAVTYTVLIDSLGKMDRIAEAGKVLEEMVGAGLKPTLVTFSALICAYAKGGRQDEAEETFDQMVASGVKPDRLAYLVMLDIFARSGETKKLMDLYRTMMKNSYRPDNGLYQVLLTALAKGDESEEIEEVIQDMELVCQMDPQIISTILMKAGCISQGTKLLKKACIQGYEPDAKSLLDITDAYITMERHEEGLSFLDDIREHIPNSHDVVSKCSIMLLCKEQSIAAFQEYSKIQMLKYGFFGHESNLYEYLITCLEEAGFYPEVSQVFSDMQLIGIKASRKVYDSVISAYCKLGFPETAHVLMDDALQSGISLNVLSSRLNIIEAYGKIKLWQKAESLVKGLRKVSGIDRRIWNALIFAYAESGLYEQARAVFDNMMKTGPPPTVESVNGMMRALIVDGRLDELYVVVQELQDMDFKISKSTVLLMLDAFAKAGDVFEVMKIYNGMKAAGYLPSMHLYRSMISLLCRHNRFRDAELMVAEMEGAGLKPDIVILNALLTMYTVAGNFDKTEQVYHSILESGLEPDEDTYNTLIVMYCRSFRPEEGFTLLNEMGKRGITPKLQSYKSLLAASGKAKLAEKADQLFQEMLSTDCQLNRSIYHMMMKIYRNAGNHSKAEHLLAVMKENGIEPTIATMHILMTSYGSAGHPHEAESVLNSLKSSNMEVSTLPYSTVLDAYLKNGDYNLGITKLLEMKSDGVEPDHQVWTCFIRAASLCEQTDDAILLLNSLQDCGFDLPLRLLTKRTPSLLTEVENFLEELRVLEDSAALNFVNALEDLLWAFERRATASWIFQLAVKRSIYHDNIFRVEEKDWGADFRKLSAGAALVGLTLWLDHMQDASLQGSPEPPKSIVLVTGEGEYNGVSLRKTIRAYLLEMGSPFLPSKTRSGRFVVKSYSLKMWLKDSPFCMDLELKDAPALPKLNTMKLTEGYFMRAGLVPAFKDIHEKLGEVWPKKFSRLALLSEESRNEVIKADKQGRKEKLERMKKKGLVMPRKSKKGPRRAKFVRKQSTQAR >Et_6B_049002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16495172:16498604:-1 gene:Et_6B_049002 transcript:Et_6B_049002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRYAICPSEPRFDPLGAPVVVVVVVVGLISLWVFGGRRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHLGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESATQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTLQEAETIALSILKQVMEEKVTPNNVDIAKVAPNYHLYTPSEVEAVIARL >Et_3A_023984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15631101:15632173:-1 gene:Et_3A_023984 transcript:Et_3A_023984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFQLLGQEVAVHGRNNSSEAAQGCNIIKTRDVSLTVHDLMMLENQIPFFVVEKVYELIHADAADDDAVEVDGSVVPVARLAWGTIGAIMADVPPALDDGVDNCKHLVHLCHAYLKPSSRAANATYAGEYGRFRRATEYYEAGVRFRRWSADDGSRRPLLDVKFSDGALRMALQSVDEKTGYILRNVLAYEQKYYRDAGESYVTAYVVFMSQLLSGPEDVALLSRRGVMEHLLGNDAEVCALFRGLADGLVFDPVGEHYLNPVGVALQGHCRPRHHRWRAWIMRHRFSNPWLVAAWVFGASAVLGTIVQTVYTVLSYYHSTT >Et_1A_008641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9400007:9412157:1 gene:Et_1A_008641 transcript:Et_1A_008641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPLALAIASPLPIHSAPAAAIAAVLDAAGERYLRPRRRKSLAAAAEPTGAAATVIKVSAPRRPPPRNAGNSKPSLSYVMKGPTWRPMRPRSSSCASVRPHCQGAGEGIVPVRAHRMLHLGASDDHGRASRSHGRDASERTGQGNGKGARAARLQQPLLRLRVRAKLAVALAACAHSNSTFASQEQQQQQSERLRKQTLQWVHGTAIMFVECLRLLMEEKMSELSMHHHLRKCAVVPDLDGVTSFFGAIELSTDHKTFEQGERKRIKKAGGQVWMDKIVILGAEMPLLGIQAIHGALATSRVFGDFAFKKNKNLLIAEQMAISDPHLRSLEITDDIEFLVMASHGIWECMTSQDVVNFVHEEFESGETDLRIICERLIDGSMSSDGNTTVILLQFKDRTYTAAEGEGSTDQDTASESSDQPLPDAEMVERALAFLKGEQIRAVVPDLDELTSFFAVYDGHGGPEVALLCAKQFHTELRNHPDYQNNFNNALRSVFFRMDELLLQSDEWKELIKPRGDWIQFLKTGVCANHWPFTQETPYVAPQGVGSTACVVVIRGNQIILGNVGDSRCVLSVNGQAIQLSTDHKPHKKIERERIHRAGGRVTREKTPILTRGQFQGYRLGIHRINGVLAVSRVIGDFEFKQNKELPPQEQQATCNPELILVDITDQMEFLVIASDGIWDCLTTKGVGITDLRFICERLVDRALPSGDNGTAILVQFKNATRVPPLTESDNDGGGGQGQAAGNRAGGSLETVEEDEDKDEEEDDGDDANRAAVVKEEEEQQRDEASSSEKRPLLYIAGSSSDEISEEL >Et_1A_007821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38558059:38562290:-1 gene:Et_1A_007821 transcript:Et_1A_007821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCARAEDAVSPAADDMAASPAGGLIQKAGAGGGGGSGRRSGGLGRALQRTAHLTTGESEAPAASCSGDGKGIGSGRRDESNGARMRQYRSQLEQEVKKLQRQLEEEIDLHVALADAVTQNASSILKSSMKLPHKAQELLTNIASLESTVSKLENEFNDLYYQLCHERNERLLAENNSGCLPSTSSDDHQSLSTCTCTWEEHISSLRDLKYGGSESMRTTRQDLFPELENDQDMGEDPEDRQIVSLNRLLEKHRDTSLNRLLEKHRDEEMQESCSMEKEDKEDDKIDALSFEQSILKITSMKGANLWHNPNQLSEEMVRCMRNIFLRLSESSKVSPKASSDCSSSSAERLSGSTLASFSDSSVIPTMLRSPSDSNHNDETMKEVRNFDPYKINGKEIRRDIGNYRSAAEVSWMSVGKDQLEYASEALKKFRFLVEQLSKVNPSCMNCDQRLAFWINLYNALIMHAYLAYGVPRNDIKLFSLMQKACYTVGGQSFSAAEIEFVILKMKTPVHRPQLSLMLALNKFKITEEHKKYSIDEIEPLVLFGLSCGMFSSPAVRIFSAANVRQELQESLRDYIQATVSTNDRGKLLIPKLVQSYAKGTVEDSMLVDWICHHLTPNQAAVIRDSSSQRKQRLLGVRSFSILAFESKFRYLFFPDSSNSQKPETKQTS >Et_3A_027176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3978763:3982329:1 gene:Et_3A_027176 transcript:Et_3A_027176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTASRLAGRVTPTDARMVKTGFDLVTYRLNLHLNHLISSGRLAQARELFDQMPQQNAFSLNRMICGYSRFGNLPAAEALFRAAPHRDAVTWTVMMRALTEYGRGPDAVSLFRDMLREGVAPDYVAVNTVLNVPECGVATLHPFVAKLGLGTNVVVCNTLLDAYCKHGLLAAGRRVFLEMPKRDSVTYNAMIMGCSRGGLHWEALELFAEMRRAGLGASQFTFSSMLTVATGMADLQLGRQIHGLVARATSAHNVFVNNSLLDFYSKCDSLGDLKQLFEEMLERDNVSYNVMIAAYAWNRCASTVLKLFREMQILGFDRWALPYASLLSVAGSLPDIQIGKQIHAQLVLLGLASEDLVGNALIDMYSRCGMLDAAKANFANKSEKTIISWTQLITGYVQNGQHEDALQLFCDMRTAGLSPDRATCSSIMKASSSLAMIGLGRQIHSYLIKSGHMSSVFSGSALLDMYGKCGCLDEALRTFDEMPEKNCITWNAVISAYAQYGQAKNAIRMFESMLHCGLNPDPVTFLSVLGACSHNGLAEDCMKYFDLMKHHYCISPWKEHYSCVIDTLGRAGCFDKVQKVMGEMPFEDDPIIWSSILHSCKIHGNQDLATVAAEKLFSLAPTDATTYVILSNIYAKAGQWEDAARVKKIMRDRGVRKESGNSWVEIKQKIYSFSSNDHTNPKIAEIKEELGRLYKEMEKLGYEPDTSCALHLVDDELKLESLKYHSERLAIGFALMNTPPGTPIRVMKNLTACLDCHAAIKMISKIVNRDIIVLVLV >Et_3A_023065.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:23415558:23416727:-1 gene:Et_3A_023065 transcript:Et_3A_023065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAAVENDRHRVAKQSSAPSSSPAPAPLPSSRTRLHGFSFPTLSWGTHRLLRCSKNGTASETPTAAQETPSPDKEKPHRPEGGGGSLKRQRAPQRPWNLRTRRSVTAAPPRPAGSEEADEEAVERVPVPKTESKKRGFSIVLSKHEIAEDFAAIRGTRPPRRPKKRPRAVQRQVDVRIPASVFRILFYLLVLSTLVEFHANLLFLESQMLYPGLCLADVTPGSYKIEEVRARSIWGCVCSVFCWFDSEFLKLRLFSCRGDRCFGGALNWRGFRISDLFSFLHLTSLSHDCSVLGGLEEVEVGFPVIMYCGVVGEEFSFCSLISGLVGLSTVQRVMF >Et_6A_047648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9067321:9068830:1 gene:Et_6A_047648 transcript:Et_6A_047648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AYDKVKLEIMGRLKWIPSTKNLEAFPVLVRVTAPRQCTEFSRLDLVAVLSISRTMMLLENRMHSMKEAMMFVIDNLGSDDRLSVVSFNDETQRLTELSVMTDVNRERARREVRMLVAGGGSKWSPALNEAAKRGPEERSNRVGRIILLADHEECFSGQIYISTEFPVDTFGLGIEHDLNGLRSIAKRTGGLYSYVYQDTEKIKHALAQSLGGLMSVTALDVKVNLQTLDGVTILYRQPHWSQGHVIDQQSAIIQLPVLYAGEKKNFIMHLTVPEGEQNRLMTVSGSYWNPKINREARIQLDDTELAVLRSKRANPSDRTVCPHVAIEIVRWRLMDILQVVMSEGRLNLKDSWDDLMDSEDGKFAPQSVVRAFNKDLAEIQRGDGESLMYFFSWLSFHMLQRATTKESPSKSSAFRVKAMEEMIEKADAEPKRSAEPKRL >Et_3B_029255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23432995:23438754:-1 gene:Et_3B_029255 transcript:Et_3B_029255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPASTVGGKPAMTIHGLFRFADRVDVMLMVLGTLGAIGDGCSTNLLLIFASDVMNALGYGRAGAGSAQFMHEVEKSCMNFVYLAFAVLAVASMEGYCWSRTSERQVLRIRYLYLQAILRQEVGFFDSQEATTSEIINSISKDASLIQEVLSEKVPLFLMHSTVFVSGLAFATYFSWRLALVSFPLVLLLIIPGLIYGKYLLYLSRQSRHEYGKANSLVEQALGSIKTIYSFTAEKRIIQKYTAILDKTIKLGIKQGIAKGLAVGFTGLSFAIWAFLAWYGGRLVMYHHESGGRIYAAGISFVLGGLSLGMALPELKHFTEASVAATRILERINREPQINADDPKGLVLDQIRGELEFESVRFVYPSRPNMPVLKDFNLQIPAGQTIALVGSSGSGKSTAIALVQRFYDASEGTVKVDGFDIKQLQLKWIRSKMGLVSQDHALFGTSIKENILFGKPDATMEEMYAAAMTANAHNFIRGLPEEYETKIGERGALLSGGQKQRIAIARAVIKNPAILLLDEATSALDSESEKLVQHALDQASMGRTTLVVAHKLSTVKNADQIAVVDGGTIAEIGTHDELINKGGPYSRLVKLQKMVSYIDQESEQFRASSAARTSASRHSVSRGSPMPLTPAFLKENDSNVPPPAPSFSRLLAMNAPEWRQAIVGSLSALVYGSLQPIYAITIGGMIAAFFVQDHNEMNAIIRRYALIFCSLSMVSIVVNLLQHYNFAYMGEHLVRRIRVQVLQLFECAQEEPLKKARKKSWVAGITTGLSPCLSFLTWALDFWYGGKLAQSGEISAGDVFKTFFVLVSTGKLIADAGSMTSDLAKGANAVASVFEVLDRKSVSPQNSQVEKDYQKKKIQGRIEFKKVDFAYPTRPECLILQDFSLDVKAGTSVGLVGRSGCGKSTIIGLIQRFYDVDRGAVRIDGMDVREMNTLWFRGFTALVSQEPAIFSGSVRDNIAFGKPEADEDEIVEAAKAANAHEFISSLKDGYDTDCGEHGTQLSGGQKQRIAIARAIIRNPAILLLDEATSALDAQSEQVVQEALDRIMSGRTTIVVAHRLNTIKNVDSIAFLGEGKVVERGTYSQLMNKKGAFYNLANLQK >Et_4B_037464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2017131:2022032:-1 gene:Et_4B_037464 transcript:Et_4B_037464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEQQQRGISSTSTGSGRLVTPFWKDKYERDARRYWDIFYKRHEDKFFKDRHYLDKEWGKYFEGQDREKMVVLEVGCGAGNTVFPLLSTYPNIFVHACDFSPRAVDLVKKHKDFVPGRINAFVCDITSEELTESVEPSSVDIVTMIFMLSAVAPDKMPWVLQNVRNILKDGGRVLFRDYAFGDLAQERLMSKGQQISENFYVRGDGTRAYYFSNEYLVDLFSNCGFTLEEICVHNKQVENRSLDLVMNRNWIQATFSTATLQVPNGQHDLRACERKEDKLAADTFKKSSSEEIDLSEDLCNMFVTSHNLDEAQTIEIKAKGHDFKIKVLTKEHQHTCKLTGLMLWESAQFMCSLLAENPSIVAGKRVLELGCGSAGICSMVAASFAQFVVATDGDVESLDLLRQNISCNLEPDLVNKITIRKLFWGNKDDAVAVRDLSGNDAGFNCIIGTDVTYNPDAILPLFKTARELISDKANEDSEAGLILCYIQRRVDEDSILSNAMAHGFKLVDKWINGVHESNGIISSWFYGNDVCSVFRSSTLSILYFQV >Et_1B_013917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23881223:23882271:-1 gene:Et_1B_013917 transcript:Et_1B_013917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPFVVLSCVVAGSGGLLFGYDLGISGGVTSMNSFLKRFFPEVYRHKQDSKLSHYCQFNSELLTLFTSSLYIAGLVATLIASYVTRR >Et_5B_045472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2655084:2655666:1 gene:Et_5B_045472 transcript:Et_5B_045472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLMTQLRELIVMGAKNCDMGQMQGRLPNIRKLRITMSRIHYGNSSSENDLFSRMEKMELLEFSGNIITDGTGSLSMAANSSSSLETLIIDKVSFRGCTKLKNVLLRGYNLKNLNSLDMSGSAVKTLDLSKLMAEKLHELILLDCHKLRAILWPPQDKRKEYVHTLRIGTTEGGVALVLQQDHRH >Et_5A_042202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7363938:7368790:-1 gene:Et_5A_042202 transcript:Et_5A_042202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRGRGKGRGRGKPRAKAAEPEPVEEAVDAEVEDAKPEEEAAGSVHGKEEESESESDSEREVDADAKVADPAAKAEETDGESEEDIAETDGESEEEAARTGGENEDAEADSDTEGDESPPPPPPSRGRRRKRAATPEPDPEDDEAEEEPPVQPSRRRRRKSGVRGDSPPPLPDYLRCRRSDGKKWRCSGRALPTVSFCEYHYARANKGKKTTPADGEVLAVALQRQKNKRKGRRVPNPPASPLPSTTRDLPNGLMTISPGSGGPAAESPLSTKVGVDIPAPIRRCYRSKNAEPMPVGPVKVVPRTMTMTKAAQRTCHRCGLKKAARVSNCKTCDKNFCNSCINKWYSEMSRKDIKERCPVCRGRCNCKNCTLGQTKGAMSKDSRSGQGNILRIKISNHQFYKLLPVRLHQEQLDELELEAKIQGTKISNIRVQVAESGQSESLHCNNCKQFARQFLRSCPTCPYKLCLSCCQKIREGNMLESNPEDKFAHRLLQQESVHEDGSITCPSIELGGCGDALLNLIYASSSSQSEEVSSGDELDAPGNHSGVHRSPGPASNGRLSSAQEESMST >Et_1B_012098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28922198:28927873:-1 gene:Et_1B_012098 transcript:Et_1B_012098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKLNNSAYKRVPSREAAMDYDLETPVKANDGGGSGPSWRRSLPHVCVATLTSFLFGYHSGVVNEPLESISTDLGFSGNTLAEGLVVSICLGGAFIGCLFSGSVADGIGRRRGFQLSAVPMILGAAISALTNSLEGMLFGRFLVGTGMGLGPPIASLYITEVSPPAVRGTYGSFVQIATCLGIIVSLLIGTPVKAIDRWWRVCFWVAAIPATLLALLMEFCAESPQWLYKCGRTSEAEMQFEKLLGPLHVKSAMAELSRSERGDDGESVKYSELFHGRHFNGSIVAMLLMDKLGRKVLLSGSFLAMAFSMGLQAIGANRQYLGSASVYLSVGGILMFVLSFSLGAGPVPGLLLPEIFPNKIRAKAVALCMSVHWIVNFFVSLLFLRLLEQLGPQLLYTIFSSFCVVAAIFVRRNVVETKGKTLQEIETLLALRWVVASALKSWFQIQ >Et_3B_027566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27911758:27912185:-1 gene:Et_3B_027566 transcript:Et_3B_027566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GEAARGAEVFPERAQAHLLEGTDGTTPSRPLASLSRWPPQACSSSEEGFTTCPMASERRNEVYLCRRRWLLIY >Et_10B_003363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19119685:19123275:1 gene:Et_10B_003363 transcript:Et_10B_003363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNRHRWTGRFEAHLWDKHCLTSLQNKKKGRQGAYDSEEAAARAYDLAALKYWGPETVLNFPVEDYASERSEMEGVSREEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTFEPDSREAKTPEDIAETLTTVADGIEESLWSPCMNYELDTMSRSTFGSSINLSD >Et_10A_002268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6308076:6311776:1 gene:Et_10A_002268 transcript:Et_10A_002268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYVWGVDIDGGYSMPKLLEELTSEPIGFEEPIAWCRRKDEEQEHVVIASGDKLEEGLRTESQAAAGDGSSVPPQGNVDPGLMDGDDFCAYDDAVFVDHDVKAEADAQEAALMNQSEDPLEVEPLFYAEADAGQIPVDDHADEEPDNVYDMQSQRIKVNGSFATMEDFRMAVRQHAIERGFMVHKVKTDKSRYRAECKAEGKRCQAEANRKGEEGLFDEKFKNHVPLAAAPEESFCSEDRQRLHSLHRLPQLGLQQTKKSVIPSSPLAVACRKTKHVNLEEDGLAVATRGTAHGLGAG >Et_9A_060902.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:15260585:15263285:-1 gene:Et_9A_060902 transcript:Et_9A_060902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHSSELDPALLMSTSTSSSSPPDSASPSFSFYHPSPPHYTLTVSNLSCPAPRRDGLLAPFTSFCGSSSSSASPTANDAAAAEDGLLKSVSFTASSSSILAVVGPSGAGKSTLLRILSGRGTGSEIAKPGTVALNGRAVTTRSQLRRLCGFVTQDDNLLPLLTVRETILFAARFRLRGAAGDAERRERVEALIQELRLSEVADSYVGGGDGVSASRRGVSGGERKRVSIAVDIVHDPPVLLLDEPTSGLDSRSAADVLALLRDVARARRQVVVLSIHQPSYRTMLAAPDGYISSLLLLSRGAVAHAGTLASLEDALARLGHGKIPAQLNPLELAMEVTAQLEADHAKFNSAALATHDDDEIRLAHTHGTSLHHAGGSYHCSRATEVAELTVRCWRTMHRTRELFLARAAQAVVAGLGLGSVYFRISPDSPDGVALRLGLFAFTLSFLLSSTVESLPILLHERRVLMREASRRAYRLSSYVAANALVFAPCLLAVALLFSAPLYWLAGLRAAPLSAFAFFVLAVWLIVLMASSLVLFLSAVAPDFVLGNALICVFLGVFFLFSGYFIPRASIPRYWAFMYYVSMYRYPLDLLLINEYGGGARDRCVAWVGGGDATAGGGVCLRTGADVLRDRGIDEGMKWVNVGVMIGFFLLYRVMCWAVLVRRAKKTTL >Et_2A_016994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3085056:3086716:1 gene:Et_2A_016994 transcript:Et_2A_016994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TGTRRLFPRTVLEALHERIAALRWDSALKVFELMRDQVWYRPHIGIYIKLITMLGKCKQPEKAHELFQAMLDEGCAPNLESYTALLSAYSRSARFGEAFALLDRMKASPGCRPDVQTYSILIKSCLHAYDFEKVKSLLADMTRAGIHPNTVTYNTLIDAYGKSGRFAEMESTLLKMLSENCKPDVWTMNSTLRAFGGSGQIETMESCYEKFQASGITPNIKTYNILLDSYGKAKMYEKMGAVMEYMQKYYYSWTIVTYNVVIDAFGRAGDLEQMEYIFRLMKSERIKPNCVTLCSLVRAYGRADEVKKIKTVLRIVENSDISLDIVFFNCLADAYGRVGALAEMWEVLDLMKARRCKPDKVTCTTMIKWFLVKGIDDRRVQYLRELKSGRCPDDI >Et_7B_055581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15358281:15360933:-1 gene:Et_7B_055581 transcript:Et_7B_055581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQATGKSTVRVFAAEIEEKKSRSGAPTTSQPAPETEETERVSMRSRKVRACLFVLLGVVLAVAEPTFAGDGHVVGRPLSTRLEDGVAPELTVDMDLHRRVLAGGGLAPSVLSAEKAACRPGCAARGRPYTGRGCQKIFQCPGGN >Et_9A_062060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19344708:19349753:1 gene:Et_9A_062060 transcript:Et_9A_062060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAAHRAVAGEASHPALAAMRGRGEVDTSSPFESVRQAVDRFGGGGGGGSQWRHPQAAPPVQLRPEEVELMKVEEQTVKLEMELFVKEKETFKVLKELQATKEVIDGLKVQIEKVTLEGKNAAKAHTDIKPKSISHSEPPVRSTKGTQSPLTTLIRLNQAKAFLNTDTVNMLRSQIEKEKGSLEKTREKLQSNIAKASSLEADLDKTVALLQAVKGPQPILESSEIWLQMKQLNSEKEKNRKMAEDSKHEICELTAAIEHTKSKTKTLQFRIIMAEKLKEASRRGEAIALAEMNKLRNGHDLDVATSDVILSVEEHSMFVRKAQEADESSRKRIDTAMQELDQANQCKLELLERVEEAMSAVETSRKALEEAQKREESANKAKLAAEDTLRKLRSDQIIQNWRPINNNSVKFKNSALTPRRAGSGIYDVNGLSLVTTEPKNAKTVSIGQILSMKLDRELETVKTTNTRKKISLGQILSQKYEVFSPLRIDHDGASRKQCQPRRKRTGFVVYALLLAKQKHRKRQAASYNHGSFSAKVKIPLKTWMSPIVFVLDTIMVAMVRKALAPRSVTVVAEVVAVATASAAALHVADVTVGDHLAMSKQYLEEMA >Et_6B_048768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13396325:13403099:-1 gene:Et_6B_048768 transcript:Et_6B_048768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADRSPASSGRRGRPRGRGRGWSKSPRKPPISPPPASSSSPDAAAVPAPGPEDPAAPLPPGAAVEVRVDDDGFHGSWFEATVVAFSPARGRRAPARYTVTYSHLLADDGGGVLAEPFAPSHVRPRPPPPPSPDGGASSPLLRLHDIVEAFHNDGWWSGIVISPASASSSATTTITVAFPITREVIPFPPHLVRPRHDYLGGGEWAPSRAVVAVQPKRAVRAYAVGDKVEVVRDRPDFGYSWFPATVAKVVDRLSYVVEYFDLDQDPADGAAAAAAGAEKATEYLHWRFIRPAVEHVPRESEFRLAPGAAVEAYCDGAWSPGIVRRVVGDGEYEVSVDGKEEELLVTKVAELLKPQYKWDGKQWKIVSVKRRANLRRRSLSGRSPSSPVNVASSEDEYSHDPGSSSMKSSRNATKKSRKEPQQQELILASVSEMEGKHDASDNLTGSDKSKEIICALTASANWQASSALDKQAPRQSTSGSSTKVLTFKKYCALKSNEGQSAVARKKGSKQLRSPHSSLDLDSTVQQGGKENVMGNMKTHTQQDLDRSLEDTYQELLPLVPPGFESMYNGEGMNSENLSPDGNVHSILSIDICGSLLDEELCTDRAATQVAKSSQHMVEAPIRPQNAGSALSRSFSQISTQQVPFVKRSPAWSVIEAMDVFKEVPQRPHFFPLRDYSLALREGMALGMMVSFATLVESIKEASIEDSMELFEDKIRSLCHLEGNGFNVQLLQSSLSKLLQIKSNCSKYLGEISKLRAEMAGKATSLSRMDALLDQKDEIIGELEQRLGLIRQEVQQIEKDREREGAELSRLKSKHSRFEEAYGESFPWPYRIVRREAEGDTSIAEKKPGACRTADMLPFSPPAPAAAYTERQLKQLRAQCLVFLAFRNNMDPKKRYLEMALGEGPEQGFQPMTDEIELNSMSMSREQRWRRARGRRGREPRRNRIFFFILTSGIL >Et_1B_011313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20287451:20288164:-1 gene:Et_1B_011313 transcript:Et_1B_011313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESEEHEHGNGNNNNHHHGYEWKFPAALSANTTSVHVTALDGVVNVNSLFTVAVFVGLSLATPGQLRSLAGDPACDAGPGVARSLLVLEVVAFSSFLFSSLVAQGLKLALNLINSKDPHDALRAHIDARVLRLGMLASAVGSVVGCVFLMVSMVMVVQIRLGTLGCASNRAAAKAAAGLVGLVTTALAVYIGTVFYTFTH >Et_2B_021709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4699588:4705729:-1 gene:Et_2B_021709 transcript:Et_2B_021709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRAAPWGRPSFPASARRHPPSTSGSRNLWNNPVFKNSWSLVGGVPNMFGLPSRLFGCMALGGSGDGTFARPTSTDEAVAPLPLYSWPDKQRPRVCILGGGFGGLYTALRLESLVWPSDKKPQVVLVDQSDRFVFKPMLYELLSGEVDVWEIAPYFTDLLKSTSIQFVRDSVKLLRPSDHFRREPGESCTGGVVHLQSGTVIEYDWLVLALGAEAKIDVVPGSAEYALPFTTLEDALRVENKLKMLERKRFGKSAPPIEVAIVGLGYSGVELAATISERLKNTGTVKAVNVQTTICPTAPQGNRDAALKVLESRNIQLFLGYFVTCIREASTPDDSSITVEDSEVDGDHKKLVLDLQPAERGLQGQIMEADLVLWTVGSTSQIPRLQPPDAPYVIPLNGRGQVETEETLQVKGHPRTFAIGDSAALRDLSGKLLPSTAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGRNDAAITASFIEGLTLEGPLGHAARKLVYCLRMPTDEHRVKVGISWFAKTAIDSLASVQNAVANSFPTPAIPITNRSRPPSGAMDPDSEVVFDFPPYLCQYKSGRIFRPGGAPTVPAGTDARTGVISKDISAGAANVRVYLPPGATGKIPVIVYFHGGGFVVGSPARPGTHAYLNDLVARSGAIGVSVYYRLAPEHKLPAAYDDGWAGVRWAATLGDGAEPWLLDHADLSRVFVAGCSAGANIAHNMAVRAATAGALPDGVTLRGLALVHPYFTGKEAVGGEIEFGPEIREFMDRTWRYVVSDTSGLDDPRVNPFVNEAARKASAGIPCQGVLVCVAENDVLLKERALWYYREIKASGYAGEVELFESKGVGHAFHFDVLESEPAVELQERLVAFIKK >Et_7A_052726.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14439770:14440066:-1 gene:Et_7A_052726 transcript:Et_7A_052726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSALVKASVYSLYIGRLKKLHVCDVRLDGRFAEKLGSDCPVLEDLELGYLRVEFSEITLPVVKNFSICFCKVNDLLIVTAPTLVYLSCQGNTILNS >Et_2A_017243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32340558:32342148:-1 gene:Et_2A_017243 transcript:Et_2A_017243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGVAMVVGGSVAGLACAHAASAAGWVVVVLEKAAAPAAGVGTGAGLGLDAQSMETLARWIPGWGLDDATLPLAVDLNRATDSETKAARTLARDDSFNFRAAHWGDLHRRLHKALPAAVTVLWGHQFLSFEAPEGQNGIVATARVLRTGETVEVTGDLLVAADGCTSSIRRRFLPDLKLRYSGYCAWRGVLDFTGKESSETVTGIRRAYPELGNCLYFDLAYKTHAVLYELPGRRLNWLWYINGPEPELTGSSVTLEATDAMVARMRDEADRVWCPELARLIRDTARPFVNVIYDADPLPRLSSWAGGRAALVGDAAHPTTPHGLRSTNMSVVDARVLGGCLARCEDAEQLPRALAEYEAARLPVVTAQVLHARRLGRIKQGLPVDGKAEGFDARKATEEEVLQLQQRSMPFFSGAPTVDDSNL >Et_5A_041053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17470752:17478602:1 gene:Et_5A_041053 transcript:Et_5A_041053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIDGFKEELRLLMLAVSDKHDNPRTEVLTMGLPKPPTTSQAHFPIHAMKIPTYLDLQEDINANNDDAGLNVQLKMHTHENNLLVSTCGSSSWFPSALATVQQSSYIPSYAPGPVEGNQEDFHDIMAVQHAYICALKDPDQITPLKLLQQSPNALKHHYAQDIILMLHSGESSTNVVTQEPRRASGSVGLPQRTMSPANSPATIICMKGRIVDFCVDSNGSRLIQHALETATPEEIVIVYNEVIPYARALSIDVFANHVVQKLLEHGPKHYKREFIGHLIGHVLPLSRHMYGSHVMQKAFEVGDLDLQIQMAQEFAGEVAKCALEPYANHVIQKCVECVPSQHIKFIFKSLCRKVTVSCTNTYGCHVIKTVLAFCNDPEIIHAVVSEIIEAVVKLAKDQYGNYVVQHVVEHGGPVVRSIIVNKFRGLFVNMSYNKSASNVIEKCLTFGSFQDRQLITTEILSAGNAQLLDMMCHLYANFVIKELATVAEEVPLLVLADVVRCNMARITSVQHARHVIAHIEGVLANRAFLVDIHICKLLQQGGACHRSQLLVLGSRAVDSVPKIGTEQRILADAEPVVACVMLKMADILTWASTPGSNPSVTYSAAAVDSSTKAISCLHCPFSNVTFTQCAAPCPDVCHHHALV >Et_10B_004262.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:2291266:2291661:1 gene:Et_10B_004262 transcript:Et_10B_004262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESTQTFCAAVRVSESWASDAATESRPRTNISESSRSRFPRSDSTPRCSNRETEHVKIHRIHARKTTKLNRRRQAVTVVAAAGGARTRRSSRMASRRLRRRSQNRRSSEAGLANATAAESMGRDREQRLV >Et_8A_058498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9712656:9714914:-1 gene:Et_8A_058498 transcript:Et_8A_058498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAAPSASTQWSPPDLRRRSPASGLHFNTEHKSSGVVNQASPVKSYLADRRSELALKVKLHLYLLLRRRTKDPGVPKVTLNSVSYVLRWVSLDDGKLKVNVDGAYNEKTRAAGLGVITRDH >Et_8B_060442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16028007:16031186:-1 gene:Et_8B_060442 transcript:Et_8B_060442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRLVRQRRDYLYRKHAEGAERVLLEKKRRVREALEEGKPIPTELRNEEHELRRLIDLDDQERAGTFPRIPASVCSCFPVRVLRSVRREMSLFCAANGVFLGAVPKNLIDDEYANAGLGEPKILLTTSRNPSQPLTQFAKELKVVFPNAQRMNRGGQVTRHDIKDRKAIGKMSEAYPHLILDNFTTQAGERTANILKHLFPVPKPDSKRIITFANRDDYISFRHHVYEKRGGPKSIDLKEVGPRFELRLYKIKRGTVEQNEAADEYTLRPYMNTAKKHKTLGA >Et_10B_003782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:660206:668944:-1 gene:Et_10B_003782 transcript:Et_10B_003782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVMEDAAGQPICRFDLVMFNNTPSGAHGDLGLVLGALRNNTIRVRHVDGTTVAVTACDVTVVDRSAVCWTSAVTSAAAVTTDKDSSAGVVTGSTTALDLVRLSTGEVVARGVSPSEVQRDGELSLGDYVVIGPWLGQVVKVYLDVDVRYDDGAMCRITQARTNLRTLDNDLSWGIFYLGQRVVGNNSSVFKENIVRKAARWLKGCPNSRKDEVGTVVKVVVSGVLVYWLASSHLGTNRTPLFQASMPPAYQHSSQNLTFYSCSGNASVIHQFWFVGDRCVFRDHPHRRGSTSLAQEEDDPNKSTSAALESLCVANTRTTVDVLWQDGTRQYGVPSTSLASLLVWNQHEFVPGQRVVHRDRNDGQHFGIVQSFNFKDQTARVSWFPASKEEEAEETLSAYHLAWSFDHHLSYGSVVVRMRPTDSSAAMEDSEEEAQREKEDLSWVGKIVDLCDGQYIHVKWGDGNTSKVLLHEIAVVKPPSIEETLQEIGDREDGPAAAARIMGWANAVTQAMIRLAGNIIAHGKRYLLSNSDGHAMEDAAAVAPESEIGGGGSAQQGKAEANAIGGDDLSRFPHFDVQQYPPDHHFFNNMVEQGTGGGTKWIKRVQKEWKILEDNLPETLLRLLHFIHSDLLSNLMHVCLTIFLTHKYLNEICRYHAFEDRMDLLRVAMVGASGTPYHDGLFFFDLQLPPSSYPDSPPLVSYRSYGLRLNPNLYESGTVCLSLLNTFGGEGVELWSPTASTVLQVVVSIQGLVLTAQPYYNEVGYATQVGTPQGRRNELPYSENAYLLTLQTMLHLLRRPPAGFEVFIREHFRRRGQHVLRACETYITDGCTVGTLDGEARPTEVSTERPCSAGFRLALGNIVPRLVEMFKEIGTDADAAGQPICRFDLVMFNITPSGAHGDRGLVLWALRNNTVRVRCVDGTMVAVKPCDVTVVDRSAVSWTSAVTSAAAVMTDTDSSAGVVTGTTTEHDLVRLSTDEVVARGMSPSEVQRDGELSRGDYVVMGSWLGQVMEVSLDVDVLFDDGTGHAGEQQLRTLNNDLACGVFYLGQRVVASSSSVFEENIVVKAARWLKGRPNSRKEEGTVAKVIVSGVRVYWLASSHLGIPAPPAYHPSSQNLTFFQLLQRSLPHASERCTFRDHPHRRSSTSLAQQEDDPNKSTYAATESLCVANTRTTVDVLWQDGTRQYGVSSASLASLEVWNQHEFVPGERVIRQDRDDGLHLGIVQSFNFKDQTAHVSWFQPSKEEESEETLSAYHLSLSSDHHLSYGSVVVRLRPAVTSPAREDGKEEAHREKKDLSWVGKIVDLCDGQYIHVKWGDGNTSKVLLHEIVVVKAQSIGEMLQEFREDGPAPGATARIMGWANAVTQTVIRLAVIAKGMRYLLRRFTAVPGGDVDDHDMESATAVALESDIRERGSAQKENTEANAIGGEGKSRFPHFDVQQSPPDHHYLDNMVEQGTGGGTKWIKRVQKEWKILEDNLPDTMYVRAFEDRMDLLRVAMVGASGTPYQDGLFFFDLQLPASSYPQSPPLVNYRSFGLRPNPNLYESGTVCLSLLNTFGGHGTELWSPEASTLLQVLVSIQGLVLTAQPYYNEAGYESQVGTPQGRRNELPYSENAYLLNLQTMLHLLRQPPRGFEAFIREHFRRRGQHVLRACEAYQTDGCTVGTLDSEARPTELSMERPCSAGFRLALGNVISRLHEAFKEIGADGCH >Et_5B_044966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7833493:7834307:-1 gene:Et_5B_044966 transcript:Et_5B_044966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNKHQERDSEFDVVIVVVCESCCNDICRGPNTATRSHRPLISFNTLTWPFFDVKWLGVPPVEHVLFYRVLILHRRRGLAVGVTVHHSACDGNSSMHFLRTWAAACIGSGSGEAPPPPVIDRTLIPDPRGLYDTYLERMPPATRRHDFEFVGGGAADHQSEARGCESTDGAVTVLDSRRRVRLHVMVPQPSRATPPSDNDKKKRRYFQLSVDQRAWLNPPVPTGYEFVWHRMPKIWAKI >Et_1B_010289.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:35050132:35050353:1 gene:Et_1B_010289 transcript:Et_1B_010289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVLCAANHVPLTPLSFLERAAVVYPDRLAIVASGGWVPGAAPRSAPGGRPARVASASPRPSPSRDATWYL >Et_4B_037838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2420424:2422077:1 gene:Et_4B_037838 transcript:Et_4B_037838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARLVFPLLLAAAAAAPLASPQLDRGFYKTTCPDAEKIIFGVVEKRFKEDPGTAAGLLRLVFHDCFANGCDASILIDPLSNQASEKEAGPNISVKGYDVIDDIKTELEKQCPNVVSCADIVAVSARDAVRLTGGPVYEVPTGRRDATVSNREDADNLPGPDIAVPKVISDFAAKGFNVEEMVALLAGGHTIGSCKCFFIEADAAPIDPEYKKNISVTCDGANRDKGSVPMDLVSPNVFDGDYFALALAKKMPLTVDRLMGLDPGTEPVLKTFAAKPESFIPVFAKAMEKLSVLKVLTGKDGEIRKSCGEFNNPQPTNDGPSVIRISSLQPDGLSGPGAKKAGVRADSRKVNGGEAEVKKEAGVEKVPVLEEKKPATATEAANKVPGVEEVKKEAGKEAATEPIKATGNKILGDEPASKLPGSVDAAKTLNEEKSPKLRSGGNEAATKVPAGEEASKTARDEEFRKRNLAKLRKREEAAAAAAKQAAGGVEAAKAAGEEEVKKPKLRGAQA >Et_4A_033893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27779491:27781452:-1 gene:Et_4A_033893 transcript:Et_4A_033893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITMSYSGFVAQNLAASFGLRCTSAAAAGAGATPGAGYRFVQDALSRPFCLFASSRRAPPVNDPPASLAVGLLSVLASGMGSIGGIAGACSLSASPSVSAGFNPATLLPFLQATKWLPCSDIVTSKRSRSSGRPAVAAPSRVGIQALVGSGAISSGSAGIVRKTGATLAGAAGPSRKTSWLSRWVNSCSDDAKTVFAAVTVPLLYKSSLAEPRSIPSKSMFPTFDVGDRILAEKVSYYFREPEVSDIVIFRAPPVLQALGYSSSDVFIKRVVAEGGDTVEVRDGNLLVNGVVQDEDFVLEAADYEMDPLIVPEGYVFVLGDNRNNSFDSHNWGPLPVKNILGRSVLRYWPPSKISDTIYEQDIVQCTAGVS >Et_9B_065265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:361072:362738:1 gene:Et_9B_065265 transcript:Et_9B_065265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >Et_4A_035523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27663842:27670125:-1 gene:Et_4A_035523 transcript:Et_4A_035523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGIENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRKYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTDYKAIVYSSFYNMEAMI >Et_6B_048502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1033021:1038833:1 gene:Et_6B_048502 transcript:Et_6B_048502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESATCPRLAAQPLQVTCPRLSLLHCLLLVSSSVMPPDAADEWPMVERRGPHLWASDRPFVVHGFNTYWLIYFAADHATRHAVTAALAEAADAGLNVCRTWAFNDGGHRALQIRPFSYDEEVFQALDFVISQARKQNMRLTLSLCNNWEDYGGKPQYVRWGNEAGLELTSDDDFFSDPTIKSYYKAFVEAVLTRINTITNEAYKDDPTILAWELINEPRCPSDPSGDTLQAWIEEMASYVKSIDPVHLLEIGVEGLYGLSTAELLDVNPDDYSGKAGTDFIRNHRAPGIDLASVHVYSDTWLPHFVEEHHLQFVKTWMQQHIDDAASLLGMPILIGEFGVSLKDGKFDNEFRETFMETVYGIFLSSWKEGVIGGGCLVWQLFPECAEHMDDGYAVIFAKSPSTLNLLANHSRSLMRCRLHTSFTRRPYYNVFFFQIKNMSISVWESIPYPCLQLKSEDTLEREARGNSKAARPFRVAVVPEKSSTSSRVE >Et_6B_049958.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:252161:253444:-1 gene:Et_6B_049958 transcript:Et_6B_049958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESCHSRSLSWLARSCIPADPGRHIAVPVSIPAASSTNLSSTHPTSDSADESPISALPDDLLLECLARIPRASLQPLPAVCRRFASLLASDAFLHLRRAHGLLRPTLLAVSVPYQGSTFARALIQFDASRPPELEVAALPLPPHCRGGGGFAFAHARAVAVGREVFLIGRGATLRVDALTGAARACAPTLFPRKKFAAAAVSGRIYVAGGSARTAAVEEYDPSADAWRVVAEAPRRRYGCAGAATAGGVFYVAGGVAVSAAPGDGGALLEARACAGSVDALQVALSSGALAWARPRAVPGGGCVVGACGAGDHLYVVASHAVELSFWRWGGNGGSRGAGGWVALEAPPVPRGSVGLGMAVRVAMTAGVGGDRVVAVVNVSAVRGHIAAVAVEGLVLVYDIAGGKWSRAPDLPPVFRRAACAVVEC >Et_1B_011347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20805281:20805604:-1 gene:Et_1B_011347 transcript:Et_1B_011347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AQCDGPVGTGSGPGLSGTLLLRKGYNWCSVKDLMGCALKLTKTWMPLYKDGDLEVMERWASFLEHNLREPLQVQNG >Et_8B_060837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8825238:8827260:-1 gene:Et_8B_060837 transcript:Et_8B_060837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIKVWVLFMALVCFGVGNGEGDAATTGGDVEDLLRLGAPNYGDALAKAILFFEGQRSGKLPANQRVTWRGDSALTDGQAENVNLTGGYYDAGDNVKFGFPMAFTVTLLSWSAIEYRDAVAAAGQLQYLRSAIQWGADFLLRARTSPTTLYTQVGDGNADHQCWERPEDMDTPRTLYKITQSSPGSEAAGEASAALAAAYLVFRDDRDKTFATQLLAASRSLFDFANNYRGSFQSSCPFYCSYSGYQDELLWASAWLFKATRDAKYLDFLQNNQGSTGPTNEFSWDNKNAGAQLLATQEYLGGRTALVNYKRSLDSFVCAVMPNSGNVQIRTTPGIDLIS >Et_10B_003808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6351627:6355579:1 gene:Et_10B_003808 transcript:Et_10B_003808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMSVSAVAPATAAAGAGRPRPLVCVPATARAPRDMAAELAAAAAVGADVAELRLDRLAEFAPRRDLPVLLAEPRPLPALVTYRPKWEGGEYDGEDEPRFEALMLAMELGAEYVDIELKVADKFMKLLSWKKPESCKLIVSSHNYENTPSAEELGNLVAQIQATGADIVKIATTATEIVDVARMFQILVHCQEKQVPIIGLVMNDRGFISRVLCPKYGGYLTFGSLEKGKESAPAQPTAADLINLYNIRAIGPDTKVFGIIGKPVGHSKSPILHNEAFRSVGFNAVYVPFLVDDLAKFLSTYSSPDFAGFSCTIPHKEAAVRCCDEVDPIARDIGAVNTIVRRPDGKLVGYNTDYVGAISAIEDGIRESQPTDPSTSPLSGKLFVVIGAGGAGKALAYGAKEKGARVVIANPRAQELANLIGGPALTLAELENYHPEEGMILANTTAIGMHPNANETPLSKQALKSYAVVFDAVYTPKETRLLREAAECGATVVSGLEMFIRQAMGQFEHFTGMSASDRLMRHIVLTKT >Et_1B_013390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:842020:847142:-1 gene:Et_1B_013390 transcript:Et_1B_013390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMLKDGDVPMADGEQYDYDLFVIGAGSGGVRGSRTAASFGAKVAICELPFHPISSEWLGGHGGTCVIRGCVPKKILVYGASFRGEFDDSKNFGWEINGDINFNWKTLLENKTKEIERLNGVYKRILSNAGVTMIEGAGSLVDAHTVEVTQQNGSKERYTAKHILIATGSRAKRINIPGKELAITSDEALSLEELPKRAVILGGGYIAVEFASIWRGMGAEVDLFYRKDLPLRGFDDEMRTVVASNLEGRGIRLHPTTSLSELSKTADGIKVVTDKGEELVADVVLFATGRTPNSQKLNLESVGVDVDQIGAIKVDDYSCTSVPNIWAVGDVTNRINLTPVALMEATCFAKTVFGGQPTKPDHRDVPCAVFSIPPLSVVGLSEQEAVAEAKSDVLIFTSSFNPMKNSISKRQEKTIMKLVVDSETDKVLGASMCGPDAPEIIQGIAIALKCGATKATFDSTVGIHPSAAEEFVTMRTLTRRVSPASKPKM >Et_5A_042722.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:348342:348602:1 gene:Et_5A_042722 transcript:Et_5A_042722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSYRPYAAECGRSDGVVPYGSAAERKPARLVALFGLGLGGDPAEMKRRRRVAGYKAYTVEGNVKASIRRGFRWIKAKCSRVIHR >Et_4B_039333.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1073397:1074176:1 gene:Et_4B_039333 transcript:Et_4B_039333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASPQHLGDSFSCGWLKRAGPGAQVPSFERLVDADQGHSFGSSRSFIDMDPAELFSMRWTGTTTTLPATDFDFGFPDASSDPSSPTLVSASQIIRDGRLLPCEPVRRSGVRDHDDDRRVTELPSAPRWSSTSASSPLYHSAQSTPASLSSCSSTRSLAAAGNKNAKPAILATGRRGRASSWKILLRYLRFLMPLYRKVRALRRFSAPRPRVAPASPARASTSSLEWCHGNADTAVRDAILYCKKSSVRPLDKQISIE >Et_1A_006932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29057890:29058790:-1 gene:Et_1A_006932 transcript:Et_1A_006932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEAQPTCSHRGDHVVDIQLQPVVNVFGDDAERLENVQCHSVNGGSHHPTSNGTADSHIVILEHVDDTSNCCVVCKEPLEWVAIGGCGHRAVCPKCMVRIRFFNRNKHCFVVTKPDSDGALTSILPLFAFWEGRVGKYWHHRHTAAFFEDEKVYEEAKNACKGLLNPIYKPVSAFILWHFSCLCVGAFLGGGMVNKTSNMLTRVRASSLGVSIALFVGAFIWTLMSKCFADPLEEEYFREFDLRSY >Et_4B_039681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26457046:26457439:1 gene:Et_4B_039681 transcript:Et_4B_039681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGASVQKGYIPLLIGKGRVLKKVLVHRKVLQHPYFFGLLELAAIEFGHDQQGILRIPCDIECFHTIVKLIRGNMLRKKL >Et_8A_056731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1611657:1614421:-1 gene:Et_8A_056731 transcript:Et_8A_056731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWFCCTHIDTPYQEHGDESMNSPDRTSGNGFTSNGDPVKAPPSIDVPALSFDELKEKTDDFGSKALVGEGSYGRVYYAVLENEKHAAVKKLDTSADPEPDNEFLAQVSVVSRLKHENFVDMLGYCIEGDQRILAYEFATMGSLHDILHGRKGVAGAQPGPALDWMQRVKIAVDAAKGLDYLHEKVQPPIVHRDIRSSNVLLFEDYKAKIADFNLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLGEDKVKQCVDPRLNGEYPAKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLVNAPYQTPAILDTSSDA >Et_3B_031025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10486745:10488818:-1 gene:Et_3B_031025 transcript:Et_3B_031025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGRGVGEGYRPAGGLAFLACGSILPVTGRAVSSRALLAPGKLLSAPRRRLTRLVWAHLPRSGPGAIAAINCGPEHSREPVPHIFSFYLLCPSRPRKTAAENLPPAAMALESFLFTSESVNEGHPDKLCDQVSDAVLDACLEQDPDSKVACETCTKTNMVMVFGEITTKATVDYEKIVRDTCRNIGFTSDDVGLDADRCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGAKLTEVRKNGTCAWLRPDGKTQVTVEYVNEAGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVAAGLARRCLVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILKIVKENFDFRPGMITINLDLKRGGNRFIKTAAYGHFGRDEADFTWEVVKPLKFDKASA >Et_2B_021069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26164258:26171400:-1 gene:Et_2B_021069 transcript:Et_2B_021069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVQQYLQRKGFRLTELALQEERNRLSTSAVSDVALARSENDPARYCDGYGRLRTWAYSSLDQYKHELLRILYPVFIHCFMDLVAEGHMQEARSFFHTFREDHEVMHSRDLQKLEGVLSPSHLEEMELARSLRQNKFKIKLCEYSYELLLQYLQKTQALVVLGIINEHITFEVSPGQPSLISDDADVVALIGTSKDLAKQINQKEVHWGKRNSEGGKQGGSLKKTKKDKLVGATGKNIKTETSMVSVAPRVKSELTLPVTPVEVEQSILEDLRNRAQLNSLALPSVSFYTFLNTHNGLNCSSISHDGSLVVGGFSDSSVKVWDMSKIGQPTKTSSSQGENGSSQSERMATLDEGKRPYTLFQGHSGPVYSAAFSPFGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMIWDLSTGRCVSPLLGHSSCVWTLAFSCEGALLASGSADCTVKLWDVASSTKLLKTEDTKGGSTNRLRLLKALPTKSTPVYSLRFSRRNLLFAAGALSLNS >Et_4B_036361.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2073052:2073111:-1 gene:Et_4B_036361 transcript:Et_4B_036361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCPQGGSVPSTWRKRGY >Et_3A_027151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3503472:3506655:1 gene:Et_3A_027151 transcript:Et_3A_027151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDRQGRSGKKGLPPKKGSSPRKEYADAAKYRGQNGWTKEGWNNMVTRLNAKYDGANFTVQQLKDREQRLKKDHSSVKSVVSKSGFGWNPEKGVPTALDEKWEELPPALQKWRYKSFPYYDDLYEIYEGKIAEGKNCKRTTDKYAEDYNSPSFSQVGSLYDQVVNAGLETNNADIADKEPMQHDDMEDSPYNDGMDYSQYNAFSSQGEIPSSVERDQTRRESPPRERPHLPKATNEGGDKSKGKKSKDTMMESLVAIRKEELENYKEMKSKQIESYREIKFAQMEKNDPINDPYSMARCIVKLEQLNFLTPADMVKASISNAFDQSVKDELFHPPISLAS >Et_7A_052199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5529561:5544385:-1 gene:Et_7A_052199 transcript:Et_7A_052199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAELLEMYRRDRRQLLGFLLSAGGRAVDLSRVDLDAVSADYAVECVASGAQFDASEGTRRYFDERRYPVMIGSSSGNSYFLLTSPEPYYSPPNEAAPGIGSQAPAQEGSNPAEQQKDFLRSSVNTSGIDYGTEDVGWADIAPQQAEKADDLSLGLPGLSTGLSDDDIRETAYEVLLASLFMSGKVHFSEEKKEKKPKFLKGLRTKTDGSNSSTQMENNYTHILDLIRISESMDTLTKRALRLNNLKMAQGGLDVPRISLQLLSSAGKLDFPTERLRANVLEELLLISASRENDTSETLRIEWVVSVPEGRVEVLTIIERYNARYSAMPKKFCLKGETYHWTHNYHFNFRLYEKLLCSVFDILEDGQLVEDSDEILETVKVTWPILGITEKLHDVFYAWVLFQKFAQTGEILLLKHAGIQIQKLRLHNEVKDIELYTNNFICSMDACGSNRALSLVDSALLKMNVWCRRQLENYHAYFNKLIVSPVGSTPESKLIHLLVVRSIQAAYKQAFVSSDGLSKPEFGHPLTMLANGLRLVAEKECTAFSPILHKYYPEAQKVALILLHLLYGQQLELFLERVDHLGDSKEILAASNNFELFIAHKLYSVYGEAVGSSFSSYLKPYMIDRFSSPLILQWLHTQHENVIEWTKRTVDIEDWEPLSVHEKQATSVVEVFRFVEETIDQFFNANLPLDIVHLRSLLIGITSSLQVYLLHMENQQVSGSTLFPPAPVLTRYAESINPFVKRKLIEPTVPEEKVAAKLNNLTVPKLCVKLNTLQFIRDQLDTIEEGIKHSWVSVLSAVRLSDYLSCMASGRALSDNSSSSDELVDELFTIFDDVRMTAVSITDTILKFIGTRAVFWDMRDSLLFSLYRDSVEGARMEIFITTVLDQVCDLIVDVLRDQVVLRIFQACMEGFLWVLLDGGPSRAFLETDVDLMQQDLAILKDLFIAEGQGLPLDVVEKEAKQAQQILDLYMLKADVIIDMLINASNQMSHRLEVTTARRRHVHDAHTLLRVLYYDDAPMKDVSHAEKYLIQLVRNRAAKLSNYEEETARSYLAIRCLLRKFV >Et_3A_026530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9306005:9306295:-1 gene:Et_3A_026530 transcript:Et_3A_026530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECHIIKYTSLLLNYVLGTAANCWLTLMGRLLTPGVSESEKENIKDDMLDLVDIYYLALDAPKSGNKVILNPRLKYFMIRVAF >Et_4A_034528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3991645:3999121:-1 gene:Et_4A_034528 transcript:Et_4A_034528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGLGNPLLGLEKFRSEEVDLESLPLEDVFEQLNTSRGGLSSGDAAERLQLFGPNRLEEKRENKILKFLSFMWNPLSWVMEAAAVMALILANGGSQGPDWEDFLGIVCLLIINSTISFLEENNADNAAASLMARLALKTKVLRDGQWQGLDASILVPGDIISIKLGDISALTGESLPVTKRTGDLVFSGSTCKNGEIEAIVIATGIRSFFGKAAHLVDSTEVVGHFQKVLACIGNFCICSIVIGVILEVIIMFSVQHRSYRNGINNVLVLLIGGIPIAMPTVLSVTLAIGSHHLSQQGAITKRMTAIEEMAGMDVLCCDKTGTLTLNRLTVDKNLIEVFNTEMDKDMVILLAARASRVENQDAIDMAIINMLADSREARNNITEVHFLPFNPTDKRTAITYLDSNGNWFRASKGAPEQILNLCYNKDDIAERVQIIVDEFAERGLRSLAVAHQEIPTKSRHSPGGPWILCGLLPLFDPPRHDSADTIRRALDLGVCVKMITGDHLAIAKETGRRLGMGTNMHPSASLFGRHNGEDAAALPVDELVEMADGFAGVFPEHKYEIVRILQEKGHICGMTGDGVNDAPALKKADIGIAVSDATDAARGAADIVLTEPGLSVIVSAVLTSRAIFQRMKNYTVGFALLALIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSRRPDQWKLKEIFATGVVMGTYLALVTVLFYWGVTRTTFFETHFKVKSLKEDVEKISSAMYLQVSIISQALIFVTRSRGLSFLDRPGFLLICAFVVAQLVATLVAVYATIGFASISAIGWRWAGVIWLYSLVFYVPLDFIKITVQYILSGKAWNSLFDRKTAFTRKRDFGKEDREVKWALSQRDVQRRAFSDHLLSSTMPSSRIADQAKRRAEIARLGERHALRAHVESVMRLKRVNSHIIRTAQTV >Et_4B_038534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29698734:29703629:1 gene:Et_4B_038534 transcript:Et_4B_038534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVAAAAAAAAAAATVTTAVAPPVPAAAHAAVGNGGGAPNAPPPPFLMKTYEMVDDPATDDVVSWGPGNNSFVVWNTPEFARDLLPKYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTINRRKPSLQGNSQPQQPQLQNAPVPACVEVGKFGLEEEIERLKRDKNVLMQELVRLRQQQQTTDHQLQTLGKRLQGMESRQQQMMSFLAKAMQSPGFLAQFVQQNENSRRRIVAVNKKRRLPKQDGGLDSESASLDGQIIKYQPMINEAAKAMLRKILNQDTSHRFEAVGNSDNFLLDNYMPAAQGFDSSSSTRNSGPITPGPSDISIPEFSDLQDIVTDDSVDIPGGSFDIPGPEGVFPLPEEGDDSVPIETDEMMYNDETQKLPGIIDSFWEQFLVASPISGDNDEVDSGALETPQENGWNKMENLANLTEQMGLLSSNHKIYSND >Et_3A_025658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31719175:31725899:1 gene:Et_3A_025658 transcript:Et_3A_025658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVLSTIRCSTACAALLNAAAAATGAAVAVGALRRCGGGALGSAAVAASAASAARLLASAVAGFAQGAAASAIAAGAIGAHVDSERDLRQLSRLRYKRWLWWTRFGMVITLLQFVFAIYLMCIIVKDFSEGGSSKQCFSGHNQDNMDRKRILLISFIVSMWVATIVQCATGSDVLRWRSFYASHDIAWRAHYREVFDHGIREVLCCLGRAKSVLEDDDICVVAKLLGDLMAYRASGTGHLELVAGLSLLQKSKLSTSVSKKQVEAPQDLIQEAVLFHPFAEAAYTGPLLDFGRNPLMFPCVWLNRQGVLTPWTRARRPILEGDNWWRGHAAAFLKYVNVDPEFLRKGRVSQKKREAAYFVVVIHDLNTVVIAIRGTETLEDVITDGLCKECFLTMDDLDGLINSDRLSPQVKNDVSSSFPHYGHAGIVESARELYTKLEGEPIHQDKSDTETTGFLSSLLGDGCECHGYSIEIVGHSLGGSVAALLGIRLYGRFPKLHVYAYGAAPCVDFVIADACSQFVTSIVHNDEFSARLSMNSVIRLRGSAVRALSKDTSLSSAKVGKLVGGITSAGSYEGNAVGRRASTVALQTVGDANLSSDQIHGRNPLHTIRGGLFLFGQAISCLINTPKHRVSSTAVINYELGRSRMVAASDGGKCTVASRSFLDVSHCREIINAHRNDQLQEDDLDGCGKEYRSPNSNNGSELVSSSSDRIITISSSEGQSPEVYLPGLVIHIVPVKKGTSLMQKTVMTRHKNKNYKAFIANRKDFMDLVVTPRMFLDHLPWRCHYAMQKVIETWKRDQLTHDSFGTEDAV >Et_5B_044526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3098577:3103025:-1 gene:Et_5B_044526 transcript:Et_5B_044526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILARALPLPLPPPAPLSSPRRRFVLPVRAARGPPVRVAGCRAAKAMQVALDPAAAAAVGVGEELPAGYDQMMPTVEPARRRRAGVLLHPTSLRGPHGIGDLGDEALAFLHWLRDSGCTLWQVLPLVPPGRTSGEDGSPYSGQDANCGNTLLISLEELVKDGLLTKDELPDPVDIEYVEFDAVAKLKEPLIAKQRGSYRAMEISERNMTASRKIQIIDAFSWYEWPEPLKNRHLGALEDIYKKQKDFIEIFMAQQFLFQRQWQRIRTYAQKLGISIMGDMPIYVGYHSADVWANRKSFLLDKNGFPTFVSGVPPDAFSETGQLWNSPLYDWKAMEADGFTWWVKRIKRALDLYDEFRIDHFRGLAGFWAVPSDSKVALVGSWRAGPRNAFFDALFKAVGRINIIAEDLGVITEDVVELRKSIGAPGMAVLQFAFGGGSDNPHLPHNHELDQVVYTGTHDNDTVLGWWQNLPEDEKEIVLRYLPATKETEISWALITTALSSVARTSMVTMQDILGLDSSARMNTPATQKGNWRWRVPSSVGFDSLSPEAAKLKELLALYNRL >Et_6B_049863.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:15677999:15679124:1 gene:Et_6B_049863 transcript:Et_6B_049863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQRSEACCLLLALLVSLQLTAGAYVAYHDNEVAVYWGRNKDEGTLREACDSGRYTTVIISFLVAFGHGKYTLDLSGHDTAGVGDDIDYCKYTKGVTVLLSIGGPGGEYSLPSSQAAADVADYIWNAFILGSGTGVRRPFGDAAVDGVDFFIDQGATEHYDELAVRLYNYTEGFRGWGVTLTATPRCGFPDRRLAAALDTGLISRIHVRLYGEERRCALTPRESWEKWAAAYPQSRVLVGVVASPEADSAAYMSPKDLRRRVLRFAKKHDNFGGIIIWNRYYDKKTNYTGRL >Et_4B_037717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22796858:22800151:1 gene:Et_4B_037717 transcript:Et_4B_037717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPFARRDIEAAGAGTSATGADSPAAKKGKPELAAARPSLTRSEALAFAAVLALFTAGIFCVFLAAPRHEFGQILRLPRSLADVRLLKDNLAVYARDHQVNFILGYCSIYIFMQTFMIPGTIFMSLLAGALFGVIKGGILVVFTATAGASSCYFVSKLIGRPLVCWLWPEKLRYFQSEIAKRKEKLLNYMLFLRITPTLPNTFINMASPIVDIPFHIFFAATLVGLIPASYITVKAGRALGDLKSVRELYDFKTLVVLFLIGSVAVVPTILKRKRTYE >Et_7A_050673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:995744:1007948:-1 gene:Et_7A_050673 transcript:Et_7A_050673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIWMLPAAGAVLLWAISLGWILSSPAPSCLPPNTRFLPPLRGDRRSRNVLLVVAHPDDESMFFAPTILFLKSKGHNIHILCMSQGNADGLGNIRKEELYHACDTLKIPRNQVNVLDHPKLQDGFHEQWDHGLLAELTLEQVQLWDIDTIVTFDSYGVSGHPNHQDVHHGICKFLHENGEGNIEAWELASLNILRKYSGPVDIWLSLLVSSSSKQPVYTLVNSRPSRSYQAMAAHKSQWVWYRRLFVMFSSYTYINMLQKEENAGRQSMMLPGAELPASNGGGANGSGMPSLPDFLGKRSKYVRMDDVVQQEQDEEEDGGVRVRRSQSSRRYVLACSVFASLNSVLLGYDVGVMSGCILFIQRDLHINEVQQEVLVGCLSFISLLGSLAGGRTSDAIGRKWTIGFAAAVFQAGAAVMTFAPSFRVLMIGRLLAGVGIGFGLMIAPVYIAEISPAACRGSLTSFPEIFINLGILLGYISNYAFSGLPDHLNWRVMLAVGILPSVLIAAALLVIPESPRWLVVQGRADEAHAVLLKAADGGDILPPLFGRKSRYARMDDVLPQDEEEDGGGGRVNGSGSSRRYVFACSVFASLNHVTDGEEEAEARLAEIEEAARATVADSGEAEAARATAGSGKAVWRELLLRPSPVIRRMLITGVGVQVFQQATGIDALVYYSPTIFRDAGITTERQLLAATVAVGLTKTAFIVIAIVLVDRVGRKPLLYVSTVGITACLAVLATSLSLLARGALPGGAAIGLAILTVCGFVAFFSVGIGPINMVLSSEIFPLRLRAQAVGIGFALNRMTSGAVAMSFLSICNAVSVAGAFTGFAAVSALSVAFVHLLVPETSGKTLEQIESLFGGATSGEVELGDSERLVHERVTSQASS >Et_5B_043161.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:13877479:13877721:-1 gene:Et_5B_043161 transcript:Et_5B_043161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMADRMAAGLHVGFHDFTVAATPATWGQAMDVPETRLNWDACFCPTGSDVVGIQDARMFTPGAPTSGCSGNTQNHHADDI >Et_2A_016338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23526631:23528886:1 gene:Et_2A_016338 transcript:Et_2A_016338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDGGVEHHGAQDAAPHQLLPPWLGAAAPFADPAAFGAGYDVFGGLAAGLAHGGVFGFGFDAMQQQQPQHQRQQMAEGSGKAVVSGLLGSLQAELGRMTAREMMDAKALAASRSHSEAERRRRQRINGHLAKLRSLLPNTTKTDKASLLAEVLDHVKELKRQSSAVMAAAAVLLPTEADELAVDAAVDGAGRLVVRASLCCEDRADLIPDIVRALAALRMRARRAEITTLGGRVRSVLLITADGGAREEEGADEDDDHPNADERAVSQRRHECIASVQEALRGVMDRRAASSDTSSSGGGGGGSGGSIKRQRMNYAAQEQCSV >Et_4A_033346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21743746:21746173:-1 gene:Et_4A_033346 transcript:Et_4A_033346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKLCVASESERRSKKKRSFSHFFLHCDGTAKKILPLFGAHGGLRWAPAAARRGCVVSRPPIRGAAASSSFFPIASARLPRASAIYDLQKSKSNLEALFCYDKSVPEEDIGKPAGLNLEKKNVGNNPPCLSCEAKGAVLCATCAGSGLYVDSILESQGIIVKVVGELETLCAPNAEAVDTHEC >Et_3B_028732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18543261:18548096:-1 gene:Et_3B_028732 transcript:Et_3B_028732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEADASAGGAAGDPQRLKRIAAAAYDYENDARWAGYWSNVLVPPHLASRPDVVDHFKRKFYQRYIDPGLIVEPMSSLTSTQPTRPAARSSSTPSAENVRARDSASSRSTASSQSPPSERSGNSLRPDGRTIHFSINAWVLVVASLGIFPILPNNISSKAYRLSLLGTICSSTYSLYCTYGKPRAWNMPAIQPWLQSIIVAKDFVHLMFSLMMFASNVHFKIALLPVLCWALDHVARFLRRNFTRSSLYRKYLEDPCLWVETNNTTLSLLCSNAEITLGFLMIISLFSSRRNIIQTFMYFHLLKLMYHAPVTSGYHQSAWARIGRAVNPYIYRYAPFLNTPISAVQRWWLRKNITKRKTMKLWIVKSIVDT >Et_1A_004517.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12019695:12024192:1 gene:Et_1A_004517 transcript:Et_1A_004517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHIGQLSPDVRRPDLEHLFQRFGRCTVNLKDGYGFAVYGSNADAARALRAMHGRYVCGRHITVNWSKQQPRFSQGFRKSSRFVESSHGRTSRDGRENDRFRNSLAEKNNPARHDESNEKNHRANHAESEDPASAPEKETDNDKLAEGVDDAGENIGEEEASPGELKRDEGGTVDASAIEQERWAETGKGSPGDGDDFDRYEPYHGFHRKKETEDEIKASSGDLHDHRHSSEKWQEHSDKRVDMSHDKTRSSQTCYTCGDSGHIACYCPQEMDGRFKARRDGLNFREKWELRQRRFGSPSRRRPEFHVHPMDQKRQRVQDGRKPFSGIETRVHSSSNVHGESRRHDHDSENMPQATKGTHKRSRSERSRGSSLSSGPSKHTHQDNIRRSHSNQTSSDSRHRSPHSRSRFRAHSPSCSAHSSSKSSQPTQREDSSSDINHPVPFSTSAPPQHKSSPDLENTNLDGPMNSPFEDNSDIRTIPDVKHMNGNKQDGKGSALNSEVPIASSIFDAVSNGKSLVPDKGAHVAGFTGFNLDKNLAGYNDNVASGVQIQNANFDDTSSAKSNQDVPVKIGRSRSLKLTTNEVISALKHYSMETHEVDSLDQPVEKYFGAARLWPWEIIYYRRLKKGPISTENYAKRLEQNKEFGIVDQYVRSSSGWWEYH >Et_5A_041134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18676298:18676647:1 gene:Et_5A_041134 transcript:Et_5A_041134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPSLILSKVEFHPFPLNYLTSTFNCNIKAVGQIYTTHTVYHARSNHPEKIMATVGQPPGHLQELTGVQWCQAPKAYVQHGPGRLTVEPLDAAIILI >Et_6B_048726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1382368:1383194:-1 gene:Et_6B_048726 transcript:Et_6B_048726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSRPHRLLRPLLRGFHASAQALARAEPHEFSKPSGYLGSWEPAGDPREAWARLERLRKGYARDVRELRRQYAYEVQLMEAERQRKAEARAEAARVANEERKAAKAAAAQTRAAERRAFEQDFRQALMKERAEKLESWRRKEKLKEQKKAEQKELLRKKSGMWVAEGKLEEKILDAIVHTTPL >Et_9B_064104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11278135:11283600:1 gene:Et_9B_064104 transcript:Et_9B_064104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEQADPSPSPLFHTAMELLVLAGCHEAWRYLEVGTVGECTSGAVRGFCHGATDAPCLDACVVRRPSAAILLGRRISHQQPSRGIAPPHARPSLLRPRGCPHKHPCSTVARGLWTRLPEQTFREFRVRFHSLEGSLAQITRPALNLLHIQMDWMCAPCTSLSSLLRAAARLAPKNLIFLLWRNTKRNGEAVELPCFDRTASLRLELPYLDLAPPPGGVFSTLERLVLYSCNIEPGSLLPMCPCLRSLHFEACPSFNAVTVHSASLEELVVYSHHDEGGFEIGYMDIVTPLLKKVSFSIAWGNVFSMSFRAPLVENFKVDCIFHRGNVGLQDMRLHRLIYYLRHGVHELHLDINYRYYLPYMDYVDFLLDVDRSLEEEITLLPFSHLSTLVLNLETEKHAFGPVVLHLLQIRQIRWLNVSCPLDCPCNQHTNWRNESIALTELEEVTIWGLQRCGTVLKSMTLEVAAEGYNKVCGICEQYPHVKCDVSARKSSAPRPSLNLLDIDVDLRDNEAVDVGLKDVTSLLRAAGWLALKNLTVKLNGYITHGEDVIVLPCYARTISLTLDLPLRFAPPPAGELTALKELDLTSFEIEPGKRQEIKNITLISNKNIQERKIFTLKSSKTAPVLPMCQSLLSIWLSLAHDNNLIDVVTVHSPSLEELRVENICGDTFISRMDIVAPQFKEARISIPTPKEAGSSFLPARSSLRHWWILGLLLRGAERERYVCMYDTPPSGQQPETWLSNYFL >Et_3A_023044.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:20853832:20855705:1 gene:Et_3A_023044 transcript:Et_3A_023044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILRLLAARRFRRSRRHRAVSTITATAPCTPRGGHLCGGDEDEGPFFDLDPSCWSAPASSAGSQVAESGSESEDSCFGPTAPTAAADLDFVISLQRSRSGSPSYEERLFFRGVAPTPPQQPPLKFCASEPSDAASRRHSSSSCASRRGSRLQLRTLSFGSAKAAFHGGRASFSRSFSSARSAGLFAAASYGGFGSPDAQDRDEAKARTPSGDVFKRYLSKISSRLRRVAPGAALADLRLRKSRSASAAQMAAAAAGQSPAPPRRDDTLVEKQDGIASAIAHCKESLHRGKYVLASCVCPRPGAVALTPPTVSCLHPLLCPSTLEYFSDALVSLAFVQRPSRRATRRCCDHGATRGRNDLQKLQNKSTVAVIFASGRLAISRRTSAVREETEAEASSQISRKKRGKRDEPAASTNG >Et_8B_058514.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:11085013:11085690:1 gene:Et_8B_058514 transcript:Et_8B_058514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSITSPPSSVLLFLGILFILLSSSQTHHGATAASSFPPKGKQLHGVHTSRSFESCLADSGVRNFSLAGSPSYGPLLNFSIQNLRFARAYLRKPAAVVLPTTRHDLRRAILCARAAALAIRVRSGGHSSEGQSYTVSGGAPDGRAVADVPFVVIDLMNLNRVRVDAAAATAWVESGATLGEAYLAVARASSAWSSSPWLRR >Et_9B_065679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9020085:9023018:-1 gene:Et_9B_065679 transcript:Et_9B_065679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTSSPSPPRLRLTSPPPPPPPLSLVSFPPSPPPPGHAVLRAAAAADPRAAHAAAVKSGALGADARAANAVMCAYLRAGRLGDAREVFDRMRARDAASYSALISGHARLGSPAAAAAELLRRMHLDGLDPTEYTFVGLLTACARRGNPRLGAQVHALAAKSALSSGSSLLVDNALLGMYVKCGRFGDALRVFDGMDEDCHRDVSSWNTVLAGLVELGRHEEAFQLFREMRASVVRADRFSLSSLLAAAAEGFGRHEGEAVHALSLKSGLETDLSVGNALIGFYAEHGDSVDDVLSVFQGMPVKDVISWTGLLNGYMEFGLVDMALDVFDQMPERNFVTYNAVLTGFCQNKEGVRVTFAKKAGLRGLGLFRRMVEDGLEISDVTVTGALNACAIAADRKASEQVHAFVIKCGCGSSPWIDAALIDMCIKCGRSGDAHLLFDQWRHVESFHIAWSSLLLASVRDGEYEKALSAFLQMFRIRGLQFIDEFVLTAVLGICGSLGFTELGKQMHSFAAKSGLLCARGVGNAIISMYGKCGELEHAVNFFERMPCRDLVSWNALITAHLLQHQGEKILDIWAQMERLNIRPDSITFLLIISACSHTSSDSADKCRELFISMSSTLGIEPAMEHYAAIVYVLGCWGHFDEAEELIGSMPLKPGALVWRSLLDSCSKRSNMTVRRRAMKHLLALEPQDPSTYVLTSNLFSESARWHNSENTRLEMREKGMRKIPARSWTFHDNMIHSFFARDRSHPQSKDIYAGLDVLVLECMKAGYEPDTTFVLHDVEEYQKRHFLMYHSAKLATMYGLLMAGPRQIVRVLKNIRMCGDCHSFLEHVKRSRSETHLGFTFSGEGGVPVEIREGTARSVPISLETGVLYITVVSLQKPKGIAGWVQLKVIELKMLLSIPDKYITVLPEVIGFVEGTYTLFISTSDNIVTLKLKSGQVRIVSQRLCYGVVVPYMSFYAP >Et_3B_030908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8719743:8721698:1 gene:Et_3B_030908 transcript:Et_3B_030908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAATMVTHHPCARARLHVCAAWDMNPGAATVAVPKPSKAKVKVPLSEPAAAPTRPPPPTHADLFARSSEGQVGSKKSTYMGFEKWWLPPPPEVKKPRSLYSAASLAYLGDCIYELYARRHFFFPPLSINEYNKRVMEVVKCESQDLLLNKLLGEDFLTEEERDILRWGRNIVSSKTRTRKRAGIAVYNRASSLETLVGYLYLTNFKRLEQLMFQLGFTSGASSQHIADELRSSFQ >Et_10A_000400.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:9577227:9577394:-1 gene:Et_10A_000400 transcript:Et_10A_000400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFCGNNAMFVCSTESIQVWMRLLDNLMMRCIFCIWLVRGGLMPLVEVSVSRRA >Et_9A_063456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2959439:2961223:-1 gene:Et_9A_063456 transcript:Et_9A_063456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAPITVLLGDDLLREVFVRLPSPDDLIRAAAACKPFLRAARCPPFLRLFRRLHPSRCPHLLGCLSVRPGLRHSAPRLSTSSASSSTAGAVHGGDFALSFLPAGGWPGFASWELLDCRNGRVLLKNRLSGELAVADPMSRGCITLPAPPTERAVGYGLVADDGDSSAFLVACISRDTASHELRALILSSSELSWADVAGVSCQPKFAGVRPMQANRSLYWKLEGGERMVAFSTVTMEFALLDLPPSLHELSFDVIEKGEEDCNVLHLLTMTGFCIEVWAGTWDGDGGMAWRRVDKSVSFHKLVMEVFKPSRQPRQQTLKVVGVAAGVVFVRQWINLFSIDLETMKLKVLSKKDCQSTLSYPYTIAWPPSFLNPTGQVSTAGLSVVSFYVKKL >Et_8A_057373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:24070025:24071578:1 gene:Et_8A_057373 transcript:Et_8A_057373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPDSISDMMHDAQDLPTVVRSSDEMEIRNSGEFHRETVGTLPESNHHESCTTKFNTGEYQVVLRKELTKSDVANVGRIVLPKKDAEASLPQLVHGDPLILQMDDMVLPITWKFKYRFWPNNKSRMYILEAAGEFVKTHGLQAGDALIIYKNTMPGKFIIRGEKAIQQPTNS >Et_7B_054682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3423033:3430592:-1 gene:Et_7B_054682 transcript:Et_7B_054682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFVRLPFCVCGGWGLPCVAVSVVALLVSLCGLVLEELEAQLPLCKMFWHVPGLSAASPVDTILDKENFKLEDLLDEDEIIQECKALNTRLINFLRDKVQVEQLLRYIVEEAPEDAEKKRIFRFPFIACEIFTCEVDVIMKTLVEDEDLMNLLFSFLSTEHPHGTLSAGYFAKVVICLMMRKTLSLVSYVQSHPEIVSQLVDLIGITSIMEVLIRLIGADETMYSSYTDSMQWLDDIKVLEMIVDKFSTSDSPEVHANAAEILCAITRYAPPALAAKISSPSFVGRLFQHAFEDSRPKSVLVHSLSVCISLLDPKRLVSASYQAFRSQLSHGTLVTASPETVNGMLENLGGLLKLLDVSSAENVLPTTYGSLQPPLGKHRLKIVEFISVLLSIGSEAAEKRLIQLGAIERSIDLFFEYPFNNFLHHHVENIVVSCLESKQDHLIVHVLDECKLVTRIVEAEKNSALSTDLTKHTISSEGRSPPRAGNVGHMTRIANKLIQLANTNIAIQSHLQQTSGWMEWHASILTKRNALENVYQWACGRPTSLQDRGRDSDDEDFRDRDYDVAALASNLSQAFKYGIYSNEDIDEAQVSLERDDEDVYFDDESAEVVISSLRLGDEQDSGSLFTNSNWFAFDEDKALNDGSVSSEASPSPDLEISSPKVDDDMDEVILGGVIDSSKDSVALLPVSKDSNEQSGQTVLSNGPVDKLDDDIRPPTPEVKESQPECVEWKEEAEPVDVAEKDIVPNFEVGSEQVDAADDVKPDDGKLGEENENDNSVGSSMPEAVAEEVVSVSPVVNSLEHPKPVGDITVSESPVDEQNHKKDENEGD >Et_4B_039338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10218343:10221056:-1 gene:Et_4B_039338 transcript:Et_4B_039338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLVIDNLWVEDVQFWESLKPSLVAGEEGSKVLVTTQNEMVARMVSNTPHINLKGLEVEEWLQILQIDGFFEEGSSGLESIARRIAEKCLGSPLAARSLGVRLSGTNGQREQLENILDDFQFLDRNTDIIMASLQISYQHLSYQLKQCFSFCSIYPIGHEFEKDELVRLWIADGLVKRDGSRTPEMEGTSYFHELLQRSFFEISNSFPNPKFRVPTLMLKLARDVCKHECLTLDPDYSPVADHPEWFRYATIMCSTDEPLAFDKIYPYKNLRSMPSGVDKLRSLQTLSRFVVVPRNGGKCNINELRNLKIRGELCLLNLEAATDDGALEANLSGKEYLHKLMLKWSDDTCRDEQQQHVEDSKRIIDALCPHNNLKHLRIEKYPGKELPSWVENLSSLDFLEIISCPRLTQSSMGTLQFVGNHSAAAECDCEYLHDLFFKYMDASNDLYVESLKRLVQSCFHLLNRSGTLLGET >Et_2A_015881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18712969:18713685:-1 gene:Et_2A_015881 transcript:Et_2A_015881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDMDDDEDIWANTTTSPRASPPQPGACGFISTQLSLNSRLHLLSSAAAHGSPPDRSGGDGIYAAADGGIRHHMGLGGGFRNAAAPQASFFSSYGLDAGAGRGPLEHEMCLGPADAAAAAWAGAVGGTDRQKKRMIKNRESAARSRARKQAYVRELEREVKHLQTENQSLRDKYEQLRVSVEVPVPLPVKKTLQRMPSAPF >Et_2A_015220.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8538473:8538565:1 gene:Et_2A_015220 transcript:Et_2A_015220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRHASLPPRVINNQCISFFDLRLQFFSLG >Et_3A_023992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15872860:15873161:1 gene:Et_3A_023992 transcript:Et_3A_023992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVYGLSNESEVSLYKLDCSSNQGELSFCLERMKLVGSSKRKGGMGRALKEHKARLYIIRRCVVMLLRGDN >Et_2A_015437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12879626:12887802:1 gene:Et_2A_015437 transcript:Et_2A_015437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGDIEAVPSLRRNSRSIWRRGDDVFSLSSMESELDDEEALRWAALEKLPTRHRVRHAIIHPLSDEGQQQRGLVDVAGLGPRERRALLERLVRVAEDDHERFLVKLKRRLERVGIEMPTVEVRFEHLEVEAEVRVGSSGLPTLLNTVTNTVEEVGSALRLEADDAHPLGRQRHHQAPQDDTVVRPAWFWQDYLAACVNPEMNYKKYYIFNWRRRVTYNGHGMEEFVPQRTAAYISQHDRHIAQMTVRETLAFSARCQGASAVGGQQADVVTDYILKVLGLETCADIMIGNELIRGISGGQRKRVTTGEMLVGPARTLLMDEISTGLDSSTTFQVVNSIRQSIHVLGGTAIISLLQPAPETYNLFDDILLLSDGEIVYQGPRENVLDFFESVGFRCPARKGVADFLQEVTSAKDQKQYWARTEEPYMFVPAKDFASAFKSFHTGRALANELSVPFDKSKSHPAALTDTSYGVTGMELLKANIAREVLLIKRNSFVYMFRIFQLILVSLIVMTVFFRTKMKHDSVTSGHIYLGSLFYGLAQLLFVGFSELAFTVARLPVFFKQRDLCFYPAWAFTIPSWIVKIPITFVEAGVYVFLTYYVIGFDPNISRFLKQYLLMMGVGQMATSLFRFIGGATRDMVLANGLSSFVTLACMVFSGFLLTRDKMKKYWIWGYWICPLMYAQNALSVNEMFGPSWDKIMNITGSNETLGVLVLKSRGVFPEAKWYWIGFAALLGFMVLFNALFTLALAFLSPHGKRQRTISEDNLKGKYADVKNEIPDGKQITSGNRHPKGMSNKTEIIMLENDPGPIHKGMVLPFLPLSLSFENIRYSVSMPEEMKAQDVADDDLRLLNDVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGRIEGNICISGHPKNQETFARVSGYCEQNDIHSPHVTVYESLCFSAWLRLPIDIDSNTRKMFVEEVMDLVELTPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVKNTVDTGRTVVCTIHQPSIDIYESFDKLLLLRQGGEEIYVGPLGQQSSELIKYFEGIQGVCKSKDGCNTSFDFHAGCHILTVVIELPYALVQTIIYGVIVYAMMGFEWTAAKFFWYLYFMYFTFLYFTFYGMLTVSVTPNFNIASIVSTTFYAIWNLFSGFIIPRPKIPIWWRWYSWLCPVAWTLYGLVVSQFGDVMTPMDDGTLVKVFVEDYFDFKHNWLGWVAAAVVAFSVLFAALFTIAIMKLNFQKR >Et_7A_051081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14226480:14228691:1 gene:Et_7A_051081 transcript:Et_7A_051081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGARSLDLELNMDTRFPLARSFENLEVRPFPFGRHFALFQGNILFLRRERPLHINRRQLVDGELDTFLQFPEVRRFQNLEARPRRFGRHFAALFPGNVVLFLPPREQRPLHKNRRQLVHGELVQRVGGHRGLVPRPPLGREHRAVDGRGVAAEPSLEREEAAAQLVGPGLRQPVEAESVHGPRAVERQAVLLKAGEGQEQPLGVVVLCQVDRVHGALVHRARRGRLAAVLPRLELGVLQERVGAPGTLPHEPLVHGAGAPGLEVRVGLPRGGARRPRGPPLEHHARAGDAAAERLLRLRALAPQVVGGGKGGDGAVQDGARAAEAAVVGLELGVPGPQRGVAGVLQQRALEDGPGAGHVALGLLPGRVPGPRVGGGRGAGAAAADEGLELLPLAEAVVRELVRDAAARRPGLVELPGLAEQLLRGDLDLRRVLVLHAVDGVASASGYGSRHEFYDGWGTPRSGRASADLRSRLVAGVCICR >Et_1A_006889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28685280:28687458:-1 gene:Et_1A_006889 transcript:Et_1A_006889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCHLLDGVCIKRNLLHIHHCRVGTEPLSPCHQVWNLRHVEELNSGDHLHRLHPPDVRAQSDVQVPDVIAAEVVLPVAELQEGLLQKLQGCGQLLRCFVLVSTISSFQDPDC >Et_2B_020262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18496524:18498301:1 gene:Et_2B_020262 transcript:Et_2B_020262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDDKKSVAGAGGGGQDKIKVNLPMGFRFKPTDEEIIEYYLLPRLQGRPTEPNDAIIEANVYEFHPDTLINEMYRSRGKDEWFFLSPRARMYQNGVRPSRKTEDGRGRWKASTATKVVAKETVSDGIRFCKNVLNYFAGSTKDEVRTKWLMRELTIPEFEIKRGNSGANETVSFEKRSNTFQFPRINCVRNLDEYVMCKIYLSPVHKTGDDDDSGDSPACELSERQNGKRPLELSRGHAVARKQARQGSLTIGRTQPSGFGTGHVPTIYCLPAQPTGYNVQAPVQRPAGMMHNGQAQQQPGGAFKGQELVPRTPIPTQFQPNAATSNPNNSFGRTATMMVRPPNMGFPGNPLRQHPGTGFRPQVSLRCHYDQNYGTVQPQGNAAYGTPQQRTMAYAPTPTQQQQQQQQFFNFNADDFAGAFASTWPPSYNGNPYKGSSMEQEAGDQAQASSPGASSAGNGSMNAEHHFVELAAINTSLAGARQQTAAVPDIELATPNEEKGVEETSAH >Et_9B_065527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6196851:6201397:1 gene:Et_9B_065527 transcript:Et_9B_065527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPNLARALLARFIGAKSCRRWSMELWIPMEAGAAWRRQKLARFAAATDLDFLFVEFHLLGLGALQPVGRNAPDTSQLVLRPRAWFVRRNAPDARSCGPGLVVPDETAEDTKLEYSPLNPFCVLQHRTNCQRLTTYLKGFPSSAHWFLNHGMGPSQSEWHSFLLLLDCCGTSTSSRDTLHLRSSLSVENHESSILQSQDGTFSCGFYKIYTNTFIFSIWYSNSAEHTIVWSANRDRPVHARRSALTLQKDGNMVLTDYDGTVVWRVNGDLTEVRFAQLLDTGNFVMKDNGGKILWQSFDAPTDTLLPTQRFTATTKLIASTQLHISGHYILSFGDMSILSLIYDDPDVSDIYWPDPDTPLFGNDRKRYNNTRLGVLDDLGQFFSSDFATQQALIASDAGPGIKRRLTLDADGKLRIYSLNSSDGTWSVSMVALTKLCKIHGLCGPNGICHYSPMPTCSCPPGYEMINPGNWSQGCSAIVNITCGAAQPMRLLRLPNTDYWGNDQQQIESVSFKACKDICMNDCTCKGFLYVQGIGLCFPKSLLFSGRSYPPGHDARTMYIKIPMSVDISNMSIPQSDVIHSTQHYLKCGHTSTRTIQFFPDVQKTGSGESKWFYFYGFVAAIFVIEIIFAISAWLFVLRRELRPAQTVEGYKVVSKLERATGNFSNEIGRGGSGIVYKGILDDIAIAVKSLENVRQGKQEFQSELRIIGRVNHMNLARILGFCSEGYHRMIMYEYIENGSLSNILFNNIILLEWKQRFNIAWVIHCDVKPDNILLDQDFEPKIIDFGLAKLLKRRGSNQVASQVRGTVGYIAPEWISGLPITAKVDV >Et_3A_027138.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:34034609:34034860:1 gene:Et_3A_027138 transcript:Et_3A_027138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFACVPSLGKVFPKKSPSSSSSSANGSDDDTIKQQEKQRQQQEKQKQVAEKEQGKQKKKKSNLDKAALTTPYFPFHSRPGLL >Et_7A_053150.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:8282150:8282830:-1 gene:Et_7A_053150 transcript:Et_7A_053150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDTLDKLVVFLAKRDGIDKLVKTFQYVSKLAHWAAESSHPEFARRAKTWETASGLSRKAFRSGRFLTGFNAVRRARPGELGALTLLANAGEMVYFFFDHFTWLSRAGVLDPWLARRTSFISAFGESIGYVFFIVMDLVVIRRGLRRERRLLLLREGGGKDRDEEVRRIRADRVMRLMATAANAADLVIGIAEVEPNPFCCHAVTMGISGLVSAWTGWYRLWPS >Et_2B_019280.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:21024908:21025330:1 gene:Et_2B_019280 transcript:Et_2B_019280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFEDDRRCCICRALCPTVIITRSDDSGQQEGAFVKPSAGMFTGAAGRALGKYFWYHGGMAAYFDDREQYEAARTACSLTTSAAGPADVDERPEEAPAAESCPWYLESSFLVALAMLTITAGFMAMCVAELLGLIEPAY >Et_7A_053000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4380115:4383557:-1 gene:Et_7A_053000 transcript:Et_7A_053000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSATTWSDILPDLLGRVIARLPFPAGHARLRAVCRGWHSAARQHVRQQLPWIVLPDCSFCTIGDDGEHFQHRIIPGLPDDEGKATACLGATGPWLALDCTDDVFRRTPHWDKFSNNMSAIPRPDVKHKHTYLLHNPFSGETVPLPELDSIIGNVAETFEIRKVLMRSGCPDDLIAITTNNWNCNVILCRPGKGKCVVPDLRVFDVVFLGDQLLYGITPEEELVAVDIEDEAGSPTVTKCKRVIKKPLGADGWDDPWSWMYDDVEDDNNDDDSSDDGQLSASSEEEEEAGSDDDHAPNQEEEDASSFNGDDMVPNGVVSIRDEQVPYEPKDYIRTFRYLVKSRSEELLLVRHHVQVPPRSSHYTRNVEVFKADISAGNWALLTSDAIIAKGEALFLSRSSCNYTTLAYGDVQEGLIYFIYTNEVFDITSWAPRPFILPKLERRSTYLKRTMGHGRVELKKIENPTNRQVTFSKRRMGLLKKANELAVLCDAQIGVIIFFGSGKMYEYSSPPWRLKA >Et_4B_038696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3995435:3998407:-1 gene:Et_4B_038696 transcript:Et_4B_038696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISCFSFLLGGNKKRSKVWSKVACASRATGNDCPKVKPVEFMDTADVISSGGNAPARETNVISHADAETARQERDANEDKESAKRDDAPDAALVAGCDSDDAGKDGVGAEPDAGGSSPSAGRMSSSPTASPRLQRSCSNIETARCVPKDFADLPAKSRSYGDLKALRSGRLSQATPGGAPDASPAASVRTSCSADRVMLKKRSSRQVLPSRSRKLWWRLLLWSHRNLHRPGATPFLPPLADTYGAPRQNDGYTSDTLTAATREPKNKEVALAAEEEGPVPNQWAAFWAEASSSLDRVSAWVNSLGNGSFHAVDEDETDDDGVAAPCSEIVECSVLAAEKHARGKRRAADEAVRESSVAQTLNMVSSVAHISGMGLKAVPMIAAFSTLRAVNLSGNFIVHISPGSLPKGLHSLDLSRNNIANIEGLRELTRLRVLNLSYNRISRIGHGLSSCTAIRELYLAGNKISDVEGLHRLLKLAVLDVSFNKITTAKSLGQLVANYGSLRALNLVGNPVQANVGDETLRKAVSGLLPRLEYLNKQAVKPQRAREVAKDSVAQAALGNTGWGAARSSRRVMSQSPGSSSVRSRGRDGGSSRRGSRSRSKTRPQGSSLSRR >Et_8A_056300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20599324:20599641:-1 gene:Et_8A_056300 transcript:Et_8A_056300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIQELTLRGWHHAQENKRRTLQKNDITEAIARTEVFDFMVPSDEKKEDDDDPYANYYECDCFSCPSSPHEPDLRNVTCDDPRYYTYIHEYYSL >Et_4A_034601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4685184:4687386:-1 gene:Et_4A_034601 transcript:Et_4A_034601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVRSESSSPNLRRIRTAMPAASSKAPVNSKANGVAEDQTKRGANGRGENGRFVPSARRRSPTPQPPPADPELGRTREMFDCFNYASVSEFTEKKINMLRSSGSMLLSDQKIRAVVTNAKQIHKEYGSFSNYCWSFMNHKPITNSFRYARQVPTKTPKAEAISKDLMRRGFQCVGPTTIYSFMQVAGIVNDHISCCFRFQACRNGKNVRADSLKEG >Et_1B_012596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33506151:33508497:-1 gene:Et_1B_012596 transcript:Et_1B_012596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGAVGLGFETLDGALDALRRKLISSKGFVDCCGIWGSGSEAYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRNYYQQFEEQQTQSLIDQRIKEHLGQAAAYQVGAPFSQHLMSLPGGGVRPRLPILPTPFMQPGVPLMPGGRPPILPTPGIPAQPTMPQPGAPVSMPQPGAPGSMPQPGAPPGSMPQPGAPPGSMPMQMAPLPRPPTLPPPTSGAPGAPIPSSGAPPAMYQTNPPPPAGPTSGAPPAPPTAPQQPSFSYTQPSEGTH >Et_3B_028499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16180630:16182413:-1 gene:Et_3B_028499 transcript:Et_3B_028499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRTEECDDVHNVEALELELGDDGGERVVRCRDVVVRTLHAGATARMSAQETMPGHAASRAVLISSMTSKPLAESLFGFERFSLTIEPLLSSRIDASQPCIVEVEAEEGGGHARVLVVRLPNNGRHDLLRLRARVVVEVRVELSRERRRDGEEERYQRDHGGSGHCTLHFLPRQAESLGLN >Et_8B_059523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18860828:18863892:-1 gene:Et_8B_059523 transcript:Et_8B_059523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHWGLNSGAARPFGDRRWLIPFVASLLVTATILLAALCGLFSPPSPTGGGDAVLFDLVSVDSWDDSPAGDGARSVDNKNGDDDDDNPDNAAVNSDASDAEPPRIAYLLEGTKGDGLRMRRTLQAIYHPRNQYILHLDLEAPPRERIDLAMYVKGDPMFSQVGNVRVIAKGNLVTYKGPTMVACTLHAVAILLKEGLEWDWFINLSASDYPLMTQDDILHVFSSLPRNLNFVEHFQLSGWKVMSRAKPIVLDPGLYLSKKFDLTMTTERRELPTSFKLYTGSAWIMLTKSFLEYCIWGWDNLPRNLLMYYVNFISSPEGYFQTVICNSEEFRGTAVGHDLHYIAWDYPYPKQHPLILSMKDFNKMVKSGAPFARKFPKDDKVLDKIDRELLNRSEGRFTPGAWCDGSSEGGADPCLSRGEDSVFEPGPGAERLRGLMKKVLSWDYRNGSCSSLSYDQTKRDWYLPKSKG >Et_5A_040422.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20953200:20953304:-1 gene:Et_5A_040422 transcript:Et_5A_040422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTRYIILFLLYDKVLPNDVIWADMCVAHVHFY >Et_7A_050434.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15101028:15101234:-1 gene:Et_7A_050434 transcript:Et_7A_050434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALKVALQVASVAVVGFLAVAKGTLVMSTVQRTLVVSTAVLCFAASLWLAEFIEKNFYFQDIIHADR >Et_8A_057516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3925178:3932255:1 gene:Et_8A_057516 transcript:Et_8A_057516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVESRGIIAFAAVLAALLLRCGVVAAPAAASTGQEGSSCTRSCGDISVPYPFGVEPGCYLAGFNLTCDRSTRPPKLFLSDGTVPVLEISVPNATVRINGSFAYFPGRTKNFLGTEPVTARTWSGALGEGAPYTLAEGRNKLAAYGCDVQVLLEGDPNRTLSTCAVLCNWEWDVDGRWSLDRVPADCSGVACCQANIMAVRSSYVFRTVQMNGATAPNSASLAWVVESEYNFFETLLLEKEKVEKEKKQMEIPDLPAVLDWRISQTTCHGYGSSAACRSGHSFCNNITQPFGNVQVQHGHLCHCDPGYQGNPYVPNGCYDVNECDDPETYPCYGTCNNTEGGYQCKCLPGYEGNASVPNGCIDIDECIQPDLYSCYGICKNMLGTFHCQCKDGTYGDPFTKGGCSSLSVLKIGIGVGGGLSCLFLALGAPFITRKIKLHKAKKMKEKFFKQNHGLLLQQLVSQKSDIGGRMIITLRELQKATNDFDASHKVGGGGHGVVYKGLLNLHVVAIKKSKIIVQREIDDFINEVAILSQVNHRNVVRLLGCCLEAEVPLLVYEYISNGTLAHHLHVDGPISLSWDDRLRIAFEISKALAYLHSAASTPILHRDIKSSNILLDDNLIAKVSDFGASKYIPMDKTGVTTAVQGTIGYLDPMYYYTSRLTDKSDVFSFGVLLIELIARKKPSFYRSDDDEGLVSHLTSLLAEGRLVDIIDPQIMGDGGGQQVHEVATLAAKCTKLKREDRPTMREVEMTLENLRVTNMHVGHATASRRECDRSFLNIETSRQYTMEEEMVLSVKLFAAVAAVLLAVSGAAAAAAAEGAPPDGCTRNCGDISIPYPFGVEPGCFIEDGFNLTCDRSHRPPKLFLGDGTVQVLGINVTDATVRINGGFTYFPSSNGSGIFEFGPKPVIASTWSGALREGGPYILAEQRNKLVAIGCNVQVLLLGDSREAPLSICTAMCTWLPDSNYWSYPYIGDCTGVSCCQSEIIKGRSSYGFNPLRVSDEAAGPKTPNSSVVAWIMEEGIVSPNATDDDDHPLPLPAVLNWRINHTTCHGNASSAACRSSHSSCKNITTPPGTVQVQHGHLCHCDPGYQGNPPAGCYDVNECNDPETYPCYGACSNTEGAFQCKCPSGYEGNASIPSGCKDIDECAHPDLHSSCHGRCKNIPGSFQCQCPDGTYGDPFKKKGCSSHSALKIGLGVGGGIFFMIFTLGTPFITRKIKSHKVKMRKERFFKQNHGLLLQQLISQKSDIGGRMIITLQELEKATNNFDPSHETGGGGHGVVYKGLLDLQVVAIKKSKIVVQREIDDFVNEVAILSQINHRNVVKLLGCCLETEVPLLVYEFISNGTLAHHLHVEEGAISLSWDDRLRIAFEISKALAYLHSAASTPILHRDIKSSNILLDDNLTAKVSDFGASKYVPIDRTGVTTAVQGTIGYLDPMYYYTGRLTEKRDVFSFGVLLIELLTRKKPFMYRSDNGDGLVSHFASLLAESKLAGIIDPQIMKEEGEQVYEVAMLAAKCTKLNGEDRPTVREIE >Et_4B_039931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6121869:6123446:-1 gene:Et_4B_039931 transcript:Et_4B_039931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELNNITGNFGQRALIGEGSYGQIYRATLTSGEPVAIKKLDPSVSKDSMADFSAQLSMVSRLKNEYFLQLMGYYLDDSHRVLIYQFASHGSLHDTLHGKKGVKDATPGPALSWSERVKIAYGAARGLEYLHEKVQPSIVHRDVRSSNVLLFDGYESKLADFNLTSQAPDGAARLHSTRVLGTFGYHAPEYAMTGVLTQKSDVYSFGVILLELITGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLGSDYPPKAVAKMAAVAALCVQYESDFRPNMTIVVKALRPLLKTAAGGPST >Et_5B_043547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11770767:11775684:1 gene:Et_5B_043547 transcript:Et_5B_043547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPPVWSVPCDFQMSNYWTMQPAVWAPGVPVEYGQYAATELFGSHLRFPRYGSEDLIEKTAEQELEVDFHHDLEQVQIHDNSQIREFEEEVREFKVDMDMMKMKIHRYPPSLRAFEEWYTVPRMVTMGPYHRARVGDQMLKKMEKVKYVAAYNCVKESGHSLEEVYCAVVSAALDARRLYDKDVMEGIGDFDFLSMMFYDACFLVQYMLWCTRAAGEMDPTMCSFFDFNRKVLRHDLMLLENQLPWQVLEAVMRFRPVDLADFVSDWRYYLQERKVLEAEDVVLDDSYEPPHLLGFLRFYYVGRSNITKPPYSRAKLESIRVSVSAIELAEIGITLTAAKETIDLIHMGVNRRGLLSAELSLVPLSLDDERASFLLNMVALELCTTPNFLVPEATDEDSAVCSYLLLLSMLVHREEDVQELRTKHLLQGGGGLINKDALDFFTKLQSLPLYGSCYVRVMVDIEKYQVNSQMWTKVHAFLYKNRKTIIKLFSIISVVSSILGTLRLEGCMHDSRSISIIHARTQVIGLQGCTKNDST >Et_1B_014332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6194216:6195917:1 gene:Et_1B_014332 transcript:Et_1B_014332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAREAQAGLEWRVTVPEGASVTVEHEAGAIFRAWACLVASMVTARNKVSGFAKRVWKIGADDPRKAAHGFKVGLALVLVSVFYYTRPLYDGVGGAAMWAIMTVVVVFEYTVGGSVYKCFNRAVATASAGVLALGVHWVADKSGELEPYILSGSLFLLAAAATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVDELAALAQQRLSTIAIGIFLCLGVCVLICPVWSGQELHRLTTRNMGKLADAVEACVEGYFADDQPAARQAKSDGYKCVLNSKASEDAQANLARWEPAHGKFGFRHPYSQYAKVGAAMRACAYCVEALNSCVGAEAQAPEHAKQLLRDVCGRVGARCARVLREASRSVETMTSSRTLDFAVADMNTAVHELQGDMRCLPSMLAIKLSETSLMDMMPLFTVASLLVEISARVEGVVDAVETLATLANFKEAGEDDDDDKKRETTTEMTKVHPLNEPDAEDASQGNQATKA >Et_2A_018201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17956166:17961200:-1 gene:Et_2A_018201 transcript:Et_2A_018201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGQSNDQMLQELDALSHTMYQSHSRRRTTSLALPRSTGDAANAGGGGAVSVRAAARPVSRRMSMSPFRSRPKLDKIEDGDYEDDEDGGPHVAGSPFKSQSFAGVSSSPSSAASVAKEKKGIWGWRPMRALSRIGMQRMGCLFSVEVVTAQGLPPTMHGLRLVVSVRKKETRDGAVQTMPARVQRGAADFEETLFVRCNLYCAGGGGGGAGGGGKPLRLEPRPFLVSAVAVDAPELDLGRNAVDLSRLVMESVERSRHGERVRQWDMAFPLAGKAKGGELVVKLAFQVMDDGGVALYGQHAAGGAASVAAGQTGSSSSSSLLARKQSDMSFSIASPKVTRAEAPTANKPDLQGVDHDSSPPPSSSLFARQQSKTSFSIASPKVTRSETASLTPTAKGAAPSPDLQRIDDFQLDEPSPVAEVVNQEQEKEPEEVEVIDDSEFPEFEVVDKGVELQEEQKEEPKEEEEAKEEAEAEKTKKEAEAETKTEEEKAEEEEVSSAAGDEVVKEVVLDTAHTWRLSELEAITNQIKALESMMHAGDVSPDAAAVPLEDEVLGLDADEVTREFLQLLEQQEEGIMADASAGKPPRASSTQPVVSSLKSGAKPGSGSDADATCYISDLGKGLGHIVQTRDGGYLAATNPFDIPVARKELPKLAMHLSKPFLLQDQNLPSGGAEVFQRLCAGGSDALFAKLGELVTMEDVVGKTAEQIAFEGMATAIVGARSKSELVAAASSSAAYQSVSLLRTMSAAMSRGREDRISTGIWNAQEAPVAVDEILAFSLQKIEMMAVEALKVQADMASDLGQSPFEVSSPAEKPDQAGHLLDTAAPPEEWAIACVGAETVALFVVVQLRDPMRRYEPVGAPSIVIVQARRAGDDDEEPKFKVTNMHLGGLRLKSADRRSVWDGEKQRLTAMHWLVAYGLGKADRKSRAAAAAAKAGQEVLWSMSSRVMADMWLRPIRNPDVKLNSKDETILSAGFETIVPTAPEM >Et_3B_028052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6135083:6135271:-1 gene:Et_3B_028052 transcript:Et_3B_028052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRELNPRATSAPYPAKGFSIFSTHHYSIYSDLHTSIEIVDIGCHSLK >Et_2A_015435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12600938:12604822:-1 gene:Et_2A_015435 transcript:Et_2A_015435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKVHELEADVPASDLWAIYGTLRAAELMPELLPHVLAKVELISGDGGVGTILELTFPPGIPGLQSYKEKFTRVDEENYVKETETVEGDLLKLGFLAYRIRFEVIAKGPSSSMVRTTIEYEIDDARPELEAMVSTAALAAAAEKFADLCHEFETDLPAVDVWQVYGSLLLGELVPQLLPHVLTKVELVEGDGGVGTVLLVTFPPAPGTSESASYKEKFIKVDNENYVKEATVIEGGFLNFGFLKYTVRFEIVGKGDDTSIIRSTIEYEVEEKHASNASLVSTSALADIAEAITRYIKEPKSLGQAPE >Et_1A_009184.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:32119368:32120285:1 gene:Et_1A_009184 transcript:Et_1A_009184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDNDDLLGEILIRLICPTSLVRAAAVCKRWLSVVSNQAFLRRFRDLHPPRLLGLYVQNGRLGSPRFLPTPHPPAELAAAISRAGSILDDVSLGVTAVSDCQNGRLLVKLNNNAKPAGAVLSPLHAAGDAAVLPPRPFATKSNIWTSHVRGMTADGVVYAAVRRCNLLFQRVTRSVHELRDGTWHKLTSLDFPLRLLPAVLISRPFNGKIYLMVCASAIDTLPPTLSIIPYLSIVSLPDGVECTQCRPLGNVKPWVDDSGLYLIHMKELQLHVWLHDMDSGQWLLQNTVCLREVYAQLGRFFKT >Et_1B_012278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30468770:30473271:1 gene:Et_1B_012278 transcript:Et_1B_012278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETDAEVLTAAEIVCSLRDADLAGWTPPWCKPAPAQEVELIWPAVVRGKRSRRRSPSAGSAGTASGKGRWGRGSPASPLDYSGGSGSGASTSGGEDGGFCSPGLHGRLPATKVGSIGHPQLTFSTPISKPTGQRPRKKLKLPEVQQLVRSLEMENESIRALDLAWLCAQEMRALQRACNSLSKENDKLETRFERLKSHNENTSKEQKGKEQVDQQAVVQSPRDSFVLPDLNLPPQDPADVSPVH >Et_9A_062426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22879194:22880558:1 gene:Et_9A_062426 transcript:Et_9A_062426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHSLSDSSSDDDRPTITLFRRNRSVHKQLGGRKVADILLWRNRNLSAGILAVATLVWFLFDVVEYNIVTLLCHIALLGMLLLFIWSNTAPLFDRPPPQIPEVIVSEHAFREIALTIHYKLAHFVSILYDIACGKDLNKFLLVIGSLWVVAVVGDTCSFTTLLYIGFLCALTLPALYERYEAEVDHLIAKGGEDLKKFYKKVDSNVLNKIPRGPVKTKVN >Et_4A_033211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2036342:2043532:1 gene:Et_4A_033211 transcript:Et_4A_033211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIEKDSERATRDGTAARTRPLSIQDIMLQREKKAASETKKTKADPQENDEGPSDYMEIGRGSKSRKELKDMPVDVSKMEKNRETTREGSKKENLRHAPRKDPKKDDMRYTPKEASKKDNFKDRPKDGSKISGMKNIPKVSEKEDLRDAPKKGSKKERSSIRDNDHVVARDKGVSTSHKLSSSISGRADESKDANLGDMRARHGDATKSQKGPGKSSNDDIVDDNRIKDRSEKFRNETKRKVRSFDDEKNSEVDQPVLKRYDSAQLQDFKHPDRNYGRKEYAKSYYGEPKLKRRRSRSRDIYRERHGRSISPPPREERHSHRGHDFGNYAPYYSMEKARKKHTETEKQRTSGNGAYTGGSYQRHESRLGGYSPRKKKTAPQAEQATTKTPSPATQSPAKKSATWDQPPVREDQSDFLTTLQPSVGQVAPSIPFNLSALKKDPATKVETILAGNNLSADSVQLTQATRPLRRLHIENLPDSATEDMLIDCLNDLLLSSRLKHFQRSKPCLSCTINKEKRQAFVEFLTPEDATTALSFDGRSLNGSALKIRRPKEYVEITHGVPTKPAEEIFVAGISEVISSEMLMEIVSAFGPLAAYRFLFNEELGGSCAFLEYTDPSITPKACAGLNGMKLGGRILTAVHVFPTPAKVEKEASPFYGIPDNAKPLLEKPTKVLQLKDLFDQEEYMLLSKSELEETLEDIRIECARFGAVKSANIVEHLSGSDKTPEGTFQPEGKPVRIEPTELCDRDNSKEAASEYSVPNKSIDVPDNSDPVEKKDADSIPEAHAPAADLDGIQSGAALSTLQQMEADPKVSEASTDEDMKAAEATAGVDDDAAEKGLADSRSSEICSPATRTEEAEKSEREEEQQGAGNGVEDHMVKAPAVEMGVGKFVFEPGCILVEFTREEAACMAAHSLHGRRYGNRIVSAGYAPHDLYLQKYPR >Et_10A_001680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6744916:6753814:1 gene:Et_10A_001680 transcript:Et_10A_001680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDYTIDDSSWREVRLINGYAMFIGNLMMGVRVLSVVVFTWTTVVLLGGFVSDLEKKDFGASQMFSFVSHETSKGMVHSSWIMLREVLTATVSRFPRGGLSSGYIMPKLALWVIQVLVLVIVLCPLVVLLLMIASLEIGLIISTGVSLWRLTEEASGKATEGANLKPALEFLYTLAVAQGVLVGYKAIHTYMVLSRLAEHVTHGCSIDKELVSGYIEEIAAGCEKDPSFATGKNLVTYAMDLMAEAKSNEGFIAGVRVLGTVIDGGFCTRERKEGGLISARGQKVLAKHLLTRSAFRGHMIQRLLETLGPRSPYSREIREHAARIVALVASGICLEQFPRGIQCISSLLDASEKHEPSERDPRLPDKYERHWELEGYERDYLIHDCKGTDSTARSGGELQRLFSDEIDLSEITHLHDYEGLVIEGLNIIQKLAVDEENSRVIIKTESLLPKINMVPLLLDKLHSENHDTWRNEVTKSWKLMNQLMVAPGEDGSTEPRGEISSNSKAIISNLISILHCHNCDLLTKRQAVKIVIEHSLDTSSVNDCGSSSSRTFIWILLLVFLLTDDETGSSTRWMNKSSDIRRLAGEKLADMLSSNTEVSPMLPLLIDETSATKTLHSVDVIVGDLARALMDAGDISSRVHAAEVLGHLYDHYIKDDEYLKKLKDAIVDVMPKGWDRPELYYYTGQTELLPLMQQVLREIISLEWTRAAMHALGENNVDSSLQDIESGGPSQGSPQKNESSWREQCKGSRLREAFVFLCGAVRAKWNVKDTKLSSQLDEIAAQVCSEQGIPVKKFCDLVCEAEELNFERGESCSSKRARREQHLLRENNNGSPRHHR >Et_6B_048426.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:3099769:3100002:-1 gene:Et_6B_048426 transcript:Et_6B_048426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNIHGADMGSYGQIIQEIKARATRFTSVDFVYEGQESNVDAHILARHCIYESVGRHVWLLSPSLGICNSISMNNL >Et_4A_033719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26177151:26178276:1 gene:Et_4A_033719 transcript:Et_4A_033719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAATAAKGGAGGKKKGSVTFVIDCTKPVEDKIMDIASLEKFLQERIKVAGGKAGNLGDAVTVSREKNKVTVTSDGPFSKRYLKYLTKKYLKKHNVRDWLRVIAANKDRNVYELRYFNIAENEAEEED >Et_1B_010936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15671675:15675267:-1 gene:Et_1B_010936 transcript:Et_1B_010936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAAAAALRLRAVAVLSPPPPPAPASRLLASRRVALLLPLRRLCSSAPPHAAASAPESQPPPRPSPFMDAQFESFRSQLDESSTIRDRIRAVVAEIESASRVATAALLLVHQPVPLADVLGKAKAQVDVIKGLYAQLAEILKECPGQYYRYHGDWRSETQAVVSMLAFMHWLETGGLLMHAEAQEKLGLSSGEFGLDVEDYLTGLCFMSNEFPRFVVNRVTAGDYDCPRKVLSFLTDLHASFRMLNLRNDFLRKKFDGMKYDLRRVEEVYYDVKIRGLASAESKQEAS >Et_6B_049018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16787857:16788774:1 gene:Et_6B_049018 transcript:Et_6B_049018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGFSGMANGGGGGGPADGKLIQTFHKSFVQVQSILDQNRLLINEINQNHESRAPDNLTRNVGLIRELNNNIRRVVGLYADLSASFARTMDAASDGDSSGTLRSSDGRAGHKRVRPGTDLEAVAISDNATILSIPSYPSSESDE >Et_4B_038003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25409208:25416820:1 gene:Et_4B_038003 transcript:Et_4B_038003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPPPLPRPRVMMLPCPLQGHVMPLMELAYRLVEHGIEVDFVNTDFNHDRIVKAMEAGGEAGAAGPAGINMVSLPDGMGPDGDRTDIGKLAGGLPAAMLGRIEEMVVSKKIRWVVADVSMSWVLDLVAKAGVRVALFSTFAAATFALRMHVPKMIEDGIFDEFGKVTRNERIQLSPRTPAIDATKLPWTSLRKSPESHRMLIQIVMKTNPKIASLAETIVCNTFEEIESEALALLPKPGLAVGPLEMPASTSAACHFWPEDRTCLAWLDAQAPGSVVYVAFGSLTVFDAIRLQELADGLVLTGRPFLWVVRSNFADGVGDGWLDEFKRRIGGAGLVVGWVPQQRVLSHPSVACFVTHCGWNSTMEGVRHGVPFLCWPYFADQFCNQSYICDVWGTGLRIREDEQGVVTKEEIRDKITELLEDEGIKARATSLKKTACASVANGGSSQTTLMAAAPEHHVMVLPFPAQGHVIPLMELSHSLVRHGFKIYFVNTEFNHDRILKSMEDIGAIPEGVHMLSIPDGLDPDEDHTDIGKLVGGLSSAMFGPLEEIVRTKKIKWVIADVSMSWALELTNTVGVRIALFSTYSASVFAIRMQLPKLIANGVIDESGNVKRHEMIQLMPPIDSTEIPWVSLGNTLERRRINIRNVLKTNQLMTLAESIICNTFTEVESEALALLPNALPVGPLVAPMSRSTGHFLPEDLTCLSWLDTQAPSSVIYVAFGSSTVFDATRFHELADGLELSGRPFLWVVRPNFTKDIEEEWFTQFKTRMNGKGLIVTWAPQQRVLSHPSVTCFMTHCGWNSTMEGLMHGVPFLCCPYFADQFCNQSYVCNVWKTGLKLCANEQGVITKEEIRNKIAQLLGDEDIKARALMWKDKACASIREGGSSHENFLNLPMGTATAAPHIMVLPFPAQGHVTPLMELSHRLVERGFEVTFVNTELIHTQVLEALRLRPGNGGARGKELELEGIRLVSIPDGLSDGEDRRDLGKFVDAFMRRVPGYVEQLIRETEALGRAKVKWLVGDLTMAMCFEAASKLGVRVAGFGPASAACFATTTKIPQLIEDGFIDEKGSPLRHGSFELAPGMPKLCPTQMPWSIDGVNEGQNVIFQMLSGNAHAASRFAETVVCNSFLDAEATAFEHFPCILPIGPLLTDRQLQKPVGQFFPEDKRCLQWLDAQAEGSVVYVAFGSFTVFDPRQFEELALGLELTGRPFLWVVRPDFATGGLSKA >Et_3B_031408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28535745:28538583:1 gene:Et_3B_031408 transcript:Et_3B_031408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARHRSSLSSERFLGSFLPSAAAGDHPASAAFELDEDDIFASGSASPESPQKPARRPLILSVVRTSNPSPLPRLRRPPEGILDALPEHRRSPPLSSSSTSSSSASPAAAPPRMIPVAPRPAPTPHIPQSAPVNVPAGRLRKPPVAAAMGEAFDEEDEEMLPPHEMVARARARESPMTTFSMLEGAGRTLKGRDLRQA >Et_4A_035870.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7666520:7667263:-1 gene:Et_4A_035870 transcript:Et_4A_035870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNPSNGVAAFVDAQARPESVGGGKAATRQYKGVRMRSWGSWVSEIRAPNQKRRIWLGSYATAEAAARAYDAALLCLKGSDAVLNFPSSSPSSSSSTTSSRNVDTRGGHSDPAPPSGGMSPRSIQRAAAAAAAAFDAGVAIAGSMDDRCSSSAGATTPTSTASLSTPISRADRGGHQEHATPSSSSAAATSTGSSAAQGEELWADLLDAFASPKCMDLMAAGASPFASTWEEPEDDGELMRLWSFC >Et_9A_063136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11259424:11263374:-1 gene:Et_9A_063136 transcript:Et_9A_063136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRKQDNQKSFIPEIEVGTSSQLRYNILCTRFCGISSDGAKAKTKFKFLLDEADNLDMNDEDEVTDQPDNSAQESQANPIQNLDFATKKGRPPKPKRMGSSKSINKKPPAKRQRKKIPKDKEDNRKDNALSKKPIHNKETTL >Et_7B_053924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13458523:13478555:1 gene:Et_7B_053924 transcript:Et_7B_053924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGFIPADEGSGYKYGEGITFSVMVTSLMAASCGLIWGYGYGVSGGVIQMDSFLGRFFPEVLSGKKNAKVDAYCKYDNQWLTAFTSSLFIAGTLSSLVASRVTRRVGRQAIMLIGGMLFLAGSVINATAVNIAMLITGRMLLGFGLGFTLQAAPVYLSETAPAKWRGAFTSSYNAFVVIGVLSATVTNYFTNRIEWGWRLSLGLAAVPGAIVVMGAFFVSDTPVSLVMRGHPDQARSALQRIRGPGADVDAEFKDIVSAVDVALQNDEGAFRRLFSKEFRHCLVIGVAIPVFYEFTGMIVINIFSPVLFRTIGFSSQKAILGSMIDSATNLASTLLASFVMDRVGRRFLFILGGLGMMLGEVAISWIMADHLGKHEGLTMPPDYSTAVLVLICMYTFSFALSWAPLRWVVPSEIYPVEVRSAGQAMSISITLCISFVELQVFIAMLCAMKYAVFLFFAAWLLAMTIFVAMFLPETKGVPLEAMRSAWARHWFWRRFIKDAGNDNPHNRGSSASRTGGRGGHTRVLQVHFLTCAVPNCWVQKPESYPGLRDKYGMVNLVVTILSSFVMDRTGRGFAAPVGGRAHDYGGGVTSSVVVTCLMAASCGLIFGYDIGVSGGVTQMESFLRKFFPEVLSGKKNAKRDAYCRYDDQLLTAFTSSLFIAATLSSLVAGRVTRTVGRQAVMLIGGALFLAGSAVNAGAVNIVMLIMGRMLLGFGVGFTAQAAPLYLAETSPTRWRGAFTTAYNIFLVLGTLVATVTNYFTNRIPGWGWRLSLGLAAVPAAIVVAGAAFVPDTPSSMVLRGRPDAARASLQRIRGGADVEAEFKDIVRAVDEARRHDEGAYARLRGEGYRHYLVMALAVPTFFDLTGVIVIAVFSPVLFRTIGFSSQHAVFGSVLLSVVNLAATSLSSFVMDRSSRRFLFFAGGAAMIICQVTMSWILADHLGTHQAMTRSYAVAVLVFMSLYTFSFGLSWGPLKWVIPSEIYPVEVRSAGQAVTVSVALCLSFAQTQVFVTLLCAMRYGIFLFYAGWVLVMTAFIAAFLPETKGVPLEAMRDVWARHWYWRRFVRDAKQVNCLFQQSGEKRGM >Et_1A_008019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40131674:40132895:1 gene:Et_1A_008019 transcript:Et_1A_008019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSCEKPKMNYRKGLWSPEEDQRLRDYILKHGLGCWSAVPAKAGLQRNGKSCRLRWINYLRPGLKRGMFSQEEEDIVINLQAKLGNKWSQIAMHLPGRTDNEVKNYWNSYLKKRVMQAQGSNPKSPPELTSMSTTEPSSMHHHVKNSSGSTTTSHDHDANISNGGSHAMSAPASVAHQTFDHQVTQQQSKNFIFSDWVPAPESYSVSAHWPASTASSGNVTPSHGGAFGDQMSGSYGALPQHQDHQGAAAHGPATGIAGAGYFDLLNMGDIYGGFSSTNDDLLF >Et_5B_045365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:279653:281281:1 gene:Et_5B_045365 transcript:Et_5B_045365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQQLIAPHLTGIGRSNAPRDRRFPTTAMSRQNTPWNGGLIAEVAAAVTMALGTGIAADATASGLTPFAMGTETLSGMVFSGNAVSCSSCLSAFSAAAAIASSSSSSSLRADSAAAAAAAASLALCLSAASWSSTADMMNEYPFCSIRYRNRADMRAWSRTASRSRCSSTSSSRSANDVERSGLSLREVRPLQLDARAATRHPEQGVVVDAAALAGGDAAAELLDAGGERAVRAGDLAEADAALRPPGGPGQLAVAERGVVEKLEVVEVPEAIGERPDGLEQVGARAQRRDEGRVQRQRASAMASAGRPAARNAAARPTRQAVAKGCGSTPRRASSTLLKWRTASFGRPASSAATAAARRRRRSFTCASSCDTDSASPDGAADAGPDLDALFLPAGTEATLDVRLDGEKLSSDQHTLSRRNAAVARRRPVWSSTTASSIKPRWKQAWPKTKYLHWASALEFLEKPATRRRLRSNTSKQQRKRAAPAPATERSRPGESRSAREAATQSPEPDEQEEDDDEEEEVTSSPAQDLPQLGM >Et_2A_017751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5962196:5967976:1 gene:Et_2A_017751 transcript:Et_2A_017751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFNAAVSTARVQTGQFWSPPGKWRDSTFSQGKAFGVTTLTWKLVTPKFLMENNSSPKSGTGFLKTCFNGVNALSGVGILSIPYALSQGGWLSLVIFLTIAIICFYTGILLQRCIDSSSLVKTYPDIGELAYGRKGRIIVAIFLYLELYLVAVDFLILEGDNLEKLFPNANFHVAGLKIGSKQGFVLIFSLLVLPTTWLRSLNKLAYVGVGGVLASVILIASVLGIGAFDGVGFHEKGVLVKWSGVPTAMSLYAFCFSGHAVFPMIYTGMKNRNTFSTVLLICFVICTLGYGLMGAIGYLMYEAIEDTLHVEKNRTISVFIRTALVVSTTMVALIVPFFAYVVALTGSFLSSTVTMLLPCACYLKIISSRTSRNLGLELVVCLGIILIGMGVIVIGTYSSLQQIVHSF >Et_1A_005592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11882921:11886216:-1 gene:Et_1A_005592 transcript:Et_1A_005592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGACTVQQALTPDAASVVKQAVSLARRRGNAQVTPLHVASAMLGSPSGLLRAACLRSHSHPLQCKALELCFNVALNRLPTSTCFSCYCAVEHVVAEVSALACHDGDGVWLVGHGTYQSYTRCRAGRPSLETLWELQTLAVPAGSLALSLNGAHDSAMVVNHQSMRAKCEDISRNGSASRCLSLLDAGSHSQLTAVSAFCGDCSATGCDAVKPLPRSVVPSSSSIPHWLQRCRDQELPHSKQWSSTCAGGSGSQRTTLNLSTVLSPSSSVSSHEKHYHPHQPWLLADVHDHEAKYQAWKANSGVHVHVVDDEDVKLVHEIKVKSHDSSASNNGSAEARCLSRFKELSAENLKALCSALEKEVPWQADTAAEIASTVLRCRSGMARRRRRDAGASTAKEEDTWLFFLGADAEGKARVARELARLVFGSRRRLVSIAAAASSSSPARSDSTEQKQGNKRPRSASNDGGCLEKLYEAVRDDPHRVIVVDGVEQAGRRCHLGIREAIESGIVRSRGGDEAALRDAIVVLSCESFDARSRASSPPVTKKAKPESEEKEEETKEDDEGTGDQHHKDAVGAATSASASSFDLNMSVEDSCCFADAGLLEAVDRAFFFRRHVDET >Et_1A_006085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17579223:17588815:1 gene:Et_1A_006085 transcript:Et_1A_006085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPDLQCRVRRKTATATSVGRPGAADGGGGQRLAQTLSVPHLVALGVGSTIGACIYVLVGTVAREHTGPGLTISFLIAGIAAALSALCYAELSCRFPSAGSAYRYSYICIGESVAWLIGWALILEYTIGSSSVARGISPNLALFFGGQDKLPFFLAQVHVKGLDTPLDPCAAILVLIVTALLCFGIKESSFVEGVVTTANVTVMLFVICAGGWLGFKNGWTGYKVPEGYFPNGIGGVLSGSATLFFAYIGFDVVASTAEEVKNPQRDLPLGMGLTLALSCFLYMMVSAVVVGLVPYHAMDPETPISSAFSQYGMQWAVYVVSSGAVLALIASLIGGILPQPRIMMAMARDGLLPPLFSAVNRQTQIPIMSTILTGICAAILAFFMDVSQLAGMVSVGTLLAFTTVAISVLVVRYAPPNELPMEMALEGTPESLTSYSTDMQQDENLDDPFGNVQEAPTASEVVSKERQHKVIRSILLILVGVIVFVSGVSFSFLPFSYLRFFVCAIGGLLLLSSSIVLFSIGQDKRVVHGFVFLYGWWLVHPSISSMVGSIVQWQAWPTSAYCLHKSMWGLEFVLPFKEGKKSLSSEDLKSHKHFHLHCCLFMFLGDSVLLSSALSRLAPAPALSAPVLPGSFGLPHIATPPSEHHPP >Et_4A_032679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12509069:12511191:1 gene:Et_4A_032679 transcript:Et_4A_032679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPRCNVMLVEIPKSQLMIWSLISGQGRVLHIGHVGRQTSILSFCNAIAARDMECKAVHVLVLVVCTIFVAREAWGYLDQKVAVLRDCKDSLKYYGRFVTPSNRCHNTVINSDMACVCRILSEEDEKTADPARLVRVARDCGRGLPVGTSCGSKLPQVISTEG >Et_5A_041755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26768646:26769717:-1 gene:Et_5A_041755 transcript:Et_5A_041755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPKKRCGAVAGIPEDALVEILSRLPVKSLHRCKCVARAWRDLIDDPLLRKVLPQTLEGLFVMDKEIRSGRDEGHLVFVDLRHARPVPLEIKPRFSFVKNPPKNEVLILSDSCNGLLLFQIAEYEEESLDESDDESLDHGSVDYIVYNPATEHWVAVPNCGCPYPDSRVFWTEDFEEDGKIKAMITVHAYSSETQTWSHSQEEGELESWRHRGVRPHLGPYCAFVNGLLNFMIANTEDQIASCCRRAREDTVDHPSACKGSGRAETRSCCSFPREPALRKPRICLSAVHLFLQDYDTQEWVVKHTVSFLDMFRLQMWPSEVYDVVAVHPDSDII >Et_7B_054645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3130070:3131368:1 gene:Et_7B_054645 transcript:Et_7B_054645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSLIPHVVEHPDELEEEEYNNNSMKVDVAFENVHTPFRYKHKKRSKVWEEYKPIFMNGKVQFAECLYCHSRMSCKDSNGTSHLWRHQKICPAKDEAAKRRQKDSYFQYVLVNENAPATPNDAVDQIITETLDDIRTVSSSIPSRFKSKVWKEFTPIYVDEKLQAADCLHCHKRLSANKYGGRSHLSRHLQTCQARSGRVRSHQKGFLSSSSLPNLKSGGQDELSPALANGKVQIAEYLSKVPRTSGSGDSSPIVQPIQVVPADQPLPSPDFRNLKKQRTSFMATSIDMGTRKVGQETAYQELARMIASHGYPLSIVEHEEMRRFVKNLNPMVNTISHDDMEGQCYALFQKEKAKFKDKVARSPR >Et_1B_011462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22592123:22593833:1 gene:Et_1B_011462 transcript:Et_1B_011462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWWSAAGGLSRRVLSSSSAASHARPLPPTVISRALPSAPLPFAFACRRHHSLSAPLPQGFFHTATATSFRVPPVHQQQLRHYANKVTNPRSPRTPTKSKVKKYKLKAPSSMKFRFRTMKDGQIRRWRAGKRHNAHLKSKEAKRRLRKPALVHLAYAKVIKKLNFCS >Et_8B_059950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4372706:4374881:-1 gene:Et_8B_059950 transcript:Et_8B_059950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCYDVAASMLLCAEDNSSILWLEEEEEEEAVGTKRGRSPGWDDFGADLFPPQSEECVAGLVERERAHMPRSDYGERLRGGDGVDLCVRREAVDWIWKVHAYYSFGPLTACLAVNYLDRFLSQHELPEGKAWTTQLLSVACLSLAAKMEETAVPQSLDLQVGDARYVFEPKTIQRMELLVLSTLNWRMQAVTPFSYMDYFLQKLNGGNAAPRSWLFQSAELILCAARGTGCIEFRPSEIAAAVAAIVGGDVEAAGDTVKACAHVDKDRVSRCQGAIQSMASSINTVPPKPAGGGRSSPAPQSPVGVLDAGCLSYKSDDDAAVTVASHGFACASACTSSSVTSKRRKISR >Et_3A_026059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:392141:395506:-1 gene:Et_3A_026059 transcript:Et_3A_026059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEYVVSSPPGIPRDWAAHHFVLGDFDCDRTGFPVSCRYWTLQQGSSSCRTADAAAWGAAQQQKRQRCQGSSSDQAGSSTSNPMQISEPEPQDLDCGECDEEDYYMEDDCDDGDGYDEDEYEFDEADFNQHLADKFEDLPPGVEATVPWLQKMEAKEEKDHRPADVNAPDENTKKYKEFKQFDTVQNFSNHHYAKYSEGEPNRAWAKRIQHDWKLLEKDLPESIYVRVAEDRMDLLRAAIVGPKGTPYHDGLFFFDVHFPSSYPSGPPHFADLVAGHFRVHGHTILAACKYYMEGNAVGSVVPEDKEESDHFCGDAGASSSSGAPKPADGVQSVGFRANPAIKRGLFNASLKTLFEDLLMEFNVKGADTRKFLAEKLKKNLPAT >Et_1A_005675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12663815:12667288:-1 gene:Et_1A_005675 transcript:Et_1A_005675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTAALMAAAAAAALLMLLLVSLASTEKWYEQENRRIFVEWKAKYGMTYKDAGEEECRYAVFKDTRRRVDRKNAIDGPGATSGLNGLSALAQEEVFLGARFREGEDAYEEETRRTFLGWKAKYGKTYKDAGEEDCRYKLFKGNRRRLIGPLNAADAAGKTAYGLNRFGDLTYEEVRASCYNPEMEGKLSARCHAATADLPDTVPGRLISDLVCRCIAIELKQSTESEALPIMRSNGHQGTAPSEDVSGTSESLLLINLI >Et_10A_000712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15919859:15922841:-1 gene:Et_10A_000712 transcript:Et_10A_000712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVRVITFVLGSLKIPIDLYKGHKKLGREMKIGLESLMYDLDSLKDDLESNREGWRSYDELQLTELAYDIEDFAQGLWIPGASGPFLSAVGMNPCAKYVDSIKTFKERIQKLERRQQQTTGPASSSAAGGFTPDEESLVGIAHPKQVLLDLLEKPEASGDQAGGQDAKQRPKVISIVGCPGVGKTALARALYEHCAASHDFDCVAWIEASGCNNRTALLGKILEKVQADLARRAGVLQKLWDLGREFNKRYLSKDDDDIASALRGILADKRYLVIIDDVHEAEMWDDAVCDFPEKGKNSMLIVTTSVRSVANVCSSGSYVYTMQCLQPKPSNDLFWKRVCPSGSNPAPAPHNGSDIILSRCDGLPLALISVAKYLRITTGGNFDHDDCGRVSEEIGRHLVGRETEGFRELRRALMQCYEDLPGSHHKTFMLSLGIYPKNHPIKSKSLVRRLMAEGLVASVGRECLDELINRCIVEPVKISSCSKDVKSCRVNGGIMLEFIIHKSQFTNLVTLIREDEVVRNDKGRIRRLSVQSSAEGKIQEVERDIGLSGIRSLTIFSGNLSIPSKITSKLFKNKDCKFLRILDLEGCRGLDEESFRGICQLVYLKYLSLRNTDVKNILQEIKKLQCLQTLDLRETQEIKLPIQVIMLPKLAFLFGQFVLPEMSRDDEIFLSVESELQTLAGFVVDKDGSEQTILEAKNLRKVKVRCRDTCVSPKPQTSTKQKLPKLFPRHTRVSPLGTKKDFISSLQSRFTSLHSVSIDSSQLSGKVLASLENNRSTYLVWKLQLSYTGLTSDELSALQLIPCLEYLKLQDNEKGFLGGSFVVRKDGFESLRRLCFQAAKLPKLEFYTGSMKSLTSLHLLCPDISQMHVAEEVSKSISHITRLSEVILHASATSEIVEAWKTAAKSNINRPYVNQLQE >Et_9A_061964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18411185:18415406:1 gene:Et_9A_061964 transcript:Et_9A_061964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSAVTAEDLVDALREVDWSTPPRPVPEFFSRFTVPRSYTKWTSRLKCNLYYYRTNYFILIMFILGMAFLWKPLAIVAAFMTGVSIAFLNDSFAVTFNEKVTRTVRQFSPHLAAKMRPPMTPVIRGRPSSKRSIHICGRPRWVFVLFFSAVSCILWLTSCSLLTVLWALLIALLATVLHASFRTPNLKARLNTFREEFRAIHVVRKGSIIPVYTWSLEWKRNGDAATADTYIVDIVV >Et_6A_047399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5140600:5142961:1 gene:Et_6A_047399 transcript:Et_6A_047399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLLVYLSHFSLPMAKAQDDRPRLAFGCGYDQGVDSAGKATVVDGILGLGQSSVDLVSQLKDLKIIIKNVIGHCFITKGGGYLFFGEDNVPSSDISWVPMAPRAPETPYHYSAGRATLQWDTESIGAKRMEVILDSGISYTYFPDVLHSQLVSALKASLSNLSLTEVHDPTLPLCWKGNGPFKSVDDLKKEFKSQMSLNFGKGATMVIPPENYLIVTVNNLTQSTIRTRKHMLGYPGMTVIDVFLIGGKDFRCIVLGITMQAQLVIYDNEKGRLGWARSLCESMPIYKNMPFSPHLSRSEFPIKTFAFAETLGKCPPGDIVFWINHYMRIQGAIEKQVVRADYALLEF >Et_10B_004188.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18065015:18065521:-1 gene:Et_10B_004188 transcript:Et_10B_004188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPASDAVDGPMLPADVLTSILCDLEFPDVFSFAAVCASWRATARAVGRSYTHPQTPCLFYTPPAPAPAPSSTASPPASRFGRLSSRRRPSRTGTSGARPMGGSPSPTRALSSTSSTPPPASSSCSRRVATVEKVSPVLDGAGELSRYDLSFYDAAARRKETQPPQ >Et_7A_051033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13886895:13889105:1 gene:Et_7A_051033 transcript:Et_7A_051033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRNDSLTSSPRPPRGRERSLARVASSHAPPPAAAPPRDTRVRTNAASIAPAAPPRTRPCQDVRAPCSAPGSSRISMASSTAAAANTAPPRRRHPAAAGEWAAVSVSGAWRVEEVGKQQLMRRTGLPARDLRALDPALSASYPSSVMPRDRAVVVNLERVRAVITAAEVLVPGPRDPAVAPLVRELRASLIATASGASPAPPPPQEDDVVVSPRSPGEGRGGKDRQVFGSDKVLPFEFSALEVCLEFACKSLEHETSTLEKEAYPALDELTSKVSTLNLERVRQIKSRLVDISGRVQKVRDELEHLLDDDMDMAAMHLTEKLAYQEAGRSSRFDTESEFYEERGEEVKEGDGSSEGSNGNETSVGFTPKIDELEILLEVYFMHVDGTLNKLTTLREYVDDTEDYINIMLDDKQNQLLQMGIMLSTGTLVLSCAIAVTGVFGMNIGIPMYTAANTAVFWQVTGGVVGATAAVYLVALLCYRRSGILQ >Et_10B_003910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7462106:7463420:-1 gene:Et_10B_003910 transcript:Et_10B_003910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPLGTWPVVLSILERRGRPPQHTFLDFSVVNFLAAVFIAHTVGQISVMETPNFFKQLTQDNLPRSCLRRRAPGGVTLTLGTLATQYGWAFVGLSVHEVVAASLKVVIGTTLNYFLDAWSDQQGRGVGCFLNAAVIVHSSSAADNQEKLAKSTVNHVNNTTRDSANVELGKHLNYKGRSKDLEEVIPNASLGHIQAQRKLEEGTAELLVY >Et_4B_039291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9662997:9669593:-1 gene:Et_4B_039291 transcript:Et_4B_039291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCSSPWARWMHASSPSSMIIRDASSYKNNPDELHSLFPQLAKKLDLKQPSPKKLAPYITMGIKEFDGVLGLCSDNPIGYFMHPEKLDSFAKSFGMDADMLLANIIGVPVNIAEHDMHSNLFLGLPTEMARESVGPLFTQDSPSILLPINGCIYTDEIIRNTVTMHLDGSPEQILRNYLMVMAHLRLWFVSRCIQIDLSSVVGYEKLVYVVPDDGTFHLVLKHKDKSAALVIDAREAWLHGGAGAHAITQFSRDDRVPYISHEYTITLEAGGQYKSVAKKGAGEVPIGAEPLIEAASVIHDFKGQISEQNTSSATLDDTALGKELKIYDAYGVIVLHLLDPLKFHVPFEIVCSALGNGTVTTLNKEDPTAAVELKKWGKIGEDIGKYSFMVLRNRSPKPLDLSKRGVEGVNTIHDALDRIFLMPWAFLPTSYLEHQTTMPFTGLDSRWNPVDVGKGDTIPLERLYEKVRSRIGSGGQNWQDTKFIRDREHYFGDRLGGRPCDQSVRCTMSYANCLKKLPDRILFGYANSILRVSLEKSSPQKTNALLLNACAKCSDLYAVMEIHCQLTKLVLLSNQHVTAPLLIKELKSGQLDKAMPVKDLVSWNSMIAGAVRSSHLKDAMNLSSRMVLCLMASRSPQSYDLSAYARAGARQYGVWVHLLMTELELEMNHFLDLGRIDMYAKCVKRNHISVWNTMFRGLAAHDLGSDVVILFCKMKTEGLDRVRFVALLTTCSHCGSPILKRNDYRQFYYTTG >Et_2B_019106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10264194:10264685:-1 gene:Et_2B_019106 transcript:Et_2B_019106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVFLPDARDGLTSLQDRMASNPSGFLRKHMQNKRVGIDDFRDLGIKTVFNPIIADLKDMLEGNNGLWESLYIHDVLHKAVIWVNDEGTEAAAVTAVVSLPLDFVADDPFAFFVVEEVSGAILFAGHVLDPTDS >Et_9A_061426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11725637:11729681:-1 gene:Et_9A_061426 transcript:Et_9A_061426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIIGPLVGRLQEIAIGEARALVAVNDDIQRLRDKLMWLQAFLREADTRRHAVSDEITKVWMQQTRDAVLDAEDALDHYHLQVHMSRYPRWARPMMRCVATFTTQVLVKRNLSRKIKAINKRLDEIIQNKEKYKMDDPYKKTDVTWKPSTSLSYTHRKLDDVHDHESTVVIYVEEQTYLEEWITNTNNKHHPVIISVYGQSGVGKTTLVRDMYKKMAKRKEFQIQAMESFAPYLTATNILQQIAEQLTDDDVNCPWYEARKMLNDALEDKKYLLVIDGEVSSTEWKKILTSIPIGAKGSRIVHITQGKPEELPSRYHHVCIKLEKIKGDQTMELFSKRLPKELQNKNFKEYLADICESLKGLVQTKEFPGEWMKVFEYLKSKQSKRLDNVLLVCFDDLSHDIKCCFLYFAALPTNKRIEARNLVCVWVAEGFLKPKGQWRRSSTST >Et_1A_005483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10765293:10769132:-1 gene:Et_1A_005483 transcript:Et_1A_005483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTPNHELLLSFRLHPLASSSRPAACLFLLVLAAAVMQMAATAATTDAPAAAVPQHHPHAHAATPPHGHGHPHPHHHMPQPRWVVIPYPPPHPMVAAPPPPPPQFVKHFAPPASVTPPPPSGGSGGNGGDENRTIWVGDLQYWMDENYLHSCFGPSGEVVTIKVIRNRHSGVSEGYGFVEFYSHASAEKALQNFTGHVMPNTDRAFKLNWASYSMGEKRSEVASDHSIFVGDLAVDVTDDMLMELFASKYRSVKGAKVIIDANTGRSRGYGFVRFGDDNDKTHAMTEMNGVYCSTRPIRIGPATPRRSSGDSGSSPPRQSDADSTNRTALQGLNGSTIGKQAVRLSWGRSPASKHSRGESGHRRNGMYYGTPFYGGYGYASPVPHPNMYAAAYGAYPYYGNQQLVS >Et_2B_019150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14182396:14182728:1 gene:Et_2B_019150 transcript:Et_2B_019150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVRRRDPRLEPPRRPHLAGNLRHRGGGGQGVRPLRLLHARRQRRPQLPRGRPHLPASGRREQGCDRVRVPGRRGAAGDAQEPRRTEEEIELTDT >Et_4A_035877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7729922:7733565:-1 gene:Et_4A_035877 transcript:Et_4A_035877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAGGRSGTMKQLNVGGKLFAMEASSFSLSLSLDSPSPSPTFVDRDPALLSAVLSAIRAPSSAPAFPARVLLEEAYFYGLHDQLLGALSPPPLRGFSASLASTLSPASEPFPTALAPHHDGSLCLAHGNGQVTYYSPTLDHLSTFRTHLHLVSSLRQLPPNLAILGSSSAPGLQVYDFLEGRHVASVQWSDPTDPRVGKAKVVTIAARPPPDATDKSSPILASFECPHRENCILVIDAVTLKQTQEIGRQSGSAAKSSVPGRVVHLQELGLVFAASVTGGAFGYSGYMRLWDIRSGNIVWETSEPGGAGRSSRFGDPFADADADVKQQAIYKVCSKSGDVAVADLRCLGNDPWVYMSSGPRGSGGGYGSVLHCHKSQVFVSRKEGLEVWSRLEQQCSDTGNLVEQQGMKERPSEAIDERNYRSIYVDTEEDAERGLIRMMEGGGDRLFVTREDMQGVEVWESSRLAEQGESYYGTFAKNI >Et_7A_052938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:335808:340409:1 gene:Et_7A_052938 transcript:Et_7A_052938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTPPTFPAFQYQQQLESSSSPHLFPTPQPPSAMDSDSGPDPPSADSPIHHLPPDALHNVLLRLQLRDAVACRPVSHLFHEALSAQFLALLPTLRLLLLRHPRPEGGGCLHAFDPDRRHWLRLPFTHFLPYQSFSPVASSTSLLYLWVETSSATSPAALPSASSSSSSSPAHPPKSLAVCNPFAGTYRLLPPLGSAWARHGTVLAGPAGTVLVLTELAALAYTPSGSAKWMKHPLSLPSKPRSPILASAAAAVFALCDVGTPWRSQWKLFSCPLSMLTGGWAPVERAAWGDVFEIVKRPRLLAGAGGRRVLMIGGLRSSFAMDAPCSTVLILRLDLATMEWDEAGRMPPNMYRCFTGLCEAAAQGNAMPTAAAGGNNKVKVFGGDGKVWFAGKRVRGKLAMWEEDETGTSGGKWDWVDGVPGYSDGVYRGFVFDGSLCWPLDKFFGQNDINYRMEGYCLLPKPFNGMHSAKLLGLYKGHAVGANAAEWKEYIIIIIYTNNRNDLAADSF >Et_2B_019542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1052948:1053821:1 gene:Et_2B_019542 transcript:Et_2B_019542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PVFTPLIKPTPITANQDLNAEAHPRVVEAMPSSSSRGCRRRMLRRGLRALAADAARELDVLGHDGDALGVDGAEVGILEEPDEHGVALEAEVGLEVLGDLTDQALERQLPDQQLRALLVLADLTERHRAGPVAVGLLHSAGGWGRLPRSLQINKPRRVWDEGAGCVGGWRRVDRGGFL >Et_3B_029694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27193944:27194355:1 gene:Et_3B_029694 transcript:Et_3B_029694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVELKVGMHCERCIKAIKKAIKTIDDMESYQLDTETNKVTVTGNVTPEEVVKALQKIGKTATSWGED >Et_3B_027467.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20192051:20192321:1 gene:Et_3B_027467 transcript:Et_3B_027467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRPPPSPRSAQPRRAQAQAQAPTDHPPHATSSSTQQLLGFLALAVVLTALLVLAGVTLTGALAAVVVLSPLALLTA >Et_4A_033330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21506288:21507403:-1 gene:Et_4A_033330 transcript:Et_4A_033330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTWAPLQELVECVPPRVYRPQHPAYGRRRLFVSGIMGMRGTPKHSHVTDARVFSAQIVVINLLKRGTMHLHDLPGTAHIEAYKVSSRIHAASSAGSAMLAEKVIDHTLSRLRVVHRLSLQTTFTVSWLGRVGRERSSTCSRQHTRRQSSGAALSTRSN >Et_3A_026748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18913578:18915554:-1 gene:Et_3A_026748 transcript:Et_3A_026748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPPSPLSGDTSETDVWALHEPSCTPRSVQFGDPKGGSIDAKELFDPMERLQRKCGEMDMHLLFLVELGY >Et_7A_052584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9536893:9537926:-1 gene:Et_7A_052584 transcript:Et_7A_052584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPAATISATRCVPFPAPSPRRGFHAAAPSSLRRLPRFAARSSGGGGGTRPDSKPGDDESKAVLDAFFLGKAFAEALTERVESVVGEVFSVVGQWQAEQQKQVQDFQEEVVQRAQKAKERAATEVTEDKEPKTLREPSTTIVTSAPTSPPTTPTQAE >Et_4A_035082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9285319:9286328:-1 gene:Et_4A_035082 transcript:Et_4A_035082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIMNKVGGYWLGQRANKEISSAGDDIESLSTSVGEGAKWLVNKLKGKMQKPLPELLKEHGLPAGLFPREATNYELDPETRRLTVHIPSPCEVGYRDGSELRFDATVTATLGDGRLTEVEGLKTKVLVWARVTAVKADAAKVHFTAGIKRSRTREAYEVVRGGIVVDEF >Et_7A_050268.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16902205:16902834:1 gene:Et_7A_050268 transcript:Et_7A_050268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMEEKINELLENRNVINKVNCKINFWGNLDMLCDPVRLAALKLMERTAGNTGLVFSICMPYNSTSEIVNAAIEVCAERKEMLQKENACGYNGHVANNGVHSDISVADLDCRMYSTGCPDPDIVIRTSGETRLSNFLLWQ >Et_3A_024124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17650844:17651866:1 gene:Et_3A_024124 transcript:Et_3A_024124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EVRLRSRESDDERRNDERNGGPRAAEVVTPERGPVHVPPGAAVQLHVLVHPGEDVPAAVVHGAAVPEVAEEPGGLVVVGEQVVQDAAPAEQLHVLRRADEHAHERHVAGDHAGGLLAAVALLERDQVLEERPPHVVDLHAGDLHDAAFRVTPAALISVARGIITSARAAVCQGRRRRRPLGDEALVVEVKEVVDLVSGRRDRDRVFLVANVLDEEIDEALPAALLRAAGGDQVVEPLQDEEGDLVLQQEQVGVDQRDAPAHVAERVGLLGVPLEEELEDEAAVQEEHPDEIVGADVVGALAVASPRPGLQDPHLMD >Et_4A_031877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2586892:2587944:1 gene:Et_4A_031877 transcript:Et_4A_031877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HEEAHDLDGEPAHDVDESHGEPVPGDGAAERDESLSPSDAEDLLNGVHGPRRRDPADLGEDVLLEQVLAVEGDVQEEPRAGGGQEVEAVALQELRREEAPGFGGSHLALHLLVHVDLLLEHRRHVGRGLLGVAGDERRVTRRLGHLHAPVVGERGGNGAEHEDDAPHVVGL >Et_6A_046754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20156297:20157903:1 gene:Et_6A_046754 transcript:Et_6A_046754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVFLSTDSNDIEEDALSHILHHEAEELARKMIEIGGGIDWHAVAANCFLYICRMANETWLLIMTWPPMPATTGDVTTSYSYNREMLILMMWESVCSSAWHTGLLQPTGSYMMIPGLHGQIPEGLFQQFDKLCVLKLLSVQFSFTSPPFFWCHSLRFLWLNYCREGSSTTKGMKEKNILRQFLERLWVLDVRYSNGAFLSQAMMDRMTQGAFGILRELNVMGEDYWWDRGTLLGSQTLKLRRLRVEDSRRNSTTARYSEIRFNGMDKMELLEFSSHHIHRSLNVESSCRSLDTVVISGSTDLEEILLKGCDKPKNLFLSGSFPKFNRIDIIGAALETLDLRAVRALDLDEVSVLDCEKLCAILWPPAAEGRSKLYLNTSGRQRARALARCLT >Et_6A_046143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4091209:4091583:1 gene:Et_6A_046143 transcript:Et_6A_046143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQHARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFRKGKYLCCTQ >Et_6A_047355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4410090:4417283:-1 gene:Et_6A_047355 transcript:Et_6A_047355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLIAQITTDLRSSDALRQSSALLQALQQCAAGRDVSALARTAATEILAAPSSAVCKRLALDLLRALPLPPDILDPLLLSSLRSDLSFPDPDVAASSIASFPSLPSHLLPSLLSSAHADITAALSSPAESLRLAAVTSLSSLLPRDDLALLCSTNPSLMAHATTWWGRLAELVLDSADAVAAGAFEALARLFQELDSRRMSRLAGDKLVDGEGALAVRAQWAADAIDFIWSRRNMLIARSMVMPVESFRVTVYPLVHAAKMVASGVVNTLRKIARPGDTSIADSVESSAEKLVGVSDIVSHLLPFVSSLDPPLVFEVGINMLSLADVPGGKPEWASAAIIAILTLWDRQEFSSMRETIVRAVVTNLHLLDLGMQVSLFKRLLQMVRNLRAESDRMHALACICRTALCVDLFAKESARRGQKPVPGTDVISLFEDARVKDDLNSITSKSLFREELVASLVESCFQLSLPLPELKNSGTESRVIGALAYGTGYGALNWTEPALDVVEVCRPCVLWDCDGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKAGASQDQILNETRLRNLQLQLIRDLREVHTPRISSRLIWSISEHFDLEGLDPLLADDPEDPLNIIISNMHKILFNTDSSASTSNRTQDVQAVLICAQRLGARNARAGQLLSKELEEYRASTSADSVTKHQSRYVLQIIKYVTNHPDNRWVGVGDATGDYPFSHHKLTILNLTELELNRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFYGSGGSADYEGDYAEEDSQVMRQKRSLRPELGEPVVLRCQPYKIPLAELLLPYECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSISTKPFHQVCSHFIRTVAGFQLCYAAKTWFSGFVGMMIFGASEVSRNVDLGDETTTMICKFVVRASDESITKEIESDLQGWLDDITDGAVEYMPEDEVKSAAAERLKISMERIALLKAAKPKVPPAKTEQEEEEERKQNEDLDGFGNPKGSSTLSKLTAEEAEHRALQAAVLQEWHQLCKDKAMKAQ >Et_6B_049383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4170955:4172108:1 gene:Et_6B_049383 transcript:Et_6B_049383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLPQSTEHLKSLVALQKKRHLYLGECVALTELPEWIGQLSALQRLQILQCSALQSLPHTIRQLTALNQLEIIGCPSLTERYKEGVGNDWHLVSHIYERIEDQGLVFQEVRTRLCPVLGCRLENTKKALII >Et_2B_020438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20085378:20085833:-1 gene:Et_2B_020438 transcript:Et_2B_020438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVGHSNKLKLKSRRVNLPQYYCMTLRMNIDCNGCYQRIRRALLQMQELETHLIDKKHGRVSVCGAFSPQDVAIKIRKRTNRRVEILEVREASPNGEGEGAGHMP >Et_10A_001729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7454642:7459519:-1 gene:Et_10A_001729 transcript:Et_10A_001729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVMKIASERAVVVFTLSSCCMCHTVTKLMADLGVNALVVELDRDARGKDMERALLKMLGGRGPAVPAVFIGGRLVGGTNKIMSLHLAGELKPMLQNAGALWFSKPIKKAAMADRLAKLSTEKAVVIFTTSQCPMCYTVASLFSDLGVCAAVHELDKDPRGRDMERELARRLGRSPPVPAVFIGGKLVGSTDRVMSLHLDGKLEPMLKSAGAKWL >Et_9B_065154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21640696:21646010:-1 gene:Et_9B_065154 transcript:Et_9B_065154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWRRSASWLSSASRSSLGGAVGGGEAKVTPEVDPAAEEPDEERWSRLLPELLTEIVRRVDAGAERWPPRRDVVACACVCRRWRDAAVSVVRPPLECGRITFPSSLKQPGPRDAPMHCFIRRNKKTSTFYLYLSLTQALTDKGKFLLAARRFRNGAHTEYVISYDYDDLYPGSSSYVGKLRSDFLGTKFIVYDSQAPYDGAKPSRSRSTRRFTSKQISPQVSGGNFEVGQVTYKFNFLKTRGPRRMQCSMQCPVAQGTSSDPSKEKACAPSFLDLKNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATAGTGGPWGVRDEETVILQFGKIEDDTFTMDYRQPLSAFQAFAICLTSFGTKLACE >Et_5A_041286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20692415:20693198:1 gene:Et_5A_041286 transcript:Et_5A_041286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYLSGVIAPAGATTIAGSTGLQPRPCRRALHVTCQAQASSTQPLRRSACLGLGLAAATAVLLQPRDVAHAADGDDEPANNGWWLTEFPLPVPKILNKEINNAETGTRSFLKNGIFMADIGPSFDAHAYRLRTTAFDLLALEDLLGKDASNYVNKYLRLKSTFMYYDFDKLITAAEDKAPYIDLANRLFDSFESLQQAVTAKDDQKIGERYADTKVILQELMTRMA >Et_1B_014144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32569522:32574575:-1 gene:Et_1B_014144 transcript:Et_1B_014144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCGGRFGELIAPSVQFQFRTCSAVEISIRFSCTEDAKKILSNDGACPICDQVLSKSHMRPVDINPSDDWTNSAYRSVMFYIGQKELEMQYKMNRIVGQCRQKCELMQAKFTEKLEEVHTAYQKMAKRCQLMEQEIENLTRDKQELQEKFAEKSRQVLGHLIPYRLRAVSNMSEELAVHLLFRQKRKLDEMYDHLRSEYESAKRSAIQPANNNFLGRPQPDLFQGMPSIMDGGDPLRQGSVDPPETPGRREEGWGPPPRQRRSTSGPFEMSAGSPAHAAAPQVDMRSRQPIRPVFGAPMNNPSTALRNLIISPVKRPQLSRNRQHMFTSYTNTSLGMSARIEITGRVTPSRRGGHFGIGFHQSGKDS >Et_9B_063653.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:16742403:16745886:-1 gene:Et_9B_063653 transcript:Et_9B_063653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTTQSKWLETTVGGKHLHLIRLSSPPRSPSPPLPGEFKFNLHCSWICVFPFCWCAEMEDRVNSMLKLIGADGDSFGKKAELYFRSRPELINHVEEMFRSYQALADRYDRISSELHRANHTIATVFPDQVQFSMQEGDGEGFPKAMSGIDLSNFKFPTLEGLPMGSRGTSRGTSPVPRRGAQMHRRVASNISKEKAQEEIDKLQKQILVLQTEKEFLKTSYDSALGKYLDIEKQVAELQDEVCSLQDAFSTGAAIEDNEARALMAAQAIVSCEDTLTNLQTQQKRSSEEAKVEFQRANEAMEKLKTFRDECGLPPAQIEECDHQDTELSHVLPAEGADDSAPIESQLNLQELCQKFKELIELHPEASVAELADKIDRLVEKVINLELATTSQNAQINRMRTEIDDLHKHLHALDEDKAGLVVDSSNLADRLKQVEEALQAVQQIGRSIQNGTDHISKQITGASHELTEFVETLTAPEPQISYIMDSSQASEGNTSLEGNSMPTNSPGQKELSNSLYGTASDMDKRGGLDDPVVQEQLLPNETQGEERIVLEEYASVLQTYKDTEQKLSEIEKRNQKYQLEAMSELKELKSANATKDEEIHSLRRMLSSLQKKMSSSVTESTEKSEETSKISTSPATEDKEIAEIEEYIKQCQVEDPLASVAEDKFRAEIDRVLGENLDFWLRFSTSYHQIRNFQTSFDKLKTEMDKLTVTDEQAEWGPYGFAASYQVAKLESAVLEKKFRDLNTDLQVWMEKNVLLKGEVENRFSSLCSIQEEISKITTLDKGDEVHFTPFQAAKFQGEVLNMKQENNKVAKELEAGLGHVRGLQVEVGRVLLKLRENLELSMARSNRAQQNFRNLSTKAGVPLRTFLFGPKPKKPSLFSCMGPGMQKQHGGSKGGRR >Et_2B_020751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23286083:23286768:1 gene:Et_2B_020751 transcript:Et_2B_020751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVSTTTEAERHIERRISLYSARVRSSCSCFAGKDEALMCGAGAFHLIDLHLDAVDEDKEKGGVLANIVVRQDEALPALGKPMGNFNLYMSCSTSAVKVKPCSASLTWICIFSWRVDPEGNHPAAGAPHKYLHPG >Et_3B_028932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20496922:20508924:-1 gene:Et_3B_028932 transcript:Et_3B_028932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRAFRPTSDKVVKIQLHPTHPWLVTADANDRVSVWDWEHRQVIYELKAGGVDERRLVGAKLEKLAEGDDSKGKPTEAIRGGSVKQVSFYDDDVRFWQHWRNCSAAAEAPTAVSKQSSTFSAPAPSTRGRHFVVICCENKVIFLDLVTMRGRDVPKSELDNRSLLCMEFLSRSSSTDAPLVAFGSSDGVIRVLSMLTWKLVRRYTGGHKGSIACLMTFMSAAGEVHLVSGGSDGLLILWSADHIHDSRELVPKISLKAHDGGVVAVELSRVMGSAPQLITIGADKTLAIWDTVTFKEIRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLVPPQVLAQHKKLRVYCMVAHPLQPHLVATGTNIGIILSEFDPRALPAVAPLPSPTGSKEHSAVYIVERELKLLNFQLSNTANPSLGNAGVASDTGRSRNESIEQLIVKQNKKHISTPAPHDSYSVLSVSSSGKYVAVVWPDIPSFAVYKASDWSVVDLGTGKLFAWDTCRDRYALVESALPPRMPLVVKGGSSKKAKEAAAAAAQAAAAAASAASAATVQVRILLDDGTSHVLQRSIDGRSEPVIGLHGGALLGVTYRTSRRISPVTATAISTVQSMPLSGFGGSGSSFASDDPFSSKEGPPQNFQLYSWETYQPVSGLLAQPEWTVWDQTVEYCAFAYQQYIVISSLRPQFRYLGDVSIPFATGAVWHRRQLFVATPTTIECVFVDAGVAAIDIETKKRKEEMKAREAQSLAVAEHGDLALISVEAPQVTASEKISLRPPMLQVVRLASFQHAPSIPPFIVPKQSKLDGDDSVFQKELDDRRYAEVAVAGGGVSVAVTRFPPEQKRPIGPLVVVGVRDGVLWLVDRYMCAHALSLSHPGIRCRCLAAYGDPVSAVKWATRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALACLLTMSNSRDVGQETAETAAFDVTQILNLAVAKQAKQESLADAVQGIVKFVKEFFDLIDAADATGQSDIAREVLKRLAAAASVKGALHGQMLRGLALRLANHGELTRLSGLVSNLITAGHGREAAFAAAVLGDNALMEKAWQDTGMLAEAVLHAQAHGRPSLRNLVIAWNKMLQKEMDRTPTVKTDAAAAFLASLEDPKLTTSANPEAAVAPGTEEPKATPSNEETATTPGNEEAPAAPVADAASSSDPATATPAPALVSSSTDEPAVAPVEATTDAPSTEVSESADKLPSSEGSTPLPPSVPT >Et_8B_060062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5563675:5567313:-1 gene:Et_8B_060062 transcript:Et_8B_060062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFWSQQGDSESEDEESNISEESFDDVPAPKQEKRDDSRYYLNGSSDSDESDTCRVVRPLKVKLNEEMWSTVEQIRNAMKINDWVSLQSCYDNLNKQLEKVVRVNESNKLPNAYIMALMLLEDFLVESLANKEAKTKMNSSNSKALNSMKQNLKKNNKQYAELILKCRENPKCFDKEGANDKGKGDSGDEYDAHSDTEINDLASGKEKDNNRDGSKDDGLPWEMKTGKKDNLIDKQFPLDPSEITWEIVDKKLKEIVASRGKKGTGRTERVDQLMFLAYVAKTPSQKLEILCHAISAQFDINPSLLGHMPISVWKSCANNILLVLDILQQYPNIIVDNLVDPDEKETQNGADYDGTIHVSGNLAAFVERLDSEYFKSLQCADPHTKDYIERLRDEPLFMVAAQNIQGYLERVGNFRAAAKVALHQVELVYYKPQEVYDAMRTLAEQAELEDGDAKEIDRCSGPTPFVVIPEVVSRRPIFPSSSRALMDRLTSLIYKHGDERTKARAMLCDIYHHAISDEFLIARDMLLMSHLQDGVKLMDISSQILFNRVMAQLGLCAFRAGLIAEAHNCLSELYLTGRVKELLAQGVRYGRYHEKTPEQERLEKRWLMPYHMHINHDLLEAAYFISAMLIEVPSMVANTDNRKPGYKLFRGLLEFSEKLTFVGPPENVRGHVMAAARILKSGDYQKAFNVISSLDIWKLLRNREHVLDLLKLKIKEAALKTYLISYSSCYKSLSLAQLSLMFDITESLAHSVMSRMMILKELDASWDQPTRSVVFQNAEQTRLQRLLSQMADNLSVILETNEKAYVAAGGKVLEEEVPKRRAENRDPSKLGRWQENFVSTQGKRSGGRLGYTGRGTGLARLYQKDRNSKGNQETAPRQTSSATRMVNLKRSIVV >Et_6A_045854.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:270201:270461:-1 gene:Et_6A_045854 transcript:Et_6A_045854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSKFFNLETTKESSASVTPEHLLRLNSLTEPQDLPMDFSPSAMNLQPSSFRICRLGILWKLLIIDGVICQRRMPNKLGELEIC >Et_6B_048719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1281828:1285780:-1 gene:Et_6B_048719 transcript:Et_6B_048719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRHADEGGQLQLMEPDRIEEEEDCFESIDKLISQGINAGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVEKICEAAEKLLSQGFMTGSDLLIKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPIHMNGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRVTTGGIMDAKD >Et_9A_063387.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22465966:22468665:1 gene:Et_9A_063387 transcript:Et_9A_063387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPANPSRHSLLVLPLLLLLLIPAAAAATTSSLSTTSYAAHCPALPAAADLPAVDSSAQLRTLQLSTGYFSGGGARIFGTEPSSVPRSFSLLPSSVLRTTNASLLHVSATLTVSGGRRLGRNFGRNLFEYDGHAHRFRPRLPRFTGRRGSVTFGLEGFYSTASGELCMVGTGFGRAADGSPVNFLSAVLRVGFPSPANLTRSFITGRLESVGSPSPLEPVSLVAYAEEGYAYDESASCPPPPSGRLDALQVFEGRNFSCAQLNMMLKTPFRLQYGDNSDSTASSLGLHQMYMYVNRMQCADDGAVHAYVAFTNQTEASRYYFMLGEKAVVAEGFWDQKSSRLCLKGCHVVNSGPSRADLAVGECGIGMSFWFPAVWSIKQRSFAAGLVCNVSMKSGEAIAAGSSAITPNFRGNLAGLKYNYTKVDEAKKYYETSGLNKKRKGKFPDSNSYRDLVFRFYVQKGGGSGYASPVTIGSMLFDGNSLVVPDPASNHLMTDMKQHLINVSYDIYYVGNWSLESFHRRHISAEGVYDTKTGSLCMIACREVNTSSDCEMLVIAQFSSLDAKVTQHVKGTLRSLRKKTDPLFFEELNIASYGLYIDQVDESIWRMDLESTMALISMTLACIFIAVQLFHVKKVPEALPAMSITMLVVLASGYMIPLVLNFEALFKNSNKQTFPLSGGGWLEVNEVMVRIITMITFLLQLRLLQLAWAARSLDGSKAETWTAERKVLWICLPLYFIGGIITWVVHMKFNNHGRRMLRQVVIMKQIRHAFWEDLVSYGGLILDGFLLPQVLLNVFSDSKVGALSPVFYIGSTIIRALPHVYDAFRAKHFVPSLRPSYMYASPHDDLFSLAWDIVIPCGAALLSVILFFQQWLGGAFFVCSRNRKTREYEMVSTVSS >Et_8B_060304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:897547:900169:-1 gene:Et_8B_060304 transcript:Et_8B_060304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMREKKRNQRRVLARRTAAPRSGEGKNFLPLEGESGKRPRKENQPEQPENTATVLYIGHIPHGFYMLVYAGFFQQFGAVKRLRISRNRKTGKSKHYGFIEFENPDVAKVVVDEINNYLLFEHALQIALVPPEKIHPKLWKGVRRGFIPVDQAASERRRHNKDKTIGEHKKMVEGIVKRDEKRHKRINAAGIDYECPTLIYSSRLVSKCLDD >Et_2A_018258.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20352019:20352426:-1 gene:Et_2A_018258 transcript:Et_2A_018258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEILDGRTVQSFVEDERAFNSSVDARFAALDADRDGRLSYAEMAGELMALRVREAHFGADEPAAAAELAELYGALFARFDRDGDGAVDREEFRAEMREVMLAVASGLGVLPVQMVVEEGSLLRRAVDRELAGAA >Et_8A_057622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5357454:5359187:1 gene:Et_8A_057622 transcript:Et_8A_057622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding THAAKVYLGCAEPSNVVGVVEEGLKRSNLADTPTSARAVRSTAEEKKPTRAHLSSQRQAESIYNMRPSRHLAVFLAAAALLLAAGVPAAWAQEETDHEEEFSYVPGSENGPEHWGAIKEEWSACGTGRMQSPIDLSHARVSLVRSLGYLNHSYRAAEASIVNRGHDIMVRFEGDAGKLVINGAAYSLKQLHWHSPSEHTLNGRRYDMELHMVHQSAEGKAAVVGVLYEVGQHDAFLHRLEPFLHRIADVKDREERLGVFDPHGARGRASVYYRYMGSLTTPPCTEGVIWTIVRRVRTASKYQLELLREAVHDDMEKNARPLQELNDRDVSVFRPKPHKHY >Et_10B_003226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1819549:1821781:1 gene:Et_10B_003226 transcript:Et_10B_003226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSASSRPIASSFLRAKPFKPHRLHLPKPLASSASASASALTPPVPRGDSTPDPDPTPLFLRPATHPVPAAALSSFRRRASSLIPPSAPHLHRHLRWLLADAALDPSSDPALLRVPLDDLEALWRGHVRGRRPFQYVVGNEHWRDLVVAVREGVLIPRPETEAVVDVVRGVEGFAGGWWADLGTGSGAIAVALARELGDGGRVFATDVSDVTVEVARLNVERYGVQDKVEIRQGSWFEPLEDVKGKLMGVISNPPYIPTEDLRGLQPETNGNTQSEFLVDLISTKWNSSFHDVKAVLDFADIKRFVTGYRR >Et_5B_045144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10021700:10022830:1 gene:Et_5B_045144 transcript:Et_5B_045144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESDEGTIKLSRSAVSVESNGELGFTAEAMEPGSSTIVVSDRVQFGPKRSEKQHASKQLNQNEI >Et_1A_007122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31011757:31013988:-1 gene:Et_1A_007122 transcript:Et_1A_007122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPENKLREYIHDNGKPMWTAHSNHNLRYFKEEDIITITKNYSTPIGKGSFGEVYKGALDDNSLVAVKRCISNVKENFAKEVIVHCQVNHRNVVRLIGCCIGENALMMVTEYISQGNLSDILHCSEISISLETRLGIAIGCAEALSYMHSQMYGQVIHGDIKPANILLDENFNAKISDFGISKLLSTDETLHATYVIGSIGYMDPLFAQSGRLTSKSDVYSFGVVLLELITRRKALEDGKISLVQNFTKAQAKEKKIREFFDGKIADDSNLRILDRIGKLAAKCLQMDIDKRPEMKDVAERLRMLRKDQYQAQEKIALFDWVLRRKQAAQNITPIGKMGEISIINRSFGPLQKTELEELLRASAEPLGKGKYGATYKAVLENGSVMAVKRLSWLTGKGVPKSVFKERIEAIGAIEHELIVPLRGYYFSSDDKLLLYNYFGNGSLFSNLHGRILGSSINNVKPFSWEARSAIALSTARAVAYIHSTNSTASHGSIKSSNILLTESYEARVSEHGINTLVISSPSSKLGYSTAPEVGDLQNVSQKADVYSFGVLLLELLTGKSPMPIGEYREGTDLVRMIIAVVPKEMQAEEMFDLELLVDDSVVGEMLQFFHLAVDCCNQDPDMRPVMSEVARRIEEIRSSSTRGRHAMGSNAGEGEESFSQVA >Et_1B_010761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13858746:13860147:1 gene:Et_1B_010761 transcript:Et_1B_010761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPYPPVLLLIPLLVTLGPLHAAAAALHERSASAPAHHHHRPPTSTATEPSTHQNPLEQDEARSLPVLDKFTAGAVVNQAPSSLEEAMVATDGDPALLDPLRERSSPSPLFGAAANLASVSPPRTREAAEWSAREVAAQRADEPAASLTAAPLPDPDPDQYNAWRRVRSRAARLMASRSGDDRGLLQLERALASLGYNEMASAVAHFADSPTIAWWRGRPITVFAVPDAVIKDSFAGCSRRHLLLNHTALGYFSYSELADASPVNLPSASVGFCLDVSFRQDPFEVFGSSIYVAGVKVSIPELYNDGRYVVHGLQGFIRPLSNTSCINGLGRRRLTCPRMTAAGPGPYVMRTMILQSVACLHIRGFSVVALSNLEKLESMTVFALDDQGVLTKLSNHSYAPSMHLHIVPGHRLTLADLGRLEHGTVLHTMAERNLVVEGTIGSDEDEDELGKPYERLN >Et_7A_052636.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1078639:1079058:1 gene:Et_7A_052636 transcript:Et_7A_052636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKDAFDVDVTANNPNRRIGIYYDGGEVTASFNGTELCRGPFPALYQGHRTTVQPRISLTGETRLDSEVAAQLLRQNQEGFVPLLVRARVPIRIKFGALKLWKMTGKANCNLVVDNIRAGQPLRIRSNNCSFKLKLSS >Et_3A_023403.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:25980178:25980228:1 gene:Et_3A_023403 transcript:Et_3A_023403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQFGGLQDSPSCGI >Et_10A_001226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22338120:22350487:1 gene:Et_10A_001226 transcript:Et_10A_001226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRYHYHILIRDQHTIGQEGSLVEEINCWLETAGDKAIGSGPSFHISFDVHDLVDEFQLEADKNEADGDGRKHTVSRYLHTSTMLWQVFEQSFGMAVKGLDTEFIEVGKEIVNKCGGVPLAIKVLAGVLHDKEYIEEWQAMRDSNLLDVEGKEHRVFACWGNFGCKCFLGAKVQTSSIARQIQIQRLPVKRRHTFLIFVAAVVHQPDCLTTSSFSKPLGHRCYISIAHLPCPASAPGMAWGSPHSTQSNDQRLPRPKQPPSVTGEPHFTPAARITWMPFPTAAAMPLGAPFAAQIAAVATAEPEFPSKSMCHLTSLEELIISDCKELASLPNEMQGLTLYIDCTSLAALICRDAAREEQGTGTSSPTSPMCVFKFGMEAAVASAALSGMLKILCNKLAPLLFTDYSSILGAEKDLQELHDLVKDINCWLEAVGPSAMDSGPSFDWLQKLKDVSCDVDDLVDEFQLEADKNAADGNSGKHIVSKYLLKKPKSFMLQRKTAQKIKAIKKRFDAIVKQRADFSAITNSVPLGRPVQHINKAAREMLSLPVVDATSVFGRDQEKHQIVSKLVEANDQQIIKIVAIIGLGGSGKTTLASLVFTDNTITQEFEVRLWVHVSQEFSVANLLNKLFENIAGKKSERYPFQHMIEVISNKLTGKRYLLVLDDVWAEDRIHWEEFMVHIKSGAPGSRILLTTRSRKVAEAAVSTDSFYLSFLSEVHSWEVFKQSFGMGIIGMDSEFLRVGREIVNKCGGVPLAIKVLAGVLRDKERVEEWQAMRDSNLLDVEDKGRRVFGCLMLSYFHLPYHLKQCFTICSLFPKGHRIDIEQLIDLWIAHDMITLENGVDYLEYCGNKCFSSLLHMSFLQDVYKKDDGRMECTMHDLVHDLAISILGDEISLGVPKETTCSLASKHRYISIIEQLNNHPPKNSFRKARAIYVATNDDFIFGKALENAKHLRSIIMQSACSVSSVPKAISEVKNLRYLCISGARCETLPEAISDISSLQTLHADYSSLRELPKSIGKLKKLRALNLSYCGELESLPDSFGDCHMISRLDLHRCKKLTALPNSIDGNKNLRVLKLGYTNIVRLPTGITALGNLECLDLQVCRELVELPEGIGNLKKLEVLNLDRCRCFLLYKNQNSRLGELEGMPIGIGHLTRIRKLGLFVVGEGENSANISELGNIGRIHGELSISNLERVMNPDDAYKVHLNQKTNLQALKLFWGTLINKRITRNGAVNTENEFAVLDGLEPPSTIQTIEMVGYAGVYCARWMSGKVGSGVQGIPRFPYLTVMRLEWFPNLKHLQVLMELPLLMDLQLLIMPSLESISGGPFSSLRTLYMKDLPSLEEVRIVTEETSSDGEDGGCYTNVTPQLQIGSRLADLSIVNCPKLLVKPYFSSSLKFLSLLSNNEQLLSSKEEKKKNNEPLLSSKEEKKKSNEQMLLSSNEEKKKNNEQLRQSPGPSQGSSSSSCDAGLLSSPECSSLSSLLPSAQHLASLQTLEVSSCSALFLLPEWPKALRSLPALKIIAYRPISSLPQSLKELTSLHRLDIMDFSGALRQLPEWLGEIRTLKSMKLSGPRSVSILPRSLIHLTSLQELELKWCDALQLPEWLGELRALRLLLIWGLPSLTRLPQSMRHMTSLKQLHIRHCPGLTSMPEWIQHLTALQELDISDCPDLQRRCEKGKGEDWHLISHIPRLHFW >Et_6A_046100.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:24238216:24238461:-1 gene:Et_6A_046100 transcript:Et_6A_046100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRPPYVGLSQERIDKFPDAALRVKLRAMQAMSVAMFKQHRERQDDIIEQYRTKGYAMQEVEVKEEEEEEEEAGIALAY >Et_6A_046125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26724670:26727696:1 gene:Et_6A_046125 transcript:Et_6A_046125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARNSVALVLLAILLSAQFPCVPSSSPSPSPSNGNGSHNDLAALLAFKSQLSDPRRVLASNWTTNVSFCHWVGVSCSPLWQRVTALYLPNVPLRGEISPHLGNLSFLSLLNLTNTGITGSIPADLGRVHHLRYLSLFGNDLTGAIPGTIGNLSQLEFLFLGNNSLSGQIPTEMLQNLGSLQSLYLPYNQLSGTVPMTIYNMSLLQVISLSYNNLTGQFPSNQNFSLPVLEELYLSWLAQLPLLTELYLDTNPLIGSIPAVIGNLTRLKLLDLSFCNLTGHIPTELGLLRELSYLHLGNNLLTSVIPSSIGNSSKLSFLDMAVNQLSGSVPSTLGNIQTLKLLKLDKNNLKGNMDFLSSLSNCGQLQFLTLSYNSFTGGIPNNVGNLSAQLLWFHADHNALTGDLPWALSNLSNLNSIYLFNNLLTGAIPESVTQMHNLVYLAVANDEMSGTLPTQIGMVKSLQRLYLYGNKFSGPIPDSIGNLSSLEVISFYDNMLNSTIPASLFRLDKLIGLFISNNSFTGPLPADVSGLKQASYVDFSANCFIGSIPKTFGQIGMLTTLNLSYNSFEGSIPDSFQDLTSLEYLDLSSNHLSGTIPKFLANFTDMRTLNLSFNRLEGKIPEGGIFNSVSLVSMIGNAGLCGDPRLGFPPCIKKSESSDNSQFLKFILLPAAIIAIGSIVLCIYLTIRRKHKNKGEVEASFTDQSDAMSHTLVPYHELVGATDNFSENNMLGAGSIGKVFKAKMSTGLMVAIKVLDMQTEQGIRTFDAECRVLHMAQHRNLIRILNYCSNTNFRALVLPYMPNGSLDMLLHSQGKTQLASSRGWTSC >Et_5B_044562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3499840:3500602:1 gene:Et_5B_044562 transcript:Et_5B_044562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAEEGHSFTPSNAYLVDRCLRSATTPNTCLFHDADVCSARPHDLVRNRTPARVPCRDAGEGTQWYFFSPARCGGNSLTRRSRTVDGTGGKESWHAEGSAVAVEGTAGGGFVQKFSYHVRTAPSGAVEKPGWIMAEYTVNDTTRAGDLVLCKVYKSPRGPGRSRAPSSSCASSSANSGGKRKATDDDEHVTPQSTRPRLADEDDVMQFAEDIERGLLSHDDHLAAPEFVDTTQHAGAAGRQDVF >Et_1B_011366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21120290:21121756:1 gene:Et_1B_011366 transcript:Et_1B_011366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTLLAVCAVLMAVAVANAASGEPASVVVGLAKCADCTRKNMKAEATFKARYKSDGSYFGHAIARLFSQLNKTVFRFITGLQVAIKCKNKNGEYESKAIGKLDGSGAFSVPLAADLNSADCHAQLHSASGKPCPGQEPSTIVSQSEGTFIAVPGKTHYPSAECASATICGPIKKHFIDHFHKKKPVPEYKPVPEYHPVPEHKPEPEYHPPTPEYHPPTPTYGGSPTPIYHPPALRASGTFYGKIKKHIIDHFHKKPVPLKPKPEPKPEYHPPKPEYHPSTPTYGGSSTPIYHPPSLRPSSTFCGKIKKHFLDHFYKKHVPEYKPVPEYHPVPEHKPTPEYHPPTPEYHPPTPVYGEPKPTPIYHPPVKH >Et_7B_053817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12404998:12407447:-1 gene:Et_7B_053817 transcript:Et_7B_053817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYGLQLRTKPAASSSRAPPPPARPLAAFSDDGDEDVEAEILRQAAKQRALQKVEEQQKKAMEEDPSVFAYDEVYDEMKEKAARPKIQDKVVRESKYIAQLKEKAEQRKREQDIIYERKLQKERSKEDHLFGDKDKFVTSAYRKKLEEQQKWLEEEKRRQRQEEKEDVTKKKDLSDFYFGLQNNVAFGAETHDSRKHANPQKSENKRDDTKTNSFDAEASERSPKRRRESKRAKSVEQPSANVSRDSTAAGSTEKDADVPSNDSQAPQKNTQPAKVTDEHYKRTDDALAAARARALARKRAKEQQL >Et_6A_047666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9318820:9323147:1 gene:Et_6A_047666 transcript:Et_6A_047666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKAVLIKDDDDDAAKDGTSPPARTTLASLFLLRGRSERGAAAEAKVEWVRSQLVGRGAEFETPFGRRALVYADHTASGRSLRFIEDYILNHVLPYYGNTHTEDSYVGSRTTRTAKKAARYIKRCVGAGPDDALVFCGSGATAAAKRLQEAMGVACCSPSLRARLRALLRPEERWVVFVGPYEHHSNLLSWRQSLADVVQIPAASASGGGVDLDALRRALRSPEHASRPMLGAFSACSNVTGAVTDTRAVARVLHQHGAFACFDFAASGPYVEIDMRSGELDGYDAVFLSPHKFVGGPGTPGVLLMNRALYRLAGAPPSTCGGGTVAYVNGFRESDTVYYDDIEEREDAGTPAIVGKVRAALAFWVKEHVGRDAVAIRERAHAEAAMARLLSNPNVEVLGDKVAARRLPIFSFLVYPGGDRDDDAAGRRHRIRRLPLHGRFVAKLLNDLFGVQARGGCACAGPYGHALLGVGEDLSLRIRDAIVKGYHGVKPGWTRVSFPYYLPREELRIILAAIDFVAKKTNAADGDDDISRRFESYLEGATRVALSLPDTYDDERMIQSLPEGVDPDIVLFRV >Et_9B_064955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2064313:2067288:1 gene:Et_9B_064955 transcript:Et_9B_064955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRPLLLVTACLWALSCCLLLHASPDGLLRINLNKNRLSHEALTAAKLARQDSQHLQISSSNSDAVPLVDYLNTQYFGVIGVGTPPQNFTVIFDTGSSNLWVPSAKCYFSIACYLHHRYKSSKSSTYKADGETCKITYGSGSISGFFSKDNVLVGDLVVKSQKFIETTRETSVSFILGKFDGILGLGYPDISVGKAPPIWQSMQEQKLLADNVFSFWLSRDPDASSGGELVFGGVDPKHFKGNHTYVPVSRKGYWQFNMGDLLIDGHSTGFCAKGCAAIVDSGTSLLAGPTAIVAQVNHAIGAEGIISTECKEVVSEYGEMILNLLIAQTDPRKVCSQVGLCLFDGTNSVSNEIESVVGKENLGSDVMCSACQMAVVWIENQLRENKTKELILQYANQLCERLPSPNGESTVSCHQISKMPNLAFTIANKTFMLTPEQYIVKLEQGGQTVCISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGKDRIGFAKSA >Et_9A_063533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6324205:6330595:1 gene:Et_9A_063533 transcript:Et_9A_063533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSNSHYVSPAIRTSRIRGSLLPLLREDAAPSVQSVSVLLPWRQDRVVNALHDDEQSEQKPLEAAQPLWLLGGAEPVPPRGQRCRCGRVDVVHATPQVPSMPTNEFTKVQTRNNLGRIQQGLPAQDDHRANLGRIQQGLPARDDRRAALLPIQSSSGHGYFLSDSLFDPSTLPPDLAKSTKGQRIGKEKTPWEGYFIKIPHRTCLFLSLYLPWMKLGEGMEEERSGSVVVPVSMAQLEVGGVMTKLGAVQVAQWEALAAGSSGATRHLIRITFACEAKTTWLQAGNPVARLACGGLVFAPAAVPQPQEPDIAGVALSCLGAAALALVTYSFAVSMWWARHEPGALAFVAGAYAALAALLLCLRRAQRLAPDAHAGERRRLHFAVWPLSAAVGCACAYRVSLIVPAELVVAIWCMTAFVVLMGFYKLVLCNYKEHQAQGLDDDVSRDGVVSEREPFIKKTRATDETV >Et_1B_009708.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:18742465:18742896:-1 gene:Et_1B_009708 transcript:Et_1B_009708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSAAPHRVATAPWEHQTTGSPGPSSSHSASRTPQRRRGPESPSVEQRMATRPQCLSFSPRNLRGAAPQRWRQSGAVHRLRVARAAPGRKGAHCAQAHMPSQESGVAQSSPGYRSKAAPPTPVAACPATARTRAAAWRFA >Et_4A_034087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29966163:29979767:1 gene:Et_4A_034087 transcript:Et_4A_034087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLSRPEEAVALVKLWVAARRIKRQVPKEEHWGFCYSMLQKVSRSFALVIQQLGPEIRNAVCVFYLVLRGLDTVEDDTRIPVEEKLPILQEFNQHIYDRTWRYSCGTNHYRVLMDNFPLVSTAFLELDQGYQKVIEEITRRMGAGMAKFICKEVETVEDYDEYCHHVAGLVGYGLSRLFHATGTEDLAPDSLSNSMGLFLQKTNIIRDYLEDINEIPQSRMFWPRQIWSKYADNLEDFKYEENSEEAVQCLNELVTNALIHGVDCLKYMEALNDNAIFRFCAIPQIMAIGTCALCYNNENVFRGVVKMRRGLTARLIDETNSMSDVYTAFYEFSSLLESKIDDRDPNAALTRERVDKIKRTCRLSGVLTRRGYDSDKSKYNPMLIMALLLLVAIVLKVLYANFAALLANTLPSSSTMTRRTAAATSSLTKEPWASSSRGTSTPTPRSAMVRATSGWSTTKGSITMGCPNARLSSVEPHPQCVRNAPTAAWASTRVCGTHPVRTSPRPRVRSSNAAGSSAATSESGADIPAGFRNAQRKRTPASSMPSASSRKVLARRLASQRDIGDRLRRLVVQPPEDLEAMGALSRPEEVVALVKLRVAAGQIKRQIPPEEHWGFCYSMLQKVSRSFALVIQQLGPELRNAFLTAVVAIAQVCIFYLVLRALDTVEDDTSIPVEEKVPILQEFYRHIYDRDWHYSCGTNHYKVLMDKFRLVSTAFLELGEGYQKAIEEITRQMGAGMAKFICKEVETVGDYDEYCHYVAGLVGYGLSRLFQAAGTEDLAPDSLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPREIWSKYADKLEDFKYEENSEKAVQCLNDLVTNALIHAEDCLKYMAALKDNAIFRFCAIPQIMAIGTCAICYNNVNVFRGVVKMRRGLTARVIDETNSMSDVYTAFYEFSSLLESKIDDKDPNAALTRKRVDSIKKTCKLSGVLKRRYD >Et_1B_013453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8578560:8582729:1 gene:Et_1B_013453 transcript:Et_1B_013453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQLDQLMGANRNGDVQEVSRKYYDRDVCRLYLAGLCPHDLFQLTKMDMGPCPKLHSLQLRKEYEEAKGKGTDNYDRELEETIERLIVECERKIQRALKRLEEEDAKAAIAISVTEVTQTKEVMELSKQIRQKMKEIDAFDLEGNTEGKIRATEEVDKLKEQRAEEQAKMLLEAFNKDRVSLMNSLQNAAQSTAPAPAPPDARTQEMINEKLKKAEELGENGMIDEAQKLLDEAEALKKLSARPQTVPDSAKMTTHVQITDQKLRLCDICGAFLSVYDSDRRLADHFGGKLHMGYMLIRERLSELQEEKNKKRKLDRAEYDRRSRERSLEHRRSSSRERHRGDRGGSRDRDRDYDRRRSHDRYHDRERESGRSRSYDSRSHRRSRSPRDSSRDYDRYGRHDRRDRY >Et_1B_009736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2208780:2209143:-1 gene:Et_1B_009736 transcript:Et_1B_009736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAIIVARLAVAFAGIEDLRARVLRRELIHGSRRRRADPCKKWTASTARVTIRSSAPWYVL >Et_1A_005597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11968696:11969539:1 gene:Et_1A_005597 transcript:Et_1A_005597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALFTMKRKRTNLFRLNCIKALSVSHTFFTVTYMLHRSIILLNCISSSLFLPCLQYISQGCMLMKLTAGVFDHLERIFIKGCLSLRIEVVAACALFNSASNLRQLYIWCASHVRGFRPKRIFDENYSDIKAPTLDHLVTFTLQDFVGLACEIAFVELLLGWAPALEEVNVGISEAISDQRLCEVMKKLLSLRRAFAKARIIFI >Et_10B_002458.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:8048241:8048789:1 gene:Et_10B_002458 transcript:Et_10B_002458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCITPTAARSAHQGRGGFLNHGTRRYERRGARGEFSPRQASAKSVAASTVAAAKEFPAAQAGRSAPRRLASTGKPRRIGTRSRWQRHPSPPSPPEGETARRKATQQASVGAVGGAPTATCGRHGEMDTIAAAHRTAASCAESAGAGAEEAGETAATVTRRRSAALGLMAAAALRDVARALAL >Et_7B_054976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6077928:6080330:-1 gene:Et_7B_054976 transcript:Et_7B_054976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKSRRNGTRPNLSFSTTTLQVQGVARLNRPAIATCKEDSRRYPRGIPGCQAASLDDCHGLCSHQNSLLLKLQGTKKMAGPVYSITRAEIEEFWRRKEAEEEELRLAAEKEAARIKAKELKIEDYVLFEQMIREILEEGNKGDGATKMGRGNTRSNTEARIGIKHWWRRSTYAYLNEPALTSTDENGRSRNAIIYFPQERCTRFCSSTPCQPNTTTFTSESCTDV >Et_5A_040380.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:18360738:18360875:1 gene:Et_5A_040380 transcript:Et_5A_040380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSCRSLGICSLAFCRVFACAVIYTVRHTGFELSFWAIQSVSTI >Et_7B_054048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14696640:14697316:1 gene:Et_7B_054048 transcript:Et_7B_054048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICHIGSSIFAGYHSSMVAKRMHHVSQGLNYFISITTIGSLDYIPSLAHFRALWIIDFDYCTNVEQYDWNNVEILNNVTFLSLRNSLVYMLPPGVTKLLELRTLDMRGTSISQLPDSIVHLTNLERLLTGEYGHGETQIPERIDDIKNLEVLTFFDIIHSNEVSVKILGKLTNLRDLGIQFYARGFDGIRRHEEVLLSALSILGNLNLRSLWIPSTDISSLEF >Et_5B_044894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7004886:7008163:1 gene:Et_5B_044894 transcript:Et_5B_044894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAAITSAGGDEGLAQPLLVNGGVVHAVANGSGHGPATEKKESMEDKYWVDINQPEAVEPSDVENGGGGRRPLLFRNKRVKRYILYPYRFLIFARMLAIILFFIWRVQNNISDVKWLWAISVAGDVWFGFSWLLNQLPRLNPMKSIPNLAALKQLHDHPDGSSTLPGIDIFVNTANPVDEPILYTMNSILSILATDYPVEKHTCYLSDDAGALVHYEALVETAKFAALWVPFCRKHSIEPRAPERYFELQPEPYMGGALEDFANDHKCMQIEYGEFKAQIDRLFDTIRQRSDAYNALKRDGCTKATWMVDGRQWPGAWLDHPTENHMKGHYAPIIQIVLERPGHTAQLGQCASVNNPLDFSATDLRLPMLVYMAREKHPSYDHQKKAGAMNVQLRVSALLSNAPFIINFDCDHYINNSKAFSAAMCFMLDPREGDNTAFVQFPQRFDGVDPTDRYCNHNRVFFDGTMLALNGLQGPSYLGTGCMFRRVALYGIKPPRRRANAIIVKSNIFGNSKPYLESISIARKQDQPIMSCSLDELIVPELLNVMSCAYEVGTSWGRGIGWIYNIATEDVATGFRVHRQGWFSRHCTIEPAAFHGTAPINLTERLLQIMRWSGGSLEMFFSHNNPLLAGGWLHPLQRVAYLNMTIYPLTSIFIIVYGICPLMWLFPEEFYIQRPFTRYVLYLILVILMIHMIGVFEIKWAGMTWKDWWRNEQFFMIGATSAYPTAVLYMLIKLVTGKGIQFRITSKQTITDNDEKFADLYVFQWVPILIPTAMVFAANVGAIGVALVLGRRGKKPTILFVVLPIAFVAVALVYIALHSLLVDFISF >Et_2B_020631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22137049:22145253:-1 gene:Et_2B_020631 transcript:Et_2B_020631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTTGQIDLEGLDSEAFEKLFLSCIYGSEQPPNDHGRLLEIRNQIKGKLKGSPLAAKTVGRLLKKHIDLDHWTTVLESKEWEYQNDDNDIMPALKLSFDYLPFHLQQCFIYCALFPEDYKFRKEELVHLWIGLDVLHSPTGNKRIEDIGHTNLTELVDHGFFKEENDEHGNTFYVIHDLHPNLARNVSSQECLSICSSNLRLIELPPSLRHLSIHIDDSSIKDPKTFGSCKEDFSAFEKRLKAENLRSLLLFGSYQCSFASTIAKAIRVIFSQNESGNMKHLLQKDSTHVHLRYFRTSDIFGSGLSNNITRHYHLRVLDQAEFENESSMSSLHSPMMQDSLILSSSLTELFLSDNEIERFSKEQEEALQLLTSLQELTLSGFRKLCCLPAGLRGLPRLRTLGISYCVAIRSLPAGGLPDSLHTLRIHTCDAIRLLPKGWLPNSLQQFVIFYCPAIQTLPKDGLPSSQRYLDVRGCGNEELKRHCRKLIGTIPIVQAAGIQAARWVLGKALGPLSSGALEAWAASTELGQNIRALRMELLYAQGMLNNARGRGPDQETKNPALMELLQELRDLGYRAEDVLDELEYFRIQDELDGTYHAADEHDGGCLHNCALNVRHTAAAMAKKIAGFSKCCGGSASHNERDDDDTRRGVSLCGPWPCLRHHNSC >Et_3A_025925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33989361:33992157:-1 gene:Et_3A_025925 transcript:Et_3A_025925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARLPSFPREQATERVCMVSSFATELLEMRSKESSLHVLLIPGNPGIAAFYKDFVEALYENLGGQASVTAIGHISHSQKDAEHGRLFSFHEQIDHKVDFIEQELEHSEQPIVLVGHSIGAYIGLEIFKRLQTKIIFFVGLYPFLTLNKNSVKQSAIGYIARSSLLSKGVSSFVSLLGSLNASVTRGIVRRLLGSSCSVTAVDAACNHLLRYHTMRNVLFMAMTEFQKLTEEPDWAFIRARQNEIALLFGVDDHWGPLFHLVEISKRAPGVALSVETEGHTHNYCCTEAGSFWVADYVANLIKTQMLTRNN >Et_2A_017146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31357255:31360459:-1 gene:Et_2A_017146 transcript:Et_2A_017146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVASPSPMVRSEEDLGPPWLRPLLGTSFFVPCREHPELSKNECNLFCLTCTGDALCAYCLPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMQWDPSLTFAIRPKRQGSGSDGSGSDDSFSPKKPRRLGGLELGRFDRHGIRWSDDEGSRSHNGSMTPGTPPINRCRPSRRKGIPHRAPFYG >Et_2A_017370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33608167:33609305:-1 gene:Et_2A_017370 transcript:Et_2A_017370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTLMRCLLAIAVLSNAAHAQLSPTFYASSCPNLQSIVRAAMTQAVSNERRIGASLLRLFFHDCFVQGCDGSILLDAGGEKSAGPNLNSVRGFDVIDTIKTNVEAACPGVVSCADILALAARDGTNLLGGPTWNVPLGRRDSTTASQSLANNNLPSPGSSLATLIQKFSNQGLSPRDMTALSGAHTIGQARCTTFRGRIYGDTNIDAAFAAQRQQTCPRSGGDANLAPIDVQTPGGFDTAYFQNLVARRGLFHSDQELFNGGSQDALVQQYSANAALFNSDFVSAMIKMGNINPLTGSAGQIRRNCRVVNS >Et_2B_019426.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29038402:29038935:1 gene:Et_2B_019426 transcript:Et_2B_019426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVGGDGVSGAVTQEWFYPGSGGDGGKPAAQVVDGTFLMELLEDAPATEQPAEDVDQLSRVIQSLEAEIDGGRPPASAPANGGSKAEYAPSDDVDGGLDDMLSDLDGSPGPYAAEALPPFEYWAEAPPAVAHDMGGWYLDGDGDGVMVGGYEFREQCYYGYGDSLTIDQVYSPLWE >Et_5B_045488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:289041:292952:-1 gene:Et_5B_045488 transcript:Et_5B_045488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCDDKSPPTCSMGEEHFVEKKYGGITPKKPLISKDHERAYFDSADWVLGKQGANSGSARAAIESLKPKLKDSSSPASPAQADLRLHLSQGIKEMRSYLKQAV >Et_8A_057422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2939349:2944203:1 gene:Et_8A_057422 transcript:Et_8A_057422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPGFLVIFWFFGFSSSVLATSKVPFKVVGCGEMGCAASKLEDEEAVKMCRDRRDFIKQALEQRNRFASSHIAYIDSMKRVSMALQRFVVGDDHHEFIFDPFISPVKQQKPELLGLPYSSYEKRTFHVAKYLRSGPNPSVSVEERPRLVETVRVESHYPMDNYSGMDRLRSSSYYPPYDRPNYPPPPAQEPVRNSSYYMPYDRTSYAPPSTQEPARTSYYAPYERPSYPSPSPQEPTRSSYYASYDRTSYPPPPQEPTRTSYYASYERPSYPPPSPQDQEPSQWDFFWNPFSTLDSYAYPRPRSSYDNVVTDDELARLQRCNEAPEPRRTVELEIKAHKKELMRNKVANAEETPGFTVYLNRRPSSLVEAMKDIDSQFSGICDAAREVSVMLEASRAQYSTSNDLSAKMLNPVALLRSASSRSSSSRFLLAPSSSIEDLFDNETSSCYSEESCSSMSGSHHSTLDRLYTWEKKLYKEVKAGEKLRIEYEKRLTHLRNQDVKGEEPSSVDKTRAALRSLQTRLKVSIHTVQSISRRIEVLRDEELHPQLLDLIQGLSRMWHAMAERHKAQKRTIEDAKLLFHQHHASAATAISLGPPEATTPPPAAVALEYEIQAWRGALESWLSAQRSYARALAAWARRCLGVVRAAPASALPPAFLVCMEWAQAVDAASEARVVDGLDFFMAGVGSVCSGAAAGMEGMAGRVLCAGMAAVTGALAEFAAASADGYDAAITAVITAAAERGKEENLERPER >Et_5B_043032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15782763:15786994:1 gene:Et_5B_043032 transcript:Et_5B_043032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMQINYTLCNSFNCAKAYRPLNCWPSCHTKDDVLKTPVLHVFIDQNSFNSFCAKSH >Et_7A_051367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17505310:17516972:1 gene:Et_7A_051367 transcript:Et_7A_051367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFVYINDDSCRNSYCDNRISNTKYTLWNFLPKNLWEQFRRFMNQYFLLIACLQLWSTITPVSPATTWGPLIIIFIVSASKEAWDDYNRYLSDKKANERKVCVVKDGIRRQIKAQEIHVGDIVWLHENDEIPCDLVLIGTSDRQGICYVETAALDGEIDLKTRLIPSISANLSAEQLGKVKGVVECPNPDNDIRRFDANIRLFPPILDNEKCPLTINNTLLQSCYLRYTEWACGVAVYTGNETKSGMSRGTAEPKLTAADAMIDKLTVAIFMFQIIVVLVLGFVGNIWKDTKGFKQWYLMYPAEGPWFDFLVIPLRFELLCSIMIPISIKVTLDLAKGVYAKFIDWDEQMIDHETSTPAHSANTAISEDLGQVEYILSDKTGTLTENRMIFRRCCISDTMYGNDNGDALKDVRLLNAISSNDPDVIKFLMVINDGTVLYKAQSQDEEALVNAASHLNMMLISKDNSTAEICFNGSKFYCELLHVLEFTSDRKRMSVVVKEDQTGKILLLSKGADESILPRSYPGQQIQSYLHAVEMYSQLGLRTLCLAWRELEEDEYKDWSKRFQDASCSLDDRECKIAEVCHSLERDLHILGVTAIEDRLQDGVPETIKMLRSAGINVWMITGDKQNTAIQIGRLCNLLTSDPDGQLLIINGKTEDDILRSLERALDLAFVLDGWALEIILKHSKESFTQLAMLSRTAICCRMTPLQKAQLVGILKSVGYLTLAVGDGGNDVRMIQEANIGVGISGREGLQAARAADYSIGKFKFLKRLILIHGRYSYNRTAFISQYSFYKSLLICFIQILFSYLSGLSGTSLFNSISLMAYNVFYTSLPVMTIIFDKDISEATVLQYPQILLYSQAGRLLNPYTFSGWFGRSLYHALVVFLITIYAYAGEKSEMEELSMVALSGCIWLQAFVVTMDTNSFTCPQITLIWGNLLAFYVINLILSAVPTLQMYTIMFRLCSQPSYWITVALIFVVGICPVLAFRCFRTLYRPSAINILQQIEQTNGYIQTPGNLEPAIKSARIDLTNLLTGLRRSRGSNYQPLLSDSAESTR >Et_2B_019408.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27896839:27897813:-1 gene:Et_2B_019408 transcript:Et_2B_019408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DLDGEQTPARGCVLVGDLGEPVEVAGAHVLVLHLEVHVECVGDVALLVQIVAGAAVPERRAVAAGARVLDVPRQLVRLVLGLVVEHAPLARQVLDVRHGGEELVVPLPGQVNGKLGLAVVGEDAPVALEVPRRDALEVLVEEAEQVHLQLARPERCAFVLGELLQEPVVEPPRQLDVGVLLAVGGPAGLADDDLEVGHAGLLERVHQRVVVGVEHVGVADPALVLDVMAAVEEGEVEREVVAQGQAQERVDVHHEGRAVVVQELDDVRHQVVDVRPERAGGRFVVGGHVHVGVQRDHRLHRAADAGLVQSLLDVLQRRERGLHE >Et_3B_031722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:907383:910059:1 gene:Et_3B_031722 transcript:Et_3B_031722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVDKAKGFVADKVAHVQKPEADLADLSVGHVGRDGATLAGRLDVRNPYSHSIPICEISYSLKSAGREVASGTMPDPGSLKASDTTQLNVPVKIPYDFLVSLVKDAGRDWDIDYEMRVGLTVDLPVLGNFTLPLTKTGELKLPTLSRIFYYKRTQTMYLQQNLYEKNIKVTRGA >Et_1A_007049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30394236:30403884:1 gene:Et_1A_007049 transcript:Et_1A_007049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSAPPNPSPVPVPTPTPAANGTAPAASPPKDQQQPQQQQQGGQEGVAAGEAAEVVDGGGAEAAEAGVAAAGDAMEVDGGVGAADAEAGVGSGAAAGAQQQRSPATVFRIRLKQPPASLRHKMRVPELCRNFSAVAWCGKLNAIACASETCARIPSSNSSPPFWIPIHILNPERPTECSVFNVKADSPRDFVQFIEWSPRSCPRALLVANFHGRITIWTQPSKGPVNLVRDASTWQCEHEWRQDLSVVTKWLSGVSPYRWLPTNSTSSNLKTFEEKFLTQQPQSSGWPSILCVCSVFSSGSVQLHWSQWPSQNSAQPRWFSTSKGLLGAGPSGIMAADAIITESGALHVAGVPLVNPSTVVVWEVMPGLGNGIQASAKINATSSVPPSLSPPSWPGFAPLAAYLFSLQDYLVSEGTQTKKLTDNETTEAASIHCCPVSNFSAYVSPEAAAQSATTTTWGSGVTSVAFDPTRGGSVITVVIVEGQYMSPYDPDEGPSITGWRVQCWESSLQPVVLHPIFGSPTSFGGQPPMQTVWSTRVNQSIPPTEDLKNPQTYVPMPTTSDERSSSECSVDRANRLSFDPYDLPNDVRQLAQIVYSAHGGEVAVAFLRGGVHIFSGPNFDQVDSYHVNVGSAIAPPAFSSSSCCLASVWHDTLKDRTILKIIRVLPPGILSTQTKVNSAAWERAIADRFWWSLLAGVDWWDAVGCTQSAAEDGIVSLNSVVALLDADFHSLPTMQQRQQHCPNLDRIKCRLLEGTNAQDVRALVLDMQARLLLDMLGKGIESALINPSTLLPEPWQASSDMLSSIEPDKMTGYVDAVLDLASHFITRLRRYASFCRTLASHAVGASSTTSSSRNMVTSPTNNSPSPSNNQSNQSGATSATGNSQMQEWVQGAIAKISNNSDGATTATPNPASGRSTFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCLLFRRRQSPRLLAQKTQDSAIQKVQHMMNGKTEDNSTTLRPGLGATKIEDGSATRGGQFVLGAKGPEENPVGKSVRIGSGNAGQGYTSDEVKVLFLILVDLCRRTSSFQHPLPASQVGSSNIIIRLHYIDGNYTVPPEVVEASLGPHMQNMPRPRGADAAGLLLRELELQPPAEEWHRRNMFGGPWSEPDDFGPLDNMPRLKISGSGNPHLSDMEEDGNTSFGVQSLWPRKRRLSERDAAFGLKTSVGLGTYLGVMGSRRDVITAVWRTGLDGEWYKCVRCLRQTCAFAHPGAPNPTNEREAWWISRWTHGCPMCGGSWVKVV >Et_2B_019379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26115016:26115508:-1 gene:Et_2B_019379 transcript:Et_2B_019379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DKEGIPPDQEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKDYNIEGGSVLHLVLALRGGQ >Et_1B_014415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7998219:8000664:-1 gene:Et_1B_014415 transcript:Et_1B_014415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRRHPQRSRSARGPPPVPPPGPPLHGRQPPSRTRRNPTFSPDSFGRESRMEDDAISTLMDIDDSPLNGAGFLDEEDVEGELFMGPSRGGRGIGGIGVMDLRGPLPFSGFFNSFDGADFDDADLELSANIEAYAVVLDSEACLLKPIELFRAAKLRNHLLQSCSRVSEDSS >Et_1A_008424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7582797:7585250:1 gene:Et_1A_008424 transcript:Et_1A_008424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNPPGRHLLRLTTGAVRSSSALGGGGASTSAASPRPRTVAGGRPLRATSPPPPSAVAAASYWESRTLRRDGEEDWEEVVAGSEEAVSGPGEMDEEEEYRVVFWSPPTIDEVTGAVTSIQEVFENPFGVDSDATDRQTALLSTSGHSSGNSSGSDDWIEPAAYVLNSTALLSREHRNVLDAFRLLQKDPTVQKMVMSLSCDKAVWNAVMNNEAVQDFRRSLHDGKEIDKRGSAAGPAEVLRWILDSAQGKIVEFLENVMKIFSMLIHPHSDEEKPDVYSDAVKVSFMLTVFVFIVVAIARINSEHWGFKVW >Et_7B_054621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2939223:2940791:-1 gene:Et_7B_054621 transcript:Et_7B_054621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMESGALRACPNSKRKAAALEDGLSDAENTAVDAMAPTEDEAAGGIMSQEWIDSVLATEIKPMPFKERHLRDPELAAIFHSHERYRAKHAEYKAWIRNELKIKGYAEVDDDYEERCDLYQAVYKAVFYGNKEEEEEAMAKLTAMRHLCWLTKDGKQT >Et_4A_033384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22144600:22148093:1 gene:Et_4A_033384 transcript:Et_4A_033384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMPPAPRRTARKEISCSLTLPCSLAPMKSAARSSTSAISPADAAFSRPTTISAVGLFSLYTLVMASPSAIPNGVVVEKKNTSADFIFAPYSACRMQPPRPMPSKSWWKHMAATSGRMVHTFMDAPMPRPITTECTTIPKAVPRREEKDYLNDDAVPAQLHLAGVIVLGLRDRRGICRRDDIPVSMTMAVTVAVAMPVAAVSRALVVGDRGAFAATTALLPKVSKSESSMSVNTAASMATKAVKEKSRHGFCGRHGEVRLLKESGSTCMYPVARMTPDAKAFTMTKRFRSGRSAGTEREKSGRHTPIMLATRIVGMAISFRRSALGTLWQAPSTVGSEHWSAAGSDCCA >Et_4A_035781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5786422:5788456:-1 gene:Et_4A_035781 transcript:Et_4A_035781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGPDVVVPTHELQAPLKCPAEDAIPLQSPTLSSEEFLQFKRKATTIVEEYFSTDDVAATANELCELRVPCYHYYFVKKLVSVAMDRHDREKEMAAVLLSSLYGDVIDRPQLCKGFVKLTESCDDLSVDTPDAVDILAVFVARAVVDDMLPPAFLAKQGACLPDGCKGAEVLRRAEKSYLSVPHHGEIVLQRWGGSKRITVEEAKAKISDILEEYLASGDRHEACRCVRDLKIPFFHHDVVKRALVLAVERGGAAEGLILDLLKAATEEGVINESQVTKGFNRLIDSVDDLALDVPNARRLLKSLILKASSEGWLCASCLKPFPPEPKKAGSEVDDAAVREFKAKSVSIINEYFLSGDMIEVVGSLEAENYSCSSSFNAIFVKKLINAAMDRKSREKEMASVLLSSLCMPPEDVVAGFHLLIEAAEDAALDNPAIVEDLTMFFARSVVDEVIAPSDLEAMEEEEVVRCGGGKPEGSTGLLALRNARAMLGAKLSAERILRCWGGGGSGKAGWELHEVKDKIGRLLQEYDCGGDVREACRCIKDLGMPFFHHEVVKKALVAIIEKRGRDERLWGLLAECYGRGLITPNQMTKGFERVADCIDDLALDVPDAGRQLGCCVEQAKKSGWIDASFSIARPAQPLSNGVCA >Et_8A_056778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16638109:16641140:1 gene:Et_8A_056778 transcript:Et_8A_056778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYYDIDAILMEEEPISVVFQVTANGVGLLDPGAESNCVEKGAKVDLPFWLAHGLLSLDQAVSINVPPCFTQKTRKEIQADAACVDLRVRCPYFYELGCKIVPLVTDKSIGLFLRYAFTSRYKEILSKSHSSSVMTVPKFVPRLTREEMFESARESMTAFKKWRVGGVRMQKASILGRKRKTKLPDGPSTP >Et_4B_038262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27645093:27648651:-1 gene:Et_4B_038262 transcript:Et_4B_038262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTGALDLASGLGGSIGKDQVKSAVEQYVRYHDLHGGEEESRMSNYTDLVNKYYDLATSFYEYGWGDSFHFAGRLHVETFRESIKRHQHFIALQLGLKKGMKVLDVGCGIGGPLIEIARFSSTLITGLNNNDYQISRGKLNTLEFLHIAPAGSMRVYHFLQTASEGLLKGGREGIFTATFFVVGRKSPQETETVNGEL >Et_10A_001390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2561984:2566950:-1 gene:Et_10A_001390 transcript:Et_10A_001390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLTTFLLRATMALALVAASSAWHDDDGILLPFAPTCSTSNNYTDGCAYESNLASLLAAMPAAAAANGWFYNGTAGAGGNDTVYGLIMCYADRNATQCADCLARAPAGITSVCPGSRTVSAAYDACLLRYSDAPFFGVADPSEAFFVFELGGEEKVDAAALDAARSGLMNGLAKAAADSPPLMLANGSAPYVGKDNKTDQEVYGLAQCTRDLTPGQCAWCLTTYVGRMREVFGNHTGGATKGYSCYVRYRIGAFEITLPPATTAPPPSAPQPAPAARTRNLESEQQHGAAGKHWPNMDSFIVIVAVASLCVAAVGQDDKIMRPFTPSCSTVGNYTDGSEYKKNLDQLLAEMPAAAGDNGWFYKGTAGAAGADQVFGLIMCFADCNATQFLDCLAGAPAGITTVCPGSRNVRAAYDACVLLYSDTPFFSVADPSAAFTTVYWPGATVDGSALDAARSGLMKQLAEKAANSPLLLANGRGLYGGAPEAMQGLAQCTRDLTAGQCTWCLTTHIGNLQRVFPNNTGGAIRGYSCYVKYVIGAFDVTLPPPPPPPSPPPPSPSVSTGLVIGLSAAGSATFLLLLGLSVCFLLGRTTTVGREMEEGDDFFDGEPAMEDEFEKGTGPKRFRYSELADATDNFSDELKLGEGGFGAVYRGFLKEMDNLAVAIKRVSKGSKQGRKEYAAEVCIISRLRHRNLVQLIGWCHGGGELLLVYELMPNGSLDAHLHHRSHNDGVLPPLLPWPVRHQIVLGVASAILYLHQEWEQCVVHRDIKPSNVMLDAAFNAKLGDFGLARLVDHGRGSHTTDLAGTTGYMDPECTATGSFSTTSDVYSFGVLLLEVATGRRPVVVLQDGTAVHLAQRVSELYDRGMVLHATDPRLNGAFDAREVECVLVVGLWCAHHDRSLRPSIRQAVSVLRFEVPLPSLPERTPSSSLPNSVPSFIGAGSTRSRTRHASFI >Et_10B_003595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3602584:3604489:-1 gene:Et_10B_003595 transcript:Et_10B_003595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKENAVPAAAAAVGPRVTRAAAKRRAAAEAAAAGGERPAKKKRVALGELSNAADRPAPARPAKPSASSSSPPRDAAAEDCAASSSPPRAAAEPSPSAVSSSPPRPAVAAAAPDPQLCPAYASDIYSYLRSLEAEPLRRPRADYIEAVQKDVSANMRAILVDWLVEVAEEYKLVADTLYLAISYIDRFLSANPLGRDKLQLLGVAAMLVAAKYEEISPPHAEDFCYITDNTYTKPELLEMESDILKLLKFELGTPTIKTFLRRFTRVAHEDKKRSILLFEFMGSYLAELSLLDYGCLQFLPSLVAASVVFLARLTIDPHSNPWNNKMLKMTGYKVSELKDCIIAIHGLQLNLKCPSSTAIRDKYKHHKYKCVSTLLPPVVIPPSYLEDLTE >Et_8B_059070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13097176:13099527:1 gene:Et_8B_059070 transcript:Et_8B_059070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RFGAVRDANVFYWFQNRRSRSRRRARQLQQACGAAAAGAGHLIPSAAVAGNLHVSDSASHHYVMHGHTVQAPVPSAAAASTHFFADDMDGGGDDLFAISRQMGLLPRGAGDDHRCGGYTANDASQLMSYQPTGATTSIQVFINGVVYEAPGGGALLDLAGTFGRDAVLVHSSGEILPVNEHGVLVKSLQMGECYYLVGFEIDLISCALPCRMMLQDQCCPTKPLSPSKFACFAANEDIDVANFIFLVYLEEDH >Et_8A_057360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2416367:2421272:-1 gene:Et_8A_057360 transcript:Et_8A_057360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPPSAGSRCRRRPQLAPSPSLPPSPQSILRARPTLAAQGFRSSGDAPARRQELALPRNGEGAYYGDRRFRLQRSKEMRYRQADGTWRRELYEDFRSQQESEVHLLASIVQGSAILSSRSRQATKKSNLERITEVVKKSSVPDLCEKLSGVQHPQLISKIQILKPAPEVARGDKPVQKESPATEVPSVPKKVSAPAPSASKLSKEKVDKKALIYMNEEDMKSLGIPMKNSFTAEASKAARM >Et_3B_028373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14339353:14352269:-1 gene:Et_3B_028373 transcript:Et_3B_028373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAENQLPRHEPGASADAVPPLAKPQEEVGEVAAATAGRTPLDRAADAAEELYRLRDTFFPHDPAEKAAALRAGADAALAHLDALPLEQRKSPQQRGVYEFLRGKILDVFPDYHKEAEDHLSKAVKLNPSLVDAWLCLGNCIWKKGDLAAAKNCFSLALSKGTDRKILCQLSMLERSMAQGSEDQALLVEESIKHAKEAVMLDITDGNSWYNLGNAYLISFFVGGSWDHTKLHHSVKAYQNAEKDKSMILNPDLYYNFATADRYLENYERALHGFEAAALKDPGLGADTEVQKIISLLDKIENATKGQLRSKRLASLVSSLSNVKVKTLHKKATVSILSEGLNKGVAVLGKIVLVIKHDNVAPLYYLTCDLDQSYFVLSVYGLRNDTIKEGDRVVLFEPYYRILETSWKEKRYQFKSIRVDFPEQILINERDPAPQYVVRASIHAQNKP >Et_1B_012837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35485658:35486379:-1 gene:Et_1B_012837 transcript:Et_1B_012837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFSFLWIAAPGLVTEKNLKVFTTTLTPIIQLIELVSTLLLYTNISVCEGTSASLYTDFSPISQIFVLGSALVVLGLYFLLWAKTKESSNASSSNIVLEAKKKKSRQAQEKDV >Et_1A_006255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19746177:19749207:1 gene:Et_1A_006255 transcript:Et_1A_006255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADDNINYVKTVRANNLKSLIRANGALVFVETTPSKLTGEKEEAEVTCAERREEGGRGRRDEDAAVDMVAVVRGRRTRRADGGGRIFVSQGRPLVLARTCLAAGGCQTPLAHQALVVLELVASCIGRRGRTCRFTYKAGRHGRACRATPRAVFGGAACVNWWWRDLGVGTAARFGGGRPGGPETSKIWEWESGGGAVLERVGLGVEGPGREYVTGDGAVVGLTVSISSWAGLSTGMKTCWADIQATRAGHPWGQYDTQPRLEVSRVRVTAVMWCYAVVWWIDVDAGEAKSAGLVDVDAREAEFALPGVRYDGGARMKSKLLRRECDELDELDDDDMDLATVWSAWGETMWRQRFVVVKSVLLVASRQAGNNDMQCALEAYL >Et_3A_025959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34193756:34195642:-1 gene:Et_3A_025959 transcript:Et_3A_025959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSYTALAGEPAMAAWDDQLQLADVQALVGDHNEQEADVLVMPPEEGFAVEEPPVDEPAAAERQAEIAGLLSRFGQHLHDRTQHHLGYPYNLDFDFSLLARFQSFCINNLGDPFIESNYGVHSRRFEVAVLDWFARLWDLNKDQYWGYITTCGTEGNLHGLLLGRELFPDGIMYASGESHYSVFKAARMYRVDCVKIDTLVSGEMNCADFKSKLLQNTGNPAIVNVNIGTTVKGAIDDLDRIIRTLEDCGFAGRFYIHCDGALAGLMMPFIKQAPKVTFNKPIGSVSVSGHKFMGCPVPCGVVITRREHVNVLSTNIEYLSSRDATIMGSRNGHAPIFLWYTLNKKGYRGIRKDVQRCLRNANYLVYRLKEVGISASLNPLSNTVLFERPKEETFVHKWQLACEGNIAHVVVMPNITIEKLNKFVEDLATEQAMWYQDEGCTTAPCVAKDIGHENCLCGDHNKKARMS >Et_8A_056471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10547651:10550269:1 gene:Et_8A_056471 transcript:Et_8A_056471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLLLLLCLLPLADAAGAGAVSGDFTALLAAKSALSDPAAALSAWDPAVSPSPCRWPHLLCSNRSAAAAPAVAALLLSNLSLAGEFPAPLCTLRSLARLDLSYNSLSGPLPACLAAALPSLRHLDLAGNAFSGAVPASYGAGFPSLATLDLSGNELTGAFPGFLLTNLTALEEALLAYNPFAPSPLLPPASSFSGNLRVLWLAGCGLVGAIPASVGHLTRLVNLDLSTNNLTGEIPGTIARLESAVQIELYSNQLTGGVPAGLGALRNLRFLDASMNRLAGEIPADLFLAPRLESLHLYQNQLTGRLPATLARAAALADLRLFSNRLAGELPPEFGTACPLEFLDLSDNRISGRIPAGLCGAGRLEQLLLLNNQLVGPIPSELGECRTLTRVRLPNNRLSGAVPPGVWGLPRLYLLELAGNELSGEVAGPAVAAARNLSQLIISGNRFTGALPPELGTLPCLFELSAADSGFSGPFPAVASILAIAGVVFVLGVAWFCYKYHRSQQQRRERRAAAAGGGGDGRPRWALTSFHKVEFDGDDILGCLDEDNVVGAGAAGKVYRAVLRHGGGGHVVVAVKKLWGGKAVAGGGAGAGKDTFEAEVATLGRVRHKNIVKLWCCFRGGDDDCRLLVYEYMANGSLGDLLHGHGGKGGADLLLDWPARHRIMVDAAEGLAYLHHDCAPPIVHRDVKSNNILLDADLAAKVADFGVARVVGDAMTAIAGSCGYIAPGK >Et_7B_055666.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:20453538:20455565:-1 gene:Et_7B_055666 transcript:Et_7B_055666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKALDLWNRWGIQILLLLSLSLQALLQPLAGVRRRRASSFPRGLLWLSYQLANSAAIYALGHLSLSATERERQLPAFWAPFLLLHQGGQDSIGAYSLQDNNFWLRHLLLLIVQVVAATHVLYKHLPRGDQFLQLAAFLMWAVGIVKYGEKVVALKRGNMDSIQSSLKKEPVAQHHHFNHPGQGLVEKQANDDEAHLLHAHHLFHIGKRAAVDSWLDKDPEHNTLEMLKALRKKDYKGMWAFAEMVMSLMYDILYTKAGVVHTWPGYFIRLITSSLAVPASFLLFHFSGKAGQSKVDVAITYTLLAGAFLLETTSLLSAIGSTWAYAFLSTTRWSWLRYATLCTGRWDQFRRLVKAIKGSGDGDISGRKWSGKMGQYNMLHYSSRQNTAYRPILGRLVTILGFEEFWNRKHYSTTVDISDDLKQMLFEYIQRITKTQLNTHGGIRKSWGQEALEGEDKDLYERIKKERKLGTEFQEGIILWHIGTDIFLAKRNRDASDTAHLVKIIRTLSNYMMFLLVDRQNMLPGLPQTTVYRRTCENLSDMCKNQGHPRSNISSMLKEIFRLRDGPMFTEKRHTDKLAGILYKERPQYSPSVPRLCYANGIAEELLHRENQKGSSSVLKLLLNVWMDFLVYAANRCSRESHAKKLGRGGELTTLVWIMFHYLNQEAYAGQKD >Et_10B_003893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7326768:7327772:1 gene:Et_10B_003893 transcript:Et_10B_003893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGGEEAPKPTEKPAPMQKTTPPSSAEKLKDIPAGIESSKANNYMRAEGQNCGNFLTDRPSTKVQAAPGGGSSLDYLFSGSKDGK >Et_4B_037270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17579520:17580427:1 gene:Et_4B_037270 transcript:Et_4B_037270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKARRSPTASGADLISALPDGVREHVLSFLPAHEAVQTSLLVSRSWRDLWKQSPALRISAWGTIDEFTSFVYGLLEGRLAAPAAPLLSCDFDLSDPSAVGPGGAGELLDQGCLEVLRAAFWGRPEWSFDDPWRFDLPVSKHLKELDLKFARLNEDSNHVVDFSGGPALLKLKMKDCSVNADQMRSPSLEHLTMVRCFFREMEHTLIRSPSLITLKLTDCFGRKPLLEDLPTLTTAVVRLDHCCYDNFWTRSGHHGHDHHGECSKCLSDDGSIFFFHGFADCD >Et_8B_058764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2270344:2270766:-1 gene:Et_8B_058764 transcript:Et_8B_058764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGDVLPALPPIRTTAPPPAESSTAPDAESRPSPAAGKWEEEEAEKAEPTTPTSEESRLQPATECPPAPRKPKRKLSSTSAAPSPPPRRAFFPVARDLSTVFRSLPPKKRIRAG >Et_2B_022645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2949717:2955643:1 gene:Et_2B_022645 transcript:Et_2B_022645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALGIMAADGVVLVGEKKVTSKLLQTSRSAEKMYKIDSHLTCAVAGIMSDANILINTARLHAQRYALSYQEPIPVEQLVQSICDTKQGYTQFGGLRPFGVSFLFAGWDKHHGFQLYMSDPSGNFGGWKAAAVGANSQAAQSMLKQDYRDGMTREEAVALALKVLSKTMDSTSLTAEKLELAEVYLQPGTGEVQYQVCSPDALGKLLTKAGLTQPASSEAWFRFTGSLWSSLRFSLLSQTECRIIWNMSSLSGGWCSKKWRFTSLTPRCSRSTSGAGILSSTSLSRGMSATGTATENASHRSTSANGSAYPSDTTTGTHSAWMASTTRGLATSNPLGQRQKRARRMEE >Et_6B_048947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1593601:1603335:-1 gene:Et_6B_048947 transcript:Et_6B_048947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPLALKNRQAEGFEVLKVEDGGISLEFRMGETSSSSSHSRRDPCVLGSYGFHGAMGNSAPPANFFDQGGGAYFGELEEALMHQVATFRPQQAAGTSTAHHGAMTPFHTAATAPAAPATLDIFPSWPMTRSLHTPKHEGSNVTADSTDSESSSKNNSNYSSDQHGPAANMASQFDQASQQQPQHKNMATSSTHRTGKTLDPKTIRRLAQNREAARKSRLRKKAYIQQLESSKVKLAQMEQDIQRARAHGLLLGGAPGANTSSGAAMFDLEYARWLDDHGRHMAELRGALQAHLQDGDLRAIVDDTLTHHHELFRLKAMAAKSDVFHLITGVWVSPAERCFLWMGGFRPSDLLKTLLPLLDPLTEQQVVGICNLQQSSQQAEEALSQGLEQLHQSLATTMAGGSLTEETDMSFMGQMALALSKLSNLEGFVIQADNLRQQTLHQMHRILTVRQAARCFLAIGEYHNRLRALSSLWASRPREVLPRLLKACKALVSQVARQRYSSFGTKELLGSSQVQSITDGPPGGKCVNRNGHPPRYKPSAILSYTDSFLMNLFTIAYDHASIKKGPNSIIDQTENLPAEFFPKIQGTLMAVWDAKQVARTGNVTVLRPIFHRPTEATRRAVAAIPVTLDIPIV >Et_4B_036308.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:16314755:16314850:-1 gene:Et_4B_036308 transcript:Et_4B_036308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARVRVTYFTRSIFLREWVFASPYPNPTSSHP >Et_10B_002635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19628346:19628816:1 gene:Et_10B_002635 transcript:Et_10B_002635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGEALNADKMQMYAGLDIATNKVSMLDRCGIPHHLIGVFPSTAGDFPVRGHMPIVVGGSNSLIHGLLVDHFDPFMVNPFEKSNYLTSSRFESCLLWIHANEVILNEYLKNRVDCMVDAGLVEEVKEYFDMCNLWSTLG >Et_7B_055863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6179887:6181821:-1 gene:Et_7B_055863 transcript:Et_7B_055863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSSTCDEGSELDARSHSDYADFDDLDPPRSHRREASSDVSSECSGEPGSPYGSPYPRWPVCALPARLPQPPLLKKLSTTRRTGGGVRDGKAGDGVHYRESAELQLIKERFSKLLLGEDMSGSGKGVSTSVAISNAITNLYATVFGSCHRLEPLSPEKRSMWRREMDCLLSVCDYIVEFFPSKEILPDGTTREVMATRPRSDIYVNLPALEKLDDMLLEILDSFQKTEFWYVNDKGHKDDSVATPCRPVSHRGEDKWWLPVPCVTKPGLTESARRDLQQKRDCASQIHKAAMAINNGVLAEIRMPDLYKQALPKCGRASVGDMIYRHMSFPGKFSPDYLLDCLEISSEHEALEAADRVEAAMHVWRRKASQSHSRTPWSALKDLMESDKNVMLASRAEDVLLCLKQRFPGLSQTTLDASKIQYNKDVGQAILESYSRVLESLAYNIVTCIDDVLFADEAARKIA >Et_4A_034878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7435887:7439160:-1 gene:Et_4A_034878 transcript:Et_4A_034878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSDGGGDQRRLLSIPKEGERIIAPTRRPDGTLRKAIRIRAGYVPQEEVAIYQSKGAQMRKSGPDVPPGYDPSLAADAKPKTKAAKRNERRKEKRQQATLTSDKGKGLDIEEAAAGETDKQRNSVDSVTKQIGGIAISDSPITATPSTNATDNSQTESSAPDIDKKIRALKKKIRLAEAQLQGDPEKSKPETLEKMKKIEGWHEELKLLEDKRAFAAS >Et_8B_058647.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1309202:1309468:-1 gene:Et_8B_058647 transcript:Et_8B_058647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLGLIGCQDGHRSATDIFLTLLVIVTAVVAARLLVCAVAWCLWDDGDGAAAHHHHHHHHHHSPDTSDVDEDVEAWHGAGLAIFGHA >Et_8A_057378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:24034439:24036949:-1 gene:Et_8A_057378 transcript:Et_8A_057378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVYALVARGTVVLAEFAAVSGNAGAVARRILEKLPPDAESRLCFAQDRYIFHVLRADAGITFLCMANDTFGRRIPFLYLEDIQMRFMKNYGRVAHSALAYAMNDEFSRVLHQQMEFFSSNPSADTLNRLRGEVSEASTTHTVLLHQPQNIHTVMVDNIEKILDRGDRISLLVDKTSTMQDSAFHFRKQSKRLRRALWMKNAKLLAVLTAAIVLGKRWFGFEMKCRLELLLLIGWIHGAAKGKAVGKKAISKGAG >Et_5A_041407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22203530:22209207:1 gene:Et_5A_041407 transcript:Et_5A_041407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILTRAKKQRLEEEEKLVDRISRLPNAILGDIVSLLPTKDGGRTQVLSSRWCHIWRSPLNIDLLHCRLSHCLDFDDIHGALLAPGPQPPLLDSFLLRRVCRSGRLAPFPLNSLQEIEFDHAPWHVERAPPLLTSAQCFSGTLRTASFGGCSFPDGDDAVMLRFPALEQLSLRNVKISESSLRGLLAGCPDLQSLLLDGNYGCPRVEIIKILSAALDPPNGPRRHHLYRVLLAVHTNNIGDEASDRSTQITNDADRPLIIWILPHEGEQLRGRDLDDRGPVSGIKAPMQL >Et_1B_013121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5690857:5691538:1 gene:Et_1B_013121 transcript:Et_1B_013121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALYLATPTLPAGSLPPSLRASPLSWSASVAVSRIQPPPGLALQPLPTPNAPGNRQQSLVVRAAWTRRSRGEAEARPNRKSLKQRTDMTIGRLIAERAIVADVFAMAYEPKKNERIEGKLGIIIDTIKEHGIIFA >Et_4B_036635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1026687:1029623:1 gene:Et_4B_036635 transcript:Et_4B_036635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQGGDQGGGGSLAMDMGVGQFTGGAECSSSSATVAAAAAAAAAAEAEERQLLKGEIAVHPLCEQLVTAHVGCLRVATPIDHLPLIDAQLSQSSGLLHSYAAHHRPFLSPHDKHDLDSFLAQYLMLLCSFREQLQQHVRVHAVEAVMACREIEQSLQDLTGATLEEGTGATMSEDEDEPPIMDGPMDMGSDAHDMMGFGPLLPTDSERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTSILKQWWQQHAKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNNSQTSTLKSKRKR >Et_9A_061916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17803499:17804623:1 gene:Et_9A_061916 transcript:Et_9A_061916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEDGANGRAAAAAAAEVEEAMAELQVEPPRRAPRLNERILSSLSRRSVAAHPWHDLEIGPEAPAVFNVVVEITKGSKVKYELDKKTGLIKEIKRFFEDYKKNENKEVAVNEFLPAADAREAIQYSMDLYAQYIMQSLRR >Et_1B_013557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9467479:9479581:-1 gene:Et_1B_013557 transcript:Et_1B_013557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVSPPPRLSLPHPLPLPSLRSPPPRLLSPHRRRCLHVDEVPDIGDRDLPVDVSFTRRLPPALTVGDGLDALRRAADEAKSRPPGVGSGVIRFEVHVPPSTKALSWLCSQFSESSLFPQFYLSRKQTSSPSFQLQICGIGSAICLHGSKLVKSGVDLLSRYLSSDSHLIRAYGSIGMKYDRKLLSLEEKTGSYYFFIPQVQLSEFNGFSILSSTMVWDDSVSHTFEDAVSLFESCFDQIQNCYDSSASISHKGLAASYFSEDSQMLEIGNAHLDKFLTPDQSFIRFSPQLFFSSNMESCLQHYETESSIKSCSNINLAWASLLVEECVRLGFTYFCIAPGSRSSPLALSATSHPLTTCISCYDERSLGFHALGYGRGSRKAAVVITSSGTAVSNLLPSVVEASQDFIPVILLTADRPPELHDAGANQAINQVNHFGTFVRYFSNLPPPCDQIYARMVLTTLDSAAYHAMQAPQGPVHINCAFREPLHYSNQEWNLDCLRGLDKWFANSKPYTRYLRMKMVSGFSNYTCSDMEVLKIIKEAEQGLLVVGAIHTEDDLWAAALLARHLSWPVAADILSGLRLRKILNSFPGLDKSNLFVDHIDQILLSDNVKNWISPDVIVQIGSRITSKRVGMFLEACFPSSYILIDRHPCRHDPSHVITHRIQASVTEFAASLCRYTFERKTRRWKNILMVLNSVVSQAVMFQIHSNCSLTEPYVAHMITDSLCGGAVMFVGNSMVIRDLDMFGKGWLDHTTKVNNVTTHHFPDFIGTVVAGNRGASGIDGLLSTAVGFAVGSNKHVFCMVGDVSFLHDTNGLSLLNQRARRKPMTIIVTNNHGGAIFSLLPVAKTTSAQILEKFFYTSHDISIPKLCAAHRVKYYHVETKVELQDALVKSHAEQIDCVVEVESSIADNANFHRHINMYSDYSATRYLDYLLGIPYCNSELGGMYVNRIHAVEYMLYSIQLSAPRTSGVSDGIFFHEGFILKLRVDDNIVGFGEVAPIEIHEEDLLDVEEQLRFLFHRVKDSALDVIPLLRGSFSNWIWTNLGIPPSSLFPSVKCGLEMAILNLLASQRKCRWSDILAGSNPLVQEGNAVEYNQSTSSSIQICALVDCNGTPMEVALAVAKLVTEGFTTVKLKVGRRKSPTEDAAVLHKIREIVGYQINIRVDANQKWTYEQAVEFGSIEPVSSANDLIKFCEETGLPVALDETIDNLKEDVIPKLHQFMHPGIVALVIKPSVVGGFEDAAHIAKWAQMHDKMAVISSTYESSVGLASYIQLAHYIDQHNSIVSRIKNKDTCGAVAHGLGTYQWLKEDISKQKLKFHVSTLADGIGASVEDANGYLHHLNINSEKIERKYSEEKLRSYSIQVDMDGCSYLVKLQEAGDTTNEKVVLLLHGFLGTSEDWVPMMKALSCSARVIAVDLPGHGESQMLQHHTGISNQFPFSVQSVAELLLKLMCHITDSEVVVVGYSMGARIALHMALNQIHKISGAVIVSGSPGLRDEASRHRRLAIDKSRAQYLVSSGLECFLETWYSGKLWASLREHPKFDSLVRTRTKHENIEALAKTLAEASIGRQRPLWEDLKHLKRPLLIVAGEKDKKFKEISQQMCWEIRSSECGSDGRNGIELCEMMIIPESGHAVHVENPLPLVRAVRKFLQKLH >Et_3A_023768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12003013:12008567:1 gene:Et_3A_023768 transcript:Et_3A_023768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGRTEMEVGADGVAVITIVNPPVNSLSIDVLHSLKESYEEALRRNDVKAIVVTGKGGKFSGGFDIGSFGSVQGGQMMQPKVGYIAIDILTDTVEAATKPSVAAIDGLALGGGLEVAMACHARISTPTAQLGLPELQLGIIPGFGGTQRLPRLVGLTKSLEMILLSKPIKGGEAHQLGLVDALVSPNNLVNVARQWALDIYELKRPWIKSLYKTDKLEPLGEAKEILKFARMQAQKQAANLHHPLVCIDVIEEGIAAGPRAGLWKEATAFQELLFSDTCKSLVHVFFSQRATSKIPGVTDLGLMPRKITKVAILGGGLMGSGIATAMILSNYPVILKEVNEKFLNAGIDRIKANLQSRVRKGKMTEERYEKAMSLVTGVLDYDSFKNVDLAVIENVNLKQQIFADLEKYCPSHCVLATNTSTIDLNLIGEKTKSHDRIIGAHFFSPAHVMPLLEIVRTQHTSPQVVVDLLDVGKKIKKTPIVVGNCTGFAVNRMFFPYTQSALLFVDYGMDVYKIDRACTKFGMPMGPFRLADLVGFGVAVATGMQYLENFPDRVYKSMLIPLMMEDKRAGEATRKGFYKYEDKRKATPDPEIMKYIEQSRSMAGVTPNPELMKLSEKDIVEMVFFPVINEACRVLDEGIAVKASDLDIASIFGMGFPPYRGGVMLWADSIGAKYIHAKLEEWTKRYGGFFKPCSYLAERAAKGIPLSAPAKQVQARL >Et_1B_012292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30517726:30523021:-1 gene:Et_1B_012292 transcript:Et_1B_012292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAILPELATQVFIPVAAAVGIVFAVLQWVLVSKVRLSPERRADGGAGKSGPSDYLIEEEEGLNDHNVVVKCAEIQSAISEGATSFLFTEYKYVGLFMGIFAILIFLFLGSVEGFSTKSQPCHYSKGKTCKPALANAIFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFIIAFRSGAVMGFLLAASGLLVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINLEFTPMMYPLLVSSVGIIGCLITTLFATDFFEIKTVNEIEPALKKQLIISTAVMTVGIALVSWLGLPYTFTIFNFGVQKSVQSWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLVVGILFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGILFKWF >Et_10A_000778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17115128:17117784:1 gene:Et_10A_000778 transcript:Et_10A_000778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGVLLYLWNKWRIQLLVLSSFALQLFLLAFAGIRRRSSSKTLRISLWSAYLLADSTAIYALGHLSIIGEHQLGAFWAPFLLLHLGGPDNITAYSLEDNRLWLRHLQTLVVQALAAAYVLYKYKYMVSSGTLLPLASISMFVVGLLKYAERTWALKTASGYATGIWNLFETVVGGRYMNLMEDPISDEDTLRHAHIRHVNRSISFPSPEELYLTVPVRPTEVTWLYKLVAMELSLIYDILYTKAAVIYTGYGLCVHLISLLGTTTSFVLFQLSISSPNRHNRSDVIISYVLLVGALVLEIMSVCRILLSSWCTYFLLDRWRWVCRWDWLPISYLFSLPRRLINPASRRLWRHSIGQYNLIHLSTCDRTKLWSRLAKMMGFENRWNKLHFSGTFLGTNSLSMQHLEVLVAEAVLKHRGNDHGTNYSTRGASSLERREVYKGLASWSVNIDFDLSILVWHIATDMCIQESDIEHAEQLIEAIRVLSNYMIFLLLVKPNILPGPTHFEAYHEVSSHLDYEWRKMSREGKDSVKSCTTFWNPYHILKELFCHEGPSSSGVELEDKFMHHLLHEEAELPEMPNPRGRHHGILCIMMATGLARELLLMELSGRDKLEMIFEVWVEMMLYAANYCSQESHTRELSNGGEFITIVGFLAKHLQRYTLKHDDPESPSVDSHMQE >Et_2A_015202.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4893445:4893663:1 gene:Et_2A_015202 transcript:Et_2A_015202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRATRRFVPRRGQVLRSVLASLLSWLPRCSMCLFRRRRRSPRRRVNGRVAARGRSHVVEPEPDGAARPN >Et_1B_011324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20482136:20482763:1 gene:Et_1B_011324 transcript:Et_1B_011324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTIDVPPGPVLALSGIVTFFLYLTWQIEDYEEHLRRRTEAGFFVLCVLGLLALAVLAHHALVDGEGRIAVPASWWRGGQADGSGSSGGASPWVVAAVVALLLVLESHKPSFHLFRAPFQHKVPSVQQFTSQTR >Et_3A_026668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12860439:12863717:-1 gene:Et_3A_026668 transcript:Et_3A_026668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHWKMIGDMALLNCVASTSSQTSTIADSERLFSRRRCEPPLRSKISDSKDKEELKAQKEYKEEVVPFDIPLVPVGTNGDKATFGRGSLRKQLESILLSN >Et_2A_017868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7325704:7326763:1 gene:Et_2A_017868 transcript:Et_2A_017868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GETEELFRLATPSFLNGIWPVPETTSAATTVPAASRYREKLNSLHLQQFPKKEAVVSYSTSDQWKSSEIGELARARQTVNLISKPTEGCTGSAGEGTTAVTAEAGGPTGRRRETISSTVAGSPERSASTVPSRRLRTQPARPSDSAVDAVQSRNPTPCTRPFTTTCTAFRAGSLCGCVSVGWEASEEAGVAMAPARRRERRGAVAGE >Et_7A_052160.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:5157499:5158435:1 gene:Et_7A_052160 transcript:Et_7A_052160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPALSSVLTRPQGQGGQARPGRRAGIRPVRRRHLHDLLRARLPRL >Et_9A_061888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17560252:17561660:1 gene:Et_9A_061888 transcript:Et_9A_061888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQWDKTTIIVTSVVGSLGVLSAIFGFSAEGTKLTPYNILVLDDECIYPSSPALALAVCAIVFLLLAQVTISAVGGCCGCCKSRAIPSETKRIVGIVCAVVSWIAAVVACVLLGVGASWNENVVRVGPAPFCPYLKDGILAGGGVLTLVATALGITSFLLMRTQPASTGAAAPGGVAMGHPQFPPPAASAAGPKPPTHEQQLPPLYPPRPQVYPAAEASPPPAASHAQGYVHAAPAPLNQQFSPHHHHAAQGYGPHAPNQQLYPPPPQQQQYPRPQRQYPPPPPQQQFSPQPSYSPQYAAQPQADDMQVPAPPAPDPEQQGGDGGQSSGMDLFKAGAKLFMRVAEHTLSSDNNNNNDDEAATSDSTTQDASDQ >Et_6B_049973.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:2296402:2297649:1 gene:Et_6B_049973 transcript:Et_6B_049973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATEYQGTPTHSHSSPFSSFGRSLLSLRRDAPASSPASMPSAEDAELDAFQRSLAARLADLRDGGGELEFLSAAWIRRLLEAFVACQEEFRAAAAAHARRRGEAHAEKLVADFGERAVKALDVCNAARDGVDQARRWERLAGIAASALLAPGEVHEGQLRRARKALSDLSVLLVDDAAAAAGPGGGGVAAFLASHRNRSFGRARASPSRAASLASTSSSSSSSHFRSLSWSVSRTWSAARQLQAISAGLAAPRAHEAGLPAPAYAMGCLLHLAAWALVAAVPCPDRAAALQAHHLPSAAPPRAAFPWAPPLLALQERLAEEAKRKDRRNSCGLLREIHALEKCAQRLAEAIDAAPVPLAGDREAEVREAAAELAAACAAMRDGLEPLERQVREVFHRIVRSRMEGLDSPMINGD >Et_1B_014120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31282544:31292779:-1 gene:Et_1B_014120 transcript:Et_1B_014120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGENQSSDNLLPLSDKCNEPEDLPVKERCFEQREALPGEPRCVVCGRYGEYICDQTDDDICSVECKTILLARLDAQTKPAVKAAKRVNIPFGDENFCITDNNFPDIPTLATSRINALRSKLDIAVKGVAVPDPIMCFSSCGLPEKLVHNLETAGYCMPTPVQMQVIPASICNRSLLVSADTGSGKTASFLIPIIALCSQKRSQQSTGKRGPLAIVLAPTRELCLQVEDQAKILGKGLPFKTALVVGGDPLAQQIYRIDNGVELIVGTPGRLIDLLMKHNIDLSDVSVFVLDEVDCLLERGFRDQALQIFRALSDPQVMMFSATLHSEVEKMSNSLAKNVVQVSCGNPSRPNKSVKQVVIWVGSKQKKQKLFEIMKNKQHFKPPAVVFVSSRVGADLLSEAITVTTGLEVVSIHGEKTMNERRESLRKFLKEEASVIVSTGVLGRGMDLVKVRQVILFDMPNSIDEYVHQVGRASRMGDEGMAFVFVNDEDRRLFKDLVQFLKTAGAPIPRELANSKYSSSVSIGEAGLLLLSNSSIICSAILSSSSTVVAGDAVAADVECAAASVDAASLLSSTVMTQLESARAPARFCQTPMWDFSGSRRRQVRDGDGALQHLRSFALRILDRLAAEELLPPLPRTSRPAAGAGSPVVLLCTGKLVLALRPLISTAASSYALAASSAVSKVPSHTLLLLHALQKVVKGLQEWVPDLRDPGSPVALPDSTKLLWLHHLSGMEINAVSSSRDTGANHAVERHWAHGNVLNDTSDGKDKSGDMAIKLALSTL >Et_7B_055722.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:2759948:2760547:1 gene:Et_7B_055722 transcript:Et_7B_055722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIRQATIDDLLAMQACNLMCLPENYQMKYYLYHMLSWPQLLFVAEDYGGRIVGYVLAKMEEDPSEPCHGHITSLAVLRSHRKLGLATKLMSAAQAAMDQVFGAEYVSLHVRRSNRAAFNLYTSTLGYQIHDIEAKYYADGEDAFDMRKPLRQPQPKKHHHHHHHHHGPGGCCSHDAPPAAAAGSSPSSSSPDKKANT >Et_5A_041233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:265748:273267:1 gene:Et_5A_041233 transcript:Et_5A_041233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGGDRKPGDGAGDKKDKKFEPPAAPSRVGRKQRKQKGAEAAARLPNVAPLSKCRLRLLKLERVKDYLLMEEEFVAAQERLRPQEDKAEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMYKKKEGVPDGLYMSAEIRREPCLERTGPPKFTTLFPII >Et_6A_047655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9117778:9121864:-1 gene:Et_6A_047655 transcript:Et_6A_047655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KEYSTYDFPRKSCAINLPQKIRNTLVKVGLWGSDHGGSPQDVNPLPQRLTSWTVRSSDIINAIAYTYVDINGKPSTACLWGGGGGGMVEPLKRQWCMVMWQIVLGPDEYVKEVLGTCGPFGRELISASQWKMAKLSVSKRDLGYFLMQLAYIFVREE >Et_8B_060098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:663655:665895:1 gene:Et_8B_060098 transcript:Et_8B_060098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSIAALSSQQLASPSRLRRRGALSSAACPRLVFRHPPKGGSRCRLAVTCNAQAVAPTSIAQGTPVRSTSILVVGATGTLGRQVVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGIHTVIDCATGRPEEPIRTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKYCTEKFIQDSGLDYTIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDVARLTFIALRNEKASKKLLTFAGPRAWTTQEVITLCERLAGQDANITTVPVAVLRFTRQLTRFFQWTNDVADRLAFSEVLSSDTVFSAPMNETYQLLGVNANDILSLEKYLQEYFTNILKKLKDLKASSKQTDIFF >Et_3A_024359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:253703:257278:-1 gene:Et_3A_024359 transcript:Et_3A_024359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRATPFKRPFQKNSSDPSGRGKWKKTKHASSHHAQLKIRSGAPVFRILCPASKSGNVIGKGGNIIAKIRQESGAKITVEEAVHGCDERVIVITAIEKDKETGHEQDRENNGNVAISARDDHEKDRDNIKEENDASEKDQGKEEKDDLVKVYDKEENDDSGRDLGKVEKDDPENDNSKEEVDDSEKHISKEEVDDSGKHIKEDHSKEEKDNPLLAKDTMLEPETEIPSVVKAILLVFDRIFAAEDENETGNALDARHPVSVRLLVLYSQAGWLLGKNGCVIKQMSVDNGCEIRVSKDKLPSCALSQDKLCQITGEVHSVRKGLNAVAEVLFAHPPKEIDAGAGGHISGPSSHSSFNRSDGSSGMQSNFHIPFQGPSPVNRPFDITDHRPNIVPFPTLPEAPIHGHAAVPVEPLTFRLLCSQDKVGSIIGRGGNIVNSIQKDTGCEIKVLETVPKSEDRIVRISGPAHPSDGISPAQNAILHVQRKIVLPTADKEGPTVCRLIVSPNQVGCLLGKGGSIIAEMRKLSGAHLVLLSKDKIPKGVPQNDEVIQISGDCEAIQEALMQITARLRNHLFRDRMPAAGPNVRPPFGLLDSQFGPYMGSQESPSMFHKDFMGRPLDGVSAPWTMKGMHDVGDPMPIPGVPGASRGGFAGPGQSSMMPNLTAEVLVPRLVIPALCGEDGGCLDRIREFSEAKITVAEPMADAMDSPVLISGTPDQMHAARSLIQAFVISESFPA >Et_7B_053317.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6144980:6145468:-1 gene:Et_7B_053317 transcript:Et_7B_053317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAARYWSTCATLRDSVVSMPRPSHFLHRHTHSGKMKRLSVASVARSNAEAKAFSGRPRASVSGSFPNASPQMLSKVSRSSRSWRSTLAPSSAAAAAASPRMGSRRPWMDRDTQRDMAVRSVRVVNSSAAVLRCATHVSPSELKMPSPRRSRNTACQKGPLG >Et_3A_025413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29725947:29732146:1 gene:Et_3A_025413 transcript:Et_3A_025413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGIGGGGVPVDMETVDSTRAFVRDVKRIIIKVGTAVVTGQNGRLAMGRLGSLCEQIKQLNFQGYEVILVTSGAVGVGRQRLQYRKLIHSSFADLQNPQMHFDGKACAAVGQSGLMAIYDTLFSQLDVTSSQLLVTDRDFKDPTFGDQLRETVFSLLDLKVVPLFNENDAISTRRQTYEDPSGLFWDNDSLAALLAAELNADLLIILSDVEGLYSGPPSDPQSKIIHTYINEKHGKLINFGAKSSVGRGGMQAKVSAAANAASKGVPVVIASGFATDNIIKVLKGEKIGTLFHNAANSWECSKDATAREMAVAARDCSRRLQKLSSEDRKKILLDIADALEANENLIRSENEADVEAAQAAGYEKSLVARMSLKPGKITNLARSIRAIADMEDPIAHTLKRTEVAKDLVFEKTYCPLGVLLIIFESRPDALVQIASLAIRSGNGLLLKGGKEAMRSNAILHKIITGAIPDGVGKKLIGLVTSKDEIADLLTLDDVIDLVIPRGSKNLVSQIKASTKIPVLGHADGICHVYIDKSADMEMAKRIVMDAKVDYPAACNAMETLLVHKDLNKSEGLDDLLVELEKEGVVIYGGPVAHDKLKVPKVDSFRHEYSSMACTLEFVDDVQSAIDHINRYGSAHTDCIITTDEKAAETFLQQVDSAAVFHNASTRFCDGTRFGLGAEVGISTGRIHARGPVGVDGLLTTRCILRGSGQVVNGDKGVVYTHKDLPLQ >Et_5B_044913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7190112:7192010:-1 gene:Et_5B_044913 transcript:Et_5B_044913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNGDLSIRSDSIERLELRGGGTGKISVDAPWLARLELSRAFSTGGGGVYSAHIIAARELEEVIWDDAYCQGDQIVTGQRRLRRLKMELNCNSLFPRIVLLLGSQP >Et_7A_053195.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:9888611:9889936:-1 gene:Et_7A_053195 transcript:Et_7A_053195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPKRTGRCLTLLCFLVSCVALAGASRPSRVDLDVNLGGGGISIGIGGGGSPPSSSEPQPRPCDFENERLYRAYLVIQKFKQTVTCDPMGITATWTGTDLCSKTSYKGFFCEPPPGIKDRTIASVDFNGYRLQSATLQGFVDALPDLALFHANSNDFGGAVPGLRGLQYFYELDLSNNKLAPAAFPTDVLGLTNATFVDIRFNSFHGELPGGLFCSFPQVEAIFVNNNQFSGCLPANLGDSPVNYLSLANNRFTGEIPKSIARAAGTLLEVLFLNNQLGGCLPYELGLLARATVIDAGTNRITGPIPASFACLGKVEQLNLANNLLYGEVPDALCRLAYPWSGSLKNLTLSGNYFTSLGSCCWELIKQGKLHVDGNCIPGAPNQRSHDECARFAHQPKSCPLNTYVPCKPHKYQESSGEPVDATASAEENKYRTYPALHP >Et_9A_062816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5283329:5323727:-1 gene:Et_9A_062816 transcript:Et_9A_062816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HRGLIGDRGNGVLGGQMATTTTCQTRQEGTQHLPLQHGGCVPPAVYRGMFLRQDCSVSLPTAPEPSSTSGRSATEARALKIHSEAERRRRERINDHLATLRRMIPDTKQMDKATLLANVVNQLNDLKRKASECTQPMPIPSEANSITVDCYTGAMVVDERTVYTQASISCDDRPGLFADLAAAFRGLRLRALRADIASLGGRTRCSFVLCKEDGDVSLLMSEGVKALEEAVRQALAMAAFSEAVHGCSSSRSKRQRVLESPFVIGSELMNSMYVDGIRQGHRSTRLGNINEGHRNVVVPNPREPAVRSASPREGLQQVASLAGNLVSSNSTEFVGPVKFPTAAEIDACSTAFPEIPIHVIQEVGVNNCGLSPEEITRELLVDAKHTNSETGSSGASTESNAWTSRKAREVVSLGRELLGGNCMSICRWKTVSDGGMRSRVEGMNAQ >Et_7B_056024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9594952:9596765:1 gene:Et_7B_056024 transcript:Et_7B_056024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVASTSFTYHTPRFAVVCRKGKQDGRDREREREKEHKHPFKVVEITPPPRCLGVRCFPTNIHCGESVTIEGQAYTVSAVTHRYQLRKGRYEPSEKRLDVLSTGRYILNLYLQNLMDQS >Et_4A_033309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21317689:21320917:1 gene:Et_4A_033309 transcript:Et_4A_033309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDRDQDPDAPSPAAVGRCPCCSSSPSGAAAAAWRRSVKRKLDAEKGLAGDGEGEGEDGAGSTARVEAEEECAALREAVAAAQGAASLLRAEVEEERLAAASAASETMAMMLRLQREKAEVQMELRQFRRFADEKMALDAAEIEHLRGLLARRARRLIRLRSSLREYRRQFIHLGIPLPEGEDLLDKNGDEEEEDFLLLDGEGEDGDGGCYPELRCYDGEYYYVDEKEEEDAVAVDLERRICRLENDHETNLLEPALEEEEEDTHLFTEEVLPESPGPEGGVLYANQMLPDVAVGDRNRLYNDDEEEVPESPDADCGSAEEGASECDGAGSASGSSSDRVYTIDKVHQGVSAPIARVPEKYRDDVVEPDIKKLYMRLEALEADRESMRQALVAMRIEKAQLVLLREIAQQLTKDAAPAGAGIGVVPGVRHSSGKRVAGIVERRFTEDKVELVKAYSMVALFKWILTLFRKKKKPRQSRYTFGLSSNNVGLLLLLDKC >Et_7B_054100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15336959:15338871:-1 gene:Et_7B_054100 transcript:Et_7B_054100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRPASMRAVQYSCYGGGSAALKHVQVPVPLPKKDEVLIKVQAVSINPADWHIQNGPLRPFLPRFPFIPVSDVAGEIVEIGSAVREFKVGDYVLSKIFFLKAGGLAEYVTVSEGETVVCPAGISAADAAGLPLAGLTALQAVKAIGTKFDGTGTGANILITAASGGIGTYAVQLAKLGNHHVTATCGTRNLDLIRSLGADEVLDYTTPEGAAFKNQTGRKYDYIINITNDGRWSVFKPTLSSRGRVVDVAPCGENYVASVMTLFARKKISWVLMNLGKEELKFLVELMKQGKLKTVIDSRHPLEKAADAWEKSMSGHATGKIIVEM >Et_6B_049299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2923137:2930257:-1 gene:Et_6B_049299 transcript:Et_6B_049299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLIAQITTDLRSSDALRQSSALLQALQQCAAGRDVSALARTAATEILSAPSSAVCKRLALDLLRALPLPPDILDPLLLSSLRSDLSFPDPDVAASSIASFPSLPSHLLPSLLSSAHADITAALSSPAESLRLAAVTSLSSLLPRDDLALLCSTNPSLMAHATTWWGRLAELTLDSADAVAAGAFEALARLFQELDSRRMSRLAGDKLVDGEGALAVRAQWAADAIDFIWSRRNMLIARSMVMPVESFRVTVYPLVHAAKMVASGVVNTLRKIAKPGGTSIADSVESSAEKLVGVSDIVSHLLPFVSSLDPPLVFEVGINMLSLADVPGGKPEWASAAIIAILTLWDRQEFSSMRETIVRAVVTNLHLLDLGMQVSLFKRLLQMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPVPGTDVISLFEDARVKDDLNSITSKSLFREELVASLVESCFQLSLPLPELKNSGTESRVIGALAYGTGYGALNWTEPALDVVEVCRPCVLWDCDGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKAGASQDQILNETRLRNLQLQLIRDLREVHTPRISSRLIWSISEHFDLEGLDPLLADDPEDPLNIIISNMHKILFNTDSSASTSNRTQDVQAVLICAQRLGARNARAGQLLSKELEEYRASTSADSVTKHQSRYVLQIIKYVTNHPDNRWVGVGDATGDYPFSHHKLTILNLTELELNRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFYGSGGSADYEGDYAEEDSQVMRQKRSLRPELGEPVVLRCQPYKIPLAELLLPYECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSISTKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDETTTMICKFVVRASDQSITKEIESDLQGWLDDITDGAVEYMPEDEVKSAAAERLKISMERIALLKAAKPKVPPAKTEQEEEEERKQNEDLDGFGNPKGPSTLSKLTAEEAEHRALQAAVLQEWHQLCKEKAMKAQ >Et_2B_021355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2899939:2903908:1 gene:Et_2B_021355 transcript:Et_2B_021355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEINPSCPNKMPLDPLTHFHFQSCMLSMNLVNFRMVKAQMKDCLEFGGAIFLCSHLTRKECFEKKIFGLSPSYAGFVEKVKAGTTLFLFDVDQRKLHGVFEATSDGAPDIIPDAYISTGQRCLNIYYIKLEGIRFKRIWFCKPLMEGEFQEAIQNSFMTKNKFTFRLSHQQVCSTVFLVAKLLNLFSSRKRLQPPQNPQSQDDPFMDFEISSHLKETDMQSSPNSSSCGSFRSPCQTCSSTTLGDDAASLGHRLVDPVSLVQRVLQSDGSDMAKSNSSISSLHTGADTSIVTIPSKQEAMCDQSTDDFIPLPQEEDVLDGVDDLFGLLDDENHSGSSDSEDNTFRQACVRKEDGSHPLMVNSKLRSDIERRKSVFSRIVRANEVFNQRKRSKTKAFPQRSAECFNPLYQTKKQRRAQRKKTFPCQNDGMLDKPSTDRMSGVQPLDNSFFWSDNRRSTKFFVRNKWNVSSKEPVRADNCRKLFFPKGGVKWDKSFDKEVNTPPLISGVQESSDVSVKVERTPSLNFKRRAKVLNVEEGNQEFDIEDVVEEGQRKRPATAPFHQEYPGDTALGPKGAKTMDMLAISDENYKEKSISLSSKGTHTQARPYLETRVLLQQQKSIEECSGYGEDVTRDTPLILECSRTMDSLTKLSFGDRKTISNDETGSHVVADHLVTETYIQEKQNPNVSSCDRVVNGDKILSLGNFGSMDLLPNHDEDCGNKRSFQSDGSNRLVTCLLETEMALLQKQTPYIQSCSEGAHDDKVLIPETSEVVPPKVDADCVYKGTSLASDYREEVCHIVSSCHDVVPSDAAPVLESCGPLSNLPTLHGDSAKNNSSLDETSGDVCTGCEDTVMLPRDEHYHSCCGDTSSVLEYTTMDTYTGDGGSENKNSDQKDDEVLYSVTDSKDHVSTTNTSSSDGSRSFAHANDQECSEVLLLNGEQYHNFRSRPELAHENSNSVDSFAVSAEGCGSKSETSADRLSVQRITDLLGTNSESRTSFTNDSSRGSAETPSTPALGSENAEAYAEQPILQHDPGETTTPL >Et_8A_057016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20147679:20148792:1 gene:Et_8A_057016 transcript:Et_8A_057016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLDGLGTDDMQEGVSSDCNSGFKDKKHKMWDGYKTVTIRRDSLDNNIVGLSNKRCKRKLVSKGDSGLRTVSIATKDSVQMVLQAI >Et_9A_061104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16079414:16079746:-1 gene:Et_9A_061104 transcript:Et_9A_061104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSPWNKILQTLLRFVMIRSDHDERWRKDEEERHKKEEDYAQFRPQECCPPFIGHLFSVYLLSVCLWRHVYESSYRFETECLQLVVISLFYREFVM >Et_1A_005519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11047495:11052685:1 gene:Et_1A_005519 transcript:Et_1A_005519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSSQASAKGVVEAGPSHFPKRPAPRNSARVNLPRTYAIISYPIGNRTGLSLGQCYHKKSSACKCDLGWSSLQSRDVKHHFRRYSSASEAVLASQSDLRKLLVGNEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAKFISVARAPKSKEGYASIGGGSPLRQITDAQAEALRKALCEKDIPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVIPSWYQREGYIKAMATLIENELTKFPEPGKVMIFFSAHGVPLAYVEEAGDPYKAEMEECIDLIMEELEKRGIANPCTLAYQSRVGPVEWLKPYTDETIIELGQRGVKSLLAVPISFVSEHIETLEEIDVEYKELALESGIKHWGRVPALGCEPTFISDLADAVIESLPYVGAMAVSNIEARQPLVPLGSVEELLAAYDSKRDELPPPVIVWEWGWTKSAETWNGRAAMLAVLVLLVLEVTTGKGFLHQWGILQ >Et_3B_031362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26869128:26870581:1 gene:Et_3B_031362 transcript:Et_3B_031362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEEVKARFGRCPYCRAMIYQDPNAVIFYCSKCRTPIRGKNPKPTDEAEYALSQLEILSADTASVFSDDLETPNQRTALVVDDEQWSTPYRNFKQSSSQGAEVSSSLPYRPLGSVRTGRGSSRDEATKEESSGSPLRSRVAELRPSSRRTRWSMSGDVDVQSNGGSGTDSESDAPAPAASYRRRASPLSSQELDPAAAMDMSGLDPAFITRSALSDPAFQQDLLRALDNLRKLIAAVDQPRDPDAQWHAAGAARMRASYSESSGGTRTMTRRSSRLMRRLESQLVQALPAERLPRDASASSLSSSSASGSRRGGIRAAARAHHCRPLLGGTPFVVCDKCSEVLQLPADLPAGGRVARLQCGACGEALALTLPASASASGSTTGRPKQIFSAPQPAVRAAEDAEDYHAFSRRSSLSSEQARPAGPLHRVLGYSSVSSVLRSRRYGDEHD >Et_2A_015958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19768672:19770592:1 gene:Et_2A_015958 transcript:Et_2A_015958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRLMTDRGDGPIGRLPEHLLIEIFIRLPVSEWVQIGCVNKHWASIFQGECLWQTAITKNWPSAGLRKRWPGPIPRGSAKRRFQALYVSENFVQSGGEVDELVGHTYLYLKEQLERPAMPPSSILHDQFIACGKTGDKAHELASNIWLAVIDSLEENQQTFFLLKHLAQEGEFFLPFPYSRSYNVLWRVFDKLFTDFRDCFNRADYHDALSAAKTRFQPVPSTWLGH >Et_6B_050006.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:3003497:3003832:-1 gene:Et_6B_050006 transcript:Et_6B_050006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASAGRRAPAWRCLALFLLPVVLPLVLLWLPLLCVAVSVVRFRRRRRRMMERRSRSCFGGGEWEAEEGGAEHRAALLHKYLEDQMRLVGVDAAVDWRPSSCSQQGQAQR >Et_8B_060241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7831141:7839293:-1 gene:Et_8B_060241 transcript:Et_8B_060241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYEFGVDTREKSEEEEEQRSKASDREFKIQRQGSGSDLPQGGEFVPFPVSRRVNSYSIMLEADMENGRSYQERPRTFSTVRSRSSLPLVFRMLMRINPRALLILFLLVISGVLYVGASTSPIVVFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMACILAFVILGIYLFRTTTPQQEASGLGRVTSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVCMAVFGVAILYSTFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIINPSGFILFPLVIHSFDLVVSSVGILSIRGTRESGIISSIEDPMAIMQKGYSITIMLAVLAFGVSTRWLLYTEQAPSAWLNFALCGLVGIITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGLESTALPVLVISVAVISAYWLGQTSGLVDDSGNPTGGLFGTAVATMGMLSTAGYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVSAFAQLPFKEVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVNEVRRQFIERPGIMEYKEKPDYGRCVAIVASASLREMIRPGALAIVSPMAVGVIFRILGHSTGQPLLGAKVVASLLMFATVAGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPIFLSLFFRNLKATMLIILSV >Et_1B_013147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5907852:5913383:-1 gene:Et_1B_013147 transcript:Et_1B_013147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKVNRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGITKTLERYQHCCYNAQDANNPLSESQSWYQEMSKLRAKFEALQRTQRHLLGEDLGPLSVKELQQLEKQLECALSQARQRKTQLMMEQVEELRRKLEAEGCSNYRTLQHAAWPAPGGAVVEHDGATFHVQQQQQQQPAHSAAMDCEPTLQIGFPHHQFVPSEAANNIPRSSASGGENSFMLGWVL >Et_9A_063400.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22990294:22991232:1 gene:Et_9A_063400 transcript:Et_9A_063400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEQHFCPDCRRATEVVLDHATGDTICTECALVLEAHYIDEGSEWRNFADDGGGEDRDPSRVGGHNDPFLPENHLTTRIVVPGPLKHKGGEGGGGAAAVLPRMRIVGDPDPDHSLLGSFHAIADMADRLGLVATIRDRAKEVYKKVDEAKQCPRGKRRDVFYAACLYVACRNEGKPRTYKELATATTAGAAAKKDIGKMTTIIKKVLGEEDGQVLDFGVVRAADYLRRFCSHLGMGNQEMRAAQEATRRLEDGLDVRRNPESIAAAISYMVVQRAGANKTVKDVSMATGVAEGTIKEAHKDLIPHAELLFG >Et_4A_032471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10390315:10392144:-1 gene:Et_4A_032471 transcript:Et_4A_032471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPPQSRGRIRPWLAVGDLVLSALWVCAGALVKLAVYGPLGLGGRPEGDAAKIALSVLYMFVFAWLESATGGASYNPLTVLAGALASRGGPAVYLFTAFVRIPAQVIGAVLGVNLIQLAFPKVGKGARLSVGAHHGALAEGLATFMVVMVSVTLKKKEMKSFFMKTWITSIWKNTIHVLSSDISGGIMNPASAFAWAYARGDHSTFDHLLVYWLAPLQATLLGVWVVTLLTKPKKVKDQETDENKTKKE >Et_6A_046542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16792335:16794035:1 gene:Et_6A_046542 transcript:Et_6A_046542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSATSLSATAGCKASTLSWKGSRVSGRSLISMGATRTGLISLRSSRFRVCAAKEETVSTVMSIVKKQLALADDAVLTPESKFTEFGADSLDTVEIVMALEEEFKINICSARSTFYQPDRSRQANS >Et_4A_032154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21374455:21376812:-1 gene:Et_4A_032154 transcript:Et_4A_032154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTSVVMFMLAALFFNLNLFSRFSDVSAILNPTVRLFLSSSLSLFLPVMSYLFSEAKNDRQLGGGGATTELSLRARTILMWMLLVELLRKKVEAILVNVGVQSYSSTIDRASRIAWLGYLVFYNLSSTGKKAFYGTLWVLAAAKLLQRVAINELLKRSLAYGKNPEQLSWYMAKIEKQHHELQAAGDEGAELMERCKYAVMGEEDLEMKVSPKEGYHLEMKNNNVVVVTVGDIWKVDMKDLLRHDPSLKRLCLSLALYKLLRRRLEDHPITDDETRSCRCLIFKERKEELEATVVAVDLFQVFYEEVQFLCEYYHSVLPVVLSNPFFFVANYVLFPIVVWAFCLLTFILCGNGDVVFAYHSIATDNYILSRGTMRIFWTMNIPKKKAVPMEVKRSIMYYLMAYVDGHAAPLSNGWSTIKHGQYSSQQQLLLEACESKSVAEVILTWHIATSLMEVKYPPPLDKKKRAGAHHRKVATTLSGYAAYLVTSYPELLPDSTEGTKRVYDDMKDELKEVLGGCWRLHVSTQRTRFNKIMDNNIDERPEEETKAVRKGAKLGKKLMDMAEGGRRVDQVWELLAGLWTELMVFLAPSSGELHVKAHKEALALGGEFITVLWALCTHTGITRPAVAPWEAEAAVP >Et_3A_026477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8501213:8513897:-1 gene:Et_3A_026477 transcript:Et_3A_026477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LNGGRTYLFSIWHNWRRTVPPSLFLQTAPRYIFSLLIPFEVPLRTWYYWCAGAVPGLHMDSDARGDLDLNLSLQPPKPDPPGYFTCTYCDKKFCSPQAFGGHQNAHKFERSVTKRNRELAAARRHDSGRGGERGSAADEEQVSIAAWGRAATLSPKPEAGQGNIAEDMDLDSAAIMEEELKLELTLQHRPLEPPGFFVCIYCDRKFCSSQALGGHQNAHKYERALAKRRREMEAAIRAHGPAAGIGVVAHHHRARLEAKQQAEAPLPARLSNKKMTSEYCMERAEERLDLSLSLIDDQ >Et_4B_037681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22437756:22439785:-1 gene:Et_4B_037681 transcript:Et_4B_037681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVRRFCCDDLLSFASVNLDHLTETFNMSFYMTYLARWPDYFHAAVSPADRVMGYIMGKVEGQGESWHGHVTAVSVASEFRRQKLAKKLMHLLEEISDKMDKAYFVDLFVRASNMPAIRMYEKLGYVVYRRVLRYYSGEEDGLDMRKALSQDVEKKSIIPLKRPITPDELEYD >Et_3B_029940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29267423:29275519:1 gene:Et_3B_029940 transcript:Et_3B_029940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPVAGPSSSLPATAAVAAAPRVPAAAAPAPAAAPPALGTQKPPATATAASAAVPDAAASCRRQVFSVELRPGETTIVSWKKLLREAGHVAPVPPPVAEPAFPAHAGPPGAAHPAENDPKDPSQPNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYDTEDSFIDDAELDEYFEVENMTTKHDGYFVNKGKLEQIEPGAAANVAPKKRRRKDASTDNNQIAPGDYFNTGDVPGKSSGRGVAQAGKPSSSNVGSYGQYYEDNRVVKNKVGAPGGAPKRKSSDFVMGADAAARAKISSKDISHAPLELRDLEKHKAAALQPIDYAYKSKTSETYDYAYSAYRDKGTSIQLDFQQRKASGENQDQSNRIHRKEKQGISEYPAMAMAGAAYSTQAAHPIVGREGSGTKPKGTRLERAIRDLQKIVAEYRPPTIDINEVDPNGQAAVKRRLPQEVKQKLAKVARLSANQGKVQEHELMDRLMGIVGHLVQRRTLKRNMKEMVELGLSAKQEKADRFQRVKMEINEMVKARVAAKSKVSDQQDGSADDFQVGNDERRALKGKSVMDAALEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPQGYMDNVGIKDAIYRSKERKRLLYSQQKVRNEERMKRKRLAAAAKLQDGYPVAMQGAVIPQVAQPSMTNPMTYPAPDYGQNQGLKSFERVREISSSAVHDDSNRNAGEMKKKRRKPESDFVDTQANPLKAPLQHVSEKQKPSKPSDEAMVLTETVLGLPTTLGTDQSSLRHPN >Et_7B_054416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21134450:21136919:1 gene:Et_7B_054416 transcript:Et_7B_054416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AEKTSRKMGATLKQQQLLLLALFFFSAVAPQVVRAVKPNPNPNLMVGERENSLRIIQKDIIETVNKHPNAGWTAAQNPYFANYTIAQFKHILGVKPTPRSLLNDVPAKTYSKSLKLPKEFDARSEWSHCSTIGTILGSLWLLLGIWRSGVSPGSLLHSFQHVNDLLACCGFMCGDGCDGGYPIMAWRYFVQNGVVTDECDPYFDQSGCKHPGCEPAYPTPKCEKKCKAQNQVWQEKKHFSVNAYSVNSDPRDIMAEVYQNGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTSDAGEDYWLLANQWNRGWGDDGYFKIIRGRNECGIEEDVVAGLPSTKNMVRSYGSAFRETAVV >Et_5A_040618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10333515:10344627:-1 gene:Et_5A_040618 transcript:Et_5A_040618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMVFLPCWGTGHFMSMIEAGKRMLESGGGALSLTVLVMRPPTADKASEVEEHVRREAASGLDIRFIRRAAHRLRQHGGVLLQLHAPRVVDAVAGLASPVAAVVVDLFCTQLLDVAAGAGAELAAVPRYVYFASTGAFLALMLRLPALREERGAVTLRETEEAVHVPGLPPVPPSHMPVCLASQNYRWFEYYARRFLDARGIIINSSVELERGVLAAVADGRCCVPAGRPAPPAVHAIGPVIWFGERDDDERQRHECVRWLDAQPSASVVFLCFGSIGFLDKAQVAEVAAGLERSGCRFLWVLRGPPATGSRYPTDADLDATLPAGFQSRTESRGLVWPSWAPQKEILAHPGVGGFVTHCGWNSTLESLWFGVPMVPWPLYGEQHLNAFELVADMGVAVPLKRMDGSKVDDDYSFVEAGELEYAVRALMGQTDEGRKAREKAAAVKDACRKAVAEGGSSCAALWELVREILPGGTARSAQSGRLKMAVPTIVLIPFCVPGHLTSILEAGKRLLASSPRAMSLTVLVTPMTMAANLTSQVADLIRWEAESGFDVRFHHLPAVELPADWKGAEDFISRFIQLHAPHVKAAISGSLVASPVAAVVMDYFCTTLFDVTRELALPAYVYFTSAASMLALMLRLPALDAEVAGDLGEMDGAVDVPGMPPVPAALVPAPLVKKDPNYAWFVYHGDRFMEADGIIVNTVAELEPGVLASIAENRCVPGRRAPTLYPIGPVLSFNKLPKEHPHECVRWLDTQPSTSVVLLCFGSMGGNFPAPQVREIADGLERSGHRFLWVLRGPPPAGSPYPNDANVDELLPEGFVERTKDRGLVWPKWAPQKDILAHAAVGGFVTHCGWNSVLESLWHGVPLAPWPLYAEQHLNAFELVSVMGVAVAMDVDRKQDNFVEAAELERAVRCLMVGSEEGRKVREKAMEAKASCRRAVEEGGSSSVSLLKLAREVSQPMPGAEAALGNFDRTSPPRV >Et_10A_000814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17692650:17707410:-1 gene:Et_10A_000814 transcript:Et_10A_000814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASLGRVQLEWFGERSGVVLIKTSLWVSDTACNGSVRSTMRHSYFWMDLGCKEIIGWSNGHWNIGSKSRVSCNVGCPYEIDLCSWVPTLCRRVQLEWFGERSGVVLLRTSLWVSDTMCNGFARSTVRHSYFWVDLGCKEIIGWSNGHWNIGSKSRVSCNVAMTDATTHRSRKRPRAHHLPTELLLEIVGRTDPATVVRSAATCKELRRHVADPAFHRCLRLRHSKCFVPSFLRGYLVEDWDEDLYLVDSTTADATKLPCVGKSTPITARDGLLLLRSYDPQPDLCVYCPATDRSQVLHPEPMFNGIYVLLVRDGVGGAVGRPFKVLVVSSIFISARTSNLQIQIFSSEQGTWGPITDVPTPLPYGSTGLRRQSKHLVIGDTVHWLCYSDKKYYIMKLHVRGKPSVTVKKLPPSFHRACSFPTARPAQILLATDTVEGSLVVLVANNHRISSWALSKFTGKWKDKPQVVIENEALLPFAKESGLMQGSNLGRLQLEWFGERSGIVLIRTNLRVIGWEGLVRSRLRVSYFWLDLGSKEIIGWSNGSWNVGSESGVSCNLGCPYEIDLSSWVPTLRTQTYLLPQLFCKIRPQ >Et_5A_042373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9245213:9247350:-1 gene:Et_5A_042373 transcript:Et_5A_042373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFYVTIPYGAAVLAGGVAGYVKRGSTASLAAGASFGGALLLAGALSAWAFARGESGSVFATVLQTVCALALTVVMGVRYIKTRNIMPAGVIATVSALVLIFYIYKILNGGNKVYLPVSAE >Et_5B_045276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16086038:16097245:1 gene:Et_5B_045276 transcript:Et_5B_045276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGRPGPKSRIYEAKLHLPLPYDRDRLPCRGSISCDRTAHAEQPVERKRRSPNNLPMSQVVIRKSSPVVVRPSTPVPTPGDVKLSFFDKDVVDMPAIFLFVFDHPIHKPAETIKSALSQALVHYYPIAGRLDLGADNGKPFIRCSGEGAVFVAAAADCPLKEMELLDRPLRSTTPLDDLAVDYPGEKCSRGEPLLIVQVTEFACGGFVVGLTRNHVISDASGLAQFLQAVGELARGLPAPTVVPVRYDENLPGIPPVVAATKRTPTDPPYDLSFLDAPTMLFFTASARKLVGAKHGYYGNCVSRVVLRTTSGAVANGELIEIVKMIKEAKEQMVVKMPSSEDKDGGGGQHNKLGSMYNNLGLSCQRHLGLDEVDFGGGKPARVFMNRVPRIGLPVCAVCLPWKGKDGANVLTNCVKEEHVDAFAAELARITT >Et_9A_062316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21854749:21860155:1 gene:Et_9A_062316 transcript:Et_9A_062316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKASGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVINKERRGDYLGKTIQVVPHITDEIQEWIERVAMNPVDGTENPADVCVIELGGTIGDKIFLPHNNSGILDAGDIESMPFIEALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQPLEEHVKVKLAQFCHVPIPNIINLHDVTNIWHIPLLLRDQKAHEAILKVLNLQCVGKVPREPKLTEWTERATKFDKLKTPVRIAMVGKYTGLSDSYLSVVKALQHASVALDRKLVVDWVPSCDLEDSSAKETPDAYQKAWGLLKEADGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEYARSVMKLPGANSTEFDKAAKTPCVIFMPEGSKTHMGATMRLGSRRTFLKVNSCKSAKLYGNAAYVDERHRHRYEVNPDMVPEFEKAGLSFVGKDESGRRMEIIELPTHRFFIGTQFHPEFTSRPGKPSPLFLGLIAASSGQLDQLLQRPCSIISQPGRCISSNGPTKLKLCQNGHVKKPLNGLVNGYYANGNGIHI >Et_9A_061351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10403707:10406882:1 gene:Et_9A_061351 transcript:Et_9A_061351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRDGVVVKRLCLLLLCAAWLCSLADGGRTKAVKAPPASPALPVRAACLGGWLVTEGWIFPPLFEGIPNKDLLDGTQVQFKSALRKTYLTADQGGGGAVVANKTQASAWETFKLWRVNETTFNFRTAGNQFVGIGASDGVIVATATTPSLPETFQIVRCPFDKNRVRIKAANGYFVQAIATGEVIADYGEPTKWSDFDASVFLMTTVGQQMQGEYQICNGYGTDKAAPVLRKHWSTYVVEDDFKFLSSSGITAVRIPVGWWIASDPNPPAPYVGGSLQALDNAFKWAEKYKLGVIIDLHAAPGSQNPWEHSSSRDGTQEWGKTDDTIAQTVQVIDFLASSLTKYYRAGYAAVRKHSPAAYVVMSNRLSSGNPTELLRLAGGLPGAVVDVHYYTMFNSMFDNFTAQQNIDFVRGNFSGELTAVTTQNGPLTFVGEWVAEWKVPNATKEQYQAYAKAQMEVYGQATFGWSYWTVKHVNNHWNLQWMINNGYISLKS >Et_10A_000207.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:17676175:17676351:-1 gene:Et_10A_000207 transcript:Et_10A_000207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCWVIWAHRNSIIFDGQAVSYQRWKRELKEELTLTLIKVKQTLKDDFKLWIDNLHL >Et_1A_006450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22649603:22653907:1 gene:Et_1A_006450 transcript:Et_1A_006450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPQQVRGKQQGRRNGVVQVVDGSEIRALVENREAFAKFVDDRFRKLDKDGDGRLSVKELQPAVADLGAAIGLPARGSSAQADHIYSEVLNEFTHGKQDSVSKSEFQRVLSDILLGMAAGLKRDPIVILRINGEDLSGFLDSPRYEPEAVALFSQVESGNNASLRQCLLAGLQQLTVDHGMPPASDSWVVENIIEPALQELRADQLDQPASQEAFFQEFKKLLGMIIQRLQQHPVIVAHTENIFDGSGIRKLLSNKFEVDKLLDSVWKDVPKEHKDKTSKKYIRVALDRMADSAGLPPYGAVDQVDGVVNEAFKMAKADDGKAVDEAEFKKLLTEILGAIMLQLDGNPISVSTNTVVHEPMSTSSSLSPLSPMVSSPSDCTGTLY >Et_7A_051523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:204485:206022:-1 gene:Et_7A_051523 transcript:Et_7A_051523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYEPVREIGAGNFGVAKLMRNKETRELVAMKFIERGNRIDENVFREIVNHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICEAGRFHEDEARYFFQQLVCGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSRPKSTVGTPAYIAPEVLSRREYDGKHADVWSCGVTLYVMLVGAYPFEDPKDPKNFRKTISRIMSVQYKIPEYVHVSQICRHLLSRIFVANPYKRITMSEIKSHPWFLKNLPRELKEEAQAAYYSRRGGGDAMHGASSNGNAIAGASSNGNVSAIAYSLQSVEEIMRIVQEAQTVPRPAKPVSGYGWGASDDEEEEKQEEDDDDEEDDYDRTVRQVHASGELDMSKLQF >Et_9B_064314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13947883:13949580:-1 gene:Et_9B_064314 transcript:Et_9B_064314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVTVVAGGVGNLATKEASFLCGVHDKVEFLREDLTTLQTFLSAVREAQPSVGSGGDALAADFVRRIRDVVYQAENIIDAADYREKRNSRRIGLLGAMSRYTRKPRDLVVLHKLGKDILRVRRRIQEIKSSREVLDTIDAGGRVTARAQEPSAPRPHLSPAVANGDEYFVGFQGDVEQIVARLKCPGCPQLSVVSIVAMGGAGKTTLASKVYNSAVVKEHFDAFAFVSISQQFEAHTVLKELAAQAMGIERQDREFDKTSQAEELEKMGDQERLAEITETWEAIQHAFPDWGNGSRVMLTTRNLQVAKQADKLTHVHEVRLLNEQESWELFSLKAFPSYETIDANNRQMLESVGRSLSKKCHGLPLALVVLGSHLSKNLHLDTWSKMEHCLDWEVINKGKNMKQIIGRSYDNMSSPLKNCFLDIASFPEDFKIVADNLTRRWIAESFVPHRPNQTLEDIAYDCLVELVQRSMVHIVEWNDTVGRISKVQMHDILREWAIEQAREDGFLKVCKSRDDVLDRMSVYHLSFQDFFDSQFFTFAPNV >Et_9B_065932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18057248:18061468:1 gene:Et_9B_065932 transcript:Et_9B_065932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDPSLDPAYAAQLKKLCPPPRPGDDDDDDGGAGKTKVPLDPSSNQKFGLTYYRHVLATGGLFESDGSLLKDPVTRAYVEKVANASSPDEYYQDFKEAMINMGRTDVLTGDQGAIRATCDLGIRTLCLARKGMAIVSGLRRLRTPAHLRHMGGADDVDAAGRADTRGRSLGRRLALEVDHPLGQLLGQLPHLRLAARRHPSRRTILGPRYRVHEFSGVIVADALTVSHGGGGHRRIHQQADCAERGRREAPRRG >Et_6A_045979.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:15278529:15278843:-1 gene:Et_6A_045979 transcript:Et_6A_045979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSGRCDASSLSLASSSGPGSRSQKVVTPDIVSKMQQEGGKHKACLREGRRSRSKQILSDAGRFDVLSGLLQSKPERKLTAAAGRPAALKMDAVVPPSQRLC >Et_2B_019230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18838533:18839537:-1 gene:Et_2B_019230 transcript:Et_2B_019230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGGHCVKGKPPPPLPPPRRAREDRRQTSSGSFSASLLDAIYRSLDEGDGADVAVVADAPRRRSEEMATVPPQFWWAKEAAADGRRRRETTGAARARHSGYASSTASSSDSSASSSNGGFSCSSASTTDTESTVTRRRHGPAPPRVVAEEPAVAADEVAAKPNNSSKRKSKSRPCFPGARFRPRSPSSASPATFACALKALFTSARLQRKPKAPSAAAAPQPPPPPQTSSAATTAKASVVPEPCDASVVRRRVEELVRSLDELEEDEEGSDSSSDLFELESLRGAGADELPVYGTTSLAANRAIAQRAAAC >Et_1B_011431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22141655:22144539:1 gene:Et_1B_011431 transcript:Et_1B_011431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLLIILGFLLFMPPSATAIGKVCGNEGNYTANGTYQSNLAFLNATLPTNTSSSTQLFSTATAGQPPDAVYALALCRGDMIGTNVTGCRACVAAAFRYAQQACPSDKGAAVYDDDCLLGFSSSSGATANATDNATLFQYWNGGNIAGDARLVAADVRDLLAQTARLAAAAPARFYTGFMDAAAAAAGGGGAVPTLYSLAQCTPDLSAGDCLACLQRLVGVVNDTTAVRVGGRVLVLRCNLRFEINMFFDGKSMKRITPSSIAPAPPDSGPTTNNRGGIKPWVIALFVVCSLAVVALCFILYCLWRRRRYRKGKVRLRGRKGPGNLQGDEQDWEMEAELSEFSVFNFHQILEATNNFSEENKLGEGGFGPVYKGQFPEGTEIAVKRLASHSGQGFVEFKNEVQLIAKLQHTNLVRLLGCCSQGEEKILIYEYLPNKSLDFFIFDDKRKNLLDWNKRLAIIEGIAEGLLYLHKHSRLRVIHRDLKPSNILLDIEMNPKISDFGLAKIFSSTEADITRRVVGTYGYMAPEYASEGLFSIKSDVFSFGVLALEILSGKRNSGSHECGDFINLIGYAWQLRDEGRWDELVDASLLSKSNSAEMMRCMSIALLCVQENAADRPTMLDVVAMLSSKTMTLAEPKHPAYFNLRVGNEEGSTGTQSCSINDMTISATTAR >Et_4B_036842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11972801:11976386:-1 gene:Et_4B_036842 transcript:Et_4B_036842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRMASRLRPSTPEEVVRSIKDSFLALHTRNHTKALEEVEKNISSLRLMLSGDGEVEPNEEQVLQITLEICKEDVLSLFVQNLPSLGWGVRKDLVHCWCILFRQKVDESYCCVQYIENNHLELLDFLVGCYKNLDVALNCGNMLRECIKYPTLAKYILESGSFELFFEYVELPNFDIASDALNTFKDLLTKHETVVAEFLTSHYEQFFELYTRLLSSTNYVTRRQAMKFLSEFLLEAPNAQIMKRFILEVRFLNIMINLLKDSSKNIRICAFHVFKVFVANPNKPRCIVEVLLDNRRELLKLLQNLPTSKGEDELDEERDIIIQEIEKLA >Et_3B_028437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15452233:15459449:1 gene:Et_3B_028437 transcript:Et_3B_028437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAVAATSPTPRAYPSLSHLRRHHFRCRFHRLLPPSFRASCDASRARLVLAGAFASGDGGAGQDVDSSASTASSGSAYIGLFVRMLGLDNDARDREHAVYTLWQYSLGGRKCIDEIMQFPGCIVLIISLLKSESARACEAATGLLYNITTVQIYRQLAIESGAMEEIFSLLFRSTITPQMMEHCLCTIWNFSIDENWRYKILRNDVLTKIVRCLDDEDIKVKEAAGGIISNLALSRSNHGALVEAGVIQKLAHLVQNKEDDYKIVRKEARSALIQLASDDYYHSLIIEEGLVRVPLVGSAAYKAFRPLPHSWPSFPDGSEIQRSSRPSKYGATELLLGLSVNEKETKPDEAKVNAMIGRSNQQFLARVGAIELDDEGKDQSGSAKNDLYTILPWIDGVARLVLILGLEDVSAIKKAASAIGDASVNEHMRTSFKEAGAVKPLLQLLKHSDAPVREAASYALEKLSVSSIVCQKIKAEGGLEMLLDTVKDPNTTVEQLEKIIYVLSRVLDMGINMTDLPDSSGRTEHETLTNGKRIPQDDMDSGDTGVSRTFVNQEMASETIIDFDAISRLIKVLKEASPSLQAKICSVLQHLAAFEEHATAMIAASIGSVIEAILEMGVIYGTRGDADNFDDLPSTAIEVSQAVSAAVRLLTKLLDFDLFVRSINSEKFTSLLRRMLKSSFPLQSKDWLAACLVKLESRAGLSGDHGVSSVDMEITIYETIPRLVDQMMTSISIENKRSAAIELNRIISGGVMEYTRAVAAAGGIFPLVKLVEESDGDALEASLAILYNLSMDPENHPAIIAAGAVPVLKRIVVAEGPHWTRALQLLRILPV >Et_2A_018196.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:17759978:17760289:1 gene:Et_2A_018196 transcript:Et_2A_018196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKLVGVGFKARSESQGRELFLKLGYSHEVQFTAPPAVRVFCFKPNIICCTGIDKARVHNFAGAVRSCKPPEVYKGKGILYIDEVIKLKPGKKQKK >Et_2A_018779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7346255:7350309:-1 gene:Et_2A_018779 transcript:Et_2A_018779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYWLVPQNDTARGGGGTAPHFGNKKISEAEAFTSVGYLDTTRRPRSYALRSTATALFWLSISLGSYASTMLVGAVHRWSAGADGSNWLLDNINRGRLYYLYWLVTLLQVARYLVYDLMCAKRLTTSARARNYLRNYWIGNLQVQKIAYRYLLFKESRKDQRGGGEARRGWVADQHADVPNLTQQLHMPLDKAATTLINFGGTSAATTLIGAFLADACVGRFWTIVGVWRQGMALLTVSAALPMFRPPPCKPGGAATCQEAAPWQLAVLHVALLLNAVGAGGYRPCAVAFGAGQFDESRAAERARS >Et_4B_036550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29338657:29339502:-1 gene:Et_4B_036550 transcript:Et_4B_036550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKKKEKKKPTKGPAAECIGEALGTATKESVVRVVLKSGVVEVYPGVVLACTVIRKHPPGLCLAHPDVFRNPHGAVVRPLEPLFPGQKFLLLPETTVVRLKQKIPESSIGAFADDEEDEEDVTASTDASEEESDVQDYSSGAAASSSSEEWDDAECMAREFYEANDRWSQCHFKKLVRRGLAVEQSKEEPERKDKAKKKGRKKKRKGKKTRKDRRVAVPAGLRMFAMPRRTWEPSLPAVEEEDAAVSPLCTPSEATKAQRITSSKFD >Et_2A_015341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11275370:11284621:1 gene:Et_2A_015341 transcript:Et_2A_015341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IDQSHGSLQGHRSANLFRPAAVAISVEQDEVNTYLPKGDMWSVHKFGGTCMGTPQRIQSVADIVLGDSSERKLIIVSAMSKVTDMMYKLVHKAQSRDDSYTIALEEVYEKHMAAAKDLLDGEDLARFLAQLHSDVSNLRAMLRAIFIAGHATESFSDFVVGHGELWSAQMLSYAIKKSGAPCSWMDTREVLVVKPSGSNQVDPDYVESEKRLQEWFSRQPDEIIVATGFIASTAENIPTTLKRDGSDFSAAIIGSLVRARQVTIWTDVDGVFSADPRKVCEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKDNIPIVIRNMFNISAPGTMICKQPANENADLDACVKAFATIDNLALVNVEGTGMAGVPGTSSAIFSAVKDVGANVIMISQASSEHSVCFAVPEKEVAAVSAALHVKFREALAAGRLSKIEVINGCSILAAVGLRMASTPGVSAILFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIIGPGLIGGTLLNQLRDQAAILKENLNIDLRVMGITGSNTMLLSDTGINLTQWKELLQKEAEPADLATFARHLSDNHLFPNKVLVDCTADTNVASHYYDWLKKGIHVITPNKKANSGPLDRYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSFEGRRTFSDVVAEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLKLELSDIPVKSLVPKELATCSSADEFMQKLPSFDQDWAKQRSDAEAAGEVLRYVGVVDVVNKKGQVELRRYKRDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFCDILRLASYLGAPS >Et_1A_004860.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12565390:12565746:-1 gene:Et_1A_004860 transcript:Et_1A_004860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMVSSTYAALCSFVNAQLDEAEKKQLAEGFPHLRDLPIEEREEFLRWLMKGRDKPPPPGAVREYANSTENMEPENYMPPPEYQWWHEEGWHEEEDDEYDEEDDEYGEEEEDEMILP >Et_10B_002741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10225354:10226638:-1 gene:Et_10B_002741 transcript:Et_10B_002741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGEVNLPSGEDGGGGGVIKKPKVEAAPAVEVVGDDVLGSIFLRLPDTASLARRPGLQAVAPRGLRPRPPPPLPLPPRPSAPRRHPLRRRRRAGALPLPQSQWEHLAVYDPFARTAVFFRTPDGFSWILHYALLADEADAEASFRGVAVQFFNDRVEAAVFSSRTQEWSPLPSIGVPCSWNARDGVRAGRFAYWQSNSRKYYNDTRERVLVLDTVTMQWSLLPVPFPVGEPYCVADMAEYGGLCLVVSKMSRLQLWVSIDGRWTMMKNVALRKEFPVLKNIWKMNGLRPLAVRGDYVFMEFWTFSFFREPGHYLLLLNLKTMKLEMVKNDSSEPYRGSVFPFFMSWAPPLLCPPAFDIEYR >Et_4A_032538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11160562:11163724:1 gene:Et_4A_032538 transcript:Et_4A_032538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPEPADPPGRAFPPPAASARPGAHHRRARSEVAFRLPDDLGGGGEDDLFSTFMDIEKISSAGPAAGSDRDRAAESSSPPRPKHRHSSSVDGSGLFFSPGSGGGSAASLAEVLEAKKAMSPEQLAELAAIDPKRAKRILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSTENAELKIRLQAMEQQAQLRDALNDALKQELERLKLATGEVTNSNETYNMRFQHIPYNSSFFPLSQQNTAHNQGGSQLPPAFHPPHPNVPSHQMLSHPNTLQDIMQQDSIGRFQGLDIGKGPLVVKSESSSISASESSSNF >Et_7B_054088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15162306:15178869:-1 gene:Et_7B_054088 transcript:Et_7B_054088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKQQQNCSTGAGSPDGHRRHDNAGKDARRPVRRLRRRRRGAKVIDVAGVVVDVGPGVNGFQAGDQVVAMLNLHHVEVPVPSAKKNELLLKVEAAAINPVDWKLQHGLLRPLLPRKFPFIPGTDVTGVVVDVGPGVNGFKAGDQVVAKLNSLSGGGLAEYVVASENLTVKRPPNVSAAESAGLPVAAGTALQALKTIGAKFDGTGEPTNVLITAASGGVGLYAVQLAKLAGLHVTATCGARNAELVRSLGADEVLDYKTPEGASMRSPSGKKYDYVVHCTVGVSWSAFEPLLSDAGKVVDLTPFVSAYLTSALHRVTFARKRLVAMLLSLDRADLEFLVAMLGEGKIKTLVDSRFPLGDVAKAWERSYDSYGGGAAGLKFPSIVVSREQTGQPGFDFLCPMQHVEVPVPSAKKNELLLKLEAAAINPVDWKIQQGQLRPLLPRKFPFVPVTDVAGVVVDVGPGVNGFKAGDQVVAMLNSLSGGGLAEYAVASESLTVKRPPEVSAAEGAGLPVAAGTALQALKTIGAKFDGTGKPLNVLITAASGGVGLYAVQLAKLAGLHVTATCGARNMELVRSLGADEVLDYRTPEGASLKSPSGKKYDGVVHCTVGISWSTFEPVLSSNGKVIDLTPNFSVILKSVLHKVTFARKQLVPLLVSPNKAELEYLVALLKDGKMKTLIDSRFPLSDVTKAWEKSIEGHATGKIIVEMEG >Et_1A_009295.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:37832470:37833087:1 gene:Et_1A_009295 transcript:Et_1A_009295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSFVLAYISIAWISVSAWGLYNLFVATSKASSPNPAFDVLVVLAAAAVRLLLVLRRPFLPIDDGDAASGRCPSLVTGRLARRLRTSAGRESRDGSSWHGIDDGDAVVHQKFVNQWLGIDVLHDDEQPVQQQSARTAVSRCDHAVPAFEYDRAVECAVCLGEVENGQTAKRLPRCLHLFHQPCIAAWLSGHATCPVCRRRVYF >Et_1A_009160.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31308727:31310280:-1 gene:Et_1A_009160 transcript:Et_1A_009160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRAARLLLVFSLLVSPFAASAGILKLNSSSPLFGIEFPPFNTAVADAGCDGKLVAAADEAVEEKQPARPLAPSLKLHMRHRASADAAAAGRSRKDSFLDSARKDAGRIETMHRRARTTRHRAAGSSSPRRALSERLVATVQSGVAVGSGEYLVDVYVGTPPRRFQMIMDTGSDLNWLQCAPCLACFEQVGPVFDPAASSSYRNLTCGDERCGLVSSPAPTRSCRRPGADPCPYYYWYGDQSNTTGDLALESFTVNLTASGATRRVDGVVFGCGHWNRGLFHGAAGLLGLGRGPLSFASQLRAVYGHAFSYCLVDHGSDVASKVVFGDDPVLLAHPQLNYTSFASSSSSNDSTFYYVELKGVLVGGEPLDISAETWAVSKDGSGGTIVDSGTTLTYFAEPAYGAIRQAFVDRMDRMDRSYPLVPDFPILSPCYNVSGVDRPEVPELSLLFADGAVWDFPAENYFIRLDPDIICLAVLGTPSSGMNIIGNYQQQNFHVLYDLQNNRLGFAPRRCAEV >Et_10A_001350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23628748:23638554:-1 gene:Et_10A_001350 transcript:Et_10A_001350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPIAMREALTLTSLGIAPQFVTFTHVTMESEKYICVRETSPQNSVVIIDMAMPMQPLRRPITADSALMNPNARILALKAQIPGTTQDHLQIFNIEAKTKIKSHQMPEQVVFWKWITPKLVGDSEPAKMFDRTANLANNQIINYRCDPSEKWLVLIGIAPGAPERPQLVKGNMQLFSVEQQRSQALEAHAASFATFKVPGNENPSTLICFASKSTNAGQITSKLHVIELGAQPGKPSFSKKQADLFFPPDFQDDFPVAMQISQKYGLIFVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSTTGGFYAINRRGQVLHATVNDATVVPFVSGQLNNLELAVNLAKRANLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGLLRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAFLQTKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLNSAFSGNFNLQNLLILTAIKADPSRVMDYVNRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVDVLLDNIRSIERAEEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDATHFLDVIRAAEEANVYEDLVKYLLMVRQKAKEPKVDGELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVCVKVANVELYYKAVHFYLQEHPDLINDMLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNEALNELYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFVCYDLIRPDVALELAWMNNMMDFAFPYLLQFIREYSGKVDDLVKDKIESQKEEKAKEKEEKELVAQQNMYAQLLPLALPAPPMPGMGGPPPMGGMGMPPMGGMGMPPMGPGPMPSFGMPPMGSY >Et_10B_003397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19467221:19469569:1 gene:Et_10B_003397 transcript:Et_10B_003397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESGLKQVSPCCGQGSEMMEAMRRQQELVMQLRALVLPQLHDDGRRAELSVQLFDDVIGCNISILSTLEGCLIRSGAGGGPTIELVNDKSLVRKNNSTNTGQRRTDKRSRSLVTHVPHYDGHEWRKYGQKNISGWQHPRSYYRCTYRSERRCLATKTVQQQEQNDSTSSATATEEIAKYSVVYYGDHTCKDHGINTVQPPYQLVNMDVQSAKIVQTTTNIQEFEADFDLPALLEGFDSSLINCYDWDLEDLLPRCNNYLADAERSI >Et_4A_034196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30693220:30696382:1 gene:Et_4A_034196 transcript:Et_4A_034196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKRQREEEVSLALSLSTTSTTDNSPASSSSSGAAQPPSLAPAKRARRRGPLVATSGEGDFVCKTCSRAFTSFQALGGHRTSHLRGRHGLDLGVVGAKALKQHKAESAASMARGDGESDKPAAAAKRPQHECHICGLGFEMGQALGGHMRRHRDEMAGADAADQWVWRPQELPVAAAAADPPILGSSTTDLSFLSPAAFSTNLIVLQQQQRRQALGSPSMTKHPRDGGDAAVTLSLSLALGGEAAAAERARKQPRRADGEFVCKTCSRAFASFQALGGHRTSHLRGRHGLALGLQAAAAPATNKAAANKENKPAAATTHECHVCGQGFEMGQALGGHMRRHRDEITGVAAQAPPVLLQLFV >Et_8B_060538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20292330:20295481:-1 gene:Et_8B_060538 transcript:Et_8B_060538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQWIFSTGINIGEAVQLNDELEGLRATLPKARFLINRGEWGRFKNKNLAELLSHLKDTTYDAEDLLRELDDQVKEIQDKLKNSMDEVEGVLSLMGLNAEPMEQLMPETSLLINAPEVFGRDSEREELMDKLDVMIERDNERDQVIENLGVPLTRSVKSNGKRAKAAVDCWGASTSRPAKQLKVQSTDINYTSNKVSVIPIVGIGGVGKTTLAQVIYNDSRVKHHFDVRIWVCVSDVFDKRRMAKEIVESISGKEVSGPCSFNALREELQEQLKCQKFLLVLDDIWPNANSQWEEFCAPLRQGFEGSMILVTTRYPKVADFIKTSNCQTVQLGGLPDDIFWEFFRKCAFGNREPESYPDLQSDPKFHDQNRYVMHDLIHDMAQSVSVDECFLMEDSSHPNQRRVPQTVRHMSVELDDESLSRMREIQHLKKLHSFRFRPRFNVEITYFNQLTNILFLSLKDCKLEKVHESICELNSLRSLDISYSKVKELPEKFWRLCSLQVLDATHSSLQTVHQDVTMLINLRKLALSSKAHEALERISGIGNLSSLRELRQFTVRKVHGWRIGELKGMNQLSETLSISGVHHVQSREEASEARLTDKQYVNVLDLCWGRSMCLRANDVRVMEGLRPHPRIEHLKLSYFCGDRLPSWFNPQDLPNLKSLELFHCLFLKKLSVCHRDGTLARQNHASSSISCSSFSHLTVLRVVGSRALRDLGQFLSPENLPSLRSMMLEDCRGLVSVAAHNFVGFAFLQDLRIGGSQNLVCPREMVLPPSLRRLSISSCGELDRSFSGCLENLTSLTVLKLSQCRNVKVIPLSSIASTKTLKYLSLDCCWELSSIEAPHCLSSIPYVWISECPKLTEVEQPFRTDRLFKEEKELLEFWKARELWHYNCM >Et_5A_042825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5080371:5082614:1 gene:Et_5A_042825 transcript:Et_5A_042825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSMASPALLLLAMGLMPAASAQAQAPAPTPAPTPAPVPSQALCPAGFPNRIAFDRAASALLLQGTILLPVPASLSSISSIIKSLPSKDFITGKSLVVDKSLTRDKPGYIGNSPNFHERMIFCLNLRLLGTTRLNSI >Et_5B_043396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1008615:1013739:1 gene:Et_5B_043396 transcript:Et_5B_043396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILVYLIIMVAALASAFGELTPDFYSETCPQALPTIKFLVGAAILKEPRMGASLVRLHFHDCFVSGCDGSILLDDTDDMIGEKTAKPNNNSVRGYEVIDTIKAAVNTVCLGNVVSCSDILAVAARDSIVALGGSSYDVLLGRRDATSASMDDANNDIPTPFMDLAALQDNFESHGLSLHDLVVLSGGHTLGYSRCLFFRGRLYNETNSLDPEYAASLDERCPPAGDDDALTSLDDTPTTVDTDYYQGLMQGRALLHSDQQLYQGNGGDADELVKYYSDNPSKFWEDFGAAMVKLGNMSPLTGDEGEIRENCRVVNQE >Et_9B_066270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9296072:9300256:1 gene:Et_9B_066270 transcript:Et_9B_066270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAQAQIAREHADNAREHAAALEEEVQRLTQANMQLRDDMESQHEELASQRRTVEAQNADMERLMDQKLEERMNALARIAARTISAPNSTSGNTPYKLTTLCLPATMMEEGPWMWSYANEDCGFPALLFETMKKLGYTRAPDYCYQEFKRHGAPMCEMFVHINGTRPHSNIQPWIGTVTGARRAVKAEEKAKDLERQLDEAHNQAIEGEERANQALKTMAENAQHHAQEIQDLHQAGIRRGARTRETARKRNIVISASGERQLPPSAPVLDENTRAAISRQGPTGSDRDTSEDDDGDEDDGPYGFDNLEGSDDQDGGMDISEGEEAEEDPKEDPEKTSYEDDHADHAAPMVEDATQGAEGATGDAQPDSGSTFHNPFIINEDDFNLSAQWRRDRGFSG >Et_3B_027807.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20212677:20212901:-1 gene:Et_3B_027807 transcript:Et_3B_027807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVPVCVQCGTRSNPCRCKVLGPTVGFVAFVVAGIIEWPLGAAVYLFRHRKGRRIMSHPATVVYPRITSAIPI >Et_6B_049309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3037000:3042836:-1 gene:Et_6B_049309 transcript:Et_6B_049309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPSVEKTTVAPYSNDKNCSMLVKVLKENYGFRLRSIFLGVHTGMPIKAEQIEKDFCNSSRNDQVSPAGGASRCDPSCKPFAQKGVTVRSAPANLVEVDEARPPFLQALPPHRSLVSNLQHPQYSLFSIMEEETVVLIVGAGPAGLATAACLVQFSISYVIVERDECSASLWRNRAYDRLKLHLAKEFCELPHMSYPTDAPTYIPKDQFVKYIDGYIEHFNIRPKYHTSIESCKYDEDAKCWISMAHNMDTSTTVKYKSSFLVVASGENSAENIPMIPGLDGFPGELIHSSRYKSGATYSGKNVLVVGSGNSGMEIAYDLACHGANTSIVVRSPVHVMTKELIRLGMKLVQYIPVNMVDTLLVTMSNFVFGDLSRHGIPKPKMGPLQLKSETGRSAVIDVGTVRLIKEGMIKVLGSISRIKGNIVQFEDKKEFTFDAIVFATGYRSTANIWLKNGESMLNDDGLPKNKFPDHWKGANGLYCAGLAKRGLAGIAMDAKNIANDIVSTIESISGQTRH >Et_3B_028362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14016252:14017724:1 gene:Et_3B_028362 transcript:Et_3B_028362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITVPQAVPSPAEDAAALLKAFQGWGTDEQAIISILAHRDANQRKQILLEYEQEYNESLIQRLRSELSGDFEHAMYYWILDPMERQAVMANAATKCIQKEYPVIIEIACANSSTELLQVKKAYHVLYKRSLEEDVAAHSTGNLRGLLLALVSTYKYEGDAVDTRLAKSEAKIVLEAVRNGTTGHEELIRIVGTRSKAQLDATFGCFRDEHGTSITKIRCCYLRALRTTVRCIVDTNKYFAKVLRNATRESGTDEDSLTRVVVLRAEKDMKGISDAFQKRSSSTLEQAIAKETSGDYRSFLMALLGS >Et_7B_055255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8763090:8766818:-1 gene:Et_7B_055255 transcript:Et_7B_055255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVRRSTMVRPARETPRQRLWNSNLDLVVPRFHTPSVYFYRRPEGGAAAAAPEGFFDAERMRRALAEALVPFYPMAGRLARDEDGRVEIDCNGEGVLFVEADAPDAAVDDYGDFAPTMDLKRLIPAVDYTDDISAFPLLVLQVTYFKCGGVSLGVGMQHHAADGMSGLHFINSWSDLCRGAQISVMPVIDRTLLRARDPPTPSFHHIEYQPAPAMLSFTPQALTSKSSPPATAVDIFKLTRSDLGRLRSQLPTGEGAPRFNTYAVIAAHVWKCVSLARSLPPEQPTKLYCATDGRQRLQPPLPEGYFGNVIFTATPLAEAGKVTGGLAEGAAVIQAALDRMDNDYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSASGDGSLSIAISLQAEHMEKFRKLIFDM >Et_1A_005900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15251571:15263167:-1 gene:Et_1A_005900 transcript:Et_1A_005900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRTKLFQPHVPPFFLSVSYGFGYCPVRKEYKVVRLFSNPEGGDDMTPTSCEVFVLDTPAYWRPSAEQPPLCSVEEEDPAVFLHGRLHFLCGDGGITTFNISDETFGSLPPPPSFQNVEPVLAKLDGSLCVCYGESDIQDTYHVSVLKDYKEERWEMLCYIDGTTWSESDRALLKSLWMAPLAMYCSDGGQKIMFGTGACKCPTDLFTPDETIIGSCEDSDVPVLGLFEESLVHSPRIMFIMVPRFGMYMDLEKFTDQLRPHLISDLVCTQPCIGLNAGSCASWDFICNPAIGYCEHISFDDNDGAFFAGRIGLGYNSEINKHIVVHITYKVKNLETRYYELQCKMRHVNEEEWRQVDPPPRPVADTPPAYANGKIYWLVEPNLGPVSTRCEIVAFDVETHEFEILQGPPCSHDSGRMTIVQLHGALCVACSIRGMNTLDIWMMKDSDIWLMEYHIELENFLPDYLSENTTPLAVDPKNGRILLNAGWSLGYYDPKTATIDTLYTEDIPEHGFKFCPIICQETPSATTEATSHEVSALPMDIITDILSQLPAKTVGRSRCVSRAWRDALTSDYFVHLHATRANRQGHPKLLLTPVGSSYDRRYLYSWQPGSGGAEKLMPNDFSNGLNVPLTKPCHGLVLVRGINHRGYFVSNPSTGAVLPLPDSEAPMKMIRRPRKMSGHHRPPFFKDVSYGLGYCAVRKEFKVVSLFCNYPKRETQMVKSTNCEVFVLNALAHWRPTVEQPPLRRVREEKPAVFLGGYLHFLCDDGGIITFSVSDETFGSLPPPPGFEDAASVITELDGCLCLCYEEQESEDMPIVYHVCVLRDYKEARWETLCRIDGATWLESERTLLSSAWIAPLGMYDGGQKIMFATGDCQIFVVNLDGSGPHILFT >Et_1A_007834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38694181:38704655:1 gene:Et_1A_007834 transcript:Et_1A_007834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGRRPMREAAATVLKNTARARDWTNLANGPAGLVAERVLADDVAGYIFFRAVCRSWRGCCDDPRKRGGGFLEDPRLRPGNGSCSLEKLDAGGAPHLCRRQFLNVSTGKCIQVEVPELLDHGVIPSTAADGLLFLHCKATGAIRLLNPFTRQKAGLPPVTQLTSRYFCWKYEYCNAGLADDRTALLNLSSNNTHRLMPNVFFSGRYYGISDQAIMTVDMTRGDEPRLVLVAKLGLLFRGLDWDQDKTAHLVDNGIKKKKERNRDSAAAGGVACTHGEAMRRQPLPGCKDRALDAFGAPHRSLRQFLNVSTGKSIKVEVPELVDHGVIPSTAADGLLFLLCKATGAVRLLNPLTRQKAELPPVFTRPIYSNCNAGLADDRTAVLNRVYIGMAIAKPGDERWVTVKLENKTHRLMPNVFFAGRFYGISDQAITTVDMTRDDEPRLVIVAKLACCSAGWMRTRPRTL >Et_8A_057055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20565752:20577643:-1 gene:Et_8A_057055 transcript:Et_8A_057055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKGMRIPLEDSPERDWSELPLDVLSSIFIKLGSIEILMGAGLVCRSWLMSGKTPELWRFVDMTRHKLVFSKGEDTMCAMAKVAIDRSDGKMESFWAQKFVTDELLDYIVDRASSLKSIRLVGCTYIWSESLARFAAKCPLLEEIECSHHKMPAGLFRYIGKVCPQLKHLRIHMQWFDSDQIMREMAMESRQNDEDEYEEPEESDEAWEARQNQDAFAIAESLHELRLLQMQGNSLTNKGVYAILDPHLEFLDISECYHIDVNAELRTCCAKLKHVWLPTRGNLRCPELHVIGVNEGEDDGLTMHDLWLAEAVSLRAEAEMDNDGSYGDNYWECDCSTMDIDPSPLSQSPVRDWSELPLDPLSTIFMKLGTIEILMGAGLVCRSWLAAAKTPELWRFVDMTRHKLIFSKDISTMCAMAKMAVDRSGGQMESFWAQKFVTDELLDYISSRAKSLKSIRLTACRYFWHPSLVKLAAECSLLEEIECSYLKMPAELFGYISHVRPQLKRLRIHIEEWYDSDQIRHEMEEEYRRSCGYDEEESEEESQEDWEARQNEEALAISSLHELHVLQISGNSLTNKGLYAILEGCPHLKCLDISECKHVCVNDELQARCSKLKHFVWLPRQRYNVCCPDLDIIVKDEGEDYMLPMPDWSSDHGDMDLDGEVEMDDGSYGNYWQETPPSSPDDAPGPDLSNVTCDDTRFYTEEHEYYSCVILDNDIVFKISNLKVRAGSEEIRVFVLIIPGLLKY >Et_4A_032905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15456363:15464223:-1 gene:Et_4A_032905 transcript:Et_4A_032905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPTPRAVVPVPMTDLLDNDATTVDPALTTRGSRENMACNLRVYRRRKKMARYHIDVEEWERTRGDKTPPLLGASPPLPAVALLRPPARRLVPLPTSAASAAGRSRNGERFLSLFSSWLGWAAFLARVVIALAALVRVRPHPPFLRPLLGSEATFRSSSPWASKSSAVSMEFCLLGVGFEHFQLVASRCASCPAVITVRYCMPVVAFLIPPEWRCRECQEKGNEDPRPNQGGQNELQSSLHGCVLTKEKENPKVDLLHQTSPPTRKKFEGSKVKYISSEEVVLLARERRPYGRSNAAMRQSQVRSMSPPILKQPPNMMCTSPTRSDTPLLALNQCSAASHDKEKIENRSDVYMQQSQVRPSSPPNLKQSSRMMCMFPSRNGRQVHSTLKQSTAASVDKAIIEDRSEFSTRKSQVPPASFANVKQPSNRNCLSPSKSDTQVHALKRCAAVSLEARNKDLSMNRGAEYGSSMPNRCGTEVIVKGKADSQIEDKTKEKKIVSANEGTISSQIQAKSREKEALCGSGMGSFIVLNAGIRHNLGGFKAYFPPKVSRKAYDVAKMMSGNLQLEMLPRFDDWPKAFETSRPTHEDIGLFFSPHKFNCHEKKHSNLLETSCNYVMRACIKDIKLLIYSSEMLPPDSQCSQIQWCPLLEGGNVF >Et_4B_037642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22024254:22024535:1 gene:Et_4B_037642 transcript:Et_4B_037642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAILHGAVDLQEHPWSVVSNSAKSLILQMLDRDINKRLTAQQVLGMYVWFNTPYNFAPTDHPWLKDRARRLALDPKS >Et_6B_049808.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:13365765:13366373:1 gene:Et_6B_049808 transcript:Et_6B_049808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAAKIKRMVMELADWPPQLTVDNYREILVRLPTKDVLRYGTVCQAWRSITTNPDFLADHARRQETDQVLLYKYLYREFPSNDRWVVDIVLEALHVSGDEARQQRLIRYPNKTRDWLLIASSYGVLLFRKGEGTYLLCNPLTRKWADLPRLPQVLRRDMIIEDKEYAFYFHQPSNEYRLLCRRDLSANGTLIRRTRRLLG >Et_10A_000292.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21583138:21583335:1 gene:Et_10A_000292 transcript:Et_10A_000292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYSGSKLVAALLLLVTCVAAHFGAASAQYGDSSGAAGTVPADGAGYFLGVAATVLAFAAFVWT >Et_7B_053433.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:19220126:19220644:1 gene:Et_7B_053433 transcript:Et_7B_053433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMSQWADQPTMAKYVESAWETACECEKNWLPEELERCIREVMQGEMKMQYKRNAAIWMLKAKEAMQQGGSSDKMRNLLPSISLLLHKKAEPDRQTIFSFSSASWSYPNFFSCRSRLIELDSTLSLIRSELIVNRKLGPKPSGPGALVLFIASRVALLASCTINAARSKSH >Et_6B_048380.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:17301730:17301897:-1 gene:Et_6B_048380 transcript:Et_6B_048380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERDRILNDYYTKGYAEEEVTDDEGEGAAAPPSPGRRRFWPGVAVHAGKIKKLN >Et_10A_002135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23574890:23577591:1 gene:Et_10A_002135 transcript:Et_10A_002135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRVSPSPAAAANQLAGVASATPASVRVAAPRASPAAGTACKAAAKGKEVLSGVVFQPFEELKGELSLVPQSPNQSLARHKFVDECEAAINEQINVEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEEREHAEKLMEYQNKRGGRVRLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHSVATKCNDPQLTDFIESEFLQEQVDAIKKISEYVAQLRRVGKGHGVWHFDQMLLEEA >Et_2B_022436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21737127:21740685:1 gene:Et_2B_022436 transcript:Et_2B_022436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFRVVAVDSEYFETEPEGIKPVACVFSPGSDGGWRDLPTASTNSDAITLPGSTKTFAGRANGSLYWVTGEDGAMLALDQATTQFSRVTFPADAGVGGAYDKWSFRVIGGEDGALRVVRMVNSNLTIFVRRNGSEDLVVEKCLRLPEAAIRRLPGREDYNYFPRNAMIAAANETYILVTPREKTCLFSVELDTMELEFEHERNKYPGTAYPCELPWPPSFEAIHSGHNERARRPRPPPGAHPPAPPSTRPRWRRLVAGDAGFISRFRSFRRAAPVAGYYHTSDPFRPEAAPASCPRSPSTAAASPSTSSRTGTRRGSLPTAAAANGALHWEIGDVLDEATATTFSLVPLPDKAWGPYGGRCLRVVGGDDGNGALRVVRLINNGLKVFVRRRGNDAWVLEKLVRLPEATRGLPGREAMIVDAHDTYVLVTPQEETWLFSVDLQTMEVERAHERNRYPGAAYPCELPRPPALEAKTPLAADLFVRIDAHMGVSKFAQS >Et_2B_019953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15514630:15521801:-1 gene:Et_2B_019953 transcript:Et_2B_019953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAAQGSEKAPEEKAKEKEEKKLKAKQKEAARLQAQATSEGTKKSEKKQRKKTVEDENPEDFIDPNTPQGQKKLLAPQMAKQFNPTVVEKSWYAWWESSGYFRADSSSSKPPFVIVLPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMREKNLTRHDLGREKFISEVLKWKEQYGGTILNQLRRLGASLDWSREAFTMDEQRSKAVTEAFVRLHKEGLIYRDYRLVNWDCTLRTAISDIEVDHMELDGERMLRVPGYTAEVQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDKRYKHLHGRYAVHPFNGRKLKIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRHNLEFINIFTDDGKINSNGGAQFEGMPRFIARVAVIEALKEKGLYKDTKKNEMSLGVCSRTGDIVEPMIKPQWFVNCNTMAKAGLEAVRSKRIEIIPQQYEQDWYRWLENIRDWCVSRQLWWGHRVPAWYVTLEDDQVKDLGSDNGRWIVARNESDANLEAQKKYLGKKFQLNQDPDVLDTWFSSGLFPLTVLGWPDDTADLRAFYPTSVLETGLDILFFWVARMVMMGMQLGGDVPFEKVYLHPMIRDAHGRKMSKSLGNVIDPLEVINGISLDGLLKRLEEGNLDPKELKTAKEGKIKDFPDGIAECGTDALRFALISYTSQSDRINMDIKRVVGYRQWCNKLWNAIRFAMGKLGDNYTPPATIDVSLMPPICKWILSVLNKAIGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFSDSQEFESARAASRDTLWVCLDNGLRLLHPFMPYVTEELWQRLPQPKDSCRKDSIMISEYPSLVEGWTNERLENEMDIVLDAVNKLRSLKPPTETNERRPAFALCRDQETATTIQCYQSLIVFLSSVSHLKKRDEIQKLQQALTQKMDASGYREKAPPSVQEEDVRKLTALMEQLEVISEAEKKLEAKIGSK >Et_6A_046067.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21476657:21476755:-1 gene:Et_6A_046067 transcript:Et_6A_046067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGYPYNSCDSNKEKRPPLKRGRSSCRLPRL >Et_1B_012073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28702686:28703101:1 gene:Et_1B_012073 transcript:Et_1B_012073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPYTQMMSEDFDVEALDEIFQASNFATPKIVEDTVCHNSAWRATKAKKRGKADTTDGKK >Et_9A_062866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:618848:619438:-1 gene:Et_9A_062866 transcript:Et_9A_062866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KLIIKGFDKGFYSFFEPKDKDRIDSNHNCKAQIMIFTNLDLVLSRKSRVAEMRTMKSKIPNKKTETEETTRVKTTIPDQRENNIHFKTFLTDSLVRTDEVMALDYYQPDCNLFLSVRIAPEHLLLLIGHEL >Et_4B_038076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26026010:26029562:1 gene:Et_4B_038076 transcript:Et_4B_038076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNGSQNVSSCSTGGSDAAAGGSWLGFSLSPHQMAAMDAADGSVQQQQHAGGLGLYYPPASSSPAPPFCYALGSGQDCAVTQAAGANGGGYYPALASMPLRSDGSLCLTEAFRRSEEEQHHGVVVSSVSPKLEDFLGGGPAMEQSLDNSASFYYGGGGHGHHHGHGGAYLQQPPLQCAMIPGHDVVYGSHGHASLVEEQAAAALAASWLAARGGYDANSANAVVQAAAGHAHPLALSMSSGSTQAASCVTMQMGGAHAAADPVAEYIAMEGSKKRATVDRGAGGGQNKPTVHRKSIDTFGQRTSQYRGVTRYPTPPCARNATGRFHSWIPCSIAEWLLTLTRARGFPRCKSRHRWTGRYEAHLWDNSCRKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTNINFTLEDYREELEEMKNMTRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFSTQEEAAEAYDVAAIKFRGLNAVTNFDITRYDVDKIMESSTLLPGELVRRKKDGDVAGNAIVAVNAAAALVQAGTAVEWKFQAAAAALLPAPSRTTDEHGGGCGQNHEQLLSSDAFSVLHDMVSVDAAGDNNAHGSAQHMASNASSLAASVSNSREQSPDRGGGGLAMLFAKSAAAASTKLASYHPQLPMGSWVSPSPVSARPAVSIAHPPMFAAWTDA >Et_9B_064858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19245244:19246051:-1 gene:Et_9B_064858 transcript:Et_9B_064858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPDNGRLFVGGIAAGTGAEDLRRHFCRYGEVADTCLPRDRLTGGPRSFGFVQFRSPCDAGRALADPHHVINGRQVYVARAKPRQFARSIQHKPLSDRIICVNKLCYRVGDKLRISFGPLGDTYEQCELVNKIKKFGSMVGQILIFDCTIGYISKDGEECLIRWPPGQSDGESGYSGQGTIRQSCGSIEEFAELSSSGNSSEAGQSQTWCWLV >Et_9B_064300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13786374:13790344:1 gene:Et_9B_064300 transcript:Et_9B_064300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIAEWEVQGELCPHLGSVSGLCYTCGEPQDEEDVSGVAFDYIYKGLRLSTSEIARLRDTDLKNLLRERKLLLILDLDHTLINSTRLQDITPAENKLGIRTIVSKDDPKRSIFPLESMHMLTKLRPFVNKFLEEASGMFEMYIYTMGEKAYAIEIAKLLDPENIYFSSKVISNSDCTQQHQKGLDVVLGQESVAVILDDTQRVWKKHKQNLILMERYHYFGSSCKQFGRGVKSLSESMQDERESDGALATILHVLKRIHTIFFDSAIETDLSSRDVRQVIKAVRKEVLQGCKLVFSRVFPRNARPQDQSIWRMAEQLGAICCTDLDSTVTHVVALDPGTDKAHWAAANKKFLVHPRWIEAANFRWCRQPEEDFPVRSPEGKVKDKENTVAGEKKTVKDKQNGVPKAEETVNGQEKAEENTLASTSTGPTDS >Et_6A_048091.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:6066812:6067870:-1 gene:Et_6A_048091 transcript:Et_6A_048091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRCMMTMMPAARRRCPLLGGDTEVFCASLVEGLAHLESTLEVDGGGGVSMRWCADAMRLVKRMQRELLAIFRKADVVAAVAAVPGAGAGRKGGDGECCSWFEQYMQETAALLDFCNAFKAAVSRMHRYCMVVDFAAQVGRAAAAGGADGGSGGAVVSLVAGIADGWASPAAVVREKLTSVKTAVAEAERLGRTVASGGGGDDAGGMFVVTLVAKITMAVVSIFVLQALTSRSPLDVVDAGSPAAAALGCAVVVPELEIWHKSLSLIHGRFPSRATVAEHEKVSMAVQDLISGHGDRQDELVRTNVELLRARSGELREGVEMFDSVLDEVFDEVIRGRNEMLGILRDKALT >Et_10B_003764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5702311:5713753:1 gene:Et_10B_003764 transcript:Et_10B_003764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFVEADLRKSALRVIDKAKQLCAQVSDAVYEVVEGDARNVLCEAVETHHAEMLVVGSHGYGAIKRAVLGSVSDYCAHHAHCTVMIAASASAPEAAAPAPGKMRMVVGVDDSEPSFYALQWAVRHFFLPAAGQPLQYRLVVVTAKPPAACNVGLAGPGAADVVSFVEADLKRSSKRVIEKAKQLCAQVTDAVFEVVEGDARNVLCEAVERHHAEMLVVGSRGYGAIKRAVLGSVSDYCIHHAHCTVMINEGPCTDALPALRVVLPSSG >Et_2A_014828.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:17310573:17310953:1 gene:Et_2A_014828 transcript:Et_2A_014828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPLRRLLLRLRDPPPLPAIPLLTRLAPQIQRAPTPAPAPPLPESAPSEMAPPSLRDALFSFHPGLQIRPCLDPIGEDEVNGEDEVNGGDAAEVWADSVKKKRKRKMNKHKLRKLRKRLRRQT >Et_3A_023008.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:16406569:16407122:1 gene:Et_3A_023008 transcript:Et_3A_023008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVHKAKSSCTFESDMWSLGTVMYEVITGSPLIKGRDPAGMITCMRSLFGTLSNEASTSLEADDGPQADPKWATHGALIRRQFSPQCLEVLHGLLKLDLSERLSAADALEMDWFAGH >Et_3B_029893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28894458:28900700:1 gene:Et_3B_029893 transcript:Et_3B_029893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRLSGRRQQLGYRLQSFHYGLTDKMMKPNNKLKDICFSFLLVGMVQRLNSGQGVPYKVIQCGAPTQITVAQLPSCGRNWMGAPVGLVQPTTDPQHEDPPTTGWFTHADLSFDRMTWLFSGLYDYF >Et_4A_035151.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:1084804:1085079:1 gene:Et_4A_035151 transcript:Et_4A_035151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSAAMDHRAAPSLSSEEKRRIERVARCVARDRDDNLTEKLLLRLLAITRNGRRWGFLAPDHPLHPYYLQQKVSERCRILRPRPTAAADR >Et_10B_002595.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17592172:17592543:1 gene:Et_10B_002595 transcript:Et_10B_002595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNWAVLLFVCAVICSHQVNGECTIKQKQDVLNHCKPCLYIPDPRVSKADLYACCYWVTQVPNRDMNCILNKCTEKEKGLIVVDNLLQLEQKCRFMDHLPPTGPATPPPRPHQVGKLACTCQ >Et_3B_027787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19097633:19098958:-1 gene:Et_3B_027787 transcript:Et_3B_027787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFSKKRKPDENGAAAAVSPAGGAAALGLTRDDVLRLLEPLSRDQLADIAAAAALVSAAALDAVRAAADRDPALRKLFVRGLGWETTSDSLRAIFSAYGDLEEAVVITDKTTGRSKGYGFVTFRHADSAVLALKEPSKKIDGRMTVTQLAAAGAAGGAPPADVSLRKIFVGNVPADMPSDRLLSHFASYGEIEEGPLGFDKQTGKFRGFALFVYKTPEGAQASLVDSVKVIDGQQLVCKLAIEGKKGQQQQQQQMMQSGPQDMSGPGMGLGPQMGGQYGPGGGMPSFGGFGGGLGGPSPYSNLPSSMGGGGAGGLGSMGSQMPTGMGAGAGAFGAGGMGGGSFGGSSQFGSTGMGPYGGLGMGGASQLYRMQQGGGYGEGGNYPLPGSGFRGQDPQGGMSPGPVGRAPPMYPNVPPYF >Et_4A_035068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9217119:9221333:1 gene:Et_4A_035068 transcript:Et_4A_035068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAANYREKGNGVFFCAYFSLKKTKEGHSRLPDALELSPYGKPTDLSTYVYAPQEAHEGTSHTQSLFHLRPLTPPPLHRLGSAVSLPPPPPPDLRRPAAMSASGTPVDASGEPIPTSSVLMAASKHIAVRCRAENLAFLNCKKKDPNPEKCLEKGRQVTSCVFNLLKDLHQKCPKEMDAYAGCMYYYTNEFDFCRKEQQAFEEACPISE >Et_1A_007110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3126218:3127807:1 gene:Et_1A_007110 transcript:Et_1A_007110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKQILRAGTGPKPVKGQKVTVHCTGFGKDRDLSKKFWSTKDPGQQPFSFNIGLGSVIKGWDEGVMSMQVGEVARIQCSPDYAYGASGFPAWGIQPNSVLVFEIEVLSAQ >Et_10A_001089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2086764:2089267:-1 gene:Et_10A_001089 transcript:Et_10A_001089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEFGLASSSSTRRNVVPLANLATWGYEHAGDELVLDADLQTAALSSCSMDTFRLTLPCAVTGRRPEEDTFDFGYALLLNGEEEDEDGNNDHISISNFQGVRHIPRGSPGLRVLHERHQRRLALPCGVRKTTSAASATRTSPAGSTCPYTFGRYVAACYNFLTTAAPTIPCRPAQPRGHVTRVLLDLRGRRRRCSEAAAHRPRIPRRGRGLWLARDGTGEWVLENSIVHAWAALFFF >Et_2B_021116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26677823:26680999:1 gene:Et_2B_021116 transcript:Et_2B_021116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLDWKNDFVFAASLEKQAINPNSGLAWKNHKLRDIDDQCPPSPHPLGMCKARVAAYGYPCEEYTVTTEDGYILSIKRIPYGLKDADNSTGNSTGNPRPPVLLFHGLMVDGFSWVLSTPKQSLGFILADGGFDVWIANNRGTNSSRGHTSLSTKDPAYWDWSWDEIAEHDLPAVLQFVYDNTGGQRVHYIGHSLGTLIILASFSEHKLLHLVRSAVLLCPIAYLNRMRSKLVRLAAHVFLAETVRMLGYHEFNPLGSIARDLLSQVCTDPDVDCYDLFAAVGGPDCCLNTSTTCTFLQHGPQSTSVKTLIHLSQMVRKEGVRRYDYGNEKENMKHYNQSRPPLYKLSDIPNHVPLFLTHGGEDFLGDLPDTRHLLRTLVRQHDSDNIEVLYMPDFAHGDFVMGYNAPELVYKPMVEFFKRH >Et_10B_002707.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:9699616:9699831:1 gene:Et_10B_002707 transcript:Et_10B_002707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQKIVRTSAQGRVSTIAGGSASLRVEASVPSSQARASVSINVTSGTASAQVNAQEPAKKKNRLPQLLNK >Et_10A_001890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19392:20462:-1 gene:Et_10A_001890 transcript:Et_10A_001890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLQLPIATNPSPFFRQGPPLRILVDPILVGDLDFGAPWLFNGAKKDPKVKALGLLEMDQPDLLLITQSLDDHCHVRTLKQLSAMAPDLPVVTTPNAERVLASLPTPFRRVTYIEPGQSAAANQHVRILATAGPVLGPPWQRPENGYILLPNDDDDDDGGGGLLYYEPHCVYDRSFLDANRLRAEVVITPVVKQLLPANFTLVSGQEDAVDLATLLRARYVVPMSNGDVEATGLLATVLANEGTTHSFKAMLSEVLPQAQVLDATPGVPLRLQLHMPLQPQAAAT >Et_3B_028133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10695972:10706755:-1 gene:Et_3B_028133 transcript:Et_3B_028133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRLPAAILTVLALALLAAGAGAVEILSMSHVEECVRDLDDAGDHLSCDNKIVVEVDVPTGSSGGEASAVANIAETAVENDNGTTVTKYIRDPPVVTVSKSAVYAEYDLVYLRDVAYKPVEQFVETRKCEPDAVLMLRNENGSIIEHTEPVCCPSCGDFSKLNDYVCIFSYFFRTLPADTCFTIINFVVDTMAHGKRNTAHCVRFPGEWYHVFEIGKWSLGFSIRVQVKKGSSISEVVVSPANRTVLSGDKFLGANLIGDFAAYARIVPSFENFYLVTPRKGASTDHPADIGTEYSRWMLLDRFHFTYNDCRHLFQDDQNRVKKGEQPQFIVEGRYQRINQHPNAGVHSFSVGVTEVLQTNLLLELKADDIKYVYQRSRGKILRVNVPPFEALSQVGTAKVTTKNIGKLEASYNLTFNCSSGINLLEEQSFTMKPEEASIRVFNLHTSTDQAAEYQCTAILKASDSSRLDRKEYRFSTAATVLNNGTQNGPPDKQKKGGTMGFLETVKAFLQLLWDSVLSPFHFAIWHASTKLSKCSSFFDFRCQFQYLRIGWVAISVLSLATVLTVAMLAWLLHRKGYFDPMYNWWKDRFGHHRAHLNHKTGHHRHFHLQRHQAHQKHRSEPSHRPHHILHRREEEEPDAAGEGPQHRHDRSALGVQHKDTLHKKRHGKIKPALHLDMDDLGE >Et_3B_031160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19237270:19237881:-1 gene:Et_3B_031160 transcript:Et_3B_031160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAGAGAAEVSIRMPAEAGELQRREESAGAKLERRLNRFVRFVAFGEWAGNAFGALAFLWATGVLLGGFCTSLKPKDFWFATIMIFIEAFRITIGVSTTKRGRGISTQISTDTFGYFAIPNKKEK >Et_6A_047690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9777015:9778509:-1 gene:Et_6A_047690 transcript:Et_6A_047690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YQGPAASALQLSTFTKVSSIPRNKRYPEFPVTVSLAAPGADRPVRVPVDIVAAIDISQSSRTNDPMLELEKHVLRIVIEKLGPADRLAVLPFDHEVRDKQGDAKEMATLVAMSREGSKKVKGLVEEIKYSAGGGTVLVKPVNKAQKILRERADPDRAAFIILLSDGGDRTVLEDKVWERESELKAGTPKPKSYYPVHTFGFTKHDPETLGHIAQKTNGTHYAGDIACIGDLDPFDAAFEALLRTAASRPFEAAAAAASLAAVHPGVTIKRIDAGGERKAVVSGDARASGVDVGVVGAGETPEFTVYLDVPEGDDDADVMEVLAVDGAYTQRWDGKVVNLGRSVVSVERPAPTPPKQDPEDVTPSKQDSDATPSKQDPDVTPSKPEPQDPCKPLYEIEERLKYWCKVKADLSAMDGKADASIGTKCDCHFTAVKREAALESINRGMHHDIYTASALLVTHR >Et_2A_014499.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1770516:1771436:-1 gene:Et_2A_014499 transcript:Et_2A_014499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVAAAEDGCAAAAAGEGATALSALRSASSFSRRLFSSARRSHQRLRYSQSTSVCFSLVRARRFWNHTSTCRGRRPSRFASATFCFCNQREEEDRILISHPSTTAEHRSAARKKKRREQGAYGVERAVRLEALLEHSGLVLGQPQLLAPAGAVRAVLLVAAGAAHAAGARALEQLLPLPLVAAAVAARERGGAVGRRGCAHRRPGLVIRVHRRRRVLRVPPTHVTNDGAERGGPGREVAGGGGGRQAALRGEPAEAQAQIQALLLLLLRHHHLWWRDGRRCGLCRRLLNGERPESGGEQTHDVVV >Et_9A_061875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17357925:17359679:-1 gene:Et_9A_061875 transcript:Et_9A_061875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NLDEKVGRVVDLHIPRDKETNRSKGYAFAEYETEEIAQYAVKLFSGLVRLRNRTLRFAISGQDKPSSNGNNPVTPRLNPVPQPKPPQLMRSSDTPVSHHTVNGRIAGYGISPNHSYDTHSQAPSSGLPSRGLSNGTYEYSRRVFGSVMDDVSRQSSREPIPYPWKLVYLQSMELQDSDDRVCNDNE >Et_2B_020901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24699562:24708752:1 gene:Et_2B_020901 transcript:Et_2B_020901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPPMQSRGGGVLGRRAFASILAAAVVALALLCIFYGAAFAPTLRGGRPSLRLAQLGLRARMTTPALPADLALSSIPVCDARHSELIPCLDRELHYQLRLRLNLSLMEHYERHCPPAPRRLNCLIPPPDGYRVPIRWPRSRDEVWQANIPHPHLAAEKSDQRWMIVNGDKINFPGGGTHFHTGADKYIVHIAQVPDDKLNNGGNVRNVLDVGCGVASFGAYLLSHDVIAMSLAPNDVHENQIQFALERGIPATLGRDGILLLEMDRVLRPGGYFVYSSPEAYALDPVNRKIWGQISDLARRMCWRLASKKNQTVIWVKPLSNGCYMRREPGTLPPMCERDDDPDAAWNVPMKACLIPYTKRVNRVKGSELLPWPQRLMAPPPRLEELGIHSNNFSQDNEIWHSRVIQYWKHMKSEIRKDSFRNVMDMRANLGGFAASLRKKDVWVMNVVPFTEPGKLKIIYDRGLIGTIHNWCESFSTYPRTYDLLHAWLLFSEVDKQGCSLEDLLIEMDRILRPHGYAIIRDKASVVNYIKKLLPALRWDDCAMAELRHATTAATRASGSPSKRDAETASASSPFIASPRGGGKDGPRASLPLHQRWPLPPPVRSLLVLEDPRSPAASTSYRILVAGIACLALAALFSAPSVWSRLNAPYLCWKEGIRLHCPRVSERDSLWENPHAAATSWKPCAEHLVPENETSGFIFIHAEGGLNQQRIAICNAVAIAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPDWFTEKEELFTSIKRTVKNIPKYASAQFYIDNVLPRIKEKNIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPDIEEMADKLASRMRNRTGNLNPYMALHLRFEKGMVGLSFCDFAGTREEKAMMAAYRKQQWPRRYKNGSHLWPLALEKRKEGRCPLEPGEIGIILRAMGYTKETQIYVASGQVYGGSNRMAPLRNMFPNMVSKEDLASKEEMEPFKKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGYRRYMGRHRLKSIKPDKGLMSKFFGDPYMPWATFVEDVMITHQTRTGLPEPTFPHYDLWENPLTPCMSAHMLSAGAAEAPPIAATPAVMQDNSGGTVRL >Et_4A_033929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28052125:28057760:-1 gene:Et_4A_033929 transcript:Et_4A_033929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIRAPASKQTATLQVAVKCRPLTDNEQRRSRHIIQVIDDKTVVVLDPDLSKDYLDLIQNRTKEKRYTFDHVYAPGCSNSEVYKNISSTIAGVVHGLNATVFAYGSTGSGKTYTMVGTHSDPGLMVLSFRTIFELIKKDDSPDTFEVSCSYLEVYNEVIYDLLEKSSGHLELREDPEHGIIVAGLRSIKVHSADRILELLNIGNSRRKTDSTEANATSSRSHAVLEITVKRKQKGQYGSQVLRGKLALVDLAGSERASETNNFGQKLRDGANINRSLLALANCINALGKQNKKGLAYVPYRNSKLTRILKDGLSGNSRTVMVATISPADDQYHHTTNTLKYADRAKEIKTHVHKNIGTLDTHVEDYKRMIDNLQVEVSQLKKELADKEHQLSVKPMEKAADSELSWLNVLSQETGENVQERINLQKALFELEEINKRNRMELQHLDDAIARHQVKEMDSTVLQALTSRRQVILDNIRDNDEAGAGYRKDIEMNESRRRQLQDMIEEATSNNGNRTYLHILSQYRLLGMTNAELQIEMAMRDQVIHNQREALRSLWNILYGTGLNQKQILKLAAKQGLTVEGCPLPSSSPDVTTPPSFPSHRRPAPFMSFPSPQSEPYSPSACFFQHGFSTMSYLKNQHETPTICRQEHLSSYYMMSGTGCSPYSVDGKQWSSGKSVPFFCTPEKPKEMAGFYHGTENAESQHNKQHSGNQGFSMHRKVNCFLYTNLTERVIMHSISIQKDILSVKNPKGKNKNHSYTSLLSLQIVASSTGYISLP >Et_1B_010633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12346524:12356440:1 gene:Et_1B_010633 transcript:Et_1B_010633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQHQQHGADARHQAPQPAVLGSVDWVGFAGRVDLQAPAHAASPSSYLLPPAPPLDDRAAAQPEPKPSKTGQHAGAVDEEGHMALAHQNYRSGKYREALEHGNVVYEKNPRRTDNLLLLGAIYYQIRDYDMCIAKNEEALTIDPNFAECYGNMANAWKEKGDIDLAIRYYLTAIQLRPNFCDAWSNLASAYTRKGRLNEAAQCCRQALAINPRLVDAHSNLGNLMKAQGLIQEAYSCYIEALRIDPHFAIAWSNLAGLFMEAGDLDKALIYYKEAVKLKPSFADAYLNQGNVYKAMGMHQDAIMCYQRALQARPDYAMAYGNLATIYYEKGQLDMAIRCYNQAIVCDPQFVEAYNNMGNALKDAGRVEEAINCYRSCLALQANHPQALTNLGNIYMEWNLISAAASFYKAAISVTSGLSSPLNNLAVIYKQQGNYADAITCYTEVLRIDPAAADALVNRGNTFKEIGRVNEAIQDYIQAATIRPNMAEAHANLASAYKDSGLVETAIVSYKQALRLRPDFPEATCNLLHTLQCVCDWENRDTMFRDVEEIIRRQIKMQVLPSVQPFHAIAYPIDPMLALEISCKYAAHCSLIASRFGLPPFVHPPPLPVKAEGKHCRLRVGYVSSDFGNHPLSHLMGSVFGMHDRGIIEVFCYALSQNDGTEWRQRIQSEAEHFVDVSAMTSDMIARLINQDKIQILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGATYIDYLVTDEFVSPTCYSHIYSEKLVHLPHCYFVNDYKQKNQDCLGPVCPHKRSDYGLPEDKFIFACFNQLYKMDPEIFDTWCNILKRVPNSALWLLRFPAAGETRVRAHAAARGVRPDQIIFTDVAMKNEHIRRSALADLFLDTPLCNAHTTGTDILWAGLPMITLPLEKMATRVAGSLCVATGLGQEMIVSSMKEYEDRAVDLAQNPAKLQALTNKLKEVRMTCPLFDTARWVRNLERAYYKMWNIYCSGRHPEPFKVKEDDTEKPCELAEYGSLPLRQLDHEKTLVPLVESRTKSGSTAAIVSELGPRVKERATAGHGGMEVSPVAWKSNRQPGSSAPEKKKMISSVKRKTGRRLAGHKVVVGKGSRAAAAGGAASGHGGMELGPMAWKCRRRLGSSTPVKKKMEISSVKRKIRRGLAGKVTMLEDFIVKARGLAAMERDEGAHMQACGVGS >Et_2B_021683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4406860:4410302:-1 gene:Et_2B_021683 transcript:Et_2B_021683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDEIPPEGSENQQRRRKRYHRHTPRQIQQLEAYAQRSRLLFFFSILFVLFFSSSSLRGGDVGFAVVWRSPSSRRDRSTECNGVRCVRTCRMFKECPHPDENQRAALSRELGLEPRQIKFWFQNRRTQMKAQHERQDNCFLRAENDKIRCENITMREALRNIICPNCGGPPIGEDFFDEQKLRMENARLKEELDRVSSITSKYLGRPFTQMPPVPSMSVSSSLDLSVGGMAGNKWMEFFPGIVSKAHTVDILVNGMCGGNESLILMHEEVHLMAPVVQTREFSFLRYSKQVEPGLWAVVDVSPDRQGDAPYGVPTSRSRRLPSGCLIADMGNGYSKVTWVEHVEVEVPLNIDVLYRNIILSGAAFGARRWLAALQRACERYASAAALLAPHQDPSGLTPEGKRGMMRLSQRMVSNFCSSLCASPMQRWSLLSGTYDLLVRVSSHRAAEPGHPSGVVLCAATSIWLPVPGDHVFAFVRDESARSQWDVLSNGNPVQEVSRITHGANPGNSISLLRSVNSGPNSMLILQESSTDASGSLVVYSPIDIPAANIVMSGDDPSAIPLLPSGFVILPDGQQGAGGASSSSSPLASASPGCVVTVAFQILISSLPSARLSTESVNTVNSLISTTVRQLKVALNCAVP >Et_2A_015413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12129886:12138139:-1 gene:Et_2A_015413 transcript:Et_2A_015413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVDPAAPLLATCGGDTVKLFDVTVESGDPCVLAYTPAPGNPVNAVKWNHTNLIVASAGDDKKISLWHKKGQNVGHLPTSTVDRGDDIEECIYSISFSNKGSRYLCSGGSGHIVRIWDLQRKRCIKWLSGHTDTITGVMYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRNSRHLLVTAGDDGSVHLWDTTAKAPKVSWLKQHSAPTSGVCMSPSSDKIIATVGLDKKLYTLDAGSRRPTHTIPHEAPFSSLAYNDDGTILAAGTNSGRVVFYDVRGKPQPLTILRAYNSSEAVTGLCWQRSKPVIVNENSSSEVALLGGSSEESVLMPDPLPSTTTSFPSGAVTPNLRSSSLTSNSSTLEETPYRTRSISGGPLSKLQAPRGAYNLKDDMDVFSPLVDVQPFTPSSGSWWDEQGSDDTKKYDKPGEKKPSTTRKFPYTEDNNEPHPISDWRSIANSRQDGTSSMTTASMPSWKSEPSISSPETSTVTALPDRLTQRQQISRFGASAFPTGSLALSGLQDSASTASHSLKGSVTSNILMNLQNKGILSNAHASLATSSASLQSGYVWRVELGSGEVGRPDKGSAATKKGEPTAAASASLI >Et_4A_033340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21676601:21679875:-1 gene:Et_4A_033340 transcript:Et_4A_033340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSGGGTGGGATLSEMYQNARRLLLSARDGVARVERLASAPTSSSYSSSTPLVGGGAAGVPAVAEEVRREVAQIQTLCAQMDRLWRSIPNKGQRDLWKRKVEQLSEEVDSLKETLDRHSLRQQKRILEAKERAELFERANGESSHVLRIFDDEAQAMQSARSSSRMLEEAYETGVAILHKYADQRDRLKSAQRKALDILNTVGLSNSVLKLIERRHRVDKWIAYAGMVITVVVMIVFWRLTH >Et_5B_045636.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5914290:5915201:-1 gene:Et_5B_045636 transcript:Et_5B_045636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKAHGGPRRRRFLNISTGECIRMDLPELDEHILLALTPEGLLLLLHEPTLVVRLLNPLTRQLTDLPPVTQLLPPDFGPIVESDDLGVYGVGVTEDSTVAVCFGYPKLLAVAKPGDKHWTVVCKEYLDSTLPFAGRFYCCVQNRVMVLDTSSCSNQPRLLAAFEGDYSFLRFSPMTDHLHLVDNAGELMLVHRLLYRQDPESNFIRTHKAYGVDLDAGTLVPAKAFGGRAVFIGQCRTISVAAEAFPSVEGDKFYFGFDCDETCALYQSDGYKLEDGSVVAYPCSVINCLSHCIQGSGDHLA >Et_1A_005540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11277652:11280521:1 gene:Et_1A_005540 transcript:Et_1A_005540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGQVVIGPPGSGKTTYCNGMSQFLSLLGRKVAVVNLDPANDALPYDCAVNIEDLVKLSDVMDEHSLGPNGGLVYCMDYLEKNIDWLEEKLKPLIEDHYLLFDFPGQVELFFLHSNARSVIDKLIKKLNLRLTAVHLIDSHLCCDPGKYVSALLLTLSTMLHMELPHINVLSKIDLIENYGNLAFNLDFYTDVQDLSYLQRHLDQDPRCAKYRKLTKELCEVIDNFSLVDFTTLDIQDKESVGNLVKLIDKSNGYIFSSIDSSAVEFSKIAAAPLGWDYFRYPLFVLLIILSNMSFIYHNLHAIIVDPEGH >Et_2B_018948.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18400490:18401658:-1 gene:Et_2B_018948 transcript:Et_2B_018948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRLSVPITVSPCCSRCWPDYISASTCQFPLQFKHTPSSRLNNSSVSPSAMTKKLVPTVETKQDRKAQFDNQGIQVSNHADGRTISQEQEHNIIVAALQHVLSGYTTAPPEVVAVASGDACAVCGIDGCLGCDFFADGHQAAGGASEVNYGTASVAPGATGAEKRQRRRRKKNMFRGVRQRPWGKWAAEIRDPRRAARKWLGTFDTAEEAARAYDRAALEFRGPRARLNFPYGQQEEPLPSGGAEESAPGEWQLGGGADGGEGDELWDGFQDLMKLDETDFWFPPFPGAAS >Et_1B_009640.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10142957:10144901:1 gene:Et_1B_009640 transcript:Et_1B_009640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNHSACKLPWRTLRSRTLQILWRDLRVLVRFCAEVHVGRVGHEIRTCEGRDSAARNSLHVWRPGTVRDVVGFPYCYHLFDRVGKPRVVHKEKYDVPRLPAILELCIQAGVDVKRYPTKRRTRPVYSIEGRIADFEPDEGDDSEAGASPDPECTPLSSPPAEPIAISSTLVDGGNGEEKVEEEITVPELASRTLQSWLDMRSGAARLMKKYRVHTCGYCPDVQVGPKGHKVRMCKATKHQQRDGQHAWQEATVDDLVRPNYVWHVLADQDGAATPLANELKRYYGKAPAVVELCVQAGAPVPAAYRSMMRLDVVPPARDEYDLVA >Et_4A_032990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16572361:16583675:-1 gene:Et_4A_032990 transcript:Et_4A_032990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSAPPPRFHLSLNLQEPLAKPSPPPSRRPRPAPTTETLRRRLRRKGVSPTPKILHALRKKEAHKALRRARKDTAAAAVAPRDEALDTEDEKARFHAAAAEYRALMGRPWDGGARGVAPSRGGGLGDGEGLEGLKDMLAARRGDGFRWLLDDDLEAEAVPWKQRSPGADWKADAGDEERRIEWLVTRLNEDDLTLCDWRLTRMMRKADLLYNEDNLLKILNGLEARGNWRQALSVTEWVYNENNYRHRKSRFVYTKLLSILGKSLRATEALRHFTIMRGDAQIYPDMPAYHSIAVTLGRAGLLNELIKIIECMRQKPSKKVMKMRRKDWDPSLEPDVLIYNSVLNACVISQQWKGVFWVFQQMRINGLSPTGASFGLAMEVMLKAKKYDFVQKFFEKMQKSGVPPRAITYKVLVRAFWEQSKINEAVAAVTDMEQRGVVGAASVYYELACCLCNNGRWKDAMLQVEKLKQIPLTKPLEYTFTGMILASYDGGYIYECISIYESMKDYCTPNVGTVNVMLKVYGRCDMFGKAKDLFETTKACFSNSQTFGHEHSLLKADRYTYSSMLEACASAEQWEYFEYVYREMALSHQHLDQSKYAWLLIKASRAGKPYFLEHALDSMLERGEIPVVQLFTEAISQYIAQRDYDRTLQLLNVMSDASVNVSELQWCDLLQKNEHLFSTDVLQDLLKYFSTRDTVSANTSLSFIRALQSQCGATSVKGTSLMAEGAGNDEERDQLSYKNVYSSGLFDVEVSREVPHSDFDVEVCSSGVTRRDISPCAPRLENKHEKCVLGQGGTQVSAIDEVLDSMNSYGNSSYGAIPSASEILETWEHAWINDVFAPKTGSTNTLGG >Et_1B_009788.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26895555:26896655:-1 gene:Et_1B_009788 transcript:Et_1B_009788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ADLRSLPPGYRFVPKEKELVEFYLLPRARGLPDPFPGVDITDDDTAASTQPWKLFKRHNRKEDDEPYFFVHSGDAKAGARQDRLVDGGFKWKSQRRVPGVLEIGGEKIKWTKHILSLHHGDGGSSLGWVMHEYTISEPNSAAVKICHISFTGHGQKRKRIPEGYDDCESEPESQRARIDATPLDSWTPTTCNQETEHVSSIAMEQDQLHGENCLVESAPQRSHVAAAPSSSPVMTGSFDQETESVPYSTMEHLEGEECKDESASGSSGTTASMLDQDSASLAHQDPSDDFITEMVDEMTDAEGTLELNEQGTMRTQQQQQEGVVMPLPMVQESGTADGLYGYPQFCRMPDMLAGSLGDVAWVDFCF >Et_1B_012313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30867808:30879039:1 gene:Et_1B_012313 transcript:Et_1B_012313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSPLYIPDSRTHHQNTQHGMQFMPTFDLAHCLAMRQQFTSMDRDITADGNVSQRQSHLRRKRDLPQTSALPMFTENREFGSGNIFMDPSFFNKAKEPGLHENKCSLKPPKFLVENSKRPHHRTVDLPVQCGDFFITSLGEIDNRTSYHNSYQIWPVGFTSYWHDRVTGSLFECEVCDGGNFGPMFVVRRLPCSLFPLPDASTILCPNAVRKADTIETKESSSLIEDTATDTDDNILMLISEPSESNQDILSCLSNGMNGKKTSGCSNIPSSNIAMPNIWSQCGPSSQALTKEANLHDRIGEFTFEGTSPSSVWRMVSCALMEACEKMYKEHGHLAFFCIHSSENPSFDCGSGPQNTDGPHSPLNSLCSSYGPSIPRFIEKENDVKSACALLKEWLYHDRIGFDLEFVQEIVESLPKSRACSNYQFLCDRDGVVSSLTVASGTLVAVNKNGSSNGDFVPYGRHGSVASGAHDRAQPSSFSIRELPPGNPISRKLPPEQAGDVFQILEFLGRFAEILGLKEVPSIEQVENELIDPWPISANQKDIQQHRDHTPMNSPANVSISNSNGESVLSTNEDMASVFVPVETSSTEEAAKDMVAAQTIGRCSGVVLPEIHLALLRVLFSELLSKVAIFVDPKIDLKESKSKRGRKRDADSITKELKIDMLTANMLTWPELARRYIIAVSSMNGSMDISDVSSREGVKLFRCLQGDGGILCGAVPGVVGMEKDAMLLAEAESLICNSSANEGNKIFMMDYKDTDVITSPGEPTSDMKTLPGWVESLEPVRKLPTNVGTRIRKCVYEALERKPPEWARKILEHSISKEVYKGNASGPTKKAVLNVLAEACRVKVSQNPEKPRKEKNIISISEAILKKCRIVLRRAISSDESKVFGNLLGTTLTNSNENEDDGILGFPGMVSRPLDFRTIDIRLAMGAYRGSWEAFLDDIQEVIRNLRTAFSDRSDMLEMVVRLSENFETLYKTEVLDLVQKFDKYICNENASSEISEELLDILTAASNVPKAPWEVGVCKTLNKLATAMEEKEYWELSTPERIYLLKYLCDEMLSTALVREHLDQCSDKSGDLQQKFRSWNYELKELKYKVEIRTSCARQNKWTTNEHLGNSFGLVENQQRGIPPVSGNLEEVERVNVGVNLNHPADGTPAGNAGRPDKTDTDISSTSSIEGTKSLGLCKQPSGVTTDRIDEGAIGEGSLSCEKSLGGTVNITPNEESPDKNASTFQDNLEASTSRVVDHDADNNEMNILLGRISQLQDSINTVESQLTMTSLRRECLGRDSVGRLYWVITRPGKRPFLVADGSMLIPKHGDISMVSSYPQSTFDCRGWNSASVIMYESDEEIKCLIEWLRDFDPREKELKDSILLWQRLLYLQASCPIHSDPPVPKFSNGEPPMDLPNTKALSILEQKYGPLLDLDTGDLSKRRGNKAKSGSEERTYRCDCFEPIWPSRYHCLTCHETYLTPTEYEEHNGGKCNGINTSPNEIKENDDPKVKGTKSDPKEKDTADNNCSTEPSSNKKLETCPYDFEEIRRKFITNDSNKETVKDIGLNGSNGAPSFVPSPAFFLEAPVLQSQNRRHDDMPKDWTSSLEECKAMSEMIEQEGSKSVHDCPGNSGDEQVTKSRKPVGNNTAAEEASSATNKPTRLLAVNGGLVPESSLRPVIGRNSHILKQLKINLLDVEAALPEEALRSPKSQQIRRRSWRAFVKDAESISQVVLATSLLEGMIKAEFLKNDWWYWSSFTAAIKTTTISSVALRIYTLDDCIIYMKDPASNTEPADNAKTVNKAKRRKETESSIS >Et_9B_064501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15977588:15979646:1 gene:Et_9B_064501 transcript:Et_9B_064501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSAACAMESAAGVGVQFFEWLKPRSSSSPLASPSSFSSSTSSSTLSTPSIDRSVIGDGLVATSLCLPLLGRLEERSTSYDQGSNNPIKEEMNNNISTTTGTGAESGLDLNIGLPAIDDYTSDSEEATMDEEDEEEDSEEEEKPARQHEVCKEEGGEQVRIQMMEGSDDCLRVCGEESMIKGIFSSRGRRYWIPTPAQILVGPVQFICHVCSKTFNRYNNMQMHMWGHGREYRKGPESLKGTQAATLALLKLPCYCCAPGCRNGVSHPRARPLKDFRTLQTHYKRKHGAKHFGCRRCGKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGGGHLPVVAPADQQQQAPTAAAVTAPQQPAKQRIIRFDQAVPAWSVTHA >Et_9A_062136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:202743:206787:-1 gene:Et_9A_062136 transcript:Et_9A_062136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATAALAARSKVQAFLEAACAGDLDSLKKLGAALDEEGQGAAAVAAAVRDANKRTALHFAARQGRTDVCAFLIDQLGLPVDPKDDDGETPLIHATRQGHLDTAQYLLDHGANPSVASNLGAAALHHAAGIGNVELMKLLLDKGVDVESESDAGTPLVWAAGHGQQDAVKLLLEHNAKPNTETDDGITPLLSAVAAGSLPCLEVLIQAGADPNIRAGGATPLHIAADSGNTEVIKCLLKAGGDPNASDDDDLKPIQIAALSNNREVVELLLPSTSPIPGVSNWNVDGIIEYTLSKEVEEKAQVNEATYLKSARPQPVEVSSDAKRKSLEAKSRGDDAFRRKDYQVAVDAYTQASELDPNDATVLSNRSLCWLRAGQGERALEDAKACRALKPDWAKACYREGASLRLLQRFDEAANAFYEGVQLEPENKELVSAFREAVEAGRKFHGTDKPNPTQ >Et_2B_021888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6611248:6615074:1 gene:Et_2B_021888 transcript:Et_2B_021888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQPHVILRTNHPKSHSAIASQFPNLPFQLKTMASSKLIALYFAFAVAAAHLPSSEARPQVLKPDCADAAQAPHPAPVLPGNPPPAPQAPVVAGGAPPPPPQPTPLLGMMTCMDYLTNLTVLTPPSTCCDGLKSVIKDAPICLCHGLNGDMNSMLARPIDPVRMMILPLTCGAMLPLQTIFSCGIKSFSCMSSVVYVDYVCIYIDMVVVFDSNNCSAYNAPGSFGSTNSVTIEEITADRCLLINDYLEEEVAVHEPAGVSESNKLQDFGELLHQAHKGFSQFRSRFNQNTQQHSPDTYQWHGVDGADNHGRQPEGNDGATAVQQHGGLVLNCVPLSKGTTMT >Et_1B_009766.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25586499:25587505:1 gene:Et_1B_009766 transcript:Et_1B_009766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRLFSSGSDDRADASSAGRRLYNPYEGLSIPYRQLYDLPTSPEFLFQEEAIAQRRSWGENLTFYTGAGYLTGAVGGAALGLRHAAAGAEPGETAKIRANRVLNACGSNGRRLGNKLGVLGLMYAGMESAMIAYRDRDDWINSVAAGLGAGALFRAANGPRSAVVAGALGGVFAGGVMACKQLARRYGPAI >Et_1A_007167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31576274:31578650:1 gene:Et_1A_007167 transcript:Et_1A_007167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFIQGSKRPRVFRSSTSHPAATPPADGRNLLASLPREQIDEVLRHLPIKDAIRTSALAKVWRNSWKTCPRLQLVFFSDDPLGVVDSVLSKYSCCVDVFEVHFTKESICMLEGWFHVLSAKGISSIELYFVPATFWEEALVPNSLFMCTGITKLVLVFCKLPPLPATFQGFPQLEHLHLREVIFPNNGEMMFENLISMSPSLITLDIQFPRFEGHKRDHIYDKWVIQAPKLEDFSIRSDDDCGWQIIELPSLVTANVELEGPQITRILSGITKIQKLYMDFTDDTILEKLPSYFVDLKYLSFHTTFTQSSRILSIFCILRNAPNLEDLEITIWQEEDENIEVDMEFLNAQSSVGLFPKLKSFNFHAIIGQSNEMQFIEFVLSKAERLEKIEVFVRDDSKKSIDVVSSQLANYEKASPQADVVISRFNGYYIKGPETDDDEESESI >Et_4A_033050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17706963:17720454:-1 gene:Et_4A_033050 transcript:Et_4A_033050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRAGGGSMEIVPYSGDLELELPTGEESWVRTLRLAFQCVGILYADLGTSPLYVYSNTFKYGLKHEDDVLGVLSIIIYSFILFTMIKIVFIALYANDEGDGGTFALYSLISRYAKVCLIPNQQAEDELVSRYKHHTKPSATLRRAQWMKNLLETSKAMKITLFFLTIFATALAISDCMLTPPISVLSAVNGLRLRADHLTTDQIVWITVGILVAFFSVQRFGTDKMGYTFAPLVFVWLLLISGIGIYNLVKYDIGTLRAFNPKYIIDYFRRNKKKGWVSLGEILLCFTGTEALFADLGYFSIRSIQLSFTFGLLPSVLLTYIGQAAYLRTHMDMDIRNAFFNSIPSTLFWPTFVLALIVSVIGSQAMVSCAFATMSHLQALNCFPRVKILHTSRRYSGQLYIPEVNFFLCVSACVVTISFRTTGFIAKAHEICVVLVMVITTILMTIVMLLVWKVNIFWIAVFFIVFMSTECIYTGAVLYKFTHGPYVPIVISAVLMVIMIVWHYIHVKRYKYELEHTVSRDEVKDLLERPDLKRIPGLGLFYTELVQGIPPIFPHLIEKIPTIHSVIVFITVKRLPIPHVDVTERFLFRQVDPKEFMVFRCVARYGYRDTLEMATDFVPILVEYLQYYVRDLSLFGVGDQLKIVFHSARIDSFSWEKPPGHGVYAEEMLTPIQSFSELTMHPVGMSSRFAHFQTGKMNLEEMLRIEEDQKIIQREMDNGVVYIVGETEIVAKPHSNLLKKIIVNYIYNFLKKNSRNGEKMLSIPRGQLLKVGVTAGKEEIIVVDVESELGVPPVQRQDSLYVDATRDARGSHHGKESWAKTLRLAFQCVGILYGDIGTSPLFVYSSTFRDGVGHPDDLLGALSLIIYSFLLFTVIKYVYIALRANDDGDGGTFALYTLISRHARVSLIPNQQAEDELISKYNRGKPPATLRRAEWMKELLETNKTVKISIFLLTILATAMVISDAVLTPAISVLSAVGGLREKAPYLTTDEIVWITVGILVFLFVIQRFGTDKVGYLFAPVILLWLVLIAGVGVYNLIKYDTGVLRAFNLKYIIDYFRRNKKKGWVSLGGILLCFTGTEALFSDLGYFSIRSIQLSFGFGLVPSVLLAYIGQAAYLRLHPEDVANTFYRSTPSVLFWPTFIIAIAASIIGSQAMISCAFATISHSQTLGCFPRVKILHTSRQYSGQLYIPEVNYLLCLGACLVTISFKTTEIIGEAHGICVVLVMIITTILLTIVMLLVWKISIWCIVLFFVVFMSSESIYLSAILYRFAHGAYVPVAMSAVLMIVMIVWHYVHVKRYNFELEKSVPRDKVKELLSRRDIKRVPGIGLFYSELVQGIPPVFPHLIDKIPSIHSVLIFVSIKHLPIPSVDISERFLFRQVDREDYKVFQCVARYGYRDPFEEGKDFVAALVERLQYYIRDVNLYGVGCDAIMMKSASYCSSHVDSFGSHDKPSVRAVYAEEMITPAESFSEHAMHASGKSMRFSQFQEGKMNIEDMMKIQQEQQFILGEMSKGVVYIFGESEVVARPHSSFLKKVVVNYLYSFLRKNFRNGEKIMSIPRSQILKVGISYEI >Et_1A_009210.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:33596468:33596749:1 gene:Et_1A_009210 transcript:Et_1A_009210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSKAPSLVVAASMGAVEALKDQAGLCRWDYSIRSLYHRAAAPRIQAMSAKLSDSMAAAELSRASARRPAAADARMRKAYHLVCWGPN >Et_2A_017044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30456423:30470323:-1 gene:Et_2A_017044 transcript:Et_2A_017044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSLRGVPCGLRGPPAESHRAAAAAAAAPTTGDVFLDLLDANFNKPTKQPPAKRLTENASPTFVSSGDPCLDFFFHVVPGTPAASVTTLLANAWAADPVTALRLACNLRGVRGTGKSDREGFYAAALWMHGCHPNTLALNARRIAEFGYLKDLPELLHRIIHGGVSTRKPGKKARLAASGRAGFVVHGRGGRRRFVRFHHARVPRHTGKKPRRIGTREARIAADMERNRRISDDAAVERRKRRAEAAARAVERYSRDPTYKFLHDRTAEVFANLLAEDMKKLADGKVNNLSLAAKWCPSLNSCYDRSTLLCEAIARRLFPKGSAPDLPQDLEDEYYAYRVRERLRKALPPLRRALKLPEVFISAQAWGDVVYPRVASVAMKNYKELFLKHDAERFGLYLADVESGKAKIAAGALLPHEILESAGDGVADLQWERMVNDLKALGKLNNCLAVCDVSGSMSGTPMDVCVALGLLLSELCDEPWHHRVITFSAHPQLHVIAGKTLTEKAQFIRHMDWGCNTDFQAVFDKLLGVAVAGNLPPERMVKKVFVFSDMEFDEASSRPWETDHEAITRKFTEAGYGDAVPEIVFWNLRDSQSVPVTAEQKGVALVSGFSKNMVKLFLDGEGIASPRAVMEKAISGPEYQALASLRAAAAAARAAAAVPSTGDAFLDLMDANFNKPIKPPAAKTRTENGSPTFVSSGDPCLDFFFHVVPGTPAASVTSLFANAWAAEPVTALRLACNLRGVRGTGKSDREGFYAAALWMHGCHPNTLALNARPVAEFGYLKDFPEILHRIIHGGVSTGTPGKKARLAASGGFVSRVRGGRRGFVNIHKGRTRRANGYGPQRDPATREARVAAANERDRKISAAAAVERRKRRAEAAARAVDRYVRDPTYRVLHDRTADLFADLLAVDMQKLAEGKVNELSLAAKWCPSLESCYDRSALLCEAIARRLFPKGSAPELPEDLEDEYYAYRVRVRLQKALVTLRYALKLPEIFISARAWGDVVYSRVASVAMKNYKDLFRYYDAERFQLYLGDVNGSAWSRISALGKLNNCLAVCDVSGSMYGLPMDVCVALGMLLSELCEEPWHNRVITFSARPELHHVAGKTLREKIHFIQTMNWTMNTDFQAVFDKLLSVAVAGKLPPERMVKKVFVFSDMEFDQASVRPWETDYEAITRKFTEAGYGNAVPEIVFWNLRNSRSVPVTADQKGVALVSGFSKNMIKLFLEGDKVEFVPDKVPSPRDSLRAAAAAARAAAAVPSTGDAFLDLMDANFNKPIKPPPAKTLTENNSPTFVSSGDPCLDFFFHVVPDTPAASVTSLLANAWAVEPVTALRLACNLRGVRGTGKSDREGFYAAALWMHGCHPNTLALNARRIAEFGYLKDLPEILHRLIHGGVSTRTPGKKARLAASSGFVARGMSVRRRFANNHKVRTRRRDPATREARVAAANERDRKIAAEAAVKLRKRRAEAASRAVDRYGRDPTYRVLHDRTADLFADLLSEDMQKLAEGRVNELSLAAKWCPSLESCYDRSTLLCEAIARRLFPKGSAPELPEDLEEEYYAYRVRVNLQKALVSLRCALELPEIFMSAGAWGDVVYKRVASVAMKNYKDLFTWHDSERFKKYLDDVESGEEKIAAGALLPHEIVGSIDGHSKVADLQWQCMVADLRSLGKLNNCLAVCDVSGSMYGTPMDVCVALGLLVSELCDEPWQNRVITFSHRPQLHQISGKTLWEKTRFIRDMDWGCNTDFQAVFDKLLSVAVATNLPPERMVRRVFVFSDMEFDQASSNPWETDYEAITRKYTEAGYGDVVPEIVFWNLRDSDSVPVTAGQKGVALVSGFSKNMVKIFLDGDGIVSPRAIMDKAISGKEYQELARTASALRLRVPPRPCPPPATPSWT >Et_4B_039435.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:15663960:15664352:-1 gene:Et_4B_039435 transcript:Et_4B_039435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPFLLPLLLLLSLAAVALMSPAADAALGGRGARVGGWEPIPDVRDKHIQELGGWALGQAKQQRLAGDGLRFRRVVRGEQQVVSGMNYRLTVDAADPSGRSAPYVAVVYEQVWTSTRELTSFKPAARAH >Et_3A_025198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27849457:27854421:1 gene:Et_3A_025198 transcript:Et_3A_025198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSKQGQESVSTNTGSQPVPSSNVQSNQPQYASMFYSPLPGDWGAQPMFPMGASVPVSSYYIVPMSQQSVQTGASRPVASRPLGVQPLLSRVSLRPPQQVLNIQTSLPTMVGSQPSPSTAGKRSQLAVASPKVQMLKSTPLQSSNKRSAQKEMPSKVQPQQLESVRSKFRESLAAALKTDSDQQNRSQASENVQPDGPAEKMKPAEGDVQQDLMTNTSKDVSTASSFLGTTVDAKKCEEDEKLNSDLVPNTITSVNGGMQLQSNHDSSEDELLAQCMDAADELLQGHGLSWVSDFNGGISEPVTEPNVKRQRISDLDPGVTESPAEFESKKIKSTIEAAIDKDIVSQKAESLASRIEEELFKLFSGVNKKYKERGRSLLFNLKDKSNPELRERVLSGDIAPERLCSMTAEELASKELSQWRLAKAEELAQMVVLPNTEVDVRRLVRKTHKGEYQVEVEEPDGMSVEVELGGNLNNIPSKPVEDQKSKEKTSTEDKVSVQEKSKTSGSSSQVEDGGTGHNDSSGGLDYIDDLILDDTKEPENLPPIPSLDEFMQGLDSEPPFVDLSVETPQQEDNDLEEPDIALVSEELPETEDKASAPEKAASELDKPSPQIKSEPNLESPGHEEGRNSDLTEAREGDDAIKFSPKKDEVKQTNDNLVNPDSALHSKAATLPLIRESIWEGAIQLTLSSLTNVVAIFKSGEKPPLKEWRSFVEIKGRVKLGAFEQFVEQLPKSRSHAIMITELCWKEGSPESGRQHLLQTIDSYISDERHEEDVTDDVPPGFGPGVARDEDDLPEFNFVNSSNPAPNVTAHAYKGRLHVPSARPAEQMRELVQKYGKRSSVQARSWDGDDDDDIPEWNPNQATHQPIRQPPAPQQQPLPPPPSVQQMHPYHHQQQYISPNALQPQVPMSPALSQAYLRTQQLPQQQQTAQAWQQSNAWWPATANTVQHPQYGGLPGDGSVQGYDSGSVGGMAWRPR >Et_3B_028226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11987631:11992313:1 gene:Et_3B_028226 transcript:Et_3B_028226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNILLLLLFLPLAGAQMQVCGNSGTYTAGSNYQSNLNQLSETLPKNAASNTTLFATGIAGTAPDMVYALALCRGDINSSACADCLSPSFQDAQKPCPFNKDAAVYYDYCSIRFSNQNILNTTVNDNISILMNTQNFTVTRLLLFTLLNSTSQFAAQSSTRFATSRMDISSLPTLYGLVQCTPDLTADECAACLQEFPQVTLQYLDGHQGGQIIGMRCKMRYEIYPFYFGEPTFRITYLASEVPAISNTPTGTPVTVYPQPASAPPPGIIPAVPAQEQRGRQSKLWIIAIALPLLAILFCSTFSVLWARRRKKGTANLQDQQSGLDRIEENALAWKIEEKSSEFSLFDLSQLLEATENFSDRNRLGQGGFGPVYKGKLSDGLEIAVKRLASHSGQGFVEFKNEVELIAKLQHTNLVRLLGCCIQGEEKILVYEYLPNKSLDFFIFDEKQSILLDWNKRRAIIEGIAQGLLYLHKHSQLRVVHRDLKASNILLDQDMNPKISDFGLAKFFSSNDTHRNTKRVVGTYGYMSPEYATEGIYSVKSDVFSFGVLLLEILSGKRNSGFHQNGDFLNLLGYAWYLWEERRWPELVEASISKEYIQHSCVYINIALLCVQENADDRPTMSDVVAALNSESVVIPEPKHPAYFNLRVSKAEESGTLVESCSQNNLTITQDPDGR >Et_2B_021849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:674796:676388:-1 gene:Et_2B_021849 transcript:Et_2B_021849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLISSSPLLRRAPVAAAAASKPRAPRPPSFLAAPPFQGGGGLALRPPIASLLSKHRPLTSHQASGGTGNPSVAEAEGEDAGTSCTSRRFFQKVTCAAAVTLLSGIVLTLFQPAWAPPALAHFHPTAKAGTTLFKSELLGSAWTGFLAGCLHTLSGPDHLAALAPLSIGRSRVESAAVGALWGCGHDAGQVIFGLLFLGLRDRLHIEVIRTWSTLVVALTLLVIGALGIREASEEPTPCVAMDNNGERLMEQALPTGKTKVNFATFATGIVHGLQPDALLMVLPALALPSRPAGAAFLGMFLVGTVVSMGSYTVFIGSCTEALKERIPRITEKLTWAASLVAIAMGLAILISQSFGITLY >Et_5B_044194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21255022:21258838:-1 gene:Et_5B_044194 transcript:Et_5B_044194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSFITSLLTSFVIFVALVLVFTWLSRRPGNAPVYYPSVLLRGLDPLEGRRRGTRSPVGWIREALAASESDVIAAGGVDAAVYLVFLSTVLSILVLSGIVLLPVLLPVAGTDHALERSAGFDKGKTAQNFSQIERLAMGNVEDKSKRLWAFLLSVYWVSFVTYFVLWKSYKHVSDLRAAARSTPDIKPEEFAVLVRDIPRPPPDETVKDSVDSYFRALHPNTFYRSLVVTDHTKADKIYQEIEDHKRKLARAEVVYANSKTESRPEGIKPTHKTGLLGLIGKKVDTIEYCSEQIKELLPKLEAEQKITLREKQQRAAIVFFNSRSAAASASQTLHAQVFDKWTVMEAPEPRQIIWPNLSKNIYERQIRQTVIYSIVFLTVFFYMVPITAVSAVTTLENLRQKLPFLKVVVDQKIVKTVLQAYLPQLALIVFLALLPSLLLFLSKTEGIPSQSHVVRAASGKYFYFIVFNVFIGYTIGTTLFKSLTTIINNPPQIVQMLGNNLPGGATFFLTFVALKFFVGYGLELSRLVPLIIFHLKKKYLCKTEDEVRAAWAPGDLGYNTRVPNDMLIATVVMCYSVMAPLIIPFGVAYFALGWLIAKNQVLRVYVPNYESNGRMWPHMHTRIIAALLVYQTTMIGVIPLKKFVYAPVLVPLIPISFIFAYITQMRFYPSFTKTPLEVAQHQLKETPNMEAIYSAYIPPCLKPDKLEDLDVFEDAQSHTTSRAPSI >Et_10A_000826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17917126:17918088:-1 gene:Et_10A_000826 transcript:Et_10A_000826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPCSAIAEGNEIKFSGLYLHHTYSGPSPNQTTIITHSGIGNTVANNWVVGEGLGQNAKVVARAQGLHIYAGNWHNSFSLVFEEERYKGSTLQVMGIPVEIGEWAIVGGTGQFAMATGVIQKRVHEKRNPVCLVRVCEVSGTFGVYDGVNIINSLKLFTNVKAYGPFGQEKGTPFSVPVQGSSGVAGFFARSGKYLDAIGVYVQSL >Et_4A_031976.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8712438:8713133:-1 gene:Et_4A_031976 transcript:Et_4A_031976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITTTLPLCAMRCSTLTTMKALVESSPEVGSSRNRMMGSWMMSMPMDTRRRSPPDTPRRPSSPMMVLAAARRPSWSISACTRLRFLARDSDRGSRNSAEYMSVSATVSIGYRRSSCITYAEITLSSRPSRASPLSVTSPRRLSRWMRSASASISVLLPAPLAPSTARISPSRASPEMPSSRGRVGPGSPPDAAASAASTWRLRWNDRFGRLTLYVRSSKARTKCTAP >Et_3B_031409.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28554305:28554673:1 gene:Et_3B_031409 transcript:Et_3B_031409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGKASKLEFLKLGLPRARGGGPSTTTVRPGGDSSSNDNNTTSPRRVSSSSSSTASPPSSCVSSEGSPEVAAPMVLAGCPRCMMYVMLSREDPRCPRCLSAVLLDFNDDAEQGRPRQRR >Et_3B_029612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26527112:26530884:1 gene:Et_3B_029612 transcript:Et_3B_029612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTPKQAQVNHTTALAFHPEAGTPGPSTQPTTRSARAHPLPPRHPRHTTRRDARRGAIQKWTGSTSTSHPHQRPTHSQKSKPRFAAAAARLLPFPFRPTAFPFHRAPRSGSGMAAAAAAKVAGALALALVLALAGANSEGDALSALRRSLRDPGGVLQSWDSTLVNPCTWFHVTCDRDNRVTRLDLGNLNLSGHLVPELGKLEHLQYLELYKNDIQGTIPSELGNLKNLISLDLYKNNISGTIPPSLGQLKSLVFLRLNGNHLTGPIPRELSGISSLKVVDVSSNDLCGTIPTSGPFEHIPLSNFEKNPRLEGPELQGLAVYDTNC >Et_7B_055231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8506320:8510147:1 gene:Et_7B_055231 transcript:Et_7B_055231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRALQLGVVLAFLLACGCQGVNHEGWLLLALKSQMIDALHHLDSWNARDPTPCAWKGVNCSAPPNPAVVSLDLSNMNLSGIVAPSIGDLTELTYLDLSFNGFYGPIPAEIGNCSKLEVLNLFNNNFVGTIPPELGKLSKLVTCNLCNNKLHGPIPDEIGNMESLEDLVGYSNNLSGSIPRTIGKLKNLRTVRLGQNLISGNIPVEIGECLNLTVFGLAQNKLGGPLPKEIGRLSYMTDLILWGNQLSGIIPPEIGNCTDLKIIALYDNNLVGQIPATIGNIRSLERLYLYRNSLNGTIPSDIGNLSLGKEIDFSENYLTGVIPKELGNIPGLYLLYLFQNQLTGSIPTELCGLMNLTKLDLSINSLTGPIPAGFQYMRKLIQLQLFNNMLSGNIPPRFGIYSRLWVVDFSNNNITGQIPKDLCRQSNLILLNLGSNLLTGNIPHGITSCKSLVQLRLGDNSLTGSFPTDLCNLVNLTTVELGRNKFSGPIPPEIGNCKSLQRLDITNNYFTSELPREIGNLSKLVVFNISSNRLGGNIPLEIFNCTMLQRLDLSQNSFEGSLPSEVGGLPQLELLSLSDNKLTGQIPPILGKLSHLTGLQIGGNRFSGGIPKELGMLSSLQIGMNLSYNNLSGNIPSELGNLALLENLFLNNNKLTGEIPATFANLSSLLELNISFNDLSGALPPIPLFDNMAVTCFIGNRLCGGQLGKCGTQSSSTSQSSNSVSRPLGKIIAIVAAVIGGISLILIAILVYHMRKPLETVAPLQDKQIFSSGSNMHVSEKDAYTFQDLVSATNNFDESCVIGRGACGTVYRAILKAGQIIAVKKLASNREGSNTDNSFRAEIMTLGKIRHRNIVKLYGFIYHQGSNLLLYEYMSKGSLGELLHGQSSSSLDWETRFMIALGAAEGLSYLHHDCKPRIIHRDIKSNNILLDENFEAHVGDFGLAKVIDMPYSKSMSAIAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGRAPVQPLELGGDLVTWVKNYIRDNSLGPGILDKNLDFQDQGVVDHMIEVMKIALLCTNLSPYERPPMRHVVVMLSESKDRTRASSASSPASDHSSKKDS >Et_10B_003866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6948596:6949747:-1 gene:Et_10B_003866 transcript:Et_10B_003866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVALYDECNPVMDWFANSMSESQPTLDEYDDNEPESPNPSRIVIDELQMDADELASFKRKLSFDTEGTKKKRKEGSNKETNGKKKRKVRWEEEEEGSADDCVSDSSQGSQSPIYVESGDSSSGDSEGDGEFQLQSDYLYLSAAVIFFHLCFITVFFLQILFLAKMMTVLLVVRRHVIMELLAKMMVFNLVLHFSSQPLVIENKKAKDVCDIKRFRDDLYERIHKA >Et_5A_042633.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:23027740:23028357:1 gene:Et_5A_042633 transcript:Et_5A_042633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMDMDEVLPLDFDAGLPGMDVAGCGFGYGCDATTTTCGVGAGSGSSAGTSPVVVDASTTKRQADGGGHDDEEETRRLRRKIWNRESARRSRARRRQKTEELERAVAALRAERRALAARLDAAAARALAVRGDNARLLAEAGALRRRLGEAHGQAVLLLALARARLAHTPTATPRSVTSPGVAMMTPPPQQLATGVAPASTMTS >Et_3A_024102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17352372:17352760:-1 gene:Et_3A_024102 transcript:Et_3A_024102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAQSPAETGGKFWSEASDSESDVEDLGIVDPVPVQEQATKLSSPAIRVAETEWQTVRKKTRRRKEDQHRPSGFTKPWRKRSSPKGTRFAEEDYRGRDPACTEAAIHRKFIAGDSPEQGSV >Et_4B_039347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1174100:1175052:1 gene:Et_4B_039347 transcript:Et_4B_039347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVVKLDLHDNKDKQKALKAVSVLTGIDAISVDMASRKMTVIGTVDPVNVVGKLRKSWAAQLDSVGPAKEPEKKEEKKEGDAKKEGEGKKEEGGDAKKEGEGKKEEGDGKKDGDGKKEGDGKKEEGGDGKKEGGGGEKKPAVMPMPMHHLPPQYMNMITADYMNQYRPPPAPPAYPYVPPQYYYVRNMSMEENPNSCVIC >Et_7B_053772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12059435:12059975:1 gene:Et_7B_053772 transcript:Et_7B_053772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVEEGSSESEGGNGNETSVSFTAKIDELEILLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNQLLQMGIVLSTGTLVVSCAITVTGVFGINVHIPLYKTANTALFWQATGGIVGAGAAVFLAALFCYRRSGILQ >Et_3B_029635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26666587:26670252:-1 gene:Et_3B_029635 transcript:Et_3B_029635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDLPDELWARVLELGAASSALGFRDLCCLAIASRRLGRLSLHPPLWSTTLARDFPSQSQPSSSTASTSLQQLHPKSQYKTKFERHKLRMAEARRRAVFEAEARVLACRRRLAELEESMTTEGERMKAAAQELDNLERIRRASVALNVWQPQVVRGRQKQLVQQSTVPVDSRLSDLNMELKVCKQQIANYKNVYNKEKKKLNEYEESLKRAKYHPLQDSNTDTIGKEPHAKRKKLKQQGLQRTQYCRIHLGFSVKMHRRMDSKAMCFMCFIVCPSVHVWT >Et_10B_002800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11390413:11399582:-1 gene:Et_10B_002800 transcript:Et_10B_002800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPRVSYSWPVSTPSPCNHGLNIELPTCGLEITESPQPHYSRQRPQYTSTLQAAAGDRRRSAAPVWPNRRHRRHRSNLFLPIIAYCSHGTMLLHTRLDLRVPCSPTAHHAKPPSWSLQTHAPLPRPRRSLARCANPPLRHIASPATDEGAEEGDGDEEDLGPASAAAVAAAIRRASSASPVRFSRVRSREVEEPRSEGGVAEPSADFRRLCAEQLEMFRLVVSRDAVLSVYVRPAGSYIMDQLELRRVALYPGSSVHERDTVALLGNFSISAGLRAAEAFLVQQQMEVITEFGAVVLPMVKHPFVVGFLVAELPELHDGHAMDTCTADIQLPSGSFMDKSADITPHNKFEGWDFKTSGDKTRNCSQVNEWKHSALMISRTLAMAYVMDQIRAPLSNIRALAKMLSIHTKRSEIPYDIVEDILIQGDQLKDALQQIQDVVYLTKANIVRTDEESLKKIQASPHPSRTLSNYGTVPGNNSLKIDPLRPLNSDKDDMMMPMPPLWLAPLQHQDARPCDLCDLLKDLVEGALPLAYKQQRTIDLTGIGHPLHVAVEEPALRQALSNLVEGALLRSQHGGRVHIYAGEAPAGGTLVIIDDDGPDMQYMTQMRSLAPFGSDLLADGMLEDNMTWNFIAGLTVAREILENYGCVLRVISPRRPDAVIGTGGSRIEIWLPSFQTGLSEIIEEA >Et_3A_024117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17567901:17574487:1 gene:Et_3A_024117 transcript:Et_3A_024117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSVPMLAASRFLSPPLALTTPACSRRNLPCVRAVAQTVEAPEAPKPLRPSPRRSAVAEVKASADPVAALTRFEDVLQTQDCNIILRHCGDTRRWDDLSKVFKWMQDREMTNAASYSSYFKHLGLSRNPARALQVYGAIQDRSMRVHVSVCNSVLGCLVKNGRLDSSFKLYDEMIREGLSPDAFTYSTLLSGCMKLKQGYTKAMELINELNSQGFQMDIVIYGTLLAICASHNYCDEAEVYFQKMKDEGHTPNLFHYSSLLNAYSENSNYEKAELLMKDLRSSGLTPNKVILTTLLKVYSKGGLFEKAKELLTELEASGFAQDEMPYSILIDGLVKAGKIQEATTLFNEMKEKGAKSDGYAFSIMISALHRGGHRQESKQLAKEFEAENATYDLVMLNTSLRAYCSTNDMESVMSMLKKMDDSNISPDNITFNTLIRYFCNAKVYHLAYKTIEDMHTKGHQLNEELCTHVMVQLGKEGLPSEAFSVYNMLRYSKRTVHKSLHEKALGILVSAGLLKDAYVVVKDNAELISPSSLEKFARSFMISGNINLINDVMKALNRSRWHISQDIFGRAIQRYIRKPDKKQLLLCLLDWMTGQGYSVDSSSRNLLLKNAQFFGQTQLIAEVLSKQQTASRTIGQRHKM >Et_10B_003506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2255324:2261434:1 gene:Et_10B_003506 transcript:Et_10B_003506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METEEAPKPPEVEPVGSAPDDAERTAPPPPPPAPTPDPAPAPPAAAEAAAPESVLPAAVSPPPAEVNGSFDRRKKRKADEGDGSKTCSCKKSKCLKLYCMCYASGTHCTESCGCEPCHNNKPIQGAPRIAPAPVLPVKFAPTLEAGQDTAEQLIRSPMDLVRRKCTCKKSGCLKKYCDCYQGGAGCSINCKCDDCRNPFGKKVGVILDVKSSLGAPLTLNEGNGAEVDSSDDEEDYYMNRPLSPIPPSPVSRESSFQQETLVGVEVQTMNGHLYPKPLTQVRPESSSWQLSSRRPGEESRVEQWNFSRRPSEDRTSDAMEGHADPKFQRNNKKVESHVDKFSIPRCIEVMNAMTDLSPVEKSLAPDVFLNPSNREIFLSLCVEVRTLWLKRKMKSLV >Et_1B_013397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8041137:8042342:1 gene:Et_1B_013397 transcript:Et_1B_013397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQFGWGREEGGWRKGPWTAQEDKLLLEYVRQHGEGRWNSVAKLTGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESVILELHAMWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNVERARARFLKQRREMRSQLMLQEQDHLQLKQPHHDNAIIGEATPAASLAQQHENLMMMQDMDDLLYQLCPMSMSSCSSFLLHGSSVATVSASAGSDGSSEDQLDGGGDTWGWGSLWNLDDVVDDVDGGGACGWDTSSFALLQDHGGLAFY >Et_7B_055532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13346420:13347387:1 gene:Et_7B_055532 transcript:Et_7B_055532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFLKRFFPHILERMAAAKRNEYCIYDSQALTAFTSSLYVAGLVASLLVAGRVNGSSLFLAEMSPSQWRGALTAGFQFFLALGVLIADLTNYATEHLTWGWRLSLDLAGPPAIVIVIGALFLTDTPSSLVMRGRVDRARAALLRVRGPGADVDAELKDIVRAVEVAQQSEDNRSAGQAVNTQSFLAMLCRFKYATFAFYAAWVVVMTVFIALFLPETKGVPLESMATVWARLWYWKRFVQDHSSCDNQRSNGLNDL >Et_2A_015908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1927962:1931546:-1 gene:Et_2A_015908 transcript:Et_2A_015908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSKRSLPSWMGSKDGEDDSGKKKHAGTSQKAQKGSDFSKLLDGVVFVLSGYVNPERSTLRSQALEMGAEYRPDWTTDCTLLICAFVNTPKFRQVQSDNGTIISKDWISESHRQRKLVDIEPYLMHAGKPWRKSKELVESDQGQKEIFKEHKKQVGKSHVQSSTPAATEEGQLDSVNKQFSPSKIKQWAIDDLAQTVSWLESQEEKPEPSELKSIAAEGIITCLQDAIESLEQGNDIKGVAEQWSFVPLVLNKLLELDGIRKDASLPKDQLSQLATKCKNIYQADKTRPDDAQYDSDATIEMTEEEIDLACRQLPGMCD >Et_4B_036447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24644989:24645822:1 gene:Et_4B_036447 transcript:Et_4B_036447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSKPAAQHSPLAASAAMYGAAAYATSSSASYAKIPTYPAPPSSYPNTVPSAQPPAPAPIHDPTAPPSPIAKAAELVTRFREQGQALIAARRPWIEVFRAPAFSKPPSLGEALARMRRNSAYFRANYALAVLAVVAASLLWHPGTLFVLLALCAAWFFLYFARPAQGGQPLRIFGTEFDDGTVLAALCGVTVIAMLFTSVGWNVIGSVLIGGALVGAHAALRSTDDLFLTEQEAAGDGLVAAGMAAAGPILPTYVRIG >Et_2A_014778.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:14299805:14300002:-1 gene:Et_2A_014778 transcript:Et_2A_014778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPRMDLRGVEPGGLGWDAAGAAVTASMTAHGCVIVTHDAVGSAKNSSMAQPNRARHIITVLSE >Et_9A_061908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17743312:17745584:1 gene:Et_9A_061908 transcript:Et_9A_061908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVMPSSSSQPQQAAAGSADLARFRSSSGIGSMNMDDTMRNIYGDAPPPAAGGAADPSPAAAARRTSEEVWKEISAAGGGGVLTAPAPPPPPAATGAGAGGAGGASEMTLEDFLARDTGTAIVPVVEASMALGFPDGGDVAPVGGGGRARKRAPMDPMDRAVVQRQKRMIKNRESAARSRDRKQAYVAELESQVSQLEEEQAELLREQEEQNQKRLKELMEKAVPAIRSKPLQDLRRTNSME >Et_3A_024974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25815183:25816034:1 gene:Et_3A_024974 transcript:Et_3A_024974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDEKPVPTPASAAGGGAAPPGQPTTLASQVLDMGAKMTQSLRPVKQVKQHVCTFALYAHDPKRQVETHHYAARLNQDVLQCAVYDSDASDARLIGVEYVVSRKIFESLPEEEQKLWHSHAHEIKAGLWANPRVPNMLQKPELEQLAGTFGKFWCTWQVDRGDRLPMGAPALMMSPQADPAATVRPDLVRKRDDKYGFSTAELAASRADVEAPVDEEEHPGNADYWLRHRKGFAVDVVPHEMKCHAPFP >Et_2A_018822.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8242960:8243484:1 gene:Et_2A_018822 transcript:Et_2A_018822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHAAALSFNYDFSIRANLETPDLKYMNDSSPAGDRIDLTIGTQHNSTGRVYHRQPVRLWNGRKRASFSTSFTFAIGGNYSNERGDGMAFFVGPPRLPSDSGRRLLGLFSSSSSSPPQTVGVEFDTCRNDGWDPADITDHIGIHVNNISLSTTQVCQTWASGPLWYHVGKHHL >Et_5B_043101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2817412:2817646:-1 gene:Et_5B_043101 transcript:Et_5B_043101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEALMCSQTWLRHTLKGEDDGGKFNSFWSCLEDNEEDMKEESCVSGVESE >Et_5A_042377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9354637:9361588:1 gene:Et_5A_042377 transcript:Et_5A_042377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEIAPASASRRSSWLSAASISRSLRSAEADDPFRRSSRGGDGDDEENLRWAALEKLPTYDRMRRGIIRKAVGEDGDGGVVIDEVDLAKLDPRAGRELMERVFKAVEDDNERLLRRIRDRLDRVAIELPQIEVRYENLSVEADVYVGKRALPTLLNASANAIEGFISRFGSSNKRTIKILKDVSGIIKPSRMTLLLGPPASGKSTLMRALTGKPANNLMVAYFFTYFGVVSSDYMSGNITYCGHTFSEFYPERTSAYVSQYDLHYGEMTVRETMDFSRRCLGIGARYDMLSELARRERNAGIKPDPEIDALMKATAVEGKETNIMTDLILKVLGLDICADIIVGDEMKRGISGWAKETCEMLTGPAKALFMDEISTGLDSSSTYQIMVHVLNYTVMISLLQPPPETYNLFDDVILLSEGHIVYHGPRGDILEFFESAGFRCPERKGVADFLQEVTSRKDQQQYWFHDQERYRYVSVPEFVQHFKTFHVGQKLQKELHVPYDKSKTHPAALTTKKYGLSSWESLKAVLAREWLLMKRNSFLYIFKSFQLFVLGLITMTVFFRTKMPHKYFSDNVKFNGALATSLIAIMFIGVTEMNITIKKLPVFYKQRDYLFFPAWTYGLATIILKLPFSFLDSFTWTCVTYYVIGFAPAAGRFYLTNLTFWLSSAYRFFSQFLAYFLTHQMGVAMFRLLGAILKTMIVANTFGLFSLMIVFLFGGFLLPRQDDKPWWIWAYWVSPMMYSNNAISVNEFLSTRWAT >Et_7A_052517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8881022:8885453:1 gene:Et_7A_052517 transcript:Et_7A_052517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFLMMADDPNMNFGEFSQSLCNQQVVSFQTSATTSGSGGMPGYLDCSSGMDTNVAMMNTTPSVIVSTSSSNMQADSRQNLKYGGPLAADWSYLELQVLKEGLEKYVHEQGIMKYIKIAASLPNKTVRDVAMRCQWVGKKVSTRRRKPEEHQMGRKIKERKDKFVEPAMWSSNHPVQTDTRVSSFMPPNVQNSQFLSGASEIDRAMQHLLEENSRILNQIERNILTFQAQNNIDLFVRARRNINNLLQSMSQVPGIMSKMPPLRVALNENLASFVLPGLAMDQVLGSSHLKEEPIGWSLPTSFS >Et_2B_019806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14145145:14149191:1 gene:Et_2B_019806 transcript:Et_2B_019806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALWLSPSPSLRHLLLLRPRFPGSSPLRHSHSRRLLCTSAASSTLTHGDADAPAAQGDGVVDVNPPRGTRDFPPEDMRLRTWLFDQFREVSRLMTFEEVDFPVLESEALFIRKAGEEITQQLYNFEDKGGRRVVLRPELTPSLARLVIKQGKSVSLPLKWFTIGQCWRYERMTRGRRREHYQWNMDIFGMPKVRAEAELLQAIVLLFERLGITSTDVGIRVSSRKVLQAVLNMYSIPEHLFTEVCVIVDKLGKLSRDEIERELISTGLSSEAVQGIIEVLSLKSLSKLEEVLGPDNDAVADLKKLVSFGEQYGYADWICFDASVVRGLAYYTGIVFEAFDREGKLRAICGGGRYDRLLSTFGSEDIPACGFGFGDAVIVELLKEKGLLPDMSRQIDDIVFPLDETLEGPASNIASSLRKKGRAVDLVEDKRLKWVFKHAERINASRLILVGSSEWERGMVRVKILSTREEFEVKAGELE >Et_4A_035698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3980753:3982567:1 gene:Et_4A_035698 transcript:Et_4A_035698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKACSVVAGVDILLEEKMSKSCDDDGAAHDQHLDCCQKRQQDLKNALEELKPQMKHHRVCEKSSHDTDFARKFCLKNEALKRQQLNNAGLRMPRPLAFLSKLQNKAAEASPFGNSHQALLLSREMLVRGCIKLAGAIKRTAVVVCFTPSAGADEDDLPYSQLDKGTHALRRDAFGPLYLVT >Et_6A_046922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22291159:22309666:-1 gene:Et_6A_046922 transcript:Et_6A_046922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLFAIDISVDRSTDDPCISQIRRVINRSISFRSKFREDNAVTSTAYLVESHGALLIFFLRVKRPRRPGFHLYAINSTKSSAHEGKLHIFSLKAQPSLCLQNLLLFGSSPHKHGALLMVCRKMYVAVKSAYAVAGEEDPVYADGRNKFEVFQANFERSQWTKLSTIGNDQMLFLRRCDCIFFVEVDDANNKWYGRERSSSCKMYNMKDGKISTILPSGLVQPMAYSASYLRQRSWSDIPLELAGLVLRRLPAKVDRVRFAAVCPQWRSAAQQVPLPPPLPLVALPDGTTYSLPSSEKLQFPGCAGYTGACGDWLVFSSEEGCFLRNPFSNATVTLPALSRARIRYVADPFGRAWRESQEATTDLSKVMYCSPHLVAAFVRIQGSYRIAVCQPGADSWWSVHLDVWLPQFVDMALHQGKLYALANENGDLFSVDISIDQSTGDPRVSRVQRAIKGVSLPGFTGAGGYVMLKMLYLVQLHGRLLMVHRGIFGWLPIRTPGTFVTTGQNNFDVYEADFSRSEWTGVPTIGDDHVLFLRRRCFSSVCVSHYEMPGDRIFFFDNDDEDRWWYDEESPSCCKVYNMKDGKVSNPLPTVSWKRGLMVPPPQWRPWSDLPLELAYLVLRRLPTHVDRIRFTAVCSHWRAAAGEDPLPPSPPLLALPDGTGPTTAGRYPCPWTMTKLPLFADIAFHDGKLYALDHNEGLLYSVDFDTDPNTGCPWISRVERVIDTRVRVVPAMEGYVCTGRNIVMQTMYLVESRGDLLVRGAERDTLWGELGAVDVDGRNEFQVFRADFAQSRWNRVKTLGDHQVLFLRRRCCRSVRLSPEQMPGDSIVFMENDDEVRDCYDTESSSSCSVYSMKHGGVSTLLPAVWKRGTLAGLVLARLPSVLDRARFAAVCRQWRAAAREAPPLTPPLPLLLLPDGAAYSFPRREPLRFPAAAGYAGACGNWLLYSGERTCFLWDPFANATVTLPPLSRFRLQYNPGDVPSPMSHGPWAMTYSAPTVTRVIICSSSRLVAALVRFELRTRVAVCQPDAASWWSICMDERLPMFSDIVFHKGSIFAIDYGSNDLYAIDVCVVGNGAAWVSGIQRVIGMISGRSYMSGQSIQQESMAMEMVYLVESCGDLLMVRRGMHGRWKDRMSYPFGSCFAPTGRNAFEVFKADFPRARWTRVTTVGDDQMLFLSGRQCRSFSVSQFGMPGDRIVFLENDDEEFIHWYDQVGSCSCSVYNMRDGRVTYALPGVSWKRGTTRATDGSPWPDIPLDLAGLVLSRLPAHIDRVRFAAVCRQWRAAAREIPPPPPLPLLMHGDGTAFILFTRMKKLRFPDAAGFTGACGNRLLYSGNGACFLWDPLTNDAAVNLPPLSDFECRLTSGESVCSWYGMNMAMIKLLWCSTPCLVAALVRFGLQESLIAVCRPGSASSWSVCLDERVPQFVDVAFHQGQLFAVHSNSYELSAVDISVDYLTGDPWVSGIRGVIGSPLDCPSKVTGRWGGTPTIYLVDSCGALLMVRRGKDVGPDMSNTCPYQICSKFEVLKADFEQSRWSIVTTVGEDQALFVSRWQCRSLRVSKFGIRGDCIVFFQNEHESISCYGINRPCSCSVYNMRDGKVSDPDNSFIWDRASKHGTYTALQPSNRMENIAPVKNELEMFQADFERSQWIKMTTIGDDQVLFLRPLCSRFVCVSQYDDKLGDRIVFLENVDEGHGMYDKDSSSYWSVYNMKDCKVSIPVHAAPLCGVSSLMRSAFLIVFARSEEPMAMKSTPSWSDIPLELAGLVLLRLSAHVDRVRFAAVCPHWRFAAREIPLPPPLPLLALSEGTVYSLPGSNWLFFQHEHGCFLRDPFSNVTLTLPALTRVRVRHVRDEPVDEAGLTWIEVDEGEELNVSKVIFCSPHLIAAILKLRGRARIGVCQPSASSWWSVCIDDHDQQIVDLAFYEGQLYGLNSVDTLFAVDISVDERMSDPWVSQI >Et_5A_042293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8406693:8408360:1 gene:Et_5A_042293 transcript:Et_5A_042293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHIKQTHTKERVPCVVAAAWSKIPLLTLLLLQGEDSMSSIYVPNPVPLPTEDAESIRKAVQGWGTDEKALIQILGHRTAAQRAEIATAYEGLYNETLLDRLEAELSGDFRNAMMLWATDPAARDAKLAHEALMKGDRYVWVLLEVASASAPDHLVAVRKAYSSAYSASLEQDFLVRLLTSDRYAGENVDGKLAKADAVELHEAVVVKKQPLHGDVARVLGSRSKRQLKATFERYRQEYGKAIDEVLEERRGDRLVAMLRAAVWCVTSPEKHFAEVIRMSMFGLGTDEDSLTRAIVSRAEVDMEKVVQEYRTRYGTTVTSDIHGDTSGYYKDILLTLVGPE >Et_10A_001911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10899713:10905462:1 gene:Et_10A_001911 transcript:Et_10A_001911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIFYALIKTGEGFLITINAAGCVIESIYIVMYLVYAPKKGKLFTAKIMALLNIGVFGLILLVTLLLFKGDKRVVMLGWICVGFSVSVFVAPLSIIKRVIQTRSVEYMPFSLSLSLTLSAIVWFLYGLLIKDKYVALPNVLGFTFGIVQMGLYMFYMNKTPLIAEGKEASKLAAAKEEHMVVNIAKLSPALPEKSCEVHPVTEMSIPRKSCAANAAPANRDAFVTHIPSITAMVIGTTSGSRFPEA >Et_9A_063609.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:9638580:9641189:1 gene:Et_9A_063609 transcript:Et_9A_063609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAILLAAIFVVEVVPLAEAETYKPTESILVNCGSAKEGQDADGRKWQSDQDSKWLVDGGKSSIMADADVQDPSLPSPVPYMSARVFTKEAVYNFSVDAKDRHWVRLHFYPAAYHGLPADHFFFSVTTSTGVTLLRNFSVYITAKALSQAYIIREFTLPPSDGGSLSLTFTPTAMNNASYAFVNGIEIISMPNIFADPATMVGFADQTVDTAAGSMQTMYRLNVGGSYIAPANDSGLSRDWYADTPYIYGAAVGVTYQADDKVEIKFPNPEAEYAAPASLYRNSRTMGPDPKVNQHYNLTWVFEVDGNFTYVVRLHFCELLNTKANQRAFDIYINNKTAQADADVIGWTSEKEVPLYKDYATFMPDDPGSRILWVALHPSMSMKPEFYDAILNGLEVFKMSDSSGNLAGPNPDPSKMLEEAELAVTTGKFKEKPSHVKAAVIGGAAGGAAAFGIVAAICIVVHHSKKRRALGTSISHSSWLPVYGGNSQTNTKSKSSGGRSAALDPNITAMCRHFSFHDIKSATKNFDESLVIGVGGFGKVYRGVVDGDTKVAIKRSNPSSEQGVLEFQTEIEMLSKLRHKHLVSLIGCCEDDGEMILVYDYMAHGTLREHLYKSGKPALSWRQRLEITIGAARGLHYLHTGAQYTIIHRDVKTTNILVDEKWVAKVSDFGLSKTGPTVKNQTHVSTMVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPSLPREQVSLADHALSCQRKGLLQDIIDPLLKGKIAPDCLKKYSDTAEKCLADHGTDRPSMGDVLWNLEFALQMQETFENGGKPEGEDSASGSSTVSAADSMAASAAALELISEDMDEEDIANSVVFSQLVRPTGR >Et_2A_015022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26464056:26465477:-1 gene:Et_2A_015022 transcript:Et_2A_015022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFLSRFFPSVYQKQAAAGDGGNQYCKFDSQLLTTFTSSLYVAALVASVFAASVTRRVLLGFGVGFANQSVPVYLSEMAPARVRGMLNNGFQLMITVGILLANLINYGTARIAGGWGWRLSLGLAAVPAAVMTVGAFFLPDTPNSLLERGHPEAALAMLRRVRRPRRRRRGLQRRDAPVAQHPAPPLPPAARHGRRRPPAAAAHRHQHARLRPKRLAHVRRHHGPRQPRRHLRLRLHRRPRGPPGPLPRGRGPDAGGPGGRGGPHRRQARVERRRRHPAGLRRRRRRRHVRLRGGVRLVVGPARLARAQRGDAPRGAPRGAEHHRRGQHAHDLCRLKFMLFFFFAAWVVIMTLFIAFFLPETKGVPIEDMAEVWKAHWYWKRFVTDDEASHRRDIEMGSNGQAKN >Et_10A_000620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1386742:1387789:1 gene:Et_10A_000620 transcript:Et_10A_000620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPCFSLAHPATPATASLSAMHLGSAVGADADRLAADLPDPDVARRARAASPHRKKTVMSSMCLYDPMTGKGTFFPGPPDSEPHDNSYYDDKFVLLTAADGVGCPFLVLSANFSSRLPSNNGSRSVTVRTFSPSSSDSESPGGAWSPATLASHSLPADDVESFHDAAVVYGGFVHWIMFGVFSIHNYIFTYNVLTAASGSIELPAEAPPIDYGKLHLASSSSGRRLILLVTDKFKVTVWLLMSSGKDGGGACSWARSAVIDTSAAFQLRCQNGCCAAVVSSWARSGVVLLRPYQNWRRYEGLGEEFIVLDLETGEMHTVIKKEDAFLYEIDLASRVSAMKSF >Et_4A_032574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11444237:11445966:-1 gene:Et_4A_032574 transcript:Et_4A_032574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDKLWDDTVAGPRPDTGLGRLRKQVTRPAAVKINEPAGEASVFVPPSPASTGEETPVKVTRSIMIKRPAGYPASPRSAANTPPASPAGSPAPISPFSAAEGNHLRMHMRGEHHRGRPANLLTLKCEPLTRHDPFCSLTGICFTKGGGGEKTSGWSWGEFLGCLTLPLL >Et_4A_034789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6566856:6570137:-1 gene:Et_4A_034789 transcript:Et_4A_034789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVKSHHQMLASSSTSSSSPSASQQRKKPPAPPPPSSTSCPAADQPSPAKRKRRPPGTPDPDAEVVALSPRTLLESDRYVCEICGQGFQREQNLQMHRRRHKVPWRLVKRSPAPGEDAAGGNGGGGAGAGGGGGAARKRVFVCPEPSCLHHDPAHALGDLVGIKKHFRRKHGGRRQWVCARCAKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACNSGRIRGEVVPAVPSTTLPAVIRPALPRHPPTVATAAAPPPLPELQLLPASTVTTSLSSTTTATTTSTSHEPHAATKLQLSIGPDIAAAATEVVDLDEEMRRAVAEKAAADAARERAREEAAAAARALEEARRARHRARAELEKACALRDHAARLLAQVTCHACRQRSLAVSMAVAGDGHGGTAVAYDPVMRGGGVGAGM >Et_9B_063669.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17774146:17776219:1 gene:Et_9B_063669 transcript:Et_9B_063669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRNNFPRGHRAQSKLHLNPPPQPIPSSSPNPQIRLRLLLFILLLAPRLHSPPPDPDPPPPPPPLHPPYPPPPQPQPRGGASGVMGRDGGGGGGAGGMSESVLRKVLLSYCYVGVWIFLSFSVIVYNKYILDPKMYNWPFPISLTMVHMAFCSSLAVALVRVFRVVEPPSAPAMTPQLYTSSVIPIGALYAMSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKETFRSSSMLNMLSISFGVAIAAYGEARFDLRGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLCFLVVPWAFVELPRLRAVGTFQPDFFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVAYYNHVKLQALKAKEAQKKAAQADEEAGSLLQERDAHGDRKSDNQA >Et_1B_012533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32909536:32925682:-1 gene:Et_1B_012533 transcript:Et_1B_012533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISSSRPGTCISSWKPAVLSVANSHARHTPIEQNREQTSDHSSQATEQAPVPPPPPPPPLPIPNPPPPPPPSAVLLRPPLRSGTPPRLPGSIPRGTTGRGRCHPPPEIRQERGILVLGQVAIWNITSGAGYQYSIRDMLQPREADVPVLIVVFVVLPVLAYFILGKWNDAVSKKARASVLAQRAAEDTFTVEAMACPDVISPGPSLRPMPYWRPAPSFRHEYHECATCHAPAKTRCSRCNSGKCQIIHWRQGHKETCEQWLGNGTCSSGVPATEATEHMPFLSNLNSPLPGGDIHLRDMNFDTLSEPSFPTTDSYNLDMDPFPVDRSNMKKSNHSRHTSEMGAIGVSFEKNNFIGDEESRPSEILSGNKVSGSEVKSGNCDTTYPVKSTAHQSSISTPETRKRPKSSITVYEPDVGVYLTSDMISSCEGPYASATVPLQRCFSSGKNIGKGNMVHKRPPYPSGRVAAPQKSQEKVPTSHQNDGHEKNPCNKNDQRATQTSAPTNSTLQGCNGISKIGASKVEVLKKPSKFLKTSLVGLINDNKRSKVLFPYEDLVKFFQYEVRGISPRGLFNCGNSCYANAVLQCLMSTKPLMIYLLLRLHSKDCYSKSWCLMCELEQYASSLRESGGPVSPSRILSNLRNIGCRLGGGTQEDAHEFLRHLVMSMQTSCLDGLGGEKHVEPTLQETTLIQQMFGGRLKSKVKCLRCYHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCAAYVRARKQLSVHEVPNILTVVLKRFQSGKYGKINKCVTFPDMLDMVPFVTGSGDNPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVQPVSVNQVMSEGAYMLFYLRSFPRPPRIYIEKGLLPVSTSAKRHTSKSSKGSKQEHKQTDFLFSAHDQAYGIYDFRPDGEGYAQDQHAEFRSRNFHHSDDAFTDSVSTDFSEATSSEWSLFTSSDESSFTTESTRDSFSVVDYGDNAGLDPISSIFGPCYAPEHPPGNFVSSTRFSPTNPQTRYFSESTGFVSDSSMPAHPHGTVHRGRYPDRACASSAEPLASAHQRSAYGRYALSRDGFVQTSGFCQIYEALVVSHVAAALTRLPPSSEVDARGRTAVWLPDIQVIRSKPSFCIKREKLNPQGTTLQSAMVDQYF >Et_1A_004898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14897772:14898761:-1 gene:Et_1A_004898 transcript:Et_1A_004898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKCGLISLSLQLFNEIEYHTEIAWNAIINVYAMHGHGREAIKAFDRMISSSIRPNSITFVSLLTACSHAGLVDEGLKYFYSMKDAHDIQPKEEHYSCIIDVYSRAGRLDEAEKFISEMPMKPNAYGWCSLLRACRMRGNKELGEIAAQNLLKLEPGNTGIHVSLSGMYASLGQWDDVKAVRKLMKDSSIKKLPGFSWVDANKKTHVFGSDDWSHPQQEQIYEKLEKLSERIKVEGYVPDTNSLPLNLESERIAVAFALISIDCHSAMKFLSKVESRDIIVRDNSRFHHFVKGRCSCGDYW >Et_10A_000684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15503604:15506286:-1 gene:Et_10A_000684 transcript:Et_10A_000684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGGEALSASLKRNEGIDPFLEDPKSGFRASSVSSFDSGKFSDKDELDYGCSGDELDYGSSDMSHLSIYDHFEFCVNYVPDPKSEWKLNKDLLKPPILSKEEEEAEALNKKRCKEITEFDPKLRMHVPTRFCRFNIAYFDLDKECEYIPIIRLNSSSLLSCITEVKSGPQFREIPDSDYWRLDGSMNVISIKVAQSDVSYPINIYGTVLARDQNDFRCVYLFKRGRDDPQLITETDDTLTLTGPYRALSGLDCLFFEFHLKIRCDEGMDQDFSKGLLVCEGCCDTLESRTLSLESWLSTVEMLYTPIPLALQASIQVNVLNGKSNFIGKVAASTGEDKNKIILYDSKVASTELKLGDGGSVSLTRSVVAVPHNEKLVLKMYVSEADVSKKVKLYFEHFDEEQTCTLGSYQLQVKIIWTGVFRQERPDMWMHFKHSLLFIMHRLVPLHWWVTGLELEDQET >Et_1B_012979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4529164:4533528:1 gene:Et_1B_012979 transcript:Et_1B_012979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGDDDHASLIRSHAAGAAALPSQSCPSPRGAGHQHLVDVEADEATVTASPRRASGGGVRGLLRHLARGSGRRYQQHQYQQLDRAEQPPSTTPSQRQRERPAAAGEDDELGDGAPPEWALLLIGCLLGLATGICVAAFNRGVHVIHQWAWAGTPNEGAAWLRLQRLSDTWHRILLIPVTGGVVVGMMHGLLEIFEQLKLAKPAQGQGINIVAAIFPTVKAVQAAVTLGTGCSLGPEGPSVDIGRSCANACSEMMENNRERRIALIAAGAAAGIASGFNAAVAGCFFAIETVLRPLKAENSPPFTTAMIILASVISSTVSNVLLGERPAFIVPAYELKSAAELPLYLILGMLCGAVSVVFDRLVAWFSSLFGHIKEKFDFPIVVYPALGGLGAGLIALKYPGVLYWGFTNVEEILHTGKSASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAYLINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQLNDGESSGIRIPRRAYSSISSERNGSSRRGDGVNDLELTIIQTDIHNYGTYNEEMLLDDLKVAQAMSKVYVKVLSSATVTEVLKLLRDKQQNCALVVDPEDFLEGIITLGDIRRMGFELHEESIVDDGHPMSDGSSSTISSCLTRGFQYRGSERGLLTCFPDTDLTTAKGLMEARGLKQLPVVKRGAGHRTAGRRKPIALLHYDSIGCCLREEIENWKTIYQRMAC >Et_3A_025879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33678710:33686076:1 gene:Et_3A_025879 transcript:Et_3A_025879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAADRKLAGYLRAVLSVPSGDGAEAASIPPLSPCSLSACGAVPLAPLPDDGRQPRSKWWACGGGGSVVRTLRTLVANRCVDVEGRVLRIATRTTGEGALEARTVVLLDVYLPVAAWSGWQFPRSRTAAAAVFKHVSCDWDARNALLAFDWSSHDNPHCDDECIWSCTDCHVIGCEDHQIASISKNEKSFDLHEIFKNLPSLRMERSMQITRITPDAAALELGIWSVPDDILNKVLIRLKPMDLESVAGTCHHLRTLAASIMPCMKLKLFPHQEAAVEWMLKREQHSQVLKHPLYKDFYTEDGFPFYINVTSGEIFTGNAPTVNDFCGGMFCDEPGLGKTVTALSLILKTQGTLANPPQGVDVRWCMHKTDKKYGFYELSASGPSNRNCSPSGSKMLLGKGVAREDPCSSGLPHNDDSICSTRSSRKRGRLVISPDPTLVLSHAASSKSPTPSASNKAHRTPATPVLKFTKSSRQVRKNLLDDRSVGNKRKRSTTSELSETWVQCDACRKWRRLSDGTVLDSTTAWFCSMNPDPARQKCTASEESWDFKEKITCLPGFYKKNTLPGNEENVSFFANILKDNVAMINSETQKALIWLANLPPMKHLEMESVGLTRPVLDTRATMGKGARPYFKIFQACGLVRKIEKGVTRWYYPPVLEDLAFDSAALGLALEKPLDLVRFYLSRATLIVVPSNLIDHWTTQIQRHVSSNTLNVYVWGDHKKPSAHNLAWDYDIVITTFSRLSAEWGPRKRSALKQIHWFRVILDEGHTLGSSLALTNKLQMAVSLVASNRWILTGTPTPNTPTSQVAHLHPMLKFLHDEVYGDYQSWDSGIHRPFEAQMEEGRVRLMQLLQRTMISARKADLKSIPPCIKKLTFLDFNEGHANSYNELVVTIRRNILMADWNDPSHVESLLNPKQWKFRATTIKNVRLSCCVAGHIKIAEAGQDIQETMDALVQLGLDPSSEEYQFIRHALLNGASCARCKGWCRLPVITPCRHLLCLDCVALDSERCTFPGCGNHYEMQSPKRLARPENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTSSSKVAYLVEKLRNLRERDMNHMNCTNITSGAGPSSAPSCQPQTKLDKVIIFSQFLEHIHVIQQQLTIAGITYAGMYSPMPLGSKRSALAKFQDDPRCMALVMDGTAALGLDLSFVTYVFLMEPIWDRSMEEQVISRAHRMGATQPIHVETLAMRGTIEEQMLKLLEDSNACRKIVNKGTSSTDGEGGRSHRSLHDFAESSYLARLRFV >Et_4A_033357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21952160:21956191:1 gene:Et_4A_033357 transcript:Et_4A_033357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENPTKKKLSWSKTLVRKWFNIKTKAKDFHSDYAVEEVGVQWRTSFSDREACKSKKSRTERLPRKNADRVGNGFDGAYITNTQDYRFQEIVPLNAGNVLLTEDNGPAKKWVSLVRKTLNNLDQGSGVYNYHTPSPAPDPIVELNVDFERSLRRQRNSSFFHRRSFQSFNRSSRIDMMDPHSLVDRRFSVCERISFGSRPSDVDTIASKQMVGIFLMVWVRSDTREHVKNLKVSSVGRGLMGYLGNKGSISISMSLHQTSFCFVCTHLTSGQKDGDQLRRNADVVEILRKTRFPHVHGSGDKKAPETILDHDRVIWLGDLNYRIALSYRSVKALVEMHNWKQLLEKDQLRIEQRYGRVFAGWKEGRIYFPPTYKYSFNSDRYAGDDMHPNEKRRTPAWCDRILWYGRGLNQLCYVRGESRFSDHRPVYSIFTAEVQIPSQTQFSGLTRSNSLMGVDELPYPTYPRSYMDINFY >Et_2B_021599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3397853:3401246:-1 gene:Et_2B_021599 transcript:Et_2B_021599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQSDGSDSRYVMSELPSDIVIHVDEARFYLHKFPLLSKSSLLQRLIIEASQNGTDEVVIHDIPGGVKTFEICAKFCYGMVVTLNAYNVIAARCAAEYLGMTEDVEKSNLIFKIEVFLNSGIFRSWKDSIIALQTTDALLPWSEELKLVGRCIDSVATKATVNPSNVMWSYTYNRKSASSNEIVEARKSSLAVPKDWWVEDLCELDVDLYRRVMVAVKSRGRIPSDAVIEALKAYAARWLPDCCETLVDDVYSSSYKHLLETIIWLLPSDKGTSGSSCRFFLKLLKVTVLIGAGDLLKEELMDRIVSQLHRASVHDLLIPSKPPAQTTYDVELVQTLISRYMRHAGVAEDGIFLNNLDQEMFETNVDDESLLALCKLVDRYLAEVASDPNLSVSSFVGLATSMPESARPTHDGLYTAIDIFLKLHPGLPKMEKRKISSLMDVKKLSKEACIHAAQNDRLPLRVVVQVLFFEQLRAAGAQANGAAANGGAARCLARLAEADDDGWKDRALPEPPTPGGAKQLGSLKLTDQGGADDDGGRRLVARSSAAIQSSRLSLSSRSRRIFDKLWVGGGKPPGEVLVGKGSDTSGSSQSPRSSAKPLESKSSSSSSRNRRYSVS >Et_10B_004109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13063068:13064767:-1 gene:Et_10B_004109 transcript:Et_10B_004109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHSSSVSGTTESPIFFPSSSSGSRQPDLHSTARQPTPPCAPPDPNPARRRARPRRPDPPPFPRPTRRCAQPRQPSPARRLTSPLAPGPGAPLLARAAVPCPAHPQPRHVVWHQHAAPRSGSTSPVETPPPCLNHCVASQEEERDADHGRVPLASRDRSLQLVNP >Et_9A_061416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11347410:11351895:1 gene:Et_9A_061416 transcript:Et_9A_061416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CPTRLSSCLYNILMISIAKSRLTRCCVPPPAAAALRSLMGKWKNLPSSWGKSNDPCGAKWDGIVCNGNSRITSLNLFGMSVRGTLGDDIGSLTELRVLDLSSNRDLGGPLPAAIGKLVKLEYLVLVGCSFSGPVPSDLGNLSQLKFFALNSNKFTGSIPPALGKLSNVTWLDLADNQLTGPIPNSKDNGTGLDQLLKAEHFHLNRNMLQGLIPESLFNSNMHLKHILFDMNNFTGQIPASIGVIPTLEVLRLNDNGFMGQVPVLSNLTKLHVLMLSNNKLSGPLPNLTGMALLENVDIGNNNFVPSEVPTWFSDLKNIMNIKMQSVGLSGQLPQKLFSFPKLQHIELNDNQLNGTLDMGKNISNRPDLVDLRNNKITSVMVYNSFEGQTLRLQGNPLCNGSLLSDTTPCTGQQTEPPTQPLHFDANCANPFIETIVFRAPSFGDVIKYLPDLYKNLSSTLSSCTPNRLGLVPYSNDDAYLNVDIKACPVNQKKFNYSQVLNCFNLTLQTYKPPDMFGPYYVKAHPYPFHDKASRTVLIGVVTASVLLIVGLTLVGFYAVRQKKRAQKLVSVNDPFASWGSMGEDIGEAPKLKSAKFFTLEELKLCTNDFREINAIGAGGYGTVYRGKLHDGQLVAIKRSKEGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEKGERMLVYEFIPNGTLSDALYGMKGIQLDWSRRLKIALDSARGLAYLHDHANPPIIHRDVKSTNILLDEKMTAKVADFGLSLLVSDSEEGQLCTNVKGTLGYLDPEYYMTQQLTAKSDVYSFGVVLLELIVAKPPIHENKYIVREVKMALDMEDRMYCGLKDVMDPVLEKMGSLLGFPRFLKLALQCVEEEAANRPSMNSIVREIEVIMQDNGLTPGSMSTSSSFSIDSKMMKVGPKYPYSSTSTSSMTMDSRAFEYSGVFPSSHGSLKP >Et_1A_008481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8042292:8049297:1 gene:Et_1A_008481 transcript:Et_1A_008481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFVYLFGEGSISIAGAEFLDQWSKMDGSSHVPPGFRFHPTDEELVDYYLRKKVASNKIDLDVIKDVDLYKIEPWDLQEKCKIGMEEQNDWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYTKNCLVGMRKTLVFYRGRAPNGQKSDWIMHEYRLETTENGTTPEEGWVVCRVFKKRVATVQRIADSSPCWFDDHHVAGFMPALGHHPNASYGGQQFYHCKPELEYHHLLPSQEAFLPQLPQLESPKPPPAYIGHGSGSLIQTSEEASKYSAQQPTMDMAYMAAIDDSATDWRALDKFVASQLFSHGDGTPKEPSYTNPVPAFHLTENNKREEALDYASTSASGGGEADLSITASLKSAPSMIAPPRSLRVSTDRTRIAFVSSWSLAGAEGLQEIILVAYAQQDNLIYVEMQRISNPMQQRSHDEETEERAYLRSAEPNCAAEPMPNSPRCSASNLLRSAYAGIAATTAAASAAETATRHLGCGCGAGEALDALPLRAERSGVAWQETRRRRRGERPAKGLGRAAARGEVDAGVMANSSLTREWWSRRGGRRR >Et_8A_057659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5745560:5749360:-1 gene:Et_8A_057659 transcript:Et_8A_057659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAPPAEQEPRPSLFSPYQMPRFRLAHRVVLAPMTRCRAPGAVPTPALAEYYAQRSTEGGLLISEGTIVSPAGPGFPRVPGIYNQEQIDAWKKVVDAVHAKGAIFFCQLWHVGRASHQVYQPGGAAPISSTDKPISSRWKILMPDGSYGTYPTPRRLTTSEIPEIVEQYRQAAINAIEAGFDGIEIHGAHGYLIDQFLKDGINDRTDQYGGSLSNRCRFLLELTRAVISAIGADRVAVRVSPAIDHLDAYDSDPMRLGLAVVDRLNALQEEAGRLAYLHVTQPRYTAYGQTESGPHGSAEEESRLMRALRGAYRGVFMCSGGYTRELGIEAVASGDADLVSYGRLFIANPDLVERFRRDAPLNKYVRKTFYTPDPVVGYTDYPFLGHPKARM >Et_4A_032739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13218959:13220640:1 gene:Et_4A_032739 transcript:Et_4A_032739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSDRGSVATAVSTAAATDKLLHGHVSGKKIQKKAPRKVHKAEREKLKRDHLNDLFIELGDMLDEDRQNNGKACILADTTRILRDLLSQVESLRKENSTLQNESHYVTTERDELRDETSALGKEILELQNELRTRLSSNSGWGHGAAESDSINAVFPLPQQVQPPSMASPVIPLQQPLPSQTVIELPYAATPRELKLFPKAGFEPVEGQEATNNVARPQARYPTRAASWPVSLFSGLPGMEDEQSSSTTIGSSKEASTGRD >Et_1B_013339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7501005:7512323:1 gene:Et_1B_013339 transcript:Et_1B_013339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKPEPVQVRAVAVMLVPFPAQGHVSPMLLLARALAARGVEATVAVPDFIHCRVVRSRQDSKDGAGVELASIASGVPDDGSGEPPGFAGFAHAMEHHMPARLEDMLAQRASTAGRGVACLIVDVLASWAVPVAERCGVPVVGFWPAMLATYRALSAVPELIAKGLISDCGIPVSTKPLANDEVNGHHLSVDSLNILPAELHLSTSELPWIASDAAYQKSRFSAWRQIMVRAKSLQTVIVNSFPGEDVDELQQSRDRRILQIGPLLTNGTFDRAAKDGLHGSPIKKPSMRKSDGTCMDWLDRQDAGSVIYVSFGSWVASIGRDAICELALGLEATGLPFLWSLKDEPSWREGLPDGFAEAVAGRGKIVPWAPQEDVLKHKAVGCFLTHCGWNSTLEAIQHGVRLLCYPVAGDQFINCAYIVKMWKIGIKLGSTKKSVVEDCVKRVMEGEDAKRLQEKVDELRARVVTGGARRIAKRNLESFIDGIMRHYGNQNYDFSNELKTSGVYLPEPVPFLRAAAVVLVPFPAQGHVSPMLLLARALAARGVEATVAVPDFVHRRIVGASSQDDGDGVELASIPSGVPDDGSGEPPGFAGFAHAMEHHMPARLEEMLTGRGVACLVVDVLASWAVPVAERCGVPAVGFWTAMLATYRVVAAIPELIAKGLISDCGIPISTRPLANGEAEVNGDHLIGDSSNILPAELGLSTSDLPWLVGDAACQKSRFTLWRQIMARAKTLHPILVNSFPGEDVGELEHPQDRRILQVGPLPTNGIFDQTAKERLLESTLKKPSMWKSDETCMDWLDRQDVGSVIYVSFGSWVASIGQDAIRELALGLEATGLPFLWTLKDEPSWRGGLPEGFVEAVAGRGKIVPWAPQQDVLKHKAVGAYLTHCGWNSTTEAIQHGVRLLCYPVSGDQFINCAYIVKMWGIGIRLSSTKKGVVEDCVKRIMEGEDGKRLQEKVDELRERVMMGEARRTAKMNLESFVNGIKRDGYALEKLSLRWASSRGYIALVAIPSGVLTTAATSPHPIDGSMGAGPARGQRAIIFVPFPAQGHITPMLHLARAVAGRGGDVTATVAVPDFVHRRMGLVDGVALAAIPSGVPDDVGGDEPPGFASIVHAMEHHMPAHLEDMLTSTTTRQGGFKAARGVACLVVDVLASWALPVAARCRVPAVGFWPVMLASYRVVAAIPELLSKGFISESGTPLSTKQTNEDEVNERNMIRDLHILPAILELTNKDLPWLVGGPASQQSRFAFWLRTRARSLRSILVNSFPGEGAGDSDRYDPPPGQQILHVGPLFDNDLLDRPKDTKTTTTATMSMWRADSSCTDWLDRQSPGSVIYVSFGSWVQPMGPDKIAEFALGLEAAGRPFLWVLKDHPSWRAGFPAGFVTVVSHGKIVPWAPQEDVLKHEAVGCFITHCGWNFDAGSYPFINSAYIIRMWKVGIPMEVTDRSGVKDCIERVMESEEGMHLQKKMNELREIVMAGEVRYIANNNIDLFVDGVKKDDT >Et_4A_035939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:948255:951853:1 gene:Et_4A_035939 transcript:Et_4A_035939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSTRGKLYEYASASSKRDTYQQPRGLLDTLKT >Et_5B_043436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10358425:10358952:-1 gene:Et_5B_043436 transcript:Et_5B_043436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ELDKDGRQPPAAAREERGHYRPLNLQLGFRFHPSDKEIITYYLTPKVHDYNFTAVTIGEVDINTSEPWELPCEDARGEGMIFLLSEGPQISYYGSRANHVIDDGYWKAIDKNRVLLGMKKTDLIFYNGRAPKGVKTN >Et_4A_035142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9935376:9935922:-1 gene:Et_4A_035142 transcript:Et_4A_035142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPMWPGCMTYSGLGRASAEAEVASRTNRRRTRASRRSSCRGGRDCGALGAALVGLEEEEARGGVGGADGGVVARRDGVGEEALRDGEERDARWCGERDGDVGVRREVVGDARDGAEDDPGLHGGGRHFASPGRVRGARGGGSGGGGEANGTLEWRRALLCSRCSCLIRGWARPENATAV >Et_4B_039477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1782695:1786664:1 gene:Et_4B_039477 transcript:Et_4B_039477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRILRSASFNGSSKNPPPPSPSAGSRAPAPAVSKDDAAAGERKALLRHPSGGIARKGRKGPSNRRVQWKDRHGKKLTEVLEFQPSLALTVVMYT >Et_10A_002222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4744087:4746191:-1 gene:Et_10A_002222 transcript:Et_10A_002222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIGDSRSGPARSSVRGSIRLAVLLDWAGVLGLVLGRLLLAARSAAVDRWKAVAGLVPAASRVCSPRAVGPVPGAAFGVGEMQPPPKKLARVDTLELRAQLVKQLGHQRADLYFRSLKRFLGCQLGKEEFDKICVAALGKENIKLHNLLIRSILSNACTAVGPPPSNQAATGNSQTSTVSNGTLNGGLLAVRRKPVASRERRFADKPSPLGKSPLGHPGTGSKAPQEVISVEDGEEVDQARGSPVCVQSRSPIRAPLGIATIQNSQPSTSCSLDVCYNSGELPDSQLLSKLLEDKLKSQGLSLSRECADVLNSGLNVYIGQMLKACLGVAKARGNKAKMRLPNGVTAGAVNSGQNQELSVVIFFLSVHLKFHIDGNLA >Et_1B_012683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34434575:34437435:1 gene:Et_1B_012683 transcript:Et_1B_012683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPTSSSSSAAAPELDGGEPRSTMTPRATSLRHRAMNASSKLLRSSLSRKSMGRRSSKVMSLAIEDVRDAEEMKQVDAFRQTLVLEELLPARHDDYHMMLRFLRARKFDIEKSKQMWSDMLQWRKEFGSDTIIDDFEFEEMDQVLEHYPQGHHGVDKDGRPVYMEKLGLIDTTKLLQVTSMDRYVKYHVREFERAFAVKFPACSIAAKRHIDQSTTILDVSGVTLCRMFIINAGQGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFLGGTCNCEGGCMRSDKGPWKDPEILKMVQCGMGRCGMNSSDLREADEKMITENDIVPVPKKQESLRRGSADSPKVVPREKIEHPQMSPLREMKTAESEAPPGKDGGTGAYNNLFPMVDKDFNWNGEVSAEKLAIAQDMYASLPDAYKQGDTGDRQVVTGFMALVMGVVAMFRVGKIAPKRAMDAAMGIATMEAMAKTRRLQQGQGQLPRPDTVSISAAQYQALLKRLDDLEEKVTALQARQPEMPAEKEEMLKSAVSRVEALETELESTKKLLETNVGQQEEVLAYIEKKKKKSKMNPFRW >Et_4A_032728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13049328:13051684:-1 gene:Et_4A_032728 transcript:Et_4A_032728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFSTSLAPQFLPLSAKPTPPAVASFPSGLPQLHALSAAVSSWRPLVHVRAAAVVASELDAEEVEAEEEASGEEEFSEELRLFVGNLPFSVDSAQLAGLFEQAGSVEMVEVIYDKLTGRSRGFGFVTMSSVEEVEAAVEQFNGYVLDGRSLRVNAGPAPPREQSAPRTPRGEANRVYVGNLSWNVDNSALANLFNEQGEVLEARVIFDRETGRSRGFGFVTYGSAEEVENAISNLDGADLDGRQIRVTFEAYNMTNSTCITLGPHSQASYFWKNSTSTFVYVE >Et_10A_002293.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:7429839:7430153:-1 gene:Et_10A_002293 transcript:Et_10A_002293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLAKLSTEKAVVIFTTSQCSMSHTVESLFSDLAVSAAVHELDKDPRGRDMERELARRLGRSPPVPAIFIGGKLVGSTDRVMSLHLAGKLVPMLKGAGAMWL >Et_5A_040480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25033399:25034181:1 gene:Et_5A_040480 transcript:Et_5A_040480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKGSKMLQFVNYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRRLPPSKSSKTATGDREERRTLGLLLLRGEEVVSMTVEGPPPPDESRAKAAAAGGALAGPGVGRAAGRGVATGPLLQAAPGLAGPVRGVGGPAPGMMQPQISRPPMVVRPPGQMPPPGMRPGMPPPMQMQFRPPGPPGPFPGGPPPQQFMRGPPPMGPPRPGMPGPPPGMRPGMPPPFGQPPRPGMPPPPPQQQGQNPPQ >Et_2A_018364.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24529151:24529738:1 gene:Et_2A_018364 transcript:Et_2A_018364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLARRFKDAGRIVLSQISSGTAIPLAGVLLLALPNDATTAWRHGAALFVLGIMASWNGSATNSPILAEIVPPRAMTTVFALDRTFEAVPASLPVVGILAEHMYGYKMSSRSAEGGGDHAAAVDVERHNATSLAKALFTAIAFLMALCCLIYTFLYYTYPKDREIARAVAARGGGDGSDTEDEEEDETKLLPL >Et_5A_040402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19748397:19748537:-1 gene:Et_5A_040402 transcript:Et_5A_040402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVSPKMEEEFQGGICLGRFKSQTKYEDSTR >Et_2A_016495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25251810:25257943:-1 gene:Et_2A_016495 transcript:Et_2A_016495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GVVVVAVAGSVLLLLLGTVLGTVLLLWSVQRNRPGEVGCTTADPENNEHKDGPTLPPELLRRRAARPRLRIGRGGCGSVYAATSPSRTVRQVAVKVFSEAVERGRREFDTEVSVMRQLRHRNVVRLVGCMVLVDHGAEPDTTQVVAGTLGYVDPEFVSDRRRSPESDVYSFGVVLLDIASGGRPTASPGSGRQPKKGEAAVVLLLNRVRVMYDRNAVLDAADGRLEAGAFDERQMERVLVTGLWCAHRDRSRRPSIVEAMAVLGSERSDLPVLPAAAPHGSLGQISALEKRAYGDLSTEDETTLSSDSTAPPPYHLIFLGIYFYTIVLCIHVSCASSFALNFSTTSMSPCNNDLVCWGNASFANHMIELTTNEISTGSGHSLGRVWYARPVRIWDAATGELASFTTTFSFKITLDQKNKNPGGSPNASDGMAFFLTPYSTNDVLNSSSEGGFLGLFNNRNKYNATGGNRVVAVEFDTFLNKWDNSGQHVGIDVNSIWSVASTDTSPVGDKINLTSNTMMAAKINYDNQTKLLAVDLDVDGASYHVNHTVDLKIFLPEEVAVGFSAATGSSAELHRISFWSFDSSLEEKVVPPASAPAQRIAYTSTPPVKSRPSIKYSAATGLSPELHRILVESPSFGSDGLGYFQPPPPGTYEETPYGTSTYTSSYTRSYIEVAPSPLLPKVLASVLVVWVFATVCVLVWLWLKRRKHVQQNEAPNDNESFATVRVLVWPWLKRRKNVQQNEAPNDNESSDEQHGTEADYEIAVAGPRRYHYRELAAATGDFSHENRLGRGGFGSVYRGNLHGVGGDKQVAVKLFASETSSQGRKQFEAEVKIISRLRHRNLVQLLGWCDSAKGLLLVYELVPEGSLDKHIHNNPRLLTWPERYKIIMGLGSALRYLHQEWDQCIVHGDIKPSNIMLDSSYNTKLGDFGLARLVDHGTGLQTTMHVAGTAGYIDPDFVSTCRPSTESDVYSFGIVLLEIVSGRQPVVLDPPFVLLKWVSSLHSQGAILNAADARLLGGDAADEGQMERALVVGLWCAHHDPEQRPSIVDAMDVLRSKEAKLPVLPPHLYKPLALPSVVSDSGVSGSSFSSGVRSSATTGTTNSSQSLNSYRSPVARFILDIV >Et_1B_014100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30738579:30742876:-1 gene:Et_1B_014100 transcript:Et_1B_014100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHGYKGGTVYAVSAGIVVGGTALGSALSNVKYFSEASSAAERILEVLRRVPKIDSESDAGEELANVVGEVEFKNVEFCYPSRPESPIFVSFNLRVPAGRTVALVGSSGSGKSTVIALLERFYDPSAGEVTLDGVDIRRLRIKWLRSQMGLVSQEPALFATTIRENILFGKEDATAEEVVAAAKAANAHSFISQLPQGYDTQVGEHGVQMSGGQKQRIAIARAILKSPKILLLDEATIALDTNSERVVQEALDLASMHRTTIVIAHRLSTIRNADMIAVMQSGEVKELGSHDELIAYENGLYSSLVHLQQTKDSMEADETGGTRITPAFWQSSSNSTSRRISAASGSNSARSIGSTEDDNNTEQQKLPVPSFKRLLMLNAPEWKHALTGSICAIVFGGIQPVYAYAIGNMISIYFLTNHEEIKDKTRTYALLFVALAVLSFLINIGQHYNFGAMGEYLTKRVRSLVGDRLSLVIQTVSAVLIACIMGLVIAWRLALVMIAVQPLIIVCFYSRRVLLKRMSKKSIQAQSECSKLAAEAVSNLRTITAFSSQDRISGLFEQAQDGPRKESIRQSWFAGLGLGTSMSLLRCTWALDFWYGGRLMAENLITASALLQTFMILVSTGRVIADAGSMTTDLVKGADAVASVFAVLDRETEIDPDNPDGYKPEKLNGEVDIRGVDSAYPSRPDVIIFKGKSTALVGQNGSGKSTVIGLIERFYDPLRGLVEIDGRDIKTYNLQALRRHIGLVRQEPTLFAGTIRENIVYGTETARVVRKKLSMRQGLPMHMTSFATSRMDMAHGVRGIQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMVGRTSVLVAHRLSTIQNCDQITVIDRGIIVEKGTHASLMAKGPSGTYFGLGHVSHVQQSK >Et_2A_015236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:58919:60589:-1 gene:Et_2A_015236 transcript:Et_2A_015236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAESTTGDAGGQVLVAVVLLVVAWLVVVTTSRRRRGRSRLPPSPVALPLLGHLHLIRPPPHRAFDRIIARYGPLVYLRLGPSTHCVVAGTAEAARDLLKFEASIPERPLTAVTRHLAYDSAGFAFAPYGPHWRFMKRLCMSELLGPRTVEQLRPVREAELAAVLGAAREAAARGEALDVTRQLIRLANNAIMRMVATALPGDMTEEARDCAKQVAEVVGAFNLEDYVGLCRGWDLQGLTRRTREVRDRFDALLEVMIQSKEQARMDKKKNSRTCSSQLPTKDLLDILMDAAEDDKADVKLTRDNIKAFVLDIFTAGSDTTATSVEWMLAHLLNHPACLAKLRAELDAVVGTSRLVAEHDVARLPYLQAVFKETLRLQPPAVFAQRETIEPVHVRGYTIPPKTSVFFNIFSIGRDPACWAEPLAFRPERFMPGGEGAAVDPKGQHMQLMPFGSGRRACPGMGLAMQAVPAFLAALVQCFDWAVPVPPGQSKAPPLDMEEAEGLVSARKQPLLLFPTPRIPAPTAAS >Et_9A_062500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23533713:23536523:-1 gene:Et_9A_062500 transcript:Et_9A_062500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSESQQNPAEAAPAPARSIPKEAQQLLHELAAAWEDVADCRALEVVPLKGAMTNEVYQARWATGAEGEEREARKVLVRVYGVGVDLFFDREDEVRTFECMSRHGHGPRLLGRFPNGRVEEFIHARTLSAADLRDPEISAIIASKLREFHNLDMPGSKDVLIWERLRNWLRTAKSLCSSDEAKEFCLDSMEKDITELEKEFSGESQCIGFCHNDLQYGNIMIDEDTKLLTIIDYEYASFNPVAYDIANHFCEMAADYHSKKPHILDYDKYPDINERKRFVQTYLSSTGEEPDTVEVENLINSIEKYTLASHLVWGLWGIISDHVNDIDFDYKEYARQRFEQYRLKKLAILTSQVAE >Et_6A_046450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15266281:15271001:1 gene:Et_6A_046450 transcript:Et_6A_046450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAAVDKPPDDESAPSPSRPWPRDVAARYDRLEKIGSGMFSEVYKARDLSRGGKAVAVKHLRGRADARFLRTGLHELAREAMSLRALAGCHAAVEVVAVHADASRRDGDCFLVMEYAGRRNLRDHLLLRAARGRAFGEGEARDAMAQLLAGLRWAHDEAGVLHRDVEPESVVVREEEEEDGGGEAATTYRLCGFGLSEPAATTYRLCGFGLSEPAARAEKDGLATLASSAAYRAPELLLGSSRYDGRVDTWGLGCVLSRDWFDVLSGLLESGPGERLTAAAALQMPWFTRPRWRRGFGRCFSA >Et_1B_009946.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:13470693:13470833:1 gene:Et_1B_009946 transcript:Et_1B_009946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWKKKQGDKDNSRSTPQEKGWIKINADASYIKETQQASAGIIVRD >Et_9B_064591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16755983:16760545:-1 gene:Et_9B_064591 transcript:Et_9B_064591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFAAEHSFARAASSAFGDAAFSAGFDDPQLPPLRLRASDCGGGAPASPCSSSSSSDFVSMSSTPSGMLNPCAQWSPQRAPSEASSSEMEFGTAREYDTAGLFFGENWLYNDLLFRGKPESDSGEGEDKFVVGPGASSPRSEVQQNGDGGSHRHEHRDGNAVSDGFAEAPCGCGYRERKNDKELVADACSAVYGRYHITDDQTEVLDECVAEVFRFRLNIDADAELEGGLLDSKVAEDERLDLSDVEKELHILSPYLVNGDPGLKHDSRGNDSVDINTVIEEIANGKEFLKNNYSIHPFPGFDVLEDVSGVKDLGEAHAVVQTSGTHKFQEDPKVDPALAEFLQEYEAFELKIFHRKNRTGFEENKEFPIVMNSVIAGRYRVTEYLGSAAFSRVSLDEIKLLKFVNKYDPEDEHHEHLFIVTELLRANLYEFQKYNQESGEEVYFSLPRIQAIARQCLEALVYLHHLHIVHCDLKPENILLKSYSRCEIKVIDLGSSCFLSDNLTSYVQSRSYRAPEVILGLPYDQKIDIWSLGCILAELYTGEVLFPNELVPIILARMIGTIGPIDTEMLALGQETQKYFTADYDLFHKNEETDQLEYLIPEKSSLRRQLQCPDKKFVDFLSYLLQINPQKRPTASEALQHRWLSFVYP >Et_2B_021749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5053971:5055080:1 gene:Et_2B_021749 transcript:Et_2B_021749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCGDETGLKKGSWTPEEDHKLMNYIQNHGHGSWRALPELAAIAKHLPGRTDNEIKNFWKTHLRKKLIQMGIDPMTHCPRTDFFAAPSQLIMLANLRQLIELQPWDSHTTGPQIEAVHADNLQFMQSLLESAASITANPTTISSLSSLTDLEQISLLFPQHIFSSTRPASIGNIDLLEGQVPHYQLPDTFFDQPVGNVNLSSDNKVISSEQCNVEGDNSRKKSVMLSENSLPPLTGTSASNPGNAISASEYDGNSTSLPSWSEILLDE >Et_4B_038678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3895493:3901566:-1 gene:Et_4B_038678 transcript:Et_4B_038678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSGWPLLLPPICVLLFRTRSEGVASRLLPPRLAGAVAVLGLFFAASVFVYSRGMQALPLSTSSLLLATQLAFNAVFAFLFAGLRLTPFSANAVVLLIVGPAVLGEAAGFGLGEAKYVLVFVFEAVSCSASGSWGSSSLLAGIMIAVLLPVSQVLGVIFLQEKFDGTKGIALVLSLWGFVPYLYGENAKMKKQEAEAREQQETKGGNDDDDEAASRLCRRFSSSDMATVTASASPAMQEAGKAPTPACASPARTNPSTPARYMPSPLVIFSACLVLIGAGGPLLLRVYFVHGGQRLWLSAALQISGWPLLLAPIGVSLFRGRRHGVLNLLLPARLAGAGAALGALYAASCFVYALGSQALPLSTSSLLQATQLAFTAVFAFLFVGLRFTPFSANAVFLLTIGPAVLGVGPGSGKPAGEASRTYWTGFCESIAAASLAGLVLPLVEVAVARAPPPYATVMQMQAVMGAAGTVVCLLGMAVKGDFQAAPKEAAGFGLGKTNYYLVLVGDAVAWQLLNLGIMGLIACASSLLAGIMIAVLLPLSEVLAVIFLHEKFDGPKGIALVLSLWGFASYLYGERAQKKLEAQKNEQQVAKKTGDLELAAP >Et_2A_017042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30430818:30437714:-1 gene:Et_2A_017042 transcript:Et_2A_017042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRAIALAALVVLVSVGIAKGRPVRSNLGLGIGASGGLGIGLDLGLGGSGSASSSGQGSGYSAWSGPNGGSYSAAGPGSGMGTGYGYGSGSAYGGGNGGSASGCGSGTSSCSGSGSGLGSGSVGLGTSINVGVGVGSSGGANGGSDCNTGSGTGSGSGSSRVTYRSRGRGSSNVGASSGSGVGFSGGSNVGPSSFSGASRGSNANSGSMSTANSGAGSFGASGSGSNSMSGSGSSSGSNAASGSSSGSVSATGSGSSSGAVAGSGSSSSSWSGSGSGSGDAVQAGPGSSAAAGSSSESGSFSGANSAGTNSNSGAWSGSNSGASSTVLSGPDTGATSNAGSSSSSWSNSGSNSGSFSGAGSSSWSSSSSGSTSNSGFGPNAGFGQGFGGGQGFGGGYGSGARPGFGMGGGGGFGAGYGFGAGAGGGDAGWVGKHH >Et_8A_056970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19674137:19681204:1 gene:Et_8A_056970 transcript:Et_8A_056970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRRKPLSLVELCLRTVIDNLRYVGSVDGVEMELLKRILPHCTLEQLTRIESRTQMDLSPITDPLWKRFYQRQFGEDHTKEVIMRIKAKHKHDKKEYKWKDLFRVKTEKQKEVEDMMVERFTKKFQAEKAEKQSKQIKLCTKVPPSSKRSFFGGYFLSLLLPQLYSFYYTLSKIQVFTGGGPSNLSNSNYKSSILKKARIEVNSHARLHAAIQKNSLARSSQPTRTTSLSGQPMKTTTIHRPNSTITITKPAGANRQIQNSSIRLILIYI >Et_10A_000506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11651486:11677829:1 gene:Et_10A_000506 transcript:Et_10A_000506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTDSQDSTQVMYGLAQCTRDLDARECVMCLNMFLSELSRLLPNNTYGTVKGYSCYVAYKIGQDLGITFLPMVQTPAPAPSPSSETLQPSGNPQLYHDESSPPSGPSAALVAGTTVGSFALVICAILVWTFFRKVREQKLEVSNDEPIEDEFEKGTGPKRFSYSELAVATSFFSDAQKLGEGGFGSVYHGYLKDLDLHVAIKRVSKTSKQGRKEYISEVKIISRLRHRNLVQLIGWCHDGGDLLLVYELMPHGSLDTHIHNQNNVLSWQLRHEIILGIGSALLYLHQDWEQCVLHRDIKPSNVMLDASFSAKLGDFGLARLVDHGRRSHTTVLAGTMGYMDPECMVTGSASTESDVYSFGVVMLEISCGRRPIMVVEEGPESTTMHLVQWVWELYGAGRILEASDDLLKGEFDGEEMERMMVTALWCAHPDRTMRPSIRQAVNVLRLEAPLPSLPAKMPVAMFMPPVDCFLTGSSAGTGCNGSGSGSANSTRSSIATETSDPVAGLNVGALVLVIFTSILASFLLCRCRQKTREHHELDMFDDEPLEEGFEQGAGPRRFPYSKLAAATCGRILDVTDDLLNSEFDSKEMGRMLVTMLWFAHPADRTMRPSLRIEALLPSLPMKMPVQASPRSYVCSTTDNFTAGSQYQVNLNSLIGNLLPSTIAGGGFADSTVGNASDKVFGLAMCYADRDLTNCQGCLQNITRDMQQVCQFSREVKVCYDACVLHYSDQPFLSVADLDIDHYVRVDSWVSDMVSMNATRWSLMTGLVPEAASSPLRFANGTKIYTDSQGNTQVMYGLAQCTRDLDAGECVRCLNKFVPELSRSRPNNTYGTVKGYSCYVAYKIGQDLGITILPVAAPPVQPPGTHSPSSAALVAGVTVGCVVIVICIGILFLFLLRRRRGNARKQEEEPLEDGFERRVGPRRFHYNELAIATNFFSEEEKLGQGGFGLVYHGYLRDMDLHVAVKRVSKTSEQGRKEYISEVKIISQLRHRNLVQLIGWCHDGGELLLVYHLMPNGSLDAHIHNQNVLMSWQQRYEIVLGIGSALLYLHQDTEQCILHRDIKPSNIMLDASFTAKLGDFGLARLINHSSQSHTTVLAGTMGYIDPECMLGGKASTLSDVYSFGVVLLEIACGRRPIVVMSDTEEYATMHLVYWVSEFYERGRILDAADARLNGEFDPKEMESVLVTALWCAHPDRNRRPSIRQAINVLRLDAAMPRLPAKAPASRFLSPATHLRSERRSVTGRSGRGTVGTARSGVAPMTSRRFLVVLLTVVSLFAGGLVLAVDQAYIPWRPYCSTTGSYSVGDRYQQNLLNLMANLSNRGVANDGQGLRPHHVLRRQKPRRLPDLPQISGLRVCPGSREMKACYDACVLRYSNVFFFSVADLDGAFKVTGGNTVADAASMNSSRSKLMNKLVGEAASSSFRFANDSMEYTDSHGNSQVMYGLAQCTRDLNASQCDMCLKHFIGLLPINHPDKTYGTLKGYSCYLAYQIGKDLGITFPPGPAPAPSLQPSESSPTAGRRAALVAGITVGSFALVACAAILVWAFVRKAREQKLDALNDEPIEDEFEKGTGPKRFIFSELAVATSFFSDAQKLGEGGFGSVYHGYLKDLDLHVAIKRVSKASKQGWKEYISEVKIISRLRHRNLVQLIGWCHDEGNLLLVYELMPNGSLDTHIHNQNNVLSWPLRHEIILGLGSALLYLHQDWEQCVLHRDIKPSNVMLDASFRAKLGDFELARLVDHGRRSHTTVLAGTLGYMDPECIVTGSASMESDVYSFGIVILEICSGRHPIMVVEEGPESTTMHLVPWVWELYGAGRILEASEDLLKGEYDG >Et_3A_024282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19126162:19130489:1 gene:Et_3A_024282 transcript:Et_3A_024282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCIGRRVVLFPLPYQGHLTPMLRLAAALHAGGLAVTVLHTELLAPDPADHPSDYRFVRVPGSWLVTALNALFAAPFKDALLAEGEGVRCVVTDVVWYSAQAAARELGLPALGLMTSSAASFRTFMAYPALLDKGYLPVQESLKHAPVPELPPFRVMDLQRIDTSSLADFARMVDHIVAGARQSSGLILNTFDVAEIREKLEPVPVFAVGPLNKLSPPSTAKSTASLDAQAPGSVLFVSLGTVAAIDEREFAELALGVAGSGRPFLWVVRPSPVRGGCDELPAALEEEIQRGRGRVVPWAPQEEMLAHPAVGAFLTHSGWNSTAEAVSQGVPMICRPCFGDQFGTARYVCEVWRVETRLQRWDVQAAVEKLMNDADEGKEVRQRMKDLKQKTDKSIEECGASHTALMGLLDLIILMNNEEGKEVTQRMKDLKEKNDKCVSGSSHAALIGFLDLILSF >Et_9B_065556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6838728:6839451:1 gene:Et_9B_065556 transcript:Et_9B_065556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFVFRITTLEGYNPYDDKRSDRLTFLLSSTNKFLNDSLPGQYLGLFNRSNRDNTNKFVAIELDTPMNPKMNDMDDNHIGIDINSLISLNSHTAGWTPRMQLNVTLGDPWFPKPEIPQVYIKPSESFISAINTFATRHLVLGWSFKRNGEAPRLNYSAFIEGWDIKSNNPAPPELYINISQNIAPLPKNPLHPARRALHIYTSL >Et_4A_032280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27890289:27891176:-1 gene:Et_4A_032280 transcript:Et_4A_032280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISPRPAPPTAPRASTSSTTPTRIRGLSRATAAASRHRHRPLPRSAAAVTSGVVPQPADRQNGGDDLGTLRPQPELEGAVDLLDEMLRGNTGAADGLELEEQVALLHACAEKRSLSALRRAHRLLSSRSEHGLPAPISHMIATLYLKLGARGDARRVLEKKAAAEDAAAVRAKRREAYEKVRELHEQIRAAGYVPDTRHVLHDVGEDAKARALMYHSERLAIAFGLVSTPPGTPLRVMKNLRICGDCHNAVKLIAKVTGREIVVRDNKRFHHFKDGVCSCGEYW >Et_3A_023748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11840378:11843158:1 gene:Et_3A_023748 transcript:Et_3A_023748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQISRGTVALLFLLAVAATVFADGDEVVALTESTFEKEVGQDRGALVEFYAPWCGHCKKLAPEYERLGASFKKAKSVLIAKVDCDEHKSVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRTAEALAEFVNTEGGTNVKMATVPSSVVVLTPETFDSVVLDESKDVLVEFYAPWCGHCKSLAPIYEKVASVFKLDEGVVIANLDADKYRDLAEKYGVTGFPTLKFFPKGNKAGEEYDGGRDLGDFVKFINEKCGTSRDTKGQLTSEAGRVASLDTLAKEFLGAASDKQKEVLSKMEEEVAKLSGSAARHGKVYVTIAKKILDKGSDYTKKETERLHRMLEKSISPSKADEFTIKKNVLSTFSS >Et_3A_024656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22985436:22988016:1 gene:Et_3A_024656 transcript:Et_3A_024656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVPHDIIELDAGVMITGDKTYDQVNKQAFGFHEDWSKHAKSDVEVADNFVDPSAITATNVDPWDGLGRGFPHSAAGPSAVPATKFYPWDGMGGFPHNAAGPNVISSANFNPWDGLGAYHGATVLPTAHFVGQLEWMTMLLTKGMATGVGALLPLGHMTSPEMPHQPSQTNIANNETDEKYNSFKQFDTVGDHSDHFYALPVPGNGNVQAVKKPSKNWVNRIQREWKVLENDLPDSIFVRVYEERMDLLRAVIVGPAGTPYHNGLFFFDVFFPPQYPNKPPHFEEFTVGHFRKYGRKLLRGCKAYLDGAQVGCLVGGVQDVDEGDKSCSKDFKASLKSIFVDLQTEFTNIGVDCNEFKSLEALIATPDTALKL >Et_3B_029425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24912753:24921499:-1 gene:Et_3B_029425 transcript:Et_3B_029425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLCLTAILPAFKRTPECTARPDDCTLVPWQLPLLFASFVLMSVGSGGIRPCALAFGADQLDRRDNSARNVRRLQTFFNWYYTVLGLSIVVAATVVVYIQQAKGWVVGFAVPVLLMVTALALFLVGAPLYVKEPADSSVLVGLVRVLVASYKNRHEPLPPETADSSFFYNKAGTTNPRTPTNMCRYLNRACVLRNPGKELTPDGAPCEPWRLCTVQQVEDTKAAIRVLPIWSTGIMPGVIIGQQMFPVLQASTMQRRVGRFEIPAASFGVFSILTLTVWVAVYDRALVRPLSRLTGHARGLSLRQRMGLGLALFAAAMAVAARTESARRAAALAEGLREFGPRSGRAVRMSAMWLVPQHCLTGLAEALNLIGQIEFYYAEFPKSMSSIGVSLLALGLGFGGVLGSAIVGVIGRGGRDGWLDNNLNKGHYDYYYVVLAVLCSANVVYFVVCGWAYGEEGRNRVVAADSSEDDDDKDGQQQHKAMALDLDLVACGHFESRWNREPKKAMCVPLEIPEWKREAESEPCRRSLHSLVADEPDAEEECFKGKGGFKALPFIISNEMLEKVAGFGLNTNMITYLTKQYHLSNVASQTMLFVWAAVSNFAPIPGAVVADMYLGRFMAVAFGSVSCLIGIIFLWLSAMIPGARPPPCVDGEQCAPPGARHLAWLLAGFAFLSVGAGGVRPCSMAFGADQFSRHPKERRSRILQAYFNAYYASVGVAFAVAVTVIVYVQDNVGWRVGFAVPVCLMLLSTVSFLLGSRLYIKDKGNKRMLCGIGDAVVAAVRNSGARLPARTEDGVYHHLKDSKLTVPTDHLRFLNKACMVREDAAGNDGSGGRKPCTVDQVEQLKSAIRVLPIWSSTIFLALAMNQSFAVKQADKMDRRVGTGGFTVPSGSLSLFNMATMSLWSASYDRWVAPALRRHTGNPRGLTMKQRVGGGLLLATAATAVSAVVEGARRSRSRRGVPTSAFWLVPQFALVGLAEAFGVIGEIEFFYKELPKSMASFSMSLLYMAMGVGNLAGALIVKVVQAATGTHGGNTSWLVDDLDAGHYDYYYWLLACYGAANFVYFAWCSWAYGDEGKNVDWEEEDDSEQPVV >Et_2B_019613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11001226:11001947:1 gene:Et_2B_019613 transcript:Et_2B_019613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTRETAFALRKLPLTKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKNRQPNGQGRWPAKSAMFILDLLKNAESNAEVKGLDVDTLYISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEAESQIATRKA >Et_2B_022778.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:4965364:4966341:-1 gene:Et_2B_022778 transcript:Et_2B_022778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHSVLLLLMALVITTNMATASSSGATRKLMFLVQPQPNLLTYHNGAVLSGDVPVSILWYGRFTPAQKAVVSDFLLSLSAAPGAAPAPSVAQWWSNVNQLYLAKAAAAVGKSGAHGGGASATHARVVLAGQVSDEACSLGKRLQLSQLPALAARARPAKGGVALVLTAADVAVEGFCMSRCGTHGSDARTRAAYAWVGNAATQCPGQCAWPFHQPLYGPQAPPLVPPSGDAGMDGVVINVASVLAGAVTNPFGDGFYQGDRAAPLEAASACPGVYGKGAYPGYAGDLLVDKTTGASYNAHGARGRKYLLPALFDPDTSACSALV >Et_9A_062910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6562050:6564378:1 gene:Et_9A_062910 transcript:Et_9A_062910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYMDLPTKGGFDFGLCRRNQSLAEKQGLKIPGFLKTGTTIVGLVFKDGVVLGADTRATEGPIVADKNCEKIHFMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATGRESRVVTALTLLKSHLFKYQGHVSAALVLGGVDCTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESKYKEGLTREEGIELVAAAISGGIFNDLGSGSNVDVCVITKGKTEYLRNYLLPNPRTYVSSKGYSFTKGQTEILSTKITQLKPKVEVAEGGDAIAMEE >Et_2B_021003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25610740:25614897:1 gene:Et_2B_021003 transcript:Et_2B_021003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSLEEERRLPSRPAEAAGPDGLRKCKSDSKAIASALAPPKDVEDLQVEGYGNVNIFTYNELRAATKNFRPDQILGEGGFGVVYKGVIDENVRAGFPSRQVAVKELNPEGFQGDKEWLAEVNYLGQLSHPNLVELIGYCCEGSHRLLVYEYMACGSLEKHLFRRVCLNMPWSTRMKIALGAARGLEYLHGAERSIIYRDFKTSNILLDADYNAKLSDFGLARTGPSGDQTHVSTRVMGTYGYAAPEYVMTGHLTTRSDVYGFGVVLLEMIIGRRAVDKSRPTREHNLVEWARPLLVHNRKLFRIIDPRMEGQYSTKAAIEVASLAYRCLSQNPKGRPTMSQVVETFEAVQKMPECQDVLLQDCITGAVTLYEVPKEPAESVEPEKAKPVPTSRRTRPGNGRSKSETALESKLYIPSPDSDGAGLEVLASPSRNGTIQDPPDEDLYKI >Et_4A_035795.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:6048186:6049262:-1 gene:Et_4A_035795 transcript:Et_4A_035795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHRTVARRIWAGKNTAASAGSIPNPAAPVPPARRPLPAVEDCPTLAFLRPRPATVRYTTASIPLPAHCFPAFPVGDHLFNRLRLDGLAPPVATRPAPEEEAAGRVTVEQARKVARAAEMEAARTRLRSHAHSVVSGSEFAALCVDIAGGVEGGRRLARALDEAGVVIVLGDAVFLRPDMVARAIGSILPAPAARPAAPRASPDDDRKKREEEEALEAMEAQKAAIDADAAAQVRRELWCGLAVVAAQTLGFMRLTFWELSWDVMEPVCFYVTSLYFMAGYAFFMRTDTEPSFEGFFRARFATRQRRLMRARRFDVQRYNALRQGLGQGQASCSAAVVRGERDLDVFRAFRHVTHAQ >Et_1B_009954.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:13988299:13988403:-1 gene:Et_1B_009954 transcript:Et_1B_009954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNCHCCPDGSRKENCHESMKECRANCAACKPRC >Et_2B_021711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4716846:4734165:-1 gene:Et_2B_021711 transcript:Et_2B_021711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPCASGPAEKATAPYGSWESPITASAVSAAGRTVEGLAVAGDGRLVWVETRPEEGGRAVLVREAVKPSGEAVDVTPQGFAVRTLAQEYGGGAFAVQGDTVVFSNYDDQRLYRQTIGDNSPQPLTPDYAGSVVRYADGVFDPHFHRFVTIMEDHRHNSSNPITTIAAVTLSDQGVHEPTMLVSGNDFYAFPRVDPSEKRMAWIEWSNPNMSWDKSQLWVGYFSEKGKVQKRICIAGEDSTLVESPTEPKWSSKGELFFMTDRWSGFWNIYKWDEQSNLVTPLYSLDAEFSKPMWIFGVSSYDFLGKDDSNHKIVCCYRQNGKSYVGVLDHDSGSFSEVDIPFSSVNNIVSGNGCFYIEGASASLPISIAKVMLDNKRTTATDFSIVWSSSEDVTKYSSYFSSPEFMEFPTVIPGQLAYAYFYAPCNHIFQGPSDEKPPLLVRTHGGPTDEARGILDLSVQYWTSRGWAFVDVNYGGSSGYGREFRERLLGQWGVVDVNDCCSCAIFLVETGRVDGERLCVTGESAGGFTTLACLAFRQTFKAGDKQAYFERSPINFVDKFTCPVILFQGLDDPVVSPDQATKIYKAIKEKGLPVALIEYEGEQHGFRKAENIKFTLEQAMVFFARLVGRFKLVDGAENWSPKFKIAAQRAAAEVGEAHDLGTRQAEIVELGDAWSQDFVDVGVEPDRVVGDDGGDVGVGKAEAGEESEDGAGLRGGLEVSDPLGGLESLLLGEAAAGDEFSTAGEGFAVAEGRQRSERIGEMEPSAAPYGSWRSPITADVVSGAERRLGGIALAGDGRLLWIEGRPEEKGRMVIVKEDDKPVDVIPQEFAARTLAQEYGGGAFAVNKNVVVFSNYKDQRLYKQAIGNGSQPVPLTPDYGAPDVSYADGVFDPHFSRYVTVMEEPKVLISGNDFYASPRIDQTQKRMAWIEWGHPNMPWDKSELWVGYFSESGDLAKRVCVAGGNPMLVESPTEPKWSPKGELFFVTDRGSGFWNIHKWVEQTNEIVPVYALDAEFTKPLWVFGISSYDFLGGGNHIILSYRQRGRSYLGVLDCDSGSVSLLDIPFSDLSNVVAADNYFYIEGASASIPTSIAKVTLNESKTEVTNFSIVWSSSPDVVQYEHFFSTPEFVEFPASTPGQKAYAYFYPPSNPCFHGLPNEKPPLLVKTHGGPTAETRAILDLSVQYWTSRGWAYVDVNYGGSTGYGREYRERLREKWGVVDVDDCCNCARFLVENGKVDGERLCITGRSAGGYTTLAALAFRDTFKAGASLYGIGDLTLMRAETHKFESYYIDNLVGNERAYYDRSPINFVGQFTCPVILFQGLDDKVVPPNQARKIYKALKEKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGKFEVADDITPIKIENFD >Et_4B_036003.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1190992:1191438:1 gene:Et_4B_036003 transcript:Et_4B_036003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVPRRTRPTGTIHSCSRAGWNATAHHTSTPAPARPTHATPAVAAAQPRVTPSSSAPAPPISSATCVLLPAFASRCAIARGADLVWVQLCARASGRSEYAGGGRAAGEGSCGRGYLVMANSVHASRHRAFTPSGCHVKEEEPLFSSS >Et_1A_008577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8963304:8965462:-1 gene:Et_1A_008577 transcript:Et_1A_008577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIRCTCNRQRHHLHSSSAIPPVKRREREFRNSIGGVHCSGPQPLNSTFLEMPFGGLLPWIPVPDGLVRYGQRPASQKSAMFPPSMLALELYQSADQLLACSWDLIRCPDIIIT >Et_9B_065448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5107108:5108268:1 gene:Et_9B_065448 transcript:Et_9B_065448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAGQSEEKLEYFLKCINNAIDRISKMGPSKEKSKVQEFGSFVGTTFPSEISIYPPEVVHTKGNGKRFKHGSEQSSTSKTRKRSRSRRNVVESYQAVVEMETPAVANVLAHEG >Et_1A_007609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36483880:36486096:1 gene:Et_1A_007609 transcript:Et_1A_007609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEVVVLLQMATAVINLVTSMYKLTPPPKGEVGLADDLNFTKKEFEMMLSFLADEAERRGHQSSTARTWVRLVRDLAYDVEDYFQEFVIHLEKPPRSPSNPVQRKLLVDKIQGLREMMKQSKFIKDLYCGPASATDVAHDLHNMFTIMPVTFASKQELFIGRETEKSHIIKIVTNDDKSRQIIPIWGMGGLGKTALARSIYENKDIIGKFQKSAWVTLPHLFNEAELFRSLVLQLQDADMTHKETLKKMELQELIEEANRHLRAGSHLIVIDNVFSIAKWKFLIQYLPEEENANRIIVTTREKTVAEHCSVHNIYKLEALSYDAALELFVK >Et_1A_009021.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:25678348:25679067:1 gene:Et_1A_009021 transcript:Et_1A_009021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSPRQPGAGGAARHHHHHQAAACKVHRDSHGIHKAASTSPPSSSSTNSSVSSSSTTSRPAPAQKQPQPQRQQPVIIYTHSPKVIRTSPRDFMSIVQKLTGLDGPARAAAARNANAAAVAHDESSSSSSESCANANAHAVHAAAAAPPHPYADPRLMPPPPPAAPPQLDARFAAAAPDIPLFAPGPSELQLCVPTGLYDQFPLVDAAAPLDPVMMNGSAGAAFSPSMVEAMRTFPDY >Et_6A_046527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16577312:16577859:-1 gene:Et_6A_046527 transcript:Et_6A_046527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRYVRPSDSRAGRSLEESVQVGIEKKITNVCKEPFGSGLNGSIPATQGKLSNLQVAWEQPDGNYTYPHNQTNLVSLDLQKNFLSGPIPVSQGNIKTLWS >Et_4A_034766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6334518:6337809:-1 gene:Et_4A_034766 transcript:Et_4A_034766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAVMVISTQAKPRLLKFYNFQVWDLLPLFSTATLFSPSPAHQPPEKHQDVVRGVFQLLSARPDSVSNFVGVDAIFGPGTKMVYKHLATLYFVFVFDSSENELAMLDLIQVFVETLDRCFKNVCELDIVFNFNKLHTVLDEMILGGQVIETSSEQIMRSVEDIGRLEKQSSTTSLIPKSISERFSR >Et_10B_003885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7205517:7220840:-1 gene:Et_10B_003885 transcript:Et_10B_003885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLPPPLTARSPKPRGPTLPSSSRVAARDKNRATYLNDSSADETEKEFLPSFGAVPLGPLLYILQQHSFTYSGIREKEEASRGNRIRAAAAPVVKMLVVDEKSGAIALMLASLLFLGTFPAVLTLLERRGRLPQHSYLDYSVANLLAAVVIALAFGQAGETKHGMQDFFTQLTEIHDNWPSVLFAMAGGLFLGLGNLISQYAWAFAGLTVTNIICSSLTVVLGTTMNYFLDGRINRAEILFPGVGCFLVAVFLGAAVHSSNAKDNEKKLSLVESGGVDFGSDVTSKEAVLLDPEDPKKNGDCENGNATGEAKKPGTAEFIIAVENRRSIKVFGSGRLLGLVLIFFAGVCFSLFAPAINLATNDQWHALKTKGTPHLVVYTAFFYFSLSCFVLGIGLNLWFLYRPTAGVPSSSVGAYVRDWKGRQWALLAGLLCGFGNGFQFMAGQAAGYAAADAVQALPLVSTFWAVVLFGDYRRSSRKTYILLAAMLTMFVVAVAMLIIENKGSAIALILAALFFIGTFSVLLTLLERRGRLPQHTFLDYSITNLLASFIIAFTLGQAGESKPGQPNFFTQLTEDSCEVSVCSCHHANIRLDYEALYSKFFPGSSLIYENWPSVLIAIAGGIFLGLGDLIAQYAWAFAGLTVTNIICSSMTVVIGGTINYVLDGRINRAEMLFPGVACFLIAVFLGAAVHSSNAKDNEQKLNMSGSHPHFNPQFWAVLPDPEDPKNSGDRENINVTSQAKPGTREFIVAVEKRRSIKVFGSELFGVGLVFLAGVCFSLFAPAINLATNDQWHSLKKGTPHLVVYTAFFYFSLSGFVLGISLNVLFLYRPMAGVPASTIGAYIKDWNGRHWALLAGLLCGFGNGFQFMAGQAAGYAAADAVQALPLASAAWAVILFGEFRKSSRKTYLLLAAMLSMFIIAVAVLTNSAGHRKT >Et_9A_060983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2406660:2407003:-1 gene:Et_9A_060983 transcript:Et_9A_060983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHMTCTSSYVHAITSPYSCDDVVLSRSPPGMPDSFRRTN >Et_1B_013939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24973756:24976188:1 gene:Et_1B_013939 transcript:Et_1B_013939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAAQAGVVAACVVLFVPMGLAGWHLSRNKVLFFSGALFISLAVGVHLSPYLPSLPHLLAASFFLPHPGGGASSSSAAASPTCVPFLHRVSWADADAAADGARGRAWAWPPSLASTCGFARLSRDEASLLLNGSWVMVAGDSQARLLVLALLRLLLDPAAAAAAEPDLFRRHSDYRAAVPKRGISVDFVWAPFESNLTRLLREDLRLAPRVPDVLVLGSGLWHMLHVTDAARYGDALASVAAAANWLHSSLPVPPPHMFWLGLPRLVNSMLNTEAKRAHMNDTMLRAYDQEVNQRGLLRADGGPCLLLDVGKLTQGCGQQCTADGMHYDGEVYDAVLHIMLNALI >Et_1B_010819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14412437:14424792:-1 gene:Et_1B_010819 transcript:Et_1B_010819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFPGGTPDPQQLQSTMLAIEQACSLIQLHINPSEAEKVISSLHSSLMPYQACRYILETSQMPNARFQAAGAISDAAVREWGILADDNKRSLILYCLNYVMEHASSPDGYVQSKVSAVAARLLKRGWVEFPDQEKATIFFEVEQSIRGTHGPNRLFAAINFLENLISEFSPLTASAMGLPKEFHEKCEWSIEVHFLKDFYCWAQAAVFNTADKIVNSNVTIPEERACSAAVRLMFQILSWNFKHTVEHDNSDAKINSGLRNDTINLKKFERSLVKPGSLWRDILISSGHTAWILNFYTTLRQKYSYDTLWVDSPLAVSCRQLIVQLCSLAGSVFPNDNGDAQVKHLMHILSAAVLWIEPPDVIAASIRNGGSESEFIDGCHVLLSVASLTTASLFDNLLKSIRQYGTINLLSALTSEAVKSVLDNQSEEEAWGSDALDILLETWNVILGDADADKSPISVDGALAASSLFKIIVDSHLKAAADSAFEDTDDTEYFHVSVSKRDEQLALYALIARAAADTTIPYLAQLFSERFARLSQKNIESDPTQTLEELYWLLLITSHVLTDSGEGETLLIPEALQAGFPNVFEAAQHPVVTLSWSIINFSRQCLDPGIRAKFFSPRLMEAVIWFLARWVGTYLVPLDVSRGQVSRAETDGVGTNGSLHSRKLLNTFAWENNQGELVLDFVVLISMLALTTYQGENELQTLTCQKLLATVVRRKHTCICLVQLDSWRDLTRAFASGRSLLSLSGRLQRSLAETLACAASCIKDPEASAQYLRELMGPVAGCLVENASRSDLKSVAHQADVIYMICCLLERLRGAARAAQPRTQKVLFEMGHTVMNSLLTLLEVYKDQSTVVYMILKFVVDFVDGQAVFLDAKETSALVSFCLRLLQIYSSHNIGKVMLSLSSTLRSESQAEKYKDLRALLRLLTNICSKDLVGFLSDSNGEGSPDIAEVIYVGLDIVTPLISLDLLKYPKLSRDYFVLTSHLLEVYPEKVAHLNRDAFARIDSDIVERCLAGVNALASYHFRERLGGREGLNPQLMEAEGSHGKVQESISSHFLRLLLQLLLFEDFRMELAGSAADALLPLLFCEQELYQRLVHELVEKQQNPAVKSRLASAFHNLTSSNNLASSLDRPNRQKFRKNLRTFLAEVSSFMQIK >Et_7B_054909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5442252:5444836:-1 gene:Et_7B_054909 transcript:Et_7B_054909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPWLGKIASACWDRVRKYALTRKDEADDGDADDLLWSRDLGRHAAGEFSFAVVQANDVLEDHSQVETGAAATFIGVYDGHGGAEASRFISNHLSAHIVRLVQEHGTMSEDVVRNAFAATEEGFLSLVRRTHLIKPSMATIGSCCLVGIIWRGTLYLANLGDSRAVVGCLNGSNKIVAEQLTRDHNASMDEVRQELKSLHPDDSQIVVLKNGVWRIKGIIQVSRSIGDAYLKKPEFAIDPTTARFHLSEPLRRPVLTSEPSIYSRVLSSQDSFLIFASDGLWEHLTNQQAVEIVYNNPREGIARRLVQTALKEAARKRELRYGDIKKLDKGVRRYFHDDITVVVVFVDHELREEGSASVPELSVRGFVDSGGPSSFSGLSGIS >Et_5A_040589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10002855:10003797:1 gene:Et_5A_040589 transcript:Et_5A_040589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KSLKDPKRQIKFMTYNVWSREDVVVYKEDDGNWRPRGGAQPRCDILPELLMVERLPALTSVPRGAGNWTALLLAGKPNTFLITRSPTTNNMHYACTEFVTTFRQLADGPQAEIYPGQEAAKPIRVATTAGGTYAVHGAVHAGRARRHDAVGSEENVVLGGDMWWRGVTESPTALFHQWVGWTPGQCFVPMPWQSIVGLDGFLNEKVEWSYDLYCEESLKQRSDPLKDYRIHSILLIGHQKTLRYHKIEGNETTFLTPNCHH >Et_6B_050100.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6195538:6196329:-1 gene:Et_6B_050100 transcript:Et_6B_050100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSMSAPESGADIAVDLYPFLRKFKDGRVVRYAHSAFVPASEAPTGATGVATRDVLIDPITRVSARLFLSAAAADASSNRTLPLIVYFQGGCFCTGSAFSKLFHRYAESLSSARAGALVVSVEYRLAPEHPIPAAYDDAWVALQWVLSLSDPWLADHVDRRPTRLFLAGESAGANIAHNVATRAAGTPDSDDVNIEGLVLLQPFFWGSHRLPCEANWDGQGMFTPERVDTFWPFLTAGMAGNDDPRLNPPADQVAALPCRVP >Et_3A_025585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3134432:3138066:-1 gene:Et_3A_025585 transcript:Et_3A_025585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRGLRARPGRLSGSAEEGALKDRPRLPGQRPRRGSKRAGDATKRACRAVELAVEATKVLSQPSEDKEIEECSVDDVGAEFMEYSCAASAEEEVKLYQRLYKKRSNMALALYHKNHPDEQYKLLRVCLNDIFNFMEYRLKHPFNTHMNFVALDVKTGLEKLFFAELCMINDMKDGNSGYAAITCEIVDDSSPGGRKNICCFADGKYPPDYYDGENCYACMERIKHPPGVSYRAGHDVFGYGM >Et_3B_028397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14706524:14711783:1 gene:Et_3B_028397 transcript:Et_3B_028397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HYPNSTKQTGYKIWVYRNHLAPLHPSSRAKEEKIFVTVRVRPLSKKELAVRDDIAWECADSQTITYKGPSQDRAAPTSYTFDKVFGPACQTDVVYDEGAKDVAMSALTGINATIFAYGQTSSGKTFTMRGVTESAVCDIYKHIDNTPEREFVIKISAMEIYNEIVKDLLRPESGPLRLLDDPEKGTIVEKLQEEIAKDSQHLRHLISICEEQRQVGETALNDTSSRSHQIIRLTLESRLREVSGCVKSFVASLNFVDLAGSERAAQTHAIGARLKEGCHINRSLLTLTTVIRKLSSEKRSGHIPYRDSKLTRILQLSLGGNARTAIICTMSPALTHVEQSRNTLFFATCAKEVTNTAKVNMVISDKQLVKHLQTEVARLEAELRTPDRGSSTEIIIMERDKKIRQMEIEMEELKKQRDNAQSQLEELRKKMGDHQPVGWNPFDSPQKARKCLTFSGSLQPSNKTMMRSSIRQSSTAPFMLKHEIRKLEQLQQQLEVEANRAIEVLHKEVECHKHGNQDAAETIAKLQAEIREMQAVRSLSRDVEMIADEGNGSDLKDEISRLHMQDNDIAKLEAKLENVQRSIDRLVMSLPNVGMPCNETTPKSNRAKKKKKTLLPLGVSNNINRANFLRTPCSPHSSSRPSESEVENRAPEADTVSHEGSEKATPTKSEDGDISSRDETPRYRRSSSVNMKKMQRMFQNAAEENVRNIRAYVTELKERVAKLQYQKQLLVCQVLELESNEGKPNDEFEEENAGLLQDDSWDRLFKEQMQHIIQLWDVCHVSIIHRTQFYLLFRGDRADQIYIEVEVRRLTWLQQHFAEVGDASPAAGDDSAISLASSIKALRNEREFLARRMGSRLTDEERERLFIKWQIPLEAKQRKLQLVNKLWTDPNDQVHIEESADIVARLVGFCEGGNISKEMFELNFAIPTSRKPWLVGWQPISNMIREKTQLW >Et_5A_040320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13648530:13649804:1 gene:Et_5A_040320 transcript:Et_5A_040320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKKQSSPPRPTTVHDIPDKLLELILLSLTSPLWIIHAAATCRRWRRILSRGPLISFHDYLPEAAGYYYPPPQSGGSRSWKLTDSSGSLLLLTKRKGGWMRHCFPDLVVCEPLTRRYRLVPRPAEMKHHECLGVFLPGNCNRLEDFWVTCVLYECYNGVSGEDGTITSCIFGRRRRGMWNWSTSPDVVRGGLDLHLRSKDSLQYLGHDAEASFWWIKDDDTSKRRLVCALWNAWFKLLVLPQHIQRLCTNESTFRFVDGGDHKMRIVCLEGCTMRVFAMQYWYDYTGGSDWMLENIIDLSVATRGLLGRKEECLGDAAVATKIINVSRRCVVLVRPGVEMCPFSVDVRTMRVERWNMGSRSHDMAYRYELPWPPRLQACLCPCKRRRQGPCYEICTCY >Et_1A_007425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34351310:34352320:-1 gene:Et_1A_007425 transcript:Et_1A_007425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHAFSITDDDDLIGGAIGGPRGAPVKEIAFAAALLAFGVLGVVGGLAMAANQVGGDRAHGIFFMILGIVMFIPGFYYTRIAYYAYKGYKGFSFSNIPPI >Et_2A_017908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7875429:7884381:1 gene:Et_2A_017908 transcript:Et_2A_017908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMPPPPPRNPKPSSSSSSMPPPPPRKPSPPAPQLEVPVASSSDATAAEVDERAIPSTSSSMPPPPPPKPVVVSEPDVSPAGKDGSTNPSPSTSDSSAEEAGNSSGVASGDTEMEEAGAAPAEQQKQQRPRAPYTIPEWSAAPDHPFFLEVLKDGIIFDKLDVCKKGAYMFGRIDMCDFVLEHPTISRFHAVLQFRNDGKVFLYDLGSTHGSFINKTQIKKRLYTEIHVGDVIRFGQSSRLYIFQGPSELMPPEKDMQKLRDAKIRQDMLDREASLSRAKTQAALSEGISWGMSEDAIEDAAEDEADEVTWQTYNGQLTDRQEKTRGKILKRMEKIANMKKEIDAIRVKDISQGGLTQGQQTQIARNEQRISQIMEELENLEETLNDSIRESLGARSGNTKRGSRKAALEEEEDVLSDDDEFYDRTKKKSSSQKSSEQQSVETADSLLEKKDSITSDIEKKKQLLEEEKLKLAQSDTANHGDDLDAYMSGLSSQLVHDKIARVQKELSDLQVELDRVVYLLKIADPMGEAARKRDLKPLEAQIQASGDKPRHESNKQNKVAQTTSTEEKQEKLKDSCVKTQTDKPGKVEDDVSEKQENGSKPAFTMPKPQWLGDKRIIEPEENFINEEKADAEETDNFVDYKDRKTILSNSGSVKDLEEAAPGLILRKRKTVDQSAANEADSSSVQSEASAADAVALLLKHKRGLQTSDDMGNEDEPQAKASKRDGKKSKQKRVLGPARPDFLDAGSDQETWVPPEGQTGDGRTALNDRLEGIALLSPAPTLGHARSFFELCPWRRVSSLASPSSPASRHGVATSAAMDLDTPPRRRAGPKPRQGQDGASPPQHDGKLHFFPPSHGVRACELRLRGARVS >Et_10A_000418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:94025:96494:-1 gene:Et_10A_000418 transcript:Et_10A_000418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSMTSSVLSANYNTILFEFGVILVTSKILYALLRNVYQPRVFSDLLLGIVLAQFRVLSLTNAINLVFGKIGGFVFAPYLFALGVEMDPSSLLDSPSGDAVVAYAGILSTSVVVTLMQASSGVVQERSLRAFLGLAAVLGNTASPVLTRLTTDLKIAKTAVGRLAVGAGVASDMLTTMLVAVGGMVWRDAGGDQAMVQPVLTAAVLVVVIVSAFVSRAMAEWVGGRNPEGRRMRGFDLSLVALAAAALCWLSSALRLDVNMAAFLVGLAFPSEGRVSRLLVSKINFVLSSFVLPLYVCHVCLSLRQTTDDIEAAGLRRNEGFRAYVMELPFPWWKVLFVTLMGTAGKLAGSAAAGLLRGLGWLEALALGMLLNVKGYFHIYCAQAAFDAGIITDKSFMAIIFMVALNVAVTPMVGMGIASWARRSVQWRLMGLQHHDPSSTELRLVVGLRGPQNVPALAFLMEALRWGGGELAAYAVDMVQLTDQTAAAIVKGGGFDGVTVVDEEVSEMRKLIGEALDAYQADCGGGVKVRRLLALSSFPDMHGDICICAEDAMAALVLLPYHKAQRVDGTMDGGHYGFRLVNQKVLQLAPCSVAVVVDRGLKQQQQQVLVVFIGGADDREALTLASLMAKHKKAVRLTALRVVQNATAQARSKARTSLFETTTKASALGQEEAQMQVDDKFFAEFYRKHVAGGGMGYLEKHVGDGAELVAVLRGLQPDYRLFIVGRGRDRNSVLTEGLDEWAECLELGPVGDILASSDFSTTASVLIVQQYDAKKHYKVIDEEFMPL >Et_4A_031790.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:15487663:15488397:-1 gene:Et_4A_031790 transcript:Et_4A_031790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGAAGLRAAAAVVILAMLVLSSQGHPTKKPLCSDCPSLCGSTNCSAAIAAACNGTCSPPVDQCNSCKSQVLRGCCQDFCSSSNNTSSISSCCPSDCISGNCSTCSCDNCNSTVEKDCGFACSMHASDMLRCDACKMGAARECITSCISACNNHCVKNKHC >Et_7A_052387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7530696:7532491:1 gene:Et_7A_052387 transcript:Et_7A_052387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTLINAILFPALAVVAALAVLYCVRRCRRHRRRGGVLPSHGRADRLQAGGSSGYVPGGDEALVRFPGGEALTVAAILEAPGEVVAKSAHSTLYRAGLSAGEAVALLRFVRPACAAGAEEAVAAARVLGAARHANLVPIRALYVGPRGEKLLVHPFYAAGSLRRFLQGLCSSTSDLYLFLLPAEGINDSQRWEIICKISIGIAKGLDYLHTASQKPIIHGNLKTNNIMLDADFQPRISDFGLYLLLNPAAAQEMLETSAVQGYKAPELIKMRDVTRESDIYSLGVIMLEMLAQKEVPNDQPPNARDIHLPASFKDLVLERKIADAFGSELIQQSKNSGKEENLNAYFELATAC >Et_3B_030023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:288438:292537:1 gene:Et_3B_030023 transcript:Et_3B_030023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADLPFLCHIALVLAALWAAAAVGWRHSFLFLLAFLYLYTVNARCAMRLRKRIQHEEIKSAYQRRLLSDTESVRWLNHAIKKMWPICMEKMVSQLLRPIIPWFLDKFKPWTVSKASIQELYMGRNPPIFTSMRVLPETSDDDHLILVGVKFVRGWPFLGRIRLCFVEPPYFQMTVKPLINHGLDVTEFPGISGWLDKLMDTAFGQTLVEPNMLVINVEKFVSTPSENNWFSIEERPPIAIVKLEILEGTDMKPSDINGLADPYVKGRLGPFKFQTQIQRKTLSPKWFEEFRIPITSWEASNELVVEVRDKDPMFDDLLGECTIDVHELKGGQRHDKWLSLKNVKKGRIHLALTVEDIPEDKIVSGFEESLQKADPELPLSTPADSKLNAGELPKEKDVLMDEVEHINIDGQEQAGGLYVHRPGTGVPKTWESRKGRARSPDTQIYQEVDKTKETPTPKSSGQGGLFNLGSFFRKNSRKGGSKDLDPSLPTTPASQSVRELDPKLPQTPRPNLKELGEKRTSIKIVVDEDANPAGKVQDAEKSTEDVAKVIEKNTGEPGRSLTGTLSRKVSRKKVEDRLSDIPEQIEAHRPVSEGPTSAECKPTDGHRLSTEHGSEESTIEEAVEAKN >Et_7A_050417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14130076:14130426:1 gene:Et_7A_050417 transcript:Et_7A_050417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEPAAPEEETEAAGELDEEEKMDMLWEDFNEELQLAMRRSCSEYEPEPAAAGRLVQGCFPVLRPAARAGGAAQYYRRRAGSWVLLMRIFRRLFVIDKTITAR >Et_1A_008943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2020817:2021511:-1 gene:Et_1A_008943 transcript:Et_1A_008943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGCRHSPLTVAAILFSGIVALLLAGNAAVADAYPGGGGYGDFRYQFLAQQNAARAALGLAPLRWDERVASYARWYAETRRGDCALARSLLKQDRRLRCILSLANTIYSCY >Et_7B_053629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10389071:10392985:-1 gene:Et_7B_053629 transcript:Et_7B_053629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSDWAWGGGDLELKGRGGGSMRVDGDTRPAKELYTRQKLQQSTFRRRYYMPNASPYQLWSRSFASDNGDKFEAVVPFMGESVTDGTLATFLKNPGDRVEADEPIAQIETDKVTIDVASPESGVIEKFIASEGDTVTPGTKVAIISKSAQPAETHVAPSEEATPKESSPPRVEEKPKVEEKAPKVEPPKKEAPKPTTAPPKTSPSEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDEFVKKHGVKLGLMSCFVKAAVSALQNQPIVNAVIDGDDIIYRDYIDVSVAVGTSKGLVVPVIRDADGMNFADIEKGINNLAKKATEGALSIDDMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVDGNILARPMMYLALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >Et_8A_056863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1834144:1836068:-1 gene:Et_8A_056863 transcript:Et_8A_056863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSVLVLLCLAAAASAQLSPTFYSSSCPRALATIRAAVRAAVARERRMGASLLRLHFHDCFGCDASVLLNDTATFTGEQTAFPNVGSIRGFTVIDNIKAQVEALCPQTVSCADILAVAARDSVVALGGPSWTVPLGRRDSTTASLSQANTDLPAPSLDLANLTAAFAKKGLSRTDLVALSDRLSLVDIHGGLGLASLLLAVAAFSETDHRGRSLARPFLGP >Et_4B_037175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15902905:15919555:1 gene:Et_4B_037175 transcript:Et_4B_037175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHRLKESLHNCCSSSSQPQLQPRSPPPAPLLPRRPPKTSLSQQLLRLEAVTSDFSSVPAQPPPAAPKPPAQKPREDAAGEPPSDEVDEGAPPLCCRRPPPTPALESRGPYEPLVVSPSGERPIVQVPPSINCRLLAHQRDGVRFLYNLYRNNHGGVLGDDMGLGKTIQTIAFLSAVIGKGNDHGEQTDERKKSGPVLILCPTSVIRNWENEFSEWADFSVAVYHGPNRDLVLERIEAQGLEVLITSFDTFRIHDKILCGIPWELVVVDEAHRLKNEKSKLYAACLGITTQKRFGLTGTIMQNKIMELFNLFDWFVPGCLGDREHFRAYYDEPLKHGQRLSAPKRFVQVADTRKKHLVSVLKKFLLRRTKEETIGHLMLGKEDNIVFCKMSDVQKRVYRRMLLQPDIQILINKDLPCSCGSPLTQVECCKTREPHGIIWSYLHRDNPEGCPICPFCLVLPCLVKLQQISNHLKLIKPNPKDEIEKQKKDAELAAAVFGTDIDLVGGSAKSENFMGLSNAEHCGKMRALERLLSLWTQQGDKILLFSYSVRMLDILEKFLIRKGYCFSRFDGTTPMNARQLLIDEFNRSPSKQVFLISTRAGNLGVNLVSANRVVIFDPSWNPAQDLQAQDRSFRYGQRRHVTVFRLLGAGSLEELIYSRQIYKQQLSNIAVSGKIEKRYFEGVQDDKKFQGELFGICNLFRDLSDKLFTSEIIEMHGEDGKSNTAEATGIREIVDTDLFGSQENGKPSMSTKDTGSQKLVDLGIVYAHRNEDVVNMRTSGRNDCAIDWTVQSSSELKIKNKPETTKLAVMPKSYSMEQKREEFNRIASFMDMSDLEFSKWLLSASPLKRSEVMQNYKRNKKYHRNHK >Et_4B_037147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15409832:15413496:1 gene:Et_4B_037147 transcript:Et_4B_037147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGRRDGLMLRGSVGSGGKPLSRGSRIAVAVAVGVALGCVCAFLYPDGLLFGSSTSALHWPRQVDSTYCEPSAQVANLKSELATLERRNAAMRRQINELSMKLQLAGQGKDETLYKAGPFGTVKALRTNPSVIPDESINPGLAKVLEEVSVKKELIVALANSNVREMLEVWFTNIKRVGIPNYLVVALDENIVSFCKSKGVPVYRRDPDEGIDNIAKTGETMLFLDLNFLGYSILLSDIDIIFFRNPFDHLYRDSDVESMSDGHNNMTAYGFNDVFDEPSMGWARYAHTMRIWVYNSGFFYIRPTIPSIELLDRVAGRLSREPKSWDQAVFNEELFFPSHPGYEGLHPSKRTMDIYQFMNSKVLFKTVRKDAQLRKLKPVIVHLNYHPDKLDRMKAVIEFYVNGKQDALQHFPDGS >Et_8B_058945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11357830:11365717:1 gene:Et_8B_058945 transcript:Et_8B_058945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPSFRPRPVDINRRLPIVRSAREFVDDDPTFALRTAPPLLRHSAPEPAAGGEAYPASSKKKGQEIPTPQYEVVDTYERDYTCTFAQPAAYIRGRGARNEIGEFVEYDLDNEDEDWLEGYNNEWKNIVPEILEVLLFKLEILDHKARERAGIIAPTIVGPIPVILDLNSAMEALQYLAVHYAVFQAVYNYWKSKRERWQKPILRHLQPPPPASDTNPYNVFRQREKTYRHHTRRMQRRENSVQSFERLRMVRRNLEQAKVLMEALITREEKKRESMECEVHLRRVQMKYKNEALFNDGITLSGLQQDSSLFGSSEDDYADSDDTTMEQPYVLPIAFRNGFADNSLSVISSASVRLNRERELKRKLQKTSWFFRKDLEEPVMLFTRPLDPDKMEIAGIRPLPDPPIGSGSTALPFRCQGRIGRGGRIIFDRWNPLLQGPIGQQASHFLRS >Et_6B_049165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1987143:1989326:-1 gene:Et_6B_049165 transcript:Et_6B_049165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHETSVCNPLERMLIDETAEPTNLRLPLLESITNNFSESLQIGTGGFAVVYKGLLQNGTVAVKKLLTQTVDMHETKFRQEVDSLTRVRHKNIVRFMGYCSDTQGKLSKFQGKNVMAEERQRFLCFEFLPQGSLDNHIGDASQGLEWMTRYQIIKGICEGLHYLHQQKIVHLDLKPPNILLDHNMVPKIADFGLSRCFDEKQTRAMTSNVFGSQGYMAPEFYSGLITFKSDIYSLGIIIIEMLTGQKGYPDIDNVCAEIGIECTDYNPAKRPDIQHVIARLAEMESKYGFMKTDLPASSAPN >Et_3A_024661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23070915:23072625:1 gene:Et_3A_024661 transcript:Et_3A_024661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAGGATPSQPPAPHPAVAPLAFLLGKWRGEGEGSFPTIASFRYGEELLFSHHPSKPVISYTQRTWKAVSGEPMHAESGYWRPRPDGSIEVVIAQSTGLSEVQKGSYDAEKKTVTLQSELVGNASKVKQITREFQVVNGELSYVVQMATNTTSLQQHLTAILKKI >Et_9B_065610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7941002:7944656:-1 gene:Et_9B_065610 transcript:Et_9B_065610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGALTPTSTPSSPSCSSEILPPEFADYVTVSLVSDEDESDECSVCDDAHVEALHYASRGLQGRSLQEAKELIRRYKPGDWIEGAGGTKAGDYILPEITTLLLVGPKGAGKSTLVNRITRVFDNDDDPFAPDRVQVSRNSKSNGTIFLRENPVPRNSNAICIYDTRSLSSNPEKNFKMLHEWMTKGVSHGEMATWDTDDGNKIKDMKSFGRRYSFLHFKTRKVNFVIFVVDGISFLESIDSERKEYTEILHETFMYPFLSIGDDKPVVVVTHGDRLSIQQRAHVRNELAELLGIPLQQIFDIPGSDDYETDLAVVDMLRYCIQHAEQNFPVKLNYLLEVHVHETLKNTAEWLMQLDAVIEATIILLCIVILLLRLSDLLLQS >Et_5A_041180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18993929:18995778:1 gene:Et_5A_041180 transcript:Et_5A_041180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAAGKGRWRPRKPSPSSKATDDGSGAAEDARRPAPRKGSAGGGGGGFFCCYLLRSQCPRLKGRTYIGFTVNPRRRIRQHNGEISSGAWKTRRGRPWEMLLCIHGFPTNVAALQFEWAWQNPKESLAVRQAAAGFKSLSGAGNKVKLAYAMLNLPSWEKLNLTVNFFSSASAKFAAGCPPLPTQMKTVVCPLEDLQCNAGAVREDQGTSSEDQGTSSEVENINNDPQDHNKPPDSPCRVHRSDHPWKHLSTDQVQAVDAQAGIEAFDVNEYDDSSDEFTPMEWNGILDLTETEGSRTSTQCSFSSDGNDNGVVKDKLWQASTAPEEENVNNKPQDHPWKQQSTDQVQAVDAQAGIAAFDVNEYDDSSDKFAPMEWDGILDLTEPDGSRTSPQCSLSSDGDDNGVVEDEFRQVSSVWKVCVGSDGGDGGVIDDELGHASPTPMLKIAADSDCDDSGVTDAGLGQVSPTLIVDAGSDEGERDAVVDLVTPIPVSQLGRRPGAGCICPRIIDLTASPVVIEL >Et_3B_028602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17200792:17207253:1 gene:Et_3B_028602 transcript:Et_3B_028602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSCFSTQLIDGDGVFNVSGLENFMKEVRLGECGLSYAVVSQTTKGIWLAKAQDIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKSRTPLENLEPILREDIQKIWDGVPKPHAHKETPLSEFFNVQVVALSSYEEKEELFKAQVSDLRDRFQHSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGNEKVASFTADEEWQQFEEAVQHDYVPGFGKKLSSLLDRCLSEYDMEAIYFDEGVRSSKRQQLESKLLQLVNPAYQALLGHLRTRTFEGFKESFDKALEKEGFAIAARDCTQAFLEKFDKGSEDAAIQQVKWDPSKVKDKLKRDMEAHVASVRATKLSELCAKYEAQLTKALAEPVEALLDSAGDDTWPAIRKLLQRETKAAVSGLESALTTYELDEATEKELLVKLENHGRNVVESKAKEEAGRVLIRMKDRFSTLFSRDADSMPRVWTGKEDIKAITKTARSASMKLLSTVAAIRLDEDGDNIENTLSLALVDSVKPGTTDRSIQSFDPLASSSWEREANKRNNNWLPPPWALAAMAILGFNEFMTLLRNPLYLGVIFVAYLVGKAIWVQSDFAREFQRGFLPAILSLSTKFVPTVMNILKRLADEGQAPAAPQREMELQPKSTRNGTSYSNVTSAGSSSVTTSEIGPEYSSPVPQ >Et_1B_011716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25347650:25355783:1 gene:Et_1B_011716 transcript:Et_1B_011716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRTPLHDLIIARTSTPAKDVTNKATRSLLTGPAMETENSSSSWTSSTVLAVAGLALLAICSFYLLVRQGRRGGKTRRYAPVVGTVCHQLYHVRRLHDYHTDLFRERKTFQLLVPAGRRQIYTCDPAVVEHILRTNFANYGKGPFNYENTKDLFGDGIFAVDGDKWRQQRKIASYDFSTRALRDFSGAVFKRNAAKLAGVVSSNASTKQSMDFQGLVLKATMDSIFTIAFGLDLGTLGGSTEGSRFAAAFDDASEFTLLRYVNPFWKAMRLLNVGAEATLNERIKVVDDFVYRLIRSRAQELSNAKAQDPDSRQDILSRFLQTATDDSGTVDYKYLRDIILNIVIAGKDTTAGALAWFLYMACKHPEIQAKICREVTEATNAGETVSPDEFTQSLTDEALNKMHYLHAALTETLRLYPSVPLDNKQCFSDDVLPDGSSVSKGDIVFYVPYAMGRMEYLWGKGADVFRPERHMETTISSSWTSSTALDIAGLALLAICSFHLLFRRGQRGGKPRQYAPVVGTVLHQLYHVRRLHDYHTDLFRKRKTFQLLTPAGRRQIYTCDPEVVEYILKKNFANYGKGTFNYENTRDLFGDGIFAVDGDKWKQQRKIASYDFSTRALRDTSGTVFKRNAAKLAGIVSSCAASKQSMDFQGLVLKATMDSIFTIAFGLDLDTLGGSAEGSRFAAAFDDASEFTLLRYVNPFWKLMKLLHVGAEAALKERIEIVDEFVYKRIRTRAQELSNAKAQDPDMKQDILSRFIETTADESGTVDYKYLRDIILNIAIAGKDTTAGALAWFLYMACKHPEVQEKICQEATEATNAGETASADEFAQSLTDQALNKMHYLHAVITETLRLYPSVPLDNKQCFSDDVLPDGSSVSKGDIVFYVPYAMGRMEYLWGKDAEVFRPERWLNENDEFQPENPFKFTAFQAGPRICLGKEFAYRQMKIFAAVLFRFFVFRLRDGENASVNYRIMLTLPIDQGLHLTATAR >Et_10A_001718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7227282:7249294:1 gene:Et_10A_001718 transcript:Et_10A_001718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLTRNFVGRGILSVSEKFRAVHMMPGRAVESVLGFLHKFFVMLPLERRLEIEADHIGLSLLAAAGYDPGVAYSVIKKLGELLGDPIWRFESTHPSYSERARLIMRRCKEMKDSSQSQPDPDIPSRNPRLTPAAIAEMPVVNVAMQVAILLLQLMSRCVAGLTVPLVRGSLDELIKRTLEAVLFCLLVIEGIFGVLIPPSCSSVPFCAKYVWLIHKAIIILIACTFYRLRPFVHQYFHRLHFATNSGEQDAVPFTNRTRFVISFSEEDLRNGASTFKKKREELGAQILSEDVEESKRVRGITTKIVKAAERIMPQAPDFLKVREVIVIKDDQQIKDGEEIIDDEEIKDDEETHDFHKGWEVIVIEDDEVVNAWSLPGCKIGVYTGVLKNFKDDAELAFFLSHVISSAIPPVAAVDRTRSFLLLGISRLYKRFPRFMTMPRAPAFVIHTLHEYCFRLPLSRRSETETDYNALLLLAAAGYDPRVAPSVWKKLGDISGDSEWSNYISSQPSFKKRAHFLSQDHVMEEALKLYNGRGVETTLLKLEIEADHIGLSLLAAAGYDPGVAYSVIKKLGELLGDPIWRFESTHPSYSERARLIMRRCKEMKDSSQSQPDPDIPSRNPRLTPAAIAEMPVVNVAMQVAILLLQLMSRCVAGLTVPLVRGSLDELIKRTLEAVLFCLLVIEGIFGVLIPPSCSSVPFCAKYVWLIHKAIIILIACTFYRLRPFVHQYFHRLHFATNSGEQDAVPFTNRTRFVISFSEEDLRNGASTFKKKREELGAQILSEDVEESKRVRGITTKIVKAAERIMPQAPDFLKVREVIVIKDDQQIKDGEEIIDDEEIKDDEETHDFHKGWEVIVIEDDEVVNAWSLPGCKIGVYTGVLKNFKDDAELAFFLSHVISSAIPPVAAVDRTRSFLLLGISRLYKRFPRFMTMPRAPAFVIHTLHEYCFRLPLSRRSETETDYNALLLLAAAGYDPRVAPSVWKKLGDISGDSEWSNYISSQPSFKKRAHFLSQDHVMEEALKLYNGRGVETTLLKLEIEADHIGLSLLAAAGYDPGVAYSVIKKLGELLGDPIWRFESTHPSYSERARLIMRRCKEMKDSSQSQPDPDIPSRNPRLTPAAIAEMPVVNVAMQVAILLLQLMSRCVAGLTVPLVRGSLDELIKRTLEAVLFCLLVIEGIFGVLIPPSCSSVPFCAKYVWLIHKAIIILIACTFYRLRPFVHQYFHRLHFATNSGEQDAVPFTNRTRFVISFSEEDLRNGASTFKKKREELGAQILSEDVEESKRVRGITTKIVKAAERIMPQAPDFLKVREVIVIKDDQQIKDGEEIIDDEEIKDDEETHDFHKGWEVIVIEDDEVVNAWSLPGCKIGVYTGVLKNFKDDAELAFFLSHVISSAIPPVAAVDRTRSFLLLGISRLYKRFPRFMTMPRAPAFVIHTLHEYCFRLPLSRRSETETDYNALLLLAAAGYDPRVAPSVWKKLGDISGDSEWSNYISSQPSFKKRAHFLSQDHVMEEALKLYNGRGVETTLLKLEIEADHIGLSLLAAAGYDPGVAYSVIKKLGELLGDPIWRFESTHPSYSERARLIMRRCKEMKDSSQSQPDPDIPSRNPRLTPAAIAEMPVVNVAMQVAILLLQLMSRCVAGLTVPLVRGSLDELIKRTLEAVLFCLLVIEGIFGVLIPPSCSSVPFCAKYVWLIHKAIIILIACTFYRLRPFVHQYFHRLHFATNSGEQDAVPFTNRTRFVISFSEEDLRNGASTFKKKREELGAQILSEDVEESKRVRGITTKIVKAAERIMPQAPDFLKVREVIVIKDDQQIKDGEEIIDDEEIKDDEETHDFHKGWEVIVIEDDEVVNAWSLPGCKIGVYTGVLKNFKDDAELAFFLSHVISSAIPPVAAVDRTRSFLLLGISRLYKRFPRFMTMPRAPAFVIHTLHEYCFRLPLSRRLEIEADHIGLSLLAAAGYDPGVAYSEIQYGGSNRLILHTAKEHDL >Et_5B_043627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13089607:13111154:1 gene:Et_5B_043627 transcript:Et_5B_043627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKNCLKFMIEVVIAQCGNVRPAQLRDASQSRFTVGSHVWVEDADVAWTDGLVEEVKGDELIINCTSGKKVTAAISSVYPKDAEAKRCGVEDMTRLAYLHEPGVLHNLKSRFGMNEIYTYTGNILIAVNPFQRLPHLYNNHMMEIYKGAEFGELSPHPFAIADRAYRLMINYGKSQAILVSGESGAGKTESTKCLMQYLAFMGGKAESGGRSVQQQVLESNPVLEAFGNAKTVRNNNSSCIKLDGMDDSSEYITTRRAMDIVGISSDEQDAIFRVVAAILHLGNVEFSEGSEADSSMPKDDKSQFHLRTAAELFMCDEKGLEESLCKRVMVTRGESIVRNLDPRAAALSRDALARIVYSRLFDWLVTKINTSIGQDLNSTLLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIISLLDETCMLRNSTHEVFAEKLYQKYKDNPHFSRPKFSRSDFTIHHYAGNVTYQTDLFLDKNIDYAVNEHQVLLHASRCSFVSSLFPPSEESTKSTKFTSIGSSFKQQLQSLLETLSATEPHYIRCIKPNNLLKPAIFENSNILQQLRCGGVLEAIRISCLGYPTRRRYDEFVDRFGVLLPEVLGESYDEVTATEMLLEKANLTGYQIGKTKVFLRAGQMAELDALRTEVLGRSASKIQRKVRSYLARKNFIQLRISAIQLQALCRGQLARHYYEDLRRKAASLTIQTFYRMHFARKNYRDLCVASTTIQSALRSIAARKELHFRQQTKSAVIIQAARETGALQAAKNKLEKQVEELTWRLQLEKRMRTDMEEAKTQENKKLKQQLQELQLQSKETKDLLKKEQEISKEAMEKAALVPEVQVDVTLVDELTAENEKLKTLVDSLETKVHETEQKFEEMKKARDEWLTKATDAESKINELTNTMLSFQEKLTTMEAENQLLRQQALLRTPVRTIPENRSPKSNFTNGSPLSEEQKTPHGTPPAYGSFSHARASFYERQHESVDALINCVSENIGFSEGKPVAAITIYKCLVHWKIFETEKTSVFDRLIQIFGSAMQVFRSSNITVDMDLVRQIEAKYPAFLFKQQLTAFVEGLYGMIRDNVKKDLSSLLSHAIQVPRTVKASMVRGRSFGTSSLPRGRSFSNQASYWQAIVDNLTEILKILQDNCVPSIFIRKIFTQIFSYINAQLFNSLLVRHECCSFSNGEYVKQGLALLEAWCGEVKPEVLSVQQLYKICTQYWDDKYNTESVSEEVLEEMRTLITKESSQDTSANTFLLDDEISMPISLEEISDSMDAKQFQNVAPPSELVAIPAFQFLKS >Et_6A_047956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2606353:2610924:-1 gene:Et_6A_047956 transcript:Et_6A_047956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNIPSCKSQAPLAAAAAVAAISSLRFSSSLSSLPVLVSSPLPVSEEDPFAGLLASDPPPPEPLRLVLASGDVHAALRGLPALARQLFRWAETTPRGFPRSASAFAAVLVPLAQANHIRAAYPVSLRALRLGLLIPLLSLLLSHPLSPYCRSLLGLLLRLSTKFSTECEARSLALTTCSTLCLSAFLEMARHGVAPDVKDCNRVLRVLRDAERWGDIHAVHGEMIQLGIEPSIVTYNTLLDSYCKEGRRDEVAKLLKEMKAKGGDCLPNDVTYNVVISWLARNGELQEAAELVDRVQLSKKASSFTYNPLITGFFERGFVKKVEALQLMMESEGIMPTVVTYNAMIYGLLKSGNMEEALWLLGDLKRARLGDLEEAQRFKEEMIERDIFPDVCTYTILMNGSSKVRNLDMARKYFDEMLSKGLQPDCFAYNTRICVELTLGDTSKALQLMEVMELEGVSSDTVTYNILISGLSKTGNLNDAYALCKKMVADGLRMAAAEEGSHLWVIHRYSNEAGKEGHQ >Et_4A_033394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22191606:22200243:-1 gene:Et_4A_033394 transcript:Et_4A_033394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPEALLADILGRLPPRPLAVCRSVSKDLCAVVDGRGLLLALAHRVPAGLHGFFINYVGQDRPYFFSRHAAAPQIDAEFDFLPPIGWGEVVHQSNGLLLYNDWNTLYVCNPATRRWAQLPPWPEGFDGDEQLVFDPTVSLHYEVISFSEVPRKPKIPIQPGIERPKYYEGFSEYTPEEIEKLPMPLRAKHDREVEIKGSVEWPPRSYAAQVFSSTTGQWEEMVYVREDDVAVTLSDVWSDPWGPDSETLSYSSPRCNAVCWQGAFYLHCYGGFIMRLSLTEHKYLVIKTPILDNVFTPPRLDIDDFLSRQGPYMNKEYCLRMFEIEKSSLDRVKPSTHLGKSEHGIYYTAVCWHQLQVWVLREASDSQPMPVWDLKHKADIRPSILQHYTRKDRDQIEKSWSLDRGKEGPGDSVDYAWDSSDDTIVDGEDVVHDEDRYYNMSMDLLGYHPSKEIAFLGYHFEGFAYYLGSSKLEYLGTFTPEGCCHFQVAATHEEIMDLLPEALLADILGQLPPRPLAVCRSVSKDLCAVVDGRGLLLALAHRVPAGLHGFFINYVGQNRPYFFSRHAAAPTSTPSSTSCPRSYWGSNGLLLYNDRDTLYVCNPATRRWAQLPPRPEGFHGAEKIVFDPTVSLHYEVISFSEVPCKPKIPIQPGIKRPKYYQGWREYTPEEIEKLPLPLRAKHDREVKIKGSSGRLARTAQVFSSRTGKWEEIAYVREDDVAVTLSDVWSDPWGPDSETLSYKSPRCNAVYWRGAFYLHCYGGFIMRLSLTEHKYRVIKTPRLDNVFTQPRFDIEDFLSRKGLYMDQDEYCRHMFKIELSSLEGVKPSMHLGKSEHGIYYTAVRWHQLQVWLLHEASESQPTPAWELKHKADIGPSIRQHYTREDRDQIGKSWSLDRGEEGGHSADYGWDSSDDTIVEGEDVVHDDDRYYKMSRHMHLLGYHPSKEIAFLGDYFEGFAYYLGSSKLQYLGTFTPVGCCHFMVAATHESFAYTPCMDDLLPHDKQDTTH >Et_7A_051423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18279611:18284605:-1 gene:Et_7A_051423 transcript:Et_7A_051423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FGWACSLDAAATASSWPWPRRSGNVYIYRVQALRSTIRHKSTYTVNGTSIMDLMTGALGRLPGKLLQLLKDEYKLQKSVRPQVEFLSRELESMYVALCKVAEVPSDQLDPQVRLWSRDVREASYDMEDIIDTFLVRVDDGSQPASDADKVKRLLNKMGKIFSLSKLKARHDIAGAMEGIKKQLEEMTLRRDRYKVDDVVAKPATTSIDPLLSALYPKTSQLVGMTEPTDQVIKMLSLGVSDDQENEMKIVSIVGFGGLGKTTLAKVVHDKLKSDFDDKGTAFVSVGRTPDLKKLFRDILIDLDKEHTLKCNLMLLDEKTAYKGNSYFIVIDDIWEVSLWEKIQYSLVENNLGCKIIITTRNLEIAEKIGSSIYNMKPLSEEISGNLFYGRIFGSREMCPDEFSVVSVKILKKCGGVPLAICTISSLLANKKENVADWDELCDSIGFGLAKDPSMNGMRKIMSLSYYDLPSHLKTCLLYLSIFPEDFYIRVDRLILRWMAEDFIKQVKTGDNLFEIGQSYFNELVNRSLIQFSEVRFSIDGSTCHVHDVVLDLICSLAKEENFITLSHDIEQNTSLQRKVRRLSLQKTSGATSCNTSHVRSFTIFSPAIDSMPVLSSFHALRVLDLEGCNLKGHNLSYVGSLGQLRYLGLRDTDFDGELPKDLGKLQLLQTLNLWGTHVKELPASIMRLKRLVILQLGYETRLPSGIRNLTALEELTGCMFVMSTNRQLCGLTNLRVLELRPTAHRWLIGGGDYGTQ >Et_1A_005113.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27886027:27886080:1 gene:Et_1A_005113 transcript:Et_1A_005113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTLLSHQKRSPTHNN >Et_3B_028270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12525403:12529279:-1 gene:Et_3B_028270 transcript:Et_3B_028270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAIDTFYLTDEQLRDSPSRKDGIDEATETALRVYGCDLIQESGILLRLPQAVMATAQVLFHRFYCKKSFVRFSVKRVAASCVWLAGKLEESPRKSKHIILVFHRMECRRENLPIEQLDVFSKKYSDLRHDLIRTERHLLKEMGFICHVEHPHKFISNYLATLEAPELTQVAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRHRVPLPEDPPWWTVFDADEAGIQEVCRVLAHLYSLPKAQYIPVYKADDSFTIRRAPDTLASKETPASAVASDKGTPIPVGSSQEKDSVTKAGSNKVKEKTDNEDKPLPAELNGKADSAVNAKGEKRESSVERSRERERDRSRGRDRDSRGRDSDRESRGRDSDRERDRRHRSGDKSSGYSDKEKSRRHSSRDRGDYYSSHSSREKDRHRHR >Et_2A_014858.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18899789:18900889:-1 gene:Et_2A_014858 transcript:Et_2A_014858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SGGALDARLGDAGARVDEVAVDEVGGEDLQLVAEPLGEDLCDEEARVPADPSRDGPDAEHRVVGLRRHRVLHPVEEPPVRHGRLLAQELDVALELGLRQVRVDPVVPEVPRAPEWLPGLGRRRLAGLPHADHAAREQLGDRLVEHGLVLRDQVLLAQLLHQELAELRRVVVAVLGAYLEDDQLRLRLAQEPLHVAEDDVDGVRREHAVADAALLLDADVDDARARGQLLVHGQRLALREGAGHERDADLVGGRVVGARPHHLVHAHALGAQLRHPTRPVRRPVLRPGKGLAAGRQARGQRMLLGAHQLATLHLLGEEGAHGVQRIGAVLAGAAASHYKYAKDPGLSSSSDLDKRRCKLAIDCRACS >Et_5B_044342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22973462:22986887:-1 gene:Et_5B_044342 transcript:Et_5B_044342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIQADTIDEAAEKILNLLKEDPKTPRGITSRNNVFYFNGWDGLGASAVIRAIARRFTATSKQEPAASAGRSVSAGLEFEQVMHIDCSKWESRRALQRAVAEQLGLPAKVMDMFDKQDDEDDFRGVAQGSRAELQQVVRETYQLVQKLNHGVLMIFHNGSTEEIDLARCCGFPPLAGYSTSKVLWTFQGRFRLKPQAKVESAMMRAGTTDTFLSASTRNKDPHELWSYLVREEAEELVAMHKINTGVYGNINQPPAQVTECFLYMLKLCRRRHQSIDYDLATHGANYWICDGLIKHLQEKERDIDDLDADHGLWRAADALQREMQLDADYHQYTVVPLHLARCIEREPYWTSPTCGIFLLPPTRVIFQPSLDKLISVLKLSHCIFNFPSPPFLCCYNLRFLWLENCQLNEISTRGGAETEDDVIRRCFQRLWVFDVRYTAGCNQILSARMMDHMTYLRELNVMGAQDWDMGQMQGRLPNIRKLRVTKSTTIHCSCSERDLFSGMKKMELLEFSENTTNESMTSLCGPGVGNINNCLETVIVDGCVGLEMISFEGCTNLKNILLSGRLDGLRSLNISGAAMKTLDLTKTTIFALRELYLLDCEKLCAIIWPPKNKRNSSIDRLHLDTMQSVLTNHCGERKVIDFQWYISVRDVRLLKSVEPMCLYNRRWYVEISSTTVVVDASKHENIIEGCLMGDQQKVLVSAQQKKQHADDEGNVEALGISWMWPCPDAPHLPEVSGYMHIQDQRGTITVPYTVTSYAVILHVKDNLSITILPSSTVYGSKWHALQWCKIERCPKLECVFSPPQEYYGSNISYRLRILWASQLPKARCIWNWSEQISRDFKYLTYLHLDVCPRLLHVLPFAKKVLTIISTDVGPVSLKTLEIMWCGDLREVFPLETNAKLYLVEKQPQIVTVGFPKLKRIHLHELPTLHSICGAGTRMSAPELETVVIRGCWSLNRLPTVKKEVRLYCEKDWWDSLQWEDASQKKLYKPIHSKYYKKATLLRGSVLSVSTGLEFEQIIHIDCSKWESRRALQRAVAEQLELPTEVMEMFDKQDEEDDFRGVTQGSRSELQQVAKEIYQHIQKLNRRFLMIFHNGSTEEIDLASCCGFPLSGYSTSMVLWTFQGRFRIKPQAKVDSDMKSVGMTDAFLSAVMNTWSWPYFVSQEAEDLVAGLQINIDPRGISDQPAQVVECFLYVLELCWRGRHSIDYDLANHSANYWICDGIIKQLQPAERDIGADDDDGLWRVAEALQREMQLDVDYHQYFLPSHLARCAENKAYWTSPTYGFVLIPLGSIPNGDMFQNYFKKLSVLKFSGCTFDFPSAPFLCCHNLRFLWLDHCEVLKTSTTNRAGTEDDDIRRCFQKLWVLDMRYTQGCEQILSAQMMDLMTHLRELNVMGAVLWDIDQLQGRLPNICKLRVTKSSLLSYKNDLLTKKNKMELLQFSENRNMGSLCGPGVGKSNSSLETAIVDGCVGLEEISFRGCTNMKNILLRGELHSLYTLDISSTAVKTLDLTTTKILSLDELYLLNCEKLCAIMWPPENKRKANVSKLRIDTTHSTQPTRCGEQEESSSTGTSLSYIPALHGNQPVSEFDWYISLRDPRLLVSLEPVYSSSRKTYVEISSTNVATGASKCERTIESECMSLMPVISAQQQKQSMCALIYADIAVENLQQGNDERNGYAARIVCMWPCPDAPCLPKQRCYMQIQDQQGTITVPDFVIHNAKILHVKDSLSINILPSSVASGSEWHDLEWCRIERCPELECVFEPGHIHGKSFEYKMKTFWASQLLKAYYIWKWSEPSRVYRMFDNLTYLHLDFCPRLHIHLHELPTLHSILEIGTRISAPELETVKIRGCWSLKCLPTVQKAVQCDCEKEWWDSLQWEDASQKKLYKPIHPRYYKKATLLKGSVLR >Et_6B_049516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:650851:658220:1 gene:Et_6B_049516 transcript:Et_6B_049516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFKSTTRRSLYGSASRSDQPPCSRRSRSRSRSVSAAPRGRDRQEDYANTRANSLFDSTASPQQRSATSTSSGGGDAARRERDRGRELVKGGRGGGRARSVSVTPQRRRTDSAPSADTAAAVGGRSSRARSVTGAPTGALRDTTRKFQSRRSQDLVLEDKQKHRCRSDGTSASRSSQGSTAAGVVIWRQNHSTVPADPVLEIPPEFNPDSAEFISDINTVEELSELRHYSTKQQWEQIEIPLEFDPETSELATDITEYTIKLKQSHERARKLRADLAVEEQREQELSRMLKDIVTVPNFTETHKKRPRRKSSIERLKVSRHLAEEAMNYFEECVSISTMDSTDFSSPEDPQSSSLVSVPPKNNSRFSHNGGSSFREPHPTTDQHGLREESENQTQCSISINGSDASDSVFFSHVIPPGLKIRSNSSDDIDGFDTPRSRSSCFSFTREPAKTVQNCDVQQYIGNFGRGNNKALRGMRSSYSADDYALQKENLDLLTDIMTFQNRIEYGGLLICSTRTF >Et_5B_043382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:108476:110845:1 gene:Et_5B_043382 transcript:Et_5B_043382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASATPPPDTSVPNPSRKIENPTAVIDPLEASGSARGIYLYTRATRQATEFIHSCSVRAWWPSHSSSSSPFTDRIGSSAMVKSYPAVSEEYLKAVDKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVSTKTGGPFGTMKNPSEQAHGANAGLDIAVRLLEPIKEQFPILSYADFYQLAGVVAVEVTGGPDVPFHPGREDKPEPPPEGRLPDATKGSDHLRQVFATQMGLSDQDIVALSGGHTLGRCHKDRSGFEGAWTSNPLIFDNSYFKELLSGEKEGLLQLPSDKALLSDPSFRPLVEKYAADEDAFFADYAEAHLKLSELGFAEA >Et_5A_042251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8031241:8031512:1 gene:Et_5A_042251 transcript:Et_5A_042251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALLDNNETRTGASLLQRFHTVGELKLNISIQKVRLVSTNSSNGTLFMTSFNFFVCSWVSWQQIFGYQSFLNETKMLPKCETLSA >Et_8B_060877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9944828:9950552:1 gene:Et_8B_060877 transcript:Et_8B_060877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLAAPFPSLLPRAHQFSPQPAPRPRHGRLQEPIMAFAQAPPLPLPLHESRSSSHGPRHNTRSPGQEPRANLTPGPAPSLRKVPKIVSETKLITVHSCAGRLDDARKVFDEMGHRDLLAWSAMIGAYAIRGMYREVVVLAVQMVGEGVIPDRFLITRVLQACAYAENLELGMTLHSMAIRRGFMGRVKDVPVANSVLVMYVKCGELGRARMLFEKMEQRDLGSWNSMIFGCCLSGEWEEARRLLHDMRREGTEPGVVTWNTLISSYARCGDLDVAMELLEQMEESGVSPDVVTWTSLVSGLVHSDRGDEALHSFSRMRLAGVEPNGMTIASAISACASLKLLNQGMELHCHAIKVGSVNNVLSGNSLVDMYAKCGEIVAARKIFNEIPEKDIFSWNSMISGYAQAGYCGKAYELFCKMESHGVRRNVITWNIMISGYIRNGDDERAFELFQIMESYGVKRDTASWNILIAGSVQNGHFDRALRIFRQMQSLLMRPDYITILSIIPAFSNLVAAWKVREIHACIFHHNLEADGKIANALINAYSKSGDLAGACAVFDSHSSKNIISWNCIILAHLLHGCPDEALKYFGQMKEVGLLPDHTTLTAVIKVYGLKGNVSQGKDIFFNMTHDYNIIPDLDHYAAMVDLLGRSGRLQEAYELIDYMPLIPNSAVWEAFLTAATANGNVRLAYMAARELSAIEPRDPRIQRLLSSLQDLAGKSVDVPDLMKRDSESV >Et_3A_026193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5303318:5304096:1 gene:Et_3A_026193 transcript:Et_3A_026193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKNVAKAMDSGASKKPRIAGKPAAVAKEPQQVEGDVAKTTIHAALDASTLECPICSLPFEGAIFQASSCCSLVHGICPTCHGPIGDVRCVPLEKLIAGMAVPCAFARNGCTRRLKFAEKRIHEALLCPHAPCACPVKGCAYSGVDLHDHIRDAHAEAGAGDAVLSFVRSTPVTLHRGTPFRVLLHAVDARVFLLLNGGDVPSGRSLSVVCLGPRPAGN >Et_2B_019803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14072011:14074852:-1 gene:Et_2B_019803 transcript:Et_2B_019803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTTSPATPQRCKHATRAAVLRPCSAPLPRWRSRSRYGAQVIKTKATGGRVEAADVGVSAEEGDVVRRLQNGPDVRGVALEGEKGRAVDLTPQAVEAIAESFGEWLRDQELLLGAPDVGKKELRVSVGRDPRLSGPRLSAALFAGLARAGCSVFDMGLATTPACFMSTVLPRFSYDASIMMTASHLPYTRNGLKFFTKRGGLTSTDVEQICDRAARKLGLGTTTTPPAVVMRVDLMSAYAQHLRDIIKRRVAHPTHPDAPLRGFKIVVNAGNGCGGFFTWDVLERLGADTTGSLHLDPDGSFPNHMPNPEDATAMSLARRAVLAQGADLGVVFDTDVDRSGVVDAAGNAVNGDRLIALMSAVVLDEHPGTTVVTDARASEGLTRFIESRGGHHCLYRVGYRNVIDKGVQLNDDGVETHLMMETTGHGALKENYFLDDGAYMVVKIIIEMVRMKLAGLEGGVGSLIMDLEEPVESELLRMNIMGEPKYAKQRGVQAVETFREYIQEEKLSGWLLDDCGDCSVGDGCLVDTNDQPIDIDAYMYRAKLYDGDKRPLGMVHVRQSVHNPNIALNMQSYVPGGCKSMARDLLDRFLLASGVNEFIDISEVEKFVK >Et_3B_027690.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:12777521:12777571:-1 gene:Et_3B_027690 transcript:Et_3B_027690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLRVGGLATRRTQF >Et_8B_059706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20919796:20922785:1 gene:Et_8B_059706 transcript:Et_8B_059706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQRRVYQVWKGNNIILCDGRLIFGPDAKATLLTFSLIAIPVAIFCVFVARHLVHIFPAYNAGYAILAVTIGLTIYVLLLLFLTSSQDPGIVPRNSHPPVEEFSHDASTPHTLHFPRAKERNYRYFFCFVSSAAVLCVYVCAMCALYITILMSRGHHHSVWKAIKESPASMAVMAYCFICFWFVGGLTGFHSYLIATNKTTYENIKYKYNNQPNIFDRGCMRNCLEFWCTKKKPSRINLRAIVEEEHEEAPPQISHHQAQEGDAPHSPRAKVEDDLEMGLDILKTSRHRKDELSDDELEAGSNGTPDSDTEIPVVRTETSEVRDVDLLISSASRPQSLEKKQHPDKLC >Et_5A_041634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25289137:25290840:1 gene:Et_5A_041634 transcript:Et_5A_041634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVAAPLPFRRDLLRGPIGRQPARSRGRRSGSALFWSGGAGGRTAAPAWLVRARGRNRSGGGRSATREEDDDDLVEDEKTEMLIVDAGGEEEEEFSVDELSGFRGLVLDVSYRPVNVVCWKRAICLEFMEKADVLEYYDQTVSSPSGSFNIPAVLRVPQLLHVVKRRRVKQSLSRKNIIYRDGFTCQYCSSGENLTIDHVIPISRGGKWEWENLVTACARCNSRKGQKTLEQANMKLLKVPKAPKEYDILAVPLTKTAFRTLRRNQGLPEEWLQYLAKPSP >Et_2A_018133.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1327416:1327683:1 gene:Et_2A_018133 transcript:Et_2A_018133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKILAFSILSSSPADIAAAGGYSTRFSWRTSSGNQKQQQQQQAEKAPKQEKAERKGSSVPERKPEARPRFAPEFDGLNCFESIVS >Et_2B_022823.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6030617:6030862:-1 gene:Et_2B_022823 transcript:Et_2B_022823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTRRTGLALQVVVAVLLLSAVLSTAARPLGGDVDGGPLPGGGGVASSIVDALRRLYLQQLGGPGASCTTNSPNNGCPP >Et_1B_013471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8858970:8860760:-1 gene:Et_1B_013471 transcript:Et_1B_013471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVRLSRPIRGAASSGDPSPSSLLRSNPFVDWSGVTELLRTASVFSRTMKAMEPKRARGRVKWDEDNLNDIESNKPEREKITEPKTPYHTMSDDDEGSVSPRQSSEELVDKSAHADAIKSALDEAVSSGKIFKRDSWESCDSEEEAVKQGKAFEEHRKVHYDEYHKMKELLQKGTMNDDADEAESDANNMKE >Et_1A_007810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38526887:38530691:1 gene:Et_1A_007810 transcript:Et_1A_007810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGIACWCEVRLTAELALSVAVAAVQKWVDVLFPESVGLALKESIVVPGSRTLGRLASWTKDADGKDIPEMALNLSLLAETFLQMFASGLGTLALVWATVVLLGGFSTMLARTDFWFITAIVFVEIARCRHYARTRPNTTSNDRIVGHNSGPEAKFFVDVPTAFSQRDSIVGRVTTLPAFIAQAPIGAALACIALSITRLADIARHHYGGPNVIDASNGNLKPALILFYALVLTQGELFFLWFAVARNRWVLAQKLKKYFENTEVIEFMDGIKLMDRYVTVTLDTCIEKGVVSTMNRTLVSFAAELLQSEYSGDHFSAVSVLYVVITREERTMGVVDQICASKQFATRLIQLVSLKSSFDRDTKAYTAEIVAKLARKLHLADIPEATHSITSLLDGRLMDTTAQTGYNVHLPHPVQIQIINNTYSGPKGKPLFVHGLLILCELADDPENCTKIYETPNLVPKIIKPISYGLPKLIGNDRKTIQIVKASLVVVAKLTSGTGETTSKIRSKISKDGRIAENLLWILQKEDRMRNRAATAGLLSRICANSRTDQDRKRLGSVSRALSTVNQCDERLKELRAIRDETAVPAKGSRRNIYSFLALAVEICDKLAITEEVLTNVLATFQMDDRAFAQKLMEIVCLILQTHDGADFVCLEIMKTATKLATWMMRTNRSYILYFQKENIVAKLHRAMQAMRDLERDMILTARINEIQAYEPLQWLVENARIL >Et_1B_012914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4023704:4025995:-1 gene:Et_1B_012914 transcript:Et_1B_012914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARITAAATLLFPVLSLLLLLRTATGDDDYSAFVYAGCSQGRYAAGSRYESGVDSVLTSVANSAPYSPYDNFTAPSDASLVGLYQCRSDLPASVCAGCVRSAISRLSSLCSWAAGGAVQLRACFVRYGNDSFLGKQDTTVLFKKCGGAPGSAGAAAMRDSALGALVAAAAPSPAGGGFRAGGSGGVQAMSQCVGDLDAKACSDCVSAAAAQLKAGCGYASAGEVYLGKCYARFWGNGGSGASRRGRGFGLVHAIITGFFASLSLGQKNGASAMPHRDKLKDYTPRCFSRRDFPATAVAIESRETSAMMNARHTPYILLQGNRDIPLTR >Et_8A_057954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:169348:171917:-1 gene:Et_8A_057954 transcript:Et_8A_057954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGWDDQGSSDTKYRGVRRRPSGKFAAEIRDSSKQSVRRWLGTFDTAEEAARAYDRAAYAMRGHLAMLNFPGEAHNYVRGSSSSSSSSHRRQQQRGGGGSGRQVIEFEYLDDQVLQEMLKGRDSDEKGTLVLSSTGATEWTVGGNS >Et_2B_018996.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22449784:22451051:-1 gene:Et_2B_018996 transcript:Et_2B_018996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQPRREEVDEQLQEPLQQQQHQEEEGAPQHQQQQDMSEQAAIRYGHVFAVTGDLAGQPISPRDAAAMRSAEDSVAGVQVPEAAGGGFSAATAMETAAAYNQAVGAVRPGQASDAAAVHGITVTQTAVPGGRVVTEFVAGQVVGQYSVADPLPAAEEDATKITIGEALEATARAGGGRPIDSADAEAIRAAEMSALGADITMPGGLGDQAQAAARTNTQAARDDDKVKIGDVLSVPYRIFVSCTQISCS >Et_6A_046829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20986418:20990643:-1 gene:Et_6A_046829 transcript:Et_6A_046829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFPDWAGLPPEMLVTMMQSLDIPDLFRASAVCASWRAACGDVRRVRFPITDASPCLLYSARANNDAGAATLYSPSSGATFKVRLPDPPLRSRALVGSAHGWLATADEASNLLLVNPLTGAQLALPPVTTLYHVESFVNDAGDLMYSVQENGDLDDPEDPVQYPAHELRLFLYQKVVMSCSPSKGRDCIVLLLHRPDGQLSFARLGDDRWIHITDDTLTWESGYRDALYNTNDGLFYVLSFDGSMLTLDLRGSSPVAKDLLPPALPWGDPIKDIVLTPCGDLLQVWRLKEIKQSSTPVEVPVEVSHEVADPYKVSFTREFFLYKVDTDRHRLVKLASIGDHALFLGFNSAMCLPTKDFPMLKADCAYVTDAFDKEMCKDKHNLREIGIWDFKSNTLHDLGVVQSLNPWLNWPSPIRRRAIYCKWKFSTPHVEVPVKVAHEVEDPYRASRIKDFFFFLQGSCEVDGLPFPTRDFTMFKPDCAYVTDGVDKEMCTDQHNMREIGIWDFRSNTLQSLGEVQSHPPWHNWPAPIWITPSLLHSIGLDDLGAGQPRDHPHRHPARAPLTLEHPRRGEEQVALVNAGHHLPLVRELLDERHRLLVDHQQLRRPAAGDDDAVVVVGAHVGPVRGHHEVVAPPLLGHGHRASRRQVVDRRDAGLALALCWACGVHAAAQGGQRSERHVRLVVVDVVLKSERTKVKRRQSNGRVTGDKNGVDEGVKRKEDEDGLAAAAVDAGAHAGEELPDDLGVGGPPDGGEHVAVGDAETQRVGLHPPPAGELDDGPHAEERRAPHASHGPGRGEQQLAVARHRHHGPPPRARRRRRRGSERGHQRYRGGVAAERLHEPAAGDEERVVERRARRGGGGEVGGDREPRVARELRVGVEAEELVHRGGAGVAGELARRDAVDGDAERGERVERDGGLVVLHVVAAQHQDLLRGRHGLMLSLAS >Et_7B_055720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2711218:2715742:1 gene:Et_7B_055720 transcript:Et_7B_055720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMTPAALEAAAALHFCVGDTAVVEKLLTDIVTEAPICHMPDFKMSEKKSDEFAPTDAGDEDDGGDDGDEDGDFGEGEEDISEGEGYDNPKGNENKKKQRKHPLGEKTPKEIHQENA >Et_2B_019525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:66114:68454:-1 gene:Et_2B_019525 transcript:Et_2B_019525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEETAGELRYLSGLGNTWLYRIKPSVTHEPLHPRVPTNERLVGDFDRTTTVATPTQLRWRPADVPTAPLLDFVDGLYTVCGAGSSFLRHGYAIHMYAANKSMDGCAFCNADGDFLIVPQQGRLLITTECGKMLVSPGEIVVIPQGFRFAVDLPDGPSRGYVSEIFGTHFQLPDLGPIGANGLASPRDFLSPTAWFEQAHRPGYVIVQKYGGELFTATQDFSPFNVVAWHGNYVPYKYDLSRFCPFNTVLFDHGDPSVNTVLTAPTDKPGVALLDFVIFPPRWLVAENTFRPPYYHRNCMSEFMGLIHGIYEAKADGFLPGGASLHSCMTPHGPDTTTYEATIRRADANEPLRLKGTLAFMFESSLIPRVCRWALDSPCRDLDYYQCWIGLKSHFSHDNGTAATSSDSSGVDGKD >Et_1B_010177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28120561:28121699:1 gene:Et_1B_010177 transcript:Et_1B_010177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDNDDLLGEILLRLVFPKNLVRAAAVCRRWLHLASDPAFLRRFLNLYPPRLLGLYVQSGRSPKFVSTPHPPAELAAAIRRAGSILDDASLGVTAVLDSQNGRLLVKLNDNAPAGDVAVLPPPLFATRSNIWTRHMRGMTADGVVYAAVRKWDLLRQKLIHGFHELRDGTWHNLSSPSLMLPSYLLPSLLTSRPFDGKLYLMVCASAIATLPSTLSRLPYLSIISLPDGVECRPLGNMKPWVDDSGLYLIHIKELQLHVWLHDMDSGQWLLQNTVCLCEVYGQLGVADLVPGDGLSTATDIKNRDVGHSAEFVFLQVGAVLHIHMKSRNAEKVYTVKPEESGIRLVPFMMIWPPNFPVIKQDSTQNK >Et_9B_065046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20870575:20877063:1 gene:Et_9B_065046 transcript:Et_9B_065046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLSRRHLAATVVRQSAPLAFASRGLHTPSFATVSPQEISGSNPAEVQNFVQGRWTTSANWNWIVDPLNGEKFIKIAEVQGTEIKPFVDSLSSCPKSGLHNPLKAPERYLMYGDISAKAAHLLGQPAVSDFFAKLIQRVSPKSYQQALAEVQVSQKFLENFCGDQVRFLARAFAVPGNHLGQMSNGYRWPYGPVAIITPFNFPLEIPLLQVMGALYMGNKPVLKVDSKVSIVMDQMLRLLHACGLPAEDMDFINSDGITMNKLLLEANPKMTLFTGSSRVAEKLAADLKGRVKLEDAGFDWKILGPDVQEVDYIAWVCDQDAYACSGQKCSAQSVLFMHKVTTEAMMEHMNNLLKIPGSKVLFGGEPLENHSIPKVYGAVKPTAVFVPLEEILKSGNFELVTKEIFGPFQVVTEYSEDQLELVLEACERMNAHLTAAVVSSNPKYLVSLSTGQRMLEFEQGPLALRRTTGLGLLVIREGQASELQKPSNLSGLAIGRSYMTLGPCPRTGRFLPQLNV >Et_1B_012124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29136701:29139187:-1 gene:Et_1B_012124 transcript:Et_1B_012124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRTASWSALLLVLVLVLPLSADASSRLYIVYMGEKQHDDPSVVTASHHNILASVLGSKDEAMKSIVYSYKHGFSGFAAMLTESQAATIAALPGVVTVKQNTHHETHTTRSWDFLGLDYYQSSPSDLLKKAKYGEDIIVGAYGLNQEALTTLDMALYRQGGKEYARPEWRSTPQAATKKIIGARWYTGGLDSDTLKDEYMSPRDLASHGTHVASTIAGGEVRNASFGGLGAGVARGGAPRARLAIYKVCWGPRTLCGGAGILAAIDDAINDGVDVLSLSLTFPDEIPGTLHAVARGITVVFAAGNNGPAAYTVQNAVPWALTVAASTIDRSFPTVITLGNNEKVVGQSLYINATLNTSNFYSLVALSCDAETLKSINVTGTVVLCSSQQGLADAATLVAGAGAKGLIFAQLNTNILEDTDICRGVMPCVLVDFEIAQKIVIYAQNVDTPVVRISRTVTVVGNGVLSPRVAAFSARGPSPVFPGIIKPDVAAPGVGILAAVGNAYEFKSGTSMACPHVSAVVALLKSVHPDWSPAMIKSAIVTTGTHR >Et_5A_041835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3207589:3209603:-1 gene:Et_5A_041835 transcript:Et_5A_041835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLFSATLSPCFLSLSSPKNASVPSRLPLPLRAVLASSELAAAPRARFFAPVAVAVSSEYETEDAEQGEGAEFSEDMKLFVGNLPFSVDSAALAGLFEQAGSVEMVEVVYDRVTGRSRGFGFVTMSSAEEAGAAVEQFNGYTFQGRPLRVNCGPPPPRDDSAPRAPRGGGGGGGSFDSGNKVYVGNLAWGVDNSTLENLFSEQGQVLDARVIYDRESGRSRGFGFVTYGSSDEVNNAISNLDGIDLDGRQIRVTVAESKPRRWVAILEGPCLETGSLMDKENLH >Et_8B_059165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14738007:14749442:1 gene:Et_8B_059165 transcript:Et_8B_059165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFYRKPPDGLLEITERVYVFDSCFTTDVFDDDKYQGYIGDIVAQLRSHFSDASFMVFNFRDGESQSLLANILSSYDMIVMDYPRQYEGCPLLTIEMIHHFLRSGESWLSLGQQNVLIMHCERGGWPVLAFMLAGLLLYRKQFIGEQRTLEMIYRQAPRELVQLFSPLNPMPSQIRYLYYISRRNVSSEWPPQDRALTLDCVILRNIPGFNAEGGCRPIFRIYGQDPLLATSNTPKVLFSTPKRSKYVRLYKKADCELIKIDIHCHIQGDVVLECISLDADQKREEMMFRVMFNTAFIRSNILMLNRDEIDILWDAKDRFPKEFRAEVLFSEMDSANQLHPMEVAGIGEKEGLPIEAFAKVQEMFSTVDWLDPTGDAAIQLFQRLTSSENIQLRQGFLSPSKKEAESLALDLISPTKQSDSARRKSSSMEQSTGSIGGQVLTPLEPATVHKVDINTEHPTSLDNAVPSTTISMGTVQNDQNAKLDEQCGSDQRSSPSAIISQRFPISSSCSALSTSPRSLSACPKFHSAPSALGITALLEDNAAFGESKKYASTVTSPTVSKGSTGAIKIMPKLTSGQHPTTGAPVVRKGIPPPPSPPLTTAALKPWDASTMSEAKDSSQPALEHSGRPSSLQKQHTPQSQGASTLPMHHQQSSINIAIESLPTSAPPPPPPPFLTPGSVNIYHMPPASASVTPLTSLRTQVPAAPPAPPLPPPPPSRPSPVRSHALPPPPAPAPASSPVRLSGSPPSPPPPPPSRCSSSRPPAPPTHPPLASTSSPVTPAAPPPPPFASTSFPVRPDAPPPPPAPASCSFRSSAPPPPPPPGTTFTPSPPPPPCYSSKHEFSGLQGASAKIIPPPAPPGGNAKLFGSMGRGPAPPSGPMLKGLQSSQVASRRSNLKPLHWVKVTRAMQGSLWAESQKADETLKGPVFDMSELENLFSAALPSSDARRSDKSGSRASGSKPEKIHLIDLRKANNCGIMLTKVKMPLPDLMSAILALDDTVLDADQVDNLIKFTPTKDEIELLKGYKGDIQLLGECEKFFMELMKIPRVESKLRVFSFKIQFRSQVYDLKRNLNIVNTSAEEIRGSVKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKTTLMHYLSKVLSEKLPELLDFPKDLSSLELAAKIQLKSLAEEMQAINKGLEKVEQELTTSENDGPVSEIFRKTLKDFLIGAEAEVRALTSLYSNVGRNADALALYFGEDPARCPFEQVVTTLQNFVRLFMRSHEENCKQLDLEKKKAQKEAEENCKQLDLEKKKAHKEAEENCRQLDHQKKKAQMEAENKCKQLDLEKKKAQMEAEEKCKQLDLEKKKAQKGAETDKTKKKSENEKASKKESENDKGSKKESTNDKSKINNSIKELDIPLQSPAQTASAK >Et_10A_000523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12081076:12087488:1 gene:Et_10A_000523 transcript:Et_10A_000523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPAAASSGARTNWRKEADERLRRLHSLLFGADAALESGDAAAAQALALRLLGFLDSQTLAADAGPDAAYVAPIRAAASARLAAASRARAPDSDRAAFELAKKDIGCVFSKLGDNSIEKVKSSRYFEAFLQKSKTNVADQLSATCQEVASPGVPHNDESPADTENEKLSIRASKLMIQTKLTSLCSSKSLKASGVPDSNMFKSAVSILKGCVDTENRTNQNINKHPAYLTAEEDEKPRGSYQNAKRKHTGFRSPICEVVNSPSSNDEADAPINTFTTARAMMGRDVQKHGQSGPQGASVSPHCDNNYTTRNYGVRPSWNSRRGPRGSFVPPIRNNGGTGTTTSRVPGKSDDSMEDSTRKCIEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDRDPNVRWDDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIIRNLLEKDGLFMLSEEETSAICKLTEGYSGSDMKNLVKDASMGPLREALQRGTEITKLNKEDMRPVLLKDFENALQEVRPSVSSSELGIYEQWNRQFGSLSI >Et_8B_060012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5033261:5036230:1 gene:Et_8B_060012 transcript:Et_8B_060012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILSFFCLLRNAPVLEELHVLGLSGCNGTQEFNADDDFLSAQWADSMFAKLQAVKMKDFVCLCNEMHLMEFILSKARVLRVLSIIFAPDALCIEKAATAIKGYPRASPDAQVIFLGRESAIILIHFYQITELGLIIEFSGSINTLIENAEAAETQATRGHDSIDTPIENAEVEEPLTASSGRGSFSINTFTENAGVDETQETGSGYDSIDMTPENAELEGTRATGNRHDSVDTYTENAESAEAQAADSGRGSINTFTGNAVVDETQTTSSGHDSMDTSSENAEVEEAQAAGSGLGCVNTFTENAQMDETQTSSGFVCYDVRPLRRTRLDLEYVEQLEQLKVDKLGLQRQIEQVKVDKLELLEERMQLQDSFIRQENNIRSFLKAKSGTGETPGISGAVDDHAVSPEHS >Et_7B_054035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14536936:14541662:1 gene:Et_7B_054035 transcript:Et_7B_054035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLKKHSVLLGPIMSPLLLLALLGRQLALASGVLRSQDGNGPLPSAATLAGCPTSCGNLTFNYPFGTGASHCFRWPDFELICNESVHPPRLFLRDGITQVAYNIVTTDTGSPYGGNDYIDIDLTYTIPMRYGVDVYNMSWVSPAKSFALDFDWMNVTGCNFEVYFSDGGSNTSSRLCTLTCPEGELTDMAALQNCNGTGCCSIVLEDVTIPSFELKFLRLNNTNADLLPNRSSLWNYINITTDGAELSWRIKDQPTCASAKDNRSNFACVGKDSRCIDNTESLNFGYLCKCNPGYVGNPYLINGCSRDRGYDPKADKVSCSHSCGNISVPFPFGIEEGCSAREQFYLNCTNTTTSTLLLVNSYLVTDIDVDQGQIKYKDLDQYEGSVTSMSDAGPNRSVSMKWAVANLSCLEAQANQSGYACVSTNSKCVEVNSTDLYVGYRCRCLDGFWGNPYVRINGCQDIDECSQQTRCNGTCHNTIGGFNCTACPQKMVYDPIKMQCSSAKQQTALVGTIIGLSSGCSVLVISVSAIYLTRRWRRNIQRKLRRKYFRKNKGLLLEQLILSDENASDKTRIFSLEELEKATNNFDSTRVLGRGGHGMVYKGILSDQRVVAIKKSKIIEEDEINQFINEVAILSQINHRNIVNLFGCCLETEVPLLVYDFIPNGSLYGILHADSGNELLLSWDSCLRIAAEAAGALCYLHSSASVSVFHRDVKSSNILLDSNYTAKVSDFGASRLVPIDQTHIVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFAVVLLELFTRKEPILTSESGAKQNLANYFLLEMKARPITDIVAPVVLEEASEEEINCFASLVDMCLRLQGNRRPTMKQVESALQFLRAKRREANEATIGKDEEMQTLLTTHSQKFCSLEEEFMSTAGLPR >Et_2B_020625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22050123:22051569:-1 gene:Et_2B_020625 transcript:Et_2B_020625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTCFSNEYLSSMYGSTSCWRISDKVLADMTSARQVMEKSSGAEGREENKSHLPLWSWWRGKTGCQNIVARGVLVYNTENFKEFARRATVKMSSDKAECRLSQNQLRTLPMRMIFFSVGRVIEMKEMVAANFLNFSFVCCHKESNKVAHALAALGCQCSVGMIRLWTHS >Et_3B_031001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9946681:9948098:1 gene:Et_3B_031001 transcript:Et_3B_031001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLFIIGVIGNIISVLVFISPIKTFWRIVRKGSTEEFDPAPYVLTLLNGLLWLYYGVTKPDGFLVATVNGFGAIMETLYVVMFLVYAADHATRIKTAKLAAALDIGGFGVVFAVTTFAISELDLRIMVIGMICMFLNVVMYASPLAAMKTVIATRSVEFMPFFLSFFLFLNGGVWTAYALLDRDVFLGIPNGIGFFLGSIQLIIYAIYMNSKASQSRKELIDDDSQPSAPLLA >Et_4A_032694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12621631:12625264:-1 gene:Et_4A_032694 transcript:Et_4A_032694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVDGCGGEMAMSSMDIEGSHAKPVPAPFLTKTYELVDDPSTDHIVSWGDDGATFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANDFFKKGAKNLLSEIHRRKSSCSHPSPSHQPYHRLFSAPPPPPAAYRVREDHGGGDFLATLSEDNRQLRQRNSLLLAELARMRRIYNDIIYFLQNHVEPVRPPPSATCRLVAELGSDTSTVLPRRPHEEDDDAPVKLFGVRLDEGRKRRARAVQLEEEYDGSSDVNGDGDDKGSELCLHLGVTFYFLLVQISACIWSTVPKRKRPRSPPHAAVALGQQAAARVVGWWAVDAEDCLLWRRPSSLFARNAPLEAAEAAAAALLPSAACIRQAAGVSSSLRRRSSSTPRSSSVPTLVLGPYA >Et_7B_054861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4992949:4995673:1 gene:Et_7B_054861 transcript:Et_7B_054861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGPSSMMGPEGYGRGWGQTSLGDMPESCVAAVLFYLDPPEICHVAHLNRAFRGAASADCVWAAKLPANYRYLAALAAAADDDCDCDDATVGNGRCCSSAAIKKEIYARLCRPTPFDGGTKEFWIQKNNGGLCLSISSKAMAITGIDDRRYWSHLPTEESRFHNVAYLQQIWWLEVAGEIDFCFPAGSYSLFFRLHLGRPHKRMGRRVSSSELIHGWDIKPTRFQLSTSDDQHAASEHFLDDPGQWIMYPVGDFVISSSDKLTKLKFSMMQIDCTHTKSGLCVDSVFICPKDHQLEKEHLFCKLGFDKICHIAMCLYALTELWRFLRPDLFETCHPPPHRSVF >Et_7A_050962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1298950:1300896:-1 gene:Et_7A_050962 transcript:Et_7A_050962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLAAEQDAVSLVRRVARALNRRVTDLVALLFQHRSAGSLGAVAGFAIAVVFAWRFLRSSPGRPRRPAPKRPPPPVSPAATVPAASETVEPFGDAGKVVTRQTVAKRLNGYRKVTCQLLGAVFEEKTPEELQRHATVRPQVVELLLEICKYCDLYLMETVLDDKSEENALAALESAGLFRTGGLMKEKVLFCSTEVGRTSFVRQLESDFHIDTSLDIVSQLSRFIRCQLFISSMEGGQLAANVFNSPNLEHYFS >Et_2B_019418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28730078:28731478:1 gene:Et_2B_019418 transcript:Et_2B_019418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHSNSRNQRNRGSRIKTLLQATLLLGVVFWLLYQVKHSYAKKNEYLDDTEDQIAHNDRSMFQGRKEKAGSYNENKVGVDRENSEVIAKPEEEKGGETVFDKDSTDMHEDDKRNTERSEAEEGQVNNPDGNTEDQNNNNEDEKAGDSEEDKRDSESNSDAESKSEGHSTADDATQNNQTQEENTDETNGTSQGEESTNADQTNASGSGSDGEVGEKKELMDTQTGSESLPGDANAETNGGHDAGSLPDETGNVPSVHTDKSQNDASENQGDAASTTSDSSEHSITEAVQEKTGTASGDDEKSAETGTSGEAISDEGSALTEASNAQAANTETGNSQGDSSGDAVTSSSEEAKPVGNQSDGATETSNNGEQVDTKIETITSTNDEHKESQGGDGSSGSNDSNGSHPEQTGNTESQ >Et_4B_039461.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:17022681:17023919:1 gene:Et_4B_039461 transcript:Et_4B_039461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLCAAAAAPMPLCPPAALLSLPELDRPPALMTTWELEAVAAALPAKKRRLHETLERLAASSPGPLPVRWEDLDAYISSMQYSVTLRFRQLRDMEKARITTTAPPAAVDCTLAITGGNVAVKSGKKRKATDFQEETRIVGEGVVTAKKAPLLQDMDGTGDKLIPASRGRTKQVDPVGKTNSNLRLRRIPHHAPAPVPALALAAAASAPPAITGRAGEMKVKDIQMHEAVNGMPKAEEDRPEAARNHSHNDDGGGNGLTRTDATMPMQVVEAKEQTEEVIKEEASAATKMASPLRLEAHEADQVSVSQVHVRNGNGFAKTVASAGTTAGMTDTNLLAKSHSSINHVLQKQHTANKMRSDQSEPNSLAEKDHKLLAQYHGSSRTGSQHALVETKAVAHHHQQLNSKPESAGK >Et_9A_063547.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:752332:752952:-1 gene:Et_9A_063547 transcript:Et_9A_063547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAGGIAKRWRELHGEHSWNGLLDPLDLDLRKSVINYGELAEATYDGFNTERRSPHAGACMYGYDDLLDKSGCAAAGHYKVTKFIYATSSLPLPAAFLLLPLAAIKDVWSRESNFIGYVAVATDEGAAALGRRDIVVAWRGTVRPMEWSHDLDVTPAPAALLLGAAASRHPLAMVHHGFLSLYTSKHAGSKYNTNSARDQAIN >Et_1B_011553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23530737:23532902:-1 gene:Et_1B_011553 transcript:Et_1B_011553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLAAASPFLLHGAAGSSSRRPLAAASSRRSVLRVAALKYEPSKVAPQADRVLVRLQQIPEKSAGGVLLPKSAVKFERYLMGEILSIGADVSEVEAGKKVLFSDINAYEVDLGTEEKHCFCRESDLLAVVE >Et_2B_019909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15009651:15014558:1 gene:Et_2B_019909 transcript:Et_2B_019909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASAALKPSALDLLAALLTGREPEGARWSALAENRHLLVLLTTSLAVLVGCGVALIVRRSAAPRATPAQSQAPPRPLAAKKHDEPDPDDGRPRVTVFFGTQTGTAEGFAKALVEEAKSRYDKAVFKAVDLDDYAAEDEEYEEKFKKENIALFFLATYGDGEPTDNAARFYKWFSEVGNERGEWLSNLRFAVFGLGNRQYEHFNKVGKVVDQLLAEQGGKRLVPVGLGDDDQCIEDDFNAWKELLWPELDKLLREQDESSAAPTPYTAAIPEYRVVFVKPEDATYINKSFSLSNGHAVYDIQHPCKANVAVRRELHTPASDRSCIHLEFDIAGTSLTYETGDHVGVYAENCIETVDEAEKLLGYSPDTLFSIYADQEDGTPLCGGSLPPPFPSPCTVRTALTRYADLLNSPKKSVLLALAAHASDPKEAERLRHLASPAGKKEYSQWIVTSQRSLLEVMSEFPSAKPPLGVFFAAISPRLQPRYYSISSSPRMAPTRIHVTCALVYGPTPTGRLHKGVCSTWMKNATPLEESQECSWAPIFVRQSNFKLPADPLVPIVMIGPGTGLAPFRGFLQERLALKESGLELGRAILFFGCRNRKMDFIYEDELNNFVDTGALSELIVAFSREGPTKEYVQHKMAQKAPELWSIISQGGYVYVCGDAKGMARDVHRTLHTIVQEQGSLDNSKTESYVKNMQMEGRYLRDVW >Et_4B_039488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1900359:1903621:1 gene:Et_4B_039488 transcript:Et_4B_039488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPPPLHLAAAATSALGVALGVRLLVVLSRSSALKPLAAATSAAVAALRAPRVVATASSPISALLAASKAATKSYKAARTLGPASRLPSLPSSKLLKAAFATASLLRLAATAAPIPAASPAGVAVLAVLKSGYKLSKNTYKIVEGFLGLQVHKGFRNGVDALGVVVKVAVIASEVAVWAGVQWWAGGRRRCVRVLSFTRPTSLVLVGYGKPEPQAVLLEPELVDMDAEGYQLEQRGASELLSLAVPVQQARGSVEAHVPSG >Et_3A_023954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15056692:15066473:-1 gene:Et_3A_023954 transcript:Et_3A_023954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRPPSAGASFWHPIVQLCKAYELEISNMQYAPVGKNGLTALGQRLMTLLLGSPGSGKTTLLKALAGKLEPKLKSSAVSGKVTYNGHGKQDFILEKTAAYIMQNDLHVGDLTLRETLAFSVRCQGAGKHYDLLMELMKKEVEENITPDQDINKFMKVWHKSWIVGSDTERYQTSNQLLLLKILGLQSCADTFVGDEMARGISGGQRKRVTIGEMLVGPARALFMDEISNGLDSSTAFQVVNFVRQLVHILGTTAVISLLQPTPETYDLFDDILLLSEGHVVYHGPKENVVEFFESLGFKCPCKKATADFLLEERSASVLVDDFVNAFHSLRTDHIILTKFGISFDKNLSSFSALVTLDNRSSKKELVNVIFARELLLLKRNLYPYMVNVATLIILAFVASTTFWHSNMNHGSILDGEVYLGLLYFTITEIMFSTLCDVGGTVIKLPLFFKQREAFYPPWAYTLTTYILKIPVTFVEVTIWIAMTYYAVGFDPHIGSLFKQYLVLLSVSQMSSSLFRLVGGITQNMVAAYNYRTTILLIFMLLSGFIISSENLNKFWIFGYWISPLMYAQNAISTNEFTTKRWSERRFQLTDTVFDLQIIAGSTESHGLFTGAKWYWIGVGGLVGYTFLFNGLYTMDLTYMKSPGRDISSSVPQEALTRYHGHLSGNVPADNHTRIVNRSQSSKTGTRLPFMPVLLTFENIRYFVEMPKEKVEHGTTKERLEILKGVSGCFRPGVLTAFMGFSGAGKTTLLDVLAGYPKLQETFCRVLGYCEQSDIHSPHLTILESLLFSAWLRLPSQTDSATRKMFVEDIMELLELTSLQNTLVGVPCMSGLSSEQRKRLTIAVELVANPSIIFMDEPTTGLDTRTAALVMRAARNLTDAGRTVVCTIHQPSTDIFQSFDEVGIQGVSMINDGYNPATWVLEVSSAVQEQKMGINFSEIYKNSDLYQQNKALLRDLSMPLCGSTDIQFSKEHHQSFLKQYIACLWKQNLSYWRNVHYTGGRYLFTTTIALLFGTIFWKLGMRRTKRQDLFNSIGSMYSSVLALGVKNAGAIQPIVAAERLVFYKERATGMYSALPHTLAHVAIELPHNLVQALIYGVSVYSMIGFEWTLTKFMWYIFFIYVTLLYFTFLGMMAAGLAPNERITAIAISPFYGIWNLFSGYLIPLSRIPVWWRWFYWTCPVAWTMYGLCVSQFGDVQDELETGETVAEFVRSFYGFRHEFLGVVAAGPWHLPLFSHSCLFSL >Et_2B_020390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19735535:19743653:1 gene:Et_2B_020390 transcript:Et_2B_020390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGECSSPTGSGGAGLLPLFGPSMPAESLKEKLRRMSEENRRLTSALDAILAGDRAHRRPRATSPAPSNAAATDAGVTAEPRPRVRTVHARAEPADAEANLVKDGYQWRKYGQKVTRDNPYPRAYFRCAYAPSCPVKKKVQRSAEDKSMLVAQYEGEHNHAQCAQSELVSDASTSQQGPSSGSLPCSISINSLGRTITLGLTNQGSGFNDNAVVGEVAAPEFRNVLVDEMVTLLKNDSEFMESVGLPTPSPPRRDAEMEADAEPDRKAGARATSSSMTDEEAKTLEAKLTQVSEENRRLTEMIAHLYAAQIARPGSPAAAAGRKRERAESPERSNSSDGGNRKCADADRAESPPPTLSDGAASCKRIKVSRVCTRIDPADTMLTVKDGYQWRKYGQKVTRDNPSPRAYFRCAYAPSCPVKKKVQKSAEDSSVLVATYEGEHTHPCPTRAGELLPGGVATRSGSVPCSISINSSSSGPTITLDLTKNGAGGGGVRVLGADQAPDLKKLCREIASPEFRTALVEQMASVLTSNTDFTGALAAAILRQLPEY >Et_2A_018526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31718460:31720370:-1 gene:Et_2A_018526 transcript:Et_2A_018526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAACTTSSASDAPGQIRRPDPNGRRWKCCPRTSVPAFSPPPSSSSMNRSGRNASGSGAQLAGSRPIAHTLANTVAPAGTSYPPTTHGSSDRRTTSGPVGCSRSVSLVGQPRDVRLVGEPGRAHHGVQLRLRLAEDGRVVDQLRQRPLQRLDVIPGEPHLGSLVLVHVEQHVQHVLVPLLPTACALLLPPLPPLGVPRCQYPLHHDVELAVHLVDALPESLHVPVLEQAHVVLQVERAGELGGLGHHAPHLLRVVVVAGPPPAHHHPGNDVARQAHERGVHVDARAQLGVRRQRRNEAGDLLAPDVAEPVQGAPRQELVHAGPLHEAPVRAVGGEGEVLAAVREPVHRRRLGPVQERGLTGLEHLPGRVRRAGGDGGHRAEPEVEERAVARGQAVQRLVRQRREKVEVAQERQRERARREARAAGTEPAQRVENGGEAGGEDKDGDGGRGE >Et_8A_058186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23147365:23154285:1 gene:Et_8A_058186 transcript:Et_8A_058186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMFGFRHLIFGMDPNGSMYGGDFSRKVDHILSKHSGTAELGPLRNLTTLQLTFVSITEDELEGLLYNSLALEQLDICKCREMICLKIPCVLQQLSSLNILDCERLKMIESKAPNLSSFGFRGCTVKFSLGETLKVKKLYMSRAGMVSTARAELPSHMPNLETLVIGSFHEVVNTPTLPSKFLYLKHLNIDFVSGSTRLPSYDYFSLVSFLDASPSLESFTMTVKLRQGFMEHASTFTNLSDLRQIPEHRHGHLKSAKISCFRAAKGVVELTCYILKNEQASNTNGVASHESVLDVSGEEGERPDERRVDVGGRLCLPVPVAVVDEAVFRVGAPDHGADEQVPVGVLGVQVPEPLVASDASRVVAHRRHGRAAVVEAPRVAFPEAHHVGRPRRVLPQDVVDLALGQRDELGGRHVAAAAQGAGAGGAEVLGVGEEEERRRGHVLHVAAAFLVDEPARRVLRRLEAAGPGDQGAHVAVRVRLVQLQPRRVVELLVLPLRLEERPGEEHLGAGALVVPAEGEVVVAGAELQPVGLHVRHEGRVVGRAVQPRRRPQELVLVPGVGAVERAHHAAQRAVAAVGAAVPVGELERLHRGVEQPRRVGRVGAAERDGGRGEGGGRLGGDVGAGLVGGDGVHAPRRVHVLGPLPAAVVLVGLSHLDVERPGRRRGGLEEVVGAPAHGGTGVRQLAAAHELAEVRHAPRQHRRRERHGEAELHVVARVVVPAHKVHLQIEQEDISIRNRKNVSCSSTRKRTRTHIVLRAVAEAGVSAPRHAVARRQLAGPLALEEDDGLGQRVRIVERRGQARTQDQELNRGGNQEQAAAALSLGRHGQVDSSPPLASQWQLGFEEQRRRVMRLFVGGKEATETEEDKMLAQVNTS >Et_6A_047920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24010328:24012055:1 gene:Et_6A_047920 transcript:Et_6A_047920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTRWIRLLTDNDCRLEICTDPKTILSVDDILALIGNRCDGVIGQLTEDWGEVLFSALKRAGGTAFSNMAVGYNNVDVEAANRNGIAVGNTPGVLTETTAELAASLTLAAARRIVEADQFMRGGLYEGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMIEGFKMNLIYYDLYQATRLEKFVTAYGQFLKANGEQPVTWKRAATMEDVLREADVISLHPLLDKTTYHLVNPDRLAIMKKEAVLVNASRGPVIDEVALVEHLKKNPMFRVGLDVFEDEPYMKPGLAECKNAVVVPHIASASKWTREGMATLTALNVLGKIKGYPVWGNPNMVEPFLDENTPPPPACPSIVNAKQIGG >Et_4B_037863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24173459:24176208:-1 gene:Et_4B_037863 transcript:Et_4B_037863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQHLMQMNQGMMGGYASPTAVTTDLIQQYLDENKQLILAILDNQNNGKVEECERNQAKLQHNLMYLAAIADSQTPQAAPLSQYPSNLMMQPGARYMPPQSAQMVSPQSLMAARSSMMYGHPSLSPLQQQQQQQAAAAHGQLGMGSGGGTTSGFNILHGEASMGGGAGGGNSMMNAGMFSGFGRSGSGAKEGSTSLSVDIRGGGGANSGAQSGDGEYLKAGTEEEGS >Et_1B_013236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6643944:6644614:1 gene:Et_1B_013236 transcript:Et_1B_013236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSALGLTECVHVACVTQVKCTGNSACSGQPATVVITDECPGCLAEPFHFDMSGTSMGAMAKPGMADRLRAAGIVKIQYKRVPCKYNGVNIAFRVDQGSNPFYFEVLVEFEDGDGDLSAVHLKEAGCSTWTPMVQNWGALYRYNSNTGKALKAPFSLRLTSESGKVLVANNVIPAGWKAGATYRSLVNYS >Et_5B_044711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:556090:566759:1 gene:Et_5B_044711 transcript:Et_5B_044711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRDLDLSNEVEGEQDGTDDFVFRLAGDPIPVLPTATHPLPLFDLQSPPARPLTVSDRHAAVFVAHPDGFMAVRTKELIEASKEAREKGKASTRCAQDCCVADVSLPGVSLLALSRDESMLAACTGSEIQFFSCASLLAHKDVEPSSSCSLERSGTVKDFKWLNHGYVVLLNDGLLLHGTLGEGLKDVMENVDAVDCSKEGNHVLVARENRLTILSSDFKETCCMPLLFQLWGNESNPEDSTIKVDSISWIRDDSIVIGCVRLNEEGYLVQVIRSEEKSFFESSSKPVVYTFMDFFHGIMDDILPSGVGPNLLLGYLHRWALVVASNKKSIDEHIALLKWPSTSDDEKIVVYLEMLEDKYKNGDDNVILGFGVENVSLFQKITVTVGSEQKEVAPQHILLCLTGEGKLVIYYLARISDPSDLPHTTLSTIEDSCGEKQISLAAVSGKEWTPSVASSVFESNLSEHGAEPSRAQTGSNRQGSMDVKNSSSVSKNQETISTSLFTSSDKEPLSTKQVNVTPPFTGGMKPGISFSFSTFNSVGTDRTGSKESNAFASFSQPSSSIGFGNSQLGKGGLHSAQSVGSLGGSQNSTKDGGGISFKSSLSTSSGSGSAIGERNEAGLGSHSQRTSYTTDRKIFGSSGGLSSEPSVSIAPAMPSQVSSSGFLTGTSETIQSLRGSPLSQQPVGKSHNSRTPTALDYSRNSKMDTRFDSEQDLSKKFYSINEMTKELDTLLSHIEKDGGFRDACITFQQGPVSMLEDGLQNFLELLQIFKSKVEGQCSQIEDLRNKMFQVSARQAYMKGIVSQSSDAQYWDIWSRQKLSPEFEVKRQNILKANQNLTNQLVELERHFNKLEMNKFGETGRVASNRRAVYSNKSRTSQTQLSSVYNALNSQLAAAEQLSECLSKQISALNIGSPSTKRGAVTKELFGSIGLSHTTDATKFLGGTPKSVKRFPTVTEHAKGVLGPSKGTEPETARRRRESLDMSLASLEPQKTTVKRIAQQQRLKISSDLPFRSNKKTFDSQMAAISQEKSSGSPTSSIVESYVSRLHSPTEGVKAKPSGPQPNSLFKWVKESPGPSQGSEQKKFELPGQTSSAVQSSKLAPSSPASFSYVHQGARDSVSPTNVASFGTTNTVPKSNTLTFKTTISPKSNANTEPTLFPSMGTAKTSQSPLSVRTLPGQSGGAFTLTMKNKQDDQATTALGNTNGSGVSPQNKSDIFRDLSQSSFTPQHSKAAFPHEKTGQLSGVSDAVQNIVKDTPKVSPQPPVFSFAPATQSSSQSVKLSFSSSATSASSTMQASEAKTSDVLTPTVSSNLPPQKSVLNVPSSLPGITLSSSLPSISPPVKDLSAGLNENVSKPDVVTSEVTSTTVSSSVTSIISTTESKSSLPSMADASLPSTPASAPKMVPTTEETVVTSTGKNGGPSNLSIDEDDMEEEAPSASADLNLGALGGFGLGSQSSSSPQKSNPFGSSFGTSDNKSSGAPFTLTTSPGQIFRPASLSIPSAQPAQPSQSTSSSAFSSTFSTQIGSGQQSGFGQPAQIGASQQPGFGQPAQFGSQQALGSVLGSFGQSRQLGSVGAGGFGGFASASTSGGFASSSGFAGAAVGGGFSAAATSGGGFAAAATGGGFAALAAKPGGFAAAASSGGGFGAAASSGGGFAAAASSGGGFGGATQGGGFGSGGFGGFGGNQGAGFSAFGTSGPGRPPADLLTQMRK >Et_4B_037338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18606458:18609837:-1 gene:Et_4B_037338 transcript:Et_4B_037338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDVFQTEGNNIRFVATELATDIVISIGDVKFYLHKFPLLSKSSRLQTLVASSSEEKNDEVDISDIPGGPSAFEVCAKFCYGMTVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLTSSVFRTWKDSIIVLQSTKSLLPWSENLKTINHCIDSIASKASIDPSEVEWSYTYNRKKLPSENGIDSHWNGVRKQPIVPSDWWVEDLCELEVDLYKRVIMAIKAKGRIPAIVIGEALRAYAYRRLLGSLEDAVSNGVDCTKRRAALDCILYLLPAEEGSVSCGFLLKLLRAACLLESGESHRSNLIKRIGTQLDGASVSDLLIPETTGENSVYNIDLVMAIVEEFMLQCISNGKAKFQDEEIMEVENLTTAVSSTSKFAVAKLIDGYLAEIAKDPNLPLRKLIALAEMVSSLPRPTHDGLYRAIDMYLKEHPSLSKSEKKKLCGLMDCKQLSQDACMHAVQNERLPLRVVVQVLFFEQIRASVASAKGDPSSELPSAVRSLLPRENGNSIGSSRSAATTTTEDEYTEQALVRQNKRRREQQLRHVREPWVRQPRGSEVHAVTDHKEFVRVVDAM >Et_5B_044119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20164333:20170214:-1 gene:Et_5B_044119 transcript:Et_5B_044119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ELSEDLPAEQSIQFFKEQENGDTVHVPCSSEVKNGDASRLILEEEKQLLEPGKEEQADDFVDASSSIPIDLEAKNGDAFLITESMKKEEEQLEEARVKAEEEEEARKREEAARIAFDPSENYSKLDALLTKTQLFSEFLLEKMDKIADEENVETKEPQIEEKKKGRGRKRKSNTVPQYNDKKAKTAVAAMLTRSREDRLADDCALSEEERWEKEQANLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGMNGPFMIIAPLSTLSNWVNEISRFTPSLSSIIYHGDKVARAEIRRKFMPKTPGLDFPIVVTSYEMAMSDAKFLAHYKWAYVVVDEGHRLKNSKCKLLREIKRIPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSGKGNEELQEETEEKRRVHVISKLHAILRPFLLRRMKEDVEQMLPRKKEIIIYANMTEHQKEIQDHLVKKTFDNYIEESDIVLKRPGIKAKLHNLLIQLRKNCNHPDLLESPYESTGLYPPAEKLLEQCGKFQLFDRLLKFLLEQKHKVLIFSQWTKVLDIIEYYLDTKGIEVCRIDGGVKLDDRRKQIAEFNDLKSSFNVFLLSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKRAFGKLKLEHVVIGKGQFEQERAKPNALDEGELLALLRDEQDEEDRMIQTDISDEDLLKLMDRSDLTGPPGAANAAPLVPLKGPGWEVVVPTKSGGGMLSSLSS >Et_3B_030626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5610521:5611777:1 gene:Et_3B_030626 transcript:Et_3B_030626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVPSSASLFPVTAARPLAQSTFTFGASCQKKKKMIRATRVRCAVDDEEEVNDVGVNIALSMLKFYKREISPLLPSSCRYVPTCSEYSMQAYKRYGVVKGTVLTAWRLCRCNPLGGYGYDPPRWFGEDEPPDQ >Et_2A_014966.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23866413:23867636:-1 gene:Et_2A_014966 transcript:Et_2A_014966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EEWVVGVPDDGVGGVEGGAVVLVERGVGDGEPLRQVRVGEEQPAEGDQVRVAFVDDLVPLRPVVPAGGDEGAPERLPERQQAVRDLPAAVHQRHARLDHVAVQNSQVAQFVDQVPGQGLRVGVVAVHVVREGGQPDADAARANLPGDRGHHLEREAAAPLQAAAVLVVAVVGAVLEELLDDVPVGAVDLHAVEPGVDGVARRLPEVVHDPGDLVGGQPPRRRELLDAAVVADLRGHAAVGAGDGRAAAGLERVRGDAADVPELAEEDGALGVDGVHDGPPRRSLLRRPHAGGVRVPLRRVGDSGGLRDQQPAFRGALRVVHGGVRLRHVAVGPLPRQRREHHPVGQLEITHLVRSQQGNTAVSLLLRLHLSSLALLDCLASSNGFLFAVLVICPFIGISYTAPFLWS >Et_10A_001838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:921697:924909:1 gene:Et_10A_001838 transcript:Et_10A_001838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HGLILPQPPINSHAPSPISPTLPSSSSPRSIDNKQSTSTIIMASRAAASSVLLLVLAAFAAGASAATFNIRNNCPYTVWPAATPVGGGRQLNSGDTWNLNIPAGTSSGRVWGRTGCSFNGNSGRCQTGDCAGALSCTLSGQPPLTLAEFTIGNGQDFYDISVIDGFNVPMSFSCSNGPNLVCKADKCPDAYLFPTDDKKNHACNGNNNSYQTVRTK >Et_4B_037129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1576874:1578246:-1 gene:Et_4B_037129 transcript:Et_4B_037129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGASGMEVEQDLPGFRFHPTEEELLEFYLSHVVHGKKLHFDIIGTLNIYRHDPWDLPGMAKIGEREWYFFVPRDRKAGSGGRPNRTTERGFWKATGSDRAVRSSGDAKRIIGLKKTLVFYKGRAPRGTKTDWVMNEYRLPDFGFASGRAAQAPPKVTTRPFRAHDLLNFNINHDPWEAKCTSTSFISNAFARPQEDMVLCKIYRKATPLKELEQRACETEERQRRSNLDYMARASLVRVDDYLSPDDSFLLASSSSSAPSEDSNNAPVGAKTEADAATVTVAATSLPPLQHVTATPAALSLPPSMQLPTVRHGDLPSLQVPANHGVFDWVQDSFQLRSPWQDQLFLSPLANLLY >Et_7B_055709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2415381:2421687:1 gene:Et_7B_055709 transcript:Et_7B_055709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQNQRLNVVPTVTMLGVMKARLVGATRGHALLKKKSDALTVQFRAILKKIVAAKESMGDTMRASSFSLAEAKYVAGDGVRHVVLQSVRAASVRVRSHQENVAGVKLPKFTHFVDPAAASGGPSNASPSLTGLARGGQQVAACRAAHVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRIENTISYIKGELDELEREDFFRLKKIQGYKKREIERQMANAKLFAEEQLADELALKRGISVGGGNNKLMPELQNFHT >Et_5B_045576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4748980:4751080:-1 gene:Et_5B_045576 transcript:Et_5B_045576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVKQHRCTHSASCVCIKGHLSEDALYLVFRHMNWNPRQIAILSCVCKWFDEVAKQVLWKEFCHARAPKMMLDLHSGGSHIVDGNWKALGKLLIYCNGCTKGGLFNNIHVPGHFVFRTRFSRTAGKSFLPLPCKSDVLYVSDPCEHLDQGEEGDLGFFRGIFKSFATSKVKKILIEKRVRFHPRELCPYCKAKLWNMFQANMVPRSASARLGAYEDSVEYFVCLNGHVIGISTLLPLSDSEEAAEEFTRLKPRVQECCTVENRGRDLQRDNL >Et_10A_000998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2068441:2074835:1 gene:Et_10A_000998 transcript:Et_10A_000998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSPSKMEDASPESEGEQRCLNSELWHACAGPLVSLPVVGSRVVYFPQGHSEQVAASTNKEVDAQIPNYPNLPPQLICQLHNVTMHADAETEEVYAQMTLQPLSPEEQKGPFLPIELGAASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPVQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSIIFIWNDNNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSKFIIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDSERWPNSHWRSVKVGWDESTAGDKQPRVSLWEIEPLTTFPMYPTAFPLRLKRPWASGLPSMHGMFNGGKSDDFARYSSLMWLRDGNRGAQSLNFQGLGVSPWLQPRMDYSLMGLKPDTYQQMAAAALEEIRTGDHLKQTSALLPVQQTQNLNGGLNPLYGNPVLQQMQYQSQQSPLQAVQQGYNQNTGSSGFLQSQLQQLQLHSSPQMQKEQEPPPQQQTQVLPQQSHQEMEQHFSSGCHGISSGMPQSGSVSQPQSSLHPGSSFYQQKILEGNSGPGFHMHNSTHNFSSHETSYLLSLPRNGELMASEGWPSKRLAVDPLVNLDAQSVQPKHEKVDHQTNMPHISGALPPLSGRDSSNAQACGTSVQSHLLSSPFAIHDGTAVRNGGVGSGTDSITIASLRYNDMNLLTESSMPTSGCLGESGTFNSLDDVCGVNAPQGGTFVKVYKSGSLGRSLDITRFSSYYELRSELERLFGLEGQLEDPVRSGWQLVFVDRENDILLVGDDPWQEFVNSVWCIKILSPQDVQQMVRDGDGLLSAAGARVLQGNLKVHDL >Et_8B_059878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3633524:3637000:-1 gene:Et_8B_059878 transcript:Et_8B_059878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMNAVPICAVSHAEDIEISLCDGNSEDERRRRKIGSLRRKAIHALKKRGRRRVDYRFPPTAISIEDVRDAEEERAVAAFRERLNAHGLLPEKHDDYHMMLRFLKARKFDAEKAMQMWAEMLKWRKEFGADTILEDFEFEELDEVLCYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITTVDRYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVQGVGFKNFSRTARDLVHRMQKIDSDYYPETLHQMFVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGSNYQSRLLEVIDSSELPEFLGGSCTCSDKGGCLGSNKGPWNDPYILKLIHNLESGCVREIKPVSEGEERSSSSLQLEQLKGMFSDTSNAESGSDVDDFGPSFVQKVVDYGCLTPVHEEVKGTDSATHYSCEDQNPLDMAPEFYRGVRRTTEMVQKPVADFRQSLTNGRPHDLGNNAHNLNGTIAQRGWQNLVKLVVTALIKLFSFIRLFISRAERRLESVQHSTPPVPAAQKTQPRTISDEEMCACLQRLDNLESLCNHLATKPPQIPEDKELLLLNSFERIKSVEADLERTKRVLQATVVKQKALVEALESVQEPSRVRVCLLHSLD >Et_7B_054401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2179750:2180319:1 gene:Et_7B_054401 transcript:Et_7B_054401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKNALETDVEFELSKQAQTFSLEISARQGRQRTGHIFSSSARPSDNYGNQLEENIKFICLLWTWWSERNRIRESENRRTIATLEHVIHIYSLEIMKAFEKESKLKCRNSIRWVRPDTRVLKINCDASFLAGSAEVIQPDYREHFIF >Et_5B_044360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23277268:23281043:-1 gene:Et_5B_044360 transcript:Et_5B_044360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWCEEAVALLRRPAVAEMAVDVLLCAVPIWAAVMIGLVVGWSWRPRWTGLLFLGLRSRLRILWVPPGFGARRLWLACTALSAFSVAPSLLSSAFRRCRGKHNDKVSPEDASPDAAASGDAGACADGRAIFEGERDIVGPIMHCSHTIFEDATPELVRDFFWDGDFRLKWDHMLAYSKTLDEFPQNGTTIVHWIKKFPFFCSDREYIFGGRIWESGKTYYCVTKGVPYPSLPKKEKPRRVELYFSSWRIRAVQSPKHPGQLSACEVTLVHYEDMGIPKDVAKVGVRHGMWGAVKKLQSGFRAYQKMRETENTLSHSAIMARVTTKISIAGSSDLLDQGLSRANKTSDENDGSRAVQHGFDWKWVMVGGAVAAVCVLNTGLVGKVLLLGAARRQAKK >Et_9A_062025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1958584:1961510:1 gene:Et_9A_062025 transcript:Et_9A_062025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAARHDHGAMQQRRRRAASGDRHACLLRLLLFFSAAVAGAGDDPAILATVCGPTPASNPETFDVSFVNALELIYQNVTRSGYGAASSGAGADAVFGLGQCLAYLSPTDCQLCYAQSRVKLPHCLPATAGRIYLDGCFLRYGPDNFTAAGAAATDAGDTAVCSNATVPAPSARAFAVAAAALLRNVTAIAPGSRDSYYASSSSSAAPAAADSPAPQVYAAAQCWRSVNASACASCVASARDAVVRRCLPAAAAEGYGLNAGCVVRYSTRPFYLPANAAGGGGGSSNRRIAIIVLASVLSALAVIGIAFIWVKMRSGKSDLHDDMDGSGEIIRAIAASHLSFKYEELRVATDDFNQINKLGQGGYGSVYKGVLLDGREIAVKRLFFNTRQWADQFFNEVRLVSQVQHKNLVKLLGCSVEGPESLLVYEYLCNTSLDHYLFDAFKKNALDWERRFEIILGTAEGLSYLHSASEVRIIHRDIKASNILLDERFRPKIADFGLARNFMEDQSHLSTGLAGTFGYMAPEYIVHGQLTEKADIYSYGVLVLEIITGRKNHNSVATSADGLSLMALIWKNYNAGTLMEMLDPNLREQCAEKDALKVFHIGLLCAQASPNLRPPMWKVVELLSGRDKVLPRPSQPPFINVKGSNAKSDSSGSASLQTNSDKSPFSMNELSVSGVEA >Et_3A_023570.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:5274891:5275016:-1 gene:Et_3A_023570 transcript:Et_3A_023570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVMIASWAIWIQRNDIIFNGAVISFPKWKNDFNLHSHVL >Et_10A_000657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14756122:14756395:1 gene:Et_10A_000657 transcript:Et_10A_000657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASTVLCFIVVAALVVAIAALQPRQGATRLHEEAESFKEEKLPWWWDTDYMRSKRRRPKHN >Et_9A_061818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16784033:16787345:-1 gene:Et_9A_061818 transcript:Et_9A_061818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVCGLLVLDDWGQVMDDAAGSKHCICTIVERKMQTSRLLLLLPVVLLQYGLKTIHRKWHDGVHKSCQLPRTNSNGEWHHVLMLFMFGSFCFLSSESQPWNGISPFMAQSMSGTSSMCGHTYTSRNRSLVQTSSLGNLCCHWNFPALLSTTSTGCPCTKRLWSASTGRQSANNLNTEKKFETAINYHF >Et_2A_016599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26448827:26456077:-1 gene:Et_2A_016599 transcript:Et_2A_016599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADLGSPRELTGLQWRRALYQPELPPCLQGTRIKVEFGDSTTTIDPKCADIIGKAFPHTFGQKLVHFLGPNTAIPDAQNDLLIQYPNYSLCRVGVVFCGRQSPGGHNVIWGIYDAMKAHNPHNVLLGFIGGTEGLFEKRTLEITDDVLLSYKNQGGFDLLGRTVDQIRTAEQVNAAMSTCCDLNLDGLIIIGGLTSNSNAAQLAETFAERNCKTKVVGVPVTLNGDLKNQFVETTVGFDTVCKVNSQLISNVCLDAVSAGKYYYFVRLMGGKASHVALECALQSHPNMVILGEEVALSKLTLMEITNKICDGVEARAEQGKYHGVLLIPEGLIESIPEMYALIQEINVLYSNNVHTNDIPSRLSPWAAALFTFLPPFIRSELLLHQESDNSAQLSQIDTEQLLAHLVEAEMNKRTKEGKYNGRKFSPVCHFFGCQARGSLPSKFDCDYAYVLGHNCLQIIAAELNGFMATVTNLKKSTDKWRCAAVPLTAMMSVRRHLRGPGAVPVGKPVIHPSPIDLKGRVLREKASSFLLDDFYRTPGGIQFEGPDADTKPITLTIEEQDYLGDIEILQDYLDKLRALLKPGCSREILKAAISSIESANNVLKSAPISKESSITAPSEMHERSPTTE >Et_5B_044847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6476162:6478771:-1 gene:Et_5B_044847 transcript:Et_5B_044847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRREMEAQGTYRRAGETGGGGGTSSIANHVGIQNQVLNWLQDFSDRVEERAKGAAADVNGLLDDVAALELDMKTAMKVSDEGNTNLKTRDSRQSSMQSQVRAQDYERDILPRYKEALHIGLASCKDHFRKKGRSTTSVFRAMSTYGPLPHIIGSEEYNHDNSCGLADDAQPLTDDFSWLRESQGESLDSVAGDLFESQTLELQQGSGKGETDSLVFATREFKAMLEAALVNPYKFYDDLSTTTQNASTDNARTSEVHHNTVSTFLLPCSCFCVAVFCIFCISLCGGTGFFMIKKSKANLLSEHIYAFTTTLLVLSS >Et_4B_036931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12784722:12789284:1 gene:Et_4B_036931 transcript:Et_4B_036931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRCVSALVHRRRPDRSLFYFCLILVVNHLKGLPNLQVDAVRAGFQCLTDISSSVGATESQRCSNTYATSCATAPDVLSREMLVDSFGRFHNYLRISLTERCNLRCQYCMPAEGVELTPKSELLSHDEIIRIAKLFVTSGVEKIRLTGGEPTIRKDIEDICLHLSSLKGLKTLAMTTNGIVLSKKLPKLKECGLSALNISLDTLVPAKFEFMTRRKGHSKVMESIDAAVDLGYNPVKVNCVIMRGMNDDEICSFVELTRHKPINVRFIEFMPFDGNVWNVKKLVPYAEMLDKVREKFKGVERLQDHPTETAKNFRIEGHVGTISFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLREPIRAGVDDAGLKEIIGAAVKRKKSKHAGMFDIAKTANRPMIHIGG >Et_9B_063848.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17337433:17338869:-1 gene:Et_9B_063848 transcript:Et_9B_063848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLTLCVGRRAPRPRGDSVERRALPPEPQRAVVVVRHRVPQPRRARPGHHLRAPAAAAAGGAQEAVPGELRLPATDLLPAAPRRPADGQHVGDLPAEHAVLHQVPARVVVGRPRRAHGVEQRRVVVQRGDEPVQEVSRSRAAALVRLHQRQDFWLQPPHQPRNGAVVVPGRVVHSVAQPVHGHGLPVPLPRHVQRRVGVHGGVPGPPRDGVELERHAQLAGQRGELVPGVAEHRRRRAEPRDAEHRRASGPGRQRREEREPLQRAAGHDVEVHNVGQPLAVERLEHGLVARQVGGPRERRHVVEGPERGVDALPLPRRRGRRRGDADPRAHQPRRHGPREVGHEPLAARRRVGGRHLRQLQEHPDGVAQVAHRHGHRALHQQREHPHAAGLLLVPRRRRAAPLLHRVVASLRRLLARGQLLLARLGGELRHGVLAGGETFTGMKKTLLMMMQELVLQWQVGTTSAAAAEVRILKLHES >Et_8B_059611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19915445:19918194:-1 gene:Et_8B_059611 transcript:Et_8B_059611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNPQRQQAQDLVSSNVSSSTVVYLEQISLKNLEPEGECWMEPNPQLQLQAQDLVSSNVSSSTIQMHLGTSFTADTSFSVKRGVTILAFTEVKRSFRQDRHLISAV >Et_2B_021755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5170494:5173399:1 gene:Et_2B_021755 transcript:Et_2B_021755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVKFRLFDGTDIGPSKYDPSTTVAALKEFVLARWPQDKEVVPKTVSDVKLINAGRILENGKTLAESRVPVGEVPGSVITMHVVVRPPQSNKSGRKFTGMWVRVNLKECAGVGNWEFAIV >Et_2A_018438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28249722:28252579:1 gene:Et_2A_018438 transcript:Et_2A_018438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRWFCCSQFNASYREHENERPITPDEKEGNGFAPINDATKAPPPIEVPELSFEELKEKTDNFGSKALVGEGSYGRVYYAVLDSGKHVAVKKLDASTDPELDNEFLTQVSVASKLKHENFVEMLGYCVEGNQRLVAYEFATMGSLHDILHGRKGVPGAQPGPALDWMQRVKIAIDAAKGLEYLHEKVQPSIVHRDIRSSNVLLFEDYKAKIADFNLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDTVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLQHKPQPPPAAAPNATAPSDA >Et_1A_004926.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:17096637:17096684:1 gene:Et_1A_004926 transcript:Et_1A_004926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAACFALRAMSAV >Et_8B_059757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2457521:2461334:1 gene:Et_8B_059757 transcript:Et_8B_059757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKIKKFGKGRKSAGGKGEVVELDDDANAGNVATEEEQKKDGVLEEHAEEAVEAAGGGNGAVDEGQEDDEDDEDDFITNEVKRRLKEMRKNSFMVLIPEEECAEVEEDGEDDEGEEEGSSSREWVESDVGDGFPLCGFDSLYGKYCQRMLVFDKMILQLMKDPESLNISKKSPRSASKLASTLRSLSLKRRDELREDCEQLQQQQSDDDPYQTLETAYVAQVALSWEALHCTYVHLSLIVATQPDNPTTYSRAAQAFQQFQVLLQRFVENEPFEHGSRVEIYTRSRSSFSKLLQVPTFQDRKENSEDQTEPSIFASDLIKLLEESILTFRLFVKKDKKKNSTSVHGHTGSSIQQVLSSLDKKETKVKELFKKKKGSRHKSWPATMEEVQLLFALIDMKVVSRVLRMGKLSKEQLLWCEEKMSKLDLSEHRLRRDGSPILFPC >Et_3A_025530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30561887:30563522:1 gene:Et_3A_025530 transcript:Et_3A_025530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSMLMSTSVNGGRALPSLMQAGRPARLMLPLRPSYYSHAKSVSVRTMALFGKSKAKAAPAKKQPPPKPKVEDGIFGTSGGIGFTKENELFVGRVAMLGFAASLLGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGRFVDEVTGLDKAVIPPGKGFRGALGLSEGGPLFGFTKSNELFVGRLAQLGVAFSIIGEIITGKGALAQLNIETGVPINEIEPLVIFNVLFFFIAAINPGTGKFLNDEEE >Et_8A_057964.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:10194569:10195000:1 gene:Et_8A_057964 transcript:Et_8A_057964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILTALLVALVTALTIHHEPEQTAGLPLSTSSRWIVDDRGRRVKLACVNWASHLEPVLAEGLDKRPMGAIAGDVAAMGFNCVRLTWPTFLVTDASYSSLTVTQSLKRLNLAESLAGVRVHDPSILDLKLIDAFKASLPIFSS >Et_3B_029188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22868284:22886330:1 gene:Et_3B_029188 transcript:Et_3B_029188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTMMPRHQYSVKEDLTRGAKLILITLWMIMPLFIITPEILLAPISTAFHITLRAANRRQGERCYRRGEAVVRYSGNTVASGRTRAFCVGAKEARDVPVVAWADGVGLPRSVRERVAADWRAGAVELEVDVRLFRGDDGSARPTWMSCKVTAGGDKPTSVTPCKMFALQNSRDPIASPMDFWEAVENNVRLALYATVMASFMTLMVTKYQVLHGARRVRGPKRQTTAGDTVSAAFTLKMRVESPGVLLSWCSNGVEVVVSYAGVALAWGDLPGFCVDRSGVMELTVKPWGRGAGLSEELRRRLDTEWRAGTAQMLVHGMSSAMDSVKQDVTRGVKLALVVIWMTIPFWVIFLRNLPPKFSVEFRGATGLDDAPISTAFNFTLHAANRRQGDRCYRHGEAVVRYSGYTVASGRKRAFCVGAKEARDVPVVAWADGVGLPMSVREPMAADWPAGAVDLEVDVKLFRGDDGSARPTWMSCKVTTGGGGGAKPPRATRCTTFGFQNWASDITPAWMHYVHVGAAAGSQKSASTFPRFFISNMCEILFVAWLIVTPWYYIFYDLPPQFSVQLAPAGRGLDVDDTAAPMPTAFHVALHASNRRATARCYRDGEAAVTYGGFAIASGRVPAFCVPAKGSREVPFLATADGGVGLPERLRDRMDAAREIGALELEVQVRLLQAGRRTRMWCNARMGGPQPPDETVCTVFALQNWFDLNTVDQEPMSVWNNPWEKRMHITKLVLASIVLPSIMGAAMVFSIANNARFATEFSMDLAGFEGFNSTVDHMISPAFSLKVHVKNHRFLQPHSWCYHGGEAVVSYSDVALAWGHVPRFCMNRRVPTELNVLAWARGVGVPAGLLRRLESERHIGTAQILVELKLFYDDGLTSAYRNQPSSSQDIIRAVKFALVVIWMTIPFWVISLRTLPLKFSVELRDATGLDAPPAHDHAPVSTAFNFNLHAANRRLMDRCYSNGEAVVRYAGYTVASGRTRAFCVGAKAERDDVPVVAWADGVGLPRSVRERMAADWRAGAVDLEVDVRLFRGDDGSARPTWMTCKVKASGGCGAKPPRRVTRCTTFGFQNWAYGCNHSTLYPHGEGTM >Et_7B_053651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10726164:10736107:-1 gene:Et_7B_053651 transcript:Et_7B_053651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLNIFVHPDCCRCSNKIQKLLCCIKERGGFVIEKTVYEKDKVVVSGPFDAEKLACKLCCKAGKIIKKIDIVKSPPSPPCQTDNPIKIVVVSDKPKPAEPSPIPYPYPCPQPTWPCSCPPPHCQCPVKPPPPPPPTPKPQPKPEPKPDPQPLRPCSCPPPYCHCHDKKQPACQCPPPYCYCHDKKRPACQCPPPYCYSRMPTLIITVDPDCCRCSSKMQKVLCGIQERGEFVIEKIVYEKDKVLVSGPFDADKLSCKLCCKAGRIIKDIEVAKPPPPKPDPKPEPKPKPKPEPCKLIPYPYPYPCPQPGWPCGCPPPYCQCSPPEPKPEPKPEPKPESKPKPEPCKLMPYPYPAWPCSCPPPFCQCHAKPPEPAPPAPPPPKPEPEPPKPAPACQCPTWPSCHCYGYPPPYMPPAMPYPMVVCDDSPPYGACAIM >Et_8A_057894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:960178:961660:1 gene:Et_8A_057894 transcript:Et_8A_057894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPAGDLDRISALPDDLLHVILTFLGDARDNGRATADDRIAVIPSVETGDLIVARFAFKFKHASLWPGALGKATVHGEQGDAALPDDLLHENLSFLGDTRDVTRTAGLSRRWRHVWVHAKNLTFSGDGDLTNNAHFAGFVDWALAKRGDVDMGSLKIHFYGKGYTSPEQVNEWLR >Et_3A_027006.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29383835:29384599:-1 gene:Et_3A_027006 transcript:Et_3A_027006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKALLLLLGVVATSLLSCGVLQVSGDFAPMTLTVVNNCPFPVWPGIQANSGHDVLEGGGFFLPALSHKSFPAPTHAWSGRIWARTGCTAGAGATLHCATGDCGGRLQCGGLGGAAPATLAQVSLHHGNDQTSYGVSVVDGFNVGLSVTPHEGRGNCPVLACRKNLTQTCPGELQLRSPAGSVLACKSGCEAFRTDELCCRNMYNSPRTCRASKYSEFFKRECPQAFTYAHDSPSLTHECAAPRELKVIFCH >Et_9A_063438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24376417:24379538:-1 gene:Et_9A_063438 transcript:Et_9A_063438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWWGRDARASGGGTPVVVKMENPNWSISEVSPAEVAAPGSPAGGGKAGRGKNARQISWVLLLKAHRAAGRLTGAASAALAVAAAARRRVAAGRTDSDAAPGENTALRARFYACIRVFLVLSMLLLAVEVAAYYQGWHLEVPSLLAVDGLFAAAYAGWMRARLDYLAPPLQFLTNACVVLFMIQSIDRLVLCLGCFWIRLKGIKPVPMPAAKEDVEAGAEDFPMVLVQIPMCNEKEVYQQSIGAVCSLDWPRSNFLVQVLDDSDDAATSALIKEEVEKWHREGVRIIYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQPDFLKRTIPHFKGKDDVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGAFINFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFLFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGFWKKCNLIFLFFLLRKLILPFYSFTLFCVILPMTMFVPEAELPAWVVCYIPATMSILNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVALVEKHSDSKQQRVGSAPNLDALTKESNPKKDSKKKKHNRIYRKELALSFLLLTAAARSLLSAQGIHFYFLLFQGVSFLVVGLDLIGEQVE >Et_2B_021993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7824286:7827385:-1 gene:Et_2B_021993 transcript:Et_2B_021993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQTYAVFSLLATASAVYYAFSSREQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLVKRLFLGTLREAEVERLNEQSWREVVEILFAVTIFRQDFSVAFLAMVAALLLVKALHWLAQKRVEYIETTPSVPMLSHVRIVSFMAFLLIVDCLFLSNSLRSLIQKREASVAIFFSFEYMILATSTVSTFVKYIFYVSDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFRIRIADYVRYRKITSNMNERFPDATADELNASDATCIICREEMTSAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPIIPPDNGRAASRQHGAQPGVQHVAGTGTPASEGAPGENVSRRQAKLEAAASAASIYGRSFAYPPANTLNSPHQAPRNLEKQVVLINLRKTKHRSFKMLVHGAVGSETNTKDLENSLQKAQENFIRSQIE >Et_2B_022142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9653124:9653786:-1 gene:Et_2B_022142 transcript:Et_2B_022142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPPGACMRVGLTALTMAKYFRDVNKQDVLLFIDNIFRFVEAGSEVSALLGRMPSALGYQPTLSTEIGSLQERITSTNKGSNFDPSSLCTCGRFDRPCSCHNFAHLDATTVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGKDHYETVQRVKETLQRYKELQDIIAILGLDELSRRIQEHEKLSRSYHNRSLWQKFLPVLQESMLVPCNEVLIFK >Et_8A_057765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:697311:701713:1 gene:Et_8A_057765 transcript:Et_8A_057765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SDPNFGLYAALHRHAEKREIESASFVDPARLPALEDLLQEVYSSLRPEPVDYENRQVMINVFNKIAEQIFGKKNGLPIVEPFGSFTMDLFTAKSDLDLSVNFNNDINNQFPRKDKISAIKRLAKVLHEHQRSGRCYGVLPIVTAIVPVLKVTDQGTGVECDISVENKDGMSRSMIFKFISSIDERFRILCYLMKFWAKSHDINSPKDRTISSMAIISLVAFHLQTRRPPILPAFSAILKDGSDFANIGRNVSLFEGFGSRNKESISDLFVSLMSKLVSVEGLWEQGLCASNFEGSWISKTWERGVGNLSAEDFMDRSQNFARSVGREEMQKICECLRVTVSSLKNFFLGKIDAPSLETLLFRPLVQDKPVTNVSQKNAKRKRVNPNKTSITPAIEKDAKKKIPLNPDLLNSHVQQKKVKHTVHSIHAVNTPAAGSRPPTGFIPPQMHGAVPSQPIIQFPHVPQRPSLLGLPPQLHPAYHPHQGLLSQPQGNFVNFNHRIQLPLQGQTLLPPLLAQHPMDGFHPYAINGPQQMQHNRLVQRPPYGIGPSFWR >Et_5A_041985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4932615:4934677:1 gene:Et_5A_041985 transcript:Et_5A_041985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDFLGGFGREGGARDDKAGSAAGGESDDLELSLGLSLGGCFGSDPAREAKKQCLARSSSIASICSLRGIAGEDLTTAEPTPPLLHRTSSLPTEYDEARFQRKAMQCQRRMAAKRKRLERRNSMNSSKSSAGAGAGGRDDAQVQEALQQLRRSGASLGSSSPSLPDQGGSGSGGADAKSSSAMNASSDNSGSGGQNSALPPPAPSLNGSTTREQPPLRTLRSLTMRTASTGDFRKTMMEDMPMVFSRLDGPNARRIDGFLYKFGKANDVRIVCVCHGNFLTPAEFVKHAGGAGDDLAHPLRHIIINKQPSEFL >Et_1A_009126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29989045:29993560:-1 gene:Et_1A_009126 transcript:Et_1A_009126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKWRGGGGGDRGKNKAVFKLQFHATQARLCSIRRKLSVTGCCSRWWVQVPKLGWEAMMVVVTPQDAGRPTARSERAEVADGACRWATPILETAKLPTGKDKIYQFLVYETGSSKAALLGEATVNLAEYADAFKPSAVTLPLKGGPGALLHVTIQRVVGGGGGCGDDGSNENGDEQAAAAVRTPQRRPTLLSQLTQCEDEVGEKPRVVADAMSPVQDGLPLRKPPGMRFPSRRNTPTSVEPVSHLHNGSSFDAVSVSGSEGSSGRFTPKTSASMHNSFLQDGSNVHSPFANNGTPRIPFTSSGDWSGSSAPDASTDGSTSNSGEAGLRGAEDDAEKLRNEVATLTRKLDVSDMELQTLRKQIVKESRRGQDLSKEMGSLREERDALRRECEGLRGAKKMIHDVNVSGKRFSDAEDQWSHIEELKQELSHEKNLNADLHMQLQKMQESNSELLLAVKDLDEMLEQKNREISILREDTHEDPQEAEYEHALSNVHNSGHKIDISETSSEQEKEDELMLDALAKKRDDISTSELEKKIVELSNEIELYKKDREDLEMQMEQLALDYEILKQENHDISSRLEQTQLREQLRMQYECSAHLSIISDLEANVESLDNELQAQAKKFEADIAEIMSAKVEQEQRAIKAEDSLRKLRWNNATTAERLQEEFKVLSSQVSSAFSANEQQLAHAREEAAELQSQKGQLEELLQKAQGELRSVQDQHRVKVQQLITLVDFKSKETDRLLTELKTKSDEFQNQKRSDEAKLNALQEEIDQLKVKIDKLSDERDDLLEKNEQKDKELAGSSEKDMLLQDKSAEITLLNKEIALLKDQVKKHLEELHTLKRAKNEKEERIGKLQIDIGSLKLQCDNLKTSLSKKESEKENLASQVLKLRRALESKDNAKANGLTSDVKDNQNSNHKRIKHNIGVNGSSTPLPGTNKQSPDDDCNGHDIRNTFTSDQAAKELATLKEKNKALDEELKELHGRYSEISLRFAEVEGERQQLVMTVRSLKNSLR >Et_3B_027406.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:12995840:12997565:1 gene:Et_3B_027406 transcript:Et_3B_027406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNCSRKGRGGRSRMEPNPMDKLTDDLLIEILSRVPYRSLRRFACVSRRWRALIADPHNHRKLPQTLAGFFYRDYGRTLPYMACTGPPSIDPCLSFLPEKERQGLRLVDGCNGLLLCRCFRFAAPEEFDYLVINLATEKWVTVPVPRHLSKKVVHMARLGFEPAVSSHFHVFEFQLNWPEVNDNQADENSDGEDEDDDGNGRVLGVEIYSSETRLWSFKQSNWSVGIKLNPGFNSVFVHGMLYVVATSVIGAVDVEGRTWRIIGFPNREKSPYVGTDLGFINLSQGKLHLANSDDTTRDKLVIWVLEDSNSEKWTLKHTFSFNHLVRKYHVRFGFNEFIVVAIHPDRNMVFFVFGRGKKLMSYDMDSREVHMISHLGQNCYGYISYVPWFSESLADKQQ >Et_1A_006443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22244927:22246806:-1 gene:Et_1A_006443 transcript:Et_1A_006443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAILARAGATATAGGSLPRPTAGRRPRNSVVVAAVTGAGPAAPQEGELERPAWSGETPLSRLVGALIAFKPLYSLMKLASREVIIRTAEKSNIPWREMTKKVLESDVYEVFERIRDPNLVYPDYYLSPFHAYDEGNLSWLAAAEAEPATLSIAKRAIPEAPSIEEANQIVRGSWLNAIEEHHVKYSGNRQINDILDIGCSVGVSTRCLAEKFPSAKAVGLDLSPYFLAVAAQKEEKLSRQNPIRWVHATGEATGLPSDSFDLVSLAYVCHECPARAITGLVKEAFRLLRPGGTIAVTDNSPKSKVLQELSPVLFTLMKSTEPFLDEYYMLDLEETMRQVGFVNVHSILTDPRHRTVTASVPY >Et_10B_003010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15304526:15313197:1 gene:Et_10B_003010 transcript:Et_10B_003010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDLLLRTNAGVPVLARVFPGRLCFPVRRRCSSASPLAAAKVGVVSQVRSGGGAAVQKRRRSDAEGGQPFSRVVTSRDAVEEDEEDVEAEALELGAVKSGDEGEGVDGSYLSKTRFDQCALSPLSLKGVKDAGYERMTEVQEATLPVILQGKDVLAKAKTGTGKTVAFLLPAIEVLTTLPHQRNQLRPPINLLVMCPTRELANQVAVEARKLLKYHRSLGVQVVIGGTRLTQEQRSMQANPCQILVATPGRLKDHLENTPGFSSRLKGVKVLVLDEADRLLDMGFRRDIEKIIASIPRERQTLLFSATVPEEVRQISHVAMKKDYRFINTVKEGDEDTHSQVTQTYMVASLEQHFSILYDVLKKHVTEDPDYKVIIFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVSLVIQVGIPADREQYIHRLGRTGRKGKEGQGLLLLAPWEKYFLGTVKDISISEAVVSSVDSSVETEVQNAIRKVEMRTKECAYQAWLGYYNSNKTIGRDNSRLVRLAEEFSESMGLAVPPAIPMKILRKMGLGNPKRRRRGPRKQVPAAPEVATVGAMFAYEQGADGVQRDGPAVARPRVVTTPRQMGAGSVEGSWSDAGRSGTEAEGVDGSYLTDTRFDQCAISPLSLRGIKDAGYERMTRVQEATLPVILQGKDVLAKAKTGTGKTVAFLLPAIEVLSALPHSSSINLLVMLPTRELANQVAVEARKLLKYHRSLGVQVVIGGTRLPQEQRSMQSNPCQILVATPGRLKDHLENTPGFSTHIKGVKVLVLDEADRLLDMGFRRDIEKIISFIPKERQTLLFSATVPGEVRQISHVAMKKDHVFINTVQEGDEETHSQVNQTYMISPLDQHFSILYDVLKKHVTEDAEYKVIIFCTTAMVTKLVAEILSHLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVGLPADRQQYIHRLGRTGRKGKEGQGLLLLAPWEIQFLNSVKDLSISEVATPSVDSSIQTAVKDAVRKADMKNKESAYQAWLGYYNSNKTVGRDKARLVRLAEEFSQSMGLAVPPAIPKLILRKMGLANVPGLRSG >Et_4A_034802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6722373:6724553:1 gene:Et_4A_034802 transcript:Et_4A_034802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPPSQEAVNPKAYPLADAQLTVTILELIQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKNSIQSLKAPGRRDAPGWAGNANAAYHVPDRDCRRF >Et_2A_016710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27389693:27400220:-1 gene:Et_2A_016710 transcript:Et_2A_016710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGLISFVFGVIKKRRATRKTMHYEHLSALGSPPTRGLPERVTGGAYHAQATQSCRFVVAPSLADELNLWRGDGVRARPEGLQDAPFLPAGDDGRGLSRSRRFSSMRVAIKKRKSARSRTEYERLPSGAATLAEAQRFTGGGSYRSQSCRFEVARSPADDPGLWRDEDGRAPPDGIPDEPFPPSRDGRGVSRSRRFGSMRLFACVGGA >Et_2A_017796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6301412:6305475:-1 gene:Et_2A_017796 transcript:Et_2A_017796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCAATRVSPATAATSLPHLLRAATSSPPPLAYRSHPRRLCAGLRAPPRAVFSDGDAFWEEPDDGSGSDYEDYDEEAAEQRSSSRFPSSSPFSRLEAAQQQEQELRREIDLLLTPEERAILNQNETPDPKWHPLHSYALALQIPLMDRLLDSGVDINLLDKDGFTPLHMAIIGKKEAVISHLLRKGANPHVRDRDGATPLHYAVQAGALQTVKLLIKYKVDVNAADNDGWTPLHIAIQSRNRDIAKVLLVNGADRSRRTKDGRTALDLSLCFGRDFKSYDLAKLVKIPKFNMTYNYNHPNKSRGKQRLLITLSLSSMPIIRVSGKRGESPTSSPPNPQPTSAKRIRGAATAAPSSPPLSAGKYRG >Et_10A_000379.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:6715492:6715593:1 gene:Et_10A_000379 transcript:Et_10A_000379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKPPRRTTVVHETTIKARPLPAIDKYPMNAA >Et_3B_029748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27612458:27617618:1 gene:Et_3B_029748 transcript:Et_3B_029748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHSEDIKYEEEFIVNSRGNKLFTCIWTPRHCQPKALIFICHGIAAECSVSMKDTAARLVTAGYGVHGIDHEGHGRSSGRRCYIPNFSNVVADCSNYFMSICEKPENRGKKRFLYGISMGGSVALLLHRNAPDYWDGAILLAPMCKVSDDMRPHPIVVSALTMICAIAPSWRIIPTPDIIDKVCKDPEMRKQVRSNPYIYRGKLPLKTCHELLMVTLPFLVLHGGDDIVTDPSVSKLLFEEASSRDKTLKLYPGMWHALTAEFPDDVKRVYSDIISWLEERTNSTASASETSATSRLRCEFKRKQAIYLQMDAEENGAQRFDLYLSRVSMGDTAARLVHSGYAVYGIDHEGHGKSSGSKGYISNFSDIVKDCSDYFKSVCEKPANRSKKRFLYGFSMGGTVVLKLHLRDPLYWDGAVLLAPMCKISDDMRPSSFVVSALKMISAVAPSWRVIPATDMLDKVCKDPQFKKEIRSNPYMYRGNLALQTGRELLTVSLDIEKNLHEVSLPFLVLHGEDDIVADPSGSKLLHERASSRDKTLKLYPGMWHVLMGEQPEDVERVFADAISWLDDRAGGTTKRMEMNPVALH >Et_9A_062766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4762157:4772161:1 gene:Et_9A_062766 transcript:Et_9A_062766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IPCGLAKVTQRVKVYSLTDDGKWDDQGTGHVTIDYIEGSRELALTVVDEVDNDTYCFTTLHLMISTGNKKLKLVHIQHLSPEKPPESQLHMVDESVISVDGELKDLPPLDLSSLPLMLKIVLEHGKADQTRVAELIYQDVFSDALILDIIGALEYDPEVRDARKHRKILQNSFKEAIPIKNAFVVSKIHQTYRIGYIKEFIFQKAIDDATLASLNSIIQANSAIVSQLLKDDASFIHELITRMKSSNLSAESRSNLVLFLDEFCTLSKSLQPAQRSQLCRDLVSEGVFDIISDVLQSHDKSLISTGVNILMHFINQDRNLVVSYIAHQDETCQEGNSLLETLIQGMSTDSGGDCQYSEILRTLMDSSAAYTGTDHKEVAIQIFYEKHLHKLTDVLASSSCPKVFTQSTPGSVGVAAMVKPEILLNICELLCFCILHHPDRIKLSFYTSNLLEKILNLTRRREKSLVVAAVRFMRTVIGRKDEFLIDRVIKFNLLKPIIEVFVENGNRDNMLRSGVLELLEYIRKENIESLLEYVYNSFWDQLVNFEHLRSIQDFKLKHQQIMETAKTKPSTNFIDMRKKAEERGVDKQEEDNFNKDSNGEDSPKPATHERKQSIFKSSDDSETCHVPARPKLVGLVDYDDDDDDEKVSNPPHKTAVSSDEDDQVVHIPMARRSSMDGKNTDVKANKKPKLEVRISCAKIVPAVNVPLSPPACLENSEDDEDLGDGSQGSQIEQRAAQNLDSVHQSGMDCTEDAKNSSPKDGLKHNKIN >Et_1A_007865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38994919:39001523:1 gene:Et_1A_007865 transcript:Et_1A_007865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGQRAGDKSDSRFSGVEVLDFPAGECLPAVLSHSLSSGFDFLLSPLVDPAYRPTPGAVLPVAASDLVLGPSQWSSHIVGKLSEWIDLDVEDEQLRLDSELTLKQEVAWASHLSLQACVLPTPRRSLCGNYARVVNQILQGLTNMQLWLRLPLEKSEPMDGDRDKTEMDDSWELWNSFRLLCEHSSQLCVALDISSTLPSMNSLGRWFGEPVRVAILQTNAFLTNARGYPCLSKRHQKLMTGFFNHSVQVILSGRSKHDVTPVADGVLSGDESNNEDTVVRHVLSPYLNYISYLYQKMDPLPEQERFEINYRDFLQSPLQPLMDNLEAQTYETFEKDIVKYTQYRRAVAKALVDRVPDDSVSTTKTVLMVVGAGRGPLVKASLQAAEETGRKLKVYAVEKNPNAVITLHSLVKLEGWESVVTIISSDMRCWNAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIQPITASKLHNDIKAHKDIAHFETSYVVKLHRIAPLSPPQPVFTFNHPNYTPNASNQRYTKLQFDMPPDMGSCLVHGFAGYFDSVLYKDVHLGIEPSTATPNMFSWFPIFFPLRKPIYVPLGSPIEVQFWRCCAPTKVWYEWAVTMPSQSPIHNSNGRSHWVGL >Et_2A_014843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18579566:18580810:1 gene:Et_2A_014843 transcript:Et_2A_014843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSPMQAVLAAPGVRDKKVVAFKRGDALKENKDAVTGLIRSIIVSTPQQRSAFHVLDLSKIVDLYREWRRALPDVRPCYAVKCNPEPAVLGTLAALGAGFDCASRAEIDAVLALGVHPGRIVYANPCKPEPHLEYAASVGVNLATYDSADEVAKVARCHPKCELLLRIKGPDGGEARVDLGTKYGALADEVGPLLLAARREGVSVAGVSFHVGSGAARVDVYSGAVRAARAVFDAAAALGMPPMRVLDVGHFGDLPCVEVIGEPGRYFAEKASTLAARVIGKRTRGEVREYWIDDGVYGSLNCVLMDHYVPRPRPLAGTRHGGEATYTSTVFGPTCDSLDTVVTGYQLPEMSVGDWLVFDDMGAYTTAAGSKFNGFDTEDIKIYVAYSC >Et_2B_020090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16794244:16796672:1 gene:Et_2B_020090 transcript:Et_2B_020090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKSPQSSKKSSIVHSDIDGPRLDNDDFSSECASNQMVVFNSESVDKEQDELGENRSQSLQKSAVTRGISPTIGAFTVQCAKCFKWRLIPTKMQYERIRECIIQQPFVCKRAREWRPNVKCDDPEDISQDGSRLWAIDKPNIAQPPPGWERQIRIRGEGGTKFADVYYTSPTGRKLRSLVEVDRYLQENPEHAAQGITLAQFSFQIPRPLRQDYVKKKAKLISPSDEASTPMSKSVQPEEVNPLSWAVPTAHDGDASGEASHADETLKSEVELTRKRKAGSSLSGESNHLSDEPKPKSEDAQNGELETHVPDK >Et_1A_008189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4988664:4989992:1 gene:Et_1A_008189 transcript:Et_1A_008189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VPCKFFLHGACFKGDHCEFSHDCNDQPDNVCTFYQKGACSYGSHCRYEHIEASRNHPQPSTTAAARAASSSSELVSSSGRPHCRECQTVACNQVQKICKPATALSSRRPAWKVDCHKHNSPNPEDRNTNPSDQTVQNQTSQCPAHLPICSFAAAGTCPYGKDCSQMHGDLCTFCEKQCLHPYRPNESGAHIKLCKKNSRRLEALRKSGEIECGVCLDRVLSKPTAAERRFGLLSDCDHSFCISCIRNWRSTSPTSGMDVNTTLRACPVCRKLSYYVIPSVTWYFSKEEKQEIIEGYKAKLKSIACKYFDFGKGTCPFGSICFYKV >Et_1B_012686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34387289:34389946:-1 gene:Et_1B_012686 transcript:Et_1B_012686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGGVGGTEPAAETVAAGFVAADAVVSGSDVDGKEQLEGSTRPAAASYSAVVIGGTFDRLHQGHHLFLKAAAEFARERIVIGVCDGPMLAKKQYAYLIQPIEKRMEHVKDYLKSIKPDLEVHVEPIVDPYGPSIVDEALEAIIVSKETLPGGHAVNRKRSERGLTQLQIEVVELVPEESTGNKISSTAFRKMEAERILQQQKQQETHQQTVQLECKT >Et_10B_003137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1699386:1707263:-1 gene:Et_10B_003137 transcript:Et_10B_003137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDKKFGKGPKELTGSDDLISKFKLLPHHEFFCKKPLPLAISDTHYLHNIVGDTEIRKGEGMELDQLVQNAYLRDKPAYIQPFDMETLGQAFQLRETAPVELPSAEKGIPTISGKSKSESKDKEKKHKKHKDREKDKEHKKHKHRHKDRSKDKDKDKDKKKDKSGHHDSGGDHSKKHHEKKRKHDGPEESADVHKHKKSKVALQQLRCPIRLNPVTVKTPPLQTPKGQAKPQKSRLVSIPTEKSRNEQKVAMIEIITAYYPRPDPTRNAIQEH >Et_2B_022346.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18769151:18770701:-1 gene:Et_2B_022346 transcript:Et_2B_022346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSQMWSLLGLLTILQNVLPTQLLSLLHSLWQSLQDSLTPYSYFDVPEFLGSAAVEPNALYRHVQLYLHRSLLLSSPPPPRLTLSLPRSAAASGSSSAPAPPNVSLSPNHSVADTFNGHRAVWTHHADTLQDSLEERRSFSLRLPKRHAAAVLPAYLAHLAAAADHLERSSRARRLHTNAASPRGGGGGAAAWASVPFCHPATFDTLALDPGLKSRLLADLTAFAEGREFYRRTGRPWKRGYLLHGPPGSGKSSLIAAMANHLRYDVFDLELTRVATNADLRALLIQTTNRSLIVIEDIDCSLHLTGDRSERLHKRRKLHPAAAAYDDDDSSDSDDDDAGADSHHRGKVTLSGLLNFTDGLWSCCGEERIIVFTTNHVDGIDPALLRPGRMDVHVRLDACGAHAMRELVERYVGVVGDHEMLDAAESSIRGGAEMTPAEVGEVLLRNRDEPEAAVTELAAELKARRREAEWEDSVAELSDGSPTKKGGKGLGWEGKVRILGRLRSLTKSDSGRR >Et_1B_012842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35498338:35501584:1 gene:Et_1B_012842 transcript:Et_1B_012842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITRSLMMIICVVAAAAAVAEAQAEECARPVTVEAACRDASETHAGVEYEHCVASLGKQAKDMHGLAVAATKQAIEHAASTASKIEDLSELEEEEARGRRFNHCLEQYGGAADLLRDALDNLKARIYGKAMEQLAAALGASESCEDAWKGEDHRRVPVAAHDRDMSRNIPLFILLILFLQHCPSPSQASRFDIITDTCARCSESDPKVNYTLCVSSLSANPESSEADIHGLAVISAKMLRSGVTSMESKMKELSRKETTQSPRKSCLEVCIGVFHNSLYDLEQSIAAIEDRRFGDAKTSMSATIDAPVTCEDGFKEQGLEPPMKAETKQLFQQAQCPSPSLASQPDIITDTCARCSQSDPKVNYTLCVASLSTNPESRQADLHGLAVISANLLRSGMTSMESKMNELNEKEAAGSPRKSCLGACIEVFKNSLYDLENSIKAIEDKRHKDAKISMSATIDAPVTCEDEFKGQGLEPPMKEQLSQQAIISLAIISLL >Et_4A_032551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11265780:11268134:-1 gene:Et_4A_032551 transcript:Et_4A_032551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEAERAPAPAALAADGVGCRAEIDTSAPFESVREAVDRFGGGAAWSADLVRRMFAPPAKKHEHAEETEEASSVKQHAAQLETELAIKERETLEVLKELESTKKIIAELKLKIHKETTETSELVKSDEADQVSVAETEEQQPENVNVDVEMEAIEDSPQKLSGSVAVDLEQARASLNRTTSDLAAVRAAVELLRNSIAKENMLLERAREKLSAKTSFISSLEEELDQTTQKLETLKDLQQRRKDPADIFIEIKKMTTEVQQLRSMANDSKSEATVLAAEIEQAKASISTAEVRCIAAKKMEEAARAAEALALAEIKALLSSESSSQGNAASDGVTLSAEEYFTLFSRAREADENSRKKAEDAMLQVDMANSSESESAKRLEDAKVEVEECKKALQEALKRVEAANHGKLAVEEILRRWKSENGHRKRSIGGSPKFKNAAHRRKDSHSMDIVADISDRSFKPTLSIGQILSMKLMGPDEYDKSIWDDKTSEAPNISLGQILNRSGVLCRENMAARKRISGKRKKFALNGLSVLLAKQSKSKKKRESF >Et_7B_055738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3157331:3164130:1 gene:Et_7B_055738 transcript:Et_7B_055738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRPPPPRLLLNNVSCMRNAQTVLRDINFSVHDGTALVLTGANGSGKTTLLRMLAGFSRPSAGELLWNGHDITSPGVFQQYKLQLNWMSLKDAVKEKLTVLENVQWFELLEGKDGARSAPAIELMGLGRLMNEKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDAEGTKLLEYIIAEHRKKGGMVIVATHLPIEIEDAMSLRLPQRFPRRKTFSSYELHINLKIQVGESRSNSETFIQIREGTSEQGLQFDGCSCARPYILVRSGQGVFGSMHSCLKIDAMVTATV >Et_2A_018059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9652915:9653708:1 gene:Et_2A_018059 transcript:Et_2A_018059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSNRRQSEAPWALPSWCSGSVLGSADPQHTASPLPDSIMIKGLGCSCGPNLQQPPPKRSLRLNDLPSNDFNTVVKHLATFLDRPNGDNGKEEVSPFVRINIAPEYLVKNFIPMYSVEKNLRQKIYPVVLDAYGRRSERTSCQFLGNRSQEMVLRGRMVLSLPAACPLNHAWELVARILQDMASR >Et_5B_044248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2217338:2221940:1 gene:Et_5B_044248 transcript:Et_5B_044248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSWPSAIRLAVAASLLVAVGVALFTLPVEKILKDFLVWIKENLGPWGPLVLALAYIPLTVLAVPASILTLGGGYLFGLPVGFVADSIGATIGATAAFLLGRTIGRPYVLSKCKDYPKFQAVAIAIQRSGFKIVLLLRLVPLLPFNMLNYLLSVTPVGVGEYMLASWLGMMPITLALVYVGTTLKDLSDVTHGWSEISTTRWILIVSGFVMSVGLIICVTRVAKSSLDKALAENGEVDVGTSQLPVVASPSDLHQPLVIKIDTSNEDHEK >Et_1A_006142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18484492:18488467:1 gene:Et_1A_006142 transcript:Et_1A_006142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRFQALAPALTIPRRGGLASPLRMASAATAPLARRLSIAVFSGSPEQTSSEPDLESGLYLVATPIGNLEDITLRALRVLKCADVILSEDTRHSGKLLQHFNIKTPLLSFHKFNEREREPSIIKRLHEGEAVALISDAGTPGISDPGVELARLCATEKIPVIPIPGPSAAIAALSASGLPSNEFTFVGFLPKHARSRRDRLEISSREAATQIFYVPPHGIHQFLIDAASSFGDSRSCVIAREITKLHEEFWRGTLGEANEAFASRQPKGEITILIEGKLTSDDETPSEEFLEHELRELTAQGHTLSAAVKLVSESTSAKKKDVYALALRLLKGHKQYVCDLTHRPSFVLAMT >Et_10A_001599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5794551:5803851:1 gene:Et_10A_001599 transcript:Et_10A_001599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVRMLLLPLLVGALAWQPRQTLAAECQRECGGLEIPFPFGIGRGCYLETRDGDQPFSVTCNSSSAIDGAARRPTPTINGNEVLGIDVRRGKLRVRSPVSSWCYNATARSMGEQTPLWFESATFRVSDTDNRLTVVGCDAFAYIDSRDGAVDSRYVVGCQSKCSRAQLELARGSSSCDGVSGCCQAPVPPGLRSFNVEYFDEYNSSDVATYSPCSYAMIVEASEFKFRTDYVTTSKLLETDGGQVPAVLDWAVDNQTCSQAKMSRNYACRSDNSVCVDSTNGPGYLCNCSQGYAGNPYLRGPGDCQDPEASNVMNSIGKSIAVVVLGIGLSGTYAIREKRRLAAIKKRYFKQHGGRLLFEEMQSRQGRQGRPSFTLFTKEELDEATGKFDERHVLGKGGNGTVYRGELKDGRTVAIKRCKVAADERQRREFGKEVLILSQVNHRNIVKLYGCCLEVEVPILVYQFIPNGTLYQLLHGDAPPSFAVRIKIAHEAAEALAYLHSTASPPIIHGDVKSPNILLDGDYTAKVSDFGASVLAPADEAHIVTLVQGTCGYLDPEYMQTCRLTDKSDVYSFGVVLLELLTRRKALALAAPEEERSLAACFLAAARDGRLDALVDERIKGEASGEVLEMVAALAKRCLEISGERRPSMREVAEEIDRVRKLMCKRAECLGDVVAHTSILLPLLLVAALVSQAAAACQRQCGGVDIPYPFGIGPGCYLDTGDGRRTFELTCNRPSDGGDLRRPTTVVDGFEVLHIDELRGKMRVRSPVISRCVANRSTLTYDSSEFRISGADNKLVVVGCNASAFVDMWDSEFNNNSYSVACHAVCLNGAAPLLQVHGSCNGTDGCCQAPIPPRIMYFNMGFGDGDGGNSNSSSGAANSASSSACGLAMLVDQAAAFDLQTTYLAAGELVGGGGLRHVSAMLDWTVGRQTCEEAQRNKSTAYACNSANSECIDSDNGPGYLCKCFEGYQGNPYVPGGCQDINECKAKEYPCPSLNICRNTPGKFKCHELRWVAATGVSILVVVLGIGMSFTYTIREKRRLDAIKQRHFKQHGGLLLFEEIKSRQGHLSFKLFTKEDLEEATNKFDERHVLGKGGNGTVYRGELKDGRTVAIKRCRQVSNSERQRREFGKEMLILSQVNHRNIVKLYGCCLEVEVPILVYQFIPNGTLYHLLHGGDGQATGAPPPLTFAVRMKIAHEAAEALAYLHSTASPPIIHGDVKSPNILLDGDYTAKVSDFGASALAPTDEAHLVTLVQGTCGYLDPEYMQTCRLTDKSDVYSFGVVLLELLTRRKALALAAPEEERSLAACFLAAVRDGWLDALVDAQIKDEVNREVLEMVAMLAKRCLDMSGEKRPSMREVAEEIDRVRKLMSKRAACLGDVAHTSILVSDDRVEEVN >Et_4B_036890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12384690:12385233:1 gene:Et_4B_036890 transcript:Et_4B_036890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTEFDVKKALELAIRHEAVVMKKLVNDMPLFVPKDESLWKCVNVTNSKAKNPIEALATVQKYISSGDGYLAIKNSQSRYQAATILKESCLQHYVLGDVLQKKVAYASFFRLAAAVLKHNSGYCPYGCYQKSLVLCCRYDRYLDAL >Et_3A_026914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26257176:26261531:1 gene:Et_3A_026914 transcript:Et_3A_026914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDAEPDVPLLPSAPNPSSQQPLRAAKSTAFKREERRRRKERKRQERLALALEQWEPLGPPPPRPAAAAARSPVADTPWPCYPTPPQDPAAASSWGWGPPADPPPKPTVAAAAAASSPHPQVAAVRSCRAFFEEHVDEDDEDEEGDQEEGNAARFFGELLGNDAALRGFYESEREKGQFLCLVCEGTGARFGKRFAGCVALVQHAGSVARTKRRLAHRAFANAVGRLLGWAAGRTAPPSKIVFIRHFSNFSITSGNTRARLLAYNPGTGSALWRLSTFMSRLPVINSELCSHQIREYRTAVPSGLDRGLTCFSDDGKVIPPPSSGGRTRATAASRHRRQTQAQATTSRRRGHLSAPACTRRMMSLSSSGTRADHLPSSAAAASSAEEISGETLVLQLVSDRPGSEEATGAVEPEEARRHGLIGGRLGRNGSVEDASRMASEGGLEKKSCGNTRNPSTNIE >Et_6B_048736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13062066:13065480:-1 gene:Et_6B_048736 transcript:Et_6B_048736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLLRGMRTPLLLRANSALFFTALRAPSAAARKEAAEQKTQGFEAVIGIETHVQLSTVTKAFCSCPYSYGSQPNSTICPTCMGHPGTLPVLNEKVVECAVKLGLALNCEISMTSKFDRKQYFYPDLPKGYQISQFDIPIAEKGYVDLDLPMEFGGGHRKFGITRVHMEEDAGKLLHSESGSYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYGAELQRIVRYLGVSNGNMQEGSLRCDVNVSVRPVGQSEFGTKVEIKNMNSFSAINRAIDYEISRQILLHKEGQADQIVQETRLWDESSQKTFTMRKKEGLADYRYFPEPDLPEVVLTNEYIDEIRNSMPELPEAKRRRYENMGLSMQDVLFLANDDSVAHFFDSTLEHGADAKLAANWIMGDIAAYLKNEKLSIDEIKLSPLELSELIAAIKNGTISGTVKAVIEEKDLVQIADPAAIEAMVDKVIADNPKQVEQYRAGKTKLQGFFAGQVMKASKGKANPVLLNKILGEKLNAN >Et_2A_014759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12433535:12434074:1 gene:Et_2A_014759 transcript:Et_2A_014759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TVVARRGEVEADRVVDGHFTVLCTIAVSYCCKDSIAKSDPALEHDIFMASDLANISFEVQGEAWHLQCPPPRARRPVAGVQQSSLIWPDGRKHGDHTSINIEDMTTPTFGYMLHYMYHRVLPTAILETCRDGESASWLLQDEHLCVRSCRQVRVRHTLQQLCEATMM >Et_4B_036442.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24294631:24295566:1 gene:Et_4B_036442 transcript:Et_4B_036442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTKSFMSYVDLKKAAMKDLEAGADGIELPEGGVTDERLRGFFQEAEAVKEEMAAIRDALDRLHAANEEGKSLHQPDALRAHRGRVNADIVAVLRRARDIRARLESMDRANAAQRRLSAGCREGTPLDRTRTSVTAGLRKKLKDLMLDFQALRQRMMSEYKETVERRYYTLTGEVPKEEVIERIISDGRGEELMSAAVAEHGKGAVLAAVHEIQDRHDAAREVERSLLELHQVFLDMAVMVETQGEKLDDIESHVANASHYVQGANKELGKAKEYQRSSRRCLCIGIIILLLLVLLVIVPIATSLKKS >Et_3B_030257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31842580:31844493:1 gene:Et_3B_030257 transcript:Et_3B_030257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASASAAGSSSAEVPTILPLDKAAASRGGDQAADCGVCAICLDKIALQETALVKGCDHAYCVTCILRWASYKQTPLCPQCKHPFEFLSVHRSLDGCIHDYLFEESVCLLLRAAWFEPLLVEAHEESLEEEEFYHQYQYDDDEDDLDEESYYMSRSPSIRIGNRRWGDNGYVRGGRREARPRPAQNDSADAGPSRTPKKKEAAASGSGSVPSRTPKKKEASASASASASGSGSGSVSKDVAGRRAKRAQKREAADKAAAEKHLKHLQRLGLSKTPEVPAAAEVGPQVNE >Et_7B_054439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21357608:21363559:-1 gene:Et_7B_054439 transcript:Et_7B_054439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFDAIGKRFHNIGDIMLPYESIGLFSSMERLLQHQKRNSMVDTLHDFKIAGSQENTMSLSLALLFAAAIAITVAVANSCFTSKYRWKKDQDKLANICKANRNFQETVTLGTGGFATFSRVTICAVASWIMQKDEGPSYNHLCHQENVPLLASNLTKAISSVVLAIHTPISQSPLYSLYAMPPSPQYGTSNPRLRSYPPVASNVSTQINIPDDYTIIFGVQIDMDSLHNFSLAENRYKVRIHYDDSTSLISIYVEGDGIPKPVNEATTIHTGTRRGVMSMSVGHFSTMGQQLILDNWSWKMDDVMGQDNFSSPPQQGSSLPAILSSVFGSTAAIAIIATVLYFYFNSKYRRWKNEQEKLAKIMQGLPGVPAKIDFPAIKKATKNFHEAMKLGKGGFGAVYRCMLPPAASRMGQGMEVAVKKFLQEVEDRRYDDFLAEVSIINRLRHKNIVPLVGWSYNKGVPLLVYEFMTNGSLDQHLFQGGGNRHHIRDTAIFLWDTRYCIVRDIATGLHYVHHEHEPMVLHRDIKASNIMLDSTFRARLGDFGIACAVAANKSSVTGIAGTFGYIAPDYAMSHKATRQTDIYAFGVLVLEVVTGKKNGDVATDDDHITEWVWRLHREGKLLEAVDSVLIAGDNEQHAVINEVKRLLLLGLACTNPNPSIRPSMVEVVQIITKLAPPPEVPLERPTFVWPPEDWRTRNSVYSTVMSNWDKSASTTTELVQFSQEFPLSASTGQPNVSTPVDFR >Et_3A_023110.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28308055:28308501:-1 gene:Et_3A_023110 transcript:Et_3A_023110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECRSLIEFLRAFEQHRRAADSSACSSRSRARAGSSSPSSCCDTSPMAAVDAVVLLAALAALAFLVGPYATLLLREVGALLHPAASCVSAAVFFGAAVAVAAAVVAWELLGHHARKCGKPRCRGLKKAVEFDIQLETEECVRGRP >Et_9A_062279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21437487:21442111:-1 gene:Et_9A_062279 transcript:Et_9A_062279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDEFLYANDLVGTLKKMQAAGTYKSLVFYLEACDSGSMFEGLLPEDINVYATTASNAAESSWAAYCSEGGQGPSPPPEFDTCLGDLYSVSWMEDSDARDLRNVSLEQQYEVVKRNTLPHASFAEGSHVMEYGDMTQNAQSLYTFIGTNPDNDNATSIKRSIVSGAGGRVSQRDADLVYFWHKYRKAGDGTAEKREARERLHQVMARRSQVDSSVELIGSLLFGSGEAGSKVLNAVQPAGQPLADDWDCLKSTVRAYEQHCGPLGQYGMKHTRAFANICNAGVAAENMAKVAAKACSAVHSDTSSFRSLFQWAVLIAGSNGYHNYRHQARTALCFIFYRFLNSPATTKLRVHVPSPDEGTNFQADVCHAYQIMKKGGLKDENIIVFMYDDIANNPENPRPGVIINHPAGGDVYAGVPKDYTGKDVNVHNFLAVLLGDKSNLTGGSGKVVSSGPDDHIFVYYTDHGAPGFLVMPSGNYLYANDLVGTLKKKHAAGTHKSLHVRGPSPGGRQRVRDDGVERVGEQLGAYCPDGDHHGPPPPPEFPTCLGDLYSVSWMEDSDARDLRAETLAQQYEAVRENTLPHASYSLGSHVMQYGDLTLSNQSLYTFIGTNPDNDNATSIKRSIVSGAGGRVSQRDADLVYFWHKYRKAGDGTAEKREARERLHQVMARRSQVDSSVELIGSLLFGSGEAGSKVLNAVRPAGQPLADDWDCLKSTVRAYEQHCGPLGQYGMKHTRAFANICNAGVAAENMAKVAAKACSTVHSDTSSFSSLVQ >Et_2A_016132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21332193:21335181:1 gene:Et_2A_016132 transcript:Et_2A_016132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRRSHSGVDRSAAATAAAVAGRASRADLTSTSSPCQPYQLPRPTMAGSGASDDEIVFEMEHCIRFFKNGRVERFFGSDPVPASTDAATGVAAKDHAVSPDVSVRIYLPPAAKEAGDNGGKKKLPVLVYFHGGGFCLHTAFNFVFHGYLSSLAARTGAIVVSVDYRLAPEHPIPAAYEDSWQAVVWVASHASGSGDESWLADHADFSRLCLAGESSGANIAHHMAMRAGTEGSGLPDGVRIRGVALVHPYFLGAGKVPSEDNDPAMAKNVVTMWSMVCPGTAGVDDPRINPLAAGAPALDALACGRVLVCVAEKDVVSDRGRAYGEGLRASGWPGEVEIHEVAGQGHCFHLVDFACADAVAQDDAIARTRRVLVSVRDEAGDERQLLDGLGVPGLVEETWPSPSVWYSSTSPPHPLSRSAAAYANPRGRKSSRPDTVTATRELASRRHCDAGIDSGSTTGSSMAYRPPQMKDHVSSYCRRRAASVVSPATGFLPQK >Et_1B_013751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15151302:15152791:-1 gene:Et_1B_013751 transcript:Et_1B_013751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEGASGNDNADPALPVANEDIVSQLASMGFNYLHCQKAAINTSNTGVEEAMNWLLSHMDDPDIDDPISKDSLGAEQSVDESSVQTLVSFGFQEDVAIKALKASGGNIEKATDWIFSNPEASSSASADSSTSNIKADDEDIPDGSGKYKLMAFVSHMGTSTHCGHYVAHILKDGRWAIFNDSKVAASVDLPKDMGYLYFFQRISG >Et_3A_025035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26283100:26289061:-1 gene:Et_3A_025035 transcript:Et_3A_025035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITPPKPPAPQADPSPQAGRPAPSAAAAAAKRGAGGAGGSLMGKYELGRVLGHGTFAKVYHARQVETGESVAIKVLDKEKAVRSGLVSHIKREISVLRRVRHPNIVHLFEVMATKTKIYFVMELVRGGELFSRVAKGRLKEDTARRYFQQLVSAVSFCHARGVFHRDLKPENLLVDENGNLKVSDFGLSAVADQFRPDGLLHTFCGTPAYVAPEVLARRGYDGAKADVWSCGVILFVLMAGYLPFHDKNIMAMYRKIYQGEFRCPRWFSRDLTSLLMRILDTNPNTRITLPEVMESRWFKKGFKPVKFFIEDDKLHNVIDGEDDMLGMGPADPAPQPLPPPQPPLPPQKFDGDDSGSESDSSLTSCPATVSSEESQKPRGSLPRPASLNAFDIISFSRGFNLSGLFEEKGDELRFVSAEPMLDIVTKLEEIAKLKSFKLRRKDWRVCLEGTREGVKGPLTIGAEVFELTPPLVMVEIKKKAGDNDEYEDFCNKELKPGMQHLIHHIVRTPTPWRRTRTLR >Et_2B_021366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29018457:29021382:1 gene:Et_2B_021366 transcript:Et_2B_021366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISAVGVFLLAMLASQQLLAVQAYIQCSQVVQDLMPCLDYLEGNDGSPSGACCGGVITLYDAAGTSEERQETCECLKAAYLQYNVLVSNAQALPRACGLRLPYTITPNIDCSSLQFFNTRELEACYCVSRVGSLEDTCRLYYPGTLAQEPLAVQADMQCSDQYRNLSSCLSYVQGDEWMPSDTCCVRQKPLRELFRLRNGTSNCVARAQDLPSMWGLSLAKTQKLVGKVSKCLPVLYHIMHRLIPTTYSKADPP >Et_6A_046023.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:19120380:19120412:1 gene:Et_6A_046023 transcript:Et_6A_046023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAELDCDSL >Et_1B_011713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25295086:25297611:1 gene:Et_1B_011713 transcript:Et_1B_011713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQSTNLVVDVSDPESSNGTVIWVSWEEGRASACKDLVDVLQDDLRLADGLAVVDQHGHRLVHRVGSEEEVALVPEVLLDIVVAQALETERKFHSGDKRARPLSKQLKLAVSSGHLLLWMRALNSVPPPPFGPAGATAPPCYVPVLIVGRLCGHARLHRLGVLDNRVDVLQYCLGNVELAEALHPGRQKRRLGNVDELDAEERLVGLYPRYHTAQEDIDIADAVTAEEGALSAGALLQSNL >Et_2B_019213.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17523004:17523090:-1 gene:Et_2B_019213 transcript:Et_2B_019213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQGHSLIGENCLHSLLTVITCLCCNW >Et_4B_039850.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:434850:435125:-1 gene:Et_4B_039850 transcript:Et_4B_039850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKVVAVVMVVLVAAAGSAGAASCNAGSLAACVPAITGGSSPTAGCCSNLKAQQGCFCQFAKNPAYGRYINSPTARRTLASCGVSVPRC >Et_1B_010781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1404652:1407681:-1 gene:Et_1B_010781 transcript:Et_1B_010781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISLEDVRNETVDLETVPVEEVFQHLKCNKQGLSSAEGENRLKIFGPNKLEEKSESKLLKFLGFMWNPLSWVMEAAAIMAIVLANGGGKPPDWQDFVGIVTLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWKEEDASILVPGDIISIKLGDIIPADARLLDGDPLKIDQAALTGESLPVNKHPGQGVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQQVLTAIGNFCIISIAVGMLVEIIVMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVCGKGVDKEMVLLYAARASRVENQDAIDTCIVGMLADPKEARAGIKEVHFLPFNPVEKRTAITYVDGNGDWHRVSKGAPEQIIELCRMSKDAEKRIHALIDSYADRGLRSLGVSYQQVPEKSKDSPGDPWQFIGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETARRLGMGNNFYPSTSLLGDKQSSEMGGLPVDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLVALLWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLNEIFATGVVLGTYMALVTVLFFYLAHDTDFFTVCFFFPAHLQKDCVAFACSSISPLCMQETFGVRSIKENDKELMAALYLQVSIISQALIFVTRSRSWSFVERPGLLLLFAFFAAQLVATCIAVYANWEFCKMQGIGWGWGAAIWAFSVVTYVPLDVLKFVTRYALSGKAWSNINNKTAFVNRNDYGKGEREAQWATAQRTLHGLNQPSATAEILGDNNGYRELSELAEQAAKRAEIARLRELHTLKGHVESVVKLKGLDIDTIQQSYTV >Et_4B_037715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22766974:22772094:-1 gene:Et_4B_037715 transcript:Et_4B_037715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWGRKQSSSSAAASPSSSSTRGGGGSGSGSGSRGRSPRLDRRNAAKHIDYEVGAGAGAFASWSSSSSAEQRSPGLRPSRSLDLAPGAPGADFRISGSAEGEVDELCRSLGLSGPEDFAIPLDAWEARKSRSNSDLLPRSRHAPTPAADEFSPVVRSVSAPEVQLQQPLSVPAPIPEESLHSSSTSTATESADEPTVAPPEESPKADTAVAVVATTAGLPLPSPRRGGGEVGIRGTRPPLLSPPPPITALAPPPARKSFLADDMTGSAWDIVQSFAPREEKNELGQAHDRTDACSMSDEEDVEDGSAAVGGELKEMRIGETFEGFTGTSSLSTTNDDDASSTTTEAMFIISPNGKFKRKIKSWMRGALLGSGSFGMVYEGISDEGAFFAVKEVSLLDQGSNAQQSILALEQEIALLSQFEHENIVQYYGTDKEDSKLYIFIELVTQGSLSSLYQKYKLRESQVSAYTRQILNGLVYLHERNVVHRDIKCANILVHANGSVKLADFGLAKEMSKINMLRSCKGSVYWMAPEVINPKKTYGPSADIWSLGCTVLEMLTRQIPFPNMEWTNAFFLIGKGERPPIPNYLSKEAQDFIGQCVRVDPDQRPSAAQLLEHPFVNRPLRASFESSSPPAIRL >Et_3A_024961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25706091:25712563:1 gene:Et_3A_024961 transcript:Et_3A_024961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVNTPSQELALTNLAFVSAADVRRFPGSIALVGDTLRRQAKVSAGDSVAVSSFIPPDDFKLALLTLELSFVKAKANQEQLDAVLLAQQLRKRFLDQVMTAGQKVTFEFCGTNYIFTVNQALLEGQESSTPLDRGFLSSDTYIIFEAASNSGIKVINQKEAASSKLFKHKEFNLEKLGIGGLGAEFTDIFRRAFASRVFPPHVVNKLGIKHVKGILLYGPPGTGKTLMARQIGKLLNGKDPKIVNGPEVLSKFVGETEKNVRDLFADAENEQRARGDESDLHVIIFDEIDAICKSRGSSRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLRPGRLEVHIEINLPDENGRFQILQIHTNKMKENSFLSPDVNLQELAARTKNYSGAELEGVVKSAVSYALNRQISMDDLTKPLDEESIKVTMDDFVNALHEITPAFGASTDNLERCRLRGIVDCGNLHKHIYQRAMLLVEQVKVSRGSPLVTCLLEGPAGSGKSAMAATVGIDSDFAYVKVISAETMIGFSESSKCAQICKVFEDAYKSQLSIIILDDIERLLEYVAIGPRFSNLISQTLLVLLKRVPPKGKNLLVIGTTSEVSFLDSIGMCDVFSVTYHVPKLKKDDAKKVLHHLNVFREGDIDAAAEALDDMPLKKLYTLVEMAAQGRSGGSAEAIYAGKEKIDIDHFFSILGNTVFEDYYNWILSLVDDFYMSDVKGIYVQYSTGK >Et_3B_029620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26538939:26542220:-1 gene:Et_3B_029620 transcript:Et_3B_029620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAMAFQALTLAPLPLPTARRRRVRVLAVAADHTPPPPPASSSEPANSPSRLLRELAQRKKAVSPKKKHPPRRFILKPPLDDERLTRRFLNSPQLSLKALPLLSSCLPSAPLSAADKTWMDEYLLEAKQALGYPLAPSETLGEGDDCPARHFDVLLYLAFQHLDPSSERTRTRHVRNGHSRLWFLGQFVLELAFCEFFLQRYPRESPGPMRERVFALIGKKVLPKWLKAASLHNLVFPYDDVDRMIRKDREPPSKAVFWALFGAIYLCFGMPEVYRVLFEAFGMDPDDESCQPKLRRQLEDVDYVSVEFEKRPLTWQDVAAYRPPPDALFAHPRLFRACVPPGMHRFRGNIWDFDNRPKVMSTLGYPLPMNDRIPEITEARNIELGLGLQLCFMHPSKHKFEHPRFCFERLEYVGQKIQDLVMAERLLMKHLDAPGRWLAEKHRRMLMNKYCGRYLRDKHLHHYIIYGESVQDKFEHNRRLRNPSTTAVQQAIHGLAYCVYGKPDVRRLMFEVFDFEQVQPKAV >Et_10B_004318.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:3686188:3687099:-1 gene:Et_10B_004318 transcript:Et_10B_004318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPDDILGAILERIDSHACLHRAASTCKRWRGIIADAAFRRRFRSLHPSAAVAGVYCNHPPLQSLILAAEERRTKGSVFFIPSPSSSPIDASHYSLDFLPDNDGGEDNWRVEDNRGSLLLMKHSRGKKDIRYLVLCEPLTRSYRIIPQPHKLVAGSSTFYFRRYYLIDGAAAREEEAAGSGNIGMSNFRVVCEHYMGHGLHIMVFDPNDDDGSDDISSAPWKEKDVSGIVEEAGVSGLRELGRAAGSWYFCDADRSNLLIALDGRTGDLSTSTLPASENWKIGGRSCNDDFCVTEGRDGTTP >Et_1A_008685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9849100:9849362:-1 gene:Et_1A_008685 transcript:Et_1A_008685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECERVQAVKQVIQIYTGRNLFVYREQRNKVDNHIIQNTLGMEYEDNFFFLPADGKRGEILLAFRDNHFQVQHLLVVN >Et_3A_026803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21360510:21362400:1 gene:Et_3A_026803 transcript:Et_3A_026803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVSSCRRVAAVASLLAVGAATALLTFSLPAAPHVASTTEFTGELSARKSAPPPPSPPFVTTPVTTAPPPPPVPSTPPPPAARRRKREPSYWRMAPEEALRYAKKEIRDAEPVADDPDLYAPLFRNVSQFKRSYELMERILKVYIYQDGRRPIFHTPPLSGIYASEGWFMKLLKESRRHVVDDASKAHLFYLPYSSQQLRLTLYVADSHNLRPLAVYLRNFVRGLAAKYPFWNRTRGADHFIVACHDWGPYTVTSHRDLRKNTIKALCNADTSEGIFTPGKDVSLPETTIRMPKRPLRNVGGGLPVSRRSILAFFAGNVHGRVRPELLRHWGNGQDDDMRVYALLPNRVSRRMSYIHHMKNSRFCLCPMGYEVNSPRIVEAFYYECVPVIIADNFALPLGDVLNWNAFSVVVAEKDIPNLKTILQGISLRRYVAMHTCVKRLQRHFLWHARPVKYDLFHMILHSIWLSRVNQVELLEG >Et_4A_034493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3769189:3770047:-1 gene:Et_4A_034493 transcript:Et_4A_034493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYITITPIVSKIFCSSSHAVLMVRRRPPAVNGGGFVVADQEQRIVFSVDGCGIIGASGQLIVRDGDGNAILFIHKKEGVVQALSVNNRWRGYLTDYGEPSKLVFSLQDPKPVLCMKGDVQVTIEPKGRKRHWDYEVTGSFVQRSCAIKSRAGHVAAQIGAKGMMAGKDFYQVVVQPGYDQAFVVGVVAILDNIHGESTRC >Et_8B_060298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8901082:8905879:1 gene:Et_8B_060298 transcript:Et_8B_060298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFLGGLFSALGILKPLYGGLIKHALYPFKVGRNITELERATGTLTAARNDIRRQIEKEENQNGLIATDEAKNWLERVENRCRIFGCCFPNCFSNYKISKKAAKTLLDVNWCQSNMPKNLAVNRPPASVISIPIEPVNLFPCQELIKKKLWMGIIEIWGPGGIGKTLLLKMVNNHFERIASANSSSSERRHAANSTSINNGGAPSPSRDDRGPPVNPFDFVIYLTASRECSVETIQSEILRRLNLVSGDSETQRATRIYEFLKEKHFLVLVDDLWEQLNLTAVGFPHPQGTKGTLKQKIVITTRQQNLYDRMAMMSIEVPVLQRNDALQLFQRSLGNDNIYADPHIGVLAEHLVEELGGLPSDLINIGKAMRRKVDARQWEDVICSVKELGLTCNKDDQLYLAGRSVRKLDDAMKKLQERSNFVRHQIELSKLNGMVQTDEVSTWLATVETIVSEGKVICEKHKLNWDVSASAAEKLREIEDCLKGEPGDIAVEALPPRVQDMSGPSMSAEDSNLEDALRLIKNDPEGMIGIWGLGGVGKTHLLKKINQSFIEDNFFSVVIYVEASHECSEKEIQAKIVRQQKYEVFEDQQSQAGFIRNILKDKSFLILLDDIWGNLDLGAIGIPYPLGISSKFKRKVVPTTRSQDVLRVMDVTRQIKLARLQENEACKLFEETVGKPGLFSSPSIGKLARDLVNELDGLPLNLVTIGKKMRGRDNPKDWESTISYMKKSGHYKDVPKHLKNILEKLKYSYDTLPNKILKDCLLTCALWPQGWEIQIDELAKCWMGLGLVNENNIQSCYNQVNSIIGELEAASLIQNDTGITVKLPNMVRGMALWISCDFGKIQRSVVYAGDANSVRADANSGRLMSAGVMQDFYMQFHPELLRQPTPLNGTLSRNFPWSNAEYVSLMMNKMQELPLVSSNNCLRNLKALFLQHNNLDEAIAETIKHCTMLTYLDLSWNSLQNIPEELCTLLKLEFLNLSHNVDIQEVPQSLGDLIRLKFLYLEGTNIRAMPNSVISRMKELKVLDLKYTYIPGAPSPVYWVPSVCPELDGLTNLIEVDIVVEGNSQYELLRGCPNLPLRQVTIQQLHQPNAHFCLSGGIFSDNLVGNTLSDLEIVDSDMVNIKITKDAGSPDYCFESLKTLKLDYLYYLEKIVCDMRPEDIFPRLSVLIITFCDQLEDISCAIYLPSHRKLDVSFCDNITQAFIKRRKEDIITFPSLQELRFAYLANLVSISGSDVKFPSLETLAFVGCLRLKDSFQELEFDDIQCPDCRENKKKCWDWDELEWEEEGVKSLLEPYLQIKEDTDLKGINEALRFIFPFLPEDSTYRGGRCPCYYMNGCKQTAPRRSSLTESPLNFTMGPATNPTSSRNFAESSSTF >Et_1A_005008.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22085698:22085832:1 gene:Et_1A_005008 transcript:Et_1A_005008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHTNKVRNLIFLKELALRPGNSYFPKQEGKIKSRSFFIIAFL >Et_5B_044889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:764523:769499:-1 gene:Et_5B_044889 transcript:Et_5B_044889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQPLLAAAAKRRRGPRAAVLALLLCSLLVPLAFLFDLSPSGGMQPSLSFIFPPSISFSMREGRYALPPPRSDQCRFGWIWGPNGYVTTEERHRQEVVLPSFDHVDERDGRGAVSGVSQDAPKRISKGSPGVFHQYKKIDPPPYIGSAKPKDLYFDIIKCTYISFQEVSKDIKRQQKGAKADETEKAKACQFELGSYCLWSTEHKEVMKDAIVKRLKDQLFMARSYYPSIAKIEGQEALTQKMKQNIQDHERILSASSVDADLPSFINKRIKQMEQTIAKAKSCTVDCKNVDRKLRQILDMTEDEAHFHMTQSAFLYNLGAQTLPKSHHCLSMRLTLEYFKSSSSNSDGSFSRKLNSPNYRHYVILSKNVLAASLVINSTVSSSKDPGNLAFHILTDAQNFYAIKYWFSRNSFKKAAVDVINFEGFILKKLPKYSMKQLYLPEEFRVLIRSITQPTENTIMKYLSLFSHSHFLIPEIFKYLKKVVVLDDDVVVQQDLSFLWNINMGDKVNGAVEFCGLKLGQVRNLLGKTAYDPKSCAWMSGVNLINLDKWREHNVTENYLLLMEKFKYKDEASMRAAALPLSLLSFQHLIYPLDEKLTLAGLGYDYGIDEEAARRSASLHYNGNMKPWLELGIPNYKKYWKRFLVRGDRFMDECNKNGVCRGQGRKTHDNLSRQDSRVADLRLER >Et_9B_063779.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:13986231:13986428:-1 gene:Et_9B_063779 transcript:Et_9B_063779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFRISSPAGAAEAEESPASPAVAATREAGPAAGDAAAAAGHQVARRPPPLPHHPYRRPPHPPP >Et_8A_056351.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:294596:294925:-1 gene:Et_8A_056351 transcript:Et_8A_056351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRVTLLWTNLLNRYSAPSSRSLMICSNTCNCSSLQPTEFFFWNALEMTELLGIAICLARSCRVDSNREVVMALASLNSLTTLQSSLSEVTFFVVFLKFFFAFFNKRK >Et_4A_033341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21682900:21683936:-1 gene:Et_4A_033341 transcript:Et_4A_033341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTLNPMSTLIYGCFSSDFSNRFHQPFFSFWSEQIMFLKPIFVLVLLPYRPFEKIACEYLEPTYAYLWQYGIKELQTEAKRFPMGNSREGKEALRFSLQHNIFCIWQRDSQVNLLGALSPQHLRLAAVPKNRHHEIPIEITTSETSLRRMIVPNPRVRAPPRGRQLGGQPHPIPQSLARQRQRQHLPKTTRLLCRQ >Et_6B_048765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13336568:13340701:-1 gene:Et_6B_048765 transcript:Et_6B_048765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGKGARAPMAELVGPRVYSCCHCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNITVGPKEDRHLMTGLHTVADIYCRDCREVLGWKYERAYEDSQRYKEGKFIFEKAKIVKENCKHSLSLRMS >Et_5B_045242.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:13602023:13602289:1 gene:Et_5B_045242 transcript:Et_5B_045242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASALSKVPSHTRAPFRVESRISAANRPHGRRRTPMNASLLPLIPAGDARSRRAGAAAAGAGADASADVGAGASSPPPLPPVLNDR >Et_9B_065166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21781672:21786046:1 gene:Et_9B_065166 transcript:Et_9B_065166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALGRDGSGSGGGASSSSSTAENSRFDASQYSFFGKATMEVPELGGLLEDGGVDGGDCRGFGVPDDGGYQFSSTGEEIDCMSNLSEIDDLASTFAKLNRTITGTKNPGVIGDRRSISRESSLTTDWVQDVDFPNWVDQDMLEGDELQDSKQWHPQLQSSSHFGESKPLSRTSSYPQPPLQHRSSEPILLRSSSFTSYPPPGGGSGLPYACQGLTRHSSIPSPGAGHQMGSPSSSLSGSPYHMSGLPRGLPYGQSMSYSAADLSMSSIMQNDWSNQAGALAFEHLNLRPSVLQQQLSLPSSSMSSLIFSQQQQRLPPVQQSFQNYINMQPHQFYHHRSPDMLGKFDPIPNMPSPRDKRSRSGGRGKHSARLSRQHSDTGSQNGDSGGIKFRSKYMSSEELETVLRMQHSANHSSDPYISDYYHQACKAKRSVNSQQKSSFSPISIKEFPSKSRSGGDQHAYLQVDAVGRVSFSSIRRPRPLLEVDIPASGDHKSSMRPLEKEPMLAARITVEDSLRLLLDVDDIDRFLQSCQQQDSSLQLRRRRQVLLEGLAASLELVDPFGPNKPGHSSGLTPKDDLIFLRIVSLPKGRKLLARYLRLLVPGSELARIVCMAIFRHLRSLFGGLPSDSGSAETTISLAKTVSTCVRHMELSALSACFAAIVCSSQQPPLRPLGSSAGDGASLIIKSALDRATELLGDPHSAANYSRSTRSLWQASFDAFFGLLTKYCESKYETIMQRFALQGSNPVVGPEVSKAVSRDMPVELLRASLPHTNEDQRQTLLDFARKSMHVSGTNSGIRSGQTTS >Et_7A_051661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22015820:22016345:1 gene:Et_7A_051661 transcript:Et_7A_051661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTINYIKHIATSEKERSPGRFDRNNTEITETGPERLHNSPVLPTFCMGTINYVKHIATSEKERSPGRFDRNNTEITETGPEQLHNNPVCLSAAKLTFFRVFVREPSSYIKHIATSEK >Et_4A_035680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3671088:3672020:1 gene:Et_4A_035680 transcript:Et_4A_035680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSTTLVVSYTGSSSRNRTVRLGWPRVDGVLLRQEREYEDAVEHADSVGGGVVAAPRLPAKMALRNPASRAPGDAGLRRSRSLARMAAPGFLAFFSGASVGAGAPAAARLVRMGRRRRCCDDSASDEEKPPASSRFLVLAAAVIASAASPSSVSSIDLYIASSSEDEKDGWLAYRLAIPGSFAPRRSIPVKRTGAPRSGCAS >Et_3B_030158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3107429:3108451:-1 gene:Et_3B_030158 transcript:Et_3B_030158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAAMMEGPQDIISSGTMVSWVASGVVLWSTAFVLVRGLFPKRSYDFCNRAVSTMHAVAAVCLACLSVDDWSRPVRPLAAASTPRQMKALAVTLSYMVYDAACCHLHGDVRLDNTVHHLVSIVGIGAGLAYRRCGTEMVASLLITEISSPLLHLREMLKEFGVRDTDLNLLVDVLFAVTFSAARMGVGPYLTYVTVTADNPLLIKAMATGLQLVSAYWFLRIVRMVRYKLGKKKPLPPPGKAN >Et_4B_039667.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2625694:2627097:1 gene:Et_4B_039667 transcript:Et_4B_039667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTVEASVNITSSTMYFNANRFAFTPPPMPPYLPPPPPPFEPIVLPSSCLLDQVARIEDCENATTAYCYLSDGELVKVTFVVAEPPRLSHFCVHCPGIDTKLGYARTPRIHHSVDNIALISVSFSSAWSRNSEYLFYKAGRHGRRPSLQHLEGIGDYFRKKRDVVVASVGFLPRGNDVVLVALTLSFAHSQEELHVFRSEQSTWTSKVLVLESHQKLYLIERVLDLGSGEIGFVNLSKGILACSVLTDDPTVRFIPLPVLLPGNKSHDQSGDARSLRDVVFKDGVLKCVEMEHCYQRIVREKPRRVPRLPDDVSEVDVLHDSDMMADIGIGPKEEDVTYKYTGWRLIAWRRSISSTSWHKDFLFHMDDLRINDPAHVVLGHRCGSPLTLKDVMTCVPTLSIDDTNSLYLITDARVPQTLEHRLRVILVNMWKKTLEDVSPVHEEGPNNSSPACSSCSLFKRLDADS >Et_2A_017198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31931992:31934544:-1 gene:Et_2A_017198 transcript:Et_2A_017198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDRAERGKASSDKMRKLKELLHKSDNRICADCSAPDPKWASANIGVFICLKCSGVHRSLGTHVSKVLSVTLDQWTDDEINSMLEVGGNSYANAIYEAFLPVGYDKPHPDSTQEERTNFIRSKYELQEFLQPSLRILSNKSSLQDTHSRKDTYNASHSVSFNSEAGMVEFIGILKVKVIRGTKLAVRDIISSDPYVVLTLGQQQVYDHDVLSRDDKMGEAEVDLQPMISSAMAFGDPDLLGDMQIGKWLKSPDNALARDSAVNIVGGKVKQEVSLRLQNVESGEVDLELEWIPLNQ >Et_10A_002027.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:19326414:19328378:1 gene:Et_10A_002027 transcript:Et_10A_002027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRRYCPCTSHGSLLLVVSFVLFLFRDAFVAAADASSSPQLNPAQQTIMMNILSVVGNIRSNASQIPCHWNGVNCNSSDSGSWVVRNITWSNHNISNSSIFAFICRLDTLRTLDLSSNSLTDLTSQFVSSSCSMKEGLQFLNLSSNQLSHPISDLSGFKELEILDLSLNRFTSKNLSEDLGAFPKMRSLNLSSNQLNGFVPTSMGASLMELVLSSNYLSGPVPKGVFEYKNLTMLDLSQNDLTGAVPNEFTSLAKLETLLLSENQLNGEIASSLSNVTRLFRFAANQNNFTGRIPNGITNHVRMLDLSYNYLSGTILSDFLSSARLQTVDLTGNMLEGTIPRILSPTLYRLRLGGNKLSGSIPHSICDGTSLTYLELDNNMLMGDIPLELSKCKRLSLLNLASNKLHGIVPDEFSSLNKLVVLKLQMNNLNGPILSNFSDSAVLSTLNLSHNFFTGVIPSTIFKLQKLSTLDLQGNNISGAIPTLISSSKALIELNLGYNALTGTIPTMPTTLSTALNLSHNYLSGHIPSNIDSLNDLEIIDLSYNELSGEVPPSLAGLQSLTQVVLSYNNLSGSVPMFGQFVEVNVTGNPYLANGTVDNHNTPTSKRKTHHVLTIIFAIDGALVVLCLLAVIVMISVSKKIYRVEDDMSIS >Et_3B_029790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2830935:2838517:1 gene:Et_3B_029790 transcript:Et_3B_029790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGKGEEPLLVKKRARYHPGCPGCRVDRLKEEREGVFPLPDLFRIWLVTVCSTLPIQSLFPFLYFMIRDLHIAKDEEDIGFYAGFVGASYMLGRALSSIIWGIIADKYGRKLVIVITLISVLVFNTLFGLSTTYWMALSTRGLLGFFCGMLGPIKAYSTEVCRKEYNHMALAVPADKYPGIFSEKFPYFLPCLAISLLSFTALVSCFWLPETLHKHTKDTFLEVENVEESLSGTDDQENGGGCLQLFTNCPLMSAITVYCIFSLQDVAYAEVFSLWAVSDRTYGGLSFSSTDVGNVLSVSGLLLLIYQILIYPSVAKAVDPITLVRAVAILTMPLLASYAFMPALSGLSLQLVVNCASFLKNSFSVTTITVFNILMNEAVTQNVRGAANGVAVTLMSIAKTIAPAAAGAMFSWAQRRQTASFLPGDHLVFFMLNVFTVIGLVFTFKPFYARSNTKH >Et_10A_000536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12310209:12317518:-1 gene:Et_10A_000536 transcript:Et_10A_000536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETFISAVVGDVVSRVISMFLGRFSHQQSTEAKLATVCRMLIRINSVVQEAKGRHILNHGTLQWLSELIHVEYQAKRVRVSGSIMRSILSRHHDLDVVEVDRVQQRLRDVSYDLSEFIMLLQSCQPISRPLATNIFREGQMFGRHVEKESIINFLLHSNSTSEVGVLPIVGDNYSGKTTLVQHACDDARVRNHFEVIMLYCTYTISMNGAAVLQSKLSIGDAEANLSEPIQLFKLGQFRQKRFLMVFEDVDMEKMQMLEELLPNMRCCKQGSKIIITTNSRHVAAIGTVEPITLKVLPFPEYWFFFKAHVFSGRDVEDNAKLVAAGKDIAMKLNGSFFGAKIVGGVLRDQPDPKFWWTVLRNNIAGLSLLGDGIADVWDLADNLLPGHVEMCKMTVSKILFPYHSTDLSMFKDLCSAAPHSCKITCWSDSVRFEKVLLCKSVFPFHSCYHIAHCICTCAAGSPDYPNATFTSITWGGKRPQKELSPECCCAVGSFAAVVTRARAEVRSVLAWIVAQSQLSQPSIGANGGALQSDCRRRASGRCVARKKGNNVKGGFVGDEANSRNSARCEVGAGREAKTGWGGEIVVAVRQQPGSIEEEAVSDVGEEKSRNFMTPATFDWTTEIEFEIHPTAKSCKADVAMTSCREIAPI >Et_9A_062740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:374745:392508:-1 gene:Et_9A_062740 transcript:Et_9A_062740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKKAYLVAIVIQLIYTGMYVVSKAAFNEGMSTYVFIFYRQAAATVLLLPLAIVLERRNAPPMSFWLFLKLFMYALLGNTVSFNLYNISLKYTSATVASATSNSVPVVTFFLAVLLRLEVVRLRSPSGMAKAAGVALCLAGVLTIALYTGPSMSPVNHHRAFADRGHHVAAAAETKGTWIKGTFLMLLSNTTWSLWIVLQASLLKEYPNKLLATLMQCLLSTVQSFVFAMAVERDLAAWKLRLDVGLLAVAYSGTFLMVLSNMTWSLWIVMQAGLLKQYPNKMLVTVMQCVFSALQTFVVAVVAERDFSKWKLRLDVSLLAIIYTGIVVTGVSYYLQAWCVQIKGPVFFAAWTPLCFVFTMFCSSFFLGEIVPLGSIIGGVLLVGGLYSVLWGKSKEAKTALCNGANTVADDAGCHKSQEKEETIPSETTANEEAKKPYMIATIIQLIYAGMFVVSKAAFDQGMNTFVFIFYRMAAASLLLLPIAIVFERKNVRSLSLLLLLKLFFYALVGNTFSLNLYNVSMKFTSATVASASSNSMPLRSSSGMAKLAGVALCLAGVFVLAFYSGPPLNPVNHHRAFAVAHASNESPSRVTWIKGTFLMVLANVTWAIWIVLQAALLQEYPNKMLVTGFVVTGVSYYLQAWCMEMKGPVFLAIWNPLCFVITIFCSSFFLGEIVHLGSKKAYVVAVTIQLIYTGMFVISKAAFNNGINTFVFIFYRQAAGSLLLLPLALLLHRKNVGSLSSRMLLKLFVCALIGITFSLNMYHVSLKFTSATVASATDNSLPAVTFFIALLLRKEVVKLKSASGVAKVTGVALCLAGVLAIAFYAGPSLSPVNHHRAFASHASESGENITRGAWVKWTFLMVLANTMWSLWIVLQASVLDEYPDKILVTAAQCIFSAVQSFIVAVVAERDFSRWKLSFDISLLAIFYSGFVVMGVAYYLQAWCVEMKGPVFLAAWPPLCFVFTIFCSSFFLGEIVHLGRIVGGALLVGGLYSVLWGKSKEANLERGNEKYNKNNVPQEEYMNHAEKNSKVDKEQEEAASTPAAVEQV >Et_8B_060247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7980132:7984015:-1 gene:Et_8B_060247 transcript:Et_8B_060247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFELEDNLEFILLSIQELMEDQGENNPFGEANQNELFDSLVNRDQENTMSDVSVADVEAGKDMQGIPWDKMLFGRDQYRDMKMKNYRNYQNLSYAREDALEECKQVENDGPYYDFYYNTRRARPSIVHFQLRNLVWATTKHDVYTMHNQSVTHWSSLDQISTELINADDCIVPKQRGHGSQSVAMVQVTTMTVDSNLLVLGGFQGELICKHLDDDGVVFSTRVTDDENAITNSLEIYQDPNGSRRLAAANNDSSIRIFDIEYFDLLKHHTFPWSVNSVSVSPNGKMFAVLGDDEDGLVVDPNCGAAIGSLKGHLDYSFASAWHPDGNILATGSQDTTCRLWDIRNLSQSLAVLGGRMGSIRCVKFSSDGRFLATAEPVDFVHIYDSFADYGKSHEIDLFGEIGGLSFSPDTEALYVGIADHTYGGLIEFTKRHQHHYLNSLW >Et_7A_052910.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2541223:2541594:-1 gene:Et_7A_052910 transcript:Et_7A_052910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPAAEPMPALSPSADDSGASSSSAPALVEDADDADAVVTRQFFPTPPAAAAGAGWLRLAAAAPPPAAGNVAPAGVPAAAASAGAASKKSRRGPRSRSSQYRGVTFYRRTGRWESHIW >Et_2A_016257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22591461:22591913:-1 gene:Et_2A_016257 transcript:Et_2A_016257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMICGFPKMGKKSLRFEEENAMESGECHSTLPLRLLQDSAPVTHCRDCSALLAEAIRHCYEVTDEHRACAELTDFLRAVQDVALSPEPEPAADIRKREAAPQPVDDDGRDIHFPPEEDDCSDFGHIERQLRQWAHHIPGR >Et_6B_048435.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:3956657:3956839:1 gene:Et_6B_048435 transcript:Et_6B_048435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEMFGISFSSSSVAEGRRRKTQERRRHPFISHLFSACLLSVCLCNHLYKSSYMFEIEF >Et_6A_045978.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:1582561:1582920:-1 gene:Et_6A_045978 transcript:Et_6A_045978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFPISNSSRFRVPPLEDLSQDEDPYAAFWSVYRVVAEFGFVGTIFAGVCIQRNGMIHQTSTAADVTKLMVLFAGAVAFGVNVECLYDGSIFAPKYNYLHMHMLPQPRRRWRRRRAQR >Et_2A_014717.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:9310102:9311355:-1 gene:Et_2A_014717 transcript:Et_2A_014717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVVSILLVSLVHLHALSSCDARDAISADQVLTSNDKLISSNGRFALGFFHTGSESSNTTKNWYIGIWFNKVPKQTPVWVANRQNPIIDPTITELTLYLDGNLVILDKVTRAKVWSTELGNTATSNYTIAVLLNSGNLVLRKASNTSSILWQSFDYPTDALLPGAKAGRNKVSGLHYSRTSNRNMFDLAPGVYCSELDPTGVLQFVTKLCNSSIVYWSSGVWNGKYFSALPGMSAVIESHMLIDYKFIDNNEEEYFMYTVQDDTLTTFSLLDVSGQIKQMIWHEGSQDWLAVDTQPKAQCDIYAFCGPFTICRDNMFPLCSCMKGFSIASPKSWGLGDQTSGCTRNYVLDCESKQR >Et_5B_045245.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1434253:1435128:1 gene:Et_5B_045245 transcript:Et_5B_045245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYSFSWPHQGHRLWASLPVAFWVHFYKVQRCPWLGAHDPYLHYGECACRRDPRTHRHLPELCPDYLASYEYHKTHHTGRAPTCVRGRTCSYAHGIFEVWMHPDRFRTHMCDAGLQCGRKICFFAHFFWERRCPGDMVPFVDLQLLMSWWPLCAPPHPLPPQSRPAQPRPARQRGISNMMLQTRSGMRRIPLTDEATASSFSWPSFSVGSSSSTSSGASLSSSPPDVAITAAATTSPMLAGYPAGEAAASVLPEVGYPADDEMYNGMSDEEDSELGEFPYYDIVKDFVLG >Et_9B_065522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6043396:6048197:-1 gene:Et_9B_065522 transcript:Et_9B_065522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SKCGCGRARPTVGRWLPSTRQPPHAAHAAEQASKNSKARTADHEVASAGPSLSRLVPVWILRTPESPVAGAAAYSLVRWEVECRSIYPDADWFLFSSTCKALDDQKMSSNSSLTESLHEKTIVFGLKLWVVIGVAVGASLLGILLILLICLSIQSCIKKSRRTVKDLPMTQIPSACKDIKEVRTVDQFSANDFIVHDGLLLAIQNEPEPVESVDREAIELAQEDKLRKREENNLSGSFRITDDTDVIQTVSVNEQSSTQITVDNAPLPGLPEFSYLGWGHWFTLRDLELATNRFSKDSVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLESWLHGELSQYSSLTWLARMKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPPNEVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNAEKRPRMDQVVRMLDSNEPIPQEERRHRQNRTSENSETDCFKRNSETEPLREPGREVRPPRPKSRTFSSK >Et_2A_017678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:524691:527162:-1 gene:Et_2A_017678 transcript:Et_2A_017678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSRFPKGAPWIRYHGTYKGLHIDLVWPGKDPVVGVDSVGTVSAALVTYAAIQTLKPDLIINAGTAGGFKAKGASIGDVFLASDVAFHDRRIPIPVFDMYGIGARKTLDTPNLLKQLNLKVGKLSTGDSLDMTPHDEAAILNNEATVKDMEGAAVAYVAHMFSTPAIFVKAVTDIVDGEKPTAEEFLQNLVAVTAALDKAVTEVVDFISGKCISDL >Et_7A_050350.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:8603363:8603799:-1 gene:Et_7A_050350 transcript:Et_7A_050350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLSPLAQFGRRELQVLESLFRWHPGACLLVASDTMDSAGGNDRLRPFVERGFRVAAAAPDLAYLLNGTPAESWLGAVQRGGVSPGSVPLGQNLSNLLRLALLYKYGGVYLDADVVVLR >Et_7B_054337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2023751:2027782:-1 gene:Et_7B_054337 transcript:Et_7B_054337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWISSKLKAAETLLHQIDQQAAESLGKSPSASDLTALQPSSAEPLLDVPPRRPPTAGPPPSLGLRLGAVKRPTPSQPPPSPGPRRSASAAAVLDQAVGGPVAEARAAPEEDRLDREVGKGGVPESGSESDEDSDGSGSGDSEDSEEERRREEERRQRRAERLAAMAARAIAEREEVVARLEGEKAGLEKLLAEREKEQAQEASELQTSMIETMEAVEVEKQRHHSTRMEALARLARLEVTNAELAKSLAREQWNLDFQVDQVAQLREEVESKTLAQDKYRRKLAKMQKTNAPPVDEIESLRRFKLEEEIIDAEYTLTCDRIVSLKDKARKIEENIELTRRDMVHPTEVEIELKKRLDQLTDRLIQKQMQVETLSSEKAALLMRIEAVSRSLDNNASSLASSSSSSGFDIEAGAWRESYSPRLHDRIRAGQQQLGSAIRQLDSIFSAGHIFLKRNPKAQVWAVVYLVFFHLWVLYILTSHPTVSETRPGAVFSLESINKTSI >Et_7A_050915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12296671:12303398:1 gene:Et_7A_050915 transcript:Et_7A_050915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEQRRHMSPFHLRPESESSFFTDELRLRTEKQVGVLKQSCFPENVDNYSSVLGNQSIGAKVVDQLDNNQPFKLMDRKSSFVEGKLLDQNWLVKLLPSYWRDDQDQAHQQDSFTKSLALPSEGRNGDLNGTQNEFGFFLSSLPDIFDKKISLTPNNGVTGHVVGEAHLNNADDEPFELTKEIEAQIIGNLLPDDDDLLSGGADGVGYASRTNNQDDIDDDIFYTGGGMELEGDDNSKLSVGNIGANNSQTMINGQLSGEHTHRGPPSRTLFVKNVDSNVDDYELKLIFEQYGDICTLYTDRKHHGIVMISYYDVRSAENALKGLQGKLLGRAKLDICYSNPKDYTLEKDINLGTLALFNLDPSMTNEDLHRIFGCYGEIKEIAGKGHHKFIVFYDVRAAEAARNSLKRRDISGNKIKMERSYSGGTNRVMQQMSHELEQKQFGVFRLGSPSNPPSTCLVQGSVNTASIMSTGPESGTVRILSSRVPAPINQFREGRFLDLPSTAIQNMSSPVGITSTRAQRNHFTHSQISRSLGKMNEHTNGHVNHGFQEINAFPHSLPEFQNGLNNDIPYNLSMISPAGVKSNSEAGEAMVRRHIYTGVSGNLSSNSSGHTDGLSRVGSCPLHSQHLARNYSYDLHRQPSSPMLWPRTSPFINKIPSHPLAQAHGISRAPSRMAENILPMNHRVGSAPAVHPPNWDRRRGYPGEMIEAPGFHPGSAGSMVFPGSPRLHEIELNSMFSQTGGTFMESMSPAHMGTQSPQQRGPMFHGRSRMIPHPSSFDSSGERMRSRRNDSGANQSDDKRQYELDIERIARGEETRTTLMIKNIPNKYTSKMLLAAIDETHRGTYDFIYLPIDFKASNKCNVGYAFINIISPEQIIPFYKTFNGKRWEKFNSEKVASLAYARIQGKSALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPVGTNIRTRPGRARILSWEENGTPPKRGGEGPGYIARDTEPTAVA >Et_1B_010127.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25211113:25212414:1 gene:Et_1B_010127 transcript:Et_1B_010127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKVSMGLIPNSRVRATTFAKRKEGLKKKARELATLCGVRVAVVCAADGSGAGAGAAADVWESAAGVLDAYREQVPREERARHTHRAYAELEARKAEAKLVKVRQEGPAALEQWDAAVYALTLDEARRMLAAVDAAVRAVSERRAALGLPVDDDHDGGWIRGFAAPPGASVDGAVVPFGLATPHPFGGSNLVSFDGGYLQLRAQGGGSNANDNEHVVWDSNGFDPLGAGMVVQQPAGYAFQQCAADMDAYKLQMAADVYDYGNSSSNSTGRLAWDAFHQPPTNEFAAMQPHGYGFQCMGSNSSYVGMSSGYLSQQMPGISIVPLGGYPSLETGYKLMDAPAAHSAAAVQGVGGGRSLAGGNFTAALPELSLTMGTGDSYVNVPQAPQPLAMSFSGDLINASDYAFTEWSAGQLQSDGSSQQSSNKSLCEAL >Et_1B_013128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5692121:5695011:-1 gene:Et_1B_013128 transcript:Et_1B_013128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LNSRAFNFPTSQERSSHLQMGQTVKLGRALTQIGVSKTNTKEGWQQKLLEQRSLELYSKIPEISEYCSTPEETERSLQTAKLHSGSQNLSSSEIFHLLSINSINACPVNGGTPDKNKDDKGDSMLNLNEEIKQSVDEIRMSLGPVAKWLKDSLVNLVGYRRKREDIRLQTSQMHAALAAARLATAMAGIIGNCPFNSISLNDISMTDSVNYTDKKMHAAITSAAALVAASCAEAAKSAGASIEQVSSVINMGLDAREMGDLLTLTTSTAACLRGVECLKMRTITNHTLQDHMKSQKGAVLPVRTPEGRLHKRMVSVDCNYDNGNIMLTLRKRNCFTTSKTYIIFHEQGEQKDIGYQRDEDGYHTINLLTSGGNIQLLFEEHGEYSSWKSFIDYLIFNQGWKLSH >Et_10A_000142.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:10831785:10831961:-1 gene:Et_10A_000142 transcript:Et_10A_000142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPQRERVTTPYISWSSDTYGKKGMRECLMGFILQFLNACSACARRRHCGLWQEPRH >Et_6A_046282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1246040:1248364:-1 gene:Et_6A_046282 transcript:Et_6A_046282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDASNLVLHVKRIVCSSIRLCYQYACGYPVVLSAGIFLLFLHKLCPSLFMFLVSFSPVFLLTALLLGALLTYGEPCAPVIGEETLENHQTSRRQSKTSTDCSTKEIEKNASVVAYAEKSFESQVVCIEERTFDSIVHDTHYDEEKVTSVSIDTVVCAEESSEFAKNNAIEESGVRTKEISKNVELQEFNTESYHNDVNNKYQLGELMSSCWQPVMRQDPCSDSESDFSGSSSDASMTDIIPMLDDSAQRRRAKNILKFDLDKKLMDLEAADAIQKMEDASRFRVQVPSISTPRPKPFVLSNGSEEIVELQHIPDSAPSVHLPWRKPFDIPFDQIVDNERKLLETWTPRSYFPSTRHRKNGNLHLRKSTYLQQHNGIIAEKSELIGKDACDSISDSDPEHAGNNGKLFGSLEAHMGEEIKILSAAISDACVLEANYEADEGIKNTNYSDDTYSCCIHEFASGTSESEDSAPPGNEQSTLCSLSKENNSEQHVEADSISEINSLFKCRMEEVLVQSISESGIGQPLTIELEDELSGTFSANSAMPVVEASSVEELNSRILLPTNETLTSAASDHTCDYELIQNRSSEALLVENGHTSQLPIKDGSIAVKIVNELLTDNGELPVLEASSAEEMNSSFKKTEDEAREEIYPSSMHKCGQDEGDTSSGMLVLASKSTDTEDVTSAMAVKQWS >Et_3A_023657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10486795:10491201:1 gene:Et_3A_023657 transcript:Et_3A_023657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCSGVLLVLTLAAVLLLFTPSPAPTPPPAAAPAVPVAQLLPSLPGLSVLYPPPVNSSAHLSWRLLRPLLLRSDALPGTAEGVLEAASAWRNLTLALAEEGQRTHGSLGRGASCPASVEGDPRAWSARIPCGFVEGSAVTVVGVPREGAARFVVELVGAAGEVVLRVNVSLAAAGMVVEQSSWTPQAGWGEWERCPPVGDVGSNSNIDGLVRCNEKAGPSTILDGNSTMVNVTHHQPDDEKRPRGREHASVSGGFAIVEGEPFTATLWTGVEGFHMTVNGKHETSFAYRERLEPWSVSEVKVSGDLELLSFLVNGLPVSEDVDMASVEVLKAPPLPKKRIFLMIGVFSTGNNFKRRMALRRTWLQYEAVRSGDVVVRFFTGLHKNDQVNMELWQEALMYGDIQLMPFVDYYTLISLKTIAISMFGTHIVPAKYIMKTDDDAFVRIDEVISSLKKSKSSGLLYGLISFQSSPHRDEKSKWFISQKEWPFEMYPPWAHGPGYVISHDIAKFIVRGHQERTLQLFKLEDVAMGIWIQQYKNSGQQVNYVTDDRFYNEGCDADYVLAHYQSPRRMMCLWEKLTTEYQPVCCE >Et_9B_064359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14374272:14375380:1 gene:Et_9B_064359 transcript:Et_9B_064359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHDDGRRRREFFKVLVPRCLQSLRVPAEIAGLLADDDVTVLVVGAVGKVWRVQVRRERDGGGKEKAFLARGWAEFARAHGLGVGWFVVFRLEGRGVLSVKAFDRSLSRKPFCAPCPGREATIYSPLGKFWHFGLEHDGSCIFLVSGWAEFLEAHGISEGHLLVFRYQGNMVFTVKVFEPSGRRKEYCAVSSGGVPGDRPHPGKRLLRSEV >Et_4B_036455.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24949368:24949547:-1 gene:Et_4B_036455 transcript:Et_4B_036455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALDVETVEAIACREGLALAADLLLQRIRLASDCAGVVRNIEGMENYGHILHEIKAR >Et_7B_053411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16974217:16975701:1 gene:Et_7B_053411 transcript:Et_7B_053411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASSLSSVLPAPPLSLTSPRRLARQKPTTKSLSPSLSVRCAAATTAASIPPPRPIPPPKLVRCPALDRHAARASRVRFARKLLTLLLSKPRRFLPVRVLRRCRRFLGLPRDRPLIPMVLRYPALFRLFQAPTSSPFSPTLSTLAVGLTPAAEALAADLAALRGAAAVTPALAGKIHRLLLMTPRRSIPVSPFEQLDESASIDMLERRACAVVRELLAMTMEKRTLVDHLTHFRKDFGLPNRLHALLVRHPEMFYVSVKGVRHSVFLVEAFDDDGRLLVEDKMLVGRDRLEELVREGKRMRRARKKGVLALDGDSDEDEDDEVAEEVGSLEEDDEFGDLFEDGTIGEDWEEVSNGVGGEGYEEYDAESDAIEEFWVKKAVAEGLVESGSEQDIW >Et_8A_057773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:753455:755723:-1 gene:Et_8A_057773 transcript:Et_8A_057773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRRLITPTRSVRAFWSASWSADPTAAASAPSSPSPDNKKKASAGQHRLAAVMDAVNERKLPPELRGRGNAVSSETDIVNVVERRIWHSMEEGHFENLPGKGKPLNLISNPHADPAEDTLYRILSRNGCAPEWVELNKEIRGMIAGWRSALRKAWANRSEDDGSHWNGDCRVLQEQIRHINDKVFRYNLIVPFGRQMFGLNWEKEVDKLKLK >Et_10B_003640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4208353:4208606:1 gene:Et_10B_003640 transcript:Et_10B_003640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVPHQLPPRNYRRLKRWWTSMIGMAGVQNASNHLHCLEHLKKALPPGVRQQGHDTGPTDHHHQERYPRLEHGEAILGG >Et_1A_009187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32334292:32339631:-1 gene:Et_1A_009187 transcript:Et_1A_009187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLPLAAAVLLLSAPLRLCSAAARSSAPPPPAPRPAPLAPALFVIGDSTSDVGTNNYLGTLARADREPYGRDFDTHRPTGRFSNGRIPVDYLGAPLSSSSASSVILQSPLFLPDFSGSLCAAEKLGLPFVPPYLEQNMRTGAGSSGLTNIGGMVQGVNYASAAAGIISSSGSDLDRIDFFEKSVSKMHSMGMHVSLTQQVQQVEDTYEQLSLALGEAAAADLFRRSVFFVSIGSNDFIHYYLRNVSGVQTRYLPWEFNQLLVNTVRQEIKNLYNINVRKVILMGLPPVGCAPHFLEEYGSQNGECIDYINNVVIEFNYALRYMSSEFIRQFPDSMITYCDTFEGSVDILNNRERYGFVTTTDACCGLGKYGGLIMCVLPQMACSDASSHVWWDEFHPTDAVNRILADNVWSGQHTKMCHPLNLQDMVDRWIKEALEVELKITFHLYALYLIHNLFDSLVRKKEQAGTPPVMKKEAVGVGTFKTSELHGQQPKCFGGKTASRLYLVNQPVFDDGMAYLPVKLVEGLNLIYRDCSNK >Et_2B_020047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16367702:16369546:-1 gene:Et_2B_020047 transcript:Et_2B_020047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPPAISPARLHKLVTSQSDPLLALELVNVTSPTTTPHPSTLHSLLLRLARRRDHLPHALALLRRLPSAPSPRLLLPLLLAVLRLRRPPDLFLSTFSSLFVSGPNPLPLHPQLFLPLLSTLSSTASRFPSALHLLRLVSSRLTLPEPLVLASHNLLIDAAARFGHVAVSFSLFHRLRSLHVAPDAHTYRILTQSLCRKAQVRTAATLLDEMLHRGIPADPLAYTTVLNALCRKKQLREAYRLLCLMRGRGVSPDIVHYNTVIVGMCREGRPLDACKIIGDMEESRCAPNAATYATLVNGLCVSGLYDKAQAYLKDMVGKGFEPHFSVFHSVIKGCCDVGKVKHAAQIMSLMLDLGVVPHVDSWSSVIRSICNDEGNIEALVILIFCAKGMDIHVLSSIPASNLPVLKEKMCIRVRDIWGASGKLASGGQRRTKIQGDSTTIHIPSMHRERTPIAAYLLN >Et_5B_044984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:794674:802622:-1 gene:Et_5B_044984 transcript:Et_5B_044984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAAGLVPSAPGDLEGRVMAAVKASEARGDPPLLRAVELARVVAGEGAAIPSADLAGILVSNLCFAHNSPSLWKLVGQSMASRLVCPLHVLALLTPRVLPQRRAQPEAYRLYLEVMKCNVTSSLSMEAGPNRDKLTKSIDDALQLSKIYGFAGLDFGHVIVMFVLVVVTKLIDSILEDCGLPCGLAEGQENVYSIEGGRQPMDVDVKRVSSEKQNEHREQLRRKNTILALDVLHMMVADRKIQSFLRLIFLNMPEKFSSLSQRLSFIEAHKMALESVMPAGEKINDLLVDIRRVCNADYQPNNKRLVGVLGSMRSSAPLLGQLTGAGRAACWIIFDIYVENAIDGKHLSGISAIEVLKEVTKTLQVLNEASWQETFKALWISALRLVQRAREPLEGPIPHLDARLCMLLALIPLSIAAILKEESDVFGAEGNKILPRRQGLMSSLQDLIQYTGLLVPPSSVVNAANAAASKAAVFKANYKAGAANSSMMVQTDSSIRAVGNMLHLIIEACISRKLVDTSAYLWPGYVVPSGPSKDTMLPQESPWLNFMQGAPLSGPLIDALVATPASSVAELDKLYNIAANGSEEEKTAAAKILCGASLVRGWNIQEHVVGMVVKLLSAALPSDSSTSTNGSMSHYLAQMSTLNVILLGVSYGDAIHILSLYGMVPDIAAALMPLCEAFGSIAPPPNHKSTIFGEVSVYSVFSCAFLCLLRLWKFYKPPQEYCLAGRGGSVRLELTLDYLLLMRNNRIEISNSSAASRDSCNNMGSFNEAPNQPVYIDSFPKLRAWHFQNQACIASTLSGLCNKNPVHQVANKVLSMICRKMNKGGVASGNLSSNSGSSVSGSSVNASDDSYQRPTVPAWELLEAVPFVLEAVLTACAHGRLSSRDLTTSLRDLVDFLPASLAAIVSYFSAEITRGIWKAVPMNGTEWPSPGASLHSIEAEIKEILASAGVQIHSCYPRGVPPMLPLPMAALVSLTITFKLDRSLEYIQGIIGQALENCAGGSSWPSMPIIGALWTQKVRRWHDFIVLSCMRSPFGRDKDAVAQLIQSCFSSFLQSSSSAADITANRGVGALLGDSITNQGLRLPMAPGFIYLRTCRTFHDTYFVSRVILKQVIDWAHKLANGWSFNGPPHLKSGRTPLSCAASMAHQVAMLGGGLLCIAGGPLVVQVLYEDSLPTLLLSAREDSLKDPGPVSSTLQGYAMANMLFFCGSLLWGADRTSPVMKLSFLSRRPRVVGTHMDFIAGVLDGHILLGCDPGTWKAYVSRFVFLVVKFVPCWLRDIKLDTLKKIAAGLRSWHEHDLALSLLERGGPQVISVVVETLI >Et_7A_050714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10298025:10305192:-1 gene:Et_7A_050714 transcript:Et_7A_050714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGARTGTLHNKENIPAEPAGKRLRTTATGRQPIAAASTDPAPPTEEVMAFASREDGKSEQMMEYIKKLRACIKWLLEREDANLDKIGKLSADLEAAETQHSEKVTQLQNALRESKEIHEELQKQYASLQEALKKVEAEKTDAMRSLQDEKEAKRAVESKQNEILEDLKKAQLEEKRLNHQVTNKNLQDYNVNLQTHICTLRTDAEKHCDKIAMLQQEKNTMFVTMTKQMVHADSVKIQLDLAKSLQSEAAKQRNDLLEELQNVQKDRDNKSAQVDSLLNELGIYEETTGNSAVQLENAMARSSVLEETCSSQREMIKTLEIKLADATDKLKRSGLTAMEAMTGYENHKKMLADLQSRLEKSEQKNLDGEKLRKKLHNTILELKGNIRVFCRVRPVLSNESGDITYPKSTENIGRGIELIHNATKHSFTFDKVFDHSASQGDVFIEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGNPEVEEQKGMIPRSLEQIFQASQILNSQGWKYNMQASMLEIYNDTIRDLLSTNWVTTQDGGSSKYSIKHDGDGNTHVSELTFVNVTSISEVSSLLRRAAKSGSVGRTQMNEESSRSHFVFTLRNFGVNEGTDQQVQGVLNLIDLAGSERLKKSGARGDRLKETKAINKSLSALNDVISAITNKEEHVPFRNSKLTYLLQPCLGGDSKTFMFVNVSPESSSTEESLCSLRFAERANSCELATIGGKRMRNSQ >Et_5A_040519.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4285839:4286087:1 gene:Et_5A_040519 transcript:Et_5A_040519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTKISYARTASISNTSVTRAGCWGPPICRQSPRCSNASTTTTTTAAGSTTRSVLRNCSIRMEKRPRFSSTKSPPDWDSIV >Et_3B_029022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21405567:21411059:-1 gene:Et_3B_029022 transcript:Et_3B_029022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEPSITRWTFEDFEAYYEALLGLRREPDGEDDDDRNVHPRGSNNVATSSRPAAARANGGADLAVFEQFERMERKVELRNGAMEDGPPQKSLLPSFESAEMRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPDARQAMFEELLPSTPGMEIPLDVLVEKTEGYSGSDIRLVCKEAAMQPLRRLMAVLEGRQEEVPEDELPEVGPVTTEDIELALRNTRPSAHLHAHRYEKFNQDYGSHLNFMCFDNVAG >Et_4A_033895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27821090:27824887:-1 gene:Et_4A_033895 transcript:Et_4A_033895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFHFLNCAVLTFGPHAVYYSATPLSEYDTIGTSVKAAVVYLGTALVKLVCLATLLKVPENDSFDPYQELMKIFIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWIGARGLEFTWEYIFQGLEANANLVMTLSLAALGSLMWLRKNKPKTLIPIIYACALLLATMPSITSYLRRSLEWQTPKVVGFELFSSLAMAFISWQLFSACQRPM >Et_4B_036285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15022726:15023751:-1 gene:Et_4B_036285 transcript:Et_4B_036285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RNNTTAVVEGRDRLTIEASFCLAQPPLPTNLFVCRLGATYLHHPSKIVTTAEDLLLFRVPVAVGPPPDFVKLENCDYIIYRAGGVPSLTLIPNPKPSLYDGEVGLLRRAGDLFTVAALVGRPVENEYMLHRFDSEVGKWTLKTVCLETPRKPFPVRIPISALRLNHHITTTVITLGGEAGTMGWVDLWSGILLYDMFPVDSQRAVLRHMPLPLPMHAITSKRRLTELGCPADCRGIASVVKDDDDIETDMPCYVVENWALTVWSNNKMGYSDCYKDWQEDFTVWASEIRISDTVRSELLTSGLLQRNPSTESCCVGAQAQPEW >Et_7A_052796.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16613293:16613667:-1 gene:Et_7A_052796 transcript:Et_7A_052796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVRGAVSGGGAGGAGGGEKRRPFGDPGFHGKFKVVRGRGKRSPSPPNRDSDPGGRGGHASDRGCRGFNSGRGRERGSREADPGSTVGRGAHDRVVPQRADDRECRKEEMQQQTVGKHHLP >Et_2B_019443.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:30088660:30088812:1 gene:Et_2B_019443 transcript:Et_2B_019443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKSMVILKEYITKHNAPNDVPDESVEGESDDEVEALVKNPPKKSKKQK >Et_6A_045916.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:6559741:6560055:1 gene:Et_6A_045916 transcript:Et_6A_045916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLIGCSESLKAADTTSKPNHIFNTNSNAAAVNNTSVHESKIYLKFCVKYNCTGTICYCCDNQRPTPYCYLTKEACISQCPACNPVCPPQPSPSLVIRKAGIHVQ >Et_2B_021535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30421251:30427645:1 gene:Et_2B_021535 transcript:Et_2B_021535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSLSTSLSTAWHLGGQDSLDLAKVHRLLGLVVGEVREEVFVAAVDPTINNSHNVVVEQVTTSSDMVLRLNLLPTCAKQWKLHTSQTAFRHQKQALRSCHHALFPHRPGSGSIGTRCLVKANHFFAELPDKDLHQYDVSITPEVTSRIVSRSVMEELVRLHKASYLGGRLPAYDGRKSLYTAGPLPFASKEFHISLLEEDDGTGVERRQKTFKVVIKFAARADLHRLEQFIAGRQAEAPQEALQVLDIVLRELPTARYAPFGRSFFSPDLGRRRSLGEGLESWRGFYQSIRPTQMGLSLNIDMSATAFFEPLPVIDFVAQLLNTDVHSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRIAGLTTQATRELNFPVDQGGTMKSVVQYFQETYGFAIQHTYLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNQSQIRALLEETCQRPHDRERDIVQMVNHNSYHEDPYAKEFGIKISERLASVEARVLPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGKVRSWLCVNFARNVQESVANGFCRELARMCQASGMEFALEPVLPPMYAHPDQVERALKARFHDAMNVLGAQRKELDLLIGILPDNNGSLYGDLKRVCEIDLGLVSQCCCAKQVFKMNKQILANLALKINVKVGGRNTVLLDAVSRRIPLVTDKPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQSHRQELIEDLYKVTHDPQRGTICGGMIRELLISFKRSTGQKPQRLIFYRDGVSEGQFYQVLLHELDAIRKACASLEANYQPQVTFVVVQKRHHTRLFAHNHNDQSSVDRSGNILPGTVVDSKICHPTEFDFFLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDSSGDSGSTVSGRGGAQSSTSTSRSTRAAFAGAVRPLPALKDSVKKVMFYC >Et_4A_032262.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:26943206:26943514:-1 gene:Et_4A_032262 transcript:Et_4A_032262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQVPSTQETKIQGWWNSHMQQANKDERRTKAAILIYTAWHIWNERNRRVFTHLHLEMQPTAVAKLIEEDMRIRERACGAPSFPFRGTVGQQTINVIQYNL >Et_1A_005970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16050903:16055610:-1 gene:Et_1A_005970 transcript:Et_1A_005970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IFYVDNLYHIHTQASPHSIPRAAFYTKTMIAEIVKDAKFYDQDGNVQFTKLPLKACGNTCYSIEQVQPQDDSAPPPRGDGLSTFSIPSIRQLLAPKIRALGESMRLKALKEMDQYDADVLVETNRIAAAQRNIRSRTIQVAHSIGTMLDEHAQMVAAAAVGQGNLSILTPPEGEGTSNQETEDDANQERDASANEEQDDSGNEEHHERPVSGNEEHQERPVSGNDQDIMEDYDADGLKGKDSHGNDSENPGDGNEERDDSGSEEHQERPVTGNDQVLKKPHDADGSKGKDSHGNDDENSVNGNEERDHSGNEEHQERQVSGDGQDLKKAHDADGLKGMDSHGNDSKIPGDGNKERDDSGNEEQQEPQVSSNDQDLKKAHDVDSQVSGNNQNLNTKAGAGAGAGKENNSLGNDSLVSGNDQDMKATDVVAGKEKDSHEDDTDSPDTILKNVLSTIPQNDVVTSPERTSGAESGTNNVKKPADQDDDSTADNGKGKSQHDVENSAAKISGEESGADNVKKPADQDHDSTANAAKDNDKDNFVNSIFNFEGPSGESREHGIENTNSTTFVQGGSNAENNASGSANAIPTGQVGGHTSENIEGSLADTKKKFSKKIKIPLPKRKVTGDSQPRCYRRINTRKRKGATGGDHGLTEPPLKSPHPDSGFQNFSPLQINMMRAQAVPSKTLALRSHLNDNSKYKKTVLMAYTDASQCELHGEEILDSFDDGITAQSVFMELFVHCVTADDRLYRPVLSQEMLVISPMAMLFANMENFPLYFGVDPVYNPAQLVIGLNDYLPKDVNWSKLKVILIPVLNREHYSLYVVNYVRQRIDVMDTIDYESRGSVFEDHHGPLGKKIIQHLNEGLKTATNGACKPFDNFPHKRFACPTMKKPNDCAFLTMVFIEQYTAEDGCLDDVGEHEKTSEMRADFLHFLVFHRLNRAKLPDELNQFRVDGVPYPQ >Et_5A_042868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5929409:5931081:-1 gene:Et_5A_042868 transcript:Et_5A_042868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDALPAAVEPKKKGNVRFAFACAILASMTSILLGYDIGVMSGASLYIKNDLNISDVKLEVLMGILNVYSLVGSFAAGRTSDWIGRRYTIVLAAVIFFAGAFLMGFAVNYGMLMFGRFVAGIGVGYALMIAPVYTAEVSPASARGFLTSFPEVFINFGILLGYVSNFAFAHLPQNLNWRVMLGIGAAPSVVLALMVLGMPESPRWLVMKGRLAEAKVVLDKTSDTPEEAAERLADIKAAAGIPADLDGDVVEVPQNSGGEEESRVWRELILKPSPAMRRILLTGLGIHFFQQASGIDAVVLYSPRVFKAAGITGTNPLLGTTCAVGVTKTLFILVATFLLDKVGRRPLLLSSVAGMILSLVLLGAGLTVVSHNPGTKVPWAIGLAISSTMAYVAFFSIGLGPITWVYSSEIFPLHVRALGCALGVATNRVTSGVVSMTFISLSNAITIGGGFFLYGGMALLAFVFFFTYLPETRGRTLEGMGKLFGMTDEEVPKAGDATKAEKKQVEMAPAATN >Et_10A_001002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2082542:2084848:-1 gene:Et_10A_001002 transcript:Et_10A_001002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVPRQVALASSRPPAAATPAPVAMAKEEHKKEKKSKSKAAAKKGAAAAPDARAVVVASVAAFLEAGGFPRALAALQSEADLEVGAWKSSAVSLEDLVSKFLESRYFSNKTAEAAVEDVGKNEKDDGIELKVAPSAVQDDDTGEKKKKKKKKGGADASEPESKVTEPSAEEKPAENVGAETKEKKHKKKKKDGSSAGNAVSGEPKETVKSDDQKVDGKKKKKEKSASETSEKTDAGAAAAEADGANGKSDVVETVKDDNEKKSKKKRKKPGSEENVQVEGKDVEGKGTVPKQDDENKSGMEIDNGENGKTSSENAVVGKKRKLEDVEGSKPPATENGTANQTLSNGFAEDSSNENGTASKPSKRQKKSAEPKSVTPFQRVKLEAVKFADERLQDNSYWAKGGAEIGYGAKAQEILGQVRGRGFRHEKTKKKRGTYRGGQIDLGTHSIKFENSDDE >Et_1B_012550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33028197:33031702:1 gene:Et_1B_012550 transcript:Et_1B_012550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVLTRTSAMNRNKQSLISTDARSGKLKLDDSPLFHRLTAWPGTGAKTSHRQTGQVECPSSHWSMQCLWNMRKHAGSRLTISPFSTPPRHTAQSDGANCTPTGTTISRSPSSCQVEYTNDGWVCHVITNRAHTGGNALSGESASGVGFASTRCAETSHRQSGHVECECSHMSMHPTWNRCRHAGSCRTISPPWTSSRHTGHSARRPDLLPPSADASVERANAGRTSIAASATRQGLAVGGGTGTPTAAPSSAHHRARQPTRPNDTPIAPARAHALVMLTVAARMVSSSSDAQATTMP >Et_7A_050594.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:3887869:3888096:1 gene:Et_7A_050594 transcript:Et_7A_050594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSSRSICSTFRTPASPSTASENSTGRPICMQHETGVKYSALRNQALASVQAGAHWECVYLRILLKLPVPGP >Et_1A_006598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25027122:25028935:1 gene:Et_1A_006598 transcript:Et_1A_006598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPSFLDHVLPEQWFLVMLPLLSGIFFLARSRWRSRGRNLPPGPPSLPLIGHVHLMMGALPHRSMSELARRHGPVMMLRLGVVPTVVVSSPDAARDALKTHDAECCSRPGTPGPRRMSYEHKDVAFAPYSEYWREMRKLVVIELLSMRQVQATWYAREAQVDKLIASLTRAGGTPVFLEDHIYAFMDGFIGTVALGKIYGTEQFAYKKHFHHVIDDAMSVMASFSAEDYYPNAVGRLIDRLTGVVGRREKIFKEIDAFFELIIQHHLDPARAASPDNGHDIIDVLIARNQTVREKVQQEVRAVVGNKERVQPDDVPKLKYLKMVVKEILRLHPPAPLLVPRETIRDAKICGYDVPAKTRLFVNVGAIGKDPKFWDNAEEFNPDRFEVNDIDFNGTHFEFLPFGAGRRACPGMAMGLATTEFTLANLLYCFNWELPEGVTPENMNMEEEAGLTVRKKTPLMLVPTRNNK >Et_2A_018660.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4470349:4470876:1 gene:Et_2A_018660 transcript:Et_2A_018660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASDAAVVAIASAIAAVLLLGSLDHQLPASAYTGEAPPLRREVQVPLAAGTATAALFASAGYVFRHLPHAAAAGGNRRLSEVAIFTMCASVGVLQHVVFVQPPAAGVAINVNIGAVGLAAARAFFLGMALVFAQVRAGGGGHGGAVLILSNVALGAAAVVVALMAMAAALYTA >Et_10A_001123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21343503:21345264:1 gene:Et_10A_001123 transcript:Et_10A_001123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRNKCAHVSWERVVNPATRQSVTLPPFPELPSYERYVLAYDPFLSPQHYEVLLFPGIDSKEGSAEWPQSPFTMHVFSSRKWRWEERSFVREENQADIGTTADMMRPVDCWEEDQLRHAVYWRGALYVHGQNDSVMRITLSNDKYQMIKLPAENQGVSYIGKSQKGLYCASFSDENRFQVWLLNESCGKTKWVLKSDINLQVMVETFHIDYTDRYITPWILNDEKDVSEACTEDEREWDFESGVVLHEAEDKITTCFKQCIFLGFHPYKEIAFFFYTVLKSSILQFEQLKGPRVGHLKQGHSKIVSIYTMLDGKAILYRVYDTGPPWNPSLLGSVLVCTADRSQLTEFERRGWFQFVVP >Et_8A_056128.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23635857:23636372:1 gene:Et_8A_056128 transcript:Et_8A_056128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVLELVTKTAAKQTKLLRMIMVTVAHTLVSGELAPTDPVLKDMVAKSEATALEVMHDADDPTEKRCPDVAEYAAGPGGEAMAKALREEAAAFAALKERAQEVVKFMRAMAAIGGRRRGSGSARGRDEVQKAQILRPRMDQLINGPCFLPLRVSFFYSSIVRPNICTSIG >Et_1A_008494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8099915:8103877:-1 gene:Et_1A_008494 transcript:Et_1A_008494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDHIMQVTDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIIRLYQPVHLLVGTPGRILDLTKKGVCILKDCSMLIMDEADKLLSPEFQPSVEQLIRYLPASRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDQAIYCQ >Et_2B_019814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14172895:14173176:-1 gene:Et_2B_019814 transcript:Et_2B_019814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGSATSFLFFFSLPQISVTCSMCTFFLRRLCSAATVLYYIDFLLALSVTFDLEAASKCMRAPPEFRNNYCAIC >Et_9B_065306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3435954:3439693:-1 gene:Et_9B_065306 transcript:Et_9B_065306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNERADARKSNYKVAVDAAEARRRREDQMVEIRRKTRDESLQKKRRDGFAAAAAAGGAAPQMTHSSALQQKLDGLPAMVQAVRSDDPSVQLEATTQFRKLLSIERSPPIEEVISTGVVPRFIEFLVREDHPQLQFEAAWALTNIASGTSENTKVVVECGAVPIFVKLLSSPSEDAVWALGNVAGDSPKCRDLVLGSGGLFPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPNFEQVKPALPALQRLIHSQDEEVLTDACWALSYLSDGTNDKIQAVIDSGVFPRLVELLMHPSASVLIPALRTVGNIVTGDDLQTQCVIDNQALPCLLNLLTTNHKKSIKKEACWTISNITAGNREQIQAVINANIIGPLVHLLQTAEFDIKKEAAWAISNATSGGTHDQIKYLVAQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGAGDVNIYAQMIDDAEGLDKIENLQSHDNTEIYEKAVKMLESYWLEEEDDAMPSGDNPQNGFNFGNQQPSVPSGGFNFG >Et_7B_054994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6259190:6261169:1 gene:Et_7B_054994 transcript:Et_7B_054994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAATTAAPLPPPSESTTTTDPMKQQAPPPYAPPPASEFNPPPKKRKLEEVGFHHSPYYKIRATVANLRGRFLQINESKFCLLLNLCFTDIKVVIELSKKTRLDLYSVVDPVKPSVIPAATTSKDEPAGKSPYADKNQVPPINLAGNFVQNAGGNIPLKPDNSEAAGQKLVSPVEINKEARTSDTTDHGEQQDITDYGKQPGRVLRGSYVIGGSPIGCNFLMWPGSKVVYYGLTKAEWLARQSAK >Et_1A_005428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10248869:10252347:-1 gene:Et_1A_005428 transcript:Et_1A_005428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTVMLIGNKCDLSHRRAVSYEEGEQFAKEHGLVFMEASAKTAQNVEEAFIKTAGTIYKKIQDGIFDVSNESNGIKVGYVVPNSSGGGAGSSAQGNRLSARDPDRAHDHGPAHVPKASL >Et_6A_047684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9703188:9704966:1 gene:Et_6A_047684 transcript:Et_6A_047684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASLQSFLLPQHHSFANTGNHDSSPSNLLKLTTNSSSNISFRLFSNTSPSVTTTSTPNSSAPTPVTPTQADKPPAPTLDLLSRQLEAGDYRQADETTRALLIDLAGESARRRGYVFFSEVQFISAEDLRAIDELWREHSNGKFGYSVQKRLWEKARCDFTRFFIKVGWMKKLDTEIEQYNYRAFPDEFIWEMKDDTPEGHLPLTNALRGTQLLGNIFMHPAFDEGQEEEPTEESVTATASGQSKDDSKGIAQALVSEKYKDNKLETHSR >Et_8A_056707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15576077:15584755:-1 gene:Et_8A_056707 transcript:Et_8A_056707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLLLRHARRRRHYSAAASNGGVVPLSTPTFAVFGANTGVGKTLVSAGLSAALLSSPTTSAVDYLKPLQTGYPADSDARFVFTRAPALLRASSSSSRATRLVASCRTLFPSPAAGAEPAHRDGQEKVVRCGGDGPEETKVLACRTVYAWREPVSPHLAAEREGMAVRDEEVKGSVEQWLVEGVVEEGEVWKVLETAGGVASPGPSGVLQCDLYRPFRLPAILVGDGRLGGISSTLSAFETLLLRGYDVGAVILEDRALSNDKFLLSYLRNRVRVLVLPQIPDDPSDDLTEWFSESSSAFGLLKDDLQSFHSKRIQRLSSMQRKSRDLLWWPFTQHNLVPVDSVTVIDSRCGENFSALKMRDNTMMLVPQFDACASWWTQGPDSNLQIELARDMGYAAARYGHVMFPENVHEPALRCAELLLEGVGKGWASRVYYSDNGSTAVEIALKMAFRKFSNDHGILKDGEQSVGNTQFKVLALNGSYHGDTLGAMEAQAPSAYTSFLQQPWYSGRGLFLDPPTVYVKNDTCNLSLPKSMKHDEQSSGDTCFSSLADVFCNSRDTSSVADIYLSYISQHLSESLSNNSEHIAALIIEPVIQGAGGMHMIDPLFQRVLVNECKNRNIPVIFDEVFTGFWRLGVESASELLGCSPDIACYAKLMTGGIVPLAATLATEEIFESFKSDSKLTALLHGHSYTAHAMGCCAAVKAIQWYRDPSTNSNLESDLMRLKELWDGALVRQLSSLPNVKRVVSMGTLCAVELETHGTDAGYESLYASSLIQQLRKEDDIYIRPLGNVIYLMCGPCTSRDSCTRQLSKVYRRLCGFN >Et_10B_003455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19910364:19911952:-1 gene:Et_10B_003455 transcript:Et_10B_003455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRNQNETNIDYAKLRIRAFSLDPATDQDRGQCSGCLVSTGLGPLACASGMLSQGYCREVRHKRGS >Et_4B_036148.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28781696:28782673:1 gene:Et_4B_036148 transcript:Et_4B_036148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIYCVKCEHSAADGGLQNGGVDCGGGAVDDEVVAADEGGLVGREEQRRLGHVLGPEHLPLQHVEGHGHGLQLLWPDAHEPAAERRGHAAGGHAVDAHPARAELPGGGAHQAQQRVLGRRVRGRPEPALQRRHARRAHDGAAAAAAFSHHGARRVLDARRRAAEVDGHDAVQLAQVHVHHRPRGQQHAGVAEHHVEPPVRGDDGGHRRLHLRLVRHVAAHVPARPRRRRRGRHRLAQLVLHVRDHHRGAARREQTRRRRADAARAAGDDGHLAVQPVTSNQPRNHVNMKLERHARRNPTKKMNPSHHQHSLTLASTWLML >Et_4B_037446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19935320:19936127:-1 gene:Et_4B_037446 transcript:Et_4B_037446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGGRVVLLNCYVSMFGNRVRIALARKGVVFEEKAENLGAKSALLLSSNPVHGKVPVLLVGGKAVCESLVILEFIDEEFAGAGEPLLPADPHARAQARFWASYIDAKLPDCSRRVWQSPKGAAAVEEGKNDMVAALKTLEAELGGKRYFGGEALGYVDVALVPFAPWFTTYERLAGFSVAAECPALAAWAARCVAENPCVAASLPDAESVFQFVCGMRKHFGLE >Et_2B_019661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1205120:1208713:1 gene:Et_2B_019661 transcript:Et_2B_019661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRLRLLLPLPLLLVLLSALAASGRNEEDARALMALKRALDPTGRVLGSWDPSRDPCSGSYDGVECDPTGRVTAVSLQGRGLSGRLPPAVAGLRRLQGLYLHYNGIKGAIPREIGKLSELTDLYLDVNHLTGPVPVEIAVLASLQVLQLGYNQLTGSIPPQLGNLNKLSVLAMQSNQLTGAIPATLGDLTRLTRLDLSFNSLFGSIPSKVAEVPLLEVFDIRNNSLSGSVPVGLRRLNGGFQYANNKGLCGIGFSLLDLCPSSEDGLKPSKPEPFGPDGSVKTRQVPQSVDPDCSGSRCSKSSNASTGVLIVGVVALVIGAAFCGLFAFSWYRRQKQKIGSSLEVSDSRLSTDHYQQKETCRRSASPLISVEYSNGWDPLSGGGVGSSGEVGDSFRFNLEEVECATQYFSEVNLLGKSGFAATYKGILRDGSVVAVKSLNKTSCKQEESDFLRGLKMLTVLRHDNLVSLRGFCCSRGRGECFLVYDFMVNGCLSQYLDVKDGTDSNVLDWPTRVSIIRGIAKGIEYLHCKRSNKPPVVHQNISAEKILLDHHFAPRLSVPGLHKLLADDVVFSTLKASAAMGYLAPEYATTGRFTDKSDVFAFGIVVLQVITGRRDVLQLKVGAAVSDLEGLIDGNLNGVFSRTEAAKLAAVAAYCTSEAPSQRPTMEVVVQQLSH >Et_7A_052427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7934747:7937108:-1 gene:Et_7A_052427 transcript:Et_7A_052427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPPRRNKDKKVKRSGGKARDSHSPTPTAQPPKQKQTRCHYAPGGGDDGKRRGGVGATDDDAAAASSSSAAESAASLNDLCATAAAAGGPAPLPFPRAATWALAALLVVGLGVGALVLAVVHSAVLLVVSVALAAAVAAFLLWNAAAAASGRALRRFVDGLPAYSLRVAADGQLVKINGFVSCGDISLISSYEKVENCVYTSTLLRKCRRWGSKILNPMNRCSKWKLTHAERFATDFYITDAKSGKRALVKAGHHSKVVPLVDENILVTTSRDTELSSTLRYWLEERNLSSEESQLIRLEEGYIREGMRLSVIGILSKKNGDAMILPPPEPISTGCVFRSFLLPTYFDGIVLRLVDRRYFVPNSSVP >Et_8A_056876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18409923:18413391:1 gene:Et_8A_056876 transcript:Et_8A_056876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHANRQPNSSNAFNLDPRFGRSESQRDHPLPAAIASNGTARETKPLSASGLLSPTSSEIPARVWPPRPPRSRFQKLLDAPRRRSSRRRSSHGVHEGPAYHDPAHLGWKIVALIWPKILSLCCASKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEINVKKNTRKPLGRILLKGDNITLMMNR >Et_5B_045427.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22041307:22044477:-1 gene:Et_5B_045427 transcript:Et_5B_045427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKLVVEVVEARNLLPKDGTGTSSPYARADFDGQRRKTRTVVRDLNPAWNEALEFSFPPAVAGVDPVAAGGGEPLEVAVLHDVRVGPSRRSNFLGRVRLDARQFVRKGEEALIYFPLEKKHFFSWVRGDIGLKVYYVDEPLAPEPEPEPEPPVAAGDAAPPAEAAAEPAPAEAPAAASADEPPKTEEPAEAAPAPAPETAEAAAGGDGSATEKPPEDDPAATNPAPEDGPPVMTSEAVAAPEEKAPEEEPAPIPPPAPTPMPRQVSMPVRRVPPPPPPEEPMERSKHDLVDKMPYLFVRVVRARGLPAGAHPHVRVAAGGRHAATGEARRGAFFEWDQTFAFARDPASLDSSPGPTLEVSVWDLPPDADVSVADDRSFLGGLCFDVADVHARDPPDGPLATQWYRLEGGRRLAGADLMVATWAGTQADEAFAEAWKADSPAAASSSSSSSGAAAASSRAKVYVSPKLWLLRLTVIEAQDTLSAPPPRDAGVAVRATLGFQSLKTRATPVARNGGPAWNEDLLFVAAEPFTDDDCLVVSLEVRHGKEAFPVGSGSVSLAAIERRVDDRKVASKWLDLLPSDEAAAAKRFGGKKAVHMHGGRLHVRVCLDGGYNVADEPPCAASDFRPSARQLWRPPVGVVELGIVGCKGLLPMRAADGKGFTDAYAVAKYGPKWARTRTIADSFDPAWNEQYTWPVYDPCTVLTVGVFDDPSPDTTKDAACSRPMGKVRIRLSTLERGRVYRGLYPLILMLPTGAKRMGDVELAVRFATSASTLDVLHMYTQPALSAMHHQRPVPAASREALRLAAARITAAHLARSAEPPLRREVAAWMLDATSGDPRGGGFSMRKLRANWNRAAAALAWVADAARWVDDTRSWRNPTSTAMAHAVLVLLAWHPDLVVPTAALHAAAVGAWRFRRRPRSPAPHPCVRASMAEAPDREELDEEFDPVPSARPPEVVRARYDRARAVGARLQAMVGDVATQAERLQALVSWRDPRATGMFVALCVLVAVVLYTVPMRMVAVVAGFYYLRHPMFRDRRPAPVINFFRRLPSMSERIM >Et_7B_055954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7975075:7975513:1 gene:Et_7B_055954 transcript:Et_7B_055954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTCQLTEKSDIYSFSVILLEVLTGEQSQCNVWSNIKGQESSELVKGLAELAKQCLDMCGANRPTMKEVAEELGRLRKLALHPWVQVTDAESESFLGGGVSTTGFEIDVGTAGGICYLGCY >Et_9A_061405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11080352:11085746:1 gene:Et_9A_061405 transcript:Et_9A_061405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAKAGNGYLGVTEPISLSGPTEKDVVQTTEVEKYLTDAGLYESQEEAVSREEVLGKLDQTVKAWIKKATRLSGYGEQFVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATRNEYFFRWLHDMLAEMPEVSELHPVPDAHVPVLGFKLNGISIDLLYANLAHVVIPEDLDLSQDSILYNVDEQTVRSLNGCRVTDQILRLVPNIPSFRTALRFIRYWGKRRGVYSNVMGFLGGINWAILVGRICQLYPNASPSMLISRFFRVYSKWKWPNPVMLCHIEEGSLGLPVWDPRRNFRDRGHQMPIITPAYPCMNSSYNVSVSTRYVMVQEFTRAFEICQAIDEGKADWDALFEAYPFFESYKNYLEVNITASNEDDLRNWKGWVESRLRTLVLKIERYTHETILAHPYPRDFSDKSRPLHCFYFIGLWKKQTNQAQEAEPFDIRGIVNEFKTSICAYQHWKEGMDIDVSHKPEPDSSGSYQLLGGTSVLPSSLPYKEALNGHANFNTGTVQDEHPGQYQGSMSTPVGNTVCNVDNQPNSMLPKSSNDWQTNEFGSFSRISQRDTSDSAANILPNLSPPIPVAPDELDELTSYQGTAIQKDATIDQRQFSDGCSEKSQGQTSTLSSHGYNNLKRKATEELEDLTFA >Et_1A_008389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7235834:7236225:1 gene:Et_1A_008389 transcript:Et_1A_008389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETHHPGPPEWWELVKTTAWFRDHWVSQHQLHEAQYDAVLAALPDDLLDTDDLFYSPDHHQQQPEEADVLRSLILNPPATTSPRGALWDHHYQQQQPRRADKPAQQHVGLKAGARRVIHQPR >Et_1B_012670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34266609:34271131:-1 gene:Et_1B_012670 transcript:Et_1B_012670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSLTLQRATGAVCAVIGSFSGRDAKKAAASGSGSTQEIAVARGSTLDLLRPDPETGRLRTLLSVDVFGVIRSLAQFRLTGANKDYLVVGSDSGRLVILEYSPDRNRLDKVHQETFGKSGCRRIVPGQLLAVDPKGRALCIAALEKQKLVYVLNRDAAARLTISSPLEAHKSNTLTFSLTALDCGFDNPVFAAIELEYAESDRDPTGQAANQAQKVLTFYELDLGLNHVSRKASEPIDNGANLLVTVPGGGDGPSGVLVCCDNFVLYRNQGHPEVRAVIPRRADLPAERGVLIVAAATHRQKSLFFFLLQTEYGDIFKVDLDRSGDTPRALKNLIRIDEIESLMPVMDMRIANLFDEETPQVFTACGQGPRSTLRILRPGLAISEMARSMLPAEPIAVWTVKKNINDMFDAYIVVSFANVTLVLSIGETIEEVSDSQFLDTTHSLAVTLLGEDSLMQAHPNGIRHIREDGRVNEWRTPGKKTITKVGSNKVQVVIALSGGELIYFEMDVTGQLMEVEKQDMSGDVACLALAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCLQPLSVQSVSSAPESLLFLEVQASVGGEDGADYPANLFLNAGLQNGVLFRTNVDMVTGQLSDTRSRFLGLRPPKLFPCMVSHRQAMLCLSSRPWLGYIHQGHFLLTPLSCDTLESAASFSSDQCSEGVVAVAGDALRIFTIERLGETFNETAIPLRYTPRKFVILPKKKYVAVIESDKGAFSAEEREAAKKECLEASGAGENGNANNGDQMENGDGQEDGGEEGNSFPDEQYGYPKAESERWVSCIRILDPRSRDTTCLLELQDNEAAVSICTVNFHDKEHGTLLAVGTAKGLQFWPKRTLAGGFIHIYKFVDEGRSLELLHKTQVEEVPLALCQFQGRLLAGVGSVLRLYDLGKRKLLRKCENKLFPRTIISIHTYRDRIYVGDMQESFHYCKYRRDENQLYIFADDSVPRWLTAAQHIDFDTMAGADKFGNIYFARLPQDISDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVVTCLQKASLIPGGGECLIYGTVMGSIGALLAFTSREDVDFFSHLEMHLRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQYPSLPADMQRKIADELDRTPGEILKKLEDIRNKII >Et_5A_042173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7053931:7058400:1 gene:Et_5A_042173 transcript:Et_5A_042173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVMSKSKPVRELRVRPSPLIAFAVILLLLSALPLCQPYTYEQDVFAINGLYTALGSPGLPNWITNGGDPCNEGWQGVECVNSNITSITLSGANLGGQLGNTLANFTSLITLDLSNNNIGGTIPDGLPVTMQKLFLSANQLSGSIPSTLSTLTQLTTVSLNNNHLVGEIPDVFAALTGVANLDFSSNNLTGPLPPSMGNLTALTSLHIQNNQISGILDVLQDLPLQDLNIENNLFSGPVPVKLLNLPNFKKDGNPFNTTIAPSAQPPAASAPLAPPSTGHVPSKEPAHSSTGTGGSNPAPPSGTNKVSVVKLVGYILIGVVLAVVVVLLAMYCLSKYKERKSRDDIYTKGQMGKVPQKLGEPKIKEVTEIKEPSIKLKNNVGKGSHVVYDAQDGQKLDSSVLFHCIASLSSWKFTNTNMAAAPGLVTTKQKEHVIDMENVDSFVEEQRPTQPAQRTEKAVVNASVRTRRGRVPSVGKATAVKSFSIASLQQYTNSFSEDNFIRDSRFGKVYLAELPDGELLEVLKIDTLKIPADAFLELVVTISELRHPNILEFVGYCGEFEQRLLVYEHCSKMTLHDELHYVDDLNKPLSWNARLQVAVGAAKALQHLHDGCQPPIVHQNFEPSVVLLNSTLVVHISECGLAALTSKSSSQLSGRTLFHYEAPEVHESGSTSDRSDVYSFGVVMLELLTGRKPYDSSRPRNEQHLVRWATSQLYDIDAISKMVDPFIQGQCSEKALSRFADIISRCIQHEPEFRPPMSEVVQDLSRMLSNATKASM >Et_6B_050142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7041772:7044684:-1 gene:Et_6B_050142 transcript:Et_6B_050142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALVSASTGVMESLLCKLSSVLEKGYRRNKRVEKDILFLRDELGSMNAVMKKHAMSKDPDLQVKAWMKELRELAYDIEDVIDAFMLQVEEKSDQPTGIKGFISSSISKLRELVSSSTIAHEIEELKHQVLEASDRRKRYKLDEYTSMDTVEAIDPRLTALYAEIGALVGVDGPRNKITKLLTEGDVEGGFGKQLVKLVSIVGFGGMGKTTLANQCLEELSYIRISSNCIKFAEELGLLRKLRRLGITVEDPCEMEDHGRRHREALLASIYQLGRHNLQSLSFAYRGHEDFILDSSMGSCFAAEHLQELAIHKPLSRIPTWMSSLVNLIHLELYISRMDADDINILKHIATLVFLRLVFTGNVNSTRIVIDGEGFEYLKEFHVMCFISGMWLRFAPGAMPKLQRYHLTLKLQEAKSTCDDFDLGLKHLASLQHVSVAIVPVSATNMDATVVAEAAIRKETSIHPNQPTVEIGTWQ >Et_6B_049401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4370971:4373737:1 gene:Et_6B_049401 transcript:Et_6B_049401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLRRRSRGGGVFVPKDGLSKGARGAPGLAGGGHGQRRRVRVRVMAALVVGRRRSETGDKERTERKHGNKSRWGPRASGRARFRRGLGSSWARGVGRRASVVGGSGQLQWYGFCVPARGLYAAAAERWAKKLAVDGRRGLGQVARDKPYSSPFFLRNNIFIYSETQINSGDWRFLASPLPPPARRLTAGRILHIGAANKEAPPSTASGADRISALPDGVREYILSLLPAHEAVRTTVLARSWRDLWMRSPAF >Et_4B_038955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6310319:6315668:1 gene:Et_4B_038955 transcript:Et_4B_038955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVIPSAAGSVAAAAEPAPWLMDERDGFISWLRGEFAAANAIIDLLLVQLRSVGDPGEYDLVAAAVQQRRHHWAPVIHMQQFFPVTDVAFALQQTGWRRRAPPQQALGAGAPSPPPPPPRRPAFSPSHHSHHRHGGHHHRADPTRGGGAFAAAGSEKDGRAVHDKEGKVLKAVENAGDTKSLRLDSPMTDEAEKISKLQGVSEGSSKVVPTSPVEHSTHEIIDGKPVNSVEGLKVYEGLVNEVEKNKILSLINETKASCRRGGLEAGQTVIIGKRPAKGHGREVVQLGVPVIDGPPDDENQREARVEAIPGILNDLFDRLFQQEIIPYKPDYCVVDFFNEGEYSHPYQSPSWYGRPLCTLCLTDCDMVFGRTIFVGERGDHRGPLKLSLTTGSLLLLQGKSADIAKRAIPGIHKQRILLNFGKSVARKNIPAESASRFSPPLTPPPMPWGPPSRPGSISRHPASPKPFGYAPASSVLPAPVVGSHQIPPSDGMQPLFVAPAPVAPAAIPFPPAVPLPNTTAAWMPEANPRPAPPRFPGPGTGVFLPPGANHHPLPHNMIPASHTHAEPNSPQGSAAHLHNKSTGTEMTNGNVSPKSSPSKRSGAAEKPGCNGTSNGGGSFVDGKPAVKEEQNGGMKNAGNSKVQPNASR >Et_6B_049708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9274492:9277723:-1 gene:Et_6B_049708 transcript:Et_6B_049708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGLKKMNKNKKLVKKLAKKYHAFLASESVIKQIPRLLGPDIIYAIAMQFLFTDQSSLIGTAGKFPTLVTHQESLEAKVNETKATVKFQLKKVLCMGVAVANLSMEEKQIQQNIQKSVNFLVSLLKKNWQNVKCLYIKSTMGKPYRVF >Et_2A_018033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9270288:9273887:1 gene:Et_2A_018033 transcript:Et_2A_018033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVECSVAAARAPRPLPRRVRRPATAPLLAGRARLQVRSERREQPPAVRASGSEHGGRSLGQAAAGLAAAAVVSLTGFAGDLSPLPPAPAHAESLTVAFPVSKAREVNRVQRTLVEAWGLIRETFVDPTFNHQDWDQKLQQTMVEMFPLKSEDAAYSKLRGMLSTLGDPFTQIITPKEYQSFRIGSDGNVQGVGVFINKEPSSGRLLVMDCIEGGPADRAGVREGDELVEIDGKSVSGLDGEAAAQRLRGPDISSYDGQECKTGYVRLAAFSQTAAAEMENAIKRMEDEGVQSYILDLRNNPGGLVKAGLDVAQMWLDGDETLVNTVDRNGDVLPINMSQGHSLTHDPLVVLVNEGSASASEILAGALHDNGRAILSVTELDDGSALFITVAKYLSPALHEIDQVGIQPDIQCTPDVLSLPRTPPLSENSEASSLETDSCIMVAEQALEIEQTKGSAS >Et_1A_004706.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:32634291:32635235:1 gene:Et_1A_004706 transcript:Et_1A_004706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RLVILRHQTLTRLYKLFVLTNPSPSGGGAADTHPNHTGVGERRRASAMVKFLKPGKAVILLQGRFAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFIKLVNFTHLMPTRYTLDVDLKDVAAGPDALATKDKKVTACKAAKARLEERFKTGKNRWFFTKLRF >Et_2A_016519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25559105:25560270:1 gene:Et_2A_016519 transcript:Et_2A_016519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLLSKEEVTILLMMLWRNWTDRNTITHGGRINAEDRKGKKPMYRVKVNCNINTREEEAGRENNSRWTPPEGSKLINCFFIAPPQKRLNFWLAGRVFFWAINGQINLMYWRPSKRDSNASAHELAKFARRENSSAVWIAGVPRALEQ >Et_8B_059106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1452092:1456763:-1 gene:Et_8B_059106 transcript:Et_8B_059106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPRAPLFLLLLAAAGWAAAAAGDGCGLALGSYYISPNQNVTYIASLFGISDYRTLAPYNLNYNNLDFIPAGARVNVYFRCDRLSLPSSPDRRFLAGSFPHKVSSGDTYTTIAGYYNNLTTEGWLAATNSYPPTNIPDTGTVNVTVNCSCGDPKISKDYGLFMTYPLRGNDTLAAVAANYSFSSSDQMALLRKYNPGMDGVTGSGIVYIPVKGKGAVGAIAGGVAGGIVLLLLGVFLFIFFNRRRKAKKAALLPSSDDSIQLAATTSGDKVPLSTSQADSASAVPGITVDKSVEFSYEELFNATEGFSLSNKIGQGGFGAVYYAELRGEKAAIKKMDMQATHEFLAELKVLTHVHHLNLVRLIGYCIESSLFLVYEYIENGNLSQHLRGTGHEPLSWASRVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNYRGKVADFGLAKLTEVGNTSLPTRGIVGTFGYMPPEYARYGDVSPKVDVYAFGVVMYELISAKEAIVRSAESASDSKGLVYLFEEALSRPDPKEGLHELIDPRLGEDYPIDSILKMTHLARACTQEDPKWRPTMRSVVVALMTLSSTSEFWDMNSLHDNQALVNLMSGR >Et_1B_013354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7684759:7687428:1 gene:Et_1B_013354 transcript:Et_1B_013354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIAGNAAEPPLMDSYNALVRRRVESACAAVPAASPPERQLPLSPVSECELPMIDVGCLTGAAGEATAEERASCAAAIARAAEEWGFFQVRNHGVRPELLQAMRREQARLFRLPFEAKAGLLNDSYRWGTPTATSLQQLSWSEAFHVPLAGVSGDACNFGDLTDLRDVTREVAGAMSKLASTLATVLAEAVLGHAPAGESFPEGCDETTCFLRLNRYPPCPFSPDTFGLVPHTDSDFLTVLCQDHVGGLQLMKGDAWVAVKPIPGALIVNIGDLFQAWSNNRYKSVEHKVTTNATTERYSVAYFLCPSYDSPIGTCKEPSPYMPFTFGDYRRKVQEDVKRTGKKVGLPSFLV >Et_1A_005181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31054287:31055909:1 gene:Et_1A_005181 transcript:Et_1A_005181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPSSSSDDESDDAKPLPPSPPLAPQTASPPSERQRWLNLERDCNVAMTALARAGDVDGVVDLFAELRQRFGSAGAGLSPNVLCHNTLLNALAEAGRVEDVGIAFDEMLAAGMAPNVSTLNIIVKVQARRTSSLEPAFEVIDKMQGHGVEPDVGRLDVARGLLEWMVEVGYCPMVHTYTPIVQGYCREGLILEAVELMAEMTRDGCLPNVVTYNVLIRALCDHGKFVVVEQVLVESRTKDWKPTTVTYNTYMNGLCKKGMVKEALKQLYVMRSEGLDPTAFTLSIILNCLCHNSMISEAVSYLEGSSTASELCADVVAYNTVMSRLCDVGRWSSVLKLMTDMTKKGIDPNTRTFNILIHSLCIGGKSSIAKSLVYNQGFAANVVTYNTLIHWFYCNGKFSEAHHLFVDMSPKISPDEVTYTIMVDGLCKEGKFDKATEYFEQSYERGLWRDSLSVLIKRLVHSEKIKKVREITDRFRNVEEKDFLPDYNIFDHTIRSFCRARFCHQEKKFCELDTILDRMLGKKKT >Et_5A_042520.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1877342:1878397:-1 gene:Et_5A_042520 transcript:Et_5A_042520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTTEAGGQRQHHQTTPSLPLDIVLEIAARSDPATLIRCAATCRDVRRRVADEAFHPRLRLRRTDRFVLPLLVCKHTTSADAVQLRKFTKGSVILAYRDGLLLLRDQAQELCVCDPATGRSHMLPAEPTFPGTTQFKPHPTNYVLLVGDSEDTAGTVVGGRSFQVLNVNLELSQRRRCLQLHAFSSECNAWGRYTEIRTPNLQGSYLHRSLDKGFIIVGGAVYWLCLTNYGSYVLKLHVRAARVSVTALPETFNRDAWRLNCVMATTSVRGSLMVLVGDKDKLVPRMQSKQTLKWKQQILVATETIQQLLIDNMGPNKPPPTQVNPMWFAARSGVVLVHIAYRGRVVDSG >Et_2A_014972.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24010603:24010950:-1 gene:Et_2A_014972 transcript:Et_2A_014972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTNSACARVAASSPTPRRAASSLTASAHSPHTHAPPNCAATRATYRAVHSSPMPQHAHVATSTTSPAAASSIAAVVVGLLPPAGELRRSSVGDRLQPPAGLALCCSISRLLGM >Et_8A_056473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10572136:10572901:1 gene:Et_8A_056473 transcript:Et_8A_056473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPDLIPDERFPPPLSDDDTEGRDADQWRIFGCRHGCVLFFNRTRNEIDPLTGDHRAVAVPVELVDEVKMIWNGAVLCAAAGDRGHVHGGFSSCTFKAVLVGITSDNTQVFTGSYSWETGQWHVVSTGVPFLVYYVRDPGILVGNALYWMPLGPEHGGILEFDLDRRTLAVIEWPPGADAPEIHCQILRTEDGSLGFAILSTQSLQLWELGKEGVAEWVLWKTEKLYKILGMQSETEVRPSDARIL >Et_1B_010702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13155227:13171612:-1 gene:Et_1B_010702 transcript:Et_1B_010702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYIPVQGTEEEVRVALDQLPHDASDILDILKAEQAPLHLWLIIAREYFKQGKIEQFRQILEEGSGPEIDEYYAEVKYERIAILNSLGAFHTFLGKVERAQQKEAHFKDATQYYNRASRIDETEPSTWIGKGQLCVAKGELQMASDYFKIVLDEDGNNFPALLGQASVYFMMGETEQQHKKSLEHYRNSLDLYKRALRVYSNCPAAVRLGIAFCRYKLGQVERARQAFHRVLQLDPENVDALVALAIMDLQTNEASGIRRGMEKMKRAFEIYPYCTLALNHLANHYFFTGQHFVVEQLTETALSSSNHGLLKSQAYYNLARSYHSKGDIETAGRYYMASVKEISKPQDFVLPYIGLGQIQLKFGDYKSSLTSFEKVLEVHPENCESLKAIGHIHAKSGENEKAIETFKKVTRIDPKDHQAFVELGELLVESDWAAAMEYLKTARNLLKKAGEDVPVELLNGIGLLHFEKGELEMAEKCFKEALGDGLWVSVMDGKVGSSMINLGVQYKDQSFFHQLEEEGVPLELHSNKVTPLFNYARLLEELHDTVRASLFYRFIIFKYPDYVDAYLRLAAIAKQRNNVQQSKELIGDALKIDEKNPNALSMLGSFELQFDETWLTAKEHFRIAKEATKGDAYSLLQLGNWNYFAANRPEKKAPKFEATHREKAMELYQSVSMQKFSASTLQKTKRAVDEVRATVTELQNAIRVFSLLSVASTYHSHGFDERKIETHVEYCKHLLDAAKVHRDAAEQAEQQSKQKMEVARQIALAEETRRWAEEQRKLQLDKRREEDELKQVMQQEEHFERVKEQWKASSNTPGKRKDRSKHEDEEGGSEKRRRKGSKRRKDQKTTMQYGEEEEDEYRDEQAEDDHANLSRDNDGDNAERAPDHLLAAAGLEDSDADDDMGQPPSAIERKRRAWSESEDDEPAQRPAQPSAAR >Et_4B_036259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13405205:13405819:-1 gene:Et_4B_036259 transcript:Et_4B_036259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESAILALPRKEVAPGDPAADCAVCITELAPGEAARVLPRCGHGFHVECVDMWLRSHSTCPLCRCAVVDEEKVVGPPEADPESPVFPTNVLFFGSQDTVSTRGAAAAAAATQAPQPAAGPMAGVAAVVEAARVAALRRLLGCRGATPSPSTPQQQQQQHEGRDLEAGLGGGESSGSPPAKPQSGS >Et_2B_021087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26364814:26367168:-1 gene:Et_2B_021087 transcript:Et_2B_021087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAGGARVLLFLLVAGTVSADQIFTTSGVPFGRSSREPRYHVEFHSADSPFHPEIGQESESMTSHEGKRYTCFLPVEETKTMKSMLPQNATNVIIESERRIKPKEPDELLEVLKDQCFYRHEGWWSYEFCYHGKIRQVHVEGEKVIQEYVLGEYNDNATAAYHENSSAEFADEDHRLKDVSKRYHVHLYTNGTVCDLTDIPRETEVRFVCSEPTVLISSIKEISSCKYVVTVHSPMLCKNPLFQQEKRTLSIHCNEFPAEAESIVEDDSLPKEAQISITHDPDELHDFNAAYAT >Et_1B_011082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17341321:17342915:1 gene:Et_1B_011082 transcript:Et_1B_011082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSLAKISTLPKQQRRLLQPLNSPKHKRVAASLPTELLQEFIDAAQPEEHRKFQQVDGLITRPSDETLVVHAGEKIGNGMAGTDSIATPVVSGTTHWFKNSGDLIAFKEGRRQSFEYGRYGNPTVKVLEDKISALERAEATLVTSSGMNAIVATLLALVPPGGHVVTTTDCYSEARAFIRDRLGKMGIKSTFIDLEDMESLKAVLDQNDVILFYADSPTNPLLKCVDIRLVAELCHRKGALVCIDSTLASPINQKPLTLGADIVLHSATKYMAGHHDVIAGCVSGSEALISKVRAWHHDLGGAISPNAAYMIIRGLKTMALRVEAQNRTSLLMARLLERHPMIERVHYPGLESSPWHHIAKSQMTGYGGVVSFEVKSDLHGTMRFIDALEVPFIATSLGGCESLVQQPAVMSFWGQSDEEKAKNGIKDNLVRFSFGIEKFEDLRDDILQALEKI >Et_3B_027783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19074007:19075415:1 gene:Et_3B_027783 transcript:Et_3B_027783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGAVDDKRPPRAPATGRSSRLGVILLDQGLFTVYKRLFALCVALNAAAAGCFPYARAHAALFAMGNILALTLCRSEAVLRVVFWLAVKLLGRPWVPVVAKTGVTAVLQSLGGAHSGCGVSSLAWLVYALVQTLQRRDETPSEIVGVASAILSLLALSCLAAAFVVLTAGYVPATRSYGNLTGPALVRRQELWLAAAITFFTFLPWLTVRRVPVTVTARSTHASIITFRGGVRAGLLGRISRSPLSEWHPFGIISDDRDTHAMLAGAVGDFTRGLISDPPSHLWVRGVRFAGLPYLLNMYRSATMVATGSGICVFLSFLMQPGAAQLSLREAARARGGARHGADGASQRGRPRRGRRKEMGRGGGDRDQQPGGKQGCRQGVRQGGHPGVRAHLGFLADRSHARPDRGRSESRNPQIT >Et_7A_052308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6813761:6815714:-1 gene:Et_7A_052308 transcript:Et_7A_052308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPAAEEEELVAVLGRGKPAAAEGVLRLSAKLFRTPSGAEVASQEIPSDLTVRVGDSVLPLLCISISSAVRPVAASSKDDPTATVAELDRSAVPGGADAFEKAARYCYGANFEITPAAFLDMHEQQDARRRRVYEFLAQAGLRTCEGILPAAVALRICKQRGAVPDADEGAAGVVDGQDSGALGGVLRNGVAVSPRRRRTDTDGGGNNNGAALVESVVDVLPSSADAPLPAALNAGASAKTCRDLELRVAAIVLSALYYDNLKLRSAAADEWDHEAGKARGTTRRCVPSWRGCRRTYLSGMDAARQRGAARRGRQRSIRNTEAQKKAPLVKDAAAANALRPCSAAAIRIAATTGHSLGSAAFLRIGCSIGGHSL >Et_4A_035673.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3538259:3538516:1 gene:Et_4A_035673 transcript:Et_4A_035673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIALLVTEEFEKNVKRGAPNAPGGEAPGSGGKNFAAVEKVLSSWVESAAAAASGVRVNFAVRLREMEPKTGLGLAAFDGFFSA >Et_5A_041271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20437916:20438887:-1 gene:Et_5A_041271 transcript:Et_5A_041271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSAPMIMPVDDIAPAPPLARLSQGINAARESDGTAPTSPLAVLSHKEVAAAGNGTASVMAALFPEYEIGVDGAAPATPLAAPSMGAGATAPATPSSILEGTDDEVVSVSPLKPMTVEEDFFNCIAAAPANLAGLDLKKNKIVDLSMGSVVVQSGEQHTQQRYVMMVTTTPKKQSSCIIEEIVEEENVIPPPAAPAPAATAPRRRARKAKAPIDVSLLRRSKRIKKDLGGFRDQASVDAAGSSGVEAAASSSAPAPHLSVENAQVIGSGFLNIRPEDVSREALEATDDE >Et_9B_064367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14381765:14392760:-1 gene:Et_9B_064367 transcript:Et_9B_064367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSNAVYVQRPVANGRKSRAAASGRRSVAAAPSTRLAKACVIRSEAVSGRSQHVAPANAVADAAPITATKRVYVFGKGKSEGDKTMKQLLGGKGANLAEMASIGLSVPPGFTVSTEACEQYQIAGKSLPPGLWEEILDGLQWVEEYMGAKLGDPEKPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVAAGLAAKSGERFAYDSYRRFLDMFGNVVLDIPHSLFEEKLEHMKEAKGVKNDTDLTAADLKELVKQYQEVYIEAKGEPFPSDPKKQLELAVRAVFNSWDSPRANKYRAINQITGLKGTAVNVQTMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEYLVNAQGEDVVAGIRTPEDLDTMKDQLPQAYEELVENCKILENHYKDMQDIEFTVQENRLWMLQCRSGKRTGQGAVKIAVDMVNEGFVDRNTAIKMVEPGHLDQLLHPQFEDPSAYKDKVIATGLPASPGAAVGQIVFTAEDAEAWHAQGKDAILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCSTVRVNDAEKSVAIGETVLHEGDWLSLNGSTGEVILGKQPLAPPALSGDLGTFMSWVDEVRQLKVMANADTPEDALAARNNGAQGIGLCRTEHMFFASDERIKTVRQMIMAPNLELRQNALDRLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNIEDIVQELCAETGADQEEALARIEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAIAMTNQGVQVFPEIMELGNQVTLIRETANKVFTAAGKTIDYKIGTMIEIPRAALVADEIAEHAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLGQGILQHDPFEVLDQRGVGELIKFATERGRKTRPTLKVGICGEHGGEPSSVAFFAKAGLNYVSCSPFRVPIARLAAAQVLV >Et_1A_007584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36032498:36034580:-1 gene:Et_1A_007584 transcript:Et_1A_007584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSAQTFRRSGSSGLVWERFLTEGAEEKPDGGGGAARQPELRHSRSVGSVAMLRRRCRDDAGDVDDKKRKLFVKPKVQKDHQNQEEEMAPCRNRMAFRTRDVAPAAEPPSPRVSGCVLCAVFRRTGGGFSARRARPAKRCVISQDKLTW >Et_10A_000749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16439484:16441312:1 gene:Et_10A_000749 transcript:Et_10A_000749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLAHKPWAVRAAELLGRRRPQAMPGAIGHLTAWYILHEEKQAEGKKKQRSRSRQKKLKAYDLSALGEFLPETAAPEQKPEGKLNCKSRQALVQREAAQLKAVLNNPQFQLDPFAAIHQHLLATQPPDAVKKDDAVKHGKDSKDKKRKRKKKNASAGSQVMDI >Et_1A_007922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39458311:39460218:-1 gene:Et_1A_007922 transcript:Et_1A_007922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVMLGLDAAGKTTILYRLHMGEVLSTVPTIYVVDSLDRERIGDARQEFQTIIKDPLMANSIILVFANKQDLRGAMSPAEVSEGLGLHDLRNRIWHIQGTCALRGEGLYDGLDWLASTLKQLQDTGHATSVAGPSI >Et_3A_023036.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:19966135:19966563:1 gene:Et_3A_023036 transcript:Et_3A_023036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRAATILLLACTIIAVAPAAPAAAARGFADQGKCKCLMCVCDFDPHPLPPEMPAEHHYPPPPVVPEQHYYPPPVVVPGEYHYYPPPYGYYPYVPGQLPYPYKSAAHGHGPSRVLLAVLVVLASAALLSSSLPMRPAAYC >Et_4A_034268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31320308:31325139:1 gene:Et_4A_034268 transcript:Et_4A_034268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAVAAAAAAAASGGAILLYLLLTCRPQPRPDAEREEEQAPLLSGSGAAQGRDAGGERREEDPWPDRAPVGCCEATTVAARTARRTWELTVGRWGLHGITFGIKRHMKRQGDLQHEYSGNDCRQLKGPEACSEVSSLLEYLKLCMFFSKKTFSAFLKFGGYNQEDILIYKARARLMQPSFSLVCDKRTKSFLLFIRGAISTKERLTAATAAEVPFHHIILSDGQISNVVLGYAHCGMLVAARWIAALVIPHLQSKVQELPDFQIKVIGHSMGAGIGAILTYILRERHEFSSCTCLAFAPPACMTWELAESGKDFITSLVNRNDVVPAFSKVSSESLRSEVLVSSKLDDAQDQSLFATIRQRVAFIKSHVLSISHSTGKIADHDSSITEPLLKDATDVLQPAANGHNSDCSQHSDERVILVSKEDVIIVKSAASGFPLEEGSDNKDGLETQQQPLPANGEAVPKQNDTSTDKQKEPLSASGWRQFFPPGRTIHMVALPPPDSDPGEGTSSNEIIRIYETPRDLYGKIRLAPNMIKEHYMPSYISTMESLLEQLQKDDNSVCTPSNDL >Et_2A_015465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13308554:13312054:-1 gene:Et_2A_015465 transcript:Et_2A_015465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIVGSPGTPSGMALRVSQVVCALGSLVAMGSAFGFANYTAYLYSTFAMSLELLWSFILMCFDIHALKHNRDLHRFDNAWKYVLGDWIFGVLAFAAASAAGALDILMERDVQFCNSYPYLACSQYKISFMGVNSFAAFFMAPGVIIDSGRDAHFCRAYPGLACEQYELSVALVFMAWSFVAASSTSLFWLLASLYYLSD >Et_1A_009114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29916055:29919257:-1 gene:Et_1A_009114 transcript:Et_1A_009114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGGLNILPQKRWNVYNFDNREKVRNDEAAAAREEQLQREAERRRESDLRLAALRRNRGLVDAESAAPSAPAPAPAGAADPEEDALPPATASDGGHINLFSGGSDFAALASLEGGRGAAREREPEANSKPNPKKRKKEEEVRAVGPDEEKYRLGYGLAGKGVAAPWYMSSPASASAAKEGRGRDAGEANGGKRNGSGKKSIEELREERRKREAKEKERERALLVAAGRKERQADRGRSSSFASRDDSWKEINKRVHIQTRKNSYMRKARYIKFT >Et_6B_048932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15654546:15663842:-1 gene:Et_6B_048932 transcript:Et_6B_048932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLPCAYIFQTHKPSMDFTTTMMAFRRRPACFLLLALLFSLQLTSGLAAYRRGDVAVYWGRNKDEGSLREACDTGEYTTIIISFLVAFGHGKYTLDLSGHPVDRVGDDIDYCKGKGVLMMLSIGGPGGEYSLPSSQSAADLADYLWNAFLAGRRASVHRPFGEAQVDGVDFFIDQGATEHYDELARHIYFYNKYYRAWHITLTATPRCGFPDQRLAAALATGLFDRIHVRLYGEDRRCAQTPRESWEKWEKWAAAHTESRVLVGVVASPETDAAAYMSPRDLRTRVLQFAEKQASYGGIMIWNRYYDKKTNYSGGL >Et_4B_039974.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:757436:759679:-1 gene:Et_4B_039974 transcript:Et_4B_039974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQRSLLALLSLAFLAVACAQERKNYIVHLEPRDDGSTDSVEEWHRSFLPEATLDSAGDDGPRIIHSYTHVLNGFAARLSDAEAEALRNKAGCVRLHPEEFLPLATTHSPGYLGLTLGKDGFWSRAGFGRGVVIGLLDTGILPSHPSFGDAGLPPPPKKWKGTCEFKAIAGGGCNNKVIGARAFGSAAINNTAPPVDDAGHGTHTASTAAGNFVQNADVRGNAHGTASGMAPHAHLAIYKVCTRSRCSIMDIVAGLDAAVKDGVDVLSFSIGASPGAQFNYDLVATATFKAMEHGIFVSAAAGNDGPVAGGIGNGAPWMLTVAAGTMDRAIRTTVKLGNGQVFDGESLFQPRNNTAGHQLPLVFPGRNGDPDARGCSSLVEEEVRGKVVLCESRSITEHVEQGQTVAAYGGAGMILMNKAAEGYTTFADAHVLPASHVSYAAGSKIAAYIKSTPKPTATITFRGTVMGSSPAPSVAFFSSRGPNKASPGILKPDITGPGMNILAAWAPSELHPQFADDVSLSFFMESGTSMSTPHLSGIAAIVKSMHPTWSPAAIKSAIMTSSNTADHAGVPIKDEQYRSASFYAMGAGYVNPSRAVDPGLVYDLSINEYVSYLCGLGLGDDGVKDITGRRNPCAKVKVITEAELNYPSLVVKLLSHPITVHRTVTNVGKANSVYTAVVDMPKEVSAIVQPPMLHFTKVNEKQSFTVTVRWNGQPAVAGAEGNLKWVSNVHVVRSPIVIPPANAVA >Et_4B_039902.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:5584856:5586511:-1 gene:Et_4B_039902 transcript:Et_4B_039902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFWLLLLLLVVSASWVLRTWRDQSLRGKKAVTTYPPGLRPYPVIGHLPQFLANRHRLLDWMTEAVASHPTCTFVLRRPGGVRGVITASPANVEHLLRGGFDNYPKGPRFASLLHDFLGRGVFNADGAAWRAQRKVASHEFNTRSLRAFVARCVHGELHGRLLPRLRRAASSIDLQDVLERFAFDNICRVAFDHDPRQLPEDDAGLVDSNDDSAAGSRFADAFRDAANISAGRFRYAVPGFWMIKKALNVGSERRLRESIAIVHDFADRIIRERREEMSTASAAGGGREKHDLLSRFMASEDEAFAESGVPVRDVVISFLLAGRETTSSALTWFFWLLSSRPDVARRVRDEVAATRARRPQHGDQRDAVGFDLDELRGMHYVHAAITESMRLYPPVPVNSLRAEADDVLPDGTPVRAGWFAAYNSYAMGRMESVWGADAREFRPERWLAVADETTAAGEGGGGGGAFFRPDSPFRFVAFHAGPRVCLGKEMAYIQMKSIVACVLEELNVEVDGAYRPRQSLSLTLRMQDGLPVTVKPRRDSSITDDRRVF >Et_10A_000721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1589761:1590417:-1 gene:Et_10A_000721 transcript:Et_10A_000721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRKPCMARTGDSPPWRTKILHMSLCFRKPEQCCRQHSHCLWRCKFHVRSRGRMHGVPDSRRQGSAVSCRVLGHPRGDHAQNGRQEDEALRRRRFYSGGEATVPSVRYVPPSSARAVAALGHDVELGPGVAGIDEAVLAAYPTLVFSWCLVCQASSSPARSSEERGCS >Et_4A_033492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23852669:23858260:1 gene:Et_4A_033492 transcript:Et_4A_033492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGNLHLSGGADGAAPRRWEPPRPAISAKQFRRLVRSRSLAVARLAGAPPPPPHPDSPPPASPSSREEAAEEEQGQQLGEGEQHGQPQQQPPEEGEEGEVLQEQQQQVEEVEEHEEGEVEDADVIDAGEVVVEADGNGDAEEGQGESEGVDPNQEVSSPDGIEEKKRKLNEKLDILNKKKHDLVQMLKQVLNAEEEIRRRNMQASLRAVMPQPLEHATDGSSVSRLAPRMTVDVNFGDIAVDSDAGSNQGTPGRPLHHLHSISPSTASFARSPFGSLHHHSGHTPRSPATFSTASPSRFAANAPHGQPPGLNSASMPGGNYVASSPSPAASGGSSSVFRDSRPPNSIYATSKVCPL >Et_4A_033135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1950483:1950910:1 gene:Et_4A_033135 transcript:Et_4A_033135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFETRRNQEQLLQLRFCVFKTATKYPIHQHNRATVVTVPRELCVLHKERVLEQHCGVNNGKLEAKYDPLLHKLELLRAEVFTLAICTLQQVTSYWLKRNIIE >Et_9B_064265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13403526:13407436:-1 gene:Et_9B_064265 transcript:Et_9B_064265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCIFLLSDSGEVMVEKQMTAHRVDRAICGWFWDYVVANAAGDPSKVVVSPTHYLFQIYRNGVTFLACTQVEMPPLMAIEFLSRVADVLTDYLGDLNEDIIKDNFVIVYQILDEMMDNGFPLTTEPNILKEMIAPPNIVNKMMNVVTGKSTTIGSKLPDATASFVPWRKTVVKDASNEVYVNIVEELDACINREGSLVKCEAYGEIQVNCSLPGVPELTMSFANPAIINDFTFHPCIRFRPWESNQILSFVPPDGQFKLMIDILADKTCLWTIGQIPKDKAPCLTGNLRLEEGLAHLHTLPTFQVKFRIMGVALSGLQIDKLEVKNTPSAPYKGFRAQTQAGKYEIRS >Et_2B_019496.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:8004357:8004368:1 gene:Et_2B_019496 transcript:Et_2B_019496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEG >Et_3A_027102.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3317330:3317749:1 gene:Et_3A_027102 transcript:Et_3A_027102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLRAAVLVVVLVAVISELAAPASAMKAGGDLGHLGAAIVMRRGRGRGGRMCRGTVAECAASEFGVDAEAEGDVAGMATGGSKRRVLQGGSGYIGYDALRRDSVPCSQRGASYYNCQPGAEANPYTRGCSAITQCRS >Et_7A_050931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12551113:12551669:-1 gene:Et_7A_050931 transcript:Et_7A_050931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPSLCEFIEYIDTEQTPEDIAHVYSIVERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAERKQREELITHQKGD >Et_5A_042230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7835705:7837603:-1 gene:Et_5A_042230 transcript:Et_5A_042230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDEEAPVKNIADVPVVGFEPVASFVWREVVDSGDVGKGAGGRSIRVGRLSRVEALGGQIAEKSEEERRVAAAVAAEEGSVMRRRKTTHVAEARVRSKGQAIQTKISSRRSSVRAGSLRRRDDIVDNGGTCGEYCLASKGLLHPLLHQTEIHFVAAHDITGCPYEFSTPKNVLFRMPCPELTDHKFTISGG >Et_3A_025710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32073571:32077630:1 gene:Et_3A_025710 transcript:Et_3A_025710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRLARKLPSLISKHQRLISPETEAPELIEPPSPSTSIPLDSSLPVLPLAVSHLSPPSPLPSLPSAHASSPASLLRLLRRARHHPRLAPLDLHLLRAAADASPAFRPDHGLTSLLAARLAASRRLPSLRRLLELVLSRPCPCADDSIFACPELLPTFRKAIVAFAGSGDIPAASEALASLHRVADSPLPAEYYNIILHALARLRRHDDAIRFYGEMTFVHRVAPDAYTFNILINSSCNAEGVDTAMRWFGEMQRRSCAPTGVSFNTLMHGFFREGRFKEGTKVAREMLELGVGLSVASLEILIGGLCRGGEAMMAAEVFVEFLEDGVVPEGFDTLELIEALCRAGWMHKAVEVVDMILEKTKACCLSVPAGVTVLDCLMKARMLDELCLLMGRMVDQGIVPDTISCNCIFEALCEAGRTSDANRLRLLAKEKGFDADSVTYIMLVQGFGRQGERKEGEALLNEMLDSGFIPNIASYNRLLDSLHRGRSSRDVSTRLKECHWVIETKWIMEEVCDEPADCMWRCSASAWTELEGLEGIVMRALRSAPPGGGSSQSAQLGRTQYLKGNQRPKSSCTWNLAASFTSTSPPLAVALRHASAFSGDNLTSLTTRCTSRSSVSPGLASIGGHTACVPIMTPPK >Et_7A_051067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14051836:14055163:-1 gene:Et_7A_051067 transcript:Et_7A_051067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKTLKTVILAVLRIKSICLKHRHSMHPNTKESGSDTLRNLQHYLGSRNPRGRPTTNLNLNIPARSEGDDTEHAQGSSSKKPRPPGRKQSKEKLKRGEGDDDEYKDMMKSLIDLKAKEMKRREDVDKRKIELKERRLQWKQEEKIMFSDVSKLDEPTRAYVMARRVEMARMVALRVSLGESGSESGGSREESGGEGEDPNLDGDLNQSDGDLVGRGGERTPGRLELDGAPASPRREESAGDAGQHATEAGTRRAAHTGSSGGRWRGTAEEKHEARRRRAAPAGSDAMGRRRRREAD >Et_4B_039056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7457964:7462062:1 gene:Et_4B_039056 transcript:Et_4B_039056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQADNNDVAAPLLQGEDAAEAEWNSRPRRIALFIEPSPFAYISGYKNRFQNFIKHLREMGDEVLVVTTHKGAPEEFHGAKVIGSWSFPCPLYQNVPLSLALSPRIFSAVTKFKPDIIHATSPGIMIFGALAIAKMISVPIVMSYHTHLPAYIPRYNLNWLLEPTWSLIRCLHRAADLTLVPSVAIAEDFETAKVVSANRVRLWNKGVDSESFHPKFRSHEMRMKLSGGEPEKPLIIHVGRFGREKNLDFLKRVMERLPGARIAFVGDGPYRAELEKMFTGMPAVFTGMLQGNELAQAYASGDVFAMPSESETLGQVVLESMASGVPVVAAQKPLGRLLGKRWRSATGEQPQRKYAMSTTVLQCGTGGRRWEELTRFHRSTGEFHCRTLQLVTINNQKKNCTLYPTACACVTSCILTSTVMNDRLTSDHLYALCVN >Et_2B_021903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6736707:6739880:-1 gene:Et_2B_021903 transcript:Et_2B_021903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LPLPHRDANNNKSVLPSSHAPIPPASPSFTSLFSLPFLPFPASFRSLLSTTPPNLRPENPGPRAPAAMRWDAPDAEGALERIWDLHDRLSDAILAASRAHLLLPPPPTPAPSAGAQSDCVGRNGCVFVKGGGNRSAVDAVEAVAEARSLHAIRSALEDLEDHLEFLHTVQSQQRAERDAAIARLEQSRLVLAMRLSDHQGKQYRVIDEALEFVGDVSDKSRFISPEDVRATHSRSEDNAEENRGNGSRIMANVLSCSLSLAKNSFQLDKIGGALGNAAVFAVSMLAFLQLHQTALGSRTPALEYRKRMEDSVQSGTWQKNGKGKLIESQKVLLLDVLERCVQEKRKRLLSADVEKSATSFAAK >Et_9A_062609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24395187:24401651:-1 gene:Et_9A_062609 transcript:Et_9A_062609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRYTEQEEALEINSLRRIIAAYANYQDAAERDVKRYERSFKRLPPAHKELLFHLGLKYQRLRWCISMNAAFIMNMLEAFEPPFDMSRYVDDDGHDYPLNMHGHNHADCAHSNERDDCSTISITRSNSPLHEQHDSPKGDAKTCESSMETGRKKDEEDHVPGCFKPAVNKLGTSQGTCNSDKDASAAAYSEVKDCSASCAVENVTPRTASLFKLNVPPIDVDKVRCIVRNIVRDWAQEGQKERDECYKPILEELNRLFPNRSKQRPPSCLVPGAGLGRLALEISSLGFVSQGNEFSYYMLICSSFILNHTQEANEWTIYPWIHSNCNSLSDNDQLRPVKFPDIHPSSAGITEGFSMCAGDFVEVYNEESQESAWDAVVTCFFLDTAHNIVEYIEIISKVLKDGGVWINLGPLLYHFADSYGPDDDMSIELSLEDVKKVAYHYGFVMEVENMIETTYTANMRAMMQV >Et_5B_045574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4761862:4765295:1 gene:Et_5B_045574 transcript:Et_5B_045574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLLGFLVLLLFAGEVVVTIRAQNGNGSDKDDLVELKRFLQEHNKVNRGAYDSWPESDASPCGWHGVKCDAAGRVASLDLSGASISGPAFGNFSRLPALSWLDLSGNTLSGAGDIGQCRGLVHLNLSRNLISGPLDLAGLTRLRTLDVSGNRLEGGVAANFPAICADLAVLNVSTNRLTGNITGMLDGCGRLEYVDLSSNNFTGELWPGIARFREFSGAENKLTGSVPPATFTDGCKLESLDLSSNQLAGEFPDSIAKCANLTYLSLWGNKFTGMIPAGMGELAVIQTLILGKNEFDRRIAPELTNCSRLQFLDISSNMFGGDVQDIFGRFQSLRYLVLHHNNYTGGIVTSGVLRLPQLARLDLSFNDFSGELPTEVSDMKSLKYLMLAYNSFSGEIPPAYGRLGELQALDLSYNKLTGGIPASVGNLTSLLWLMLAGNQLSGEIPAEIGNCTSLLWLNLANNRLTGKIPPEMAAIGRDPGPTFAKNRNDPSVLAGSGECQAMKRWIPASYPPFSFVYSVMTRENCRSIWDRILKGYGIVPICTNSSSPVRSDTISGYVQLSGNRLSGEIPPQMGAMRNLSLLHLDGNRLTGRLPPEIGQLPLVVLNVSRNSISGPIPSEIGRILCLEMLDLSYNNFSGELPASLSQLTELNRFNVSYNPLLSGNVPTSGQFGTFDEQSFLGDPLISLRPGTGKQPPPEAADVPAVVKRGMSPRTIAVWFLFSLLVAFIAGAALFFFSNLRARFPVDQDPDPESFSWENHKCDSGKCALQMSTSSPPSGTTSSSATACSSSNSTEAGVKVFRLGKTAFTYRDIVAATGNFSDDLVIGRGGYGVVYRGVLPDGRAVAVKKLARLRDCDGEREFRAEMEALEGKSAWPHPNLVALYGWCLSGSAKVLVYEYLDGGNLESLIGDTAAFGWGARLDAALGVARALVFLHHECAPAVVHRDVKASNVLLDREGRAKVTDFGLARVVRPGDTHVSTVVAGTVGYVAPEYGQTWRATTKGDVYSYGVLLMELATGRRAVDGGEEECLVDWARRMAKEGWRPTEEEAAANPVSWELLALGMRCTADAPQERPDMPDVLAALLDIAANCRYRDGAQLEFT >Et_2A_015113.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:30326277:30327401:1 gene:Et_2A_015113 transcript:Et_2A_015113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQQALDAGETVGEEAVEMIPGLPEEVAEKCLLHLPFLYHRLFRTVSSTWNRFLTDSLAKPLLFPAASGMTAASASFSLPFLFAFAFDPVSRRLQCQALDPFSGRWLLLPPVPGGAAAGSFAVVGLPRRGEIYVIGGVEEGGDKEVRSVAVYSAARNGWEQAAAMRTPRGYMAAGEVGGRVVVAGEDGEAEVFDPEQGRWAPAAARHGAAVARYDAAAAGGKLYVTEGWTWPFERAPRGAVYDAAADTWAEMARGMREGWTGSCAVAGGRMYIVAEYGEWRLKRYHEARDEWRMVAGSGVPPEVRRPHVVAGEVGELAGGRRRIYVVGAGLDVAVGTVSGVHGGEEEMVDWEVVKGPTEFAGLAPCNAQVLYA >Et_9B_065367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4298023:4300384:1 gene:Et_9B_065367 transcript:Et_9B_065367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSASVPSSPRSYKTCIEKELQSLKVIISSASATVETMCHGFIKLGSIYRAIDELICLPSNQHQQRKAVEEELEGSLVLLDLCNSMQENFTELKEIIMEAQAVLKRGDDASVQAKIQSYTRLAKKALKQIKKISSKSTSDIEGCRAVKLLSEAREITLLMLESTFDLLSKQIAMPTSSKWSLVSKAFQKKRVVCEEEQLQVLELNIVDLELGVETLFRRMIQSRVSLLNTLSLFEYLSLYIEPRQGQKLFQLPPIPLTAKGASKLSFHSIPSMAYLLRSASMPPTHHSNEASIEEQLHGLKANVSMPCTTISVPSVIIKARVVVRPSSRESIDIDIITCLPQ >Et_2B_021072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26246701:26249131:1 gene:Et_2B_021072 transcript:Et_2B_021072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSCDGEWRGPRTRPWLRAKKFSWTAHSCSKILNATLHASSPTSNALTQCRHNSGLTVRCSAATHHQHNFKPPQFEAIGTEVTKKLKAFYQFCRPHTIIGTIIGITSVSLLPMKSLDDLSFTVLRGFLEALASALCMNIYVVGLNQLFDVQIDKINKPTLPLASGEFSLATGVLLVVAFLIMSISIGMKSKSAPLMCALLVSFLLGSAYSIDAPLLRWKRQAFLAAFCILFVRAILVQLAFFTHMQQHVLKRPLIPTRSVVFATFFMCCFSAVIALFKDIPDVDGDRDFGIQSLSVRLGQQRVYRLCVNILMTAYGAAVLVGASSSNLYQKIVVVLGHGLLAFALWQRAQKFDIADKACITPFYMFIWKLFYAEYFLIPFVQ >Et_3A_027318.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7458445:7459161:-1 gene:Et_3A_027318 transcript:Et_3A_027318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILSKGRQQEDEEPLQLKQGSKVFSKLFSRESSAAAPSFRVYYGVASAGSVPFLWESQPGTPKNDAFSAAALPPLTPPPSYYTKQQATHHHKPAAAAGAGGGAVAGGKKQCKHGFFNAILPKIILHRRSRSSGSPSSACSSSSSAFSSSSSGSSASSLSFRSAQSPASSSMRSRVFAFSSGDSSEEEQAPATCFSVRHESFRALKSCRVATTVRTALASVGGHHGTTAAAPAAQRV >Et_4B_036728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1152224:1154123:-1 gene:Et_4B_036728 transcript:Et_4B_036728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSWAFMNLGSDWSFESNSDSSTPVPDQLVFPLIICTPIYGTYEEEEEVEDDRLSALPNDILLNIVERLRLRFALQTSTLSRRWRRLALLLPHLVLDISDFMKQEERVMASYTEAAERLLANRAVKIKVLHLTFYLADLSYLRSIGQAVADNAEAAVVDLKLLPEVPSRERTLEQTTRYGQRFMSFLDALPRRIQMPHKPYPAWPADVPCILSACSHSLRELRLNSCGDSERGSRYVLRVDEAQRLVTLKIVQCYFSRVDIIRAPRLEQLFIHTWLGGSSPPLKLGHVPRLQYIWLASALMAFQEPFALSACMLSAANATNNLSTLCLNFRDEMSGDEMMMQIWIRPEDPKLLRPMLSNLKDLYLHDIFPGCDLTWTLLFLEAAPSINSFSLRISWHTCERNRHIFHNAPAEKTSVPGEGRSFKHHNLESLEIAGFIADEPLISYTRLVMERAVNLKRIRLQGRKNCKNCEKRISDDLIRTIRRRTFPRNEDDKRLVREQLARQKKMDCFAKESLGP >Et_6B_049842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14763270:14766599:-1 gene:Et_6B_049842 transcript:Et_6B_049842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNKEYTYAELEKEPYWPFEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTTGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETVVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTGRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKQLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYFWIREQLEKEKAEGVDLSAYGSSKVVGTQAPVQLGSLRAADGKE >Et_1A_007529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35632627:35635382:1 gene:Et_1A_007529 transcript:Et_1A_007529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRSKARVSRDADSDGGEEEGAAAAAPAATGSRSLYEVKRLQTLDGITVGRGAGGCVRGGDCFAVFSAGLESSVRDIGLKGKILGVEKTASQQEIKKAYYKLALRLHPDKNPGDEEANEKFQQLQKVISILGDAEKRALYDETGIADDDALVGEAADNLQEYFRTVYKKVTEADIEEFEAKYRGSDSEKKDLKDLYTKFKGNMDRLFCSMICSDPKLDSHRFKDIIDEAIAEGELKSTKAYTKWGKKISEMEPPTNPLERRVKKKKKSGEDDLILAISQRRAERKDKFNSILSSIMSKCDPNASSSEPTEEEFEKARQRLESKRAKSRK >Et_10B_002686.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:7952602:7952694:-1 gene:Et_10B_002686 transcript:Et_10B_002686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLPAAGLSLYCLIRSLTKGKESFYLLAY >Et_1B_009758.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:24830511:24831376:-1 gene:Et_1B_009758 transcript:Et_1B_009758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GARRRRAPPAPRPPHGGRPAWGPMPVT >Et_7B_053249.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:14872177:14873853:1 gene:Et_7B_053249 transcript:Et_7B_053249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RAAEPNTCIPPNTGRFPPLSHDTRTLPTHHCHPPASPPAMASSSSAVLVSIALALSLSLSLAAASVPATPTQTTTTVRAGYYLAAAARLRPLASLDASLYTHLYYSALAVHPNKRSPVLPADADQARVLATFSRQLKSRNRALRTLLSVGAADIVAGVVPGGAASASATQRTDPAFAAMAADPASRAAFVAAAVALAKANGFDGLDVAWRFPASAVEMADFGFLVAEWRAAAPPGFLLTATVYFTDHVFDAPLPGVDYPAEAVARGLDWVNVAAFGLRPPSPLAGAAFTTAFDAPLYDAASHFSASYGVVSWVDAGVPAAKLVVGLPLYGRSWFLRNKANAGVGAAVVAAGPKQRGTNATGVMSYDEVQRLAAAGRAVTTNYDNASVASYLSVGDVWVAFDGAAVVAEKLAFAARRGLLGYFLWPVNYDDANLTVSRTGRSVQLLTLQFDFFTTVPLMLTCIALCIAASEVWMQNQISSSSRRNETGVRQTQAPVRVPPALQSPARTPGPMPAPISGSSSCLPWRNLDLFLHLGLLIILVWC >Et_2B_022854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6826924:6828333:1 gene:Et_2B_022854 transcript:Et_2B_022854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKLQVVTPAAATLPALAVLAFVFLFTARRPHPSSLDAYRSAVSLPSSAPGGRAASAAAAARVPKGCDIFRGEWVPDADDGAGPYYTNLTCPHIQEHQNCMKYGRPDRGFLRWRWRPDGCELPRFDAEAFLDVVRGRSLAFVGDSLARNHMQSLMCLLSKVEHPKDISATATSQFRRMRYESYNFTLAIFWSPFLVKANQSATGRRLWNIYLDEPDEAWTSDIAGFDYVVLSAANWFTRPSVFYERGRVAACHYCLLPGVPDRTLRYSLRMAFRAALRALASGEIGFNGTLILRTLSPTSHFEGGEWDKGGDCRRTRPFAANETRMAGLDLDFHTAQVEEFVRAKAEAVAAGSSARLLLMDTTAAMLMRPDGHPGRYGHWPEEKVTLYNDCVHWCLPGPIDAWNEMLLQMMLPDPV >Et_5A_042670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25025338:25025931:1 gene:Et_5A_042670 transcript:Et_5A_042670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQVQLFATVAVVVAVACFPALQAAAVEWTVGDDGGWRPRFNETFWSDAKDFRVGDTLLFKYPVGQHTVVEVHSVDFRHCNLQGNRLGAWSSGNDVVALDKPGWRWLICDKPNHCNQGMKMAINILEDARAPAPTTPPPPPPQSSAPVVGYTTGWVEE >Et_3A_023999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15980790:15985457:-1 gene:Et_3A_023999 transcript:Et_3A_023999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSGAAAAPSPGDLVTTQVSLGGFDANVSARELADFLEFEAGQVWRCRVKTSWTPPESHPDFLFPVEAAASQPIAPPKYDPVPPHAFVHFARPEGARRAADAAGRSELLLARKPLRAASTPESSLRAARRRHTPPFRFPGSRLEIGELPARDAFLAAWRGPASGLEFSVDPFDGYCRFVFPRDTAFAFQGFHGVVVMRCDVKLEFAVRDVAEVRKFKTDCSLLLRLWAAPMVYYRTADDDIYESVPFDLLDDDDPWIRTTDITPSGAIGRCGVYRVSFSPRFWPKMEQALAYMRERGVKIVDCGGGWGVRRGLTVGDEPEFGDRMQDLFFCVQHAEGIQFPVLFLVNALVHKGIINQHQLTPEFYGLLRTREDDVNVAALREFWGHKSHVFDACNKLNRLQDRVARNPKLLRNKIGSENTEVRRLVITPTKAYCVPPEVERSNRVIRHYHEVADRFLRVTFMDEGAQVLNSNVLNYLATPIVKDMMTHMASQKTTVYKRVRTFLTDGFHMCGRKYSFLAFSSNQLRDRSAWFFAEDRNTTVESIRKWMGRFTSKNVAKHAARMGQCFSSTYATVMMKPNEVNECLEEVERNGYVFSDGIGKITPDLAMEVAKALPLTDTTPSAYQIRYAGFKGVIAVWEGENDGIRLSLRPSMHKFESTHTVLEVISWTKFLPGFLNRQIITLLSSLGVKDAIFWQMQEAMLSNLNNILSDTDVAFDVVTTSCPEQGNTAALMLSAGFAPGSEPHLKSMLLAIRSSQLQGLLERTRIFVPKGRWLMGCLDELGILEQGQCFIRASAPSLSNCFVKHGPRFSSVDKNTETIVGTVAMAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPKKGERPHANEASGSDLDGDLYFVTWDENLIPPDKKSWNPMDYAPGEAKQLPRAVSQHDIVDFFLKNMVNEKLGPISNAHVVHADISEYGAMDEKCIQLAELAATAVDFPKTGKIVSMPQSLRPKLYPDFMGKDDAISYKSEKILGRLYRSIKEASSGDAVPEEMSTLNDLPYDYDMEVNGSSDFLSSAWQCKCSYEAQLNALLSQYGVRTEAELVTDHISSLPKYNSRKQGDIKDRLKNAYSALRKEFRSIFESIAGDPNDMSDDEKNLIYEMKASAWYQVTYDSKWVQQTREVLGPDCEGMPPRLSFAWIAVDYLVRIKIRCRGDVKVNSRRPVEKLAAYISGSM >Et_2B_019957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15599550:15600355:1 gene:Et_2B_019957 transcript:Et_2B_019957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HVAYHFSDFPSVDWPYPRHDRPGRGLRTSPPTRAASTSASGSGPAKVAAAEYAGGQRGRALAVGRQRLGVRRSVTVEASVQRLTTKNMCLMYEAAWPTSRRSRPTEAGRDAFRRQVLNCMDFSDMDDADAAALVKGKRVVVVGVFGKSGFDIAERAKANGRSTSVEHPCTMICRSPARDGWCKDTDDVWGKVNPPLPWVHLYVNRFAELIHGPENRRRGWRRTFWLHCCPD >Et_4A_033594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24820909:24822936:-1 gene:Et_4A_033594 transcript:Et_4A_033594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIQLTKEYGYVVLVLVAYAFLNFWMGIQVSKARGKYKVFYPTMYAIESENKDAKLFNCVQRGHQNSLEMMPMFFVMLLLGGLQHPTIAAGLGALYTVARFFYFKGYSTGIPDNRLKIGRLNFMAVFGLILCTASFGINLVIRETI >Et_8A_056311.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:21791415:21791495:1 gene:Et_8A_056311 transcript:Et_8A_056311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRAIEEESIEWRMAGARRLREIMP >Et_8A_056711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15738247:15742223:1 gene:Et_8A_056711 transcript:Et_8A_056711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPPTVRARIWESVGSGVGRRGAAQAHRGKAAEEARRRRRAPGGRRGTGLAGVTVAGLAGSRSDEGGGEGTRARASPARAAARRRGRARRGAGAGRGAWGLAGEAEEAGEDGGVESGGVRGGRRDEEQNGSDKGNQPRRPIQAALQTEFPEDMGCNKDNAIRSKEIAETKLRENDVVGAKKFALKAKALFQTLEGMAQMILALDVLIRGQTKIGEQNDWYGILEVSPLSEEEAIKKQYKKLALQTHPDKNSSICAQGAFNLISDAWSVLSHTTKRMVYNQRRPMWTSQVHQSNYNANVNSTSGSSMSSVNGLWCHNVLDTFWTYCGSCYLNFQYSREYANRYLKCTVCQAVFVAAEVPHPSSHVYYPNGPKPMATRNNDIGGTTVSGIATPGIQVEVAPGNQNCDPTVLQQWNFLKSAAYYAHSTGYIVQQTHESAKKQKPGEADTTANEGANIRRKVTQAASKHEHEGSSIRRATAETREHKTEKRRRVNDGKQASWQTASSCPDGDLCKPMHSPIEQTVAHKHGCLNPEPSSNEGTSEIFLEKGNSLPPLRFRT >Et_7B_055935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7665652:7669816:1 gene:Et_7B_055935 transcript:Et_7B_055935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATDHDAAGSNGAEYGVEMTEASELRRRGKPGDRPGLDDGGAADAAGGGEDEAASVERAFAGTAVPSWREQLTVRAFVVSFFLAVMFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRIWTKAIESVGWLKQPFTRQENTVIQTCVVAAYGLAFSGGFGHYILAMSSRIASQSTEANDAQNIKDPHLGWMIGFLFVVSFIGLFGVVALRKMMIIDYKLTYPSGTATAYLINGFHTPHGAKLARKQVKKLGRYFVLSFVWGFFQWFYTATDECGFIQFPSLGLQAFKNTFYFDFSPTYVGVGMICSHIVNVSVLLGAILSWGIMWPLIEKKKGVWFDASLSDKSLHGMQGYRVFIAIALILGDGLYNFLKSFLLTVASIRSQLKKKNASTLPVSDDGIVTSTAAISYDEQRRNELFLKDQIPWYIALGGYVVIAAISIGTVPQIFPQLKWYHILVAYIFAPVLAFCNAYGCGLTDWSLVSTYGKLAIFIFGAWVGASHGGILAGLAACGVMMNIVGTAADLMQDFKTGYLTLASPRSMFVSQVIGTAMGCVIAPCVFWLFYKAFDNIGISGSEYPAPNALVFRSMAILGVDGFSSLPKNCLTLCYVFFAAAIVINLIRDLVPKKVSRFIPIPMAMAIPFYIGAYFAIDMFIGTVILFVWQRLDRANSEAFAPAVASGLICGDGIWVLPQSVLALAKVKPPICMKFLSRSTNSQVDDFLKTIS >Et_8B_060811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:806990:810678:-1 gene:Et_8B_060811 transcript:Et_8B_060811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRARLAAAALVAVAVAALAAGAAAKYELTKNGTVITYDRRSLMIDGRRELFFSGSIHYPRSPPDQWPDLIAKAKEGGLNVIETYVFWNIHEPEKDVYNFEGRYDVVKFFKLIQDNDMYAMVRIGPFVQAEWNHGGLPYWLREVPDIIFRTNNEPFKMHMEKFVNLIVQKLKDANLFASQGGPIILSQIENEYQHLEVAFKEEGSKYIDWAAKMAVKTNTGVPWIMCKQTKAPGEVIPTCNGRNCGDTWPGPLNKTKPLLWTENWTAQYRVFGDPPSQRSAEDVAFAVARFFSVGGTMTNYYMYHGGTNYGRTGASFVMPRYYDEAPLDEFGLYKEPKWGHLRDLHQALRLCKKALLWGNPTTQPLANNVEARVFEMPEQKVCVAFLSNHHTKDDTTVTFRGQQYFVPRHSISILPDCKTVVYSSQHVNAQHNQRTFHFADQTVQKNVWEMFNEEQIPKYKQAGVRTPRPQEMYNLTKDKTDYLWYTTSFRLEADDLPFRRDIKPVLEVASHGHATVAFVNDAFVGCGHGTKMEKAFLLQKPMELRKGVNHIAILSSTLGMQDSGAYLEKRQAGVNLVQVQGLNAGTLDLTGNGWGHIVGLVGEQKQIYSEKLGMGKVSWKPAVPDRPLTWYKRRFDMPSGDDPVVLDMSPMGKGILFVNGEGLGRYWISYKHALGRPSQHLYHVPRAFLRDKDNVLVLFEEEGGKPDAVMILTVKRDNICTFISEKNPAHIRSWERQDSQLTAVAGGDLQPRAVLACPPKKAIQQVVFASFGNPLGICGNYTLGSCHAPRAQEVVEKACVGKRTCTLPVSHDAYGADPNCPGTTATLAVQAKCSKRQPSAAQ >Et_8B_060165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6626555:6632404:-1 gene:Et_8B_060165 transcript:Et_8B_060165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMIMEKISSGEEVGGAGGAYSYSALKRLDQIWSSIREEQSDSNIPEVVTRVQGPLVDYDRGAGSDIFDVLVCGGTLGIFIATALSSKGLRVGIVERNKIKGREQEWNISRKELMEIVEIGILSEAEVEQIISSDFNPNRCGFENKGEIWVEDILHLGISPAKLVEIMRERFISSGGRIFEGKSLSSISVHDDLAVLKLSDGDSLSCRLVVDAMGNFSPIVRQIRSGRKPDGVCLVVGALARGFAKNTTSDVIFSSSSVKKAGNSGVQLFWEAFPAGSGPTDRTTYMFTYVDPKFGGPKLEELLELFWDLMPSYQAVSLEDLDVGDASGIQSPVSFGGFGRIHEAVEGDFLDVHSLRLLNPYMPNLSASWLFQRAMSVRPQISVSPTFVNELLFANFQSMQDVIQFGPLVKTLGLVMLNRPQILPSIFKQVGLGVILDWSGHFLMLGYYTFLCTFIDPAVRSWVESLPPRDKFKWRRYLEAWRYGAGLDYRQGE >Et_1A_008813.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14471446:14471709:1 gene:Et_1A_008813 transcript:Et_1A_008813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFHIEHLSPIRSPALVSSTCSVKSAGSSSSPQAPGLPLTNDTMGMVYLGGDQLGAAAAAGARPPSRLLRAVAIAAVTLALVGALH >Et_8B_059179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14920881:14922276:-1 gene:Et_8B_059179 transcript:Et_8B_059179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKETLSFLAFLLVVLLHGAAANNNLTGANIIDRCWRRQRDWAANRQRLAACSVGFAGKMRQNRGPGVTAYTVTDPGDDPVRPRPGTLRYGATLLRGKVWITFARGMHIRLAQPLFVRSFTAIDGRGADVHVAGGAGIVLDRVTDVIVHGIHMHGVRAQPPGDVAVPGGGVELKAGADGDAIRLVASARVWIDHNTLSRCEDGLVDVTVGSTDVTISNNWFHDHDKVMLLGHDDGHADDRRMRVTVAFNRFGPNVNQRMPRIRHGYAHVVNNFYDGWRDYAIGGSMGPSVKSQGNLFIASGADNKKFNVGKDG >Et_2A_018367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24622330:24623516:1 gene:Et_2A_018367 transcript:Et_2A_018367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSQRQSRGRRKRKSQSSTAAQSVTTIASLSDDNLAEILIRLPSPASLGRVALACPRWLRLATSRDFLRRSSDASPAATKDSPSSAASVTSHCRDGLFLLASDDDELALFDPVSRRRVRIPLAPRDVRGSTHSVNLLPPCVGDASSFRVVSLDKYGLWENGNVRAHVYSSRTGEWRSHQWAPPPRCESLHSVSFSSPMHADGRIYWKQPRLEINSMLLSLNAETMELSYVEVPPASALTTNTNGRYYSYVVGDTEDGVCCLVTVPTIHHKNHALRVWLRNEENGSWKPWSGLQSFESLFSCHDTGSKLSCRVDACAVVAGVVLLHFYEHGKCVHHRYIALRIKGKHTSPVADFEVEDKFYSGHASTAFPYLMTWPRPAL >Et_2A_015506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1448176:1450498:-1 gene:Et_2A_015506 transcript:Et_2A_015506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAFFVFCGCVDQASVAVVEKWGRFVRLAEPGLHFFNPFAGECIAGTLTTRVQSLDVRVETKTKDNVFVQLICTIQYRVVKEHADDAFYELQNPQQQIQAYVFDVVRALVPRINLDDLFEQKNDVAKSVLEELEKDMADYGYSIEHILMVDIIPDAAVRKAMNDINAAQRLQLASVYKGEAEKVLLVKKAEAEAEAKYLSGVGIAKQRQAITDGLRENILNFSHSVSGTSAKEVMDLIMVTQYFDTIKELGDGSKNTTVFIPHGPGHVKDISEQIRNGMMQASSSNV >Et_5A_041287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20700509:20708986:1 gene:Et_5A_041287 transcript:Et_5A_041287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNPPVPQNDSNWEIRVAVLLSLLLQIFLIFMGPMRKRTSHPFPRFLVWSCYLLADWVADLALGLLLNNMGNIGGGGGGDSNSSFGNNNGGSPIIFAFWTPFLLLHLGGPDTITAYSLEDNELWLRHLIGLLFEIFSALVIFGCSLRDNPMIPATVLMFVVGIVKYGERTYSLYYGSIEGILEDMMDAPDPGPDYAKLMREFDARRNRGVRVKINIMSNQPSETERMAERVEMTRLALQTNKSVEARAYDLFRIFRRLFVNLMISLRERQLSQAFFLNYFDPGRAYKMIEVELNFAYDMVYTKAPVAHTRAGWAIRSICSACLVASLVIFFLLHKAQHGISRVDVGITYALLLGGLALDAAALVMLLFSNHVKVQLENSSHPRLLRLLETLARMVPSRPRRWAMMTTWQLNLITYCAGKPDHYHRARGWRRLLNKAAKLLHVEDDSFFTRRQLVTPPTTTKDGLLDFIFDGLKDNAMRLQNKGVEEIKKACYRRGTHFLQTHKKEITDSLGANNEHNKKKKWDLILGSVSVDKTEFDKSVLLWHIATDLCLFKDKQGPLSKETARMREIGETLSEHMLYLIIKQPEMLSATGSIGQLYQDTCAEARRFFGSIHRRGLPEEEARRMLLAVNTSRDPKIVKGERSKSVLFDAVILAKALRSLDDDHLLWAVVTGVWREMLTFAAVKCPGTMHLRHLSRGGELITFVWFLMAHIGLSDMYQQEQWDARASNDSDWEIRVAVLLSLLLQILLIFVGPMRKRTSHPFPRFLDNELWLRHLIGLLFELFSALVIFGCSVHTNPMAPATVLMFVVGIVKYGERTYSLYSGSVDGFRDKILDEPDPGPNYAKLMTEFDAKRNAGLVVEVAIADGEASEAQRAAEEKVTQRLVVRSRRSVEARAYGFFLTFRRLFVNLILSFKERRQSQAFFVEKEELRPSEAFEVIEVELNFIYDMVYTKAPVAHAVVRRWVPVGWLLRTLCSCCLVASLVVFFLLPKRAHGIRHVDVAITYALLLGGLALDFAALLMLLFSNRVTVYLETHRRLRWVAQATKSVARRFWRTRRWSGKTSQMSLVSYALGKPDRLNRVARCCLCLVRCVGRCCPCLRFVGKLELVDDLVFISREPIVEPERGGTWIQRLVRRLTFSRGKADREPPLLEFIFRGLKRAAKKLKEKHEIMSLCNCRGNFVQLKGSPEWVTITDAPTEKTTRMRGIGETLSEYMLYLLIKQPEMLSATAGIGLIRYRDTCAEAKRFFASMAAWDPGHGDARRMLLGVNTSKKPAEVKGDRSKSVLFDAVILAKALRELGDEEFMWTLIAKVWREMLTFAAGKCRGSTHVRQLSRGGELITLVWFLMAHMGLGDMYQIQAGDAKAKLIVIDQ >Et_2B_022509.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24239525:24240001:1 gene:Et_2B_022509 transcript:Et_2B_022509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQHLLAVALAVASVILSGCSAATTSANTSAADEAGMAYDFLGMNNLPRGLLPKGVQSCTIEQPYGEFQVSLERECNFAVTAVGGEVFKMRFNSTAGGVVKPASIHEVFGVSVQVNFGWHRVSAIDRDAYKLIFLVEDASSALAFPIGSFAVSPSCS >Et_8A_057566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4568570:4573393:-1 gene:Et_8A_057566 transcript:Et_8A_057566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKELIFDGFNRDKATHDFLSLYSAAKDSALPLLPESKKAPPSQGFFLKTHDFLQPLERPSSPSPPQQPAAEKPLHARQQALPGGVGTFSISRPAADLPGAVKQEPAFALWGQPEPRGHPWSLPFAARCVAVASARPQQQMPPERKAGGGFMDAGSGSSGGAGYDDDDGLAARREVSSSLKGELTVRVETKGGSCSGSAGTDQLPNTPRSKHSATEQRRRSKINDRFQILREILPQNDQKRDKASFLLEVIEYIRFLQEKVQKYEVSQQEWSQENAKVMPWSNIYFRSVWKNAQNKDEIDGDTTYDPSQVIKNGSSLGFPFAVKPADCNKAAAFEIASGGQELAEANKKGCVSYKEADTPSNVANNVASQQEAQLTKSSPTEDCAVNNEILKDPELAIDEGTISLSSQYSQGLLATLNHALQNSGIDLTQASISVQINLGKRAAKRPAPGADSTSKDLTSQVPHDQATRRHLKDGALEHSQATKRHKSDR >Et_5B_043794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16128553:16129947:-1 gene:Et_5B_043794 transcript:Et_5B_043794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAAWLYYATGDAGYLSFATDPRLRKNARDFASINNIVELFVFSWDSKVPGAQLLLSRLRMFGRNPWYPYDYEQSLAAYRNDTGDNMCMYLPCFAAFNFIKGGMAMFKFDRDRGHPLQYKMSYVVGVGKKYPRRLHHRGASTPHDGIKYSCTGGYKWRDSSKADPNLLTCAMVGGPDRNDGFTDSRNGAGGQNEPTLAGNAVLVAALVAVTGSGRGSGARAVDKSTMFAAVACKFP >Et_1A_009186.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:32194592:32195770:-1 gene:Et_1A_009186 transcript:Et_1A_009186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAEILYDTSPGFHFKPFDDELIELYLLPRVRGQPDLFPGLIVDDDAAANTQPWELFDRHGRSYAVPAFFFVRTNGAARPDRRCQGGGTWKSMKCEREDASHEMVVDGEKIKWSRHNLNLHMVPGKKSGGSNGWVMHEYSITTHPSIKICRISFSGFGQKRKRVPDGYQDDEPVTQRPRVACASATSGSGMMTFDQGFSAAPHDSGDRELLHDSSDAAMLAEMTNWEFQAEQVQAMNTSAPDQEPPPSFSTTTTPSQESGLTQDGAGLEPLELLSDDDITEVLGLVAEPPAMDQSSCGVPSIGDTDVAAEEGGSATSGSGTTTMLSQESGLPQEEEGLEPLELLSEDGIAEVLDMIAPPPAMDQSSYGVPNIGDTDIAHWEGIDFDLSFV >Et_1B_010995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16195881:16199683:-1 gene:Et_1B_010995 transcript:Et_1B_010995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQPGSVAADADVAPSRSVIIGAAATGRRLIEIEGYSHTKELLPTGRRVRLRPFTVGGHSWQLAYYPNNEIPDFADFITISFERLRKPGRRSAGAPVCAQVTISLLDATGQPVPSHTKASGVMDFSEVAGIAFHDFINRAWLEESEHLVDDRFAILCEVVVPMEVRVEERGAEPPPRAAPPLVMVPPSNLHQNFGALLSSKEGADVMFEVAGEKFSAQRCILGARSTVFKAELFGMMKESTDPSAVIRVDDMEAEVFRALLAFVYTDTLPDSPKTKEKEEAAMAQHLLVAADRYNLERLKLICEDKLCKHINAGTAATILALAEQHNCPGLKKACLHFLGSSPTLNDVIATESFEHLARSCPSVMKELISNRPSPAGPRVPPSFGAT >Et_1B_011328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20534127:20534718:1 gene:Et_1B_011328 transcript:Et_1B_011328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQVTQWMGPFSLGPRMRSMIYVHSKMMIVDDKYIIVNQRSMDGGRDSEIAMGACQPFHLNSRARLPEGSSTASVCHWYEHLGTLKEEFQNPASLKCIQMVKMASTFWELYRSNNLSYPIAVSTAGTVTELQG >Et_2B_019961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15624820:15628719:-1 gene:Et_2B_019961 transcript:Et_2B_019961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPDGPSALARYGPYFTPCPHLSSSVLPDLIVYVLLSPLPCLTLQRNNSGGELEGTESVEAFTVPANRLIGRYDLWRSELASNFYGCSNSSSKFLDSSMSTQPDRYLIIVTSGGLNQQRTGIVDAVVAARILNATLVVPKLDQTSFWKDSSNFSEIFDIDWFISSLSKDVKIIKDPPEKGGKALRPYKMRVPRKCTPRCYLNRVLPAMMKKHVIRMTKYDYRLSNKLDTDLQKLRCRVNYHALRFTDPIQELGNKLTQRMREKSRYFIALHLRFEPDMLAFSGCYYGGGDKERRELGAIRKRWKTLHIHDPDKGRRQGRCPLTPEEVGLMLRALGYSSDVHIYVASGDIYGGEDTLAPLKALFPNFHTKETLSSTKELAPFLKFSSRMAAIDFIVCDESDAFVANNNGNMAKILAGRRRYFGHKRTIRPNAKQLYPLFMKRGNLSWDEFSSQVRIIQNGYMGDPMEIMPGKGEFHANPAACICGMPQRNSGIIPSSRSNQEPVNITEIRKTVLQPPYPVYTDEEADVSDTEDDPTGRGEATDTEADDDSVVRREDPELEEILSD >Et_2A_016387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24084438:24085175:-1 gene:Et_2A_016387 transcript:Et_2A_016387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRSQKHILPWKMVLLIQDVCSYSTAHSPVSYSVALRCRLTRLSNLLNKNDVVDRYIDAGQEDTMLNEKQKQHSTHIWPPRPHSTLPFGMKPMKDVLETCLDVGSNDVCLQRLIQERPSVLDAFENLSHSEDYRSESITSVEDIYKDLQYVRPPDVVKNLTTSCFKELKKLTHGFCFLLETNMSSAC >Et_3A_026955.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2814692:2815864:-1 gene:Et_3A_026955 transcript:Et_3A_026955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFSYEEVEAATGGFAAKNLVGKGSHGSVYRAKLRGGGGGGSSSTVVVAVKRPSHGQGEAKLANEIAVLTAAPRHSGVIGIVGVAAPAAGSKRMAGVPVPSRLLVMEFMPNGSLHDLLHRSPRPPPWPRRVEIALDVARAVGALHAAEPRVIHRDVKSANILLGRDGRARLADFSLAVVVRPVAARDDDGEEEEAGPVPAGTIGYLDPCYTEPGRLGPESDVFSFGVVLLELVSGRKVMDVNSCPSSIVSWAAPLIAAGRAREVLDARVAAPPPTAPAVARVLAVAARCVSESVERRPDMAEVVAELHGALEGAGWRHGRGPRGYARGVVESVRRRVVSCGRHMSWKSKRVRATKIECTEHSGSGGGAPEPKDPCAPPSHSNSTSDVPK >Et_3A_024973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25812176:25814658:1 gene:Et_3A_024973 transcript:Et_3A_024973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EHEEMSKVSEANGKVDISMVEAHVTEGNKYEVVEGKVDWRGRPALRGRHGGVANSFFILANFGLENLASLSLAVNLIIYFSFVMHIGLAEASNLLTNYMGTSYMVAVLIAVFADTFVGRYKTVIISSLIELVGLLLLTLQAHSDKLKPPQCKFPFDPTCQQVSGSNKVHLYVSLYLIAIGSAGIKAALPAHCADQFDAKHPVEKLQMSSCFNWLLMSLAVGGAVSCTVFVWVQDFKGWDKGFGAATGVMGLALIVFLAGLPRYRFATVQGSTALLEIFQVYVAAIRNRNLELPENPDDLYEISRNKASPETEFVAHRDKPFRFLDKAAIIQAPNDVDAAPSPWRQCRVTQVEHAKTVLAMVPIFCSAIIMGTCLAQLQTFSIQQGATMDTRLGKHFKMPPASLPIIPLVILILAVPVYERLFVPFARRVTGLPTGIHYLQRVGVGLVLSIISMVIAALVEVKRKRVAVDNGLVDPKFIGQELPISCFWLAPQFAVFGVADMFTYVGLMEFFYSQAPQALKSMSSSFLWCSLSLGYFLSTIIVQAVNAATKGDTASGGWLAGNNINRNHLDLFFWLLAVLSTLNFFNYLFWSSWYKYKPQEDAVAPAPEQAQQV >Et_1B_013112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5591173:5594277:1 gene:Et_1B_013112 transcript:Et_1B_013112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDALASACCAFPLLVSLLAVRVVYVLWRSGQPLPTPDVARVRCLVVLGSGGHTAEMMNIITVLQKDRFTPRFYVAALTDNMSLQKAQVYEQSLIQSDGGKTIEDAHFLQIYRSREVGQSYITSIATTLVATLHAMWVVLRIRPQVIFCNGPGTCIPLCVSAFILKMVLHFLIESIARVQKLSLSGLLLYKLRLADQFFVQWPQLQQKYPRAQYAGRLI >Et_1B_010506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11128313:11130708:1 gene:Et_1B_010506 transcript:Et_1B_010506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLAAPPVAPPAAPLRVFLAVAPSTSRCRGTAHCAVWVRGAGGAASGSGRRQVVLRRCSSVDEPRAAGDGGLSSFCIIEGPETIEDFAQMQSQEIQENIKSRRNKIFLLMEEVRRLRVQQRIRTAENKGASSEENEMPEIPSTIPFMPHASPKTMKQLYMTSFSVISGIIIFGGLIAPILELKLGLGGTSYEDFIRNIHLPLQLSQVDPIVASFSGGAVGVISALMLVEVRNVRQQEKKRCTYCHGTGYLPCARCSASGMSLITKHFSISSANGHNMWSTSERCQNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD >Et_2A_017956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8263835:8266873:-1 gene:Et_2A_017956 transcript:Et_2A_017956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRGHILVPLLLHLWLSTISHHAAALSFNYNFSIPADLESPDLKYLDDSSPAGDRIDLTNGTQHNSTGRVFHRRPVRLWSGRKRASFTTSFAFAIGGNYSNERGDGMAFFVGPPSLPSDSGSMFLGLFSSSSSSSTVGVEFDTCQNDGWDPPANITDHVGIDINNIGSANYTSLPNLGLYGTMSANITYDAGSKMMTVSLQLSDGSTYSVQALVDFRDAGVPQDAHVGFSAATGVLTESHQLLSWSFSSTDPSRNNKLWATLMSVAIASLLGLVAALLWIVKRPQRRPMVIALPVARKFTYHELSTATGNFSKDRKLGAGAFGDVYRGELRDPGTPPVAVKRLTRMMEQTMRDYVTEIQTLCQLSHRNMVRLVGWCDGGGNDKLLLVYELVTNGSLDEHLHEKERLLSWPERYEIVRGIGSAIEYLHTGYNNPILHRDIKPSNVMLDDAFQAKLGDFGLVRQVCSGQGSLRDTAMVGSTDYMDPMCITYGSVSTASDMYSFGVLLLEVATGRKPAALLDRENGSITNTLVNDVRRSYRKGAVLKMADERLNNDFDRAQMERVLLVGLLCVNENRRKRPGIRDASGVLGYIRTIEPEFK >Et_5A_041869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3629393:3632399:-1 gene:Et_5A_041869 transcript:Et_5A_041869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQTAFAAEDALAAAAVVAAPGRRFTSFPPPSWARGCRRAAAQLMEHGAGGPWLDAVVAAAEHDDWLEKHPSALAEFKALLAAASGKQIVMFLDYDGTLSPIVKDPENAVMTEEMREAVRGVAEHFPTAIVSGRCRDKVFNFVKLAELYYAGSHGMDIKGPTAQCKHTRANAEAVLWQPASDFLPVIEEVYRALTAKVESIPGATVEDNKFCLSVHFRCVQEEKWGALDDQVRSVLKDYPGLRLTKGRKVLEIRPSIKWDKGNALEFLLESLGFADNSDVFPIYIGDDRTDEDAFKVLHNMGQGIGILVTKIPKETSASYSLREPSEVKEFLHKLVKSKSNQRD >Et_5A_042958.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:7977740:7978090:1 gene:Et_5A_042958 transcript:Et_5A_042958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFFLFCLVSSQLAVTTVMAARPFPVFSFDSGVTRGGVAEAPSAPGALHVHSLLEHKFAGSPLGSHHGSHSPFDRNFAGGKIIVGGLAAAIIVAVFCYIRITRTKKEKIVVEEPKS >Et_10A_000551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12659955:12672108:1 gene:Et_10A_000551 transcript:Et_10A_000551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASPSPAPRATGASPPRRPQQHLGFPLTFLLLLGCFAASAPPRAAAAAAGEVLRQVVADEGPFFEPFNVTYDHRAVRIVGERRMLVSAGFHYPRATPEMWPSLIKKCKEGGADVIETYVFWNGHEPAKGQYYFEGRFDIVKFAKLVAAEGLFLFLRIGPYACAEWNFGGFPVWLRDIPGIEFRTDNEPYKAEMQTFVTKIVDIMKEEKLYSWQGGPIILQQIENEYGNIQGRYGQAGKRYMQWAARMALELDTGVPWLDTCNAFYCDGFKPNSYNKPTIWTEDWDGWYADWGESLPHRPAQDSAFAVARFYQRGGSLQNYYMFFGGTNFERTAGGPLQITSYDYDAPITEYGILRQPKWGHLKDLHAAIKLCEPALTAVDGSPQYVKLGSMQEAHIYSNRKVHTNGSISGNTQICSAFLANIDEHNYVSVWIFGKSYSLPPWSVSILPDCENVAFNTAMVGTQTSVFSVESGSPAYSSRQTPSILSLVGKSPYLSSAWWTSKEPIGTWGDDNFSAEGILEHLNVTKDISDYLWYTTRVNISDEDVALWGSKGVLPSLTIDQIRDVARVFVNGKLAGSKVGKWVSLNQPIQLDQGVNELTLLSEIVGLQNYGAFLEKDGAGFRGQVKLTGLSSGDIDITNSLWTYQVGLKGEFSMIYSPEKQGCSEWSSMQKDDIQSPFTWYKTMFDAPKGIDPVAIGLGSMGKGQAWVNGHLIGRYWSIVAPESGCPSSCNYAGTYSDSKCRSNCGMPTQSWYHIPREWLQESDNLLETGGDPYMISLEVHYTKTVCSRISENYYPPLSAWSHLANGRASVNAVAPELHLQCDDGHVISKITFASYGTPSGGCQNFSVGNCHASSTLDLVTEACVDKDKCAIRVTNDVFGDPCPRVVKDLAVQAECSAPSETKGPREDM >Et_8A_057703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6226756:6229456:1 gene:Et_8A_057703 transcript:Et_8A_057703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTPQHLLLLALLFFFSAVAPQVFGAVNSNLGVGEGRNSIGIIQKDIIETVNMHPNAGWTAAQNPYFANYTIAQFRHILGVKPTPRSVLSDVPAKTYSRSLKLPKEFDARTKWSHCSSIGTILGSLWLLLGIWCRRVPPRSFLHPSQHVNDLLACCGFMCGDGCDGGYPIMAWRYFAQNGVVTDECDPYFDQVGCKHPGCEPAYPTPVCEKKCKVQNQVWQEKKHFSVNAYRINSDPHDIMAEVYQNGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTSDAGEDYWLLANQWNRGWGDDGYFKIVRGNNECGIEEDVVAGLPSTKNTVRSYRGASGIAVF >Et_8A_058388.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:6367446:6368009:1 gene:Et_8A_058388 transcript:Et_8A_058388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARINIVVFDITKRAFSVLPCEQPILLLNKYHGAFLVELNGKLSLVLADHEAEETKIWTMHERGAWVSGHTICLRGQPDFSPRRTVVVPLEINSKDGRILLNTGRALGYYDTKTGVLDTIYSLDNMQLPPSNLAFPMLCQESLVRVPDDFDHRVAPPPVTSGHISRRDAGAASTLNMLVLSTMELK >Et_8A_058138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2163519:2167697:-1 gene:Et_8A_058138 transcript:Et_8A_058138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVGSRVRGFLRNRWLVFVAAMWMQSCAGVGYLFGSLSPVIKASLGYSQRQVAALGVAKDLGDSVGFLAGTLCAVLPLWAALLIGAAQNLVGYGWVWLAVTRRAPVPPLWAMCILIFVGNNGETYFNTAALVSCVQNFPKSRGPIVGILKGFAGLSGAILTQIYAMVHSPDHAALIFMVAVGPTMVVIALMFIVRPVGGHRQVRPSDGTSFTFVYSVCLLLAAYLMGVMLVEDLVDLSHSVIVLLTIILIVLLLLPIVIPVLLSFFSGSEESIYDLLLPSPRKEEAGASTSSEEQPEVILSEVEDEKPKEVDLLPASERQKRIAELQAKLFQAAAVGAVRVKRRRGPRRGEDFTLMQALIKADFWLLFFSLLLGSGSGLTVIDNLGQMSQSLGYEETHIFVSMISIWNFLGRIGGGYFSEIIVKEYAYPRAIALAMAQVVMAIGHFCFAMAWPGTMYIGTLLVGLGYGAHWAIVPAAASELFGVKNFGALYNFLTVANPAGSLVFSGIIASGIYDAEAAKQAQQHSNSTLLAMSGRVLNIVSDAAPALKCEGAICFFLSSLIMSGFCIIAVFLSLILVFRTKIVYTNLYGKPRT >Et_3A_026738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1854091:1871519:-1 gene:Et_3A_026738 transcript:Et_3A_026738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILSVISGSQKPPCWRSGNGGHPVSSGKDSTSSEGSISGERARSLSVAYSMQLIWNCIMLPHINAYCYHPKKKKKMQQETTIIQAKTSELPPKMESQELRRACSEISHKLEKLVMIGTSKSNLRPDAATSSSTTKASASASEQQQQQQQVETVRCACCGVAEECTAAYIRGVRASFCGDWLCGLCAEAVKEIVRRDGDPAGGVAAALVAHEAECRDFNATTRLNPTLSLAGSMRRIARRSFDHRRAASCQERSSNGTAAAAAALTRSASCDPWFLSDVRKGHAGDRPCR >Et_9A_061152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19012367:19012764:-1 gene:Et_9A_061152 transcript:Et_9A_061152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSRKAVASCLLLCLFLVHSGMTPSVLALDDCWVPDHVHYVICFHQPKCWSSCQDHGFADRRCAGFPNHL >Et_4A_035112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9573049:9585772:1 gene:Et_4A_035112 transcript:Et_4A_035112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKTKLDAQPELFIHIVPDKATNTLTIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEPLGRGTKMILHLKDDQLEYLEERRLKDLVKKHSEFISYPISLWYGWTANMERIMKAQALRDSSMSGYMSSKKTMEINPENAIMDELRKRAEADKNDKSVKDLVMLLFETSLLTSGFSLDDPNTFGTRIHRMLKLGLSIDEDETTEADTDMPPLEDDAGESKMEEPPPPRLREASAMAAETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKTKLDAQPELFIHIVPDKASNTLTIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGESLGRGTKMILHLKDDQLEYLEERRLKDLLPHLPLG >Et_7A_052655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10933565:10936770:1 gene:Et_7A_052655 transcript:Et_7A_052655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEDCVAGIRSALKHPTVRFLRERMEKAGCQVWPRLIQAATCTSAGGYASREGVKVCCNHMQFQDEINQVIIHELIHAYDDCVAKNLDWKNCAHHACSEIRANHLSGDCHYKRELLRGFMKIRGHEQDCVKRRALMSVKNNPYCSEAAAKDAIEAANLDDHCERDPQMSILTEDNSIK >Et_7B_055459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11151996:11155264:1 gene:Et_7B_055459 transcript:Et_7B_055459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTDFQVVPETGVEVELLAVEACDGAGSRSATTMTKESVKLLQDEQLAVEACDDVGSNSATTTMDESAKVLQEQPAFEACDGAGSRSATTMTEESVTVLREQRDTTPEPAHREAVAPKHAAEVSASEEPVEEEKPHPHVAKEDDEGEQKVPAAYHGDDQESTRERLKRHRQEMAGRVWVPEMWGQEKLLKDWVDSAVFDRPLVPAGLLTARRALVAESCTRRPDRRASTVSSAGSSPLRKNTGDMLFFNHQASLYVNYID >Et_4A_033522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24083749:24085722:1 gene:Et_4A_033522 transcript:Et_4A_033522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTVLSLDPAPFPILPAASTAAVGRVLGPRLNASWGLCRPLRAASVAPPAAEEPSAAARGRLESLSQVAGVLGTQWGDEGKGKLVDILAQRFDVVARCQGYMKR >Et_2A_015864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18496163:18502144:-1 gene:Et_2A_015864 transcript:Et_2A_015864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEETAESCGSHAAAAAAGGGGAASGPATSSSSAGAAAQARKQQQQQRHKLEVYTEVLRRLHDSEIPEARAPGFDDELWIHFNRLPARYAMDVNVERAEDVLTHKRLLEQARDPAQRPAFAVRAVQVTPILDGNQTDGDSNTAGEEVASRLLNRQQSIHPPPAFGSSTNLEALALEASKSHGQDHDSTSDNGRSFYRPMHEITFSTIDKPKLLSELTSLLGELGLNIQEAHAFSTNDGYSLDVFVVVGWHDELPGQDVAIKVLKPERINADMQREFAQEVYIMRKVRHKNVVQFIGACTKPPNLCIVTEYMSGGSVYDYLHKHKGVFKLSALVGVAIDVSKGMSYLHQNNIIHRDLKTANLLMDENGIVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGILMWELLTGKIPYEYLTPLQAAVGVVQKALRPTIPKHTHAKLAELLQKCWQQDPAERPDFSEILEALQRIAEEVGDEHEGKHKDKILGGIFSALRGRGH >Et_7B_053579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1013715:1014881:-1 gene:Et_7B_053579 transcript:Et_7B_053579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGARVHLKGWQQAAVAFGSAFGALLDPRRADLIAALGETTGKPAFERVLQRMKNSAEGREVLLERPRVISSQVSHAWDMPQNTFGAAYAQFMGSRNFSPDDRPPVRFMDTDELAYVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFSEKQRSLFFQHYFPWVTKAGLRCTDLMSVYYEKHFHEDLEEVRRNWGILPCPDPKKSNL >Et_8A_058445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:842713:848048:-1 gene:Et_8A_058445 transcript:Et_8A_058445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAHSTLPRLLRRAEESAPVAHCRGRAALLAEAIRRRYDLADAHRAYAASLRAAGAGLHDFLRAVQDAAPPPPQQQPGPAADDMRLPARRKRAAGAEPQLFGDGGDDGGNTRFPPDVDDAHIMFPPEEEGSAADVDDAHVMFPAEPDEEIEAEEEPPVPVQPASTPQMAAAPSRYDYAPTPYAYGPPPYAYGPDSGPSSYAYGGGYVPNPGPAYAYGGGGYGYNLVSSINYARSEPPSPALSYYVHHDDGAAGRPTAPTSYYGGAGGQPYQYQYPQIGGFSPPPVPAASSYQYQPPPTPSPPRAPTWLNPFEAFDSYYPELPSAAMVSYNSIQISSTDPHEDDDDDDGMPDLEEISDLEEDEDDLGEVDVVEEEENECAVEDAKQLPEEEEKRSSSAGEEELDSKSMLSADNRSVVSEDSSDAGGSTVSNTVRAPDNTVVEEEEQLKDSDVVADQPPVVPPVNVYHDDVQVVQEMKLQFDRACMSADELCKALEVGKTPYNHNHSAPKVSSMIICGFPKMGKKPLQFEEEKAMESGNMSSTLQKLYMWEKKLLQELKAQEKIRLLYVQKYEERKFLYNTGAENQKLEAADIHIRKLATKISVAFQVIKSISNKINKLRDEELWPQTHELIKGFMQMWYTMSECSHIQLHALSQARNIDSTIVAASFGEAQIDLVKQLELQLMDMTTSFVVWFNAQKSYTSTLNEWLKKGIEYVPEVTDDGVPPFSPGRLGAPPVFIICNNWAASMARISQMEVVGTMQDLASSVLHIWERQRSDWKYAMLAGKDIGYLRWMERDEMSTRMAVEERNKKLVRVSSQCGISVSALHDGDAEASLQSCMYNFVEAMESFSGACTEAYKGGDGCMRDDVVASRGQQPKKATSVDAYRLPWPPPLDELDSRERSFEISRSSFPAESFRHARPGRPGSRQSTGSPRAITFAGARKKEDVERRSGTFLSGLLEHHDRADRMAAGEALAVCVVELNLTIDRSLFPQSSWPLVTAGPSDWCRHQRPPRRMREEKAASRR >Et_2A_016605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26524542:26526491:-1 gene:Et_2A_016605 transcript:Et_2A_016605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISFYYECIYIKLQSFLRITRYILEFFSFAHRFIASRVRPFYIHLAYFLVISFIGSALLVTLKPSNPDYSPRYVDMLFLSTSALTVSGLSTIQMEDLSSSQIVVLTLLMFAGSEIFVSFLGLMLRSPTQKAKPVSSAAGNKIISVPVEVELEAVDQAASADITSSEAELHADEAALAVPSLSSDALSESSRMARYLGFIVLGYLAITHVLGFLLVFLYITHVPSARAPLTKKGINVALFSISVTVSSCANGGLVPTNENMAIFVKHTGLLLMFAGQILAGNLLFPLFLRLLVWFLWRVTKLRSLELMVRNPEALRFTHLRPKLPTAFLVSTVVGLVAAAVALFCAVDWDSSVFDGLSNYQKFVSAFFMVVNARHSGENSIDAGLISPAVLVLFIVMMYLPSSATFAPPTGDDDKAEDEKVAPQRGSLVENVVFSQLVCNAVFVIVICITERRRLRNDPLNFSMLKIIFEVISAYGNVGLSTGYSCSKLQDLHPESICNDQPYSFVGFWSDEGKLILVFIMLYGRLKAFSTGTGKYWKIA >Et_8A_057612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5077173:5080828:-1 gene:Et_8A_057612 transcript:Et_8A_057612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGSVAVEGGSNLVMEKDQRRPNSKKPPAVMKPSSNPQGIKNRSQSRRDRKMALQQDVDKLRKKLRHEENVHRALERAFTRRLGALPRLPPYLPSQTLELLAEVAVLEEEVVRLEEKVVNFRQGLYEEAIITSLAKNASYFPESDRCSPARYKPAAQLQNAEVSVSTRPGSDQDAKRVANVKQTPRRPGASLGQGDRPGKENQSCGTNSFREFSRPPLSTVPKCMVPVEEKCADIQSTSTADDRHQALDGSNDIDADKASTSANRVSEELLTCLMAIFSQMSTSGSGTQDEERASSPSVSGSCASSSDGGWAAGDPYGVLEFGWRDIGPYKQFRAVDAASLDQNVFAGDMLLGRRLKELLRKLSSVDLVGLTHQQRLAFWINTYNACMMNAFLEHGAPTTPHMLVAMMPKATINVGGRVLSAMTIEHFILRLPYNAKHVNPGGVKGDDMAARGVFGLEWPEPLVTFALCCGSWSSPAVRVYTAARVEEELEAAKRDYLQVAVGVSLPGGLSIPKLLHWYLPDFAKDVSSLVDWVCLQLPCELQRDAVRAVETTACRQGPVAPRRVRVMPYEFRFRYLLAS >Et_4A_035729.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:4499053:4499691:1 gene:Et_4A_035729 transcript:Et_4A_035729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGQSSSWLLLAAALLLASGAAAQNCSSTKFPSGRSFQRCTTLPVLGASLYWTYHPGNGTADVAFRAPQSASGWVAWGINTEQPSSMVGSSVFIASQDGTGAVSLLQTYLESTAPSLTPGTLKFNVSGSPAAEYAAGAYTIYATVTLPGNSTVQNTVWQAGPLSNGQIGAHPTSGPNLQSTMRLDFLAGNRTAGAHDSSLPRRNLREFRG >Et_5B_043947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18179777:18183289:-1 gene:Et_5B_043947 transcript:Et_5B_043947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKARQLVARLAATPDSAVPGLPFLHRAVTLPFLSAASATLRFSLLLSSSRLRPRRALPVPVVSVGNITWGGNGKTPMVEFLARAFHHLGISPLLLTRGYAGGDEFRMLQRRLSDTSAKIGVGANRAAVASSMLQKYGYIHHADTFSAHNHLESGKIGVAILDDGMQHWSLLRHVEIVMVNGLAPWGNTHFIPRGPMREPLSALARADIVVIHNADMASEVQLEAIKSTIQQNSATCSVFYSRLAPSHIFEVKQPLRRLPLNVLNDKRVLCVSAIGCPDAFIRAVREIGALKIDRLDFSDHHFFSGRDLKIIQETMNLVNGHNEDTVVLVTEKDYDRDPEALRTLAVKVWVLSCSLQIMHHKENGEDEFMRKVKEIVAVTGHEKPHMVDQATSVIVQSKFAARAWNNRPDDQPVLKNNMDMHGILR >Et_2A_017893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7489519:7491317:-1 gene:Et_2A_017893 transcript:Et_2A_017893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWDAPDAEGALERIWDLHDRLSDAILAASRAQLLLPPPPAPAPSAGAQPGCVGRNGRVFVKGGGDRSAADAAEAVAEARSLHAIRSALEDLEDHLEFLHTVQSQQRAERDAAIARLEQSRLVLAMRLSDHQGKQYRVIDKALEFVGDVSDKSRFISPEDVRATHSRSEDNAEESRGNGSRIMANVLSCSLSLAKNSFRLDKIGGVLGNAAVFALSMLAFLQLHQTALGSKTPAVEYGKRMEDSFQSGTWQKNGQGKHIEVCRARG >Et_2B_019260.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20633202:20633576:-1 gene:Et_2B_019260 transcript:Et_2B_019260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VQRILFYRLAPDAVVDAVLANLRAALSHAVHAFYPLIGRLCLTSGTGNRYELHCCPGDAVAFTVTEYDSDDHDFDILAADKSREVAAIAPLAPLLLDGGAILALQATLLPPLLREHGRTRAAGP >Et_7A_050680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9998272:10000865:-1 gene:Et_7A_050680 transcript:Et_7A_050680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGSGARRTAAACGRWCLVILAVASALGVSGPAFYWRYKKGFSSSSSPATAAVAASSSAPSCPPCTCDCPAPLSLKSIAPDCGKNDPELAKEMEKQFVDLLNEELKLQQVVAEEHSHHMNATLVEAKRQATQYQREAEKCNAATETCEEARERSEAAISKEKKLTALWEQRALELGWHDSRASSMYLYAEIA >Et_10A_000820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17847695:17852073:1 gene:Et_10A_000820 transcript:Et_10A_000820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAADAPAATAPPSPTLLSLCLDAVAVSLTSDSAGLGAGRTGCPGGCSNDGLAGVSDEGGGEEEEEHLSPEQVAEALPWELLHQLAARLPPAALESLHHAAQARCYSSADTNARLGGPSGNERGMKRSRCEDFNPAWQALFKLRWPVDCNAGHDTLATMDWQQQYWEKHLQECLDAAAESALLPSFCGSIDELTIPAKIRNFIYNSEDVSLKQSRLSYQCSRFGCYARCQHVTDLFQHCKVEKLMFIRIISDTEVHGVCLLLSCHAETLMSLEFIHCQIYSVVMDKICKSLCQNGSLNHRIQSLCITSSRICESNPRALSAGLLNFLSCGKSLRLLSLNDTKMQPTFAKTIFQTLLESSCSLQTLEISENYISGWLSAIVKTSTSLALKSYIAMNSLSVLNLRGNNLQKGDVEDLCKILVKIPELRELDISGNPIMDDGIRSLIPFISWATEKENPLLRLRLENCDLSSIGVIKLLECLTSAKQPLDMLLSVAGALAKFLGSRVRDINVEDIGLGTLGFQTLEEALPMNLALSHINISKNRGGIKAAYFISRLILQAPNLISVDAAANLLPPESLEVICNTLKQRTCNLERVDLTGNMHLSGAIFPAFLEFKKHGKPILIVPSHLSASVPYDDDP >Et_1A_004890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14527299:14528606:-1 gene:Et_1A_004890 transcript:Et_1A_004890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPRPPSPPVRSPSPSPQWVALRRRVERVSPADPDLPHDADFHLALDAPPCLARLALSPDLIATGGDAPDRCSPFVRAVDPSGVLLLSGSPGPHAATETSGSASYFLCDAVSSTVRRLPGRPGSAAGTVGLVVDPGDAVGGHNNFAVAEIVPSTALDGGATLHCLSPEPGVWIQKPLRFPDRVRLPWHSADAFSHDGKLWWADLSQGLLSCDPFSGAPELHFVPLPAAVRLAKGDKQRDVIKHRCLNLSGGKMRFVVITAHACVPKIKLWTLADPAAGEWTLDHEARLEDIWDDPSYTTTGLPKKRPVLALVHPSNPGIVYFFLQEHLFGVDLRTKAVTECAPHKNDEDGWELPPSLTTSSSGPPLPGQEFRTTSAFDRIASSFYDAYTRVFSDMEFEQLTKIALGYGSNKTKKEENKSKVS >Et_3B_028463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15686552:15689736:1 gene:Et_3B_028463 transcript:Et_3B_028463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELQVLQVSYAVLCCGLSTLVLGWLLHWVYKWMKPSCKCNGKLPPGSMGFPIVGETFQFFKTSPSLDIPHFYKERLNRYGPMFKTSLVGQPVVVSMDAEVNRFIFQQEGKLFRSWYPDTSNSIFGKESITSYDGTLHRYVRSLAARHFGLESLKGAFLAEMGEAVAESLREWASQPSIEVKEAISNMIFDMTARKLISIDVKKARELRTNFDTFFQGLIAFPLYVPGTTFYQCMQGRKRVQNVLKDLLKERLSNPEKCHGDLIDIVVGDLTSKNPVADEKFTIDTIAALLFASFATISSTLTVAMKFLTDHPKVVEGLMVINEVTRVGNVAPGIFRKTLKDVQVNGYTIPSGWLVMISPMAVHLNPEFFEDPLTFNPWRWQDEDKRSTLLRNFMPFGGGIRLCVGAEFSKLLIALFLHTLVVNYRWKEIKGGDVLRVSEIVFPQGYHIQVLPRT >Et_4A_034969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8391002:8394230:1 gene:Et_4A_034969 transcript:Et_4A_034969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKEANGSNGEHATRPPPTPSPLRFSKFFQANMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNLFTGSKDNLKKWIGHPRFEFIRHDVTQPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTEAYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAVRGEPLTVQKPGTQTRSFCYVADMVDGLMKLMNGNNTGPINIGNPGEFTMLELAENVKELINPEVTVTMTENTPDDPRQRKPDITKAKEVLGWEPKVVLRDGLVLMEDDFRERLAVPKKTKA >Et_2B_019083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3880636:3881036:-1 gene:Et_2B_019083 transcript:Et_2B_019083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSAIDDIGRCSSRA >Et_2A_017632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4333526:4338186:1 gene:Et_2A_017632 transcript:Et_2A_017632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVAAAASAVVSQALRDRQTLDAVGTTAAALSLAGSSFIVLCYLLFRELRKFSFKLVFYLAVSDMFCSLFTILGGPSNAFYCFAHDYSAHFFCVASFLWTTTIAFTLHRTVVKHKTDVEEFGPIFHLNITSNHRITLNRK >Et_4A_034172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30434146:30437259:-1 gene:Et_4A_034172 transcript:Et_4A_034172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSCSCKFADPDSVVSSAASAAARRVRPDTMSCGSDGCRDGSSGGSEEFRRPRPSKLLVDPKMLFVGDKIGEGAHGKVYKGKYGDQIVAIKVLNRGSTPEEKATLEARFIREVNMMCKVKHENLVKFIGACKEPLMVIVSELLPGMSLKNYLNSIRPSQLDTHTAISYALDIAHAMECLHANGIIHRDLKPDNLLLTANRKKLKLTDFGLAREETVTEMMTAETGTYRWMAPELYSTVTLRRGEKKHYTNKVDVYSFGIVLWELLTNKMPFEGMSNLQAAYAAAFQQKRPSFPEETPQELVFIVQSCWVEDPGMRPSFSQIIRMLETFVMTIPPPPTSESVEDEESEETKSSLSSRSSSGSSLVSRATSKLSVVRHLFASKKAGNGKA >Et_4B_036473.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:25943637:25944059:1 gene:Et_4B_036473 transcript:Et_4B_036473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQEAGEGSWFLCDADVMGLEGTVTAVGAGEELRPGQIYFVLPAEMQRRRLTGEEVAALAVKASSALVKAAAATAPSSPCRRRRAAPLVFPLPEEEYAAAEPMSPVAAKTAVVQKRRVAHRGGRASRFAPDLTAIPESE >Et_5A_042075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:644909:645246:-1 gene:Et_5A_042075 transcript:Et_5A_042075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPKVLLLTLAVALLVAGELPAPVTGQKPTRMPPTAVRGGESSMPGRPGGSAGGVEEEKAYIVGRPLFKVPPSSPCRASKATRC >Et_8A_056316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22056419:22056778:1 gene:Et_8A_056316 transcript:Et_8A_056316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSFVPCGCRAGPIDDAPPAAAADHAGAAARRRRRVSRAGAGAVGAAEQWRPSLRDICELQDGRVAPVRVGKASSWDVPSARGDEYRKLQSSSSMPAFAPTAYLF >Et_9B_063890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19491742:19492626:1 gene:Et_9B_063890 transcript:Et_9B_063890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLRTLPPGSFPGEVRSAVSSLLLSPGGASALDTVFSHLPPPVTIPPLGSSVYYRQCELLRHFAASQPAACSSASSSSSSSASSTSCFQAAAQKLYRGVRQRQWGKWVAEIRLPQNRVRVWLGTYDSPETAAHAYDRAAFKLRGEYARLNFPGVMDARDCPENLHPLRAAVDAKIQAIRARLARKRAKARKQREEQSKKKPAPTAATTTAERPVGSEGATTSETTTTSTTTPYGSPDGVLSVSAASADGELPLEHMPSFDPELIWEMLNF >Et_2A_015405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12021877:12028541:1 gene:Et_2A_015405 transcript:Et_2A_015405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHFRQFCPCCGLTPRYKDIQTLCAMLTYKQVNWLSYPRCQAHPSVVITGEGKLQADSLSDGKRANEGDVFFVPAYAEVKLSTRGPESIQLYRAG >Et_4A_034248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31138948:31140658:-1 gene:Et_4A_034248 transcript:Et_4A_034248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPALAGAHSFAAPVSGSLRVPLPSIPAPSPAPARRAALSVVAKVKVSTPQADRIARHTRLRKKVNGTTDRPRLSVFRSNKHLYAQVIDDTKQCTLASASTMHKSLSKEFDYSAGPTIEIAQKIGEVIAKSCLEKGITKVVFDRGGFLYHGRIKALADAAREHGLEF >Et_3A_024510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21453497:21457286:-1 gene:Et_3A_024510 transcript:Et_3A_024510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLKDDAVEEKGDRARMFSYVSAQLRLLQASFVGAMAIADLVKTTLGPKGMDKILQSTGRGRSVTVTNDGATILKSLHIDNPAAKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIAGFRMAADCARNALLQRTMDNKENTDKFRSDLMNIAMTTLSSKILSQDKEYFAELAVDAVLRLKGSTNLEAIQILKKPGGSLKDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGEIASTFDNPESVKLGHCKVIEEIMIGEDKLIHFSGVEMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVVFGGGWPEMLMAKEVDELARKTPGKKSHAIDAFSRALQAIPTTIADNAGLDSAELISQLRAEHHKENSTAGIDVITGSVGDMQKLGISESFKVKQAILMSATEAAEMILRVDEIITCAPRRREDRM >Et_10A_001106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21165773:21170124:1 gene:Et_10A_001106 transcript:Et_10A_001106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATQVGTYFLRNYYNLLQQNPDVVHQFYSDASTMVRVDDLAGTNTTVNNMMDIHSLIISLNFTQIEIKTANFVNSWGDGVLVMVSGLVQTKEYSHQRKFIQMFFLAPQEKGYFVLNDYFHFVDQEQVQSAPVIAQENFESNLASNTVVETVPEYSHEEESQAAQYAVPVTSEENEAVDNYNYSEPSQQVVSQSDNWGEEPLPEEPPSSFSNGMAVAPEEPVQPPPVPPPHVEEPVGEPVKKTYASILKTAKAPPALPVQPAPVSKLPHPTAESNQAHHSVMTSTAAAERSRSDGYGEVAAHDDEGESKSVYVGNLPSSVTEADLENEFKKFGRLIPDGVAIRSRKETGGYYAFVEFEELSGVHNSLRASPIEINGRQIYVEERKPNSGIRGGRRGGRGRFSGGGRGYGRGGGDEYNSNRGRSNGYQRGPHQERGILGSHAARH >Et_9B_066134.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:4505426:4506067:1 gene:Et_9B_066134 transcript:Et_9B_066134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGVSVAARRGLAPLVLAVLVLASSSSLARGLNSAVSFLQPTRGFGQPVLAAASDRLMLGAWLVFQDPAAAGGGGGRRACAYTVKVKTSCASPARTTDAVSLAFGDAYRNEAHAPRLPNGGGALGRCATDTFRVGGPCGYGVCYLYLRRAGRDGWAPEWVQVVQPGPQPTTATFYFGDPLPDGVWYGHDRCPTVDDARRATNNSSSASPRG >Et_3B_030144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30956247:30957041:1 gene:Et_3B_030144 transcript:Et_3B_030144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDAVISDDATATKPLTMFYNGSIAVFHLPRDKAEHIMKMAAAGHEDGDGSRNKGATDHGDQLVAKLREEMPIASKRSLQRFFQKRKERYATHSNQSSLPPCQTLSRARQIG >Et_2B_020696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22873235:22878555:1 gene:Et_2B_020696 transcript:Et_2B_020696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATAFATAPKPTRTPFSSPALWQSSRAPLRLSVGGTRRGRPLLALQSRSQPRDVSHHDVVVVGAGIVGLAIARHLLLHTPFSVAVADAAVPCSGATGAGQGYIWMSHRTPGSDTWELAVRSKQLWEELAAEVDGQGGGGARERLGWMRTGSLLVGRTSEELATLKERTKALSQAGIQAEFLSTTSLHALEPALSVGKDGGAMFLPQDCQIDAFQAVSLIEKTNNSYSPEGRYVELFNDPAMSLIRSDVTGTIEAVQTSQNILYGRKAIVIASGAWTRSLLHNFLEPASTLDIPVKPRKGHLLVLENFDKVKLNHGLMEVGYVGHQVAKLNSISVASESGDDEHVSSSISMTATLDTKGNLVLGSSREFKGFSREVDKSIVKCIWERAGEFFPAMRDVPLDSIDKNTQIRIGHRPYMPDGKPVIGFVPDLPNVLIATGHEGSGLTLALGTAEMVTDMIIGNPGKYCQ >Et_6B_049576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6797298:6806287:1 gene:Et_6B_049576 transcript:Et_6B_049576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KTICMEKKTGIIMEHKVVMVNIWVCVYLKRQTVLARPLQQFTKTVTLVMAYELAFTKGEIINRMVSCLIEKYRTQKNIEENLHNLCQLLTTIQAALEAAEGWAISNSWLLCWIRNLKTLHAKEVGCCVSGNTTLMSNSFKHIKVATAQFLSCKEATIRIYDTLKKIEIVAAGTSRFIELLKLQCSQAVVHRPIIVSVSMHDRIIGRIQEKQQVIDLLKPPVSQICSMYLEKIGKRGNARNLYVIPSDYALIIWGPEGVGSNSEEPFLIGNMDVRRDYCSKLDIVGMLCKKLDCTSYTDMDISLTVNIIAERLRTERFLLVLDGLSSYFCEMDDVLFTIGEK >Et_4A_032042.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:13440363:13440455:-1 gene:Et_4A_032042 transcript:Et_4A_032042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWNASGRTLASTRCVSSASRTNATNVPRK >Et_4B_038068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2627474:2628874:1 gene:Et_4B_038068 transcript:Et_4B_038068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAARRRLWRGMATGAAAGVSGTDVTLLSLLVSIPECQVKATMEKVSGSAPHRDGAFWEPLAAALLRSSYPAKANLVLEWKLEKLLKEGIHDCKPYSTIIRFCSETRNAALAMTAIERMEANGIQLNPGVFNALVNAFLSVGDLLSAVTLYETMESMDNCKPDSTTYDAFISAFSLLGSGHPMMSWYLAANNAGFTPSIQAFESLITGFVRLNMIDDAEMVFKEMISFEIKPNNTILEARLEMLCKRNEANLLRDFVKCARDGKWELNGATVERLDFFLNRIQNSFKLTRSTYDILVAGYR >Et_1B_013762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15598889:15599580:1 gene:Et_1B_013762 transcript:Et_1B_013762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGCLYLSKQNNTHAAADDDAVVTADDVPEMTLRELHAATRSFSDAMRIGEGCYVASLPEGVGHRVPPPASRLRHDNLVRLLGYHVADDLRVLLYEFAVGGTLHDVLHRPRGEPAGTTSHGSPVPALSWTQRVRIALDAARGLAYLHGASVAHGDVRSTNVLLFDGFTAKIADYDLFKQLPASDVILVR >Et_10A_001788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8169546:8172047:-1 gene:Et_10A_001788 transcript:Et_10A_001788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPAATAAASAAVVALAVANRVLYKLALVPLKAYPFFLAQLTTFGYVAVYFTILYARYRAGVVTKDMLALPKRRFLAIGLLEALGVASGMSAAACLPGPAIPILSQSFLVWQLIFSAVLLGRRYTIRQIIGCLLVTSGVILAVASGANEGQLLSGVKLIWPALTIASSAFQAGASILKEAAFVDGAKLLKGKRPDIFVVNSFGSGFQALFVFLLLPFLSNLRGIKFAELPGYLNGGAECFLNVGESPIDCGGAPFLPLLFIVVNMAFNISLLNLVKMSSALVASLTATSAVPISIYILSLPLPYIPHGSEISTSFIFGAVVLLMGLILYNLPQSSSRKQSKAD >Et_5A_042260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8102215:8104865:1 gene:Et_5A_042260 transcript:Et_5A_042260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TWVNTVQSSSSGAGSQPSVADETEAPEWAMQGNLSAPILDSLQWLSMRLPVSVQCLDLTSRIDCYVTDFYALRKDVELVVERVNEVIQSAGMEKLEQEIASLENKSTDSALWDDPSKAQEILVSLTEVKDRVKLLNDFKSQIEEAETIVMLTEELDSIDTALLEEASKIIKSLNKALDNFEMTQLLSGPYDKEGAVINISAGAGGTDAQDWADMLLRMYVRWGEKQRYKTRVVEKSPGEEAGIKSATVELEGRFAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFAGVEVMPLLPEDSLDVEIPEEDLEISFTRAGGKGGQNVNKVETAVRMVHIPTGIAVRCSEERSQLANKIKALSRLKAKLLVIKEEQRASEIKQIRGDMVKAEWGQQIRNYVFHPYKLVKDVRTSCETSDITGVMDGELDPFIRAYLKYKLATEAAS >Et_4B_038909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5886161:5890897:-1 gene:Et_4B_038909 transcript:Et_4B_038909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHAEVFDETEEEKEVAVMASAALSSPLNGVPVAAPRLVVGYALTKKKVKSFLQPKLLLLARKNGISFVPIDESLPLSEQGPFDIILHKITTKEWQQILEDYQEEHPEVTVLDPPSAIKHLNNRQSMLEEIADLNLSNFYGEVFTPRQLVIMKDPSAIPTAVAMAGLTLPLVAKPLVVDGTSKAHELYLAYDEASLSMLDPPLVLQEFINHGGILFKVYIIGETIQVVRRFSLPDVNTYELLNNVGVYRLPRVSCAAASADDADLDPLIAELPPRPLLEKLGRELRGRLGLRLFNIDMIRELGTKDRYYIIDINYFPGYGKMPGYEHIFTDFLLGLAQSKYKRHKPEA >Et_2B_021127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26786285:26787937:1 gene:Et_2B_021127 transcript:Et_2B_021127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLMDVRYVAAGPEQRASSLLCAPKQRVAVNGRRGRLGFTARASSNSGAKVSIPKQWYNLVADLPVKPPPPLHPQTHQPLSPGDLSPLFPDELIRQEVTDERFIDIPEEVIDVYGLWRPTPLIRARRLEKLLGTPAKIYYKYEGTSPAGSHKPNTAVPQAWYNAAAGVRNVVTETGAGQWGSALSFASSLFGLSCEVWQVRASYDQKPYRRLMMETWGAKVHASPSPATEAGRSVLAADPASPGSLGIAISEAVEVAAGDADTKYCLGSVLNHVLLHQTVIGEECLEQLAAIGETPDVVIGCTGGGSNFGGLAFPFMREKMRGTMSPEFRAVEPAACPTLTKGVYAYDFGDTAGLTPLMKMHTLGHGFVPDPIHAGGLRYHGMAPLISHVYELGFMDAISIQQTECFQAALQFARTEGIIPAPEPTHAIAAAIREALECKRTGEEKVILMAMCGHGHFDLAAYEKYLRGDMVDLAHSAEKLEASLAAVPKI >Et_3B_028790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19106075:19111734:-1 gene:Et_3B_028790 transcript:Et_3B_028790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSESSGAARFPRPLMVLKMQSSAEVGFWDALRRLKLDVLGTDDSPLPITGYYTPRQYHKLSSYLRLCAESLVPPSNNSYGNRNRCPVPGTLINTNNMRGFQNLDRDLLLKAEAKKILHDIISGEVEENPSLLLRFLVISFADLKNWKVHYNVAFPSLIFNSKMTLLSLQSASKVLSEEELLQWITSAVVPFFLISMSSNSYASVRQLKEWNDCQGNCQKLLFGYYDHGCKGNRVKLDFPGWALRNYIAFLNIRWKIEKVQFFCYRELRGMPDLEDSLIGEASFPPPDGWDDPDYVPEAIGWEGEKPGKATKEIEPKERNLESMNPVSQDNEKQLMHLKLMGWRHFPVNIDKLSRVRVLLLGAGTLGCEVARLLMTWGVRKLTVVDSGYVAAHDLVKQSLYIDKDCGLPRATAIIPRLKERCPAVEVEGIKMEIPMPGHPVSPSNIASVLDECKHLQTLVASNDAVFLLTDTWESKWLPTLFCADQNKITITAVLGYDNYLVMRHGAGLGVMDEVIARMDDLNTTKDALERQRLGCFTNGILDQHATSLPGLTSIASGKAVELFARMMHHPDEIYAPGDIAGMDTEHQLGLLPHQLRGSLPKCVLSTELGDSSSNCAACSSAVLSEYRRKGLDFVMQAINHPTYLKDLTGVIDLKRPDDCPKMPAIIPVNSEKFSDVRCLLVGAGTLGCDVARILMDSGVRKLTVVDSGGVVVSNLARQSLYTSDDRGAPKATAILNHLSERCPSVDAQGIQMEIPMPGHPVSPSEAAGVLEDCKRLKELVASHDAIFLLTDTRESRWLPTLLCTDENKIAITAALGYDSYLVMRHGAGPGMNCEGSNVDAAMDKLSTKDALGRQRLGCYFCNDVVAPVDSVSNRTLDQQCTVTRPGLASIASGRAADLFTRMLNHPDGIHAPGDIAGTSSDHTVGLLPHQIRGSLSQYNLLTLLGYSSSNCIACSNAVLSEYRRRGMDFVMQVINEPTYLEDLTGLTDLMKAAAYSQVEWVDESDEDEFAEI >Et_3B_028441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15449069:15451467:-1 gene:Et_3B_028441 transcript:Et_3B_028441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQQKQRVPYSYICPNLNSLVLDDKEYWDEPPQPWRTPVLDSMPSLQEAFVRLAYSNTDCCSNADESGNCGHVNCDSCYGIKHDSNCLLLEGLSEAKNLKLIAESETFVFKKDLKQCPTFSKLKTFLHNDYWCAAPDFPALTCILKHTPVLEKLRLQLFYRGSKHKLRINGCHNPMELSAATEHLKTLMTVEIMCEVMDEKVIKVMKFLSTFDIRKLTSSTLHGLKVLAGRLHMWKRKPLLRFRGDARLDTCELRLSAACLTVLPSLN >Et_6A_047983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:27065471:27067395:-1 gene:Et_6A_047983 transcript:Et_6A_047983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKGEPAVPPVPRDEEEEEETSGVVKLISAEGFEFVVDKKAAMVSNTLRNMLTSPGGFSETRQGEVRFPEIPTHILEKICQYFYWSLHYSRSSFPFPPSPQINLCFRATSALVNGPQGTLLTSIGGKETAEFPIEPEITLELMMAANYLDT >Et_3B_031324.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25948500:25949393:-1 gene:Et_3B_031324 transcript:Et_3B_031324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMRALLCATVALSLTHVVTATDYVVGNPAGGWDGRTDYKSWAASQTFAPGDTLSKYSSSTVSIFSGTVYSMSTKALHLLALMHAHARLCNNAAFKYNTYHNVLEVTKHAFDECITANPITHDTSGSTTVLLTMPGKRYFICGAPGHCQAGMKMEVEVADRPAPTTPASPPPPPAGYARRQPAAAPAPTTVQLTPAPMPSRSSSSPAPAVVAAPPSRRVGHKKKHKKRWYCPPETAPARAPNAVQSVEADFPVAAFAPMSSPPPPPTSGGPAVLRATRGVVALALAAVAGFMLVAP >Et_9A_061316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:123582:127256:-1 gene:Et_9A_061316 transcript:Et_9A_061316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTASSPALQPIATPTDTSASPPSLSSPPAAAPPRPPPPLVANSPPPTPPPRPLSSSPPPPPVLSPPPTPPPSTAPSKPRTPPSKPRTPAARSPASPPTSDASSSPAVVPSPPRHHKPLPTPATAADPAHPNNPKKSLSPSSRSPPSAGGLSSGVIIAIGAVLAVLVLSLIAAAVLYTNNRRRKRVTNGYRAGFMSPPFSSQQPSGGSENVGSSVDPSVQTSYGSGTPRLQACVSDISMGNTRFFSYEELHQITDGFSVQKLLGEGGFGSVYKGCLPDGKVVAVKQLKDGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISSNQRLLVYDFVSNNTLHYHLHGHGRPVLEWSARIKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAKVADFGLARLALDAVTHVTTRVMGTFGYMAPEYASSGKLTERSDVFSFGVVLLEIITGQRPVDASRPSGNESLVEWARPLLSRALDTGYLEGLMDPRLEKNFNEVEMFRMIEAAAACIRHSASRRPRMSQVVRVLDSLADIDLTNGVQPGQSELFNVANTAEIRMFQRMVAGAQDDSSDLSQYGWSSHSRGGTDAASSSRIL >Et_8B_058969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11688685:11691946:1 gene:Et_8B_058969 transcript:Et_8B_058969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYFLPFLMKVKQGRCNSFDYSESLIPTQSRLPFFITQVFVKTSIWHFSISQSPSNDKNYNEAHNKNKCCSAYWQHDFRNLGSGSGSFMGQLWQFGDINGWSRHVQAKTPPKHVFKRPMYCMDIASEKLLVSAMKNAMPWPQMSDWIQYMANTYVTAKECTVPFGVLPNWNGIGWKAWPFSLTVPFVSSSKPFGLIEATPSSVRLAPNQATDDLLVAQLLNGHYTAAASAACRLLHPCASDCREKPEGAR >Et_6A_046093.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:23730819:23730998:-1 gene:Et_6A_046093 transcript:Et_6A_046093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRLFIEEYSEKFSWLPTDVSGVTVTAALFLMLWHYISKDVEDKLQRGNATSGSEGQI >Et_4B_038425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28894535:28895142:-1 gene:Et_4B_038425 transcript:Et_4B_038425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAKSTNEPAGVAPVTGVPVGGPGNVQWSSGLFDCFDDCGLCCLTCWCPCITFGRIAEIVDRGSSSCGTSGALYVLLSAVTGCQWIYSCTYRAKMRAQFSLPDSPCCDCCVHFCCEACALCQEYKELKARGFDPVLGYQGAPGAPGAVYPPAPQQMGR >Et_7B_056038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9907456:9910895:-1 gene:Et_7B_056038 transcript:Et_7B_056038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFLLRAGASVASRSGRGHLVPAGLIWTPQTVSPLGALSDAGCFAARVPALKGLRHYGTPFNRHMNITELNEAMRKVQMRLGALEEKCDTNHKSCINDTFNKYKAHRKDFGSSDAVKQFRQLSNVIMFVGYGFTVGTYCPPVSDCEW >Et_1A_008119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4556284:4556664:1 gene:Et_1A_008119 transcript:Et_1A_008119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILWRKKLVLHSSLVGLFIGISENPFMAAPTPSARGISSAPHDGDHWPRTTRLAGRRSRRGAGAGTIRLAAAAAGGQGSGTKV >Et_9A_062214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20750558:20751925:-1 gene:Et_9A_062214 transcript:Et_9A_062214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGTKQSAAAASQEFDPKVQVDGAGRLTVRGQRAEGAKQIRFHRVFQLPPTANLDGISGRFDAGVLSLTVPKRPAPPPATIDEIVKPTKEADEAKPKEMAKPKETAKPKEEARPKEEEAKKAETKTTPQVQAEKPGKDAAKKTEQQHKEEINKPPKEKKAEQHKEESKKPIEKKAADVQQTKEVVDAKGSKQEQEQKTAPPAVMKEEEDKPTKSEAAAAAAQAEAKPPQAKVVDRESLLETVRRRAEEERAKAAAAAEEAERRRKQSACGGWKERVTGELQGLANSEWAEGVVDTVKKNKEVIATAVAAFSLGLFASRLFSRN >Et_8A_056996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2016990:2019338:1 gene:Et_8A_056996 transcript:Et_8A_056996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASRALLLSRAALSPLPAAASRRIPILLRPLAAAASLLPAAAGAARGATRCYATQPATSSLRDSSPNWSNRPPKETILLDGCDFEHWLVVMEPPPGDPANPDPTRDEIIRGYIQTLAQVVGSEEEARMKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLDVKNKDYGGEPFINGEAVPYDAKYHEEWVRNNARANDRSRRNDRPRNFDRSRNFERRRENMQNFQNRDAPPGQGFNGPPPPGQNQMPPREGPPMHHTQGMPPPPPHAAGGPPNFQPQMPNPQAGYNNPGANYQQGGPAYQGGPPGYQGNQGYQGNPGPAYPGPNPGYQGGAPVVAHQATQVREAIRTTNE >Et_3B_030317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32175443:32177998:-1 gene:Et_3B_030317 transcript:Et_3B_030317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FGSVEEAEIALVRGDGEEMADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKEEYDESGPAIVHRKGRERYAGYVCVLQTVLDLATRICGCSCYLHATFVLLFCCSVTFVKSLVELGWLDRL >Et_5B_045487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2977399:2979962:-1 gene:Et_5B_045487 transcript:Et_5B_045487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANDGGDRAAAGILRLLLGMAAPTAFARGGGGVVLVQQIIIDGDGDMFSGGIGEGVPPASKAAIAALKEVKAGDDGQDCGGSLGECAICLDGVEGAAKEMPCGHRFHGECLERWLGVHGNCPVCRHELPPAKEEDAAAEGGGEARRPRSATVVSYIVQLGGEREQPQQEREEPWTIRIEDVCEFHRILALELLFTAASPTRQVPLLKLQHRVGHSGMP >Et_2B_021757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5105729:5111005:-1 gene:Et_2B_021757 transcript:Et_2B_021757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRSHRQLAAAAAGGGVGGGAGGLPTYRAAPQLEVRLEEFELFAIDRLRVLKGISDGLSRGKRPEEMEKLVSELWKAHMRHQDPAETLNKDIISHFVLRLVYCRTEELRKWFLSMETTLFRYRFRLESPESQRMLMSEFQLPYKALPHSEFEVVRDKLSQVARSIGQSANVESVFFKVPFEEVPDLVAGRRVFISRGYAYVAMGQVVSLVVTQFRCNISKALVLTNRKWTATIKEREKDRLTPIVEALSNAYFGPDYSQPKDAVEISLKDIDRLAQTSFPLCMRHMLDKLRENHHLKHGGRMQFGLFLKGAGLKLEDALAFWRAEFSQKVGSERFDKEYAYSIRHNYGKEGKRTDYTPYSCQKIISATPGVGDHHGCPYRHFGEENLRAALNKMGVSGRPLEDIMDKVKNRHYQLACTMTFEATHGVSCDTGINHPNQYFSESQKVFREKANVQSRSRLVGRNFGDHRLLTFDECNCKLLSDAPKLRARAIIPYTR >Et_2B_019865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14747040:14750432:-1 gene:Et_2B_019865 transcript:Et_2B_019865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IFRPVLTFSSPKRRAIPSTPVIAFPPHPPRGAAELSTMASPSIPSALGHPRPPDVGRFLFHSLGDFRVRRCHTCFGPVLAAKTPGLGNVDNLKESSNLSKSWDFSSEMDDDREVLIECRDVHKSFGDKQVLRGVSFKIRHGEAVGIIGPSGTGKSTILKVMAGLLAPDKGDVIICGRKRHGLVSDEDISGLRIGLVFQSAALFDSLTVRENVGFLLYENSSLPEDRIGKLVTETLAAVGLKGVEDRMPSELSGGMKKRVALARSIIFDDTKEEIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHMTGRDALGKPGKIASYAVVTHQHSTIRRAVDRLLFLHEGKVVWEGMTHEFTSTTNPIVQQFASGSLDGPIQYF >Et_8A_058363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5927288:5950842:1 gene:Et_8A_058363 transcript:Et_8A_058363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALLYVSLFTIVIMAYSDADYSDNESVNGNKDSDYEGEPAHVNGVANVVGNSEDEVDDATLFESLKILRRITKQIGSGIGRQGVTTRSSVHVPNAECGPSKPTTVKANSRCNQTYFKNVIVALNDHQKYVVSENGFGYLLKFDGCLVPRRFAQWIADHVDVKYQDIHVNGEVFYLDHLKFGPNITISNAIPCITVWKESMVKKYSLIDCVTKNVFGKRPVRDGICGLYEGFLLHGFAAPDYSHDQLVLAIFQYLQESMDGPGNAENGKAHNEVNEDSENGNYAENENQEDSHHSMNIDEGKEDSVTEKVESDGGQEQSGGNDAGTQDTIPGSEGSKENPFVVDKGVFSANVSILEKSIKEACDEVAKKHEEYDRAKMLQSASGNGVSSKVPVEDVNEITKTEFNKTHSQGREKYNDVIIVGERTANERLSEMSQDSDQFYNNSFGSNDHVAGSYPKIYLTNREKEVYEVVMQLSNSEHERNNGGVFVLKFLEVFEPCVNLYQKFSDSDVPNIRKKVVNDMLFAVKNEQVDAIELVKTYNPKVYAKTQQQLLKSMTMSRKPVSLYEGGGSSGGPVRKDRIEHKLAPYVPKGEKLRISRVGWISSSSTSLKDLNLAMDPGNKMIIKCYSSYHAGRLTNLMLQTDNPATATNFIRADEVEFFSLDHDPNRCLNINVLPSDAIEGIDEGNKVFVKCRNEDTADILCSSYATVDQNKILRFNKVKVLGFPDLAHDFGHLVQVDRPTDRAFLINEHNRRIEACSAEAANPEPSHDGPSHGSNGGSDEEDGSDGVKKSMCCCFQEASTTVTSEPGPAQLLLQMQQASVCLQHSRQPSAMLNAGGKGDASGSGSKKGKGKQIKAKPSTRRSPALPLCDAYVLDSADPIRDYFGLDIEEQKAYSYV >Et_10A_001360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23787580:23789905:1 gene:Et_10A_001360 transcript:Et_10A_001360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLISSPIISGLRQVDEPTCSTPRRREINIPSSGVPTNFAKGNEKHTLEPRELLWPLLTRATYMYDRQPESPDNGASYNTYAIETSPTPTPTLWAEDSSFSREDDCCSNNDDYYYHEQRNLLYNYKYICGRCTFTILLVDVEHEEDLELLHSSLRPPVLLLVGKRSKVLRGRRQHGGSGKPSSELPGDAVQLGSMDMVVQSC >Et_2A_014877.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:239244:239471:-1 gene:Et_2A_014877 transcript:Et_2A_014877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNLKQAKAIRNNATQLLDDSKLKLIFCAKTFRVSDPSKNCWCCMNQKPKVLCYEQIKDCRDVFQVCHPNCPPS >Et_9B_065040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20763762:20770766:-1 gene:Et_9B_065040 transcript:Et_9B_065040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKTPFASSQEKKARTPKEPQRKPDGVSREVYALTGGVGMAPLMPTVEASHLKRRPAAEKEKVAWQWLPFTSSARTDNLQLYHWVRVVNGVQPTGDYQFAKYNKKVDVLKYTDEEYEKYLIDPAWSKEETDKLFELCERFDLRFIVIADRFPTDRSVEDLKSRYYSATRCLLIHRARSFEEVSGNPLVKTLAEAKRILESRAASKNADEAGVPSISENAMVPVEGVSPLSGTQPPLTNPYAAANSSIPNSLRTLRVYLRTYALDQMIQAASASAGLRIIKRVDQTLQDLGVHLKPKVPTKAVCVEHLELRNEILTLLNLQKQLQNKEAEVSANRESSFMEAPSTPMRSNRDMDRPFVPDTIGFTGERAGKRDHKRKSTTGRFIDAPPSPPQSKRPRKLKASD >Et_2B_020569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21441393:21451185:1 gene:Et_2B_020569 transcript:Et_2B_020569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTRSSGEKLWWQMLLIVLHCNRLSSSPPPPLAATGEHVVTHLPGFEGPLSFGPFGFDLHGSMDGHVLPKLVYRPESWTKVSNIIFLDSPVGAGFSYSVIKQGGYPIFGRYIPLINFRFAHHIQGYLVGNPFTDSNVDVPSKIPFAHRMGLISDDIYECVKDIFPFHILEPNCAYASPHAYSLPNGKLNSGILEMRQLLDDTAEGLHMSEISTKCRTAEYTLSRFWANDAAVREALGIHKETVPIWSRCNTDIPYSKDIPSSVKYHLEVTTKGYRSLVYSGDHDMAVPYVGTQSWIRSLNFSIVDNWRPWYVDRQVAGYARSYLNNLTFATVKGAGHTAPEYMPRQCLAMFSRWLAGDPL >Et_10B_004309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3424682:3430075:-1 gene:Et_10B_004309 transcript:Et_10B_004309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDLITGAIGSLAPKLLQLLRKQYKLQMEVKKQVKSLTVELKSIYAALCKVAEVPWDQLDEQVKLWAREVRESSYDMEDLLDTFLVRVDSHEPADPSRLRHAIKKMGDLFTKGRTRRDIASAIEDIKKQLQEVAERRARYKIDDFLAKPVTAASSIDPRLAAIYKEVTQLIGIEDSRDKLMSILTSSQGDDVSSNKITKMVSVVGVGGLGKTTLAKVVYEKLKADFTLGAFVPVGRNPDLKKVLRDILVDLNRTHYMENLNMTILDESSSSMQSGSSFRTRDVATWEAAGFVLPQHLRHLRLHNIRFSRLPSCINLLHLHNLTFLGLRVATMDEQDMTLLGTLPELLFLSLEMWSTVTVSNINATDGYFLKLRFLEITASMVQFQRNKEDLTVSFHIWNGIVAATFGSREYDCSCAPTIVMPNLQVLRMPVFVRALKDGNIDCENIIGLEYLGLLQDGFLWIDCEGASTADVQEAEAALWNAIDVHPNCPTFRFPRWNDDKMISSVHDQELEDDTEYEEGEEEEEEWEEEEDGDV >Et_1B_010110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24347798:24348241:1 gene:Et_1B_010110 transcript:Et_1B_010110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATERKTSTTAAVLALNLLLLLVATPSVESCSSCHKPPPAPAPCAPTPSGGGGKCPVNALKLGACASVLGGLASGKKQPCCELLGGLADLDAAVCLCTALRANVLGVVQLSLPVELSVLVNYCGKKVPAGFQCS >Et_7B_053813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12426671:12432512:1 gene:Et_7B_053813 transcript:Et_7B_053813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYPRLPWTRNFDGLPATPPSDFFLFDEDDDDGSPCFPDLTMALHISGLCLPTDFADTLVAEEFTALEELQLEECRYYFSELASRSLTKLSMNRCSMSSHEAHDSMKYAALHLATPRLTSLRIRGKASTGHRGRRPRRPALPARRDQSEPRAVLDDGYYFPLHLDECDVGVECQVLRRVLLNAPKLAGEARAALLRVSGRLEEQREEVRVRGDVLLRPARSDVSERDDALGDISREAVLPIESSVRFGKQKEDLGLEHVPLKALHIADAEALDAEVAQGGFENRLAVEDRYQSYDLELGTRIERESQTHHNLNCITKSYTGELPLGSPNTMKQWPKWQMRIQKIKSTEEAKLRDHHLLICALPTTASRRAPPGRRLPDTATRPPPPNPCIDASWTLPPCCRLHVGAAWSQPPAGRVQDASPRASPPAAALPVAREEGAK >Et_5A_041490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23485983:23486445:-1 gene:Et_5A_041490 transcript:Et_5A_041490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQPHAPWSFAADNLGHLPGLAALPGLPDHPTTDRSITSSVAVAPPSAPPEPSLDLPAWTELGLHVDLGRLLETKVGADKNFKVRGQVFAAHKLPGHISIVKEDFFDSTKEKNATSCILRISNMDPKAFEALLHYMYIDTLPGMEPSNRL >Et_2A_014571.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23885979:23886230:-1 gene:Et_2A_014571 transcript:Et_2A_014571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIAATLYVDVCEAARPGPNPCTYDPNNPGHKCNPGQQEAATPVQAQSPAVSVEKGTSGPNHCTNNPSGQGQNCHHPPSTAP >Et_9B_064588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16738137:16739338:-1 gene:Et_9B_064588 transcript:Et_9B_064588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPSSSSATNCRDLSMHHAASFSSALPTAPTEIPRGGLYHDNGGLLALPNVAASAPPPYYIHRTTISHFLPLHLQLPDPFTSNATFSCSSPSACKLPLPHVPSSPSSSSGDFMEFSSGTMRRVFSTGDLQVMNVSSPAVSGETYSRDAGGPFTQKVGRYTAEERKEKIERYRVKRHQRNFQKKITVMTSHFTICKCIPRSYQSMKTLADSRPRVQGRFARNIETEAEAIADLEQEASDNNSYEHCNYSDFNNNSSNSYDSLCRGSGKSTTFDAGKWWWETPIEAAAANGHHGHHHYQKQQLLGFDMDGDDEDELWASLADMCSGT >Et_6A_046260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11614574:11615400:-1 gene:Et_6A_046260 transcript:Et_6A_046260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HAEGSRAPQLDNEMKPQDEDNKLPASFIFGPQYIEQNVYQLCSNEDKILAKSLMRVCSLFLEDLHIQKPLSKERYGSTHKVYIVAKQDRSILEGFQRWMVLNNPVEEVKEIDCADHMAMLSEPDEVARCIMDIAEKYS >Et_4A_034952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8071564:8074109:-1 gene:Et_4A_034952 transcript:Et_4A_034952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKAGSLLVKLIGGHYQWDWIIPMASFPAYFGEACLMMVTPTTTAQVMIISGSLIRSLQSRHRWPQHIPNPSSSMSRTNTETDNPEDWEFISDESLNYISRMLMEEDIDEKVSVYQAESAALRAAAKPFYDILGHKFPPSPDHQPISWSLDSPAESGSSNHTQSLASTVTSNSIGDAVDSNQRYSEQLETYRGLLGQSPLPLVGPSSDSCIPDGMLEYPLITNGRIPEYLFESLPTWDFRSNVEAAQKFLPGSDKLVIDLEATSVARPQEIRKDVSLGAKKAEVLNSKKNRQSEDLDLLEGRNIKQSVFRSDEPDWIEMFDNLLRQTEKKATVLREMMRNEASKNSQVPQTKPSGPRGRKPTKRDVVDLRTILIHCAQAVAADDRRTANELLKQIRQHSKPNGDGTQRLAHCFADGLEARMAGTGSQLYHKLVAKRTTASDMLKAYHLYLAACPFKRLSHFLSNQTILSMTTNATKVHIIDFGIYFGLQWPCLIRRLSKREGGPPILRITGIDVPQPGFRPSERIEETGLRLAEYARKFDVPFEYQGIASKWETIRVEDLKVGKDEVVVVNCLYRFRNLIDETVAVDSPRNRVLNTIRQINPAIFIHGIVNGSYSVPFFITRFREALFHFSALFDMLETTVPRDDAQRALIERDMFGREALNVIACEGSDRVERPETYKQWQVRNLRAGFVQAPLTQEIVMKAKDKVKDIYHKDFVIDEDSGWLLQGWKGRIIYAISTWKPNKN >Et_5A_040385.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:18666537:18666824:1 gene:Et_5A_040385 transcript:Et_5A_040385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEPDSVIWRTLLGACRIHGEIELAEYANRELLKARSDASGDYMLLSNIYASVGEWLGLEKMRKFMDDSGVNKEVGHAIVDGQSKEPLQSYRQF >Et_9B_066040.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21110392:21110685:-1 gene:Et_9B_066040 transcript:Et_9B_066040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRHGISGETGASMRLVLAVVLALCLLLSRCSPCEGRKLPAAEADGGKVVHFEAGMVLRVSPPPPSSGEEETAVSRGFTARAERLMRSVPSPGVGH >Et_3A_027355.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:8618549:8619034:-1 gene:Et_3A_027355 transcript:Et_3A_027355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRMFGLETPLMAALQHLLDVPDGEAGAGGEKSGASGPTRTYVRDARAMAATPADVKELPGAYVFVVDMPGLGTGDIKVQVEDERVLVISGERRREEREDAKFLRMERRMGKFMRKFVLPENANMDKIAAVCRDGVLTVTMEKLPPPEPKKPKTIEVKVA >Et_5A_041044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17168167:17172825:1 gene:Et_5A_041044 transcript:Et_5A_041044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEYDVIVLGTGLKECILSGLLSVDRLKVLHMDRNDYYGGDCTSLNLNQLWKRFKGEETPPGQLGASRDYNVDMVPKFMMANGALVRVLIHTGVTKYMSFKAVDGSYVFNKGKIHKVPSTDMEALKSPLMGLFEKRRAGKFFLYVQGYKDDDPSTHKGYDLTKITSKELISKYGLDDNTIDFIGHAVALHRDDSYLAEPAIDTLYAESVSRFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLSKPECKVEFDEEGKVSGVTSEGETAKCKKVVCDPSYLPDKVKKVGKVYRAIAILSHPIPNTAESHSGKFIAFVSAQAETDNPETELKPGIDLLGPVDELFIDTYDRYEPTNDSSSDNCFISTSYDATTHFESTVMDVLSLYTKITGKTVDLSVDLSAASAAEDDV >Et_7B_053707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11271207:11271975:1 gene:Et_7B_053707 transcript:Et_7B_053707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSTVSGLAGAALASRPAFSTSFTRGSRVSARNPLMTRNLERNGRITCMTFPRDWLRRDLNVIGFGLIGWLAPSSVPVINGNSLTGLFFSSIGQELAHFPTPPAVNSQFWLWLVTWHLGLFIVLTFGQIGFKGRTEDYFEK >Et_4B_039388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12837400:12840491:-1 gene:Et_4B_039388 transcript:Et_4B_039388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAALLVLILVVVASLALAIAWRRRRSRCYLLDYVCYKPPDDQKVSTETMSTVAERSERLSLPARRFLLRVLLRSGLGEHTYAPRTVLHGREDSPTQQDAHDETDASLHGAVAELLARTGLRGRDVDVLVVNASRLVRAHGMRDDVAAYNLAGMGCSAVLAAVDVARNALLARAPRPATALVFSSECIAAHWYAGEDRSMLLANCLFRCGGAAALLTSDPALGRARAKMELRCLVRSNTAADDDAHACAALRDDAGGRVGIGLSKALPTAAARAFAVNFRALAPRVLPVAELARFAAATAWQKLMRRRSGDGAAAAAATTMINFKAGADHFCFHTGGVAVIGAVKRSLGLDDGDVEPSRMTLHRWGNTSGDRVLMLTFGSGFKCNSRVWEVTGDMADKGAWADCIDGYPPESLANPCMDKYASPSHGAVALDSYYEKVVVLTRCKKTIKEGVPYTPPTDDCRAAVQKSDKNCICEILTSEDEQRVNPKKLVRLASECGNPVLVGIHCGPNWIVPPYSPPPPGHAHDSS >Et_8A_056921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18959029:18965112:-1 gene:Et_8A_056921 transcript:Et_8A_056921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTPATAGELLRIEPLELRFPFELKKQISCSMQLSNHSDDFIAFKVKTTSPKKYSVRPNTGVVLPRSTCDVVVTMQAQREAPPDMQCKDKFLVQSVVAPAGITVKDVTGEMFTKESGNKMEEVKLRVTYVAPPQPPSPVPEESEEGSPSRVSESENGDGFTKALRERMDSQEKSSEAGALISKLTEEKNSAIQQNHRLRQELDMVRREISKRRGGGFSFIVVIIVALIGIFLGYLMKSEVMKTCSGKQKTATSS >Et_10A_001395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2644753:2649108:-1 gene:Et_10A_001395 transcript:Et_10A_001395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCFSSGLGVLLELDSGEKSENLQSRGSGSRRMDQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIVIGYCEGGDMAEVIKRATGNHFSEEKICKWLVQLLMALDYLHANHILHRDVKCSNIFIARDQSIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGTKSDIWSLGCCIYEMAALKPAFKAFDMQALINKITKSIVSPLPTRYTGAFRGLIKSMLRKSPEHRPSAAELLKHPHLQPYVLQVQLKSSPSRNVSSIYQSLTDKVKKMTFPNDITDSARRRAARRNSLGNERTVTFCKPSPERNSISSTRSIKEYTTTLSVKELSIDSSQVDDEVTSKAIITKTPGILRTPKSAPTKTFTARNRLDPPKTSYTRTNHNELPSRAPLNKSARTARRASLPLPTYGTASKSTISILEQLDSPDVSVNAPRIDRIAEFPLASSEDPLVAINKPSSAHGSCSTPPFINRSITKDKYTIQVSHTDGDNGSDSSGRNATAASSRGSNDSRLQRFDTSSFQQRAEALEGLLEFSAQLLQQERYEELGILLKPFGPEKASPRETAIWLTKSFKETSS >Et_1A_006928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28983796:28991999:-1 gene:Et_1A_006928 transcript:Et_1A_006928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAWAAREGGGARGADKKAGDGAALLGSSVASWLYRLALVFEPPAPRVCGTPGGPPVTAERHLAYCESGVPREEARVKIIFSHGFTGSRLDGLRASEVRRSAQLLWASTPAALSCVGKRSKVKDAGQDDHSSLGMGPLVGQLGDWLCRAVMQPPPPRACGTPVTARRVRLSDGRHLAYEESGVPRESARFRIVFSHGFTGSRLDSLRASPELGVYMVGFDRAGYGESDPNPNRSVRSAALDMEELADALGLGDRFYVVGFSLGCHAVWGALRHIPHRIAGAAMLAPVVNYWWPGFPAELAASEYGRQQYGDQWALRVSHHAPGILHWWMEQSWLPTSTVVDNTTHLPNKRDAEIRRTLTADGTLQKKRELATQQGIHESYYRDMTVMFGKWEFDPMSLPEPPCPVHLWQGDEDGLVPVALQRHVAGKLGWVNYHELPGTGHFLSAVPGLGDTVLRTLFGNGSTPVGLLVFMVAALVLGWFVNAVRPPPPTPCGTPGGPPVTAARVRMRDGRYLAYAESGVSRDKARFKVVYSHGFSGSRMDSPRASQELLEELGVYMVAFDRAGYGESDPDPRRSLRSAALDIQDLADALGLGPKFHLICSSLGCHAGWASVKYIPHRLQGLAMMAPVINYRWSGLPRGLARQLYRMQPAGDQWSLRVAYYAPWLLHWWMDQAWLPTSTVIDGSAPFPNALDEKNRVAALSTGMFHKRARQATQQGVQESFYRDMAVMFGRWPEFEPTDLKEPPFPVHLFQGDEDGVVPVQLQRHICRRLGWVNYHELAGVGHFLSAVPGLGDRIVSTLLTAPATA >Et_4A_035306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1903494:1906418:-1 gene:Et_4A_035306 transcript:Et_4A_035306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATALSLSGAGRGGGGSLLLRRYTTAAGLCCAFPRSRWRPPRLAASRADDSSPAPFEMTLEGALKLLGVAEGASFDEILRAKNAVLASCKDDQDAVAQVEAAYDMLLMQSLSQRRAGKVADNSIRYADVKTVKSAGAGTVPQWMQATMKNAPVTFEVPSSNSLGIQSCVYGALMVFTYASGSSTSLPSSYTAPDVPGFILATGFGASLYFLAKKNMNLGKAALITVGGLAAGATVGSAVENFLQVDIVPFLGIHSPAVVVSEFILFSQLLVSLFVR >Et_4B_038612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3305337:3313370:1 gene:Et_4B_038612 transcript:Et_4B_038612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVVASTVPPLRPLVRRTDLLNPKPLLRSRLPPQPFRALSSSPPSAGIADDAVVVEEEHLTRCAAVSRAPLRVAVLVSGGVDSSVALRLLHAAGHRCTAFYLKIWFQEDFRNFWSECPWDEDLKYAQAVCDKINVPLEVVHLSDEYWNHVVSHIINEYRCGRTPNPDVLCNTRIKFGAFLQAIENLGFDYIASGHYAHVTHPSSENTEEPSSLQLSKDKVKDQTYFLSHLSQSQLKRLLFPLGCLKKDEVRKLAAQMGLPNQGRKDSQGICFLGKVKFSEFVERHIGEMEGVILEAESGDYLGKHRGFWFYTIGQRQGLRLPGGPWYVVEKDVQNNVVFVSRNYYSLDKRRRTFRVGSLNWFKSSGPANNEQLKCKVRHSPEFHDCSVKIEHNGENGDVLVVHLSEDDQGLAAGQFAAFYRGNECLGSGIILDSWDEMSFPVCSRALELARLEDKSSLGKPVRIMNLEHIVKSEQEATKVA >Et_9A_062741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:426247:427679:-1 gene:Et_9A_062741 transcript:Et_9A_062741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHLSITNSNFKFLSTTDLGSKVNTLSFTVTSNNSHFQHLLQWDESITIGHHGTSHLAIVNHKALLDAGGAGSDFTIKISLYDFNCKGTLDCIFHHSIRHVHSTEPGSKGANFHLAFLFKVRRLIVKIPLLSCLSSCSPSSALILRSVSTYASPISTSPRFGPVKINESSYEKNWHDKRYISVYKYTVAKLEGLGLALLVEVDEGQTVVVDAVLLGIEVEDASLGSMPSSSGFIFHVASCLSLSALAARSGSAMAGSKEKSLTL >Et_9B_066052.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21574339:21574722:1 gene:Et_9B_066052 transcript:Et_9B_066052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDMDSEPSTPTSYFSACMASPAWLPPGVRRSPARFQLLARDDAGGRGGRRPWRGLLRRLLRESKSICNPTRAPVTSTFNYDADSYAKNFDDGHWQLPYAAGPAPSPLASRRPAGDRLPAGEGQLA >Et_1B_011567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23709998:23712440:1 gene:Et_1B_011567 transcript:Et_1B_011567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTFIMIKPDGVQRGLIGEVISRFEKKGFYLKAMKLVNVERSFAEKHYADLSSKPFFAGLVEYIVSGPVVAMVWEGKSVVATGRKIVGATNPLAAEPGTIRGDFAVDIGRNVIHGSDSVENGRKEIALWFPEGLAEWQSNQHPWIYEA >Et_2A_015036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27068094:27069131:1 gene:Et_2A_015036 transcript:Et_2A_015036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQQQVAGNRVVSYSLTCYLLAPLSAHSSDVVAQKFVHNQDALKVHVVHLGAALHMVEGEGAKERVARGGLKGKPSSVNLMEVAAVVAMKGARRQQEENLAFVLSMVGERDARKRTVQRVRRVNLAFALLMEAGGAANMKVAQRVPRVALICASLMGVARDARIQIVARVRREAHSSARATEEGNAVQLKAAQRVSMVAPSSVLLMEAGSDVQCQDAPRVLGDGQTAVFVMVGANDVNLLDAVRVHKGVPTFARLMGEASVAYGANQDRALELAVFLASAFQEARMDCASHTML >Et_6A_047568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7497191:7501581:1 gene:Et_6A_047568 transcript:Et_6A_047568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPGRRVLTAGACALLVAAAFFMAATLVTTQPFQRSSLLLPCLPEVNAPSGSGYAAPGVAALADAAVGYAVARTVPQQTRAEIALSLAVLHRRAPLRLLVLGLGRDSALWHALNPGGATVFLEEDPVWYRDARKRWPHLRVHLVAYRTRLDAADRLLAGYRTQPACLPDLAGDDLDDVRVRGNAACPLALHDLPPEVYENEWDMIMLDAPKGYFAAAPGRMAAIWTAAAMARATRRGGHGRVPARRQQEGGEGVRRRVPLREVPRGTGWLWHFSIPPASRRRSNGTAAAGGRRPTNGTGKQQLQSAAMDGEEDLSWEIKPKVWHNSLQEMIKLPAPLCSVIGREATDDKESMKARDMPMLGRRPRVRQAVAWPAVR >Et_9B_065111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21353956:21357126:1 gene:Et_9B_065111 transcript:Et_9B_065111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTRVLLCFFSCVAMAACLFPRHAAGQAATVDVEGQVLLEIKRAWGDPPALASWTAGSAASRCGWAHVSCDASGRVASLALPNVTLPAGAVVPDAVGRLTALATLDLSNTSVGGGLPASLFNCAGLTYLALDHNNFTGEIPAALSRLKNLTYLALNENYFNGTIPPELGELTSLETLKLEVNSFGAGALPESFKNLTKLKTVWLAQCNLTGEFPSYFTEMPDMEWLDLSTNGFTGSIPPAIWNLTKLQYLYLYDNNLSGDVVVSGTVIGATGLIELDIATNQLSGTVPEGLGSLMSLRVLNLYENNFSGEIPASIAQLRSLVILKLFTNNLTGSLPAELGKHSPVLRDIEVDDNDLSGPIPEGVCDNGKLWIISASNNSLNGSIPASLATCPALISLQLQNNKLSGEVPAELWTETKLITLLLQNNGHLTGTLPEKLFWNLTRLLIDNNRFSGPLPSSAVRLQKFHAANNAFSGDIPAGLAAGMPLLQELDLRRKRRQAEEEWKMTPYQPLEFGEAAVVRGLADENLIGKGGSGRVYRVAYASRVRGGPGGTVAVKRIWTGGKLERELESEVNILGHIRHTNIVKLMCCLSRADTKLLVYEYMDFGSLDKWLHGHNQWMPAAAQTAVARAPSIVRRAPLDWPTRVRVAVGAARGLCYMHHECSPPIVHRDVKSSNILLDSELNAKVADFGLARMLAQAGKPDTVSAVAGTFGYMAPECGYTRKVNEKVDVYSFGVVLLELTTGREASDGGEHGSLADWAWRYLQSGKSIADAADKCIRDAGYGDEVEAVFKLGIICTGAQPSTRPTMKDVLQILLRCEQGYQKTLDEKVAEYDAAPLIQVRGGSRRKQFSDAKEIDDGKADFISSV >Et_9A_062909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6558209:6559749:1 gene:Et_9A_062909 transcript:Et_9A_062909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNGGGGSGFGGEEEGSNLELSLGLPGYYTRSPGRAAGVEEMTSGGSAAAATGKLMKGSSGFKARPAAAAPVVGWPPVRAFRRNLDASSSKPSHAPSSHGHLDAAGTKVEAGKKRLFVKINMDGVPIGRKVDLAAHGSYDRLSAAVDQLFSGLLAAQASGGEQKVITGLLNGSGEYTLVYEDDEGDQMLVGDVPWEMFIATAKRLRVLRSSDLNASSLRAASRTKFAVER >Et_5B_044800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:666951:678736:-1 gene:Et_5B_044800 transcript:Et_5B_044800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHGHHHQPPPPPQERTFKIMCRADEGYCLTVRHDAVVLAPTNPRDDSQHWYKDMKHSTRVKDEEGHPAFALVNRATGLAIKHSLGQSHPVKLVPYNPEYLDESVLWTESRDVGKGFRCIRMVNNIHLNFDAFHGDKHHGGVHDGTQVVLWEWCKGDNQSWKILPWGDEAYAPPAYGGGGYGYPSVGGSQQPGGAYYPPPPQEPGYGYAPPPAPGYGGYSSNLPRALASESTVRIYSKADDAYSLTVRNGTVCLAPTNPRDEFQHWVKDMRHSTSIKDEEGYPAFALVNKVTGEAIKHSLGKSHPVGLVPYNPDYVDESVMWTESRDVGHGFRCIRMVNNIYLNFDAFHGDKDHGGVHDGTTVVLWDWAKGDNQRWKIVPWCKKMSWFGHHHNQPAPAASGPNQVFKIFCKANENYCLAVRDGNVVLVPANAKDAYQHWYKDMRFSTKVKDAEGMPAFALVNKATGLAIKHSLGQSHPVKLAHFNPEEEDASVLWTESKDHGKGFRCIRMVNNTRLGFDAFHGDKDHGGVHDGTTVVLWEWCKGDNQSWKILPWGPEADSPAAGPGNFSFAGVPVHTVRVFCKAGEDFSLTVRNGTACLAPTNPRDDYQHWVKDMRHSTKIRDEEGYPAFALVNKVTGEALKHSTGQGHPVKLVPYNPNGLDESLLWTESRDVGDGFRCIRMVNNIYLNFDAFHGDKAHGGVHDGTEVVLWEWAKGDNQRWKILPCYGGYGGAIATPGYAPPAPYGMSQVNIEGNGCGRPLPPQPTVKVYCRANPNYAMSIRNGKVVLAPANPKDDYQAPLDQGHEMEHDHQGRGRLPCLCSGEQGNRGGYQALPWPVPPCKPLSLSALVLRVHSRQSHVMTDDEQVRLVPYNPDYLDESVLWTESRDVGNGFRCVRMVNNIYLNFDALHGDKWHGGVRDGTEIVLWKWCEGDNQRWKIQPYY >Et_3A_025395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29449373:29456910:-1 gene:Et_3A_025395 transcript:Et_3A_025395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAVGGCSSAALAFFRLRPLRRTVRPAAVLAGGCSSLHRGCRSRLAHSLADEVLEELRSRRRVRVSAKIGLHGTKELSDNKIDKRTLQKGLLLEFQKDSERSLLAVVERPDGKKNWVVTDQNGILSSIKPQQVTYVVPGILNFDYSKIVEFLEKAQDLLDPTVLECAWMELSEKDKTITVEEFAEIVYGSKESLESYCAHFLLSRDIVYFVKVEKKDYSIYQPRPPAQVEELLRRKIAKEAAEKELEEFVHLLKSAKALPLDSKPPKSSWFTEDKVREKIEALQAYAVDACDDEQRRLAGNILKAMGFTRTSSAALKLLMNVGYFPVHVNLDLFKYDVRIRYTEEVLSAAEELLSDCPDSDLSIRKDLSTLKVYAIDVDEADELDDALSATRLPDGRIKVWIHVADPTCLVKPRSIIDREAMHRGTSIFLPTATFPMFPERLAMNAMSLQQSKECRSVSVAVTLDPDGSIAEYTIENSVIKPTYMLTYESATELLYMNLEEEEELRILQEAATIRAQWRHTAMIEPRIKVSNPDDPEPNINIYVEDQTNPAMQLVSEMMILCGEAVAAFGSDNNLPLPYRGHPQSNTSVSAFSHLPEGPARSFANIGVLRAAEMDFQKPVPHGVLGIPGYVQFTSPIRRYVDLLAHYQVKAFLRGDSPPYSASDLEGMTFIASMHVKVARRLHSNSLRYWLLEYLRRQPKGREYKSLILKFIKDRMAVLLLLEVGIQVSTVVSRGKVGDEVSIVVETAHPRDDILSVREVSYTS >Et_9B_064991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20190344:20204554:-1 gene:Et_9B_064991 transcript:Et_9B_064991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERVPLLPRRTERQEKCGGGEGARWWRDLAQEGGKVGRVALPMAAVSLSQYAVQVASNMMVGHLPGVLPLSASAIATSLATVSGFSLLIGMASGLETLCGQAYGAKQYDKLGLQTYRAIVTLVVVSIPISLLWAFIGKLLILIGQDPLISKEAGRYIAWLIPGLFAYAVSQPLTKFLQSQSLIIPMLWSSIATLLLHIPLCWLLVFKTSLGFIGASLAISLSYWLNVIMLAAYIRYSSACKQTRSPPTVEAFKGVGLFLRLALPSALMLCFEWWSFEVLILVSGLLPNPELQTSVLSICLTTITLMYTIPYGLGAAASTRVSNELGAGNPEGARSAVRIVMTIAALEASVVTSLLFASQHIVGYAYSSDKEVVAYVNAMVPFVCLSVAADSLQGVLSGIARGCGWQHLGAYVNLGSFYLIGIPVALFLGFVLKMEGKGLWMGISCGSVVQFLLLAVITFFSNWQKMSQKARERVFSEELSDKEPLESDGPLIFRYKGIRSVAESVRLLPLSGGGGRNEKCGGARWWSDMAREGGRVGRVALPMAAVSLSQYAVQVASNMMVGHLPGVLPLSASAIATSLATVSGFSLLTGMASGLETLCGQAYGAKHYDNLGLQTYRAMITLLIVSIPVSLLWAFMGKLLILIGQDPLISKEAGRYIAWLIPGLFAYALSQPLTKFLQSQSLIIPMLWSSIATLLLHIPLCWLMVFKTSLGFIGASLAISLSYWLNVIMLDAYIGYSNTCKETRSPPTIEAFKGIGLFLRLALPSALMLCFEWWSFEVIILVSGLLPSPELQTSVLSICLTTISLLYTIPYGLGAAVSTRVANELGAGNPEGARSAVQVSSLEATLATSALLASHHILGYAYSNDKGVVAYFNAIVPFMCISVGADSLQGVLSGIARGCGWQHLGAYVNLGSFYLVGIPVALFLGFVLKMEGKGLWMGISCGSVVQFLLLVLITFFSNWQKMSEEARENVFSENLSDSDKETLVTVGTNHI >Et_7B_053512.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3905732:3905935:1 gene:Et_7B_053512 transcript:Et_7B_053512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKIRKKEKRDVAAVIINTVWNLWKERNRRVFQQQSLQPKQVLKLIKEEMEMRALAYGHQGEERQEP >Et_6B_049492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5677883:5686564:-1 gene:Et_6B_049492 transcript:Et_6B_049492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPTSAAPARAAKAVSEEIQDQEVEKVAAASNKRRRRGSSGKKPKPPPKKAKTAKVRKKKEEDARVKEPVEEDEGAAAAEEAAAVSPEKAGRKRVAQPHSRRAVGSGVDHDPEFVGDPVPAAVARAKWPKRYNLNAAARKPEDEEEMKAIRHFSSAKVDNVVYCLGDDVYVQAGDNENDYIGRITEFFEGTDRHSYFTCRWFFRPEDTVINSLVSINVDGHKHDPKRVFLSEEKNDNVLDCIISKVKIIHIDPNMDSKGKARMIQDCDLYYDMSYSIAYSTFANIPSENGASGSETASAISSEEVDLETSSGVAGKTATLLDLYSGCGGMSTGLCLGAALAGLRLETKWAVDLNSYACESLKYNHPQTEVRNEKADDFLALIKEWANLCNLYVHEDFGSDLAGSTAEEGDDSTSELEDGEYVVEKLIGICYGGSSRDNGIYFKGDVDVICGGPPCQGISGFNRFRNYDDPLKDEKNKQMVTFMDIVAYLKPKYILIENVVDILKFADGYLGRYALSCLVAMNYQARLGIVVAGCYGLPQFRMRVFLWGALPSMVLPMYPLPTHDVVVRGGVPNAFSQSIVAYDETHKPSLKKALLLGDAISDLPKVENHQPREVMEYGASPKREFQHYIRLSRKDMLDYSFGGEAGPDEGKLLDHQPLRLNNDDHQRVQQIPIKKGANFRDLKGVKVGVNNTVEWDPEIERVYLPSGKPLVPDYAMSFIKGRSLKPFGRLWWDETVPTVVTRAEPHNQIILHPDQARVLTVRENARLQGFPDYYRLFGPIKEKYIQVGNAVAVPVARALGYCLGQAYLGESDGSDPLCVLPNSFTALGQTVARASSVSIPAGEVVPRCQIISSIVRHDSRPAIHRMKSDNLRSTDDERTALEQAMRAKQKARDPRT >Et_6B_049676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8511186:8514024:1 gene:Et_6B_049676 transcript:Et_6B_049676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGRMPTRTGGRWRLLGSPCQASERSGTGGRSGTSTTSSGLTLTPVPSPRSPCCSNLLAKIDSFLRMYAASLVSKEDPEHQAIETDASPGVEHHKEASIDDGTVDDVDVELCDTIVDTDMDEQHKDSANDETMDIDKADSKNPLAATEYVEELYNFYRETEEASCVKPDYMTSQEDINSKMRAILIDWLIEVNYKFELMDETLFLTVNIIDRFLEKQVVPRKKLQLVGITAMLLACKYEDVSVPVVEDLVLISDRAYTKGQILEMEKLILNTLHFNMSVPTPYVFMRRFFKAADSDKQLELVSFFMLELCLVEYQMLKYRPSLLAAAAVYTAQCALNRCQHWTRICELHSRYTSDQLLMMVDFHQKAGNGKLTGVHRKYTSFKLGCAAKVQPALFLL >Et_3A_027229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5405533:5406144:1 gene:Et_3A_027229 transcript:Et_3A_027229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVLELSGNDRCFSDDEEELLIKRHALLGNRKKSSMTATRNSLTILCVRADMNFRYAHSWSLIAGRLPGRTDDEVKNHWNLQMRRKLKRVGVNLDSHRANHLPSPDDHQQGRRLPWKQCEQCPEKHPDHRRASNSMAKDCVSDPGSCFRAQQEEIHRGLNLELTLCTPLYFGKKQMPNN >Et_6A_047251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:302196:303692:1 gene:Et_6A_047251 transcript:Et_6A_047251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPLSKTLCPPMSHFDERYVSNKCMGDGDGFTAYVDTTDPRESATVPLEVHELVIARTQARNDRDYQTADALLSTIGEAGYKIITISGEEILARKYRIRMRGIDAPELKMPYRKESKNALVKLIGGRSVTIYVYGHEQFGRYVGDIYCDNVFVQEQMLKCGHAHHFKTYDKRPEFAKWEREARAANRGLWASQNPEKPWDWRREQCHGRQDAVLVY >Et_5B_044112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19996159:19996980:-1 gene:Et_5B_044112 transcript:Et_5B_044112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPEDILVAILERIDSHVSLLRTASTCKGWRSIIADATFLRRFRSLHSPTIAGEYYCKYPLSFIPSPSSLINAGHYSLDFLLDHDGEEDYCTLPPSDAALEALSDDIYLVLCEPLTRRYKRIHQQLELTDGTNIWCSGAFYLIDGAGEDEAGSSIGMSNFRVVCEHYLDGTMHVMVLNPDDDDRIEKDVSSIVEQVVLSVVDRAAGSWYFCDNDRSNILVVLDGRTGELSTFIVPPSENWERLGSCNYCVTEGRDGKPRVCSVPDGDE >Et_3A_025012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26055340:26059338:-1 gene:Et_3A_025012 transcript:Et_3A_025012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGVPPGRGSGGGSRSFDFGGDDVLCSYDDFAATSSEPKRPDPVDKDFHESRLGRPFVKVYEQESYGKEDVLSAVEKCMKKYADNLLRSLEGITSRLSQLEIYCYKLERSIGELRSDVLRDDTDQRLKSLEKHLHEVHRSIQILRDKQELAEAQKELAKFQLAQDTSKMKEDVPTPSFSEPKKLEENPDTSGQQLALVLPHQVNSTPLAPRPSEPVPQYKEQPVQQPASSSLVPQQDRYVLSQAIVYYPQRQTPGIQDTQGQQVQPEVQYLPARPPAQDVAVHASSQQPQAANQSQPQTYPPYQQQWHQQSSQPTPAPVAQSQQTYSQPFPLPTQQPQSQLTNVQQFPPQAVQQAQPSAQQYPPPPVQQAQSSAQQYPPPQAQPQQSSPQLPPQAMQPQQSSPQLPPQAMQPQHPPVQPQMRPQTPPNYPHYPPHQPLNPTPESLPSNVALHGQYNTVASSGGSRSEVAYSYGGPGISPSQPPQQHNMQRHQLPPSQASFGPPPSKGSYAGPPQYAPQGNPQGYNTGYGYPPSGASSAPPPQMPPGGAGMSHPGSHMMRGHPHGEMIEKAMIMGYPREQVLNVTQRMAESGQQMDFNTLLDRLNEAGPGAPPHAW >Et_4A_033016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17010006:17010716:1 gene:Et_4A_033016 transcript:Et_4A_033016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVETKPAGESTSTASAGHLFVVVVDGVESSIHEGTLHGNAGGTVTVTGPGQLSAEGLRSVLVRGGGGGSVRFALCADAAAEGVDAASFDRCGSVRVDGAREVSVSRCRAAEVERAGKVSLERCREARFRGGGALRAARCRRAEVESFGGARLARCKAARADWCGTVEVELCREVDVSRCGAVTGERCRFVNAAGCGSVAVSDAEVNMLDGELLRPPCIRSTCRAEDSAA >Et_3B_030516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4559005:4569134:1 gene:Et_3B_030516 transcript:Et_3B_030516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQASVLRGRVRLLRRPRPLIMPSPLFLSRNPNPSPSTNGSSPAPAAMSTSGVYVPPMRRLRSVIASTNGSLAPPPSAAAQAQPAARTPEWRMDGRSLSPQSPPQPRRREAPLPPRPPPPEHFRQQSAGYARYAYDDFSEDDSDREMDRSSVSSSKGASTLDNVDEWKWKLHMLLRNDNEQEIISRERKDRRDFEQLAQLAGRMGLHSRQYSKIVVFSKVPLPNYRSDLDDKRPQREVSIPAGLQREVDALLTDYLARKRMNNGSFPNAAFSRSSSTDSFATDEGFFEQQDNQTSTSAVMERIQRRKSLQLRNQQAAWQESSDGQRMMEFRCSLPAYKEKQALLEAISQNQVVVVSGETGCGKTTQLPQYILESEIDAARGASCSIICTQPRRISAISVSERVAAERGEKIGESVGYKVRLEGMKGRDTRLLFCTTGVLLRRLLVDRSLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLILMSATLNAELFSSYFGGAPMIHIPGFTYPVRTHFLEDILEFTGHRLTPYNQIDDYGQEKSWKMQKQALSKRKSQIASVVEDAVEAADLRNYSSRTRDSLSCWNPDSIGFNLIENVLCHICQKERPGAVLVFMTGWDDINALKEQLLANPLLGDPSKVLLLACHGSMASSEQKLIFDKPEPGVRKIVLATNLAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPTWISKASARQRRGRAGRVQPGECYHLYPRCVYEAFADYQLPELLRTPLQSLCLQIKSLRLGSISEFLSRALQSPESLSVQNAIDYLKVIGAFDQNEELTILGKHLSMLPVEPKLGKMLIFGAIFNCLDPILTIVSGLSVRDPFLTPFDKKDLAESAKLQFSCRDYSDHLALVRAYEGWREAERDRAGYDYCWKNFLSAQTLKAIDSLRKQFLYILKDTGLVDENMTSCNKWSRDENLVRAVICAGLYPGVSSVVNKERSISLKTMEDGQVMLYSSSVNGKEAKIPFPWLVFNEKVKVNTVFLRDSTAISDSTLLLFGGNLQQGGLDGHLKMLGGYLEFFMNRDLASTYLSLKSELESLIHSKLQNPRMDIQTSEELLSAIRLLVTEDPCSGRFVFGRQEPRSKKAKMMIHATPASMSGGDNPKNQLQTLLTRAGHGNPSYKTKQIRNSLFRSTVEFNGMEFVGQPCANKKLAEKEAAEEALNWLTGEAPTNSRDQRDVDPMSILTKAPRRRRHTHRRRN >Et_1B_014148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32877668:32880227:1 gene:Et_1B_014148 transcript:Et_1B_014148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFKAPAPGFAVRFSPFHENRLLASASQHFGLVGNGHLLVLDLAAGGPGAAPAPVFSFPTSDALFDCAWSESHESLCAAASGDGSVRLFDAALPPAQNPVRLLREHAREVHGLDWNPVRRDAFVSASWDDTLKLWSPDRPASVRTFRGHEYCVYAAAWSARHPDVFASASGDRTARVWDVRDPAPTLVIPAHDHEVLSLDWDKYDPSILATASVDKSIRVWDVRAPRAPLTQLAGHGYAVKRVRFSPHRQGVLMSCSYDMTVCMWDYRAEDSLLARYAHHTEFVAGIDMSVLVEGLLASAGWDEMIYVWPFGSDPRAIEYGNGMARAEKTLRRDVSLIGGSS >Et_10B_002682.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:7391960:7392142:1 gene:Et_10B_002682 transcript:Et_10B_002682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLRREAPLPNLPSKMPVAMFMPPVGGSSLSESGAGTGASGSRTTTRTSVAHEASTSRR >Et_6B_049605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7077617:7088706:1 gene:Et_6B_049605 transcript:Et_6B_049605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMELKRGCEAAINGKEKDKEKVVMCMRVAKGRGAKGQRTKAFLRVLKYSNGGVLEAAKVYKIKHLSKVEVVQNDPSGCTFLLGFDNMRSQSIAPPQWTMRNKDDRNRLLMCILNMCKEHLGTIPKENTPVKVTKDSSKDGPIESVVLDAESQVTVEKDLVLQAEEEDIEALLGNYVMAIGEAEAFSERMKRELVALESANVYALMETESVVEEVLQGLEIATICVEDMDEWLGIFNIKLRHMREDIQSIEWRNNRLELQSDSNVALIEELDKMLVLLQIPPEYEASLTGGSFDEGNMVKNIEACEWLTSAIKNLEASNLDPIYVKLRAVREKRAEFVLLKCTFVRRASEFLRNYFPGLIDSMLNNKNNFSQRGHLQRPDHADMRYKCRTYARLLQHIKSLDKSCLTPLRKAYCHSLNLLIRREACEFSNELRTSSKASKSSTPLFEGPPGANQPATVTDSSADAYSKMITTFIPLFVDEEDFYALVDWAFKLDPLSCISMHGITDRYLSGQKAEVTGYVHVLLDDLETRITILFSRFIDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRYLVDQAYTKIVSIMFVILEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARKIEELMYNMSPEEIPFQVGMSKVEFRKMLKSSLTGLDKTINAMYRKLQKNITAEELLPSLWEKCKKEFLDKYATFLKLISKVYPDEKVTSATEMRDILASL >Et_9A_062508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23652877:23655232:1 gene:Et_9A_062508 transcript:Et_9A_062508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSLGPKSTALRRPLRVLSSNKARRPPESVRTKYPPPAAAQQPESVRSKAPPTEAACPPESGRSKPPLPAETSDAADAALDRLLLARSDLAGIVSQIDELISDALQCQTISTRGKQEIETFSGFLSNTNASLKQWSSRLKQAFEICPAKIENVPKQSLGVSSNPAVKESGKLNKSSSNLPAAESVATPSCNLLSSSNRNLPDDDMIVSPSPLVSWRTGACMVESGKQLFLLTPLPKTKECSSRFRTSKTQLKTAPSLDQLNLPNLPVWKLTIADDGHPDPEQAVKVKEAKTGTATPHATTTYKGSLEDRSPCTFSVQKNMRALPRSCLKTALSSKQQLFSPIPEGSRKEDISSDGTTQGDKRSKAYDDLSSSDEISKDLASRYDLYGFNQISNNTHCRRETDDTLQWFLSPLKTCVLMNPSDDDKSIPSPATINMKGKQDVLDDKPIQTPALHSKALLATPWKGLESTNLKGRKAGETTLKKELWTRFEAASTNELHFDKTLFQKIEGKQTTLKKKLWIRFEAASTDELHFDKSLFQKIEGKRFLEMLEEGT >Et_4A_031810.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:17624514:17627044:1 gene:Et_4A_031810 transcript:Et_4A_031810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDMQMVSALLEPKTQARKSTQSDCHYPQPQPASIPRISFATRKSPMLTSSLPPPTIPGSKPAKPRPAAVSASASAVVSAPADAVGGGGGGRLSALIRSLCSAGRTAEAARALAAAGDGAGVVAYNAMVAGYCRAGQLGAARRLAAAVPVPPNAYTYFPVVRGLCARGRIADALAVLDEMAARGCAPIPPMYHVIIEAACRGGGFRSAVWVLEALRAKGATLDTGNCNLVLNAICELGSVDEALKLLRKLPSFGCEADVVSYNAVLKGLCMAKRWGDVEELMEEMVRVDCPPNIVTFNTLIGYLCRSGLFERVHEVLAQMYEHGCTPDIRMYATIIDGICKEGHLEVAHEILGRMPSYGLKPNVVCYNTVLKGLCSAERWKEAEELLAEMFDKDCPLDDVTFNILVDFFCQNGLVYRVIELLEQMLEHGCMPDVITYTTVINGFCKEGLIDEAVMLLKSMSACGCKPNTISYTIVLKGLCSAERWVDAEELMSQMIQQGCLPNPVTFNTLINFLCKKGSVEQAIELLKQMLVNGCSPDLISYSTVIDGLGKAGKTDEAMELLNVMVNKGMSPNTIIYSSIACALSGEGRINKVIQLFDNIQDSTIRTDAVLYNAVISSLCKRGETDRAIEFFAYMVSSGCMPNESTYTILIRGLASEGLVKEAQELLSELCSRGALRKHLMRHFGIV >Et_4B_039874.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:4828481:4829488:-1 gene:Et_4B_039874 transcript:Et_4B_039874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRNRWDDDGGRGPRDSCRRCPPQHHLCVVLDHWSRGYSIYKLDVDGDPDDADLDLRPHRLPDAPLFRLETPPDESGRFARFAAVGSRVFAMSYLEQNRDAPVLAHDTATGALAVGPCTPFELQSSPHLVAAGGSLYAFDGGRGGDVQSFKALLRHGRRGWVWSSLRAAHPDGRTVFFSARGSGTFSFDAETEAWTRHGAWTLPFRGQAFYDAEVEAWVGLHDMEEGRRVCSCDVVAAPGNDGNSGGSRPPAWKLVEERMTHEDERSMGAELAHMGDGRFCLVEYLKRRGVPEDRFDEHCRIFVPPSVRQERRAVTRSARNRTPSSFERLEPDE >Et_8B_058912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10885072:10891934:-1 gene:Et_8B_058912 transcript:Et_8B_058912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLASKAFPSPSNVTSEKQVSKHDKGVADDRMSSKNTILHPNANEPPRHGRRGRGVSVSSTAAARRRPFVFSPRAVSDSKSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGNNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYEKFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPKGEQLKAMMVDTTILGLDDERAKEMPYIASMGIYVFSKDVMLKLLRDQFPGANDFGSEVIPGATSIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPIPDFSFYDRSAPIYTQPRHLPPSKVLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETEADKKLLAEKGGIPIGIGKNCHIRRAIIDKNARIGDNVKIINVDNVQEAARETDGYFIKSGIVTVIKDALLPSGTMKPFLFFFAHQKERINELPLLFPNLLETMNL >Et_2A_015835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18241802:18244502:-1 gene:Et_2A_015835 transcript:Et_2A_015835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINIFGSSILQEGVKRFRLQVEMQCRCIGCIGKVEKAMASIGSLSGIETSVGDVDSGIVTVVGKVDPREVCDWLKRKTRKTVKVVSPDPPIENHKQKMILVLGSSSISGNTAPSAPPLQDEMSWAQEPSGAQPYHESIQLIEEKIRGLEKTRDTLKIKNLENELFSVKHNLKQSRKAIEGSKKVLLDSALNQLKAYKNLEALSWSPWD >Et_1A_006305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20340807:20345395:1 gene:Et_1A_006305 transcript:Et_1A_006305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGWQLPYHPLQVVAIAVFLALGFAFYVFFVPFVGGDEVQYLVMGLYTPLITSVVMLYVWCAATDPGDPGIFKTRIPPKSGKGKQSPPNSDHEAYPEGKSLSDCCSTINNSQKLSNIFEGKHSPSHSAFARVLCFICTPVSCLCKRYFHSDDQCSEQHSSEEGMFLCTLCEAEVLQNSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYKGFFILMASAVLLLIMQWLVGILVLILCFMKRGEFSGQIVSKLGSSFSTAAFVVVVLTCTLLAMIATIPLSQLLCFHILLIKKGISTYDYIIALREQEERPEVAEHQSPQMSIISSVTGFSTTSSIGPLHRGSWCTPPRLLLEDQNSTRKTKEGEGNKRKPPGQVKISPWTLARLNAEEVSKAAAEAKKKSKVLQPITREVPKPEKTSAKRGLFLHEQSPDTHARTSASGTDSNFSDMVAMETPGSIGPLQQEARSAFQPSAASSIRNLTLSPESSLDSPDLHPFRVSVSGADELRSFMSLVTSESATQKSIALSRSTSGGYEASGGEESDRIPSRIVHRSSNWANVIPNSGRWGMGSDLSTPTTGRFIH >Et_1A_008206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5119110:5124719:-1 gene:Et_1A_008206 transcript:Et_1A_008206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQQQQQQQQAGSASGSASASSSSSGMHLHASSPAPFGDTTLTKVFVGGLAWETNSEKLRRFYERFGDILEAIVITDRHSGRSKGYGFVTFRDPESARKACEDPTPVIDGRRANCNLASLGRAQHPVTLGRPRSAGPYFGLQAPRGFYVGGYGQHRPLPLGYYQGFQVPQYNYTSYGTEYIYPQGSLNPYVGQQYLPIYGVSPGANTANPPFGQLSPSLSEGGHGYVSVHGYNMPGNQYVQLTGSNFSNASVTARPSVQTPFLIAAPVPTHAQLVIPVHSPQVVIMVNRCTLEITLISKQSECNTHQDKRRIHSKPLNHDPYEVFPEHHLAL >Et_7A_051010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13617277:13617646:1 gene:Et_7A_051010 transcript:Et_7A_051010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLERGQLLTVLFLKLKAVYLFKSIPPCLVKRRKQHFHHVEQYDVDLILATHSACLQIPQTKTSWAVS >Et_7A_051708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23351129:23372508:-1 gene:Et_7A_051708 transcript:Et_7A_051708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGTCKAVIYLAVSLSDLSTLARLKTPVARLDLFRRRRKPGTLAMARGRGMDPHEKEALIDRILSYIHNAIPDPPVSVDGDLCILFDADGGGVDRLSLLPTVYARVQECLNLLQMAGTPINPSTMVPSVKILALPVRFGIRNDAKMLPNFLKCFPNLEMLHIHSQKTSESTGRLNLKFWQESGAIECIQSHIAMMAFHDFRGERSELSFLKFVVENAQKLKMLVVQFANGCVNSGPEVVAQVKSLFAGKRGATPPCSVLVLENRLSEGEFWDFERGSDYSNPFALFRYANVSLDDLPDSVDWRAKGAVTPIKDQGQCGCCWAFSTVASMEGIVKLSTGKLISLRSPNQNPPPHPPPAAAMGNQEPFLFVDAATAASALRQGFDPRELEQETLGVMEYLYNTLPSAPVSAAASLSALPVPDPSGTDRLSALPFAILRDIRWRPVWRATPLAFSDAHLVPGFLEGRRQPTRADTPDIAAAVSRVLLSLHPGPFRAVHLACCYNAGHPRHLARWVQALADKGVQDLVLVNRPWPRDMLLPAAIFGAATLTRLYLGVWKFPEPPVRPRSAGPLFPNLRELVLSSIDMENRHLEFLLAACPALEKLGIQENRSEGMRVRLVGQRLRCAQFSTSVTESIAVVDAPILERFFITGSVKLDAGSCVRVKIGKAPNLRILGHLKPGTHMLEINNTVINAGIKASPSTIVPSVKILGLAVCFGVRNDAEKLPSFLKCFPNVETLHILSEGADEAAGELDLKFWQEAGPIESIQSRIKEMTFREFRMSLSESAQVLKNAQIVVARRCVTSLSVSKVKALIPDNGPSVLFCESSAPDALLVGNFQRGFDFSVADPFAGFRLMLDLKMEMGFVRELSDNSAMAARHEQWMVQYGRVYKDAAEKARRFEVFSDNVAFIESFNAGNHKFWLGVNQFADLTNDEFKAAKANKGFKPHFTSVPTGFKYENLSIDALPVTVDWRTKGAVTPIKNQGQCGCCWAFSAVAAMEGIVKLSTGNLISLSEQEIVDCDTHGSDEGCEGGWMDGAFEFVIKNGGVATESNYPYKAVDGKCKGGSKSAATIKGHEDVPVNNEAALMKAVANQPVSVAVDAGDQTFQFYSGGVMTGSCGTELDHGIAAIGYGIESDGTKYWILKNSWGTTWGEKGFLRMEKDISDKRGMCGLAMKPSYPTDALEAIVGHWMQEK >Et_10A_001671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6653383:6655598:-1 gene:Et_10A_001671 transcript:Et_10A_001671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPLNIRTSPMTPTPELEKQRIEEFWKKRKEEIEAIEDFGERAIPMTRMKKVICAEKGDMMMTFDTPSFLTKACEIFVQELSFRAWMRAKSYHRSIILESDIAEAIATTESYDFLKDILHAYQKEHSSIPCSKQPTKKHYRSLYQPSTSCPPPHQVPRFHLPQLSHYPSIVHSPIPLPLTNIHPMPLPLPFPFPLQEAYPLVSTTMTPTPIVSHTMPPIDYMTRGCGFFGNGTNTTIPSNFVVNNNIIASGAMNYPLQVYAGATPSIARTFFFMNNMTNASAPSYHVGGTGNNNIVAHDQRVATELNHTLPEVAKTSCTMQVPSTTNENDNIDLDDSLGIEDGQQQQQHQVEDTMFNHPPNALDGTLDEVVAGAGASNAGEGNCDIKWDDFEIADESWLSNFWEDVMIEENPSPVLDVTSTNLLPLTSDMQDLEGINYESYVLDDMSPTQAQAAGTNNSPKAPRISVTIIPLLGNAFNPQCAEA >Et_1B_011381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21292002:21296918:-1 gene:Et_1B_011381 transcript:Et_1B_011381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATARDGSSPTAFPPVDVLHEILVRVPPHLSVVADPCFLRRYRARHGGGAPLLGVFHNNPRAGGRFIGAGDPPDRVPAERFSPRDLCCDRWLVLGGGRWLVHGCHRGRAVLHDGAGRLLVWDPVSDDRRYVKLPPLRNGNINHHAGLNAELLALPHRRRLKNAGGGLSVLPAKVPSSYECNVQLGLIAVSSCGSALHFLELDETDGEGDGDMLSSWKLRRTVPLDEALVPAGPEESDGERRHCSVRIVGVHNDGAVVFLWTVHGIFMLRLDLESDQSTQMIKKLHGIDETHGPFYAVYPYTSLFSHRSSPGKSRQSQRKTTLLRREKPMYK >Et_5A_041668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25725281:25731994:1 gene:Et_5A_041668 transcript:Et_5A_041668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVVMMYFSIFPEDYAVTQNRLLCRWIAEGLVSEKRGLTMMEVAKSYLDELLSRNMIEEDRTNLLERGVRSYRVHDVLLEVLVSRSLDANFVSLQGGPNDGGLLYGRIRRLSIHGSVNNPYSPHKRKTGGHQGVEEVNAQHVRSLSMFHPKGNKYLDKLGKFTLLRVLDLEGCEGVTNKHVRYACLLYLLKFLSFMGTNISVMPPQWILPQRISKMKALRDLGTICLGNDAKVAREVGELELLQRLGLQIKTDRMDVLQQLALSLSKRYSLRQLYIRDVNHTTRKVLNFLHDLPTPPLLLESLWIRGDIDGLPSWVGSLTYLTQFRIYGTSLDVDQLLGVLCQLPNLMIVVVELNETSNVEVAARASQKFPALNYFEFSGPLPNVIRFEERSMEMLEQLVLGIDSGELETSIIGMEHLTNLKKVSIKGYKDSPAMNHVLGQLKAWNDELPKPLQVAVKKL >Et_2B_019687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12259687:12262755:-1 gene:Et_2B_019687 transcript:Et_2B_019687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASLRAPYLSQPPPATGRRGGAALALAWIPRSRFPSLRVARRVTVRSATVAGNPEEGWQKEPEPVADHGGAPAATGEVAELKARLKEALYGTERALRAPSGTRAEVAGLVTQLEARHRTVDPAEALAFLNGKWILVYTSFSELLPFLGSGRLPELVKVEEIAQTVDSKNFTVQNCIKFSGPLATTSISANAKFEVRSPTRVQIKFDKGIISTPHLTDSIVLPRKFELLEQNIDLRLLEERSVLSCNDRLWSASPENFDVEQTTRSPGCSQPTSIKTSGSREEIVAASSCCSKRGALS >Et_3B_031527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3660305:3661492:-1 gene:Et_3B_031527 transcript:Et_3B_031527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNLRYSRKHNKKSGEAEAEE >Et_9B_063837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16959222:16960184:1 gene:Et_9B_063837 transcript:Et_9B_063837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYIPCAARYPVISAAELGSRSNLPRGSSTKRSSGRSLNGSAETAPQLRSTHHIRPAKASTSCCVCMPNGLASGSGDGKRSSFSLPLPCLREEPAHDEALLLIPVAVLADPSDSVPVGRPGPVPAREEAQVVAVHGLLRAVDVREAEQLAGNGVGDEARCVDEVEAEAGQLALQRGAVRGDDGSRGAEMHEVEEEEAVAARGAGVPDVEAEDVAVGIHGGLGVEVDEVVGDDEVGDARGRGELGRRALRRRRAQLDVVHHYPRRVRGGGRGEAAAEVAKDGGGEAVEAPERHLGESGVVL >Et_1B_013366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7863432:7864332:1 gene:Et_1B_013366 transcript:Et_1B_013366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLKRGPWTPEEDRILTAHIERHGHSNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTREEEDAIIQLHHMLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLEPKAPTNAAPKRKAKKQQQKQLQPEVVVLDGPTTVTVSSPEQSLSTTTSAVTTDYSAASSMENADGFTSEEFQIDDSFWTETLAMTAADDSSDSGMDTGDDFGASAAPETSSDDMDFWLRLFMQAGGMQNLAEL >Et_1B_009794.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27198340:27202601:1 gene:Et_1B_009794 transcript:Et_1B_009794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLQRKCIAELFSLSRVLTLESSSFSFSVLAARVQSDDSIGDENLTCAHDEVVNKRSCYLSSDHVAQTLRCLRRRPAIAFAYFRHIESIGFNHDLLTYSEIIQILSYACQRKMLVYLFCELVTQTKTGDTDVLCLIDHLRRSSTNSHALTFASNCLIKAYTNCHDRQATTELFCHLCKLGFVPSVWACNVLLKFLSESGEPEMVVAAYGQMKCFHLTLDIRTLDIVTRSLLQAKRVDEAFQVWVEMIEMGVKPDTRVYSMFVIGLCTCGKYDLAHAILQEIIRERVPLDAMTCNKVLEGLCKEMRLEEAESLLENWTKQGYAADVYGYSYLIRSYCKTGNLSKALDHYEAMLSHGMKINCHIVSYLLQCLKKLGMTHEVIKHFQKVRESGLHLDGVLCNIAMDAYCKLGNMYEAVMLLNEMKSAGVAPDKIHYTCLINGYCRNGEMQNAKQVLGEMLKANIKPDVVTYNILSFGYCNSGLFNEAYALIDHMKDQGVEPSSLTYGVIIYGFCRGGNVCDAELLYRTADMARIDNIEVVYSAMVCGYLHSGFIDDAYTVFFRSVVEGNIVDPISCSKLINGFCEDGNVERASSVCSMMLEKNVVPDVISYSKLISAYCKARDIHKAHFWFCDMIQRGHSPDVVVYTVLMNAYCKSGRLEEACKLFMQMTDVGIKPDIVAYTVLLDGHLKEILQQSWQSITKEGRSFILRAKHKWLLSSMKDMEIEPDVHCYTVLIDGQCKAEYLEEARVLFDDMLQKGLTPDVHVYTALINGYCSQGEIEKAEDLLQEMKDRGMKPDVLTFSVLNKKKMMHWKV >Et_1B_010441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10594638:10607998:1 gene:Et_1B_010441 transcript:Et_1B_010441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESFSLARESPSARSIVDARDDDNSNNKKPQLPAPSFQRLLMLNAPEWKLALTGSFSAALYGGIQPVFAYGMGSMFSVYFLEDHAEIKAQTRIYTLLFVALAVASILLNMGQHYSFGTMGEYLTKRVREQMLKRILTFEIGWFDRVDNSSGAICSQLANDANVVRSLVGDRMALVIQTISAVLVSCTMGLVISWRLALVMIATQPLTIISFYSRRVLRKRMSKKSMQAQSESSKLAVEAVSNLRTVTAFSSQDRILRLFYEAQDEPRKESRRQSWFAGLVLGTATCLMSCTMALDFWYGGKLIAKHLITAKALFQSFMILVSTGRVIADAGSMTTDLAKGTDAVTSVFAVLDRETEIDPDSPDGYKPKKLNCNIEIREVYFAYPSKPDVLIFKDFSLSIKQGKSTAIIGQSGSGKSTIIGLIERFYDPLKGVVKINGRDIKAYNLRALRQHIGLVNQEPTLFAGTIRENIMYGMETASEVEMENAARAANAHDFISGLKDGYDTWCGEQGVQLSGGQKQRVAIARAILKNPSILLLDEATSALDSQSERAIQEALDRVMVGRTSVVVAHRLSTIQSCDLIAVLERGVIVEEGTHVSLMAKGLSGTYFGLVSLQHGGNHLARMSTADMGDEGTAKEARRPALLSVFMHADAVDVLLMLLGVLGAMGDGMAMLAVRLLVYSRIADDIGRGPDVVQQFSSKINETCSAASQQRTNPIHIDRSERERRRLVGVRGLGLGDMECLDLTAGTATSEVVTSVSNDCLAVQDALGDKLPNFLMNASTFVGTYVAAFSLMWRLTLVVVPIALLLLVPGFVYGRVLTGLARRIREQYTRPGAIAEQAASSVRTVYSSVAERSVTARFSAALEESARLGIREGLVKGVALGSNAISFATTAFILWYGSRLVMYHGYQAGIIYAISATAAMGGRGLVAALSNVRYLTEAAVAAERITEVIRRVPKIDSKREAGEELANVAGEVEFKNVRFCYPSRPESPVLVNFSLRVPAGSTVALVGGSGSGKSTVIALLERFYDPSAGHVSLDGVDIRRLRLKWLRTQMGLVSQEPALFAMSVRENILFGKEDATEEEVIAAATAANAHSFISQLPQGYDTQVGERGIQMSGGQKQRIAIARAIIRSPKILLLDEATSALDTKSERAVQKALDHASAGRTTIVIAHRLSTVRHADTIAVMQSGKVKELGCHDELIADENGFYSSLIRLGRSSGDSGEADEVAGTGATHNLSRTFSWSSRSSSAQSPVCDAEGKDGRTKKKPKPPVASFRRLLMISAPEWKHALIGSFSVFLVGGIQPVFEYAVGGMFSVYFLADHAEIKSKIRLYALIILAVAALSFLVNVAQHYNFGVMGEYLTKRIREQMLAKILTFEVGWFDREENSTGAICSQLTRDPNAVKSLVGDRRALLLQTFAAVLIACTMGLVIAWRLALVMIAVQPLIILSYYAHLVLLKSLTKRAIHAQSEGTKLAADAVSNLRTITAFSSEGRIMRIFGQAQEAPRKESIRQSWVAGLLLSTSIFVVISSWVLSFWYGGKLIAEHHITAAAIFQTFNILAGTGRVIANAGSMTADLAKGTDAVSSTFAVLDRDTEIAPDNPDGSRPEKPKGEVKTRGVDFAYPSRPNVTIFRGFSLSIQQGKSTALVGQSGSGKTVLYPLKGVVEIDGRDIKTYNLRALRSHIGLVSQEPTLFASTIRENILYGTENASEAEMEEAARLANAHDFISNLSDGYDTLCGERGFQLSGGQKQRVAIARAILKNPTILLLDEATSALDSQSEKAVQEALDRVMVGRTSVVVAHRLSTIQNCDVIVVLDGGAVVEEGTHASLMAKGPSGIYFGLNGPSKGKIKQQQQQHKN >Et_5A_041843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3362389:3363163:1 gene:Et_5A_041843 transcript:Et_5A_041843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFATNVAPSYAPPGKVLVSVSLVGSFAGRGDAELAAEVVRELAGWFGPGEVAAWKHLRTYRIGFAQPDQTPPTSPAGRDPRVGDGVYVCGDHWCSATFDGAMVSGRRAAEALVKDAGLTLRLKPFARR >Et_3B_029064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21868098:21871491:1 gene:Et_3B_029064 transcript:Et_3B_029064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVKDQLQQMSTTCDSLLLELNVIWDEVGEPDAARDRMLLELEQECLEVYRRKVDHANRCRAQLRQAIAEAEAELAGICSAMGEAPIHVRQSNQKLTGLREELNAILPYLEEMRKKKIERWDQFVDVIEQIKKVASEIRPADFVPFKVPVDQSDLSLRKLEELTKELHSLQKEKSDRLKQVMEHLGTLHSLCEVLGVDFKQTVYEVHPSLGEAEGSKNLSNGTIESLASTVNRLREVKVQRMQKLQDLASSMLELWNLMDTPLEEQQMFQNVTSNIAASEHEITEPNTLSIDFLSYVESEVLRLEQLKASKMKDLVLKKKAELEEHRRRAHLIGEEGYAAEFSTEAIEAGAVDPALVLEQIEAHIATVKDEAFSRKDILEKVERWLNACEEEAWLEDYNKDDNRYNAGRGAHLTLKRAEKARILVNKIPGMVDVLTTKIVAWEKERGKEFTYDGVRLQSMLDEYMIVRQEKELEKKRQRDQKKLQDQLKAEQEALYGSKPSPSKPQSTKKAPRNSMGGANRRLSLGGATMQPPKTDILHSKTARAAKKTEVLGTLSPSSRGLDIAGLPIKKLSFNASTLREAETPRKPFAQIMPPNSISSTPVRLVSNDTEEENRTPKTFATATLNPKTPMTVTAPMQVAMTPAPASKVIATPASLFLEKPEQPKLPEEIEYSFEERRLAAYQARLVA >Et_3A_025937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34123432:34125089:1 gene:Et_3A_025937 transcript:Et_3A_025937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLWWISCLLPRLLPLLLLFIRRLHRTKKEKDFLQKQEEKELLEKQKIEREARHRRLELYGMEAYESTAVDFAERWTVGSPSLLGVTGVRGVGKTRFLDLLQHYYWDHQNAPFDHVFDVWPAGSVTGLPLVLAFYVGLEVPRESTPTTLDDGVAKTISSFLKDKSFLLLLHDVEETIDLAAVGLPMPLGPRQKVIFSTRSRAVCAEMADATIQMQLLGEDDAWNLFRECGYDCCCVPRLAQCLMRAWWILVTQG >Et_6B_048724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1367222:1371861:-1 gene:Et_6B_048724 transcript:Et_6B_048724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTNLLLEEPIRMASILEPSKTSFFPAMTKIVGTLGPKSRSVDTISSCLKAGMSVARFDFSWGDAAYHQETLENLKLAIKSTKKLCAVMLDTVGPELQVVNKSETPISLEENGTALKPGATIFVGQYLFTGSETTSVWLEVSEIKGDDVVCVIKNTATLAGSLFTLHCSQIHIDLPTLSDEDKDVIKKWGAPNKIDFLSLSYTRHAEDVRQAREYLSTLGDLSQTQIFAKIENVEGLNNFDEILEEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETITTVGRICAEAEKVFNQDLYYKQTVKSVGEPMTHLESIASSAVSSAVKVKASVIICFTSSGRAARLIAKYRPSMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDSSVVKIIELDD >Et_3B_030390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3479609:3480517:1 gene:Et_3B_030390 transcript:Et_3B_030390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLPPPPTSVCFAGHTVGFQSLTSKMAPVSSRFQSSFLVACVLCSLISCCKSADGAAAAAAALQGQKKDATDIVGRALFCFNDRYIYSGCQGSLRLGPGGSLTVPPASTEAFCGGPCLAETELVLRCIDGVMDNFRFYNGASVGDVRLALGRGCGRTGLRGDFDVLHHLGDGGNYGNGYFYGRGSSSSGRRVALVNTLVLSAGAAILLRG >Et_10A_002141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23735461:23739519:-1 gene:Et_10A_002141 transcript:Et_10A_002141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVALREQVSLFSAAAISASDLDHAFQLQLAEAIQASLRAHSPNAAGPSSPSLLELEPSSDLSHALALQAADLARAEQDRRDAQVCRAAHARSAASVRVAAHDALFARELAAIPEDRWAHDGDYFERPLDPNSLSARPLFRVLFKGMASDEVVGPRDRDPGVAVLAAAVCGPQGDIVLRVQKPVVGGQGQEVVQAMALLEGLDAALALGISSINVLTDNNLLYNYMLGVWRPTEQKLADMINQALLVRKKFKQCEISLVAQSQVNYVVKLARDAIDVQIAKSRAHNAMERRETCTICLEDADITKVHAVEGCAHRFCFPCMKEHVKVKLLNGMLPGCPRHGCTTKLSVEGSKVFQSPPLLGIMAQRIREGQIHPSQKVYCPYPKCSALMSLSEVVHPMQESCSKYSVADAATLRKCVKCRGSFCISCKVIWHDRMTCYEYKRRCPYARPEDAKLQNLAEQRLWRQCVKCKHMIELAEESDDGASESSSEWMGWSCIVVMTSSEAGIQEGVVHHIVGVGRPDFLSEGAGVAAQPLQAVGHVRMGVVGRWEVEPPAHLDPPEAAVEQAQDAEEDASQPQRVPDGLVVDVDRRQVEDEEWRHAEEDEGHYMNIHVAEAEPAHVPPKHAAAAEHGQLKQPANPLRLLLLLLLLIEGGGLPLELLLSLRLFVSLLLRLRLSLVVFAERAEVVVEACHSRRADGHGDGEADSDGRTPRPRVSQVEAAQRVLREAVEVQQQDHHRHVLPLVGLAGGVLAVRLPELKHVAGWSPAAQQNQVVEPPGVLVQAHEREESIQDLVVHFEPRVPLRLLRQGAVQHPRHHHEQDGP >Et_9B_065937.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18274536:18277370:1 gene:Et_9B_065937 transcript:Et_9B_065937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLSFRLPLLHIAIIIIISSLLLLCFSSHSSGLATSDDDDRRRQAAILVAIKDAFTPPVPPPVQSWTLAGHATLCSTWHGVACGPDNVTVVSLDISGHNLSGPLSPAVGGLRHLQFLSAAANSLAGELPPTVAELRGLRHLNLSNNQFNGSLAGLNLSAMAGLQVLDLYDNDLAGPLPSGMEESLRGLRHLDLGGNFFSGTIPGSFGRFPVIEFLSVAGNSLGGAVPRELGNLTTLRQLFLGYFNQFEGGIPPELGRLSGLVHLDIASCGLEGPIPPELGGLRNLDTLYLQTNQLNGTLPPSLGNLTSLRFLDVSNNALTGEVPPGLAALRRLELLNMFINRFRGEVPGFVAELPSLRTLKLWQNNFTGAIPAALGRDAPLREVDLSTNRLTGEVPRWLCARGELQILILLDNFLFGAVPDGLGACSTLTRVRLGRNYLTGTLPRGFLYQPALTTVELQNNYLTGRLLEEGNGFPAGAAKPQLSLLNLSGNRFNGTLPSSLGAFSSLQTLLLGGNQLTGAVPPELGRLRRLLKLDLSGNNLTGAVPGELGGCASLTYLDLSANRLSGAIPATLASIRILNYLNVSWNALTGGIPRELGGLKSLTAADFSHNDLSGRVPDNGQLAYFNASSFEGNPRLVLSNGDTSSELWPPQADAGGGARTSTGTKHQNQGGFLGRLKLAAALGLLACSVAFAAAAVATTRSALLRRRRAAASSRRWRMTAFQKVSFGCDDVVRCVKEENHVVGRGGAGVVYRGNMPGGELVAVKRIVAAGGDGGFQAEVETLGRIRHRHIVRLLAVCCSDANKLLVYEYMVNGSLGERLHGHGESPLSWAARLRVATEAAKGLCYLHHDCSPPILHRDVKSNNILLDDRMEAHVADFGLAKFLRGNGASECMSAIAGSYGYIAPGSSFSFFPRIHSAMAIDHADHSILGTDRRDGQGLLDQ >Et_5A_042806.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4712285:4712539:-1 gene:Et_5A_042806 transcript:Et_5A_042806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEKRKEAVPAPRMSEEQKVDICKYVMETDFESWYIPEERALPHLWPGGPEGAKAFNDAMRGMVEHFRKHSAERLKEYEAKGK >Et_9A_063159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12853080:12853685:1 gene:Et_9A_063159 transcript:Et_9A_063159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQARRKELDRMAHEGETVVPGGTGGKSLEAQENLAEGRSKGGQTRSEQLGHDGYSEMRKKGGDTRKEQLGEEGYKEMGSKGGEARSEQLGHEGYSEMGKKGGETRSEQLGHDGYKEMGKKGGLATKDESGGERAAREGIDIDESKFTNNA >Et_1B_013066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5143055:5144943:-1 gene:Et_1B_013066 transcript:Et_1B_013066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFLLFVCLLAPFILACAIRGRRGAARRQGAVSACGKSLPLPPGSMGWPYVGETFQLYSSKNPNVFFARKQNRYGPIFKTHILGCPCVMVSSPEAARFVLVTQAHLFKPTFPASKERMLGPQAIFFQQGDYHAHLRRLVSRAFSPEAIRASVPAIEAIALRSLHSWDGQLVNTFQEMKTYALNVALLSIFGEEEMRYIEELKQCYLTLEKGYNSMPVNLPGTLFHKAMKARKRLGAIVAHIISARRERRQQRGSDLLASFLDDREALTDAQIADNVIGVIFAARDTTASVLTWMVKFLGDHPSVLKAVIDEQQEIARSKGGSSGEPLTWADTRRMRMTSRVIQETMRVASILSFTFREAVEDVEYQGYLIPKGWKVLPLFRNIHHSPDHFPCPEKFDPSRFEVAPKPNTFMPFGNGTHSCPGNELAKLEMLVLFHHLATKYRWSTSKSESGVQFGPFALPINGLPMTFTRKDD >Et_3A_024810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24511491:24514340:1 gene:Et_3A_024810 transcript:Et_3A_024810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESATPSSPASRNPQLAAAPTGSSAITTRLCLLVLFLNCSAAIYHSRHDPWSIAFVATSFTVLTLLFHLIHRFESEPRGTPARGRIKAAVWTLTSILTGMFSYKVAALMPLAAAIVVWVMGGSTVIGGFYMFFLCGEAEQANYDDEPLKAAEDGAHKQHTSGSFGVPDLHKPILRKGEKKITPEPERERERRHQIHYCKRKLVVKMEKKD >Et_1A_005759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13648042:13652496:1 gene:Et_1A_005759 transcript:Et_1A_005759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRFLLPLLVLSLAAAAASAAIDAEDPLIRQVVPGGGDDNDLELNAEHHFASFVRRFGKSYRDADEHAHRLSVFKANLRRARRHQLLDPSAEHGVTKFSDLTPAEFRRTFLGLRKSRRALLRELGDSAHEAPVLPTDGLPDDFDWRDHGAVGPVKNQGSCGSCWSFSASGALEGAHFLATGKLEVLSEQQMVDCDHECDPSEPESCDSGCNGGLMTSAFSYLMKSGGLESEKDYPYSGRDGQCKFDKSKIVASVQNFSVVSVDEDQIAANLVKHGPLAIGINAAYMQTYIGGVSCPYICGRQLDHGVLLVGYGSSGFAPIRLKEKPYWIIKNSWGENWGEHGYYKICRGSNVRNKCGVDSMVSTVSAIHASKDYLIN >Et_5A_040628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10509311:10514010:-1 gene:Et_5A_040628 transcript:Et_5A_040628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIVGPLVGKLQELALNETKALVAVTGDIRSLRERLMWMQAFLRHADPRWRDTSNGWTQSIIRFLAGLTTQISIRHDLSRKIAEINIRLEDIINNKEKYKIDDGASNSAMVQWKPSTNISSAAINLDDVHIPVIKRKNKEEELEKVILGTQKGQVVISVKGESGVGKTTLVREVYEKSATKAHFDEQVWASFPPHLSSSNILQIIDQKLKGNKNWSKNDKNMEKDFLLVIDGKVSNTDLRAILAALPDENNNKVVVICIMDGNHSSGSIFKHPIKLSRFDKPTTIDLFMKMVEKEEKAEDQILTTEIPWNNIKIDEVFIENKLVTEGADENLGLADVIQYITRGLPLAIVLLSGLVKTKEYPNEWKAVFKHLMSKQSKRLDSILTMCFDDLPHDLKSCFLYFAALPVNTPIESRKLICMWMAEGFLTQKDGKTMERVGKIYLKELIARNLVKLVKNEDTTGDDAELVTVHHKVHEFLQVEAQEANFVDIHNGDSNHSFTRARRLSLQNYTDKLAALKASLPKLQSILSHFQKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEGTDSEDGYDEDEYVEGYADTDEDQEEDEGNEHIEGVEENKESVGNDEEEEKKNGDDDRADETVKDEGYQDNELGGHP >Et_6A_047805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17400981:17403724:1 gene:Et_6A_047805 transcript:Et_6A_047805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWKLFAGVSAAIIILLSTTSKAVAIVEHTFVVSQVNMTHLCKDTPVTVVNGQIPGPSIELTEGDSVAVHAWSEAVAELLARWGANDYPTPHPAKQ >Et_6A_046211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:64154:65606:-1 gene:Et_6A_046211 transcript:Et_6A_046211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSKGFVESSMDQMPLDGDADAPHGGEGSSSNSSTVDVEEGGGGKMAGSSSSSVRPYVRSKNPRLRWTPELHHCFLRAVERLGGQDRATPKLVLQLMNVKGLSIGHVKSHLQMYRSKKIDDSGQVIGGSWRDHFHDGGQVYNRDHLSLHHGQTGVRHLLSARLGAWPHWNNFHEQKWLYGHHFLASKQYYSTAEAEMLIRTRDNYVARAPTSVHRGFLFQNGQIMNHQRRKLPQETLPLSDEANHDDPLDLELTLDIRPRREKRKRSGGSWGKEHDNKVDEEAESSTATGLSLSLFLSPHPPRNCVSDSDYRVESVNVDKGKAPAERASTLDLTI >Et_7A_053093.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6472014:6473273:1 gene:Et_7A_053093 transcript:Et_7A_053093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEPPQLFLCPISMELMKDPVTVSTGVTYDRRSIERWFFKYGKTTCPATMQRLASFDLTPNHTLKRVISSWLDDRASSSSSTSSDSSKLPRERLPSVLAGIEDTPFKVTALKKLRSCMAGDEAAQADFVACGGVRVLGRVMAQALAESGGDFSAFRACEEAGAVLATLDETPAELLLLEPAESVMAPVVALVQRGSAEARLHAMAILAKVSRATATTAGDWTAGVDVDDLVKSLLELLSDDGGASSKLSSRALDVLLDVVSSSRSRGRRASKAVVELGAVRVLVELLPDAAVNRHVVERVLLLKRLCKCPEGRAAFADHAMAVSAVARTVLRVSAVATRLAVGVLWLVACAAAPPAEKVLDDMVMSGCVAKLLALLQVETSPSTREKAAKMLKAHGAFWKQYPCFPDDLRDYLKFLN >Et_1B_013394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8007591:8011681:1 gene:Et_1B_013394 transcript:Et_1B_013394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAWRKAKKALGAGLCVHLPAVAGDWEDVASERRASDAALSMDSSAAALVSAPNTPAESVALRRSKSGGKSSKKMCAICFDSMKPGHGQALFTAECSHMFHFHCISSSVKHGNHVCPVCRAKWKEIPFNRSLSSIVPRGRGGLHASQARLPQQDAYMALLRQVPNRQREAPAMHTSEPVDFNDDEQLQKMEASDNCDIGSSRTVEIKTFPEFSAIPQSSSQDDFAILVHLKAPYASPEQITGRPVKATSTGYSTTRASVDLVTVLDVSGSMAGTKLALLKRAMGFVIQHLGPSDRLSVIAFSSTARRLFHLRRMSHSGRQQALQAVNSLGASGGTNIADALKKAAKVIEERSYQNPVCSIILLSDGQDTYNIPSNVRGARPDYRSLVPSSILNHTSRLVPVHGFGFGVDHDSDALHSISEASGGTFSFIEDEGVIQDAFAQCIGGLLSVVVQDMKLNVECVHPGVKLRSIKSGSYLSKVDGNGRNGSIDVGHLYADEERDFLLSVSLPHCHDQTTLLKVASAYRDPLTNEGITIQGDEVKILRPKSPTSEPVCMEVDRERNRVRAADAIETARAAAERGALSDAVAVLEDCRRILSESFSSQNGDRLCASLDAELREMQERMANRQRYEASGRAYLLSGLSSHSWQRATARGDSTDSATLVYSYQTPSMVQMLLRSQNQHPSPEGPSQAPQPRFFLAKPQPR >Et_7A_051762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24263663:24266637:-1 gene:Et_7A_051762 transcript:Et_7A_051762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAVRRGIPFLWILLFLSSLILPVSPASVDFSHCGGCDDGDDGILWSTENILQCQKISDFLIAAAYFSIPLELLYFATCSDLFPLKWIVLQFGAFIVLCGLTHLTTVFTYEPHHSFQLVLALTVAKFMTALVSFATAITLLTLIPQLLRVKVRENFLIIKARELDREVGMMKRQEEASWHVRMLTQEIRKSLDRHTILYSTMVQLSKTLELQNCAVWMPNESRSEMILTHQLRQRDIMEPHSRSIPVDDPDVVQIKATKDARVLGPDSALGIASRSQAEAGPVVAIRMPMLRVSNFKGGTPEVMETSYAILVLILPQNDSIGWGPRELEIVEVVADQVAVALSHAALLEESQLMREKLAEQHRDLLRAKQEAVMAAEARNSFQSAMYDGMRKPMHSILGLVSMMQQESMNQEQRLVMDAIVKTSSVASTLMNDVMQTSTTEREYLSLVRRPFNLHSFIKEAVSVVRCLTGCKGVDFEFQVDKSLPERIIGDEKRVFHILLHMVGTLINRCNEGCLSLYVNSYNELEERHNQDWMLQRANFSGGYACVKFEIRIRKSKDIILSSSSSQISQGRKPNNSEMGLSFNMCKKIVQMMNGNIWSVSDSKSIGETILLAIQFQLQPVTPVSGASSDLYRSSPIPNFNGLRVLLADSDDTNRAVTHKLLEKLGCRVLSVTSGIQCMSSFAGDLSFQMVIVDLAMQTMDGFEVALAIRKFRSNSWLPVIVALAARTDDNTRERCLRSGINGLIQKPVTLAALGDELYR >Et_3B_029265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23541870:23543949:-1 gene:Et_3B_029265 transcript:Et_3B_029265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPAGQIGARLRRNNPQGPPPSRSPARSAPAAAQAPPSQATLGQTWLQTPSVFVPNFSSSVVHGTDRNAMCTPIEEDCGMDSRPAGGFVNYLQQSSSYPFSHIRHMPPMFRNFPYFSGSASYAPSKEPKASESEANTFQPIAEPNITHSSPDPHATTIVDVDSGQDNRRSRSSFSKKHGVGSRGGRRMTWTIDETVRLSDEQVMDNAMKFYEQDFEEGQFKHIASWKILRDQPKWHTYNEDLNGSNKRKYSDSEIHEPVDFTSSPDEFTDLPRPGGCKKAKEERGGKGKGKATSTTMEEIDKLREGLAKAKEDRVEVLDKHQQIAADRKESARLNHLAAKEKREAKLLEKEGKMHDKESKMLEMYKSLISMDTSKLDDEMKAEHVIATKSLRQKIFANLS >Et_8B_059792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2839930:2841320:-1 gene:Et_8B_059792 transcript:Et_8B_059792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARGWSRALGNTRSFVGNALGGVRGWNNLASWVVAGSLAYYLWVKPARELQKEQEERAALAAASDPYRYVEKRKPIPDPQDTGLIYGKKKEPTKSDD >Et_5B_044480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2838180:2844270:1 gene:Et_5B_044480 transcript:Et_5B_044480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEICFPQTRTDVATMCHRPTNKASSGSWRTISINRDTMKMESYTSGAKFDCLLFGEFLLSPAFQQRMALAELPFLFPDAYADMDDTLYPLSLGINLACRKNIEDYMLNKLKIEESQLLGYDFDYDDFHACVHGTLPYEKLKPDPVLRQLLLSLPQRKIIFTNSDKAHAARTLEKLGLQDCFEGIICFETLNPSTEEDVKDGSVPPRRGILCKPSLESMEAVIEIAKLDPKRTVFFDDSARNIAAGKAAGLHTVIVGSSALVPGADVALESIHNIKEALPELWVEADIDDTLYPLSLGINLACRAHIQEYMLNQLKIEESQIPSMCLDLYKEYGTTMAGLKVLGYDFDCDDFHARVHGTLPYEKLKPEPVLRQLLLSLPQRKIIFTNSDKAHAAIVLKKLGLENCFDGIICFETLNPSTEQNVTDEKNSDVADIDGGRRADSDGSAPPRRGILCKPSLESMEAVIEIAKLDPKRTVFFDDSARNIAAGKAAGFHTVVVGSSVLVPGADVALESIHNIKEALPALWVEAGGDHAEAALQPATVETTVLA >Et_1B_011045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1695807:1699664:1 gene:Et_1B_011045 transcript:Et_1B_011045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDARVTSGELEDEFRVFGVLRSVWVARKPPGFAFIDFDDKRDAEDAIRDLDGKNGWRVELSKSGGRSGRDRYGGSESKCYECGETGHFARECRLRIGSGGLGSGRRRSRSRSRSRSPRYRRSPSYSRRSYSPRYRSPRRRSVSPAPRGRSYSRIHNPESKAQTKKKDLVGPLRSVEYRNGAKLSVPSW >Et_1B_014347.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6421886:6423511:1 gene:Et_1B_014347 transcript:Et_1B_014347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTWAVVVAAVATYMAWFWRLSRGLSGPRVWPVLGSLPGLVQHAEDMHEWIAANLRRAGGTYQTCIFAVPGVARRGGLVTVTCDPRNLEHVLKSRFDNYPKGPFWHAVFGDLLGDGIFNSDGETWVAQRKTAALEFTTRTLRTAMSRWVSRSIHGRLLPILEEAARNKGAHVDLQDLLLRLTFDNICGLAFGKDPETLAPGLPENEFASAFDRATEATLNRFIFPECLWRCKKWLGLGMETTLARSVAHVDQYLAAVIKARKLELAAANGGKQCGAAAAAAQQQQHDDLLSRFMRKGSYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPEAERKVVRELCDVLAASRGAHDPALWLASPFTFEELDRLVYLKAALSETLRLYPSVPEDSKHVVADDYLPDGTFVPAGSSVTYSIYSAGRMKAVWGEDCLEFRPERWLSADGARFEPHDSYRFVAFNAGPRICLGKDLAYLQMKNIAGSVLLRHRLAVAPGHRVEQKMSLTLFMKYGLRMEVHPRDLAPIVDEVRAATPATAPCA >Et_4B_039422.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1496815:1497795:1 gene:Et_4B_039422 transcript:Et_4B_039422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSYLPVPTPCIVLDPDGITEEGRTILLDSKGECHTRNIEALGSNLRFSLTWQQGWILASDPSNLSTFLSNPVTLDKIELPHFSHQLPREFKCALSHKPTNDKCVVVVLHPDETTLWYCRIGGDKWTKYDYNLGTQQMDAKGKVWKKIVIYDLAPCKGKFFTFITPEKLGVLEFDPLPTTRVMVTHGIPRNVPPNPRGSMAHCCSFEMDDDLYFFFAYYYGDSSITTSIALYKVVDIGRRKQQWREIDEIGDDRALLWSGLHAGSCSATKFGLEPNCVYWINRSDRLMHISNILEKTERVCEPSKDLPKILPKLSPDAIWLLPME >Et_4B_039400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13635347:13638444:-1 gene:Et_4B_039400 transcript:Et_4B_039400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLIITCVGATPKGKKNPRASRLYMPNKAAKVGIGVQVLITMSKISSVVSSLVNRRRFSVYARAVNVHVPAAAAVVPTDGVTANSGRANKKDVFWMRDPKTGCWIPENRFEDDVDAVELRNRLLHHK >Et_9B_065360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4129268:4135192:-1 gene:Et_9B_065360 transcript:Et_9B_065360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IQIRTEACSTAAGSGEVAAAVCCTGEAHDRGGKSEAKPLQSPPPSLPVASSSPLRIAARQCPSNSLRIAAPAGHPTTLGHSFAANVPSPETIELAAAGSLEQSQRGVEAMELETIELTAKEEQIFGRLLDVVNHFDLGTQLRVAGGWVRDKLLGEESADIDIALDNMTGQNFRVKVVEYAELIGEEKPDAAVIPCNPNKSKHLETATMSIFGVSIDFVNLRSEKYVESSRIPTMEIGTAKEDAYHRDLTINSLFFNINNNSVEDLTGRDLKKGLTATPLPSKSTFLDDPLRVLRAIRFAARLDFTLSDELKEAASDKEVKTELEFKISRERVGKEIDYMMVGNRPVEAMSYIRDLGLFDVVFAFPKKSNPPVFYNCDRCCISHIEAAWNLAISVNSSTSHPVLMPRVYLYSALLFPLRKMFHLDKKSKIHVTSYIIQESLKLDACLSKSVESIHGASKMFAEVVLLFESNDVALGTLKEDLEDVYLGIPTHSLKRVFAGLILEEIKDLWRVALLISMLSYPEAESAGDTLSQQDELHRRREKYIQVEHFISSQGEVNDGLGGVWKWKPLLNGNAIMEVMQLKRGRLVGEWKRRVFKWQLAHPKGTVDDCIDWMKQWLSKPQKL >Et_8B_059552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19086006:19106210:-1 gene:Et_8B_059552 transcript:Et_8B_059552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIYALLLFLAHLHILHSTSSAHAFAGNLTRLSVPFLCHSDQANTLLRLKKSFFFGTSTTMLRSWQAGTDCCFWEGVACDASSGNVTVLDLNNRGLSSNALDPAVFSLASLQRLDLSMNDFGRNRNGQSSLWPDNIPATGFERFTLLTHLNLSNSGFYCRLPIASVNFSYDYVSYDSPVTSHSFDTSNSLWEPNFNTLVANLSNLRELYLHGVDLSLSGEDWCVSLSSSVYRLQILSLANCNLIGPIRKSLAKLRSLNVINLHGNNEITAGPFPEFFIDFLNLTVLQISNTNLEGLFTSRSFQSKNLKVLDLSANWGLAGHLPNLSNPDSLETLRLGNIQNFSNSKTMSSSNFRSLKELSLEGEVSVEFLSSFGRLGSPCQLDLTLDPRILSDLRSILSWIGNQKNLTSLTLDTFDFSMITPYLVSNFKNLRSLSMVDCNIPRSILSEIGNLKDLQTLEMNECTTDGLLPSSIGNLTNLRDMRIMYCDFWGPIPAAIGNLKNLRNMHIDSRFSGPMPAAMGNLINLRNMHIGSEISGPMPAAIGNLTNLKTMEIQSDFIGTIPSAIGQLKQLTRLVLEGCNLSGNNPSSVVNLTQLTILDLSGNSFDGEILSSIFTLPVLRDLDLSGNQLFGHIREFDNAPSELESLDLSYNEFSGPIPKAFFQLTRLKHLDVSSNNMRGLVDLTSFWRLRELASLYLSNNKLHIVDLEGDRHLPADLSGPNELGLASCNITKFPRSLSHVLPFTNALYALDVSFNRLWGRVPMPNSSAQFLDYSNNRFSSVLPNWVSYLSYSNYLSMSRNSINGYLPVSICNSSMLGGLDLSYNKFGGLIPSCLIENGQLGVLNLRENHFEGTLPSNIATSCALQMMDLHGNNIEGLIPRALSHCTDLELLDLGHNRIADTFPSWLSGFSNLRVLVLRSNQFYGALSGIAGDNRSKECFPSLQIMDLASNNFSGSLKLQWFERLKLLLSKSNSSVSSFVEITYKGSYLTFETILTTLTAIDLSNNRLEDTIPKSIGRLVALRVLNLSHNAFTGKIPAQLGGMVDLESLDLCCNHLSGEIPQDLTNLTFLGVLNLSYNKLLGKIPQSRQFSTFDSSSFEGNVGLCGPPLSKLPCGGPPYTPSVTNVQKSSHDVVLFLFVGLGFGVGFTAAILVKWGRILFSTSSAHADDGNLTCLSVPFLCNPDQADALLQLKKSFFFGTSTTRLQSWRGDTDCCVWEGVGCDASSGNVTALNLNNRGLSSYGLDPAIFNLTSLRRLDLSMNDFNRNSYTSVFSDNIPTTGFERLALLTHLNLSNSGFVGQIPSGISKLLNLLSLDMSSTTDSYINDYYDNYRLNNLYEPNFRTLVANLSSLSELLLDEVGLFSLKEHDGVDLSGNEDWSISLATSVPNLEVLSLAGCFLSGRIYNSLSRLQSLTVINLNDNDITGPFPELFMDFLNLTVLQLSETNLEGWFPSRSFQSKKLRVLDLSSNPELSGHVPNFSNASSLDILSLDRTNFLSAKSVSSSNFKLLKELSIDGNLVSVDFLSSLGRLGSLWKLYLGFGSASELGSIFTWIEDHNSLTSLDLHGCNFSMTIPSSVSSFKALRRLTMDSCNLPGPIIFAIGNLIDLQTLQMVDCTTHGSIPSSFGNLSSLINIYIDESGFSGPLPAAIGNLTNLNTMIIYGGSISGTVSDTIGQLNKLRWLALSGCNLSGSVPGSIVNLTQLTKLDLSYNTLNGEVPSSIFTIPVLRDLDLSWNQLSGPIQEFDQALLQLESVELSNNELSGEVPLSMFTIPVLRVLNLSSNQLSGPIGEFDKARSQLESVDLSNNEFSGPIPKALFQLTSLMHLDFSSNNLKGLLDLAWFWRLTNMNTLQLSYNKLSVMDSGGNSLLPSGWSGPDVLGLASCNITQFPISLMRSKYISSLDLSCNKISGDVPNWLWETCSSSLIHLNLSHNMLTGMELTSDLLPLTRLRSLDLSFNRFRGNIPMPLSSAEHMDYSNNNFSYVLPNFTLYLWRTSYLSMSKNIIHGHIPYFSNNRSEGIAELIVGLVGLRILNLSHNAFTGQIPAHLGSMAELESLDLSCNQLSGEIPQELTNLTFLSYLNLSNNNMVGKIPQSSQFSTFQSSSFEGNAGLCGPPLSKIPCGALPYTPSVTNVHKSSHHVDVVLFLFVGLGFGIGFATAILVKWGRVGKWFTAMATALRT >Et_1B_012826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35389377:35392835:-1 gene:Et_1B_012826 transcript:Et_1B_012826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDGDARSLETEAAAAAEAARELREAAAALVARHASDEDALRRRAAALDADLRRLQGSLAGLDPPTVDKVEEDLERARVAVTDSDVASFLPSKKNGKFLRKFLGPVNVRVARKEDRLKIKDEYNNYRDRAAYLFLLFPSTLLLLRWWIWDGCLPALAVQIYQAWLLFLYTSFALRENVLIVNGSDIRPWWIYHHYLAMLMALVSLTWEIKGQPDCSSKQKGVQLFLRWAIMQGIAMHLQNRYQRQRLRTRIALGKAKRMDVVAGETAGVEGQLLLLYPVLFVLQVVVCGILLVVMAVGNFVNTVETLVLKLRFKAKMKRAKGKQDRPHQN >Et_7A_052570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9466873:9488858:1 gene:Et_7A_052570 transcript:Et_7A_052570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKAWLVFAAASLLWLLPWAADAVDRSDFPASFLFGTATSSYQIEGAYLEDNKGLSNWDVFPHVPGIIKDGSTGDIADDHYHRYEADVELMHSLGTNADRFSISWARILPKGRFGKVNQLGIDFYNKLIDSLVLKGIQPFVTLTHYDIPQELEDRYGAWLSAEIQRDFGHFADVCFAAFGDRVRHWATFNEPNVAVRTGYMVGTSPPARCSPPFGSCPRGGDSDTEPYVAAHNSKQKGLIGMVMSTTWYEPLTDAPEDRMATERALGRIAAAVAMAVALAAAALAPAARAVSRGEFPPGFLFGAATSAYQIEGAYMEDGKGLCNWDGDVELLDSMGVNSYRFSISWARILPRGRLGGVNSEGIAFYNRLIDVLLQKGIEPFVTLNHFDLPHELETRFDGWLGAGIREEYAYYADVCFRAFGDRVRFWTTFNEPNLVTKLMYMLGKYPPSHCSPPFGNCNSGNSLREPYVAAHNIILSHAAAVRNYKENYQAKRGGSIGIVIAMKWYEPLTNSTEDILAARRAQSFETEWFLDPIFFGEYPRHMRDILLSNLPTFTLEEKKLLRYKADFIGINHYTAIYAKDCIHSPCNIQTYEGNALVLAVGERDGVRIGRDTAFQGYYVVPEAMESVIMYVTQRYKGKPVYITENGYSQTSNASKEELINDTERVNYHKGYVSYLSKAIRNGANVRGYFVWTLLDNFEWTSGFSIRFGMYHVDFDTQERIPRLSVKWYQEFLVGSDFPTSFLFGTATSSYQIEGAYLKDNKSLSNWDVFTHVPGIIKDGSTGDIADDHYHRYEGDVELMHSLGTNAYRFSISWARILPRIQPFVTLTHYDISQELEDRYGAWLSAEIQRDFGHFADVCFAAFGDRVRHWATFNEPNVAVRKGYMVGTYPPRRCSPPFGTCAGGGDSSAEPYVATHNVVLAHATAAEIYKRKYESKQKGLIGMVMSTTWYEPLTDAPEDRLATERALSFDVPWFLDPLVYGDYPPEMRQLLGSSLPSFSSEDRRKLGYKLDFIGVNHYTTLYAKDCMFSVCPLGQETQHALAAVTGERNGLPIGPPTAMPTFYVVPDGIEKMVTYIMKRYNNLPMFITENGYAQGGDGYTQVEDWLNDQGRIQYLDGYLTKLAKAKRGGSIGIVIAMKWYEPLTNSTADILAARRAQSFETEWFLDPIFFGEYPRHMRDILLSNLPTFTLEEKKLLRYKADFIGINHYTAIYAKDCIHSPCNIQTYEGNALVLAVGERDGVRIGRDERCQCTGLLCVDPLGQFRVDICVRYGLYHVDFDTQERIPRLSAKWYQEFLVGSNLTRKSRAWRADS >Et_6A_047193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26650078:26657513:1 gene:Et_6A_047193 transcript:Et_6A_047193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGVGMPATDGKAEEPFRNRGQGAIRQSPRAAAGGAVGDLRTLPLPALAAPPCASQSISHQGILPRRRVSSIARARLRVRSAPLAHRQQRIPPPERRPSVTVVDYQNIIRTGRGDGKIRRSKIAVAELDGLNRHSFIRSQGSKDTYRSMTKFIANKMRQAMAIPSAMLQALRILLSSALVVAVSHLVAAASDLSLVPPVSKPGCPTKCGDVDIPFPFGIGDACAMPSLNFDFNITCNQSFSPPKPFSGDFEILNITVDTGEIRVVSPVSYICYNSYNTTEPDRADWSMNLASSFMTSRRHNTFTAIGCSTVVFLEGREDQSFFTGCISTCESLNDAAQDGEECAGLGCCQMGIPGNLRTIAVDWGNRTGSVNPAWNYSPCSYAFIAEKDWYHFRREDLVRDGNNSFINRVRTTIPVVLDWAIRSNGSCMAPEASGASVKSISPACASDHSYCVNATQGDGYLCNCSNGYKGNPYIEKGCIDINECDLRKENPAIYEKLYPCDRRHGECQNKDGSYLCKCKGFHSRGDGKSLKGCQPIVPGFVLAIVATLVAIFLLALLLWFVLTEHKRRQRKGFFDKNGGKLLQKNDIKIYTEHELKIITKQYSKPIGGGNFGKVFMGFIGEDNHQVAVKRAIVEDSSKIREGGEFVDEINFQFQMKHPNMVRLVGCCLETDVPMLVFEFIPNGSLADVLHGGAGKRRPLSLLQRLDIAIGSAEALRYMHYSHTGGHHKRIHGDIKSANILLDENFSPKVSDFGSSKVMSTANRYVRFVASDMNYVDPVYMKTYRFTEKSDVYSFGVVLLEIITRKPAKYDGNNSLPIDFIKTCKVEGNGRKMYDAEILTDNDSRSHVYMECMDRIAELAVRCLKEDDDERPNMEQVLEELMRVKLRASG >Et_6B_049960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2059354:2060694:1 gene:Et_6B_049960 transcript:Et_6B_049960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRRLLNLVIRNKHDVFSLHHIDLRSPKNSLFYDPTPAASTEPEYLPELKEMERIRPETRPRLSQGTTDTKTVFLDYQSSGAALYVYDDRERCLATLPRLLQSKWYPICLSTANAAGDEEDVVFVMDNRMKPPGDAPESRLQFQALVHHRKGGTDRWQCVELPPPPYVSEAGYRTRAEIQSYGVVHGGAVCVSSEKFGTFCFDAASRAWSKAGDWALPFDGEVHHVPELGLLVGVGVPRWDGDHQIHACPVPWTSSASTAVEPTLCDGALRDLQAFHEEFYLDDTGWPELVSLGSGKFCVVRCFETMKETCCHCNHTELDKRFVVFTGMEVVRSKLKRNENDHGVRMIKHKSTVYMLVNNWNIERCGVLGSPPPFATAEGLALTQKHADAWFLAELVVALAFAV >Et_9B_064024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10096684:10098185:-1 gene:Et_9B_064024 transcript:Et_9B_064024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSLGSVSTIVRIAQDIKTAVETVNRNKSRCKKLQKRVQGISDVLREAGTTSAGIQKLLDRLQDALARALGMVKLCQGRSCPINFIAGGRMAEQFDELDGEIDRCLLDLGAANHVIIARLEKQLHQNVAGSTETNTMMEADKDENKGKFADDHGVDKDEKKGKVAGDGEWKDWDDTTAAGNVKAIGVPVHRHRGMPELVPPPSYGHGYWPYAADCRITGGCYHHNAGGPSDGAAAHGYNHHSPYPDYFCDENPNACSIM >Et_6B_049356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3816715:3825808:1 gene:Et_6B_049356 transcript:Et_6B_049356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALSSPSTSLLSAPTPPANLFSAASKLTTAGALNPRTKNPRRLSCAAASPTAPAPAAKAKAGSWRDLFSLNSWVVRDYRRLVESVGALEPALRRLSDAQLKGKTAEFRARLARGETLIDVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLTVGLIQAGMKSDERRASYRCDITYTNNSELGFDYLRDNLSRNKEQLVMRWPRPFHFAIVDEVDSVLIDEGRNPLLISGEDNRDAARYPVAAKVAELLMEDVHYTVELKGNNIDLTEDGVSHAEIILGTHDLWDENDPWARFVMNALKAKVFYRRDVQYIVRNGKAIIINELTGRVEPKRRWSDGIHQAVEAKEGLKIQADSVIVAQITYQSLFKLYPKISGMTGTAKTEEKEFLKMFKMPVIEVPTNLPNIRVDLPIQAFATTRGKWQYVRAEVEAMFRLGRPVLVGTTSVESSEYLSDLLKAHNIPHNVLNARPKYAAREAEIIAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIVEDNILPFLTHEPLDVDMEGESTSHKGLSKIKLGPSSLGLLAKTAIMAKYVHKSESNAWSFRKAKSTIAESIEMGQTIGMEKLQERLAVESEMYPLCDAIGLAYLSVLRDCEIHCSAEGAEVKTLGGLHVLGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEIFQKFNLDTEWAVRLISRITNDEDIAIESNVVVQQLLGLQINAEKYYFGIRKSLVEFDEVQRKHVYNLRQVILSGDSDSCSEQIFQYMQAVADEVVLVNIDPRKPPKTWNLTKLLDDFVSLAGKLLSESFKDIQAENLQSALELHACGSVKADSFALPNMPAPPSSFRGIRKKASSVMRWLAICVDDTSKKGRYTNTVNLARKYFGDFLIATYLNAVQESRYDDTYISGIEREVLLKTLDSLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVEALLHYWSSPMESEEIFDTDDQ >Et_9B_066023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20805355:20809255:1 gene:Et_9B_066023 transcript:Et_9B_066023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGKDSIDLSSLAAAVPNAAELSAEDKANLVASIKNTLQGLASRHTDVLESLEPKVRKRVEKLREIQGQHDELEAKFFEERAALEAKYQKLYEPLYTKRFEIVNGVVEVEGISKESADEATAEQKPADEEGKEEKGVPDFWLNAMKNHEILAEEIQERDEEALKYLKDIKWYRISEPKGFKLEFYFETNPFFKNSVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKILKKKPRKGSKNTKPITKTEDCESFFNFFSPPQVPDDDEEIDEDTAEQLQNQMEQDYDIGRARKSLVGKDSRENDLLSASSSELQLQKYRYGQYVIAPHTNAERE >Et_1B_011349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20893982:20906619:1 gene:Et_1B_011349 transcript:Et_1B_011349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNPEDLMPNPPFVTMVLSSSLKPFSFITALISLMSSQNSDIQGAATRALSILCFTAYKAEPQLMENASFTGDISEIQRLQASITCILDEEEKANGCLAVAVFNLLTSTARYQPALLASLVEKQNNRLVDQILGYAVRATELMDSRPSVLQSVLDLLKALWESGIQFIYILENLRSSTKFWDSLSQCIGAALDSSPVDGIDAVDEKFSLRSSCQGKIFEIMSHELFLQGKLHGETCNPDRDGSKGHKEPTHAPCPSSVILKWFDDAVLHDVINHLSSNAYKKELLHRAKVAACLCIIHLITKLSTSDPGGLSFSMVKKIQTVSMKLSQHHAFLALLSQYFLHGYSGEQELNNLVINDLYYHIHGELEGRPITSGSFKELLNFLLEFKVFEDNPLKQVQTTYLEANYNLCFDVQHIRDELGVEYWNHSDWKTSKEVAEKMLHNMHRANMAKCYADSKLSTLKSLLTFLSIHTGASSNKNLSLPDGKISIATIRSVVKCACKSFESTVDSLLPQEDTNEVLFPLLCGQVELLLTLARILFHRSKQNTKSNNLYPDIILLMKTSVAATSFLVDLMPSSHALKQPVKALLVLLLSSYEFIYSKADIKDEPDDVKLFGELSLLSMSLLPVLCKLAENRECCDVAVASMDLILKGFVPSNVWVPILQKHFRLQAILHKCQKGDLLSTQVILNFLLTLGRTKDGAKFLQSANIFAFLKLLLSQLSLDDSSLRNSLSVEMKDVNLWSLGLAIVASLNHCSDDDISRNNVANSTISFLSGQVPLMSSYLSAQSVTAHQNKKRALLQKSQTSLSALSLTENILTLLCMLAKSHFPRDTGMKEVDSELREIIIHLLAFISKGSVKTSDSSNWNLSFFCPAIAREEVELNEKPPLIRSKFGWFKFAASSTSSTAGVPISSSTVSSLVIRDRSSGDSDSLRQTRFTEMMAVQIYRIAFLIMKFLCSQAKEAVKRAEELEFLDLAHFPELPMPDILHGLQDQVVSIVTEVFEGNGNGSSTLNPETQRVCNLLLVILETSLYMELCVSQSCGIRPVLGRLEDFCKGIKSMLQAIEKHSSFKPLARSLTQITTLLYPGILQSNMLM >Et_4B_038582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2995176:3000779:-1 gene:Et_4B_038582 transcript:Et_4B_038582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSRSKRLAVPAALLLVMMAAAAAGVAAKADLEHATEMGHKDEESWTEWAKEKISEGLGFKHLDEEEAARKAGESVKSARETAQGAASGEIKPKPPLLIEIVVALRIFSLVLVVLMRAMYLCLFAEVGKKAGDAKEKAGEAATGASNKAGQAKDKATETVKGAAGEASGKAGYAKEKAKEAGQAASDQGAEARERSKQGKAKVEEKAKEKAGQGYETAKDKAGQGYDTLRQTTDAAAEKAGDAKDAAAEKAKAAKDAAAEKAAAAANKAGNAKDAAAEKAGNAKETAAEKAKAAKDATAEKAAAAANKAGEAKDAAWEKAADTAGATKDAAWETAEKVGEKARQSKDCAKGKASGAWETAEKAGEMASEGYEKVKEVARETADAAKERIEDVKDRVTGADGEKKHHHREAPGKHRTVDELAMAIVSRSKRLAVQAALLLVTVVVAAAVAGVAAKADLEHATEAGHKDEESWTEWAKEKISEGLGFKHLDEEEAASKAGESVMSARETAQGAASEVGKKAGDAKEKSGEAATGASNKAGQAKDKAAETVKGAAGEASSKAGYAKEKAKEAGQAAGDQGAEVRERSKKGKAKVEETAKEKAGQGYDTLRQTTDAAAEKAGDAKDTAAEKAGAAKDTAAEKAKAAKDATAANKAGNAKDTAAEKAKAANDAAAEKAADTAGATKDAAWENAEKVGKKAQQTKDCAKGKATGAWETAEKAGEMANEGARETADAAKERLEEVKERVTGADGEKKHHRADVDATTGKHRTVDEL >Et_10B_002413.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18992873:18994772:1 gene:Et_10B_002413 transcript:Et_10B_002413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAADLGVPCVMFHVMGTFPILAMFNMARHGGEDVGGVATIPGFPPPEIRIPLTELPRDLFFRSQQQEQSSIAIRSALGRSFGLAVNTFLDLERDYCGLYVADGFVKRAYFLGPLLLPLLPESSTANSSGSNSRCCIDWLDKKTNNSVLYLCFGSFAPLSDAQIRELALGLEASGKPFLWVVKSDSWKTPPEGWAERVGDRGLVVTDWAPQTAILAHPAVGAFVTHCGWNSVLETVVAGVPVLTWPLVAEQFISERFVMQVLGIGARLCPEDTGIVRSVRRGERDLVPAEVVARAVAAFMEPGGAGEAARVKAKKLSVKARAAMADGGSSHRDLHRFIDDLMEATTARVETVQLRPKM >Et_5B_045400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2117748:2121342:-1 gene:Et_5B_045400 transcript:Et_5B_045400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLRGCVAPAISAAPAPATSRPSRLLPRRRTSSRLVAVASAARSTPSASPGDGAATVALPPSDARGYNGVAGGTNGAVAPNAKATAIETAVERVRTSSVVPSCASFDRDLVGDLISMLTMLYVIFDFRFLALLAVAGSLAGSLLCFLNGCVFIKEAFQVYWSSCLRGVHSGQMVLKVVEAIGGMFFLLLFSVNKMLFVADVSLTAVLECVSFADVYLAGTVMLIFGMGLYGLFISNASSDVPSESDRALRESSLFGMFALKERPKWMKITSLDELKTKVGHVIVMILLVKMFERSKTVSITSGLDLLSYAVCIFLSSASLYILHNLHKENHEDSALPHFIDALVIDQ >Et_3A_025366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29283017:29284641:1 gene:Et_3A_025366 transcript:Et_3A_025366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFVQDFIVNSRGNRLFTCKWMPKKREPNALIFICHGYGAECSVSMGDTAARLVHSGYAVYGIDHEGHGKSSGSKGYISNFSDVVKDCSDYFKSVCEKPVNRSRKRFLYGFSMGGTVVLKLHLRDPLYWDGAVLLAPMCKISDDMRPPSFVVSALKMISAVAPSWRVIPATDMLDKVCKDPQFKKEIRSNPYMYRGNLALQTGRELLTVSLDIEKNLHEVSLPFLVLHGEDDIVADPSGSKLLHERALSKDKTLKLYPGMWHVLMGEQPEDVERVFADVISWLKDRAGGTTKRMETNPVALTDISLC >Et_8B_059162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14690625:14693130:1 gene:Et_8B_059162 transcript:Et_8B_059162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALRPRRRFPLVPLRRLFSSSSSSGAGEGGPPVDAAAAAAARARAEAAARAEAYRQVQNFDWSSSADWKTAANILFTVPPKRKEFGLDFHLVQLFFVCMPSLAVYLVAQYARREIKRMEAEAEEKMKKDEEVEKQKQLEEESAKEDADTKLSKVLVRLDTLEGVVKEIVVDKGKNDSSDSHPKEEVVKKSEISPEKASDLKTGASDSQPVTVKSKDINGAASLPSNTTQPSSKGEGDKAQSKSV >Et_2B_022048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8398295:8399940:1 gene:Et_2B_022048 transcript:Et_2B_022048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLPSGSTMSAAAISTGSHVLKVEGYSQTKRLVRTGKCIKSAKFKAAGHTWRICYYPNGYSDKCEGRFSFSLELASESTDVHADFWFTLARSGKLANSETPHGGRASRPVTFMRKNDRWVSADLATEEEQEKLEAEYLENDSFLVRCDITVMNKPAVRRHGLEKLGLVCNRNDDKSKATGKQQSRLVVVVKTASDRLFGCFRLPVQMLPPDHQIRTRLVQRERDAAAATTGAVGADVWRLYGRRRPGV >Et_4B_038953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6282132:6284587:-1 gene:Et_4B_038953 transcript:Et_4B_038953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENQKQAEVLWPRLVANKLFRKTSGSHAFVADFPIAEDDVFEPEYGGGCSPDADAGRCVKRQRPQERNNKTLKYKVFASTWNVGGVAPPEDLDLSDWLDASNGPYDMYVLGFQEVVPLRARNVLGADKTRIGMRWNELIRAALNRSSAGGGGGGSTAAAAPQKVHPVRDGGVGGGSLAREYRCVVSKQMVGILLTVWVRADLRRFVRRASVSCVGCGVMGCLGNKGAVSVRFWLHDTSFCFVCCHLASGGREGDEAHRNADATEILARTTFPRGHALNLPNKILDHDRVILLGDLNYRISLPEAKTRLLVERKDWKTLLENDQLRGEVCEGGAFQGWHEGAITFSPTYKYYPNSDTYYGCGGRRGEKRRAPAWCDRILWHGAGLRQEQYGRCESRLSDHRPVRAVFTVEVDAPRNLNSLRSFFMSERFDRVASSPDRLLLRTKDMNSARFTDNV >Et_4A_032336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30378388:30379524:1 gene:Et_4A_032336 transcript:Et_4A_032336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGQGVRYWCHSCEEVIDPMPELKCPGCDGGFVEEMDSEGFEPAANTRSDRSLSRIRRALMDDDDDDEDEDDDDDSDRELEELMRRRRRRGSSALVRLLQTLRDDRDRGRERTESLILINSNNEAIILQGTFGPDDNQEESSNTSAGVSLGDYFLGPGLDILLQRLAESDLNRSGTPPAKKEAVDALPTVNIQEVLGCSVCLEDFDMGAEAKEMPCQHKFHSHCILPWLELHSSCPICRFQLPTEESKNPCESASNAEVLNSDGDNAAASSSDHESTNRNGGNHSARHIFSSLSALFSDQSSSSSDENAPHSSER >Et_4A_034129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30142974:30146781:1 gene:Et_4A_034129 transcript:Et_4A_034129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKQQLLLSLLAALLVLSTAAHGAAESASNGASADGPGSSKAATAASRGRSLASSSQNVFNLDNYGARGDGKHDDTPALAKAWKAACASSRPAVLLVPNSKRYLLKRVSLAGPCSSSVVVAVQGTLVASPNRSDWSDKNRRHWIVFRGVDKLTVNGGGAIDGNGETWWQHSCKINRALPCKEAPTALSFHYCTNLRVEDLKIVNSQQIHMSVEDCTSVQLTRLSIAAPGTSPNTDGIHIIRSKDGQVTNCKIKTGDDCMSIENGTHNLHVSKVVCGPGHGISIGSLGDDNSRAEVSGITIDTVQLYGTTNGARIKTYQGGSGYAKDITFQNIIMDNVQNPIIIDQNYCDKAKPCATQASAVEVSNVVFKNIRGTTITKDAIKMHCSKDVPCHDITLQNIDLKMEGGKTATESTCQNAKWRKSGIPELVAPLLALLFLASATEAADTSNGVSAAPIVVSSQSVFSLDRYGALGDGKNDDTQALANAWRAACSSPQPAIVLVPGGKRYLLKPITLSGPCNSSVVFTVKGTLVASPNRSDWSDEDRRHWIAFRRVNTLTVNGGGAIDGNGETWWKYSCKVSRNLPCMEAPTAFSFHECTNLRVEDLQIVNSQQIHMSVANCTNVELARLSITAPGTSPNTDGIHITRSKDVKVTNCKIQTGDDCISIKKGTHNVYVSKVVCGPGHGISIGSLGEDNSRDEVSGITVDTVELYGTTNGARIKTYQESAVEVSNVVFRNIMGTTITKNAIKMNCSKNVQCHDITLQNIDLKMEGGKNSTESTCQNVQWRKSGIVIPQPCTAKS >Et_9B_064730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18135497:18142277:1 gene:Et_9B_064730 transcript:Et_9B_064730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWGRELAPLAATMTARRHHLYLALLLLLLLPAASRARDFIAPGQPLRGNETLVSSGAGVFALGFFTPPGSNNTYVGVWYARVSPRTVVWVANRAAPVRGAVADNAGAALTVSRDCTLAVADANATVVWSASPAPGSAASGGSCTARIRDDGNLVVADARGRVAWQGFDHPTDTMLPGMRVGVDFAAGNKKNMTLTAWTSPSDPSPSAVVAGMDTSGDPEVFIWNGNTKVWRSGPWDGVQFTGVPDTVTYKGFTFRFVNDPGREVTYSFQVPNTSILTRLTLNSTATVAGGLLQRWTWSAAAAAWTLYWYAPRDQCDAVSPCGPNGLCDTNTVPVCSCLRGFTPRSPASWALRDGRDGCVRATPLDCGNGTDGFVVLPHAKAPDTTTAVVDYDASLEQCRRRCLSSCSCTAYARANVSGEPGHRGCVIWTGGLEDLRVFPGFGQDLYVRLAAADLGSNTWSGGSCSREGFSERNDHGDELELPIYDYETIAAATEGFSTSNKLGEGGFGPVYKGKLENGQEIAVKTLSRTSIQGLDEFRNEVMLIAKLQHRNLVRLIGCSISGQEKILIYEYMPNKSLDYFLFDKSKSMLLDWQTRYRITEGIARGLLYLHQDSRYRIIHRDLKTSNILLDKEMTPKISDFGMARMFGNDETEINTLRVVGTYGYMAPEYAMDGVFSVKSDVFSFGVIVLEIITGIRNRGVYSYSGHLNLLAHAWSLLVEGKSLDLVDENLKGSFNSDEVLKCLKVGLLCVQENPDDRPLMSQVLLMLATTDVASLPTPKQPGFAARRAATEDTTSSKPDCSIVDSMTITMVEGR >Et_4B_039972.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:6950013:6951011:-1 gene:Et_4B_039972 transcript:Et_4B_039972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYDQMLRPLVGVGPWGAGLHHGGGDAVTRQILKCTRWQLEETTDFVTCPYHYYCDSSYPGDYHAAVGALVAAFAAYCFLSTLAFTVADLVRGSNSPAPASGVRGVRRKYLVPSGPFLLPLVLLALAKGQRVNSVFPVAQLGPALLLLLQASALAFRNEADGDVRYAVLEASTVSGVLHASLYLDAVVLPYYTGLEALRWSRFSGECASCLCRMEPLVVGGTAVQYRGLSKTALAVIFALCSRMVCRIYGEERLSAWTRSALEGVGWVFLAADAVYLVGWVAAEGGAVGVMVYSLVAGLVFLSVFGKVYRFLAWVETRQSQWKSSLCHSVV >Et_3B_031747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9533306:9539133:-1 gene:Et_3B_031747 transcript:Et_3B_031747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAALRRVSLAPAARSVHAQATEPQADAPERRSLDPAWVPLYTTLAALPSRRTPGAAAAVLDAWIRERRPLSQEQIVAYVRKLRCSSKSCALEAAEEYFWSLPDVFKSLNTYSSLLNCYAEHSSADKGLELYEKMKAMNFDPSTLVYDNLMSLYHRSGYPEKIPTIYKEMSESGVCPNNFTLTMLVKSYIKLNDLESAGKLLEELQKVSPVHWSLYTRMAVGCVNLGLFDKAKMALKKAEQEWQSINQQYNTGIANIMIAAYLDKGMIDEAEALRQSAMAQRRCTDKMVSTFVVFYLTKSKVKEALQILGDRKKMLRRHKWVPTKVFLQRFLKHFEETKDVDGMESLCVCLKEHECLDAEAYEALMRTYISADSQNWR >Et_3A_023974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15433484:15435948:-1 gene:Et_3A_023974 transcript:Et_3A_023974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRAREPGQGVGFELMDVIAEAEGISMSSMQFKAMVGKGRIGDSPIMLAKPQTFMNASGESVGQLVSYFKISLNQVVVMYDDLDLPFAKLRLLPKGGHGGHNGMRSIINHLKQSRDFPRLRIGIGRPPGKMDPANFVLRPFTRKEQEELDFALHRGLEAVRIMVLEGFNKSATYVHAK >Et_6B_048862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14781706:14784976:1 gene:Et_6B_048862 transcript:Et_6B_048862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLPSDVLGGVLGRLPPRSLALCRCVCRGWRATVEGRCSRLLLPRSLHGLFINYDYGGERCRLFSRPPPPSSPDPDDGVLPVDGTMGFIPQNPWDWRWHRTRLVKDHCNGLLVYDDGHRSAYYVCNPATRRWAALPAPESLDYGKAGSIDEVLCLAFDPAVSLQYDVVRLRGLPGPDRGGRGKKKMSRKTRRRAAAAEARRAAMEWPPPVFEVDVFSSATGRWEERKFARQGGGAVATVADITSDKLWPKRICDGPRRLYSEYWEGALYIHVRGCLLLRYTDILTLELASKTSFNDERLSLSDNTYRSTRTPKSPEDGENSNTTIVEDEEEDRRDIQLYLGKSEKGIYFITLDKFQIWVWSLNESSALMEWVLQHHSNLSPLSSTLSYLTMFHPYKEIVFLGEYCNVVAYHLGSRKAKYLGTLSACYSREREAIRDESVSGGVSAAPPRLCGRCTAGDVGGRGFVVRVGLGSSSLWTAVDAIAGAADAGTGVWRGAAWSWLAELLPLRAAPAYMLRRCPCYLVCCFQSPSLGWAALRSRRPRAQARRGVRAGCRRRGCGERDAGCVPPRRGEAAACGWGDITEVRRLCVACATLVRVGSGEARRLRGAFVPAVSLPVVACREPPIGELCAAWFTGADILSAVGWCVWRRG >Et_6A_046878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21872064:21872914:-1 gene:Et_6A_046878 transcript:Et_6A_046878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLASSPTSEVPTARRVLAHAPSHSDCVSPTYPRENGFLNRPHKPVCQFYMKTRDCKFGGVCKFHHPKDQPVPVSNCALSSIKLPLRPGEPICTFYSHYGIMVQNENLIIQCKSSCTALLHHQPVRSQLLDVCWHMYHRIRIVFRPHIRSSESNPNRTLITSCRECHLIIPLLFAIQRENGFLNRPDKTECQFYMKTGDCKVGGVCKFHCPKDQTVLVSNCALSSIELPLRSREPICTFYSRCGMFKYGAK >Et_4B_036889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12382007:12384583:1 gene:Et_4B_036889 transcript:Et_4B_036889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECSSSAAKTSVWWDIDKCAVPRGRGDPHRIAYNIIAALAAAGYAGPVSIAAYGDAARVAPPVLHALSATGICLTHVPAGSKDTSDKRMLVDMLFWAFDNPPPGNFLLISGDRDLSDLLHRLRMKRYNILLVRPSNASSQVLAAAAKMVWLWEDLAAGDALRPESPPKSSVLGCNLDVRNSSGVLDCKPNVNNLDPLKCQQNKILSENGKCDDNVKASSQSRVKPLQKYVKKATSASSTTGNQDQVESIGGASESSTGSTASELDQSSVPSSSSNLLESVNTSVLLDTPALSMSSAQKHVQISQPQKVEATHKVIHGEKLSTPTECVARNGTLDFGGSRKQYNQTDQYQNELRSEFRLGDNNGKASNQHRVKPLQKYVKKTDTTFCSTSNQQDSVRIPGCPKGGTRSEVDQTSVSSSSRSSCSKTPTIAKANNLGQPEASTLSHSSDKRYVASAHMHQVRTPHESVLGKKPSISVKQASRNGAHGFNVSTVHYHPASQQSRSSEAQSKIYSNLGVKNGNLGNERKLNQQVYVKKVDVPSTSAGNVIAPVDKSLDNPRGNTLSHSSQSLSASSGSKTLHSEKLNQSTPNSDPPFSLSSAHKPIITDHLHPDCAGFIFGKKDSTSVQHAYQNGTSVQHTYQNGTFTFGVNNGYCHPTDQQSKSSLPAEQNVSTTTHSHSVFGHYHSINSEIESSAQPSAGHNGVSSAQMLASPSGSTFQGLDDICSGISRLNISECSRGTGEVKPLFQGAPTSDPSMGMTDSSGHSTETRSSFHSGSNNRCYLNQSSDPQAGQSPSSDYTCRGVHSPNLPSDMKNTGQHGEKPGTLPNSH >Et_5A_042114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6397634:6398055:-1 gene:Et_5A_042114 transcript:Et_5A_042114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEILNNYWPIVWQCNPGQPYLSVPRCHHTFANHFIHLKFARPISPTNHCNPTMRTTLLCAVAVIALCFVTSPIASSSPVDINSEDIQMLGSWAVTEHNSKRMIGLRA >Et_9B_064954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2061228:2062826:1 gene:Et_9B_064954 transcript:Et_9B_064954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGAALRRSLPSASPSIARARRRTARVACVRGGFAEEGHLTYYDAGAPRKGAVEAVARDLAKLRAMALVAGDAAKEKVLTEATDLLLLELNQMKDEEYNTKKAQKDEKAAMKALKKQQKEAKKAAAMMNCEGESSSESSESDCEEEQVTKVGQGMLISTAVSEDGASCVSTVSAMECEKAATVSAMECEKAAMKAMKKMEKEQKKAAKKAMKMEKKAKKMAMAALNGCRDEDSSSESSESECEGEVVRMSHCATITAPQKPAPITVLPIIIPQIPESVSSEPFYSEPATTTKCTGIAVVEKPVANRIEVCMGGKCRNSGALALLQEFEQKVGTGGAAVGCKCLGKCGLGPNVRLRSEGLLEGSVKKNPLYIGVGLEDVDTIVAGLFGDSDLGMAPM >Et_3A_025685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31887486:31888214:-1 gene:Et_3A_025685 transcript:Et_3A_025685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQLACEEMAYVEASSGSHVFKISGYTLTQGMGVGQCLQSITFTVAWAKVFYPDGHCVNDTADHVSVFVTLAEVASSGLVHDDCLAVRCMVHVVSVREGEVAGTVAAVPPASVLTNAYRHVTTRTWPALKRRFGTEDGLAFLFELLLKCLMVYLNKQLQDEDDCSCDRKRCRCKCGGCCCND >Et_2A_017553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35362422:35367006:-1 gene:Et_2A_017553 transcript:Et_2A_017553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGAMNDRLKSITTSFAQQMGREFHYCVKNMDDEWNTAFNFSSDPTFLTNCMRDTDGDLPQRVCTAAEMKFYFESFLEGNGRKNYVRPNKNCNLTSWIDGCEPGWACSAGKDEQVNLTDAVNFPSRTLDCQGCCAGFFCPHGLTCMIPCPLGAYCPGSTLNKTTGVCDPYNYQPPPGKENHTCGGADRWADVVSTDDVFCPAGYYCPSTIKKLSCSSGKGSISQTKCFNKGSCKPNSANQDITIFGALLVGALCLVLLIIYNFSGQLLMNREKKQAKSREAAARHARETAMARERWKSAKDVAKKHAAGLQSSLSRTFSRKKTTLRTHEPSKGIEQDAVSSKESGEKNNNLTDMMRSLEENPEKGEGFNVEIGEKKKTKGRHAHTQSQIFKYAYGQIEKEKAMEQQNQNLTFSGVISMATEDDIEKRPAIEIAFKDLTLTLKGSKKKLLRSVTGKLMPGRVAAVMGPSGAGKTTFLSAIAGKATGCETTGMILINGKMEPIRAYKRIIGFVPQDDIVHGNLTVQENLWFNARCRLSAGMSKADKVLVVERVIESLGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYKMFDDLILLAKGGMTVYHGPVKKVEEYFSGLGIVVPDRVNPPDYYIDILEGIVKPNSNAPVNVKDLPLRWMLHNGYEVPRDMLQSASDAESSFRGAGDHASGEDSAGQSIAGEVWGNVRDIVGQKKDEYDYNKSSENLSNRRTPGILRQYKYYLGRCGKQRLREARIQGVDYLILGLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFSLEKIHYWRERASGMSSLAYFLSKDTIDHFNTVIKPIVYLSMFYFFNNPRSSIWENYVVLVALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATNQKDTFFANLCYTKWALEAFVIANAQEYSGVWLITRCGSLVKSGYDINDKILCIVVLAANGVIFRCVAFFCMVIFQKH >Et_9B_064036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10375011:10377290:1 gene:Et_9B_064036 transcript:Et_9B_064036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGALHCWCITLSLSLSLSHERENLHVLVGSMLGLGMAINGTSSNMLVYVLKEYNVNSISAVNTNNNVLGSLNFVPIVGAIISDSYFGCFPVIVAGTAVNVLAFILFILTAAMPSLRPPRCAAPFTACRHGTPGQLAVLYGAVFLLAIGTGGTRFNIATMGAEQFDTAQEQEIFFNWYFVFLGLSYLLGSTVIVYLQDSVSWVVGFSVCLAVTVVSLAFLLLGARYYIMAKPKGSPYTELARVVVAAMRKARVDVSAPGRVQYYVGDGAVVDADSDGAPSKRLRQVMHTVLRMFLNRAAMITASDSVSDGDHASGWRLCTVRQVEDLKSLVAIFPLWSSGILVSVSVGVLIAMAVLQALAMDRSIGHHFKVPAGSLIVASISGFVVSTLTVDRAVFPLWRRATGALPTPLQRVGLGHAINVAAMASAALVERRRLRIVHSHGGAGEVLGWVTPMSVMWLVIPLGLAGAGEGVHFPGNMAFYYQEFPKALRNMATAMAPLLLGLGFYLSTPFVDEVKRSTSWLPANINQGRLDNVFWTLAAVATVNFGYFLICASLYKSRK >Et_7B_054074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1505302:1509583:-1 gene:Et_7B_054074 transcript:Et_7B_054074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPTSRAAASAETTREGCAEPRSSVRDAACQTDHDLFLASAEPAAAAKRKSFDEQSMMTNPEEEESRKKAKQLGWWGPAGMPLSVPGIHEALSVLLVGTSDINEVEKEMAADSAGAECSGAAPTTATIPPRPLPPLYPPYPENATSEEVREYKRECRRIRELVAQDPLTEIVENLPTLKKPKDPDTVEAVASSRDKAIVMAAARSVVSVSSVALDGEVTDRCSGIVVGWNEATKVARVLTCFRLIGGVGGLIDPKPKLHVRLPNKAVSEGQLLFYNEHYDIALVEIIADVPLEIPSFGTSPNYGQEVFVLTRDSESYLMARHGTIAWLENSDDVWGRNNRMFLHGEFNMNSDGGSVIDHDGNVVGMAFDDFIDSSDTGILAVSTILICIEMWMKLLRIARPIHGLPLRTIEMLNVAEKERLYYDYKINSGYIVDEVDTGSIAEKLGIRSGDVIVSFDKLGIHSLPRLEDLLLSLGWEFLDSRSDSSIVDLKLEVYDLVGRCTRSITLPVEICDASVRARISSRDLALGTANSLALCCCCICSIFSALAFFLSLAISRSRAILRRYISAKVMGSSSTGASPSRTASGYTVASGAGFWNVAIDSRLHSEFTTA >Et_2B_019552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9999898:10004554:1 gene:Et_2B_019552 transcript:Et_2B_019552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPPPAPAALLSRFSSPFAPAPRLFLLSCPRAPAARRSAPASAVARSISVSVEAPAAAAETTSATAAPRRRLILLRHGESTAGGRSTRDHDRPLSKSGRADAISVSDKLQEMGWIPELILCSDATRTRETLKILQEHVQGLSEAVVHFIPSFYSIAAMDGQTAEHLQKAICEYCSDDILTVMCMGHNKGWEEAASMFSGDSVVLKTCNAALLEAVGKSWVEAFSLAGLGGWKLHGIVKP >Et_1A_005186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31188082:31189812:-1 gene:Et_1A_005186 transcript:Et_1A_005186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCLARLRLVPVLAVLLHLPVAVAAGTNNNLTPGATLTPPGHITSPSGVFAFGFRALDPDPTNKFHLATWFRSSGDNNSSSDPRSVVWFAKQSPSGDTPVATAGSVLSVTADGALVLTDDGGWPLWNASTTSSTKGSVLALGDSGNLRLLGDDGTVLWESFWYPTDTLLPGQSLSLDARSEGKLFSKRADAEFTTGRFQTDGNVVLYVDLLSFQQLARMDPDGILRTYARPRSNDASSSSWFTRDRLRCECPPGYNYTDARHSDSGCAPGFEPQSCEASIYYNKLSSVTEDQCRDHCLGDCFCAAALVTGGGSECVELAALTNGRRANDVTTKALVKVRTRGAPRERDPTRIELVVARPWKIVTVCLGALLLAITVVGGGVLAYHCLVGRRNRETRRRLLGSNVRAFTWKELHQATNGFEKLLPRLVAVKKLIDSNEYVDREFTNEVQSIG >Et_10A_001858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9167710:9169828:-1 gene:Et_10A_001858 transcript:Et_10A_001858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLLFLVLTVFLWLDGGAIVGNGAVMSIGARIRPLSSYCSTTDNFTASNQYQVNLVDLMNALPASAIANGGFDNTTVGDPPNKVFGLTMCYADSNSTSCKECLQAAGYAVQQECPFSREVKATFDACLFRYSNQSFFSVADVTVTYDAVTPTYGINMEGVYSTRLKLLARLAEQAAGSLLRLANGSEPYTDSQGGSQVVYGLAQCTRDLSASECARCLTTFLGNLPPPIAYDNVKGYSCYMAYSVREALSITIPTEMPAPPPSSANLLPPSSSSLLAPSPGAPSVALVAGVTVGAVTFLIFAGTILARLLLLYFRRKAREREFMIKDEPLEKEFETGAGPRRFRYRELAVATKFFSDDEKLGEGGFGSVYRGYLKDMDLHVAIKRVSRSSQQGRKEYISEVKIISRLRHRNLVQLIGWCHGGDELLLVYELMPNGSLNTHIHSQSNVMSWELRHEIVLGIGSALLYLHEDWEQCVLHRDIKPSNVMLDASFHAKLGDFGLARLVDHERESHTTAFAGTMGYIDPECMLAGRASVSSDVYSFGVLVLEIVCGRRPIVVVQDFEEYATMHLVQWVWEFYGHGSIIDAADARLNGEFDSGEMERVMVAGLWCAHPDHAVRPSIRQAVNVLRHEAPLPNLPAKIPVAMFMPPVVGCTPSESSTGTGASSGSGSGGAHVE >Et_4A_035660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3305359:3309335:-1 gene:Et_4A_035660 transcript:Et_4A_035660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKAGAQRRQPPLWRRCRSLRQVKQVHALMVLRGFLSDASALRELLFASAVAVRGGIAHARLVFDRIPQPDRFMYNTLIRGAAHSDAPRDAVSLYARMARNGGAGPDKLTFPFVVRACAAMGSAATGAQVHAHVVKAGCESDAFVRNALIGMHASCGDLGVAAALFDRTARGDAVAWSAMISGCARRGDLGAARQLFDESPVKDLVSWNVMITAYAKRGEMALARDLFDRAPDRDVVSWNAMISGYVRCGSHKHAVELFEQMQCIGEKPDIVTMLSLLSACADSGDLDVGQRLHSYLLERFSRTGLTTVIGNALVDMYAKCGNMKRALEVFWSMRDKDVSTWNSIIGGLALHGHVMESIDVFEKMLMGSIRPDEITFVAVLVACSHGGMVDKGREYFNLMQQIYRIEPNIKHYGCMVDMLSRAGLLKEAFEFISKMKIEPNSVIWRTLLGACRIHGEIELAEYANRELLKARSDASGDYVLLSNIYASVGEWLGSEKMRKLMDDSGVNKEAGHAVVDEYMKIEDKEMNLAHKRSKYTSSFSSIKRTTLYKSPKSARNTKAKAEDK >Et_2B_019908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15078147:15079364:-1 gene:Et_2B_019908 transcript:Et_2B_019908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRCCTFLEILLAIVLPPLGVFLRFGCCSMEFCICLLLTILGYVPGIIYAIYVLIALGSDRDSDRDYYDEVA >Et_5A_040365.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:17424891:17425022:-1 gene:Et_5A_040365 transcript:Et_5A_040365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PKKLSNNSRDFVGSLIKAWQKNLRSFQQLKIQITKSFGYTFSP >Et_3B_029534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25857344:25867072:1 gene:Et_3B_029534 transcript:Et_3B_029534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKTSFWRNCTVAYLLILSVLATRCLPAPTARTDSISQNQSISDGHFMTSMSKNFVLGFFSPGTSTYRYVGIWHNSVPERTVVWVANRNNPLQDNLGVLRFDDSRNLIVQDGRGKSFTVANGMGVQDMEAAILDNGNFVLRSISDQTKIIWQSFDFPTDTWLPGMNITFGSKLLTSWKSYDDPSVGDYSFGPGITSALQLIIWWNRNKFWTSSSWNGDVNSLIPDLTNIDTIPVSFQCDNLTCMYTPNPRNRMTKIVLDPDGSLNIVQVYSEAQSWTLLWRHPVSCDISNICGVFDLCNNDMSLTSEFQKNDIPLIFPCRCPKGFALQDRENTRKGCTRKTPLQCNGDKFIDMPGMRLPDNREKMSLMGNSECQLACMTDCSCTAYASSVSDGCSLWHGNLTNLQDGYNGSGGGILYLRLAASELESIKSSGHKVIWIAGILSSVALLIFCLILFVWVRRLKKKENKLGEGGFGPVYKGNLSDGQDIAVKRLAANSGQGLPEFKNEIMLIAKLQHRNLVGLLGCCIQGEEMLLVYEYMPNKSLDFFLFEQSRRALLNWEMRINIIEGVAQGLIYLHKHSRLRIIHRDLKASNILLDIDMNPKISDFGMARIFDPKGTQANTKRVVGTYGYMAPEYAMAGIFSVKSDVFSYGVLLLEIISGIRNAGSQRRGNSLNLLGYAWELWKEGRCCELVDKSLHGACPEKMVLRCVHVSLLCVQENATDRPSMTEVISMITNENTTLLDPKQPGFLSMLLPNVTDIPDETCSLNGLSITNLDGSMGARALSLVLLLLTTVAASFTLAASTDTILRNTSIAGNQTLVSAGGLYALGFFIPPGADGRSTYLGIWYATIPGPRTVVWVANRQDPVVNSPAILQLTAGGRLVILDGNNDTVWSSPAPTRNVTARGAARLLDSGNFVLSSDGKDFDQSVAWQSFDYPTDTLLPGMKLGEDFRAGIVRNITSWRSPSDPSPGDYTFKLVSGGLPQFFLVRGGETRIYTSGPWNGDILTGVPYLRSKDFAFKVVYSADETYYSYAIRDPALFSRLLVVDAASGQLRRFSLNNGAWKPFWFYPTDQCDYYATCGPFGYCNPDQSPPCSCLPGFVPRSPERWGVKDWTGGCVRSTNLSCDGGDGFWVVNQMKLPQATDAMVYAGMTLDQCRQTCLTNCSCGAYAAANMSGGVGVGCVIWTVDLLDMRQYPIVVQDVYIRLAQSEIDTLKAAAANPGHRSKNKIIVIVVATISGVLLLLAAIGCCCFWMKKQRGKGQSDIASLPPSSVDHGLPYRNRSQPALSPVRDENLYEVPENTSYSGKEVDLPMLGLDEILVATDNFAEHNRIGSGGFGPVYVGHLEDGQQVAVKRLSRRSMQGVREFMNEVQLIAKLQHRNLVRLLGCCTDNDERMLVYEYMHNQSLDTFIFDEEKRRFLKWQKRYEIILGIARGLQYLHEDSRFRIIHRDLKASNVLLDKNMVPKISDFGIARMFGGDQTTAYTAKVVGT >Et_10B_003048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15902732:15907971:-1 gene:Et_10B_003048 transcript:Et_10B_003048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLASPEARAPPALPDHLLEEVFIRIGVHAHLIRASAACKTFLRVIADPAFLRRYRTLHPPLLLGFVGCAEPTDARFIPAGPAIRFLPADAPHPNEPAARAFAAAADFSFDHHHDHDMSSWPRYDVRGGRVLLMSSDTLHGSLLVSPVLSVCDPLTRAYTRLPPIPDGLRASVQSKVQPEHMGFFDAFFDPSGDNEEALFREETQFRVMCWATCCLMSVMFVYSSDSGSWSHGTSIVFSALGLNLPPEYYPIMGGWHSYAYGCLYWDVGINNQMIKLDINCMESNTVILPALLSDHENRNTMFVEAGEGRIGMFSLIRKTDNPQSLHYSIWQNESNNATEHLVENTIPLSSEYDFYHFEGAAQGCIFLIGLRKDVIPGSVFFSVEIKTLKVERVCEANFGPDVYWHFRPFMRRPFWIPKPRAPLGLTDHLLEEYPRRPHPPRTTFHRLITNPSFLRRYRTLHPPLLLGFVGCAKPTNVHYNPAGSAIRFLPTVAPHLNAPAACAFAAAANFSFDHHLDHGMPSWPRFDARDGRVLLVSSDSLCGGLAVSPVLSVCDPLTRRHTLLPPILEDLRASVLDEVQGDQFNFFDAIFDPSGGNEEALFGEETQYRVVCWAISFSMTLVFVYSSVSGSWSHGTSIVFDALDLDIPSECYPKWVGCIVTHMAACTGILTWLDINSMVSTTLSLPSDHGNRITMFVEAGEGRYMYQ >Et_10A_001735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7562479:7567202:1 gene:Et_10A_001735 transcript:Et_10A_001735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMSVSAVAPAVAAAGAGAGRPRTLVCVPATARAPREMAAELAAAAAVGADVAEIRLDRLAGFAPRRDLPVLLAEPRPLPALVTYRPKWEGGEYDGEDEPRFEALMLAMELGAEYVDIELKAADKFMKLLSGKKPESCKLIVSSHNYENTPSAEELGNLVAQIQATGADIVKIATTATEIVDVARMFQILVHCQEKQVPIIGLVMNDRGFISRVLCPKYGGYLTFGSLEKGKESAPAQPTAADLINLYNIRAIGPDTKVFGIIGKPVGHSKSPILHNEAFRSVGFNAVYVPFLVDDLDKFLSTYSSPDFAGFSCTIPHKEAAVRCCDEVDPIARDIGAVNTIVRRPDGKLVGYNTDYVGAISAIEDGIRESQPTDPSTSPLSGKLFVVIGAGGAGKALAYGAKEKGARVVIANRTFVRAQELANLIGGPALTLAELENYHPEEGMILANTTAIGMHPNVNETPLSKQALKSYAVVFDAVYTPKETRLLREAAECGATVVSGLEMFIRQAMGQFEHFTGMPVDDDKFRAIADSLDSPNIMKPRL >Et_1A_007463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34872123:34876414:-1 gene:Et_1A_007463 transcript:Et_1A_007463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRIRSLHLFYFVSISLFGSFLLRVLPMKDITTPIPSGLDLIFTSVSPTTFSSMVVVEMEHFSNSQLLLLTLLMLLGGEVFMSLLGLQLSYPMSKKTEEVAELDCKPPPASLKLTPMAALTPAVTADQIEVGHGKPSHLMLSQEMSNHDQPIGSAFTIIFAVTIVVAPQVTHRWQSISAACTKWASCIASVERLNPSGANALDKVSSFSNTAGDAFKDTYLVLGYVVVVHLAGYTLILLYLSVVAVARAVLTGKKISPQTFSIFIVVSTFANYGFVPTNEGMVSFKSFPGILLLVMPHVLFGNTLFPVFLRLAIAALEKATRRRELGELLQNGDAATAYDHLLPCRRTWFVALTVAAFLAAQQVLFCATMEWRPEGLQGLTAFQKLVAAMFMSVNSRHSGEMVVDLATVSSAVVVVYVVMMCVTSSNYASICFVHMYLPPYTTFLPLDKDHQQQQSGIRCLTKHWKLHRSY >Et_5A_041501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23691567:23697132:-1 gene:Et_5A_041501 transcript:Et_5A_041501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYSDDSDPDLDADLQEDLDALRRSCILSGADPDAAVAQLSSAAASSYLGPHLYFIPGESETRGVTGGAQRKESVKGLSRTGFPKAALLLVDALKKNRACQKLIRRKMISIEAKIEENKDLRDRVKCLMGYQLSCRKSVGKFLCQKEDPRVRLISNRKQTVQGAKNKPKKMPALFLGPAENLHVSKYKMVLKQFPMSLQKRPWLDAEKEKLARGIKQQYQETLILDSMNNGSAIGDFNAVDMAYALTNAACNFDVTPESLRSVLPLINWDKIAAMYLPGRSGAECESRWLNCDDPLINHNAWTAEEEKRLLFIVQEKGMCNWINIAATLGTQRTPFQCLARYQRSLNPHIINKAWTKEEDLQLKAAVETFGDNNWQLVSTSLDGRTGSQCSNRWRKTLHPERTGRGRWSLDEDKCLMVAVKLFRSGSWSRISQFVPGRTQSQCRERWKDVLNPSLDHGEWRPEEDSKLLDAVSKLGTKWSQVAKLIPNRSDNMCLSRWKRLCQDKLPALQATNQIKKTIFQSNFVDREKERPAIGPGDLITLVHPQSDRHDENTLRKKKSTPENTVGVQEIMRGCVSGDNDAVPTELSGTIGTDIEAGENGMREPVSNGEKGVVKKRRRNNSVGNERAVRKRRGVDSVGNEGAVRKRMRGSIPMDNEAVPMELRGSISTDIAAGENGMREPVSVGEEVVVKKRARRTNSVGNERAVRKRMRGSIPMDNEAAETNRMTDPVSVGEEGGVRKRTRRTNSVGKVDGMRGSIPMDNEAVPTELRGIISTDIEARENGMSEPVSVGEEGVVKKRTRRTKSVGNEGAVRKTRGSVSIENNGAVTRKKRASSRKSAENSSVADGTVIAIPDSDVPSVPSEEIIFEDGNLNKRRKKSTPRPKQINMAEGDANKHSTSVRLATCLSFARMNGTNRSKT >Et_10A_001107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21080702:21093688:-1 gene:Et_10A_001107 transcript:Et_10A_001107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISCVCGSSVSKSASSYQNGGFGAVPASPAAVAGLEKRTFRAGGDDAVPASPAAVAGLEKRTFRAGGDDGCAICLDEKFEEGQELSVMPCSRAHAFHTQCITMWLGQSNMCPLCRHALPISEDEQRRRAALRSQIGVLLEAHRRLIEAEAPAVHVDAAQPSTGDDGQLAAGTGSAGSSGNVSSLHDGNPVATEDHNFYPLDEPEEDATQLDIDWAHRLFNELVYSDIGALHENVVRSDSDPYDYDEDISQDHIDQAIVAGRLHIPHIEDTPVVFNEAHANDDGFGGVPASAAAIKGLRKQKYDGSGDDSMCVICMRDYKKGKRLVVMPCEYTHRFHGKCLKKWLSRSHLCPLCRHALPTEEQNEGKSTN >Et_1A_006202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19100503:19101265:1 gene:Et_1A_006202 transcript:Et_1A_006202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPLLSSASPRFHLAAVPGHGVSRLSVTSACPVARGGRRRSLSVRCEQGANGGGGGLDVWLSRGAMLGFVGAVAVELTTGKGVLQNVGLTAPLPSVALALTGVVGVFTAFIIFQSGSRD >Et_2B_018906.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15580905:15581357:1 gene:Et_2B_018906 transcript:Et_2B_018906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LHPFDETPLSEGALPPQPPRRSEPSRRGEPLAHVPRQAVRAAPLHLAQVRDGERGGPAERARGGDGLVRGEAPSGRAGVWPHLQRQGGRRRRGGARHAPQGRRPGSRAHPRLRRQGGREEGVQEPDQGLGGPQRAARPWGQPPRRRRRRS >Et_1B_013207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6479571:6481771:1 gene:Et_1B_013207 transcript:Et_1B_013207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNFNHETNQVEDEYYGEDEDDLDDFIVYSDDDEDRTLKKRKRQQQQEEDQEDEEDEVQEESFEEEEEAAPQRREEEAPAGTQEILSLREQLKEKIRRKNAAMAGTSSVKQTRPTPAKDKYGSFFGPSWPVLAPRVLQEGCSTMMKELQSVPSRAVVILELLVDNRAYFSCRKTSPWFRKRNQAQAVICKSQRSLVRYERPFEEINKAISKMTSNVGLTLQEKRKIDALRENRDYSSLFSDDAEDPPTKEQSDNRKPNGQPARSPANGHGLKGAATASQGKAGSPGKGPLADRKRTVATARNGYTTPAMKKTTGSQAKPAQKQVLASSKLKVSRPVEKRAVKRKSDDEVDYESISKHIGAIFNYNRFKYAGMDEDDSNMEADYASIQKEERRSAKLARQEDQEQLRLIEEEERRERAKKRKKPALTQE >Et_2A_017242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32332662:32335653:-1 gene:Et_2A_017242 transcript:Et_2A_017242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPPPHPPTDNEKGRGEGLSPADAERLAEFLRADLPHLFDDVGIDRTAYDDRVRFRDPITRHDTIDGYLFNIRLLKLIFRPDFYLHSVKQTGPYELTTRWTMVMKFMLLPWKPELVFTGLSIMGVNPQNLKFNSHVDLWDSIQNNEYFSFEGLWEVFKQLRIYKTPDIETPKYLILKKTANYEVRSYASFLIVESKGDKLTGSSGFNNVTGYIFGKNASSEKIPMTTPVFTQTSNDKLFNVSIQIVLPMNKDLDSLPAPNTEEVTLRKVDGGIAAVKKFSGRPKEEVVLQKEKDLRSQLLKDGLKPQQGCVLARYNDPRTQSFLMRNEVLIWLDDFTLE >Et_4B_036823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11830073:11838943:1 gene:Et_4B_036823 transcript:Et_4B_036823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSVDDDPLADPSYRTVRVKLRFTFAVLRPCLTDSCSMSNAKPVLEPAFQGAGNKPGTEIWRIEDFKPVPLPKSDYGKFYCGDSYIVLQTTCNKGGAYLLDIHFWIGKDSSQDEAGTAAIKTVELDTMLGGRAVQHREPQGYESDKFLSYFKPCIIPLEGGFASGFKKPEEEKFETRLYICKGKRAIRVKEVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEVIQHLKEKYHDGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKAVNDDDVVLETTPPKLYSINNGQLKSEDIVLTKSILENTKCFLLDCGAELFVWVGRVTQIEDRKTASAAVEKFIIKQNRPKTTRITQVIQGYENHTFKSKFESWPVSNAAGNASVEEGRGKVAALLKQKGDVKGASKSSAPVNDEVPPLLESGGKLEVWCINGSGKTALEKEELGKFYSGDCYVILYTYHSGDKREEFYLTYWIGKDSVSEDQETAFQTSNTIWNSLKGRPVLGRIYQGKEPPQFVALFQPMVVLKGGISSGYKKFVEQKGLKDETYSADGIALVRISGTSVHNNKTLQVDSLSTSLSSTECFVLQSGKLMFTWIGNSSSFEQQQWATKVAEFLKPGVAVKHCKEGTESSAFWSAIGGKQNYTSKNVAQDVTEVFNFSQDDLLTEDIMILDTLSEIFIWMGQCVETKEKQKAFEIGQKYVEHAVAFEGISTDVPLYKVIEGNEPCFFRTYFSWDNTRSVIHGNSFEKKLSVLFGMRSEGGSKSSGDGGQTQRASALAALSSALNPSSQGKQSNERPTSSGDGGPTQRASAMAALTSAFNPSSKPSSPQPHSRPGQGSQRAAAVAALSNVLTAEGSSQSPSSSRTSPTEAKTGHLDADAEKTEVAPQSAAEESPDTFTDQDAPGEGRTEPDVSQEQTANENGGETTFSYDRLISKSTNPVSGIDYKRRETYLSDSEFQTVFGMTKEEFYEQPRWKQELQKKKADLF >Et_4A_034233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31078024:31080635:1 gene:Et_4A_034233 transcript:Et_4A_034233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METALFSAASLFHGDDSDDSRDEMQVDAEGEKQALEYVERDHEFPGMKLCVREFSSHELNANLLWPGTFSFANWMVKNKSILDGQRILELGSGTGALAIFLRKAFGVDITTSDYDDKEIEENIAYNWRANNLDVLPHIRHTWGDPFPVSRPDWDIVIASDILLYVKQYENLIKTVSFLLKEYKQNGQKAGCITITDKSGTQIPAKIPMFLMSWRRRIGNDQSLFFTGCEKAGLRVQHLGDLVYLINIKN >Et_6B_049026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16812923:16813193:1 gene:Et_6B_049026 transcript:Et_6B_049026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVRHRNRPRDFICKICLSVECFNVLKRLLSSNVFKRLSANASLRSLLRTDTGHGTLTFNKE >Et_6B_048503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1040964:1042722:1 gene:Et_6B_048503 transcript:Et_6B_048503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGRQRELVAQLRELLFIPSATDVIRCSSSAGSGPPAPDTCSVGESTCGGRRRRRRASGNDELDEVGQSTSLVTCTPDFDGYQWRKYGQKQIEGAMYPRSYYRCTRSAEQGCPAKRTVQRNDGTINCNGSSPPPNCKYTVVYVGEHTCTANDSMEEAPIILETTAVDNGPAAAFLAPPEARHDAAAAASATSSPAPTTVFTESPATSDAVTWSSSASEHVVDDYDSSSWAPVESSSSLVPQDMDDFTGPIRSPVHIEAGGCWTMDQYLLFVNEPIATHFSAAGFSF >Et_10A_001493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:456740:459924:-1 gene:Et_10A_001493 transcript:Et_10A_001493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVTTLSSFAALLLWCLLVVVFAAGDGGGGTASAALLDTQAAYLSKMKDEFAGPAMSRWDFSSSSSSDYCRFQGIGCDKGGNVTVIDVTSWRLVGRLPPGVCAALPALRELRMAFNDIRGGFPADVLNCTFLEVLNVSFSGVSGPVPDLSPLRSLRVLDMASNLFTGAFPTSIGNVTTLEVVNFNENPGFDAWRPPEESFMRMRNLRVLILSTTGMRGGVPAWMGNMTSLTDLELSNNNLTGRIPASLGRLPNLELLELYYNLLEGEIPDELGNLTRLTDIDLSENRLTGGIPAPLCALPDLRVLQMYTNRLSGPIPASLGNSTQLRILSVYRNQLTGELPADLGRYSPLNVIEVSENQLTGPLPPFACANAQLQYILVLSNLLTGPIPAAYGDCAALLRFRVSTNHLEGDVPPGVFALPHASIVDLSYNHFTGGVPAAVSRAANLTSLFASNNRMSGALPPEIAGAAALVKIDLSNNALGGEIPAAVGRLARLNQLSLQGNRLTGPIPETLAELRSLNVLNLSNNALSGPIPESLCALLPNSLDFSNNNLSGPVPLPLVRQGLLDSVSGNPGLCVVSFRPLNRTSDSNDDGVPPLCPQRGEGLRRGLAGNAWVLAVCALVCAAAALVLARRWVTQGGGWLWGRNDADGHDGSSPTSTRSSAASYDVTSFHKLSFDQHEILEALIDKNIVGHGGSGTVYKIELSSGELVAVKKLWVSTSRRGGPNYKHHPQDSDSGSWLRDRELRTEVETLGSIRHKNIVKLYCCYAGAHANLLVYEYMPNGNLWEALHGGSFLLLLDWPTRHRVAVGVAQGLAYLHHDLMFPIVHRDIKSSNILLDADFEPKVADFGIAKVLQHARGDARNASISTTTIAGTYGYLAPEYAYSSKATTKCDVYSFGVVLMELATGRKPIEPEFGDTRDIVHWVSGKVAAGAEADALDKRLAWSPYKEEMVQALRVAVRCTCSIPGLRPTMADVVQMLAEAGPKTSPKDAVKEDSAKVDATGLQQPKVVLPPSP >Et_9B_065777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11274798:11278098:1 gene:Et_9B_065777 transcript:Et_9B_065777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRTAALMVIMCLVILGLNVNLATAAECSCCVSARAKAWKRSLHWALATEFSHIAGTNLLGSAPLLLPCLASHRRKRLGGGEELVASDELWRLSPLGCPLSSACR >Et_9A_061142.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:18508509:18508724:-1 gene:Et_9A_061142 transcript:Et_9A_061142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRAVDYVARGLCKKRRRKEAAVAADGDSDGKSGAWSLPRRCVRLVREQRARLYIARRCVTMLACWRDSS >Et_1B_011837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26409852:26411476:-1 gene:Et_1B_011837 transcript:Et_1B_011837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLLVRRLSTAAGTADKRVPAASRFPSRSPSPRRSLGGELHSRVLAVGNPQLPLSPVLEEWAREGRTVEKTVIQAIIKKLVGLNRFAQALELSYWMTDRRHLYLSAGDVAYRLQLIAKVHGLERAVEYFGNVSQNLKQPQCYGSLLNCFVEAKAVDKAEELFAKMQEMGMKTAYSYNVMMKLYLETGQLERVHTMFRDMEEKGVEPDMFSVDNLVAAYSAAEDIGGLEKVLDEANPHEKLLSWHGHAISAKVFMKAGMQERAIKALLDAEKRITKNTARVAYGFLLNAYTDLGMYPEVERIWGVYKSKVHNYSSMYLCRISVLLKMNDIDGAEKALNEYESKCSSFYDFRLTNVVVGAYCREGLVEKAVALVDDAMEKGRKPYANTWYNLAGGYFKNGQVLKAVDMTRKALASATYQWKPDLTYVLMSLNHFMDQKDVEAAEEMASMLQKFFPLTRDVYHCLLGTYVRAGKPVSELLDRMKKDGFEADEETNKILAGDCQ >Et_10B_003755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5555435:5561255:-1 gene:Et_10B_003755 transcript:Et_10B_003755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSFSEEVAVAISADRMWKAAFTTDKQVFSDLLTKACVGFIDAVEMEGDGGPGTITTMKANPAVQDMKLLKSRVLARDDAARVLKTEVIEGGKIKSQLKSEVAEMKVEPTGDGACVVKVTVDYERDGGPLAPEDQAKVTKAYLGLVKKVEEYLVANPNDFSEEVFSDLLTKACVGFIDAVEIEGDGGPGTITTMKANPAVQDMKLLKSRVLARDDAARMLKTEVIEGGKIKSQLKSEVAEMKVEPAGDGACVVKVTVDYDRDGGPLAPEDQAKVTKAYLGLVKKVEEYLVANPGDFSKEVAVAISADRMWKAAFTNTKSDLLTKACVGFIDAVDFDGDGGPGTVTTITANPAVPDVKVLKTRVLARDDAARVLKTEVLEGGKVSSQLKSEVAETKVEAAGEGACVVKVTVEYERDGGPLAPEDQAKVTEGYLGLVKQVEAYLLANPDA >Et_8A_058006.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1276858:1278969:1 gene:Et_8A_058006 transcript:Et_8A_058006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLINKYLRSSKDRAGGREGNGMPPASNSGDHHMCIPGCVPVRGAKRSSSSSSAATVTTTTTTARTSRHNFVKAAAAGLLAGAQFTNHESLPSLADAHAEFAAAFPQYGALGGRADAVRGEEYGHLDRHVCLDYTGINLFSHAQMNASLPSTSSSSAPAPARWQPPFFDIAYKSTSLRTQLQCGADDGAKGGGAASAVRRRIMASLKIPEDEYTMVCTANRTTAFRLLAESYSFQSGGGGKQLLSVYDYESEAASAMAAAARRRGADVSSATFAWPSMRIHAADLRKKLSRGRRRGHGNSGHRGLFVFPLASRMTGARYPYLWMSAAHERGWHVALDACALGTKDLDTFGVSLLRPDFIVCNFYKVFGENPSGFAGLFVKKSSLAALERSVIARSIGIVSIVPARRWSLHDGDGAGAGGYSTELEHSLSFPKLADDVDLDTSCSFSGPLSATAISRSQTLQIPDDGEDVPEIRELEVDDTEAVEPPGAEAAAAVIADDYKEETEEEEMVVECRGLDHADALGLIAIGNRLRCVSNWLVVAMQKLRHPHGGAPLVRVYGPRVKFERGPSLAFNVFDWKGERVAPALVQKLADRHNISLTCGFLRNIWFADKYEADRAVVLVDHRATAAGDGDAVSGGKKKKKKKEAAGAGEAGIFVVNASLGFLSNFEDAYRLWAFVAKFLDADFVEKERWRYTALNQRTVEV >Et_2A_016271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22781375:22788087:-1 gene:Et_2A_016271 transcript:Et_2A_016271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKVLSLAALNKNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNEIPKGTTEVFLTGATSKLGRAIALYLCKKRVRVMILMAAAAFYAFPSLRHLPLWDARGLAVAALLHVAVTEPLFYAAHRAFHSGHLFDRYHSLHHSIKVPQPFTAGFATPLEHMVLGVLMATPLAGACVAGFGSMALAFGYVLGFDFLRAMGHCNVEVFPSRLFRSVPVLRYSIYTPTYHTIHHTKREANFCLFMPLFDLLGGTLDDQSWEMQKQTSAGVDEVPDFVFLAHMLDPTQSLHVPFVLRSYASMPFSMQLFLVPMWPVAFLVMLIVWALPSKTFVVSCYNLRGRLHQLWAVPCYAHQYFLSFAQDSTNRQIEQAILSADKMGVKVIGLAALNKNEALNGGGTLYVNKHPDLRVRVVHGNTLTAAAILHEVPKGTAEVFLTGATSKLGRAIALYLCRKRVRVMMLTLSTGRFEKIQREAAPEFRPYLVRVAGYDDASARGCRTWLVGKWMTVREQMRAPPGTHFLQFAVPSMVAVRRDCTYGKTVAMRLPVDVEGLGVCEYTLERGVVHACHAGGLLHFLEGYKHHEVGAIDVDRIDVVWEAALRQGFRPV >Et_3A_023375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24027000:24027314:-1 gene:Et_3A_023375 transcript:Et_3A_023375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSETFRRSGSSGTVWEDKVQSASAKKDARQQRSGSGGHGGYRARGHVQPALDPPSPRVVACGFCSIFGKDKPPAPGAGGRTNANGRRR >Et_3B_028276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12797433:12802830:1 gene:Et_3B_028276 transcript:Et_3B_028276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPMEDTSAAKLTDDLVVTILFRLPFKSFCRFKCVCKTWLAFPSDPYYCQKLPKVPTGFFYQDRNSKAIQLVSLSKNNEAIDGTLSFLPDHEHLKLVDCSHGLILYRSCQTSPDIFRLIVCNPATREWRILPDAQRKPEIFHYTFKLGFDPGTSPHFCVFNFRYDRGPGNLIGDMNQVEMFSCRNATWLVYPNMLDPENDSIAVSERPHVFLDGYLHAHTDRDGWVLEETAQIGRPPSNWTIELPLHRVNCFADHCFRGCLGQSRGTLHYAGADEDGRTILGRDYYIPDGWTIEHRLSMSDAFGRNDFVHYEDDYIWTCNYETIAIDMKREVLFLTDDKTERLLSYSISTGKLNEITDSTHCVLLEASPIPQITLHLEKRQASRYSMEPKRVKRLQVEVCWFPSCKLREQMESKKRCSASNLTDDLVVEILSWLPFKSFCRFKCVCKAWLAFSSDPYYCQKLPKIPSGFFYQDDSNSVIQFLSLSSKDERIDGILSFLPQHAHLNFVDCSSGLVLCEYRSNSASPDIFRFIVCNPATQEWRVLPDTQRDPEKFYYKTVLAFDPSWSPHFYVCHFPHEVSADGIRGIRKVKMFSSLHWTWLEDYYLWDPENDGIEFSESPHVFIDRTLYMSTFEHDFLVLEELDTVRIGMPPSRWTVKSPLQRFEAIVDRYGCLCQVSGTLYYAAPEKDGCTIVVWSNNDRVVCDPDKWVVKYRLSMRDAFGREDF >Et_1A_006863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28365288:28366791:-1 gene:Et_1A_006863 transcript:Et_1A_006863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSEAMIEKMQLRQSYRNVWHTDLTNAATADLPWCCLSLWCGPCVSYMLRRRALYNDMSRYVCCAGYMPCSGKCGESRCPEVCLATEVFCCFGNSVASTRFLLQDEFNIQTTQCDNCIISFMFLLQQLACICSLVACIVGNSELSEVAHVISCMSDMVYWTVCSCMQTQHKVELDKRDGNLGTMSVPPMQQMSRFDQPYPPNVGYGYR >Et_8A_056393.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:7539103:7539303:-1 gene:Et_8A_056393 transcript:Et_8A_056393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTLDWCTYKFMCFKGKCYCCQTPKSNVPQCYENWNDCKANCPACHPSCPHEPGQLLHTAKNSTL >Et_1B_011583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23828505:23831795:-1 gene:Et_1B_011583 transcript:Et_1B_011583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVLVFGFRFGQIVGVIRTPEIINDAADDSRQEPLVNIRVGDDEWEYVYIQHLEMDASRKRKRSNSPPGSLTPEVDENEVDKKWRSKVEELIARSREQIRRQRIEYIKRSAGPRGVKHGK >Et_8B_060814.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:878078:880012:-1 gene:Et_8B_060814 transcript:Et_8B_060814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLRASLARPIQLAEQVIKWADEAQTCRQECQDLKARVERVATLLRQAARAELYERPARRVLEDTEKTLEKAGLLLDRCRGQGIVSRIFTIIPAGSFKRMGYLLDNSVADLVWILRVSSSGSGDEDGDHIGMPPIAQNEPILFLIWEQIGVLQTGGLEARGDAAASIVSLTRDNERYGKLIIEEDGVPPLLRLIKEGGAEAQESAALAVGLLGRDPECVDLMILAGVCTSFAKILKDAPMKVQGMVAWAVSELAARHPKCQDAFLQHNVIRLLVSHIAFETVQEHSKYAVASKMSIHSVLMDKKKKKKNNNNAEVIPDAAATSTTTMAAAKPTVAGPPTCNLAYGHGSLTVGGGGHSSGTSAAASVAATKQHSASLSVTTTSARAREFEDPETKAYLKAHAARALCTLATGNAAVCKSITESRALLCLSVLLEKSNGDVQYNSAMTLLEICRVAEQNPDLRRSAFKPTSPAARAVVDQLLRVVEKAEYDDLLVPCIVCLGCLSRTFRATETRVIGPLVRLLDEREPDVTLEAAVALAKFAAMENYLHVDHSKAIVDHGVAKHLVQLVYFGEQAVQTAALVLVCYIAHNVPDDEELAQAEILTVLEWAWKQGYTSLDPVIENLLPEAKIRMELYQSRGAKAYYY >Et_9B_064648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17303849:17305482:-1 gene:Et_9B_064648 transcript:Et_9B_064648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LCLLPDDVLLGILQWLDLRTVATVSALSRRWRHLPHLLSDIRIDASDLLSIFKQTDQIMAAYTDAAKWLLLPNIQERTIRSMSLGFYLKDPYLRRIGDLVGDAIDSVRTELLEFVIPDKLLFGQRFLSFFDACPKAFGCLTRLTLKDLSFGAPDDDIPTLLKTCKKLRFLALKYCDFGDTSTLAIEHSELLVLRLDYCTYVRINLIRFPKLEQIWVKPEDPKQLISIFRNLRDVQLYNIFQDCDLNWTLFVLEAAPFLKNLYVTIQGFAVEKKVMQYIRLVIQRAVCLKRIRLLKQLPCKICDAMNSRSLFPVTWKFRVRESEKDFYRERLRDGLSSSVEICIEG >Et_7B_053830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12542819:12546318:-1 gene:Et_7B_053830 transcript:Et_7B_053830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESVAAQAEVIAVDWRGRPCRPDRHGGMRAAVFVLGIQAFEIMAIAAVGNNLITYVFGEMHFPLSQAANVVTNFVGTIFLLSLLGGFLSDSYLGCFWTMLTFGFVELSGFILLSVQAHLPQLKPAPCNMASMDGSCEKAGGIKAAIFFVALYLVALGSGCLKPNMIAHGADQFAGAPGGAKRLSTYFNSAYFSFCAGELVALTALVWVQTHSGMDVGFGISAAAMAAGLVSLVSGAAFYRNKPPQGSIFTPIARVFVAAFTKRKQVCPSISSNSVNAGAHEPARLAGNFRHANKFRFLDKACVRAVQQGPNTKPESPWRLCTVGEVQQAKTLLAVTPIFACTIVFNTVLAQLQTFSVQQGSAMDTSLPPGSGSFKIPPASLQAIPYAMLLALVPAYELLLVPLLRRLTGTRSGITPLQRIGVGLAAVAFSMVAAAEVERRRRDASAAGSRMSVLWIVPQFLVFGVSEMFTAVGLIEFFYTQACDGMQAFLTALTYCSYAFGFYLSSVLVSLVNRVTARHGAAGWLGDNNLDKDRLDLFYWMLAALSVLNFFCYLLCAKWYNAGADGADAAASGQVAAEGDGKDII >Et_8A_057876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8616236:8619213:1 gene:Et_8A_057876 transcript:Et_8A_057876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGTSTTAPAAAASVTPRGYHGRRPQHRIAPRRGRAASTRWLLRASASPSAVTAGAGAFDEAVAEPVVVETAPETKLSKLACPICYYPLGSPSDQSADASSLECSTCKKAYPNKQDYWDLTVAVGSTEYSESMPAATELFRTPLVSFLYERGWRQNFIWGGFPGLEREFEMAKTYLKPTFGGTIVDASCGSGLFSRLFVKSELYSLVVALDFSENMLKQCNEFIKQENISDERLTFVRADISRLPFASVQLMLFMQVLQFIVGHPQLVAEISRVLRPGGVFVASTFVADVIPPAIPILRIGRPYISQITGNNTFLSEVELEDLCRACGLVDFTFVRNGFYIMFAATKV >Et_4A_032143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20931450:20931962:-1 gene:Et_4A_032143 transcript:Et_4A_032143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEVQPLDPSSSPPRAAVRSRPGSWSSSGSSGGVEYTSLRDVLAESGPGSGGGGYVIDLDASNINIRNQLLKHAASAYLQSAIVDVAPRERGCLSRTWRRLLQRRRCRILLRPGAGDDGDPASRCAECVAGLARRLAAFFAGCFACMWT >Et_4A_032526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11027568:11029961:1 gene:Et_4A_032526 transcript:Et_4A_032526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HHGNKLNWDQNISLCSWHGVTCSLDRSHVSALRVPGAGLIGTIPPNTLGRLMSLEVLSLRSNRLSGSLPSDIASLPSLSSIFLQHNELSGDLPSFFSPSLSTLDLSHNSFTGQILTDFQNLTRLSILNLAENSLSGPIPDLKLPSLRQLNLSNNELNGSIPPFLQIFSNSSFLGNPGLCGPPLAECSFLPSPTPSQVPSLPSSPLTLPHRGKTAGNGFIIAAVAVGLVIFLLAAVMFTRCFSKRKEKEDGVDYNGNGTDGVRTEKRKDDVSSGVQMAQKNKLVFLDGCSYNFDLEDLLRASAEVLGKGSYGTAYKAILEDGTIVVVKRLKDVVAGKREFEQQMELIGRVGKHANLVPLRAYYYSKDEKLVVYEYSSTGSFSALLHGIKGIAEKTPLDWNTRMKIILGTARGIAHIHAEGGSKLAHGNIKSTNILLDQDHNPYVSDYGLSALMSLPIHPSRIVVGYRAPETFESRKFTHKSDVYSFGVLLMEMLTGKAPLQSQGHDDCVDLPRWVHSVVREEWTAEVFDVQLMKYPNIEDELVQMLHISMACTSWSPDRRPTMVDVIRLMEELRQSASESHTSSNDIPNLPSV >Et_2A_017203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31982381:31986485:-1 gene:Et_2A_017203 transcript:Et_2A_017203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGEDAAAARRRAAVADYRKKLLNCRELEARVKTGRENLKDAKKNYEKTEDDLKSLQSVGQIIGEALRSLDTERFIVKASSGPRYVVGCRNKVDKEKLVAGTRVVLDMTTLTIMRNLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFNYAREHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQGRLEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVIHEDFMKAVRKLNDAKKLESSATYSADFGKE >Et_4B_036996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13276460:13292578:-1 gene:Et_4B_036996 transcript:Et_4B_036996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRSCYPLALLPLLLLLCLNSLHAGSAASVTTGTPDGSELWGYVEVRPKAHLFWWYYKSPQRTSTPGKPWPTVLWLQGGPGASGVGLGNFLEVGPLDVNLKPRNSTWLQKADLIFVDNPVGVGYSYVEDDSLLVTTDWQQAADATTLLKALVREVPALQSGPLFLVAESYGGKYAATLGASVARAIRAGDLKITLGGVALGDSWISPEDFTLAYTPLLLSVSRLDDNAGDDAKKKADTVKAQITAGQWATAQGSWSDLLDFIGSKSGDVDVYNFMLDSGMDPVSTDSTTGSSSASLQAMKYSAYLGGQDSGSNTIDGIMNGVVKQKLKIIPKDHRWQEISQAVYNALIDELLSYGVNVTVYNGQLDVICSTVGAEAWVQKLKWNGLKSFLSLPRQSLYCGTSKGTKAFVRSYKNLHFYWILGAGHFVPADQPCIALSMISSITQSPAKAHLFWWYYKSPHRSSAAPGKPWPTVLWLQGGPGASGVGTGNFQEMGPLDVDLQPRNSTWLQKADLIFVDNPVGVGYSYVEDDSLLVTTDWQQAADMTTVVKALVDEVPTLQSSPLYLVAESYGGKYAATLGVSIARAVSAGQLNITLGAEAVKELIAAGNFTAAQGAWSGLLNQIKGSSNNVVSDVLKSINSLQRMMLLATLWILKQRRAGRVQLLARRRHGPPEPFALVGKQLSSGHQVLAVPGPQGVGVRRQQHYRRDPQRRNQGEAQDYPQGPRIDELLAHGVDVTVYNGQLDVICSTIGAESWVQKLKWDGLSSFLSAPRQPLYCGPTKATKAFVRSYKNLHFYWILGSGHFVSNLFSLALNSVLLVIKPFICALRTAVYCTQHDRQHNSIPYFINKLENSRRLEIINPVLAFPALQ >Et_8B_060238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7799096:7812694:1 gene:Et_8B_060238 transcript:Et_8B_060238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINPDAATNASHGVLPLDVVFDTLVRLPAKDICRFRAVCRHWRFLTSDPLFTEGHAARHPGPLIVASFDGDDEHVHLMDISGHVVKRLPVADARKCLCSRIDLVCVADKKWRHSVINPATGAVLHLPDTPPQGQWRVQPAAHPQIRPNGSCLDHASSDEDVDWGDSVWQQDPEYSVFALGRVESTGEYKVLRIACVEKTRRDIDDTSWLVCSVLTIVDGSCRTRWRSTESPDLPIDIDSGVVVGRSVYYFWSDEYETELQKDISEGHDIVEPHFIASFDLEREKWTTIPGPCLEDGNYGSSDDGEDVEYNDMWSESTMAELNGYLCTSVVRGDYSRFLDLWFLRDTENHVWTKQYSIWAPRSVIPTTNIQWVKPFMLLDDGRIAIFLRDKGVILLYDPAADMFSKVQTRRLGAVGLYTGSLLNLRSVLKMALTSFTFDSATNGFLFMDIKFMMHTVFVSVSVLHNPISCNAVADWLPKFEAQLDPGAVHIWPDGNPAAASVIMETNPAAADAAASHGVLPPDVVFDILVRLPTKEIRRFRAVCRHWRSLTTDPLFIEAHAARHPGPLLVASFKGNGEPVYLTDLSGHLVKRLRVAKGRTVLCSGLDLICAADTNGGCTLMNPGAGTVSHLPSPRYRPAYCVFALGRVESTGEYKVLRIAYREETPYDFGDTSCLVCSVLIINAPCPTRWRTTESPEFPVDMDSGVVVGGSVYYFWSDEHAAQHEEEMMWGYDIELEQTDFIACFDLATEQWTTIPGPRPTKDDDGSSEDGSSDDDGEEMEYDDMWSGSTLAELNGNLVLAHERDDSHCFDLRFLTDAENHVWAKQYSIRAPESVIPANEKVKPLLLLDDGRIAIFVHHKGVLLLYDPTTNVFSKVHTRRLGAVGLYTGSLLNSQNVAKPRHLSTFDILSTLYSPVDSTRPSANTSSSGPSGHSLSLQYCNSSPSGESSSGGVSGKSMDAGGQVDHGAECALADNADEVKERGAEAGAAAGNRQPLDDAAGQVHEVDATLVVAAEGGHDERARVTCGVRLDEERVGGQGAPVPADGAEAADLFGREPHQDIEYQQSAMEATNPAAVSASDGVLPLDVLFAILMRLPAKEICRFRAVCRHWRSLTSDPLITEAHAARRPGTLIVASFKDDDEHATSWTCPDMSSSGCPLPRAASVRARASTSSAPRK >Et_1A_004822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10359579:10360388:1 gene:Et_1A_004822 transcript:Et_1A_004822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CEVDGDVRVNGTALSVHVVPASRSERREWRIRPYARRSVHNISRNYFHDFTDVLVPLFAASRRYGGEVQFLVSNIVQPRWLRKYGALLRRLSRYEVVAVDGDAHHVRCFRHVTVGIRIDKLFSFVPASGLSMADFTAFLRETYALPRSAAVSSLVRDEPNEKKPRLLLIRRGHYRRLVNEEEVARAAEAAGFEATVKELRGDAAVAEQARAVNAFDVLVGVHGAGLTNAVKML >Et_1A_005464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10661088:10667009:1 gene:Et_1A_005464 transcript:Et_1A_005464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITIMPVSSKNTGMATAMTRWGRYSRRAMRRNAPASYRRSSSAARTMSLSSASTSAAAARPPRMRRSEARAASVFPRSTRLLGEC >Et_4A_035599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30593752:30594797:1 gene:Et_4A_035599 transcript:Et_4A_035599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHDCSDADKGFHRGGYAGGYGQYPAGYPAPSGAYPPGQGYPTTPGGYPPQGGYPQPGGYPPQGAYPPGAYPPAGYLGYPPAGYPGHGPPMQAPPHGHGAMYGGGHGAGGSAAGYGALMAGGAVAAAAAIGAHKVSHGHGGGYGMYGHHGKFKHGKFKHGKYGKHKKLYGKHKKMFGRKWK >Et_4B_037098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14644698:14652470:-1 gene:Et_4B_037098 transcript:Et_4B_037098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKPKQLLLQSKTKKGPTRISYSTIITWNLIVILVVLSLYATYRHWHQRSAQDIEMDLHRAEHAERSEDPKTTSRPGYAVMNTPKGPITIEIYKDASADVVDRFINFKSKHFKGMPFRHVIKNFVIHGGDFDFDGAAQEWILEAKASGKNDLSPKHEAFMIGTAKNPNNKGFDLFITTAPIPHLNDKLVVFGRVMKGEDIVQEIEEVDTDEHYQPKTAVGIIDIILKQEP >Et_2A_017687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5098608:5130397:1 gene:Et_2A_017687 transcript:Et_2A_017687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCTTPYINVRWTPPGAVVTQQTSPVGLWVDEASPFTGKKVTKVATVAKRWSSLVNVTLVVLIMTMPPLLILVGEQLGAPTMWIKSTVDSLGTRDREESKKDVLLGGLLVPGFDEQSCASRYQSVYYRKNMTRLPSPYLIKRLREQEALQQRCGPDTAPYIRATERLRSGQQVLDSNDGCGYLVLISYRGLGNRILATTSAFLYAMLTKRVLLVDPGIGNTLPDLFCDPFPGTTWVLPHDFPLENFRDLTEAAPENYANVVVNRSSSVSGLRFVYAHLDHDSSQASRLVFCDDHREFLHRVQWMVLRTDQYMSPGFFFNPAYEAELDLMFPRKDSVFYLISRYLLHPTNNVWGMVTRFYNSYLKDADESLGIQIRVFDDNPVQHVLDQILACTSQERLLPAVVSTTAGAAPPPLPSASGRSKAVLITCLSSWYHDNIREMYWKSATADGEVVSVHQPSHEGKQQWHHGGHDMKALAEIYLLSLTDKIVTSGWSTFGYVAHALGGLTPYITFKILDDKVPDPPCTRTMSMEPCAFTVQHFDCAKKDYKHDLPPRTPNIRTCEDVFWGVKLTDTELGTGKSGGSTHVPASGKLGGSSHVVLGKGSQNRSPISLLGSTRSKRSVSSAYVNAEAVARIRFPRPLYEMDTRYLHSSDAMTPMLPDLSCSSAVLQATVSGPHLCCRASCRRSLEPGVEARIHGHVLATRWIRHHTIILRSVHHPRPQATEALGDVAESRPGGGHQRVRQAQKVNLGPRLGVVRLRTVLLKFLVARLVHAHGLTVCHPDLSEVTRTALDLKLGTGLTGSVPVNSSGSSPFFCVHLTICSKSTGEFGRWPNSRTWMPTRCCAVASPQTRLVGWNKYRPRWWNTVSNSGNSLANSSRNPVSRNSPGTM >Et_1B_011163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18091441:18099505:-1 gene:Et_1B_011163 transcript:Et_1B_011163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHIRVTCCLLLAAVVHAAAAAATEEVPEVEVQSSYIAHGHAPTPRPRLHATRAYSGFLRDHLPEHMLQPEPRVHYSYSHAATGFAARLTPRQAAHLASLPSVLALVPDGEQQLQTTRSQKFLGLTSLMGLLPASDGGSEVVIGVIDSGVYPKDREPVPAAAAHPPRSAAAASRRRRSTPRPTATASSWGPRCSTREAKMGRPLDEEEKSPLDTNGHGTHTPSWPRGRGRARPRGCPPTRGARSSTSPPARPCRARTSAAIKSALMTTAYNVDGIRREVFRDAATGRASTPFARGAGHVNPNEAMHPGLVYDAREDDYLSFLCALGYTAKRTARLTRAPSATSTTPPSLWCSSLRRTRSRSGDVVATYTANVTSPPGVRVTVNPPSLHFMRGRSRRKYEITFEPLESETVTNKYTFGSIVWSDGTHKVTSPIAVTWPTKQVAAIHLRLRSSPRTSCTGMRRGRACTPPVRTLPSCATTPPEHLLQPEPRVHYAYAHAATGFAARLTPSQAAHLESLPSVLAVVPDGELLLQTTRSQIFLGLSRLIGRGPEVVSGVYPKDRASFAADRELPPPPGSFRRRCVSTPTFNATAYCNSKLVGAKIFYKGYEAKIGRPLDANEKSPLDTNGHGTHTASIAAGAAVEGASFFGYGKGRAVGSAPRASRRTGRTRARSPTCSPRSHRRRRPRDLRLLRRRGRGARGAQAPQRHGGARRVQGRAQEHRRPGRASTVKNFAATVSLGNGEAFAGATLYAGAPLGGASSKLPLVFGGDVGSDTCKPGKLDPTKVAGKIMRWVHAAQGESVRAAGAILTSDYEFAELTIARANIIPAATVTFKAYMRIRVYIISAKQAATATIAFNGTTFGREPSVASFSGRGPFVEAPEILKPDVTAPGVDGRALAHGAAHRHEARAVQRALRHLHGLPAPAILRPTWSPAAIKSALMTTARNGDVIRDLSTGRASTPFVRGAGPTAALDPGLVYDANDYISFLCGLGYSGKQIALFTRDGLFDWCSRAAGSVGDLNYPAFSVVFNSDKDKVTQRRVLTNVGPDSAVTYTANVTSPPGVRVTVNPPTLDFTTRRSKREYEITFEPLEPAAATRSTPSVPSCGAITRPT >Et_4B_038030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25608950:25612814:1 gene:Et_4B_038030 transcript:Et_4B_038030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGARGGVIGVSGPAGGGLMRTRLRLPVVLLSCSLFFLAGFFGSLLFTQDPQVEEEVDLPARRERLLEAAWPEMAYGESGETAPSLIPYQILSWQPRALYFPQFATSEQCDNIVRIAKARLAPSTLALRKGETTESTKGIRTSSGTFLSAREDPSGTLAEPFNVLRYEIGQRYASHYDAFDPAQYGPQKSQRVASFLLYLTDVEEGGETMFPYENGENMDIGYDYEKCIGLKVKPRKGDGLLFYSLMANGTIDPTSLHGSCPVIKGEKWVATKWIRDNTI >Et_3B_028459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15578654:15588151:-1 gene:Et_3B_028459 transcript:Et_3B_028459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLPRAVSRALGRASDPRPFSGLFLASASPSAPGHLGFLARGHPNLKNLLTPEALLLDATHALGAVSLQDQPHTGRANRVYHDVIAPMVLARAEAQGNYKAAELQHILMALLDLEDGRFKDGFGALVRLAERCPAARLCVVAVSDLLGRTDDGDLLLAGIPEVERPREELMFQFAVVTATLGGIPIATVAGADALVASAAFRIINHSISEGKMSAFQIIVAGLLKHAAKRTCKDLAVRQDDGIFRAVADAIHAIISGDKVREDEPPICVLKASQALLSAVVLRAEQPLHGEGVRAALRVAQRDLARAVRQRDDAPAAVADLRLLVAFLAARDGRFDEALERYAEMARDDPSDPRPHLLAHHVCVFADLPAEEADKWMASYSRLAGGSSSEEQVALTTLADELAVALALGGSRIAFDKRFPVAMRMTVSAAGSMVDAALVSVLRDKSMVERMEARAARALLHAGLWSALKELTGEEVAASRALGRPSDPRPFSGLFLASASPSGAGHLGLLARARPDLLDAVAALLTPEALLLDATHVLVAAALNDRPHTGRMTRRFPDDAFSENSDASLLLTLIDAEDGRFDDMLHTLARLAAGSHGPTGTGSARVCAAGVCEALGRVDERDRWLAGVADDEHACPREDVSFHLALVTATLGGAPAAVAGAQGQVAAAAFRLIDDCAADRGMSAFQIILTGLLKRAVARRCKDLKRDDGGILLRAVADAVSHCGRVPKKDGPFFVVQSFQALLSAVVLRALPLCGERVHAALRAVGRYLERAAEARDAHAAEVADLRLLLAFLAARNGYVDEALDTYAAAARDGARDPRPRYLAHQLCALIQRPEEAEQWRASYDGLADAAAAGSSSSPLDGGLELATLADELVVAVALGGRFIVSDEDARFPVVMRKILSAAGSRVDAALVSALRDKEMSLPERMEARAARAFLHAGVWSALKEFNSKIDGAATAKKKPESFGHLQNLLASIGRRPRCLASKKETVSPIPNPMSRILRGAARAVTRVLGHASDPRPYSGLYLASSFPPAAGHLGLVRARPGLADLKALLTPEALLLDATHVLGAAALRVQPISGATTRRLYRDAVAKEISEAEAEGNARGADVARVLMALVDAEDGRFEDALDALSRLAEESPVRLSARLCAAAVCYALGRVEEGDKWIASQSPGARRPYPPL >Et_5A_040540.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6887509:6887547:-1 gene:Et_5A_040540 transcript:Et_5A_040540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVTKAAARSV >Et_7A_051591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20910735:20914426:-1 gene:Et_7A_051591 transcript:Et_7A_051591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGTPEKEEDKGKKIKFRIVPHRVRSRGDGDDSEATHPPPPPPLPRLPLAPPSSPSGPISVVRGKQLSLLRAQLASSTDGDSDEVDGEDYGDSDEEEDYEELERSSENDFRCLFMSGQRNTPQYIPRQDCNPLKKHEYFSLQTNWRHLLAGKRTYLRPPKGYPVHNDVFPCPLPQPGTITCQLLSEKYSHFRRPRRDGSSFYRAFLFSYLEHLGQMHDAQAEFTRLMEYVASSRDYFVCIEWDKAYFSNPEAYFSSVSSEFKHLVHLAANGYYALNSSILFLTNSSKEDAFVFCSLSDDDLYKTNLRENMPSRILSLLRLLTEVEIRAREAQYKPFFTEKKAAIEVEFCMMEVRPMDVEADNIQIRALSNALGIPLRVEVATAGSQFGIVQVQCQDFFPRSESAGGSTSGPVHSSKSYASP >Et_8B_060094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:589829:593117:1 gene:Et_8B_060094 transcript:Et_8B_060094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLKLDALLNIVVTDSMDKQEPIADVEQKIVLAEKPKEQLVPNKDEKVTVPPISVDSNAIDLPREGQARAGTSNIAGDHNAAYPHNFYASQAQPFYYQGPGYENPPHEWDAYPPYVSAEGLEVGSTVVYNEDPSLMFHGGYGYDPYAPYSPISTPVPAAVSGDGQLYSPQQFSFSAPYYQQSVPPGMPYLSSPTPISQGETMMPIDPTQGGFIADTLSPNSFLFGPRPEWFRSSEGTGSFPSPAASPQPAGGVSGAFGQSNFPMASGMASPHQKPFYGFGSPNDSYGRGFSHGGIFPQASNYRGPFPSFGVNGRSSISIDKGRRRGRGNALICSCNGPLDFLNEQSRGPRATRPKKQPDGDSKDEKPSNGPNRELYNRPDFVTEYKHARFFIIKSYSEDNVHKSIKYGVWASTTNGNKKLDSAYREAQDKEEQSPIFLLFSVNASAQFCGVAEMIGPVDFEKSVDYWQQDKWTGQFPVKWHIVKDVPNNLFRHIILENNDNKPVTNSRDTQEVKLEQGLEMLNIFKSHDDEASILDDFDFYEEREKALLESKARLHQQQHLPSSTLVEPKKPLTMPTDLVGHITKSFAQAVRLGEAKTVSPSAEKGYAGDSSIPVKPVEVKESSLS >Et_3B_029445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24996380:25001744:-1 gene:Et_3B_029445 transcript:Et_3B_029445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKLYGDTMLWNMTRCVVALEEAGANYEIVHIDFGIREHKSPGHLARNTEREAMAPMKLYGPTMSWNVTRCAVALEEAGAEYEIVPINFGTGEHKSPEHLARNPFGQVPALQDGDLHVFESRAICKYACRKNKPELLKEGDLKEGAMVDVWLEVEAHQYTSALSPILFQVLIKPMLGGTCDQKVVEENLEKLKKVLEIYEARLSKCKYLAGDFISLADLNHVSVTLCLFATPHASVLDAYPHVKAWWTGLMERPSVQKVAALMKPSA >Et_6B_048420.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:215345:215467:1 gene:Et_6B_048420 transcript:Et_6B_048420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKEGLNLALQWVAQPVILESDCLTVCAALNSRTENRSR >Et_3A_026556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9661883:9663178:-1 gene:Et_3A_026556 transcript:Et_3A_026556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RARNMYHPQCELLMAHDTLDLDAGHPQLSASSVATMPTELSFQLLHSLDAAAAVTPQPTVDYFFGAGAGDHHHHQSVQYEQLAAPGHHNTMNMLRDYCSGHYPAVGEPYLRGSRAGALVFGAADDESSAAYMAGTFEGSPPPPLRATGGRKRSRAAGMGGGFHGGLVNGVEKKEKQRRQRLSEKYTALMLLIPNRTKDDRATVISDAIEYIQELGRTVEELTLLVEKKRRRRELQGDVVDAAPAVTAATGAEAESSEGEVVAPAPAAVQQRQPIRSTYIQRKSKDTFVDVRIVEEEVNIKLTKRRRDGCLAAASRALDDLRLDLVHLSGGKIGDCHIYMFNTKVTSQIINLPFTRSCITFNSTVLNSYAIFFFAHFVCAQIHQGSSVFASAVASRLMEVVDEY >Et_4B_037317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18264878:18273019:1 gene:Et_4B_037317 transcript:Et_4B_037317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPSIFGAPPLSAYRVLRGMTFLTGHTSIVFLVLLFGGSKANTVTSPRSQLDVNHKKQLQTFRPYNIAHRGSNGELPEETSAAYLRAIDEGADFIETDILASKDGNLICFHDVTLDATTDVANHTEFANRKRTYEVQGENVTGWFVVDFTLKELKSLRVKQRYSFRDQSYNGKYQIITFEEYILIALYADRVVGIYPEIKNPVFINQHVKWLNGKKFEDMFVETLQKYGYKGQYKSEDWLKQPVFIQSFAPTSLIYISNVTNSPKVFLIDDTTIPTQDTNQSYYEITSDAYLAFIRNYVIGIGPWKDTIVPPKDNFLGQPTDLVARAHALNLQVHPYTFRNENAFLHFNFHQDPYLEYEYWLNEIGVDGLFTDFTGSLRKYQGWTTPLCLSNTQGKTSLTGHISIIVLVLLFGGSKANPAAPLQTQLDVTNKKQLQTFRPYNIAHRGSNGEIPEETAAAYLRAIEEGADFIETDVLASKDGHLICFHDVILDLTTDVANHTEFASRKRTREVQGLNVTGWFVGWTSLLKSLTLRVKQRFGFRDPQYNGKHQIITFEEFILIALYAERVKWPNGKKYEDKFVETLLKYGYKGEYMSDDWLRRPLFIQSFAPTSLIYISNMTNSPKILLIGDPAFPTEDTNQSYYEITSNAYLAFISEYVIGIGPSKDTIVPPKNNYLGPPTDLVVRAHALDLEVHPYTFRNENLFLHFDFHQDPYDEYEYWLHEIGVDGLFTDFTGSLHKYQEWTTPYPKRKKKYATANVYIFAPASSPGASRDGSASGNAARMMSGKETIDEDEKR >Et_5A_042232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7872284:7890563:1 gene:Et_5A_042232 transcript:Et_5A_042232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKFVVLIFDSGSVSWTVGASASWEALSLSNESQPTEYPFSGVLFVCMAAYTMKLMRCTSCSSSTLIGWSSPQLTSRTFDHDQCHIVIVEAGEGRLGMFSRTAGASPAMASAVRPARRRLEAISSSGQLQALTDDLLEEIFLRIGSPTDLIRDSAARSCFRRIISDPAFLSRYRSLHPPLLLGFLDDRLDGFQPAEAPHPNAPAARALADAAGFVFDYLPPGSEGTSWSLCDVREGRVLLECSYVLLYDNIASIPTLPQYLLLPRIPDHLLASVQLQDIFEFETLLVPTRDNESSTLFKVMRKIVCRTNLVIFVFSSGSGRWNAVKSISWEALNVRLQGHMPRPLQYAYGCFYWKMDQMNKLVKLDINRMEFSTDDLPPDHDGWNLVIAEAAEGRFGMFSLIRGGVHYSTSMQNEDKRNSEWQMESIISLPHNYDNYYFKGAFDGYILLIGTQRGEDTVHAACFSLGIRTLKIERISGLHYPFINVYPYVWYLPFIAEPSIPCPLPPYAAGAAGNGIAGLPNHFQP >Et_10B_002531.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:13398250:13398603:1 gene:Et_10B_002531 transcript:Et_10B_002531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QNRTRFALRVTVQFAPAPESQALLATPVVVWTGVLGWDQRFLIALGVAKGLAYLHNECLEWIIHCDMKPENILLDQDLEPKITDFWLAKLLNRDGSDADLSRIRGIRGYMAPERVSS >Et_3B_030007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29865973:29867651:1 gene:Et_3B_030007 transcript:Et_3B_030007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSTAYFSSQPELPASTPSPSTSTSRGKLASTRRSSSFVMVEAATPSVSGGVVRTKSLTEEDLADLKGCLDLGFGFAYSEIPELCGTLPALELCYSMTRRFLDEQRAPGQGEEQESEATSLPNWRISGPGDDPEEVKARLKYWAQAVACTVKLCS >Et_6B_048550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10679922:10685243:-1 gene:Et_6B_048550 transcript:Et_6B_048550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMITFLCVAFLMRILSVLRLLTYRCRKNLYKAEGANKINKIQIEENLEGQRNSRLRNPWTMSRMKSYFDPSEIKMKNLITSAKISSAKRSATIVRRGGVVPAARHRRDAEVPEPSGAAAPEPLAEELLGVHPLHPAVDVVEEHVGVPFPGARARHGRRRPYRRHPPRRGREVALGRVEHDHVPLVLVHLRRQVVQRQRARRVPAHLHVSGAAVVAGGEAGGLAAVAGEEAVGGVEAGAVCDEVGPEVRRLGPHGAVPRGVLLEEDGGAARVERVPQRRVAPQAEHQQPQRRAAAEHGEGERDLGQRLLRDRLRDGVRHGGVGERRHAGGRVPGPRRRAVHGGEARQRQRREQERERRWRRHQRGDEVERREEQRAPAGGERAAARGLH >Et_2B_021401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29459198:29461684:1 gene:Et_2B_021401 transcript:Et_2B_021401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFQGQNPGGVPACKSRPSKKRLCELPDNVEVHIIDDDDGNNKDYSAEDASRQLVLYNPVITHDKQGAVEVTEPVGHNTSHQSFKKPKFGSSTVLPSIGTYTVQCANCYKWRVIPTKEKYEELRESICQELFVCERAHEWNRVLSCDDPEDMSQDGSRVWAIDKPNIVQPPPGWDREVRLRGASSKFADVYYTSPSGKKLRSCVEIARYLEKNPHYIRQGVNLSQFSFATPKPLHEDYVQKHVSGEAYGLPELAEVAQVDPLCWAAPPTRRELLGEPGSSSSDHVDLHQAGMPGHAHLHQSGASESPAPRPKKRTVKQVSSRKHRRTPPAGTCSFEDQSGGYLNDIEHVVL >Et_8B_059876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3585647:3588960:-1 gene:Et_8B_059876 transcript:Et_8B_059876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPATGKDPAAGAGAAAFGVSCSSISCPAPPTKIDAGSSFRKKGRWQQLRQRGAAALGEVGAAGALDESLFERQGTRGVACKNPDNQYVTHAEATLEIQNFGEHQRRNKATPRGCSADAARRRSHDAAADGDAVSATVSWHTSTRSSAASLALRSRMAAEMRRSWSDSCWGASSGGSATRVVVHDDAAHAHQAEQLLVVPHVAGLVRVHECEVEPAQVGLVGEQLGEAVRRGALAEVHLVRHAGLLDERPAQLVPLPVGVDGDHLAVVGEGQRRGQEGVARVHSHLESVLGARQLDQHPQ >Et_1A_008042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40326890:40330008:-1 gene:Et_1A_008042 transcript:Et_1A_008042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSCPICNIQVLTAELERHANSHFEDDELQRDIELAHQMALAESSTDVMSGPERCLGSFTSDSNSQGTSSSHSGYSSHYGELLGEQISCLVGAQIRSKVQEIEGGIMSLLRSCLESEGGSSTSIISGHIDHHQSLSSEDKGWGCGWRNIQMLSSHLLKQRPEAREVLFGGSGFVPDIPSLQRWLEIAWDRNFDTIGSSHFHNKVYGAKKWIGTTECATLFRSFGLRARIVDFDSTESSGLQNKNGKCARSQVQGPMDKYVIKNNHAKSSSELSQEDAQNMRGRQVLVDWVWNYFASGRSDRLGMQRVIVSDKTPLYFQHQGHSRTIVGIQKKKGHHGSPDQYTLLILDPGHRTADLERSLRSKRGWQSLVKRGVHTLKKPQYQLCYVDPGIANSGEMEQLKTIDTDVTLGPQLVTFRNASDAAHSAD >Et_3A_025271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28447545:28450952:1 gene:Et_3A_025271 transcript:Et_3A_025271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGNGAKASAADERGGGGEPLGYVQVMTDEQMEVLRKQISIYATICEQLVEMHRALTEHQDSIAGMRFSNLYCDPLIVPGGHKITARQRWQPTPMQLQILENIFDQGNGTPSKQKIKEITAELSHHGQISETNVYNWFQNRRARSKRKQAASLPNNAESEAEVDEESLTDKKPKSDRILQQSNATNVHNNDRISEMHHLEHNQMGGMMYGSNDNNFRPSGSSGQMSFYENIMSNPRIDHFPGKVESSRGFSHLQHGEGFDISSTLAGFAAASPGSPSGSSQIRDRRSGGGQPARPRHRHAFLRLQLALTPGAGPKTAMAVNSWSSVVQSSSLPRAHAHQKRHAGVPIHATAPLLAGAPRPHAHASLLPVRHSLPRRRRPDRPDALRRSSLPPALMPAPVLAL >Et_3B_030991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9783604:9786887:1 gene:Et_3B_030991 transcript:Et_3B_030991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPPAALLLLLVFAATPSRAATPARSPSPSTAVFVLQGDVYPTGHYSVTMNIGDPAKPYFLDVDTGSDLTWLQCDAPCQSCNKVPHPLYRPTKNRIVPCADSLCTALYSGQVSNQKCTSPQQCDYQIKYTDNASSIGVLISDNFSLPLRNSSNVRPSLTFGCGYDQQVGKNGAMQAATDGLLGLGRGSISLLAQLKLQGITKNVLGHCLSSGGGGFLFFGDDMVPTSRVTWVPMVRSTSGNYYSPGSATLYFDRRSLGVKPMEVVFDSGSTYTYFPAQPYQAVVSALKSSLSKSLKQVSDPSLPLCWKGQKAFKSAFDVKKEFKSLILSFANVKNAVMEIPPENYLIVTKNGNVCLGILDGTAAKLSFSIIGDITMQDQMVIYDNEKTQLGWVRGSCSSRRKDGASQFASPAAHAPAPLRGIFLLVALIFFWAQQIV >Et_5B_044977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:815426:822063:1 gene:Et_5B_044977 transcript:Et_5B_044977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDAAADFALDSWARFCALTGELIGGEGDLSVGPRLTPVVADLCARGLATFLRDYFLHSLEETFRKNAVKKFWQHFHPYCSSSAVDRVKFCAEEHWPEEILSTALEDICLEKSYQEKCVLVLVHALQSYEDRTPKRKSKAAYCSSSLMPRYQLMVASVLLTTLPLSFPEILNFYFKKKLEELNNVVAGSYDSDQLGDHDLVERSNTSAWHSGMDIDGEEKVISDGSYLVKNIGKVVRDLRCLGFTSMTEDAYSSAIIWLLKSKVYELAGDDYRIPVLGCVKKWIQAVPLRFLHALLTYLGDSVDYESESSGLKSPLASRPSSFPGIGVPSEALVRWHMRLEYFAYETLQDLRIGKLFEIIVDYPESSPAIEDLKLCLEYTGQHSKLVDSFISSLKYRLLTAGASTNDILHQYVSTIKALRTIDPTGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSGGSASSTANAGDNLLEELNRDAENHENVDYDDHTNIDDRQAWLNSESWEPDPAEADPLKGSRIRRKVDILGLMVSIIGSKDQLVNEYRVMLAEKLLNKSDFDIDSDIRTLELLKIHFGETSMQKCEIMLNDLIDSKRINSNIKTSLLKSPQSGQEESEVSQDVLDATIISSNFWPPIQTEDLVVPASVDQLLSDYAKRFHQIKTPRKLQWKKNLGTVKLELQFEGRTMQFTVAPVHAAIIMRFQEKSSWASKALATEIGISVDSLNRRISFWTSKGVLTESMGPDANDHTFTVVDSMSDVNKNITANDRLDEYQMTEEGESSVASVEEQLKKEMTVYEKFIIGMLTNFGSMTLDRIHNTLKMFCIADPSYDKSLQQLQSFLSGLVLDEKLEMRDGSYLLKK >Et_1B_012081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28762434:28768080:-1 gene:Et_1B_012081 transcript:Et_1B_012081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQALILLILAVLLRFHVEAGGAHGGCRLSDILVSQEATGKIVEGPQEYRVTIENKCACPQADVKVRCNGVGTVEDIDTSKIRPLDREFCIITNGKPLTKGLPTPQSFPVVNATPRVAQGGCRLSDIVVSQQVTGKIVGGQREYRVTIENRCTCPQVDVKVRCFGISTVEDIDKTKIRPLDSELCVISNGKPIIKGSPVIFTYAFQTPQSFPV >Et_3A_023024.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:19154532:19160470:-1 gene:Et_3A_023024 transcript:Et_3A_023024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKLPPLPHLTLRSSSSSSAAATTSASSPPSRRLPPPVPLHDLLAHRLSPPPDPPPRSPSPSSHPHADLLLLLRRRGDASPESLHVELVKRGLSHDMFFANHLVNSYAKGARLAAARLAFDEMPERNAVSWTCLVSGYVLSGLAEEAFRVFRAMLWEEGPGCRPTSFTFGTVLRACQDMGPDRLAFATQVHGLVSKTAYAANTTVCNALISMYGSCSVGPPILAQRVFDVTPVRDLITWNALMSVYAKKGDMTSTFALFIDMQRDNSGIQLGPTEHTFGSLITATSLSLCSSDVLDQVFARVLKSGCFSDLYVGSALVSAFARHGLLDEAKDIFLSLKEKNAVTLNGLIVGLVKQHCGEEAVEIFVGTRGSVVVNVDTYVMLLSAIAEFSVPKDGLRKGKEAHGNMLRTGLTDVKIAVSNGLVNMYAKCGAIISASRVFQLMEKRDRISWNTIISALDQNSNCEQAIIYYYLMRQDSISPSNFAAISCLSSCAGLRLLAAGQQVHCDAVKCGLDLDTSVSNALVKMYGECGAVSECWKVFSSMTEHDGVSWNSMMGVMASSQAPISESVDVFSNMMRSGLTPNKITFVNLLAALSPLSVLEMVKQVHAMVLKHGITEDNAVDNALISCYSKSGDMNSCEHLFSEMSGRRDAVSWNSMISGYIYNGHLQEAMDCVWLMVHSDQMMDCCTFSIILNACASVAALERGMEMHAYGIRSHLGSDVVVESALVDMYSKCGRVDYASKVFYSMNQRNEFSWNSMISGYARHGLGRKALEIFEEMLLSRESPDHVTFVSVLSACSHAGLVERGLEYFEMMKDHGIIPRIEHYSCVIDLLGRAGRLDKIKEYIQRMPKPNALIWRTVLVACRQSKDGAKIDLGRKASRMLLELEPQNPVNYVLTSNFHAATGMWEDTAKARAAMRLAAVRKEAGRSWVTLGDGVHTFIAGDRSHPNTKEIYEKLNFLLHNIRNAGYVPLTEYALFDLEEENKEELLSYHSEKLAVAFVLTRSSSGGPIRIMKNLRVCGDCHSAFRYISQIVGRQIILRDSIRFHHFENGKCSCGDYW >Et_8A_056188.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:13677291:13677467:1 gene:Et_8A_056188 transcript:Et_8A_056188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASLVAFTGSVVGPLSLLFFRAAPFLNFLRRLAGCLQNPQAQPRPRRAVRAPWKRD >Et_4A_032368.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31992841:31992927:-1 gene:Et_4A_032368 transcript:Et_4A_032368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSLGGFIVANWYLNRVDALSTLWYS >Et_4A_032140.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:20571071:20571178:1 gene:Et_4A_032140 transcript:Et_4A_032140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQVRIPALARAHLPPRPPQKAPPDTCRHVSLQL >Et_4B_037305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17935753:17936034:1 gene:Et_4B_037305 transcript:Et_4B_037305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFPRKSVQRKILNLRLSTQKYSFKRGFYHVETLFNGTFVLAVVTKKPLALLGGLGMPDLSICPVNYLELT >Et_7A_050201.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:11312976:11314895:1 gene:Et_7A_050201 transcript:Et_7A_050201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTSPAAVAAAARASPTPAAVLALFKSALTADRSLCPLAVLPHLAATPSLPHLLLTASAAARPHATSLRLYAQLKSLAVPIPVASLHPLLSSLPSAPAFALFADITRLRLPLCTTTFNIMLRHLCATGKPVRALELLRQMSRPNAVTYNTVIAGFCARGRVQAALEVMREMRERGGIAPDKYTYATVISGWCKIGRLEDAAKVFDEMLTQGEVKPTAVMYNTLIGGCCDRGKLDVALQYRDEMVGRGVAMTIATYNSLVHALFMGGRAAEACSMVEEMKGQGISPDVFTYNILINGYCKEGKEIKALDVFEEMSAKGVRATVVTYTSLIYALSRKGLVEEADRLFNEAVRKGIRPDTVMYNALIGSHCTAGNMDRAFEIVAEMEKKRLEPDDVTYNTLMRGFCLLGRLDEARGIIDEMAKRGIQPDLVSYNTLISGYSMKGDVKDAFKVRDEMMDKGFNPTILTYNALIQGLCKNGQGDDAEDLMKEMVGKGITPDDSTYISLIEGLATENERIAAANAAEA >Et_6B_049709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9277728:9280059:-1 gene:Et_6B_049709 transcript:Et_6B_049709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGCSPVCRYTSDPQQAAAMSKLQSEVLKDAISQVVSDAREKPRKFIETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEERIGLDHMDV >Et_5A_041942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4494681:4495558:-1 gene:Et_5A_041942 transcript:Et_5A_041942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTLSIGWHRDQGVYKDVVLILEVASEDALCKFILYAESDDPLSTSMFNMYSDPTLDVMIMIVLVKLTFRPCESVTLPSSNI >Et_4A_034958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8150325:8152972:-1 gene:Et_4A_034958 transcript:Et_4A_034958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGASCGRPSEEVDFFGAVQSGDLGRLAAALSARPSLLGRTTLFDRLSALHIAAAHGHLQVVSLALDLCVHPDVVNRHKQTALMLAAMHGKTDCVRRLLDAGANIVMFDSSQGRTCLHYAAYYGHAESLRAILSAAKSAPVSQSWGFARFVNVRDDTGATPLHLAARQGWRRCVHVLLENGAIVSASSGAFGFPGSTPLHLAARGGNLDCVRQLLSWGADRLQRDSVGRIPYEVAVKRGHVACAALLNPSSAEPLVWPSALKFISELEPDAKALLEAALMEANRERERRILKGTKNALPSPSRSDDAAISEAASDAEVCSICFEQACSIEVRECGHQMCAACTLALCCHTKPNPATQSQPLPTCPFCRGGIARLVVATRAAAGDDEEEESSKLASPGQHRRSRWSMNLSSDGGSTSSIMGSIASSIGKMGRRRTDSSEHVDDDKP >Et_4A_032021.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:11990120:11990380:-1 gene:Et_4A_032021 transcript:Et_4A_032021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRADHRLHHQPPRQARPGAHPPRQDGHAHRDVLLRIRRVQDARQELHRRGRAPDVRRRRGAAAGGERHAGRCRRVPHDVDACGS >Et_3A_024736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23701567:23704362:-1 gene:Et_3A_024736 transcript:Et_3A_024736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTPVLAAGERTVANLLSSTEWMLSSPATQVHTISVLPSNSPSPRHEFAFSNLTTSLRSLGGGKGGGERGSASFQVVRDDLLHPLANGNKARKLDALLPLLRRRGATDIVTCGGCQSAHAAAVAVHCTEWGMRPHILLRGEQLDVPTGYNLISLMYGNVTNVSRSVYAQRDEMLYEHAIKVAGTNGTVMWADDIIGEDSAVHGDNVDSSRRVVIVKEGAGSVQALLGVMRLVEYLSDLSLHGKDEKVHIVVDAGTGTTAVGLALGAVCLGFQWRVTAVMLADTLEKYKEQEKSLISDFKGLCHEVYNGVVEDDTHDGLVQWVKRVSPRRFGKVLDGEIALCRQIAQQTGILLDPIYTLAAWEQAVDLCRGDSEAKVVMIHTGGTLGLFGLAQRYSSQFATKDQS >Et_5B_045054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:906365:912290:1 gene:Et_5B_045054 transcript:Et_5B_045054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYGGGGRGSWFAVPGADQRRGGGTFPWGRGDGGGETLAQVMASRAPEPWTIRRDAMRAAEAAAREVALRVHPTQEAERRRQDVLGYLKSLIGFTFGFEVFAFGSVPLKTYLPDGDADVTVLANTWLNSSLINDVRQVLELEMTNHDAKFEVKSVNFINADVKLLKCVIENIVVDVSFNQIGGVSTFCFLELIDREIGKDHLFKRSIMLIKAWCYHESRILGAHNGLLSTYALETLVLHIFNLFHKSLHGPLESLYRFLEYYSKFDWDKYGISLSGPVLLSSLPDITLEPTVALDELLLGQKFLEGSLGGVVISGSNGRDTNFRVKFVNIIDPLKGSNNLGRSVNKASFYRIRSAFAFGAQKLGQILVLPSELIPDEICGFFANTLQRHGKGERPDLGDFSAFESFLSIENAPNEDVLCLKMSCITEGENRDWTTLHAGKNSLPPFSESDMLDLSGDLDLYLGCLRKVNYHMEYLYDDLKKAIQKAWLAGEINEDFLKLLISMRTETKTRPQRLTIVSSTGTETRKLSPVHRTEDVAQQSYVEDQAGVRQQNVALSTNGLSFTSSPLFNSDNYPVSWLSSPKSHGTGTYIPRVSHQMYRERMERFAPESGFMPERERRQKPRLADQCSGLRNKHTAFQSTTSQVPVKEKDSLQDLHSSKSVDPKGGFLPVWEETAATGYGTQQTATNLSKFQISQDVPSSPAIVNSSKDPIEKETQIKPQSSAIEFPHFGQGNPPASSTCQPSPATASYCQLKAQAVENLEFGSMGPFSLRLLSAQFEEAFPPLPKKPVLQVPASVVQSPELVVTECSQENLMFELKCAGPKRRLNCKIKLSSLLSKLAAAETSKTPRLLYTAPYVLIQCLLQAELKKRLAKVYEVKREGRGMVTIVRELKPSQTERGGEAGRKEQRFAWIRFALSGERVLLP >Et_8A_056534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11696731:11704869:-1 gene:Et_8A_056534 transcript:Et_8A_056534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSQVGNPSTGASPHVLVVDDGNVERFIASKLLQRSNIRVTAVDGPKHALKENDVKLILTDYCMPEMTGYDLLMAVKESTKLKHLPVVIMSADNNPSRIKECMDGGAKEYIVKPIRATDIARNPSTEASPHVLVVDDGNVERFIASKLLQRSNVRVTAVDCPKQALKVLDEENDVKLILTDYCMPEMTGYDLLMTVKESTKLKHLPVVIMSAESTPSRIKECMDGGAKEYIVKPIRATDIARILSYI >Et_1A_006676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26011752:26013107:1 gene:Et_1A_006676 transcript:Et_1A_006676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAGGRGGGGAKAAVAEQIAQAVQSTSNLLQLMEQSSPAQVHLAKLPKKLIAKSSLAKNTEQVLHQLPHIVSSFDAHMESSLQSATQIKTVTQLLSNMESTQLRSILPSSQLKQDQENTKAEEVKVE >Et_3A_024024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16256432:16268560:-1 gene:Et_3A_024024 transcript:Et_3A_024024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DYYAFDPFGDLHRVAVDAVRPRPVRVGRRLDALPRHAVGLEPGAEGDHPDAVALPHPSLGLDVRQLVQHRAAGRVPEPVQRHPRRLDVVVGEPQAALHLVDDAAAPGVDAEVLERRGEVRAVQPHLGAPAQERPLEHGQRDEELLGERQDEGPEGDDVPLERLAGRSDEVLGEPDPRDALAVLLLEDAAVARVPRGGDGADHATEPEPRAIGGVGEDHGRGAHAEEAVGQQHGALLADVVLRRQDLRGHDQHVRPGPRHPQEVPCEADGDEPRAAPHPRQVHGPHVVAHPVPVHDRVREHGRRREDAAVDDDRVDLVGAHAAPGQNVVDGGEDDQLGLLAGGGDCVVRWEVVVRVGDARLLAQARPLQQPHPPRDVVLVHQERQEVHVLAARRERRAEARTRAEAAVVDEVHLFWAARAHQLGHGGRQDRRREDMDGAQLGAQRAEEEVVGADRPRRPEHGGGERHGGDGDEVVHDELPHRVAVDAAGPRPVSCSAGAGGLDALPRDAVALEAGAEGDHPDAVALVHPSLGLYVRQLVQHRAAGRVAEPVQRHPRRLDVVVGEPEAALHLVDNGASPRVDAEVLERRAEVGPVQPHLGAPAQERPLQEGRGDEQLLRRRQDVGGEGRDVGLERLARGDEEVLGEPDAQVSPVVLLLEHAPVAGVPCGGGGAHDAAEPEPGAAAGGVGQDHGAGAHAEDAVGQQHRALRARVVLRRQDLRGHHQHVRAVRRRLQEVPGEADGDQPRAAPHPRQVHAPHVGAHPVPADHRVGQRRRRREDAAVDDDRVDVGRRHGAGRPGERGVDGGEDDELGLLARRAEAAVGRNVVVRLRHAGLVPEARPLEQAHAEGDALLVDEEREEARVLLTRRERRAEAGAGAEAAVLHHVHLLRAARAPQLGDGGSQDRRREDVDGAQGAEASREVVGADRPCASERGESERHRDDGEEVVHGDLVQSLEELGRLLRRARHCCGSMRVVVRVHVLDDFGELHRVAVDAVSPRPVGVSRRLDALPGDAVAVEAGAEGDHPDAVSLPHLPLGLDVGQLVQHRAAGRVPEAVQRHPRRLDVVVGEAEAVLHLVDDAAAASVDAEVLERRGEVRAVEPHFGAPTQERPLEERHGDEDLLRDGEHERTEGGDVALERVAGGGGEVLGETDPRDALLVLLLEHALVVGVPRGGDVAHDAAQLEPRALGGVGEDHGGGAHAEEAVGKQHRALRADVVLRRQDLRGHDQFVRPGPRHLQEVLGEADGDQPRAAPHPRQVHVLHVGAELVPVDHHVREHGRRREDAAVDDEHVDLGRRHAAPGEHVVDGGEDDELGLVAGGLQAAVGRHVVVRVRHARVVAEPRPLEEPQREADALFVHQVRHQVRVLTARRERRTVARLRAEAAVLHQVHVLGAPHATQRGHGRRQERRHEDVNRLQGAQPADDVVVADGPCPLQHGGDARHGCDGEEVVRDELVQSPAELGTRARHCVQSTSGAYESVWRE >Et_6A_046171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7220960:7221529:1 gene:Et_6A_046171 transcript:Et_6A_046171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQYKARSAYDIQCKGSVCQFDATAIWKAKAEGKQKKFAWLAVQNRVLTADWWNDNMSLYTVREKKDKAAIILYTVWNIWKERNRRVFQQAVSRPVHVLSLIKEEMKLREVAFCGQAIEAPRDFHHE >Et_2B_020987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25536136:25539961:1 gene:Et_2B_020987 transcript:Et_2B_020987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSSHPYLFLIFYAVLLLAASTPIFADGLELGGGDDGLYREILRDETVQRLKELGKISDGEGYLERTFLSPASIRATNVIISWMKDAGLTTWVDQMGNIHGRFEPANSTREALLFGSHVDTVIDAGMYDGSLGIICAISALKVLKIAGKLQRLTRPVEVIAFSDEEGVRFQTTFLGSAAVAGTLPESILQVSDKSGTTVQDVLKLNSFEATAAALSQARYSPESVGSYVEVHMEQGPVLEALHYPLGVVKGIAGQTRLKVIVDGSQGHAGTVPMKLRRDPMVAAAELVVTLESLCKDPNKFLTYDEECGCFTEESLAGLVCTVGELLTWPSASNVIPGQVNFTVDIRAMDDKVRETIVTSFSRLVLQKCDDRLVDCAVQHKHSAPATPCDPELTTQLKRAARSTVSALPGRTAAGETPVLMSGAGHDAMAMAKLTKVGMLFVRCRGGVSHSPEESVADDDVWAAGLALLNFVEQNVVAEPEMEQSSSVLES >Et_1B_009686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15092455:15093098:1 gene:Et_1B_009686 transcript:Et_1B_009686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDHSGKPLLSSWRVLFNMKSAEEVEAVACREGLALASEWTPSRTVLESDCSFMHARREQNLVAHELAQLAKRLCHSAVWRFRVPVCVEHLVAHDCNDTLSN >Et_9A_062100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19701581:19702789:-1 gene:Et_9A_062100 transcript:Et_9A_062100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LCRTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKIKERIKKTKDEKKAKKAEVAKSQKSQGGKGAAPKGAKGPKLGGGGGKR >Et_7A_050776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10980045:10980710:-1 gene:Et_7A_050776 transcript:Et_7A_050776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCVEETPTIGEERECSALFLSRRRKKIAALLDVKSRAHVSDYAVWQCNKSDHRDLCQVVHQYKGPADLKHYYNLIVLSIRGYQVSGEREVHSCLFVCYCDNVPCKRCGFCPSIGFPQTLEERKLVGKQRDLTGEIVAC >Et_8A_056818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17357330:17359867:1 gene:Et_8A_056818 transcript:Et_8A_056818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSSSTRSASSTVCPVSLSSLHQPPSSHHACISPPKPNHENNHKCIKSPKIPRRSLCFLPASFFLLRTPSSFAIDDANTPSTSKIDTTITDRIYMDFSVCPSYFRSDRTLGAELSACPDSEPLGRVVFGLYGRLLPVTTANFKATCTSAAYRGTLVHKLLQGQFFAAGRQGSRRDKGEVQPPSGLVRNSETIDPKAFELRHARPGTLSLCLGQNDDDDDIKLNPDYHNVEFLVTTGPGPCPELDGQNIVFGTVLEGMDVITSIAAIPTYKPAERIRLFNDFAQLIGDERAQTARAMWNRPLKTVYISDCGELKVTKQSLAPPSLP >Et_2B_019299.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22172148:22172672:1 gene:Et_2B_019299 transcript:Et_2B_019299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQHLLLRPATLQLNISQSDVFVSRYKSFGYGCRFYLEATNPSELVYLHYSNLTVQLFDTSPPPASGNRPFATFGYMSPSSISLAPKEEQEFIVYGLAGRNDVVNDTKLSKEDYSAVMVLTGVVVLDNNQGAIQLYRASFRCQPVNVKSNAPLPEPSSNNFKADCLWVWQPDL >Et_7B_053359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13128164:13128592:1 gene:Et_7B_053359 transcript:Et_7B_053359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASGQYAARSAYDIQCKGSVCHFDATAIWKAKAEGKQNFFAWLVVQNRVLTDWWNDTMAVYTSSEKKDKAAIILYTLWNI >Et_2B_021811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5685817:5688394:-1 gene:Et_2B_021811 transcript:Et_2B_021811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKPKRKFPAAPARRDSSPEPYPSHSSPTPGQCLAVRDALLAFHGFPEEFAPFRVLRLGGLPPEEDSGDAPALPPSPTVLEGLVITLLSQNTTDAISRRAFANLKAAFPSWDQVVDEEGKRLEDAIRCGGLAATKAARIRAMLKGVRDRRGKICLEYLRELSVDEVKRELSQFKGIGPKTVACVLMFYLQKDDFPVDTHVLRITKAMGWVPATASREKAYSHLNNKIPDDLKFDLNCLFVTHGKLCQACSRKLGGDKSKCPDAACPLASYCCARENLQQ >Et_9B_064079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1114454:1116748:1 gene:Et_9B_064079 transcript:Et_9B_064079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKRKGVDEFPYCVHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKDSNAVHASEALRRAKFKFPGRQKIIESRKWGFTKFSRADYLRYKSEGRIVPDGVNAKLLGNHGRLEKRAPGKAFLEAAA >Et_10B_003131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16970759:16972276:-1 gene:Et_10B_003131 transcript:Et_10B_003131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSEVVQWWEDRQLRILVLGSLILQCFLYVASFLRKFSIPSFFRLIIWLAYLGSDAVAIYALATLFNRQNKHQEKISTHGTSSSLEMLWAPVLLIHLGGLSGITAYNIEDNELWRRHVLTMVSQVSIAVYVFWKSWSGDRRLLFIASCLFFLGILKCILKPWYFKRVSLNSLVGFSGSQDYGANERLDKYVKEATEYFQPSNKRQPQIPPSVKDPDEFCDLWVDLAIENSDRLTRLKYLADNGKEAHSLIKTCLCTMFIRLYSKDVFMGEEHFNAFGRLATNALRDGAWAVSALYSS >Et_7A_050540.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:23443255:23443497:1 gene:Et_7A_050540 transcript:Et_7A_050540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKARFCRHAAYERKLDSSNFLEHWVPAYFSKVQLELYASILLANSSVLESQMATDSFESLYDLILRLLQVCVPKYHALY >Et_1B_011432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22172820:22180620:1 gene:Et_1B_011432 transcript:Et_1B_011432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNSPSAAAPARASSRKRAAKAVAEEIQDQEVEEVVAASNKRRRRGSSSGKKPKPPPKKAKSAKARTKKEEAAREKEPVEDDVCAEEPDEEEMAMGEEDEAEEQEKAAEEAAAVSPEKAGRKRVAQPHARRAVGSGDDHDPEFVGNPVPAADARTKWPNRYNRSAAARKPEDEEELRARCHFSSAKVDNVIYCLGDDVYVKAGDNEKDYIGRITEFFEGTDHQRYFTCRWFFRPEDTVINSLVSINVDGHEHDPKRVFLSEEKNDNVLDCITSKVKIVHVDPNMDSKAKAQLIQDCDLYYDMSYSVAYSTFANIPSENGSSGSETASAISSEEVDLDMSSSVPGRTATLLDLYSGCGGMSTGLCLGAALAGLKLETKWAVDLNSFACESLKYNHPQTEVRNEKADEFLALLKEWAVLSDKYVHKDVGSDLAGSNDESNAEEDDGSSSDLDEGEYVVEKLIGICYGGSGRDNGLYFKVKWQGYGPEYDTWEPVDNLSDCPLKIREFVQEGYRKRILPLPGDVDVICGGPPCQGISGFNRFRNRNDPLKDEKNRQMVTFMDIVAYLKPKYVLMENVVDILKFADGYLGRYALSCLVAMNYQARLGIVVAGCYGLPQFRMRVFLWGALPSMVLPKYPLPTHDVVVRGGVPNAFTQSVVAYDATQKPSLKKALLLGDAISDLPKVENHQPREVMEYGAPPKREFQRYIRLSRKDMLDYSFGGEAGPDVGKLLDHQPLRLNNDDHQRVQQIPIKKGANFRDLKGVKVGANNTVEWDPEIERVYLPSGKPLVPDYAMSFIKGRSLKPFGRLWWDETVPTVVTRAEPHNQIILHPDQARVLTVRENARLQGFPDYYRLFGPIKEKYIQVGNAVAVPVARALGHCLGQAYLGESDGSNPLCVLPDSFTAVGQPVARASSVSIPAGEGFEAQPRANTLSC >Et_4A_033525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24039464:24045146:-1 gene:Et_4A_033525 transcript:Et_4A_033525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLAAKGTLSLGIAAGAAAIASSEDPAATLKVCAHLPPRLLRDSATAATIAADYTWSLWGLEQGTPAWLAAKHEAHLRSANRLQELCFRNGGIYIKLGQHIAQLEYVVPEEYVQTMRESMLKRCPVSSYEQVKAVFAKDLGESPETVFAEFDPVPLASASLAQVHAAKTHDGQKVAVKVQHDHLTDTGVVDIATVDLLVNVLHYIFPTFDYRWLVDEVRESAPKELDFLNEARNSEKCLANFRRMSPHIAGSIYAPMVYWNLSTSRILTMEFMDAKEVTDVRGIKETGIHPADVSNLVSKAFAEMIFKHGFVHCDPHAANMMVRPMPQDSRKRFGWKRPQLVLLDHGLYKELDNATRISYASLWKALIFADAKAIKENSIKLGAGDDLHALFAGVLTMRPWQRVIDPSPDHLVLDGKNTDTSELQMYASLYFSEISELLRRLPRVILLMLKTNDCLRAVNHALVGGTSMESFMIIGRVSSEAVLDAKRMSRRSILDKLMIWLEEVLLEARFFSLKLILDHLTMSTRRFPRNQQGSMQTCGAELLRYRCQAVPNVRRGAPISLGAVRRLLPMPPHVPAERPSGGALCATNLAPVLRLARIAIAIADCSNLAGWSDTGLLNLDVAIIVVASCANGRLLVDVVEFAA >Et_4A_032663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12296261:12299780:-1 gene:Et_4A_032663 transcript:Et_4A_032663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRMASRLRPSTPEEVVRSIKDSFLALHTRNHTKALEEVEKNVSSLRLMLSGDGEVEPNEEQVLQITLEICKEDVLSLFVQNLPSLGWGVRKDLVHCWCILFRQKVDESYCCVQYIENNHLELLDFLVGCYKNLDVALNCGNMLRECIKYPTLAKYILESGSFELFFEYVELPNFDIASDALNTFKDLLTKHETVVAEFLTSHYEQFFELYTRLLSSTNYVTRRQAMKFLSEFLLEAPNAQIMKQFILEVRFLNIMINLLKDSSKNIRICAFHVFKVFVANPNKPRCIVEVLLDNRRELLKLLQNLPASKGEDELDEERDIIIQVIEKLA >Et_10B_004414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6495193:6501809:1 gene:Et_10B_004414 transcript:Et_10B_004414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDPAPPAMLASASQAFLSPETRFALSARPLERGKVRPAVPAYRGGWVILDRFVRRRDEGSFPADNPTAAFETNSRGDRFGVCLNLDAPPRPSHFLMWWPDGPTGGEPLQPIAAHDGMVLLLMQYTIPVLGGRFRFPMIDFFVYSATISGPSLSRLPPLGGTIEEVQARVESEGIRTSNQILRRMNFKFMDMGLIFLSLRQHAAAEFMVDVTDMVARPQIRIFYPLVTNHWVLREPRVIPVSGKDDLDMDHILWYWETDAVVPWDPDLLFLELPARQHCLNRKDDIVKGNMNAYHTLGTTGMGSVLKFAFVLWDDAIVKQKQDPDSSKFTVTTWKLRVEGTNMSWVEDSRFAADDLWVQDYFALIPCDLLLYPIFNEIDPNEVSFVLKHESAEQEDGAYNSSQISMVSIDMVKKKLKSSILYVQGPENSSPEGAELFERKNQYLEAFLPVRASKGLSQQANSWKERHHVATAIRMHGLPCLSVVELQERFVLASAIPKGKTKPTGPN >Et_1B_011402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21721559:21731907:-1 gene:Et_1B_011402 transcript:Et_1B_011402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRARGGGGVKIFFHSSFPLVFPCNTFCRKFFLMADWSMEEPAAAWVPCDLQLTNWTMEAAECSPGLRAVDYASSEIFGNSIQTTVPVSKGEQLVEYGVATMYDSVASAEHQYVVDLHDMEMIIRNPIQLFEEVSDEFKIDMDMMGKKIHRYPASIQPLCLSKRYTVPMVVAIGPYHHGRDDLKKTEKVKHVAAYNCISSSGYSVQQMYDAVVPKAHDVRRLYDKDVMKGIGSDDFLPMMFYDACFLVQYMLIMSGSSGPDASLCKFFEANDNEIYHDIMLLENQLPWPVVQAIMKFVPVPLRDFIVSLKGCLQDRKGPEEKEFEMDPGYEPPHLLGLLRFYIVGRKNNKTAILPDIKSISFSVSANELAEIGITLKPNKTTEVAHMGLETKGTLFAELSLAPLSLDSARASWLINMAALELCTTPNFRDADDEESAVCSYLLLLAMMVDREEDVQELRTKRLLQGGGGLTNREALEFFTSIQGLRLGSCYVRTMEDIENYRVSRRTRTSVHAFFYKNFKIIAGVLSAIVTIFFHTALFNLQKYFPMADWSMEPTAPWAPCDLQLVEWNVEPAVCSPGLLAVECASTQKFCDYLETSIPVSKEGQLVEYDPVEAAEHEFIVDLYKMEMKNDHPIHVFKDVADEYKIDIDNTKWKIHEYPAGIQALNLNERYTVPMLVAIGPYHRNRDNLKQTEKVKYVAAYNCIKNSGCTIQRMYSEVVSKANVARQLYGNAVVEGITDKDFLPMLFFDACFLVQYMICMSDPEADIDDSLCHFFNSNDDEIFHDIMLLENQLPWPVVQAIMEFVDVPLKEFIDSLKGCLQDRKDPVEKTSILIPDPPHLLGLLRFYIVGENKTKPKKKPERNTISFSLSAIELAEIGITLKPCESNQLIHMGLKTEGNLFAELYLAPLSLSSARESWLVNMAAHELCTTSNFQGPDARDEDSAVCSYLLLLAMLVGREEDVQELRAKGILQGGAFTNKEALAFLTRVQGLRLGSSYLRVMKDIEDYRSFNRPIRANLHARYYKNSKNIACVVSAFITIVTLLGTYLGIKK >Et_3B_028491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16084921:16086333:1 gene:Et_3B_028491 transcript:Et_3B_028491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWANPSLMSSVADSSYRDLCCYGAGIAGNVFAFVLFVSPLPTFKRIVRNGSTEQFSAMPYIYSLLNCLICMWYGLPFVSYGVVLVATVNSIGAAFQLAYTAVFIAFAADAKQRLKVSGLLAGVFAVFGLIMYVSLELFDHRTRQTFVGYLSVASLIFMFASPLSIINLVIKTKSVEYMPFYLSLSMFLMSVSFFAYGLLLHDFYIYIPNGIGTVLGIIQLLLYAYFSKRSKEEARLPLLVTHT >Et_2A_014477.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:11986998:11987387:-1 gene:Et_2A_014477 transcript:Et_2A_014477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IGAFPSPPPWGWCTGFITTPLTTGHLPSQHLDPGLPKLFWFTPTLPTCPTVAKQFWDTKRTSPDGNLKVANLPSFAISFATAPAALANCPPLPRVISMLCMAVPKGISGSEFLQQKAASSARNSSLSSS >Et_4A_035577.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:362469:362843:1 gene:Et_4A_035577 transcript:Et_4A_035577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPEFYKPSAPAFSPCGSPLRVPLAVLDEEEYYSCRTPTGSGISYLREPTTCPPAPRKAPPPPCKKRLFQQQAQGDVPLLSLRLDELERIFRSHPPKASKQGDKRRRSARQQRKLDGVPVEA >Et_8B_059274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15997817:16000929:1 gene:Et_8B_059274 transcript:Et_8B_059274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VQLSLEQRQFSSRHVGYQCFCSRVQLFFSYFKELVGKEVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVLLPPDGVDIDILHDATRREARGG >Et_7B_054014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14183467:14187360:-1 gene:Et_7B_054014 transcript:Et_7B_054014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEMIIRVKTSSEKGAHCKAIKVAAGVQGVESVTIAGEDKSLLLVIGVGVDSNLITKKLRQKVGHAEVVELRTVDDGLGLVSAAEHAYRYHPSPSPYKHQQQAMARDHYYAGGGGYPRDNYYGGAGAGGSAYVPPTMAAGARDHYYGGGGYTAPYQQQQHYYQAPAGNMHTVVHHEYESDPNNCSKEIIIRMQPESEKCRRKALKVAASVGDVESVSMTGRGKDLLLVVGDVDELALMKKLKDEVGDAEIVELRTLPPATDFVVTQSPYQQWRARGGGIEYPVAAGQAGYYYPSTPSPLQHHHYPSPVAGQAGGYGFAGGSSYELAAARSHPAHYSPMIARHDVPAVGRTPAPDAGRGRERAGGGRPSCCSIL >Et_1A_007979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39890720:39896457:-1 gene:Et_1A_007979 transcript:Et_1A_007979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYIGQHGVATLRRYKYSGVDHSLVAKYILQPFWSRFITLTGFMFLLSSALLGFVYSPHLDTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFESLAFGSTAMCGKSTFWFWFISAVPFYCATWEHYFTNTLILPIVNGPTEGLMLIYLCHFFTFFTGAEWWAQDFRKSMPLLGWVPFVPEIPVYDIVLCLMILFAVIPTIGSNIHNVYKVVEARKGSMLLALAMLFPFGLLLAGVLVWSYLSPSDIMRNQPHLLIIGTGFAFGFLVGRMILAHLCDEPKGLKTGMCMSLAYFPFAIANALTARLDDGNPLVDEHLVLLMYCLFTVALYMHFATSVIHEITNALGIHCFRRLPK >Et_4B_038216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27232194:27235506:1 gene:Et_4B_038216 transcript:Et_4B_038216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCSSVRVKIDTGIERIVINLSILSYKSMASRTSTVNCRRYQQLSALLCSVLLEWILMLLLLLEGLLSYLVTTFARLCKLQPPCPVCTRLDHVLVNSQPGFYRDLMCSSHKAEASSWAFCHIHKKLVDVHSMCEDCLLSFATDNKSNLQTYQSLVGKLGVGIKNVGYRNNFSLRYDANEASVGKKDTLCSCCSRPLKLKPYPFVVLQNEASGIGIEDLCKDVSRFHQHSNEINYALYSELRTSDSESEPCHLVGNAESFQKDDASDLKAGSILGHPQTDIAEGIPPYDNVQEQVPGQSELILVQNGVSDSKPSENSVDMCSIQADGKANLQSIDLPTKDDQQITKDFDTIDKSEEDVWHSALGSTEDLTVATTRSAATDTMEGENKAGFTHMTTSNDSFNAHEDLKLLLSQLSNTSNATDIYSPTLQDQSEQAILHNIARALSFRRNHSVISESMVNEVEVDCTIDQLKRQIELDRQSISRLWKELEEERNASAVAANQTMAMITRLQEEKAAMHMEALQYQRMMEEQSEYDREDLQKMTEMVQTLQVEVESYKVKLRDQLLVDEIRDHMRLSCPKGQDSISSKATVSLSCFEDEKAYVSRRLKKLKQKLYQFSNNSKHIPLSKLGDDREDSVNIGDVYEDDVEQGKTNDLVFDNNLPRNGSGFRDSIHCKDDPKGQYHAMISENDLASFEEEISEVSGRLLALEADRSFLEHSVNSLRNGKEGEELIRDIASSLRELRKMGVSWKELD >Et_10A_000764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16789928:16791454:-1 gene:Et_10A_000764 transcript:Et_10A_000764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISMESSLHLVVFPWLAMGHITPFLEAGALEAAGEARPRRVTEKKKPDWIVVDYAHHWLPPIADEHGVPCALFVTFPATMFFAPPTTPRPRYEAAWMAAASVPNASGVSPAGRVEETARRCRALVFRTADEFDGGPLCPAIAAVLRLPARWRSGWSSPARGSCGRCGRAAPTCSRPGSSAASRKAAAAWCAPQLRVLLFMTHGGMSSVVEGLLFSRRLLLLPLFGDQGHMTPRGPWRRARRVGLQVHRNEDDGSFAAAVRRVMMAEDGEEGEVFARNAGELREVPRDRGRQERYIDDLAGSLRQLAGKYYIHVAISMYHVVK >Et_9A_063246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17294120:17298416:1 gene:Et_9A_063246 transcript:Et_9A_063246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSVPARAAARGGAAPGSPRVSATAAAALAALRRRWRWPPSGASLERAARAFLLASAALVLSCALYLYVFRYVGRGPAAAGFVGDAAPALGAGPCDVFDGAWVPDATRPLYSSSECPFAERGFACLANGRPDTGYLKWRWKPRRCDVPRFAARAALERLRGKRVVFVGDSMSRTQWESFICMLMPGVEDPRTVYEVNGNEITKTIRHLAVRFASHGLTVEFFRSVFLVQEHSAPRHAPKRVKSTLRLDKMDNLSRKWANSDVLIFNTGHWWTPKKLFDFSFKDKNACSTTVGRALKLGKSIEGAFRMALETWSSWVEKRVDLNRTHVFFRTYEPSHWSSIRADKTSLHIHSETGNKTCEVTEQPSSEAKGNDKSEFGAILADVVSKMSTPVTVLNVTLMGSFRSDAHVGNWSYPPTVLDCSHWCLPGVPDAWNELVFSYLSTNDHELAKPGVAVAGARFVPLFSPQCHSMLSQKQNFSGQALSLVSVMFWRQWPSMKLAFLACLRSHSSLAFCRDLRRQ >Et_5A_042658.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24387154:24387759:-1 gene:Et_5A_042658 transcript:Et_5A_042658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSTTTSPPPAAVDLSLALATLAGRQEELADDLMSLTPTTRVGGKELRLFPCLFCSKTFLKSQALGGHQNAHKKERAAVRWNPNPYVYGGHAAAPDIPVGDGAAMSYIPAASHGGGAAELTADVKLEQPEVCMPLLTDNVLCPVEMLNWRTLSRASAQLGNSNDNTAACTSTIEACSDAGLEIAVAGTRRSASGNSMTP >Et_7A_051357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17362283:17367587:-1 gene:Et_7A_051357 transcript:Et_7A_051357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTASALVQAAAVLLALTIAQHGSLAAAAGPRVIIVGAGISGIAAAKRLSDAGITDLLILEATDRIGGRMHKKNFAGINVEIGANWVEGVNGGKMNPIWPIVNSTLKLRNFLTDFSVTQNVYKENGGLYDEGFVQKIMDRADAVEKNGEKLSASLPASGSDDISILAMQRLYDHQPNGPATPVDMVVDYFKYDFEGAEPPRVTSLQTTVPNPTFTDFGEDEYFVADQRGYETVVYYLAGQYLKTDGSGKIVDPRLQLNKVVREISYSPSGVTVRTEDKSVYRADYVMVSTSLGVLQTDLIQFKPKLPAWKILVLYQFDMAVYTKIFLKFPKRFWPVGEGKEFFLYASSRRGYYAAWQALEKQYPGANVLLVTVTDEESRRIEQQSDNQTKAEIMEVLRKMFPGKDVPDATDILVPRWWSDRFYKGTYANWPVGVSRYELDQLRAPVGRVYFTGEHTSDKYTGYVHGAYLSGIDSAEILINCAQKKMCKYHVKGKYD >Et_8B_059298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16378422:16381505:1 gene:Et_8B_059298 transcript:Et_8B_059298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRRSAAPARRLLTLPRHLAAPASGPAASRSFSRHYPRDDSSWFDPPITPVNWGVSIVPEKKAFVVERFGKYLKTLGSGIHLLIPAVDRIAYVHSLKEEAIPIPHQNAITKDNVTIQIDSVIYVKIMDPYLASYGVENPMFAVLQLAQTTMRSELGKITLDKTFEERDTLNVKIVRAINEAATDWGLKCIRYEIRDITPPHGIKAAMEMQAEAERRKRAQVLESEGAMLDQANRAKGEAEAILARSEATARGIRMVSEAMTSAGSTEAANLRVAEQYIKAFSNLAKTNNTMLLADVTNPAHFIAQAVKIYKNIESNTKTGAGRPQLEELDESEEKGPALPSETSGMPPLIPEADHEKTFSLQSRRNKH >Et_5B_043385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:121809:123763:1 gene:Et_5B_043385 transcript:Et_5B_043385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKKSHRAARGRRALLLRRAMLHSCLCFLLGLFSGLAPSDWTDAAANAAATAQVFRALRAINRTSSSSSSSSSSSSSSSSSSSSHLQLHLQPPPPPEVVVVVVTTTGQSERERRSAGLTRTAHALRLVSPPVVWLVVEAAREAAATALLLRRTGVVYRHVTYELDNLDGELELHHQRNLALGHIEQHRLRGVVLFAGLADVYDIRLLDNLRAIRTFGAWPVATVWENRVAVEGPVCSSSTIATATVAGWFSIPTTDDHQDSLAAAGPRLPDVHAHGFAFCSDLLWDPARWDRFPTSEPDQSQDSIKFVQRLLVEDYNKTRAMPPDANCSEIMAWRVDATLL >Et_4B_039593.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:23262336:23262773:1 gene:Et_4B_039593 transcript:Et_4B_039593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAYAVLPPLLLLLLAACSVSQVSGSSQGRLRPWFLSSDAETGPASVEAAKDGRTGAYRREEPPAATSSSSRDDDDGAASGQTTPQRSSRAPVRAGLEAPVLRSKLARRFLVAEEKQGSGTDAARPSCGSYNGHIGCVPPSKR >Et_1B_010389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10032480:10035347:1 gene:Et_1B_010389 transcript:Et_1B_010389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLNLSGDVPSNDLRMENKDSLQIRYLDIAELCVLYCWPACRLTDHLGKLWDEWEIQVLVLVSFALQAFLLLFSGIRKRRTPGVLSKLLWLAYLSADSLAMFVLGHLTLHINGPRHGLTLFWAPFMLLHLGGQETITAFSMEDNALWKRHLLSLVTQVGLAAYVVGKQWQGDKQLLAPMVLMFIAGTIKYAGRTSALMLAAKPLPYGDRDRIPPDFRTGIDMQRLSSNLNTDDARRVMKGGGTYQEQIRSAFCQLFQNLTLLTETKPWAPRIDKPSAQAIQSNEHRVHDCYKIVEVHLSFVYDYFYTKLGARFSHADRLNGRCFRLLTQGSTFTALVVFACKADHFGHQHYSRADVAVSYILLIGAVILEMISVFIVTSSYWVYGERAPEEITYERKLWCCPRLRAATLFNIFKVVRPSEGTPQWSHKLAQYNMVDGAVNAAQSSCVGRVMRRIGIKSDTTRVALSAELKKLLLDKLLEFAPADATGTEGAWDPASKFTGHWARSELRRQSSSGPMLEALLTTKSNLDPSGFMSTVLTWHIATDLCFFHDDDQHSNSKSPSITMTRELSNYVMYLCAEHGVMSGSEGDVLHRAAQTVLARCYEYTGSPEEKHHLLVKCIRYSESRTPVMVRQWRGRDTAIAAACVFMDALQLSEELNIKEAGDRWKIIMNIWMEVLCYMAAHTAPAEFHTKHLSEGGEFITHVKALMFNLGLATPLD >Et_1A_005448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10478845:10484485:-1 gene:Et_1A_005448 transcript:Et_1A_005448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELAILCDAEVGLMIFSSTGRLYEYSSTSMKSVIDRYGKAKEEQQVVANPNSELKGGSKLETTTAQLARKSPLMGEDLSGLSAKELQSLENQLETSLRGVRSKKDQLLIDEIHELNRKASLFHQENMDLYSKINLIRQENAELYKKIYETEGPSEVNRESPTPYNFAVVENTNVPVQLGLNTLPQQDDTEPSTAPKLG >Et_7B_053441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19636667:19636975:-1 gene:Et_7B_053441 transcript:Et_7B_053441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHLFFQCTCSRLCWIFIGVTWNTSLDPDDMLLDSRQRFGSKIYREVIIVAAWTIWCHSRWKRAFKEEFNLVALRAKPSIKILLDNWL >Et_7A_052049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4006992:4012069:-1 gene:Et_7A_052049 transcript:Et_7A_052049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDDARDAAVDEMEVDVGERHRDKERRDRPRREEKDHHGSGRRDRDREKDKDDRRREKDESKHRDRDRERDREKDSKHRDRDKEADRDRGRDRGKDRERETERPRDKDRGKDRERESERDKERDRRDRDKDRSRSRDKDKKDRAERGERERDDREREKSKGKGHGEDDADLSKGHEGSQNAQGEAEQSTTTELRERIARAKEERLKDKKEGGILDDNDEASEILSWVGKSRKLDEKRKAEQEKALRRARALEEQDNILAENGDDDEEEEGKQDGDHLSGVKVLHGLDKVLEGGAVVMTLKDQSILADGDINEEGDMLENIEIGEQKQRDDAYKASRKKGTYEDKFNEDSLSKKSILSHYDDPMNDEGVTLDEGGRFTGEAEKKLEELRKRIEGGYIQKKTEDLTSTTKVSTDYFTPDEMVKFKKPKKKKSLRKKDKLDLDALEAEAIAAGLGAADLGSRKDSRRQSAREEEQKAEAEKRSSAYQAAIAKAEEASKALRQDKTKPVKPAEEEELVFGDDYEDLQKSLEQARKLALKKQEEASASGPQAVAELATATKAREDADTTEGDAQQNKVVITEMEEFVWGLQLSEESRKPEAGDVFMDEDDDAMPPDSPAKDDTNGLVAMEEETHSEKPVENEEEEVKPDEVIHEVAVGKGLAGALKILKERGSLNEGTDWGGRTTDKKKSKLVGIEDGPKDIRIERLDEFGRVMTPKEAFRDLSHKFHGKGPGKMKQEKRQKKYEDELKTKRMNSSDTPLMAAEKMREAQARSQTPFLILSGNAKTSHNDASGFATVEKEPPGSLTPMLGDKKVEHFLGIKRSAKPGSLPPPAPKKPKN >Et_6A_047981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26991047:26992037:1 gene:Et_6A_047981 transcript:Et_6A_047981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQGSGNGWGLKKRHEQDVLETEMCRNRKLVAPEPEETGETVDDVIFNEYDLCLERMKGLRIIVDSKAEKKNRSAHGNQSANKAVDLCSLLINCAQAVAMDSHRSAMELLMQMKQHASPRGDATQRVAHCFAEGLEARLAGMGSQGGVPEVRITGIDLPMSGFHPAARIEETGRRLSNFACQFGVPFKFHSIASKWEMVCVDDLNIDPDEVLIVNSIVHFGSLSDDGVNIDSPNPRDVVLNNIRKMQPDVFILFVMNGSHSAPFLVTQF >Et_9B_065600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7788307:7789181:-1 gene:Et_9B_065600 transcript:Et_9B_065600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDHSSSKKQRSFISASENKVWPLPIILAQTKHEQSRKLTMAWPSPIAVVAVLFLLTPQALAGDPDLLQDICVADLTSAVKVNGFACKATVTEDDFYFKGLASPGNTNNTYGSVVTGANVEKVPGLNTLGVSMSRIDYAPGGLNPPHTHPRATEMVFVLQGTLDVGFITTGNKLVAKTITAGDVFVFPRGLVHFQKNNGDAPAAVISAFNSQLPGTQSLAMTLFAATPEVPNNVLTRAFQVGTKEVEKIKSRLAPKKS >Et_5A_040277.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:9880153:9880724:1 gene:Et_5A_040277 transcript:Et_5A_040277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNMTSLHLNNNGFDGVITEEHFGARSLGYIDLSYNALKIELSSNWLPPSTLNSAYFADYQMGPLFPGWLQWHVNITFLDISSTGISDRLPEWFSRAFSNVIRLNISNNQLHGDLPTNMGFMSLGELYLSSNQLTGQIPTLPPTLTILDLSNNYLSGSLPSNNGSANLMALSLFSN >Et_7A_051496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19572325:19573564:-1 gene:Et_7A_051496 transcript:Et_7A_051496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFKNRYMVMEVFIDAGRGESDPVILTQTNISKVIRDSIQLNFGECGLAASLGSLQVKYVNPLTKLCIIRVSREDHQKVWTAITMVRSIGKIPVSFNLLDVSGTIRASKKAALECDEAKFEQYKLAAGDRISPEIIQSAQSYFDKIRGLES >Et_6B_049897.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:17015511:17015837:1 gene:Et_6B_049897 transcript:Et_6B_049897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGVLPPAFLAAAALSMPESPRAGSRCVGATAKLTRYTCAPRAPKTRPTYAWRRLSRQPSPAAPGKRRSLASFAPYPPLVVGLQFLLHAVLYVQTTDAQERWHGLQ >Et_3A_025588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31017029:31020322:1 gene:Et_3A_025588 transcript:Et_3A_025588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDMPAGLPFAVDMWGPSSRRRRHRFLTHAHRDHLVGAGAGADGGGEGTIYATRLTLSLALRHLPQLEKWEFVEMEVGKTVVVDDPAGAFSVTAYDANHCPGAVMFLFEGEFGSILHTGDCRLTPDCVQNLPLKYIAKKGKENMCRLDFVLLDCTFAKCFLKLPSKESAIQQVIACIWKHPHAPFVYLACDLLGHEDILIEVSRTFGSKIYVDQKLDCFKALSLTAPEIITDDPDSRFQMVGFHQLNDKLEEAKASLQPEPLFIRPSTQWYASNTRNHKLSLTEAEQDEFGVWHICFSIHSSRDELEQALQLLQPRWVISTTPPCFAIELGYVKKHCFKTRLTADDSLWKIFRDSLGKSVSSPSSVLAPETQPDEDHSNFIDDNEHSAPANDECTYLDALELKCVSSHPPEEPDITLFGRARFASQAIDIMKEELCNQYLAFEEARASAPTDLFLGSSEDIETYSAPDMVMKQALSCQQEHEGVGDEVRLCQRGPSPVQSEVFRVKSLPAVEGHALVVDDQHEKSEAVSDSKPLSSTQDSCLSMVQSAEKTDCQKEPLCIIGSSNCLNASLKRFYRSRNIPVPRPLPSLVSLFESSKRSLHQKFLKYGNTNANPSVNSIAMRRRR >Et_5A_041189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19248367:19263511:1 gene:Et_5A_041189 transcript:Et_5A_041189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGLILFLQACFLFLHTDGAGRHGGVHLQSQQAAALLQWKSTLGRSSPALDSWRHGTNPCTSHWLGIACGAMRRGHRTPLVVTNISLPNSGIGGRLGELNFSALRFLQYIDLSYNNLQGEIPPAIASLQLLSYLDLSGNWLHGQIPSEIGNMESLSQLALPFNNLTGRIPASLGNLTRLAVLDIQQNMLNGPIPEELGNPTGLETLDLSSTLLSGQIPESLCNLTRLKILFLYSNQLSGPLPSCLGNLVNLLQLDLGHNHLDGGIPNSLANLTQLYGLYLDNNQLSGLFPQEIVLLSKLTQLFLRKNQLSGPVPPSLGNLTRLTVLDLSENQFVDSIPGEIGNLVGLYALGLSENQISGSVPASLANLTNMRQISLFNNMLSGYLPRELANLTHLVELSLSDNSLSGELPSDVCTGGNLEQFDVGENMFTGPIPKSLATCRSLQELHLDYIQITGDISSFGPYPYLVVATLRRTNNLRGHLSKTWASSTNLTVLDLGENKITGSLPPYLSNLEKLEVLILDTNELNGKILPQLSNLINLYNLNLSRNQFSDHMPPVFGRMNKLQYLDISMNNLSGSIPRELGSWGGLRSLVISHNSLSGDMPATIGNLGNLQIVLDVSNNKLTGNLPAQLGKLAILEALNLSHNQFSGSIPSAIASMVTLSAFDVSYNNLEGPLPAAAIFHNAPSGWFLHNKDLCGNVSGLRKCTSTTIMKHHKGRMHNLVLAILIPMCAVSILAISGVIMIIQKRKSPKKTSETVRKDVFSVWNFDGKLAFDDITRATETFSDTYIIGSGGYGTVYRAELQDGRLVAVKKLHDTEEEIVDEKRFLSEIEVLTKIRHRSIVKLYGFCSHPRFKFLVYEYIDRGSLHATLENEELAKELDWQKRAAIVRDVAQALYYLHEECDPPIIHRDVTSNNILLDTAFKAYVSDFGTARIIKPDSSNWSELAGTYGYIAPELSYTSVVTAKCDVYSFGVVVLEILMGRYPRELQALDSLGQAHNLVMDFLDQRPLSPTVVEKEQIALLVDLAFACLQTSPQSRPTMQDVYLKLSQQDALLQWKSTLRSSQALDSWRQGTSPCSSNWKGVTCGHRAAPMAVTSISLQNAGIDGRLGELNFSALPFLTHLDLSDNSLGGELPVAIASLRALSYLDLTNNLLHGNIPSEIGSMRNLSRLALSYNSLTGRIPASLGNLTALVFLAAAQNNLTGSIPEELGMLTNLEALGLENNLLSGEIPASLGNLTKLSILYLYTNRLSGPLSTFLCSLINLNDIQIGENHWGGGIPTCLANLTKLNYLELTKSQLTGSVPKEIGLLPNLSVLALYTNQLTGSIPPSLGNLTRLSYLALSDNRLVGPIPDEIGSLANLSLLALSQNNISGSIPATFGNLTAMMKLYLFDNKLSGPLRPDVANLAHLVMLYLSNNSLSGELPSDLCKGGNLQVFDASRNLFTGPVPSNLRTCRGLKILDLSFNQLTGDISSFGPYPHLVEASFWQNNFHGYFSKTWASSINLTKLIASENKISGSLPPEFSSLVKLEVLLLHTNNLSGQIPPELSNLANLYQLNLSQNQFSGHIPPEFGRMSNLMNVDLSYNDLSGSIPQELGNCSGLRSLVISHNSLSGDMPTTIGNLGNLQIVLDVSNNKLTGKLPAQLGKLALLSVLNLSHNQFSGNIPSSISSMVTLLALDVSYNNLEGPLPPAAVFQNAPVGWFLHNKDLCGNVSGLPKCSSITIMKNHKGRMHSMVLTILIPLCIIFILAILGVVMIIQTRNSPKKTSETNRRDVLSVWNFDGKLAFEDIIRATENFTDRYIIGSGGYGTVYRAELQDGKLVAVKKLHDTEEDIVDEKRFLSEIEVLTKIRHRSIVKLYGFCSHPRFKFLVYEYIDRGSLHATLENEELAKELDWQKRVAIVRAVAQAIYYLHEECDPPIIHRDITSNNILLDTDFKAYVSDFGTARIIKPDSSNWSELAGTYGYIAPELSYTSVVTAKSDVYSFGVVVLEILMGRYPGELQALDSLGQAHNLVMDFLDQRPLSPTVVEKEQIDLLVDLAFACLQPSPQSRPKMQDLYLKLVRHKPSPASSAADATSSSRAHPLEETITNGEI >Et_2A_016831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28727689:28735640:1 gene:Et_2A_016831 transcript:Et_2A_016831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSPLRRWKRFFHVFDAIHGAIEAADPAVLAKDELGMAMVYQSAKAEVAEFLCDDPWNYEDYCRILDELMCEYLVTLKTVPVTPTALASTDLAKAVGVLLEHESEKIRGLARELIHQWRKSVERSEPAEARSSVEELVKLSEEALAPKKCTSVSVEGHAVSRVESAKRDEPAKISASLPKNTSAPVVASMAMMVKMEATKRKLREGYQEAADAKRQRKIVVIETPKMAEQRHQKLHPAIREGSQAKRVTSTTAVHKSDEKRQRKVHPIFRERNQARCASSTAVRRSLMPSFCRAVGFLLEHQSDKIRDLARQVIRQWSKSVESGGLGEARSRVEELVKLLSDDLGVKREDPAKISAPLPEKRSPVVGSTGRDSMAFMVKMEATKRKLREGYQEAADAKRQRKIVVIEAPKMAAQQQQRKMHPVSQAKRATSTAGTNSVEKRQRKIHPIIRERSQARCAASTAVRRSLLPSFCRV >Et_5A_040703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11336057:11336752:-1 gene:Et_5A_040703 transcript:Et_5A_040703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEWSSGGGDVAAKLSKVISDDTGGEEDLNTIDATRTNLLSRRWRRTLLPELGFDIVQESQHLWDTLSISYVPLRYLLVRTLDASPQSLAACWVSGEVFLINLFTERDADDNDDEEEATERETFELPCFEKATLISLDLGFLGIFVPPNNGVFVWLTELHLSGPGDLGDVVSSPRCQRLQKITLRNSPGLDRIIIDSESLHILEIRNLCDLRWIAVVAPTLRD >Et_5A_042764.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:413651:414328:1 gene:Et_5A_042764 transcript:Et_5A_042764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTLKKSSSQPPTLDQIGSKPLVIRFSDGDEAKIMDPFDDGFPEATLGLEMLKGKEHVACLEGEWLLMFDAATDECFLMSLSSLSKISLPPLVTTDFVYKCALSSPTPPDCTIMITANQDDTEGGTYLLYCRPGDEEWQEWRDDTDDAIGYNTGIVGSRGTMYFSTNKYTFIAIDASLSSSSDYKANIKRRDIPHPSIMRWGCSEYLVEFDGDVFCLQFYTHG >Et_3A_025376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29305190:29305717:1 gene:Et_3A_025376 transcript:Et_3A_025376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGTSSPASYIRLVQHLIEKCICYNMNREECMETLEKHAKIKPVITSTVWKELEKENREFFETYKKDQGEESSQKNPSQQPPVSKSSDDGGDDDD >Et_10B_002994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15024165:15047428:1 gene:Et_10B_002994 transcript:Et_10B_002994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLPAFEARAPPALTDHLLEEILVRIGAPADLVLASAACKTFRRLITDPTFLHRYRSLHPPLLLGFVEPFTDAFLPVDSPHSNAAAARAFAGAADFSLDHLPDCGPVIWTHRDARDGRVLVTPSYHWRGRILSDVAVCDPLTRGHTLLPLIPDDLRASALVREREDGVKVFESFFDLSSEGYEEEQQFRVMCWTRSSERIAVFVYCLASGSWTLGNSVSWNALGLNVQYLDLPFIGWWANYAYGCFYLQVISCNKLVKLDINSMEFTTVSLPSRDRDVSVVEAGEGRIGMFSLTRGHENCLRYSIRQNESKNANEHPVETTIPLPQEYDIYYFAQRAQGCVFLKGIQKDFRQISALFSLDIKTFKVELLCFTNCTLEHPFRRRRPLAGDGAGPSQSRSQALPDHLLEKVFIRIGVHAHLIRASTACKSFLRVIADPAFLRRYRTLHPPLLLGFVACAEPTDARFIPAGPAIRFLSTEAPHPNEPAAGAFAAADDFSFDHHHDHEMSSWPRYDVRGGRVFVMSSDTLHGSLLVSPVLSVCDPLTRAYTRLPPIPDGLSASVQSKVQPEHMGFFDAFFDPSGDNEEALFREETQFRVMCWATCCLMSVMFVYSSDSGSWSHGTSIVFSALGLNLPPEYYPIMGGWHSYAYGCLYWDVGINNQMIKFDINSMESNTVILPALLSDHESRNTMFVEAGEGRIGMFSLIRKTDNPQSLHYSIWQNESDNATEHLVENIIPLSSEYDFYHFEGAAHGCIFLIGLRKDVIPGSVFFSVEIKTLKVERVCEANFVPRRPHPRSAACTTFNRLITNPSFLRRYRTLHPPLLLDFVGCAKPTNVHYNPAGSAIRFLPTVAPHPNAPAATANFSFDHHLNHRMPSWPRFDARDGYVLLVSSDSLCGGLAVSPVLSVCDPLTRRHTLLPPIPEDLRASVLDEVQGDQFNFFDAIFDPSGGNEEALFGEETQYRVVCWAISFSMTLLDINSMVSTTLSLSSDHGNRITMFVEAREGSGTHADLIRASAACTTFRCLITDPEFLCRYRTLHPPLLLGFVGCTEPANVHYIPAGPATRFLPAETPHPNASAARALAAAADFSFDHHPDLGLSNWPHYDARDGRVLLMSSDSLRGGLAVSPVLSVCDPLTRGYTLLPPIPDNLRASVVDEVQGDRFKFFDAIFDPSGGSEEALFGEETQFRVVCWAISFSMTVVFVYSLVSGSWSHGTSIVFDALDLHVPPECYPIMGGLYSYAYGCLYWDVDIGNDMIKLDIKSMEYTTVSLPSDHAFRSTMFVEAGEGRIGMFSLIIPEAENPQSLCYSIWQNESEIAASEHLVETTITLSSDYEFYHFDGAAEGYVFLIGQRRGLTPGSAFSSVEIKTLKVERVCGANIGPEPEYRHFPRSLSPAGGRLEPVLSGTEAKALSDLTDHLVEEVLVRIGTRADLVRASAACKTFRCCITNPAFLHRYRSLHPPLVLGFVCLVGPVGSAVRFLPAFAANKINWTPNPRSFSPAMAPVLPGPEARAPLALTDHLLEEVLVRIGAHADLIHASAACKTFRRLITDPAFLRCYRSLHPRRCFSASSAAPSLPKSAASPPGPRSASSPPRRPTPTRPPLAP >Et_1B_011176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18370862:18381778:-1 gene:Et_1B_011176 transcript:Et_1B_011176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PERGTTMAEPYQMNGILNGTPNLRHPSSPSEVDEFCKALGGDSPIHSVLVANNGMAAVKFMRSIRTWALETFGTEKAILLVAMATPEDLKINAEHIRIADQFIEVPGGTNNNNYANVQLIVEIAERTRVSAVWPGWGHASENPELPDALNEKGIIFLGPPSAAMAALGDKIGSSLIAQAAGVPTLPWSGSHVKVPQESCHSIPEDIYKNACVSTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDKHGNVAALHSRDCSVQRRHQKIIEEGPITVAPPDTVRELEQAARRLAKCVRYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLYNIPEIRRFYGMDHGGGYHAWRKISAVATKFDLDKAQSVKPKGHCVAVRVTSEDPDDGFKPTSGRVESGGAIHEFSDSQFGHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDYTVDLLNATEYRENRIHTGWLDSRIAMRVRAERPPWYLSVVGGALYEASSRSSSVVTDYVGYLSKGQIPPKHISLVNLTVTLNIEGSKYTIETVRGGPRSYKLRMNGSEIEAEIHSLRDGGLLMQLDGNSHVIYAETEAAGTRLLINGRTCLLQKEHDPSKLLADTPCKLLRFLVADGSHVDADTPYAEVEVMKMCMPLLLPASGVIHFVMPEGQAMQANDLIARLDLDDPSSVKRAEPYHGTFPKLGPPTAISGKVHQKFAASVNSAHMILAGYEHNINEVVQDLLNCLDSPELPFLQWQELMSLDGKYKEYELNPDFRKSKDFPAKLLRGVIEANLAYCSEKDRVTNERLVEPLMSLVKSYEGGRESHARVVVKSLFEEYLSVEELFNDNIQSDVIERLRLQHAKDLEKVVHIVFSHQGVRNKNKLILRLMEALVYPNPSAYRDQLIRFSALNHTSYSELALKASQLLEHTKLSELRTSIARSLSELEMFTEEGERVSTPRRKMAINERMEDLVCAPLAVEDALVALFDHSDPTLQRRVVETYIRRLYQPYLIKGSIRMQWHRSGLIALWEFSEEHLKQRNGQDAPLKQQFLSTAIDAALKETSQYRTGVGSVSNGNHINSNQSNMLHIALDQAQERVNKLSKILKDNTVTSHLNDAGIKVVSCIIQRDEGRPPMRHSFQWSVDKLYYEEDPMLRHVEPPLSTFLELDKVNLEGYNEVKYTPSRDRQWHIYTLIKNKKDLRLNDQRMFLRTIVRQPSATNGFLSGSIDNELGRGQASSSFTSNSILRSLMAALEEIELHAHSETVRSGHSHMYLCILREQRLLDLIPFSRMSDDVDQDEATACTLLKHMVLNIYEHVGVRMHRLSVCQWEVKLWLDCDGQASGSWRVVVTNVTGHTCTVDIYREVEDPSTHQLIYHSATQTAGPLHGVALNEPYKPLDAIDLKRYSARKNETTYCYDFPLAFETALKRSWKSSDCGVAEANEHNQRYAEVTELIFADPVGSWGTPLVSVERAPGINDIGIVAWSMKLSTPEFPSGREIIVVANDVTFKAGSFGPREDAFFDAVTNLACERKLPLIYLAATAGARLGVAEEIKACFNVGWFDDESPERGFHYIYLTEEDYSRLSTSVIAHELKLENGEARWVVDTIVGKEDGLGCENLHGSGAIASAYSKAYKETFTLTFVTGRAVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHQTVSDDLEGVSAILKWLSYVPPYIGGPLPIMKPLDPPDRPVTYFPENACDARAAICGIQDGEGKWLGGMFDRESFVETLEGWAKTVITGRAKLGGIPVGVIAVETQTVMQVIPADPGQLDSAERVVPQAGQVWFPDSATKTAQALQDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYNQPAFVYIPMGGELRGGAWVVVDSKINPEHIEMYAERTAKGNVLEPEGLVEIKFRPKELEDCMLRLDPELIGLNARLKEMKRENASISELETVRRSMTVRMKQLMPIYTQVATRFAELHDTSSRMAAKGVIGKVVDWEESRAFFFRRLRRRVAEDALAKEIREAAGEQLSHKSVVESIKKWYLASKGAEGDSEKWNDDESFFAWKDDPKNYENYLVDLKAERVSKWFSHLAESSDVKALPNGLSLLLNKMDPSKREQVLDGLRQLLG >Et_3A_023972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15430682:15432644:-1 gene:Et_3A_023972 transcript:Et_3A_023972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAATSSLSLLFAHPNTRQSSGSHLRLPRRARCAASDATAATTTVTKHRRPAEENIREAARLRGPEQGFSDWYAPFPPTPDGDEVVYRSSSGGLLDVQHDMEALARFPGSYWRDLFDSRVGGTNWPYGSGVWSKKEFVLPEIDSDHIVSLFEGNSNLFWAERLGREHLGGMSDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRQPLSRPIAGVGCASTGDTSAALSAYCAAANIPAIGQKTAAIEILQQFDWQVPDWVIVPGGNLGNIYAFYKEMCRVLGPVDHVPRLVCAQAANANPLYRYYKSGWTEFQPFTAATTFASAIQIGDPVSVDRAVVALKATNGTHGCHGTC >Et_9A_062045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19138645:19140114:-1 gene:Et_9A_062045 transcript:Et_9A_062045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEQMAANCKAYLASVRETARERMIAFAECGEVTKKRGQPVPPPLEIADHPDLLERAWGCDRILPYHPMNSWSRYKKYLQEYYRLNVPRTALSHQMQANAAVEGSLNGGDANDLTTLAESCINMEGLLMSLLNCHPQGFRLDEANRSNKITNCVRRLINMKCCEFPAAAIALKHILKMEMFFTAIINSSANCKLCFKCIMKEADLMCELVMSGVCSTSPMNVSSASFSGIVPWELWSEYAMNISEHLQGPALLGN >Et_5B_044950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7635754:7643876:1 gene:Et_5B_044950 transcript:Et_5B_044950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIRTTLRRTESAECPRQPENLSDGLLGEIFLRIGSPADLARASTACASFRRLIADPSFLRRYRSLHPPLLLGFLCAGYGSLAGANFEPAEAPLPSASAARALQSAADFSFEDYLPRRENGWDPCDVRDGRVLLEHYHDTGDDENVPDLAVCDPLSRKYLLLPPIPDDQLHSMHGQDEGVLYIQSYLLPCTDEEDETSFRVISMTRCVTNLAVFVFFSGSGLWSMGTSTTWDALSFDSPPEIGTIDGAQCVSGCFYWDAEDMTQLIKLDITRMQFSAFELPPHGHFNTAVGKVGMLSYQDDSASVEYYTIMQNENKRDRWRMESIVPVRPDLNFFFHDAPEGYISLVGTSRSFDKYVAECFSLEIRTLKIEWEPKLWCLCIFWVPAIHVADKNMKVPPYERSPELRVSDSLSCSPPMASPARAILRRTEAAERPRQPAALSEDLLEEIFFRISSPADLARASTACTSFRRFIADPSFLRRYRSLHPPVLLGFLWGEYGSFADGYGSFADANFKPAETPLPGASAARALESAADFSFNDYLPHRLNRWKPCDVRDGRVLLPHFRDTGDDDDDFPDLAVCDPLSRKYLLMPHIPDDQFHSAHGQDEDVLYIGSYLLPCTDEEDETSFRVIRMMHCATNLAVFVFSSGSGLWSIGTSTTWDALGFNSRPEIGRICGTQCVSGCFYWNVDSMNKLIKLDITRMQFSTFDLPPDGHWNFVIVYAGEGRLGMFSHRYHCASVEYYTIMQNESKWADRWRMESKVPVPPGRHFFVHVVSEGYIFMVGKLTCVDIYIAGCFSLEITTLMFEWNKETELLCVCICWVPAIHVTDKNMKVRTFNGSFLEGLSVFQDRFCNADWGHSASKRSFSAPSELST >Et_3B_030438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3844158:3844581:1 gene:Et_3B_030438 transcript:Et_3B_030438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPHISAISIPTQILISDAACLIRYTSQEHDCAARSPDLTTVHLHTTERQFCEHNKTFQEPHTVQGYAALQESTKEILLQRGASGAVWVLKLGYE >Et_2A_016879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29047725:29064619:1 gene:Et_2A_016879 transcript:Et_2A_016879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAAKRAKIERAAAPQRGEDDYVPGNIVEIELCNFMTYDRLVCRPGPRLNLVVGPNGSGKSSLVCAIALGLAGDPNILGRASSVGAFVKRGEVSGHVKISLRGDTPDDKICITRKIDNKNKSEWLLNGATVPKKEVIDAIKKFNIQANNLTQFLPQDRVSEFAKLSPIQLLEETEKAVGDPNLPIQHRLLVDRSKELKALEVALKQKEQTLNNLKALNAQQEKDVERVRLRDKLLKKAELMKKKLPWLKFDMLKKELIEVILEQEKTAKKKWEEAAKILEDSKQPIEALKKGKAAHASNIKKIENQINQNMSNRRSAIENEQKLNAELKSTFVDIEDLERQEKSRQQRILKAKEDFAAAEKELEDLKPFEPRDEMEQLKDKIAHVCCEINRLSDEKSAIESKLFLEKESMKTCSKRLKEMESKNMRLLEALRSGCDKIIDAYHWVQDNKANFRREVYGPVLLEVNIQDRTHATYLENHVPNYIWKSFITLDASDRDALVRQLKNYDIPILNYTGERGMRREPLNITPEMRQIGIDNRLDQVFEAPAAVKDVLISQAALDSSYIGTNETNQKADNVPKLGITDVWTPDNHYRWSRSRYGGHISAFSMILAISSSRKRRQLEDEEANIRKRKEEIINMVLSQKKKREAIKRRVDLTRRKLEDIYKEEDVESSKRKLVDHVAKLNDQRFQAVIKLKNLLVEAVALKWSYTEKNMVFIELDAKIWEMERGVKKLEKDALTAMPKTIEELEAVIQDTESEANSMLFLNQNVLQEYQSRQREIESISNKLQDDKEEYERCCSEIETVKGKWLPTLRSLVLKINDTFSRNFQEMAVAGEVSLDEHGLDFDQYGILIKANKSVAGAKCSSPIWRGMDPINERKMFQQLVRAASQLNTPQCFLLTPKLLPDLEYSDACSILNIMNGPWIEQPAKAPSVAVAEFGDLEDDDAVDVEDVRGLHVAVVDDTTPASDIAWRARDAAVADDRGVASAVPP >Et_7A_052717.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1476524:1476838:-1 gene:Et_7A_052717 transcript:Et_7A_052717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAADTCAEGQGGRFPCVLQQPQREQLRDRHLARGRHGRSAAHTQHAGNGGGTVPADGINIDQQLHITLGLKTEQHGNGGVQVPETTSSGNLLRRPDHGAHAG >Et_7B_055004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6290150:6291759:-1 gene:Et_7B_055004 transcript:Et_7B_055004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSATSVHDFTVKDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELAQLYEKYKDQGFEILAFPCNQFGGQEPGTNEEIVQFACTRFKAEYPIFDKVDVNGSNAAPIYKFLKSNKGGLFGDSIKWNFSKFLVDKEGRVVDRYAPTTSPLSIEKDIKKLLGSS >Et_3A_023650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10360517:10361524:-1 gene:Et_3A_023650 transcript:Et_3A_023650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFLKQAKQYAATRPAYPAELFDFIASKTPRRELAWDVGTGSGQAAASLATRYAAVVGTDTSTPQLAYAPPLPNVRYVHTAPDLPLAALHAAVGAPPGSVDLAFHWLDLPRFYAQARSLLRPAHGVLAAWCYTEPRVEGSPAVDDAFWCLYRLSQPYWAPNRRLVDDEYRGVEFPFDPVDGEAHTGPFEFATERRMDLDDYLMYITSWSAYQTAKDKGVELLDERTLREFQEAWGGPREEVKTVRYPIFLRIGKMRAPE >Et_3B_028269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12519805:12524495:-1 gene:Et_3B_028269 transcript:Et_3B_028269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPLVGVLIPLCSPKILGWLQFSPTPAVALCPAISTRRRFVWPCSAVQFSPFTEPVPCARNLDQCVGLPAKSGFRVAKMDKLNGSARLMIVSDLDHTMVDHHDEENLSLLRFAALWESVYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEDMVPDEGWEEYLNNKWDRKIVVEETASFSELKLQPETEQRPHKVSFFVDKKNAQEVIKSLSEKLEKRGIDAKIIYSGGQDLDVLAQGAGKGQALAYLLKKLNSCGKPPNNTLVCGDSGNDAELFSIPGVHGVMVSNAQEELLQWYADNAKDNPKIIHATERCAAGIIQAIGHFKLGPNVSPRDVEFPYAKDSSFKPTDAVVKFYVLYEKWRRAEVSKSDSVIQYFKNITHPNGVVIRPSGLECSLHASIDALGSCYGDKQGKKYRAWVDRLVITQTGSDSWVVRFDLWESEGDVRVCTLSSLALNLKPETPEGFVLTHIHKTWLNGYSSGVEQASKL >Et_2B_020580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21540336:21559847:-1 gene:Et_2B_020580 transcript:Et_2B_020580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVDGNNGDSRLVVTQLNHIKDLVMQLETHLGASQDICKHLASQIFTITERSIVLITSTNLDIGRKHKNVQFKTTQKSYRATICRTMVENRRHQVRVNSVAAGAIPVDDGHSWRKYGQKVILGAKHPRAYYRCTHRHSHGCTATKQLQRSDEDPTIFDVTYYGTHTCNRTTDTAAGQAKQTPEHTQPRRAQPPAQSQRRLHCKNRERSRCHRVSVLGSNLAFRHLVHSGERVGAQPDDLSSDHWGVSPATSDSNHVLSLPPFEAAAGDARWPEQSEHQEVVTASAPASTAEIIKNFVDIDFSSFFIGGSRLVVSELSHIKDLVLQLETHLNGSHDLCRHLVSQIFSLTERSIGIITSSNFDNGRKLSWRGAGLASATPSPLGNNVADVPFRSNKKRTTMRKRQVRMNSTEGGEAPVDDGHSWRKYGQKPILGAKYRRSYYRCRHRHTQGCTAMKKVQRADDDPAFFDVVYYGTHTCVETTATGQAAQAPEHNPDSHSHLQSLAVKTEEISAAPAPPQGWNATAPFYPTSTPVSWCPTPATSDLNHVTSFQPFDPPLLRAKSELQDVVSALVATTSAPSVPAVDIACSEFVDIDTISSFFGDMDSLDGNGGSRLVVTELSHIKDLVMQLETHLGGSNDLCKHLTSQIFCLTERSIGIITSFDGGRKRSAADAGLASATPSPLSDVVDVPFKTTKKRKVTEKKNQVRVSSGAGGETPVDDGHSWRKYGQKEILGAKHPRGYYRCTHKHSQGCTATKQMQRTDEDPTLFDVIYHGTHTCQKTAAGQAKQQLPSHNPDAHSLLQSLSATLTVKTEGLAAAAGAPSTFCFSSTPASECLAPEHSPFSAPSSANWGVSPATSDSNYAASFPFLDAAAAGDDEFRAQSEHHEVVSALVAASGPAIDIDEFLNMEFFD >Et_5B_045072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9134201:9136204:-1 gene:Et_5B_045072 transcript:Et_5B_045072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTVVLLHGDGSMARHAASGLHRAPLLRERWVLHRAAGAREVARGLERSGHCFLWVLRSTPAPGEHLTADANLDELLPEGFLERTKDRGLVWPTWAPQKEILAHAAIGSFVTHCGWNSVLESLWFGVPMAPWPLYAEQHLNTFTLVAYMGVAVAMKVDRKKNNFVEAAELERAVKTLMGDSEEGAKAREKALEMKAACRSAVENGGSSHSALQRLTEELHKGVAMVDVQESSSPAVRGIIVNAIAELEPRVLAAIADGRCTRGVRAATVYTIGPVIPFTPPAAAEEEEHECVRWLDSQPPAFVLFLCFGSSVLRRRRLTSAAGTAPWNEDVHGRELRGSAPPGLPGEVEGERGLVWPKRAPQKQVLAHAAVGGFATHCGWNSILESLWCGVPMVP >Et_2B_020519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2126638:2128127:1 gene:Et_2B_020519 transcript:Et_2B_020519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVVLLAIPCFCLFLLAASGGAGHKYEAMFSFGDSLSDTGNICVNKSATAQLLLTVAQPPYGMSYFGHPTCRCSDGRLVVDFLAQELGLPLLPPSKLAGGTDFRRGANMAILGGTALDFSFLKSIGLGYPIWNNGAMNVQIQWFRDLLPSVCGAPQGQGCREYLSNSLFLFGPFGGNDYNAMLFFGFTVDQARNYTPMMVDNIASGVEQLIELGAVDIVVPGALPVGCFAVYLTMIPSTDPADYDQYGCLKPLNSLSLYQNTLLRSRLAKLQARYGSSARIMYADYYAHVDQMVRDPARFGFGSPAMTACCGAGGGKYNYEFEARCGMKGATACENPSSHESWDGVHLTEAVNRMIADGWLRGPYCNPPILE >Et_1B_014170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33840489:33844291:-1 gene:Et_1B_014170 transcript:Et_1B_014170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSDSDGGGDEEEEETVPGDAVPGFSLAIEGVLGSCGMVVSDALEPDFPIIYVNRGFEDSTGYRAEEVLGRNWTSRFLQCRGPFAQRRHPLVDAAVVTGIRRCLEEGIEFQGNLLNFRKDGSPYMARLQLTPIYGDDEIITHYMGIQFFDESNVDLGPPLGSVTKEPARSTWIAPDNTTPRPNSVGKGNSWEHSNLFLLSEEVLCQKILSRLSPRDIASVNSVCKRLYHITKNEDLWRMVCQNAWGCEATRALETVAGSRSLAWGRLARELTTLEAVAWRKLTVGGAVEPSRSNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNASKPEWRHINVSGAPPGRWGHTLSCLNGSRLVLFGGCGGQGLLNDVFILDLDAQHPTWREIPGLAPPVPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTHLLDVTMEKPIWREIPASWTPPSRLGHSLSVYDGKKILLFGGLAKSGPLRLRSNDVFTLDLSEDKPCWRCITGSGMPGAGNPAGVGPPPRLDHVAVSVPGGRIVIFGGSVAGLHSASQLYLLDPTEQKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWMLTEIYELSLTGSLL >Et_2A_018394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26460244:26463349:1 gene:Et_2A_018394 transcript:Et_2A_018394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAAIGLVRPCAARPLAGNPRRGAGACTALPPRGLGISASALPRAGLTAVGRRVGLVPASPLEEKRAARLGAPAAAAKAEGAAGGEEGGSSLLKTLQLGALFGLWYLFNIYFNIYNKQVLKALPYPINVTTVQFAVGTVIALFMWITGILKRPKISGAQLLAILPLAIVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSALFLGEMPTPWVVLSLLPIVGGVGLASLTEASFNWAGFWSAMASNVTFQSRNVLSKKLMVKKEGLNLQQIYTRSLIAAFCFHAYQQVSYMILARVSPVTHSVGNCVKRVVVPGLLLPEFSYTPN >Et_2A_018710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5602803:5607704:-1 gene:Et_2A_018710 transcript:Et_2A_018710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQDVVFPDMGIAAAAALPAAGRALFACRGAAGAVSSSLRRGAYGLVSGLPADDDEFLGARGGGGAPAPAHAAVACTSWVVEAIRASSPTRVPAVDEFAAWTKKHPSALGSFDEVAAAAKGKKVVMFMDYDGTLSPIVADPDMAFMSAEMRAAVREVAKLFPTALVTGRCLEKVYNFVGLSELYYAGSHGMDIKGPSSKEDKTVLLQPAREFLPVIDKAYRALVEKTKATPGARVENNKFCLSVHFRCVDERRWSPLAEQVKAVLREFPELKLTEGRKVLEIRPSIMWDKGRAVEFLLKSLGFDDRGDVLPVYIGDDRTDEDAFKVLRKRGQGIGILVSKCPKETAASYSLQDPTEVMEFLNRLVQWKRRRSPSSATTRPRFMDLSTREQLEQIICIKPGGTELTKAFLPSDMRRFLSSRSSIPVILM >Et_3A_023542.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33450072:33451613:1 gene:Et_3A_023542 transcript:Et_3A_023542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLPLLPRLDAAVGGGRVDDAGVGGDGVDGVVVGADGLDALEVGEAPDLERLVPGARVEGAVVHGQAGDAVGVLDPEAAAAAAAAARLRVHVAGLAEDAAQVVPGGGQRGHQLERVLGAPRHAPHAHAPVLVRREHAPARGGQRLHGPFPVAEPREAAEVRLLPRGDVGAGGRAQQELAAEREAGDGARVVAQHGQRLARAPGHRRRRRRASRGGRVVREGRRREGAEGRERGGGRRQEVGAREQGSGHCGAVLFRHCHCRCRCGLLATGAETLDKERGEMMARIVEASQTAR >Et_5A_042199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7352555:7354699:1 gene:Et_5A_042199 transcript:Et_5A_042199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLAAALLLLLAFVAASRASDVPSASFPLSQPQSTSNASSPSSTPPCHLDLSAELFGGVAAACGAGAGGGPGSLDRGRCCPVLAAWLFAAHARTALSLPPAPPPSSLAGEEGLGPGGDEGPMVPYDNQRCVDALGDALQRRGLALKGPNDTCDTVLCFCGIRLHQIGSLRCPAAFAVGGGGGAAKNATPTAAVKDLEKSCRNASYAGCSRCVQSLQKLKTNVSREVSGGDRARRMLGRDCQLMGLTWLLAKNKTAYIPTVSAVLRAMLYTAHPTESGSGAGGAAPKCSPDQENMPLAVDSLQFEHTSTASSAVAATPSIVTAALLGFVLWLTSSSWHGFL >Et_10A_001659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6501489:6516665:-1 gene:Et_10A_001659 transcript:Et_10A_001659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRQHKRGDGVGRGGGGQMPAPPLKKRCRSFDLETRGCKHLQELATSYVEKIEAAISRIPEEVTKVLTSFFNFNRVPGARIVQNPPPSYKLSFVNSLSDEIFTKREIRAADGGFIKIRIAVNNQQGFDCPRLLSTKVKIVVLDGDFNADNHDGWTSEEFRNHIVRPRDKVGVVHTGDLELKMKNGEANLENISFIDNSSFTRSGKFRLGVILIDNFGERVQEGITEPFIVKDRRGEGYKKRDTPSLDDEVFRLKKIAKNGVFHKALKRSGIPTVKHFLRMYYKDAKALRDILSKAPQSVWTTIVDHAKKCDPGGTLYSHFIEDENIRLFFSSVGQIVGATIADQYNAFGDLDTSQKALLEEWSRDAYECMTYHQPDYEMYNREPRPIKRSAFQESIMAGTRSTEPTDKIIHETDQQDSSKDNGFSGSHSKVCIFKRIGSVRGRPLPSTHGNNDEPSFDIDIQLDSGTEIQHESPDANCITGSVTLQCPAATENEIIGSIARGHGALTLDQGSSTMPFTNCGMYYAFHDIIISFSSVYVQHDSQCAPPFSLNMQPSGLLTQNSFRESEIQEILNNWEQSQLWENFLFDGIAQFSAPNVSSSPADVGCSSTRLVSSRRWIKLITLMKKMQIALEAALESVVPQMVEKVVTKVLTSFFSRAPSFCNTVVDENCPLRYKLNFMNGLATKIFTRKIICDRHEEPLKICMTAIHQEEIDPQILSAKIRVVVLDGDFNRHNQECWTQEEFGKSIVRPRDRVAALLTGDPEISLANGEANLCGFTFLDNSKFMRSGMFRLGVMVVDNLGEGVLEGITEPFIRALEEGGGAGGGPPSPSSVLKKRCRSFDLEIRGCRHLQELAARCVQSVQASVDAAVQSAVARITEDVTKAIMSFLSRAPRTLVDQNQPPRYRLSFMNGLDSEVFTKKVIYATNGEPIKICMVVNDQKGSEGTDLHRRILSAKIKVVVLDGDFNKHNQECWTSEEFRNCIILPRDNIGAVLTGVSELRLKNGEALLHGVTLNDNSKFVRSGKFRLGVMVDDNLGERVLEGITEPFIVKDRRGEGSKKHDIPLLNDDVWRLKKISKDGVFHKALSSESIFCVQDFLRWYYKDEQTLRKILTNATKKVWTTIVEHAKKCDPGKELYSFVVQASNTTLFFNSVCQIVGTTFGDNYTPFSDLDMHRKELVGQWSKVAYKNMTFHQPDYELDNGKPRPIDQSTLHELFMLEHKVTDLMQGPNEEYVCPTDDHQGTSYINSRHCKLKRLGSVRFTQTDEDSFDISFCLDPIPEQYCASTSANDITGSVTLQCAATTPNEITGSVVLSQAPVTTDHAAYNILPFTDNDASVHQSCEENQPVRAHFSHSLSSIRALLDSPIYSRHSSFKESDSHQAGEEGSQDRLCED >Et_3A_025686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31888960:31899211:-1 gene:Et_3A_025686 transcript:Et_3A_025686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKEVCLEGFKSYAGRTVVSGFDPLFNAITGLNGSGKSNILDSICFVLGITDLRQVRAASLQELVYKQGQAGVTKATVSIVFDNSDRSRSPLGYEDSAEITVTRQIVVGGRNKYLINGHLAQPSRVQTLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYEMKKEAALKTLEKKQNKVEEINKLLDDEILPALEKLRKERCQYMKWANGNAELDRLKRFCIAYEFVQAERVRDGALNDVKQIRAKIVELDESAEKLKASVQEMDTNISTLAAEKEAKLGGEMKALSEKVDKLSHALIKETSVMNNQEETLKSEEKGAEKILTNIEDIKRSILERDAAVKKAEDDASDMKRRADDLTKELDENEKEYQGVLAGKSSANEKKCLEDQLRDAKAAVGEAETGLKRLTTKISHSEKELKEKKAQLVSKRDEATAAENELKARTKELEAIKTSMGSINYKEGQMEDLQKDRSSELEVIRKLKDKVSQLSAELSNIHFRYRDPVRGFDRSKVKGVVARLIKIKDSSTTTALEVIADGKLFNVVVDTDATGKQLLQNGDLTRRVTIIPLNKIQTRTIPDRVQQAAGRLVGPDNVTLALELVGYDEEVKNAVAYVFGSSFVCRNMEAAKEVTFNREVGCTSVTLQGDIFRPDGRLTGGDRSGKVAVLRKLDELAKSETGLSMHEERLAFIEQKIAALLPLQKKYIELKSQFELKSYDLSLFQNRVEQNEHHKLGELVKKIEQEFNESKQELTEKKALYEKCVNTVSELEETIKTYGTEREGRLKALEKNIKSSKSEMQSMSKKLKAYESERERLIMEKDAVANELVTLEEHLSTSKAQITALSETLDRQKDKVASIKQEYDQAEHELNAERSKLKECDSQINRMTKEQQKIQQQLSDLNVERKKMENEVKRMEIEQKDCSSKVDKLMEKYSWIATEKQLFGKSGTDYDFASCEPHKAREELESLQAQQSSLEKRVNKKVMAMFEKAEDEYNDLMSKKNIIENDKAKIQKVIEELDEKKKETLKVTWIKVNKDFGSIFSTLLPGTMAKLEPPEGGSFLDGLEVRVQFGSVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPQSQFIVVSLKEGMFNNANVIFRTKFVDGVSTVTRTVPSKQK >Et_1A_004869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13048747:13049989:1 gene:Et_1A_004869 transcript:Et_1A_004869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNESMVDLLGVVTSVTPSVTIMKKNGTETQKKTLQMMDTSGRSVEVTFWGNFCDTEVQQLKSMCDSGWNPVLALKGARVSDFGGRSVGTINLTQLTVDPGFPDAERLKRWYMTEGKAAACISLSQGMSSMGQNAVRETIAQIKDENLGAAISYVRTETFCYPACPLMFNEKPCNRKAIQNDATTYVTAFQEAGEQIFGRSAQELFSIRDVNQDDALFTEIIEGALWHIYLFKLKVKEETYNDVRRVNCTVVKLEKLNPLKESHILLGFIDKLLQDGLRSSPIVQSTAIPNAGVTNSQGSHSVLASNNAYALNSFGKNHFGLQGTISSGMSTPQSGTWNAQTCSTCQSSGHNAQNCPAGTARQQPSPGGGFPWH >Et_9B_066103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3750100:3754053:1 gene:Et_9B_066103 transcript:Et_9B_066103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASVKGHRRRGRPTVAFFPTLYRNGLLPGKTSQGGLRQRARQLPGVDEAGGDVLPGRRHQLVLLALHHAAVAKIDAEQVLLQKEVDDVRPLGVDDREHGRLLGEPRGLVARMRPDVVEAGLVLPRPLPRHRVIQRPHGHHRRAASAVAVVVVHVHEPQLAVADADGAPAVEHAPGLPAPEQRARRVWHEGEGRRVGEGVAGAQADGEVHPPEPAAVRDHAVGRLDAVRQRVAHVLEFPLAGGGGEAEELGVLAAEHGLELRDHVVPVAGGGREDANVGRVHDDLGLWEGACGRGGGGGRVEDEVAGHLLAAEEFLAEGAVDGDGPLGASLVGVEEEEEAGGGVHGLHFTEGKLRDVTAPSGKRRRAMVRSVTRGGGARERAWSAPRGSSAEEEEETRGIEPRMTHDGGGAAEEEGDPADAVSSRRGRAGWASSARWFRTNANRLRRAIFSGGRGLAGALDEALAPPPLPALCVCRSESDRKRQEIATKWGNLYVRTLFDGPDGLTGPIRRPSITAWAQ >Et_2B_020552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21323450:21326070:1 gene:Et_2B_020552 transcript:Et_2B_020552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LARMEKLVADRKEQVLDDSFCRKLAEEFNRSAGRAGSRALQAAQVQGWFLNKFPQATTKPACLPTAPEEKASEEKVLGSEVNVSISEEKALASEVNGSVSEEKPAASEERLLALDTSVSNNLDEVSPDSPKESKDKVPDLEELEFEAKSAKDSAWYDIAIFLAHRTNKSGEVEVRVRFEGFGADEDEWVNVKKSVRQRSIPLESSQCRSISEGDLVLCFREGNEEALHFDAHVVEIQRKQHDIRGCRCVFLVEYDHDSSQERVSLRRLSRRPKYS >Et_10B_003993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9013028:9017690:1 gene:Et_10B_003993 transcript:Et_10B_003993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAVVMYGLLFPFLLLGVASGTYNGDSKPSMGRRSFPEGFVFGTASSAYQVALSTTTDQFR >Et_3A_025906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33947445:33949814:1 gene:Et_3A_025906 transcript:Et_3A_025906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRCSRHRGKVKSFPKDDPSKKPHLTAFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPRGLRTLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKFDSDAGKKEIQMQLEKMKKYASIVRVIAHTQIRKMKGLKQKKAHLMEIQINGGTIAEKVDFGYNFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKAGQESHDASTEFDRTEKDITPMGGFPHYGIVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEVINLKFIDTSSKFGHGRFQTTEEKQRFFGKLKSA >Et_10B_002411.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18627546:18628364:-1 gene:Et_10B_002411 transcript:Et_10B_002411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FISSINAVFTHQYPRKSEPSSERRESPELRPFVLVISSIARSRVVPEVSNSIIHSAAVLPDRAAVEMQRGPRARLSDEHLHERGPGQRLLVGELQRAEQRRHEDLLGISPAAQEHILCFRRVRDGGEVRCLLRTGGSIGVRAARDWGLGSLSGAGGGSGVLPGNRRKGERRGRKMRARGRAPHGWRPEHRRPWRRGGGRRTFSAAGGTSRRRTGWSDEPRTKQGQNVLGQAGPVAKKPKQIISIFSSFHTKPLLFFCECLNYQISCLSKNKD >Et_3A_027381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9739018:9760132:1 gene:Et_3A_027381 transcript:Et_3A_027381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMHLLATDHSVSVVAIRQLKGLPDLSGAPRRHSQLAQLDERVGEVEVPKRPVFCVLVYMLQRRVAADEHPVGVEQNQVVEVVVVVAVVQQVAAVCRDDVAGQRCRVLVADVVLHQRVDRSGAAVLGEDAVAEESVDLAGRRGEAIAEGGAVRAAKGVRAGEDDHLLGGEALAGKVADELADLERGAREVGLGVRGRRVDAVQALRRHHEVDAAVAEVDRRVAGRERDDVGAGDGAGAGGLQVGLDRVDHVEAAEADVGAGCFLRVRI >Et_7A_052102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4629829:4636828:-1 gene:Et_7A_052102 transcript:Et_7A_052102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPGIVEVRCAGCGETLDVEHGLTEFACPDCGTAQALPPELMPRRPRRALPLPGRVAAFAATPSRVSCGGCGTVLSVPHGHGHFACPFCGAELAASPAAAVSVIAPPAAVPIIPSRPAQHSEAAALVLYFESVSWYELPAHVIVALVLGSNGRSLFVLSHSSFFLSMLSHFMEQMRAGPSSQSIHAGQILKPIHSEQKHEQRPRHYVGEESFSSFRADTGTEIPVVGRLQNGPPDPSSHREESHDETLDGSISRPGKKKYPFAAAPEPSRARKVQEEHPIHPFLASEVQGMPSNPSIHKDKAEGLPDDTAIKQSKQKTGHVIVPSSIELETMKSRVHVDEVQQAGEIPKNVGHADQAQVHLVTKATENSKKSSKYSKGNQKRKNKSIANSSNELPHLRRSKRLLKGSADLVDPEPIQKIDASLNQSQSEAPCTERTSADPDPTSPVRYELDDVDATTPDSLNHDAPQADQFRHIQMYSPETRWALPVPSLSSWHEHEMPHERFNGMNQLDRGHEEVISNPSETQNQDMDWQLAQGTCSDNNRSGYEQLKPHNKNLLEEGMYKNNGFTCSSLNDAEHPEDRALSETRHQTNLSASCSCLAASLPFPAVTTLPTTILPSSCENLPLNCISPSLLRQQTPSSQPFQETTCGDDLPGSIINPSKKRRGRAPEKLMEPRKEADRPALTPSGTEYVFSMGWIVHPPCPKVANTLSLLIKQNYPGTYLSVDSSENGQPCENVVYHWHQCPPDIKSTILDEFLKRYKWSPGQEEECRKIFDRKAVRQLFNLFCYEKQRVREELAGKKAKKSLVHRACGEMELEDDREDSEAHQGDELPDHDDPLKWKPFVPKWMKPKWWEMLCDHWAKDEVMKVSYQKRKNRYSGKRPCNNAASQSVPMHQQLGINNIEKLICDIDLPTKTPCGKGDSTDGHTKEAEGAQVVSHPLQEQVGSLKRGRYCGAIGIREKAQNESLSKSSPGSVSKLGQQPMFTKEQVQEMINQALQGLNEAWEKKFLSLEQKIPGISSAYIVPDVSKLQLHTVHLAGYWGAKVSFGVGKGKQCQLAREDTLDSVDEETDPAARDGGGNEDQYEEEQSS >Et_9A_062450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2342756:2351553:-1 gene:Et_9A_062450 transcript:Et_9A_062450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCPVLRPSAMVCTEIRPTTKPPHANSRHVERRHVRRRRRQKLLLGDQRGVVQVPHLLESARRHRLHEPRALAGARHPRLAALLHETPRQRARPVGDEERVVGEGELVGVPVEPARGPPREVRRQTRLGVPDGAHHLRHLGPRHLAGGPRRRHRVEAVAVEPASSRRKQVARVGEEADVDGAIVAVVSGAGEVVVEEEGAGAGLPGLGDADVEGGAALARGLEEPGLGLGDVGRAEVHGEVAEVQELQLRRRRRHLGRVRDEVAGPDRGHAGLRQPARVVRHRVDELPRAQPVGDRVHRDQAHHKPAARELRHLQQRVVVKYKRGGGKQEVEADDRARWRACARRDRFTHLPDGDASRYVLFGHELGNRQWPYVVAVHRLRRRTCIKSGMSRGCTSGAGSGSRSSLGMSMGWSRCFTSSSVHAADASTNHAPSPVHATRVSPPSSTKRPANGAFPSASRTVFAGAGSSPSPPSNTCSERTRWRSPATRSITDSGSVSRGVADDGATSERFVLVTGNPMLPILGLQVHFNPADLIELPIFFLAC >Et_5B_044231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21856977:21864515:1 gene:Et_5B_044231 transcript:Et_5B_044231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELHTCEERSENKLQRDSKIRKLFALFTMPQAMGNQECYRDKEIFKHKCHNSIARDNVAFLHPSDSCCKTARKVDMPCVCRTITPEEEHKINAQYVFSVSQDCNNPVPAGEKCGSECPWLFIFSNSFMFSCFLKMNTKVFIQLLVYASVFALFTMPQARGKQECYEDKEIFKQKCQLSIARGNIFFPRPSDSCCKAAQKVDMPCVCRTITPEEENKINVHYVFYVAQDCKNPVPPGAKLDCSTTTTTTVTTPPHAAAAAAAACRATAHNR >Et_7B_055137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7601295:7609485:-1 gene:Et_7B_055137 transcript:Et_7B_055137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPDAAQDAQRVVVVVAGSPVDDPDSVPPPPAPAAEGKPELPAVEPKQEAAAPGEPAPAEAKQAAGVQAMAVTVARDVEAGADPSSSNGGAAEKPSWFTPKRLLVMFCIINMLNYVDRGVIASNGVNGSQKNCSGGTCSSGSGIQGDFNLTNFQDGVLSSAFMVGLLVASPIFASLAKIHNPFRLIGVGLLVWTIATAGCGCSFDFWSITICRMLVGVGEASFISLAAPFIDDNAPPAQKTAWLAMFYMCIPTGIALGYVYGGLVGNSLHWRAAFWGESILMVPFVILGFVIKPLELKGFAHNITKEYGTVNPEVQGETNNNGQKHLMPADLEEKLPQSSFSSFGKRVMTEIRHFGKDMKELLQEKVYVINVLGYISYNFVIGAYSYWGPKAGQDIYNMASADLMFGGITIVCGIFGTLAGGFILDKIESTISNAFKLLSAATFLGAIFCFGAFCFKSLYGFIPFFSIGELLVFATQAPVNYVCLHCVKPSLRPLSMAISTVSIHIFGDVPSSPLVGLLQDKIHNWRSTALTLTSILFIAAIFWFIGIFVRSVDRFNEQSEHGLPAADRSNLRPLLDENDEARASE >Et_4B_038155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26781806:26790879:-1 gene:Et_4B_038155 transcript:Et_4B_038155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNQEDMVMRVIGVDFGPCGLFANGDCVGRCFKPGKCDECCKNHGFHHGKCQDVACFCCTE >Et_9B_064904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19699812:19700811:-1 gene:Et_9B_064904 transcript:Et_9B_064904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNKTYYEDWARSRNISISDKLMFLYRSGVYNIVEVPSRELFDACSMRNITNQYQRGPTIIELTEPGRRYYFCGVGEHCEVGQKLAIDVCAVAPPPPDEPSGRYGRPDKPRGARRLPRGRVAEIQGGELCLLQSCVRSSASSSSCKSSCTLQRRSTRSVSLLVSSRDSLGRAISHPPCRRSSAAAAPTIPRRSAEASPTRWWPNSRIHWRGNSIKARSAISEGSCTERTASELLVGERAGRRGIVGERTGGGRKRSGERKLSAHFQEG >Et_4A_035129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9837448:9840101:1 gene:Et_4A_035129 transcript:Et_4A_035129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLTKSAAAILLSHLRRRPLNPTHHLLSHGATLASLPGPVGGLTATDDATFLCRPSRLFSSSSTAAVAEVPMTSDGLTVDSIASKGWTILPEAESDWRSHAAAVAQSVKVIKKRLKWASILERAKQMSVVLERSNLWDDPVFAGRVSREHGELVGKIKSVNQFEQELIEHIEMLRLAREENDNELEMESMRALADMRRSAKEKELNALLSGENDSCSCFIEVQAGAGGTESMDFAAMVTNMYQSWAQRRGYTVTVVEEMPGEQAGIKRATIKVDGEYAFGYAKAEVGVHRLVRISPFDSGKRRHTSFAAVAVIPILGDGSTRYQIKESDLRIERFRSGGPGGQHANTTESAVRIVHIPTGITATCQNERSQHMNRASAMAVLQCRLDQLEIARQAHMNAEHTQSLNEISWGNQIRSYVLHPYRMVKDLRTNYEVSDPDSVLEGDIDDFILNFLSSSLDETDASA >Et_5B_045071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9136208:9138957:-1 gene:Et_5B_045071 transcript:Et_5B_045071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTVVLLPVWGVGHLMSMLEAGKRLLAHSGRALSLTVLVMRPPEEKYAYELAGHISREEASGLDIRFIHLPAVAPPNFLGIEDFVSRFVQLHAPHVREAISGLTCPVAALVLDFFCTTILDVSRELSVPAYVYFTSNAAMLALFLRLPTLEFEEMEEGAVRVPGLPPVPLSCLPDPVTDKKSPNYTWFVFHGRRFAEADGIIVNTAAELEQSVLAAIADGLCTPKGVRVPEVYPIGPVIPLIPTPEEKHECVRWLDTQPPASVVLLCFGSSGYFTAPQAHEVARGLERSGQRFLWVLRSPPGPGEHFATDADLDALLPEGFLSRTKGKGLVWPRWAPQPEILAHAAVGGFVTHCGWNSTLYAEQHLNAFTLVDHMGVAVAMKVDRKRNNFVEAAELERAVKTLMGDSEEGAKAREKAQEMKATCRSAVENGGSSHAALQRLTEELYKGVAMVDVQKPWC >Et_7A_051691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2339414:2350354:-1 gene:Et_7A_051691 transcript:Et_7A_051691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPIFRPGTIIGALVLQLLVVAALAGGPPQIIRPGCRESCGEVSVPYPFGIGDGCFYKGFNLTCDETQQQAKLLLGNGVEVLDISVPDGLVRISSEVILSKSPEFNSTWSGLPTIGPFRVSIIYNSFVALGCNILAQLTPLVPPLGSGNPTSTCAAMCVDNLNDEDSSICSGTGGRCRASIRQLAPSYFIQVTELLLQESATTNSPWRDGYLAVFIVEKAWFSTYEGDINLRPWEGSVLRTVPVVLEWSLDYNWTCIGSKPLNYSVDGTNHVRRQCNCSDGYHGNPYLANGCQDIDECQQSHVYPCHGTCVNLPGTYRCLPRNSIRRIPGTRNIYLIDIMNKRSLITIIAISAGFGLLFSLLGVTKITNKLKQRRAKQLRENNFKRNHGLLLQQLISSNKDIAERMKIFSVEELEQATNKFDQNRILGGGGHGTVYKGILADQRIVAIKKSKIVVQREIDEFINEVVILSQTNHRNVVKLFGCCLETEVPLLVYEFISNRTLAHHVHGRNENPLSWQDRLRIALETARAIAYLHSAASISVFHRDIKCANILLTDTLTAKVSDFGASRSISIDETGILTAIQVTHGYLDPEYYYTSRLTEKSDVYSFGVILAELLTRVKPLFPSHSSEVTSLASYFMSLLRDNRLSDILDPQIVEEGGTEDAEVVARLAEACLSLKGEERPTMRQVETTLEDQFSNYVDKKNCSKWQVMHRKQRRRRHKTAQLGERVYKIMKTTKTPMPLASIASPQAVVLVLALKLVVATAAAAVPIALAGCPEACGNITVPYPFGIGHGCFRAGFNLTCDETRNPPRLSVGHDVQVLDISLPDGTLRIHQSRAPSASSSALNSSSQLQFNGSWSAGLMGASPLAVSTRYNVFVATGCNLLASLTVNHNSSTHDAGIVSICAAMCPDDVSIHSSTGCSGVSCCQMAISQGSPSYGVQLKTLDQAYGSAYGTAFIVDGDWFSRNGDQFVASDATEAIAPTVLEWFLDVDRDSDLVFSDTAAITGWRCISENSHAGLILPKDASGRSDQSRPARCYCLDGFQGNPYIINGCQGTMVATLGFGVGLLLATAVLAGPIAQPGCPESCGDVRVPYPFGIGEGCFHDGFNLTCDGTRHPPKLFIGDGVEVLDVSLPDGTVRILSSGLQSPFAEFNGSWSAPSSSTGTFKVSAARNSFVAFGCNMVAQLVPQRTLGLLNYASICAAVCPETVGPLTISSCSGVACCRTSIAPFDGDLPSYDIQVMRLMERAADTYLPYGTAAFIVDQDWFIRNEGEMVRNLSDHNRSIPAVLEWSLDLIRDEGMFLLSPIGPGSSDFRCLSSNSFSYSTDGTYDRRRCNCSQGYQGNPYISDGCQDIDECQQPDIYPCHGTCINLPGTYRCSSKKTIRNLPGLITIIAISAGFGLLCSLLGVAKLTKKLKERRANKLRQKFFKRNHGLLLQQLISSNKDIAERLKIFSLEELEQATNKFDQNRILGGGGHGTVYKGILADQRVVAIKKSKIVVQREIDEFINEVVILSQTNHRNVVKLFGCCLETEVPLLVYEFISNGTLAHHVHGQSENPLSWQDRLRIALETARGIAYLHSAASISVLHRDIKCANILLTDTLIAKVSDFGASRSISIDETGILTAIQGTHGYLDPEYYYTSRLTEKSDVYSFGVILAELLTRVKPIFPCHSSEVTSLASYFVSQLRDNRLSNILDSQIVEEGATEEAEVVARLAEACLSLKGEERPTMRQVETTLEDVQGSKVNSKIAVTRQNAPNDQSHMGNKCGEGTRQYSLEREFIQSSEFPR >Et_9B_065217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2576492:2580622:1 gene:Et_9B_065217 transcript:Et_9B_065217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METVFQAAKFVVGLSSTVDGKPLARCSGFFVEWDSEAKVGKILTSGHVICTKYPSIHDKSGKREYASTAQVQVHLPDDTSVDAELCHYDNHYHIALFKVAVNLSADVPSLSSDPKHAQEVFLVGRDENSYLTTNYGRVLYQSPGLYDQNHCMYVNCKVDKSGAGGLVISFDGRFIGMANPTPREALIPASIIFSCIPLLHLGLKPSAIKYLEPSHIEKISSKFAIKEGFIVKEVSGRSNAEKVGIRIGDIITCWDGKTISTTVELDDMLLAICEDHLNNGKSIGSTVSVGVFHIRKGTCSNEQLPMLISADVEVIARECYAVSSAGKGPSAMVSSDDVASGQETPDDAESEESN >Et_8A_057044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20435211:20436762:-1 gene:Et_8A_057044 transcript:Et_8A_057044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISLSRQTGTCKPDISSNRIDSPSFPPSLCGGGAFMAEGGSGGGLSGSSVPVGEGHDDDEEECADLEPFFFDEAEAVADHERQMRREQEAARKQEQRVLAAKMNKAVIDAITDYDPKQGGMYYNRFSLADFRPLQHRRGVTP >Et_2B_019104.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:132880:133062:1 gene:Et_2B_019104 transcript:Et_2B_019104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALAYLVPNTLAFSTPSFHPHTRENNYHIVFASLFFSLETAGTDMQVHILDAYVSHHAM >Et_4B_038841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5210130:5215860:1 gene:Et_4B_038841 transcript:Et_4B_038841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDRAPKVADEATAAARQRPERKKRKWDQPAEDLVSAAATAAAVAGLPLMNIGALPGIALPGATANVSATFPTVVPVPYALPPQISPSVLQNAAAVVQKLSQAKLPEEIIAREIVINDADPSVRYKLTKRQTQEEIQRSTSTVIITRGKYHPPNGQPNGEKPLYLHISAGSQLKDTAERIKAVDRAASMIEEILKQGQIPETASVSFPSSRGQAVHPFSASIFLGFDADPSSNIAARIRGPNDQYINHIRNETGVTIVLRGKDSGNSGSHGEVSQQPLHLYLTSVHLKNLEAAKVLAENLLDTIAAEFGASRISSSKVYGAVPPPQQLLTGVQTSETVADAHSTLGPSVLSGASPSFASSGVAASPVAPSETLPSGAPTYSGIPPPSNMSCPSPPVNGGTFYSGYGNIYPQATPLQQVAFTLKHASSSATQVVPLTSALTSTIKVNSSSDKETDKRSQRRKFQELPVFSVPTAETQNSRQDSKFVKTGLGNFGNSGSSSIAHPSSVLLGSNGMLLSDHMDMPPHLSVSNNMAPPPSRSMPPPSSRNMLPPPPPLHRSMPPPPPKFPSSEMLSRKENMSLVSRESMAPPRSFNTKPVSPRKLCTQLPAKESSDEKPKVTPVSDTLLKLMDYGDDDDDDIDATESKPQANSGR >Et_1A_007077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30658835:30659744:-1 gene:Et_1A_007077 transcript:Et_1A_007077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAEGNGAAVSFSEEQEALVLKSWAIMKKDSATLGLRLFLKIFEIAPSAKQMFSFLRDSDVPLEKNPKLKTHAMSVFVMVRRSITLKIECHAYKVCTLVCIFVCVPTVQTCEAAAQLRKAGKVTVRDTTLKRLGATHVKYGVADGHFEASHLQPLRPSRLTFTTLHSARCRKLSRLTWVVRFALLETIKEAVPADMWCQEMNDAWTEAYNQLVAAIKLEMKPAA >Et_8A_056458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10408801:10409420:1 gene:Et_8A_056458 transcript:Et_8A_056458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RALELKGQIDDYRYDCWARTREINATLPQHQRFCNINIQPRDRKLLIMALAPRHGDASALRTRNLARIMQLQQCCRCSLGDFTDGQQPLPVYGFVAVRDDCEPLRNYIFNRAREEAFDLSPEVNAHLHSSYILDLIEQTTYKKFESRLHISTYIEDNVIIYSLCH >Et_4A_035246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14138591:14144548:-1 gene:Et_4A_035246 transcript:Et_4A_035246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVQYRLERMTDELDDLERRGLFTRAELADVVRRRRDFEFRLRRHSPLRADFLDYIAYELRLDALRNLRKRAILRATAEDDEKSISDIACTLRVLDIYRIATVRFKGDLDLWFKYLEFCRDKRHGRMKQVLAQAIRYHPKVPGLWIYAAAWEFDQNLNVAAARALMQSGLRSCPESEDMWIEYLRMELTYLNKLKARKVALGEDVKTLQKNSDDSGDWKEENKELFMSLNGEGDDPKESSTTGVALEEKEDLFWQQGLLIIQTIYHGAVEALPSSLTLRKKFLEILNSVDLTHSDELKIEVLDDLKKDFSHSEDYWDWFARLQLSDLTGSNPSNRKDVLSNKLNRSIQVYDEAVKRLPTSKMYSLYAKFWMDVLYPDREDSSALFKDAEFDASEFTSSVLKVYENAESCGCLTEDLACQYVSFCLKLERVEEAKNLAEKLCNGPLSNAANLWCLRASMEINSYATATGTSFNNENLSSLFDLFNTVLLKLSITETEGLWHMALKLFSHEKIFFEKLVKCAVLSLSSAGGSDSGASVSSAIVGWYLQRDGMKRARKMYKRFLALPRPSLKFFQYCIELEANLAVIGDRDALVNTRKLYDSAIDLYPQEREVWRNYYNLELKEASPR >Et_4B_039169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8473320:8476603:1 gene:Et_4B_039169 transcript:Et_4B_039169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVEAPVRRSSSCYFEVGRREIGSSFPRVSSRRISGSEDIVMRMIRYGELKGHDGCVNTVSFNPSGDLLVSGSDDMNIILWDWLAKTERLVYPSGHQENVFHARVMPFTDDSTIVTVAADGQVRVGQLKEGGEVTTKQIGEHDDRVHKLALEPGSPHIFYSCGEDGLVQHFDLRSDSGSKLFTCYSFSNSRRRVRLNTIAIDPRNPNYFSIGGSDEYVRLYDMRRFLSDDSRNMNQPVDTFCPKHLVRGGKVHITGIAYSYAREILVSYNDEHVYLFRNDMGLGPNPELAQPELLDELEQPQVYSGHRNFRTVKGVSFFGPNDEYVVSGSDCGNVFVWRKKGGELMRMMKGDSDVVNCIEPHPHFPFLATSGIDETVKIWTPTATKVMPLPKNAKKIIASNEKGRKVNASRAELTLSSDVIMHLLRLNRRRSELNRDHEPSAGDFTGDEDEAFYIGFGNGEGNQGENSDPRECNVS >Et_2B_022374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19525537:19531550:-1 gene:Et_2B_022374 transcript:Et_2B_022374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKPAAILLLLYLALSLDLASTADERAADIARKQVLRQERATLLALKRELTLPPSSSALADWNESNGHVCSFTGVTCDRRREHVVGLALANMSISGAIPAVIGDLARLRSLDMSNNSISGGMPPSLGNLTRLESLVVRSNRISGAVPLALGNLLRLENIDVSSNLISGAVPTDLGGLSQLRNLDMSNNNISGAIPPSIGNLTRLENLYMFGNRISGAIPAAIGNLTSLQNLDLSGNLLTGQIPAELSNLRSLGTLDLGNNQLRGSIPTSLAELRGMYYLSLEHNYLSGLIPAEIFLNCTILGVADLGDNDLSGEIPRAASGTLADTFAVLNLYSNKLTGTLPRWLANCTILLMLDVENNSLADELPTSIISGKKYLKYLHLSNNRFWSHDGNSNLEPFFAALSNCSWIQEVEAGSVGMGGRLPSRLGSMLPPNMWHLNLEMNSIEGPIPADIGDVINMTLMNLSSNMLNGTIPASLCRLPGLQQLSLSNNTLTGEIPQCIGNATRLGELDLSGNALSGSIPSSMGSLTQLSYLSLQMNRLSGEIPASLGRCASLMRLDLSSNQLSGEIPEQVAGIVKMTLDLSRNQLGGELPRNLRNMQQVQTIDLSWNNFSGEIFAGIADCVELTVLDLSHNALAGVLPSSIGGLQSLESLDISNNSLTGEIPVSLTKCTSLKRLNLSYNDFSGVVPTTGALARFGFLSFLGNRRLCGPVVRRACGRPHRRTLYQSRRFLVILCVSASGVAFVLTILCAVSVRKIRERLAAVREDMFRGRRSGSASPVMKYKFPRITYRELVEATDEFSADRLVGTGSYGRVYRGTLRDGTMVAVKVLQLQSGNSTKSFNRECQVLKRIRHRNLMRIVTACSLPDFKALVLPFMANGSLERCLYAGPPAELSLVQRVNICSDIAEGMSYLHHHSPVKVIHCDLKPSNVLINDDMTALVSDFGISRLVMSVGGVANTADVGASTVNMLCGSIGYIPPASDHFTCSLSTKEYGYGSNPTTKGDVYSFGVLVLEMMTRRKPTDDMFEAGLSLHKWVKNHHHGRADAVIDPALARMVQDQTPEIRRMSDVAISELLELGILCTQEHASERPTMMDAADDLDRLKRYMGGETTATFASSLGFSSQSQSLLLVCRSAQLRPSQAQVFPSPLHLQLPTNPGVSSSVLESIFG >Et_1B_011690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25013608:25020898:1 gene:Et_1B_011690 transcript:Et_1B_011690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPHDSGEWRRDDDPCGSPSGLDGDDEEKRHAPPPPPAKESPGDALWRWRGQQLSDVMLSWSVDQILDRDMLRDKVSKIPETFNSMEQYITSFFGPLLEEVRGDMCSSMEDISGAPYASVQSVTSMRKGKGCYEIKLDKWRGMSNHGSVIDNYKPKAADVLLISETRPTNQSDILRQSKSCVIVWVSKVHHGNTLTVKASGWMETGNHGDERLQRGVNKYDKMYAEGLDESWDILDQEAKAPKSSNSSVQKELPKVGKCGDPQKQNGNKTCDSSRRWSFHALYLTNMITYDRVWVVLRRGLTMDSQIIYSMLARNNYALGHCKYCSINVRDEIKGDLCNFKLNDSQLDAVASCVSAAECRHRSSVGLVWGPPGTGKTTTVAVMLQMLLMKEQRTLACAPTNMAVLQVASRLLELIGDFSLSRCYSLGDIVLFGNKDRLHIGKELSKIYLDDRVQKLLGCFKGWKHCVDSLVKFLINCVSRYRMSVDIQHASSDACSLSFKKYFISKVSTLAKDLSACIDTLYDHLPRTTMGKNFERMTLAKILLDKLQQFLSGDDVSDELLFTVFTSSEVPDSPSHDDLIDDTTDDLHDYNTYLSLDNPFDIKSHCIKTLMGLSKMRLPCEDNDLSIKDLCLKQAKLIFCTASGSYELFRLQSVMPLSILVIDEAAQLKECESLVPLLHPGIEHVLLIGDENQLSALVKSKIAKDADFGRSLYQRLCTLGYSKHLLGVQYRMHPCISKFPNANFYDNRILDGPIVKQKDYVRRYLPGPIYGAYSFIHIDNDMERLDNLGQSSKNMVEVAVAANIVERLAKECSEKRQRISVGVISPYTAQVIALQDNLGRKFEKHEFLSVTVKSIDGFQGGEEDIILISTVRSNKDGKVGFLSDTGRINVALTRAKYCLWILGNGTTLLASNSIWAELVRDSKRRGCFFDALKEKDLAEAVMLVTEHEQRKQRGQRNGCTNHANGASSWPSRRDVVAFRNSPPRRGNQPPADGSARSITRSYDRGPNACQANKYNFSAGKEERGSHIQQQKPFHNGDYNYQSHALPANQYGFNNYRTSSDQQGSLEGLRRWPKQHPGRDICGRLQSGPLCSSYQRSDGRHTLFRSVQREESLSHASVLGTWQPPGGYCNGESQIRTIDPEFRNMTAYPCRHDSFQRGFDSYGVANSEFGRAKGERQFSNHLRRAPDGRFHGRGTGKPSWRERYVYRRTEQPHHQTQNFRSNSGPHRLQAPERIGMKRDWCEAESSDSPQQDNAKMRLESAGQPLMEELHGSSGVYPKLPTAMQGVMKTDGCEAETSVSAHHDNSSEARLEGSGRGGNKLLPSRNIRYTRTTQVSSSSGWKF >Et_10B_003041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15820977:15828763:1 gene:Et_10B_003041 transcript:Et_10B_003041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVDSSETSVTDHEEERWKGFLLLPPSSSSSSMRVSWTQPFVPWQPSSVRYIRLAASLSAEEETRFTELGVVEESGKTNHDQNQNGVRTTVSELLYKSTRKRLAASLSAEEERSFTELGVMRGTTGSLTGADVAKKSCNLPCNVGEALSYRLLFVAYQTLLSLFAVNTILRRMEEPELTNYGKHSGLLGLCSCDILCPSADDEACTTGYDKAFQFNIRAFSPELLSFVALCRVTTTAFNSALK >Et_1A_005320.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:40248217:40249632:-1 gene:Et_1A_005320 transcript:Et_1A_005320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSFPPATAPPLRPIPGSYGPPLIGPLRDRLDYFWLQGPEEFFRRRAATHRSTVFRANIPPTFPLFVGTDPRVVAVVDAAAFTALFDPALVDKRDVLIGPYNPGAGFTGGTRVGVFLDTEEPEHQRTKAFSIHLLHRAARVWAAEFRAGVCAMLDAVEADLQKQPTSPAAFIVELQRCLFRFLCKALAGADTAAEPFLDKNGFWILDVWLALQLVPTQQVGLFQPLEELLLHSFPLPSFPVAPLYNRLYRFVEKHGADAVALGETQYGLSKKDAINNILFVLGFNAFGGFSVFLPFLIAEVGRPGDPTGIRPRLRDEVRRVLDGDLPNSMPLVRSTVYEVLRMRPPVPLQFGRARKDFVLRSHDAAFAVAQGELLCGYQPLAMRDPQVFDRADDFVPDRFLGDKGQPLLDYLYWSNGPQTGQPDPGNKQCAAKDAVVDTACMLIAELFRRYDDFEVQGVSFTKLHKRKTS >Et_10B_004461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8196491:8198925:1 gene:Et_10B_004461 transcript:Et_10B_004461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCAGSCFTKTRLFGSFSGGSIWPSSRAPASPSQPTTSSRSVPVVQVQPSESTADDSSSSLNSSKPPPIPVQAQAQTAPPAPIVISEPPARPTSQPPPQNDPAEASPSSAPPPPQSTQQQQQPPPATKPKKQIKRISSAGLQVESVLRRKTENLKDRYSLGRKLGQGQFGTTYLCVCKATGREHACKSIAKRKLVTDEDVEDVRREIQIMHHLAGHPNIISIVGAYEDAVAVHVVMELCAGGELFDRIVRKGHYTERQAAALARVIVAVVESCHSLGVMHRDLKPENFLFVGNEEDAPLKTIDFGLSMFFRPGEAFTDVVGSPYYVAPEVLKKNYGQEADVWSAGVIIYILLCGVPPFWAETEQGIFDQVLHGSLDFESDPWPSVSDNAKDLLRRVLVRDPKKRLTAHQVLCHPWLQMIASAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAENLSEEEIAGLKEMFKMMDTDNSGQINFEELKAGLHRVGANMKEPEIHQLMQAADIDNSGTIDYGEFIAATLHLNKVERDDHLFAAFQYFDKDGSGYITADELQQACDEFGIEDVRLEDMIGEVDTDNDGRIDYNEFVAMMQKSTSGFGKQGHRKNLSIGLRDALKIQS >Et_6B_048327.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:12671721:12671891:-1 gene:Et_6B_048327 transcript:Et_6B_048327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGISFSSSSVTEGRRRKTQERTRICLILPTEMLAAIHWAPVLRLFAKCLPIQSSI >Et_6A_047799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1702040:1704471:-1 gene:Et_6A_047799 transcript:Et_6A_047799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDSNNFDGPAVGEVAVEEQLYEEAFAIFKKFRSIERAEEFAFRVEEDAVWSQVAKAQLCEGLVSEAIESFIRADDTAHFLDVICAAEEANVQKAREPKVDGELIFAYAKIDRLSDNEEFILMPNVANLQNVSDRLYDEELSEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVFFACVDAEEFRLAQICGLNIIVQVDDLEEAGQLHLVKPYMVPVQSNVSTLNEALNELYVEEEDYKRLRESVDMHDNFDQTGLAQKLEKHELLAVRRIAAYIYKKAGRWKQSIALPKKDNMYKDCMETYLQSSDLGMTNCALSALFSDPFSWSPDEDAHFPLSAEGSFGHRTALDSEH >Et_10A_000375.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:6378453:6378608:1 gene:Et_10A_000375 transcript:Et_10A_000375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSQEPAKLSNADDQMFLQMCMRRKRLTGLLDKNLFLQLLGFCTLISMIS >Et_6B_050112.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6561972:6562664:-1 gene:Et_6B_050112 transcript:Et_6B_050112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTSTHPQNSLIRETKLTVRNEAHGYGFLGAAFLLAAAIYLHGYDADGNRDAAAIAIATTTTTHLHFFMHDDYTGPRPTAARVVSGRSLLLPPSPSGDDDNATAAPSLLLLTTTSPRQFGDIVALNNALTDGPRGDSPRVGTAQGFAVRVSEGGIVSHLTLHLVLDAGEHRGSSVTANGRIDMDAKVRESVVVGGTGRSRFARGYMLTRNYDYDLARGGVVEIDVYLQH >Et_5A_040861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13677626:13680698:-1 gene:Et_5A_040861 transcript:Et_5A_040861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGLGAAEGGRMRDYGGGVTVSVVVTCLVAASCGLIFGYDIGVSGECSSSFILHTYINVKTRKKSAKSDAYCRYDNQLLTAFSSSMYLAAMLSSLVASTVTRRVGRKASMLIGGALFLAGSGINAGAVNIAMLIIGRMLLGFGVGFTAQSAPMFLAETSPTRWRGGFTMAYHFFIVIGTLAASIVNYFTNRIPDWGWRVSLGFAALPAAVIVVGALFISDTPSSLVLRGDPNKARMSLQRIRGVGTDIEVEFNDIVCAVEEARRNDEGVVKRLCSKSYRHYLMMMVAIPVFFDFTGVIVMSVFTPVLFRIVGFTSQKAVLGSVITNLVGLFAVTVSSIIVDRCGRRILFLVGGIGMMLLQVAVAWVLADHLGTHQGMIMPRNYAVGVLVLMCLYIFCFHVSLGPLRWLVVGEIYPVDIRSTGQAMTLCIALPFTFAQTQVFLTLLCAMKYGIFLFYAGWITIMTIFIVSFLPETKGVPMELMWSAWERHWFWRRFVIRDVKQEVQLNHM >Et_8A_056518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11271363:11274111:-1 gene:Et_8A_056518 transcript:Et_8A_056518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDLNGPRKKFLYTTSLLYKSAQAQLKVGYYRETCYEAEDIVRQEVASVLSKMPKLAGSLLRLHFHDCFVRGCDGSILLDPTDDMTNVEKHAKTSVTLRGFEVIDNIKEKLEEACPGIVSCADILAIVARDAVNLSGGPSWHVQTGRIDGRISDASETKDLPPPNSDFAQLKAAFSEKNLTTKDLAVFDRLHTGGNHGSSNLELNRAYMSILQSKCSANPNVTVQMAPKSSPSRFDTSYYSYVASRRGLFLSDAVLLADDFTRSYVMKHATGCFNEEFFSDFGDAMVNMGSIESVTTQGEVRRKCSRVNHYY >Et_7B_055610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16934167:16934941:1 gene:Et_7B_055610 transcript:Et_7B_055610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLRKACKSNLYSTVIISFLTNFGGNKYNLDLAGHSRSKVGPDVKYCQSRNILVLLSIGGGTERHSLSSKADAKAVADDLWDIYLGGRSNYRQFGNAVLDGIDFDIEIWSSKHYDDLVWYLNDIQQDGKEEDRMLGDAFRKGLFDRVHLQFHSIPVCSYQAGRQRGRVRPHMEQVDHQLSWELRVRWASSCGGRFVEWVREAGEACVEGVACRAGVMELRRDHAVEQVLMLHTGHSRAVKGIAS >Et_4A_033526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24061672:24065110:-1 gene:Et_4A_033526 transcript:Et_4A_033526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESMVSSFWGPVTSTTELCEENYAHSSYIAEFFNTISNAPCILLAFIGLVNAFRQGFEKRFSVLHISNMILAIGSMIFHATLQHVLQQSDETPMVWEILLYLYVLYSPDWHYRSTMPTFLFLYGAAFAVVHFFARFQVVFKLHYVGLCLLCIPRMYKYYIQTKDLAAKRLAKLWVLTLTLGTLCWLFDRVACKKMSHWYVNPQGHAWWHVLMGLNSYYANTFLMFCRAQQRGWEPRITHLLGFLPYVKVQKPQKRE >Et_10A_002300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7741785:7749903:-1 gene:Et_10A_002300 transcript:Et_10A_002300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCFASDWLEAKDLQPNGRNQASGSATMDSLESNDLTQTEIAKRAALSSIKSVEETFNAKKCLSRFDSFKRVQIIDDFSDEARSNTKTDTVSVLKKFFGTEVPQDLDHLLKLMNARTKGVLYSIHSSLVPHMNKGWGFIQLYEHIKRKELQRLEVSLGLAAQLVRLTAGPDKLAHHLARAGVSGAGLVNALVAVLASHARPSIRAPRIRRFAVELAIALLRTPSSPPTNNFARMMADAGMEGELRRVAETTSELECFHVFSGSAGVGRHFGLATLVDTALDLMGAAAAAVGGCRRRRRGPRVSDRARTGTERNVPCRSLLREGGQQEQFVERVYII >Et_8B_058915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1111455:1118336:1 gene:Et_8B_058915 transcript:Et_8B_058915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYMPAAFSRYSTTLSIATTGCRMLQFMKPRNRADPYTIPKAAMGQIEFKLRRTTVRPVSTVFRTVLMTMAAARASKPVVGSSINIMEGLATSSTAIVSLFRCSADRPVAPGMPTMAFLKSPPVFLPANTSSNVVFPAPVIPIRAVSTPGILVARDDYLIREALFSILKDLTKLITGSQHLGREVNRLSHCHLRMVKIILANISTQGNRHGASERRIVISFFRQEKNKLPFRASDKHALKKVLSPVLSSLTPPDLDRLEMLTQGWTNL >Et_5B_044219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21590335:21598481:-1 gene:Et_5B_044219 transcript:Et_5B_044219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLLKRNLQTTAKALMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAAAYLEAQQIKAREHQQQMQMQQLQLMQRHAQLQRTNPSHASLNGPLNALNSDGILGPSTASVLAAKMYEERLKHPHSMDSEGSQLLDASRMALLKSAATNHAGQLVPGTPGSVSTTLQQIQARNQQTMDIKSEGNMGVPQRSLPMDPSSLYGQGIIQPKPGLGGAGLNQGPSGLPLKGWPLTGIDQLRPSLGAQMQKPFLSAQSQFQLMSPQQQQQFLAQAQAQGNLSNSSNYGDMDPRRLTALARGGLNGKDGQPAGTDGCISSPMQSSSPKVRPDQEYLMKNNRKRKQPTSSGPANSTGTGNTVGPSANSPPSTHTPGDGHGMAGNVRHVPKNLMMYGADGTGLASSSNQMDDLEHFGDVGSLDDNVESFLSNDDGDARDIFAALKRSPAEPNPTASKGFTFSEVNCWRTSNSKIVCCHFSSDGKILASAGHEKKAVLWNMENLQTQYLPEEHALIITDVRFRPNSNQLATSSFDRTIKLWNAADPGFSLHTFTGHGYQVTSLDFHPKKTDLLCSCDGNGEIRYWNVTQPTCMRAIKGGTAQVRFQPNTGQFLAAAAESVVSVFDIETHSKKYTLQGHNTDVQSVCWDNSGEYLASVSQDLVKVWSVSSGECIHELSSNGNKFHSCVFHPSYTNLLVIGGYQSLELWNMVKNQSMTVQAHEGLIAALAQSPVTGMVASASHDNSVVKICEEGGQG >Et_2A_017943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8107398:8110014:1 gene:Et_2A_017943 transcript:Et_2A_017943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEESGRPLPKFGEWDVNDPASADGFTVIFNKARDEKKGGNGQDTDSPCKDTRTERSESYAAKPNSPPSPFSGPFVSMELLHCCQ >Et_7B_056003.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:9038123:9038611:-1 gene:Et_7B_056003 transcript:Et_7B_056003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPSKRVDAALRRAPAFAAACDAAFDRCLADAQYAFPGVRLYQLADAATYLHTELRASLPMVRRWVPTPPPRVRVDSALRAARLDGEAELTRAQFREFSAELFREAVLAGAAEAALVRAPAAAAGIVGVGVVARAAPAVVGRVVAIYAAGVATAVYLSLG >Et_4A_035971.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9827086:9828405:1 gene:Et_4A_035971 transcript:Et_4A_035971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTPRGRSFNRRGRCKCAGGGGDRAACCFNPLKSLFRCPGRRSKHRQRTPSRVRDAPALDIGVAQQQQQQGGQEEEEPSFFVYAMPNQSNGGFGNGGGDGAGNNKRNKKKKHRKPCVPSFGSCFRRKNKKDRKARAAAAATDRRLPALTPASSMLTHPPGSPVPAEKSQPVTPSSMTQPPSPAPTENTSVVYSPAPPARQSATSRGQLPATPRPGKQSTDSARSAPFAPPPQTQQPAESVRSRFAPQQMQPQSTDSPFTPEMNQSMNSALSPFEQQMQQQSAADASAWSPFAPQQQQQPKQQVEGLEIVEVATGERLSTHELGLIEMVAGSSTDNSSAESSVKSSLDYVNESPPPPPPQVKQMVVEREVVVVKKAREQPKLWLNGKTMESRARERFTEPLKAAEAEELWAHDIACSRVHASMLAETVSFFELMPLIGI >Et_1A_006797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27757891:27761014:1 gene:Et_1A_006797 transcript:Et_1A_006797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSGGKKLPFFGSSSSFSSKRSRSARRLPALPKPRVAAALPAAASPAGAAAAGETNQPPPPLAPEASAAAGGLVSGKVGKKKAGARTWMRLDRWGASEIVELDKASIIRRAGLPPRDLRILGPVFSHSSNILAREKAMVINLELIRAIVTAEEVLLLDPLTQEVLPFVDQLRQILPLRSLVGRNGEPASDGHGGKQDGSPGQVPCLNEATGAEHELPFEFQVLEVALEVVCSTLDLSVADLERHATPVLDELTKNVSTRNLERVRNLKTDLTRLLAHMAHLYLTRKQVQNQHVEALMSSAASNSIVPATTSMSRLNSSFRRSVSIATSMHLDNDVEDLEMLLEAYFMQLDGIRNRILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFGIAANTFIAGAFAMNIPSSLYNTDNSLFWPFVGGTSSGCFVIFIVLLGYAWWKKLLGP >Et_9B_065464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5391628:5396901:1 gene:Et_9B_065464 transcript:Et_9B_065464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGFQQSLINVVNFVVLTAYILSLVLSACTRQFRISTSELPLLCAILSPCCALLGVALSCSGAWATSSASSRAELIARGFVWVVISASLVVRPTRFSRVLAMAWWVVLAAMTTAYSVAKIVRRSPMGVVDVASWVASLMLLLCAIGVGRGVAVGGGGGDVSDETRPLLSSPAGGGQRRPAAFGKAGFLSRLTFAWMDSLLRLGYSKPLDSGDIPPLDADDSAEAASRTFLNEWRRRTTAHGTTTPTSNNLVFWVLAGCYKRELLLTALYTLLRTLSFAASPLILYRFVSYSQQRDRSLAAGVALVGVLVATKLAESLSQRHWFFGARRLGMRMRSAVMAAVFDKQLRLSGGSRLRHPAGEVASYIAVDAYRLGEFPFWLHLAWCMPAQLALAVALLFWAAGAGAASAGLAPLAVCGVLNVPLAKLLQRYQSRFMEAQDARQRATAEALAAMKVVKLQSWEEQFRATLQKLRDAEVRWLAETQVKKAYGSALFWMSPTVISAVIFAGAAALRSAPLDAGVVFTVLAALRVVSEPMRMLPEVLSVMIQVKVSLDRIGVFLNEEEFQHDAVERDKLLGGMMTPASGISVTVRHGVFSWDPSKAIATLKGVSVTARWGEKVAVCGPVGAGKSSLLCAMLGEIPRMSGSVAVAGSVAYVAQTSWIQSGTVRDNVLFGKPMNNEEYEKAIRCCALDKDIENFPHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAATLFNDCVMGALENKTVILVTHQVEFLSKVDKILVMENGEITQEGTYQEILESGTAFDQLVNAHRDSKVALVPEDNSRIGKEADAFQYQKPITPQNSDTEESASNLPSVQLTEEEKRELGEVGLKPYKDYVSISKGWFLLVLIIAAQCAFVFLQYLSTYWLAVAVQSHQFRVAVVVGVYAMMAIGSCLFAYVRSLLAAHFGLKASREFFSGFMDSVFKAPMLFFDSTPTGRIMTRASSDLSILDFDIPYTMTFVISGTIEVAATIVIMIMVTWQVVLVAVPVLIVLLYIQRYYITSARELVRINGTTKAPVMNHAAESMLGVITIRAFEASKRFIQTNLKLIDTDAALFFYTNGALEWVLLRVEALQILVIVTSSILLLSLPEGAVAPGFLGLCLSYALTLSSAQVLLTRFYSNLENYIISVERIKQFMHLPVEPPAMISVRRPPPSWPSKGRIDLENLRVKYRPNAPTVLRGITCTFAAGTRIGVVGRTGSGKTTLLSALFRLIDPSSGRILIDDVDICTIGLKDLRMKLSIIPQEPTLFRGSVRSNIDPLGLHTDEDIWEALDKCQLKKTISALPGLLESPVSDDGENWSAGQRQLFCLARVLLRRNKILVLDEATASIDSATDAILQRVIKEEFSGCTVITIAHRVPTVTDSDMVMVLSYGKMIEYDRPSKLMENKDSAFCKLVDEYWSNYS >Et_3A_026009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34522075:34527547:-1 gene:Et_3A_026009 transcript:Et_3A_026009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLHLVSGHQLRLWWRRLGRRGAAFAVALLAAALLFTLSRHADVPTEASTPSYGHRLHTLVDLTIIHDAKDKGAVCLDGTPPAYHFQPGFGDGSQNWLLHLEGGSWCRSFKSCAQRKQTNLGSSAHMDRRAEFVGILSDDPAQNPDFYNWNKVKVKYCDGASFSGNVDNEVKNGTSFFFRGQRIWEAVMDELLSKGLARAKQAFLTGCSAGGLSTYIHCDDFRALLPKTPTVKCLADGGFFLDVEDISGRRYMRGFYNGVAQLQDVRKKFPHCSSDMEPAQCFFPREVAKGITTPMFILNPAYDVWQVEHVLSPEGSDPQNLWGDCRVDITKCNSKQLEVLQGFRKELLDAISELKNKRDWGMFIDSCFIHCQSMNALTWHSPSATRINNKTAAEAVGDWFFGRREVKEIDCEYPCNPTCYHVKKVTNQECIGEGFPQLAVLADELERRRPHHGLRRRRVQQRGARGVAPLERHRVGDPPRQVSGEAVGSPGEKLPDALRPSAVADTMAPPSISSRRTQFRPALPRRQVPVVGGVVDRIKHQNVCTGVEEPKHAVQLAVHGRQRING >Et_4A_035879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7801210:7803336:1 gene:Et_4A_035879 transcript:Et_4A_035879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPFSLDLWDPFEGFFGSGSSSGSLIPSFPRTSSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEEGNILQISGERNKEQEEKTDTWHRVERSSGKFLRRFRLPENAKTEQIKAAMENGVLTVTVPKEEVKKPEVKSIQISGLAADACIRKHSVGMT >Et_7B_055400.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:67046:67504:1 gene:Et_7B_055400 transcript:Et_7B_055400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHLDFISWSGNTQLVQDKGNILSQQGGKLDRIEKKLGMASFGEAPGGDAGSGEKIFRTKCAQCHTVERGGAHKQGPNLHGLFGRQSGTTPGYAYSTANKNMAVVWEEGTLYDYLLNPKKYIPGTKMVFPGLKKPKERTDLIAYLKESTAA >Et_3B_028754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18890133:18892843:1 gene:Et_3B_028754 transcript:Et_3B_028754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDGEAVASKEKGGGGGVERTSLDGVRDKNVMQLKKLNTALFPVRYNDKYYQDAIASKDFSKLAYFSDICVGAIACRLEKKEGGAVRVYIMTLGVLAPYRGLGIGTKLLNHVFDLCAKQNIAEIYLHVQTNNDDAISFYKKFGFEITETIHNYYKNITPPDCYVVTKFIGQAATKK >Et_7A_052284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6456620:6458072:-1 gene:Et_7A_052284 transcript:Et_7A_052284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVPGTGPGCLGSPAQATKSSLPGFQGAPRRPGTSKPSWIVRTESNVRRERPKRPEPPCNICKGTGRIDCRNCFGRGRTNHADLVMLPKGEWPKWCRICGGSGLDYCHRCHGTGEYREPMGFHFTVGRK >Et_4B_039320.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:37478:37930:1 gene:Et_4B_039320 transcript:Et_4B_039320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVHHGGGARSGGGAVGEHYMRGLSGDDSYQEETSAAAAAAKAVAAGTAALSLLVLSGLALTGTVLALIVATPLLVLFSPVLVPAAFTVALLTAGFVSSGGMGAAAVAVLAWMYRYLQQQHHPQSDDKAHDVKDWAQHRLDQARAAAHH >Et_9A_062902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6449276:6450289:-1 gene:Et_9A_062902 transcript:Et_9A_062902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGLNPEAFLNPCSSFSSSPFMADYAPSFPGGAAVDAAFCAELEDHRLFDFEYSPASIFAGAGGGDDHNEKKMKYGDDEKRPRMNGRIGFRTRSEVEILDDGFKWRKYGKKAVKNSPNPRNYYRCSSEGCGVKKRVERDRDDPRYVITTYDGVHNHASPAAVIQYGGFYSPPHSGSPPATSYSSGSLLF >Et_5B_044138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20567034:20571922:-1 gene:Et_5B_044138 transcript:Et_5B_044138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSLDKYLYDKEKYNLSWGQRLQIIKGVASGLLYLHEEWEKVVLHRDIKPSNVLLDHEMNGRLSDFCLARLYDHGSDSQTTHIVGTIGYLAPELARTSKPTPLTDVFSFGIFVLEVTCGRKPIDQTSQDGQLMLVDWVFDRWRKGVLTDAVDIKLQSAYNVDEARLILKLGLLCLHPFTNLRPSMREVMHFLNGDTPIPDQLTPADMSFSMLSLMQNKDLNPLTLTNSWSMTSNSILSDLTGALHTPQMKFFLPCLLSLGLHLATLSTGDSPILYLGFSGTNISTDETATITPSGLLELTNGMVNRKGHAFYPTPLHFRKSHDGKVQSFSVTFVFGIRSSYLSMTQHCLAFVIAPSKNFSDALANQYLGLTNTRKNRNPENHFFAVELDTVVTIEFNDIDANHVGININGLNSIQSYTAGYYDDKGGSFRNLSLHSGESMQVWVDYTGAAKQINVTMAPVEMEKPGRPLISTTYDLSSVLQEPAYIGFSSSTGAVDSRHYVLGWSFSTNSPAPKIDITKIPKLPPKGPKTRSKLLEIILPIATAASIIVVGATVILLFRQRLRYAELKEDWEIEFRPHRFSFKELYHATEGFQNKNLLGAGGFGKVYKGILPSSKVEVAVKRVSHESRQGMKEFIAEVVSIGRIRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDTYLYSDEDKPALNWAQRFWIIKGIASGLLYLHEKWDKVVTHRDIKASNVLRDSEMNGRLGDFGLARLYDHGTDLQTTHVVGTIGYLAPELVSSGKASPLTDVFAFGTFLLETTCGQRPISNNTGNNQEVLVDWVLDNWRKGSLIETVDTRLQGDYNVDEACLVLKLGLLCSHPFTNVRPNMQQVMQYLDYDLPLPELTHADMSFSVLSLMQDERFDPNTLSPSIDTRPGISGGR >Et_3A_026118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4493204:4495203:1 gene:Et_3A_026118 transcript:Et_3A_026118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSSGVLLKLLDGMKTGAAKPVGEHRTAVLQVTDIVPAELDEKDLFPKHGQFYVKVSDASHSIYATLPLAQADLVLSNKLHLGQFVHVDRLDPASPVPVIVGAKPLPGRHPLVVGTPDPAAKAKTAAPRRGSWGPEQNTSIKPTTLNFDADRTPVKERPALSTPVRERVAAATPVRDRAGAATPVRERSFAASPSLSTASVRKSSSVLPRLTKSKSFVADRDNHPRIPRSPFPTEKSSMSCTTSRATRRMVKEEEPSSPSSDDEVCSSATSSKKRSSTAARVPVPGKLSVLGKEAIEQREQAQKAALEALRNASATDNVVRIYKIFSELSKMARPDSPANCFDSFLSFHQEAVQAVTDIESIQAATSMAAAVASDEQPEDVPPVLQEIAQNRATTRRRGLGSGVSKSVSFAPGTLDPRQDDGGGKGRSSSASRKCLAMDKISEDGGDEKRSSSSALSSAPTTGHSALGSSLKLAKQIQAEAGSWFIEFLETALETGLKKKSKASAMGDGRKQSSCGCPQSLMLRVINWVEMEQSGGDGSSRKPGHPRAAAIARKLRIKAKNP >Et_2A_018048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9389770:9390123:-1 gene:Et_2A_018048 transcript:Et_2A_018048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMKAKAEDTASSAKAGVEKAKAAAGEKVEKATTRDPMKKRDAEERKEDRKLKIESDERMAKYDHAPERNVTHTAGSGLGTD >Et_1A_009461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6024657:6032036:1 gene:Et_1A_009461 transcript:Et_1A_009461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVHGAGQESGGGEKKKKADQEAAKVPFMKLFSFADQWDYVLMAVGSLGACAHGASVPVFFIFFGKLINIIGLAYLFPTTVSGRVAKYSLDFVYLGIVILFSSWTEVACWMHTGERQAAKMRLAYLRAMLDQDIAVFDTEASTGEVINAITSDILVVQDAISEKVGNFMHYISRFLAGFAIGFSRVWQISLVTLAIVPLIAIAGGLYAYVTIGLMARVRKSYVKAGEIAEEVIGNVRTVQAFVGEEKAVRTYREALLKTYKYGKRGGLAKGLGLGSMHSVLFLSWALLVWFTGIVVHKRISNGGESFTTMLNVVIAGLSLGQAAPNISTFLRARTAAYPIFQMIERKSVNKASSKTGRTLAAVDGHIQFRNVCFSYPSRPDVVILDRFTLDFPAGKVVALVGGSGSGKSTVVSLIERFYEPLSGAILLDGHDVRELDVKWLRRQVGLVNQEPALFATSIRENILYGKGDATAEEIEHAARLSEAATFVNHLPERYETQVGERGIQLSGGQKQRIAISRAILKNPSVLLLDEATSALDAESEKSVQEALDRVMVGRTTVVIAHRLSTIRNADTIAVVDGGRIVETGTHEQLMANPSSAYSSLIQLQEAAQLQHKPSFSDSASITRPLSFKYSRELSGRTSMGASFRSDKDSISRYGAVDAHDEGRKQGKPVSMKKLYSMVRPDWFFGVSGTISAFVAGSQMPLFALGVTQALVSYYMGWETTKREVRKIALLFCGGAVLTLAFHVVEHLSFGVMGERLTLRVRERMFAAILRNEIAWFDDTSNTSAMLSSRLEADATLVRTIVVDRSTILLQNVGMIVTSLVIAFILNWRITLVVLATYPLMVSGHISEKMFMKGYGGNLGKSYLKANMLAAEAVSNIRTVAAFCAEEKVIKLYADELKEPSKRSFRRGQGAGLFYGVSQFFLFSSYALALWYGSYLMSKELASFKSVMKSFMVLIVTALAMGETLAMAPDIIKGNQMVSSVFEILDRKTDVRIDSGDDIKKVEGLIELRGVEFRYPSRPEVTVFKGLDLLMKAGRSMALVGMSGSGKSTVLSLILRFYDPIAGKVLIEGKDVKKLKLKSLRKHIGLVQQEPALFATTIYDNILYGKDGATEAEVVEAAKLANAHSFISSLPEGYRTKVGERGVQLSGGQKQRIAIARAIVKDPAILLLDEATSALDVESERVVQQALDRVMKNRTTVMVAHRLSTIKNADVISVLQDGKIIEQGAHQQLIENKNGSYHKLVSLQQRQQQELQRQHNT >Et_1B_012662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34227768:34235966:1 gene:Et_1B_012662 transcript:Et_1B_012662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSTKLSGGPTCGDKAPSVNWPHHANAVQSSSSKDDFLSTSFLFSLPTQRPNPEANREGMLSLRSSACRIQGSERLQVPWIEKAWRSLCNTQVACKSYLSPGLSAKVKDCERGQARSFGGGSNYINKVVTAPTNRILSQENLHQSTGRGCPESNSNHQPAGIDSRTRTYQSNNLIESDINKATNQCTFTRTDAQIHQTAPSADNMCTGNKLDAMDDDDDILASIDVDRIVMEHYQATNTPRGSASYNMSTPPANKCNSSGLEENLPQELSELCSHQCKLAFCPQAMDHLQEMKDKLIAVSNELLDGDGTLSPQYSEELRQKRVHLNKQIHLLMEHMVSSAQDEERQRSHSMASTTSIFGHHPPMTPQSTLVMDNDRFQSQVYNRNGPGDSNLFYSPAPCSYMDNLNTPLNSVSRDYAPKVIDVNYTEGSKDKSKFGNHSFRPNQREIINATMSGNDVFVLMPTGGGKSLTYQLPALISEGITLVVCPLVSLIQDQIMHLSQANIPATYLSANMDWSQQQEILRDLVICHYKLLYVTPEKIARSDTLLRLLENVYSRGHLSRIVIDEAHCVSQWGHDFRPDYKNLGLLKQKFPKTPVLALTATATARVKEDVVQALGLENCIVFRQSFNRPNLRYFLRPKTKKCLEDIDSFIRTNHFKECGIIYCLSRMDCEKVAEKLRECGHMVAHYHGSLDPMDRARVQRQWSKDKINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGKPSSCLLYYQYSDYIRVRHMLTQGVAEQSATPRGGYSSSYEQALKTHKENLLRMVSYCENDVDCRRLLQLIHFGEMFDPSLCAKTCDNCLKELRWVERDVTDIARQLVELVTMTGQSCSSCHILEVFRGSLSQSVKKQRHENLALHGAGKQLPKGDAARIMRYLVTEGILVEDIKKSDNIYGSVSSVLKANHLKVNDLHSGKQRIVLKFPIPENGPKMGKLDESSFPQINKSVQQQSEVDENLASMLFDALVFLRERIMDECSEGCQPYHIFKTDTLREMSIRVPRTKEELLEINGVGKVKVKKYGDRVLATIEDFLSKHPNPRRNSSGSGSGSNEHTEAAKKRRGCTSGAVSSNGDDFEDSTVQSKKRAAKTRSTPKQVASDAASMVHGDRCMDADLDGFETLDDELCSVQKPVASGRVLPKWKPAKAKLAKGGVPASNLFQEFGYVK >Et_9A_063361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21488139:21490013:1 gene:Et_9A_063361 transcript:Et_9A_063361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVRCLALLLVLAPAVSGEDVVGTRWAVLIAGSNGYHNYRHQARTALCFISYLHTKADVCHAYQILKKGGLKDENIIVFMYDDIANNTENPRPGVIINHPNGTDVYAGVPKDYTGEDVNVHNFLAVLLGDKSNLTGGSGKVVNSTKDDHVFVYYTDHGGPGVLSMPGEQEYLYAKDLVDTLEKKHAAGTYKSMVLYVEACESGSMFEGLLPSDINVYAMTASNANESSWAYYCPDGYQGPRPPPEFTTCLGDLYSVAWMENSDAHNLRNESVEQQYESVRDRTSASNGSSPYTHGSHVMEYGDLAQNNQSLYSFIGTNPANDNATGSIESSSFVVSGAGGGRVDQRVADLVYFWHKYSSAGEDAERREARKRLMQVMARRSQIDSSVELIGSLLFGSDQGANVLTAVRAAGQPVADDWDCLKSLVRTYEGHCGPLGQYGIKHMRAFANICNAGVDAEDVAKVAAQACAGVHSGTQY >Et_6A_047465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6127276:6129012:-1 gene:Et_6A_047465 transcript:Et_6A_047465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEVQVIESSFVAPSEPTPRKALWLSPLDRVMASRGHTPTVYLYRSSGDAAAAACDVLDDVASSSSRLKASLAKALVAFYPLAGRLGTDDGGRAQIDCTGEGALFVVARVDRRADDFNDLRPSPELRRLFVPRIEPPSIMTAVQVTFFKCGGVALGMANHHVVVDAVSAFHFIQTWCAFSREKGAVSVELPCHDHTLLRARSPPSVHPDALSVLCPSVTFSETSSGGPTASETFPISHDQLATLKRLCGGGGKISTFCAVSALVWQCTCVARRLSPDVEMRLSFPANFRRKTRPPLPDRYFGNAFIWLGATAAARDVASETLASVAGRVAGAIRKLDDELVRSAMDHLEITAAAKTTEESQQRPLKGSMPETELRITSWLGMPVYDADFGWGRPRAMSRAESVRGGFVYLMDAGTEDEGGTYALRVLMCMEAANIREFERLLYANI >Et_4B_039301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9790313:9798428:1 gene:Et_4B_039301 transcript:Et_4B_039301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPIILRILLVLAVAAAASAAAHREAFRRDPGHPQWHHGAFHDVEDSVRADVRRMLHTRAEVPFQVPLEVNVVLIGFNGDGGYRYPLDGHKLEEFLKTSFPFHRPSCFETGEPIDIEHHIMYNVIAAGQPELISLEKSLKESMQPAGTARESEYGREFPLFEVEATTVEPIFERLYSFIFDMEPGYSANEMERPVPVAIFVVNFDKVRMDPRNKEVDLDSLLYGTIGGLTEQELKKQEADYIYRYRYNGGGATQVWLSSGRFVVVDLSAGPCTYGKIETEEGSVSYRSLPRLSQIIFPRGLAAPSARSTQDIFIGQLGGLISTTIEHVIAPDIRFETVDMTVRLLVPIIVLQNHNRYNILQAGHNYSIDVQAIEREVKKMVHPGQEVIIISGSHALHQHEQLAIAVSKAMRSHSLHETKADGRFHVRTKTYLDGAILKEEMERSADVLSAGLLEVANPSLSSRYFLKQHWNDEQDGVEDSIKHKPLWESYMPRNKKEKRGTGKKKHGSLYRTYGTRVIPVFVLSLADVDAELLMEEESLVWTSKDVVIVLEHNNDKIPLSYVSETTRQFAVPSLAQRHILAGLASAVGGLSAPYERASHIHERPIVNWLLAAGCHPFGPFSNTSQISQILQDVALRTTIYARVDAALRKIRDTSQSVQSFASEHLKTPLGELVKGNKNKSSTELWVEKFYKKVTTLPEPFPHGLVERLEEYLDRLEGQLVDLSSLLYDHRLKDAYQNSSDILQSTIFTQQYVERVLYAERDKMKCCSIEYSHPKQSSQAFVYGGILLAGFLVYSLVIFFSSPPVTRSDRADK >Et_7A_052058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4223892:4225279:1 gene:Et_7A_052058 transcript:Et_7A_052058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLFAGCFIILLLLLDVTHHVESRHHDDRRRGRGDDDISPDHRRRGRDDDEPVYKLFVFGDAAADNGNYPNPGLNQGSRAWYYPYGMSDVDNDNLPSGRFSNNMVQPDFLGKILGYDESPPPYADYKPGRRSNRIDPSGMNFANASAYAWYGAPKIREQVDQFRSLITDGAITKRDLEDSVALIAFNGLDYGLITDAASDFEVHIANMMMMMMIRSFASTVTDEMVRMVAQLQDLGVSKVLVNTVPPLGCTPWTTSRFTNYQHCDQRANSYSDAHNRYLADKLGNKDDVMLLDVNRIMNDLLQSSKFQELMKPCCAAKDLNGYCGQYTADHQQQFTVCRDPDQYLYWDFIHPTHAGWKAIMQKLQSPIEDFLGI >Et_1A_008326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6452460:6459655:-1 gene:Et_1A_008326 transcript:Et_1A_008326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPLPAAVAVWWEHVNGSPAWQDGIFYALAVLYGLIAASSFIQVTRIQYRVPEYGWTTQKVFQFLNFVVNGARCSIFAFRRQVQQVKPPIFQHVILDLPGLAFFTTYAMLALFWAEILYQARARTTDDLRPGFIAINCVVYALQGLLWLCLWWNPNHYMLVITKLFIAGLSFIAALGFLLYGGRLFLTLKHFPIESKGRQQKMREVGRLASICFSCFLTRCVMMCFVAFNKEADLDVLDHPILNFIYYLLVEILPSTLVLFILRRIPSRLRLAQYQPLSSGSIAGSHARIDRVSKIFFNPDRL >Et_4B_039009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6976834:6980169:-1 gene:Et_4B_039009 transcript:Et_4B_039009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLAAVLASLALGGALLVLFFGKWWQPLADADKRVKELADAVEALLRLRAELLGHDAVAPASDPVRAWLRRVQEAQDEVASIRARHDAGGQLYVVRLVHYLFLSTGPVAGQAEQQLKSVRALREEGAALLEAALATPQAPPPLLRQPEDLDNLPSETGLVRPHLNEALRFLGEPDSALGVWGPGGVGKTTVLKLVREVCGRVARFDHVLLVAASRDCTVAKLQREVVGVLGLRDAPTEQAQAAGILSFLRDKSFLLLLDGVWERLDLERVGIPQPLGVVASKVRKVVVASRSEAVCADMGCRKKIKMDCLDEEDAWSLFQASVGDDVVHRHPQISTHARQVAAECRGLPLSLVTVGRAMSNKHTVEEWADVLDVLKAAQLSSTLGSDKGTHALVKFCYDNLESDLARECFLTSVLWPEDHNISKDELVQCWIGLGLLPELADMDEALRFGHSVIAVLEAARLLEQGDNHRYNMFPSDTHVRVHDVVRDAALRFAPGKWLVRAGAGLREPPREEALWRDARRVSLMHNSIEDAPAKVVGSALLDAQPASLMLQCNRALPRRMLQAIQHFTKLTYLDLEDTGITDSFPMEICCLVGLEYLNLSKNRILALPMELGNLSQLTYFYLRDNYYIQITIPPGLIARLGKLRVLEVFTASIVSVADHYVAPVIDDLESSSGAPTVASLGIWLDKIRDVERLARLSPRVRARSLHLHKLDGARALPLLSAQHAPELGGVQEGLRELVVYSSDVEELVADAHAPRLEVIKFGFLTKLRVMAWSPCAASSLREVALGACHSLTHLTWLQHLPCLETLNLSGCNGLTRLMGGPEDGGSAAEEVVAFPRLRLLALLGLPKLEALRGGEGECAFPELRRVQMRGCPRLRRFQMQPVRGQSQVRIECDKHWWDALKWANEDVKSCFVTVVF >Et_8A_056700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15393197:15403632:-1 gene:Et_8A_056700 transcript:Et_8A_056700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAISLQPVNGPDILLQTRSWFPVSRALAAVSAFRLARLHLARGKQSAAAASASLDAIGDDPLAASSGQLVVGVESQYRVVYRLVNSIYVLGVTTASDHATPAVHAFAVADAVNQAVSVVVAACRGVDVTPEKVHRKYPEVYLALDLVLHGVGSVRLSQILATIHGDNLARMVNSSPDAEAKARGADSWPVVEHLAHDRHAARDGFSGASFELPQETLAAGDEFSSNIAPATTVATGDEAPPEEAPPVEKDPFAASDMINNKPEEALVGGFKKNKETALVVADPAAALAGLEVTTLPPAEATKPTFIGVEGFEGDYGGIEFGNEEASLAEAFEGLNAPFGGGLDASEFVTTKKKDHKDRTITGLELLVTSGKPPNATAGTPLENLLVTKKTEMTAPELFIAEEINAEFQGSVLSRVGLKGTVFLRTLPLNKSAGKETEFSFRLEGTSGMKRAALQTNVLSNLQNGLFHVRSTSKEEPIPLMKYSFLPKHSPLPLRMRLVKRHIGTLLSVMIQYASNPMLPQPLSNVTFIVKLPVDPTLLNVSPKAVLNRAEREIRWHIPDIPLKGPAGRLRARMPVDQDSKDGELEVVGMVKFAYQGAFTLSGIKLSPATDGISQFNVAAHTFSSGSYLTEQLNVQLQIFIINQSGVWTKYSVVAT >Et_5A_040792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12661958:12665420:-1 gene:Et_5A_040792 transcript:Et_5A_040792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLSQIEHNLPMPPHLLSRPLVDAIKAELERLFLDKVVPNLGLCVSVYDIRSIEGGSIPVGEGCSTYTVSFRLLMFKPFNGEVLVGRISGYDDKGLQVSLDFFSDICIPGHLMQGGTVRCPDGRWMWKTDDGSELYLDMDDEIRFLVSNIKYPPIPVEQKEEDPPFAPMQIIGSIKGDGLGLLAWWVADEEEGDEEADEEAEQ >Et_5A_042440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10932186:10933812:1 gene:Et_5A_042440 transcript:Et_5A_042440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADVSDAGDHLVLRDDERGTPRVLRLLSGLVEDNARRFAAAAASGPAESALVRAFRGGAGARAPTISVLEFLQRVHQFISLESVRHEIRLEGTSYVLAGIYLTRFMRSPAAQEAGLLVEPTTAHRLVAVALFLGAKFGGPDDTLPKRWTFVFEVSSDGAIRAREIANLEERFLRAVDDRLFVDGEEFDWFCGVLEQAPPVPSGSCCGSKRKAEVVEAEGKDERRCVRACLPPPAVRHETSDVVE >Et_2A_016258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22596173:22596811:-1 gene:Et_2A_016258 transcript:Et_2A_016258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KPRKFPTRLFTCPPHRAQHLPKKTNRAPPTIRAPAPPPIRAKPSSFDGPHRRSHPSRGSCPIQRFSTRLPPLYYVLHPSTSNPSTPTASKKSPNRSSFEGARDLCGGGEDVGAREGRQGAGQGRRQASQEGAPRQHPGHHQAGDPASGAPWRRQAHLRAHLRGDPRRAQDLPREHRHRHGRRLRAQAPGPHPLRLRRL >Et_9A_062701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3435663:3445479:1 gene:Et_9A_062701 transcript:Et_9A_062701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KVRNKMAIEKIFIRNPADILRKSDLFRWSILLRSKDKEQVEKKIMELKTWFIKQDEERRNIRFRAIQGREGRILVLRGGDGWTRARLDNLAARGTGRGEEGKKEKSSPGPAPAGVMVVEDTDALDCGVCFLPLKPPIYQNAVHGCTARLTYYDQPDHFKECRHAPFCCPGQDCGFVGSMEVLLYHFTLTHGWPCITKIRFGEKCSVRLYDGFNFLLTDHIADCQGGRQYLFLMNVARMPLGRAITVLSISQKPLKRLKCVLSYSQRHDDRHERHKSLGSHLLQSDTIVECTDLSNGLPILDDCFQFIVPDYFLGDDDKGNAITIKDGWGSWEPSPDELPVASGWPGGGAWLESPARAPTESAAVGGVRVRDTSVIDCGICWLPLKPPIFQEVGNATKCLIWGIYNLSDICCTSTKHPGFEQLFLRRERISSLHSPHPAETNLCSVTVLICGLVLLQIQLHRLLCIFPCLLVPENCEVGHVVCAACCVKLRETGKCHVCSIPIDEYKRCYAMEHVVESVDVQCPNAAYGCGYKATYYDQRNHRQMCAHAPCYCPGKACGFIGSKAALWDHFSGAHRWPCSEGRVSKGFIQDGLEAMYKCMNFITLHDGLNFLLADTASDGRCATTTANSQYLFLLNVARQPLGRAISILCIDPHATSGAANGQGPSTKEVKCDLSYTGEGDMHPRGCDQVIEHYQKSRFRVACTDLSNGLSSLDGRFQFLVPHSVAADIDRDTIEVTACIAIE >Et_6B_049013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16659991:16664316:-1 gene:Et_6B_049013 transcript:Et_6B_049013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IEPVVSGNLPPGFDSSTCRSVYVGNIHLQVTETLLHEVFQSIGPVEGCKLIRKEKSSFGFVDYYDRRSATLAIVSLNGRQLFGQPIKVNWAYTSTQREDTSAHFNIFVGDLCPEVTDAALFAFFSGYSTCSDARVMWDQKTGRSRGFGFVSFRNQQDAQNAINDLNGKWLGNRQIRCNWATKGANAGEEKQNVDSKVDLTNSSSEAGKENGSEDGPENNPQFTTVYVGNLPHEANSNDVHRFFHSLGAGSIEEVRVTRDKGFGFVRYSTHEEAALAIQMGNGQLIGGRQIKCSWGSKPTPPGTASAPLPPPAPAPFPTGMSAADLLAYQRTLALSKMAAANPALMGQHALKPAGLGMDAGASQAIYDGGFQGANAAAQQQLMYY >Et_2A_016883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29022346:29025956:-1 gene:Et_2A_016883 transcript:Et_2A_016883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADEQSPPTRKREREEDELADGGSAEKRPREEGSEGASLLGLTNYEDDEEEEAARGHSNGHRVEEEEEDDEEEDARRAPERRPRQVELRRDCPYLDTVNRQVLDFDFEKFCSISLSNLNVYACLVCGKYFQGRGLKSHAYTHSLEAGHHVFINLQTEKAYCLPDGYEINDPSLEDIRHVLNPRFTREQVLYLDKNKQWSRALDGSNYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHSKSPLVPLFNILKKFDGETVTEVVRPSIARMRYRVTRLPKYLILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPKPKENDKLRSKYDLIANIVHDGKPGEGCYRVFVQRKSEEAWYEMQDLHVTETLPQMVALSEAYMQIYEQHE >Et_10A_002058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20941216:20945308:-1 gene:Et_10A_002058 transcript:Et_10A_002058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRHLPPVLLLLVFLLSLSFRRSLFLSRNPSQSSGDQLLRRLAAIDVGGAQLVSEAAALLANASLSSFPSLGNHHRLLYLRLPFHNPSAPGPAKPRAVSRLRVPFAGIPSDASLLAAFRASLRGFLLARRTRWGTIAGVMADLPGLLGRRQRFPTCAVVGNSGILLGSGRGAQIDAHDFVVRLNNARVAGFAADVGAKTSLSFVNSNILHLCAVRSAVSVAGCGCHPYGRAVPMAMYVCQPAHLLDAVICNATASTPDAAFPLVVTDARLDALCACIAKYYSLRRFVAATGAPASNWTRGHDERFFHYSSGLQAVVMALGVCDEVSLFGFGKAAGAKHHYHTNQKKELDLHDYEAEYDFYRDLQERPEAVPFLDEAPEFKVPPVKLYCKKY >Et_6B_048600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11225215:11227221:-1 gene:Et_6B_048600 transcript:Et_6B_048600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLDNLPCRMLSLPRAAGFHRTAWCSSQIVEDRGGPLTLASLEVQSKVEYGKKEKMARTGGPRSSSRMSSVNLKPKVYSLNVRPTKSALPRSDGIKKTLKVDEALFSATSFEELGLPPLLVDRLNKEGLTAPTEVQSAAIPIIAQKHDVVIQSYTSSGKTLAYLLPILSEMGPLKQAMEQGNFEKRSGVEEVIVAPSRELGMQIVREVEKILGPNDMRLVQQLVGGANRSRQEEALKKNKPIIVVGTPGRISEISEAVDQLLSFIYREDMHRILEHVGRKSGGSSRDILGPLARRSERQTILVSATIPFSVIRAARSWGHDRVLVRAKSVVPLDSVTVPRPVLSQNDANSSSPSNSVNQAAVGSLPPSLEHYYCRSKAQHKVDTLGLVRLALNRPGTTSFQPELSGPF >Et_9A_063145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11964125:11967320:-1 gene:Et_9A_063145 transcript:Et_9A_063145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGAQHDWVDNFYMNPLTRARSQQSAKRAQSPPPLAPPVFDQPSSRHKLAPPESWSDTHQAITTMVHPAFPVILRCLTMLCLFSLAVAADNSSTISGQIRLDCGASTVIGYDTDNRAWDGDNGSKFAPSLKGVSATASYQDPRVLSAVPYMTARIFTSNYTYFFPVNPGRMFVRLYFHPSTYGRYVPANAYFGVTASNLILLDNFNASQATSTLNVVSIVCEYSVNVTTGRLDLTFAPSTYQNDSYAFVNGIEIIPTLDLFTTPKATLAFGLYPNPLLIDPATGFQTMYRINIGGPYISPGYDVDFYRTWNDDSPYIYDYGAGYGFTFDKDENVTITYTPNVPNYIAPVDVYATARSMGTNATFNLITNLTWLLPVDAGFYYLVRLHFCEIQYPMTKINQRSFFIYINNQTAQEEMDVLVASGGIGRTVFRDYVTVTTGSGKMDLVVALHPDTLTRAEYHDAILNGLEIFKLQSGDYSFAPLTTNVEQHESLRTSNGAAREAIGGAIGGFVVLIIAFISVCIICQRKKKVRLEHGPTNNKRSTLNGCNSLPSNLCCRFTFKEIQTATGNFDETFLLGKGGFGNVYCGKIDRGIKVAIKRGNPLSQQGIQEFRTEIQTLSLLRHRHLVSLIGYCEENNEMILVYDYMANGTLREHLYHTKKSPLSWKQRLEICIGAARGLHYLHDGAKQTIIHRDVKTANILLDDNMVAKVSDFGLSKASLDIDDTHVSTAVKGTFGYLDPEYFRRRQLSQKSDVYSFGVVLFEVLCARPVIKSELPDEQVSLRDWALSCQKKGVLNEIIDPHLQGKITPECLRVFGETAEQCVADRSLDRPSMGDVVQNLQVALQLQQSTMDTTSNAMAVSLTNNLVASENLSTDSTMSVAGQGIVFSDVARTEGR >Et_9A_060914.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16811570:16816012:1 gene:Et_9A_060914 transcript:Et_9A_060914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALVGWLVCPVIKIVVDKARCCASDRIKWLSGGVPETLDKLGNTLVQLGAVASAVEKRTSAGTSADLRSWLQQLKDAVHDADDVLDDFDDSAPQPGYIATAGKRIFGADDALNRLKAVVEKLEDIYANSADKLMQVAELEAPRSGDLSGHHSPPGRATSSVLHREEAVLVLGRDKELRDMVSWLVGPSDEEAWSASAPIAAIMGHGGMGKTTLARLLSQDQTVVSTFDLMIWVQLSGIEDELELTKQILQSAGVVVPHGMSSFDWLQKKLMEIVSSQKFLLVFDNVWNKENMEEHDYREMWSKVLAPLSHGKTESKIVVTTRQRIVARLLNASKKVWLDGLPADDTWSLFKRCAFGEEDIEKQHPELRDIGREIAQKLKGSPLVVKAVGQMLGGSRSVTHWRKVLKMDSFDKVSSTLKLCYHSLPDHLQPCFAICSIFPKNFRFKKHKLVKIWMALNFIQADNRNTRLEDVGSEYFDQLEDRSFFHKQKQGRRRYYYIHDLMHDLAENVSRVDCMRVEGVNKEIPKTVRHLSVSGEDDMMQLKSRCELKRLRTLIILSNPSSSLNQLPGDLFTELKGLRVLSLEGCSIVNLSDRIGHLKHLRYLALCKSITRLPQTVTKLYRLQTLRSPKGSRLEGIPKDIANLKCLRHLDLDTSIIRGIGKLVNLQGSIEFHVKNERGHTLEDLNGMSDLRKELHIKNLEVITSRKEASQAGLNKKENLKMLKLEWNSTDRSVTSVATEVLDGLEPCQHIKKLHIRRYPGNRSPNWLNRSLKESDFYLRYLHLINCRKWEVMPPLGQLPWLKVLHIKEMCSVKQISQEFYGTDQIAFPCLEELQFDDMPNWVEWTQEERNIEVLPKLGKLKISDCPQLIKVPPLPQSIRMVSVKTSGFASQLKLTLTSSSKLHRFALDTCSIIVLTSGLLHQVQVEAIVSLTLRNCQDAKFENIQALTSLRRLQIFNSDINDEQFGNCLRGLLALTSLEIVNCSNITSLPQAQSPDCLTKFYDLHIQHCSEFSSLRALPSFMTLKNLLIENCPNVTAESFPAIFNVNSSLRELKIINCAQLESLPSAFPSSLQVLHLIGCKPALMKQLQLQDGPEWHKVAFIPIKQIH >Et_9A_061851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17158981:17160717:1 gene:Et_9A_061851 transcript:Et_9A_061851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRVAAAAAAQEQGQELQMPPGFRFHPTDEELVMHYLCRRCAGLPISVPIIAEIDLYKYDPWQLPRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPVGTPRTLAIKKALVFYAGKAPKGDKTNWIMHEYRLADVDRSARKNKNNNNSLRVRSIPASDDARVSFLLPTELSSSTIKFYSFDTDIFFKKNRHVYMYHHNKPFTSETLGKEPDLDDWVLCRIYNKKGAHEKPAGGEHGKETSYGEHADNARSPPEQKPPLLPPGGPGAGTGYAPPPFPELAAYYEVRPSDSMPRAHADSSASAHAAATAATSPEVQSQPKIAEWERTFASAAPGVNPAGSMLGAATAGRNAGDPLLNDILTYWGNKPF >Et_4A_032320.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29794731:29794931:1 gene:Et_4A_032320 transcript:Et_4A_032320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYTHCPQTVRMYYKPPATTTAPSSSCGGEKAASGGGADARTTKQVQQAAVAAKAAQMILYGRAA >Et_7A_052547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9101046:9103470:-1 gene:Et_7A_052547 transcript:Et_7A_052547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAACAPAGGMLNFPELLGGAAGGGGGGEGFVDPQLWLACAGSMCTVPPVGAAVYYFPQGHAEQAGGAAVDLSAARVPPLLACRVAAVRFMADPQSDEVFAKMRLVPLRPGEPVADVGEAAAAAMGAAGAGEQEAPKPASFAKTLTQSDANNGGGFSVPRFCAETIFPELDYRAEPPVQNVFARDVHGVEWKFRHIYRGTPRRHLLTTGWSNFVNQKKLLAGDSIVFLRGQDGQVHVGLRRAKRGHGGGGDDSVSGWDPYRGLVRGNATSSSSSSSGKVPAEDVLAAARLAAAGQPFEVVHYPRASSPEFCVRAEAVRAAMQVHWCPGMRFKMAFETEDSSRISWFMGTVAGAQPADPARWPQSPWRLLQVTWDEPELLQNVKRVCPWLVELVSSMPNLHLPSFSPPRKKPRIPPYTDFPALDGQLFDPASFPLLHHDRNKHHHPGHPGGGFVPFFPFPDGSAAAGIQGARQAQFAPSFSDLHISNLQQQQHGLLFSSGVRQLLPADHPHRAPRISTDLTIGGGGTPARHDVVASSSQLSSGGKKAASDDVKPPPPPGIVLFGRTILTEEQIKSTTTTNSSSDGRSSSKSDSDADKAPNTSSGSGSGVIQGSPTASWRLQWSSADNGSQYMSSPELGLEPGQCKVFVESDAVGRNLDLAALGSFEELYARLSHMFGIEDADLRSHVLYRTNSGEVKHAGDEPFSAFVKSARRITILTDAGSDNIGSSE >Et_1B_012437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3244333:3246153:-1 gene:Et_1B_012437 transcript:Et_1B_012437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAERTESGTTLGKRQRSRGLPRTASMVTVPSAAKQGRQEGVADVPSSSSLPGASVGMGSGGGGDAPRGYFSGGYFAGAGTAAFLMVCGLCNRRLGPGCDTFIYRGEVAFCSQECREQQIEYDERMEQTCSLTSIKEAPSVSGASGSDQSGSGGETVAAA >Et_2A_016093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2130559:2137131:1 gene:Et_2A_016093 transcript:Et_2A_016093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPRCRLRRTLMSMASTAASQALFLLVLVVSATSGGVQAQPIVPAIVSFGDSTIDVGNNNYLAGAVFKADYVPYGVNFRRHEATGRFSDGKIVTDITADTLGFDRYAPPYLSPQASGKNLLIGANFASAASSYYDDTAAMYDAITLTQQLKYYKEYQSKLAAVAGRAKARAILSDALYVVSTGTGDFLQNYYHNASLSRRYNVDRYCDLLVGIFSNFANELYKLGARRIGVTSMPPLGCLPASIRLYGAKGRGCVARLNRDAETFNRKLNATVRALKTRHADLKIAIFDIYTPLRALAEAPAAQGFVDAKGTCCRTGTEKTRVYLCNPTTAGTCRNATSYVFFDGVHPSEAANVVIAQSMVDAGIELGKDTGNEGIAPPILQQHTIDHKNGFLLHGINMK >Et_3A_025574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30883321:30902498:-1 gene:Et_3A_025574 transcript:Et_3A_025574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKVERKEVEVDDDGRVRTGTVWTATTHAVTAVIGSGVLALPWSVAQMGWVLGPIALVGCAYITYYTAVLLSDCYRTPDPVHGKRNYTYMDVVRSCLGPRSVVVCGVAQYAILWGTMVGYTITTATSIMAVVRANCHHHYDYKGHQATCGSSGTMYMVLFGVVEIVLSQFPSLDKLTLISVVAAVMSCTYSFVGLFLSAAKLASNHAAHGTLLGVKIAAGVSASTKTWHSLQALGNIAFAYTYSMLLIEIQDTVKAPPSENVTMKRASLYGIGVTTIFYVSLGCVGYAAFGNSAPGNVLTGFDEPFWLVDLANVAVVIHLVGAYQYAVRLGGRGRAARFTMCKLVLRTAFVAVTTVVSLMLPFFNAVLGLLGAIAFWPLTVYFPVTMYMAQAKVPRGSRKWVALQALNVGALVVSLLAAVGSVADMVQRLGHVTLFQTQLMDKSAATADDVERGDYEHERTGTVWTATAHIVTAVIGSGVLALAWSVAQLGWVAGPLALAGFACVTYYTSTLLANAYRAPHPVTGARNRTYMDAVRSYLSPREVFMCGIAQYVNLWGTMVGYTITATISMVAIRQSDCFHRNGRDAPCDTSGTVLMLAFSVVQVVLSQFPGLEHITWLSIVAAIMSFAYSFIGLGLSVGHWVSHGGGLGGSVHGAEAASSAKKLWNDTLRSSPPENKTMKKAAAYGIGATTIFYISVGCAGYAAFGSNAPGNILTAAGLGPFWLVDVANMCLILHLIGAYQVYAQPIFASVERYAASRWPEAKFINSAYTVNIPLIQRGSVTVAPSKIVLRIVIVVATTVVAMMIPFFNAVLGLLGAFSFWPLTVYFPISMHIAQEKINKKGTKWYLLQGLSMVCLMISVAVGIGSVTDIVDSLKGSTPFHIDKTKWILKVFGGQNIFYRISPEIMLWERFSEFRVKREATVSGMSPVKELSARKNKSQGIHLKSCCSKP >Et_5A_040656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10920285:10923752:1 gene:Et_5A_040656 transcript:Et_5A_040656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSTPSFDLSTGAEPTLGPRPAPTPPPPLAPAAAHQPPVSEAAARRLREAEQRLREAIEELHRHQGGGEAKDGEERHGEWGCGHEGESCAAHAAGNLCQSFLLSYGVRVGIGILLRAFKLARRKSYASLLDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCFLRRFRRKETPYNAILAGSVAGLAILALDDSSRRRTLSLYLLARLAQCAYNSAKSKNKFHFWGSHWRHGDALLFSLASAQVMYAFVMRPESLPKSYQDFILKTGPVAEPIYKAVRECCRGGPLDLTSLSAYLANKKNSDLINLTNNPSIIPCSMIHPDRTSCLAHNVNVVSSTFKKTFPLYFSLSFVPFVVLRLQKFLESPAATCWRALVGAVRSTTFLSAFVTLFQTAICLHRKVAIKDHKLVYWFAGLASGLSILLEKKVRRAELALYVLPRAGDSLWNILINRHLLPNIKNAEVALFCLCMGGIMYFLEYEPDTMAPFLRGLIRRFLASKISNPSPPPNRNASYSYLQTLNALEQSRTQPGPENGMATSEKYNLESIPGL >Et_6A_045963.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:13892003:13892095:1 gene:Et_6A_045963 transcript:Et_6A_045963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDGRRLLKVLAFQVFAHHGWIFKNRLCH >Et_1A_005347.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:7733458:7733784:1 gene:Et_1A_005347 transcript:Et_1A_005347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EVRLGQSADGGYTDGGRLPGQSGALDSTAAAVRVQKQQSEDISFTMTKLAREDNTPAHNLAHQAMATDPSVTCHFTCSHLAHQQRCPTREAFQLVSWGEITPLHVTCR >Et_8B_059102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1425588:1429588:1 gene:Et_8B_059102 transcript:Et_8B_059102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLNGKTKSFSTARNSNSENRRILSVPVARLSHCHLPILLSRAIISGLFLKLPLVHDAIRSLSLGPVPSLSLSLLSLLRRCGYLNLDNYSLNIALSAAARLPSVVVGAQLHALSLKFGLASDTFVLNSLINMYSSCSYPDTARLVLDSAPEGACDVVSWNTIIAGYLRDGMPNRALQAFGQMAKEKVHLDDVTLLNALVACARTGSMKTGKLCHAFISVNGIGINCYMGSSLVSMYAKCGLIGDARKVFDRLHERNVVCWTSMISGYTQLGKFKEAVELFRDMQIAGVKADDATIATVVSSCAQMGALDLGRYVHAYCDFHGLGKELSVKNSLIDMYSKCGDVKKAHDIFCGLNKRDVFSWTAMIMGFATNGHCIAALDLFAQMEGEGNILPNEVTFLGVLTSCSHGGLVEQGYHHFRRMSVIYNIAPRIEHYGCMVDLLGRAKLLAEAEQFIKEMTMAPDVIAQVVVEFLEVAVSCIVFLKGFYPPRAFERRRYMNVVVQKAVHPQLASYIHSATSGLLPFIQKGLVERVVVIFYDKEHVPIEKFVFKLAVNQSYGSKLEEANLEFALRAFLIKLTVAEPVTKSLPSDGSWEITAYFRSLPTEGEGDAQLWIPTDTKMWMQPPQITPIKSVTCDPLKMQLYLEHPSHTEPKNSEA >Et_4B_038742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4575762:4581615:-1 gene:Et_4B_038742 transcript:Et_4B_038742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPEPLKMSAIMLCTCSGDRSKFEDLPRSPESLATRDFSANGSSKIPSRETTPDDNQVNEVESDLRETLSLNYEEARALLGRLEHQRGNFDAALQVLQGIDIRNLRPRMTSAIAESIKPRTPPSRRKTSQVNGMQMLMSMHSVSLLLEAVLLKAKSLEGLGRLTDAAEECKIIIDIVESAWPCGVPDGASEDCKLIDIFHSALEYLPKLWMRSGCFDQAITAYRRALARSWNLDSQRSANLQKDLAVTLLYCGTEVKFPQEFGQKRNLVTPGNNIEEAILLLLILTRKLALQEIKWDPDLVNHLMYALSLSGHYEVLASHLEMLLPGTYTRSERWYILALCYSAGGMDDSALNIIRNGFGVLERKGKPHIPSLLLGAKLCCKNPKHASEGIKYANKAIKSFRSRDRHFLSIANHFLGVCYGPFSRSSTSHADKLRLQDDALRLLQDAATMAKNNPEIIYSLAWENAMQRKLNAAVECATECLEMVMGGSISAWKLLILVLSAQQNLQEAEAVADIAIDEAEKDDQLDILWLKAQIQASRGQFKTAVESTRVLLAAIQTKKEVWKSTTCEKVKSLWKLEMDAWLDLASIYTKLGAWHDSNICLDKARSIDFFHPKCWHFRGLQLEAQSLHQEALMAFSFSLSINPDYVPSMVCMAGILRKLGGNSLSIARTFLRNALRLDPTSHRAWMDLGLVLKSQGSLLEAADCFQAAYELRELTPIQDFSEQLPIMLQ >Et_8A_056322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22655866:22657083:1 gene:Et_8A_056322 transcript:Et_8A_056322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGYHAVDSFRFQHHEENSRNKVTNSNFFSASAIKRPRTIVASKNKVDGALESLESVVANLAEFVILLGGCEQMSRRPYDAYLYIDSFMFGRHIEKQKLFSFLLQYNSPGSSPVLPIIGRQAVGKKTLVAHVCSDNFLRFMDSEIPVSGRVLVVVEFISDVDEKDWKKFHAFVSRISRGSKVIVISKLEGILAFGSADPMEHPRLVPIAEELAKELRSEGSLVSANALADVLRSDKNAQIWLCILNRSRRMVEKNLSVYGKKPKVCFEQGHQVDITDFALQPSSPIRIVPSTSSNSAVKELPKVTLGELLAKPSARPNGEFNLLVWESRLPPYTSFAHFVPQSAQDTREGTALSGRKRCRLTS >Et_4A_033150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19116432:19120032:-1 gene:Et_4A_033150 transcript:Et_4A_033150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHAAAGDPAVTSPTASNAESRALLSRSSFISIITDDDEALKERAFEPAEKVIVSVTGDPDADYERLYASGGGAPPLSWRKLWLFTGPGFLMSIAFVDPGNIEGDLQAGATAGGSLLWLLFWSTAMGLLVQLLAARVGVATGKHLAELCRDEYPDWARRALWLMAEVSLVSADIQEVIGSAIAIKILSNGFLPLWAGVIITALDCFIFLSLENYGVRKLEAVFAVMITTMAFTFAWMFVETKPSGKDLIIGILIPKLSSRTIKQAVGLVGSVITPHNVFLHSALVLSRKIDPEKEYEVREALRYYSIESTMALVLPFMINLSVTTVFVKGFYGTKEGGNIGLENAGKYLQEKFGGVYFPILYIWGVGLLASGTSSTITGTYAGQFIMGGFLNWRLKKWIRALITRSFAIVPTIIVSLYFNASDSALDVLNEWLNVVQSVQIPFSLIPLIAMVSNEQVMGMYKICPRMKTATWIVASVPMIINSYMLLDFLSSETGGVLANSVLCAAVIAYTFFILYLMFRGTEFPNRLATPVHKNSFTTRKA >Et_8A_058469.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8574169:8575644:-1 gene:Et_8A_058469 transcript:Et_8A_058469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWPPRPVLVLVLLLALLCSHIALCSSATDSGKPKAKLSGGRKALLAAASVDDDGDDGEEKTPVVVKPVKKAAAATGGKIKKKVVAADAKNQTKLAKAKKPESAAKGTTKKAAAGKAGADGAIAKAKVPKPDKAAAGKAAKGADSAAKPAKVSKTAAAAAKAAKPAKKEKSEAAASGAKARKPTNSTADAGAKPAKSGKKAPAVVAVEGKASAKANATASKEAGMEVDEDVVLFADGAAEEGTGDDLISEFRGLPARLQETLMPDLARLSHQSKAYLTAANAGIADGVRPILGGRWAAAAATAASVALLLLPLFMLTALVRRMGPYLPLLHRALLLAQAYLAIYFATLALAAAATGLEPLRFFHAASPAAYAWTQAAQSLGFMGYLVLQMVDLVAVFSGAASPPEEDGNGDAAKALGLAQMVVGLAVGLHYYAAVFHRAAAGEAPRANWRVYAVYAACFVIICACARAERRKKAYLAGSGDGAVEEWKKS >Et_3B_027830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21263556:21264347:-1 gene:Et_3B_027830 transcript:Et_3B_027830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQVLEATKVGRAVNALRKNASSDLARELAAALFTRWKALAEEHLTSRRSQSPVSVARTDASSESKLAPVSSPPVASLANKAKLPGAAPPPKRKEAPASIDEARLAMVKRRLQEGYKEAAAAKEKRRIQFISVPGNATRGPVVVRRREVVRCGAANGTVKQAPVPPSFRMFRAS >Et_10A_000723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16030861:16034494:1 gene:Et_10A_000723 transcript:Et_10A_000723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIFESLSVGRAWEKLTSLIHAFSASSSSSAAQDNLHEMRKLERTMRRIRATLHDAEEHWNIREESAKLRLRELKEVARDAEDLVEEYEYEVNRYKVKALERYHSVRKSSKRKHQEENEPYSMDASFLAPSCQLVFQAREITDRFKEIVNYSESDHFILSENDGERRFIPDINNLQHSSSFVSQKTIVGRHQDKKKIVEQILSREEKNAGSPVRVMAIVGMGGLGKTTLAQLVYNDLRVRQSFDKQAWISVSENFDVSILTMNIFSSLTNGRYENTQFADIQKRLADEIDDKRILLVMDNVWNERRDHWELLCTPLSTTRFCQILVTTRSEAVARRIQTVPFYHLKCLSFDESWSLSILEVYNRGVACFYRLHDLIHDLACYLSEEEFYRFEGNTLTEIGSNVLYMSIPEGVMSIEIPIVPHSLRAIIMMGKEVKIKDPKALFTSCKKLRALHIEDRSLVEALPDFMGCLKLLRHLSFGVLRDTPSAVPICISMLQFYNLQTLDLSVYNSHKLVLSGIGHLINLRTLLPEIRLSRCGCSCNIRELRNINKIRKLRIYGLGNISHIEDANEAQMQSKTQLRSLDLCFSIGMQYCKCVLQLEPVTVSHEHLLESLRPHRNLCELSIWDYESQNYPYWLGNDSFCNLTRIVIYRCGSQYLPTLSGLPSLKHLKICDMAYVERIGEEFHSHPTTHKGFPSLRNLEFVDMFKWSEWSGLDNGDLHSLEKLSILSAPKLRSLPSVFNLCELYIEGCPSLSELPALPSLLLLSLEDCSNLNAVGDLLLLGTLRIRQCSNLSAVVALPSLTTLKIRDCSNFSAVTTLPSLISLELRHCINLSAVKTLPRLTNLKIHDCPNLNTIGSIPSLSTLELANPVKNEILHRLLNYHPSLECLKVTYQEVTSLPIDPQSLPTLTKLRLGDCPNLQYCAGLAGLTTLQELEVWGCPKLPCDMFCPRSN >Et_3B_027589.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:30037093:30037356:-1 gene:Et_3B_027589 transcript:Et_3B_027589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YATDNQVLVNTLQERNFILQPGDWRLRPLLYEFKHNNEHINYSVSKIHRDNNKTAHHLAAQAIRNTNTNQCVYTCRKKTISFFLVLL >Et_2B_021167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27027201:27030540:-1 gene:Et_2B_021167 transcript:Et_2B_021167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGAEGHVEEPVTPPPAEAVDDRRLLRSQYLAVKTLISDDKDDMASADSDKFTSIISKVESLHKLVQRPREQIADAEALLDLTTSLVTSVRSQSVLGITPSDFVNGLLKKFRKRGGPIDELPSLNWVDVGLAASNVFMDAPGCATIVGPMKAEVKPRRTRITRKRTARPRGSSCPEQLADPTEKTKTDTDRNMSAIFNLLRRKKNARLEHLVLNRKSFAQTVENIFALSFLVKDGRVEINVNDEGHHIIYPRNAPAASAIASGKVFYNHFVFRFDFKDWKLMKGIVAEGEELMPHRGSLDTPSTGGNNHPEAEVPVTAQSTPIRRPEPEVPLAKQSTRISHPEPEVPLATQSTPIKKLCRNRGLILQDNTITMGAQKVMEEETAPLGAEEAMEKKMAATGAQEVMKDKMVKDRTEIHLTYKRRRLFHDD >Et_1B_011327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20533673:20534076:1 gene:Et_1B_011327 transcript:Et_1B_011327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECASIQIQSACSGYPKTSEETTKMGLASGKNDIIYSSIHDAYIHAIRRAKSFIYIKNQYFLGRSYGWKTDGMKPEAINALHLIQGALLIEDSRSKLGNNDITELSLKVILQVAKLQAILNWQRRTELDDVL >Et_1B_014278.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:4927144:4927626:1 gene:Et_1B_014278 transcript:Et_1B_014278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCAAETCLVAMDCLVVCCCCPCLVLQVTVFLLVRLPKKVVVKTKRIILRRWHRRRPAPAEEAAAGCPASAGFKLAELVDLGDGFEGAFGIEEGCTDGDGGWRQRCFAVDDDGMWEALVEQEGLFWFGSFWGRPEQEDPEAGDDGLRFPVTSESVRASA >Et_3B_031546.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:3998758:4001832:1 gene:Et_3B_031546 transcript:Et_3B_031546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKTLTPDAVAAAGAGADAAPKTLAAGELVWAKPKGRRRVWWPARLLAACPADGAASRDAGVSYFGDPGAPPGPAAQVRRFADPDADGMARGSTARAFHAAVEEAHASAVAALRASLTCGCVPPPSPEAGVIVVGAANLSPAEFLTALRNAALVGSSVGLVDRARLKSWVRAFGEGWGPGGAVHHPRRTVEELVDKIDLDVPAGEDKEDDEWLADANDEDEALEIPQKTPAQKKHRAVALMDDVGAGEDEKKNDSATGAGTSGKRERKRSKYLSPPYTNLGVVVLPRKAADLPKALAASAAEYDSEVLPGSIVVEEVLSLVLGLGKDVHLGSRFPKAAVSFLLSFRSSEFKCPDTKSYEVHQSPVAHDVGKDDVETAAGVISDSQADLKLGNCSSKRGRKKDDYGSTGSSIKKKKREKSFPAAITGCGLPITHAVPIRQVKAEDIRSQMKAGGGVRGLGVGVKNEKLKPVVFKCDISHAVPEATNPGQEQNQANDGSVVNTPLAVGNNLSDKPAKENDEAKLQDEKHKPSLFKCAISAAVLGAAKSELDQQVDGFAGKTPLAADNTLSDQSAKKNVEIKFGDDESKPLVFKCPISAAVPVETEPEVQQSGVSMVTTPQASGNNLPVQSAKENGEATKSETNVQSILVDVPTRSVQTEAIGSEAKICIDDTAQSVADLPVTNVSKKAKESKPNACIDVPVQSVGAADVPVQSISADDVLVQSVGANDVLVQSVGADVHVQSVGADMCASDEQSLMDRDIDQVVEENKEHTSVEMHTVQQSYASLQAMVPEMHMKLTNINGTDVIPVSRALKDDCQKDEQPNQKAKLTVASMENHFSDEVANGTCPAATNGTPKMKKKKKKTLQFFVAPAEIIVEFTPGVVMPSREELLSAFGKFGFLIEAQTNISEVTRSARVVFAKKAEAEKAYNRAEFLGQFGPPFATLRLGDLAPIELSAPSPPRSLASRPPLTDVRKNLEKMISARQSSLKNATSADGLKPVSDKLLADMQGLWAQVDKMLGGSSTSTPP >Et_7A_050877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1197420:1201174:1 gene:Et_7A_050877 transcript:Et_7A_050877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDCGSACAASSRQKLCKRACGFCCARCNCVPPGTSGTGTSVPATPASPPTAAAPSARDLSPDHPRVALWVRAGAERTNYLYLACVRAAMRAYARLDHSRARQWPREANRDELVPSLATPGRVNSRTDAPPTKPRAGKFHYSNTDARPSNTRSHTTQPHVRACNCSHNHCPEPEETAGERERERERERNRRETTTTAMSRRRRAAEHGEEEDEEDEAAVSVERVFEGREIPRWREQVTARALAVSALLGFMFSVIVMKLNLTTGIIPSLNVSAGLLGFFLLTSWTKLLEKAGVARVRPFTRQENTVVQTCVVACSGIAFSGGFGSYMFAMSDRISDQSGETWEAQNIKNPGLGWMIGFLYIVSFLGLFSVVPLRKIMIIDYKLIYPSGTATAHLINSFHTPQGAKLAKRQVKTLGKFFVTSFTWGFFQWFYTGGEGCGFMNFPTLGLEAYKQKFFFDFSATYVGVGMICPYLVNLSVLLGGIISWGIMWPLIEHKKGDWYPADLKPSSLRGIVGYRVFISIALILGDGLYNFLKVMTKTVTALVAQESFDDKRRTELFLKDQIPTKLALGAYAVIAVVSIATVPRIFHQLRWYHVAVSYMIAPVLAFCNAYGCGLTDWSLATTYGKLAIFTVGAWAGASDGGIIAGLAACGVMIGIVSTASDLTQDFKTGYMTLASPRSMFVSQVIGTAMGCVIAPSVFWLFYNAFPDIGMPGSEYPSPNALVYRNMAILGVQGLGSLPRHCLDLCIAFFVFAIVINLARDLAGPKAAAYIPLPMAMAIPFYLGPYFGIDMCIGSLVRFTWDRLDPARAKAFAPPVASGLICGDGIWTLPQSVLAQHQHQGGPVPPRRALHALARPD >Et_8A_056121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22891107:22891421:1 gene:Et_8A_056121 transcript:Et_8A_056121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVHAANDVGEVALGCAGKGEPSASVVEAELVLHPLQHRHKRRVVQARRRDHEPLPRLTDVHRHVTPRHVTRHARRPAPRQVQPRHHVTKLPRH >Et_8A_058341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5332435:5336200:-1 gene:Et_8A_058341 transcript:Et_8A_058341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFIHARRGRSRSHRRDRHRLNETHCSNGSSDSSGSEGSRSGDARHRRRLTKARERSRSNGHRRHRRHRSRHTESDSEDESESSYDSEDSRDRKRRKRSKSHKISSKKRAKSGSRRKGSKRSDPDGSRNCVGDSSDGRNGNEMNRETIGFRDTFDTRKLPAMSDEAPTPLVGPMPPPRVEVPVSYGGALRPGEGDAIARFVQQGKRIPRRGEVGLTAEEIQRFEDVGYVMSGSRHATINAVRLRKENQVYSAEEKCALAEFNHEQKVTRESKVRVDLRRLVDRALDKVAETEHDAFADKGVYTMGTRTTIHNGC >Et_2B_022150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9734815:9739839:-1 gene:Et_2B_022150 transcript:Et_2B_022150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGGVGSLPWRVIPRPILETVLHNHALRPRVPQPLLLHGPRGVGKSTLLLHRLLPRWSEQPHATAFVDFLHPIPNSPAAAMPWSLLPADPPPSLPDLRLQLESALEGLARAAVLRGAVGSKDVLAALSRSHGLGTALSRIAGGPSARSLIASVPARSSTTSLPALWARAVLASARQDDATFSIGEGEATNCSMEERAYMQEAMASLRVAKEVLRMQEGWRKEAVREMNRTGRFSRSLANSATDWPCLLLDVLSGAAEEDFFQPKLVLSNVDVLRKASCEDETMVPAAVYHDSFIWRVIALGANERCLPVFISTSDGYYSSQAFVDFGFPNIFISREWKVVDEVLGPNPRQLSEIYKLKQKATSPGALHDRNIEEIIDTYLAHLQVSVVNPAMETALGMLQKFASDVRQGKVPENRLSFGAPWRHPPRDENPDLSYKWAKIQLMDFVQSFVNTEFGVNYLSDDSLEILDDPAAVATMEVGLLYQQRDPSFMRPVTRGIQRCLARWLVQQRLQLNLQESISYFWQRLIRGRSYRHLMKEVGYK >Et_8B_060670.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:4390279:4391262:1 gene:Et_8B_060670 transcript:Et_8B_060670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALKGFVEGGIASVVAGCSTHPLDLIKVRMQLQGEAGAAAAAPQPVMRPALAVHVYGPQTVTLPHDIPAPPKKPGPLSVGAQILRSEGAAGLFSGVSATMLRQTLYSTTRMGLYDILKTRWTAENGGVLPLHRKIAAGLIAGGVGAAVGNPADVAMVRMQADGRLPAAERRNYSGVGDAIRRMVRQEGVRSLWRGSSLTINRAMIVTASQLATYDQAKEAILSHYGAGADGLGTHVAASFTAGIVAAAGSNPVDVIKTRMMNMKVEPGSPPPYAGAVDCALKTVRAEGPMALYKGFIPTVMRQGPFTIVLFVTLEQVRKVFKGMEF >Et_4A_035544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28471153:28474183:1 gene:Et_4A_035544 transcript:Et_4A_035544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVSFSVPPQQESPKPALRVASRRKASAGLVHRCRNLVKYGKEMLMWQNNLKPTGIPDKPWRASLARRFKPLQPADIHYISKKAWSNYQPTKYYHTHNKGKRMLVQRGHIPLLVGEGKELKMVLVHRKVLQHPYFLGLLELAGMEFGHDQKGILKIPCNIQCIHTIVKLIRGSTWREKMTLADPALEASTWKIFQDTNWKYGPNNV >Et_6B_050022.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:3879499:3880345:-1 gene:Et_6B_050022 transcript:Et_6B_050022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPVPVPWPSMRLAVAAAAAPLPYLQTQWVESEAEAMPAVVPMYSAPSSPPSLASSPLPNKTCSELDGGPDGASAAGLTVVRHIEKLEAEVAETRQEVAQLRKRSSEMEMAVASLNAQLHRGLSKLAEMKGNTAAAAARRSIGGDTDVASMVVRSERYWGGGAGDKLGASEYYLPSFSHALSLGELDQLIGGRRRKVQKVKPIVPLIGDILFSRVRARRRAMACTVVISTV >Et_1A_005599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11904356:11905163:-1 gene:Et_1A_005599 transcript:Et_1A_005599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKKTMKAARDLVMKKVQKELKPEFLNKLSEIVIFEPLSRDMLKEVVKIQMKSISAGISDKGISLSTSDSALDLILSESYDQMYGARPIGRWIEKNVVTKLSEMLIKGEVDTGSTISIDATDDTKELKYQVMQKKPLLQKQQVVATPTGERLSLEVSDSDSDGDDVVEVPPVAKKMKVDRELGNS >Et_9B_064768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18441089:18443432:1 gene:Et_9B_064768 transcript:Et_9B_064768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVALPAPPVSSSAAAGLTLFAAVADLMEEAIGIGAALPPAPPPPLRTPAAGDDDSSAPPSPCSVASDCSSVATADFEGFPDAGAALALDDLVAVASDTADSHRVAAAAARSVFAVECVPRWGRESICGRRPEMEDAAVIVPNFFHVPLWMVAGDAAIDGLDRASFRLPAHFFGVYDGHGGVQVANYCRDRIHSVLIEELHRAEEAVQGTDLNGLESKKQWEKAFVDCFTRVDAEVGGNATTGSQPVAPDTVGSTAVVAVVCSSHIIVANCGDSRAVLCRGKQPLALSMDHKPNREDEYARIEALGGKVIQWNGYRVLGVLAMSRSIGDRYLKPYIIPVPEVTVVARAKDDDCLILASDGLWDVMSNEEVCDAARKRILLWHKKNADASSSAQRSDDVPDQAAQAAAEYLSKLALQKGSKDNITVVVVDLKSHRKLKSKT >Et_5A_041400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22132605:22138704:1 gene:Et_5A_041400 transcript:Et_5A_041400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGKMARLQLVFPNMVLVHGDGHHDSLNRSHNRNCQRFLQQFQVIHNLTLSLGYMQGICNSQYLLEDITFLPRCTVLNVVILNGRHAFGAGLYHVIRLCTGIKRLILVIDSDLKRCPSGCTCDEPLNWRTELTLLNCLQEVQISNLKGSEHEVAFVQQLCNWSTALKSMKITFDCSINGSTALELFQKFSSFSLPETHIQVYMYSNPGNKKSMYLFAAKSGGELAEPSDAGDGDNRLGGLPDDILVLILLCLDTATAAARTSVLSRRWCRLLVPRPCQSLKTKIIS >Et_9B_064158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11973630:11975220:-1 gene:Et_9B_064158 transcript:Et_9B_064158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDHLDRIVNTIKGDDANASPSMVQNNSLFLLPSTFFFLSCAAAAMARKCSSCGNNGHNSRTCTGHRSMAESSGSVRLFGVQLHVGSSPTPMKKCFSMECLSSSAPAYYAAAAALAGASSCSPSASSSSSLVSVDEAAEKMTNGYLSDGLMGRAQERKKGVPWTEDEHRRFLAGLEKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQSSLTQKKRRSSLFDVVRSCSCHASPPTISLQLKPRHEHHVSGSSDMPCLNFNFSLFCQVENGEKATSVNGRLKLREETSSMSETEFPALSLGISRPKPEAALPPNLALTPRCSSAMSSSTSPNLAPRHPSLTMMAKPPVSLQAPDLELKISTARQTDQAGPSPRTPFFGTIRVT >Et_6A_046640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18531750:18536017:-1 gene:Et_6A_046640 transcript:Et_6A_046640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEEVAAKRMRLWDEEEEEGMEVDGDEEEEEAWVWGAPAPAEEEQRAAAIVVADAAEADFPVIYVNAAFEAATGYRAHEVLGRNCRFLQFRDPRAQRRHPLVDPMVVSEIRRCLNEGIEFQGQLLNFRKDGTPLYNRLRLVPMHGDDGSVTHVIGIQLFSEANIDLSNVSYPVYKQQSSHRPSIQDLNSVAHEHVPKIQSSDYCGILQLSDEVLAHNILSRLSPRDVASIGSVCTRMHELTKNDYLRKMVCQNAWGRDVTVRLEMSTKKMLGWGRLARELTTLEAASWRKFTVGGRVEPSRCNFSACAVGNRLVLFGGEGVNMQPMDDTFVLNLESARPEWRRVKVSASPPGRWGHTLSWLNGSWLVVFGGCGQQGLLNDVFVLDLDAQQPTWREVASEGPPLPRSWHSSCTVDGSKLVVSGGCTESGVLLSDTFLLDLNKEKPAWREIPSSWSPPSRLGHTLSVYGKTKLFMFGGLAKSGSLRLRSSDAYTMDVGEDSPQWRQLATTGFPSVGPPPRLDHVAVSLPCGRIIIFGGSIAGLHSPAQLFLIDPAEEKPTWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRPDEDDE >Et_3A_025500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30325006:30326384:1 gene:Et_3A_025500 transcript:Et_3A_025500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSADMDSVLPPGYRFFPTEEELICFYLRNKLDGLREDIERVIPVVEVYAVDPWQLSEIGERRGGGAGEGEPWFYFCPRQEREARGGRPSRTTPSGYWKAAGTPGVVYSADRRAIGMKKTMVFYRGRAPSGTKTSWKMNEYRALHYHDAAAGADGSSSSASAHAAAVPQSLPPPPPQLRSEFSLCRLYTKSGALGQFDRRPLVAAASGPGEDPGPSTAAAASPDDDDGSMQQMQLMERGGTDPYREDMGNLDALLYWPGD >Et_5B_044094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:214383:217691:1 gene:Et_5B_044094 transcript:Et_5B_044094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGGDRKPGDGAGDKKDKKFEPPAAPSRVGRKQRKQKGAEAAARLPNVAPLSKCRLRLLKLERVKDYLLMEEEFVAAQERLRPQEDKAEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMYKKKEGVPDGLYM >Et_8B_059370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17171608:17172535:-1 gene:Et_8B_059370 transcript:Et_8B_059370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWHTKFTGYAGIRTLRYAPGMHANLEADIAAGSNLSAQLLRPWPPTCASSSGSKAVIKIVRRGTVLIFESWCAFAGVVTAHDRCVSQTNPAPFPGLSLNRHWPGGRGPPEPSREIYGVEPDRKAAAAQYYKFLAVFDPRHRNRRPQPCCLPPALSAAGSSSSSRAASPGSGGERSATPTLSRLAQHDEKEQARNGEVARLKKEMQADLAAHAAEIARLRAATEGLQKVNAALADEAGRFAAELQKGAVRARGRG >Et_3A_026401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7700070:7703557:-1 gene:Et_3A_026401 transcript:Et_3A_026401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASQFMDKQILGLAASAAPSTVAGGGSGGVDLSDLMNPVPQEDGEDRLRRRRSFGGANGTADDMLPSYDFQPIRTTSAAAAPQASWGSLDSKAPSASASASYNLKSAGLLEPHVLKKVSHEEDRGNFAAVTLADIDRTMKKYSDNLLHALEGVSSRLSQMEGRTRQLENSVDDLKLTIGNYNGSTDGKLRHLENMVREVQAGVQILRDKQEIVETQIHLAKLQTPSKSETQSTENSGASQAGSQQQPLAPPQVAVQPQPQVLAHPSQLPALPALPAPNAPPPPPTLQSQPPPQFPSHLPHSQVPSVPSVAPAPSVPSLPRDPYYAPSAQPTEAMHQQYQAPPVPQPQAPPAPPQQYQSPSQFPQYSQPPQPANLNPSTPHAPPAPHQPEETMPYAPPQSYPPNVRPPSPYMQPPSGPATPPYYGQNPSMYEPPAGRTNPGPPSSYGSGGYGSQGGGGFSESYGYSGSPSHRGNAGMKPSSPFASSGPSSGGSGNYGRLPTAQILPQAVPISSSSPTGSSGNNRVVLDDVVEKVATMGFSREQVRATVRRLTENGQNVDLNVVLDKLMNGR >Et_7A_052028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3910536:3916683:1 gene:Et_7A_052028 transcript:Et_7A_052028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLAGRSGAHHHNHSWGESRAPLAPVPPPANPNPNHHHHRLPRADGSKRASSATSPASGYVTFRPASLDHREARALRDRLSGELGQVRDLLSRIDTWQQQPQPKRHGGSPPPRRDLPPPPAKLRGAMRKRCGQILTKLRKDKRSVWFNAPVEVDRLGLHDYHTVIKRPMDLGTVKDNLAAGRYSSHDAFAADVRLTFNNALRYNPVGHEVHTFAGALLASFDKMYKEAVAWFEEECKRLEPPKPVPAELPPPPPPLPTAEAKVKAKSVRLRKPKAREPNKREMSLEEKDLLRQGLESLPEEKMHNVLQIVRKRNNNPEMLGDEIELDIDEMDVETQWELDRFVTNFNKALKKSQRAAMTNGGVADVTSAAVADDSTVPVNGDVPSSVDNDDAESEKPVKSSTMAEQVDEYVDIGDEMPTATYQSMEIEKDAEVASGSGGSGSGSSSSSGTIKLPICSLEISTLQELEFRVRELRGHCFRSWQCSFFGVGEVVLTVGFEYLDDGSSSWSWVISVELEEVVICPQLGRRTNRPSPATLCVASCHAGAQFSLRFNCQRYCGFATAVRMDISVVLYTIQTSLGHSHAVKFSREVCPIALSFTNIFELKHTKFYKLSLLLCLRKTDVPLVVSH >Et_7B_054378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20616166:20617433:-1 gene:Et_7B_054378 transcript:Et_7B_054378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHTAISSRFRLRRRTRPWRQHSKLQSWSRRSAKEKRREGIRLGGSSVGVKWELCQPPTKKPRSGGTRWCALNWRWNWGSERRRRQRSQTREARRREEGNGGRRTKICRRISSLSGKGGGGGAAGEDEEPSLIWTGLESSDRGARLVLGANCPRTIPKGRHPLIPIGQKNTRKKDDFPCAPSSAEAVVLLRPPADAAAAEGTARSGGRDASSAAAAQGARVASSAAGLAAQGPCSAAGLAAQGPCSAAAAMALRPRDASLAAAAQGARVGASPAAAGGARVGSSAAGLAAQGPALPPRPWRSGLLVVFFCDSNGSYGIEIRRGNLGTEKREEACYGVWEWREPLRVVLEG >Et_4B_038466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29218999:29222772:1 gene:Et_4B_038466 transcript:Et_4B_038466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADAPPLPAAAAGDDESPCRGVFAEFMTRVARFEEQAEAGKRLLSRFHQELEYFRRPPIPENSDVMSEILKSNSTGRLKSYLEAGCNLQSRNISNINQLRLCEDGLKVHINEVKTLLQELECLVKDVHAITLTASLSALKVLESPSADNELNNECSFMEEEEKRANRLDGDVSFVTVMIVVHNMLKLDYTMQEKIVNSLSLKTPSSELEGYCLMWDLRPYIDDNLVNGAALDQLRARIFLVSSFHEPNADEDAGKVQAFLAEMAGSPFG >Et_5A_042834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5242674:5246110:1 gene:Et_5A_042834 transcript:Et_5A_042834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLFGFLVLLLFAGEVVVVITAQGGAGSDKDVLVELKRFLQEHNKVNRGAYDSWPESDASPCGWHGVKCDVAGRVASLDLSGASISGPAFGNFSRLPALSWLDLSGNTLSGAGDIGQCRGLVHLNLSRNLISGPLDLPGLTRLRTLDVSGNRLEGGVAANFPAICADLAVLNVSTNRLTGNITGMLDGCARLEFVDLSSNNFTGELWPGIARFREFRGAENKLTGSVPPATFTDGCRLESLDLSSNQLVGEFPDSIAKCANLTYLSLWGNKFTGVIPAGMGELAVVQTLILGKNQFDRRIPPELTNCSRLQFLDISSNMFGGDVQDIFGRFQSLRYLVLHHNNYTGGIVTSGVLRLPQLARLDLSFNEFSGELPTEVADMKSLKYLMLAFNNFSGEIPPAYGRLGELQALDLSYNKLTGGIPASVGNLTSLLWLMLAGNQLSGEIPPEIGNCTSLLWLNLANNRLSGKIPPEMAAIGRDPGPTFAKNRNDSSVLAGSGECQAMKRWIPASYPPFSFVYSVMTRENCRSIWDRILKGYGIVPICTNSSSPVRSDTISGYVQLSGNRLSGEIPPQMGAMRNLSLLHLDGNRLTGRLPPEIGQLPLVVLNVSRNGISGPIPSEIGRILCLEMLDLSYNNFSGELPASLSQLTELNRFNVSYNPLLSGSVPTSGQFGTFDEQSFLGDPLISLRPGTGKQPPPEAADVPAVVKRGMSPRTIAVWFLFSLLVAFIAGAALFFFSNLRARFPVDQDPDPESFSWEHHKCDSGKCALQMSTSSPPSGTSSSSATACSSSSTEAGVKVFRLGKTAFTYRDIVAATGNFSDDLVIGRGGYGVVYRGVLPDGRAVAVKKLARLRDCDGEREFRAEMEALEGKSSAWPHPNLVALYGWCLSGSAKVLVYEYLDGGNLESLIGDTAAFGWGARLDAALGVARALAFLHHECYPAVVHRDVKASNVLLDREGRAKVTDFGLARVVRPGDTHVSTVVAGTVGYVAPEYGQTWRATTKGDVYSYGVLLMELATGRRAVDGGEEECLVEWARRMANEGWRPTKDQEAAANPVSCELLALGMRCTADAPQERPDMPDVLAALLDVAARCRYRDGAQLEFT >Et_9A_061671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15036770:15037229:-1 gene:Et_9A_061671 transcript:Et_9A_061671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRKCVRCRGAFCVGCKVPWHDGLTCSHYRRKYPDPRLDDAKLQSLARQRLWRQCVRCKHLIELAAGCRHMTCVSATNAGKIGIRGQDAHACCWI >Et_1A_009615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9670281:9673895:1 gene:Et_1A_009615 transcript:Et_1A_009615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNGEQISDPLAPGHMTPTVLMEFGHQRPMKRAYDEMAFRGVAAATPRGYTETVGESEGAPGSPVRSEDSAAPKRKCISLNSDGYDVKREIFVPSKMSSSERRYLRKRFRSELDSVRDLLKKPEFSVTAPVSRAPALSSSAAPKQKKVHRGSHVVRGAKGRFLPTKPRTEPSVAAALSDAAVFKQCEAILKKLMTQKCSHIFNEPVDVQKLNIPDYIDIIKHPMDLGTIKKKLDSGSYSSPLDFAADVRLTFNNAMTYNPRGHAVHDMAIHLNKMFESRWRTIEKKLASASTAAHVEVDRADSKRRNTPPVECSEVSTGCLRQAEPAKPKMTYDEKEDLGNRLTSLSEGDQEMPAHIIDLLQQCIENSADQSGDGEIEIDIHAVRDDLLFELKKHVDKFLQEKDQKQLAKSEPSENEAVNVSGLSHSSTNPCKGNEPIEEDVDICGNASPILIEKDAQIRSSKFGSPSSSSSDSESSSSDSDSGSDTESESEKVGSPVKLAKETKKSDQMEQEKSDVISPADINRPADDMELCEQDSESKPTPEGENSEPDRQVSPDKLLRAALLRSRYADVIVKARGILCQDGDKQEELEKLQKEEKARLLAEGNAAMEARRAEAEAEAKRKRDMEREKARQALQEASENCILLEMERTVEINDNLHPKDLEMLGTATAEHIVSSVDETSPEHSQDGMPGFLPGPVNALAQLGLFMKVDDEEEEEEPSCPSSVPSSKDAEEGEIN >Et_1B_012252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30088310:30101487:-1 gene:Et_1B_012252 transcript:Et_1B_012252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPGRYVRRRDEIDDGCDDVLGVDVGGAAADTFDIPAKRAPVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGEKQNGDRELPEILPRGFGIGEEQLTVKGLGNLLKTNLEKGIHGDEASCRANAFGANRYPRKKGRSFWVFLWEACQDLTLVILIVAAVISLVLGIATEGVKEGWYDGASIAFAVFLVIVVTAVSDYKQSLQFQHLNEEKQNIQVEVIRGGRRFQVSIFDIVVGDVVALKIGDQVPADGVLISGHSLAIDESSMTGESKIVMKDQKTPFLMGGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAGVVLVVLFARYFSGHTTDTSGTVQFIKGQTSAKSAIFGSIKMLTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVQSVVGGTKLQSQNSSPAFESLSSTVTSLVLEGIAQNTSGSVFKAEDGNVEITGSPTEKAILSWGVELRMNFVEERSKSTILHVSPFNSEKKRAGVAVLVGDSVVHVHWKGAAEIVLALCTSWLDADEFKEYIEYMAEQSLRCVAFAYRTIDLKDVPSEEQRINWQLPDSDLTLIGIVGMKDPCRPGVREAVELCTNAGVKVRMVTGDNLKTARAIALECGILTDPEASAPVIIEGRVFRAYSDAEREAIAEKISVMARSSPNDKLLLVKALKKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFSSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMMRPPVGRREPLVTNIMWRNLFIQAVFQVAVLLTLNFRGRDLLHLTQDTLDHSSKVKNTVIFNTFVLCQVFNEFNSRKPEELNIFVGVSRNHLFLGVVSITVIMQVIIIEFLGKFVSTVRLSWKLWLVSIAIAFVSVFCPA >Et_2A_016512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25493845:25505020:1 gene:Et_2A_016512 transcript:Et_2A_016512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHEMLVAAAVNQVARKINDVVGIAQGDVKLCCSFSDDLESIKDTLVYLEDLLKNAENNSFGSERANLRHWLGQIKSLAYDIEDIVDGYYSSKEEFEGSTYIQKGSLFCSLSNPVLSKVGMIYKMKSKRELLQTRQHLPTQYHFISHINSVANFNERQTTSYRNNDITIVGRDRDLEHLMDMLMQINVLSIISIVGPVGLGKTSLAQLIYNDARAEKFCFRIWVHVSMGNVNLEKIGRDIVSQTTERIEGNMQMQSIKKAVQDILNKHSCLVVLDSLWGKDEEVNELRQMLLTGKQTRSKIIVTTHSQKVAELISTVPPYKLSVLSEDDCSSILSKRAMTSQSDPFFREYGEEIVRRCEGLPLVANFLGSVVNALRQRREIWQAAKDKEMWKIEEDYPKDRISPIFPSFKIIYYSMPHELRLCFVYCSIFPKGSIIDKKKLIQQWIGLDMIESKHGTIPLDVTAEKYIDELKAIHFLQISEEICNGSEEILCMHNLAHDLARSVAGEDILVILDAENERGTRNYDYRYAQISASSLQSIDSKAWPSKARSLIFKTSGAQLQHVSEVLSANKYLRVLDLSGCSITEIPSPVFHLKQLRYLDASTLAITALHPQVSGFHKLQTLDLSETELTELPPFISNLKMLNYLNLQGCQKLQQLHNLDLLHELHYLNLSCCPQVRSFPASLGNLTKLRVLNLSECSKLPTLPDGLLQSFSSFSSIVDLNLSGFEFQMLPDIFGSICSLQFLNLSKCPKLEELPQSFGQLAYLKDLNLSFCPDLKLLQSVECLECLTSLQFMNLSNCPRLEYLPLSFDKLISLEYLNLSQCFGLKALPATLSSLSKLQMLEVSGCQDCILQSCSLSSQCSQPYPCLEQDDEVASSSSISEIIPKEPAISEVIGVGSEGIDALYNDEIGYLHNGLIGMNQKLASISNISGHKSKETKSTKLNSAGEIVQLIPGHQFPLASSHFLSFASSSSAPLASASTSDVSTMDHAMFNGQTAGLDSEERSHNPHELPEDGQSCENRAPSSHILAHLHEVAPSKQSNDNQMNDYSGEHHFIVHCDGPSQAAIVLVKWRLVI >Et_4B_039375.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12224047:12224334:-1 gene:Et_4B_039375 transcript:Et_4B_039375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFRKELVYAILLVSLLLMLQLESAAGSSASSPSCCHQQRVEVAARVLGRRLLMSHHEQVVKGLMEQVAKGGVFVSEDEEKREVITGPNPLHNR >Et_2A_014914.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21602842:21603153:1 gene:Et_2A_014914 transcript:Et_2A_014914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVERCGSWDCDAAAQAAAQKAVPAPFLTKTYQLVDDPATDHIVSWGDDRVSTFVVWRPPEFARDILPNYFKHNNFSSFVRQLNTYVSCRLVLYCATTWSCF >Et_1A_005033.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22687769:22688608:1 gene:Et_1A_005033 transcript:Et_1A_005033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQGQQGVQSQLTALIRPASSPEELNQAIITLWHLWKHRNDYRFDRKKCSVFQVHLVTATEIKDEQGNQRRLTAATHLDLDRGGTAPAGDQDHALKPDCLQCFTDAAFPQQATNQLVTTGIGILICADSGPIVPAVEIQAIAQHPATPLQAEAAALLLESRINAVLNPAGVQYKTDNQILANTLRQHQFIVDPGHWSLRPLLYEFKANTVGARHQVQHITRQNNMPAHNLAQQAIRTNSTPNCSFICNHLGHGDQCPILPAFQPVNWESFSLVNIVCR >Et_3B_031170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19830924:19835048:1 gene:Et_3B_031170 transcript:Et_3B_031170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIELAPGAAEDDDASPIEEVRLTVPSVDDPTLPVWTFRMWTLGLLSCVLMSFLNQFFSYRTEPIIVTQITVQVASLPLGHLLARVLPTRKFRAPAVLGGGEWSLNPGPFNMKEHVLISIFANAGCAFGNGSAYAVMIVDIIRAFYRRSISFFAAWLLIATTQVLGYGWAGLMRKYVVEPAHMWWPGTLVQVSLFRALHEKEEEEEEEGQAGSPRRSTSRAKFFLVALACSFLWYAVPGYLFPTLTSVSWVCWLFSKSVTAQQLGSGMKGLGLGAFTLDWTAVSSFLFSPLVSPFFATANILAGFVLFMYVIVPAAYWGLGLYNAGRFPIFSSHLFMFNGKSYDITSIVNDRFEIDMDAYARLGRINMSTFFALTYGLSFAAISATVMHVALFHGKEIYHRFRASREDKPDVHTRLMKKNYEDAPGWWFYSLLALSMAVSLVLCTVLKNEVQLPWWGLLFACGLAFVFTLPISIITATTNQTPGLNVISEYIIGLILPGKPIANVCFKVYGYMSMSQAVSFLQDFKLGHYMKIPPKSMFLVQFVGTIVAGTVNLGVAYWLLGSIPNICNDTLLPADSPWTCPSDRVFFDASVIWGLVGPRRIFGSLGNYGALNWFFLAGAAGPLVQYALHRAFPSQAWIAMINLPVLIGATAAMPPATAVNYNSWLLIGIVFNFFVFRYRKKWWQRYNYILSAALDAGVAFMGVLLYFTLSMENRNISWWGTAGEHCPLASCPTAKGVDLGSDSVCPLFFGHIMAWWVI >Et_5A_042219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7720312:7724204:1 gene:Et_5A_042219 transcript:Et_5A_042219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTRPLAAALLPLLFLLFSPSPASAADTITANSSLSDGQTLVSAGGVFELGFFAPATSSTASRFLGIWYKGISPATVVWVANRGAPIPGTTTTGSLSVNGTRGLVLADASGRVFWTSPNVTGPGAAAAAQLLDTGNLVLRGGAGDVMWQSFDYPSDTLLPGMKLGWDIAAGIDRKLTTWRGAGDPAPGEYTFGIDVRGVPEGFIRGGAAAAPVYRNGPWNGMQFSGEPEMEPNNSNFRFEFVANATDVYYTFLVADDSNSDSGGDVVSRFVLNGSSVQRYVWPPGAQAWSLYWSLPRDQCDDYAQCGAYGVCDAGGASGAPACGCPAGFAPASPRDWALRDSSGGCARRTPLNCTGGGGDGFLLLRGVKLPDTTNATADAAITVDQCRRRCLANCSCLAYAASSIKGGDSGCIMWSSLLIDIRRFSYGGQDLYIRLAASDLPSDGDGPGKKNIAVAVVVSLSALLLVGIGGFFVWTKFFRNRGTTFQSTQRFNSFDSSVPLTPVQERSLEDESNQSKDLNITLFDISTISLSTNNFATSAKLGEGGFGTVYKGELEGGQTVAVKRLSKYSTQGLDEFRNEVMLIAKLQHVNLVRLLGCCIHGEERILVYEYMENKSLDTFIFDKSRSSQLNWSKRFDIILGIARGLLYLHRDSRYKVIHRDLKAGNILLDKDMNPKISDFGVARIFGDDTNSHTRKVVGTYGYMSPEYAMDGIFSVKSDVFSFGVLVLEIVSGRKNRGMCSSGEQTSLLSHAWKLWREGNAVSLLDEAVAGTGAFRGSEVLRCVQVGLLCVQERPEDRPHMAAVFLALGNPGAVLPQPRHPGYCTDRGSASTDGEWSSTCTVNDLTVTVVEGR >Et_2B_021182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27193866:27208379:-1 gene:Et_2B_021182 transcript:Et_2B_021182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVRTIEAASEEVKSVHFGFYSDEEVKRISVKQITKSERLDAKNCPVPGGLLDPAMGPINDTDICKSCGQNSVRCPGHFGHIELAKPLFNPLMFMSLKSLLQVTCFHCHKFRLNKEQVDRYVNELELVIKGDVAHAKNFEGSDKKGNRSKEGKKGSTEAISGDDKEASHSKQGNSSTESISGAEQDKKVWTSIQLKEVLSLFSKLMKKKQKKCTKCDMKSPTIASPIFGWLVKDTSASAVRTNAIANSKLKGDRNGHNSVETGVSGLDEEQTSSRWASNGPLNENRCLSDDTIKEMVASSGKKHLLPTEVESILKDLWKKEARFCMLLCDFQQNTLSVSEKRRGYEMFFLNSLLVSPNRFRPSTSSSLGMMEHPQSVLLSKVQEANLALQHNSTGTNHMDVLKRWMDLQRTVNVLYDSSRGLSKSEKDAQGIRQLLEKKEGVLRQKMMGKRVNYACRSVISPDPYLAVNEIGIPPVFATRLTYPEKVTPWNAKKLQEAIKNGADIYPGATHYRDSNNMYKLQAAPAKRRAIAKMLPASRGSVSQPGKDPKCEFESKVVYRHLQDGDIVIVNRQPTLHKPSMMAHFVRVLPGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRAEAVNIVDANKQYIGPRSGDAVRGLIQDHIVGAVLLTKVDTFLSREEYSQLVYGCCMPSTSSSCQPGKKVSVKDDDTLQLVPPAICKPKQLWTGKQVITTILKHLTDGQPPFTVEQDGKITKEYLLPRKIDGAEKSDSAKESDGAKNGDGAKESDGAKESDGAKNSDGTKENDGAKTTPRHFSEQVLYIRGNELIKGMIDKAQFGSYGIVHTVQELYGADTAGTLLSTFSRLFTLFLQFHGFTCGVADLLLCEKADEHRIKILSKSEEESKKVHKKFIQTGDDPEDPVLQMEVEKVVRSNAESATKILDTMMSNALNKITSSVNKELFPNGLQKCFPKNCLSLMTASGAKGGPVNMSQISSLLGQQELEGKRVPRMVSGKTLPCFPPWDISSRAGGYVSDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKSMESLKVSYDHTVRDVDGSIVQFCYGEDGVDVLKSSFLNKFKELTDNREAVLYKLVGRSHDKLLSTNEYITGLPTKLQEAAKKFVIDEAEKEYNLKKKEEELSKLEREKNNNSIRKMERELLKLLNVKYQSSLVDPGEAVGVIAAQSIGEPSTQMTLNTFHLAGSGGMNVTLGIPRLKEILMAGAASTPEEAASLAATLGRVRVVDVVERIEVCTVPFYNCNGHVSTLYKLRMKLYPEGHFLKWDLTVKECLDTLEKAFVDAMEHAIEKHLDLLHKVNEIRAVKVNDTDGSLSDGVEESESGPADAEGTGMSDDEAENDNEDDLGADAEKRKRQEKDEIEYDDDDDDEEKEEGMDSESEEEDKVKLQSEDDPADSSEDSGDDSQEAEEGHNISKSEMASVDDTSYSAKKEIKSKYKHGKAKLEGEKVDEQKEGKKNQKRRKKLKRTVTINNPEEEGYFSIQYLLHDEPHILLAQIAQKTARSIFVKACSNIDRCKVVKEKEKDKIMKVYLQTAGVNFNAFWDLDDHLDITNISSNDIYAMLKTYGVELARATIIKEVSKVFGHYSITVDPRHLNMIADFMTFDGGYRPMNRMGMGQYCTSPFGKMTFETATKFIVEAATHGEVDALECPSASVCLGQPAKVGTGTFGLLQNLDLEEPM >Et_1A_008418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7527488:7528738:1 gene:Et_1A_008418 transcript:Et_1A_008418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSYTLLFGALVVLALLVSPIAGSRKLAKTHRHRPTHKPAVRAHGNHTVHAATPSAMAAHGSGGWLDAGATYYGAPNGYGSDGGACGYQTAVEQPPFNKMIAAAGPSLYKGGKGCGACYEVKCTGNSACSGQPATVVITDECPGCLAEAYHFDMSGTSMGAMAKPGMADRLRAAGIVKIQYKRVPCKYNGVNIAFRVDQGSNPFYFEVLVEFEDGDGDLNAVHLKEAGCSTWTPMVQNWGALYRYNSNTGKALRAPFSLRLTSESGKVLVANNVIPAGWKAGATYRSLVNYS >Et_9B_065121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21376842:21381096:-1 gene:Et_9B_065121 transcript:Et_9B_065121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGRGTGEWGDREGRRSTAAVDRRGGVVCADRNKASYPLEVSSEKWAATRPNRKLRRLTEEPKSRLRSLVSPHLGSIRGTGGVMADWDGIPERERRQMEEILQLDMEELNVEVVDEEEEEEQGAHDDDDDIDANLRDNVNGVASTSGPCTFNTSLASLHTYLGEVDDTRGRVSLLDGGAVLNIPIFYLRGVVLFPGATLPLRIIQTRFRVAIDKALRLVDAPCTIGVILVYRDPSDRYYATGSVGTTAEIRQHGRLEDGSLNVVARGQQRFRLRRHWNDEDQVVWGEVQIIEEDTPLRTPRDAFVQLAACNSFRLRSSSPDISLNIPPIKQGHMDSELECDTPSPCSDASNHSSKDTKLCPLGPPLSGSVLSSNEDKMRLNDACLTSPESLSTARDTKRQRQCHAVSYPKHAFQAPLSFWPRWTYEIYDSYTLARRAADLWRQIIANPSMEDHVRKPDILSFHIGSKLPVSEYLRQKLLELDGTSYRLQKEIQILKAFNLIKCRDCQSLIAKRSDMVVMSTDGPLGAYVNPHGCVHETITVSNATGLALTGSPSTVHSWFPGYELIYGALCSFMCPLFCYTTADVKYSWTIAHCAACESHIGWLFRATKKNLRPRSFWGIRSSQIADDTQLDQNE >Et_7B_055099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7241554:7245940:-1 gene:Et_7B_055099 transcript:Et_7B_055099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVTPMMDGAILGAHAPLLPETDPPRRAPNGGRQERSSSSSTVLPAVVSAALLLVLAAVTVFALQHADGQGGSVMPAGDVVVADGDGRAVVEAAASRGVVEGVSEKSTAPLLGGALEGYAWTNAMLSWQRTAFHFQPPKNWMNGLPVAIADAIVFLDPALTWFRVSFTDASCTPRSHRRDRPRPPFSRPLQIRTGWYHLFYQWNPDSAVWGNITWGHAVSRDLVHWLHLPLAMVPDRWYDANGVWSGSATLLPDGRIVMLYTGSTNESVQVQNLAEPADPSDPLLREWVKSDAANPVLVPPPGVGLTDFRDPTTAWRVPNDTAWRVAIGSKDRDHAGLAMVYRTEDFVTYDPQPALVHVVPGTGMWECVDFYPVAAAGSSNAEDGLDTSAAPGPGVKHVVKASLDDDKHDYYAIGTYDAGTDTWTPDDAENDVGVGLRYDYGKYYASKTFYDPVRRRRVLWGWVGETDSERADILKGWASVQSFPRTVLLDTKTGSNLLQWPVVEVETLRMRGKRFDDIAVERGAIVPLGIGKATQLDIEAVFEVDASAVESVAEADVSYNCSTSGGAAGRGLLGPFGLLVLADDDLSEQTAVYFYLAKGTDGGLKTFFCQDDLRSSRANDLVKRVYGSVVPVLDGENLSVRILVDHSIVESFAQGGRTCITSRVYPTKAIYDSARVFLFNNATNIHVTAKSLKIWELNSAYIRPYSGSHE >Et_1B_011445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22352847:22354197:-1 gene:Et_1B_011445 transcript:Et_1B_011445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYALNLDKEEVEMVASHQHGGRGPSLWCNLHGYEMPSNRRGRHVSSRRRSSSQGRGQRGLPDEHPRSVSGLMGLFDSMRTAGCAAFRALLRSEKEAVTCVVADCTMPFAVAAAAAQEAGVPALAFRTESACGYLCYLSVPRLLELGELVPGGSEERPVRGVPGMEGFLRRRDLPRVAPSSSDDPVPVLLAVAGTAARCAEEARAVILNTAASMEAEAVVRIASRVAPRHVPAPPQARRCRMSRRRRRRRRLHGVAGRPRRPVGGLPEPRETRGRLGRAPPRRRRRRSVGCFLTHAVVARTVREAMEDPGIRVPAQAMARQLRMDVAPGGSSASDMERLVAFINDLSAGQKDPCIQGRRRQFIVRRLQLD >Et_1A_005093.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:26589108:26589149:1 gene:Et_1A_005093 transcript:Et_1A_005093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARADLPRPSRR >Et_6A_047236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:27044403:27049086:-1 gene:Et_6A_047236 transcript:Et_6A_047236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSSTTVAAPLIYPDYQSKEKWKHTAGALLLFITKAAGCDALFCGPVQASTPSSFLGKKLRKQQQQQQVISSSAVNYYHGSRPSNLNRFRVMATAKDLDESKQTDKDRWMGLAYDISDDQQDITRGKGLVDSLFQAPTGDGTHEAVLSSYEYLSQGLRQYNFDNTMGGYYIAPAFMDKLVVHIAKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKLGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCVLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIVVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRTDNVSDDAIVKLVDTFPGQSIDFFGALRARVYDDEVRKWIAEGGVENIGKKLVNSKEGPPTFRQPQITLDKLMEYGHMLVEEQENVKRVQLADKYLSEAALGDANDDAMKTGAFYGKAAQQVGVPVPEGCTDPKAVNYDPTARSDDASCASTPTSFLGKKLKKQVTAAVNYHGKSSNANRFKVMAKELDEGKQTDQDRWRGLAFDISDDQQDIIRGKGAVDSLFQAPMGDGTHEAVLSSYEYLSQGMRTYNMDNTLDGLYIAPAFMDKLVVHLAKNFMSLPNIKVPLILGLWGGKGQGKSFQCELVFAKMGITPIMMSAGELESGNAGEPAKLIRQRYREAADMIRKGKMCALFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRSDNVTDEAIVQLVDTFPGQSIDFFGALRARVYDDEVRKWVAEVGVENIGRRLVNSREGPPTFEQPKMTIEKLLEYGHMLVKEQENVKRVQLADKYLSEAALGEANEDAIKSGTFYGTSE >Et_5A_041193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19219069:19219555:-1 gene:Et_5A_041193 transcript:Et_5A_041193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRPAILRRIRLSPSPSLPLAAAASHPHALARWLARPMSSHDAHLTREEVVDRVLDVLKCHPKVDPTKVNPDAHFEKDLGLDSLDTVEVVMAIEEEFKLEIPDREADKIDSLPLAIEYVANHPMAS >Et_4A_035955.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9307765:9308436:-1 gene:Et_4A_035955 transcript:Et_4A_035955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIQTSNPGTMPHQHHGRVLGGVGLGCAAEAAKVSSTAASASTRCGAHDGEIPAEAARHHEHAAPGPGRCCSAVVQHVAAPASAVWSVVRRFDQPQAYKRFVRSCALLAGDGGVGTLREVHVVSGLPAANSRERLEILDDESHVLSFRVVGGEHRLQNYLSVTTVHPSPAAPDSATVVVESYVVDVPPGNTPEDTRVFVDTIVKCNLQSLAKTAEKLAAVSP >Et_5B_044022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18995952:18999884:-1 gene:Et_5B_044022 transcript:Et_5B_044022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKLAELGEYVVSETLCELADRIVSAELIRYDTHASVDDQLERLDMLVIMVYSAVDAANGVHIQSWWLRRWLWKLRDAARDGNVLRSFRWCRAPEEANAGKPVASDIPSRVHIRKLRDTALHGDEVLRLSRPQRADEEETAAAGLAGSSVCNAKKNAIPPAESSLFSSQGDDSMDRLSHTVARLEMVCAGISDFSTLVDIEIMRSRLRTSDDVSATTI >Et_1A_008803.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:1480933:1481481:1 gene:Et_1A_008803 transcript:Et_1A_008803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAATTRRPSGPVLSAAHYRSASPTRVKLAGGNGRSAGQSVSVSSSSSSAGGARSRRSCMCSPTNHPGSFRCSLHKERKAPDAHKPTSPPSPPSPGSSGGGGSGPTNSRLGAPGRRMGSALVRIGAVEGGEWARRALATARPSPAAQQSQHRRRVGGLRPRPSRLSAVSMAGDRAGDNEQ >Et_3A_026159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:560514:561099:1 gene:Et_3A_026159 transcript:Et_3A_026159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMQQSIVVALLAAAALAGLAEGFTVTGEVYCDPCRAGFKTNVSTPLAGAAVKLECRPFLNGPESLKADATTDKFGWYKLEVDQDFQEDICEVMLIKSPDPACSEVDKFRDRARVPLTKNNGIKQNGVRYGNPIAFLRKEPLKECGLILQQYDLKDAPETP >Et_6A_047170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26235372:26246823:1 gene:Et_6A_047170 transcript:Et_6A_047170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCNFLQPAAADYSRCKMHDLLRQLAQHLSGDEIFCGDSQSLEAKSLSKLRHVSITMGEDLLFSPSLHKEQIVVRTLTINCKALRVDNKIFKRLPKLRVLDLTHSVAHSIPDCIGGLIHLRLLDLDATDISCLPESIGSLINLQILNLNWCVALHSLPSGITRLCNLRRLGLDGTPINQVPNCIGRLKSLNDFEGYPVGGDNDNNAKMQDGWNLEQLGPLLQLRKLALIKLERAARCSIDSLLIDKKHMKELRLYCTEHTDGPYLEEDVINIEKIFEILVPPHNLEDLWIFYFFGRRFPTWLGTTTNLSSVKYLNLTYCKSLVYLPPIGQLPNLKYLGIKGAIAVTKIGPEFIGCGVGNPGSTGVVAFPKLETLIIEDMPNWEEWTFVVEEEEATTAGKEGEKDGSAVKQKGKASPPRMLLLPLLKTRIEELASLEQLWLDVDMQEVSSLWVPGLKLQRQQLHGDDLDKLQDIATEEAILILGVKKEIIELQRRVDQISCYLKDTEQKRLEESADNNWLGQLRDAMYDADDIIDIARSKGTNLLPDNSFPIPGKAITCNGLSLSSCFSNFRTRHEVAVKVRSLNKRIENILKDKVLSSLTHTQPPVQEMRKSSSLVEPNLVGKEVANACRKIVDLVLAHKGNKSYKLAIVGTGGVGKTTLAQKIYNDQKINGTFDKQAWVCVSKDNISDVSLLKEVLRYIGVIQEQGESVGELQRNLASAIKDNSFFLVLDDIWQSNTWIDLLRTPLHAAATGIVLVTTRQDTVAVEIGVDDMHTVDLMSVDVGWELLWKSMNIKEEKEVQNLRGVGVEIVQKCGGLPLGIKLIARVLASKAQTENEWQKLACYLSREECFIGDPESIQINILSKLRRISVVSKKDMVVLPSIDKEKYKVRSCIASYRSLRVDNAIFTRLTYIRVLDMTDSLVQSIPSCIGGLIHLRLLDLDGTDIFNLPESICHLVNLQILNLNRCDALRSLPSGITRLCNLRCLGLHDTPINQVPKGIGRLKFLNDLGGYPVRGDNDNSAKMHDGFPTWLGTTTNFYSMKYLKLIDCKSFVYLPPTRQLPNLKYLKIKGAAALAKIGPEFVGCRVFAFPKLELLIIKDMPNWEEWSFVVVEEEEATTAGKDGEDNGSAMKQKGEAPPLRMQLLPRLKTLHLLHCPKLRALPRQLGQEATSLKELQLRDVRSLNVVENLPFLSEVLVITDCEVLERVSNLPQVRVLRVTRCPLLRHAEEMASLEQLWLGVNVQNVSSLWVPGLKQQRQKLHGEDLDVYRWPRT >Et_10A_001090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2131478:2136286:-1 gene:Et_10A_001090 transcript:Et_10A_001090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRKIALHCRLHIEFQGAVQVQPPEDPLARVLSTADDGDWRVLERSADDLDVDCLGHLAIHVDGSLYLGLGTGSVIILDNTNLEFSKVHLPIRVKKCAFLRYRYREILVVACWCIRCHRNSIIFDGHTIPEEVASFKEEMQLVVLKAKSSVKPLLVQWLCNIA >Et_10B_003152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17113728:17117594:1 gene:Et_10B_003152 transcript:Et_10B_003152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATEVEQPRNGQATPEEAIIGEDPKRLRATNDDEGGTVHEETDEDEEDWGEGISYLDSYRQDWERLYGKTGSFEDETKIPNMVLTDGPELPLTTYPMDLLQIFSVKVMEIKGALQWPLNIYGHVAERDSLDHNRIYDSSLKLTGPSRAIALIDPVIFELDLKVKSKGPPFECEDKILSYHAFCYHNIIYRHDTGFETKQVESTEHSTLEFMFAHLKQAVEATIHIRVEEGSSNFKACVATVTTGIDEEVVLLDSLDRKVVVDENGSVTFQRRVVVVEEKSMLIVSVEAIDGDGSESIVKKMNFRPRIALRSKAFFKFGFCKLSVVVAWSLVHFIILMQLMHRRNSILLIHNGFIQIYGQKLMLT >Et_2B_021477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2986923:2997269:-1 gene:Et_2B_021477 transcript:Et_2B_021477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSDAGARAGDQSAAASSQEARPDLAVATMPEPIPIVDLSRLSAASDGHGPDDELDKLRSALKSWGLFLAVGHGMEPSFLAKMMEVTRGFFNLPMEEKQKYSNLVNGKEFRVEGYGNDMVVSEKQTLDWCDRFYLIVEPESRKVHSLWPPQPPSFCDVLREYTAKCREIVNLVLANLAKLLGLHEGYFLDMMAPEEAVTYARFSYYPRCPKPDQVYGLKPHSDGSIITVVFVDDTVSGLQVKDDAGVWYDVPIVPNTLIINVGDAIEVIMSNGLLKSPVHRVVTNLERERVSLAMFYTMDPEKEIEPAPELVDEERPRRYAKMKTKDYIAEIFETLARGTRAIDNVKI >Et_3B_028000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30780161:30781129:-1 gene:Et_3B_028000 transcript:Et_3B_028000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKFPTPPPLNKTEPTTATTTTTSTSQQQQPDPKDYHQQQHQQQPAQHQEHHHQQDGGGGKEQQQQLQVAPAAGERRQQSLAPKRSSNKDRHTKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETVQWLLQQAEPAIVAATGTGTIPASALASVPSLPSPNAGLARPHHHHMWAQPAASAGFSSPGFMNSAGAAPGDAAGIGGLMQRMGIPAGLDLPGGGAAGGHIGFAPMFAGHAAAGMPGLELGLSQDGHIGVLAAQSLSQFYHHVGAAGGSGQLQHPHQHHQHQQQEDGEDDREDADSDEESGQ >Et_3B_031557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4484373:4485890:1 gene:Et_3B_031557 transcript:Et_3B_031557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVPRSTFPRACLAILAAALVLFALHVEPVQGRRNRGSPPPPKRHVPYQGGVQWEPYPPPPAGQRHRHSPPPSKRHNSHCTFWKFGCCESVRYAERRAHDRGGLPSRRRRRLQLPRPAAVSSTSWMTTPTRSPTSGTPAGASAKVTVRKREPFRVLVQPGTSRGPRVPTAQRRRRPGRLVARPERDGVRGDQLQNLYMEVGGGEPGALTLAGTAPEAKKFVFVPDADWGSSGTVTVSFRVG >Et_4A_034930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7943491:7949413:-1 gene:Et_4A_034930 transcript:Et_4A_034930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSNKQAKLLKSQKFPPELDHLVDTSKVKMDVMKPWIAKRVTELLGFEDEVLINFIYGLLEEKEADGKKIQIQLTGFMEKNTVKFMKELWSLLLSAQQNASGVPQQFLDAKEAEIQQRKAEDDRIAQEIQKKREKDGRDSELEKRKMMDGDAGNSRSHGVGSALNNANVNAQEEKELDFKRSSRTKNRCCVESNTICYKRHIIKLLMGVFPFFFRGDRRSRSTSLSPGGRKRSISPRRCSRSPYRRSPSVDRHHRSSRRSISPRHSVSPRRHSPRSTPITFCQERVTSVAKIFNPVFSLEVSSWSQKITIIWVAEVTFSPPSSFSSSCTKEVTISITSKISCSTQIFTSQASISISSKAQAKIPISSKAQAAVPFPKKPQTAIPIPRKPQATVPFSWETQATFSVSSTTSVPITSPLTADEVTETPSISPYNSKSPDRSHRSLSSDIEKGTNGAPSNKDRVALERNQDRRSDDDDNESEQRRLSKSLRPPNNAERGSTRDSSFKSPGKHISTQDNTDASADEEEGSRARENSRKANSARRKTKDFSADLHSKKVDDDSSPGEKSPFMSRDSSKSFQKKHLDPISESSEDELAGRKMKRQIDSPDDSRGKEREDFYSKDGRNNEHVMGVSREDSQSEDGAPVKKIKKRTDGNSHIDSGSSGSEEPERHRSHTEKRKHKKHHKHNDDSSESDSELDDKEAKRRRKEEKKLRKEEKRRRREERHRRRAERHASKQKKKHLDSDTPPSDPEKEQSSDSDAVVRKRDVHTSREESDPKKLEIELREKALESLRAKKAINH >Et_4B_039099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7806019:7812220:1 gene:Et_4B_039099 transcript:Et_4B_039099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRMRRQGQYGDAEINSMVAAQLHHYQAQQRVQQHPDNSYPGRDSAQASGERQYAAPKVRQSQWDRGGPNVPSQVPSYSYNEGQGAEGAKSFYDGQRSDLKVGIEKQPSKESRDQSRIDRTETRYEDYNLPRTFDGLEQSFHEEIMMLSKELHDAEDAENSRHMERLKEINAQHQEKLLALRARQATYREEFLRKESLAHQQQYQQASVSHYANNAVPGEQHGYHPTAVAPPPVAGGGAYGDHRGYASAQYESFGDRPDYPEFHGGGRGRGRGTEHRSQYPGGRAYNSGGRRF >Et_6A_047743.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:1366870:1367576:1 gene:Et_6A_047743 transcript:Et_6A_047743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVFGVVPRIEQLDDAEKVIQGMDATPNAAMYRSVIQACGVHGNQELGERMIRQLMELEPEHSGNYVMLSNFYARMNRWEDAKKARKEMKALGIDKSPGSSVLDIDGVLHEFLMGDETHPASTEVYAMVEEIESRLSEYGRRPSTAAMLFDVEEEDRAP >Et_2B_019073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29624637:29626143:1 gene:Et_2B_019073 transcript:Et_2B_019073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPKPRSRQEPRRMGNAAMVVTMLISLCVLTYIKARYCSNPFPKAAAEMEVVEIDEDYDSTRYKLDGPIGEEDFDPSRPTCYNTSKRSERCAAVGDIRVDGNHSTIYISPLSKVWKTKPYARRHDAVAMEDVREFTLLPFGGRNDSAVPPPCTRNHSVPGFLFSIGGFAGNLYHDYTDVLVPLFASTHHFGGEVQLMISDIWGKEDKDWWVDKFTPLFRQLSKYDVIDADNDQEVHCFPRIVIGPTFHRAMGIDPTRSPGASTSPTSSASSAAPSASSAPSRRARGRRAATSRACSSSRARARAASSTSAPWRTPPRSPGSTCASPSRTTTRTCPTSRGSSTRRT >Et_1A_009307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38139450:38142581:1 gene:Et_1A_009307 transcript:Et_1A_009307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVILSSAAPSTLVTPSPRAAQRRRQAPPPPPPPRSGGGLLRGGFARLSAALGLAHGAAGAGAALALPSYEETLRLSTDSDGGGDAFALPELSLDGLSVPDLNLDGLVEFVTENPVVVVASLTAVALPVVLARVLGGGGAKPYSVVSARAAYQRLLEEPDVQLVDIRSRKDAREAGAPDLREAKKKALAVPYNGEDKNGFLKKLALRFKDPENTKLVILDKFDGNSELVAELVTANGYKAAFAVKDGAEGSRGWVDGADGLPVTIGLAAATGLGVLAYTEDRKKTIKQIEEFLNNKVAPQELVDEIKEIGQALLPLSSDAKNQPALATASAAAATATTASAEATTRPLSPYTNYPDLKPPSPPSPPSAESAVTESPAAVNSAPVAETSTESPPPSPRPLSPYANVSVQLKLHSHLHRMRHAVLIILHLRAVSGSQAAILSYAIGTLIKHDYKKPDL >Et_2A_015873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18685377:18687264:1 gene:Et_2A_015873 transcript:Et_2A_015873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISLWSTRSAAILLLLVAAAPRRDAGGTEYTVGDAAGWTNGFNYLAWSQKHNFTAGDTLVFNYVEGQHDVYEVTEDAFRACEPAKKTLRVWVTGRDYVNLTVPGTFYYICNVEGHCLGGMKLAVSVAAAPTPPPPPPSPPVLLAPPPPPPTGSAGVSWNRRRLAWPEVFHNVVALHNVYRLWKAKFRTCEPANQTIGVWKSDRDFDCQPSVLMAPGPGTITSPATAETLPFSGGARLKMKKRPTVLARVTEEEPIPARSPGLATKQLSVDVLLPLKAPHIFKRTAEMYCLHIAKVKHQCTTARRTLLGGAKLAFSADLASRAALTL >Et_3A_023157.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32738390:32739013:-1 gene:Et_3A_023157 transcript:Et_3A_023157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDDAIRSKEIAERKFRENDIVGAKKFALKAKALFKPLEGIDQMILALDVLKRGQRKIGEQNDWYGILEVSPLADEEAIKKQYKKLVLQTHPDKNNSVCADGAFKLISDAWSVLSDTTKRMAYNQRRHMCRPQVHQSNYNANVNNTSGSSMPSVNSLWHQNCVPDRPRKVPSQFAHVVPDTFWTYCESCFVNFEYSREYVNRHL >Et_1A_005827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14444380:14453541:-1 gene:Et_1A_005827 transcript:Et_1A_005827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIYDVSLVDGYNLSMLVAPQGSAVGSNCVPTECVADVNGAGPAELRVASPGGGVACKSSWRRSTAASDSRGTRTRAGRRTQSSPVSLLILVLVVSVVTGVSSRKFTITNRCGYTVWPGILSSAGSAPLESTGFALAPGESRSMHAPHKWSGRIWGRTHCATDAATGRFACATGNCGSGHLECSGHGAAPPATLAEFTLDGHEGLDFYDVSLVDGYNQPMLVQPEGAAVGASCVPTGCMVDLNGVCPAELRVAQGEAGGGGVACKSACEAFGSPEHCCSGAHGNPDTCRPSQYSQFFKNACPRAYSYAYDDATSTFTCGGGETSYAITFCPSTASVKTAESDPKLAVYIGGWRVGSSSSSSWRATPRLGSGLLLAIAFLALARIF >Et_4B_036074.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21076042:21076515:-1 gene:Et_4B_036074 transcript:Et_4B_036074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLTGRPPPFFAAGEEEGEDKKNDEQLRKIFEVLGVPGRKAWQALKPAAGEAQRQRRSRLNGQDCSLLREMVPGQVLSDEGFEVLSGLLTCDPKKRLTAAAALRCPWFADNADDVAVVLSTAVSKIADAATTSWSLVCQMAVSLAGRTLGLLRLA >Et_9B_064472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15454488:15456137:-1 gene:Et_9B_064472 transcript:Et_9B_064472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKTKSPLLQPRNPLLILLFLAATTAAAATAVTMAANPEQAAPAAQDAAVHIVYVDRPESVEAEEFHIRTLAPVLGSEEKAKDAVLYHYKHAASGFSAKLTPQQVEDLKKQPGVLQVVPSQTYQLHGPQSGTTRSLGLM >Et_5B_043923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17922111:17923742:1 gene:Et_5B_043923 transcript:Et_5B_043923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFAVSSKPGAGHRQLKGKITFYVWICGIVAATSGLMFGYDIGISGGVTAMDDFLVRFFPAVYARKHRAKENNYCKFDDQRLQLFTSSLYLAALAASFVASRVCTRFGRKRTMQAASVFFLGGSALCAGATGLAMLIVGRVCLGAGVGFGNQAAPLFLSEIAPAHIRGALNILFQLNVTVGILRRQLLRLRGAPAAVLFLGSLAITETPTSLVERGQPERGRATLERIRGTRDVDDEFEEIRGACARAAAALREEERPYRRLARPESRPSLVIAVAMQVFQQFTGINAIMFYAPVLFQTMGFEGDASLLSAVVTGGVNVLATGVSIALVDRVGRRKLLLEACAQMLVAQAAVGGIMVTHVGAEGSPTRGWAVAIVVLICVYVSSFAWSWGPLGWLVPSETFPLETRAAGFSFAVSSNMLFTFLIAQAFLSMMCTLRAYIFFFFAAWIVVMAVFVLALLPETKGVPVDEMVDAVWRRHWFWKRCFADADQAKINNC >Et_4B_037737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2373513:2380646:1 gene:Et_4B_037737 transcript:Et_4B_037737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAVAGGGPGETRPVPAWLRGLPRAPEYRPTESEFADPIAFLSRVEREAAAYGICKVIPPYQRPSRRFVFAHLNRSLASASSDAANPTTSASPNPASSRPESGAVFTTRHQELGTPRRGRPPPQVLKQVWQSGEQYTLERFEAKSRAFSKSYLAGLRVPTPLEVESLFWKASEDRPIYIEYANDVPGSGFAAPEQSQRQRKRRREGESDDGEKGSGSRLSGSPWNLQAIARAPGSLTRFMPDDVPGVTSPMVYIGMLFSWFAWHVEDHELHSLNFLHTGSPKTWYAVPGDRAAELEDVIRVHGYGGDSDRLASLAVLGEKTTLMSPEVLVAHGVPCCRLVQYPGEFVVTFPRAYHVGFSHGFNCGEAANFATPQWLKFAKEAAVRRAVMNYLPMLSHQQLLYLLAVSFIPRTSSLLSGSRTSRSRDRRKEERELLVKQEFLHDMISENKLLCSFLKKKPINNVVLWEPDLLPSLHSCSSGSKILDKKSEGGCRIESQQCSHKGHSSSDGTACMTGTQSESMSTDSKPSYAIAAAEEEQVEGDADDEGDLPFDLSIDSGSLTCVACGILGFPFMAILQPSREALEGISHAHQKMDIQSCDKASSNIPPRCPADDNSGCSSIPSEPSIRAEQDTLNYQHFNLQRNNVCSTENELVGPLVQHSNCLHSCSIENALHSCSSREKSEKSDNVPADSLSSELSKQIDRCDIDAKFLDNCENTSIWNTSCTFARPRIFCLQHALEIEELLEGKGGVHALIICHSDYTKLKALAISLAEEIEFQFDCTDVPLTNASKSDLQLINISIDDEGHEEDGRDWTSQMGLNLKYCAKLRKERSGNQERPPLSFWGLFSNQPATSDVPSLKWLCRKARTPYKVIGIISSSSATTAVKVEPEDTKPKIGNFEIVYEEENSQQALQQCSRLQSSKLQDSDDIATMQLCTDKEDVKKQPLVDIPIALAEYPIVQQVSEGPVNVDTRSQVICSSDSQDSLPPADSTFEVERDQECVQSIVRSSSTAVSVQQFVDDESVSMEGSMNCLSSHEYSESGNNTLRGRDEQLHVQQDQEMTTLSNNLNRKAVGPCLIDSEVKCGDAASSILANEDDCAKTSCCLDVVTEDNKSLSDNQPEAHDQSADRQGRLTFGCLGSAGVPSSTEPLTPHDLMSDELQVATSHSVVTSDELKNISPNNESSQKEAVQAALVSMIPTEDGQSIRSNTFDILLGALAEESKVADAPGKDEVGKASLTLMTLASNDQTSDEVTERKVVEMTKRDTILGITEDCQQVDQSHDFQLSDAVSRSIGRSSRTDIICYVRRKHKRKRKPGANTDTSHSVGSFVRSPCESLRPRSRPAIVEEMITAEASTARKGKRAKTESFECEVDLCGMKFDTRAELNAHKRNICTDDSCGKRFSSHKYLKRHQVVHSEVRPLKCPWEGCGMTFKWLWAQTEHVRVHTGERPYKCSAPDCGQTFRYVSDYSRHRKKFNHY >Et_7B_055958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8016193:8017341:-1 gene:Et_7B_055958 transcript:Et_7B_055958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQLKRDYEIGEEIGRGRFGVVHRCVSRATGEPFAVKSVDRSGLADDLDRALAELEPKLAQLAAAGNPGVVQVRAVYEDDAWTHTVMDLCAGPDLLDWIGLRRGAPVPEPEAAAIVAQLAEALAHCHRRGVAHRDVKPDNILLDLDDVSEGDGAAPPPRVRLADFGSAAWIGGGRSAEGLVGTPHYVAPEVVAGGEYGEKADVWSAGVVLYLLLSGGALPFGGDTPAEVFAAVLRGSPRFPPRLFAGVSPAAKDLMRRMMCRDVSRRFSAEQGTHGSRAEGKRERWCSQPEVRAEPRGQTIPDQTRVAC >Et_1B_010067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21701994:21703033:-1 gene:Et_1B_010067 transcript:Et_1B_010067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFLVLLTACKRISRSPYNSYLYIDNFMFGRQVEKQQAINVLMQENSFTVPTVLPIVGGCRVGKKTLVWNICSGDDIDKIDQRKFKRVRTLATIEFVSDVTHKEWHKFYSLVACTGKGSKVIIICRSEKVLAFGSTNPMDQPQLALIGKELATMFQGSLVMLNVYASVLRSNQNVRFWSRSLELFVVIMQNNLATFGEHPKTLLERHGAAVDITGFTLSSQSGTLFRLVLQTTEQNAYIGDLPTMSFGDIIAGSTILPKKFQLVWKSRLPPYNVICANCTAEMPRHPASPRKKRQKVMQSSCLM >Et_2B_020434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20082425:20085010:1 gene:Et_2B_020434 transcript:Et_2B_020434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAHPSLSLPTAPRRAGLAAAPLRRRRMPVVRAKVREIFMPALSSTMTEGKIVSWTAAEGDRVAKGDPVVVVESDKADMDVETFHDGIVAAVLVPAGGTAPVGAPIALLAESEEEVALAQARAQALSQGQGPEQPPPDAAAAPPDPVPVAAPTKAVATPYAKKLAKEHRVDIAGVEGTGPHGRVTAADVEAAAGIKPKPQVAPPPPPASVGPATPTAVSRNMVDSMSVPTFRVGYSMITDKLDALYEKVKSKGVTKTVLLVKAAAMALAQHPVVNASCRDGKSFSYNSNVNVAVAVAIEGGLLTPVLEDADKLDIYLLAQKWRVLLKKARTKQLQPAEYSSGTFSLSNLGMFGVDRFDAIIPPGQGAIMAVGESRPTVIADKDGFFSIKNEMLVNVTADHRIIYGADLAAFLQTFAKIVEDPESLTLYEIQIKLILSRKKLILARKSIPSALH >Et_2B_022174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10586081:10596506:1 gene:Et_2B_022174 transcript:Et_2B_022174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARLLLQSGVLTYDSALCHWIIGQVTSIMGAKVEGESFMPGYYATVDLNAEANGRWSPYYEEKMPNGQMYNGFTTKSANGFSNFDKEMLKHTMLEHDAVFRQQPHNPLNVQVYELHRVYELQRDMMKQSRSKEVYAYPMLADTSQTNSASQVPQNGATMVWQMPVLPASTTCRKAPVEHNDTNQASIKFLREGSVQSSPNGFPSSDAAPKSRQHTFDLQLPADHYVDDDNASENKPIDFLGLTSDSKPQNDADLTLVSAECFGRLSDNSSTSGLRTTNNLASRHISDLNEPNTGIDMGRANGSASRGLSHTLDNPWHQSIPRSSTTNFCFNKEHNKDKHADEGTSSNFFDTSAKIRQDEKPSINKACQHVAISNDLEQKSTKMFEHSAQQSHGKGMKSFNLNETLSDGLVEQDRRCAGSLQHNKDDAVFGLSWLKNKATYADPTVLDKPAELKNTKARNEGTLTIRNSSDSASTSLECRIKKDGASEDFAARNQLIFNKTHERVPLSCLKHVTKDGEAAEGVIKKNSASFRNFIDLNDDVPNEDNSEESVVLHECQVASVRNNQSKRGFVIDLELPACEEGAAWTFHQECTRPVTRDANQEAGDTSVTSAVAAAESIIALSMDVRATAEAPDDMLLWFADLAISNIDDLAELVEACINDSSDDKSDSFESLTLKLEETKIDEYCSRPRAPTITTDEQAVSTAHLLTKPRRGQQRRRRQKRDFQKDILPGLSSLSRPEIIEDVQLLEGLVQASGGSWESSLSRQGRYGGRTRGRKPRKNLTIAVEEEVQVTTPTKLPGSGDLEVDDKDISATALYKAVPDWRGPVADLMLGFFLGERLSTTSAQCTLTFTCRRSTDPSLSATGTLTNTSTGTFPAFSLSSSACCSPDPNPWRPSMATSMTVTFL >Et_9B_064827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1926435:1934778:1 gene:Et_9B_064827 transcript:Et_9B_064827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSACNGFMVLVVTMAAALSTASMVAGTLQYDFYSTTSCPKAEEAIRNATWSIITKDRTMGAAFMRLFFHDCFVRGCDASVLLGQSNSNPQPEQIAIPLRGLSAVDEIKTAVDSFCGKGVVSCADILAFAARDTAAIQGGFTFAMPGGRRDGVVSSASDVPQFIPSPAMNADQLIQSFGVKGLSAVDLVALSGAHSFGVTHCSFVTPRLYPSVDPTMDPTFAANLKNACPRNSGGGRVLSMNNVSADPNVLSNQYFSNVLAGKVMFTSDQTLASSGATADLVKQNAGGDQVPWMARFAAALVKMGNIEVLTGTAGEVRNVCSATLTEVGLKAERGCDASILLGISNSNPQPERVAIPLRGLDAVDKIKTAVDNFYGKGVVSCADIIAFAARDTVAIQSGFTFAMPGGRRDGLVSSASDVIQFIPSPSMNADQLVQNFGVKGLTADDLVALSGAHSFGVTHCSFVTPRLYPTVDPTMDPTFAANLKTFAAAGSSR >Et_2B_021144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26944365:26952729:1 gene:Et_2B_021144 transcript:Et_2B_021144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLMVDRVHSSLRLFMHRNAVFLCERLCAQFPSETNVQLLATCYLHNNQPYAAYHILKGKKLPESRYLFALSCFRMNLLREAEETLCPVNEPNMEVPSGATGHYLLGVVYRCTGRISAAAEQFTQALTVDPLLWAAYEELCILGVAEDADECFSEATALRLQQEHTSTSCLENSKFANENRVLPSNISASLEDISPKQVKHLHANNMSEVPGYSHGRATALHVQNSASSNVAQFDTPSPTATQTSGIMPPPLFRNAHAYQNTISGDAPTKQKANAANQPLRRKFLDEARLKRVSGRLFNQSSDSVPRRSLRLSRDTTINSNSNISQFGGNGTDHASGSRYEVIDEMCTDNIPAASSSISSADGRFLEQDKVERTLSQDSKLAVGIRELLGLLRTLGEGFRLSCLFKCQEALEAYRKLPEQQFRTGWVLCQVGKAYFELVDYLEADHYFELAHRLSPCTLDGMDVYSTVLYHLNEEMRLSYLAQELISIDRLSPQAWCAVGNCFALRKDHETALKNFQRAVQLDSRFAYAHTLCGHEYSALEDYENSIKFYRCALQVDERHYNAWYGLGVVYLRQEKFEFAEHHFRRAFQINPRSSVLMCYLGMALHSLKRNEDALEMMEKAIFADKKNPLPKYQKALILLGLQRYPEALDELERLKEIAPHESSMYALMGKIYKQLNILDKAVFCFGIALDLKPPAADLAIIKSAMEKVHLPDELMEDDI >Et_7A_052981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:424092:424946:1 gene:Et_7A_052981 transcript:Et_7A_052981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAGGRSRRGGDKKAPPSAAAPSPPPPPEKTILKRLPPTDLASLASAAPPHAVFGGWDYEAQLRELQRQREWYLVNTATPDPYFPLLAPDMDDPQQRSWSPRPSRCLECEAAAAAMREPAFHWCVRDAVVTVGFRSHVGPIERPVKKSPSPPPFLGMPVVY >Et_9B_066141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4773652:4775240:1 gene:Et_9B_066141 transcript:Et_9B_066141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAGKKLLHTMANSCVAVLLVLLLLPCAEASPAPTTAATIDGSRSEHLNLRGPLLRGPESVAFDGHGTGPYSGVSDGRILKWNGKALGWTTYAYGPGYNKKTCTASRLRPAELTESTCGRPLGLRFNLKTGDLYIADAYKGLMRVGPGGGKATVLVNKADGVPLRFTNGVDVDQVTGNVYFTDSSMNYPRSQHERVTATGDSSGRLMKYDPRTNHVTVLQSDITYPNGLAISADRTHLVISLTGPCKLLRYWIKGPKADTSEILTDLPGYPDNVRSDGKGEFWVALHREKSELPFGPDSHLLAVRIGADGKVTQVMKGPKSVRPTEVVEREGGKLYMGSVELSYVAVMEPRNESIEILLRSWKTMAID >Et_4B_039076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7514922:7519518:-1 gene:Et_4B_039076 transcript:Et_4B_039076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQDYLEKHMLSRKIEEAVNAAVRAKAPDPVLFIAGHMRRAAPAVITKVRARQILDGHGAPAVEVELHTNKAVHRASAAGAGAPEGTAAAAAGDAERRKNLARAVADAVRVINDKVSEALVGLDPQQQTQIDQAIMDLDKARHKAELGANAMLAVSIAACKAGAAEREVPLYKHIADLVGKNATMLPVPAITVINGGRHAGNALPIQEIMILPVGAKNFEEAMLMGSETYHHLKDIILEKYGSESCNIGDDGGFAPNISRQRAGYNGRIKLAINVAATDFCTEYPLVSIEQPFDKDDWEHSKKLTTLELCQVVGDDLLMSDPERIKRAVNEYTCNALVLKANQIGTVTEAIEVVKQAKDAHWGVMVSHRSGDTEDSFIADLAVGAAAGQIKAGAPSRGECLTKYNQLLRIEDQLGSESSGSEGGQEDHMMCHYKLYVV >Et_9B_064876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19428114:19431586:-1 gene:Et_9B_064876 transcript:Et_9B_064876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNKIKVANPVVEMDGDEMTRVFWKSIKDKLIFPFVDLDIKYFDLGLPYRDATDDKVTVEAAEATLKYNVAIKCATITPDEARVKEFNLKSMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVYEGKEEQVELEVFNFTGAGGVALAMYNTDESIHAFAEASMATAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAGWRSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFTQKLEAACIGAVESGKMTKDLALLVHGSSNVTRSHYLNTEEFIDAVAEELRSRLAANSNLPQLRLRLQKNNCEHYSCCRNTVAAAEEITETL >Et_7A_051749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2409327:2412092:-1 gene:Et_7A_051749 transcript:Et_7A_051749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWAIAIHGGAGVDPNLPEQSQEEAKRVLARCLQIGVDALRAGSSALDVVEAVVRELESDPFFNSGRGSALTRQGTVEMEASIMDGRGRRCGAVSGVSTVKNPVSLARRVMENSPHSYLAFDGAEEFARDQVRIDQMTRPPLNQLISLVSSCAAVLYRVCHQKTTKIPVSMLITIVRTKQSFNNLILIPSWIFVQGLETVDNSYFITEDNIGMLKLAKEANTILFDYRIPDTCSALAGADNNKNGMVMNGLPISIYAPETVGCAVVDANGFCAAATSTGGLMNKMTGRIGDSPLIGSGTYACDLCAVSCTGEGEAIIRSTLARDVAAVMEYKGLALQEAVDFCVKERLDEGFAGLIAVSKTGEVAYGFNCTGMFRGCATEDGFMEVGIWE >Et_10B_002974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14915457:14927112:1 gene:Et_10B_002974 transcript:Et_10B_002974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKITPEERRQIERKRKIKEKAKNRKPGPDAASEVPIQKADDLCAICDDGGDSLSFVRPKLNLLSLLICDGGCQRCFHLDKPKCRLILGLDKEQAKMIHDDKKRDFICKNCKYKQHQCFACGKLGSSDLSSGAEVFRCKHNDCVRFYHPECVAKLLYPEREKASPFQLQIAAGEEFNCPMHQCTVCKGGENKDDQNMQFAVCRRCPTAYHRKCLPSDILFEPEEGRNGQVQRAWEEMVDSEGDVFRLYPIVIYCTKHEIVKELGTPKMNHIIFPKIIREPKLRPLKRIYVRKKSYLTTPHLNHCSLHRLQVTRISARVQAPLTHLRQVPCFHIRIQAPVVGLKTEHPASGVYPLESMDGVESSGKELVLLTGANGIKQKSKKKRKARPDAENFICKNCEYKQHQCFACELLGSSDLSSRAEVFQCKDANCGHFYHPKCVAELLHTGSKVRASFFEQRVAAGLKFLCPVHNCHACHGKENKDDVNMQFAVCRRCPTTYHRKCLPSDSPFKTENGSIQRAWDGILRDQILMYCIDIWVAEAPESAPKEQDVHDDEELLDHRSSVSTPPTSPPADIQYRFLCSNPDSFVPKSLCMHPYPGSDIYLLKYAKLKDGPPGAK >Et_1A_004812.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:9608730:9609020:-1 gene:Et_1A_004812 transcript:Et_1A_004812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAMAAGLLLPAPVAGTTWKTTPLAPWPSTDSALRPARLSCCGGGEGAMLPMATSSRSKAECSVGFGFWCLMSSRASWLPLNWSRLVVQYNNSS >Et_8A_056327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2306154:2306498:1 gene:Et_8A_056327 transcript:Et_8A_056327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIMNAEVAEAIACREGMALARDIVLRCFRLASDCQMVIRNIHGDTHILARSSIYNSVGRYVWFLTPPDGVCNFYSLID >Et_7A_052833.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:18599820:18600291:1 gene:Et_7A_052833 transcript:Et_7A_052833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVIAVACLLALAAASSAAQCRYEVVVQTGDRTNAGTDARVSLQVSSATNGSKLVVPNLESWGEMYADHDYFEKGNLDRFGGNGPCMPYQPLDMVITSDGSGNKPSWYVDYVQVTQMGADGVPSVMHKWGGESVARH >Et_2A_015477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13539992:13542344:-1 gene:Et_2A_015477 transcript:Et_2A_015477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQLLLATSLVMAQVLLRGAAAAGKVPAVIVFGDSTADTGNNNFIQTLFRGNYPPYGRDFAGGVPTGRLSNGRLAADFVSEALGLPPAVPAYLDPAHGIHRLASGVSFASAGSGLDDVTAQILSAVTLPRQIHHFKEYKARLRRAKGAAAANHTVAHALYVFSAGASDFLGNYLLYPIRRRRFTLPEYEAYLVGAAEEAVRAVHGLGARRVRLLGLPPLGCLPLLRTLNRGECSRRHNAVAMRFNRRLRALAWRLNFELPGAQVAYVDQYRLLEAMIDRPWEYGFENSERGCCGTGYVEAGVLCSLNSALTCDNPDKHVFFDAVHPSERAYAMIFIQMKITNN >Et_7A_051001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13504650:13509756:1 gene:Et_7A_051001 transcript:Et_7A_051001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGVDAEVVIAGGGIAGLATALALRRAGVARDVVVLERHAELRDTGAALTIFPNGWFALHALGVAHKLTSRYEAYETSRVTNLETGATQVFRFSGNKTSPEEVKLRPMDRKALLQALAEELPPGAIRFSSKLVSIDTEPAAGGRDSSETVVVRLDDGTAIRAKVLIGCDGVHSVVARWLGMSEPVSSGRSAIRGLSAFPGGHSLKQELRQFLSEGLRAGMVPISDTHVYWFLINNTKETARDPIKTLREVTDNLARHMPVEFLDVVRHSDLKNLSWAPLLYRNPWSLLIGTATRGTVTVAGDAFHPMTPDIAQGGCSALEDAIILARVLSRAATPAEGMASYVAERRWRVSWMVAGAYLSGWVQQGGTKNGGMLGYMIKWFRDRIFYRFMAGGGVDAEVVIAGGGIAGLATALALRRAGVSRDVVVLERHAELRATGAALTIFPNGWFALRGLGVAHKLTSRYAAYETSKVTNLDTGATQVFRFAGNKARVRPIHRKALLQALAEELPQGTIRFSSKLVSIDTEPAARGDSSEIAVVRLDDGTVIRAKVLIGCDGVHSLVARWLGMSEPVSSGRSCVRGLSVFPGGHNVKFELRQFLSEGLRAGMVPISDTDMYWFLKEAAGDPTKTLHEVTDNLASHMPAEYLEVVQHSDLDNLSWAPLLYRNPWSLLTGRAALGTITVVGDAFHPMTPDMAQGGCSALEDAIVLARALSRAATPAEGVASYVAERRWRVAWIVAGAYISGWVQQGGTNVSGMSKFLVKLFRDWIFFRFVFPKIADTMWFDCGDLTPRKEGKDHLE >Et_9B_064738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18163302:18167284:-1 gene:Et_9B_064738 transcript:Et_9B_064738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLSTTRSPPSSSASPSNGAARRTPPPPGGEAIAASPGRRRRRRCVAWRLGGLGATWALDPRARWVREWNRAYLLACAAGLMVDPLFLYAVSVSGPLMCVFLDGWLAAAVTALRCAVDAMHAWNVATQLRVAAPDATSTRKRDEAEEHEGVEALRKLRAYAMSKKGMGLDFFVILPVMQLVVWVAAPAMLRAGSTTSVMTVLLVAFLVEYLPKIYHATRFLRRMQGESGYVFGTMWWGIALNLMAYFVAAHAVGACWYLLGVQRATKCLHEQCLQLLPGCASSSAVACAAPLYYGGAAAPVVDSRLAWANSTQARSTCLANADTYQYGAYKWTVMLVANPSRLERMLLPIFWGLMTLSTFGNLESTTEGLEIVFNILTITGGLILVTMLIGNIKVFLNATTSRKQAMHTRLRALERWMRRKRLPEAFRHRVRRFERQRWAATRGVDEPRLVRDLPEGLRRDIKYHLCLDLVRQVPLFSHVDELVLENICDRVTSLVVPAGETLVREGDAVRRMLFIVRGHLRCSQALRNGDTSCCMLGPGNFSGDELLSWCLRRPFRDRLPPSSATLVTMESTEAFALEADDVKYVTQHFRYTFANEKVRRSARYYSPGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNQDDDF >Et_9B_063885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19323438:19324028:-1 gene:Et_9B_063885 transcript:Et_9B_063885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSISCSLKPPAPFKEASSRLQPTPSATTTSPWACVAAAACAVVAMADGGASTAALTRDAVSSTSSGADGAVVAVDARGGPARWSDRRRCPPWRANSLESFVPENLPRPSAQRRFNSVTAAAAAERTAPALAPEAVAPFLARRAGMGCFSL >Et_6A_045802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13420295:13420992:-1 gene:Et_6A_045802 transcript:Et_6A_045802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVLLDTLVEYYKKGDRCQNGWKPHVYTAAIKNVREKCGVNIAKGNIESRSKTFDKHYNIINGMLSTSGFGWDWDKNKLSIDSESVWESYVERNKDAKGYKNKVIKYWESISLVYCKDHGTGEGARTASESSKEMSKEDGDNKDQVASTTTSGSLKRQRSDDSFNSIWTEKLDMIAATLKDDTPK >Et_7B_055034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6679808:6680835:1 gene:Et_7B_055034 transcript:Et_7B_055034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERADDLGLSLSLSSSLAPRTRHVAMLLRTPEKRFLEMPLLPAKRSEVAAEDGLRGGSDEEDGGCGIDGSRKKLRLSKDQSAVLEDSFREHPTLNPRQKAALAQQLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQKEVQELRALKLVSPHLYMHMSPPTTLTMCPSCERVSNANSSSAAAAARRAAGSIVTTAAAGGDGAVCHRPIAVRPPQQQSLASS >Et_1A_006721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26790068:26793737:-1 gene:Et_1A_006721 transcript:Et_1A_006721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASKVEHEDTVRRCKERRRHMKDAVAARQLLASAHAEYLRSLRVTAAALSRFAQGHPSLAVSHHTAPVLLSAAAPPPATAHALPTPAPSTAASSSLPPSTPLSQHPHQAPPPPAQPPAAQAPARPTPVRAPRPRRLRVPHILSDSSVASPAQSSFRKQPVVGTPSSSSAWDWENFYPPSPPDSEFFERRKADIEEANRLRELEEEEKARAYLHRLHPQRLKEEDEVDDGDEEDDEEEEKAGMHCGGWEDDEEHYASTTTSETRSEEDGEMGTRSECGFAARSECGYVARSEYGGTAPSEYGAVQLPLRRDERSEAGDSSSTVTAATEMRMVVRHRTLAEIVAAIEEYFVKAADAGNGVSELLEASRAQLDRNFRQLKKTVYHSNSVLSALSSTWTSKPPLAVRYKLDTNALEMESMEGKSHGSTLEQLLAWEKKLYEEVKARESVKIEHEKKLSTLQSLEYRGRDSAKLDKTKASINKLQSLIIVTSQAATTTSSAIVRVRDNELAPQLVELCFALLGMWRSMNHFHEIQNEIVQQVRGLVDNSMAESTSDLHRLATRDLEAAVSAWHSNFNRLIKYQRDYIRSLYGWLKLTLFQVDSSAPQEAHASLISRELTSFCDEWKQALDRLPDAVASEAIKSFVNVVHVIYTKQAEEMKIKKRAETYSKELEKKTNSLRSIEKKYYQSYSVVGLGLPGSGRDGIESVAYDARDPLAEKKSEIALCRRKVEDEMTRHAKAVEVTRSMTLNNIQTGLPGMFQAIAGFSGTVVEALDAVCRRAGSVR >Et_5A_041210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19413339:19415075:-1 gene:Et_5A_041210 transcript:Et_5A_041210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSATAAASTATTAFASGRLSAAPSPRRISASLPSSSSFLRALPRRAALPAAARRPAVTVRAEAKKSVLIVNTNGGGHAVIGFYFAKELLAAGHAVTVLTVGDEASDKMKKPPFSRFSELTSAGGKTVWGDPADVGASVGGASFDVVLDNNGKDLDAVKPVADWAKAAGVGQFLFISSAGIYKPTDEPPHVEGDAVKESAGHVGVEKYLAEQNFSSWASFRPQYMIGSRNNKDCEEWFFDRIVRKRPVPIPGNGMQLTNISHVRDLSTMLAAAVENPGAAAGNIFNCVSDRAVTLDGMARLCAAAAGADGVEIVHYDPAAVGVDAKKAFPFRNMHFYAEPRAAKEVLGWTSTTNLPEDLKERYAEYAGSGRGEKAMTFDLDDKILAALVQTTTRSVTV >Et_2B_019813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14166413:14171396:-1 gene:Et_2B_019813 transcript:Et_2B_019813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ACGQAQASRPTERISGSSPARTKTRSRERRREREPTRSPPSAGDRHRAMASAKLLYIVVVDDGASSFRYTRSLLHSTLQLMGCKPRHAFEISRRVFDVIRGDQHGDSAAAAGVQRYELAEATPTTSPRQFQFELYKRRTTVLLPRDLFLDLVCDALALYKYVAPNQRADLMLACRIREKKESVTVLLCGTSGCGKSTLSTLLGSRLGITTVVSTDSIRHMMRSFVEEKENPLLWASTYHAGECLDPVAVAESKTRRKAKKCSGMSSNSSTDCDKSGASMEKIDGKTIGKKQMAIEGYKAQSEMVIDSLDRLITAWEDRKESVVVEGMFKLIQRLGSSRKLMAIINDDGSVSKAWPVGSSVGDEKCSSDSSFQKSVGNPIYGPLNIGRAESVNLQFGAFGISAWPTDTGCTSQAGNTDESWGSATEGSSRHDPSSAGSPKKSDGHCKEIKESSAASGSDEEEEEEVDVRPNSGSDEDLSEEDNREIHEEMEGSVDEDCNRSDEEYDDLAMRDSMENGYLTDDGVFYAGLRKSSSIKLLDVNQHSQSMPRKHRENLHTLSSKLDIGVPETARSSGVPASTSNRRQATRKWKRSLSDSLHSRPRSAPELVSTYKSSPPVPVAPER >Et_3B_029770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27857142:27859386:1 gene:Et_3B_029770 transcript:Et_3B_029770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPQGLRRHAASSACPFLAFAVLLALPGLAAGATHRYTFNVQMMNVTRLCVTKSIPTVNGQFPGPKLVVREGDRLIVKVHNHINYNVSFHWHGVRQLRNGWADGPSYITQCPIQGKQSFVYDFVVTGQRGTLWWHAHFSWLRVHLYGPLVILPKRGEGYPFPAPYKEVPIMFGEWFNADTEAVINQALQTGGGPNVSDAYTFNGLPGPTYNCSLKDTYKLKVEPGRTYMLRLINSALNDELFFGIANHSLTVVEADANYVKPFTVKTVVISPGQTMNVLLTTSPNPVSPAYAMAIAPYTNTQGTFDNTTAAAVLEYVPSTTTTTARTLPLPALPRYNDTGAVANFSRNFRSLASARYPARVPQSADRHVLFTVGLGTDPCPSNQTCQGPNGTNIQGAESHPLHLHGYNFFVVGEGFGNFDPVNDPPKYNLVDPVERNTISVPTAGWVALRFFADNPGVWLMHCHFDVHLSWGLSMAWLVNDGPLPNQKMLPPPSDLPKC >Et_1B_010096.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:23706916:23707539:1 gene:Et_1B_010096 transcript:Et_1B_010096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAIRRAASSAVDRACAGARGFRRALARFAPRPSAFAPAADAEAAAVRAVRNARTFRFHYAALQWALLLAFLAPRHRASVLFLMAASKGLLVYGGLLRAFPNSALLRRLLDRRLVALVFVALVLADIAAAGAVPSLLAALAAGVPIIILHASFRVRDDLEGPAPEATENGKEEEVSAVVEKKEDGDVEAGPTRRSMAAAPRSPK >Et_2A_014676.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33899946:33903027:-1 gene:Et_2A_014676 transcript:Et_2A_014676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSFFKRKFNQIKTFSAWQKFPSQISQVEARIQRLAEMRNRYGISVGELDRSNKLQHPNRLSVPGSAYLTDNSEIVGNADDIRRLTQLLLEEKRDRTLIAICGMGGLGKTTIASSVYKNQQIRRSFDCHAWVTVSQTYQVEELLNEIISQLIDQRATTETDCLIVSRSRLVEIMQRSLQDKKYLIVLDDVWDKDAWLLLNYAFVRNNRGSKVLITTRRKDVSSLADNNYIIELRTLKCAESWELFCKKAFRATSDNLCPQNLRSWAEKIVAKCQGLPLAIVTIGSILSYRELEEHEWKFFYNQLSWQLANNPELNWISNVLNMSLHDLPSYLRSCFLYCSLYPEDYTIRRKMISKLWIAEGFVEERGDGTTMEEVAEYYLMELTRRSLLQVTDRKACGRARTFLMHDLVREVTLIIATKEKFGIAYGDSRVTQVAHEARRLSIQRSSESFHSLASSRLRSFILFDTGIPSSLIYDILSQFRLLRVLCLRFTNMEQVPTVVTELYNLRYLDLSHTKVKQIPTSFRKLINLQVLDLRFSYVEELPLEITMLTNLRHLHVCVGHDIQERSVNCYTATKIPGNISCLKNLQTLLTVSANKDLVSQLEKLTLLRSLAIMKVQQSYISELWNSLTKMPNLGRLLIYTYDKNEVLNLKTLKPLPNLKLFWLAGKLQGGILPQMFDELKLTQLKMDWSGLKKDPISSFSHMLNLVDLWLFGSYSGEELKFCAGWFPKLKSLQLADMEHLNRIKIEDGTMQSLHFLELAGLGNLKAVPEGIKYIKTLDQMVLTDMSKEFIERLQRSDNHIVQHIANIHSFHTSDSQAGNLRVLIMPITW >Et_5A_040457.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24169605:24169682:-1 gene:Et_5A_040457 transcript:Et_5A_040457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRQQGEEHQELFVQWRPCDKKRS >Et_1A_007382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3413124:3415297:-1 gene:Et_1A_007382 transcript:Et_1A_007382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VARPAMDGFSLIADHNLMLQSRGMLDGRTDPGMFASNGYAGVASYASDSHTVRIKDEQKNKGKDLFFCDLPELGGFDDFETNMRHFDPTSEFGNFDDTLWSSICSPDAQLVPGSCFDSINVSSVRNQSSTETVNNTTHSTSIQQQTWSDGRNHTPSTFEAYANTSGEIDQFSKHLSAVLFGPSDDAIASAKETGSGEELEAIICSNRELQVPTASSTMSRDESVATSSACSGPDLVAAPIPCSMRKLNDPLHATPDMLLEGMAENPLEMYFAPLTTFEQPEVLMSDTLTQTYQFPEEFAGSTSVTNNASIQFCSNEMSSAKLHEHPCSTVILEAVPVRDFGFEKLQNGMNQMDVATKGRIRDALYRLANSVEQRHYVARTSGVAGSSGSKRFRAGRWTETQTNPMDQSVAQLLLQKPPYRNTFLSIYD >Et_2B_020324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1897055:1899027:-1 gene:Et_2B_020324 transcript:Et_2B_020324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAIYSLFIINKSGGLIYYKDYGSAGRMDTNDSLRLASLWHSMHAISQQLSPTPGCTGIDLLQAHNFDLHCFQSLTGTKFFVVCETGAPNMEILLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRVALLGR >Et_3A_026499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8835674:8838992:1 gene:Et_3A_026499 transcript:Et_3A_026499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISSTLSARLSSGPAPAAARWSPYAVHEARGGAAKGSRPPLGLDPATSRLLGPAQKAATSGSGRRSEVAASVAAAAPARRRPGCEMPNQKPNPAEGDEKPPTEEKRDGGGGFVFHCTLSGHTEAISGISLPQGSDKLYSGSADGSVRVWDCNTGKCVDVIEMGGKIGCMITHGPWVFIGIPKSVEAWNTQTGTKLSLQGPSGLVCSMTITNEMLFAGTGDGRIMAWNFPSKEISVEPVAILSGHERSVISLSVSATSLFSSSLDKTIKVWDLTTLQCVQTLSEHKAAVTSVLCWDQKLLSCSLDKTVNVWTLSESGSFQVQHTHAEEHGLRMLFGMHRVGKTPVLFCSLRNSNCIRLFNLPSLNQMGTLFSKNEVRTIELHDVGLLFTGDCAGQLKVWRWAPQEEPASAVCTESAVQRY >Et_1A_006647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25631404:25638265:-1 gene:Et_1A_006647 transcript:Et_1A_006647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVHLDLLYCPFEMKEEDPNPFRQQFPMTSMKTQRFLCGCASPGCFVPGEKSSAYEVVLTGKRQLEAEHMNLGALNTGYYTDLLVNDAEESHDHSPPSDPIIHHYVPVPAKSSQGRGQNFKDVEDILLVSAWLINVGMDAILGVDQSQGTYWTRIHEYFYECKMKLDAKSTSKKKQKRVANSSPASVALTDASVVDPAGGGTPDGSFEKRRPDGKKEKQKLWQRSTIKAVDYLMAKKKEVDEERIKLEKEKFEFQRDLEEERILNLDLSTMSYKQPQYYEGIRMRHSQ >Et_5B_044897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6992615:6993948:-1 gene:Et_5B_044897 transcript:Et_5B_044897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WLRSSKPCGTILLAPKPVHFWAPTFKWGISIANIADFAKPPEKISYPQQVAVACTGIVWSRYSMVITPRNWNLFSVNVAMAGTGLYQLSRKIRQDYFSEEKEAPAALEG >Et_9B_064360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14287862:14297096:-1 gene:Et_9B_064360 transcript:Et_9B_064360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFEVNGKSVQGVDLLRRRYWASRLDFWPFLALYTLWLLLAVPALDFTDALIVLAALSAAHILAFLFTAWSVDFRAFVGYSKVKDIRAANACKVTPAKFSGSKEIVPLHIQRTVASSSAVGETEEIYFDFRKQRFIYSAEKDNFFKLRYPTKELLGHYSRGTGYGTEAKINTAVDKWGRNIFEYPQPTFQKLMKEQCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFLFESTMAKSRLKTLTELRRVKVDNQIVLTYRCGKWVKIPGTELLPGDIVSIGRSASGDDRSVPADMLLLAGSAIVNEAILTGESTPQWKVSIVGRGPEEILSVKRDKNHILFGGTKILQHTADKSVNLRAPDGGCVAFVLRTGFETSQGKLMRTILFSTERVTANNKESGLFILFLLFFAIIASGYVLIKGLEDPTRSRYKLFLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFQGVVTLEGDEELISDTNKVPLRTQEVLSSCHALVFVDNKLVGDPLEKAAIKGIDWIYTSDEKAMSKRPGGQPVQIVHRYHFASHLKRMSVVVRIQEKFYAFIKGAPETIQERLVDLPAAYVETYKKYTRQGSRVLALAYKLLPEMPVSEARSLERDEVESGLTFGGFAVFNCPIRNDSGTVLRELEQSSHDLVMITGDQALTACHVASQVHISSKPVLILTRMKTGDFEWISPDETDRAPYSAEEVAALSESHDLCINGDCFEMLQRTQAVLQVIPYVKVFARVAPEQKELVLTTFKTVGRMTLMCGDGTNDVGALKQAHIGIALLNAEPAQKTDSKSQSSKTDNKSGKSKKQKPATETSSQQAPPANSSAKASSSRPLTAAERQREKLQKMLDEMNDESDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQTLSAERPHPNIFCAYVLLSILGQFAMHLFFLMSAVNEASKHMPEECIEPDSDFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSISENKPFKYALYGAVAFFTVIASDMFRDLNDYMKLEPLPEGMRGNLMLWAILMFCGCYGWERLLRWAFPGKMPAWEKRQKQAVANMEKKRD >Et_4A_035701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3932497:3933640:-1 gene:Et_4A_035701 transcript:Et_4A_035701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAELIPPPRRAASRAVTEGHLWPASSKKGGSGGNKRHGHADVAEIDDFEAAFEEFDDGFDDAEEEDQDDDLGSRPFVFASKNAGCKSVSAQCSRAFLGLIVTCACGFVSVISKGKNSCVARDGLAARAASQKKRGRGRHFRGIRQRPWGKWAAEIRDPHKGTRVWLGTFNTAEDAARAYDVEARRLRGSKAKVNFPAAAGARPRRRGAKARAAPETQLPPAATAQPAPRGAQKKQEELVLKPEMAMASLDMDNFFDLTFPAAPPVMASSFTASSVSESGSPAKKPRMTDDSSEGSGGSATLELTDELDFDPFMLLQQQPYMDGYESIDSLFAGDAVQGMNAVNNDMNSAGLWSFDEFPIDGALF >Et_4A_034381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32119775:32121367:1 gene:Et_4A_034381 transcript:Et_4A_034381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKERLMKMAGAVRTGGKGTVRRKKKAVHKTATTDDKRLQSTLKRVGVNTIPAIEEVNIFKDDLVIQFLNPKVQASIAANTWVVSGSPQTKKLQDVLPGIINQLGPDNMEHLKRIAEEMQKQVAAAGGAAKEENDDEVPDLVPGETFEEVAQEAKA >Et_1B_012910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4065012:4065670:1 gene:Et_1B_012910 transcript:Et_1B_012910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADMIPSSPSTETSRSSSDIATESTGSFFRDRSTTLGTLMGVSLDDDEQGPRGLEQEGQDAGEERQRPRSLTTQEADGWRWRRKWRRRRWRNSGGGWWRMCRDDIRVPTSLGHFLDMERRVSGAGLLCSDGNGENGTAPPSAAVGVAGEERGKWKLRRPAQGPSSSLARLPVLLTAICSSGAG >Et_10B_003684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4878022:4882423:-1 gene:Et_10B_003684 transcript:Et_10B_003684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLQLLVGTLASPWQTVAAARCQTECGGVEIPYPFGIGRGCYRHIAGFGDDQQDFKVNCSNRGGDPLRRKPTPMVYGFEVLRIDLGLGKLIVRSPVSSWCYNATSRSTDEQPSTWWYDSTVLRVSGADNVLAVVGCNAHAFLESRHQGDDDDGEGGALNRHVAGCHATCPGGRRSLAAGDGACNGTDGCCQMAIPPGITSLGLFFDESSNITGAVSRYNPCGYAMVVEKASFKFRTSYVTTSELTDVADGQVPAVLDWAGGNQTCDVAMGNKATYACVSDHSVCVNSTNGPGYLCASAWMGIEEILTNLMDAKTLTSARKWSIHALPMLNVRIPPEVVGAYAFQVVDSILILAARWITVCQQLVCSSIAVVILGAVLSCTYAFQEKKRLAAIKKRYFKQHGGLLLFEEIKSRQGHLSFTLFTKEELEEATNKFDERHVLGKGGNGTVYRGELKVGRTVAIKRCKVADDER >Et_10A_001881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9686944:9697904:-1 gene:Et_10A_001881 transcript:Et_10A_001881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFPHSEDAPKVMSLQLLKKITNNFSKERKVGSGTYGEGVHNGIDIAVKRLHLTPGLDDGEQFKEFENLLRLRHQNIVQLLGYCYETRHEYVYLNDGRTLFAENINRALCFEYMHNSSLQNHLYDEHHGFDWQTRYKIIKGTCEGLKYLHEGLESPIYHLDLKPDNILLDKNMVPKLADFGLSKLVLNDKTQATQSPIGTWGYLPPEYIESKLISKKLDIFSLGVVMIRVIAGYEGYIKKDEMSSKEFVELVQTNWRSRLNATPGCISLQYESHQVKRCIEIALDCVKDDRNQRPEIGTIVHWLNETEHMTETLVKNIPPNTRHGRVSHVDKVNSSDYILVDEVRCTTISASPSLEEMSLTKEPSKIASELSKVGFIQDNLNASNFCSASVFNPGSSPYNPESQGKEYNLILYMHQIISGPKHNQVNIADPKQSQMFGYTNVHDYPIYDSLGHSATIVARAQGLHTETDKNCSDWFHWSSIVFPDERIEDDGSSNNKEVRICAFCCTPMTIMNETKLMDDSSAASNVGSPSMINLKCGPITPQSQETEYNLNLYMHQTINGENRNQVNIADPKQPRMFGYTNVHDYPIYDSNGPDAKIIARAQGLHAETSMNYNDWFHWSSIVFINERFKGSSLMAIGNLTHEGEWAIVGGTGVFTFAQGTISIDTIQREGSSNTKVLRIRAFCHTTHITSTETKPFKRGLIKDDSRETFNFSISSMDNPRCGRGIPDSQGMEYNLHLYMHQTIDGSNHNQVNIADPKQPLMFGFTNVHDYPLYDSLGRSAKIVARAQGLDTETSMNYNDWFHWSNIKFTDERFRGARLVAIGNQTHDGEWAIIGGTGMFAFAQGTMSLSEIQSIGSSNVKEIEICALCCTPKTFLF >Et_10B_003665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4538239:4544533:-1 gene:Et_10B_003665 transcript:Et_10B_003665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EDVINADDSKLQELKRALGERLYNAVVRALMESKRHQSHETFPYLLWNNETRKEAHKKYRRNYVVYIMLPTELVESMSGVRGMAGHLGALASKVDNKISYLRDALTEYRNIRNVIDGVSEEKERLQQEKERFRQEKERLKQDRERLQCDLHANGEALEALKEDALASKNTLIAARDALTESTEKISQQDKELEVLKRKLQESEAKNSQVEQHCGNATAPIQPRRVATRSMDKRKRTSEGPLDYGAYENEYSGQVEDQSLSHLEMESHNVHTRSVELSERNVGNELVNKQSSGICVSGNEELESVRHELIKRFLEIDTGGRKLGIREMGELNEKAFKAACLAKLPPEEVFAGYYQLYSSWQKQLSDLSWNPFKTVTVDGNSQEIVDADDDKLQQLKGEWGEGAHDAVVRALMEMQEYNRLSDRSIAYELWNYKEGRKATVREGVEYMCSQVKPSVTKRRKTRRYHLYMALTHPSHALALLCCRAAGIA >Et_8A_056675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14965963:14968220:1 gene:Et_8A_056675 transcript:Et_8A_056675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAALLLLCVAAMVAATMTAAGDYQHFQKHLQEHLNNPPDMTLRGNESGKVVYGLPGGFRAYITGDAGCSRAILLATDIYGFEAPLLRNIADKVGEAGYFVVVPDFLHGDYFVDNRTDITEWLKRHSPVKSATDSKPLIDALRQEGKSVGVGGYCWGGECNHGTAFTTERVEFIFKIIFASGNG >Et_8B_058809.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:7878647:7879267:-1 gene:Et_8B_058809 transcript:Et_8B_058809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVREAQVGGSKGCGEQRRRAEENRGGGRRTEEFVDLEEAAGDGDEEGRCGPEEGPHGDDARPVVPRGGVGGERVAGGLDQRAAERERAQRRRGRAQRRAHLPVHGGEQHLVGLLQHRRKVHQHQRPPPPRRRVRVRRPPHLRASASATYQDLRGEWIRRRSHLSPSFPPAWLSLARFMGKGNVQRASVEEAVMPFSSRTSKCPLI >Et_7B_055900.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7059135:7060199:1 gene:Et_7B_055900 transcript:Et_7B_055900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKGCGLFAYARRGGGELRKRGDSMGAASSRVAPAEPEPAGLELELGEDGGAARQLSWAEVEAATKGFSSRVIGHGGFSTVYLASLPSGRLGAVKVHCGSERLHRAFRQELGVLLSLRHPHVVRLLGYSEAQDDEGALVFEYAPNGDLHQRLHAGNDVLPWSRRVAVAFQLAQALEYLHEGRQPAVVHGDVKSSNVLLDAAMDAKLCDFGFAKHAGFSSATAQQQRGARAVMGSPGYVDPQLLRSGVATKKSDVYSFGVLLLELLTGKEAVCRETGLRLTAAVAPVLGEGKVADVVDRRLGDKYDCAEAAVVAELALQCISDSPGLRPSMADVVRVLREKTSAVGSKSDGKMMV >Et_3B_031463.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:30439117:30439992:-1 gene:Et_3B_031463 transcript:Et_3B_031463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYIRLNDAVRARLRGDAGCTSSGSDHDASACLSDLVQAFLETDAGAAEEGAGPAPKRDGEYDSDEADAPERAAASVRELLDPPADEDVFRIRLASAAAAAVEAEAATRAHGAAFRRAVARRLSAAGYDAGVCKSRWEASGGITAGTYEYVDVVVAPAARGGKSCRYIVDADFRAGLEVARATAEYAAVVAAVPAAVVVAREESVGRAVRVASDAARRSLRAHGLHVPPWRKTRYMLAKWLGPYKRSTAASPPASAGAMPMPGAAGMDVIKCRAVGFFPPPAAAPAARIK >Et_3B_028185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11458876:11463273:-1 gene:Et_3B_028185 transcript:Et_3B_028185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPLLPSRSLLVPPQQASPRPPASLRVCLSHSNEPSPDPKRRRHPAPSHPAFSPAARRRAKKIPIPDTGEPASGVRVTERGLAYRLDGAPFEFQYSYTEAPRARPVALREAPYLPFGPEATPRPWTGRKPLPKSRKEMPEFDSFVLPPPGKKGVKPVQSPGPFLAGMEPRYEAASREEVLGEPLTKEEVAELVQANLKTKRQLNMGRDGLTHNMLENIHAHWKRKRVCKIKCKGVCTVDMDNVCQQLEEKVGGKVIHRQGGVIFLFRGRNYNYRTRPVFPLMLWKPVAPVYPRLVKKVPDGLTPEDVTEMRKRGCQIPPICRLGKNGVYVNLVKQVREAFEVCDLVRVDCSDLNKSDCRKIGAKLKDLVPCILLSFEFEHILMWRGNDWKPSMPSVKENSFEVINAQEHFSVQELNENVGHSETVLAQSELASNERSLSDCNLGKGGGQSNDSIKPNLASDMALKSVTGLFDSIGVSGTEPSVHTQLGYTTSSPGCDLVDPSLELALHRQNIKSDKSENRGLVASSRHSPDALEPSTSVTSISDELEDIRKDSKGITGRDALNSGSKVHSCMEGVLLLLKQAIDNGRALVLNENEFADYDLVYQKSVALAKSAPRGPVFEHTHRRSNARRNGPDKHVRIKQNLVENKVPDHVVKKDNGNGGLVIQKNDHAQEFLSDVVPQGTLRVDELAKLLA >Et_3A_027377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9560925:9563578:1 gene:Et_3A_027377 transcript:Et_3A_027377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPPCADAAEKNADAATSSPAKPRHAPAPPITAAELRAEFAHHDGAVARLNNGSFGCCPASVLASRARWQRLFLSQPDAFYFHHLQPGLARSRAAVAHAIGGVDPSEVSLVDNVTTAAAIVMQHVAWSFAEGAFSRGDAVLMLHYTYSSVKKSIHAYVARAGASVVEVPLPFPLTSPAAIVAAFRAALARARDGGRRRVRLAVIDHITSMPSVLVPVAELVAICREEGVDKVFVDAAHAAGQVLPRVDVRAVGADFYASNLHKWFFCPSAVAFLHIRKDDPVAAELHHPVVSSEYGNGLPMESAWIGVRDYSAQLVVPDAVEFMSRFEGGVEGISARNHDRVVEMARMLAEAWGTFLGSPPEMCGSMAMVGLPGCFGVESDGDAMRVRTMLRDEYKVEVPIFHNSRSADDEEQEMDRDANGDEVTGYVRISHQVYNVREEYEVLRDAVNKLVLDGFSCSKLRPSEQKARN >Et_2A_018458.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28677830:28678969:-1 gene:Et_2A_018458 transcript:Et_2A_018458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTAVAQQPRVEALSGLSAIPPEYVRPADERTGLGDAFALARRASHAGGGHDDGPRIPVVDISPFTTTTGGSVGEGKDQCVEAVRAAAAEWGVMHVAGHGIPGELIDRVRAAGAGFFALPIDAKEAYANDPAAGRIQGYGSRLATNAGGHREWVDYLFHLVHPDGLADHSLWPAHPPDYVAAAREFGRRVREVASTLLAVLSLGLGLRDKRRLEEALTAGAAGEEELRLQLKTNYYPRCPQPELAVGVEAHTDVSALSFVLHNGVPGLQVRHAGRWVTARSEPDTVIVHVGDTLEILSNGRYTSVLHRGLVNREAARVSWVVFCEPPPDAVVLRPLPELLTDGQPARFAPRTFQEHVDRKLFKKQQHQLDDQLDQDK >Et_1A_007982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39918338:39919444:-1 gene:Et_1A_007982 transcript:Et_1A_007982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGLGDNGQEHASHHPLDNTNDVELLDEDEDEANEDYGGDIFAYGYYVDHFGARMSMAAPLLEPEMVVRAFACSRSVNLRPFPVAVLGSSSTSTTVRGAMKCGRRDLQNAISSPASAVEPSLNS >Et_7A_052412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7681420:7682816:-1 gene:Et_7A_052412 transcript:Et_7A_052412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAARRYASSGLSAVSHRLMKQLLVAKTSSHIDGASRASNLAFSPLCIYSALSLMVAGSRGSTLRELLDLFGARSSEEVLRESIDMVSRGLAGDPDSGGPAIAHFSNLFHDAARKMRNDSSVTALRSMCIPCAVDFRTRVRPQCNPPRSNLNSTEQFFTRSRSEYEFHRLDGSVVASQFMHSYEDQFIASHDGFKVLRIPYTLQDQYEGMPKSVMIELARAMGVDPPAFPRYSMCFFLPDRHDGLWDLAEKVMSSPGFLHDHLLPEKRVEVGKFRVPVFELSSSTGVKQVLQDLGVKTLFTREADLLDIMHEDDGSSEPLFGSVEVNEDSAAPNGSKVCLIDNAGLEPSSGGEDFIIDHPFMFFVAEEDSGAIILAGCVTDPSVLKLSLNE >Et_2B_019105.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1075301:1075540:-1 gene:Et_2B_019105 transcript:Et_2B_019105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TLSHADTSVATPAQHRDTLTLLCLCQLWKHRNEVVFREAAPCLSRLLHDCREEASMWRCRLRRNEASISSSWYSMFNQM >Et_3A_024151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17905682:17909388:1 gene:Et_3A_024151 transcript:Et_3A_024151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLVGYKYRLGRKLGSGSFGEIYHGTHEKSNEEVAIKLESVKTKHPQLVYESKLYRLLQGGTGIPNIKWFGVEGEYNVLVMDLLGPSLEDLFSFCSRKLSLKTVLMLADQMVYMIDFGLAKRYKDTSTRQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLKGSLPWQGLKAGTKKQKYEKISERKISTSPEALCRGYPTEFLSYFHYCRSLCFEDAPDYQYLKRLFRDLFIREAAGQSSRMPPMTSNNRISATEEGRRSGWSDDPLRRQVPPAGMNAGSLSKQKSPARQELSTSKDALFSSSTALGRSSGSLRHPAISNGRELKDSEAEPSRSRTPNASSGPFQRNVPLHRTSRMVDYSDPRHTSSGRHMSNTKNYESTLRGIQGLNVDANDRIHY >Et_3B_027888.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24926292:24926423:-1 gene:Et_3B_027888 transcript:Et_3B_027888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKGTGFFYVKRKNPRRITEKLEFRKYDPSVSKHVLFTEAKK >Et_9A_062206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20695193:20697717:1 gene:Et_9A_062206 transcript:Et_9A_062206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TQPPVTPLFLQVAVGKREYHGNIEQDDLSFPVTSIRESMVIMLYNADRELISKTEFKTKTIVESGTTDVVLTLDSGEVILQLKFLLNDDDRKRIQEMRISAMKRKQQEPLGDGHELNFPDSPLSKRLIEKISNIESKGDQDQTKMRKSMSLDDLHDREILAGVNVDPPMKASKDLLLHGDETLSGINVDAPMKASRDLLLQGGGGKIEDPNGSKKGHGKPESRSSSAVKKMISAFESTSSQGILSSETNTSIIASDRRETSKSMVPLLDKGSNDRSSFADTQKLCVSESSNDKSGKHVSFEQKSGQTGVPPNAYESRSRRPSSRDGASKQKVREHELSPSKRRSQAKHRRHIGPFSLEQLHPMGASRSYLQYPLSYLVATSSTSLHPHVCVTSASRELKHLLELEHLSSLKHIKPADEKSLRVRKEIAEV >Et_1A_006746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26994232:26997011:1 gene:Et_1A_006746 transcript:Et_1A_006746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPTPRIASPVPSALGKRPSALPSAFLLRLRREGSKRRGAMQAKQKLYELYKGTVERVTGPRTVSAFLEKGVLSVPEFILAGDNLVAKCPTWSWEAGDPSKRKPYLPADKQFLVTRNVPCLRRAVSVEEEYDAAAAEVVLDDDDGEGWLATHGVQAKQEEEEDIPSMDTLDIGKSEGIKSIPSYFSGGKEEEEEDDIPDMDTYEDTGDNLAVAEPSYFVTEEPDDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHILAGKHASVHPCKHAAVMKKIVDVLMSRGVEPEVDKYLFIFLKFIASVIPTIEYDYTMDFDLGSTSTS >Et_7A_050278.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1977388:1977720:-1 gene:Et_7A_050278 transcript:Et_7A_050278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCCTAESLSSLVAGQCQGSRRPAGKHWISRAAGGPSCVGGAARRWRGRPWARARAPASPSPTAAASPTSPARPRTRTSGCRRGASQGRRGHLERKKQREEHHQHARKW >Et_9A_063410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23444639:23447235:-1 gene:Et_9A_063410 transcript:Et_9A_063410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPAGPGLYSEIGKKARDLLYKDYHTDQKFTLTTYTANGTAITAAGTRKDEAIFSEIQSQLKKENVTVDVKATSESNATSNVITTFTVHDLGSPGLKAILCIPFPYQKSAKAELQYLHHHAGITAAVGLNASPAINLSGAFGTKAIAVGADAAYDTSTGEFTKYNAGLSYTSGDDFIAAVNLNNKGDSLAASYFHKVNPSTSVGGELAHSFSSNENTLTFGSQHALDPLTTVKARLNNYGMASALVQHEWRPKSFVTLSSEVDTKAIEKSAKVGLSLVLKP >Et_10A_001326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23410852:23415076:1 gene:Et_10A_001326 transcript:Et_10A_001326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKGKGNKTMRCYTLLSSGEPLSLDMVLIDQEACIRKSKSLIQEGNVYSLWNVEITQEAKPYRPMENDKIVNFLLTTTVKKLKDSDDIPKYSFKFFNTDMLSTRINEGYSLSSTNATQESASKDIEKENHLKVTLEEQMQFNRNTLEKINFVLFDSSNQNITSQVLDHNILFRSYLFHMTRIQLPLLGQENIVENKVSSYDASYTRTFILQRKYGLGYPWLGSVCQRLRGHGLEGENFLWFSPVNHIHHRLVVAVVRVDDEVVDAVDVVLAVADGLRLGALHLVEAQIVQLLHCPLAEVLDGRVVDVRHRPPWTDRYLCRSSRGHGVDDDGNGEEEAAGPHGC >Et_8A_058305.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4659284:4659802:-1 gene:Et_8A_058305 transcript:Et_8A_058305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSHITGDDGEGCNSSESGWTMYLVSPMHGDDGGGSGKGSGSEGSSVDDGYGYINARRSRSGKAYEDYADDDSLASDASTGPAKVKAPSSLPERGKYEDEGRGKKGDGGSHVGADEEDDEDDDGGAGRTRFSTESRKKAGKVDKAGEGKSSRRGHSKKGSSSRRSFFFC >Et_5A_041009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16592475:16594318:-1 gene:Et_5A_041009 transcript:Et_5A_041009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWVSSLLGLLVPQSPIKSQIQIPSIDLQPNHAAVWKTAPQKVAPLWRKVEGARDRDVTSEVPDEVLAKIISMLPTDDGIRTQTLSRRWSKLWESATLNLDDRDLHPYRWGDDLVALITSILLSHRGRAHRFSVTCLPRVSDVQGDRYPYFDNWFHSPVLDKIEEISFRYLLRTARDPLPPSALRFTNLHVASYGNYHLPEDLDEGISFPHLRKLTLQHLTNTENTLHALLSACPTIKSLLLVDNKAFRNVRISSPSLITLGVSIETPERVMEELIIADAPVMEKLLLFNTDGGRTELYSFNLRLIHAPKLIVFGTLSSAMEHVQLGNFADSNNHIYVSTNPLTAISSVTFLQAVKIFSISAVGFQLSVSMMKESGDQLNGDPSIEYVLTHLKEIVLRNYRGRTEDVSFARFFISHAKKLKSMEFRVPFPYDKKWEVKERRKLPKLKDRASQIARLKFVPGTFFKHYGDRHLTHDLSNYDPFEI >Et_3A_025609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31162987:31166352:-1 gene:Et_3A_025609 transcript:Et_3A_025609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATEVNQTYFAWSEGKTTELDGSQGVSVSQTLDHGSISFGRFELESLSWEKWSVFTNDRRNEEFGKFNGLVAQKKAYFEEYYKRIRELKASQQQNQQTELTLEYSGDGSESSQTAEDEQAADLETPTGPGTVVDDYMEGAVQETTSEHGLQCYDDQRNENFITAFSSSNISSSTGTLQQTDQDIRETVSGDNSARKIGVKKQNSLSGHDDTKRAYEAARTPRRLVEKSSKLRNTPKIIPKSVQSLSKSALDYTFARERPGLMKPSTSMNEKTKLGNVHPLQRTNAATQKMAGTAEKSKAAGLRRPSSAGAQRPSTGERKSISRESSKKPGDVPALRRPSTAERRPVTRDRAQQQSIVSTPCRPSTSERRPAKRENASKPADISTIRRPSTGERRTIARDSILRTDVKTPGKPRAAVAHLKSDTTTVVCLSSLSHHSRNFLCCEIIITITEAVMLLLLLAKFLKFEAVGNLKMAITPKSASKLETKSNTNRLKAPSALDSSSTRSRRMDLPVSGKQKSSSVNLPPRKSFRSNVGEPEVVAKTQKKEGIQATMKSRASTSKKTTPLQTGNVKARTPNPPPPPPPPRRPSRMMTKPNASNSSPIGGRKPNHIAHPMQGFSTTMALTQWRPDGQ >Et_4A_033031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17308111:17326765:1 gene:Et_4A_033031 transcript:Et_4A_033031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPEPVVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQSASPSTPNITLVGATSPPSFALEVFVHCDGESRFRRLCQPFLYSHSSSNVLEVEAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELGLDNSLANVVSSPSEGKLEDLPPPLHSSKLTFEESLSSLKPLSFQVTELDLSTEVKKVLHLTLKLYQMSDVENLVPNLRNIVSSAISKYVTASTNSIIHTCDQDFDNVITKSNYDLHSMNKILIEAGHELSEIWKNVSAVADRNIFNDGGFGIGNKWLGSSLSLVLLLCTSKESCFYLLCTGGMEQIINLLCWETPKSPATTLLLLGIVEHITRHGFGCEAFLGWWPRSDHNNIPVGSSDGYCSLLKLLLEKERHDIASLSAYVLQRLRFYEILSKYESEVVKVVSNLPGDELCTDKVPFLLSASVELTEMLKLMNFCGPIEDPSPVSYARRISKSGHLEGLLSYQSTIGLISSSKYSFLQFDTDPYLLSLIQETSFVPLSAALLSSPILHSASGPAAEILMGLALSIESIVLSLLFCRSGISFLLSQPEATELIVFSLQDTENMSKTECITLRQAFVLLSKGFFCRPQEIAMIIELHLKVGSAANRILSVLPNSDELLWVLWELCAISRCDSGRQALLALGYFPEAISVLLSSLSSYKNLDSVMTKNGGSPLGLAIFHSAAEILEILVTDSTASSLKSWIGFAVDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYQRNGARGLLRYSAILAAGGDAHLSSGNVLVSDSIDVENVVADSNNSSDGQVIDNLLGKLVTDKYFDGVALCSTSVVQLTTAFRILAFISDDKAVASSLFEEGAITVIYIVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQALVDLMIPTLVLLINILSILRETKEQYRNKKLLSTLLQLHREVSPRLAACAADLSFMFPTFAISFGVVCHLITSAVACWPLCNWAPGLFHRLLENVEASNTSVPLGPKDAFSLLCLLGDLFPDEGIWLWKVNLPSLSAVRSLSTGTVLGPQVENEVNWYLHPEHVAILLVRLMPQLDRLAHIIDNFATSALMVIQDMLRVFIIRVASEKIECAVVLLRPIFTWLNDKVDEASMSEKEIFKVHQLLKFIVKLSEHPNGKALLWKMGVTRILRKILQNCTIECFSADNMTFERVPSTNGLMLQWRIPLFRSLASIFSTDPSSNKKIFTEEALNDNAVHECSSIMHHLLMLCQVLPVGRELFACSVAFKELACSYTCRSAVTLIVSQIQTSNQDVHDKEDSDTYHESSTGDSWGCCSSLLKCWKKLTKYIVSNHRTDFFIETIYSMTLGAIALSQYGEKLEGLTILRYLFGLPEMDSSGESLNEITLFLKTFEKVCQGFDNLATSVGVSLSQVNNIITLLCSILENSAVSTDLVQMVLEEGTGSVSRVARSVVMTAHLMPTLAGVSVNDQSGLFFSNAWNVIVDSEEPVDCLDGEFAKRLVWELPDSSLDRQLMPGQSARRKLALGESATRRVRDNQAPEPSGHFSRTLNTTNVSTGHTRRDTFRQRKPNTSRPPSMHVDDYVARERNIDGASSASNIVNSTPRGTLSGRPPSIHVDEFMARQRERQIPVSAPSGDVPQGKSKTTSLDDNLHAKPGNPCQPKADLSDDQEIDIVFDEDSGSEDKLPFPQPDDGLQSPPVIVGENSPGPIVEETENEENERIPFSQRGTLVTKDDENPGVEISSQTTISDVNVSSEKKYPSPENSSFHDHVDEYESRSPHKLSESSLSGGSHGHEHRNSNSRPPLPPMPPPISSASSKNPDSLHRQPSSYIARDRPTYSSCYPTQSFDASTPSAFTGLQAQAQYMLAGAGGSSSNDLPNSEAKFSWNTFSVNGLPMENFSSGLSARTTPPPPPYSATAAMSSCSPAALYNHGSSAIQPSPPASSISDLTSGMNSGSTLASNLLPSFASQFLMGRPSMPTSFFGTPLQQVQLSSGLQQNISNPQSSISSIQPRPPPPPPQQPHPSQTLQQIGTIQLPLQDQRPSYAQGAILSQVPLQFSNQLPVSQLQLYPPSQQEFVQPPRQVGEQSQLQSQGLQTDGFSQQQNDSGINLNQFFSSPEAIQGLLSDREKLCQLLEQNPKLMQMLQGRLIKSLGKANR >Et_2B_020572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21436472:21437555:-1 gene:Et_2B_020572 transcript:Et_2B_020572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMRAPHEMMMRAPHEMMMRAKPAPPPPEPGLDASDFDWIGDLGEGGFARVIKVRHRRTGEVFALKEAFYPTPDEEEEAEVLRRAAWGPSPHVVRCHALFPGPHGGPASLLEFMDAGSLHDVLRRRGWRGFPEPALAEAASRCLLGLAQLHSRGVAHLDVKPENFLANARGDVKINDFNVSRVVSGIPGGERVLVETSMGTTPYFSPERFAPRAQADARGAMAADVWGLGLTVLELFLGGHPSCPMPRSRDMRTGRRRSATGSRQFVAACLHKDPTRRARVPHLLKHPFVTQRDVEASSRALHELILENMSRGRRQAARFHGAVLCSLYLGSWHQLCVPALLN >Et_6A_047672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9425506:9428175:1 gene:Et_6A_047672 transcript:Et_6A_047672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRLEQLVFLLCCFAAITCRLHAEAQDQTTLHHPNNSPHIAAVGRFLSETGNRSESELSERTRRIDPLDGLRKYEGGYNITDKHYWSSTIFTGRSGYVIAALWLIGGMIFGGTLLISKIFFTKTKKSAKYTDFDYFLERYEILTVILCILLTVFVIVASSVAIRGTEQFHSRAKAVKEIIGSTALEATATIYNITGAIEMMQNTSRLYNYSSQAWDHLNSTVEVLNSEAVEIQAKAEKNMRLVNKGINTLEAVTILTVALNLGLVLLLLVGWPLRLKKICSMCIALCWILTSLFWLYFGLYYFFDKFAGDTCLALDEYQLSPQNSTLGAIIPCSEKLSGNVILHDVGAGIHDIIDQVNSNIYTIKSEYAVKQLDYICNPFAGPPEYQYQPENCPSGAATIGDIPLILKRLTCSDFGGGANCAGAGADLSSAIDYDKVQSYTSSIQNVLDIFPGTERLVSCELVKAGFADIVGGQCAPLRRGARAAWAALAALAAAMAPLLLLALAAAAGGARRPGDDRLSVRHLTSSTNSEISEAEFAEMHAKKVRIRVEP >Et_4B_037604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21715589:21716851:-1 gene:Et_4B_037604 transcript:Et_4B_037604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSQHHEKGSPKQAPRAPVPGNSADRPWQPPLQFEQCSHCDEHGCQANGAAAPLVLTLGPLGSAADRPCHRCSCGGVAPGLPAPETVSVLRGSRFLRPAQELLSEAVRMVDVAGGGEETEEQERLEAGAHRAAKDDADGVQAKLLGLLSELESRQERYFSELGRVASSFEPALGPRAAAAYTSLMYPSDSEKLKLAVSTGLSRGQISNWFINARVRLWKPMIEEMYNDEFSEDHPLSNDTSGASSS >Et_10A_001693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:711667:714148:-1 gene:Et_10A_001693 transcript:Et_10A_001693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAGNKIRNAKLVLLGDVGTGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNAEAKAYAQENGLFFMETSAKTAINVNDVFYEIAKKLLQGQQVQNPQGGMVLNQRPAERTVSASSCCS >Et_2B_020629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22111966:22114660:1 gene:Et_2B_020629 transcript:Et_2B_020629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RMAGYASRGPPNGSVYVCNLPPGTDETMLAEYFGTIGLLKKDKRTGRPKIWIYRDKVTDEPKGDATVTYEDPHAASAAVEWFNNKDFHGSTIQVHIAESKSKDTFDNSAPLNAAAVDVGGQDELDNGAGRGRGRGDGAGKAWQQDGDWMCPNTRCGNINWAKRMKCNICNTSKPGTNEGGVRGGRGGGYKELDEEELEEVKRRRKEAEEDDGEMYDEFGNLKKKFRAKAQRTESAEPESGRAGWEVEHHGSAERDDRERSRDRGRNDNGEREGRERSRDWGRNDNGEREGRERSRDHGRDDYEKESRGRSRNDNGERERRERSRDHGRDDYEKESRDRSRNDNGERERRERSRDHGRDDYDKESRNRDRGGHGRERRRSRSQSRDRDRERGRDRSRGHDYERSRDRDCRR >Et_7A_050940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12688619:12693410:1 gene:Et_7A_050940 transcript:Et_7A_050940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACCCSSSSAPSASILAAHPGAGLRRRTAASAAAAGGRAMATTSARPLRASSAAPVSAAAPHPQRRRRRVVVRAVFERFTERAVKAVVFSQREARGMGDEAVAPHHLLLGLVAGDRSPAGFLGSGLRVERAREACRAALGKPGPAGPATGLATDVPFASASKRAFEAAVEFSRNMGCNFISPEHIALGLFNLDDPTTSSVLKSLGVDPSQLTKQALARVQGELAKDGREPVGLSSFKPREKSTPGRGKSAIVRYSNKRKEKSPLAQFCVDLTMRASGGLIDPVIGRKEEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALKIANGDVPIFLVGKRIFSLDVALLMAGAKERGELEARITSLLREVRKAGDVVLFIDEVHTLIGSGVAGRGNKGAGLDIANLLKPALARGELQCIASTTLDEHRLHFEKDKALARRFQPVFVNEPSQEDAVKILLGLREKYETYHKCKYTLEGINAAVYLSARYIPDRHLPDKAIDLIDEAGSRVRMESFKRKKEEQCSILSKSPDEYWQEIRAVQGMHAVALNNRVKYSLDEDDKENDVNDEALDGDNIDSASASPASVDEPVLVGSEEIARVAALWSGIPVQKLTADETKLLVGLDDELRKRVIGQDDAVVAISRAVKRSRVGLNDPDRPIATLLFCGPTGVGKTELTKALAASYFGSESAMIRLDMSEYMERHTVSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQVFEDGHLTDSQGRRVSFKNALIVMTSNVGSTSISKGRRTIGFSSQNDTEETTYAAMKSLVMEELKAFFRPELLNRMDEVIVFRPLEKTQMLAILNIILREVKGRLLALGIGLEISESMMSLISQQGYDRSYGARPLRGAVTQLVEDVISEAILSGQYKPGDTIVMDTDATGKPCLSRLDGQTVQLSDPAPSL >Et_10A_001979.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:16516589:16517682:1 gene:Et_10A_001979 transcript:Et_10A_001979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFIPGLPDGVAMDCLVRVPSQWHGRMNRVCSGWRGAVRSAEFQRRRQAVGAEEDVVLVVQPSAPPAGGAVSAVANLTTGEWRRVEGQGPLPQFAQCAAAGDGRHVAVVGGWDPDTFCPTRDVRVLDVAAGAWHRGQPMPDSRTLFGCAGGGDGNVYVAGGHDDYKNALRSAFAYCVEADAWRALPDMSEERDEPQLVAVPGLGVVLAASGYTTAAQGVFKNTAECYAGGGDAWTSEGHDAAAAAGTTCLASVRGSLWAVGAGNGGVRAWDVAARAWRGVADGPPGMEACAKAVGIGDGASVFVFGTVQADAALPGRRHAAWVMDAATAAWRRVPVPPGFDGVVYSAAVLRV >Et_3B_029961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29424664:29427172:1 gene:Et_3B_029961 transcript:Et_3B_029961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRPCLRLHARLPPFAPKPLASPPLAVFPRLRTGGRYDGAALGRRHARRQWTSVIRAAKAEGSQVVAVKERSVSVILLSGGQGKRMGASMPKQYLPLLGLPIAVHSLKIFCQLKEVKEVVVVCDPDYKDVFEGSIENLQIPLKFARPGKERQDSVFNGLQEIDGNSELVCVHDSARPIVSSKDVKKVLEDAAVHGAAVLGVPVKATIKEANSDSFVVKTLDRKTLWEMQTPQVMKPNLLRDGFELVKRHGLEVTDDVSIVEYLKHPVYITEGSYTNIKVTTPDDLLLAERLMNE >Et_3B_028361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13991225:13997021:1 gene:Et_3B_028361 transcript:Et_3B_028361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQPPQARRGLTIEDLERPVEVDHNISIPYYYRIADSLLIQANVYRGDNNLVDLYAILLRYTSLLCETIPKHRDYNTFKLRQKEFLKKKLLSVIKELESLKPVVRQQLSNINSIAELEEPNGVHGTYASSSMEQSTASPYNSKRKGLCGSNSLSFVGNILLYLISFPLQLLSGSSNGQLQKSSSGLKHQAPSDRGRPDRIIQKPYSSLPYPKEETLSRHSILGPNGLHGQWTGPVTGIRIEYPSNPDLTQCDISSLVPAILNQDGLDGPRQVLHDKNDDMQSVLSLDDGRWSLPVEKPASMSLGLDDEFSQLNIRQPCPPPVLAQVHPERGPIPPSKVADPRPGLATSDNGRFKNLHVPVALMECFLRVAEANTLKNLETCGILAGTLKKRTFYVTTLIIPKQKSTSDSCQATNEEEIFEVQDKGSLLSLGWIHVMLPEAIAIVMAPTDTTRKHGIFHLTDPCGMGVIRDCQETGFHPHEEPLDGTSIYEHCSHVYMNPNVKFEMLDLREV >Et_10B_004249.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:20062329:20064335:-1 gene:Et_10B_004249 transcript:Et_10B_004249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYMHAGRVHDVLATLRAMRREAPASRPDHVTYTTVISALVAAGHVDRARAVLDQDMPADGVPPNRVTYNVLLKGYCNQLRVDKATELFQAMMEQMDLPPDVVTYNTLMDGCVATGDTPGALDLFNEMRSRGVAPSTASYTTLMKAFAAAGQPKTAHRVFHEMQSDPRVRVDRAAWNMLVEAYCRVGQLDTAKQLVETMKKTKKPDAATYGSLAKGIAIARRPGEALLLWDEVKERCPDPDEDLLEALADACVRAAFFKKALEIVACMEERGIAPNKTKYKKMYIQMHSRMFTSKHASQARQDRRRERKRAAEAFKFWLGLPNEYYGATEWRLEN >Et_3B_030119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30646380:30650053:-1 gene:Et_3B_030119 transcript:Et_3B_030119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFFHHVIGDLTVGKPVVAELHDTDTLEDAARAIAASPEGTVPVWRPRASPDEPPSGARFIGMISALDIAAFVAASGAGDRAMRAVVGEVVQPNPGLLREIDPGTSFGHRLSSFKCPSEMFCDIYSEECYCLARLIDALELMRHGVKRFLVRKSGTWTGITKRFSVLYNGKWLKNMESTSPSAASSSRQLSSASSSTDKFCCLAREDVLRFLIGCLGALAPIPLTPISSLGAINPHCCHVEASVPAMEAIQKIPQDPCAVAVVETTPDGTRKILGDISTYKLWKCDYVSAAWALANLSAGQFVIGADENGSTSISIAPEPPTSSSPVEEISPGRSPRARKFSSRSIGFHANQMAMGRSRSMYHRGRSSPLTCKSTSSLAAVMAQMLSHRATHVWVTDPEAEEDGVLVGVVGYTEIFSAVTRSACPTSPTTS >Et_9B_064137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11716124:11733394:1 gene:Et_9B_064137 transcript:Et_9B_064137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEYQEEYVRNSRGLQLFTCGWKPASSAPKALVFLCHGYGGECSVSMRGYSVFGIDYEGHGKSMGTRCYIQKFDNLIADCDRFFKSICDMEEYRNKSRFLYGESMGGAVTLLLHRKDPTFWDGAVLVAPMCKISEKVKPHPVVVRLLTQVEDIIPKWKIVPTKDVIDSTFKDPVKREMIRKNKLIYQDKPRLKTALELLRTSIDVENNLSEVRMPFFILHGEADIVTDPEVSRALYERAASVDKTIKLYPGMWHGLTTGEPDENVELVFSDIVAWLDERNRHWKPEERARAPPEAEEHQQAAATKIGRVCSSGGASPARQLPLWTRQPTKPTTGLQLFTCGWKPASSPPKALVFLCHGYGAECSVFMRACGIKLATAGYGVFGIDYEGHGKSMGARCYIQTFDNLVADCDRFFKSICDLEEYRNKSRFLYGESMGGAVALLLHRKDPTFWDGAVLVAPMCKISEKVKPHPVVVSLLTQVEEIIPKWKIVPTKDVIDSAFKDPVKREKIRKNKLMYQDKPRLKTALEMLRTSMDVEDSLSEVTMPFFILHGEADIVTDPEVSRALYERAASTDKNIKLYPGMWHGLTAGEPDENVELVFSDIVAWLDKRSRHWKPEERARAPPAAEKHHQAATMKIAPTHGRIFAQFKKVMLKSQIRRFGGKITKVQQSDGKNANASTETERRKKKYRKKNNITAATNATKIFISYGRLCSFLPLLASIGGGGTILVTEDALAEVSDHEGKTLTEGDLRLPPEKLLGTADVGLALVRVILGVFTELDLRVRVNGVLDNLGQLQHGELAGVTQVEWANVLSFHQSHQTLNLNNNSACVYLSNKVADNTAIINAHARAIGVEDTCNPNLKTSKSYQMLTSSFNKDEMIQQMKQKVGQQNYLK >Et_6B_049486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5521454:5526138:1 gene:Et_6B_049486 transcript:Et_6B_049486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRAAALCCLLIVLLSGHQQQGAAMSQFCECYKLCYPECRKHLPTWLCKLKCMDDCSPNESVVAPTSGDCDRFCRPLSLCGTTASGPADDEACVDDCIKNGKTVPALCCLVIVLLAGQCPQVASMGKFCRCYKPCYPECREKLPRWLCILKCMDDCSPNAYIATATSAGNCVGICQSLSLCGMETGQDNVEACMNDCTKSHGAYAPTIANLN >Et_5A_040671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1111937:1114175:-1 gene:Et_5A_040671 transcript:Et_5A_040671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPRATSRAETTSQRWPCNGVLVVERGSREWAEEMERSNRRMLQQADELEQQDEEGCDTEEAKLAFRAFKIRTCRFYRQMANTKPEDVVSVHHKPPATDTREEHLTAEDMEDRLSGRKLCPQAQHFATLALKHYNLFKKTQKFEMATVLLSKCFSETDGRTFAHVNFTAITQDQSATHPAKRFFAELMLIPALQAYQGVEPMCVVHVCTIDDACFGGCHEFKREIKKQLENNLDYERCHACSDRIKHPRGDQFVGGHNSTRMPYYSTFI >Et_3B_030930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:904807:906754:-1 gene:Et_3B_030930 transcript:Et_3B_030930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFSGSSLTSVAPEATPSPAAPPGTGTGANAQVLYVFNRNGVCLLYREWHRPLRTLDDTQDHKLMFGLLYSLRSFTSKIDPTTAEKGNLGVPLLPGQGCSFYSFKTNTYKLNFMESPSGIKLILITHPRTGDQRDSLKHIYNLYVEYVVKNPLYAPGAPIK >Et_4A_034158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30346706:30349507:-1 gene:Et_4A_034158 transcript:Et_4A_034158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGGAAISAGPTPPSATATVVEWHQRPPNPKNPVVFFDVTIGSIPAGRIKMELFADIAPKTAENFRQFCTGEHRKNGKPQGYKGCQFHRVIKDFMIQGGDFLKNDGTGCVSIYGSKFDDENFTAKHTGAGLLSMANSGANSNGSQFFITCGKCDWLDNKHVVFGRVLGDGLLVVRKIENVATGPNNRPKLACVISECGEM >Et_1A_006248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19604729:19610668:-1 gene:Et_1A_006248 transcript:Et_1A_006248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVYSQPQGHFSYGGRDSSYALPWSSWCDTGAFGVAEPCAGDEWDPLLLASLVQDVEGSGEELVASDGASLEREPAASADAPAPVMQQTTTTRRKRRRTKIVKNEEEIESQRMNHIAVERNRRRQMNDYLAVLRSLMPPSYSQRGDQASIVGGAINYVRELEHILQSLEVQKSLKDRGCNAEDGGQSPFAGFFSFPQYSTCSASHGSNGHRVQAESTATADIEASMVEGHASLKVQVQRRSSQLLRLTAGLQQLGLTTLHLNVSTAGATGMYSFSLKLEDECKLTSVEEIAEAVHEILGKIQAEQAFVDTRTGFAILALTKT >Et_5A_042350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:890314:893649:-1 gene:Et_5A_042350 transcript:Et_5A_042350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAALCCVVAGSLALYLYHVLWLAPQRLRAALRSQGIAGPSPSFPYGNIAEMRQAAACARTAADHQRGIIVHDYRPAVFPFYEKWRNKYGPVFYYSIGNMVFLHASRADVVRDLCTCVSPLDLGKSSYMKVTHRPLFGDGILKSSGEAWAHQRKLIAPEFFPDKVKAMVHLMVGSAMALVKSWEDRMISDNKNGGGVCCSLELKVDDDIRAYSADVISKACFGSSYVKGKRIFGMIRELQKAVSKPNLLAEMTGPSFLPTRSNRAAGRLNRLVRALILDVVRENSEGDDDGNLLNAMLRSVASSGACRATAEDFIVDNCKNIYFAGYETTAVTAAWCLMLLALHPEWQDQVRDEACQACAGGAAAPDFTSLQRMKKLTMVIQETLRLYPAGSVVSRQALRDVTLGGVRVPAGVNIYVPVSTVHLDPELWGAGAREFDPARFAAAALRPQHAYLPFGAGARTCLGQGFAMAELKVLLALVLSRFELSLSPGYQHSPALRLIVEPEHGVRLVLKKVEPKC >Et_2B_021561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30543726:30544421:-1 gene:Et_2B_021561 transcript:Et_2B_021561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSSPSAGEMSAAAADSRTRTWSISSRASTVSVSSSSSSSGASNNGGVYDHQLQLQAMPEEEVVGVAVGKEVKECKANLMWVLSNMDAILMTGSSDKQKKKATVVLLHVHRPAKTIPFMGANFPADQLHDSEVTAFRQAETEAMNRAMTKYRVICARVKVQAVCKVATLDAADDVAQGILSLVAQNGITRLVVGAAADKRYTK >Et_1A_008912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18237284:18244035:-1 gene:Et_1A_008912 transcript:Et_1A_008912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLLRLTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAAHAKRVTIMSKDIQLARRIRGERT >Et_6B_048806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1421753:1424354:1 gene:Et_6B_048806 transcript:Et_6B_048806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGDDALARKRNKVRRKRMRNSENAVSERVAAIIASKRRRKAGKRRGCEGMCFSLPTPDDPFNDRHGKKRKVEEPTDDAPADDNVAAAGDDIPKKTKKDLNAKKQPPAKAGAKAKPKALREQETEIEEGRVDYDRPSKFLVVCLNFIRDAVAPGDGGSGIHGAGDWGVELWRSCSAAAPCDVLDTSGACATLEQTAWLVSTACDIVARKERLGMVVSCPFLLYLVPSQEKALQVRTICKPLKPLGIHSVSLHPGTSIEHQISGLKGCEPEFLIATPERLLELVLVKAIDISNVSMLVVDGLKSFMDLNVIDKLYSIRNAISSKPQITVFSDPCGKNVAKIAGNIVHGRITRLCINDSVPSRSAYISQYVHSCPSEEQKTSKVKEILEQILKGRAKKTSKVLLVAARKARDVSSSLKLENCTVITEDSQQGHSFTICSSVGLMNVLVKDRDNLVTADVEEFEIVMVMDLPPSVDEYAEVLTGAARHAVVGEVHSVFCNTDAPLAKPLAELLANCGQAVPDFLKKLESS >Et_1B_011141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1811791:1818440:-1 gene:Et_1B_011141 transcript:Et_1B_011141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFQDYILVTYSPFDVHIFHVAITGELSPASSPVLQVSTVRELSIMSPKSPPVSMRFIPEQNDEGMLKRDTHGSSDLLSQQPSRCLILRMNGELSVLDRMMGMNTHSQIHLKRKVVLSKRFHGLTTTWALLLDFFKSPLLPLHIKLVWYPSHGADPFKQEEFLQLDPELEFDREVYPLGLLPNVGVVVGVSQRMSFSTAEFPCFEPSPQAQTILHCLLRHLLQRDKNEEALRLANLSAEKPHFSHCLEWLLFTVFDADISRPSASKNQVSQRTDPPKKSLLEKTCDLLRNFPEYMDVVVSVARKTDGRHWADLFSAAGRSTEMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGDNSMKELSPHIASVMNILESHASYLMSGKELSKLVSFVKGTQFDLVEYLQRERLGSARLENFAAALELIGQKLRMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEWICFGMIYDCGRHTALLYRSANNSCFFCRAYEFESHDVFREYLDLLNVLEEQLSSVSDLTLQNGLFADECAVVELSAFFALLTPD >Et_1B_013691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12147015:12150700:-1 gene:Et_1B_013691 transcript:Et_1B_013691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAAGARGAAAGGGGGGGPAPFLLKTYEMVDDPSTDAVVSWSDASDASIVVWNSPEFAARLLPTYFKHSNFSSFIRQLNTYVRTHAPPLLPPAPCPLLSRISLPRYLLRLACFYGPEWWEFGASLLQKDMGEQSIRIMWALDLGSCCFLWGIQFTVERVESWNGFRKIDPERWEFANEFFVKGQKHLLKNIHRRKPIHSHSHHPGALPDNERALFEDEIDRLSREKATLQADLWKFNQQQSGATIQIEDLERRVLDMEQRQVKMLNFLQQASKNPQFVNKLVKMAETSPIFADAYHKRRRLPGLDYGTDSTETTSFYDDHSSTSKHEIGNLLNQHFSDKLKLGLCPAMAESNRTQSSHEDNGSPHGKLPDCDRVGMECLPLVPQMMELSDTGTSICPSKSACFTTAVIDEGLLPCQLSLTLASCSMDADRSQTSNANGRSIDQDQGIDNPLDAATTATMEKDDGISRRHDDSHQRAPIDAGTSAGAMTPQGNAQVASEAPPAPPAVVNDKFWEQFLTERPGCSETEEASSTLRREPSREQIEDNRQPYRDTMNDRTDMQQLKL >Et_2A_015440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12952886:12977381:1 gene:Et_2A_015440 transcript:Et_2A_015440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGEIQMGSSLRRDSGSMWRRGDTVFSRSSRDQGDVDDEEALRWAALEKLPTRNRVRHAIFRPPDAQGAVDVVDVRSLGQHERHALLERLVRVADEDHERFLLKLKDRLERVGIEMPTIEVRFEHLEVEAEVRVGSSGLPTLLNAVVNTLEEVGSALHLVRSRKRPMPILHDVSGIVKPRRLTLLLGPPGSGKTTLLLALAGRLDKDLKVSGRVTYNGHEMDEFVPERASAYISQHDLHIGEMTVRETLAFSARCQGVGSRFDMLTELLRREKAENIKPDGDIDAFMKASAARGQESNVVTDYILKILGLETCADTLVGDELIRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVDCLRQSIHVLGGTAVISLLQPAPETFNLFDDILLLSDGLVVYQGPREDVLEFFETMGFRCPERKGVADFLQEVTSRKDQKQYWTRPEESYRFVPAMEFANALKLFHTGRDIANELSLPFDKKLSHPAALTKTRYGVSGKELLKANIDREILLIKRNAFVYMFRTSQLIVLSAIIMTLFIRTNMHRDSVADGGIYMGALFFGVLVMMVNGYSELALTVIKLPVFFKQRDLLFYPGWAYSIPLWIVKAPLTFIEAGGYVFLTYYVIGFDPNVGRFFKQYLIMIVINQMAASLFRFIGGVARKMIVANVFSTLLLLVIMVLGGFILAKDNVKKWWIWGYWISPMMYAQNAISVNELLGHSWDKILNTTTKETLGVEVLRSRGMFPEAKWYWIGFGALIGFTILFNALFTLALTYLRGSGNLQQVISEEELKQKQDNVRRNVLGRNQLASRRTQHSAKICSKTDFDMSKCDSCPTEKGMVLPFPPLSLTFDNIRYSVDILKEMKAQGVTDDRLQLLKDVSGSFRPGVLTALIGVSGAGKTTLMDVLAGRKTGGYIEGNITISGYPKKQDTFARVSGYCEQNDIHSPQVTIYESLIFSAWLRLPMDIDSNKRNVRLDTRAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLLKRGGEEIYAGPIGHHSSELIKYFEGIQGIRKIKDGYNPATWMLEVTTVSQEQMLGVDFSDIYKNSELYQKNKALIKQLSQPALDSCDLYFPSKYSQSSFTQFVACLWKQNLSYWRNPPYNVVRFFITVFIALLFGSIFWDLGGKMEQPQDLFNAMGSMYAAVIFIGVSNCNTVLPVVSVERTVFYRERAAGMYSAFPYAIGQVAIELPYALVQTIAYGVIVYAMIGYEWTAPKFFWYIFFMYFSLLYFTFYGMMAVGMTPDYNIAMIIAAVFYAIWNLFSGFVIPLSRVPIWWRWYYWICPVAWTLYGLVVSQYGDIMTPMDDGMPVKVFVENYFDFKHSWLGWVAAIVVAFSVLFATLFGFAIMNPHNLGGNDRQEMDTSREIQAVATLRRNSRSIWRRGDDVFSRSSMESELDDEEALRWAALEKLPTRHRIRHAIIHPLGHDGQQPGVVDVAGLGTRERRALLERLVRVAEDDHECFLVKLKRRLERVGIEMPTIEVRFEHLEVEAEVRVGSSGLPTLLNFVTNTLEEVGSTPHLMRSRKRTMPILHDVSGIIKPQRMTLLLGPPGSGKTTLLLALAGRLDKHLKVSGRVTYNGHSMEEFVPQRTAAYISQHDRHIAQMTVRETLAFSARCQGVGSRFDMLTELLRREKAANIKPDADASAVGGQQADVVTDYILKVLGLEICADIMIGNELIRGISGGQRKRVTTGEMLVGPARTLLMDEISTGLDSSTTFQVVNSIRQSIHVLGGTAIISLLQPPPETYNLFDDILLLSDGHIVYQGPREDVLDFFESVGFKCPARKGVADFLQEVTSAKDQKQYWARSDEPYTFVPAKDFADAFKSFHTGRALANELAVPFDKSKSHPAALTAASYGVSGKDLLKANITREILLIKRNSFVYMFRIFQLTLVALIAMTVFFRTKMKHDSVTSGVVDLGALLFGANQILFVGFSELALTVARLPIFFKQRDLHFYPAWAYTIPSWIVKIPITFVEVAVYVFLTYYVIGFDPNVTRFIKQYLLLVGVSQMATSLFRFIGGATRDMVLANGVSSFITLVCMVFSGFLLTRDKIKKYWIWAYWICPLMYAQNALSVNEMLGHSWDQITNGAASNETMGVLVLKSRGVFPEAKWYWFGFGALLGFMVLFNAFFTLTLTFISPYGKRQRIISEDNLKGNYAAVKNEIPDGKHIASGNRHPKRMRNKIEIQMSENDSGPIHKGMVLPFVPLSLSFDNIRYSVAMPKEMKAQGVADDHLRLLNDVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGRVEGNIFISGYPKNKETFARVSGYCEQNDIHSPHVTVYESLCFSAWLRLPIDIDSNTRKMFIEEVMDLVELKPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLRQGGEEIYVGPLGQQSSELIKYFEGIQGVCKIKDGYNPATWMLEVTTVSQEQNLGVDFNDLYKKSNLYQRNKALIKELSLPAPGSSDLYFPTKYSQSPFTQCMACLWKQNMSYWRNPEYNGVRFIFTIVVALLLGTVYWDLGGKMEHPQDLLNAMGSMYAAALFMGIFNCRTAQPVISVERAVFYREKAAGMYSSAAYAFGQVVIELPYALVQATIYGVIVYAMMGFEWTAAKLFWYLYFMYFTFLYFTFYGMLTVSVTPNFNIASIVSTTFYAIWNLFSGFIIPRPKIPIWWRWYSWLCPVAWTLYGLVVSQFGDIMTPMDDGTPVMVFVEDYFDFKHNWLGWVAASVVAFSVLFAALFTIAIMKLNFQKR >Et_8A_056968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19643377:19667425:1 gene:Et_8A_056968 transcript:Et_8A_056968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLAVGSVNDAQELRKAPTFHRSLWGDFFLTYQPPTAPQRAHMEERVEVLKEQVRKMLEGTKEIPKILDLIITLQRLGLDNHYENEIEELLNFVYCSEYDDKDLLLVSLRFYLLRKNGHDVPSDVFNNFKDKEGNFAADDIQSLLSLYNAAYLRTHGEKVLDEAIVFTRGKLEAALESLESTVADEVTLTLQTPLFRRVRIYETRNYIPIYEKEAARNDAILEFAKLNFNLLQLLYCEELKNVTQWWKEFNVESNLSFIRDRIVEMHFWMTGACSDPKYSLSRVVSTKITAYITILDDIFDTYGTTEEALLLAEAMYRCEESATELLPEYMKDFYMFFLKTFDSFEDEFGPNRSYRVFYLKEVLKMLVRGYSQEIKWRDEHYIPKTIDEHLELSRATVGAFEVTCASFVGMSEIVTKEILDWLLTYPKLIKSYTTLSRLCNDISSTKREQEGGHYASTVQCYMLQHETTMHDACEKIKELTEDSWKDLMKLNITPTDQPKVVAQTVIDFARTADYMYKKTDAFTFSHTIKDMIALLYMEPTLKMAPTLAVGSVNDAQELRKAPTFHRSLWGDFFMTYQPPTAPQRAYMEERVEVLKEQVRKMLEGTKEIPKILDLIITLQRLGLDNHYENEIEELLNFVYCSDYDDKDLHLVSLRFYLLRKNGHDVPSDVFNNFKDKEGNFAADDIQSLLSLYNAAYLRTHGEKVLDEAIVFTRGKLEAALESLESTVADEVTLTLQTPLFRRVRIYETRNYIPIYEKEAARNEAILEFAKLNFNLLQLLYCEELKNVTQWWKEFNVESNLSFIRDRIAEMHFWMTGACSEPKYSLSRVVSTKITAYITILDDIFDTYGTTEEALLLAEAMYRCEESATELLPEYMKDFYMFFLKTFDSFEDEFGSNRSYRVFYLKEVLKMLVRGYSQEIKWRDEHYIPKTIDEHLELSRATVGAFEVTCASFVGMSEIVTKEILDWLLTYPKLIKSYTTLSRLCNDISSTKVFSLSWTPAYDPLTAFRQLRICVSKKEATMLQLSNHETTMHDACEKIKELTEDSWKDLMKLNITPTDQPKVVAQTVIDFARTADYMYKKTDAFTFSHTIKDMIALLYMEPTLVLTINEQLEISRTTVGAFQLACSSSGGMGNVITKEMLNWLLTYPELLKGFASFVELSNEIASTKTNVVARTVVDFARTGDYMYNKTDAFTFADTIKDMISLLYKMALTPGVCSVNDLQGPRKALTFHRSLWGDFFLTYQPPTKPQREYMAERLTC >Et_10B_003161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17169393:17170939:-1 gene:Et_10B_003161 transcript:Et_10B_003161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIKFDLLPVKGYRNRALKINLEENPASRSHAVAGGDPTGGFTAVSLSDSNFVVQHPYNLPSNARYKFDGGVRQLWVLASDKPHDRRSNTSPRTEIRMAGYDYSSGVWQFEGYGYVPSGTTGVSVMQVFGAGESATTLMLHVYDGALRYYDRQVVEDHIYDRWFRLNVVHDVDASTLTVFVDGVQRLRVPGRGGGSHYFKFGVYARRHDSGCMESRWKDIKILKKI >Et_2B_021785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5433123:5435327:1 gene:Et_2B_021785 transcript:Et_2B_021785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISDFQEDEAPRQQQQAARGEEALAAALEQSGGAAMFLQAAINVARQRSDFFRDPSAVSKVTAMASAARAQVEAEEREAKRKVQEAERKAAEAERAAKAAAAPAPAPTPAPAKSSEEKAESSVEKKDKMEVDKEESNVRQPNAGNGLDLEKYSWTQQLPEVNITVPVPQGTKSRSVVCEIKKDRLKVGLKGQPPIIDGELHKPVKVDDCFWSIEDGKSLSILLTKHNQMEWWRSVIKGDPEVDTQKVEPESSKLSDLDPETRQTVEKMMFDQRQKQMGLPTSDEMQKQEMLKKFMAQHPEMDFSGAKIA >Et_7B_055801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:506295:507705:1 gene:Et_7B_055801 transcript:Et_7B_055801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAVIRARGVAAPLNPAYTQEELEFYLSDSEARLLLTNAEGNPAAQAAASKLGLAHAAATLTDAAGPVHLAGLNPAEEAGNGSETINESSDVALFLHTSGTTSRPKGVPLTQGNLAASVQNIRSVYRLSETDATVVVLPLFHVHGLLCSLLSSLASGAAVTLPAAGRFSASAFWADMRGAGATWYTAVPTIHQIILDRHAAKPETGYPKLRFVRSCSASLAPAILEKLEAAFGAPVLEAYAMTEASHLMTSNPLPQDGARKPGSVGRPVGQEMAILDEDGNLVVAPGKPGEVCIRGANVTRGYKNNPDANEAAFRFGWFHTGDIGVVDDEGYLHLVGRIKELINRGGEKISPIEVDAVLLDHPAVKQAVSFAVPDDKYGEEINCAVIPREGAALAEDEVVAHCRKNLAAFKVPKKVYITDDLPKTATGKIQRRIVAQHFVAAKA >Et_2A_017688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5153041:5153934:1 gene:Et_2A_017688 transcript:Et_2A_017688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTATTASSCCRNNWVLLNTSAVAGLRRNITPAIDSTRNGNMIEVSFHPQRPLRPSLLFVHSSDMNPSVSPVIICTVEDLLLCVNMGNRPLALSPEVCDYFIYRLHAGRPSSLERLKRPHPFFQDSDVGILPRSDGHYTVATLIATHIRHQYRLHLFHSDVSIWSCSTLCVEAPQRAFPVKIPYNSSRLCHHLTSNVITMRGEGGTMGWRGILFCDVLDEKPSLRDVPLPVPLSELGYNNGKGLEFGSPVQRRGIAFIRDTGCLKFVHLEIVDKRLPGYDKETGKSSFQNR >Et_5B_045452.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:2352256:2352906:-1 gene:Et_5B_045452 transcript:Et_5B_045452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGIKEEEPRKKAAEATGQATSTTTSTVGVMADATFKSIGDVLKLLPTATVIVYEVLNPIVTNAGDCQVANKVVTPVLLVLCAFFCAFSAFTDSYVGADGKVKYGLVTPRGLLPFNDGDAAGGHGRDFSRYRLRLADFVHAAFAVAVFAAVALLADANTVACFYPALKEQQKKVVMALPVVVGAVASVVFVVFPSTRHGIGYPPPTPEPAALAAQ >Et_2A_018013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:901074:908646:-1 gene:Et_2A_018013 transcript:Et_2A_018013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPRCGKNGLLYSALPPLHTRIPSNTMATDEADAKLQSFLRWIQANGADLRDCTIRACGDKGFGVFSTAPEPGATDGVAMVVPLDLAITPMRVLQDPLVSPRLRALFEEGGVDDRLLVMLFLMAERLRPGSLWKPYLDMLPSTFGSSIWFTEEELAELEGTTLYRATMMQRKSLQTLFTDKVKGLVEELLHVDESGSSIEVSYEDFLWANSIFWTRALNIPLPRSYVFPGPCDDQLTKTDDDCCSSSLPAHQETDITAKKSSVDGNSESSAIESIWVEGLVPGIDFCNHNVNALATWEVDYDGHATGVPASMYLMLADKSSVEAGAEIYINYGNKGNEELLYLYGFVLDNNPDDYLMVHYPAEALRQVQSSDVKMKLLEIQKGELRCLLPRSLLDNGFFGVCSSEENDSKKSTSPFTSYSWSGQRKVPSYLQKIVFPQEFLSTLRTIAMQDHELEQVASLLGEAGSSGDKEPADAEVQSAIWEVCGDHGSLGLLVDLLRFKMAELEGGTGTEASDSQLLETFYSNNLEDSTSRSDEYNKNKSNNCRSCIVYRRGQKQLTRLFLREAEHLLELSTSEQG >Et_7B_054877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5166510:5168137:1 gene:Et_7B_054877 transcript:Et_7B_054877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SQANEHVKASKVHQPGQVTYAETVKHANAKCIGDICNRRDVSGFQVHKHKMALLRALCCSSLLTAALLLVFPSSAALPHASFIFGDSLVDAGNNDYLVTLSKANAPPYGVDFTVSGGKPTGRFTNGMTIADVMGDALGQKSFAPPYLAPNSSAEVANMGINYASGSSGIFDDTGSYYFGRIPLSQQISYFEKTRAQILETLGEEAATELFKKALFTVAAGSNDILEYLTPSIPFLGREKPDPSYFQDALVSNLTFYLKRLNEMGARKIVVSDVGPLGCIPYVRALEFMPAGECSASANRVTEGYNKKLKTMVGKMNQEMGPESKFVYANTYAIVTDIIQRYSHYGFENALDPCCGGSFPPFLCIGMANSSLSLCKDRSKYVFWDAFHPTEAANFIVAGKLLDGDTSSVSPINVRQLYQYQFK >Et_9A_062678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3025631:3032884:1 gene:Et_9A_062678 transcript:Et_9A_062678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKPVKLYGWAISPFVSRALLALEESGVEYEHVPMSRAAGDHRRPEHLARNPFGQVPVLEDGDLTLFESRAIARHVLRKHKPDLLGGEDLSHSAMVDVWLEVEAHQFSPAAGAVVVECVFAPLLGRARDQAAVDDNLAKLRRVFQVYEARLAAGNRFLAGGDGVSLADLSHFTVMHYLVAGTEYGPQLLAEAPRVKAWWEELAARPAAKKVAAFMPTDLAAASKKLQDAMAAAGSSSSSKPVKLYGWAVSPFVARALLALEEAGVDYDLVPMNPDAGDHRRPDHLARNPFGQVPVLEDGDLTLFESRAIASHVIRKHKPELLGVGDLERSAAVDMWLEVEAHQFHPPALAIVTECIAAPLRGRVRDQAVVDENVGKLRAVLLVYEARLGCHHYLAGDDVSLADLNHFTLMYYFMSTEYGAALVGPFPRVKEWWEELAARPAARKSSAMAAAGSSSSSKPVKLYGWAVSPFVARALLALEEAGVDYDLVPMNPDAGDHRRPDHLARNPFGQVPVLEDGDLTLFESRAIASHVIRKHKPELLGVGDLERSAAVDMWLEVEAHQFHPPALAIVTECIAAPLRGRVRDQAVVDENVGKLRAVLLVYEARLGCHHYLAGDDVSLADLNHFTLMYYFMSTEYGAALVGPFPRVKEWWEELAARPAARKLQSALPIPPFAPPTRL >Et_8A_057538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4082909:4120741:1 gene:Et_8A_057538 transcript:Et_8A_057538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEVKDIHRPDSIKTLLKNEAIYEYILNTVVYPREHECLRELRLLTEKHTVGFMTSPPDEAQLLSMLLKLTGARNTIEVGVFTGCSVLATALAIPDDGKVVAIDVSREYFDIGLPVIQKVGVAHKVDFREGPAQPILDDMLANEGSEGKYDFSFVDADKENYGNYHEQLLRLVRVGGVLAYDNTLWGGTVAMPDDTPITDYDRKIRDVLREFNAKIAADQRVEVVQIPIADGITLCRRVFNAKIAPDQCVEVVQIPSADGIMLCRRMTFRKEHECLRELRLITQKHAKGFISSPPDEAQLLSMLLKLTGARNTIEVGVFTGCSVLATALAIPDDGNVVAIDVSREYFDIGLPIIQKAGVAHKVDFREGPAQPILDEMIADEGSEGKYDFAFVDADKENYGNYHEQLLRLVRVGGVLAYDNTLWGGTVAMPDDTPLTDDDREIRDVIREFNAKIAAYQRVEVVQIRIADGITFNRAMATGSGGSVPNVHSSTDSSNKTLLKSQALYKYVLDTTVLPNEPKRVMASPPDEAQLLRMLIKLTGARNTVEVGVFTGYSLLATALALPDDGKVIAIDTNREYYELGRPILEKAGVAHKVDFREGPALQHLDDLLADDANHSRFDFAFVDADKPSYVKYHEQLLRLVRVGGVVVYDNTLWSGTVALPPDAPQLSDHDRRISAAIRDLNARLAADERVEVCQLTVADGVTICRRVYMLNMVVYPREHECLRELRLITEKHAEGLMSSPPDEAQLLSLLLKLMGARNTIEVGVFTGCSVLATALAIPDDGNVVAIDVSREYFDIGLPVIQKAGVAHKVDFREGPAQPILDEMLADEGSEGKYDFAFVDADKENYGNYHEQLLRLVRVGGVLAYDNTLWGGTVAMPDDTPLTDDDREIRDAIREFNAKIAADQRVEVVQIPIADGITLCRRVVKDIHRADSIKTLLKNEALYEYMLNMVVYPREHECLRELRVLTQKHAEGFMSSPPDEAQLLSLLLKLTGARNTIEVGVFTGCSVLATALTIPDDGKVVAIDVSREYFDIGLPVIQKAGVAHKVDFREGPAQPILDKMITDEGSEGKYDFAFVDADKENYGNYHEQLLRLVRVGGVLAYDNTLWGGTVAMPDDTPLTDDDREIRDAIREFNAKIAADQRVEVVQIPIADGITLCRRVV >Et_3A_026848.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:23610300:23611487:-1 gene:Et_3A_026848 transcript:Et_3A_026848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSLLDETSSGGGGAASTDKLKALAFAAAAGPPLERVGSGASAVVDAAEPGAEVDSGGVGGAAASAVAGAVGVGGKLPSSRYKGVVPQPNGRWGAQIYERHQRVWLGTFAGEADAARAYDVAAQRFRGRDAVTNFRPLADADPDAAAELRFLASRSKAEVVDMLRKHTYFDELAQNRRAFAAAVSSAATTASSSPLATDHSLASPPSPAAVAREHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQLPSAGGESKGVLLNFEDAAGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGDVVGFYRSAAAAPGADGSKLYIDCKLRPSIPAAAVPVGSTPVAKAVRLFGVDLLTAPAPDKAMAAGCKRARDFAATPPQAAFKKQLLELALV >Et_7A_051117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14670308:14670833:-1 gene:Et_7A_051117 transcript:Et_7A_051117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SGLPIIPCPQDATIKCPLPSWIQVAICLSARRRSNLFLQQPRKLVQTSGRLCAQTRKRTQTVMARGKVQMRRIENPVHRQVTFCKRRMGLLKKARELSILCDADIGVIMFSPHGKIYELATNGNMQGLIERYGSAYAGKQGESSEQNKHQF >Et_1A_006281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:245947:250129:-1 gene:Et_1A_006281 transcript:Et_1A_006281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGFLLGFLLGLLALAALEVAAVLLLVRHLRRKQATAGAPPPPGADELPGERPFPYEKQGSLWILEPEKVPKATNERSSIGGPKETKEKKNIVEVFPAKKMAKIKGHSLTLSSPDGSQTTIQLLNCTVLAVSASSMPSRKWAKRYPIKLESKECEIYNGSKVCYLYTDTSWEKESWCKALRIAATADKEKLNWHAKLSEEFLNYISSLNSEYPCFLKPSVLSAEDQEVMDRAVKTDGSSKVRLFLKKLAKKASTKAALESKASSGSSGQGEKKILDKLRSYQGAPFIEALIGPQEDKPGSSSLQDAVKATALSNNGQVSALPDVNADDRVADEGTLCWNLLSSRLFFDAKMSDEINKAIKARIQKTLSNMRTPAYMGDITLSDFSLGKLPPYVHAMRVLPLDLNELWAFEVDFEYSGGILLHIETRLEVQEPELQKDIMKTSFGAESEGDANSDLLESIEQYGNQFRGSQSSVTLAEEKDEADASSQSKSTGSGWTSTYVSRLKNILHSIADHVSQVPLSLAIRISSVRGILRIHLKPPPSDQIWYGFTSMPDLEWELESSVGDRKITNSHIATLIGNRFKASLLESMVLPNCESIPMPWMLADKDDWVPRKDAPFIWLHHEPAEKDDGSKKNAMPSLPDSSDGSEESPKTSSEEPIEKPVAEASRAQPLVAAAGGESSHSDENKELRKPLLVTEKHQEDGSESRVGSPPYTSLRAVMPAGEVSAAGVGGGEDAKRKSGRRARMMDFGKRMGDKLEEKRRTIEEKGRNIVEKMRENARTNSMERTSSS >Et_2B_022639.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28789622:28789942:-1 gene:Et_2B_022639 transcript:Et_2B_022639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSPPAVPEIMSCSSEDQSSSSSPMITFDGESFLVQVVSKDVSDELLGKFADTSEFDFDYDRSGLWSPLVLRHGVLLLAQSPARRSRRRLLRRRRKRRKVRVAE >Et_10B_004432.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:728302:728712:-1 gene:Et_10B_004432 transcript:Et_10B_004432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELGGNLGDDNESPVAVANYDGVLPTDVLCHILLRLPADEVRRLRLVCRSWRSLTSGPHFARAHLSRHPQVVALHDNRHEIHVVDLNSGGNVLKRVLLVQKSLGLSTQHDVLCVSQVLDQAYVLDSSPARPWPT >Et_9A_061471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12365189:12367479:-1 gene:Et_9A_061471 transcript:Et_9A_061471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREQKRAILHEKLQVLRSVTHSHADEDEGLINAHDVEQAVQAQAAKAEGERSQRIALQQQGSTFSFICWLHLKVDDAPASSAELMLLALSLYNGHHMMHGCLNYAQMPNWMM >Et_2B_020722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2295518:2304898:-1 gene:Et_2B_020722 transcript:Et_2B_020722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SWILGICGSSICHKKDVASCALKELFDSSTCLNHLLVTGIAALLAIVLVILLLARVPKSRTCAQPLLRLSSPLHLAGVVFNGLLGLIYLGLGLWMLGINFNRDASVYPPHWWLVTLSQGFSMVLVSLAFSIRARLLGVTSIRFLSVLLTIFAALIFFSALVHMMADKAITMKISLDVLFLPGALLLLVYGFWKIREDEAIESALYMPLTDAADDTAESEGHVTPYAKAGFFSVMSFWWLNPLMKMGYQKPLEEKDMPLLGATDRAYNQYLMFLEKMNSKKQVQPHGTPSVFWTIVSCHKSGIFISGFFALLKVLTVSAGPLLLKAFINVSIGKGSFKYEGFVLAATLFICKCGESLSQRQWYFRTRKLGLQVRSFLSAAIYKKQQKLSNSAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSVQLCIALVILYNAVGLAMIASLVVIIITVICNAPLAKLQHKYQSKLMEAQDARLKAMSESLIHMKVLKLYAWETHFKKVIEGLREIEYKWLSAFQLRRAYNSFLFWSSPVLVSAATFLACYLLKIPLDASNVFTFVATLRLVQDPIRQIPDVIGVVIQAKVAFTRITKFLDAPELNGQVRKKYRVGTEYPIVIKSCSFSWDESPSKPNLKNINLVVKAGEKVAICGEVGSGKSTLLAAVLGEVPKTDGTIQVCGKIAYVSQNAWIQTGTVQDNILFGSSLDKQRYQETLERCSLVKDLEMLPYGDRTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMGALSDKAVLLVTHQVDFLPVFDSIMLMSDGEIIRSAPYQDLLTYCQEFQNLVNAHKDTIGVSDLNKVDPHIAKEISIKGTSDIQGSRYIESAKPSPADQLIKTEEREMGDTGLKPYIMYLCQNKGFLYASFCVMCHIVFISGQISQNSWMAANVQNSDVSTLKLISVYIAIGVGTMFFLLFRSLSVVVLGMQSSRSFFSQLLASLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDVPFSFMFSISASLNAYSNLGVLAVVTWQVLFISVPMIVLAIRLQRYYLASAKELMRINGTTKSALANHLGESISGAITIRAFEEEDRFFKKNLELVDKNAGPYFYNFAATEWLIQRLETMSAAVLSFSAFIMALLPPGTFSPGFVGMALSYGLSLNMSFVFSIQNQCQLANQIISVERVNQYLDIPSEAAEIIEENRPSPDWPQVGRVEFRELKIRYRKDSPLVLHGITCTFEGGAKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSIDITKIGLHDLRSRLGIIPQDPTLFQGTIRYNLDPLGQFSDQEIWEALDKCQLLDAVREKEQGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCNMVLAMSDGKVVEYDNPKKLMETEGSLFHDLVKDRPAVASQLALPRHGNGLPSSNSGRVLITFPDGILLPRTVILPSSSPAMARSSISPLRRLLHQLTAPATASARKLAPSTLPAPTPTVHAHHRALTAMGGGGGGVAGTRTATPKCPVPVTAHAGPGATTACVATTSPPAANVTHRAVAASNFPAAAADDDNTSPNSMRTGAPVARSETRPSGPSDASYATRPTTGAASAYPGGAAKSAAVEAPSMAKRSKTMATRRANGAGTTRGGMANDTGPGPRPAVAFTPSAQSALLRTRSAEASTAPAAAGRGATSMSWLVRRSSSNARSRTAASTAEMFAPRLCAAQGCMNAARTTACASSSSSSEALAILLDGSLCLRRRVTAAGGSRHCGDGGIETGRLPPGTEEEVAAGGWKQRKWWSS >Et_10A_000718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1662585:1668360:1 gene:Et_10A_000718 transcript:Et_10A_000718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEERRLAVSGGAGSGIHGRDEFTYDHPESTTAVLEGSAPVTPHMSAEKSSSTELVDGQLRVGGKKLLPSVIPGRAPFFVCEKQYEPILRRRTKRVQKVIAKNRRTRGPRGRFISTKGRKGKTNGGISQAPSSPATDFYGPFVATNEDEEHVGEDAYDSILNLKAPDCTEILRIMMGDRYYTEVSEEFRNTASLESPEFSTLLTTMNNAAYDEAADDGYYCVDKVKLKLSGCQELKKNE >Et_9B_064037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10322907:10327464:-1 gene:Et_9B_064037 transcript:Et_9B_064037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRENPQFCQLCEEFATEALFYLKENETQTEIINTLHQACSKLHSFKLECARLVDYYAPLFFTKIATLSPEEFCVSVSFCGKVALIHLPRHEDTCTLCHEVVDEIVTNLEDPDIEFKIIEILLKGCNNTENFVQKCKRLIIQNAPVILEQIKKFLKKKDFCNSIHVCGGKTAHAGSQEPEDFTFECWRDFSDV >Et_2B_021208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27393321:27398651:-1 gene:Et_2B_021208 transcript:Et_2B_021208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLRDPAAAATSVTTARRRGGGGKVHPLPHEAANGAEGKRMGATAAAGWRRPEWCSAAGVAGVLRRHPAPALFGCGLLLFMAVEYTIPMVPPAAPPLDLGFIATAGMHAAVAARPWLNSLLAALNTVFVAMQAAYILWAILGEGRPRAAVAALMMFTCRGMLGCATQLPLPHEFLGSGMDFPVGNVSFFLFFSGHVAGAVIAAADMRREGRLALARLYDALNVLQGVRLLACRGHYTIDLAVGVGAGILFDTLSGWYFDGKNAIDDGYAPERHCRSCQCHKAVLSHVAIGTPVLLTELVELGLDDLHNFSVLWVLLGNIHMQRLLLNELLVQCLFLNETIHLLVEWVFKRKAIVRGNSDHQQWILRSQSAIHHIWGEESNSLALGRRISKGPTIRMLAEQ >Et_4A_034089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29908999:29910864:-1 gene:Et_4A_034089 transcript:Et_4A_034089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLVILLLLLASLALVLRPALAHGGGGFYDPARVTQLSWRPRAFLYSGFLSDSECDHLVKLAKGDLEKSMVADNDSGKSVMSQVRTSSGTFLAKRQDDIVSAIEKRVAAWTFLPEENAESIQVLRYEIGQKYDAHFDYFHDKVNLKRGGHRVATVLMYLTDVKKGGETVFPNAAEGYLQYKDETWSDCSKSGLAVKPKKGDALLFFSLHINATTDPTSLHGSCPVIEGEKWSATKWIHVRSFDNPPNLRADAPCSDDNELCPRWAAIGECYKNPTYMVGTKDTLGFCRKSCGVCYA >Et_10A_000779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17122500:17123775:1 gene:Et_10A_000779 transcript:Et_10A_000779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLLRPSAFVTDFDGGIIILPAIDAVKIERRRDRIAKRYRDVSVVLREEADARGWSSAVQERSLALRRRRGPASCLRRGCGWVVGAAGPVTSAEADEHGAPREVAVTQSPVALLGGAASEETRSDDSSYEFRRLLLSRLILRLRLPSSVSSAPTSPPPPSSQRCATPRTTGFLKALYKARGRWDQEVKMMERYDDEAVEMVDDDQGVEIVD >Et_3B_029587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26221549:26224120:1 gene:Et_3B_029587 transcript:Et_3B_029587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RLFSLLTASIRYDSRDQQSCLQFAALSPSSSSPHFPTMVSSVAPASLSIARPTVRRALASTAAVSLRRAGLAAACPPAWSLSSAARGADPQLAIHAAARCRAASPWSRGTRAVATMAKKSVGDLTAADLEGKRVLLRADLNVPLDGSQNITDDTRIRAAIPTIKHLIRNGAKVILCSHLGRPKGVTPKFSLAPLVPRLSELLGIQVQKADDVIGQKVEKLVSELPNGGVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSNPQRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGCSVGASLVEDDKLELAASLLAKAKEKGVSIMLPTDVVIADKFAADASTQVVSASAIPDGRMGLDIGPNSIAAFSSALETTQTVIWNGPMRVFEFDKFAVGTEAIAKKLAELSGKGVTTIIGGGDSVAAVEKVGVADAMSHISTGGGASLELLEGKELPGVVALNEA >Et_6A_048139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7394071:7396217:-1 gene:Et_6A_048139 transcript:Et_6A_048139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLVHLPLLVLALLTTARWIGVNAVDHIYKPDLTLCSTSDGSNFSDFTMYQANRDSLVHRLCAGTTTNGGFFNTSYGDGSDKVFGVVMCYIPSSWDECKQCLEMVPSYVSTACPNGLTGGVIYNRCILRYSDQPFVSTVTDQGNIFRVIRLAYYDDMVTINNSRWTMMNKLIPEAAVAPLRYANGSELYKDSIMTYGLVQCRIDLTQEECKKCLTSLVKYMLDTFPSNTAGSVREFGCYVQYHDEPIALMNPPALSILLWIFIRWWLKRIGKDEMEVEFSNGAGPKRFDYNVLADATNHFSDDQKLVEGGFGSVYRGFLAELDLEVAIKRVSRSSKQGRKEYASEVKIISRLRHRNLVQLIGWCHRGEELLLVYQLMPNGSLDAHLYNDEKMLPWSLRYDIVLGIGSALLYLHQDCEQGVLHRDIKPSNVMLDEAFSAKLGDFGLARLVDHGLGAHTTELAGTMGYMDPECMTTGRFSTESDMYSFGVVLLEVASGRRPVAILQDNTVIHLAQRVLELHGNGMILDAADPRLNGDFDARQMERVLVVGLWCTQHDRSQRPSIRQAVSALRFEVSLPTVTYTPPVRRLSSIPSFILEDSDATSGSKHLIRSSSS >Et_9A_061212.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22096699:22096776:-1 gene:Et_9A_061212 transcript:Et_9A_061212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTERTILSPFTKEYRVLVTISSIR >Et_6B_049644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7876227:7886629:1 gene:Et_6B_049644 transcript:Et_6B_049644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPAPAAPALPISEHEDEIIAAVEANTVVVIIGETGSGKSTQLSQILHRRGYTRHGAIAVTQPRRVAAVSVSRRVAQELDVPLGDEVGYAIRFEDRTSERTCIKYLTDGVLLRESLSNPELKQYSVIILDEAHERSLNTDILLGLMKRLIKDRASDLKVLITSATLDGLKVSRFFSGCPVLNIPGTLFPVEKFYSTERPTNYIESSLRTAIDIHVKEPPGDVLIFVTGKDDIDKMVSKLEERIQNLEEGSCMDALVRVFAPAPPNCRRFIVATNVAETSLTVDGVVFVIDCGYVKQRQYNPTSGMYSLDVVQISRVQADQRAGRAGRTRPGKCYRLYPISIYEKEFLEATIPEIQRSSLAGSVLYLKSLNLPDINILKFDFLDPPSRESLEDALRQLYLIDAIDDNGQITDVGRIMAELPLEPSLSRTLIEANGLGCLSQALTVAAVLSAEITTRSTRSKDVEGKRKRQELPDGSGWGDHIQLLQIFECWEQTGCDPKWCVDHDLQVRSMKFSKDVRKQLSQIIQKIAKGPTEVNSRKGRKNDPDYRKLRRALCIGYGNQLAERMLHHNGYHTVGYRSQLVQVHPSSVLESDEYGKYPMYVVYHELISTTRPYMRNICAVEQSWVEPILKKLEKLDINRLSGGSSAVKDSEPMDVEDKQVISPKKALDTKQSEVESKIQAARERYLARKGKK >Et_2B_019096.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:8358416:8359056:-1 gene:Et_2B_019096 transcript:Et_2B_019096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIICISIAILGMSLAFTLFLLLFYRNKRKSFGSILSNTQGDGGIITFSYSDLRCATKNFSEILGVGGFGSVFKGFLNQSSTTIAVKRLDGACQGEKQFRAEVSSIGIIQHINLVKLIGFCCEGSKRLLVYEHMPNGSLDAHLFHDKATELKWSTRYRISLGFAKGLAYLHHSCQECIIHCDIKPQNILLDASFVPKVADFRMAKFLG >Et_10A_000258.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20328424:20328666:-1 gene:Et_10A_000258 transcript:Et_10A_000258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLQMVIALLHRWKILCPVGYLGGTRTADILIDRSCNKTGWDPMKYIHLEGDDGDICLGLQPCGAEASEYQGGGGLEA >Et_1A_004885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14089516:14089857:1 gene:Et_1A_004885 transcript:Et_1A_004885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKDGLVRRVENPGGGRRKVLVHVPSGEVVTSYEVLERRLRDLGWERYVDDPCLLLFHQRSTVHLISVPRDFARFRLVHMYDIVVKTRNVFEVRDAT >Et_9A_062511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23597555:23609209:-1 gene:Et_9A_062511 transcript:Et_9A_062511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDIKAAEVSYIYIRSVAEITKYVSANQFMLSGARTGAQPPQKSTPGANHRNRASVQRDVTAASIDEERQQKFEDENGVQNIKLEDLVGMIQSTEKTRLQALEHADKIRREKEVLQRKIEILEMKLSETSVQQKLSSEGESDAGTGDPKLLMEFDVLKEENMLLKDDIKFLKTQLIEITEMEESLFELEKERALLDASLRELECGFIAAQSDMLKLGPQQHDAWWEKVENLEELLESTAKQVEQAAMILERYHDFPDKVEKIEASLGTSNVSKFCFYLVDLLQQRAKSVEERFEACNNEMHAQIELYEHSIVEFHDTLSKIIKASEKQSLEHYAEGMPSDFWSRISLLIDGWSLEKKISYNDADILRGMAWKRDNRLREAYLSSRGMEERELMDSFLKVALPGTSSGLHIVHIAAEMAPVAKVGGLADVISGLAKSLQKKGHLVEIILPKYDCMQHNQIHNLKVLDVVVQSYFEGHMFGNKIWTGTVEGLPVYFIEPQHPAKFFWRAQYYGEHDDFKRFSYFSRAALELLYKSGKKIDIIHCHDWQTAFVAPLYWDVYANMGFNSARICFTCHNFEYQGTAPPQDLAYCGLDVERLDRPDRMRDNSHGRINVVKGAIVYSNIVTTVSPTYAQEVRSEGGRGLQETLKIHSKKFVGILNGIDTDTWNPSTDRFLKVQYSANDLHGKSANKAALRKQLKLSTAYASQPLVGCITRLVPQKGVHLIRHAIYKTAELGGQFVLLGSSPVHHIQREFEGIADHFKDNNNIRLILKYDDALSHMIFAASDMFIVPSMFEPCGLTQMIAMRYGSVPIVRKTGGLNDSVFDVDDEMIPVELRNGFTFVNADEQSFNGAMERAVNYYNRKPEVWKQFVEKDMRIDFSWDSSASQYEEIYERAVSRARAGA >Et_2A_016719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27499533:27537046:-1 gene:Et_2A_016719 transcript:Et_2A_016719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGPDCGECAVICCLACCEGACEACADSGGDLPCCAACVLLGLAALVLAAVLVAAFAFVVPVRVSVDEASLGRLALLPAPGTNGTAAEPSFSYDISLGLRFRGIPFARARLASAEWDRIRPWRREVYRVAAAAQSAPVAIGSDGAAEFAKERAEGVFEVELVVSGEAKYEGHIHRRGFKVTCPLKLSLSTATAPAAFSKEEAAGCCILCALTLATVLLAAYAFINPPEVTVDEFALRRLALAAPAVNGTAPLAFDLSLAVAVRNPNWAMSVRRTAPLGGELRFRGVPLAGAAAGRDRIRARRTAVYRVSSAGESAAVALGSDGVAELVTESAAGGVFELELVVVGEFRYQGHRRPRRFRASCPLKLALSTSAQEPAGFASVSVDEASLHHLALAAPPGNATPASSLAYNVSLVVSVHNQNWGMSVRLTAPLDAELRFAGRPFARVRLAGAADSDRIRPLKTAVYRVATADGKTPPVALGRHAAAELARGRAAGAFELELVVAGELKFQAHPHGRSLKVNCPLKLSLSTATAPAAFAGADAEGCCFLIVLLAAAGLLVAAFAVVLPVRVTVDEANLAHLSLAAPGNGTPASLAYDLTLVVTVHNHNWAMSVRRTAPLDAELRFRGLALALFRIAGAMDWHRIPQSTMSIDRFAMANKAAPVPALGSFAAAEFARERASGVFDLELIVTGQFKYLAHFHKRSLWVICPLRLSISTPTMPAPFARVNKKYFLAQPDVLVLAFTFVIPLRVTVDEAYLSRLSLAAPGNGTPAYSLAYDLTLVVAVHNHDWALSIRRTAPLDAELRFAGVPFARVRMAGAMDWDRIRRSTKAIYRFAVAHDAAPVPELGSFAAAEFAQERASGLYALELIITGAFRYQAHDHSHSLRVICPLHLSISTPTMPAPFARDYLTLANCNIQHQSTLDLQEKMQIHVKEALQGLTFTVDVDSSYTIDKIKDKIEVSHGFPKGRQCLIFANQQLEGERTLEDYNICKDSTLLLVLYPSLGGRMQISVKMVDRKTHTFKVQRSNTINSIKRAQRNMAMGDGAKDCVACCLCFFMFAAGITLVVLLFGYAGFVPVEVTVEEASLGRLALAGGSNGTTPARLSYNLSLAVSVRNPNWAIRVWRTAPLDAELRLAGTPFAAVRLAGAAELELIRPKRIAVYRVVAAAERAAVALGSDEQAELAKESGVGLFRLELVVSGEVKYQGDSFRISFRVRCPLKLPLSTAPTAVEFARIEGTLPPQACEKVDACCFVVGLLAVAGILVMAFAVAVPVQVTVDEAYLGRLALAAPGNGTRPASLSYDLTLVVTVHNDNWAMGVRRTAPLDAELRFAARPFARFRLAGAADWDWIRRSTMKINSFSVAGKAALGRHGAAEFARERASGVFELELIVAGEFKYQAHFHSRSIRVTCPLTLSISTPTMPRVTVDEASVSLDVTTIRNGTLTSGCARRPVLVVHGLLVLHSAYGHFDRVEVTVEEASLGRLALAGHGQLASVSYNLSLAVSVRNPNWAIRVWRAAPLDAELRLAGTPFAVVRLADGAAEERIRRKRSAVYRAAAAGESAPVALGSDEQAEFARESGVGLFRLEVVVAGEFHYQGHPRRNRSFTVRCPLRLPLSTSPTAVAFARFEHTLPP >Et_6B_049814.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:13567049:13569184:1 gene:Et_6B_049814 transcript:Et_6B_049814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRHALTMTTVSSMSIPFFIFLLLASGGLVHQAVAQQPYGSAIADCNNQHNDTGLLGYFCDSRSSSAASCATYLTFNARPPYADLASIAALLGVDAAALAAANSPLSPAPGTTKVLVPATCSCTSTPEGRFYQRNATYVARSGDTLFIIANNTFQGLSSCQAVQAQGLGGAPATSLDAGQAVAVPLRCACPSAAQAAAGARFLVSYLVGEFDDVSAVAERFGVDEAAVVAANGLAPPYTIYPFTTLLVPVAAPPNVSQIQSPPPPPTVAPSPPAKKSGNHAGVYIGVGVAVAAVAVIAFAGAFLALKARRRRRRTGAVIAATTTSSPANKKKEGNNNKGQDTSATSSASPFATTTGGEVSASFSDTAFSGISVSDIKSSLKVFTYAELSAATDGFSPARRLGSSSVYRADFAGDAAAVEVVDRDVAAEVEITRKLSHLNLVRLVGLCHHHGRWYLVTEFAEHGSLRDRLLLLNSGAGDEPPPPLSWAQRVQVALDVAEGLRYLHEYARPPCVHMDVSSGTVLLAGAAPRAKLRGFGAARAITTNAAGGGAGESAVMFTMTSRIAGTRGYMAPEYLEHGVVSPKADVYSLGVVLLELVTGKAVEDLVGGDGAVGDPFAALRELAAADLDGDDGDVMRRLEELVDPAMGSCPRDAVVIMVRLIERCVRRDAAGRPSTGEVAQRLLKLSGVSAVGWQSSRSSPESPRSPGK >Et_1A_005344.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6230338:6230448:1 gene:Et_1A_005344 transcript:Et_1A_005344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGSILRRMLHFSKDSKKFILLSQHWKRPYSFYVA >Et_2A_015363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11695728:11699371:1 gene:Et_2A_015363 transcript:Et_2A_015363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRILARVSRQCIAAVRRTGAVRVGVVAATAEPFAGSCSSIRVSHMLDQHLRYSTSIFQRFGFSSSSPEQSDKEVNQPKDQESSSQGSNGTCSNEDTSSSGSTVDLDLSKDDLVKLLREKDELLKSKDDEMNDMKDKVLRSYAEMENVLARTKRDSESSKKYAVQNFSKSLLDVADNLARASSVVKESFLKIDTTKDSAGAVPLLKTLLEGVDMTDKQLAEVFKKFGVEKFDPLNEKFDPNRHCAIFQIPDPSKPPGTVASVVKVGYMLHNRVLRPAEVGVTEGATSTTEETEQPEQKSAGD >Et_10A_001682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6816107:6819127:1 gene:Et_10A_001682 transcript:Et_10A_001682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASITFVLNRHGELAAKEAALLRGVDDDIRLLRDKLEWLQTFIQHADQQRRGAGGNSYVGLWVRQTRDVAYEVEDVLDEFLRKADLQSLGRLPAWKKWIKVATTCTAHVSIRHILRERMDGIKKRLKEISDNVQKYEIEKKLQSSTATTSNATNSAATEWDEENKIFGFVDELGALKRQILSDDNRRSAIAIVGESGIGKSTLAWRAYDSPDIRCHFDLRVSINIPPHVRDTDIIYFIYKRLCQEADERKSSSTQDVCAAISEHYVRAALSKHLKEKRYLVMVDGLVNFTNLNSVLHSLPDNNNGSRIIIITRLEDKEAAYADPKVSPLIMNYLGKEESKDLFRHKVLGSTDQLQHKVLSKETIEVMKNLEKVYDDIFEITHGLPLAIVVLAGLFRTKNFTEWKAVLERQKRSPKE >Et_1B_012254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30142955:30146671:-1 gene:Et_1B_012254 transcript:Et_1B_012254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQISGAKYCVDWKLIMLGFPCLEFVFTGDGELETFRFDVNRALDQGNGAHFAYDSYQFNKSGKEIVLKDNGDGSLGMVSDMDPKDAAAQLLMQETFSRSECDALMKIIQERVVDSGPSVVEPDVVLPIAWRSSSEEHPVAYSSGAPLFGNAGEKRMLKTSSTTVEDPCTLKDLSDDQRQHVARRSSSYKADTFEEPGRVRPKLNKSNIPGKLNIPLLGTDNLTFSNMASQSETARTVQHLGTSSRQAHMKKRGSAKFYPHSNRDLTKTVPVKVEPFDEYAPFEPEMMHLGQKNDERTLSNESCSASKMIFQEDIEAAASSSMRLQGENRSRNCTKGLKLQCSIPTKRRSPAISSGRPSKSRKIGSWNGSPQQRNPASVGQEPNACHSQGKRPVGRSVRPAYAYKSYQTIGTFH >Et_10A_001738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7492205:7496407:-1 gene:Et_10A_001738 transcript:Et_10A_001738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPTPAGCVIFLSLLLLLLLSSAAVAKEEFTEELLLRPLPDRKALAHFHFRSSAPPSSAAGRHHHVFPKAISQLVQKFHIIELELSFTQGRWNYEQWGGSDPMSTSYAKPPGVELWATFDLPLAEIDATWKNLTHTLSGLFCASINFLEPSSSFSAPQWGFKLNEGNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHSQKLKLRSSQSLGIILDQTLTVVLQPNTASGKQLHSTDGQLQPNWSIRHLFNSKLSGKCLVSKSSRIFLAIDKGITDSIADKVNKSGTDISWNNEFFVLSNGPDRVIKELNNLKVQSSTLYEYDVSTYSEEKPFDVGITWKLPLIWSCTPSPYHTSRFLMGSGNERGSIALSFLSTNLHKQISGSSDDCSTKAVIFQMVPWYVKVYYHSLEIFIDGNRKTISEVVDKIQVTPSEDKLLPGTLEMQLRFPCTMQSATLTLDFDKGFLHIDEYPPDANQGFDIPSALVSFPEFSSARSYSEIDPSLVSALVENFQEDGVVKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRIGEEERELKKAATRRGLIPLLIAKLRGQKMDPPESGSSSELAGSKKLLLKVVLVAVAAVVFHYLSNNS >Et_4A_032642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12087606:12092706:-1 gene:Et_4A_032642 transcript:Et_4A_032642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVLPPSRPPPPAKSDLFKVSLSSPAPEVLLRHDAELARPFAALPAELGALPGSSPPSAAPTDAHVIHFLKESDPCPIEKGEALQTKYKIKIKVFQSCILINLCMWLVSYTAKR >Et_8A_057711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6326583:6328800:-1 gene:Et_8A_057711 transcript:Et_8A_057711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPKQRWTPEEEAALRAGVARHGVGNWRTILKDPEFSSTLRYRSNVDIKDKWRNINVIVTASGTREKVKTAVKKTKAAPKNNDHSTAISTVNSDAEDEIVDVKPIASVSSEACTLIPKKSQSRLDNIILEAVKNLNEPTGSHRTAIANYIEEQYWPPSDFDHLLSAKLKELTSSGKLIKVNRKYRIAPSSPYSEGRRPKMLLLEDIQRESSKLGSDDSKTLTRTQVDAELARMANMTAEEAAVAAARAVAEAEAILAEAEAAVREAEAAEADAQAAQAFAEAALLTLKDRNAENLVIALVFKYL >Et_1B_012755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34964935:34969197:1 gene:Et_1B_012755 transcript:Et_1B_012755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTLLMGSPWLRMRLLPDVRPARHLHLRRAFSARASASAADGAGPVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGRFVLRIEDTDLERSTKQSEEAVLADLSWLGLEWDEGPDVGGEFGPYRQSERNSLYKQYAEKLLGSGAVYRCFCSNEELEQMKEVAKQRQLPPVYMGKWAAASDAEVQQELEKGTPYTYRFRVPKEGSLKISDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDATMQISHVIRAEEHLPNTLRQALIYKALGFPMPSFAHVSLILAPDKSKLSKRHGATSVGQYKEMGYLPQAMVNYLALLGWGDGTENEFFTIDDLVEKFTINRVNKSGAVFDATKLKWMNGQHLRTFPPDELIKAFEDRWKTTGILQESESGFAKEAAELLKDGIDLITDADAALSNLLSYPLHATLSSDEAKPVVEDKISEVASALISAYDSGELTQALAEGRGGWQKWVKSFGKSLKRKGKSLFMPLRVLLTGKLHGPDMGGSITLIYKAGICGAVTTQCNYVNLDERFRILKEVDWESPVKEQETPAESAVPAAS >Et_2B_020844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24142311:24145017:1 gene:Et_2B_020844 transcript:Et_2B_020844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACHRLLVLLATLLCAATGASSKRITLPGFYGAVNTTGFGRTYTKVCDADRFAELGLDMPAFPYCNASLPYADRVRDLVGWMTVEEKVGNLGDWSRGAPRVGLPPYKWWSEALHGLSSTGPTTLFDDALTSRPGNHSGRAAVFNGTVFANVIDSAAAFNETLWNAIGQAVSTEARAMFNLGKGGLTYWSPNINVVRDPRWGRALETPGEDPYVVGRYTVNFVRGMQDIPGLGENSTGAVDPFTRPIKTSACCKHYAAYDLDAWHNHTRFTFDARVAERDMAETFLRPFEIYNRVNGIPACADARLLSGTLRRDWELHGYIVSDCDGVLVMADNATWLNLTAAEAGAAALRAGLDLDCGESWIEKKKGPPLMDYLSTYGMAAVAQGKMREADVDGALANQFMRLGYFDGIPRYEGLNETDICTDEHKGLAADCARQGIVLLKNHGNLLPLDPKKVRAVAVHGPHAHAPEKVMDGDYTGPPCRYVTPRQGISKDVKISHKAKWTIYFGGINLHIEREGNDREDLLLPRNQRNRSCTLRRPRRIPSSWCCCGGIDISSLHNHRKIGAILWAGYPGGEGGHAIADVIFGRHNPGGRLPLTWFKNKYISQLPMTSMSFRPVPKLGYPGRTYKFYDGPDVLYPFGYGLSYTKFLYSTATNGTVVTVPTGGRHCKSLTYKHSVAAAARPACPAVDVAGHACAETVSFNVSVTNAGAKDGAHVVLLYTVPPPEVDEAPIKQVAAFTRVYVPAGSTAAVPVALNVCKAFGIVERTAYTVVPSGVSKVLVENGDSSASFPVKIEFSV >Et_9A_060965.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:2195256:2195531:-1 gene:Et_9A_060965 transcript:Et_9A_060965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDASIFVQVGDGTLSFFLTDKWLDGSSIQDLAPDLDLVRASHCAANAEEQNSAPRNDQQAMDSRYHQNSHAWWWPYCNTWTYGAGSNQCT >Et_6A_045966.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:14190312:14190410:-1 gene:Et_6A_045966 transcript:Et_6A_045966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERLTGSPDGRIDHMLQVIKILRLKQSKFLL >Et_4B_036252.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13117124:13117342:-1 gene:Et_4B_036252 transcript:Et_4B_036252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERLRVNASVDDFSIKTCIAVVNTIEKLSSEEKLGPIDVLKDPQHREIFCLLNQDVFLWLRKKSRNILFG >Et_8A_058290.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4367122:4367907:1 gene:Et_8A_058290 transcript:Et_8A_058290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMGSLPDDLFMRIVLLFSMSSRWRLRLVCKRWRDIIDRHTPERHVLTSVLAFTSFRGRHERTYPCSHKNSRVKLVSTCNGLLCLHESLVLRTDGKISTTIRVSVTNPITGETMALPAVPSSSWDHLGQHLMSQQYGFGYHPLTGQYKVVHIPCLQNQEVNSVQVFSLGGVSSSWRDVPVHEPAGAMSYDPYHSIVSVDGAEEREDLGAGLRLRRALVDEGAPVPADEAEAPTGARWEAQQDVHEGVGGYAEAVVRHGGG >Et_1A_006366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21344534:21358181:-1 gene:Et_1A_006366 transcript:Et_1A_006366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSFGFSPSRLLNRRIDLPPSPVLRLWAAFSSSSPSPWEASATDVGGRPATIREGRAEIFADESNSVFYNKAQVNNRDISIAVLRSFITKRREEHATRSTKGGPKDPLLSEHDKYKKPRVLEALAASGLRAIRYALEVDGIGEVIATDNNEVAIEACKKNIHHNSSLASSKVVPHLADARVYMLTHPKEFDVVDLDPYGSPAFFLDSAVQCVADGGILMCSATDMAVLAGGNAEVCFSKYGSYPLKGKYCHEMALRILLACIESHAIRYKRYIVPIISVHMDFYIRVFVRIFTSASTVKRSPLKLSHVYQCTGCDSFHLQNIGRINSKDKRNIVLPNFSPGVLGDCSECGHKFVMGGPIWSDPIHDKEWAATILSNIQAMSDVYPAYPKISAILTSVSEELPHAPLFVNLHNLCATLKCTNPTLDMLHSAIRNAGYQISGSHVDPLALKTDAPMSVIWDIMRCWVKLHAVKHRTGNHPGSVILSQEPKLQANFSQVPQISAPRKSPRFVPNPEKYWGPRPKAGRHPKICHGQNP >Et_3B_028084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1077346:1078989:1 gene:Et_3B_028084 transcript:Et_3B_028084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGGELDVFDAGRCADGYALGLAVGRRFGDVIRSRMRQDLVFREQLLPFASMAKARPLLAALQAANRERYPRYWDELLGTADGSGVPLLHVVLVNFRKEIQPFIPKDGGHGQEEEEEADADCSDVLIVSDSTAIAAHNEDGNVALRGHTYLVRATLPDGLSFTAYTYAGELPSCAFGFNSNGVAFTLDSVPPVNDEIVAGAIARNFVSRDLLEARNLEDAMHRIRSPKVSAGHSYNLMDVKSRRILNIETASGNRFAVHEAGAVPFFHANMYRHLQVKQVQDENSMSREKRAAQCSVDSKETALSLLGDTADDKYPIFMTGPTLHTLCTVLVDLDEETMTIYRGNPKNGDAVLVLPMS >Et_4A_035381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22317894:22320927:-1 gene:Et_4A_035381 transcript:Et_4A_035381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADDSSQAAGAQRSALTRIIKAKSSLNSAFHLAREDRYVKLLAKLSFLDAALQCVLPGHPSHENFVTSVQKVKSSLFGAIAHPSWLSLGTKALSCDSVRHRSVLLQLPNLQCQASMRHPPPTLALPPPMVSMRDESGSDEHKRIVELSEIIIHEIGSNTWLKNPHGAQTIFRVPKERLHAADKCTYQPTFLSIGPYYRGEDATEEMQRNEQGKLLCLGSVVNDDDGPSVLQLTQAIASMEAQARSCYEGDVQMERDAFCKMLLLDAVQLMFLVQLLGHGAGVVQGGSSSKKMEGAAQGCNIKTRDLSLTVHDLMMLENQIPFSVVEKVYELIHTPTDDDAAEGNGSVVPVVRLAWGTIGAIMADIPPAFDDGVDKCKHLVHLCHAYLKPSSAADATYAGEYGRFRRATEYYEAGVSFRRWSAATDGSRRPLLDVRFSDGALRMALQSVDEKTGYILRNVLAYEQKYYRKATDAGESYVTAYVVFMSQLLSGPEDVALLSGHGVVEHLLGNDAEVCALFRGLADGLVFDPAGEHYLNPVGVALQGHCRSRIHRWGAWIMRHRFSNPWLVAAWIFGAAAVLGTIVQTVYTVLSYYR >Et_2B_020926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24940855:24943283:1 gene:Et_2B_020926 transcript:Et_2B_020926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEERPVPRRESPWGLPEGDKRQPKAHRCNDRAEDVVQACFEGNPFKTVPGPFKLFWQCMRSNPGEEPTEPYTYLQLDPPKRAEAKLE >Et_5A_040267.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:9168880:9169234:1 gene:Et_5A_040267 transcript:Et_5A_040267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLVFLPASTSIRVVLPAPLTPTSAVRTPGLNAPLISDSSWRRLSVKPCSFIAYNARFCIRQGYKYQMTQAPPREDVNSFLHEELSEVNIFF >Et_9A_061979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18559587:18561708:1 gene:Et_9A_061979 transcript:Et_9A_061979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSSALPSTLLLAAAMALVFSGAGVHADTRHYTFDVQMTNVTRLCSTKSIVTVNGQFPGPKVFAREGDHLVVKVVNNVPYNVTIHWHGIRQLRSGWADGPAYVTQCPIQPGQSYTYRFQIVGQRGTLWWHAHVSWLRATLYGPLVILPPAGVPYPFLPAPDEEVPLMLGEWWKDDTEAVIAEALRTGGGPNISDAYTINGLPGPLYNCSAQDTFKLKVKPGKTYMLRLINAALNDELFFAVANHTLTIVDVDASYVKPLADAALVITPGQTANVLLTALPTRPNASFYMLARPYSTTQGTFDNTTVAGVLEYDDVDPTTTASSLRVLSPTLPAINDTSFVANFSSKLRSLASAEYPAAVPQQVDRRFFFTVGLGTHPCAVKGTCQGPNGSRFAASVNNVSFVLPTSTALLQAHYSGRSSNGVYSTNFPNYPLMPFNYTGAPPNNTNVMNGTKVVALPFNATVELVMQDTSILGAESHPLHLHGFNFYVVGQGFGNFDPINDPAKFNLVDPVERNTVGVHAGGWVAVRFRADNPGVWFMHCHLEVHLSWGLKMAWVVMDGSQPNQKLPPPPADLPKC >Et_6B_049416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4575532:4579775:-1 gene:Et_6B_049416 transcript:Et_6B_049416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VEARSREEREKGGKKRGILDLLGSLDSLEFPTGKASIYQVSKCSGDTFQVTVGDKERVGYNYELSLHFWGEWLIKKENKKFKGHLDIPEFSFGELWVLCFL >Et_1A_007365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33887365:33889604:1 gene:Et_1A_007365 transcript:Et_1A_007365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQPFSSLQCRGLARDTGSCSGSTVAAAASPGPSTGQGGSSYWWHEHRYRHLCREVGEQRFVAQHVHRLPDGGPRCVVRMRAPEPGDHHTFHLLNVELTIQPSIGGVKYPSAAVKVPDPLHKVDGGVFFRVFYDNDRPPATGNLEDDHAETVHQALWVHVTHRSGERRRVRFPLVVDEPREPEVAELGVEGSVEHYIARLDVTVQNALLPLLMQAPIGHELIDKQELVAAMAPADELNEVAVPQPADSLHLGNVLLPPLLRALGHSLDGDLKIHIFQVPTVHRSKAPFAKLLVTREELGCNGQLSVAETPRPGAGLKLLPQRLVVKHEFTLSNVVRHLAHSPALRSLVHCARPYIIWDLPFESVYGSLPFASRSREPAACSASWLKSFHRRDVRDRKEGLVGVPEDAGVEGGLHFSAERALLLHRVARGTEALLARGRVAVGVAHGETKHRVWRLANGGVVEAPVGDGSCRKLVHEVDEVHLILVAGGSGNRDRRWCTLPSGNSGDSAEPQDNGEEELESPRRHS >Et_1B_014127.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31596660:31597079:1 gene:Et_1B_014127 transcript:Et_1B_014127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSPGTAGAASSSGSYNATVAAHELRRQRSMPAAAFAPCGARARRRSVRRLGDDGESRGVGAVARALWAWVATRRRKVLSRSGSAAAGKEQYYGHEEYAQNFDEGAAAAEPENLARSFSARFAGRRLARQAPWDGRAR >Et_4B_036471.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:25892612:25892794:1 gene:Et_4B_036471 transcript:Et_4B_036471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PALSIGNVGQLAVDLLISSTRARRVAYLDEPSVLPCAGNDAFGPDAVGDLALALEGWMLD >Et_1B_012930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4146626:4148021:-1 gene:Et_1B_012930 transcript:Et_1B_012930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNHDLTSFLKDLTAILIASASLFLAKTGEILKWCQIPEAFNVFDAQVAQQRLQAGITTLVSSKEGVTVIYSKRGGDTTASSHSEWLLTVPAMPDVINVKAVPIISLVKGSLAPATSLTPSTSISDIRTALPHTHLLHTK >Et_1B_014064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29528774:29530834:1 gene:Et_1B_014064 transcript:Et_1B_014064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQAVYDPRHPGFLLPRLRGRRFRMAVYIAAWGVAGLLSTTVPAGLAANPDHVMIYFLFLMAGVMLLLLAVAAHEQPVAERAAARFEFCSGLSNDLCLDLILVFPFMGMTMSPVIDNPSVAQQSHPGLSQAASEFAIRKA >Et_2A_017096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30936679:30938359:1 gene:Et_2A_017096 transcript:Et_2A_017096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTSKLPVLATISLLLLLLVAPSNVAARAIDASGAQRLELPDNVFGPESVAFDDHGGGPYVSASDGRVLRWDGEAAGWKTFAYSPSYAKHKQCQPSPNSDLPSEATESLCGRPLGLRFHNASGDLYIADAYMGLMRVGKDGGEATVLATQADGVPFKFTNGVDVDQVTGDVYFTDSSTTYTRADHQRVTTTSDSTGRILKYNPRTNQATVLQSGLPYPNGVAISSDRTHLIVALTGPCKLQKVWLTGPKAGKSETFAYLRGYPDNVRQDGNGGYWVAFNMEKFEWPRNAKHMIATSIGGQGEKLQEISGPTTVSLSEVVQRPDGKLYFGSVESPLLDPRNRYAHGGVQPQVALPSRRDPEPLGHVQFVRRSAAREFPVEVEEETGETQLHDGQTERDSGTDPSPGAKRHELKVRAPEVHHCLVAAAVLEPLGPEFLGVGAPTRGVAADRPRVDQHHGAFRHVVA >Et_1B_009660.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:12119953:12121206:1 gene:Et_1B_009660 transcript:Et_1B_009660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPTQVVEVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHTGNISLDDVMEIARTMRPRSMAKEFAGTVKEILGTCVSVGCTVDGKDPKDLQQEIDDGEVEIPSA >Et_3A_025267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28384401:28387392:-1 gene:Et_3A_025267 transcript:Et_3A_025267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWRISRSLLHAASASASSAARRGAAPRRWAPPPRALNRCCGELRGFASLPEVAMRDGEPDAEVTAEEARRLMRLANVEALKQRLGDGEVIPYADLLRACEEAGAARTRAEAAALAGALDEAGVVLLFRDKVYLQPNKVSAHCRPLLPLSPPSPIIVDLVRKAMPLALTSESDPRKEELKQLQAQLEDINKLAHKQVRRILWSGLGFLITQVGLFFRLTFWEFSWDVMEPITFFTTTTGLVVGYAYFLITSRDPTYRDFMERMFISRQRKLIQRQHFNLDRYLELQRCCKDPLEKACGTDHSSNPDMAHLYELIHK >Et_1B_012219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:340881:346550:-1 gene:Et_1B_012219 transcript:Et_1B_012219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAATSAAAVLVVVAALAGVAAGGDIVHQDDDAPKIPGCSNDFMLVKVQIWVNNRESDEFVGVGARFGPIIEAKEKHANRTTLLLADPFDCCSSPREKVAGDVLVVERGNCTFTKKAKVAEAAGASAIIIINHMQAKKQSNTYQSTYLQFFCQKMQVLLYNGISHMGKLYSPDRPLVDTAEVFLWLMAVGTILCASYWSAWSAREADIEQEKLLKDGHEVLPNSEAGGSSGMVDINMVSALLFVVIASCFLVTLYKLMSHWFVELLVVIFCIGGVEGLQTCLVAVLSRWFKPAAESYVKVPFFGAVSYLTLAVCPFCIAFAVLWGVFRRLPYAWIGQDILGITLIITVIQIVRIPNLKVGSVLLSCAFLYDIFWVFISKMLFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFALRYDWAAKKTLQSGYFLWSMVAYGSGSLLITYVALNLMDGHGQPALLYIVPFTIGTFLALGKKRGELGNLWTRGQPQRVCTHRHPSPKDSSAPVNPSL >Et_3B_030601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5256311:5260533:-1 gene:Et_3B_030601 transcript:Et_3B_030601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDKPRAVPDQSEGKEQGGEVLAGEMVGDDGLQCGDASPEKEDATAEENAGHGDAAAEDLELIFDSDAWAEESEGVVAVGDADDGVTSGNEKNEDHGVPKNPLATRFSKYSVPTSTLKPSGLLWFRAAIAHPTPPTTYRRLPLRSRKQYNPTRFVAEGTASATAGSAGVASALSTSDSTNEAQSSTVARSESDATVDQPSSDGNKSGIDAMNAPAAWESSSHHLPSRGRKQRRPEQFIPEEAESSVRAKARRTSISLDRILTSLCVSPERRPEWVGNATADDVGGRGQGGVEGPETPDGRARVLGVVAVLGASLALCVVACVLFYVIGRRTEHGPSDGNQMYLLDDSPLGMRRSKRLLLHIGMNHPRAFINNNLDHRVGPVATTFFSCPIVLLNDGHLCLLLGHHQNPRIGHQHTPTSTVGISEHDVHWVVGRLDPLGHVHDHDIPDPNGVEVVEEVLVREFGGGG >Et_2A_017785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6215437:6215814:1 gene:Et_2A_017785 transcript:Et_2A_017785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMASRSSVHGSVSASRNTSSEWPLARTRNGDQYVETLTRYGSGKAQRQNLRPRLSSYWCPVSGRATVERKQTSDSAWFRAVESPTNARRLPRTRRRNPAGSSAERSHPAGCQRQCR >Et_7B_054821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4635656:4646385:-1 gene:Et_7B_054821 transcript:Et_7B_054821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAGLERRGPHPADDEASGSNRSLLPLLGAHEVGKGSRGSKASTIILGLVFLEVTAFYGVYLNLVVYLQDVLHGDSASNAATVNFWASASYLMPVVGVAIADSYWGKYKTVLVGLSTALVGMVVMTASATVPSLRPPPCEQSTDDCAPATLGQRLVFFTGVYLCAIGVGAAKAAIASFGAEQFGDDGERERKASYFSWYYGVGGLGALAAGTLLDRVSWGLGFGVCASFLAAAVVSLAATAPVYRVVRPPAETPLRGVCQVLVALAHKVNLSVPDDADELYYEEEHVMIKTPLLLEPAARGERLDHTDEFRWLDKAAVVTAADRERGDDYASWRLCMVTQVEEVKTLLRLIPIWLTSAVYFVANSQSQTTLVQQGAMTDPRILGGAVTVPAASLASVQTVFVIASVALYNRFLGRAAAYFTPLQLMGLGHAAGAVAVAVAACAEARRLGAARGGAPPVSIAWLLPQYVAMAVSDASLSVGQLEFFYDQAPETMRGASTAFYFLSVSLGNLLSSQLVKLVAAVTAAGGRKGWFPPDMDGGHLDYYYLLIVTITAVNFAVFVCLAKNYTPKKAMDAGDAMERAQRTPRLPESWSPKFQDDSLAVPLIQDKKTGSKAPAVVLGFECLESTAFNGIGTNLVMYLETVLHGSNLASASNVTTWIGTSYLTPVFGAFLADTYWGNYNTILVSLVVYLLGMMLVTFSAFLPVTELCGVGSSCHPVLGAQNLAFLGLYLVAIGSGGVRAALLPFGAEQFDDDNAVDRERKMSFFSWFYICVDFGMIISGVFIVWIQTNVSWGLGFGVATACIALAFGGFVLATPIYKRTMPTGSPLKNLAQVAVAACRKLRLRVPADAALLYEVNDKVDDQPRIAHTDEFAFLDKAAVVAGSDLEEVMTNNAGAAGSWRLCTVTRVEELKILLRLLPIWATSIVLSAAYAQLNTTFVQQGGAMDMRVLSLDIPAASLVSFELQRMGAGRLLMAFAMAAAALMPQYFVLAGAEVFCYIAQLEFFYNEAPDTMKSICTSFALLTVALGSYMSSLIYAVVDALTAVGGRPGWISDNLNEGHLDYFFWTMAALCTLNFVVYSAFARNYKVKTVVS >Et_1B_011013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16556139:16581077:1 gene:Et_1B_011013 transcript:Et_1B_011013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADPVPTMSAIVANTVSAAHVLKIDGYSRTKGLDVGVHLRSCSFLVGGHSWHLAYLPNGDCPDRADSIAFFLVLNDAAPAATPVIAQFMVSLLDRFGKPVPAHTKAMPMIQFAGPGSSWGFSSFIKRDTLEKSRNLRDDCFSVRCDLTVVTNFSAEDAATAAAAAAAPSFVAVPPSDLPRHFAELLESGQGADVGFRVDGQDFAAHRCVLAVRSPVFRAQLFGAMREGQAAHCVVIEDMRADVFRNLLHFVYTDTLPPQAAEPRPGREEEALMAQHLLVAADRYDMGRLKLICEDKLCRHIDVATLATTLALAEQHRCQGLKNACIEFLKAPGALNAVMATEGFDHLAMSCPSLIKELIAMTKPAPPSAASRSAIVGGTAAGHHLLDIDGYSRTKEVPNGQCIRRPPLARALLPQRPPPRLQGLHLSLEPNGSSAMARAKFSLLDQAGEPVASHTRATDLQDFSDCSFGCDRFVNREYLEASEEHILLDDRLTIRCDVVVSVGLRAEDRRASSSFVAVPPSDLHRHLVDLLASGDGADVTFGVGDKKFSAHRYVLAARSAVFKAELFGRMKEGATNTVVRIDDMEAERVAILSICLKVAPLFGKSLWPIFQLEDLPNGQGIKSCPFSAVGRSWRIRYYPNGDKSSETEFISIFLHLDHISDKPVKARARFSLLDQEGKLVKPHTRTTRRWDFCTGDFGFREFINREWLEASEYLKGDSFTIRCDVIVDKELRAEERRPFVEVPPSDLLRDFSDLLVHKEGADVTFKVSGETFRAHRCVLAARSPVFKAELLGSMKESTTSVVVHVEDMEAEAACFHFLGTPPTLAAVMDTDGFDHLNKICPTVLKELIFSFLHPDSSFPIPQTFPMPPPSLTAGYVGDALSASAVVGGTVTGHHLLHIDSHSQTKEDLPNGECIKSFPFTVAGRSWRICYYPNGNDPNAAEFISIYLYLNQRSDKPVKAKAKFTLLHQEGELVASHTRTTKLRDFGISCCCGYTKFIKRELLEESGILKDDSFTIRCDVTVNRELHMEERRPAPPLVAVPPSDLHRHFGDLLVHKEGADVTFKVSGETFKAHRYVLAARSPVFKAELLGAMKESSREAVVHVDDMDAQVFRELLCSPLTLSAVMETDGFDHLLRSCPSVVKELMSNVAASCAIEHIDTESVVYSWVLAEQHHIHPPHSASAVVVDSEHGIHDLRIDAASFAAGALAPTERFVASCAFTVGRHRWRIIYYPNGDGADRSDHVSVFLELDEEDVAGEVKAVFGFTLVAESRSAFFHRRMKKVQSSPQSMNVFSNQQKSWGYRKFIKRYYLQKMSLHKGDSFIIRCPLTVITGVRTEQEGAPKSKANFIPVPPPDLHQHLGSLLETKKGADVVFDVGGESFSAHRFVLAARSPVFCAELFSEMKESDTKGVIHIEDMEPQVFRALLCFAYTDSLPEMKEEEEDAMYQHLLVAADRYAMKRLKLICEDKLFKLIDMGSVSTILKFAEQHRFFRLKRACLRFQRKAFEHLIKELNSTSQTLAVHGLCTFHLPCLCNFTAIGHTGADVVFDVGGETFAAHRFVLAARSPVFGAELFSEMKESDAAGAIRVEDMEPRVFQALLCFAYTDSLPDMKKEEEDAMYQHLLVAADRYGMERLKLMCENKLSKHISVGTATTILTLAEQHHCDGLKKACLRFLSVPANLRAVAATEGFEHLSTSCPSIEKDVMQIIASN >Et_9B_064986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20175100:20182942:-1 gene:Et_9B_064986 transcript:Et_9B_064986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METKQHQQHRARHGEERRPPPATDGGGGTAEEYDPWTAWLYKPHTITVLLVGACLLIWASGALDPEGAASHSSVTSINRGVLAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGLAVVYLVALTFLLFQNRDDARQFVKHLHPDLGVELPERSYGADCRLYVPENPKNKFINIYETLFDEFVIAHILGWWGKAVMIRNQLLLWVLSVGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVRRSLSQFTPAQWDKDQWYPFMGPLRFIQVLFLCVVFMVVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQDSKPVKKVGAFCWLSLAICIVELLICMKFGRGLFHEPMPTWLTIFWSSVGISLPRRATATSAAMSKKGGGAKKKAAGGGELSRFLDSHLQTINDTFQMMAEAGPGGGLERTEWSEVLKLGDQVSRQATVAGMLWSGDLPDVATLKENIEAYFNILQGFLLVCHGSMVGAGPTLHKAICSSAKNVVESSFSLFKQAVSAYESRSPDRKIIIPQVTGTVWEACAGLKKVPTTNCIAIGRAMTQVGVYLKDVLREMNELPIGISGDNAAEKSANGSADTASCSDRDEMSFDLDLDDDFTEEEIAVAKLVITVVSDSLAVLKEAIRFITGLLKSSGNQSGANEDKVDTMEKLLICCKEIADQVNNLGAAVYPPQDASDMKLTIKRLYDGISGMRKEIGHLGGSPAGAFAALDGVEKCLGSLEAELVDDVTNEMENLTISQ >Et_5B_043612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12603389:12603763:-1 gene:Et_5B_043612 transcript:Et_5B_043612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ENDANLILADYFTPSMLTDYDLLTKVKESSKLKHIPVVITSTWDDPDLIKKDAWKEEQRGSSSRLSNLK >Et_8B_060006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:553585:554756:-1 gene:Et_8B_060006 transcript:Et_8B_060006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAVVAAAAMAAVLVAATAVEFGEADLASEESLWALYERWRGVHAVARDLGDKARRFNVFRENVRLIHEFNKGDAPYKLRLNRFGDMTADEFRRAYAGSRIAHHRMFRGDKKQGAAGFGYAGARELPPSVDWRQKGAVTDVKDQGQCGSCWAFSTIAAVEGINAIKTKNLTSLSEQQLVDCDTTSNAGCNGGLMDYAFQYITKHGGVAAEDAYPYRARQGKCNKSGAAPAVVTIDGYEDVPANDEQALKKAVAHQPVAVAIEASGAHFQFYHEGVFTGKCGTELDHGVAAVGYGVAADGTKYWVVKNSWGPEWGEKGYIRMARDVGAKEGLCGIAMEPSYPVKTSPNPTKVRSTAEEHDEL >Et_7A_050665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:997468:998998:1 gene:Et_7A_050665 transcript:Et_7A_050665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQEHDSAELTVAEQDMVERSEDRAENNVSPATASAANTDAATVFRLLRKHVVHARVPALPSQEARQATGGGRHLGAHLLCLAQPLLSSSKKHSRHGFSASSSSTSTSASAAPPPPPNNDSICSSVLPLVSGTNMCTNTTDSADTAANAANAPATDTAPQMERKDMATAPLVILFSATPRPTACARSRSG >Et_10B_002405.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18036511:18036993:1 gene:Et_10B_002405 transcript:Et_10B_002405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSTIVECNSLIEFLRAFKQHRRAADSSACSSRSRSRAGSSSSCCDNSPMAAADVLVLLSALAALAFLVGPYATLLLCKVVTLLHPAASYIPTSRSGLATTCARPWESRSRTEADRVRGGGCEVGADEDKVGGGGSSQRAHGCEATRPIAGLPAVQSQE >Et_7B_054985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6175665:6179114:1 gene:Et_7B_054985 transcript:Et_7B_054985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAMAKKGYKQHHKHEKVQVGCMSGLIRMLDFRRHPKLLSDGRVKRDPKVSEEIHGSIPADIDKDRRAELIYAGRASIKTLMEEEMTSSTQPLMQGQRNVTGICSDDIDLNLAASLMEIYRNHNQGQEISNSLESDFTSDSTGNESNTDPSTNLNQIRSNIQKALEEVAEAVIRHQSANTKHIPSSGEARSKEFVDALHLLSSNKELFLMLMQDPSSRLLECLQNLYMSLGSTKLECEGYGEETELQGTPYSPDRFLASPRMLQRRHNSFLMEDKLVMRKAPKLNNNSRGLSRIVILRPSPPRSHTSLLSSSATSSPLSNHTNFHVQEDGDKPDHHFSLKELKRRLRLAVSNNRKDNQLNSMSSTFHKAEVDSSKQFPDTSMSVASTDTSDCKGAEEPSVVDKETVPEDSGSGTRNDGTHGVGSFSYEKAKMYLIERLNSQGEDSSHIVQKSESFERLILLPENDTFSQSHCPQEKVGLADESSNVKVLILASDLQTVEQDDSSASPNPAMLYQDTASDHINNFANQTSVELKIDHGDSSISESTNSHELNNEGVNTMQDIEENPPLCAKTETLQERVVEESHDQCFLELEEPQSINVLPEVVLHSPDDPFNEQENHSPSEVIQSVKPSVLTCPCSPENTNDREEKLSPQSVLDSVVEDITSPTHKTRKQDEFSMPVSRGLFKELDTTSAYQALWNGPQVSKSILDDKEARFCFIKTVLSTSGLMSEEISERWYTEESPLDISVLAEVGNVYCLTDEAVLLFDCVEEVLLKIRDKFFNVDPWVSFLKHNVRPAPAGRNLLHEVTKCIDALVINEFPNTLDQVIMKDLEVESWMDLRHDAEGVAIELWDGLFDDLLEEMVFDLWL >Et_9B_065280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3022880:3025753:1 gene:Et_9B_065280 transcript:Et_9B_065280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLALGYTKTALDFVVNQVQTAIKEEEEQWQTVQRDLVFITGEFEMMQSFLDTADRERLKSLVVRTWVQQVRDLSYDVEDCIDLVLQLDSRKRPWWLRLLPSWMKAAPEAPVDEAVAEIALLKARVVDVSTRNMRYNLVTDSGAKPGVPRVLGGSNINTNDVLDLNKCFDFDEKSLDKCLISDVMSLQVICVLSETGGDLGTLCSIRRRYEDIFFDTESRRAWVKLVHPFNPHDFIRSLLVQFLSSSCQAEGEKILGVEVLTKMEEIQLVNKFVVEVNMCKYFIVLEGVSSMEEWDAIRTYLPDRMNASRIIVLTQHVEIATLCIGEPYQLFVSRQSSTHHPSYIYYRGDSGEVARDGVPGKEGPWPDRRNREAEELFSELKKTYTNLILVCGPSNVGKTALVRAVYKHVQTRLDRSAGGFARQAWADVPHPLDTNDLAHDILSKLTNDTWIRGDLVTTCQFVLEHSPGRDLVVFDGVRSNEDWDQITADITCTIKPGSCVIVITNDESVAAHIAGERERYVDFVLRVDPLQEALVSVVF >Et_1B_012271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30320806:30321151:1 gene:Et_1B_012271 transcript:Et_1B_012271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKKSTKQLFPLILLMLILIASQDMVRRVTGADPCGQFGDAHCLGRCFRPGKCNECCKNRGFDHGKCFYLACFCCTGE >Et_2A_018800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7908588:7911361:-1 gene:Et_2A_018800 transcript:Et_2A_018800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIKMFAAQEGTHLIPSRPPVPVREDDEDDDESLIGFSDLSGAPVYPWRQLSVQYRAYKAGDEVSEAESSAFVSNTFRRLEARCLIVPLADLGHRRVRAVGLVAPDVARGGETAVSAADLRAWLDRFAHSSLVYISFESMAVLQPAHAAALAAALERTAVAFVWAAAMAVRSSRWVPLMVALRHRAVGCFVTHCGWNSVLEAAVAARKSSFARGLRYDPLL >Et_4A_035738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4701714:4704736:1 gene:Et_4A_035738 transcript:Et_4A_035738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSTSGGGGGSRRPASSESALPPEAAPDELSSYEAACLSDPELRTFDRTLQRRTSRAISTLAVGVEVRSMSLDSLREVTGCLLDMNQEVVRVILDCKKDIWKSPELFDLVEDYFESSLQTLDFCTALDKCLKRARDSQLLLHVALQRFDDEEENAAAAAPSSRYERTLHELRQFKAAGDPFTEEFFAAFQAVYRQQLTMLEKLQQRKHRLDKKVKTIKAWRRVSSIIFATTFAAVLICSVVAAAIAAPPVAAALAAAASVPVGSMGKWIDSLLKGYQDALRGQKEVVSSMQVGTFIAIKDLDSIRVLINRVEVEISSMIDCIEFVERDEEAVQFGIEEIKKKLENFMKSVEDLGEQADRCSRDIRRARTVEFRDSDADGKACSLDKQAKEGIVVLKKQTMLADLHEES >Et_2A_018291.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21613735:21613977:1 gene:Et_2A_018291 transcript:Et_2A_018291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDEKKSVAGVGGEGHEKGKVKLPKGFRFKPTDEEIIEYYLLPRLQGRPTEPNDAIIEANVYEFHPDTLINSKLAFLF >Et_6B_049403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4415722:4417055:1 gene:Et_6B_049403 transcript:Et_6B_049403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSYLLVVLVVAALASLAIANFHDEWFAEGEPQNAKYADDANGVSLSLVNSSSGCRLRTKTPFLYGSISSLIKLVPGNSAGTVTAFYASTVGRGHDEIDFEFIGNEAGKPYTFHTNLYAADVGNKEVEFKPWFDPTADFHNYTISWSSCMVVWYVDDIPIRVFRNEARNDVAYPTSRPMYSYVSIWASTGAWATHGGQVNTDWSKAPFVANFHDIKLDVCGCNGEGGGCGGNCLRVCELSPTQLQQMRAAQAKYKTYDYCNDNGKFKGQMPAECRLPQY >Et_4A_031928.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30067971:30068324:1 gene:Et_4A_031928 transcript:Et_4A_031928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPGWVVGVARGSAAVWQRVACNPEMLPPDHVLALLCCAPLHLLAHLAAFLCVPFIPHARVPLRLALPRRRNRELLALQRSGLLLHPFVYSDSSDSSSSSSDEDEVGIHIHEHVE >Et_6B_049436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4935520:4938765:1 gene:Et_6B_049436 transcript:Et_6B_049436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIFPSHSIIGVSPAQAEAAGFGSPESRGSMSSGAGSLTSPSAAVCSRSWSISEDSLRRYVSYASESCIQELLAASDSGRGSDDDDDGWKVLAYRNGVEIARRRTGPGYVFRSRWLLQDVSPEQFMAVANAVDAAKHWESDQLVEASYIKELGEDLSIIHLKFGDAASARRPSSRRRDLVVYERRQAMDDGTLVVAVASLPKEIAAGLLPRTAKGGSGSLLLQSGWVVEKLDDGCVVTYVAQLDPAVGWMPRCLVSRLNNKLVMIIEKLWRISQITMPAAVAADEV >Et_1B_014425.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:8382216:8383799:-1 gene:Et_1B_014425 transcript:Et_1B_014425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFALCAASLPAVALLHHALQPLADPRRRLPPGPRPLPVVGNLLDIDKDAPHRSLAGLARRHGPLMSVRLGAVLAVVATSPASAREVLQRRSASLAARRGLDAWRVMGHDAHSVIALPPRGKWAALRRLAAAGVAGPRRLAEQAAVREEKARELARRVSGHDGQGAPVEVARAAFAAVVGVLCQAMFSEDLEPDLVDELTDVAVEASVLSGAPNVSDLYPALAAADLQGVRRRAGRLVAWLYGLMDRQIERRRQIRAAGEQACENSDLLDLMLDMEGEAQEDGWVMNQDVMRALLMVTTLLAYTIFMKHINVDSKSHLYGCAMFMKELILASASLSAATEWAMAELLQNPETMKKLQEEIASVVGTERHLEEADLDQLPYLKAVVNETLRLHPAVPFATGLAEAAVEVQGYSIPEGTTMFVNIWGIGRDPEVWDEPEKFMPERFLQNEINFFGTDFEFVPFSAGRRICPGLPLAAKLVPLMIGSMAHGFQWTLLAEDNGGNGIDMTEQFGLVMSMAVPLRAIAKKI >Et_3A_024579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22189936:22190358:-1 gene:Et_3A_024579 transcript:Et_3A_024579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMTYTTDVVMVDGTRIQTTVTSSGTAVELFLREIRENQRHLLVEIDTEWRVVFDSDGRPSNKTAVLQLCVGLRCLIFLADYVPEAALRNFFPSEDHRFAGISVDHDAQRLAEDYGMAIANTVELKDVAAEVLQRP >Et_5A_041979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4799241:4804160:-1 gene:Et_5A_041979 transcript:Et_5A_041979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPSSFGFFSSGKYASNGPKEATFEFGASAMDCSLQCFCFFNLSLATKEIMEMETSVTYEPKRNSEIRVFESSDEISADLAEYISQVSEISVKERGYFAIALSGGPLISFLGKLCEAPYNKTLDWSKWYIFWSDERAVAKNHVESNYKLTKEGFLSKVPILNGHVYSINDNATVEDAATDYEFVIRQLVKVRTIGVSESNDCPKFDLVLLDMGSDGHVASLFPNHPALALKDDWVTYITDSPRPPPERITFTLPVINSASNIAILATGDDKAKAVHLAISDSTEGPETPASLPARMVQPTDGKLVWFLDKAAASSLQEKNDASYEHNEY >Et_6A_046050.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20796988:20797386:1 gene:Et_6A_046050 transcript:Et_6A_046050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLENDDLLRVALVCRRCLRIAADPAFLLLFHDRHPPRLLGFYVHSEDLRGVPKFAPTPGLPRRLGARCLLLVRPVLPGQSPPRQAQPAVQPQRRGAQPDASSWERRRPRGRRRPAAAARSRRAYTTTSRRG >Et_7A_051530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:249496:250731:-1 gene:Et_7A_051530 transcript:Et_7A_051530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKEQAVKDYADFEDKVKRTIYIDQLSPQVTSPVIKAALAQCANVVSVEFIVNYTIPYDIPSAALVELDDEMQAKAAVELMNDFPFIIGGMPRPVKSIPARPDMFRDRPPRPGIKKDFRWVKQGDDEFETMKKLKILAKRQEAENMALIKTQLEEEKELAKQQQDLLDGNCKKYDMLETVMQNGAIKKLAHRYGVNLDDF >Et_2B_022328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1885286:1889212:-1 gene:Et_2B_022328 transcript:Et_2B_022328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESQSVVKISRKIAERPTISRACTIRPREADVQFTDAHIICRASAWVPSPSRSALLRPGNSNSRLFVSSRSLSRPSPARPSITLEAESSDTIDNVKAKIQDKVGIPPYLQRLLFARKQLEEGRTLADYNIQKESTLHLVLCIRGGQRDPAGVASPKLAAVTGFSKLPGSDSTSSTSRGHNLVPPTNHDPSPLGQGTTVTDAEPSRPCRIHGITSLGFPNPRSPSMWNGSRPEMMMVGLPSPRFTASVHLPPSLNAAFRSSIQSVSSWNRALVITPAMQARTVAATSRSGARRSSAALASRDVTTLPTYRSSAPSDGSICFRPSTIHAIRQLGSESPTARARSFQTCAHSATNRDTRRRPPPSANGEASAWSAARSAASMRYRRRRIAAARRGLIMVSGVNRANSASSDALYRGGVRGRNRARSNTGSRERPPSRAVSASALSSTVKARPSARRWVVPSVSANPPHANRTTCTDMARLASNGTWISPSERSTSAKSTAASGTAASATTSSAPALLHATTGMLVFGSRTMRPAKGKKWER >Et_2A_017169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31699864:31711720:1 gene:Et_2A_017169 transcript:Et_2A_017169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRPPFRPEEYSLKETAPRLGGVASGDKLTNTYDLVEQMQYLYVRVVKAKDLPNKDITGSCDPYVEVKMGNYKGTTRHFEKKNNPEWNQVFAFAKERIQSSIVEIVVKDKDLVKDDFIGRVVFDLNEVPKRVPPDSPLAAQWYRLEDRHGHKGKGELMVAVWMGTQADEAFPDAWHSDAASVPGDGLASIRSKVYLTPKLWYLRVNVIEAQDLIPHDRTRFPEVYVKAMLGNQVLRTRLSGSRTLNPMWNEDLVFVAAEPFEEHLVLSVEDRVAPGKDEVLGRTVISLHHVPRRLDHKLLIGQWFNLEKHVMVDGEQKKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKPLWKPSIGMLELGILTAQGLLPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVYDPCTVITIGVFDNCHLNGGEKANGARDTRIGKVRIRLSTLETDRVYTHAYPLIVLTPAGVKKMGEVQLAVRFTCSSLINMMHMYSQPLLPKMHYVHPLTVIQVDNLRRQATNIVSSRLSRAEPPLRKEIVEYMLDVDSHMWSMRRSKANFFRIMGVLSPLIAVAKWFDQICHWRNPITTVLIHILFVILVLYPELILPTIFLYLFLIGVWYYRWRPRQPPHMNTRLSHAETAHPDELDEEFDTFPTSRSPDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQALLSWRDPRATALFVTFCFIAAIVLYVTPFRVVVFLAGLYTLRHPRFRHRLPSVPLNFFRRLPARTDSMLRRDPEGYDMPSDLDQAVLLYFDGHQAKPSIQEQPQTLNIFPSQPMHVEPSPKVAGSSKPVKAADGQFSAGKSSKPALKREGGGAAASSSDQEGPRRPDPKTLRRLAQNREAARKSRLRKKAYIQQLETGRIRLAQLEQEVQVARTQGALWGTGTLSPDAALFNLEYERWLGDHSKVIARLRAAAEEHRPDGELRAYADEAASHYGALMAHKARLAVADPLHLLSGLWKGAAERCLLWIGGFRPSEIIKVVLRHVEPLTEQQTAAACDVQQSARRAEDALDGELGALLQSLSEVVSSDPQPPAMYGQQLYHPADVAGYMGHMHMAVAMDKVAALGTYLRQADELRMQTLGALRQMLTARQAARCFVAVDDYFCRLRTLSTLWTTGRQAQLARGPAGGLGGQAAASIGLLYTCLVAGVTAVVPALLPGEACCDPPVRGAPAIRFPAQKACPASEADLQACSVRVFVPYPTLASWYIFGVVRRACHGHQFLSVI >Et_1B_013983.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26264883:26265137:-1 gene:Et_1B_013983 transcript:Et_1B_013983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYISEEYVVQRRAERRAARNAAAAAAAARAGREEKADSGGEQKRWTTGAWAEKGKLAGAGDANASGGAAGRVDDVIFSYFSA >Et_10B_003822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6439240:6442479:-1 gene:Et_10B_003822 transcript:Et_10B_003822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGPLPRAAAVARGPHFAGPERALLLAAVLSAAAAFLPVAESSCPRDNSLVKDISQMHQSNYGWEGFSHITVAGALAHGMKEVEVWLQTFGPGQKTPIHRHSCEEVFVVLKGKGTLLLGSSSLKYPGEPQEIPIFQNSTFSVRGNDPHQVWNSDENEDLQVLVTISRPPVKMRVSTGVS >Et_9A_062697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3332179:3332909:-1 gene:Et_9A_062697 transcript:Et_9A_062697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVLKADLVGKACKSDILSIVASIKGIKSMDIDAEKCTLTVVGVIDPVRIVQKLKKKCFEAQIISVGDDKPEEKKDPCKEACEKLCKEKCDKLCCKECKEKCEKECKEKCEKNCKEWLGRCSCSSSCSTSPGIYYNPCAVPSYPYCYGGYSSSGWPYGGVYCYEERSPAGQC >Et_4A_033614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25005394:25008181:1 gene:Et_4A_033614 transcript:Et_4A_033614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCAAECALSVACARWAVHRLSLSNADDSASWPAASSESFAPVPRACCAALAAYDVDEQQTPLSPLCPPYRLLHDRARGEGPNLRRMLAESGPGPCRLVFVGHSLGAGVAALAAVVADDFLARTPASLQHIFGSIFCLPCLLCFICTRDPFVSHGKLTDPTKLYAPGRVRTAVPTEGRFEHVVLSCNAATDHGIIWIEREAQKALDLMEQESSTSPPAQQKMLRAQSVKTKEGTIDTGSIKYPVFLEETSQGDVFSSPLDSPSTSMASRSTSSSTSDQYEWDELVETFLGDLEQDDGITQCSNGDFLCGIVKDHLPSRCK >Et_8A_057562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4515926:4517985:-1 gene:Et_8A_057562 transcript:Et_8A_057562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEVAKQNVPGHSGKPAADHTVDPAPAKAKETASNDTPDLATTKEMLDEQKPTHRRQESSASMLDKGPSSVCSDSGMLEGILTPEGDSEELKVTQNLDVSGNQEQKTSQKSSTSESFATAKVSGGTNSLRKTSGSGKISDRADFTESGKSSMCRPSMSSNISDESSCSSRSSSTTKPHKGSDSRWEAIRVIRSRDGSLGLSHFRLLKKLGCGDIGSVYLSELNGTKSYFAMKVMDKGSLASRKKLLRAQTELEILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKRFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTVVRSTNPGPDALQRNNQAYCVQPACIEPSCIQPSCVAPTTCFGPRFFSKSKEKKPKPKPKPEIVNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDLIRGLLVKDPQHRLGYKRGTTEIKQHPFFEGVNWALIRCASPPEVPKPVELERPPKPAPANDKVVAPGANQKGPDNYLEFEFF >Et_6B_050036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4358928:4360386:1 gene:Et_6B_050036 transcript:Et_6B_050036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNNGSSQEGEVDDDRFSLLIDDIILSILGRVDITTAARTCVLSKRWKNLPWLLHEPTINVKDFLPADPHPNPIQDQHVNAAMASVAQRLEKLRWDGWLSASIPLLLGVVPSLKEVYLLSGATVYLKEFKLSEALGDATAIEDLTLSFRGRRLWIQPEGEELCSAFSKLRKLSLHDIFFEFDLFWTMALLEAAPSVEVFDIEIWEHPCTVEIKQFFGERTKPSWKVAEFTNRKEWNLKEVQITGFSPIEQQITFLKAVMERAPNLRTIVLRDHQRCEDCEKLLPPSERVPTERRFPKVKDEHDMVVNQLTGDTAYSHVQIIFGN >Et_6A_046413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14676366:14684689:-1 gene:Et_6A_046413 transcript:Et_6A_046413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGLSGLAAAHELARSGAVRVTLYEKEDYLGGAKTVAVAGGGKGHVLVDLGFMVFNPVTSPNMMEWFQGLGLEMDTSDMSLSASLRLNNGKGFEWGSRNGMSSVLAQKSNLLSPRFWLLIHEILKFKNHALKYLEDHKKNPDRNETLGQFIKSYGYSQLFQDAYLIPMCICVWSCPSQGVLGLPALFVLSFFRDNQLLEFFCRSQWLTVKGGSEYFVKKVREELESNGCQIKVGCEVNSVSKFSGGYRILEVDGSEYMYDRIIFGVHAPDTLKVLGAEATHEELRILGAYQYIHSNMYLHCDESLMPHNSSAWSAKNFQGTANSGVCVTSWLNILQLTLLVSPVMYCLNGILATHFHPWPLPRLLLSSITSKERGEFGSAAHIKAGREVALELLGRKCDLLVNPKPMALSWTEAGTRLMVARNIDQYISIGNFCILEDGGTMFSFGKASEKCNIKSVMRVHDPQFYWKAATEGQLGFASAYINGYISFVDHRHGLVNLVMITLANRGERKRLNSTSQKSIYTRKAWWAPFLGISGLAFAKYFLRHAMRKNSVSQARKNISEHYDLSNDFFALYLDPSMTYSSGIFKEEDESLEAAQLRKYDSLINKAKVESGHHVLDIGCGWGTLAIRLVKKTGCKYTGITLSEEQLKYAKRKVKESGLEDHITLLLCDYRQLPTSQNEMIEHVGHEYMDDFFASCEYHLAEHGLFVLQFIAIPEELYDKMRKRPEFINTYIFPGGCLPSLGRVVSAMSNSSRLNIQHLENIGDHYYTTLMKWRDNFVANREKASALGFDEKFIRTWEYYFSYCAAMFKSRTIFDYQIVFLFSAENRDSRESSQYE >Et_2B_022624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2788196:2789874:1 gene:Et_2B_022624 transcript:Et_2B_022624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSENCMIKQVVSRMSLHLCEQPGQDRKEMHFSATDEQGFKCCVGLLTNAGRSPSGLGVVSATSGQN >Et_1A_007238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32471013:32474667:1 gene:Et_1A_007238 transcript:Et_1A_007238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSRKTDRNLKQNPTVSSDHVDPNTRSPFMNMGSPSTNIEEMKMGILSDSPRAVEHHAIYRATSESGYSGGMATLDVSSFPNIKNGELTAAILWVSSEHNEDRTDLNSIQAGWVVNPQRFGDSKAHFFIFRTLDGFNGSTGCYNLDCDAFVPVNDAPITPGDTLESGKGYDEISFKIFKSKDDGDWWLHFGYDINNLKPVGFWRNSQFTKLKDHAKRITWGGYASCYSGNPSPPMGNGQWPEKKLSKRTECLLGLLVFIRATKNAIKLAHFRIACSTMEVL >Et_4B_036379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20856026:20857783:1 gene:Et_4B_036379 transcript:Et_4B_036379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRGRACTSAAPFPSACFLLCLLLLLAASSLLSYHPEAEERGAILLEGGGSGAPPPSSSSQSSQHQGSCEELQSVAGGEARCRYLRSHARCSPAGYIDYLRLFYCGFARAPAAGCAALAAWLAVLFYLLGDTASEFFCASLEGLSAALRLPPAVAGVTLLSLGNGAPDVFASLVSFADGGSGGGGVGLNSALGGALFVSTVVAGAVAIGASGGEGGVVVEWRGFVRDLCFLLLALCYLLAILVNGAVTVWVAVSFVSIYVGYVVVVWTSHCCAENGKPPADALSDPLLLLDEDEDGVFPTLPSHTKTESTSTERQWLHCLLRALCMPLYLPRRLTIPDIAAHRWSKPYAVASAALAPVLLAATWRLHSLAILAGGGVLGLATAALAAATTDAASPPRGRRRRVPWLAAGFLMSVLWAYTLARELVALLVAIGYMVGIKASVLGVTVLAWGDSLGDLVSNVAMAVHGGAGGAQAAVSACYAGPLFNTVVGLGMSMALAAAGRRRPAAFVVPADAAAYEAVAFLGAALAWALFVVPVRGMRVDRVYGVGLIAIYLCFFAVRAFETLGLWTC >Et_1B_009967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14813587:14815467:-1 gene:Et_1B_009967 transcript:Et_1B_009967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICGLAKLYHLLLIDCLTDWGEEPRQARYIGYIDNLRYVDFRLFRSGEFPIGRLTSLQELHDYRVQGSKGNSITAIKNLTNLLELEVLGLENVESVEEADNAKLNKKKYLNSLSLSWSARANVESGKDDLILDHLEPHPSLRNLKISGFCGARLPVWIENVHVTNLVSLELAICLYWEHLPSFGGLKYLKKLWLECLPDLQQIGQPSNTSCIGSYLPPNLETLIVRHCKLLTQLPILPPSLVHLEICKVGLTELPIIGRLHSLSTETKQSKLLFVSIEECEYLTSLGERFLSQTQYIGTIHVLRINDCKKLESLPLSFKEMSELRELDIRNCPTLRIISSDSLHGLTNLSELVLENCPGLEYLPSADVWKNLESLKLMEIIGCENLTSFGGLGSLGSLISLKISSCSKLTELSLTPQAPAAAAEGACGAASSLHVDYLEVDIPSVLNIDPLKSLCHTKGLVIGGGRQMKSLPEQWLLQNHRQLQSLRVQGASFLESLPLGMRDLTALNSLLLSGAEKLRSLVELPSTLRSLHIMGCCPELETNIREKNSAESKKISHIPKVHVAAVKIVQSRPHVFHGTDASL >Et_4A_034723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5828639:5848067:-1 gene:Et_4A_034723 transcript:Et_4A_034723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATIGTLPFVAGLLLLTVVAPSLSEAANNRMALPGCQATCGGVDIPYPFGIGANCSRDAGFEIACNNGNTPVLLPKNYEFVSLSVEPSVASVRLPIAYQCYNATSYVSWDYGEATFNDKGVYRISDTLNELVVLGCNTVAYISSKPSANTSATGYKYDVYTGCISYCTSVESTMDGQCKGLGCCRVDIPPGLTDNYITFNGYDHDHIYQFNPCRFSFLVDRGSFNYSKVSLNMPDHKNKMMPVWLDWAIRPPNGTDTLTCADATKNRTSYACKSQNSNCTNAVNGPGYSCRCALGYEGNPYIDGDGGCTNINECANLDKYNLKCYGVCRDTEGSYECKCPRGSHGKPKETPCEPNFPRVAQITIGVMCGIAFIIIVAIIMLMVHQHRKLKAFFKRNGGPMLENINNIKIFTKEQLNQITKKYSIVLGKGGFGEVYMGTVDNKSQVAVKRSISVNEARKRSRISHRNVVRLVGCCLEVDVPMLVYEFAPRGSLQDVLHGTKDNTKTSLPLGTRLDIAVDSAEALSYMHSSTTQKILHGDVKSGNILLDENFMPKVSDFGTSRLLSIEKKHTILVIGDMNYIDPVYMKTGRLDEKSDVYSFGVVLLELITRKKPRYDGNSSLLINFIKSYTSNEKVREMYDVEITSPENMEFLEKVGSIAIACLKEDMDERPNMKQVAENLQFVRREWKHRQGMYGDQVADEISLESPSVSLTMNTCAETPATIGIGTLPSVAALLLLVVIAPALSEAANKSMALPGCQATCGGVVIPYPFGIGANCSLDGFEIACSNGTPVLATKNSKIYEIRSLSVEPSVASVQLPIAYQCYNATSYTNWTYGDVTFNDKGVYRISDTLNELVVLGCNTFAYITSKPSANASKTGYPYDVYTGCNSYCTSIESTVDGQCKGNGCCRVDIPPGLTDNSITFYGWSHANIYQFNPCSFSFLVDRETYNYSRADLNFPDYKNKKMPVWLDWAIRPPNGTDKLTCEDAMKSTSYACKSQNSNCTSADNGPGYSCKCNDGYEGNPYIDGDRGCTNINECLNQTKYTCYGHCKDTEGSYECKCPRGSHGNPKEARCEPNFPRVAQITIGVVCGIAFIIILAIFMVMVHHKRKLREFFKRNGGPMLENINNIKIFTKEQLNQITKSYSIVLGKGGFGEVYMGVVDNKQQVAVKRSISVDEARKKEFANEVIIQSRISHRNVVRLLGCCLEVDVPMLVYEFAPRGSLYDVLHGTTSDNTKTSIPLGTRLDIAVDSAEALSYMHSSATQKILHGDVKSGNILLDQNFVPKVSDFGTSRLLSIEKKHTILVIGDMNYIDPVYMKTGRLDEKSDVYSFGVVLLELITRKKPRYDGNNSLLINFIKSYTSDERAREMYDAEIASPENIEFLEKVGSVAVACLKEDMDERPNMKQVAEHLQLVRREWKQRQGTHGDQVADEISMESPVSLTMNATGSETPGYSPLLNSMIATRMVSSLAALLLVTVASAPSAAATSWSLPGCQATCGGVDIPYPFGVGPNCFRPGFEIACNHSTPFLAGTGYKVLNLSLAPNGARVELPIAWICYDVSGGRGRRSSISQAPVQFNPQGVYRISDARNQLYVIGCDATAYIQSQKDNTIYGYEYFTGCVSYCRTADSVRNGSCAGVGCCRVDIPPNLTDNSVGMDDDDNESLAVRPTIYDFSPCSYGFLVDRDSYKFSSVDLKMDKKRMMPVWLDWAIRPNDSSKFNCSDAMKDSSSYACKSQNSICVDVVDSPGYTCNCSRGYKGNAYIANGCIDIDECLHPETYPCFGVCHNTKGSYDCKCKRGSHGSPLQQPCDPDFSRAAMISIGVICGTSCLIALSIFMLMMNEKRKLRASFERNGGPLLARINNIKIFTKEELKHITGNYKTVLGKGSMGEVYLGNINDNLKVAVKCSISVNEERKPEFANEIEIQSNINHRNIIRLLGCCLEVDIPMLVYEFAPRGSLDDVLHGTKDNTKATLPLGTRLVIAVNSAEALSYMHSSATQKILHGDVKSGNILLDENFMPKVSDFGTSRLHSIESKDTIAIGDMNYIDPVYMKTGRLDEKSDVYSFGVVLLELITRKKPRYNGNNSLLINFIKSFISDERARDMYDVEIASPENIEFFEKVGTIAVDCLKEDMSERPNMKVVAEQLQLVKREWKQRVGEACTCTPVYGHSPIPLPQAAQLVREQ >Et_8A_057803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7470649:7473426:-1 gene:Et_8A_057803 transcript:Et_8A_057803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLPLRFLVLLLLALALFPARGEAAAAPWLRNLLPRRLAWPFDSGGGGAATAHSALDLLPSFVAAVAPGGPAAGWRGACFAENQAVLNLTRGAAGTSGGGNATAAGLGGAVLSIKTASPQSWSCMDVYVFATPYRIGWDYYSRANEHTFEIKAWEEEAEMEYVNQHGIAIFLMPSGMLGTLLSLVDVIPLFSNTGWGQDANLAFLQKHMGTSFQKRTQPWSANIRKEDVHSGDFLALSKIRGRWGGFQTLEKWVTGAFAGHTAVCLKDKNGTLWVAESGYENKKGDEIISMVPWDEWWGMALKDDSNPQIALLPLHPDVRARFNETAAWEFAQSMYGKPYGYHNMIFSWIDTMSDNYPPPLDGNLVMAIMSMWTRMEPHYASNMWNEALNKRLGTEKLDLRGIIVETEKRGMSFSQLLTIPEQDDWEYSDGKSTTCVAFILAMYKAAGVFAPFTESIQVTEFTIRDAYMLKIFEDNQTRLPTWCNAASDGLPFCQILGEYKMALPEYNTIEPYANMNENCPSAPPTYTRPSRC >Et_7A_050573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25665841:25666227:1 gene:Et_7A_050573 transcript:Et_7A_050573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACILHAATSATVYDVLAQNNLPRGLLPLGVKSYTLHADGALEVTFPSECNFFVTIAGKQHKFRYGSTVGGIIKMQVEFAWLGFNKVERAGDQLKITVETTPQQFPVSAFAQSPRCI >Et_9B_065437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:555989:561600:-1 gene:Et_9B_065437 transcript:Et_9B_065437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEMAARGEAFSSEAERDFEVFRSGSAPPTVEGAMSAAAAAGSLFLDDELRADPAYQSYYYSNAHLNPRLPPPLLSKEDWRSSQHRLRSSGLGGIGDGRRQPPAAEGTVGLPGIDLGRQRSFSSVFQDEPYNRDTDRQTDNHNGNDLLGSSGMQYSLHRGTGAMGGLHPGSNVRSLDEIQNNDLSSNTYASILGSSLSRSASPDPELVRRAPSPSLPPIGVKVGANDKKINGGSSSFRRSSSAIGDSDDLVTALSGMNLSSRAASGQTMDQSQLYQDVDSVQKFLFDRQGDQSSGNQQSYMRRPEHGQSKMPDGYSANLANSSTMRNQINAGSFASFDNLSAGSGFASPRVGSRSPGGTVSSRQNLTGISNLLNYNGIGSPTASPLQTPIDPAYIQYLAQLAASCDDPLMDRGLLGSSYMDLLGPQKAHLGPLLQSQNQYGYYGNLGFNLGYAGSPLTSPVLPSSPIAPGSPLRHGERSMRFPSGMRNFGNSFGSWNSGLGGKMDANVMPSLLEEFKSNKSKSYELSEIAGHVVEFSADQYGSRFIQQKLETASTEEKDMVFSEIMPQALTLMTDVFGNYVVQKFFEHGSPSQIKELAGQLIGRVLALSLQMYGCRVIQKAIEVVDLDLQTKMVVELEGHVMRCVRDQNGNHVIQKCIECIPQHAIEFIVSTFYGQVVMLSTHPYGCRVIQRVLEHCDDPKTQQIMMDEILQSVCLLAQDQYGNYVVQHVLEHGKPHERSAIIEKLVGQIVQMSQQKFASNVIEKCLSFGNPVERQLLIGEMLGTTNETEHLEVMMKDQFANYVVQKVLETCDDQQREMILTRIKTHLNTLKKYTYGKHIVARVEKLVAAGEKRLGLQPACTAA >Et_1A_009204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33352779:33355168:1 gene:Et_1A_009204 transcript:Et_1A_009204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVISTPSALSSAWTKATLPRGTSPLFLPRALTSRVSSPASGASAAVRHFHRNPARASNVVARRWLGRRRALTAATSPGPKKKQRRHTKPSVAASNGAAKVPGGASSYLRCAKLGRGGSRAASSSRAQTGGTVGARAPRASSATAPRNCTIPCPSAITCCIRIASMKRQQASRVTCSLNVQKEQRAVECGGRPRDVKEQFIKVLEADISIGLYEQHALPGAVDDELASLEFSESPGEGVVVH >Et_4A_034143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30225790:30227267:-1 gene:Et_4A_034143 transcript:Et_4A_034143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LHPCSSSPSCSPSSPSNANATTTSELLHHEKITHLRFFLHDTLSGKDPSAVLVGRGANQGASQGDPVPFSSVYAADDVLTEGPSRQSKVVGNAQGLYLASGKREASLVLGMDFELTDGPFNGSAFVVFSRNTILHPVGRELAIVGGRGAFRMARGFALLRTHFLDTNNGDAIIEYNFLLVPPNFYCKHSQLSQTAMDGFHSRMLVTFRRVELAIVGDRGAFWMARGFALLRTHFLDTNNGDAIIEYNVTLLHH >Et_5B_045239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13417612:13418718:-1 gene:Et_5B_045239 transcript:Et_5B_045239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDATTESGKAAAPAPRPAGNFSGADLFLRALLFAATLSALVVLVTAKQTITVPVALLPRPLFVSLPAKFKDSPALMWVACVSDFDRSDLCAARRYLLVALCVTCLYSLLTAVSSLRFLSGSASAKTLFILVALDVFYAGIMASATGAAGGVAWIGLKGNSHTRWNEICSMYGKFCRHIGGSTFVSLIASIILVLLAVLNAYSLYRRSH >Et_8A_057847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8294075:8294431:-1 gene:Et_8A_057847 transcript:Et_8A_057847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNRRLSRSGLPRVLHPGSHSFVSLDRNKKVVEFHILQLVALAQKIIDDTSYHIWLGQGTHGRSFGRGCWVAWSWSIGRMVVAAWSLGRGRFVVVAWSLSSESPWLLLAL >Et_9B_065916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17448769:17451392:-1 gene:Et_9B_065916 transcript:Et_9B_065916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIALNDAKDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKQNYLELAIAPFKFCFESSQAHIIDLLCRVSS >Et_2A_017834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6919256:6919847:-1 gene:Et_2A_017834 transcript:Et_2A_017834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRSVKILTISIYNLSIDLVIKLMRCFPCMEKLYIESRVSGDTNLWHRKYRIFLRSFDIRLKTVVPKNYRGTKSQVNFATFFVLNAKRLELMRFEGGKKNDDEIYIAEQRRLLQLEKRASGGARFYFTTGHGCQHSYSLTHVRHVRDLSTTDPFECTC >Et_8B_059772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2651778:2657161:-1 gene:Et_8B_059772 transcript:Et_8B_059772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALSPTMATLRNGENRKETRYLAKILAPEGSKDVQVGQPIAVTVEDLEDIKSIPADTSFGDEQKEEQSTESASQNNVANVSEQSSLVSRISPAAKILIKEHGLDATSLKASGPRGTLLKGDVLAALKSGASLSSSKEKKAPATPSSRPVHDSQAQTATTEKTDSYEDIPNNVVLDPLLAFRNELKEQHGIKVSVNDIIIKAVAIALRNVPEANAYWNTEKEETQKCDSVDISIAVATEKGLMTPIIRNADQKTISAISSESGILAVGRGNKVVEPVVDSDGIEKAAAVTKMSLTLSADHRVFDGQVGGKFFSELTSNFSDIRRLLL >Et_1A_005863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14853481:14857163:-1 gene:Et_1A_005863 transcript:Et_1A_005863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAATAPIPPTLGLLAPSPFDLGSSAFHASATAFAADDDDDLYGRFKSLQRLIQFIEIQEELVKVEQKNLKDEVLRAQEELKRIQSGPLVIGQFMEMVDGDNGIVASTTGSNYYVRILSTINRELLKPSASIALHRHSNALVDVLPPEADSSISLLGSSEKPKVTYNDIGGCDIQKQEIREAVELPLTHHELYNQIGIDPPRGVLLYGPPGTGKTMLVKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDETVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAADIAAICQEAGMHAVRRNRYVVLPKDFEKGYRTNVKKPETNFDFYK >Et_5B_044853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6503274:6511448:-1 gene:Et_5B_044853 transcript:Et_5B_044853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAPQPPVPAKPPAPPSAAAPSPVTSTPISVQPPHPIQPKPPPPQQQPPQLQAAGPASGPQQQTPAQLLNLGPQPPLYRGPICWNTYCKDPDPNSFGRRGWKVRSGPPFTIYADLCGRCYSQFEQGIYCETFHSDEGGWRNCETCGRRVHCGCIVSIHKYQLRDAGGVDCAKCARNTRTAMAPPSPVWSPSMHVPQNVADRKDNPVKTWRPPAGQISSQWRQTNMWSVSTVQSDLQQRLAFEFDRPSGSEKLLPGRTFIHAHERKFDDMHDRPTTPASMNQLMRERDANGQPATLDPTYPYALYHREGPHPNCVNDPSHHGGENERRMAVPDASTGLDAGFKHDSHHPSMLKDDQPSLSVGLPSNFASANCPKDHIRIAPNQQQAQMASPPVPKTFYTMGGKAYTYNDFPHQLSNGRPRMDAKARSQLLPRYWPRISDQELQHLSGDSHSVVTPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISQPEGLPLKVQDVSGKEWIFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIDPEGKLVMGFRKATNLSSEQEQTTKPANGAPASTEANGKVSAPDSSPNAAVSRQNKVNTENNSSSPVEQPSASKMDKGGSSQKEGPGTGQSSPGPGKRKATSVGQKIKRLRMDNEESMELKITWEEAQELLRPPPKAPSIVVVEGHEFEEYEEPPILGRKTYLVTDKSGTTHQWAQCEDCSKWRKLPADALMPSKWTCSDNKWDPERSSCESVQELSMEELAELIPIKYAKKLKGKIDADTIDASDGLDTLANLAILGEGEALPSQPTTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCMTVRRRFRTLMLRREKRKDTDSPRKKEAGQSSETVSRAGSVPPAAGASTTSSPQKADPNADGPDDMAVDHKMTSSPVKNHIDLNIQPDRDDEQSPKADAAGATRLLRDIPA >Et_2A_017944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8114501:8117533:1 gene:Et_2A_017944 transcript:Et_2A_017944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWTLRNNVIGSLFAKLSLARGMQKPSVSLAAGKRKQNAFLRSLARTEGFFIGLFGRLQL >Et_9A_062330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21944857:21950993:-1 gene:Et_9A_062330 transcript:Et_9A_062330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGHFTNSADPRSGMEVVRDWNGVAQVVLRSPKGHSARVSLHGGQVVSWKNDRGEELLFTSSKAIFKPPYAMRGGIQMCFPQFGNSGTLERHGFARNRIWILDDELQPTNHNDNGSKTSVNLLLKPSEDDLKCWPHCFEFRLRVSLSKDGDLSLVSRIRNVNGKTFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLSHKERFTEQGDAITFESEVDRVYVSSPNVIAVLDHEKKHSFVIRKEGLPDVVVWNPWEKKSKTMVDFGDEEYKQMLCVDAAAVERAITLKPGEEWTGKLELSAVSSTNCSDHLDHPAIFKPPYAMRGGIQMCFPQFGNSGTLERHGFAWNRIWILNNKHPPMNDNDNGSISKASANILLKPSEDDLNFEFRLRVSLSKDGDLSQVPRIRNVNCKPFSYSFAYHTYLSVSDISEVRIEGLETLDYLDNLSHKERFTEQGDAITFESEVYVSSPNVIAVLDHEKKHSFVKRKEGLLDFDKTRSPLPIVWNPWEKKSKSMVDFGDEYKQMLCVDAAVVERAITLKPGEEWTEKLELSAVSSTNWSDHLDHPVSI >Et_2B_022421.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:21052373:21052654:1 gene:Et_2B_022421 transcript:Et_2B_022421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSIKPWQTGLTVKGFLYVLENRQGSALRGLLRFSLADESFGVTGLPDSLDPARARRRLHAGHVARGAVPDGALQPQQGRRNLGGLDDVCRW >Et_10B_004487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9054415:9055396:-1 gene:Et_10B_004487 transcript:Et_10B_004487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLAHVDALHAALGELLDLPEAQAALSGEAVVDLKQHVTEALAAVRCHDVTRLASALCSQRKAGKELARLAAAARPSRLGFSGGSAAEVEIVGLLSESAAATASASAALFKTRVIDPLVQLLDDREVRSPGRRPTRPRCPKRDKSILPRNWIRKI >Et_7A_050981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13126449:13127248:-1 gene:Et_7A_050981 transcript:Et_7A_050981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGKHHDWFLRRCCGAIAACFLTLAALVGFIVLVIYLALHPSKPSFYLQDVQLRSIDLSDPALSLNLQVTIASRNPNDRVGVYYKTLDAFTTYRDEPVTIPVAMPAIYQGHKDSSVWSPVMSGESVPVAGYVADAMKQDIAAGYVLLHVKIDGRVKWKVGSWVSGGYHLFVNCPALLSTSGGPVGGAFAMSAAAGGALGGNSTVSLKFMHPADCTVDV >Et_3A_023096.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27374142:27377500:1 gene:Et_3A_023096 transcript:Et_3A_023096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARALLPSFPFPHASAPTPKIHAHLAAAPGAASSPPNAASSSSNNHASNRLRRLIAREDLAEAARLVERSASRGGGSGEAPDVYLCTKLIRNLCRRGRTSDAARVLRAAEGSGAPVDVFAYNTLVAGYCRYGHLDSARRLIASMPVAPDAYTYTPLIRGLCDRGRVADAISLLDDMLRRGCQPNVVTYTVLLEAVCRSSGFGQAMAVLDEMRAKGCAPNIVTYNVIINGMCRDGHVDDAREFLNRLSSYGFQPDTVSYTTVLKGLCADKRWDDVEELFAEMMERDCQPNEVTFDMLIRFFCRGGMVERAIQVLEQMSEHGCTANTTLCNIVINSICKQGRVDDAFKFLNNMGSYGCNPDTISYTTVLKGLCRAERWDDAKELLKEMVRKNCPPNEVTFNTFICILCQKGLIEEAIMLIEQMSEHGCTVGIVTYNALVNGFCVQGRIDYALELFNSMPCRPNTVTYTTLLTGLCNAERLDDAAELIAQMLKKDCPPNAVTFNVLVSFFCQKGFVEEAIELVEQMMEYGCTPNLITYNTLLDAITKDCTSEDALELLHGLVSKGVSPDIITCSSIIGVLSREDRVEEAIQIFHAVQDIGMRPKAVAYNKILLGLCKRCETDNAIDFFAYMVSNGCMPNESTYIILIEGLAHEGLLKEAHDIHSELYSRGVVSNTIDEWH >Et_7A_050938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12664386:12665603:-1 gene:Et_7A_050938 transcript:Et_7A_050938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPDSAFGVVVVAAVAVASFLLCGGGARAQDMDKEWARPPYRGYFGAPGSMLPQSDVDLLEFPLNLEYLETEFFCWSALGYGLDAIDANLTGGGPPSIGGQSASLTPFVRDIATQFCYQEVGHLRAIKQTVRGFPRPLLDISAANFGKIVEQSLNATLDPPFNPYENSVNFLIASYIIPYVGLTGYVGANPKLLTPQARKLLAGLLGVESAQDAVIRTLLYERGMSRVASYGVGVAELTSQISELRNTLGRRGVKDEGLVVETALGAEGMTMGNVIAGDHLSLAYDRTPEEILGIVYGTGNPSQHGGFFPQGADGRIARSLIA >Et_3A_023258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14837467:14838144:-1 gene:Et_3A_023258 transcript:Et_3A_023258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRQEVVVRDAAAPVPPKRGRPSQGKQRIAICFIENKERRQVTFSKRRSGLFKKASELYLLCGARIAVVVFSPSERPRAYGIGDPSVDDVLRAYAPLLLPGGGGGGEEEVEEEDDDDDADHRAVVEALLRRADDTKARVAAEQARMDAVGAKVTQAAAGRRFWWEADVERLGEAELPEFVTALRRLRENVQRRVDELSKTAAAAAPPPQ >Et_4B_038595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3140885:3142846:-1 gene:Et_4B_038595 transcript:Et_4B_038595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLKEQRGHEAAGANGWMTVPAFGDWDMKNGALPDYSMDFSKIREMRKQNKKELSRASLGGDDDLASAQQRSQAPAKAPTTLGRPVDDHRRRSHDNPPTGGKRFLSYFQCCIKA >Et_10A_001752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7694778:7697056:-1 gene:Et_10A_001752 transcript:Et_10A_001752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGLPRAAAAACGPHFAGAAHAVLLAAVLSAAAAAFLPLAVSSCPRDNSLVKDISQMHQSNYGREGFSHITVAGALAHGMKEVEVWLQTFGPGKRTPIHRHSCEEVFVVLKGKGTLLLGSTSLKYPGEPQEIPIFQNSTFSVPVNDPHQIWNSDEHEDLQVLVTISRPPVKIFVYDDWSMPHTAAKLKFPYYWDEDCLPAPKDEL >Et_1B_012187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29742563:29744944:-1 gene:Et_1B_012187 transcript:Et_1B_012187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRRRGGTPDFSYGTSAGIACNRRVILDTIYGYYKEAIDALPLEDMPALTRRLLDAGVCFGFADPVINIIANTVCLVPDEDGEPAPEKPRKKMKMKPSREGVMSKIVAGDVPSPPEARTVAERSLEGLVSFLTSYFPYLPTWDALRYLCLSRADLLVAVRLIEQDRCYRRKEGFGICSHSVKTALKCAILSARQSDVDALLTGSFALASHLKLITETLRAEHHRNLSVRDIYWLSGLLKKPLKLEQSDPMELVALRCHVQDNDSSIAKVPGGLTDALRSVLLDRIHAQYLKAASRLPMKDFRNRHHRGLLKAGYCYGPLSPVSNIIVNSIWYDTAFPAQEEFEADMVCTKILIRLESRSLDGLIKFLVACIPEITEHDAMVYLLKSDLIHKSTEMAADVSSHPQSEAYVEFVVQFLPSVQSSIKSLLQTSNKLSSKDVLDLSRLLSPQGCNPAESSEPTVELSKEALAMFSSYKETFISQQRFFRRKIEAALEKNGHFYELRVICAVNERVGSQRSFIDLKCPCTHVNFFASPKVGTCLKLFFAEVSNDEENESRPLCCPVPDQPLKGARIVHPVEDYCGGVTDLEKSPVGNMISLMHASSIMQR >Et_1B_012800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35122764:35126195:-1 gene:Et_1B_012800 transcript:Et_1B_012800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPTALSARHFRLRAPSPHGPAPPHRLPSATASSRPPPRRLVVSPRAEAGTGTGTTGDLESLKAGVSVYKPRSYEVLVADAARSLACALDDGKTRLEIEFPPLPSSISSYKGSSDEFIDANIQLALAVARKLKELEGTRSCIVFPDQPEKRRASQLFQTAIDTIEGTTVSSLDDVPTGAVNKFFKSIRSTLDFDFSDVNEDRWKSDEPPSLYIFINSSTRDLSSIEKYVEKFAASVPALLFNLELDTLRSDLGLLGFPPKDLHYRFLSQFTPVFYIRQRDYSKTVAIAPYIVNYSGAVFRQYPGPWQVMLKQADGSYACVAESAARFTLGQAKEELLRVIGLQEEQGSSLEFLRRGYKNATWWEENVDQEKSSAWRT >Et_6A_046294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12228808:12242633:1 gene:Et_6A_046294 transcript:Et_6A_046294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPSPSPALAAPALPISEHEDEIIAAVEANPVVVIIGETGSGKSTQLSQILHRRGYTRRGSIAVTQPRRVAAVSVSRRVAQELDVPLGDEVGYAIRFEDRTSERTCIKYLTDGVLLRESLSNPELKQYSVIILDEAHERSLNTDILLGLMKRLIKDRASDLKVLITSATLDGLKVSRFFSGCPVLNIPGTLFPVDKFYSTERPTNYIESSLKTAIDIHVKEPPGDILIFVTGKDDIDKMVSKLEERIQNLEEGSCMDALVRVFAPAPPNCRRFIVATNVAETSLTVDGVVFVIDCGYVKQRQYNPTSGMYSLDIVQISKVQADQRAGRAGRTRPGKCYRLYPMSIYEKEFLEATVPEIQRSSLAGSVLYLKSLNLPDINILKFDFLDPPSRESLEDALRQLYLIDAIDDNGQITDVGRIMAELPLEPSLSRTLIEANGLGCLSQALTVAAVLSAEITMRSTRSKDMGGKRKRQELPDGSGWGDHIQLLQIFECWEQTGYDPKWCVDHDLQVRSMKFSKDVRKQLSQIIQKIAKGPTDVNSRKGRKSDPDYRKLRRALCIGYGNQLAERMLHHNGYHTVGYRSQLVQVHPSSVLESDEYGKYPMYVVYHELISTTRPYMRNICAVEQGWVEPILKKLEKLDINRLSGGSNAVKNSEPLDVEDKQVISPKKALDTKLSEVQSKIQAARERYLAQAGERPPHLPRHEEPESEIDDVDQISELTSPPAVLPKEEVHEFDDLSLGTADDWLTGINFDDLQGLCPMCGDDFYSSMLVTPK >Et_3A_023336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21325945:21326739:1 gene:Et_3A_023336 transcript:Et_3A_023336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRPGGRQHEPTVSRVDAGAAPSDDDEDSDGEFEFPFVSRDPAPGCGAAPADELFAGGRIRAFYPVFGRVLDDAVPEPPRAPLGRLFQLEASRTSSVASTSSSTSSASTDATAGGELDGASPESYCLWTPGSSSAASSPSRPPRKSGSTGSMARWRRIGELVVGRSHSDGREKFLFLSAPSSPARENASKPKPKQQGKGSKATAGAGRRMGSAYGGGAKGSPGARRTFLPYRQDLVGLFANVQGLSRSHHHPF >Et_8B_060076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5688915:5690454:-1 gene:Et_8B_060076 transcript:Et_8B_060076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSSMLTQYDIEEVQDHCNHAFSQQEIVSLYHRFCQLDRNGGGFVSSDEFMSVPEFAVNPLSQRLLRMLDGLNFKEFVAFLSAFSPHTSLQQKIEFIFKVYDTDCNGKVAFDDILSILRDMTGSFMTEQQRQRVLTHVLEEAGYTKDSHFTVPDFMKVLTEQIIFPGHKSSC >Et_3B_031414.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28607410:28608096:-1 gene:Et_3B_031414 transcript:Et_3B_031414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPQQGVVYGYSELTYVSSGGAASYIQQQPPPPPPPRRLSPFRILIRAFITGCILIGVLALLIWLIYRPRTMRVAVATVTLFQFDLNTTDPSSPALHYNLTARLAISNPNRRVSICYDKLQAVGFYQAEPFGTAALPVSFQGTRQADTVPVVLAGASAMGFGESGADVFRGDQKSKVFPMDLWVDGLVRYKFGDLTTTTASTLSVKCRLALQLMMASGWVECTVIDF >Et_3A_026359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7258088:7258917:1 gene:Et_3A_026359 transcript:Et_3A_026359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AVALVLLFSLARISSSTSLAGGDILVSHSAKSASTSAASSSSPSRLIPLAITLYTYSSTSRPPTLTAASYTLHAARPLPLATSARTSRVSLYTSSSPSTNPPARAFSSRNASTSASTAAPAASSEAGAEETKRRVRAVPGLGARDVERGERKGPVALPLELGHGRDEVGLEEAAERGCRGRGGRRRGAVRGGEALQGLDGEDGLRGEGAAALEWAPVVARAAGDDANAPGLRVGTEPRAWARSDARDEEERRHGGRSGSKGEERLPLAGGLSF >Et_4A_035400.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2367066:2368066:-1 gene:Et_4A_035400 transcript:Et_4A_035400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVHQRLSLPLSPSQPHRGNRAPRDATPPVCRYWKSGHCTRNPCRFLHADAAPPPGPTAKKRPNSWVNPSCVAKPGGNVSLPPPPAPAPSSSKRRQEDDKPPASSPPKRRCGGGKEEPDVFCGVARLNGHAKAITGVALPDGSDKLFSGSLDGTERVWDCATGQCVHVAPMQEGAVGSLVSMGPWVLAGVRGAVRALHSGTGKELRLQGPATLVTALLADDEHLFAGTEDGVIFM >Et_8A_057394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2621199:2638474:1 gene:Et_8A_057394 transcript:Et_8A_057394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ACKLHRTIGPEEENINSALREFRVNYEQFKYYAIGKAICSEPFATDAGLRFRRVQDDYLKVKDFAIGAREISESISVGGHPWRIFCYPRGRGLDGKGEYLSVFLQLASNNAKNVRAIFEAFLTDKDGAPSLSVAQRCAQVYPPPPASVPYTVWGWPQFVKSSDLDPLYVRNGVVTITWGVIVARDGDAVIAVPPSDIGGHLGCLLDRADGSDVSFVVDDETFPAHRALLAARSPVFRAQLHGSMADATMPSIVLHDINAATFKAMLRFVYTDDLPADIDELNAMDSPTEMLHELLIVADRYALDRLKLLCASRICESVSVDSVGNTLCLAEMYNCLELKNKCIAFLAQEGNFQKAAGAEVPVMGKRRRPTIGLKEQTINSALREFRVNYEQFKYHAIGKAICSEPFATGGHMWRVNCYPSGQDVFDGGEYVSIFFELVSKSKSVHAIYEAFLIDKDRQPCHEVAYRSNADLFETGSEWGWSQFVARTDLEESYLTERHFTFVCTIMVIRDSSIPVPPPDIGEQLAICWIAQMGRIYRSLSMEKLSTHTDQFLLLVSRAELLGSMAEATMSSITLHEITPATFRIMLLFVYTDALPGDDELGVSSSEMVQNLLAAADRYALDRLKLICAQKLWADVSVDTVAATLACAEMYSCLELKSKCFDFFADEENFKKAVLTEFFAVGAERRTIDSAFHELRINYEQTKHHPINKAIYSDAFSAGGHMWRLKCFPRGIRESDEGEYLSIFIELVHTTKSANAIFEAFLVGKEGRTCSTVAKRTNVHLFQLEDWGWSQFVSHTDLVENYVTDGHITFMCAIIVIEDRSISVPPSDIGKHLGTMLDTTDGTDVSFIIDSETFHAHRVVLAARSPVFRAELLGSMAEAAMSTITLHDITPATFRVMLRFMYTDVFPGDKDLGESPFEMLQHLLAAADRYALDRLKLMCAQKLWSDVSVDTVASTLACAEMYNCLELKNNCIDFFAVEENFKKAVLTPVGKRCRTIGLEEQNINSALREFRVNYEQFQYHAIGKAICSEPFATGGHMWRVNCYPSGQDASDANPKVSMPSTIDKDRQPCHEVTYRSNADLFETGSEWGWIQFVARTDLEEAYLIEGHFTFVCSIMVIGDSSIPVPPPDIGEQFGNLLDSLDGADISFVVDGETFRAHRAVLAARSPVFKAELLGSMAEATMSSITLHEITPATFRIMLWFVYTDALPGDDELGDSSSEMVQNLVAAADWYALDRLKLICAQKLWADVCVDTVVATLACAEMYSCSELKSKCFDFFAAEENFKKVVLTEDYKNTTDLPIGKAVHSDAFSAGGHMWRIKCYPRGYKATDEGNHLSIFLELQNKSATVVEAIFSVSLEGNDQTSSTNLRRLISAIFCKAEAD >Et_1A_005531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11114200:11119239:-1 gene:Et_1A_005531 transcript:Et_1A_005531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAAETAGGSGDAGPTSVPATRREKLKQRKKERRRRARREATARARAAAEAEASAVDPEEESRLLELEVAQAAVESERARQAFEEAERRWLEAAAARAAEKAAAAAAAAAEEEARAAEASSRQKDSDGNKSEDDGEWEYVEDGPAEIIWQGNEIIVKKKKVKVPKGVKEKPPNQEDKAHCPFHLKTGACRFGVRCSRVHFYPDKSCTLLMKNMYNGPGLALEQDEGLEFTDEEIEQSYEEFYEDVHTEFLKFGEIVNFKVSCEFVALTKWKAAICGEYMRSRYKTCSHGAACNFIHCFRNPGGDYEWSDWDNPPPKYWIRKMAALFGPSADTKEVNTPDFERSQGSDRKRLKSSGDRYVSRSKDENVHERHSSRDHSHYKEECGSHSMKYGQSRHRRDPHATAKHRSREVEENTGKHSENERETHKYVHEERYRSDHGSGGKGYGDKIRSRKHRSDHRGSLESGFSDWPSDYIDADLSKSPSGSRSTSRHDNHKGSMRQSSEDHKPEKHYSSVHRSVGKEHSTKRRSSRHTEDDYYGEKNDGRGKSGKGDHVDSDDRWVATNSDVDSDFERYQRSGSKRSKLRRKDEDHSDAETRYQRSGIRTKKPEAKDHKSRRKRHSEHIQHSDTEEDTSYSDATESSSDAWSRRSRSSEENVSKHRSRHSSK >Et_5A_041552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24257932:24258419:-1 gene:Et_5A_041552 transcript:Et_5A_041552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PLRSPNSSEIVPAPSSRPPPARPGACGETRRGRRPFPWSQPDCNSSRAVREETEEEEEEDASSKVAVVLPPHLVDGIYVVREPRRQLRAAIVSRPLADPAPHLFAFRSTARRRRTARWGGVHVRHGERPPGPRLTPGAPSSSTK >Et_3B_028319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13358202:13383685:1 gene:Et_3B_028319 transcript:Et_3B_028319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAGGFAGSFLLPLLLLGASLLDWSLICLINMIILFAIRFVTPRRGFRSWRLYLLLWCTIIYSVLAILAQVTFHIMWCIEGKGWSVAHSWWAKLVGLARAQPWESPSVIYFIVLQLSCAVVALVEVLGNRLHQDSCWLNFSFGVEQIGYHMRVACCLLLPAAQLVVSISHPSWISLPFFVFSCIGLVDWSLTSNFLGLFRWWRLLEIYSVFSILLLYIYQFPVKLPYVVVAFADFIGLFKFCSKSEWPELSSGISLLVYYFMLSSAKQDIQEMDSLMSVENDSLTEDLLPSSNAFLVRQSRSGRRHANVLLRGSVFRTFSINFFTYGFPVLLLALAFWSFNFTSICAFGLLAYVGYILYAFPSLFQMHRLNGSLLVFILLWAASTYVFNVAFAFFNKRFQKDMMIWETIGLWHYSIPGLFLLAQFCLGVFVALCNLVNNSVFLYLTSEEGPSSSDDHFIDEKEDTMVLIVATLAWGLRKLSRAITLTLLFLLVMKRGFIHAVYMCFFLVFLVNHSINKRLRQILVLFCEVHFSVLYILQLDLVASALKRSGSITMEVLSQLGLSNNATTKDFIEIGSIVCFCAVHSHGFKMLFSLSAVLRHTPCPPVGFTILKAGLNKSVLLSVYSSQNSRDGEARRNSHEKKIASYLSKIGQKFLSVYRSYGTYVAFLTILLTLYLVTPNYISFGYLFFLLFWIIGRQLVEKTKRRLWFPLKVYATVIFVFTYSLSVSPIFAESVSRFIKLYPDLGFDPKASLLQNVWQSLAVLIVMQLYSYERRQNSDKNFGVSDASESGLLGFLRRFLIWHSEKILSVSVFYACLSSISFSGLIYLIGLIVFSTLPKVSRIPSKVYLVYTGLLAASEYLFQMVCKPAQMCPGQQFYGWSVFLGLKYYDSGFWGVESGLRGKVLVIVACTIQYNVFHWLDLMPTYLVHKDKWEEPCQLFFSSNPPYSPVRSNEEIHSSNRFTSFISKVQGLIGSSSSSSLGSGNSHLKSEYADNAAKDSDEDKRYSFAKVWGLSKESHKWDKKRIISLKRERFETQKTTFKCYMKFWVENLFKLRGLEINMIVLLVASFTLLNVLSIFYIMCLVVCILMNRDLIQKLWPLFVFLFASILILEYFALWNDRIPWFHEINDIEVHCRECWKNSRIFFDYCSKCWLGLTADDPRMLISYYVVFIFSSFKLRSDRFSGFSDSDTFRQMMSQRKNALVWRDLSLETKSFWTFLDYVRLYAYCHLLDIVLALIAITGTLEYDVLHLGYLGFALVFFRMRLEILKKKNKIFRYLRMYNFALIVLSLAYQSPYVGQFSSGKCDQIDYLYEIIGFYKYDYGFKITSRSAFVEIVIFLLVSIQSYIFSSGEFDYVSRYLEAEQIGAMVREQEKKALKKTEQLQHLRRSEEHKRQRNMQVERMKSEVYNLQNNEIGSPSKQDKTGNTESSQSFEFSVTDAQKNMADLMFQGSPDTLRSPIRGRSEELLADNIRKSLDSTPEITELEESDDRVDYKLSKGEKAKGHSKENPLKSAVQLIGDGVSQVQSFGNQAVTNIVSFLNIDPDKPHSTVHPEGDIYDDDIHEEPQDGQLLRTHSVSDTSGNKVKSSVPIGVIFQYIWHLMRIFLWNFSLLSMVYVGALFLYALCVNYGPSYLFWVIILIYTELNILSQYIYQIIIQHCGLNTHLPVLQRLGFPDDKIKASFVVSILPLFLSSITAKDGEWVPVTEFSFLSARNNIEDKHCTPYRWRDWLYNLHLPVMNIIKMIGRGLCRYWMSLTQGAESPPYFVQVTMEVNHWPEDGIQPERIESATNRVLAIAHEERCQANLPSSCNCYSKVRIQSIERSKENSNMALAVLEVVYAAPVECQSAEWYKSLTPAADVEREIHESQKAGLFEEINFPYPIVSVIGGGKREIDLYAYYFGADLAVFFLVLMFYQSVLKNKSQFLEVYQLEDQFPKEFVFILMALFFLIVVDRIIYLWSFATGKVVFYLFNLVLFTYSVTEYAWGMDLAHKDVGGLVLRAIYLTKSVSLALQALQIRYGIPNKSNLYRQFLTSKVTQVNYLGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIYASLFLVKCDAILNRANHRQGEKQTKMTKFCSGICLFFVLICVIWAPMLIYSSGNPTNIANPIIDVSVKIDIKALGGRLTFFKTTACEKIPWKYLKAYNDTDPLDYLGAYNVDDIQLICCQPDASTMWLIPPPVQSRFVKSLDDTEMHFGKMELILNWDFLRARPKGKELVKYESSIEHCPSVNDVKQVLNRTANSFSIIDAYPRYFRVTGSGEVRRLEAAIDSVSGELRLNNGTPPWWSFYNTNPSDLAGCQGLNGPMAIVVSEETPQGIIGETLSKFSIWSLYITFVLAVARFIRLQCSDLRMRIPYENLPSCDRLLDICEGIYAARAEGELEVEEVLYWTLLANPTQNSIDTKAHSDYKITRNNPNSFDGRQEIMKEL >Et_3B_030604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5312058:5315772:1 gene:Et_3B_030604 transcript:Et_3B_030604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLAIVERKPPLNGGGGCAGGVLFHLLDWHRRLARKRRLFSPRRLLPSSLRSAPRRLPAPPPSPTPPPPAPHPAAGADAAAPGVVARLMGLESWPGGAAGAPRPQKQRKVEASSPDGGDSAVVLVLPTRRPPAPAPAPAPAAAPRSHHGSDLPARSPRRTRLVHAAAAKLLEPGARASSRASARLALAYACSSPQNRRDGHSGALVQGSGMVDDFLSRCGSLSTLERSTRLQPPVPPEEEPECGRAAVSRRHGNHSFNCGDAVIGASTVVLPRMDFGAANTDKSRSDVDTVRKERRIRNEGISTCAKVRSSISSVRAGDERLRKRGTPTRPEVSESVDPVGLASSTRQFGCAHDPKTSAARRVAHCSPGARRDTMGLVTRNTQCRDVINRSGLASTTRISSSGSGPKRVSRKNVGCAAAASNTDDGNAVVFTSRSSPKPVARASQHNNVSKSGRPSRRAPNNPSGRMPAADPKCIEASASVMATSEKDEFSRLLKAKINELGMSDRIEFTSSDTFSGKLTAPVLQELISALNNDMHTSTSQSSNCFEASAPLCSSGNSGCIDESGYAFSDDRSSDFQKCYQVSFRGCLVASFLPFIISKAYDFLFLNNAAVDKNSSLCFQGVQDVDSSAASMNNEPNQPSPMSVLETCFSNDTSSLGSPVEKNEGKDLFVSIEKKMEELFNFESDIVDLEKSIGTRNASGEEIYHGDGMLSCLENFVAHDFKFLESRLHSIAEAISNAELLLGSGPTHGTTSALALHPFIMEMLETSAGVFGGELSLDLAEEKKYQHTNFLFDCIIESLDSKFGYFGKCGYKAWLKLPLSLSKDQLKQELVEVIGNWKESGTALSRVADKELDQVTTSWNACQIEVFDISVSIEDDILEALAVELALDL >Et_9A_062048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19212057:19218479:1 gene:Et_9A_062048 transcript:Et_9A_062048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRKLAAILFLLAVASLPLLAAAAECGCEPADEEEHDKKGALKLKIIAIFCILAASAIGCAIPSLGRRFPALSPDTDLFFVVKAFAAGVILATAFVHILPEAFDKLGSPCLEGPWAKFPFTGLIAMLAAIATLVVDTIATGYFKRAHAKTAGAVADDVERSDHAHGGHGHAHGMSSVIAASSAANADDGAQLIRHRIISQVLELGIIVHSVIIGMSVGASESPSTIRPLVAALTFHQFFEGIGLGGCIVQAKFHLRSVLTMGLFFSLTTPVGVMIGIAISSTYDETSPKALITEGVLGAAAAGILIYMALVDLLAEDFMNTRVQSNGKLQVIINISLLLGTALISPRDTMAQQLAAALCPLLAVAVFLPALAAAECECSGDAGGRDKARALQLKVVAIFCILAGGAAGAAVPSLGGRFPALRPDTDLFRAVKAFAGGVILATGLVHILPAAFDALGSPCLAGGGPWHEFPFAGAVAMLAAIATLVVDTVATGYFRRTDAHHGHAHGMSVLQAPAPTAAGDDLVRHRVVSQYGHTRPAPQETDAKLRSRFPFEVLELGVVVHSLIIGMSLGASDFPSTVRPLVPALTFHQLFEGIGLGGCTALHTLSSRFRPLLRAVTKASLHSQAKFRLKSVVAMALFFSLMTPVGVAIGIAISSVYEETSPRALVVQGLLEAAAAGILVYMALVDILAEEFMNARVQSRTRLQLLLNASLLLGAGMMSMLANWA >Et_2B_022530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24976494:24982804:-1 gene:Et_2B_022530 transcript:Et_2B_022530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLRSPARRPAAPRADERQRGGVSPHRFLRPGALARLRDSKIIARSLRSAAAVAAAALPQASSPPPRSPTPAAAADGVPCFLGTAAARGMRYPLRKKLAAARSVVFLPPPQHEVVEMYVDAFAAAPSDLASLNLSAIGISGGALGLGGSTILRGAAETAHVLGSMSSTMEWSSGKRTGYLQKEAAGSKLADFRRGVTRTSLSDAASSATTDFPLSIEVIGVRSRAACEWPRRARQKQYGAAAASEDVCGGDRRWWGRNLVGSAHREIGERVASRSRRGRPGRDGTERSAAGTGAVGRSDEHVISAIKLVQVAHDCEKPLPVGTKCGSKLDSASAYATKGISMNV >Et_10A_001095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21058527:21069158:1 gene:Et_10A_001095 transcript:Et_10A_001095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALSQVALQAAADGNLRLLKSDIVKFLLEAGADPNIPNEYGKIPIMVAAAWGPRKLVEILFPWTKPIPSLPDWNVDAIIRAMKLKAKGTVSVQLEEYLRTSKSKGKEAFGNGDYIAATFFYGVAITLDPLDATLFANRSVSYLRLREGKSALMDALKCRMMRPRWAKAWYREGAALSLLKAAADGNLRLLKKAAKQVDLRSATNADGWNALHVASAKGRVDICRFLIEDEEGPGLDVNSRSAEGDTPVFIAATEGHLPVLRYLLDHGGDPAIPNARGITPLHRAAKKGPCDAVRLLLSKGVPVEPLANRWTPLHFAILGEQFQALRVLLDHGADPNRFNHNLCTPIIMGTSLRSLECIKLLVQAGADVNSMTPYGQTALTHAVDSCLPGMDKLKEVGADPNYPGEEVLDDSLGDIIKFLLEAGADPNIPNEYGKIPIMVAAAWGPRKLVEILFSWTKPIPSLPLWNVDDIIRDMKLKAKGTISVEVEEYLCTSKSKGKEAFGNGDYCAATFFYGQAISLDPLDAMLFANRSVSYLRFGDGESALTDALKCRMMRPRWAKAWYREGAALSLLKMFKEAVHAFEEALKLEPASDEIKNALRQMLLFPSIPIFRRPGKLWDRNRTLEQLLHPTWIKLCST >Et_8B_060647.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3887571:3888350:1 gene:Et_8B_060647 transcript:Et_8B_060647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPRLLLFHIAAALSFSVAAGEPLEWICGRAGNYTPASPYQDALMHLARSLPETTASSSKHFATAKAGAIPDVSIAVAFCRGDADDSACRVCVAAAFQDALQKCPYKKGASIFHDHCVLKFSDEDFVASADGFGDFDTYTSDGNERAPPEEFDDGGLDALLQTVVNYTSTSPLRYGTGIRTGSMGTTYALAQCQPTMAPQACWGCLMYLLERMPPSFKWKPDGRLRQWRCFIQFDDYPFFTGDPVIHETTGQLFDEFF >Et_2A_014524.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:19348573:19348827:-1 gene:Et_2A_014524 transcript:Et_2A_014524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSFLYSCQYPCEAVWQERSTGSHRNQIWETLASATNRSWIPGAEMTAHVPWHWVCALCSLRLPPRGGPSGVHESFLSSTALL >Et_1B_012150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29463084:29470799:1 gene:Et_1B_012150 transcript:Et_1B_012150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCDWLIASRFRRFVLAAVWLATVVTSRRFGPGIGKRLGAEAPAARRVEMEGVVVRRVIPSDNSCLFNAVGYVMEHNRNKASELRQVIAATVASDPEKFNEAFLGKPNEAYCAWILDPEKWGGAIELAILSEYYGREIAAYDIQTTRCDLYGQEKKYNERAMLIYDGLHYDALAMSPFEGAPEEFDQTIFPVDHSRSIGPAEGLALNLVREAQRKRSYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHGKHHVTKGKRRLWADLVKETTECRRRAAVSTNRETVESSSAAVRRQATTSASTAVRREAAVAKMGRERRVASTSAWRSASASELA >Et_4A_032506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10891862:10895272:-1 gene:Et_4A_032506 transcript:Et_4A_032506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYGRDPWGGPLEICHDSATDDDRSRNLDIDRGALSRTLDETQQSWLLAGPGDQGRKKKKYVDIGCLVVSRKLFVWTVGVLVAAAVFAGVVAGIAKAIPRHHHPPPPPDDYTVALHKALMFFNAQRSGKLPKHNNVPWRGNSCMKDGLSDPAVRQSLVGGYYDAGDAVKFNFPAAFSMTLLSWSVIEYSAKYEAVGELGHVRDIIKWGADYFLKTFNSTADSIDRVVAQVGSGATSPGSSQPNDHYCWMRPEDIDYPRPVVECHACSDLAAEMAAALAASSIVFKDNKAYSQKLVHGATTLFQFARERRGKYSAGGSDAAKFYNSTSYWDEFVWSSSWMYLATGNSSYLTLATHPKLAKHAGAFWGGPDYGVFSWDNKLTGAQVLLSRLRLFLSPGYPYEEMLRTFHNQTSIIMCSYLPIFKSFNRTKGGLIQLNHGKPQPLQYVVNAAFLASVFSDYLEAADTPGWYCGPHFYSVEVLRNFARTQIEYILGKNPMKMSYVVGFGKRYPKHVHHRGASIPKNGVHYGCKGGWKWRDTKKPNPNIIVGAMVAGPDRHDVFKDVRKNYNYTEATLAGNAGLVAALVALSGEGHGVDKNTMFSAVPPMFPSPPPPPAPWKP >Et_1A_008350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6864613:6868200:1 gene:Et_1A_008350 transcript:Et_1A_008350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSPSSRGDALVDSAPLLGGGERRRGGSLRRSSLRGAARLLRQGRRRAMREPSLLVREAAAEHLEERQADWAYSRPVMALDFLWNIAFVLVSAAVLVLSREESPSMPLRLWISGYAAQCIYHMVCVAIEYRTRHGQLGGPPMPADEESGTDASSSSSDDYNGEPGFPGHGGDRVSIAKHLESANTIFSFIWWIIGFYWVSAGGQVLTRDAPQLYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQLPKFKFRRVEEPEKQSVDVNGSSGGIMIECGTNQPMEKALSAEDVECCICLSAYNDGAELRELPCGHHFHCACIDKWLHINAICPLCKYNVRKHSSSSGSEEV >Et_8A_057534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3998464:4006935:-1 gene:Et_8A_057534 transcript:Et_8A_057534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRHLITGQNNCAPDGASSSNPFGALANAVLGQASKTQPIKELPGPAVSGPSTSDLGAAGPLSTIPGSENEFKQDQRPLTWGADFIRGGPANDWVESFRPPGPAEFGGAESRFAEFEQIYNNTGTTFGPPLDGPPQRVLSGVLHSFLSSGRAGVPFQPVPVPALGLSESDKQCIRDRSCIMARHILADQPEEYIQAQVNTLLHSLDIDNQMRGPMHGPYPEMEEYWKQSQSALRSGPMHNAADNWVTEFGRQNNNPEDWAHSFEQQFGPNGWASEFEQHQTQMATGQMGGANMANLAAMEQSRMLAQTLASNNDPKFQNSKFFQFVSKMSRGELIIEDNQVKQGSASQSSGWADEFQTQYNANANSWADQFAHEELSQGADKWVSEFSSEHNQAGLNENWIDEFSKLNVTDEWAEEFSGGGFGESSADPWADEFQEQLVAAKQSSGASRGVYVFSEMNPYVGHPNPMQEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGVTHAENDDDQQAIAAMMRAQEADPRNLEVLLALGVSHTNELEQGEALRYLYRWLQNHPKYGALAPQSIDSPYGPDVVRVFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIASLKTALQLKPQDYSLWNKLGATQANSVQSADAILAYQQALDLKPNYVRAWANMGISYANQGLYEDSIRYYVRAVAMNPKADNAWQYLRISLANASRADMIAACDSRNLDALQKEFPL >Et_6B_049082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17599383:17604261:-1 gene:Et_6B_049082 transcript:Et_6B_049082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAVAMGLADPPADYASISAVGLFVALMCVCIIVGHLLEENRWMNESITALFIGLGTGAVILMASSGKHSRVLVFSEDLFFIYLLPPIIFNAGFQVKKKHFFRNFMTITLFGAVGTLISFTVISLGAIGLISRLNIGELEIGDYLALGAIFSATDSVCTLQVLNQDDTPFLYSLVFGEGVVNDATSVVLFNAIQNFATSDISSVNLLKFGGSFLYLFGTSTFLGVASGLLSAYAIKKLYFGRHSTDREVAIMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWKIVRETPIKSIALSSTILALVLVSRAAFVFPLSFLSNWTKKTPAGKISIKQQVIIWWAGLMRGAVSIALAYNKFARSGHTQLPSNAIMITSTITVVLFSTIVFGILTKPLIRLLNPPRHLSREQSALSEPSSPKTFLEQLIENSPDADLENGVSLRRPSSLRLLLASPTRSVHYYWRKFDNAFMRPVFGGRGFVPFVPGSPTESSMPLLAGTENATILKRGRPPRQPRVEDHAGGDFEEEDEDEAQGLAPPQSKRKRAASAAAAAALDQPLIG >Et_1B_012234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29996072:29998285:1 gene:Et_1B_012234 transcript:Et_1B_012234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRPPGHLLIAIAVAAAFLLLWPQQLRPAAAQSDGRTEADVLLAFRDTLRGADGAPPAPLRTWGTPGPCRGNTSAWHGVSCHGNGTVQGLQLEKLGLAGEAPDIGALAVLPGLRALSLADNALTGAFPNVSALAVLKMLYLSRNRMSGVIPEGTFGPMRGLRKLYLSGNEFSGPVPGSITSPRLLELSLANNRFEGPLPDFSQPELRFVDVANNNLSGPIPAGLSRFNASMFEGNKLLCGKPLDVECDASGSPRSGMSTLMIIAIVIIVLGVLLCAVGVLGSRRGARRRAPADQALGGDQTPSNPKLHTAPAVNIDHAAAAGSAAAAGAGTSAAAAGGGKRARRDEHGRLVFIQEGRVRFEIEDLLRASAEVLGSGNFGSSYKATLCEGPAVVVKRFKDMNGVGREDFSEHMRRLGRLAHPNLLPLVAYLYKKEEKLLVTDYMVNGSLAQLLHGSRGSILDWGKRLRIIKGAARGLAHLYDELPMLTVPHGHLKSSNVLLDAAFDAVLSDYALVPVVTPSIAAQVMAAYKAPECSHGGSGNNKPSKKSDVWSLGILILEVLTGKFPANYLGGKGRQAGADLAAWVEASLAEERVAEVFDKDITGARGAEADMVRLLQVGLGCCDADVERRLELKAVIARIDEIPVPAETVVDVAESTSSLPSDSS >Et_1A_009209.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:33503613:33504140:-1 gene:Et_1A_009209 transcript:Et_1A_009209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLLLGPSPAATKQQEPSTPPTRSSPAASRLRAALHEWRRVAIFADPNNVTANWAGPDVCAYTGVLCEPLGPGGGGGVAGIHLSHADIAGHLPASLPLGLPADLITVVRLNSNRFTGVVPSTFGRLHRLRELDLSNNLLVGKFPDGLLELPSLKNINISDNAFDHQTVSACAT >Et_2B_021808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5677591:5678628:-1 gene:Et_2B_021808 transcript:Et_2B_021808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRRLHSSTTPFVLLLVVIFAAAVAEAKLAANYYSKTCPRAERIVMDVVSQKQLSHPTTAAGVLRVFFHDCFVSGCDASVLCPGVVSCADVLALAARVLVTMTGGPLFPVRLGRNDATSSSPAAPDAELPLANSTVPRLVAMFAAKGFTAQELVALSGAHTLGFAHCSDKKGGGPAQPPHDPTMNPDYAKGLQDACKDYMRDPTIAAFNDVMTPGKFDNMYFVNLQRGLGLLGTDQALWSDPATRPFVQRYAANQTAFFADFAGAMAKLSVQGVKTGRDGEVRRRCDMYNGHPVVPGG >Et_7A_050848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11605805:11632854:-1 gene:Et_7A_050848 transcript:Et_7A_050848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTSRAQQQFLNPRRNSQISRSNPASSIPMAQPPLDFTGLVVFPFPDPIEYTADMVPPGMVFEANGSCLLEHYLIPKSLHGRLPYDDSHIQGAIAEGVDVYAVRPEALPFPNHQRGVSEFDPVTWAYFFAKQPAAASAGGDGGGSEGDVRDVAAGGCWRRCGREKAYVGEDGEVFAFQNKLVFHEPAAEGDGEMTPWRMKEFRLDEGAPAFRDVTFHPSAKDLVILKVYHEPDIPEEEPAVEYYTDDDYYIVLEDDEEVTAGDVISIPAASPCHPSMAHPPPPGSPTTHLRGPGDPPRRMAESPTGAVFQPKPRNLVDHYLVPRALHGRVSGEAIQGAVAEGVDVYATRPEALPFPPSHRGKYYGVGSVWGYFFTARPAAAAADTTYET >Et_8A_058321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4886270:4891829:1 gene:Et_8A_058321 transcript:Et_8A_058321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVVRIFFGGTVNGNGDFVNMREEVVQFLKAPTFSEVEKRCESCVGVRGCLRYRGRFDVGGGGRAHYVLLELAKEENWMLYKECLKDAQVKIAAVVVDIVRSDERNRGGCAAVGLDGSSSEDEPVDSLTQEAPHVPDIPYVQGDPLVSPRRIGGMTCSVAEFDMAVRIENEKKRIMLSAMLIQRQILRVTVKRENPMLVMERMGLRKDVRIMYGKVMIQKKLEEREMVVEDAPHPRYQLLDTVYDDKDRAHLLVDLGQDWPFEDEGSKPTIGFLWKSIINVIGNSKRRYMSYTNEFDVLTHHQVEWHPYDRDEDK >Et_3B_029911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2963944:2972047:-1 gene:Et_3B_029911 transcript:Et_3B_029911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPNNVGASAVAAAEVADPAGGAAGAGAGASPWRKNTPPPDAAEAAVMGAESWPALEEARQKVASEAPAKVGNAVPADSVKGPQVSPPPPPSQGSSRTYKSDGHGNVNKNQQAYNKNGPKRRFPPANGAPSHPSAMQYHQHPGQPIFYPVLPGPMIVPEYPYQPFAVPVPNHDRPVGKSGYENSAPPFVPVDKVGTNEGNRPMPPHPRGDHHAWRPPVGTHGARPHPGLDGHGHFNRNWQNPQMYGTRENNNVPQGAGPRAFVRAIAPPLGFISGPPYPGPMHPMYYYMPAVPMEAMRGPPRYVQNQPAPYPVLSPEAIELRSNILTQVEYYFSDANLERDEFLKSLMDEHGWVPVSKVADFNRLKKMTTDVQLIVDALASSSLLEVQDDKIRRRSDWSKWTPFSGTTSTASPSSASMDSSMGEKNTGGFSNKDHLENIGSSKDYIKAKGAEEHLAQDMHRCSLNKDSSSITIAENPKGNSASPINSRKHESSFRFDEVKVQKVNSRRNVPGAQNERGFSSGSPSNFSSFDGDQNTFLLDEEMELEHVDHPHDDHYSHKRGDEDDDFFVDDHDINRLIIVTQDKKLEKDERNSSSGHQAFSTEEASRISDALYHYENMHGRHTDNQRGSQAKATDIDSKPSGGLKGNHINTEINGTEESGQPIPRRRHRNNRKAHSSRKQRFFAGNFVNDPNQYGGVSESPPGNSIGYFYGSTPEGHSYKSSKLSSSPHGIPTGSSPVGGSVPKSSPQSQHLTYHLLEKNKLQQQRYNKFKQHCLNERKKMGAGHSEQMNSLYRFWSYYLRDNFNEDMYKHFKKFALEDAAANYRYGLECLFRFYSYGLEKKFQHSVYEDFEKLTLEFYHNGDIYGLEKYWAFHHYRKQDSDPVNKHPELDKLLRDEFRTLEDFRAKEKAREATAKETNSSVSSAAMALSHNNKAEAK >Et_6A_046537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16751597:16754367:1 gene:Et_6A_046537 transcript:Et_6A_046537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAGPAGRRGAAISRQAARCARRGAGRRARRAAGRLLCSALRILRLKNKYASMKNSISIWLQVHWIIDDFVRTIVADLKGCSFEKLDHDLIRMSFERRATIVEWECQMSCYFECLASILDNVEANRSNLWREDGDYRQAGSLGNPAAMKALPSAIAGATRWEAMAEANPPDPQIQAV >Et_4A_033284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2152878:2155224:-1 gene:Et_4A_033284 transcript:Et_4A_033284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNNRSRRRRRRRSKVVGVDFAENASSSSYTDRIAQPDIPLPNSPAPQQSINENPAPRMRPRDDARALRKLLMSIIRGHYIHAISRLPAAVLTTARAHGLLVGGHCYGPFRPVENIIVNSVWYAAAFPFRSDSIDVAVVSAEGISRLAHRSLDGLVAILRPHCPGLSHDYALWHLCLTGADLHGAAASVRGAAPFARTELEDVPFQVAAQAAGHPNPAALALFVTSVLPAVERDALSLLATKRRLCLPEILRLSAMLLPFPLPEPPPPQQQQPSPREQNPKILRIISTRRKYLKIWYQGFLDIADAALRKFAHQTGERYHLHTVYGQSIVNNEDYQLDRYIHINFMACPKDGSSSSACQAEAPAHFFAEADNPPTRDCPEECITLCCMLTQLSPRHVDNCYACMMEHQKIDHPDAETHFGGHPHKTVETVNDSDFPSTIDIDVDFRFFDPDRDIDLMQFYDDRIARIKAIRSEFRSANRSKIPFDSDDEDISDEETSDEDDYCIQYV >Et_3A_026681.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:1398505:1399017:1 gene:Et_3A_026681 transcript:Et_3A_026681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQAIEAHRAGAEVYTGAAMCAEKSTELLKEAGLPLGLLPLAEMEEVGYNRDTGFVWLRQKKALTHTFKQIGKQVSYATEVTAFVEERRMKKMTGVKTKELLIWIALSDMFVEKDDPSKITFKTPTGLGRTFPVAAFAKEDDIANAGKGKEAKATANGKEAAAVSGKAK >Et_9A_061149.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:18865239:18865427:1 gene:Et_9A_061149 transcript:Et_9A_061149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYHQHSSSFSSKEKRPPLKRGQLKWQIARTISSLVVPRNAAGSREKADGSGVFSRGPSYN >Et_8B_059517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18739524:18742936:-1 gene:Et_8B_059517 transcript:Et_8B_059517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEESHQQTQLGDLPEACLAYAIALTSPRDACRCAAVSPSFRAAADSDHVWHRFIPEDHRAVLLKSSPTAAETRKKDAYLGLCDGGVPVDGDGECRMWLDKASGAKCYALSARRLSLPWDDGEFSWRWTRHTLSRFAEVAELVDCTCLDIYGRLPAVSLTPATAYAAYLVYGTAEGHRGLSYPDQETAVAVGGRVLERHRVCLRPDDAETRKFRGAGLIAESSSGAGDGSEEPRRPRRRGDGWWEMEMGRLRTASSAGELVEEDEVVASFEVLGWYPKRGLIVEAIEFRPTGGESCCVKGRGRISITSSNHASFRAKLV >Et_8A_057157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21694482:21701241:-1 gene:Et_8A_057157 transcript:Et_8A_057157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSSMQWWEDWQLRILVLSSLAIQCYLAFFAGSRKFNIQPLLRFSIWLAYLGGDAMAIYALAMLFNRQKKIQYKTGSHNLEVLWAPVLLIHLGGQVNISAYNIEDNELWRRHILTAVSQVAVALYVFCKSWSHSADNRLLVAAILLFIYGVLKCLEKPFALKDASFNSLASSLPHAPKTETTVREVQLEEYVQAARHFVKTYEDPIPLKNYQRLIYLKLPSETDKLFVDSACAYKEQLKKLRSFWLLDKEASYEALYTGISKTFNTIYTRFMPYAENRDTTECGMLLSFSLFFVSAILPIVPIALFHSSRKEAYRGSDIKVTFILLYITYLLEFVSFFSYAYSSNEWNDMVAQHNLIGFLSRNKRHRMLMGIAEFLQCKSLFDQYISLKPCHSCMEITNLVRCHVKYGWTNYISDVESYWKFTDMRGHWTLKCNGCEETLRSSIEKPFDESILRWHVATDFCFHRKATTSPIIARLCRDISNYMIHLLFANPEMLMPGARRSLFTSAYSELEDILQGKNISSMSEKLLTKKIIDKVESKEGIIRESWVLAQELMQLGDEKMWGVIKGVWIEMLCFSAGRCRGYLHTKSLSSGGEYLTFVSLLMSHAGLETFVARHQRVQLQLPKEARLHIVSIRAMKEEIIDAVKKRKERVDTAKERIEEAARYAGLSSAVQWWEDWQLRILVLSSLGIQCYLAFFASSRKFHTRLWYRFSIWLAYLGGDAVAIYALATLFNRQKKLQYKTGSHDLELLWAPALLIHLGGQINITAYNIEDNQLWRRHILTAVSQSWSPSADKRLLVAAILLFILGVLKCLEKPLALKRASFNSQVSSFPPAPKTGTTLREAELEEYVQAARHFVKSHEDPTSLDKIPLNHLMTLLGTGKLFIDSAYAYKDHLTMLKSFWLLNDGACYEVLYAVISKTFTNTYTRVSIYGEDRNGGNSILITMATLFVSVILLIVPIALFHSSRKGAYRKSDIKVTCILLYATYLLEFASFFSFLLTGLFRWTDKVAQHSLIGFLSCNKRHTMLMGGLKPCHSCNSITNLVRSHVKDGWANYISDVNSYWKFTDRRGQWTLKRNGRDETLRGSLEKPFDESILLWHVATDFCFHCKSTSRTIASLCRDISNYMVHLLFANPEMLLPGTRRSLFTDAYNELEAILQGKDISSLDEKGITKLIVDKVESKEGIIHEAWVLAQELMRLGDEKMWEVIKGVWIEMLCFSAGRCRGYLHIKSLGSGGEYLTFVSLLMSHAGLETFVARQQRVQLWLPKEARLHIVKIRTMKEEIIEAVRKRKQKVEAAKEGIAEAAAMEADCVSPKVEVVVSK >Et_2A_014834.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:17796458:17796706:1 gene:Et_2A_014834 transcript:Et_2A_014834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WDTKRTSLDGNLKVANLPSFAISFATALTALANCPPLSRVISMLCMAVPKGISVEVDASLFSKNLFPNCTSFFQSIRLSRCI >Et_3B_031585.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:5445275:5445709:-1 gene:Et_3B_031585 transcript:Et_3B_031585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRIYKPKAKSFWILVRRLLLCKNRKPPAAAAAEEEEKSGLLSRNSLEKLLLTDDAKGEAAAAAGCRCTLACAKKHGQEPLDSLLPARLHRPVMARSESSFSAAAGSGGRDGAPVHRRFMFGGFRRRLLMRRPWRPMLVAIPE >Et_9B_065480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5680068:5686473:-1 gene:Et_9B_065480 transcript:Et_9B_065480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDSAARSVDRAGAAPGDDGSATPLPETIQIGNSPTYRLDRKLGKGGFGQVYVGRRVSSPSLNDRTPGANALEVAIKFEHRTSRGCNYNAPYEWQVYNTLSGIHGVPRVHYKGKQGEYYIMIMDMLGPSLWDVWNNNSHSMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPPGTLEEKKLFLVDLGLATRWKDTGTGEHVEYDQRPDVFRGTVRYASVHAHLGRIGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFRQFVEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKVGQKRGRFMMEEDDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADNRLAQHISKGNEDGLFISSVASCSNLWALIMDAGTGFTSQVYELSPYFLHKEWIMDQWDRNYYITALAGANNGSSLVIMSRGTQYAQQSYKVSDSFPYKWINKKWKEGFYVTAMATAGSRWAVVMSRNAGFIEQVVELDFLYPSEGIHRRWDNGFRITATAATWDQAAFILSIPKRKPADETQETLRTSAFPSQHVKEKWAKNLYLASICYGKTRLP >Et_4B_040049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8324698:8326988:-1 gene:Et_4B_040049 transcript:Et_4B_040049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAADWAWWLGLLLGAVPLLALAVWHCNDAAHRAALALNQFRRHGRRLGGARLPPGHMGLPFVGESLALLWHFKLARRPDGFVEAKRRRYGDGAGVYRAHLFARRRFAIRWPAPELVGVSCVVNVEGAQHARLRGFVLAAINRPSALRVIAEVVQPRVVAALRSWADKGAITAATPVTFENICKMFVSMEPSPLTDMIDGWFTGLVAGLRAFPLDIPGTAFRHARSCRKKLDAVFRDEMERRRKQPVDGEDLMGGLMQMEDERGSKLCDDEVVDNIVSLVVAGYESTSNAIMWATYYLAKSPDALRKLREENMEISRSKNGGFTTLDDIPSMKYTAKVVEETIRLANISPMAFRVAYKDVEYIGYTIPKGWRVVVWLRSLHTDPEYYDDPLNFNPDRWDVNFTQSFNVRTLRMLEILQMGAT >Et_1A_007959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39772263:39775076:-1 gene:Et_1A_007959 transcript:Et_1A_007959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDDQGSKPVADPFLRFLLPSPKPSPPRPRASLVAPPLPLPRARPDERLFIVPPTRPSWLPSPPPPGSVVKPRPVAPPNEHRARNAGRFAGNGNDARGRVPGAFLPPNRGRAGPERRKVAAEHKSKEKKAWVAVQKKGEDAGDENPAVGSEGYSGGDEGSLEVEEDQLEVEGDQETEQEGGGHRQGLDQQGELNNSLAVAPDGSGVERPIENLTSQPDQAPRPRGRMRTRQVECRHDIDTFTPGFLALYESLKPSEEHNSKQQQLVDSLAKSVSKEWPNAQLHLYGSCANSFGTAHSDVDVCLEMEIGTGSAVEILLRLAEILRTEGKFESVEAITGARVPIVRMSDPGTGFSCDICINNLFAVANTKLLKDYAQIDHRLLQLAFLVKHWAKLRGVNETYRGTLSSYAYENPRFYHAMEPTYALNVDGTECAYFDEVHQIHDFSAKNKESVAKLLWAFFHYWAFHHDYRNDVISVRLGKTISKQEKNWTTRVGNDRHLMCIEDPFETSHDLGRVVDRQTIRILREEFERAAAVLQYDEDPCVTLFEPYIL >Et_5B_044149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20794413:20796760:1 gene:Et_5B_044149 transcript:Et_5B_044149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTGAMGSLSPKLLQLLHGGYKLQKGSESLSLELESMHIALGTVAEVPHDQLHPQVKHWASEVREASYDIEDVIDSFLVQAKIKRLLEKMGKLFNLSKLKARRDIANTIENIKKQVEEMAKRRDRYKVDDHVAKSATAANIDPRILTLYTKTSKFVGIDEKRGELINMLTLEDDDASKKKMAIVSVVGSGGLGKTTLAKVVYEKLTTDMNICCKAFVSVGQKPKLKKVIRDILLSLDKECYMNKSNFMMLDEGQLIEEIQDFLKEKR >Et_4A_033700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2653609:2658578:1 gene:Et_4A_033700 transcript:Et_4A_033700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAGTAAAVWLHSLLSRPGCILRCGRRLTPLLLRAASSSTASAPGFNISFAEPTQTKKASSRSSSAEPPVPWIVRGKDGKPGLQSSPPPEALQAIALAQAEAKKAAKKESLRSKKGAAAAASASVKVKERKTVPAAPPKFSKAARRFYNENIRESEPQRLAKVLAAAGVASRRTSEELIFEGKVTVNGSVCTAPQTRVDISKDSIYVNGNRISKKLPPKLYFAVNKPKGYICSCGEESKSVVSLFNDYLKGWNKTQPGMPKPRFFTVGRLDVATSGLIIVTNDGDFAQKLAHPSSNVTKEYVVTINGAVHKKHLIAISEGTKIDGVKCVPDLVELLAAQSDTRKTRLRIVVHEGRNHEVRELVKNAGLEVYSLKRVRIGRFRLPADLGIGKFVELKQTDIKALEGNN >Et_8A_056411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9647681:9647916:1 gene:Et_8A_056411 transcript:Et_8A_056411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSDHNGGIVAEKRRRKTRKKKTAQFRLEECWLPRVSSVYLLSVCLGSHSYEYSCKFGLSFEATC >Et_10B_003873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:742094:742880:-1 gene:Et_10B_003873 transcript:Et_10B_003873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQAFTVDLDKPLVFQVGHLEEEYQEWVHQPIVSKEGPRFFENDVLEVNVLLSARLHLKL >Et_9B_064820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18971910:18973520:-1 gene:Et_9B_064820 transcript:Et_9B_064820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVRCLALLLVELLVLAAAVSGEDVVGTRWAADVCHAYQVLKKGGLKDENIIVFMYDDIANNPDNPRPGVIINHPNGTDVYAGVPKDYTGKDVNVHNFLAVLLGDNSNLTGGSGKVVNSGPDDHIFVYYADHGAPGFIVMPSGEYLYANDLVGTLKKKHAAGTYKSLVFYLEACESGSIFEGLLPTDINVYATTASNAAESSWAAYCSEGGQGPSPPPDFNTCLGDLYSVSWMEDSDARDLRNESLEQQYEVVKQNTLPHASFENGSHVMQYGDMTQNAQSLYTFIGTNPDNDNATSIKRSVVSGAGGRVSQRDADLVYFWHKYRKAGDGTAEKREARERLMQVMARRSQMDSTVELISGLLFGSGQGRNVLTAVRPAGQPLADDWDCLKSSVRAYEQHCGPLGQYGMKHTRAFANICNAGVAAEDMAKVAAQACASVHSDTSSFSALVQ >Et_4A_034262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31227926:31231892:-1 gene:Et_4A_034262 transcript:Et_4A_034262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAKPVEVELIPTLSSLEPVYGKGSQLDEARLRFARLGDRFEAVYGARPALFARSPGRVNLIGEHIDYEGYSVLPMAIRQDMIVAIRKADGGQVRVANVEDKYPVCVYPADPDKEIDIKNHKWGHYFMCGYKGVYEYCKSKGIDIGEPVGLDVVVDGTVPQGSGLSSSAAFVCSATIAIMGVLEKNFPKKEVAQFTCLSERHIGTQSGGMDQAITIMAKSGFAELIDFNPIHATDVQLPPGGTFVIAHCLAESKKAETAATNYNNRVVECRLAAVVLAIKLGMDTKKAISSVTTLSDVEGLCVSFAGNEGSSDPGLAVKKLLHEEPYTAEEIEKITGESLTSVFQNSPTSLDVLKAAKHYKLFQRATHVYSEARRVYAFRDTVSSKLSEEEMLKKLGDLMNESHYSCSVLYECSCPELEELVKVCRDNGALGARLTGAGWGGCAVALVKEPIVPQFILNLKEMYYKSRIDRGVINQNDLGLYVFASKPSSGAAIFKL >Et_2A_016643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26873364:26874721:-1 gene:Et_2A_016643 transcript:Et_2A_016643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPASTGQLPRIALPLSSGPARFPAVKMSCFAQQMTDAAMLLSSSLEDSKRTPEPIVKMCGITSAKDAETAAKAGAKLIGMILWPNSKRSVPLSEAKEISRVAKSYGAEPVGVFVDDDDETILRASDSCDLELIQLHGDSSKELLPLLWKNNRIIYVLNADDDGNLINAPPSEEFVLDWFLVDSAKGGRFLRDQAVVSLSNASNSNKD >Et_5A_041690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2590337:2594347:-1 gene:Et_5A_041690 transcript:Et_5A_041690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCDATAVPFTLLGALLTAGPAAWPACVGGGRAFLRDYAQRGTNALLWAGLIAATWVLVLRVNALLRLWALGSRIPGPHALLADPGLAAVLRTGGDITGFLSKLHGCYGPVVRLWLGPSQLLVSVKEPNLIKEVLTKAEDKLHLTGRTYTLACGRLDLFISSFQKVQTRRESLKAFLDKKLTTDGGRGSLKIIDIVLGRIRSISTDFVDSRSFSQHMAFNIIGATLFGDAFFDWSDAAAYEELLMMVAKDGCFWASYAVPPFWKPSYRRYRTLCSKLKILTQGIIRKSRDQDTTLSHFDQRSFQRNEGMIKDPRGGVLNGMMSCHGAAEGLLSSEEEICGNIMGLMLHGISTCANLISNILTYVVLSPKLQDQLYADIVAVCKESSELNVDDLLRMRYLLATVCESARLSPAGPLLQRCSLKHDLTIESGITVPAGAVLVVPLHLVQMDSSIWGNDASQFNPQRLLGKDADLEEMLGLPKISNGFDFYPGCVKTQSFLPFGSGSRACVGQKFVILAISMLIASLLRNYEVRLHPGLSEEMDSAVGSSSFHHLPNPKITFIKRGI >Et_1A_008470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:870641:872069:1 gene:Et_1A_008470 transcript:Et_1A_008470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCVDPRAARVIDDVSCAHLLAPDVIDGEDGAEVHGRDDVDGAGHELLRDEAVGALGGVEGVPEDRLETTVQGPMPLNRPWPQHASLALANPYASGSSMKPLVMRCVSTPTNTSPPRCAFSSRSIAISRGLYSSAARCISRSQLPSRLCRASSAVMFINYEFDARVVEDPGGGRREVGTDQHLFAVELRAQVRQHGLRPEVLTFLAVAEPTRGAVVRRVDAVRRRVGPCRGDQVVVVAAVHEGVAEHEEGARPRRVGGGRECVKRSQAHQHGEEEGDPRRGHCFAERAKGGNLSNDAICSVNGFRL >Et_5A_040648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10792122:10794467:-1 gene:Et_5A_040648 transcript:Et_5A_040648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAADGAASGERPTLLLIRRSDAALAAALRQRYRVLDSFASGEPLPAFLAAAAAAAAHDPPRAAVVVSSSVVRVDDAFLDAFPSLRCVVNTGAGVEHVDLGECARRGVAVANSGAVYSVDVADHAVGLLVAVLRRVSEAERFVRRGLWPVQGDYPLGSKLGGKRVGIIGMGRIGSLIATRLQAFGCVIYYNSRTPKDSVSYEYFPHVLDLATESDVLVVACALNKETRHIVNKDVLEALGKDGIVINIGRGAHIDEAELVRALNDGRIAGAGLDVFENEPKVPEELLSMNNVVLTHHMAVFTSESKSDMCDHTIANLEAFFSAEVLKGTEIDFALEKLCH >Et_8B_059138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14250207:14254240:-1 gene:Et_8B_059138 transcript:Et_8B_059138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKQGAGRERGPRRNRSGRGATGAPIRDHSGGRSWAGRHPVCGVYMISPPDAGLPKLPLPSQFSLPSRISSCLPDLATRAPADAHVQDGRVRGLQGGRPDELGAPGLDLAHDLRARLLRRQDDACRRVSLRLRPLRCHVSPSPRQSDCMIVASTLTNKDGAHPQQARKRCCQDCLDNDDDDIVSVARRPASLEHMTVAELRGVDLWDSEVKTLLDHSCACIALILPRTSGASLPLYTIH >Et_8A_056808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16990725:16991109:-1 gene:Et_8A_056808 transcript:Et_8A_056808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHRLCFPHPWSYSAGPHHTSFLGHGRGGGSPAVPPYYSMHPGRAAAAHAGASRRPFLLGSPATLSAPPNAYGFAAAVPRTPSPTVLDSAVAGLRWAGVAHDVKQEEEEEEGEGESKMDLNLKL >Et_9B_064427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15019777:15023985:-1 gene:Et_9B_064427 transcript:Et_9B_064427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQEANVVTDYILKILGLEICADTMVGDEILRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVKSLRQSIHILGGTAVISLLQPAPETYNLFNDILLLSDGQIVYQGPREQVLEFFEFMGFRCPERKGVADFLQEVCTAPPYIFRGKYVHKVTSKKDQKQYWARQDEPYRFVPVKEFATAFKTFQLMLISIIAMTLFFRTKMKHDTVTDGGLYLGALFFGVLMIMFNGFSELALTVSKLLVFFKQRDLLFFPAWSYTIPTWIVRIPVTFIEVAGYVFLTYYVMGFDPNVRSFLKQYLLLLGVNQMAAAVFRFLSGAGRNMIVANVFASLMLLVVMVLGGFIIARDKVKKWWIWGYWISPLMYAQNAISVNEMLGHSWDKILNSTASNETLGMQSLKSRGLFTEAK >Et_5B_045342.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1912254:1913867:-1 gene:Et_5B_045342 transcript:Et_5B_045342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELARTGLTDPAIRPREPSRARHAAGSRLVVSRHGPRAPLLYRVATVSRHRLTSSPPFTDCAAICAYLPYTVHVGVVHTERQLRSGMGGATLCSVAAATVAVLLLMAGGAAAACPATPPDTGATLQVSHAFGPCSPLGTEASSPSWAGFLADQSARDASRLLYLDSLAVRGRAYAPIASGRGLLQTPTYVVRARLGTPPQPLLLAVDTSNDAAWIPCSGCAGCPTSTPFNPAASASFRAVPCGSPLCAQAPNPACPTTQASPLYGKACGFSLTYADSSLQAALSQDSLAVANDVVKSYTFGCLQRATGTAAPPQGLLGLGRGPLSFLSQSKDMYESTFSYCLPSFKSLNFSGTLRLGRKGQPQRIKTTPLLANPHRSSLYYVNMTGIRVGKTVVRIPPSALAFDPATGAGTVLDSGTMFTRLVAPAYAAVRDEIRHRVRAPVSSLGGFDTCFNATGVKWPAVTLLFDGMQVTLPEENVVIHSTYGTTSCLAMAAAPDGVNTVLNVIASMQQQNHRVLFDVPNGRVGFARERCTAA >Et_2A_018754.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:6699930:6700190:-1 gene:Et_2A_018754 transcript:Et_2A_018754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTRSRMGLALQVVVAVLLLSAVLGAAARPLNGDDGWAIAGAGGPLPGGGGVTSIVDALRRLYLQQLGGPGASCSTNSPNNGCPP >Et_3B_029158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22493503:22494576:-1 gene:Et_3B_029158 transcript:Et_3B_029158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHPASSGGGVQQKLRKGLWSPEEDEKLYNHIIRYGVGCWSSRCGKSCRLRWINYLRPDLKRGSFSQQEEDAIVGLHEILGNRWSQIASHLPGRTDNEIKNFWNSCLKKKLRQRGIDPSTHKPISATAATSDDNQKQPAASADGGLIALKQQQVFDPFPLTDSFGGGFDAPAAALYGHLGGGGEKDAAAGFVDYSSVLDVSENLGYGESSSNSSNWTCAPEANNGEAPLHWGSDSKIDGYGEALENKFLQLPCHGQQEQSMPHFDFDISRGAVVGEFNL >Et_2B_021408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29462388:29463612:-1 gene:Et_2B_021408 transcript:Et_2B_021408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEHRCHRKSTRGSDTGEPSLAMGKSNSSEELKLPLALFAVERDSKKRLLFDVSSRKVRGINSSVFQDATCAFENGGWLLMIQHKPKYSQEQTVFLVHAISGRRIDLPVVPSSDKWFFVFYVNSYGAPLVVAHIVIWSLVPTIHIVCTGDLYWSVYQHDVEPPRMSKSMCKMVEPTCIVDVALLGTQAICLDRNGQIMIFGITSMTWRRTGSCPPEWSERDHHFLVMSNGEAVLVSGSLMTENAFKFLKLNMEALEWSPLDDWELDDTSWFLRKGQSFCVKEVGKRKVYVFSGPEQFMKSLTENSNGTSAHDTLKSITNAYAYDLDDVSVEMLIPASIVTEVRHWVRPAVLTNLMQ >Et_4B_039383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12775340:12778759:1 gene:Et_4B_039383 transcript:Et_4B_039383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKNPRRIFEGEALLRRMNRYGLLGEGQNKLDYVLALTVENFLQRRLQTIVFKNGMAKSIHHARVLIRQRHIRVGRQLVNIPSFMVRVDSEKHIDFSLTSPLGGGLPGRVKRKNQKKGTGGGGGDGDEDEEVCYS >Et_1B_010464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10825687:10826887:1 gene:Et_1B_010464 transcript:Et_1B_010464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATGMVERFVEKPKTFVGDKINAGIYLLNPSVLDRIEMKPTSLEKEVFPQIVADAKLYAMVLPGFWMDIGQPKDYITGVRLYLDSWARIENVTVLGEDVRVSDEIYSNGGRVEPHSEVRSNIPNPSTDRLQLLQACSAQ >Et_10A_001318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23310247:23317299:-1 gene:Et_10A_001318 transcript:Et_10A_001318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMVGGCVGPSELHLRKELTALQKARSLQDPETCSTWRSPLNSRSLVAGSSIMHNNGIACNLVPKPIESPSASSESHQKRKKVYLYNWRQNSSKSNRSGIKLDQDGGQVSGDLRLDSPCNSNGVNSKDDAFLNAPANIYNIESSTSCTPVKRIARRRKVRNPAVSKLSDYHVNSGEQSEDTENCNSESQELFQGGFFSRPTSPLFSACGCVSSSNPSKLLKVGRREGSSSSCTPVSASSYYRHGRRKIITFGSWDARTATSFDGDESNQSALLRSERSHVPSYSSKRRKHRGSEGSYYSPSLSAILRRKGSSLLCGSQTMHRKKRSFGSLKWVHSKKSAQGMPLLGNSCDFGSSSFDSSSDELSTNIGELDMEASSRLDGKRWSSCKSQDGIDLAVHGADLATLEHRSLSQKYRPMSFSELVGQNIVAQSLSSAVTRDRVAPAYLFQGPHGTGKTCTARIFSAALCCIATGDNKPCGICKECTDFFSGNGSNLIELDASNRKGISRIKHLLENAPPSAAPSRYKVIVVDECHMVSSKVWSAFMKFLDEPLPRVVFIFVTIDPDNLPRPLLSRCQKYVFSKIKDIDIVCRLRRICVKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPALVNDLVGVVSEEKLLDLLEIAMSADTVETVKRSRELMDSGIDPMTLMSQLAGLIMDIIAGTYKLADLTCCNGTGVVTDVELERLQQALKILSDAERQIRLSSERPTWFTAALLQLGCGQSSDMIQSRSSTREQPKAANDAVSEAARESSSSRTVSHSASAFGVSNRTIDRKTISVHSSPQVLASHSSRSRLNENLVFGECKSVNRVQLNDNYAEQWALVNGNSDNLAQISIRCVENCHSKTLQQLLLDHGKLVSIRQLEGYVIAFIAFEDRGIKSRAEGFLSSITNSVETVLKCNVEVKMGSLSDLINEGLTLKAVHKVRRVDSDVLSCSSNSDRLNGTLNTSGRGISLVEGQEIPVNMSEIDKNDEQRLESAWLQGVDKQTPGVMNQARHNMHHVISQVVDCQYQRKSSMSLVVPSSHTDEALAHEIEALKIVDSYGPHKHQNRRSENGHAMSPSKLHGNDDLANCDKDS >Et_9A_062836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5626466:5628043:-1 gene:Et_9A_062836 transcript:Et_9A_062836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQRVAGAGRGYNSTTGCRPRSSRIRSRAMDSSPPVASARSKAMVVAGGRRERPGGGGGASGVAVWALVACNVASLAFLVRGFVDGVAVRSSVATTADGVQLPEDEAEPEHAAALAPDAVLSLELCVPATTVHAVRAVGQVDLTVRASTLVGQRRPDDVRGVLAAGRAARGHGRRTTLSGHFRWAGGDAAAFAGAGDEYIELVCSPNNPDGAVRESSGGAGCKPIHDLVYYWPQYTPITARAAHDIMLFTLSKVTGHAGTRLGWALVKDREVAQKMVYFVDRSSIGVSKDSQLRAANILAVVADAYDDDDEELAGVPRLFDFARRRMAERWQALRAAVAASGAFSLQEVTSGYCTFTKQTVTACPAFAWLRCEKDGVEDCAAFLAGHKIVARGGEQFGGDPRCVRVNMLDRDQVFDLLVQRIAAIN >Et_5B_043347.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6187155:6187262:-1 gene:Et_5B_043347 transcript:Et_5B_043347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDQKGELQTKVRRVTRTYEVAKNTRIFSHAAFWI >Et_9B_064977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20159692:20160923:1 gene:Et_9B_064977 transcript:Et_9B_064977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSAAAVALASLPHFPASSSSSSRVSARSHRSSRVPTIRCSSASPNLSQGAPAPAPSKPQIELEFVGPKPDADGSYPVDRAAAASGDKLLRDIMNENKIELYAAYGKVMNCGGGGSCGTCIVEILDGKDLLNERTNTENRYLKKKPESWRLACQTIVGNKENSGKIVVQRLPQWKK >Et_5A_041293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20733504:20734240:-1 gene:Et_5A_041293 transcript:Et_5A_041293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKIYIVYYSTWGHVATLAEEIKKGADSVDGVEATIWRVPETLPEEVLGKMHAAPKREEHPVITAAQLAEADGVLFGFPTRFGMMAAQMKAFLDSTGGLWQSQALAGKPAGFFFATGTQGGGQETTALTAVTQLTHHGMVFVPVGYTFGAGMFGMDEVKGGSPYGAGTFAGADGSRTPSETELALAAHQGKYFAGVAKKLKA >Et_2B_021933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:772815:778601:-1 gene:Et_2B_021933 transcript:Et_2B_021933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDEADAKLQSFLQWLQANGADLRDCTIRACGDKGFGVFSTASEPGATDAVVMVVPLDLAITPMRVLQDPLVGPRCRALFEEEGVDDRLLVMLFLMAERLRPGSLWKPYLDMLPSTFGSSLWFTDEELAELEGTTLYRATMMQRKSLQTLFTDKVKGLVEELLHVDESGSSIEVSYEDFLWANSIFWTRALNIPLPRSYVFPGPCDDQLTKIDDDCCSSSLPAHQETDITAKKSSVDGNSESSAIESIWAEGLVPGIDFCNHNVKALATWEVDSEGHATGVPASMYLMLADKSSVEAGAEIFINYGNKGNEELLYLYGFVLDNNPDDYLMVHYPAEALRQVQSSDKGELRCLLPRSLLDNGFFGVCSSEENDSKKSTSPFTSYSWSGQRKVPSYLRKIVFPQEFLSTLRTIAMQDHELERVASLLGKAGSSGDREPADAEVQSAIWEVCGDHGSLSLLVDLLRFKMAELEEGTGTEASDSQLLETVYSYDLEDSTSRSDEYNKNKSNNCRSCIVYRRGQKQLTRLFLREAEHLLELSTSEQT >Et_6B_049025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16776440:16777443:-1 gene:Et_6B_049025 transcript:Et_6B_049025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSVLGVSPVAAQSSRLPAFSRASSHVLRSECSPSHHSDWQNAARVLRVTSNKRRLCSRAATSLSVRCEQSAKQDGGGAGVWLGRAAMIGFASAIAVEVSTGKGFLENFGVATPAPTLALVVSGLVVGLAVFFLLQSGSQD >Et_3B_030135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30838305:30842373:1 gene:Et_3B_030135 transcript:Et_3B_030135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTSRGRMEEKGAAAGFGFLGLDRMRLLLPLPLPEKLLARTRTARSHLYNHYFGSFKVRVWWPWILTVWLVLGVVGSSWILFFMSNQVVEKRKDALASMCDERARMLQDQFNVSMNHLQALAILVSTFHHSKNPSAIDQSTFARYAERTAFERPLTSGVAYAVKVTHAEREQFERQQGWSIKKMYSSKKKSSPGPGDAASSEVREPAEEYAPVIFAQDAYKHVVSFDMLSGNEDRENILRARASGKGVLTAPFKLLNNRLGVISTYTVYKHELPPNARQQERIQAAIGYLGGIFDIEALVDKLLHQLAGKQSIMVNVYDTTNDSPISMYGSNDTGDGMYHVSTLNFGDPSRKHEMHCRFMQRPPWPWLAITTSFGALVIVLLVGYIFYATVKRIAKVEDDYQAMTELKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDTTQQDYVRTAQASGKALVSLINEVLDQAKIESGKLELEAVPFDLRTVCDDILSLFCGKVQEKGLELAVYVSDQVPQTLIGDPGRIRQIITNLVGNSIKFTEKGHIYLTVHLLEEVTKCLEVDTRTQCTNTLSGYPVANKRRSWENFRLFNRELHTSEMSFAPIASDSISLIISVEDTGVGIPFEAQSRVFTPFMQVGPSIARIHGGTGIGLSISKCLVGLMKGEIGFASKPNVGSTFTFTAVLMRARSSGSENKSSDFKDINALVVDHRPVRAKVTKYHLQRLGVRTELTADLDQYISKVNCGPQIAKLVLIDKETWLKESHSMPLLVGKLRNKDQPDSTKLFILENPTSSIKSSSHISKEYSLNVIMKPLRASMLQVSLRRALGGVDKLHCKNGVVGNSTLGSLLHKKKIIVVDDNIVNLKVAAGALKKYGAEVTCADSGKKAITLLKPPHSFDACFMDIQMPEMDGFQATKRIRVMERDLNERIERGEVPPECSNIQRWRTPILAMTADVIQATHEECLKSEMDGYVSKPFEGEQLYREVARFFQNHDPVE >Et_1A_007799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38394834:38397360:1 gene:Et_1A_007799 transcript:Et_1A_007799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTEEAASPKNGASEEGFWLLGEDKSVHRALGGGKTADVLLWKDTKISAAVIGGATVLWVLFEVVDYHLLTLISHVLIGALIILFLWSNATVFIKKSPPDVPEVQISEDLAVNIALALRADINKALGLLREIAMGHDLMKFFGVIVALWILSEIGSLSDFLTLIYAAVLVLHTVPILYHKYQDKVDDFAAKAHGELCKQYKVLDDKVLSKIPRAPPKDKKQN >Et_3A_026545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9497199:9497551:-1 gene:Et_3A_026545 transcript:Et_3A_026545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWVRTITTPFRKACTIFGPQKDGKKPQQPNSAMVQHVDAERAKLHGEVMACAYEDVQVMWSMLDQARIRDIGGSS >Et_8B_060732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5752205:5753746:-1 gene:Et_8B_060732 transcript:Et_8B_060732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSGTDVAGQRELRSGRTCHRKHVEDGQFCRFGLGVEIENMKGGGDRSSTGDAEACEKGVLQGRYELGRVLGHGNFGRVHTARDLRTGRSVAVKVLSKDKVVRAGMMEQIKREIAVMKRVSHPNIVELHEVMATRSKIYLALELVRGGELFARIVRAGRVREDVARRYFRQLISAVDFCHARGVFHRDLKPENLLIDEAGNLKVVDFGLSALADHARGDGLLHTLCGTPGYAAPEVFRNKGYDGAKADIWSCGVILYVLLAGSLPFPDDNIASMFRKMSRGDYRCPPWLSTDARRLIPRLLDPNPDTRITVAQLVETPWFRKSSVARPVTAAEQPAEPAKDGGDKDEPETLNAFHLISLSAGFDLSPLFEGYSPRGAREGGMRFATREPASGVISRLEEVAARGGGRMRVTKSGARGVRLEGADERGGPKGRLAVAADIFSVAPSVLVVDVKKDAGDTLEYRSFCSEELRPALKDIVWGAEPQPAAAAVV >Et_5A_042331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8807792:8818426:-1 gene:Et_5A_042331 transcript:Et_5A_042331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGGAEPLLLPPSTGPSPASCAADPSPPVQEDTPSPERTEEASPRSPSSPALRASSQLSRWSRARALRSGRRLDRPAALSAPVTNPPPAEEEESFAGEDDGDDGLYPAAERDDAAASGKAIYMVSDGTGWTAEHSVNAALGQFEHCLADRGCAAVNTHLFSGVNNMDRLIEIIKQAAKEGALVLYTLADPSMAEAIKKACDFWGVPSTDVLRPTVEAIASHIGVAPSGISRSSPSRHGQLTEDYFLRIDAIDFTIKQDDGALPQNLNRAHIVLVGVSRTGKTPLSIYLAQKGYKVANVPVVMGVGLPKALFEINQDKIFGLTINPVVLQAIRKARAKTLGFDGYKSNYAEMAHVRQELDHANKIFAQNLTWPVIEITGKAIEETAAVVVRIHHDRKQKCSMPRISKRVAPTVVYDYHSEVINTHVEYQKSMMGVGAKPLAAPLRFPPSGGRRVAPGPASCAAEPVAQSPPPVQEDAAPAQRTEEAAAPRPSPSSPSPSRAVRASSALSGWSRARALRSGRRLGRATPSSPVVTKPPPSPLPPVAEGLAAALEEDFGDDDLCQAERDALAGKAIYLVSDGTGETAENSVRAALGQFENCLVDRQCAVNTHLFSGVVENDRLIEIIKQAAKEGALVLYTLADPSMAEAIKKACDFWDVPCTDVLRPTVDAIASHIGVAPSGIPRSSPNRKTQLTEDYFRRIEAIDFTIKHDDGAQTENLDRADIVLVGVSRTGKTPLSIYLAQKGYKVANVPFVSSVPLPKSLFKINQDKIFGLTINPVILQAIRKTRAKTLGFDGYNSNYAEMDHVRHELAHAKQIYAQNPSWPVIEVTGKAVEETAAIVVRIYHDRKQKCSMPRISKRKSNCSLIFCFLYIPRKVTGLDNSDNGKKNIIPAFYLQSRLRPANYNFRQHFRLPTLQ >Et_1A_007566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3594610:3595627:1 gene:Et_1A_007566 transcript:Et_1A_007566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDARDDRMSRLVDLLKMEVGLEPNYILERPELLGYSVTKRLVPRLFVLKALKAKGLVKKDIDFFRVITRNEKTFIKRFLDPYKDSVLGLADAYAAACAGQ >Et_8A_056341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23777849:23778865:1 gene:Et_8A_056341 transcript:Et_8A_056341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEPEELQFLGVAGVYRASAEVLRGPHRPLFARIAAAFVLPLSALFLLHIAISHALFTTIDSDDTALDASTPGSAAQRRLLDRLASDWAALLLFKAAYLLALLLLSLLSTAAAKHDALTFPRVLSVVPRVWRRLAATFLAAFALLFAYHVAFILLFIALLVAADNGSGLAALLAFLLLVAYLIGLVYVSVVWHLASVVSVLEDYKGFAAMRKSKDLIRGKLPTAAAIFFTLNVIFAVVEVAFRAWVVRGAAHGIGGGTRFLLGLLMLAALCAVVMLALVTQTIVYLVCKSYHHESIDKANISDHLEVYLGDYVPLKASDVQMEQFQV >Et_1B_010202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29395323:29397347:1 gene:Et_1B_010202 transcript:Et_1B_010202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLRFLSLSYNHLDGTFPQFIINCTSLRMSYLDLSINSFSGPIPDSLLDMAPRLRYLDLYSNGFSGPIPRSLSRLQKLQSLDLSDNNLTGGIPLLSRLQKLQNLNLGANNLTGGIPEELGMISGLQTLYLYGNLLGGSIPASLGKLQMLQHLDLGNLTNLEVLELSGNQLSGSLPSWLAKMHQVAYFDISGNNLNGIIPPEIHKWNYLSYLSLSGNSFFGSIPLEVGNMTNLDTLNLSNNRFMGETRSIFCDLKLLYFVDLSSNQLSGELMSCIWNLQNLEFLDFSSNALVGEVPTMANNNSSLIYLRLSNNNFTGCFPEVLKNLKNLAEPDIEDLEYEYNGTGLVFYDEVGIAWKGRDYNFQSVDDLNGIDLSSNSLSGEIPSELTNLRGLRFLNMSRNYLSGNIPEDIGNLAVLESLDLSQNKLSGPIPSSMSDLLSLTYLNLSNNNLSGEIPTGNQLRTLSDPSIYSNNLGLCGSPLNACTNHSNSRSTLFVEKDHHDHETLWLYYTAIAGFVFGFWLWFGALFICKSRMFALFSSIDSMQDKVVQIMKQKHS >Et_9A_063418.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:23801452:23801682:1 gene:Et_9A_063418 transcript:Et_9A_063418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEALDVTRKLGIPAYTFLASNASALATSMQVSLARAAAEGQPSFREMGDAPLDLHGVPPVPASHLRRAAGESGE >Et_6A_046631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18378258:18390326:-1 gene:Et_6A_046631 transcript:Et_6A_046631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEASELQARLAAAVQALNDGAHPSGRLAANQWLLGLQRSPQAWAVATSLLAAPDPPPPADLLFFAAQMLRRKIQAPGAAAALPGAQLLDALLLAARRFCAAPAPRQLLTQICLALAALALRAEGGVDGLFARMPHLPAPAVLELLTVLPEEAAQDQGGDTGVDAAARCRFTREVLAHAPAVLEFLHAQSEKADADHDGVPLHERNRRILRCLLSWVRVGCFSETPAAVLAAHPLLTFAFNSLQVSFSFDVAVEVMTELVCQHEELPQAFLCKMPYIREVLLLPALANRSEKIIAGLACLMCEVGQAAPALVAEGGSEALALADALLRCSLAHYILGTDVMPAKRNAAQELFSPVFSSLLDALLFRAQIDTEEHDTDGASYMPDGLAQFRMNLEELLVDICLLLGAPAYINKLFSGGWGFSGQSVPWKEVEVRMYALSMVADTILQDESCFDFSVIMHFVNILSSRTPVELNGSLFLVYKSFGDVIGSYSKWLSSSQSNIKPLLLFCASGISKSISSNACSLALRKLCEDASSFIHDPQNLEILFWISEGMDKGNLQLEDEEEVISAITHALSSIHDKEMRKSSLVRLLCSSYAAVEKIIDIDRDHSLRQNPASYTQVLDLSVRGLHRMSALFRHLAASITSGLVDDDITLALLGIFWPLLEKLFRSSHMENVSLSAAVCRSLSSAVHSCGQHFHVLLPKILECLSTNFLLFQKHDCFLRTAASVIEEFGHKEEYGPLCVRLFETLSSASSISAINSSYTCDQEPDLVEAYTYFTSMFIRCCPKAAIISSGPLLEISFQKAAICSTAMHRGAALAAMSYMSCFLEVSLTAVLESPDCIPDGSPGVVLIQVLTRCGEGVLSNVLYALLGVSALSRVHKSATVLQQLAALCSFCERTTWKAVLCWNSLCGWMQSTVKSLPSDYLKPGEADMIIPLWLKVLQDAGSDYLHSRTGDNVRSHQGYMQGKGGRTLKRIVRDFAESHRNVPTP >Et_2B_019547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1040391:1042485:-1 gene:Et_2B_019547 transcript:Et_2B_019547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLGHRENGRQRPDQYKAIHTQWMMPQRQLKDHHSMNILALMNEKDSAIRERDHALAEKKAAIAERDAAFSQRDTAMAERNAAIVERDNALAALELARTNGFNMNNGNGFHQGPLNGTKNIHHHDQLSHVQSSPLQLADSPYDHVREMHILEAYPISTAAGSVGKGKKPRKNNSQASPLKRPSGVLRKTKKPTADWKNGGISGVGEDASRASVSKNEWKDQDLGLNQVSYDETTMPAPACSCTGELRQCYKWGNGGWQSSCCTMSISMYPLPVMPNRRHARMGGRKMSGGAFTKLLSRLAAEGHDLSTPVDLKDHWAKHGTNRYITIR >Et_1A_009221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34036749:34040915:1 gene:Et_1A_009221 transcript:Et_1A_009221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVSCVRSTGSTHFGGSFPSFVASSSSARNIEPLRAIATQAPPSVPQYSSGEKTKIGINGFGRIGRLVLRIATSRDDIEVVSVNDPFIDAKYMAYMFKYDSTHGPFKGSIKVLDDSSLEINGKKITITSKRDPAEIPWGNYGAEYVVESSGVFTTTEKASAHLKGGAKKVVISAPSVDAPMFVVGVNENSYDPKMNVVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAAQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRIEKSASYDDVKAAIKHHYAPDYNGSDPSPLIFRAASEGALKGILGYTDEDVVSNDFVGDARSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSNRVLDLIAHMALVSAKH >Et_3A_025082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26710176:26713453:-1 gene:Et_3A_025082 transcript:Et_3A_025082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLRLLLLVLLPAAAWALSPPHFPGSHPLARSRPRVNRVLDYEYETRYFRQRLDHFSFPGVGEEEDDAFFQQRYLVGRGDGWAGPGGPIFFYCGNEGDIAWFAANSGLVWEAAPRFAALVHRYYGESMPFGSKAKAYNNSKSLAYLTAEQALADFAVLLTDLKRNLSAEGSPVVLFGDSYGGMLAAWMRLKYPHISVGALASSAPILQFEDIVPSTIFYDLVSDDFKRESISCFQTIKGSWQALDDQGNVQDGLLKLSKTFHLCQTLKTSEELSDWLSSAYSYLAMVDYPISSEFLMPLPANPIKEVCRKIDSQPEGTSILERIYAGVNVYYNYTGTVGCFDLNDDPHGMGGWDWQACTEMVMPMSYSENRSMFPPYKFDYASYAEDCIKSFGVKPRPRWISTEFGGHKIAKVLEKFGSNIIFFNGLLDPWSGGGVLKNISESVIAIVAPLGAHHIDLRSATPDDPDWLVSLRESLKIISGWLSEYYGVRGPLFQPVALKDSSVC >Et_2A_016145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21400148:21406633:-1 gene:Et_2A_016145 transcript:Et_2A_016145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGDCWESTRRRNYHEAVILKMTEFLELEAQDGVRMPWNVIPGTKLEAASCVIPVSAIYTPLKSIPEIPVLPYAPLRCRMCRSILNPFSIVDYVAKIWVCPFCFQRNQFPQHYSLMSENNLPAELFPHYTTVEYLSSTETGPAAPPVFIFVVDTCMIEEEICYLKSALAQAVELLPDNSLVGLITFGTYVQVHELGFGLLPKSYVFKGTKEVTKEQILEQMCFFAGKKMPTTGVIAGTRDGLSSESISRFLLPAAECEFVLNSVIEEMQKDPWPVPADQRASRCTGAALSVAANLLGVCVPGSGARIMAFIGGPSTEGPGSIVSKSLSEPIRSHKDLDKDSAPLYDKAVKFYDQIAKQLVHQGHVLDVFACAVDQDSLLRIFQSADDSLGLSFNGILEINCSKDVKIQGIIGPCTSLEKKSPLSSDTVIGQGNTSAWKMCGLDRKTSLCLFYDIAKKDGPDSVGQSTSNQFYFQFLTYYQHNEGQMRLRSTTVSRRWVSGPGSELIAGFDQEAAAAVMARLVSFKMESEDDFDPVRWLDRSLIRICSKFGEYQKETPSSFSLSPRLSIFPQFMFNLRRSQFVQVFNNSPDETAYFRMMLDRENVANAVVMIQPSLISYSFQSGPEPALLDATAIASDKILLLDSYFTVVIFHGITIAQWRNARYQDQEGHEAFAQLLKAPHEEATAIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVSYNSGNPSPGGDVIFTDDVSFEVFMDHLQRLAVQ >Et_5B_045726.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8198921:8200933:1 gene:Et_5B_045726 transcript:Et_5B_045726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWRRRWTLLMRVVLIFAAVVTAAVAQDYNKSGGGDGEDEEDKPQESCNGAFLSYTFVERTKEYPRLKNATAQAYAFKATASVLNTMTEDLKAWQLFVGFQHREILVSVGGAVLLDGTDFPANVSGGATFAGYPLADLLNSIDTAGDLTQIENKIEITGTQFGVKPPGKPMPKTIKLANPGFRCPAPTHKDGVMYACCVKDPKFRAKKANATRYQARQKADLTFAYDVLQATANTYLAQVAINNWSPISRLDNWNLTWEWKRDEFIYGMRGAYTLRKDGASCVYSPAASYYKDMDFTPVYSCEKRPIIVDLPPEREKDKEVGNVPFCCKNGTLLPPTMDEARSRAVFQMTVYKVPPDLNRTALYPPQNWKISGKLNPQYACGQPIRVSPQEFPDPSGLMSVTPAVASWQVACNITRPKKRAAKCCVSFSAFYNDSVVPCSTCACGCGNDTATCDPDARAMMLPPEALLVPFDNRTAKARAWAKINHWTVPNPMPCADNCGLSINWHIVNNYKSGWAVRMTIFNWQDYTFKDWFAAVTMGAHFSGYENVYSFNGTRMEAPFNNTIFMQGLPGLTYLDAITDGKTPAEPRVPGKQQSVISFKRKDAPNINIAKGEGFPKRVYFDGEECALPNRIPKASSAHRRAGTVSLSQLAMAAALVMIVVLVDSLRLW >Et_4A_035176.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:10608523:10609473:1 gene:Et_4A_035176 transcript:Et_4A_035176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQESGATPMYYCHMCSLMIRPVVSIEDVKCPHCDSGFVEEMVAGQHRSNAGTRGRAAAEVANAGNAATEREVSLWTPVLMDYLSASSGTHHHGVDGGVGGAGAGNLAAFARRQYRNIALMQLLSALQEAEAAAADAGRERVVLVSPADARAMLRGTGAGSGSGGLTLGDLFLGPGMDLLLEYLAETDPSRQGTAPARKEAVAALPTVRVRDAATCPVCLDELAAGAEAREMPCKHAFHDQCIVPWLEMHSSCPVCRYQLPTEEGTGNGGEPSGATARGGGARGGDAGSGSGRRHWFSWPFSGLFSRSNGSSSSSS >Et_3B_028849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19846741:19849889:1 gene:Et_3B_028849 transcript:Et_3B_028849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVRAPRFQPLAASISATSSTASASVAAGTGRRCAGAVRASAASPFTEATSASRYRRDAWSYAADGSPPPSSSSSDAASAAAAAAAASGRRDDEIALQLPELRRLLDAMRSSRGKGAEGEGGGAGPGRVALVGTGPGDPDLLTLKAVRAIQSADLVLYDRLVSNDVMDLVGEGARLLYVGKTAGYHSRTQEEIHELLLSFAEAGANVVRLKGGDPLVFGRGGEEMDFLQQQGIRVEVIPGITSASGIAAELGIPLTHRGVATSVRFLTGHSRNGGTDPLYVAGNAADPDTTLVVYMGLSTLPSLAPKLMKHGLPPDTPAVAVERGTTPQQRTVFAVLKDLVDEVKSADLVSPTLIIIGKVVALSPFWVESSEQDVLVKNSFATEAR >Et_2A_016203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2196497:2198864:-1 gene:Et_2A_016203 transcript:Et_2A_016203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHAAALLFAVAVAAVVLCPAASAAAGGQKKPATAARREDIPYIRCQVCERIAREISAQVAKKQQALPPSKKVPEIEIIDIAENVCNLKKQEADWMLRIDIVEKGDKLELVEQEEEGHCNAECKTIERACQEVIGYADTDVAEFVYTNKPSVDELTKFLCKDLSKACAKDPPPVPKDRVPGEPFAAKPSKDAEMEKILRSMEGMPGAPSMKMYSRDDLMKNNFGTEDDDDEEDDEDEEDNFPKNLGKVLKDNGPQKKDLKQQVVQQFKDTSKKLKGHVDKASKMVKKWWQGTKKPAKSGKSKTEL >Et_10B_004493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9421958:9424177:1 gene:Et_10B_004493 transcript:Et_10B_004493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQGQKRAEMLMQVLLVASAVAAFLAGYVLADFQLMLLVYAGGVVLTALVTVPDWPFFNRNPLKWLDTAEAERHPRPQVSAGSGAAAGGKKKSGKNNSN >Et_2B_021040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25987330:25988565:1 gene:Et_2B_021040 transcript:Et_2B_021040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDRALQTAPANAGDDGRSFLADKSAKVFVAGHRGMLGSAVHRRLAALGFTNIIGRTRAELDLTSQPAVDKFFAAERPRYVIVAAGKVGGLHASSAAPADFITENLLITTNVLVAARRCGTVRKLLYLASSVIYPVDAPQPMPESTLFSGPPAPGNEYAIPKIAGIKMCQAYRAERFMVAIAATPNNLYGPRHPFPSESSHVIPALIRRFHHAKVTGAPEVVVWGSGAALREFTHVNDLADAVVLLMERYSGDEHVNVGSGREVTVRELAETVREVVGYEGRIVWDASRPDGVMRRLLDSSKMRELGWEPKVQLRDGLEDLYKCYQLRGCLTDSKA >Et_1A_005161.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30183451:30183672:-1 gene:Et_1A_005161 transcript:Et_1A_005161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYAIWVATGVASAFFASLERCSCIHLHTAEDDGDDVDLEEARDRPLMFSRPEALPEYYYDRSASSASFAKM >Et_4A_032822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14209806:14213949:-1 gene:Et_4A_032822 transcript:Et_4A_032822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAGDRVLSRLHSIRERIGDSLSAHPNELVAVFTRLKNLGKGMLQPHQIIAEYNSAIPEAEREKLKDGAFEDVLRAAQEAIVIPPWVALAIRPRPGVWEYVRVNVSELAVEELKVPEYLQFKEQLVEEGNNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLNALQGALRKAEEHLSNLPADTPYSDFHHRFQELGLEKGWGDCAKRAQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVIMSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQCGLDITPKILIVTRLLPDATGTTCGQRLEKVLGTEHCQILRVPFRTENGIVRKWISRFEVWPYLETFTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFNYTESHKRLTSLHPEIEELLYSETENNEHKFVLKDRNKPIIFSMARLDRVKNLTGLVELYGRNKRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTQGAFVQPAFYEAFGLTVVEAMTCGLPTFATAYGGPAEIIVHGVSGYHIDPYQSDKASDLLVEFFEKCQADPSHWSKISQGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVDDK >Et_6A_047777.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:15545068:15545976:-1 gene:Et_6A_047777 transcript:Et_6A_047777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGGGGGWSGIRTMSPKQMLTVIIVVFSVLSFVKLLFLTISSFSSSASSSSAHNSAWDDGGNGTGTGRGALAAKELALLRSLVAARAPCRLLVFGLSPQLLALAALNSGAGAGATTAFVTDSAEDAAAARRALRGTGESADAVAVHRARYRDAAREAWPLLRRARGSPACRRPSTGNLGKSGCPLALTAAALPRGVLDARWDVVVVDGPSGAAPEEPGRMGTIYTAAALARAAAAAAEGGKGAAVDVAVHDVDRTIERWYAWEYLCEDNLVAAKGRLWHFRIAGGGPSDAFCNVGPAQIL >Et_3A_024340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19867618:19871451:1 gene:Et_3A_024340 transcript:Et_3A_024340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISPPPPCRPWDRADLMRRLASFKAMTWFAKPKVISPVNCARRGWTNIEPDVITCEACGARLLFSTPYSWTTQQVEKAAAVFSLKLDTGHKLLCPWIDNICDESLALFPPTPPPVLVENYYECFSSLLRLSALPRISCSSLEIMKRRAPQLEQFLLEPFSSSVVLKGGFVLTEDSTIRDLDDAFEDANTYYQALKIISLCGWEPRVLSYAIDCGTKSHSDANSASNFVRPEQMSKSVEDRIILYSRYDANGASASAESNQEDERYDPLSVVLDCQFCGACVALWPFSLVERPLQLFKLVSNSRKDDQDNGHASLLSGVEPSKSANIGFNFTIAGGPPPTRQSFRPKVSLPVVSRHLKADLNLSGIFRSSGSDSHMAPVASDTSGSLKRKRSMDQPHMLEGDNTISTNVDTSTKGTQPDQPRDNSVKSTTNLEVSTGEKQDGAHSDAVKDTNVYEASNEEGTTDSVATKSISSTDVAHGQHGSEPKSPDQGVRAENSGVENLTETHAYSPMTTEVGAITKSSVDREKVTYQPSEKQGLYDRLNEFDPIKQHRTFCPWIAPDDGESLPGWRLTLSALLAQDKRDDGYSRVEVQTSLLDEEDDPITSVRKLFMSPPPKRLRLHQSEKS >Et_1A_004724.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:34546665:34547681:1 gene:Et_1A_004724 transcript:Et_1A_004724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPNTEAFKQGFLKNMIWSLQACSARPVSLARAMNLQERKRAIKSWADVAMATARGGGARWPQALLASPPSRTPCKVQRCKKIVRRCHHHRRRRDGTGRSLATTASISSREIARRLVWKRTKVLRRMIPGGELLDEVSLLREAMDYVAHLRAQVDVLRHLSKLVK >Et_8B_058620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10764964:10765638:1 gene:Et_8B_058620 transcript:Et_8B_058620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTATGLSVSTAAATASATKCHLFKIEGYKRIKILYGNGKCLESGGFEAAGRTWRIRFFPDGNKEENAGYVSLYLKLEDDSAAVADEAGNDDVLAEVRKPAPTAAASKPYVANFTTTFKEDRKVVGYHQFIKREDLEKSEFLRDDCLAVRCDLAVLKQSVDAEEQAAQAGDMERLGIVCECSDEACKRHHVRTGFWLRDALAKLFLGCFQV >Et_4A_035226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13223816:13226849:1 gene:Et_4A_035226 transcript:Et_4A_035226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKNPRRIFEGEALLRRMNRYGLLGEGQNKLDYVLALTVENFLQRRLQTIVFKNGMAKSIHHARVLIRQRHIRVGRQLVNIPSFMVRLDSEKHIDFSLTSPLGGGEPGRVKRKNQKKATGGGGGDGDEDEELVI >Et_1A_007704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37438424:37442309:1 gene:Et_1A_007704 transcript:Et_1A_007704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRADPARGRLAVLSAHLGPGVGSGEVAPAGLERSPVSAAAPGPRAGALSVVDARTGKRHEVKVSEDGTVRATDFKKITTGKDDKGLKIYDPGYLNTAPVRSSICYIDGDEGILRYRGYPIEELAESSSFVEVAYLLMYGNLPTQSQLAGWEFAISQHSAVPQGLLDIIQSMPHDAHPMGVLASAMSTLSVFHPDANPALRGQDLYKSKQVRDKQIVRVLGKAPTIAAAAYLRLAGRPPVLPSNNLSYSENFLYMLDSLGNKSYKPNPRLARALDVLFILHAEHEMNCSTAAVRHLASSGVDVFTALSGGVGALYGPLHGGANEAVLKMLNEIGSVENIPDFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIRKLAEEVFSIVGRDPLIEVAIALEKAALSDEYFIKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGWLAHWKESLDDPDTKIMRPQQVYTGVWLRHYTPVRERVPPSQSDQLGQIATSNATRRRRAGSAL >Et_7A_050731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10554933:10559729:1 gene:Et_7A_050731 transcript:Et_7A_050731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGLLECSVCSSKVAVPSPRSVSRAYDKHRTKISHKYRALNFLLVSGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVAKVVFAIVMLILQSRKQKVGEKPLLSLSTFMQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKIVMRRKFSIIQWEALALLLIGISVNQLRSVPEGTNSFGLPVTAIAYIYTLIFVTVPSFASVYNEYALKSQFDTSIYLQNLFLYGYGAIFNFLGILGTVVFQGPESFDILRGHSRATIFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLAQPLTVNFLLGISIVFISMHQFFSPLAKVQDDKPAVTVELGDTKDHRSMDSFVNMAAGAADDVGIEGIIFVVE >Et_2A_016186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21842065:21847378:-1 gene:Et_2A_016186 transcript:Et_2A_016186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGGDITARVALLSLTLNKSGPSRIRHTELNPEPKAHETDERLRSGPLSYWVNYICRGPAATAGASSPPRRPLGQLVGTIAAWAHSSRAINARDSSAARQCRRVSHTSTKAIALFVPAPPPGGAVLHCALPHMATRAHLELDVDRLHALSAGGRGGGGPADASATANPNAEAGNLRNDLFLAYKTLGVVFGGLVTSPLYVYPSMNLVSPTEEDYLGIYSIMFWTLTLIGVVKYACIALNADDHGEGGTFAMYSLLCRHADIGILPSKKVYSEEEALLHNQSALARRPSKLGKFFERSIRARRVLLFMAIMGMCMLIGDGILTPAISVLSAIDGLRGPFPSVSSAVVKAVSAAILIGLFFLQKYGTSKVSFMFSPIMAAWTFTIPIIGVYSIIHNYHGIFKAISPHYIVRFFLRNKKQGWQMLGGTVLCITGAEAMFADLGHFNKKAIQIAFVSSIYPSLILTYAGQTAYLINNVNDFSDGFYKFVPRPVYWPMFVIATLASIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSEHKEGEVYSPETNYILMILCVGVTLGFGGGKEIGNAFGVVVIMVMLITTILLTLVMDIKWRTPPVLVALYFIPFFIMEGSYVSAVFAKIPEGGWLPFAVSLILALIMFVWYYGRQRKFEYEMANKVTVERLGELLASPEVQRVPGLCFFYSHIQDGLTPVVGHYIKNMSSLHTVTVFVTLRYLLVAKVARRDRIQIKRLGPKGVYGCIIQYGYADNLGLEGSGDDLAAQVTSCLRQHIETVTDLGSAASTEAELAQVEMARSAGEVHVRGKMRLYVGKDAGWFDRVILGSYEFLHGICRSGLPALGTPLQRRVEIGMFYKID >Et_3A_025410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29661121:29663100:-1 gene:Et_3A_025410 transcript:Et_3A_025410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELAGRPGTWGGLALRAGQFIFAAACICVMSSAPGFANYTAFCYLIASMGLQALWSLGLACLDFHALILKKDLQQAFLLSLFVVGDWVTTVLSFAAASSAGGVVVLFDRDVHFCSRDPQLPCGRFEVATAFAFLSCAFCATSAIVMFCLLASL >Et_10B_002819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11943459:11946707:-1 gene:Et_10B_002819 transcript:Et_10B_002819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPADDGLDGAEVAQVEVVGVSLPDFGGAVRSILGRREIREFASGALAGGMSKAILAPLETIRTRMVVGVGSRHILGSFVEIIQQNGWRGLWAGNTINMIRIIPTQAVELGTFECVKRSMAEAQEHWKENGYPKIQLGNLKIELPLHFLSPVAIAGAAAGIAATLACHPLEVIKDRLTINRETYPSISLAFSKIYRTEGIRGFYAGLCPTLIGMVPYCTFYFFMYDEIKTSYCRLHKKSSLTRPELIFIGAMSGLTASTISFPLEVARKRLMVGALRGKCPPNMITAWSEVIQEEGLRGLYRGWGASCLKVMPNSGITWMLFEAWKDILLTDKQCA >Et_2B_022785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5073711:5076066:-1 gene:Et_2B_022785 transcript:Et_2B_022785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQDVVFPDMGIAAAAALPASGRALFACRGAAGAVSSLLRRGAYGLVAAADEFLGARGGGGAPAPAAHAAAACTSWVVEAIRASSPTRVPAVDEHAAWTFHTIILSIFLGRLPCCEPVMIEWDFRAQKKHPSALGSFDEVAAAAKGKKVVMFMDYDGTLSPIVADPDMAVMSAEMRAAVREVAKLFPTALVTGRCLEKVYNFVGLSELYYAGSHGMDIKGPSSKEDKTVLLQPAREFLPVIDKAYRALVEKTKATPGARVENNKFCLSVHFRCVDEKRWSPLAEQVKAVLREFPELKLTEGRKVLEIRPSIMWDKGRAVEFLLKSLGFDDRGDVLPVYIGDDRTDEDAFKVLRKRGQGIGILVSKCPKETAASYSLQDPTEVRHGVLESAGPVEASAITIDCDVDAPEGAVAH >Et_7A_052423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7872624:7874440:-1 gene:Et_7A_052423 transcript:Et_7A_052423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRNQFRPDRFNDNTNATRRPGRGSGSCTHHWVVSGGRGSAAPAARPRSPPHRSGRPGSVQQQYRPRSPACALTQGNAISHTPAGSDAAAGGHTEPVSKVSFGSLDNVKPSNVPAQSDVLSSVSAEGTRAADSSTQTMLTNRYSSTAFTLQFGTFSPGTIDKQCTTCTSSAPSDLNAKKHEKAHHGLSEKPYIVSSSPDQEQRKHEATDDLVIGGQTDLTDKYENVPVPELHETRVLNSLAPPSKVGTLSYSLLVDCYLL >Et_7A_052739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14973206:14976712:-1 gene:Et_7A_052739 transcript:Et_7A_052739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTHPKFRLTDSRNEYLKFEYEVRVKVTPLVFPPASDTLLCTATTDSLLTTFTDASSPAPLAGGPSSMVNLIRGTGPPLASSSSSSSAICTLDRNRSAMVRPKSSRTTTRSTAMCSRFAGIVYAGTIHPNRRSAADSRNASAVSRPLNVKATSGTPCSLEITVNRPVRSRPSRSIRALSMQFSITLRYPCNHGKI >Et_4B_038813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:583537:586066:-1 gene:Et_4B_038813 transcript:Et_4B_038813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVARPHLAPLPVRAASMAAPPLARLATAQAGDMDRHYIRRCVELARKAEGHTSPNPMVGCVIVRDGRVVGEGFHPKAGQPHAEVFALRDAGDLAENATAYVSLEPCNHYGRTPPCTEALINAKVKEVVVGMTDPNPIVASKGIEKLRGAGIDVRVGVEEALCRKLNEAYIHRMLTGKAFATLRATLSMNGIVTNQIGKGADQSGGYYSQLVKEYDGVIISSNLARMSTLPISHEAGAKQPLYIVIAQGEGSLLHIPFLSEENASKAIVFADSPVTVEPAGVEVAVLREMDLGSILQLLAQQGLCSVLVDFREAVEGFVSLLNDFQEEKLVQKVVVEVLPVWLVSEGLSNLAFGGGQSFLLKNVEHREVNGSVLLEGYV >Et_1A_004800.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6430683:6431268:-1 gene:Et_1A_004800 transcript:Et_1A_004800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRREEPAAVRVEPAAAAPRRLEPLPGRLGQVLQRPHRRERRERLGLAVEEPGQAALERELRHEGGHLEAAAAVALRAGGGAGVIVELRRRAGRVPRRGRRLGVRRRADVLQLLLAHGCSLRHRRLRIPAEQEVGLHQIPQTPNRSSEREKKKSRVERRNHAATQTQRCGTVWLWRQGREV >Et_9A_060968.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22090598:22091577:1 gene:Et_9A_060968 transcript:Et_9A_060968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIETGAHSIPDTYTGRMQQCRRRPAPHSLAGHEVGGVRPRVVVDGVGEVVGQVLERALAGDDGLDEESEHGEHGEPAVLDLLHLELRERLGVVGEAERVEAAAWVQRVDDLAERAAGDAVALDGAHEQDLAGPDGQDALRVDQARVAQVVQPALAEDLGPGLEPHGLTELDAVAGQKLREHATQRAQHGPPAVDHLQLAVLGERLRVGREPRGVPPVVAGELAGQVARGLAGERAEVQHAVGAVPRAAGGRHLGLRRGLAHRHAGLAEQRRRRHVDGLAGEGPGGKCHGGGRHCAAKLFSCVYAGVRVGACR >Et_4A_035522.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27527760:27530048:-1 gene:Et_4A_035522 transcript:Et_4A_035522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRGERCVFLAVAAAALLAASAAAAGRGDERSTYIVHMSRSAMPNDFVEHGEWYAASLQSVSDAATVLYTYETLVHGYSARLTRAEAAALESQPGVLLVNPEVRYELHTTRTPEFLGLDGTDALFPQSSTGSDVIVGVLDTGVWPERPSYDDAGLGPVPAGWKGKCEEGGDFNASACNKKLIGARFFLAGYEAAKGPVDATKESRSPRDNDGHGTHTSSTAAGAAVQGADLLGYAAGTAKGMAPRARVATYKVCWVGGCFSSDILKAMEVAVADGVDVLSLSLGGGTADYYRDSIAVGAFSAMEKGIFVSCSAGNAGPGAASLSNGAPWITTVGASTIDRDFPAYVALGNGKNYTGVSLYSGKSLPATPVPFVYAGNASNSSMGALCMSGSLIPEKVAGKIVLCDRGTNARVQKGFVVRDAGGAGMILANTAANGEELVADAHIIPGSGVGEKAGNALRSYAASDPNPTSTIVFAGTKVGIQPSPVVAAFSSRGPNTVTPSVLKPDVIAPGVNILAAWSGSVGPSGIPGDSRRVGFNIISGTSMSCPHVSGLAALLRAAHPEWSPAAIRSALMTTAYVEYPNGKGILDVATGSPATPLDVGAGHVDPAKAVDPGLVYDIAAADYVDFLCAINYGPVQIAALTKHSSEGCSANRTYAVTALNYPSFSVMFPAAGGTVKHTRTVTNVGPPGTYKVTASAAAGSTPITVDVEPKTLSFTKAGEKQSYTVSFTAAGMPSGTKGFGRLVWSGDHHVVASPIAATWT >Et_8B_058989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1262391:1264130:1 gene:Et_8B_058989 transcript:Et_8B_058989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEFIYVDTRAEAMVWRQGIDPRELERRTRDVLQYIYSSLPAPVSADARLLAFPSDVGDYEDRISALPFALLRDIVSRLPTKDAARTAALSRRWRPVWRRTPLAFADAHLVPGFLEGLRHTARADTPRIAAASSAALAAHPGPFRAVHLVCSFMGEREQELARWVRALAAKGAQEVVLVNRPFPHDVLVPTALLDVATLTRLYIGFWKFPDSCALPRRDDPPFPHLRELVLCAMDMESQDMDFLLAGCPVLEILGILGHNKSGMRLRLVGERLRCVQVSQSMVQSIAVVDAPRLERLILFGSVTPRGSCIRLKIGNAPKLRLFGYLDPTVYMLEIGNTVINAGIKASRSIMATGVKILALNVRFGVSHDAKMLVNFLRCFPNVETLHIVSEKTTRKVNLKFWQEVGPIQCIRSCIKEMTFHEFQMKQSEITFLKFFLQTAKVVKNVVIVGATGRSASTLEVMSIMNSLTAEIGTSGSCYVHYEGSDPEAGAPWCFQRGSDFSVRDPFACRSS >Et_4A_033559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24478294:24479755:1 gene:Et_4A_033559 transcript:Et_4A_033559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHVVGVGSSNQSSDKEDERVRLSLLDVRREQATLYDPKRRGFRCCRGFESRRSEPVAVFDHEEESTTRIARPLDTIPESKRNFLALALSVLYVKVLASDVGFPISVFGTILMRDALDFKSIYLFKRDTDNCQVINSPDEMLILTGPDRGPFDATTFYFEINLKIKGDDETMDRIFSRTLVKEDYPLDQWSKREQVSSWLSTLELAYRSVHYAVEATVGIKIMKGPRELLGSLTACSTQESVEMVLYDSERWGASAVTADGLVPLPRRLVVLREDEDLILKVCVFGWGRKAKPKTTVLTIEHSDKSFHIKRGSYHLLVTVSWSGILR >Et_8B_058661.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:14718242:14718508:-1 gene:Et_8B_058661 transcript:Et_8B_058661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTKLSGIQRQVLALYRGFLRTARLKSPEERHRIETVVSAEFRENARNVDRRNFVYIEYLLRRGKRQLEQLKNPDITGLSTLEVNK >Et_2B_019641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11670918:11672973:-1 gene:Et_2B_019641 transcript:Et_2B_019641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIVGSPGTPSGLALRVSQVVCAMGSLVAMGNAFGFSNYTAYLYSTFGMSLELLWSFILMCIDIHALKHNRDLHRFDNAWKYVLGDWVCDFVPCLHQSLA >Et_3A_024977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25825316:25827190:1 gene:Et_3A_024977 transcript:Et_3A_024977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGGGSSLPPFLSKTYEMVDDPSTDAVVGWTPQGTSFVVANQAEFCRDLLPKYFKHNNFSSFVRQLNTYGFRKIDPEQWEFANEEFIRGQRHRLKNIHRRKPIFSHSSHTQGTGPLADHERREYEEEIERLKGDNASLTVELEKNAEKKLLTERRMQELENKLIFLEDRQKNLIAYVRDIVKAPEFLSSFVQQPDHHGKKRRLPIPISLHQDANNQGAQIMHEDLTNSPVHAVCKEAFDKMESSLNTLEKFFREAGESFDISYDEVLAGPSSAVVITELHSSGESDPRQPSPPSRMCTSSPGVADSHSSHGVTESTSCAESPPLPQMQLCTDSRAKVSEIDVNLEPAITETGPSRDQPAEDPPAVAPGVNDGFWQQFLTEQPGPDAHQEVQSERREGDNKADRVKIGDRGNFLWGKKSVEHMTEKLGHLTSAEKT >Et_1B_014110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3173725:3174386:-1 gene:Et_1B_014110 transcript:Et_1B_014110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVLLLLLLLAVAASVAALAHGRELPTRIKLIRGADAVALAGDGMECVYTVYIRTGSIWKAGTDSNITLELAAADGNGVGISDLPSWGGLMGQGHSYFERGNLDIFSGRGPCMAKAPCWARVASDGTGPHHGWYCNYVEVTVTGPHKGCAQQLFTVEQWLATDAPPYKLEAVVNNCAGAGAAAA >Et_7A_050912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12385756:12387507:1 gene:Et_7A_050912 transcript:Et_7A_050912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTVLQNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >Et_1A_006363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21377576:21379073:1 gene:Et_1A_006363 transcript:Et_1A_006363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNMDVSTEGMEMFWEGAVVVGLHDKFAFIFTASDVVRDAVDNLSILLAFTFLLNNIQPVLSGMAVGSGWPTLTLVFIISLASLWVSFLDGCSILES >Et_3A_023656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10426061:10428900:-1 gene:Et_3A_023656 transcript:Et_3A_023656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSTRPRARLRRRTVPFATVVVVSMALFSLVCIPTAADARTTLHYHRKQQHRRHHWHWRHHRAANNGHIALPPATPPPDVIVAYSPAEPPGDEDAPPPQPPCGRDGKPCPQSRAPSKAPAPAKPPVFSLPKPPSPGPAKAPASSLSKTPSPAPFKASSHSIAKSPSHSHPPASPPSVPHARAPSLSPAKPPPASSLPKPPSLITPARTPTRSPRKAPAPAPWFPPSKPPSSLPPSRSTPPPCNLAAKPPQVQPPRLAPAKPPMPSPARSPRLFPASPPVARTPEKPSIAPLSPPPQLSPATPQPPVSSAAKPPQLAPATPPTEPPRRHGRVNRPAAPPVSATPPALPPATTTKATPPPLPPAAAPVATNGSSACGGGVFDVRAFGASGNNWSNDTRAFRAAWKAACASDSATLLVPSDGVFTVTSTVFAGPCKPGLTFQIDGVLMPPDGPASWPATDSRRQWLVFHKADGMTLAGRGTVEGNGEEWWDLPCKPHRGPNGSTLPGPCDSPALIRFLASSDVTVRGLRIENSPQFHLKFDACQRVRVDGLFVSSPAFSPNTDGVHVENTTSVQIVNSRIYNGNARPHAKQPTVVFIIPPAGIRFNCIDIPGSVAAAGDDCVSIGAGCSGIHIENVTCGHGHGISIGSLGVRNTRACVSNVTVRNARILDSDNGVRIKTWQGGAGAVSRVEFAGVQMQNVKNCIVIDQYYCLGTGCANQTSAVRVAGVAYRDIRGTYNPRGGGAPIRLACSDAVACTDITMSGVELVPAGGSSAEARLADPFCWNAYGLMETQTLPPLNCLQEGRPESLQDQLTSC >Et_5A_041248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20067434:20068538:-1 gene:Et_5A_041248 transcript:Et_5A_041248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDMKVVRWHENGQTRSFCASRRPIKTLCRLRAVSRSWRSLLSDPLFAAAHGASHPGPLFAVFVIRSRSPGRDSCIDFMDTSGDVVKRVRVAGGLSHRSKVQQRAGMAPERLRVLDPATGAVSILPSFRYDSAVLGRSAAAPTAGGGGDGEYKVVVLTGAARPPSTAQSCKVLTLGDGAWREAPIPPVHSIRGVVYYLADLTSDRPWSSIAAFDLEEERWLPDLLRSPCAHLGRGRRAPGCNLQLGVLRGPVAAAHGLRRGWAAAGLIWCKKFTVLRSRIAPQSWQRFSPQLLWVIDDGRVVFFVNSCIQAAALGMYDPRTETWTKSARLEDCVELLGAGVYTGNLLRVQREQGMDDP >Et_9A_063247.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17418435:17419877:-1 gene:Et_9A_063247 transcript:Et_9A_063247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAWSGLNSGVVLSLIAVLCTVVWQNLQHLQLQQTLGRHFNRHARRLAAMVDPYLSVTVAEYDGGRMRRNEAYEEIKAYLGGACARGDARHLRAEGGKDADKLVLSMADREEVPDDFRGARVWWQAYSTPAPRSDGFPWWGAAAQEDRRFYRLFFLDRHRDVVLRDYLPHVRQRGREAMVRNRQRKLFTNLSGGSWSHVAFEHPKTFATLAMDPARKKEVVDDLDAFRNGKEYHARVGKAWKRGYLLHGPPGTGKSAMVAAMANHLDYDVYDIELTSVHSNTDLRRLFIETTSKAIIVIEDIDCSLDLTGARGNKDKKKDAAAEEDGGDKKKDGKKKENAAGSKVTLSGLLNFIDGLWSSCGGERLIVFTTNHVEKLDPALIRRGRMDKHIEMSYCCFEAFKFLAKTYLDVDSHDLFDDVRELLREVDMTPADVAENLTRKSADDDADSCLAGLVRELRAAKGKKASTSGANEQDEEAR >Et_7B_054382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20679417:20699779:1 gene:Et_7B_054382 transcript:Et_7B_054382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGVGDAATERAASSARRTGRGVLGGAVLGRRHGEAGRRRGDGKAGRGFARPRRLPAQAFEPGMPLGESEACKAGASTLPSVPLHQKRSGKSSAHFGQHLAILHMRGNAAIIADPSSNQIIAKAKDQTHHHDTSEEGNKFSEVKAEDTCLFNESTQKEGKLFRSSSCLSNCNTLNMEVSCINPWGWTQQRTRGLKPCEGCFAWHPLQHTAMVAIENAAERDRMLFTSSTAITKSISNDHLGTYYGNEPAKRLRTDAKDKEQSADGTCSGDLSETTRPYLCTGCDIYLVWEPCAMCAMAPVHQRFKRVFYAFPNPFTGALGGSTGCMGRKMEHASVICQKPPDPYLCTGFDIYLAWEPCAMCAMALVHQRFKRVFYALPNPVTRALGGVYRLHGEKSLNHHYT >Et_10B_003509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2224985:2226640:-1 gene:Et_10B_003509 transcript:Et_10B_003509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGGDATSEPQRSSSAAETHDLPEEGHSAERPFELLEGHKHERLFRWLYKLHDTTESCLEPMRLTEPNELCYPRWTACRRHGGCAMLQIFSLKLTNPPPSLAAAGEPIAIYGFMAVRDLSDSLRNYAFNRSRDDPFIVKNGQGEADDAPLIAGTAVFSNKISGAFIDRITGDLGAAVEVRRARFPRAAEATVQVRIIEFAKQDGNVNGNGNGGLDLSISGFVPTIPDENIMLFRGVVDHAPCELGRFVATDSYLILRLEAHRDDGDGAGSWSWARRVGKFAFSATVHGSITDRRKFGFATVEVKVTWSNIVTYPDDMM >Et_8B_058747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20277384:20278840:-1 gene:Et_8B_058747 transcript:Et_8B_058747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRGDSGLRDGAQHNLQVDLVGGYYDAGDNVKFGLPMAFTTTMLAWSVADFGKFMGGGELAHARAAVRWGADYLLKAATATPGTLYVQVGEPNQDHRCWERPEDMDTPRSVYAVTADKPGSDVAAETAAALAASAVAFRRADPAYASRLLHAAVRTFEFADRGVVCPFYCSYSGYQDELLWAASWLHRASNNASFMSYVQANGLQLGAGDDDFSFSWDDKRAGAKVLLSRAFLRLQLYKSHSDSYVCSLVPGTSSFQASQYTPGGLIYKEGGSNMQYVTTATFLLLAYAKYLRSAGACAVCGGRDVAPGELVALAKRQVDYILGKNPAGTSYMVGFGERYPRRLHHRGASMPAVRDHPGRIGCDEGFRYLHSQDADRNVLVGAVVGGPDARDGFVDDRDGYGQTEPATYINAPLVGALAFFAGTVKH >Et_10A_001071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20859867:20862309:-1 gene:Et_10A_001071 transcript:Et_10A_001071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRAAVVLLVAAASVAVALAHGGDGPKFGYVPGSANGPENWGKLRPEYKLCGDGKRQSPVDIVTKQAISTPNLDSLARVYAASNATLINNGNDVMTHQLIVGCARACMQLTFESKVGSITVNGKVYNLEKMHWHMPSEHTINGKRYPLEMHLVHRSDAGDLAVIAILYQYGAPDSFYFQLRSKLAELATEACNFAEEDAQVSAGLIHMRSLQKRTGSYFRYLGSLTTPPCTENVVWNVLGKVRQISKEQVAQLASLLPPRDSARPAQPLNGRTIQFYNPPNSTISFQHSNNQPGKPRPNYYPHRTGYKGPDFATRPSFSISAAQSAARLPDENRRIPPSPGAGDSVSISFISHRLAAAADGSGGGGGDWSTHSTALFLDPLRRIRKPNPPQQPAGLGPGDLDGRRRNERSRSERTFPKQDTLGDPGSGTAGLAWRPPPPVLAFLGKMAGGWWRRAPPPPPPWPPSFPGRPMMGAAVGLRLPENLCDDAPPPAWWCGWACCLRTHLGLVFSLSESSRS >Et_1A_005656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12468311:12470970:-1 gene:Et_1A_005656 transcript:Et_1A_005656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATGGWRPVAILFAFASLSCLLSSPVAFAAEATEVAGQAAHRNTERIAEHPLAGSAGDVLEDNPVGRLKVFVYDLPSKYNKRIVTKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPEEADWFYAPVYTTCDLTPAGLPLPFKSPRVMRSAIQYISNKWPFWNKTDGADHFFVVPHDFGACFHYQEEKAIERGILPMLRRATLVQTFGQKNHVCLKEGSIIIPPYAPPQKMQAHLIPADTPRSIFVYFRGLFYDNGNDPEGGYYARGARASLWENFKNNPLFDISTEHPATYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVDEEEVPKLDTVLTSIPIEDILRKQRLLANPSMKKAMLFPQPAQPRDAFHQILNGLARKLPHSKNVYLQPGEKRLNWTAGPVGDLKPW >Et_9A_062963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7604947:7607441:1 gene:Et_9A_062963 transcript:Et_9A_062963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLTRLFTSTHIAMASSSSSSPSRRLARITRHLASSSASSSGELTSVGAPAAAADAVPARPRPVSSKAHAAVLVCLFEDPSGGPRVLLTKRASSLSTHSGEVALPGGKADEEDADAKATALREAKEEIGLDPALVSVIAVLEPFLSKNGLNVVPVIGMISDKALFKPVLNKAEVEDIFDAPLEMFLKDDNRRTKEMNWMGVDIPVQFFDYQADGKNFVIWGLTAHILTRAAAVIFQRQPSFVELPRPRYASSPADGDNEPRS >Et_1A_005379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:177280:180581:1 gene:Et_1A_005379 transcript:Et_1A_005379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIDPPPAAARARRPLARVRLYPSMAPLPLLTLSPSMPSPHTSRATAPPSSELPAADAAVVRCALESVRAFFRARDGIHVYRPGRDLDDGELSPACMADAFRCLGKAARAALCAMAQSLCLRSDAFSHLLDGTPLPINEVSASELLVAFSHGRLQSDQTHVAGLRCAMAEVDRGFVTLIASDHPGVEVCNPNGHWYLADGASSPNDLLLLAGRALSHATAGLRPYSQYRTTNNENRASLIFRLMPRANSVSDCSPISAAGHCIPHIYRPICAGQFMDGSSPESEGNFVSEPSLRSVLSDPLSGAVLEDAMVLSCGHSFGGLMLKKVLEMARCTICNGEIDPDSLFPNFALRAVATVVKMEDDRRLYHNAALRKRRKKVTELKGSRGSRDNCEVGLDAENSTTGNKRTPEKFVGKEAVITSQGLNGWYLVEALDSGESIRLQYRSLKKASELEMHQRRSRGGACAE >Et_2B_019375.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2589063:2589158:-1 gene:Et_2B_019375 transcript:Et_2B_019375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILNTSFSGAPEQLVYGMRSDFLLHIQIVP >Et_5B_044120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20233500:20240386:1 gene:Et_5B_044120 transcript:Et_5B_044120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLHKIKARTLNAGKPKCIVFHLHEGNVVRRIRRRVNHRYGILDPVILDNRIAPPNREVDFVSDKGNSPRLNIGRLNEFLEVVRGNKDHTEGAAVAVDVVAAAHSAEHSGGVDEPIDARIRHELSVTRDRVPDGETAIHVEEDAAMAAVEGPVKGGIGGEGRRRGGDEAWVGISFDDLAPLDVAEQGRRPVEGAVVAAEVGAGEDAAPRLADEGGAEEVLGLVRREAEEDLGGEVVDELRRGRRHDHSEGAAVAIEVVTAAHGAEHGGGIDQPIDASLRHALLVTRDGVPYDEIPTIVTRVEQDAAMVAVEGPAKLGTRGEGYCCGGVSFHNSASLEEAKQGRCPVEGAVAAEEVGTGEDAAPRLADEGGVEEVLGLVRREAEEDLGGDIVDELRRWRRRRHGARPGVGGAVAAAREIGDGSRSGRV >Et_6B_050159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7944146:7947057:1 gene:Et_6B_050159 transcript:Et_6B_050159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIQEQISIDMQALLDAQLDLWHNTFAFIKSMAFKAAMELRIVDAIDYHGGAATLPQIATKVILHPSKIPCLRRLMRVLTVTGVFSVIKNPTGDQIYQLTPGSRLLIGSSSLAPTLSLMLNNIFVSPFLGFGSWLEHELPDLPLFEMKHGQTIWEVVGHDARMSTLFNDGMVADTRFIMDIAIRECGDVFQGIDSLVDVAGGLGAAAQAISKAFPQMEVSVLDLAHVVNNAPAGTKLKYVAGDMFESIPPANAVFLKWVLHDWGDSECVKILKNCKNAIPPRDAGGKVIIVDAVIGASPSNIKLTETHVLYDLFITVANGIERDEQEWRNIIFGAGFSDYKITPVLGVRSIIEGRVRLVVAAVLGEDEGTRLKLALVLIDKIRSLSLKRRARWVGKLRQQLLVAVERDADELLAVGGDTRMRWPHKVLWRGWRRVA >Et_1B_011363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20996170:20998507:-1 gene:Et_1B_011363 transcript:Et_1B_011363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPVVVELNNGRISATITTWGATITSLLVPDAHGILADVVLGFDTPEPYMKGISPYFGCIVGRVANRIKDGKFNVNGVDYSLAINNGPNSLHGGLKGFDKVVWDIVDSKLGQCPSITFQYHSKDGEEGYPGDVTVRATYSLPEATTLRLDMEAIPHNKATPISLAQHTYWNLAGHESGDILNHSIQIWGEHITPVDENTIPTGEIMPVKGTPFDFTTEHKIGERINDVPAGFDHNYVLDCGDEKNGLKHAAKLRDPSGSRTLDLWTDAPGMQFYTANYVDGITGKGGAIYEQHAGVCLETQGFPNAINQPNFPSVLVQPGENYKHTMVFEFSA >Et_2A_015358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11570927:11571891:-1 gene:Et_2A_015358 transcript:Et_2A_015358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTEFHPQCVTKAPVEGWRSTSACGAHDLRTRPRSLIRSKNPSGRSTSRSGSGRGSKNFFWSLVLAGVRTTQRKRFLDLSKPTAISLSCSTENVPLLPKQRNTTLLSGCARDAPANDGADAVDRWQHPAGDASWIPERLHGPALQRLERVHQDAVGLRVARPDVHHGLAQILLRVLAQFLHQMRRRKRRDAEELERRVPELLQPRRPAGEHRRQLRHDGEEGGRRLKEGVDRDADLGRGVDGVGGEHGGDHEVQAIARDGPEEALELVVVAAEQLQRVEERGFVGAGDVGGRNDLRQDVERDGR >Et_6B_048325.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:12497316:12497543:1 gene:Et_6B_048325 transcript:Et_6B_048325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEGIGAAIRVPESKTKENIAAVVRELIAGEGRGAAVRAKVVELQKAAAQGLRQGGTAASALASVVEEWVAGEN >Et_10A_000545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12555360:12557794:1 gene:Et_10A_000545 transcript:Et_10A_000545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVRGEWCKMSGNPVGVDNTSRRKFDKEEYLERARQRERQEKEDARKGKDRGPPVQRQPLKHRDYEVDLESRLGKTQVVTPIAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLEQVQKRFEALKKRKDSSTFSEQDLDERIMKQQQEEEERKRQRKEKKKEKKKELAAQHEPEDVDPDIAAMMGFGGFGSSKK >Et_10A_001456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3566299:3569229:-1 gene:Et_10A_001456 transcript:Et_10A_001456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQDSGPAWYHHHSTDHGEATIVGNEGAGGFLDIGDLFELMWHGGGGDSRKDHVQHGLPMSPEPPSEDEMAAWLYPIVLGDVKPVASRLDQPACCDVIDGTAEGDQLEVPASPTMKTEVTCTEDIGNDYCHTRKPAARGRRSHHSETHNLTEKRRRLKINEKFKALQQLVPGCDKCNQASILDQTIQYMKSLQQLIQAMDIGCRRRSASATVYPVVKPSYPAPAAVTAGMLPPPQAVIAPGMLLSGHVRSG >Et_5B_044709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4941663:4942036:-1 gene:Et_5B_044709 transcript:Et_5B_044709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAISVTLALLLLFVVTAAFQDLAVSADGGVPPDGACDAKCRLRCSEKVAGRCMDYCMMCCGRCAGCVPSGPRASKDECPCYRDMRSPKSGRPKCP >Et_10B_003877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7032228:7048013:-1 gene:Et_10B_003877 transcript:Et_10B_003877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHEYRLAGARLVPWRRAEAEGWLLCRVFLKPGSTISEEEQEAVQDEEEEEAVAEDSERRTLFRHPLVSAAVPAPRRLQGLPPGFRFQPTDSELVVHYLRRRAIGAPLPAAVNIPDVRILSHEPSDLLPPGWAEPERYLYTRKEAKYRTGRRANRATGAGYWKNTGKEKPAVLVGLRRSLVFYRGRPPTGSKTDWMIHEYRLAGAGLVPWRRDEGWLLCRVFRKTGSAIPGQEEEEEEAVVEDAEQGEEDAAPASGFIDFFARADAAQQQQGRVSPPMVSSSYLTDDMKRALVFHRGRLPTRTKTDWVMHEYRLAGAGLRPWRRAARAEEWLLCRVFRKNDSLVPEDEERTRDEEKGVVEDEEEAGEGTAAASGFIDFFALADAAQQQQQQGRASPQLPPGFRFEPTDAELVVHYLRRRALGVPLPAGVDISDVRILAHEPSDLLPPGQLNCSLLSRLVRFVSWADQSWADFCVVTGWAEPERYLYTRREAKYRTGCRANRATGAGYWKNTGKEKPVFAGAGGQAAVLVRMRRSLVFYRGSPPTGTKTDWMVHEYRLAGAGLLPWRRSEGWLLCRVFRKTGSAVPVVEVEEEEEAVVEDTEQEEEDEDAAPAAGFIDFFARAEAAQQQQQGRGSLPVVSSSCLTDE >Et_3B_027985.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:29861405:29861410:1 gene:Et_3B_027985 transcript:Et_3B_027985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding M >Et_6B_049592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:743624:744647:-1 gene:Et_6B_049592 transcript:Et_6B_049592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLALLICFLFLTAVVSAEVIASSGVVEDDGLDEGADDHKVGIHRNYEHHRKIPNRLTGTIWPVQGSNDGKGNLKPSQCAGECDRRCSRTHHRKPCLFFYNKCCAKCLCVPPGYYGNKETCPCYNNWKNKRGGPKCP >Et_4B_037675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22427130:22433626:1 gene:Et_4B_037675 transcript:Et_4B_037675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVLSLDPASSPLLPAAAVGRVPGPLLNASWGLCRPLRAAFVAPPAAEEPSAAARGRLESLSQVTGVLGTQWGDEGKGKLVDILAQRFDVVARCQIKVSYP >Et_8A_057396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2716814:2724236:1 gene:Et_8A_057396 transcript:Et_8A_057396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALFDAFLMDKDGTPSLSHGFRRVDVYPPASGSYFFGFLNFAKGTDLESEYMVDGHVTVMCGVVVLRDNLITVPSSDIGDHLGCLLDRNDGSGVICNNNEKQNLGCVLCRDRELVNSFHYLKEKKGSDVMFSVDGEKFRAHRAVLAARSPVFNAQLFGPMKDAKMACIELHNIKPATFGIMLRFMYTDALPRDEEIKSSSIMELFQNLLGAADMYHLDRLKLLFMEQLSAETVATVLGCAEKHNCAELKNRCLDFFVVEKNFKNAVLTDGYFCLMHSFPSVIDEIRARVQNTFKLDYAVTKNLAASAVICSDEISAGGHIWRMRCFPHRYSTDDSGVYLAFFLDSKNVKAIFDVTLMGSDGLPSPMHAKRLLHEYSKAFDSVGFPRFMKRSNLEQSGYLTEGCASFVFGVIVLRNNNPVTVPPSDIRGHLGHLLDCNDGSDVSFSVGGETFHAHRSVLAARSPMLDSGFFEFKLDYPQTKDLAIGDAVYSDNIFAGGHVWRIICYPRGDNEEFRGEYISIYLELVSHGARNVKAIFDAFLMGRDDGAPSPGLPRRCAHVNQPNNGCGPWGWSRFTAWRDVEADSLTDGGGSVTFVCGVVVLRAGAGDGGASTSVSMPRADVASHLGNLLQNGVCSDVSFRVAGETFPAHRAVLAARSPVFKAELFGPMAEATMPSIRLRDIEPDTFRDMLHLMYTDKLPELKDFSSTTTMAAAIEHAEDVRRHAALHEQRFQALLAAADRYALDLLKLVCAQKLCDVMSLDNVTAILRCAELHSCPVLKSKCLDFFTEEKNFRKLVLTEGYLQLVQSFPSLIEEIKERIENQDLLQEKESRMPGFLNLSLWNFLKALALDVDK >Et_8B_060874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9908434:9910435:1 gene:Et_8B_060874 transcript:Et_8B_060874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRIREEANPKSRITISNKEALSWKRTNGDNLFGVILRYKEMDNVEIPNMQFVQTIEAKTVQEAVHEMAKYLEDTGRPNHVIYFHGWNGLGASAVLKSAATDPPPSLRSKFDSIIHIDCSRWKNRREIQRIIAQELSLPEHVMDIFSTQDEDDDFAGVDEDSRGEIRSVGGIIYEALRGLRWLVVFHNGSKGMVNFNDFGIPQPDQWSSIMGAKTANLGTPLSGL >Et_10A_001021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20267396:20271075:1 gene:Et_10A_001021 transcript:Et_10A_001021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITFVCNHAVEKRTSETETLVRRFTKVDLPESSFVVQSPYDVPVDHRYRYDDATGVRTFWVYADDKPINPITTTNPHTEEVRIRGYGYVPSGTSGASVMQIHNENGAVPATAMLLHVYDGTLRKYSGKAVEDCIYDRWFRLNVVQDVGASTEKFSTSVKPSASHYFKFGLYVQHPDVSVRMESRWRNVSLLPSSHLQHRHATSAMIMLPVVLAVVVLCVAMSTPAAAVVGGCNLTAGFTKVDTPESSFVVQSPYDVPVDQRYRYDAATGVRTFWVYADDKPINTVTTTNPRTEVRIRGYDYSSGVWQFEGYGYVPSVTSGASVMQIHNENGGVPATAMMLHVYNGTLRYYSGKAVEDCIYDRWFRLNVVHDVGASTVAVFIDGEPRLVDVAVKPTASHYFKFGVYVQHHDQSGCMESRWRNVSVYTKPY >Et_8B_060308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9054622:9056046:-1 gene:Et_8B_060308 transcript:Et_8B_060308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGDCPPRPIACVYSSETGTWGNIIRDDPCRIIDFTGPIVLIANALYWMISFGGREDEDGYCIPEPDGILEFDMERRSLTVMKGPPMEPRNSLQIVKTTDGAVGLAALAYPMILQIWHRNVSSHGVATWVLFQTFDLNNILVLHEQYRGPLQRRREHILGYVEDEDLIFVCVHSSLFTVQLKSLQAKRHHERIERMYLSICHPFKSFYNTGDSLVPAGPSNKLFLVFCSKVTVCNGPSENQYSSGTANAGRCDGDE >Et_8B_059588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19590107:19594294:1 gene:Et_8B_059588 transcript:Et_8B_059588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNAALCRPTEWIGRAGVAGERDRGAEEGNRISGGTRAKQRECRTDGDDRRRDTLRRWHHQWMNRRRHGGLGRGEKVSASGHGVVRRGCCGLGPLPSLDYLGGSKLERSTKNWCARRRLVGTPWRTVAAALAFTGRHIAKLREMLVSSSCPLPDLKDRWRVEFWYLGIRPEDIARSVGGVEALAVAADASEGLWLPLSFSAGVVLAKSPVQNQWWLPTVGIIERRRRNVACGEGEVGSLNQSSVVAAAGLVDLGRVEVVVEPHLVELQALGYGCLAAADPGA >Et_1B_013524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9226216:9228468:1 gene:Et_1B_013524 transcript:Et_1B_013524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPERPRPVTSFTVILLLVPLVFSPVASAGTETVAPPAMTRDLMRSLRLRLIITTVDSSANEPYRQPKGVCVSPGARFPAFSSEGKPPGKAPKGRRDLALCRIFSQKTCCDVTQTFPALVSVRNLALTGEGSQECLHLWELLECSICDPRVGVRPGPPVVCASFCDMVFKACSEAYFSVDMKTQVLSPCGLGDILCGKVHKWVSNGTDLCRLAGFSVQVSETSSGGVDDTFCYGGKTSFDSISDSWTSSKDRPTLSSVASWDLQDFKRWATEMPVGERVSWAIGGMVLTAGLIFIRLFILPSLISYSMYINSKRKSLSHSQKQAAIAHTMRLRRLDPRANPQQPRRS >Et_8A_057688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6021259:6033047:-1 gene:Et_8A_057688 transcript:Et_8A_057688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAYARATRHTPVRQLFIPSSLSAPRIPTTGPRAAPGAIYIDLHGSALLVLINRLCCEHERDRGAENRSSSRGQPAMWAPSRVSSGSGRRTGHRRIADYLADDWSTDAASTDNESFITACSDEFFASTSGAATAGMLPAFLADQSDLVEVMLELDEESMVVRSVTPTAAAALYAPTTGGAGAHTPDDGARSLSRCSSTASRIRRKFAWLRSPSPSTSLRRHAAPAPPEPHQPPPREAALAARERRRLQARQLNRSRSGARRALKGLRFISRTTTAAGGGEEDPGELWRRVEARFNALARDGLLARDDFGSCIGMAAESKDFAVGVFDALARRRRQNLERITKEELYDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLSKLKEQAEEYAALIMEELDPENLGYIELWQLEALLLQRDAYMTYSRPLSSGSATQWSQSLGGVNGGAGAQPPHQGGGGGRGGAAATPRRWRWRWRWSPRRAAARARVAAEENWRRAWVVALWIAAMSALFAWKFAEYRRSPAFRVMGHCLPVAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDSIAFHKIIAVAIALGICLHAGNHLACDFPRLIASGPDEYRLVAGFFGADKPTYRSLLAGKEGVTGIVMVTLMAVSFTLATRPFRQWEDHQPAGAGRPRKTSFCSPLLRRLAGFNAFWYSHHLLIVVYLLLLAHGWFLFLVHRWYQRTTWMYISVPLVLYVGERTLRAFRSKAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYISVHIQTRGDWTQELKRIFVENYFTPCVPRRTAFGELGMAEQKSPPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELMDLAMETSRSEDSANSFSLSTVSSTKRRAYRTSSAHFYWVTREPGSLEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASAHPNSTVGVFYCGRPTVAKELKKLSHDMSHKTGTRFHFHKEVQKSSIAGLAKITYKLLSVHTQPRNLSEQQQLTELGEVTRALLHRAVDRLLQLCQVGGLLQ >Et_1A_008051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40429652:40431278:1 gene:Et_1A_008051 transcript:Et_1A_008051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTAASVSLSKGAAAAPSLTACSSHFLAFPRASATTRGVRAQVSTTETAAAEAAAPAKKEKISKKQEEGVVTNKYKPKEPYIGRCLFNTRITGDNAPGETWHMVFSTEGELPYREGQSIGVIPDGVDKNGKPHKLRLYSIASSAIGDFGDSKTVSLCVKRLVYTNDQGEIVKGVCSNFLCDLKPGAEVKITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKMFFEEHEDYKFNGLAWLFLGVPTSDTLLYKEELEKMKEIRPENFRLDFAVSREQTNAAGEKMYIQTRMAEYKEELWELLKKDNTYVYMCGLKGMEKGIDDIMVDLAAKDGIDWLEYKKQLKKGEQWNVEVY >Et_10A_000685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15521383:15529928:-1 gene:Et_10A_000685 transcript:Et_10A_000685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVRRRRRGSLLPVVAVVLFFLAVGLAIVEHLQPRDGRSSEEGGFKIRVRYPSAEDSEWLDRWEAKYKNAPPGSSAQHGGFTVTPATDEESARLNRIFADADKGAPGYDGRIEWDDNGRPSQLLPVAVFLLLLAAAAAAAEEGDPKISVRYPSAEDSEWLDRWAAKYRKAPAGSGSAAQHGGFTVMPATDEESAHLNRMFADAKEGAAGYDGRMEWDDNDRPRIVVDAVHHSAADSSTKVDGDDDLGSRGEKARVEVTIGDLGFHRIPLAFPSTIIQYIGIFGQMIRAVRIISLPYTFSWHERSVGDGEILSGTSSARVDWSETSSAAPLI >Et_7B_055580.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:15344845:15345108:-1 gene:Et_7B_055580 transcript:Et_7B_055580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATFATGSRAGDAAALGRLLSTRLEDGVASELTVDLDLYRPVLAGRDGSPSPLNADLPACFGPCPPRGRSYTGRGCEKAFQCPGGK >Et_5B_045286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16617113:16617846:-1 gene:Et_5B_045286 transcript:Et_5B_045286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLAPYDVVRCEAEEAKDGKLSRRYRTTPMCKWLAHNEDSVSIAALALLKQDKVLMERAGESSFVYYLKHAMMDSGIPFIKAYDMCRIIRAPHNAYPRSNHMFNKDMNNQSVIITKRLLDFYESFEGVSTFVDIGGCLEAT >Et_5A_041613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2567233:2568487:1 gene:Et_5A_041613 transcript:Et_5A_041613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRISLLCFLILASSLLHCARSDGNDAQLLKGINSYRSSQKVPALSENKNAACLAEQLAKQFKGEPCTNTTGANTVIGTEQQFPDYPKYLDRCHLNASVTEDGQVMPACVPGLSPFDVVLTNYTKSQYNQFLNDTKYSGVGIANEGDWVVVVLSTSTDSGDYSPAPPGSASGNWAAASVRPFRHMVLLLVGFVILMMK >Et_4A_034992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8607922:8612580:1 gene:Et_4A_034992 transcript:Et_4A_034992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEPTTLALPDDALAAILGRLPPRSLAACRCVCAAWRAVVDGRGLLLPHVLPHAVRGIFVNYIDYRRPRFFARPSASSAVDGNLDFLPGYGTSFRPILDHCNGHLLYGGASREMYVANPATRRWQRLLPPRNDGGTDQYNAYLVFYPAVSPHYEVFLIPRVQEKPRPIDPRYALPIGSRKKRDARPVCPRKKRVDPTTPNLSYLFSSPDDTLVIEEDTEDNFGEESMELSPASSGEEGLLPTISTPSSSSWMDSEPEEPYCSMEWPPSPFTFHVFSSSTGCWEQRSFVREGEAIGTVEDVRLDSLRPMYWGPRLRYAVYWQGALYVHCRGAFIMRLSLINGTYQVIKTPIDIEEGKHARPYLGRSESGVYFATIHDNYLLRIWILDEFCGNMKWVLKHNVDLERSALWVAVQINYRQRIAGPWILEDNTSDDDDNNEMIPKQDFEWDSDNDNVLEHEDAGEDRFEYIYFLGFHPYKEVVFLKVSFTGIAFHLNTSKVQYLGKLRPKDYYYTYAAGAYDPPARGAGVTEASRASMEATKSTALPDDALAGIFVRLPVHTLAACRCVCKAWRAVVDARELLLPHALRGIFINYSDYPRPGLFFARPSSARPAGIIDGNLGYLPATTSSDISVLDHCNGLLLYGNTRELYVVNPATRQWERLPPPPDASEDLAYLVFDPAVSLHYEVFMAPRVPEKPRHLLRPRDDVPERLSGAATAGLVAIEALYPTRQAPPSSWWEDELEDPYRSMEWPPPSCTFHVFSSVTKRWEQRSFVREGTAVGTVEDVRLDSFPWMYLGPRRRYAVYWRGALYVHCQGAFVMRIPMTSSRYQVIDTPIGIEEGKRGRSYLGSSEKGVYFATFVDYYLLRVWILDESRGEIKWELRHDVDLESSALWAALHNNNRQQIDGPWILDDDNNDPDNLNTMAAKPFFDWDSDDDNVLDYEDKDEYEQAYIYLLGFHPYKEVVFLMASYIGIAYHLNCSKVQYLGKLYPKDYDLSSVRGVHESFPYTPCLVGELLGTIQ >Et_8B_060197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7128703:7130510:1 gene:Et_8B_060197 transcript:Et_8B_060197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPRVHADSPQTAVPTRAVEPGRTRRIAVAAPPLPASALQRRARVVLYYKSADAAALWEEAVWAKESLSEAVADHPEMAGRLRRRAADGSWEVKLNDAGVRFVNATAEAAVDEFLADERRRESWEAALAPWADVNADDPDMCALFYLQLTRFQGDTGYAVGVSCSLLLCDPLSLARFLLSWARTHAEMKANDHKRATNPLMQYARYFQRPDAMAVRPLAKSIPLDDTPPAGAGDDDSRTAAVETTVLFRARAGGAGKDAPVDHRTLAAACVARVSERLGGDAPPRFSVVVGDGREGMSIETACTADDAQPGSSSGQHELEVAQWQELGLEELVLRDSKPVHVSFSIVTSCGDEGVAVVMPDGDAGDFLVTATITK >Et_4A_034154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30330604:30331923:1 gene:Et_4A_034154 transcript:Et_4A_034154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAEVSALPLAGAARQGEGGGMVPSLLPPFFMGSIWPASGGAGGSSSASEEDEATAAAAAHDRALAASRNHREAEKRRRERIKSHLDRLRNIDKASLLAKAVERVRDLKQRVAVAGEAAPAHLFPTEHDEIVVLASGGAVFEASVCCDDRSDLLPELIETLRALRLRTLRAEMATLGGRVRNVLVLARDAGADVVHGGGVITGDDGYGRADSAGSIDGNGAGGDFLKEALRALVERPGGGDRPKRRRVADMNMQAAA >Et_2B_020535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21084778:21088898:-1 gene:Et_2B_020535 transcript:Et_2B_020535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFPPPALAPKPPFPSALRSPQYTRVTCASTISVASVSSSPCPPTSSSPSTSSPAATSGGAGGKNGKKKRRPLKPSFEKQALRRWSARAPSQRSSFPWEQQQKQSPSPNRFDQESDGARCKESGDGGSSATLRSIVDYFGGGSADDGVDDVGEEEGAGKNSAAWGKAAQDRSEEEHFQPSYLLDNRVIAAPWIHGEELANDQWVSVSVAEGEEGVDMDGVSGDELGSVNGDEDEVNNGETLSVCSEEEMYENFAAMTANSSYRMDLVVDQGSKGDRSWRQSSVKTIVKKLRNSMEESSPNVAIERPNAEDFVQKLGPVLLPWEREEDDEEEFGADRQGKRSNTELAERTIPEHELRRLRDAALRMKERMKIGPGGVTQDVVDSIHKKWKVDEVVKMRFEGPPSLNMRRTHDLLEDRTGGIVIWRSGRSVVLYRGMNYNLRCVQSYAKIAEVDSNKGVGDAHTSGPSHRVHSLQNSSADSVKCPSSIVNSSPEDTKTFDIDSFLDELGPRYWDWSGRNPIPVDADLLPGLVPGYKPPFRLLPYKIKSTLTNKEMTSLRRLARQTAPHFALGRNREHQGLATAIVKLWEKSAIAKIAIKRGVPNTCNDRMAEEIKKLTGGVLLSRNKEYIVFYRGNDFITPKVREVLVEKQEQAVTQQDEEELARLKASASIATIPKESKGPLVAGTLAETTEAKSRWGDALNDKQREEEMKRLAFAKHTCLLKNLKRKLISAKTKVAKAEKALSKVQQFLSPAELPTDLEAVTDEERFLLRRIGLKMKAFLMLGRREVFDGTVQNMHLHWKHRELVKIIVKGKNFAQVKHIAISLEAESGGVLISLDKTSKGYAIIFYRGKNYRRPQILKPRNLLTRKQALARSIELQRREALKCHISSLQYKIWKLKSQLVQMKFASEKQDVNLLQTVEDDLSSDDDDDVEDEGEEAYLQTYSSDDEEDSEADSNEYL >Et_1A_009057.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27580245:27583301:1 gene:Et_1A_009057 transcript:Et_1A_009057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGVVIGEERPAEDRAGENGGAPEEDGAGDVEVAVKPLAVEGDGREVAVEGGDEGDARADVKRPPGAVAEQEGGGEEVGGKNGGEGPVGSELNGEGPGGGAVESDAAGTEQPVVVDYHALATPKTRVALENGRLAAVEWDEDSDSSGADDDANPEILEEKAMAKVIQGYVTEAVLAGHQKDLPTQSGSKDQSGKSGEEIASNSAQSGAEPAVVIEELDDLSSTDDENTATSAPPAQTTSGSSSSPAPSSSAASSRSNGPSLPSRPAGLGSSSSLSQPPARGVQRVRSNGPVALDRGSQQATESAEDDGDENDEIHEKLQMIRVKFLRLAHRFGQTPQNMVVSQVLYRLGLAEQLRRSTGHGTFGYDQARETAERLEAVGNEPLDFSCTILVLGKTGVGKSATINSIFDDTKLDTNAFDSSTTRIQEVIGTVQGIKVKVIDTPGLSCSSLEQHHNQKVLNSVKKLIGKNPPDIVLYFDRLDMQNRDNGDVPLLQTITRVFGASVWFNAIVVLTHAASAPPDGLNGIPLSYEMFVTQRSHVVQQAIRLAAGDVRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPQLLLLCFASKVLAEANVLLKLQDSPIGKPSRTRIPPLPFLLSSLLQSRAPLKLPEEQFGDDDDLEDDLADDYDSDDGSDYDDLPPFKRLTKAQLAKLNKAQRKAYLEELDYREKLFYKKQLKEERMRRRMMKKMAAEASARGNDFSNINPEDDSNTPTNVSVPMPDMVLPSSFDSDYPSHRYRFLDTPSEWLVRPVLETQGWDHDVGYEGLNVERLFAVKGKVPLSVSGQLSKDKKDCSLQMEVASSVKHGEGKTTSLGLDLQSVGKDMAYTVRGESRFKNFRRNNTVAGVSATLLGDSLSAGVKIEDKLIVNKQLRLLVSGGAMSGRGDVAYGGRLEATMRDKDYPIGRMLSTLALSVVDWHGDLAVGCNFQSQIPAGRASMLVSNANLSNKGTGQVGIRLNSSEHLQIALIALVPIFKNIRKLWQSYSESI >Et_1A_008679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9831034:9833528:1 gene:Et_1A_008679 transcript:Et_1A_008679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLGLTSRVAGIFTTPSVEHSCSRRSRFLPRLLPSKRWSGVVRMGAVVGGEQEGEDEEMRQVKEQAAARRRWEALIREQKIKTLTPREAGYTFKLTDKALLDVRPSNERQKAWVKGSTWIPVFDVDTSADLNGLSKKATNFVMGGWWSGSSTLSFNKNFVQQVEEKFSKDTDIILVCQKGLRSLAACEQLYNAGFENLFWVQGGLEAAEEEDFEREGPQPFKLAAIGGVSEFFGWTDQQRAQAAKEGLGYRLLFTGRLVGALVLLDALFFGAQRIGPLLQELQSH >Et_1B_013888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22430934:22431302:-1 gene:Et_1B_013888 transcript:Et_1B_013888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLQLLRYCNQGLLINFKNGDKENFVEARNADGAVHGGDQIWCNCSLRRQSKIDAGDLQMAWCSSNDTPLSPGTMAELSFAMHQPSNAAIDAISSNNISTDLASPDMGGDHE >Et_7B_055947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7950642:7953080:1 gene:Et_7B_055947 transcript:Et_7B_055947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTAIVESDPLNWGKAAAEMAGSHLDEVKRMVAQAREPVVKIEGSSLRVGQVAAVAAAKDASGVAVELDEEARPRVKASSEWILDCIAHGGDIYGVTTGFGGTSHRRTKDGPALQVELLRHLNAGIFGNGSDGHTLPSEVSRAAMLVRINTLLQGYSGIRFEILEAITKLINTGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAVTVDGRKVDAAEAFKVAGIEGGFFKLNPKEGLAIVNGTSVGSALAAMVCYDANVLAVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILDGSSFMKQAKKVNELDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNARLAVANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLANPITNHVQSAEQHNQDVNSLGLVSARKTAEAVDILKLMSSTYIVALCQAVDLRHLEENIKESVKNTVTQVAKKVLTMNPATGDLSAARFSEKNLLTAIDREGVFTYAEDPCGAGFPLMQKLRAVLVDHALADGEEASASMFSKITKFEEELRAVLPQEVEAARVAVAEGTAPVANRIKDSRSYPLYRFVREELGCVFLTGEKLKSPGEECNKVFLGISQGKLVDPMLECLKEWNGKPLPIN >Et_3A_025424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29827195:29830765:1 gene:Et_3A_025424 transcript:Et_3A_025424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMYIAREATKLWRKVSAETALELQLLLEKWRLLLAGLVFQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGRERSFVSESVFAFIFISFFLWTFHPFIYHSKRFYTVLLWRRVLAFLVASQFLRILTFYSTQLPGPNYHCREGSNLATLPPPNNVLEVFVINFPRGVNFGCGDLIFSSHMIFTLVFVRTYHKYGSNRLIKLLGWLMAILQSLLIIASRKHYTVDVVVAWYTVNLVVFFVDKKLPEMPDRTNGLSLLPVSVKDKESRMKEEPHKLEKDSRIRDEFHKLLNGNTTDATDRRQRVQMNGKHGEDMNHSVSDGTPPGT >Et_2A_016711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27384471:27389107:-1 gene:Et_2A_016711 transcript:Et_2A_016711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGRPVRLVLEYSVLLEPSASAAGGGGSGEAALRPGAEALLRRLRYSNLGVSGFLGKIASLYSFEYMPLPATSGNCSSNDLMLEWSRTSLCFYVTSSIDKGLFSELHNQNWRILYVGNECSKDPGVFNVSRLHELLLTLATLIKREIGSSSVLVVGYLMKQSREEDFAKASFLQIRGAFPLYSTKNGLIFVPLSFELSISWQLQEVDIVLHKVTDEIVKIDPNCSIEFPKGISFSAGMSEIIRFVEEHPDFCIIDPFKNIYPLLDRQQIQQILVRLQEFGTERKPRLRAPRSLKIENFDDDKLEKQLAEADLTFPLIVKPQVACGVADAHNMALVFKFEEFNNLTVPLPAVLQEYIDHGSKIFKFYVIGDKVFHAVKTSMPNASFLKSSSGGEPLTFNSLKTLPVATKEHLQQTRLQDSKLLDSTLVEEAAKFLKDLLQLTIFGFDVVVQEGTGDHVIVDLNYLPSFKEVPDSEAVPAFWDAIRTAYESKIGQSHT >Et_5B_044101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:259850:262461:-1 gene:Et_5B_044101 transcript:Et_5B_044101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATKERRLPPALPLATLIGRELRAGGSERPTLRYGHAGFAKRGEDYFLVKPDCLRVPGDPSSAFSVFAVFDGHNGVSAAVYSKEHLLEHVMSALPPDIGRDDWLQALPRALVAGFVKADIDFQRKGEVSGTTATLVVIDGFTVTVASVGDSRCILDTQGGELQLLTVDHRLEENAEERERVTASGGEVGRLNLFGGQEVGPLRCWPGGLCLSRSIGDMDVGEFIVPIPHVKQVKLSNVGGRLIIASDGIWDALSNEAAAQACRGLPAELAAKLVVKQALKTSGLKDDTTCVVVDIIPSDHLTSPQLSPKKNQNKLKSLFRRRSHSSVGKLGGKSASFGSVEELFEEGSAMLEERLGRNLSLKAASPPFRCAICQVDQEAFEGLMADNGGGFCSSPYAPWGGPYLCLDCRKKKDAMEGKRSSQSTACR >Et_10A_001783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8135702:8142341:1 gene:Et_10A_001783 transcript:Et_10A_001783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAIVGDRSQLRAAGQPAMTMKDEDPEAKLLADGKSAKEADTAGNAYALVCALLASVTSIVFGYNRGVMSGAQKYVQEDLGVSDGQLEVLIGATSIYSLVGSLSAGWACDRAGRRRTVALAAALFLAGSAVTAAANGYAALMAGQFLAGVGCGFGLVVAPVYIAEIAPAASRGFLSSIPEIAINSGILLSYIANFTLAGLPRTINWRLMIGIGAVPPLFLAASALLVMPETPRWLVLHGRPDEARRVLARTSGGDSADRRLQEIVAAVDHEASSSSEKEQQDEPGGGTSTSVWRDIVLRPTPAVRRVMLAIAGLQFFQQASGMAALVLYAPRVFRHAGVTSERAVLGATVLLGVAKTVPVVVPLFLADRLGRRPMLLASAAGMAASLLVLGVSLRASPPAAATCVAAAAVFMATFSLGFGPVLWMYGSEILPLRLRAQGTGIGTALNRVMSAVVGSTFISMYKTVGMAGSFYVFAAVSAAAYVFVYACLPETKGKSLEEMEALFDGRAGRSPQASPS >Et_5B_044614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4021097:4026627:1 gene:Et_5B_044614 transcript:Et_5B_044614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TLSSPALHAHELVLVQTHKELVCDVCVGRCAPRCFLYRCPPCGFDIHPRCARLPQTAVRSTRHSGHDLTLVLAEGSCAACHLQYGAPGRGWFYRCSACNVDLHVSCASGGGEAGSNAGARSNGNNHALALAAELMGARLQAKGYNAALDLLSSSEMAQETISHFSHPGNELVKRHYLSPYLCDMCWEDLSGSGYGCGARCDFGIHESCAAHPQTLFSPVHHAHELVLAQIQRHGLVCDICVGQCAPNSFLYRCQPCGFDMHPRCAQLPQTAAYSTHHSGHDLTLVIAEGRCAACYNGAPGGARGWFYRCSACNVNLHVSCASDGGQAVSNADPEGKYEALEKYGKDLTAMARRGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAIAEGWEEQRT >Et_7A_050611.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6293598:6293819:-1 gene:Et_7A_050611 transcript:Et_7A_050611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWTALHIIWDLSLSVLKMVEDYMSQFMGECYMEVITLAACHGPYGRIATASFLMEEYLAFQDGRQNLDQLSH >Et_7B_053720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11449872:11455998:-1 gene:Et_7B_053720 transcript:Et_7B_053720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFRLGSLVASTMPKRKRKQNAQEEKGGEDMPQLRNSPKENGARGMTNASKPEQDQPSVGIVTMGRLNAPAGPKKGRKMDGTSDMCHQCQRKDKEVIRCLGCINYRRRYCVLCIKRWYPHLSEDDFKKRCPFCRDICNCKACLRSETTKKVDKWSVSVDNKVKFSLRIAHLLLPWLKKFHKEQMQEKDIEASAQGIAIAMSVFGYDTWPEEMSLIFFLHVLMRAKWWFLKLIVPAIQGCTVTYQAYDFLCNISVSFSCPLYGFILNSNRCKTSIVDFHRSCKNCSYDLCLSCCHELRQHPNPVCGVTSLHKIGGKGGLKQRNSHDQVARQETSDEQNDMSMEDGVSCIPKLRCWKLNKNGDTPCPPKSFGGCGRSLLELHCLYKETFVSEVLEKANSVVNSRIMPELRGSKCSCCTESGDVNNETSRESAHRKNSEDNHIYCPSAREVQDRDLDPFQEHWTKGQPVIVRDVLALSSGLSWEPTVMWRALREMKDKKEPEQLSVKYFYSFLDSIPYIVDMNICTFFKGYTQGAVGPEDLPVLLKLKDWPQHSSFEDRLPRHGAEFISMLPFCEYTDPKSGPLNLAVKLPDDVKKPDLGPKTYIAYDAAQELGIGDSVTKLHSDMSDAVNILTHTAEIKLKDKRIKAIEKKIDSLNKKQESRNLQASRTDRDVHVSKALNESTMVLEVASEEQEGKSKPSGQTHNRRRNLNSTKATVKTNMAKSKKERSGEIAISLEPKGGKKFVQGNQTDGGALWDILRREDAIKLQQYLMKHKEEFRHCNYEPMKQVCHPIHDQCFYLTNEHKIKLKEEYGIEPWTFEQKLGEAVFIPAGCPHQVRNLKSCVKVALDFVSPENVEECIRLTEEFRLLPKGHRANEDKLEVKKIALYALNKAIHDIAIYDRKERVEKGVMTVVS >Et_8A_057377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:24029722:24032181:-1 gene:Et_8A_057377 transcript:Et_8A_057377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDAGDDEPPVLLDRASRATRGKRITKLLEDEVEQDEAFWNQDALKDEEHDDNYEEEQDAGDEFDSDFGEDESEPDDDPEKEVQERLPIKKRLVFPGKTLRKTNANKKKVTPKLEDDANTDKPSPSRQADAPDEFETEKIIRKSTRTSVMVRQAEREAIRAEKEATAKPIIKKKKEGEEKRMTQEEMLLEAAETEIMNLRNLERVLAREEEVKKKAVVHKDTYDGPIVRFCSRDGESRLEFINGASFGSELCTTSAPYPEKPICAVTGLPAKYRDPKTGLPYATMEAFKIIRESFLKEEADKRRPNMLNMGELSESITGEYSMPKKRRIDVRSPNVSAGSRHGGRFRQIPALDSTDED >Et_1B_013845.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2001991:2004333:-1 gene:Et_1B_013845 transcript:Et_1B_013845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPWVLCCLLLAAVAVAVAAMEAKTEAQSSYIVHVAHAHAPRVSRPRLLSRAHASFLRDSLPASLARPAPRVFYSYAHAATGFAARLTASQAAHLASLGSVLAVHSDETLQLHTTMTPSFLRLSASSGLLKASSGAADVVIGVIDSGIYPIDRASFAADPSLPPPPTRFRGGCVSTPSFNASAYCNNKLVGAKFFYHGSEAAHGSPVDVTADSRSALDDNGHGTHAASTAAGSAVLGAAFYNYGKGNAVGMAPGARIASYKACSKKGCKSSDILAAFDEAIGDGVDVISISLGAVQKAPKFYDDTMAMAALRAVRKGIVVSASAGNTGPGEFTAVNIAPWILTVGASSINRWYPASVVLGNGMTYTGTSLYAGTPLGTDKIPLVYGGDVGSNACVAGKLNASLVAGKIVLCDPGVNGRAAKGDAVRQAGGAGAIIAGTEDFGEQSVTTPHVLPATAVTFAATEKIKKYISKQAAPVATIVFHGTFVGNKPSFPRMASFSSRGPVLQAPEILKPDVTAPGVDILAAWTGDNSPTQLDSDKRRVEFNIISGTSMACPHVSGIAALLREVRPDWTPAAIKSALMTTAYNVDDAGDIIRDMSTGKASTPFVRGAGHVDPNRAADPGLVYDAGADDYLSFLCALGYTPEQIALFTTKEDPPVDCSTRTTSVGDLNYPAFSAVFNSTKGEVKQHRVVRNVGRNVQATYMASVTSPAGVRVTVKPQKLQFSATQQKQEYVITFAAQGVGSVTEKYSFGSIVWSDGVHNVTSPIAITFPASQVAAI >Et_8B_060380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1272719:1273402:1 gene:Et_8B_060380 transcript:Et_8B_060380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGQRGKGAGPGSVWNARGSRRSPADLEARYAGNAAVQVDVELAGVWRFHLGAAASSPTRAACARASGFMRGMLVTSDGAVARRTRRTSSGTLPRRCCEFLHAAVACFPELYDLRVLVAWTHLDGSEPPPLAGPSPSSAFRRLVALAQGSGFSEVKMAYNAFLYGLGAADTVQLLNLQGGAPRQVEAAPREPRSNVRRGLRRERKNYYLTSDDDDRSD >Et_1B_013224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6482087:6498968:-1 gene:Et_1B_013224 transcript:Et_1B_013224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHRASANAAAALHKPIAAHVPLRLLLTVPACSAPLHFAISAVAAAVTTRGPSHSGVDPAAAGLQTTSDGKPKILDVIDCTGSGDVDTSKVVKADADGAIVGASGTRLVVNSSWKNPSQEWHVGCKLVYELFTDTLTSRVKKERKKKWDEENQEAISEALKQLNEFEKKHAKPDDTTLKKAHEDLQNRLDYLRKQAEGYDDRGPVIDIVAWHDGDVWRVAVDTQALEGNNNCGKLADFVPLTNYRLERKFGIFSKLDACSFAANVYDDGNLVSIVTDCSPHATHVAGIAAAFHPDEPLLNGVAPGAQLISCKIGDTRLGSMETGTGLVRALIAAVEHKCDLINMSYGEPTILPDYGRFIDLANEVVDKHRIIFISSAGNNGPALNTVGAPGGTSTTIIGVGAYVSPAMAAGAHCVVQPPAKGMEYTWSSRGPTADGDLGVSISAPGGAVAPVPTWTLQSRMLMNGTSMASPSACGGVALLVGAMKAEGIPLSPYAVRKAIENTAASISDAPEEKLTTGHGLLQVDRAFEYAQQAKKLPLVSYRISITQVGKSIPTLRGIYLRGSNACHQTSEWTVQLDPKFHEGASNLEQLVPFEECLQLHSSDSSVVQIPEYILVTHNGRSFNIVVNPANISSGLHYYEVYGIDYKAPWRGPIFRVPITVIKPITLSGEPPLLSVSNLSFRSGHIERRFINICPLKRPIKWESVVTFSSPSSKNFSFPVEGGLTLELSIAQFWSSGIASHEPTCVDFEIAFHGICIDQKVIALDGSESPLRIVARSLLASERLVPVATLNRIKIPYRPVESNLCSLPTSRDRLPSGKQIIALTLTYKFKLEDSAEIKPHVPLLNNRIYDNKFESQFYRISDTNKCVYSSGDVYPSYVKLSKGEYTLQLYIRHENVQILEKLKQLVLFIERRLDKKDFIPLSFYSEPDGSVVGNGTFKSSILIPGEPEAFYVGPPSREKLPKNAPPGSVLVGSITYGAVSSFSKKDDQNQHAPASYSISYIIPPSKVDDDKEKGVSVGKKSISEQLDEEVRDTKIKFLSNLKQESDDDKSTWLELVASLKSEYPKYTPLLTKILECVLQKTTGDDKIIHQKEVVAAADEVVDSIDREELAKYLSLNSDPEDEEAQKFKKKMEETRDQLADALYQKGLALAEIESMKSDEKIEVSAKDVFEENYKELIKWVDAKSAKYGTLTVLRERRCGRPGTALKVLNDLIQDESESKKKFYDLKIQLVEEMGWTHVSTYEKQWMHALQ >Et_7B_053494.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:23175897:23175965:1 gene:Et_7B_053494 transcript:Et_7B_053494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCFEINCVHLRNRLFIICYH >Et_7A_051088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14275184:14280307:-1 gene:Et_7A_051088 transcript:Et_7A_051088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVLASSCSASPRLPLLSAASRGPRLPAAPPLASGRRGGARRLKFVVAAAAAGPRGSRNVLEGLNSKGFASVSSSTSNENMSTGTGTLPPVPPQSSFIGSPVFWIGIGVALSAAFSVRYAMEQAFKSMMTQAPPNTFGSNSSFPFAMPQQAAPTIPSSYPFSEPKKAASKQSVTVDVSATEVEAAGTSKDADAETWKPSKKFAFVDVSPEELQQNQLQSSLETVDVKQDSTDSETKEDTEQKVPTNGAAFKPSEDAARGPTESGNSGSGPMLSIETIEKMMEDPSVQKMVYPYLPEEMRNPDSFKWMLQNPMYRQQLQDMLNNMGGSPDQWDNRMVDHLKNFDLSSPEVRQQFAQVGMTPEEVVSKIMANPEVAVAFQNPKIQTAIMDCSQNPLNIVKYQNDQEVMDVFMKISQIFPQING >Et_1B_010794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14154631:14155949:1 gene:Et_1B_010794 transcript:Et_1B_010794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TSYWVWRVDSTYGRGRGGRERGWFSPLWVAFAILIGGLLLDVLISVSLGVSALPVNIIIGVLIVLGLGTALRLALECCQEWGSRRSATNNMPRLENIPPTGYHPAVV >Et_3A_023512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31733897:31735276:1 gene:Et_3A_023512 transcript:Et_3A_023512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAATKAAAAPAATAATHTQQCGGAAIVRVSPGRRRRAAAAGGAAVAAAAAGVARRASSSASGRTTNNVAGMWRQVQGSDNWQGLLDHPLLRGEVARYGEMVSACYKAFDLDPSSRRHLKCKYGRERMLREVGLAGAGYEVTRYIYAAPDVAVPTMEPSTSGRGRWIGYVAVSTDEMTRRLGRRDVLVSFRGTVTPAEWMANLCSSLEPARFDPCEPRPEVKVESGFLSLYTSADKTCRFGGAGSCREQLLREVSRLVDSSSCSGKSEDVSVTLAGHSMGAALALLFAYDLAELGLNRGAPVTVFSFGGPRVGNAAFKARCDALGVKALRVANVHDPITKLPGIFLNESTTDVLRPWRASCYTHVGVELPLEFIKVGDLASVHDLATYVSLLKNDKPPATRAAEEQRRDGGVLGKVMEFVERQRAGALPWQDAAMQMGGLVQTLGLI >Et_4B_037083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14417394:14418568:-1 gene:Et_4B_037083 transcript:Et_4B_037083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRAGEVRTVVVSSPEAAAEVLRARDPAFASRPRGGATLDVVGRGGTSLIFAPHGEYWRQMRKVCVHEMLSVAQVRRVERIRRHEVARLVAAVVAASPSPAVVDLGRALTELSNNIVARAVFGGKCRRQEQREYLRELGVMATLAGGFSLPDLFPSSRLVRWLSGSAVRGLRRSRDRVQRIVRGIIQERREKRRSASSAVGEGAAAAAAGGEEQDEDLLDVLLRLQEEDSLPFPLTEEIIGTVVSDVFGAATDTTATTLEWAMAELIRNPRAMAKAKLEAREKLGHERDPHHGDLRYIQMVIKETLRLHPAAPLILRSNLRLSGSTSIKPEDATQKRSLCVSLSTRKQRHLIQ >Et_1A_004762.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:38808488:38809386:1 gene:Et_1A_004762 transcript:Et_1A_004762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMDELARGLELSGERFIWVARSPTDGGANPGESYYDGAKSKNDPLSFLPPGFVARTKGVGHVVPSWAPQARVLGHRATGAMLTHCGWNSVLESLSHGVPMIAWPLYAEQRQNAVMLCEETKVSLRPEVRGGEDGLILAEDIAAVVEEMMRGEKGQLARSRVAGARWRVARYARRGREHVEGVVFRRVYYF >Et_8A_056849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17818594:17820109:-1 gene:Et_8A_056849 transcript:Et_8A_056849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DVLKRRSSNQPAFTEQTGEAGISIIIRDHSGKPLLSSWRVLFNMKSAEEVEAAACQEGFALAGFMHVRREQNLVAHELAQLAKRLCHSAVWRFRLPVCVEHLVAHDCNDTLSN >Et_7A_052448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8172142:8175854:1 gene:Et_7A_052448 transcript:Et_7A_052448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPVRAAAAAQSARRPAGVVSAASASRLLLGHRPFVAPSASRSRFAAGRAAVAGPAAGLRPCLRRPRFSVIAMAGNDRQVPLNDYRNIGIMAHIDAGKTTTTERILYYTGKNYKIGEVHEGTATMDWMEQEQERGITITSAATTAFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVANLGAKPLVIQLPIGAEDNFQGVVDLVRMKAIVWSGEELGAKFEYQDIPADLEELAQDYRVQMLETIIEMDDEVMEKYLEGTEPDEETVKILIRKGTISASFVPVLCGSAFKNKGVQPLLDAVVDYLPCPLDLPPMKGTDPEDPEVVLERLPSDDEPFSGLAFKIMTDPFVGSLTFVRIYSGKLVAGSYVLNANKDKKERIGRLLEMHANSKEDITVATTGDIVALAGLKDTITGETLCDPDKPVVLERMEFPDPVIKVAIEPKTKADADKMATGLIKLAQEDPSFHFSRDEETNQTVIEGMGELHLDIIVDRLKREFRVEANVGAPQVNYRESISKVAEVQYVHKKQSGGSGQFADIIVRFEPLEPGSGYEFKSEIKGGSVPKEYVPGVMKGIEESLPNGVLAGYPVVDLRAVLVDGSYHDVDSSVLAFQIAARGAFREGLRKAGPRLLEPIMRVEVITPEDHLGDVIGDLNSRRGQVNSFGDKPGGLKVVDAFVPLAEMFQYVSSLRGMTKGRASYTMQLAKFDVVPQHIQNQLSAAKAEEAAA >Et_6B_049084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17640253:17645710:-1 gene:Et_6B_049084 transcript:Et_6B_049084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHGEKKPSDLSKCAYAFVVAASIAVIGLGVASSVLMATARQCTVYAAYGAPPRTVTYKDFRPFVYLVVANAIAAFLVAIAVFLSVWKNGKVDKVARKIILPLLGATVPALLYSSTGAAFAAADGMMTYTSVYGKRVSYCDAGVAGGNFCHQVHLAIFISLGAAVTITVIELVKALALSKPSDGGSDTDSDSESDFCGHGCHSKHYIAVVGLAVASSVLMATASQCTIYADYNPLPKIITYSEYRPFVYLVWANAISAVLAALAVFLSVWKKGKDKVARKAMPFVCAAVAALLYSSTGAAFAAGDGMMTYSAHGRRVSVCDADITGGNFCRQVRVAILLSLGAAVMVTVVEVVKGLAFSKKKKSSCGGSDSDSDSESDACGHGSCTIYVEYGVRPRTVTYSDYGPYVYVAQNTQVLISTNVTDHRTFPVYTYLTLLAGFCTCACRFLVIANSMAAFLGAIAVFLSVWKKGKNKKARVLMPLLGAAVPAMLYSATAAAFVTSNDMNYCSAYGKRVSICAGSAAGGSSNFCRQARVAIFLSLGAAVAWSVAEVVRSLPLSMSFGGGGSDSGSSSSSESGGGVNVWEEEAIQVLSGYSTSGFIS >Et_1A_009172.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31762943:31763515:-1 gene:Et_1A_009172 transcript:Et_1A_009172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVTRMLGNGRCEAQCIDGTRRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMNDEARLLKAYGEIPDTVRLNEGVVDEEDVGAQDDYIQFEAEDIDKI >Et_1A_006025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16830861:16836109:1 gene:Et_1A_006025 transcript:Et_1A_006025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQGSSPPAITVQVKFAGRTIPVEVPAAATAAELKRLLQPLTNVLPRKVLEDAASLSSMQVVNGSKVMLIASQGLHQGDGPITKNSSSSSATSARRTSNVKGSQTQKPEAVVSKSRSDIWKRTGIVALHDCDLKEVPEEVWDCGPSVRILDVSNNGIKEIPHKIAALKSLSKLLLTANDIADENISWEGLSCLPALLNLSLSQNRLVNFPSTLGSMTSLRELRFANNRLESLPVEIGSLKHLQILIASNNRITSLPSSIGDCESLIEVDLSSNLLAKLPEALGNLRNLKALLLRNNGLTSLPANLFKKCSQLTTLDLHGTEITNDVLRQVEGWEDFDERRRQKHQKQLDFRVGSSGVFDEGADDDNKRRHLVALHSTTLASRQVSIVVIAKRECRQTINIASASGHLFLLLELLLIISGAVSFLKLLLTISDAVSLLLELLQIISVAVSNGDALPNPLSCNPTRQIRIQI >Et_4B_039515.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:244056:244862:-1 gene:Et_4B_039515 transcript:Et_4B_039515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSAMRLVVVVLAAMVGGALCKMGDKPGPNITATYNGKWLDAMATFYGSDPRGAAPDDHGGACGYKDVDKAPFDGMTGCGNEPIFKDGLGCGSCYELRCKQPVECSGEPIQIKITDKNYEHIAPYHFDLSGKAFGAMAKKGLEDKLRKAGELQLQFRRVKCKLPSGTKITFHVEKGSNDMYLALLVKFVSGDGNVVAVDIKPKGSDQFLPMKVSWGAIWRIDPKKPLKGPFSIRLTTESGGHLVQDDVIPADWKPNTVYTSKLQF >Et_3B_027389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1091117:1093300:-1 gene:Et_3B_027389 transcript:Et_3B_027389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLHLPHLAAARPPAAGTARSRGSVVLAAAGGRVEQKAAGKRRVIRVADPVREGRLPVPPPPPLFSVPVTPSESPAATRRREEDEEERRRYYLNMGYAIRTLREELPDVLYKEPSFDIFRDDIVFKDPLNTFKGLENYKRIFWALRFTGRIFFKALWVDIVSIWQPAENLIMIRWIAHGIPRVPWEGHGRFDGASEYKLDKNGKIFEHRVHNVAMNPPTKFKVLPIQELIRSLGCPSTAKPTYFEAPSQNLSAAPSFLRLAWIRCYISLCRMLSLENLGEG >Et_3A_023645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10288747:10290788:1 gene:Et_3A_023645 transcript:Et_3A_023645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFTGALIAALLTVHLLMGQAFARHAPASLGTVPGVHVLYTAPTTPGPSPSNGHGNQPSASEAVDDGVLNGAHGVGTVTENHTVFCPSPRHHPTMAGGKPHNKLNVTGNTEAEA >Et_10B_003493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:20071360:20073282:-1 gene:Et_10B_003493 transcript:Et_10B_003493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPRRATTSRPGLVLAPPALRGHDQQGRGRGGRVRAGGCCSGFMGGRELLEAMALIEGLNAALGLDWASATSRSLFSNLDNTYSLITPSTIKLQLHGIWRVTQKKVAVMLNQVLSVQRKFDQSELLLVHPSEVGYVMKLARDCINDHIARAHAANVSKEKRERPALSSWKTLTSPNFMKLEEHVKVKLLHGMLPTCPQDGCTTKLTVEGSKIFLSRQLLQIMVERIREGQIPPTQKIYFREGQIPPTQKIYCPYPRCSVLMSLSELVQPLQQSSSKYTSADAATLRKCVRCRGSFCITCKVSSHDRMTCYQYKRRHPHARPEDVKLQNLAKHRLWRQCVKCNHMIELAEGCYHMTCVCGYEFCYTCGKEWKEKRATCTCPLWEERNIIRHDENGAIYYLDEEEEDYYDEDDDDDDDVPYVEEGNIFYNQVYWRYDDRPGNYHHYN >Et_7A_052093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4570019:4571651:-1 gene:Et_7A_052093 transcript:Et_7A_052093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLRSKREKRLRTLRREIAEPFYDKKEAAKLAAQTAALEAPKVPVRAPPQSQDAGSSRAATSSSASAMGERSCFSGLLAWDYPVIYHVEMAGGDGSKSFLKPIGSISKKKVLLHLKIKKDRRKARKKGRFSKK >Et_3B_031445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:293059:298425:1 gene:Et_3B_031445 transcript:Et_3B_031445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVQRILSASVEVEGRVVSAIGPGLLVLVGVHEADTDADADFICRKVLNMRLFPNENTGKAWDQSVMQRNFEVLLVSQFTLYGILKGNKPDFHVAMPPAKAKPFYAALVEKFQRSYSAETVKEPGGGSPGVALVRPDGDIGGVGRRRTKKGHGGAMRGVITSKKHGPVGIGGEVCCRRRTTVAAGGQVDPERGVLGAQQGVVAAEDGELAVASLGGVALPPPRLPGERLGGAAASGVGGVGLDELGEVGHPEHQVQRPEVVHPVGREVGGQLAVGLALAPLVLAHRARSSSGHAHHVVTIRFPCHESNNVQGLSRSSRPPLLQVPIFIPTRGQEKTRRKERITWAMAMMKSRNLGWTAAWVLRRLAMGLDNVRRAKVPSLATGSAIRTGNS >Et_5B_044874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6788726:6795172:1 gene:Et_5B_044874 transcript:Et_5B_044874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKDHLVAFVFSSDIGGWQTFPCQDWTLASSVLDTSLTFRHCVRGFIYWILCWSTNKCLLLDTCRMEFSFVDPPPVHHLWHDSAIARSGDGRAEMFVCIEEEDDAGYESFFLYSSTVKSIAGGANQWGPLTKVEIELPWGYRFVISGSTSERYLLLIGVKSEMRLRGGRYDERKIEYFSLDLETMQLDTVCMSDFAPQHAKMYTGFPPSLSLATTATTNRAPQRRHPRGYPPPPAHPRRPRPRRRLMRRVVAGRPFLRRLRSLHQVPLLGLVLESKIKPAQPPHSSARYARALAGGADLSFTFFPSHHRWHAADARDGRVLFYCFVLDQHAVCDPLSRRYSLLPQIPGNPPPHSRWDLNPFLIPATNEETATSFRVVCMRYAGPGSWSPVFSSATGQWSSLAVGDLVRPSCLLATRCYAYGCNYWKLIETDNLLVFDTRSMVFSLVQIPSGQGEQSLAIVEAGEGRLGMFTVDNSLISAASHLIYTIQEINEDGSSRWLFERRIKLPPQSLFIIAHATDRYLLLSGTPWNSWLGNPANDVQKRYFSFDLESMRIEKIHVSRMGDLERPLLSYEKASVLA >Et_4B_038384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28618943:28623811:-1 gene:Et_4B_038384 transcript:Et_4B_038384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIALSLRFAPSAPLSLRRRRGRVLVGRAATTFHQLDAVAVEEESSQFKKAAAQGCNLLPLKRSIFSDHLTPVLAYRCLVKEDDREAPSFLFESVEQGAEGTNAGRYSVIGAQPAMEVVAKANHVTVLDHEMKSKREQFYTDPMKIPRVIMEQWNPQIVDGLPNAFCGGWVGFFSYDTVRYVETKKLPFTKAPHDDRNLPDIHLGLYNDVIVFDHVEKTTHVIHWVRVDCYNSVDEAYEDGTNRLEALLSRLHCLNVPTLSSGSIKLNVGHFGSTLQKSSMSSEEYKNAVIQAKEHILAGDIFQVVLSQRFERRTFADPFEVYRALRIVNPSPYMAYLQARGCILVASSPEILTRVEKGTVINRPLAGTIRRGKSKAEDKVLEQLLLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMDVERYSHVMHISSTVTGELRDDLTCWDALRAALPVGTVSGAPKVRAMELIDQLEAKMRGPYSGGFGGISFRGDMDIALALRTIIFPTGSRFDTMYSYNKTNTRQEWVAHLQAGAGIVADSKPDDEHQECLNKAAGLARAIDLAESTFLDE >Et_2B_021884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6575100:6576604:1 gene:Et_2B_021884 transcript:Et_2B_021884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATSSSAKSAAVGSKAARACDGCLRRRARWYCAADDAFLCQGCDTSVHSANPLARRHERLRLRPSSPPAAGAKRVDGDRKVDEVVPAWFRRKARTPRGGGHAKSAGGGGARELSRRLVVVPSGDSPEGRSGVGEVVEVVEEEEEEQLLYRVPIFDPDLAEFCSPPPPLEDAAAGAASSCCNENQTKPDAAEPLPPPTTTTAQFFPDGHHNAGFEPTDAELREFAADMEALLGRGLDDGNEEDSSFYMETLGFLDPTVAGDNDDGGAAARVVKVEAEGGGAFDDAACGLEIMMEPEASDEMLDIDFDYGSPQDEQLAPDDKAAASSSSTGAADDGAKLLQRSLSLTLNYEEIIQSWGASPWTGGGDRPHVKLDDCWPHDYTGMWVVGEVVGHGGEELSLPRLGMDGGREARVSRYREKRRTRLFSKKIRYQVRKLNAEKRPRMKGRFVKRTAAAGASVAIAGLA >Et_3A_025391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29401406:29403497:-1 gene:Et_3A_025391 transcript:Et_3A_025391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPQGGGVGGANDEAASGESPPLSPASATAAALANARWNPTKEQVVVLEGLYEHGLRTPNAEQIQQIAGRLREHGPIEAKNVFYWFQNHKARQRQKEKQESFAYFSRLLTRPPPLPVVFARPPGQAPPYPLGRTPPQPASCNNNNNNIAAAAMHRAPYYMPAQHQAAAPNAGYYNLQHAHHMAVMYPRMETHQGRQMYPAARYNTSNPAHAALANVGRTRETLELFPLQPTSLLTDKASGASLTTSTPSTASASFSGESESLESSNSNVEALPFYDFFGLQSGGR >Et_1B_013166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:645513:650207:-1 gene:Et_1B_013166 transcript:Et_1B_013166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVMITYKRKRVTSHHNTADETAHDSSSAASNNLAASSLPPKHEANAENYVKDEDKFLTSTEQHSVCTSAPQNIKEELAIGSGTDKSDKGENKLHLCGSLLQKKQPEICCAAILSTAEAQSDKLCTNDTNSSIPVSSSVCDPMHIDGMTNRTEDSNTSALVEINCHQALKNPVISDQCKNRLSPLLTFRRRVKKKKNSDELAEENCSPDSKQCSTLTCKPPRLSPCATHLLKNADGESSDIEPKRATVGNSGLPIQTEHSQEQESLHVVKSSVQHVFPSQIAEVVNQLEPNGTPVSKFTGVHEVEKDARVENLSKTPPDTIELPKVIDVKGDGHANGRTGSLQSPIQEINVSLLKPTDKSVPEDLLESQDSTKNVPVIVLDDDSDEKGKELENSVRCDQGVWNKNKSRFSSGKIDLNCAELRQEEPPLRMDDPSIQWLPDQDQFGNAQKHISHPIERMFFTKEKDVIYGKQQQREGSSTMPTSYSNFFDLTPRWNTGTLKAPLSLPSELKFRIMDKVPEFNLDLSLDSYRDSNVSSRRSSKFSLGGTSGMSHKLTGKLGTYSYKRHSAPWSEEELDFLWIGVRRYGVNNWNAMLRDTRLRFSNSRMPDDLAKQWDKEQKKLLGTDFLQSIRTSPAPSPHIAEDYLGRGSCSGCSKSLFLAAQTDLSLGDVYLQNAHGPGRGEHHLSSLGMLNLHGIDGAPRNVSLGGFPGASSSHGRSAGRRRRASKFHKSHYNKVSWFQEPLGRLPQVLPIASQQPMNNGLPQWLTKDAETGTSRVNPEMWPSIAPPPGLSEVDPLSDNLRGASLFADEMKPHVLPDSSLKRTMRRSTDWRSLSKRLFRTSDNLDLNQGAAASAGPNGATASDTGASSEETVSDS >Et_10A_002312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8421346:8423206:-1 gene:Et_10A_002312 transcript:Et_10A_002312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVTKKPRCAATTAAGTISGTGVEVALRSEERDWVGLLPEELLSKIADLVLADDAADYIRMRAVCRSWRTFTADPSVLEPRFFPRNWLLLAGEHLRHDGEPERFVNVRTGASLRIRLPDPGQYTHHGNAEGMLLLHHTFSDRISLLNPLTMALYDLPTMHVVNDVVRPNGEYPDNEFFADSIKASGIIIDVDNLGLPTVLLSLTEGNDTAIVCAKPGDNVWRAVDLSCTDDVDGDLPVIQGGLSVRGRFYIPTCAGDVLTVELKPQPHLRCAAKMTGDQIRSGFDESSYLVPSCEDQDSGMLLVRARNPNGKFGCTKFAVNLSNGSLSLKEQSGITVFLPSVTIRSLAFPSVSQNTIYLRSQMKRLLRGDYMNATLEMDFEAPHAKLTDRPVQVLWYDLCLATHETERHGIVLGLGSVLLYIHQD >Et_5B_045725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8217809:8219001:-1 gene:Et_5B_045725 transcript:Et_5B_045725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGSWPPSVAYEGEEEHSAITQGISSNFKTDSSRNMGNIETRGKISSSSEATDEDEMTSDEDGCRTTSEVVDSKRDDPRSSTKDHTAEMVLTDEAQAILNNVYPESSHRDGSIYNRGMDIWWKKEYRVADRHETQLEPEPCILHNGTCLNHRPYWLLQFFSIELAKVPVDGGGTVEVYGYLAARDNLDPRLNYIVNISRDDPVIVEQGSRIKMDGPKRGIFTMDFTLLEFDMRIKTGEQEKDDLSLIDGASTICYADGSLYPRPFVYQITGDHGVVDLNVGVLFNAVEATVEVLISEVRSGFHLSLGCFTSGLDEEIRLFDGAIAESRGLKRHVVAVVLDDLMDLKFRVAAPSSSSSDQHCCSFKAREHGHGTQEIKD >Et_3A_023733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11401161:11406800:1 gene:Et_3A_023733 transcript:Et_3A_023733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSRASIHLWKRNLQIHLTTTTSSSAARRHSSSQRPSGALATARWYWGLPYRPLLPHRVHGLVINYIDHGRPHLFSCPQLRSSSSNSSGPKIDGLLGFLPEEETSGTWWSVLDHSNGLLLCAIHWESELCVCKPATKRWTVLPSHVKNGADVWLRWLVLSKGKYQVHKTPVNYIKGVKPFLGRVKKIERPTTEMKGPKSYLGRIKNRVYYAIKSRVYMTANYRFGCLRNHMDILSVYDHELHIWMLEESYGHIKWLLKYEINMGLYADHGGSFLDKNGKQLCELGC >Et_1A_004529.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:13029111:13029952:1 gene:Et_1A_004529 transcript:Et_1A_004529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLRHPEWMNKVREELKTVIGTKAVMEETDISKLPCLQAVVKETLRLHPVVTLGYYQAMATTQVQGYTIPKGSTILVNYWAIHRKGGIWTSPDKFMPERFFDKDISFWGKDFELIPFGAGRRICLGLPLAHRMVHLMLGALLCNFNWTLSPEVEENGIDMTEKFGAVVSMATPLKAIANKCDE >Et_3A_025762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32657218:32658705:-1 gene:Et_3A_025762 transcript:Et_3A_025762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSGPLYSECAAAAAMVGQKRKRASWSTAAQSAVAGECCGGGRRKRLAGGPDYLDDLPDDLVLSILSKVAASATAPSDLLSVHLTCKRLNGLGRHDLVFAKASPASLAVKAAAWSDQAQRFLKRCADAGNLEACYILGMIRFYCLGSRSGGAAALARAAVGGHAAALYSLAVIQFNGSGGAKSDRDLRAGAALCARAAALGHVDALRELGHCLQDGYGVRRDPAEGRRLLVAANARELTLALAAAASHRAFAALPLGAAASPAAGCPLLSDFGWSLPEAEPHAANQFMVDWWASHGSVVPAKKSDGETTDGDAVELRLCSHVRCGRRETRRHEFRRCSVCGAANYCSRACQALDWKRAHKAQCVPMERWLLADGEAQ >Et_9A_060998.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:456941:458303:1 gene:Et_9A_060998 transcript:Et_9A_060998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKLSLCVAIVMCAAGAWVVAAEARPHDGDGGFSIVGYAPEDLESHDRLIKLFEEWVAKYRKAYASFEEKLHRFEVFKDNLHHIDEVNKKVSSYWLGLNEFADLTHDEFKAAYLGLKVPTSGRSREEQTRSFRYGGSSDDSDLPKAVDWRKKGAVTEVKNQGQCGSCWAFSTVAAVEGINQIVTGNLTSLSEQELIDCSTDGNNGCNGGMMDYAFAYIAGSGGLHTEEAYPYLMEEGDCDQQKDSEHVVSISGYEDVPRNNEGALVKALAHQPVSVAIEASGRHFQFYKGGVFDGPCGADLDHGVAAVGYGPDHIIVKNSWGPHWGEKGYIRMKRGTGKPEGLCGINKMASYPTKDH >Et_8A_058190.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23187044:23187241:-1 gene:Et_8A_058190 transcript:Et_8A_058190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPDRKEAMEIVLELVTGTAANAAKQTELLHMMMVVAAHTLVSGELAPTDPELKDMVAKRRSS >Et_9B_064643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17300484:17302846:1 gene:Et_9B_064643 transcript:Et_9B_064643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EEFDTHDPKENSSLLPYLRKRSKIIEIVAARDIVFALSQSGVCAAFSRETNKRICFLNGSPDEVIRSLFYNKNNESLITVSVYGSENFSALRCRTTRIEYIRRGQPDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYSVSDKNVQEIKISPGIMLLIYTRTSSSVPLKILSIEDGTVLKSFSHLLHRNKKVDFIEQFNEKLLVKQEGENLQILDVRNFQLTEVSRTEFMTPSAFIFLYELQLFLTFRNRSVAVWNFRGELVTSFEDHQLWHPDCNTNNIYITSDQDLIISYCKADSNDSSSEETAGSINISSILTGKCLAKIKSGNSCKEKKGWKFQNTVPEALEDITALYYDEDRDEIYTGNRHGLVHVWSN >Et_8A_057389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2530493:2532856:-1 gene:Et_8A_057389 transcript:Et_8A_057389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTRLLVLLAMVAAAAPPRAAAQSGACAAEKFSGNRAYAACSDLTRLGASVHWTYDAASSSLSVAFVAKPPSGGWVAWGLNPTGDGMSGTQALLAAPNGGAYDVQTYNIAGTSLGSPGPIAYDTTGLAAELGGDGRVRMFGTLKLQNGTGEVNQVWQVGPVSGGSIAPHAMGADNMAAKGKLNLVTGATTAASGGGSLLRKKNTHGVLNAVSWGLLLPMGALFARYLKTFKLADPAWFYLHASCQVIGYAVGVSGWATGIHLGNLSKGITYSVHRNIGIAVFALGTLQIFALFLRPKPDHKFRFYWNVYHHSMGYTIIILGIINIFKGMTILNVEQKWKTGYIIAICILGAIALILEAVTWVIVLRRRKSENKTYNGTSNGNGRLPLSM >Et_6B_049070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17485002:17487524:1 gene:Et_6B_049070 transcript:Et_6B_049070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKEERDKKEMTSVKRVKKASPPVSAALRRRPAPSPASLGWARGDDGRRRRDAVPVRAHGGAGRHAADADPREEVVLQEQHPHPPALGGRGDLPRHLAGELVAVEVHGAGGGQLRRDAAGERVVAEVDGGAGRDAAGGPELAGEGVLAELQVGDPEREDLAGHLAGEPVPAEVEEREPREAPQHGGDAAGEPVPGEGEVDERGRERRDAAGEAVAAEVEVDERRGDGVGDGAGERVVGEDERAEAAEAEEGGRDAAGEGVLAEVDGAELRREGGGEVAGEEVPGEREEAEGRECGDGRGEAARDAARDEGEGGQGRERGEGRGGEGPRQARRAGPRVAEGQGHHAAVAGAGDAGEGRAGVRGEVPSGEEAGPREVGECAPHGLQRQVVHRVQRRLRRRRRRREQDERGGEEEEARDAPHCARGHGRRQDAGGERWWWREWRQCSGELGSAQLTVRAAE >Et_7A_051082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14250078:14255058:1 gene:Et_7A_051082 transcript:Et_7A_051082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTREPVAMEIPAEEEGPAARAPPRRIRMRLMEGARGGGAPASVEEIEARLREAELRRQQFHEWLACKARKKPRSPSWSSQEEDHGQRLEAKLQAAEQKRLSLLAKAQKRLAKLDELRQAARNDVEMRFEKERGELETRVESRVRQAEENRMRLLHAHMQKRAALKERIARSLVQKATSESNERRKLKEQLDNKLQRAKRQRAEYLKQRGSPRSSAHADYIKHADFLSRKLARCWRRFVKSRKTTFALVGAYHALGINEKAVKSMPFEKLAMSMESPAVLQAAKALLDRLETRLMLSQSGSSPVENVDHLLKRVGSPPKRKVAPSRSRVSAKRPARNTAPSKLPRYSLRVVLCAYMILAHPSAVLSGQGDREKQLMESAASFVKEFELLIKIVLDGPGPSSLGTAAAGSSKFRTQLANFDKAWCTYLYSFVVWKVKDARLLEEDLVRAACKLELSMMQTCKLTAEGQSSNNLTHDMKAIQKQVTDDQMLLREKVQHLSGDAGIERMNSALSDTRSKFFEAKENGSPLATPVANVSTPLSINSPGQIPLSKANDNSREASSSVVRSLFGSSSSPGSASQMKLPAENEQMVNELLHEDSAFVGRTESVGTAEKDFEAKVRETMEKAFWDVVTDSMKGDKPDYGQLVNLVKEVRDSLHELAPKGWKEEILENIDLEILSQVLESGSQDAQYLGQILHYSLNMVRKLSAPAKEDEMKKSHDKLLSELSASSEVDGNGISSFVIVVIKGLRFILEEIKELQAEVSKARIQLMQPIIKGSAGVEYLQKAFADRYGPPANASASLPLTLQWISTSKNTVEQEWGEHLDSLATLPSAGQTPALVTVLRAGHGAPAGQPSSSSAAGTSGQPECKGERLDKLIRIGLLQLISGTEGLQMQSTPESFQVNLLRLRAVQGQFQQVIVIATSLLVLRQVLMSENSKPLEVENAVAGLFEALVKLLDNSPDAGTEEIVEAMMSSSASVGSPSNDKIQTRRQIITRVFLKSLQPDDAVFKKVSRSVYCAFRSVVLGGSGPKGHKLADAVLRRIGAAKLVDRAVKASEVLIKVATVSEKVHGPWYQALM >Et_2B_019485.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:736948:737154:1 gene:Et_2B_019485 transcript:Et_2B_019485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFVHYEMFNVQPLSLPLTLIVFLPLLLATLDTPEMSRGSALGSVHDPSPDGVQRGSIFTVLLSTSC >Et_4B_038139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26606564:26608411:-1 gene:Et_4B_038139 transcript:Et_4B_038139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEAEKPLRRIAASFEELAAAAKQQPAGAMDARAFSGACSNVSVLFGCLGIAFKFAEMDYVAKVNDLLEASKSISTLPSMVELDIQNDTVRKPGSHTRNMLRVKRGIDMVKVLFEQILVTEGNSLRDAASVAYAQVFAPHHGRAIRMAVAAGMYALPSKSQLLKKLNEDEASAKVQMQNFVRSSASVIHCVEDLFTSRNLGIDW >Et_2B_020571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21403986:21421814:-1 gene:Et_2B_020571 transcript:Et_2B_020571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRWSTAAALLVVCGVVAAADTGPSPAGETPVSNSTIKSNGNSNHHLWPYTVVCLQPVEFGWRITLGSLMGFFAAAFGSIGAVGGGGIFVPMLTLIIGFDPKSSTAVSKCMIMGAAVSTVYCNLKLKHPTLDMPLIDYDLTLLIQPLLMLGISIGVICNVIFPEWLITALLIIVMLMTSTKAFLRGVDTWKNETITKREEAKRMEQICKRERKKKCQEPEYRTTIPTQKSDAADTNKASYEARETVRTFQTSIMKNVCWKEIGLLVFVWTAFLALQITKNYTASCSTLYWVLNFLQIPVSLGVSMYEVYGLVTEKRVLSSKGSQPLQLYVYCLFGIIAGLVGGLLGLGGAYIMAPLFIELGIPPQVASATATFAMMFSSSLSVVEYYLLHRFPVPYAAYFTTVAFIAPLVTQHVARRLINWLGRVSLIIFVLASMIFISALSLGGVGISNIIHNVERKKIMGFENLCTYGGGGVPIIPPRLEDAGLEDCALPPESIAEAFSLAAEAVSSRLARFPLFDSGEEEDEGDRVAGGCVDDAVPSRGPVPDVLVGGGGGDGGADEVLVVGGGGGDKVVVDGREEQEDRVVVVGEGRGEKKLGKEEGCVEGIGEGIGEPGHAKGDREEDDEVTVAAEKEILHAMAALVVVACVAAVTVDAVDDTGLAPATSFAVTRNVTGKSDAGSSYHHIWPPMRFGWRIVLGSLIGFFGAAFGSVGGVGGGGIFVPMLALVIGFDPKSSTAMSKCTNFFVLTLCVHSPETNSPLHQVTGAAGMIMGASVSSVYYNLKLRHPTLDMPLIDYDLALLIQPMLMLGVSIGVIFNVIFPVWLVTALMMILFLGTEPEHATIIPTVVAATAKAPSAEKISSVLKNVYWKEFGLLAFVWVAFLGLQIAMIPVSVGVSVYEAHGLMTGKRVLSSKGSQQSTLMPRQLLVYCLFGILAGMVGGLLGLGTGFIMGPLFLELGIPPQVSSATATFAMMFSSSISVVEYYLLHRFPPMHFGWRIVLGSLIGFFGAAFGSVGGVGGGGIFVPMLALIIGFDPKSSTAMSKCMIMGAAVSTVYYNLKLRHPTLDMPLIDYDLALLIQPMLMLGVSIGVIFNVIFPDWLVTALLIIIFLVTSTKAFLKGVETWKKETITEREAAKRMEQICQEPEHATTIPTEPAAAATTKSASDEKTSFLKNVYWREFGLLAFVWMAFLGLQIAKNYTSSCSVLYWVSNSLQIPVSVGVTMYEAHGLMTGKRVLSSKGSQQSTLKPRQLFVYCLFGILAGLVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFVMMFSSSMSVVEYYLLHRFPVPYAAYFAAVAFIAAIIGQHCVRRLIDWLGRASLIIFILASMIFISAISLGGVGISNIVYKMERHQYMGFESLCS >Et_2A_017954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8194635:8199518:-1 gene:Et_2A_017954 transcript:Et_2A_017954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRWPVPPSAVALSAALRTLAALSVKVSSAIAAFTFSAISAAPTTVSSPSQLHRSGHTPAPHRATTLAFTRWSANLGHASTGTPLRAASVTEFHPQWLTNSPTAGCRSTSSWSTHDLRPALHPLQELLAEHVTELAAVVSVHDGALHNPQEPVTTRFESGGELADLIPGQSFSAAEADVHHRPRRLPVEPRHVTRTPLFFFRRLPCLTELHRKERPDGEQRREAGDHGAAAQSRDGLRLHGVERVDKQATVGRAVTRETSQPWRADRATARRVNGTRWPIPALGRRTMCGAPGWRLPEDGDCAGEVHASSHAPSPPRFTTPAFTRWSPNRGHASTGTPRSAASVTEFHPQWLTNSATAGWARTASCSTHALVTSARARTRPRKSSPPSRSPRSAASSLSTTVLFTTHRNRWPLASSPAASSRSWSLEMALRLPKLRYTTDRAGCASSHSMYPPVAAPFFAAGLSAAGRRGPTANTGGKQPPAAAATVPWRRAETASGSNASNVFTRMPAAPTRPRPFATNCCVKRCFGSCSACGIEDLGTRYTPGMSTSPMPFSPPAELASR >Et_7A_052723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14281166:14283676:-1 gene:Et_7A_052723 transcript:Et_7A_052723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYGLQLRTKPAASSSRAPPPPARPLAAFADDGDEDVEAEILRQAAKQRALQKVEEQQKKAMEEDPSVFAYDEVYDEMKEKAARPKMQDKVVRESKYIAQLKQKAEQRKREQDIIYERKLQKERSKEDHLFGDKDKFVTSAYRKKLEEQQKWLEEEKRRQLQEEKDDVTKKKDLSDFYFGLQNNVAFGAEAHDSRKHANPQKSDNKPDDTKTNSFDAEASERSPKRRRESSVGSERAKSVEQPSANRPERAKSVEQPSANVSRDSTGAGSTEKDADVPSNASQDPQKNTQPVKVTDEHYKRTDDALAAARARALARKRAKEQQL >Et_1A_006115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1818263:1825351:-1 gene:Et_1A_006115 transcript:Et_1A_006115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPEERLDVLTAAGEKTGVSKPRSEVHRDGDYHRAVHVWIYCESTGELLLQRRADCKDSWPGQWDISSAGHISAGDSSLFSAQRELHEELGIKLPVDAFELIFVFLQECVINNGTYTNNEYNDVYLVTTLTPIPLEAFTLQESEVSAVKYMHCDEYKSCLAKESGEYVPYDVNGQYGQLFTIIEERYKNDMESRSLTLQKQISCYAPIHLEPDLTSLSEGDREALEYILKASIVIDDIFYEQLWNSNRVLRDWLKARAESSSFDKLKWVHYSINKSPWSCLDENKAFLSTADSAVKLLTDATKPVSGWKGIEYRAAFPLNKPPGANFYPPDMDKKEFELWKSGLTEKEQKDATGFFTIIKRHDSLSSLSEAQTDGSDRIKASDDLCVVPYSKEYRSSLEKASELLQKASECSDSPSLKNLLRTKANAFLSNDYYESDIAWMELDSKIDLTIGPYETYEDCLFSYKSTFEAFVGIRDDAATSQVKLFGDQLQDLERNLPLDDIFKSDNVSAAPIRVINLLYNSGDVKGPQTVAFNLPNDERIVNERGTSMVMLKNISEAKFKHILKPIADACIREEQKGYVDFEPYYTHIVCHECCHGIGPHSIVLPCGKKSTVRMELQEFHSALEEAKADIVGLWALNYLMKKELVPQSLSKSMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWLYDKGAFILHSDGTFSVPVDIAPIFGTANKLITTFN >Et_4A_032318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29760013:29761335:1 gene:Et_4A_032318 transcript:Et_4A_032318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHLPLLRFLAAAAACLPPPAACHHNSHHASPPPPPSHHTKSTGTVVTAALVTAASLLALLLLYLCAAIAVRRFRGRGAVGRSAASRAAAFLRRHGLHHHRPAFTYEQLRAATAGFDAGRKLGDGGFGTVYLAYIPPGGRPAAVKRLHVPPSPSPSFPSAASTITKSFCNEVLILSALRHPHLVRLHGFCADPRALLLVYDFVPNGTLSHHLHRRPAISACAPPPLPWRTRIAMAAQIASALEYLHFGVKPAVVHRDVTSSNIFIEADMRARLGDFGLSRLLAPPDACATGGARDLVCCTAPQGTPGYLDPDYHRSFQLTEKSDVYSFGVVVLELVTGLRPVDVRRERRDVTLADWVVAKIQVGELREVVDRPVLDEGPGIMASVEAVAELAFRCVAPDKDDRPDAKEVLAELRRIHGMLPELPGHRGS >Et_8A_056098.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19299788:19304229:-1 gene:Et_8A_056098 transcript:Et_8A_056098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDDERVAVKSSKHHHRDKDKDRDRSSSRHHRDKDRERSSSRHHRDDRDGDRDRHHREKDRDREERKEREREERKAREREKARRREERDREERSRRREAVDEEDEDRDRKRRRRHHHRRDAEPEEAPPREEIDDEEAERRRQKKKEEDMEAEQQRLDDEMERRRRRVKEWQEKRREQQVEQDGDAGFSSAAVAAEADGKEGKKWTLDGEESDEEGDKEDGNKADENGGAGDMDVDLPNGGSNANGSAVMEEDEIDPLDAFMNTMVLPEVAKLESAAVAMDSVPAATADDKKDNSTKDAASNGGKKGPKRAMGRIMQGDDSDSDYDDADEDGGKEEDEDDEEFMKRVKKTKAEKLAIVDHSKIDYDPFRKNFYIEVKDITRMTTEEVVAYRKQLELKVHGKDVPKPIKTWVQSGLTSKLLDTIKKLGFEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIMAPTRELVVQIHSDIKKFSKVLGINCVPIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTKPVEIQVGGRSVVNKDIAQLVEVRPDNERFFRLLELLGEWYVKGKILVFVHSQDKCDSLLKELFQHGYPCLSLHGGKDQTDRESTITDFKSNVCSLLIATSVAARGLDVKELELVVNYDVPNHYEDYVHRVGRTGRAGRKGFAVTFISEEEERYAPDLVKALELSEQAVPQDLKALADRFMAKVKQGTEQAHGTGYGGSGFKFNEEEDEARKTAKKAQAREYGYEEDKSDSDSDDEGGVRKAGGDLAQAIANAHAAAALAATKAASTANQQVPGSTAAPLIPILAAANQQNDEATARALQAAMNLQQNLARIQAHAVPEHYEAELEINDFPQNARWKITHKETLAPIQDWTGAAITTRGTYIPPGKIVGANERKLYLFIEGPTESSVKKAKAELKRVLEDCANQALNLPGAAQTGKYSVI >Et_6A_046572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17528944:17540615:-1 gene:Et_6A_046572 transcript:Et_6A_046572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPRISVACFFRKNDSARVYCLVVVNQHDDVERPRYRSFTVPEYLGYYMDKGLDGRSLPALIAWRQTTNTMAPASASVPATSSEYDRLSELKAFDATKAGVKCLVDAGITAVPRIFHHAVEPEAFSSHRRRQNNVVGSRVPVIDLTMARSDLVAQVKAAAETVGFFQVENHGLPDLLAQTLASVRRFHEQPADTKEPYYNRDRKPRVMYVSNFDLYESQAANWLDTLFMLVSPPLPEEEIPPACRDIVSDYAPQVGEGPTLLGLLSEALGLHRGYLAHDAGCLDGLAAVGHYYLACPEPHLTLGTTSHSDPSLLTVLLQDGVGGLQSVEHRVLAMGAGPRISFACFFRKHDSARVYRPIVTQPDDERPRYRSITLPEYLGYCFHKGYDRLSELKAFDATKAGVKGLVDADISAVPRIFHHAIPAVEPDELSSPHHRQHGVAGSRVPVIDVAAARSDLVAQVKATSETAGFFQVVNHGVPEELLTETLASVRRFHEEPAEAKKPYYTRDRTRRVRYESNFDLFESPAANWRDTLSMLLNPAPPEDVPPACQGMVSEYTPQVQKLASTLMELLSEAMGLPRTYLEKDAGGMDGVVVVGHYYPPCPEPRLTMGTTKHSDPCFLTVLLQDGVGGLQVLIGGEWVDVPPVPGALVVNIGDFLQLLSNDRFKSVEHRVLAMAAGPRISVGYFFRTLDGGRVYSPIVNSPAGDEHPRYKSVTVQEFIGYIMDKGLGGRSALDHFRL >Et_3B_029376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24532071:24537700:1 gene:Et_3B_029376 transcript:Et_3B_029376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAATGKRPRKRRRRLRRSTGARAVGPDCFTDLNDDLLRSITSRLPIRSAASLAGASRHFHAQVPALLDRVDSLTLHEPHFPKPLPDAPPLRLRRLAIAPHSAIPPSTFGPIFKAAAGHGLTELSIRLTRRARVPKCVLSIRSLVVLTLDTCAVPRWSTPTVPCLRSLRLNRVAIHQEIINKIIASASCLETLDMQYCSGLGAGGCCTVESSSVRNFIFRPPLKQAEVVVRAPGLRTITLYTRAKARSLELAPAPEVRKVYLHISKPRRPMNSFKVRSFLDAATRLNLLNLRGLAMKMLSSEYRDTPNLGIVFQDLRILSVSLDFSRERETVFLLKLLESCPNLQRLTLSDDVVPCFTDHKERLTNIPFLTTSLVEFSFLGFKPEEYEKSLMVCLLTEAKNLKKVGVQFDESELATVKEIMSVRKALAQRTYHKFAKQAKSLRADKVSSYDQEEMKLSHREVPKQALLAKQRHKWSNHGSTSTHDKPIDKSHLDHRAVGSPRMLDMVTN >Et_9B_066038.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21153324:21154052:1 gene:Et_9B_066038 transcript:Et_9B_066038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLEQRGRIFVLTLTGDGEHRLGHPLIASLRSAVASAKAAAASAGPGAALVTVAEGRFFSNGLDIGWAGTSRARLGELVDALRPLAADLLALPMPTVAAVTGHASAGGFLLALCHDYRIMRADRGVLYMSEVDIGLPLPPYFVSVLRAKITAANALRDVTIRGRKLRAAEAKEMGIVDTVCPGPAETAAEAIKLAEQLAARKWDGGVYASIRMSIFPEACKSVGIVEESDEEKRKHFASRL >Et_9A_063536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6393061:6394956:1 gene:Et_9A_063536 transcript:Et_9A_063536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLFLSSASLLPQIRREPAATAAISFSLKPRRFLSFSRIPPKPPRCSRIPCSPSGKPSTTGVLSSVASASTTFLFLLAASLLSLSGVRTLPALACAPTTTQEIEEQEEQQESEECKQQGDDEVKKAEEIAKEEEDEVKLYSDILSRDPGDVDALKCALFAKMKSADWGGALQYVSQLREAEPGEVEWKLTEAQLHELNGDLAEAEQKFREVLAEDPLHVRALHGQLDAASEKLQNLISEDPRDFRPHLCKGIVYALLDKKEEADVEFDTYRSLVPDEFPDKSFINDIITAAKTESNDRVQKEFGSEFFWKK >Et_6A_047294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3478859:3482059:1 gene:Et_6A_047294 transcript:Et_6A_047294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQALFSRARILLLPYARSAHPGHALGLRSLSSHAAAAAAPYGELQRQRDEEGKAVKVTVWWDFQKCLLPHGANAFRLGARITAALRGVGIRGPVEINAFGDVTLLTREEQEALAVTGITFSHVPKSCVPSPTSDLSLLENCTQLMLNICCNAGTYHNKALCIPPPYVHVVFLSHLIILTIHFHCPGDKESCDRSFMADLIYWIAQNPPPAHFFLISGDKEFANVLHRLRMSNYNILLAYPNPGSKELCSAATVMWKWGALVKGVDVTPTYVNQPPDADGVSYSWYGPYRSAVDIQLLKSKDNMALPLNTKVPRVPKPVFKAIKKVLQFYPEGISLPNLRAELTRVNVSMNKGLFGFTKFSALLQAMPDVVKFIDPLPGDSQPAVVGIFKRSVESSEQDWDAMDSAQSIIDGKHLSETESEEQSLWDAQSSTSELSSCAEKKTLEADVPSSPSDQSSSSQRKAPDVTPQAKPHSNRVEADVTLSSDVPSSDTVSRDQMNAPDVDLVTKTEPRVSRTEADMVASPDTSSSEVQGNLGKKGYYEFLM >Et_4A_033739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26356032:26360162:1 gene:Et_4A_033739 transcript:Et_4A_033739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAALRKLSSKAVRSQPLSHITPLYYMASLPATEERSGVTWLKQLNSPLEEVDPEVADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAETLCQKRALEAFRLDPEKWGVNVQPLSGSPANFHVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISATSIFFETMPYRLDESTGLIDYDQICNKQKAILLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGKEIKYDFEDKINAAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVMSNSAKFAQSLVAKGYELVSGGTDNHLVLVNLKNKGIDGSRVEKVLENVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVAEFFDEAVKLAVKIKAATTGGTKLKDFVATLQSDSSIQAEIAKLRHAVEEYAKQFPTVGFEKETMKYKN >Et_2B_019163.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15039411:15039713:-1 gene:Et_2B_019163 transcript:Et_2B_019163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVACQEGLALAADLALRRIRLASNCLNAVRAIHGSELGSYDHLVKEIKARLTVFESSEVVQESRLSNIPDSHLHANSGLISSVWFLEPRGNLYFCTPS >Et_5B_044841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6436206:6446491:1 gene:Et_5B_044841 transcript:Et_5B_044841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGGFVTRALEAMLKECAANRGKFAALQQSIQSYLGACAPILFSSRLRIGISLWLTRELCRLSDGIKGAQKEGEGAPASGVTEVLASAGRVLEGPQAELVLQPLRLAFETKHLKLVEPALDCLHKLIAYDHLEGDPGLEGGKNSPLFTDILNIVCGCVDNTSPDSTVLQVLKVLLTAVASNRFRVHGEPLLGVIRVCYNIALNSKSPVNQATSKAMLTQMISIVFKRMESEPVSVSPEGSTVKDTPSSTTHESENGEISSGNQNDDKVTLGDALSMNRASEASPTSVEELQNLAGGADIKGLEAVLDKAVELEDGKKVSGGIDLDTVNIIQRDALLLFRTLCKMSMKEESDEVATKTRLLSLELLQGLLEGVSDAFTKNFHFIDSVKAYLSYALLRASVSSSPVVFQYACGIFAVLLLRFRESLKGEIGVFFPLIVLRSLDSSDSPLNQRASVLRMLEKVCKDPQMLADVFVNYDCDLEGPNLFERTVSALSRIAQGSQSADANSTVSSQTVSVKGSSLQCLVNILKSLADWEQRRRDSSKQANIVESREEDGSRSLTTDEAKNQDDGLNQFERAKAHKSTMEAAISEVMIGEYLGQHEEFPLAVMHAYVDSMKFEGLKFDAAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVRMNTAGDEEECPPKELLEEIYDSIVKDEIKMKDDFHNASKTAKSRPETEERGRLVNILNLALPRLKSASDTKAESEKIIKQTQALFKSQGQKKGVFHVAQQVELVRPMLEAVGWPLLATFSVTMEEGDSKPRIVSCMEGFRAGIHLTRVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLALADTDMDALQDTWNAVLECVSRLEYITSSPSISATVMLGSNQISRDAVVQSLKELAGKPSEQIFVNTVKLPSDSIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLAQHFIAAGSHHEEEVAMYAIDSLRQLGMKYLERAELNNFTFQNDILKPFVILMRNSNNKKIRGLIVDCIVQLIKSKVGSIKSGWRCVFMIFTAAADDEDESIVESAFENVEQVILEHFDQVIGDCFMDCVNCLIGFANNKCTPRISLKAIALLRICEDRLAEGFIPGGAVKPVDAVSEASFDVTEHYWFPMLAGLSDLTLDSRPEVRHCALEVLFDLLNERGHKFSSPFWESIFHRVLFPIFDHVRHAGREGLSSGEDWLRDTSIHSLQLICNLFNTFYKEVSFMLPPLLGLLLECAKKTDQTVVSIALGALVHLIEVGGHQFSDGDWETLLKSIRDASYTTQPLELLNSLGFQKSPTREAEGVASSNNSEQDGHPETSTQATQDNSEGLPSPSGRTQPAVSPRGQTFGQRFMGNMMDNLLVRSLTSKSKSRTDDVAPPSPVKAPDDDGADKAEEESPMMESVRSKCITQLLLLGAIDSIQKKYWSKLKATQQIAIMDILLSLLEFASSYNSPSNLRTRMHHIPPERPPLNLLRQELAGTTIYLEILHKSTVEHDANKSTEEANGVESGEQEKLKNLAEGKLVSFCGQILKEASDLQPSSGEAASADIHRVLDLRAPVIVMVLKGMCIMDAQIFKRHLKDFYPLITKLICCDQMDVRGALGDLFSKQLTPLMP >Et_2B_022190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1234775:1235756:-1 gene:Et_2B_022190 transcript:Et_2B_022190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPPLPCLVFDSGSAQPATAFSVSDGAHHAFDAAELAHLARLGARLGPGDLGHHPPPADSVCALSGCLTTAGWCTVVLVEPPESAVLWYCHAGAGATASASWTRHEYDLGGPSVPVSGGACWCPRFITQLTPRRGSGFYHFYSATEYGVIEFNSPAGGPPAFSTVPMGKVPVEHPAGQFGLLPNTVHWMSPGDKRLRVFDIGTGKKEVREVCKGVAEPSRQSFWMIPEHP >Et_2A_017753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5983478:5987893:1 gene:Et_2A_017753 transcript:Et_2A_017753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWHGGFVIVSLFIILMLRYVILDSPLAEKSLQYVFQQNSTVALHWLDVPNPPAIQNPQDSSEVISTKLLASNLSITRNLTERELQTLHSWNHLRDLVTHAYILPDGVEAIKEAGTAWRELNSALANDDSFVSVNGSTQQKDKGKQCPYSIRRMNATRLGDRFVLKIPCGLIQGSSITIIGTPGGLLGNFKIELTGAAVPGEPDPPMVLHYNVRLLGDKLTEDPVIVQNTWTIADDWGSEERCPSPESDAKATAKVGDLEKCSQMVGKNQTQMFASSSHSNVSIIPSVTRNNAEPRKYFPFKQGYLAVAILRVGAEGIHMTVDGKHITSFAFREDLEPGFVGEVRITGDIKLLSVIASGLPTTEDFEHVTDLETLKAPPVPLNQSVDLFIGIFSTANNFKRRMAVRRTWMQYDAVRSGNVAVRFFVGLHKNEVVNEELWNEARTYGDIQLMPFVDYYSLILWKTIAICIYGTNVLSAKYVMKTDDDAFVRVDEVLVSLHRVNTSHGLLYGRVNSESQPHRDPYSKWYITPEEWPEESYPPWAHGPGYIVSEDIAKEVYRKHKKGELKMFKLEDVAMGIWINEMKKDGLDVKYENDGRILVDGCEDGYVVAHYQEPRDMMCLWDKFQKTKRGTCCKE >Et_1B_014181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34337799:34340226:1 gene:Et_1B_014181 transcript:Et_1B_014181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRALVLYAAGHAALLAPAAPAATGSHLDAFASRASCGFLTLRSPPASAATTDAEVNSSTILELAQLLDVYDHLYPAKNTETGEETAQVDPLELAVPKLSERFMGLRAAMVTNCPRVSSFVANLGFHVFRTEDCAAKSGSSSATKEIGVINGAFGLLGSSDGNVQEASEFDLVFMHVAMESTSSKLGKLGMKTDLNRLEKLVGAIMEAAPTGSVIASRIHVSVMLSYGSSSQNKDEFAITNSSTVTDSDLNLLRPRQSYTMKAGHTLDDVRLHHPILLAQWQEGVTRVDLAEGFSFEEFMKRGGNLAMLAERFLHEVAFKIWKAPKYGA >Et_3B_029126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22249693:22250578:-1 gene:Et_3B_029126 transcript:Et_3B_029126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RGARGRRNGGGDGDAFPADRRQQGGSLPEVRDGGRAVGSRIMLASVGPRRRECGLGAPKFYQRCVCTTLDGHEGFMLSLLSDLTIFDDCNHIHSFDCGQAMSHNNASRALVASSNRSIFGSFRIALAIATRCFCPPDSCVPLSPTGVLYP >Et_9B_066256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8856449:8859195:-1 gene:Et_9B_066256 transcript:Et_9B_066256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVVRSNARNNPILTRVPGEIMDLACMASMNKQQLWRAIFRILRYLSTRGDTKGVRRPSSRTGAWRFLVAEYHYLAGCCTESPDLGMVIFIVAYIGLGVVEG >Et_7B_054442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21420845:21425224:1 gene:Et_7B_054442 transcript:Et_7B_054442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTSSAASSESIERWGYAKTRPNANLFWWFYKSPQGMFSVVKPWPTVLWLQGGPGGSSVGRGNFEEIGSLDSRNLTWLQNADLIFVDNPVGTDYSYVDDPSALLTTDSLAIADIIELSSRCSPRNYPICSSPCSWWSYGGKFAAMIGVAVLRAIKAGTLKLTLGGAALVRVLGGEAMANCPLAPGRLGTLIGGSNVGRGNFQKIGPLDVNLEPRNLTWLQNADLIFVDHPVGTGYSYVDDPSALVTTDSQAVVDIIELLKVLTKELPTLKQSPLFLVGESYGGKFAAMIGVAVLVLRAIKAGTLKLMLGGVALGDSWVSPDNHATRGEQIIISSVLLGLSYGQLLHSVSRLDDNAVAHTDRLARIIKKQMLVGQFAAARKTWTDLFDYIDSRTHSVNMQNFLLDSGMNPVLTQGLEESSDSAPNKIVSIMNGIIKDKLKIIPKDLVWQEASIDVYNALANSFMKPAINEIDELLSSGISVTIYNGQASIATPPFVTEMCEFSFDQLDVICSSIGTEAWIKKLKWGGLKKFLALPRQPLYYCDSSDCTKPKTYVRSYQNLKFYWVLEAGHMVSISISATRKACCRFNSGAVGACAPPPPKFGKIAEYPLLFTGLYCRI >Et_4A_035408.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:23572729:23573106:-1 gene:Et_4A_035408 transcript:Et_4A_035408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEASGVVDPRMKITCSHGGHLLPCGPDGALRYVGGETRVISVPRSASFRDLAARLSEMAAGAEVSAIRHRLADDGLEDVMMSVTCDKELAHMRDKYDRLRATRPAAWFRLFVAATTSSGGDV >Et_9B_066220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7507158:7508946:-1 gene:Et_9B_066220 transcript:Et_9B_066220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSPQRNSHEHNRSSFGNDGYEFSADSITPKQSAHSQDKKPKPKNKPDQEKVSPPSATNPSHGTSQKTTAPVHSSDQAGATVAAAPVTAPGQTASAGNNTEAGGSIGESGGARSNSMESSSATAVSSASATGHVRRHTGGDSRWEAVQVATSSRDEPLNLCHFRLLKRLGYGDIGSVYLVELRGTAAFFAMKVMDKASIISRNKMARAQTEREILGLLDHPFLPTLYAHFETDKFYCLVMEYCSGGNLHSLRQKQPGKHFTEPAARFYAAEILLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTLVKSSSVHANGGAGGNVIAWGVDVADGDVVTSNQGSCIQPSSFFPRILPRRSRKPSKSDVGGLAGGPPAVEFNAEPTDARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTLGIFLYELLHGSTPFKGAGNRATLCNVIEQPLRFPSDGGGPAMASAAAKDLIRGLLVKEPQKRIAFTRGATEIKQHPFFEGVNWALVRSMTPPSVPEPMDFRQYSEAKEKKAPENATAAAGGPAAKSNSESSTDFEYF >Et_1A_004928.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:17289091:17289336:1 gene:Et_1A_004928 transcript:Et_1A_004928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESISSMKMIDGAFFSASSNAFLRLLSLSPASFDIISGPLIRKKKAPVSFATARAIRVFPDPGGPYSNMPLGGFTPMDLKS >Et_6A_047422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5540883:5542246:1 gene:Et_6A_047422 transcript:Et_6A_047422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKLDGDERAETMAALAAAFEEWGFFQLVNTGIPDELLERVKKVCNDCYKLREQGFKESNPVKALADLVEKEGEGLAPKKIEDMDWEDVFTLQDDLPWPSNPPAFKETMMEYRRELKKLAEKMLGVMEELLGLDEGHIKKAFTNDGDFDAFYGTKVSHYPPCPRPDLIDGLRAHTDAGGLIMLFQDDRVGGLQVLRDGQWVDVQPLENAIVINTGDQIEVLSKGLYKSAWHRILSTRDGNRRSIASFYNPARLATIAPATAASTAAGEYPSFVFGDYMEVYIKQKFQAKEPRFAAMATAKQ >Et_5A_041600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24804118:24810563:-1 gene:Et_5A_041600 transcript:Et_5A_041600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLRLSRTRRALLPLSSLRLPLSTQAPAPSPSPAPSSAGRLPRFFSFLAAAAAASIGTTVALCDSGIDHQRAGGKDSTELVVKGERRRVPQEFIDELASFLGENLTVDLEERTFRGTPQNSFHKSVNVPDVVVFPSTQDEVQKIVITCNKYKVPIVPYGGATSIEGHTLAPHGGVCIDMTLMKKIKSLHVEDMDVVVEPGGLGRPLEECMEQCGIIYDLARLIIGSEGTLGVITEVTLRLQKIPSHSVVAMCNFQTIKDAADVAIATMLSGIQVSRVELLDEVQIRAINMANGKNLPEVPTLMFEFIGTEAYALEQTLLVQKIATEHHGSDFVFVEEPDAKAELWKIRKEALWAGFAMKPDHEAMITDVCVPLSRLAECISTSKKLLDASPLTCLVIAHAGDGNFHTIILFDPSQEEQRKEAERLNHFMYLEKELGIESLRTMKRIKVALDPNNIMNPGKLIPPHVCI >Et_1B_014165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33493017:33495395:1 gene:Et_1B_014165 transcript:Et_1B_014165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASRKAYIVAITVQLIYTGMAVTSKAAFDVGMSTFVFVFYRQAIGSLVLLPLALLLHRKNMWVMPPFMLLLKLFSCALAGNTLSLNLFNVGLKLTSATVGSATGNTLPVITFCLALLLRMEIVKLKSASGVAKIIGASLCLAGVLVIAFYTGPAMSPVNHHRAFATHVAFDGSHAIHSKATWIVGTFLMVLATMACYRKSDESPYYKQAVLLKEYPNRLLVATAFCVFSAVQSFIIAVVAERDLSRWRLHPDVSLLAVVYAGVVVTGVTYYLQAWCVEMKGPVFFAIWTPLCFVLTIFCSSFFLGEIVHLGSVLWGKSMDSKLAPQNEVDMIVCEQDQQMHNKSDEYEIGEVTSV >Et_6B_048449.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:5412669:5412890:1 gene:Et_6B_048449 transcript:Et_6B_048449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYMIAIFMELIYTDMCVVVSKAALDQGMNSFVFTFYLQAAAALLLLPIVLVFQRSGQAPCIISGGGWTYII >Et_10B_003370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19094871:19097342:-1 gene:Et_10B_003370 transcript:Et_10B_003370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSWRHHTLLQALLARGPLPEPDFHAVFTGISGKNPATHQQLFNDTLLKINKELAYLQFELRACINQYDGMVYYGVVNNIADEESKLGTKYSVPQIAFYKGLLEAIVHETGNDGSITDIDALNVRLGNQVVIADADSSQDSQSRLPTSITNFTLTQKEKTLTELIRDHWLSYTSSGKIGLGIRSFLDLRSWFRGNDIPSCVVCNEACIKASRACPGCGTEWPRQEGEVDGDDEVNEPGEDEAPSANCSSRKRRKGVKAELVEENENAGPSTAAMPRRGWRKTKAEAVEAAQEASAAGASQPTRTSKRRKK >Et_3B_030065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30155499:30160872:-1 gene:Et_3B_030065 transcript:Et_3B_030065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTHPPPPRPVMLADLNFEPTESDGEDHPPTPRSNPAAAVPVAAAAPAVATDSCTRSGNEDGVLAKHVIAVKDADTVECEDADQHCQGASVPREEKVSNLKAALVHVARKMPKNAHAHFMLGLMYQRLGQPQKAILAYEKSSEILLHDEEEVRRPDLLSSLRIHHAQCILQTSMGDSFDEELETGELDDIHVKMKNSVESDPRQAAVWNILGLVLLRSGQLQSAISVLSYLTNIAPDYLDSLANLGVAYFQSANLELSAKCFQELLLKDQNHPAALVNYAALLLCKYGSVAAGCKSSAGPNLHQKEALAVAKECLLAAAKADPKAASVWVNLANAYYMAGEHKSSKRCLEQAAKLEPNHMPARYAIAVHRIRDAVRSQCSDDQLLWAANEMATVLKEGDPSAVDAPIAWAGLAMAHRAQHEISAVYDTEHTSLSDAEERALYTLKQAIQEDPDDAVQWHQLGLYNICMTRFSRSVDFLKAALARSPDCSYAWSNLGIALHLSDDPSSETVYKRALVLSSRQQLHAIFSNLGILYRQHRMYEFARKMLLKSLELCPGYAPANNNLGLVFVAEGRWEDSIICFEKALQSDPLLDAAKSNLAKVLASSKKQ >Et_3A_027117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33434925:33436033:-1 gene:Et_3A_027117 transcript:Et_3A_027117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQEVASMLAVALLVGAIFASVPTGVQSMGVCYGMLGNNLPPSSQVVQLYKSTGIDGMRIYSPDKGALEALRNSGVDVIVDTGNGNLCEYARNPSSAASWVQSNIKPYYPAVNIVQIAVGNEVQGGDTQCIVPAMRNVNAALAGTGIKVSTAVRFDVIKNSYPPSSGAFAQPYMTDVARYLASTGAPLLANVYPYFAYRDNPRDISLGYATFQPGTTVRDDGSGLTYKNLFDAMVDAIYAALEKAGAGSVKIVVSESGWPSAGGFGASVENAQKYNQGLINHIHGKDGPQGTPRRPGRLFTYIFAMFNENQKTGDPTERNFGIFYPNKQPVYQLNFRV >Et_7B_053427.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:18814887:18815702:1 gene:Et_7B_053427 transcript:Et_7B_053427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGIRKRQDGLTGFPEEILQEILVRLPAKSVLRCRAVCRSWRRLTSDPAFLVAHHRLQPTLHLISSFRSSDEHPFPGCLDAVDLQIGEVRQDIWPGSHNFDASCDGLLVVGSPIISKSRIYNPMTRQWAPLSKKVQVAHVVGLFRHQPTGEYQVLFWRTSSLSHEYYCLIGYCMLTVGSNHDPRLVRWPAPAPVERELVSSSDSPAIILGPLAEVRLVSGPGLTFIRASVLLNGDMYLHRRKYPESRCHKILVFDTVAQNRSTICAHQL >Et_4A_033008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1736532:1737024:1 gene:Et_4A_033008 transcript:Et_4A_033008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDPYNSLSKLELLLLLVKRHTVSPFSKYNYEQAGQALHLDLVNNPDLVSSNPVVAFKTAIWFWMTPQSPKPSCHDVMTNNWTPSADDRSAGRLPGYGETTNIINGKFECGKGQSTDAAKDRVGYYQRYCQMLGVGFGDNVSCRNQKPYGG >Et_10B_003557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3081520:3084455:-1 gene:Et_10B_003557 transcript:Et_10B_003557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRPRRGSPAPGRIRTVPIAVTPEGFWCCPSPAALHKSLKNPHHNHAGNKQPSPPQKQPQQQHKAPSAPPSKAPSVQTAPSVTDEPAPANEQRNEAAPTPAGVGAQQEQQHKICVGFGQPETSDLTVMLYGKEGIAVRMGVHRDVLCQSSVFFAEKLAGGHGPPAACVEIHDCDDAEIYVETVGLMYCDEAKHKLLKQSVPRVLRIMKVAELLGFHACVKSCLEYLEAVPWVGEEEDNVLSSIRHLQTKAYGVSPLLKRVTSDNLNSPSDTLAHIMEMVLTSSDDRGRREMKALVLNLLKDSSHCTDGPAEICSEMLYSSCRGCLDRLQVLFAGASEEDFSVQVTRQITLETDNLLWLVEILVNQRICDDFVALWASRTDIAELHAKLPAASRYTVSCITARLFVGIGRGEMLPSKNTRFLLLQVWLQSLIDDYSWLQCSCRSFDRKIVEEGIGQTILTLPLEDQRSILLSWLGKFLKLGDNCPNLQRAFEVWWRRTFVRPYVNQAEAGDGYQPKVPVKVLGLQHQDVCYPGSGYARVQRMKLTLASSLARLQSRDNGQVKKQADRQSDSDHEFETYGAWRLA >Et_7B_055742.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3216555:3216968:-1 gene:Et_7B_055742 transcript:Et_7B_055742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESFAECIQIDDMEPSVLERLLYFIYTDSLSEKYEGNKIVAMQHLLVAADRYGLNRLRLMCEEKLCSWIDVQSVATTLVLAEQHQCVQLKDACLEFMSWRDVLGPVMKTEGFKHLIASCPMIMAEILDKIASLKIE >Et_7A_051451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18815470:18815691:1 gene:Et_7A_051451 transcript:Et_7A_051451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGMGTVFRYKPGAHSVVKVSGNVTVWISGDDRITLARGMSFFVSSMPGDCERGMKIAVTAT >Et_4B_036036.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:15690547:15691077:1 gene:Et_4B_036036 transcript:Et_4B_036036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETERPKKTTGNSLLVPDLSVDLVLDILSRLPVKSLCRFKCVSRRWHQLCSDPRHRKNNKLPRTLSGFFYQSISGERFPVRHFANVSDPDPPPVSPAFSFLPHAERTSLVDCCDGLFLCRRWEGPLTDACRFVVFNPAVE >Et_5B_044128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20319243:20325008:1 gene:Et_5B_044128 transcript:Et_5B_044128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQQGTAAEAEETRETRKLFVGGVPSSALESDLMEYFARFGEVRSAMVMRDRETGQCRGFGFVEFEAEDAAAKALGGTDHVVCGRQVDVKRARRRPARNQDNQPPAVDNNVSYDSKKVFIGGLRDNVTEEEFRAYFETFGTVTDVVVIYDSLTSRSRGFGFVTFDSEEAVNKVMRQSFHTLKGTKVEAKIAIPKDEQYYRNGRGRGGRHFGTRGPVNYEGPTYQQYNGRYGLYNGYMPQPVPAPFYPAPYFAVGGYPYANGYPTQGVMANVPGMMARRLPPVYGAYPPVYPGLSMSRGGFGDPAASFQNGFNGGSDNKKDQTTVDMQDVVSTASIATKLEHMKLGIFLMTFVTYNDYYFYIDDTGVQHSFGNPRLSEASLELGHKLQTGDDGHKPSRTLCRVITPKIKDTGNTPSVYKFLNNLSSSLFDV >Et_2B_021316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28532003:28534548:-1 gene:Et_2B_021316 transcript:Et_2B_021316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITIEQPQLDAVAERKVAAGDPAELVLDGGFVMPDANAFGNTFRDYDAESERKQTVEEFYRVNHINQTYDFVSRMRAEYGRLDKTEMSIWECIELLNEFIDDSDPDLDMPQIEHLLQTAEAIRKDYPDQDWLHLTGLIHDLGKVLLHPSFGELPQWAVVGDTFPVGCAYDECNVHFKYFKENPDYHNPKFNTKNGVYTEGCGLDKVLMSWGHDDYMYLVAKENKTTLPTAGLFIIRYHSFYPLHKHGAYMHLMNDEDKENLKWLHVFNKYDLYSKSNERVDVEKVKPYYMSLIEKYFPAKLRW >Et_1A_005864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14882419:14887675:-1 gene:Et_1A_005864 transcript:Et_1A_005864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGAGGADGNGHPPRPRRPRRAGVGGLGVGPPPGQASSAAQPHPLAPPCTDYDVAYFKAYSHIGVHEEMLKDHVRTSTYRNAIMHHKDLISGKVVLDVGCGTGVLSIFCAFAGAARAMEIVRENELSNKVVVLHGRIEDVNIEEKVDVIVSEWMGYMLLYESMLGSVIFARDKWLKPGGLILPSHASLYMAPITNSQRYHDSIYFWRDVYGIKMSSMMPLAKQCAFMEPSVETISGENVLTWPTVVAQVDCYTIQAPELETISADFRFTSMLNAPLHGFAFWFDVEFNGPVRQKPKKQATQSMDGSTQNASLSSKRKKQDVSIVLSTAPEDAPTHWQQTLLYLFEPIELNKDQNIEGSVTISQSQQHARFLNICLKYFTGEQWYVKESVMR >Et_3A_027005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29326325:29328288:-1 gene:Et_3A_027005 transcript:Et_3A_027005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRDRPAAWEAEAETARVLVLLAQAQQRELLHLRRQHHGAAAFPAEGFVPPAPSSRGGISGRVFECKTCSRQFPTFQALGGHRASHKRPRLLSQQSPGAADHAELCLGPQPLPPRPTKPRVHECPVCGLEFAIGQALGGHMRRHRAEAEGTDQAPAAKLVLPASTEKACDVAGGICLDLNLTPSENCAKCRNVLVLGAAAGQGVHKALAIVAISTCAD >Et_2B_022088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:898502:902436:1 gene:Et_2B_022088 transcript:Et_2B_022088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALGCAGLGERLAAAARDGDMAEARRLLEANPGLARCAAFGSLNSPLHLAAVKGHHEIAALLLENGADVNARNIYGQTALMQACRFGHWEVVQTLLVFRCNVSKVDSLSSRTALHVAAAGGHVKCVRLLLASVAGDGDSFVNRTASGGVTALHLAALHGHVDCVHLLIDEHADLAAQTLPCVASPMGSIGAGSTPLHYAAAGGEILVSRGADRTTINCKGWLPIDVARTWGCHWLEHVLSPKSHLPIPKFPPSGYLSTPLASVLDLARECGLSLSTSSECSGSVDESEACAVCLERTCDVAAEVCGHELCVKCALDLCSVIKSYDFPGIAGNIPCPLCRRGASCWPLSASADAEKLQRQKK >Et_4B_036193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9451665:9452916:-1 gene:Et_4B_036193 transcript:Et_4B_036193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSRFLASKSQLLLCSRHLLHLLAHICLHLAALVRLARHRHRALGVRMSVLKSGRAGIHLTRNDDGIDHLTFRVVHGQHVEPAAADVLRINDGVQEPARPVRAAHDEGRSVRHVPAQVRHHLGLLLGRHANKRGEEHHVVLAEHVGQGGDVGRVERHARAEVLVRAEQLPRALVGGAAHVVVVEQRVGQVARGEHQRAERQRARPDEGDAGRGQARDVSDQELVLQLAQVDVVAEVREAAQVVERVVEAGEQVGVVRLQLALGVGAEADQLLTHLLRLGVELGHVHRARRDAGHDEVGEQGVDLRGRAQRRQLGDGGVEAGDLLHQGSNLHVLGLHWNSCATLTKLLVLDCLCCLSA >Et_5B_044927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7412034:7414834:1 gene:Et_5B_044927 transcript:Et_5B_044927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMLDFLLRNRLLPHHEHLSFLHAQSPSITPWGTPNPGVLDGNNGLVYYNLLDAELDATYHVMAGRRVRLAVTESGWLSRGRVHHGRPPGSRRLLDAGDTAASVANAQAYNSNLINRILSGNTGTPYRPDANLDVYIFALFNEDKKSVDPDGTENNFGLFYPNMQKVYDFSFHGSVAPPAAPTESS >Et_6B_049972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2251074:2254009:-1 gene:Et_6B_049972 transcript:Et_6B_049972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQFIDLSLLWLEDEELVDQKKYLEERCKPQCVKPLYEYERCVKRIEKDDTGHKHCTGQYFDYWSCIDKCI >Et_1B_011316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20367982:20371958:1 gene:Et_1B_011316 transcript:Et_1B_011316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQQQPQARRQQQQGRRNGVVQVVDGSEIRALVEDKAAFAKFVDDRFRKLDKDGDGRLSVKELQPAVADLGAAIGLPARGSSAQADHIYSEVLNEFTHGKHDSVGKSEFQRVLSDILLGMAAGLKRDPIVILRINGEDLNEFLDSPRYEPEAVAIFSQVESANNASLRQCLLAGLQQLTVDHGMPPASDSWVVENIIEPALQELRADQLDQPASQEAFFQEFKKLLGIIIQRLQQHPVIVAHTENTFDGSGIRKLLSNKFEVDKLLDSVWKDVPKEHKDKTSKKYIRVALDRMADSACLPPYGAVDQVDSVVNEAFKMAKADDGKAVDEAEFKKLLTEILGAIMLQLDGNPISVSTNSVVHEPMSTSSSLSPLSPMVSSPSEFPSLEPVPLPPPFPKPVDPPPPTAFPPPPPPPVPPALEYEPSPYIPEDLEAELEPSPDPAPNAAPHSSDSPPPLPSLSERAWAPLLSATPVP >Et_6A_047591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7875624:7879395:1 gene:Et_6A_047591 transcript:Et_6A_047591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGRPPKRARVTAEPRPLDMRAFPAGGDGPAACGGGGGAAFRDRVRAFLARCAVPADGAWRVGLRVGEEGGKAATVAMEVVEEDVARAGAARVYCEHCTVAGWSRHPVCGKRYHFIIRNEYSVQDFKTCRHCGLMVQLFETGCPSCKHGISYDDPEDWDYMQLDNPRHLLHAIVHENGFGHLVRINGREGGSSLLSGFEIMDFWDRLCIYLRVRKVSLMDVSKKYGTDYRVLHAVANGCSWYSQWGFKLSRGSFGITQEEYCKAVDSLSSVPLSHFFQHSRSPRTKLQDTIAFYQSLSKRPLTTIRELFLYVLELANSKSVHNHFGSVHKKEHSYDAHVLQETWSDDEIKRAMDTALKVLRAVGTTRWVALRTLKAAISHSVGSPQLVDYCLKTLGSRSTDGVVIAVRCNSDTNTIEYRLTDETIPMQCTCLPTWDHLVRDIKFLFDVLLYPHTMHPYKPENEYSHAKRCAMILLDCKQFKRHYDLEEDFLPPNPSLLHIWCQVELLDQVGDPPCIPPELLTLSQTATVADLKVEAARTFRDIYLMLQTFVANQLLDCGSASESTQVKLLFGANGTVRVQGRCAGGERRVAIYRMERGMDKWTVDCLCGAKDDDGERMLSCDSCHVWQHTRCAGISDFDQVPRRYVCKTCKLLHKPKSSQPRVTFSVGPNKRCKTDTGAFSHVGGGFLRPHIR >Et_8B_060724.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5605675:5605911:1 gene:Et_8B_060724 transcript:Et_8B_060724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLGKSSKISRGMVSLRRRRPFQLMVLRRLRELKKIVPAGARRKAKVDVLLRQTAEYICMLELKVAVLRRLSDIYGA >Et_3B_029247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23429174:23432618:1 gene:Et_3B_029247 transcript:Et_3B_029247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHPRFCAALPFGAPAEVCLRPHLLPSFTHQRPLKTRAEKSEGVEADRKEAFCIAHSVMEEARMPRFFKVLVGDFARRIEIPQAFLCHIPEESRRISENTMAASAQLKNAEGKAWPVALEETNGRVFLTTGWPKFVEDNCLREGEFLVVKSEANLHFMVLVFGVNAVERSVWSSRGATCNLDGKLPCDIFPSRRGNSGDQSTEMPASITHGHSQTVTLQSTQRDDHISSHDELGTCFSCKWPMEDDKAKAIAKVMRTFDMDRLTVELFCATLGLYKWNVDTAAEEFDVCKGKQQISPELSLKKKLILQCKSSIFLFFHYCSGKTAHNISFCCSVSFVKEQLQHFFPLDDNSCAPMHERWKNKLDMLNLSNQSLPCDLTPAKRKLVDKHESCDLSHQQKRGVGKLQRGSPHTDTPRRSLRLARLKNTSDWTNNTLKERSEILEPSLTRENQVKDRADKSCLLYEKHDCVLKGVHEEVIGSLSQDFRKFQPMHNQIESGEKQTERSALLTSETFMSTGSTETWSLPTNSKLTSDSRITELSVTWKSSQQGNPLENILLDIERDNFMNTIAHIQKIIRDELPDLQTADIIENIVRTGIPKWDLCLRDSNAQKIVNVVLEHAKKVKERNKFNIDVRKEEFSVKLQDRSKWQLKEMETGYTSLELDYKKATADASICFLKLEEHRKTLCAIEDGIKDLQQDMMTKEDELQKLRHQVSEHETMYQKLIMEKVKVKMALKSYHKTLDEVKRSLSSDEPSSIDVEALVKVEMDNACKEIELSKGIIISINIMKE >Et_5B_044943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7485626:7487437:-1 gene:Et_5B_044943 transcript:Et_5B_044943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAGTDHISALPDDLLRVVLSRLSSTVRAARTAVLSRRWRRVWEPLPALSLVCCRCDRLLRFRPQPGATGKSFTELSELRLHRARVFGRDLEALLSSHCPILKLLILEWITLAGGDGRVIPIRSNSLQRLEIRSGPEFGGILYVTDVPELQTLCAWHVSCLAYFAAPKISEVCWHAQRFDPRRHHFAEDDHRHLRRLEVNDLDALSVRHHESLLENDLKELARCGVLVVRFRMMEHDFMPLMIRLLQNCAGIRNLVVELSFSKGYAYPCKALGCPCNLPQNRTTDHIVLDSLEQVEVKGDGEPKQKVELVRLLSKCSATSKKNVLITVSKGRQTGHTDTELRSIEYGYSCKRGEPDQKVELVKLLTKCSATSKKNVLVTVSEGLQRQYTGVRLRSIIPPNNK >Et_1B_011149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17990960:17998131:-1 gene:Et_1B_011149 transcript:Et_1B_011149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWFGGTDELDIQQILKEAQHRWLRPAEICEILKNYKNFRIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKNDQKTVKEAHERLKSGSIDVLHCYYAHGEDNINFQRRTYWMLEEDFMHIVLVHYLETKGGKSHRARGNNNMIQEAVVDSPLSQLPSHTIEGESSVSGQASEYEEAESDTYSGGARYNSFTWMQQHENGGGPVIDSSVFNSYTPASSAGSYQGLHSMAHNTSIYSGAQDNSSLVLDGSSPVFTLNGHASQNDLPSWNEVVRLDNGPVQMPPLQFPVLPEQGTSMEGMGVDYLTFDEVYSDGLSLNDVSAAGADAESFWQLSSGTGDMSAMENSFQQNDGSLEAAIGYPFLKTQSSSLSDILKDSFKKSDSFTRWMSKELPEVEDSQIQSSSGAYWSTEEADSIIEASSREPLDQFTLAPMVSQDQLFSIVDFAPTWTYVGSKTKILIIGTILNNSQVNEKCKWSCMFGEVEVPAEMLADGSLFCYSPSHKPGRVPFYITCSNRLACSEVREFEFRPSDSSYMDAPSPHGATNKVYFQIRLDKLVSLGQDEYQATISNPSVEMIDLSNKISSLMMNNDEWSNLLKLADDNELSTDDQKDQFAENLIKEKLRIWLLNKAGVGGKGPSVLDDEGQGVLHLAAALGYDWAIRPTVSAGVNINFRDVHGWTALHWAAFCGRERTVVALVALGAAPGALTDPTPDFPSGSTPADLASANGHKGISGFLAESSLTSHLQALNLKEANMGEISGLPGIGDVTERTSLQPASGDSLGAVRNAAQAAARIYQVFRVQSFQRKQAAQYEDGKGGISDERALSLLSVKPSKPGQDPLNAAATRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQARKHYRKIVWSVGIVEKVILRWRRRGAGLRGFRSTEGATEGSSGGTSSSLIQNKPARDDYDFLLEGRKQTEERLQKALARVKSMAQYPEARDQYQRILTVVSKMQESQF >Et_10B_003468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:202952:204999:-1 gene:Et_10B_003468 transcript:Et_10B_003468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFRQAAWWSLGLGLLALIVVAVLCHGNDVFHCTAFALKRWRRGRLPPGNMGLPFVGENPALKRYFRRARRPDGFVDDKRRRYGDASGGVYRTHLFGSPAVLACSPAANKMVLQSPDSFGIRWPAPALVGPSSILNVDGARHARLRACVVNAVNRPGSLRSIARAVQPRVVAALRSWADRGTITAATEAKKVTFEIICKMFVGMEPSPLTEEMDAWFAGLLGGLRAFPLDLPGTAFRRARKLAVLVQCRSKLSAVFRDELQRRKQPREEAEEDVMSALMRAEDEHGRLLSEEEVVDNMVSLLLAGYESTASALMWAVYHLAKSPRALAKLREENAAISKEKNGEFITPDGMSKMKYTAKVVEETLRVANIAAMVHRVALKDVEYAGYTIPQGWRVVVWLRSLHTDPRYYDDPLTFNPDRWEKPAKPGTYQVFGAGHRICAGNMLARLHLTVMLHHLSLGYKWKLLNPDAEIIYVPHSKPSDGAAMAISQL >Et_4A_033506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23945400:23945694:-1 gene:Et_4A_033506 transcript:Et_4A_033506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVRARLTLADPFPLASLHSLSPRRRAERRSGGRRIARGRGEVDVRMHLAKTLASRGLLTTFVTTRFIAQTTGVDAWPATVATISDRHDEGGFA >Et_2B_021337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28816971:28833514:1 gene:Et_2B_021337 transcript:Et_2B_021337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSLVASALVFAFFCCHLCVPSLAFADDFHRCLATNIPIQLVFTQRSPSFTSVLASSIRNPKFNTPAMVKPRYIVTPTNASHVQAAVVCGRQYGVRLRVRSGGHDYEGLSFRSVKPEVFAVLDLSNLRSVSVDTQNATAWVDSGATVGELYYAVANASKQLGFPAGLCPTIGVGGHFSGGGFGTLLRKYGAAVDNVIDAVLVDAKGRILDKNAMGSDVFWALRGGGGESFGIVLSWKVRLVPVPPTVTAFSVPVSVDQGAVDVLTKWQTVAPSLPEDLFVRVLIQKDAADFQGLFLGTCDHVLPVMGNNFSELGMNRTHCKEMTWIESVPYFYLGNGSTVEDLLNRTTPSSVTSTGNKATSDYVREPIARDVWAEIFTGWLARPHAGLMIMDPYGAQISSVPEGATPFPHRAGVLYNIQYYNFWSMADGNGTAQTRWIRDLYAFMEPYVSSNPREAYFNYRDLDLGENVVVGNVSSYEAGKVWGEKYFKGNYQRLAMAKGQIDPDDYFRNEQSVPPLQKMAVSRALGLILCCYAASALSSSTPSGDFLKCLSAAIPSQLLLTQSSPSFTSVLQSTVRNPKFLAPSVVRPICVVTATNASHVQAAVLCGRRHGVRLRVRSGGHDYEGLSYRSTRPEEFAVVNLAKLRAVRVNRRAATAWVDSGATVGEMYYAIAKASRNELAFPAGLCPTIGVGGHFSGGGFGPLQRKYGVAVDNILDAVLVDAKGRILDKKAMGPDVFWAIRGGGGQSFGIVLSWQVKLVPVPPKVTSFIVPVTVDQGALDVLTKWQEVAPALPDDLFIRVFMLKKTASFQSQYLGTCDALLPVMRSRFPELGMNRSHCREMSWIESIGSVPESATPYAHRGGVLFNIQYMNFWAATEDGAANKKWVRDFYAFMEPHVSKNPREAYFNYRDLGLGQNVVVGNVSSYEAGKVWGEKYFRGNYRRLAIAKGKIDPDDHFRNKQSIPPLVARNVGAEATAHTAHTSQHKQQHRASRSLRNMAVRAARAPLVLVFCLLCCYAPAPSTAAAADFLKCLSAAVPSQLVFTPSSPSFTSVLVSSIRNPRFFTPATVRPLCILTATNASHVQAAVICGRRNGVRLRVRSGGHDYEGLSYRSTRPEEFAVVDLAKLRSVRVNRGDATAWVDSGATVGELYYAVGKADPQLAFPAGVCPTMGIGGHFSGGGIGMMMRKAGLSVDNVIDATLVDANGTILNKQAMGRDLFWALRGGGGESFGIVLSWKVRLVRVPAKVTAFNIAKTVNQGVVDIVTKWQTIAPALPDELAIRVVIQNQQAQFQSLYLGSCDQLLPVMSSRFPELGMTRADCKEMSWLQSMVYINFFNTNTPAEALLNRTSSLSTFVKNKSDYVKQAITRDSWQKIFPWFNGPGSGLIILEPQGAQVGRIADGDTPYPHRNGVLYNIQYINFWSNSDGTAQMNWINGLYKFMEPFVSKNPRSAYVNYRDLDNGQNVVVGGVSSFDSGKVWGERYFGAANFKRLAITKGKADPGDYFRNEQSIPPLLQQANMAMPRGLTLALILSFSLYLSSPSTASPDGFLQCLREKIPSELIFTQGSSGFTDVLVSSIRNPRFFTNTTVRPLCIVTPTDASHVQAAVLCGRMNGVRLRVRSGGHHYEGLSYRSERAEVFGVVDLTNLRAITVSAANDDGAPTAWVDSGATLGELYYRIAKNNPEVAFPAGICPTIGVGGHFSGGGIGMMMRKFGLSIDHVLDAKLVNANGDLLDRAAMGEDLFWAVCGGGGESFGIVLSWKVSLVKVPSTVTAFNIFKRLDQGAIDVLTKWQDVAPTLPNEINIRVIVQGQQAIFQALYLGRCSALVPMLGSFFPELGMTSDNCMQMTWLQSAAFFNSWNPKAPVESLLSRGTSMGTFTKYKSDYVRRSLPKGAWENIFPWLTKSGAGMIILEPHGGFLGTIPTEATPYAHRSDVLYNIQYITFWSGNDDGSTAMGWISSFYDFMGQYVSSSPREAYVNYRDLDIGENVVVNDVSTFDSGKVWGEKYFGANFQRLAAVKGAVDPTDYFRNEQSIPPLLQSN >Et_7A_051340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17129128:17129683:1 gene:Et_7A_051340 transcript:Et_7A_051340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFRPRGARQRHCPPASPTVKTLHCILGGGWSALRSSSAALWKAELADGDGAVARPAGAGEDAWAARARRRLEVAARDAEVDRDGHEPRGEAGARRGGLAVAASVKRPGT >Et_2A_017330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33247503:33249557:-1 gene:Et_2A_017330 transcript:Et_2A_017330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASLVGQSSGAGAPSRSRWCSHLSRLPFSMYSYTSSLASWLEMQHSSFTMLLCRMFPSTFTSASNSLSSFASSFLEFHIFLTATILPPAPPSSSRRRPARLMRYTFPHPPLPMTFSSRRLPSTSVSVKLSWVNAVIFQLITSWFSFRRRKRSQAMQTLPMRTMPASIEKRMMRPLDSLSPVADAGTEPENMLFPILISDMLEDITAGRAPVKLLFWIITVFRLGNAHIFAGKSPEKRLLSSIRLSSRTQSPKFAGSSPEKLLLKATMSVSKPLAQSVSGSGPERLLIHISRLPSGECFPSSGGSSPDSGISPVSSFFDTSSSIKFTAVTLRVSSPERELRYSTHAGIVPVRLFHDICSVLSSVRAENASGISPVRLVLNIPRWVSFVSLANSGGAGAGENGLLSCKSTSSPASSLISDAGYTPVKWLLSSRSDLSFGSRATAGGTSPAKLLVERLRCSIAGERPSMSRGSGPAKLLLERSR >Et_9A_062343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22048881:22052005:1 gene:Et_9A_062343 transcript:Et_9A_062343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLALRLLAPPPCLSRRAPSPPLTFLSPCVPRGSRVHASRLEHGVGVALNASMPYSGIDEEMVEEEVDEEDEMVEEEVEEDEAEPTVSMRPRLELIEKPDRSLALLDEYESEELGTSQCPNHRSGYVAVLGKPNVGKSTLINQIVGQKLSIVTDKPQTTRHRILGICSEPEYQIILYDTPGVIKKEMHKLDSMMMKNVRSAIGSADCVLVVVDACKMPEKIDDMLEEGVGNKNTELPVLLVLNKKDLIKPGEMAKKLEWYQKFTNVDDVIPISAKFGQGVDDIKEWIVSKLPLGPSYYPKDIASEHPERFFVGEIVREKIFVQYRQEIPYACQVNVVSYKSRPTAKDFIQVEILVEKESQRSIILGKDGKAIKMLATASRLDIEDFLQKKVYLEIDVKVKENWRQDERLLKRYGYGGEIRAL >Et_8A_057996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11531632:11532877:1 gene:Et_8A_057996 transcript:Et_8A_057996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVDDIASTGATHVWLPPPSQSVSEQGYLPSRLYDLGSSKYGTEAELRSLIQAFHRKNIQCVADIVINHRCADRKDDRGIYCVFEGGTSDARLDWGPDMICSDDTQYSNGRGHRDTGAGYAAAPDIDHLNPRVQRELSDWLNWLRRDVGFDRWRLDFAKGYLAAMAKVYVDNTSPTFVVAEIWSSLAYGGDGKPGYDQRADRQELVDWVRGVGGPATAFDFTTKGVLQAAVLGELWRMRDRDGKASGLIGWMPEKAVTFVDNHDTGSTQASWPFPSDKVMQGYAYILTHPGIPCIFYDHVFDWNLKQQITALAEARSRNGIHPGSKLEILKADGDLYVAKIDDKVFMKLGPRMDLGNLIPSGFRVVASGNDYCVWEKH >Et_5B_043146.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:11236406:11236699:-1 gene:Et_5B_043146 transcript:Et_5B_043146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEFNFAKYVWCVIREGMQWERTPNTLNDFTQNFMSCAGKKLNDLLNFLLGTVCWTLWLNKNDLVFRSKIVKYPHAVVHRALSALGYTKQAGGQKVH >Et_9A_061986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18594146:18595592:1 gene:Et_9A_061986 transcript:Et_9A_061986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQIPPVSRLLVLLAAALLAAAAAVAPPPAAAVNVTGVLAGFPDLADFARLLASSPVAAELAGRSSLTLLAVPNGNLPRSPSAFAAASGADLADVLRYHVLLEYLAPADLRSLPASGKLVTTLFQMTGRAPADLGAVNVTAARSALAVVRSPAPSPADSNATVLGSITAVPYNLSVLAVSGLLVPSGLDLAASESRPPSGAVNITRVLADARAFNVAASMLEASGVAGEFEADERGAGITVFAPTDDAFAGLPAGDRLQSLPADRKAVVLRFHVLHSYYPLGSLESIVNPLQPTLATEFSNAGRFTLNITRANGSVAIDTGVVQATITRTVFDQNPVAVFAVSKVLLPKEMFTRTEGGADDSIVAGAAAAASPPPLAAPPEASEGERTPPTKLSSPPALRGSGEGYDTASAPARAIGWWCIALVYLPLLPHLNLYTGREGGRR >Et_6B_049257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2329101:2339370:-1 gene:Et_6B_049257 transcript:Et_6B_049257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPEQPHQPGVGEAAASSAFSSPPAIRVLDTAVVHPSPAGGPAPPETSLPLTFYDVVWLHFPPVQRVLFYRLAPAAVVNNLRDSLSHAVSAFFPLAGRLRLTPGTANRHELHYSPGDAVVFTVAEYDDDVDSLAADEPREVARIAPLAPPLPNGGAVLALQATLLPARAGRGLALGVTVHHAACDGAGSTRFLRTWAAAASNGAQALPPPPVIDRALISDTRGLYDVFCPPAAPTGDEVGFVPMPEHQLLATFTLSRDNLQRIKDAVAAAAAAHGVAPPPRCTSLVATLGFVWSCYHRAKLLGAGGDQTYFLLSVDHRSRLNPPLPAEYLGNCVAAAIAVASRTELSEEPVSGGGLLAACAALAAGIEDAVSGRATETMGSRMQRVIDAAASGSLLTVAGSPRFRVYDLDMGFGPPAKVDVVSVARTGAVAVAESRAGGGGIEVGVALPPEGMDAFRKCFADALVELRLHAEAHQTMAAAPEKLLHGPACVGGGAATSAASSSRPSIRVLDTVLVHPSSSSAPPPEETSLPLTFFDVVWLHFPPVQRVLFYRLAPDAEADAILRDSLSHALRAFYPLAGRLRLAPGTANRYELHYRPGVDGVAFTVAEYDAEFDSLAADEPREVSRLAPLAPPLPAGGAVLALQATLLRRGGLALGVTVHHAACDGAASTHFLHTWAAAATGRSRSPPPVIDRTLIADPRGLYDVLCPATAEETARFVDKMPDDRLILGTFTLSRARLQRAKEAVMAAASAPPPRCTSLVAALGLIWSCYHRAKPLAAGERTCLGFSVDHRSRLSPPLPDKYFGNCVGGAFAIAPRTELAAAGTGGLLAAFAAVAAGIEEAASGGDATETMAASMERFGEIFATMGVLSVAGSPRFRVYDLDMGFGPPAKVEIVSVATTGALAVADSRAGDGGVEVGVCLPPEAMDAFRKCFDDAVAGLDGPRRRAPELFGALLMASPGSTLNLTMPEPAILDTFRVCPATMSSAGEPEETSLPLTFLDLFWLPKPPVQRVFFYRLAPDADCDAVVANLRVSLSRALGAFFPLTGRLRLAPGGTGTADRYELHYRPGDAVTFTVAECDEELDSLAADEPREVARLAPLAPPLPDGGAVLAVQATLLPARGGRGLALGVTVHHAACDGAASTHFLRTWAAAARNGDAPSPQPVIDRTLVSSIPRGLYNVLCAATPKGKVPVHKTPDDQLVATFTLSRAHLQRAKDAVMAAASEAAPRPCSSLVAALGLVWSPTGRRRRLQSPGAPVDHRSRMGPPALPGEYFGNCLGFALAVASKTELAAESVSGGLLTACAAIAAGIEEAVRGVDDATEPSSKGDATMMRMLLTVAGSPRLRVYDLDMGFGRPAKVDILSAAKTGTLAVAESSAGDGGVEVGVALRPEAMDAFRKCFADAVARLDLGGDAGSSSVTETDS >Et_9A_063261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17859141:17860341:-1 gene:Et_9A_063261 transcript:Et_9A_063261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYVGDDTVIHFTRGRGQEVGTGTFIDLLLVSSAPKRSDTPCSICSNEGASDTATTETNGVVSSCLNCFLAGGALYRFEYAVNPALFLAKARGGTCTLATSDPDETVVRRAKHLLSNGFRCYNLFKSNCEDFAIYCKTGLLVAERGVVGQSGQAISIIGGPLAAVVSTPFRLVTTNIYGMAVMAVGVYCASRYAADIGNRRDVVKVEVEDLTAGLATGRIRAVENVAQLVAPAQSLVAPTQSPAVTTLAA >Et_3A_026560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9688140:9690773:-1 gene:Et_3A_026560 transcript:Et_3A_026560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSFGFLTQPEASQHFVPLKLDIAKLPKTLCSLCPPITTMLSAATAVAAAAACGARRFLPLSPIRRISSLKVPWRRDPALDAAIVRDRRFRQASRLVREVLLSPNRRLLFRYLTKRRERIGLSVQVSTFLRQYPTLLSVSPPPEPVAFPSPQLLAFLDFASRLHELHAPHHASRLAKLLMISSTRALPVTKIAAAKRDFGFPDDFLVSLVPKYPHLFRLVGDPGPDASGNAFLELVSWDEKLAKSVIELRADKEADIVGIRPRPNFTVKLPKGFYLKKDMREWVRDWLELPYVSPYTDASWLEPGSAVREKRLTGVLHEVLSLSVERRMAVPIIGKFCEEYSLSNAFANAFTRHPGIFYVSLKGGIKTAVLREAYDENGELVDRDPLIELKERFVSIMDQGHKIYLEELRRKNEKLQNEREVAARKDGKVAMEIEEQLDEEDTFGSDR >Et_1A_007454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34845512:34848938:1 gene:Et_1A_007454 transcript:Et_1A_007454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHYPDHGLSMDAAAAAAAAAASSSPNPSGFSPGGGEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLAAAAGAAAAGGPSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMGCWELEQSLQSLTGASPGEGTGATMSDDEDNQVDSEANMFDGNDGSDGMGFGPLMLTEGERSLVERVRQELKSELKQGYKEKLVDIREEILRKRRAGKLPGDTASVLKAWWQAHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNPTSSGEKTKKKRARLGTPLYADSTGVANYMVGNQRRLLMARHAYTNQIIKRQAQLVSQIETLKMTNGALD >Et_6A_047581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7675491:7676036:-1 gene:Et_6A_047581 transcript:Et_6A_047581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KSTLERAPNRELHSRRHLTYVLDGDNLRHGLNRDLSIKAKDHAENIRIAGGFSSEFLHYAQLSHREVAKLFADVGLICIACLISPFRSDRDACRILLPGCNILRAKGPTLKGPTDIDLLIVRVLTRQAYESHRSHFQGSGSDWR >Et_3B_031005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9932374:9935951:-1 gene:Et_3B_031005 transcript:Et_3B_031005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRLASRSRRLLRSAVAAPPRASPPAPRPPPPLVGQASRSSSSPLLRRLLQLRSPAAASLASPARLLGDGRLAGDGRRRFASEASAAPVPAGEAAELVEVPLAQTGEGIAECELLRWFVSEGDLVDEFQPLCEVQSDKATIEITSRFKGKVHQVHFGPGDIVKVGENLLNMIVGDSQTVWHDNIAPQPDNSPGVDSTCPSSEDSSPRGTLSTPAVRHLAKQYGLNINEIQGTGKDGRVLKEDVLNNAVSKGLYKESSPVFEDSTGQVELLEEEKSLLDVHCYGDKKIPLRGYQRSMVKSMSLAAKVPHFHYLEEINCDALVKLKASFQNENKDHNIKHTFLPFLIKSLSMALSKYPLLNSSLIEETNEVVLKGSHNIGVAMATEHGLVVPNIKRVQSLSILEITKELARLHEMASHNRLGSADIAGGTITLSNIGAIGGKFGSPLLNLPEVAIIALGSIQRLPRFDDDGNVYPSSIINVTIGADHRVVDGATVARFCNEWKGLVEKPEMLLLHMR >Et_1B_011902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27016297:27024652:1 gene:Et_1B_011902 transcript:Et_1B_011902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMSRTRDLLMEGFEGFVREGSFKWALPRRGDDGDDEDPDASPSSGKRASVAGLSAKANAVATRCARILEVSIEDLQKDFDKQASDSLKQQANYARNFLEYCCFMALAQISQVAGYLADKTFRRLTFDMMLAWEAPSSSNQLSVKVEVDSTVSLEAFIRIAPAIPTVADVVTCSNLFDVLSSSTGGRLSFSVYDKYLSGLDRAIKKMKTQSESSLLSSIRSQRGERILEVDGTLTTQPVLEHVGISTWPGRLTLTDHALYFEALRVVTYDKPKAYELAEDVKQVIKPELTGPWGSRLFDKAVMYKSTTLTEPVIIEFPELTGHSRRDYWLAIMSEVLYAHRFIMKFDISGVDKEETVLKAVLGILRLQAIEELGFQVPIRYESLLMFNLCDKLPGGDVILETLARAIASRTSDRNTQPGTSRGMHAVLSNLGVVSSVNNGERLFVGEVVVGEMSSLQKVVTDSMNNYKKVELAQATVDGVKVDGLDTNLAVMKELLSPVSELWRVLIMLSSWDEPIKSMVFCSIFSYIIIRGWVVYFVVLVLLFSAAFMFLTRLSNQGKPMAEVKVASPPPMNTMEQLLAVQNAISKIEELVQDANIVLLKIRALLLAFPSQATDRAILALVLMALSLAIVPTRVLMLVMFLEAFTNKSPPRRASTERWTRRLREWWFSIPAAPVVVEKDKEDKKTR >Et_7B_054318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:258406:267177:1 gene:Et_7B_054318 transcript:Et_7B_054318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVLGGGRASGLLLVAMAVGVLAALAMAQDVVLGGVYQPRDPCPQVEDIVKKAVEAEFKRNKDITGGFLRVFFHDCFSGGCDASIFLEKEWRKFPVQDRVRQFVNEIRGKVNEQCGQQAVSCADILALATRDAVAEAGGRRVPIARGRFDSKTDNDVSKIPFPGDSISNLLRTFAGFGLADPADLVALSGGHTVGKTRNACGFANPAFKCKQGVGADLDVLSPVTFDNKYFVGLTSNPSLGMFSTDRDLFSDANPTTKRLVQLYARDQNEFLKQWDISFRRLSNVNWTSFRTGEIRSDCTRTNNARLRRVDPAGERLRGRAAEERARDEGLQDGALNLIERIRQKVHEDARCRASDILALATRDAVHLAGGPKPPRSGPSAAAAVSSNGSKNASMAAASGGLSHYFYLGSCPQLETLVRSSVERALNQNVRLTAGLLRIFFHDCFPQGCDASILLDNGERQLAPNAGLQQAALQLIEDIRATVHAACGPTVSCADILVLATRDAVNLAGGPAFTVSLGRNDSRAPASQNDVFTLPPPSFTADQLLKAFGDKGLDNFDLVALSGAHTVGKARCTNFNAPSTPPNGDLGKCLAGICSDPNQLRDLDFLTPEVFDNMYFTGLRVNKAVMLNSDQVLATHPGTNWLVSGFADNHWWFFQQFATSMVKMSEMKGPQGSAGEIRRNCFRPNSAAGSSSSRVAALLVGVVSLCLVALSAKATVTVNEPLVGGLSWSFYDDSCPSVEGIVRWHVVEALRRDVGVAAGLLRIFFHDCFPQGCDASVLLSGSKSEQLLGPNLTLRPSALKLIEDIRAAVHAACGAKVSCADITSLATRDAIVASGGPFFEVPLGRRDGLAPASSDLVFTLPGPTSTVAELLGVFKNRSLDTDDLVALSGAHTIGLGHCSSFSDRLPPNVDNTIDPAFRAKLAAKCASDPAGNTVTQPLDVRTPDAFDNKYYFDLIAKQGLFTSDQGLMNDAATKRKATRFSLNQAAFFDQFSRSMVKMSQMDVLTGNAGEIRRNCALPNARATIQTANDDDQGLAADA >Et_1B_014255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4408350:4410267:-1 gene:Et_1B_014255 transcript:Et_1B_014255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNAMPQTLPEIPSIPDLKRAFPREIPQTSSSLSAAQTVLPGKTNPSHKGESNQQKPGHHQKGSVDDASIMFPTELSEKMVVEHGSMNGSSTSFQICEGSSQAKVTANARLTDRSEIGDRGNSSRCRPSTSSDISDESSCSSKSSSTKPHKANDSRWEAIQMVRTRDGLLGLSHFRLLKRLGCGDIGSVYLSELSGTKSYFAMKVMDKASLASRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQKQPGKHFPEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIKSSNPDAEALRKNNQGYCVQPACVEPSCMIQPSCAAPTTCFGPRFFKSKKDRKPKPEVATQINPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPEYPVVSFSARDLIRGLLVKEPQQRLAYKRGATEIKQHPFFESVNWALIRCASPPEVPKPVEIERPSKLPASTSSTADASTGAPQKGSDGYLEFDFF >Et_5B_043894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17216348:17221227:-1 gene:Et_5B_043894 transcript:Et_5B_043894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCIQRHHLTFTPSSPPATIFRSPHLRRLRTLRFPALASANPPPSPLPFPSSRRQWRWRRRGSSDAVQEPLPAGESAGGSGEKKSFWAAVSLIVGTAVGPGMLGLPSATIRSGQAPSAAAILLSWVYVVSSIILVAELSFAAMEDGGVEEVSFTGLASSTLGAGPGAVVAVVYAALSFSLLVACVAGIGSLVSQLFPGVNPVLANALFPSFAGVLIAFFPFKAVDGANRVLCGLMLFSITALVVTGVSVGRSSLLKSLGYACWSPGAILPAIPVTVLTLGFHVITPFICKIVGDSVYDARKAILIGGSVPLAMVLSWNAVILGLASAGGNAAFDDPIKLLLSVNPAALPAVRGFAFAALATSLIGYAVSFPKQLSDTMGLIVQRFSPKKECAQQAYPCGVSSFGRNGALLTWIVLVIPIFIASFFSAAFSKALDFAGVYANCFLFGILPPVMAWIYRTMKKKRSPDSHEDILPGGNAALLILFCTAVYSGTRRRVGILHPDVEHEVFGGAKYMVHRDIWAGSSPGGASEQVGKDRYMSRDSRLTSERGKLDTAAGFVKDVTQLVKDRCMSTVESNQSS >Et_9B_063692.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19496880:19497494:-1 gene:Et_9B_063692 transcript:Et_9B_063692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVLDCTRLHNDTVGNGTVVCDDRGGDAGGVGRKRCPRRRRREGRRLLRGGLGVPRHVPRGVRDERDDPDRRRPGAARVPSLHEQERHGGAVGGGRHGRGGAVPGRAGGQPRQRGGVRGGAGEQPRARVRREGGGPGPRHRGAHARRRPRHHRAPRQPARISQGAAAAHLRPATQQPRARHCTQLLVLLCTSFRLGKEYQNIL >Et_1B_010581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11881353:11884467:-1 gene:Et_1B_010581 transcript:Et_1B_010581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADPSTRDTMGEALRAKDAAERKFHARNIKGALRSAIKAHNLCPSLEGMSQMVATLEVHLASESKIDGESDWYRILSLSAFADEEEVKKQYKKLALLLHPDKNKSVGGETAFKLVSEAWSVLSDKSRKMLYDQKRRDHSVVNGANGLYTYDKKANKRARKNAAAAAAAAEATIRPPGVETFWTSCNRCRMQYEYLRIYLNHNLLCPNCHHAFMAVETGYPSNGTSPSFSWSTKQQQQKNHTTVDHSYNSASRTSSIPGTGHGVYQQENTYEAYNNQTFQFNQYPKTTSDAAYSTHTSEKPKRKHEENYIYSYFSSGNEYPSGRGRHANRRRNINNGYASVDSTGETAAATVGTTVMADAGRVNGINANGTSGERYRSAVSGRKANVLREIFQLDTRALLIDKAKAAIREKLLELNISTSTFAERGEAKRKEQHVENSLKVNGILSDNPIKKRKIYTTKDADVEIPATDEMNPEQKRVPVSIDVPDPDFHDFDKDRTERAFGNDQVWATYDSEDGMPRLYAMVQKVISLKPFRIKMSFLNSKSNSELAPISWIASGFTKTCGDFRVGRYQITETVNIFSHRVNWTKGPRGIIRIVPKKGDTWALYRNWSSDWNELTPDDVIYKYEIVEVIDDFTEEQGVNVIPLLKVAGFKAVFHRLTGPDVVRRIPKEELFRFSHRVPSCLLTGEERSNAPKGCHELDPAATPVDLLKMLRKMLRREIPHRTQLLWPWNLQLLASMIEALWVKIQRIFAKDDAH >Et_10B_002416.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19150849:19151393:-1 gene:Et_10B_002416 transcript:Et_10B_002416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRRPVAQHRRRPRRRHLPPAAGAIPRPVRRRRRPAAAAHRVRVPDPDLTWADQFALCFADDAAVCGAISPVMRSPAVDGVAETLAGLRVAREETAPAPGGGDIAARLAQLSIGRP >Et_5A_042477.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:14252030:14252386:-1 gene:Et_5A_042477 transcript:Et_5A_042477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLSRAARRRCLWSQCLHSAGIGAVAAPDVLVRNGLQPESMPKHVAVVMDGNRRWAQERGLTTAEGHEAGRRALEQIIRLSRAWGVRAITAFAFSQENFARPKASLPLLSLFPGC >Et_7B_054964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:679236:683104:-1 gene:Et_7B_054964 transcript:Et_7B_054964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFMGLRASQAGTLASDDTRPSPYWLDSFGHRARRVVRAARASRLGLDISLPPETKPAALPYGTPASRIPPLGFYLSRLPPAPPPTPRVREAMAGKKRKSGTEKQQKHRLPLGADADAVADASKRRRSGGPKQHQADEEASIPSALSAKILREARKQQQEEEELLADSGDQPPSEATAAAAGRSTSSSFPVPTADDEDDDVDEFDGFDTLSEYDGGEVEINEEDEKALAAFMSKDNSAERTLGDIILQKIREKDAEVSAEGRPRVKLDNSIVELYKEVGKFLSRYTSGKIPKAFKRIPSLECWAEVLQLTEPENWSPNAVYQATRLFSSNMSTKNAERFYEAILLPRVRNDIRQNKRLHFALYQSLKKSLYKPAAFNKGILLPLCRERNCTLREAVIIGSIIQKVSIPFLHASVALVKLAEMEYCGTTSYFIKLFLDKKYALPYRALDAVLAHFMRFLDEERIMPVIWHQSLLAFVERYKNELEKKDKEKLARLLDHQKHYLVTPEIRRELRSSCNRGEKADPIEEDRWDFPEVPMEED >Et_2A_015037.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27121895:27122254:-1 gene:Et_2A_015037 transcript:Et_2A_015037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEKPTTPPPPLPQPPAPEGSVARAREPGGGGAHAGGGGYPHPPDASIPDAATLRDQWRFAVRQYSRWYSHAWGTAILAGGAFFALGWLVKGSNPLPSRAEPHADADTKGNAVAAER >Et_1B_011225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1921033:1927568:-1 gene:Et_1B_011225 transcript:Et_1B_011225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDMGELPQTPRSTAGYDDFSLLEDEGDLAAAILSRLGSSRREDDQHLCATAAAMAQAVRDQGVPATPVAYFAAAAAALAPLARAGAGGADRNVAGALLAFLSAGLPSLPAAVVRARGREVADDVVRVLEFPSTPDSGVRAGVRCIAHFITKGERASWEAVKPLYAVILRLSTDHRPKVRNQSHSCLRDVLASFQRRAVLVPASEEITSCFERFVLLAGGSKAVQTVATEEGPKGAKEVLYILDALKSCLPLMASKPSNTILKDFKGLLHLHQLTRSILDILHAVGDSPTLQLKSDVLLDLLCSFGLSVSSERKSGDEMASIARLLLVGTKKVYTQNKNICVVKLPLIFTSLGDILSSEFEESRFSAVESLKGLIDNCIDETLVSQGIAQIKARHQGSKSDPTVIEKICAIIAGLLDVRYSDVWDKSFHVISVAFDKLGEFSSDLLPEAVKNLADMQSLSDDDFPFRKQLNACLGSAVAAMGPKNVLEILEIQSICDENEWILPILEKHIVGASLQFFLKDILGIVRAVEKSIPKLLKDDKVFSAKRAEGYVYSLWSLLPSCCNYPCDTSSNFRVLQNVLCDALQNQPDLHGIICSSIQVLIKQNKEALSVSDIPAEDEISKSERRAKERYTKELPEENLKAIRAFSSKLLEVLCSIFLASSKDAIGLLQPAISEIASISDKNVVGRFFLYSIRKLLDATKAVNAEPMDGNSMQIEADANTNSMTRALLLDFAASLMPGLAAKSINVLFSYVKPAIKDSDSLIQKRAYKVLSMLLKDAEFIEKNLDALLELMISSLPCQFPSKRYRLECLYHLIIYIMKDSSNVRKREIVSSFITEILLALKEANKKTRNRAYDLLIEIARACEDTGSEERDSLHQFFGMVAGGLYGQTPCAISAAVTGLARLTYEFSELVGVAYKLLPQTFLLMQRNNREIVKANLGFVKALVAKSKADVLHEHLKGVVEGLLGWQSDTKNSFKAKVKSLVEILVKKCGLDAVKAVMPEEHMKLLTNIRKINERKIRKAGSSEDGDAMSMTSRATRKSRWNHTQMFSDFGSDDDESVNPFSTRHTITSRTGSKASTRFSKKRQADKNLLEKFIDQTTGEPLDLLDQKTVRLALKSAGGRKRAAPDDDDEDFETDPEGRIIVRDERDKRKKKPVSRDHEADDRTSVRSQPIKKRKTSSSGWAYTGHEYTSKKAGGDLTKKDKMEPYAYWPLDRKLLNRRSDRKASARKGMASVMKVAKRFEGKSASAVLSTKRTAKMKHKKNQVLKPTK >Et_5B_043254.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20268052:20268351:1 gene:Et_5B_043254 transcript:Et_5B_043254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRHVLQTSAARTRSAGSSGEMRRRISAMRSSISTGGEHGGAMSRAMKLERWVRNCRIGKESMGKASTLKAKTCAARWFSSTSESSPTACLLIRLSNV >Et_5B_043827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16673345:16675365:1 gene:Et_5B_043827 transcript:Et_5B_043827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATVKPVAPKELARFAGKYYFPESWAQPLFRLLSPFILFVVSGATLSLLPDSVEKRKLYPAHFVTRASGKTGWHLCDFQEYIYGRKSDWPVNVDLEDLLQQYINGKEPLPCEQYPPKLCPCGVPARKGVVPSELGYGYFCGNTVGDNDGWHTRRCDWETFTGRTKLLDKIRRTVPLGDKRLLEETRNKVWSENKIVIPPSWIVSNIRHEFNTNFEGALLHWRKNKDKYPTRADWVALTESMREDGLLLFIKWNNRLELNEWCSNKLKELVDPVIQEEKRKKKEAEKRRQLEAYKEAQLRNPGSLESFFARLTKDARKRREEQARGSMVASVSRLDNAVNNLFTHM >Et_6B_048232.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:14297201:14297569:-1 gene:Et_6B_048232 transcript:Et_6B_048232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMVPRRAPTCIIEELADEPVVPAPAPAPATAPRRRARKTKAPIDVSTLRRSKRLNKDLDGFRDKASADAAASSSTAAEVDPAPHLSAANAHAIGTGFLKMRPADVSLEALVASPENSDEE >Et_1A_006364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21301629:21308334:-1 gene:Et_1A_006364 transcript:Et_1A_006364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQTPNPRSESDPVLQPSPSFSDSEHGFPGASMWSTGSSRSVIQFVSNVVVGGDGDTLSASLSACPSTRSSCGSSSDIEIEVLFQGNDYYNYDEECTSPASRSSRSASLRGCSDCEQDLEAGRSRLLRTSSLTTAEFVERDSRGELRWSALEGLRLSSTSQKSAAGENDNNSTRDFIPVNVNVNPSRSISRSPSHGIRIALDSCERNLASRITEKDIKQAIMFSASLNKLTLTQDEATEYTNLILEELQTGQGLMQMSNTKKGLSRDLQLTFWPKPTPTRYRCGILSAIIVFLQTHWRRIWVVLLWLAACAALFTWKFMQYRERLAFEVMGYCLSTAKGAAETLKLNMAIVLLPVCRNTVTWLRRSRVINSVMPFNDAINFHKLVAGGIIIGIILHGGIHLSCDFPRIARADKTFFGRTIAADFGYHQPSYLEIVASTEGTTGIAMVVLMLIAFLLASSPSRRNPESLPPLIRRFAGFNAFWYSHHVFIAVYVLLIVHSMFLFLAKDVTEKTTWMYVAVPVIIYLGERMFRIVRSMVFDVKILSATAYPGKVLALKVAKPLGFRYQSGMHPFSLTSAPDDNHLSIHIRSLGDWSYQMYNKFQQALLSSNSNLPKISIDGPYGAASQDHSKYEVILLIGLGIGATPFISVLKDIVNSLDKGDGPSRHAERLKKAYFYWVTREQGSFEWFRDIMKEVSALDSKQAVIEMYNYLTSVHQEGDKRSVLISAIQALHFARHGIDIISKTPVRTHFSRPNWPRVFHGLSRRHIGERIGVFYCGPDDLGRQLERLCHKMNTRTFTRFVFHKEHF >Et_2B_021437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29650343:29653701:-1 gene:Et_2B_021437 transcript:Et_2B_021437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEITARASSHPGKAETPPPSPAAAAATSASEVAPLLPDGGVRRRVGCGRFAQRSGSFRREVSRAAAETFLLTRLTLILLRYLGIGYRWIRQFLALCCYTLLLMPGFVQVVYYYFFSSQVRRSVVYGDQPRNRLDLYIPTSTMGLKPVVAFVTGGAWIIGYKGWGALLGRRLAERGIIVACIDYRNFPQGTIGDMVEDVSQGISFVCNNIASYGGDPGRIYLVGQSAGAHIAACALFNQAIKECGEGDAASWSISQLKAYFGISGGYNLLNLVDHFHRRGLYRSIFLSIMEGEESLQKFSPQVMIKDSSARSAVSLLPHIILFHGTSDHSIPSAESQAFVDALQQHGAKADLFLYEGKTHTDLFLQDPLRGGRDKMLEEIASVIHRDDPDAYAQHLAVPVARRLVPEFMLTLAGRVSPF >Et_6A_046384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14063363:14067678:-1 gene:Et_6A_046384 transcript:Et_6A_046384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGRGKRQMKHYKSFHYNGLTFELEDSVMITPEEASQKPYVAILKDITETEGSLNLTWQWFYRPHEADTGGGKFCVARDTRELYYSFHIDDVPAESVMHKCVVHFIPQNKEIPLRKKHPGFIVQKVYGAVEKKLWNLIDKEHEDDRQQEIDLLLKKTLEHIGEHPDVEPEDTPGDRTDQLSDKLKLNNKDVIPTDVTRNPGDSINQFSDKLSPNNKDVNRTDVTRKPPVGKPENIVAGAEIPESAKPRNYAILARYKALTGEEHRDKWLDKLLESIPLTWSKAARVPHADPGTAAKSSSNCSSAKIGSDENGKLYILDDVVPMIVSIERSAHEALGTDFRKYNQKLRQLSINIKNSSKLCRRLMGNELDPLALLTMSPDELKDGLTTAEKTSEPEQSRQLQMTDARCQRCQEKKVGISDIMHAGNNDRYQLECTSCGNTWFSCYDDIVPLTVDGPSTAAGNVAAGTKPQAKAHEKHPSGPLKISRSKP >Et_4A_035045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:978665:983030:-1 gene:Et_4A_035045 transcript:Et_4A_035045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVEDVVAGAGDKEVDAVAGAGEEEPAPRLASTFAELGLCSELVEACDAMNWKQPTRIQSETIPHAIEGRDLIALAQTGSGKTAAFALPILQALLDNRDVHQSFFACVLSPTRELAIQIAEQFEALGSAIGLRCAVLVGGVDRMQQVLSLGKRPHIVVGTPGRLLDHLKDTKGFSLRKIKYLVLDEADKLLNVEFEKAIDEILNEIPKDRRTFLFSATMTKKVNKLQRACLRNPVKVEAASKYSTVDTLKQVFYFVPADYKDCYLVHVLNERQGSMFMIFVRTCDSTRLLALMLRNLGFKAISISGQMSQDKRLGALNRFKAKDCNIIICTDVASRGLDIQGVNVVINYDIPMNSKDYVHRVGRTARAGQSGFAASLVNQYEAQWFVQIEQLLGKKIDQCKVDKEEVMILREPISDAKRLALTKMKESGGHKKRRKMGDEEEVEDRVHSRGSKPSKSRRR >Et_7A_052654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10918806:10919857:1 gene:Et_7A_052654 transcript:Et_7A_052654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRGVGALVRSDFEGRWKIIFMQCPMGGTKSKVAAVMEFHKTLNETSSHSSPSEAVTDRSRRHCSGDEATEQCPLTANPSILLNVLCYGLEPKDLAALEASLHN >Et_3A_026048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3831129:3834628:1 gene:Et_3A_026048 transcript:Et_3A_026048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAAHMFAYNATLCACDPGYYLLAGGNGSSCVSLPGGRGGFGDWQVGAVGASRNQSLYFLAPVFSLDAIRRLTQNQAVLLWTTLAALIAWFAFCAAARFAGREPTRHKSIFRTRFWISRLDFIFDNNHWAEDQQILRKRKTELGGMFSVATLILLTGLVTVLIYQAINKRNVEVHRIKPANAPDLLSFVNDLEFHIITISSMSCAQAVAPSTISMGTPGFLDFRVLPLSTFLTYSCQNTSEGPSISLKCNGCRIPPRDHYVSWQFVDLPRQPAAAVGFQFNLTAKQHGNDKYMSFVSGTISSENYADDKPKTFRGRDSNVLKIQLFPQIYNNHHDLRLLQPLVQDFTQGSTSSDIRSLNTSLQDPADGVINTTLYISYLSDYIVEISKENTLGPVSVLASIGGLYAVSVAIFLCLMSQCEGRIKKLRDEDTRMLRILSKQRAQRNWDKVRKFVMYTWGPSNLDPSDKSGKWPESSMMGSLRESFHKRRKPIRRVTSHGEIDIERVGEMRQS >Et_3B_028305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13175034:13179897:1 gene:Et_3B_028305 transcript:Et_3B_028305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGKRRWHFRAGSRPLPRRVVLLDTTCGPGLEVRAIEGADHMPMFSKPAELIMEVPCSRPLPRRVVLVQGAHHPRIRQPPYHRTQHGGCSARPGRAEEVVSFEEYSRPLLDTVAALPAGEKAVLVSHSFGGCSLTLAMERYPEKVAVAMVGRNPPCPPYSSANGDHNTWHTDCITSALLRILLRATVRPSRWFFDDAVIKGKVLTEERYGAVKP >Et_2A_015145.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32513758:32513817:1 gene:Et_2A_015145 transcript:Et_2A_015145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGQARILYWIAYQFVFS >Et_2A_015401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1276024:1279917:1 gene:Et_2A_015401 transcript:Et_2A_015401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIASCVWQEKATAAAAMGSGGEWAARRVSVAALLATAGGAVNCAVSFVVFSLLDVLDMVLCVVYKLVDYAVEAEWKACYCTAAARDGAGGEAKGVILAASAAAGPKVVRLSPSSAKMQLEDVSDTLYVRPSLLADATKKAGPAAPSLTVSPAIAEMIRVKMDQRAAPQKHKQAPPCWSDCDCKVCHAWSAAPRSASHLYVHVQAPPPSPTSPVEVEDVVFIHGFISSSVFWTETIFPAFSAAARGKYRMFAVDLLGFGRSPKPADSLYTMREHVEMIERSVLQRYRLGSFHVVAHSLGSVLALALAVKYPDAIKSLTLLAPPYFPVPKEEAGAATQYVMRRVAPRRVWPPIAFGASMACWYEHVSRTICLTICRHHRVWDRLFRIFTRNRMRTYLIEAFMCHTHNAAWHTLHNIICGSAARMDAYLDVVADQLACKVAIFHGRDDELLPVECTLAVGARVPRARVTVYDRKDHITIIIGQEELFAAELEAIWRSAAD >Et_5A_040522.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4506367:4507302:-1 gene:Et_5A_040522 transcript:Et_5A_040522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCHNARNVTLNSQRLHTLRYKGGLPPTSTRSVFWIANYATVTALIIDICEEIEGKTPRQIAPFTELIGRCTNLDFLHLALRPAMACYSSLFTWVLRLLPRLRHLELKGCLPNEHSVASVSALLQNTPNLEVLSLFPLLPDPPKKKKNYLYDFGDMVIERNKDDGDDKEVCYGGYVRVPGGVWTAPVRCFNHRLRRISLANYRGRPFERMLARFLLAKASVLEELSVSIAPKCSAHRDSIAEQRSSRTGESVTVPGLLLYRPLQHIAGTIYFVTMAILIIKFLFPFLTISIDFTSHMVFMRASKNDNMHV >Et_2A_016369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2468131:2471332:1 gene:Et_2A_016369 transcript:Et_2A_016369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWFKTIISKKKSKRAKSKQAKLAGQVPNGGNQTNQKSNGPSSSSDPEDTAALEEWAATRIQNAFRRHKARKTLRCLRGVKRLRTIGQTNPIKKQTAATLSYIQSWNKLQSEIRNRRAFMVTEGRNRKKKQENQMKLEEKLHNLQVEWNGGSDTMDDILARIQQREEAAVKRERAMAYAFNHQWRARSATSLGNFNYEMGKGGWGWSWMDRWIAARPWEPRSLVHPENPKKAQAKKDNSSTNPSPLKLQGSMSLSNNINDRKVPKKKPSPSPSPTDHKKPVSREPRAKTSGTPPTPKAKAKDTKGSQEKQVQQRQQKLAPAISRHGTEKGAISAIASLKADNAFSCTATNTLNPRRLLSSLAPYGRRKQGSVLPRILHESGSTTSGPPHPKSTSPWPSRRQSVNALTAAPPPVVTPSPRWSSRKST >Et_7B_053976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13914795:13920652:-1 gene:Et_7B_053976 transcript:Et_7B_053976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTFSPHPSPLLLSLPSSSSSKSNHSQTRLRPIHASASASPSPELLGKSALRRISEKLRSLGYIETSTDAPAPVPSSSEAPGPSSPGEIFLPTPAQLPRHRVGSTLDPSWATGDGEADPAARRRRRSSRGRDAGAGAASAPPSVAELALPRDELRRLQGIGIRIRKRLKVGKAGVTEGIVNGIHERWRNAEVVKVRCEDVWALNMKRTHEILERKTGGLVIWRSGSTIILYRGTNYKYPYFHYKERMDEEDDNNLQDDSIHKESSESLDMSSAEQSTGEKGKNKSEIRKEHTKHAMLSTKRLVFDTREGNLDMRKTKKNKMRAPNEQHARLHITSYVGRPSEVSTRARSSLVAGVGSPNKFRLQLPGEVKLAEEADKLLEGLGPRFSDWWGYEPLPVDADMLPSVVPGFRRPFRLLPSGVPPKLTDREMTILRRLAHPLPFHYALGRSGNLQGLAVSMIKLWERCEVAKIAIKRDARNIDGVLISEELKELTGGTLLSRDTESIVFYRGKDFVPPAVSLAIETRRKQGSSTTDKPKPNIEKSTPPEYTSELNISSDVSAHTREEKTSVIKSTAESLNMVAQSVETRLSQALAEKEKAEKLLEELEKSSQPLKAESRETISEEERYMLRKVGLKMKQFLLLGRRGVFDGTIENMHLHWKYRELVKIICKEHTIEDVEYAARTLEAESGGILVAVEKVSKGYAIIVYRGKNYQRPSTLRPKTLLTKRDALKRSLEYQRCKSLKLHVLNLSKNIDYLKDQMNSSYYYQVPEIVPKSSKQEVEECASVETDRTLNLTRSGVPLDDMQSQVCFNKPNDGSSVTSNPSLTESSTAVSLSDLIRNQNQPISTVTSGSDGHCEGDSKDVDEANLDVESAPQLPVSARPLSNQERLVLRKQALKTKKRPVLSIGRNNVITGVAKTIKTHFKKHPLAIVNIKNRADGTPIPQLISELEEATGSVLVSREINTVILYRGWGADVGQKGSTENTMDEEEKEVISPQLLEAIRLECGLLPVESE >Et_1B_014372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:725713:726816:-1 gene:Et_1B_014372 transcript:Et_1B_014372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNQGNTTRGTTTRDLASASCRSCAHLLAPDVVDGEDGAEVHWRDDVDGSGHELLHDESVCALGGVEGVPEDVGVAVVAEAGDHGAESHAVEPALSAARLRGGGEAVRLGVLDEVVVDALRVHAHEHVAAQLRVQLVQHRQQPRVVHLRRALQLPVAAAVQAVQRQLGRDAPDGAHALHQHAASAKVVEVLQVVAMCITNWMPVSLRIPTPADAKLAPMSILSPSSSGLRYGSRGSEPRCSPARNMIN >Et_9A_063585.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:8419742:8420704:-1 gene:Et_9A_063585 transcript:Et_9A_063585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAFIAVGFHPYGATPASGHLLTTTSAGEAAGSSRRLSRYYTLPELAHREGADAAVLLLCARDGGDTGGGGDVALLAFLTTERHLLGGGVYRERLDLAAAAPLLSRSLRGAEPWASRACWALAGGVCWGLRVELCRRNGLPSPTGLMSLPDDVKAEILRRLCDGADLARVECTCTQLRRLLAERDAELWKPLYEALRLRRRRRLLRARRWWYPFFLFGSSSSSGDESAPEEEEAAVVSWKLKYVQARPRRIRHLRLLSYLDWLKDFEDPLEEEEEKVSTSAVGAGVHRRKGERNEFKKKHRHGAGAIHSPSSRYRWKNR >Et_7B_055241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8603948:8607990:-1 gene:Et_7B_055241 transcript:Et_7B_055241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQENIKSGGGDKNNVAVEEAMPAAAKENGRVGAATDPRLQGIFDAIRVVPHFPKPGIMFNDITALLLRPDVFKDAVGMFVERYRGMRIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYELEYGRDCLEMHIGAIEQGERVVVVDDLVATGGTLSAAIRLLERAGADVVECACLIGVPKFKDFYKLNGTPVYILVGSRK >Et_10A_000890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18830594:18836173:1 gene:Et_10A_000890 transcript:Et_10A_000890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRGVYLSEEWGLSALLASPTSPCRSAKAPSIMSDRGRLPRRLIDDRRGYPDIRMDHRGYPVTRAVDDHRAYPVVDRRPYPDIRAINDHRAYPGIHAVDRRAYPDVRDGLQMRGAPRPHPAVLEEEFELQEVELRRLLADNRALVEERDILHRDVQAGRDEVGHLNMIIADINAEKEDYISKLVDKRRKLEAELRATEPLRDEVVQLRGEIDKLVGVRKELSAEAAALMQELAREKSGNQQLPMLKAEIDDLRQEIIHVRTQCALEQKGNFELVEQRKAMEKNMNSMAQEIDQMRTELAKFEVRPWGTGGTYGIQMSSPEGTFATPYGDSYNIHLGVSEKGSLLPPESSSWSKYDKNHLQCFDYEDS >Et_2A_016537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25799871:25811260:1 gene:Et_2A_016537 transcript:Et_2A_016537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEAGPSGKVVLVTGGAGYIGSHAVLQLLGAGFRAVVVDSLANSSELALRRVAALAGDQAARNLAFHKLETDAAVLGRALNSDEMDQSKEGGLFRRIKEFMRSSFSYFSVSVCPRLCNKVADALAARGVRALPVGEQVFWCQAPCFVDIRDKDALDKVFASERFDAVIHFAGLKAVGESVQNPLLYYDYNVVGTINLLEVMAAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLIPHNPYGRTKLMAEEICRDIYHTDPEWSIILLRYFNPVGAHPSGYLGEDPCGTPNNLMPFVQQIAVGRRPSLTIFGNNYATKDGTGVRDYIHVVDLADGHVFALRKLFSNIGCEAYNLGTGKGTSVLEIVNAFEKASGKKIPLVIGQRRPGDAEILFSSTAKAERELNWKAKYGIEEMCRDLWNWASKNPYGYASSESPKLSLKQNVKEHNFRTRIQ >Et_9B_063643.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15167639:15168263:-1 gene:Et_9B_063643 transcript:Et_9B_063643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKALGVPAREAVVLGALLNVKGYADVLAINFSDKFGVWGETAQVVLLLSSIVNTFMVGPASATIVRWQHHASRYRSRCLQDLRPDHELRMVVCVHGAVDVHPMLTLAYISKAAAPMTVNVLHLVELVTSRKYAITHQLYHAAGAHGRGRRVGVGDDDEWGYARDIERVAAAVTCFINDNAVPVRQMTAVSAVSSMDE >Et_5B_045257.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1504261:1505556:-1 gene:Et_5B_045257 transcript:Et_5B_045257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGGDGAESLPEDVLFEVFSRVRNLKDLFSCAATCRRWLRLFTNPDFLRQLWPEHDRAPPLVGFFSNAHVKTTRRRRDGSSSSVLDFTPIRESPIGIDDCTLASFVPADHNFISFTQHLASRRGLLLTRFREEIDLHERRTRYRFVLCDPITGAHDVLPELGCTCVDLFHNGYAILAAGDFDPSHDHEPRGLVSTRRRRRLSMFSQLLLVGLGNHQSGRRVHVHTYSAATRSWSAPVICMLANLAYLRQADCPAVVHRSSARWLYADDDPLNQSSPRYLHVLCVEINSGRASFTKLPVRFDGQPLLCVSRDNRLLIACVHATRVNVWTQQQEGNPAAWIQTSQVLLKVPSSMPLEPQSTGCQVRSWFYMDKGILLAKYRDYNAIFVVDLDTWAMDKVMDFPHSPPRNYLPYTMDLPELFLSQLAFSRGY >Et_2B_020924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24931922:24933671:1 gene:Et_2B_020924 transcript:Et_2B_020924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAGSEESLKTSVRLSILTDTAGFDAQPVAVLLLVYTHRNPTCLSTSEVKASSPMAITMKGIFKRLKIIARISTVHKEHEIEIGLPTDVRHVSHIGLGTSDLCPSWVRTFEQMNEFRGLNEPSAGSVGSIEQSRQISWASLGKYLAHPFFPVRNQQGEMPYQPFVQSNATVSR >Et_2A_016493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25228841:25237887:-1 gene:Et_2A_016493 transcript:Et_2A_016493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSPPPYPLVFLCIYYYTIVICIHVSCASSFAFNFSTTSMSPCDNDLVCWGNASFANHMIELTTNEISTGSGHSLGRVWYARPVRLWDAASGELASFTTTFSFQITVDQKNMNPGGSHNTGDGMAFFLAPYSTNDVLNSGNEVGFLGLFNDSNKYNTTDGSRVVAVEFDTFHNKWDSSSQHVGIDVNSIWSVASTDASLVGDKINLTSNTMMVAKINYDNQTKLLAVDLDIDGASCHVNHTVNLKSFLPQEVAIGFTAATGTSAELHRISFWSFDSSLGEKVVPPASAPAQKIAYTSIPIPHVKSKPSIKYSASTGLSPELRRILSSSPDAAPAPFDFTPPAETPPPDDSPSAPPPPDQEPPPPPYYSPSAPPPPDQETPPPPSAPLHAAPPSHLDNSYQPPPPGKTNWLPKVVAPILGVSVFAIACLLLWLWQKRRGNVEQNEAPNNNESSDEQHGTEADYERGVAGPRRYHYRELAAATGDFSDENRLGRGGFGSVYQGNLLGVDGDRQVAVKLFSSETSSQGRKQFEAEVKIISRLRHRNLVQLLGWCDSPKGLFLVYELVREGSLDKHIHTKPWLLTWPDRYKIIMGLGSALRYLHQEWDQCVVHGDIKTSNIMLDSSYNTKLGDFGLARLVDHGSGPRTTGNIFGTPGYIDPDFVNTRRLSTQSDVYSFGIVILEIVSGRQPVVQSPPFMLLKWVWSLYSQGKTIEAAELRSDEANVRQMERALVVGLWCAHHDPGQRPSIAQAMQVLQSEDAKLPEVPPHMYKLVALPSVIDISTGGYSGVSGSSFSSGSKSDASSSGSGSGGVGGFALIIISCGALIIISFGASSPLSSYGLGFLCICYCTLVLCIPVVPASAFSFAFNFSTAPTTPCGNDLQCRGDASLSNRVMELTRNDITSNSEHSVGCVWYAQPVPLWDAATGELASFDTSFTFKITPDNRFYKNPDGSPNTGDGMAFFLAPYSSHVLSSGDGGGLIGLFNDSNKYNATGDGQVVAVEFDTYRNSEWDKSDQHVGIDVNSIISAVYTDTSGQDNLTSNIMMTATINYDNRTKLLAVNLDINGSWYNVNRTVDFTTSLPAKVVAVGFSASTGSSAELHRISSWSFHSTLEEKATPPAPGPQPSTKLLLKVLVPIPAVSVCATMGVLVWLWQKRRRNARQHEALNDSDSDEQHVDEADFERGVAGPRRYYYRELAAATGDFNDENRLGRGGFGSVYRGSLQGDGGVEKHVAVKKFSSEKSSQGRKEFEAEVKIISRLRHRNLVQLLGWCDSAKGLLLVYELVPEGSLDKHIHNNPRLLTWPERYKIILGLGSALSYLHRDWDQCVVHGDIKPSNIMLDSSYNTKLGDFGLARLVDHESGPQLTKLVQGTVGYIDPEFVNTLRRSTQLDVYSFGIVILEIVSGRQPVDRSDPNFMLLKWVSSLYSQGITIEAADARLRGGDDAGERQMERALVVGLWCAQTDPGERPSVVEAMHVLQTEDARLPSFPTQMYKMAAPPTVVSTGESGTASSSSYSTDVRSSATTGTTPSSGSFVT >Et_7A_052139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4987626:4990364:1 gene:Et_7A_052139 transcript:Et_7A_052139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHMGRRTVGGLLFTKGGSILLFREESSRHKANNCCSRHDRSSRHSVDKGKGKELHKAAVPNESTLAAPRRPQISKNPSRKPPQPQESGASGSIIRDAGSSGSETGSRPRDTPGRDILARLKERVNASRKRSLTRENSPSSSSSSSSSSSSNGVSDSSSPSSRSISRPSHRAASRIRKAEEGPSTRADRVQRNSTRDARRNSERSDDDLLLARDREPAEGFLSGFMARYRNGLQGALSSLEDSIEDSNGYWRFDMGGTEEELLALGEKIGTVNTGLSDDALSKCLNKSIHIPTTSSSHEDSDKKCSICQASSFASDSGEEVGKMACKHYYHISCIQHWLRQKNWCPICKSLITQRS >Et_10A_001463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3648858:3656426:-1 gene:Et_10A_001463 transcript:Et_10A_001463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAALRLPWELEPNAFGRWFGLKMKQHVSMDVVTGAVGSLISKLGELLTNEYKLLKSVPEEIESLIKELECAHAALCKVSKVPPAELDEQVKLWARELREASYDMDDVIDTFLVNEGGHETSDQEGLLQRLLDKAVGLVSIVRNQFKRRKIAGTINDINKRINEVTHRYGRYTADNIALARPAARVDPRLAAMYKEVSQLIGINKSSSELISMLSPQGDDEFKRKMKMVSVVGVGGLGKTTLAKAVYDKLKEGVDGRMVSAFVPVGRDPDLKKVFRDSLTRVNTWISKLQCWMKGSSLMSSEITSETEVVILILGTENKKESNKVGTHKTRRREIKIEIYPEKKKCVLKSENAKLSDCHVSRYLVVIDDIWDACSWETIKLAFVDNKHGSRLITTTRHLDVATKTGEVYKLQPLSSDKSKKLFYTRVFGAKGGRFDDQPDELLDKILKKCNGIPLAIITMASLLAGKSREDWIKVCSSIAFADKDNQQASDTEWILSLSYYDMPSHLKTCLLSLSAFPEDYFIHKDRLIWKWVAEGFVDSKSGTRMFELGEGYFNDLINRSMMMAELREGGIIYGCRIHDMVLDLLRVKAQEENFVTISGTNAEDTSSPGKVRRLVHQNGTVEQTHQDTQMDMQRVRTFIACRCIIHPGLSFMSFKLLRVLALEDCGGWQQNPPIGLKHLESLLHLRYLGLRKTCIYKLPDEIGSLKYLQTLDLEQTRVEKLPYSVGLLTQLICLLAFNTELPNGVIDKLTSLEELHVYVRVGSAGQFMKDLGKLRELRVLVLCFYFDMNVIMQSDFVESIGNLHKIRHVKLNTNGIQPDQTVWNTAVLPRPLRFLSIREIMFRTMPSCINPLVLTNLAYLELNVRDLDERGLQILGRLPELRFLFLRTDSSVTLTIQAADDCFQKLTYLILPLLMPQFAVNEDSSVSFTIWNRKDDMAFGSKTGDKCIVAPTVMQNLQVLDFFVGVQDLLRNSGSCENLGLEYLPSLKKVRLDLWDYDGADDMEKEKAALRHAIEAHPSRPTLQISCLTKEHIEWCVPCSHGFVVDHDLATDEQEDVVSSTAYEDVAMSRGEGDPRLT >Et_9A_062197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20654746:20658540:1 gene:Et_9A_062197 transcript:Et_9A_062197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRIGNKFRVGRKLGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGKRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDMESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISERKIATSTEALCRGYPTEFASYFHYCRSLRFEDAPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQMTSAPPRAIAPAVGQSSGMAPIANNNRHSATEEGRRSGWSDMDPTRRHVPPPAINAGSLAKQKSPVRLEQSTSKDAVFDFFGTGSSRRPAVSSSREPSTEADQTRSRTTDASPGAFQRSGAPRWSAQMPDSSDGRRSSSGRHHSSNTKNYESTIRGIQGLNFDGDDRVHY >Et_2B_022729.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3919192:3919524:-1 gene:Et_2B_022729 transcript:Et_2B_022729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRMPRRRGAPPSTTGGGRRKRMAVARLGGDGSGGGGTRKRFFVALRLRLRVRWLAAVYRRAVRRLRACYAQALRDLVEGTALMGALHAPVGVDYAHAASFGPIATAGF >Et_5A_042907.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6771096:6771587:-1 gene:Et_5A_042907 transcript:Et_5A_042907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGLVHDAGARDYVDFLCSLNYTTEQLRLFAPDMATCMTTTLPGSAADLNYLLRRDLPRPRRHPHADKDGDQGVRGGRDVQRHRRDAEACERERHAGDPGVQEAVQEEELRKCKKGLAAASFRLPENDPVARVEAAVFQDAAPARVRGAEPPRAAHRADVIL >Et_4A_032468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10468355:10469839:1 gene:Et_4A_032468 transcript:Et_4A_032468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEFMERALEQAKFALDNLEVPVGCVIVEDGKVISSGSNRTNATRNATRHAEMEAIDILLREWQEMGLDQPQVAEKFSRCDLYVTCEPCIMCATALSILGIREVYFGCANDKFGGCGSIMSLHEGSASSSDDLTGSQVPKPKGFKCTGGIMAEEAVALFKCFYEQGNPNAPKPHRPVRIAQP >Et_5B_045661.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6396518:6397543:1 gene:Et_5B_045661 transcript:Et_5B_045661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGEQQQQRPEGDAPAPPVNFWGEHPATEAEFYAAHGVEGESSYFTVPDGDAGRRLFTRAWRPRAPGARPKALVFMVHGYGNDISWTFQSTAVFLARSGFACFAADLPGHGRSHGLRAFVPDLDAAVADLLAFFRAVRARGEHAGLPCFLFGESMGGAICLLIHLRARAAAEEWAGAVLVAPMCRISDRIRPPWPLPEILTFVARFAPTAPIVPTADLIEKSVKVAAKRVVAARNPVRYNGRPRLGTVIELLRATDELGARLGEVTVPFLVVHGSADEVTDPDVSRALYDAAASKDKTIKIYDGMLHSLLFGEPEENIERVRGDIIAWLNERCTPAATP >Et_4A_033776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26862623:26863775:1 gene:Et_4A_033776 transcript:Et_4A_033776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GTGASKPPRRQNNDEYGYSIREVSLSSDDPALTGEHLLPSPILRFEARTGLPAHFAAAFGSSIIATQPAVPSISGVSPLALKHHVPVFDVRARGLVYGPRPEPDPVDPIHIPTAAGGRLFALSAGAFARLDAPPLVVGEGGSGAGGSPTRLTILVSTERRRRASSSSATFAFDTADVNGGGVGERALPFAGRAHFDDELDAWVGFSRNQDADGRRRLCACDDVASAGADDAGDNDGQSFSDLKFCKETLFSEDTTWPRSFAWGAEASTASCRASMSSRTTTTLLMMGSMTELLMIRRTMDASSDETDEEGLNSRPRWHLFRITTFSLKYDKNGDLMTGNSRRVRYYSVPETVSEASMKVPVAFWL >Et_2B_021149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2717359:2719766:1 gene:Et_2B_021149 transcript:Et_2B_021149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVHNFKGYKNDGMERGGFTISRDIKMMEWKEVRPDSNSPCTILFSMLKFPVLVISRLTTDDSPSKLSISSKSCSTGTHDCCMTLFSLSSFSATSLIVGLLSPTISRHCLASSATSLSNPLSLSFLMRLSITSMSLSCFIALRKHCERLLSSSGPSSLNAFLPVSSSKRTTPKLYTSLLSVS >Et_2B_019170.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15579847:15579960:-1 gene:Et_2B_019170 transcript:Et_2B_019170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAIGKIVLETDAILVKQAIESLSHEDFAYGDLIMG >Et_10B_002592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17657379:17657753:-1 gene:Et_10B_002592 transcript:Et_10B_002592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVQQPATAAAGAGPETSVLCVSKCGTCPTVCSTPPQPTSPSSPSYGGSGAGGGSSSSPSHSTSPPAGQSKGGHPSNYYYFFTAGAGRCAGPSVYALVVLLVSVTLVASGFQ >Et_6A_046274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11942267:11950798:1 gene:Et_6A_046274 transcript:Et_6A_046274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAQTLSLFLLLVAASCSHAIVTTSSEDDSNEGLKHIHLYIHEKVAGPNATIGLTVGTAMEPGSGYLTFATLVFTAGKYTGSTLTIEGHVRNFTDPFERAVVGGTGKFRLARGYAHKMFLGKATPQTDVYEIDVFVVVHRC >Et_7A_051045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13928888:13930681:-1 gene:Et_7A_051045 transcript:Et_7A_051045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAVAPPAPRQLRSPCLRPAPAARRGPEPGPPRRHMATAVRCETSRRPEALPRHRAAAAEGGGGAGAGGRRKRLVVFVSGGGSNFRSIHEAALGGAVHGDVVALVTDKPGCGGAEHARSNGIPVIVFPKSKSAPEGVSVSELLDTLRGYEVDFVILAGYLKLIPAELVREYPRSILNIHPSLLPAFGGKGYYGLKVHKAVIASGTRYSGPTVHFVDEHYDTGKTLAQRVVPVLADDTPESLAARVLHEEHQVYVDAVAALCDDRIVWREDGVPLIKSRTNPEEYT >Et_10A_002148.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:2443772:2444467:-1 gene:Et_10A_002148 transcript:Et_10A_002148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHRCRRVAALVATALCLVVVHEGRCGAAARPLRRPHAVEERTAPAAASEAATLDVAAAAEAPEGVRWGVGAGGDEALNAGKWLPMPMMPMSLTFPAASALRFPPVSLFPGAAGVSMPWLPGAPPAFAGPGGGLPAGLVPPYIGATRQEQLSLWASLFNPMQVRPRLPATSTMGGGGETTGGQVDRGAPAIASGGKAVEEGETMDVPAAGAVPLGGPKWGVFLGNIDHRH >Et_9B_064460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15399477:15405081:1 gene:Et_9B_064460 transcript:Et_9B_064460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKVSAVDKSPSDSTLGRNQVADHAPGAVEVDKPPPPVSVEDAAAKRPEEQQQQQQSFSFLESVVPGLSFQGGASAGGDAGSIDSPQLSCSLSQKAGLGKARGGAAKVSEMSSILGRVGTAGLEKAVEVLDTLGSSVTSLNASSGFVPSSAVKGNEICMLAFEVANTIVRGCNLMRSVSKANINYLKEVVFHSEGIQHLISKDMDELLKIAAADKREELEVLSKEIVRFGNRCKDPQWHNLDRYFEKLASEQLPPCHLKEDAQSVMQQLTSSVQRTSELYHELHALDKYQSEYHGKQKEQDGSTSKGDSLHMLKQEVKAQIKHVKSLKKSSLWSKNLEEMMEKFIDIVHFLHLEMYNAFGCADTEEPQEPAKHHNRLGPSGLALHYANIIDLIDTFFFRPSAVTPIMRDTLYRGLPPSIKSTLRSKLCSFKLKELTATQIKAEMEKTLQWLTPFAHNTKRAYHGFGWVGEWANKGSGMDYKLSGQMDFTRIETLYHADKEATEACILELVVSLHHLISKSRNDNGGVRSPTKSPVSSLAQKRTTITLLPGETNNSSPILTQEDQDMLRDVKYRKFIPGISKSQEFETKPRHSKQSRLSKSSSHSPASGNRKDLFSVRRLSMLPVVDFETDRTKALDLIDRLDDIKVQ >Et_10B_003163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17180602:17185632:-1 gene:Et_10B_003163 transcript:Et_10B_003163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPKRINYVAPMLASAAILLLLLSGYFELPSISSLSTPAPLLAGPGSRFPTALDSVGSRDPAAFTSLPAAFQDWDAAVGCPRIRAKLAADPGAGANATAAEAITGGAAWSGARCEELGAVRHVGVLVKGWTWIPDALDGVYTCRCGVSCVWSKSAAVVDRPDALLFEGATPPQQRMKGLPLRVYLDLEAARKPTGFEDIFIGYHAQDDVQATYAGKSFHTSRSYHVSTEKRNDALIYWSSSRCLPHRDKLAKDFLSLVPHHSFGRCLNNVGGPDMALSMYPVCSTNDNGTPHWWDHLHCAMSHYNFVLAIENTKTESYVTEKLFYALEAGSVPIYFGAPNVWDFVPPNSIIDASKFSSLKELASYVKTLANDPVAYAEYHAWRRCGIFGNFGRAREMSLDSLPCRLCELVSKRGGRSADALRAASQTLETLAARLRNLRRRREQGSPETPAAQLPCARPDDDWGGLPCAQHGEHTHVPTARQARHGSPASSSPGARAAAGRGGELFPWRAGMEDGGAAEGGRGRGTLAELARFQDV >Et_4A_034522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4009534:4020038:1 gene:Et_4A_034522 transcript:Et_4A_034522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHCRNLSKFFKISTLPHKSKQAHARAFASSAPTGTACSLDALTYLLHDTCSLKCLRKLHALLAVAGAIQETYVVTAVVDRYLSLGKPASAASVFAGAYRRHPEVYSLNLVIRCFSDHGFHRELLGLYRDLCGFGSDNFTLPLVIKACAAVSCLGLGREVHCMVLRTGHGANVGVQTALLDMYAKAGQIDVSRRVFDGMVQRDLISWNAMISGYSLNGCFREAAKALQEMRLDGLCANASTFVGIVGMCGGAGARDLGNSLHAFALKCGVVADESLTSALISMYAASGDLSSSRLVFDLQPVKDLVPYNSMISAYMQHSKREEAFEVFRLMHSAGIGANLVTIVSVLPSCSDFFGRNHGESVHGMTVKLGLANQVSVVSALVSMYSKLRKLDSAVLLFSQSTEKNHLLWNSMISGYLVNNGWNAALDTLREMQEVGVDPDAATVINVISGCRHAKDLHVAKSIHAYALRNKFESNQSVMNALLAMYSDCGEIPTSYSLFQKMEIQTLISWNTIISGFAEVGDSETSLRLFCQMRREGMQYDLVTLISLISSLSVSEDTKVGESVHCLTVKNGCNSDVSLTNALITMYTKCGILEAGQKLFDSLYSRNTITYNALMSGYQKNNASEKILPLFHHMIKNDEKPNLVTLLNLLPICQCQLQGKSIQSYAIRNFTRFETSLFTSTMCMYSRFNNIKYCIRTFNFVSERNIVVWNAILSSCVQCKQADMAFDFFRHMLFLNVKPDAVTMLALISACSQFGNADFAACVTAVILQKGFNANISVLNALIDMHARCGSILFARELFDSAVEKDSVTWGAMINAYSMHGDGDLAIDLFWMMIDSGVEPDDVTFVSVLSACSHSGLVEQGRALFKSLQTEHGIAPRMEHYACIVDLLGRTGHLNEAYDVVRSMPFRPSDSLLESLLGACRFHCNAKIGESVGKLLIESEHGKSRSYVMLSNIYASAGKWSAYEQLRSDMETKGLRKDVGYKLEFVHISIISFVNIKLGELRIRRMEEENIEQADSSQIVSLSSLKSVQSSIEPELIEYINEDSNNDLQKTPSACSDASMQHEHSTSLILTDNKRNSLGIYVDQCAPIDDSVTLSPKVDSSDLPSTNEVPDDFPSSSNEAYESKEAQDASISMQSSEVIPSASCESSMQQGRSVSPILTVNESNSQEIAVEQKVTIGDSITLSPKVENHEFPPTNDPDGFPSCTNEAYESKEIQDSIAPESSEVNVPVMAQSLLRSREGVQVDAPCTDSDKVARETPRAILKMVKEDKDLLVHRLPKRQMSLADTRQKLPAPVSRSVSGKNLRTDKATVDTTTHIESVKVAASKFGGSINWKTRRTQPAQVHDLDKLKNEISECKRQAEAAETAKMSVFDDLDRTNKLIDELKHVLERKQTEEVDAKEDLEFFQFIVREMEGRVVSGDSGFIKEKQKIIQVLAKLKLVKDESRKLQEDYDSLLIEQDVSIKKAQAAFTLSKETERQVEDLTLELKHLKEMLDLDRATCHDAKERKKEALTMRDMDCSTWKNNLRQAGQELNQLGKKLSSIEELKSELDTSSSLLLNLKSELAMCTEANPAEEAQEQEGGNHKSMQEVIPSRNELEEHRKNIAKVTNELCALKATAATLKLELNKERVALATMQQKETMALMTVQSLKLEIKLSLQEIEAVHAMAERRDRMVGLPEALQDAALQAAIAANSQEELRKTWEEVEQRKAALSAMEFKLQAVLREIEAAKESERLSLSAFRALEDTNSVISIEQDSPDQLITVDLDEYTSLLEKAHQAEALVHERTAAAIAQVEAAKASESQTLSRLDETLKALEERKQALLAATEQADRATEGKLAMEQEMRKWREEGRQRRRASEASKSDTRASKAAEIIVERSGDAKCTSKEDGCALVHPLSDASGRSSPNELVLHSKPKKARKLSFFPRVIMFLGRRRLKAAR >Et_9B_065949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18615503:18616538:1 gene:Et_9B_065949 transcript:Et_9B_065949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPDAVRNVVGIVGACPQPSSFYIYRRCIYVVVTGEQLMMINNGACMDVGNFISFGLFLSPLPTFVQIVKKRDVEAYVPDPYLATFLNCALWVFYGLPVVHPNSILVATINGTGLAIEVAYLSIYFAYAPKPKRVKMLGVLAVELVFLAAVVAGVILGADTHEKRSLIVGSLCVFFGTLMYAAPLTIMRQVITTKSVEYMPFTLSLVSFLNGICWTTYALIRFDIFITIPNGLGTLLGLAQLILYFGYYGSTPVKTVELPVTAGDTKDGSSRGGL >Et_1A_006432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22157137:22164990:1 gene:Et_1A_006432 transcript:Et_1A_006432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDLVLKPACESCGNTSDLYGTGCKHTTLCNNCGKTMARSRARCLVCSAPITRLIREYNVRANPIITDKTYSIGRFVTGLPPFSKKKSAENKWSLHKEGLQGRQIPENMREKYNRKPWILEDETGQYQYQGQMEGSQSATATYYLLMMQGKEFNAIPAGSWYNFSKVAQYKQLTLEEAEEKMNKRKTNATGYERWMMKAAANGPAAFGSDMKKLEAANGGEKETARPSKGKNEEGNGSDKGEEDEEEEAARKNKLGLTKKGMDDDEEGGKDLDFDLDDEIEKGDDWEHEETFTDDDEAVDIDPEERADLAPEIPAPPEIKQDDEENEEEGGLSKSGKELKKLLGRAAGQNDSDADEDEEEDDEDESSPVLAPKQKDQVKDEPVDNSPAKPSPSGHARSTPPASKSKQKRKSVADDAKTSGSAASKKAKVEPDTKASIVKEETPSSAKSTSKASASSKSGANVSPVTEDEIRSVLLAVAPVTTQDLVSRFKSRLRGPEDKNAFAEILKKISKIQKTNGHNYVVLREDNK >Et_10A_000004.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:10972736:10973128:1 gene:Et_10A_000004 transcript:Et_10A_000004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVPLPLGVCSHMMLETAFPEMPGWSADTRGAHANSPTGCRTGHDGRIRRRALMPASVAPPSSPASAARTGTPPWPCCGRRRRRGRGGAHGAVAAGEMKKRRGGPEPS >Et_9A_061931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1839870:1849450:1 gene:Et_9A_061931 transcript:Et_9A_061931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QIKNDLPGRPKEKKSCSSPLKTTSSEQLGSSPPVFQSPNRRRSIRRAAEPWRRRLSSRTSSSARSSSESIRPTPRSSSAPPSSASLGAASSPTPPSSAATAPSTERLPCSASSPPLDLERRNCRVLDCRHGRVLLSDYSTRDIVVWDPITGEDRRIPNKINSYFNAAVLCAVADCDHLDCHGGPFLVVLVATLRLAECTTCAWVYSSEAAMWSAETTVQSNYYMDKLPPVLAGDALYFISEHGWAIFRYDLCGEGGLSVIDGNGPDMYLSVVLIPAEDGGLRFAGPDDYRLDLWSLDAMDPEGDASWTQHGVIRLDMLLSVTDSMCPLDLIGFAKASGSDVIFVNTDASVFMIELESHKVRKVYDKGCGHHPIFPYTSFFTPGINLAFSRAQEAAMPPPPPAVDLTDDLVGEILLRLPPDDPALLLRASLVCKPWRRILLTDPSFLGRYRAFHGTPPLLGFVKNTNDGVARFVPSAPFLPRNHGRRNCRVSDCRHGRALLHEHTATKNFDFLVWDPITDEEQRVLVHVDISSCHFKGAVLCAAAGCDHRDCHGGPFLIFLVVADELKWATCAFVYSSESGEWSARTNSQMNHYVNMLVVSPLLAGDALYFVCEFGREILRYDLVHGLSTVNGPSCYYYDGVVLMSTVDGLRLAGLTAHRLGLWSLESISAGGTAEWRQLASIQLDKLLQINPSGGLPLLNGCDKVRDSDVVFVSTGDGIFTIELESQKIRKPCRSRRRLSWWTISSARSCSAFLLMTPRLPRLQAVAPPLDLRRHPPPLPRVSRDASLARLPPQPQQAIHIMLRSNSVIPPSRCRHGRALLYVNNCRGYLDPRTGEERYVRNADVPRDYFNVAVMCAAGASCGNAVSGRISGRSQKLISASCGHQDCHEGPFRIAFVGTDHLEHATYACVYSSESGEWRVPATLQFEHCVEMMPPVLAGGAMYFMCEFGVAILRYNLHGEGTLSGLDAPEQDMLHEFYDTALIPPGDLGGLMYAGLSSYSLHLWSLENMGADGVAGWSPLRVFELGALLPTAVRRN >Et_9A_062138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:230053:232109:-1 gene:Et_9A_062138 transcript:Et_9A_062138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NQTAQPTRHLNLRIRREEKRRETLGREEHHPPVGSNPSSFSRRRRRRTLPSSGSAMAATSPALLFPSPTTSSSPASARVEAVVLFNICDSYVRRPDQAERVIGTLLGSLLPDGTVHVRNSYVVPHSESADQVAIDIEYHHNMYASHQKVNPKEVIVGWFSTGFGVSGGSTLIHEFYSREVQNPIHLTVDTGFTTGEASVKAYISTNLSLGDRHLAAQFQEIPLDLRMIEAQKAGYEILESTMVEKLPNDLEGMESSMGKLYTLIDEIYKYVDNVVEGRVAPDNGIGRFIADSVASMPKLSPAAFDKLFNDKIQDNLALVYLSSITRTQISIAEKLNTAAQIL >Et_10B_003452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19886148:19889825:-1 gene:Et_10B_003452 transcript:Et_10B_003452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPPTRPHVVATGFIRTPSPGTSRVSMTLGRRRRASSRWRPPRCSRGGKPAVQDETPREPMPETKNELPEADFQKEVGMLGWFRLDSVAADIISIAAPAVLALAADPITALVDTAFVGHIGSAELAAVGASIAVFNLVSKLFNVPLLTVTTSFVAEQQAVDADYNSTGGRDELSTPQENPTGRRKILPAVSTSLALAAGIGLLEMVALIVGSGTLVNAIGIPIDSPMRSPAEQFLTLRAYGAPPIIVALAAQGAFRGFLDTKTPLYAVGAGNLLNAVLDAVLIFPLGLGVSGAALATVTSEYLTAFILLWKLNDEVELFSWNIIGDGIIRYLKSGGLLICRTIAVFLTLTLSTSLATREGPVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKQARKVLYRVLQVGGVTGVALAASLFIGFGSLSLLFTDDPAVLDVARSGVWFVTISQPANAIAFVADGLYYGVSDFAYAAYSTLFAGAISSIFLLIAAPKFGLGGIWAGLTLFMSLRALAGFWRIWSKDGPWELIWSEIE >Et_2B_021470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:333200:337338:1 gene:Et_2B_021470 transcript:Et_2B_021470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAEVLRAGAYISRFSRRACKVSTFPSIVCSPVSRFKLPHWFLDGSRQPKSHWFNHSRLIAMAATPSNGDSPNGPQRNYQVVVAATRDMGIGKDGVLPWKLLGDLKFFKELTLTTSDPAKKNAIIMGRKTWESIPAKSRPLPGRLNVILTRSGSFDFATIENVVICGSMNSALELLASTPYCLSIEKVFVIGGGQVLREYLNGPTCEAIHLTDIESSIECDTFIPPIDFLAFQPWYSSFPVVESNIRHSFVTYVRVRKSVVETHNSNVKESMEVDTKKDKFETQNFSVLPKMIFDRHEEYHYLNLVEDIIRTGAQKNDRTGTGTLSKFGCQMRFNLRKNFPLLTTKRVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASREYLDRYTDMHADYTGKGFDQLMDVIDKIKNNPDDRRIILSAWNPSDLKRMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTYMIAHVCNLSPGDFVHVIGDVHVYRTHVRALQEQIHKIPKPFPILKINPSKKDIDSFVASDFKLAGYNPHQKIEMKMAI >Et_5A_041838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3290554:3293029:1 gene:Et_5A_041838 transcript:Et_5A_041838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSAIAARLGLSGSRPVVRKAAELRRLCDINFDSSVLGIGEVCKAIICLEIAASKFQVIFDRAEAVRMSGMSEKAYIRSFNALQNGLGVKTTLDVRELGIQFGCVRLIPFVQKGLKLYKERFLAALPPSRRANTDFGRPVFTAAAFYLCAKRHKLKVDKLKLIDLCGTSSTEFTTVSTSMADLCFDVFGVAKEKKDSKSIKGNRELLDVLPSKRKHEDDTDSSDESSDEDHEVDLPTYKRHKKMEKEAYNDWKSSVLSSNKQTNTDPAKPRRQAQLNFKKQPAGINVQVPSAAN >Et_8B_059055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1299052:1299689:-1 gene:Et_8B_059055 transcript:Et_8B_059055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNLAAELALWGRPRAPTCLALADRDGELAGVWRFHLGAAAGLLADPCRVCEVIREHGRATACWWRRTAPIEDAGATSSWSLEATGGVLPDAVRATLGRWRSGRTSTAWRRRAEPERVPPAGRAGWRRARGSGTRRRRRHNAFLYGLGAVDTVQLLSFKTERGDRLRRLLNERLIQMYGRTTLEEKNHPTSDDQSEGWTVPH >Et_6B_048762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13302567:13308918:-1 gene:Et_6B_048762 transcript:Et_6B_048762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLLPKLGNLLKEEYKLPKTVKGEIMFLKAEMENMEAALLEVSEAPIDQPPNKHVKLWATDARDMSYDLEDSIDRFMLSINTGNPCKPHSFKRFIDRSLHLLTKAKIRHKIGSNIKDIKSRIKDVTERRDRYKMDHVVAKPVGTKIDTFRLSALYKKETDLIGIEVKSNNLVKRLLEGDEAAKKQLKIISIVGKTTLANVVYEKIKRQFDCGAFVSVSLNPILANIFKNMLHQLDGDNYRNINESTWSEAQLINELRKFLQHKRIITTTCIHDVAKQVGGAYQLEPLSLDDSRKLFYLRVLGMEDKSLPNQLVQVSENILKKCGGVPLAIITIASMLASKMANKNTHKYWSMVHESMGSGLEVCPDMTNMRRVLSLSYYDLPPHLRTCLVYLSLYPEDYVIDIEDLIWKWVGEGFVCQESGKTFYEVGENYVNELIDKNLIQPVLRQCYFDDFKDNNKARFCRVHDMVLDLITYLSREDGFLTIFGGHQSTCLPNKIRRLSLQVIKEEDTTKDAATLMSDMRSLTVFDKSLYVFPTLSSFPMLRALDLRDSKQLDNHHLKDICNLFHLRYLRLCRTFITEIPKEIGNLQFLQVLEINSDEIVELPPTILQLQQLVCLHIPYEIKLPKGLGNLKSLQELPRGVFIRYPSMFYDLSGLIKLRHIIIHFDEWDSSYLKPLFHCLSNLVCLESLVIICFHGTIDLECDNLSPGLEQLQTLDMSSCGFTQVPEWMSSLCSLSSLTIKLSELGEWDLHVLGSIPSLRDLSLWVGGFRENRHKNKLVLGTGYPFPSLIKLVMSWLTNTIDVVFAPGAMQKLETLMFKFGVREELNHFGGFDLV >Et_1B_011106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17712655:17713223:1 gene:Et_1B_011106 transcript:Et_1B_011106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTFSCGSSSAVIVPRKNSHRNVIRASMDSSSSDGSKAASNSVRITTKVNKVYEDRNMGILCYTDQNGELVCEGLDEGPGLTWQDMEKLRKEKKVNAEEDLPQRTLAVPGGVDWSRLQAAASIGKN >Et_2B_021651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:454002:462142:-1 gene:Et_2B_021651 transcript:Et_2B_021651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEPAEAGTPCCVSHAFERAACRDPDSLAVIHAAASDGGGAEDRRFTCGDLLDAVGSLSRRIAAALDAPADCSHDSGRPGCRGGAAVPRVVGVYAWPSVEYVVAVLAVLRCGEAFLPLDPSWPEERVLLAVSASNAALVLSSAESRESRLFQSCACPVLHLDDDIRHGFGDRNGGDGGEELAWPCERGRPRKFCYVMFTSGSTGKPKGVCGTEKGLLNRFLWMQRRNPLCSDDVLLFKTSISFVDHLQEFLSAILTCTKLVIPPPSEWRANPASLANLIKVYHISRITLVPSLMEIVLPALAETLSGSCNPLRVIILSGELLTVSLWKRVYKVLPETTILNLYGTTEVSGDCTFFDCKDLPTILEQEELNSVPIGFPISKCEVSLVTNDGIGDEGEISVSGACLCTGYLNDSMISNCSEDGETLRYYRTGDFARRLKGGGLIFLGRKDRTVKIYGQRFSLEEVESTLMEHPHICTAAVTYEGNGSPDFKAYLVLKSNSEFGEYSQHYRGANSYQDIMASIRSWLIMKLPPVMIPRHFLPMKSLPLTSSGKIDYVKLSSLECALEPCEIGSESSPVDPNMQVIKKAFSDALLVDEVSEFDDFFALGGNSISAAHVAHKLEIDMRLIYIYPTPSKLLHTLPVGNRRVITPTSEFHNRKRLKVSASISSSFNPISANLDNNLHGKEQTNGEGIHDHITENYENETAGQLNSNMTSIDTYQEKDLCLDTCSNSGSFIGRPWIQNFYLQKKWSIGRCNKFMECSGKLQLEDVCSYVPYNKIGYLLELWNIPLDSCVDASPLLVMNNEMMNIYIGSHSHLFLCVDACSGTVSWSVKLEGRVECSATVTGDFSEVVVGCYKGKIYFLDMSTGIPSWTFQTDGEVKMQPVVDSMRKLIWCGSYDHHLYALNYKDRCCTYKISCGGSIYGSPAIDMSQSMIYVASTSGLVTAISCEGPAFRMVWQYEAGAPIFGSLAMDRQSGKVICCLVNGQVIALNLQGTVVWKATVGGPIFAGASWSSTLPSQVLVPSRDGGLYSFDIASGALLWTYKVGDPITASAFVDELLISESLGPSERFACVCTSSGKVHVIRIKADAKREKADEVSKCEELVQGLASIDLPGDLFSSPLMVGGRIFVGCRDDRLHCLTVTT >Et_2A_016159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21653619:21656486:1 gene:Et_2A_016159 transcript:Et_2A_016159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPVDVELGGAGGLEIAGGGGVGRGGAGACGAIGRAVSFRCVFMLLLAVGVLVPVLFLLVPSRHQGYLSDDPDVLAAEVKVGFTLEKPVSFLTAHIDKLGSDIFEEIGVPNSKVSIVSMRPLTSKSSTQVIFGVLPYPKDASISLPALSVLRSSLIEMMLQQLNLSLTPSLFGRPSSVELLRFPGGITVIPAQSGSVWASTDPLFNFVLNNSIYQILGNLTELKDQLKLGLNLRSYEKLYLQFNNEIGSSVEAPATVWTSVLDGSSTLLPDRLKQLAMLITEPDEKNLGLNHSVFGKVKRVQLSSYLQHSISDMSPSPSPSPSPSPSPSPSPSVPSSMSPFGSIPYPAPPTYAKPSVPPQASPPLWSRHPCLPCFSCSRFPPTAFPPAPAHINAPHHLPKAVPGPTSQMMPTPSPSVRPRKKRNSRKSKFPTIAPSPYSKFFLPLLVFIAHFITSYLNSYAMIKAFE >Et_10B_004106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13037866:13038566:-1 gene:Et_10B_004106 transcript:Et_10B_004106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTISRAGRPNHHCSRRLVDNDNAWHFDFGYIFYLDLEASVADPRTQKALAHVQEFTSFLRVLRSRELPNGQDRHHPFTRFLLLLLLVLIVF >Et_9B_065369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4311298:4314013:1 gene:Et_9B_065369 transcript:Et_9B_065369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPALISPCADGRYQRQCSGIFIQWNKKEGRARILTSDYVGFCTGDGKLCDPAPKIDVHLRNNDVVEGYVLFLDEHYQFALVEISMCSSFEVELPSFGCSPCYGQKVFTLARDKDLHLRLVHGTILREEENHLLVLNCTLPPCSSGGTVINHTGDLIGISSNWAICSITTILTCIDMWTRFRCIVRPMLGMCLSTVELLDIVQLDKLWCKYDIGNGFIVDEVTPYSTAEKLGIKEGDLEDFFLSIGLNFLHGMDKINDFK >Et_6B_049877.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:16038362:16038928:1 gene:Et_6B_049877 transcript:Et_6B_049877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERFPKTQEWVRAEMETKGYVEVSDEWLKQRAAADELIDREWPKIQAKLEAIKQQTGQVDEDNDCGGRVAQAVLRGRRRVLDRGGVVEWASLARIFHWILLLL >Et_5B_043477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1130674:1131262:-1 gene:Et_5B_043477 transcript:Et_5B_043477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNILLLLVGVSVFASGAQPPQPPRIQADVVVMGFVPCNNGTSPMRTGSAPGFQNALVQLQCTGGAAVAAAANATTDGKGWFRMALNTTATLASVASGCGLVVATPLASCNAALPATGTLNSGLHLLVSMVFFPRGFSYVSPSLD >Et_5B_044174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2169516:2179326:1 gene:Et_5B_044174 transcript:Et_5B_044174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVQVRASGLLVLLLRLATMSSAWAPVSRTFTVDWQGRGDFRTVQSAVDSVPDGNSEWIRIHVTAGEYREKVTIPKSKSYILLEGEGSWTTQISFDDHAYPSINEIMSRGDAGINAMVNGDADVSTTFRSATFTVLSDIFVARNIAFKNTYNTVNPTAAPDQAVAALVAGDKAAFYDCAFFGYQDTLCDFLGRHYFLRCYIEGAVDSIFGFGQSIYEACKLSSNMPPNGQLQQPGWVTAHARQQPSSPGGLVFKGCTLEGTGRQFLGRAWNRYATVVFYGTLMSDVVVPEGWEAWNAGSDVGDVTFAEDSCSGPGSGISRRVTWEKKLSVEEGLRFPLCFSTSSPLLLLLLLWLSTPSSAWASVSKTITVDWRGRGDFLTVQSAVNSVPDGNREWVRIHVKSGSYWEKVTIPSRKRYVLLEGDGSSSTDISFDAHAHGGIDQIMRRRNVSSNSPTFRSATFTVLADNFVARNIAFKNVYNAVDKRRKADQAVAALVGGDKAAFYGCAFHGFQDKLCDFQGRHYFRRCLVTGAVDFVFGYGQSIYDDCVLASVMPPGNPQPGWVTAHARDGDGSPGGLVFRGGAVVGTTGGSRTYLGRAWNRFATVVFYRTRMGRAVVPQGWQAWNAGREVSNVTFAEVGCVGPGSEMTGRVGWEKQLGEEEVKRFVDIKFIDDGWLSTQPTCATPWRGARRTRRWRRWWAAIRPPSTAAPSTGSRTLCDFEGRHYFRRCLVTGAVDFVFGYVWPVRLRRLRARVRRAAGAAAARVGDGARSPSGLVFRGGTVVGTTGGSRTYLGRAWNRFATVVFYRTRMGRAVVPQGWQAWNAGRDVSQVTFAEVGCVGPGSEMTGRVGWEKHLSQEEVKRFVDIKFIDDGWLANQP >Et_8A_057652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5763432:5764225:1 gene:Et_8A_057652 transcript:Et_8A_057652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTDEEFAALVSGDCEMISCSDEDEYHQPPPMSWDDDARFQAPLFSEEAARVNAPLFSIDDLLQATLSEDEAQMLAEMLCDEEIDAILASQNPKPKQQQPRAPPRSDGKAVNKRKPRTPQRNCSHDAELVQVPAAKRARGRKTKEEAERRASWQRRIAAEILNHRSPRGRTALRCQCAELAAASSGGRDHRCTRKEWMSAKKRRVPRVGGRGKVVVPTRSSGDSIATVAQYAHWRRSVWMPTRFYVERAAQRAIARG >Et_9B_063648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15901767:15902975:1 gene:Et_9B_063648 transcript:Et_9B_063648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALALAVPAAGGACLADEVLLRVAGHLRRRPRPHRPPRDVAPVALPVPRQPLQKQPARERHHHVVNWARRVGDGPHGTGKRSDVERSSEVSGTSENARLITRWRKRTEKNRGVCSDFDSALVRVPVLLLRPRHAAAALVAAAAAPRAALVVPGVGDLHLAALDEVHVGHQRLVVVPGLLRRAPGKPRRHQRPPVRHMLRERHQRALEHLVLRLAPRPAAAHHRQRRRRLRHAHHPGARRRPRGGRRRLPAHAVAVVVRH >Et_1B_010400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10128685:10131823:1 gene:Et_1B_010400 transcript:Et_1B_010400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVGQRYSGWTCSDLPYNDHNPQDPSIQKMVLDHGSVSFGRFAAEPLSWEKRSVFAHNSRQEELSKLTAPGLVAQKKAFFEEYYKKRHLKAQEAMHETQAMSEEADEDKTLDRSSQEDQLPAVISDDPVTSGPSFSFEPSTEVISSDERKCQGAHEIGFLTFNPLFSQAAGLQNIQQEERSSSGQKHYHDRELPCAADTSSNNGHVSEALERKVLAPRCVVSNDNDENDIGGSRIVLPIASLQDEAKRLKGPRKTIAFVDRPGKRSKDLSTSVIHIPRVDLRRNSENRPSQDLKDPFHKRVEMKLRALSDRMSADRAAASSKSASYQPPVRAMASCRSSIQNADKLATSRSSLCQNTGRILAPKSAGQASHRSPKGVHADALPRGIFFNKGSRASHVASGNSTAAGKSSAKILVMPNSSQGGSKPSRATQVTLKGPAGHTSITNTSQNKRKQRSTTATLDENNPKRGYMRTSMPPSTRSSSDNSLPAAKAPKIANRTNMTKVASTRPVSMAGSSKRSTRSNLTGDPSLTKNKPT >Et_6A_047080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2582730:2586125:1 gene:Et_6A_047080 transcript:Et_6A_047080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRHLPPVLLLLFLFFLLLSFRRCLLLSRNPSQSAGDPLLRRLAAVDVGGDQLLYEAAALFANASISSFPSLGNHHRLLYLRIPNYYNPTKPGPPKARAVSRLRVPFDGIPSDASLLAAFRASLRSFLLARRHHRRGNAAGVMTDLPGLLGPRRRFPTCAVVGNSGILLGSGRGAQIDAHDFVIRLNNARVAGFAADVGSKTSLSFVNSNILHQCATRSAISVAGCGCHPYGRAVPMAMYVCQPAHLLDALICNATATPDAPFPLVVTDARLDALCSRIAKYYSLRRFAADTAEPARNWTRRHDERFFHYSSGLQAVVMALGVCDEVSLFGFGKAAGAKHHYHTNQKKELDLHDYEAEYQFYRDLQERPAAVPFLDEAPGFKVPPVKLYCTVGVPLCFSFRVQHVNSGQESKSWTPNIVCGSCGRAAKHELEK >Et_10A_001230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22381026:22382305:-1 gene:Et_10A_001230 transcript:Et_10A_001230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMATSSSLLLCSNPKRSPHGFRSSLVLSRLPCNSYSTFSKKQQHLICLVAPTPRLNCKRSSFRSSSPRCSSSFTDGPSTVGASVRWFLDPAGDGDWRHIGYKVPRPGAFEIASDSVTVGRVADKADIVLPVATVSGTHARLEKKDGSLLVTDLDSTNGTYINERRLVAGFPVPAEPGSLLIFGDIHLAMFRVSNMIVEVPSDAEGAEQESKAEVVSASIEETTS >Et_4B_039249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9134875:9136782:1 gene:Et_4B_039249 transcript:Et_4B_039249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding INTVVAFSIRSTQAVIINRSKNTFDAPTHTISAMSLYSICCGNFHLLRHPIGNTCSFRLLKHHSRLIYETFLPSRRHQTRHISTTVLARSTREGSKQRFSNSRHLHGESVESSIEVFKQSELEHLKSLQCYNVEEKLSGAKTEWPATILVFDIETSGFSRRNDRIIEFAARDLMGGKNSTFQTLINPEKDVRNTYVHGISNNMLSRPDVPRFKELIPILLQYVWSRQMDGKPVLWVAHNGRTFDVPFLIYEFQRCKVEMPGDWLFVDTLPIAKQLVDSNGSKLSSVSLGKLREHYEIPLTGSAHRAMQDVTTLCYVLQKLTFELKLTVPQLIEKSFRVSDLAAARPEK >Et_9A_061027.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:10866101:10866106:-1 gene:Et_9A_061027 transcript:Et_9A_061027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding M >Et_5B_044753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5425648:5427199:1 gene:Et_5B_044753 transcript:Et_5B_044753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSTKSGARSPRSVSRRSRKARVHDRPAHSTSTALHLKIRRSQPHGATSNLHDAPLPSPLNPSQPKAVSPPMAMAFHPLAASRVHLAPLLPSPAAPSAAHRRRFSAIVATAAASATTEFDFKAYMGERAAAVNLALDAAIPAGEPPAALHDAMRYALLAGGKRVRPALCLAACGLAGGREAWAMPPAAAVEMVHTMSLVHDDLPCMDDDDLRRGKPTCHVVYGEPIAVLAGDALLSLAFRHMACVDSYPPDVDPEKHPARVVRAIGELARCIGSEGLVAGQVVDLEMTGSTGNVPLERLEYIHLHKTAALLEASVVIGAIIGGGSDEQIERLRKYARSIGLLFQVVDDILDVTKSSEELGKTAGKDLASDKTTYPKLLGLEKSREFAEKLLCEAREQLAEFDKEKAAPLLHLANYIAHRQN >Et_1B_010606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12026479:12031228:1 gene:Et_1B_010606 transcript:Et_1B_010606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVTTAAALASPAAISTTPARWGLARFAHALRSGRPSSRAVALSGVRTHVAAVEQAVAQDATKLEAPVVIVTGASRGIGKATALALGKAGCKVLVNYARSSKEAEEVSKEIEASGGQAITFGGDVSEEADVESMIKAAVDTWGTIDVLVNNAGITRDTLLMRMKKSQWQDVIDLNLTGVFLCTQAATKVMMKKKKGRIINIASVVGLTGNAGQANYAAAKAGVIGFTKTVAREYSSRNINANVIAPGFIASDMTAELGEELEKKILSTIPLGRYGRPEEVAGLVEFLALSPAASYITGQVLTIDGGMLTPSFSEKAQQNDI >Et_3B_027556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27455223:27455754:1 gene:Et_3B_027556 transcript:Et_3B_027556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLWSFSSLLSISQYCLTCATDTFSLFPREMASSKAVTRSNTAVQTPSSSSEGEAGYEFGEQSQRL >Et_7B_055461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11166762:11168182:1 gene:Et_7B_055461 transcript:Et_7B_055461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRGNAVFLLLLSASCLATLACDPNGAKFGYVGSMGPEHWGSLNPNFTRCANGTNQSPIDIATDEAVYDPGMQPLHRNYTVANATLVDHVYNIALSMEDPAAAGSVNVDGKQYKLKQMHWHSPSEHTVNGQRFPVELHMVHSSDDGNVTVVAILYRFGRPDPFLWQIQDKLTALYAEGCEAEKGAPVPAGFVNLLSLRRHVYMYYRYVGSLTTPPCTENVVWNILAQVREMTLDQAAALTAPLEEAYRHNNRPTQPTNGRTVKFYHRFWKKNKGSP >Et_1B_012818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35323182:35324801:1 gene:Et_1B_012818 transcript:Et_1B_012818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAACGYCGVQRALLYCAQHAARLCLPCDVTVHAGEPAHERAPLCDGCHAAPAAARCRDHLAALCPPCAASARCVAEQHSQRPALTYTGFPEPDDLARILSGGGTSPPLIPPPDTWVPDLVNIEQLASTSTWDDTGNNSELPSDRFIKGDDNVKDEITDHTAVAPAGGLLMAAADDELLMQQDWPNLDDGLLDGFDFAAQAGSSSVVNPIGHMEGAFEASSSLGYDHPSLLSSCSETILPSDAVLQSLTSNNAACQFSSVSANTIATNVIASELPPHHVTFDMPVLPSDEFPGGLFSAGLPPGPPPATGSMGYQDQEPSEEPGQDMEARMKQREKRQQAKQRYNEKKKNRRFGKQIMYESRKARADTRNRVKGRFAKSSNNSDQI >Et_4A_034430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32483594:32488286:1 gene:Et_4A_034430 transcript:Et_4A_034430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASLVSSLTLPLVSAVLGGAIALVFLAGYLRRKRAVIAHVPPSATAAAPDQPKQVRPSNQQKKGHLRQHHHAADKDAAKKHHHLDVNTLRGHTDSVTALHFSSDGCNLATVCADGVVRVFRIDDTSSKSFKILRINLPAGAHPTAVAFSEGSLSVVVAAQALLGSSLYMYADVSAPPTAENKQQGKLSPPEIKWSHPKIHGKESVLNLAAARATYGSSDGSTIIISCSEATDIKIWHGKSGKELGTVDTNQLKNNMADISPNGRFIAAAAFTADVKVWEIVYSKDSSVKEVNKVMQLKGHKSAVTSLCFAPNSEQIITASKDGSIRVWNINVRYHLDEDPKTLRVLEIPLLDSKGSVCQYDHMTISPDGKILAVTSGSTLQWLCVETGAVLDTAEKAHEGDITGIAWAPRTILNGGVPAFILATSGIDKKVKLWLAPEVRST >Et_5A_041518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23978122:23980543:-1 gene:Et_5A_041518 transcript:Et_5A_041518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAELVMLVAMPGNPNIRAVMFTYEACALVLLSFGNLQIPAAMIRIVLSLLRLIPQDYHGKENLTPSLNIFYVMVLGQGILYCVACLLGTFSFIPRRSLARRGGFKGQKAVEFVNLYYAYAWDKCMESDALVRKKISLSRFALDSINSDSPTRQLHGVQLMHSLLQMEPSRTRLISKLNASMVTMERLIRMLDWRSPEDAAIRLFAAKVIVELAKSLRLVTVPGMLQLVSALLDTDRKPKRASALLDTDDEQEGELVTGATKIQEEKHADFITSAHCNRRQRQDHKVWDTSTLDETQACSNKQVGRDDQTFCFLECWKWISRHWSSPREEPPMDHDNLPALGLSIIDSLASYDYDNCVEISRAADLIPKIIEFTYCKNYIENINEVQQKILMGSSLKLLRRLSNTDGEIGITLRHKISEHPILLRNLVGILLDDIVATLELRKLAAEILRNLAIDGNTNQEIGRIKVIITKLVDAFLSKGAPSSTKSNQFLQKVAGQALAILTMESADSCAAILMEPKKIIEESTRMIYQNKHKYVAARLLHNLFLHIQIEHSNSELRKLCETLSKVLEIIMDPKADEAELEVLIGLSSQICRIIPEDFARELEKNGHSREIFVKRLVDALKANMNPVIHSPGIRRVIIEQAIYLMKHSSYYAIQFNQHGMMETLLMVQQTPSRVERYRIFMGNVGYMEHKEPVTNLVAVAKELMTM >Et_4A_032133.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2017243:2017452:-1 gene:Et_4A_032133 transcript:Et_4A_032133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYLVVNLHRFHSYATTVLAAMGGSFEARGPPACGSSARATRRTCGTSSCRASPTTPRARSSRPSSRS >Et_4A_035280.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:1716783:1717808:1 gene:Et_4A_035280 transcript:Et_4A_035280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFRRRLMALTVPKASSLLRRRTKHKKLSYSSRVHSTSLPGRFHPVVAGLHDAANALIGWTAEEPAQAASTAWIGDGVARLGRVLAGLTDLLYHPQAAAQDPLLRRRQGNDHKAVPWAERLLDDLLLLADAHACFRDALLALRQLLGDAHAAVRRRDAARLAAALRARRRSDRDLSRLASTLRCLSHRSSSSSSAASDSGEAALAEAVAAATCAAAAASAAIFSGLASASASSAASRSMTSPMAGSPAKVAAVPVWWVADLLRWRRRTVAVAASESGPGAKEVPLEECCDEEEEERQAAMERLRGLEECVVAAEDGCEQVYRALVNARVSLLNVLTPCF >Et_10A_001261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22770771:22771873:1 gene:Et_10A_001261 transcript:Et_10A_001261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNILDCSSKAMAELQLHQSDDARAESDNTLVDDKKRIRRIPDNSNKEEDVKLNRQHKRRRFSDLVPLETPVPHYDGRQWRKYGQKHINKAKHPRSYYRCTYRQEQGCKATKTVQQQDERIGSDHPVMYTVVYYGQHTCTGNNGGDSGTDDSQTNPTTQSSSDSQSSISGNCSDPSDHQMSLDANKLIDKSTDSAKENKMYEALDITAFVALDLDSWELDALLRFGA >Et_1B_011686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2572725:2574969:1 gene:Et_1B_011686 transcript:Et_1B_011686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLTLVLVAAVLTVAPLRAGAVWLEVPQSGTKCVSEEIQSNVVVLADYAIMFESHPHSHPTIAVKVTSPYGNTLHHNENATIGQFAFTTAEGGNYLACFWIDSTEKGSGVSVNLDWKIGIAAKDWDAIAKKEKLEASLNYKITYHATELRSDALLHGVELELQKLETAVQAIHQNLIYLKARQRLSSVADDCSICLLCREAEMRTVSEKTNARVAWFSILSLGVCIATSVLQLWHLQGYFRKKKLI >Et_7B_054820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4632272:4633808:-1 gene:Et_7B_054820 transcript:Et_7B_054820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHALPRRDLQALCKRNGVRANMTNAAMADALGALPTVDGIEEYVKQPAAVPAPAVAEEEVRRVKQGSPLPRGRRVTAKTSEVVKADEIKGKEEEKDEDAPPIGAVRRGASRRARPAPAAAASVAETAVAEEEKQLPRARRATLKSSEPTKQNGGDEEEKMEANHESNKEEDSPAMGVGRRGASRRARPAPAAAAAADEPAVTVVPPPRARRGTAKLSQPEKVEVEEEETENLKLEANKEDAPAQGGVRRGPSRRARPAPVVSKPAVKSVVEDELGSPLPRGRRVTVNVESSAPIHKEDVPPLGVERCGTSRRAQPEHAVSAPVAEVAVEAAEPDDGEEEDLTAHGVVPRGPSQRTLPAPVEAPATRRRAAANTTEEGNVTLETVPIRPTRQRRPTVKAAPAEEMVPQRAIKKAVQKSSALQHEKEEEEPLGEKGI >Et_4B_038614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3353277:3355419:1 gene:Et_4B_038614 transcript:Et_4B_038614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNEQLNKFKQQQARCQTTLSSIAASQASFSKLKITPGFQPKNAPLAPAKPLQPSKFSNDTQRLQHINSVRKSPTGSQLKLVIDLLYKKRQAFTAEQINEATYVDIAGNKDVFEHLMDNIKVSFVEGRYSYKPKHDLKGRDGLLKNIRDNADGLDVADVIDSYPSVLDDLQALKETGDILWLSGTDSQESVVYPNDPRSKITVDADLKELYSKIELPRDMLDIEKELHKVGEKALTNTAKRRELALIHGAPRKPKPKKQQRGTITSRSKLTNVHMPELFEDRKR >Et_10A_002333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9163083:9166683:1 gene:Et_10A_002333 transcript:Et_10A_002333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHSSGHLTKNGSLTLECTVTVFPDSEAIPVPSPNLQNNLGELLQSEDGADVTFIVSGESISAHRTILAARSPVFKAQFFGEMKEKKSRSIEIKEMEAAVFKAMLGFIDTDTVPELDKKHGNTIAMAQHLLVAADRYGLERLKVMCERRLAIGMDAGTVATTLALAEQHGCSQLKAKCIDFTAGAAPEILGSVLVTEGFKRLEANCPSVLTELFKAAHGRIKKCAMKHGYFGTLTYRIPILLRYVSDTDTPSIRVGRAYRRIAYRYRMGLSGFAGKIEIPVKDYQEIKRNSAKQLLAGR >Et_4B_037754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23108539:23113193:1 gene:Et_4B_037754 transcript:Et_4B_037754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGIDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFCVSGDTVFYVKDRFLRYFEYSTQKEVQVAPIRRPGSVSLNQSPRTLSYSPTENAVLICSDIDGGSYELYIVPKDSAGRSDYLQEAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIATDAVYYAGTGNLLCKAEDRVTIFDLQQRLVLGELQAPAVKYVVWSSDMESIALLSKHAVVIANKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHMKYCLPNGDSGIIKTLDVPIYITRVIGNNIFCLDRDGKNKLITVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYLDKVGFMCKIAGQNNNLMGQFHNALYLGDARKRVEILENAGQLPLAYVTAATHGLTETADRLAAELGENVPSLPEGKSHSLLMPPAPLMACDDWPLLRVMRGIFEGGLDATGRAELEEDDDAAGADWGDEDLDIVDASEVVENGGDGFDAEEVEANEEDGEGGWDLEDLELPPETETPKASGNVRSAVFVTPTAGMPVSQIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKPLFLDLHMGSHTYLRAHAAAPVISIAVEKGWSESASPNVRGPPALVFSFTQMEDRLKAAYKATTEGRFPEALRQFLNILHTIPVIVVDSRREVDEVKELIEIVREYVLGLKMELKRKELRDDVTRQQELAAYFTNCKLQRVHMRLVLASAMGLCFKQKNYATAAHFARMLLENSPNEAQAKKARQVLQACGDKDDSHQLNYDFRNPFVVCGSTYVPIYRGQKDVSCPYCGSRFVPSIEGQLCTICELATVGADASGLLCSPTQLR >Et_9B_064402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14907812:14912736:1 gene:Et_9B_064402 transcript:Et_9B_064402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAGAHYSARTAEEVFRDFRGRRAGMIKALTNDVEKFYQLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLAVAFYFAARFGFDKESRKRLFNMINNLPTIFEVVTGAAKKQTKEKAPNSTGKSNKPSSKMPSRPESHSKAAKVAAPPKDDDDDESGEDYGEEEERDNTLCGSCGTNDGKDEFWICCDSCERWYHGKCVKITPARAEHIKHYKCPDCSNKRARA >Et_4B_037693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22626030:22626637:-1 gene:Et_4B_037693 transcript:Et_4B_037693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSKNKKNASAPNPSITPKAPSDKKPKPSKATEEQPGAAAADKKLKRQKAKDEIGEMFSAARASKKRKGEEAGPGGKRKKRKERAKLMGRPNVTDPNHPGLRSSPCSSFAFANPSRRPRPLRQETQALEAHRGAAGGGASGQEAEEAEGEGRDWRDF >Et_7A_051217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15726774:15728896:1 gene:Et_7A_051217 transcript:Et_7A_051217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEGLKKGPWTPEEDQKLLAYIEQNGHGCWRSLPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPRSDALAAGAGAAGTTAQHAKAAAHLSHTAQWESARLEAEARLAREAKLRALAASASASASAPLHLPAPAPAAGGLDSPTSTLSFSDGTATLASVLESARGGGGRSASSTALAAAMQQPVQAAFEDAFKDPQQQPWGDVDGEVDAGFAAAGFTGLLLDGALSHQDVMRVDAEADAAGSQQQQQQETEDEKNYWDSILKNLVNTSSTESAVVPAAPEAYSPAPEF >Et_3A_026112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4428396:4429066:-1 gene:Et_3A_026112 transcript:Et_3A_026112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRHASPEPSDLLTARDNRRSVTTSAPPAARWCPSPPNAPPSSPRVGARSTSTSAPTPPGSETTAPSARLPARSPVADQVFPAWRQRELERMTPEVILRLSTPDYYYWCLDG >Et_3A_026492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8727811:8729492:1 gene:Et_3A_026492 transcript:Et_3A_026492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVERPPVVKEERMADAKQDMVATIGSALPIVFESFQQSGSMKPEGGGSRLEAARAEMGEVREENERLKAALSRIVSDYKSLKMHFLDVVKVHDEKLPAEPKAPAPVAADDDLVFLSLGTRGGANGGAGRKGGHERSASSGSGSGTAETTADDDSHLSLGLGFTRAGSSLAPSAADDDKASAGPVLNLSSDSNSSADGDAAKPAANQAAAPGESSRKSPSGGAGDDEVQQQAKKARVSVRVKCDTPTMPDGCQWRKYGQKISKGNPCPRAYYRCTVAPNCPVRKQVQRCAEDKSILITTYEGAHNHNLPPAATAMASTTSAAASMLTTGSSSSAPLLASRHHLPPGLLGPTTMVSTAASCPTITLDLTAPPHSSSSLMMHASSSPYAAGYESKALPAAWTSGYPAAYGPSYYGKSSPAMGLFGGGLTGLSRPAPEQQLFGGGHSPPSYLLQRSDTLAKALAADPSFQSVLATMITSYMGRGGGEAAQK >Et_8A_056174.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:12407176:12407508:-1 gene:Et_8A_056174 transcript:Et_8A_056174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSPTSSPSFPQRRPPAPPSSPGDGAGARSGSSPALSTSTRGPTTARTAPGTTTTSRRTALLSSPPRGASPTSAGPSPPAPAKRSTHCARTTPPRDRSPRRRRRFEGSP >Et_3A_024863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24956647:24963215:-1 gene:Et_3A_024863 transcript:Et_3A_024863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPASTVGGKPAMTIRGLFRFADRVDVVLMVLGTLGAIGDGCSTNQLLIFASDVMNALGYGRAGAGSAQFMHEVEKSCMNFVYLAFAVLAVAFMEGYCWSRTSERQVLRIRYLYLQAILRQEVAFFDSQEATTSEIINSISKDASLIQEVLSEKVPLFLMHSTVFVSGLAFATYFSWRLALVSFPLVLLLIIPGLIYGKYLLYLSRQSRHEYGKANSLVEQALGSIKTIYSFTAEKRIIQKYTAILDKTIKLGIKQGIAKGLAVGFTGLSFAIWAFLAWYGGRLVMYHHESGGRIYAAGISFVLGGLSLGMALPELKHFTEASVAATRILERINREPQINADDPKGLVLDQIRGELEFESVRFVYPSRPNMPVLKEFNLQIPAGQTIALVGSSGSGKSTAIALVQRFYDASEGTVKVDGFDIKQLQLKWIRSKMGLVSQDHALFGTSIKENILFGKPDATMEEMYAAAMTANAHNFIRGLPEEYETKIGERGALLSGGQKQRIAIARAVIKNPAILLLDEATSALDSESEKLVQHALDQASMGRTTLVVAHKLSTVKNADQIAVVDGGTIVEIGTHDELINKGGPYSRLVKLQKMVSYIDQESEQFRASSAARTSASRHSVSRASPMPLTPAFLKENDSDVPPPAPSFSRLLAMNAPEWRQAIVGSLSALVYGSLQPIYAITIGGMIAAFFVQDHNEMNAIIRRYALIFCSLSMVSIVVNLLQHYNFAYMGEHLVRRIRVQVLEKILTFEAAWFDEDTNSSGALCSRLSNEASLVKTLVADRISLLLQTASGIIIAVTMGLVVAWKLALVMIAVQPSTMICYYAKKIILSNVSRDLAKAQHLSTQIAIEAVYNHRMVTSFGCSSKVLQLFECAQEEPLKKARKKSWVAGITTGLSPCLSFLTWALDFWYGGKLAQSGEISAGDVFKTFFVLVSTGKLIADAGSMTSDLAKGANAVASVFEVLDRKSVSPQNSQVEKDDQKKKIQGRIEFKKVDFAYPTRPECLILQDFSLDVKAGTSVGLVGRSGCGKSTIIGLIQRFYDVDRGAVRIDGMDVREMNTLWFRGFTALVSQEPAIFSGSVRDNIAFGKPEADEDEIVEAAKAANAHEFISSLKDGYDTDCGEHGTQLSGGQKQRIAIARAIIRNPAILLLDEATSALDAQSEQVVQEALDRIMSGRTTIVVAHRLNTIKNVDSIAFLGEGQVVERGTYSQLMNKKGAFYNLANLQK >Et_1A_006687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26353381:26356102:-1 gene:Et_1A_006687 transcript:Et_1A_006687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRMNPGDRGTRSTMTIVIVMGLCCFFYILGAWQKSGTGRGDSIALRVTKETDCTILPNLHFETHHSINGANPLIMTHKVIEPCHIRYSDYTPCQDQNRAMKFPRENMTYRERHCPAENEKLHCLIPAPKGYVSPFPWPKSRDYVPYANAPYKSLTIEKAVQNWIQFQGDVFKFPGGGTMFPNGASAYIDELASVIPLADGTIRTALDTGCGVASFGAYLMDRNILTMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRSFDMVHCSRCLIPWGSNGGMYMMEVDRVLRPGGYWILSGPPINWGKYYQTWKWSKQDAQEDQSRIEKIADMLCWEKIYEEEDTAVWQKKANTHSCHQKSSRDSMMCKVQDADDVWYKKMESCITPPGEAAQLKKFPERLFAIPPRILEGQAPDITEEVFEEDNKLWKKYVKTYKRVNKLIGSQRYRNILDMNAGLGSFAAALDSPKSWIMNVVPTISEKDTLGIIYERGLIGIYHDWCEAFSTYPRTYDLIHASGIFSLYQNKCDVEDILLEMDRILRPEGAVILRDNVDVLNKVRSTVSGMRWKSKLLDHEDGPHLPEKMLIAVKEYWVGGNEEDSS >Et_2A_017301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3359326:3361482:1 gene:Et_2A_017301 transcript:Et_2A_017301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSRLMPPTVRALRRCFRSYSASPASKAVIYDDHGAPDQVLRVADVPPVELGERDVCVRMLAAPINPSDINRVEGVYPIRPPLPAAVGGYEGVGQVHALGPAVTAPLAPGDWVIPSPPSFGTWQTYIVKHENVWHKVRTDVPMEYAATVTVNPLTALRMLQDFVKLNPGDAIVQNGATSIVGQCVVQLAKVHGIHTINIIRDRPGSEDAKDKLKQLGADEVFTESQLDIRNVKSLLGALPEPALGFNCVGGNAASLVLKLLRQGGTMVTYGGMSKKPVTVPTSYFIFKDISLQGFWLQKWMNSEKADDCRTMIDYLLGLMHEGKLKYEMEVSPLSDFSLALEKAMGKHGSHPKQVIRF >Et_10B_002593.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17591263:17591277:1 gene:Et_10B_002593 transcript:Et_10B_002593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLG >Et_6A_045936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1162383:1163499:1 gene:Et_6A_045936 transcript:Et_6A_045936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTWLTSYNHCAGKQIVHDDLNLVQVTNPTTPPITVPSTNPTPTIITVPSTNPTITIPSLNPLPTPIAAPSTSPPSSTTPVPVIYPLPTPSTSSPNVPVTNPVVTTPSTFPPSAPLTNPAVSNPTAPPAPITMPSASRQQVWCVVKAAGSTEAALQNALDYACGIGGADCSQIQPSGSCYYPNTLQAHASYAFNSYYQRNPAPSSCDFGGTAMLVNANPSSGSCIYASSSSSSSSSTVGYNPASTSPVTSASDSDSGSPVLNASGSGISGAVFGSDISGAANKWSVWCWPWVAVIWMLAFYACIEGWV >Et_3A_026246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:626586:632744:-1 gene:Et_3A_026246 transcript:Et_3A_026246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPPNVFGAPPQPHPPPWQWQPQQPPPPSPAVSFWQRDNVRDHVKKLQETIEVSRALADELEEVSVARDSGDASAQEPGSPSSATLAPGSGGSSQEKPLDFIELARVLKIDPDAHESMAIDAANYLCYQLQNLLAPISSVINQSGSWEERSAMVRLTQKLQKSKRNKLWRKRKRKHVAELYQKERADYERIDQEADEWRARQIAKDIAKRKVESMKEIAKKKANEERKRLESEDDKYLERVKAAVEEEERQAATAARTDAVKDAILTAEESRKAKKCSDSQDDGSEQSKSGQILDNNLDAKTSEIKDQASRETEQEGHKADGKIQGQYDPVSNLPFEFYHYYHGSSYDLGTLIEVRRMWDSFIRPGGSRIPGNWVQPPPPADDVWASYLVQPNTWEALLDEIDEIFTIKPSVVSCFYCPRYNQSQAMQLLEVEPTLGRLDPRGLYDDNEWSWPRHTCTKNLVLSC >Et_1B_010834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14569450:14570967:-1 gene:Et_1B_010834 transcript:Et_1B_010834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAMAASSRAFLRPHRRFLLPHTHRRRLCANSSASAPAAPAAAAASTAARRGVVDVLQERGLVESTTSDALASARPGELKVYCGFDPTAESLHLGNLLGLVALSWFRRCGHTAVALIGGATGRVGDPSGKSSERPELDTAAVTANSDAIRSLVAQILGRVPEPIGPFVILDNYDWWKDITLLDFLKEVGRFARVGTMIAKESVKKRLASEDGMSYTEFTYQLLQGYDFLYMFKNMGVNVQIGGSDQWGNITAGTELIRKILQVDGAHGLTFPLLLKSDGTKFGKSEEGAIWLSPKMLSPYKFYQYFFAVPDVDVIRFMKILTFLSLDEIQELEESMKKPGYVPNTVQRRLAEEVTRFVHGEEGLEEALKATEALRPGAKTQLDSQTIEGIADDVPSCSLAYDQVLKSPLVDLAVSTGLLGSKSAVRRLIKQGGLYLNNMRIDSEDKLIEEDDIVDGKVLLLSAGKKNKIVVRIS >Et_10A_001754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7702858:7707702:-1 gene:Et_10A_001754 transcript:Et_10A_001754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGEGNPPSTGFPEAGEMAREAAVWCALHGLVVGDRSEPRSATVPGVGLVHAPFSLLPSQLPKPFWRQACELAPIFNELVDRVSLDGNFLQDSLSKTRQVDDFTSRLLDIHREMMSINKEENIRLGLHRSDYMLDSETTSLLQIELNTISASFPGLGSLVSELHRTLINHYGNLLSLDPKRVPSNAASSKFAEALAKAWAEFNVERKTLSQVEAEGQVLPDGTLLVDGRKVAVVYYRAGYTPNDYPSEAEWSARLLMEKSSAVKCPSISYHLVGTKKIQQELAKPNVLERFLDNKEEIAKLRKCFAGLWSLDDEEIIKTAIEKPELFVLKPQREGGGNNIYGLDLRETLIGLQKQGGDARAAYILMQRIFPKTCLANLVRGGVCHEGLAISELGIYGSYLRNKDTVVINEQCGYLMRTKVSSSDEGGVAAGFAVLDSLYLTDKVMYIKSTFLIITTRFQVDFETQRFHYGLPQWGTLLERKTGCIAQLGHRS >Et_6A_046513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16305670:16311570:-1 gene:Et_6A_046513 transcript:Et_6A_046513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAARCRRGRSLPRLLRRALLVAAVCVAALTILLHLRHGPKPLESSASHAQLPFSNSPPAPRDPVETDAAVVGTGDGGAGAGAAAATCATVERMGVEAAGQGSPEQASLRVRELIRRHFELHGAARVRTLPAYEFCKQGFVLGKSFEAGFGNEMYKILTAAALSIMLNRGSYPFEEYISYTNHSFTIQEIKHLWRKHRCDRTYGRDLNMRVDNFDNPSETNVLCSDWNSWKDPIIWFDGATDAVGIQFFLKNVHPGMKAAASALFGLPDSSHARPNTFGELMRAIISPSRAVQAAVHWALKGHDPDIVLHMRMMMNRPVRARKAAVNCIKRALHNSHVKGTPRVALVSDTPSFAKEIKSDISEFAEVIYFDYKLFLKSTDREMIGNDKPLDFRSRDWGSAPRWAAFVDFFLASRAKYAVVTGAHRRVGTTYAQLIAALAAANKHGRDPSGSNFTFLSSIHSNLLVNGLSTQVGWGHIWNRYAGTLSCPHQLHQCALTPLLPPGWWDRPWQSPIPRDVRRLLEYGVRLSNTGEVDERLLVSFCRSRKDHVKRYHLLQPYKSST >Et_7B_053409.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:16613151:16613267:1 gene:Et_7B_053409 transcript:Et_7B_053409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLNRWKKAFKEEFKLVALRAKPSFKIPIDNWLCNIP >Et_1A_004967.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:19874681:19874989:-1 gene:Et_1A_004967 transcript:Et_1A_004967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAVGARDHGHPGELRAAPRGRDPGERAQVRHHLAQGAGLRHHGARRAPTHRRRAPSPAPQPSRLRPVHGDAVRRRAGEQDGRHAVAQAHGHAHAALASA >Et_10B_003737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5373362:5387379:-1 gene:Et_10B_003737 transcript:Et_10B_003737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKRRESGGAAPPGTGKRARAGNEPPPPSPGKRLWAAARTVLVVLFLLRRNDGVDSLARIGRVLRAMWTKMERMEARIQDLAHKVKYMHERQGANQEGDSAEAKGLAAAEGEGENTSIRLRFLSDGMKSPIYHDDEIKSQSDASIKIGLFDGDKMIKSGPLSKLKIEVLALEGSFPYSAKDSWTANEFNEHRAGGREGKGDVLVGEGTAARLINGECVLGSIRFREGSCRARKGMFLVAARVCDGQATGGRVQEAVMNPVIVQDRRNKTNEKSHPPKLNDRVHRLEEIAKDGRYFKRLEDNRIYTVEDFLKALNKDPDNLAKILKINKEHKPWKRMITHASQCCLKGKHKLKSYTCTEKNVKLFFNCVHYLVGAAFFGGHYTPSDKFDPAQQAVVDELKKGAYGALDVLPEDHVMIDNCHSPIHVDTYADVGAGPSYMSTATQQKFYAGGVPAGQGFNHGPMESPCSIANNGPGPSFFSPGHLRTDNYHGSCRARKGMFLVGARVCDGQATGGRVQEAVMNPVIVQDRRNKTNEKSHPPKLNDEVHRLEEIAKDGRYFKRLEEKKIYTVEDFLKALNKDPDNLAEVSLANIFLYALHQLICIMLSLLLCGQIIKINKEHKPWKRMIAHARECCLKGKHKLKSYTCTEKNVKLFFNCVHCLIGAAFFGGRYTPSDKFDPAQQALVDELKKGAYAELDVLPEDHVMIDNCHGPIHVDTYADVGAGPSYTSTATQKKIYGRISANQVGVTAAVEGLSQAQTPSCVNVNNGASASSYLSDHPSIHNYDAGYVPVGQGFNHGPIESPCSIANNGPGPSSYISNHLSIHNDDAAGVLAGQGFNHSPMESPCSIANNGPGPSFCTPDHPSTRNYH >Et_3B_028355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1401929:1403435:-1 gene:Et_3B_028355 transcript:Et_3B_028355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFGANDYRFSLGSNKSVEEARSYVPAIVNTISNGVERLIHHGARYVVVADMFPIGCMPAVLTLMGSRNKADYDRHGCLKNFNTMLSQYQNAILRQRVEVLRKKYPHTKIVFAEYYRAIVALLQEPEHFGFNRSTTLVSCWGGGGPHNFNPRAPCGAPGAAACPSPSTAIYWDAFHLTESSYRNIAHGWLHGPYADPPILHLP >Et_9B_064457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15346968:15349484:-1 gene:Et_9B_064457 transcript:Et_9B_064457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETKPETAAAAASTAEEVVTKAEEPAPAEEKKAEEPAPAAEEKKADEPAPAEEKAVEVEKKADEDSEEEKKAEEAEEAAAADEAAVIDGTGSFKEESNLVSELPDPEQTALAQLKELVAAALASGEFDLPPPPPPPAQPAAAAPAAEEAKPEEPAKEEAKDAAPAKEEEPKAEVTAAEETKTEAPATEEPKAETPAPEEPKAEEPAKEEPKAEEPAKEEAKAEEPAKEETKTETPAEAAVEEPKAEAAAEEAKPAEPEEKTVVAAEEESTKTAEAIEEPAAAAEPEAAPKEELIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMAMLKSAVLWRKRFGIDALLDADLALPELENVVFYRGADREGHPVCYNVYGEFQDKELYEKAFGDEEKRERFLKWRIQLLERGIREQLDFAPSGICSMVQVTDLKNSPPMLGKHRAVTRQALALLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKSKIVFCSPGKSAETLFRYIAPEQVPVQFGGLYKEDDTEFSTSDAVTELTVKPSSKETIEIPATENSTVVWELRVLGWEVSYGAEFTPDAEGGYTVIVQKTRKVPANEEPIMKGSFKVNEPGKLVLTVNNPASKKKKLLYRYKVKSTAEST >Et_10A_002067.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21077017:21078588:-1 gene:Et_10A_002067 transcript:Et_10A_002067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERKRNPVIAVTVVAALCVASAALLVDGADEASTLLLINGFTATHEANAAAPFEPVLYAPNRAFAFGFLRVGDASLDLAVVHLPSSFPLWRATPARLGDWSRPATLTFDSSLVLADPEHGELWRTLNTIGDTVVLLNSSNLVIRRYARPLPAWQSFEHPSDTLVAGQNFTAASPPLISAGNRRFALRLGATFLALAMEFYGAGARVAAPTYWRHTALEEGPAAPGNATQTAAPIYGRLDGRGFFGLYRDGGAGEGEVRVDVLSFDTFTQNLTTGGALFRRMTVDDDGNLRAYYWADESKAWTPEYKAVAERCELPTSCGAYGLCVPGEAQCQCLANTTTSAASTTSPPCGAEETTDLCSSDGHHAADFDVVRRTRVSVAYKEELPFLTNYKTAAECEAACAGNCSCWGALFNGASGYCYLLGFPVETMVYDADDRKAGYFKVRRKPQSTTTTTRRGMSPGVKAATAVVALVLATLAAAGAYTGYRTWERRRRRREGMVEQELAPGPYKDLKSMGSSNSSFKS >Et_3A_026783.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:20466097:20467737:1 gene:Et_3A_026783 transcript:Et_3A_026783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKFSSCRGVSFEPKPSPGSPFALDAAAPTGGQWVWLPAAFSRASSRIFPAGPGSHAIARSQSRASSHFCDLDDDEDSDDVHEEMAVAAVVPAVVGAVDDVKKPPRASGAGRSSRLGVILLDQGLFTVYKRLFALCVALNAAALALAATGRFPYARAHAALFAMGNILALTLCRSEAVLRVVFWLAVALLGRPWVPRLVKTGVTATLQSLGGVHSGCGASSLAWLVYALVQVLQRSRDETPREIVGVAAAILSLLALSCLAAFPLVRHLHHNVFERTHRFAGWAALALLWAFVVLTAGYVPATRSYDNLTGSALVRRQELWLAAAITFFTFLPWLTVRRVPVTVTARSTHASIITFPGGVKAGLLGRISRSPLSEWHAFGIISDDADTHAMLAGAVGDFTRGLISHPPTHLWVRGVKFAGLPYLLNMYGSATMVATGSGICVFLSFLMQPGAAQLSLVWVAKGIDANYGEEITAAVANSERLRGRVLVHDTATMGRPNVADLAVGAARKWGAEVVIVTSNPEGSRDVVKGCTKAGIPAFGPIWDS >Et_6A_047301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3564109:3570281:-1 gene:Et_6A_047301 transcript:Et_6A_047301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPEKTHEPAAGAGGAAAASSSVAFSTPPSCTPRQAVVETTSLPLTFFDVLWVHFPPVQRVLFYRLVVANLRDSLSHALGAFYPLAASLRLAPGTANPYEIHYRPGVDGVAFTVAECDDDLDSLAADDPREVCKVAPLAPPLPDGGAVLAARATLLSGGLALGVTGHHAACDGAASTHFLHTWAAAASASAGSRSPPPVIDRTLIADPRGLYDVLCPAATAEEETTRRFVGKTPGDNQLLLATFTLSRAHLQRAKDAVAAASEAAPRPCTSLVAALGLVWSCYHRAKPLAAGAGAGERTCLGFAVDHRSRLRPPLPDKYFGNCVGAALAERAGGGVLAACAAVAAAIEEEVAGGGATETMGALKERLREAVATIGVLSVAVSPRFRVYDLDFGFGPPAKVEIVSVARTGAVAVAETRAGDGGVEVGVCLPPEGMDAFRKCFADAVAWLEAQRRRSLLCSLISSSHPHPRHPCSSGGPAPPEETCLPLTFYDVFWVHTPPVQRVFFYRLAPDAGADAVGFPLARRPRLLPARRPRPPDPRHGEPLGDLLPPRGAVDFTVAEYDEDLDSLAADEPREATLLPARAGRGLALGVSVHHAACDGAGSTHFLRTWAAAACNAAQTPPPPVIDRTLVSDTKGLYDVFCPPPASAGEEVGFVPMPEHQLLATFTLSKEPAAHQGRGGCGGDGASPPPRCTSLVATLGFVWSCYHRAKLLGAGAGDQTYFLLSVDHRSRLNPALPAEYLGNCVAAAIAAASRSDLAEEPVSGGGLLAACAAIAAGIEDAVTVLATEAMESRMERVIEAAASGSILTAAGSPRFRVYELYMGFGRPAKVDVVSVATAG >Et_8A_056944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19322646:19325694:1 gene:Et_8A_056944 transcript:Et_8A_056944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFPSQPDLSLQIGLPTTATPHDHHNHHHAAALNARFFAGAGNGVVGGNMAPSPLQLPAMPMPLPLPLPMPMHHHPGAGGMGQQGGGGLYYHPDGAAAVLRAPIRGVPLYQHHPPPVPPHGGAGAAGPCFCEPCHVAGAWRRAGCGVVAGARFPPAKRAARAPRMRWTSTLHTRFVHAVELLGGHDRATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTERPAASSDQADGFENGSAGEICDDNSLDLHGYSSGGGRPETAARHGRLAANDHGSSTGAHGALWNSSSREDWAGFPSESNTGSMQSLKDQIQSKSLEILSDMNSSCVSETTSSTSELNLEFTLGGPQNRPN >Et_7A_052241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:675762:680112:1 gene:Et_7A_052241 transcript:Et_7A_052241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLGAIIGRYPSAAAGGEEQLGGAGGTGIIQHNRRCRDIAFLVLFLAFWVAMIVNSSFGFNQGNPLRLTYELDYKGNVCGDKHGDPNLHELDVKYWMNPNQVYQSGVKDSKVNLADAKAICLMECPIPAVDGLNFICDYPEGDIHLSVDDWINRDYDYFEFLTPDMRNSSLQLQGPCYPVIFPTVNVYWSCQFIARASNVSLKHWHEMGGVNIDENILIDKTVHKAIDSRSAVLKRYVADIGKSWPVFIVCGGILPLFLSVIWLLMIRYFVAAMTWITVILFNALVISVTMFCYIKAGWIGNDPLTVVIGESDPYVHISGREISHLHAVTIFMTAIMVIAFLSSIAIVRRILIATPVLKVAAKVIGEVQALIIFPVVPYFILAIFYMFWFSATLHLFSSGQVVRNDCNTDCCSYDLKLGKVNCDNCCGYSIHYTPHISIAILFHLFGCYWATQFFLACSSTVIAGSVASYYWARGEISHDIPFVTVVSSLKRLLRYSLGSVALGSLVVSVVEWVRFILECLRRKLKLVDSARESCFGKMTSSSSECCLGCIDWTLKSVNRNAYIMIAITGKGFCKASVLATGLIMNNILRIGKVNVIGDVILFLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLIPVLVTWALGYIVAKLFFQVVEMSIDTIILSFCQDAEEHQGTAQYAPPLLMETLDEQSELQRLTQGP >Et_4A_032447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10099229:10103822:-1 gene:Et_4A_032447 transcript:Et_4A_032447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTSGAVSRAAYPSRAGMVGLPPPDALLFPFADGFAAEHFFVADPAPLGADQQRLLLGQLPSSSSGTSGACVGCCHAKQGLDLPMATPASPEVATTAITVAGPDASSSALAPPPPSPALPLVHAAGTRTSSYRGVTRHRWTGRYEAHLWDNTCRKEGQKRKGRQGGYDKEDKAARAYDLAALKYWGANATTNFPKENYVNEIKEMQFMGRHEVVASLRRKSSGFARGASIYRGVTRHHQNGRWQARIGRVAGNKDLYLGTFATEAEAAEAYDIAALKFRGTNAVTNFEPSRYNLEAIALRDLPVNGPGRRLIQNPASETQGQVTLNAPSSFSQQQSSNSLQPYLLPNLQQPLQPQTSHPLQALPPPDYNYAYEPNFYWPYGTVEQKVQLDSKLEMVNGLLQLANSTAN >Et_10B_004117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13398660:13400570:1 gene:Et_10B_004117 transcript:Et_10B_004117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCLQRWILGYSVVVKAIQEKMDVDDPEISFKDHIDCRLNGEYNTAQAKAMLKIAVSCLEEERTKRPNMSSVVQALISAEDETREAIIHAKVETSKTGWQCGRPPLCQPRT >Et_6A_047923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23973880:23974301:1 gene:Et_6A_047923 transcript:Et_6A_047923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNTTVFGATVAVGAVQTASILFAALLADRLRRLAGGGAHLARRDVAGGQLRLGRAGFVVAFSVGFGPLVPAYCAEVLPLWLRAQGSSLGTAVGRLTCGLVSMTFISLADASTMPGCFFLYASVAMMPCV >Et_3A_024175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18172615:18174238:1 gene:Et_3A_024175 transcript:Et_3A_024175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTWSGSGTMPCTNEETLFPVEEERPGTEKGMDEGEDGEDILRRRPRIEDEYDELEDLAEFRRFWVSSVSHRVKHWPYALHRFRTATIRRHVPYDAVEIFSLKVTELKEGLQWPIRVFGLVAVRDSLDHKRNVLFERAKENCQTLTAEDSYLTLTGPSRAIALIDPLEFEVELRVLGCNPLKETVLSAIYYEYNNSSYNYSTAGLVRTCRKTTGFSWAVLCPYGIYMGDDDIVLLDSRDLKVNFTPDGRILLSRNVVLVEEGAKLTLGLKAWQNEDAHSAVDVKYEFPSKLSSRSDGFFDVGFSKMSITVA >Et_2B_018870.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:10858667:10858990:1 gene:Et_2B_018870 transcript:Et_2B_018870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRSGTLSWRWKVRPSSRRWPLMAPLESGTMVCCADTSWNSGCSLASLSGPAPTTTAAAPSPKRAWPTSESRWASDGPRKVTTVISEHTMSTCSLHTHKTFARQVL >Et_3B_031381.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27715581:27717011:1 gene:Et_3B_031381 transcript:Et_3B_031381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEELKKKVEAKNGLENYAYNMRNTIKDDKIASKLSAEDKKKIEDAIDGAISWLDSNQLAEVDEFEDKMKELEGICNPIIAKMYQGAGADMGGAAGMDEDAPSGGSGAGPKIEEVD >Et_2B_018967.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19917037:19919376:-1 gene:Et_2B_018967 transcript:Et_2B_018967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRGADRLAHLPAALARFIYARAAGVPAPCPFSYGNALASCAASSGTHPALVQSFAEQLYCAAWKDGLSGDAYVCSATVDLFAKTGRLGDALRAFEDGDRTSAVCWNAAISGAVRNGEHALAVEMFGDMVRGSCKPNSFTYSGALSACAAGAEMRIGTAVHGMVVRRDPEYDIFIGTSIVNMYAKCGDMDAAMREFWRMPVRNVVSWTTAIAAFVQEEDPASAMLLFREMVRSGVKINKFTATSILLACSHMLMSREASQVHGMIIKTELYLDQVVKEALISTYAYVGDIQCCEKVFEELGTVSNRSIWCAFISGVCSHNLERSIQLFRKMCLKGIRPNDKCYASVFSSVESIELGKQLHSSVIKDGFVQGVLVGSALSTMYSKCDDLDDSYKVFEEMQERDGVSWTSMVAGFAAHGRSVEAFQLFRNMVLDGFTPDHVSLTAIISAFYGPQCLLKGKEIHGHVLRVYGDTTSMNHCFVSMYSKCQDVQTARRIFDASPCKDQVLLSSMISGYAINDCSEEAFSIIQLMVATGFHLDRFVCSSTLSLCADMGKPLCGKVLHGYTTKVGILSDISVSSSLVKLYSKSGNLDDSRKVFDEISDPDLVTWTALIDGYAQHGNGHDALAMFDQMIRYGVKPDAVILVSVLSACSRNGLVEEGFNHFNSMRTVHGIEPTLHHYCCMVDLLGRSGRLADAKKFIDSMPVKPDLMVWSTLLAACRVHDDASLGHFVENKICDENYDSGYFAILSNIRANSGDWEAVEKIRKSVKGVKKEPGWSMM >Et_5B_045169.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:10688221:10689156:-1 gene:Et_5B_045169 transcript:Et_5B_045169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSELEQSVKDLLFKQMEERLEQASRATNNADSYNREDIRTRWGQVAVLKREDIRTRWRRVAVQKRKDNSTRLSRVAVEKGKDISILVAAEKGEDIRTCLAVEKGKKDFTPTSRRGRKTFTRTTSWPSSAAHSKRTSCCGTSPPPSTSAPATSTMHAETRVKAIKAVSEYLMFLLAARPYMLPGPPLPSLYEVTRQALQDLYKKKKGGNDDHLCRASRKEKLAKILRCKEFPNPKDSNIKLISFAADLAVKLKDLDASGLPHLDLVFDVWVDKLLYAGISCNRESHAKQLGKGGELVKHRLGRGRVIWRV >Et_2B_021159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2762373:2763685:-1 gene:Et_2B_021159 transcript:Et_2B_021159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGRESLVRLIGRRRRSPLPASLAALLSSSSSASAQVEPLKSNPALRNPTTCATDATGHFSVPMQANVEGSGSGEEAREVAGPSSGGGAEWVACPVCGESIRGSDYCVNTHLGQSTNPLLILNEMLATWRLKCRHDKKQSKAKKLVCSSSQKEVFVCSSSCNVSSSESTPKQLFLILLINLDHKQNHENLTNLGPHICLTRGAKRKLTQSSLLNFSFNKKVAVETTSKNLDNKVEAETMNPIDKDSSSDQAFFSLDSEIGSSKSSATISSTGYLDASLDTCDTFDIVAPPNVVSQNVKDAANDDAVENCSSKLLQTVATSSSTEPCADTNSISTLTVDTMIVGRKFHESIELQEGASITLQRDPQNAKDGDAIK >Et_1A_005720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13031088:13032889:-1 gene:Et_1A_005720 transcript:Et_1A_005720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLLLAVAASFLVILISAYAFQLINDARRRLPPGPLPLPLIGNLLDIGRGSPHRSLARLAQQHGPLMSVRLGAVRAVVVSSSDGAHEIHQKHNAALAGRPAIDAWRAHGHLANSIIMGPPDAKWRALRKLCATELFAPARLNALRPLRQQKLLELARYVADQAALGEPVTFRDVAFTASMNTLSRTLFSVDLDSGPSARGFKDIIKEATVLAAAPNVSDFFPAIAAADLQGLRRRMAPLVATSYQVTDQQFAQRLRDREAGEPPKNDMLDVVLDKEREWQQEGSDNIDRDAIKGMFTDLFVAASDTTSTTIEWAMTSLLQHPEVMKKVKAELTRVLGTKTQVEESDISQLPYLQAVVKEVLRFYPPVAMAFYRAEATVQVQGYTIPQGTTVILNIWAVHRNADIWDDPNKFVPERFLERDIDFSGKDCKLIPFGGGRRICLGLPLAYRTVHLILASLLHQFDWMLPEDAMKSGIDMTEEFGLVVSMATPLKAIAKMCDL >Et_7B_053533.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7897671:7897826:1 gene:Et_7B_053533 transcript:Et_7B_053533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVCRILRIDEESKISAVKLVDVAHDCGNPVPDGNQCGSKCLQLFFLTSQ >Et_3A_023703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11081930:11087360:1 gene:Et_3A_023703 transcript:Et_3A_023703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIPGIPILPPSASALLSPLLPYSLPSPTPSKNDGGEWKEAGISTMFKKFSSEDISGQNQVKASVQRRIRQSIADEYPSLEPLLDDLLPKKSPMIVVKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPDIMKKFQVDRGAIKFVLSGANIMCPGLTSPGGALDEEVEQETPVAIMAEGKQHALAIGFTKMSAKDIKTINKGIGVDNMHYLNDGLWKRTSAMNR >Et_2A_015976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19878873:19880098:-1 gene:Et_2A_015976 transcript:Et_2A_015976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTRNKIILWALSLTAAAVLVGGTIALVLTAGTWKAKVKESHEKICNKGWECSGSKYCCNDTITDFFKVYQFENLFSKRNTPVAKAVGFWDYQSFILAAAQYEPLGFCTTGGKQMQMLELCAFLGHVGTATSCGFGVATGGPLAWGLCYNREMSPSKDYCDKYNTQYPCVDGVEYYGRGALTVYWNYNYGAIGDGIKQDLLHHPEYLEQNATLAWEAAMWRWMNPIKKKQPSAHDVFVGNWKPTQNDTLSKRFPGFGATMNVLYGDTKCGQGFIDDMNVIISHYQYYLDLMGVGREHSGANLDCAEQVPFNPSYKDPKEQQQQSG >Et_5B_044816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6109686:6127373:1 gene:Et_5B_044816 transcript:Et_5B_044816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PGARRPRSTWTVLSPPQRKSGPSRVRQQTPPTSPTITRPPSALPSKSEERRTRRDETRPLPTSFPSLALPRTHPTPSGGDAGAMDSRPPQTDDPADGAPPPAAHAAAKAEVEITKPRNDKRGYRRVVLPNALECLLVSDPDTDKAAASMNVSVGYFCDPDGLEGLAHFLEHMLFYASEKYPIEDSYSKYIAEHGGSTNAFTSSEQTNFYFDVNNDSLHDALDRFAQFFIKPLMSPDATLREIKAVDSENQKNLLSDPWRMSQLQKHLCSENHPYHKFSTGNWDTLEVKAKEKGLDTRLELLKFYDSHYSANLMHLVVYGKESLDSLQSLVKNKFSDIRNVERKPFSFPGHPCTSEHLQILVKSVPIKQGHTLRILWPITPNVRHYKEGPCKYVSHLIGHEGEGSLFYMLKKLGWAMSLEAGEGDWSYEFSFFSVVIKLTDVGHEHMEDVVGLLFRYITLLQTSGVPKWIFDELQAIRETGFHFRDKSPPMNYVVNISSNMQIFPPEDWLVASSVPSKFSPDAIQKILNELNPENVRIFWESKKFEGQTNLTEPWYGTSYSVEAVHPSTIQKWVEKSPKDGLHLPKPNIFIPSDLSLKNAEEKASFPSMLRKTMFSRLWYKSDTMFFMPKAYVKMDFHCPLSHSSPESAVLTNLFTRLLMDYLNDFAYDAVVAGLYYVIKPNDTGFQVTMVGYNDKMRTLLETVIGKIAEFEVKPDRFSVIKEIMTKEYENFKFQQPYQQAMYYCSLILEEHAWPWDEELAALSHLEANDLGNFLPLLLAKTFIESYFAGNIEPSEAKSVVQHIEDVLFNAPVSVCKPLSPSQHLAKRIVKLEKGSKFYYPAMCLNHQDENSALLYYIQTHQDNVKQNVLLQLLALVGKQPAFHQLRSVEQLGYIALLRQRNDSCVRGLQFIIQSKKDPANLDTRVESFLKMFETTLYEMPDAEFKSNVNALIDMKLEKYKNIQEESAFFWGEISEGTLKFDRKEAEVAALRELKKEELIDFFDHHVKVSAPQKKILSIQVYGGLHYADYEKMVHDAPPPQSCQITDVYSFRRSRPLYGSFRGGAGQMKL >Et_1B_009749.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:23349002:23349262:1 gene:Et_1B_009749 transcript:Et_1B_009749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTGGRGGGGAKAAVAEQIAQAVQSTSNLLQLMEQSSPAQVCPLSPYPSCLIILTLSMYTRLLSKQRNFQSCAKLTEFEAIYT >Et_1B_011489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22870706:22871320:-1 gene:Et_1B_011489 transcript:Et_1B_011489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLSLGSPAGRGPRPSAAAEEAAEEKAAKAVARAAAAAPDKSIHLIPVLTLLCFLVLFLLSRDPSAVAALTTDSPVLAATARSLEAAATAGGGGAAAGATVASVGGRGGVYRRLKEDLDRGRRPRTARRR >Et_7B_053223.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12729723:12730043:1 gene:Et_7B_053223 transcript:Et_7B_053223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SCQVYTAEIIKVLSKVRCTQVKQRHRWTKPEEGKIKVNCDAAFYSETGGEYGGILFEIQMEVASSGRGKVNFLLNAFQAEVIACLQGIQAAIISGLAMWCWKLMLL >Et_1B_012220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:348873:353069:-1 gene:Et_1B_012220 transcript:Et_1B_012220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGELDKAEESVSQRHGEEVVYCAVGKEAGREWKANLLWVLANVPRTKRLVLLHLHRPASRINMMGAWVPVSQLAEQEVTAYRQLEEEKIGKVLDDLLDICKSQKVSGSKIIIACDDTARGLVQLVDDHGVTELVMGAASDRAYHRKMRAPRSKKALMVQRRANPSCKIWFVCKGNLVCTREASEGSHRAESSTPSTSPRSSTSDYSSRAKFSPRVHGETFSTQESNEPTTSPVGQTPAREDDDMYRNMEDLNLQAADIAGSSTLLMSETVVPEEEPGSVQLRQEVEEDHEIPSPYGSDDGEMDAALYERLKDAIMDAENLKHEAYEETRRRQMAERDLAEANKMADEAERSYQREAKLRKDVEEMLARERAAMERDRQELDDMLEQIEKVNDRSNELEVQIVSSERMMSDLEAKLLESCSILDTLRRERHHAPATEPQVEDGDQRATSLSRFSYPELDEATNHFDESGRIDGGGDGGRGKVYRGHLRNMAVAVKVLSRDVAVQDEARFTRAVNAISEVKHPGLVAVVGACPEARVVVYELVPGWSLEQHLDGAAPLPWNARCAVAHRACSALSFLHSTATVHGDVRPANILLDEHGAWCKLAGLGTRRLVEHHPGGGLAYADPEYLATGELTPRWDVYSLGVVLLRLVTGRPPFLARKAAREAAAGGKAWREVVDDGWPVERAREVALLGLKCCDAKRPGDLLEEARAVLEDAMSAAPGRSSSALSDGDGAPSYFLCPIFKEVMRDPQIAGDGFSYEAEAIREWLDGGHDTSPMTNLKLPTRDLMPNHALRSAIHEWRNRHGRRR >Et_4B_039803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3043052:3044364:1 gene:Et_4B_039803 transcript:Et_4B_039803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFPVDPHPFLAPEMVVDPGGPNKRSRAEVSLGGNIVRAHEDHAIALDEDGEINPKDQTVFLQQMRNYITNVMQMEVRYCKVRPFGIGLIQLEDPFQRDRLILGNLHNIDGFRIRFVRHDRAINFVEAMVNQSLQQDPAWQAAVEAANQNQNNVWSVWNGNEGQHQQQNQNPVQNQVEHQLFSGSGSGLPFLEDNDRSQAPVWILPLFTKQLKLMLNKAFPHESPVVWRSSSVDVSLVSTNLSIPTSLLMSLFQREIDEPVQTTYHIEQLKGPTNGWYRQGHAPNDHEAGPSRSVPPLVDEETRALAPINWMYLQNRYNWQQAFMHEFLEYPGDQRMFSTSTAATFLTTGSIRGHNFQTEQQLMLQPTLALADTITEEAMSFPPATQIAGNDHDKEFPSHRH >Et_3B_028291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1317413:1319516:1 gene:Et_3B_028291 transcript:Et_3B_028291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVDGQIAQRVSSGDGGKNRDIGAGKKLQPLTLRRVPWRKLRADTRDPILLSTLLSADLSWEERVVNILHVVRCREMTEYNPKTKRSLPTRFCEMNIAFFDLDKESDVKRKPDYSKIPDNRSRLDSSINIISIKVAESDVPYPIEIYGTVLARDKVDYRCVYLFKRDRDSPQLIKSKDDTLNLTGPNRALSFSDTISFEFNLEIKGVQIKGKDTADQDFSKGEIECHGSCGPDNRPRTKSLLSFLSEVEMKFQCVSYALEASLEVNILNGKSQFLGKITAGDENDGIILYDSKVAGTETKIGSCGSVLLTRRVVAVPWGRSLLLNFSVPRSNPKSIKLKQGDKQWTCKMGTYKVQVKVTWAGVFLGQRLRVWKKIKHTRVL >Et_3A_023216.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:11858476:11858658:-1 gene:Et_3A_023216 transcript:Et_3A_023216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRRRWIATPERWRSEPCSGRAGAVVPDRGVWPARTPGHQAGSARCPPPPRPPEVLAAR >Et_10A_001159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21729235:21732005:1 gene:Et_10A_001159 transcript:Et_10A_001159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAPMPPAAPDLVRDRLFFGDIHDAIAALTGASSTDFTHVLSVVSSASISFITDCRPGLAIPTEEVRRVVAGEEGAPPVSAVAPGRLLRVVERAGDGLRVTRMAVPLRDTEEENLLDHLEPCLDFIDDGRKVGNLLVHCFAGVSRRYTSEVLESLKEVSESACPNDGFIDQLKLFEEMGFKVDTSNPLYKRFRLKLLGQSYKFGEKIGSHVFEDDPGLSPQSGSCHDPSNKDECKTAYRCRKCRRIVAVQDNVISHVPGEGESCFNWNQRKSGRPYNNKEQDCSSLFIEPLKWMTPVEEGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKVDVSTI >Et_10B_004032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9503891:9507303:1 gene:Et_10B_004032 transcript:Et_10B_004032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMHTRMFSSKPRSVRKCCCEEELPDSRIEGFIYKDCVPPESIDWRNKGVVTPVQNQMGEDCWAFAPVGAVEVIGAIKTGKLIKLSEQQVIDCNNLSNGGADGGHPHLAFDYIYRNGGLVSEEAYPYVGRRCPCQKISSAEMVTIYGYQFVPKNCEFSLKQAVANQAVVVDICLDEQLLNYHGGIADDMGITGKPDHSALLIGYGKTSKGQKFWTIKDSRGTKHCGGIIRIARDIGNKCGAFGIASNACFPVKTSPNSIGTVDDDISIYVKMYGNDKWHLVPMYVMQSNKAI >Et_8B_060617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3405037:3407165:-1 gene:Et_8B_060617 transcript:Et_8B_060617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAPPRLLPVLLGRLLVAGEIRRSPAHIRRIIPLLPSHPHLASALCSFYFPLFPSSATFLHNLLIRASAASPSPRLSFAAFASLLWSGCLPDLFTLPPLVKSASRLPSFPRTGAQVHAQAVRRGFLADVFVVNSLLAMYAAFRDTASMWDVFDSCAEVADVVSWNTVIGGYVKCGEIQNARRMFDEMPQRNGVSWSAMVGAYAGAGELDVAREMFDQMPAIGRNVVTWNSMVTGFARHGLLPLARKMFDEMPVRNLVSWNTMIRGYVVNGEMDGAKELFDVMPEKDVVSWTCMISGYAQAGRYAETLELFREMLSGSNIRPNEVTMVSVLSACAHLTALEEGRWVHAFIDKHKMVLDNEFNLGAALIDMYAKCGRTDMAVKIFYSLDHKNVSAWNALITGLAVHGDARQCIDLFEQMRRSGQKPNDITFVSVLTACAHSGLVDEGRQCFQSMSSCGVQPELKHYGCMVDLLGRAGLLEEAEKLIQSMPMDPDVMVLGALLGASRLHKRSDVAERVKSGILSLNTAPSGCHILISDIYTAAGKWAEALESRGLLEKYGIMKLPGSSSMVPISRLIRKHGEF >Et_4B_039161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8340030:8343814:-1 gene:Et_4B_039161 transcript:Et_4B_039161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVATHASLLLKAAVAAAAPAHLHPKPFFSPRAAAAARIPSPPTRPALACRRPPTTTTSTTAAAAGTAAAAGRWFRWPPAAASARGLCAAPHSGGDGMGSDGVAARRRLAVNGVAKDVTAVNGVAKEDRPSAAPPRLLTLPTVLTIGRVAAVPLLISTFYMEGPWAATATTGIFLAAAITDWLDGYIARKMQLGTPFGAFLDPVADKLMVAATLVLLCTKPLETSLLMDGPWLLTVPSIAIIGREITMSAVREWAASQNGKVLEAVAVNNLGKWKTATQMTALTLLLASRDPSLPAQGVLVAPGVALLYVSAGLAIWSLVVYMRKIWRILLK >Et_5B_045747.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8701275:8701808:-1 gene:Et_5B_045747 transcript:Et_5B_045747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMTVPSASMRRLKLAAAAARSAAADPTLRPSVGDGDRIDAAGGVIPAPLPTPPRRKNAVIKVGARVLVRTPVTAPSGRVLVFQLAAVVVSSAAGDDGCVQVVYDGGFPPEDPSAAVRVSKDRIVIVDIPPPPASASTSAAPAPASRRSKKKARAGAARKTWAALPLDTHASMHLD >Et_10A_002248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5645910:5651705:-1 gene:Et_10A_002248 transcript:Et_10A_002248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLAAACLLVPGLLALGLDSMSLDVTQVLLSAQSADGSIRKHAEESIKQFQEQNLPGFLLSLSSELANEEKPEESRRLAGLILKNALDAKEQHRKNEFFQRWLALDAGAKAQIKGLLLQTLSSQVASARSTASQVIAKVAGIEIPQKQWPELIASLLSNIHQVQPNVKQATLETLGYLCEEVSPEAVDQDQVNKILTAVVQGMNASEGNSDVRLAATRALYNALGFAQVNFSNDMERDYIMRVVCEATQSPEVKIRQAAFECLVAISSTYYDKLSTYMQDIFNITAKAVRGDEESVALQAIEFWSSICDEEIDILDEYSSEFTADSDVPCYYFIKQALPALVPMLLETLLKQEEDQDLDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFVEENITKSDWRQREAATYAFGSILEGPSADKLAPLVNVALNFMLTALMKDPSNHVKDTTAWTLGRIFEFLHGSALETPPIITAENCQQILTVLLHSMKDVPNVAEKACGALYFLAQGYVDAGSASPLSPFFQDIVQNLLMVTHREDAGESRLRTAAYETLNEVVRCSTEETAPIVMQLVPVIMMELHNTLEAGKLSTDEKEKRSELQGLLCGCLQVIIQKLGGMESTKYSFLQYADQMMDLFLRVFACRNATVHEEAMLAIGALAYAAGPNFAKYMAQFYQYLEMGLQNFEEYQVCAITVGVVGDLCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLIYAMPMLQSAADLSAHATATDDEMLDYTNQLRNGILEAYSGILQGFKSSPKTQLLMPYAPHILQFLDALYNGKDMDDTVMKTAIGVLGDLADTLGVHAGPLINQSSSSKQFLEECLASDDPLVKESADWARIAISRAVSGFQFNSIGYLSKRNNPFSCLITNKNAPHKKQSKAMARSLAALLVVVVVLTANAGTSTATTLTLHNLCPHPVWPLVTANSGLPSISDNTARLDTNALLSLSFPPTFWAGRVAARTGCDASASPPTRCDTGAAPPATVAQLTVHDGGSLDLAAYSVSLVDGFNVPFVVSPQAVAAGGGQCPALGCAVDLNCECPPPQRVGDGAACRGPPDYFKSRCPLTRTTTTDVEPVPQSCRGPGELKIVFCQPAMVTGADGAAETMVIRTVVADS >Et_10A_000377.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:6724827:6725078:-1 gene:Et_10A_000377 transcript:Et_10A_000377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCSSEECIACTLTPLVAAEPEAQPLKRVRLTMPLCYYVTSLSTYSFLYALIYLRPCCFTLNKIKYLYLIVERKEKKRKRYQ >Et_9A_061188.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21272663:21272866:1 gene:Et_9A_061188 transcript:Et_9A_061188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSQSKKVTGVILYTWWNIRNRRIFENKQKDQVQVALMAKEEINLNRMAKGFQQQNVATVQGEGEA >Et_9A_062416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22715505:22719268:1 gene:Et_9A_062416 transcript:Et_9A_062416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPIAGCGTYATTSSGDREDRFLQMEPTCDSLMRELQDIWEEVGEPEGARDRMLLELEMECMEVYRRKVDQANRCRSRLRQDIAEAEAELAAICSTMGEPLVHVKQSNQKSGGLLEELSAIVPHLEEMKKKKVERWSQILAIVEEIKKISFEIGPADFVPLKVPVDQSDLSLRKLEELTMELHFLQKEKSDRINQVMEYFNTLHSLCEVLSIDFKKTISEVHPSMDEAEGTRNISDTTIHRLELTIERLHDMKIQRMQKLQDLASTMLVLWNLMDTPMEEQQAFQNITCNIAASEYELMERNALSIDFLNYVEAEVLRLEQLKASKMKELVLKKKTELEEHRRRAHLVGDEAYAAQFSIEAIEAGAIDPSLLLEQIEACIATVKEDAFSRKDILDRVERWLSACEEEAWLEDYNKDDNRYSAGRGAHIMLKRAEKARVQVNKIPGMVDLLRSKIIAWENEKGTEFNYDGARLLSMLEEYMIVCQEKEQERKRQRDQKKRQDQLKAGQEALYGSKPSTSKSHSTKKVPRSAIGSASRKLSLCGASVRSPKTETTHSMSFHATKKTSSRFSEIGGLPIKKLYSNPSTLRETEAPRRPFTQITPGNSILSTPEQHIYHASEDENWTPKTIAVPTPKMPMTVSAPMQMATTPALAITKTAAVRLAFDKPELTSQPDVEYSFEERRLAVYRAAQVA >Et_5B_043856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16859514:16861023:1 gene:Et_5B_043856 transcript:Et_5B_043856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPVPMATTTTTSVLLLVLCFLAAATAGGAVQFRVVNTIQDEESCRNFDATIGAEFAGRVLVDATHMAWTTLGQAAATDRKDIAFVTLSVEGYLHRGTFTKDGTIHLGGGYGTDIYNGPETFDKEEVTGILYREVTTIWLFNGNGHADKGLLGGIAELVQMRSGHIPARWAKAGEGAYWNEQTPGVTAQFLRYVDTRYPGFVAKMATKIKTGYNEHFFVDITGKTVSQIWKEYKAAHKN >Et_10A_000487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11237389:11240790:1 gene:Et_10A_000487 transcript:Et_10A_000487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEASKKKAAQKKAAAAAKRGGKAAAAASSSSSSSSAAADKAANDMAALKLSDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPEHMDIYHLSHEIEASDMSSLQAVVNCDEERVKLEKEAEILSAQDDGGGEALERIYERLDALDASTAEKRAAEILFGLGFTKEMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEEMLKKFDRILVVVSHSQDFLNGVCTNIIHMQSKKLKLYTGNYDQYVQTRSELEENQMKQYKWEQEQISSMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKVLVFRFTDVGKLPPPVLQFVEVQFGYTPDNLIYKCLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLTPTDGMVRRHNHLRIAQYHQHLAEKLDLDMSALAYMMKEYPGTEEEKMRSAVGRFGLSGKAQVMPMRNLSDGQRSRVIFAWLAYRQPQLLLLDEPTNHLDIETIDSLAEALNEWDGGMVLVSHDFRLINQVAQEIWVCENQAVTRWNGDIMDFKAHLKSKAGI >Et_3B_029095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22055639:22062070:1 gene:Et_3B_029095 transcript:Et_3B_029095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGDRARAPKRHKSSAPSKAALVDESAEFDYADDFDDDALDADKEVKKRDFTKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETSTIISVLSKLSKTKLPSEIIDFIHASTANYGKVKLVLKKNRYFVESPLPEVLKTLLKDEVISKARISPEDSLGGPSFSVSKTAGEIVSGHEDLLNGMELAAATEDKETHSFEIDPSQVENVKQRCLPNALNFPMLEEYDFRNDTVNPDLDMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIKDDHISRFTSDNKEKFKGMAGVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVLYVMNPNKFRACEFLIKFHEEQRGDKIIVFADNLFALTQYAMKLRKPMIYGATSHAERTRILYQFKNSPDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLVDQGYSFKVITSLPPPDVKLSYETQDEQLRLLSQALNAGDDMIGIEQLEMDADGKALLKARRSSGSMSAFSGAGGMVYMEYSTGKGKGAKKHKDPSKRHHLFKKRYN >Et_1B_010276.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:34112299:34112859:-1 gene:Et_1B_010276 transcript:Et_1B_010276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDELPPAAVSSEAAADEDLVEILEESSGRLDITRYVDHVRDLSAGAIATFEGTTRDHFAGRRVVELRYEAYAAMARRRMAAILREARGKHDLRRLAVAHRLGTVPAGEASVFVAASATHRADAMEACRYVIDEIKASVPIWKKEVYEDGEVWKENSEFFDRHADESAPATTTKRGGCCGSKVRV >Et_7B_053897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13079384:13080898:-1 gene:Et_7B_053897 transcript:Et_7B_053897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMWVFGYGSLIWNPGFNYDARVVGFVRDYRRVFYQGSTDHRGTPQYPGRTVTLEHQPGATGWGVAYKISREEDKQVALEYLEVREKQYDEKIYLDLYLATTNKESNRNYLGPAPLEEMAKQIYLAVGPSGPNKEYLFKLEDALNKLGVEDSHVQELMNAVREYSDAQLSSEGSHFPVG >Et_2A_017781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6188329:6188645:-1 gene:Et_2A_017781 transcript:Et_2A_017781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLSPVAVTHLLQHTLRSLCTGDAPQWAYAVFWRILPRNYPPPKWDLPCSAYDRTRGNRRNW >Et_3B_030471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:435587:438977:-1 gene:Et_3B_030471 transcript:Et_3B_030471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESVPVVVVVFLSSLGSFIHGPSLLRAGEPELFAELWRACAGPLVELPQTDERVFYFLQGHLEQLQEPTDPALLAEQIKMFQVPNKILCKVVNVELKAETETDEMYAQITLQPDPDQVNLPTLPDPPLPELPRPVVHSFCKILTPSDTSTHGGFSVLRRHANECLPPLDMSMPTPTQELITKDLHGSEWRFKHIYRGQPRRHLLTTGWSTFVTSKKLIAGDAFVYLRLSQSQYIVSLNKYLESSKIGFNVGKRFKMSFEGDDVPVKKFSGTIVDKGDLAPQWQGSEWKTLKVQWDEATNFNGPERVSSWEIEPFDASAPGINIPVQPSTKNKRPRETTESQDVHDLEPAQEFWLSGMPQQHEKAGTGSSDPNCISGHQVVWTSERPGYGAMSSSSVSQNTVVLESWLKDFNSSTKGVSPTLSDISQKLFQITSNDTRVAPWPGFSAYQYEEPSSKLSCNTALCSYRTEEVTPNFPNAGEEKKEPSMFRLFGVDLINHTKSTASTDKMTAGVGDTSTRAACSYEDSGQLSALSKVTKDHTQFVNESPREIQSHQSSSARTRIKVQMHGNAVGRAVDLANLYGYEQLIGELEEMFEIKDLDTKEKWKIAFTNDDGDTVEIGDGPWLEFGQMVKKIVIYPIEDGRNIEPGHEQDLKTEF >Et_10B_002960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14787664:14788208:1 gene:Et_10B_002960 transcript:Et_10B_002960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IWENESQFAEQRGQISGDLCIEGWRNRTRPCSSPCRSDLFVTASNKLLHLISHPSQTMSCSDPQPPISLNKSILRAAQIPLFCDGLEQAATFPSITNSRGCLSFVCALLIGPSAWTNSCLKKIKLPKFAWTKYSRYVLCI >Et_1A_007877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39135424:39139966:-1 gene:Et_1A_007877 transcript:Et_1A_007877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLATSFARNVGREYNFTCPSKSVSKKQWITRRSHCYVPASTNSSQRHKFNTLVYPMRPPVGKHSPWRSFAASLNLEDGPAPSDSASSSSEQASDADGIAGADASENLLSRRLSSDELKSLLADSERSKLLTRLSEANQYNRFLKRQLQIKDDAVVKFKSELAVLELELQALVGLAEEIANFNVPSGSRKLNGKYIQSHLLSRLEAVHGKVMEHIKDINTLKPREVSVYWVGMAEDVQIMGSFDGWSQGESMSMEYSGDYARFSATLNLRPGRYEIKFLVDGDWRLSAEYPIAGEGMMQNNILVVE >Et_3A_025812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33118783:33119500:1 gene:Et_3A_025812 transcript:Et_3A_025812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRIQPLAAHLHPSATPADPEKQVYTVWMKSLVFNGYGCTIYGRDGRVAYRVDNYACSRSREVYVMDSGGKTLIKLLKKNFGVFKTWKGYSYCSGSHGAEEEAAKPWFSVQKSHRILKKGGQYSSRAVVTVCISGKVYNIDDVSRKSEYKISDADGKPVAEMKRKQTASGLVLGEDVLTLAVGPTEDVLLIVGLVVVCGLLSRCI >Et_5B_043016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13034073:13034486:1 gene:Et_5B_043016 transcript:Et_5B_043016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPNCHVRANVSVAETEQNYGRIFHKRPRFSASGCQYYQWDDEIDAAVVPSAQPVLMQVQAPPQAPAMIQVPAGAPPQAPAMIQVPAPPQPQGGRVQDAPGNLQVLMVK >Et_4A_034621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4911607:4923054:-1 gene:Et_4A_034621 transcript:Et_4A_034621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIRVGLLGFLVNAKQRRACCLPEPLESHYGGGIIRNSDFSSGLQGWSAFGYGVIAEGASAAGNRFAVARNRTRPYQSVSQKVYLQNDTHYSLSGEESPKIELPLLGFHVQNAWLQVSDGTADVIAVVKTNGNFIHAGGVDARSGCWTILKGGLTAAAAGPAELYFESNATVDIWVDNVSLQPFSKSEWSAHHDASIKAARKKTVRLRAKDASGNPVPGAQVRIEHVRNGFPLGSAMSAEILSNPAYQRWFTSRFTVTTFENEMKWYSTEAVPGREDYSVPDAMMRFAKSHGIAVRGHNIFWDDPSTQMGWVKALSGAQLQRATAKRMKSVMSRYAGQVIAWDVVNENLHFSFFENRFGWEASAAFYRKAHQMDAAAHMSMNEFNTLEQPGDPNAVPNKYLNKLWQIKAFPGNGNAARMAIGLEGHFGVPNIPYIRAALDTMSKANVPIWLTEIDVAPGPNQAQHLEAVLREVYAHPAVHGIILWTARHPSGCYVMCLTDNNFKNLPTGDVVDKLIWEWKTHSHAGVADADGYYEAELFHGDYNVTVSHPVANSTVMQSLSVQKESDNEFTIHHADGCYVMCLTDNSFKNLPVGDVVDKLFEMWRKVPEKAKTDDKGVFEAKLFHSEYNITVKHKSLEEPIVKTVDLHSKSETAIKGKDMFPRASQAGSTSAGSTQATIVERHSNARAAAPHEIQVQLRAPIKSYNHQPPAPGQVQSKANSHHKPNLSNPKHQKATNLTIPRLRLPGSHLRGKGSHQVEHRAPSERAALKGALHGQSRRVLTVEPPVPEAPHGRLRHLGSSSSPPPPLELKP >Et_4A_032119.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:18996754:18996864:1 gene:Et_4A_032119 transcript:Et_4A_032119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RARARVRVRVTYFTRDVLLHGWVFGPPDPNPTRCHP >Et_1A_005025.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22104425:22104571:-1 gene:Et_1A_005025 transcript:Et_1A_005025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGRMGSGSLSSLMLRSFHLELVKANLLNVESRSMSETNRRKRGRST >Et_1A_007702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37409833:37417832:1 gene:Et_1A_007702 transcript:Et_1A_007702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPYKPKNILITGAAGFIGSHVTIRIVKKYPDYNIIVLDKLDYCSNLKNLLPVCSLPNFKFVKGDITSADLVNFLLVTEKIDTIMHFAAQTHVDNSFGNSFEFTKNNILGTHVLLEACKVTGQIKRFIHVSTDEVYGETDDDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPIITTRGNNVYGPNQFPEKLVPKLILLAMRGKPLPIYGNGSNVRSFLYCEDVAEAYEVILHHGEVGHVYNIGTKKERSVLDVAKDVCKHFNLEPDKFVQFVVDRPFNDKRYFLDDAKLKKLGWFERASWEEGLKKTIDWYLANPDFWGDVSGTLVPHPRGGMDMAGPYKPKNILITGAAGFIASHVANRIVQKYPDYKIVVLDKLDYCSNLNNLLPVSSSPNFKFVKGDIASADLVNFLLVTENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKPLPIHGDGSNVRSYLYCEDVAEAFEVILHRGEVGHVYNIGTKRERKVIDVAKDVCKLFNLEADKVIQFVENRPFNDQRYFLDDEKLKSLGWAERTPWEEGLRKTMEWYVANSDYWGDVSGALLPHPRTLMMPGYEVSKEIKGILSQSNNSQTNTAAAPTSEGAPEMHALKFLVYGRTGWIGGLLGKIFEKQGIPYEYGKGRLENRSSLILDILTVKPTHVFNAAGVTGRPNVDWCESHKPDTIRANVVGTLTLADVCRERGLLMLNYATGCIFEYDAQHPEGSGVGFKEEDTPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPITSDLTNPRNFITKISRYNKVVNIPNSMTVLDELLPISVEMAKRNLRGIWNFTNPGVVSHNEILEMYKEYIDPSFKWANFTLEEQAKVIVAPRSNNEMDGTKLKNEFPELLSIKDSLIKYVFEPNRKYKILHVPHPCVLPLAGRIVAANSNSSSSGGVPEIHCNNAGAELVVGEARVVLASLNYYRGASTLLRSVQLPYGEDVALSVGAGRVVRVRRLHGGVRGAPTTSSPPGPSSRDRSVLLIRPRATQPPPPPCHHAASSLGLDKAFTPMDARPPLTAPQLRRDDNYYFGNVIAFTGREARLDDVLQTPLPDVAAMDFDELFQGMVDWMGARVTRRANGYVLTAAVGLGSPTVVVTPFTSFRTDTDNGAAFHRANGEAVLRVARRRRVMDRQRLCVAAACGGARVFKPPHLSCELASDAFALPAAFDLRILHTVFHSSLLNSSNGPG >Et_7B_054234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1800631:1800947:-1 gene:Et_7B_054234 transcript:Et_7B_054234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAYVDHGEIQEAWELFDRMPERNSYSWNAMISCRKVDETVWLFERMPHRNVVSNNGRWLGKEWFCGRAIKFFALMPEKDTAAWNGMITDLANSGSLYEA >Et_4A_034142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30190092:30190886:-1 gene:Et_4A_034142 transcript:Et_4A_034142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLARGAAVSPGCGEDGDGATRSRSTAQTRTTMTQSTTSTSGDRSKIARRDDDDEEYERIVGFINRTYEGPIEGFDWDEDTIDKKIAHYSNKLNPGPSRRYYFWVFYEEPQLKQLRERLALYRIRALKEELRNLEDADLRCMYPSLEEEGYFESHERSFKWYFDENYCHYPRLQDYQRLMLRNYFKALCFMTSVAVSRPVLTECIMRPYCGVILQRPYIEH >Et_3B_027491.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21974633:21975817:-1 gene:Et_3B_027491 transcript:Et_3B_027491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAAVENDRHRVAKHSSAPSSSPPPAPLPSSRTRLHGFSFPTLSWGTHRLLRCSKNGPASATPAAAPETPSPEKEKPHRPEGGGSSLKRQRAPQRPWNLRTRRSVTAAPPRPAGPDEADEEAVERVPVPTTESKKRGFSIVLSKHEIAEDFAAIRGTRPPRRPKKRPRVVQRQVDVRIPTSVCRILFYLFGFS >Et_3B_030869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8156448:8159791:1 gene:Et_3B_030869 transcript:Et_3B_030869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISSTLSARLGSGPAPAAVRWSPYARPSVHEARGGAAKGSRPPLRLDPATTRLLGPAQKAPPSGLGRRSEVTASVAAAAPARRRPGCDTTNQKPKPKPNPAEGDEKPPKEENRDGGGFVFHCPLSGHEEAVSGISLPLGSDKLYSGSADGSVRVWDCNTGKCVDVIEMGGKVGCMITHGPWVFIGIPKSVEAWNTQTGTKLSLQGPSGLVCSMTITNEMLFAGTGDGRIMAWNFPSKEMSVKPVAVLSGHERSVISLSVSATSLFSGSLDKTIKVWDLTTLQCVQTLSEHKAAVTSVLCWDQKLLSCSLDKTVKVWTLSESGSFQVQHTHAEEHGLRTLFGMHRVGETSVLFCSLRNSNCIRLFNLPSFNQMGTLFSKNEVRTIELHDVGLLFTGDCAGQLKVWRWAPQEEPASAARPESAVQRH >Et_7A_052477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8409919:8410424:-1 gene:Et_7A_052477 transcript:Et_7A_052477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVDRIAVVTGGNKGIGLQICRQLASNGVTVVLTARDEKRGAEAVEKLKEARLSDIIFHQLDVTDAPSIARLADFLKARFGKLDILVNNAAVLGVKYVEDPVDISVTSEEKVRLHLSVRRSPSLHLLLGKTFMTPSELQP >Et_1B_011651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24584071:24586073:-1 gene:Et_1B_011651 transcript:Et_1B_011651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGDLLLACMLPLLMLHSCRADQPPSPGYYPSAMYRSVAFSEDYRTLWGAQHQTLSPDGKSLTLWMDSSSGSGFKSTRAYRNGYFGASIKVQPGYTAGVNTAFYLSNSEQYPGYHDEIDMELLGTVPGEPYTLQTNVYVRGSGDGTIVGREMRFHLWFDPTADFHHYAIIWNPDQILFLVDDVPVRRYERKTEATFPEREMWAYGSIWDASDWATDGGRYRADYRYQPFVSRFAGLKIGGCATDAPPGCQPVPASPSGGLALSPRQEAALRWAQRNSMVYYYCLDQSRDRALYPEFPQNEIMVQKEQINASLTCNYDTGTTGKHQCTEHKTKGNIKDMNSMTGSVMLPKSENLELLGAQTTKVTLLG >Et_5A_040714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11505480:11514994:1 gene:Et_5A_040714 transcript:Et_5A_040714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNGLHVAAASTAASAVALQWWASSVLDEARAAGDGGDWLAAVLRSRVTVALLANLAGHLFLVLLLALKTLFFVQLTSLETRKVLEHIINYVIYKGAFLPLVVPPNSQQIILWSSWLVVLCSLKMFQSLARERLEQLNASPSATPSKYFRVYSALLLVLLTDLLWMRLCVGFCSSCNSKLFWLLFFEPLSIAFETLQSIMVHGFQLFDIWQRHLMDSGADFLDFQKSYKQAAGSFSELRGKLIRNFGFAIDLISLLMSLGHYSMIFWLRGMALHLIDVVLLVNLRALTASFLKRIKTYIKLRKALSSLDGALPDASYDEICAYDDECAICRGPMARAKKLSCNHLFHLACLRSWLDQGLMDGYSCPTCRRPLFLSSEGHVRSTTAEVENVQRFAEQLNTGQNQLRVPGSEHPVEQHNTSDAVWRGAGLDASWVPPWSSPGMDNPSSSTAVRSVGLTGVQMMMRQLASVSDNYGHTDATWNLWPEPMAGSSLVPSSSSMPNSSPAAGLRLRGASGTGNGSRSELLTMLDRVREVLPHIPDELIMEDLMRTNNVNATVNNLLLMQ >Et_10A_001423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:344469:348326:-1 gene:Et_10A_001423 transcript:Et_10A_001423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAYLPPDSSSFILKSSMRGPFGQSEIVKFLLSRGAPVDVESNFLTPPNKHYTQFTALDLALNSSSVPCVKLLVQAGADVSVSRYFHPLAKAAEKGLTEAIKCLVEAGANANVPDTLGRLPIELAAEYGTWEDVEILFPVTSPIPTVPDWSVHGIISHVYMEVMQREDDDIVKKRKSDLKRQAGDAFRKEDYLNASLLYTQALKVDHFDGTLFSNRSLCWLRLGEGEKALDDAVKCVKLHPNWGKAYYRKGAALMLLKDYENAYNTLSRGLDLDPESEEMEKLFWEAMELKCCLQEDDAKSESS >Et_4A_034037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29349709:29359940:-1 gene:Et_4A_034037 transcript:Et_4A_034037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREILCGPAGVCLCTPATRARPRPDSLRAWTMKAQRFPNLPRFSADETFSLGGMAFWADISQGVLYCDLLDEVSVVEFHFIKLPDGYEIDEEPYVFDRTEEPRMTRTIGCAGSSIKFVCIDSALLPGNETVNVWTLDLQRRRWKRDQGFPCPWNEFSKRVGFMNAAELRDVDPQYPTLMPDGELCLMLRWRDRARGREEPCYSCSFDMCSKSPVWFGLCHKHCATGPFILSLNFFRKLFPPAPRQRKLPRIDIPRQMSKVHFFFESSAMDTASPSSAFVVVQSRVYIHQPPVVWGQRDTPGNEWAAIACATKKAHGCCGDHAQRLVEGLTLYFRAVDYPDLTSALCLGLSAEAARSIEAELDAPRGGLEIKAAVAVATRRLLVLLVMFRHRNHGDRCYYLVHDAANESLDMVPYMPDHLKATYTLAAVPVETAADGHVLATMAQKFGLGRAVDRDRLCVCAPATTANSPAASGGAWLVKALRFPELPQPFSADETFSLGGMAFWADLSQGLVYCDLLDDGSVVDARFIALPDGYEVTYPYKPDSDRKEPETRRMTRTIGCAGSSVKFVCVDRRWALPGHQTVKVWTLDLPRRRWVRDDGFPCPWKEFWNHVDFMDAKLGDVEPQFPTLMPDGALCFLLRWRNRVWGSKGDFFDMRSKRPLWFGLVYDYCNIGPLIVPSKFFKNCSLPSPSERKLPRILKQTPMHIPEHEADNDLHVNNMSCSTVTHTKITACCDTVISKCTTAARGTKGKERGKTKEELHQVWTSRKFAQASTGYMGLVKD >Et_10B_003007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15252154:15259545:-1 gene:Et_10B_003007 transcript:Et_10B_003007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGCGPAPSKASPYVPKPISLAQQFITQPSRVAEPYAVLPPPPSPRRPAPLTETSSPHRACLSVTAMGEPSKELLDLPSDPRPPASFIESLLALREQPQQKQDKEGKRKAGAPADPLPKSQVLGKVKDFLGEIAKANEKLQHDAQNKPPEEYDIEALTGNEKEYIEMDLLLGVADLHSEQAVEAAEATMNGFPPSGKSFTCSSSDSEDDSDESDEDAGDQPNVSDKDKCKGPAEAEMGPAKGKKPCKRQKIVVLNYSASIKLAQSDYQQEKHRLNLAIKEFYRTLSFCIEKLLLVHCSLPITKSINGNNKDYHQVEPQLRNICPDLKLYLTQSDQQWAKVCCKENNIKRRDFNTIHNSR >Et_8B_059612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19937320:19943292:-1 gene:Et_8B_059612 transcript:Et_8B_059612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNHPYCQREMLPKCHVFLRIFSVPGDSVPISRNILKNHSGIGVKKLKYSFGFVNDKEFLDHLDNWLQVVVIQGLKKLNFTCQEILHYITSQYHCYTVELEPRFGPQCQIRLFQKLDSATAAWSLNYLGVLLHDVKPVESKAPNLSSLPFEGVFNVIMPPEGRLQIKKLHICSNNSIFKSCTEFPSVMPNLETLAIYSLSEEIQTPIPSGKYLQLKFLTCPYLQTFVVEEIWCHIHSLLPLRDAARFACVSRDFLRSWRFLPHLTFNKQTLGINQKKCQIEQMRDFINIVDNVLKKHSGIGVRTLKLNCGVGYDEDYCYLDSWLQIVKPGIEELELRVSTIFALYDFPMSVLSGGIEASLRSLALSECKFQPSDGSGCFKRLTTLQLNAVYIKDDELSCLLSCTPALERIPCLQQLNYLGVSLRPNVQMIENKAPNVSSLYFVGELKTQLPLEGTQKIKELRVIASNAMFNACTELPSTMPKLETLTIRSYLEMLQKPYYLNITKSSILLQEVNTPVPPSKFHHLKFLNTFVVCGPAYDFLSLASFLHACPSLETFILNVRLWDTHWVSIFSEPSPSDMRTMPVYRHDKLKCVRIDSFMYAKKYIEFVCHVLECATSLERLTLDTVFHGAPRCSVSETRKCRLMTKEWVMSARHSALAVERYIRPKAPATVEIDVLGPCSRCHAALLLL >Et_9A_061556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13678125:13678554:-1 gene:Et_9A_061556 transcript:Et_9A_061556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSAAAAAAGDRLSKLQDPVLGRILSFLPVKEAARAALLSSRWRDFANAQYLHLESARLGMGLNNDVPMRFPDLSSLRHLELRGRLPDNDTAVVAAVSRILEHAPNLEALSLIFH >Et_1B_012491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32417399:32419538:-1 gene:Et_1B_012491 transcript:Et_1B_012491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILYCIILLCQCTKFYALQNFRTALRCLKYWAKRRGVYSNITGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCPIEDDDLGFSIWDPRKNPRDRSHVMPIITPAYPCMNSSYNVSTSTLRVIMEQFEFGNKMCQEIELNKANWAALFEPFRFFEAYRKFLVVDIVAEDDDDFRLWKGWVESRLRQLTLKIERDTKGILQCHPYPYEYADPAIKCAHCAFYMGLSRKEGMKRRGQQFDIRGTVEEFMHEIGMYTSWKTGMDLTVTHVRREQVPSYVFEQGYKKLNSPMHANHEELSDKNGIEDCTYLEDQLKRKYDSDGDGIVELCRPVKRASVSPPCEETPRTSCAAVGTVVMVDESSKLGSLTSITDIDTTQTMTVHTEKDETKFEGIRSLASSSCAEFLERAEVVTGKVLAENVHLSGDENPPCAIKWRGK >Et_5B_043540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11622992:11636019:1 gene:Et_5B_043540 transcript:Et_5B_043540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSARRPGFLREAELRLLRCTLPTPSTRAPQLTSSPPPQHPIGGAAAAALAAVEAGDYAAALAAAAPHLLPASASAGPPGSAAQFYADLAASVRAFLDGGGDGDGAGETAAAAEEHECRCAVVLAAAVAALLAFTQENVTGPPGTFSAFPFWTSSLDEGWYNNLGGIWDSWASDNLSSFGSHVHGKFSLLQFIVFAELLFTSMRTLDLSDCLSVSWWLFRLSMFQQNILDELSSSLFDQVQVYKNKMLGHFGELENVSAYWGPLLCDGEGSYFVSAAFLEAGIAEYKYGRVDASRLHLDSAQEACGLHLSLTGILGFRTIHQVDAKSQMVLVAKTSKSEADGQTTEPTEAQNDVAALKSASSSVPVESDEFCDILRTPRLVQDGSSSASENTRDHSAKISLSAIQQASVLAECLHVSRRSRSDEMSGWEMAPYIESIDSQNESYFLVTSLCNILRIRWESTRNRTKQRALLMMENLVEDIGKEIPVAAQRVKLVFGVHMPTLPALRKEYGELLISCGIVGEALDIFKDLELWDNLIYCYRLLGKMADAVTLINARLSVTPNDPRLWCSLGDVTNNDDHYKKALEVSKNKSARAMRSLARSAYNRNDFYTSKTLWESALALNSLFPDGWFAYGTAAWKDKDLDKAVDAFSRSVQIDPENGEAWNNIACLHMIRGKSQAAVQAFREAVKFKRNSWEIWENFSKVALDTGNIRLTLEALKMVLNLSSNKRFNVDILDKVMVLLEEQPTHLCDTQEDTSADVNKDRRQSNHLLDMIGDILQQIVRSGGSNAELWGIYARWHRIKGNLMASSEALLKQVRSLQGSGLWHDQKKFTKYAQASLQLCKVYMEISSSCGSRRELLLAEMHLKSSLKQATDFSDTEEYRALENCLEEIKNLIGAGA >Et_2B_021559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30514173:30518038:-1 gene:Et_2B_021559 transcript:Et_2B_021559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVDPNPNPQPASDRPNGDKAKSRESDRRRRRRKAKKNKAVAQEPGATDGYAKESGEDASSKENDDPNSNSKPQVEVEVEYVPEKAELEDPLLDDFKAIFEKFSFKDTAAAAAEGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSHGDLYYEGKEFEVKLREMKPGTLSRELKEALGMPDGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPPGASFGYRPGEWGKPPVDEDEPVDRSKHWGDLEEEEEEEEEEEEEVSEGEPMEDEEMEDGYQSVDTISSTPTGVETPDVIDLRKQQRKEPEKQAERPLYQVLEQKEERIGAGTLYGSSHTYVLGAQDKSAASASKRVDLLKNQKADKVDVTIQPEELEVMDDVLAAKYQEAREEEKLRNQKEDFSDMVAENASKRKRKQEKEGKSKKKEFKF >Et_4B_035996.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:11569924:11570418:1 gene:Et_4B_035996 transcript:Et_4B_035996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIQQPRLAPRRPPPPPPAPSQEPPPVARQQQPPCKRSRAGVDRGSREERVADSCCPTTTELVVINDDDSGDEDRSGSFIDGGGGRQDDGDEEVSGGVVVWWRQQSSSSRCCFVLAGESTAMEHQRAGVESDDEEEEDPKVAAARRREEDRKFWEACLASGYP >Et_10B_003527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2569945:2572930:-1 gene:Et_10B_003527 transcript:Et_10B_003527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTATEALSGAPHFQPNEVFLINHCDLARILSGLCATSTSKLIRCSSSSRSMEEVYDSLAEHLVSVVKNLEHVDSKYIVGLAGPPGAGKSTVASEVVRRVNMLWSQKHTQDTVRLPGEEIATMLPMDGFHLYRSQLDAMEDPKEAHARRGAPWTFNQSLLLKCLETLRAEGSVYAPSFDHGVGDPVENDIFVKPQHKIVIVEGNYILMEQAVWSEIRDFFDEKWFIDVDIDVSMQRVLKRHIATGKEPDVAAWRISYNDRPNAELIMESRKNADLVIRSLDFSS >Et_5A_041582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24696432:24697025:1 gene:Et_5A_041582 transcript:Et_5A_041582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLNEMCSSVEYYLLEFQKINKQELARQQCQQPRWKPPTEHDYKLNVDASFFVTSSSEGWGCVVRDSEAVRGTSWMVEQKELHFWAWHEWSWKLTQQLELSSNFNWIRSESGRKFVQEDPRLYGIELCNKVADCLAAFGVNALPSAEHVFWCQASSFVTQLVSN >Et_4A_035902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8198155:8199586:-1 gene:Et_4A_035902 transcript:Et_4A_035902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGSVPAAYLDPPANWNNQQQGQHPRASGGGDAPLLPVGPTSAAAGGEAATGLPSSSSPAASSAAAAAAQAARPNSMAERARLMRMPQPEPAIKCPRCDSTNTKFCYYNNYSLSQPRHFCKTCRRYWTQGGSLRNVPVGGGCRRNKRSSKSSSSSSGASSSKPSSSSATRQLPGSTTAGGGGAIAGGGIISPAGLGSLSQQHHQYLPFLGSMHHQPNLGLAFPTGLPALGMQHVDAADQFPVASGGASLDQWRVHQQQPAQQQFPFLAAGGMLDLHQPRQQQQMYQQLGLEAAANRGSSGAAAFTLGQASGATARQDGSMKLDDSKGQEMSLQRQYMAALRQGEGIWGGNAGGSGGADGGGNGGVSWPMNIPGFRSSSTGDDGTGLL >Et_1B_010975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16037970:16039830:1 gene:Et_1B_010975 transcript:Et_1B_010975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAMGMGTSGGAGGSWAGGGGGGRPAGDGAQPVDLERHPSGIVPSLQDAVLVTAVDKLSMFNDKGFLDDQCPLPNYRSDQPFLRCPRNIVSTVNLDCQLDLKEIALKARNAEYNPKRFAAVIMRIREPKTTALVFASGKMVCTGAKSEQHSKLAARKYARIIQKLNYPARFKDFKIQNMVASCDVKFPIRLEGLAYSHGAFSNYEPELFPGLIYRMKHPKIVLLVFVSGKIVLTGAKTREEIYTAFENIYPVLNEYRKRQCW >Et_10A_001716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7193411:7195970:1 gene:Et_10A_001716 transcript:Et_10A_001716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLLLPLLLLLLILPSPAPAGASPRETASEESRQCPRLEDGLPPFAVALRQTCRVSVEGYPAEEINGEQLLRVLGGKEEYTAVLFYASWCPFSQKMRPIFDDLSSMFPQIKHVAVEESNVMPVILSRYGVRSMPSVIIAHGSYPFGPLGSKDLGSLINSYTAVTGQEPVAYIGPRKWSTAQSTHYAKLWNGSIRETVKSEPYLAFSIMFMCLRIFLFFFQKFFAVVKGFWMQYFRHINLGVIAKLTQLLECMPHVVDVSKIWSKWRLMVGLKNAKVWASSLTSVSLGGQSSPRADVLD >Et_3A_024544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21886287:21893332:1 gene:Et_3A_024544 transcript:Et_3A_024544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKRSQRSEILELRRCNAAGGGGEDEGGGERRPRKKQRGDEFFPVELLGNVPVAGIPYAAFGFRWCEQTAATSPAEAALPAAASRPPVVRTSRGRTQVLPSRFNDSVLIDPWKKEKPVKPPAATVKAEPLVRKNGMIHNKVAIFDRSFALSELDDDDEEEALVERFRACRKFGGSSNYLTSQSTLTSVHDEFYSNYRRKEVMLSRYYDDDDDEEEEEEEDDEENQEQEEGQELLDCGREFLYGDIVWAKLGKRQPVWPGLLIDPTQQAEPEAMPPQPRNGAVLCVMLFGWRTEFSDEKKYVWVRQGMIFPFVDYVDRFQGQTELSSSLPGDFQRAVEEAFLADQGFSEVLMNCSTTGQPAVFRSFPAELQEVTGSNELECQATKERFHVRVAEIVFQAAM >Et_2B_022761.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:4560754:4562283:1 gene:Et_2B_022761 transcript:Et_2B_022761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQEQAAARSDSHGDLDVTGGTKTAHFVFVPLMAQGHLIPAVDTALLLATHGAVCTIVGTPATAARVTATVASGARAGLQVRLVTFPLDYAAAGMPEGADNMDNIPAEYMRNYFEAVALLRAPIENHLRAHAPYPTCVVSDFCHPWTTELAAGLGVPRLTFFSMCAFCLLCQHNVERFNAYDGVADDDEPVPVPGLEKRFEVSRAQAPGFFRGTGDPFWDEFGDYVERARAEADGVIMNNFLEMEPEYVAGYAAARKMKVWTVGPVSLYHQSVTTLASRGKTAAIDAEDCLQWLDGKEPNSVLYASFGSIAQANAKQAVELGLGLEASGHPFIWVLRNADEYDETVRDFLRELEARVAGRGLLIRGWAPQVLILSHAAVGGFVTHCGWNSTLEAVTAGLPVVTWPYFADQFLNEKLAVEVLGIGVSVGVKEPLIYQKVEKEIVMGRDMVEKAVRSVMGGGEEAEERRRRTRALADKARAAMQEGGSSHANLLDLVERFKAGAARAAAG >Et_10A_001845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:948712:951619:-1 gene:Et_10A_001845 transcript:Et_10A_001845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WWGTPSSLSNKLAPSASPSPSPFQSQSISELPRPSSSVGGGERKARERRPLSFPRAPDRPHASAAPHVTSGGAGASIALHLTVLDPCEIGVKIRPRRRIDLLYPQRPVLGLNNQCPKKMVAQAFTVDLDKPLVFQVGHLEEEYQEWVHQPIVSKEGPRFFENDVLEFLTRTKWWAVPLIWLPVVGWCLSTCIKMGHTITEVAMMVVFGICLWTLIEYLLHRFLFHIKTKSYWANTAHYLLHGCHHKHPMDGLRLVFPPAAAAILCFPFWNLIKLFSTPSTTPGLFGGGLLGYVMYDCTHYYLHHGQPSSDPAKHLKKYHLNHHFRIQNKGFGITSTVWDHVFGTLPSTKTVDKST >Et_3B_031269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23405809:23407461:1 gene:Et_3B_031269 transcript:Et_3B_031269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCGTMSARPRGIREETLVHIPGASVHLMAGSDGPVELARGDLAVVRITKDDVAVATVVRVGRDLGWPLARDEPVVRLDRLHYLFTLPDEDGTFLNYGVSFAATADAAALASLDGVLRSNSCFSAPSAGGGAFLPFRSSRPTPPPVSSPEAYWNGFAPRMDGYNGVLAKAIAAGTGQLVKGIFMCSEAYASQVQRGAELFRPQAAGGAAGADRRNQAPSSTKRGAVNTSLKRLRLRLQLQKNNCQHCSCCRNTVAAAKQPGNRLNIGWVLCCLLFRVRKLSEMTEKISQSLLDTVISVTGSMAAPLLRSKQGRAFLATVKFHDLLWNNGCFLESAGEATSDAFATAGHAVGTAWNLFKIRKAVTPSKSLPGNMVKSAVRNRN >Et_10B_003235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1881291:1882384:-1 gene:Et_10B_003235 transcript:Et_10B_003235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARELPLPPVTRRRIPPLKFLIPFGLVLSVLVFAVIQHFQSISYFLRPLWDKAPTPFTRIPHYYAPNMSMPQLCQLHGWGVRSSPRRVFDAVLFSNELDLLEIRYGELLPYVDRFVVLESNSTFTCIPKPLAFYENLNRFAFAGSKIVYDNISIGHLDADAKRQPFDVEANHRRALNKLIRRSGIAVGDVLIMADADEIPSPATLQLLKWCDGIPPVMHLELHNYMYSFEFPVDYNSWRSTAHLFTKRTPYRHSRQSNLILADAGWHCSFCFRTGEAAKLPESRENPEDQCNGEDLFDMLPEEYTFGDLFKKMGPIPKSASAMHLPSYLIKHVDKFKFLLPGGCSRSV >Et_1A_004845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11860180:11861424:1 gene:Et_1A_004845 transcript:Et_1A_004845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPLVSFKETIQGECVGLTGSLKAPPGFVQRTTANGKFIVRVQVISLPNALTKVLEESEELLGQIIKGQNPRFSQDDDGNSTATLRDRLISAIDSELEAISEQVEKEIIERYRKTWLGYLERIWALSPLHVGPNVLLSPDLNTSSGVTSSQDRRQGILSLRNSIISEFQFATNAGPICDEPMRGLAFIVEPYVLSDYNHAITHSDQYNAFTGQVITAVKEACRAAVFQSKPRLVEPMYFCALTTPTEKLRRGTSGAASALLTLSHWEVIHQDPFFTPKTQEELEEFGDGSSVVPNLAKKLMNSVRRRKGLHVEEKVVEHGSKQRTRAKKV >Et_7B_055496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12064994:12068451:-1 gene:Et_7B_055496 transcript:Et_7B_055496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFCAGPAASAAANPSTAGPRLPALAPPGVLPARWRHSRPAPALLSLRRRSAQLRPLRVASEAGVDPKVVNGEDFPPMKDLIRLYKTALLDGNEEILGQVEKAITAVEKEKSLTASQFESMTAEISNAKERFLRLNADLENFRKQTEKDRAKFTSNIQVEVVQSLLPLVDSFEKMNLENNPETEKEQKISTSYQGIYKQLVETLRYLGVGVVETVGKPFDPSVHEAIAREESSKFKAGIVSHDIRRGFLLRERLLRPATVKVSTGSGKQSTSPVEEAKDAAELTTLWNPSLLLTAGQSQPDTSQNRLKKKKNNRKTLAKPQMRHANITQVPAR >Et_1B_012277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30410844:30412664:1 gene:Et_1B_012277 transcript:Et_1B_012277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTQLSLLYQNAAQEGGCPLPDKLTMFDTYSPAVIRSKMEQLLGFELIKALRNFKQNGVTLVSPSILQELSPNKKAAMGRKKGILCCRPRLKNKIKSQIPNITKGDKLLVQQMDASKKMLCVKSLKPIFKLYQMATARKMTKKKFVLSEKSSSKKVVKIKKGKAALCKTKSSRRFKKGRKSSRDGFLLLERFGVDGLCLCNPMTGACKLLPGSALFQAHTYVLVTGYDIDDDGDDLVRSDDVPVRRIYLWGGNISPGAEVICRGGAIHWLAPSPLDTLPSYTLAMDARTGRTWTTELPAQCCPRTAGSCVLATTRDGDLSIVNAAENGRQIQVWVMMTNQWALQRTIHMPVSLCWRGTFGHRSGRLPAAVRLDDGKVQLLVVDVERGSYRRIRYPNQSGILFPYEMGWSTYLSKMKSFKVS >Et_2A_015076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28844603:28847842:1 gene:Et_2A_015076 transcript:Et_2A_015076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLSCHQVIFSGVILVLAALSLCNRAGACTPEEREALLSFLADLSPPPGDGLSASWRGSPDCCAWDGVSCGGGDGAVTRVWLPRRGLGGAISPAIANLTALTHLNLSRNSLAGAFPAALLSLPNAAVVDVSNNRLSGALPDDLPAAAAHAPSLVSLNASNNSFQGSIPSFCSTCPSLAVVDLSMNMLGGGIPPGFGNCSRLRVFSAGRNNLTGELPDDLFDVKPLEQLLLPYNQIQGSLDPERVARLRNLVKLDLSTNEITGELPESISQLPKLEELRLGKNNLTGTLPPSLSNWTALRCLELRSNSFVGDLGAVDFSWLGNLTVFDVASNNFTGTIPQSIYTCTSMKALRLANNQLEGQVAPAIRDLHQLQFLSLTINSFTNISGMFWNLQGCRNLTALLLSYNFYGSCALTGQIPPWLSKLQDLNVLNLAGNRLTGPIPSWLGSMRKLYYLDLSDNQLAGEIPASLTELPLLSSEQAMAEFDPGHMPLTFTLTPNNGQATRRGRGYYQMSGVAPTVNLSDNLITGAIPGALGGLVNLQILDLRRNGLTGPIPQELKQLNFLAVFNVAYNDLEGPIPTGGQFDAFPPWSFKANPKLCGRVINVSCAKPLADGEPDPSNLVSKRVLLAIVLGVCSGVIAVVVVLGCVVIAVRRFMSNGGGSAVGDDGKFADASVFDTVSELDGGDDSKATIIFMSEEFGGSDVVNKSFKFVDILKATGNFSPASIIGSGGYGLVYLAELEDGTRLAVKKLNGDMCLVEREFRAEVEALSAARHENLVPLQGFCIRGRLRLLLYPYMANGSLHDLLHDRPGSLRWRDRLRIARGASRGVLHIHERQIVHRDIKSGNILLDERHEPRVADFGLARLILPDRTHVTTELVGTLGYIPPEYGQAWTATRRGDVYSFGVVLLELLTGRQPVEVLRGQRGGQRELVPWVTRMRATGKHAEVLDPVLRGDVGDEAQMLYVLDLACLCVDAAPFSRPAMQDVVSWLENVDTIGASPEDATTL >Et_1B_011245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19277088:19282931:-1 gene:Et_1B_011245 transcript:Et_1B_011245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQTPNPCSESDPALQTSPSLSDSEHGFPGASMWSAGSSRSVIQFVSNVVVGGDGDTLSASLSACPSTRSSCGSSSDTEIEVLFQGDDYYNNDYECASPASRSWRSTSLRGCSDAEQDLEAGRSSLLRTSSLTTAEFVERDRRGELRWSALEGLRLSSTSQRSAAGENDNNSTIPVNVNVNPSRSIGRSPSHGIRIALDSCERNLASRITEKDIKQAIIFSASLNKLTLTQDEATEYTNLILEELQTGQGFMQMSNTKKGLSRDLQLTFWPKPTPTRYRCGILSAIIVFLQTHWRRIWVILLWLAACAALFTWKFMQYRERLAFEVMGYCLSTAKGAAETLKLNMAIVLLPVCRNTVTWLRRSRVINSVMPFNDNINFHKLVAGGIIIGIILHGGIHLSCDFPRIARADKTFFGRTIAADFGYHQPSYLEIVASTEGTTGIAMVVLMLIAFLLASSPSRRNPESLPPLIRRFAGFNAFWYSHHVFIAVYVLLIVHSMFLFLAKDVTEKTTWMYVAVPVIIYLGERMFRIVRSMATAYPGKVLALKVAKPPGFRYQSGMHPFSLTSAPDDDHLSIHIRSLGDWSYQMYDKFQQALLSSNSNLPKVSIDGPYGAASQDHSKYEVILLIGLGIGATPFISVLKDIANSLDKGGGPYRHAERLKKAYFYWVTREQGSFEWFRDIMKEVSALDSKQSVIEMYNYLTSVHQEGDKRSVLISAIQALHFARHGIDIISKTPVRTHFSRPNWPRVFHGLSRRHIGERIGVFYCGPDDLGRQLERLCHKMNTRTFTRFVFHKEHF >Et_3A_025141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27231622:27231980:-1 gene:Et_3A_025141 transcript:Et_3A_025141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGRRRMRLRMGWLRSLLSPLRKLWCRANAVQRKKRRGIYILYDDVKSCQCEDVHVLWSILVESYGLPPPTPPVLRLKR >Et_4A_034213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30901808:30905585:-1 gene:Et_4A_034213 transcript:Et_4A_034213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPKQEELQPHAVKDQLPSVSYCLTSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNEEKARVIQTLLFVAGINTLLQSFLGTRLPAVIGGSYTFVAPTISIVLAGRYSGIADPHEKFLRIMRGTQGALIVASTLQIIMGFSGLWRIVVRLLSPLSAAPLVALVGFGLYELGFPSVAKCVEIGLPQILLLVALSQYIPHMLPLLGTAFERFAIIMSVAVVWLYAFFLTVGGAYKNAPQKTQFHCRTDRSGLVGGAPWISVPYPFQWGAPTFDAGECFAMMAASFVALVESTGGFIAVSRYASATPCPPSVMSRGIGWQGVGILLDGLFGTANGSSVSVENAGLLGLTRVGSRRVIQISAGFMIFFSILGKFGAVFASIPGPIIAAIYCLLFAYVGMAGIGFLQFCNLNSFRTKFILGFSIFMGLSVPQYFNEYTSVAGFGPVHTHARWFNDMINVVFSSKAFVGGAVAFFLDNTLHRRDGTVRKDRGHHFWDRFRSFKTDPRSEEFYSLPFNLNKFFPSF >Et_4B_037576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21371416:21381973:1 gene:Et_4B_037576 transcript:Et_4B_037576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIVEEGFTVTADEIVGRLNSLLLPVDSSKMEDSSMFLQWALSTLQHGDPPPATPAPAYDDDGGHTFSSSLTELLYSSSLSSSMVPGEPPAREGHRATSSWSSGDTDSGGGGRNASVTAAVEIDGWSPSQNTVRYSAAAPPRCRTNQPVSWNFSSATARPSKEATPNSAAASRATDDAGGSVPQRVQEPPLPTRITAKGSGSSAPPFHIIAERKRREKINKRFIELSTVIPGLKKMDKATILSDATRYVKELQEKLKVHEDGCCNGHRKVPRKKPCITVPDDEDRRSPTSYVAAMPTAATSCRDTLPEIQVRISEGNVMVRIHCEDVKGVLVRLLAEVENLDLSIVHANAMPFSASTLIVNITAKASSPTHFTVEDEKHIVLWSDNHDLPVNTQYIRSKIGPTVEEGFNNTMADDIVEQLSRALNQHIRNSKVEQEAD >Et_3B_031206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20703997:20706926:-1 gene:Et_3B_031206 transcript:Et_3B_031206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELKDLQKDPPTSCSAGPITFLLACPVGEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRHKYENTARTWTQRYAM >Et_4A_035315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19462247:19465389:1 gene:Et_4A_035315 transcript:Et_4A_035315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTFAVTSPSFHRNTVSALPARRFQGWHIRRVRNQVRAQAQLQYRKLGDSDLLISEVTLGTMTFGEQNTEEEAQDILSYSFDQGVNTLDTAEIYPVPVNKETQGRTDLYIGRWMQSKPRDKVILATKVAGYSERSAFLRDNAKVVRVDAANIKESVEKSLKRLSTDYIDLLQIHWPDRYVALFGEFSYDSTKWRPSIPFEDQLKAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKVNGLPKIVSIQNSYSLITRCRFEVDLVEVCHPNNCNVGLLAYSPLAGGVLTGKYLDANSDISKRSRLNLFPGYMERYNGSMAKEATNEYVKLAKKHGLTPVQLALGFVRDRPFTASTIIGATTMDQLMENIDAFTSAPRPLPQEVLDGIEDLFKRYKDPAIL >Et_4B_038057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25852361:25859769:-1 gene:Et_4B_038057 transcript:Et_4B_038057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSVLDLLISLYLRTNATSKALKQIEKAHLPFGSEQNFPVQLHAKAVICHASLGDMKHAEVFLQDVHLEPSKYNIDVIKELASTFENMEQYEYAVKYYLMIENVAEHNDCGLYVKIAQCYMVSGERRKAIPYFYKGMKDNIDVRITLSSLLVDDEKTDEAITLLSPHNISEFQSANAPDQPKPWWWDGEVKMHLAKIYYDKGKLVEFVDTIFLPILETLKIERYNQKVTPMKRLSDTVPCKRVKLFAEQRPDVLFQGIKPIASPVLPMADRAKKLTKQSTKQVPPVPGLLTNLENHQLVLNLCRTLASLQRYLEALEIISCSLELGSSGLSVDIREELRSLGAHIAYRAPNPSRGLKYVCYAAKQHPYSLSAWNSFYQVTSRIEDGSQNKFILQRREEKTDCVPPIIISGHRFTALSQHQAAARDYLEAYKLEPENPLIILCVGTALINLVLGFRLQNKNQCIFQGFAFLNKYLRLCGNSQEASYNIARAYHHIGLITLAAVYYEKVLAIEVKDYPVPKLPYEAGSCARQDLRPGYCDVQREAAFNLHLIYKKSGTTDLARRILKTYCT >Et_1B_013824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18622809:18630675:1 gene:Et_1B_013824 transcript:Et_1B_013824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLAVLLLVSSSLLLPSLGLAGQEARELVHLHEPSQSGVHVSVARTDLPTVSASVLGAESWLRTHVLAHYPTERITSIVVGHGVACDRGQELVLLRLSHAAKNLHHSLVRWGLAGDIKVTTAMTACAKDRGVLQRRLYGRHHLPPAATFPPPQPPVGTTFATPPPPGVPLSFAPNSPPEVVPSVPPTASAPASPPPTVYASPPTTIPSNPPTSTPAGPPEAAGGMAPSALPPPCQLAPPAAAMSPPPWSGQGGHGGGLWCVAKPTVPEDKLQEAMDYACGQDGVDCKEIAAGGSCFYPDNIASHASYAFNSYWQKMRRIGGSCDFGGTAVLINSDPIWAVVFAAVRAQQAGKGILSRKTKDRPCGDEGNESNTTSIFPWINAWPRKPMMVKSRLEHTSVSWESKGSNILRRCYLLRSRAQNNFSIPSGLGLVTRDADGQPLLMAWRKLFYCRDAEEAEVVACLDGVRLAARWPDIGMILESDCATVVAKLKKDGVIDLWSLGSSVICVWTAMLSWSLKYTR >Et_1A_008115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4495990:4498993:1 gene:Et_1A_008115 transcript:Et_1A_008115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPPPSPPPPQTHGVVIITLPPPDQPNKGKTITAFTYTDDPASPPPPPPPEPVMGYPAVAGVRRSRRALSLRRVGVMALVLGALAVAAYYCFYSDVAVQFLGMEQEEAQRNETRSFLLPLYPKARQGRSLREFGDVKLAARKVDDGGGRKARNKMEVKKAATNSTSLLPIKGNVFPDGQYYTSIFVGNPPRPYFLDVDTGSDLTWVQCDAPCTNCAEGPHPLYKPAKEKIVPPKDSLCQELQGNQNYCETCKQCDYEIEYADQSSSMGVLARDDMQLIATNGGREKLDFVFGCAYDQQGQLLSSPAKTDGILGLSSAAISLPSQLANQGLISNVFGHCITREKGGGGYMFLGDDYVPRWGMTWTSIRSGPDNLYHTEAQNVKYGDQQLSAREETGNSVQVIFDSGSSYTYLPNEIYENLIAAIKYVFPNFVQDSSDRTLPLCWKADFHVRYLEDVKQFFKPLNLHFGKKWLVMSKTFTISPDDYLIISDKGNVCLGLLNGSEINLGSTIIIGDVSLRGKLVVYDNQRRQIGWANTDCSKPQTQKAFPFFL >Et_10B_002817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11934836:11935531:-1 gene:Et_10B_002817 transcript:Et_10B_002817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARSRSAGRKRTTAAGVALPPDLLYEVFLRLPPGAACRFRVPRSWHVDLVDLSGDIVRRTRTPEPITELFTCGGLACAVGEDNRAIVLDVATGAVTRLPHGLSERNSQFDGRFGVFTYAFGRAALTGKYKLLRILHVRAHHCYGQLVEVLTLDDVRDIAAGAAGTRWRAVQRPPFAVSGAGFCGNGIAVVNSVA >Et_3B_030312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32134071:32137715:-1 gene:Et_3B_030312 transcript:Et_3B_030312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANQSLTAGLAVASSESSHNNTDLHVSTLATWEVLPLVLYKNYWMFPHHASNIMVLQDSFKARHDDTVIASNPKCGTAWLKALAFAITNRSCYNTADPQHPLLTRHTHDLVPFLEIPFYDKDLTYVDTLASPRLLATHMPVSLLPKSIANHGCRVVYICREPKDAFVSMWHFLNRRVQHDTIDLDATFNMFCDGYWPCGPFWDHCLEYWKESIARPDRALFLKYEEMMLEPVKYVTRLATFLGVPFTSEEENHGVPEEVVRLCSFEILSGVQANLTEDIVDESGIRRSAFFRRGKVGDWKNHMSEHMGRELDRITEEKIKGSRVICIRTMAAQPKGCQDILSETETDLLSKLSRKEGWSQPLFMYRNYWLRPQVIESIMHVKDSFKARRDDTILVSNPKCGTTWLKALAFAITKRSRYDFEHHPLLSSHPQELVPFIEVLIRKRDKDFTYVETLSFPRLLATHIPLSLLPESILDSGCRIVYICREPKDMFVSRWHFDNKLIGEGHKKIDLTAVFCEGVSSFGPFWDHCIEYWSESDKVLFLKYEEMMLAPTKYVVLLAEFLEVPFTETEHDDGVPKEVARLCSFENLSGLRANKNGFIAHGTKYVVERSVFFRAGKVGDWANHLTQETGRKLDDIVQEKLKGSGLCSLSCTRVDLWCVMVFLH >Et_3A_023430.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27066252:27066506:1 gene:Et_3A_023430 transcript:Et_3A_023430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKGRLDHLMSSFQAEIVACLQGAADLEAQKVIIETDALLVRQAVTTDEYDLSSARGLVRELKSACLVITANSAVAAAQEIYF >Et_5A_042351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:894555:895858:-1 gene:Et_5A_042351 transcript:Et_5A_042351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSASTAAPWLKLLVLAVVVPWLMSLVEAAAGTWPSTRGGDEYPNCLSWRVMVEANNAKGWRAVPQPCVGYVWAYMAWGQYHRDVSGVADQASAYAAEIAPDGHDGLDAWVFDVDDTCLSNLLYYQAKQFGAYDPAAFKTWASRGVCPGIPAIRQLFWTLKGRGFRVFLVTGRDEETLGATTAANLAAAGFSGYDRLIMRGAGHRGQSAVAFKSAVRRQLVEEEGYRIRGNVGDQWSDLQGDVAGDRVFKVANPMYFVP >Et_5B_044995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8024380:8039180:-1 gene:Et_5B_044995 transcript:Et_5B_044995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAGAEPLLLRPSPIPSPASCAADPVAPTSPPAQEDAGPVQRTEAAAASPRSPSSPSLRASSQLSRWSRARALRSGRRLDRPAALSAPVAVTNPLLAEEEESFAGEDDGDDGLCPAAERDDAAASGKAIYMVSDGTGWTAEHSVNAALGQFEHCLADRGCAAVNTHLFSGVNNMDRLIEIIKQAAKEGALVLYTLADPSMAEAIKKACDFWGVPSTDILRPTVEAIASHIGVAPSGISRSSPSRHGQLTEDYFRRIDAIDFTIKQDDGAQPQNLNHAHIVLVGVSRTGKTPLSIYLAQKGYKVANVPVVMGVGLPKALFEINQDKIFGLTINPVVLQAIRKARAKTLGFDGYKSNYAEMAHVRQELDHANKIFAQNPTWPVIEITGKAIEETAAVVVRIHHDRKQKCSMPRISKRVAPIVVYDYHSEVVNTHAEYQNFRAPARVVPRPRSRLAPLSSMMGVGAKPLAAPLRFPPSRGRRVAPGPASCTAEPIAQSPPPVQEDAAPAQRTEEAEAPRPSPSPSRAVRASSALSGWSRARALRSGRRLGRATPSAPVVTKPPPSPLPPVAEGLAAALEEDFGDDDLCQAERDALAGKAIYLVSDGTGETAENSVRAALGQFENCLVDRQCAVNTHLFSGVVENDRLIEIIKQAAKEGALVLYTLADPSMAEAIKKACDFWEVPCTDVLRPTVDAIASHIGVAPSGIPRSSPNRKTQLTEDYFRRIEAIDFTIKHDDGAQTENLDRADIVLVGVSRTGKTPLSIYLAQKGYKVANVPFVSSVPLPKSLFKINQDKIFGLTINPVILQAIRKTRAKTLGFDGYNSNYAEMDHVRHELAHAKQIYAQNPSWPVIEVTGKAVEETAAIVVRIYHDRKQKCSMPRISKRWLLYLNDKSRYSSSNKGIWMTSPSSPQRKKIGGSSCGLLLAIVFQRLLTEVLY >Et_3A_025328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2890727:2893144:1 gene:Et_3A_025328 transcript:Et_3A_025328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALARRAGGSAAAALWGAARGFASVGSDIVSAAPGVSLQKARSWDEGVASKFSTTPLKDIFHGKKVVIFGLPGAYTGVCSQSHVPSYKNNIDKLKAKGIDSVICVAVNDPYVLNGWAEKLQAKDAVNHVCVKVSPFTNDFAILYQIEFYGDFDGSFHKSLDLEIDLSAALLGRRSHRWSAFVDNGKIKAFNVEKAPSEFKVSGAEVILDQI >Et_2B_020413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:202614:211836:-1 gene:Et_2B_020413 transcript:Et_2B_020413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDDADFFDKLVDSDDDDDRRRPPLAAADEAEASAGDLAALTLAEQPPDPVAPAPAPAEAAAGHPEAEAEPEPSPPPTKKEAEPEPAAADPIPESEAAVLSQPAVVHPESEAVVLAQPAIVHPVPEAPEPTPKAEAPEGGSPQSADKGGHTAIKQVQWNDFGPSSGADPFGEFLADGAEDAFFEGAVPGDQALQASLQPTPSVVDHSFSSAVENNANSQWDSSATALDHSDNAQLDSTDPKYWESLYPGWKYDEATQQWYQVDTLSAQQNAADNTSTVAAHDNVQQQQQFGASYVQTTSHAALETIAEESSAATWAQDGSYAAPTEYPPNMLFYADYPGWYYDTNTQQWQSLESYQQTVAQTAAASDGFAGTGHIAAHTQDSSTSSYAQQSQWQPGPLGNSMQPDGSTDNSLLGSFYGSENQIGQQADPQPLQSSTNYINTFVPSTSQYTGSEGLQPSASHRSAYQGLEQSTSDLSHQGFKTFTSNQSWTKGFGHSTGHEVGNKGFEPSAGYQTGFKPSKDRQVSHMAYEPSTNHGYGSFDQKEQSHADSATHMLPNNYWGTRSSGDFAQQQSIGPNGPSQQFGFSPHEQRSSAGRPPHALGNSGRTVSILNIPEIVADKIDYSIIPSSSVLSYFHALCRHPIPGPLVGGNAAAKDVSKWLNDTIGGYESSLREFQGRDVQKLLISLLKILCQHYGKLRSPFGSDLSQEGMDGPEMAVTKLFASYKSNANMKGYGAVVHCVKNIPLESQIQATAQEVQNLLVSGRRKEALQCAQEGQLWGPAIILALQLGDKFYADTVKKMAHCHFVSGSPLRTLCLLIAGQPADVFNFEDPVDSGSMHSGQPPVEAAPKGMLDEWQENLAILTANRTKGDDLVITHLGDCLWKEKNEVASAHSCYLVAELNIDSYSESARMCLIGADHLRCPRTFASPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLMYAYMLAEVGKVSDSLRYCQSSLKVLKASGRAPELETWKQLFSSLEERIRTHQQGGYAINLAPGKIVEKIFTSLDKSLFRMMGTQSAPLPQPTQGTAKEREISAVPDTKVANTQSVMSMSPLMSSTSELSMSEISDAPDRKAAHNRSISEPDFGRAPQQGAGSGKTQSTSSGSGSSRFGWLVQKTMGLVSKSSKQAKLGEQNKFYYDEKLKRWVEEGAEVPAEEPPLPPPPTKASSQNSVPDYNLNGPVGGHTANGFADAKTSNPSELSSGMPPIPPTQNQFSARGRMGVRSRYVDTFNKGSGASAFGAPTSFSKPAASSMSPLSGAKFFVPTPAAVAPEQMADAKVDAHVEATHQDETSSSTALEAAFSSLPPSALMPSTIQRYPSMDNIMTPSDSGSSSLSKSRAASWSGTYPDQFSGTAVSRSPDGQTIQSPLMPGKRPPHSRSSSNSSAQFGGMGEDLHEGNISDYGRQDLAAQT >Et_8A_056430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:97632:99346:-1 gene:Et_8A_056430 transcript:Et_8A_056430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSGLTLCRLPTATLSILPLPCSPSRSRLSLAARRAGAVAARASSSSTGDSSFGSRMEESVKRTVADNPVVIYSKSWCSYSLEVKALFKRIGVQPHVIELDHLGAQGPQLQKVLERLTGQSTVPNVFIGGKHVGGCTDTVKLYRKGELATMLSELDINTDNS >Et_1A_009121.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:3012635:3012934:1 gene:Et_1A_009121 transcript:Et_1A_009121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRYAPVRALPQGEITVEEFRAWLSQFDADGNGRICREELEQALRSLNLWFAWWKAREAMRAADANRNGEVDGDEMARLYAFAHKHLHVKMSELEEN >Et_6B_048518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10123491:10127128:1 gene:Et_6B_048518 transcript:Et_6B_048518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPRAPFLLLAVAAFLALAASVAAGAEDDASGISLGRRAGGFLHGLKKKDALVDGDHGVALDEVGPGLFDALFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALAALYVMTVLSTGLGRIVPNLISRKVTNSFATVLYLFFGLRLLYIAWKSDPKGSQKKEIEVEEKLESGQGKSTIRKFFARFCTPIFLEAFILTFLAEWGDRSQIATIALATHKNAIGVAVGASLGHTVCTSLAVVGGSMLASKISQRTVATIGGVLFLGFSVSSYFYPPL >Et_10A_001867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9392512:9393225:1 gene:Et_10A_001867 transcript:Et_10A_001867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEKSETIAEICVDGEVRISFRRSFSHEMMQRGNELVEVSASINLKGDTYESVAMMWLCEKRYGDTNSWSDIKMVVWSCLKQVRSWNPIFKHLNQEKFKQWEEFLEKVKEPLRIRSE >Et_5A_042285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8318302:8321554:1 gene:Et_5A_042285 transcript:Et_5A_042285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGAGAAAVGLALLLLLLLLVWPATAAFPCDGSVTYTPNSTFQANLDGLAKELPVNASASPAGFATLTVGTAPEQANGLALCRGDTNASTCASCVQAAFQDAQQACPLYKGAVIFRGACNLQFAGRQLLDFLKPDQWTLQELLANINTAPDSVNASDAWFSAAVTGIFTAMIKSAAASTNSTRKYFTTAEMAFNPKIYGLAQCAPDLTPDQCRDCLGFIQSETMARHMDGRPASNIGAVVWCMLSYSALSPVYEGRAMLQLAAPPEPPPAATPSPATPQSGAGRKGIAAAVSAGIAGSVVFMLIVSVFFYLRFRRKIKATKKDHTPALKKIGNPQCTVFDLMTLQEATEHFSEKNKLGEGGFGTVYKGTLSDGEEVAVKTLLGRTGHALHQLHNEIQVLAKLQHKNLVRLLGYCSHQNDTLLVYEYIKNGSLDGILFDKSKGNELHWEQQYNIILGIAKGILYLHEDSSTRIIHRDLKANNILLDDDMEPKIADFGLARLMGEGHTRTQTARVVGTFGYMAPEYAMHGIVSPKVDIFSFGVLVLEIVTRRSNCGSDDYSAVNLLSDVWDHWTKGSTSQMLDQSLVGYARIQALRCIHVGLLCVQVDPDDRPDISAVVFMLTRDGMELEPPEEPAFFFARGSPSASRSDGQSSYLYDRSSSILGQDISVNGLTVSEPYPR >Et_3A_023371.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:23964358:23964414:-1 gene:Et_3A_023371 transcript:Et_3A_023371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIECFSEGVNQLRGSSLN >Et_7B_054955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:650757:652310:1 gene:Et_7B_054955 transcript:Et_7B_054955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRWTPSTSTGELRKTVLMLRGKKPGLYVKDIYGIRAFGQKRGAMFIKMTPLYRELIMRAELSAIKDKYNHLASVGMLGWPIYNEERNYLNCLLQLLYRRIDAYKERRLEAGLFYIRPAQNNKGPFKVHSPIFGTPLKQALKRRRRQMKRGDILGSCNNGCARETKASPSIDEHMMSLLKYTIVSLCKFYITITPPRWVTEKADRKH >Et_4A_033585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24816351:24820203:1 gene:Et_4A_033585 transcript:Et_4A_033585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSKLLALNLSSSLLRSCRLASSSPLSAPSRRLPGPLLPLRFCSAAAATTTVDVADPSVSAATDVDVTDTAVATVFASHPWPEWGDFLDKLRTKGYFERSSPASGSSAGEGAGDGEAAAEKAVASADTYPFRDLNKVKNACLKFARERYDLVGCLPKQDIQAIVQCGCPNIFRKAVNSAKRLRQFAEVEEKSACDACKLRGSCDKAYLIPIPEEGREPEARTVDVVRILLSYAIDPTSLSGENSVNGGVQESARKLLSELTARSDTAIDPSCIKPVSHTSSKSKESGTKTQESVGKGSKKTETEMKPGDWLCTNFLNFARNRQCLECKADGPKKIKAATSEMKLGDWICTQCQFMNFSRNKICFKCEEPRPKRQLNPGEWECSSCCYINFGRNKVCRKCEHDRPEDDTQDNRLGQRNIRGASKSRTFDYDQEEDDDDYASRYKGVRKHGGGREERGPRRSAGFADQGKDLLTSKSKRRAEED >Et_4A_034283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31441004:31445710:1 gene:Et_4A_034283 transcript:Et_4A_034283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGEPGPKPLNHQNGQVCQICGDDVGLTPDGEPFVACNECAFPICRDCYDARPASSASRARCARVPGDEEEEDVDDLENEFNWKDKHDSQYVAESMLHAHMSYGRGTDFDGVPQPFQPIPNVPLLTNGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERMHQMRNDCGGNDDGDDADLPLMDEARQPLSRKVPLPSSLINPYRMIIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQPSQLAPIDFFVSTVDPLKEPPLVTANTVLSILSVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRYSLEPRAPEWYFQQKIDYLKDKVAPNFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCICCCCFGNRKTKKKTKTTKPKMEKLKRLFKRKENQSPAYALGEIDETAPGAENEKASIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKDIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRAAFKGSAPLNLSDRLHQVLRWALGSIEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPWTSIPLLFYCTLPAICLLTGKFITPELTNVASLWFMALFICIFTTGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGVDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGISNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKSDGPLLEECGLDCN >Et_10B_002651.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:3124494:3124799:-1 gene:Et_10B_002651 transcript:Et_10B_002651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKNPSPPAHGGESDGSDDGGAAAPVPVTRRLYLNRPGAGAGAPLDRDAVLRRIRHRRRHNRLRDTLRSMLQAPPPPPEPDSTGERRLLPWPLDDAFSAP >Et_1B_011755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25679685:25683434:-1 gene:Et_1B_011755 transcript:Et_1B_011755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPAAGAMPFAPQPHGHGGGYLRRPALSPPPAAALRLGPLFWPWEKVKAGPLSVSPMGFGTWAWGNQLLWGYQESMDSELQECFNLALRNGINLFDTADSYGTGKLNGQSERLLGKFIREYEGPIKSPDDVVIATKFAAYFWRLTSGQFVSACKSSLQRLQIDWLGIGQLHWSTANYAPLQERALWDGLVEMYDKGLVRAVGVSNYGPKQLLKIHGYLASKGVPLGSAQVQFSLLSMGDEQMELKAVCDSLGICLIAYSPLGLGMLTGKYDASKLPNGPRSVLFRQILPGLESLLACLRRIAEKKGKTMSQVAINWCICKGTIPIPGVKTVRHVEENLGALGWRLSPAEISELESAAMAAPKKMIQNVFQTA >Et_7A_050899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12144553:12147721:1 gene:Et_7A_050899 transcript:Et_7A_050899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTPSLLPAPPFFVSDVRRLQFSSRGRRRPGLSLGGLGWEPVRTRMISSSFIGIRRTSRRSVICASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSREFRSTLEREIGIDEVPPSSSYRPTTTNNSQPPAVDPNVKPETTPYTSEELMKVTEEQLAASAAAAWNTQPAAQSQQQEATLTSQSNDAAPSGGNDGPAAAAEIEPDSRQPNQPEKAKTER >Et_10A_000528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12097519:12100441:1 gene:Et_10A_000528 transcript:Et_10A_000528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGPSDYSLEPHRHPSLRINAKEPFNAEPARRDLVASYITPVDLFFKRNHGPIPVLDDPDSYYVTIGGLVGRPTRLSLDDIRKLPKYNVTATLQCAGNRRTEMSKSRKVRGVGWDVCALGNATWGGAKLSDVLQLVGVPYHTEITPSGGKHVEFVSVDQCPEEKGGPYKASIPLGQATNPAADVLVAYEMNGEVLKRDHGFPLRAIVPGVIGARSVKWLDRIDIIQEESQGFFMQKDYKMFAPSVDWDNIVWSTRKPQMDYPVQSAICSLEDINAIKPGQVTVSGYALSGGGRGIERVDISTDSGKSWFGAHRYQREDVPYVAGDVSSDKWAWVLFKAVIDVKGDTEIVAKAVDSSANVQPESVESIWNLRGILNTCWHRVRLMTTQSIRSFL >Et_3B_030786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7328684:7329397:-1 gene:Et_3B_030786 transcript:Et_3B_030786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEQEQQAKTSATSSLPTSSERSSSSAPSNLREGGAESDEEIRRVPEIGSGASASSGGGKELALQVAGAQGQTPPAGKKRGRTAGDKEQNRLKRLLRNRVSAQQARERKKAYLTELEAKAKDLELRNAELEQRVSTLQNENNTLRQILKNTTAHAGKRAAGGGAAAKGADGGKKHHFTKS >Et_4A_033206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:241988:244840:-1 gene:Et_4A_033206 transcript:Et_4A_033206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREREDEEGGGAAMSPSLGPPSTATAFRVLGRAMEGPSGLHILKAPSPIVRAMRTRLDPPPPLPATAHPPPPPPPPLLLPQPPAKRRRGRPRNCDRLLSPPPGFLLSPPARALAAARHGQCQSGGLQPHVLKIDVGEDIVSRIVQVSLINGKAISVLSVLGSVQEAKLLHSSRAILNHKGPLEIVGMFGSTLTPKGPGFGCLSVTLACADCSVVGGVIAGPLIAATTVQAIIGSFYNDAFRTKKTPNMIACNLNSQIVTGHGVDIGTGSTHYSDSPVPIHSESTDYPSSQVPLCDGSTLCSNSQVAIGNGSTDYPNYQVPIANGITDHSNSQVAIGNGSTDYPNPQVPIGDGSRDLSSSQVTAGDGSTHRPDSLDTIGSESTDYPNSLVCIGDGSTNSNYSLATVDNGATYNHKFQVTVSDRGINDVNSQVTIVGNGSDHNSDSSTVGDQRTPSIKVVVEQVTVGDENTNYPNSKLLLPQ >Et_4A_032024.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:12156004:12156516:-1 gene:Et_4A_032024 transcript:Et_4A_032024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQPYFGVIGGLMVIPWPPSLQTWSLRYLRGSATLDWSLMLLPGVVGSKTYVAHVQCRSYWTSSKCSLLPPRSSCPPPRTSSDGNRRQTVALPPRVPIMPSSSVRQKCLEQRSSGRPRLQIIADSSFGWCSMSGVGLPIASSDTILRMMTLVRFVHRSLKHWTICYCTV >Et_7B_055915.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7343118:7343345:1 gene:Et_7B_055915 transcript:Et_7B_055915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGGLRRLLAAAATAGAAEARAAIFGHVLNPTGKRAATKLMRKRLVGDQVAQWYPYDIKRDDPLVMAREEKE >Et_8A_056450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10169580:10172126:-1 gene:Et_8A_056450 transcript:Et_8A_056450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYDEIPEFRHRLVSDIGDGFLIGAGAGSAFHLIRGLRNAPSGGRLAGAARSIGTNMPRLAGTWAAYLGVFCAMETAVSAARGREDGWNSIAAGAATSGLLSARRGAAAAAGGALIGASFLAGVVAMHWTADFLSSRLSMSCDETRKPAPVPITNKGEKGELWGNKILFISLGTAKYTPFSGATLSARRPARWYVLLTGTVAKKCHFSGASAYIELGGEPRVRTGASSRTCASDTAAPHKAIRSSDASSLQRSSRRPGTAAPNTAQEQRAGAACEAWSRPARRAGEAAAQLRAEERDVRAAQAPRPVPGGLE >Et_9A_061739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1587643:1590841:1 gene:Et_9A_061739 transcript:Et_9A_061739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QACPHTAKASSSSLKASPIASSLSLSLLLPPHTKQATAHHLRRERERERERERETDRAKKQSRRRPEQQAKKRKRRRRKKRKNKMLRGRSSTGVLGIINFITFLISIPILGGGIWLASRANSTDCIRFLQWPIIIVGLVLMVISLMGFAGACYRQTWLLRLYLFAMFFVVLALLFFIVFAFAVTDRGDGQVVMNRRFLEYQLSDYSGWLRERVNDPQYWATISACLRDGHACAGMRRFARDPNTGMLVPETPGMFYARDLSPIQVISLVSFLLSFPVDSSGCCKPPSSCAFTYMNETYWTPNPGVPTVVTDPDCSRWNNDQQMLCFQCDSCKAGVLAGIKKSWRKVAILNIVVLIILVIVYVAGCAAFRNAKRIENDEPIGMARMTKTQPSRFQL >Et_5B_045680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6997549:7000459:1 gene:Et_5B_045680 transcript:Et_5B_045680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFFLIQLLLLSEILLSTAVDTINSSTPLSGAQKIVSKGNKFALGFYSPPQGNTTASSSNPSNNYIAIWYNNIPQVTTVWTANSDVPVSDPTTATLTIGSDGNLVLLDQSKNRQLWSTNVSTASNATMAVLQDIGSLDLIDATNPSIVYWRSIDHPTNTWLPGGKLGLNKTTNVSQRLVPWKSSANPSPGLFSLELDPNRTTQYFIQWNKSITYWSSGPWNGNIFSLVPEMTAGYNYDFSFVDNTTESYFIYSMKDNSIISRFIIDVNGQIKQLTWVDASQQWILFWSQPRTPCEVYAVCGEYGSCNLNALPFCSCVKGFSQKIQSDWDLQDYTGGCKRNVPLQCQTNSSSAQKQQDKFYTMPRVRLPDNAQSAVAGSSQDCQAACLSNCSCNAYTYNSSGCFVWHGDLINLQEQYNGNGGGTLFLRLAASELQDSKKSKKVIIGAVVGGVAAILIIFAIVLFILFQKCRRQRTLRISKNTGGTLIAFRYSDLQHVTNNFSERLGGGAFGSVFKGKLPDSTAIAVKRLDGVHQGEKQFRAEVSTIGTIQHVNLVRLLGFCSEGSRRLLVYVFMPKGSLEQQLFPGETTALSWATRYQIALGTARGLNYLHEKCRDCIIHCDVKPENILLDESFVPKVADFGLAKLLGRDFSRVLTTMRGTRGYLAPEWISGVPITAKADVFSYGMMLFELISGRRNADHGEDGKSSFFPTLAASKLHEGDVQSLLDPRLNGDASADELTRACKVACWCIQDDETARPTTGQIVQILEGFLDVNMPPVPRALRVLGESPDAINFFSDISSSQASQMQNSTTTSQTHSSMSGGPHYYSRPGQAHHCVTGFKSRTTHINIP >Et_4A_033992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28870217:28874833:-1 gene:Et_4A_033992 transcript:Et_4A_033992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSISKNGFFQSVSTVLWKTPADGDALPVANGPDGGSPGRSRSAPALPKPQTSHAAVAVQSKAPEPVKRVSSAGLLVGSVLKRKTENLKDKYSLGRRLGQGQFGTTYLCVERSTGKEFACKSILKRKLVTDDDVEDVRREIQIMYHLAGHPNVISIRGAYEDAVAVHLVMELCAGGELFDRIVQRGHYTERKAAELARVIVGVVEVCHSMGVMHRDLKPENFLFVDQKEEAALKTIDFGLSIFFRPGQIFTDVVGSPYYVAPEVLKKKYGPEADVWSAGVIIYILLCGVPPFWAENEQGIFEEVLHGRLDFQSEPWPSISEGAKDLVRRMLVRDPKKRLTAHEVLRHPWVQVGGLAPDKPLDSAVLSRMKQFSAMNKLKKMALRVIAENLSEDEIAGLKEMFKMIDTDNSGHITYEELKVGLKKVGANLQESEIQALMQAADVDNSGTIDYGEFIAATLHLNKIEREDHLFAAFQYFDKDGSGYITPDELQLACEEFGLGDVQLEEMIREVDQDNDGRIDYNEFVAMMQKPTLGLPKKSGVILHFIFVNRRTSRVYLKRFYMEDFDFQSAPWPSISEGAKDLVRRMRAWHPWVQVGGLAPGKPLDSAVLSRMKQFSAMNKLKKMALRVWIAKISCVLPFENFVPNLSYHASSCTCSHVTAANLSEDEIAGLKEMFKMIDTDNSRHITYEELKVGLKKIGANLQESEIQALMEAADVDNRGTIDYGEFIAATLHLNKIEREDHLFAAFQYFDREACLSCMMNDLWCAN >Et_7A_051040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13971265:13978585:1 gene:Et_7A_051040 transcript:Et_7A_051040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLASQARPAAVLWLAGFLQAARLHRVIIFCASSRALSIRIAQCFLLNGLIFLGRYNDIAKHALDVVKSKSLDSTQALDSQNIAESEDRPEGFDRVALGIGEQVYSILLLTIFFVEVSVIGYIPYFGKAMNFVLLSLMYAYYCFEYKWNFFAVSLNKRLDFFESNWAFFAGFGGPCVLPIFFLSPLTSYGVMAILYPLFVLTAAGTQAEQVINESEPSHEGKLKRIPLFFVAKRLTYAIRHAVVEPP >Et_4A_032046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13684266:13684691:-1 gene:Et_4A_032046 transcript:Et_4A_032046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAQVSVLKETNKVCLSSLLEGKDGMTLETKISLQILCNLPDKPLEGQLPDQQLSALLVLADLTEGNSSRAVTVGLLHSSSGWG >Et_9A_061723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15809191:15815573:1 gene:Et_9A_061723 transcript:Et_9A_061723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEQDVDVFGEDYDVQDGGAEAEAEADGEADSSGSSSPSSSSSSSAAGSSSSSSGASSRSSSGGAGGGEGEGGGEEADGGEYDSFDVVSTRAAGGYRDEDDDQEEAEERDLFGSDNEEYVKTPARSNYLVPVLPAIRSTNNHSRGGFGGRGGRGPPLLPRPGGHPGRHSLGYGRFGNGRNVEGFVADMKLNKSEETLSRKAVAFQEPCEIACLSRVEGGDVYFDDRSLRLFKREICDYVGADLNRGFESFIEKRDLGSEGFGDLLACIRNSNIPLQNHIHFVTYRNNLNKLLATAYLREPWKMGVHKRGGVVYLDVHKLPERPKSEMERRRCYWGYSFENLATENGEDDRGIDANVEFCSVIKTKLGAHRIIMGAEMDCCDATDDGRRFYVELKTSRELEYHTVEKYEKEKLLRFWIQSFLAGVPYVVVGFRNDAGVLVRTERLRTKDITHKVKAKNYWQGGVCLAFADEVLCWLYGTVKENEDYVLQFVHPFNRLELLRAQAPCPEAITMHVQQLSGAAD >Et_2B_022403.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20519112:20520872:1 gene:Et_2B_022403 transcript:Et_2B_022403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARPRRWKLPSFHRSGGSAPNSPAPSDKSSSAAAVPYSPARSAAWAEEEEEAVPPEFVCPISGDLMADPVILPSGRTYERACLRACAELAFLPPGVEPGGADTVIPNAALKAAIGTWCARTGRDLPARPSEEAAREAVLRVMQPAAAAAAKSVRTNRRPAAVAMARSSNSSFSPASTSSYGSSSEITAAEDEVTERPVKEVPASPKKEAAVAQVVEAAAAASVDPLEDEVVAKVMDSDEEAVVSAAMAALREATRESAERRRALCTPRLLGALRRVLLLPRHAPARVDAAAALVNLSLEPANKVRIVRAGAVPALVEVLRSGASAAEAREHAAGALFGLALNEDNRAAIGVLGAVPPLLDLLASPAQPARARRDAGMALYHLSLAAVNQSKIARFPGAPKALLAVASGAAEPGPIRRLALMVTCNVAACTEGRAALMDAGAVASVSGILLSSSSSSSSTPDAAGASSSGGGGGGADLEEWCVAALYGMSRGSLRFRGLARAAGADKALRRVAEEGSGVRREMAKKTLRAMRGDLDEEENDLTGSSLECGDGEDCGGSIVSDGLMSFRRRQRELGVSSCGNTAEF >Et_7A_052522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8850038:8853838:-1 gene:Et_7A_052522 transcript:Et_7A_052522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATSLLASTSKPAAWCSAMKKLRALLHMYAAVAALLLCSSVDFIQSPTDVFGPVALQEPPPSASRDFGAVVSDAPFAVLRPESAADVAQLLTALSSSSSSSAPPRAAVAARGAGHSLHGQAQARGGIVVETRALRQPRAVEVVVSRRGGGVAYADVSGGALWAEVLEECLRFGLAPRSWTDYLYLTVGGTLSNAGISGQAFKHGPQISNVLQLEVVTGRGEVVTCSPTMNPELFFAVLGGLGQFGIITRARIPLQLAPPKVRWVRAFYDSFQTFTQDQELLVSMPELVDYVEGFMVLDEQSLQSSSIAFPAHVNFSPDFGADGDGRKVYYCIEFAVHDFQQQGSAAADHVVELVAAGLSYLRPHLYSVEVAYFDFLNRVRMEEESLRSRGLWDVPHPWLNVFVPKHGVERFKDMLMDTIRPGEFEGPVLVYPLLTDRWDGNTSVVVPSSPDGVMYIFSVLRSTDPARCGRACVEGIMEQHRRLADEACRDGGIGAKQYLARQPSPAHWRDHFGSSWDRFVARKARFDPMNVLGPGQGIFPWTDSASSM >Et_3B_028714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18344654:18347829:-1 gene:Et_3B_028714 transcript:Et_3B_028714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKNQFDLLVDVETDDPSHLLAAAAKKAAASPKPAAAAPAAPAKLPTKPPPPAQAVKESRNYGAPARDGAGRGGPGRGRGGFRGGRTGARREFGDGDANGVEGGYGGGGFGDGGFVRREDGEGKVSERGRGPRQPYRGGGRRGGYTDGEAADESGRPRRPYERQSGTGRGYEMKREGAGRGNWGTVTDEALAQETIEAVNTEGAALVTEDENKPDEVPQTEAEKDKEDEPIEEEEDKEMTLEEYEKLLEEKRKALLALKTEERKVVVDKELQAMQQLSVKKGTDEVFIKLGSDKDKKKENADRDERAKKSVSINEFLKPAEGERYYGPGRGRGRGRGRGDRGGFRGGYGYGGREPAGAPAPAIQDQAQFPALGVK >Et_9A_061080.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:14576851:14577081:-1 gene:Et_9A_061080 transcript:Et_9A_061080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EVSPLRLQECWLPFVGLLFSNLLPGVCPCTPSVCLCSLLYEASYRFGVEILDYTRFLDDQVVFLCVCNLMMYSTMV >Et_1A_009330.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:39226078:39227060:1 gene:Et_1A_009330 transcript:Et_1A_009330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLDSVLSFSSHDPDANRPANDDESDSAAHDNSDDDEGFEFAFAPPLTAEDSLLAPADDLFAGGRILPAYPVFDRRLTAPPSPDNFCAWAPQSAPGSPARERHHQPFPKSASTGEARRFWRLRDLVGGRSHSDGKEKFHFLQPANSSASASKMSGKPAEDRKKPSPQQQQSKKKGGVTEMDMATAHRLFYGKQQQGGGALPGENRRQQQQHSSYLPYRTGIVGFFASAHALGRSHHPY >Et_1A_004899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14914493:14915581:-1 gene:Et_1A_004899 transcript:Et_1A_004899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FSVSTSSPRPSPSPPRPLPWLLVSSAEGIRTCCQICGVDVCAIRHKLTGRYGARHFGSVNIRARLPERTIHLLPDLMPSRGGDGKEEMAILAVTLSSPPNENNCIAAGIVIPCQFFLGQRQAAFWRMGDAITLDVIDGPCKSSLEVVDVSYHNGKFYFLTEGEHIVMCTPIFSQDGGLERVTRQACQFKRREGGLHGGILSRYLVTSRGALLMILRIGGTVSPKPTKEFKIFEIKENHLSCSDAENVEYTWSEMTNLDGRIIFVGRGCSRSYDIDKDVGLELELEGVHFLDDEVFFDAAMMLRDSIDRNYPCKDNGNWSENGILKRCFPEQGPSKHTPPVWLLP >Et_6A_046265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11755678:11762623:1 gene:Et_6A_046265 transcript:Et_6A_046265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SILLPDVRSVQLANWHHADEASHATSDLDQDPPLASPYRAHPTATEWSKRHTVYYQPHPSEQRSPHTPATPSTLSLARPSSSSIESRGARGSPPMAASPRPRAPDSVPRLPRLTGFSSPAAARRPAAGKPRSGGGGAKKNAWLAADGSKRWGEAFFLLYTPFWLTLCLGIVVPFKLYERFTELEYLILGLVSTVPAFLIPLFLVGKADSVRSLTERYWVKANIWIIIFSYVGNYFWTHYFFTVLGASYTFPSWRMNNVPHTTFLLTHACFLFYHMASNMTLRRLRHSTANLPQSIRWLFDAAWVLAMSYFIAYLETLAIANFPYYEFIDRDVMYKVGSLFYAIYFIVSFPMFSRIDEKDEKWNLSRVAVDALGAAMLVTIILDLWRIFLGPIVPIPESRRCGQPGLAWFQINTRITLKNAL >Et_6B_049917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17858347:17861137:-1 gene:Et_6B_049917 transcript:Et_6B_049917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHGGDTGLLESRAGSLAIESMLTYRLVRETTMSDRVGAACLSALATAALRRHRRAVAKGTAAADGSGRGAFGRRRRRRGAAEYHVAGRIFSKHPLISPPHPVGGRRRRRRLLRLRLPCRQCRVPPGPRIPETCSPISCSHCGDLSPTNDRRRRAPLAASERGLEALAAACPRLEAVRNREVAALDGLGELELDKYLAVTDVGLAKVTVGARCSSGSASRSAATSAASTSPTSNQGTISSLSVTNESLRSLSTLEKLEHLATLDISYCQVTGLCHLLSSLRCFQDLKMVHLSWISIEGFEVALQDTCGRLNKMKVLGGLRSVLSPERLQMLHACGCCVRWINKPLVYKG >Et_1B_012726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34721817:34723219:-1 gene:Et_1B_012726 transcript:Et_1B_012726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDASAAAALELQESGWEELRREARKLEGDLDVKLSSYARLAARSSSSASGTASPSADRSSWKSMEFEIQSLLGKLQDVNDAISRCAASTAATTSVSQKLARHRDILHEFTQEFRRTRGNLSSMREHADLLSSVREDITESKATGGMSPRVHLLRERASIHGSINQIDEVIGQAQSTRVALANQRALFGDVQGKVKQLGEKFPVIRGLLGAIKRKKSKDTIILSAVIAACTIFLIIYWLSK >Et_2B_021678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4331355:4332585:1 gene:Et_2B_021678 transcript:Et_2B_021678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NAEQTRLKPVIEEAVVSLLESATTSLPNNSMAIADLGCSSGPNAIGLVSTVVNAIRRHHGSQHEQPTPEVRVFLNDLPDNDFNTVAKMLVAFKEAHEASGSAMVTAMVPGSFYTRLFASQSLHLVCSSSSVHWLSKSPEDLVKNRIPAYDGDDDLRRARQPIVFEAYRRQFHSDFTLFLSLRSQELVPGGRMVLSLMGTRHDDLATRSIHSLERVNFILNDMASRGVIDRERFDSFYIPLFGPSEKELRETIEQEGSFEVNKIQVRESMSSMTNELMLNNPRIIANGTRAVVEPIILQHFGRSEEIMDEFVRTLEKDIMRNPMYVAEEEDPRAYISVYLSRRI >Et_8A_058245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3351668:3354904:-1 gene:Et_8A_058245 transcript:Et_8A_058245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFGLIASLIYLLSFFGIAFVQSIVSSSDDDEDFLVGSGRPAAAQGSPAAAPEKMPEEDEDIVASVVAGKIPSYVLETKLGDCRRAAGIRREAVRRITGREIEGLPLDGFDYDSILGQCCELPVGYVQLPVGIAGPLLLDGQRFYVPMATTEGCLVASTNRGCKAIAESGGASSVVLRDGMTRAPVARFPTARRAAELKAFLEDPANFETLSVVFNRSSRFARLQGVQCGMAGRNLYMRFSCRTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVISISGNFCSDKKPAAVNWIEGRGKSVVCEAVIKEEVVKKVLKTNVQSLVELNTIKNLAGSAIAGALGGFNAHASNIVTAIFIATGQDPAQNVESSQCITMLEPVNDGKDLHISVTMPSIEVENDQHALIISETQVGTVGGGTQLASQAACLDLLGVKGANRESPGSNARLLATVVAGGVLAGELSLLSALAAGQLVKSHMKYNRSSKDMSKAAS >Et_4A_032510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10972057:10973039:-1 gene:Et_4A_032510 transcript:Et_4A_032510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTRRRAAEQGAAAASASTPPSKRPCTEEDCDEEGDEEETTRHFRIKPGSLSLNCPICVLPFEAEVYMVVIVHATTTIDLKSGLLDPSIVTHSSDPAQQGHAACPRCCLSMSRTCGSCGEPIGDVRCRPLENLLDEMDTDCRYKRYGCGASVRWTRKRAHEAACPRAPSGCPVAGCTYRGLLLYEHVVDDHAGAVATVSYLRSATVTVHKRAPFRVLAEPSRGRVFLLLNGGDVLGGRSLSLVCLGPRPEGDEAAEIGYKMEVRGGAPGALMMKATAPCVRRIEGFQAKKFIFMPDADWGSSGTVSVSVRVG >Et_1B_009876.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5852310:5852885:-1 gene:Et_1B_009876 transcript:Et_1B_009876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRREEPAAVRVEPAAAAPRRLEPLPGRLGQVLQRPDRGQRRERLRLAVEEPGQAALERELRHEGGHLEAAAAVALGAGGGAGVVVELRRRAGRVPRRGRRLRVRRRADVLKLLLAHGRLRHHRLRVPAEQEVGFHQILQNRNRSSETGEEEKLSRMKKSRRDSTMWHSVALDAGTGGINGGGGRGGVGG >Et_2B_021864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6175092:6196293:1 gene:Et_2B_021864 transcript:Et_2B_021864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRSQMASSQHVEVEAAKLLHKLIQDSKDEPSKLATKLYVICQHMKLSGKEQSLPYQVISRAMEKVVNQHGIDMDALRSSRIPLVGGQQAGDSSGAMPKDKEIIAGQSPMIAGDASQSSGQAGLWQFPSSSADMARHGASMSGRVPAGPSRADAAAPDIHQGSMSQKSGRSSGIESPASLQMEDTRSMNSHDSLKSDEKTSKKNPSKRKRMDSKATGDLHSEDNSKSDAISTGHNTRKGKLVGQSSGQGQPSTGVEHEQSHALQGGTAQASSLHGGAPFQGPLTSSGRTTPSNPFTMTQISNFTEGQAPGGVPIELQKSILGGANLFNAGFGWNQNSQIPNTKNSQGSVPNLVRPGLNVEGKVGPQGAFNSSLTPNMEFPTKSSYNTSALGGGSQFPDKGKELASGELHSAAKVAPQSGISHGISMQERQGMIRPLQRAEAPLQEGRFASLPNRNSGPSQMSHTSPNTPFKEQQLKQLRAQCLVFLAFRNNVPPRKVHLEIALGRGPTTESDSAGQRANENRVADGLGKENGSSRENSAMFGRQSDISRLPSTSAGSMAEVDSFSKDPENAQKKIKMAEHEKSLMELENIQQAAFMQGTSSEMRSKETASPMRSVPHQSYLQGDTRRIAPDLHMTEAENLNRNSNWGGQGPAVFGSNRQHGNQEVAVSARGHLLDELSKESPVPSSSHHVPADGNSNIPEIDQTPETAGAGNDAENCSRITEFVPDQSADGDEDLSELDDVPSSPPKYTMTEKWILNYQKRRYNESQKKVLEQQKVHSRIAASYEKLKENVNSSEDLSAKTKSVIELKKLQLLPLQRRVRSEFLLDFFKPNTADVERIKAVKKHRHGRRVKQLEKIEQKMKEERQKRIRERQKEFFADIEAHRERLEDSFKVKRERLKGFNRYIKEFHKRKERIHRERLDRIQREKINLLKNNDVEGYLRMVQDAKSDRVKQLLRETEKYLQKLGAKLQNQNANVKEVVNEQPSYLQGGKLREYQMNGLRWLVSLYNNNLNGILADEMGLGKTVQVISLLCYLMETKNDRGPFLVVVPSSVLPGWVSELNFWAPSINKIAYAGPPEERRRLFKEMIVHQKFNVLLTTYEYLMNKHDRPKLSKIQWHYIIIDEGHRIKNASCKLNADLKLYRSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNVDNSPDEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPGKIERLVRCEASAYQKLLMTRVEDNLGGIGAVKVRAVHNTVMELRNICNHPYLSQLHVEEIEGHLPKHYLPSIVRLCGKLEMLDRLLPKLKATGHRVLLFSTMTRLLDVMEDYLVWKKYKYLRLDGHTSGHERGALIDKFNDPSSQAFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKEVLVLRLETVRTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSEAEIDVFESIDKQRREEELAAWQRVIHDGSTSGLDPAVLPSRLVTDDDLKSFCHAMKLYEQSNVVSVKTNVRKKGELGGLDTKHYGRGKRAREVRSYEDQWTEEEFEKLCQADSPDSPQRSGMLKDLDISKGIKPEVPAESSKEPEQSMEASPTVGDSPPAKRRRGRPRRSDVLLSPTTMDGGKQETGTTYDNISPTPSSVINSGATATPTHSSASDVVRSILPVDINKPEIDTEVKPSSSVGLSEGSAAKVGTPVHSDEAAMVATPVAKEICAGTLASDSRNENVGLVQVTSAPAMPLASEGLLQTTHGSVPDKPIEKQPAPRRRRKKTSGGEDAGVSTRQRSAMKKSDHSTYVASGEVGSAMTPTAKPRTVKERDGSSLQGASNELPNINSPLYEKSGCDSQPSTPIAIPINEATLPTGFSDTHAAHSEITSARESANPAVKGKPVDLSFETPVASQDQAQNDAGKHHMAMSSGVPASNFEMVTTNQPANAQIEPSASLLQNSDKDAAQHPSGVDSAASNKAPSRRRKGSAREPRTRTNSTTAACERRARLAGLKQTDDMKKIEVPASAATTVCVPSTEQQGATSLGPELPASVCEAQKYPGSHVSSDISISVGSCVSDAGHTEERVTTMITQTPAMPESEERNLPLRELQGKQTKMVTAAELTPTNDEHVPGSDEKSNIALCSFSGTEVNISEETKMISTVEPARANDEIVQGTKVNISEETKMISTVEPAPANDEIVQEIEIDRLEQPTKMVSAAEPSPSNEEKIMMPEAHQKASEDNIPPSSAAMDTFQAKVDSSAACQTEAVCADETAKESYASPPYSTTPDGDKNTHVSTKRDDIGLHSERTSADMTHSNQDNVNVDSSQTDNFSEDFSQLPDAEKEQPAEQVISECNVSTSGSDKEQMKMDETLGKSAGAGQTYVDANEGSHGTALGRYSSNDGEPVQVDDDTLGSKRTAVEVHAAVKTDGPQESQDILSTKSDKEASMVEVSTDVHTDQGSHASSEEAILMTGDNLSHSHTDGSNNTNENTIGPISTTGQQMDDTTASRPENYDLSQQRCTLHLGDDIPATTLATIESDKATGNAETASRLESSGIEIDTVGIPETATVYKGTEGTGDLNDSGGSPQHESVLATLYSTIGMVYEKAPTGGELTVANHLEPAQETSLPNNEVIDSVDFKHDCNPEPSGAAAVARAELAEEMVHSAEEQPAVSGLAETQTNPSEICGPFQNESVDAAVLETDTSEMKHGDTTTSSEHVVVTPEPFNETCGIHVDHEATKPEDGYCTAAGGGASSETVMELEANEEAAAAEAGETIAACELSKNPESHASGEVSIAVQSSDLNLPSEICGRMQNESVDAAVLETDSSEMKHGDITTSLEHDVVAPEPINETCVMHVDHEATKPEDGYCTAADGSASSETIMELDANEEATAAEAGETSAACEVIKDPESHATGQDLLAVQSSDPNLVSAIESDTLNRNMQAPVLLEPSESRSPGSDNVHGTEQQTKISSVTIAVSANNEECAEDIEAEQMKIVTASEPASTPGLQYSDGVNIHIKHLLVCTSSDLFTDDQGHLMLEVHHTTGDGAVLSSTGEQGPLRDSISDSTDEHTCQSADIEGDNNNATEIVLEDIQASCVASDKDKSTDLPATTVMTAESNKFTGDTEVVCAGNSESSDGGESGTIGEDETANVADHEETIGGLNETIKHDQALTQSGDGGVPASDMQGDEVNILEETKMISAVEPAPASDEIMQDMENDHLESTEMISAAEPASSNDEKLMHEAHHQNSDDNIPTSGAAMDTWQTKVDSSVARQTEEVCADEITKESDASLPYGKAPPPGSTKEDDIGLGSEGTSVDMTDSYRDNVNVDVSQTDNFSEDLSHVPAALQSNQPADQGISECSGFENGSEKEEMKKDETLGKSADDGQICGEVNESSHAMDGCSAQVDDDTLGSKGTTVEVHAAMNFDGPQEAQHTLSTQTDKEVSMVEVSTDVHNDPGCHVSSEEATVTTGSDNPTHSHTDDSSNNTNENTIDPIGTTGQQMDDTTTSLLENSDLNQQRCTLRLEDDTPATTLTTNESDKVTGDFEIETVGIQENTDLKGTEGIADLNDKSSSPRRDGVLGTSYSTIDMVCEKTPVGGELSIASHSETLNSLMEPTQGASLSNNEEVIDSAFKHDCNTEPSGDAAVARGELTEEMARTVEKRPTLAELADTQTKPTEICSPVQNESVEAEGLEKDCSGMKHGDTNTSSELVVAPDQINETGVMQVEQEEMKPEGGYCTAADGGSSSETIMKLQAHEETTAEANENIPACEACKDPENHASSLWMAVQSSDINLASAIQSDTLNTDMQAPVLLEPRENRSPGNDLHGIEQIKIASPALAAPANDKELTQGIEAEQIQVVSSGSASTPDHQDHLIHEVHRHGTDVEQDPSEDNSGRGTDVDMPPCQSAEIEGDTVLEGIHAPCDASDKSHSTDFPSSTAVTAESNTITSDTQVLCAVNSESSGGGESGTTGVQETAAVADHAGAIRDLNDTSMHDQALPGSGDGGAPRSVLQGVESHGSEQMKIDSAPQAASNTALVGYSSSDDSDGR >Et_2A_014884.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20107510:20107836:1 gene:Et_2A_014884 transcript:Et_2A_014884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTYYQRLSTEGDGAGVGARAAARAYWAALRRAAARVARLYAARHRRWVAQKLWGGGRRRRVAAARTRGYEYDSASYKRNFDDGAWMDEEGVSWHARSSFACRVAAV >Et_5B_043539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11616375:11620836:1 gene:Et_5B_043539 transcript:Et_5B_043539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGSARNLTEEEKKEHKEVKWDDPDVCGPYMVRFCPHDLFVNTKSNLGACSRIHDPKLKESFEKSPRHDSYMRRFEAELAQQCEKLVLDLDRKIKRGRERLAQDIAVPLPNTVRKTEQLSALEENIKKMLVQVEELGEAGKVDEAEALMKQVDVLNAQKTALNNQIDNKMAMLEKKMELCETCGSFLVSDDALERTQSHVTGKQHIGYGMVRDFLAEHKAAKEKAREEERLAREKKAEERRNYREKEYDSGGRGIDTRREKSRERDYDRDRHYERNRGRERSYDHRERGSDYRSSSYRNGRDSDRGGYRYRSGDMTSDRGRMKSRSRSPSRHGYERSRITWQSQRDTFDAFRS >Et_6B_048660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12262897:12267260:-1 gene:Et_6B_048660 transcript:Et_6B_048660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEGGASGSGFDSIDGADRDWSALPEDMLVVVLRAMHVADAIRSGAVCTSWHGAYAAFRRLRLPSPRQPPCLLYASDAAGPGAAALHCPATGATLRIPFQRPPLPRRPLLGSRDGWLVSADEASNLHLLNPVTGAGVSLPPITDEQGNPMYNVYENTNGHSPITRRDLVDKEPTDLEAHRAHEFMYYRVVLSASPSAGRACIVLLLHMPEGRSPSPGSATSGGRGSRRAKTPASGGGTATATPCTAPSTVCSIFFNSTPPCSAWTLTGRCRLPVRSSTECPNLSTRGSTSCRHLHLASLEAEEARRLTDSGGASTRLRGRQEIQNPLLELKTLDVQLYKVDLQHKRVELIKSLPHHALFLGFNGSMCLPVKDFPGLKSNCAYITDDCEEYVNMFKYNRREIGIWSIAEQSMSRLVDVSPLLDNKPKVQIGSTRTEQSLLHTERNREESSLLALPQRH >Et_7B_055650.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:19866752:19867162:1 gene:Et_7B_055650 transcript:Et_7B_055650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMGYFRTPRRLQGSKQERERYSLLDDDAGEAAAAAGAVPKGYFAVYVGEEARRFVVPTSYLRQPAFRKLMELAAEEFGFGQAGGLRIPCREEDFQAVVAALEAESRRGGPFRARGASPGTAARWSRLAGSSRCS >Et_1B_012366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31202996:31206129:1 gene:Et_1B_012366 transcript:Et_1B_012366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAALLPGGGRLSALLPRHRALSGSSSIAALFSDPTPPADPAAAIQSAGVDLSHPDTVPALLVDPDLAGNYPAASRFFSWAASQPPAAAALNSRSFNSMLQLAAAHGDADRFWSLVASMRAKGYGISKPVFQAASESFRAKEMARDADLLQEAFAGHARNAAAAEVCKILRAQDKLDSAKLSMLGESGVEVTDELVALVVEKVGQFPPQAKVFFRWVEQSAKPGIDWDKVYNAMARVLGRKDCIEDFREVLRKMRGKGLQIDREVYVTVTDRFLKRKMVEDAVDLFRFMASRPEKLSTEDFVFLLKKVVVTGDLDVKLVTRVVRYYQDAGNVVKALTFNSVLKSLRSVGRLGESGKVLRAMEEGGFTSDRADHEKAVLAMCEAGNLEEAHEYLAIVEESGYKLGPKVWSCLVEKYSLGENVDKAVSCFHEMFERSDKEDLGSAFEVLVSGLCKKKGAKEAFKVLKTLVLEKTVVPWETTYKYLVHKLVREGCMKEAFEVFGLMRSNGFPPFIDPCIAQISKSGTVDDAQSLLKALSPMGSVPIKSSLCLFQALFKEGRHEVAQQLLSQSPASVQNHADVRDIFDRMKLEEPVGAALADVCAIILGFLLGIYYPDALEGHHLLNDPAFLV >Et_4A_035874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7765714:7768026:1 gene:Et_4A_035874 transcript:Et_4A_035874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEGDSEGGERQLGRALSFGIPDTALGLVLGYVEDPWDRDAISLVCRHWCRMDALSRKHVTVAMAYSTTPERLFRRFPCLESLKLKAKPRAAMFNLISDDWGGSASPWIRQLSATFHFLKALHLRRMQASDEDITVLVRAKAHMLVTLKLDRCSGFSTSSLSLVARNCKKLETLFLEESTIDEKDNDEWIRELATNNRVLETLNFFLTELKASPEYLTLLVRNCQRLKTLEINECLMSELISMFRSAQALQEFGGGTFEDPGQATDSRNFENYYFPPSLHRLTLLYMGPNEMQILFPYAARLKKLDLQFSFINTEDHCQIVQRCPNLEVLEVRDVIGDRGLAVVAQTCKKLQRLRVERGDDDEGLEDEQGVISQVGVMAIAQGCPDLKYWAIHVSDITNAALEAIGTFCKNLNDFRLVLLDREAHLTELPLDNGVRALLRGCTKLRRFAFYVRPGVLSDVGLSYIGEFSKSIRYMLLGYVGQSDRGLLQFSQGCPSLQKLELRGCCFTERALAIAALQLKSLRYLWVQGYRASPTGADLMTMIRPFWNIEFIAPDKDEPCPEGKQQILAYYSLAGRRTDCPPSVIPLYPAF >Et_4B_038576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:357263:358738:-1 gene:Et_4B_038576 transcript:Et_4B_038576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKLKRMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPGIEEVNIFKDDVVIQFQNPKVQASIPANTWVVSGVPQTKSLQDMLPTIINQLGPDNLDNLRRLAEQFQKQAPGEGDAGASAAQDDDDDVPDLVPGETFEGAAEEKVPEAEEKKDS >Et_7A_053064.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:658398:658943:1 gene:Et_7A_053064 transcript:Et_7A_053064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFASNHAGTNAGGIGVNYGTRATTLPAPADVAQFLARDTIVDRVRLLDADPALLRAFADTGLAVDVTVPNGDVPRLTSPAFARRWVRENVQAPHARATNISRVLVGNEVTTEANRTLLLSLVPAMRNLHDAAARDDQGLHDALARRPGRRPPAGSATATTWPSSSRCSGSSAPRRRPSW >Et_10B_002607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18027333:18027967:1 gene:Et_10B_002607 transcript:Et_10B_002607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPCPPIPTDPNWAHCYIIIQDEKQIKVPRGTTSSEQETRATILPNFVHDRAKTLQVHDCLAINCIPGPAPAAVDMDWNNLLWCRLERCPSLEGTVFFTPPLQIEDKSIFRCLETFWASELLKARYIWDWGTSWFRPGWKSFEDLKFLHLDFCPRIVHPEAHPSV >Et_1B_010906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15215771:15219376:-1 gene:Et_1B_010906 transcript:Et_1B_010906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRIAPGVGANLLGQHSAERNQDATTYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKILNMIKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPDVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFVSYDSFESSDQAIEAMNNQHLCNRPITVSYAYKKDTKGERHGTPAERLLAANNPGSQKNRPHTMFASGPPTQGLPNGAPPASSETTTTKHDAPSSWHGKAPTTTCHARSTYVDAAPTPTTRRSASTSYVDSAASTTF >Et_3B_031049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1245369:1247339:-1 gene:Et_3B_031049 transcript:Et_3B_031049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTVSRGDTIRVCFKFDEPPRPSRVYLWWPGKGRCDRFSIVAAHRDAVLFQANYMIEDCVFDAFEYLIYYMVDGRPSLDLIPPIEGTLDEFREAVQERRFRVTTQRLRRREDLGFAVLRRGGAGGHRRRRRASRVWRRRAAEDIDRVRCCWRGDHSFSFGDYLCWVDYDYCVGGMFLCNVLDEDPVLEYMALPATALDTTGGSNGRSSYRAIGVDEDRGLLKFLMIACDDGSVLAHPFREDHDCFDIKVSSWTWTIGAKNWEVAETVRPHGLMLDHGLGELPCHGLGLPVVSKADMGTVYFMCKPNKVEEAGCPSEMFLVAISMVWNTVLSAYPRVTPEVDDPSAEKLSFWSLRAIDLPKYLKQLGSTTSSTYSRYSVPIVPGTDNQRCSTTAATRVSANCKLNAV >Et_6B_048375.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:17107668:17107862:1 gene:Et_6B_048375 transcript:Et_6B_048375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLILTVAMRWVRFVVLVLQLIITRLLQLCVESRVALMATWHQRCIRQGALAPLNLTCGLWVL >Et_3A_026860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24154902:24158135:1 gene:Et_3A_026860 transcript:Et_3A_026860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSKWIRTLVGLKAAPERERPSGGVGKGRKWPRLWRSSSSQRGGFGAGSHASASEAPSEASSTADALSSVVAAVVRAPPRDFRVIRQEWAAVRIQTAFRAFLVRTPAAAVSRFLARRALRALRGIVRLQALVRGRRVRKQLAVTLKCMTALVRVQERARDRRARVSADGCDSHDVYEVPSDRADPVKEAEARWCDSQGTVDDLRSKIHMRHEGAVKRERAIAYALSHQRSSSHSGRPSSPAVSLRNHGTNRTSNNWSYLEGWMATKPWESRLMEQAHTEQSTNSRCSDSIEEMNTATSKLSDASSVKIRKNNMTTRVSAKPPSTASASSDFVCDASSPSTSSVTPVSGTNFLTSERRSNCGQRGGPNYMSWTKSAKARLGGSSSQHKPPLQRQRSSDLHHNSRTALSSVDVQSTAGSEISVTSKRLNSLTLKGRSTRTSLDKENDGALHSETIILSVHRHLIVQRRVSGVDRD >Et_3B_030323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32236554:32246387:1 gene:Et_3B_030323 transcript:Et_3B_030323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPLNVIDYAVVSCSYLLQETSLSTMASLQLLQLTERGRNLLSSRRRTIAIVSGAVLAGGTLAYAQSGRRRKYQESNSRGDSNDHTGNKETVHQNGVDGKLVKPRKKKHGLKSLHFLAAILLKKIGPNGTNYLLGLVITAVLRTAVGHRLAKVQGYLFRAAFLRRVPTFTRLIVENLLLCFLQSTIYQTSKYLTGSLGLRFKKILTDLVHADYFENMVYYKISHVDHRISNPEQRIASDIPKFCSELSDLVQDDLFAVADGLIYVWRLCSYASPKYVLWILAYVIGAGGAIRKFTPAFGKLKSTEQQLEGEYRQLHSRLRTHAESVAFYGGESREASHIMQRFRALVRHLNVVLHENWWFGMVQDFLLKYLGATVGVVLIIEPFFAGNLRPESSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRKLNILSGYANRICELLDISRELGGLDRLTSQNSPAGNYISEANYIEFSGVKVVTPSGNVLVDDLTLRLESGSNLLITGPNGSGKSSLFRVLGGLWPMVSGHIVKPGVGSNLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQETEPLTYNGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCKRVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWNVQDNSNGSSVSPELELDVLKSSETERKSDALAVQRAFSSTKSNASSKPKKLSYSTEVIASSPSTEIEQRVQSPIVTQLQCSPRPLPIRVAAMSQILVPKLFDKQGGQLLAVALLVFSRTWISDRIASLNGTSVKYVLEQDKAAFIRLTGISVLQSAANSIKSYFKNCPWMADSHDQSFTSILFEKKCFLQGMNMDADQRITHDVEKLTADLAGLVTGMVKPLVDILWFTWRMKILSGRRGVAILYAYMLLGLGFLRAVSPDFGDLANQEQELEGTFRFMHSRLRTHAESVAFFGGGSREKAMIEAKFKTLLDHSRILLRKRWLYGIFDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRIFELEELLQASQSNPVVPSNATNAAAENIISFRDVDIITPSHKLLASQLSCDVSQGKSLLVTGPNGSGKSSIFRVLQGLWPIASGRLTKPSEGIFIVPQRPYTCLGTLRDQIIYPLSLEEAELKMLSDETSDKSTGSKLLDDHLKTILENVRLLYLLEREGWDATPNWEDILSLGEQQRLGMARLFFHCPKYGILDECTNATSVDVEEHLYKLATNMGITVITSSQRPALIPFHSLELKLIDGEGKWELCNIRQ >Et_7A_051764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24276778:24278444:-1 gene:Et_7A_051764 transcript:Et_7A_051764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSIFSVALAMMLYLVTVLYYRLIRMRNYNLPSGPRPWPVIGNLNLLGPLTHRSLHELSVRYGPLMSIWTGSIRVVVASSADAARLLLKTNDEAFIDRPRVAIGRYLFYNQSDMFWAPYGAYWRQARKLWHTKLLSETQLKLHEHVRREEVHAILRDLHAASSNGRAVELRDHLLMLNMNVISRMVMGKKYGGVGVGASASSVATPEEFPWMVEEMFLLKGSLNIGDVIPWLNWLDLQGYIGRTKRLSKMMDAFLEHVLDEHNERRRQEGEGFVPRDMIDLLLQIADDPDMEVPIQRDGIKAFVLDMIAAGTDTTAVTVEWALSELLKNPTALAKATEELDRVVGPGRLPVEGDASSLPFIQAVIKESMRLHPATPLLSPRRCRKDASVSAFRIPAGTCVAINAWAIGRDPAVWDAAEEFRPERFVGGSNKVVDVKGQDFEVLPFGSGRRMCPGMGLALKMAPLSLANLLHAFACRLPDGVVPEELDMEERLRFTMPRNVPLQAVAEPKLPAHLYYYPTKQ >Et_3A_026328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6920351:6936544:1 gene:Et_3A_026328 transcript:Et_3A_026328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQYLSSAGYGGSGQVVQIAGKGFVQGIVTYTVLDKLTVSPMSAISSITLLNTCSVRDIGDLQEKTVQLGYKEGLAILKASLQSKTVLTDLLIDIKAQRVLFAEASKDVLDFLFSFLALHVGSVVKLLGEDAMVGSVGNLYASVEKLDAAYVQPGVAKDALLSPTVTSPAVSTKSSLFRLPAPAPPPAPAPARPKKFFRCTNGDGCYQYDDDYKYCFGNKTTKCRNYMTDTCGTACPSCGGQMNIEAEIVSPAEPAPVPVDKAAVTGAMGNGFVRGVMTYTVMDNLTGLAILKASLQSKTVLTNVFLANTTTLSMKLVISKKTQSVLFAEASKDVVDFLFSLLTLPVATAVKLIGKEGMVGSVGNLYASVEKLDATYVLPGAAKDALLRPSSVTTTSSLLRLPAPSARQPKMIFYRCTGTYYGSCRAQITDVYGTECPKCGDSMTKAAHLSSPGSGQLAAQSDAQGFVQGIVTYTVLDNLIVSPMSAVSSITMLNTFAVRDIGDLQEKTVQLGYNEVGHFSGCNNIINTETLVIYGFAAVSCHSEGVAAVQDRPHRRLPRQMANATSSTTLSMKLVISKKTQRVLFAEASKDVVDFLFSFLALPMATAVKLIGKDAMVGCVGDLYAGLDSSYILPGAANEALLSPTLLSPAASTTNSSLFALSSSEQAMKLPLYKCNSTFYNGCKSYVANVSGTSCPSCKYQMATELHFVCEQNTSTGGVKGFVQGVATYTVLDNLTVSPMSATSSITLFNSFAVRDIGDLQEKTVKFGYNEGLAILKASLQSKTVLSDTTRRVVFAEAGKDVVDFLFSLLALPVATVVALVGKGTAAGSVGNPVASVEALDDAYVVPGADKRSALIPSVNISPAATRTSSLLLPVAPPSSRGGEQSKALFQCAAISSNLGYGYGRGSMCSSRYVTDAYGAACPDCVSPLEYVSPAGSVGISAYSGFVQGVVTYTVLDDLTVTPMSAISSVTLLNTFAVLKEVTVRLGYVEGVEILRASLQSKTVLTDVFLGKQGRDEFRPPENSRGRPSGLIRTVTSR >Et_1A_006912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2915979:2918815:1 gene:Et_1A_006912 transcript:Et_1A_006912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGEGGDYGGCSDFMVLRPENAGFYHLFQLLSSRKVAENEAVDCPVGTEIADWRRRWAVFVSLVAQVLLLWAKKPVALLGKATEYWMNLLNENGGGVLMLIVKALQGKLKFPDRTSPNYLSCVGLLDARMKLDRKLKQGDSNYHAALSIMAAKLAYENELVIRSVVEKHWQMNFVGFYNCWNDFQNDYTTQAYMFADKPADANLAVVSFGGTRPFDTEQWCADVDFSWYAVAGVGKIHGGFMKALGLQRRGGWPRRVAEHDHDGKPFAYYAIRERVRRFLSENANARFVVAGHSLGGALAVLFPTVLAMHREEAMLARLRGVYTFGQPRVGDKDVGEFMSKYLDGRYFRFVYCNDMVPRVPYDDAALQFRHFGTCLYFDSLYRGRVVQEEPNKNYFSLLTLAPKYLNAAWELARSFLIGYAVGPEYAEGWLMRLARVAGLLMPGLPPHSPRDYVNSTRLGANSLGPLT >Et_7B_054574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2418939:2422946:-1 gene:Et_7B_054574 transcript:Et_7B_054574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLQAHRLLISHRRIPTPARRRFTAVSSIQSPPAKTLAPGPAASSILSIRESLLSGERTAAEITAEHLSRLHRTEPSVRSFIHVAEAAAQREAEELDRRIASEGKDALGPLAGVLVGVKDNLCTANMPSTGGSRILEGYRPAYDATAVRRLREAGAIVVGKTNLDEFGMGSTTEGSAFQVTKNPWDDSRVPGGSSGGSASAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVVGCFGSSVFDTATILSVAAGHDKMDSTSSSQDVPDYTSELVSLDSLESKPLNGLRIGIIQETLGEGVDIEVASSIKAAASHLERLGSVVEEVSLPSFSLGLPAYYILASSEASSNLSRYDGIRYGRQVSADDLNELYGESRANGLGHEVKMRILMGTYALSAGYYDAYYKRAQQVRTLVKESFKGALERFDILVSPAAPSAAYKIGEKINDPLAMYAGDIMTVNVNLAGLPALVVPCGFVEGGPAGLPIGLQMIGSPFSEGNLLRVGHIFEQTLQNFSFVPPLLSES >Et_1A_009631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9912382:9913205:-1 gene:Et_1A_009631 transcript:Et_1A_009631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNSAPEPRAAADVDVELFKAVAQAWHAQSGNPRPSRASKAGGEDDAAGRHRAGRAARYRPSRFKLEAMAASAAAEAVPPREKTWDFARSLFDTYELVAVARRLESGLAIADHAHAAAAPPAVPREGDARGEGKRRKESGRSLRNLLLRSSSGSVRKTTAQRIGADLG >Et_3B_030778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7254252:7257304:-1 gene:Et_3B_030778 transcript:Et_3B_030778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVGRAARPATAAAEKPAGSVDRRPLYFAAFLLLADAALVALIIAFVPYTKIDWDAYMSQVDAFLEGERDYTKIEGDTGPLVYPAGFLYVYSAVKFITGGQVFPAQILFGVLYIVNLSLVLLLYVKSEVLPWWALGLLCLSKRVHSIFVLRLFNDCFAMTLLHAALVLIVYHKWYLGLIVFSGAVSIKMNATSIEGVFFALLGAAVVQVFLGMPFLLLHPVQYISRAFDLGRVFIHFWSVNFKFVPEKLFVSKEFAVTLLILHLTTLVVFAHCKWLKHEGGLIGFMRSRFKSAKSIQQLIFSKPGSTDLSSEHIVTVMFVGNFIGIVCARSLHYQFYSWYFYSLPFLLWKTRFPTVLRIILFAGVELCWNIYPSTAYSSQLLLFLHVAILFGIWISPIENPYIKRKT >Et_10B_003201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17559242:17561185:-1 gene:Et_10B_003201 transcript:Et_10B_003201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSMQVQFTRRVMISCLLSMIRLGTIELRNSTMNSRKNLEASVASFGRLTVCERDDGHMARVVICDRVLYVDAVSRLIIVAHAAGFQCESWIVQILPKNHSSVKLCLYQTYTP >Et_8B_058702.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:17350729:17350947:1 gene:Et_8B_058702 transcript:Et_8B_058702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHEKPTTPFGPVEFAGVGALRTWLRRNLPVAGLAVASCAITAVAGPMLCFGVFGLFLLGMSLITTGIRGE >Et_1B_011344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20837735:20838490:1 gene:Et_1B_011344 transcript:Et_1B_011344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLMASYTTAMSRLPVLPASSVVQARGSWRPSVASKPPYAIKCRRPLTVTCALPDKEQWPTAFSIPPTALLCPVPPPDGKERWDIKEDDERVTLWLQVPGVSANDIEVTTSEDVLVIKRKVAPSTGRGQEAAADVHGVGAFHIRLLMTKEYVGNNVTANLKEGMLEVTVPKDLNRKGTPVKLGAPPRGKNDASSKVSDAKPDQTNNNNNKMRQPQV >Et_10A_000511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11986751:11987551:1 gene:Et_10A_000511 transcript:Et_10A_000511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKETLPLGDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYELPLQRLHFSDGRPKSPPSLCEFIEYIDTEQTPENIAHVYRVAERARRHWFDMEAEERREEERRKMRQKEEERRREYEAELK >Et_1B_012382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31332137:31337302:-1 gene:Et_1B_012382 transcript:Et_1B_012382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAASARRLAAASYSSNRFSQLAAVLNPQRSMHDRNKKAMELVAKGWSALQEVDRVIDYCDRNDKRLIPLLRGAKENFELALEIDNMNTHARYWLGKMHFKYHVPGACKAIGAALLVEAASMGDPDAQYELGCRLRIENDYVQSDQQAFHYIEQAVDQLHPGALYLLGAVYLTGDCVKRDIASAMWCFHRASEKGHAGAAIAYGSLLLKGAEVPEVITRFNSGKSPSTGKMRKRTMQQDPMKLAKEQFQIAAEAGCDLGLRWLKRLGDYENQEEKSNQFGRLSSDYKDSRLGLSLSSTEKQNRWSYKSLPNLRIRVLDRPGPRAMAHESVGVGARHDEPILGKENPNPFKRP >Et_9B_066127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4394913:4396336:-1 gene:Et_9B_066127 transcript:Et_9B_066127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFYGFNPSHIVSLAKDLLEQIEARIFSNQLSSLINRSLEDKYDSAELEEIFPVLDAAGLRSVSMEPSVRSKTAAARCMREVWQGMPMDHGWNISWV >Et_1B_011756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25694855:25697324:1 gene:Et_1B_011756 transcript:Et_1B_011756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPERARALFVLVATLTVAAHHLASPALAAWVDYPSGVPCGVTIPVEQCDPAEAAANRACMDMCHYGGCRRGGRCVSLGFGRGRGCNCNGFPCGAGGVGMGGLGLPVERVVRGGRAGGAVRPGRRGGEQRLPRHVPLRRVPPRTVRVRRAARRRTRLPLPALTSWLDTMLRAAGGINRHASSELIVSRSVCFDLMTC >Et_6B_048417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19387000:19388718:1 gene:Et_6B_048417 transcript:Et_6B_048417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRPDDLHAEVARALRRVLVDDDGEPLEVARGLHLPLRLVVCVERVRRVPARVQRAAAPVPERRAVGAGARVPDVVRDAAAAVVAVGAGGVRVEHAPPPGQVLDAGGRDRHYRPPRAFAPAEVERELGPAVEGEDAPVALEVSRGHALGVLVDEPEQVGLDARPRPERRAPPRRQPPEQPAVESLGERRRGARVAVRGPPDLADDDGEAAQPDLRQRRHQRVEVGVEHVGVRGVAVDHRLRRSSGVAAVVEEGVVEREAGAAVVEAEERVDVDDERRAAAVEAARQLGHGPRHVGAEPARRGHRVVRRRVVHVRVHRHRRLHQVPGLVQRALDVAQRRHRHPVERPVVRLEERLVAHRHVLDVDAAVECVAGDVVLDPGPGAVVVGDGGEHVVGHGDHGLDARAGERPQRALVGVEDLHLLEPVVRQQPRHHLGRQPPRRHRAPVHPEPLHRRSRSGRRRRRHDNTEHKNAKTQRRRHGSSRPVPRPRPRNARISRGLKRGSTPTRRGGVRTYCREMNPAGRDLFGWWNNRS >Et_10B_002599.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17732775:17733140:-1 gene:Et_10B_002599 transcript:Et_10B_002599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRGNGSGRGGGARGARLELQLNLSPPAPPPAGVEADDSDSSSPSSCVSSDGSPGGSRSPMLIGACTRCMMYCMVAKKDYPTCINCKQPCLVDLLHGEPAGAGEGAVVDPADDKKRGKRK >Et_6A_045866.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21158252:21159454:-1 gene:Et_6A_045866 transcript:Et_6A_045866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAIYRDWSVRPPGGPDSHRDASAGHPGPAPRRRRLHHVARRLRRRPPRPIPHHRFVSLPALHQSRRRRRYNLQRLHRRDVHGPPAAVLRAVPQPPRGGLGPRLDRHRRRHAQLSGAEPAHRRPGGPPVPHRRQHCRSPGTLRLTTYLAYLSCSPGAGSACAVLLVHQAFGDLFFAHVGDDRWESIPLRASLEMTARCNFHGAVHNKKDGNLYVIADTGHVYALDLNGPSMMPVMVMAVCAGRDPAVARIPGYGLWCDVVLAPWGDILQVWRRKRWCLLSSTAPVNDPDAYTDDILLTKVDVDDRRPVKMSAGDLRGHSLFLGFNASLCLPAKDFPGLKPNCAYLTNGSWKQFSLTEFGSREVRVRNFETSTLESLGKVQSDHPWLNWPSPIWITPSLS >Et_3A_026864.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24201118:24202347:1 gene:Et_3A_026864 transcript:Et_3A_026864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASFGWAGAGQHVDDYFSRQAAGCARFEADDAFLGACFGQQPCDGVVGGDVDGTCQVSSNFGGCAAGADDGDPLAAFLSSRTTSGDVVFDGSLLDAALAFSRELGGDGGGPVSNGGAMFSSYDSGTTGGNMSSGESNNNYSGGSHDAAEVVSPTSSTVSPTTTTAPPFLHASSQAQAQQQGFHHAKRKVTDEYNPTSIARTTEPPPPPLPFPRPGAGAKRRAATSISFGGRSHGGGVQHDVAGGYEPDMEAMAQVKEMIYRAAAMRPVNLGPEIMPGAAGSGGSAAGDQKPRRKNVRISSDPQTVAARLRRERVSERLRVLQRLVPGGSKMDTASMLDEAASYLKFLKSQVQELETLGTTSSSRSQHYSYQSSSSSNPRFLGFARSSNIPHAGYVNPNGSNTAGSKLF >Et_9A_060881.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:10450458:10451078:1 gene:Et_9A_060881 transcript:Et_9A_060881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPSSPDTPPMDMPPPMGPMPAMDMPPMQMAFFWGHRAQVLFTNWPGYHRSGAGMYVTCLLVVAALAALVEALSAASSGLSRRRRRSRNSGGAPEAMLLTGVHAAKMGLAYLVMLAVMSFNAGVFLAVVAGHAAGFMLMRSGILGGRSNNSRDEVPTNGGVLPPSGVRAKTMNVILNCIMKFVWSQRSTNSEVYFSHQSSAQAGA >Et_2A_016490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25277891:25280284:1 gene:Et_2A_016490 transcript:Et_2A_016490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFFSNITCQNVKILVGKYREQYTAIWSPAFETHSITRRRKPVPPRQKFDRDHRVEKLPEPGRTGSSVRSERRTAMASAMDGRRLRSRCAHQSPVTSTRSICRSSNAPSSLPSAASRTALRSSSTAAASFFGCPPAGDAAAGRTPQAISRSTTPKLYTSDSGERLRSPTNSGSMYPTVPATTCVVSGSAPWSTSLARPKSPSLALQEESSMMLLGLMSPCTTHCSHSAWRYSMAEPTPSAIL >Et_10B_004456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7991018:7994579:-1 gene:Et_10B_004456 transcript:Et_10B_004456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPLPPPLIAGLARRATTPEAARQLHGQLLLRGLPLPARAAVALIASSPCPRLARSVFDITVVTDHDNVYLWTATIAAYARHASTVAAEALALFRLMLRRGPRPNAFTVTSVIKCCSTLRAVREGLQVHAFVGKAGLGRSAHVGGALLDMYGSLGRVGDARRLFDEMPAKNVVQGNTMVACYVRAGDVEAAREVFDGMAERDPISWNTLMSGYLSRGEACVAMELFDQMPEKNVNSWNIMISACSAKGSWADAIGTFNRMRSAGFQPDPMMMAVLMSACAHLGSLSVARQVHGFLKKGCVEMNCHVHNALTDMYAKCGSVSQAHILFLETHPKDIVSYNVMIVALAHHGHGRHALQLFHKMAEEGLQPDQVTFLGVLSACAHAGLVHKGKHYFEAMRTTYAIEQSPDHYACMVDLYGRAGLIEEARCLVQTMPIKPHAGVWGALLNACRKHCHVEVGKIAARELVKIEPRNPGNYVLLANTLARSMRWDAVETDITRSTVQVQKVGCHINMMKGAKGRVDVLGVLGTSYYIWDEWGIQILVLISFALQVFLLFGRICWVNASFLCWLTPQ >Et_6B_048857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14661651:14664880:1 gene:Et_6B_048857 transcript:Et_6B_048857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASPSRRGLQSFKLRAIPPTPRPPSPSTSPSVGVKHQDGEKLSSMKKRKFPSVKWAEAPEAKRIRSPVTPIPVKSPGVRLMLPTFMGARKKRKRSSLKHTVIVSTAMSTVLDNDDILEEVLLRLALPTSLVRAALVCRRWLCIASTPAFLERFYGLHTSQTLGFYIQSSHLRLPKFAPMPGLPPELANSVHCASSALDAYNTPATSRAYILCCQNGHLLVILDGPDGRRYAPPPRPARDSTILPPLPSVIFNDNVIWLKNTDFPDGGVIVRAAGKHAGKQLMDGAWHNVNSVPEDELPEMPKPFCFLPIKDNKILISSTSDIAKGRWASSERPHRLSVALPDGVEYMQQHKSEVVRQAAPAATANRLSNFASWVDSSVVYLLHVHIKSLQVGTDVLYIDIKSRTAKKVYTVTPEDGALFRLVTFSMIFPPVFPLIKDDNDQNTIRSSE >Et_9B_065424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4944797:4946088:1 gene:Et_9B_065424 transcript:Et_9B_065424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKNDAAAALVVGATDDLPPVAPEGGKHPMSPWASPLRFFSECMLIASLFALSWFDVPEGPRRRRLELALLALGYAAIATLMWSARLAGARGWMKVASQIVASVVMLVLTYYTGSMVPNGFYLLVVVCKDQGYRVLEDDINAGHGRAFQKIDTGVEQV >Et_1A_007432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34486009:34487341:-1 gene:Et_1A_007432 transcript:Et_1A_007432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLPPSVRREILLRGACAAMAAAAALLLGLSSQTKTVLFVRKKAVSKEVQALWVLILASAAASGYHAIQLAKTLYLGHFAGDGGCRRLSRGMACVSLLLDKGCAYMVFAMTVAALQACFVALNGVEALQWSKLCNIYTRFCEQIAAGMLCSLLAAAGMTVLAFFSAREHFRRPYSSAAGGQCTHH >Et_4B_036307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16265834:16266850:-1 gene:Et_4B_036307 transcript:Et_4B_036307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARPRHLLLLLLPLLLLAAAPASADDLVAELQSLRARSPSGVIHLTDTSVTRFLSAPAPARNPDSGDLFFADIEFSESQHSFHQFGVNSLPHVRLIRPEHSTLAGSEQMDQSHFGRLADSMAEFVESRTGLEVGPIVRPPLLSRNQIILLGILFLISIPFAIKRVIDGETLLHDRRVWMAGALFVYFFSVSGGMYGIIRHTPMFLTDRSDPDKLVFFYQGSGMQLGAEGFAVGFLYTLVGLMIAGVTHLLVRVESLQTQRFAMMAIIAIGWWAVRKVIYLDNWKTGYSIHTFWPSSWR >Et_8B_059780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2779775:2785840:1 gene:Et_8B_059780 transcript:Et_8B_059780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDGGADAWGGGEDGGSLFEGMVLFAPEPVATEEPAPAPDPEPPAARPDADAATSQTPAAPPPLDEDLFSDLTLLTPQEPSPLEQQLPPGEDRAFPAPAAALSSPTPAPSSPAPAPPAPGAALSRQPSSASLRKKKRAVRIGYGRSPQPAPPAPLPTTVIPAAVAVATISASSSTLTDASPHPAAPHTPDQYPVEQVDVYGDSNELDTVKVLDPVDNSLDVKEEAKKEDDEKLDDGGGVAEAGIQERLAILRSQISGKLEAIQQRAVAVVAKRRQLAARRRKVAEDAGSAASRHKDLERELEEACEAEDFERAERISDSMAALEKEKDRLLMALRGAEVDYDSVDSELQDVLESRVAAEEEAAALLEQFAKDATDHADSESKQAQEMSLKEMEGWQTKMELLETRKLEMQVETQLVLAARSGLEGSIEHLVEDDKKEKDMLNKKGEILAEELASLLELVRLKEAEIAENNARIHEVQERISAVASGFHDSQSDIDLKLNSLQEAETKVLLETEALALKKNEIDEFISLTEQKDSELREIIGACSSEAKACQQAVEIRRKLASSILKSREDRIGLLKMEEEILQDIQTLRQQITDARSSLQEISSRRAGIQQEMASFKQKLSFIDKRGPELEAEKKVAAAARNFKEAGRIAAEAKALSSEKEELHAKLEKSATDLEIVEKDIIAITDRIQECEGLIVLKEKESALTSYKRLRLDCAAARAELTAATEMDDNEEVEILRKEAEAAESKAMELKTCYDLQVEDDEFMFQPVVPIAFITNSTGQHLEHLQSARTANMAKGKVCVTGASGFIASWLVKRLLESGYHVLGTVRDPGNHKKVGHLWDLEGAKERLELVRADLLEEGSFDDAVMACEGVFHTASPILTKSDSKEQMLNSAINGTLNVLRSCKKNPLLKKVVLTSSSSTVRIKADADLPPNASLDETSWSSVEFCESLQIWYAVAKILAEKAAWEFAKENKMDLVTVLPTFVIGPNLAPELSPTASDVLGLFQGATEKFTMYGRMGYVHIDDVASCHILAYETPGAEGRYICNSAVVNNNDLVALLAARFPSFPIPKSFPNIYGEQTYDFNSSKARALGVEFKGVEEMFDDAVDSLRGHGYLPKS >Et_8A_056505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11079792:11085913:1 gene:Et_8A_056505 transcript:Et_8A_056505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMRCPLVTELSPESCSLRQIPVAYLSMPTGEFDHTYQMAGVTASALLGVMNPLLGRLCTLLEGEYAKIKCVHPQIVFLRDELSSMRTALEMVSESDEAKPQVKEWMSQLRELSYDIEDCIEIFMHQLVHADTCDGFIQKIINKVTTLRAHYHIGNQINELKERALEVTDRRKRYKLDTSSSSPKSLVIDPRLPALFEEADRLVGVDTQIDKLVQRLINGIDLHPQRKVVSIAGLGGLGKTTLANQVFHKIRSQFDCTAFVSVTRSPNVNKVLTDTLLQFLKSSSPLRADQNQDTARMKEDLYLKALEYPQLVNMNRDYLQNKRYLVIIDDIWTKQDWKEVQCAFPHNNYASRIMTTTRIKDVARFCCFPERENVYQMRPLNNDDSKSLFLKRIFDRKDDCPPELEEVTGDILRKCQGLPLAIVNIASLLATKPTLKQEWERVRNSLGSVLQKDHELEVVKMILSLSYYDLPHYLKICFLDLSKFPEDHVIGRLCLIRRWIAEGLIVEQQGQHVEHTAENYFSELINRNMIEPVDTDYSGRPRACRVHDIMLDLIISLSIKENFVTIVGDRNLTHSTNKIRRLSIQGNMEDQSLCLGSNSLSHVRSLSVFGDVKKMPSLMDFQVLRVLDIQYCSSLEDSDIGNIGRLVHLRYLSLYSSNISKIPRQIGMLKHLQTLDLRATRIKEVPETIPQLHQLLRLYVPNGVRLPNGIGSMALEELLMMDASKNSPQVVQELGNLTKLKVLGIKWGADGGLNDERSFKKSLVSSFCNLGYKNLRSLGIETTERCSMDFLFDSMCPPPCHTCSTFCRLPKWISYFSELVNLTVFIEHMGSKDIQVLKSLPALCSLQIFTTEYPEESLIISPGGFQHLKDFHFRPTMYCKRKKSMMSLVFEEGAMPRLKRLWFRFAVHDTLSAHGVSFDFGISLLFTLKRLWVSINCRGSRAWEVEAAKETIKNAAALLPNRPRYEIHIFGDEEMVNDDERR >Et_2A_017538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35229508:35233176:-1 gene:Et_2A_017538 transcript:Et_2A_017538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SADTETFLCKSSEQPNTLYLIYPSLFFPPGSYLHPAFLWYGRGNALTILPRGHDSDWIPAPLLSDIHEARVSSFASRAPSTEDLGLLSAQQGILYWSSQPNERNMHDQADSSKLDLDGNPHGGQSQHRKNVCDESTGIPPCHVVAKKSSRPVNANLRSDSNILHPEDNPPRKTSKKKGSKKRKLYMRATHKKLNSASENTCEENTYAASPVEVLPTDLLADKLSETTFSTRSLVKKTHLGKDGAENNGHVKYGAMVNLCTLGTDGIGGSAGSSNEASVESCRCVTYLNNGSNTIHASEFNGSTFAEHGLGEESNIYQKLFRGCVCNLDSPDTSFSKWNNDNSGNYSVNVEARLIIKDENRCNATHAEGTNDSFSSSSCCSKDVTDSSSNSERVQCSSEACSSKTSLQFKSGRSSRNPRKTPSSSDLTMFNRVVIANRHKNGGGKDSSAVWQKVEKGDKSVPTGGRTDDSPIQDKGAEEDTNKGIQQDATRHRAKRKQNKKACKQEFPKFEMESTKEEDDAFNSFQTSSKSVYKKQVPFLHQQRSSSFKQGSQSLKNYYAPKNGISKAPKDYSQQEGLPLLQLVQVKNTCDRSMLSYCSTDEVILTGIGGNCKSEGKENLQSGNGEAVLPPYNLVPDLVPQAASWTSRYLYADPCAAETEEARYAKLAIENNPQESCKLYLPSGHLSQKWVPVGKKEVLSVNHLDVSEASGVEGSLPANGISDPVSRASANGEDIKLASDLNSYERIDLKCQAIAYKGSETSYNKITESLSDVCIAWQRVEDVQASIGRPLADCERFMHSASPVMHCLPCPTGCKSYLQESVKDGLCLHQTSDITLRSVWQWYEEPGCYGLEVKAQDFRRSKGLWNSQCQFTTYFVPYLSAVQLFRQPKRTSGGSTHEEAVDMDMTREASPCLNTPPIFAKLIPQQSKPRNRSPSLHTEDDQHSSSAEFMFEYFESEQPYWRRQLFDK >Et_4B_039795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:313521:315977:1 gene:Et_4B_039795 transcript:Et_4B_039795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPFRYAADVQREEKKVEKAIREAAKRNDIGSAKSTEVMKLVNNLMKAPEVAATMQEFTKEMTKAGVMEEMVSDAIDSALDNEDIEEEIEEEVDKVLSAIAGETASELPDAVRKEKKMKQPSTSEPAERTAIAEAIDDNDDDLEQIRQRLAKVHDLGLGKGHYVRSAHTKIRQPAYAVAGRSGGQAS >Et_2A_016948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29888484:29888946:1 gene:Et_2A_016948 transcript:Et_2A_016948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSQHREGRQNLFSTRRPTKKIVLKMQCQSGKHYSQHSIKRCKNFEIGGNKKGKGTSLLIDLMIVLLSCP >Et_1A_005933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15755606:15759828:-1 gene:Et_1A_005933 transcript:Et_1A_005933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRNDGGQSKRPIVLCCMMIVCLCLLFLYFSGSNEQAGSAAFEYGTKFSRSLGWGSDDGDDGSEESIFGTGDADDIKPKSFPVCDDRHSELIPCLDRNLIYQMRLKLDLNLMEHYERHCPPPERRFNCLIPPPHGYKVPIKWPKSRDVVWKANIPHTHLAKEKSDQNWMVEAGEKIKFPGGGTHFHHGADKYIANIANMLDFKDNNINNEGMLRTVLDVGCGVASFGGYLLSSDVIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWKEMSALVERMCWKIAEKRNQTVIWVKPLNNNCYRSRARGTKPPLCKSGDDPDSVWGVPMEACITPYPEQMHRDGGSGLAPWPARLTTPPPRLADLYVTAETFEKDTEMWQQRVENYWSLLGSKVKPDTIRNIMDMKANFGSFAAALKEKDVWVMNVVPHDGPSTLKIIYDRGLIGSNHDWCEAFSTYPRTYDLLHAWTVFSDLDKRGCSAEDLLIEMDRILRPTGFVIVRDKSTVIEFIKKYLHALHWEAITVVDAEPSPESEEFEMILVIRKKLWLPEAGTQDST >Et_5B_043267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20986965:20987552:1 gene:Et_5B_043267 transcript:Et_5B_043267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLVVFSASGSSYSFGHPTVDVVMNRFLADGANQDGSGLTKNVRLLLNQYIELQRLVEEEMKRKESLQAAIDKEMGSRMMQWLNADILDLGADELQEYYKELQAIEGVILGKAKQVMAEGASSSQQYQFGEQSVIPLYDGFIDGVPVNDPLLTSINGDGGSKNFPNNPNVG >Et_1B_012480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32318475:32322249:-1 gene:Et_1B_012480 transcript:Et_1B_012480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLATTYSGRIAAAKPSPSGPSLTVTVTPAPPPTQFDTRGYPLPRRHLICAATRILRSPASPSPLIDLADYLSTHHLTLTAAEASEVVKVLSPDPALALTFFRFAASLPGFRHDAFSYNRILVLLFRTRTDPSEAMRLIAEMERDGVAGNISTVNLLIGMGVEVDKCLELARKWGLRLNGYTYKCIVQAHLRSREVRKGFDVYEEMRRKGYKLDIFAYNMLLDALAKAGMVDQVYQVFEDMKQKHCEPDAYTYTILIRMSGKAGKTSKFLSLLEEMVSKGCALNLIAYNTVIEALGKNQMVDKVIFMLSKMIESGCQPNQFTYSIILDVLGAEKQLHRLDEVLVICSVYLNRSIYSFLVKSLCKSGHASEVHNVFCRMWSSHEKGDRDAYVSMLEVLCNAEKTSEAIDLLHMMPEKGIVTDVGMYNMVFSALGKLKQVSSISNLYDEMKVKGVVPDVFTYNIMIASFGRVNLIDKALKFFEEMKARSCKPDVITYNSLINCLGKNGDLDEAHMLFEEMQEKGYNPDVFTYSILIECFGKFNKIDMACRLFDEMIAEGCVPNIVTYNILLDCLERCGRTAETHELYETLKQQGLTPDSITYSILERLERRSQQTVRTRKPNRVLVLKGRKCLLH >Et_8B_058754.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20829164:20829439:-1 gene:Et_8B_058754 transcript:Et_8B_058754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DVSRRRSVGGADAAERARRAAKERDGAAVLGASRSSRRGPPGLVVMMRALKKMFVAHKAKSRVHRDEQQSNASASVASVSPSPSPYKKMVM >Et_9B_065372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4325750:4329105:1 gene:Et_9B_065372 transcript:Et_9B_065372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKDGGGMAAAVRLGVAANLVALAWFVRRRYFGGEKEIAAATTVEASKGKPPVTTESVVNLDHGDPTMYEAFWRGTGDRATIVIPGWQTMSYFSDVGGFCWFLEPQFEREVRRLHHLVGNAVADGYHVLVGTGSTQLFQAALYALSPAPDGAPMSVVSPAPYYSSYPSVTNYLNLGLYRWAGDANTFSGDTCIELVCSPNNPDGGIRKAVVKSGKAVHDLAYYWPQYTPITEAADHDIMLFTVSKCTGHAGTRLGWALVKDREVAQKMIKFVELNTIGVSKDSQLRAAKILRAVSDGYEVSPAGEASRLFHFARRQMLARWIKLRAAVKATCIFSLPDELPGYCTFFKETVPSCAPFAWLRCEKEGMDDLEGFLREEKIITRGGTRFGADGRLVRISMLDTDEAFNVFIERLASLK >Et_2A_015760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17649793:17651818:1 gene:Et_2A_015760 transcript:Et_2A_015760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPDGRRYHGLHLTVVWAPILLMHLGGQDGITAYKEDNELWTRHVLTAVSQVNVTIYVFCKSWRRGDRRLLAAAILLFIVGIYKCINKPLSLKRASINNLVTTFGVAERTANKEGEISPLQDFVREARSFVQDTERPQAQTSPQGYVQGAKAM >Et_3B_028149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10935984:10937852:-1 gene:Et_3B_028149 transcript:Et_3B_028149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIVSAVVGDLIGRSASFLIDRCCQPQPNVDAILWRLERLLLRARAVVEEAAGRRITNRAMLQQLEILRRGMHRGHYMLDAFKYRSDGGNARVVVEDDEEDEVSHSSSLLHKFNPAKRLRSFSGGGSGSSGSTMLFGVKELQDTVDTLEKMMADMREFVVLLASYPRLRRQPYCDYLLLDKCMFGRQMERQRVLDFLLQPEAPGVTESPAVLPIIGPIRSGKSTLVDHVCQDERVRSHFSTILLFREDDHGVSNLREASGLVKHRNNRLDGDVDDATWARLKSSTTAAAAGAGSKVLITSRSWKVARLGTTGTPPLRLEYLAPEAYWHLFKMLAFGGADPDELPRQASMAMEIAAEQRQCFVSAYIIAGMLRDNLGDEGFWRAVLWRVRGYKRENLHRFEEHPGALLRRDDPVYCWTLGKSRGYFLIRRYHQVTEVAGEVPEMTVRDVILGCATTPRGEFEALSWKSRIAPYFSYTVTCVVPEGRAAAPEAKKRRNPPKEYVLC >Et_6A_047302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3581652:3581972:-1 gene:Et_6A_047302 transcript:Et_6A_047302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRSITGDGGGGGNRLRAAAAQEVSRRGAVAGGVVHGHAQREAAASTAPPAGSGGPSSAILQTSRGSSATRLSKSCSPSYSATVKATPSTPGR >Et_4B_037214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16684154:16688039:-1 gene:Et_4B_037214 transcript:Et_4B_037214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNKSRGGGGLGAGGAEELVLRGTISKKWTFLLCLGSFCIGLLFTNRMWTMPEPKEIIRRSTMEVEKMNLVSGDCAPKSIDDVKDIPGEVPRTQDAIQTLDKTISNLEMELASAKAAQESMLKVAPSSESEGKRKYFMVIGINTAFSSRKRRDSVRATWMPQGEKRRKLEEEKGIIIRFVIGHSATSGGILDRAIDAEDRKHGDFMRLDHVEGYLELAAKTKSYFATAVSMWDAEYYIKVDDDVHVNIATLGNTLARHRSKPRVYIGCMKSGPVLAQKGVRYHEPEYWKFGEWGNKYFRHATGQLYAISKDLASYIALNKHVLHKYANEDVSIGAWFIGLDVEHVDDRRLCCGTPPDCEWKAQAGNVCVASFDWSCSGICKSADRIKEVHQRCGESENAILSAKF >Et_2B_019180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15918395:15919633:-1 gene:Et_2B_019180 transcript:Et_2B_019180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSPMQAVLVAPGVKDKKVVAFKRDALKEKDAVTGLIRSIIASTPQQRGAFHLLDLSKIVDLHRAWRRALPDVRPCYAVKCNPEPAILGALAALGAGFDCASRAEIEAVLALGVPPRRIVYANPCKPEPHLEYAASVGVNLATYDSADEVAKVRRCHPKCELLLRIKGPDGGEARVDLGIKYGALPDEVGPLLLAARREGVNVAGVSFHVGSGAARMDVYAGAIRAARAVFDAAAALGMPPMRVLDVGHFGDLPCVEVIGEPGRYFAEKASTLAARVIGRRTRGEVREYWIDDGVYGSLNCVIMDHYAPRPRPLAAGRTSHGEATYASTVFGPTCDSLDTVVSGYQLPEMSVGDWLVFDDMGAYTTAAGSKFNGFDTADINIYVAYSC >Et_10B_003130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16969066:16970750:-1 gene:Et_10B_003130 transcript:Et_10B_003130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVAAASFESLHKEAYDNTDLIITQILLWFTVVLDIAGPPLKLIANEAGPSLWPDQISQYNLIGYLARNKRHLMLRKLTTLLCCKDNLDQLWCMKPCKSSSDITELVHGYLAEAWTEHRITDTATFRAFSDNRGQWTLSKGCGSNFESTLQRPFDESVLIWHLATDFCFHHTDTSPSHEEVARRSRQISNYMVYLLFINPEMLMTGARRSLFRAICSKLTCVLLNSLPKGTKMPHDEKQLTKEIFQSIKGSDSDIISDAWELAEGLMELQREKMWKVIQGVWVEMLCFSASRCRGYLHAKSLANGGEYLCYVWLLWLFMGMETLAERTQMTGMQDVANKGSAAEGSTTASAAAYASEIRPADHDSIAGGKDDSRNDTDNEQRVNASYDRSSDADDSEMPSYDHSHDDDEDDEGNLSE >Et_4B_039906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5712722:5714741:1 gene:Et_4B_039906 transcript:Et_4B_039906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVYELRSGGTAGLQQDYQDLKLYTLLFIPPPFALAKTQARVRPEREDIVEQSKALEFNSSHISARIQRGSMARSEDSSAPRTVPGSYGLPVIGAVRDWLDFYYFQGQDKYFESRIDRYGSTVVRINVPPGPFMARDPRVVALLDAKSFPVLFDLTKVEKNVLTGTYTPSTALTGGYRVCAYLDPSHPTHGKVKQLLLSMLLSCKDAVVPAFRSNFSKLLDTVESQAGGKAEFNKLNDATSFDFIGEPFFGVRPSATDLGNDGPAKAAKWLFWTIHPLVSLGIPMIIQESLLHTFPLPPFLVKGEYKALYKYFSTAGSQALDTAESLGLSREEACNNLLFATIYGGLKVLFPGILANVANAGRKLHEKLAAEIRGDVAEAGGKVTFPALERMGLTKSVVLESLRLDPPVKFQYGRAKTDLQIESHDAVFQVKKGELLFGYQPCATKDARVFGPTAREFVGDRFVGEEGRKLLKYVYWSNGRETEDPSPDNKQCPGKDFVVLVGRLLLVELFLRYDTFTAEVSKELLGVKVVFTGVTKATSGPATK >Et_4A_032519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1118118:1141891:-1 gene:Et_4A_032519 transcript:Et_4A_032519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAEANWERLVRAALRGERLVGAYGQPVTGIAGNVPSSLGAGNVHIEEVLRAADEIQDEEPTVARILCEHAYTLAQNLDPNSEGRGVLQFKTGLMSVIRQKLAKRDGDAIDRSQDIAKLQEFYKLYREKHKVDELIADEAKLRESAVFSGNLGELERKTLKRKKVLATLKVLWSVIEDLTKEISPEDAEKLISEEMKKVMKNDAARTEDVVAYNIIPLDALSTTTNAIVNFPEVRAAISALQYHRDLPRLPGSFSVPDARNSDMLDLLQCVFGFQEGNVKNQREHIVHLLANEQSRLGKLSGNEPKIDEGAVHAVFSKSLDNYIKWCNYLPIRPVWDSTDSLTKEKKLLFICLYYLMWGEAANVRFLPEGLCYIFHHLAKELEEILRKHTAEPAESCISKDGTSRDEPFLDQVIFPLYEIIAAEAANSKDGRAAHSEWRNYDDFNEFFWSLNCFNLGWPWKLNNPFFSKPSRKDKGLLGRNHHYGKTSFVEHRTFFHLYHSFHRLWIFLIMMFQGLTIIAFNNGSFDTKTVLQLLSLGPTYVAMKFIESLLDILMMYGAYSTSRGSAITRVLWRFCWFTVASKALQDGTGSPIFKIYVFVISAYAGVQMIISLLTTIPCCHGLTEACHRWAVVRLVKWMHQEQNYVGRGMHESALDYIKYAAFWIVILAAKFSFTYFLQIQPLVKPTRTIISFRGLQYAWHDFVSKNNHNALTILSLWAPVVSIYLLDIHVFYTVLSAIYGFLLGARDRLGEIRSVEAVHRFFEKFPEAFMDKLHVPVPKRKQLLSSGQHTEVNKFDASRFAPFWNEIVRNLREEDYINNTELELLLMPRNDGGLPIVQWPLFLLASKVFLAKDIAIDCKDSQDELWLRISKDEYMQYAVVECFYSIQYILKSILRDEGCLWVERIFSGIRESISKNNIKNDLHFAKLPNVIAKLVAVLGILRGTAESGDLKKGAVNAIQDLYEVVHHEVLSVDMSGDIDDWTRINRARAEGRLFNNLQWPNKPELKDLIKRLYSLLTIKESAANVPKNLEARRRLQFFTNSLFMQMPVARPVSEIVFTPYYSEIVLYSMSELQKKNEDGITTLFYLQKIYPDEWRNFLSRINRDENTADSELFGNPSDELELRLWASYRGQTLARTVRGMMYYRKALMLQSYLERMQSEDLEASAGSADIHFELSPEARGQADLKFTYVVTCQIYGIQKGEGKPEAADIALLMQRNEALRVAYIDVVESGKKKPSKEYYSKLVKADIHGNDKKIYSIKLPGNPKLGEGKPENQNHAIVFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFSQKRGKHFPSILGVREHVFTGSVSSLASFMSNQETSFVTLGQRILANPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGSITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFYVTTVGFYFCTMLTVLTVYIFLYGKTYLALSGVGESIQNRADILQNTALNTALNTQFLFQIGVFTAVPMILGFILEYGVLTAFVQFITMQFQLCSVFFTFSLGTRTHYFGRTILHGGAKYKATGRGFVVRHIKFAENYRIYSRSHFVKGMEVALLLVIFLAYGFNDGGAVGYILLSISSWFMALSWLFAPYIFNPSGFEWQKIVEDFRDWTNWLFYRGGIGVKGEESWEAWWEEELVHIYTIRGRILETILSFRFFIFQYGVVYHMDASNADTSLLVYWISWAVLGGLLFLLLVFGLNPKAMVHFQLFLRLVKSIALLMVLAGLVAAIVVTKLSVTDVFASILAFVPTGWGILSIAVAWKPIVKKLGLWKTVRSIARLYDAATGMIIFIPIAICSWFPFISTFQTRLLYNQAFSRGLEISLILAGNNPNAGM >Et_2A_015412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12124789:12126836:-1 gene:Et_2A_015412 transcript:Et_2A_015412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFNRIFGKPKEQANSNALATLDKLNETLDMLEKKEKVLEKKAAAELERAKEFSKAKNKRAAIQSLKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGASADFDEDELEAELEELEGAELESQLLEPVAAPPVHPVQVPANRPATRPAPQKATAEDDELAALQAEMAL >Et_4A_033761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26601411:26619802:-1 gene:Et_4A_033761 transcript:Et_4A_033761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSEVARVRRDTLAARVTCPLCKGLLREATTIPDCLHSFCRECIMKKINDEEDDCCPVCNILLSCDPENKLRPDHILQDIRNKLFLSKRDSIKAPIAILPEKRSLASLVVQKVTGKRTKTTRRATPWGTFPDKNGTMVLPTNSEDQDHPTTTQSEPQHPKVAAASNKNQQNKDIGTRNKPLGSLGTNSLLGEVTTTPVWFSLEGRLKASSVIEKLLKNKVEITCHGVPICSSNTLNDLIEFRVRTEQGKPIQASFGGPAKEPDHILQDIRNKLFPSKRDSIKAPIAILPEKRKQRSLASLVVEKGREPKLQGEQPLVQLFPIKMEPWSYQLILKIKIIRLRHNQNHNPQEMGGAPEVARVRRETLAARLTCPLCQGLLREATAIIECLHAFCRECIMKEINDEDADRCPVCNIDLGCDPEEKLRPDHNLQDIRNKLFPIKRDSPKAPTAILPAKRKQRSLASLVIDTSNVEKKAGLTGKRTKATRQRTPTHATSPDKNETMKLPTKSESQDRKTEKQSAPQSPKVATTANRKQLTTGTNAPKKPLSEARKIGKTTDKEEIQKPSKTKAPRSSSKVRAVMEESIKNKEGELPIRKEDTENKADSFGTRVKKSRLEEGKKGSFSEPASSKEKLRTEDNLKQQSLGSASTNSLHGAVKTETGFQERRHKAASAIEELLENKVSSVQKYIMIKLDLANEDEVEITCHGEPICSSSTLQGLIDFCVRRELGEVIQASFGAPAKEFVMVLGYRRRQCAPAPSNTLTVPPAPILKG >Et_7B_055306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9175641:9183839:-1 gene:Et_7B_055306 transcript:Et_7B_055306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMHDANDSADDDFYSGGEAGLAASDDGDADYDFADHDSDDSGELLSHRLQQNYSILSEADIEQRQEDDINRVSTVLSISKSEACVLLRNYNWSVSKVHDEWFADEEHVRKVVGLPEKHNELPNDREVTCGICFESCPRSAMSSAACGHPFCSTCWRGYISTAINDGLGCLMLRCPDPSCAAAVGQDMINLLADDEDKEKYGRYLRRSYIEDNRKTKWCPAPGCEYAVEFVMGSGSYDVNCNCSYGFCWNCTEEAHRPVDCATVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWSEHGERTGGFYACNRYEAARQEGAYDESERRREMAKNSLERYTHYYERWAANQSSRQKALGDLQSLQNDKLEKLSDIQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGFYLPEYETAKRQFFEYLQGEAESGLERLHQCAEKELQVYLDAESPSKDFNDFRTKLAGLTSVTRNYFENLVRALETGLNDVGPSTSQGTSSKNTTSKSLGGKSKSGKNRASSTSTKSGGSTRGVDDSNVWTCDQCTFANPKSARVCQACDHKR >Et_4B_039603.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:23667697:23668110:-1 gene:Et_4B_039603 transcript:Et_4B_039603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METEQPSAAAARRPNATAPLHLVFLPFLARSHFTPLAAKAAASAAASGGEGGTTTTTTASIVTTPHFAALAPPSVPVHVAPLRSPVAHEDFSRLPSDPAAAQAFFAAAEAALAPALASVLRAHDDAAPVAVVSDAVF >Et_5A_040988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16159561:16161245:1 gene:Et_5A_040988 transcript:Et_5A_040988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRTKRRDGDAAEAPKGAATETGVVEERDMVSEKGAGDAAAAAEDDVVIGASVHCDGCAIKLRRSLQRLDGAGEVSVDSRSNKVIMRGPRAVDNAREAMQIVERKTGKKATLLNPPLDKLPLPVVKGAQTKKDDADGDLANEIADVDTEMVVVLRMNMHCEACSEEIKRRILKIEGVKEAVPLLKSSQMMVKGMVEPATLVGFIRNSTGRKAAIIRAEPLDLLPATKSPPMDAPVMEAETKQQDPSDNLGEKKDGHDKEVSEAPPQEEDHAGEKLEAEKPSHDHGAEGHDTHDGDAANNGGDGVVLENNKKDNRLFTVPLPPGVVTVAPEMALNKLNPYYAYQAYPYPYLHQYYQCPPPYYPYAYNPPAMYGYPQYPSEAFSEENPNACTIV >Et_9A_062424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22740861:22742716:-1 gene:Et_9A_062424 transcript:Et_9A_062424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LVPCFLYALTIKHASKKFRKQATANNNKGTYSISCFSIPFSRCFRYPNPSLQASSLVSLPLLLFSSRSYLPFVLLFLHLSSSFSMPPKHMTSHLRLSGMSGHFAVMAGRLLTESTLQSTIDEASGVHSSTMTACDEAVPDHRPRSGALVECRICQDEEDEAYMETPCSCNGSLKYAHRRCIQRWCDEKGDTICEICLQQFTPNYTTTSKLFQHGRNSVFFSTHGYIQERPMLTADQTSTSYEYDGQTPTPTGVICCRIIAITLMLLLVLHDAFSVFFSNQSVYTIAMVTLLMLRTAGIVIPVYIILVTVTELFRRRQRQAVRDQVSESVGVENTQPRPQPTQQLVISIQ >Et_10B_004467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8382390:8387121:-1 gene:Et_10B_004467 transcript:Et_10B_004467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRERDRDRELLLPVVAGEHAAVVDEDSLPTTPVIVDSSPRPTSARGHHIHHPTGIERSVLGRSVILLPIAITFYSTWWFIRFVDGFFSPIYIHLGINRFGVGFATSITFIFLVGVFMSSWLGASLLGLGEFFIKKMPLVRHIYSASKQISAAISPDQSSRAFKEVVIIRHPRIGEYALGFITSTVTLRGANGGGDQELACVYVPTNNLYLGDIFLMSHADVIVPDLSVGEAIGNNTLACLQPFSLCLRRCLRSPYSWELVAEIVLSGGMSVPKIISAVEEVVGVSGHGRAVKGCQSGGPLSVRRPIASGEALMTPTPLLFK >Et_4B_038445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29014030:29016935:1 gene:Et_4B_038445 transcript:Et_4B_038445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYERFVVPEGTKKVAYERDTKIVNAASFTVEREDHTIGNILRMQLHRDPNVLFAGYKLPHPLQYKIIVRIHTTSQSSPTQAYSQAINDLDKELEYLKQAFEDEKNRYEERMKQGY >Et_2B_020732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23065005:23071358:-1 gene:Et_2B_020732 transcript:Et_2B_020732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQAAGSPASVAAALGFLLPSCWEIEVTCAAAMILVALYAAYELLNPRPASPNGASSSPADDILLARDTDGADKYKGGSSGPSAYVVKLELLAAKNLIAANLNGTSDPYALITCGGEKRFSSMVPGSRNPMWGEEFNFFVDSLPVKINVTIYDWDIVWKSTILGSVTVPVESEGPSGPVWYPLESTSGQVCLHIKAIKVHESSSRALNNSAEADARRRISLDKQGPTVVHQKPGPLQTIFGLPPDEVVDHSYSCALERSFLYHGRMHVSSWHICFHSNVFSKQIKVVLPLRDIDEIRRSQHAVINPAITIFLRMGAGGHGVPPLGCPDGRVRYKFASFWNRNHTFRALQRAVKNFQTMIEAEKQERAQSALRAHSSSRKNSKKEINVPEDCAALTGQLQPFVKDDVLVPVFDGTFPCTAEQFFSILLSDDSNFLTEYRTARKDKDINLGQWHLADEYDGQVRELNCKSICRSPMCPPYSAMTEWQHMVLSADKTDLVYETVQQVHDVPFGSFFEIHCRWSVKTTNSSSCSLQISAGAHFKKWCIMQSKIKSGAVDEPVHR >Et_6A_047657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9181780:9198975:1 gene:Et_6A_047657 transcript:Et_6A_047657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGGMLASAVLKVVVQQIGFAITGQIKLHGNFSDDFRKMKMALESVEAVLKDAERRSIQDAAVRLWLKRLTDTMYAISDMFDEVEDDTEPAQRKLAAVVPNLSIGPKITMVIKMKNMRKQLENITNQHLNFSFKTDNISNCQTIPDERETDSYIEDNTHWRTEEKRKIIASFSTGMPQGIIILPIYGIGGIGKTTLAKLIFNDTQFKDYSQVWIYVSQIFSLNKIGNSIISQLSNEESNIGKDIAVKCGGVALAAQTLGYMLKYLTFGEWESDASTLEDESSMHRNVLACLLLSYSSMLAALKLCFVYCAFFPKGHKIVKDDLIYQWIAHGFITPSVMFSARQIGSNYAKQLLGMSFLQHSKASSVTRLHHDDVTLFSMHDLVHELARLVMVDDVLEARKSGSIGKSCRYAVLPDSSSSLKQYVASPDKIRALRFLSYGGIGLRGIAFSSAKYLRVLDLSECYIPKLPNCLGKLKQLRYLNAQRVQCKVMPIGMTKLTKLIYLNLRGSMITTLPKSIGQMKGLKHLDLSYCLKIVKLPESFVELKELVHLNLNNCRSADIFLELLMGLKELVYLDLSKCHCVKGTANALGELTKLQYLDLSETFMGRENLSELQKVMSNLTELHYLGLSSMCSIVPDLLTAEMDTFIYYISSLPNLEHLKLSHNPTIVTLPESIGCLRKLCSLDLSSCRNLKSLPKTMVKMDSLRILYLEGCYNLDKSNSGQLGFLGLSNLVVHYGLDHLRADLMFQHANPHKKLKISSLERMKSTEVAQSIEQMRKQRIEKLDLEWNRDEERFVEDMEVLEKLLPSITLKYFELRGYNSVCFPPWVMSINQYLPYIVRVWMCGLPKCKNLPPLGWIWYSSRFTTRAEIESAVMPKLEAMLVSSLLNLVSQQICAAIGGQIRLQLDFDKDLKKMKMALESVEAVLQDAERRSIQDATVRLWLKRLKDAMYDISDMLDEFEGAGSKSSGRKLVAMISCIKIGPRFSMANKMKTMREELDNITKEHHHFRFTADVSSISQSVPDERETDSYLQDEALIIGRTEEKMIIMDRLFESTTREITILPIYGIGGIGKTTLAKLLFNDTLFKDYSSAWVYVSQIFNLSKICNSVISQLSKQESHIVEKQMMRTRLRELLAVAGKRVMIVFDDLWEEKESQLDELKGMLTFGDGGKVIVLVTTRDEAIANKFCTVKPYKLLLLTEEMCWDIIKQKSAFEARDDKERLELIGRDITTKCGGVALAAQALGYMLKPLTFGEWESTSVVHGSFTPVFTMHDLVHDLARSVMADELLDASKSENIGVKNFRYVLTDCSKSLNLVGISPTKIRALRFLGRGSILLHSAEFSLAKYMRVLDLSECSIQKLPDCIGEFRQLRYLNAPMIQNQMIPLCITKLSKLNYLNLRGSSVTSLPEAIGEMKCLMYLDLSYCQQIYELPYSCSELKQLVHLDLSNCPGVEIKPELIAGLKELVHLDLSECNYIQGTGKALGGLTKLQYLNLYKRFSRLGNLVGLHEVISNLTELRYLGLSGSLGSIFCGTLSSDELGNLFDCISTLSNVEHLDLSRNNIVTYIPESIGKLRKLHTLDVSNCDNLKSIPESMADMDNLKILNVKGCDELDEPKIIRSNSFAMLPHFIVHADDGASSSNIGLLRLANPDELKITRLELVKSAEEAQNINLMKKRRIEKLKLYWSADCERSVEDIQVLRELVPPNTVKEFEIKGYNSKHIPSWVMAIAHYLPNLVKIEMEDLPMCNILPPLGQLQNLEKLVIRGMQSITNIGMDFCGGNVGAFPRLKEFELRCMENLEQWNTMYAHGQGGSMEFMFPNLKELTITDCPKLRLKPHPPRAKNWMIQNSDNVISSWGERGHTGAFFFAPGTSLQVISCDVPLHEWRLLHHLLGLAHLKIVHCSDLSSSTQIIKDLLTLQELHLTPYIRDNDQPKLPEWLGELSKLRTLVIDGYPELDVPAETFKKLTSLQSLRLLRCKSMKTLPQWLREVTSLKQLEISDCPHLCNLHEGMRRLTSLQSLAMKNCESIPSLIEWLGSLLALEELIILDCKGIMSLPDSIEDLTRLEELQISGCPELKQWCDSTWKLARIKEKTRRKKVRWDICDWPPANSRSAVGRFTRSTRPATFLGHSVAARRSTISFPLARGGESAPLDPKGLSEERPAGRTEWRRRRTLRDVQEFHRNEKDVVDFLWGLGRAGLEIQCPLAELAQEISG >Et_5B_045034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8639275:8646653:-1 gene:Et_5B_045034 transcript:Et_5B_045034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAGRKAAALAALSSPAPDKSPKGGVDAPIAPLLDMLNSHPDLFTTSSCSGRVSILAQPQEGQGSKTKKKARGGGWVYVSHDPADPDAVVELLFGGSGSGGAGDELVFRFEPMIVAVECRDTAAAVALVAAAVSAGFRESGITSLQKRAMVAIRCSIRMEVPLGQTGELVVSPEYVRYLVKIANSKMEANKKRMDGFLDLLKTKVVSYFPMGLPGSSGLLNHCNGNNGQCIDHKTPLGPEIKMPFDANDGDDCEIVESSIEAQYLENQDYSNGAKLVQEKLSENENDHLFPVALHISGEPTEKLFLWGQSACVFTVGGEQQVLTFGGFGGPGRHARRNHSLLLDHKSGLLTEMNYKNSPSPRMGHTITAVGNSIYVIGGRGGPAEILDDVWVLQSSEHTWSRLECKGNFFRPRHRHAAAAVASKIYVFGGLSNEVIYSCMNILDTESMQWSVIDAAGEWPCARHSHSLVSYGSKLFMFGGHDGQHALKDFYSFDTITLTWNKETTIGRTPSPRFSHCMFIYKNYLGILGGCPITENNQEIMLLNLKHRVWFCLFIPSLSQCLCVRSSSVVIEDDLVIVGGGASCYAFGTKFNQPMIIDLHSVEFMFKHDDLVNCNTISTVDLPRGDQIGKFGEAMKSKSNAPAVTDSASLVLKLEKKYAKLAKDILKKFGWLDLSRKVRMSHDNCHVLFPVSAAFQVLYTDEHHNMLRDDLCPFGKSLVFTEKNLVGDNLSLQKALEILLSCHGSFLRDELAINRKPSKSPQIIMKELVSSLLEKKGMPPQLLDQLPARWETLGDIIILPKTCFKDPLWESVSEELWPLVAKALGAQRLARQGKIMPNGTRDSTLELLVGDNGWVTHHENGICYSLDATKCMFSSGNRSEKLRMGQLNCRDEVVVDLFAGIGYFVLPFLVKANAKLVYACEWNPHALVALRRNVSDNHVADRCIILEGDNRMTAPKGVADRVCLGLLPSSECSWATAVAALRVEGGILHIHGNVNDSDETSWLDNVVASISNIAKAHGLSWNVLVEHVERVKWYGPHIRHLVVDVRCRPV >Et_2B_022806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5630184:5631531:-1 gene:Et_2B_022806 transcript:Et_2B_022806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGVLKTREVFHHMIHIDSLPNKGAMAISIRFDLETGLREEVQRSVTFRGGGMTLRCFTNSCI >Et_1B_014178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34236554:34237690:-1 gene:Et_1B_014178 transcript:Et_1B_014178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTILDNSVSSMQQLCAAVMINATTSSCKNPSTALMTHVTIFAAPVVQRTHLGIDGFNGSAALAVTNGGHPKALTSPSQGKRP >Et_7A_050321.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:25453531:25455177:1 gene:Et_7A_050321 transcript:Et_7A_050321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNVAGVNWAPVLVAGGNLPNPVLLLFLVTALGFNLSKPHHDIDVKMTVHSTLVAIRAVIVRNNVNMDPSVRYGTLHAIQYCLYNEAFHQVQRHGGSVRLWEEEGQLKAVRLICMFLRYSPVNITDARSVIAFASLMLSTYDTVVRACTVALLSLPSIVPSFVFAVAKSYCNQVKGLPPQSFRQISSVVAMLERLKHTSLSMMDQPGFNSMAIDVLQALENKNLFLQRKVLNLVVSLLTPLNVDNVLLVLRNKLEMAASADTPFEYYQMLEGAIRDCRFAYPDRIMPFIRDPKYIAFVDCIDYIKDIVDKNPMMCAQLLKGFLTVLRHVRSSPVCSAIVWGISVCSVHELEDQGAIVAITNLFKDLLDQHEIGKLINDREEVQHDYILHNNRNSAKEGDAYGMHQQHKMEMEELFVHLGLAQQVNGDYAVASSSKSRANHDEFESLFVELELEPTDNLAWVVRSGDALLADFVLDVLSRLREMGTE >Et_4A_034595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4674147:4675123:-1 gene:Et_4A_034595 transcript:Et_4A_034595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQEEERLLFPSSFAFVPESFAEADTAGSGGEQKKARQRRRRKPKPAADGAEGGDEQAKKRRLSDEQAQFLEMSFRKERKLETPRKVQLAAELGLDAKQVAVWFQNRRARHKSKLMEAEFSKLRAAHDAVVLQNCRLETELLQLKDRLAEAEEEKMKLIAATAAAATGGAGSSSPSSSSFSTVTNPAALVGQFGVEEEAAADLSYMSDYAYNNYMMDFAAAAAGGGYFGGVYDHQFN >Et_10B_003603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3667055:3669937:-1 gene:Et_10B_003603 transcript:Et_10B_003603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSYLIDGADSHISFSNFRVMCLFVRHYGHSFSLATVFNEAGGADSSRSEKTIGLDEPLDWSWSGWENAMDVGVIDSRDGATRLIVISEDNSAKVFSRLDGGEWALEKRVLLSEVTRDLSGYQPSFFNRRLGILTYGPGFVILTAQRTAPWFFSIDLETMKVALAASDMGHIVHQSELPWPPALNACLGN >Et_6B_049579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6792438:6796701:-1 gene:Et_6B_049579 transcript:Et_6B_049579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTTNTSDGAKSSSCPRGHWRPGEDQKLKELVDKYGPQNWNSIAEKLEGRSGKSCRLRWFNQLDPRINKRPFTEEEEERLLAAHRAHGNKWALIARHFPGRTDNAVKNHWHQPAAPPLSITFSSPREALVGHHGLLHGGNDIISKREEYHGDAGGDTPTTRKDVPFFDFLGVGVSSRWTEGLEAPVLINMEDHVDVKHPEGEHLECRYTANTGYNNEEVVQHHTVEPCGSQGEKMVSDAKKLYPVTKLDQVGETRGGVAKIKGNSENASAQR >Et_7B_054132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15878003:15879485:-1 gene:Et_7B_054132 transcript:Et_7B_054132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLWCAKLPLKVRIFLWQLKASHIMFECELAKYVWCVIREGLNRNTTPDNVQEYMQNHYEIGEGLSIISSPSAVVHRVLSFMQSWAILNRAQDKLHTEQATSKIREAIPGRMEDLEQTLAPESRLA >Et_5B_045038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8764325:8768490:1 gene:Et_5B_045038 transcript:Et_5B_045038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSLIDVSAEDDLFLGLASPPALPQPPDRDPPRAGFRMGADAVADLCPAGGSPAAPGRAADPDGVTEDQVGLERTESPKQRKAKTGVNLRKSLAWDSAFFTSEGVLDTEELAIVNSTFRKTQGSRLPGITEESRRSGESTTSTLESESWAMESIETQLFDNVRASIQRSLGKPSKVTSGPPASSKPPKATANATHVAARKAVERMPQMKIRAPVSTSHGIGGNQRPQVTSKEPTAKRATLPEAGEAEPSSKPPRALPRVATMRSSTNTCITSTISDKRSSTGGVVKRQAVGKSANTSAGVRPGGAMKSSSVSTSSGFTSAATKADANTKSAALNNTNRSAQRIPIRSSSRSDCSKTNPTRLSGNKIPARVHSGQASPSISPNSSIDSMSSVISGASTASTVGKASHTSESLSSALSPSLRKSNDHPLTTKLRPSNVTEGQSSGTSVSGDSLIVTAGTTNLGKNSKPSGLRRPTPNIGFFGAEKGIDQNSGAQMQVQSTKIQRLLPATPKSKLSSNQIMNTSPSSFGRQESKSSAPPEQSSASKSKAGKVLSSKVAQMEVVPFQVAELEVCTHHTASVVAEPEACQLPATPNSQRSSTQTKIPASSPISQQEAKATSVLHDEIFVSTSEAVIVVAEPESLSHETVAVVAELDAEKSIEQNIGGQVQEQAMKIQLSLPGTTKSELSSTQATNPAPSALDDHESRPTAAPHEESSALKGKIEKVPLNVAKAEPFDVSHLKVEETEAVKVAKPEVVTTSENIPALHQNIQANDDASALSVDLLIQKLSSVSMGPAKVVEHEVATTAEASKENIPALHQNIQANDNASALSVDLLIQRLSSVSLGPAPDMTS >Et_6B_049587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:706294:709754:1 gene:Et_6B_049587 transcript:Et_6B_049587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEQVKELLASVVGEIRSYAGSDPLRPWIRGVRKMEKELPPETLREKLPRFLQKCAEEFQDHARYRDDARYVRVWIQMMDYVKDAKPLLKKMEQRGIGHKRASFYMAYALYYEKNRRFEPAEKMYRLGIQNLAEPIGELHKAHEQFVHRMELHKQRKELKEKMSSKTGSNATSTQQTEGESINCKVQKSSTMQKSGSSSKPSLGCYPPIGPVKVSMLSRGMSDVYKNLSRCNSDDTVVVRFVGSALVGRSETEDACHHGLVEPTINTKEAMDTINNQPDGNNPNNVHNSAMMQGRPKFSRQTRGFEIFADGDSPNGNNQNAVQDRNSEKENTKLNQKTGTLEILVDEYEANGNVKNGMCPESKRPPRPLCDSSRHQGKTEFQKPFVGGFAILPDDEDEQCENMKLNQDASGFEIFVDEHEANGNVKNAMHCKNKMSHPRPISDSSSQRGNSDFQKPFIGGFAILPDDEDEQRDKNSSSMFCSEQGDLETRCHEGSQPVISGLREDTVIRRFIGSTVVDEPKVENACHHGLVDPTVNLKEAMNDINSMFGKPLNFKGEKAKNRKINALSNKIAAPVSGFSILAVDDLEENSTGKANQSSSCNSGAENGLFEPTITTRDVMAEINDMFGMPLDF >Et_3B_030610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5298844:5309411:-1 gene:Et_3B_030610 transcript:Et_3B_030610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTTSCHFSSPFLPSVPTPRRTPAVPLRRIRRPRLVAVASVPDPAEGPVEYTPWLIAGLGNPGDKYYGTRHNVGFEMVDRIARDEGITMNTIQSKSLLGVGSIGEVPVLLVKPQSYMNYSGEAIGPLAAYYQVPLRHILLIYDDMSLPNGVLRLQRKGGHGRHNGLQNVIEHLDGCREFPRLSIGIGSPPGKMDTRAFLLQKFSSEERLQIDTALEQGADAVRTLVLKGFSGSIERFNLVQKYKFHRSKKRFGQNKAVIDDNYITTSPLSNN >Et_6B_049621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7285711:7290617:-1 gene:Et_6B_049621 transcript:Et_6B_049621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSRHTAAAGTAPRPLVTTAIILVLPALLLLATPAAASDPPFSCGPSSPSRGLPFCDRSLPAARRAADLVSRLTVAEKVSQLGDEAPGVARLGVPPYKWWSEGLHGLSYWGHGMRFNGTVTGVTSFPQVLLTAASFDQGLWFRIGQAIGREARALYNLGQAQGLTIWSPNVNVFRDPRWGRGQETPGEDPAVASRYAVAFVRGLQGSSSTGGAPPPPLQTSACCKHATAYDLEDWNGVARYSFNARVTAQDLADTFNPPFRSCVVDGGASCVMCAYTGINGVPACASSDLLTGTFKRSWGLDGYVASDCDAVALMRDAQRYRATPEDTVAVALKAGLDLNCGTYTQEHGMSAFQQGKITEKDMDKALTNLFAVRMRLGHFDGDPRGAAPYGSLGAADVCTADHKNLALEAAQDGIVLLKNERGILPLSRAAVGSAAAIGPNANDPTALTGNYFGPPCETTTPLRGLQAYVRDVRFVAGCDSPACGYAATAQAAAAASAAEYTVLFMGLSQKQESEGLDRTSLLLPGKQQSLIAAVAAAAKRPVILVLLTGGPVDVTFAQNNPKIGAILWAGYPGQAGGLAIARVLFGDHNPGGRLPVTWYPDEFTKVPMTDMRMRADPATGYPGRSYRFYTGKPVYKFGYGLSYSKVSHRLVAGAGKNPAAPGTSLLAGLTERTGDSASYYHVDDIGEDGCEQLKFPAEVEVQNHGPMDGKHSVLMFLRWPNATDGRPASQLIGFQRQHLKAGEKARVRFDVSPCEHFSRAREDGKKVIDKGSHFLLVGKDEWEISFEP >Et_3B_030686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6363658:6399242:1 gene:Et_3B_030686 transcript:Et_3B_030686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGHVRDDDQRPQASGNQFAGGVASKATAMARATLTVSLLLLPASIMLISTLVVAEDDGDKAALLAFKAAAASGFSDGPLASWNSSTGGYCSWEGVRCRGSRRRVVALSLPSRGLTGVLSPAIGNLSSLRVLNLTSNSLSGDIPASLGRLHRLRALNLSLNAFSGEIPANLSSCTSLTILGLQTNQLRGRIPPELGNKLTRLTTLVLWQNNLVGAIPATLANLSSLGFLSLAFNQLEGAIPPELGSIADLHHLDLANNLLSGEPPRSMYNLSSMRALQIQGNMLRGEIQPDVGRFSNMHYLNFADNQFAGSIPASLCNLTILRFLEATNNKLTGYVPRTLGKLQTLQYANLGNNMLEADDGNGWEFVTSMSNCSQFLQLNLFSNAGLMGQLPNSIVNLSTTVQMLLFDETGISGRIPSDIGNLVNLNGLNVANTFIDGTIPESIGKLANLNMLRLYNTFLFGQIPLSIGNLSNLTLLDAHHANLEGPIPSTIGKLTNLLALDLSVNRLNGSIPGDIFKLPVISIYLNLSYNSLSGSLLTEVGNLRNLNNLDLSGNQLSGEIPNSIGECTVLQEIRLDSNSFDGNIPQSLTNMKGLTTLNLSKNKLSGIIPEGFGKFHNLQQLYLAHNNLSGPIPTLLQNLTSLSKLDLSFNNLQGELPKEGIFRNLNNFTIAGNSELCGGVPQLHLPPCHTNPTEKNNKGRAKTLIISLAATGALLFLAFIIALIHLLYKRNFRQKQKIPYLPPIIDERYGRVSYNALANGTNGFSEANLLGKGNFGAVYKCMLEDEGITAAVKVFQLEHIGSTRSFVAECEALRRARHRCLIKIITCCSSINHQGQEFKALVLEFMPNGSLNDWLHPKSDLPTPHNTLSLAQRLDIAVNIMDALDYLHNHCQPPIIHCDLKPSNILLAEDMSARVGDFGISRILPDSASKTLQSSSSTIGIRGSIGYVAPEYGEGASASTLGDVYSLGILLLEMFTGRSPTDDMFQGSLDLHKFFLEKRQPRERTPIKDAVLEMQAIRDSYLKCATSLVLENRKQAVMAMRSFSMLLLLTLAASMSISTPMAAGSDDEATLLAFKAAAITGRYDDPLASWNGSTGGYCSWEGVRCRGRDQRVVALSLASRGLTGVLSPAVGNLSSLRTLNLSFNGFRGDIPASLGRLRHLQVLDLSRNIFSGEFPVNLTSCINLTTIFLRYNQLHGHVPSEIGDKLTGLKVLSLDNNSFTGAIPASLGNLSSLYYLEVALNHLEGTIPSSLGNMQSIQYLNLAYNNLSGEPPLSLYNLSSLRWLQLQENMLHGGIPADIGNRLPSILVLGFYSNYFIGPIPASLSNLTTLHTLDLSNNSLSGYVPRTLGRLHALGYLDLDTNRLEANDNEGWKFLASLSNCTQLYFLDLSSNAAFTGQLPSSIVNLSSNLQTLSFADTGISGSLPSAIGNLASLEILRGQNTFISGAIPESIGKLGNLTKLFLFNTNLSEQIPSSIGNLSKMIQLDAHHANLEGSIPASIGKMKNLNILDLSRNRLNGSIPEDIFKLSLLSICLNLSYNSLSGPIPSEVGNLDNLNKLALSGNQLSGEIPDTIGHCTVLQELWLDNNSFTGSIPQSLSNIKGLSVINLSMNRFFGYIPDDIGSIQNLQLVYLAHNNLSGSIPIGLQNLTSLSELDLAFNYLQGEVPKGGIFRNLSHFSITGNNDLCGGIPQLHLSPCHTNLEKMNRKGHLKYLTIALASTGALFFLATIIALIKIIHNKLRRKQKSQFLPPIVEEQYERVSYQTLANGTNGFSEDNLIGKGSFGAIYKCTFQEQGTTTAVKVFNIEHSSSAKSFVAECEVLRMVRHRCLIKIITCCSSIDHQGQDFKALVFEFMPNGSLSDWLYPKSSMPTLGDTLNLEQRLDIAVDIVDALDYLHNQCQPPIVHCDIKPSNILLAEDMSSRVGDFGISRILPESASKTLLSSNSTIGIRGSIGYIAPVGTLMYTMFCTFKEYGEGATVSTLGDVYSLGILLLEIFTGRSPTDDLFQGQLDLHKFSDDALPERIWEIVDTNMWRHTDAYDSYTKSRTENCLISVMALGISCSKKQPRERKLIHDAAIEIHSIRDSYLWLAKPLLMDPEGQPAGMAMWSPSLLLLLTLSTAMSISDAHAIDEAVLLAFKAAAISGGHEDPLASWNGSTGEYCSWEGVRCRGRHRRVVALSLPSHGLTGVLSPAIGNLSSLRTLNLSSNGFSGDIPASLGRLRRLHVLDLSHNTFSGELPVNLTSCINLKTMLLHFNELHGRVPSGIGDKLMGLRLLSLAKNNLTGTIPASLGNLSSLIVLELGFNQLEGTIPPNLGDILIIQSLDLAYNYLSGEPPLSLYNLSSLQSLQLQGNMLHGGIPIDIGSMFPSIQTLDLFSNQFTGPIPSSLSNLTTLHRLHLGANSLNGYVPHTVGRLGSLQDLYLRENNLQADDREGWEFITSLSNCSQLRRLVLSCNAHFTGQLPSSIANLSTTLQVLEFDDTGIYGSIPTAIDNLVGLQLLSAANNTMSGVIPESISKLGNLVVLGLFNTHLSGLIPSSIGNLSKLNVLDAHHAKLEGPIPASLGKLGNLVHLDLSWNHLNGSVPIEIFNLTLLSSSLDLSYNSLSGSIPSQVGTLRNLNNMVLSGNRLSGEIPDSIGECTVLQQLQLDSNLFLGIIPQSLWKIKGLSTLNLSMNKLSGTIPDGIGSVKNLQLLYLAHNNLSGPIPAVLENMTSLSELDLSFNNLQGEVPKEGIFRNTTKFSITGNSELCGGIPQLHLDQCKNNTVKKNRTRWLKYLAVALAVSGAVLFLTIAIALFQLVKKLRIRRKSSFLAPITEEHYQRVSYHALANGTNEFSEVNLLGKGCFGAVYRCTFQGGETVAVKVFNPEQSGYNRSFLAECEALKRVRHRCLIKIITCCSSINHQGQDFKALVFEFMPNGSLNDWLHPKSDMGTLRKTLSLEQRLDIAVDIIDAVDYLHNHCQPPIVHCDLKPSNILLAQDMSARVGDFGISRVLRECVSETLQSSNSTIGIRGSIGYVTPEYGEGSSVSTLGDVYSLGILLLEMFTGRIPTDDMFRGPFDLHKFAEDAFPDRIWEIVDSTMWLHADTCDNTKRSKTENCLVSVIALGISCSKKQPKARTLIESAAAKMHAIRDSYLIFYGEGSSISNLGDAYSLGIFLVEMFTGRCPTDDMFQGTLDLHKLSEDACIKRIWEIIDSTMWLHQDIHDNTARSAIQDCLISVVALGISCLKKQPRDRTPVHDVATKMHSIRDSYFNFARSLVADNGIGSSQEFKALVFELMPNGSLNEWLHPQSGMGTLRNTLSLAQRLDIAVDILDALDYLHNHCQPAIVHCDLKPSNILLAQDMKCASETLQNSYSTIGIRGSIGYVAPEYGEGSPVSTLGDVYSLGILLLEMFTGKSPTDDMFRGQLDLHKFSEDALPNRIWDIAALLAVKAAAVDGGNGDALASWNASAGGYCTWEGVRCRGRHQRVVALSLPSLGLAGFLSPAVGNLSSLRYLNLSSNALTGGIPASLGRLHHLHMLDLGYNKFSGELPANLSSCTSLVVMRFQSNQLHGRIPYELGNKLTRLEILILRENNLTGAVPESLANLSSLRIMSLSFNHLEGIPHELGNMRSLKSFDLAFNLLSGELPRSLYNLSSLEALQIQGNMLSGSIPADIGNSFPNMLILILADNQFTGSIPASLTNLTTLYRIELSMNRLGGHVPRAMGRLRDLQRLYMFQNDLEADEKDGWEFISSLSNCSQLLELNFANNSFTGKLPSSIGNLSTTMQVLHLEDNGISGTIPSTIGNLVSLRMLGLGDTSISGMVPDSIGKLGNLGQLGLYNTYLSGPIPSSLGNLSKLSELLAEYANLEGTIPASLGMLENLIALDLSNNRLNGSIPPEIFKLPPLSKFLDLAYNALSGPLPSEVGSLKNINNMDLSGNQLSGELPERIGECIMLQGLWLDNNLLEGNIPQSLKNIKGLTLLNLSMNNFSGTIPEAIGTIYSLKQLNLAHNNLSGPIPTLLQNLTSLTELDLSFNNLQGELPEEGIFRNLAKLSITGNKELCGGIPQLHLAPCPKNPMKMKKDKKKMKSLTIALATTGAFLSLAFVIALIQLIYKKLRKRHSLSTIEIADEVFERVSYHALTNGTNGFSEDNLLGKGTYGAVYRCTFQDQGTAAAVKVFNLEQSGSTRSFVAECEVLRRVRHRCLIKIITCCSSINHQGQEFKALVFEFMPNGSLNDWLHPKSDLPALNNTLSLEKRLDIAVDIMDALDYLHNHCQPPIVHCDLKPSNILLAEDMSARVGDFGISRILPERASTTLQNLNTIGIRGTIGYYGEGASVSTLGDVYSLGILLLEMFTGRSPTDSMFQGSLDLHRFSENALPERIWEIADTTMWLHTAAHDSTVRSRIENVLVSVIGLGITCSKKQPRERTPIENAVLKMHAIRDSYLKFATSLGLENGVAATLQ >Et_1A_004789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5337870:5338484:1 gene:Et_1A_004789 transcript:Et_1A_004789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QKAQHGTGAQSLRRGKLGDGLGALGDGVLGELAGEDETDGGLDLAGGDGGLLVVARELGSLAGELLEDHLEDVDLVRLHALLRLLLPLLVAALLAGGLARREPLLRLGLLPRRGLLRLLGGGLLLRGLLGRRLLLRLGRHRC >Et_6A_048003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3204566:3205088:1 gene:Et_6A_048003 transcript:Et_6A_048003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIARNETGIFQRASVLVVEGVSDPEMAEAIACRERLALARRAGRCSWTPHSHGTFLYDAGERCVVTLPDIHATSNRRWCPPIFLSAAGDGDKEDDRVYIINKRFEARSQPGGEQVPVTGPGLPSRPLVVVLLTKLEEQ >Et_9A_062318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21884826:21887355:1 gene:Et_9A_062318 transcript:Et_9A_062318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETSARDEGPAVCKVVAADAGKVAGVAASARRRRRLELRRLGRTASAAAENEAAKRIRSGAARDRTSSDSSADTTTGPGGVQGWPPSLSHGAVSVIGRRREMEDAVAVARTFLADADAGCDGGEEDFFAVYDGHGGARVAKACSERMHVVLAEEVGRHQRVGAAADDVRWKDAMLASFARVDGEVTGGLAPLKVAAADADADTPFRTVGSTAVVAVVGHRRIVVANCGDSRAVLSRGGVAVPLSTDHKPDRPDELERVEAAGGRVINWNGYRVLGVLATSRSIGDYYLKPYVSAEPEVTVVDRTNQDEFLILASDGLWDVVSNEVACKIARNCLNGRAASMFPETVSGRTAADAAALLTELAMSRGSKDNISVVVVELRRLKGCS >Et_9A_061802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16638042:16644226:1 gene:Et_9A_061802 transcript:Et_9A_061802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSESPDWSSLPCDLLISFLRLLDAPSALAYAGVCAAWRAAAAAFAGPCGDGTLERDAALPPRRGERLLPDRALPRARTRSLSCCGASHGWIIASDERSNLVLYNPFAPPSAANFIPLPPITDFECIRPGYSSDGEGRITAYVHNEYQGWGPESLGSYFYQKAILSCAPSPTSSDGAAYTAAAIHCESRSLSFAKAGDTEWREAWTLGEEEALRVPYSFWEDGVHITTNGTEYDEYCDVVHHDGRFYTVTKHGTVESWDLSGPNMEPEREVLGRKLGYAGDEIVFFRHLVSTPWGDLLQVRVLRARNLEKYPQGVRVRIGKIIPTGHRMVELRPAKALRGHAMFLGLNHSACVHPGEFPGLRPDCIYFTISSFMEDSRPWYFGWSGVKIYNLKNNTAEDAFANFRGKNPRFPPPAVWIIQNHNRAEISFCCSLLNLSLGDYPDWSSLPCDLLIAVLRLLDVPSALAYAGVCVAWRAAAAASAGVPLARTPWLLSWDPDWYYDPEDRTEVGPTSATLRCLLGAGNASFPTAPFPRARSRSLRCCGASHGWIVASDESSNLVLYNPFAPLSAANFTPLPPVSDFDDCLLTSYSSDGEGRVVAYIHDGHSGWGAESLGSSFYQKAILSCAPSTASADGAAYTAAVIDCHRRSLSFAKAGDTEWRQAWTIGEEETVEIPISFWENGWHINTKVREHDEYCDVVHHDGRFYTVTKHGTVESWETSVTNMEPGMTEVIGRKFGYSEDEIVLSRHLVSTPWGDLLQVRALRVRNLEKYPQGVRVRIGKIIPTGYRMVELRPAKALRGHAMFLGLNHSACVHPAEFPGLRPDCIYFTTPAFVEDSLPWPYGWSGVKIYNLKNNTAEDVFPNFRGKNPRCPPPAAWIIKNLNRPEISFCCSLLNLSLGDWHINIQLSVL >Et_6B_050026.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:444264:445232:1 gene:Et_6B_050026 transcript:Et_6B_050026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKPATPPKRLATLVGCNYAGTPHELRGCINDVLGMRDLLVARFGFAAGDVAVLTDDARGAGAVLPTGANIKRALGDMVARAAPGDVLFFHYSGHGALVPPVMPEHRGRGREDEAIVPCDFNLITDVDFRAVVDRVPHGASLTMVSDSCHSGGLIDNEKEQVGPDSVADLAASNARTLRARFIPYDALVEHLAGASGVDASHHAGDHLLALFGADASAKFHHKHRHRHDSTPPAPRLDDEGILLSGCQTDETSADAFLRGKACGAFSNALQGVLAAHPAPMSNREVVTRARAVLAQQGFQQHPCLYCSDANADKPFLCQQA >Et_6B_048238.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:14759485:14759763:1 gene:Et_6B_048238 transcript:Et_6B_048238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGMTPPPAMVPLMRVSSSSSPRMASWRWRGVMRFTLRSLLAFPASSRISAVRYSRIAAEYTAAVAPTPTVCLGPLFQLAMDTPNRKLQNFT >Et_7A_052497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8679291:8683403:1 gene:Et_7A_052497 transcript:Et_7A_052497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLIPRKDHGPYFQGTLHVTGQSPLHTSFALKDILVGTEIAQRLGASRGMEGASTNVEEVFSWSDHDSLQSEVKTDLHGATMTHSNLRCFLDSVTPIVQTYTEAKVPFLPFQNNNGEMIADGVKCYYLADLWNRFYEWSACGVSTSVRLPSGEAVIQYFVPYLSAIQLYTNESDAPVSQRNFGWHSNNNTRFDVYNRHVAINWIDNVGYETPSHMYKIGDERSTSLCKGELYFKYFELDSPYERMPFVDKNKKDLSTCFLTYHSLSTSEEFVKSDSGHSSNYMALAPFGLATHKMDTGLWASPDSGDQERIASLIEAAQSWIRKHDIQHRDFNFFSRSN >Et_3A_024148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17838893:17843347:-1 gene:Et_3A_024148 transcript:Et_3A_024148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLFIALVLCVFNYRTRMSVAWEDEDFFRNCPPSWCSKHGPEIRFPFHLESSNRSSLCGAPCMKLACSGEDTILVHSAFAPYKVTAIDYRRGTLTITPPVNSSCHLIDKLNSAMVSVDPCYEFSFPFASIVSCSRKLTPSSSAVNDIVGPVSCRSNTTHFSYLVNSSADMSILPLGCKVASDGIIPFVAIYFNQYVPIYYEKEFKESAERIINVAEMTVHWYNYGTGPYNCTHCESHGRRCAFSSQTNQTFCMHHGSHVKVIAGTSAAAALVVLLLMVATALYFSLKTRYNEEIHLKVEMFLKSYGTSKPTRYTFSQVKKIAKRFKEKVGQGGFGSVYKGELPNGVPVAVKMLENSTGEGEDFINEVGTIGLIHHANIVRLLGFCYEGKRRALIYEFMPNESLEKYIFLPDFNTNQELLQPKKLVDVALGIARGMEYLHQGCNKRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIDLVIAREMTGEEKEKVKQLAIVALWCIQWNPKNRPSMSKVVNMLTGQLENLQMPPKPFVSSENHTMS >Et_8B_059322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16616817:16618580:-1 gene:Et_8B_059322 transcript:Et_8B_059322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYNVLKVNRNATEEDLKKSYRRLAMKWHPDKNPGDAKKEAEAKFKKISEAYEVLSDPQKRAIYDQYGEEGLKASADGGSSSMNGTGNHRFNPRNAEDVFAEFFGSSKPFEGMGRAKSMRFQTEGAGTFGGFGGNESKYRSYNDSAGTSSSQARKPPPVETKLPCTLEELYAGSTRKMKISRNVVKPNGHIGTESEILTIDIKPGWKKGTKITFPDKGNEQPNQLPADLVFVIDEKPHDMYTREGNDLLVYRKIDLVDALAGTTVNLKTLDGRDLVIKLTDVVTPGYELVIAKEGMPIVKENGRRGNLRIKFDVDFPKRLSSEQRHNIRKVLGGQSQQQ >Et_1A_006859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28304323:28305780:-1 gene:Et_1A_006859 transcript:Et_1A_006859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTNPATVSGARAVAASGGGTKAAARSVGLGAPALPPLPGLGLAPQGQPRAASFSKRLARDVVSMAVGEPSAPLADNEELAEFVNALKQEWDGIQNKYVVTIVAIAAALGMWSAGGVVSAIDRLPVVPLLMETVGLGYSGWFAYKNLLFKPDRDAFFAKIKEFYEDIISG >Et_8A_057626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5385452:5386412:-1 gene:Et_8A_057626 transcript:Et_8A_057626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAGVGAVAAALTLWWLARGAGAIWLELATTTTKCLAEEIQSNVIVIGDYSILYEEQPVRPMVSAKVTSPYGDVLHNSDKVTHGQFAFTAAESGSYLACFKVETLEQGMVINLNLDWKTGIAARDWDAIAKKEKLEGVTLELVKLETAVQGIHENLLYLKSKEADMRDMSEWTHTKITWLSLMSLSVCIAVSVLQFQHLKRYFRKKKLI >Et_1B_011297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:263263:265147:-1 gene:Et_1B_011297 transcript:Et_1B_011297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKRVLVVGGSGYLGQHLLAALVSAFHGGLDVAFTYNREAPPKPLLDALPSLRAFRADLRSAHGLDAISASFGEPHVIVNCAAISVPRACEMAPDAAMATNVPSSLVNWLLTFGSCNTLLVHLSTDQVYEGIKSFYKEEDETLPVNMYGKSKVAAEKFIIEKCSNYAILRSSIIYGPQTISPVTKSLPIQWMDSVLSQGQQVEFFHDEFRCPVYVKDMVDVILSLAKSWLSDGKRIQVLLNVGGPDRVSRLQMAESVADVRGYSHSIIKSLSASSVDRGVASPSDISMDISKLLQMLGIKPISFQDGVRATLDTESST >Et_2B_020112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1776754:1777265:1 gene:Et_2B_020112 transcript:Et_2B_020112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLWSRLLSTLHGTFGRRGAAYSLRQQINEDDPAHSNNQARHTVVARGAFALGGGQKTCHCTKKKDLGTLPSSAVCGKGSALQVAVRFLLAGSLSLSLLLTVVCLRKIASTSVAIMTSGNVAADPLRDSLVLVC >Et_1A_006614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25195945:25197077:-1 gene:Et_1A_006614 transcript:Et_1A_006614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKRYCLPYENVPVPSPYPIPKCERKMTTVVTQSSQPLTAARAYFCCGNELEMPPPGLKYNPFFRCSIALSVREMLCRLFFLSSFDWKYHVIGAILLLLQGNKRGCKFQELIHRPKSHYPDPDSLPDDVLHEEELLCWYLPPLLCQCGVPAREGVVPSELGYEHYCGNTMGEDDEWTFEGKEEFLLKAKKRGPEYFKKALATRRSNMRHKYLTMPPSFIYNTICSELKIKRECPF >Et_4B_039879.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:530598:531143:1 gene:Et_4B_039879 transcript:Et_4B_039879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRSPGALRFLGLLKQPESSPGAPSFELDERDVMWPAGGGGDDGWAAPPVPEAAAPPRARRRAHTVPQSFGLSSLLADAVAVPVRAVAPGAGAPAPRQSAPVRVPVWPGRAPRRAEDGRKAGGESDDEDGEEMVPPHVVAARRHARSSSVLEGAGRTLKGRDLRRVRNAVLRQTGFLDL >Et_3B_030946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9268047:9268812:1 gene:Et_3B_030946 transcript:Et_3B_030946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENQQQSVKAGSSRFTVTCGMLRQYMNEQGGHGAVRLAPAMAMSHMPGTDVAGGARSATEAVPAQEKGKIALDLFPQQPGTLKASQKRKEPEKAPLTIFYGGRVFVFDDFPAEKAEKLIKAARSCSAASPAARQRPRLPDMPVARKESLQRFLEKRKNRLATAEDPDPAGGK >Et_2B_019140.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:13552058:13552213:1 gene:Et_2B_019140 transcript:Et_2B_019140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRRRGSRRRRACSSPSAWCTRSPPRRPTRTPPSSRTKVPAVDRWRAVYN >Et_2A_017825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6742136:6746515:-1 gene:Et_2A_017825 transcript:Et_2A_017825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPIDCRETECKVERLQIHSYSGTKKLFASRRSNFILCSEWNVGGYDWVILYYPNYSDLNKDWSVFRLALSRSCANEVKVTLRCQLVDESGSLPPFGDQCVTYTYTKPLDPGTPLLLVKHEEILNLGYLKNDSFAVECSISVQRRPQEEGSDDHLSVSLPSPDMHQQIGELLQSRKGADVTFVVSGESFTAHKVILAARSPIFMAEFFGHMKECSSEHAILAARSPIFMAEFFGPMKESSSNVVEIKGIEPEAFKAMLHFIYTDMCPDLAQHQIGNMGREDVTALVQHLLSAADRYGLDRLNLICEDNLCNSIDAGTVATTLALADQLSCSQLKTRCIKFIVDSPANLDAVMATEGYKHLMENCPSVVNDLLRAAMSYRRSSYLQQK >Et_8B_060474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17559040:17586983:-1 gene:Et_8B_060474 transcript:Et_8B_060474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIELEVDEPLREDKHITLVENLGEQLVTIVRVRRDEADVQGPLEHGEDLSGTRVDRWLRAKDRIGNSYSSGVLAAESSESDRRLVVDGVELKMDEPFWEDKHITLVENLGEQLVAVVCVRRDKANIQSPLENCEDLSSTRMGMWWVFPQGRIIDAR >Et_9B_064838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19020254:19022771:-1 gene:Et_9B_064838 transcript:Et_9B_064838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIRPQQYQNLDRAKHFNELKFSPISFHSDTASQQFVMSYNKATDRRPDDIIEMTTYTVEPSREFVVDSRNLSHGRETNCVSRIDNGTTTNDDDEKNPRSASMSARSPESDFAVEQKQQSPSWTPISANVSANGNHTDSHSTCSSATPDYAFIRVSDGDSQTQPIKVQPSLRQQPKLLNKESTAKRDINLVTPSNNAPQADKKADAANPTSASAAMKEAMDFAEARLKAAKELLERKGDSFKLRKKPSHHRSTRSTEIKAPVLAEVDTFEQKLSVKKPLKDEKNPEFSLSEKHKKLSASRLDHLDDSGKRVPPLEKPQKMDSCQTSSKLEKLGNWRSGDEYYELTGDVEKCRTGNAPAEDDTPKFKQTSPMTIMIRKVELNLLPQTDLERYEKLWEVNDGRDVGVKDVNLKENNTAPLDEDSASGILETSAENAAHREIRNSKLEGLVTQEKAKECHADDECIELPSKMDTSTMMDSLKDTSGSLSVAHSSGNHASDLRDLGNNSLTVSPVSGTSQEHSNLVLEVPCDDAMPCTSGSNEQLQETPEVSVSRGSNIKSLILEELEGSYVCHHVPRAPGTVEQDVETYGREKFSFTGESFLHNEEAETKEVSSEKVEKVETEEKVAACAHHEETDVDLNVEHPEESDITLQNNSLAGCEESDMLNVFEVASKLITRDLDQGMRGSTEEESADDNQENQKSPESTKRGQSNVDANCDTTVDEIGSESVSGIASDSTTKTTITSTDAPTSSEKYTRMQHSVQKDESATSQTSKTNAPGVEETGDVFRGER >Et_7A_050579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25909870:25910244:1 gene:Et_7A_050579 transcript:Et_7A_050579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSPPSLCEFIEYIDTEQTPENIAHVYRVAERARRHWFDMEAEERREEEHRKMRQKEEERRREYEAKRKAREAERERMRERARCARAAGPDAFRKGKYPRCTQ >Et_2B_022555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2637252:2642373:1 gene:Et_2B_022555 transcript:Et_2B_022555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFDSFISAEGDSESATVCEEVYAYVIPPSPKHHMRHDMMKKVKMGVEMIGTMINRILFCELKQSADFRQRIGPGTGVSNKRHHKPHQTSKSRLRSRWLCEPSLGKQFPQRLRGANLVLHLPGAGLQEYLGQLQLLCGQAGGVHGLAEHLERKGDGLLAGHAVAVVLLEHGLRGLAVGADGGGFPAAVVTRWVAHVELEAVVLVPSGEEEGDPEGAEATELGVALLGVAERLDELLDGDGLLVGEGVALGVEAGGVDEDVGVRHDPGDGAGEVGVDLVHLLGGARGLEELGGDLLLADEDHAVGRHDAEGGPGVADRLHRVLHLVKPALRREDRRAAVVAARHRGGGGGGGE >Et_8B_060084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5804089:5804723:-1 gene:Et_8B_060084 transcript:Et_8B_060084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVRNLDLDRYMGRWYEIACFPSTFQPKTGTNTRATYTLNPDGATVKVLNETWTDGRRGHIEGTAWRADPASDEAKLKVRFFVPPFLPVFPVTGDYWVLHVDADYQYALVGQPSLKYLWILCRQPQMDEAVYNELVERAREEGYDVTKLRKTAHPDPPPESEQTPRDGGLWWIKSIFGK >Et_6A_047940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2495284:2497979:-1 gene:Et_6A_047940 transcript:Et_6A_047940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGGGRPAGRLARAAMNKKRQQTLNNIKLTLLCGFITVLVLRGTVGFNLLVSSGVSVGEAAADAKVAEDIERMLREIRSDSELEDDAVAVVSSSSNSTTTNNNATLTPPPPRERYQLGPAITDWDATRQQWMSRNPEFPSRDGQGKPKILLVTGSPPGPCDNPAGDHYLLKAIKNKIDYCRLHGVEIVYNTAHLDPDLTGYWSKIPLARRLMLAHPDVEWIWWVDSDAIFTDMAFELPLSRYDGKNLVVHGYPDLLAKRSWISLNAGIFLLRNCQWSLDLLDAWVQMGPKGPVRVEAGKMLTANLTGRPPFDADDQSSLIYMLLTEKEKWMDKVHIETEFYLHGFWTGLVDKYEEMMEKHHPGLGDHRWPFITHFVGCKTCGRYEDYPLDRCLRSMERAINFADNQVLRLYGFQHRSLETAKVRRVANRPDNPLEAKEAALKLDARIRLIWRNCVRFICSSPLIKSYLGLLYNISDINGDICCISLFIGVDNRLEFYSRNRRSAPEV >Et_3B_028788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19159905:19163229:1 gene:Et_3B_028788 transcript:Et_3B_028788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDADRIRLSAEALSLQDDGVRDKPDAKANVFADLGSPVSPLCARAASSSSSSRSGKSLPPSNAGLAGGRSDSGELVAESNPPRLTGHRRCGSGPLIFSGSSSSRTGGGGDHGSTASSPMLNALPAGNICPSGRVPGPASAPLPPRSRSDVLGSGTGHYGHGSVMRGTLRTPPRSSIDAPPSFPSRSPVSSLGSSAGIQEVTHSGNECYKKGKYAEALRHYDRAVALCPDSASCRGNRAAALIGLGRLTDALRECEEAVRLDQASGSAHSRLANLCLRLGMLDKARWHFTKAEHLQHPDPTGWQKLLEVEVHVGRSIAARKIGDWKSAVREADAAIAAGADSAPLLLGLRSEALLRLHKLEEADSTLASLLKLEGALPSLTAEKRIGMLAEAYVHVIRAQVDMALGRFDTAVAAAEKARDLDPGNAEVGMNLNNVRLVAGARAQGNKLFSATKFSDASIAYGEGLKYDPFNSVLYCNRAACWSKLDRWSKAVDDCNAALRIRPNYTKALLRRAASYAKLEHWADCVRDYEVLRKELPNDKEVAEALFHAQVALKATRGEDVSNMKFGGEVEIITNAEQLHAATGSPGVFVVYFMSSMNQQCTQITPLVNTLCTECPSVNFLKVNVDNSPVIAKAENVRVVPTFKIYKDGVKVKEMICPSVQVLRYSVRHYSVSSS >Et_5B_045238.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:13349018:13349302:-1 gene:Et_5B_045238 transcript:Et_5B_045238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGYNKAGSPEASGEGSRRAPAAAYYECSFCKRGFTNVQALGGHMNIHRKDRGSKSGTAPPAAPGGGEVHLGLSLGRSDDVDLELRLGSYPYN >Et_9B_064677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17547665:17548207:-1 gene:Et_9B_064677 transcript:Et_9B_064677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFEVSLLHLGMAVFSELLKMSQEDYQDNTSISCHNDEQYLVPHYQGPLENILGRGRRHGRAAAAADPRSPRRPPPIGLALPSVHLPPPLASLAPCCLANSGPRGGAAGRRTRGGAFAVVSTSSRCIDKLRAYGSAEPCRAGRPAGPCDH >Et_9A_062736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:411175:420017:1 gene:Et_9A_062736 transcript:Et_9A_062736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAERLAAVERRRQQVLAEARELEQQSSEMARRRALFLRGKARIVDFDPKQGGDYFNRIYCVDHATFDLDEEYNNFILTPYLDQAAPLGPMRYTDRVSKDGGSEYTTCAGLNVFSVKISTSDIGFPIHVYGTVIARDTIDNKCLYLFRRDRDHCQLISSRDESLMLTGPKRGLVLLDDDHVEIDLKAERAVEADRIGRQAMEEARRLEMEGDPNFWMQERAAAVVDFDPKQGGTYYNRFNLAHYPEFDMDEECKYP >Et_7A_052375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7363474:7374162:-1 gene:Et_7A_052375 transcript:Et_7A_052375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKCQLLSLVSVAVVASSLLHPGASIEFHRKLSSWSDAGVTWYGAANGAGSDGGACGYKEAVDQAPFSSMITAVSSAIYNSGKGCGSCFQVKCTGNDACSGNPVTVVVTDESPSLNDPVHFDLSGTAFGAMAKSAMASKSQLLSLVAVAVLGSLLHPGASIKFHRKLTTSTDEAGGTWYGAATGAGSDGGACGYKEAVDQAPFSSMITAASSAIFNSGKGCGSCFQVICTDNDACSGNPVTVVVTDESPSLNDPVHFDLSGTAFGAMAKPGQADKLRGAGVVKLQYNRVQCNWPGVQLTFVVDAGSNEEYLAVLIKYLNGDGDLSAAELMQTGDGATWAPMKQSWGAVWKFNSGSPLKAPLSIRLMSSSGKQLVASNVIPDGWKPGSAYQSALLSLVAVVAVLASLVHPGASVEFHRKLSSWSSNASATWYGAANGAGSDGGACGYKAAVYQAPFSSMITAASSAIFNSGKGCGSCFQVKCSGNDACSGNPVTVFVTDESPSLNDPVHFDLSGTAFGAMANPGQAEKLRGAGIVKLQYTRTVRRCILCAGFPNDDSRRDVCSVQCNWPGVQLTFVVDAGSNEEYFAVLIKYLNGDGDLSAVELKQAGAYGAWAPMQQSWGAVWKFNSGTPLKAPFSIRLTSTSAKQLVASNVIPDGWKPGSAYQSAVNF >Et_6A_046545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16866556:16869063:-1 gene:Et_6A_046545 transcript:Et_6A_046545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGEDKGGLFKVPEIRFTKLFINGCFVDAVSGRTFETTDPRTGDVIASVAEGDKEDIDLAVRAAREAFDHGEWPRMSGSDRGRIIARFADLVEQHADELAALESLDAGKVPGFTKLAEVPSAAGSLRYFAGAADKIHGETLKMQPRFQGHTLREPVGVAGIIIPWNVPCVMFCLKVAPALTAGCAVVVKPAEQTPLSALYLASLAKQAGIPDGVINVVPGFGATAGAALASHMHVDMVTFTGSTQVGRRIMEASARSNLKPVYLELGGKSPLIIFDDADLDMAVELAVYGVLLNKGELCIAASRVYVQEGIYDQFEKKLVARMKSWVVGDPFSGPHVNQGPQVNKAQYERVLSYIEHGKREGASILTGGKPCGHLKGYYIQPTVFTNVTEDMVIAKEEIFGPVTCLMKFKTMEEAIERANNTAYGLGAGVVTKNIDVANRVVRSVRSGVVWVNCYMVMDRDCPFGGRKMSGFGKDEGMQALDKYLAVKSVITPLHGSPWL >Et_4B_037985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25258121:25259303:-1 gene:Et_4B_037985 transcript:Et_4B_037985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNSIQQALIEDHPNNIPKRKPSEGTKRFRKCRSMPSSDPDKSPTGDGSLRQAKELFKGLRPSFKLVGLLLFVYLLVGVIVFYLVMDQISGKRTNRVLDALYFCIVTMTSVGYGDLVPNSDATKLLACAFVFTGMAIIALFISRAADYLVEKQELLFFKALHMNMKGGEAKMLRAMEANRIKYKFYTAALLLVVTIVAGTVFLWKVEKLSLVDAFYCVCATITTLGYGDKSFSSEMGRVFAVFWIITSTVIMAQFILYLAEIYTEQRQKMLAKWVLTRRITTMDLEAADMDGDRQVGAAEFVLYKLKELGKISQDEISSFLEEFDKLDVDQSGTLSAYDLTLAQPAQ >Et_5A_042757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3698060:3700552:1 gene:Et_5A_042757 transcript:Et_5A_042757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDELLRRSLVALAAVVVVTGLATASLRKAGATYAFGILAIAGVLLPDWEFFDRDFSQWLTPMPASRRTAAAAAAEREHDVWKFKPYPLRMVMLTTIYGFGLYKWWMYVSS >Et_3A_024566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22071113:22074568:-1 gene:Et_3A_024566 transcript:Et_3A_024566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGHCPEQRPGFEVTKDWNGADQVAIRSPKGASVRVSLQGGQIVSWRNDRGEELLFTSSKAIFKPQKAVRGGIPICFPQFGNCGTLEQHGFARNKIWTVDDEAPPLNYGDNNNKASVDLLLKPSDDDLNFELRLRVSLSLDGDLSLISRVRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLIQRERFTEQGDAITFESEVDRVYVGSPGVIAVLDHEKKRTFIIRKEGLPDIVVWNPWEKKSKTMADFGDDEYKQMLCVNAAAVERSITLKPGEEWTGKLELSAVPSTNCSDHLDHPGRL >Et_4A_035126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9804105:9809288:1 gene:Et_4A_035126 transcript:Et_4A_035126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGAGALPVPRRGLEILINYAVAIQVVDLAAREGLRIQYGWSSAARGNCTVAAPYVYSDKDTSYLNRMLRLGLYLLCNRFAYELMQDHTVPKSEKQRAQGYATKEVTASTLGESGREIFEGGQGVLMGRATKDVTESNPTNRAIEETTDAIPIHECVPNAIILRKSSHRDGIIYKERLHWKQNYFVDVTNRNETRVDPMRYSVTTKCNPDPENCGYHMSCEMVQVFSLKLAKSPKNSASVQLYGYLAARDDVDSMLNFVFHRTRDDPIIMHQDSLIEVTGPKRGIALISDVLFEFDMRIKHGEQEEDDLQLIDGVMEFHEIQMPWTPFTVRINGEYGAVDMCLVNVFNGVEATVEVVVSKVQDGFDLSLSSVVSMLEVSKEFQLFCGTIYRPCGLRRFVIAVLLDTVLHLKFKAHKKDANIAEYCCSFEAKLHGSASRQIKLERASILVKVTWSTLVE >Et_1A_005248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35243411:35244316:-1 gene:Et_1A_005248 transcript:Et_1A_005248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PAAAAPKAAPGGYDFDPYEHYENLSRKVPSRPPSSHGHGHRPPSSHGHGHAPAPQHRGGGGNGYHRRTGSNGSTAASEASSRGSKFSPPRPYQPRYSSNNNVNNSNNYYNHAQHGGGGHGAAYGHAHGQYHPQGAPVEYKAYASPSPPRGPPPRLRRPKASAVPRFGVWDEQNAAMAAQGFTVQFEKVKRHREEAKAAAPPPAVLQQRMSPDQYAVAAPAQRFYGTKRKAKKSLLSKVYRCMFPVVRE >Et_8B_059643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20130058:20156812:1 gene:Et_8B_059643 transcript:Et_8B_059643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGVVSQVLAGLLRRYVKGIQKEQLKIGIWNEEILLENVELILEAFDYLQLPFALKNGRIGKLSIRIPWKKLGWDPIIIVVEDVFWSSDSLDKRELAGKLAKLNAIELAKFSRRVTDNQTGQSFLSYISAKILDNIQVSMRNFHIVYMDSPDDQGSFVFGLEFSSLSIQTDTQKQSFPMSLMARSRQDEVNKIIEISNVGVYCHQLEEQQDICHVGALTETQFSFGLGNGYSRDGYLINPFCVTVSVLANKAAKLDGAPQYDMTVELTALALSVDEIQLQQILNLCDYFTICALRTKYGRYRPPQSSLSKRRKGWQRMWWQYAQRSVLADVRRRLRKTSWRYLGQRLDSRRKYVSLYRMKLELLQKGQIVNKDILQELENMDKECDLDDILSYRTIAEQQLQESLVKSTKDVFSSPGSPGIDEQSAGTSRGWLNWLSLGMLGAGGTAESSSFAGVISDDIIKDIYEGTEFHPVSAAENVSTKENYYSLFVRLSISQILTTVTSRRLSMKLVDAIFAGLGTECKIWDDSVTILAWLDSLQVMNPLSESKILLAEKGSTGDGLGAPVISVQVDYPKSNQRSEASIRVVIQEFNAIYEPEFFVNVLHICNPYVSANRKKLIWDLRIHRFAIRLPSKSCGREELTMVFEAADVLVQSKDIVDDVSRTQESNSLLGCISKNVSDDLVHGIQYDELYNDFEVGVTGLEVNVCLPNRHNIASTLIKLDASIVLRLCVFQDEPVLKQLEVDFIVPRIDIYLSPMMHSAIVNLPRVKESKLVGNDMFDNTKTHGPKKPALNMSASLKLAKLCGRVDLDDNYEESSVVTLDAEGIDIRYAICELSDLSLVMKTVKITSNNQKDESDSHVLCSSGNYKSSAMGCPEKFADTCLNLHYRTHKHDGQMHHAYQLNLHDVDLYVYPSVIGQIRSFLGNLDSVPLVDGDVVPSTRRQNSLKSRATNDVEARESLYATNEQYQYHSGLNGSHASDLAGSSLCETQHSNCSSRSSNNAENVSVTVLDLSLVSVRVHFAESCSILATITIPESIATLTLLDACSWDFLLSANNITLASPWTPPNFHELLWGTSSHHNDNVLNVRVKKDLPTLSTEVCVGIQNVCCILPSKLLAMFVGFFLLDDWDPILEQRHPVSSNNLECYGETHESISYKFELCDCVVIFPVEKQDFFCLKLEVPHFFCEFIPTGSSIEFAKRIPKEFFCSECIVSNRADIISIYARKASISLLFVSDQTNFILKLDENVPKRTCSLIENLDAGIWIQIPCNEVSCSMQPTVPIFIMSKISECNLIAEDLYFMNGMEAVVHVVDQLILIGKESKMYKGNALQFLELRISNEGNPDPNQVTNITISIKDLVLFFGRSKDKGLALERIATANLEFDFSAVLVSEELERMNLDIVSLALESPGGYILLSVVSEGLLSPVFFRFRKHHVGRDEMLVSVPLFEMWLYLVDWTTIIDHFHSYVKKEVNGFEAEHPTALPHFQERGPPPFVAPEFGSPDNSNLVVTCDTIAGVVHVPIWENKDNHTTNIGGASGFPMQVTPNYVTDDIRNLEPKSCKFVTLTFESKNFVVMSNDSCLNFKCDFERMKVMLEMVQKNKGTSAPFVHISKVKACGYIHQSERNLEHLFLDLQAEYMDVSFSHQIFSFWRNMELTFPAASSASSYSSVTFKAGLKKGSLLLNDGRWSSHGPVIETLLKNLTVQFSQMNDQTEVSVFVDLLVNYNNIDKVMWEPFIEPSRFQLNVLRKCADCALDLSPSTDVCLTSSKQLNVNISEPLIEAILRLSQMITDSLDPNIGGGLREDPGILRLSHDDVRTRRYAPYILSNDTSLPFRFKVYRGAVNSDDTDSFSVIDENSVPAGCAVPIYVEETLDEFFFQHREARSSDHLIEKRMGAVSHYMISIEFDGTSGPSMPMSMDLVGIYFFEVNFSSSKKPILGEESLGAFSSRKGSDGLIVPVVLDVSLQNYSKRVRVYSTVILYNATSMPLELRFDIPFGVSSKVIGPIPPFKEVPLPVHLSEAGQIRWHPVGRTYLWSETHSLSSLLSRESRVGFMKSSVCYPSHPSNDPFRCCVSVEEYSVPSSSSTRKGQYCSEGLKAQPVSGSYAPKTSKQISIRAHFIRHVRLNTPLVIKSYLPVCISLTIDNGGAARVVSLKEVGSASIFFVDPSNDLGITFHIQDYRSLSVRFPRAESFSTAAKSDAPLNVMLEKAMDARSGARELYLSVPFLLYNCTDLLLTVTESSSERSGSTLVIPSSFEFDGHVRHLLQKNGLSLVSEDSSGQRFASKIHQLDLTDGCFSPSVKSSTNNSESVKKQYDKEVKAYMFAPDGHTPATELLVKLNASPPNNGTETTRRDWSSPFLLVPASGSTNITIPQSSASGAFLVAATSVPVSAELFGRTRAIAFRPRYVICNACSSDLFFRQKGTRFSKHLSSGQHSFLHWSDTSRELLVSIRFDGPGWQWSGSFFPDHLGDAQLKMRNSASGVSNMVRVEVQNADLDIHSKKFSGRNNINTGTVLILLSDDKTGFVPYRIDNFSMEKLRIYQQKCESIETVVYPYTSCQYAWDEPCYPHRLTVEVPGERSLGTYNLDILNDDIHVSLPSTSEKSERKLCISMHAEGAIKVLSVIDSNCHNTETKETAFPGPKEPKLGDQKQEVLEMNFAEVIKIQLPFIGISLISSSPQELMFASAKEVTIVAMQSLDKQRFMVEVQSMQIDNQFPDSPHPVMLSFEESYKGKSMNLFKSKDTKLRPPYDRIFCNTTEPVLRFAAAKWRTKDVSFVSYQCINISVAPVRLEVEERLVMSLIDFFRSVSSRVNLGQLDKNLELSILSGVTDMLGEDKISKHLSEKSQSFCMVGVQDSELLPSVVPVGAPWQQIHLLARKQKKVYIELFQLTPIKLIFRHHCGQKLSIDHAAIFSDVVLQARRGSVGMKVVQIPAQRGLMALLDVEGVPVHLGEIMVENLMASWQSVQDILVRHYSRQVLHELYKVFGSAGVIGNPMGFARNVGFGLKDFISASRKGKLQSPVELLNGIAQGSKTLISSTVYAVSSATSHFSKTAYKGLVVFTYDEQAASKMDERERQLGLHGEGVLNGFLEGLTGLLQSPIRGAEKHGLPGVISGLAMGTAGLVARPMASILEATGRTAQSIRNRSNPHESNRLRVRFPRPVARDHPLFPYSWEEAIGVSLLIQADSGRLKEEAFVMCKALGEPGKFVVLTEKLLLLVSSPYLVDLGTPQFVGVPPDPQWSIGTEMNLKSIVHLDRSQEVVNIVGSNGETSPRDRKGGIRGRAVSSAFIPLFHLSVELPNIEDAEGTLQVLQALIEKGKARRWDKNILHRSNIS >Et_3B_031669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7332673:7334169:-1 gene:Et_3B_031669 transcript:Et_3B_031669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSQTFRRSGSSGLVWDERLMSEEGHQMDQRTSEEPEDEAMEFKELRYSRSVGSIGLQRRHNDRVEHRRYKNNNRGFHTRSVTPALDPPSPKVPGCIFCGIFRKAGASEPSKPRRLEVVEYGRMIEEYEWNFHC >Et_4A_035146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:86730:87877:1 gene:Et_4A_035146 transcript:Et_4A_035146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATCCSASSCRSLGKWPSSHHHQRRRRRIIRIRCCSGGGGGQLRIFSGSANPRLAQEIACYLGTELGKMKMKRFADGEMYVQVQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASARNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGAHRVLACDLHSGQSIGYFDIPVDHVYAGQPVILDYLASKTWPEDVVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNQLAEVVHLIGDVKGKVAVMVDDMIDTAGTISQGAELLHKEGARAVYACCTHAVFSPPALDRLSSGLFQEVIVTNTVPPVTQHHSFPQLTVLSVANLLGETIWRVHDDCSVSSIFQ >Et_1A_006000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16528217:16529459:1 gene:Et_1A_006000 transcript:Et_1A_006000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVNSEAAAGENGALKNLPTDAFVEILLRLPPSSRWRFRFVSRHWRAIIDERTRRQRPKALLFHANCRTMGPPASWAYVVDDLSDGRSREIWRHRRYNAMIGTCNGLLCLSGDTNPIGGDIVLANPVTGETLDVPPCPGGYRISWNACSFGFHLPTGLYKILHLPYADGFSELQVYTLGHASSWRDVPVPGGSSHRVDAGLISVDGSTFWVTQGAERVAAFDLGDEGVTNLPLPVDVRGGRYRCHLTEVRGRLGLVVSLDRPTPAKIEVWVLGEGRERHKWSRRYSVQVQGVEQRLAWPHFAYGEYVLTMGARERTNHLTKVFGHRLRDGGRQLVSDEVRSVRIREPGTPVAGIAPNNCLWGAFAYVETTEPLSCYRLDS >Et_7A_052914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24990648:24993568:1 gene:Et_7A_052914 transcript:Et_7A_052914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPSYEGNKMSEVLGFLNRLLKMSNSENLFKMENADADTAAPQKEWDDARCPICMDHPHNAVLLMCSSHDKGCRSYICDTSYRHSNCLDRFKKMKMDHGISSSQPSSSTPRGTRNQNIVERSRFGLTRDNGRLPIDISVPDEDFSHQDDSHRPSASAGEQDESNYNEDPVTLGTQDGEGNGLVESSEMSSSKQLMCPLCRGVVSGWKIIKEVRHYLDEKSRACSRESCTFSGNYRELRRHARRVHPTTRPADVDPSRRRAWHRLEHQREYGDILSAIRSAMPGAAVFGDYVVEGGEMLSYDNEGSGSAEPSASLLTTFFLFHMLSSNPVRSGDEPRGTSRGLRRQRRRYLWGESLLGLQYDDDENEEDEQDEEVQRPRSRRRFIRSRSEERA >Et_1A_007295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33105714:33109605:1 gene:Et_1A_007295 transcript:Et_1A_007295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLASRPLPAHQHYLFFRACPSLPRNSLRRLVPPLSCRARELLDVMPQRDGGASSRTPITEAVAGSPGVPSGVNRATERKYEARQHGITARQGGSHGAPRPWRKGDKDVLQERADADSEEKGWRGRPTRTWEQEERREGKHWSRGGNGMRANNTGKARNLARGEDRGTGNVSKKKKAKGGERGGKLRVELDMCSKRGDVMGAIMLYDSAVKEGIRLGQHHYNVLLYLCSSAALGFVQPAKSGTSGSGVASIGLASRFDSSPKVSLGELDDGDASEDHVQDQWENKSEFLLSDDQKVQTDSILIGDELREYARTRGLEIFERMCSEKERVQMSEAALTARARMAMSMGNGDMAFEVVKQMKDLGITPKLRSYGPALTSFCNSGDVEKAFEVEAHMLESGVKPQEPELETLLRASVVAQRGDKVYYLLHKFRTTVRQVSPSAAGLFEAWFRCLTAPKVGKRKWDAGDVAKIIEDNGGGWHGLGWLGRGKWTTARSNIDRNGVCLACGEKLAIIDLDPEETEDFATRVAKLATKRERNFNFQNFQKWLEKHGPLEAVIDAANVGLISHRHISLSKVTAVADAMRQMFPSRKPPLIVLHNKHLSGEHMKKPANQRFVEKWKKENCIYATPTGSNDDWYWLFAAIKCKCLIITNDEMRDHTFQILEEDFFPKWKERHQVRFTFEDSSVTFQMPPPYSVVIQESDKGHWHIPVAEEGLLERERTWLCVTRHSSLAQ >Et_2A_015330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11007700:11009026:-1 gene:Et_2A_015330 transcript:Et_2A_015330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFFGTSAASGHHNLQLRAGSDHYELVRPNKYGARSMIRCCSTARTRDYYYQVLGVTVHSTPQEIKEAYRKLQKQHHPDIAGYQGHDYTLLLNEAYKVLIKNISRPADGSVKNRGGRGSGYTGDGYSSWNGPLRSQALFVDENKCIGQVAVESCPVNCIHWVESQELPMLEFLIQPQPKEGHGVFGGGWERPRNVFAAAKNFAKKLEREEQELEQEQSKGDADREAETTAQVEARRRAGQELRWKPVFDVWNGLFSWRKPGTDQ >Et_2A_017732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5628142:5629607:-1 gene:Et_2A_017732 transcript:Et_2A_017732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVLIRPSSKVIIKFLIVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKRKNPCKYGRRLANINVIGYLRVMDPSGKDNFKK >Et_2A_014751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11929328:11930740:-1 gene:Et_2A_014751 transcript:Et_2A_014751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAATSGPSKKPRLSAGGDGLTAFTLRLAKKLAQPGRSDGGGAQQQQLVGNVVFSPLSIYAALALVAAGARGATLDEILLLLGAASRDELAEFATDESSASGDEGGGPRVTFAGGVWHDKTVALRPAYRAAAGESYRAVTRAADFKEQAEEAREEINRWALEATNQLIACLLPPGSVDSSTRLVLATAVYFNGTWADPFARKHTTDRRFHRLDGSSVDAPFMKSKRDQFVAAHDDGFKVLKLPYKTRPRPRPRLSMCVFLPDARDGLPRLVERMSSDPSFRLRDRIPTRRLRVTEFLLPKFKLSFSRRIGGVLKDMGVKSAFDAQQADLGDMCEGGSSTQLLAVEQVFHKAVIDVNEEGTEAAAASAFIVRKMARICNAVDFVADHPFAFFVVEEVSGAVVFAGHVLDPTRSV >Et_7A_053070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:671983:674161:-1 gene:Et_7A_053070 transcript:Et_7A_053070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTTLLLGLLLAALMFSTTKAGGGSVIGIDLGTTYSCVGVYRNGHVEIIANDQGNRITPSWVAFTDTERLIGDAAKNQAASNPERTVHDAKRLIGRHFADAEVQHDVKLLPYKVVDRNGKPHVQVRVRHDDVRVFSPEEISAMVLSKMKDTAEAYLGRKVTDAVITIPAYFNDAQRQATKDAGAIAGLNVLRIINEPTAAAIAYGLHSQKEEKNVLVFDLGGGTFDVSVLTIDDGVFEVRATNGDTHLGGEDFDHRLMDHFIRLVKRKHGKDIAADGRALGKLRRECERAKRALSSQHQVRVEIEALFDGVDFSEPITRAKFEELNSDLFRKTMAPVKKAMADAGLSKADIDEIVLVGGSTRIPKVRQLLKDYFDGKEPSSEINPDEAVAYGAAVQGSIVAGETDDTTKNVVVLDVAPLTLGIETAGGVMTSLIPKNTVVPTKKKQVFTTYQDRQTTVSIKVFEGERTMTKDNRLLAKFDLTGIAPAPRGKPEIEVSFEVDVNGILQVEAADKSTGKSEKIKITSEDRRLSQEEIDRMVREGEEFAEEDRKVKERVDARNQLESYVYNVKSTVDGTLGDKIDADDKEKVEEAVREANEWLDDNPDADKEEYVERLKELEDVCNPVFSAVYQRSGGDDDTEDDGHDEL >Et_2B_022033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8337904:8341454:1 gene:Et_2B_022033 transcript:Et_2B_022033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLCKFYMHGACLKGEYCEFSHDWSDQANNLLGSSHPPHMVHQTDTSNETQQVPMNMLAHSASKPAWRNDFLKASAAEDGIDWSSNPTEQNQTSMRPADLPICSFAAAGNCPYGESCLQMHGDLCTTCGKMCLHPYRPDEREEHIKLCEKNHKRLEALKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCIACIRNWRNNSPSSGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKQEITESYKSKLKSIDCKYFDFGTGSCPFGTSCFYRHAYRDGRLEEVVLRHLDADDGSTVIAKNIRLSDFLSRLHL >Et_2A_014605.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27452007:27452282:-1 gene:Et_2A_014605 transcript:Et_2A_014605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGDFLRCFVRVSNVPPSLSEREVGRLFQRFGSLRMCALARVGTQGGARFGFVTFKRREDAEEAVDELNGHRVGDRKLRVDWAYPRAHHE >Et_2A_015239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:68703:73367:-1 gene:Et_2A_015239 transcript:Et_2A_015239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAEETKRSLTVAPFECAWGEEFRFPEPGRGCIAFEASAHNDVTLVFRQQLGSHHYHYKMDNSRHYTVILGSHRNKRLKIEVDGRTVVDVAGIGLCCSSSFQSYWISIYDGLISIGQGKHPNTNLLFQWLDPDPNLNVQYVGLSSWDKHVGYRNISILPSAPQNSILWNQIEYAYVERDGDGEYATRRVLEDDCEQRVLADFLENWDFSDAVFVVGTERKVVPAHRVILDASGDFPLNSVDGATIELPSVSYPVLHSLLEYIYKGCTQIVESRLSSLLELSVQFKVKPLVKCCEEIIYSLKMDSKLSDPREHLMLSSSGFQAHQLDSFPLKAPVNLQKIGQFFESGEHSDINIFVTGHGLVARGHRLILSLWSVPLAKMFTNGMKESCASDVFFEDISAEAFFLLLRFMYYGELMVANSDITSVLVQLLLLSDQFAVTILQFECCKRVMQCLSEDTVCSVLNAVSSIPSCKLLEEICKKKFATHFDYCTTACMDFHGDMTVTSEEKVLDAVLTWCMETCESFCWTSVDDFLSTSTPEQLFGERLTTIDTLLPFVRFPLMQLSTLKRMGKSNLASKIQVTEAIEFYKTGQWMPTEQKCERFQHRRSSYKELQYISDGDNNGVVYYAGTSFGKHQWMNPVLAKSISVMASSPNSRYTDPKALVSKNYQGTCFAGPCIEDGKKRSWWMVDIGRDHQLMCNYYTVRQDGSTTFMRCWVLEGSMDGRNWTSLRVHEDDQTICQRGQFASWPVTGPPALLPFRFFRIAMTGPAAGCVPDSWNLCICFLELYGYFR >Et_10B_002508.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:12552755:12553111:-1 gene:Et_10B_002508 transcript:Et_10B_002508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKDIWKKTLGYLRIWRKTCKEEALTQLDHAIKELSLKLEETPRLQFWETWHQDGSQQSGAPVSDRENSEVVTVAHDVRVMGAAHQLSPRVLDCNNSEPTLHLVSFFNETRGVLPSI >Et_3B_028469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15870339:15875539:1 gene:Et_3B_028469 transcript:Et_3B_028469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCIGAAYSDSGESEAAARYVKCVAVGDSSTGKTCLLVSYARNNFSEDHLPTVFDNINADILVDGNAFNLSLWDTAGQEEYNRLRPLSYRGTDVFLVLFSLVSKVSYENVQKKWVPELRHHAGSVPIVLVGTMLDMREDKQFFLNHPDLEPISTSQGEELKVMIGAVAYIECSAKTQQNLVAVFNSVIKAALCKPKSKKSRQRSCFPIEAYWAVEQVSLLK >Et_1B_009998.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17534594:17534707:-1 gene:Et_1B_009998 transcript:Et_1B_009998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEHGCHKQATTKNSSWSNLLHMVEYLEGAQLSYL >Et_9B_063713.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21560464:21560889:-1 gene:Et_9B_063713 transcript:Et_9B_063713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAVVLGVAPRDGEWGADPNASSGSGRMVDEDMATLRRRIREARAAALSEEDDTDTDSDADDVGVPAGWTELERRHHGGYAAGVRGVVCLLEALLVNTRPGLGAVVVALLLLGVPASVVFLLCAKLIQAVDTISSAVIGR >Et_10A_000907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1916657:1920989:-1 gene:Et_10A_000907 transcript:Et_10A_000907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VTVATNDLVGHILLNSIELAMWPKQRSDALDERKFKLRRGAMAEGSNVVAPLLDIDESSGASEELLRREPVPLGVLVRLAAWEAGNLWRISWASILITLFSFMLSLVSQMFVGHLGELELAGASITNIGIQGLAYGVMIGMASAVQTVCGQAYGARHYMAMGVVCQRALVLQLATAIPIAFLYWYAGPFLRLIGQEADVAAAGQLYARGLVPQLLAFALFCPMQRFLQAQNIVNPVAYITLAVLIFHTFASWLSVFVLGLGLLGAALTLSFSWWVLVVLTWVYIIWSPACKETWTGLSFLAFRGLWGYAKLAFASAVMLAINYWNWDFQIMLGLSYAASIRVGNELGAGHPKVARFSVIVVVMASIAFSILVTLLVIILRYPLSILYTSSTRIIEAVISMMPLLAISIFLNGIQPILSGVAIGSGWQAIVAYVNVGAYYLIGLPIGCLLGYKTSLGVAGIWWGLIIGVSLQTIALIVITSRTNWDKEQRSDCITLVLFQRSTTTSSYDGPTGDQLADTDLEPSRMAKFVHLFPASFVLPPPWPWEDSFSSSSQSCAISS >Et_5A_041445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2347273:2348398:1 gene:Et_5A_041445 transcript:Et_5A_041445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRQPIPKFSKFPSEIATPPAPHLHRRLVLLLPFRRGSGMVADSPTFADFTGAQALLFLADSSPAPSPPPLPALSDEFSCYSGSSSYSGASARSCVSDSAQRPVDPLRVLSVVASLRRMDPKVLAKATTTLLHSGAEKKRKGLWIDTDSDDEEDQSERSSAVASEGSTVTGAASAGSTATSGRCSRPPRASREKQPGRADAIMKWLSRPQAGPATETAIRAAVGDNSGTSKALRWLLKQEGGLRRAGTGGLADPYMYMVCVF >Et_1A_004870.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:13090501:13090509:1 gene:Et_1A_004870 transcript:Et_1A_004870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MN >Et_4A_034963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8369354:8369732:1 gene:Et_4A_034963 transcript:Et_4A_034963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRAINNLHVWRSLAIARDPAVAFAFAPYTVWVFRQPEATIRSRPRHRWMGRSVARHHPLRLALRVSQPPRFAITVAG >Et_7A_052754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15416291:15419484:1 gene:Et_7A_052754 transcript:Et_7A_052754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFAVADAPSADYGGRVTFSVMVTCLMAASGGLIFGYDIGISGGVTAMESFLEGFFPGVLRRMASARRDEYCIYDSHTLTAFTSSLYLAGLAASLVASRVTRAIGRQAVMLAGGALFFAGAAVNAAAVNIAMLIVGRMLLGFGIGFTNQAAPVYLAETAPPKWRGAFTTGFQLFLGIGNLAANLVNYGTSHIPTWGWRLSLGLAAAPAAVILVGALLIPDTPSSLLVRGRAEEARAALRRVRGGKADVDAELADVARAVEASRAGEAGAFRRILRREHRHHLAMAVAVPLFQQLTGVIVIAFFSPVLFQTAGFGSNAALMGAVILGAVNLGSTLVSTATVDRYGRRPLLLTGGAAMIACQVAVAWIMRSQIGNNGESPMARGYSIAVLVLTCAFSASFGWSWGPLTWVIPGEIFPVEIRSAGQGISVAVNLGATFVLTQTFLSMLCAFKYATFIYYAAWVAVMTAFVAAFLPETKGVPLEAMGDVWARHWYWGRFVQQRAKIAEDA >Et_3B_028819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19499366:19503020:1 gene:Et_3B_028819 transcript:Et_3B_028819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFRPLRRIQVEPEPASSPAPATGGGDAEGDESPAPAAGLLMGAKVRRRAAVYRDCKGDYIGVPNDPCLTKILSKQGDNKVLFADKVLKFTQSGKMKRRILVITDFALYLVDPDADILKRRIALAAVDKLCISNLSDNFFAIIVPTEYDCLMASTRKKEIVDVIVKAIKSTSEYEPEVASSNRFEYHAAAEVIKEVEFEEVDEFLPFALASRSIEHQR >Et_6B_048233.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:14315507:14315785:-1 gene:Et_6B_048233 transcript:Et_6B_048233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVKVNEVGTEAAAVTVSMCGGVGPPPDLVEFVAEHPFTFFIDHGGAVRGDRVRGACYRPCQVMISIYKQPTISYHGLLCNVTILLFARSY >Et_1B_010239.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31494511:31494558:-1 gene:Et_1B_010239 transcript:Et_1B_010239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTRPQRLVVGDL >Et_4A_034479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3659829:3663734:1 gene:Et_4A_034479 transcript:Et_4A_034479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAATSTAAALLAPRLTRYTIPVHFPLLRIRRSLVAMATAASSFRPEAARSPPALELPTPPLSKFKVALCQLSVTADKARNIAHARAAIEKAAADGAKLVLLPEIWNGPYSNDSFPEYAEDIEAGGDAAPSFSMMSEVARASQITLVGGSIAERSGNNLYNTCCVFGSDGKLKGKHRKIHLFDIDIPGKITFKESKTLTAGQNPTIVDTDVGRIGIGICYDIRFQELAMLYAARGAHLLCYPGAFNMTTGPLHWELLQRARAADNQLFVATCGPARDTSAGYVAWGHSTLVGPFGEVIATTEHEETTIIAEIDYSLIEQRRQFLPLQHQRRGDLYQLVDVQRLNSH >Et_3A_024568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22156334:22157618:1 gene:Et_3A_024568 transcript:Et_3A_024568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQMQNRGQSWPDAPVEVLGLVVGRLPTLADRFRFGAVCRPWLAAERQTPRRKQLPWLALSLPSLSDKAVYKLPFPAGGGVCACLCGSADDWLVVAHREHGNFLLNPFTGATLPLPHQRTITRSYIRRDRDSEDSPYIRKAVFSCPPAVDDPGRCIVAAIVDSGELFFCRPGQSSWRRPQCFSDRATNEFEDITFCDGRLYGMLNSGVLRRSRDTMRVFEVEEDADQLVESRTDGGFLHKYSNHQADQEEQFRQDPPFLERRYCVESRGRLLIVEDIGTRLFKVFHRVPIPLEGKALHEAWVTMESLDGEALFLGGSGSRSFAASDHDGDDADCIYFTDDQEKEQNFCNNRFESPYRSELLDDDPAGMQPCRDIGRYCMRDQSVSFLKELPSNERRSPPIWLYLSDRRR >Et_9B_065925.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17787883:17788764:-1 gene:Et_9B_065925 transcript:Et_9B_065925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGMSERGPSMRRLSGASDGGSGGAGKWEDGVGNAARARAGVNAGIMDEQVLALVFRSLNWDPQSLCVVARVSRRLRAVAERVLWRELCVSRAPRMVAALTGGAPASAPAAGRIGGGWPALAKLLLFCCGAAGAGVPGHFAPVSRFSKTSGRSFLSRRCAGDLLYVSDPCEHAVEGAADDVGAYRGVFRGFMRSRTRAWLVGHRAPLEPRVRCPYCGARVWSMTAAGLAPRSASRRLGANDGRLEYFVCVSGHLHGSCWLARLSDSEGAGGEDDDSGGDGDGASEEDDVDL >Et_7B_055922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7454289:7458076:-1 gene:Et_7B_055922 transcript:Et_7B_055922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFDLQVKERTKDLKHLKTAAIKGLKAAGESCKKAWSKATHHISTSRMIPYFPQELVTAPFFPKSFSFSFFFFFSFLFFSLSFSFLFLLSFSFLLRLLSSSRSFLFLRRLLRRSSSESDSLSDSALEPE >Et_2B_019881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14909587:14911753:1 gene:Et_2B_019881 transcript:Et_2B_019881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKATAATSWRWRLLLLLLVAVAALCWIPPAIAAAAAAASTASGARRSLLGFVEAQGNASYQCSPSGPCIPCQYSEKNDEKYCCSETGYRLPLKCVQVQNVTREGNKGKQRKVLDDASTPGGTKHYITYRSCAPLEGEEKLSVLGFEVLMAGMLLVSGPFVYYRKRRTNIMQGAARIPTSPPRF >Et_3B_029495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25460640:25465330:-1 gene:Et_3B_029495 transcript:Et_3B_029495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAEEGEFVGARLDAALRAVRFASPPSADEFAAEVEPKNVPAVFLGVAKGWDASSRWDPLQDGLDYLLEKVGRDVAVEAMMSNSGRVFYGDLRSHERAGFYSILHSCKSYLHVNAASGSSIEQEILEESACSGETSSSSSYHNEQVSILNTERKGRCSLEILKEDIQEPIFLRGKSFSSINFWMNRAHLRSSTHYDPHHNLLCVVAGCKKVTLWPPSASPFLYPMPVYGEALNHSSVSIEEPDYSSYTRAIHMKEYSERLVLNRGDVLFIPEGWYHQVDSDDLTIAVNFWWKSRIMTQMLEHMDAYYLRRIMSRLVDKEMNIMVQKNPSDHSKDGTGIQPMDKALAGFKLFDLNKDSPLQTLEPSTLQALYELISLVHDSVEVVNQKKEPISKGSSPSQSNESKISAADDSTLLDKDPVAKIIFAIEPIELRSMLLAMVHTFPRTLEALVLNMLGPVGAEILTRKFDEIDQKTTNEEQTEFYKTFYSVFEDQYAAMDALLNGKELFSFQVFQNVLDKYLRVHVDRPS >Et_1A_006931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29035861:29046086:-1 gene:Et_1A_006931 transcript:Et_1A_006931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAAVQQQPTASERRRMYRDLALALRCGLRDAAAGFSFLRLRGLRVLRSAADADARLFHDAQLIRDLQGCCLLCSDCVAAAHRYNAVKVILNILMTRGILEQRACLDTLLALLVDCSENLMLFDLCLMYLLDFKELEGLNKIADIVKDTNRDGHVRLKCAEFLLLYSGSAIGDYSAASEFDMQDLRQLFGEKCSIFICSTNLFKPTLDQQVQQSELSFLAEH >Et_9B_064665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17469847:17472793:-1 gene:Et_9B_064665 transcript:Et_9B_064665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRSQCVVKTPSHPGGGASVSKTQLFTLSLSSVLGELGAQGLQEHQKKMMQQHRQPLLFGIGAGTKLVVSFAPFARVVREITEFYSRKVTRWTVGALLFLQSVSAKTCHRAELYLEKMFANRLTIHVKRVIVMVEQEQLLRARTRRQHKQLPTLGEASTTAKRSRSRKVEAPAEGGASIHRLAKRSRRPCSMYPASDWVVLSVGRNSS >Et_4A_032678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12492027:12499870:1 gene:Et_4A_032678 transcript:Et_4A_032678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPWLLVVHGLVTALVVVSFLCGQWPIFEGTFIQSINHFITFGAYHHILQLVQKVCGNGARDLVLGVERYCCDRPNPILQVFYVAIIGVTYFIIVQTSFEYIPGYYVSGVHRYLSVVAVVVGSILFMLTSFSDPGTVTNENVSQYVSSYPYDNIIFVEKECSTCKITRPARAKHCRICDRCVARFDHHCGWMNNCIGEKNTRYFVAFLVWHFLLCVYGAVVLGFILAGELKKKKVIYILTAYYGVDNSFSGLFPHVTQWLLAVHNTQILLSVFLAIIALLLGGFCAYHLHLCLANTTTNEGEEPVVKNNIYDKGMIRNLWENFKAVAIHMQAAVCMCATTEIYTMHYTRTIDLS >Et_10A_001098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21054845:21055460:-1 gene:Et_10A_001098 transcript:Et_10A_001098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CRRAFSPSQKKNCPTLLLAPAPLPSPTRRPLQVCGASDASAAQVCASLRVSRAPTRRPCSLLSPPREAKRVVLVRHGQSTWNAEGRIKGISDISVLTPKGKSQAETSRLMLLSDSFDACFHQPARALPPYRGDHLGRTRRRPHPRLEPPRDRPLFVQGRNSTCVLTYWGVCGNACTIVSAYLLFDRCLSAYENVVAMN >Et_6B_048595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11195915:11198659:1 gene:Et_6B_048595 transcript:Et_6B_048595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVEIKENGGAAAAAGGNNKPQEKSAAAALSVTRGEPTLVAPAEATPTGEQYYLSNLDQNIAVIVQTVYCYKAGDNSGEKDAAAALRDALARVLVHYHPLAGRLGISPEMKLTVELTGEGVVFVEADAACDLAVVGDLTKPDPAALGQLVYSVPGAKNILEMPPMTAQPRAPPAISFDHHEFAEIPDVSDTAALYEGQDLLYRSFCFDPDRLERVRALALAGGELERCTTFEALSGLVWRARTKALGLAPEQRTKLLFAVDGRRRFSPPLPRGYFGNGIVLTNAIAAAGELLSAPVSRAAALVQGAVRMVTDEYMRSAVDYFEATRARPSLASTLLITTWSRLAFHGADFGWGEPVMSGPVTLPEKEVILFLAHGEERKSINVLLGLPASAMEAFQELMDEI >Et_8B_059766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2504461:2506703:-1 gene:Et_8B_059766 transcript:Et_8B_059766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPNASAPATGDADAADTDAAVLAKLRASRAAIVSVLAAAAEAEVDIDAIDDRLVDLLSGASPSTSHLQSQAVAARALRARIDRAVAPAEPLLAAFRRVSSLAGDAAPPADPGDAAGAAAFVGRVDQLRDAIEEAVARGDEAVRRVEEAVGFLGRTKAAGRGRVRRLTEAAAALRAVYEAEAEEMRFEGPLDEALLGLQDLFEAMLLRLKHPAPEDDDDDGVEGDTAGYELGTDEEVEAAARMAKTLAGNDCLDICVDIYVNARYRRAAKAMMKLNPAYLKSYTPEAIDDMEWESLESAMALWSPHFHVAVASVLPAERRLCARVLQHLPPAVWPECFAKIAARIAAAFFRFADGVAAAAREPQRLFKLLDMLDAVAAERARLDALFSSESSATLAAIRERTREVERALGRAAAGVFFEFGLRIEAHYVTDSAAGAGAGDVPKVVRYAVNYLKCLASDDYRALMDAALRAELERERKDDDDDDDGDEGGGGSDEGGGVPPPPPLAEAASNVLEALRRHVESARRVCAGDAVASRVMAMNAYWYIYMRARGTELARLVGEDAMRRRYKAAAEEAAWEYQDAAWHPLVRLVAGSSSGAPRAWPPEEAREKAAAFADMLEERARRHGAEYKIPDGDLRAQIKAAAAKAVRGAYAGFVKANERALAGGRRQILPVDVVEGMVGRVFDEMGDGVAASVGRTRSGNRSRRESRGSINLEGFDG >Et_8B_059668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20436235:20438610:-1 gene:Et_8B_059668 transcript:Et_8B_059668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRKELLSSAPWRTGDAAEDEEAARLSREGKVSVTNKPGETATMNVPRSRRPDLDLTVDDFDEEEIDPELRYSFQRNSRFLKRVFSVDTLVKPLPPVMAYSVSRNINFFFRIFTQFWDEEGIANAQKSLGLGNDDGSRRMR >Et_10B_003343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1958314:1960051:1 gene:Et_10B_003343 transcript:Et_10B_003343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERGSKKPSAASAATMSTSTLLQLRDRLIAVQPIVLRASVVLATAVAAAVMGLNTQSYTAVVAIVGTRPLMQTFTAKFRDAPAFVYFVIANAIAGVYNLVVLLMRRLIMQRRTASLVVHMLDMVIMVLLTTGAATAASMASLGKNGNLHARWNPICDKFGSFCSRGGIALVSSFIGVTLMLALNLLSAATKPNVAGQ >Et_7A_050725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10455156:10467325:-1 gene:Et_7A_050725 transcript:Et_7A_050725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSARKKKKRGAAGRKDHAAQLEGDQTALDEELTALAAIFLEDFKVTSDSPQTRLKICIRPYSDGMGFEDLNVSATLDVICFPGYPHKCPKLRIIPEKNLSKEDADRLLSLLVDQANIYSREGRVMIFDLVEAAQEFLSEIAPAHDSMNNAPRLGLSTIHQTTDVDLNVSLDSDHHPVVSYLCNAFDLYSQLYDDTSWSGQSPDPTTDISRKNTGSQVRYNDRSKRKTIIEKSRVSADKVNIAKSSYEDKSELRHGTKHGVIREATPNLHVLEEETENDTKTLSSSNVGNTSDTQERSFSSLHEPGDSDLADEAWDEEDCDSDSGPSNAPSASDLLDDASRNKKRDLILVHLLRLACASKDSLSAVLPAISSELCNIGVLSEWAKDLISETPDVFGETFGHVFGQQMISSECSLFWRADNSSSRPNSRYLNDFEELRSLGQGGFGRVTLCKNKLDGRQYAVKKIRLKDRSPQVNQKILREVATLSRLQHQHVVRYYQAWVETEYGHHDILNAGGSRTAESSMFSYEDISLSDAGGGNKQESTYLYIQMEYCPRTLRQDFETYSSSFDVDHAWHLFRQIVEGLAHVHSQGIIHRDLTPSNIFFDVRNDIKIGDFGLAKFLKLEQMDQDQYLPTESMGVSMDGTGQVGTYFYTAPEVEQKWPQINEKVDMYSLGVIFFELWHPFATAMERHLVLSDLKQKGDPPISWAKQFTGQSNLLRRLLSVSPSDRPSAVEILQNELPPRMEDEWLNDVLRMIQTPEDTYVYDRVISTIFDEDRLIAKSQCQHESGKKPASSIDNSELLDTIIEVAKEVFKRHCAKRFQISPLNTLDGNFNENRGKTVKILTQGGEMLELCYELRTPFVMSVAANQTSSFKRYEISWVHRRAVGHSTPYRFLQGDFDIIGGASPIPEAEIIKVVLDLGSRFYDSKALVIRVNHSKLAEAVCSWAGVPQERRQNVAEFLSSTLVQYWPNKADRKSQWSLIRGQLLQDLRLSEEVVEKLHKADQRFCGSADQVLARLRGTLFYDKSACKALDDLSTFLKCLRVWSVDKHVTIDVLMPPSECYYTDLFFQVYSKEGNHAPNFHEKLLAVGGRYDWLMEQAWDKASKSKPPGAVGVSIALEKFLPNNPSSDLGLPRLLSRIEPSISVLVCSRGGGGLLDERMELVSELWKANIKAEFVPQEDPSLQEQYEYANDNDIKCLVFITEAGLSQNDLVKVRHLDAKREKEVKREELVKFLSDAICLQFKNPTIWS >Et_1B_010914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15290968:15294072:-1 gene:Et_1B_010914 transcript:Et_1B_010914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKKQEIKSSKRNTKAQRSPTERQQAGRPAARQASDAPKLPLPPAASPVKKKRRAEAWTPLHGLSPCRRPPEARSLPLLCLLPANRRSQIPPRTRPLSPPHSPYHSAPNPPRSARMLGRGVALSAPRWRGGALDLRAALRSGGNLLFALFVAAVLAFTLLAAVHSPDDPLLHPSSHQLTDFLTSSTSNSTFLADDSVLRTGEDFNSSSPTIEAAIGEGQVVTEAAIKLSDVASEAEKSEGETERAVTVDTDSATGEAAATTTEENPIVEAVSCDTEAPVDCTGDRDLFNLLMRTAIERFSDLHFYRFGRPVAVPGSPMECDLAWRFRPSADANGRATYYKDYRRFTLTRDVNTCSLVVDSIGEYHSGVGAKKGKSGRRKGKKGKKGKRDSPVTNTDFVPTKTQMRIDENAAANEGAASAAEPVLVVGEAVNDTLPVVASESDFSRGRYLIYMGGGERCKSMNHFIWGFLCALGEAQFLNRTLVMDLNVCLNARYTTSGKDEERDFRLYFDFEHLKQSASVIDQSQFWQDWNQWHKKDRLKNFYTEDIKVTPMKLRDVKDTLIMRKFGNVEPHNYWSRVCEGETEGVIKRPWHFLWKSRRLMEIVSAISSRMNWDFDSVHIVRGEKAQNKQLWPNLDRDTSPDSLLMTLNDKVGAGRHLYIATDEPNKSFFDPLKEKYNTHFLDDFKDLWDENSEWYAETKELSNGKLVDFDGYMRIAVDTEVFLRGKRHLETFNDLTRDCKDGRRDNGQATHPFRLCTGRVGSGIRFNTQQLLVNDVTGVPSSSATGH >Et_2B_021068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26083787:26087923:-1 gene:Et_2B_021068 transcript:Et_2B_021068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTSFLNNPRVSQTASFPNVASVPEVKSNSYLGFLKIMDHRQLEQQLILDRDRGIIACRMTTGIPTNNLFGQSSQTRNPVFPAATFGVSEMKFGVPGPLGSQTKQSSGSLQSSSSSSFSFPKPPAGYQQSPASSNHHRDIDKQSLDLLGGMMAPASAVCQTPVEDEENENQDDSIWLKEKWSIGEDNFRDDVTMLSYRFCRDYIVFDGSLRRRFCSPGYRISVLIWLLLIS >Et_9A_063054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9186071:9189245:1 gene:Et_9A_063054 transcript:Et_9A_063054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWATGRRSSASATAENAGATGAKAAARAGQRVALGDLTNLIGVGGRFGAADSAPDAKWRSTKSLADTKKGASLHNVNTERGSVRKATSGEFDQAVSHHEITLQEDNVFLSFVPSIVPEGGKSPGLSEDSVSMEDAMSTCASMGSDDFDCFDDGDSSTVDTLHCWPSDKLHISDSKYVAAFDWKKHSPMAIKTENTFDIDDNQEDVQLFGMLADDIYKNLRKAEIRRRPSTNFVETTQIDMSTSMRALLIDWLVEVTEEYRLVPETLYLTVNYIDRYLSVKVISRHRLQLLGIACLLIAAKYEEICPPQVEELCYLTDDSYYKDEVLQMEASVLKYLRFEMTAPTAKCFLRRFVRATQIYDEGSTLDLEFIANYICELSLLEYSLLRYVPSLVAASSVFLAKYILMPTKNPWNSTLSYYTQYTRSELRGCVRVLHRLFCVGPGSNLPAVREKYSQHKYKFVAKKYCPPSIPNEFFQDATS >Et_1B_012374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31265458:31265978:-1 gene:Et_1B_012374 transcript:Et_1B_012374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSMGGSLALAAATAVAFSGSLVIFSLCRGHMSGAASPSSPTEPSLRPCLSSSEKRRRGGKSAARRKAEKRVRFAADVVDNEGAPRPTRASPAAATSSSCRDAAEERMPANREALYRGMLRDRSAHRVTCSY >Et_4A_032622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1220719:1223862:1 gene:Et_4A_032622 transcript:Et_4A_032622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRRIMDKKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDESLKTYKGSRDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSPTCKRGFVQFCYEPIKQIINTCMNDQKEKLWPMLQKLNVTMKSDEKELMGKALMKRVMQTWLPASTALLEMMIFHLPSPSTAQKYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLSEFEDKL >Et_2B_019349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24841623:24841922:1 gene:Et_2B_019349 transcript:Et_2B_019349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASMSKKASSFVVAASMSAVEALKDQAGLCRWDYTIRSLYQRAKSSSQAAGSSSAAAASAGGRARARRQEEEKLHKAYHLVCWGPN >Et_1A_006429.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22038696:22039256:-1 gene:Et_1A_006429 transcript:Et_1A_006429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAPSLVRLYEQMPEPKYVIAMGACTITGRMFSTDSYSTVRGVYLPGCPPKPEAVIDALTKLRKKISREIVEDRTLSQKRNRCFTTSHKLYVRRSTHTGTYEQELLYQSPSTLDISSETFKIQKSSIFLQILN >Et_2A_018388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2620505:2627433:1 gene:Et_2A_018388 transcript:Et_2A_018388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKITNPNPFVPLDPVIHWLILSIWFMHLSIAPALGHDVVEAFTKPVKDNMYRELYNATMGIPGFSEEALMFALSHMLDNKSHGYGFSLGSHKSYPTREEAEATYEEFINAKLAVKGMKKGLARSGYTWKDISPVISLQNAWRIRHHEF >Et_9A_062008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18803256:18810565:-1 gene:Et_9A_062008 transcript:Et_9A_062008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGPTSPGGGGGSHESGSPRGGGSGGGGVREQDRFLPIANISRIMKKAIPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIEPLKVYLQKYREGDSKLTAKAGDGSIKKDVLGHGGASSSGPQGMNQQGAYNQGMGYMQPHGVSTRLYFTNDGFLNHNILDGWSIHAILNPPIATLK >Et_6B_049843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14788956:14790335:1 gene:Et_6B_049843 transcript:Et_6B_049843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAWRQRYGWAAFCGPAGLQGEASCGRCLLVTNAATGAHATVRILDWCSFGGLGLDPFVFNQLDTDGHGDVTGQLTWCDSN >Et_5A_042773.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4003104:4003343:-1 gene:Et_5A_042773 transcript:Et_5A_042773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDQGGASGGHVKSLLDMDDDILLEQIAYYFDLLKYDPPDNSDDWFEYTEQQLAELNQRLAFYRIRGYEVSLDHNMN >Et_3B_031195.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20219938:20221347:-1 gene:Et_3B_031195 transcript:Et_3B_031195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQHTTTVAAGAVAVLQRAWTASSTSDVVMTGLLPMHVLLLVILGVGALATLWRLRRPRDVYLVDYGCFRGEPSYRIPFATAVEHARHMTNLIREESTQFMIRLYERSGIGEETSVPASYRYIPPDRSIEAAQEEAELVVLSAVREVFARTTLRPEDIDAVIFSCSFTTLTPSFTDMIVNRFKLRADVQSVNLSGMGCSAALIPIGLARNLLRVAPPGKHALVVSTEILSSQLYAGTKREMMVPNVLFRMGAAAMIMSNSPDRARFRLGPVMRTLTAARDADYRCAFQEEDGDGITGINLSKDLPALAGNALKENIMAFGRLVLPAPELFRVAVSLLKQKLLISGGRKAPPYRPSFHKVFEHFCIHPGGRRVLLEVQRGLGLTDGDMEASHMTLHRFGNMASSSLLYELAYIEAKGRMRKGDRVCMIDLLQPRIGLQQRRVGVRQAGDKPRAMGWLHPPLPHPASPCR >Et_10B_002690.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:8467961:8468113:1 gene:Et_10B_002690 transcript:Et_10B_002690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRMERDSNPRYSYQYFGFQDRLFQPLRHPSLRSPALSICTLAGRGNSM >Et_2A_016361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23972326:23972747:1 gene:Et_2A_016361 transcript:Et_2A_016361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLARPGLDENGCGWVAAQISGSATAFGHLIPFLELAKQLAWRGHAVTFVSTPRNIARLPPVPSSLSGSVRLLSLPLPAVEVDVPPEKVELLKAAFDALAAPFAEETGLDYPRLRALLARPHRRRTQGE >Et_10A_001448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3432176:3438485:-1 gene:Et_10A_001448 transcript:Et_10A_001448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARAVASAPEPARSGWSSLRPPLDLRPALVPEDGDADRAVAELLNAALEGDLTRAKKLAKEMSKAGKGVEQAVAAAVVVTGIKRPGPLHLAAATGKVEMCRFLVRTCEFDVNATDDDGATPLIFAVQGVGSKAVINLLLSREADPDKADSDGIAPLHIAAERDSCEIAELLLSKRAKVDPVCGSGEAPLHVAAENGNAKVLKLLLEHNADAGADVNAGTPLVIAAEKGLNGKMPIEIAALKGWEECVEILFPVTAPIAEYADWSIDEIILHGKTVSSKLQDERTIFLLSTKQQLVDIGSCLQSVVISTCYRYFNKFSIRYVLVYVIWVHAAGIETLMSGLNLGTGSECVPPLRRSTRAKQPSVRISGPEWIR >Et_5B_045045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8872883:8880676:-1 gene:Et_5B_045045 transcript:Et_5B_045045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTRKIMFLTYNVWSREDMVARARMEAIIKLVSDDKPDVIFFQEVTPHILGIFKIHSWWTKLAHLLKMHWQLGSTSACWYTTTPMRCLERADQAEQAVSSLNRHDNIVFGGDMSLDDKIDLPFPLLDGWVDAATKYSKTECSYIPTKLGHDYYYTHDDLWNREPSKASCRFNKFAMCEKAITQRRSDRFVCKLKDYELKSIELIGNEFENKRMGIYRFVNLARFRNDKDETYHLLPSSHFGVVLSI >Et_4A_034854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7172872:7175854:-1 gene:Et_4A_034854 transcript:Et_4A_034854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISGVIMGMIVGIALIAGWSRSMARRAAKRSRKASAAVSFRFDLCNQKAADISSMGSLNRDDVKKICGENLPEWISFPEYEQAATMVIRDSVEPLLDDYRPPGISSLKFSRLSLGTVPPKIEGIRIQSFQKGQITMDIDFRWGGDPNIILSVETLVASLPIQFKNLQVYTIIRVVFQLSEEIPCISAVVVALLAEPKPRIDYILKAVGGSLTAMPGLSDMIDDTVASLITDMLQWPHRIVVPLGGVDVDISDLELKPHGKLTVTVVRADSLKNKELIGKSDPYVVLFMRPIFKEKTRVIDDNLNPEWNETFELIAEDKETQSLVLEVFDEDKLKQDKRLGIAKMPLNDLELETAQEVNLQLLSSLDTTKVKDKKDRGVLTIKARSIDLLVIVMYHQFTKAEALEALELEKSLVEARRKGKNETAAVSGAADAASGMTSTVTNVAGTGVVAAGTVAGTGMTAAGSGVGLVGSGIGAFGSGLSKAGKFVGRTVTGQFSSARRSASSVPPVEE >Et_10A_001117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21273420:21274028:1 gene:Et_10A_001117 transcript:Et_10A_001117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSGSRKRRRSEDDEVGEDVDAGAGAGEEEVIELEDEEEEQPCQAQAGGKINPLIAEITMFGGEKGKNDGGSKHWRCNHCKKAFKSSLTRVRVHLLGAQPGKKPQIQRCLVLLNDVAKTRELRDKVKEAEQSSKSVQQKGMVLNNPITQFFGAAERDAVDLKILKASHC >Et_1B_013913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23757109:23760542:-1 gene:Et_1B_013913 transcript:Et_1B_013913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVQVEPNHGVGSRCAPPWKLYHNPHYCSTSRSSCQRWDTESPMIVVFDDDDEGSIGEEEEELDQGYGAMSTELELCVERIRELRAELEFERRMRRKAEALGEALAAELAEERRRSEAAEAACRALREEAGAARVAVERALESVEEERRMLRVAELWREERVQMKLADAQAAMEEKLREIDDAVAEIHAAAAAAASGGTNRSSCCSNSSPVGKSSPSRSQHGQQSPIRSQQHGHRRDAGGGGENPHIRRGIKGCVEFPKAVRLRPREERVDLVSNLECQRAQLRVLMRHRSPAAGMGLIGASENLVVNFPPDSTSAPGILLLPWFIGSLSTGGGGAGFGGTATATGSTALGTPGGVLHRMSAQFTPSKNGCCFISAAPLFTPSRLWGSLASRPLIRSLLALLVAGQSGNLSCWPTTLNSVARFVWPLNGVLPYMSSCRNTPNVHQSTALPWPSPLMISGARYSCVPTNDMDRAPVGSTTSSGRPADACCCLASVLLDFLVVLFFLLLWLKSLGMKHVGWMQAGWAQVCAFLGSEFREDLTRVGETAQRRERSKSESMMWPSSLTRTFSGIKFYRIRETVEGKNNVCKNIWALLLALYRFGIGSNKYLAACSGKCLPGCRCRSAWRSPPGQNSMTRQANLSVSKCA >Et_7A_051810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2528705:2532948:-1 gene:Et_7A_051810 transcript:Et_7A_051810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSWYLMENPGCWGELQISLVQKEKVVQLERSLQDLSEQLSFAHAECIEKEAILAKQAKVAEEAILGWEKAEAEAIALKTELDDTLDQKAVIEQRICQLDEALNVAMVERESLIKDTSQMISCEQNKVLKLEENLAEKMNVIASLDAENDKLSEILSVKEKIISELIDSNRVTESNLKNVAVKLESAERSNSSLRYEVCMLQKQLEIRSEERKFSLKSADAAHRQHLENLKKITKLESECQRLRSMVRKRLPGPAAIAKMRSEVEILGSSPASSRTRKLNSTTSFDSSIQVQKSHDTSNGNSPLLARLHAIEDENKAIKKALSKKDGELQFSRTMLGRTTSKLSQVEAQLEELSRDRTAAEVVKGSPTFVENHLSSISEVGCSKDDVSCSGSWTSSTLLSQLEHFKNGKLTAHSSKSTRVSDMSFMDDFAEIEKLASICDNNKHMEPYDSKIEVIESSGKELVPIDCPTGTTNQIHQLKIEKAVLKLIELIEGVIQRSSKECSSKLVLSGADEDDNQKPLSGYVARAFLWSTSELTSVLQNFVFVCNELLYGNTDIESFVHELHHTLDWIISHCFSLRDVSDMEQTIMKDLQLSNNDEVEVVALTKHIGIHTTDGINEAMATDNVQMLSISESRFTDTGPKAHYGTQNISNNKIQASNDQGIEKTALNMRLELNELKESGKAIANTAASASESCTHESTLRLESCSANEGFKNVGENEEKHLQMLSSVSQQLEISTASEKLIECRETILNLGKQLKALAAPKDAVLFDKVLDTAVKSEQKPRSRSLSEILSMDDPSSPKTKEIICTEPRASRERNRSADERDDGSAACSSRPVPVMPHIKPR >Et_1A_007391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34011737:34016444:-1 gene:Et_1A_007391 transcript:Et_1A_007391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRRRGGTPDFSYGTSAGIACNRRVILDTIYGYYKEVIDALPLEDMPALTRRLLDAGVCFGFADPVINIIANTVCLVPDEDGEPAPEKPRKKMKMKPSREAVMSKIVAGDVPSPPEARAIAERSLEGLVSFLTSYFPYLPTWDALRYLCLSRADLLVAVRLIEQDRCYRRKEGFGICSHSVETALKCALLSARQADVDALLTGSFALASHLKLVTKTLSAGNHRNLSVRDINWLSTLLKKPLKLEKSNPMELAALRCHVQDNDSSIAKVPGGLADALRSVLLDRIHAQYLKAVSRLPMKDFRNRHNRGLLKAGYCYGPLSPVSNIIVNSICYDTAFPAQEEFEADMVCTKILIRLVSRSLDGLIKFLVACIPEITEHDAMVYLLKSDLEIPKSIEMARLEGYATTCCDLSAQMYRFIHKVKRMRSLWCNSYLRIKSLLQTSNKLSSKDVLDLSMLLSPRGCYPAEPSEPTVELSKEALAMFSSYKETIISQQHFFRRKIEAALEKNGHFYELRVIFAVNERVGSQRSFIDLKCPYTHVNFLASPKVGTGLKLFFAEVSNDEENESRPLCCPVPDQPLKGARIVHPVQDYCGGVTDLEKIARGEHDITHARIINHAKMITSKVGMCGEDYIYLDPTRDTIFTQGMNQTALEENVDWSEIKNIAEIWCINSEKYISEFTMSQVEKNN >Et_3B_028933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20538771:20543498:-1 gene:Et_3B_028933 transcript:Et_3B_028933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGAGRSRRRGRGAPTLPTSAAARRTEHSVSCWSVNYTVLLFALHLLVKLDLNCIYAFNDILFDLGSKHSRCMRAWFSVGVYFSLIALVGISLIILWESIAVFYFRSGSLIAWLHNLLTPGLSISILDMTVIIISTISSIAFHEFGHAIAAASEAIQIEYVAMFVAVLFPGALVALNYDLLQNLPLFSMLRIYCAGIWHNVVLCAVCFLITLLLPVVLCPLYVSGDGLMVTEIPQTSPLSEYLSAHDVILSVDGLKLTRTDEWIKVLDQGTILKINSPESLEGSQRHVVTSSGKGYCVPNSWMDASMNLWQISNELPCPDELIAFEKMICNVSTVFSEKNDSDSDQKEVEGKYCLIAKDVIKLRKCGNGWLRTESDGSSCACFQDEYCMVPVLSPGFSLTEVSYARPYSSECLQKEGNISSLHTSNDNHGQSPCEGSFVYVSDLVSSARSVMLSPYRPRWAFLLFIADLPYILEKCLSGLLHVSAALAVVNCLPVYFLDGEAILETSLCYLDWFTRRQQRKILKVCRSVWTVLSIITFSRIVLFRNARL >Et_1A_008625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9252892:9253659:-1 gene:Et_1A_008625 transcript:Et_1A_008625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHGHQCLLNKACLKRQKKNNGGSIVIGNLLLDIDQDAPHRSLADLAPCHGPLMSVRLGAVLAGGSPRGAAAAERRGLGAWRVMGHDAHSVIAIPPRGKWGALRRLAGPRRLAEKAAVREEKPRELARRVADHDGQGAPVEVARAAFAAVVGVRCQAIYVLQGPGARAGRRADGRGGRGVGGVLSGAPNVSDLHGPGAPSLGSPCFVLHGLHHITSPG >Et_5A_040586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1015491:1015725:-1 gene:Et_5A_040586 transcript:Et_5A_040586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITGVVALPDDALAAALRRLPLQRHGATSWTPARCCSRTLSLARCTASSSITLTTGNRTSLLFDANDNRRHA >Et_2B_019498.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:8347486:8347659:1 gene:Et_2B_019498 transcript:Et_2B_019498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKVACAILVAASATVAMAAEAPAPAPTSASAAAFPAVGAVLGASVLSFFAYYLQ >Et_4B_039324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:123752:124686:1 gene:Et_4B_039324 transcript:Et_4B_039324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEWEAAAMGMDLGMGIRHNTTMPPPPPPPQQQPLMGHHFYGGGDAMLDFFPMPGGAASTPSSGSCFNLSFAGDDEFSIPVPREEAAELEWLSNFVDDSYPDMPPSYPPEVQAAVAQQQRPNNSNNNNNCSVACTGARTKRSRQNNRPGDKDKEKEKAASSSTSDSNNNNGGQLLVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTQHSNSHRKVMELRRQKDIRATSAASFRDYATLC >Et_3A_023010.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:16798784:16799722:1 gene:Et_3A_023010 transcript:Et_3A_023010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAADRRFKIFAAADGFGQPLKDAVVAHLRAHPAVAEVVDLGVDKYYASAASVARHVSSSSSSDSASEVRGVVVCGTGAGVSIFANKYPRVYATNCASNADAVNTRSINACNVLALSGIATPPDAAAAIADAWLATPFRAPCPASGDAPWPEDIQKFFDTAPDEMATIPEGSGAAVPDSACAICCLRKGMEFEPVGIMPGGEMRIVRESPTSAYVRFKAGSVEPAHHHTFGHDLVVIKGKKKVWNLTKKESYDLVDGDFLFTPAGDVHRVKYFEDTEFFIRWDGHWDIFLDEDIDTARSAIDAELGAATN >Et_10B_004308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3392170:3394119:-1 gene:Et_10B_004308 transcript:Et_10B_004308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGPEPAARNKAEDLCAVAARAVTDSLRAAVARSGAAERAARFEECVRSLEAEKAKMEVFRRELPISVHLVADVIEWLKDELAQHRRPAPELFAPAPAVAAAKRKAAPEGGKVEEADDKRSWMSSAQLWSCGSRDDSTDNSNGVAGAKKPAHKVSHNAFMPLNGLAKSADAAEKPAAMPVPDLSLSSLAIDAACPAAPRATRSAVTDARAQCQQQAQRKARRCWSPELHRRFVAALQRLGGPQAATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRASSDGGDQQAAMALWSAPEQQYTTSQHSTSQSGSPQGPLQLTVSSRAVSVTGGDSCDGDEEEDGKSESYSWEMQQRATKSSSS >Et_9B_065612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7952745:7961785:-1 gene:Et_9B_065612 transcript:Et_9B_065612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDFLPEGGKLPELKLGARQAQGFISFFRRLPQDARAVRLFDRRDYYTAHGENATFIARTYYHTMSALRQLGSSSDGLSSVSVSKAMFETIARNILLDRTDRTLELYEGSGSSWRLTKSGTPGNIGSFEDILFANNDMQDSTVIVALFPVFRESQLHVGLSFLDMTNRKLGLAEFPEDSRFTNVESALVALGCKECLLPADCDKSIDLHPLQEAISNCNILLTERKKSDFKSRDLVQDLGRIIRGSIEPVRDLLSQFDYTLGALGALLTYAELLADDTNYGNYTIEKYSLDHYMRLDSAAVRALNIAEGKTDINKNFSLFGLMNRTCTVGMGKRLLNRWLKQPLLDVNEINNRLDMVQAFIDDPELRQGLRQKLKRISDIDRLTHSLRRKSANLQPVVKLYQSCMQVSYMKCVLQQYDGPFSELIRTKFLDPLEELLSENRLGRFVNLCETAIDLDQVENGEYRISPSYSSDLAALKDELSVVEDHINNLHQHTAADLDLSVDKQLKLEKGPLGHVFRISKKEEQKVRKKLNSNYIIIETRKDGVKFSSPKLKRLGDQYQGLFSEYTSCQKIVVDQVVQVSDTFSEVFENVAALVSELDVLQSFADLATSCPVPYVRPDITASDEGDIILKGSRHPCLEAQDGVNFIPNDCTLVRGKSWFQIITGPNMGGKSTFIRQVGVNVLMAQVGSFVPCDQASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGASDKSLIIIDELGRGTSTYDGFGLAWAICEHLVEVTRAPTLFATHFHELTALAHKTVDEHRHVPDVGIANYHVGAHIDPSTRKLTMLYKVEPGACDQSFGIHVAEFANFPEAVVALAKSKAEELEDFSTTPTFPDNSNDEVGAKRKRVFSPDDVTRGAARARLFLEEFATLPLDEMDGSKTVEMFTKLRSDLQKDAADNPWLQQFF >Et_4B_038066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25979486:25981361:-1 gene:Et_4B_038066 transcript:Et_4B_038066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVSSLAAALSHLSLPSTSTSKPHPSALLRLQPTSTSRRAASLALRASAAEAAEPVETEADLPAEEVVAVEEEEAEEQLSGIALRKYVKQRLPGGFAAQRITATGRRKTAIARVVLQEGTGKVFINFRDAKEYLQANPMWMEYCKVPLVTLGFENNYDVFVKVHGGGLSGQAQAICLGVARALVKISTANKVPLRSEGLLTRDTRVVERKKAGLKKARKRPQFSKR >Et_2B_022542.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25727565:25728266:1 gene:Et_2B_022542 transcript:Et_2B_022542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELEVSEFAVIRPIRTAVSSAGSATPTRPGSEDGKDAADTGCVTPTTAVSLSPLQGGVDVDAGCVTPKAVSPSPLQGGSDVDAGCVTPTALSPSPRQGVVDVDDAGCVTPRAMSSVPLQFADADDNTDGCITPASAMNPTLRRGVFVLDVDCVTPTSAASVLRPSMECPPAPRKPARSPPAIKRKLCDGRAALKRCFFPVPRDLTKVFVPRGPADSSPPQAAKKIRVHPVG >Et_6B_048225.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:13328304:13328666:-1 gene:Et_6B_048225 transcript:Et_6B_048225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVTALLAATATPPAFSSSAVAPARHARPSIGFPLPGGARAALAVECSSRPQKKGTKHHMKTRPKKTAPWDVKRKPTQYKPLPPLPPDWTLVASSATVDAEGAAPVLELAAAAAPAAAD >Et_10B_002885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13071394:13073559:1 gene:Et_10B_002885 transcript:Et_10B_002885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GKTKNEVLRWQPLVAALSKLLRRSEARVASWRSVARRPPTVTVLRRRITSAASPRTCSSTCSPVLAAAARTSVLARRCAASGRASRGDVRGHQPPLPHAGRPARSDNHSLLPMCPSLRFLHFEACVDLDVVTVHSTSLEELVVYSHHDESSYEIDYIDIMTPQLKKHGNVGLEYMRLSHLKYILTRGVHKLHLDIGCWVCLSSASFLSSLSELVG >Et_10B_004034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9514282:9515776:1 gene:Et_10B_004034 transcript:Et_10B_004034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPSGEQGKDANKIANQTALAQKKFLDHLLLLGVESQWAPVALRCMALRCIGNLVLRNPQNLDSLARKQVGEEPHVQPALNAILSIILRTSVAQEFVAADYVFKCFCEDSCLLGLISDSLQERHFTLQAHIISVDRVREFLSYAAIFDLMQLPM >Et_5A_040945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15416010:15428170:-1 gene:Et_5A_040945 transcript:Et_5A_040945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSKRGKAKGEKKKKDEKVLPVAIDITVNLPDQSDVILKGISTDRIIDVRRLLCVNTATCAITNYSLTHETRDSPLKDAAEIATLKPCTLTLVEDEYDEESAVEHIRRLLDIVACTTSFGPAPPPPRPPSPKEGDVAKEPSNSSATSKASASSGARRTASPPPSGAAAKESSAAKEAAAKEYAASAELEAEMSGVCPRLGAFYEFFSLANLTPPLHFIKRVSQPRQEEQPSDDHLFFVEAYEDLMKAFLERNKFGNFPYGFRANTWLVPPIAAQSPSTFPPLPAEDETWGGSGGGWGRDGKSDMLPWADEFLYLTSMPCKTAEERELRDRRAFLLHSLFVDVAIFRAIAAVRHVMESTEVSTSTKTDEILHTETVGNFSITVTRDSSDASCKLDTKIDGGRATGMDSKQLAERNLLKGITADENTAAHDVDSLGIINLRYCGYVAVAKVNNIDKNIVNSSIKPINIADQPEGGANALNINSLRMLLNEANSAGEKKISNISQNHRQEELTAAQTFVEKLLKESLQKLEEEEIGKQSFMRWELGACWVQHLQDQKNSDKDKKQGSEKEKKKTVDKSVKETKIEGLGKPLKALKSSKNAVDTADKTSLGAKNLSEGTSSTESQKDRPSDVELPQGESSASENESLLKNLLPDSAFTRLKESETGLHQKSPSELIEMALKYYDEVALPKLVKLSEKLSHVQSLCVHEMIVRAFKHIVRSVIATISDMQQLALSIAAVLNLLLGAPESEVSRGSSNVHPLVWRWLVVFLKKRYEFELTEKHYHDVRKYAILRGLCHKVGIELAPRDFIMDSAVPFHKQDIISLVPVHKATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQKLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNQENKGRDSESGKRRYSSIKVLSQNESSNDASPEISPRDSSVITDEDQQGKEPSKDDVTDMVPEAEVKQSPESSEHPAPSELPIEVDEVNINLPRDVCQQEAVEPEDGWQPVQRPKSVGAPGKQIKHYRPTTRKDPDSQDLTYSSQYKPRNSYPNNRYYFLRKRTVVPTTHTDPQQNVKVQTSGARFGRKIYKAVTYRVKPGTTSTEVQDTSKSTEQTSGKVDSQTTYSQAHNPPSIDQKESEPHGTLVTSTGNALSYKDVALARPGTIAKSQIQKSRDDIPQNQPSLGQIIAQEMKDSLVDSHQVEQRSESAKADDSKEVTNVQGEIQEPEDANISEKQLKIDDSLQDMPIPNVEESLTGSSKEEANVFSNTSQEAAVSGNNGAVIDLSEATGPAKDEQSGKSETEYFETLPSSIEPIAVSASITDTGSLEGVGSDKSKPNLLLSNIDLREMPNKKLSAAAPPFNPSPPAVLSPIAGNVGLPPPGAIPGVAPWPVNASLHPGHSNMVPSGPPLCTSPHHMYPPAPRSPNLMHPVPFIYPPYSQPQVVPSTTFPMNTNMFPHHYGWQPYMSPAASEFVPVSAWSSSHTVDFIPTPRVVDPISQSLADKHIQSDAAVVSIGPSLDSKTVASKEEMTPAVVGSGNLISEKHDDQKKQLKDAVRFELNPDMQGDNTHSGSNMKNEDEGSFRIYVKGKSRRKQTLRIPISLLNKTYGSRSFKLVYNRVVKENDIFRPPSVSFAEVVSSGN >Et_1A_005115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27941952:27943453:-1 gene:Et_1A_005115 transcript:Et_1A_005115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATSSCSLYLLLLLCAVLLLPSPAHGCDRCVRRSKATYQASSLALNAGSCGYGSLAASFGGGLLAAAAGPALYRGGVGCGACYQVRCTDAALCSAAGARVVVTGRAPRASNNRTGDLVLSGAAYAAMARAGGEASILRERRAVDVEYKSSGFLCLQGAVPVRGPQPVDTRRGEEPAAEQPGDQVPVPGRPDGHRRRGRRHGRLVELAVHDAGPRAGVEHEPSARAAAAVPAGGHRRVRRQVGVGGAGGAAAPVAGRKGVRRRGADRRRRAGRVLPLRHAGVAVTQPPPHHSSCTVFAFFFFFFCIYFPSHPFVDGWIDGMNDESESKSQSNRAVASWLGFICCFLHLGAQWSARRRA >Et_6B_049979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2426816:2432349:-1 gene:Et_6B_049979 transcript:Et_6B_049979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAARAREIAEMKFAKRDLHGAQRFALKAQSLFPGLEGIGQMVATMNVYLASEVTVHGEKDWYSILSVEPTAGDQVLKKEYMKLILQLHPDKNKSVGSEGAFKMVRDAYEFLSDKAKRAIYDEKRKTVRVPQQTSQSSKASVAPGPGSSNVKARPMTEVATHAVQQHTSRPPPPSVPPPQPAPAPAPSPAHRPDPPPASPITFWTSCKKCKMHYEYHRVYLKQCILCPSCLEPFLAKELLMPPTKTHERGREEAQAAVRTEESLPRNHNPLKRHSGAGDVASSKKMRTLGKGDAGIGFSSVLSGHGSNCPTVPGEPNCKSRLPLLSKTFIPLHCRRILLEKAKGDLKSKLEVSQLAASEKGSKQKHVVKQNGGDDETLAETDRTNAEIDEPLSYNVPDADFHDFDKDRTEESIQSGQIWATYDDEDGMPRYYALIREVRSLKPFRLSISFLTSRTNSEFGPSNWVSAGFTKTCGDFWVGKSENSDILNMFSHQIKCEKGQRRVIKIYPQKGDIWAVYRNWSPDWDDYTPDNVVHAYDVLEVLDNYDEEHGISVVPLVKVAGFRTVFQRHLDPNAAKKIPREEMFRFSHQVPVYKMSGEEAPNIPKDSYELDPAAISIELLQDV >Et_9A_061519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1333019:1335173:-1 gene:Et_9A_061519 transcript:Et_9A_061519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SGDNYYGAEATLDVYGLSLEPGQFSQAGIWIVNRGDGQPSSLKGIQAGWHVSPAFYNDSRTHFFTDWTNSGADKDCTNMHCPGFHKTSSSVSPGDVINPVSGSSGDKKYITIRLLKDKSTGDWHVHYGFNGPPKPVGLVGQPVEISFVGLVYHRKPKPSPPMGNGNLPSSGGAASFSGLKLIDEDGNDHPVTTDMSTRMNIPACYPITSIDSQGRFFYGGPGCQD >Et_3A_026030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3633411:3635755:-1 gene:Et_3A_026030 transcript:Et_3A_026030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKYYCDYCDKQFQDTAAARKRHLQGAQHQRARAAWYDAIRHQDQHGGAASLLLPDGTLSKGVCHHFVRTGTCKYGDSCRYFHPKPDGVNPALAAPGNILGGHTSWGNLPPSLQPPPEGGYPPLPFVDWG >Et_9A_062665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:308510:319517:1 gene:Et_9A_062665 transcript:Et_9A_062665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQLLQLTERGRVLLASRRRTLAVVSGALLAGGALAYARSSQRPQRRRSEPNYSNDASALATNGQNGADGGLVVTKQKKGGLKSLQFLTAILLKKIGPNGTRFLLGLILTSVLRTAVGHRLAKVQGYLFKAAFLRRVPTFTRLIIENLILCFIQSTVYQTSKYLTGSLSLRFKKILTDLIHADYFENMVYYKISHVDHRISNPEQRIASDIPKFSSELSELVQDDLAAVAEGLIYTWRLCSYASPKYVFWIMAYVLVAGGAIRKFSPAFGKLKSTEQQLEGDYRQLHSRLRTHAESVAFYGGENREASHIMQRFEALIGHLNHVRHENWWFGMIQDFFLKYFGATVAVVLIIEPFFSGNLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGILSISSRRLNILSGYADRIRELLDVSRELSGVHDRSSNHNSSPGNYISEANHIEFSGVKVVTPAGNVLVDDLTLRVERGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLREQLIYPLTEDQEIEPLSNDGMVDLLKNVDLEYLLERYPLDKEINWGEELSLGEQQRLGMARLFYHRPKFAILDECTSAVTTDMEERFCKKVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWDVQHRSNTSLRSKEHSYSTEVITTSHKAEIQLTIQKSRIPHLHCHPRPLPPRVAAMLKILVPKLLDKQGGQLLAVAVLVFSRTWISDRIASLNGTTVKTLTAKLALGWRIRMTNHLLRYYLKRNAFYKVFNMPGKSIDADQRLTLDVDKLTNDLAGLVTGMVKPLVDILWFTWRMKLLSGRRGIAILYAYMLLGLGFLRAVSPDFGRLSGQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAMVEAKFMKLINHSKILLRKQWLYGIVDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRIFELEELLRAAQRNTVVSSNAMAVASEEIISFREVDIVTPSQKLLASKLSCDVVPGKSLLLTGPNGSGKSSIFRVLRDLWPAFSGRITKPSEGMFHVPQRPYTSLGTLRDQIIYPLSREEAEIKVLSLHKDGNKSNASVLLDDHLKTILENVRLVYLLEREGWDSNPNWEDVLSLGEQQRLGMARLFFQHPKFGILDECTNATSVDVEEHLYRLATNMGITVITSSQRPALIPFHALELKLIDGEGNWELCKINQ >Et_5A_041593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24732884:24740087:-1 gene:Et_5A_041593 transcript:Et_5A_041593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAVVSLAGLGLSEFCGENLAYSKFWHAGRGRKWGVGLLLPSRGGMLMAYATGLITVAVASFAVPNALEGVRKQVLASALAIHLLKRALEVIFLHRYSGSMPLATALAISTYYLLATGGMIYVQHLSHGLPDPAIDMLYSGVLIFAVGLIGNFYHHYILSRLRDTSGDKRYKIPRGGLFDLVTCPHYLFEILGFFSFAMISQTSYALAVALGTVAHLTGRSCATRRWYASKFEEFPTRIKALVPYVPCSEFVECALFPFLYQPSAFVAAMSAAAAVSLAGLGLSELCGFNLAYSKFWKRDVASVLLPSRGGMLVIYAPAALIAVAASFAVPGVVEGTRTQVLSAALAVHFLKRVLEVLFLHRYSGSMPLATALTISSCYLFITGSMIYVQHLSHGLPDPAVDLLYPGVLVFAVGVAGNFYHHYLLSRLRDGAGDKGYKIPRGGMFDLVICPHYLFEILAFVGFAMISQTLYAVAVALGIVANLTGRSCATRRWYVSKFEDFPTKIKALVPYMCPSLLLYPPSALEAAMSVVAVFSLAGLGLSELCGFNMAYSKFWHAMAADGGRGQQRGMVGVLVPSRTGMLVGYAPAALIAVAAGPPCGARHPLHQTSTRGTVLLLHRYSGSMTLATTLTISSTYLLVTGSMIYVQ >Et_10B_003221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17977388:17986303:1 gene:Et_10B_003221 transcript:Et_10B_003221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETSSSSSHPRQDPCVLAGYGFHGAMGNSMPPANFFDQEGATYFGELEEAFIHQAPTFRRTQQAAGTSTPHHGDTTLFPIAATATAAARPVPTLDIFPSWPMRSPHTPKEVSNVTAGSTGSESSSKNSSDHSDQLGTAANMASHLDQVSQQQEPQHKNIATSSTQRNGKTLDPKTVRRLAQNREAARKSRLRKKAYIQQLESSKLKLAQMEHDIQRARAQGLLLGGASAANTSSGAAMFDMEYTRWLDDHGRRMAELHRALHAHLQDSELRVIVDDTLTHHEELFHLKASAVKSDVFHLIIGVWTSPAERCFLWMGGFRPSDLLKTLLPQLDPLTEQQVISICNLQQSSQQAEEALSQGLEQLHQSLSDTMAGGSAIDDANTSFMGQMALALDKLSNLEGFVIQADNLRQQTLHQMHRILTVRQAARCFLAIGEYHNRLRALSSLWASRPREILMADEGNCGDQSVVAQPSPNQYSAF >Et_1A_009380.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4397261:4398391:1 gene:Et_1A_009380 transcript:Et_1A_009380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRGQDMDNHGKRSRPAHRKHLYLVLDDWKKGYSIRKIDPDDDDDSCRPGHDPHRLPEPAALRVTEHMNSGTRFVAMGSSDIVIVNSPRRNEEEKPTLVYDTAAAALTVGPPLPGRLSCGLLVAVGAAEDKPSLYAPTTLGDDMPVSFEALSWGPSTRDDEPGLPGTHGWSWKSVTTPEPPFDGEEERVVAYAVHPDGSTLFVSTRGDDRYPRRDVATYSFDTKRGGPWRWRGEWVLPFQGQGYFDGELDAWVGLDEESGHVCACQVPSRSGPAAEPPESDTLKEKLFSKDGEGAHLAVSLTYAGDGKFCLVESVVRQDSEQGGAGPDGQMVHVTVFGLKINRMGELVTTNRRKVYSYAAPRYAPSFSPVAFLM >Et_6B_049686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:885246:885468:1 gene:Et_6B_049686 transcript:Et_6B_049686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFSQKIKKHMNEVMRRLASHGCSTTAEKKLACGGAVNDGMKRLLVRHGVLCLVQCSTTLFSSSVSTNL >Et_5A_041950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4615138:4617675:1 gene:Et_5A_041950 transcript:Et_5A_041950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLIYLIPHKYHKPCCLELGTRSHSALTLWDMADHTVCPVSKSNSVSICYLCWDLGLLARHNKNNGFLSGYQMKQSGHKAHSRDSSSESGWSHQGASAMSESSLNEHTTAQSDSNDHLGKQDQNRMKSVLSLGKQEPAFLPQKLEYNSSFVPYAAEAYYGEVFTGYSPPAVVHPPQNGISNSPVPLPVEPAAVEPIFVNAKQYHAILRRREIRAKLEAQNKLVKDRKPYLHESRHQHALKRARGSGGRFLNTKQLQEQNQQHQESGGSSSTQITDQKLCSQNGSTHTPPPPAPPGVTSHSRANQDRSCFPSVGFRPNMNFSAQGGRDTKLVANGMQHVVSMR >Et_2A_017485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34840314:34843019:-1 gene:Et_2A_017485 transcript:Et_2A_017485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSNASSNPNPSPVPSAPPLYPTLSMADLAPVHIGPTSSPTAAAGDDNGPPPSEDVLLRVPGAQLHLIDRSRSHPLAAGDLSLLRIRSGDTSLAAIALLAPIQWPLARDVAAVKLDPCHYSFSLTVPASADDPNPEPLHYGLTLSHPDPRLDGILSTYTNFSVHSVVGTKGLESKVRSEVEAAAYWTAVAPNVEEYGGAVARAISTGAGHLAKGILWCGEVTVDRLKWGNEVLRKRMQPGDANAEVSPEMLKRIKRAKKMTKMSEKVATGILSGVVKVTGYFTSSIANSKAGKKFFNLLPGEIVLASLDGFGKICDAVEVAGKNVLSTSSTVTTGLVSHKYGDKAAAATNEGLDAAGHAIGTAWAVFKIRQALNPKSVLKPMTLAKSSIKANVAELRAKHSK >Et_2A_015186.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34721208:34721957:-1 gene:Et_2A_015186 transcript:Et_2A_015186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPEFPPLFEVLDLSGASCVDGRLTNCAWRPLPFTSLFDDAREGWSRVDRAPAEAESYAVVGQRYILLSIARDPFSTRDAGTVAFDVSAEEWLYVDPNNLPFVGPAVPYGRLFLGGSSKSKDLNNNLTAYDISVSLAAKTNTLTLSVTEVPIAVGVTDGSPLRPGQFFASLGNGVICTVGCWTEGWIGDEELERDGIYLNFHTPVVCADEQGKIVLSSSPSRYFFRLHEAGCRLVAPSLVAAPCLTVIE >Et_10B_003758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5599671:5604070:1 gene:Et_10B_003758 transcript:Et_10B_003758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGVASAAGTAVLVYLAAAARRRRKEEARARREQRRARKRPRWPERAPAGWGEAAALAARTVRFTWAETLGKWPLGELAFGIKYYMRQQGNLQHEYAGSHSVPLDGPEARLELISLLRYMRLCMYFSKKPYDVFMEFGGYSQNDVLRKKSKGRLLKPTFTIVRDESTKCFLLFIRGAISVRERLTAATGADVPFHHVVIQEGRVSNLVMGYAHCGMVVAARWIAKQVIPCLSKAVEEFPDYGIKIIGHSMGAAIAAILTYILRENEKLLSSTCLAFGPAACMTWDLAESGKDFVTTIVNRNDVVPSLGRASATKLRTEVMASSWVHDLRDHIQQTRFLGFVNRSVSFIRSHVPFISDPRSKVVDIDMLQSNSSKAGKKPSTDNHDAVKKRSARCWSCVAAQKQTVESSKQTEDMKNKTETDVKIEKNSEADAAELLSVAVGDLNLHESDIKDADREEKESVLKGKDEKEALELLESLTSEQQVPSSSTSSQECHQLYPPGRILHMIGLPAAEPNTSAQAGLEEVVTLYATPRHLYSKIRLARSMIREHYMPKYIKTLEQLIDKLAEENIDNQLDS >Et_5A_042249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8030388:8031215:1 gene:Et_5A_042249 transcript:Et_5A_042249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDRRRRPGEDRISSLPDDLLHGILVDVGSVRAAACTSVLSRRWRHVWTRIPNLVLFDRDDPPPPALFQTSVDAALAAHSAPAIEDFQITVPTDGPRVPACRVAQWLRGVSQRVVGGLVVYVPCETGMSRLIPACVGATRILLKPDERWRLWLPAAGLFEALTLLLIESGRVEGSKLTALVSTQCPHLKTLLAVTLCTVSDVSMRTASLEKLSFCVENTRFLDVVAPRLEQLNVSHDFIEANISAPKLAKLAWRGGSGA >Et_4A_033666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25602191:25605048:1 gene:Et_4A_033666 transcript:Et_4A_033666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSARCPNPCPFPPRARRPLLLGGSETVSIRAPHVRRNASLGAPVQIAVEGCMHGELDKVYDTLRRLEEAEGIKIDLLLCCGDFQAVRNEADLQCLNVPQKFRSMNSFWKYYSGQAFAPYPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGFAGVVKFGNIRIGHYERPPYNGDTIRSVYHVRHYDVLKLMHVKEPVDIFLSHDWPLGITEYGDWQKLVRVKRHFEEEVNNRTLGSKPAAEILDKLKPPYWFSAHLHCKFPAIIQHGEGGPTTKFLALDKCLPRRDFLQVIDIPSNPGPYEIQYDEEWLAITRKFNSVFPLTQMRFTMRGEQLDTEEDRKWVSSKLNTRGAKPFDFVQTAHSFDPSRRVTNHSIPVPCRNPQTESFLQLLGLPYLLDSSNSDGVGADRNESSSQPGYMPDDDIELPDDDEDAVEDDE >Et_2B_021013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25589613:25593314:-1 gene:Et_2B_021013 transcript:Et_2B_021013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLMVYFLLGPAEAAEEERPADLPVSSDKEGEDQENAKKAPDEMTNEDCNNGGSVIEGTVSSEDLNDGHGSDSNSQCAESDGARNEVTEMGSKINPENNMPEMGSRSSNDDNSGCTDEMPEMSSKSSNDDNSECADRSSPRAVLDISVSGSIDSDDSSSVEQSTDSNHNVQWRNLIRGLILRRKKSMDRAVTFPQRSKSRGLKGYLERMRSGKNQMECSSIAPEILPEIGKWRPSWRNFEYDELCAATDRFSSENLIGKGGHAEVYRGQLADGQFVAVKRLTKGGNKEDRVSDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASVLHGTKEPLKWKVRFNIALGIAEGLLYLHEGCHRRIIHRDIKASNILLTEDYQPQISDFGLAKWLPDKLTHHVVFPIEGTFGYMAPEYFMHGIINEKTDVFAYGVLLLELITGRKAVDSCRRSLVIWAKPLLDSNNVKELVDPSLGNEYDPEELVYILAVASMCIHHSSNSRPSMKSVVCFLKGDRESLEVTRKPKIVKPLMFDSCDSEDYTRSSYLNDLDRHKQLALEQ >Et_5A_041692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2605526:2606137:-1 gene:Et_5A_041692 transcript:Et_5A_041692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AYPPSRFASMYIRVKRNKSTYFIQCEPTETALNIKQKLHSIIDQPPSNQQLVLLATNDVLEDSKTLADQKVENDAVVALALRKGIVVVSHDLVSTFVTLSVVCYLSFM >Et_3B_030980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9592462:9593704:-1 gene:Et_3B_030980 transcript:Et_3B_030980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPKRNRQTSTKGMDPKFLRNLRYSRKHNQKSGEAQAEE >Et_8B_060730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5635652:5636480:-1 gene:Et_8B_060730 transcript:Et_8B_060730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLSNNVIGFLNLVTFLLSIPVLGAGIWLRTRGDGTACDHALSSPAIALGAVLMAVSLAGLAGACCRVTWLLWLYLLALIVALLCSTAFAFAFAVTNRGAGEARPCRAPAPRREPQELGQDPRLPRRRPRVRAPAGGGGQERDDAVPPARRQPVPRGVDEARGTEWTKPKTKTKTASTDPDCGAWSNDEDELCYGCASCKAGVVDALKRDWKRAAVVNVVFIVVVYSVGCCAFRNSRRDNYAYHSGGGWKRGGYARFTY >Et_10B_002463.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:8929977:8931047:1 gene:Et_10B_002463 transcript:Et_10B_002463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITILFLLCTAGSGGTVATSSFFSGINERASTWLNHGILSAAEAVSRLAGLLVRRPLRTSNPSLDSVSSGNVSRSLFLRLCFLCIIFLVTSAASGNDLNPCHVRPSEDRGQLAHLLVFVVVVALEQRPPEDDLGHDASERPRVDALVVVRLPEKHLRRHVRQRAGLRVRRRQLRRDGQAQVADPHAALVDGA >Et_7A_051613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21289176:21292920:1 gene:Et_7A_051613 transcript:Et_7A_051613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRLLLLFLASALRPCAALVRLHSSAFSFTFLDAPARFGPRVGGDGICGSLRAAEPAEACVPIKNRAGSRGTGRKAFVLIARGNCSFEDKVREAQRAGFDAAIVYDDEEKASLYSMVGDPEGIRIPAIFVSKMAGETLKKFARGEDDECCINSSMDETAGTVLEACAICLEDYSNGDMLRHLPCKHEFHKICIDAWLTKWGTFCPICKLEVSGPFLV >Et_7B_053307.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3307256:3308029:-1 gene:Et_7B_053307 transcript:Et_7B_053307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWLRNSITASMGRSELGSGGEKSTTDFAAVSFFLWGHRGARSLDAGAKYGTAIEPSPSPPVPAGGDVGSCTGDEGGGRREERLRRRSLASRRRARAPGRRKRRWSPPAAVDGERGWRVAAMWGRDVGG >Et_3A_024996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2633718:2634464:1 gene:Et_3A_024996 transcript:Et_3A_024996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIQVAAELGIGNAIVETDGIQVCQAFTSNDFDLSPVGSLISELHSLAESNFISLKICNKVADAIAALGCESVMGDDPIMGSLPSCILTLVANDLAPVERHFKLGFNLRKRNGRGIEIVFCMGFLKQQIIFCLNAIWQILFGMCKRCLGWDTLPRSMSDFIDNWLILGGTNYNTCIFFFAITAWTLWRNRNGMAIQKKICCNPSNLLRKIFSFIHKWSVLFWRGLWRS >Et_4B_036748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11172453:11177878:-1 gene:Et_4B_036748 transcript:Et_4B_036748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAVGSQPSSQQSPPPPTVDSVLRLATRDPSAAVALLPDLRPDALSDILSSLSAAAPANHLALLPRLLSLSPSPSAASAIFSSLLSAPSWPSATLLAVASLLRDLPPAYRTRVPALLAKIISLLPEADAQDLPALAYQLLLLASKPLHPRAVLTGLLRFFGGRSGGSVRAPASILRQVECTVLMNVAFVVKQDPALAREVLAAVKDDAAGALSGFAVAVLLAVARVRRFNEGAVGVLRDAAVLSRRDYRLSRRCKWLPDCVKEECAWAAQFVEKALLKSVNESIVGREQVVPSIVQVGFLLLEVSGGDRGVEDGLDKGVMSTEDIGVNMLKSLFEIHEMAGTEIIEQSKFRILSAKPQQSAPILRLLGSLIRNHPIPMLEYIAHLKELLDYFAFMNDKISTGLISCILPLTKSSRDLKANVKEVLYEGLKQIVTSDPAVANSVLDFLWPHFLNYYTEDGERPLKIDACFRVENAKLCIVEPLDSMLSCISSILRVQQIHKYERPHDANWKCFGFAPSQDNEAGGASSTDLFMKALSSVQKYLRKSLTEDQQGQSQESSSLSSSSEMVHCHNLAMLGIIEVFVDFAASKLDKASDESKEMIEKEILELVATHSSFERKTSNSREKIARRRGNAGDAADVHTNEPKENSNASLQKLHEKRVRFMDSSLYQLAVLCVKQCYADSHDRCSQRPSQTKWNQNSSLVSLVLKGCLELFKSLAAKDSGHAMKNMRTMLDEDVKKLIQPIMQLVWYLIFDSKQENGGIKRNMTQGKKNIENKKDHLNLALACLKELLKPSESGDLFFDIVEVMISSAPPNMEDTMECGELDSTVVEDRSTKNAHVFLNILKQLYARILSQSLLRECEAVSELIFSISRKLHPEQRYIVGKWAVDLCKMKNVQSPSIARELVKLAIHLAPAPEDIFLMYEMSGELKKSVVSGDEGTRDSSDTFQIISCKTRNSLAAVFLQMVESSLTELDWGLGKLKTMLTLGYDAPTTDEDHLVDKRMQRLDLEEALYSRSALVVHVLSSFAHMSLKDTQAEQFLKLSAKFYKLLTRMSKSQIAPKGYTQHIPGPKFQRLAEVTCKMLTAPLYAFVSSDQENQQTSKKGTLAKIRRESKCIPDLIFQIEDYEKYLIQLSKLTKVNLLRHAKRSVARDFQIKAKEKSGEQQQEEDCAPSCAASSGNEPDEDVEGPNPPVETNGDQDIRSSAEGSPVPGFESDEDEEISARRKRAKTDHIVQDSDEEVEEE >Et_9A_061856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17131339:17133031:-1 gene:Et_9A_061856 transcript:Et_9A_061856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGAGKTTLMDGERQAGTLKETSAFQDRGEARKYIVAGVKRLTPMDQRSFAPVSGYPKKTFARVAGYCEQNDIHSPQVTVYESLLFSAWLRLPEDEDSSKIKIFIDEVMELVELKPLRDALVGLPGLKQLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSSELIKYFEGIHGVSKIIDGYNPATWMLEVTSLSKEQILGVDFNDIYKKSELYQRNKALIKEIQKNIDLPDKLRHYRPAVYLAHVNLFLISSIFWFDNIF >Et_4A_034042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29387007:29388397:-1 gene:Et_4A_034042 transcript:Et_4A_034042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSCSSLSIDYLHSVFSSRLLNTLLLCSQSLVAGEDFQHILRLLNTNVDGKQKIMFAMTSIKGIGRRFSNIVCKKADIDMNKRAGELTPEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >Et_3B_029463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25240562:25245591:-1 gene:Et_3B_029463 transcript:Et_3B_029463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGDTLRKRPVSGYTGPNGAAAIGDALAAASRLRVATSGGGHAPQVLGELVSPSARLVEDFYIVVVIGLATPVNLPVARAGIEAQLARYPRFRSIQVKDGAGNPRWVATTVNLDDHIIYPRLDAAAVARDPDKAVEDYVATLSTLPMDASRPLWEFHVLDFPTSEATATTAVRVHHSLGDGMSLLTLLMACTRSAADPARLPAMPPLPTRSGPLWAPPRPPASAGALALAAWVWSFLVLAWHTVVDVASFFATILYLRDPHTLFKRVNHGEFQRKRIVHRSLSLDDVKFVKNAMNCTVNDVLVGVTYAALSRYYFRKTGDADTSKEIRVRSILLVNLRPTTSLHACVEMIESGKEKDVKWGNELGFIILPFHIGLHDDPLQYVRKAKKIVDRKKSSLEVVFTHLAAEVILKIFGLKAAAAIFHRMISHTTISFSNMIGPVEQVEFCGHPVVFIAPSGYGPPEALTVNFQSYVNTIKVNLAVDEAQFPDSHQILDDFAESLKLIRDAASRPQAANGN >Et_8B_060529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2051383:2053733:1 gene:Et_8B_060529 transcript:Et_8B_060529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQKNAHVPKFGNWDNDGNVPYTVYFENARKGKGAGGKMINPNDPAENPEAFSVAAPSPNRSDAAGRSPARPPPPRHERRPSDAPPMSPNPYAGSPYHRHAGGEPPRRGSGGGGGTGGAGYNSYSVEQSPAHPYSYSSKADYSGKGGGYGLVANSVERSRAKSGSRGNETPTRGSAVPKFGDWDSNPASADGYTHIFNKVREEKQSQAGKPGAYGKDAARGNGTKQHDDGYVSSNLSHCKTGVIF >Et_4B_037350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18862144:18869436:1 gene:Et_4B_037350 transcript:Et_4B_037350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLDVVHFELLEVAVLPGAEEFAPVVGVVPGRALLAHQLLQRLHHGVARRVEEVRHGEPLLGRVHRHPVLRALVSDVDDERVGHRLDADPLAVGAPPDVEPAGVVLVEDGQGAGVLVAADAEGEVRARARRVMVQPDEGRLVFEEAVRSGRRFRLQAISSSSLNARSSIAASYSSSDSLRWRTSLSPSSPTPGAPGSRTYRWSKPDTRTRISLACLSATTITITIYNYVRTYLSDVAKVNDERVGNRIDTDPLAVGAPLDVEPADIVLVEDGQGAAVLVAADAEGDVGIWAGRVVVEPDESGLALEEAVQAVGSQV >Et_10B_004235.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:217649:219382:-1 gene:Et_10B_004235 transcript:Et_10B_004235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLAQVVQVLRGRWFMAYGSFLIMSAAGATYIFAIYSKDIKSTLGYTQEQLNTVGFFKDVGANIGIHAGLVAEVTPPWFVLAVGAAMNLGGYLMLYLSVAGKVAGHGRTLLWLVCLYIAVGANSQAFANTGALVTCVKNFPEGRGVMLGLLKGFVGLSGAIFTQLYLAFYGPGGGGGDTKPLILLVGWLPAAISVAFLGTIRIIKAPRSELAARREYRAFCAFLYVSLALAAYLLVVIVLQKRFRFTRAEYGVSAAVVLAMLLLPLGIVLREEAALSKITNSLGAKQLAPPPEIEPPSDEGKPTTTRWSARGLLLALRSPPRGEDYSILQALVSADMLLLFTATVFGVGGALTAVDNMGQIGESLGYPQRSIATFVSLISIWNYLGRVTSGFASEALLSRYRIPRPLLVAGVLLLTVPGHLLIAFGVPGSLYAASVLVGFCFGAAYPMILAIISELFGLKYYSTLYNVGNVACPVGSYILNVRVAGRMYDREAARQGAVAGKGGVTCVGTRCYRESFLVVAAVTVGAALVTLVLAWRTRDFYAGDIYARFKEVHAPGAGDGNGRKVPAGEDPATD >Et_9A_062544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23913905:23916705:-1 gene:Et_9A_062544 transcript:Et_9A_062544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILLRPKGISFSVFNVQHHGATRFASTSVVNQSSGEPAKTKITTLPNGVKIASETSPSPSASVGLYIDCGSIYESPASSGASHLLERMAFKSTTNRSHLRLVREVQAIGGNVTASASREQMSYTYDALKSYAPEMVEVLIDSVRNPAFLDWEVKEQLQKIKSEIAEVSANPQGLLLEALHSAGYSGALAKPLMASESAVNRLDVSTLEEFVAENYTAPRMVLAASGVEHDELVSIVEPLLSDLPSVKRPQEPKSIYVGGDYRCQADSLNTHIALAFEVPGGWNQEKSAMIVTVLQMLMGGGGSFSAGGPGKGMHSRLYLRILNKYDQIESFSAFNSVYNNSGLFGIYAVTSPEFSSKAVDLAAGELLEIATPGKVSQEQLDRAKEATKAAVLMNLESRTVASEDIGRQVLTYGERKPIDYFLKTVEEITLDDIYSTAKKILSSPLTMASWGDVIHIPSYESVSRKFHSK >Et_2A_018327.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23077231:23077617:-1 gene:Et_2A_018327 transcript:Et_2A_018327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAALKMSAACLLLLCIASDLARPSLASLPSSVGKDQTAAGRALLRELMEHELAEELGLAGHRDGDGGNLRDLCSQACQTCLIVCAVTCVLIKEPIACFANCTVNSSCFGKPVAALPMSEAVAQRA >Et_4A_032541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11092158:11095529:-1 gene:Et_4A_032541 transcript:Et_4A_032541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPQRAGGGGAPALALAIALVALAARGADASIHEYAGGGFSPRANSFFFHGGSEGLYASDPSSNSSASFIRFDSVIFRRTQESASRHEEMQQKTGLVEAIIVEIQDRDKIGGSYLHSDAICCTPELDKEKSCKVGEVIIRPNPDNPEWPKRIQTFFDGKNEETPMVTQTVSINKTGMYYLYFMFCDPQLRGLKIIGRTVWRNPQGYLPGKMAPLMTFYGFMSLAYLALGLLWFIQFVRLWKDILQLHYHITAVIALGMCEMAFWYFEYANFNSTGTRPMGITLWAVTFTAVKKTISRLLLLVVSMGYGVVVPTLAGITSRVAALGFIYFIASEALELVENLGNINDFSGKTRLFLVLPVAVLDATFIIWIFSSLSRTLEKLQLRRSMAKLELYRKFTNSLAISVLISIAWIGYELYFNATDPLSELWQRAWIIPSFWNVLSYALLVIICILWSPSRNPTGFAYSEDAGDGADEEGLSLVGSAVKGTGDMLYSWRDAV >Et_3B_031464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30485011:30487198:-1 gene:Et_3B_031464 transcript:Et_3B_031464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRQLLCLAAVVAAAAILLTASAKKSADVTELQIGVKYKPESCTLQAHKGDRIKVHYRRSAEKLDMLQGTLTDGSVFDSSYDRGDPFEFTLGNGQVIKGWDQGLLGMCVGEKRKLKIPAKMGYGERGSPPKIPGGATLIFDTELIAVNGKTSSGESNSEL >Et_6A_046670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19118090:19120006:-1 gene:Et_6A_046670 transcript:Et_6A_046670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPENKIVTGDPIPGHDRTKWVVEINNNVKGFTEDEIKRITRNYTTPIGKGGFGEVYRGVLDDECELVAVKRFIRGDLREEFMEEVDIHSQMNHKNVVKLLGYCMGKSTLTMVTEYVPKGNLYDTLHNSDIFIPLDIRLGIAIGCAEALSYMHAMHLSSDSLVCHGDIKPANILLGDSFTAKVSDFGLSRLLSGGITRYTRNVKGSIDYMDPIYLQKGRLTPRSDVYSFGLVLLELITRRRVKEDNFSLVDAFSTKRLGELVDPQIANEGNEKVLTEIGKLSTSCMTLDIDNRPSMKYLLKQLLKLWKSLQGGQYIRWRNNGLSIFRRNSVNSKILTKLGDVRIFTQGELREITKNYSSVVRRYESTAFYKGTLEDNTPVVLKISIYKDEWRKICFCNEAVILSHITHKNIIKLLGCWMEGDIPILVHEYATGGTLADIMYSMTYVPLELRLTIAVKIAEALAYMHSPENGVIVHGFLVPSKILLDSNLMPKISGFSVARRLIEGTKNTGRALFDKDIAAVQDIAILDEIGRIAIRCTNPNQDSRPVMEEVAKQLQMLWKSLKNSSASGEVAAQ >Et_7A_051473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19121404:19123519:1 gene:Et_7A_051473 transcript:Et_7A_051473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPNLTYKPLALTAHKTCVAAAASMPPPASSSSRHHLSRRPSLVSSTNANVKAASSFQFNSSLNSNAQEFAASNTTSSSMGDAAAIGVVSEEEEHIFRSRFPAVTVPDDVTVPEFVLAGADAHADKVALVEASPGGRSYTYGEVVRDVGRFARALRSVGVRKGHVVVVALPNLAVYPVVSLGVMAAGAVFSGVNPRAAAAEIRKQVEDSDARLVVANEVAFDKVKDAGNRVPVIFVGSGAGGEVPAGAIGWDELLAAADRTGAAVVAVDPVQQSDLCALPYSSGTTGVSKGVMLSHRNLVSNLCSSMFGVGKETEGEVVTLGLMPFFHIYGITGICCATLRHKGTVVVMDRFDLRAFLKALLLHRVVFAPIVPPVMLAMVKSPIVDAEFVNLRDLALKSVMTAAAPLAPDLLAAFQEKFPGVQVEEAYGLTEHSCITLTHAGGDPPRAAKRNSVGFILPNLEVKFVDPETGRSLPENTPGELCVRSQAVMQGYYKKKEETERTIDAHGWLHTGDVGYIDDDGDVFIVDRIKELIKYKGFQVAPAELEAILLSHPSVEDAAVFGLPDEEAGEIPVSCVVRRRGADETEADVMAYVAERVASYKKLRLLRFVDAIPKSVSGKIMRRQLRDDFINKAKTAAA >Et_3A_025044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26395766:26402883:1 gene:Et_3A_025044 transcript:Et_3A_025044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GPTRRRPAGAANQPRSLTSDRTDTRPSRKQGQWWREAHARNRERRRTTYLPTQPALPVNADAGVGARGGGALDPFNCRAAHASSPHLLPRYPSSYSLLLSRLRRHFASSSASPAYSARQNTHTLMEVVQEVLKHGSAHGARATIRADQKSYSLLQLIASALDVHNILCNKKVAQNGMKDSALNGINGTSFLHGARIGIVAKPSPEFVAGVFGTWLSGGVAVPLALSYPEAEILHVMNDSDISMILSTKEHHGIMDDISTKCSARCSLLPSVTSIPTEINSQEPSSNDVTSVSSLIAEIISTSKIKGDDPALILYTSGTTGKPKGVVHTHKGILSQVEFMPKFSVRGVWQRWRESYPKDASICDEAITVFTGVPTMYTRLLQGYDGMDPDQQCASSYAAKQLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGARKEGTVGKPLPRVEAKIIMEDGTETTNGVGELCIRSPSLFKEYWKKPEVTADSFIDGGFFKTGDTVTLDEDGYFVILGRTNADIMKVGGYKLSALEIEAVLLEHDDVLECAVLGLPDEAYGEVICAIIVLKEEAKKKAEQDLKPALTLEALTNWSKDKLAPYKIPTRLYLWDSLPRNAMGKVNKKELKKLLGA >Et_1B_010181.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:28456388:28456866:1 gene:Et_1B_010181 transcript:Et_1B_010181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVMKGMLESQKADSEATQKVLNGDIMANSMKKALQLVVDSGASRSSLEYFMATQLFVKAEHREAWFNFETAEEHLLWLQRWCRLKNMYS >Et_9A_061338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10206671:10215751:1 gene:Et_9A_061338 transcript:Et_9A_061338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIILMFITAVVVPAATAMVSAAGATIPGLGARRSRFLIANSAVYNPPLPSYDCSKKSAAVCLAPGSPGSKCCGGKCVDTEASNDHCGGCNKVCKNGRMCCGGRCVDLLKDKDNCGKCFNQCNNKCSYGFCDYAHNVFKMAKLTAVLVLLVFVTASSMVATSGASIPGLQARRSRFLLGNSAFYNTPFPSYDCSKKTAPICLAPGSPGPSCCGGQCVDTIGTNEHCGDCNKVCKHGRMCCGGRCVDLLKDKDNCGTCFNQCSKNAATDSAIMHRRQIRRSRFLLANTKVYNPPLPSYDCSMKSAAVCLSPGSPGPTCCGGQCVDTVASADHCGGCNKVCKQGHVCCAGRCVDLLNDKDNCDKCFNQCNKKCSYGFCDYAQRSRFLLANSNVYNPPLPSYDCSKKSAAVCLAPGSPGSTCCDGRCVDTVASADHCGGCNKVCKHGRVCCGGRCVDLLQDKDNCGKCFNQCNKKCSYGFCDYA >Et_1B_010027.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:18980305:18980568:-1 gene:Et_1B_010027 transcript:Et_1B_010027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVNKLQQDKGTDNSFALIDTVETCRAGLKLLTGEVGVKVVLETDSKVMVDMRNAKDQDRLEIATIILADIQGVYGNFSSFSIGIYI >Et_8A_056953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19450636:19458221:1 gene:Et_8A_056953 transcript:Et_8A_056953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKHFEDLVTGGEWDEVEKYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLMELKKLIEANPLFRDKLNFPPFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPANGPLVGPIPKSAGFPPMGAHAPFQQVVSPSPNAIAGWMTNANPSMPHAAVAQGPPGLVQAPNTAAFLKHPRTPTSAPGIDYQSADSEHLMKRMRVGQPDEVSFSGASHPANMYTQEDLPKQVVRTLNQGSNVMSLDFHPVQQTILLVGTNVGDIAIWEVGSRERIAHKTFKVWDISSCTLPLQAALMKDAAIAVNRCLWSPDGTILGVAFSKHIVQTYTFVPNGELRQQAEIDAHIGGVNDIAFSHPNKTLSIITCGDDKLIKVWDAQTGQKQYTFEGHEAPVYSVCPHYKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMAYSADGTRLFSCGTSKEGDSHLVEWNETEGAIKRTYNGFRKRSLGVIQFDTTRNRFLAAGDEFVVKFWDMDSTNILTTTECDGGLPASPRLRFNREGSLLAVTTSDNGIKILANTDGQRLLRMLESRAFEGSRGPPQQINTKPPIVALGPSNVSSPIAVNAERPDRMLPAVSTSALAPMDASRTPDVKPRITDESEKIKTWKLADIADSGHLRALHLSDTDTNPSKVVRLLYTNNGIALLALGSNAVHKLWKWQKNERNPYGKSTASIAPQLWQPANGILMTNDTNDSNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMSPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRIDDVKSKLKGHQKKITGLAFSQSMNVLVSSGADAQLCVWSIDGWEKKKSRYIQPPANRSGTLVGDTRVQFHNDQQHLLVVHESQLAIYDGNLECLRSWSPRDALPAPISSAIYSCDGLLIYAAFCDGAIGVFEAESLRLRCRIAPSSYIPPSILSCSGRVYPMVIAAHPIDPNQIALGMSDGKVHVVEPLDADPKWGTAPPQDNGAHPAISAAPSAASNQASDQATR >Et_9A_063565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7543205:7546627:-1 gene:Et_9A_063565 transcript:Et_9A_063565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGVDRISGIIDDVLLKILGHLPSAADAVRTGALSRRWRDLWTRAPSLRLSFDVPGGARFAEESSRTMAIIDSVLARHAGAGIDDLEISFLYQVPVVNRFDQFGQIFKDSCRANVAGIASRVNAWLQHGARRAARSFVLMVALPPLMAGDDMHLELPPSTRAETMRLVLFKDARLSLPAEGAFHALRDLALANVTIDEDGRLGRLVSSPCCPRLQRLRLLRLRGAERLSIRSDTLEELTILEASNLRTLEVVAPSLWMVDIDKCEGFRRTNASMSIEAPKLETLACSTVSRPELLRIDNAPSVRRLEKITLATHGRPSGPEAKHNEGSISLLRQCTGVDHVGLILQVPDQHLESDHQKMPRRKNEVLLPRLREVKMSGISGTEDETSLVKFLFENAVDLQKMTVEFSSEYEEVYDELSSGDEQRDSHARQLRDEQRNSHAQQLASKPVHFLEPDECTRIQFDDHNKLGYIKFNICVASPLASYP >Et_10B_004474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8682034:8686077:1 gene:Et_10B_004474 transcript:Et_10B_004474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERYLKRKLKLKWFWNDLLTCPNGKARNISPPWRRKPLDGAVELAARPDGANAHVPEVVQCEAGQHLRVHLVRLERRHVPAHPHPPQPFRHVDHLPRLLLPAVALRFRLRKWNPRLAGERGGEDEVVAVVGGGGGGAPGFWEGLVRFRGGAGAAVIVVLVVPGLAGPGGGDDGNAARVGEVGGGRDGAREADVGDAPPLAGVGLRRRKGRGAVVVGSAGVVHGMSGLGGQGG >Et_1A_004749.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:37486614:37487025:1 gene:Et_1A_004749 transcript:Et_1A_004749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEDEQWMGCSLRYLAVGGAVGLDGPACLVAERLEHLIVVAVLGEAVVAVGAQPAKDLRRDRPTTTLPVPVVLPLPPRRHTYWPRATATAVPSSSGSCSSSSADAVH >Et_5B_043425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10235567:10242015:1 gene:Et_5B_043425 transcript:Et_5B_043425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNSSGFPGFHNHIYDRDYARPLFRVASFSDSGDEQERHALSPRGRGHGLSRTPTKTAAASRLSPSVSGKMSMKKMQQAVDEMSMEDEEMELMKEKYTKLLLGEDMSGGGKGVCTALAISNAITNLYATVFGTCNRLEPLPPEKRSMWNREMDCLLSICEYIVEFSPTVQAMPDGSKHDVMATSPRSDILMNLPALEKLETMLLGILDSFEKPEFWYADQRNQSFNESKKSFQRSEDKWWLPEPCVPESGLSDRMHRELQQKRDQASQIHKMAMEINSSILSEMQFSPEHLLNFLNLSSEHEALEIADRVEAAMYVWRRKASTTHVVSKWEHVTELNADGDKNLVLASRARSLLLCLKQRFPGLSQTTLDTSKIQYNKDIGQAILESYSRVLESLAHSMVSWIDDILTADENAKRVHKIRMQKQRRDEKL >Et_3A_025718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32106813:32111144:1 gene:Et_3A_025718 transcript:Et_3A_025718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGAPDLTDFMNDWFFGTVGARHSGGGYDLTGESSKRPASPAGKKQGKSSASRQTQEWLEEAKRMVGAGSPGRVGSPSRQGPRFAGGSGTEPTPTLDRRDPMSRSARRHRQPGGIGDEILQRASVTSSPPRSDPFASEPPSPSPSLPPNPSRRKSRFHDAFTPDSPHRLTSSTATSPTAAVHSRHRRHASASSAPAAAAGFDDGVARLNSFLRRQRAVVADLAAGDRLASRSTKLVLSDASKSASSIVAAICYAWILATKGDGQVAVPVVNMRRSRMARCRQAAWLLYHVGIDTSALLFADEVDMEGLIMDQRVSLFVVGQDVLKPKAEVGSVCTVLTNSYCEDAYSLLQSLDIKKLLLAGILLDTNNLSRKCSNRDSEAVQLLLFGTSERTRHELFQQLMLDHNDHSFVEYLKKTNRNSTTDGNEDSPPEQKHLVSASGSSQDTKNSKPVDQRLARGNGGKTSDEAPRGKNKFFLAKWFGLGPKMTPYTIIIQEFVALCWTEKK >Et_2A_015973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19846002:19851980:1 gene:Et_2A_015973 transcript:Et_2A_015973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVQLGLYHHPPQMMAMDGIEARARGLGVDLSSVDLDSITLPDGEDFDILSDDEEELLEDEPRELEMGFGNTIVVDNVPVVLPEKFEKLEKILRKILSPAGVIKEDAFWMPVNPHTNKTYGYCFMEFNTPQEAGVAREIGNGYKLDKSHKLVINILDDFERYMKVPDEWTPPEVKPYSPGENLLNWLADEKARDQIVIRAGPSTGVYWNDARKLKPELVCQDQLWTDSFVQWSPFGTYLATVHRQGSQVWGGQDALERLMRFPHPQVNMIDFSPGERYLITYSSHEPSNPTSTHRVVLNIFDVRTGKVMREFKGSADEFRVGGTIGISGVSWPILRWAGGKDDKYFAMLGKNIISVYETDTFSLIDKKSLKIENVKDFCWSPTDPIIALFVPEMGGGNLPARVSLVQIPGKEELRQKNLFSVSDCKMYWQKNGEYLAVQVDRYTKTKKSTHTGFELFRMKERGIPVEVLELDNKNDKIIAFAWEPNGHRFAVIHGEGPKPDISFYSMGTANSTGRVSKLVTLKSKEANTLYWSPAGRFIVLGGLKGLGGKLEFYNVDELETMATGEHFLATDIMWDPSGRYVATVVTAVHEMENGFQIWSFNGNRIYNMSKDCLFQFIWRPRPPSLLTPEKEEEITRNLERYSQRYEQEDQEVSNQLSEQERKKRAQLKEEWETWVAKWEQLHKDEEEEFEAKVVEVEEVVEVTEEVVDQIQEEPGTSPDGIKQAKIVLKIIHSHDAHDYCTEEEKYTELC >Et_1A_005026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22212424:22213243:1 gene:Et_1A_005026 transcript:Et_1A_005026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFEALEATKIARVVNALRKSASLSEQARELAAGLYWGWKDLAFEHLRSVRNCKAPAKAKRTAASSATGTTSVTTSKAKQPAEPQKPSGSSSSAGKHKDCPTSFDEASLDLERAKRRLHERYQEAMAVKEKRTIQVINAPGKAKAQQQQQRPVVVGRRQVRCTPAAGTEKRAQQPDVGRAS >Et_1B_013901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2302910:2306159:-1 gene:Et_1B_013901 transcript:Et_1B_013901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSRRLLRSAVPATPLDHDDLLGQILLRLPPSPSSLLRASLVCKRWRRVISGPYFLRSFRAHHRKAPLLGFLFQNHIGADIGFTPALDPPDRIAEARFTLQVRGYCFLGCRHGRVLAVDWDKHNILVWDPVTGHQLCLAAPSALRAVDTPSDRMNGAVICAATDRGHTHGACHSSPFQVVMVGTRWEGLSACIYSSETSSWGNAISMPWQSLFPVEQIVHPFIPISLKSTMLGSHICWLLMGPTGAAILQFDLYMQSFAVIEVPPGSYDNLAFAHGKCQFLVTRTDAGGIGFLVLSESSIRLWKRNVNSDGVTGWLLGNTVELSSLLFLSLDVYMKRPMIVGYAEDDNVIVLRTEGGVFMLQLETLQFNKLLSRMDQHRPTYLPFTSFYPADSFLNVKKRSSDPVALAQEILDEAHPWALSRFSKMRAVINPRRLPES >Et_7A_052951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3244669:3248367:1 gene:Et_7A_052951 transcript:Et_7A_052951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIRQATIDDLLAMQACNLMCLPENYQMKYYLYHMLSWPQLLFVAEDYGGRIVGYVLAKMEEDPSEPCHGHITSLAVLRSHRKLGLATKLMSAAQAAMDQVFGAEYVSLHVRRSNRAAFNLYTSTLGYQIHDIEAKYYADGEDAFDMRKPLRQPQPKKHHHHHHHHGPGGCCSHDAPPAAAGDRSKSLDIRENLLEMKTSYLKKAHLNISRV >Et_10A_001698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:751174:758832:-1 gene:Et_10A_001698 transcript:Et_10A_001698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKAELETMYVFLQKMSDIEEPDVQDKCWANEVRELSYDMEDSINEFMLQVECESSSKPHGFRGFINRSMNLLTTMNTRQEVAKEFEGLKRRVMEVRERHKRYKVDDAVSKPNNATIDRRLLALYAEPAGLVGINGPREELIQLMAEQGVHANQLKVLAIVGFGGLGKTTLANEIYRQLEGQFQCRALVSVSHKPNIRKILRTMLLQAGFVAPEHTNMEIWDEPELIAALRKFLLVKRYFIVIDDIWDTSTWDVIRCALPENRNGSRIITTTRIETVGRECCAYHDEYVYKMKPLSDQDSRSLLYKRIFGSEDACPPYLKEVSAEILKKCGGLPLAIITISSLLASQPKNLKEQWEHVRNSLGSNSEWNPSLEGMREILNLSYINLPHYLKTCMLYLGIYPEDYTINKNDLARQWVAEGFISTSLGAVPEDVAKSFFNELVNRSMIQPTNVRYNGEVISCRVHDIMLDLILQKSREENFITVIDRLKDISAQHKKIRRLSLQLDGAIEDNTIAGSVQLSQIRTLAIFGIYSCLPPFLLVKHLRVLNIEISKRSQPSALLDLTGICHLLQLRYLKIIANSHHVVLPTKIGSLQQLETFQVSLIIKSTFSSGPLICKLPSDISHMSRLLHLIAPKWRGLPDEIGNMKFLRTLCNFELGMSSLDSTRRLRELTNLIELRIGCNFACNLYSVPRDEVVARGREVLHACLEKLCNLKCLYIDSDCGDLDVLISVPASSCHLQRFHAPSFLRVPDWIGQLHNLYDLKLTVKVLEDDVGMLAQLPCLTELGLVIRSAPEYNIIIRESVFFVLKLLKVTCNKISCLTFEAGAMARLEWLKLRFNAHGWDRHGAAPAGIEHLSGLKGISVDIGAHRAKESNIRAAHTALRNAIDMHPGRPTAKIKRYDNERIGFDDMDELSSLTYLNLHIKEPPKDKIVTDL >Et_4B_036764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11327939:11331326:-1 gene:Et_4B_036764 transcript:Et_4B_036764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSMSGKALRTFGRCVTCLARAGSELVLQADPAKLELLTMNSSRSAYASISLARDFFDHFNLASTPRAPSSTPLQCSVLLKSVLAVLRTPHAALDRLAVSLPDPDAPKLHFTLHCLNGVIKTYRIACSAEPEVQTLALDRGRFPSRLVIRPRELARLLSNFQSSLQELTIIATDPAVGLADVSGDVGGKAVELRSYIDPTKDDCDTRLHTQLWIDPAEEFEEYVHTGDPVDVTLGLKELKAFLTFCEGCEVDILLFFEKAGEPVMLVPRFGLDDGSTSDFDATLILATMTVSLLTDSNGAQQPDTSAQNAEEPRAAATPSVVPENVSNHTKIWSDLSGTPKNFEANKEVHAPMERMNYTSVLPNDRNAPCRPPPLQMDHSEENPDVISANPRSQHHPSNWVGADDNDDDNEEDEELLVQTTPHYMD >Et_7B_053908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13225904:13226627:1 gene:Et_7B_053908 transcript:Et_7B_053908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Et_1A_008620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9221241:9221814:-1 gene:Et_1A_008620 transcript:Et_1A_008620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGFRYKDDEEPDGRCTIRISNSALPCPGVALRLYAVIDCTLVEERMPPVTVQFVKNNPPEVAGRFTCSTGDFVIIYQLDPTGRGVAAGLPRDRAFAVNEFCHISLSSASNSYYTSVAITVEVGDDGCEEDDEEEAAATDECAICYKAYLVGGATSVKLPCRRVFHRKCMYSWTPVSARAGSSPVRG >Et_3B_029182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22811831:22816930:1 gene:Et_3B_029182 transcript:Et_3B_029182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERGGSRAAVDERYAQWKSLIPVLYDWFANHNLVWPSLSCRAGGGRSLSKLPTRITDGSMPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIVHPGEVNRIRELPQNSKIIATHTDSPDVLIWDVEAQPNRHAVLGATESRPDLILTGHQENAEFALAMCPAEPYVLSGGKDKSVVLWSIQDHISALGDSSKIESSPGASISKMSGSGKTANEKDSPKVGPRGVFHGHDSTVEDVQFCPSSAQEFCSVGDDACLILWDARTGTAPAVKVEKAHSGDVHCVDWNPLDVNYILTGSADNSVRMWDRRNLGSGGAASPVHKFEGHKAAWSPDKASVFGSSAEDGFLNVWDHEKIGKKKNSNSPAGLFFQHAGHRDKIVDFHWNSSDPWTIVSVSDDGESTGGGGTLQIWRMSDLIYRPEDEVLAELETFKAHLASCTPRA >Et_8A_058067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17173453:17175913:-1 gene:Et_8A_058067 transcript:Et_8A_058067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRISDKGDDWKPVGFEIAFSSLLDMAKYIGLEIPYDEPALTGIYAQRISREVPHDMPTSTLNKEDMVHLDWEKLLQFRGTDGSTLGPPSATATGNNQRGVKTCFEYFDGIFQKFSETIPGTSHDVYARLWAVDRLSRLDFSGQVRSEIEDCLDHIYRHWTPDVGTSQPKNSQEKGIVDAAATTLDADKEEVFNFEQYMACTAAAVNAALDRALPLRRHQPSSLLVESMRYSLLAGGKRVRPVLALAACELVGGDPAAAEPVACAVEMVHAMSLVHDDLPCMDDDALRRGRPTNHVAFGESTALLAGDALLSLAFEHVARGCVESAGVPAARALRAVAELAGAVGADGLAGGQVADLAGEGKPIGIATLEYIHVHKTARLLEAAAVCGAIVGGGSDEEIESVRRYARQIGLLFQVVDDVLDVTCTSEQLGKTAGKDLATDKATYPKLMGIDGARAYAAELVASAEAELDRFDGDRAAPLRHLARFIADRQH >Et_9A_062695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3302431:3303756:-1 gene:Et_9A_062695 transcript:Et_9A_062695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTNENYRLLYDTKGRFRLHPIRDEDSKWKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNVIMVTGGRNTGRVGVIKNREKHKGTFETIHVEDALGHQFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAAA >Et_1A_006405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2227017:2230107:1 gene:Et_1A_006405 transcript:Et_1A_006405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASSSSTPPGAGVAGAAARRRPPEELKLRRKTLETVLEQCQRALEMMHEDDGQEEPAEAVDPPEEDGREAGGDGHDDEGAQPPPPSDADYETDELCDLLQSRVQSPEFLEKLDSIQKSVYQHGADETISWDIVSAAEIWDDKSMNVSDDSEDGYVLVKQEDIVDGIACFMAAYLLSLKQTKDLTPDQLQQALSKTFSAKKRKNKLQKAWDGTKVVYNIASWSATAIGIYQNPAIVQAATAAFWTSCRVISKFL >Et_2B_019812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14157346:14158448:-1 gene:Et_2B_019812 transcript:Et_2B_019812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKLSVKKGPWSPEEDRKLKEYIHKYGTGGNWIALPHKAGLKRCGKSCRLRWLNYLRPNIKHGDFSEDEDRIICSLFATIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMHAHGLQLATTSSQHRSKKQQQEQHLFISSEAITPLPLQDLSATPAHNFSHHYGSHCYDNTSTPSLLMFGGNGEQQMMMRSSSPDGGGAGLGLYFELCDHGHDSAVAAGLTMDSFIVQDDHHLKAPLLIASDVDGANNT >Et_4A_033782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26924204:26927590:1 gene:Et_4A_033782 transcript:Et_4A_033782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAPAPTAADHTTDLLKKLSLDNKKEGAVTDAAKKSSGMPYGSANANDAASQVDRSITPLLQEAMDPNFFYQPNGYASPAYYYPSGYDGSTNEWDSRYSGHEGMDMTSNVYGDMYHGYGYAPYGPYPSGSPVPSVGHDGQSYGTQQYQYPPQYYQPPTPTNPTHGVNGSNSQSELPPVTTHQARVVVDATKMSANGMPNANNSSIPRKQNHLNASVASSGSYGRGPMQGGGPSGSNYGHNGLRSPVQWYDGPIYSSGHQRPTTSSSSYRSNSSSVKSQSQRPTTTTNLMGMHGPSSGMGLTSPSYPTRMYPDSRLYGHYGQYGNTLKGGLGFGSNVYNSRNNARWGIVDTKYKPRGRAAFGFGSENQDGFTELNRGPRSGGFKHQKQFGPTVTIAVKGQAIPSLDAGYREAQEKAGDCPVFLFFSVSMFIIILSPLLGSISDIRIGLQVNTSGQFVGVAEMVGPVDFDKTVEYWQQDKWNGCFPLKWHIVKDVSNNILKHITLDNNDNKPVTNSRDTQEVKLEQGLEMLKIFKEHASKTSILDDFGFYENRQKKREQSSNRFKARQGGDASHEKDKDAANGKPGVQTQALSKEGTLAGEAANTKPAAENGVLNGN >Et_4A_033429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22723151:22724214:-1 gene:Et_4A_033429 transcript:Et_4A_033429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQGQSSSLQRLHGVEKRIVRVLELAGAVMEELGNSQGPRSDAVAGHCRDFMTAIKEIQTTLREEIKSACEYRPFEKCDYSARIANEICIKKLEYVIEKLDAMQQNVEQSTDE >Et_2A_016904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29259366:29260933:-1 gene:Et_2A_016904 transcript:Et_2A_016904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KLVGALEFAVPERVLKEGVRVSRRKTREPKEENVTLGPTVREGEYVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIAPAERVEGGEGGCRCLLQATYHHVALALKKLVLSGLYCCFYGGALILLYVKDRLMLVESMMLHGSCF >Et_5B_043526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11488823:11489388:-1 gene:Et_5B_043526 transcript:Et_5B_043526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQGGEPQQLLSPAESRALTLRFFQGLGKDVPLPASAEQPDAFHALVRAILSSAAVSASPPPRVSCTLTISPAVANQYNTLHGGAVTAVAEAVGMACARAAAGDKEMFLGELSTAYLSAARLNVSSFLIYPQHRQP >Et_8B_060446.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:16134881:16135060:1 gene:Et_8B_060446 transcript:Et_8B_060446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVAQNYNFPDLRRLASRHIDAAKDYEETYRKKQDAAASAGTSGRRKKAQRLDMDDEEE >Et_4B_038321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28031418:28032123:-1 gene:Et_4B_038321 transcript:Et_4B_038321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPAPALAAPAPATVVVVARFCAPLATAFAVTKTISVTGRDFTVMDAAGAAVMQVEAEVFAFLRRSVLLDGAERRPVLTMRDAGLFMGAQWDVFRGDSTSRRNKLFSALRSSDFQIRTKVYVYLAGKQAPDFVIQGSYYEGACTVCTANSDAAIAQITRQNTAGGALLGRHTYTARINPGIDRAFILALTVILDEMHHNR >Et_7B_055982.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8712943:8714286:1 gene:Et_7B_055982 transcript:Et_7B_055982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRVRFNVGGQVFETTTTTLANAGRDSMLGALLDSSWNLSPSASSSEYFIDRNPACFAVLLDLLRTGSLHVPPHLPEKLLYREALYYGLLDHVRAARWGAFDGDRLRLAASVPGRAPGDGTAIRASPDGGCCVAHGGAVHVYNWMLDERRPVSLGHSQVNDAAYLDAATLLVAARERRRGDDGDGGGMAAFSAASGELRHRFRVAHGGQRKSFTAGALAFDNNSSAIFASCKGRLNEYGVGVWDRATGAQADFFYEPPGCALGDADKLQWLDATGALMVASLFPKADNCFIGLLDFRDKSVAWSWSDAGAAASLDDKRVLHAIAMDDERSVCVINQYDDLGFLDLRRTSAGGVRWSSRSKLMNRKVPGEESCYPRLATHGGQLFSSMNDSISVFSGPEFVLTSTLRRSLGGAICDFSIGGDRLFALHNEENVFDVWETPPPPII >Et_4B_037705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22759078:22759568:1 gene:Et_4B_037705 transcript:Et_4B_037705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CHLDPVSTVRCVCAAVSRHWRRAVIENAPEIRPHTRCQADPSPPPRLSCTPVNSASPAARPGRRPPSAGTGRTSCPLPLPSTCINHDGLLGLQNITAHTYTGGWGPVLIPNIDTPRMSFRRYRAAPVVCRGVIHWLCSDVSDYSAEQSSFQRNRTLLPWT >Et_9B_066007.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:20296145:20297218:1 gene:Et_9B_066007 transcript:Et_9B_066007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYPSLYKQLGLGAASPLSGRRLLFLVLGAGFLVFTIFVVHPNEFRIQSFFSGGGCTRPVPDDDAASRAPVKASSVPHEEANATTDKDVRILIGIQTLPGKYARRHLLRAVYSLQAEEQPSLAGAVDVRFVFCNVTSPDDAVLVGLEIIRFGDIIVLDCAENMDNGKTYTFFSTVARAFSSSSNDTRPRYDYVMKADDDTYLRLPALVSSLRNASREDAYYGLQMPCDTENFYPFPPFMSGMGYALSWDLVAWVAESELSRRDRVGPEDMWTGRWLNLAGKAKHRYDMAPRMYNYKGSSPDSCFRHGFVPDTIAVHMLKDDARWAETLAYFNATAGLPSSAGLYHLPRPATTARP >Et_1B_014308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5657354:5658834:-1 gene:Et_1B_014308 transcript:Et_1B_014308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYQFLGCAVGPEKETASPQEVKTPISTAENGKVDDAADKYRSKLMSITDLSSDAQPTQCPPENVAT >Et_2B_021478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3010805:3013626:-1 gene:Et_2B_021478 transcript:Et_2B_021478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAGLRRRLLVALWFAATLLLRLGAAATDAATARVDGRRAVASTGEDFVCATLDWWPPEKCDYGTCAWGRASLLNLDLSNKVLLNAIKAFSPLTLRLGGSLQDKVVYGTADLRQPCTPFVKNDKEMFGFTQGCLPMRRWDELNAFFQKSGAKIVFGLNAFNGKVLMPDKSLLGPWDYTNAASLIRYTVNKGYKIHGWELGNELTGGGVGARVAADQYSADVIGLKSIVDRIYQGNSSKPLVLAPGGFFDSAWFTELIAKTKPNLLNVITHHIYNLGAGVDTHLMERILDPSYLDGMASTFSNLQGILNSAGTSTVAWVGEAGGAYNSGHHLITDAFVFSFWFLDQLGMSAKYNTKSYCRQSLIGGNYGLLNTTTFQPNPDYYSALLWHRLMGTKVLATTFSGTNKIRAYAHCAKDSTGITLLLINLSGNTTTQVSVTSEGGTVAHKHVDAARPITVAPYSIVFSHIPHVSAPA >Et_7A_052392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7534475:7547826:-1 gene:Et_7A_052392 transcript:Et_7A_052392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIASQLQAIKSAIGAAPEPARRPITRPSVLFDAKEAADISIRAILPIALSGLEHLATLDERFAKYSNTLFSETSLEVNREQLTPKENDKINKSISTYLRLLAGYLQLPAALKSLEYLIRRYMVHVHNLDELLLSALPYHDTHAFVRIVQLVNLGNSKWSFLDGVKSSGAPPPRSVLVQQCIRDKAVLETLCNYVTPTKDFNHSRTVVCFCTAVIVECLGAVPKLDTDIVQRVVGFVFDSLNPAMTGDQDYKAGALMIVGVLATRATLAPKLVQNLIFFIARSAQHDALESIDLPWLRVTVMAMISLVQSQSVHDFPKKPLMILKDIRDFSGVLSALSNEYNIEKFIRVYIESLIDYSTSDDLCQKHLIETIETIPLKNIVERIVFKVLGHCMKTSQAAGNKDINQTGIWAKRILNAFERKYPLELHDAIRKFLQNSEINPMEGDSMSELFGLVFDESKSTPTEISDSNIWFSLDHPKATVRQCALSKIAASGIFNNSTLNPKKFINMQDAILRSLYDDDLTVVQAALSIEGLAAVANPDSLLKGYNDLLNRCVKVITKGGSKASKASDVAVLCLEKMVMEYRRHHVKKVKDIAAVVFPLLIVHPKTFRVNLKALELAKKMQWEFYTSCSLVCDEISSDEMKNIDPDSIASINMKNIKAFSETFQADPNKHVEWLINCGNGSRFSRTLFLLIVLQTLVAPSEVLEEQMGFCQACLPALKDEWCHIQPKDNGIGDEISIEKLEKCSAELVKHIFNSDPEALNARILVCIFWGFLRVLSSYIEQNSVISSGGYTTLDDLYIFFITSPGKNVFQKHLQYLIVNCTGAPFQFISKYFLDEDLSDEVQMESLLLLASICSKCASSESSTLDESTCMQLLIGFPSVIPPLSHDNKDIRSSAVKCIEGLYLMWQRMSTSLPRNGNNINLPQCMSSPTFGLFLESLVNQKTMISSDARFISAYMSSMLSPRKDIMVPDNLHERFDQPTKDALLHFILPSAMKFSPYGKLMTLSVLKGVGSILFQAEEVRSLFFDLIDRRDQYWKRHHSVPILSTHEIHILCLLLEVLYSMSAGANSGFNTFEPLVKALRVDALSPDDTVVVMPCLTVLRTLQPMFFDDLKTDVKEKVFGRLISLFRTENFEIRNATRDALLRINVHASTVVKFVDLIVALDGTKGRSKRIKRKEDQNRDGFNSFEEFFGENAVASILVSLLDILFLKKDLNQRSCLLQPLFQILSNLLSDQWISGIVGQYKHDNSVKEAQQLVLLVLKDITDTLQSGHNDTMLNSSNIDLLINCVRSVKDVATRNHGFSLIASVAKACPELVSESIVDLFVAIGDAIKQDDSHSQRVLEDLLSVVVPCWLSRTTSIEKLLQIFIKALADISKHRRLTLMVYLLRTLGTENSLSTVIMHLFHSLIERISHPSLSTIPQEWEYGLAVSVTDQHSYKLWFPCLSKLLKEIRLQKKQGLPQLMHLAMRFILLKLEDTELNFELESEEAADFIQGSLQALMEEVVLCTVFTKGKKRDNSGDLIKEVRDNANRVLKIVTGWMSASTYFSGITQLLDHSDSVVKRKTLGILSETARGNSLVHNKQRKARKLKHSSLTSTIKLDKCSGPCFTDLCFKILELIDRGVDSDTSVKLAAVSALETLAKEYPSDNPAYSNCLATIINHIGSGDEVSSSGLIHAAGSLINVLGSKALPQLPLIMKNMMLRSHQVSCCPSGNYADSCTRTSARLSNQTISMLLSVLSTVEVIVQKLGDFVNPYLEEILDLVVLHPECGSHMDAKLDAKAAVVRNLLTERVPVRLILPPLLNMYSMAAKCGEASLSLAFQMLANLIGTMDRLAIGTYHSKIYDHCLTALDLRRQHLDNLRDINMVEQSIIHAIITLTMKLTEATFRPLFLRTLEWAESEVEQSSSNRSLDRVIVFYKLVNKLAEQHRSLFTPYFKYLLEGSVQYLSEDGALASSKQKKKKKAKLEDDLVEQKDKLLGPKLWNLRALILKSLHKCFLYDNEQKILDSSNFQSLLKPIVCQFNAEPPESTESVPDTPSVEEVDETIVLCLGQMAVTARSDVLWKPLNHEVLMQTRSDNIRPKMLGLKVVRYMVQHLKEEYIVLLPETIPFLGELLEDVELPVKTLSQEILKEMETLSGESLRQYL >Et_1A_005178.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:3184718:3184978:-1 gene:Et_1A_005178 transcript:Et_1A_005178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARSTRFTASGARPSLTWHRSTCLRRVRSQKRAPAPSFTWPPPPHPCSRGLSPRRERGGFRAGACGSRLPPREGRRVLNRVRAAGRW >Et_9A_062751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4154204:4156995:1 gene:Et_9A_062751 transcript:Et_9A_062751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARRRLLALASRLQTAPPPAQPWRRYLPRSLSSAASSGALDRLRSPSFARPAARNPTFPWDRFGGGQRRTMFIQTQSTPNPQSLMFYPGKPVMEVGSSDFPNARTAMTSPLAKALFAIDGVTRVFFGSDFVTVTKSEETSWDYLKPEVFAAIMDFYSSGQPLFLDSNTAASMDTTIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFDPESGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQEFDGDEEAELAGQME >Et_4B_037840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2441347:2451339:1 gene:Et_4B_037840 transcript:Et_4B_037840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAARSVDRHGATRGGGARSKSVAPGLRRPAPSPARARPAANHDGSSDSCRVRVAIRLRPKNAEELAHGDDFDSCVGLQPESKRLKLKKNNWSCESYKFDEVFSENASQKRIYEVVAQPVSVLEGYNGTVMAYGQTGTGKTYTVGRLGKDDPSERGIMVRALEHILSSMSFETDRVAISYLQLYLESVQDLLAPEKTNIPIVEDPKTGEVSLPGAAIVEIKDLEHAFQILEIGEANRHAANTKMNTESSRSHAILTIHLHRSSRVKEEASSSLSNDAGDIFPDELPLVLKSKLLIVDLAGSERIDKSGSEGHMIEEAKFINLSLTSLGKCINALAENSPHIPTRDSKLTRMLRDSFGGTARTSLIVTIGPSARHYSETSSTIMFGQRAMKVVNTIKQKEEVDFEILYKKMEREVDQLTSEMERQQKLRQSEKLSWDKKMEECEMSFRDLKKTSNMQIETLENEKHQLESAVRKLAHDLAEEKGQNTLLSKKITHVEKLLNETKQQQLENITKTKILDDTAKQHEKETRELLRQLEEERRCSASYKDRLSVLEHQLCDAQSSFQELIYDELKSSQEKVQQEIRQRQDLEDQILRLKQSGPDNYAEESKTSCDMVRSGSGLGNASYLSKSGKLREVPSSQRGTISKIFEEVGLPNVLALLKSEDLDVQIHAVKVVANLAAEDINQERIVEEGGLDALLSLLETSENTTIHRVTAGAVANLAMNGSNQGMIMNKGGARLLANVASKTDDPQTLRMVAGAIANLCGNEKLHFMLKKDGGIKALLGMFRTGHNDVIAQIARGIANFAKCESRVISQGNRKGNSLLIEDGVLTWMVANSNRFSASTRRHIELAFCHLAQNENNTCDIIASGGIKELLRISRESPREDTRNLAKKALDSNPAFLKEVL >Et_5B_045520.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:3488145:3490049:-1 gene:Et_5B_045520 transcript:Et_5B_045520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRPDPRLARALATTSKSASLPAPAPNLLPATKPPRHAAPFLVVLLRCGRSNAVSRLNHHLRVAPLAEARALLSALPTIRDVVSYNTVLAALCRRGYLPAALALFRDMSEDPRLAFRPGAISYTILIRALCAARRADEAVAMLRSMQARGLRPDVVTYGTLIRGLCDAAEVDRAAELLDEMCEAGVEPNVIVYDSLQRGYCKARRWDDVLKVFEEMSRRGIQPDVIMFTSYIDSLCKEGETEKADKAMAMMIEHGIPPNVVTCNVIINSLCKKGSVREAMSMRKEMEEKGLAPNVVTFNTLISGLCSVLEMDEAMGLLDEMLQGGTTVQPDVTTFNTVIHGLCKVGRMRQAVQVREMMAERGCKCDLVTYNCLIGGFLRVHKIGVAMELMDELCRSGLEPGAFTYSILINGFSKMWQVDRAEKYLRTMQQRGMKPDLAHYIPLLSAMCDQGMVEEATTLFNEMGKNCTLNVVAYSTMIKGACKSGDVKMVKRLLKDMIDEDLTPDAVTYSMLINMFAKVGDLGEAEKVLKQMTASGFVPDVVVFDSLIQGYSSKGQTDKVLELIHEMRTKNIALDTKIISTIVSSLSASNEGKRLLEGLPHLSKELREGDTMSPQEFMESLYKSCPTLEPSVA >Et_5A_042592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21373668:21375337:-1 gene:Et_5A_042592 transcript:Et_5A_042592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKLEELRDYVVSKALDDFVDRIFSAVFARYDAHASVDDQLERLDMLVIMLYSAVEAADGVHIRSWWLRRWLWKLRDAAHDGNEVLRLFRRRRALAEEGDGAGGPATSDTFPWWNIAKRAFRSAKSLLFQSDEDVVYRLRRTVANLEKVSTGVGDFLNLLDSEIKLQVGRVRRRRQTMSAAFGSHGPPTSVDVAQLERVGSLLIIVHRAVDYAMGVQIRSWWLRRWLWRLRDIALHGDEVLLFFRQQRTIEWASADGLVGSSPRNAEKRLVPSAKSFFFPGGDDTMDQLSRMVASPADCEIQHSNVSVFYDSNGVHISNWWLRRWLWKICEDVCEFRRRAAEERDRNNVRPRPWCLRKANSRFFGDTAAPPH >Et_4A_035156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10002872:10004789:1 gene:Et_4A_035156 transcript:Et_4A_035156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRALTLEKYHRFFVDPWDTRMKNRDLNHILNMHGFTKLHREPKWGSEQRRIMRFLVGQVDLQPPRRSTLHRAALWAAAAAPPAAAVITTAQATADVEELGWAECPIGCVTAFAAVTADALVPVDSVPLPADFVVLGRRPRSRRTRGSAFKRKRVDDAASTKVKKGDGDGDGEGGEAGSVAAAAAATASSAAVDAITDSAPAASVTPAASATGRGTASSGAAPGPAYAGAATRTTMLGLARGAAVASSAAVLGLAAAHAASCSAGAGNAAAPCRAKAGLETSYGAVVLPSAVLGRAKSATAIVAACALGLAAARNQHVVANRSNK >Et_7B_055131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7559988:7561948:-1 gene:Et_7B_055131 transcript:Et_7B_055131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVESQPTPPVAESSPPTGEPSPPSTARASSSLEVLAAEAMSFDGDDTESIDVKVQKALDCPCVADLKNGPCGGPFVDAFSCFLRSTEEEKGSDCVKPFIALQDCIKENPKAFSKEILEEEENDTEAEKSDLKVRAPAWSKEPKPKL >Et_3B_028976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21006884:21009267:1 gene:Et_3B_028976 transcript:Et_3B_028976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FWASSDYLRPIWRRKGTHHTSPHSVDASIPRLVCPVCPPDPLSGEAAGATTADDPCRRCPARASPPSTLLRKPGKGSIYLSSRLQELSAAWISTMETRGQDEITALTTRGCHSSPDNQELAKQSCVPCNSKDLHPMSEDSAKKLLEQVDGWELTTEGGILKLHRSWKVKNFVKGLDFQLVASIAEGEGHHPDLHLVGWNNVKIDVWTHSVRGLTDNDFVLAAKINHLNLEGLLSKKASVQK >Et_3B_029355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24333918:24340543:1 gene:Et_3B_029355 transcript:Et_3B_029355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVALASPLRRLLRTAQPRRAVPSPYYFFSRGRCSAALAVTAAAARDSSVSASVDRNAAVEVRNILDMAERASQRRDVFHTNFLTPPIIKEAMLAIEKLADIKAVAQGGYPQAERCRISVGHPESLASNPDVVAALSISGNFRLEPCSHGDFLGAILGTGITREKVGDILLQRERGAQVLVDPELVDYLTSTLEKVGKVGVSCTQIPLLALEYEPPSTKSFKTVESSLRVDALASAGFKISRTKLAALISAGDVRVNWTPILKNGVNLKSGDVVSVSGMGRLKIAKSSQDSVSKAVYCPVHFIDLFVIFARAT >Et_4A_035255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1497667:1498178:-1 gene:Et_4A_035255 transcript:Et_4A_035255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVVGLALQVLCSYRTFPLYALVTQMGTNMKKTIFQEHTAKAVMKWRNAAKAKARQRESGFDGLMPGDMGRSEDPQRALTSPRRGQEQELDWRKPGHMHPVVEQHRLHRLDPERSRVASSTAIDIDLADADFSFSDQR >Et_2A_016530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25770930:25773695:-1 gene:Et_2A_016530 transcript:Et_2A_016530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVPSSMDFDAVSKTGLLFGICNPATGERHIVPPVEGTSYSSHVVGYAIVTAADRNLDGEWRPLTSRRHEFSQLLIISRPKDDYDLRLHSYSAATRRWSEPFACLTPDERRASRVQERSAVVHRVTAHWLYVKGTMAQEVLYTLSVEMTTMRVSFTKIPVTFGQTPFLCISREGKLSITSVYGTYVQVWTQQDGEDGDPTSATWIRTRLIQIPIAVLGQHPPAMLKCREWFEFHGRAMLMMCEGGGFFIFVLHLEKKVIEKLMDCSTCLFGKRCESIVPYEMDLSELFVFRLGGVAQASKELHESTASSLTKLPIQADGRLSVACMHDMRVDVWIWTQQDEDASSNLAAWLKKKKPCRMAPHSNVQKKTGSANENFMSCKWFNFNKEE >Et_10B_003960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8506086:8514789:1 gene:Et_10B_003960 transcript:Et_10B_003960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSFRFDPDGSDGEAGPPSRGRPAQSPWEFSSYAESVAAEHARRRTTSIDEKISQARQGRRKPVLSDDSEADESGSGEDSSDDDEEALVEGESSGDEEDELEESEDEEEQAVEGSGDEKEVGDEGDDEEEEGEDKEEGGEQGEEDEEGEEEDAQEEGENAEQNAELEPSKFFTSSEGASFHANSFLELNLSRPLVRACEALGYQKPTPIQAACIPLALTGRDICGSAITGSGKTAAFALPVLERLLFRPKRVPAIRVLILTPTRELAAQVHSMIEKLAQFTDIRCCLIVGGLSTKVQEIALRSMPDIVVATPGRIIDHLRNSKSVGVEDLAVVILDEADRLLELGFSAEIQELIRMCPKRRQTMLFSATMTEEINELIKLSLNKPVRLEADPSLKRPATLTEEVIRIRRAREANQEAVLLALCLKTFKKSVIIFSGTKQSAHRLKIIFGLSGMKAAELHGNLTQAQRLEALEVFKKQEVDFLIATDVAARGIDIVGVRTVINFSCPRDVKTYLHRVGRTARAGREGYAVTFVTDDDRSLLKAIAKKAGSQLKSRIVAEKPVAECAKLIEDLEDQISTIIREEREEMALRKAEMEVAKAENMIAHKDEIYSRPKRTWFATEREKMLLAKAAKESVDKGKNSAGVISAKQAEDLRLKEKKRRELEKNLPRKKRRRLEAEREMLEESDDDEDTKESKGGKKAKKVQSIVDVAYRRAKSMKATSKKGPGAGKGKIDKKAKQHSDKVPTRQEEMHDLFQNDMSEWKQGRPLKKDNNFARKKSKNAFKSKSRYKRRK >Et_2A_014896.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20842414:20842503:1 gene:Et_2A_014896 transcript:Et_2A_014896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSLAYVWRKIASLLERWKLLCPVGHLQ >Et_2A_017673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:540774:542267:1 gene:Et_2A_017673 transcript:Et_2A_017673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RLHQLITDGTERRSYPYVLTEAIIRNNNATLDESKLKVIFCTRVLCQPKAYCWCCWNQKPKALCYDTEKECKDTCVACQPHCPPLCSISKFLLDIDCNKKAYTIGIALRRALLGEIEGTCITSAKFGNVPHEYSTIIKRDRGYHMELRKNSQDGSYPIDAVSMPVRNVNYSIFSCGNGNEKHEILFLEIWTNGSLTPKEALYEASRNLIDLFLPFLHAEEEGTSFEEKKTRFTPPLLTFQKRFTNLKKNKKGIPLNCIFIDQLELPSRTYNCLKRANIHTLLDLLSKTEEDLMRTDSFHLEDRKLIWATLERCRQTSKDNETEIFGSFRRGIEREMGRTGPSCLPSWARKRKSTHFVFPRKKKKERSGGLLCIFWF >Et_3B_029898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28896410:28903770:-1 gene:Et_3B_029898 transcript:Et_3B_029898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKGSAVDKSPSDTTLGPGRVLDHHERGLVKEEKKTVVGDEAVAKRMQEQHQPPQQEQPISVSQIAMPGSAINASAAPWDGVPPLARFPSQKSGMGVTAGLGKAVDVLDTLGSSMTNLNTSGFGSGTTTKGNKISILAFEVANTVVKGCNLMRALSKDSVKHLKEVVLHSEGVQNLISKDMDELLKIAAADKRLATERTPQHHLKEEAELVMQQLVTGVQFTAELYHEMHALDRFEQDYQRKQQEEDGSSVVQRGENLHILKQEVKSQRKHVKSLQKKSLWSKNLEEVMEKLVDVVHFLHLEIHNAFGRSDNEESQEPTKRRNRLGPAGLALHYANIISQIDTLVSRSSSVPPTTRDALYQSLPPTIKSSLRSKLHSFGVKEELTISHIKAEMEKNLRWLVPIANNTTKAHHGFGWVGEWANTGSEVNCKPTGQMDLTRIETLYHADKEKTEAYILELVVWLHHLISQSITANSERSPIKSPVRSPTQRGSKLTLSPNKASSNSSPLLTQEDQDMLRDVKYRKFIPGISKSQEFDTASRHNKQSRLIKSNSHSPSSGNRKELLSIRRLLPVIDFEIDRTKALDVIDRVHNLNGQS >Et_6A_046255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11538296:11545267:1 gene:Et_6A_046255 transcript:Et_6A_046255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEACEGGSGSRRAYTRAEIEALKCSPSAGLQAQLWAEVYAALAAAGFAGEYDGLLAIEEARNRRWNKGKKAAGGGGVRKGHEEAAAALRFLDVADNVAWRNVDLGVRDEHRFEAVHNPAGVYGVVQEPFDQDEDVEYEDDSDDEYEGILKPAFAVDGEPDFESGEPLDGFEYLRRVRWEAKQFPRVKVAKLDLNAARMVQTPYMPEIPEIPLFSSDLRASKEWEDAFITNFSETRQFFSELDNSEEPSVSGVKEVLIKTWQQLRDPD >Et_9A_063135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11246682:11270374:1 gene:Et_9A_063135 transcript:Et_9A_063135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDARATKKQRGDATSGLTTFALRLAKQLFLFEGAGNHGGNGAGDGGGNRSDDDDDGNHSGDDSSGTDDEDSGSCSGEDSSGTGDDDGSGSGDDGNGTDDDVVDGSGDNDGSNSSGDGGGKNIVFSPVSIYSALALVPEAKPWKNFSPCSAQRHATSSPSSSAAWRTAPSPTAGPRVAFAWGLWHEKTVVVKPAYRAVAAESYKAEIRAADFKDKAEAAREEINNWVSEATKQLITSILPEGSVHPRTRLVLTNAIYFKGTWKEKFDKKCTQVRPFHRLDGSDVRVPFMSSRGHKMVDEYDEFSVLKLPYCQFADDEVQTKSDVDERPQFSMVIFLPHERDGLPSLVEEMASDPRFLWDHLPERRVKVGKFRLPRFKLSFSSEISDVLKVMGMEKAFAPFEADLTHMLEEDKAKLPDNMVDHSVDLYVETVMHKAVVEVNEEGTEAVASTLCSSRVLQSARRDPNFVADHPFVFFVVEEVSSKVPVEDVNEITKTEFNKTHVNDKISSKAHGVWSASKPPVGSFVKSSDVGKAEASCPKPVVDCHAKSVAADVQEKDKLTKKAPYFNSPSVPPFRFFDDEDDLGNYVEDESELAVLYKFVKVTFNSFGSSLQPFGKVQTFVVNAYCKYLFDLKHPRDSYKHFFFTKVGMFSDSDVPNIRKKVVSDMLFAVKNEQVDAIELVKTYNPKVYAKTQPQLLKSMTSWNQTMVLVVFPSLLQSQDSELDLLEILEQNYQVGFSSLSLSPVGDEEQERMSRKPVLLYEGGGSSGGPVRKDRIEHKLAPYVPKGKKLRISRTLAENFGIIEGPQAWLVYAWNHVLRSNDFFPLLMAGIKRISSSSTSLKDLNLAMDPGNKMIIKYYSSYHAGRLTNLMLQTENPATATNFIRADEVEFFSLDHDPSRCLNINVLPSDAVEDNLPELQLMSRVVAEYLDVQPGPQNCLLIVSGSFDENDLWLTVTESCRRNEDTADILCSSYATVDQNKILRFNKVKVLGFPDLDHDFGHLVQVDRPTDRAFLINEHNRRIEACSAEAASPEPSHDGPSHGSNGGGPSDADEEAAADSPPAQPADD >Et_10A_001032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20338201:20343526:-1 gene:Et_10A_001032 transcript:Et_10A_001032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQILLRRLSPHSSGALGCRLLGSAGGPRYYSSGERRRGPPQDDESRAVRVSVWWDFENCQIPNGVNVCRVAPRVAAALRSAGIRGPLSITAFGDVLQLARSSQEALAATGVSISHVPRSGKNSSDRSFMADIVYWIAQNPPPVHFFLISGDRDFANMLHRLRMSNYNVLLACPSKVTSVLCSAATIMWPWEALVKGDDFSPKHFNHPPDGLSGSWYGHYKGALDDPFMEPIAIPSSDSKNSSVPKYVTTAIQEILSSHPQGMKLTALRIALQRNNIYLGTEFFGHKKFSFLIQSMPDIVKFTEPPPGEDQLYVTAVKKRLLRPGEQTQYTVKEGNLIQVEQNDGAHPSFTAPDVSKLDSEPLSSSRSIDRKKSLAETVNEDSPTCAVSSSRSSQSIDRNRCFPETVNPPTLAISPPPSDALPEDQKEYPIVDANSKPESSANHSEVDEKTIPNTPSPSLVENADNKDGFFKRMWMLWNGPENAKCEGSQIHESTSAEVLDELQEPNAAHRRKLLKRINKNGRSDGSDSSAAASPNLPILSDDNRSENMKKDPSILENSEPCNGPASLPLSKAGEKDDTSRMNKGLFSWASRLWRFGKSDADNSTTKQNAIDEAMTDSIEESESPNTSACGSGQQVANEIFTKSYFWDILEQQLSKPFGSDLVSKAKTREELVHGLQKLGCWPLKGLVDKDLHQLVNLLVSEKKWIEETPSRRFPFRITLPHKRTCVPSNSSKHTGLSSVFSNVKPLQKGKYVTGNSKTNRPLNREEILSDCHKLLKELLSQYEYGFNINIFKNRFAQKHGYELDHQKLGYPDIESLLQIMPDARVKFPRVLPAESGNGQGSSKGIGNQNNGDLWEELGPVSATTETTAGSDKEMCYLPPTPSDDEFSDSDNPADRIPRRDAEQSSLLQIIDSWNSSKDGGSRKKPEDIDGLVDCSRTRLGDIDNLTSGSAQRPTRQSRKQYFFVSDSDSEVDQEKDKLVESVLGSLQKARGSGLNS >Et_5B_043023.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:14682571:14683082:-1 gene:Et_5B_043023 transcript:Et_5B_043023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVHKAKSSCTFESDMWSLGAVVYEVITGSPLIKGCDPAGMITCMRSLFGTLSNEASTSLEVADGPQADPKWATHGALIRRQFSPQCLEVLHGLLKLDPSERLSAADALEMNWFADH >Et_7A_051991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3446927:3451756:-1 gene:Et_7A_051991 transcript:Et_7A_051991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKHAPAFTPEAASGSASGGACDRHNLPALQAKMKRDPEGYEEELIQLHRHFDSSVSLFQKQAALATTSSSGGGGEVAKELGDLALFLAHVAPFYPDALHELPNQIGGLLDTNARGLPQGLREHLVQAMILMVNRKIVDLEDTMDLFLELQVIGDRAVKKLAFSHIVHSIRRMNQKSKNESKNRKLQNSLFKFLQAEEESRAKRAFTIMCDLHRRRVWFDERTTNAICEACFHPSSRIMIAAISFLLGYENAEHDDDSDASSSEDEASQNPQVLLSKEDVYKANHKGTAASKKKKKAKLQRVIRSMKRQQRKSAEATCPSYYSPLTYLKDPQGFAEKLFSRLQKCNERFEVRMMMLKVIARTIGLHHLVLLNFYPYLQRYVQPHQRDVTTLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGLNVVREICMRMPLMMNEDLLQDLVLYKKSHEKAVSIAARSLVTLFREFHVVKASLFEIQICPSLLVKKDRGRPVDPKAQPKAFGEVTVASNVPGAELLNENISSEGEGSDDESDAFDSDDEADLPSSPRFAGAKKAEVSSGETDKILSDEDFKRIKELKAKKDAKLALVQHGLSKSGDTGSATFKIPSSDQLSMKRVDPALLQAHVKVKLSKAERLALVKAGREDRDPYVAKAATKNKKTGGLSNKQKQHKKRMPLAATRAKAARSRQEKKQQRKRSGNQFRGRKAWK >Et_1B_011968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27629467:27634967:1 gene:Et_1B_011968 transcript:Et_1B_011968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDHWISRLMAAKRQYALQRAQRHHATPASHHDRLGYDDIEPEDEVRSDFPCPYCYEDHDITSLCTHLEDEHPFESKVVLLSSLLCSRQACPVCAARISKDLLDHITLQHGYLFKISFLANQSVHLQRHHRLRRVAGTGNQTLSYAGRDLQDTYLKVLLGNSSRSSSTNTSTSVTDSLLSSLVLNLSSSEAEDTSKSSAPVVVENNWFKRALPTKTWKTSVVDSNLSHEERERRRRRAV >Et_8A_057284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23167997:23172120:1 gene:Et_8A_057284 transcript:Et_8A_057284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIEREEWALTPLAYPLLSAAALAAVLLLPYFSTRTTTHATGSSSPFDVGTAAFLRFRRAFITLFCIASVVEGIHSVFGEDEFARCGLGREQMAARLAAATAAAFFLGGFSGVISDKMGPRRTCLLYWVLQLAVGAMKSFSGLRCAWISNFILALASSVFSFCFETLIVVEHEKQDQKQDMLFDTFWLMTFFESVSLVGSQGITNLLVNGDGNGFMLPYAFAASLSIAGILYIRNASSTTQHASAIGSYQKSFFAHVLRDKRVLILVFAQASIHFAVSAFWFLWAPTIVADGRYAQLSLIYPCFLASRMLGSAGFPWFYGATAPFQNEDSLTIAYISAGLALSIAAYDYQEIGVLVILFCIFHACVGFILPSLARLRTMYLPNELRGGMMSFSLSLANAATFVFLLQGAYHRNVANSTFLGLASCGLLGAGGCIHILRRWRKHTRQSIRSL >Et_10A_000881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18650569:18653637:1 gene:Et_10A_000881 transcript:Et_10A_000881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMNFRDWISYRLGSSLLSARPFALSGADDASEGDAQGTTNDEFVETVSANRFLSNDSRASEVSTNPHAAAIHSGLLHPDDDSKMSDPLRKVEALQIKFLRLVYRTGVPPSTDVVAQVLYRLQLANLIKAGESDARRTNLAINKARVIAAQQEAPGGPDLDLPLRILVLGKTGVGKSATINSMFDETMVATGALCPATDRIKKIEGTVKGIRVTVIDTPGLMPHYHSQRRNRKILHSVKRFIKRNPPDIVLYFERLDHINSRYSDYPLLKLITDTLGSSMWFNTVLVMTHCSSSPPEGPDGYPLEYNAYTRYCKNVVQRHIQAAVSNTQLDNPVVLVDNHPMCRRNAKGERVLPNGQVWVSEMLLLCGATKLLAEANSLLKFQDSFLLSQANARLPSLPHLLSSLLKPRLSSSADGIDSEIAEMSDEEDEYDQLPPFRILKKSEYEKLTKEQKCAYLDELDYRETLYLKKQWKEGIRSQKLAEAQTNDSSYAVPDDYEESTSPDVVHLSDMEIPLSFDSDYPAHRYRHVITDDQLFRPVLDPQGWDHDIGFDGINFEASKEVKKNVSAAVAGQMRKDKEDMYVQSECSVSYSDQRGYSAKGGMDMQTASRDLVCTINGDAKFRNLPWNTTGAGISVTKFGTKYFTGAKLEDAIAIGKQVQLVANAGRMAGCGQAAHGGGMEITVRGKDYPVREESITVAVTSLSFEKETVIGGNLQSDFRVGRGSKMSVSANLNSRNLGRLTIRTSTSDHAEIALIGVVSMIQFFLRRKPAAADKGDQQFDNYLDD >Et_3B_031340.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26189508:26190083:1 gene:Et_3B_031340 transcript:Et_3B_031340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSQEAAASSGDPSSSFKKEDRAADDEEEEQAVATRAPIGQPSRAASSVTYVSSNGSINYRKARRDRIGSESFWCGALRCMYLPGLSRRRTMHQQQSMSLSEAGTLASTAEPGDAQASRVSKVASMERFKYSSSSSGIVFERAEEEEDHQEEVSAYFDLPLELLRISSVDTESPAFSSLSSPGSERGGAQ >Et_2A_018843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8792935:8798312:-1 gene:Et_2A_018843 transcript:Et_2A_018843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDELSLDRMPPSRHANLKFSNVADGDSVRVSVTTVALPTTVPLKLKLALQLDPDEQPRSGQSGEPQGTWARDDDLDGSKELVHGSGKAAHGLHWSSVAPWVNVSNPTVKFCTGVPKPATTWYSYAPGSDLSGSALVGRSTSRDDGAGAGADATADWGTGSSPMRTLRRAASRRRTSSTDGAFWFSASARREHGFDRCSRGNPSLPLCRPASRRAHSFPVVFLLVWHQVSVANALPGSREDHEHHAAQETQSYRQVCQHLPNVRPSARKQAALNAGVAKRDDDKQKRRCERVNEVHRPDLHPGHVPEPVPPLLARAVEVERVEASRRCDAVVEPAFMAHQAVCEGEEDAGGGADADEREGQVPARRAARAVVAERRRQGVESQHGAGRQELDDVAGTGQRLVDHRWRQWLGAAVDPLRC >Et_2A_016631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26843720:26850053:1 gene:Et_2A_016631 transcript:Et_2A_016631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEKQQGMEPPAPAPPTAAAIEPGAPPQPPPPPPQQQQPKPAISVQPVMPVTRPWPVAFTPMKPVVEMKNGTPMKRKKHCNCKNSHCLKLYCECFAAGVYCDGCNCKHCGNTVENEKIRQAAINNTKQRNPNAFQPKIENISNNVSVRKDDAGATPSLPKHNKGCHCKKSFCLKKYCECFQANILCSKNCRCMDCKNFEGSEELQAARQGDISCDRNNVQQAANVALNGAIGSSGYRFSPVRKKRPPEGPSAQRINGEESMIQARSPLANTIHLSDVNDLANHLVIVCSKAAEGFMTIVGNKMEKEMDREICTNDIANFGANKEEVHKAASGLGNVTTADQQNTGEFGSQFSNSQEDCRPASPGTQALMCDEQDLTFGTDYRSPIPPALPDEDISELHAAQENAVLRVVGNCLRRIITRGQKQNGGKKEKTTKIIAAASAATTSASAFTKTEWRKAPTVIIKF >Et_3B_028456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15631489:15632322:1 gene:Et_3B_028456 transcript:Et_3B_028456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARRSWNEMVLEILVVVVELLPCVADRDCFTATCRRWRIAAKLAKKPAAQLPWAVLPSPGAAPPPHILAGARLCGSYPGGWLAVAGAGHILTGGGNAVVNHITGEMVSLPDKMQVFLSWMAGNHMQELDGQPVDIRAVAFSAAPNSPNCKVAAITGGKHPGLAVNFLNPGAEDAWRWSSSKRDLKLDRGSIQDIVFFPFMGLFHAITDKETLLAAGMGLFYVEFLNYKMQRLTDEMLDAMPDSSMHVVRYLVESRGALLMVRS >Et_6B_049204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19382909:19385017:1 gene:Et_6B_049204 transcript:Et_6B_049204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPYCVHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKDSNAVHASEALRRAKFKFPGRQKIIESRKWGFTKFSRADYLRYKSEGRIVPDGVNAKLLGNHGRLEKRAPGKAFLEAAA >Et_4B_039722.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27837708:27838715:1 gene:Et_4B_039722 transcript:Et_4B_039722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASTAAPAAFPRLKMPLPPAIALPDAAVHVPPPISVVGRRVTAPKHKAVVVLGATGTGKSRLAIDLALRFGGEVINSDKMQLYEGLDVATNKVSPEECSGVAHHLLGVAHPDAEFSAADFRREAGRAAAGVAARGRVPIIAGGSNSYVEELVDGDRRAFRDRYDLCFLWVDVQLPVLHGFVARRVDEMCRRGLVEEVAAAFDPRRTDYSRGIWKAIGVPELDAYLRSRGGLDEDERQRLLAAAVEEIKENTFRLACRQRGKIQRLARMWRVRRVDATEVFLKRGHAADEAWQRLVAAPSIDAVRAFLTEDQEYSSRVAAAKGSVFAAVAAAVA >Et_5A_040407.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:19937410:19937601:-1 gene:Et_5A_040407 transcript:Et_5A_040407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRAGQHGSLASLLDHLENPEPDGVRRRSNGLLSDRDTSKGPCCAVEMPCPARPRHHTFGSLIQ >Et_5A_040760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1270445:1274447:-1 gene:Et_5A_040760 transcript:Et_5A_040760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVPIRRTDTAGGSGSVRLERNYRRLNDLAHSTIPDFKSPPQSPRAILPAAAAAANLCSPRAPPPSHAPPRGGMSSAAAHSGRKRRRDDAHEGATSTLHRRRRRILGPSSSPAVRSFSLRVSLANTLHKSRKRRRDDASSTARLRRCCSRLLCPSQFSPVRSIGLRVALVAGPRRRRKLRVVDARRPVSTPLRGRRHKSPLPRIRHFLGLRPFALRFLLATGASARRRRRKPSVVGMGNYISRLLGKNTSDNGLVVHRGRVDGSQDVRDLTVEPELEAENADFVRRGLGYWSAPTFQPSTPPEKSPHHKWDRRLPETEFQGRLQDLKIAELPGVLAHKPDEDLSELFKPLTDKDEIEVNAVLHGSGHSEKIIVMHEPSNIAVTKEKIQCLRPCGWLNDEVINLYIELLKERAEREPRRFLKCHFFNTFFYKKLTCGIAGYDYQSVRRWTTFKKLGYTLAECEKIFVPVHRDVHWCLAVINMKDRTFQYLDSLGGMYCDVLKVLARYIMDELKDKSNIDVDINSWVEVSDSIPLQKNGWDCGMFMLKFIDFLSRSLKPCFSQASLEGNGFLCFERRTLTSDKHMPYFRKRTARDIMKLRAD >Et_3B_031413.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28579238:28579912:-1 gene:Et_3B_031413 transcript:Et_3B_031413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGRSSRRGGSFTMRQPPVVDIGCNCRRPKLFSIFSSSSSSLFRGGGKPKSPNASSSSTTTAFTATTAGGRSATTATSTDYSWGPASFVATNSLYEEPVAAAAPLAPERELPVDARRRRRQQRRRRRRAPARREEEVEEYGRRVARESVPVAVDSAEPYEDFRESMVQMVVEKEIYAWDDLNDLLHQFLSLNSPRHHPLILHAFADLWTRNGVFSPPSPCQFY >Et_7A_053098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6481842:6485479:-1 gene:Et_7A_053098 transcript:Et_7A_053098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGYQEDWFATIVDMKTVSESVKMEENGAAIYDIVEVQKEHEVGEAEGMKEEEREEEENVNQEDMAAAEENHTTGHATGGHVQPPPAAHVNGCPTRSAGAAGSAWDSGSERLGFQMDGRDQQQQQPPPPPPQQQQQRAARVGSPTQAGGGVMMPPHAAFGAAPPGMPPGAANVMHGMPLGFNPLASPGASSPMKPADMPPGAMFRPDTGAPAPGMQHHRVGSGAGGAVVGGSGGEVVKKKRGRPRKYGPDGSIGLGLKPAASTEAGGQSGGGGSNSNPDGKRRGRPPGSGKKKQLDALGSSGTSFTPHILTVKPNEDVASKIMAFSQQGPRTTCIISANGALGTATLRQPATSGGIVTYEGHFDILSLSGSFLLAEDGDTRSRTGGLSIALAGSDGRIVGGCVAGMLMAATPVQVVVGSFIAEGKKPKEEQPKREPISVASHTAGFGAATTASPPSDGTSSEHSDDPGSPLGPNGSTFTNTGHPMHSSYAPVGWTLSGSQGRYDPDVKMMND >Et_10B_004358.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4765941:4766795:-1 gene:Et_10B_004358 transcript:Et_10B_004358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFKALSFPKKLPILELDRLFKQAKPGPSRVSSQTKRTSQVTRSDRTRKVDMTMAMARSLAALVAVLLLPATSTATTLTLHNLCPHPVWPLVTPNSGLPSISDNTARLDTNALLSLSFPPTFWAGRVAARTGCDASASPPARCDTGAAPPATVAQLTVHDGGALDLAAYSVSLVDGFNVPVVVSPQAVPAGGGQCPALGCAVDLNCECPPPQRVGDGAACRGPPDYFKSRCPLTRTTPTDVEPVPQSCRGPGELKIVFCQPSMVTGAAAAAETMVIRTVVADS >Et_5A_040555.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:9414033:9414272:1 gene:Et_5A_040555 transcript:Et_5A_040555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLITCSIASAVTNKICSWFSLQGMLPVPPDGIPDEVAEWLIETTRKMRKENKKQIGNIFYTWWSIWKERNRHIFQWR >Et_2A_018374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2568306:2569206:-1 gene:Et_2A_018374 transcript:Et_2A_018374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDRDPLVVGRVVGDVLDPFVRTTNLRVSYGNRTVSNGCELKPSMVVHQPRVEVGGPDLRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPGTTGAGFGQEVMCYESPRQTMGIHRFVLVLFQQLGRQTVYAPGWRQNFNTRDFAELYNLGPPVAAVYFNCQREAGSGGRRMYPNYT >Et_1B_010790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14007621:14025217:-1 gene:Et_1B_010790 transcript:Et_1B_010790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDGMARFVLVAALALALASAWAPCAVGDPQATQLNLGCSQYNATPAAAFLAALNSTFAALRANLSAAGSRGFATAAEPRAAAPAFAMAQCRPYVAGRDCVACFDAAAARLRAACGAANGGRVILDGCVLRYESAVFYDEAMLPGNTQLCDSSAVPGAAFSGAARALIQDLAAAVPRAPGLAAAAANGGVYAAAQLVGTVGEGGCAQCLKVAAGNIDGCPPNSDGRAVDAGCFMRYSDKPFFPANATVDLAVYLRSGKSSRKGAIIGGILGGVAFLFLVGLFTFLLIRRSSKIRPRRELRGPTSFYYHDLKAATNSFSEKSKLGEGGFGDVYKGLLKNGKTVAVKRLIVMEASRAKADFESEVKLISNVHHRNLVRLLGCSRKGSEFLLVYEYMANGSLDKFLFGERRGTLNWRQRFNIIVGMARGLAYLHQEFHVCIIHRDIKSSNVLLDDDFQPKIADFGLARLLPDDHSHLSTKFAGTLGYTAPEYAIHGQLSEKADTYSFGVVVLEIISGRKCNDTRLEPEIQYLLEWAWKLYESDNLMGLVDQSLDPEEYKPEEVKRIIEIALLCTQSAVAARPMMSEVIVLLLTRSDPEIQPTRPIFIDATSRVRGETSTESSCLHPRLPCQFRNFHPGMHGDGMPPFVLVAGLVLAVASAWVPGTAGDPQATVLNLGCSQYNATPTAAFVAALNSTFAILRANLSAAGGGGFATAAEPRAAAPAFAMAQCRPYVAGRDCVSCFDAAAARLRDACGAANGGRVILDGCVIRYESAAFYDQATLPGNTQVCNGSAVPGGGFADAARALVADLAAAVPRAPALAAAATSGGVYAAAQCVETVGEGGCAQCLKVAAGNIDGCPPNSDGRAVDAGCFMRYSDKPFFPENATVDLAVYLRSGKSSRKGAIIGGVLGGVGFLFLIGLLIFILIRRSRKIKPRRGDILGATELQGPTSFYYNDLKAATNSFSEKSKLGEGGFGDVYKGLLKNGKTVAVKRLIVMETSRAKADFESEVKLISNVHHRNLVRLLGCSCKGSEFLLVYEYMANGSLDKFLFGERRGTLNWRQRFNIIVGMARGLAYLHQEFHVCIIHRDIKSSNVLLDDDFQPKIADFGLARLLPDDHSHLSTKFAGTLGYTAPEYAIHGQLSEKVDTYSFGVVVLEILSGQKSNDTRLEPETQYLLEWAWKLYESDNLMGLVDQSLDPEEYKTEEVKRIIEIALLCTQSAVAARPMMSEVVVLLLTKNDPEIQPTRPTFIDATSRVRGETSSSSSSSASKATVSISQLSARVNHENKSGNDWT >Et_4A_032686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12570302:12597875:1 gene:Et_4A_032686 transcript:Et_4A_032686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSSSFPAFLLLLMLLPYGPGCYACYTRLFSFGDSVTDTGNFVSLFPNITILSPPYGETFFGRPSGRFSDGRLIIDFIAEALRLPFPTPYLTGKTADDFRHGANFAVAGATALNKSFFEEMGLDVRSILPYSLDVQLGWFKDVLRLLGSNKHEGRDIMSCSLFLVGIGGNDYNNPFFQNRSFTAEIKPLVPKVEISKTPSRPMYLATFRSNNSGDYDAHGCLRWLNDFAELHNRALNRMLHRITTQDPTVTIIYGDYYSAIQEIIRNPLQHGFAKDGVLTACCGDGGPYNSGPLFSCNATAAILCPDPSKHVTWDGIHFSEAANKFVARGVLDGPFGDSFTDAGNFVSLSPNVSVLTPPFGETFGRPSARPLLRRQAHPEALKLPFSTPYLVGKTADDIQRGANFAVAGATALNQSFFRDLGLDLGIIPPYSLDVQVGVNDYNHLFFQNRPFKTQIKPLVPKVIQQIENAIKVLIGLGAKRIVVPGNVPMGCLPRYLAIFRSNSSSGDYDAAGCLKWLNDFAEHHNRELKRMLHHIPRDLVVTIIYGDYYGAMLEIIRAYVLRRYGGPYNSGSLFPCNATSILCPDPSKHGAAYRLVVIRRLAALPPATHGCSASATPSPIPATLSASSPTSLSYHRPTPRPSSASPAAASATAGSSSFSGGAPCSRLFSFGDSYTDAGNLVILSPNVSVLTPPYGETFFGRPSGRFSDGRLIVDFIADALRLPFTPPSLGGKTADDFRHGANFAVAGSSALNLSFFRDLGLDPSSISPYSLDVQVDEWFKRVLQLLGPHTEQERDHVMSSSLFLLGEVGLVDYDFLLSQNLPVETEIKPLVVPKVIQQIENAIKVLIGLGAKRIVVPGSFAMGCLPAYLAMFQSNSSAGDYDGAGCLKWLNEFAEHHNRELKRMLRRIIPHDDPTVTVIYGDYYGAMLEIVRNPLKHAAAAARPARACSALGTRSPTPATSLSPNVSVLTPPYGETFFGQPSGRFCDGRLIVDFIAEALRLPFSPPYLVGKTADDFRRGANFAVAGASALNQSFFRDLGLDLSIIPPYSLEVQIDWFKHVLQLLGSTEQELEDVMSSSLFLLGEVGANDYNHLFFQNRPFETQIKPLVPKVIQQIENAVKVLIGLGAKRIVVPGTVPIGCIPRFLAMFRTNSSAGDYDAAGCLKWLNDFAEHHNRELKRMLHRIIPHDDPTVTVIYGDYYGAMLEIIRNPLKHGFTKDGALNACCGDGGPYNSGSLNSCNATSILCPDPSKHVSWDGIHLTEAAYRFMARGVLEGPYAERSVRSKCGC >Et_5B_045742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8625035:8629444:1 gene:Et_5B_045742 transcript:Et_5B_045742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEIAGTGDLGEGSRRNFSDLELMEYISPERHLEGSCGDPGPLFGDHDGSLLDHLDYQGGGFQGGVSQHESPALDEGLLVDPADSIPYLSSDSLPFMNDQITCNSMKSASASPEPLLKQAQQSLNVESDMQNDAAEQNVRKSNSEAEVAPVGCDDVHKSPEVIGAVLPQELPESSANDASNFQPEDAYHGDSLLTENSSHQLNNSCDDEDEMPNSPVFQMENEDIKKSHETSYSGKNVSEDGYMNGRKSNPIDSQDKENFNSSGKRSSWEQPEHENPGTRNGSSTPDNQYDSPPERFARLERDTPSPDGRGSPERFERLEKDTPSADGRLSPRVKSPRAPHSEKMESQHPAKDDDLGRSESPPARRQSRSPERHDTNRKRASPRESYPHAQNNSPIEKRRRRESRHGDGSPRRKSVSPRRRSTPSRRRSTSPRRSSHRRRSSPRRDSPRRRDTKRDSPRRKKSPRRRDSSRRKYSPRRRDRSKSKSPSRRTDSSRHRREHDRSRSRSPHSRDHHRRSPRRHSPRRRSPLSSHRHHSPKRHWSPPANRKTGLGKPGRNLFVAGFSYATTERELEKKFAKYGRVTSARVVRDKRTGDSRGFGFLSLEKDEDADAAIRACDETEWNGRIILVEKSKAPTW >Et_8B_060461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1766494:1770769:-1 gene:Et_8B_060461 transcript:Et_8B_060461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVGSRVRGFLRNRWLVFVAAMWMQSCAGVGYLFGSLSPVIKASLGYSQRQIAALGVAKDLGDSVGFLAGTLCAVLPLWAALLVGAAQNLVGYGWVWLAVTRRAPVPPLWAMCILIFVGNNGETYFNTAALVSCVQNFPKSRGPIVGILKGFAGLSGAILTQIYAMVHSPDHAALIFMVAVGPTMVVIALMFIVRPVGGHRQVRPSDGTSFTFVYSVCLLLAAYLMGVMLVEDLVDLSHSVIVLLTVILIVLLLLPIVIPVLLSFFSDSEESIYDLLLPSPRKEEAGASTSSEEQPEVILSEVEDEKPKEVDLLPASERQKRIAELQAKLFQAAAVGAVRVKRRRGPRRGEDFTLMQALIKADFWLLFLSLLLGSGSGLTVIDNLGQMSQSLGYEETHIFVSMISIWNFLGRIGGGYFSEIIVKDYAYPRAIALAMAQVIMAIGHFCFAMAWPGTMYIGTLLVGLGYGAHWAIVPAAASELFGVKNFGALYNFLTVANPAGSLVFSGIIASGIYDAEAAKQAQQHSNSTLLAMPGRVLNIVSDASPALKCEGAICFFLSSLIMSGFCIVAVLLSLILVYRTKIVYTNLYGKPRT >Et_4B_039843.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3984754:3985494:1 gene:Et_4B_039843 transcript:Et_4B_039843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDKSNLPPPPPPPPSSSTSTASSSLKSLNKSSYKISKQSSTASSAPSSMRAPSPPQSQPQAPPPRPSLPPPPPPSALPADHPPPQPPVYNIDKSNFRDVVQKLTGSPSHLLPPQPPAAPAGSSSMAPPPLPPPRPLMAPPPLPHPTAIPSRLHRIRPPPLAAPRPAPILAPAPAGPALSPLPPLPAVCMSAESPISAYMRRLRGMPSPIHVPTSPLGFGCLPSPRTPPSPGVPMPATSPRVRDP >Et_7A_051347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17111489:17129712:-1 gene:Et_7A_051347 transcript:Et_7A_051347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRRQTKAGGTSRTNHEAAAMDPVLPPLPDEVAEDIFVRPWPLHARRYREAAAARTGFAPRFVSVPVDLRVARSHLQPSPCAGCPRVFSGAGRACHGAIPVGKLCEGQFFVCNPSTGGELRLPPRRPSWDFHSAGLSYDDAQDRPSTRPRCSSATRTTRRRGCMALAGAARPEDAHHLRGRAFNLLRLEYATMAEVDGCFCLLRDLRRPLRAVAVLEIWMLRDNSPLSWSLDRRIDLTPHIAKELMRPWDGNFFMVCYAIGQSSGETRKILLATTGPVQRVYMYQPHTGELRPLVSRNDYRVQQQMRLVLYQESLFQVDGMEYDNKDIKLRFMTKRRRQVKAGGAGRRHINNREEAAMDPVLPPLPDEVAEDIFLLLPAKFLAASRCVSPSWNGLISSPAFGRRHREAAAVRAGVAPRFVSVPVDPRVAHNHLRVSSLRDTTPKPRLCAGCPRVFSGAGGACHGAILVGQPCEGEFFVCNPSTGGELRLPPRRPPWYFHSAGLGYDDAQDRHKAVLLERAWDHPPPRWWVPRLQCSVFAVGGQWRWRAPRGRRTPIIFHDALVSANTNPVFADGRLHWMLVSREEENGLRAIQIGVLSFELGSESFRRLPLPPFTDENLPWPDYATMADVDGRLCMVRDLRRPLRGVAVFEIWMLRDYNPLSWSLDRRIDLTPHIGKELTKPWEGDFFVVCYACGQSSGESRKILLATTAAVQRAYMYQPDTEELRALVRRNDYGVQRHLRLVLYQESLFQVDGMKYGNKDIKFTFADEKGFSASRYLSLFNRIHGKAPPPDEGRRPQQATHHEP >Et_4B_039491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1935486:1939150:-1 gene:Et_4B_039491 transcript:Et_4B_039491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAASLTAAASAAATAGGDPSALHAVLVKTASSSRAAYNLLLSRYPPSLSERLLSRLPFHPNAASITSYLASVSSSSPSSALPLLRRVLGMSPSLLADGPLSSLLRSMPPSAAPHVHALAFKLALSSSPFSASCLITLYSRYRSPLAARHLFDEIPVESRDTVCYSSTIVGLAQNGCYEESLSVFAGMLSNAVDSTMYALSGALRAAAGLAALEQTWGLHAHAVVVGLDGNVAVGTALVDAYGKAGVVDDAGKVFEGLGANRNLITWNAVLAAHAQQGDVQTVVGLFHQMAEMGFAPDGFTFLAVLTACSNAGAASEAEFWLEKMQSKYNLKPGLEHYTCVVGAMARVGRLEDAKSVACTMPCKPDAAVWRTLLMGSVVHRKVDMAESMGQRLLEIDPNDDSAYVMLANIYSAAGKMDEMAGAWTAMRDRGVRKEGGRSWIEVRGQVHVFVANERRHEQLPEICNKLNELIQEVEKLGYKEADEGFWHHSERLALAYGLISGAVPSGKALRIVKNLRICAHCHEFFKRTLGASWGRSVGLGNRGYSVFVICN >Et_4B_036104.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:23720660:23720998:-1 gene:Et_4B_036104 transcript:Et_4B_036104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSKYDRPFPLYFSWNLQIRTAPANWCTLSLSMPVRQMMLGLKQKTRTAGGAVNCTSWLYPNFRNSSRPPCSVLALYPTPTSFRNRKNLASIVGTLTNCMLSSDGKKKRRRFM >Et_2B_019463.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5089086:5089493:1 gene:Et_2B_019463 transcript:Et_2B_019463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSISQDGNLLRLSAADSSHGASVKSRLAISGSGGAGCCCCDDDGGRRTGGGEPAECTDSSPSEVVVDAEALGESGCAAADEVLGLAARPWQMAVSLASTEASSGPARCEPPRRRDGKLRRAASPRRRKAALS >Et_1A_006723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26828737:26832141:-1 gene:Et_1A_006723 transcript:Et_1A_006723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSTEAYKQTGPVCFSPDARFLAIAVDYRLVVRDVVSLKVVQLFSCVDKISSVEWAPDSEYILCGLYKRPMVQAWSLSQPDWTCKIDEGPAGIAYARWGPDSRHILTTSEFQLRLTVWSLVNTACVHVQWPKHASRGVSFTKDGKFAAICTRRDCKDYINLLSCQSWEIMSVFAVDTVDLAGVEWSPDDSTIVVWDSLLEYKVHVPSLWKLCIVVSYVTTHDCSQFIFMSYLLLKNHLVLIYSPDGRCLFKYLAYESGLGVKTVAWSPCGQFLAVGSYDQAVRTLNHLTWKTFAEFTHAASIRSPCNATIFKEVDDPWQLDMSELCLSEGFSRNMQDHGAENGTEAGASRVKYNVMDVPITLPSQKPATDKPNPKQGI >Et_5B_044104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2008598:2009079:-1 gene:Et_5B_044104 transcript:Et_5B_044104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVSTYLQRDIFINVGVLLTYVHLDWRVMYAASALLPMLLAAAVLTMPESPRTPRPARTVLARTSDEADLSLKEIKQQTVVVKTLDDSTAGAGVRRERLLRPSASVRRVLVCVIGQQSRPPILSQ >Et_3A_025706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31985918:31992470:1 gene:Et_3A_025706 transcript:Et_3A_025706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLVPPWLKSLPVAPEFRPTAAEFADPVAYILKIEPIAAPFGICKVVPPHPPPPKRTTLANLARSFAAAHPDDPSPTFPTRHQQVGLCPRRPRPAIKPVWLSSHRYTLPEFEAKAGSTRKALLSHLNVPASRPLSPLDVEALFWSAMADRPVAVEYASDMPGSGFVPCAARPRQPPPAAAQVNNVGDTEWNMRGVARSPGSLLRFLREEVPGVTTPMLYVAMMFSWFAWHVEDHDLHSVNYMHSGAPKTWYGVPRDAALAFEEVVRVHGYGGEINSLETFAMLGNKTTVMSPEVLVESGIPCCRLVQNAGEFVVTFPGAYHSGFSHGFNCGEASNIATPEWLRVAKEAAVRRASINRPPMVSHYQLLYELAMSMCLRDLSSGVMEPRSSRLKEKKKCDGEQLVKKIFVRNVIEDNKLLSHFVKDGSSCVILPTSAQDGPVQTNLISKSQSKTELRVLDGMCANEEDSGSLPLNEAHGKNGELGNCSALSSSKGNPSSACPGKKYPPTTCMHDCVNMSCSSEAHNAESDTGDVINAAGLLDQGLLSCVTCGILSFSCVAVIKPREPAAQFLMSADSSLISNQLLGSGGSNLVDALKSGESDFEPNNNKIMFDAALLNWNSALDLLASAYGDHSDSDEDAQKKVIQVSDDSNKFLNPVAESLPNSSSNGTFDGTKVSSSSKECQQEPVSQSSQCIRRPNIPNGPKGVRTRNKYQLKLMLSEGFRPKDIYSATEKKVQCEPPRSNNTSTEQSHGKDYDATRNSTALSMDGNRSSKTTMNVTANVKPDKDSSRMHVFCLEHAAEVEKQLQTIGGAHIVLLCRPEYPKIEAEARLLAEEMEVEYDWKGINFREASMEDREQIQEVVRDEETIPTSSDWAVKLGINLYYSANLAKSPLYNKQLPYNRVIYKAFGCNSPNTSPVKLKTYARRQGRQRRVVLAGRWCGKVWMSNQVHPYLADRIESQEPEEKYETCSYHLDQKTKVELVENSSREATSTGKRNNRAVEEKTSNMEQEPVEKPNTKKPKRTEEHNSKVSESDIVYTRKSSSRTVVEQASDMDKEPAEEANTEKPKYTEEDYSRGLKGAAEASCLSPTGVVLRSSTRIANRKNKLKSKMEEDNDPASHLKSVVKEGNDGPASNLRARSPSQKAEVDTKQLKETRGQKRKTPSATDEEEPPSDAKGCSANSDRKQQLSVRKQTNKVEAKQETKRGKRRAPSAPEKEEEYKCNIDGCSMSFDTKQELSLHKRDICPVKGCQKKFFSHKYLLQHRKVHADDRPLKCSWKGCDMAFKWQWARTEHMRVHTGDRPYVCHEPGCQQTFRFVSDFSRHKRRTGHLDKLKTRS >Et_3A_024379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20276111:20278839:1 gene:Et_3A_024379 transcript:Et_3A_024379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDGEAVASKEKGGGGGVERTSLDGVRDKNVMQLKKLNTALFPVRYNDKYYQDTIASKDFSKLAYFSDICVGAIACRLEKKEGGAVRVYIMTLGVLAPYRGLGIGTKLLNHVFDLCAKQNIAEIYLHVQTNNDDAISFYKKFGFEITETIHNYYKNITPPDCYVVTKFISQAATKK >Et_4A_033696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25936115:25940165:-1 gene:Et_4A_033696 transcript:Et_4A_033696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSLATSLNIPDDSGADDDPDAAATPSPSGRIPPPPPPPPQPLHSAAADGVKEDLTELSKTLTRQFWGVANFLAPPPGETSPSPSPQSAGDQSSDAETPPEIAGIRNDFAEIGGKVKSGISRISSHKAVSGFSRLASNFFAAEDEEEGEGEEERKRGTRYEMGEEGVRHEVEGDESWQEWEEKLRLEADDGEARHDAVDGHELEVEPLREEEGGKVEEQRVGREEYDEMEVQARHEEHGEFERIAMHEEDVEFERIAMHEGYVELEERIRHEEEEVEDWDVIGITEEVLAFAQNIARHPETWLDFPLLPDDEESDGPFSYFDMSDAQQEHALTIEHLSPRLAALRIELCPIHMSEVCFWKIYFVLLHPRLNKRDAELLSTPQIVEARAMLMQRLQHQSKLEAEHHMDDRGIQLGGVTLKDSTEASLSVRHETASAVPIPEFETEKHPIQVTEVAVVDKSVIQEQLSKDHTERSNVFQDTFDDDVDDWFDEEANLAGHTTIHIGDEEDVSFSDLEDDDMK >Et_7B_054517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22440231:22447861:1 gene:Et_7B_054517 transcript:Et_7B_054517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEVVKAEVVLAPTLSFKKVQTADKYPKGQSRGRQWKHLRYLLQSADASSMPPDQPNYLNIQSPPSIYPPKRYCDITGFEAPYVDPRTKLRYADPEVFKQIRMLPDEYVQRYLALRNAAVVLEESLARQAIL >Et_9B_065172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21907557:21910716:1 gene:Et_9B_065172 transcript:Et_9B_065172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAIRVPRSQRAKRELLKHAPKLVETGKKTLILHGTKTSAVLNSVLSDIYHLKRDNAVKYTKKNDNIRPFESGGETSLEFFSLKTDCSLIVYGSHSKKRPNNLVLGRTYDHHIYDLVEVGVENYKSMESFAYDKKLAPKLGSKPFFAFIGQHFESSEELKHLKEVLLDLFRGEVVENLNLAGVDRIYVCTAISPTTIYMMHCALRLKRSGTSIPRMELVEVGPSMDLVVRRHRLPVEGLKKEAMKTAEPAKKMKNVMKDPVHGRLGKVYMPDQEVGKLTLTNDIKGLKRERREAKKNKEHSKKQKINPELDLKRVRSDLKAQGPEIVVVSNSYNTIFCSSQ >Et_1B_010378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1041831:1042287:1 gene:Et_1B_010378 transcript:Et_1B_010378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGKTAALILLCSIVCAYQVSSEELVCTDEQKKAILTACEVILTRGSVRIIIPERTSPCCRRVRDVPDRDMKCIVKILTNQEAMDYVETRILNLEQQCKSWVEGSHPTELCDPNKELQ >Et_5B_044952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7625802:7632613:-1 gene:Et_5B_044952 transcript:Et_5B_044952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLLPPTFLPQRLRRFAPPGCAAPASSSASTPASRYDFEPLLAYLSSPTVSASLTSPSPPVSVPAPERQLAASYSAVPSHDWHALLRDLAASDASLPLAFALLPFLHRHRLCFPLDLLLSSLLHSLSVSGRLLPHSLLLSFPPSLSDPPSPLLLNSLLAASAAASRPAVALRLLALLREHDFLPDLASYSHLLASLLNTRDPPDAALLERLLGDLRESRLEPDAPLFSDLISAFARAALPDAALELLASAQAIGLTPRSNAVTALISALGAAGRVAEAEALFLEFFLAGEIKPRTRAYNALLKGYVRIGSLKNAEQVLDEMSQCGVAPDEATYSLLVDAYTRAGRWESARILLKEMEADGVKPSSYVFSRILAGFRDRGDWQKSFAVLREMQASGVRPDRHFYNVMIDTFGKYNCLGHAMDAFDRMRQEGIEPDVVTWNTLIDAHCKGGRHDRAMELFEEMRESNCPPGTTTYNIMINLLGEQERWQGVEAMLSEMKDQGLVPNIITYTTLVDVYGRSGRYKEAIDCIEAMKADGLKPSPTMYHALVNAYAQRGLADHALNVVKAMKADGLEVSTVVLNSLINAFGEDRRVVEAFSVLQFMKENGLRPDVITYTTLMKALIRVEQFDKVPVIYEEMITTGCTPDRKARAMLRRKQQQCMNLPYLYVSAPSIPIPNQEPVPVLIPLKSIMGKYPANNIAVFVKSLAS >Et_6A_047538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7048795:7053671:1 gene:Et_6A_047538 transcript:Et_6A_047538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLEGNGRPPRARTAASVVVLTAAAAAAEHNLVDYGAWAGGPMNSAKAFLAAWAAAWTTPAATAGDARAGGHVPGQPGLLQGPVPERRRRRHGSCSTTRTALRSALDGRGQAYCACKATARWPAMPARHHVREAAGAAQREECPHGHLRLCRV >Et_8A_058343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5464321:5465278:1 gene:Et_8A_058343 transcript:Et_8A_058343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPDKVLVTDDDAGRSTVYDGALHAVRAGPTLTKIPNYSFPVSVAVGDDLYVLNGGHGHDNYFEALVREEERGGQSEDWRCQPLPTPPYLTHDIDAYAVAGGGTEVWVSAKDEGTFSFDTARGDWTKQGDWPLPFRGLAAYVPEYKLWFALSSRNDVNHLCAYDLAGAARRPEAPPAPRNLWEVPRLHKEWGLPLQCFLVHLGSARFCIVRFFWDRSHPRTFCDCDLHVPPKNLAVLTAVEVEPCGKAGRGLRMVTHKSECYSFPEETLLWFVLEKIV >Et_1B_010616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12053583:12064749:-1 gene:Et_1B_010616 transcript:Et_1B_010616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLLLAIAASFLVILISAYAFQLINDARRRLPPGSLPLPLIGNLLDIGRGSPHRSLARLAQQHGPLMSVRLGVVRAVVVSSPDAAHEIHQRHNAALAGRPAIDAWRANGHLANSIIMGPPDARWRALRKLCATELFAPTRLNALRPLRQKTVQELARYVADQAALGEPVTFRDAAFTASMNMLSRTLFSVDLDSGPSVRGFKDIIKEATVLAAAPNVSDFFPAVAAADLQGLRRKMAPLVATSYQIIDQQFAQRLRGREAGEPPKNDMLDVVLDKEREWQQEGSDNIDRHAIKGMFTDLFVAASDTSSTTIEWAMASILQHPEVMKKVKAELTRVLGTKTQVEESDISQLPYLQAVVKEVLRFYPPVAMAFYRAEATVQVQGYTIPEGTTVILNIWAVHRNADIWDDPNKFAPERFLDRDIDFSGKDCKLIPFGGGRRICIGLPVAYRTVHLILASLLHQFDWMIPEDAMENGIDMTEEFGLVLINAVVVSSSDAVREIHQKHNADLAGRPVIDAWHANGHLANSIIASQPDARWRALRKLCATELFAPSRLNALRPLRQQKVRELMGYVADQASLGEPITFRDVAFTASMNMLTRTVFSDDLDSGPSVRGLKDIIKEATVLAAAPNVSDLFPAIAAADLQGLRRKMAPLVATAYQDLFVAGADTSSTTVEWAMAELLQSPEVMKKLIGELREILGTKMQVEESDIAQLPYLQGVVKEALRLHPPVAMTFYRADATVQVQGYTIPEGTTIILNIWAVHRNADIWDDPDKFKPERFINGESNFSGKDCKLIPFGGGRRICLGLPLAYRTVHLILASLLHQFDWILPEEATKNGIDMTEKFGVVVSMATPLKAIAKKRDL >Et_3A_027283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6784046:6787589:1 gene:Et_3A_027283 transcript:Et_3A_027283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRPESAILSATFNPDKLLLVLRRLGILGSSCPPAVRRNAPVGLLRVALHPGFHQNFLPSGHVLGSLRFCHSTTSTPEGSRDVSANEILRVLKSSGSDADLGDALRQFAHEIGEDVVLKVFQKQRSNWQVALAFFNWAAGLPGYAHGSRAYTEMLDILGRMKKVKQMTLLFDKIPEERRGVIVTNRMFSVLLNRLAGAHKVQEAIDMFYRRKDYGFELDLVGFQILLMSLCRYKHVEEAEALFRQKKDEFPHVIKSWNIILNGWCVKGSMRDTQRIWNEIIASKLRPDLITYGTFINALTKDGRTGPAVKLFNSMREKGVNPDVAICNCIIDHLCFKKKIPEALEIFGEMNDQYCQADVATYNTLIKHLCKIKRMEKVYELLNEMEVKGWAPNNRTYSYILKMTEKPRDVIALMQRMEDSGCKPDSDTYNLLLNLYVNWEYEKGVRQVWEEMERSGSGPDQRSFTIMAK >Et_7B_054145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1613629:1613920:-1 gene:Et_7B_054145 transcript:Et_7B_054145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRWSQKSPGLKILWIWTLGTAAIMVGGVVRMRVNDLQKMFREEEEAAAAAAATAASNERVLKDDE >Et_2B_021505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30139171:30141263:1 gene:Et_2B_021505 transcript:Et_2B_021505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAWACAVDRATGIADSAKRFFLSFHRPPPPHPGPNPIDILKRLQREAFHDIMQLRERQEKVEKVLSLFKATKSGPFAAESTRVKGVINVAGSLARDSSEADSGISSRFMFQTTVRKKDSLFAELVADHSYISQDNDHTGSPLVLSKVMYLSNISDSFSVAAVPVGARCDDFSTDPNRREEYWLSSLRSSLRPPLLIKSHRYGAGLILRSKDFAASLAELISGAGKAVNTGEANRVFTGFGQMSYQMADDIKMTMSAAWHGPSLVPRTRKPTSGGCLDFELKVDEDSRIGAWIEVNKKSNSRSLRWALTLSDTPEDDLGWGVSLRRGTEAKPQRFQIEGFLNMHLGKKAAMQPGVEFNFEGGRCSPALVFRSSWSL >Et_1B_013856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2175505:2176773:1 gene:Et_1B_013856 transcript:Et_1B_013856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMSNRAGGGATPGRYPFTATQWQELEHQALIYKCLASGKPIPSYLMPPLRRILDSALATSPSLAFPPQPSLGWGCYGMGFGRKADEDPEPGRCRRTDGKKWRCSKEAYPDSKYCEKHMHRGKNRSRKPVEMSLATPAPSSAASATTAASSPAPSYHHRPVPAAAGHDAAPYSALQYGGGGSPYAASAARPAAAYHVQASPFHLHLDTTHPHPPASYYSVGERDYAYGHAANKEQVGEHAFFSDGGAATERDRHAAGQWQFKQLGGMEQKQNATSLFPDAGGYGNGAASAYAVDVMTNKEDEEEERRRQQQQHCFVLGADLRLERPSSHDVPAAQKPLRPFFDEWPHEKSSKGSWMGLGGETQLSMSIPMAADLPVTSRYRNGLFTALTAWLH >Et_4B_038501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29514492:29517620:1 gene:Et_4B_038501 transcript:Et_4B_038501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TPRHSPNDNSGLQHHSFKEKMDNTTILSSCSSHPWQLLLGFVPLLFVFARRSRKAGLHLPPGPPRLPILGNLHQMGALPHQSLRDLARRHGPVMLLRLGAVPTLVVSSPAAAREVLKLHDADCCSRPDTPGARRLSYGHKDVAFTPYSEYWREMRKLFVVELLPARAASSPHATPGRPSAQGKPEDHIFGLMDGVIGTVALGNIYGTEQPASPPRINAVGRIVDVLTGVASRREKVFRDLDAFFDVIIDQHLDPARATPENGPDLIDVTVGLMKEQYQLGSIGFTRDHIKGLLSNVFTASVDTSSVTMVWAMAELMRKPSLLKKVQQEIRAVVGNKERVQPEDMPKLKYLKMVVKETLRLHPAGPLLLPRETLRHVKICGYDVPAKTRLFVNVRRDPASWDNPDEFDPDRFDGKDVDFNGTHFELLPFGAGRRMCPGMAMGVATTEFTLANLLYCFDWELPEGARSEDMSMEEAGGLTVHKKVPLVLVPTRYNNNILSSVTMVWAMAEMEKNPTVLKKAQKEVRVVVGNKNSVQPEDIPKLRYLKMVMKETLRLHPTVPLLVPRETLRHVRICGYDVPAKTRLFVNAWAIGRDPANRFEVKDVDFNGTHFELVPFGAGRRMCPGIAMGVATTEFTLANLLYCFNWELPEGVKKEDISMEEAPGLTVHKKTPFVLVPRRYQLQQ >Et_6A_046536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16736766:16746089:1 gene:Et_6A_046536 transcript:Et_6A_046536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAASPPASAEEEPSGGSVDLGSRPSAAATMIVPVSPLPPLLELDLVRQSEVDKDKKERGYVDSLLTVLLHLLRDSFVTEVRVKGLNLMLHLWKYRWDELHVDEWSTTSDDSNLLSHQTTDLLPSKSAIAAVLAEVLIFSSCLCYALFVYLLFRPYIKVILQVIMSAGSSSWNDLLPAITFLSKRGYTEAQLVSCILERIPDISIACTVGLEGRNIGIDYFGAEIRARAKTQNTEALLTYLLNTLNNIWTHPDWDDKYMRYKYCLSDLLADDQFTSSVNTLVKSFEKELSIRIEESAGAQRGCSLVFPKLMLHLVLLILDCIQMRWKEPITSDLSGVVAENISCLLENGKLPNIDEAILQKNAGTWLQGIRETGYNIIGLCASLDGAFCGLLDCSSIINILMKNLRSIEFNHLGKIIQLVFIPLVKH >Et_9B_064215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12688347:12707745:1 gene:Et_9B_064215 transcript:Et_9B_064215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDIKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELQTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSQYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDIKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELQTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSQYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDIKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELQTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSQYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDIKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELQTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSQYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Et_1B_010052.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:20806567:20806776:-1 gene:Et_1B_010052 transcript:Et_1B_010052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRFWEDTWVGSAPLAVQFWDLYSIANEKNKAVADIVMNDEVRISFRRSFSQDMLDRWFELLEVVATS >Et_1B_010152.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26363040:26363288:1 gene:Et_1B_010152 transcript:Et_1B_010152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPTRRLLLLLACVLLVPEFGSFSHGRRMVAEQKQQPSSSVEEQRYRVPRTQQRRGSGSSGRMYEASARPVPQGSNPLHNR >Et_1B_013127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5778921:5782835:1 gene:Et_1B_013127 transcript:Et_1B_013127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAWRLSQRAVTSPLLARSQIRNPFSAMAALTPAAAASPKRLRVYSSAAADGDSLNGAGSGNRVGTHNGSFHCDEALGCYLIRLTSQFAGADVVRTRDSQILDTLDAVLDVGGVYDPSHHRYDHHQKGFNEVFGHGFNTKLSSAGLVYKHFGKEIIAKELGVSEDHEDVHRLYLAIYKSFVEALDAIDNGINQYDTDQPPKYVNNTHLSSRVGRLNPDWTDPDQSPEKENAAFQQAMMLAGGEFMESVRFHVKSWLPARSIVMECLLSRGNVDPSGEIMVLDRFCPWKLHLFELEEELKIEPLTKYVLYQDERSKSWRVQAVSLAPDRFESRKALPEKWRGMRDDELSQETGIPGCVFVHMSGFIGGNKTYEGALEMARAALKC >Et_7B_053879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1307694:1313808:-1 gene:Et_7B_053879 transcript:Et_7B_053879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSRTVPQEQLPSDDLHPPPMPVINLGHLSLDSATRSGVVDDIAKACRDLGYFQVINHGISQSIMNDAVEAATGFFKLPSETKEAFASDDLRQPVRYDTSSKDSISMSRAFLKHYAHPLSNWIHHWPQQPPIYRKYMRSYAVEVRRVALQLMEAILEGLGLNKEYLQEKFQDGLQLLSVNSYPKVSHGDTTIGLAPHSDYGFLTILLTSCQGLEVLDHSSDSWKTVQQLPHALHVHIGDHMEVLSNGRIKTVVHRAILNPEEERISIASIHGFALNEKVACAKELVDEQNPQKYRESSFSDFLDHLTANMDNKHRNFLESLSLGG >Et_3B_028957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20898760:20901918:1 gene:Et_3B_028957 transcript:Et_3B_028957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGRFDVGARKCGTHSTPSKMMYLDTTDSRRVVHCQSEHYDADSYSVKHHFPSPIVSWIEDVSSFGDASFSPSEYVDEQAGFSVQQSSSSSHLHDMQISERLTDEFMELAKENTGKNLETCGILGASFRDGTYFVTMLIIPKQEGTAHSCQAVNEEEIHAILSEQSLYPAGWIHTHPSQTCFLSSIDLHTQSYGIFRLTDPGGMEVLRECDESGFHTHRETTDGSPIYEICSKVQFKPNLRFEIVDLRSGR >Et_1B_011861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26645028:26661913:1 gene:Et_1B_011861 transcript:Et_1B_011861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKAAAPASATKEDYASLRELYRPHVESAGKQEFHTMGNLKWTYVSSVMMEEQLSAKPSISSKLCHLRDADPHKLVFHGEEATEMGGYFICGGMERLIRILILQKRNYPMGLARGSFLKRGAGYTDKAVVMRCVHDDQSSVTIKLYYLQNGSARVGFWLGGREFLLPVGIVLKALIDTSDREIFTSLTCCYSGKNGKEKGEVSTQLIGERAQIILDEVRNLSLFTRTQCLVHIGQYFRSVMEGFEKDDYETVAEAVLKDYIFVHLKYNYEKFNLLIFMLQKLYALVDKTAAPDNADALQYQEALLPGHLITIFLKDRLQDWLRKSKRLILEEAAKNKSFDLNDSHEIRKFLGKNTTSVGRAIESMIKVGKVNSQSGLDLPQRDGMTIQAERLNFHRYISHFRSVHRGAAFAKMRTTSVRKLLPESWGFLCPVHTPDGEPCGLLNHMTITCRVSSFYNSEGSIKNFETIKSSLSAELVRVGMNPLSPKIERTGPPAVLNIHLDGCIVGTIPSAKIKEAVNYLRTLKLLAHSGIPEDLEVGYVPLSLGGAYPGLYLFTNPARFVRPVRNLISLPDGKKNIELIGPFEQAFMEISCPDGGNGGRKEIFPATHEEIHPTAILSVVANLTPWSDHNQSPRNMYQCQMAKQTMGFCGQALKFRTDVKAFHLQTPQTPIVRTATYNKYCMDEFPSGTNAIVATECIDLSTKSRDNVPEMFAKSALSRDTSTAIDSDGLPRLGEQVHPYDKYYSVYNSLTGAVRPVRLKGTEPAYIDYVAVNGASSKGSLQKAGSLHGKFINATPFANSVKEEDKVPKKPSVVDELGPMLASYGFNYHGTEVLYSGLFGNEMTCEIFIGPVYYQRLRHMVSDKFQVRTTGRIDQITRQPIGGRKHGGGIRFGEMERDALLAHGSAYLLHDRLHSCSDYHIADVCSLCGSLLTATVIKSDPQKKAKREMLGLPTVRPQKNFACQACKTSKGMETVAMPYVFRYLAAELAAMNIKLELRLSNKSELPASKE >Et_1B_010735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13446504:13449726:-1 gene:Et_1B_010735 transcript:Et_1B_010735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTLAILLILPVILIMLRQGYVLNRRTKTNALMPPEASGEFPIVGHLCYLTKKPLHRTLMQLAESYGNMFCLRLGKRCVVVVVSSASIAEKCLQMPNLADRPKLPSGRIIAFEWSTMETVNCGDHMTFLRRVARNHLLSTQQMRALSGVMARELHSFVVGLYRHGGGVDTRVELKSRLFELLMNLLVAMMCGKENSGADGDEEARWFRAMVQETMALSGLSNPWDFMPAPLRWLDVGGLGRRLRKLCASRTTFLQRLIDEQRASMDSGTQSPITMISAMLSKQQQDPERYSDKVIRSLLVSLLEAGTSTTADTVEWATSLLLNHDHVMRKVVTEIQQACVSSQSLLTASDLTRLPLLNCVIKETLRLYPPTPLLLPHEASEECKVGDYTIPRGTMILVNSFPIHRDTEAWAEPNEFMPERFLNIEVTKGMETYIPFGNGRRACPGEHLGMEMVALILGTMLQCFQWERVGTELKPEKMVELMDPAHFFYGTESENSIGDV >Et_5A_042254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8059127:8060726:1 gene:Et_5A_042254 transcript:Et_5A_042254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSLPVLNASTDRSLAWRDSLLVLTKPTLETQRHRAPCFPSDHWFSPTILNLLRRCYWVTTLSLQIVADHREVNNIVPSCNANCHCRALQTWGDRRISLDHLKYFAVKNFIATRDETYFTRHTVENVKALHNVALVFSLGAKPNEVFLYDLHRLAPSSCTVEYSCTN >Et_2B_020297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18829128:18834871:1 gene:Et_2B_020297 transcript:Et_2B_020297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRRRKQPQREEPPPPSDSNGSDHDEDKGGDKGGKAPSKEATRRTKAKWSCVDSCCWLVGCVCSVWWLLLFLYNAMPASFPQYVTEAITGPLPDPPGVKLQKEGLRVKHPVVFVPGIVTGGLELWEGHHCAEGLFRKRLWGGTFGDVYKRPLCWVEHMSLDNETGLDKPGIRVRPVTGLVAADYFVPGYFVWAVLIANLARIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELLVATNGGNRVVVIPHSMGVLYFLHFMKWVEAPPPMGGGGGPNWCAKHIKAVMNIGGPFLGVPKAVAGLFSSEAKDVAVARAIAPDVLDSDFLGLQTLRHLMRMTRTWDSTMSMIPKGGDTIWGDLDWSPEDGLECKAKKPKTDDSEISKDVNGENAEVQPEPVNYGRLISFGKDVAEAPTPEIEQIEFRDAVKGRNIAHSNTSCRDIWTEYQELGWGGIKAVADYKVYTAGSIVDLLNFVAPRMMQRGSVHFSYGIADNLDDPKYQHYKYWSNPLETKLPNAPDMEIFSMYGVGIPTERAYVYKLAQQAECYIPFQIDASAEGGDENSCLKGGVYLANGDETVPVLSAGYMCAKGWRGKTRFNPSGSKTYVREYNHSPPSTLLEGRGTQSGAHVDIMGNFALIEDIIRIAAGATGEELGGDQVYSDIFKWSEKIKLKL >Et_3B_028417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1507857:1511430:-1 gene:Et_3B_028417 transcript:Et_3B_028417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRRQRRPFQLVPLLFFLLAVAAYGRLISDGLTPTSHLGTTVIRLASSAPAKAEEKCEQSYGFLPCTTTVFGNLFLVLAYGFLMYKAATYLSAGSELLLEIMGPGLVGGLLLPILGALPDALLVLASGLSGSKETAQSQVLVGMGLLAGSTVFLLTLLWGTCVVVGKCDLGPNGAAVDLQNTKGCSLTGTGITTDVQTSYAARIMAISVIPFVIAQFPVMLKSHHGKRLAVLLALIVSFLLVLSYCLYQVFQPWVQRRRLAYAKHKHVISGILRHAQMQALGRLLNDDGTPNEDVIRKLFHKIDMDESRSLSRSELHALIVGINFDEVDFDKADAVDKVMDDFDTSRNDVVEEEEFVQGMKKWLEEAKRSVPTSGAFSVKFIDDFHARTRQEHNQLVEQADEAVESVENPTWCITKAVGLLILGAAIAAAFADPLVDAVHNFSDATHIPSFFISFIALPLATNSSEAVSAIIFASRKKQRTCSLTFSEVYGGVTMNNTLCLGVFLALIYFRKLTWDFSSEVLIILLVCIVMGLFTSFRTTFPLWTCLVAYALYPLSLVIVYILDYVFGWS >Et_3B_031570.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:503376:504152:-1 gene:Et_3B_031570 transcript:Et_3B_031570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSRDRPPGSYRPHPQSPLPRRPTAPPADDTTERPLRRPPPGQDLPVPRRPEILVPSPQGPPYLYGPPPYAAADHAPRRRASSAFASCLAATAFLLLASGGAGAALFLLFRPRPPDISVAAVRLPSFAAGNGTVTFTFQQTAVVRNPNRSPLAHFDSSLRVAYAGGELGYVYIPAGLIDGGRAKDMSASFDVPAFPAATMQMPPTQMAVAPVIEVDSLLVVKGRVTVLRVLTHRVQAAKVCRVGVSPIDGRVLAFRC >Et_10B_004231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:214353:217948:1 gene:Et_10B_004231 transcript:Et_10B_004231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGVRLLPHHVRGGLVAEVTPPWFVLAVGTAMNLGGYLMLYLSVAGKVAGHGRTPLWLVCLYIAVGANSQAFANTGALVTCVKNFPEGRGVVLGLLKGFVGLSGAIFTQLRQLILLVGWLPAAVSVAFLGTIRIIKAPRSELASRREYRAFCAFLYVSLALAAYLMVAIVLQKRFRFTRAEYGVSAAGRDADGHRQHGPDRRVAGLPAAQRRHLPSGTTSAASPTSGFASEALLARRRLPRPLVLAGVLLLTVPGHLPIAFGVPGSLYVASVLIGFCFGAAQPLILATVSELTTPRGTASPVGSYVLNVRVAGRMYDREAARQGKGLTCIGVRCYRESFLVITAVTVGAAVVALVLAWRTREFYAGDIYAKFKPQNTTTSSSNGIGEDKFTVSCSIDPLPWSSGFGRLRHSVSRWVLSGGNFPAIPITRSRSVHLLEPGVDVPRVEVARPPGEHERDERGADRDGRDDEEGLPVASRADAGDAALPGDGALPGGLAVVHASGDADVEDV >Et_8B_060137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6227941:6232310:-1 gene:Et_8B_060137 transcript:Et_8B_060137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAALALARGRWTQWEEVVVSNDRGRRLVHYFLRGPGEERELAVVGRERSPRHMWYAVQGRFLRSLAAAAGAVAAVAPSPSRSPASAAGGAEGDVPRKWRSRREVVDWLSSLVSGSSFGSPSMANRFHGNSYDDNDVNFTEVTASKDVSPRENSKDFTWLGTAWHCQKRWRHYKSFCRRGITISVHSFVYIMSEEMKRLIAYVEDLYEDTHAFKMVKVQWFDKVDEVGVPLPMDVDDREIFFSHGRQDLSVECIDGLAVVLSAQHFEKFKSGTKSGYWQPYVCRQQIDNDEVKPFDITQLQGYWSQERHRDKIKVRYLDLQDADETGNLEEWVMLTRVAKPDHLGIRLAGRPMVRPQHAQGSMTPSVFDVGAIVDAWWHGGWWEGILLQVGSDGRLQVYFPGEKRMAEFGGGDLRHSLEWVGSKWIPLKGRKDISSKLSSTAQCEDEDLTGKQISQIGAVPSPEPGPRSEGFRFDGNSFVSKIARDQKRVLADLTNDLKFDNLKWRPRKRSRRSGSKRQSDTSSGSSSQGDMETSSPSGSFAQLNVVPDEEICKSTGEQRFMGVPVQVSSLVMSR >Et_1B_014003.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27012636:27012956:-1 gene:Et_1B_014003 transcript:Et_1B_014003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALGCVMAELLIGVPLFTATTEDDMLEQIEDLRDGIAAMGLKAFDDLLDLSPAGRELLAGLLSIDPRQRLTATEALEHRWFTEETEAPAFAKAEFPGFVPMFSAA >Et_7A_052320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6918217:6921252:-1 gene:Et_7A_052320 transcript:Et_7A_052320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPAADEPLLPLRYPPARRDDSIVDDYHGVLVPDPYRWMEELDSKEVKEFVDAQAAVANTVLSTCEHRGRLRGQLTALFNHPRFRAPFKRRGSYFYFHNPGLQPHNILFVQHGLGGEPDVLLDPNTFSDDATVSLSMIGVSDDGEHLAYGTSASGSDWITIMVMRVRDKQHLPDKLSWVKFSRIAWTHDGKGFFYSRFPAPRDGGALDSGIKTDVNLNHEVYYHFLGTKQSEDVLCWRDPEHPKYIYSPQVTEDGKYVILSVSETSEPVNKLYYCDLSALTNGLESMKGSHGNEMLPFVKLVDRFEAHYGLIANDDAKFTFLTNKDAPRYKLSRVDVTEPESWTDVLPEDEKAVLESACAVHGDKLLVNYLSDVKYVLQMRSLATGELIRDLPIDIGTVNWISGRRVDSEVFVEFASFLTPGIIYRCDVSAEAPEMHVYREISVPGFDRKDFEAKQVFYPSKDGTKIPMFVISKKNIKLDGSHPALLYAYGGFGMNITPHFSVTRVVLMRNLGFVTCIANIRGGGEYGEDWHRAGSLANKQKCFDDFVAAGEFLVSAGYTSTTRLCIEGGSNGGLLVAACMNQRPDLFGCALAHVGVMDMLRFHKFTIGRAWTCDFGCSENEEEFHWLIKYSPLHNVRRPREKKKGGAGRDARRGFGCQYPPTMLLTADHDDRVVPSHTLKFLATLQHVLRAGEEGSPQTNPIIARIDRKSGHGGGRSTQKIIDEAADRYAFAAKGMGVSWID >Et_6A_047996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:378029:386070:-1 gene:Et_6A_047996 transcript:Et_6A_047996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCICSPMASKYRLPRNTVCAPCHEGAKAIIAFLDKDEHEDDGGHDSVESRGSMNPNSSPKASLAGNNSVPLFRMPRVPVGLRDAWEQLKEMRDRVREDATNQRAAYLEHGFALAWKEGIHTDIVVKPGRGPPIPAHKAILAARSEVLRHVLSDDEEGCKAPAGDSISLPELSHEELSCFLDFLYTGALPLISKEADDESEQLLHALLVAGDKYDVPFLRRACEARLASRVEPRNVLRTLEVAELSGSAALKERAMATLVEHAQQLVFSPEYHDFAIRNASLCAESSAQETSASRTSRSSSSKASSTDTSSPGRLLSIDTLIRNPLPLLSKSTDETFTCILVLAPLLLSACEAAAAASSTLSLSSLMYFDASTKIDYQWVVNSLYPQLVEL >Et_5A_040399.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:19531213:19531359:-1 gene:Et_5A_040399 transcript:Et_5A_040399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFAASDASVRDYDGRVTFSVVLTCLMAASCGIIYGYDNGISGAY >Et_6A_046721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19873350:19875578:-1 gene:Et_6A_046721 transcript:Et_6A_046721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGSKKVADVAIKAGKAIDWDGLGKMLVSEEARKEFATLRRAFEDVNHQLQTKFSQEPQPIDWEYYRKGIGSNVVDMYKEAYESIKIPNYVDTVTPEYKPKFEALVAEMKEAEKASLKESERIEKEIAELREMKKKISTMTADEYFEKHPEVKKKFDDEIRNDYWGY >Et_4A_034734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5927373:5930407:-1 gene:Et_4A_034734 transcript:Et_4A_034734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYTNLLDLATGAADQAPAPAALGALRRRLPRVVTTPGLIDDSPESPSTPSPAPRPRTIIVANQLPIRSHPPESPSEPWTFSWDEDSLLRHLHHSSSPTMEFVYIGCLRDDIPAAEQDAVAQALLETHNCVPAFLPPDIAARYYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSANKIFADKVLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCGRMLGLSYESKRGHVCLEYYGRTVSIKILPVGVHMEQLKTVLALPKTEAKVAELMEMYSGKGRVVMLGVDDMDIFKGISLKLLAMEELLRQHPEWRGKLVLVQVANPARGRGRDVAEVQAETYAMVQRINEVYGEPGYDPVVLIDKPLQFYERVAYYVIAEVCLVTAVRDGMNLIPYEYIVSRQGNEMLDSLLHQGKPEEKKSMLVVSEFIGCSPSLSGAVRVNPWNIEAVADAMESALVLPENEKRLRHEKHFRYVSTHDVGYWANSFLTDLRRTCKGHSQRRCWGIGFGLKFRVVSLDLSFRKLSLEHILMAYRRATTRAILLDYDGTLMPQAIDKSPSPKSVEILDSLCRDKNNAVYLCSGYDRRTLHEWFPCENLGIAAEHGYFLRTKRNAEWNTCIPAADCSWKQIADPVMRLYSETTDGSTIEDRETVLVWNYEDADPDFGSCQAKELVDHLESVLANEPVSVKTTIHSVEVKPQGVSKGLVARRMLSSMQERGQCPDFVLCIGDDRSDEDMFQLIASAECGDSMASTAEVFACTVGRKPSKAKYYLDDAAEVVRLMQGLAYVSEELALANHGAEDGDSSLTTCGSS >Et_7B_055812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5191763:5192959:1 gene:Et_7B_055812 transcript:Et_7B_055812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRHPAPASPTLTTDLHGRNAAALLRSSRRHPPSRLRAHARKPATGSPGSGAEPEASSSSENAVLKAAWYGSELLGIAASLFRPSPSSSEGDADGDEAESLDRTGVVEAIKEDFARSYFVTGLENFSDELMNSHLLLDVSVANSCSFFGKLCSGNLTLKAYEEDCEFADPAGSFRGLRRFKRNCTNFGSLLEKSNMKLTKWEDLEDKSIGHWRFSCVMSFPWRPILSATGYTEYYFDAESGKVCRHVENWNVPKMALLRQIFRPSRWIWEKR >Et_2B_022054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8519443:8526992:1 gene:Et_2B_022054 transcript:Et_2B_022054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPSVPFPLLQEPVETAYRACTIPYRFPSDNPRKATPVEIQWIDLFLNSVPSFKQRAENDPTVPDAPAKAEKFAQRYTAMLEEMKKDPESHAGPPDCILLCRLRELVLRELGFRDIFKKVKDEENAKAMSLFEGVVQRNDEIEDDSKRVENLVRGILAGNIFDLGSAQLAEVFAKDGMSFLASCQNLVSRPWVIDDLDAFVSKWTKKSWEKAVIFVDNSGADIILGILPFARELLRRGTKVVLAANDMPSINDVTYPELVEIINKLKGADGKLAGVDTSNLIVANSGNDLPVIDLSSVSPELAFMANDADLVVLEGMGRAIETNLYAQMKCDAIKIGMVKHPEVAQFLGGRLYDCVFKFNEA >Et_3B_031694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:885834:887857:-1 gene:Et_3B_031694 transcript:Et_3B_031694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQPHAEGASPKHETLMEKLADKLHVGGGDSSSSSDSDHDERPHPSAPPAPAPAPAEVKPSFSDSAAAAAADAKAKVFRLFGREQPIHRVLGGGKPADVFLWRNRNISAGILGGATAIWILFELLGYHLLTFICHGLIFSLGVLFLWSNASSFINKSPPRIPEVIIPEDVVVNIALSTHYEINRAFANLRQIALGRDIKKFLMVIAGFWLLSVLGSCCNFLTLVYIVFVVLHTVPVLYEKYEDQIDSYGEKGWVEVKKQYAVFDAKVLSKVPRGPAKDKKH >Et_8B_060198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7179597:7181190:1 gene:Et_8B_060198 transcript:Et_8B_060198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLQPEKEAPKPELPPLEKDFRFVYSRKRRPQRQPAEHLPEKKVRFGIVYTRRGKRPKVAPIRQEPEPAAPSELAAAIPCSSSQEFASRTGFLDAHFSALVKDVAPHAGAVTLVILVDASCSRSSHQLKDLLLSVLRWMGRSRRQGKVRSLTSCVSSLSVASEFASQGLHFVKLQHRLDFALLHRTLVRCGWCVLHGAKKSGPLLSVNFVALPSYFQRLHSAIAFGSMYLPAVIRESMLLAGAPEQIHPHICLDANSGSQGTGFPKPTADLGSGENRRVVQDNVPLEQVAGVRLKKHQRKRSSMRHPRNRWRPTTRLSESTIGRKLATVLTQTEVKLPSTRQASEEPVQPNPALEISLDLLENLDESDVSTPMGSTRKRKRSSLKGHVDLAAAIPCSSSQEFASWTGFLDAHFSALVEDVAPHARAVTLVILVDTSCSRSSHHLMGLLLPVLQWIGHSRQRAKVRSLASFVSSLSVAPAFASQGLHFVKLQRRQD >Et_7A_051526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:243235:244745:-1 gene:Et_7A_051526 transcript:Et_7A_051526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPGRSAAAREAKKTSSAVVAEMAKSSTKKKAAETKKQSRPCTEPESPSYRLALRSIFSCRNSTSAPHSSSRSKTKQQLSCSSAPSICKVKDRLPTSKTTDQTAAAAAGEPCKRRASVSGGERCVKKPLSETASSSLNKLGSSSSSSFRAGMQLRRLSGCYECHMVVDPIFRDSSSSSMRTICPCPDCGEIFVRQESLQLHQSIRHAVSELGSEDTSRNIIEIIFQSSWLKKQSPVCRIERILKVHNTARTLARFEEYRDAVKAKASSSSSSSSSSSSSSSSSSSSSSSSSSSGGHRQAGPAASGRSGAFYPRCTADGNELLRFHCATLACSLGLHGATHLCGSPECAACAIIRDGFSATNGIRTMATSGRAHDAVAGDDDDNEEERVRAMLVCRVIAGRVKRPQPQQELQEEEEYESVAGSAGVYSNMEELQVFNPTAILPCFVVIYKA >Et_1A_005846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14631406:14638144:1 gene:Et_1A_005846 transcript:Et_1A_005846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRRPKLTYSFIMRQPLLDHISSSSVATSRRSPLTDAGLFSIITFSWMGPLLDLGRRKTIDLEDVPFLGVSDSVHGITPIFKSRILSESTGQYTGFTTIKLVKALVFTTWKLLLVTAVYALFRTVASYVGPYLIEYFVEYLNRSPRSNKQGYLLVLTFVVAQFIEGLSSRHLLFRSQQLGVRVRSALVAIIYQKGLALSSQSRQGSSSGEMMNVVSLDAECVGNFNWSMHELWLFPVQIGLAMLILYSTLGLAAFAALFATVLTMLANIPLGRIQQNYQEKTMNAKDARMRAMSEILQNMRVLKLQGWEMIFLSKIMELRKAEMNWIKKSVYTNAMLLSVFFGAPAFVAMITFGTCILLGIPLETGKVLSALATFRQLQGPIHSIPDAISAIAQTKDMLIYVSQSPWIQSGKIEDNILFGTEMDRERYRNVLEACSLIMDLAILPLGDQTIIGERGINLSGGQKQRIQIARALYHDADIFLFDDPFSAVDAHTGLHLFKECLLGFLASKTVVYVTHHVEFLPSADVILVMKDGKIVQEGDYTEILNSGEELTELVVSHKDALSNLDMLEIPSGNLESRRHPVANKNAPLVDEQTNDNTKGVNQNVQLIQEEEREKGRVGITVYWRYLTMAYNGALVPLIFLAQIIFQVFQIGSNLWLAWAAPISEDVKPPVSSLTMASSDQSTVDTRIFDQMAYLLFPAIELLGTIILMSRVAWPVFLVFIPVIVASLWCQQCYIDAARELQRLIGICKAPMMQHFAESISGSSIIRSFGKESQFINSMSHFMDNLSRPSLYNAAAMEWLCFRLDILSSFIFSFALMLLISLPTGLIDPKTAGLAVTYGLSLNMLQGWAIAVLCGLENRMIAVERMLQYTIVPSEPPLTIPQSIPNGLWPTKGQIELHNLHVRYAPQLPFVLKGLTCTLPGGMKTGIVGRTGGGKSTLIQALFRIVDPCIGQVLIDDIDICTIGLHDLRRRLCIIPQDPVMFEGTLRSNIDPLEEYSDTQIWEALDSCHLGEEVRKNELKLDCAVIDNGKNWSAGQRQLVCLGRVILKRRRILVLDEATSSVDPITDNLIQKTLKQHFTDCTVITIAHRIPSVLDSEKILLLDNGEIAESGSPTELLEDSSSLFSKLVSEYTMGSNYK >Et_1A_007578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36061055:36062049:1 gene:Et_1A_007578 transcript:Et_1A_007578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPEEAEKDINSWVATATNNLIDSIVGPDSVNNWTRLVVTNALYFKGKWETPFDESNTEEHKFHRMDGSAVDAKFMSSWKEQFIAVHDGFKVLKMPYVMRKRGDNISIMGQRPRNATMAVPERTTPTPTPQYSMCVFLPDARDGLQSLEDRMASSPGFVGDHMPERRVRVGEFRVPKFKLEFSNSVKQALRNLGITAVFSGGAELPDMLEGEPLVVSDVLHKAVIEVNEEGTEAAACTSVRMHGSCRVPMPRPVPVDFVADRPFAFFVVEEGSGAILFAGHVLDPTRS >Et_5A_041753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26727339:26733028:-1 gene:Et_5A_041753 transcript:Et_5A_041753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPKGSAVAAAGFPDDPLMEILCRVPAKSLCRFKCVSKPWHELIAGLLRCRKFPLGFFYGDGAVNYGNFTNLVGKSDPPVDPSFSFLTKMPGIQHLAILSSINGLLLFRHVRRSSMYGYILGYNRIDNRMYEVAVYSSETGLWSCKTEGWAFYLEGGGCERWRHGEDEVKSELGSAYVNGMLHVPVCHHYRDDLQTKEIQIVAVDVQGNVCRVMRWPGENERAAATFIGQSRGRLHCINGHRKPEDPNGRILGLSIWVLVDHDAQQWVLKKSMSSSQLFRRVSCKDSDYAAVAFHPDQNSVFFVQGWNQKLISYDMDSMEVRAVCTLGHGFWSVTPYVPCFADFPVSPPQSPGPTSAAFSLSPPIRTLPNFWSCVRSSMDGPKRSSVAAAGFPDDPLVEILSGVPAKTLGRFKCVSKAWRDLIADRFRCRKFPQTLEGFFYGGRGQNYGNFTNLVGKSVPLVDPSFSFLSKVPGIEDFVILSSHNGLLLTGHTNGSGTCGYIVCNPATEQWVAVPCSGWTVSFPAEKGAHHFLIFDPAVSSHFHLVQFGHHCCDDIVFDVSVYSSETGLWSSKTEEWAQNVEGGGYERWLGGDDVVKFELASAYVNGMLHIPVCHNCYDDGVQTKETQMVAVDAQGNICKVMRWPDENEIATPAFIGQSQGRLHCISGHREPEDPNGHIIGLSIWILEDYDAEQWVPKQSVSSLQLFGKLSCKDNDYAVVAFHPDQNSVFFVQHWNQKLIAYDMDSMEMRAVCTLGHGNWSVIPYVPYFADLSVLSKKQ >Et_8A_058288.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4192203:4194194:1 gene:Et_8A_058288 transcript:Et_8A_058288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALKVSCSMALLLWLVLLLAAALVPGDAFYLPGSYMHTYSQGEVIYAKVNSLTSIETEMPFSYYSLPYCHPQGGIKKSAENLGELLMGDQIDNSPYRFSVNVNESIFLCTTKGLSENDAKLLKQRTRDLYQVNMMLDNLPVMRFTEQNGITVQWTGFPVGYSPSGSSEDYIINHLKFKVLVHEYEGNKVEIIGTGEEGSGVISELDRKGMSGYQIVGFEVVPCSVKRKAEDFSKLNMYDSIDPVDCPMELQKSQVIRQQERITFTYDVMFVKSDIRWPSRWDAYLKMEAGAKVHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPSCSKLLCIMIGDGIQILGMAIVTIVFATLGFMSPASRGMLLTGMIILYLFLGIAAGYVSVRFWRTIKGTSEGWRSVSWLTACFFPGIMFTVLTILNFVLWGSKSTGALPISLFFTLLALWFCISVPLTLLGGFLGTRAEQIEFPVRTNQIPREIPARKYPSWLLVFGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVMLLLVIVCAEVSVVLTYMNLCVEDWRWWWKAFFASGSVALYVFLYSINYLVFDLRSLSGPVSAMLYVGYSFLMAFAIMLATGTIGFLTSFAFVHYLFASVKID >Et_4A_032509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10950704:10956338:-1 gene:Et_4A_032509 transcript:Et_4A_032509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVASDVELDSAVFQVSSAHNRYEAIACSKGNTELIGSGPFNQLILHLEDAKKFQSRSSSGTFKLLLTGDVKESSWFTKPTLQRFLHIVNSSDTSKSVNGEQQAFVSGAVTGGLFGTGAIAQQGKVGPNSSEDTKNELLRALDLRLTALKEEIWVSLNKAVGSKLSAREISDLSAFVQYFGPSEFSWLMRCMLLISDYQPSELPQQQDSTADNVNRGDNAPKAHDISPQTNIERTISNKVSPAKLAQVERESSTESDDSSESSDEGEAVVERSRALVRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPSQRIPLDKDDESSTCNGGETDQPPRKSDNNMRRMSVQDAISLFESKQKDQNPDSQKQKAGLFATKSVLRRWSSGMGDSLNNKPEEKISDSTSECKSNNMASDSEKNQVEIKAELDTSPNSVATTEVEGLTSHADCHDMKGSEMENEMPSATYGEQMMSGQEENSGRAMSSAEWNRQKEAELNQMLMKMMEVMPGKFAGANVSAAGLKSTNQKKGGSHGQHREKPETKIRTEKGVRRPAKEANTKLPKETVGLNKSSMTPKTSTITEKRISPVPQRARRNSSPPVLPKEVTSKTPARKSSPKPSPAPATRGSWSGGSLTKSTTSTAQKSKSPSGMVSTSTPTSRRRTPPPSSTQPISKVERTLQPTRNRKETATTTKPTIKGQEEKKAKTATRTSRVSKISPASEEKSSATTKQSLHNKVSKKSSVVPLESKPVKKTTGICQTVGSGAAKSKVPQHDSSKDSESVNQAEDKEQTTVTSEPTNKVLEADLAQPAHDVDEHLEISLNNDDLNIEKTEKPASRLTATEMGSCDQIEPSTPSPAPPTDEDMDISSAAWVEVEHQEQDVTDVSENVVAEDVTSPGMAPLPSLSPRIRHSLSQMLQADCNEPEIIEWGNAENPPAIVFHKDSPKGFKRLLKFARKNKGDNNTNGWASPSVVSEGEDELDESRVTSDGGNSSRRTFDGSKTNSILSAPSTTGSFNSMNSEKLRERPGAATSTKASRSFFSLSTFRSSKSIESKLR >Et_10B_004075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1093443:1095309:1 gene:Et_10B_004075 transcript:Et_10B_004075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGMEVRRVGGGSQAPVWLVAFGLMELAGCQDNMEGVTSMHPTFFTWVDCMTMHFVGIFDGHDETHVLPLYHKQMHLILVEELAVEVAHFFLHHRHRQRLQALGWSRRGLCRGASLSSSASADPLGGRRRSCGVRLRRAFARIDVLADLACACWEAMVPHCACSRSGVIALCVGFTAVVAVIIGDRIAATNCGDSRVVLCRGPTASLWSRSRTTTRYVLALCHKQMHLILAEELAVEVAHFLSRRRHRQRVQALWMEREKAVSWLAALRHAFAHIDVLADLALRLPRGHAPTLRLTALLRPCP >Et_4B_039287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9685324:9689725:1 gene:Et_4B_039287 transcript:Et_4B_039287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPKVEGFSIPRPTSYSFERSQPVQRLYRPTDDPDLDDIAFSDDAPSDAPAGAAAEGVKAGDDEEIDPLDAFMAEIQEEIRAPPPAPKPEALRRADSDEDEDDPVESFLRAKKDAGLTLAADALRAGYDSDEEVYAAAKAVDAGMMDYDSDDNPIVVDKKKIEPIPPLDHSTIEYDAFNKDFYEEKPSISGMSDQEVADYMKSLAIRVSGFDVPRPIKNFQDCGFPVPLMNAIAKQGYEKPTTIQCQSLPIILSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELEKEEGPIGVICAPTRELAHQIYLEAKKFAKPYNLRVAAVYGGVSKFDQFKELKAGCEVVIATPGRLIDLLKMKALKMFRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPYKVERLAREILTDPIRVTVGQVGSANEDIRQVVNVLPSDAEKMPWLLEKLPGMIDDGDVLVFASKKARVDEVEKELNQRGFRIAALHGDKDQASRMETLQKFKSGVYHVLVATDVAARGLDIKSIKTVVNFDIAKEMDMHIHRIGRTGRAGDKDGTAYTLITQKEARFAGELVHSLIATGQDVPNELMDLAMKDGRFRAKRDSRKGGKKGGKGKGGGGGGGGGGRGRGVRGVDFGLGIGYNSGSGSQVPAPRSAAVNSLKTGMMQQFKSNFVSGASNTRSSSAPSFVRPALRGFVSGGTIGGDAQAARPVQPPPSFVPASQPAQSTPSFVPASRPAGNSNENGNPNPESTRDRSRERKRPSGWDR >Et_5B_045580.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:4901297:4901557:1 gene:Et_5B_045580 transcript:Et_5B_045580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRLLLLLALLLLTLLATAVMVEGGDVAVAGSTDAANATGDAEPPGGAALDVRARKWWRFPPAEDLVRGSERRVPNSSDPLHNR >Et_3B_030226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31574115:31589464:1 gene:Et_3B_030226 transcript:Et_3B_030226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPEPKPLPVPKQLTVHCPENEALSRYFLEKWRSMMDQPGGLTENLYPTFASAYRNLCASKEPIRTLHDFSKIKGVGQWLIRIMKGFFEQSSHNLSPTKGHLFIFFSEVEECCGIFTGKKSSGAKPYVPRKNTAAYAILITLHRSSIRGQKFMEKQKLIDAAEASGLSQGAIGPDKYKGKKNSPKDWYTGWSCMTTLQSKGLVARSTNPLRYSLTQEGHETTREYLSRAGLDDSAGFLDIASAHNSSIASHNSEHHSMAHSVAETMLGPSTAIHRPNTSIAGHASEVVCGGSTKRPYNYHAEVQTTNHCSKEIILSDSDSEEPSKLKSSTKMGSTEFNMLDKDTVSMDNSVLAMPPRQSNESFIEAYEVVLILDNRENFGSHSRKVSDNIFSQFQVPVEVKKLPVGDGIWIARDRKNGDEYVLDFIVERKNVSDLHGSIIDNRYNDQKLRLKRCGPRKLIYLVEGDPNCSSASDKIKTACFTTEIRDGFDVQRTTGYTETERRYGALTCSIIEYYKKNFSKVNTSQVCPTYEEFTRRCRHLAKKTVSQVFALQLMQVPQVTEEAALAVIELYPTLMSLVRAYSMLEGDSRAQEEMLQNKSNMVNAGASRNIFKLVCSDESSEDLDGDTRAQEEMLQKKSKMVNSGASRNIFKLVWADKRSEDLV >Et_5A_042783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4236846:4238019:-1 gene:Et_5A_042783 transcript:Et_5A_042783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVRRFLNLIVDNRGRGTTSLRRIDLNRQKLFNTSAPALWTSGSGSESEGEPQDISPWAPGSVTCSQKKKQAASAALKLGRISLPGPSFNFGASVDLRMQCFPVADSSLFCADQLGRSFLFEADTRHLVTLPRLRKPKLMPISLFIPSADLSDLENGRGSFFIMERIPKPEVGCSAQESGQFEAFVYGRVSWCYRKCWSSKLVPPPPYIRDITCLDSCPEISSYAVVGSEICISVNGVGTYCLDMTNYTWREVGKWMLPFYGKVEYVAEQKLWFGLSATDRHFAAADLSVMESQPQLMGTLKDLNLHEEWKECKDPQLVYLGSGSASQGSYTLRLPEATSDYSFAVLTGVVVVPNANDGNGNAKLGMIKHNSRCHKSNGNDDIIRAIF >Et_1B_009944.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:13085993:13086154:1 gene:Et_1B_009944 transcript:Et_1B_009944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDGFMDGSEMFLKAAVLCQHRPTRVQFLDLKDRDARIGFINFVWSNRDARI >Et_2A_017891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7586651:7587565:1 gene:Et_2A_017891 transcript:Et_2A_017891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASVFLGQVAEPEPSKPSDKHMVFYYGEHNFTVSFFWAPFLVRYEQVDVDAPAHTSVGVWNLYLDEPDAVWAAHVPALDYVVVSASTWFYRPATLYEAGRRVGCQYCSLPNITDLTLQYSLRMATRAALRALDGADGRFRGTAVLRTVTPSQYEGGEWNKGGDCVRTRPSRRGEKEMQGAELDFHTMQVEELAAAKEAAKAAGGTVKVMLMDTTEAMILRADAHPSKYRGYYKAEENMHKFNDCVHWCLPGAIDTWNDMLLHMLTH >Et_5A_042576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20858448:20862723:1 gene:Et_5A_042576 transcript:Et_5A_042576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGAHPLLFLFFLALSAALLTSARAAVPAAPCHPDDLRALRAFAGNLTGGGAAALRAAWSSAGLACCAWDGVECDAADGRVAALRLPGRGLAGPIPAAALAGLARLEDLDLSRNALTGTLAPLLSAAAGGGGALRAANLSSNLLGGALPDLAALHGLAVLDASNNSLDGALAPDLCAGAPALRTLDLSANLLAGTLPSSPPPCAATLRDLFLGSNAFSGALPAALFAHAGLQRLSLASNGLAGQVSSRFRELKNLTFLDLSGNRFSGHLPDVFGDLASLENLAAHSNGFSGPLPPSLSLLSSLRVLDLRNNSLSGPVSHINFSGMPLLASVDLATNHFNGTLPVSLAGCKKLKSLSLAKNRLTGQLPEDYSQLTSLSMLSLSNNSLHNISGALTVLGGCKNLTTLILTKNFVGEELPSDGIGRFDGMEVLALGDCALRGRVPEWLTQCKKLEVLDLSWNQLVGTIPSWIGDFEYLSYLDLSNNSLVGEIPKSLTQLKSLVTARQSPGIAFTSMPLYVKHNRSISGRQYNQLSNFPPSLFLNDNGLNGTIWPEFGNLRELHVLDLSNNVISGSIPDSLSRMENLEVLDLSSNNLSGSIPSSLTELTFLSKFSVAHNHLVGQVPVGGQFFTFSNSSFEGNPSLCRSTSCNMNLSRQNSGDKEMQPAENMKNRKNKILGVAICIGLALVVLLAIILVNMSKRDVSTIDYEDTEGSCQELYDSYSKPVLFFQNSAVKELTVSDLVRSTNNFDQANIIGCGGFGLVYKAYLPDGTKAAVKRLSGDCWQMEREFRAEVEALSQAQHKNLVTLRGYCRYGNDRLLIYSYMENGSLDYWLHERSDAGYMLKWESRLRIAQGSARGLAYLHKDCEPNIIHRDVKSSNILLNENFDACLADFGLARLIEPYGTHVTTDLVGTLGYIPPEYSQSVIATPKGDVFSFGVVLLELLTGKRPVDVSKTKGSRDLITWVLQMKSEKREKDIFDRHSYGSSHD >Et_1B_012598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33563480:33568765:-1 gene:Et_1B_012598 transcript:Et_1B_012598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDAKPSVLIPMPSRDRDRDLLVPPAAVATHASPSVRAGAGAELDDDETKPSSASAASAAAQTGREAFHKVVHSWASKKFMTGCVILFPIAVTFYITWWFFCFVDGFFSPIYAHLGINILGLGFVTSIAFIFVVGVFMSSWLGTSILGLGEWFIKRMPFVRHIYNASKQISAAISPDQNKHAFKEVVIIRHPRIGEYAFGFITSEVLLQGYSSEEQMCCVYVPTNHLYIGDIFLVNSNDVIRPNLSVREGIEIVVSGGMSMPQVLSILETEPNQLNRIRSTRS >Et_1A_005011.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22061932:22062126:-1 gene:Et_1A_005011 transcript:Et_1A_005011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQFAKFEKSKERRLATELGYGFPIGDPWITDALSPHGLSPLKASFRLNARASIQCMIIDSVEH >Et_1A_005928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15685139:15685994:1 gene:Et_1A_005928 transcript:Et_1A_005928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPHPDVPDSPHSSDHGGGALVTVASPGGASSSPAVASSPSRYESQKRRDWNTFGQYLRNHRPPLSLARCSGAHVLEFLRYLDQFGKTKVHASGCPFFGHPAPPAPCPCPLRQAWGSLDALVGRLRAAYEENGGRPENNPFGARAVRLYLREVRDHQSRARGVSYEKKKRKKAAPHPAPAPVISSVDGNGHHHGHHIHHHHQMPPPPPPGAAACKDVRSCKRACADEPTRRGGRDPCMQPHAPPSLAIAPHPHLYCYYYGSISSCTAFELATTATIPS >Et_4A_032279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27975636:27976235:1 gene:Et_4A_032279 transcript:Et_4A_032279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQEALGLDFAAPPSSSCGGARDQLDIITSGFTPWGPDSCPTLEQAPGDDGGEAADDDEEERRRQRRKVSNRLSAQRSRARKQQRLEELRATAARLRAEKDGLAARLRDLARHDLAVRGQNARLRAEKDGLARRLLALRRLAHFLPPPQPQPQPPQPMMMMMMMTTPQHAGGAAPAAPVGLLASLMT >Et_6B_050111.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6430329:6430850:1 gene:Et_6B_050111 transcript:Et_6B_050111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKLLALVFLAALAGTGAAAKSTNITFYMHDTLMSTPGHPATGARMTTGTTPVPADPRYRFGDMYAIDDPLTAGPDAASPAVGRAQGFYIFASQAEIALMFCFNVVFTAGPHNGSTVAVLARNLFAAEVRELPVVGGTGAFRGVTGYGLLRTQDYNVTAYSAVLKIDMYLSH >Et_10A_001953.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:1444600:1444923:1 gene:Et_10A_001953 transcript:Et_10A_001953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVGLDDADQEQQRERRRSGRGGSKKQKKVRFADDVAQPAASNKEEHPRQSAAAMSGCGGTLVASLHKAKTAQAPPQPQPPPVPMPTYWRRRGVARPALGVHLPQL >Et_4B_037709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22780189:22782109:1 gene:Et_4B_037709 transcript:Et_4B_037709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHVVGIGSSTQSAYKEDERVRLSLLDVRREQATLYDPKQRGFRCCRGFESCRSEPVAVFDHEEESTTRIARPLDTIPESKRNLLALALSVLYVKVLASDVGFPISVFGTILMRDDLDFKSIYLFKRDTDNCQVINSPDEMLILTGPDRGPFDATTFYFEIDLKIKGDEETMDRIFSRTLVEEDYPLDQWSKREQLLSTLELAYRSVHYAVEATVGIKIMKGPRELLGSLTACSTEESVEMVLYDSERWGASAVTADGLVPLPRRLVVLREDEDLILKVCVFGRGRKAKPKTTVITVEHSDKSFDIKSGRYHLIVTVSWSGILRRA >Et_3B_028223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1222934:1225204:-1 gene:Et_3B_028223 transcript:Et_3B_028223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITGTCAGTGDAGVEGARHKMQSLLRSVCRAGSRGASAKKLLECAVTTPAVVQPATNQASVNLLRPLIRPFGSHVPYHGGFCVKALSLRGLSTAGNAEVALEEDDSTSPAVDHPPRIKFKRPDKTARHIMNILNKEAVEKVRSEREIPDVQPGCIIQMRLQVPENKRRESTLKGIVIGRRNAGINTTFRLRRLVAGVGVESVFPLYSPNIKEIKILDRKKVRRAKLYYLRDRMNALKK >Et_4B_039563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22136746:22142586:1 gene:Et_4B_039563 transcript:Et_4B_039563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRKELDDRRAEVEALTAELRAKSDLADGLKRAAADQAAKLREARAEAERHAREAGARGEEAAAAAEQCGQLTARLADKEQALWQLCAAHEALKGTLRERTEGLEAGKRELLAALEDSEVKRQEQEAALRARDDEVARLRGLLSEKERRCSDAEQRARAPREVMMRDDMLVKLEEEKAAVEGKLKWKAEQFRHLEEALKKVQDDFRAAKREWGADRSTLVDRIGALETELDSKTRVAEDFRSRLEMCSQALAREEGRRKRVEAEMAELRHMYGNVVSEFEEARSTIESLTTKRDSEIAALRSTLAEKATILKEMEYRTAHLEQENEDLRSSLKEYQEAEISGADAVVSLKGLREKFRALEQTHRNCTEKLREKEAEWRMQMEKLGSDLDGCLSQLDSRDTVVSELQNELQHRTKSLELQIVESWGASLLLDVLRSKLHDSCSYVDTIKLNMQHRCENLEKEISSSRKQLGDRNDIVVQLQAEQKHQSEVIAKLHGRIEELEYMEQEYEKMQVKLNEYKEMLDNRSRDVHCLKDEASGKERILQEELKKALDALHEANCALAGRKNELSQLEIKLDQQKQAVEHLEKLKVDAETELKVYMDDNCKLKRDLDVALAAKMHAEELLTDEKVKLLGALAEANSALADRKSELSQLDIDLRQQKKDFEDLEKLKVVMETELKGYMDDNCALKRDLDAAVAAKVEAEEFLKEENLKLLSALNAADSALSERKSELSQFELSYHQQKQAVEYLEKLKVDMESELKMCMDERHVLKRDLDVALIEKLEAESSHSEEKDKLCGIISKKEMLIDELQQYITKLEEANLGQKLDLGSLIKLEYEKFAQEVNNKYSDIVEVFDNKLLDLERRIGSVEQNFSCREQEIMEMFDQEEADWYTLIADKEIAIRDIQQSVESVQLDVKQLLDAATAKVTEVQLEVKQLYAFAETLNSLYIIQEHDSVFKDMLIAECERELDSLHADFVLEKEQSENLKNLLEQLKAETTAEMLRKAKEHQDVTTRSSVVCQERNKLVDELTGLTNTIGEERSHAHKLVLAARSSYFRSEVFDNETEVDKNEADTSNEIKEIVTDDMDPKVFKAVLQFLYRSNLVAVIRTEGPQRELPLTAIGDTTEYGQLRGCEEECSSGGKARACGGSSRMATKAQGEAEGLRWQCHLEY >Et_2A_014944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22611242:22612700:1 gene:Et_2A_014944 transcript:Et_2A_014944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNEIYDAVQLYLSSTAAPAAGARLSLSRPLNASSFTFGLAASDRVVDAFRGASVTWEHVVAPRQGQGFSWRPLPEEKRRFTLRIRRGDRDKLLPAYLDHILAAAADIRRRSQDRLLYTNARGGAMDARGLPWDPVPFKHPSTFGTLAMDPARKAAIMADLRDFADGSAFYERTGRAWKRGYLLYGPPGTGKSSMIAAMANFLGYDVYDLELTEVGSNAELRKLLMKTTSKSIIVIEDIDCSVDLTNRAAPAPPKPPRIDGAITLSGLLNFTDGLWSCCGAERIFVFTTNHIEKLDPALLRSGRMDMHIFMSYCSFPALRILLKNYLAFNDDELDRLAGSEVMRGLEEWIDAAEITPADVSESSSTSSRPAPRSAGGRAARLPRGRRPALTMRRKRRRSVRWRAPRRGRSRPAWSTAAATEYATRRQRPRNRCNHAIEEQSRKRESEGFKFFPVR >Et_5A_042886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6237810:6240542:-1 gene:Et_5A_042886 transcript:Et_5A_042886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARPVDINDPKVQEHGSWAVMQHVKQANDGIKFINVVSGDETKAGPFTKYYDLIIDALNKDGNDGKTHNRASDYSTTGNLNSLPSVLPLEGHRDPDAMLFVLPADVPEAPSGRRVSSGRQVSELDGVALLLVRLRELQGHRRDRHLHTLRRRDGDVVRLGLLRDHGHRARQCADVGAVVEDHHERWVVEPGDAAGERPGAIDEVGREQAYLLGGVVEGAEEVDVVALAGVVDEARVEGEERVDVPGTGREWRRGPDAVAAAVGGAVVEDVVVHVVDGPGGRHQRRPYHGRRPAGVLFLDQCRDAGDVRGRHGRPRDDVVVGAPAVGQRRVARPGAKNVHSGCDDVRLEKLGGPRVGPRDEKPATTGARFFSTIVSQPKLNDATGFGTEAM >Et_8A_057985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11093575:11104503:1 gene:Et_8A_057985 transcript:Et_8A_057985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSPASEAPPPDAVDTGRYAYTPKLRWQPEVEEYFAAAYGRDRFARISEALARPSCYSCIRVNTLKSSPDAVMRKRIDCLETGEQNSGGNTHGGNYMVHKCPYAGLENVIFVRGSGPHVLQYGGNPEQSVKEVIVSRKCAESVLRGAQVYVPGVLACSAHVEKGDKVAVSVAIEQPVEDGGWAVGITRGTVLQGLQSDAHYEERKGLYIGQGITAMSRAGIFRVPHGIAVEMTDRVYQLPSFNDVLEGEIFLQNLPSIVAARVLDPQPGERILDMCAAPGGKTTAIAILMKDKGEVVALDRSHNKVMDILKLAAEMDLNCIKAYKLDALKSVRKINEETNLDMEDKPNEAVETVAEDSDRFHATVGATATNTGEDSSTTKIVQSDEQEPDSKRYVSKAELRKNLRQMKNGPGRNNRSGGRVEKSKGFLPNSFDRVLLDAPCSALGLRPRLFAGEETLDSLKNHAKYQRRMFDQAVKLVRPGGVILYSTCTINPGENEALVRYALDTYKFLTLASQHPKVGGPGIVGSWELSNKTYTEEWLTEQEAELVQRFDPSSSVDTIGFFIAKFEVGQKED >Et_6B_049853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15147169:15148884:1 gene:Et_6B_049853 transcript:Et_6B_049853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKNKKCGKIKPPRPSGSAAAAAAPTNADWEDSTAAFMKEALRCWMDDVAAARLAERHPASPFAHHVLGHARASAARAGDAVPPLRRAAELAPRCPGIAATLAAALLYARRPHEALDECARALAVGDPTDPALHAASCSRGGGLAASSPEARVAAARERLLGVRADAGVLVAAATGAGDAAAPLPPLTPPCCCRHATARGGAATSSDELQGLLTVSVADLAAHCGRSGSASGLVSAVEFAKATNSWAYWLCPVCDKVFLDASSFTSHVEGEYLHELQGLQPLIPKRAALDANELHTSLRWTPFEISGEDAERRKALDKIRDAFSNLCTFKALPSGLVDKLIKHARSRSKKPLPYCIPSCVTSLDHRELKRLLETLEQLCEHSYRNWEFIMGLGNQGKSKGRSDVISLSQDGNLCTEATLAGDEVQVNITESIILQWRNTWT >Et_4B_038483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29358436:29361782:-1 gene:Et_4B_038483 transcript:Et_4B_038483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLFDLLDLADGESGAAAVSVVVKKRKEEAEAAEALARAEEAEAREAARTARKEAMVADARAAVSQRLNNMKAQARADAGEEPLKEGVEEKYTKAEMAEVAEAEAAAEKQFEEDELRQPPPKIPALEINKKATEKRKVIEDQITLTKQRRQLLEQQISLLDQQRKLSEEGENIGSEAQTKLGDEQRKLEVDQDTLNQENETLRERKVNLSAELGIYDVRGRAKELREELKKFNEDQKKFLEEESNFWAEQRRKFPQYFRRGYDERQQEGGDRYHSGERQGYRGGKTRKQVYQRKDKAVSDAGTDAEHKPEEKVVAASESEQQSAANGDAVPASGSEKSTGVAANGGEVHTRPFVKVKLSGSEKRKLRRQRTADGSKKTAGNETEKEKQESVVDVSKKQVAKDQKVDYVEEKVVTLDEYEKVLEEKKNKSSEATSSVVRKVTDEDFKGLQLLKKADEEEASKKAEKVKHKGKESAAKEEEAKKAAELAAKSKQVVLEMYKRQPRRPYQEDGPYNRGRFNGGFQGRSRDNSTESRGSGRGDNGTAYQNNGNGAPRGNGSYSGDRRGGFTGNGGHGGRGNAGYQQFGYRGNGDGNGDYQQGGYNGRYQERSGNGSYSGRGNGRFQQRGYSVRAPNPADFNNFPALPGAAAAPATASAPASAPAPAPTSAPPAPAPAPAHAPVAVGAPAPPAAARAPARRY >Et_4B_038072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2670410:2673373:-1 gene:Et_4B_038072 transcript:Et_4B_038072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGSNTNKKSKLSWSKSLVRKWFNIRSKAHDFHADDLAAIGRRGGEDEWRGSSFTRREPSTVKKSKTERSSRRSQDHSRRGKIDLDAAEATVTLDYRIFVATWNVGGRSPPNNMSLEDWLHAAPPADIYVLGFQEIVPLNAGNVLGTEDNGPARRWVSLVRRTLNNLPGTSASGSFRTPSPVPDPMVEMDDDFEGLSSRQNNVPFFHRRSFQAGLSRSLRMEGDILAPQPRLERRYSVCDRAIYGRRPSDYENNYRWGGSSDDENNTGESPSTAYSPMSYGYGNVSSLEDSHRRAGHARYCLVASKQMVGLFLMIWARKDIRDDIRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKEGDELRRNSDVLEILKKTRFPMVYGQYERSPETILEHDRIIWLGDLNYRIALSYRLVKALVEMRNWKALLEKDQLRIEQRGGRVFVGWNEGNIYFPPTYKYSNNSDKYAGDDMNQREKKRTPAWCDRILWYERGLGQLSYVRGESRFSDHRPVYSVFSAEVESINHGRIQKMSSSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >Et_6B_048478.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:9936515:9936847:1 gene:Et_6B_048478 transcript:Et_6B_048478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IGSCYVDIAQKWVCQKKYDGVNIVTTSILRSLWLTRNNHVFHRQDWRSMKSVLQLALKLTRSWAPMHQESTLSTTEKWCFFPRATIASSPDDQVRKKSIRSESGRCSSVY >Et_6A_047064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24752622:24754716:-1 gene:Et_6A_047064 transcript:Et_6A_047064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIQIEEHQCSGRRGRVMKSHGDGAGPPRGEVDAGDGGMCGGLRRNYIGCGGAGWLCGGGGRLRPGRGRRKAIAGAAADLLQIIPYKMTYYSIIRSMRIHGTLRPNTTLHTLPCRCDTSSLTVTETCLSARVLATSAAVAPTRSSPSSGTAARSIRSGVDEDVELEHGVRVVEADRLPQHVPAGHAELARATDHRQLPHRRADVVAAHHRDGAAGVGARREHHVHGDQQLGVPRRGPHVVALRAAHRRRRPRRRRRQHVVDHDRVAEVAPRAVHNLHRRRRRARQHVVHVEVEVGRRRRRRARAGGEDERHGEQQRVELAGSHCGR >Et_9B_063807.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15432644:15432709:1 gene:Et_9B_063807 transcript:Et_9B_063807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERAYGVKKKRQKEFFVSTA >Et_3A_026138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4797704:4800320:1 gene:Et_3A_026138 transcript:Et_3A_026138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLGSALLLLVLNYGITIAAASSDDNFFQTCPASRCSEGGPEIRFPFRLETSPPSCGAPGMELLCSEKADTILVHPNLGLCKVISIEYKYSIIDVIPMADSKCPLQNIITTNLSTKVYTPDGPDLATLVSCVREFRPNNHVRFAGPISCLSNTSQLSYLVSSYQSMDILPLDCEVVTNGISIPFQFKNMDINFNEMAKGVITSGEMTLKWSVPNITDVCHDCEFGGGHCGFSTKSGQAFCKNHSSHVKLIAATSSVSTFLVLAIMVATALYLALKSKNDEEIHLKVEMFLSTYRTSKPTRYTFSEVKKITRRFNNILGQGGFGTVYKGELSNGIPVAVKMLDNSNGEGEEFNNEVATIGTIHHANIVRLLGFCSEGSRRALIYEFMPNESLEKYICSLEPDTCHKEKLTPSRMLKIATGIAKGIEYLHQGCNQRILHFDIKPSNILLDYSFKPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGTISYKSDVYSFGMVMLEMLSGRMSLNPRIENTNEVYIPEWIYEKIVMGQELEMTREMAQADKEIMRKLAIVALWCIQWNPANRPSMARVVNMLTDNLLSLKTPPKPFVSSLGNHT >Et_6B_048851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14567393:14585492:1 gene:Et_6B_048851 transcript:Et_6B_048851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLRIGEGGGPWLRSGNNFLGRQVWEFDPDAGTPDERAGVERLRQEFTKNRIDKKTSQDLFLRVQYAKRNILQMVDQAIKFPETAEVTEDLILTALRRALAQHAALQADDGHWPCEYSGVMFIMPIMVFALFVTGSLNTNEDGGWGTQENGPSTMFGSCLNYVTLRLLGEAYTHDALTKGSAWILSHGTAAAIPQWGKIWLSVVGLYDWSGNNSVIPELWMVPHFLPIHPGRFWVFCRLVYMPMAYLYGHKFVGPITETILAIREEIYDVPYNEIDWIEARDTCAKEDLRYPRSMVQDVVWTFINKIMEPIMNSWPANKLRNVALRNIMEHIHYEDESTEYICICPINKALNIICCWIEDPNSDALKMHLPRIYDYLWIAEDGMKAQWYDGAQTWETAFIVQAYYSTNLVNDLYPTLRKAHEFIKSSQVCENLPDYKKYYRHRSKGSWTLSTVDNGWSVSDCTAEALQALLLLSKISPNLVGDPIEREGLYDAVDCLLSFKNKDGTFSAYECKRTTSLVEVLNPSETFLNIIVDYPSVECTSSVLQALTTFRELYPVYRSEEIQKCIESSSMFIENKQQKDGSWFGTWGVCFTYGTFFAVKGLIAAGRTYENSSSIRKACRFLLSKQLSTGGWGETYLSTETGVYAEANGPHAVNTAWAMLALIYAGQVERDPKPLYGAAKELINMQQESGEFPQQEYVGSFNCNVYFNFGNYRNLFPIWALGEFGGRLRAKRERTQCA >Et_10B_003240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17999546:18000151:-1 gene:Et_10B_003240 transcript:Et_10B_003240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLQKMMAMTRPFRIYQGIKVFMNQYTVIYLSLLEVESLTQLILPIHFLKMSIVHITTGKDTKIAVNSKKLPWLKHHEDPEGGHMSMLVDGWTD >Et_7B_053922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13405236:13407928:1 gene:Et_7B_053922 transcript:Et_7B_053922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGGFITAPEGNLCNYGEGITFSVVPHGCFLRPYLRLRLWRLSWIVVTNRGATSLVKLPRTCFLRGPGGVTQMDSFLGKFFPEVLSGKRHAKIDAYCKYDNQWLTAFTSSLFIAGTLSSLVASRVTRRIGRQAIMLIGGMLFLAGSVINAAAVNIAMLIIGRMLLGFGLGFTLQAAPVYLSETAPAKWRGAFTSAYNTFVVIGILSATVANYFTNRIEWGWRVSLGLAVVPGAIVILGALFVSDTPVSLVMRGHPDRARAALQRNRGPGADVDAEFEDIVRAVDVARQNDEGAFRRLFSKEYRQCLVIGVAIPVFYEFTGMLVIAVFSPVLFRTVGFSSQKAILGSVINSATNLASTLLASVVMDRVGRRFLFIVGGLGMMLCEVAI >Et_8A_056542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1258231:1261969:1 gene:Et_8A_056542 transcript:Et_8A_056542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLKQEPISLESTSSSSSSASSSSPSQPQAPAKRPAGRTKFRETRHPVFRGVRRRGRAGRWRWVCEVRVPGRRACRLWLGTFEDAEAAARAHDAAILALGASLCLNFADSGWLLDVPPPAALRTAGDVQRAVATAMEGFLLRMRHSPAPPLAAEDAMSATSDPSAAADGDTTTETEASSSEVADACVGDDVEEDSPFEMDVLSDMGRDLYYASLAEALLMEPPASDDTSCSEEDGGCDVADTKTSALAHPARNRYEYELVLQHHQNKPMDHQGTTRDGRPSPPKRPAGRTKFQETRHPVFRGVRRRGRAGRWVCEVRVPGSRGDRLWVGTFDTAEDAARAHDAAMIALCGDAASLNFPSGSATTLPPDVQRAAAEAVAEFMRRRGGHGSGASNPQFANGAPASTASSLTVGTPPMSGGTGAALCNGTLDLDMFGGMDAGSYYASLAQGLLIEPPPAAVDCPEDEECGGGGELELWS >Et_2B_020528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21067619:21068676:1 gene:Et_2B_020528 transcript:Et_2B_020528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDKIHTKETHGTSSDITNKTSVEKVKGPNLFERAKEEVEALIGAVHDKMERHSSPREKKDDLHKESKEGDMHKIKTHTNETHGTSDDIKEDTPVDKVKGPNVFERAKEEIEAIVEAIHPKKGSDNK >Et_10B_002764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10756517:10766329:-1 gene:Et_10B_002764 transcript:Et_10B_002764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLPRWAPTPSPSRPLWRWGGGTPDARTSAAAAGWSLRSIFSCAGGEHHAPATSNGAAGTGCELAAADADPRVFLTWEEVRVTVAGGKRGAPAVVEILDGVSGHARPGEVLAIMGPSGCGKTTLLDTLAGRLGPGMDKTGVILINGRQEKLAYGTSAYVTQDNVLMSTLSVREAVYYSAQLQLPDTMPAREKRAHADRVIRDMGLGDAMDTRIGGRITKGISGGQRKRVSICIEMLTRPRLLFLDEPTSGLDSAASYHVMSHITRMAARERMTVVTAVHQPSGDVFELFHGLCLLAAGKTVFFGTVPDATEFFTLNGFPCPHLRSPSDHFLRTINKDFDEETVEGSKANRKTAAEAIEVLTSAYKSSNYAEKTTDQINAMTHMDGASFRKREQASFSTKLLVLTRRSFLNMHRDIGYYWMRLAVYMGIGICLGTIFYQVGHSYSSIQTRCEVIMYTTALLTFMAIGGFPSFVEDIKVFRKERLSGHYGVAEFVLSNTLSATPYLAFIAIVPGAMMYYLTGLTKGVDHFAYFVINLCICCLLVESMMMVIAAIVPDFLMGIIIGAGLQGVMMLNGGFFRLPNELPKPVWKYPCYYISFHKYAVQGFYKNEFMGLSFPSDQLIESNVTISGLQVLKDKLQVEMGYSKWVNLAILCGMMVLYRMLFFAIVKIGEEVRPRLGGKRGLAEPDPNILGGHPVREN >Et_1A_007658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3740884:3747609:1 gene:Et_1A_007658 transcript:Et_1A_007658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGPPRKRNFKIEAFKHRVELDPKYAERTWKVLEHAIHEIYNHNASGLSFEELYRSVLLSTPVPHDLVSSCRRCLLSAAPACLAESDPTNAMIRKCLDSTNSVVLGSAYNMVLHKYGEKLYDGLQNTMTWRLKEISKSIEAAQGGLFLEELNAKWMDHNKALQMIRDILMYMDRTYVPTSHRTPVHELGLNLWRDHIIHYPMIHSRLLDTLLDLIHRERMGEVINRGLMRSITKMLMDLGPAVYQDDFEKPFLEVSSSFYSGESQEFIECCDCGNYLKKAERRLNEEMERVSHYLDAGSEAKITSVVEKEMIANHMHRLVHMENSGLVNMLIDDKYDDLGRMYALFRRVPDGLSTLRDVMTSYLRETGKQLVTDPERLKDPVEFVQRLLNEKDKHDKIISVAFGNDKTFQNALNSSFEYFINLNNRSPEFISLYVDDKLRKGLKGATEEDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSMIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQDFYAKKAEELGDGPTLDVHILTTGSWPTQPSPPCNLPPEILTVCEKFRAYYLGTHSGRRLTWQTNMGTADIKATFGKGQKHELNVSTYQMCVLMLFNSADGLTYKEIEQATEIPASDLKRCLQSLACVKGKNVLRKEPMSKDISEDDTFYFNDKFTSKLVKVKIGTVVAQNESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVSEVTKQLQARFLPNPVVIKKRIESLIEREFLERDKVDRKLYRAMRVLKRFCEITISKGAGKITRM >Et_4A_034986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8521216:8527055:1 gene:Et_4A_034986 transcript:Et_4A_034986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGRGRSRRREDGGGSSHRNASHFAAPTVGHQEVSSLRKQATYPGILPDLLFEAGNRQNKSRKASGVPMKMLIDEELSKDASGRHISPGAVGRLMGLDSLPTSGTHNQYRYTQSHALRASPGSSHDRYGLYDDLPHRRSADDIKDVFEIMEASKTKMRRSPISRSGNRSSRPDKIDNARSSRPDKIDNADIDFVRQKFMDAKRLSTNEPLHMSEELNETLDALVSNQDLLLEFLQKFDPVVRRDLRNHGSPSSAANCITILKPSRKNQFTGTDNIYPQGKGTESYINMLKEAKHSLRKQYPNVSSQCLKEDSGSLRQTLSRSSHQENTDKRSGHTRIVVLKPNLEKAPDSGGAALDHKVPHFEFGRHKESQNIGRWSPYTEEYMCQESFEDSETLGRMGKGSREVAREITKQKRAARGGSRKHAVKSEVRTSISEKRSPFLSPVTKLKTSEAFHRSSELCDSLASSSFNSSPTYATETSVSKEAKKHLSNRWKKAHQNQHQITENDGFSTLGDMLALSDQDTSEVATHKMACRKCPKGEVQTDGMQGSSIHPLGISSNDGWRDVVASNLTRSKSLPPSLSRGVQKSNSRKRAGTGRHNEFSMLKDVLKVGPHYSEYACHNRQRQPLSRGSTFHGHESDLMSPDNEERMVIEREIHVNYEEPANGIAMPDISEQSQHPAHIHHELDAVGFLDADSTVFDKDIEPLPPAGLNQQILEQSAISFDGLNLAPNLDDLVTEDERREYHQADDYPEMHKPHIQSESCVGIDHQQVDGNQTLCIPPNESESPTSSQNGDQQSPISVLESSMDAEDIYSGDFEKISADLQGKMQLRFLKRETTDNGADPELFILSDDELARQSPPEMNRSYAFRDEEERDFSYVLDMLTDLGIHAANQDELLNNCYLLECPAGPDLYDKLENKYRSLVLWPQPERRLLFDTTNAVLEDMITSLMVSGSKGLLRRWYPGWDHEEFVEMVWQRVVQLRQEMELNQEHLSLDVEWIGSEDGVYLVGSDIGSALQEDLLDEVIADFLVVPRSAKLRG >Et_10B_003858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6892450:6894400:1 gene:Et_10B_003858 transcript:Et_10B_003858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAAIHSGEFLSCPSAPRWRAAPLSMVSVRTWGAAAAMRVRAVAVRAEAATEGKGKGKKRAASGITKPKPISAELREFVGGAEELPRTEAIKLVWAHIKGNNLQDPNNKKIIVCDEKLKKIFGGRDRVGFLEISGLLNPHFSK >Et_9B_064821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18973728:18981163:-1 gene:Et_9B_064821 transcript:Et_9B_064821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHQLLARKAPLGQIWMAATLHSKINRKRLDKLDIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKLLYDDVSRLLIEINEAWRIKPATDPTVLPKGKAQAKYESVTLADKFMDMEVEQPMIFSEVDTARFRGMRLEDLDEQYVNVNLEDDDFSRAENHHQAEAVNITLVDNFESGLAETDVFNRFERFDIADDETTVNITPDEHRQVSSTLISSPPRQEDPPQQQEPYYAAPSPVQEEPHQGGSFEEQEEQKMKQPSKPSKRKARRKLPQVIIDNQQIMIPGNIYQTWLKDASSLVSKRRKVNSNFNFIRSTKISDLMDMPPVALISFLDKSPSGFYYPKPLVELWKECTEAKSSKASSSEERPSSQEQQPRNSPPQEFAPQPEGEYEGDMGPHPMDFTDGIEKLRGNMSAEYERAYDPLHSDHSVTPGSPGLLQAPVAPGGDLYHWIQKSKRRQHSSGRSFGNLDPVEEDFPLEQDVRDFKMRRLSDIGPTPDLLEETEPTQTPYEKQYSNPIDKVTQSIQSHLKLHFDTPGAPQSESLSQLAYGMTTAKAARLFYQMTVLATFDYIKVTQLEPYGDILISRGLHGLMEATSIIIVQHYN >Et_4B_038154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26878182:26886600:1 gene:Et_4B_038154 transcript:Et_4B_038154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVDKYRPKTLDKVTVHDQIAQNLRKLVSEQDCPHLLFYGPSGSGKKTLILALIKQMFGAGAEKVKMENKTWKIDTGTRTLELELAMLSSAHHVEMNPSDAGFQDRYVVQEVIKEMAKNRPIDAKGKRAFKVLVLNEVDKLSREAQHSLRRTMEKYSVSCRLILCCNSSSKVTEAVRSRCLNVRVNAPSEDQIIQVLEFIGKKENLHLPAGFAARIAAQSNRNLRRAILFFETCKVQQYPFTSNQVAPPLDWEQYVSEIATDILTEQSPKRLYAVRQKFYELLVNCIPPESILKKLLTELLKKLDADLKHEICHWAAHYEHKMRLGSKAIFHLEAFVAKFMSIYKEFLVATFG >Et_6B_049704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9248356:9250266:1 gene:Et_6B_049704 transcript:Et_6B_049704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTLSTQQGRSSPANKPTWWPTQIQAECPARRHLAWRRTVSGCEAPGKHDSETGTSRLIVNNHIPEDVMYDAIFPRLPYKSVMRLKAVWKNVREKITDPIFSAKQSSMCPLCPAIVHIGRSTKKSYEYTMELLSSTPANVGIPSSRLDFLGYRTEDVQFFLLGSSNGLLCICCKPYHKGPLTPTTFHIVNPATKKSQLIPGGTEHLYWRRAVGIVFDPLDQATTSLQKFQIVHAFPIFIGTHDTFLEFCFLIFSSDTGRWVMSDTRLDANIEKSFCKKVVYTCGYLYWEYEDDLLWFDVTTHKAGTIKMPKKIQVSMPEEWERHSIDASNNGTLMCTMINKDGLAMFEMVKLGEPIRWELKHRKGWKDIVDMNSNAFQFCHQMKLRNAWKPKFHEKWFVRPLGLESGRWVYLGVKLIHKTPEKVLRYDVETGMAEDTGKVLDNAYNMEPTFGYRNSMADIPPINAAASKDGICDGESGCICAPDSSEDEA >Et_4A_034556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4276957:4287891:-1 gene:Et_4A_034556 transcript:Et_4A_034556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQSPKPIRCKEAPASRSRSRRLSWIHRKRMKSASRSSAPLSATRTSPSGIASAFPRILGHEAYGVVESVGEHVKEFAPGDTVAPTCLGQCDSCSNCVVEHKNMCTAVPFIIGPGMRRDGTSRFRDSQGTPLHDCMAVSSFSEYTVVDMNQVVKLDPSVPPKIACLLSCGAGTGVGAAWRLAKVEPGSSVAVFGLGSVGLAAIMELTGGGVDYSFECIGVSSVMTDAFRSTKPGGGKTIVLGSEQAGEPVSVPSVELLFGKCIMGSLLGGIKPKTDIPILAKKCMNKGSERRGGWPRCNLVTQGAKMCGASKIIGVDLNPDKEEAIIQLTGGGADYSFECIGVSSVMIDAFRSTKPGNGKTIILGLEKESELVCLPAVELLFGKCVMGSLFGGIKPKTDIPILAEKCMNKELELDGLITHEVGLQDINKAFDLLLQGKSLRCIIWMDHKTAAPHPSDAKDFPGIFPRIFGHEAFGVVESVGEHVEEFAAGDAVVPTFLGQCSDCVDCKSARSNMCSKYRFAVRPGMLRDGTSRFRDGSGNPLHHFLGVSSFAEYTVVDVNHVVKVNPAMPPSLACLLSCGASTGVGAAWKLAKVEPGSSVAIFGLGAVGLAVAEGARICGASKIIGKFGVTHFINPKELGEKPVSQEIVEMTDGGADYCFECIGLAALMSEAFLSSREGWGKTIILGVEMHGAPLSIPSREILHGKSVIGSLFGGVKPKEDIPILADKYLNKVSSVQTLID >Et_3B_029697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27227446:27229691:1 gene:Et_3B_029697 transcript:Et_3B_029697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSKLLIVLSCLVLGLLANAEVHQHEFVVQETPVERLCNTQHIITVNGQLPGPTLEVREGDTLEINVINRAQYDVTIHWHGIRQLRTPWADGPEFVTQCPIKPGGSYKYVFTIKGQEGTLWWHAHSSWLRATVYGALIIRPREGKEYPFAKPHREVPLILGEWWNANPVEVIQEAQRTGGAPNVSDAFTINGQPGDFYNCSKKETTAIPVKPGETALVRFINAALNHELFVSIGQHKLTVVGVDASYTKPFTTDVLMIAPGQTTDVLVTMDQPPTRYYVAARAYVSSQGVPFDNTTTSAVLEYDCGCATEFGPKIQPAFPALPAFNDTSAATAFAAGIRSPEAVKVHEHADEYLFFTVGLGLFNCEPGQLCAGPNNNTRFTASMNNVSFVFPKTDSLLHAHYYKVPGVFTTDFPAYPPVQFDYTAQNVSRALWQPVPATKLYPLRYGAVVRLVLQDTSIVTPENHPIHLHGYDFFILAEGFGNFDHKKDVEKFNLVDPPQRNTVAVPVNGWAVIQFVADNPGVWLMHCHLDVHITWGLAMAFLVHDGYGELQSLAPPPVDLPMCK >Et_3A_026376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7452263:7454694:1 gene:Et_3A_026376 transcript:Et_3A_026376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGRLREAAVLRSDPISCFFNTKLVAAGEVEVISFGKHGDAKDVSSMRSLEYLPMEKQAQGIPDDMYIYRHRREGGVEIHDIFMKKSTTRLLLSWVGAFLLLAYVCCTLLSKESLCLGSLWTISFAGIIAKCLRYDPVKKESLVIMPTFGVQLEQHFWSGRVYRKFVPIDKILKPMLNEHVTPITCYWSLALLLRDEYELMVVFKRLHPPVKMLVPIWRALFAFANSDGTSIAVHERQFMREANKCSGSEDLK >Et_6A_048042.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4193003:4194460:-1 gene:Et_6A_048042 transcript:Et_6A_048042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQAMPTEQQTVVLYPFPVVGHVVPMVELAEVFLRHGYSVTVVVVRPPCGMPTGFTADAIDSIVASSNPSISFHFLPQIIPGPAVRVSVDKPPQFVMIELMQLHNVALEVFLLSIPRRRLHSLVTSIFTSHAVEVAARLRVPVYTFFAAAAATLAVAAQVPALLAGRQTGLGELGEEPLRLHGVPPIPTSHLMVSLLRHPEDHLCRAMADVLRRCTEADGVLINTFESLECQAVQALRDPQSCAALRRPVYCVGPLAGAAPAAADHHDCLMWLDAQPERSVVFICFGSGGFLSAEQLREIADGLDKSGQRFLWIARKPAGDDGDCPISTILPEGFLERTKDRGVVVESWAPQVDVLRHPSTGAFVTHCGWNSTLEAIKNGVPMLCWPLYAEQKLNKVFITDSIGVGVELDGYSAGFIRAEEVEAKVRLVLTDSKVGRELRAQVVARQKDAHAALEDGGSSQAAFLQFLKDVKTSSAPDESDVAL >Et_4B_039485.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:18625417:18626064:-1 gene:Et_4B_039485 transcript:Et_4B_039485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVDTVLDAAAPPPWRPSREDDGVECDQVQAWAKRKRSRRHPRAVTEEEHLALCLLMLARGRRDRDAQPVPAPEHRCSVCGKSFPSHQALGGHKSSHRARPPATTPTATAVAEADPATAAAPSSASPATSSSTSGKVHECSVCKKTFPTGQALGGHKRCHYEGTIGAMSARGFDLNIPALPDVTDQRCLPAADEEEEVLSPLAFKKPRLVISA >Et_5A_041394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22054887:22059008:1 gene:Et_5A_041394 transcript:Et_5A_041394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGSPAGGSASSPQAPGGETLRPRRQRGGSDPLLIVCRCFSVVTAATALLCVAVNVLSAVQSFRAGIDIFGGIFRCYGVVFSLFVGVIETEWGFIIKFWKIFEYWPARGMLQIFVAVMTKAYPSIQRNDLILLQEIASYMLLACGAVYIISGILCLGVLKRSREQKATSREQAAKDLEMTAQSPET >Et_3B_030730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6830856:6834125:-1 gene:Et_3B_030730 transcript:Et_3B_030730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTPAAAAARNRALTEQRFSELSPALSEEVVEALDRGGFHWCTPVQEATIPLLLSHKDVAVDAATGSGKTLAFVVPVVEILRRLSSRPRPHEVLAIIISPTRELSTQIYNVAKPFFATLKGVSSILLVGGTDIKEELRKIEQEGANILVGTPGKLVDIMEQVDTLGFKNLEILILDEADRLLDLGFQNQITSIISKLPKLRRTGLFSATQTEAVDELAKAGLRNPVRVGVKAESKLTSKDAGHSEHGPSKTPVGLRLEYMICEASKKSSQLVDFLVQNNGKKIMVYFATCACVDYWAVVLPLLNSLKGSPIIPYHGKMKQGPREKALASFSALSSGILVCTDVAARGLDIPHVDLIVQYDPPQDPNVFVHRAGRTARYDQEGDAIVFLLPKEDAYVEFLKRRGVPLTERECPTDTEDIVPQIRTAALEDRNVMEKGLRAFVSFIRAYKEHHCSYIFQWKDLEIGRVAMEYGLLQIPSMPEVKHHSLSLEGFVPIDGVDVTQIKYKDKAQEKQRKKALKRKAEEEAQNPKPEKKRAPEKPEKPKRKKTGKQRQSVQTKEDLDELTHEYRLLKKLKRGEIDEDEYEKLTGFVETDGEGSSDGVDGELDERKERGNKAQKKLKQIGKVRGGSRKFEGKNKMRNRRR >Et_7A_051460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1907755:1910833:1 gene:Et_7A_051460 transcript:Et_7A_051460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSAMNRNGKKKRKKRGDEEAAADGASPSSASFDRRFFPVLLAAAERQSNSYCATLAARLLRRVLSRSPQPLSPLPDSLVALLPLLLSSSCTSVAVLSCEVLGAAALKSMEVGETLASDSGIANGLARALGSRSQRVIEAACNAVMDLSAFSVGRERLAGSLVLLRILYLFHQMESIYGFIPSKSTGYPETAVKGKKVMYLLIDIMVLMVNSCNVDNLRSLHQELVTNVLSLLFKIWKKVQLSRLSSDCNSWKDRLQSREYEISEAIFRLSMDLASPASLEPDIVRESIFGQTVSGFENFVLDHWEKTPNLYRRKQAIQNDNPVFAALHNAFNLGTGPDTIIETFIKGLVSCPAIASDELNINSFLQEVHGLLGASVRHRQDIRVVSTREPTDKSSGGCGVEQHFFDDEVVFLDEVAFVEQSKNAFKNGYSIALRGMEFRSEKVTAISSALADLFGQPSVGANIYFSPARSQGLVRHYDDHCVLVWQLLGCKKWILWPNPKRILPRLYEPFDSLDGILDDNSRRVEVLLKGDMMYIPRGYVHEAHTDVGESQANAYADYSLHLTLAIEVEPPFEWEGFTHIALHCWAEKQKSGSSVFVKPRTKGGASLFALLLHVAIRLLSNTDPTFRKACMVAAKLPSSDSGTASHLEAVRRSQRSIFDEIIVKIDKNCSFKEALNCIELSVEGRDDEAFQWMSWLRHLQQDGDVNGRINFCNILGALEELLDTFKSNPEQFLSDFMGFKSKFCRCVVYEDACESFELLLQMYRTTRNQYTRGMLGLHGVHVS >Et_8B_059646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20110954:20121972:-1 gene:Et_8B_059646 transcript:Et_8B_059646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVTVCVRFRPLSHKERKANGDKICFRKLDSESFVFKDEREEDVIFSFDKVFYEDAEQSDVYNFLAVPIVSDAISGTNGTIITYGQTGAGKTYSMEGPSILHCNQQKTGLVQRVVNELFESLLKSSTSMSTWTVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEISITNSSDALESLSQGIANRAVGETQMNLASSRSHCLYIFIVQHGSTSDERVKSGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSALGNVINALTTGWQLESSITVLLFSQSIKCPRKTKLIKTSYKVIPEVVDNVKKPILETQDQDDLCDRILSKLRLSLKEEDVDLLEELFVLEGIIFDPSSVTDIDSACQDAASEEISLLVQAVEELKETVEELTDENERLRRDLEVAQDIAFQAQHAATAERTSSLLDFVPAALLRPFGSNGDDGEAVAYEYQEEYVRNSRGMKLFSCRWLPKSAAPKALVFLCHGYAVECSVTMRGTGERLAAAGYGVYGLDYEGHGRSEGLQGYVPDFDALVADCDAFFVSKATGSSRRFLLGESMGGAVALLLHRARPDFWTGAVLVAPMCKIADDMRPHPVVVNILKAMTSIIPTWKIVPTNDVIDFAYKTQEKRDEIRTNPYCYKGKPRLKTAYELLKVSLHLEANLLHQVTLPFLIVHGGADKVTDPSVSELLYRSAASQDKTLKLYPGMWHALTSGESPENIHAVFQDIIAWLDHRSTEEEPSSEAEQKARHDMLHANKHPAWLVIEPSIPSLPRKLTTPLVSSRPNQSPASVLSTTTMATSAYCCCNTLLHLLPRPAHFRSARRLELFPVARFATGRAAATARLSPKASASSGPPPPFLEAEEADEEEREWSGSEAGSDGGGGSDEEEEQEWAGGNGAVRGEDPGADAGEDLSGWKRQWPRPRELFVCNLPRRCGAEDLLELFRPHGTVLSVEIKRNAETGTSRGCAFVTMRSLAEARAAVEALDGFDMDGREVFVKVASHVISNRKNVKLPHITPMKDHIFESPHKIYVGNLAWSVQPHDLRELFAQCGTVVSTRLLTDRKGGRNRVYGFLSFSSAEELEAALKLDRTVFHGRDILVKEAHVERQTHGTVKDYS >Et_5B_043992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18662424:18669014:-1 gene:Et_5B_043992 transcript:Et_5B_043992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSTLHRHARAHETEARPGVHHRLGTRELTALGRSSAIQMEIKKVCVVGAGVSGLASARELRREGHEVTVMEQSRGVGGQWLYDPRPDAGDPLGLAGVHSGIYASLRLIVPREAMSFSDFPFYPRDDSDARRYPGHGEFLRYVRDFCDKFGLMDAVRLNTRVLRVARMGDGDSRWVVRSCTQRGEDDDAVVDEEVFDAVVVAVGTYAHPRLPTINEIRDWRLAGMDKWSKKQLHSHSYRVPDSFRDAVVVIAGCKESGKDIALDLSKVAREVRISVKSVDDVAAGVPKMVSRHPNIHLHGPIDCLCEDGTVVFADGARVVADAVVYCTGYDYSYPFLDTGGAVAVDDNRVGPLFEHTFPPALAPSLSFVGVPKKVNVPRFYEVQARWIAQVLSGRRTLPPVEEMLRSVEEYNRAREMAGVAKRHTHDLFDFEYCDDFGEKHCGFPRLPEWKKELMWSSLHNMRDDHETFRDNYHDSELVREGLRSQGWLPSPDAERKRCYGPEPQTHGDNFPYTATLIEQSMETACGVPLQWKKVCVIGAGMAGLTAARGPRRDGGRDIGGQWLYDPHTDDADQLGASAAPVKVHGSMYASEFRDAFRLMELVRLNTRVVRVTMAGDVRSVRVEPDSCEEKAVEEEVRCARSILILGAGMEEWRRRQLHSHSYRVPDTFHDDVVVLVGCGDSGMDMALDLCGVAKAVHLTAKSVDHATTPAMSKMLANHANLHLRPKIDCLCEDGTVVFTDVPASSPMP >Et_4A_035653.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:32498212:32499693:-1 gene:Et_4A_035653 transcript:Et_4A_035653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPWAWAWAWARAVGCEVRAQRGIAVPLVGMNLTWFAKLAVTTAFLGRLGELELAAGTLGFSFANVTGFAVLTGLCGAMEPICGQAHGARNVGLLRRTLVMATLMLLAASVPIALLWLRADGVLLLCRFGPEVAATAREYVVCLLPDLAVTSVLSPLKAYLSSQEVTLPTLFAAALALALHIPLAVCLSAARGIRGVAMAVWISDLAAALMLAAYVLFVHERRQQGKEEEAGPAPRVPGILLSWRALLRLALPCCLNTCLEWWCYEILILLAGRLPDARRTVAVIAVTLNFDYLLFAAMLSLSVSASVRVSNELGAGDAAMARRAAMVSVFAGGVLAGLAGGLLMLAARRPWARMYTRSVEVRDGVGRAMKLMALLELVNFPLNVCGGIVRGTARPLLGMYAVLAGFYVVALPVGVALGFKARLGLEGLLAGFLVGATASLAVLVTVIVRMDWTAEAQKARKRAAAGDDTNLLDVLEPKTKKDTNPTTADAC >Et_4B_038308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2863034:2870784:-1 gene:Et_4B_038308 transcript:Et_4B_038308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGGGTIRVLNVAEKPSVAKAVAEILSRRSGGMQSRPGRSPYNRIFEFNYAIGGQACHMSVTSVTGHLMELEFEDRFRRWHSCDPADLFHAPVRKSVPQDKQPIKQTLEEEARKCHWLVLWLDCDREGENIAYEVVEVCTSANNHLNIWRARFSALIDREIHEAVQHLGRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVIDVTGDDRNLVLSYGPCQFPTLGFIVERFWEIQAHEPEEFWKINCTHTSEEGTASFFWIRGHLFDYTSALIIYEMCVEEPMATVQNVRNQEKLKYPPYPLSTVELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDSFSPNTDLHTIVREQVPHPVWGEYAQRLLNPEVRLWRNPSNGGHDDKAHPPIHPTKFSAGENNWSQDHKRLYELVVRHFLACCSQPAVGAETTVEIDIAGEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYNIGQQAGIGTDATMHDHIKKLLDRCYATKDENMHFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSIGTKSKAEVLESCLQQMKACFLDARVNKAKLLDAMGTYFARSNRPANETQSPVEVVRPCGACNESEMVLKQRPTGEFMVGCRSYPQCRNVVWLPGSISEASVTNQVCPTCRPGPVYKIQFKFRRRDIPPNFDVDHLGCVGGCDDILKELIEIGRFGSRNQAATLARGQTPNGVRQGTPRQDLHTSFRPAGQLANENQSVMHSQGFRSTHTQNSTGQVMCTSCGEPCISRTANTEANRGRKFYKCRDLDCNFFKWEDELENATPRGRRGRGSSRQTPASASAGRRGGAQARGRRGRGRNADGGMFVSATGDPVSGCCFTCGDPTHFANACLNRGRR >Et_8B_060230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7780204:7783217:1 gene:Et_8B_060230 transcript:Et_8B_060230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLAAVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >Et_6B_048473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:897426:897605:1 gene:Et_6B_048473 transcript:Et_6B_048473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGWPVATPAQHRDTLTLLCLWQIKKHMNEVMFREAAPCLSRLLHNC >Et_4B_036913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12599801:12600207:1 gene:Et_4B_036913 transcript:Et_4B_036913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLSPLTFFLFYRAFDPTGTGRRLTRSSLSTGFFAFVVLTNRPMCCGRYVPLPTAMAVPFLVCASFAIDIIIGSVVVFAWNWEAALLVPAVASGLICGNGIWTFPSSSLSLAKIKPPICMKFTPGS >Et_10A_001817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8829347:8830567:-1 gene:Et_10A_001817 transcript:Et_10A_001817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQETQVGDLPEACLAYAISLTSPRDACRCAAVSPFFRAAADSDHVWQHFIPKDLEAVLQSSPLAKTAQKKDAYLGLCDGVFRVDGDSRSRVWLVKASGAKCYALSARRLSLPWDDGEFSWRWTPHPLSRFAEVAELVDCTSLEINGQLPAAALTPSSAYAAYLVYGMAEGHRGLSYPDQETTVAVGGRVLERHSVCLSPDDAETRKFRGADLIAETTVVPDEPRRRCDGWWEMEMGRLRTTNTSREKEEVVVASFEVLGWYLKRGLIIEGIEFRPVHN >Et_8B_058878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10347684:10351226:1 gene:Et_8B_058878 transcript:Et_8B_058878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPIPAARAGAGARRTSGDTPFATPPHSSPPFRLHRRVLAAIRAESPSPISLSAAPSRPAKPPACTADELHYAPVDGAGWRLALWRYRPPRNAPVRNHPLMLLSGVGTNAVGFDLSPGASFARHMSSQGFDTWVVEVRGAGLSMRENDSPAASESSTFEAVSGGSKPLDKQSTLEAASLQNSGGASTDFDDLGIVALDEPPILAELSNFFDRISKLMEEAILNQNFHEITEKISVLSDMVESSSIIGPVREESLRLLKNVQEQLDSWERFVATQMHLTSEYNWDFDHYLEEDIPAAMEYIRQHSKAKDGKLLAIGHSMGGILLYAMLSRSGFEGAPSSLAAIVTLASSVDYTTSNSSLKMLLPLAHPAQALNVPAVPLGTLLAAAYPWASGPPYLFSWLNSQISAQDMMHPELLSKLVFNNFCTVPAKVVLQLTTAFRDGGLRNRNGTFSYKDHLRECQTPVLALAGDKDLICPPEAVHETVKLIPKHKVKYRVFGKSKGPHYAHYDLVGGRLAIHEVYPCIIEFLSRYD >Et_6B_049198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19273326:19276193:-1 gene:Et_6B_049198 transcript:Et_6B_049198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVPRDDDEEEDTSGVVKLISAEGFEFVVDKKAAMVSNTLRNMLTSPGGFSETRQGEVRFPEIPTHILEKICQYFYWSLRYSSGKETTEFPIEPEITLELMMAANYLDT >Et_4B_036660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10161017:10163489:1 gene:Et_4B_036660 transcript:Et_4B_036660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSQNTPFAFVESSLGETSTEQVSFNPFDLPFDSDSKAPDLFMDVSSLQEALPNPDLPAFLDVLPEAWFSSGPCGYVPSAVHGGLPCLVEQAPNSPLRHVDRRVDPATGGMRQRRYTAVATGQVWRQWAGRYVMRAE >Et_4A_032121.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:19030375:19030794:-1 gene:Et_4A_032121 transcript:Et_4A_032121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QFQSRLVASSQRSCGYALRSLDAALECVVARRVWSDISQLLEVTVGSNFENIATRWLCNKKFLVINMLSSAILWSIWKLRNAMFFQNLKWSGIGQIWQRAIPMLKNWKLLCPVKQLQDFDAMISKMEKLRSSPEKIGCG >Et_6A_046031.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:19218729:19218842:-1 gene:Et_6A_046031 transcript:Et_6A_046031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYTTWHMWNERNRRVFTNTAAIGAHQNDQRRHGAES >Et_2A_016239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22491328:22492041:1 gene:Et_2A_016239 transcript:Et_2A_016239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PQAVKAGSNRFAVTCGLLRQYIKEHGSHGAVRLAPVMATSLMQGENVAGDAGAREVLPAPEVGKTMQELELFPLQPGTLTASHERKEPERAPLMIFYGGKMVAFEDFPADKAEELMKAADMPIARKASSLQRLLEKRKNRLAPGPDGN >Et_4A_032643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12098176:12108169:-1 gene:Et_4A_032643 transcript:Et_4A_032643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPVSGGAFERYQRGGGAGAAGPVGGNKKCLLLSVSLVCCGRELMGLYFSGIGWKSWKKRWFILTRTSLVFFKSDPNTLPQRGGEGNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTADTFEGAVPNWREKRPTKSLVTGRPILLALEDIDGSPSFLEKALRFLEKHGIKVEGILRQAADVEEVDRRLQEYEQGRTEFSADEDAHIIGDCVKHVLRELPSSPVPASCCTALLESFRLETKEARINAMRSAISETFPEPNRRLLQRILKMMHTVASHTSENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVTTLLEEYESIFDDERLRCSLSPESQIEDSGTEASTDDGNLVAKANGFHDAENDVDQEMEDDNGAERILSGKLSESSGYAGSDLYDYKAVNVDESDAERTVEVLEGNVDLGKVQNSRSAENGSANVDTLLCENNPSNQTPGHETPLSMGEILSSFDPGISVPSQSSEYSVERQSNKINGSQPHVKRSNFWGRNNARRSQHSESVDSSGEEELGAMQFYRRVWKEENKHFMNAVWPWNRMLQEQLQAERDLRAALEVGLSMSSGQFSSTRSMDLKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGSAVDADDRHHRLPGHFSQQNFVQQGFDMNLAFCNQEKQRNEENSVDSSQWRNIKQHVLPYGSSRPLTRKLSFDVSSSESRGTEATTSMPTENTSVTINVPKLAEGMEYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSATQGFPYRPPSPWNNPR >Et_6B_049902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17049269:17052206:-1 gene:Et_6B_049902 transcript:Et_6B_049902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPSASLRRIVACVAGLHFFHHASGIEAVVLYCPLMYRPAGMSSADADVLGATVALGVVKTCFILRWPARPLLIASTASVAVALALVALVLCFRATSVPPFVAAFSVGSGRWCRHTIGDLMPLRLLTQGASLGMAVNRLTCGMWLAMLESPQWLAMTGHHDEARTCAPRSNPAEADVQIECGSAKAGLRIHKIKQAAAKAPPAAASGRS >Et_1B_012354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31134582:31136575:1 gene:Et_1B_012354 transcript:Et_1B_012354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLKELWHRSENRICADCSAPDPKWASANIGVFICVKCSGIHRSLGTHISKVLSVTLDKWTDSEVDSMVEVGGNSHANAIYEAFLPEGRKPRPGSSQEEREKFIRSKYELQEFLEPSLRIVSIQSSESEKQAGGASQSAGSKSEIGMVEFIGILNVKVIQGTNLAIRDMSSSDPYVVLTLGHQKAQSSVIKGNLNPVWNEELKLSVPQEYGTLKLQVFDHDMVSKDDLMGEAEIDLQTMINAAAAFGDPELLDDMQIGRWLKSSDNALVRDSAVVIAGGKVKQRVLLKLQHTESGELELEMEWMPLNM >Et_9A_062731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3972028:3974344:1 gene:Et_9A_062731 transcript:Et_9A_062731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAVAAAVLPREISNVEEEREMEEGMATGWPLMLSKKHRTLMKIMPPLLWYRTPTICTSLWLLPCGNITADNQGDGGEAGTPLVSSIMQGLMRLAAELREKGKKFLVRDPEATPRSEPAEMENVVGEDVDSNACPEEKSSANARGDALFHFPQFDVEQRSPPDHYFLKETEQGIGGRKDWIKTVQKEWKILENNLPDTIYVRAFEDRMDLLRVAMVGTIGTPYQDGLFFFDLQLPPTYPDVPPLVHYHSFGLRLNPNLEASGTVCISLLDTFDGEGVELWSPEMSTILQVVVSIQGLVLTAKPFYNDSFYDEYLGMPEAAHNEVLFSEDACLLTLRTMVLVAPDAGGELVRRHFHRRGKFVLLACEAYLHKRYPIGTLDDEANAMEVSSGRTCSAGFRLSLARFMPRLIEAFMAIGADGCENFDRVLLSFGRGNGRPPCTPTATY >Et_3A_025732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32254390:32255949:-1 gene:Et_3A_025732 transcript:Et_3A_025732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKEKEKEKEEKKHDGTDPVEEEEEDEEGNKRTVVLGPQVPLKEQLELDKDDESLRRWKEQLLGQVDTEKLGETSEPEVKVLNLTILSPGRPDLVLPIPFQADEKGYAFALKDGSPYSFRFSFIVSNNIVSGLKYTNTVWKTGVRVENQKMMLGTFSPQPEPYVYEGEEETTPAGIFARGSYSAKLKFVDDDGKVYLEMSYYFEIRKEWPATTQ >Et_2B_021756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5103106:5104982:-1 gene:Et_2B_021756 transcript:Et_2B_021756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPNPALPLLLVGALLAFEDWLTTPSCSGGSPPARASGDLRAMMVADLMLLGSDASYADRYFRDHFMSKFFAPDMIVVLGDISAKGSELTEHKWISVIEQFEGILGRYSSLPLHIALGDKDVGTCANLDGKSVHLRAKHLPGLDSSGCGAFEISNISFVSLNAVALLCGNNALRFGVEKVMERENHHFQRESVNEEECYSLGCGKRESFADISWRHNSVKSGSGRVVLLHFPLHRSDGEVTGVPTFPEAIVSDHSSVSSTSKQSGDNGRRLYDRLHMLPANSTQYILQALKPRIVFNAHTGSFSDFVHADGTHEVTVPAMTWKTRGVPGFVIATFDAKGGATFGCCWLAQEWHVITGYLAFMCLTAVAV >Et_2A_016297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22982606:22988874:1 gene:Et_2A_016297 transcript:Et_2A_016297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPALLATTAVSTASPILRRHRKDMIFRELADIERAMVARFTPAGHWTLQVLPPSRDYCHCRPSSVTSFGEVPLCLPSRCSEHPPSRCQSTQEVRPPPVYPYVERSPSPIAMRDFSEDRRSSSKQTPAKEGLVPAVANAGVKPMRSALIREEVTPEHKDALVGERKADVDDDHGVQPLYASEKQIIGQSKATEITMVDQINESVHQSCSYKLGVQENKTFDQKRQEFSTPRPSSDAKQQQEYKSSGAREHPFSGYVELCPTPMKQTPVEENLVPAAANGSTRPTRSVSLCHEVAPGHMVSGEPKVDVEDGHGVQPLHEIKNQSSGQRKIEEPVMEGRMDKPLQPLRARLAGLENTAYNEKKRIEFSERTPERTSGLKRRLTEAMPPVKKPKPPEEWSCTLCDMDSSCQLSLDEHLTGRQHQSNVEALRARNKSGERSSKASPIRWNKNLAKDGEPLSRDGKEYLAEHAGSRDQAEKPRGRGSVKDRLEPAPPRWTCGPCQANCATESDYYEHLRGRRHRENTEAISADYRSESDRSDGDDGYTADWKRAYYCELHKDRLRQEIIVAELAKIERAMALSSASCHSIFAGDLEWAKLPSFSFAEKFIPHSRWPFSLEHGTDVDGHHDLKERARQGGIESRSMRPEMDYPVNKCLSSCCTSKEREENASLDEKKLQENEASTFMLLLFFHLLSPRIPRLQ >Et_8A_057216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22385588:22390200:-1 gene:Et_8A_057216 transcript:Et_8A_057216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYENGDSPAAAAGEGGVILGVDGGTTNTVCVCLPAAMPPPQSPVSVPVLSRAVAGCSNRNSVGETAALETLEQVMKQALTLANTDRSAVRAVCLAVSGVNHPSDQQKMLEWIRDLFPGHTRFYVENDAVAALATGTMGRLHGCVLIAGTGSIAYGVTEDGKEARAAGAGPVLGDWGSGYGIAAQALTAVIKAHDGRGPQTSLTGEILRKLQLSSPDELIGWTYADPSWARIAALVPEVVSSAEDGDEVANKILHDSVQELADTVIAVVRRLRLCGEDGKDQFPLVLVGGVLEGNKKWNISGEVVNCISKVFPGVHPIWPEVEPAIGAALLAWSHHHKGLKLENGS >Et_3B_028435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15406725:15407243:1 gene:Et_3B_028435 transcript:Et_3B_028435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVKIRPWGSDDGRRGAAVDAISFTYGAVIDGTQHTTGSWGGSGGEQHKVKYADTEVSGTYGSYADYPCIIRSLITDLRHQRTGRSASRIRERHSASRCRTAVASSAGFFGQSGSLLDAFGVYVHP >Et_9A_062887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6205069:6212824:-1 gene:Et_9A_062887 transcript:Et_9A_062887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GHITQARHLARRLAVVSPGVSVTICVPLSAFRRMSPGVAADGDVESDGGVSYVSYSDDYDDGFDRAADSYAHGPGGGEEEDDAVVRLPGLPPLWARRDLPSFLAPEALASLRPHVDVFAVGPVLSFLHDNPKEHAVSPPSPPRDLFEHDGESCYLDWLDSKPARSVVYISFGSSSVMSREQVAEVADAMARIKKPFLWVLRKDNCRDEEDDAAIRKLAMGDDGDRLGLVVVWCDQARVLSHPSVACFVTHCGWNLALEAAVCGVPVVAAPQYSDQGTAAWLVQEWWGTGVCAAARAEDGVVEAGELVRCVAPATSDEVAARAMAWRERAGAAVANGGSSDRNLREFLTQIVNGGS >Et_2B_021588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3327229:3342352:-1 gene:Et_2B_021588 transcript:Et_2B_021588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSERRPLYTLDESDDDLLPRDGSGKGKDRQNDGPFERIERDDAKEDACQRCGKTDNLVACTTCTYAFHRKCLVPCLNITSDKWSCPECVSPLTEMEKILDYEEKTVTSEDTNSSESGPKKVKRYLIKWKGLSHIHCSWISEKEYLDAAKIHTRLKTRLNNFHKQLELMDKSDDDCVALRPEWTTVDRILASRKSSTGEREYYVKWKELSYDECTWENESDISVFRTEIERFNEIVSRGKSSGDRGKGPREPRKFKESPKFLSGGTLHPYQLEGLNFLRYSWHNDKRVILGDEMGLGKTIQSIAFLASLFEDKFGPHLVVAPLSTLRNWEREFATWAPQMNVVMYFGSAASRENIRKYEFYYPKEKSKKLKKKKSSPSHEEKKQSRIKFDVLLTSYEMINMDSAVLKNIEWECLIVDEGHRLKNKDSKLFGQLKDFNTRHRVLLTGTPVQFGSISDLQEEFKDINQDSQIEKLHGMLKPHLLRRFKKDVMKELPPKKELILRVELTSKQKEYYKAILTKNYEVLSRRSGGQISLINVVMELRKLCCHAFMTDEPDSEPANPEEGLRRLLDSSGKMQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYLSYRKWSYERIDGKIAGAERQIRIDRFNNKTSTRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLVSRGTIEERMMQLTKKKMILEHLVVGRLTKANNVNQEELDDIIRYGSKELFDDENDEAGKSRQIHYDDAAIERLLDRDQADEEECLEEDDDDDFMKGFKVANCEYIDEEAAKEEARRKAAAEAANSERANYWDELLKDRYDVQKVEEHTTMGKGKRSRKQMATADEDDIHDLSSEDEDYSYEDDVSDNDTTLPGSISGRRGQYSKRKSRNVDLIPLMEGEGRSMRILGFNQAQRALFLQTLNRYGFQNYDWKEYLPRLKGKSVEEIQRYAELVMVHLVDETDNPDYFSDGVPREGLRTDETLVRIANISLIEAKVAAMEQGKITTLIPNYLLQEFQSLTSGIIWKAEHDLLLLKGIIKHGYARWQYISDDRENGLFEAARRELKLPSLNEITGSHTNNEPNGNLEGTQEGQVNATISAHYKDYQRRVVEFIRKRYHILERCLNLEYAVIKTNTPVPDDLTEQSVPAGRVPSFPDVSEMSRELPNLEPISTNEVASNGTADQSQVPHLYNKMCFVLEDSGVPALNSFFGDRAASSSLSNSLHRLEAVCEDVVRILEVQENGTAIKEEAVDANSKEANTHPPDSGPVSANGEPSTVKSAGKMEIDGIRVNERSQVHLLVSSVFVLIHDINRCLRLTCNTADSKHQEPQTCRVVIPLT >Et_2A_014968.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23884336:23884770:-1 gene:Et_2A_014968 transcript:Et_2A_014968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYASAAANKGLTILATSCSVISGRESVYTKCSKVLNTSTSDPISSIRRQSPPSFVVLESCDLKAGDRAARIQLCALNVSPPTRNVASAPCLVTRRSPRCRCKSDSGSSLSSARKLITETSHLILKQSSTRLSDASRISLSTKS >Et_1A_004857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12447039:12447761:1 gene:Et_1A_004857 transcript:Et_1A_004857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSYFFSSSEKKASKRRQQQQQQQQDSGNATRYLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAEEAAIAYDRAARNIRGAAARTNFAYPDLPPGSSVTPYLSPDISADQLHHYYAGGNPAAATAQPAAPAVAHGGAGTEEDYSYHVPAAMSSYGADVDASSMGYGGINAETMDMYGGGGGGDRAWCDASELEFAGNASHGVYFEPMPAADEVADGFQLGGSSSSYYY >Et_8B_058822.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:8982316:8982621:1 gene:Et_8B_058822 transcript:Et_8B_058822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIVRLDTANAATVTDLITEQSRHWNVEKMKENMSVLDVEVVLKIHLSSHPMEDLNAWAFERNGMYSVRSAYKLLKDTQMLAADHAESGTTCSEGPGQWW >Et_5A_041674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25723358:25724140:-1 gene:Et_5A_041674 transcript:Et_5A_041674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQAVGAIKRRLFYNAFVLRLDDLFQHALEERLEITAWVECLRHRDERLVREFRLQLTAHLRRQRSLADAPKPDDGKHLASTLCPCRRLLQPRGQSVDLVLHADDLAAASVPSASRFTPYRAWRSLMAMARARRALTSPARRRREESVLQPGKHAVAGAALDAEAVQVDAVLRIVGELAHLLHNLPDEGVHGAVFGFGLHPEEGVHGGQVVAQLSDLAADAAELLILRSQ >Et_2B_020320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1936075:1940456:1 gene:Et_2B_020320 transcript:Et_2B_020320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNACGAAEARVLCCADEAALCGACDEEVHAANKLAGKHQRVPLLQDDAPAAASAVPKCDICQVRSPRCLPSISVARFGCGLGPLRCREFCCSTNAIETVLLFVLHRFWEASGYFFCLEDRALLCRDCDVAIHTVNPFVSAHQRFLLTGVQVALDPADPVPPVADKRTNAADGGSLYLPTKNMSGRNPTVPSSGEGSDFVPSRNVINGNYSRKNSVPTDSTGEVNWTMNNSTIRSLELRPEYLSDESPTLLQSGQATAAFSNQVNKDNDPAYNLPLPSGNGSESLPDWPVDEFFSSSEFGPNFSFAEHCSPKGDNTKLGSAGGSPQCRLAEGFVAEELLDLVPGFDAEESWTVPEVPSPPTASGLYWQGNFRYPAYDSTVFVPEVSSLQISQNNFAVPGGFKRRRQF >Et_10A_001310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23190606:23193781:-1 gene:Et_10A_001310 transcript:Et_10A_001310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLGLLPHAALRLASASSSSSRALALPRARLPYPPPPPPFPIYRRAASAAPPRLRPRRALLTPRAMSSSSGNPYAAELAAAKKAVTLAARLCQTVQQDIVQSGVQSKADKSPVTVADYGSQILVSLVLKMEAPGSFSLVAEEDSEELRKDGAEEILENITDLVNETIFDDGSYSISFSKDGILSAIDDGKSEGGPSGRHWVLDPIDGTKGFLRGDQYAIALALIDEGKIVLGALACPNLPLSSISNLNGGSSEDQVGALFSATIGCGSEVEYLDGSPPQKISVCSIDNPVNASFFESYESAHSLHDLTSSIAEKLGVQGPPVRIDSQAKYGALARGDGAIYLRFPHQGYREKIWDHAAGLIVVTEAGGIVTDASGNELDFSKGRFLDLDTGIIATNKQLMPSLLKAVQEAIKEQNQAASLL >Et_5B_045090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9462312:9466277:-1 gene:Et_5B_045090 transcript:Et_5B_045090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARSPAPGLRRRGVLLPILLLLLPLVLLLHHLSSSPRTIPALRNPSKACDYSAGEWVPDASAGSSLRYDHTCKEIFKGWNCIANGKANGRDLLRWRWRPSSGCELPRLDPVRFLERHRNTNIGFVGDSLNRNMFVSLVCMLRGVSGDVRKWRPAGADRGFTFLLYNLTVAYHRTNLLVRYGRWSASSNGGPLESLGHKQGYRVDVDVPDQTWAEASNFHDILVFNTGHWWWAPSKFDPTQSPMLFFENGKPIIPPLPPPAGLDLALKHMITFVNKAMRPNGLKFFRTQSPRHFEGGDWNEGGSCHRNKPLSLKEN >Et_3A_025876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33639614:33648218:1 gene:Et_3A_025876 transcript:Et_3A_025876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QVYDKDTFTADDRMGEAEINIQPLVAAAKAYETSVVTDTAQLNKWMAKDGIWIPRDSTIAVIDGKVKQLVTVRLQNVERGQLEMELESMDAAALEKLILDLHEIEAVKLGSFVLKSGITSPIYLDLRVLVSHPRLLSAIASLLHSLPATRPYNILCGVPYTALPIAAVLSVDQSIPMLMRRKEVKAHGTAKSIEGSFKPDDTVLIIEDLVTSGASVLETATPLRGEGLVVADAVVVVDREQGGRENLAANGIKLHSLMTLTEVLAVLLKHGKVTEEKAEEVRRFLDANRKVAVPGAPAPRVLRKPFEERAKLATNPVGRKLFEVMVAKQTNLCVAADVGTAKELLELAEKIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIVPGPGIVDGLKLKGLPKGRGLLLLAEMSSAGTLAHGDYTAAAVKIAEQHPDFVIGFISVNPASWSAKPSNPALIHATPGVQMAAGGDALGQQYNTISSIGPEICMLKTHVDILSDFTPDFGPKLRTIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIINAHIVPGPGILDGLKLKGLPKGRGLLLLAEMSSAGNLANGDYTAAAVKIAEQHSDFVVGFISVNPASWPTTTSSPAFVHATPGVQMIAGGDALGQQYNTPYSVINGRGSDIIIVGRGIIKAHNPAETAREYRIQGWQAYQSSLP >Et_6A_047674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9387086:9391003:-1 gene:Et_6A_047674 transcript:Et_6A_047674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWWKSKGRSKSKGAAPAGTVPAGGGGGDEQKVGGGKKKASSFDESLLVKGGRAKQQLQQQQAVGHPLPRPASVPAPLPSTSASASGSASSGGTSSLGSSAASDEAPDLGVYRLSDSSSILPVRTLATETRKQSHGVAEGRLFTNNPASEHPRLSETSVSPRKEFHIQNLDLAHNGSTYCRGRKSTEIVFSTPVPSSPPSARGHQYPTSPVRSRTFGQCPGSPTAWQDDSRSASSPQPLPLPPGSPCPPSRSLQWKKGKLLGSGTFGQVYLGFNSEGGQMCAIKEVKVISDDSNSKECLRQLNQEIVLLSQLSHRNIVQYYGSDLSNESLSVYLEYVSGGSIHKLLNEYGPFGETVLRNYTAQILSGLAYLHGRNTVHRDIKGANILVDPNGDIKLADFGMAKHISAYTSIKSFKGSPYWMAPEVIMNTNGYSLSVDIWSLGCTILEMATAKPPWSQYEGVAAIFKIGNSKDIPDIPDHLSSEAKSFLKLCLQRDPAARPTAAKLMDHPFVKGQATVRSPRPSMTRDMFATSTDGKNSTAQTSIGISSYRSLSPLRDADVGIRNIPGPTSPIPSMTNRRIAAINPSNVRMNMSLPVSPCSSPLRQYRQSNRSCLPSPPHPAYSAGAANYSPINNALYPTRASGGLTDPWLEISQLRTHTFDSPRRL >Et_9A_063117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10687443:10690200:-1 gene:Et_9A_063117 transcript:Et_9A_063117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVVLSNASNNPIFTRVPGEIMDLACMASINKQQLRRAIFRILRSQTISPLPKQQDAKYCLILRAPTNLNYFLSDDIVQGTASPKSEDVDHHSLSQTSIHVANAKQHLQQHHHDARNRRKSKYHYLAGCCTESPDLGVVIFIVARIGLSIVKG >Et_9A_061842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17027408:17030218:1 gene:Et_9A_061842 transcript:Et_9A_061842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVVVLLLLVSALGGATAQAFPPWNGTFPIGPGFGGVGSDGAGSAAAGTGVPAMFVFGDSLTDNGNNNDLTSLAKANYLPYGIDFAGGPTGRFSNGYTMVDEIAQLLGLPLLPANPQAATADAALHGVNYASAAAGILDNTGQNFVGRIPFNQQIKNFQKTLDLLTPKLGAGKLASSLARSIVYVGMGSNDYLNNYLMPNYNTRNQYNGDQYSTLLVQQYTKQLNSLYNLGARKFVIAGVGSMACIPNMRARSPRNLCSTDVDDLIIPFNNKVKAMVNNLNTNRPRAKFIYVDNYAMIAEVLRNPWSYGFNVVDRGCCGIGRNRGMITCLPFLRPCLNRNGYIFWDAFHPTERVNVLLGRAAFNGGNDVVYPMNIQQLAAWQP >Et_6A_047650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9153046:9153631:1 gene:Et_6A_047650 transcript:Et_6A_047650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWHDLCKAFLLEAKWHYNNYNPTLQEYLENGWMSVSGTLMLLHAFPMLNMDINPNSIQQLETYPKLVRLVSKVFRLCNDSATHSEELRRGDAPSSIAIHMFENRATEHESRMAMKELTMEI >Et_10B_002761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10686115:10703246:-1 gene:Et_10B_002761 transcript:Et_10B_002761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAPHGLAQPPARAALCSHPTAASSANTRAFSSHPSSSPIRLSLRAAAAAAASTRAVRLPTTAAAVSTATPASTASAAMDAVAEWGLTPLSVVDPEVYDLIEREKRRQRAGIELIASENFTSLAVMEALGSPLTNKYSEGMPGARYYGGNEVIDEIEELCRARALAAFHLDPASWGVNVQPYSGSPANFAAYTGLLEPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSSDTGYVDYDRLEEKAMDFRPKLIICGGSAYPRDWDYARLRAIADKCGAMLLCDMAHISGLVAAQEASNPFEYSDVVTTTTHKSLRGPRSGMIFYRKGPKPPRKGQPEDALYDYEDKINFAVFPSLQGGPHNHQIGALAVALKQSMSPGFKAYIQQVKANAVALGNHLMSKGYKLVTDGTENHLVLWDLRPLGLTGNKVEKLCDLCSITLNKNAVFGDSSALSPGGVRIGTPAMTSRGLVEKDFVQIAEYLHQAVTICLNVQEEHGKLLKNFEKGLVNNKDIENLRAEVEKFATSFEMPGFRMKFDLQIPSLTRHPSLQHIGGNTCPCRAAWGTTDSHYPAVATFEGVKNSSSIVLVVCKDKFPYVRFHHQEQSTDASIFTLPNRRASDGVSFGPEPLLHVNQEPKVHGVSLTVAMGHDQGPVHLGHLEEILLPVIARNKLLIMASLVHQGRRALERRGPPLLRQEVEQGHVTPPATQEADHAADDRPVAEERRDASRRLRPCPPLDNFCCRRRASRRRTRARGSGEPCTPRWTDHVDELVPDAGAQDGDAARVRVEQAGFVAALREADELGGALVRAPAELDLPGALPPHDAAGEVGEFDRAGLAGFRVGEPGDEGVPRRVVGEREPCPADEAEAAELLGREAEQDVGQKFRGQQLQQAAVVGDEPGSLVLWRR >Et_1A_004630.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:24039445:24040674:1 gene:Et_1A_004630 transcript:Et_1A_004630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAISAIVGDLISRVISFLVKKYSDNTSIDLKLEKLQQLLLRIHAVVEEADWRYVTNPKMVMQLKILAEDLYRGYHILDKFRYKSIMSSIHEEGTKSSIRLKRSRTIPSTMTRFVEDSDMQSVLERLECATANMTEFVLLLMGCERICRRPYDTYLYTDTLMFGRHVEKQQIIRILLNDPRSHGGPTVLPVIGGCRVGKKTLVSHVCKNDRIQSYFSSIFFIKGDSIWRMENVKFKNERTLIVVEFLVDVDDHDWAKFYATVTRMTAEGSKVIIISTITNFGRFGTVKAVCLNSLSHEQYTYLFKRLAFGSTDENEHPYLASIANEIAVVLGGSLITANVIADLLRRKVDIRFWLRILHRFKGMVDNNLSKYGEHPKDILENERPIDISALYSSGSATRRLMPPR >Et_9A_061087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14959765:14961766:-1 gene:Et_9A_061087 transcript:Et_9A_061087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPENELTNSIQGSEKAKWRPISNHNVSKFTEDEIKRITSNYGTPIGKGGFGHVYRGADDGTQVAVKMINHKNVVRLLGYCIEDNALMIVTEYVPRGGLRDLLHGSDDPISLDTRLAIAIECADALGYMHCSMYQPVIHGDIKPDNILLDSEMHVKLSDFGLSRLLSMDRTHHCTMNVAGSRGYMDPEYIETGILDPKSDVYSFGVVLLELITRKRARYGDNNDRHLTIEYTDVWNKRNSGKAMFDKEIAFEGNIFILEGMGKLAIECLKKHPDERPEMAEVVDRLQELKRDRREERGGELPRRR >Et_2A_018523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31653590:31654168:-1 gene:Et_2A_018523 transcript:Et_2A_018523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKETASRQAKYLDSRGDLYMFEATIEVPVSFGTVGAVRFDNDYELEMFIRDVKVFPDGDESCAVSFYCDSWVVDNEQCGNDDRRTFFPLKSYLPSQTPKGLVSLRKSDLEAIRGNGHGERKQIERIYDYDVYNDLGNPDQDPETKRPVLGGKEHPYPRR >Et_9A_062614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24461314:24467500:-1 gene:Et_9A_062614 transcript:Et_9A_062614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSLTETEAGISCFASSLPGFRGVLKHRYSDFIVNEVARDGALVRLSSFDLPTECVDVTEAEKAAPSADADHTQALESFRVLCGDADCDALKGILERMSAGGDSDLSPVILSPDADKAHRSEVHNFFKKNFKFLVTDTVEHSDGVKKCIRVRLGSGAGGGRSGGGRGRKRKNMGGSGWRDDSPFDSRGSSNWSNDVGKFLRFHLYKENKDTQEALGVIGKMLGLQSRSFGFAGTKDKRAVTTQQVTVFKVQANKLAALNNRLFGIKVGNFCYVKEGLVLGQLMGNRFTITLRGVVAESEDIIKTAVDGLGKNGFINYYGLQRFGSGSVPTHLVGAALLRGEWKSARDDINEVRKHYKEHGDIDKALRNFPRHLVAERAILQCLKKCPGNYLQALKGIPRTLRLMYVHSYQSYLWNHAASMRVQKYGISKVVEGDLVYDKECTPGEATSLDDTSEADDGHTNSSEVDLCSVSQPEETIQSVKTVDSEDLLKGTYTFDDVVLPLPGSQALFPGNEVAEIYHDLARKDGISLTENAHGVKELLNYTDDSSSLAETDLDILSRTTPKEANELVSSGVSGHQSEDKLEKPSDASVPTNGSSFTENKPISNLDTVPRKLAIKLAFTLPASSYATMAIRELLKTSTSVVYQKTLNC >Et_2A_017589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3934256:3945301:-1 gene:Et_2A_017589 transcript:Et_2A_017589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VDLINNKKVSVIIGPQSTLQAEFVTYLANKINVPVISFSATGDAITQYHVPYFLRACVKDSFQAASFSAFVKAYGWKNVAIVYEDNIYGVGILPSIIDALQDVDTHVSYRSAIPASSPNYLIDKELYKLMTMQTRVFIVHMLPAHASRLFARALAAGMMTEGYVWIITDNIGIVLDVLPQHTIETMQGVVGFRPYVEKSARIVDFMARFATRFRAKYNQYPDARMIKPTVFQYWAYDVAWAVAAATENLKTVRLSNLGFHTPGNAGKNLVDGLVASPAGPILLSSISEANFNGLTGRFRLVDRHLQVPIYEVVNVIGEKARGIGFWSSDCGLMKHMSLGTSQGQVKSCKSSGEVLKPVIWPGDSITVPKGWDFPVNANVLRIGVPVRHEFKFFVDVTGYSIDIFTTAMEKLQPPRQYKFFSFDGTYDEIVRNVSTGVYDAAVGDVTITAERVMDADFTMPYTQSGLSLLVLSVNDSKPIQWIFLEPLTKELWFATVGGFFFTGFVVWIIERPRNPEYQGSSVRQFSNASYFAFSTLTFSHDQIIRSPLSKFVVVIWCFVVLVIVQSYTANLSSMLTAKRLQPSVTNLNQLMLNGDYIGYKDGTFVHSFLRKEGFPDERLRAYINQTEYAEALRKGSRNGGVSAVVDEIPYLTYFLLDPQRKKEFKMVNYMYRTPGLGCVSTLMLLIRITSLVYARYTRLGGSHLQNSDTDNGRAHLEESSGLPDDMGNGSVSDQHHNEDRSEHGLGAHWRSTSVGPEEPGQNHNSSVPADSVQIEMRGTSTEEDTERAEAIGLSRRNASAVQRVRGKLTIAVPQKGVFENFLKFAIDIFETAMSNLEHPSEYELCAFDGSYDELVDNVTLGVSLISTHLHVNLSQCLTCIFQSSSHFPPYVFSLWNKQVFDAAVGSGVSLLVLANKDSKPAIQWIFLKPLTTELWLTTVGFFFFTGFVVWMIERPRNPVYQGSSLAQVSTALYFAFSTLTFSHGQIIRSRLSKIILVIWCFSVLVLVQSYTANLSSMLTAKKLRPQVTDLRQLVRNGDYIGYQKGAFLSSVLQKEGVRRDRLKPFNDQAEYAEALRNGSVSAIADEIPYLYYFLSDSHQQNKDFEMVGRLYKSPGFGFVSVFLLYYRDKRMLTLFLTGVS >Et_2B_022791.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5227088:5228404:1 gene:Et_2B_022791 transcript:Et_2B_022791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKHAHGHGGGGGGGGGPLRARAPELRRYSGLVGRHSVALKSSTLGLGTLSLDRAAAAAAAAKAAGVSAVSFAAGGGVDGEEAMMKAGHDGGAKSSEPSRKSFSGWRPAKPPAVAPAKRRGRAAAPRTPNKTPARAPEEINVWELMEGLDDDGDDQEDESEPEQVDGHGFERKARSAPGSPPVFDPEILDAFRKALDELSPASPPPPPGFFVTKRTSSAADVKKGETTVQSMMFPAAGIVQARVSVFQEKIDAKQRKKKPPPSSPPPRPPPESARRVVVYLTSLRGIRQTYEDCWSTAAVLRGYGVRVDERDLSLHAGYKDELRAALGDGACQAGGLPQVFADGRHLGGAEEVRRMHESGELAKALEACEAAPAAAGAKGGGGCSGCGGVRFVPCDTCSGSCKVFVEDEDGAGAGAFRRCPDCNENGLVRCPVC >Et_1B_010062.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:21506154:21507023:1 gene:Et_1B_010062 transcript:Et_1B_010062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCNAPRPHGVPIYNQTPSAHHSHRRRQSDVFVRPVRAANLAVELATIRSLLPQHPYITVHFDYPGCDGGSLTSLLPPGVREEDLSPAQRYALAKIDVDAHPVLQLGVTVSGSGGRLPVLPGPWGVPAETAWQVRFHDDDAGQGVGLRAFAAALFAYGVVAAENMGRVTWVAFGGLYHFGFLLKILTFGRPLPETKEEFSATLAAYLGRTVFDARFIAAKLPICSDTCSDLNAMAELLDAPVVEAAGELWQAGEKSLAACQVFVRTKGLFFAWHGVGMHAGRIHGLHG >Et_7A_051005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13489898:13493723:-1 gene:Et_7A_051005 transcript:Et_7A_051005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWNLNSETNKDPCLKIANDQSSTIEIMGPCSSVKDRIGYSMIADAEEKGLITSGKSVLIEPTGGNTGIGLAFMAAAKGYKLIVAMPASVSTERRAVLRAFGAEVVLTDPRLTMDGVVRKAEEIAARTPNSYVLQQFANPANPRVHYETTGPEIWASTAGKVDILVAGIGTGGTITGAGRYLKERNPAIKVYGVEQSESAVLSGGKPGPHKIQGLGAGFVPSVLDVSILDEVFQVTNEEAADIAKQIALREGLLVGISSGAAAVAAIRVARRAENKGKLIVVGISLGAAAVAAIRVVRRTENKGKLIVVIFASHGERYLSSFMYESLKKDAENIALKP >Et_10B_003513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2372233:2376616:1 gene:Et_10B_003513 transcript:Et_10B_003513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKKGSCAELSLQLRPPEPPRDPLEFLSRSWSASAADVSRALAAAPAPALGAGTVIAEDVAAELDGDGGSGGGAAPASGSSFSFASAATSQLVMDRIMAPSQEVSPLTSGRLSQSSGPLNGGGSLSDSPPVSPEMDDSKFCRAASTPKPQQPVYHRGGSKTVGRWLKDRRERKKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAAASGKDGRADAAVASAATLVAAQCVEAAEALGAERDHLAAAVGSAVNVRTPGDVVTVTAAAATALRCSATLKARALKEVWNVAAVIPVEKGAMGGAGGGHQRHGDRYNGGGSHKQLLQLEHRELESSHSSSSFGDELVPAFEENAFLGNCTLELLARGTQLLKRTRKGALHWKVASVYINRNGLVMLKMKSKHVGGTITKKKKSVVVDVCRDVAAWPGRHLLDGGEHRRYFGLRTAEHRVIEFECAGQTEYEMWTKGVARLLSIVDSRKRLA >Et_9B_065461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5295118:5295586:-1 gene:Et_9B_065461 transcript:Et_9B_065461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISKILGVFCLLILVVWSPSFHHARAYQILDDTKYHLMHQCILYMEKDVGDVTPGYNSLCCKRVRRANVCNICDQFTPAEKSRIRLPRWVHITRECGNPLPVGFNCAGYVVPPLESPSSPSPLPPRF >Et_9A_063027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8508045:8508411:-1 gene:Et_9A_063027 transcript:Et_9A_063027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLVNLRTSHHLKREHDSVNAQGVAKGPQDRTNNFTVDEDLLLVLAWINELINHVAHIRKEYMTISMPTRCLIPIAPKALRRTVGLVYNMMSMSLAGCFSQIEARNQSGCSLEQ >Et_4A_033568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24503482:24504912:1 gene:Et_4A_033568 transcript:Et_4A_033568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHVLKTIQPGGSNESAEDKFGPSLLHVRREQATLYDPKQQSFRCCRGVERYSCEPVDVFDHEEESTARIARPLDTIPESRLDCLELALGVLHVKVLDSDVGFPISVFGTILVRDDLDFKCIYLFKRDRDNCQVIKSPGEMLILTGPNRGPFDADVFYFEINLKIKGDEETMDRIFSRTLLCEDYPLEQWTKEIPISSWLSTLEFAYRSVYNAVEATVGVKIIRGPREFYGSLTACSSEESTEMVLYDSERWGATAANEDGWVILPRCLVVLQEDEDLILRVCVFGRGLKIKPKTFVRTVKHSDKSFNIKRGRCHLLVTVSWSGILR >Et_7B_055376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9752952:9754638:-1 gene:Et_7B_055376 transcript:Et_7B_055376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQACCLELQSSVMVFSKNMEKKSEPKITGYRKGVNWSWTMVTFKPDLAKFNMAHLEEDDVTLMRKRVFDMAGFLGATTQVVFNGQEIYVSGGFSNYGYQYIKPGSKHCFPHHPWVYEKVNDKWEVAASLSGGQFQQVSFVNKVATISGGTHVDYAPNLIVAHTVSFMKNKLEMANIEENDVKRHLMVFINVLMENLTFSSPNKEALTTPQEDFGSELKFSEPFLMR >Et_3B_027818.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20782327:20782488:1 gene:Et_3B_027818 transcript:Et_3B_027818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KGAPFSPTTNSAELDFSSRAIHSATKTNPSPWFLVGEWMIRQLMKPCSHFRVD >Et_9A_061488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12659598:12664764:1 gene:Et_9A_061488 transcript:Et_9A_061488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSEPAAGDGVGDGDMENLPLASDYASVASTFDPLLSASAAAASPPSPPAHPFTLTSTMSSSSSFVDPPSYADVAGAGADAFSPRSLSASSSSFSSPRSARAATSEYSHIAVSDPETEAEPAATSLVPGSAPTFISYLVTSVRRGDGQRRHAVRRRFRDFVTLADRLAEAFRGHFVPPRPDKNTVESQVMQRDEFVSQRRAALERYLRRLAEHPAIGPSDELRVFLQAEGKMPLPSSTDVASRMLDGAARLPRQLLGEEAVAAPQDVVQPAKGGRDLLRIFKELKQSVVSDWAGVKPPLVEEDREFLEKKEKLQEWEQQLTSASQQAEALVKAQQDMGDTMGALGLAFIKLTKFETEEAMYDSQRIRAADSKCIATAAVKASRACRDLNAQTVKYLDTLHEHLSIMLSVHTAFTDRASALLTVQTLMSDLASSQLRIEKLEAAASKIFGGDRARLRKVEELKETIRATEDAKFCALREYERIKENNRSELHRLDRERKEDFLEMLKGFVTSQAAYAEKIAEGWETVTEETSRYARSSDTVAS >Et_7B_055936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7678005:7680881:1 gene:Et_7B_055936 transcript:Et_7B_055936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSWRMVLCSSVVKGPRLMSGRSRQLLPHRFNPARKTTSLQPKLHDRNKQAKHARDIVSTVAVIVMQHAVCSFAEGAFAASKEVTCGRAVLFNSCSSARAATSPHFWPWTQQGTYHALALHCLCMYNHKRLIVLLVRKQAWTVPPPLSPPLPGVGLHPSEFIKLSNPRKNLEMKY >Et_4B_038544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29710018:29712840:-1 gene:Et_4B_038544 transcript:Et_4B_038544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNVMALGDHVLKATARKRKHETATEHTKANDPVVSQHDAKKHLVAFEYGAQFNKKTKMASCGNGGILESYRNFKTSGLPVRVLLYQHGDWSDFPEDIVNLAQRDFQLKRSITTAVFQNKHILLDFVHMVCLDYEMTMNNPIAWVDDNGKSFFPDLSAGLYTSQHDKGEADELAEMSTSVAESSSSASVDEVVSHDKTINHTMEEKSKAHNNPDEAIGENKSHPSMFLNSSGIIQGNTNKQNSGPHVDSTVHKLLLKGLGKTFSGKDIIGIYRTPLLDQQEQVRSSLFQKEVEAIKSRRGNANVCYAWLPCSRHSMEEMMMRGALQIAKPQCTAIYSEFQEDGIIRMMLCRVIMGNVEVIYPGSKQFQPTNGCFDSGVDDLQKPNHYIIWDANVHKHIYAEYAVIIKVPPMTKEHLVSKDSASDISETRNSGSPDSVTKGEGFPNLASSALHPQAPMFGRAPRAPSSPWMPFSMLFAALSTKVPRSHMDLVLRYYEEFKFF >Et_8A_056639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14206924:14227237:1 gene:Et_8A_056639 transcript:Et_8A_056639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGARRGIFDGLPIPADKSYLKEGLSRIDEGWAAARFDSLPHVVHILTSKDREGEIQFLKEQSDLIEDVVDEVVHAYHHGFNKAIQNYSQILRLFSESAESITGLKGEMTEAKKLLGRKNKHLGQLWYRSLTLRHVLSLLDQVEDVAKVPARIENLMSEKQLYAAVQLHVQSMLMLEREGLQAVGALQDVRSDLTKLRGVLFYKILEELHGHLYNNGEYSTVTISMVDSEEIPTSTATGRLVNSMQPLSRRTRSIKGDNHIGGHATADGFPKTYSVDGGSSLDVPDDDTSSDMRESDGRSRKDSKSISREIPAFLSCATPDEFLESMIKTDTSLNVKYLRTQRVRPTIHDVITSKIKAYNEEGSKATVDKAGKITSSVSHSDGSIPRYQLQQQKAKNGASLLASQLVVSPISPAMAPTGDSQRAASQLLGSIFECLVDILENHIIVGELLEQKASSEVDNVNTPHIANGDASWNPDSEYSQATGGFSVAFSLSVVQSECQQLLCEILRATPEAATADAAVQTARLANKDPRWIGRPFLRIPHYRCSDRSQGWRRNSSVPQEGYGTASVIPDQGIFLAASVYRPVFEFMNKIGLMLPQKYSQLGNDGLLAFVNNFLKEHFLPAIFVDYRKCVQQAISSPAAFRPRVHATSVYSSSVDHGRPVLQGLLAVDIIAKEVVLGWVQLMPNYATDLVEYVRTFLERTHERCRASYMEAVLEKQSYILLSRNDVESLMRLEPANSSLQNSSSELDNSVTDAEAVGVEIELSDLLLDMCPIKQENLIHDDQKLILLASLSDSLEYLADSVERLGDSFIGTPTSSESKKHIQHGRHSRSSSAIPKGLATLANEYRRLAIDCVRVLRLEMQLETVYHMQEMTKREYVEDQDAEDPDDFIISLTTQISRRDEEMAPYIPESKRNYVFGGIASVAANASIKALAQMKSINLLGVQQICRNSIALEQALAAIPSIDSEAVQQRLDRVRTFYELLNLPFDALLGYITEHGYVFSAKEYLSVLKK >Et_2A_016447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24875728:24884035:-1 gene:Et_2A_016447 transcript:Et_2A_016447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGLYPEIGKKARDLLYRDYQTDHKFTLTTYTSNNVAITATSTKKADLIIGEIQSQIKNKNITVDVKANSSSNVITTVTVDEIATPGLKTILSFAVPDQRSGKFELQYLHDYAGVNASIGLTANPVVNLSSVFGTRAFAVGADVSLDTATGNFTKYNAGLSFTNEDLIASLNLNNKGDSLTAAYYHTVNPFSSTAVGAELTHSFSSNENSLTFGTQHALDPLTLVKARLNNSGKASALIQHQWRPKSLVTISAEVDTKTIEKSSKVGRWARPSCSLSMNGCAAGAGDRGAVCVREARALPAASAPWDAVGQLRAAVDALGAGAPPDAPSGIIRIEVPIRQRVDAIEWLHAQSALPRCFFSARAPLPESPALDVGSSNGNGNGVLNDCCQQPVSVAGVGSAVFFRGTEPFSLADWRAIKRFLSRDCPLIRAYGAIRFDATCDASVEWEEYGSFYFIVPQVEFSEFEDTSVLATTIAWDDSLSWTWQNAVNGVRSTLQKISPSSVKVNKSSLETTIVNLNHVPTKASWDFAVTKALQMIKGRNSELVKVEGQNAYQFCIQPPDAPAFVGNSPEQLFHRKYLNISSEALAGTRARGKTRADDFQIGQDLLLSSKEDIEFTIMICDEVVVHPSKALRKLPRVQHLSAQLAARIRNEDDEFEILNTLHPSPAVCGLPTEEARQFIREYEIFDRGMYAGPVGWFGGAESEFAVGIRSALFGKGHSTLVYAGAGIVEGTNPSFEWEELDLKASQFEKLLRYQEQHICFQEAENMGTSQHSVIYAGAGMVEGTNPSTESVELDLKASQ >Et_7B_055402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:48847:50742:-1 gene:Et_7B_055402 transcript:Et_7B_055402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGKAKQESNNPMMKKAMGCEIQARGINYHVAVSKRAHHPLKVWSRADELEQQEEQHGLRHVLRDVSCRARPGELLAIVGPSGAGKSTLLEILAGRLSPSPAADDLLLLDGAAAQSAELRRVSGYVTQHDVLFPLLTVRETLLFSARLRRGQEEVDVVVDALLDELTLRRVAGTRVKDLSGGERRRVSIGVEAVHDPPVLILDEPTSGLDSASALQIVGALRAMAETRGRTVVLSIHQPGARIVKMFDSVLLLAGGGVLHHGTVEDLRALLAAAGLQLPPHVDTRFFKNVARTRQLFACRTVCMLLAGLALGSIFYDLGDDKVAERVGLFAFLLTFLLSSTTEALPIFLQERDILAKETSSGAYRVSSYAVANALVFLPFQLALAVVFAAPVYWLAGLRRTAPAFAYFVLVILLILYTANSVVVCFAAAAPDFVVGNAAIQGVMGSFFLFSGYFISRSAMPGCWLFMHYLSLFKWPFEALLVNEFAGGGRCVLRVMGECVATGDQVLRREGLGEECRWRNVAVMVAFMAAYRLLGYAVLRVRCALALSSPPGLIATRFKTKLLH >Et_2B_022650.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29227541:29229571:1 gene:Et_2B_022650 transcript:Et_2B_022650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAQDDDDERGMASLLAARRALRAGVEQSRALSRALDREGTRLEEIQGRLPAMEASVRPIRAPRKELHAAGPNIDRAVGPAAAVLKVFDAVHGLEPPLLARAAVSEDLPGYLAVLSQLEEARRFLADNLGIAAQWLTDIVKYLGERKLADPGFVTDLAEALGQLKNPAADLDGGLLSASLDMLELEFRRLLAEHSAPLAMRPPDSSNPASIAPPRIPAGVVYRLSLILDRLAANGRLDICSTAYADARGETVSASLRALGLDYLQETTEDAQALSPSVDLWGRHLEFAVHHLLEAERKLSVAVYEKRPEAASSCFAEIAARAGILDFLKFGRAVADARKDPIKLLLLLDVFDSLNKLRPDFNRLFGGKACVEIQSRTRELVKRVVDGAVDIFEELIVQVELQRKMPPPVDGGVPRLVSFVANYCNQLLGDRYRSVLTQVITIHRSWRKEPFNDKMLVDAVLNIVKTLEANFDTWSKAYGDVTLSYLFMMNTHWHFFKHLRGTRLGELLGDGWLREHEQYKDYYSAVFLRESWGTLAPLLSREGLILFSKGRATARDLVKQRLKSFNASFDEMYQKQSTWVISDRDLQQKTCHLVVQAIVPVYRSFMQNYGPLVEQDISASKYVKYNTEELDKMLNTLFLPKPGKPRRAGSFQIRPSDGKITSAMTGLYRSASTLK >Et_8A_057022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20220177:20220759:-1 gene:Et_8A_057022 transcript:Et_8A_057022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHLGRRSRGRPPPRGDQASGRKSSPYRGDNADWLTAASTGRAASRRRRTPPRRQRVHELAPTTKRHRKPTSPPVGAVKTCLILVAKLLADHLLLLAGDRAAGVRRVGAGVRGGVLGGARSAGRHVHRGDLSAPAVRAGLEPGNPVAAAYGLVRLLQNRPGTSLFRSDFFWPSSKSE >Et_1A_008281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:630091:633867:1 gene:Et_1A_008281 transcript:Et_1A_008281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAPPTPPPKLLYIAVADGGGRRAFRYTRSVLQSTLQLMGCKPRHAFKVHPFFLLSFTCASLSLLSIPTMGGISKRVFNVMRSEVLSASKSDRVTKEENCSTLAADTDPETLDASDSSVPFELYKNQTSVVVSREEFLSVVCDALSSYKYVGPNQKADLLLACRIKERKESVTILLCGTSGRGKSTLSSLLGSRLGITTVVSTDSIRHMMRGFADEKQNPLLYASTYHAGECLDPIAVAKAKAKRKANKLTISKDDPSDEKSHQGSSELPPRTELIGSRQMAIEGYKAQSEMVIDSLDRLITSWEEQKESVIVEGVHLSLNFVRAANTLGSKGMFQLIQRKGSSRNLMALLNTDGSVTKAWHVGTSDGNGNLSHTTSTEKAEAVNLQFGSFGISAWMSDTGGTSHAGSVEDLRADSVETGGKFFSSCCSSPKMSDSTSKELMEEYSVYGSEEEEEADDTHDAETDEDLTDEERDIDEIDAGSVDELSTKSDEEYDDLAMRDVMENGDWSDDEQMVSSTKDPLALEGTPGTGTAEGGAMGSRYHHSLDLFLKMSKEVAAT >Et_1A_005109.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27660705:27661337:1 gene:Et_1A_005109 transcript:Et_1A_005109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATANSGRAQRVAVLRCLIAALVVTILLAGLVVLIIWLVVRPKPIDYTVTRASVRHFNVTRTAAPGGGGGATVNATFYLTLAADNPNRRVSMRYDLVEFRVLYGESAQLAVADAPAFVQPRRNETRLDVRAVARSVPVSEQTARELEHDMRAGEVAVDVRMRARVRFKVGGARSRHYSLQAFCSPVVVGLSPSAARSFRSVPCDVAIS >Et_5A_042505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16318914:16321126:1 gene:Et_5A_042505 transcript:Et_5A_042505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLLVVSSMPVAELLLIGVAGAYLATARCNVLTATARSDINRVVYAVFTPALMLASLATTVTLQDVISCLSIHVSWYYRWFMPVNIGLAFLIGGALGWLAVLILKPPQHLRGLVVASCSAANFGNLLLVVIPAVCEQDGNPFSMAKTICSNRGLSYASFSMAIGGVYIWTYTHSVMKRSGEIQRKLRQESIGALTCEVDPNNSVASEDNKESSKDDDEDEISPSAKSVKEKAEHQILVPLLEGSTNSHQSGGTNSLWEKLKQRAHKIIEELTAPPTMGAVIGLFIGVVPWLRSVFVGSTAPLRPVHDSVKLLGDGTIPCLMLLLGGNLTKGLRKSKVPPAVITAIIFLRYVILPLIGVGVVRAARDLGFLPPDPLYQYILMLHFAVPPAMSIGTMAQLYDVGQEECSVILLWTYLIAALALTVWSTVFMWILQVA >Et_9B_065000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20290377:20292204:-1 gene:Et_9B_065000 transcript:Et_9B_065000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SKKFRKQATANNNKGTYSISCFSTPFSRCFRYPNPSLQASSLGSLPLLLFFSRSFLLFVLLLFLQLSSSFSMRPKHMPSFTQNLRSGMSDHFAVMAGRLLTESTFQSTIDEASSVPSTMTACDEAVPDHRPRSGVLVECRICQDEEDEAYMETPCSCNGSLKYSHRRCIQRWCDEKGDTICEICLQQFTPNYTTTSKLFQHGRNSVFFSTHGYIQERSMPTADQTSTSYEYDGQTSTPTSVICCRIIAITLLMLRTAGIVIPVYIILVTITELFRRRQRQAVRDQVSEPVGVENTQPRPQPTQQLVISIQ >Et_2B_020061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16527238:16528381:1 gene:Et_2B_020061 transcript:Et_2B_020061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSECDFFQWIDGPEKYDHRIFLVPWTVKKAPYEKFNRWLPPPPNPPRMTKEECVAKTRERVDNPPLCHCGYRSELESPPPGLKYTPFFRCPIALSGNKRGCDFLDFIHRPRSHYPDPDSLPDDVLYGEKLPCWYPPPLLCQCEVPARQRAVPSELGYGHYCRNTVGKDDEWDTRRCDWEMFEEKDEFLMKSKKRGPEYFKKALATRKSNIRHKYLTGPEADVVILYWRRNRDKYPLKSSWELLPPPYGLQFNSAKECMDWAMAKMKQLCDPVYVAEKRKKEEEERKKHAQKELEARQKDPNC >Et_4B_037523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20875442:20878392:1 gene:Et_4B_037523 transcript:Et_4B_037523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPAVRTFSSSVSAKPLRLPSGLHTRRARALGAPLPPRAVASSRAPLVVSSPPPPAASAPAPLAHAKVDRSGRFCSPRAARELALMISYAACLEGTDVVRLFDRRIRERREPGFIFDKACLLSYNHMSFGGGPLKVGTEEEAEKLTSQNEEDSANEAEVLSAPPKLVYNNFVLRLSRDLLVAVASGWDKHVDIINKIIPQNWKDEPVARILELCILHIAMAEMTSKGTPHKVVINEAVDLAKRFCDGGAPRIINGCLRTFLKDHVNVAGSSQPAES >Et_1B_010558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11626946:11629314:1 gene:Et_1B_010558 transcript:Et_1B_010558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGKDQDATQDPVHGPLEESNDATAAAAEAVPPPPSSPAEDLHEQPGEGGGSPSLDAEAEAEAAAAAAADRKGKKVAAPSPSPPSSPSRYAGSISRPTAPVDSISAGGDSRFALAVESRREGTVSWKEPVVGEIVEVPKVVRPIDLKNVFDNLLQDQKAIKDPERRLAAAEEQEKGQVKPPILWRRKKNSLWDKVKCALMNMILQRIGRQKCHSDLNSTWPHVDELWFKAFS >Et_1B_013423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8252444:8256573:-1 gene:Et_1B_013423 transcript:Et_1B_013423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEASCNSGVTMMEADGNVAKQQQQQQQQAKENGRAAVAVAADPRLQGISDAIRVVPHFPKPGIMFNDITTLLLRPAVFKDAVDIFLERYRGMAIDAVAGIEARGFIFGPAIALALGAKFIPLRKPRKLPGEKISEEYVLEYGTDCLEMHVGAIEPGERVMIIDDLVATGGTLSAAIRLLERAGADVVECACLIGLPKFKNFYKLNGKPVYVLVESRESDTKN >Et_2B_018885.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:13389063:13391794:1 gene:Et_2B_018885 transcript:Et_2B_018885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SFNEVIQRSPSLPPPVTLPLSPPPPPPPSLPRSASVSIHLHDRMRCAAAARSLLSLQLPAGGPIHTFTTSVSPSLAAELDAADALHALLSTLPPSLPALLPCLSLLSRRLTPHSVADALLCAALPAASRLRLFLFSALSPRLRSPLLHSRAVVPILLATDADAAMYDAIDDARAAGLQPPSAAFEALVFAHASAGRHEQAVEAFSRMDEFGCRPTAFVYNAVLKVLVDSGSIPLALALYNRMPAAGCPPNRVTYNVLMDGLCKRGMAGDAVKLFDEMLERGIVPNVKTHTILLSSLCNAGKLSDAEKLLQSMNEKGCSPDEVTHNAFLSGLCKAGRVDEAFERLELLCGGGFTLGLKGYSCLIDGLFQAGRYDEGFDCYKEMLEQTDAKPDVVLYTIMIRGCAEAGKIKDAFSFLDEMKEKDFVPDTFCYNTLLKGLCDVGDLEGAHSLKSEMLQNNLVLDATTHTIMICGLCKKGLLDEAMQVFHEMEKVGCNPTVMTYNTLIHGLYRMHRLEEARMLFYKMEMGNNPSLFLRLTLGANQVRDSESLQKLVDKMCQSGQVLKAYKLLRGIIDSGVVPDVVTYNTLINGLCKARNLDGALRLFKELQLKGLSPDQITYGTLIDRLMRAHRESDAMMLFQNILQSEGTPNLSIYNSMMRSLCRMKKLPQAINLWLDHLPKKYNLSPENEAIATARKKIRDGSLDEALRELIKLDQEYGSLNSSPYTIWLIGLCQARRIGEALSMFHTLQELGIDVTPASCALLTKYLCWEKNLNAAVDVMLYTLSKRFIMSQPVGNRLLRSLCIHHRRKDAQALAWRMHLVGYDMDAYLREPTKDLLYSQ >Et_4A_033925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28085673:28086028:1 gene:Et_4A_033925 transcript:Et_4A_033925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILFPETEQDDNCLVSSLVREKGNKKTTANSTGNTHEKEKKITGTTRQILKHAPASDGVLFSTQWWSFFSNRPSGPALGVYGLSDIEKNEIIITDDLM >Et_9B_063685.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19173123:19175137:-1 gene:Et_9B_063685 transcript:Et_9B_063685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGDEGGQMTYAQVEQHFNSLEGPSAQERIDCIVPLLLSLLPAPFVPAPEVESDDDDSISLTSSDSEAADAGEGHAALAEAPPGDGEDRISRLPDLLLTDIIHRLPTKDAGRTAALSTHWRRVWAATPLLVDDAHLGDGGPKDIPIVRAVSRAVAAHPGPIGGVRITRVSFFAHEYALRRLVATLADKDVKDLILFNRPWPLDMPLPDDIFRCASLDRLYLGVWHFPKTTAACSPAFPDLRELGLFHCIVPDREFNAMLAHCPKLEVLSIVMSYNAPSRLCLASRSLQVAVDWMSMFEEVVVQDAPCLKRLLFDFQTVVNRRPIRIVNAPKLEVLGFLDLNMHDLEIGGTVIRAGVNVSARAMVPSLKILAVMVQFGCDREAKMLPTLLKCFPHLETLHIMSVPSESPDNIHDMRFWETRGACECLEFWGTRGACECLESHLKTVVLHGSISQMHEIGFLRYILREGKALKIVGLVCRDEKARNFRVSGGTNSQAFFRMVTPRWSFQTAIDLSLDDPFYLVPAEA >Et_7A_051184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15181526:15194855:-1 gene:Et_7A_051184 transcript:Et_7A_051184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGFVMAEAGRVHDYSGGVTVPVVITRLMAASCGLIFGYDIGVSGGVTQMESFLKKFFPEFFPEVLSGKRNAKIDAYCKYDNQWLTAFTSSLYIAGTLSSLVASRVTRRVGRQAIMLIGGMLFLAGSVINAAAVNIAMLIIGRMLLGFGLGFTLQAAPVYLSETAPAKWRGAFTSAYNTFVVIGILSATVANYFTNRIEWGWRVSLGLAVVPGAIVILGAFFVSDTPVSLVMRGHPDRARAALQRNRGPGADVDAEFEDIVRAVDVARQNDEGAFRRLFSKEYKQCLVIGVAIPVFYEFTGMLVIAVFSPVLFRTVGFSSQKAILGSVINSATNLASTLLASVVMDRVGRRFLFIVGGLGMMLCEVAVSWIMADHLGKHDVVTMPLSYSTAVLVLICLCTFCFGLSWAPLRWVVPSEIYPVEVRSAGQAMSISITLCISFVELQVFIALLCAMKYAVFLFYAAWLLAMTMLVVAFLPETKGVPLEAMRSAWARHWYWRRFVNKDAGNDNSHSELQALKRTKRQKMEEEHLL >Et_6A_048174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8757388:8774229:-1 gene:Et_6A_048174 transcript:Et_6A_048174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAGSGDGGNKGAKLVTVISSDNARFEVDEAAASLSRLMMTTTEGVITVANVDAVTLAKVLEYCNKHACSVPAASSASSNSEELERFDRELLVSVGSATLVSLMKAAHYLGVEGLTDITCQKAANMIAHMTLEEIRLMFGIPNEQDKTVA >Et_8B_060192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:759723:762604:-1 gene:Et_8B_060192 transcript:Et_8B_060192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TIGKNNRTNTVLCSKVQLGKKDGRGKKEGKQAQTPRYLHLQELHTANCWLIPLCACTILPVWWHGINMEKSALSTSSEASLLSKHITYSITAVSQGSVILPSLVNPSSSKTAVRRYSRGISNRFPSEESCTQQIAGYVYCVAAPALFCLFGGKASLWNRGVPSQYLRRLLSSANTSHSPLLLYHKGDLKPLAIRRIDNAVSLACNYAAILVLRCDLSSPDTSHSAISWTVEPASLS >Et_4A_035842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7177753:7182650:1 gene:Et_4A_035842 transcript:Et_4A_035842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQPSPPSPSPSPAAAAVVLAELRYRAVRHAPASAVAAAGGSAAAAALILVAAVVVLLLWRRRRKLAAAGGPGAVQPAAALRRLSYQQLRRATGGFAPGSKLGQGGFGPVFRGALPRSGQPVAVKVMDAAGSLQGEREFHNELSLASHLLGCAAPDQGAILLPFAYSLSVQPRRRRMMLVYELMPNGSLQDALLGKRCPELVAEWPRRLAVARDVAAALHYLHSVVQPPVIHGDVKPSNVLLDGELRARLSDFGLARIRSEEEDELESGPIGGDANGNGNPGGGCDDDVSVAGEGTAAIVVNGEDNAAKSPEDDEAFTTASHAEAASTSGCDKTSVGSGFNSRSCNGGGAAASGTGSDWWWRQDNGGGGGGVKDYVMEWIRSEIKKERPKNDWIAGASTALTTSAERKKPKRRAREWWREEYAEELTKKQKRQALAKSKSDAGAVSGMQWWERDCDLEEKGCSRWRMMKSWSRRSSNGNGNIGWWVDGVRSSRDWLSGEFVPKSGGAVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSFGVLLLVLISGRRPLQMTTSPMSEFEKASLISWARHLAQVGRLLDLVDPALRDVDREQALLCITVALLCIQRSPARRPSSTEVFEMLAGEAEPPPLPLEFSPSPPGGFPFKSRKKGRLQPSSSAE >Et_10A_001515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4474081:4479710:1 gene:Et_10A_001515 transcript:Et_10A_001515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVKATAYEFVVNVIMTMVAGERMAEEKVLEFKEMTEAAFAAAGAANRLDFLPALRLLDFGRTRRRLAGLAEARRRFGQSLVDDYRRRHAAGDTMMTRTVVGDLLREQERAPESHDDVVISTICLSLLQAGTDTSSCTIEWAMALLLNNPSVLKNTTAEIDAVSTSKLLQESDLDSLPYLRCIILEMLRLYPLAPHLVPHEASRDLVVAGHAVAKGTMLLVDVYSMQRDPDTWPADPEKFVPERFMDGGGKKQSGGDDDDMVPGWKMPFGMGRRKCPGEGLALRTVGMALGVMVQCFEWGRVGDDEVDMSEGSGLTMPMAVPLVAMCRPRPEMEPACFSAAHDASLAGRPALLAAERLGYGRSTVVWAPNGDHWRALRRFLAGELFSPVRLAARAADRRPRRRPPPRLPRRREGREAVAEAVRAGAERDAARGGTPARCGGSRRWWRRPSP >Et_2A_017940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8051887:8052793:-1 gene:Et_2A_017940 transcript:Et_2A_017940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYSHHRTRIDQLAMSDDRCQQLVPGRGQPASDEVPVVLLSDDGSSTAEHKEWLKEMRGWLIVLATLAASVTYSAGLNPPGGFWQDDEDHHVAGNPVLRDGEFVKRYLTFYYFNATAFATSLVIIVLLLNERFYASEAKVAALTLTTMVDLMSLVGAYIAGSTRDMPNSIYIIVLTCFLFVCTVYFARYGTSLLLRGSCTYARREILPCIHVPMVLPNLCFIVLFMAPPLFWLANKGWLPVTGHMKQRVKKAKEREQEEEKKQSAEDKAKGQKMRCYCCSCGRAFKYDIEEGTGQ >Et_10B_004185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17946923:17948317:-1 gene:Et_10B_004185 transcript:Et_10B_004185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNRRVGATALQMLICLCLLAAAASGLLDRPDYYSSSEAIVIDLGNTNSCVAGHHPGKPETAFQFCVPSWVAFTGDGTVLVGEAAKNHADDAGPGTAIFGFKRLLGLRRNRIYHEDIVQGAIKRMPYKIGTKDVDMTTVQVTAMDGTIKQFDITEIASMVIAQLKEKAEEHLGRKVEYAIVTIPMHFFDAHKWATRFAGKRAGLEVEVDDMVTEPVAAAAAYGLHRKLREDGNVLVLRVGGGTADASVLTLMDGSFEIFGYQNDNFFGGDDFDQRVVDYFVQLIKTKHGKDISEDPIALGKLRTECERAKKALSNQDRVQVSVESLIDGVDFSEPLLRSEFEQLNDDLFNKVVALVDEAMLDAELEKNMIDEIVLVGGSTMIPKIQKLVKDYFDGRALNIKVKPDEAIALGAAILVHA >Et_1A_007665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3774162:3776318:-1 gene:Et_1A_007665 transcript:Et_1A_007665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIRSDEIEKQDEVMLPGFRFHPTDEELVRFYLKRKIQQKSLPIELIRQLDIYKYDPWDLPKLASTGEKEWYFYCPRDRKYRNSTRPNRVTGAGFWKATGTDRPIYSSDGSKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLNDPSVLQKKPLEKTIPPNDSWAICRIFKKTNSTAQRALSHSWVSPPLSSTNETYIPPSSQAIQRSRHSSENTSSTMTDIVSSTIQFTSSSYLSSIVPSSSNNPLSVIDSSSRPAASIALPPSAAEHQNMSVLSAIPLDLPAGMDIASMVLNAAPISLQNLGRIPTNIEFGQPQHRNSNSNISLVNRCTVDLPDVGSGVNGAPRSINFPFNLQGALPEEWRMTLPWDSLPCTTEVSTTYQPTKCYT >Et_5A_040419.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20884344:20884532:-1 gene:Et_5A_040419 transcript:Et_5A_040419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGRLGELELAAATLGNSWGTVTGIALVVVGVCLAHTEAHVCWIYIPTHLGLEESIRLVQS >Et_4B_037448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:281963:285220:1 gene:Et_4B_037448 transcript:Et_4B_037448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNATVVTRTRSGNASNTFAAMLDDDVKNRACAGETSRIIAHWRRQACEQMVLTTLDLDRRDRESELLALARLHAVSMLDASFLQGGEVCRRRARSPERAFVRRVAREWAASGNGEGAARGRGEEEWMGETERQRVRSVRERVRMATQGYAAEDHRRRSNTSDRADVVRRMAMERRRELQGLSEQRAVSAFAHRGRIQSFLRGRFFRSGRPMNDETPISMADIEIGQTRQTHPVSRLREELRFQTESITTDQTAEISATDNEHDSIVPQLLSNESHHIIENATRGSEILTYQPMEHEAVHIATTVPDSNDFLPSDFDQEQLHQHAEYSDSGYSEQDSEQSGSASSTASSNNMQQEAETYGQQTESIISGSEDGHDRAFMRTDEEWHVIEPEEGEPEWQSGQSFSSNGTANRFSPPDDDVYGVELRELLSRRSVSNLLRSGFRQSLDQLIQSYVQRQEHDPHDWGFEEQRPTSGLHNEDSTEQRIEEQHQAEHDAAPQPSAEFSDQTLLQEQGQWQIELPHPNWSQQTIHRSEFDWDAIHVLRDELTGVQRGMTSMQQMLEACMEMQIELQRSIKQEVSAALNRSSPMRDDEPLEDGAQWKLARKGTCCICCDNQIDSLLYRCGHMCTCSKCASELLHGVGKCPLCRAPIVEVIRAYCIM >Et_8B_060343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9939461:9942178:1 gene:Et_8B_060343 transcript:Et_8B_060343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLPITAEAIAFTEKKMDMTLEDIIKMSKKKNPGGKKPPRQPIKKRPFQNGNSNQGNAKVQRFMESRSSIRQGVLAQRRSNLGGNQFAATKQAAKKAAAMPMRNNRAARWNKPSASTLVQKKPVGDAFQNGKGKEGQNQTPRTMDALFAQMKAQRMRSAPQQPTNPAPGRQFHQQRRVQQQQPRRGRGYGGRNVGNQ >Et_1B_012042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28368365:28372742:-1 gene:Et_1B_012042 transcript:Et_1B_012042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLHIREELYCRQGCGLNAVQLDPQKILEISLIIAFRYAKSKGLCLPATEETSTGPAGGSPLRSGAGEATTSAPTADTQLRREDAGRLDLDRGALGAVAEAGAEAASGGWGTNGYAAAGGLWTRASSAALPTGIAYPNPFRFHRLQKPTVQTRIQPSPPAASQAPAARASQATAMGRPYAVKGRKKKRKLEEAAASHAAPVADEAEELQQPPEEAVEEKGNENMAAAAAGEEEEHAAVEGLPVVPRTVDGKRQPGAIFVLERACLEVGKVGKTMQILNSDDHANYLRKQGRNPADYRPDIIHQALPAIFDSPLTKAGRLQAVYVRTEKGVLFEIKPHVRMPRTFKRFCAQLLQKLSITAVGKREKLLNVIKNPVTRYLPVGARKIGLSYSAEKSVNLFDYVAKSNDDETLVFVVGAMAHGKIDKEYSDDYIQICNYPLSAACCLNRICSALEQKWKIQ >Et_2A_017413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34043737:34044208:-1 gene:Et_2A_017413 transcript:Et_2A_017413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQPTELRKISILKSSRYDGTSGDWLVHVGINWDPELIGRFPRSLFSGGFADRAASVTFGGVVAGPITNPPPMGSGHLPTDDKSAASISNIQFIDKNGHAWPLTENLPKFETNQNSSTVGMHEQPKP >Et_1A_004947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18477533:18478564:1 gene:Et_1A_004947 transcript:Et_1A_004947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLISLDLADNGITGGVPASLGALPALRVLVLRNNSLGGAIPASLGRLRKLERLDLRAARLVSSLPPEMGNMTSLSFLDLSMNNLSGSIPPEIGEAKTLQRLSLFSNNLTGVIPAEIGGMASLQMLHLGSNCLTGPIPSSVGNLTQLVILILNFNNLTGLVPAPEIGNLRALQDLDLNNNRLEGELPAALALLRELNYLSLSTNKFTGPVPYFSSQKLITAAFDTNNFSGRLPESFCQLTSLEILDLSSNQLYGQLPSCLWDLQDLMFMDLSNNDLSGDVLMSANTNFSLESLQS >Et_6B_048522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10179754:10184301:-1 gene:Et_6B_048522 transcript:Et_6B_048522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLINDHLRAGRVLLGLSALCVDLVVTETPRPGVTLQLNRNMDALSTQDLMEAEGQLYNHCFAYVKSMALKCAVDLGIPKIIHLHGGSATLPDLVAASGMHSSRMPYLQRLMNLLTISGVFTKSHSLGSRHTPSESPSEEDMLYKLTPVSHILASADVTSMSPMVNFLVRPLTLNTFFGMQAWFNDEQATETSFFEMTNGCDRWEMTRRNEEDNRLFNDAMVADSGLVMEIFLRESGHSIFSGLSSLVDVGGGHGAVSGAIAKAFPHVKCCVLDLPHVVSQAPDIGTVQFIAGDMFKFIPQTDAVLLKWILHCWGDQDCINILKNCKKAIPAKEAGGKVIIIDTVLGSVKQDITSMETQALLDLFMMYIGGVERHEHEWEKIFLRAGFSDYKIVAVLGIRSIIECATNAGYSITSSKQLFELYLEAAKLLGEISKIKDSKDKEQLRT >Et_4B_038114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26371823:26374418:1 gene:Et_4B_038114 transcript:Et_4B_038114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEREPLAAGGLQRRAAAAARGGAQEAPRGQRAIHPDVEPAPRPRPGMQKLAILAIVVLACLQFLPATHFRDPNDPRRGWIRYDGSRDPTDTLDEVENVDVFSWISCLDLRTLAVLTNSTLSSSSDPPKVSFHYLIPEGGNDKLPYHKLKVVLPDSNLIVTSQKVIKDKLNVATPEGNFLWSFHKELSPLLIGTTQFSKRRYVYTAEDSIIKGKIEDIARLDLGTYAIAAVEDCSKRFGDYVSIDVLNAIQRTAPKSWVSTEPYDKDACLLDFDVLMVEPRRLKKNLVEAIMWWVRAVNVANPRDQIRLALALTFYDEYLKLPSNWKRANAHTAILNYDGPKNVCSEDGRRHEQGGHGEMWQQYLHQKSEAILSA >Et_1B_011182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18454406:18464698:-1 gene:Et_1B_011182 transcript:Et_1B_011182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIISTSSLILLFLTSLLILAVSSSLKHKSRARRPPGPWGLPLVGSIHHLLTSQPHAAFRALAEKHGPVMYLRLGQTDTVVVSSAAAAQEFAPIRDGETMSLVREIRAGAGAGEPVNLTRLLVSCTNSIAGLATFGYRCSSERREEFLSAMAVILSNSLGFCVSDLFPSLWFLDIATGTVSRLRRGSKQLDDALDNIIAEREEWRKEKKTTTVKNGQGEEDWDREDDLLSVMLRIRDDPEFEFPFNTTNIKALMGFEYTPFGSGRRMCPGNNFAIATLDVIVARLLYYFNWDLPAGMRPEDLNMDTIIGATARRKTQLHVVATPYEGNLTTYIMQRIRDEGGLGFPINTTNIKAVKMPMKETSTMEPISTTSLLFLLLTSLLVLVVSSSLKLKSRAKRGARRPPGPWGLPLVGSIHHLLLTSQPQAAFRGLAEKHGPLMYLRLGQTDTVVVSSAAAAQEVLQAKDISFASRPSLLAPDIVCYGGTDMAFSPYGPYWRALRKLAVLELLSARKVQQFAPIRDGETMSLVREIRAAGEPVNLGRMLVSCINSITGLATFGYRCSCEHREQFLSTMAALLRSSVGFCVSDLFPSLWFLDIVTGTVSRLRRLSKKLDNVLDEIIAEREERRKEKTVKKRNGEEQDREDDLLSVMLRIRDEGEFEFSFNNTNIKAIIVDLFPAGTDTTSSTAEWVMSELMRNTGAMAKAQAEVRQAFANTNPCDHESCLDQLHYTRMVIKEALRLHPPLPLLLPRICRETCDVGGFEVAKGTRVIVNSWAIARSRKYWDDPEEFRAERFEKSVIDYSGTQFEYIPFGSGRRMCPGMNFGLVALDLIVARLLYYFNWDLPAGMRPEDLNMDTMIGNALRGYFGNAFRDFVLFVKG >Et_5B_043713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14414800:14417066:-1 gene:Et_5B_043713 transcript:Et_5B_043713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPHLRKLTLQHLTNTKNTLHALLSACPTIESLFLVDNKAFRNVRISSPSLITLGVSIETREPVMEELIIVDAPIMVKLLLFNTDGGPTKLRLIHAPTLMVLGSMSSVKEDVQLGKSVFKIQIITFVFPLIQNMIAISSITYFQAVKIFSISATGFQLSVVLDILKCFPCLEKLYFTSMAKESGDQLNGDPSTKCVLTHLKEIVLRNYRGRTGDVSFARIFISHAKELKSMEFRVMFPYDKKWEADQRRRLPKLKDRASKIARFKFVPGMVRPQVK >Et_6A_045967.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:14198351:14198431:-1 gene:Et_6A_045967 transcript:Et_6A_045967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKRKSKIRSRAIKSHILTKVVPHL >Et_5B_045418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21766037:21770871:-1 gene:Et_5B_045418 transcript:Et_5B_045418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPPQAPTAAADVKDTGLSDFNSSGGLTSPPRLPPPLCTDMTEQILLRIPPSAPATRVQAMFVCRTWRTILIDHAFILRYREFHGTPLMLGIIVNTDEEEEDDDDDDDIPCVTFVPVAVAKFVPASNFRPSVLEHGGCRVLDCRHGCVLLVRENSDQLVVWNPLTGWVRNIRSPQAHWYGDSNAAILYARGCDHRSCSSTPFLVAFAGVDRDRVGMHAWLFSSITREWSTRASLDPDREYYGILEEPAAVVFNGEDSTLLVYCMVDHQLSLIELPLMESNGLEQIRLLSSDDGEVRFVCLHENAFSLWARDAVAAAWVRTKTN >Et_8B_060135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6218592:6224517:-1 gene:Et_8B_060135 transcript:Et_8B_060135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAMKCVLLLSSASIALLLLNFEALEGAALRLASRQQEVSVVAAASNAGLSSIARFRMLIGLNHHRSQHRRRQKRSAAPAPAPTAAPSPAPALVQEKARPPAPAPLPYVSHRRMPLKKHSHVAPLRSVARRLGGGGQPRLPKGAIIALAVIGACLLVLGVIIAAVSFRRSRRFFKGGSKPFKLFFHASGAQRSPGATRKVSSHPSPDLLYLSTVTQGQENYSIFKQSSESKGLSTFTTLSKSRESTISDCTVKTNINLQSDEADSFHSIPCSNSSSGSIIELPLQICTKTVTDPSPSFPPPDSSPSVTFTRFGISILSKESDLHPPTHFHERKTFHCLPEKLDAQETEIKCRETAETTDNSASVTQPEATKVEQLISKNPPSEFNTSSHYTDITHSRINKMFSKSNANVNLDCKISSRSSAEGEFFQTFNAMSAPKAPPPPPPPNKTPPSLKGKNSGQPPLPPPLPLSVQVGKDGLPLPRLKPLHWDKVRAAPNRSMVWNDIQSTSFKFEFDEQMIKSLFANNFHGPARNEDTKSKTLSTSKHVIEHHKLQNTTILLKTLNASTEQRTNPLLWTIPGTGLSVQQLEALVKMKPTKEEEEKLMDYDGGINMLDPAENFVKVLLTIPMAFSRIEAMLYKETFDDEVAHIRMSFSMINGACAELRSSKLFVRLLEAVLKTGNRMNVGTIRGSASAFRLDALLKLADIRGSDGKTTLLHFVVKEMARSQGLKAKPSETSEYCDATTTGRDAYWEMATEFVSELSNELGNVKKVASIDLETLKSSISNLSHGLAQLTRLIEDFSSSDKNQNFLQCMRSFQAYAKNTMQELKVGEAQVLLRVRELTEYYHGEVGKNESNLLHIFVIMRDFFGLLDRVCREIRGSRNHQPLNVVLALRKKAKKPKSILQILQCQHLLIQRIELVGQNRIPNPECRHCQAFHHVLAHRSLHGSEWNNSGTSYWWELCGLRHFTHCRRCGRWWWCSGRCNLWLWLDASSCLNILRRNPAVWPRPSHLGKVHPVLLRQLLSLWRSNTFRPSGHRRGSSHRSLRRGWRRGRRRGSIRRRRRRLLLPSLGKLLRLGDGCGHLLLGLREQRDGSPHGRGLPGGHEDGGHDAVVERLDVHVGLVGLNNDDGIALADAVAL >Et_2A_018627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3668070:3671446:1 gene:Et_2A_018627 transcript:Et_2A_018627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRRLFYRRPPDGLVEISPNILVFDHCFSTDMFEEDELKPYIGGILKQLLGRYSIDSFMVFNFEGDKKDNQIASIFSYYNMSVMGYPRNYEGCPLLAMEMIHHFLRSSESWLSLSPDNFLLIHSEHGGWPVLSFVLAALLVYLQRYDDEKKALEMVCRQAPDGLAELFSPLDPVPSQLRYLKYVSKRHISPELWPPVDSMLNLNCVIIRKVPNFDGQGGCRPILRIYGPDSLASNDKSTKVLFSNVKSSDHVEHYEQEDCEIIKINVQCPVQGDVVMECTSLDEDYQHEVMMFRAMFSTAFVEDNLLVLDRGQIDILWDTEHRFPADFRVEAIFSDIDMSTTIRKSELSNEDKESLSKVDDLFSLLDWSSTRDHITKDESGQKEIRSEDDGFDVIPLEETESSKITAEHNLLDSRAVQVHHMEPADDHYSEPKFEGDKDYVASVHTLPEPEVPGPNFQDSQLLDDTSTAEELEVGNTEDEPNSEMQSSNFRDAEAEDAASAEWSDNNSDVFLSDGASSSAPSSPPKFDDDILQAGIVETRSQPTELQV >Et_9A_061673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15181449:15183536:-1 gene:Et_9A_061673 transcript:Et_9A_061673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNSSAAASRPIKLASDGLWQGENPLDFALPLLAVQIAVILVVTQGLALVLRPLRQPKVVAEILGGILLGPSALGRWSAFHLTLFPAWSAAALDTVSGLGLLLFLFLVGLELDFRAVRRVGPRSVAVAAAGIVVPLLAAPGLVPLLNHAVPASRHASFLSLCVFLGAALSVTALPVLACILKELGLLGVPFGDTAMAAAAVNDVFAWVLLALALAVSGGGHEREPKDTPFLVPVYILASGAAFVAFMLCVLRPFMARLARRAGPDRVAGLACCSGVVCCAALLAGVVTDAIGVHTVFGAFVFGVAVLREGSVAERTGEKVAPLVTGLMLPIYFATSGLHTDVDKVRGAAAWGMVALVVAVAFLGKFGGTFAAAALTGVAGREAAALGVAMSAKGLVELIVLNIGKERKVLDDTTFAIFVIMALTTTVLATPLMTALYRPTATAADTDDVSRELKGANDGCPA >Et_7A_052963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3567178:3574062:1 gene:Et_7A_052963 transcript:Et_7A_052963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRPPPPRLLLNNVSCMRNAQTVLRDINFSVHDGTALVLTGANGSGKTTLLRMLAGFSRPSAGELLWNGHDITSPGVFQQYKLQLNWMSLKDAVKEKLTVLENVQWFELLEGKDGARSAPAIELMGLGRLMNEKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDAEGTKLLEYIIAEHRKKGGMVIVATHLPIEIEDAMSLRLPQRFPRRKTLVFLIRDAYQPEDLIWSNSVTFIHTQDTWKILVQGRNI >Et_1B_013333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7450132:7452013:-1 gene:Et_1B_013333 transcript:Et_1B_013333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEKSESAAKQPPEAGAGDSVDPDELVSSDDEIDYSVEPEFYDPDLDDTDERWATRQRKGCTSDAVLSCPACFTNLCLDCQRHEKYVNQYRAMFVRNCKVKTDQILREDKGKRKNRKGRAADSSTTSTEGENKGQAYHPVCCEVCSTEVGVYDEDEVYHFFNVIPSNS >Et_1B_012522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32789273:32797392:1 gene:Et_1B_012522 transcript:Et_1B_012522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSLMLVRVVAVACVLAVGAVAQLSPTYYDGSCPSLQSIVRSGMASAVQREPRMGASILRLFFHDCFVNGCDASVLLDDSPTLTGEKNAAPNANSLRGYEVIDAIKSQVEAACPGTVSCADILALAARDGVNLLSGPTWAVPLGRRDARTTTQAAANNNLPSPSSNAATLVSAFASKGLDSRDLVALSGAHTIGSARCASFRSRVYNDTNINAGPSFAAQRQQACPASGSGDASLAPLDALTPDEFDNGYYRNLVAGAGLLHSDQELFNNGPLDAVDALARKYAANGAAFASDFAKAMVRMGNLAPAAGTQLEVRLNCRRPN >Et_1B_012621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33851960:33854104:-1 gene:Et_1B_012621 transcript:Et_1B_012621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAGKPRVVVVGGGVAGSLLAKTMQGHADVVLLDPKDYLEIPWAELRSMVEPSFAERSLIYHRDYLTDATIVTSSAVNITESAVLTADGQSLAYDYLVVATGHAVNSPGTRADRIKEFQRDKGKIESSESVLIIGGGPTGVELAGEIVVDHPEKKVTLVHRGPRLLEFIGDKASKKCLDWLTSKKVDVLLQQSVDLGSLSDSEKSYKTSGGETVMADSHFVCIGKPLSSSWLHGTILKESLDNKGRVMVEKDLRVKGYNNVFAIGDITDIPEIKQGYLAQKHALLVAKNLKLVIKGSPVSKLATYSTGYPVALISLGRNEGIAQLPFLTVSGCLPGRIKSRDLFVGKTRKEMGLNA >Et_2B_021315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28515521:28524470:-1 gene:Et_2B_021315 transcript:Et_2B_021315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGNAGGEDEHRRTGKEEAEAEAAAAGERGDGVAVAEAGGEVAAQGNATAKEGSLADMIDRALEKEFPESEGEQGGGETDPGSFNNTVAEKQGVLETVARRVTKKNETKDNKSFPFKEVFLDRSEQEDVPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACLGQPVITGYLLAGSIIGPGGFSFVNEMVQVETVAQFGVIFLLFALGLEFSTAKLRVVRAVAVLGGLLQIMLFMFLCGILATLCGGKTKEGVFVGVLLSMSSTAVVLKFLMEKNSINALHGQVTVGTLILQDCAVGLLFALLPILSGASGLLHGVASMTKSLVVLITFLAILSILSRTGVPWFLKLMISLSSQCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLINVHFLWNHVDILLAAVILVITVKTFIVAIVVKGFGYSNKTSLLVGMSLAQIGEFAFVLLSRASSIHLIEGKLYLLLLGTTALSLVTTPLLFKMIPAVVHLGVLLRWFSVDSNQVELGLKNDVLRIDSGKRINLIPEQDRAGDFLAAPTMHRTVWE >Et_2B_022337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18380685:18384404:-1 gene:Et_2B_022337 transcript:Et_2B_022337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPANPGPLLSSRPNPSLPFSCRPRRSHPPPAPAANTTSAVPSPEWFRPRRQTDTDTSTSGGRVTARDPGVRVNAKEGADGKKKEEGRKRRWWDLWSRDKESYLVDDVEPLPLPMTIPGAEPMSQEELDRRLSCDVEIDVSAATGPETVSYEWTGKCRSCQGTGLVSYFRKKGKETICKCVPCAGIDQPSLPPKGRTLLGHQVNNKMSRLSILSFKYNLDRAKLRFKSGRPTGRLLSGGRLLSARDRQYSDLGTYKPDDDEMKKVFNMIASQPGRISRKDLQVILERFGKADAANEARRMICVTDHNKNGYMDLDEFMEVHKHGVQLGDIRRAFFVFDSDEDGRISAEEVMAVLHKLGDSCSLEDCRKMVKEIDRNQNGFVDMDDFMAMMTRPRRKP >Et_3B_030576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5029341:5035622:1 gene:Et_3B_030576 transcript:Et_3B_030576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVISVGILDGSQNTHGSEEAQLEIEREMPVYCMTGGAGFIAAHLIRALLAAVHTVRATDEGKVGFLWELDGAGERLQLMRADLLVEGSFDATVSGVDGMFHTASPVVVAGAHDDAKSVQEKLVDRIVKGAANREREREREREMPVYCVTGGAGFIAAHLIRALLAAGHTVRATVRDPEDEGKVGFLWELEGAGERLQLMRADLLVGGSFDAAVSGVDGVFHTASPVVVAGGHDDAKSVQEKLVDPIVKGAANVLRSCARAPEPRARRVVFTSSCSCVRYCHGAATLNESHWTDAEYCKSYNLWYAYAKTVAEKEAWRLAKEHGIDLVVVNPSFVIGPALGPRPTSTILILLAMLKGELGKYPNTTIGFVHVDDVVLCHVLAMEEPRASGRLICSCDVAHWSEILESLRERYPQYPIPTECSNQKGDDRPHKMDTSKIKALGFPPFLSVQQMFDDCIKSFQDKGPRRIGLHVERVFQPSL >Et_3A_025930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34074158:34077038:-1 gene:Et_3A_025930 transcript:Et_3A_025930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGEKTHHRQRHQAHAQSGGSKEKFNNKRQPKSIPDNYSSVDEVTAALREAGLESSNLILGIDFTKSNEWSGRHSFGRKSLHAINGIPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDASTHDHSVFSFYPDNRPCRGFEEVLVRYRQIVPHLNLSGPTSFAPLIYAAISVVQNSNWQYHVLVIIADGQVTTTNSNDGRLSPQEQATIQAIVDASYYPLSIVMVGVGDGPWDAMQHFDDCIPERAFDNFQFVNFTGIMSTSKDMSKKEAAFALAALMEIPSQFKATQGLRPSEHQAQRTSPPRILPPPNKVLEHDNAAASHPPPTTSARSTGISKSGADEQVCPICLTNPKDMAFQCGHLTCKECGPTLSTCPLCRAKITMRVRLYA >Et_5A_041397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22143684:22151418:1 gene:Et_5A_041397 transcript:Et_5A_041397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLNALQELNIDASALKELILLSCFVRNQPVVVISAPQLVSLRWSDAYDPSSVHLVNLGHLQRLNTYFVPGSRQRYSIHNRGVLQLLHRFQGIHSLHIDSSIRRKYKANFLETLMILSYDQYFENWMPKIWNFQFFMEDREVLRNVVFLKMLLFNGAHSFGACSFDVLRMCAGIRRLSLLFQPSRHLEAQSTCPSDCFCDQPTNWKTERLSLNCLQEVEITGFRGAEHEVIFLKLLFNWAATLEKMRVTFDYSITKCKAKELCQKLSSFSKPETCVQFYMYQNCDRKPPHVYNAAIKHVFDKCSVVITKDNISSRCKTFDKHYEVISKILSQSGFGWDWENNKLQIDSEEVWNKYVEANKGAAHYKTKVVKNWDAISTIYSQDHANGEGVRTGAKDVEEEDLPQKRQRTGEAILCMLGDMKTSFGNALKSTDPIPLPQDEEEDAEESGAVELPCLEGATSVNINLGGFLGLALPLAGVFARLTDLFLSCLKFHGPCRLDDAVSSARSPCLRKLTVRDVRGLFSFSVESESLLQLDLRNVVRLQQLAIDAPVLKELKLAQCFVRNNQPIANISAPELVKLYWRDVYDPSSMQLGNMAQLQHFSPNVLLVHGDAHHDSFSWTHNHNCLRFLQQFQFIQSLTVSLGYLQNINVTFLPRCAVLTVMVVNEGHAFAPGFYHVLRLCTGLKGLLLVLDGDLEAQSACPSGCTCDQPTNWKTGELLLNCLKGVQIIGLKGSEHDVAFVKQLLNWSTVLKSMAITFDRSISASTALELFHTFSSFSMPETHIQVYMYSNPSKES >Et_1B_012007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2815145:2822782:-1 gene:Et_1B_012007 transcript:Et_1B_012007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVALLRTSGRRLLHRCSRGRPVVQAAAGTSARRLPSASFPSRGYSALPGGGARFLAAAAPLHCSGRYWPAAGPRLARRLSAPAVSTSPSPVPQDTDDVHEYAAKLGFEKVSEQIIDECKSTAVLYKHKKTGAEIMSVLNDDENKVFGIVFRTPPKNSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVDDFQTFQQEGWHYELDNPEEEITFKGVVFNEMKGVYSQPDNIMGRASQQALFPENTYGVDSGGDPNEIPKLTFEEFKEFHSKYYHPSNARIWFYGDDDPKERLRILSEYLDQFEASPAPNESKIEPQRLFKEPIRIVEKYPAGEEGDLTKKYMVCINWLLAEEPLDVETELTLGFLDHLLLGTPASPLRRILLESGLGDAIVGGGVEDELLQPQFSIGLKGVSEESIQKVEELVMQTLKNLAEEGFASEAVEASMNTIEFALRENNTGSFPRGLSLMLRSIGKWIYDMDPFEPLKYEKPLQQLKARIAEEGSKAVFSPLIEKFILKNAHRVTVEMQPDPEKASRDEAAEKEILKQVRASMTEEDLAELARATKELKEKQETPDPPEALKAVPSLSLHDIPKKPTHVPIEVGDINGVKVLQHDLFTNDVVYSEVVFDMGSMKKEHLQLLPFQSLLEMGTKDMDFVQLNQLIGRKTGGISVYPFTSSVRGKEDPLTRIIVRGKAMAPRVEDLFNLMYTILQDVQFTEQQRFKQFVSQSKARMENRLRGSGHGIAAARMDAKLNTAGWIAEQMGGVSYLEYLRDLETKIDQDWDSISSSLEEMRKSLFTKDGCLINITSDYKNLEKSSQHIAKFLDSLPSRPSLGNDPWLSRLPSVNEAIVVPTQVNYVGKAGNLYQSGYQLNGSAYVISKHIGNTWLWDRVRVSGGAYGGFCDFDTHSGVFSYLSYRDPNLLKTLEVYDETAKFLRELEMDDDALTKAIIGTIGDVDAYQLPDAKGYSSLMRYLLGITEEERQQRREEILSTSLKDFKEFADAVETVKDNGVVVAVASPDDVEAANKEKSLFSEVKKCL >Et_1A_008846.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:15878313:15883097:-1 gene:Et_1A_008846 transcript:Et_1A_008846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPIAAEIKTLNRKLQVILKEKHKLQLRSSSRGDHHNAPLRTVPRNRKSQGTSAPSSDIVGARIEEDEHELVRRLTEADGQTSCTIVAIVGPDGIGKTTLAAKVYRGERVRRSFEARSWVRIPRGYTEAGLLSQVIDSFGGDVKGGESVADLEKTLSRLVEKRRCLLVLDDVWYGGVWEDVLRSPLGRAGPGSKVLVTARHSSIAREMGAGHVHRVKKLGADDGWLLLRAAAGVVDETAAGVLKDVGERIVQKCGGVPLAIKAVAGVLRTRGATTDAWSEVLTSPAWSAKGLPEDAMKPLYLCYDDLPSHLKQCFLYCSMFPSDLGVERHVLVQQWISEGFVQIRAGTDIEEVAEDYCDELVGRHLLQTAEEDENGGDTRWAMHDTVRALAQLLSQGEDMTGDGHRQPVDGDVLSAPRRVSFPGRNLTTIPEKIRKFEGLRTLLLQRNPLTIGKSIFARLQHLKLLDLSETAVELIPENLGNLVYLRFLNLSHTRIQAIPESIGNLWNLKFLLLRGCKSLHKLPKGIEHLKGLRDLDLAGTVIDDMAFRVGNLRSLTSLQCFLVTSQEARGAQDKSGWPLDELKHLSQLRTLHIKNLEKATGRSEAAEMALAAKKGLRELEISCSSTVIQTTEGVRKIEDIFEEVNPPQCLESLRIAYFFGTKFPGWLSMTFLPNLRHLDIIGCHVCQSFPPLGRLPELRSLYIADSSALKDIGAEFMGTDQNHQVVPFPKLEDLHLQGLHELSRWTDIEVGEFPSLQALQLESCPELQHLPAGLRHITSLTELRIVDMASLEAVEDIGSLRKLSVWNAPKLRSVSNMPSVEELNMCHCPQLQVVNVDGLQIVHIFDQELRAMPRWIQTHASRLRLLNFRSTVALLKRCLVDGLDWPVIKDIKQVNGYSTGSNYIHYTRSPYIFESTVSTKENLAAAEEADLDDVDQGSVSSSDTGYQEIQGFFDSKLVNTGTARTKDNVKGDMEESVPTLTRRRLHKLAEVPEEDKVEDGADSPLRIPTSPTRVTAAVEEVLPAVTDDHTNKNDQAIQGFFDSKVVNTGTARTKDNVKGDMEESVPTLTRRRLHKLAEVPEEDEVEDGADSPLQIPTSPTRVTAAVEEVLPAVTDDHTKNNDLDSMSKATNHESRAISDDGTHHETIGRSVFTYRRGPKTGKDVPYDKVTNADPSAIKSAASIGHKLVREGSRAINITEMDKDLTDRSKEHTSKKGADVTADINITKNISLDHSRQVRSKKGKVSFADTTTATVRSPNIATQNYITNRITTSANGSTKTTPTSEYPSGEEVPKISAGVTGSSVIHEASHTVSVTEISQDLDTSLFSSEQQASNEGEEITETLCATSAIGNTVDQRDDNKISLTIHEESKETGVSESNCDSGCCKLPASSNQQTQETRQTVSTDASNDTDASMTKIIGMASRISEKLSNNCVVGSVKVSPESPIKIARPASKPTRTMSRAIDITEAAMKPEATTARRFSTDPAAANGGLTDEDEDDALGSIDAKADYPPRAAPKVYTAIWADTDTDTLRARFFESMRRYRRMASRRRRRRERKHGSGSRWSVGPATVAAVLLVVSVAQLLFIIWMYRRLKNQN >Et_1A_005050.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23562210:23562479:1 gene:Et_1A_005050 transcript:Et_1A_005050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMMMMTSSLFSYDVDDPCIDVGVVFPDVKQCVEAVTQHAIVNNHAFRRTRTDPNKFRAVCKKEEKGCKWKFYATTSKKKYTGCKVKML >Et_1A_004949.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:18499130:18499348:1 gene:Et_1A_004949 transcript:Et_1A_004949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADSQMARPSGRRSTGILPYAGLAARSRALLLGPSGSSRSSYGTPRSSSASRARAVNGLISPPSNFSDAAI >Et_2A_017173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31760403:31764719:1 gene:Et_2A_017173 transcript:Et_2A_017173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMPPPPSRGLRAAAAREDEFDWAMLYRSLKGQSLAAGGASSGPFLEEVSLHDVRLDGDDAVYGRAQRTNLEYLLLLDVDRLVWSFRTQAGLPAPGKPYGGWERADVELRGHFVGHYLSATAKMWASTHDAALAGKMSAVVDALHDCQRAAGTGYLSAFPAEFFDRFEAIQPVWAPYYTIHKIMQGLLDQHVVAGNGKALEMVVGMADYFAGRVRNVIQKYSIERHWTSLNEETGGMNDVLYQLYTITKDQRHLVLAHLFDKPCFLGLLAVQADSLSGFHANTHIPVVIGGQMRYEVTGDPLYKTSHAWNTFVRYNPKRLAEGLSTETEESCTTYNMLKVSRHLFRWTKEIAYADYYERALINGVLSIQRGRDPGVMIYMLPQGPGKSKAVSYHGWGTQYDSFWCCYGTGIESFSKLGDSIYFEEKGEKPALYIIQFIPSTFNWRTAGLIVTQQLKPLSSSDQYLQVSLSISAKTNGQFATLNVRMPSWTSLNGAKATLNDKDIELASPGTFLSISKQWGSGDQLLLELPIHLRTEAIKDDRPEYASLQAVLFGPFLLAGLTTGDWDAKTGNAAASASDWITPVPASSDSQLVTLVQESGGKTFVLSTVNGSLTMQERPESSGGTDFAVHATFRVVPQGSGGAATNSTSATIEPFALPGMVITDKLTVSAEKSPSSLFNVVPGLDGAPGSVSLELGARPGCFLVTPGGNGYADGAKVQISCGSVRKHGDGGAAFRSAASFVRADEQLRRYHPISFAAKGVRRTFLLEPLFTLRDEFYTVFFNLGA >Et_1A_009051.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27038088:27039569:-1 gene:Et_1A_009051 transcript:Et_1A_009051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTSQSFASRALHFHRNIVACLLGDASSTAESPPSPTVRRIGNKALVVDVDEMLLNPSSPSAAFPPYFLVAVEAGGYARGLALLALYPALRLLLPPDARVRAMAAVAFCGLPRDAAARVGRAVLPRHFFRDAADMGALESVGALHAGVKVAAVSRAFPTVMVEAFLKEYVGFDAVVGAELKGGRRYFSGAMEDGEYSSDTERLALALMETERNRSSGTFPKPMVFHDGRLAFTPTPSAALAMYVYFPFAVALAAVRIAIYVLLPWRASGVVAALTGVKLRVVGAPSPPAGDDGKPRGGRLYACNHRTLLDPIGIASALSRPVAAVTYSLGRLSEILSPLRLRRLTRDREEDRSRMASMLALGDVALCPEGTTCREPYLLRFSPLFAELVDEVTPVAVDTRTSMFYATSTSPIAKSFDSIYFLMNPRPEYTVIFLEPINTESGKSSIEVANEVQRVIAEALGFQRTALTRKEKYLLLAGNEGFVKGRKGKN >Et_4B_039910.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:5776537:5778441:1 gene:Et_4B_039910 transcript:Et_4B_039910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRHSCWSLLPLILLLLLLGFRRAGAQPPLSNETVAARFACNNAAPPCDTFVVYRTQSPGFLDLGSISDLFSTSRARIASANNLSSEDGVLLPGQPLLVPIRCGCTGNLSFANLSYPIRPHDTFYALAGTAYENLTEYHLVQEMNRGVDPTKLEPRQEVTMPLFCRCPTPAEKGDGVQSLVTYVWQPGDDMSQVSKLMNATVDAIATANNVSVNFTSVMGPPMLIPVKQRPQLPQLIYVSSAGDDGSRARRRGIVIGLSVSGAFVACALCLALLVHRRYSKKASVHAGSRFLSPKLSWAKNQYGHDSNSSSAQMMIKAGDKLLTGVSQFIDKPIIFVEEEIMEATMNLDERCRIGSSYYRAKLEGEVFAVKPAKGDVSAELRMMQMVNHANLIKLAGISIGAAGDYAFLVYEFAEKGSLDKWLYQNQRPPSSSSTVHAALSWDQRLGIALDVANGLLYMHEHTQPSMGHGDIRARNILLTADFRAKISSFALAKPATVDAAATSSDVFAFGLLLLELLSGRRAVEARVGTEIGMLWREIRAVLEAVGDKREAKLRKWMDPALGREYNMDAAFSLAVMARACTEEDAARRPKMAEVVFNLSVLAQPSPVGEAFERLWQPSSEDNIGIAGAVAAR >Et_1A_006166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18693787:18698601:-1 gene:Et_1A_006166 transcript:Et_1A_006166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LILYIYISKAAAMEVELPNFKNATSGDYWSLASNQYPSGKFPKVSVGVTIPRADSVSRGRDSAAPPAFERNLSQGTDGRSRPPKYDNTSLRISQEAAKNDGSAKGEPEAGHVKKVSVSQPDDNTNEQTGTFSFGTRREQGSNPDKSEAPDFVSSQGKRCLESENKSKPNSEVLRMKLWEILGGTSQNKQAFASPNPDDIESPDQPKSQTAKGPSSEKHVFTSPVPDTIKTPDPLTHHTVNFAKCKPSSDPIESDSDSPKVVETRPVSRSSGRKRAPATSKQQNRSAKKPLSTLCSAPKQRTVDNVFAFNEKGTPKTVVKHAIGDSGSSRNLRRSNRRAKPEVQKINYSDRVSDKMTQDEREGRLSSRNGPSENKGEKASSISSLSRTRKTAESCSRSPKGGRRLNLMAKVAPRKMQFSENLFSKTLDDGLNKLSCPSKKNDSLSSPQLREKLNMPENSDRSPHAHGAAENNFNSPPSDAAKPSPEPKMYPWDPAASPEINVKFGEQVASPWADRFRDIPDDFASPTLAANVNISPQMCKGINDNLYTPKYSKSADRSRSSSLASDPESQPLDEVDKTNELPGSESPDSSEERENRKQPSLSALSPTEDEGAKSSNPSFAKGYASHKWLSDMDGPDKSPLEHLGTQKTIFSDKGHEHPENYLTRAFDQLVVVLGRFQTKIKSETSKKSSEILAATGEIIRQHLEGVEVQMQADVDKLVNAGKSKRKRLESSFEEQQEKLRVLHEKFKEDVNKQLQNCSNSLKDFESYHTELKGASDKQKSSHKKLLVNAEKTVGVQLNDAESKIAEVQKRARKKMNGLKYVLKELIAETAD >Et_9B_064454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15293232:15310324:-1 gene:Et_9B_064454 transcript:Et_9B_064454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEAVAALLLGLTMCTAATAPGRSVRWREEGHGVRGEVTYDHRALVLDGARRMLFSGEIHYPRSTPEMWPALIAKAKEGGLDVIQTYVFWNVHEPIQGQYNFKGRYDLVKFIKEIQAQGLYVSLRIGPFIEAEWKYGGFPFWLHDIPNITFRSDNEAFKQYMQRFVTDIVNMMKQEGLYYPQGGPIITSQIENEYQMVEPAFGSSGQRYVSWAAAMAVNLQTGVPWTMCKQNDAPDPVINTCNGLICGETFVGPNSPNKPALWTENWTSRYLIYGNDTKLRSPEDIAFAVALFIARKNGSYVSYYMYHGGTNFGRFASSYVTTSYYDGAPLDEYGMIWRPTWGHLRELHAAIKMSSEPLLSGAYSNFSLGQVQEAHVFETESRCVAFLVNFDPYQISTVVFRNISLELAPKSISILADCNRVVFETAKVNAQHGSRTVHEVQSFNDVNTWKAFKEPIPQDTSKAMYTGNQLFEHLSTTKDETDYLWYTVGYEYSPSDDGQPVLLNVESRAHIVHAFVNNEYVGVVHGNHEERGSIILNTQISLKEGPNTISLLSVMVGSPDSGAHMESRVFGIRKVSIQQGQQPEHVLNNELWGYQVGLFGENNRIYTQEGSNRVEWTNINNLTNHPLTWYKIENEYQTVEPAFGSSGTRYVRWAAAMAVSLQTGVPWVMCKQDNAPDPVVTAQHGSRTTEAVQSLADITTWKAFKEPIPRVVPKAMYTTNQLLEYLSTTQDETDYLWYIARLAQLGKESHLHSRRIIQCSMDKYQQPDISATYLTTFPTPDGDDAVTLNLTGMGKGEVWINGESIGRYWVAFKAPSGNPSQSMYHIPRQFLEPQNNILVLFEEMGGNPQQITVNTVSVTRVCSKVNEVSAPSIQSHEKEPAVHLWCQEGKHISAIQFASYGNPVGDCTSFGFGSCHAESSESVVKQACMGKTGCSIPVTPSKFGGDPCPTIQKSLLVVASCR >Et_8B_060756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6245513:6250235:1 gene:Et_8B_060756 transcript:Et_8B_060756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSEEKDWAHAVAALEPYAVTAVVAGSRNSLAICKDGRVRLLPYRPPPSSCSCFFRRASVLTGLLGWGMICRAQLFTWGWNQRGTLGHPPETKTECSPGPVEALAGVRIVQAAIGGWHCLAVDDKGRAYAWGGNEYGQCGEEPERKEDRTKALRRDIPIPQRCAPKLKVRQVAAGGTHSVVLTQEGHVWTWGQPWPPGDIKQISTPVRVQGLERVSVIAVGAFHNLALTENGVLWAWGNNEYGQLGTGDTQPRSQPIRIEALSDVKLVDIAAGGWHSTALTEEGEVYAWGRGEHGRLGLGDDKSSHMVPLQVHLLAGEDIVQYGRGDHGRLGYGRKVTTGHPMEVPIDLPPPKNSSSPDGQWQAKLVACGGRHTLAIAEWTEANV >Et_6B_049245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2256576:2257993:1 gene:Et_6B_049245 transcript:Et_6B_049245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QMADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPLTGRR >Et_1B_012653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34173119:34180003:1 gene:Et_1B_012653 transcript:Et_1B_012653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGQRAGDKSDSRFSGVEVLDFPAGECLPAVLSHSLSSGFDFLLSPLVDPAYRPTPGAVLPVAASDLVLGPSQWSSHIVGKLSEWIDLDAEDEQLRLDSELTLKQEVAWASHLSLQACVLPTPRRSSCGNYARVVNQILQGLTNMQLWLRLPLEKSEPMDGDRDKTEMDDSWELWNSFRLLCEHSSQLCVALDISSTLPSMNSLGRWFGEPVRVAILQTNAFLTNARGYPCLSKRHQKLMTGFFNHSVQVILSGRSKHDVTPVADGVLSGDESNNEDTIVRHALSPYLNYISYLYQKMDPLPEQERFEINYRDFLQSPLQPLMDNLEAQTYETFEKDIVKYTQYRRAVAKALVDRVPDDSVSTTKTVLMVVGAGRGPLVKASLQAAEETGRKLKVYAVEKNPNAVITLHSLVKLEGWESVVTIISSDMRCWNAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIQPITASKLHNDIKAHKDIAHFETSYVVKLHRIAPLSPPQPVFTFNHPNYTPNAGNRRYTKLQFDMPQDMGSCLVHGFAGYFDSVLYKDVHLGIEPSTATPNMFSWFPIFFPLRKPIYVPLGSPIEVQFWRCCAPTKVWYEWAVTMPSQSPIHNSNGRSHWVGL >Et_3A_027190.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:4310741:4311997:-1 gene:Et_3A_027190 transcript:Et_3A_027190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDQQPPQQRYWFPYWTSPPQPAPRPALRQQPSRRDSRAAPAPSPPMAPSPSRRQPQPQAPATAASRGTGGAGSPPQPTRLPSRPSPSRAPPLSPIREPNVSAAQATAPGSGPKEPKPAVKQAILPRPAACEEAPKELDIIVPQEKIIHEPPSETKAQNKDVEKEKKKDKEKEREKDKEGKEKKDKEKQKEHKEKEKDKDHKEKKEKEKDHKAKEKDKDHKEKEKEKKEKEKDHKEKEKDKDHKEKEKDKEHKIKVETNSKEHNESKVHSGKLHRELKAGVADMVHKLGASAGPSAGHAHDRHATGAAGTTVITLAGENKGASMTVSHVDHAKSKERHAARKVDEGKHEAHGGAKGLTTFVNSNVQVINNSLMLQSSCNGGNPGVHLKLSTKSKKKGDGEDAGGKGKGGSAVVVEK >Et_8A_058493.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:9328332:9331205:-1 gene:Et_8A_058493 transcript:Et_8A_058493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYAARLDAARRGKAVGPAGPAAEGGRVDRAWGPPSGGLPPPRPPHAADAADGRRGEGGRGKAEQDAGGGWGWWWRGHAVARRGAAGAAGGSSAAEGGAQGVPGSVVAREGVAVGTKRPAVSATRQFPTGCGRDAAAPLGRADGSSIPARFQAAAAGAGDGPEAMHNAAASPRPPPPLAGRRDDGDLSSGAAPLAPAACAGVIAKVPHVPAARLLAKRRMVSAHRSFPPGCGRPLLSVEVRLLVESERRGDGNTRSEEIAADGHISAAAGEQAMEIDAAHPYIGAATATYGGAAQDEELEEGEIPPAKEHPTVAEEHIIMGSQVCVILHEPAADCGHAASIPATDAVQAPPVAAEDFKVVNSPAGSSSCNVSVQSFSSEDPSEDLKGTMGSEIPRMEESSDVAKDFKVVNSSAGSSPCNVPVQSVSSEVPSEEEDLKRKRVPEIPRMDESSAVAKDFKVMNSTAGSSGNLAVQSLSEGPSEEDLKGKKVPETPKMDESSGVAARVPGEPAMRRKVLFTARKSVRPPKMIKKSAVGTQQHTPFSKNNEKKSEPASTIDIEDTDEFTKDLVKQALMSSEKCLGTQGKEATTVKGYFGPRKKVKVNDPKSEIRRRVTRNVIKNDGDEFTTDVAKQAPMLSEKRLMTQGTEASTVRGYFGPRKVKVEVRANVPIKVNLSCKLGSKDKFGDKVASNLEGDDILKGLAVREGKLEFYLKESTPVPSMKCLRQYGVQNADARSKVKMMCRRFEAICRTIAQAVDQRSMKVRRIDIEADKAIRTLPDFTKHGPIVGEVPGVQVGDEFLYRVELAIVGLHRPYQGGIDSTKDTNGVLVAISVVASGGYPDERSSSGELVYTGSGGKHAGRNAGGDQKLERGNLALKNCINRKSPVRVIHGFKRQNIEESSHSRAKEITTFTYDGLYHVVDCWREGHPGSEVFKYKLKKIPGLPELPHCRKKWIMS >Et_5A_042821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:560789:562703:-1 gene:Et_5A_042821 transcript:Et_5A_042821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGVCNWLGHGVGKCNKMSLISQNALQKRHLEEVGADDGSDEGIGSPVALDAEVEKKAKSKNHNKERKKKRTKVLEAQQNKEEEEMRQLENSLFGSLYAPLEFGTELGAPAVAPDQDAPLFFTDRSAGDGADDMPIYEEDLVSEDEEDVVSNKGRKPVWVDEEEERTEVDIQKIARLRKLRKEADDHLISGKDYEARLRGQHAKLNPFTGWADIDRKVPLHAASDSESDDEGGVYDILRNNDDLVVKDTAKLLPGMLDFSRLVDANAQEPSNGPINSVQFHRNGQLMLVAGLDKHLRFFQIDGKRNPKIQSIFIEDCPVHKASFLPDGSEVILSGRRKFFYSFDLVNAAVSKIGPLTGREEKSLESFEISPDSKTIAFVGNEGYILLVSSKTKQLIGTLKMNGNVRSLAFADGGNQLLSSGGDGHVYHWDLGTRKCIHKAMDDGSLSGISLCASQDSSLFATGSTSGIVNVYKRDDFLGGKRKPLKTIENLTSDVGEMKFNHDAQILAISSRKERNGMRLVHVPSFSVFQNWPGPRFSLHYPRCLDFSPGSGFLTVGHAGGKVLLYKLHHYQNA >Et_2A_015819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18085460:18102687:1 gene:Et_2A_015819 transcript:Et_2A_015819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYFFFPMYQTLCKGRLNKEPCVMQLTNTSNLVLLDADGSVVWTANGATNSSSASGAAGATAMLTNDGNLVLRSLNGTVLWQSFDRPTDTLLPEMKLCMNYGDRPRDRLVSWRSPSDPSPGSFSYGGDPATFLETFVWNGSRPLWRSGVWTGYRVTSEYVANISAIVYFTVVDVEDDAYVSFSLSNGASRTRYIISHAGKLELQSWNNASLRWDVLDTMPRTECSSYGFCGPFGYCDHNDGAVPRCKCLDGFEPTSGDEWSNGRGAGGGSRSSAGLTVMDSWRCRAMKAPDRFVLVDNRSFDQCAAECSGNCSCVAYAYANLNSSPMGDPTRCLVYTGELIDTEKFGAAAAGSETLYLHLAGLPTGGKMGRKAIKIALPVLASAMVIFIWILIWTFKRKGKKRKQEKHKTLFLGDFITPEGFGEGSPSEGFEFSVVSFRHITTVTNNFDQSFLIGQGGFGKVYKAKLDSREVAIKRLSRDSEQGIAEFRNEVILIAKLQHRNLVRFLGCCIEGDEKLLIFEYMPNKSLDALLFTHCPLTIQHSKLNINHKTSPSFVGSTRKTILDWPTRFTIIKGVAKGLLYLHEDSRMKIIHRDLKASNVLLDEEMRPKIADFGMARMFNDNQHNEKTKRVVGTYGYMAPEYALRGIFSVKSDVYSFGVLALEVAWNLWNDGKAKDLVDSSIVDTCITDEALLCIHLGLLCVQDNPNDRPLMSSAVFILENGSTRLPVPNKPVYFSHKNNEVGQIRGHTQSSKNSVTLSALVGRKKKKRGGGGCGRACSAIWYATILLLVLPVPPCASDDRLVPGKPLSPGSTIVSDDGGFALGFFSPANSTPAKLYLGIWYNDIPQLTVVWVANRETPATNSTSSAPALSLTNTSDLVLSDAGGRVFWTTNVPNSASSPAATGLAAVLLNTGNLIVRSPNGTTLWQSFEHPSDTFLPGMKIRVTYKTRAGERLVSWKAPDDPSPGSFAFGMDPGTFLQTYIWNGTRPVARTAPWTGYMVNSGQFQVNTSVILYLAVVNNAEEIYITYSLSDGSARTRYVLTYSGVYHLEIWRPSGWAVIGKWPAGECNLYSHCGPYGYCDNTVAVPTCKCLDGFEPTSLEDWSSGRFSQGCRRRKAALRCGDGFVALPGMKPPDKFVLVENRTSEECAAECSQALSSHVPKVPTLFFSSQYMSVLDEQTRKGNRDDHKKLILGDTSTSDELGEGNTAQDFEFRFVRFEDIVAATNNFSEACKIGQGGFGKVYKAILSGQEVAIKRLSKDSDQGNKEFRNEVILIAKLQHSNLVRLLGCSVEGDEKILIYEYLPNKSVDASLFDNLRKTLLDWPTRYNIIKGIARGLLYLHQDSRLTIIHRDLKAANVLLDAEMRPKIADFGMARIFSDTQKNANTQRVVGT >Et_8B_060106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:618058:624285:-1 gene:Et_8B_060106 transcript:Et_8B_060106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTSRPPTPKATAASSSRIDSPSLKAALAMALIHYNRLPGKAAAAATTTAGTSSPPLNHWKRKAKDRKREILRLREELKLLQDGVRGEEMEPPVASCRCHFFEGCGDLPPQPCGSGGEHWVDEVLRRRFLRLVRWKEKRRRVDRSLPRSSLIDYNSGDEMQQLSMSTDFLVELSDGIFAKREACSSFATFSHQAVDFILASLKSILSSERDKDLIEEIINGLITRLMKRMCNEHLAPHIPNSLLGNEEFIGQRIILAVSQKISNVSERLLLADPFDDAFPDMHDNIFIMIQLIEFLISDYMKDWLCYEHFDKSTHGFASPGNMNELYVVYIERVVGRLAREVAPAAHQGKLDQEANKPKAL >Et_8A_056882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18451475:18455915:-1 gene:Et_8A_056882 transcript:Et_8A_056882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRSNRMEHSRSVSMDLSLSMSAGASMDSMSDDKIAIIIPKMSPVLSQSPSSKVLPLGLHNEASHPAPTNTKRTEATLIKKVAAEFLGTFLLIFTLLSALIMNEMHGGALGLLGVAAAAGLAVVVIVASIVHVSRSHLNPAVSIAMAVFGFLPLVHLVPYMSAQFLGSICASFVAKAIYHPSNAGITIATVPSLGGAEAFFVEFITTFILLFVITALATDPNSVNELIAVGAGAAVMMNALISAESTGASMNPARTLGPAIATGTYTKIWIYMIAPPLGAIAGTGAYVAIK >Et_7A_053142.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:8162793:8163545:1 gene:Et_7A_053142 transcript:Et_7A_053142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHLSSHVHAAKEAANGGKATGGEAALPVAVVVVDVESTAGADPIGGGGGGVDYMARAQWLRAAVLGANDGLVSVASLMIGVGAVSATRKAMLVSGMAGLVAGACSMAIGEFVSVYAQYDIEVSQIRRRGSGSGSGADSDAAVDDKEKEESLPSPTQAALASALAFAVGALLPLLAGVFIPSWAARVAAVCAASSVGLAAFGAAGAYLGGSSMVRSGLRVLIGGWFAMLVTYGVLRLFGTVFHIQVSSA >Et_2A_018641.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3957427:3957777:1 gene:Et_2A_018641 transcript:Et_2A_018641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNGGGRSRGGRAAVNSRGGGGPGLEGLMRGLKLSEEERCGVRGAWRRVAEEEQAPQAVGKLFASKAGSIDGVVQTVGKIWCPSQGIRCNELGNNLFLLTFLQPGGKRRALTEGP >Et_8A_057054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20577669:20596300:-1 gene:Et_8A_057054 transcript:Et_8A_057054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YPVHRYFQGMEAPLAPGARDWSELHADALSLIFTKLGAIEVLMGAGLAFVGKRFVTDDLIKYIGDSAIFAGLHLISCYISSVGVVGVIKKFPLLEDLEFSLCSKVYEKYVLETIGKSCTQLTRFICCEGVAHIDDGGFEVDSINKDSEAMGIATMTKLNSLQLFGSSMNNSGLAAILDNCSNLESLDIRYCFNIRMDDALQAKCAGIRSLRLPHDSIGDYEFKDNLPTWLPNILFDDDFILLDDDILLDHGIIHPEAFDYSRVAAAVPRLPPPAVFARKLGRAPGLATPNPSPPQPQAHPQVPPASSSSSSTSRRRRRRLRRRSRNAEEEARDWAALPLEAISVPQARPHRYPHGAGQVCRSWRRAARDDPALWRRIDMCGHADLFNMVNLFGMAEAAVRRAKGQCEAFWGEYAADEDLLRFLGDQAPSLKSLRLILCYNIENDGFTESIKKFPLLEELELSLCSNIGETRVFEVVGKACPHLKRFRLSKHRFYSFEYSEINEYGTEYVYNKDGQALGIACMHGLHSLQLFGNNLTNEGLMAILDGCPLLESLDIRHCFNVTMNDALREKCARIKTLRLPHDSTDDYDFQVDSPIWSCSALGIDSDSDDYVYGGSDYILDSDDYDDYCDPFSLSQWCL >Et_4A_035372.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2243551:2245884:1 gene:Et_4A_035372 transcript:Et_4A_035372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKLLIVCHLLFLLPVFGAAETLQTYIVQLHPHDGDSESMFSSRHHWHVSFLEKSVSWEQEKRPASRLLYSYHTVFDGFAAQLSDEEAAALRSLPGVASVRADRRLELHTTYSYKFLGLNFCPTGAWARSGYGHGTIIGVLDTGVWPESPSFDDRGMPPVPVRWTGVCEGGERFNATSSCNRKLIGARFYSKGHRANYPTDPSDAAASQREYGSPRDAHGHGTHTASTAAGAAVAGASVLGAGAGEAARGVAPGAHVAAYKVCWFSGCFSSDILAGMDDAVRDGVDVLSLSLGGFPIPLFEDSIAIGSFRATARGVSVVCAAGNSGPAPSSVANEAPWVLTVGAATLDRRFPAYVRLGNGRVLYGESMYPGEIDLKKGGKKELELVYAVGGTRESEYCLKGSLDAASVAGKMVVCDRGITGRADKGEAVKKAGGAAMVLANSEINGQEDSIDVHVLPATLVGYREAVELKRYIKSTPRPVARLVFGGTRIGRARAPAVALFSARGPSLTNPSVLKPDVIAPGVNIIAAWPGNLGPSGLEDDARRSNFTVLSGTSMACPHVSGVAALVRSAHPTWSPAMVRSAIMTTAYITDRQGKAIADGNGGRAGVFAMGAGHVSPARAVDPGLVYDIDPADYVTHLCTLGYTQREIFKITHAAVNCSALLRRNRGFSLNYPSIAVAFKDGAKSSAVLQRTVTNVGSPNSTYTVQVAAPPGVKVSVAPTTLAFLEFGEKRSFRVTVDAPSPAAKDSAEGYLVWKQSGGQGNHVVRSPIAVTWVME >Et_1B_012671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34275794:34277761:-1 gene:Et_1B_012671 transcript:Et_1B_012671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VQNLIERCLQLYMNQKEVVETLSFQAKIEPSFTELVWQKLEEENREFFKAYYVRLMLKNQITAFNKLLEDQYQIMTKEHSSGVPSAPPPAPNGSNSTTLNQNVCFLPDTTPSTAMQDSLLGNGSSSGIVNGASSSDQFIYAVVHGLPSGMDASASLLAAHNSTVGQFNGHNGTTIKTESGYSSNSDFGFGNENVFLEQSVGDVSGGSFSSPELNGQPLSEPVLDMDSSSFGFLSQIPRNFSFSDLTEDFSQSAEILENYGRSPFIPSETNNFQEPTGGEHTEVGNRRLDTISEGVNFDDFGSD >Et_9B_065359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4124295:4126972:-1 gene:Et_9B_065359 transcript:Et_9B_065359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTGCLSAGQAAALSRACRDGAAAARLKTGSLLAILVASAVGICLPVALTRAFKGRPGYARGLLLVKCYAAGVILSTSLVHVLPDAQAALADCAVASRRPWRDFPFSGLFTLVGALLALLVDVSASSHLEAHGHGHGGGEEEHPHPASYEPIPKKSSSSPVFELAGEMSPRKRAFVDDHDDPAPHFSATANGGDTDRDDVALFGAKKGGPALVRSDEVAVVGGGCHGGGHQLELEVGEGEGEEEETRKKQKMVSKVLEIGIVFHSVIIGVTMGMSQDVCAIRPLVVALSFHQVFEGMGLGGCIAQAGFGMATMGYMCIMFSVTTPLGILLGMAVFHMTGYDDSSPNALIMEGILGSLSAGVLIYMALVDLISLDFFHNKMMSSSLKLKKASYIALVLGSASMSVLALWA >Et_1B_011645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24579720:24584136:1 gene:Et_1B_011645 transcript:Et_1B_011645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSGSRGRRRRPPLAEEAEAASVFEVASSSSGDDGGSSSSEEEDESDGSGAGAMGRRGGARARARAGGSEAEKPCLPSCPICMNEWTADGAHRVCCIPCGHVYGRCCLERWLLQFAKKKAPCPQCGRKYKENCIINLYVPDIAVPNNDLEKQVLSLREKNESLEKQQTKLLEEINEHKRQVILQQHTIHESNLKRQKMTEQLSDGRTDAETIASPTVGIDCSTRGSFVLQNELFLDGARVMAIDASSHVIFTSGRAPGVGPEHIITKISMFAGLGMQNIHLPPDTKAIRDICILPEGRAVFASLGRKLSIVSMATNNIVLQYDLPAPGWSCSGDHTSSTHIYAGLQNGMLLAFDIRQTSAPLSSAMGLSTHPVHTIQSSVDSSGSRKIISASSIGPCIWDVDGSENRPNLISGMENQGVCISLACNPPSSDLLVASYRPKVEVPDDGVIPQIVTPLSLTPGGSGKLGRHVLMRRTGGRSFAKDQTCYGNMTDLRMTKSAIIPCGSNQHLFAYGDESLYGIRTWRLPSFQTFADLSCHRQPILDLRYAESSTEESYLGCLSAEKLQVFRFR >Et_10B_003586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3540078:3543894:1 gene:Et_10B_003586 transcript:Et_10B_003586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILAGLLAVLSALFAAALRRLLRFRSHPASAAGFFHPYTNDGGGGERVLWCAVRAVQELRPDLPCAVFTGDADASPEGLEARSLDRFGVRLLRPPQVVHLSKRKWIEARTYPHFTMIGQSLGSVYLAWEALSKFTPEFYFDTSGYAFTYPLARLFGCKVICYTHYPTISSDMVERVKQRNSMYNNNSRIAGSWLYGLVGSCAHLVMVNSSWTRSHIINIWKVPQRTKRMLPLERPTSPPIFISVAQFRPEKAHGLQLEAFALALQRLDPSFPKPKLQFVGSCRNKEDLERLQKLKDRSTELHIDELVEFHKDISYKDLVQLLGGAISGLHSMTDEHFGISVVEYMAAGAIPIAHKSAGPIMDIVLDEDGHQTGFLASEQEEYTDAILKVLRMPEPERQEMAAAARKRAQRFSERRFHEDFTEVIRPILSAAGQVQGAPQHVAVDAALLRRDAAAAAAVAA >Et_2A_017855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7072480:7075922:1 gene:Et_2A_017855 transcript:Et_2A_017855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAVAIGSTLMAALVIAAMCCTCPEPEQPSPEQTQRAALAALAAAVPVPKPSSTAQLPCFPYAAAQGGRVPETLVCAICLEPLRRGELCSEVPACGRVFHGNCVATWARSKGSCPLCRAKIVPGSARGVVADDMTAMDWIDLASLVLVAWTIMYFGYEMYHCVCETQAIDEQEESEDQGAVPASEPLPPLILPCFRYETEPGRASSEKVLCAICLDELRQGELCSEVPLCQHVFHRDCLGMWTRSNGSCPLCRTKIVPGSYRYTIAFADDMCQHFVPNQAHPTHEINLTDGLVSWWRCVRRHSRERYFSEKEIDLIIN >Et_7A_051333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17010503:17027378:1 gene:Et_7A_051333 transcript:Et_7A_051333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVAILDQLVDGVPAAKTFLSLLFPLLLLFGLRRFFFTATGGTGAGGNKKSNKPPPSPPAMPLIGHLHLVGALPHVSLRHLAARHGGEDLMLLRLGAVPTLVASSPRAAQAVLKTHDQSFASRPRSVVGDVLTYGFADVGFAPYGERWRQAKKLVTTHLLSAKKVLSTFTNDMVCRAVAGRSFRVEGRDRVFRELIDAGMALLGGFNLENFYPGLAELASGVLVWPVRCRAEKLRDRWDELLDKLIDEHTRTVAENNGGDGDQEETESDFIDDMFAAGTDTAYLVLEFTMAELMLNKDVMTKLQAEVRSSTHKGQNDINEDNLNGMTYLKAVIKETLRLHPPSPLLLPHFSKEGCVVDGYTIPAGTTMFVNAWAIGRDPKIWDSAEHFMPERFVHNGEIKGFDFRGKDFEYLPFGSGRRMCPGMNFALASVEILLANLVYHFDWELPKGLESIDMTEVFGLTVCRKEKLFLVPTSPAGGKKSKPPPSPPALPVIGHLHLVGALPHVSLRRLAARHGGEDLMLLRMGAVPTLVASSPRAAQAVLRAHDQSFASRPRSVAGDVLTYGCADVGFAPYGERWRQAKKLVSTHLLSAKKVQSYRDAREEEVGMVIAKVRDAAARGAVADMSKILSTFTNDMVCRAVAGRSFRVEGRDKEFRELIEAGMILLGGFNLENFYPRLAELAGGVLVWPVRRKAEKLRDRWDRLLDRLIDEHASKLAENGGGCDHEEAETDFMDVLLSVQEEYVLTRNNIKGFLADMFAAGTDAAYLVLEFAMAELMLNKDVMTKLQAEVRSSIPKGQNTVKEDNLTGMAYLKAVIKETLRLHPASPLLLPHSSKEGCVVDGYTIPAGTTMFVNAWAIARDPTIWDAAEQFMPERFIHEGQIQGFDFRGNDFQYLPFGSGRRMCPGVNFGLASVEIMLANLVYHFDWKLPKGIESIDMTEVFGLTVCRKEKLFLAPTSPHAQLRPWVFVVS >Et_8B_059366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17129435:17132273:1 gene:Et_8B_059366 transcript:Et_8B_059366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKMDGSKYRSKGYVMANASKKLPYVLLLLLAFAAAALSIVVLHKVRERRAFAELLQERDRQLVSLRIQIHKEKAFNKEMKRKLDELKATTSSLRTQKTDQKTKLRGLENTVTNLKNTKKELETALTEKDSRINLMEETATNLKEAKKELEAALKEKESRIKQMEEKATNIKNTQKDLEATLREKDSRIKQLEEKATNVNNTQRELEAVLREKDSRISQMEAKGTGSNPDQMAALMEILQRKEAELEEIKTKFQGYKVTEKAGVNGKSTPAQMNNASATPDIVVAKKSTNSSSATPVKSEEKVSANITAVESSHPKKRSLEEKRSANTIALGSSLPNDRSLGDKRSANTTVLGSSPPKDISLEEKRSANTTVVESTHPKGKSLEEKGSASTTVVENSPPKDRSLEEKQLKLTTKKEDDGIQENINDFDEYLDDIYGESHSKKSVSPRKNKKFQANNQVHSQGDELDGFGQLWNSLDQDSDRVRYNKLLEKENANAAAHIKKKNNTGGNLEKISKNSLSDANHSTSKKAVEAMVGAAEVKPNINMPVISDETKQQNKKQKKKRSKSKKKKPADNVGTNAGGEVAKQRPPGATSISK >Et_7A_052002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3593380:3594084:1 gene:Et_7A_052002 transcript:Et_7A_052002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSKIKIKIMTHANLVEILMDVATVSSSIVSRAATLDVLSTGVAGNYNGALQVGLLIADFYPHCRYQWSSNFQVPSSLVPTRENSDGTWAVVDVSLDSLGPSSVLKCRCRPSGCLIQEMPNGKSQMSVQPGRIRHRQERNHRRYGVKTTCDDQFSLFMRKICRQFVRLRLIASMSMPT >Et_10B_003996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9054217:9061716:1 gene:Et_10B_003996 transcript:Et_10B_003996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPIEMARAAVHRSPGQCEAFRGRGNDDVLLYLADRAPSLKSICITSHHDVSSKVFVATVKRHRVLEELELVLNFHDCKGIHKRIEPSTNCWAELLLSACVACRYLNRFTMRHVGSKGTACCHHQRVSKYSGAFAMPTSPGLLSLQLLGNSFTRGVLLRIISGCPNLKSLDIRELDVDQQDQHLRIKCSRINNVSLPFPSFYVDEESDEEDIFGEPLDDDYSAGLVCAAWWRVARDEPSLWRLIDLTLPDDDADLCDGYLPHWLFDEDGSMGLGWMGMARAAVRRSSGQAQSLKRICITLHHDVSSNVFVTLVKRLPLLEELELVLNLEDCKGIHRCNEQPISCWGRAAPSFLRNFHLNRFTVCRAGSKYTTIGPYYRRVSNYLKAFTCPTVHGLVSLQLFGNSFTEDVLLSIIDACPNLQSLDVSEVKLDRWDQRLRVKCSKIKNVRLPFPSFYIEESDEESDEESDDNYSEDYDFGGVFEAPFDDGSVHYYWSSGFHSDEGECYFGDLFEELI >Et_7A_051606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21017644:21019718:-1 gene:Et_7A_051606 transcript:Et_7A_051606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPDLQPPDPPPPPMPDLAALADDVLAEILLRVPSPEDLARAAASCASFHRVASSPRFLRRFRALHAPSPLGVFCCRPDGGAGFHPALPPHASAAAARALSHSADFSFAFLPPPCDAWLVRDSRDGRFLLDRPLEDSTAFTEVAICDPLFRRYRLLPPIPEDLAASVDNPYVQRGGDGEVQSRSCEIFLASHGSDVNISEEEPLFTVIWMACCRGKLVAFCFTSETQQWRALSPPEHYALSTRRVMGVRLGQRNQAHGCFYWMVALTRRWLVLDTRKLEFSIADISPVLSGRAMMFSNQITTLESKDGRTAVVVSDVFRPDKRYVLYFYGFMYFSDRWQLLNRIILPEEWGYRYRGIIGATEECLFIKLDHSKESLRDQVDRNVEYFCLDVKTMQLECFCRTSSLTVNEAYLYCGFAPSLLLPSMPSKPSRSDMHGLLASHSQ >Et_2A_017833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6951721:6970814:-1 gene:Et_2A_017833 transcript:Et_2A_017833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAPQVKFLDSSLTTVVFKDYRGGKSHVKFATFFILNTKRLEVMRFEAGGFNSDHHKKFLAEQRCISFLRKGFRELVSFISQSVDVIEFFHILSMSMICLKLIHLNWQIPAASAGFVRSSRVHMATAAASRAKKRRTKPRNDIAIDLISGLPDEILGEIISLLPTKDGVRTQSLASRWRHLWLSAPLNLDHSGILGGKKSQIDVISRILASHPGPARRFNVRMYLNYRAGMVDAWFRSPALNNLQELQFEAIPWRHTQDLPASAFRFSATLRIITIRCCHVLDAVVESLRFPQLRQLGLKRVDASVAAVHSIIAGSPKLECLLIKLIAKASGGRSIRINSPSLIRIGFACDLYLELIAPSLERLFHLNNMRYSHVCVISAPKLKTVGGLTDKDMTKFTIGGATVIEILRASFTVTVCSVKFIAIDTYKFNLEAVINLMRCFPCLEKLSIQISQSDSEGKNLWRHKHHDLMRCLDIRLKVLVLKNYQGIKSQAHFVTFFIVNAKMLKTMRFEGGPYRDYNKFVAKQHQRLQLGKRASKSAQFHFVECACHKYLAQIEHMAAAPHVKKRKTSLPKRPHRPTPAAVVESHCQKVPPGAAGREGDGVDRISGLPDAVLGEIISLLPTKDGIRTKALASRWRHLWLSAPLNIDHSSLPVHANAKLRIISRILAAHPGPARRLSVPVYYLFYRHKTFEKWLGSAALNNLQELELGLGERLYFENPVPVFPQLRQLELVDVKVSGDSLHNMIAGCPVLESLQLKKIYGFSTIRISSHSLICISFHISGDENDSKKLIVEDAPLLERLLQLETSPKLETLGCLTDRDLNSELVLGTTVIQKLCAVSFMTEICCVKVLAVNVNSPKSMDAVLDLMICFPCLEKLYIQVTIPHWWFMMSSVFGGKYVWRCNQLNQVKCLDSGLTTVVFKDYRGLKPHVKFAMFFILNTKRLEVMRCEAQGLKFRDNKKFIAEKHKLHQLFEKRASRAGRLDFTHSRCERNFPHIKHTVAPHAKKRRTKPPEKREPHEPHLLAPAAVAAESRDAVPSTDAGGEERLPDAILRTKDGVRTQALASRWRRLWLSAPLNLDLSGLPKYANLLDIITHVLATHQGPARRFSMPKCCRYCTRATLDTWFRSPALNNLQELNTNTHYCLSPPPSSFSIWDTLRVFTFVDYLLEVENFHCPQLRQLGLERAIISDSCLCNMIAGSPVLECLLLKNCIVTYRGVSNAELIIENAPLLQRLLQLERCGRLQVSIISAPKLDTLSCLTYDRYALVFRTVIQNLCAGSFTVEMCSVRVLAVYVYTSMDLIIDILRCFPCLEKLYIELSEDTYHWHKHQNHVKCLDSNLRTVVLKGYRAKRSHVKLVTFFVLNAKMLEHMRFEAAGFKCKNCKRIARHRRLLQLEKRVLSARFHFTVGRCHQNLPHIKHAHDLSKANPFEFGRISLPRPAAPRRRRGTGRHRPHQQPPRRDPRDIISLLPTKEGARTQSLASRWRRLWLSAPLNLDQSGLPTDRDKLLVIVSHVLAAHQGPARRFSMPNCKCVLLLNRAEVVESWFRSPGLNNLQELEFGSLVNWVPLPATAFYSRTTLRVVTITACSILHDTVQTFHFPQLRQLVLEGTKIPEGWVCNMIAGSPVLQFLLLKRVRGFTSMRIKSPSLVSFGIDCIGPSTKLTIEDAPLLRRLLDLESDGTLHVSVISAPKLDTLGCLTDYDRPSNKLVFGTTVIEKLCAVSFATEICSVKVLAVNVQASESMDMIIDLLRCFPCLEKLYIQLSSVLREKYHWHKLQNHVNCLDNSLRTVVLKGYHGTKSHVMLVTFFALNVKMLEATGFIHENSKKFIAEHRRLRQLQKRTLRSAWFHFTIGTCHHDIVHIKHVDDLSKVNPFESEKTKTTAPKSQDPEEIVGQSLEEPQRPPPSPSPPTSEPEKSHGQDPPPGAGVEEEEEEDKDEGVDIISSLPDAILGEIIFLLPTKAGARTQVLSSRWRHVWRSSPLNIDHIGLISAAHGDALVALISRIIAAHQGSVRRLCVPERYLYQRTSDVKAWLRSPALEYLDVLEFHSPPMSTFRYSKSDALPRLRRELLGK >Et_4B_037263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17428515:17439484:1 gene:Et_4B_037263 transcript:Et_4B_037263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPTDVQEPAVHLDKYAGIEFHSIWNMFILSSFSPVPMGKYSSEDESDVSDSEIIEYKEKSCTQLRSGKIKVKSGQKTFRCPFCPGKMKQAYDLKELLQHATGIGAAHKRKAKMRETHLGLAEYLEKDLARSLEEPLQMVVYKPETTNKVEEEKYVWPWMCILVNLQSVVKFEESCWETEDRVRAQFSQFRPLEVTILGNPSDQSLFATIKFAEELSGFDKASAFEMHFITKGCGKADWNRRNCTKDYPYGWLARSDDYFSSGPIGEHLRKNGVLRSVGDLVREGKEKTDRRISSFTSQIEEKNKQLEELELKKQVNAMKLHRMKEEKYRLVKEHNEKMNKMLQGEINKRCKQLEDLASNSDIVDRATLEAEIEKENNLLNLAIKKQEKENKSLCYLLEKQKVQEETDKILRRLTAYQMQLDVKHKLELEIEKLKGKLEAIKIRGAQEDPNLKEELKKVSNELKDKDEELEFRDSLNQNLISTDKETSEELEEAKKEMIRVPNVLVWHLFIENNLLVVKALEKRSRARSNMIIGVKIMRLLDQRAFRFACKKKIAKDDFEGEFALLYSKWEYEIKQPEWYFDVDGEKKETIQEDDEKLQALKAEYGKEAYDLVVRAIREMSEYSPHERCPEPELWDFKKDQKATVPEVAAYLPPSQIPMDHSSDEESDISDSDIAEYKEKTCARLRAGKMKVKHGEKAFRCPFCPGKMKQDYPMKELLQHATGIGAAHKRKAKVRATHLGLAKYLEKELASSLERSLQIVVHKPKTSKDEEEKFVWPWMGIIVNLPPDLKFEEFPRESEDKLRAQFSRFKPLQVTILENVNDQTLGAIVRFSKQWSGFNDASAFEKHFIVEKYGKADWSKGNCKKDDLYGWLARSEEYNSPGPIGEHLRNNGDLRSVGDVEHEALQATDRRVAYYALQIEETNKHMRELEVKNNQNVMKLERMMEEKDRLVEEHNKKIHKMQDTACKSSRRIIAENLKLHEELQTKRKEIDGRCKQLEDLATKSNINKAKLDAAKEKNAKDSGLLNLATLKQKEADKGLLRLVEKQKAETDAALENIKELERTLASKHKLELEIEQLRGKLEVMKHMGAEEDTNLKEIEKMRESLQEKDDELEAIDSLNQALIVKERRTNDELADAKKDMISGLYKMSGCRSNFGVKRMGELDHKAFIAACKEIKGGSGKQLALLCSKWENEIRQPGWHPFKVVMVDGQEKEKIKDDDEKLQALKAEFGEKAHDAVVQALVEMNEYNPSGRYPIPELWNLKDNRKASIGEVAAYLVKQWKTHKKKNVYF >Et_3A_027057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3158048:3165189:-1 gene:Et_3A_027057 transcript:Et_3A_027057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSLPPALHRALSLFPRIISTRQLLQAHSFLILRGGDRHPSLLSALLLSTLRLAPRQHAVSLIRRSNPSVVLRAAARLPHLRDRLGPQLHSLLIRAGLAASDTHVSASLVQMYCACGCIASARGVFDEMVDKDLVAWNIMIAGYVKAGDLIRARELFDVMPERNVVSWTTVIGAYAQMKQPEEAIEVFRRMQVEEGIEPDGVALLSVLSACGDLGAVDLGEWVHRFVVRRGLQREIPLMNATIDMYVKCGCIGKAVEVFEGMGQKSVITWTTLIAGLALHGLSLKAVEMFRRMERENVVPNDVTFLAILSACCHVGLTDLGHWYFNIMVSQYRIKPRVEHYGCMVDLLGRAGCLKEAQDLVQDMPFKANAAIWGALLAAARNHGDADLGEQALLHLIQLEPQNSGNYILLSNIYAEQERWDDVSKLRKAMKEKGLRNVPGASSIEVDGMVHDYRKNLTGGSSLSKRTLLTLVAKPKDLCKLAIPEKLSRRVDRDTQNYVIYTQFRELDLKTAERDIQRKLKKHVYGRQGCIGSSYLHFHAWSNAAG >Et_8A_056761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16449677:16451386:1 gene:Et_8A_056761 transcript:Et_8A_056761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAADAASAVPPYVVSAAEETLAAAESVGDHLSQLLAAAAEDPDAERLNLWQEKLNRFEDWDKAPLRPTTTLNTQAAARFIGHSLSHLTSDQKRSMQAISRGGGKSGQKRKPEPLPERKSVRVAAEEFLAKAAQELMGNSDSRVKGPVRLIPDEDED >Et_5B_043450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10584669:10589709:1 gene:Et_5B_043450 transcript:Et_5B_043450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARECWPCRTSPQQSALQPEARCPHVTAGHRLYEIARHRVTDFSVLELFIKKIGHVFGPLMVDLLQIKPAIQRLKVVIERGEIEGEGGEEEESCPQNCPCRQPINWKSQTISLPDLEEVLISYDFEGGADDEEVDFLKLLFRCAPGLKSMHMGVRDKHLQGKSSSEMSSHRHRGKRPAPPDPKGGDGDGEDWISSLPDDLLHLVLLRLRCARKAARTSILARRWRGLWTRLPKYIFEGIKPESVEAALAQLTHSALDHLHRATSLLRAAARLSTETASISLYNPVDEDEAVKLPCFDRTTSLVLDIRGVPIAPLPRRGKFHRLESLELATGSNIFSALLRRCPRLRVLRINANQQLEKVTVHSATLEELDVEGVQLWYDIRRIDIDTPELKKMKLNVEMDRKFSMTFSAPKVEELDWKFVREHRNVGLAILRLISLNYCLSQGVCTLRLNIDCMEEAFCTHNCSCRQPVNWENENISLTYLEEVHISYNLERGDEEVDFLKLLFRCAPGLKGMRVGVRDKVYKTVCSICEENPHVKCEVNS >Et_4A_035791.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:6041225:6043132:1 gene:Et_4A_035791 transcript:Et_4A_035791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRHSCWSLLPLILLLLLGFRRAGAQPPLSNETVAARFACNNAPAPCDTFVVYRTQSPGFLDLGSISDLFSTSRARIASANNLSSEDGVLLPGQPLLVPIRCGCTGNLSFANLSYPIRQGDTFWGLAGIAYENLTEYHLVQDMNRGVEPTKLEPRQEVTMPLFCRCPTPAEQGDGVQSLITYVWQPGDDMSKVSQLMNAAVDAIATANNVSVNFTSVMGPPMLIPVKQRPQLPQLLYMPSAGDGGSRARRRGIVIGLSVSGAFVACALCLALVAHRRYSKKASVHAGSRFLSPKLSWAKNQYGHDSNSSSAQMIKGGGDKLLTGVSQFIDKPIIFVEEEIMEATMNLDERCRIGSSYYRAKLEGEVFAVKPAKGDVSAELRMMQMVNHANLIKLAGICLGADGDYAFLVYEFAEKGSLDKWLYQRPPPSSSSSSSTVNAALSWDQRLGIALDVANGLLYMHEHTQPSMGHGDIRARNILLTADFRAKISSFALAKPATVDAAATSSDVFAFGLLLLELLSGRRAVEARVGTEIGMLSREIRAVLEAVGDKREAKLRKWMDPVLGGEYHMDAAFSLAVMARACTEEDPARRPKMAEVVFNLSVLAQPSPVGDAFEKLWQPSSEDNIGIAGAVAAR >Et_5B_043305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23524926:23526195:1 gene:Et_5B_043305 transcript:Et_5B_043305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPERSEAAAAGFPDDPLVEILSRVHAKPLFRFKCVSKGWCDLIASRLRGNKSRQTLEGFFFGDCGTYGYIVCNPATEQWVAVPSSGWTVSPLLKKQGGYQDDFTRDAHHFLIFDPAISSHFHLVEGLLYCISGHKKIEDGRDYITGLSIWVLEDYGAEQWVQKQSVSCHQLFGEMSCGIDELDVVAVHPDRSLVFIVHGYNQKLISYDMDSMEVCSLQALGHGCRSVVPYVPHFEKLPTPVPRHIQDLFVKLESGGPSNLDEST >Et_8B_059736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:21061728:21067681:-1 gene:Et_8B_059736 transcript:Et_8B_059736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKVTVALTLSLVGGLSTSLGALLAILNRAPSNKTLGMLQGFATGLMLSMSFFDLAYDAVNAIGFLKGNLWFFAGALLFSTIVDVFPEPDCSSIDETDKQKTGNNMAGKELMMRHRRRVIFSVVVTAIVAGVSLQNFPVGTAAFLGTTKGVRVGLNLALAIALHYIPEGISIALPAYFATCSKWQAFKLATLSGFAEPLGVIVVAYVFPSNMNPDILEGLLGLVGGVMAFLTLYEMLPLAFEYAGRKDAVKAVFVGMAFMSMSLYFLDISLPKEMSA >Et_2A_014905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21218054:21218329:-1 gene:Et_2A_014905 transcript:Et_2A_014905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKKNDELLIPFADFLLQVKEITERHSREVSRWTPNVLLSLHEVSTRTLICINL >Et_8A_056371.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:5060985:5061158:-1 gene:Et_8A_056371 transcript:Et_8A_056371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEMASVHTGAAPRYFDALQLVAKWKHRNAVVFRGEAPNLSRLLRDCWEEASLWIC >Et_2A_018137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1349163:1352247:-1 gene:Et_2A_018137 transcript:Et_2A_018137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSVAAAHATIAARAGPAPGAAGAAAPSERLGFRLSSLAGRGLRSPLPARRAPAAASSSRRRQSVVRAAAVETLEGKAATGELLDKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKEEDLKQFRQWGSKTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDSEIVDHYTYCILGDGCNMEGIANEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVSARFEALGWHTIWVKNGNNGYDEIRAAIKEAKSVTDKPTMIKVTTTIGFGSPNKANSYSVHGSALGSKEVEATRQNLGWPYEPFFVPEDVKSHWSRHVPQGAALEADWDAKFAAYEKKYPEDAATLKSIITGELPAGWADALPKYTPEIPGDATRNLSQQCLNALAKVVPGLIGGSADLASSNMTLLKMFGDFQKDTPEERNVRFGVREHGMGAICNGIALHSPGFVPYCATFFVFTDYMRGAMRISALSEAGVIYVMTHDSIGLGEDGPTHQPVEHLVSFRAMPNILMLRPADGNETAGAYKVAVLNRKRPSILALSRQKLPNLPGTSIEGVEKGGYTISDNSTGNKPDIILLGTGSELEIAAKAADELRKEGKTVRVVSFVSWELFDEQSDEYKESVLPAAVTSRISIEAGSTLGWQKYLGASGKAIGIDKFGASAPAGKIYQEYGITVENVLAAAKSF >Et_5A_042720.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:323179:325245:1 gene:Et_5A_042720 transcript:Et_5A_042720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRISSPFSQQCAVSIAVLLQVVALALAQPDQDAGCNGILLSYTLEGRDKIRPFVPAADSQPYSFRANATVLNSGTRPLRSWALLLTFAHGEVLVGVDGAVLTSGGDLPYNTTAADAVGNATSFSGFPQTDLLTPIATAGDLSKIQATVKLVGTLFAGPEPYVPLPSALSLADPAYACAPATNASSTTILSTCCVLTPAAAAAGNASSELDGAAARATSFLPRGTGDLVISYDVLQSHENSYLALVTLVNNAPLARLDGWQVSWEWQRHEFINSMRGAHPLEIDTASCLYGPQARHYQDFDFSKTLTCASRPVVLDLPPSRVDDADMGRIEHCCRNGTILPKSMGVAQSKSAFQMEVFKMPPDLNRTELYPPANFRIAGALPQNPDYTCGQPVPVSPTEFPDPSGLPSTTLAIATWQVVCNIAATRSNRSSGPATASPSKPPTCCVSFSAFFNESVVPCNTCACGCPRTTTASGSSCSTTAPPMLLPPHALLMPSDFRTREALVWAHDRRLPVPAHPLPCADNCGVSINWHLAADFAGGWSARLTLFNWDHAADMPDWFAAVVMDPNAYGGFEQAYSFNATPVGNGTIFLKGMQGFNDLLLRETNVSGVGYPVPGKLQSVLSFTKKTSTITGIDVVAGDGFPSKVFFNGDECAMPLTIPSHGGVKTRASGAVRTMLLLLASPLLLLL >Et_1A_005579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11701224:11701616:1 gene:Et_1A_005579 transcript:Et_1A_005579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKHHGLFHRNEEEEVDYEKKEKHHKHMEQLGKIGAIAAGAYALHEKHKAKKDPENAHKHKTKQEIAVAAAAGAAAFVLHESHEKKDAKKQGQN >Et_1B_012173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29685312:29687963:1 gene:Et_1B_012173 transcript:Et_1B_012173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRCSSAHHSLLGSPTCLARPRRGCTVVRAAVAVEAGAQPKVSLIRIGTRGSPLALAQAHETRDKLKAAHSELAEEGAVEIVIIKTTGDMILDKPLADIGGKGLFTKEIDDALLQGRIDIAVHSMKDVPTYLPEGTILPCNLPREDVRDAFICLTANSLAELPAGSVVGSASLRRQSQILYRYPSLKVVNFRGNVQTRLRKLKEGDVHATMLALAGLKRLNMAENATSVLSVEEMLPAVAQGAIGIACRSNDDKMMEYLSSLNHEDTRLAVACEREFLAVLDGNCRTPIAAYAYRDKDGNCSFRGLLASPDGSIVYETSRSGSYSFDDMVAMGKDAGYELKAKAGPGFFDSLQ >Et_1A_006283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:255634:260169:-1 gene:Et_1A_006283 transcript:Et_1A_006283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVDPAPNPTSDLPNGSSSAQDKKKSRESERRRRRRKQKKNKAASNAADAGGDEEAANDNADPNPQVEVEVEYVPEKADLDDALLADFKSIFDKFTFTDSPAADKDAETKDEAATDAPKKGDGSDSDDDESETQQKKDGGVSNKQKKRRMKIAELKQLCARPDVVEGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSHGDLYYEGKEFEVKLREMKPGILSRELKEALGMPDGAPPPWLINMQRYGPPPSYPSLKIPGLNAPIPPGASFGYRPGEWGKPPVDEDEPVDRSKHWGDLEEEEEEEEEEEEEEEEEEELMEDEDMEDGIQSVDTISSTPTGVETPDVIDLRKAQRKEPERQTEKQLYQVLEQKEERIAPRTLYGSSHTYVLGAQDKASAKRVDLLKNQKSDKVDVTIQPEELEVMDDVLAAKYEEAREEEKLRTQKEDFSDMVAENASKRKRKQEKEGKSKKTKEFKF >Et_5B_044392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23759887:23765101:1 gene:Et_5B_044392 transcript:Et_5B_044392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKAANLDAVLKEAVDLVSPSVSSFCFRELAPFSPRFLASLCLQRRIRDALLLGVALPLENIPLEEVFDNLRCSRQGLSTAQAEQRLDLFGPNKLEEKQESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWSEVESAILVPGDIISVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCIVSIALGMAVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFQRGVDQDTVILMAARASRTENQDAIDATIVGMLADPKEARAGIQELHFLPFNPTDKRTALTYLDCEGKMHRVSKGAPEQILNLAHNKSDIERRVRAVIDKFAERGLRSLGVAYQEVPDGRKESPGGPWQFIGLLPLFDPPRHDSAETIRRALDLGVNVKMITGDQLAIGKETARRLGMGTNMYPSSALLGQDKDESIASLPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVDDSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFATGIVLGGYLAMMTVIFFWVAYKTDFFPRVFHVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFFVAQLIATLIAVYANWAFAAIKGIGWGWAGVIWLYNIVFYFPLDIIKFLIRYALSGRAWDLVTENRIAFTRKKDFGKEERELKWAHAQRTLHGLQAPETSIFENKTTFSELNQLADEARRRAEMARLRELNTLKGKMESVVKQKGLDMETIQQSYTV >Et_10A_000543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12511122:12516559:1 gene:Et_10A_000543 transcript:Et_10A_000543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISSVRNSTGGRRSSDRRNNSSSWISEIKDISNVTVKKKRGRRAPPSAKRIRGKKVDKASDSDDAVENDINDSDGGVGTSQSTGHIKECADERTGDPDLPKTGHSGNSSDGPSASEEQNRSANSKDMIQKASSRTREKASVSRMRSKRGKRHLNDFENEAGSHMEAAIAKKGTDEERSQEIKDDEVSDAQVDSTSSGDKSSEEVEDVKVCDICGDVGEEDKLAVCSRCNDGAEHVPDSEWLCEDCQSAVELEKKKLEKCEVKADTSKQQSFDAAKSSSSDNTVNVKKESDTANVKKKSDTANEDSDMANDRVEGDTAITSTVNETISEPGGLYICADSSKRTPLSRASLPKSNVDRGKLPSQVATSLASGATKNQAPEPRGQLSKSTSFNNSKIPKVKQLHEVPQKPKLLKESWTSAIRKEGSMSMTTKSPTFKKPKPCEPANKAKPSSLSTPEGPMMTNPLLSQNATNDSGTSILGCPSATASVVSKSDATAQYLASGNIMDGSNNLSTTHGRGGKNSHGKSELKKPPLANVPGNMMISNAEKCSGILGPGAQRKVMQNSDPSQRDNKIKDAPGFRTGASSQRCNEVGHSTQFCAVDKLRLSAIKPLSERNLKEASAKRNSTPGTSTLATSEQATSRSADQSEQILKSGACQNLRDESYQGFPSGDEQVTLTVPELDYIWQYEYSSLSIVYVELFSYFVFYIDRFLYIHGITNFHKLRDIGGFELWRTGRSPELCDGFQAHLSCSASQSVLEIAKKFPSNVQLEELPRQNSWPTQFQQNCPTSDNVGLFFFARDVQSYETHYRWNMFYFLWGVFRVSRKDCSNLPLDVPKCTRESNLNEDPRAVDPNAILLSSHSIVKDANNFAEPDPNLVKSATCADNQHLPSLDADRQQCLNGDNSLNQLGGGKPLDEHHDSVTARCSTNNNGATDHSTATAKINSSEHQDRMRDTIDSNVSGRDFDVNMVPDDCSVPFYDEEPGKESTTINLNDAEQPMDIDHTNTPEVKKGALDSFSHASSGTRKRDFGMVNGTDEVDGALEHKKIKMDNVVSANSVLCDNTVDVKLSSKVHPFSGLSVDDGTDNKAMANGDGKCVFPLDLNAMDDAVSGDVANSPSSDALEQQTGGESFSEKVLSSISPMVGEKQNNGDSLPADITGSLSLSLGFSMRKEK >Et_10B_003073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16226902:16227688:1 gene:Et_10B_003073 transcript:Et_10B_003073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKTQKIGNYVPLPVEYGPKYWFLWGQTYGAYSMFMRLFILPTPSNIYQAYSGCVVDINTNEMINTGGCVVDINTNEMINTKEIINDLEFCCSQCGAVASGVTDNCIRFVTSVCHNLKSVCLINCLSLKEKAWMIIASNCLALQNLMIDDGLSPIAKQCNNLKSLHIEGSSYVTEASLRALVRDDKRDLSLLLWEAASKLEKFELKDMMAGESHLSGARQSSPFQGMEHHPSEIPC >Et_10B_004082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11886995:11889510:-1 gene:Et_10B_004082 transcript:Et_10B_004082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKKKVSRSPCQKGKLCDSPIDKDDSGIDPSYKLFQENLQMETKKTLSRSPPQNGKLCESLIATDDSEKDPSYKLFLENLRIDGDTYVLDVPKGDHGKHVYVRYEEEDTTYGIVNKDKNDTDFHNSSLRRSRSAPNGKQLRETPDKVRGRKVGHSFSPWTSSMKKKMKTSPVDESYELFLSLVKFKDDHMVIEPEPGVTIVYEQEEDMPARCELRAGSSTNGTEPLKTPSENMEEYANLCLKGAYMPSISKPLAANPISTDEEHEPTPRGEQ >Et_7A_050528.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:22660543:22660842:1 gene:Et_7A_050528 transcript:Et_7A_050528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMLSRGDYSEDPTHWTLRPLLYEFSRNNEYIVFTVCKVPREHNIRAHDLAHESIKMSPALEYQFICNHLAHQHQCPIREAFQLVSWGELTPISITCG >Et_3B_030831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7840163:7844615:-1 gene:Et_3B_030831 transcript:Et_3B_030831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAKRAKPSPAKPPGAQPREDAQPAEDPVVLLRRRWELASVLHFLRVFEPVIKGDLELSAEDIEMALVSNNQNLARLHIALLKVGIPPANKNLKIEDGWMIVTAKKLSDWWSWVAEGTNPLKTDPGKEVETYKKQDPIKRLLILKALCEVRSEQGDAVWYVNDEMKKGTDISNFRKGKLGSGSSGTIYWYDGDSTIGHRLYTEDVTVDYKRNWKGRSGRLTKPVINIHWETVATNLDEFLEISEKLCKKGPAESAVAEYLKTEVIPAVEKLQKKRERALKRQQKKDERLAFANSYQPRSLRERRRVSYTYSDYDRSIMEAIKVASKAKEHESHEEGTKDKRASRQGDKGANGSSDTNSEHNNDGLKDATYLSDLSSDDDEDRDYSDKGGDSPGSDGDNNAYDPHESDMEEEDAFVTHKRTCLAARMVNDKPRQGLRRSQRNVKNNEDTMEPGQLTPQAMTKKTLRQRPTPVSKQPETAFSGSEDDRALTVADSEDESEDYRARIVADSEDESE >Et_9B_065259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2915525:2925773:1 gene:Et_9B_065259 transcript:Et_9B_065259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVWDDFFNVRLRDGFNFVRVAYSTCDSGSRTTVMAMCLTIAMAASSTKAAPPLTSSSGIPSLPRSTCNGALHYCHATATIRAAATTDPSFASNFGPEELTDALLYSSKAVEWSARTTVHECYYFGWELPPALAEDTVPILHVLPCETDYRYGHMLDCRHGRILHQDRATSDFHRLGSHHRRGASPFLVASISTNNFGPEEFTDALLYSLSTTVPVSARRGAPFGWTHHLRALDTPPSASDGHADQRPSSKEMECILDYSGSRKLDCSSSTRQISCSLGFVLKVSLTDLSSGLHSLDSSYQFVVPDYAVADIDKDAIKNINYLPKLVSITLVCPREPDYRYGHVLDCRQDRVLHQGRATFDFLTWDPIIA >Et_5A_042597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21408996:21409323:1 gene:Et_5A_042597 transcript:Et_5A_042597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDVIALASGPSYAVELGRLDGLSSTASSVNGKLAPPSFNLDQLTALFATNGLSQTDMIALSGKSFSPIRSFPLLNITELD >Et_4A_032673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12425045:12427120:-1 gene:Et_4A_032673 transcript:Et_4A_032673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAADAGDRSGQDDQQPAKTLVDWALEILSTADPDEKALLGDLAASLWLRGDIPLPYDPWRPAPTPPDRPARSDAVRLLPPSRAPKLGKGGSAQSRLAMLHSLAHTESWAVDLSWDIVARFGPAMRMPREFFDDFALVAQDEGRHYTLLSARLRELGSHYGAMPAHDGLWDSAMRTSHCLLARLAVESCVHEARGLDVLPTTISRFRAGGDEQTAKILEDVIYPEEITHCAAGVRWFRYLCLRSRHGDPITYSVPRPDPYCSELSGDGTPDAKAVEGLGDELTSRMTQGVNRGDNTNQDVRNESLQGINGLNKMAQEVEDRLAKCELGNNVDEDEAAVITTFHKIVRGYFRGPLKPPFNVEARKAAGFEPAWYEPLAVKEVHVEEESIE >Et_10A_000102.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:23567563:23568339:-1 gene:Et_10A_000102 transcript:Et_10A_000102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSSTGGTSPPCASCKLLRRRCTQECVFAPYFPPEDPHKFAIVHKVFGASNVSKMLQVTRRSILCLLASSPCRNHFHISSMPCMARSAISATYLSSMGHCLQELPAQQRADAVSSLVYEANARMRDPVYGCVGAISYLQQQVSQLQMQLALAKAEILCVQMQQNDGQQGHPTAAPASAKQQLQHQEMDGEAYGSMFMQNGLMMNTLNSSAAHHQQHLLGSFSSSGSTAMMLQE >Et_9B_065882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16663625:16665575:1 gene:Et_9B_065882 transcript:Et_9B_065882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPIIHADAKIADELKPTALVLSFGRSGALPSEMDLIKTFSRYGPLKETETEVHQDTNTAKVVFKKRVDAVSVLLANEMTGDKAPRCRSLRGTAR >Et_10B_004173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17500868:17509087:1 gene:Et_10B_004173 transcript:Et_10B_004173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADAEPVDPKNHLEERCKPQCVKSLFDYERCIKRVEKDETGQKHCTGQYFDYWSCVDKRSSSSAKMSGKAGRLDGSASQHLFIKSNSFGCAFLGSPGRNPCSQNFNSNILTKLRSEMNQTMNPALRRNQQKN >Et_1A_004559.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:17095895:17096257:-1 gene:Et_1A_004559 transcript:Et_1A_004559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFMLGLAVPYHHWTTAGHHTGFVVMYGFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQDPHKPEAGYSPGIGIRNALFVLAGTNFLGMLMTLLVPE >Et_5A_041694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2614259:2617529:-1 gene:Et_5A_041694 transcript:Et_5A_041694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SDRRRAGLQERLDVPEDPAGVGELGEDVEPVDGLGELHDAHRHPLPPQHGRVPPLPVLQRVEPADHHHRRRERLRKLGLRRRLAGDVRGRVVPVGAGRQERAQRPVRAPEVHHRRHALQPQLRGRPLLAAEERLDQDDAGEADDGGGGRRGGGSPALRHVVRDVAAGAVASEVAAGEVDGGDGEVGGEAGGVAAEEAQHVDAVVVGGGVRVLGGEAVADRHHDGAGLAAEPAAHGVDGDGRRGEEREPAAVEVDDDGERRGVVGRGGRRRGRGEDARPEAAGGVDGDVAGADAELVRARPRRGLAVGEREREAVHRAVAPLRDVGGGHEAEQLQPHRPRQRRLTRRRGCRFLRRLGIGSGFHLALPFPLWLLALGARTRLNGDASVSTCSMYNGSVAVDECLHVLQHLGGVRELREAVEPVERVGELGHLHLLPLPPQHVHVPPLPVHERVEPADDHHRRRERLGEAGVLVGHVRRRVVAVGAGGEERLPREVGPLQVHHGREALEPELRRRPLLAAEVGLHGEDPGHAEVRRRRLPLRRPPVGHVVHDVAAGALPGEEAAGEVDGDAGVEARDAAVRVEVAQRVDAVVVGRGAAVLRREAVVDGHDDGAERGAEPAAHAVEGADGRREQREAAAVVVHDDGERTAVRRRRGRRWREDARPDAAGGVHGDVKGAHARGVRARVGARAQHAVDHGVEAAVHRAVGALHGVDGHRETGKLKPRRQRQRRRRGALGGVGSCYVLAGGLHFLALADSTLSRTLSLCFDVHVVYI >Et_3B_028895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20164107:20167641:1 gene:Et_3B_028895 transcript:Et_3B_028895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWHDLCTVLTAVVPLYVAMILAYVSVRWGVFTPDQCSGINRFVAIFAVPLLSFHFISANDPYAMNLRFLAADTLQKLIVLAGLAFWSRLPSRGGGGLVPRLDWSITLFSLSTLPNTLVMGIPLLIAMYGPYSGSLMVQVVVLQCIIWYTLLLFLFEYRAARMLITDQFPDTAAAIASLRVDPDVVSLEGGRAETEAEVADDGRLHVTVRRSSASRRSLMATPRPSNLTGAEIYSTGSSRQHSPRRGSSSFNDDHADFFAMVDGAPAPHATTTPAGARGSSFGASEVVYSVHSSRGPTPRQSNFEEQQYSASARSRLAAGVPCSHDAKELHMFVWSSSASPVSEVSGLPVFTGGAAVNGGAKEIRMVVPADLPQNGKEKESNGALAAAECEAFRFIASTKTVEDAEAGEAGPGGLTKLGSSSTAGLRTKDMDGAADAAGGFPDARSHQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLAWSLIAFRWHISMPVIVAKSIAILSDAGLGMAMFSLGLFMALQPRIIACGYRTAFYSMAVRFAIGPAVMAAASFAIGLRGTLLQVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPFTLLYYVLLGIKQ >Et_10A_001761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7898700:7901460:1 gene:Et_10A_001761 transcript:Et_10A_001761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPSCTDRISCSCLSESLHRSGAAYQLPAAAQPSEQAAEEHSQVRRLMPRYSALKGALLQSVFTRPPWVAQSSADQMLEKAYIGRCIGNGTPSFSWFRNTTRHADPAVDDVAAAAEKREICRATDLMRRMPPGSAETTLNALLSLDLLSHVDLTLQVRSSSSVQPYADLREITGVKAYPAPACTAVEAHALCYCTCFVYLRSAGCNCTRKCRRGGHGLPRASGVNLDERVRGGIA >Et_3B_029414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24840465:24848183:-1 gene:Et_3B_029414 transcript:Et_3B_029414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITPPKSPAPQADPSPKAGRPAPSAAAAAAKRGAGGAGGSLMGKYELGRVLGHGTFAKVYHARQVETGESVAIKVLDKEKAVRSGLVSHIKREISVLRRVRHPNIVHLFEVMATKTKIYFVMELVRGGELFSRVAKGRLKEDTARRYFQQLVSAVSFCHARGVFHRDLKPENLLVDENGNLKVSDFGLSAVADQFRPDGLLHTFCGTPAYVAPEVLARRGYDGAKADVWSCGVILFVLMAGYLPFHDKNIMAMYRKIYQGEFRCPRWFSRDLTSLLMRILDTNPNTRITLPEVMESRWFKKGFKPVKFFIEDDKLHNVIDGEDDMLGMGPADPAPQPQPPPQPPLPPQKFDGDDSGSESDSSLTSCPATVSSDESQKPRGSLPRPASLNAFDIISFSRGFNLSGLFEEKGDELRFVSAEPMLDIVTKLEEIAKLKSFKLRRKDWRVCLEGTREGVKGPLTIGAEVFELTPPLVMVEIKKKAGDNDEYEDFCNKELKPGMQHLIHHIVRTPKEQRLSGDHGRPVQARPPPRRGNFAKVYKAHKVATGEAVAIKVFDKDAVRRSGTVDQVKREVDAMRRVRHPHVVRLHEVMATRSRIYFAMEYASGGELFARLLPAAGHGPAVEFCHGHGVYNRDLKPENLLLDACGDLKVSDFGLSAHRVLHACVRRPRGNKSQIVQHNICVDVTCYTEECNGVGVSVLQKRGYDGAKADIWSCGVILFVLLAGYLPFNDTNLIMDSSYKCPPWFTVETRKLLARLLDPNPRTRITIAKILTAAGLLGKEACRDHHRHEDEEEEDARQRKRSKVTVSSAPTIAVTPSRMNAFDIISRSSGLDLSTMFDEDHRSEARFSTREGATAIVSKLEEIAEARWFSVTTKEKGRVAMEGGQDGALSVEAEIFEVAPSVRVVELRHTGGDSLEFREFYKQDLKSSLGDIVWSWQGGDSPPSLATAARRRKNTDLF >Et_8A_057495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3644085:3648361:1 gene:Et_8A_057495 transcript:Et_8A_057495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LGRIVPLLPAAGGRGSVGDWARAVSPIRVWARKAGCRIGVWSCRAERVLHVVAIGEWLGESCGDGCTIGAMPLFKRTPFSLLDPPKDLDPKEKVFQIRFTKEIFRDYQEYLKRLNLYRQRFWSCKVSGKSNLTFEEALVSEHTAMEKAQKLPTEFTAHILRMTQYSTLSLNELVNKIYASLQEEVFEGTELYAKKDGSEAHCKILKILDSGDSKMYEVGWFGRGKTIISTSLVKAADLIQRRSPVSRNILRIFIRDATSQSIPWVIHEHLSKKYGIPNEPPKDMCTEGRKRGRKRREDGTTEDGRKKLKGDEGNTDVRIKYPIDDLLIRPAGDDPAWLKRPPLATEFRVPRYSVGDLLMIWDFCMSFGRLLKLSPFSLTDLENAICHKESNVLLVEMHAAIFHLLIKDEGDYFTILKNKKRKLKVSLVTWAEYLCDFLEMSKSEEFAGNISTVRRGYYGLIDSDIKLKILRELVDEAVTTSAIREMLSERVDQKQVLAATRRESARKEKENLSPETARENEMDQIDGVQDGGGSVDVQVGGTELDKNNISRGKTDGKRQPVRKVETENDKLSIRSSPLGKDRNYYRYWFFRREGRLFVESADSKEWGYYSTKEELDALMGSLNVKGIRERALKHQLEKLYIKISYALEKRTKDIAHKMLLEEAVLRRSTRVRAQPKDNPSMAFLKYVNKWKDN >Et_2A_015832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18176712:18179705:-1 gene:Et_2A_015832 transcript:Et_2A_015832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFLLLPFSFSDNRLVLKSHYLLAPPSYPRQLRLRLLLPEQLHSSRALGIWYNDILGLTVVWVANRETLATNSTLSAPALSLTNTSDIVLSDAGGRGIWTTNAAGVPRPSPPANGLAAVLLNNGNLVIGSPDGTTLWQSFDHPTDSFLPGMKLRISYKTRAGTRLVSWKGPGDPSPGSYSCGIDPDTRQQVFVWNGSRPVWRSDPWTGFSVTTDQDKANAGGDLYYLEVLDTDKERSRSASPTAPRTLGSSSLTPASLSSRIGTSTRSVAAEQLQLLSYRFCGPSAYCDITDAAPTCKCLDGYVPATNGSLFLRGCRRTEAFQCGGRFTALQGMKLPDKFVPVADRRSMEECAAESGRNCSCVAYAYANLSRSSSTDTKLAPSSRCLLWVGDMVDAEKIGLIDVSETLYLRLGASGNSGEKNKPNVVKITLPVVAGVIFVSSILIIWACKFRGRKANTENHNKIHWGWTPFDELGSDNATHDLEFPFLKFQDILIATNNFS >Et_2B_020978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25408143:25411198:1 gene:Et_2B_020978 transcript:Et_2B_020978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFHRRYFRIIINFIAFAVCFSNRALFAILYFFSFVLDGVDGWFARKFNQASTFGAVLDMVTDRVSTACLLALLSQFYRPGLIFLILLGLDITSHWFQMYSSFLSGKTSHKDVKHTGNWLLKLYYGYRPFMAFCCVSCEVLYIMLFLFADEKSTSLLSVCRGVLKQSPLIVLVFICTLVGWAVKQITNVIQMKTAADACVVYDLKRGK >Et_7A_052513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8732208:8734475:-1 gene:Et_7A_052513 transcript:Et_7A_052513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTAAAPHHRLFEFAKTALIKIFAFPYATVCDLYCDGGVDTDRWCDAQVGHYIGIDVSASAVSDARERWEGKKKPFTAEFMELDPSDDGFEAQVQEKGIQADLCFESEEHARKLLNNVASLLKPGGYFFGLTPDSSTIWTKYQKNVEASHNKGLKTVPNSIRSENYTITFEVEEEKFPLFGKKYQLKFANEAVFDNHCLVHFPSLMRLAREAGLEYIEIQNLTEFYDDNRTQFAPMLGSCGASFIDPRGKLLGRTHDILGLYSTFVFQKPDPDAIPPIVTPDLCDPDNVHEEKEWLQGQQASTDDGRRPHVDVILPTDPAKGILGPGPADMRL >Et_2A_017551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35333526:35337477:-1 gene:Et_2A_017551 transcript:Et_2A_017551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLALLLASALAGAAAGGDIVHHDDEAPKIPGCSNDFVLVKVQSWVNGKEDDEFVGVGARFGPKIVSKEKHANRTKLTLADPLEYCSPPKNKVSGDVLLVQRGKCKFTKKAKFAEAAGASGIIIINHVHELYKMVCEKNETDLDIHIPAVLLPKDAGVGLHTLLTSGNTDRPVVDTAEVFLWLMAVGTVLGASYWSAWSAREGDIEQEKLLKGLQTCLVALLSRWFKHAAESFVKVPFIGAVSHLTLAVCPFCIVFAVLWAVYRQQTYAWIGQDILGVALIITVIQIVRVPNLKVGSVLLSCAFLYDIFWVFVSKRWFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFALRYDFAGKKSFQSGYFLWAMVAYGSGLLITYVALNLMDGHGQPALLYIVPFTLGTLIALGWKRGELRNLWVRGEPERVCTHMQIQLQGPTTTPNKHDDQ >Et_2B_020232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18117548:18120201:-1 gene:Et_2B_020232 transcript:Et_2B_020232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASSSTPSPSPAAAARRRSPGTRRVRKQKLEEVLEQVQRAIEMLRDAETDVGVPLSGIDAVEAPSENGGSMGGRDDEDDAASSSVAGGSDYETNELCDLLKSKVQSLEFLQNLDGIQKSLHQNGAVEPDLSWDIIKAVDYWEDDGSDDGYVLVNQEDVVDGITSFMAAYLLSLKQTKEMSPNQLQKALKKTFSAQKRKSKFQKAWDGTKVIYNVASWGATAVGVYNNRAIVAVAATAFRTSFRGKTNPTTEDETEDPGPVAK >Et_9A_062669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:295786:302281:-1 gene:Et_9A_062669 transcript:Et_9A_062669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAELSSRRRGEGRGYQLVDQSHPMHLMAQVSDPPEAEIETGIGTSIRKDAIAGRGTTRPDNSNVQFVDYQAWSSESNHVTDLVSHAYSKESLPLGREYYSQRPYFPHSTSSWGRTSLEAASSSPEAFGHAVRNKTNTTSARPGYLASCPTAAPDLRKYPAAINADFDLDDSEYRYQGSDQIAALSSRYGRSMKHCNEMVGYARGSHHIDDEVTPLSSLWCFDDGGPSLPRGVQYGDEIPSLSRRKYNEVPSRSSLWRYGSGIASFSRGHDYGDEILSLSRNWRYRDNNPLRSGHWYHDVDAYPLTRYRQGASHGNGHSRHNFARMNTNGQVKITTSKHTFTKPRSNRVLNSNDNYRINIKDKPAIRDQVRGPRANKFYDTSGSSIEKAILSPLVRRDQFNKPDFPIHYEHAKFFMIKSYSEDDIHKGIKYNVWASTPNGNNKLDAAFHDSQILMKEKGKRCPVFLFFSVNTSGQFVGFAEMLGPVDFKKTMDFWEEDKWNGFFPITWHIIKDIPNRLFKHIVLENNDNRIVTFSRDTQEIGLPQGLEMLRIFKGYCPVTSILDDFDFYEEKDNARGAQKRGNSESIHQATFSDDYRSMENLEASMENWGTMAVKREYFTRSDSLPLSSCSFAPETTQYPGVS >Et_5B_043460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10689178:10690203:-1 gene:Et_5B_043460 transcript:Et_5B_043460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEWMTRADHYWNKWAIRWAAGSSLGLHIVVLILADFRRDNRTCPVLTLFLWLAYQGMDIAGSFALGNLMLNETGDPDEVAKSEQRLVAFWAPFLLVHLDDDNLGWRKVVAGLPKFLGVLHILLFKLWLDDCSGLLRPASGAMFLVLLATSHRNRRRDCGARSSSVPTKFTKRCTHGWRGYLQRLLSPLATATAFILFCLYPKECLQPADVQIDHLRPAVQRSVSGMWCGWWWPWRPSTQNNSWWPGHGSGSAIRSCATAGGRASLSFSVRGGFSVPEIQLEATTGRTPSGGTTYWGRAPVLLLAGELL >Et_2B_021052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26059546:26063533:1 gene:Et_2B_021052 transcript:Et_2B_021052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAASDSVKQPLLHRAYPSHVASASSPALPSAPPGSAGRRFPGGLDVPNLKKRGGGTRSWIRVEAATASVQTLEIDKATMMRRCDLPARDLRLLDPLFVYPSTILGRERAIVVNLEQIRCVITADEVLLLNSLDSYVLQYAAELQRRLLQRAEGDELPFEFRALELALEAACSFLDAQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKLRTESSFYGDQSLLGYNPAAAGTSVSAPVSPVSSPTESRKLEKAFSLCRSRHDSVKSSDNTATEHIQELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLTYLEPEAEYRSALATRSQFMHHVSLSAYPFAA >Et_7A_051647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2211452:2235719:-1 gene:Et_7A_051647 transcript:Et_7A_051647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARSWAASVLPPELAAASGGDPLAALAATAAALVAGLLILAFWFRSGGGTPAKPVAAPVRPPPVKVDADDVDDGRKRVTIFFGTQTGTAEGFAKSMVEEARARYEKAVFKVVDLDDYAQEDEEYEEKLKKETVGKEKEVWLKDLKYGVFGLGNRQYEHFNKVAKVVDELLHEQGGKRLVPVGLGDDDQCIEDDFTAWKELVWPELDQLLRDDDDTTGASTPYTAAIPEYRVVFIDKSDLSFEDKSWTLANGTGVIDAQHPCRSNVAVRKELHKSASDRSCIHLEFDISGTGLTYETGDHVGVYSENSVETVEEAEKLLGLSPDTVFSIHADAEDGSPRKGGGSLAPPFPSPCTLQTALLRYADLLNPPKKAALLALASHASDPAEAERLRFLASPAGKDEYSQWITASQRSLLEVMAAFPSAKPPLGVFFAAIAPRLQPRFYSISSSPKMAPSRIHVTCALVYGPSPTGRIHQGVCSTWMKNTIPVEYSEECSWAPIFVRQSNFKLPADPSTPIIMIGPGTGLAPFRGFLQERLALKQSGVELGTSILFFGCRNRSMDYIYEDELQNFIQEGALSELIVAFSREGPTKEYVQHKMVEKAIEIWNILSQGGYIYVCGDAKGMAKDVHRVLHTVVQKQGSFDNSKTESYVKSLQMEGRKELVWPELDQLLRDDDDTTGASTPYTAAIPEYRVVFIDKSDLSFEDRSWTLANGTGVIDAQHPCRSNVAVRKELHKSASDRSCIHLEFDISGTGLTYETGDHVGVYSENSVETVEEAEKLLGLSPDTVFSIHADTEDGSPRKGGGSLAPPFPSPCTLRTALLRYADLLNPPKKAALLALASHASDPAEAERLRFLASPVGKDEYSQWITASQRSLLEVMAAFPSAKPPLGVFFAAIAPRLQPRFYSISSSPKMAPSRIHVTCALVYGPSPTGRIHQGVCSTWMENTIPVEYSEECSWAPIFVRQSNFKLPADPSTPIIMIGTASYKTIWRGTGHFNSLLRMQEPQYGPTKEYVQHKMVEKATEIWNILSQGGYIYVCGDAKGMAKDVHRVLHTVVQKLGSFDNSKTESYVKSLQMEGRYLRDVWGHQEPNFTAMEVKRCLKVVLSGAEDPPKGKKFKLTEQQTVYYPHVKGAQGYPRSEHQHYDRSVPLHPFTLPSCSLGNSEEDGGTGMTPPGQVEQFQGTNKQAEINTVHTWELSDVKMKTMPISQLPSRTIAGGNQPIRRVVAADLGIHEAEERTAGDDLCVGVVDEVPAGAEACGAEALGAGGHGQDAGPEGLLRGGEEEGGEHRGLAPLRLPLLRERAVVPEGG >Et_4B_039952.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:6634014:6635651:-1 gene:Et_4B_039952 transcript:Et_4B_039952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPAAVMERERLTAEMAFRGDAKQLDGEDRAPSIVIKIRRRLPDFARNIKLKYVKLGIRHGGSPTSLLPMLCVPALAAAAYSFVRLDVIYYSIDLLTCVAWLGTALILLTVYYFKRPRPVYLVEFACYKPEDELKISKESFLEMTESTGSFNEAALDFQTKITNRSALGDETYLPPGVQARPPRLNMEEARKEAEAVMFGCLDALFASTGVNPRRDVGILIVNCSLFNPTPSLASMIINHYKMRQDIKSFNLGGMGCSAGLIAIDLAKDMLQANPNSYAVVLSTENITLNWYFGNDRSMLLSNCIFRMGGAAALLSNKRADAGRAKYRLLHTVRTHKGATDECFNCVYQREDEVGKVGVSLARELMSVAGDALKTNITTLGPLVLPLTEQLKFLKSLMMRRVFRVKGVRPYIPDFRRAFEHFCVHAGGRAVLEEVQRSLSLEDTDMEPSKCSLHRFGNTSSSSLWYELAYAEAKGRVRRGHRVWQIGFGSGFKCNSAVWRALRDVPAVSSRAGGGAAGPDRKGAKSCNPWVDDVDRYPPKAYV >Et_2B_021372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29024984:29026971:-1 gene:Et_2B_021372 transcript:Et_2B_021372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGGVRRYWRWSKADFFPEPSFRSWRAYGGALASTFPRLRDRVASRSSEAVEVGTLLAQSENPLRRCLSWVDLAFLGFGSVVGSGVFVLTGQEARFDAGPAIPLAYAAAGFSALLSSFCYAELATEIPSAGGSFAYLRVELGDLAAFLAAGNILLEAVVGAAGLGRSWTSYLAALIGRDSDALRIHVPALAEGFNLLDPIAVVVLIATSALAVSGARLTSTINSCASVVGIAIIAFVLAVGFSHFDPANLTAPSFFPFGAAGVFRAAAVVYWSYTGFDMVATMAEETKNPGRDVPLGLISSMSAITVVYCAMSLALVGMQRYSDIDANAAYGVAFAAEGMKWARYIVALGALKGMTSGLLVGALGQARYTTQIARTHMIPPYFALVHPGTGTPIYATVAVTLGAACVALFSSLDVLASVSSISTLFIFALVAVALLVRRYYVAGTTSPSELRKFLFFLALIVLASIGLSVYYNSSHAGKWPGYVAFGTVWAAGTAGLALCAKRQREPKVYGVPLMPLLPVMSVATNLFLMGSLGSLAYMRFGICTVVMLVYYMLFGVHATYDMAHSGEEVAPAAAAIDSTEQGKIVPIGSSAS >Et_1B_009842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32328530:32335206:1 gene:Et_1B_009842 transcript:Et_1B_009842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLRASSPLSCKALVSCSTTGSCLILLHSFSMSSSSFCMKLSFQGLERM >Et_2A_017304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3382201:3382803:-1 gene:Et_2A_017304 transcript:Et_2A_017304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVGIGTSGNPSTADMSTLITGWDQCYVLEQRSTSLLSLTFSKTLHRDPMKNSLPLVAGAVVLLLIMTRVQGIRLDAESHEAFSNQMVDKSRDITLNNSDSEPLGEKMEESFSEEKGRVGHRLPEIHVDYYGPRGHKPRHH >Et_5A_041042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17120574:17126247:1 gene:Et_5A_041042 transcript:Et_5A_041042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEAVVLCLDSRGQRQAGQHAFRKNLRPSSLSPVAMAPSGDPVAVVRAKQLKRISDELAGCFDTAAGEGATALVVSPFGKVWRVEVGQDGDGAFLGRGWAEFLAAHGIGVGWFVVLRHEGGGALTVKAFDTSFCIKEFGAPAAVMAPRNSKEVSCKPQFIRVIHQDFMEKMIIPAKFLKHHYVSEGYLNSHMAVLVSPLGKFCRIELEKNQSGMFLAGGWSQFLAFHAICEGDVLLLRHEGNMVFKFKVFGLDGGQKYLKNRDTEILQSEQIMTDTLKKHESPCPMRKLGRNSEGSSYEGKKRPKSSMTTLNKTPWKESEYHIGPPSWIKKEITPYMLQRLLSLSVKFCHSVGFRAACTIKLKTEMESTKEDESKNGMPISGGDNQRKGSMSVLNKASSTYTKSIYEIGPPSWVQKKITPNSVKQYHLCLARDFFYAIGLREPSTLIKLKTSINSAKSWQVCGLMKKDNSYYLGSGWKKFVEENKLKVYIELTLEPQ >Et_5B_043571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1195301:1197208:-1 gene:Et_5B_043571 transcript:Et_5B_043571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSALQSAREWVVEHKLRAVGALWLSGIAGSIAYNWSRPGMKTSVKLIHARLHAQALTLAALGGSALVEYYDHHSGSGSKVHQYAKQFLASDSSSQK >Et_7B_054022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14369953:14374999:1 gene:Et_7B_054022 transcript:Et_7B_054022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPRVGNETPAWTPNFHWSGVGATHRRNCHPYFSDVVDAMNRLTMLDIELTLHERNLLSLGYKKVAAKKRALVRALIYMEVEEEEGSESRLKMVTEFRQKVEAELDKLCNNVIHIVDNRLLPYSSDAESKAFYYKMKGDYYRYLAEFKMQPEYSKNASNIAKKNLSPAHPVSLGIALNVSVFYHEILDSPDRAIQIAKQAFEDAIPNLHLLDNDSYNNSTVILQLLGNNLALWNLTSNMDVEAECTQEGTETSGTPSDSNMDLDAENTWECIGKSDAPGNSAECK >Et_7A_050873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11938923:11940881:-1 gene:Et_7A_050873 transcript:Et_7A_050873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSLPHLSHMTQSCGTSTRLNSAEVSSGSGGGGRRRLSAMEMLSMLKVGYTILRSETPATDLVNTFMDWAARRSLMLLAVFLPPYYVYKLTTSAFKAAAPEDVAGKVVLITGASSGIGEQIAYQYAKKGARLALVARREESLHEVAAKAKHIGSPDVLVVAGDVSNPEDCQRFVQTTVEHFGQLDHLVNNAGVANVCWFEEVPDVADFKQVMAVNFWGAVHPTHCALPHLKKSGGKIFVNSSAAAVLAMPRMSFYNASKAAVLNFFETLRIELRNQVGITIATPGWIESEMTKGKHLSKEGTEEVDQDMRDSQVGLFPVVRAERCAEAIVDAICRGRRHLTVPAWYRALFLWRMLAPEVGDISQRLFYRRTAGGRGNQAKARTFLEATGVKGMLQPSSLQSSDIKRA >Et_6A_046713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19739769:19752189:-1 gene:Et_6A_046713 transcript:Et_6A_046713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVTPAPTLEQEDEEMLVPNQETEAASTAEVASTAESQPAEDPQTSRFTWTIENFTRFNGKKLYSDVFVIGGYKWRVLIFPKGNNVDQFSMYLDVADSPNLPYGWSRYAQFSLAVVNQIQPKYSIRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLVNDTVVVEAEVAVRKMLDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIEKLFEGHNINYIECINVDYKSSRKESFYDLQLDVKGCRDVYSSFDKYVEVERLEGDNKYHAENHGLQDAKKGVLFLDLPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDIDDGKYLSPDADRSIRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSEQWYKFDDERVTKEDAKKALEEQYGGEEELPQINPGFNNAPFKFTKYSNAYMLVYIRESDKEKIMCTVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLKVQIGKDIYFDLVDHEKVRSFRIQKQLPFSSFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLTPLEETQSVGQLREVSNKAHNAELKLFLEVESGLDLRPVPPPEKSKEDILLFFKLYNPEKEELRFVGRLFEIKFEPNVMCEIIDKKLTFRSSQLEDGDIVCFQRSPACLDTQVRYPDVPSFLEYVHNRQVVHFRSLEKPKEDDFSLELSKLHTYDDVVERVARQLGLDDPSKIRLTSHNCYSQQPKPQPVKYRGVEHLLDMLIHYNQTSDILYYEVLDIPLPELQFLKTLKVAFHHATKDEVVIHSIRLPKNSTIADVINDLKTKVELSSPNADLRLLEVFYHKIYKIFPLHEKIENINDQYWTLRVEEVPEEEKDIGPNDRLIHVYHFMKDPNQNQIQNFGDPFLLVVREGETLEEVKKRIQSKLQIPDEEFSKWKFAFISMNRPDYLQDSDVVPARFQRRDVYGAWEQYLGLEHTDTAPKRAYTVNQNRHTFEKPVKIYN >Et_3B_029239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23306244:23324362:-1 gene:Et_3B_029239 transcript:Et_3B_029239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMMRFRWPCLVNFLCFATVAMVGVRCQTDALGFISINCGMPENTTYVDNKTTITYVSDAGFTDTGVNRNVSVAYLSTPNISRLYYTLRSFPDGDRNCYTLGSLTSGIKYLVRATFMYGNYDGLSKPPVFDVYLGVNLWGTVDLVTNERELLEIIALSPNDYLQVCLVNKKLGAPIVTSLVLRPLKPSLYPDANANQSLVLFDRFNMGPSDPYAIIRYPDDPHDRGWFPYDRSVDCSQCTEVSTTSPVRNLVNDLFEPPPAVMQTAVINSSRIDYSWTWGEKPATVNSFVIIMFFSELKPLPANAIREFDVFLNGRPFLKAPFSPDVLASDVVYGAARGSQNYRITLNATQRSTLPPILNAIEIFTVVPATQMATDNTDVSGITAIKVRYQVKRNWVGDPCVPKTFAWDGVDCSYTATSPARVTSFVTILVRPRKLSKSGLSGVIISSFADLKALKYLDLSQNDLSGPIPDFLENLPSLVFLDLSGNKLDPTVPAGLLKRSQEGSLTLRKKKNKIAIIASVMVAIILATVVITMAVIMSKRGIQKGSSVRAKGNDSSHGGKSLQLDNQKFSIRDLKRITNNFHNKIGEGGFGSVYAGKLDNGTVVAVKMLNKSSIQGIEEFMAEARILIRVHHKNLVSLIGYCKDRKSLALVFEFMSCGALQGRLRDGEHCSAGRGNSDEPLTWMQRVRIALESAQGLEYLHTLCQPRLIHRDVKTANILLSENLEAKIADFGLSKAFGADKTHISTRVVGTTGYLDPEYYTSYMLTEKSDVFSFGVVLLELVTGKAPILDGSDNGHIVQWVKEMVAGGNIESIADPNMKGQYDVNSLWKVTDVALNCTALLSSQRPTMTDVVVQLKESLDLVITCSGQLPGVDYVQSVASGNKIEERFISIACGMPQNTTYLEGTTKIWYVSDFGFTDTGVNRNMSAAYVFGQGFGKSVVRSFPDGDRNCYTIRSLTSGAKYLVRALFIYGNYDGLSKPPIFDVYLGVDLWGTVDLVANDMVMLEIIVVSPNDYLQLSSTCLLLINDDELQVCLVNKKLGTPVIYNLVLRPLTTPRLYPDANATQSLVLVKRHNMGPTNPETIIRYPDDPYDRAWLPYNDASTDTTKMSTTLPVKELINDLFEPPSVVMQTAITPVNSSTIDYSWQLQPGSINNFVVALFFSEMKPLPANMLREFTLIFNGDRLFLNEPFSPNPKEPESDVVYGIARGYEEYKITLNATQRSTLPPILNAVEVYSVLPAAQNATNNTDVSAIMAIKEQYQVKRNWVGDPCVPEAFTWDGLNCSYAAENPSRITSLKLSKSGLNGVIIASFAEMTALEYLDLSQNDLSGPVPDFLEKLSSLTYLDLSGNKLDGSVPDGLLKRSQEGSLTLRIGDTCENSTTCELRKKSNRTAIIASVTVVVLVILVVTLVVFMCSGRRKKVTSVQTKENSRSLQFDNQSFSKGDLKKITKNFHEENKIGEGGFGSVYSGQLDDGTPVAVKMRKESSTQGLKEFIAEAQALIRVHHKSLVAMIGYCKDTESLALVYEFMSGGTLRGRLRGDESKNRPLTWLQRLRIALESAQGLEYLHTSCKPPLIHRDVKTENILLSENLEAKIADFGLSRAFDYDKTHICTQVAGTLGYLDPEYYHTSTLSEKSDVFSFGVVLLELVTGKAPILQAPENSHIRQWVQHRTARGNIESVADANMKGQYDVNSLWKVTELALRCITQSSKQRPSMTDVVVELKDSIALAIGCPERVRPQIGGQLPGLDYFQSDGGYSDHENILGTVPLGMNTADLGPRAR >Et_7B_055237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8623670:8626636:1 gene:Et_7B_055237 transcript:Et_7B_055237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAVAAAVAAWAIPVAALVDSIVPEPYMDEIFHVPQAQQYCRGDFLTWDPMITTPPGLYYVSLVYVASLFPGAWMVRLVKTFDALCSTAFLRSTNIIMAMVCAVLFHDILLYIRPGISTRKATIYSILVALYPVHWFFTFLYYTDVASLATVLAMYLSCLKKRFWVSAMFGAFSILFRQTNVIWMIFAAANGALSYTQDIYLKDNVSHENSESTRKSNKVVSGKDSKITAQGLRRRQRTNSPISKKGAVSESNKLYNSLAEEVWDISLKLWNSKCEVLLAFTPFAVVVVAFIAFIIWNGGIVLGAKEAHVVSPHFAQFLYFGLVSAAALLPWHFTPSRALDLFRWFEKSKTCSSLAVLMALGLTIIAVHFFSIAHPYLLADNRHYTFYIWRKVIQVNWMMKYILIPLYLYSWFSIINILGKSRTRVWVLSFILSVALVLVPAPLVEFRYYTIPFVILVLHSPVIDNGKLFSLGLLYVIVDLFTMVMFLFRPFHWEHEPGTQRFMW >Et_9B_064335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14136381:14141608:1 gene:Et_9B_064335 transcript:Et_9B_064335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCKKMVCDILGALTVQVIITYYLRIWDIMERTTLGLMSRTGWCDTPNYGNWALCDQKEEEVDHLLITCVYL >Et_2B_019339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24561315:24564521:1 gene:Et_2B_019339 transcript:Et_2B_019339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLSCHQVIVFSGLILLVLAGLSLCDRAGACTPEEREALLSFLADLSPPPGDGLAASWRGSPDCCAWDGVSCDGAVTRVWLPGRGLGGAISPAIANLTALTHLNLSRNRLDGAFPAALLSLPNAAVVDVSNNRLSGALPDLPPAAGAHTPSLVSLNASNNSFQGSIPSFCATCPALAVVDLSMNMLGGGIPPGFGNCSRLRVFSAGRNNVTGELPDDLFDVKPLEQLLLPSNQIQGRLDPERVARLSNLVTLDLSTNAITGELPESISQLPKLEELRLGKNNLTGTLPPSLGNWTVLRCLDLRSNSFVGDLGAVDFSGLANLTVFDVASNNFTGTVPPSIYTCTSMKALRLANNQLEGQVAPAIGDLHQLQFLSLTINSFTNISGVFWNLQGCKNLTALLLSYNFYESCELTGQIPPWLSKLQDLNVLNLAGNRLTGPIPSWLGSMRKLYYLDLSDNQLSGEIPPSLTELPLLSSEQAMAEFNPGHMPLTFTLTPNNGQATRRGRGYYQMSGVAPTVNLSDNRITGAIPGALGGLVNLQILDLRRNGLTGPIPQELKQLNFLAVFNVAYNDLEGPIPTGGQFDAFPPWSFKENPKLCGRVINVSCAKPLAGGERPSSSNLVSKRVLLAIVLGVCSGVIALVVVLGCLVIAVRRFMSSGGGSAVGDDGRFGMASMFDSISELDGGGDDSKATIIFMSEDKSVKFVDILKATDNFSPASIIGSGGYGLVYLAELEDGTRLAVKKLNGDMCLVEREFRAEVEALSAARHENLVPLQGFCIRGRLRLLLYPYMANGSLHDWLHDRPGSLRWRDRLRIARGASRGVRHIHERQIVHRDIKSGNILLDERHEPRVADFGLARLILPDRTHVTTELVGTLGYIPPEYGQAWTATRRGDVYSFGVVLLELLTGRQPVEVLKGQQRELVPWVTRMRAMGKHAEVLDPVLRGDEAQMLYVLDLACLCVDAAPFSRPAMQDVVSWLENVDTIGTSPEDAIDDTVNA >Et_7A_052685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12272760:12276224:-1 gene:Et_7A_052685 transcript:Et_7A_052685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENSLSGRGRGRGGRARGGGTAEAAAEPTKWAAVAEYCRRHGVDRGPVQCRKRWSNLAGDYKKIREWERGCGAGKGEASFWAMRNDARKERRLPGFFDREVYDILEGRGAGGRGNAAAAVRLDLEDVEGERREKKPVVLDSGGARAADGLFSSSTEDDDDDGDEAATPPTMPTTPAPAPAPASVPISEKTTDVPRQESSEQGISKRKEPDELTEDCGQKRQRSGDEASGRTTTDLQGQLIQILDRSGRMVAAQLEAQNINSQLDREQRKDQASTLLGVLGKVADALYRIADKL >Et_5B_044896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7082909:7084830:1 gene:Et_5B_044896 transcript:Et_5B_044896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPASPSVDLPPVKAPTPRPPTPASLQPESPGVFFSAAAAAAPSGSHHRRLAIADGVADGGEAGGVQTAVGSEEVLHPVPEEEAEYHDATEEHKGSI >Et_3B_027651.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:10617594:10617818:-1 gene:Et_3B_027651 transcript:Et_3B_027651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRSQCSSIFVETKTAAQLLPEVHFANIRRDCNKVAHEQAKLAARTRHTVVLWGQAPTCVDGLLAVNCNPAIN >Et_4B_036792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11514245:11519065:-1 gene:Et_4B_036792 transcript:Et_4B_036792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGRPAASSPDRPPPPPQPAAAAAAAKESAEKPARRERRSRSSRPALGPSSGSFANRARGEQVAAGWPAWLSAVAGEAINGWTPRRADSFEKIDKVRTLALSFRSAPPASIGQGTYSNVYKARDTVSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVVKLDGLVTSRMSCSLYLVFEYMEHDLAGLAASPDIKFTEPQVKCYMHQLLSGLEHCHDRGVLHRDIKGSNLLLDNNGMLKIADFGLASFFDPDRKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRIRETFKDFPQSALQLIETLLAVDPADRLTATCALRSYFFTTEPFACEPSSLPEYPPSKEIDAKRRDEEARRLRAAGGRANGDGAKKTRTRDRPKAAPAPEANAELQANIDKRRLVTHATAKSKSEKFPPPHQDGAVGVPLDSSNHMDPLYEPPDPSSFSTVFAYEKGAVPTWSGPLVDPSAVANQKRKHKSGRLSKQPATARKRVSITEKNSAVAPVPCLTNEHAVTYSFM >Et_4B_036446.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24524312:24524539:-1 gene:Et_4B_036446 transcript:Et_4B_036446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIGNPGADHVHKVFAELQYLAGIIAVCYLEREYYTQGGPLIERLEYGAVLFGASGLALNIIYSYFDAVDSRRR >Et_3A_023745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11756512:11771338:-1 gene:Et_3A_023745 transcript:Et_3A_023745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQERRDAEPGGEAAVSTAHSSNIQRVKVYRLADGGKWDDQGTGHVSIEYIEGSKELGLTVLDEEDNETLLVHNITSEDIYRKQEETIISWRDHDAATELALSFQEATGCSYIWDNICDIQRSLQFNNLGALEVGPRQTSDSLEASRVLHSNDDTFRSANGDFRELPPVELSNLPLLLKTILEGGITDQIRVAELITQDRDFFPKLVDIFRMCEDIEDTDDLHMIFKLVKGIILLNSSSIFDKIFSDEYILDIIGALEYDPEVPRPQKHRAFLKDHVVFKEAIPIKNLSVVSRIHQTYRIGYLKDVILPRVLDDATLASLNTMIHTNNASVISLLKDDTLFIRELFAKMRSPDISMESKRELVLFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFEIISDVLQSQDRKIVSAGTDILVLFLNQDPNLLRSYIVQQEGNSLLGLLVKGMVTDFGEEMHCQFLEILRILMDTFTMSGAHRDVIIEIFYERHLDYLVDVIASSCSPRIISRTTSNSVSAGRNAEVHRIKPEILLNVCELLCFCVVHHPYRIKCNFLMNNAIEKILMLTRRRERFLVVAAVRFMRTIISRNDEHLVRHVVKFNLLKPIIDAFVENGDRYNMLHSGVLELLEYIRKENLKSLAIYLIESFSDLLAKFEHFGSIQAFKLKYQQFLESAEPATSGNMPDTRKKAEERGLEKEEEDYFNEDSDEEDSETGRRAKHVQNQHSKAKVANGRDVDDRSKSAGLVDYDDDDDEDFNPPPKEPDRPSEDDEPLKISTVRRKATNTVDGKHADGEVRKRQKIETRITCAKIAAVTNMASKQTDLQNKHAPCSPTSSTHSTEANGVMGEHGTNSEEHHSPENTETLRQTSGDCINDASGMSTEKAVNTTTKASDSEPYSNLFSQCQLYVI >Et_1B_011881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26799287:26801485:-1 gene:Et_1B_011881 transcript:Et_1B_011881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAKRDQRRRRRLWRWWLVVISSWVLLAATVAAEVTEAEVEELRSERRGLLALRDTLRSALDLHSNWTGPPCHGARSRWRGVSCDADGRVTGVLLDRAQLTGALPPDALRGVSRLAELSLRGNALRGALPGLEGLTRLRAVDLSSNRFSGPIPPGYAASLRDLERLELQDNLLNGTVPVFQQRGLVVFNVSYNFLQGEVPATAALRRFPASAFGHNLRLCGKAVHAACPETPPVPFTPAVAGSSSGGDSSPAVRPGDDDGERAARRKKPVRFRLATWSVVVIALIAALVPFAAALIFLHHTRRSREVRLGGRPAAAGGAGDIKDKTADQGRGSGTRSTDSGSKSVELQFFRPDSAGGFDLDELFRSTAEMLGKGRLGMTYRVTLLAGPVVVVKRLRNMAHVPRREFSHTMQLLGKLRHENVVGLVACYYSKEEKFAVYEHVPGCSLFQLLHENRGEGRTPLPWPARLSIAKDMARGLAYLHQSLPYFHRPPHGNLKSSNVLVSFSATNNANQQKQKQAVAKLTDYGFHPLLPHHAHRLAAAKCPEFARGGGGRRLSSRADVYCLGVVLLELVTGKVPVEEDGDLAEWARLALSHEWSTDILDVEIVGDRGRHGDMLRLTEVALLCAAVEPERRPKVQDVVRMIEEITTGSGDGLETAGL >Et_3B_029550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25979917:25981368:-1 gene:Et_3B_029550 transcript:Et_3B_029550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGLLLSRLLLVVVAVAAVASAVPVANAYGGLAVGFYNETCPHAEEIVLEEMREIVGKDQTLAPALLRFMLHDCFVRGCDGSIMLKSRNKTGEQDAIPSYSLRGYEQIEKIKAKLEEECPLTVSCADIIVMAARDAVFLSNGPWYAVETGRRDGKVSSDYDADNDLPGPNTTIVDLKIYFSFKGLGWKDIVVLSGSHTIGRAQCSTFAGDRLYNYTGIGVQDPTLNKTYAADLRMRCEPGLSDDKTMVPMDPASPYTFDLSYYRDVYSNKGLFTSDQALLDDKWTREYVERMAAAESPEEYFRDYAEAMTNMGRIEVLTGDSGEIRDVCGAYVD >Et_5A_041257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20132990:20133932:-1 gene:Et_5A_041257 transcript:Et_5A_041257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRQAKEEAEREIAEYRAQMEAEFQRKVAESSGDSGANVKRLEEETAAKIEQLSQQAASISPEVIQMLLRHVTTVKN >Et_7B_055924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7477245:7481033:-1 gene:Et_7B_055924 transcript:Et_7B_055924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHGSERSWCLAEGPFLSLPPRCALTGLQTVVSMADRFFPNDMPAYVQEGAAPSPAADTSSLHGLLSLPYPALADRFLRTALQLKQKVARSHPAAPSPELQIAAPVSSCGDTYTLLCLSQVVHETWEKKKQRVGAAAAAAEDITLYTGALGTAFLLFRAYLITGDRTDLATSAEIVATCNAASEGEEIVTFICGRAGVCALGAVVAKHAGDEDTVTRYLNSFKEIKLSSKFPDELLYGKAGYLWACAFLNKYLGENTIPPAIMDPIVREIITDGRKLSTKSCPLMYEWYGEKYWGAAHGLAGIMHVLLDMDLTGNDKDYVKGTLQYMIHNRLPSGNYPSTEGDNYDCLVHWCHGAPGISLTLTKASQIFPEERFLEAAADGANVVWNRGLLKRVGICHGISGNAYTFLSLYRLTMNKEYLYRAKAFACFLLDRANKLIAEGIMHGGDEPIQKQRMRMAPPSFPCYND >Et_6B_048661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12272503:12278847:-1 gene:Et_6B_048661 transcript:Et_6B_048661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSALKVVDLWRTLTFEVSHHKNFSFMLWVRRCTAVKTSETGYIQRRLVKAMEDIMVKYDNTRLIQNAQKTFKIDFRKPSPMHPMEIVEAVDKLQERLIVVPGDDAMSIEAQKNATLFFNIHLRSTLSSKRVLNEYRLTKKAFEWIFSEIESRFLQSKVAPGEMIGCIAAQSIGEPATQMTLNTFHFAGVSAKNVTLEVPRLREIINVAKKIKTPSLSVYLKPQVNQAKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIDEDVEFVRSYYEMPDEDIDLDKISPWLLRIELNRDMMVDKKLSMVDISDKISREFGDDLQCIFSDDNADKLILRLRITNDDASKGEIQDESSEDDLFLKKIESNMLTEMTLQGIPDINKVFIKHGKVHKFDEIDGFKTDNEWMLDTEGVNLLAVMCHEDVDATRTKSNHLIEVIEVLGIEAVRRALLDELREVVSFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGGCEIYLNDQMLQQAIELQLPSYEEGLDFGMTPACSPITGTQYHDGMMSPSYLLSPNTSTSPVTDASFSPYVGHMSFSPVPFSRGYSPSPIYGQENGYNQSPIYPSPSSLYSPLSPIYTPVSPIYTPASQPHTSGASTYSPTSPIYSPTTPVYTPTSPIYSPTSLSYSPTTYNPTSPSYSPLSPSYSPTSTSYSPTSPVYSPTSPVYDPTLPSYSPTSPLYSPTSPSYSPTSTSYNPTAMSYSPTSPGYSPTSPEYSPTSPSYSPTSPSYSPSDHTSPNYRY >Et_5A_040368.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:17661921:17662430:-1 gene:Et_5A_040368 transcript:Et_5A_040368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVCPRHQQKNQSSKRLLRTEFAGVRQELPKSSSRGAATIPGDGSCIPVLHHPRPELLPFLRLHDQPHLRLHLLSVHQPTLPPNHLHADPRLLHHEHLVHLLLRVQRPANHRHARHDRLHRRVPSAVSHERACRPMTEHLHLRRPLLDDEATAFGPLQEPVRQKRVKIR >Et_3A_023365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23562601:23563332:1 gene:Et_3A_023365 transcript:Et_3A_023365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQGNNNKRRVDAGGDRDPLALTLGSIYAAAAAPAPTPKPPAPGSAHAVVSNDKPRDAAANGAGADNDARRPAPFPWATDHPARHDTLESLLRRGVTSVEGAARCKRCGDRSAVSYDLASKFREVRAFVDANRHAMDDRAPDAWMFPALPDCAACGHRGVVWPEIAADKRDINWLFLLLGQMLGCCTLEQLKYFCMNTGRHRTGAKNRVLYYAYIEMCNQLEPFDGEVLDG >Et_5A_041716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26265092:26267118:1 gene:Et_5A_041716 transcript:Et_5A_041716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQKKPLKIKVVSVIGTKTNKYGGSCALIPHGVALDVAGVVVVLVIVPVPDHEPAIVGRLLRERRGVVVDVLGVDPPVRHHRRRHPHVEFRRRVRRRPLVPRRLPVRLAVAVVVDPDMNSLEDARVDGALEVARRRERAPRRRRQVQRLARAAADVVLGQLEREDVIPVRRRAVLEEEVHAVEPRVAERAVHARLGPAEVGVPEVVKEVQRRFLGREGVTGAEAADGERHGHADCLMSSPMPARGWPDMSRLYLPWPYTLRNDMMTMLYSPVSQASLREPSSLFRPQNTVTCPVFPVAAAGPARTTAAMATKARKQAALRLESAISSETDVLMVWLESTPQSTIYRGYYRDRNVSISPEREK >Et_3A_026273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6197775:6200891:1 gene:Et_3A_026273 transcript:Et_3A_026273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGKEIKEDLEEAPPLLLDEAARPRRVALFVEPSPFAYISGYKNRFQNFIKHLREMGDEVIVVTNHEGVPEEFHGAKVIGSWSFPCPLYGKVPLSLALSPRIISEVAKFKPDIIHASSPGIMVFGALAIAKLLGVPLVMSYHTHVPVYIPRYTFSWLVEPMWQVIRFLHRAADLTLVPSAAISKDFETAHVISANRIRLWNKGVDSASFHPKFRSHEMRIRLSDGEPEKPLIIHVGRFGREKNLDFLKMVMDRLPGVRIAFIGDGPYRAELEKMFEGMPAVFTGMMQGEELSQAYASGDVFVMPSESETLGQVVLESMSSGVPVVAARAGGIPDIIPEDQEGKTSFLFTPGNLDDCVGKVQLLLSNKEFRDSMARTARAEMEKCDWKAASKTIRNEFYNAAIWYWRKKRAELVQPLQWLAQMFLPAPQAPSITHC >Et_8B_059095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13945617:13946901:1 gene:Et_8B_059095 transcript:Et_8B_059095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AEPDSTDLLRDLAVLLLETGECADVTFVVQGQVFSAHTIVLTMRSPVFKAMVYGPLREQGGHRRITIEETQPAVFNALLRYIYTEDFMGRCIDVETVATMLALADQHYCPKLKDKCCDFIARSTRFDDITASDGFRDLRTSCPAVLVDALVRAAMSRKKPASPREWIRGRPSAASSASPGRRPCTGSSRPAGDSVKAAEDSAARAGVDVIVVNAVPKRNRTTDQETKEGEVVFKPPPATGVVKETVKIPEAHIKWLLLLPSPGPSDFVLREFPWHPESILGAAEANLSMICSTLQEKGVLVP >Et_1A_009400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4869685:4870581:1 gene:Et_1A_009400 transcript:Et_1A_009400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGIMDNNLLEREAVWRAQRVRRIRKEVRGLSVDPPLFCRLGASPVKDLFHLEVVIDGPIGTPYEGGTFPIDVDLSDEYFMSPPKIKFKTEVFHPNVYADGEIALDIFQEEWSPALRLETILLSIVSVLYNPVIDDRAASPDIAELYEKDMKRFEELAAEWTWEYSATPVVSHFPTEEELDRSVVVTVFTAAEKAAAERLRRQQKAEERRLRRQKEEEEEERLAAGSVFGLGWLWRKVMAFSFRDGPLPCHY >Et_9B_064582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16752483:16758784:1 gene:Et_9B_064582 transcript:Et_9B_064582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEVAPVQHRGQAAAAAWQVVADWLGMLVQILLQIIRGTPSSWAQLLSFIGLRQPLLPSTAQPQPSPEVAFVQLPSSPPAEASPPPLRRLTVVLDLDETLVSAYESSSLPASLRTQAVEAGLHCFDMECVSSDKASEQQSRAFCLSTADAEGRQRVNRVTVFERPGLHEFLQRTSEFADLVLFTAGLEGYAKPLVDRIDTDNRFCHRLYRPSTVTTQYREHVKDLSCLSKDFCRIVLVDNNPYSFLMQPLNGIPCVTFSAGQPMDDQLMQVIFPLLKHLSLEKDVRPALYETFRTPEWFQRQGIPQIDHAGHAYINSCAKIMCPYNPAECSGAKIFSDSISSGYN >Et_3B_030699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6584843:6590469:1 gene:Et_3B_030699 transcript:Et_3B_030699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNELSIKLLIDTKAQKVCFAEAGNDVVEFLSSLLSLPVSTVIKLLTKERMVGSIGNVLNSMQEIDAKYIVPNQKEPYLRPAIPPTGLDHFQQLLGGPLNINTSFFTCEGRTDSYNRTQGSCGYLSAIKDTRCPRCSKSMNKEMNHVKADGFVVRTAKYTIKDDLSITPSSSVSSVALLAQCGVKDLSTLQEKTTTIGKEEVLEILLASLKSRTVLTDVFLQKKKKKVCFAEAGKDVFQFLSSLLCLPMSNVVNLLTKGAHETGRPICNLKQGQGTLPQPYHNAHHALSFSTAAKCQCQVLQMSRELGLLRESHCCGYFSAIKDSTCPSCSKAMDTEMPRAKSSGFVSGTATYTIIDDLSMTPSSSMSSITLLAKSGVKDLSTLQQKTVTIGREEVKEPGTGFCFMLNDFCSSNALEILLASLNSKTVLSYVFLGEEKVSWKKEA >Et_2B_021266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28054359:28058299:1 gene:Et_2B_021266 transcript:Et_2B_021266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGGRVAGRRRRSMASSTMRHPPCLCPRSQALAMPLLIHRPRRDNNLFNSAVRLDQHDILAHASLHSPPTINRNRAFLSSHASIQSPPKQSRSVAPQRVRSWSSDRADPAMAGESTKGELVLLDFWVSPFGQRCRIALAEKGIAYEYSEQELLGTKSELLLRSNPVHKKIPVLLHGGRPVNESLVILNYLEDAFPSSSPLLPADPYARAQVRFWAAYSDKVYEIGTRLWKLKGDAHAQARKEMLEVLGNLDGELGEKDFFAGEAFGFVDVALVPFMPWLPSYERYGEFSLAEVAPRVAAWAARCGERESVAKSLYPAEKVDEFITLLKKHYGIE >Et_2B_022184.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:11226735:11227124:-1 gene:Et_2B_022184 transcript:Et_2B_022184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVFLPDEHDGLWSLMDRISSSPGFLKEHIPENRVTLRKFLFPKLKLSFSTSVKDALQGLGIEATFSRGDELTDMFEDDGSREPLLVDEVLHKAVMEVDEKGTEAAAATAILGLGAGAWPDHLEYSICY >Et_9B_064103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11251164:11259332:1 gene:Et_9B_064103 transcript:Et_9B_064103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKRTTTLMVIMCLVILGLNVNLATAAECSCCVSARAKACCFACIAAGGSDTVCKNTCCFPCVLTDSVAAKMEEMGSVAAAYPPGQKHVVLLVLLRVALTQSARTLAVSHLLLRWKKWCFLRWKKDKPKAMEGKRTTTLMVIMCLVILGLNVNLATAAECSCCVSARAKACCFACIAAGGSDTVCKNTCCFPCVLTDSVAAKMEEMGVLAKMEEGQA >Et_8A_057408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2814251:2819410:1 gene:Et_8A_057408 transcript:Et_8A_057408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPRKRASRPTKPRQGSRSRGGDEDPFFESEPKRRRGGRDEDIESEESDDDGVAALGGGGGVDDDEEDGGKEEEEEETVGEKKMRMAKEWLRKVTDAAKKKAEEEEEDDEDEDEAGGRRVAEILQRRQLEESGRKRRDLAARVLPPGPKDGFKVLVKHRQPVTAVALSKDSDKGFSASKDGVIVHWDVETGKSEKYLWPSEKVLVSHHAKPPLSAKRSKHVLALAVSSDGRYLASGGLDRHIHLWDVRSREHIQAFSGHRGPISCLAFGPDSPELFSGSFDRSIMQWNAEDRTYMNCLYGHQNEILSMDALNKDRLLTVARDRTMHLWKIPEESQLVFRAPAAASLECSCFIDDKEFLSGSDEGSIELWSIMRKKPTHIIRNAHPVLCDNCSALANADQELPKENGIHKLENVSSAQSWVSAVAARKGSDLAASGAGNGLVRLWAIEPDSKGIRPIFDLKLDGFVNSLAIANSGRFIVAGVGQEPRLGRWGRIRSAQNGVAIHQIRLKEEKQDL >Et_10A_000170.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:14348209:14348406:-1 gene:Et_10A_000170 transcript:Et_10A_000170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLQVLSISGQELARDTNVVDHLLLSIAFTIFLPRKHSPFIPSQRSNTTRIPFFPKCPGHSGKT >Et_7B_054317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:254553:256189:1 gene:Et_7B_054317 transcript:Et_7B_054317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMSRRRVLIIIVQLLVVICSWGAAAAALVGETCSSSCGAGLRCTSCVPPPGTGPAACARTTPMKQTSTNLLLPFNRYSWLTTHNSFAVVGSKSPLGSAIISPPNQEDAVAAQLRNGVRGLMLDAYDFNGDVWLCHSFNGKCFAFTAYVPALPVLRDQIRAFLDANPSEVVTVFLEDYTAQGSMAKVLAAAGLTNYLFPVDKMPSNGGDWPLLRDMIAQNHRLIVFTSKQGKEATDGLPYQWNYVVETQYGSDGLAQGKCTNRGESRPMDSTAQSLVLMNFFTTNPSQSWACGNNSSPLVTKLKACYDASAKRWPNFIAVDFYMRSSGGGAPLATDVANGRLQCGCDSIAYCRPNAPFGSCDMRPSSSSPAPAPSSPIVSPGPAPQQYYSSSSTSLGPAAAASAPDPYSSPVQRRQMSVEEAATATTSEVPDIAPVSSTAFNQPWTSSFFFALPAPLIVLTTLLATC >Et_4B_038417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28898934:28906039:1 gene:Et_4B_038417 transcript:Et_4B_038417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEIRHRTVEANGISMHVAEAGGGDGKNSSRPAIVFLHGFPELWYSWRHQMEYLAARGYHCVAPDLRGYGGTAAPPEVSAYSAFHIVGDVVGLLDALGLDKVFLVGHDWGAIIAWYVCLFRPDKVIALVNTSVAFMRQIMTRAGPDAVKPTDYFRRIYGPTYYIVRFQEPGVAEKEFSPAKARYIMRQALTGHFPDKAPMAETPAADDDSPLPSWLTEAEVDYFGSAFEKTGFTGGINWYRNMDRNWEQAAPWADAKVTVPTKFIVGDGDLTYHYPGIQDYILKGGFKAEVPLLEDVVVIPGAGHFIQQEKADEVSRHIYDFFANMAGAAVEIRHRTVEANGISMHVAEAGGDGDSSSRPAILFLHGFPELWYSWRHQMEHLAARGYRCVAPDLRGYGGTAAPPEVSAYSAFHIVGDVVGLLDKLGLDKVFLVGHDWGAFIAWYLCLFRPDKVIALVNTSVAFMRHIMIRAGADAVKTTEYFRRIYGPSYYIVRFQEPGGAEKEFSPANARYIMKQILTNRFTSDASGGEKPPPTDDEPLPSWLTEADAEYFGSAFEKTGFTGGINWYRNMDRNWELAAPWADAKVTVPTKFIVGDGDLTYHYPGIQDYLHKGGFKADVPLLEDVVVIPGAGHFIQQEKADEVSKHIYDFFAKF >Et_1B_011115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17739000:17744702:-1 gene:Et_1B_011115 transcript:Et_1B_011115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVAVENLNPKVLKCEYAVRGEIVIHAQRLQQQLQTQPGSLPFDDILYCNIGNPQSLGQQPVTFFREVLALCDHPSLLEKEETKSLFSADAISRAKQILAAIPGRATGAYSHSQGIKGLRDAIAAGIAERDGFPANADDIFITDGASPGVHMMMQLLIRDEKDGILCPIPQYPLYSASIALHGGTLVPYYLDESTGWGLKTSDLKKQLEEARSQGIDVRALVVINPGNPTGQVLAEENQYDIVKFCESEGLVLLADEVYQENVYVDDKKFNSFKKIVRSMGYGEDDLPLVSFQSVSKGYYGECGKRGGYFEITGFSAPVREQIYKIASVNLCSNITGQILASLVMNPPKPGDESYAAYKAEKDGIVESLKRRAKSLEDAFNKLEGFSCNKAEGAMYVFPQIRLPKKAIEAAKAAGKAPDAFYALRLLEATGIVVVPGSGFGQVPGTWHIRCTILPQEDKIPAVITRFTAFHEKFMAEYRD >Et_2B_020046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16362226:16366950:-1 gene:Et_2B_020046 transcript:Et_2B_020046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALRLGPLTPAPRRRWSRSRCRIAASYAAAPGKAAVVWFKHDLRIDDHPGLVAAAAEPRRPVVPLYVFDHRILAGYSDKKLELLLFALKDLKMVLKSQESDLLIGLGNAEDVILKLVNEVQAGLIFTEEEVEYRVRRVLANVESSLSNASFSWGNPPEIVSWNAPLYDYKSLTEMPTSYDLFLKAKFPMPKPLAAPNLPSLNVDLDTDSLPTLEELKGFLKDSRMQEDNWIPLKSTPAKSILKKTFNQRKIKSSATSSSSNEGSIDGTTVDSGTSGRRIINSMFASESSLEVRGGTEITLDALAAYLKYLEGTGKASWQELHDKVRLAEIRNGASFDTLFSTAIQLGVISRRRVYHEAIKYEKDRNAGFLSPFGYSTPTVTAAVEAICSMEWYWHLALKSQVCIEGNHTVRIWRWNGFLVQYTFCGHEGPAVLLVHGFAAFLEHFRDNIGNVADAGHRVWAITMVGFGKSEKPNVNYSELFWSELLRDFISDVVREPVHLVGNSMGGYICAITAGLWPSVAKSLVLLNSAGSIVPNYPFIPLNEERQTSWLFRLSAQLLLFFLRSRAEGFLKKYYPKRTERVDKSLVDQIIRASYDPGAARIIESIFNFNLSIPLNFLFDSFGGRILVIQGMRDPLVKSEMFVTMLREHCRKVCIRELEAGHAPHDEVPDEVNSLLCEWMDESEVKSALEMSEAI >Et_1A_008651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9440906:9441852:-1 gene:Et_1A_008651 transcript:Et_1A_008651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLALPAMALGAVALLLLLAVSASAQNCGCASGQCCSQYGYCGLGDPYCGKGCQSGPCTVPDTNNVSVASIVTPAFFDALTAQAADSCEAKGFYTRDAFLAAAGYYSSFGRTGTDDDSKREIAAFFAHANHETIKFCYINEIDGPSKNYCDPTNTQWPCQEGKGYYGRGPLQISWNYNYGPAGQSIGFDGVGDPDAVARSALTAFRAALWYWTNAVHDAIVSGQGFGATIRAINGALECDGKNPSSVNDRVGYYLQFCQQFGVDPGTNLTC >Et_2A_017797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6314194:6320483:-1 gene:Et_2A_017797 transcript:Et_2A_017797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAWGGTTQKCSSCGRTVYPVEELAADGRVYHRPCFRCQHCKSTLQFSNYNSIEGVLYCKPHYDQILKSTGSLDKSFEGATRSAKLEKSNGPKVQQNSRFSSMFVGTQEKCVVCNKTVYPLEKVGLNGSSYHKSCFRCTHGGCTLSPSNHIMQEGKLYCKTHHSQLFMVKGNFSQFENSRNAERATDKQLGTEEATKNPGQSDDKQLESEPAAEKSSENDVAAEKQLQTNIGVTIPSESTITLKSSAESEGVVESEPKSHVVSNKPSESSGEKPLQNSVVDVKPSGSSIRKPWQRNHTDKALPSSTTDKPLPSSAAIEKLPSSNGVDAKQAESSTVTKRPWQRSVPTENPPQNSLSSDKPASTSADDAKPSESSQGVNKPWQRTVATERQIQNSGSTEKSNNGVSVEKTFPTMDKKPSESTTEVKRPWERRVFNQKTPKSNVNAEKSSASSLVDVKPAETGTVVPDQQQPTKTIEQPSEANTDDTKSTDETKNADDAKASENTAPVVKKAWQRNIGTEKQPQSSAVDSKTTGNSGTVKRLWQRNIATEKPSQSDAKVVTASQSSVDVTKPVQSNMAGRKSWQRNVAPEKQPESDTASNNKPLQSKPLQSKTVVKSPLESNTNTVVMNMLQSSVPTKEQQQVTLATETDTKKRAHTPEGTSDKPSATSDTAKVLSLAANKGNVSTETTPQTDMATLTPTQIPEPAEKPSENIDKIEKSSQSDSAAERLPQSSITEKIESVADTLKPSQGDGSHQKISVSSVATDEPSEQPLQTEEAVEKPFQSEEITKATEETETSLERSPLNEPESDASPCKSTKDPSQHDVLSLQSQSVPYAQPSECQKDAPMEQPQEPQQEGPKKPLEHVSDSIVKGSSEPESDIAAEKHAESQNDADQSAMQSLEPQYDEPVEQPEVQQSNTASDEPSGLQSKAAAEKLSSPPSESESNASGNMPSESVIDATTMEPPEPQDDVSVEKPSKNESDVSEKLSESSSATATMPVQDVISNETSETPSQSNIAVEDSTQQETSSKPSQESEPLEKPSEEEVSAKLSEDIINSEQPLEEGKGNAEASEGNASLGKSLDTDEVSAKPSEIIASTEQQLEEHETISEPSEDNSVIGKSLEKDEVSAKPSKDILGPEKPLEESEATAKPSEDDDGLEKPKDEGEVNAKPSEDIVTSEKSLEEEGNASVEPSEKDAALEEPKEEDEVSAKPSDDAVKLENPLEEAKGSAEPLEDSASHGKPLEEDTIVKPSEDSVALETPLEEDDDSVKPLEGGAVVLEKQATDKPSEEGVASDKPSHDDVAVEAPLQDTAAEKPSAAEDPAETA >Et_9B_066156.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:5184648:5184869:1 gene:Et_9B_066156 transcript:Et_9B_066156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGKKSRNPHKTSVDHRSDRKSATGMSGDPKKGGRGGKFTWEGADGYTDEDVDLVSKNNGGRNGKKTSDDDK >Et_3A_025004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26024527:26025740:1 gene:Et_3A_025004 transcript:Et_3A_025004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVARDGDLTGAELMQAQAELWNHIFAYTRSMSLRCAVELGVPDAVHRLGGVATAAELVGELGLPPSRAPYLRRLMRLLAHAGFFVSSQDAASAGYGLTPLSRLLLASAGGQGKGLSPFALAMLHPIIVSPSMSLASWFRAADDATAAARVPFEAAHDGRDLWAVAKGDVGFGPSFNDAMACDGRFVMDVLVRDHGDVFRGLASLVDVGGGSGGAARAIAAVFPRVRCSVLELPHVVASVPPGEHGGVEFVAGDMFEHVPKADAVLLKWILHGWDDEQCVRILQRCREAIPAREAGGRVIVMDLVVGSSPEDAKATETQLLWDVMMMGVVGSPERDEREWRKIFEDAGFSGYKIVAVLGIRSVIEVYP >Et_8B_060591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2931220:2932760:-1 gene:Et_8B_060591 transcript:Et_8B_060591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVPSDSTDDVMALQDLVRKPALRAKFSVKDKWILTFKIVPIINISKFGANAVEKVCFDLKIKSQNDKDKLAEANRMIYVKVFTDGIMIVGEFNGRKVQEVKPLIKNKLLEEAIAMLYSESEKKDTQEFSSDATRFVLAHAGDGMHDANFVFEMANAAILRLTKKIAWMEEVVTVESSLRAGPPSTYPDCVFVNEMNIAMKESHKSYNAFMIRDAPKAGFYDLQLARDEYKLSCGATDMNRDQLWRFMELKTMLIIQIFPHYTTHVWQKILKKEGFAIENGWPILDTSDPTLKIANKYL >Et_1A_008633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9308487:9309548:-1 gene:Et_1A_008633 transcript:Et_1A_008633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAEQKPATLGGYWGAAVGGARPCDACAAEPARLHCRSDGAYLCPGCDARAHGPGSRHARVWLCEVCEHAPAAVTCRADAAALCAACDADIHSANPLARRHERAPVAPFFGALADAPQPFPSPAFAAAAAATAQEAMAAADDDDGSSEAEAASWLLPEPDNSHGDSAAADAFFADSDAYLGVDLDFARSMDGIKAIGVPVAPPEMDLAGGGGGFYYPEHSINHSLSSSEVAVVPDALAAAAPAAVASKGKEREARLMRYREKRKNRRFDKTIRYASRKAYAETRPRIKGRFAKRCSDDDDCGSPAVSVPAASDGVVPSF >Et_2B_021471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:348079:350078:1 gene:Et_2B_021471 transcript:Et_2B_021471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDATKNHVGSFPPGKKITVLFVLGGPGSGKGTQCAKIVDQFGFTHLSAGDLLREEAKSNTEQGMMIKNLMHEGKLVPSDIIVKLLLKAMLQSGSDKFLIDGFPRNEENRQAYEKIINIEPEFVLFVECPKEELERRILNRNQGRDDDNIDTIRRRFDVFQEATLPVVQYYEKRGKLRRVDGAKSADAVFEDVKAIFSEINTQANRGSNMSSAQGNPLKRFLDLFCGE >Et_9B_065256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2854573:2859107:-1 gene:Et_9B_065256 transcript:Et_9B_065256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENGLGPDELPVACAQAAKRARLVDQASSSASPAVPARARPDSAAPGDLTVDDTDLLDCGICCLPLKPPIFQCKGGHVVCSKCRDKLIPRGKCHVCGISTDGYGRCHDMERLLEKICVIKCPNAAYGCTARPAYYDKNSHCQTCPHAPCHCPGEGCSFIGSTAALLDHFAAVHCWQCTNNFKNGDVRNLSLHEGFNFLLVNLDADGGQDATITPTTSNQCLFLLNVVRQPLDRVISVVWIHPHASVDDQGPSSKRMFCCLYYIKSCIVNNRHGGDKLVDHFQCSTFRVAYTDLSDGLPNPDEYFQFVVPNSVVEDHEEDAIMVLVKITIKWAEAARACPLRKGEESRRRMEGDGCPDELPVAGALAEAAGKVTIDDTDALDCGVCCLPLKPPIFQCDVGHVVCSPCCDKLKATGKCHVCSVPTDVYKRCHAMEPLVESVHARCPNAAYGVASKTV >Et_10A_001557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:561670:567889:-1 gene:Et_10A_001557 transcript:Et_10A_001557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSMFLQWAMNQLHQHPTAAAAAAAAGAPAYPDGGGGGAGDREAAFPSLQALRNASQPAPPTSQNAAAVRFRDLTVQVDHRANSNNSWSSGDSPGAAMDHDAAAVWSPHTARARTTGLGGGSNGRPVSWNFSAASAQRATDESGRGGDVALPDAATPARAPQAASTGRRGGAGSGSAASASPGPVQDHIIAERRRREKINQRFIELSTVIPGLKKMDKATILGDAVKYVREMQDKLKTLEKDGAGNSRIHQSAVLVKKPFRVPDDEGTASASNGGGLQPSPEIEARLSDKSVLLRINCDNAKGLLVKVLTEVEKMGLSITHTNVMPFPASAAIITITAKMEEGFDTTVDDIIKLLRSAEQSWHTVVRIKCSMSLVGMACKDRRYDRNIGSVDTSLDSRSDQSCGKSFEEAKSQHIYFYVGLGRLEPWTFRDGLTS >Et_2B_020386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19655069:19659667:1 gene:Et_2B_020386 transcript:Et_2B_020386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQSDGADVRYVVSDLATDVVVHVAEVKFYLHKFPLLSKSSKLQRLVIKATEEGNEDVHIDDLPGGAKTFEVCAKFCYGMVVTLSPHNVVATRCAAEYLEMTEDMEKGNLIFKIEVFINSSILRSWKDSIIVLQSTKALLPWSEELKIVGRCIDAIASKTSVDPANVTWSYSHGKKGVACAEIVEATGKTSIAPKDWWVEDLCELDVDLYKRVMVAIKSKGRMSPELIGEALKAYAVRWLPDSYDALVSEDYMRRNQCLVETIIWLLPSDKSSGCSCRFLLKLLKVAILVGAGEHVKEELMRRISFQLHKASVKDLLLPVSSPNDGTYDVQLVHNLVQRFVARTALSHNGDFVEKSDEKMIELNFEQESTLSLGELVDGYLSEVASVPDLSLSMFVELATAVPESARPVHDSLYYAVDAYLKEHPDISKADKKKICSLIDVKRLSIDASMHATQNDRLPLRLVVQVLFFQQLRAGSSKELALADNGAQACPRPMQDKCEPCEKQIPKHPNSLTKQVTSLSARESEHRISEHRGGRNSFKDHLGGLLMQSRSRRIFDKLWSSKGPGGNGKGSETSGSSQSPPLSAKPAEVKPSPLPPLRNRRYSVS >Et_7B_054268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18887368:18903157:1 gene:Et_7B_054268 transcript:Et_7B_054268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELKLSYTTPLRLPYAETLAAGRHPPIHPGNTVRSPPLEKMGERQYTEQEEALKIKSLRFIIAAYANYQDAAERDVKRYERSFKMLPPAHKEILCHLGQKYQRLRWCISMNAAFIMNMLEAFEPPFDMSRYVDDGAHDYPVKMHEQSHTDCTHFSEKGDCSNISTGKTNSPLHEQHDCPKEDAKIHESSKEMESKSGKKERDECYKPILEELNRLFPNRSKQRPPSCLVPGAGLGRLALEISSRGFVSQGNESSYYMLICSSFILNHTKEANEWTIYPWIHSNCNSFSDNDQLRPVKFPDIHPSSVISITEGFSMCAGDFVEIYSDKSQESAWDAVVACFFIDTGHNIVEYIEIISKLLKDGGVWINLCPLLYHFADSYEPDDDMSIEISLEDVKKVAYRYGFVMEMENMIETTYSANMRAMMQDRYCAAFWTMRKNASRPN >Et_2A_016208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21990670:21996861:1 gene:Et_2A_016208 transcript:Et_2A_016208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRRRKQPQREEPPPPSDSNGSDHDEDKGGDKGGKAPSKEATRRTKAKWSCVDSCCWLVGCVCSVWWLLLFLYNAMPASFPQYVTEAITGPLPDPPGVKLQKEGLRVKHPVVFVPGIVTGGLELWEGHHCAEGLFRKRLWGGTFGDVYKRPLCWVEHMSLDNETGLDKPGIRVRPVTGLVAADYFVPGYFVWAVLIANLARIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELLVATNGGNRVVVIPHSMGVLYFLHFMKWVEAPPPMGGGGGLNWCAKHIKAVMNIGGPFLGVPKAVAGLFSSEAKDVAVARAIAPDVLDSDFLGLQTLRHLMRMTRTWDSTMSMIPKGGDTIWGDLDWSPEDGLECKAKKQKTDDSEISKDVNGENAEVQPEPVNYGRLISFGKDVAEAPTSEIEQIDFRDAVKGRNIAHSNTSCRDIWTEYQELGWGGIKAVADYKVYTASSIVDLLNFVAPRMMQRGSVHFSYGIADNLDDPKYQHYKYWSNPLETKLPNAPDMEIFSMYGVGIPTERAYVYKLAQQAECYIPFQIDASAEGGDENSCLKGGVYLANGDETVPVLSAGYMCAKGWRGKTRFNPSGSKTYVREYNHSPPSTLLEGRGTQSGAHVDIMGNFALIEDIIRIAAGATGGELGGDQMGKAVTCTHPCT >Et_5A_040216.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:23482869:23483162:-1 gene:Et_5A_040216 transcript:Et_5A_040216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIELETDAINVKLALTSQSYDLSSIGMLIRDIKFLMFSELMEVKVVYQPRSCNAVADRLAKYGFELETGVVITWPDAYPAIVKSYVAADLQSASS >Et_1A_006245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19580453:19581686:-1 gene:Et_1A_006245 transcript:Et_1A_006245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHQRRRHARASATGTLPTELLLEIAARSEDAATLFRCAAACKLLRREICQAPGSVMPPWLLGFLLNTEFFLVHPATPAAASFAENHLAPFMSRVADNLVLNRYEPLTSRGGLVLLERGEMDWSQGSERASDMCVYDPMTGDLTVFPLPPGFDQDNQDAFYYKYVLLNRADGIGCSFLLLAVDLDGVGDLSSRCMISVRTLSSDTAAAAGCQWSAVSLAAKPGPPWAIPLCEESYNPPVVLGGVVHFLMIGYVLTYDVGTATTGMIELPKDCDVAPHRLHLGSTHDGNLRFFGPIYGFRISFWETAAQRVDIETLATLRSLLDPSKEWEEHNDGDVEECFQRYGDRVKVDNIGDQSTGVVLLQMQNIHFLLDMDTAEIRRIENDRNPLGVPYMVDLSSRLSNMKMF >Et_7B_055299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9115051:9116434:1 gene:Et_7B_055299 transcript:Et_7B_055299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEKIVGLPERKKLGQDSTGVRRESRQGDSEQAEKMMMAEVANHSKRNHTDSYFSGKAVTPTSLEEFGSMSSKKPRNASPRAAPISPKTDTASVLQEASGYIKFLHQQLEVLSSPYMRPPPVAGVAPEDPDHYSLRNRGLCLVPVDLTVQLTQSNGADLWAPANTTKRR >Et_5A_041428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22404625:22410123:1 gene:Et_5A_041428 transcript:Et_5A_041428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANSRKVLFSSLEKLCNLRDMNMTIYGGDDHRSWWDELKFNCLRRIHKLNMKECWFSRVHRWFGELHNLYDIDLRFKEVLEDDIRVIAQLPSLVRLKLFIKGTPREMITITGIGFPVLKYFTLCCIKISLVTFKAGAMPKLQRLKLYFNSQGWDKYGAAPAGIEHLSSLKEIYITIGNLFARPSNRKAAPSMLRNVLDELPNHPTINIKCHETGYADFDDFTHWPESLYQRKTGSKEDASPGLAYEGRADELCRLIQRHTEEHLTNVVFHQRRRRKRGAWRHYSNVGRVGSAGLWRQKSTESTKYF >Et_9B_063925.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21865276:21865416:-1 gene:Et_9B_063925 transcript:Et_9B_063925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IRREQNCVAHELAQLAKHTVHTAVWRAQAPRCAEHSIARDCNLVPE >Et_4B_036962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1277136:1291770:-1 gene:Et_4B_036962 transcript:Et_4B_036962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSRTLSRAGPMQQPGPRRILRTQTAVNLGEPIFDSEVVPSSLVEIAPILRVANEVEGSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENEPTLMGRAQKSDAREIQTFYHHYYKKYIQALQNASDQVDRAQLTKAYQTASVLFEVLKAVTQQHAVEILEAADKVKEKTKIYLPFNILPLDPDSGNQAVMRFPEIQAAASALRNTRGLPRPKNYEKKVNEDLLDWLQAMFGFQERQSTFSFVTDNVSNQREHLILLLANIHIRRNPKTDQQAKLDDNALNDVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECICYIYHHMAFEMYGMLAGNVSALTGEYVKPAYGGEKEAFLRKVVTPIYNTIAKEAERSKREKGNHSEWRNYDDLNEYFWSADCFRLGWPMRADADFFCQPLNSPDERSESTRGRQKGKVNFVELRSFWHIFRSFDRMWSFFILALQVMIILAWNGGSLANIFDPVVFKKILSIFITSAILNLGQATLDIIFNWKARRTMEFAVKLRYVLKFTLAALWVVLLPVTYAYTWENPTGVVRAIKNWFGNGRDHPSLFVLSVVIYLSPSMLAAILFLLPFIRRILESSDFKLVRYTMFWVALLLTKFAFSYYVEIKPLVDPTKDIMKAPIHTFKWHEFFPREKSNIGVVIALWAPIILVYFMDTQIWYTIFSTLLGGIYGAFQRLGEIRTLGMLRSRFDSIPLAFNACLIPVETSDAKRKKGLKSYLHSRFKEIEHADKEKIAARFAQMWNEIVSSFREEDLIDNREKELLLVPYVADQALGVMQWPPFLLASKIPIAVDMAKDSNGKDRDLKKRLDNDYYFSCAIEECYASFKNIIRDLVQGEDEKRVINMIFVEVEKCITEDKVITDLNMHALPDLYNKFIELVKYLKENDEKDRSAVIKIFQDMLEVVLRDIFEDQLSILESSHGGSYRRPEGAITWDHEYQLFQPAGAIKFPLQVTDAWLEKIKRLELLLTVKESAMDVPSNLEARRRLTFFTNSLFMDMPDAPKVRNMISFSALTPYYNEPVLFSIKELEEENEDGVSTLFYLQKIYPDEWKNFQERVGWEDELKEGEDLMEELRLWASYRGQTLTRTVRGMMYYRKALILEAFLDMAKHEDLMEGYKAAESVNEEQWKIQQKSLLAQCEAVADMKFTYVVSCQQYGNDKRAALSNAQDILQLMRTYPSLRVAYIDKVEDRVGDKKMETAYYSTLVKVALTKDSESADPVQNLDQVIYRIKLPGPAMLGEGKPENQNHAIVFTRGEGLQTIDMNQDNYMEEALKVRNLLQEFLTEHGVRHPSILGVREHIFTGSVSSLAWFMSNQEHSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKSINLSEDIFAGYNSTLRDGNVTHHEYMQVGKGRDVGLNQISKFEAKVANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLLTVVTVYVFLYGRLYLALSGLEEGLSTQRRFVHNHPLQVALASQSLVQLGFLMALPMMMEIGLEKGFGQALSEFIMMNLQLAAVFFTFSLGTKTHYYGRMLLHGGAQYRGTGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIIYELFGQSYRSTIAYIFVTFSMWFLVLTWLFAPFLFNPSGFEWAKIVDDWSDWNKWISNRGGIGVSPDKSWESWWEMEQEHLKYSGTIGLFVEIILAIRFFIYQYGLVYHLHITGDKSILVYLISWLVILVVLLVMKTVSVGRRRFSADFQLFFRLIKFLIFVCFIAILIVLIVFLHMTLRDIFVCFLAFLPTGWGILLIAQACKPLARGVGLWGSVRALARAYEIIMGVLLFTPITILAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKERASHCFAGIDNENNGINQKVVVYGGNAIRRGVGKRNQSGNVILGRGAGTPTTPTRWHTARGCGGRAGCRRKGARILSTLPASISAEMVSLIASDRGLSRGARGRPLREERHLVGIALPDSLGDTVDYVGERKKRIRAHRRRGAVGSSPEGGAESGDAARRDGEGTVLSVRALLFPRPPIRIERFTAKPGRRKPQGKAEKWRAWQPDATYSHAGTNTGNRSL >Et_1A_008735.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:10989494:10989748:-1 gene:Et_1A_008735 transcript:Et_1A_008735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMALDLGGLSMHHAVVDASALQHFTGAWARDQAPRATGQQQEGHIPPPRLYQEGGVKSATFVALSALGWTAFVRSKGLATDE >Et_10B_004111.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:13129891:13130765:-1 gene:Et_10B_004111 transcript:Et_10B_004111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPGFIFGCSHYAQNFFNDRVYAGIVHLGRAPTSLVMQVAAHGFTRFSYCLFGGSKTNHQGFLHFGTDVPHSPHLKTTKILPVLKAHESEYYLSLIGISLGTSKLERIRPEMFARSKDGQGGCLIDPGTPLTVMVEEAYRIIEDAIWLDLQRHGAVRMKRSGFGLCVQATMAIKEHLQSLSLHFYEEEAVLKFLPEQLFLMMNDKQEQIACLAMIPGHRTIIGAFQQVDMRFVYDIKDSKLSFAPESCSEDTIEVD >Et_1B_013857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2118589:2125499:1 gene:Et_1B_013857 transcript:Et_1B_013857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQLIPDLSHRTNCEIAPSAVAWVCGPCRLVRLRPGGLPEYLKQPISCIFSFPSAVDLPVSSLTSSQLGRARNRICNFKREHPHLRLIRFARNASVSRDTEQVAVSCAAPLVAAAASRPEPAAATSRAARSGTPRPRKARSLKCRPPVYPVPGLDVTDKDLESDEAIWALYENWCKVYNMERDHEEMSRRFPTFKKAAHIECRREALVKELSVIDIDHMADVEEQCLKILTISANLTCTMEWRFALQLIIFLHYHTSLANAKPYIMSCLLLDRAIKANS >Et_4B_037831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23938624:23946950:1 gene:Et_4B_037831 transcript:Et_4B_037831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TPHSSRAAALLLRLAAAAIPGVASIPVVSAAQRSPYAAREARPCDGGGGGGGNEGNTGGAEGGTDAGAAVGEEVKEEEEEEKEVSFDELGLDEQLKRALRKKGMIMATPIQREAIPLILEGKDVVAKAKTGSGKTFAYLLPMLHELLKLSAEGRIRKSAPNALILVPTRELCQQVYNEASSLLEFCTSKLKVVQVTATMSDKDITVALSGPPNILVSTPACVAACISKGIIRGSSIKESLSMMILDEADLLLSYRCEDDLKALVPHIPRSCQSILMSATSSSDVEKLTKLLLHNPFILTLTEVGRAKDDVIPKNVQQFWISCSAKDKMLYIVALLKLELIQKKVLIFVNSIDMAFRLRLFLEKFGIRSAVLNAELPQNSRLHIIEAFNARLFDYLIATDDNKPKEGNQMKKENKKESKVSRKHLQQTLDAEFGVVRGIDFKNVFTVVNFDMPPDPAGYVHRVGRTGRANKIGASISLVSPEENDTFEEIENMLHEVEKKDMSCISPFPLLTTEAVEALRYRAQDVARSVTARDIQEARRQDIKNEILNSEKLKSHFEENPRDLDLLKHDKLLSNKAIPAHLRDVPEYLIDPKTKEASNVVKLTRAAMGIDKPQRRKRQGFKGGSGRSRDPLKTFSAEGKSRRRGRKDREGEPDRRKRKKVES >Et_7B_055708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:23319744:23320767:-1 gene:Et_7B_055708 transcript:Et_7B_055708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEMGDSFEYYWETQRFLLDNEDLVSSMYVGAGVGDDAASYYDSSSPDGSHSSSAAAPNTAAARDKAAGGEMGANKNIIMERDRRRKLNEKLYALRSVVPNITKMDKASIIKDAIEYIEQLQAEERQMLQEVRALESAMPGHNRYEFDDGVLMQAERRKKMKRAQSVPSIADAAAPPPPVEVLELRVAEVGDRVLVVSVTCSKRRDAMARVCRAIEELHLRVITANITSVAGCLMHTVFVEVRLCCCRNTLPASPSTNRIAALTGFSCPAVESR >Et_3B_031284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24009910:24017517:1 gene:Et_3B_031284 transcript:Et_3B_031284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEKSEALPQLLDLIPDEREWKAMDAQGPGRSRNTGFGCEDDKKLELKLGLPGLIDEEIEAVSRDEGLPQENPALSLGCFPKPSKAAPGTMTTGTKRGFLDTVEPKTEGHNEQKQQPRARCGDELALEEKIEAASEKKKGCCTLPSSHTPTAPSVRNSGNKPQAQGRGASAAVVGWPPIRSFRRNLANSSSSKQPPEPQNGETSTKAKLTCKKSPLVKINMDGIPIGRKVDLAACDSYERLSVAVKMLFHGFLEAQKDASSAESAQNRADEKIFSQLLDGSGEYTLVYEDNEGDRMLVGDVPWNEFVSTAKRLRVLRSSELSRGLGRHLFQPDDKAKLQLQH >Et_1A_007482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35020986:35026126:1 gene:Et_1A_007482 transcript:Et_1A_007482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKWHSGSRRSSFATVGGKDKCASGAQAKKNNDVILKTTIAYKLIAAHLNSGLSVEPYVSASGPNPPRDDKSSKAQPIRLLQSSSLSPLLPYRLQTLNPPGGGGHQRKLPVFSSGESRRGTQMSQTDSGSESDGAPEELTAVQGVEKHEEIIKVEKDSAIRVTREGKERRKRWAQRKASSQSDKRAPKEVEDEETKQNEEEEETPTIPGMLPTNVIEMLAAREKQTFESDSEEENVKQKVQKRRKKLKTDGPETILLKDVRATQQIKNAIDFLERRKNQVPRSNAVLKNANKALRLISKGNF >Et_1B_011293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:218326:222807:-1 gene:Et_1B_011293 transcript:Et_1B_011293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVDPAPNPTSDLPNGSSSAQNKKKSRESERRRRRRKQKKNKAASNAADAGGDEEGADDNADPNPQVEVEVEYVPEKPDLDDALLADFKSIFDKFTFTDSPAADEDAEKKDEAATDAPKKGDGSDSDDDESEAQQKKDGGLQRRMKIAELKQLCARPDVVEGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSHGDLYYEGKEFEVKLREMKPGILSRELKEALGMPDGAPPPWLINMQRYGPPPSYPSLKIPGLNAPIPPGASFGYRPGEWGKPPVDEDEPVDRSKHWGDLEEEEEEEEEEEEEEEELMEDGIQSVDTISSTPTGVETPDVIDLRKAQRKEPERQTEKQLYQVLEQKEERIAPGTLYGSSHAYVLGAQDKASAKRVDLLKNQKSDKVDVTIQPEELEVMDDVLAAKYEEAREEEKLRTQKEDFSDMVAENASKRKRKQEKEGKSKKTKEFKF >Et_4B_039425.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1533536:1534558:1 gene:Et_4B_039425 transcript:Et_4B_039425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFRRRLMALTVPKASSLLRRHRKHKKLSYSSRVHSTSLPGRFHPVVAGLHDAANALISWTEEPAQAASTTWIGDGVARLGRVLAGLTDLLHHPRAAQDDPLLRRQGNDKAAPWAERLLDDLLLLADAHACFRDALLALRQLLGDAHAALRRRDASRLAAALRARRRSDRDLSRLASTLRCLSHRSSSSTWSSSASDSGEAALAEAAASATCAAAAASAAIFSGLASASASSAASRSMTSPMAGSPAKVAAVPVWWVADLLRWRRRTVAVAASESGPGAKEVPLEECCDEEEEERQAAMERLRGLEECVVAAEDGCQQVYRALVNARVSLLNVLTPCF >Et_2B_020249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18345555:18354186:-1 gene:Et_2B_020249 transcript:Et_2B_020249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPGMSALVTGGASGIGKALCIAFAQKGLFVTIIDFSEENGREVASIVQKESNKFHGDLRVPSAIFVKCDVTNADDLAASFEKHVRTYGGLDICINCAGIANKTLVYDDTSDGSKTWRHAVNVNLVAVIDCTRIASQIMRSHKKPGVIINIGSAAGLYPMFFDPIYSGTKGGVVMFTRSLVPLKRQGVRVNVLCPEFVQTNMAEQLNRKIIDSTGGYLKMEDVVNGAFELINDESKAGACLWITKRRGKEYWPTPEERRKYLVNPNKSKRTLTNNIYSSIQTPEFFEKIVVHTLTHNFRSATRLERVRLSLPIEPHSALVKVIYAGVNASDVNFSSGRYFSGNTKEVATRLPFDAGFEGVGIVASVGDMVNHVKVGTPVALMTFGSYAEFTLVPAKHLLPVPRPDPEVVAMLTSGLTASIGLEKAGQMTSGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGESKAALLASLGADRVINYRNERIKEVLKKEFPRGVDIIYESVGGEMFDMCLNALAVYGRLIVIGMISQYQGEDGWKPQNYTGLCEKILAKSQTVVSLDPKKFVGVASVPDAVEYLHSGKSIGKVVVCIDPSYARTAAKL >Et_9B_066115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4006198:4007673:-1 gene:Et_9B_066115 transcript:Et_9B_066115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHLAVIVIAALLISCAAPSAHGQAASAPSPAPAPAKSITAVLTKAGQFNKFLQLLQSTQEADQITNQLKSKSFTGGFTVFAPPDNAFSSLPAGTLNALSDQQKTSLVQFHVVSQVLPAAQLDTVSNPVRTQAGDVGRGKYPLNVTSDGGGQQVNVSTGVVNATVDSTVYAGDRLVVYQVSKVLLPWALYGPPVPAPAPAPAPVDSRKKKKKAGPEAVADAPVADDAEGTTTSAAARGVVGDGFGVAAVVVAAMWWGIIFYAGAGGEQEEEEEVRLEKLVEGRSDTLPRE >Et_7B_055548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1414967:1416254:-1 gene:Et_7B_055548 transcript:Et_7B_055548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFHRWMEKHNRSYPTAEEKLHRFDVYRQNVEHIEATNREGKLSYTLGENQFTDLTSEEFLATYTGGFELPDVPDNDEDGGDDMVIMTRAGEVTEGGNAGHVNVSELPESWDWRQLAVESLHQINTGELVSLSEQQLVDCTGYGCTIGRSDGSYKWIGKNGGITTAADYPYTGQQGACDATKLTHHAATIRNWRPIVRKDEVKLMEAVKRQPVTVNIEASANFQNYQSGVFSGPCGYKPNHIVTIVGYGTDDATGKKYWIVKNSYGQGWGMDGYILMEREFPDDPRGLCSLAMYAQYPTL >Et_1A_007459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34818643:34820782:-1 gene:Et_1A_007459 transcript:Et_1A_007459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKNCFAIASDRRLGVQLQTVATDFKRVFKIHDKLYIGLSGLGTDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSALLYEKRFGPYFCQPVIAGLGENNEPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPDMEPDELFETISQALRASVDRDCLSGWGGYVLIVTPTEVRESVIKGRMD >Et_3B_028237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12117663:12120486:-1 gene:Et_3B_028237 transcript:Et_3B_028237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDDIEWAPSPKNTLGIFPGDERPVVKEDWITRYANLIVLAYQSCGVVYGDLSTSPLYVYKSTFSDSLRQYQDEETVFGVFSIVFWTLTLIPLLKYVFIVLGADDNGEGGTFALYSLLVRHAKFSLMPNQQAADEELSSYYRPGYTAQDTAILTALKNFLEKHKKSRTFLLLMVLFGASLVIGDGVLTPAMSGEVVLLSCIVLVCLFTLQHWGTHRVAFLFAPVVIVWLLCLGALGIYNIAVWNPRVLRALSPVYLVRFFQRTGKDGWISLGGVLLSMTGTEAMYADLGHFTADSIRVAFVGLIYPCLVLQYMGQAAFLSKSPGCNIHFVFFESIPPRLFWPVLVIASLAAIVGSQAVISATFSIVRQCTALGCFPRVKIVHTSRRIHGQIYSPEINWLLMLVCLAVTVGFRDTNLIGNAYGMACAGVMVVTTLLMALVMVFVWQQGVVVASLFLLAFGSVECAYLSASLMKVPQGGWLPLALSLAVVAVMYVWHYGTRRRHMFDVQNKVSLKWIHALGIVRVPGIGLIYSELATGVPAVFSHFVTNLPAFHQVLVFVCVKAVPIPHVRKRERHLIGRVGPREFRMYRCVVRHGYKDVLADDTDFENDLVLRLAEFVHMEAASSNAGGSSSVEGRMAVVNRPIDPCDAGLHMRLPMPRGFEESSLAMRADGAGKSETLQAMYEAESPGFANRRQVQFEIYEEAAHGPMDPAVKEELTALVEAKHAGVAYIMGHSYIKARKNSSVFKKFAIDVAYSFLRKNCRGPAVALNIPHISLIEVGMIYYV >Et_5A_042904.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6671395:6672852:-1 gene:Et_5A_042904 transcript:Et_5A_042904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPTVVLIPLCVPGHLPSMLEAGKRLLTSSPRGMSLTVLVMQMTMAANLRSEVADLIRAEAESGFLDVRFHHLPAVELPSEWNGPEDSICRFIQLHGPHVKAAISGLSSPVAAVVMDYFCTTLFDVTRELALPVYAYFPSPASMLALMLRLPALDAEVTGDLGEVEGGAIHVPGMPPVPAGVMPAPLMKKDHNYAWFVYHGNRFLEADGIIVNTVAELEPGVIASIAENRRVPTLHAIGPVLPMKKPPRQQAAEQQPPPVHECVRWLDAQPSASVLLLCFGSMGGSFPAPQVREIAQGLERSGHRFLWVLRGPPPANPPYPTDANLDELLPEGFLERTKDRGLVWPKWAPQKDILAHAAVGGFVTHCGWNSVLESLWHGVPLAPWPHFAEQHLNAFELVSVMGVAVAMEVDRKRGNFVEADELERVVRCLMGGGDEEGRKVREKAMEAKALCRRAVEDGGSSSASLLKLAQDLSKPMPAAKKLF >Et_6B_049444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:539858:546891:1 gene:Et_6B_049444 transcript:Et_6B_049444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPLHHEVWPRSQFPPSLLFDSIQKISGSVPSLPNVPLEIEQKEINHAGAGGIWAELVNNRGFEAGGPHTPSNIDPWGVIGSDSSINVMTEQASYFKRNSVALRMEVLRDNPAGHVGIYNPGFWGMNIEEGKIYNLVMYIRSQESVHLTASLSCSRSSGQLQSLASASIEDIVMSNWTKVELHLLAHGTCRTSRLELKTSKKGVIWLDQGHGFRKELIYMLLDLKPRFLRFPGGCFIEGNSLRNAFKWKDTSGPCEDRPGHYGDVWNYWTDDGLGYYELLLLAEDLGADPVWVINAGISHTDYVNNTSITPFVKDAIDSLEFAKGSPNSTWGSIRAAMGHPDPFPVKFIAIGNENCRLKQIYQGHYMLFYKAIRVAYPDIQFISNCDGLNGQLDHPADFYDVHAFVSEYAARNGKSATLFASLAEAAFLVTLERNSDVVQMASYAPLFVNDNDRNWLPDAIVFNSWQQYGTPSYWVQTFFSESSGSVLHPITIRSSYSSSLAASAITWRSIEKSFLRVKVVNFGPHVVNLAVAVTGLQSGVNTTKSTVSILSSSNAMNENSFSSPNNVVPVTRALPHAGKEMQVLLAPYSLTSLDLALEL >Et_4B_037399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19387246:19390678:-1 gene:Et_4B_037399 transcript:Et_4B_037399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAGGGGGGGGDAADMRGRHRIQAELKKLEQEARFLEEELEELEKTDKVSSALQQTTGPSNQSWDRWFEGPQDLRRCKCWFL >Et_2B_020226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18071151:18072498:-1 gene:Et_2B_020226 transcript:Et_2B_020226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVSAPWRVLEREERGRRAQAFDVVVDGDRLTGTPADGGGERRQNPVLRRFKEPVEFFAMAS >Et_3A_023939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1522009:1526000:1 gene:Et_3A_023939 transcript:Et_3A_023939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFRGLDATEWEMSILHLRRQLCYLKSCEEKECEDPGNVVTNQGCPLSPQRHCSLWDTVPFVES >Et_2B_021747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5007046:5012774:1 gene:Et_2B_021747 transcript:Et_2B_021747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATATAARPHALLIPYPCSGHINPTLHFARLLRSAGVLVTFVNTEHNDALLRARGHGFGVADGGIRYEAIPDGLSPSERGAKEDHSMGLLRAVRAHCGEPLRELILRLNLNCGDGVPPVTCVMASELMSFALDVAAGLGVPAFMLWGTSAVGLACGRAVRELRRRGHVPLKGKPSSRTSPCIKVCSYTPHALLVPFPTSGFLNPTLHLARLLHSAGVLVTIVVTEHNHSIFRARGHGHGVQDGFRYEAIPDGLGPSDRGSPTYGIDLARAVRVHSVEPLRELILRLNRGDGVTPVTCVVASQLMSFALDVASGLGVPAFMLWTEAACGLACGHALREIRLRGLRRAHAMLFPFPCSGHINPTLRLAELLHARGVYVTFVNTEHNHARLLRTAGGLRGRDGFRFEAVPDGLSEEDREGPAKTVRLYLSLRRSCGPPLVALARALGDRDDGVPPVTCVVLSGLVSFALDAAREIGVPAFVLWGTSACGFVGTLRLRELRQRGYTPLKDESYLTNGYLDTPIDWIAGMPPVRLGDISSFVRTLDPQCFALRVEEEEANSCARARGLILNTFEELEPDVLDALRDEFPRVYTIGPLAGAMHRRGEGHVGAASSAAAGLSLWEEDSACEAWLDAQPAGSVLYVSFGSLAVLSLDQLAELAWGLAASGRPFLWVVRPGLVAGDRGADALPEDFLAATEGRRFVAEWCAQEQVLRHPAVGGFLTHSGWNSTTESIWSGVPMVCLPGFADQYINARYAGEEWGIGLRLDEDLRREQVAAHIEALMGGGDKARDMRRRAAEWKAAAEAATAPGGSSYENLDRLVAELRLAAEADDVAEPATAAHARA >Et_2B_019486.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:7015205:7015270:1 gene:Et_2B_019486 transcript:Et_2B_019486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSVGLLFSSLLLGVCPCIR >Et_6A_048120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7006524:7011305:1 gene:Et_6A_048120 transcript:Et_6A_048120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLAAALPRTAATALVQQYTSLLAGAGVASSPASLRALLPIHARAVVLGISSNPAFATSLIAAAARASLAYARRVFDAVPSRDAYMWNTLLRVYAHAPDSAADALDLYKQMRAAGVSPDHYTYPIVLPACALVRAPRLGRAAHGDAVRFALAGDGFVRSALIAMYCHEGAVADAERVFVESGSDGSRTVVSWTAMVAGYAQNCFFGEAIAMFGTMVADGVVPNEITLISFLPCLQGPEWLVAGEMVHGFVVKLGYDANIPLVNALISLYGKCGSVAMAEALFNGMTARSLVSWNTMVAMYEQCGDAIKAIKFFRRMLLEKVGFDCVTLVSVLSACARSGALETGKWVHEFARKHGLDSDARIGNVLVDMYAKCGDIATAREVFDCLHGRGVVSWSAMINAYANHGEPEEALKLFSMMKSEGMRPNSFTFTAVLVACGHSGLVDEGLKHFNSILTDYQMSPTLEHYACMVDMLGRAGRLVEAYEIIRGMSMHPDKCVWGAFLGGCRLHGNLELAEFVAKDLFQSGSSDVTFYVVMSNMYFEVGMLEDAERMRRAMKEMELKKMAGPSKKEGSERDFEILDTAHFTRSINYLPRMSTDLEF >Et_2A_017685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5080565:5084923:1 gene:Et_2A_017685 transcript:Et_2A_017685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPGGSGGQALSRQGSIYSLTFDEFQSALGGASKDFGSMNMDELLRNIWTAEESNAMASATTATATTAPMLDVNAPPGATIQRQGSFTLPRTLSQKTVEEVWREIVDLAGGEAPAAPAPAPVPAQTQAQRQPTLGEMTLEDFLVRAGVVRENLGQSLVLPQQGQGMFQQTNVVTPTMQLGNGMVTGIVGQAVNGGMTVAAPTTPVVLNGFGKVEAGDLSSLSPVPYPFDSALRVRKGPTVEKVVERRQRRMIKNRESAARSRARKQAYIMELEAEVAKLKEHNEELQKKQAEMLKKQKDEVLERINKQQGPKAKKLCLQRTLTGPW >Et_4B_038777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4832293:4834776:-1 gene:Et_4B_038777 transcript:Et_4B_038777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGSNPLAPVLQTVAAFSRRLIIAPDAGPDDHRLRPLLSLSLSPPSPPPPPQPEAEKDAKVAPLTKEEVGRATWMLLHTIAAQFPDEPTRQQKRDAKDLMHILSRLYPCKECAGHFKEVLKENPVQAGSQAEFSQWLCYVHNVVNRSLGKPIFPCQRVNARWGKLDCPERSCDLEGSNDIMPNR >Et_1B_009997.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17534476:17534583:-1 gene:Et_1B_009997 transcript:Et_1B_009997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTRVALQEKEDIGQYKLANGDSSGQVEQGAEQV >Et_7B_053950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13714629:13716006:1 gene:Et_7B_053950 transcript:Et_7B_053950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTNKRASGPKCPVTGKKIQGIPHLRPAQYKRSRLPRNRRTVNRPYGGVLSGQAVRERIIRAFLVEEQKIVKK >Et_7B_055316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9293980:9299721:1 gene:Et_7B_055316 transcript:Et_7B_055316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSKWLLNKGPLAPLWVAAFRRVEALTRDQVASTDIVASVDKILKDVEAPQRILALLLLGIVKIYSMKMEYLYLDYNKLLETIRCTEPSTSTGRSMQQVQKQVKKAVRAGRRQDTTKVKQSFHALRTTEISGPISSEGFSLRTETEIIVQTSVVVREARVAADVPAFTRPERFELDSFDLGISEDIDDDGEDHHQSARQDISLEDDRHHAPFFYESYQRATCSYPVDAACIMPEYIALPPEVIGAINEVNNILELSTQCHEPDRENQNADSVWFTPVKESQEQQISENVLENMTSASLAANYPTTTEDSENGLFLGKSNTGSPLGGFQEHEIEENEFLGKSDTGPPVEGFQEHEAEEHETLERPVLSCKTQSINDMSPSTPEPLPEGIPERKEKRPRRRIMFPTSDEVLVISNRILILYYCGNTLPCSGMNEALIDTSDITRRRKKAPRTYLETWKMAKIDQTSVRLASITTVEAPESSCGEPVKARRRLAYKFSESSHSCMDAGATERENILDEPRIRKLGEPIDFESPVGCYTESGHLQDDACGRNDGIPVNRDESGSVVPSDDTAKEKGIPVNRDESSSVVPSDDTAKEKGIPVNRDESSSVVPSDDTAKENGIPVNRDESVYHIPLHNEALYVALDNIDEVSKCTYHTLDRIVDEIIMNDAFAITSTCRILLLMKRIPEMKVMLVLMLYCLLSSVRTSLSEITYFRKVAGFLHQRFQDLNNKQGTNSLSLNHALEGKEKRTSAIFFYETLVLKSRGLIDVNQEKPYEDIMLSPTPQFEAALQRSSTGN >Et_2A_015620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16050914:16053815:1 gene:Et_2A_015620 transcript:Et_2A_015620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLMASIAMTKRIFKSRIGCLARGCVAAEAAALPPPRRRRRRRKQRSRESVTLPLSVFRSLPTRDWSRLPPELISSVFQKLNPVEIMLCADKVCCSWRRAAREDPELWRRIDMRGHDKLAYRGLADLGEIGADAVLYSQGQCEAFWGEGCGVNDNVLRFLGDRAPLLKSLVLIWCREVSHEGFVEAIKGFPLLEELEVSKYSGINTRKALKDVAMACPRLKHLRLVHSSYIVCCCCPIKLDDREAMEIATMHELRSLQLVYNDLTNQGLEAILDNCLHLESLDIQKCRNIVMNKTLQVKCARISTMKFLVYDLRTEDDFDSESEQRPIECSTCESYFRRHLEDESCRRHWSTLKANDKEHMVITAVRELRSLDLYHKDLTTQGLRALLEKCPDLEAKDILRCRNIVVKNALSGVKYHWWRRIPTRKLTTRLLATDECGSYKFNPEGLDIRNCRNIIMRNAPGHTFSPIILRKKAKNYHRNTKRLMAELIKKNLYSTEPDCEELEPDGSNKGECSTCLMIGCIWKIWDSDEHSDYYDPSYGLDSIEEFDFYVHDKILLKRLRRYLKM >Et_1A_005610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1257859:1265898:1 gene:Et_1A_005610 transcript:Et_1A_005610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCILHRTLSFSNLPIDRRDLLSSQETSGVSGRHEGLALLTTISEGGGSCSRSSPLANHSLLEKRLASKILRLRETLDLPYTTAGMPTSLVCDTLEALKIAYTKCLSALSGFRTSYILRAAGEHVIKMLDQVTTNAKEKFSSMESFKGANAPGAAWSEDLPESRTLPPVLCRSRSPTNRNHPSGNAVIAIPMRLMEETKIQEAPRQDAPESDSFRKDGQAFRCEPPLAPAGDCLLQTPPSSMSPHPLLAPPPSPVPMISLPMLMHPWEVMQDDNASAATSPPPTAQSDQASSIENQDANLAVCSESKGARASSVSMEAGQPSSASAMDSHERRLLHVQHVNLPVQNAQPAPTPSPSQIAAKAPPPPPPGNISAVLHAKRAASKLKRSTQMGSLYRHLRDRVERTGCTHGGKRQQGKKAKAPAGTKGDAGQGMADALAEMTKRYCGYHKQMPPVISAYFRQIEEDAEKHAATILELKDDIGSFQSKDMAEVVKFHQHVEQQLVCLTDETQVLARFEGFPSKKLESLRMAAALYSKLDGAVSTLKGWNLVVPVSQHLSRAILTRRGDEEVQSHGINFDFGVLVRIKECMVDLSSNCMELALKVVHVPPNRQRLHMDEDSIAKTCMKAHNYASFAQRMISSNVVLLQECHDADAAAESTRATSLSRMLWRVFQLAFRVYNFAGGQDDRADRLTSILAQEIEAHPL >Et_5B_043613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12627629:12628247:-1 gene:Et_5B_043613 transcript:Et_5B_043613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVGRNMVAPLLVLNLIMYLVVIGFASWNLNHFINGQTNYPGVAGNGATFYFLVFAILAGVVGAASKLAGIHHVRSWRHDTGATNAASSLIAWAITALAFGLACKEIHIGGHRGWRLRVLEAFVIILAFTQLLYVLMLHAGIFGGGGGYRDHHEYGAGTAAGEPKGPRV >Et_6A_047305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3640507:3644323:1 gene:Et_6A_047305 transcript:Et_6A_047305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVFDSSLRMDRYQRVEKPRNETPISQNEIRITTQGRMRNYISYGMSLLEENGHDEISMKAMGRAINKTVMVVELIKRRVAGLHQNTSTESVDITDTWEPLEEGLLPLETTRHVSMITITLSKKQLDISSPGYQPPIPAEEVKPAFDYDHEESYPTGRGRGRGGGRRGRGRGMSNGLPPPAYDYNEEWEEEGDYYSRRRGRGRSRGRGGRGRGYYGGGRRGGYGPDYGYGGRGGYGSRGGYYDEQDEYYDGEPEEYVPPGRGRGRGRRAPFRGRGRGRTRGGYY >Et_8A_056437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1032701:1034537:-1 gene:Et_8A_056437 transcript:Et_8A_056437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAGDKPNPDQNQSPNPPLDAAGNDTASAAAEGRRPFTSLSQEEADLALARVLQEQERAYMMLTGHGGGGYAMLDDAGGYDYYDDDEEDDVSDYEEEEGAGGEALDEDEEVGDAAEADLDLDPARTPRRATSPDASWRSRGLAIDDDEDEEDVEGDGDAQDAWEDVDPDEYSYEELVALGEVVGTESKGLSADTIASLPSVIYQAHDKQDENMEQCVICRVEFDEGESLVALPCKHSYHSECIHQWLQLNKVCPMCSAEVSTSTNSQS >Et_3A_023604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:98152:99319:1 gene:Et_3A_023604 transcript:Et_3A_023604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKPPPPSWEFHATGPRNLSNPGWRDLIRSSWRDPNYRRIAMSCFVQAAYLLELDRQEKRSADTALAPNWWKPFKYKLVRPLIDSRDGSIYGALLEWDHLAALADLIVIKPQGAPRAVLAIRGTVLKQQTVVRDLEDDLRFFACESLRGSVRFTGALEVLKSAIDKHGSDSVCVAGHSLGAGFALQVGRALAKDGTFVECHVFNPPSVSLGMGLTKLQEKADKVLKRYMTTSSSSTPVLMPQVEVGEENLVKEVKRWVPNLYINNCDYICCFYVDRSGVATVTTEKHNEVRSKLYVIAKGPSKFLEAHGLQQWWADDSELHLAMHDSKLMCKHLKSLYLQQ >Et_7A_051042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13905475:13906074:-1 gene:Et_7A_051042 transcript:Et_7A_051042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGGARCSPPAAESIVWREDAGRFETPDGEAFLEYRLPSPAVMDVVHTYVPRSKRGQGLAARLCDAAFAHARGHGMRVVPTCSYVSDTYLPRNPALNELVYKDQEDPKHKPSSM >Et_6B_048781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13620842:13623576:1 gene:Et_6B_048781 transcript:Et_6B_048781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSAEAMDVFKEVPQRPHFLPLRKCPPVMREGLMTTFATLVKKIKESSIGDSMASFEEYMYALGLLKEHGFSVESLQSSLTQLLKIKSAYEKHLKEKKDLNAQMLKKMTSLSQINSLLDANEKAVEELRRKGKEIAMEKEHEDSELSKLKAADSSIEEACGDAECEFYSVLTELQRKTLTLLSVGEYDYTPFSLHRKDLGD >Et_5B_044510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:341393:344075:-1 gene:Et_5B_044510 transcript:Et_5B_044510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNLFDPMVGKQYIVKDIMLSRDNWQSLHFSKHGWVLSTKSKRRIQAANPFTREVCQLPKMHRQMFSGISFSSVPSSPDSIVFAIHQQPWLGSVDVMLWRSGDKRWSKEEFPCNTKFCMTYNNPVFFENEFYCLGVHGNLGVFNPADMTWRILDKPEAIRADAHEATGDLIAVFRPYDAEPIEIYRLDRSEMSWVRVLRLDDAVLFLDNWGATIKLAVEYGFCNRVYLPAMRYNEAEDCRDGVFYDLEDGKYKPGFYGMTEPMNSIWVEPNFNPLICQSLYNRTRSIWGHSAPTRPLAHHASVLGRSNEHATYEMRRGTPRRRCGTPYATSWLHVDGGMQAVVTNSGHTLRTSTHDAPWRQSQSHGANARLILQRIMVTSELLPPHVRPATEVQGLTSACASRWIDANRSPVRTSFQPNRNKTKQNGAIPTSPPTICTSSPSTRQQNRRIHAHGRKRKAARTEEPATRRTNKRIRVQAPTSSSPNQDVTVVYDLRNRRVVVPYTGEPYVPSGIPSGRNPFAPSYGDEHELVEAVNSNAFSYIGIWMHVNFLAKPKGATTSCDLVPKFFAEGYCLQRVGVKCALHRLCILQQC >Et_10B_004019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9324575:9329623:1 gene:Et_10B_004019 transcript:Et_10B_004019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGFLTLVIAAVSVFTRGAVPVAGHVCTTMGNFTDGSQYQVNLNTLISDLPPNAIANGGFANATVGNARNKVFGLAMCYADRNLTQCQDCLRIVSRDVQQECSFSREVKACYDACVLHYSDQSFLSVADLDFAFDMVSRKSFVADMVSMNTARWILMTGLVSEAASSSLRFANNSKEYTDSQGNSQVIYGLAQCTRDLNASECTRCLTKFVAELSRLRPNDTYGTIKGYSCYVAYRVGEDFDITILPMAEPPRHLPLPGARDGGVSAGSLNDGAIVMVVCIVVFVICTGILLLFLLHRRRRKARHHEQDELHDEPLGYDFERRAGPRHFNYSELAVATNFFSAEEKLGECGFGSVYHGYLRGIDLHVAVKRVSNTSQQGRKEYISEVKIISQLRHCNLVQLIGWCHDGEELLLVYQLMPNGSLDAHIHNQNNVMSWQLRYKIMLEIGSVLLYLHQDSEQCILHRDIKPSNIMLDAAFVAKLGDFGLARLIDHDRQSHTTVLAGTMGYMDPECMITGTASTSSDVYSFGVVLLEIACGRRPIVVVSETEEYATKHLVQWVWEFHAQRRIIDAADVWLNGGFDAKEMESVLVTALWCSHPDRNSRPSIRQAINMVRLDAPLPSLPAKMPVSTFLTTGRGHQSRPRVVTGRKSGASEGGKQGAVPRCRRTTELDEALQQAASGYPPASMPPSSMAPPVEISLN >Et_2A_017402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3387475:3391794:-1 gene:Et_2A_017402 transcript:Et_2A_017402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAPPHAGSPGGARGLRRHQYTVLGLTFASYAAFHASRKPPSIVKTVLSADWAPFSGPRSPHRLGELDVAFLSAYALAMFAAGHLADRADLRRLLGAAMLASGATSAALGASYFLDIHGLAFFLAAQVASGVVQSAGWPCVVAVVGNWFGHTSNRGTIMGFWNSHTSVGNIAGSVLAAAVLEFGWGWSFLVPAFVIAALGVVVLVFLVAHPGDAGLEMEAMEVEMNGDGGEEVELLGEDKKEVESERGEDELEMEMGAQLPRAIGFLEAWKLPGVAPYAFCLFFSKLVAYTFLYWLPFYIRNNAVAGQFLSHKASGILSVVFDIGGVLGGISAGFLSDAVNARAVTSVLFLLLSIPALIVYRTYGSISMHHNIGLMFLSGYFVNGPYSLITTAVATDLGTQDAVKGNSRALATVSAIIDGTGSVGAALGPLLTGYISTRGWNSVFFMLIVSISLAIVFLIRLAKDEIYALYMIKDHFEMKSILWLNWATGQRASPHIQSTTPTDGPYDWPPPPPCTTYVRLHRFTLHSFRRLGHDWEALSVHPRSSSAVNHSALIARSRCRLLSARATGISESTTLNTVTVTAPPVACTTQNLPLPRYTSLAPLAVAPGATTTGMGSDTRRASCVSSASASPRTTTSRSCARRRPSLGDTSNHRSWSLAYTARSPSGSRPAEEPGRAAPATAVQEVRPVLRRGNQEAASRRVRGKRHDAVAERRPRQRRPPAAGGRRAKDLATRMILVEHVDRVDLSGDRDEAARGGGRRQAGGHLPRASDDVDDDALGFNDEGGLVGDAHDLVRAQLEEGQPRSRLEARDGGLAELLAGDRDAVAGSRQVDAVHGIVAARLGAVTAALLLQDQVQRLLPLPAVTVEASLRLRARRDFLGFRLR >Et_1A_007539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35729962:35731092:1 gene:Et_1A_007539 transcript:Et_1A_007539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLHLAPCRMNSGRSKSLTIALATIGALFLFFLAFVVALILLVHMKLTRKKNSRFLPPVIEDLHERVSYHTLANGTNEFSEIGKGSFGAVYKCTTQDDGTIVAVKVFNLEQSGSARSFVAECETLRRVRHRSLIKIITCCSSINHKVKSSSLNGWLYPKSEIIDRRNTLSIEQRLDITVDIVNALDYLYKHCQPPIVHCDLKLSNILLAEDMSARVGDFGISRILPESGINILQSSSRTIGMRGFIGYIAPEYGEGSSISTLGDVYSLGILLLEIFTGRCPTNVHWICRKFPENALPDKIWEIADATMWLHTKVYYNNKRQIINFCHCT >Et_9B_064362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14363706:14366553:-1 gene:Et_9B_064362 transcript:Et_9B_064362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMPSGGCGFVAANCSFLPVATALWLPSATLSGRSAACWRSTSKRQLSSARASTDGSGPGDSAGAVAAEASTVKDTLQREDGDSGDGVASAESSAGKQPPPVNPKIEKELKKAVQKTAATFAPRASTKTKNPAVPGTALYTVFEVQGYAWMLVGGALSFNLVFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSNKEKEALNYLFLLIPLVNVIIPFFLKSFAIVWSADTVAFFMMYAWKLGWLQRSE >Et_1B_010976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16044220:16045882:1 gene:Et_1B_010976 transcript:Et_1B_010976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVATNVKPETPKIQSPSERDRSDERKLPSNPGEVEALRRGASASVRNPLVAFSFAELKKIANDFRQDAQIGGGGFGRVYKGAVAAPGGGDAGETMAVAIKVHDGDNSFQGHREWLAEVIFLGQLSHPNLVKLVGYCCEGEHRVLVYEYYALGSVESHLFSRTAPPLPWAARMRIALGAARGLAFLHDADPAAPVIYRDFKTSNILLDAAFNARLSDFGLAKAGPVGDSSHVSTRVMGTYGYAAPEYVMTGHLTAMSDVYSYGVVLLELLTGRRSLDRSRPPREQALAEWALPALPHKKRVMGIVDPRLTGGVDDLPPARAVHKAAMLAYHCLNRNPKARPLMRDVVASLEPLQQPPEEHSSAL >Et_3B_031600.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:5853944:5854165:-1 gene:Et_3B_031600 transcript:Et_3B_031600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNVGTPRTHLLAIADTGSDLVWVNCRNTNSSSVETSRVELDPSRSKSYAALGCSSDACKAFVQAGHLRRRL >Et_8B_059897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3852008:3855810:-1 gene:Et_8B_059897 transcript:Et_8B_059897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFNVEKGACSKYIDGKYSVSISGVENQVTSDGKMEYVHRVVASESNYLKVVILDGKSESSELQKNVSYVSDKQEVTDKRLALLSLGIYFASKQLGVEFISQYQFM >Et_6A_046497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16164055:16166252:1 gene:Et_6A_046497 transcript:Et_6A_046497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAALNSRTENRSRLASLITDVKELISELREVKSKHCNMSQNRVGHVLANKACTESFSKYRSVMLQTLLLIYFCYPLALAVDLQAPGSHLEEVVPCITEVDGGHARNWLTAVHQEEGSIVCAEHSRIHIHRARKAPTVKPHVPYSTWAQVLHFHLKYLVSTANYHTSRQGEGSQGTGLLGLALGRNLQCFIEGGGFIGVPIEQNRKTAVPCIRNDLSLVSFAAKYIHARQCIGSCKRDKFLKS >Et_1B_010775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1421031:1427780:1 gene:Et_1B_010775 transcript:Et_1B_010775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIARTTQASATEYYLHDLPSTYNLVLLDVVSRGRFLKSVRCKHDEGLLLVKVYFKRAGEPIDLKEHERRLERIRNALKGIEGSHVWPFQVWLQTDKAAYLLRQYFFNNLHDRLSTRPFLSQIAKKWLAFQLIHAVEQSHSKGVCHGDIKCENVLVTSWSWLYLADFASFKPTYIPDDDPSDFSFYFDTGGRRRCYLAPERFYEHGGESQIVADAPLQPSMDIFSLGCVLAELFLEGQPLFELSQLLAYRRGQYDPVHTLEKIQDTGIRDMILHMIQLDPKERLSCRDYLQKYGCVVFPTYFSKFLHKFFSDIVPLDSDARVEKIQENFEKIHEIMMGNSDIEHIEGSTLCEHSESDGKKGRRQTLNAPEDSTKSIPVVKKNTPVDHQQIVGDLSSLLKEVEQRTPARTKAKDDLEHFVASGISGGSGNHSLQLVNQEGKQPGNGLMSQINAVSHVQTISKSNLRSLVSGYDGQTDTYSFDIFQQMDTKVSCEGMVLLSSLLCSCIRSVKKPELRRASLILLRISSTYIDDDSRLQLVVPYVIAMLSDPAAIVRCAALETLCDVLCLIQDFPISDAVIFPEYILPMLSLLPDDTEESVRVCYASNIHKLALTAYRFLLRSRSIADVGPLDESVIALRPQPAESTVKKQDKIDGQLGHLRKSIYEIVQELVMGPKQTPNVRRALLQDIGYLCYFFGHKQSNDFLLPILPAFLNDQDAQLRAVFFGQIVFVCYFIGSRSVEEYLLPYLEQALSDNMEAVLVNALDCLTMMCKSGYLRKRVIVGLFGKVLPLLCHPINWVKRSAVRLVAACSESLGSVDTYVYLSPHLRHFLHREPPSLSSEAAILSCLKPPVSKSVLYQALEDAQDTGDVLLKSGARKELTARNGSSTIIDDVARLRGPNISGNISFDMKDSVSFDKSLYSGFALHASFGNSSFYDGGLSKGIPSYSVSTDKRGLGETHMVSDSSVYKASVRLPWLEPNRPGVQTRDDHFSSKVRDLSINDSMKRSSSLQGDGIPNSDTVGSPSFTRSAVNSETGWRPRGVLVAHLQEHRLSVNDIAVSNDNTFFVTASDDSSVKIWDTRKLEKDIAFRSRLTYSMGTSRALSTTMLHGTSQVAVGASDGTLHLFSVDCARGVGSVVERYSGIVDVKKKDIKEGAILSVVNCSLDSFSPTVLFSTEYCGIHQWDTRTNTESWSYKSSPEEGHISALVVGQCGNWFISGSSRGVLTLWDNRFLLPVNSWHYSTVTPIEKLCLLIPPPNSISSAGRPLVFVAAGCNEVSLWNAENGSCHQVKKNFSDLYFLSSVLRIYHPQIYRFQILLIAS >Et_1B_011866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26599405:26601816:-1 gene:Et_1B_011866 transcript:Et_1B_011866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAASPLVRTRTRSRLLGEEAVVLILSDSCSVGWPAAVISGRVRSLPRRVSVALFRSSAAPPVLAARWRRDTVFRSDVVVAAAAAAAAGDSARTVSDQQVASKIRGVCFYMVTAVAAIFLFVAMVVVHPFVLLFDRYRRRAQHYIAKIWATLTISMFYKLEVEGMENLSLNSSPAVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMFPIIGWAMYLLGVIPLRRMDSRSQLDCLKRCVDLVGKGASVFFFPEGTRSRDGKLGAFKRGAFSVATKTGAPVIPITLIGTGKLMPSGMEGTLNSGSVKVIIHQPIQGNNAEALCSEARNVIADTLLQHGYGNEERWKKNGLQLLLFNLYHETQ >Et_7B_055952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:822531:826429:-1 gene:Et_7B_055952 transcript:Et_7B_055952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSWNIMFLLLKVSDNRQDRASTLACVSFRSSAYLDWILNGETLPAEGSLLESEAISVLEVLGTMTGATSELSFLESGADSALPLALERTGTESEAIEAALGMTTGVASVLSFLESVADSNLLPALETTDAESDATSTLELALVITGSTLELSFLESVVCSTLLVVLDRTEAKSASSLLESVASSPPELVLGTITGTTSALLKL >Et_4B_038584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3015528:3017127:-1 gene:Et_4B_038584 transcript:Et_4B_038584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHWIVRGSAHREYTSNGSVAGIKVLAWNHSVIKGNQFLPRERAASTGRQIIRAVPKNSSNAIHLRMKTKWWETEMKANMKSINSQQDLDDQLLVAGDNLAVVHYFSPGCLACKALHSKVHQFAGMHPELQFLLVNYDEHYEMAKSHSVHVLPMFRFYRGAEGRICSFSCTISNIHKFKAALKRHGVQTESLAAEKGLPEFEKSFDSPTDIPNKADASENTDENAGPINPSNE >Et_5B_043759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15562999:15563947:1 gene:Et_5B_043759 transcript:Et_5B_043759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAPAAAASTKPGDLLPDDVLFDVLVRLTARDLCRLRAVCRRWRSLTADPLFVRAHAARHPEHLLLAKLRDEEERRTSALWIYTHRRRAPAAAHAPCVATETNSVLDPATGAVRALPENTRFVEAPSAVVVEGVVYFKIDSAYHSMMEAGANPGINQDTIFSFDLETEQWRGQLRGPVDDDDFVIENPDDFDDFRCFWTQLTLADHGGTLALVHYRDHRALLDLWLLEDFEKGVWVKKFSVRTGSRIPNRGYPLKSVFVMDDGRFLIHVDKTGSLIVYDPRLNTSVQVEMKHLDAVAMYTGNLLC >Et_8A_057480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3393979:3395034:-1 gene:Et_8A_057480 transcript:Et_8A_057480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTELKVEMVALHEKRVRKCLSKVKGIERVEVEASIQKVVVTGCVNRSKILKALRRVGLRAEPWSPHNELLSAYAAGSLMFNNYRFF >Et_1B_012648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34077664:34085111:1 gene:Et_1B_012648 transcript:Et_1B_012648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRGGDGDEAATAPPQHLVFAYYITGHGFGHATRALEVVRHLIAAGHDVHVVTAAPEFVFTTEITSPGLHIRKVLLDCGAVQADALTVDRLASLEKYHQTAVVPRESILRTEVEWLNSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVVAAGHHHRSIVWQIAEDYSHCEFLLRLPGYCPMPAFRDVIDVPLVVRRLHKSRSEVAAHIIQDTAVGKKYISGKLSGARRLRDAIVLGYQLQRAPGRDVGIPDWYSLSEKEIGVRPTPMSVDMNGSAESFEDFEILHGDMQGLTDTMSFLKSLSGLDGNDPRSPEKQPRERAAASVLFDWEEEIYVARAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRSDPIKQKQWKHTQARQLAKGVAVPVLQIVSFGSELSNRAPTFDMDLSDFMDGDKPISYDKAKEYFSLDPSQKWAAYVAGTVLVLMTELGVRFTDSMSILVSSSVPEGKGVSSSASVEVATMSAIAAAYGLNIAPRDLALLCQKVENRVVGAPCGVMDQMTSACGEANKLLAMVCQPAEVKELVSIPTHIRFWGLDSGIRHSVGGTDYGSVRVGTYMGRKMIKCAASDLLSESLPSCVPMHSEDANPDEYEEHGVDLLKNEASMEYLCNLPPHRYEAVYAKDLPEIITGDAFLKKYGDHNDTVTVIDPKRSYSVKAPTRHPIYENFRVEAFKALLTAAKTDEQLSALGELMYQCHYSYSACGLGSDGTDRLVNLVQEIQHRKTSRGGGPSLFGAKITGGGSGGSVCVIGKNCLRSSEEIFEIQKRYKAATGYLPIVFEGSSPGAGKFEYLKIRRRST >Et_4B_039525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20202423:20203501:-1 gene:Et_4B_039525 transcript:Et_4B_039525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLSHDVASASSFSSAATPALLLSRCRPSPPQPRLPVNKLGSSVVPGAGAWLPQLQLPPHLQVLQPQPPFSASAIIMPPKLLHKHKQENSSTSGYIPQPLQPLPQLQFEPHPHLPLQQEDILSISSTLQARNQLQGTEAVNYSFFLCHHEPPPDSPTERVGNTDALKVQPAVENDAYSSTAVGILIEELKVLVSEFS >Et_8A_057508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3795962:3797516:1 gene:Et_8A_057508 transcript:Et_8A_057508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYVYGPGRTHLFVPGPVNIPDQVLRAMNRQNEDYRSPAIPAMTKILLEDVKKLFKTTTGTIFMIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFDVDVVESEWGRGADLDVLEAKLRADTAHTIKAIAIVHNETATGVTNDLTKVRKLLDSYRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCASPKAIEASKTTAKSLRVFFDWKDYLRHYSLGTYWPYTPSIQLLYGLRAALDLIFEEGLDNIIKRHNRLGTATRLAVQAWGLKNCTQAEEWHSDTVTAVVVPPYIDSNEIVKHAWKRYNLSLGLGLNKISGKVFRIGHLGNLNELQLLGCLSGVEMVLKDVGYPVKLGSGVAAAAAYLSNFTPFIPSRI >Et_4B_039728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2815998:2819499:1 gene:Et_4B_039728 transcript:Et_4B_039728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPCDCFLHTPARPLSNPTASVCRDRSATFQVNAVSAPARVAEIQPKLAVPCHKPILSQRKKKGNWVHYGGSLPVVLEALERFEDVGEALGPWKDTLSNRERTIILKEQKDWRRAVEIFDWFRRERDHEVNVIHYNVVLCMVGRARRWNLILMLWHEMHSCGVEPDNSTYGTLIDACCKGGKERAAFLWLGDMCKRGLVPDEITMSIVLQAYKKTGEYEKAEYFFKRWSLEHDRTAEGHPRYSLYTYNTLIDTYGKSGRLEKVSDTFDQMLREGVAPSVVTFNTMIHVWGKHRRMERVASLVSMMEEYQCFPDTRTYNILISLYRENDDIDVAEYYFQKMKAENLVPDVVGCRTLLYGYSIRGMVTKAEALLKEMGEWGFSIDEYTQSALTRMYVNAGLLEKAWHWFETFHYQMNSECFSANIDAFGNEKYIVLAEKAFMCCVKRKMLSVSVCNVMIKAYGLVEKLDEACEIADGMEMYGVLPDYLTYSSLIQLLSTAKLPEKALCYLRKMQTAKLLSDCIPYTVMYVSGGRMKEAFKIFKKMLASNTLPNDATFKALKCSTISAGSHLFQCPFSTRCWNFVGITWGHTTNFFNTIPKARAKCQHQFVMEVFAISCKLGNRGMPDLFNPR >Et_3A_026528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9256201:9259414:-1 gene:Et_3A_026528 transcript:Et_3A_026528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKRVQEKMTALFVLNCVLKTLPPATDAWPSAAASATATAAATTVLDELRKLSDSTAAEIRTDPDAVALASTDFGHVSSSPRPAAVFYPSCAADIAALLRALCASPSPFPVSARGRGHSTHGQAAAPGGVVVDMPSLGRGAGGDSAAAAARLAVSVEGRYVDAGGEQLWVDVLHAALAHGLTPRSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVHELDVVTGTGEMVTCSREKHGDLFRAVLGGLGQFGVITRARIPLAPAPARARWVRLVYADAAALTADQERLIDLRGGDGAPVVAGLMDYVEGSVLTDYQGLVGSWRSPPSSSAFFAEAGAAARVAALVEEAGGVLYCLEGAVYYGGAVDTSAEDVDKVLMRHTRHFLLPHDRLGGRACGRSRLCPTFGHVTRAWLQFQTANGASWRARSHRAVRLELLLRELRYARGLAFVQDVSYVEFLDRVHAGELRLRAAGLWDVPHPWLNLFLPRSRILDFAAGVFHGVLRRDGRGAAQQLGPVLVYPMNRDVWDPDTSAVIPRDEEVFYTVAILRSATGGDGGDLRRMEEQNAAVARFCEGAGIPCVQYLPHHATQAEWAARHFGPGRWDRFVERKRKYDPKAILSRGQRIFSYPLA >Et_5A_040652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10856037:10857283:-1 gene:Et_5A_040652 transcript:Et_5A_040652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRHLPALLSLVLISRAAAGANFTVSKAVYYPNSDTRGTEVCVSNKDFQMEHVNMAHLGQHSNNGDVSASASLYRNGVGCGACYQVRCINPYYCSPNGVTIVITDSGASKGTDFILSQHAFARMGLNKDSGATLLNRRVPCIYPGKNIVFKITESSHFPYYLEFEIWYQQGYQDIIAVQLCETVNVTCQLLRRTHGAVWAAITPPSGPMSIRMLFSNGSPRDGAQNWLVPTSTIPQNWAAGATYDSGVQ >Et_3A_027332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7912511:7913061:-1 gene:Et_3A_027332 transcript:Et_3A_027332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGNAAAAAGNAGRWAASANGVIVLPAGSARPPRQPSPPQHRPDHHHSQREKPQETRPPEKVFYKTKLCDKFQSTGQCLYLDECTFAHGQAELRPPLPLPPGARRSRLIVPHVDGNGGGGGKVHYYNSGKVCFEFRDTGKCSYGAKCNFAHSQAVVQPGAGTSSLV >Et_5A_041673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25711863:25712660:-1 gene:Et_5A_041673 transcript:Et_5A_041673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VCSSLLVEAMDDGDLDFSNPETYLCEAIGKDLPSSCSMDSYFDDILNDTGHHACTHTHTCNPPVHDLSHTHTCVHVHTKIVAASPEGGNRAAVRKYREKKKAHTASLEEEVVHLRALNQQLMKKLQNHATLEAEVARLRCLLVDIRGRIEGEIGAFPYQRPVKNIDLISNVDQGSFLGGAQVANSCDFRCSDQMYCNPGMPGAMSGQVAACDAGNIKCIGSAKSGSTKLPGCGGMDTMSIGCLPNVEK >Et_6B_049830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14123216:14125763:-1 gene:Et_6B_049830 transcript:Et_6B_049830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEYADAAKYRGQNGWTKEGWNNMVTRLNAKYDGANFTVQQLKDREQRLKKDHSSVKSVVSKSGFGWNLEKGVPTALDEKWEELLPALQKWRYKSFPYYDDLYEIYEGKIAEGKNCKRTTDKYAEDYNSPSFSQVGSLYDQVVNAGLETNNADIADKEPMQHDDMEDSPYNDGMDYSQYNDFSSHGEIPSSVERDQTRRESPPRERPHLPKATNEGGDKSKGKKSKDTMMESLVAIRKEELENYKEMKSKQIESYREIKFAQMEKNDPINDPYSMARCIVKLEQLNFLTPADMVKASISNAFDQSMKDELFHPPISLAS >Et_1A_004667.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:29397817:29400199:-1 gene:Et_1A_004667 transcript:Et_1A_004667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTKRFVLEAWVVFTLQRAITRMCIASVPSSESLRLLCYCYLLTGTSASIAGKMSHAHDGQRLFFPVGNPFRMILPRGAHLSPKLTELLASYEDGLALTLRKLKPEDTSEVLTLSWMRLAVDSLSEMHTNIATLITELELPVSDWDEKWVDIYLNSSVKLLDICIALSSELARLDQGQLLVRYVLHVLDSESTVPSQEQLGRAEASLKEWMERSSMRSPRLEDCSAVLQELAGNLSVMKVKHSAKGKVLMRALYGIEAVTVFICSVIVALLSGSAKPLVKFDVPEKFGWSKAFDDLYSAISGEVGTQLSRSRVVAVKELEEVEACARKLHALTSAVQHQEENANLSCAAIHTKEVVISDTTAQERVSEDHLKLADDSSLECKVIMGGSITEVMEEGETKQVAKTIGHAKEVMMIERTSDRQHQGDNMKQVDGAETNGLERREELLNCILSLSKSAEGLRLGLDSVSKRVGDFFQIVLTGRDALLCNLRISDESKVAAKVR >Et_7B_054011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14161390:14164856:-1 gene:Et_7B_054011 transcript:Et_7B_054011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAIGSAPPRPGLAAPTRSSLVRRPRSLAAARRPFAAAYMDPAAGAGCPSPAPLRCTSAGTESEVDAVATTSHAAEAEAVVGTTEQGSNGSPAADAASAGAPSIVEGVDGIRIRRRPVTGPPVHYVGPFQFRLENEGNTPRNILEKIVWDKDVEVSQLKERRPLYMLKGPLEAAPPARDFVGALKASYDRTGLPALIAEVKKASPSRGVLREDFDPVQIAQTYEKNGAACLSVLTDVKYFQGSFDYLDAIRKAGVNCPLLCKEFIIDAWQLYYARSKGADAVLLIAAVLPDLDIKYMLKICKILGMAALVEVHDEREMDRVLGIDGVQLIGINNRNLETFEVDIANTKRLLEGERGQIIAQKDVIIVGESGLFTPDHISFVQNAGVKAVLVGESLIKQEDPGKAIAGLFGKDISHAGAA >Et_2B_021957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7317028:7318092:1 gene:Et_2B_021957 transcript:Et_2B_021957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQLPEELASSNPSVEALRQVVTLSYYHLPSHLKPCFLYLSLFPEDFEIERKHLVNRWIAEGFVVRNAIARRTLEEVAESYFYELISRSLIQPSKLEMQSSCIVHDIAVSISIEENHLMSIQVPPLQQNKPYDIYHSLVRKKLNTRLVLSGVRSITLFNGIPDSFYFNKEMKSPHVQFRLSRFKMLRVLDLKYGGPIRARKHDIDIIGSLIHLKYLHFPSHALLDGYTLPRSIGDLKSLQTLVIGTSPLASLPTEITKLQNLRNICCVNSSRISCFDIHDYMECLATVTFPTCFINDGEYACCFCFKTNSWGVRVPKGIGRLQELQVLEDIDIRRSSCKAIKE >Et_8A_056219.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:16312330:16312476:1 gene:Et_8A_056219 transcript:Et_8A_056219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSFCPHLEKVKQILSVLPCEELYLEKSKEHVSHAQNLGTCHTNILQ >Et_4A_033190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19747763:19748134:-1 gene:Et_4A_033190 transcript:Et_4A_033190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAMEAIMTESAGDGNASKTPAEVVAQVQPKTTFLRNVCIKTKGVKTGTAAGARVEELMERMAKQMEEVEAARVKEAKEAQARRCKQPEELETLKKASEDTQSLLQE >Et_7A_051256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16121568:16124691:-1 gene:Et_7A_051256 transcript:Et_7A_051256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMASLYPVSAASLPRAQALRARGRSPIRAKVDEVDKDKGAAGLGFRPPDRRKLRLRLRPRLRLLWWRLRRLSPRDLVADAGAALRRAVRRVPPAAAAPVLLAVLLAAARLAMPKNTAREVAYSDLVAGLRDGAVASVAFEEDSRRIYFSKKAGDDDESDASDAVESASAAAAATKWPYYARRVPHDEGFLLGLMREGGVDYRSAPRPAGKLLVDMLSTLLTLWVSLLPMMWFIQRQMSAGGGAGKRRKPRKQRVLFDDVQGVDEAKEELVEIVSCLHGSLNYKKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGIPFFSVSASEFVEVFVGRGAARVRDLFKEAKEAAPSIIFIDELDAVGGSRGRSFNDERDQTLNQLLTEMDGFDSDMKVIVMAATNRPKALDAALCRPGRFSRKVVVGVPDLEGRKKILAVHLREIPLEEDPEIIGDLVANVTPGLVGADLANIVNEAALLAARRGGNTVAREDIMDAIEREKYGVNGRLQNDVSERQGLTKLFPWLPKPGNKQSSPDDFGGLMGYHTLS >Et_2B_019831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14280966:14294701:-1 gene:Et_2B_019831 transcript:Et_2B_019831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLWPNNYYCSLHVAVVSAIGSSHSFSSPPLPASPQPDTQRMFMRGGSGRSTGTSSRSTSLRDINEEAAHVDDGGGKLYVAVGKDFKDGKSNLSAARSLGLLGDLNLVLLHVHQPAERIMNGLCKVHASQLEEKELKAYRVIEQEEMNTLLNQYMTYCRLYLKVQAETLVIEKNNVASGIVELINQHHITKLVMGTSSFSMRRQVPKSKVAAIVQEQAEPYCQIFFICKESLACTREANLDSIKTGSPRRSSASTQSDETELPARSVSLPPGHPGYMRSPDQHVLPWRSNSVSHTSPGLLANNVETMPPIAQHSIHMTPINCSPNSSLPSNGGSSKSSPEDWDSMDASPAPASIVSSEEQHILLVETGMNNEVFEQLQQVRNELERSRKEASEGRQKAEKELFEASMMFKTRENSLRKEKKEIEERLTREKVVLEKEKFVIYNELQKANGQRAELENKLLQANSLLEELKQLQGELQREKEDALREIEEMHKLNGNSIFGSAGEVKLIEFSYDEIKEATNNFDASKEIGHGGCASVYKGFLRYTTVAIKKFNREGIIGEKEFNDEVEILCRMRHPNLVTLIGVCRDPKALVYEFLPNGSLEDRLQCKHHTKPLPWRTRISIAADICTALIFLHSNKPKSIAHGDLKPDNVLLDANFVGKLGDFGISRSLNLTNTTVTPYHRTDQIKGTLGYMDPGYIASGELTAQYDVYSFGVVLLRLLTGKGPLGLQSEVDTALGSGALDEILDASAGEWPPVYAEELANLGLKCCRYDRKERPDLAKEAWGILQAMMNEPSLLGHDNKCEVPSYFICPITQEIMRDPHIAADGFTYEGDAIKDWIQRGHKMKAAGRPGSNKKKLKDMASPAAGSSLPRADDSPEPSLPCEKVYVAVGREVAESKATLLWALHKLNKDAGSSLVLLHVYSPPKSLPVLGARIPASQVEEQELTAYKEIQLQRITDSLDQYILLCAQEKIHAEKLVVESDDVAQGIVEFISEHRVTALVMGAASDKHYTKKMKIPKSRKAQIVEQQADPLCKIWFVCEGTVIYHRKAVPNSHDEMQEWKQSPGFTHSSVETSASLSERWCIANAWLSKPIIELQIERTTSDPSYSSLKVNAKEPYETYDNFQHILRELESARQEAYEEKCRREIAERELFKAFQKAQDSENSYSRELKQKNELEEKLTTIMKEVENLLRRTDELCVKLQGEREQRMVLEKRGAHSDRIIKDLMLQRDKAMREAETLRAKKGESTATAEGKMHITELSYSEIKDATNDFDHSMKIGESVYGSVYKGFLRHTNVAIKKLNPECIQSQSQFNQEVEILSKARHPNLITLVGACKDAQALVYEYMPNGSLDDRLACKDNSKPLNWQLRTHIASNICSALIFLHSNKPHSIVHSDLKASNILLDGNNVAKLSGFGVCQMLTDEFKTTTTLYRHTHPKGSFVYIDPEYLISGDLTPLSDVYSYGIILLRLLTGRSGFDLLKEVQRAVERDCLQAILDSSAGEWPAVYAERLAQVGLRCCEIRRENRPDLQTEVWTVLEPMLKSASVTLYSLSFKSVSEDLVGVPSYFICPILQDVMRDPLIAADGFTYEAEAIREWLDSGHRTSPMTNLELPHRDLLPNHALRSAIQEWLLSNAE >Et_10B_004060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:122172:124115:-1 gene:Et_10B_004060 transcript:Et_10B_004060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPATSTLIYAMVARGTVVVAEHTSYTGDQQPLHLHLRRTHLQLPRQRWIRVCSLLHNSAAYGVVATESAGRQIPLAFLEMIKEDFNKRYAGGKAATATANSLSRDFGPRLREQMQHCMDHPEEVSRLSKVKAQVSEVKGIMMENIDKVINRGERIDDLVTRTEQLHDQAADFRNEGTRVRRKMWLQNMKMKLIVLGIVAAMILIIVLSICHGKCK >Et_1B_010504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11117699:11120891:1 gene:Et_1B_010504 transcript:Et_1B_010504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEAAKSGGGAAPVRCQRIGCDATFTEDNNAEGSCRYHPSPIFHDGMKEWSCCKQRSHDFSLFLAIPGCATGKHTTEKPVTKAVTANRPKATPIHSSMRGVGADACQRCRQGFFCSDHGSQPKVQIPAATDVASKEPVEQSSVPPPKKKIDINEPRTCKNKGCGKLYKEKDNHDSACEYHPGPAIFHDRVKGWKCCDVHVKEFDEFMEIPPCAKGWHNADAA >Et_2A_017100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30940561:30954813:-1 gene:Et_2A_017100 transcript:Et_2A_017100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ERLSLNGVLLRHPCSAPLLCSSHSPEGRGHSHGGPYCPRAPPPLAPCHCPLPVPHPCLVAPAWQQCPTASVAMGAPVDRPHRAMRDLASRQGPLVLLRLGGLPVFVASSADAAREVMRARDLDFAARPLTRMVRLAIPEGAKGIVFAPYGDGWRQLRKICTVELLSTRRVQSFRTVRQEEAGRLLRAVASAPPDQAVNLSELLSVYAADSSVRAIIGSRFRDRDAFLTMLERGLKLLAKTSLPDLYPSSRLAMLVSRMPSKMQQYWHEVATFLDVIVREHEESKDDNDGKEDLLDVLLRIQREGHLQFPLTTDNIKSVVRDMFAGGSETAATTLQWTMAELIRNPRVMKKAQDEVRRLLAGRNKVTEDDLSNLCYIRLVIKEALRLHPPAPLLPRECMNACQVLGFDVPKGTVVLVNVWAISRDPKHWDMAEEFMPERFEDCKTDYKGTDFHYTPFGAGRRMCPGMAFGLAHIELMLASLLYHFDWKLPCHMEATDLDMMEEMGVTVRRLEDLLLVPVVRASNHATEVTAMAALAIPVLLLLPLLAAVPILFLTRAASRRRGRSARLPPSPWALPLIGHLHHLAGALPHRAMRDLASRHGPLMLLRLGGLRVVVASSADAAREVMKTRDLDFATRPLTKMTCLGIREGAEGIIFAPYGDGWRQVRKICTVELLNHRRVQSFRPVCRLLRAVASAPPDQAVNLSELLSVYAADSSVRAIIGSRFRDRDTFLAILERGLKMFAKMSLPDLYPSSRLAMLVSRTPGKMQRHRREVDTFMDAIVREHEENKDADDSKEDLLDVLLRVQREGDLQFPLTTDNIKSVVGDMFAGGSETAATTLQWTMAELMRNPRVMQKAQDEVRGVLAGRHKVTEDDLSSLHYMDLVIKEALRLHPAAPLLLPRECRSACQVLGFDVPKGTMVLVNAWAISRDPKYWDMPEEFVPERFETCKTDFKGTDFEYTPFGAGRRMCPGMAFGLAHIELALASLLYHFEWKLPCGMEAANLDMTEEMGVTVRRLQDLLLVPVVRGGKSVKVAPARLPPGPWRLPVIGSLHHLLTKPLMHRAIADLARRCDAPVMYLQLGEVPAVVISSRDAAREVLKTHDAAFATRPMSLSIRATAHEGMGIAFAPYGERWRGLRKICSVELFSAARVRSLRAVREDEAARLVAAVAAASPRGELVDVGARVAAFVADTVLRAVLGERFRRRDELLEVLEVGLNKIKPVMSVGDMFPSSKLMCAIGGTVRNARSFHRKITELVDCAIEQHRERKGVVVAGDDTAASKEDLMDVLLRIQKEGGLDFALDMGTVKAVIVDLFGAGSETTATTLIWTMSELMRNPEVMKKAQAEVRHAWQGKERVREDDLINLKFLKATRANTSIDTERECMEQCKIFGFDIPRGALVLVKAWSIGRDSNYWDEAEEFKPERFENVKTDFKGTNFEYIPFGAGRRMCPAITFAQANMELALASLLYHFDWQLPYGEAPDELDMTEEMGMTVRRKKDLYLRPIRGPDAFLDDPFGFPTDLSSLAKRGRCSPSAVTAADLGLSLPLEFDPVEALRLIFPDADPQLMYQRLPYQQMALNGLS >Et_3B_030903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8672893:8674277:1 gene:Et_3B_030903 transcript:Et_3B_030903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEAVVVYDGALDMLPQHPVVVDGLHLDPPLHGSSFPTSSSSSSISLRSASLSCSPSSSAHVLGGGGAPATAAATCGGQYHEVSSHVPLPPPPAAAPYDHHHHQYANNVAAPPPESPAAKRGAFKRYARHLGPRRQQQKPGACGQRIFHALKIVLPPGSKKDKTSILIRAREYIRSLESKASDLEEKNKSLETRLAQRGSSGDAGKHSGAGGDDEKVQIEITRAAKEEEPPVVDPRDLCTLKIVVRSRCNMTDVVLRTLQCLKEQVGDDVNLVSMSTSSAGAGPSRSSCSSPRAVLTMQIKLEIPDG >Et_5B_043068.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:19944053:19945694:-1 gene:Et_5B_043068 transcript:Et_5B_043068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QFQISGEPGGGPRRLRRPVSCPNPSRATLLSHASHRLTSGSPIRSAMDAPKRSKTAAAGFPDDPVVEILSRVPAKALFRFKCVSKAWCDLIASRLRGNKSPQTLEGFFCSSGGGGDATFGSFGSLSGRPAPFVDPSFSFLKKIPGIKDLVLWSSHNGLLLFGHLRDCGTYGYIVCNPATEQWVAVPSSYWTVSPPLKNLDYESDDWTADAHHFLIFEPAISVHFHLVQFCHSRYPKIVERVLIYSSDTGIWVNNTQAWQQYGERWTGGADVVKSKLGSAYLNDRLHVLVYSRYYHHQTIKDQIVAVDMRRKPCKIIRWPETKEFSVPAFIGQSQGLLHCISGHKKVEDGCDYITGLSIWVLENYDAEQWVLKQSVSCLQLFGETSCGIDELDVIAIHPDRSLIFIVHSCNQKLISYAMDTMKVCSLQALGHGCQSVVPYVPYFEKLPTLVPKHTLDLLVKLEFGGPRNLDES >Et_7B_054373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20542479:20542685:1 gene:Et_7B_054373 transcript:Et_7B_054373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKGNYLKENEHIHEQQGGGLEHPQLLKKWESPPLGWVELNSDLVRQVLAFSFAMQQAKLS >Et_7A_052355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7143031:7143545:1 gene:Et_7A_052355 transcript:Et_7A_052355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDECKLKFQDLKAKRSFRFITFKIDERTQQVVVDRVGQPGETYDDFTESMPPSECRYAVYDFDFVTDENCQKSKIFFVSWSPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIVKARAL >Et_3A_025204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27934551:27936670:1 gene:Et_3A_025204 transcript:Et_3A_025204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYRVLGLVAALLVLLALVVSSTRIAEQEGEEAAAMTDGGPVVGGVQDAPAGTDNDLHVNDLARFAVEEHNKKANALLQFEKVTKVKQQVVAGTMYYFTIEVKDGEAKKLYEAKVWEKPWMNFKEVQEFKPAEDGANMTKV >Et_10A_000349.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:3978860:3979015:1 gene:Et_10A_000349 transcript:Et_10A_000349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPLFNILQEQLQSEWSHEMNLVQLSFPRDGSFSVAPMQRKLNCWRVEKA >Et_3B_031194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20208727:20212100:-1 gene:Et_3B_031194 transcript:Et_3B_031194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEAEVVSEQLLPDDLLLEIVARCPTIADVIRCAATSKPIRRGILNAGFLSRVDRHTCIPSVLLGMYHQAEDPRLPPVFVPVANNANYPRSMTALPPPPGTASNHNNTDESPCDFGPYWPVAFRRSLLVLRRQCKVGAALQRQGRRAESHGKHPAQFTVCNPTTGERWVLPRHAVTQVLLDVDPLAGPFKSGADTVRHALRADLLHRLLRERRRRRVGSRLGLVSYTSFAEAAEDKRPKPVVVDDTVHWLCYTRSAENGLLDDCILTWRWGGHDGGAHVPRKASFMKLPSRCRPGKGDTVCLAALPSKDATSRPLLSVVILFNPDSILVWVRADTGDNWSLRHLIHQMKIGRPMGLKRPWLLGVEFPWFCEGSATVFLRERGKNTGPLRLSLDYKAPLFAQTENSTAVSKLEVPSYGLGRMGAQVLPVRDNGKIALIPALSFTEATTSAVLTSKKVTAKYNHRQKSTIIRSAQKLH >Et_10B_002357.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:11622318:11622593:1 gene:Et_10B_002357 transcript:Et_10B_002357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLPGAHLLLFFGDRLELISLNKQSLACAKFSPLSPCRLHASMPSSFSAVGGCGTTVMMSSSVPCLYTMPDRLFTKCREDAKLWSCRLPRAD >Et_4B_036692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10770549:10774655:1 gene:Et_4B_036692 transcript:Et_4B_036692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDRRWRAARELWRAGGYLGFMIATCSGTRGEFGRRTWSWIAIVLNSQNSSVFGAMSSSVPILPNTMKESFPRPRNPQHIPMSRQLPDDSIPLRHGTIQSATLHPRAGFIGSSYSGYSASPHDPVSNLERQSIVAPFISPSSSVEVFQSLSNNTPGTQTEAAWFPSSVDVLPGYIDNMSAPDNQIQNGSSAMASDEVANQNEWWADIMNDDWKDILDATATDSQSKNMVQPSNSAASQPAVNQSASTLSGEICPVASPPNSSNTSAAKQRMRWTPELHECFVHAVNQLGGSEKATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYKPDLSEGTSEKTTATAELSLDLKTSMDLTEALRLQMEVQKRLHEQLEIQRKLQLRIEEQGKYLQMMFEKQCNSSTMKVQDPSSDTAPDLSHSADKDSDAAVDQNRAGEA >Et_1A_009377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4352204:4354239:-1 gene:Et_1A_009377 transcript:Et_1A_009377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRITEAATLLLPVLSLLLLLRTATGDDDYSAFVYAGCSQGRYDAGSRYASGVDSVLTSVANSAPYSPYDNFTAPSDASLVGLYQCRSDLPASVCAGCVRSTISRLSSLCSWAAGGAVQLRACFVRYGNDSFLGRQDTTVLFKKCGGAPGSAGGAAMRDTALGALVAAAAPTPAGGGFRAGGSGGVQAMSQCVGDLGAKACSDCVSAAAAQLKAGCGYASAGEVYLGKCYARFWGNGGSGGFSSSGASRQGHGFRLVHAFVTVGFTI >Et_3B_027998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30543815:30544219:-1 gene:Et_3B_027998 transcript:Et_3B_027998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAAAASNDDSQDAGAGSAAARRRDLVPVAPAAELGVMALGMNETRRRLGSFQLCAPCTCCGGSRGACVLAPCCYSINCNIPNRPFGYCSFTPKSCDCLGCNL >Et_7B_055531.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:13255163:13255381:1 gene:Et_7B_055531 transcript:Et_7B_055531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDARSLMQLAATAAADDDRTGRNGGAWPAAAADASVVPVVLWSDDDRRMKQELVAWAKAVASKVARESMH >Et_1B_014053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2910450:2913861:-1 gene:Et_1B_014053 transcript:Et_1B_014053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSRLRHLRRLLAAAPSPTLAAHSHKQCSFPPAFPTRILPPRWPLPNLPARRLFSEHAILHTNLQDERFAALSDRIYDVMIKTEAEPNEGTEAALDVLGAELTTPLVADVLHRLRYEEKLAFRFFAWASHQDGYDHEPATYNDVIDILSSTRYKSRQFGVLCDVLDHMKRHGSRSVPVEDLLAILRAYTEKHLTHLRKLAKKRRVRMRTPPETDALNVLLDAFCKCGMVREAEVVLGRVKRSLLGNAETYNILFFGWCRARDPKKAMKVLEEMIQMKHTPESFTYNAAIGSFCSAGLVSEARELFEFMRTQGSTISSPTAKTYSIMIVALAKADRMEECFELISDMRNCGCMPDVSTYKDLIEGMCMLDKLDAAYRLLEEMGKAGFPPDIVTYNCFLKVLCSLRKADDALELCDRMIEAHCEPSVHTYNMLMVMFFEMGEAHRAFDIWHEMDTRGCKRAVDTYELMIDGLFDCGRTEDATALLDEVINRDMKLSYKKQPWRNTSVVGAYEKVLQRCNVKTICNNTEEEEHRHEKKMNAVTIDVVVTGKPDCL >Et_3B_028929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20564833:20572076:1 gene:Et_3B_028929 transcript:Et_3B_028929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKRSQRSEILELRRCNAAGGGGEDEGGGERRPRKRQRGDEFFPVELLGNVPVSGIPYAAFGFRWCEQPAAASPAEAALPAAASRPPVVRTSRGRTQVLPSRFNDSVLIDPWKKEKPVKPPAATVKAEPLVRKNGVIHNKVAIFDRSFALSELDDDDEEEALVERFRACRKFGSSSNYLTSQSTLTSVHDEFYSNYHRKEVMLSRYYDDDDEEEEEEEEEEDDEENQEQEEEQELLDCGREFLYGDIVWAKLGKRQPVWPGLLIDPTQQAEPEAMPPQPRNGAVLCVMLFGWRTEFSDEKKYVWVRQGMIFPFVDYVDRFQGQTELSSSLPGDFQRAVEEAFLADQGFSEVLMNCSTTGQPAVFRSFPAELQERYKRALQCESCGNCFQSSHVKKMEYVMGQLVCKHCAMILRSKKYCGICLKSSQHKCGGRWVCCHGCESWVHAECDEKCGNLKDLRENSYCCPYCRVKLNSNRKNTKFSDVRKDNSAQKGSKPDKVAVVCFDLEGIYLPDLELISCHCGPCKGQKFLFNEWERHAGCRSKNWRSSIKIKGSLTPFGKWIDKHQPGVCPTNPGKRSSQKMKKQKLIDLLNEPYDPVNVKWTTERCAVCRWVEDWDYNKIVICNRCQIAVHQECYGVSGTQDFTSWVCRACEKPEQKRECCLCPVRGGALKPTNVDDLWVHVTCAWFQPQVAFVSDELMEPATRILNIQPLLFMKLHCLEKNGKQSTKKISYCAQHRSPNPDNVLIIQTPAGTFSSKKLVQSSGKVAGSRMIRKDLPMDSPSEVEIPENLSASRCRIYVRKDLKRSPEGAIAHRVRGPCQHRWDEIDSLNPPKEERDPESFSTFKERLHYLQKTEHTRVCFGRSGIHRWGLFARRDIQEGEMVLEYRGEQVRRSVADLREEQYRVQGKDCYLFKISEEVVVDATDKGNVARLINHSCTPNCYARIMSVGHDESRIVLIAKKNVSAGDELTYDYLFDPDEADERKVPCLCQTTNCRKFMN >Et_4B_036341.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:18789121:18789267:-1 gene:Et_4B_036341 transcript:Et_4B_036341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREDQKYENVPEVDSSDDENEIPIPADGRRPRTRRGVRRMDEKFVEA >Et_6B_049994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2907967:2914920:1 gene:Et_6B_049994 transcript:Et_6B_049994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNENAGRRYGVATCAARVARADLQDLRVNPMESIMRTEVFDAKSIDDGVNRILEELKEDAAGFPRSSGRHNVIYFGGWNGLGASAVLRAVGRRLTPAAPAGRRAPAAAAGLEFSHIFHIDCSKWESRRAMQRLIAEQLKLPASVMEALDARDEDDDYKGVGKGSRAEVPQVAEAINQHVLKLVTNRRFLVIFHNGSSEEIDLGNFGFPLSGYLRNKVLWSFQGRFRFYPRTKVDRALKNTRTTDVFLSVAVFRSDIEGHKLMSDILHHEAEEIACEMANINTGGINWHAAATNCFLYVMKLRSMGSQIIDYDMSAHACNYWRCDGIIQALQPGDIDTDGGVDKLWLSSDALHHEIQLDEDYYYNPNLPSEREMRLHKSMACWTSPTYGFMLIPDPHGQIPEGMFKQFDKLCVLKLSACKFSFTSPPFVCCHNIRFLWLDHCQEGSSTAEAAKEEDIHQFLQRLWVLDVRHSNQAFLSKEMVAFMTQLRDLNVVGGKKKWLRMEELVQSQLRNIIHRLQIKETILITPFIFSGMDKMELLELSRNYTIPPRWGSIDVTSCRSLETVIINGFDGLEDLSLIGCAKLKNVLLNGSLGNLIRIHIVGARVETLDLSAVTVPYLDELCLLDCEKLCAILWPPASEDRRKRYLNNLRISATQKEGTTTGEHTTGKPPRIFDWHISVRDTRILQSLESVKDYFNSNHAHVEISSRSHHPCAGDGSSKDEWMNNSSGQHVQKKQKELIMDTVIYADVVVTLKDINKQQKQANDGDSDALAIMCMCPSPPSVPGCYLHIEDQMRAKLQAISTTIPAFICDSAKILHVHDSLHITNIAAAPLASATWNQLEWCRVEWCPKLGCVFSPQLDETQERRKKRHVSGAEDILGLTSSQSTPHLEVE >Et_8A_057783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7118527:7125097:1 gene:Et_8A_057783 transcript:Et_8A_057783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVDFSVLGAVKKVTSFIAGPTSAEAAADGRPPAMPRSRSGSASPAESPPPAAARSGDRRAIALRRQISSPQLLRCPTVRQADDEDDYQPGVQFFTPGNDFYFSDTDSISVSTPNEINRSLTPSPLESPSWMRGDDGAPTFRKNGHFSPDSPGYGTKTTMQSDCALEQINERVTARGGEGNIPQYPADFGANIWCPPPPEDEGDDIESSLFGFDDEDDEVGDSSRLLVPASFSANKIAGTEEVTSTAQKTGLRNAVLGHFQALVAQLLKAEGINLGTDDGSKNWLDIVSSLAWQAACYVRPDTKKGGSMDPTDYVKVKCIASGDPSDSNFVRGVVCSKNVKHKRMVSEHRSAKLLILGGSLEYHRVPNKLASIGTILEQEKEHLRIIVGKIESRRPNVLLVEKSVSSYAQELLAKDISLVLNVKRPLLDRISRCTGAQIASSVDSIASAKLGHCEVFKIQKVLEFSSLKETARRSTKTLMFFEGCPRRLGCTVLLRGSCREELKRIKRAVQLAVFAAYHLSLETSFFADEGATIPKFPLRPGLMESDTRNCADNYFAGSPPVSVPRGLKPEHDRLSQTTVVNRIFENISVSPNSLPSNEESEGFMDDCEHRESEYSVDHLDSCELCVSSATGLCNRHKTSPLEYDSKMQYQHSHNNSAKYDHQNEVSARKYQEVNHWDDKPQDDSHSEDRHDQNELGGEYFPSTDNHQSILVSLSSTCVPKGLVCERSQLFRIKFYGSFDKPLGRYLREDLFDQAYRCQSCKEPTESHIRCYTHQHGSLTISVRRLLSRKLPGERDGRIWMWHRCLKCEPKDGVPPATRRIVMSDAAWGLSFGKFLELSFSNHATANRVANCGHSLQRDCLRFYGYGNMVAFFRYSPVDILSVNLPSSVLDFNCRSPQEWLKSMAIGIFGKMELFHMEVSDFLHCTEKNIVTEDEPVKEVVQRQIIELKDLLKMERNEYEILLLPVIRDTNHPMLASIDVLELNRLRRGLVLDAYIWDRRLCHVDSLLKTHGRVSRTSSDNLDILLEAKLKEWKAELLPGDVEIGKSLGSPRKSMLSREGHLSDTECSLSDANVYNMDDTEDLDKVYSKFNGEKEWPVTEPVERLPSLASIFSDKIDLAWTGSSDLQYDLPPAFTKIDENGSVNLLKSQNHRNGVTPVRIHSFNSTLGLRQRERTGLAPTSLHLSSFKSAEYFRDTASILKDPVPNMRRACSQRSPGVTEKLNVVLTRTPTYVPSAKNMIDDGARLLLPQIGYENDVVIAVYDDEPTSIVSYAMTSEEYVQHVTCRLNSSLSFSHLSKTSEFSSHGLEGALPTQQDLDSKGTHFKFSFDDESPISPDKAKFSVICYFEKHFAALRKKCCPKDIDYIRSLSRCKRWSAQGGKSNVYFAKTMDERFIIKQVTRTELESFVEFAPRYFKYLMESLNSGSPTCLAKIVGLYQVTVKSLKAGREVKMDLMVMENLFFERRISRVYDLKGSLRSRYTSGDSKVLLDSNLVEALHTKPIFLGSKAKRRLERAVWNDTAFLALADVMDYSLLVGIDEEKKELVIGIIDYLRQYTWDKQLETWVKASGILGGPKNESPTVISPMQYKKRFRKAMSKYFLTVPDQWSS >Et_1B_010334.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9279586:9279747:1 gene:Et_1B_010334 transcript:Et_1B_010334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ISFGGWGGPHRQVLQSKQCQCPRQPLALSKIAVQVLIPHRERLSPHRFVFLTH >Et_2A_016782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28096401:28099494:1 gene:Et_2A_016782 transcript:Et_2A_016782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLQVPVIDEEESTTMSSPVISPRFSGSDSSNSGKLPAGTSSRRAFPCPSICDLKRKAALDATNGLASHFRTNHAIDSPAVFHGLSPDSRDLSCRSSPKLEPTVQMPAMRVVGFDSGFSSVVRGSDMMVADKMHSSLVIDSSDSSVEQHGPQARKRVLSPLTNMLPAGQFRGDVLNIGSGDVKNQHTKSVRHLFSSGLHDSKKANTATLDSFDSPSWTALRYSSRNTEHGFSKLSSNTFTDGPLLEGRQSFPCSDHLGAETIMNLSRVSIPPARLSHSPPLTLSPLGPKWMNRMKTARAYGDLTGSTENDILDLNEMERQNGEDYSECAGQIRLRDMVGKSSIFHDGFDTMTPTRSSDRRYRNWGPESAPVSPGIRCIKSLSLLPVRRSLVGSFEESLLSGRYSCGKDNQSIDGFLAILNVTGGNFSPPTQKLPFTVTSIDEDSSLLYYSSIDLAGRLSTNNSKSPKFNRGTSNNDSRSAKSRLRIPVKGRIQLVVSNPEKTPLHTFFCSYDLNDMPAGTKTFMRQKIILSSASPSILTNKGSKASDIKVESVQRGSGLRECGSLLSKCNEVNTTGCEQGQNCCPTNESEKEGFANMAYCSMECDTGESNESTPVSNSEKDTNIDGCCCQSCQIDTCELCEKKSCCRSSKVNDSSAGRVLRYALHLRFLSPFSKKSSRSRQQCNSDLSSEPPSRKSETKEERRFYLYNDIRVVFPQRHSDSDEGE >Et_7A_052556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9279095:9280485:1 gene:Et_7A_052556 transcript:Et_7A_052556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLKKGPWTPEEDKILVAHIQRFGHGNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFSKEEEDSILQLHELLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLEPSKQVAAVANNKKQKKPAAPKRGGGARKMVPEAPVAAAAEPVSPERSASSSVTESSTTTTEQGNTGSSSGFVKEESFTSSDQEFQIDESFWSETLSMPLDSFDVPMEPTDAFGAVPTASSSGGADDMDYWLRVFMENDDVQELPQI >Et_1B_013087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5430983:5433564:1 gene:Et_1B_013087 transcript:Et_1B_013087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVAGPETQPQAAATAAVTAATEPEVTVFRSKLPDIEIPSHLPLHEYCFARAAELSDAPCLIAAATGRTYTYAETHLLCRKAAAALHGLGVGQGDRVMILLQNSVEFVLTFFGASFLGAVTTAANPFCTPQEIHKQFKASGAKLIVTHVDDALTVVTVDDAAGAPEGCVAFWELVASADEGSVPEVSISPDDPVALPFSSGTTGLPKGVVLTHGGQVSGVAQQVDGANPNLHMRAGDVALCVLPLFHIFSLNSVLLCALRAGAAVMLMPRFEMGAMLEGIARWRVTVAAVVPPLVLALAKNPALEAHDLSSIRIVLSGAAPLGKELVDALQARVPQAVFGQGYGMTEAGPVLSMCPAFAKEPSPAKPGSCGTVVRNAELKVVDPDTGLSLGRNLPGEICIRGPQIMKGYLNDPEATARTIDVDGWLHTGDIGYVDDDDEVFIVDRVKELIKFKGFQVPPAELEALLLAHPAIADAAVVPQKDDAAGEVPVAFVVRAADSDITEEATKEYIAKQVVFYKKLHKVYFTHSIPKSASGKILRRELRAKLATAASP >Et_9B_063709.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21048151:21048432:1 gene:Et_9B_063709 transcript:Et_9B_063709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRDDCAIKHQNDNASGERLLIVIELLEDVGEETWNTLYQSSETSMPQGSRMIISSRCENIARFGTTQALRLKCLPVEAYWYFFKM >Et_1B_012033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28310722:28312224:1 gene:Et_1B_012033 transcript:Et_1B_012033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKLRLLFLASFLALLFLSPALGARIGATADAPSSSYGALAPAPSPRGMSPALQQSVFSLDRYGARGDGERDDTQALANAWNAACSSSSPAVVLVPDGKRYLLKLVTLSGPCNSSVLITVMGTLVASPNRSDWSDKNRRPWIVFRGIDKLTVNGGGAVNGNGETWRTHSCKINKALPCKEAPTALTFQYCTNLRVDNLKIVNSQKIHDCTNVQMAWLSITAPGTSPNTDGIHITRSKDVQVINCKIMTVVCGPGNGISIGSLGDDNSRAEVSNIIIEAIQLYGTTNGARIKTYQGGSGYAKDIIFQNIIMDNVMNPIIIDQNYCDKDKPCKASGSAVEISNVVFKNIRGTTITEDAIKLNCSKSVPCHDITLQDIDLKIVAGKVATESTCQNAKWKKYGTVLPQPCIA >Et_9A_061565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13783782:13784156:-1 gene:Et_9A_061565 transcript:Et_9A_061565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVASSFAAIFAQRRALSAAITVVEGSGKTVVEKAVKLGTVAKDVASALATTTEEKTAFWEPDPETGYYRPVTGTKEVDAADLRAEMIKQRMLQEL >Et_3B_029679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27012777:27017850:-1 gene:Et_3B_029679 transcript:Et_3B_029679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANLAGSKRAMAYALCKHLNVDQNTVSNTSIEESDIESLFSQVVKSPQDEVLKWVKFSSDFAGNDGKQHTLLANINEDLSQKSVLMGGGFKPSVADIVVFATVQAFMSHLSDNELQKYPHLLRWMDYIQNVVDFGTTLQKINVTKSVFNPPSHPKKADKGDAAKKADKADAAASSKKAVSGQKVADKSNGSADSKKAAGESKAPEAKENTNAAKSNKASSEKKKVQATEKAPEKTAEKDSECSISILNIQVGLIRKAWKHPSADSLLVEEIDLGDGNVRQVVSGLAKYCSPDELTNRHVVLITNVKPGKLRDVMSAGLVLCASSEDHTAVEPLIPPEGAKIGERISFAGFDGKPEDVLNPKKKQLDKITPHLRTDENGIATFKGIPFTTSAGPCRSSIPNANVK >Et_8B_060853.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:9192933:9193916:-1 gene:Et_8B_060853 transcript:Et_8B_060853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADCPQATRQQHQLVAELCRVQELVRQLDLHLRSPSSSSVDQCRLLAAEIVALTDRSIGIAAGTLPPLSSGAPSPLSDAGSDPFRGANGSPKKRKATARWSSQVRVSAAAAGAEGGGPADDGHSWRKYGQKDILGARHPRAYYRCTHRNSQGCPATKQVQRTDDDPALFDVVYHGDHTCSSKAATAGATRRHQPPPQQPQLQHNPHAESLLQSLGGRLTVATAAADGIAIGGVAVPPMTPESLPPPRGASSSPWSLASPVGSDSNNNVYPLAAGEWPADGGDLQEAVSAYAALGTEFMTPPEYFCFDGESFQFGADDAMPSLFYP >Et_4A_035125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9782428:9791157:1 gene:Et_4A_035125 transcript:Et_4A_035125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDVEPTVSGFQGSYSVSGRKRLKLLVEESSDIEPIACSVSVCDDSKGSFLERCAERHPSVSPSGDQTQNTGLYAAMQENVCSINSNGWISPQSGLGYSADQNGTQSAYAQHQPLEGCLYMNEHGQMCGPYPPEQLYEGMSTGFLPQNLAIYAMSGGKMADPVPLSFLKQFLAQWNVGVAVSTPNESTEAKKVAPGDKMVLPNALSSEESCWMFEDAEGCRHGPHSLAELSYWHHSSYIQEHSMIYHIDGKFGPFTLASLIGWWSGGHAVVSEVSAHDSSSVNGLMCDVVDEISQQLHSGIMKSARRILIDEIFSHVLPDLIASKKTEKQLAAKLKNQATKPDIVSNKKVSTIKAKVDARSTVPQKGNSSHDMAPDSSVVIPDNTVVLSAVWQTIYYESMKSIWDGVFSEPVMEYCDVWLQKNCLLNLPSTIISGTPDDVEAQGSDEMSQKDLDTPECDMDFPPGFGPDKIDGRSELSTKLFSGPLAGVQKMLANELYVASKQSLFHYFEEVITEEITNCLCFGLESNIDQEQVGTPIHAQESSMSTGMSTHKGLGPVEMVLDEELKPVEMALDEELKPIEEAPGEELKAIKEAMATITSATEMATDETLKVETTNNTMDSHAEHISLSISYASIFQKMDICKTAELGEGFDEIPPGMEAGLVPLALANKRRYNPSKSINPIPVISRYITMALCRQTLHENVMKEWTSLFSGTISKCLDSWHTSQNAIPVDGSSKLKEYTYYRKRKFKKTCQASTSKEPVAISMDEQLSKPLCELVERKVYVKTIKESRKTMTSKRAAAVDKPSKRGAKTVANDAYDLSIQQDLKLLSSEVPKKNRTSRSTKKHVTVSKAPKANSSMLTKPVKKKKARNTSIESSQKVKPVISCPESDGCARASINGWEWRNWARNATPSERARVRGYRVRTILSVSDKNASDKNGWKPQGKGPSARTNRVKLRNLLAAAEGSELLKITQMKARKKRLRFQRSKIHEWGLVALESIDAEDFVIEYVGELIRRRVSDIRESQYEKSGIGSSYLFRLDDDFVVDATKRGGLARFINHSCEPNCYTKVITVEGQKKIFIYAKRRIHAGEELTYNYKFPLEEKKIPCHCGSQSYYIRLDAPFTPITSFAQEGVHYFMNTSSSE >Et_1B_009956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14173659:14174201:1 gene:Et_1B_009956 transcript:Et_1B_009956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAHRLFAPARPTHAVDQGVELDEADVIWGSSSSSPFLSSTGDPYGRSPPVATPLKTKPRGGGVGGGGQGPASVPVNIPDWSKILGAEYAGSAGARGWAHDDRADADDVAAFGSGGGGRRWVPPHEMLQCRERAAASFSMREGAGRTLKGRDLRRVRNAIWEKTGFQD >Et_7B_055727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2880276:2880998:1 gene:Et_7B_055727 transcript:Et_7B_055727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPPSDNQHQLRCQAKHEQLARHLALHCSSVILVSTEALCVSGSFTLGTNKNLSVVVPPTPPPPQSRFTFRRQPPDVLVDAGLNPLRQPQNDVDAAPASHTPNAPRLPYASQTSLGPW >Et_8B_059318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16656944:16665166:1 gene:Et_8B_059318 transcript:Et_8B_059318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKHFEDLVTGGEWDEVEKYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLMELKKLIEANPLFRDKLNFPPFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPANGPLVGPIPKSAGFPPMGAHAPFQQVVSPSPNAIAGWMTNANPSMPHAAVAQGPPGLVQAPNTAAFLKHPRTPTSAPGIDYQSADSEHLMKRMRVGQPDEVSFSGASHPANMYTQEDLPKQVVRTLNQGSNVMSLDFHPVQQTILLVGTNVGDIAIWEVGSRERIAHKTFKVWDISSCTLPLQAALMKDAAISVNRCLWSPDGTILGVAFSKHIVQTYTFVPNGELRQQAEIDAHIGGVNDIAFSHPNKTLSIITCGDDKLIKVWDAQTGQKQYTFEGHEAPVYSVCPHYKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMAYSADGTRLFSCGTSKEGDSHLVEWNETEGAIKRTYNGFRKRSLGVVQFDTTRNRFLAAGDEFLVKFWDMDSTNILTTTDCDGGLPASPRLRFNREGSLLAVTTSDNGIKILANTDGQRLLRMLESRAFEGSRGPPQQINTKPPIVALGPSNVSSPIAVNAERPDRMLPAVSTSALAPMDASRTPDVKPRITDESEKIKTWKLADIADSGHLRALHLSDTDTNPSKVVRLLYTNNGIALLALGSNAVHKLWKWQKNERNPYGKSTASIAPQLWQPANGILMTNDTNDSNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMSPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRIDDVKSKLKGHQKKITGLAFSQNMNVLVSSGADAQLCVWSIDGWEKKKSRYIQPPANRSGTLVGDTRVQFHNDQQHLLVVHESQLAIYDGNLECLRSWSPRDALPAPISSAIYSCDGLLIYAAFCDGAIGVFEAESLRLRCRIAPSSYIPPSILSCSGRVYPMVIAAHPIDPNQIALGMSDGKVHVVEPLDADPKWGTAPPQDNGAHPAISAAPSAASNQASDQATRQYTPKGFHSYACGILTLLAGVEGNITELAHKLRFGSNCLILCDEFLANVLARMA >Et_3A_024257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1915475:1921089:-1 gene:Et_3A_024257 transcript:Et_3A_024257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARLLSAPSSASAGASSYSLPSAGGCPRRASWNPRLAPGTPAPTQPPLSLRARASMEPATPEGEGGAPVHGVTNTVVGVLGGGQLGKMLCQAASQMGVKIAILDPLEGCPASSVCSEHVVGSFSDGDTVREFAKRCGVLTVEIEHVDAVTLEKLEKQGVDCEPKASTIMTIQDKYRQKKHFSKFGIPLPDFVEIETLHSIEEAGEIFGYPLMVKSKRLAYDGRGNAVARNKEELSSVVASLGGFEHGLYVEKWTHFVKELSVIVARSRDGSTVCYPVVETIHKDNICHIVESPAEVSDKIKKLATNVAEKAIESLEGAGVFAVELFLTEDDEILLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKASAAIMYNILGEDEGEAGFVLAHQVMQRALNIPGASVHWYRKPEIRKQRKMGHITIVGPSKNTVKSHLDMLLQRDAQQPKKASPCVGVIMGSDSDLPTMKDAAAVLKYFNIPFELTIVSAHRTPERMEDYARSAKDRGLEVIIAGMVASSTALPVIGVPISNKVSGVDSLLSIVQMPKGIPVATVAIGSAENAGLLAVRILATRDPELSDMVIRYQNNLRDTVLEKAKRLEDLGWEEYLK >Et_2B_020240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18266038:18267914:1 gene:Et_2B_020240 transcript:Et_2B_020240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHHGLSSPSVPCGHISGQSFSMISLVSLASPSTGSFPPNIGCSRIAPSKSVREGIYGWYRRVPTATGQHPMRPKLALSRRHRRPPERGAPALGVDRHGKDRGFGDDRVKVPVVHRRCGRVEDQATAMEVHEDGQLLVLGGEEVIGDVEAGKDTGGAVDDDVLGGDPGGDVKARRGGVRPVEALYTAVVVDAEERCIEQKFCGRIHGLLVQVGLTQLLLAARGDLSRQSRQAASGMPASASSALHRPWGSISDRLGLLSPADILATNASP >Et_4B_038908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5978174:5981635:1 gene:Et_4B_038908 transcript:Et_4B_038908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMAAASTLLPVLLLLLLATATHCTAADSSSPFPEAAALLNLSAALTDPSGYLSKHWTPDTPLCSWPRLSCDATDKRVVSLDLSGLNLSGPIPAAALASLRHLQTLNLSNNILNSTFPDELIASLRSLRVLDLYNNNLTGPLPPALPNLTDLVHLHLGGNFFSGAIPRTYGRWSRIRYLALSGNELTGEVPPELGNLTTLRELYLGYFNSFTGGIPPELGRLRALVRLDMANCGISGEIPPEVANLTSLDTLFLQINALSGRLPAEIGAMGALKSLDLSNNLFVGEIPASFAALKNLTLLNLFRNRLAGEIPDFVGDLPSLEVLQLWENNFTGGIPASLGVAATRLKIVDVSTNKLTGVLPSELCAGEQLETFIALGNSLFGGIPDGLAGCPSLTRIRLGENYLNGTIPAKLFTLPNLTQIELHDNLLSGELRLDADKVSPSIGELSLYNNRLSGHVPAGIGGLVGLQKLLLAGNRLAGELPPEIGKLQQLSKADLSGNLFTGEVPPAISGCKLLTFLDLSGNKLSGRIPPELAELRILNYLNVSHNALEGEIPPAIAGMQSLTAVDFSYNRLSGEVPATGQFAYFNSTSFAGNAGLCGAFLGPCRGGVATTSSGFGSLSSSSKLLLVLGLLALSIVFAGGAVLKARSMKRSAEARAWRLTAFQRLDFTVDDVLDCLKDENVIGKGGSGIVYKGAMPGGGGAAAVAVKRLPAIGRRPGAAHDDHGFSAEIQTLGRIRHRHIVRLLGFAANRETNLLVYEYMPNGSLGEVLHGKKGGHLQWATRYKIAVEAGKGLCYLHHDCSPPILHRDVKSNNILLDADFEAHVADFGLAKFLRGNAGGSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRKPVGEFGDGVDIVQWVRMVTGSSKEGVMKIADPRLSTVPFYELTHVFYVAMLCVAEQSVERPTMREVVQILTDMPGSTSTSMDVSLVIEPKEDGSPEKAQQDGSQESPPQKDLLSI >Et_3A_025909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33971505:33973935:1 gene:Et_3A_025909 transcript:Et_3A_025909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILSRQNLRKLASLSLLNIPKRQLISHYPLALRSTVVSPSTCFNPLNLFEHSWGVRWASFGQVNLVLSEDGKPKFQIDEVEPSKKRRYLTKKRLKVQRKREKKRRKEANKNDPRRIRPKGKKIKQKFPTAEARLKYKIEKAKLKEAMLVEKLKKYEVAKAQGPMAKPDDLTGEERFYLKKVSQKKSNYVPVGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQIQEYANEIARLSGGVPVNIIGDNTIVFYRGKNYVQPDVMSPIDTLSKKKALEKSKYEQSLETVRRFIAVSEKELELYYRHVALYGIPQSQNVDLDCGGDRKASLLKMGELNQWKDQNDFSDLHVSDISESDEEDNTSSEYDANYDDTEDGISTDEETVISDRDDGVLPNSV >Et_7A_052928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2764064:2765494:1 gene:Et_7A_052928 transcript:Et_7A_052928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSALPVVDLAPFFAGDDEGGVARATEAVREACRSHGFFRAVNHGVPPELMANTLELSAAFFALPDEEKAKARPAEGSDSPLPAGYTRQPAHSADKNEYVLLFDPKLGFNVYPARPAGFRETVDDCFARLTQLGLLVQEILNECMGLPPGFLRSYNSDRGFDFMVALRYFPATEEERNGLSEHEDGNLITFVIQDGVGGLEVLKDGDWVPADPVNGSIIVNIGDAIQVLSNKKLKSATHRVVRKPAAHRHSRGIQRPVSSCWH >Et_9A_061540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13437132:13438289:1 gene:Et_9A_061540 transcript:Et_9A_061540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKERAFPIELKSSALIAVLVQVTRPSLNPGPRAVFEHGQESRPFNSVPMGSSCCQQWWRKRERTALLNLLDIDVDLRDDEVADVGPKDVTSLLRAAGWLAPKNLTVKLNGYVTHGEDAIVLSCYDRTISLTLDRPAMLRTATRRRAHRAQASRN >Et_5B_045396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2132986:2136699:1 gene:Et_5B_045396 transcript:Et_5B_045396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADSPTFADFAGAQALIFLADSSPAPSPPPLPALSDEFSCYSGSSSSYSGASARSCVSDSAHRPVDPLRVLSVVASLRRMDPKVLAKATTTLLHSGAEKKRKGLWIDMDSDEEEDQSERSSAVASEGSTVTGAASAGSTATSGRCSRPPRASREKQPGRAVAIMKWLSRPQAGPATETAIRAAVGDNSGTSKALRWLLKQEGGLRRAGTGGLADPYMYMANPTCQSSW >Et_10A_001412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2843658:2844392:-1 gene:Et_10A_001412 transcript:Et_10A_001412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HTSNFLLDLAAFLPLLLRTTASEETTTARAAMEMLNPEQISEFREAFSFFDKDGDGCITVEELATVMGSLQGQRPSAEELREMIRDADADGNGTIEFAEFLSLMARKTAKDGAGADDADEELREAFKVFDKDQNGYISATELRHVMINLGEKLTDEEVEQMIREADLDGDGQVNYDEFVRMMMLSDGGHAHAPATPATHQHQ >Et_2A_016281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22947279:22953241:-1 gene:Et_2A_016281 transcript:Et_2A_016281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RGGVSIGVSNRRCRSRLGHDGGDQNPLPRERVPPREEGPSYLRFRCKVTVQHASRRLGGGGLAQVEHAAPARRCESTHTVDHPSSFLDYNWTREVVRRELVGLINARRLSCLDCYYSPNNWDIFRPDTVASSILQIVQANDDQGQCGGHYRFQVDMDIEVMIVYNEPNVLLRYCSAAGTSCPMCRYDLKGLVGAQWTKRFKARSGFLPCMGCPMGHATVRCPHDTRTLMGGFCIQLVFLLSPVFCRSAFLDKSCHSRIYSRRGAPCSHSPLLLLFSPVLLPLLLIQALLFSTAYSDFPKNQHEAPITMR >Et_10A_001917.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:11130978:11131172:1 gene:Et_10A_001917 transcript:Et_10A_001917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSTEVVFEAYGFRLYKDGHVVRSGREARAPAGFDAETGVTSKDVVIDAATGVTARLYLPTI >Et_9A_062843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5736644:5743902:-1 gene:Et_9A_062843 transcript:Et_9A_062843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRAFPDMEAVAVMGLVFVAVMVFSPAAVAVAAAGNFSREVRALRAVKAALRDPGHVLGDWDVDKSGHNPCNWTMVYCHHGRVHGLNLKQMNLSGRLSPAIGRLTTLRFLYLSQNAISGPIPETIGGMDLLQRLDLSNNRFNGSIPSTMGGLLHLQYLALNNNSLSGPIPDSLVTARKIVRLDLSFNNLSGSRPMFGESFVTLEGNPLLSGVNCGKGEPDVGYPLSEGNCSNVTAPIQMMAKTKAFVCLVTALITGAVLLLYRRRQPQQVFAVVDGQKGPVGHLGHLKQYKLDEIKKATGNFCQENILGEGAFGIVYEGRLPDGTIVAVKRLKGRVSNVGDEQFHIEVEVISLIAHRNLLPLIGFCTTDIERILIYPYMPNGTVASKLQEQVDGEPALDWPRRKMIALGTAQGLLYLHEQCDPKIIHRDIKASNVLLDEYLEAVIADFGLAKLVDHGVSHIVTIVRGTIGRIPPEAVMVGHSSEKTDIFGFGLLLMEIITGRKTLELHENEYEHEEGGILELAKELLEQNQLSSFVDRRLRDNYDSAELEEMVQIAMLCTMYNPDHRPRMSEVVRMLKGGGGVSEKWDALKNVEESLPPFPEFPLNSINYDSDQHSSVEMQACELSGPSVNLASGLELGVAMRFASLWLSLSSF >Et_7B_054889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5254832:5255858:1 gene:Et_7B_054889 transcript:Et_7B_054889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVVGFALLLLVPSSQADAKLPAGELLQADSHVSPWALPQVVQITFDVANDNYTRFLGKLHGVLNPRNGDVGGVPVLPPQQLNAMPARWIHVNLVGSGGDSTTIAVRDDNIYLVGFENNVRNWYVFDNRKHILPGATSLHLNDSYGDLVQGNRNLPQHDLGKTAAVRAVRTLATFGGLPDNQLAISLAQLSVMVAEAERFKDVADTVRQGWEGTPRISPEQAKRLVLWGKASCALLHWKNTGHWVDKKRALASNGIRNKQEAVNLLGFVLQTKGCNYKSVDCDKMCN >Et_1B_010567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11714331:11717661:1 gene:Et_1B_010567 transcript:Et_1B_010567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METKPPAPAAGEPAIGVPYHPPEVQGHYYYAPPNPYAAGMPPPNAIYAGAPKGVPLQQTMFRDTPAPFHCQACGDAAVSSVRSKPSLASVVACMMPFMMGVCFLCPSMDCLWHKYHYCPSCGEKVAEFKKSDPCLVVDPTRWSEPSFALGNWDGQGSWTSYPDLGLSPMACG >Et_1B_014150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32824879:32825307:-1 gene:Et_1B_014150 transcript:Et_1B_014150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAPDSAVRSRGLRPFLPNAVIRSVRFDVGAGRSVLAWLWLAVVESRRPRGTAQERAVPRGEGDDVSAGDDAGADGLNVRLNLVDHLVAADGFVVGSGELLVARTIQEN >Et_7A_050739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10619475:10626606:-1 gene:Et_7A_050739 transcript:Et_7A_050739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLRPAAITGGRQVWPVAEEEEGAREAEAASQRLVEAVARGDAREARELLAAGRADVNYAGVVWLRARRVAEAEPREGAAAELRAVHEEIRADVSPLFLAAGNGDVALVRALLAKGADVNGKVFRGYPATAAAREGRAEVAELLVRAGASQPACEEAIVEAALQGQAALAAIFMGSDLVRPRIAVHALVSAASRGFVDVVDTLMKCGVDPNATARVLLRSLKPSLHANVDCTALFAAIVSRQVAVVRHLLQAGVKRETKVRLGAWSWDTATGEELRVGAGLAEPYDALWCAVEYYESTGSVLRTLLQGGYTASATHLGRTPLHHAILCGSAGAVQTLLAAGADPEAPVRTSRSARPRPAHLAARLGQPEILQVLVDRGCDVNARAEAGDTPAILCSRHKREDCLRVLVSAGADVALLNSAGESAASVASSGRWNAGFERTVLGVIRSGTIPRSSDRDVFSPLMFTARCGDAAAMEVLLAQPDVDVDEQDGDECSPILAAAKVGNVEAFRALVFAGANVKLGNKRGETAVGLAQQSKKRDLFEQVMLEYALEKGMPGGFYALHCASRRGDAAAVRHLASAGCVDVDVPDGDGYTPLMLAAREGHAGVCELLISYGARCDVRTPRGETALSLARAALADAPFNKAEDVIMDELGRQAVLRGAHAEVGGSSAFLRHRQRKGDAYEPGLFRVVTASGREVHFVCQGGEEAAELWVRGIRALTRAAFGKRV >Et_1A_005327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40493315:40494175:1 gene:Et_1A_005327 transcript:Et_1A_005327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVVSSDGGDDRRRIIGVSHQHQHHVVSLTSSTYGILTAPRAYADIQSSSPPPPPPPPPPPPPPPPEPSPEVINSWELMAGLVDPASTPAAAKSKYYSKSKQQGHRRIPLRPIDGNSSFSAEAEAEAVLYTTSLRGVRATFEACNAVRAALQAHGVAFRERDVSMDRGFRDELRGLLAAVDAHLVLPRLFVRGRLVDEVLRLDEEGALAPLLDGLPKARGGSYSCDGCGGMRFLPCFDCSGSRKLAVSSNGRKSLVVRCRECNENGLVLCPICS >Et_7B_054195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1714109:1717977:1 gene:Et_7B_054195 transcript:Et_7B_054195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVVPAMQCQVGAKAVWGTRRTGRGTSGFKVMAVNTATSGVVQRLDQLLSMDTKPFTDKIIAEYIWVGGSGIDIRSKSRTISKPVEDPSELPKWNYDGSSTGQAPGDDSEVILYPQAIFKDPFRGGNNILVMCDTYTPAGVPIPTNKRARAAEIFSDPKVVSEVPWFGIEQEYTLLQRDVNWPLGWPVGGYPGPQGPYYCAVGADKSFGRDISDAHYKACLYAGINISGTNGEVMPGQRITEQAGVVLTLDPKPIQGDWNGAGCHTNYSTKTMREDGGYEAIKKAILNLSLRHDLHISAYGEGNERRLTGLHETASIDSFSWGVANRGCSIRVGRDTEANGKGYLEDRRPASNMDPYIVTGLLAETTILWEPTLEAEALAAKKLALKV >Et_3B_031280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2458067:2459161:-1 gene:Et_3B_031280 transcript:Et_3B_031280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQAVTGRYWCHMCAVAVSPAPAADGEVEEIKCPHCQSGFLEEMETARDAAASDDAADGVEESDAYSGADRPGSIWAHAIINTVDTSVRRRRNRRQPEFGGDVRDWDEHEFTRRRRRVTAFLRLLHELRDRQLQRLEMATASGVGGGIGLAGDHLDPFGRSLFLASGSSRGEQGVALGDYFLGPDFDALVQQLTEGEAARHGTPPAKKEAVAAMPTVEVNGDDEDGCSICLEDYAAGERAREMPCRHSLFSPSAPASGSTSTSNAHLWDWED >Et_3A_025106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26951004:26970866:1 gene:Et_3A_025106 transcript:Et_3A_025106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAALEADLRALSAEARRRHPAVKDAAERAILKLRSLSGPSEIAQNEDILRMFLMACSVKSVKLSVIGLSCLQKLISHGAVASSALKEILATLKEHAEMTDEIVQLKTLQTMLILFQSHLHPESEESMSQALGICLHLLESSRSSDSVRKSVASKSEEPLIRENLSDVGKLGLRLLEDLTALAAGGSAMWLRVHSLHRTFALDILEFVLSTYVAMFRTLLSYQQVLRHQICSLLMTSLRTNVELEGEAGEPSFRRLVLRLVSHVIRLYSSSLVTESEVFLNMLVKVTRLDLPLWHQILVLEILRGFCVEARTLQSLFQTFDMNPANTNVVENMVRALALVVATIQASDSSEETLAAVAGMFSSKAKGIEWSMDNDASNSAVLVASEAHTITLALEGLLGVVFTIATLTDEALDAGELESPKCESNVMACSGQLALLCMAMVNSTWLTILDSLSLILTRSQGEAIILEILKGYQAFTQACGVLRAIEPLNSFLASLCKFTINNPNEAEKKRLSRDTSGQYSDFHILSSLNSQLFESSALMNIAAVKSLLSALHQLSSQHISGNSQLSGQQIGCISFSVERMTSILVNNLHRVEPIWDQIAAHHLELANCSNPQLRHMALDSLDQSICSVVGSEKFQGISAAPHQFQEDELMKERETVSFEYAVLSPLVILYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLSTIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKPVGQANHSNEDAQSAATIKEANSKQIPSKQVVDYSKLFFSVFSVLQKLGSDDRPEASTSSSDEWHGKELGTRAGKAVHMLIHHSRNTAQKQWDETIVLVLGGIARWVLLLDFVKNGVLNGSKEVALAAINCLQTFVGSNCPKGNLESSHVQSVLDIYELVLQTSPNFKNDSTDKVKQEVLRGLGDLYVQAQSLFNGDMYLRLMAVLHLMIKSTMNPADYDSELGSIPAVQRGILEIIPMLRPTNILSSMWTPLLHELLCYLNGHEGPLEKNSKQLQGQSSDAMANGAKRALVERSHLDGSGTGPDCLLDCGWGILFLEKLVPIIVNLFLEAPPNERFNAAPELIQGLGRCMNTRRDNPKGTLWRISAECFNRVVTDEVKQESANSKSDVNSYGLSRARFWKEVADVYETFLVGSCGRVLSSDVPSADSVTADETLEMSVLAVFGDGVLKLQKDAPVEVLQRLVNCLDRCASRTGSLPLQTVGLLPLHCSSCTAKASSRATVSQTSNVSVSILMMRCEVILGQFLADENDLGEHPLPSVRIEETICVLQELARLIIDIDTANALNMPPYLKKALGENKSHGRAHLLSLLPTFSELVVSR >Et_2A_017082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30836717:30843851:1 gene:Et_2A_017082 transcript:Et_2A_017082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEVLEDTTVSTSSTSAAGVTSLVAATAGADGAGAEMAEDESLKNDVFTAAAYGDLEKLQRLVEGEGRPVSEPDGGGYHALQWAALNNRVAAAQYILEHGGDVNAVDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQATHVAAQYGQTAFIYHIVAKWNADPDVPDNDGRSPLHWAAYKGFADSIRCTPLHWAAIRGNLEACTVLVQAGKKDDLMVKDNTGLTPAQLAADKNHRQVAFFLDNARRVYDRGCGGNTNFAKLSKIGLAPLLWCIIIVMLITFIHSVISGQYVMNMTLPFGLFAWSGVFLATAGLVMFYKCSSKDPGYINMNIRSSQNQRDDEPLLKMELDNPALISGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFMFLTLEVLAMIITGSAAIIRIVSDPASPSYFGAWVRYSAAHHTGAVLFIAMDLFLFFGVAVLAVVQASQIARNITTNEMANSMRYAYLRGPGGRFRNPFDHGARKNCSEFLLNGYNEDIERLEQTVHTDEEMGMIQMTNRVTQNGDGLLHHGNGTDHGCVESQANSKAHSQVNSSQCCDHSKRTDRTPLGLGMGLGRNSASRQYHILTFCEL >Et_6A_047912.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:23976970:23977440:1 gene:Et_6A_047912 transcript:Et_6A_047912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEACWNVKIARMLTRIRRTVNVIALTRKVNAGARIPAAACRCSSPLIFKRAGMSSTTAVLGATVAVGTVKSVSVLFAALLTDRLGWRPLLLASAGGVAAAMASLAAALWLNAPPEAGVAAVLAFVVAFSVGFGPLVPAYWVEVMPLRACGCARRE >Et_5B_043927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17892044:17893769:-1 gene:Et_5B_043927 transcript:Et_5B_043927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGAGEVRHWNAAVNGITLHVAEQGPASGPAVLLLHGFPELWFSWRHQMAALARAGFRALAPDLRGYGDSEAPAEAAAYTMLHIVGDVVALLDHLRLPKAFVVGHDWGAQVVWHLCLFRPDRVRAAVALGVPYFPRSPVPVMEIFAARGDGFYVMQFQVSCIFFLHFFSQKENPEELKGHLLVTMLQLNWKLTAPWNGAKITVPAKFIVGDKDIGFQSYGIGHYITSKDFKSSVPDLEVAIIDGHHFLQQEQPDKVNSEILSYLEKFKISELA >Et_6A_047793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16394934:16396963:-1 gene:Et_6A_047793 transcript:Et_6A_047793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHSIAPSPSQKVTCPYSAMDEFHQRTSGIKPQVLRMNALTLGNAMLAGILVGIGTYGSRYRHRAFVRALFQGTTTLFLPILSYVVSSASAIVFTFPQSTDPYGGPSEIAFAIACVASVHMHHLEKVHHGFFFKRLHHNQDDMTMMSNCSGLVTIDIIRLSDDKILTSAPWLKDVFLSFSLFKLLRCRFAGYTIAEAGFEEAYNFFLHVLLKDKDDHERVYGVITDELSFLHDYYSSSIPIHYSNFWLPILNIFVSLLTITYCLYLAGLGIPEIISDMHSGEHYRAQVSCWITCQANASDYGNYDQQGVHFGNIAFDGVPVATLVVVVVLAEAREIAT >Et_4B_036916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12628698:12636725:1 gene:Et_4B_036916 transcript:Et_4B_036916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGQEPNLELSLLRSAPEHEQAGFFLCTYCDRKFYSSQALGGHQNAHKYERTLAKRRREIAAAMRKHGASLVPDGRASGLLRNGNSSSAAYGLERADVDLELSLLHPAAPEPEPPGFFLCTYCGRKFYSSQALGGHQNAHKYERTVAKRRREIAAAMRAHGARAGAGGAEQPETARVGVQQGAHLAGQPLGRHSSSEYGVERADELDLSLRL >Et_4A_033274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20926599:20930259:-1 gene:Et_4A_033274 transcript:Et_4A_033274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDQVTSFPAGGGATSSSSLIFLGTGCSGALPDTWCLVQPSTPPCAVCSTALSLPPDRNPNYRCNTSLLIDYCPEDGTHKYILIDVGKTFRIILTHEHADAVLGLDDVWMVQPSSHRNDIEQVPIFLTHFTMDSVAARFPYLAGQNLNEGDEFAQVGQLSWRIIDENIDKPFVASELEFVPLPVMHGEDYVCLGFLFGRKARVAYLSDVSRILPRTEHEISKFGAGQLDLLILETNTLHGVGDARSCHFTLSQSLDAVKRICPKRALLIGMNHEFEHYRENQMLAEWSLREGIPLQLARDGQRIFIDL >Et_8A_056352.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:3118818:3119042:1 gene:Et_8A_056352 transcript:Et_8A_056352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVALFDEGNPIMEWLSNSMSGSTPTLDESDDDEEDWTSPGSFLIEELQMEVEEVNAFKKKLNFSKRALRKER >Et_4B_039608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23858615:23860599:1 gene:Et_4B_039608 transcript:Et_4B_039608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASESLLSKQSPQQPRPQWADEITTVSEGRRDDADADPLLRRIRSLTIAPPLLSGQSESEAESSLTDILVRKPSTSSSSGSGDLNPNVLAELFSMYREWQEENAKKISKKQEEIENKIETADALAVKLLKRYNYSVTSMRSTSHNLAEEWRKKVAVQFSQNKSLELYNLTCQLLTALKAHVVAFKF >Et_2A_015882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18721585:18726200:-1 gene:Et_2A_015882 transcript:Et_2A_015882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSSAASPPRAAAAAAAAAAVEEMEEYQNWKKNAPVLYDMVISHPLEWPSLTVQWLPSESPSRNHRLVVGTHTSDESPNHLMLLDAALPLPPRLAAAAAASGGAVPAPSVSVSRVAQHSGEVNRARCMPQRPYTVATKTCVDEVHVYHLGDGGEKSGADVVLKGHDAEGYGLAWSPMKEGCLLSGSYDKKICLWDLAAGSGAPVLDAQQVFEAHEDLVEDVAWHVKDENIFGSVGDDCKLMMWDLRTNKPEQSIAAHQKEVNSLSFNPFNEWILATASGDATIKLFDLRKLSRSLHTFDSHEGEVFQVEWNPNLATVLASSAADKRVMIWDVNRIGDEQSEEDANDGPPELLFVHGGHTAKISELAWNPSEKWVVASVAEDNILQIWEMAESIYTDDYYLQDNDCPMA >Et_6B_048813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1472809:1477056:1 gene:Et_6B_048813 transcript:Et_6B_048813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDGESTAAKLVENELQSLSFGSSERSRSASTVSTATASCSTSYSGPIVLPHPPRATTNPSSASGAVPRLGTVALSDIRFLRRLGAGDIGSVYLAEVKQQQSKAAPGDSSSLVVAAKVMDRKELAGRNKEGRARTEREILEAVDHPFLPRLYGVAEGERWSCLLTEFCPGGDLHVLRQRQPHRRFSEAAVRFYAAEVVAALEYVHMIDIVYRDLKPENVLVRADGHIMLTDFDLSLKCDPTAPTPAHVISDPVALAGGHSTTTSCAISSCIVPAVSCFQLFPGRGRRRRRWRSNKKKTSFNGGGSGNNNSFPSGGLDLEFVAEPVELRSMSFVGTHEYLAPEIVSGEGHGSSVDWWTLGIFIFELLYGATPFKGYDNEMTLANIVARALEFPKDPSVSSAAKDLVAALLAKDPARRLGATVGAAAIKRHPFFNGVNWALLRCAAPPYVPPPFTLASVNKSGSGGGGNNAGDDDVSDNDSCPGTPVEYY >Et_10B_003673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4725641:4726090:1 gene:Et_10B_003673 transcript:Et_10B_003673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSVKSWAALATICCYRLAETRFDSLGHGKYSLHPSVDSPGARWSALPSRVANTVLTAILWNIWKARNRKAFVDITATCPLTLRACAEDIQLWAHKCRNSRTLPYF >Et_5A_042643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23758532:23764764:-1 gene:Et_5A_042643 transcript:Et_5A_042643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAGRHANVVKLLGFCFHDAKRALVYEHVGSALDAYLFLDDDAGRDGVGVPALLDIAVGIARGIRHLHEECEEKVVHYDVNLSNVLLDAALTPKLAGVGLARLVNCAEYTHVVMLSNLPATPGYVAPEIRAPPDVTEKCDVYSFGMLLLEIVGRRRIIHDDDEAAPAPEEGQRRTSFPELAWSKYESGDLMDLVAGAVPRPVDDHTVLAIGDDDDVQRRTTELVERMCKVAFWCVQQQPTARPEEGQRRTTLVKYCIALHASGPAADKETTVSDRRIRRMTLEKFLSEVTVQEKLFRFKRSQIIGLTNNCSTRLGAGRFGTVFRGALPNGLVVAVKALHRNHDDVKEAKFTAAVRPPPRERREALGLLLPRRNQQAVRHRNVHAEKDRDAGAERRDDAGSDVGVSALLDMPKGMPKVVDVGLARLVNPDTHVTMSNLPDAPGYIAREARVQADITEKRDVYSFGMLLLEIVGRRRIHEEAAPEGQRWFPELAWSKYEMHSSPCQAWKEPGERMCKVAFWCVQQQPTARPPMGNVVTMLEGEMDIDPPVNPFQHPLAAPVVAYLRTKMASNGNMAPASAVPNGGEGVDSGLSFRPLSRGQPIVPMVLCKISEKSLFCNGEDFGQL >Et_10B_003953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8294947:8298539:-1 gene:Et_10B_003953 transcript:Et_10B_003953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESQVLHPPSIRVIPSPTTSRPLPSSPTPPTSPASTPPGEEAAARREVKRLGGLGSRRLERRPPGEPLLPRGRFVGSGGGCGGGTLAANQSSIRKLLTSLLACAGCN >Et_2A_017358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33587980:33588544:-1 gene:Et_2A_017358 transcript:Et_2A_017358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRKKTQRARPTVCAPESAVMSRAESPFAANAEVSDATLEPGPGRLALAALWLAVRESFRPSGTFHDGPPSCMRRVQGLTKFTASRDASAMMSAHDTVALQAASTCVLMASITSYPRTELAFAPAFFSPVNVGVSSKRIDASHPCEHTKAYAHVSVSVH >Et_8A_056178.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:12929304:12929474:1 gene:Et_8A_056178 transcript:Et_8A_056178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGNSQEKFQLIVYLSSNEFSKHKNAYICLFSKHKEFLMRFDGATMKEYHLATHA >Et_4B_038504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29547704:29549270:1 gene:Et_4B_038504 transcript:Et_4B_038504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKERLMKMAGAVRTGGKGTVRRKKKAVHKTATTDDKRLQSTLKRVGVNTIPAIEEVNIFKDDLVIQFLNPKVQASIAANTWVVSGSPQTKKLQDVLPGIINQLGPDNMEHLKRIAEEMQKQVAAAGGAAKEENDDEVPDLVPGETFEEVAQEAKA >Et_7B_055158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7871701:7874447:-1 gene:Et_7B_055158 transcript:Et_7B_055158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHVRIAARHPGAPVVSPPLSSRSAAPRFFSPMQRHINNNVARAVLDSPPPEASPPRGLARVSTHSTSSAMSAAAAAGMLNFPEVLGGGGGQEVDAQLWLACAGSMCTVPPVGAAVYYFPQGHAEQAGGAVDLSAARVPPLLPCRVAAVRFMADPQSDEVFAKMRLVPLRPGEPVADVGEATRDADQQEAKPASFAKTLTQSDANNGGGFSVPRFCAETIFPELDYRAEPPVQNVFARDVHGVEWKFRHIYRGTPRRHLLTTGWSNFVNQKKLLAGDSIVFLRGQDGQVHVGLRRAKRGHGPGPGDDSSSVSGWDPYRGLVRGGNAATSSPSGKVPAEDVVAAARLAAAGQPFEVVHYPRASSPEFCVRAAAVRAAMQVHWCPGMRFKMAFETEDSSRISWFMGTVAGAQPADPARWPQSPWRLLQVTWDEPELLQNVKRVCPWLVELVSSMPNLHLPSFSPPRKKPRIPPYAADFPPFDGQLFDPASFPLLHQDRKHHHGGFAPSFFPFPDGSAAAGIQGARQAQFAPSVSDLHISNLQQQHHGLLFSSSSGIRNLLPADHPHRAPRISTDLTIGGGSAPARHSDVVASSSLSSGGKKPDDDVKPPPPPPGIVLFGRTILTEEQIKSTTTTTNSSSGGRTSPRGSSKSDSDADKAAPNTSSGSGSGSGVIQGSPTASWRLQWSSADNGSQSSSEFGLEPGQCKVFVESDAVGRNLDLAALGSFEELFARLSDMFGIDDAEIRSHVLYRTNSGEVKHAGDEPFSAFVKSARRITILTDAGSDNIGSSA >Et_3B_027712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13937081:13937685:1 gene:Et_3B_027712 transcript:Et_3B_027712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDDERRQSPIPYRVKPLEYEPPIYCKCNKKVAMWISWSDDNPGRRYLKCFKARVLEMQDGGCDFIGWFEGPHHPFVQTLLVDLRNVVWSLKKQKASLRKAMAELVERVEELEEKVDELKEENARLDSFEGEKEYLEGKVERLELEKKLMRVLCVFLFVVAVFLRFV >Et_1A_008652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9441871:9442318:-1 gene:Et_1A_008652 transcript:Et_1A_008652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRSLSRQKRTRNKAVVQSSVQKLAIAFLPTRSEAAAASSTRARTGRRGSHCFSQDHRGNHHHTTQ >Et_2A_015374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11792449:11796964:1 gene:Et_2A_015374 transcript:Et_2A_015374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASKEVPPLTHAENEEFLRMLRDARQRLGHEAPEVEILFDGITVEAEIRVSRREPPTLPNAVINGARVIADTMHMCATRKKIFKIIDGLSGTIRSSSQHDLHHAEMTVRETIDFASNMLGANNEFGMTYYICQATKFGEGSNLKTNYIIKILGLSDCADTIVGDELREMLVGQARCFFMDDVSTGLDIMRILRQMAHIMDHTMFISLLQPSPETFELFDDIILLSDGKIVYHGPRQNVVGFFHSIGFRCPARKNIADFLQEVTSKMDQQQYWAGDESEYQYHSIEKFAECFKIYNLHELMKDEHCHKHDIKEDMRAFQADDILDIPKWNIFKASLLRELLILKRNSPVQIFKAAQITFLAFVLATLFFRTEMKHDTIFDGNKYMGALFMAVAAVNFNGMAELTMTVNRLPIFYKQKKRAARIARLGHPLLNFPSQYPNVIAGIRTLDVLNLLCHWLCPFSN >Et_10B_002937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14152726:14157644:1 gene:Et_10B_002937 transcript:Et_10B_002937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISPAAAAAAAVAGTHHHILLRSSPRRLPRTRPRPRLRLAACHADTLLPSSSPGARAPPTPAAGPSSAAAADGFVEWLRSNGLPQGKVAIRERPVPCSREGKDLPLRHVAAGEDLQAGDVAFEVPMSLVVTLERVLGDESVAELLTTNKLSELACLALYLMYEKKQGKDSFWYPYIKELDRQRGRGQLAVESPLLWNESELDYLNGSPMREEVVARDEGIRREYNELDTLWFMAGSLFQQYPFDIPTEAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLTYKSNCKAMLTADGDSVRLVVDRPYKAGEPLIVWCGPQPNSRLLLNYGFVDEDNPFDRIMIEASLNTEDPQYQEKRMVAQRNGKLAIQNFQVYVGKERETVAEMLPYLRLGYISDPDEMQSILSSEGDTCPVSPCTERAVLDQLVGYLKSRLAGYPTTLDEDEAMLADANLEPKKEVATRLVRLEKKMLHACLQAANEFINDLPDHTLSPCPAPYAPELK >Et_6A_047290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3336385:3340225:-1 gene:Et_6A_047290 transcript:Et_6A_047290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGASEPAMVEQVITEFFAKSLHIILESRSPYDSSRNFTRPSPPSSPLSGSQPRDRWFNLALRDCPAALENFDLWRQSNLEPLVIDIVLLQRDNTRTNSAGGNRIIERWVIKYETSKSGSGSGNGSKNSGRRSRSSSGENHSLYRRTYNGSTVLLRSLYLLVRLLPAHNLFQELNSSGRIRPLSLSHKISSFVEPFARAEDAEMKHYTFSPIETLFGHLSLSVSYVPVLEVLAAPEPPTALSTELIMDYVGSPTTDFLRKLNSLPSGIAPPCVPVTRRHSWSTEHGTGPSESHGRPQPNMLMPDNSRTASSQPHNTSSGKKKILVSEECHPSPPLSPSPSHSPSPSSPRNPLFRYESAPIVIPTVKAGGGGSGLPRSPGRKSAPHCSSQNENLTSSPNDKSIVMKDLVRLGECQNDKSLRKVLSFGKDDLGYFHGLKLTRTSSKLFIMDELDERELVFAWEDKDTIIDQLNRIDLSDREDRELNQDVGGSLTRSPDAAIGVLLRMLKNAPGLRERLLSIPAPPVPQEPSSLQRVVTEEPGSSASSSAVVPSSLLRSRTAADALEELNKYKEIKESIMNRGKGQPRDTSGDKP >Et_4A_033381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22017352:22019866:-1 gene:Et_4A_033381 transcript:Et_4A_033381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKEMSLEMERLQRENMEFSLKNKEQALEIENLKKTLAESELVQTGSLSNRQVHEGGTSDNVPQEGLFDADQQERTVMKSQWHQVFNNMGHDDEDDPIIGIKMLGQINEKPFFQALSAHAVKKAAMKCSAWQLTIEGHIWRPFKRITEDGHFEEVLDEEDETLKELKACGPDIYDSVVKALMEMEKYNSSGRTIVPELWNYKEGRRATTLECIDFLVRKVMDYKQGCNNMRKRTSRRLRAAGSQRSGSMEMAAVIFVLDNTSFHFVECLWSLNR >Et_3A_026975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28656833:28659540:1 gene:Et_3A_026975 transcript:Et_3A_026975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLNPDLLRFLPSLHSDAEKIYYTQKCSIGLYFHIPMGIQDFCKLHCVFGSSRITAVVANILCASHSRV >Et_8B_058864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10086552:10090368:-1 gene:Et_8B_058864 transcript:Et_8B_058864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEMKPEEMSHPPMDQLLGMEYCIDSNPSWGEAVVLGFQHFILCLGTAVMIPTLLVPLMGGNAHDKAKVVQTMLFVTGINTMLQTLFGTRLPTVIGGSYAFIIPVISIITDPSLAHIADDHTRFKMTMRAIQGALIIASCIQIILGFSQLWGICSRFFSPLGMVPVVALAGLGLFERGFPVIGRCVEIGLPMLVLFVALSQYLKHVQVRHFPLLERFSVLISIALVWVYAHILTVGGAYKHSSMPTQINCRTDRANLITTAPWIGIPFPLQWGPPTFSADHSFGMMAAVMVSLVESTGAFQAAARLASATPPPPYVLSRGIGWQGIGLLFDGLFGTVSGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSILGRFGALFASIPFTIFAGIYCVLFGYVGAVGLSFLQFTNMNSMRNLFIIGVSLFLGISIPEYFFRFTLGAQHEPAHTKAGWFNDLINTIFSSPPTVGFIISVVLDNTLEVKYALKDRGMPWWARFRTFRGDSRNEEFYNLPFNLNRFFPPS >Et_7A_050707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10222409:10224989:-1 gene:Et_7A_050707 transcript:Et_7A_050707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGNHWGGSFEIAMDGSTEDDQSLNMDLDRGAVSTRRHHELDETERGWLLGPPEAKKKDRHVDLGCVLVKRKALWWAFWALAAAFVLVGLPVIIAKSIPHKKHPPPPRDQYAMALRKALLFFNAQKSGRLPRNNGIPWRGDSGLSDGTDAKDVKGGLVGGYYDAGDNIKFHFPMAFSMTLLSWSVIEYSDKYKAVGEYDHARELIRWGTDYLLRTFNSSASTIDHVYAQVGHAKVNGTEPDDHYCWNRPEDMEYKRPSISVGSAPDLGGEIAAALAAASIVFRDDTAYSETLVHGATTMYKFARDSGGGVDDSSSHAGGATYSRGRPDIEPYYNSTGSLDEYMWGAAWMYYATGNATYYLASATEPRLPESAGAFAGVLDLAVFSWDNKLPGAQLLLSRLRMFQNPGYPYEESLLRYHNATEINMCAYLPRFAAFNFTKGGMALFNHGAAGQNLHQRVPRLALRGLHGGRQRPRMVLRPALLERRRAPHVRQINYVLGDNPRKMSYVVGVGERYPRHVHHRGASTPRNRVKYTCTGGRRWLDSKKADPNVLTGAMVGGPDKDDGFQDARANHAQAEPTLVGNAALAAALVAVTGSGRGAGADAVDMNTMFSAVPPMFPAAPPPPPKWKP >Et_7B_055447.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:10799952:10800845:-1 gene:Et_7B_055447 transcript:Et_7B_055447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEEDMEFIRKLQVPVLSAAYADVSRGYLTEGVSMVPMDDVPPIRKTERHVEISAVERYPHGLKHLLDVTKSISMMDDTHVSRLNDISDASLCELEECMLRRCHQMICVFRLWEDVRHPLTNACVSHLKSLEYFYVGHYNLYALKHLRLEHCPRLEGVVTHDSALPSLVTLDIPFCYNLKAIFSDRYVSNPGSYQLPGLRRMHLQELPLLKHLHVVDDAIITAPAWKELHVRGCWSLRRLPRLTKMAAVKVSGEQAWWRNTSTIDACSDAGLEIAVAGTRRSATGSSATPKRWRSS >Et_3A_023763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1144332:1153381:-1 gene:Et_3A_023763 transcript:Et_3A_023763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTCSADALRLPFLPPSLAEGNNFSKGANFAVIGATALNSSYFREQNIPVAPFYLNISIDVQLGWFQKLKSSLCNTKQGNRVLDACTDDLEDDLEEMQAPGSDHRLRRLLWACARVPAGTGLIRIQRQVDAGGLLWWGRQIQLQRHGVCGLPGATACADPSKAVNWDGVHLTEASYRQIADGWLNGPFADPPIRAVAYY >Et_10A_001120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21255009:21257202:-1 gene:Et_10A_001120 transcript:Et_10A_001120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHSRARATNENVIRFNDLPRDILYTIVSKLPPKEFARSSILSSNWGSMWSACPRLTFDAVTMCKCDRDDLHKYTTKFINEVNAILQKHQDKVVETLEVRIDFVDSPLASPHINSWVGFALSSRTKNLTLDLKPKTFWDYNYNDRYVFPFQLLDGGRGSMSHLRHMQLSFVSLEPPSHFGGFPNLRKLHLQTACVNGKDLEHVLSRCCKLEWLRIDRCDIKDGLIVDALLAHLLYLRVEYCKFLTQIKFHAANLATFEYAGPFIPIDLTNSLKLQSANIVLDEANFQHALASLLNGFPSVLNLTLRIGSPYLEKQWLWDNPVKFYNLRRLQLFMLIFPEGLDKVLCSFSFLRATPFIEELEVHFVGYSLWLAEVGPCRQDLGPPCKYNCLKTIRFTGFKAARGQLELLLHLVENAPALEAINIKVANASEEYWLRTEGRPPFEEARRIVRNCLLPLNVKFDLV >Et_1B_012812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35298460:35308406:1 gene:Et_1B_012812 transcript:Et_1B_012812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSGGSSKGGEAGGDMPMGNGNHKVDWSTARRSSRKSTNRIWVEAATRFSTEKQNGATEEEGRHLMSETINGSASASPTPRDSSATSGSKDGAKRRRSDLPMDGSITSLSKKPKRMNTKGYLALFKPPRKSEAAGLVVKTAEQSSIPTITKKVKESPFQKLQRLPNGCHRDFDNDHLSAVNKLREFWHKSQGAAFVDDKEAVMKTVLFIMCLSPDVCRPFLVVTVASHSLWEAEFKRFALSINVVVYDGDKDMRKSIQDPTFNTNGSSMMSHVILARPDVILEDIETARSVTWEAVIVDYCQNSVPRLLKQLKQLPTDCRVVLLSSPPEDNLLKYKNLVAFLNSKEEDLGDHADNNALVILKARFKRHVAYERKTDSSNYLEYWVPTYLSQVQLQLYSSILLTNSSVLQSQVATDSVGNLGHIVLCLWKCCDHPCLVDEFPHDSLANIADETESIDNRMRASGKLLLLEKMLREFRNMKSRVIVLFQSDGPGENKMGKILEEFVRYRFGPESYERVQNCSAYSKKQAATSMFNDRTKGRFVFLIENHACHSSIKLSAIDAVIIYGSDLNPLNDLKALGKIKIESETEHVKIFRLYTPFTVEEKGLVLAKQGMVIDCKVQDIAPSLSHRLLSWGASFCFSRLDVLHQDNPASKSFGTGMLCMDKVISEFLAVLSTNSDGPTISKAHMSGEFYSRNITLIGEREGASSLDIDPPRFWLNLLDGKSPSHHYLSEPPQVKHRMILLKNLTKEEGNLEKQVKLRTPSKVSSDINNNDTSPEFTPSGADLQLLGDTQRTLGMGNLSTPKSLRVQLKCELSKLIKVLKLPDNVRLLAEQLLAYLLKNHLVVREPWGILHAFNIALCWRAASLLKYNKLDHRDSLALAASCLNYEHNEELAGVFYKKFATLKEKVLCKPGENRNKVENGIFSSQESSSKNLRSRRMFQKKTIDLHGSLTNSAPRESSSGAEQMVSDVQVVSATEANRECHFSSGEHPNMILKKRIDLFDKVFSVRENNIHEKQQLEILDFQTHRENQITILEDVCSLVLQYIRRSGIDEGSRKDQTELMIKWFTMLAYAFLEHMKLQLNKLEALQSTTWFKERLMKDKLREELLSGQLDQCPDLCTALPDSNFVIEEFIHFKKQIDDYHVGKVSASGCDLLLDDRLITEITLVRNAAPSEAVSTRPVRNEPAEALVGSGRASAPECVLPENSINCSSDGIKNLADSQHPPEEVESAGFLGTTVGDDLQRPTSDQTAQAERTGMLGTADPYLQHEVQPPTTTQRTAVLQSVEPSGVPHAEPSGTSDMVAPLDLMPEVQSSSSTQNGPVGAEIPGASGTILAQILQPETQPSVSVQHAPPEETQPDEMIQIGLPVQQCTDLHSQQSLAASWHPPEQAERADILGMVVADALQPSTLSLDQNAEAERAGTLDARAAQDLQTEMLPSTSTNDVPCEETDLSSMAVGTTSQQSLQASRDTRAEREPDNALGMLAAHDLQFGMQPSSSVQDQPVEAGAGTSYTISAQYLQPGAQPSTVIRHITPERTHPDERIQMSLQPNETPGPQPLTQLFSSASAAVNNLKYSSDPLRNELETLKCWNILLFKNFENKSSCSNRKISHFSSLHNYCGQKLLLQIEYNQELEKVNKKYESLHHKELSTYRRLVTKLEDISQKVSMHQLLAENFHENFAKYQSQDGLASPTIGQVQQAPARTSGAQTTASPVVSLSATQPRVSTSFHSIGPFRSEAMQLQTQPVVPGNLYRATSSPLSSLSLRNENNGVAGAQPRGHAPRPHHVRMQPPYATFWRDHQQHPVMGPGLTSQRHPAPGTLTSALAGIPVTTSMASSSVNQTMASASNPYPALPASSLLSGSLAELMANFVPPSGTNSVFMATQQVASLYPDAAAGIWHAGAHISGVNHPVPESTFETLPFQQRWNPDMVGAVLPSIAASSANPSASNSEPALPASSENPVFMAAQQGLSPHTAFHNHPGPLNAAAGIWNTGTHIAGVNTPVSESALETLPFQQRWHPGMAGAVLPSIASSLANPSASNSEPALPTSSANPIFIAAQQGPSPHTAFHNHPGPLNAASGIWNNGTHVAGVSPPVPESALMNTLSAGRTGMTSEPTSTGVQRGGGGEVVCLSDDE >Et_1A_006427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22035064:22035386:-1 gene:Et_1A_006427 transcript:Et_1A_006427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NLLEMNRALISKWCFRFKDDPTVTGLWKQIISKMYKRKKMYSPFENDVYVTVHWHTYIQLCLQLAMNRRLLWLKLWNMI >Et_5A_041837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3236449:3242339:-1 gene:Et_5A_041837 transcript:Et_5A_041837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGELGGALLFLLAAAVAVGVAVSVGAVDFSRPLAANARLDFDAAVSWLIGVLDGSSTAAADAYQAWVAVRAGLIAPVLQAAVWACLVMSVMLVVEAVYNSVVSLGVKAIGWRPEWRFKWEPLPGGGADEEKGSAHYPMVLVQIPMYNELEVYKLSIAAACELQWPKDRIIVQVLDDSTDPFAKSLVELECENWASKGVNIKYVTRTSRKGFKAGALKKGMEWDYAKESEYVAIFDADFQPESDFLLRTVPFLLHNPEVALVQARWSFVNDTTSLLTRVQKMFFDYHFKVEQEAGSATFSFFSFNGTAGVWRTKAINDAGGWKDRTTVEDMDLAVRATLKGWKFIYVGDIRVKSELPSTYKAYCRQQFRWSSGGAHLFRKMAKDNISLLKKSYMLYSFFLVRRVVAPVAACILYNIIVPMSVMIPEVFLPVWGVAYIPMTLTIVTAIRHPKNLHIMPFWILFESVMTVHRMRAALSGLLELRGFDQWIVTKKVGIDFEDNEVPLLQKNRRSIRDRINFREIGFSIFLFLCASYNLAFPGTTSYYIYLYVQALAFLLLGLNFTGTCPDTGIKER >Et_4A_035505.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:26988204:26988500:-1 gene:Et_4A_035505 transcript:Et_4A_035505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKKVMVSQKVIDMILARPPRRPFPRPAAEQIREVTDPADPESLELAAGLVELLDSGEALMKAWQDEEESILQQFWTKGYAEIEVTDDEVDGEARV >Et_4A_032117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18951270:18952715:-1 gene:Et_4A_032117 transcript:Et_4A_032117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPDIEVVVVDISEPRIAGWNSERLPIYEPGLDDVVKQRRGRNLFFSTEVHRHVGEADIVFVSVNTPTKTCGLGAGKAADLTYWESAARMIADVSRSDKIVVEKSTVPVKTAEAIEKILAHNSHGVRYQILSNPEFLAEGTAVRDLFSPDRVLIGGRETPEGRGAVAALRDVYARWVPGDRIIATNLWSAELSKLAANAFLAQRISSVNAISALCEATGADVAEVAHSVGRDARIGPRFLSASVGFGGSCFQKDILNLVYICECYGLPEVAAYWREVIRINDYQKSRFVNRVVSSMFNTVAGKKVAVLGFAFKKDTGDTRETPAIDVCRGLLGDKAVVSIYDPQVTEEQVQRDLAIPTDLAKQVAVAPDAYEAARDAHAVCILTEWDEFRTLDYRRMFDHMQKPAFVFDGRNVVDPARLREIGFVVYAIGKPLDDWLKDMPAVA >Et_8A_057412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2792087:2794938:-1 gene:Et_8A_057412 transcript:Et_8A_057412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAGTASSSLLPGPLPRSRLAPNSLALRTRPCGSLRAAAAGAGGGKEDAQAGVAANGSPVLKSKNDLTPNGALGPIVADKAQKDSPTNSSTDSSGSRAGLFRTPISGGVQSATFAHGLPPPALAVRNLMEQARFAHLCSVMSSMHHRRTGYPFGSLVDFANDSMGHPIFSLSPLAIHTRNLLADPRCTLVVQVPGWSGLSNARVTIFGDVYPLPADQQEWAHKQYVAKHQQWASQQWGNFNYYRMHNISDIYFIGGFGTVAWVDVKEYETIQPDKIAVDGGEQSLKELNAIFSKPLREFLSSEGEVDDAALISVDSKGIDIRVRQGAQFNIQRLAFDVPHKVETLEEAKRALHKIIKTSSK >Et_5B_043156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12350520:12352565:-1 gene:Et_5B_043156 transcript:Et_5B_043156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPSSSAPSSPANSSDSIDLNFLPFLKREPKSEPPSPERGPLPPPRAPPPPPAAQQQHVPAAAAAAPGPPLSPPSPSTPDLSSAAAAVPAVVQPSSGSVVKKRRPRSTELVRVSSLGVRDQIYFRDLVRKARITFECLRGLLLRDDDRAEMLGLTDLRAAALMGDHDLWLNRDRRIVGPIPGISVGDAFFFRMELCVLGLHGQVQAGIDYVTAGKSASGEPIATSIIVSGGYEDDEDRGDILVYTGHGGRDPNLHKHCIDQKLQGGNLALERSMAYGIEIRVIRAVKSRRSPVGKVYFYDGLYKVVDHWLDRGKSGFGVYKYKMMRIEGQEPMGTVNYQVAEQLKVDVFSVRPTGYLSFDISMGREIMPVALYNDVDDDRDPLLFEYLARPIFPTSAVQGKFAEGGGGCDCVDHCSIGCNCAGRNGGEFAYDKTGALLRGKPLVYECGPHCKCPPSCPNRVSQKGLQHRLEVFRSRETGWGVRSLDLIKAGTFICEFSGIVLTHQQSENSANGDCLVRPNRFPQRWSEWGDISDVYPEYVAPDHPAHPELNFAIDVSRARNVASYFSHSCSPNVFIQFVLFDHYNAAYPHLMIFAMENIPPLRELSIDYGMIEEWVGQLTM >Et_5A_041187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19089465:19090788:-1 gene:Et_5A_041187 transcript:Et_5A_041187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QVILDYSNYWVNLRDLSDSVKIGKELISSIAEAAICTLDDPSLQHKGKSKIKRIPKRVMPLGIAISHLTYLFLCVVLESYLLYLLGNDCFSSYLLGNDLNHHEVRRVFRRAENHLYHCDMIMFPVLQTLTPKGLSQGVGHYFLLVLNLHDRQFDVLDSMRSTMDKDLQDCCNKLMNAIKQLWKIHYPSSRKNIQNYDIECIEVPCQTNGVDCGFHMLMNTEHWDGRNIPSFQESDMPNIRKLMTYKWVTHELNDVRDWREKLNLRYKCLSINHYYRN >Et_7A_052885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23342524:23344488:1 gene:Et_7A_052885 transcript:Et_7A_052885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLDKQAPSTVVLAAYGTVANLDSAQLEELGNGLCNSGKPFLWVLRSSEAEKLSAQHRGKCNDRGMIVPFCPQLEVLAHKATDIRFRPK >Et_2B_020597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21751452:21755900:1 gene:Et_2B_020597 transcript:Et_2B_020597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARWSSPAHLQLLTRRRRLPFLASFSSTAPLAAAAGFGWADALRVAGDVGRDDESDLSGYFRKVDICNRGMDKKGEFVEFKVEDQVVGYIHKGFIEHLRDFHDVFNIVSGNNGSNTLEHVTLHSLLRTPEERTLAIGNVIKNLGDMIPGIRNELYPVTSSYGMPVYFSLERAAAPYFGIKAYGVHMNGYVEKDGQKFLWIGKRSDVKQTYPGMLDHLVAGGLPYGISCEENIIKECEEEAGIPRSISTNATSVGAVSYMDIEGFRYKRDVLFCYDLKLPGDFIPNNEDGEVDSFRLIPVPHAANIIRRTEFFKPNCNLVIIDFLFRHGFSWLPKSSSKLEEWRLFIAALCRSDFRQL >Et_1A_009197.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:3300069:3300986:1 gene:Et_1A_009197 transcript:Et_1A_009197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLAQLSAGSRRLMELYIPPPSDQLTYHQGGAVLNGDIPVSFIWYGKFTPAQKSILSDFFFSLTAAPNAPTPSVGKWWNTIEQLYLSNAAVTASRNGATTATRVLLDAQVSDEACSLGKSLTLAQVEQLAARVGSGKKGGVALVFTDEDVAVEGFCSSRCGKHGSAPGGDSTYIWVGNSVKQCPGHCAWPFAQPEYGPQGAPLVAPNGDVGMDGMVMVAAAMMAGTVTNPYGDAFYQGAREAPLEASTACPGVYGSGAYPGYPGNLLVDQMTGASYNANGANGRKYLLPALYDPATASCSTLV >Et_3A_025816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33170043:33175035:1 gene:Et_3A_025816 transcript:Et_3A_025816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEEPSQMRRAFVDSLAGAISGGISRTVTSPLDVIKIRFQVQLEPTTSWGILQRNVYGPSKYTGLFQATKDILREEGLPGFWRGNVPALFMYMPYTAIQFTVLHKLKTFASGSSRTEDHLHLSPYLSYVSGALAGCAATVGSYPFDLLRTILASQGEPKVYPTMRSAFLDIIKRRGVRGLYSGLSPTLVEIIPYAGLQFGSYDTFKRSMMAWNRYKYSHLNLGSEDDSVSSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGTPVDSSTYKGMFHALKEIVAKEGLGGLYKGLFPSLVKSAPAGAVTFVAYEYISD >Et_3A_027366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9038275:9038884:-1 gene:Et_3A_027366 transcript:Et_3A_027366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGAARPRRLRTQSRAAWLLFFFLLLLLNTMSQGGEELVRVREDSHSSLIVGSAGGGGQEEEQQQLSRLGSRPPFCERKCGGCAPCTAVQVRAGAAEGQLRPQCANYEPIGWKCKCGAAVFDS >Et_8A_056483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10617272:10619941:1 gene:Et_8A_056483 transcript:Et_8A_056483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLPITAEAIAFTEKKMDMTLEDIIKMSKKKNPGGKKPPRQPIKKRPFQNGNSNQGNAKVQRFMESRSSIRQGVLAQRRSNLGGNQFAATKQAAKKAAAMPVRNNRAARWNKPSASTLVQRKPVGDAFQNGKGKEGQNQTPRTMDALFAQMKAQRMRSVPQQQTNPAPGRQFQQQRRVQQQQQPRRGRGYGGRNVGNQ >Et_3A_025130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27091848:27095875:-1 gene:Et_3A_025130 transcript:Et_3A_025130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCLVLMLPFLISSLISTVGLPVDPPAELLQLGDVGGGRLSVDPSDVHEASRDFGGVARSEPMAVFHPRGAGDVAALVRAAHGSARGLRVSARGHGHSISGQAQAAGGVVVDMSHGPGAAARALPAYSPALGGHYVDVWGGELGQAFHHGPQISNVYELDVVTGKGEVVTCSETKNPDLFFGALGGLGQFGIITRARIALERAPKRVRWIRALYSNFSEFTADQERLISLQRGVRRFDYVEGFVVAAEGLINNWRSSFFSPQNPVKLSALKHHTGVLYCLEVTKNYDDDNAGSVDQEVDALLGELNFMPGTVFTTDLPYVDFLDRVHKAELKLRAKGMWEVPHPWLNLFVPASRIADFDAGVFRGLLGGQTAGAGGPILIYPMNKHKWDPRSSVVTPDEDVFYLVAFLRSALPGAADPAQSLDALALQNQRILDFCAAAGIGAKQYLPNHKASHEWAEHFGAARWERFARLKAEYDPRAILATGQGIFRPADDSPALVAES >Et_1A_007356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33736093:33739387:-1 gene:Et_1A_007356 transcript:Et_1A_007356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLRYGSRRQAEPGQDAKMMRCHMLWKHSSTWPWKARHPQLVKPQALTPTNTPRNPGSSTQRYKALALAQSASRSDMAKCSARYGLGLACFAVAVAMASATQFIVGGANGWSVPPAGAESLNSWAMKNRFQVGDTLVFVYPSDQDSVLLVDPSDYNTCNTSSYQKKFTDGDTTFTLDRSGAFFFISGVDANCRANEKLIVMVLAAGRNGTGGGGAPAPSTSSPPPATPTTAPPPSLPSAPPPPPPSSPAPKSPTATSPPPPAPAPTTTPASTPPPASSPPAAPASSPPSVPAGAPPSSTVSSPAPGTHGNGTATNSTSSPPRSAGSNSHRNGAGLTVSAGIVGSVGACLVGFAPWRVACFVLLVATAGATQYKVGGDNGWAVPDASAESFNTWAEKTSFQIGDDLLFVYPKDKDSVLLVEPSDYNACNTSSYDKKFTDGSTSVTLDHSGAYFFISGVEANCRANEKLIVMVAAGGNGSAPAPSQGSSSSPPSTPAPSNGSASGAQAPPSTPNAPAAKNSTAKGAPSAGGNKNGAGHTVAGVVASLVGCIVYAMFAF >Et_10A_000852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18274022:18278561:1 gene:Et_10A_000852 transcript:Et_10A_000852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARAPPAALLLLLLLLLGCVACRGVGAAPSGAEVTGVPGFDGGALPSRHFAGYVTVDEAHGRRLFYYLVESERDPATDPVVLWLNGGPGCSSFDGFVYEHGPFNFESGGSPGSLPKLQLNPYSWSKVSSVIYLDSPAGVGLSYSKNVSDYQTGDLKTAADSHTFLLKWFQLYPEFLKNPFYIAGESYAGVYVPTLSHEVVKGIRGGIKPKINFKGYMVGNGVCDTAFDGNALVPFAHGMGLISNEIYKEANTACQGNYWNVVSDKCERALSKVDTEIDGLNIYDILEPCYHSSSIKEMTPQNSKIPQSFKDLGVTNKSLPVRTRMHGRAWPLRAPVRDGRVPSWQEFASKVASGAPSGVPCMSDEVATAWLNNDGVRAAIHAEPVSSIGPWLLCTNQLEFHHDAGSMIVYHKNLTSQGYRAFIFSGDHDMCVPYTGTEAWTSSLGYGIVDSWRPWFVNEQVSGYTQGYENGLTFATIKGAGHTVPEYKPQEALAFYSRWLAGSKL >Et_8B_059732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20988907:20991267:-1 gene:Et_8B_059732 transcript:Et_8B_059732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVYALVARGTVVLAEFAAVSGNAGAVARRILEKLPPDAESRLCFAQDRYIFHVLRADAGITFLCMANDTFGRRIPFLYLEDIQMRFMKNYGRVAHSALAYAMNDEFSRVLHQQMEFFSSNPSADTLNRLRGEVNEASTTQSIAASTSKMFMPSFQHLCIHTVMVDNIEKILDRGDRISLLVDKTSTMQDSAFHFRKQSKRLRRALWMKNAKLLAVLTVAIVLGKIWFGFEMKCPLELLLLIGWIHGAAKGKAVGKKAISKGAG >Et_3B_028086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1041105:1047664:-1 gene:Et_3B_028086 transcript:Et_3B_028086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLYLSIQLRGTEHIHWLPVQHEVSPEMVKASNWRFLFSSYFGLALQSLIFLMYPCCKFANATDTLLPGQLLNEKQSLLSKNGAFKLGFDCSIPRHANSFCGLGIWFANSSSCGYDYVPVWLPHPDCRNCYSSSYNLSVSEEGVLHIKSTYEYPDYYSLWLSPNSTLLSSISAVAVLLDSGNLVIRDQVNSSMVIWQSFDSPTNVLLSGGYLGLNPTRGENVSLLSFSFTSGIWTTLSLDATRRRGFIVRPNDLLSFAGTFPRWMDIHEDENYALTFNDADTYIHLNGSGYINLVKKGKCDSVLWSAPKSVCDFDSYCGSYGLCTWSGYCICPAGFAPVLWSTGCSSNEYVDCSGSFARREVTFYPIEGVYRFPENSNSSKARSKEECEASCVRDCACTAFAYNATCLLWFQELMNTALLDSVSNGSRMYVRPVTRQQNQDSVAAPGLTSLKEVIVLSTLGALALIVIVLIVLCRCSRKLMKARTVDDNGNLMVFSFAQIKNSTKGFSEKIGGGFGFVFKGTVPVSTTVAVKMLKGFGQGDKQFRTEVQTVGMIQHTNLVRLLGFCADGSRRLLVYEYMVNGSLNSHLFCQSSAKLRWELRYSIALGIARGLSYMHEECKDCIVHCDIKPDNILLDEKFCPKILVKVNEGDVMCLLDGCLAGNADAEQLNRACRVACWCIQDAEDHRPVMEQVVRMLEGVMDVEVPPVPRSLQNYVGMENSYSADLDTDTLLPGQFLNASQSLLSKNGVFKLGVDCSTPQEDCGWGIWFANSSSCNHDYFPVWQPQPSCVGCYFSSYNLSVTEEGVLNIESLYFRWSSYNTRTAISAVAVLLDNGNLVVRDQVNSSMVIWQSFDSPTYVLLPGGHLGYDTITGKNVSMSTNNNSIIWYTLAMDAIRRRGFTIQQNPSGQMFVGTFPRWIDFREDGNRELTFNEAHLYMHLSSSGIISLSNLQECGSVIWSAPESICDFDSYCGPYSLCTISGSCICPGGFDPLSPKSWTGMGCSRKVPLNCESDGTDPEVKFYPIDGIHRYPQNAWRSKVVSMRQCESACIRDCTCTAFAYNTSCLLWFWELRNTIVLDSGSNGNRLYIRLATKQQQSSSRAAPLFCVRKKGIVLLIGVSGLIIVAGLIVLCKCRRRLFEARMMNEHGSLMVFSFAQIKDLTKGFSDKLGEGGFGSVFKGTVPVSTTVAVKMLKGFGHGDKQFRTEVQTIGMIQHTNLVCLLGFCSDEDRRLLV >Et_10A_001668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6641146:6652457:1 gene:Et_10A_001668 transcript:Et_10A_001668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYLAQFQTIKSSCDRIVIAVEDVSDLWLNVKESFEQRLPVKKACLNNKARNPVFVDNLPAEFIQTTDSRLRSRFPQEQYLFWFREPYATVVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAHPNNDQATKMVKKVYARLEADFNTKKRERCCKFDLHGPDAEFWDDFDSKMVDCIRNTLDRRVQFYEEEIRRLSEQRFTPIWNFCNFFILKESLAFMFEMSNLHEDSLREYDELELCYSESVNSPGKHREFGGLDAGDDQAALLNPGFKALTQIVQDDVFREFEFRQYIFACQAKLLFKLSRPIEVAARGYAFVVAFSKTLSLHENSLPFCFREVWVITACLGLINSTSSRYDGESVAIDSEKEFYRLQGDLYSLCRFMRLAYLIGYGAEIEKSPVNSASLSMLPWPKPATWPSVPPDSSAEIMAKEKMILQANQREKLFNIHRKPLPLEPSLLLREANRRRAFLSVGNISELYDAADGSGLDANSKLSPNKSASSFIARTMSGPATSETSLDRPMRLSEIHVAAEHALKQTISDPDFMTSLSSLEEFEKRYMELTKGAADNYHRSWWKRHGVVLDGEIAALFFKHENYDLAVKSYEKVCALYSAEGWEELLADVLPDLAECQKILNDEAGYLSSCVKLLSLDSSLFSLKERQAFQSEVVRLAHSEMKHLVPLDVSSLITFAGNAGLPLELCDGDPGTLSVAVWSGFPDDVTLESLSLRLSASSSADEGIKAIKSSDSHVLIPGRNIISFDIPPQKPGSYVLGALTGQIGKLSFRSHGFSQDGPVETDEFMSFEKPTRPVLKVRKPRALVDITPAVSSALLMNELQWIGLIVKPIDYSLKGGILHIDAGAELKIEESQMIEIENYRSNTEHGGSAHSSIEAERVEKIPIENGKINLPDWASDVTTLVWFPVRAIDNTIARGETPASPQKQSIVDGMRMIALKLEFGVFHNQVFERTIAVHFTNPFHVSTRVVDKCNDGTLLLQVILRSEVKASLHVKDVWLDLQSGFEHLGKGDGRPASNLFPLAIAPSSRAGILFIIRLSGTTDLDELENADSILNIKYGISGDRTTGAHCPVPVNPSDSEELLFKIALKLKRPVLDPCLAVGFLPFSTDCLRVGQLVNMKWRVERLKNPEDASISGDEILYQVDANPQNWMVAGRKCGHISLSNAQGSRMEITVTCIPLVSGYVHPPQLGLPEVGEANISCNPAGPHLVCVLPPALSTSYCIPAASPDCVRTAP >Et_9B_065275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:380957:387078:-1 gene:Et_9B_065275 transcript:Et_9B_065275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLSSSPSPLLRRRFLSLTATPYPLYYDLIVHRPADPKPPKSSSADAGADRPPASDEQPQPLDRAKRRYLRKRRSRLLPDPDATTGTKPSASSNEFVELRPEVVDFPRLHAREEALYFHDAFAMPWEKDKHYRMLYRLEKKYFPHQSLDNAFVPADAGPTSDADRGLVFFDDEKKDEDGEDRVAKKEEDGSSKGEVLERKVEDFFRSLKKGPGEAQAKRTVGGEPPRQTKREIPRQEERPQPYLVTRTTELPPRWDGPAGTVVLIDKPKGGWTSFTVCGKLRRLVKVQKVGHAGTLDPMATGLLIVCVGKATKIVDSYQGMVKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIRKAVASFKGEIWQVPPMFSAIKVGGEKMYDKARRGETVELSPRRISIYKFDIERSLEDRQNLIFRVTCSKGTYIRSLCADLGKALGSCAHLTALRRDSIGEYSANDAWNFDELEEQITKGYL >Et_5B_045176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10893642:10896336:1 gene:Et_5B_045176 transcript:Et_5B_045176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKPRVGEGCRPLERRPTIIVRRGDRMLWRQPIIHGVDERPGVRREGGGITVEQLGEGTPGAKRTTMEVDNQREPLASRGCSNIKKEAHGHAGARVDDNVPRCNTGWPWRLRGRHKGAGRQPLYTAILVLEQPWRQVDCYLCVKLVAWRFGYSSYIHMETSRRPEVRSTLSHQVGQRSVISTNKPFNFNRPKSTLWRIFRPTNHLNCLGCVLQLPSEAIQTDTWSELDSSLTPSKHPIPSHHARLHHCSLIRHCRPDLVANHQIWPSSC >Et_4B_039939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6350709:6353606:-1 gene:Et_4B_039939 transcript:Et_4B_039939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLQYEHQQVALLPRVLIIVETALPSPTPDSPTHKIMSNIRFAVLAIKEIVSCTTDVSGKADEIVDALTIKSPICPQATKAAMVAAAGLVPFLVETLVDADWSASEKALAVVTSEEGRASARANALAVPVLVKKMFRVSDLATELAVSAMWRLGRAAPRDGGGEDDDDAVTRCLVEALRVGAFQKLLLLLQVGCRDATKEKATELLKMLNKYKGLGECVDAVDFRGINRLS >Et_7A_051616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21385465:21386146:1 gene:Et_7A_051616 transcript:Et_7A_051616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNNRNVSRTAPFGPFAAKLTFFRVFEWEASSYIKHIAISEKERSHGRFDRNNTEITETSPEQLQNSPEPSSDIKHILTSEKERCPGRFDRNNSEITETGPEQPRLAQFSLGNHPVTPNTSQQVKRSDVRVVLTETTQK >Et_7A_051186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15230975:15239325:-1 gene:Et_7A_051186 transcript:Et_7A_051186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLSGLRSLEGFRSLAGSTSTAMKAANPKPSSDAGGSTYGSFANLKITAEKLVKEQASVKTDLEMAHTKLRRATEQINLLEGKLQQAVNENAKLKVKQTEDSKLWQGLDSKVSSTKTLCDQLTETLQQLACQTERAVEDKRLFEETLGKNSTALDEFNCLLHDLSTKLECAEQTIISGKQQMLQIKHEKEEMDQSYKERLCANDTTIKEKDSLIKELESSVDESKARLICLDYRLQCMEQELKLKDDVCIKLKENFASAEGERNSLKLRNQGCSLEIAKLCKNNKDLNDLLSSFVAKVTELDKEHASMSSHVSRLLSSFEKFQGMVLEEKMLIARSSKEKIEELQNQYVELMSENNGLKIEIEELKCRIIELQKTQEIVMVQHVEECQVAEDKIRRLESEAEISASNITRLEKLASELQGRIQKLLEDSTVAENHQQELIEKISKLESDNQELVGKVQSITDEKSNNAESLQGEIAKRDQQVDTLESQVNQLRGILDEKEQLYSCSLEREKTLEEQKLQVEASLAATECQLIEAKKQYDLMLEGKQIELSKHLKELSLKNDQAINDIRKKYELEKIEITNAEKQKAEKLIREIESRCNEKISENKKDSESYLMHLKEEHGAMVARIQQDNEHKESTLRAYHKEELQRIQSQAENELKERLSLLRKEHELQIKSQRIQHEEECQRLQEELELQKSKEEKQRALLQLQWKVMGENQQVDQEVNSKKEYSVSSIKRRDPYGRKEHELQLVSPEVKRKDVNLSGILQSPISNILRKVEKGSQDIPKHRKVTHHEYEVETANGRITKRRKTRSTVMFGEPNTQKSLHSTVDKDVTKMRKGTHALLVRCGVSEPKTCFVLLRLRDDLTGSLRRMTEERHSDGYEVQEG >Et_5A_041800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:351819:355780:1 gene:Et_5A_041800 transcript:Et_5A_041800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLILVFLYFSSWEVEIMEAEAKDSEDETKDAGRGSSSIRRISRSNPVSEIRTGSHFDSDVNAPTRGPTIASSNADGAAGRHLVTLEQNKNDASTKSFDIRADSGKALDTNNVTVYVHSDAHNSTNPHIGPSENDEGPTNQVASDAAEDDHNRATETSATALCTPSVHGSIPAPIQADNIENINGNCLQNSNQVTAKKVPWPNSSEENLSRKKILASELSRKVDQKDDGHTSDLKSTVPRPYAEEKLKLCESNVRSEGNSTSRNNHILGYSRKCSSKSVSPDTNLRSAQQTASPQSSEGNTSRVEFNTPPRKHDQELSKPADAQSLQETEVVEHVGRSRGALAQRRKSILSSASPKPPSGDPDSGTSEVSSLIPNKESASEGAAVSNLDKHSAECTKVGHLNSGPTLNFTEKQISGSFKSNLLSTRRTSLKLVSSAEAKNLSENSNDKNMVALGEVKAPALHKATIEKGCAIRPSVNSEGINKSSGVSLRIEDIDMSDAEQVNNIEVAAPNSELDKVVSHQSLEAGPTDIPVSPVADEDTMTPCKVSTSRVRNAGTKRSRNASSKSSGEFAKRKHKVAASKQMHDKALSHGNVEDQQGAKGSSPNAAESTPLFSEEILNGKPRNEVSKAVLANVIPEKNMREVHKKLSSSASAVENLENSSQRVPNSVARNSVAKGPQTADDKMADSSIVDKSVTMSLKFDSKEVVPPENGETYPKRLSSSASADDPEICTANKVPNGRARKVVAKRKLSAVQKQKSSSERCKAAGDSLTEDKVVLSERAAQSSRNAGKLTLDQDLQNTNRDKRNDPVGSFCRDAMVDRSKHTQSSKSSSNKRQKIVDVVDGSTDHDKENIPVGKNLTSKTKYGNNNISSKSITKALPSGRVVHNEDSVIKGNDCGTLNVLEPTWFILSGHRLLRKEYMSILKRLKGRVCRHSHHWSFQATHLVTTELRRTEKFFAAAAAGRWILKPEYLTACNNAGKFLEEEPFEWHGHGLNSSETISLDAPRKWRQHKQRTGHGAFYGMQIIIYGECIAPTLDTLKRAIRSGDGTVLATSPPYTRFFKSNVDFAVVSEGMPSVDGWVQEFMRHNIPCITADYLVEYVCKPGHPLTKHVLFNMHDLAEKSLQKLTKNQRDGIGADTGEGSEGYEADLSCSAYGSNDRDRLMLKCGSDGNPAGCGVSVHVDCCNLPVEAAVPVGNWLCPKCEEPKPAKKAKNTPKSRVLK >Et_2B_019211.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17487318:17487545:1 gene:Et_2B_019211 transcript:Et_2B_019211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMADLIAAGLQSGWRALRRPATPAMCGHDMEVPDSELKRTRRSSKARPVGPARPVNAARMLTPGAVMSGCQRIRR >Et_2B_018925.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17156211:17156588:1 gene:Et_2B_018925 transcript:Et_2B_018925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVEAADPAAPSPSHAPASLEDVKAAIAKAVELRQLHAALLQRGAPNGRAGAGACRSPAVIRLPPAASPARSRTGDESYPVFTPVSKLFEHALPACQVSPSLTMAFLLARTGLQRRGGARRGA >Et_4A_033243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20357221:20357681:1 gene:Et_4A_033243 transcript:Et_4A_033243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRR >Et_3A_026683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1411408:1413393:1 gene:Et_3A_026683 transcript:Et_3A_026683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVETPSPPKHHADGGKELQEAAASRSYWRWQKEDFFPEPSFASWGAYRAALGATPARLRDRFAGRSTDAAELGALRRRSENEMRRCLTWWDLTWFGFGSVIGAGIFVLTGQEAHDHAGPAIVLSYVASGLSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDVAAFIAAANLILESIIGTAAVGRSWTSYLATLINKPASALRIQTSLTEGYNELDPIAVAVIAVTATLAMLTAKGTSRVNWVASAVHVLVIAFVIVAGFLHANPSNLRPFMPHGVPGVFRAAAIVYFAYGGFDNIATMAEETRNPSRDIPLGLLGSMSAITAIYCVMALVLSMMQPYTDIDRNAAYSVAFASVGMRWAQYVVALGALKGMTTVLLVGALGQARYTTHIARSHIIPPVFALVQPRTGTPVHATTLIAVASACIALFSSLDVLSSLISVSTLFIFMMLSTALLVRRYYARGVTSRTHARRFVALLLLIIASSIGIAAYWGTSPDRWVGYVVLVPAWAAGTLGIQLFVPMARTPKVWGVPLVPWLPSLSIATNLFLMGSLGKDAFIRFGVCTAIMLIYYVLVGLHATYDVAHGACGGDGEDDDMDVAAEKGKPAVADVEKLAGAADNGR >Et_4B_037352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18843947:18845329:-1 gene:Et_4B_037352 transcript:Et_4B_037352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGGVIVGASWSSLDLSSQVEESDIMERLLGTFPSSGEESHHELPWSIQASNSCYFHCNASSSTYSSTSSNSSGNPSLVMPSDYGGYWLSDSNEALGINSFTAPMHLNMVQEQGATQFMEAILDPPHGGSNSSCEDLEDSTISVLGSIGTSVKRKHPEQDKLDGQTRSRKCTRKSDSKRAKKIMQREEEDGTIALGNVQSLSCCTSENHSNASRDPHVAANPNGKPQADRRSATESQSLYARKRRQRINERLRILQNLVPNGTKVDISTMLEEAAQYVKFLQLQIKLLSSEEMWMYAPIAYNGMNIGIDLNLSQQCASYNLAAETSANSTHNMIQL >Et_4A_031802.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:16639180:16639488:-1 gene:Et_4A_031802 transcript:Et_4A_031802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYSVWVLEDYYGSEWNFKYNISTFQLFGVKKLKFERDYSLIAIHPECRLIFFVWHGDDTLMSYDMDRGKVSVICNLKERLYVPFLPYLPYVPYLLDSAAD >Et_3A_026676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13448313:13451411:1 gene:Et_3A_026676 transcript:Et_3A_026676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRRSTTAAAASSHGSRSTTHISSLGDDVVGEIFLRLPSLVSIARAACACRHWQAVASSPDFVRRLHRCPPLLSYVSPDFSYHPVAGGDLALTAFLRRSYISYWLVWDCRHGLLLTTSTNGRCPEVGMTVYDPISSRCFTVHSQPPDGGGDIGSFSDCLLPPARDEDRSTASFRVASVQCCNQKVRAAVYDIDTNKWTLHPWAAVAAPQTETRCSVLASPMHAARCVYWKYPNKPKLLSLDTLTMEFAVVPLPPGVSATTRYAVGETKSAECCLVSFTPDPMVAKGQVMKAWLRREGDKGKLIWELQRQASLLELEQAIPSPRQVDHVRAVAAGVVLVCLNHSAGTTSKDHVAFCLESFKVEAQFKSGPLG >Et_8B_058529.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:13339120:13339659:1 gene:Et_8B_058529 transcript:Et_8B_058529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSLCPSSADVLTIAGHEHQSPSSTDDDEEEYDEFEFRPLLLPQPRRSARAVRPRPTRRVAAHGFTLTQPQLPQRARRAAIVSAAAAKAEQQPSTPSPRHPRPVRWHHMAFGSVRVPSAMDMSEIRRRLKARQALAGSGNEASSSAAAWAPWRLIRSLSCKGVEAVAVAAAAAPVRLV >Et_7B_053366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13690974:13691724:-1 gene:Et_7B_053366 transcript:Et_7B_053366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPGAVMSTFLAPAWMCLPAPSRSTNTPVPSMTRSTPRSPQGRLVGLRSDTTLITLPSTEMPSSPTGFTSASKMPSVESYLSRWDACFTPPVSLMAMMSSGESSRPCQHRRKLRPMRPNPLIATFSFASVGARLAPPLDPTCSVLKETVDTKSSEVAFRRSGSDAALLSPENSDKSMGDAMATDRPIEKTDRQQRHRGRIGSIKLGR >Et_5B_043058.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:18479733:18480548:-1 gene:Et_5B_043058 transcript:Et_5B_043058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RELTWPASPLDARESLCRPVRSKSVAGTAPEKALEPRKRSRSVAAQGGGEEGDGSAPARRLAERSSVRRAGAPAQRSGASAPSSELLLASRTARTLRAARSGSAPSSRLEERLAARSPAAAAAAEEERSGARLPVSALRLRSRSSRRARPASAEAGMGPASPRPGSRSAATRPSAASHSTPSQSQHAEPAEDHAARMAAAPPPVRFPAKARSAWRSSGWHGAAAAARAGVRMAATSARKRKSGSARLAAMDLGGAQAHDERDMGGAGARLG >Et_7A_053133.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:7607219:7608118:1 gene:Et_7A_053133 transcript:Et_7A_053133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNPASEALVLDTIRQHLLDEPPAATTEEAVSASFGSLVADQWSQSLPFRPDDSDDMVVFGALRDAFAYGWLPDGSFAAVKPEPLPPSPADSSSCCSYEAGGFVSEPEPMTPGTEETATGARREEAAAVARGNKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYDTAEDAALAYDRAAYRMRGSRALLNFPLRIGSEIAAATAAAAAAAAGDKRPSPEPATSSDSSLSTSTTSSSLTSSSSSGSPKRRKRGEAAAATMAMPLVPPPNQLTRPAQPWFPAVPAEQVAMAPRVEQLVS >Et_6B_049580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6815939:6819879:-1 gene:Et_6B_049580 transcript:Et_6B_049580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWWKSKGRSKSKGAAPAGSVPAAAAVGGGGDEKVGGGKKKASSFDESLLAKGGRAKQLQQAQAAAVGHPLPRPASVPAPLPSTSASASGSASSGGTSSLVSSAASDEAPDLGVYRLSDSSSILPVRTLATETRKQSHVVAEGRLFTNNPASEHPRLSETSVSPRKEFHIQNLDLAHNGSTYCRGRKSTEIVFSTPVPSSPPSARGHQYPTSPVRSRTFGQCPGSPAAWQDDSRNASSPQPLPLPPGSPCPPSRSLQWKKGKLLGSGTFGQVYLGFNSEGGQMCAIKEVKVISDDSNSKECLRQLNQEIVLLSQLSHRNIVQYYGSDLSNESLSVYLEYVSGGSIHKLLQEYGPFGEAVLRNYTAQILSGLAYLHGRNTVHRDIKGANILVDPNGDIKLADFGMAKHISAYTSIKSFKGSPYWMAPEVIMNTNGYSLSVDIWSLGCTILEMATAKPPWSQYEGVAAIFKIGNSKDIPDIPDHLSSEAKSFLKLCLQRDPAARPTAAKLMDHPFVKGQATIRSPRPSMTRDMFATSTDGKNSTAQTSIGISSYRSLSPLRDVDVGIRNIPGPTSPIPSMTNRRIAAINPSNVRMNMSLPVSPCSSPLRQYRQCNRSCLPSPPHPAYSAGAANYSPINNALYPTRASSGFTDPWLEISQLKTQTFDSPRRL >Et_7B_055073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:751677:753763:-1 gene:Et_7B_055073 transcript:Et_7B_055073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWPPTTPTSPSLVVRSPRQTVNLLRNRRRHRDPFPRSPSFAAGDHGPKPSEVYGFVGSITTVIATAIYFAWAYTPEPWLHSLGITYYPSKYWALAVPSFVIVAVVLSMVIYMSFNFLATPPPTSFNTIFGETAFPPYENSRERVTFSSEMKEEERPIEPISDISIDQINNLMFEFMIKLKSSPNCKVPPLYGVVLSASIQAVATAVFPVTQQWSRAWLKLRIPTRILAQAAEQQTKPRLH >Et_4A_035741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4898402:4904131:1 gene:Et_4A_035741 transcript:Et_4A_035741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPTSGVASPAAASGTNTGQDSALVAEMADKLVSASLLPSPPPIDTLLAPYLPRLTASHHPRVLALAASSPALASPEPLLAYRRLVSPPSCLPSLLPLLPVLPYRDLFPLLLSFVPLDPLSHLHRHLLSHLPSNALADAALTAYARLRRPHLAAQLLHSLRRRGRVRPSLQAANAVLSALARSSFTTPQASLDAFRSLVGLRLHPNHYTFNLLVHTHCSKGTLADALGTLSTMQGFGLSPDAVTYNTLLNAHCRKGMLGEAQALLARMKKEGIAPTRSTYNTLVSAYARLGWIKQATMVVESMTAFGFEPDIWTYNLLAAGLCQAGKVDEAFKLKDEMERLGIVSPDVVTYNTLVDACFERRGLSDALRLLDEMRDKGVKASLVTHNIVVKGLCKEGKLEEALGRLKMMAEEGLAPDVITYNTLIDAYCKAGNVAKAYGLMDEMVARGLKMDTFTLNTLLYNLCKEKRYEEAEVLLRSPPHRGFIPDEVSYGTVMAAYFKEYNPEPALRLWDEMTERKLTPSTSTYNTLIKGLCRMKKLDKAIDKLNELMEKGLVPDDATYNILIHAYCKEGDLETAFQFHNKMIENSFRPDVVTCNTLMNGLCVYGKLDKAIKLFESWLEKGREVDVITYNTLIQAMCKSGDVDTALRFFADMEKRGLQPDAFTYNVVLSALSEAGRSEEAQIMLHKLNESGKLSERFSSPLMKSSVAETETGTDHEGKSDTEHCGNAEDNTAEEYKKHINELCTGGQLKEAKTVLDEMMQKGMPKGARCPGIGHCCLHPYAGSVGLARPMQGKVPPVSSCSSPNFPVCSVGCALLAGYAPMFTTLHLE >Et_6A_046370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13814580:13817906:1 gene:Et_6A_046370 transcript:Et_6A_046370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPPDPETRILKCVGNNNVGGHHVVDIMELYASSKASWSIVSGRQFVLKVTNQDGDNCVTLPGSQLRVSPYERLAACRCSVEHWRRICGKVATLMQYVGLGGGELKSSPLINIYEYIDVVTMASGKKTASSEQ >Et_7B_055138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7646632:7662520:-1 gene:Et_7B_055138 transcript:Et_7B_055138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHTTEASGDDVETVAEEASGLRHRHNVAKNGDGGEEGPNGVSSGPPAEEEALSVERAFADKAVPSWREQLTLRAFVVSFFLAVMFSIIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTAAIERVGLLKQPFTRQENTVIQTCVVAAYDIAFSGGFGTYLFGMSETIAKQATEANDAQNIKNPHIGWMIGFLFLVSFIGLFALVPLRKIMIIDYKLTYPSGTATAYLINGFHTPEGAKLAKFYFDFSPTYVGVGMICPHIVNVSVLLGGIFSWGVMWPLIRNNKGSWYDAALPETSLHGLQGYRPFTRQENTVIQTCVVSAYGLAFSGGFGSYLFGLSGKIAQQATEANDPLNIKNPHLGWMIGFMFLVSFVGLFALVPLRKVMIVDYKLTYPSGTATAYLINGFHTPHGAEVAKKQVRTLGKYFSLSFIWAFFQWFYTAGDDCGFSSFPTFGLEAFKNRFYFDFSPTYVGVGMICPYIVNVSLLIGGVLSWGIMWPLISQKKGSWYPDSLPDSSLHGLQAYKVFITIAVILGDGLYNFLKVAHKMTESVIKMYKNRKASTLPELSFDDKRRVELFLKDQIPMTVAFGGYVAVAAITIGCLPLIIPQLKWYYILAAYVLAPVLAFCNAYGCGLTDWSLASTYGKLAIFVFGAWAGSSHGGVLVGLAACGVMMSIVGTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKSFDIGVSGGAYPAPYAIMYRNMAILGVDGLSQLPKNCLTLCYIAFAAAFLINLIKDQVPDKVKKFIPVPMAVAIPFYLGPYFAIDMFLGSVILFYWEWTNKAEATAFAPAVASGLMCGDGLWALPQAVLSLANVKPPICMKCSSPSPSFSVTASTTSASGDSTISGAGATTAPSFDDARRTEFFLKDQIPKTVAIGGYVAIAAVSITTVPHLIFPQLRWYHVLAVYLMAPVLAFCNAYGMGLTDWSLASTYGKLAIFVFGAWAGKSHSGVLVGLAACGIMMSIVSTAADLMQDFKTGYMTLASPRSMFVSQVVGTAMGCVIGPCVFWLFYRAFPGVGVAGTAYPAPYALIYRNMAILGVDGFSKLPRYCLALCCVFFVGAIAVNVARDAAPKKVARFIPVPMAMAIPFYIGSYFAIDMFLGSVILFAWERVNKAQAGAFGYAVASGLICGDGVWILPQAVLSLLNVKPPICMKFLSRGDNYNVDSFLGT >Et_3B_030091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30415532:30415825:1 gene:Et_3B_030091 transcript:Et_3B_030091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKVSNAAAVAIAVALVAMLLCGVNTEQALGACLSYCKYGVGLQARCSALKPGNADCLCRSYWGTLQHTQYESCARDVQSKCRMKKCPS >Et_2A_016060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20670418:20671759:-1 gene:Et_2A_016060 transcript:Et_2A_016060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRTSTAEVMSMDLSPKHAAKAYGNDGGSYYDWSPADLPMLGVASIGAAKLHLAAGGLALPCYSDSAKVAYVLQGNGTCGVVLPEVTKEKVIPIKEGDTLALPFGVVTWWHNAHASAADLVVLFLGDTSKGHTPGRFTNFQLTGATGIFTGFSTEFVGRAWDLDQDAAAKIVSTQPGSGIVRVKDGHRMPEPREEDRAGMVLNCLEAPLDVDIKGGGRVVVLNTLNLPLVKEVGLGADLVRIDSRSMCSPGFSCDSAYQVTYIVRGGGRVQVVGIDGTRVLETRAEAGNLFIVPRFFVVSKIADDTGMEWFSIITTPNPIFSHLAGRTSVWKAISPAVLETSFNTTLEMEKLFRSKRLDSEIFFAPPAN >Et_2A_015606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1603036:1604526:1 gene:Et_2A_015606 transcript:Et_2A_015606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGRIKHTQWLDLCGNNTAAILSLFSFFSKSSQSGLNGSISEDNRSATTRPTEVRITPGRQPNAASDLQKLPNANTAVAGAPPRGSGARTAPPRAGRRAAEAPTTRRTSNVTKAAEAAEESLIASVAAAARASSAGDRDPGDR >Et_6A_046059.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21083361:21083663:1 gene:Et_6A_046059 transcript:Et_6A_046059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSDILVKKAVVVAICIMLVLLSMGPPAMADVQEDCRNDCRSPCDGFSTAVCKGLTRRLPAFVFVTCKVRISAQCTNTCINLCSIDTLPADTPSLPCA >Et_8B_059599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19799502:19804323:1 gene:Et_8B_059599 transcript:Et_8B_059599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKTHRFSKGHPLGFVPDYRHGVETVGESKGLGSPARIDSGSSCAPSKRKCASLKTEEGEGAFGINVPREVFSLPRMTAVDRKDLETRLRDELAQVRALQNRLLSRGAAASMNGGATSAPGGDVHLLKKKADKLKRSNSVQSGRAVPPLLDPPVTSSTNYAASFKQCANLLKNLMSHIWAGPFLEPVDIVKLNIPDYFDHIKEPMDLGTVKKKLNASMYPTPRDFASDVRLTFSNAMAYNPVNNDVHVMAKKLSKDFETRWRLIEKKLPQPDEKPSVREPTKKNATKRDTIEKEDPTGKKPSKKVASKKDIFQKEDLVDNPVLLPKKRKASPVQDAPLAVDVLPTGKEIMTDEQKVDLSTRLESYGALIPEHIVEFIRSHAPPDCDAGDEEMELDMGSLSDDVLFELQKLVHDYDRVNQSTGVTKEDPHEAESRSQYEPFNSSGHHEEDNELVDEDIDIGENDLPVSTLPPVVFEDETVERSSKHSTSSSSSSDSESSSSGSDSSSSSGSDLDVKAPQQNQDPKDSPEKEIRRALMRGRFADTILKAREKTLDQVTKNDPEKLRREREELERLQREERARLQAEAKAAEDARKRAEAAAAAEAAAEAKRQREIEREAARKALQEMEKTVDINEGSLFLKDLEMLGNATGEQLPSSVGETSPTHMPAALGFELGGNALAKLGLFMKNDEDEDEEGDSSDGPAVDVEEGEID >Et_6A_047392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:567172:569621:1 gene:Et_6A_047392 transcript:Et_6A_047392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMSSGLLHRVRPVSRDEQHTSPAYLTGEQLRRKAMDALRHLAGPTYIHHPHGASASSLQLRWSSSTCPRPLRLVPLRSRFTRVYALSSNDIRVGTNVVVDGAPWKVLEFLHVKPGKGAAFIRTKMRNYVTGNTVEKTFRAGSSLEEASLSKEIKQFTYKDGSQFVFMDLTTFEESRLNESDVGDKQKWLKEGMDCILLYWNGRIIDFDLPITVRLTVTDCDPEQSDSAQDT >Et_2A_015809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18055275:18063684:1 gene:Et_2A_015809 transcript:Et_2A_015809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIDMDDADDFASPPSSTPGSAPRARGPGGGGVYHVGGLAVEFPYTPYGTQLAFMGRVIATLDRARRQGRSHALLESPTGTGKSLSLLCSALAWQRNYSLRAPDPPAAAAKDPFLHGGGFVADETQPQATPGVPEKATKKKNAPTIYYATRTHAQITQVVREYRKTSYRVRMAILASRKHYCVNQNACMSENIDEQWNAQKLSRHPSLQIGGCYEVHDIEDLVRVGQKVKGCPYFAAQHMAEAAQLVFCPYNYLISLIVRRAMDIDIGGSIIILDEAHNIEDIARDAGSTDVDEESLLLLQAELDNLATDEAVAMIYQPLHDVIQGLISWIALREENLQGYEFGHPASYWTGEKAVKELEQAGITPVYFPVLQECATKAVKAASDTESDGAHLSGGCAMTLESLFSSLSYFFAQNGRNSCDYQLALQRFDKREGKDVISSKCTMSLWCLNPAVVFREIADLTLSVILTSGTLSPMGSFTSELGIQFEACMEAPHVINVGSQVFAAVLSSGPMSHTLNASYKTADNFSFQDELGASLEEICRIVPGGALVFFPSYKLLEKLRQRWIKTGQWVRLNAQKPVFIEPKGSTEELEPVLKGYYDTILGKAAAKKGRGGAKQIAKNRVTKNLSQEPDKAGAALLAVCRGKVSEGIDFSDDNARVVVIVGIPFPNINDVQVKLKKRYNDSYKSSKNLLSGSEWYCHQAFRALNQAAGRCIRHKFDYGGIILIDERYQEDRNLAYISKWLRSAIKEYNSFQDTMDKMKRFFQNAQEQMKVKSQDMISKDKLDVDALESHSDKRKLPWPELKFSNQSLPQKNQKVKTECLSETVSNINGLAVDHGKLGVSYKSHEASKISLRSSLLAKKEISPTPDNRSMAYQLPPCKVESNFEGVADMGVNYEVKKEVINLDDDGLEPRYANLTILNPLEDMSLQSPLVEEASAEAPLASPSYYSARNLSCPSTSAATPERTTNKSSHLENESLINRSVNSHCQKKRRLSSPMSCCTYTDHSNSPCDSFCCNNDALGMVSGDLKANAELCCRSMKMSKCENVKLERNRKQEKVSAKKSVQKKLIISCTRCKETLGLKQNGYLVTCSRSSSSKFYLAHLLRHGLSTVCFQEDDTPASPLVDIEVMDCDASSLNQNIFGKLSSQGSSHHSDVWSANDGCVYRAVICPFCSSENACATTLGVQVVATDVSNQPLANKVLLFNDRLDVKSEQSKRPVARTQKDAGNSVSPQPVIDLESFAYKPVKKEPALNSRRSKVSYRLPLLLSLALLLVVTFPGS >Et_3A_026742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18448634:18449837:1 gene:Et_3A_026742 transcript:Et_3A_026742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVCDAIVEEILLRLATKCVRRVRAVARRYNAIILNPEFAARHWQLHSPYLSGGVFLHRTRRVLASPDECGGTRNSWESFAFFVASSSSSLTSPSSSAQAPGPALSADLASFLPDPSARETVYLQSTDGAPEAVNSVFIVHSSGGLLLCCRGRVNPVGGSPSGLLSVLGGGSEGRSGTIGRFQVVLFDQRRQWGTPGGRFDLELFSSATGEWTAMRLPFPAEFVGFQCFTPPFLGQSGTAAYSSVDHSLSLVPVPARVHDVSALNRIIGERRGGGLRYAHFDATRLEVWDLRPGEPSRWTLVHRAAPKDDATRSSGSVFTLIGFDPADEDVLLMEAASELGYLVAYSMSLVGTMTFRCQLVPGVAFAPFNMIQYVRPRPAATVY >Et_4A_034097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:346164:349782:1 gene:Et_4A_034097 transcript:Et_4A_034097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPTPTVNRTRSGNASNTLGGMLDDDVRAKNRACAGETSRIIAHWRRQACEQMVLTTLDLDRRDRESELLALARLHAVSMLDASFLQGGEVVGRRRARSPERAFVRRIAREWTASPRGGGNGEGAAREEWMGETERQRVRSVRERVRMATLQEDHQTRRRSNSSDVVRTRMAMERRRELQGLSDQRAVSSFKHRGRIQSFLRGRFFRSGRPMNDETPISMADREIGRIRQTHPVSRLREELRFQTENITSDQTAEISATDNEHGSTVPQVSSDDNHHIIENATRGSEIQTYQPTEHEAVHMATTVPDSNDVQPNDFHQGQLHQHAEYSNSGSSEQESEQSGSASSTASSNNMQQEAETYGPQTEWVTSGSEDGPDRAFMHTDEEWHVIEPEEGEPEWQSGQSFSPNRNANRFSPPDDDVYGVELRELLSRRSVSNLLRSGFRQSLDQLIQSYVQRQEHDPHDWGFEEQRPTSGLPDEDPVEQRIQEQHQSEHDAAPQPSAEFSDQTLLQEQRQWQIELPHPNWSQQTIHRSEFDWDAIHVLRDELTGVQRGMTSMHQMLEACMEMQIELQRSIKQEVSAALNRSSPMRDDEPLEDGAQWKLARKGTCCICCDNQIDSLLYRCGHMCTCSKCASELLHGIGKCPLCRAPIVEVIRAYCIM >Et_8A_057150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21628632:21636636:1 gene:Et_8A_057150 transcript:Et_8A_057150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHIMFFAGKDIPPLKELSYDYNYLEDQVYDADGNIKTKECFCGSRVLLTPSGARRCKVVVPWRFGYVTSEKPEVRRSTAARSFGGGVGIGGDSGLGVNAPAGEGNSSLPKDSNFLHPDDHEGLENKAAATEAVGVDQPGNDSQGSGVDGAAAGKSNSAGKDFISEVRRSTVAGGGLRASWSDGGIGDEALQRDVGIGGKVPKGCGVGIGDRGLGGAGASAADEGNSLHKDGNFIHSDEGVENNAAAAEPVGVDNLQGFQFSGAADKSNPSNKEFVSEGFIKTGDGCCKGRKADGSKQQCAPVEAARTRLSRVKTSAERPTRVKASAGTKRPSAQVQKGTGPVAKKRKTYKKRKTNKGSRRQAVPNRRTRLVPDVMTTLMEFRIIYKKLLEEEETKWRAGGRNGPIRPHVAAFNVYKERYRAEGADRGYVGSIRGIRPGTVFNSSMELSVIGMHRPLLAPVDCISKKDGTSVAVSILSYTTASAFSNDLDLLLYMGSMAPTGGQNIEATDLALKHSKDSGTPVRVIYAVLNEPGANIARSQPFTSYVYGGLYLVEKLSIEKVSEDQCVNTFQLRRMAWQKRIDISDVLKTSSTEPFDGIFVGDISGGLEKVPISAINTKSNEYPMALQYMSQIQYPLKYQPGPPSGCDCVGGCSLSKKCACAAKNGGMFPFSPMGLLDDSPLIYECGPSCKCPPTCRNRVSQHGIKFRLQVFKTDVMGWGVRCLDSIPSGSFVCEYVGELLEDQEAQERANDEYLFAAGHNYHDVSRWKGLCKKIPSLQNGPSEDGKIVFTFDAVNRGNFARFINHSCSPNLFPQNVLYDHDDKKMPHIMFFASEDIPPLKELSYDYNYSEDKVHDADGNVKKKECFCGSVECRKRLY >Et_10A_002272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6382269:6385518:1 gene:Et_10A_002272 transcript:Et_10A_002272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRQNQAASHHIHVDALAQQLLAHEPQPLELVPVRRREQALRHRHGLLLLLRHHHLGRQRVGVHVVEHRLEHLGIHALGLDVAADAAAGHLLFRRGEELRLEHRRPRRQQPPVHVVRRLLPAGADVDGHVRPLLRPEKVSQVLAQVGRRHDDGVRRRRRSCVAAIVGCGVLRPLFSDGDVAPDGELVVLETPRRLEILPPDEVLEPSAARRRAAGEEELLFLAAVLGHRVAGRVEHGERELDGVVAGIALAAAMVFLVELEEERHVAAACPPAAVGERMRHPWPAASKARDAADCRIGVFLDAAHPSMVRSWKPVTRRRTMAEAERVHRRAAAAVIWPAIAMACRIADNQMDGAAAVWLRFCHMCCLPACPTLPSQFRHHERRLVLPRPADARHGDDHLPPLPRRPPPASSASTIVWREVTGQHRLTIDGCAPCRTKPWDWHVTSRPFEAAGYTWRITYFPNGDSWVHDDHVSLYLDYAAGTNRRPTTEVLAFRFSPLDRAGNPVPELSRGKEMCIFCGAEAGRRKGFHDFARWADLQAAEWAEDNGGGGGETAEAAAARVAVPPPDLHEHLNSLLWKKQGTDVTIHVVSGGGGKEETAAATFDAHAWLLAARSPVFEAELLAAAREKPSGRRRLEIRGVDPGVFKAMLHFMYTDALPEPEPETTTAAAVAMAQGLLAAAHRYELDRLKLLCEAMLLRRVDVGTAASSLSVAEAHGCRALKAACEEFIARPGNLRAVMETEGFQKIKADCPAVLIEFALKQLASE >Et_8B_059278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16038405:16045074:-1 gene:Et_8B_059278 transcript:Et_8B_059278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMLPETNAAAEAEVLLDAWDFKGRPAARSTTGRWGAAAMILVAELNERLTTLGIAVNLVTYLTATMHVGNAEAANVVTNFMGTSFMLCLLGGFVADSFLGRYLTIAIFTAVQASGVTILTISTAAPGLRPPPCSGAGACARATGAQLGVLYLALYLTALGTGGLKSSVSGFGSDQFDETDRGEKQQMMRFFNWFFFFISLGSLLAVTVLVYVQDNLGRPWGYGACAAAIALGLVVFLAGTRRYRFKKLAGSPLTQIAAVVVAAWRKRRLELPADPSMLYDIDVGKVAAAEEGSTKKSKLKQRLPHTEQFRFLDHAAINEDPAAEPSKWRLATLTDVEEVKTVVRMLPIWATTIMFWTVYAQMTTFSVSQATTMDRHIGSSFQIPAGSLTVFFVGSILLTVPVYDRLVVPVARRLNGNPHGLTPLQRIGVGLALSVVAMAAAALTEIRRLGVARSHNVAAGEVVPMSVFWLIPQFFLVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSALVAAVHKVTGDTKPWIADDLNKGRLDYFYWLLAAICLANMLVYFVAARWYKYKAGRPGADGSVNDDAEPCLH >Et_2B_020331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19086453:19087407:1 gene:Et_2B_020331 transcript:Et_2B_020331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARHHQDALWAKLQELELQLAAYKLLRGEDASEGRALWAPEATCRGRQYDAYMRRRDAKREAKTRVAGGGNKPMSPGALRCVVRDAQEVKKKTPPSVSSSIPRSRTVTGSPARHHQRRSSVADLGDAAATPRPFLRRGTGTGGAARPSSVDVPSTPTRLERGHAHSRSVSELPFDTARKRWGSPERPAAMFAADDSHRDLSTKGLKKLLSFVRKGGGSKDTGKPVSGKGWSGAPACSLLDAPFDWDGHRFPMTRTVGISGS >Et_4B_037458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2013637:2016012:1 gene:Et_4B_037458 transcript:Et_4B_037458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGAASSAPAAKKAANRLVVEEATIDDNSICSIHPATLEKLSLFHGDAILLKGKRRRDTLCTVLPDEQCAEHALKINKAARSNLRVRLADVVSAHQCPNVGYGKRVHILPVDDTVEGLTGDLFEAYLKPYFVDAYRPVRKGDLFLVRGGMRSVEFKVMDIEPAGEYCIVAPDTEIFCDGEPVKREDEEKLDEVGYDDVGGMRKQLGQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSRMAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKRDKTNGEVEKRIVSQLLTLMDGLKARAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVNLEVVARDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAGILYSMAITNDHLKTALAGTNPSGLRETVVEVPNVSWNDIGGLEGVKRELQETVQYPVEHPDMFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGGSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDSALLRPGRLDQLIYIPLPDEASRLQIFKACLRKSPVAKDVDLGALAKFTAGFSGADITEICQRACKYAIREDIEKDIERQRKAKESSEDMEAAHFEESMKFARRSVSDADVRKYQAFAQTLQQSRGLGSEFRFPAKSQAAEPASTAIDADEDDLYN >Et_6B_048285.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:9714255:9714931:1 gene:Et_6B_048285 transcript:Et_6B_048285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSQPRFPILLVAAIFLASRGAVTAAAFSAAGAGDAAVHTLRRVEEDAGWFVHGVEDAAAYPERRALYGGGSINYGALTASKAACYGPCPARGQPYTNRGCQAIFQCRGGV >Et_7B_055786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4540989:4541721:-1 gene:Et_7B_055786 transcript:Et_7B_055786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVGQQLYALNPRRAHLQCSFEVMSRSPRLSRRAEGWSVESVPAPMPFHKHELVTAYAVHPDGRTIFAAQGSEGQRDTEGRHVVLRHPELGVDVARRLPFHGRGHYVDELDAWVGLRRDGFLCSCAVPSRGGGAAAAMPEWRLGRKTVFREEPERHVGTPGATLTCMGHGRFCLVECASRQGVAKVDAVDGAVDGCVLHVTVLLGLKYDKRGELQTTTQHHARYHVSRYNRSFPAQAFWM >Et_5A_042624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22767422:22769987:1 gene:Et_5A_042624 transcript:Et_5A_042624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPSLMDDHVEEILLRFPPEDPGSLLNAALVSKTWRRIVSGPGFNRRFSEFHRQRASPPPVLGFFCGIATPSDTYSDPGETGFMPLLPSFCRLPHAFMPSWRAVNARHGRVLFYDKHDMVLVKPKSVRLHLIVWNPITGEVWRLPLAPISTPMDRLELKQGWSAALLCDHADGSFQVALLATDEGVTSACVYSSEQHAWGVPISAQLHPFLRLMRGPNVLIGNALYFKCQNSTILEYDMSKQDLSLISLPAEGRRKSIALMTAEEGGLGFAVVLNSELYTWSREVGLDGDGRWAQQRVYSLHNLLPSSQLSHRRVTARVVAAENACSFVFITTCIGIFIIDLKFGRVRKIYELSYDRDILAIVPYASFCTPVTCLTPHEAKFFGSGLYK >Et_2B_022549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25903787:25905337:-1 gene:Et_2B_022549 transcript:Et_2B_022549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASSCCGSEKVEQGCVNSSSMSSTWRIFSYKELHAATNGFSEENKLGEGGFGSVYWGKTSDGLQIAVKKLKATNNSKAEMEFAVEVEVLARVRHKNLLGLRGYCAGAEQRMIVYDYMPNLSLLSHLHGQFAGEVRLDWKRRINVAIGSAEGLVYLHHEVTPHIIHRDIKASNVLLDSDFAPLVADFGFAKLVPEGVSHMTTRVKGTLGYLAPEYAMWGKVSGACDVYSFGILLLELVSGRKPIERLPSGAKRTITEWAEPLIARGRLGDLVDPRLRGSFDAAQLARVVECAALCVQGEPDRRPDMRTVVRVLRGDADAAAPAGKPVRMESVKYSDRLMEMDKSSSYYGEAENGGDEEEEEDEDIDDDEEVEEYSLMDDKSSMNFGAFGAMPVQTMHDPYAKRFSGNANNAIKI >Et_1A_009092.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28944346:28944705:1 gene:Et_1A_009092 transcript:Et_1A_009092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNGKTDEEKAEGTKQSFAVAATLEGAMRECSKGKPFFGGDNAGYIDIALGGFVAWVHAIEKLYGLKLFDAAKTPLLAAWLEHFCALDAAEAVMPDVERLVELAKMRQAQAAAAAQGN >Et_7B_054009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14108904:14110412:-1 gene:Et_7B_054009 transcript:Et_7B_054009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGETDGQLAAAAAPSPRAETIAAAHAHLSAGSEDDDDCDDLYGDVNVGFLPLPPLSPSPAPTSPPKTPSPGPSPSPFPPPQRAPASDPPPVQEPEPQRKPSAPPQYQPPPPRPAPPPPAPARNQMSQRAPRGGGDAASSASPPGSAVYISELPWWATDAEVEAALAAHTLRGSLHFYADNPAAAASAAAALHGRAFHGRHCVASLSRPAALNRLGDDSYPEPVQAAPNPFRGRGSLGLGRGAGNATPVRGNVGPVLGDRPAPAPRPPPPMDPRPRGPSFGGPVVGGGGYGGFQALGQFNSGMGGGMMPPAVAPHVNSAFLAAGGMGMGGPGMWHDQAMAGGLWDAQMPWNFRGCQMPWQQQAPPMQVQHADGEYGRGRGMRRGRPGRSDERGIGNGRGYQERRQSDHDGGDCYRDHDREERGRRRERAPDKEREPDRHWDDGDRRRGDKRRYQEYTENDNVDRRAGTRARSQSRDDDYDDHLRKRR >Et_3A_023391.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24991418:24991921:1 gene:Et_3A_023391 transcript:Et_3A_023391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDEAAPAWRGAVRAVAAGPSPDAAWALLGDFCSLHRWVPSLATCRLADGAAGRPGCVRYCAGPVNKAASGSGAEEAEAGWSRERLVAIDHAARWYSYEVVASNKGFGRYAATVRVEPDPAGCAVAWSFQADPVQGWTLDGFVAFLDKLARAVASRLEEEVAAKKG >Et_2B_021653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4021410:4022352:1 gene:Et_2B_021653 transcript:Et_2B_021653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDTLPTAAELPQGVRLQPVASNLHVRLSLGGTPPVKKLFITYNGAAFYARTELPSRMPNLEALSICSRTEAVNTPMENSKFIHLKFLHIILGGRDYDFLSLVSFFDASPSLETFRLTVSHCLSCNDIVIPTHEERISIFLDPLDLRTVPEHLHDKLKLVQIINFSSAKSLIELTCHILQSTRSLERLTLDTTQGLARCSVNKYGKCLLMRKDALVEANRELLAVQTYIKPNLPPTVELNALEPCPLPC >Et_8B_059198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15091264:15097681:-1 gene:Et_8B_059198 transcript:Et_8B_059198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCLRRGLSLVRQNSPRSLPQAPLRPARLLLRHLCAATMGEGSAAGKEAKGKGKSKAPAPVSALVVKRDDPYLETVTPKRVRMFEEIQARQALERLNIGGQPIKVTLPDGAVKEGKKLITTPMDIAKEISTGLAASCLIAQVNGVLWDMGRPLEGDCELKLFKFDSNEGRDTFWHSSAHILGESLERAYGCKLCIGPCTTRGEGFYYDAYYNDLTLNEEHFGLIESQAQKAVAEKQPFERIEVSRAEALEMFAENKFKVEIINELPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAFSCQKASSSYWRGKSDRESLQRVYGISFPDAKRLKEYKHFLEEAKKRDHRLLGQSQELFFFHPLSPGSCFFLPHGARIYNKLMDFLRQQYRERGYQEVLSPNIYNMQLWETSGHAANYKENMFVFEIEKQEFGLKPMNCPGHCLMFDNRVRSYRELPLRMADFGVLHRNELSGALTGLTRDDAHIFCRENQIKDEVKGVLEFINYVYEIFGFKYELELSTRPEKYLGDIETWNKAEQQLTEALNEFGKPWQINEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPLRFKLSYSAEDEAKVERPVMIHRAILGSVERMFAILLEHYNGKWPLWLSPRQAIVCSISSNTVDYAKQVHARLHDAGFYVDIDMTDRTIQKKVREAQLAQFNYILVVGAQEAETGEVSVRVRDGADHATMSIDAFIARLKEETAAFK >Et_9A_062535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23900372:23913152:1 gene:Et_9A_062535 transcript:Et_9A_062535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSKPRTMAAVSWLLLTFLAAAGVLQAHAQPDSIGFISIDCGLPGPASYVDDNTKLVYVPDAAFTGAGSNYNISPGYMMPQLSKRFYNVRSFPDGVRNCYTLRSLAMGLRYLVRASFKYANYDGLNRLPVFDAYIGVNFWSVVNISRPEDEVILEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLVKSSLYPQVNATQGLRLFARINLGPADPTALVRYPDDPYDRIWNPLLDTTNWTTMSTTERVENYNTDHFDAPSTVMQTAVTPRNASNNIVLIWHPKPQPMDPTPAYLIVMHFSELQLLPGGAVREFYINHNGMLFSRGYKPPYLFSGATYNINPRRGYDPYNITLNATANSTLPPIINALEIFTVMPTTNIGTDSSDVSAITAIRAKYRVQKNWMGDPCMPNNYSWDGLTCVYTDSSHPRISGLNLSFSGLSGDISSSFGDLMAVQNLSHNNLTGSIPDAFSHLPSLVVLDLSDNQLSGSIPPGLLKRIQDGSLNLRYGENPNLCANKSSCQTQNMKGKNKLAISIAISVVLVSIITSVVVLLICLMRRKKKGSMTNNSANPQNETMNQMPPGDAHTHSSLELENRRFTYGELEAITNGFQRVLGRGGFGYVFYGILEDGTQVAVKLRSETSNQGVKEFLAEAQILARIHHKNLVSMVGYCKDEQCMALVYEYMSEGTLQEHIEVKGCSGRHLTWRQRLRIAYESAQGLEYLHRGCNPPLIHRDVKATNILLNENLEAKIADFGLSKAFNRYSEFVSTNMLVGTPGYVDPEYQATMQLTTKSDVYSFGVVLLELVTGRPPIIRDREPTTIIQWVKQRLAHGNIEGILDASMHDNYDVNGVWKVADIALKCTAQMSAQRPAMTDVAQILARIHHKNLVYMIGYCNDGQCMSLVYEYMPEGTLQEHIGGLEYLHRGCNPPLIHRDVKATNILLNENLEAKIADFGLSKAFDRKNNYVSTMMLVDCLELEEDHAGGDTKVAFYTGSSSGPNSGYNGSRRTTDGHSIDMSQSSSAFEMEHNYGRVTTMGSGFSHKNITGGCNPPLIHRDVKATNILLNENLEAKIADFATMQLTTKSDVYSFGVVLLELITGRPAILRDPEPTNIIQWARQHLARGNTEGVMDAHMGGSYNLNSVWKVADIAFKCTAQTSAQRPTMTELVAQLQECLELEENRTGGDTNKSLLHLEQQRVQLWLRCERRRYPFRWHQPEQHCI >Et_6A_046917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22351693:22355065:1 gene:Et_6A_046917 transcript:Et_6A_046917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRGTKSKAAPMAKAEAAAGVADGEKPPPAKHRMPTDHVRWILAQKPMAPPPRYAALKERNPDLTPRPEEAADEDLKRLYFLAKAFYAMEERMPKTQAWVREEMEAKGYVEVSDEWMKQKAAVHAVLDREWPKIQAKLEAIVLKDMEEETAQGDADENYCYEEDEDYNYDQSRFPRAALGFVGHPPKADHFCSDEGSRHQEQGRPEGEGCWRHGRRRDAGEALHADGHVRWILAQKPMAPPPRYAALKRSNPDLTPRPGEELDDDKRALYFVARAFYEMEETFPKTQEWVREEMRTKGYVEVSDEWLKQRAAGDELIDRVAQDPSQTRRHQAADRPG >Et_2A_017504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3560180:3565337:1 gene:Et_2A_017504 transcript:Et_2A_017504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKEVVMGRERDQFPVGMRVLAVDDDPVCLKVLETLLRRCQYHVTTTNQAITALKLLREHRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKSVMKGITHGACDYLIKPVRIEELRNIWQHVVRRKFSSRERANLDIYKEPPSADSCHGQNQIISRLSDQSGRISKKRKELHSEEEDDGEENDFQEGDEPSATKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVEKLTRENVASHLQKYRLYLKRLSAVASQQASIVAAFGGRDPSFLHMGAFEGLQSYQHFAPSALPSFDPHGLLSRSGAATFGVHDLASAKIVQDVTNNGILGHCAGDANKFQLVSLQENQANLAQGSTASLGLPQLQQKWVHQENNDLSTVFSGSALINTMSDTLQRVTSTPLPQQELLECTQAKITVQASLRMPSLSSELVERTVGVSGTLQNSSMSQQGALPINDGFSADPFDSTSAAKLDTIFVPSQSMPVCASDSLPATNNSKCAASCSGSTMLLPPDTRRHSNYLQFGIASNSRHEMDGMKQDRLHNQGLSSGSFNHNFGASMTEQAYATMSSLIPQMKVHTVTSEDKLKQKTIYDLDISKLHGGLNSSSCNFDGLLNSIIKAEKDDISFTDNDLGCDLFPLDASSVAAAVSCISRRTIPGNSG >Et_6A_048175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8696593:8700763:1 gene:Et_6A_048175 transcript:Et_6A_048175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVTVPARELSCSPDSFLALTMAEAVLLALTKIGNVLADQTANVLLEKLSEKVDNLRNLDEKIEKMRMQLGIMSNVIRQIGTVYLTDEVVRGWIREVRRVAYRVEDVMDKYSYHSLQMAEEWFLKKYFIKGSHYVLVFSQIANEVVKLEKEIQQVVELKEQWLQPSQLVPDQLTEMERQRSQDSFPQLVKDEDLVGIEGNRRMLTEWLYSDELVSTVITVCGMGGLGKSTLVTNVYEREKINFTAHAWMVVSQTYTMDALLRKLLRKICSAEQQLLANIDRMDVFDLKEEIKKRLESRKCLIVLDDVWNQEVYFQMHDALQNVNGSRIIITTRQNHVAALASPTHRLDLQPLSSTDAFDLFCRRAFYSTKDHECPKDLVTVATSMVNRCQGLPLAIVSIGSLLSSRPQTQYIWNQTYKQLRSELSKSDNVRAILNLSYHHLSGELRNCFLYCSLFPEDYPISRESLVRLWVAEGFVTSNGKNTPEEVAEGNLMDLIQRNMLVIVENDEQGRVSTCTMHDVVRDLALDVAKEERFGTASDYGTMILMDKDVRRLSSYGWKDKTALKLKLPRLRTLVSLATISSSPDMLSSILSESNYLTVLELQDSEVTEVPASIGALFNLRYLGLRRTKVKALPDSVEKLYNLQTLDIKQTKIEKLPRGITKVKNLRHLLADRYDDEKQSAFRYFVGMQAPKDLSNLEELQTLETVEASKDLAEQLKKLTRLRSVWVDNISATDCANLFSTLSNMPLLSSLLLSARDEDEALCFDALKPCSTELHKLIIRGRWGKGTLECPIFRGYGTHLKYLALSYCHVDEDPLEMLAPYLPNLTYLRLNNMRSANALVVSAGSFPYLKILVLKQMPSVNQLVINSGALPCLEGLYIVSLTKLNKVPQGIVSLRSLKKLWLLNLHKDFIAQWHQNGTHQKMQHVPEIRV >Et_2A_018734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6200343:6204865:1 gene:Et_2A_018734 transcript:Et_2A_018734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHGHASGGKRKRGRKPKQPPAAAPDTNNHHHAGPSALANGNAASDSPDPEPASSSPAPRGRGRKSRRVRNEAPSDVDAVAPPRRGGSKSKSKGLPNGGAEAPAAAEPSRREQALKVVEVPAAVDPLRWEQVVKVMPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHHTQVKLKKRGSDTKYLATVLAIGNECDMVTSGLFVSAMLTVEDDEFWEGVSPVEFGPLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQSLKHDDAENIGYVIPTPVIKHFIEDYEKSGEYTGFPILGVEWQKMENPDLRKAMGMKADQKGVRIRRVEPTAPESGCLKPSDIILSFDGIDIANDGTVPFRHGERIGFSYLVSQKYTGEKARVKVLRNSKILEFNIKLATHKRLIPAHIKGRPPSYYIVAGFVFTSVSVPYLRSEYGKDYEYDAPVKLLDKHMHAMAQSPDEQIVVVSQVLVADINIGYEEIVNIQVLAFNGTPVKNLKHLAAMVEQCNEEFMKFDLDYDQVVVLETKTAKDATQDILTTHCIPSAMSEDLKT >Et_9A_061810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16784363:16788719:1 gene:Et_9A_061810 transcript:Et_9A_061810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTITVSSRICAHRHAISDELSGNKISQKACIAIRTLSEQKPEKHKDTRSQVVSVFAVNLEAILEEHDRKKQQQARSLHLPLYDRADAVLATRGIIHDLAPVVEHKKPTDHRHEKDRGRPSYQCTDQPAFTPPLPPMLAAVGRRLFLLRPGALSTAFSTGKATRAAAPTISYLISSCGLSPAAAARAARSVRIASPGAAAQADTILALLRRYGFSDADISSAVRQLPTLLAANPAKTLQAKFDFFASVGIEAPLLQRLVLISPIILFRSVHDHLEPLFASLREVLGSDARVVATLSKHPYAIRCQPKSSLFRIIPLLRDVHGLSADDVAKLISEHPIVILQTPDRINEIVETARIAGVTPSDPMFFHVLGTLSKMKGPTLESKITLYQRLGFTKDAINQMIRRYPLVVAPSEKKITNMVGFLTDKAGLTRDDIVVYPTLMVRCLESHSRRCAVLDVLKRAGKQQTEYRLPRLLVCTMEKFLDVYVRPHKEEVPDVLRAMNGEIPFQGLRFAGEETNTA >Et_3A_027147.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:34532562:34533101:1 gene:Et_3A_027147 transcript:Et_3A_027147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQKIAIRRIESEEARQVCFSKRRAGLFNKASELSVLCGAHVAAVVFSPAGKAFSFGHPSVEALVDRFLILAADDGLGLGPTPISTSTTSQQPAADVVCELNREYGQMLALLDQEKARRERLDKAAPWIYDDADQVGSMGPSHLLALRSALAQVQLDALLAGQRRMHIVGGHHHCFFN >Et_1B_012804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35202401:35203628:1 gene:Et_1B_012804 transcript:Et_1B_012804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSCEKPKMNYRKGLWSPEEDQRLRDYILKHGLGCWSAVPAKAGLQRNGKSCRLRWINYLRPGLKRGMFSQEEEDVVINLQAKLGNKWSQIAMHLPGRTDNEVKNYWNSYLKKRVMQAQGSNPKSPPELTSMSTTEPSSMHHHVKNSSGSTTTSHDHDANISNGGSHAMSAPAPIAHQPFDHQVTHQQSKNFIFSDWAPAPESYSVSTHWPASTASSGNVTPSHGGAFGDQMSGSYGALPPHQDHQGAAAHGAATGIAGAGYFDLLNMGDIYGGFSSTNDDLLF >Et_6A_046148.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4530174:4530371:-1 gene:Et_6A_046148 transcript:Et_6A_046148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCWCSTWCCGSTSRTSGRWGACWRRTALVPSLPCGRTASCFEFDNLDHMHKTCSTFCLSHEIL >Et_2A_016466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2539644:2556774:-1 gene:Et_2A_016466 transcript:Et_2A_016466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKMKYLVEVEAATEAAGPAYRNVKAKDGLLRPRPGLNSCWDIFRTSVEKYPNNPMLGRRRIVDGKAGEYVWMTYKEVYDVVMKLAASISKSGVEQGGRCGIFGANCPEWIISMEACNALGVCCVPLYDTLGAGAVEFIICHAEVQIAFVEEKKIAELLKTCHATSKYLKTIVSFGGVTNGQKEEASNHGLSIFSWEEFLIMGGEHQLDLPEKKISDICTIMYTSGTTGDPKGVMISNECLLINIAGSDSVIRSVGEIFDQNDVYLSYLPLAHIFDRMFEEVFISHGSKIGFWRGDVRLLVDDIAELRPTIFCAVPRVLDRIYSGLTAKISSGGILKKTLFNLAYKLKLDSMRKGIKHEKAAPFFDKLVFSKVKERLGGKLRIIVSGGAPLAAPVEEFLRVVTCAHVVQGYGLTETGAGSFASIPNEISMIGTVGPPVPHMDVRLESVPEMGYDALSSISRGEICVRGNVLFSGYFKREDLTQEVMIDGWFHTGDVGEWQPNGSMKIIDRKKNIFKLSQGEYVAVENLENVYGVLQEVDSIWVYGNSFESCLVAVVNPNQQELERWAAQNGITGSFTELCENSRAKEHILAELTKIAKEKKLKGFEVIRAIYLDPVPFDMERDLITPTYKKKRAQMLKYYQKMKYLVEVETETETAGPAYRNVLAKDGLLQPHPGLDSCWDIFRTAVEKYPNNPMLGRRRVVDGKAGEYTWMTYNEVYDVVMKLAASVSKTRLGQGECCGIYGANCPEWIISMEACNALGVCCVPLYDSLAIISFGEVTKDHKEEAKNHGISIFSWEEFLVKGGSHHFDLPEKKKSDICTIMYTSGTTGDPKGVMISNESLLVNIVGPDSIIQCVGEVFDENDVYMSYLPLAHVFDRMFEEVFIYHGSKIGFWRGDVRLLVDDIAALRPTVFCAVPRVLDRIYSGLNAKISSGGILKKALFNFAYKMKLDSMRRGIKHEQAAPFFDKLVFSQVKERLGGRLRVIISGGAPLAVPVEEFLRVVTCAYVVQGYGLTETCAGSIVSIPNDISMLGTVGPPVPHIDVRLESVPEMGYDALSSIPRGEICIRGTVLFSGYYKREDLVQEVMVDGWFHTGDIGEWQPNGSLKVIDRKKNIFKLSQGEYVAVENLENIYGILPEIDSVWVYGNSFESSLIAVVNPNQQELERWAEQNGITGSFAELCENLRAKEHILAELAKIAKEKKLKGFEFVKAVHLEPVPFDMERDLITPTYKKKRPQMLKYYQVAIDTLYKDI >Et_5A_040547.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:7547415:7547642:1 gene:Et_5A_040547 transcript:Et_5A_040547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSPLGCLFRQIKAMLAEHFDSVDVHVCPRECNRVADSMVSYGSLALASGSLFMSQAPEFVVSLVSGDLPRAEC >Et_4B_036719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1098867:1104529:1 gene:Et_4B_036719 transcript:Et_4B_036719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSTPRPSLILPRASSHAHSQSSAVGPISDRAVSRRRRGDFVFVVNPSDAVIAVGGDGTLHEVVNGFFCEGSPVHALDLGPDHSTTLGLIPLGTGSDFARTFGWTNDPRDAIDRIVRGRKSKLDIGMMEGPNRDPHFFVNVADVHLSAKAGYFASMYKRFGNLCYVFGALRGFWGHNNRDLRIKVNGGEWKTVHEVTALCIGNAKYFGGGMKITPTADPFSGSLEVVILQDFKWYDFLLKLHRLAQTIEVMEVKANGDIFVQSDGEHFGFLPTKFSLLPGAKFKIEYLIQTSEAIYGKQVR >Et_8B_059068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13025084:13027983:-1 gene:Et_8B_059068 transcript:Et_8B_059068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAELLDPAAPAAAAASSSAAVAEVNTWLASLVAEAGGAAGAGGRGGAGGGAAAELSLGPDPTPRGVAYLRALAAASQARCRAAGIAAAGLRAQAAEYRAEAARLREALERAGLARDALPPPAAVAAGAVSAVANLLAIRDTEMSSFVVASADLSLRRAEVEEKRDKVHKESKALLDYTRKAITKLTELKKMLEKFKNDVEKQQAEQMTDWQTKLVMMDSKERQYILQVSNYKAMLNRVGYTPEINHGVLMEMAEHKKDLERKTKPIADTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALTTPGL >Et_6B_048738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13083239:13088921:-1 gene:Et_6B_048738 transcript:Et_6B_048738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRAVARRLLWPPLRSPRTRWLSSDAAPEPRRRVAALWGNGDYGRLGLGALESQWSPTACPFFLARSDDPPASLACGGAHTLFLTQSGRVLATGLNDFGQLGIGSSVTHTLEPIEVSGIQEKVVEIAAGNHHSCAVTEDGKLFVWGRNSSGQLGLGKGAGKVVSTPTKVDYLADFRVKVAALGSEHSIVVTEEGEVLSWGAAGAGRLGHGHKSGFLGFSLTSSEYTPRLIKNLDGIKIKRIAAGMLQSACIDEKGTLFIFGQKTEKVGFGRSSEAFRPIIVEELPFSKEVSCGGYHTCVVTDSGDLYSWGTNENGCLGLGGTDMVRSPEILKSSLFKLPVSKVSCGWKHTAVISGDDIYTWGWGGANGTFFEDGHSSGGQLGHGNDVDYFEPMMVTFGKNAKAVHVSCGFNHTGAIYEFTQN >Et_2A_016707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27436126:27438063:1 gene:Et_2A_016707 transcript:Et_2A_016707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHPQAAGRAAARVAEHGGRGWLFAGLAAATSQITSFGRAGDATAARAVFDAMPRRDAVAWNAMLTAYARAGQPRDALELFVHAPAPDAFSLTAALAAAADLRCPASGAQLHGRLLRLGLRAPLPVGNALVAMYAKCALADEAARAFHEMRERNALSWCSLLHAYVASGRMRLAHDLFDEMPNRSNVAWNTLLMGYSRSGNANQCLILFNKMRMSGLICDDATLCILVDACAELPHPSTGFAIHKIAVQSGWSVVPEIRTVVSWNSLIDAHMKLGYTDEAAALFQGVPETNIISWTAMIGGLARNGCTDEALTLFVEMLAHGHIHPDDFTFGVVLHACATAASLASGKMIHGRVFHSGFATYLYVVNSLIDMYAKCGDVDGARNMFNGIIDKDLISWNTMLFGFAINGWAKEALLMYESMKSHDVCPDEVTFTVLLTACSHGGLLGQGKKLFESMVSAYGIKPKSEHLACVLDMYARSGNFVKAIDVLIHHSETVQMHGNDTQEALLSACSSEHLNIRLGRKVGEGMVANRPASDAGYVMLSNLFSAAGHWNEAERVRRAMTEHGVKKYPGCSWIEVKGAVKVFVSGAQDLSHTGGFLCAVIRLLDGEMRNASMPRDV >Et_5A_042724.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:320665:322344:-1 gene:Et_5A_042724 transcript:Et_5A_042724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGAIIGASTVLVVAVVAAVCVVSFKGNSSSESKGNGELSMSVKSVKAFCQPTDYQQTCEAELSQAAGNASSASDLAKAIFAVTSEKISKAVSESATLEELKNDKRTSGALSNCKELLEYAIDDLKTSFDKLGGFEMTNFHKAVDDLKTWLSAALTYQGTCLDGFTNSTNTEASDKMQKALNASRELTEDILAVVDQFSETLGGLSIGRRLLDDQNGMGTPEWASRRARLLVDFKPKVTVAADGSGDFKTITEALAKIPPKGTEMYVVYIKAGTYKEYVSVGRPLTNVAFIGDGMDRTIITGNKNFKMNLTTKDTATMEAIGNGFFMKGVRVENTAGADNHQAVALRVQSDQAVFYQCYFDGYQDTLYTHAQRQFFRDCTVTGTIDFIFGNSQVVLQNCLIQPRKPMANQVNIITAQGRREKRSVGGTVLHNCTIEPHPDFKADAGKIATYLARPWKEYSRTLFIQNDIGGFIDPKGWLEWAGTFGLETLFYSEVDNRGPGADMSKRVKWGGIKTVTYEEAQKEFTVETFIQGQQFIPKFGVPFIPGLLPQSQQGRTH >Et_10B_003698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5038625:5043447:1 gene:Et_10B_003698 transcript:Et_10B_003698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFFAYALMEGQDPFLEYVMQILDLKKIENCLVSGISDGDRDKLTTAELALGTYSVMVYDQPLTGSDPVTTYDLVNTIRSVCKIQQSSAVMALNHLSQEEFDLFDRIILLGEGHVLYQGPRQDAVTYFAQLGYMKPPHVESWEFLQDIAAENGMEYLLPRSTPRDLEELVECYYSDHYLDIIRIIGMGNEFSTYWVESEPGIGLSLTKTSMCNSNATSDEHQRLGQYLTDSNTIRFFPLYTMPVAISRKENEPQWEKLKRPYVQPWRASTRTLIQRQLRILKQLHVLSMLRLIQVCILGIFAGTLFYKLGGHNNLQNMNSVKALVFVATMSILLINMPQLPLYMLQRPIFYKHRDQRFFRTSSYVVAHCVTNLPQAFVEAFLYSVCVYFLAGLTRENNGAVFFDYLILMFLVAYFGSSIFFFLSAVASVPEVASALAGLIVSIFLLFSGFVIYPSNIPHY >Et_1B_013766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15693676:15697991:-1 gene:Et_1B_013766 transcript:Et_1B_013766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQPPPLFQPLPPHLLRHLRDRELTTPLLDPLIRSASSSATPGLSFSLFLLLLRAALRPSHLTFPFLARAAARLACPSLGAALHAHPLRRGLLPADLHIANSLVHMYAACALPDHARRVFDEIPRPNLVSWNALLDGYAKCRDLPAARQVFERMPRRDVVSWSAMIDGCVKCGEHSEALAVFEKMEDAAAASTEGGRVRANDVTMVSVLGACAHLGDLERGRQVHRCLQERGFLMNLRLATSLVDMYAKCGAIREAMEVFRAVPVESTDVLIWNAMIGGLAVHGRSIESLDIFREMQQAEVVPDEITYLCLLSACVHGGLVHEAWKFFRSLESQGLRPHVEHYACLVDVLGRAGRLEEAYSVVRSMPMKPSVSVLGALLNACHLHGWVELGEVVGRQLVQLQPDHDGRYIGLSNIYAVAKRWQEAKKARKVMEDRGVKKVPGFSEIDVGEGLCRFIAQDKTHPGSGEIYALLNLMAIEMKRKDDGMLWRSEFTALNDFLHHLQHLTHLWSVPGMAHQALPRVEYGLKLLGVRGVGFHPVHKILLAPWPVPVQRSPPGKELVKHHTVAPDVILGAEASCVDIFRYFNPRAVSSATLSLIAHDAGRRDLEERWSARLPLGMNS >Et_2B_019422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28809078:28809806:1 gene:Et_2B_019422 transcript:Et_2B_019422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYDAYWFHRLVLLPAPAPAREPAEQLAPPERESEASSSPTAGLRHRRTRSDEATAAAFQGLEPLRVPNHRARLETILSGKDGMVAAPQPLPERAGRRRRQRRGRSMSELEFEEVKGLQDLGFTFSDAEVDAELASIVPGLRRKRSEEMEPPLATASAPPAAPASALVEAGDHDAAAAAPRRPYLSEAWDDEEEEVRAMLRNWRIPEAVDGADLKEHLRMWAHTVASAVR >Et_5A_040873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13860732:13867938:-1 gene:Et_5A_040873 transcript:Et_5A_040873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIVGPLVGKLQELALNETKALVAVNGDIRSLRERLMWMQAFLRHADPRRRDTSNELIRVWIKQTRDAAFDAEDAIDRYYLKVDLSRYPGWTHSIIMFLAGFTTQVSIRHELSRKIAEINIRLEDIINNKEKYKIDDAASNSAIVQWKPSTNISSAAINLDDVNIPVVKRKKEEDLEKAFHVTQKGHVVIFVEGESGVGKTTLVRQVYEKSTTKAHFRQQVWASFPPHLSSSNIIQIIYQKLQQKKTLSKKKDEDVEMSLKRMIKGKNFLLVIDGEVSNTDLRAILAALQDEKDSKLPEEKDSKGLANLPDEKEDSKAEVNLQEEKGSKVVVICIMDGSRSIGNITKDHIKLRRFDKPTTTMGLFMKMMRKGEKDEDQILTTEISLDNIKIDDILVENKLVIEETDKKLGLADVIHYITRGLPLAIVLLSGLVRTKEYPNEWKAVFKHLMSKQSKRLDSILTMCFDDLPHDLKSCFLYFAALPVNTLIETRKLVCMWMAEGFLTQKDGRTMERVGRIYLKELIARNLVKLVKNDDTNANDEFVSVHHEVHEFLQVEAQEANFVDIHNEEEKDGDDEGGADETIEDEGEQDNKQEGSDEEDKKNEEGMQDSSHEQEKDVVKPIIMPWSGLSRCWGQGVTTQDNNKNNEEGVQDANHEEEKDIVKPTIMGWSGLSECLGKGVITKHNNKSYVRRMLHYSQFLRVINLQGIDIGKDLPATIKNVAHLQYLGVTACLLEHIPSTVGKLQNLQTLDVRNTSVKQLPIEFWKIRTLRHVFGDCLILPNRVGDLKDLQTLETIQPDENNGWDSKTFERMKNLRTLKIWDFSDDDSANANALPVIMEGSNVLQYLETMELYSYAYSIPLKVFTSNQRRLSSLTLDGKLEMLPKEFKFRVPNLTFLSLENTMVTQDFIDKISKLPLTNLILDTKSYVDDQNKIVFRDGGFRSLTKLKLSDLADLTTVEITRFALQELKNLEIVWYPEELKIVVHDKQEFIKKIQDKDLFDHITIASKKTGQVLTSRSMKLVEDKKPFRRPLMTIDRTYPTFIVQWLVVHGLVVPMVFIGINISNAIHQTINKLQYRTIAQSNPNEQNVELNHNSHVKNFKQNMYVYKTLCSSTMQHLRCIKSLCRSEPKQLSYEQ >Et_6A_048030.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:485497:485934:-1 gene:Et_6A_048030 transcript:Et_6A_048030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQDLVVLVVCVIVGIAAAAVYNHRNRREEDAQPPVDAAAQMASIAVVLLDYVTYPYQNAITRASASLSGAGDDPSRETGLESTDQDCAICLGQFEDGDWCSVMPVCRHEFHRDCIANWLMAFNNTCPLCRAELQWSAVAEAMV >Et_2B_021449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29818198:29819209:1 gene:Et_2B_021449 transcript:Et_2B_021449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSLVLQVAVAALAVSLAAAAPSTSDDGTAVPSCAAKLVPCGAYLNSTSAPPATCCGPLKDAAFNETACMCAMLLNKAALQAFGVAPEQGMALAKRCGVTVDASVCSKYTDAGTGSGSATGGSSAGSSSSTGGSASTVTKPAANGGTKHRLSLMGASSLVGLSFILGMIMA >Et_5B_043115.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5183142:5185676:1 gene:Et_5B_043115 transcript:Et_5B_043115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSQKSCHGLHALAHTSGCSFHARKTPDPAAESSTPASRIDHPSRYRRSSDRTSSATSSLNATGENPAARMRRAHDAAVSRRSMDSDAGHETRSTMARPAPRSSASLSLLVLGKLSSSESMYAEKKRRPSPNTLRNAASDESPPSSSATRSASSSTTVTTRGSRRRSAAANASLRLAPGPAGATPRRELTQLTASAVVVSPAPRSASRSRSARSPAWCTARKVKGTPMSRANFSIRCPISRITRCAGAEADDTTVVRDRCGVSSAERVASASSSVGHCVQNVLLRSRMWKRCGSDGEDAAASRKDSRMAPLAATCPNDDHGLSSWNRSAVRRVESNDVFRSDAETRVRSVRGPDAVSRANRPCRK >Et_1B_010455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10689706:10690928:1 gene:Et_1B_010455 transcript:Et_1B_010455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLESQQGSSDDDDDDDDDGQENIIEQASDCAPRWSSLPTDLLASHVVPKLSFIDFLHLKSVCKEWRDLSTTIRCTKAWPLLMTPSYARPGGSTEGGSFDVFDPVSKNKYTLSVQVPGATVAQDGGNSSALALHCSRNGWVLVSRGRSSFFLVNPFKRGATVIILPPVRDVHLFKGISFCATPGSADFTVMLIEGDDENTVSARTWREGQDSWQQHADFECEVPFPVAIHSPLFLDGEWYCVARDGKLAAFDPNTTTMVPWRLLDGLEPIVTNSFATGAEGMYVHLVEWKGEVVEEEPVEMFRLDRRSRMAWSKVEEPEDGAVLLFWDRKQATARPSSSLAGEVGWNKVYEPAFTESEDGVWECVFYSLETKEYSNCRCDLFGLREPLNALWFQPNLDDFE >Et_3A_026165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:581179:582962:-1 gene:Et_3A_026165 transcript:Et_3A_026165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRQNPIIRTPNLSGTRYHNGLKRACNCIHQWRCSASTLGAAQTADMDVSGAFVALLLVSLLSLFILGLASRRKPSPSRGHGKKQLPPSPPGLPLLGHLHLLGSLPHRKLRSMAEAHGPVMLLRLGRVPTVVASSASAAQEAMKTRDLAFASRARVRMAERLLYGRDMVFAPYGEFWRQARRVCVLHLLSPPRVHSFRRARDREAAALLARVRRAGDVVDLGDLFVSYARGVISRAAFGDDDYEDGGGKLRKVFADFEGLLGAGTVGEFVPWLAWVDTLMGVHAKATRTFEALDGLLERVVEDHRKRRRGGRNVGDGDDDDHRDFVDVLLEEEAGGAVLFDTVAIKAIILDMFAASIDTTHTTLEWAMAELINHPEKMRRLQEEIRAAVVVAGDNNVTEDLLQQGNLPYLRCVIKETLRLHAPVPLLVPRETMEDTELLGYHVPARTRVVINAWAIGRDPSTWPRADEFVPERFADDTTDLSVLGQDFRFVPFGAGRRGCPGVGFALPSIELVLASLLYHFDWEMPAGGASRMDMSELYGLSVRLKATLHLVAKPWSA >Et_2A_018331.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23473023:23473559:1 gene:Et_2A_018331 transcript:Et_2A_018331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASSSAPSTSYPNLSFALAVATLSINAAVDVVSAIIKSTTSSSSGRRRDQASPAPSSSTSAPPSPPEKTPGAAVDMDVVLGLMGAAGPGASVGFDEAAALFDEEEATVEEARAAFAVFDRDGDGFIDAGELGSVLRSLGFVNAGAAECRRMIDAYDEDKDGTVGFQEFVNLMEGSH >Et_6A_046150.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4841110:4841178:-1 gene:Et_6A_046150 transcript:Et_6A_046150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHWTRQKHIEALQQWIDNHI >Et_1A_005059.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:24354514:24354870:1 gene:Et_1A_005059 transcript:Et_1A_005059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSDSTISSNLNSIGISLGSDSSSIRGSVVFLKNIELNRLVEVHVVDKKMTALEKEEKDMADEEELHKFILNNLCGEIMEEVMDLGNDRGDLIASKTKPKSKKHVRSRGTTSPNKSQ >Et_10A_001367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23754179:23756500:-1 gene:Et_10A_001367 transcript:Et_10A_001367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVVENDDGGNPALLGSCNDRAKQLHASPSGRLLTALVCEYLDWAQLSHTMKVYLPECNLPKDFWKNELKDFSNKSGAEGSRSAESGPMLLDVLEGYLKYENLSQTRMGGRRMMSSESDPGLNVEHRNMRRPTSSSVGSLPPSGRPISSSQTSDRRGGSSASGARKDEYSWRYDADDISEDVIRASTALENIQLDRKSRNLPTSWRHPGDSTE >Et_1A_007379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3429971:3433229:1 gene:Et_1A_007379 transcript:Et_1A_007379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESRSPPSGCAMFGIYSSMFRRRRSSSMSSITRVNGAPSESDADSEAGHAPAATKVVHAHRKAAVHEDSSLVRRPNGAVASAVPAPARAALPATERSRPSKAAEPATEYTGMAAELDKMILDHQKVKGTTQFMRATSGNMMLHRNLGNLNAGARSSVERGGAAAGSNERKTAAPNSNGYAFPSVGMGNIVRDAKPSATGELCRALSHRTDPEKLKEMGNEEYRQGHYAEAVALYDQAIIMDAKRPAYWSNKAAALAALGRLVEAVADCREAVRIDPAYDRAHHRLGGLYLRLGEPDKAIHHFKQSPKESTGADVSRAQSVKTRIAKCNDARKLRNWITVLQESQAAVSDGADCAPQVMALQAEALLRLQRHDEADAVFTGPSAPRFGVDESTKLFGTIGHAYVLIVRAQVDMAAGRFEDAVATAQTACQLDPSNREVANVHRRAKSAAAARLRGNDLFKAAKFAEACAAYGEGLEREAGNAVLLCNRAACHAKLGRYEKAVEDCSGALLVRPAYSKARLRRADCNVKLERWEASLRDYQVLVQELPENEDVKKALSEVEAKLKSQRNGGAADRFQH >Et_6B_048209.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:10689452:10693522:1 gene:Et_6B_048209 transcript:Et_6B_048209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSTFKLTNHPCFQVSSMFPVVLLTLLLSVLQQASSSFAQASETTSTNTTDRATLFAIKASLSNQQGAIASWNATTDFCRWQGVSCGLKHKDRVVALNLSSEGLAGTISPSIGNLTFLRTLDLSWNNLHGEIPSSVGRLTRLRHLDLSNNSFYEVNANLKNCTSLESIDLNSNMLTGEIPAWLGGLSSLKAIHLQNNNFSGIIPLSLTNLSALEEIYFKYNKLEGSVPEGLGRLHGLEFLALGGNHLSGTIPTTLFNLSSLTHLSITMNELYGMLPSDLGNRLPKLQYLLLGMNHFTGSIPPSLANATHIHSLDISLNNFTGNVHPGIGQLCPDFLSFDTNQLTATTAQDWKFVTFLTNCTRLRIFDLQDNMLGATLPSSVANLSSQLQFLYLGFNEISGKIPFGISNLVSLTSLQFSNNKLFGVVPDDIGRLNSLQALGLENNRLTGPIPSTLGNLTRILQLYTHNNMFEGSLPRSLGSLQGMLDATFNNNKLTGPLPIGIFNLSSLSETLDLSGNHFVGPLLPDVGSLKKLAKLKISRNNLSGLLPDALSNCDSMIELHLDSNSFDSSIPASITKMRALLFLNLTKNALSGAIPQEIGVMDGMKELYLSHNNLSGHIPERFENMTSLYRLDLSFNHLDGNVPTQGVFSNMTGLSLDGNMGLCGGIAELDLPLCLPKSKEPSKRNFHHIFRVTFPVATIFLLFSVVLIFISLKKKPRSQSTTLAGFHFIDDRHPRVSHVDLVQGTNGFHTSNLIGRGTYGSVYKCSLLLKNTLTTVAVKVFDLQQSGSSKSFTDECEALNKIRHRNLISVITCCSSSDSNQNDFKALVFEFMSNRSLNEWLHTDVRTSQQQEGLTLMERLNISVDVADALDYLHNNCEPPIVHCDLKPSNILLYQDLVAHVGDFGLAKILFDSASEQLINSKSTAGIRGTIGYVAPGNSEKKCIKYSVILYFEDRVFPAF >Et_7A_051675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22641154:22645435:1 gene:Et_7A_051675 transcript:Et_7A_051675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHNRITMLSSSETCHLGSSSNNPALDPNNATVDEQILLPNALENEGFPRYLPNSHEMGLPSGQQNTSLSLWESSGSSSMGRLADHDNFFQSKREHLAPPLSIGGPLSIDRRRHEGTSSLPSHNLNIDLNINQTDQFGPEDVDVAHSNVLSRTNTVSASRASTAERIPRHEFSFDAIGSSSRAADPFDGTAGQEFCSDSHRVTFKRKNIDGSHAESSANGSTRNRHHISNALPPASTARGSTSLTMPAAANYTVSYPPMEQLNQGSNTGTSPNLSDHYSLYSDPHEHGFVRSTRMRLSSNDYDQSLPGLLPEGSSRCSYQPTQQPSSFIPVQPRQISSSASVDSRPHLPAVTQFSHNLHRASSNGNFGSRIGASSSSDTTIHISSSQDPIRNLMRNDLPEPLLLGSLYNADSTNFLSAPGSRGNQQNSVFSSSSTVRAVINVGAQQVPGTISSEPSTTTTVRGSADMPRRSLVSSAIRSSSMALQQRGPSSASHEIRSHQPGSSSRAPQQHYIRGGPASADRQNYLDLQSFMQTIAASRDGSRTVSELRNVVDQIRQGRNARLEDLLLIDRSLIVRRANLIDRHRDMRLDVDNMSYEELLALGERIGYVNTGLTEEKIMTSLKQRKYVCIELEEPPTVVEPCCICQEDYVEGEDMGRLDCGHDFHTTCIKQWLVIKNLCPVCKKTALGT >Et_3A_025229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28031709:28034827:-1 gene:Et_3A_025229 transcript:Et_3A_025229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGLPGFHNAPASRAVVVAAALFSVTFGFRGRVYEKLQIWRLITSLFAFSSTPELIFGAALLYYFRVFERQIGSNKYAVFIVFSTMGSVLLQILILGYLKDPSLNPLTSGPYGLIFASYVPFFFDIPVSMKFRIFGLSLSDKSFVYLAGLQLLFSSGRRSIIPGVSGLLAGLLYRLNTCGIRRLKFPEFSTSLFSRIPWPFPSNSYQGLPTTETDRSIPSEPVRQTERTHSTTQNPAESSIATLVSMGFDRASAIQALALTNYDVNLATNILLEAQALQS >Et_2A_015122.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31085088:31085369:-1 gene:Et_2A_015122 transcript:Et_2A_015122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLRTRAPGAVALLLATCLVLAATLASARPLKQASEGECVHTVESPAGDIQTVVGSAEHDAAGDKGGHNKFMNIDMLGGIKDSGPSPGDGH >Et_3B_030109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30599331:30601857:1 gene:Et_3B_030109 transcript:Et_3B_030109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHRSATVTTPEAEQPPQQVQKVAAAEDGSPALLGGPRRTGLHLFVMNMRKVFKLDDLGAEVLGIAVPASLALTADPLASLVDTAFIGRLGSVEIAAVGVAIAVFNQVMKVCIYPLVSVTTSFVAEEDAMLSKGGGDAKVVVGEEEGKEPDRAAATTDPEKQQQSAEQVAPVKNGDPAVSGEASPPAELAAGGEGCAAAVVGRRKSGRKGRFVPSVTSALIVGAFLGLFQTAFLVAAGKPLLRLMGVKSGSPMMIPALRYLTLRALGAPAVLLSLAMQGVFRGFKDTRTPLYATVAGDLANIVLDPILIFGCRMGVVGAAIAHILSQYLITLIMLSKLVRKVDVVPPSLRCLEFRRFLGCGFLLLARVVAVTFCVTMAASLAARHGPTAMAAFQICSQVWLATSLLADGLAVAGQAMIASAFANKDHYKVVATTARVLQLGIVLGGALTLLLGLGMQFGAGVFTKDAAVIHTIHKAVPFVAGTQTLNTLAFVGVAAVSIPSLILLSAHGGFVGIWIALTIYMSVRAMASIWRMGAARGPWRFLRQ >Et_9A_063041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:944294:946797:1 gene:Et_9A_063041 transcript:Et_9A_063041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHSHASVRAHLASETGRAQPRRPPRLQILAPPRCLGARRNKLSASSSSLSSPPLSSPRNPTTSASSRHPPPLGRRREEGRKEGRKEGRKEAMSRRSLGMEVFDGVRFVRLRSIRRQGKYVAADVDGINVVLSGQRNTYNTVWSVDSTPGPEGHGPCVRLRSAYGRYLHATSDPVGIGPEGSVEVLQVLKDEEPLPPGMLWNVVGRGGGYIVRTCSGRYLRGNGKYLTFVRQVTAAPDNASCMMLWVIEPVPVKTRPPNILLDSRQLLTRKNRPPLSEEQVVRNIRYLQPMEDGSINEEEWETFPFGTNNLLQLRLTLGTKYGRAFRCTEFGVCIRAGLYGRLTPLLIDLPVGHDELDIVVVPHDTEVSDGLRFPDLDA >Et_6B_049413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4528267:4532114:1 gene:Et_6B_049413 transcript:Et_6B_049413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVPDGARRQRLLPSCERTAPPASPAPASASAPTGSGRTVVVGIRRDAASRELLTWALVKVANAGDRVVALHVAALHVAAAADGLLMGVDERSRAADSLSSVLAVYDGFCNLKQINLELKVCGGSSVRKALVKEAASCGAAQLILGVAKNSRSFGYLITLCITYFQLNPNPAFLFPDISFAVTPNIRSSSTSVAKYCAKRVPTCCSVLAVNNGKVVYHRDAGHATHHELYQGISTIQYAQVCNGHAVPETPRRSYRKLLSSMVGEKFWDEYGKGNQSPCRAITMPTRSHMPPKEVSLAFVPVKACRRESPESATGWPLLRKKFLPDRKASLPDKLKMSSVVIEELEKETPEELTLLKEKFSSIYSPFSYSELAKITSDFSPECIVGRGGTSQVYRGCLADGKELAVKILKYSDEILKDSLSHKNVISLIGFCFKNDDLLLVYEYLQRGSLEEMLHGKKGCQSAFGWAERFNVAVGVACALNYLHNNGNSRPVIHRDVKSSNILISESFEPKLSDFGLAVWAADVTSQMTCNDVAGTFGYLAPEYFMHGKVNNKIDVYAFGVVLLELVSGRKPLCTGCPKGQESLVMWANSIVQGGKLTQLVDSNLPTEGHADEVERMTLAASLCIRQAPQNRPHIDVVLKLLEGDLDVLKWARSEAGLACENDGDECVMTPPAPGSNTNIQSYINLAFDVDDDAGSVSSNDFITAEHVPRRISTGKMEQIIKLRLIDPWKS >Et_8B_059973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4599826:4603868:1 gene:Et_8B_059973 transcript:Et_8B_059973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLGRVASDLSHRKSKDHGVRHQSTSNNEHSSNAGGKAKQRLLLLFTGVSVWRRSEGTGDIGRATKGKKRHQTEENSKRRGPDMGHAVWKYLSMVEQLFTPSSGGGRKRDMPEPRRRPHRFIVSSRGSSGNNKAPSKRHRGRLSSAPASLRGSPANSGHLSVGESVKMSTSSSELSTMEELQSAIQAAIAHCKSSVAVDARQAPAAGGDRYCCLFAYKLVGRDHHHNRLESFFRLQPYNTDRASHFSGDSEWWLAGFAIKLYDMIDDQLIKPAIPGINAGHQYWNQLNVVELASALGRS >Et_9B_065580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7293014:7299727:-1 gene:Et_9B_065580 transcript:Et_9B_065580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDDGGFAKEDTRAADVDKSCAGSITSPALLALFRSIAFPWRRAAVRLSYCFLAPSIEAVNDRGLEELIDVQRPKDILEIDRHISGLPENRSPPKVISLKFIKNNTITAQGYLNWTALHGAETEQGSSTMTCCRCPPQDAPRRVTPPRQSPQHRSSSSVATASHRLQLVSAHPWRRSRPPAKPYTATRKQSGWEPCRRDCEPGRGGSASRASLIHVSCSRVVTCGTCRAPIPHKVAEQPRINTSIVEAIRAAKIPKIDLRRHLQLIGLSGKANEASGQIFVTIEPDHFGPILAQHDPKKNTGVRVGDTFKDRQECRQWGAHFPHIARIAGQSKHGAQSVALSGGYEEDKDHGEWFLYTGSGGRDLSRNKRTSKLQSFDQTFDGLNEALRLSCLKGYPVRVVRSHKGRSSYAPLSGVRYDGIYGVERCWRKVGIQGTFKVCSYLFVRCDNEPAPWTSPRPLPEIEEVQGATDITEREGRASWDYDEKEGWKWMVPPPISKKADKQVQSARKHGQIFDAMFVKELIQLFGAISHNLLLLPCSSDFGCCICHDVLKEPLTTPCAHNFCMSCLLGAFECQSSVRKRSRGGRTLRPQKIVKKCPFCLTDICDFLESPQINREMKDMIDSLQREAVDNAKRMKICDDMEGIYGHDTPVQQTVAKVFDHTSYNFLLCA >Et_6A_045829.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:1748399:1748734:-1 gene:Et_6A_045829 transcript:Et_6A_045829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSQGRPTLRHSRQQPPSCRASTAPPSTRCTRSSYTPALVAMAHGDDGPCAICLGDFVAGDALRHGPGYGHCFHACCAERWLRMSATCPVCRDSPVPYVGLLLEEDPTPG >Et_9B_065682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9102400:9105593:1 gene:Et_9B_065682 transcript:Et_9B_065682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPCSHIDSDFEGNRSPSLPAAIAGPRSMELEVEEEQPRVEPLVPLRWESTGDQWWYATPIDMAAASGHYDVVRELLRLDANLLVKLTSLRRIRRLESVWDDDARLADAAANRAAVARRLLADCGAARLVRAGYGGWLLYTAAAAGDAGFARDLLAAHPMLVFGEGEYGVTDVLYAAARGGNAEVFRLLLDAVLSLAAASGEDGEEFRREMMNRAVHAAARGGSLEMLRELLRGCSDAAAYRDAQGSTILHAAAARGQLEVVKDLIASFDIVNTVDDQGNTALHIAAFRGHLSVVKALITASSSLIPATNEAGDTFFHMALTGFGTPGFRRLDRQMELVRQLISGSIMDISSIINAQNDDGKTVLHLAVVGNLHSNLVELLMSVPFIDLNIRDNNGMTPLDLLRKQPRTASSEILIKQLILAGGITNSRDLETRSAIASQLKMHCIVGSPGTSFKISDAEMFLHAGIDASYISERTESFSSIRRVNSEIQGPNLKKLNSFQDAAKHLKDLLRWPRRKGKKSAGGQKESDDDASSVDSVKSWSQGDTPTPLRQRYSRVSALFNNKRTFAAKISPNGATKMNGVIQAESTSASASWSSSSFVDKIEAVHLDKDQPSPNSSGRIKLTPKKYGSLNSRLMTQYLRLGSQGVTSRDATSGQQPNQILRPSHLSVA >Et_2A_018262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20559834:20561487:-1 gene:Et_2A_018262 transcript:Et_2A_018262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQCRRSPVLATPFPQAHSSHGRSDGAPQRLASPWQRDAMAPCAPRSPSVQRAPGPAARSPCPPSATQLPSSPRLARAPPCRATPLLNPPRGASPQPAPRRRRAAAGAHAARRDAAAANGGRRDARPRVDHRNVKPENVLVVDDLRAVKPCDFELTMSASDPPPHDPADAQDPPGAPHIVTGGELPLFEMRGDHPDEELLVILRVLGVPNEKAWPGILSTLFILNADEEAQNSATR >Et_1B_012048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28445189:28447561:-1 gene:Et_1B_012048 transcript:Et_1B_012048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRLVLALLLALVSSPASAAVAKASASSSPSSPSQLRVALDAAARSVGRALAALNAVPAVGRPFPAGFASSSPALAAAAREDCAELLGESLHLLAGASSPGAARDDAVAWLSAALTHHDTCADGLAEAGVVVSEGRNDAAAFDASFPGLAAARAKVRDSLAMYASSTAAPGANKDDGDGGACGCTKNHTQRRDACGFPRWLPSTDRRLLLSPTAASLKAAADLVVARDGTGTHATIGDAVRAAPECSARRTVIHVRAGRYDEVVRVGSKKTNLVFVGDGAGRTVVTGRRSVADNFTTFQTPTFAASGSGFMMRDMAVENTAGPWRGQAVALRVSGDRAVVHNCTVRGHQDTLYAHAGRHFYRDCDVYGTVDFVFGNSAVVLQRCTLWSLPGKENTVTAQGRSEPCQDTGLVLHHCRVLPVADHSSPAPANTYLGRPWRPYARVVVMLSYIGPHVPPRGWMPWNNTAYALDTLYFGEYRNGGPGAAMGGRVTWRGHRVIADDAEAERFTVASFIAGASWLPDTGVSFGLGLSL >Et_10A_002263.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:6184529:6185230:-1 gene:Et_10A_002263 transcript:Et_10A_002263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTIPDDVRAKAEIYVGDAAGQEKTRFLLQETGLPSGLLPLRDIIECGYVEETGFVWLKQRRKVDHYFAKAGRHVSYGAEVSAIAEPGRLRKITGVKAKEMLIWVSLNEICLDDPPTGKLVCKALGGISRSFPVEAFEADGLPPATVVPADKAAAGEGKDAAAVAGEEAAADEKEEKKPEEGEAKKEGAGEDGKAAKEEGKDAAAAAIDGIEEKLKEMSTETVHAEAVAAKN >Et_6A_046987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23718517:23721630:1 gene:Et_6A_046987 transcript:Et_6A_046987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIMESAATGVMNSLLAKLAQLLRGHYQMHKGMRREIAFLKDELSSMNALLERLADTEKPLDPQTREWRDQVKEMTYDIEDCVDDYTRQLRREPERSAGGVTGFFLGYVQKVKDLVARREVADQIQELRARIVEASHRRKRYKIDDAVNSCSTEMVAVDRRLPALYAELSGLVGINGPIDELMKLVGDEEQGMKVVSIVGCGGLGKTTLANQVYRKISEQFDCRAFVSLSQNPDMGMIFRSIVLQVKKDECDSTSSCDKEQLIDELRDFLKDKRYFILVDDIWSTQAWKTVKYAFPDNTCGSRIIVTTRIGTVANLCSSPRQDLVYELKMLSEDDSTRLFFRRIFGTEDKCPHQLKEISTEILRKCGGLPLAIITIASLLTTKSCTRAEWLKVCDSIGSGLERSCDVEEMNMILSLSYNHLPHHLRTCLLYLSMFPEDYEIKRDYLVRRWVAEGFINTHGGRNVEDEGECYFNELINRSLIKPVDFQYDGRVYACQVHDMILDFIVRKAVEEKFVTVLTDRKQMMVSQDKVHRLSLHCHEQDNRIANPMATTHIRSLNILRYSEQMPPLSSFGALRVLDLDGNENLEKCYLEDIWKLFHLRYLRIRGSNIALPEQIGELQFLVIVDVLNCPGLGELPTSIVKLRHLKWLITPPVNLPDGVGNMQALEFLSLMVVGHMTSITSLQELGSLTKLRTLGLEWRISTVHNDKKAYLDNFLLTLNKLGSSSLQYLTIISPWSLDFLFDFWSSPPPHLLQELEIKGWYLSKIPVWMTSLTNLTYLDIEVKVRQETIQILGDFPALLFAKLYSNVAASDERCLVVSNNGFRCLKKFSFVGWVNMLFEEGATPVLETLEFQIIAQEVQISCGLDPPDLGVRHLLALRNLVVNVHCEGASVEEVEAVEAAIRIAASMLPNHPTPDLRRFLDSEM >Et_4B_038682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:414171:416198:1 gene:Et_4B_038682 transcript:Et_4B_038682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPASVQIPSTIRPAAKPGLHHRSVLNSDRRFRWRGSGTKTILSRCKVLGSSNGAPSGGLMKKRKIVEHIILLRAKPNISDAEEKDMLDYLYTSQYQMRGILAVSLGRIEDPNSENFTHAVFMRFQQIEDVVKFQSSAYYSKVLDEHVKPVSYGSVSVDFESEVEDDIIPLFRRGEDFNYGVEFMLLISFLETASKEAMEDALACIQKLLSQCGSFIVQATFGCCLNHTGSGYSHAAVIRFPSFDDFKLFRESSEYNDMWASKFDPIVGRSLQLHFTVDPVGNQLM >Et_1A_006725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26842838:26848266:-1 gene:Et_1A_006725 transcript:Et_1A_006725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSITDFCASDAKPRALCVIARSWIVWPDRTLGSATAQDSTAEDPDPSTTVDTTVLCFHDPLSLKENAGVSLHTDVKEKASNPKDMDPKLGSSTWSFVQTLLSGNDDDNPTLFAAHLANDSGNGYYGLVATMDVYGFNLNGGQLSKAAIWISNDEGDWKQDLDAITVGWLVYPSHFKDSRTHLFTYWTRDAYRNTGCLNMDCPGFQLASGSTVTPGDTISPVSDANGARQSITIKLFKDKSSGDWWLHYGFNSAPTVVGHFPASFFDSLSKKATRITFGGHVTYTKHVSSPPMGSGAFPSDKAVSFWDLQFIGEDGNSTPINRDLMSIITDESSYSVSPIDGARFTYGGPGGKASISILNIQHEHYTHDEQRNPGWVAAAGGTEREAGGPERGTRGQHPPRRPGSWLPFSMGNCSHLCSLHPGNVFWVSIKLYTWLFPVAGNAPQPAQPNGGDHDEEAPPPPQPNGGNGGDGDHEGEAPPPPPQNGGNGGGEDGGDDVEDEEALQEQPPPPPANVLQWLLQHGGNGGGDGDGEEGGGDGGGEDGEDSGGGSSMVVHVASEPEGGVALHVDDGRESVGSCSFTGGGDTTLGDATACSGLA >Et_4A_035897.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8041751:8042041:-1 gene:Et_4A_035897 transcript:Et_4A_035897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYVDEKWKFSKKSRNSSSCRRVPGAGGPGGDSFLKRSSSVRDVHAIGGRRGSAGAAAASAQPSFSSRCAGLVKEQRARFYIMRRCVTMLVCWKD >Et_9B_065391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4607702:4608214:1 gene:Et_9B_065391 transcript:Et_9B_065391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSQFNDPAAAARRAPQPQRKPPLLMLLPLIYAPVLPLIRIGLRHNPVLRDRLFYGVLVGAFAHGTYLMYVFLLSKTCPLVLISCVVWVRLGSELQLL >Et_3A_023865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13715537:13721891:-1 gene:Et_3A_023865 transcript:Et_3A_023865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPQQGRPRAPRFFRSGNLASRVFERQLMSPRPGANVNTVRQFYENLVPSYTIYDIDCPDYSFRKFTDDGKYLVAFSRNHQDLIGAIHGVPSIEKITFYLVRLGDGVILDEKAFCNDFINLAHSIGAYLYEDLLCIVSLRYQTIHILQIRDSGNLVEVRKIGAFCREDDELFLHSHVQRVQHLKKKFYFHFQDYVDLIIWKVQFLDRHHLFIKFGSVDGGVSRSTDQNLAFFAVYNMETTDIVSLYQNSSEELYSLFEHFYDHFHANPQNSSHGKFISSHSNNFHALDQLRIIKNKASSSSQFVKKMMASLPYTCQSQSPSPYFDLSLFRYDEKLISAIDRHRHCTEHPIKFMPVRQPNVVKFKIKPGSESGTSDNRAKRISSFLFHPFFPLALSIQQTYMQPTVVNIHFRR >Et_5B_043263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20736239:20736793:1 gene:Et_5B_043263 transcript:Et_5B_043263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPLHFHYEDVLRQDLLLKLNHTNIMEIPGLFEIRLVPKTASDFKPQIGKLAMEILCGQKFIEPQAAPAGEKDAASGFARQSVLRGQGMYNFLIRMLTVMSMLDSKVEVKENTIKFFMETEFWFSVTVVTSASTKDVTSLLWSGFMLKDEGETN >Et_1B_012131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29197404:29208875:-1 gene:Et_1B_012131 transcript:Et_1B_012131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLQDLNLAANMLTGTIPPLGDLLFNLNVSRNSLSGSMPMFWSKNSRLEKIDLSMNMLTGTIPAEIGSLGSVFFLDLSKNRFSGQIPDEIGNIIRLQILLDLSSNLFSGSIPSGLAKLTSLQMLNVSRNELTESIPPSLTQMISLVVADFSYNYLTGELPSFQNTTLVLYAGNPGLCGIAPGLPPCHRDSDTFSSWLQRHKILIIALSVVGAVVLLLGIAICLLACPRREGTREANPVFEVREQHAMLTFSFNDVMNATQHFSDSCCIGSGGFSNVYRAQLLSGGLVIAVKRIHVAGVEGRNKKGAFINEVQTLRLIRHRNIVKLIGYCTIGEYSYILYKYLERGTLWKALHSEEGSKLAQNIRRSTESDVYSYGVVLLEILTGKMAANDLSFGCDMNIVSWVVSRLDSTSNVEAIYDPSLVGEINGTDKMDELRMVLNLAVRCVSREAVQRPSMTNVVNELRGLSGGGATAVPQSCALSASLGCL >Et_1A_008638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9351150:9355615:-1 gene:Et_1A_008638 transcript:Et_1A_008638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASSHHLRPAPPAGQALRPGPARVSLRPGTLRQHGVAAAAATGAGSGGDAAPAAAAVSAVTAAAPAGSARDPVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTDKVLRQVIEQLNKLVNVLSVEDLSREPQVERELMLIKLNVEPDQRPEVMVLVDIFRAKVVDISENTVTIEVTGDPGKVVAVQRNLSKFGIKEICRTGKIALRREKIGATARFWGFSAASYPDLIEALPKHPLTSVNGTVNGSVDQPSNAGGDVYPVEPYESLSMNQVLDAHWGVLDDDDSTGLRSHTLSILVNDCPGVLNIVTGVFARRGYNIQSLAVGPAEKAGISRITTVVPGTDESIEKLVQQLYKLIDVHEVHDLTHLPFAERELMIIKVSVNTTARRDILDIAEIFRAKPVDVSDHTVTLQLTGDLDKMVALQRLLEPYGICEVARTGRVALVRESGVDSKYLRGYSLPL >Et_8B_059280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16083657:16084246:-1 gene:Et_8B_059280 transcript:Et_8B_059280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAYLLLFLLHLSASSTCHATSRNHHIFVNPGAAHVVRSSSPAIHDHRRIAKDLSNGDAHYPHRMMAWPGATPPPRSLPGLEDMRPLDTEITSAAMAPSPSPSPEIDDGGYGEGELGGGDVSGRDSDGGPSDASDQEESVLVDYEEPKTHPPSHN >Et_8A_056460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10430015:10434117:1 gene:Et_8A_056460 transcript:Et_8A_056460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSISVNPCWDLMVSKSSSPVDLSQFNESTLQEQIAFFWDHKDASNFVVDESNRACVERSLELCNQIRTYSYNCYRMVRALNATLPKRQHLSPVNIKPRDPKVLVLAMEPVFLEFRMDDTFPTTLGCKRFARSKPDEKYKASTMLQFVSLRFEGDQQQPLSIYGFVAVRDDCEPLRNYIFNLSRESAFSLSPVSSLLPLTSPIRGISVWHKALVEINLKAKCDGDTCDDVVLVDACIDFRWDRIKHDKVLKSRIDGPFGVLYMDYVFIKHGIEAVVEVDIPKHLVGSHVVIIARSSGFNGEVMIHDGVVTEDSSKVSAVIGASHGGNLYFGFLAMETRADGYIEFKVKMSGCQKQTLVASPQPTKSDSQRLHLTMKDGKQRRTIVLTKRELPFAVKKLGIPSSALPTIQVPFSVTFSTMGYYNCGA >Et_1B_013752.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:15191368:15192069:-1 gene:Et_1B_013752 transcript:Et_1B_013752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCTLPDDVLFDILLQLPVRAVCRLGAVCKAWRAVTTKPARLHAPRGVVVARDPLLVRHGMRRRHGLPPQRRPGPYRGRRRRRRPPMGHPRHPARPLHVRFDHVLGRGPLRGAGHVGAHFDERVPSVYALVNPLSGACAVVPAPAPCYEEPRCPFGRGYIAGGYMHPVTGIFHLLHCTMSYLDGVEEEHKLRFQILRVDGNAPSPATSSSLARDPNVHRRGLERAVMVADRP >Et_3B_028839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19761355:19763788:1 gene:Et_3B_028839 transcript:Et_3B_028839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRLMELLGAGDAAFPWTQVLACAVVAWCAMRALEWAWWRPRRLGRELRAQGLSGTVYRSLEGDAPLMDRLNREARSRPLPLGCHDVVPRAMPLYHQTMKEHGKTSFTWFGPVPRVTITKPELVREVLSNKFGHFGKVKFGSLQRKLHNGLGVHEGEKWAKHRRIINPAFHVEKLKRMLPAFAACCTDLVQRWEGLVRDGEPCELDVGPEMQNLTGDVISRAAFGSSYLEGRRIFQLQGEQVELVVQAMNRAHIPGYLLLPTRNNRRMKEIASEIEVLLKGIIAKREKALRAGRASSDDLLGLLLESNIEHCRGDGNPKAGITTDDVIGECKLFYFAGMETTSALLTWTMIVLSMHPEWQDRAREEVLHVFGDRTPDYDGMSRLKIVTMVLYEVLRLYTPLSTLQRRTYKPMELGGVRYPAGVVLMLPLLCVHHDKDVWGPDASEFRPERFAEGVSKASKNAPAFFPFGWGPRICIGQNFALLEAKMGITMILQRFAFELSPAYTHAPFPVGLLQPEHGAQVMLRRLP >Et_3A_023965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15220756:15227993:-1 gene:Et_3A_023965 transcript:Et_3A_023965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMGAFSGPPPPPWWRHLLCSALLFLLLWSVRTAPRTSEGNEELAALPPRGWNSYDSFSWIVDENAYLQNAQIMAEKLLPYGYQYVDGAYTDSYGFDNIDEWGRPFPDLQRFPSSKADRGCSQIASKVHQMGLKFGIHLMKGISKQAVNANTPILDIKTGKPYTEDGRQWTAGDIGLTHRTCAWMQNGFMSVNTDIGAGRAFLRSLYQQYADWGVDFVKVDCIFGTDYSPKEIITISELLQELDRPIILSLSPGTEVTPALAENISEHVNMYRVTGDDWDSWKDVSSHFDVSSSFATAKKIGATGLRGRSWPDLDMLPFGWLTDPGVNQGPHRKCNLTSDEQKTQMALWSMAKSPLMYGGDLRNLDKSTLSIITNPTLLKINHYSQNNMEFRYIYGERTSSKERSSQFWSPCPVHLTKNNIMVFGLTACSDDKANGWYMFSQDGKSDHVCRNYEIQNGKNISFCMGKTKPLASDAIIMEKEYEAKFHLAVKKDDDTCLDASARHRRTASEAKFPMFSRCRWHAKQMWELNEKGNLVSTYSRLCATVESRQEEVGIAGARSWIATGSKGEIYLAFFNLDSKSRKISAKISDLGKVLGRKFARKNMCSCTEVWSGKDFGLVKEEISGVVNPHGSMVFEIKC >Et_10A_001361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23785677:23787526:1 gene:Et_10A_001361 transcript:Et_10A_001361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIQVFAADEDRYLMEKIAGLLAESNARKKNMVRDDICSLEKTACESSNNLQIKTNKLHDCTSCMREQWEGYMERTEEAFHQNVSAIEQKRCFLWRIFSNGNGALPSNTNISFHSKTSAESCSEQWRAAQNSVLALGRSNAEAICSAISFSFDYFFHFWLSKIYYKLLLVPDSLKLDHGVCKNVKSLVTSCKAELHELQRGTKRRQKESQGMPIDL >Et_4A_032151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21245414:21246652:-1 gene:Et_4A_032151 transcript:Et_4A_032151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAIFASLEIPDLVRAGCVCSTWHSAYTSLRDTGKYKQSQTPCLLYTSESAGENVAFLYSLVENRPYKFTLPDPPIRSRFLIGSSLGWLVTADEQSELHLVNPITGEQMALPSVTTIEHVKPISDESGALCNYELSYHTGQKVHKSPKIYALNDLREHLYFKLSFARAGDNKWTCTMKVIIDELKQSIYESMYIIQAPWGDLLQIWRTVDVPGQEFDNEPDPSMPLVCTLKVIAYKVDMEAKKLVKINSLPYHMLFLGHSNSLCLSVQEHPQLKANHAYYTDDLDELTMSTKNNARDIGVLDMESRRRKEIVSQIWSSWPSPTWITPSLRKTDLSFSK >Et_9B_063739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9815803:9816395:1 gene:Et_9B_063739 transcript:Et_9B_063739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLLLCIEVGCDFGFSTLYNKFLISYCHTWTYQFCLLIVVRTLKLNVDGAFDGTKFKGGTGAVLRDSSRALLRAQAKWYDYVEDAFQAEAMAIRDGLRMAHDFGATRVAVESDIVSVVNLMQTNDANEVAKFASPVNLVCNWESVAPNFLVGALSKDCNPADNLI >Et_5B_045561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4401252:4403239:1 gene:Et_5B_045561 transcript:Et_5B_045561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRRFLNLLVDSRIPRVRTLRRIDLTRHQLFRQEPPPLPPNGNANDASQMERIRLPRHSITFRASAVDDQWGIHCFPLADRKVLCADQSGRAFLFDADARHVVTMPSLHKPKTMPFSLFVPSADADTNSDDSDAEYDPEDDYVEDGVGTLYVMEGSPRKEPVSEQPSEQFEAYVHGQRSETSFKAWDCHLLPPPPYVRDPSKRCPLVTSYGVVGDGSSHIVVSAEGAGTCVMDTATHTWEEIGSWLLPFNGKVEYVPELKLWFGLSANGQLVAADLSTMDSKPRLVGKWREEFEPPEEWQEAQEPQLVNLGSGRFCVARFFETVKTTRIANTAQTAANGKRREAARRGEALGSHGYESGGGITQLSPLKYTLLGKAQPFSKVNVEGSFRNKSSD >Et_4B_038924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6007438:6008524:1 gene:Et_4B_038924 transcript:Et_4B_038924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLVFELLEWQQLEEELQEVQLKEKTEDTIKWELMANGKFSTASLYKHCTLSSCIDARMEETWKAKTADNLIRKKWKGSKCHKFCQEEETEMYFNGQPFRRAVANLEIQRPEFCCLGGAKNCARVVPFGFFFPCCRCTREALCSFAPAEECATFYPAYLSQPGRKENRTPHVYLRHCIDWHILSSGKDKLALDVVIDKIKKACPWGAMEATGVG >Et_3B_029164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22668092:22672102:1 gene:Et_3B_029164 transcript:Et_3B_029164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCEASASLSRKCTAASALDGEKKKQKLSEIQSGVEEAESLIRKMDLEARSLQPSVKAGLLAKLREYKSDLNNIKSELKRISAPNSKQATREELLEAGMADTLAVSTDQRGRLMMTTERLNQSTDRIKESRRTMLETEDLGVSILQDLHQQRQSLLHAHTTLHGVDDNIGKSKKILAAMSKRMDRNKWIIGGIIATLVLAILLILYFKITH >Et_1B_011846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26529441:26531172:1 gene:Et_1B_011846 transcript:Et_1B_011846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSEAVGTLERGIQFQTKQLWTLEALSNRSRGREPSMTCSCFPYEHDDWNSMEGEHRGVAG >Et_9B_064668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17479424:17479739:-1 gene:Et_9B_064668 transcript:Et_9B_064668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKKNDELLIPFADFLRLCASIH >Et_4A_032038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13176779:13177711:1 gene:Et_4A_032038 transcript:Et_4A_032038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPPCCDKGAGVKKGPWTPEEDLVLVSYVQEHGPGNWRAVPGRAGLMRCSKSCRLRWTNYLRPGIRRGGFSEQEDRLIVHLQALLGNRWAAIASYLPDRTDNDVKNYWNTHLKKKFLLKCVSSSPSPPPPPNNNKGQWELRLQTDIDLARRALREALSVDAARAPCPTPPPDHAPAAQSSFYALNATNVSMMLDGWAPRAAESGASRSSSSTELTDCSAGSASTASNRVGGAPPLRLGVDADAMDGGVPPLSAIESWLFGDDGGEQQQQPAQESLLLDAALHSFAF >Et_3A_026277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6342373:6345627:1 gene:Et_3A_026277 transcript:Et_3A_026277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNRRGGKSGVKGKAEREFWRSIERDLVGSIIPLSCAPPTRPSSTCAAARVPAWSYECVPASRPSSRVRTALVRASSSPAPVRASCSRGLQNKRARREKEQEVRDAVVIDDDSDAEPEQTTGLDEIGRSGPRVIGPMDNFTKSLDSSSLSNGKKLVQPKISEHVMKERLHRFKRYVARWLYVRGKSARLNQPREIEDDIYSEPEDGPVDEEEIEFESDQDDVVTRDYEEEEGAGT >Et_10A_000449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10582767:10591142:1 gene:Et_10A_000449 transcript:Et_10A_000449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLSGRMMLELEKKRLTIEKKRLEMEAEKKEKEEDERIMAIDLDKYQPRERIYYEVRQQDIIFKLQSRRRGTAKKEGGRRVTACIPDRCRSAGVEAGAHRSRAAPSQSRGAGGGGCRMEEPIQWSPTESEGCNTN >Et_3B_028998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21230155:21231379:1 gene:Et_3B_028998 transcript:Et_3B_028998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYRAEEEYDYLFKVVLIGDSGVGKSNLLSRFARDEFSLETRSTIGVEFATKTVQVDEKLVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRRVTFDNAERWLRELRDHTEANIVVMLVGNKADLRHLRAVSPEDAKAFAERNNTFSMETSALDATNVEAAFAEVLRQIYHVVSRNALDIGDDPAAPPRGKTIDVGAAKDEVTPVNTAGCCSS >Et_1A_008258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5765863:5767657:-1 gene:Et_1A_008258 transcript:Et_1A_008258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSHSISSEKSRAAAPRPPLQEAGSRPYMPSLSTGSRNPSAKCYGDRFIPDRSAMDMDMAHYLLTESKKNKENAAASPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVSTDAASSHLQTKPAKQRRHIPQSAERTLDAPDLVDDYYLNLLDWGSNNVLSIALGDTVYLWDASSGSTSELVTVDEDNGPITSVSWAPDGRHIAVGLNSSDVQLWDTSSNRLLRTLRGVHEARVGSLAWNNSVLTTGGMDGKIVNNDVRIRNHVVQTYEGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSVPSAGRNQWLHRLEDHMAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFSQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGAPEAPKPAAKASYTGMFNSFNHIR >Et_9A_063193.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:14980782:14982857:-1 gene:Et_9A_063193 transcript:Et_9A_063193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPENELTKFIQGSEKAKWRPISNHNINNFTEDDIKRITSNYGTPIGKGGFGHVYQGALDDGTPVAVKMLKNSICQSPKEGFARETIVHCQINHKNVVRLLGYCIEDNALMIVTEYVSRGNLGDLLHGSDDYISLDARLGMAIECADALGYMHSSMYQPIIHGDIKPDNILLDSELHVKLSDFGLSRLLSMDRTHQYTTNIAGSRGYMDPEYIETGILDPKSDVYSFGVVLLELITRAKASENGFSTGLKRNFTDALRKGKQETRQMFDAEIANERNITILDEVGTLAEKCFSKDIKDRPEMKDVQGSLLALRKALHREQAQEKIGQNTLQNVNNNSESIPSSSSTSSVTYNFSMLDIFNWGSVRRKKNFCRNAGPILERTCTLNIFTIHDIKKITRAYRVKIGYDVFSNVYLGHTEGGMKVAVKMIEKEYNMAYDVGIYDFGNAMQSLSRISHRNIISLVGCCLEMENPVFVYEFAARGSLYDILHGFDKCCLSLDLRLDIAIGSAEALAYLHSATPTRFLHCNFKSANILLDDNLVPKVAGINLQGLSQHCAEGLPRVAVDMAYLDPIYYLTGLFTSKSDVYTFGVVILELITRKRAKYGDNNDRSLQIDFINVWKKGNSGKDMFDEEITVEGNIIVLEEMGKLAVECLKEDGDKRPEMAEVVERLQTLKRDWQHGEGSSGPLMPRRR >Et_10B_004257.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:2204573:2204806:1 gene:Et_10B_004257 transcript:Et_10B_004257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDGYTKGLISEFFRSQDCSGGDAILRTWFSELGVDWVLGINKGKLREKPWNVVEDLVKQWVAAFIVMVEALRDSV >Et_4A_035322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:238236:239818:-1 gene:Et_4A_035322 transcript:Et_4A_035322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSASVNSISSMPSPVYQCKKAFLRNMAVNCSLTRRNISWMEVELPMKVEAILSPEESALLTAWGCELERAEADVVERLVVEHHALVCVLYELVHGERGVGSTTVSETLGEGKTEKVSIILSGYSSRILEMRRVPMPEPVPPPREWQTWNPADSSTEQGRKLRLEAEIQMTDETLEAVAVLGLLSHDVEHGVDELRPLGVVPLGPVVAGARLAEDEVVGAEDVAVGPRAHRVHGARLQIHEDGAGHEAAAGGLVVVDIDALELELRVAVVAAGGVDAVLRAHHLPELGTDLVAALPALDVQDLPHLLPSSIRPTKCKRNAGGGGGRSEEWGGGVSGVLGFGVLREGGDAAAFIEG >Et_4A_035281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1736067:1736501:1 gene:Et_4A_035281 transcript:Et_4A_035281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVFALLALLLAAAGAASGQQGVGSIITRSMFESMLSHRGDSGCQGAFYTYDAFIEAAKNFPAFGTTGNDETRRRELAAFFGQTSHETTADPFDFAMAMCMQEDGQLLRVDPSPGDTAG >Et_1A_007510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35330333:35334966:-1 gene:Et_1A_007510 transcript:Et_1A_007510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASSAAGPADLSAAALVSAMPLQVDLLQLPPEVPAPGAPALRGVLDGLFAHWLSLPDTAALVGSLAQKAKTSGGGPAAAMLPSMMQGGASVPPLSPRSPRLSRRSSSLNSNHSNRSASPLRPAAARPAKEVIPQFYFQDGRPPPHEVKKQCIATVDQLFAGHSNGLRPQEFRMVTRELCKLPTFFTTALFEKIDKEGTGFVTREAFTDFWVNSNLMSMDSATQVFKILKQQNRNYLTKEDFKPILKDLLDNHPGLEFLKSTPEFQERYAETVVYRIFYCLNRIGGGHLTLRELKRGNLLSALRHADDEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIKYGNHALTYRIVPRKFTSKVEGKMSYEDFVHFILSEEDKSSGPSQEYWFKCIDLDGNGILTHNELQFFFEEQLHRMECMAQEPVLFEDILCQLIDMIGPENESYLTLKDFRRCKLSGHFFNILFNLNKFMAFEARDPFLIRQMREEPSLTDWDRFARREYVRLAMEEDGEDASNASGDNPNHTGLLLGEIISYSEP >Et_8B_060102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:682339:685979:1 gene:Et_8B_060102 transcript:Et_8B_060102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSSLNCRLYKDLLPIVEPHPIGQARQVTPRAPALLLDLASAQECKLSDAVVDSFKLFETVACDSIAADDKIIVLKLRSCSWVLISLERGLEGTLSNLRVQYIYGHLKTNHLRVFKRETLLWIILLNKVSTPLSRSMTSNSKACNCSSSQIALFFWKALDTRDHLLELGIVTFFNRRSNVDPRIDTTISLASANSLTTLHPSMPEAALLLIFLNCFCAFLAKRAQD >Et_4B_038677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3956340:3957770:1 gene:Et_4B_038677 transcript:Et_4B_038677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQSKRPTRSHESTEPGEKLAFSEEEPLTARNMEHEDQAEMDDVDEDDDEELASGRAARSSSCGLGEKKRRLALEQVRALERCFETDNKLDPDRKSRIARDLGLQPRQVAVWFQNRRARWKTKQLERDFAALCSRHDALRADCDALRRDKDALAAEIRELRQKLSKPETTTVKLEAAGNGNDAAEERLQATVGASAAAVYKDGSSDSDSSVVFNDVEASPYSGAVFEHPPPQQPQPGFVGFAGGSFIMESSAAAMGCSPLPSFGAKWPHGATYPSDSYKCGSSYGFTEEWLAGSDVIGNDGAACFIPEEHASSLNFSWCASGAEGWD >Et_2B_021914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6873792:6885539:-1 gene:Et_2B_021914 transcript:Et_2B_021914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGAADGDQRWLVDCLTATLDTSRDVRAFAEESLRQASLLPGYGTALTKVTINKEIPFGLPAVLLKQFIKHHWQEDEENFVPPVVSSSEKVVIRQLLLTSLDDSHGKIRTAISMAVAAIGQHDWPEDWPELLPLLLKLIGDQSNGNGVRGALRCLALLSDDLDDTCVPKLVPELFPSLHRIISSPHLYENSLRAKALAIVHSCVSMLGSMSGVYKRDVVTLMTSMLDPLIEQFSIILNSPVQSQNPDDWNMHMEIYHLSSIQGSEDFDCVDYDSDGGERSLESFVIQLLELWTTIVGNPRLAKVIGGNIKELAYYTIGFQQITEEQVQSWSRDANQYVADEDDVTYSCRVSGSLLLEEIVNAYEDYGIDSILEATQMCFHESRELKQAGSADWWRLHEASLFALGSLSEQLCEAQDSGLSKYNVRDLLEQMTTDIAGTGVHQYPFLHARALSIVPKFSSVISKGVSELSLINAAHAIASDVPPPVKVGACRAIAQLLPGSNQDLIQPNIMGILSSLVDLLRQASEETLHLVLETLQSAIKSGGEQSTSIEPIISPIVLDVWVQHIADPFISIDAVEVLEAIKNAPGCLQPLVSRILPTIGSILGKPKIQPDGLVAGSLDLLTMVLKDAPTVVVKAVFDTCFISTILIVLESDDHGEMQNATECLAAFISGGRQELLAWGSEQGRTLKLLLDAVSRLLDPTLESSVSLFVGSFVLQLILHMPSHLSPHIPDLIAAIVRRMQTSSIAGLKCSLVVIIARLVHLSSPNVDQFINLLLSIPAQGYGNSLIKVTTTALALLISTRHPELSKFEVQGHLIKTGAGITTRSKARVAPDQWTKIPLPAKIFSLLADALAEIQEQVGGDEDECDEDSDWEEVQNGDTSIPDDIMYSASVPSNANPSVEHLKAMAKVFDEDDDGSYDDDLTKIDPLNEVKLAEFLTNIFVNLWESDRPMFEYLCQIQLSDQC >Et_1B_011095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17564772:17573723:1 gene:Et_1B_011095 transcript:Et_1B_011095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGNSRFAVTCGLLRQYMMREHKHQTSQPQMSRLAGSSLLPPLREASEDDAENTEARTMQLFPTHAGTSEETPSTKQPEEQVRAPLTIFYEGRMLVFEDFQADRAEELMQLCHASSGSPVVSKKPATNKPSVGPSDMPIARKESLKRFLQKRKHSEMAAAADRRSRRQFTEACGVLSQRVRGYRVDAAAAAKTSPTTMLLMPGADITRDTNEEELEPSPAKEPADHAPLTIIYGGRVIVLDDVPEDRAEELVRVASAPMPQQDAPAGGLTDMGWQGRRRCGGSWRRGSIGSPRGLRTGKAVVEEGDAGSWLAARAPYGASCQQKKGKAVIVEEEDAGSWLGLASEMAAAAACGGRRRFTVACDVLSRHVRANAQAKMAATVASPTMVLMPGADVAHDARQEPADPSPANTKAAQAPQLTIIYDGRILVVDDVPEDRALELMRVAARPQDESEHRAAGDAAAGALADMRVARKASLWQFMEKRRDRLAARAPYDAFSRPEVLHASKMGKAAEEADAGSWLGLGVRRR >Et_3B_027625.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:5510762:5511986:1 gene:Et_3B_027625 transcript:Et_3B_027625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GHSLYFLLFKTTSIRDERNIEALISSYGSFAPKRYNYSEVTKITSSFNNKLGEGGYGAVFKGMLHDGRLVAVKLLHDSKANGEEFVNEVMSIGRTSHVNIVSLFGFCLKGSKRALIYEYMPNGSLDKYIYSKNPKAILGWERLYAIAVGITRGLEYLHHSCNTRIVHFDIKPQNILLDHNFRPKIADFGLAKLCHTKESKLNDWCQRNNWIHRS >Et_3B_029650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26844992:26848922:1 gene:Et_3B_029650 transcript:Et_3B_029650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLDTEIGKNDYDWLLTPPGTPRVPALDAPEKAPSSVVSKRTVTRSSSTTRGSRLSTSEPEKHSTLPTKPARSNSVSRPSIQSTLMSSNNRPSVLSASISSISSRPATPSKRTSTLTVSKPSSRPVPPRSSTPTKTRPSTPSKTRPSTPVKNRPSVSNSMTNTAAPKITSAPSSRSATPTSRSRITSSSSSSITSLSRPGSSSGKIPTITRTTSTTSTIPSVSRPGSRSSTPTRQPVIRSSAPSVGRSPSVGRSSNMIPNGRTLASSGRNSAPSSAPSSRPSSPNTRSRTPVCPLDIPDFPSETPPNLKTKLPERPLSAGRARPGMVSGVRSNPNAEPVQSAPVKKMSVPAITRSKTSSLTNGHQNRQSERSVLEGQPARTSRSATGTDNGFGRTISRKSLNMAIKHMDIRQNLGGIRGASLFPHSIRSTTAKGRPARGSDPGHSISNGDRYNTDNSSSNGHLSGDSSGALSHNGGSSIGSPDRESIGAKGVLSELDIYANSRYEAMLLREDTKNTNWLHSADDKSDQSPVFDHRFEPLPEPFGPL >Et_2B_022223.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:14326082:14327221:-1 gene:Et_2B_022223 transcript:Et_2B_022223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDTVSASISIIAPHLFDQRTRPAHLRRTLHVVACRPLATGFAGRRLVARVTKQLPPRLADWPVKALAMGVTKEASPRREYRGIPGDGGDMEDIEVTEPAPSWPPRNRADDPKLQNPLLRLERMGCGWLGVIFEWEGVIVEDDAELERQAWLTLAQEEGKSPPPAFVLKRIEGMKNEQAVSEVLCWSRDPSELRRLASRKEEIHCSLRGGTFYQMRNGSREFMSTLANYKIPLAVATTRPRKVIEEAIEAVGVRSFFDAVVSAEDVYRGKPDPEMFLYAAQLLSFIPERCIVFGNSNSTVEAAHDARMKCVAVASKHKIYELSAADLVVKQLDELSVVDLKNLADIESPEFGMEPELEMEEEEETSPRSSAVGVDDLF >Et_9B_065010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20405535:20408509:-1 gene:Et_9B_065010 transcript:Et_9B_065010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPAQVMLAALAALVLLLLCAGAPGADAATCDATQLTPCAGAIIGNAAPTAACCSRMKEQQPCMCTYARDPNLQRYVNSPNGKKVMAACKASLALFLVVLTVASAVLSLTPGAAAATTTCTPTQLTPCAPAIVGNSPPSAACCSKLKAHPATCFCQYKKDPAMKRYVNSPNGKKVFAACKVPLPKC >Et_2A_018150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14698978:14703113:-1 gene:Et_2A_018150 transcript:Et_2A_018150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSLNALALRAPYLSQPPPATERRNGAALALAWTPRSRFPSLRVARRVTVRSAAVAGNPEEGWRKEPEPAADHGGAPATTGEVAELKARLKEALYGTERALRAPSGTRAEVAGLVTQLEARHRTVDPAEALAFLNGKWILVFAYTSFSELLPFLGSGRLPELVKVEEIAQTIDSKNFTVQNCIKFSGPLATNSVSTNAKFEVRSPKRVQIKFDKGIISTPHLTDSIVLPRKFELLEQNIDLRLLEGIFSSIENAASSVAATVFGQPPLKISMWTNNAESWRVRDSELPVLKHQKNDMAKYFPGPHSPMPGAYEPPVCASQRDRPVLVSGRTLNVLHHLDTSPLVPPPPSPLLEEVKDDVPNLLVTYSWASPRNIARRRGSRAGAHH >Et_10B_003890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7302444:7304961:1 gene:Et_10B_003890 transcript:Et_10B_003890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YSQKWPEAIATWRDADAVCFDFDSTVCLDEGINELADFCGAGLKALAARLSLFKPSLDQVENCLEQWPQRISPGISELIEKLKAKNVDVYLVSGGFQQMIKNCGYKTLIMIGDAATDLEARQPGGADMFICYGGVQMMEAIAKEADWVVSDFYQLITHLS >Et_3A_024694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23342963:23344653:1 gene:Et_3A_024694 transcript:Et_3A_024694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQESLVLAGVMRGHNDIVTAIAAPIDNSPFIVSSSRDKSLLVWDLTNPVQVTQDNTATDYGVPFRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLSTGVTTRRFVGHEKDVLSVAFSVDNRQIVSASRDRTIKLWNTLGECKYTIGGELGASEGHNGWVSCVRFSPNTFQPMIVSGSWDCNVKVWNLTNCKLRSTLSGHGGYVSAVAVSPDGSLCASGGKDGYTLLWDLAEGKRLYSLDAGAIIHSLCFSPNRYWLCAATQDSIKIWDLESKHIVQDLKPEVPIAKNEVRLPTVNISVCCVLSSILVPSIRFNLLLLVNAHYLDALCCTALACPGALMEAHSTLVTLMEPS >Et_1A_008684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9819867:9821782:-1 gene:Et_1A_008684 transcript:Et_1A_008684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTASVHVTLCLLLLVVLVYPAAAVTLSTSSRWIVDESGHRVKLACVNWPSHLEPVVTEGLGRQPVGAISARLAALGFNCVRLTYPTALATNATLSSLTVRESLLAHGLAESVGGVEAVVASLSESGVMVVLDNHVSRPAWCCGDDDGNAFFGDRDFDPDVWVDGLGNMATVFADVTNVVGMSLRNELRGPRQNPQDWYTYMQRGAEAVHAANPRALVIMGGLGYGYDLSFLASRQVGVTFAAENKLVFEAHWYSFSDARAWESGNANEVCGRAAREFARRAGFLLDRGLPLFLSEFGADFRGGDPKDNRFLPCAAAVAADLDLDWALWALQGSYALRQGVPGMDEVYGVLDWSWSKARNETVLPRIQALQRPLRGPGYAEALPYTVLFHPVTGLCAVRPTASATTLELGPCNETDAWAWAPPASKLVLRDAAAAGLPCLRAEGRGQPARLGAAACGDALSTWRLVSDSGMHVAVDAAAAAPTGPMDGGNGMLCLDVGADGRSVVTNPCACLRGDRACDPERQWFRLVTSTRSVARKPAMLAS >Et_4B_038601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3217752:3218170:1 gene:Et_4B_038601 transcript:Et_4B_038601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRGRISDDEINELISKLQALLPETSRRRNASRSSASKLLKETCSYIKSLHREVDDLSDRLSELMSTMDNDSPQAEIIRSLLR >Et_3A_023862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13727114:13735936:1 gene:Et_3A_023862 transcript:Et_3A_023862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSRAAPRKPPGRGPPKSKRTDELVGMSGSDDDEIDAFHKQRDMIPLDSDDDRESEDDDLEQPVFDLEGISDSASDDSEGEENVGIDKANYEDWDSAYVAKLKRAQRAVKQIAGGDDSMDEQEEEEKDKNNWGKGKKSYYDAAEHSGEDDDYEEAQRIKKEQDTKLSMKDFGIEDDESDEENKTTKLKEDFDILSGDDKMGVLYSSAPELVGLLSELKEAHEELSTIGQLTSEMIAGHGKDKGRMQPLDVKKACLLAYCQAITFYLLMKAEGLSVQDHPVIARLVETKNMVEKMKQVTGNLAIQNGGTDDYSKQSSTMQADKIVSLDKERGKRSTARRDKTEQVAELFEQSKSRRSNNDQHEVSELESKDEHMGLQSLEMLKVRANLEERLKKKGLYNLTRTKPDNLSQTRTTSNKRGLQTLDDFDDEVQKNSQMMKPSKLLVAAAKTNKSKFVSGDDDIPKRDNIGERRRKHELRVLSRVGANSHENDHELPKDDDHSESSQFSDEDENSNDQLHSEDEFYKDVKRRRVEKLLTKEQKYTPTTGIQQLEEETEGDGKRKISYQIEKNRGLTRSRNKKKKNPRKNYRDKHTNKVKKRKGQVRDIRKPSGSYGGEMTGINPTVSRSVRFKS >Et_9A_061016.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:8484212:8484499:-1 gene:Et_9A_061016 transcript:Et_9A_061016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLTLATGCEHRSPSFRTISASCATKSSSLGSVELIIVPFCHSSITWSASTRGSPQSLTTTGRLPHVTSIINTPNAKTSVALVALPSLDSSGAM >Et_2B_019838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14396229:14398177:1 gene:Et_2B_019838 transcript:Et_2B_019838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTVYGPIADDSVAIVMAFQSPGLQCEKAGHPEVPVAEGNHEPLRGGKPHVAGFVHGPDGLGNIDLPDPTSKKIEQSAAEFLVDKVSQFPGEVSVLALGPLTNVALAIKKDPSFASKVKKIVVLGGSFFAAGNATPSAEANIHSDPEAADIVFTSGADIYVVGLNITTQVSFTDEDLLELRNSKGKHAQFLCDICKFYRDWHVKSYGAPVVFLHDPVSFAALVRPEYFTFKKGVVRVETQGICTGHTSMDMGLKKWNSENPWTGYSQISVAWTVDVPKMVEFVKELVTKQ >Et_3A_027052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3085978:3091310:1 gene:Et_3A_027052 transcript:Et_3A_027052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTRERKSYGCRNNELSPENFLSSRMKLEKMENKKPAADHKQETSHIPKKMGPGDYPLNPGRKNEREHALLSSLSMISTRWRKYFLSTSPAASAALCATSTSLSDDTPPSSSPTAAAEALTDPIGGRPSVRTAAGEAAAGRSKRRGLGFADTGRGRGEAAGRGVVAEEEDASIGMGKRGGGEGSLNWWRAVERRVTRGSAKRRRGKMGELCWIPAAAPPAASSCWCFAETMSPARRKWPRHLPLPGSLILAFHLGIHDVKSSNPIKKRKKKRLQSSNLVY >Et_5B_045790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9978132:9982331:1 gene:Et_5B_045790 transcript:Et_5B_045790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEAARAKALAERKMEDNDFIGAKKMIIKAQQLSKEVDSVSQRMLAVCDVRTAAGVKVNGQIDLYGILQVPANADDTLIKKQYKRLAVLLHPDKNTLAGAEAAFKIVGEANMTLTDRSKRSIYDMKRNIVVRGSIARPQYQQPRRPAPTSASGTLVKLHSLHQQQQHQASNSAGPQETFWTMCPSCSMRYQYYHSILKKPLRCQNCLKPFIAHDLKEQAVPSGANQRSAGVWKNAGAPQRSPGSQANVTGQKAWNSATPGFHANAGSHEANVNRKREADGKAGGQTKIKPARATGNPVKASSTAGQKRSRRAVIESSISSDSETTSDSAEEVVEQQQNKETGGAPCGEKKFSGTEQLNKETMQAGENSDDEEKAFHSVSNNGLNPNYGDGSDDYKFDPEFFYFDQLRDESEFKPNQIWAVYDDDGCMPRFYAQITKVKTTPNFMVHYVWLEFDPKYQREAAWHNRGLPVACGCFKHGKSETAKETGMFSQTISFEKSKTRNSYEIYPRKGESKEAAPYVIPQGETLRFSHCVPHHSMSGTEREGIPEGSLELDPAGLPNKLEESFPSVTPECSSAKSQECDARHAGSFSRKSPQKGSMSAGERQHTTCTNADTAAKTPKEETSKHAEFIDEDEDNICQTEYVYDEAEFHDFSENRLFQKFSVGQVWALYSDVDKFPNYYALIHKVDLKNGKVQVRWLDACPQGEEEKGLLQEGRAIACGSFGVSNIHEMMTYRTTAAFSHPVEARSIAKKSKYEIFPQLGEIWAVFKNWKTGWSAQDYEKCEYELVEIFGHTDSSTQVKLLRKVDGYNTVKVHDWSHYKP >Et_1A_006568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24620792:24628429:1 gene:Et_1A_006568 transcript:Et_1A_006568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPSSAAPARASTRKRAAKAVAEEIQDQEVEEVLAASSKRRRRGSSSGKKPKPPPKKAKTAKARTKKVEAAREKEPVEDDVCAEEPDEEEMAMGEEDEAEEQEKAAEEAAAVSPEKAGRKRVAQPHARRAVGGGGDDHDPEFIGNPLPAADARTKWPNRYNRSAAARKPEDEEELRARCHFSSAKVDNVIYCLGDDVYVKAGDNEKDYIGRITEFFEGTDHQRYFTCRWFFRPEDTVINSLVSINVDGHEHDPKRVFLSEEKNDNVLDCITSKVKIVHVDPNMDSKSKAQLIQDCDLYYDMSYSVAYSTFANIPSENGASGSETASAISSEEVDLDMSSSVPGRTATLLDLYSGCGGMSTGLCLGAALAGLRLETKWAVDLNSFACESLKYNHPQTEVRNEKADEFLALLKEWAVLCDKYVHKDVGSDLAGSNDEANAEEDDGSTSDLDEGEYVVEKLIGICYGGSGRDNGVYFKGDVDVICGGPPCQGISGFNRFRNRNDPLKDEKNKQMVTFMDIVAYLKPKYVLMENVVDILKFADGYLGRYALSCLVAMNYQARLGIVVAGCYGLPQFRMRVFLWGALPSMVLPKYPLPTHDVVVRGGVPNAFTQSVVAYDATQKPSLKKALLLGDAISDLPKVENNQPREVMEYGAPPKREFQRYIRLSRKDMLDYSFGGEAGPDVGKLLDHQPLRLNNDDHQRVQQIPIKKGANFRDLKGVKVGVNNTVEWDPEIERVYLPSGKPLVPDYAMSFIKGRSLKPFGRLWWDETVPTVVTRAEPHNQIILHPDQARVLTVRENARLQGFPDYYRLFGPIKEKYIQVGNAVAVPVARALGHCLGQAYLGESDGSNPLCVLPDSFTAVGQPVARASSVSIPAGEGFEAQPRANTLSC >Et_1B_010350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9914667:9915767:1 gene:Et_1B_010350 transcript:Et_1B_010350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPRARNLQARERRSASCDVPSCCLKKKGTGKETDMFSTTEKKEWKGATCPVCLEHPHDAVLLLCTSHHKGCRAYMCGTDYHHSNCLEHFKEAYAKEKLALDSSANTELASKQPCPMELACPLCRGEVKGWTVVEPARRYLNRKRRTCIHDGCSFIGSYKELCKHVKSKHPSAKPREVDPAVADEWKKFECEREREDAISTIRSMNPGAVIMGDYVIELNGGNNRVNNRLHADGDDFDLEERLNFFTSLDRTLNERIDLYDSSDDSLGEGFDFLASLFARGRRIATGDTYSRAHRRQRERPRRHYSANSIDASDIQHDSASTQRGQRTGTVRAVGRTSRRHRPMVTHVRTTRGD >Et_7A_051844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25507302:25510787:1 gene:Et_7A_051844 transcript:Et_7A_051844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVEVKPGKPYTHRYEASHGRLRICQATLGNCDATARTVLQCNVGSKVPIKLCSLNPKLAEMCHLEVEFEEVDDVVFSVIGQSSIHLSGHYVGASSKGGAAADESESFGEDVGQSDTGEELACGEDSYESDFIDDRDVVPEESHGSDSMEEGENILTREVGRRHRLKKCQVDSADDKDDDSPYKPPAKRRARSIFDSCSDDDEDNMPISVALGKKDSSKVVEEIESSNVQAKDDTRKNTDVQKRKSCSISEDPVSPMDITDDNAPSPSKQDAGSKKKSKKKMKNQVDADLEKRSNARTLDDGLIVEDLSTGNKDAKIASTGSKVYIKYVGMLKDGKVIESNVNEKPYKFKLGAGKVIRGWDVGISGMRVGDKRRLIVPPSMCYGDKSVGDVPENSSVVYEVELVKVQ >Et_6B_049900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17082292:17083893:1 gene:Et_6B_049900 transcript:Et_6B_049900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGKTVPLLPELHNGADTPMTWLFPRELNVATSWHDLSTDVFRHVFSLLPSVADRIHLSQVCRDLRTAVRHEWRPRPSATAYLAIPNGTVFDYPDYHTKSRRLTDAADYRGAAADGGWLLFYEDDEGLGLLRLFSPFTGKTMLLPSLLGIRACHEPIDMDASLNRGSEQRWDETETMAVQKLVVCPGDDGVVAALVGRDGRSKLALCSMESFAWQLSARDRWRRYEDLAFFRGRLYALTSGEDLIAFDYTVPAGAGEPPRVTRVERVISGLHNIPPDAVDVVAVHYLAATRAGDGLLMVRRVFPPARRHHHAQQQRFAVFRACLEESPPRKWEERTDLGGDTLFVGRQCSRAMAPGHPPGGVRGDEIFFLADDCLGMAIWPDRGWSRPLPSKYHTSVYDMRTRAVTNLQLRDLSKEGPAPPTWIFYPDGNVEQE >Et_3B_029873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28691948:28695158:-1 gene:Et_3B_029873 transcript:Et_3B_029873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVMPSSSPSHTASDLARFAQAASKPGGGGGSGLGSMNVEELLRGMYNDMPTPGPARGGGDRPMSPAPAPEVGPRRTAEEVWKEIHGGGGSAGTVAAAAPPVVGAGGGLEMTLEDFLAREGAVKEDEIKVPGPSAPAEGQGAMGFLSGPESLPGGGGGRGRKRQLMDPVDRAAMQRQKRMIKNRESAARSRERKQASAYIAELESLVTQLEEENAELLREQEERHKKRLKELLERVTSITVRKKPSRDLRRTNSMQCLTSAMKMFPDSHHPATTSVSAHCRSKATWA >Et_3B_030656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:665030:670110:-1 gene:Et_3B_030656 transcript:Et_3B_030656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSFLNKIAVHVLELGHRGEASEPDFLRGVLGELVLTFLFVFIGVGAAITAGKSPGGDAELTAVALGQALVVAVIATAGFHISGGHVNPAVTLSLAVGGHVTLFRSSLYIVAQLLGSSVACVLLRWLTGGLATPVHMLADGVGPVQGVVAEVIFTFSLLFVIYAAILDPRKLAPGIGPLLTGLLVGANTIAGGPLTGASMNPARSFGPALAAGVWTHHWVYWVGPLTGGPLAVLVYENVFMAAPADTHQLLPQQDGEASEPEFLRGVLGEFVLTFLFVFIGVGVAITVGKTNGGGALGEPTALALGQALVVSVIATAGSHISGGHINPAVTLAFAVGGHVTLFRSALYVAAQLLGSCTACVLLTYLTATGGLAVAAHALPDGVSPAQGVVAEAVFTFTLLFVIYATILDPRKVAPGMGPMLTGLLVGANTIAGSTLSGASMNPARSFGPALVAGVWTHHWVYWAGPLFGGPFAALVYECVFMDDGSRQLLPQHK >Et_8A_056467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10503494:10513882:1 gene:Et_8A_056467 transcript:Et_8A_056467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQSSPETAEMDQEPGPPASAVALASDAAAPEDPPSQLDDFKNRVQLLCDSDKADFGAWVSLIRSAEETSPDDREVISLVYHSFLLEFPLCYGYWIKYAAHKARLCTTEHVVEVYEQAVQAVPHSVDLWVSYCDFGVCSYEDPAAIRSLFEQALSLVGKDYLCYHLWDKYIEFENSQKQLVRLATIYINTLKFPTKKLHEYYESFRKLVTLLEQEVNHCIAERLSESIHTSEVVDAENSELDITTVISDLFDQIGGQLRPEALKQYLSVGERLYQRSSKFYKDICCFEASIKRRFFHFKPLDDAQLGNWHQYLHYVEKNGDFDWAVKLYERCLIPCANYSEFWIRYAEYVDAKGGREIANYALGRASSCFVKGVPTFCMYYAMFKEQTGDALAARSLFVKARSNFTSGYYANINRLANMEKRMVNRSIREAKEVFDKGIKRAPCKPLIKGLIQFMSTHGGPTEIPLLDSVISNAVTPGSDIYMTLSPEDREDISLLFLEFVDLYGGVEELKKAWARHSKLFPQSTRNVPQQYCSTGNSLQENNKRRKTEPMMVAHDHSSEDLRKLEESSKPDNYSLIVDKDVDSQGDRNIVDSGIGHKDAEEKKALGNVDLHHEASRTAHECTEIVHGPHVPDNFGMQNQMNSHAKEVADQDLGSCKQNGEKTNFHQDQGEEAPVAESGGSDSPSIAIACSEKISSQEKVIEISPSSHPEILCSKSDSTSGASIPKEGRPSDPPQVSPELEGRQPVKVQVEVDMKNDLSVNNANTERFDDGQNQTQYAHESQDHIQSSQPQQLPVCAKSSSSELAKTEMSTLRCEAQPKQEVANSQAPQFNNHSLAGQNMQQQWLSYTMPQNGRRNPQMEHGQQSETSQ >Et_7A_050242.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14843199:14844698:-1 gene:Et_7A_050242 transcript:Et_7A_050242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SCRPTDVSGSNRSPNRPPPPADAFLHLTGPDPPRRRPILPAWPKPARSARPALPCRIPLKARHPHAAGTAAPPNPKPNLNRIRSPSSPSSLPRIRPRSAAMDDDDDVSAEGSPSPASSPAASALPVPDPVTVAAAPPGGTFALALPIHRTAASLYATAGGGGGGGGGREDAWSEGATSALIDAWGERFVALGRGSLRHPQWQEVADAVSSRDGYSKAPKSDVQCKNRIDTLKKKYKIERAKPVSSWQFFDRLDVLLAPTYGQKPAGGGSGTGHNSNGRSPVPTALRVGFPQRSRTPLMPAAIKRREPSPEPSASSDSSDGFPPPAFPVANGKRRRTDEDRGDAGSNGDSAQGLRELAQAIRRLGEVYKRVETAKLEQAAEMERHRMDFARELESQRVQFFLNTQMELTQSKNHSSPAAVTVPSNAATVGGGSRRMSHGTDAGGSSNHHSRYRISHGDRHRHHHASRPHYRYHDNLPANAAASEVEQSDEDDTDDEEESQ >Et_5B_043391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:147794:150039:-1 gene:Et_5B_043391 transcript:Et_5B_043391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLSASPSQSCCCFGHLPPLSLSGRRRIALPSSPARRLRVSVAAPTQGQGQGVSSSARRNAPEEEFDAGAPPPFGLADIRAAIPKHCWVKDPWRSMSYVLRDVVVVLGLAAAAARLDSWLVWPLYWAAQGTMFWALFVLGHDCGHGSFSNNANLNSVVGHILHSSILVPYHGWRISHRTHHQNHGHVEKDESWHPLPEGLYKSLNSATRMLRFKLPFPMLAYPFYLWSRSPGKSGSHFHPSSDLFQPNESKDVMTSTACWLAMAGLLAGLTFLMGPLQMIKLYFVPYWLFVMWLDFVTYLHHHGHDDKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAAKPVLGKYYREPAKSGPVPFHLLGDLVRSLKRDHYVSDTGDVVYYQTDPEINR >Et_6B_048399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18535031:18535362:-1 gene:Et_6B_048399 transcript:Et_6B_048399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAADPSVVVRVVTSAAPSAGPGSVAGHVNHPVFSPDGRSIAFTADLSAVSAEPISMPTFLHSVRPYGDIFSIDIDPAQPLRVLHASVDTICY >Et_7B_055130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7556569:7559351:-1 gene:Et_7B_055130 transcript:Et_7B_055130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSFFHPLAAPVAGSGTRVRRCPLTLPVPGPAPLLVRAKRTDSRTPAAASRQPANPSAAPKEEEVEVEEEMPWIQDKALDLVEFTGTVTQAIPGPRVGSSPVPWLLAVPLAYVGVSFVLAVVRTVRRFTSPRTKKKRRVGKNIFLLKSLDDLFQKGREAVDYPALQDLMQKTGFDMDDVVRKYIRYTLNEKPFNPDVVVDLIHLRKASMLEDAEVAEILNEISKRIVREKGPVVMDLSGFTEQGFKRKLAVQALFGKILYLSELPEFCSRDSSLVVKEIFGVTDEDADSIRTHTLSATGDIESIQKMVDDSDLEHGPSSSTLT >Et_9B_063799.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14935358:14935603:-1 gene:Et_9B_063799 transcript:Et_9B_063799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVLAGDTQTAKDDKASEARSKGHTGRTVLIVLLGVAAAILLSFFLFKYWQKKKREEQHARLLKLFEEDDDIEVELGLRD >Et_3B_031369.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27087447:27088559:-1 gene:Et_3B_031369 transcript:Et_3B_031369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHKFRLSDMIPNAWFFKLRDMRARGGGAAAAAQATSRAGTPSRHGACLPHRASHYYTPRAGDLVLGSPLRIHNPKASDTHFPPLPLSPPRRSGKRRHRRRSVKLAAPSVSSSSGFTSSPASTGCRCGRKPEMVAVEAPDTPPCRRDRFFGYSEDDDDDLKKPAFAVRADDKLDGKVITSATEIIIDLRTTNRPQHKALPPIVTKPAARRDPDDGCDLQDKHVDVVTNAARRTAAPAPLVPEQSSKLKPRRSVTSSAARRLKTRANTPRVSVSSKKSKPAARSPAPRANKPSPAPAPLAESFAVVKSSQDPRRDFRESMEEMIAENGIRTAADLEDLLACYLALNAAEYHDLIVDVFEHVWASLADIKM >Et_3A_026451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8216893:8225444:-1 gene:Et_3A_026451 transcript:Et_3A_026451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAGEAAFSRSGSWRETEDEADALRWAALQRLPTVARARRGLLRSPAPHGAAEAAAEGDDLLCEVDVAGLSSGDRTALVDRLLADSGDAEHFFRRIRSRFDAVHIEFPKIEVRYEDLTVDAYVHVGSRALPTIPNFICNMTEAFLRHLRIYRGGRVKLPILDNISGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGPGLNMSGDITYNGHHLNEFVPQRTSAYVSQQDWHSSEMTVRETLEFAGRCQGVGIKYDMLVELLRREKNAGIKPDEDLDVFMKALALEGKQTSLVAEYIMKILGLDVCADTIVGDEMIKGISGGQKKRLTTGELLVGSARVLFMDEISTGLDSATTYQIIKYLRHSTHALDGTTIISLLQPAPETYELFDDVILIAEGQIVYQGPREYAVDFFAAMGFRCPERKNVADFLQEVLSKKDQQQYWCHYDYPYQFVSVSKFAEAFKTFIIGKRLDEELAVPFNRHRNHPAALSTSSYGVKRLELLKSNFQWQRLLMKRNSFIYVFKFIQLLLVALITMTVFFRTTMHHDSVDDGIIYLGALYFAIVMILFNGFTEVSMLVTKLPVLYKHRDLHFYPPWAYTLPSWLLSIPTSLIESGMWVLVTYYVVGYDPQFTRFLGQFLLLFFLHQTSLALFRVMASLGRNMIVANTFGSFALLVVMILGGFIITKDSIPAWWIWGYWVSPMMYAQNAISVNEFHGHSWSKPFANQNVTLGEVILTGYGLFKEKYWFWIGVGALFGYAIVLNILFTLFLTLLNPIGNLQAVVSKDEIRHRDSRRKNDRVALELRSYLHSNSLNGPNLKEQKGMVLPFQPLNMCFRNINYYVDVPEELKRQGIAEDRLQLLVDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGLIEGSITISGYPKNQETFTRISGYCEQNDVHSPCLTVIESLLYSACLRLPSHVDADTQRAFVEEVMELVELNPLSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGQLIYAGPLGSKSRNLVEFFEAIPGVPKIRDGYNPAAWMLEVTSTQMEQILGVDFAEYYRQSKLFQQTKDMVETLSKPNNESKELTFATKYAQPFCAQYIACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWKFGSRRETQHDIFNAMGAMYAAVLFIGITNATSVQPVISIERFVSYRERAAGMYSALPFAFSLVTVEFPYILVQSLIYGTIFYSLGSFEWTAAKFLWFLFFMYFTLLYFTFYGMMTTAITPNHTVAPIIAAPFYTLWNLFSGFMIPRKRIPVWWRWYYWANPVSWTLYGLLTSQFGDLDQPLLLADGVSSTTVVAFLEQHFGFRHDFLGVVAAMVAGFCVLFAVVFALAIKCLNFQRR >Et_10A_001270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22794582:22795432:1 gene:Et_10A_001270 transcript:Et_10A_001270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GSETEKTTVEVEGITFPSEIASGKPLTLLAHGLTDIEIHFLQIKFNAIGIYFEKNDVLLEHLQKWKGKKAEELAEDDGFYQALVSAPVEKLFRVVVIKEIKGSQYGVQLESSVRDRLVAVDKYEDDEEEALEKVTEFFQSKYFKPNSVVTFHFPTTPGPAQARKDEVKITVENENVAGMIQKWYLGGSSAVSPTTVRSLADHFAPLLST >Et_3B_029305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23907065:23910622:1 gene:Et_3B_029305 transcript:Et_3B_029305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGHGYAAASLYVAPQGDYGQPQAQPAPRPPGCPYSSSASAPPVSYHSLPPATSAPPVSSPPPASPPPEPLTLPPLPPSPPPPALSPPPPDAPPPSLPPSLPPSPPPSAPPVDVSAPPPPLATDQPRVQPRVYPSPPPPSLPSPPPPTVSPPAPPPSVTPSPSPAPAAPAPAPARTAAHSPPPPRIASPPPPRYHVKPHYVMPHSPGKSHNSSHAASNSGKNIEISREAATTIVALAGLAMLSFIGATIWFVKKKRRRIEPPASLPTQQPAPPPPPNYIPSSAGSSLASDGFYLRSPGYPFMRTSTGSHGFPYSPADSGIGYSRMLFTPENLAAITNDFADETLLGEGGFGCVYKGILPDGRPVAVKKLKIGNGQGEREFKAEVDTISRVHHRHLVSLVGYCISEGQRMLVYDFVPNNTLYYHLHVSQEALDWRTRVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAQVSDFGLARLAADSNTHVTTRVMGTFGYLAPEYALSGKLTAKSDVYSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLIRAMEHRDFGDLPDTRMDNKFDENEMFHMIGAAAACIRHSAAMRPRMGQVVRALDSLADSNLNNGLQPGRSEVFLEPQSEEIRLFQLREFGSRDCSDELSQASWRSRRDL >Et_1A_009134.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30412093:30414978:1 gene:Et_1A_009134 transcript:Et_1A_009134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAPTPTPTPLPPPSVPAQPVAAARPARYDFLNSKPPPNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSAAAAAPAVGRGRGKPPGEDGGDDDGGDEEKGYDENQKFDEFEGNDAGLFSNADYDDDDREADAVWESIDQRMDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLADLSAQEWESIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAAGGTETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEIAGKLQVARQLIQRGCEECPKNEDVWLEACRLASPDEAKAVIARGVKAIPNSVKLWLQAAKLESNDVNKSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLHRAVECCPLHVELWLALARLETYDQARKVLNKAREKLPKEPTIWITAAKLEEANGNTQSVNKVVERAVRSLQREGMDIDREAWLKEAEAAERAGSVLTCQAIVKNTIGIGVDDEDRKRTWVADAEECKKRGSIETARAIYAHALTVFVTKKSIWLKAAQLEKSHGTRESLDALLKKAVNYNPRAEVLWLMAAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENNEPERARILLAKARERGGTERVWMKSAIVERELGNVEEERKLLEEGLKLFPSFFKLWLMLGQMEDRLGRGAKAKEVYENGLKHCPSCIPLWLSLASLEERISGLSKSRAILTMARKRNPATPELWLAAIRAELRNGNKKEADALLAKAQQECPTSGILWAQSIEMVARPQRKGKSHDAIKRSDHDPHVIAAVANLFWQDRKVDKARNWFNRAVTLAPDIGDFWTSYYRFELQHGNADTQRDVLRRCVAAEPKHGERWQAITKAVENSHLSVEALLKKAVVALAEEENHNAADA >Et_3A_023674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10697466:10700967:-1 gene:Et_3A_023674 transcript:Et_3A_023674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPTAAPATLKDHLSAPTGPLHLKVWEVICIALGAFMVIVFFATVWLTIKSRKRVRRASANIPITQIPAISKEIKEVRVEQVPASEYISHDGVLMTIQDKSSDRDSDKVMVHLGVSKSRRGDESHSGSFRYMDKDGGFQSADEGGSGTFRQASAHAITAPSPLVGLPEFSYLGWGHWFTLRDLELATNRFSKDNIIGEGGYGVVYRGQLINGSPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLEQWLHGAMSHRGSLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFESKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVVLLEAITGRDPVDYGRPANEVNLVDWLKMMVASRRSEEVVDPTIETRPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLESDDPMPRGDRRSRHNRGGSTEMDSQKDNSDTEKSDNPDSKPSRSKASSSK >Et_7B_054241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18009495:18026620:-1 gene:Et_7B_054241 transcript:Et_7B_054241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTCSIPFASFTKVANRACSSCHHKPQRNPSEWGLTFGSLPLPQDYLKTVVPSQLMAERGSNLVVINPGSANVRMGFASQDVPFNIPHCIARRINPQQDEEPRFSVRDQMLNCHATPSMNAERERAYDIIAALMKIPFLDEEMPSANQPFPPKMGRVDGFSSQQSREDSKFTWTDVTEKNVKSSTSIDRSVDKNADEDTLPSTSGDGNEHGFEENKYKEMIVGEDALRIPPSESYCLSRPIRRGHFNISHNYSLHQVLEDLRTIWNWILTEKLHINPRDRSLYSAILVLGETFDNREIKEMLSVVLNDLGFSTAVVHQEALAAAFGNGLSTACVVNIGAQVTQVVCVEDGVALPHTALALPYGGDSGSFDAVALVHSYDNEKSAGHQKTRLSALNVPPMGLLYPRVLVPEEYPPPPRPWFQDYDDMLEDTWQTSDSLHPNGNGGFGMWDSYPMFPTRLKKFDNISLVDAIVSSVLSTGRVDLQRKLFCSIQLVGGAALTAGLAPVLEQRVLNKIPANQSIERVEVLQSRTYPLFVPWKGGVILGILDTGRDAWIHRDDWIKNGVHIRSRRKYKDSYFLQAQDEPWLDWPAAAVGSAATRVTDRT >Et_1A_005453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10587830:10589103:1 gene:Et_1A_005453 transcript:Et_1A_005453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TPPSRLLTDGVAAADGVDFIEWGTSTWGVGHRAHPGRRVLVVVVHAAAARRHDAVEESGPDHAGAGSAALLRGHERAVRGAEAAPAARELLAPGPAVAAALPAAVRLAEVGAPLRALVAPVHAAPLPAAVLHAPVRPAPRALPAPLEDTPLPSAVRDAELGAAVDALGAHPAAPVRAPLPAAVRLAQRRAALGALAAPFEHAPLPAAVGLAVERAALRALAAVGELAPPPAAVGDAQAHSPFRAADAAFHRAPLPAAVLDAAPGLAAGRLGAPRVAAPPPAAVGDAVVRLPLGALGAAQLLAPVAPAVGLAVRGAALGALVAPGLLAPLPAAVGDAQPRRASRPLRAPFEPALLRVPPAGLLLSLSRVAHHRHNVRAHAERSLRHGRRRFRAQPQTVVGSMNSIISVRATLFNSS >Et_5B_043202.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:17331082:17331201:1 gene:Et_5B_043202 transcript:Et_5B_043202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSCRSSGICSLACAVIYTVCHTGSELSFWAIQSVSTI >Et_8B_060558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20900588:20901913:-1 gene:Et_8B_060558 transcript:Et_8B_060558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVDMSEVLGAYTNDVVCRAVLGGSHREKGRNKLTFRELIEINVSPPRRLQPRGLLPRAGQGGPAPPQQAHRLHKTWPSILFSGGSDVALASYGEYWRRARKLVTTHLLSATKVHLVGEQPHVALRDLADKHGLMLLRLGAEAVTRTHDHVLASRPRSTAVDILLHGEQWRQSRKLVTTHLLTVKKARRDEVRLAIAKLRRHAKATATTCMSDLLYSFANDLICRAVSGKFFMAEGRNQLFRELIGMNTALLDGFHVEDYFPRFDLLTRLVCGNKAKKLQERWHHLLDKLIDAHATKNHLQLLEHDAQANEQQTTDFIDMFSSLWNKSTDSPGIK >Et_1A_007783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38239635:38244053:1 gene:Et_1A_007783 transcript:Et_1A_007783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAALAAAGGGGERAEAVAGPVLGGTRGAAGEPGPETAGQGGRKGRGEAVPLRLRLGRARRRAGPGTPAPSWKMEDEVAGEGEAAAARRSSASASARQLGASLWEIHDVALEGRRRRRGGKGVAAGREDGGGVEEADQGSDGFGVCLANSSMKHHKLHEESSHRIQPFSPASYTSSVRGSGVNHAVSPAHSLDFKGRFRSASSSLKTSTELLKVLNRIWSLEEQHTANLSVVNGLRLELQQAQAHIQELMQERRRYRHEVSSLMRQLSENKVVKKNKEHRKIEAAVHSLQGELADERRLRRHSEDLHRKLSKEISEINSAFLKAVRDLEKEKQANHLLEELCDQFAMGIRNYEDEVRVVKKRHVKNYEPNFDKSVLHIAEAWLDERVQMQNTDVKEDLAQKFTITDRLSNEIQSFLVSKRSGGSKHNEKYMNDNTILRRQSLESVHLNGATSAPQLAEDDDDDSVATLDSHCNTGVTLVEEQNGITSTYISRGSHNDPSKNNQEAHVTCLGQESLDHYSRTSLFCEGTTSGDLGNLGSPTRQLTYQSTSLDPEISEFSPEPPIGVVGNTLKEKLLQARQEGLHARLKASGSSSTSKRK >Et_4B_037659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22278489:22288406:1 gene:Et_4B_037659 transcript:Et_4B_037659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVLVVPFPGQGHMNPMVQFAKALASKGVATTLVTTRFIARTAPVDAKPATVAAISDGHDEGGFASAASVGEYVEKQTAAASASLAELIEARASSPAPFTCIVCDSYEQWVIPVARRVGLPAVPFSTQSCAVSAVYHYFSQGRLAVPPAAEDGDGGVPKSEALDGLPEMERAEFPSFVFGDGPYPVLAELALTQFAREGKDDWVLFNSFEELESEVLAGLKKHMKARAIGPCVPQSAADTDAAGRITYGANLLNPEDACIKWLDTKAPGSVAYVSFGSFASLGAAQTEELARGLLAAEKPLLWVVRASEEANLPRGLLDEAAESGAALIVRWSPQLEVLAHPAVGCFVTHCGWNSTLEALSFGVPMVALGLWTDQPMNALNVEQAWAAGVRARRDVATGMFLRGEVERCVRAIMEEGEGARAVREAAGKWREKARAAVAPGGSSDRSMDEFVEFVRAGASEKWKAMVLEGSEPAGAEILSQGTTMAHVLVVPFPGQGHMNPMLHFAKTLASRGVVTTFVSTRFVARTTGVDAWPAMVAAISDGHDEGGFASAAGVGEYLEKQKAAASASLAALVKARASSPAPFTCIVYDTFEQCVPPLARRMGVPAVAFSTQSCAVTAVYHYVSQGRLAVPPAAADGDGDGVPTREAIEGLPEMERSEFPSFVFGDGPYPMLTEMALNQFAHVGEDDLVLFNSFEELESEVLAGLMNHMKARCIGPCVPLPAADTSSAGGHITYGANLLNPDDACIKWLDTKAKNTVVYVSFGSFASLGAAQTEELAYGLLAAGKPFLWVVRETKEAQLPRGLLDDATASGAALIVRWSPQLEVLAHPAVGCFVTHCGWNSALEALCFGVPMVALGLWTDQPMNALNVERAWAAGVRARRDAATGMFLRGEVERCVRAVMDEDAATVREGAVKWREKARAAVAPGGSSDRSMDEFVAFVRAGAAENWKAVGHMNPMVQFAKTLASRGVLTTFVTTRFIARTAGVDAWPATVVTISDGHDEGGFASAASVGEYLEKQRAAASAYLAQLIEARASSQAPFTCIVDDSFEQCVPPLARRMGVPAVAFSTHPCAVSAVYHYVNRGRLAVPPAAADGDGGCVPKSKTVEGLPEMERTEFPSFVLDNGRYPMLSKLALNQFDHVGKDDLVLFNSFEELESEVLDGLSNHMKARCIGPCVPLPAADTGSAGHIITYGANLLNPDKACIKWLDTKARGFVAYISFGSSGSLSLGAAQMEEIGYGLLAAGKPFLWVVRPTQATNLPRGLLDEAAASGAALIVRWSPQLEVLAHPAVSCFVTHCGWNSALEALSFGVPMVALGLWTDQPMNAMNVERAWAAACARAATRSRGCSCVARWNAACARLWRRERKRLPCVRRRGSGGTRLARRWRPEAALIGASTSSSSSCVPVHGRKSTVSGRYSVPNPLSHYPEDLLILLD >Et_7B_055669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20510338:20513013:-1 gene:Et_7B_055669 transcript:Et_7B_055669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSMFDLVRPAQGSGDSKGNNSDQELQGLLVQLQINPEENDRWSYIWGNDMFTSKQAYKTLKGYANTSPIYKWMWKSCARVLYKDLMKRTARSKTYFSTLAVGFGKFKKATKNKSFRAGATMVESAAAPDQVAWNTHPLLVNR >Et_10B_002732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10036621:10039259:-1 gene:Et_10B_002732 transcript:Et_10B_002732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPDPIVTLICYPTDETTLVVPYHYTSLPSSGLPWQLTRSSTASSAAAAAAADFSPPPRPRLRIRPHSTSLPPSLFSRSIGLPPPTTAAVAPSRSPQETRRRGGSRGRGRRCRQDEDIEDADREYVASVGAGLPAYLRAARAGPAGDPVFFLLTAVAVTTFVAFTSMVAVAIPTMLAMRRAANSFCMLADAALEELPSTMAAVRLSGMEISDLTLELSDLSHEIADGVNKSAKVAQAVEAGIGQMGDIARQQAVSMIKERANLQTIPTAGNR >Et_5B_043155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12339555:12341358:-1 gene:Et_5B_043155 transcript:Et_5B_043155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHPPPSGFSICKGFPGEPPGMSNPINFQHPQFPQHVSFSQPPYAMNFAHRQFPQQHLYPQNVQYVVLQPQYTPFSLPEPPPHPAGGVVPFASTSYSATPHSITDPDEHDNVSVDDDDNAEPDRTARRLNWTEVEDLRLNSFKLNNWKKNGAHWPSVAKVYNSSTPKDHRRAPKQLKPHWQNINKKIAHFYDCWCRVEAKHSSVQSANMQLMDKAWVMYNEEALAMYREEAKHHFTLNHLWKAVWDQPKWKSYIAALKLKETKLSGSEDYVSSSEDAELQEDNQCSVHPQNVLEKNHEDVIEVDVPRSDHKPELDFLMAGTSRFHELKHGKEEPMDDTSRFNELHHRNSVGDDVPEKRTHPKGSKTLEHARTVKGDPEKEAHPQGSKMAKSKQRRKGSTSSPSEVQEDIKRAVDLQAILQKDREKMSEVQLRLSKEKLELARLKQQEAKDKKETTLYEKYTELLLADTQRFNEFQKEEYQKAVKRMGEMLFGKDSV >Et_1B_011350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20892563:20893718:1 gene:Et_1B_011350 transcript:Et_1B_011350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLRKGADADDMISALIIFSVQYIMVNHMNWKYKCSRWKITLRVFELVKSCIQVKPFSSKLGGIIWEILLYDSSVHNVLWRILATSTQLLELFERLFDNLLKCFQHSFNSYTHGLNDTEDIQLVLCSGLNIINYTLLNVPVIKNNGPVRIRINNSVRHQPVFSSPTSTLSNAAGATTPVTPIASHLFLCAGVDAAMPQVSTFLPVAALDT >Et_7A_051475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19132593:19137797:1 gene:Et_7A_051475 transcript:Et_7A_051475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRASLSRSVKEIRVLLCQSSPASAPARDFVKKNYADIKARNPSLPFLVRECSGVQPQLWARYDMGVERCVSLDGLTEAQIDKKLEELAKAAWESFFGIIFPRQSLNWKLP >Et_2B_020934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24951853:24957122:-1 gene:Et_2B_020934 transcript:Et_2B_020934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVRLMSLFLVVLAAAAAVSAAGARPREVAVGALFTYDSTIGRAARLAIELAVDDVNADRTVLAGTTLRLMTQDTNCSGFLGTIEALQLMEKNVVAVIGPQSSGIGHVISHVVNELHVPLLSFAATDPTLSASEYPYFLRTTISDYFQMHAVASIVDYFQWKEVTAIFVDDDYGRGGVSVLGDALAAKRARISYKAAIPPNSNTDVISDVLFRVNMMESRVIVVHVNPDTGMNIFSMANKLQMMASGYVWIVTDWLAAVLDSSGSRGLRDMSHIQGLIVLRQHTPESNTKNKFISKWNNAAHNRSITSDLNSYGFYAYDSVWTVARAIDQFLNSGQQISFSTDPRLVDSNDSTLHLSTLKIFDGGEQLLQQLLLTNFTGLTGSVQFNSDRNLVHPAYDILNVGGTGYRLVGYWSNYSGLSVAAPEILYQKPPNTSTSAQKLYNVVWPGDTTTTPRGWVFPNNRKPLRVGVPIKASFKELVSIGRGSDNVTGYCIDIFNAAIKLLPYPVPCQFIAIGDGRKNPNYDDIVNMVAANSLDAAVGDFSIVRNRTKVAEFTQPYIESGLVIVAPVRKATSSAWAFLKPFTWEMWCVIGALFIFVGVVVWILEHRINEEFRGSPRRQVITIFWFSFSTMFFSHRQNTVSALGRFVLLIWLFVVLIINSSYTASLTSILTVQQLATGITGLDNLISSALPIGYQAGKFTRNYLIEELNVPESRLVPLNTIQEYADALNRGPKDGGVAAIVDEMPYVELFLSKHCNFRIVGQEFTKEGWGFAFQRDSPLAADLSTAILQLSESGQLQRIHDEWLSDPSCASDASEMGATRLDLGSFWGLFLVCALICVFALVWHQRFALHSQYYPDLSFRISQNIA >Et_2A_016187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21848078:21850093:-1 gene:Et_2A_016187 transcript:Et_2A_016187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQRLTITLVPRATAKPPISAPSAMASCGISIGLGGCSRMLSMTTARRYGSLPMSDSSGCGFEPSASRSSERTLDITAGCRSSSAIVHSTVIAFVSVPAAKRSCICGRVELILQVKLQQNIKEILARFMAATGVETASDDCIEEVVEPPHKLSAAPAAALQVEPGEHGEEVGQVGLGNLLDRPLTSISSSCARSELRSATSSENRALDTTLSTHENTTRPTSTAASPPWRRWRSDARRNSTSSLTFASRTARVASSPRAEKSQFVHTARSRFHSGLDPGSQITECSWFPSARPASVSDRAARMWSYLCSTSRAASAEETTTAVAVPSFKDMTGPYTTASLARAWCGSPRISRMLPRSGRGLGPGGRRAYFPVVVSAFGLPLAEKKTL >Et_2B_021502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30120715:30121379:1 gene:Et_2B_021502 transcript:Et_2B_021502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVQAALAVTGTFTLRVTGLSFRVTTDDGQTIVFADVVPPSWTFGQTYASKLQFQVNLVK >Et_10B_003398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19461064:19462586:1 gene:Et_10B_003398 transcript:Et_10B_003398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVAQLLRELLFPPATELVDECSSVKLESGAGLLPAHTCSKRARDNDELDEVGKQQQNKCLVTSTPDFDGYQWRKYGQKQIEGAMYPRSYYRCTWSAEQGCPAKRTVQRNDDDIMISCNGVGVGSSPPNCKYTVVYVAEHTCTANDSMEAAPVILETTAVYPTTNVDNRPADADDSENNVAGPAAAFLAPPEARHDAAAAAAASSPATTVIVTESPATSDDVTWSSASEHVDDYDSSSWMFDDSWAPSTTHHPPVESSSSLVQQDMDDFTGPIRSPVHTAAGGCWTMDPYHLLLVNEPITTHFSFSAAGFSF >Et_10A_000925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19191089:19194633:1 gene:Et_10A_000925 transcript:Et_10A_000925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPEGEASGGSTAAAGEARTPSPPPPPSPALPTSTSGSIDASSTSHSPAPLPPPKQPAPAVEDDPMEQPKREEGADSSAAADEALSLSPSPPPSPAFPAATSPKSRSASQQRPQKKLAPGAVIASDDTGILGRVAMDSTAAGAGPRSPAPPPSPAPPSTTPGFLHPAAAPAFNCAAPLQPPEQHQPSSEPVLAAAAKANGVPCDSSSTAAGDVPTAPAPPSTSRPVVGAFAASGTFPESSSRAMEQEDNEKKGKEAGLKKRENEKKGKAAGLKQGENEHKEKESGLKQRDNEHKEEEAGLKQGDNESSSRAADRSRLQEQLAASGQAGDSIVAPHLPNGSVLVPSDVSLDAGDFNGTPAPSTSGLLSPPVQDGLRPRNTSTNGNKEDVPLCFLEQIAFENKYLQETVNGLTAQMEKAENAHKQKEADLKQKEDALLLDRLLHRERKVKEQEAAARVQWLAQTWHRRCNSAGGISGATARADLAMLQLTHPLLQPTQQSTPTPNPDNEEAPAVSPTAAPMAEDDMWDKRQISYVFYLGLAVTLLILIRPLLPRVFHRIFLAVFAGIWGLGSIGLPLGIFGTSRFEKDCSRHIGRFIALCCSLMVIYAAYLLALTPLKADGTSPSPPPLPEVDEKVIIWRIVFGAIGVVVSGLHIACWIMMIDSNWKAQQEFNKRR >Et_9B_065733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9935696:9938043:-1 gene:Et_9B_065733 transcript:Et_9B_065733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVNPVPPPSFPVPPAFLGFSCHRYPLSYRKSWPKWIAPPDYRKPRNMGTIEMAMRNSKRQTTEFIFKPILGMPDPMGQDSESVADQFKQNLLYGDSLDIVCRANKDSEIHDIVARNVCSVKQEIDHLMEWRSKQPGFKPMQDGPNTIIQLGTPMDGSSG >Et_8A_057741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6634040:6634976:-1 gene:Et_8A_057741 transcript:Et_8A_057741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSPRVLVVDSTGVGRMYKTKLLSKFNFRATAVSSVEEALNFLDVENDVNMILAEYFMDGMKGYDLLTKVKESSKLKHIPVVITCTEDDPDQIKKCMEGGAKRYFLTPLKFEDVPTLLNFI >Et_2B_020110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1700623:1703816:1 gene:Et_2B_020110 transcript:Et_2B_020110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VYSPSGRESSEIMDPASKQNSSLKAQNKLQEDKLASTRAEMGEVREENERLKKMLSRVTEDYRSLQMHFREVLQQEQAKKLADTTTSLDTDIEEPGFVSLRLGTSTSIHKKEAKSSIAEGNRREELMTSIKQGGLTLGLSDCKVGATNSGKIQPNVLILSPEGSSEDAKDDAVETTDQWPPSKTLKNARDGDAEVEDDIGPLPQVKKARVSVRARCDAPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAAGCPVRKQVQRCADDMSILITTYEGTHNHPLSASATAMASTTSAAASMLTSGSSTSLPSLGFPTAAASHPVHHHHPVLPDHHPRPHVAGGHLLAGLLPQQQVLLELWSRHWHSGSPAWPAVGSAGYLSYGSPTAPSYTGASLNSINGRQQSGDGLAFYQQQKASASGSAPAGVLTDTIAKAITSDPGFHTALAAAITSYVGGNQGGGSSSAGRDSGGLQGLRWGQHLGLGPSSSSTSTPCSSALLARSSSTTSAAAAVAQSSRGRAFLQPSLGLSSAGSRSASTSPVENREDTS >Et_2B_021530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30291432:30294100:-1 gene:Et_2B_021530 transcript:Et_2B_021530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTVSGVSTDDDYQEGQILESPNLKIYTFVELKNATRNFRPETVLGEGGFGKVYKGSSTGMVVAVKKLNPESVQGMEQWQSEVNFLGRISHPNLVKLLGYCMDDNELLLVYEFMAKGSLENHLFRRGAVCESLPWSLRLKILIGAARGLAFLHSSERQIIYRDFKASNILLDSNFNAKLSDFGLAKHGPDGEESHVTTRVMGTYGYAAPEYVSTGHLYVKSDVYGFGVVLLEMLSGLRALDTSRPSEKVNLVNWAKPLLADRRKLSQVMDNRLEGQYHSKGALQAAQLTLKCLNGDPKSRPSMKEVVEALEKIELMKSRSREPRHSSSLTRGQVNSPRTDSSRKNSRGR >Et_2A_017043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30439622:30440355:-1 gene:Et_2A_017043 transcript:Et_2A_017043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATSRCECIATVQQISKEENDALLPSSSFPPFLLSLPSSKSTATHTSFHTCMDAELLPLQGYKPSLPPRLPSKVTRCHAQMGNVAAGRRRQSPVVEDRFTRPQRLVMQLPDMDEGRLRRLICSGDLAPCFDAAEDADDGQGEECPICFYFYPSLNRSKCCGKGICTGEFPDPACFGCTVALASRLLVGLSSSRDKLA >Et_7A_051791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24862035:24864389:-1 gene:Et_7A_051791 transcript:Et_7A_051791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTALLCWTIAATVPAACLGSGRSRKEVISYLYPSFDYFTGRYNGELKYSWDAGAIDGALHLTGDDIYQPPVQYLPDAKRPAGRVTIWAGPSYIDPRTEEELLSHEASFNTSFTMSVNRSQQRNDDDGGLLLEVLPTVLDQFDRTIYYSLFRTTTSSNISVDIGKLEYYYQQGTRGVYVSVSPASTAKHTVWVDYDAVGHNLSVYIVDGGGKPKPGHATLHALLDIDGILGRGSFYKNFGLFASKNRLVPSCQPVIYSWNVTADSPSVTGGRRRRRIGGWLLAIVVSSSVLVAAGAAIILVAWRWSLLVSWYRALVMKLKLSRALRRLPGTPREFKFADVKKATKNFQESNRLGRGGFGAVYKGTILIAASSDGGRQLEGRRRRRYVEVAVKKFTRKEDRGYDDFLAEVAIINRLRHRNIVPLLGWCYEKGQLLLIYQYMPNGSLDQHLFHRNRQRTVVLPWETRYRVVADVAAALHYVHHEYERVVLHRDIKASNIMLDANFNGRLGDFGLAGLVDDADKNSLTDHAVAGTWGFIAPEYPVTHKATRQTDVYAFGALVLEIVTGKRSLGTAGADEFLLLTDWVWRLHGEGRLLEAVDDELLTAAAREVDLPDVSTRLLESVDLRSELDTSTSFKLDATRLLLLGLACTNPNPTDRPSTADLVQIIAKRIAPPDVPLVKPTFLELPLLDDLDLDEDDDDPDYSNTGTLSREGLALSIGSLSLEIMVCRSRRSLP >Et_5A_042501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16026148:16026544:1 gene:Et_5A_042501 transcript:Et_5A_042501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRLRRRSRPAAVLVVPWCPQEAVLRHAAAVGLFVTHCCWRPPSLGCRCWETTNCRQVCECWGNGAALQEPGCMVREMMAGEMGKEKREKAAEWKAEAATVKGGSSWRNVERLVQDVLLAGSK >Et_1A_006485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22988431:22989873:-1 gene:Et_1A_006485 transcript:Et_1A_006485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KAKCRCNGTVAELQCRRSGSSPPPNPPTLQPLQAKNPSSSLALSLTRSQAPRFCASLSSASLCSAHTLTAVAIERASAMAAPPHLLPALLLLLLLPATPEATPTALLGISYGRVGSNLPPPAAVPQIVSSLGVGRVRVYNPDPATLRAFANTGIELVVGVPDECLAAVSTPAGAADWVRASIQPALPATKVAYLTVGNEILTGANSSSLSRYLLPAMQCLHDALDQAGLGKQVAVTTPHNLGVLATSFPPSSATFRKDLLPALCPILDFHYALLEPGYPGVADPVSGLHYTNMLAAQVDAVYHAIAAANAAAARTVEVRVSETGWPSAGDANETGASPQNAARYNGNVMRIVSQWKGTPLKPAVPLRVYLFALFNENLKPGPTSERNYGLFKPDGTPAYELAYRLPKDNTNGGGGGYNGHGDGSEENGYYSISGAAKATMVRHTTLFFSLFCY >Et_4A_032962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16115755:16116272:1 gene:Et_4A_032962 transcript:Et_4A_032962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGQEVAIKRLSKDSEQGTEEFRNEVILIAKLQHRNLVRLIGCSVKGDERILIYEYLPNKSLDATLFGMFSSDTTLSTHLNHIMILISYNSRKILLDWPTRFSIIKGAARGLLYLHQDSRLTIIHRDLKAANVLLDTEMRPKIADFGMARIFSDCQIKANTQRVVGT >Et_4B_037808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23587546:23588856:-1 gene:Et_4B_037808 transcript:Et_4B_037808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRNPAVMNVAVQRDSPDGRGHNQLSQGLANVDSENVTTEPSHAIVKELTEESGFGWDNERKMVTAPATVWNSFAARKNSSDALHWRDKSFPYFDELHALYDGRYAEGRTRHGMDHYAKRSMNASSAPSTQQANATGAYQSQSPAWDAQCESGLQFHFDEDVDERNLDSSAPVQHMQVPPSSTPTPLQAPESGRIKKHKTKSSSPDEGFQEKYLKLKREEIERFAAIEEKKLEDPYSINKCITVLEGLNGLQMGDLLMASDIFKSKDNREVFLSYTSDALRLAWFIREIGRLEAEHQNK >Et_5B_043795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16206526:16209517:1 gene:Et_5B_043795 transcript:Et_5B_043795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITADIDECANQGKYKWHGICENKPPGNYTCRCPRGFHSDDPKTQPCQPIISRQAQIAIGVACGFALIVISAIFMLMVHHKRKLKEFFKKNGGPMLENVSNIKIFTKDDLKQITKNYSIILGKGGFGEQVAVKRSISLDEERKKEFANEVIIQSRISHINVVKLLGCCVEVDIPMLVYEFAPKGSLYDSDVYSFGAVLLELITRKKPRYDGNNSLIINFCKSFASDEKAREMYDEDIASPENIEFLHKVGSVAVDCLKDDMDARPNMRQVVDRLHLVRMEYKQKHGDQVPDEIFMESPPVSASMNVSGAGTPGYSPLL >Et_9A_062784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4981310:4985148:-1 gene:Et_9A_062784 transcript:Et_9A_062784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIFGQYREIDIYNIYAPKCLLNRTSASSDRAFLRDNKEQFSRTNRLFSGYDPCYSSYAEDYFNKKEVQRTFHANSSGLLPGKWHVCSDPILNTYNFSVSSVLPIYSKLIKAGLRVWLYSGDADGRVPVIGSRYCVEALGLPIKTQWQTWYLDKQVAGRFVEYHGMTMVTVRGAGHLVPLNKPAEGLALINTFLRADRLPTRR >Et_1A_006269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19904688:19921233:-1 gene:Et_1A_006269 transcript:Et_1A_006269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PGLAAAHSWAPGKQPCTPGLANAAGEKPRWIPMRAVAGSAMSCTSTIQVEGKLVLMCLVESPDSNLRLSYCHRYNPVTSYREIRVSCQSDSLPLATVTDIIYLLACFAHVGDDGRGVTGEETVLSRAPARQLDTTLSWDEKLGAPGAVFVKNHSDFPVYLKMLRCSVPSAGGAVHFACNGWVFSVGKHPYRLFLTNDAYVKEKTPSALLKYREDELRLLRGEGRRSTDQEPFQEWDRVYDYALYNDLGNPDLRKDLARPVLGGSQEYPYPRRIKTGRPPCKSNPRTESRVPGDQQIYLPCDERVGNPVLPAPFVPKVDGHFKSFAEIYSLFGFNELGQLGQAKGKFPVPQMSFFVSVNPTNWRTDEEFARQMLSGSNPVFIKSVMEFPLTSKLDRRMYGDQDSKITRDHIEKNMGAMTVDQAVNNGRLYVVDHHDFMMPFLKRINELPGEEERGPLAIELSSPNPQDEKLGAVSTVYTPPDTGDDLTAGRFGTWDLAKAHAIVNDTCKNNFVYHWINIHANVEPLVIATNRQLSVLHPIHKLLKPHFRKNSPHQYYCTADHFQRRENGDIVRGIQEVTYLPSKYGLEMSSQAYRKWNFSDLALPRDLINRGMAKGDPREPEKLELLIKDYPFAVNGLELWIAIKKWVADYCAIYYTDNDAVANDSELQAWWREVRHKGHGDVSEAPGWPALDCLTDLEEICTIIIWMASAYHTTVGLGQFMYMGFMPNRPTITSRPMPESGAEVTESDFLGSITPRKEALFHMAMTAHAMVLKGEVYLGQRQDMESWTSDERAAMALARYQSRLQAVADNIERRNSDPALRNRFGPVQVPYTRLMPTTLPGPVGGGIPNTSGTSSIQVEGKLHLLCLVDSSNSNLRLSLQLVSATVAGGGDGRGVTGEETVLNAVIGAGETELDTTLSWDEKLGAPGAVFVKNHSDFPVYLKLLSCSLPLAGGAVHFACNGWVYPVGKHPYRLFFTNDAYVKEKTPRSLLKYREDELRLLRGDGRRSTDEEPFQEWDRVYDYALYNDLGNPDLRKDLARPVLGGSQEYPYPRRIKTGRPPCKTDPRTETRAPVDQQIYLPCDERVGNPVVRAPCLPNIDVNPSNWQTDEEFARQMLSGSNPVCIKRVTEFPLTSELDRRMYGDQDSKITRDHIEKNMGAMTVEQAMNDGRLYVVDHHDWMMPYLKRINELPGEEERGEISPRKAYAARTLLFLNDDLTLRPLAIELSSPHPEDEQLGAVSTVYTPPDSRDDNVVGRFTTWDLAKAHANVNDASKNNFVYHWLNIHVTLEPLVIAMNRQLSVLHPIHKLLKPHFQKMLHINTTTRQIIVSSGDRRDNGDIFRGIQEVTYLPSKYALEMSSMAYRTWNFKDLALPRDLINRGMAKGEPRCPEKLELVIKDYPFAVDGLELWIAIEKWVVDYCTIYYSSDDDVANDSELQEWWTEVRHEGHVDMSEAPWWPALDCLADLEEICTTVIWLASAYHAAIGLRQFTYLGFVPNRPTLTCRPMPEAGAEVTESDFLASITPRKEALSHMAMAVQSMMLKGEVNLGQRQDIEGWTSDERAAIALTRFQSRLQAVTGNIEKRNGDPALRNRAGPVEVPYTRLTPTTLPGPVVGGIPNSPDAQADRNYDIVSLVDTSILRLNHDTVK >Et_3A_026902.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:25521083:25522123:-1 gene:Et_3A_026902 transcript:Et_3A_026902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAGISRSLTRRRPLFPLAATATAAAAAAMSSSSTGANVSERPISPDTTRVAWVGTGVMGQSMAGHLLAAGYKLTVFNRTPSKAEGLVSRGASLADSPRAAAAAADVIFLMVGFPSDVRFTTLDPSAGALAGLAPGGVLVDMTTSDPTLAAEIAAAAAAGGCAAVDAPVSGGDRGARNASLSIFAGGDAAVVARLAPLFKLMGNALYMGGPGAGQRAKLGNQIAIASTMVGLVEGMVYAHKAGLDVAKWLEAISTGAAGSKSLDLYGKRILERDMAAGFYVRHFVKDLGICLSECQAMGLSLPGLALAQQLYVSLIAHGEGGLGTQALILAVERLNNTSLDKKGE >Et_7B_053426.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:18840219:18840413:-1 gene:Et_7B_053426 transcript:Et_7B_053426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKEVELLASELRRVEFVHCYKIQNRFAHCLDGNACYKGVTVVWLGHAPFVLHILDAYCNPVI >Et_5B_044435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:24080358:24086398:-1 gene:Et_5B_044435 transcript:Et_5B_044435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKAAAAAPVVLVTNDDGIDAPGLRFLVDQLVASRRFRVLVCAPDTDRSGVSHSITWRPALRCKHVDISGATAFAVSGTPADCASIGISGKLFDGLVPDLVLSGINIGNNCGYHVVYSGTVAGAREAFLCGFPAIAMVAGQSSVNDLKVSAEVCMPLIHAIMAEIKKGTYIQGSFLNVDVPTDATHHKGYKVTKQGKYMASIGWEQTVYKKPAVESYQTANMDADGEKDSEIVTPSEDDLLFKRVIVRRSSDEEEGNDMDHKCLIDGYITVTPLGAISRTEADAIPYFKSCLSRLVDNLSSFLFVRLEFSIVHSVVRPYYIFIIKKVSRDRANQ >Et_9B_065127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21442198:21447560:1 gene:Et_9B_065127 transcript:Et_9B_065127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLHLHLGRRSRNQSRMMPWNWMVGAGKGRNWESKTLHRYIWRSGSCRRSTSSCKHRLIWGTGLFLDVPSLGSSAGDWCGNGGQRGPYFDVNCIAYSPDSQLLATGADDNKDKFLRFCFITFSEHTNAVTALHFMANNHSLLSASLDGTIRAWDLFRYRNFRTFTTPSPRQFVSLTADQSGEVICAGTLDSFEAILASSSWDKTVRLWDVFESKGAVETFQHSHDVLTLAYRPDGKQIACTTLDGLIHFRDPLEGLLMYTIEGRRDISGGRLMTDRRSAANTSIGKYFTTLCYSADGSYILAGGNSKYICMYDVGEQIPDPRTLSLDGVLDFLNSKRMTDAGALNLIDDEDSDVEEVIDQQTRGNLGLGLPGSVANRGRPKVEEALSENQHQRALILSLRLNEDSLIKKCIFAVDPSNVRAICSAIPFKYLQRLIDAFADLLESCPHLEFILLWSQELCKVHGHYIQQNSRTLLPSLKSLQKSITRLHQDLADTCSSNEYLLKYLCSAGTKN >Et_7A_051415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18137255:18137763:-1 gene:Et_7A_051415 transcript:Et_7A_051415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESPAHVFAYGFLRRRQRLQINQSQAAAAVYRQQPGKNNTVAAAPLTWALARELTDLSTLQRVPDELADEIGAGGEACLVGPSARIWHVKVEQDGDGAFAVAWRDMRSWCYVAAAVACSP >Et_8A_057908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9229973:9235601:-1 gene:Et_8A_057908 transcript:Et_8A_057908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEARAQDPVGGCYRVVSALERQLDAIRAEIAAVHHHLALCRQAAAAQPQPAYLDVADVAAAASDNHHQPPPLLINADQEVVDALYANPDTTSIRHADQHSPEQGHNGEQQQLFDYFYYDDATGAGDDASSKPVVTALDINVDTMEQFNCDDAAVDHHKVDMPHEEQLPTGQQQQLDINCQIDHKEEDYYEMKAGLPVDVFDMRLHPVDVNADDDIDVKDVGVNGGVNINAVDMNAHVDLNEELPEQEDTKNNIVVGEQAPQMAGRARRRPQPPCALPPGHRRRPTATTGRHQHRRLLRVSDNKPPLLVNTDQEVVNALYANPDTTTSILHANRHSPEQHHGEQHQLFDYFYYDTTGAGTPAASRQSTSTATPTPCSSSTTPPPNTSELKDRGLLEFRGLGASK >Et_3A_025676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31838518:31840849:-1 gene:Et_3A_025676 transcript:Et_3A_025676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGADGAAASAPPAAPAFSYLAVFHNFPLVAALVGFAIAQSIKFFVTWYKENRWDPKQLVGSGGMPSSHSATVTALAIAIGFQDGFGCSQFAIAAIFASVVMYDASGIRLHAGKQAAVLNQIVCELPTEHPLSETRPLRELLGHTPTQVVAGALLGFTIATAGQLFL >Et_9A_063050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9152079:9159926:1 gene:Et_9A_063050 transcript:Et_9A_063050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGASSSSSCRTADFSPAWEAEQQQKRQRCQSRSFCWVICAILPQSGGAIAWCRVSRAALRIWRGNVQCTSEQNVPNDRKLKRKISKDIPDEPGKFKSMKELDDEIAKKYNFFKQFDTVEDFSDHHYDKKSVGKPRKEWVKRIQHDWSLLEKDLPSLIYVRVSENRMDLLRAVMIGPQGTPYHDGLFFFDAQFPVSYPATPPHFQDLVAGHFRERGRAILSACKYYMEGYKIGSRVPEDEDEGKEGASSSSVAKPQQNKSALQTDRGPSFKTNMEVLFEELLMEFNVKGADTAKFRAEKLKNQQAAA >Et_7B_054126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15822017:15832030:1 gene:Et_7B_054126 transcript:Et_7B_054126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GARRTASNIISNTTKNAAIKHVFEKCSVIITKDNISSRCKTFDKHYEVISKILSQSGFGWDWDNNKLQIDSEEVWTKYVEANKAAACYKTKVVRNWDAISTIYSKDHATGEGALTGAESAEEPAVEGNEPSPDLPQKRQQTGEAILCMLGDMKTSFHDAMKSSEPLQLPQVTPPAQILAALDMIPDLARRDKLRSYGKLILSERLFQALMELPMELRKEWLLMNNRQKYDDGRGEGERWGSRRGGGGEGGARRSVTGLGDF >Et_4A_035783.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:5871670:5873304:-1 gene:Et_4A_035783 transcript:Et_4A_035783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFWLLLLLLVVSALLVLRTWRAQSRRGKKVVTTTYPPWLRPYPVIGHLPQFLANRHRLLDWMTEAVASQPTCTFVLRRPGGVRGVITASPANVEHLLRGGFDNYPKGPRFASLLHDFLGRGIFNADGAAWRAQRKVASHEFNTRSLRAFVARCVHGELHGRLLPLLRRSAASGGRSLDLQDVLERFAFDNVCRVAFDHDPRQLPDADGGVGDSNDDSAAGSSRFADAFRDAANISAGRFRYAVPGFWMIKKALNVGSERRLRESIAIVHDFADRIIRERREEMMTASGGREKHDLLSRFMESEDEAFAESGVPVRDVVISFLLAGRETTSSALTWFFWLLSSRPDVARRIRDEVAAVRARRAPPLGHRRDAAAGFDLDELREMHYVHAAITESMRLYPPVPVNALRAEADDVLPDGTALRVGWFAACNSYAMGRMESVWGKDAREFRPERWLAVDDTLAAGEGGGGAVFRPESPFRFLAFHAGPRVCLGKEMAYIQMKSIVACVLQELDVEVDAAYRPRQSLSLTLRMQDGLPVTVKPRRED >Et_3A_023122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29371911:29372330:-1 gene:Et_3A_023122 transcript:Et_3A_023122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPKRVPGAGKEGGDKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Et_3A_023474.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29865467:29866120:1 gene:Et_3A_023474 transcript:Et_3A_023474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMLGKITVETPKHEVLHTGAGYEIRKYPPCVAAEVTYDPKEMRGDADGGFTVLANYIGALGKPQNTRPEKIAMTAPVVTTGGGEAEPIAMTAPVITTEPEPEPVAMTAPVITDDQQAPGKVTMQFLLPSKYTKAEEAPRPTDERVVIREIPERKFGVVRFSGLTGEKTVREKAEGLKAALEKDGYTVKGPFVLARYNPPWTLPPLRTNEVMFPVE >Et_1B_014031.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27996377:27996586:-1 gene:Et_1B_014031 transcript:Et_1B_014031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDGRPLPETREAFMGQVRDRLGGRVQARGPEADAATLPGAAVSGWSQEPHRLQDLHTVQEERPEPER >Et_8B_059100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1400388:1409320:1 gene:Et_8B_059100 transcript:Et_8B_059100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFESAVDLPRIRSLTVFGEWRSFYISGKMRLLRVLDLESTSGLVDHHLKHIGSLMHLKYISLRGCRDIYHLPDSWGNLLQLETLDSKGTSICQLPKSIIKLRKLQHLVGGDLEPYCVSMNERIPHDLMKLCLACCAPKFLKDVEDMNGDPNRHDVCTFWWHVIFPTLAARRLDPCGFVLPKGIRMLKSLHTLGLVNISGGNKAILQDIRRLTQLRKLAVRGINKKNHQEFCSALADLRRLESLSMGSLGSPVPYFLSEDLRGLLDGVSSPPPNLQSLKLTGKLVKLPEWIEQLHNLLPNLAILRLLKGSFNAGEERCRLTFCWKAPFPMVLELYFVCGYNNDNLDSVDFGQGAAPKLELLRFYGMRRSPGSFSGLASLPKLKELGQDNDTYDFEAGSTALSVGKSVLDGALGYAKSALAEEVALQLGVQRDHAFIRDELQMMRAFLMAAHDEDDKHQVRMAWVKQVRDVAYDAEDCLQEFSIHLKEPSWWRLPRTLRARRRIAKQMKEMRARVEDVCQRNLRYQLIKGTDSKPATAAEMSSITAATIFGIDEARRAAKQEEPKVDLVHMINKEGKDLRVIAVWGTSGDLGQASIIRAAYDNQDIKGKFPCRAWIRVMKPFNPKVFVQSLVKQFNLAVGVDPLLHRDKTCQELVDEFNRYVNEMRYLVVLNDLSVIEEWDEIRTCFPNNNKGSRIIVSTTQVEVASLCAGHVSVTSELKQFSADQTIYAFYRKDSQDPSELPLPISNAATINNDSVAPTKGMLGRRLQRALLAPRRLHVLLRTHLIGRKKEKGDVINLISHQHSQKLCVISVWGMGGLGKTSLIKDVFQSQKLTVMFEKRACVTVMRPFALNDLLKSLITQLNVESSEKKPAIDIGFGIRSTTSMMGVQELSEELSRILKGKKCLIVLDDVSSIAEWDHIIRSFPKFDNTYRIVVTTREESIAKHCSEKQENIYKLKVLAFSDALDLFTKKVLVFKEVVNLDKHPELIEEAKKILKKCNGLPLAIVTIGGFLANQPKMAVEWRKLNEHISAELAMNQELEVIRTILGKSYEGLPHHLKSCFLYMSVFPEDHKISRRRLIQRWIAEGYSREIRDKSAKLMADSYFTELIQRSMILPSQQSIHSRKGIDSCQVHDLMREISISKSAEENLVFRMVEGCSSNTQEIVRHLAVDGTWNGDKRDYESIVDLSRVRSLTVFGKWRSFFISDKMKLLRVLDLEGTSGLEDHDLVHIGKLLHLKYLSLRGCYNVYHMSDSLGNLRQLQTLDITNTMVTKLPETITKLSRLQYLHCGSKDFDDYDILEEDYFDMPKPMRNKLCTLTLMSVGFCMICGDLFFDVPYDEAKKACKIFCCLFVPYAAGLVVPGCVVVPRGIWKLKALQTLGIVNLAKGKALLQEIGRLTNLHKLGVAGVNMKNSEEFCLALAGLCNLESLSVWLGRQQGLAGCLDGLLSPPKNLQSLKLYGNLVKLPEWIQGLHNLVKLVLRSSMISEHDAAMQVLSELPNMTIMRLLENSFQGEELGLCFRPKGFPSLMVLELANLDNLKSVKFEDRASREIEERAKRESTEIEETASPETKEKAGPKLELIQFWGSKEQTNAGLFSGLSSLPSLKEFLLLQNSDGYKDDFVEDLQAQLAQNPNGPVLKRI >Et_5B_044294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22358984:22367198:1 gene:Et_5B_044294 transcript:Et_5B_044294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGEGGGMGVKKVRKRQLVMESSDSEADDYCISTRQEAGASSVGNAGSGSRGDGDQSEQTAVTISSAKISGVKSSEGDGSVKNKGGQSESSSQPDPKRIRVEAVREGDGGSNKSACKSVTGGKILPRGFPAWRLEKPEVRAGWVLDGDGRVEMKTSSGSKMKEKVLSLDDKRGKVELQSHEKRTPLKTERGKSVDSGNQDVIIVQGKKGLLKIMPKNNKMISDNGDNKILSKNTEGDEKAVKITMPTKRGVLKILPKKNNMVSETSDGKVLPKNGKADGETSDGRILAKKTKVDEETSDGKVLTSKTKLEGGFGGDNVRRKNSSMDSKTVVEKFLPKNRKVDGETRDRYKGREEKSAALAEPHKQEDANGQKGVMGKLVSPIMLRKSDPSVVGLKISQNHPKPSLSRKDEISKANKHKKLKKRFLEHKGSPDNLSKKAKSEVNDLQGISGTPKKQEMKKPRGGPRNKLKQDIRNQIKNILLGNGWKIQLRSRRNKDYEDSVYVSPQGTGYWSITKAYAVFQEQFQNQRDCSSKLNNIELDASNAISKDDLAMLKKNIVKRRTKHEIDDAEKKPGDSKSRNPKAILASSRNKHQNKEDRVKASHRGCGLRVRGSTRNMEDNMDGYLPYKWKRTIYSWMIDLGVVSEDSKVKYMNNKGTRAMLEGKITRVGIYCGCCSKILTVAKFELHAGSKEQQPYAHIFLEDGKVSLLQCLLDAWKKHTQYEKKGFYKIDPGDDPDDDTCAICGDGGDLVCCDHCTSTFHLDCLGIKMPTGEWYCRSCICRFCGSAQEDTSSPELLSCVQCSRKYHQACASRTGRDTPIDGFCSLGCRKSVISISLVLSLKYWDTKPAPCVEMTQIYKRLNKLLGAKNNMESGFSWSLVRCFADGQAMAPKKKAQLAHCNSKTALAFSIMEECFQPHIDERSGINMIHNVVYNCGSDFSRLDFSGFYTFILERGDEVISAASVRIHGTDIAEMPFIGTRGMYRHQGMCRRLLNAIESALGLLDVRKLVIPAVPELEHTWTSVFGFKPVDPSKKQKIKLVNLLIINGTGLLEKRLLPTGTLDGQTTAMPANAVGCDKTEAQMLHEARGSLTPVHVSRDAGVCDDLEIKYHENPRPSTDHSAGLISENLAPAAEETEGRTSPVSVGDVKLNTLPGVDCEDNMQSKSDADDIQEGKFTETNGKLVAENTVAEQNHEDKPISSRINSLAIHLTVDSCSRSHNETGKGENGPSSELSAEAALITDKTDSNLNINCQSAHSANKGDTCVVPVGVPSVTMDGRPDNHDLKTIVADGYPQSSTEAKGLNDITNIVIGTSADAYRDKSIGKVNNAPAVDHGVCMNGYLQEKDTMEDKNGPFSPELECSSMSKDMMENLNESKLIETDMVEMNDLTIKVGTDEGSNDAGITTPTLDISNVVCGEVMAKPTQTCGEGQLNGDDGIRSNGMEKDLAYKEPK >Et_1A_006634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25483355:25486385:-1 gene:Et_1A_006634 transcript:Et_1A_006634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGSVESAAPGSGKSVCVMDAAGPLGRALVDRLLRRGYTVHAAKYYGCRGEEDEEASAAALMEQLCGGEAYKHRLKVFRADPFDYHAIADAVRGCAGVFCMFNTPDDQAQCDEVTVETEVRAAHNVLEACAQTDTMERVVFTSSVTAVVWTGGAAAAAHKPQLVDEKCWSDLAFCRKFKLWHALAKTLSEKTAWALAMDRGVDMVAINAGLVTGPGLTAAHPYLKGAPDMYDDGVLVTVDADFLADAHVAAYESPTAYGRYLCFNNAVCRPEDAVKLAQMLSPSTPRSPPSDELKVIPQRIQNKKLSKFMLEFASGIYGELD >Et_6A_047734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1233254:1235841:-1 gene:Et_6A_047734 transcript:Et_6A_047734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPDAAMRACIDPAPVIDGRRANCNLASLGVQRSRPPTPQHGARSFRVMKSFSQQAGIQGGLGAAFPSHATFPHYAIPQGLPYHVYGYSPYTPDYSYPPSYYNIYGGGQYQFYGGAAAGKIVLVLGGREVAKHFDDDGEELGHRYHGILAPDASCPVYKLTVPAVDSASSSSVASTSATSTSRERIAELETDTENMSFVASPSKAGWIIGVGGYAGRTIILDTTTKPAPTTIRGPDLLAAKCGPILAAVGHKVYALCSGPSYIDEPDFVPRFEVLDLSKGTVTKTADGSFCLDGCVWEALPCPPCFPLKRSPCHAAHKRQVLRARPSLHAVVAQSDDQELHIRV >Et_8A_056613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13462206:13471244:-1 gene:Et_8A_056613 transcript:Et_8A_056613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTVALVAFLSATLPWPATDAAVGVNWGTVSAHRMPPPVVVELMRANGVAKVKLFDTDATVLRALAGSGVEVMVGIRNEALAGIAASPAAADAWVAQNVSRYYVGGGGGVDIRYIAVGNEPFLTSYQGQFQSYVLPAVSNIHQSLVKANLASYIKLVVPSNADAYQSASVPSEGIFRPDLLPIMTQLGAFLSSTGAPFVVNIYPFLSLYQNSDFPQDYAFFDGSSHPVVDGPNVYYNAFDGNFDTLVSALSKIGYGQLPIAIGEIGWPTEGAPSANLAAARAFNQGLISHVLSNKGTPLRPGVPPVDVYLFSLLDEGQKSILPGNFERHWGIFSFDGQAKYPLNLGLGSPILRNAKDVPYLPPRWCVANPGQNLNNVGNHLQLACTMADCTTLYYGGLCNAIGDKGNISYAFNSYYQLQRQDAQSCDFDGLGMITYLDPSVGECRFLVGIDDSRVSAKSSYSGSPSRTSHCSWGMEIGAYGHKVANQGIMLAHMSPTHVGAQLIDFSYILFHVTLYAWDHAVIDDYGLPEA >Et_3B_030674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6141901:6159786:-1 gene:Et_3B_030674 transcript:Et_3B_030674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGGGDATDGRGGPRERLGVGQGVDGGGGAGAGHNLSVEAGRGKRKASVLSRDSNNLAGNGGSEVECVDSLRLSMEIETLRSQLDGDVKELAYCEENEKLRTEIDLKDKEIQCLRKQIEELETKYSKQNQKLEAKYEKKNEELESMYKKKIEGLQAKHERHQNNVSFMQDTVHFPRLDVQQLPCISSVLHQLMLTECFSHYQGMEDELNGRTALGFKRIVELDDKPFLNICKRRYGNDDYLTKAAELIKNWQEEIKNPIWHPFNMVTQADGANKEVINDDDGKLKYLWTEFGDDVCNVVKTALMKVNEPVLWNFTKGRKATMMEGLVSISPSDICIVSGAGQNLSVEAGIRKRKGSVECIDSLRILMEIETLRSQLDEDVKELGYCEENEKLRIEMDLKEKETQCLRKEIEGLETKYNKLDEELQAKYEKQHEELQAKFEKRTEELQVKYEKQNQKLEAMYENKNEELESMHKKKKEELEAKYEKQTEQLQAKHEDVQKNGWRDQIKRQTGLGFKRIGELDEKPFLNVLNEVPTRRRGIGIKRMGELNLKPFLAACQRKYGANDRACELVSVWQEQVKNPSWHPFKIVEVDGIAKAVVDDDDGELAGLREEYGDDVCNAVKVAVAEINEYNPSGGVVVAELWNFSEGRKATVNEALKEVCRQLSNPWKRCRNMAAPPQNEGTRSH >Et_5B_043479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10989767:10991075:1 gene:Et_5B_043479 transcript:Et_5B_043479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREELQDFTFIFRLYFRKIPEEFRPEYNALFVHKVEMVGLASEDPLVHDEVDMDDVDSDVEESDSEDDSGEEAQPTPSDKAIYNREAILEKLEDIAWPQKVDWMHKLTIDHDQGEKIDVNDDLARELAFYTQALDGTRQAFEKLQSMKVRFLRPADYYAEMVKTDAHMHKIKGRLLSEKKKIEEAEERKKAREARKRAKEVQAEKKKERAKQKKEEIENVKKWRKQRQQGGFAKGNDDGPDLNFEGEEGFKQSKKTRPGVSPGDRSGGLAKRGKEGKNRRSRDAKFGYGGRKGLKKQNTAETTNDFRSFNKGAESQNKKRKR >Et_3A_026480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8614822:8617816:1 gene:Et_3A_026480 transcript:Et_3A_026480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARGTPTRTPPSPACRTEPVVPPDWASAISLVSSESTPPVVIVCGPKNSGKCGKVGYLDTDVGQPEFAPPGCISFHVIDEAITDLLNPTLREAERFCFFGDISSKRDPEAYLNCLCHLYGYFVEKYRCDVNGMLPLIVNTPGWVKGAGFDMLVEMLRHICPTIVVQIRITVESKNLPDGIFWLDGEQEPVPKMININAPFRDSSDRSLLLLKDTCKMRERRVVEYLKQCFPRHISVTTNKELAHALASLPPYQIPFSDVKVVHLHCEVPSTEIWYSLNATIVGLASEAPGSVPCCVGLGIVRGIDVQKGLLYLITPVPLDCLQNLNLLLQGLIEIPTSLLQVRGCVSPYMSTNVLHKISERDLYAE >Et_10B_003060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1665151:1666781:-1 gene:Et_10B_003060 transcript:Et_10B_003060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVCAQPKPPRPPAAVMPEAALAEEQRLSTASSTGAANSSLSSASSAGSLPRCSSLSSSRLSFDCSPSAALAAAASSTCSPPPRALSRPHRSGDAAWAAIRAATTSAAAPLGPKDFKLVRRVGGGDIGTVYLCRLRSVSPATEKEKENGASPPPPCHLYAMKVVDRRAVAKKKKLERAAAEKRILRVLDHPFLPTLFADFDAAPHFSCVVMEFCPGGDLHSLRHRMPSRRFPLPAARFYAAEVLLALEYLHMMGIVYRDLKPENVLIRADGHIMLTDFDLSLESTSSPALEDDDPDSEQDAAAVSCFPDHLLPRFTRRLRRKHRKTAAASSAPAAPATKPRFVAEPVSARSCSFVGTHEYVAPEVARGEAHGAAVDWWAFGVFLYELLHGRTPFAGADNEATLRNIVRRPLAFPPGSGSCGPLDAAARDLVAALLAKDPAARLGSRRGAADVKAHPFFKGLNFALLRSARAPVVPGSASAPGMHRSKSCAAAPTAKRFEFF >Et_3B_028695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18124690:18127073:1 gene:Et_3B_028695 transcript:Et_3B_028695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPPRPPPLVTIYGMLLHMSTDGADLHLMNSIVDLTYHFLNSCVPSRPVDTDALLSADFSSSGVGGTEDRVSELPDEILRGIISLLPAKDAARTAVLSSRWRPLWRSIPLALVDSHLLPGSAGDAGSLSPDAESNIIAAAVTRVLEAHPGPFRFVELSWVFMDEHRSELVRWVHLLAVKGVEELVLVNRPWPSDLLLPSAIFSLASVRRLYLGVWAFPDTTALPRGAAFPYLLELGLGYGGDRGGTTVRFGHAPNLRLVGYLVPGVDVLKVGNTVIKVCFHSFQQLVHLYIKGWVIFTVGTKACSNTIVPSVRILALKLCFDIRNETRMLPSFLRCFPNVEILHIESVEADQPNRKLKHKFWKDTGCIECVQSCIREMFFYGYRGERSELAFLKFILEKAQVLQKIVITFANGTGGDVGIKLVDNLSSVRKASENCSVLFSYSCSSRGGDSWICEVASDFSVTDPFGNYYAKVDDNLWYWQIKIGA >Et_10A_000036.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18015124:18016089:-1 gene:Et_10A_000036 transcript:Et_10A_000036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVIDLNKQMMLPKHVCFKGDNDMYGRVNGSNYIEFSADDIGDPAVRHTIHTNEDGTIRVKSDFPDRFWRRDPNWIKADSDDTTGDDPDTFFRAVKIGDAFVFQSLGNSHYCKRLSTDGTNNCLNAAVPTITKEALLRLEEAVLSRKIYGVEYVFNDAKIYRQKVITMATAQAVNRTSGQHKATLTLKYSETKERSWDSSVSVRLSVTTTIEAGVPGIVAGKLELQSEFTSSYGWGESDTHLEEHSIDYEIPVPTNTKLKLRVLATQGTCNVPFSYYQEDVLTTGEKIVSKFDDGIYRGVNSYDFNYEVTEEKLAKDISA >Et_3A_026966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28230586:28232027:1 gene:Et_3A_026966 transcript:Et_3A_026966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYALDLLADELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWLKNFSAGFQQDV >Et_2B_021095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26395728:26398609:-1 gene:Et_2B_021095 transcript:Et_2B_021095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSCGRLAAARASLRQAQQPLVASHCHLVATHAQAPLGRLAALKDPCDLALVAWTVLRVPGSNGHRAFACTKIAPYFYGDNKSHFYVKWTADGYKSIGCTDLKCDGFVPVNYAPITPGASLEGKSKITIKIFKKKDDGDWWLYFGHDGGNITPVGYWPKNLFNSLRDHANMITWGGYTGSNVGEPNPQMGNGQWPGKKSATFQDIQLVDDNEHGYAPPPWPAGVHSDVTHKKCYQVSVFMNNMFYYGGPGGCTD >Et_1A_005467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10616269:10622701:-1 gene:Et_1A_005467 transcript:Et_1A_005467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAAAASLVASSSLSVPDHLRLRRFRLHPHPSPQLTRFHRRLRGRLVRAVLEDTAPPPAEEDAKRYGLNGNGSGLGTGSSVALVSVSPGEKETRRKERVEEIGREDAWFKQSNGQGPLPEVSVAPGGRWNRFKTYSTIQRTLEIWGFVFTFIFKSWLNNQKFTYRGGMTEEKKKMKRKVLAKWLKESILRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAVKIVEEELGASVNEIFDRFDFEPIAAASLGQVHRARLKGQEVVIKVQRPGLKELFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECAVVLYQEIDYTKEAFNAEKFSENFKKLDYVKVPEIYWEYTTPQVLTMEYVPGIKINRIKQLDTLGVDRKRLGRYAVESYLEQILSHGFFHADPGISLLMIISPNIREGLLEAFYGVYEKDPDKVLQAMVRMGVLVPTGDMTAVRRTAQFFLNSFQERLAAQRKEREMATAELGFKQQLSKEEKFEKKKQRLAAIGEDLLAIAADQPFRFPATFTFVDAKKRWERQSRAFYNLFRQPDRVEKLAQIIERLEQGDLKLRVRTLESERAFQRVAAVQKTIGYGIAAGSLANLATILYFNSIRTPATIAYSLCAFFGLQVLVGLIKVKKLDRQEKLITGTA >Et_8A_057952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:126211:126966:-1 gene:Et_8A_057952 transcript:Et_8A_057952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGFQKQQYWVNGGSGGSGGGGVPSVVVERAECIASLWQHRTYDRLKLHLPKRFCELPLMATRPARAQFLSYLHAYARRFAVAPHFSSARREEEDILWRWLVVATGENADPVVPDIDGLRQLTSPSMHAADYRSGACSSSAAATPAWRSASTSATMVVKDAVHVVLGISTFEMSVAMARFLPLWLVDRILLAMADLTLGGDDVLRRPAVGPMELKRREGKTSCEGRID >Et_4B_039813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3267963:3269356:1 gene:Et_4B_039813 transcript:Et_4B_039813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAQNTRTSARPRDRTWRPSPVSRYGGDKSAGSPAHRPIYSPIIIPKRRRLRSIPESFTPPASPSPATASHRPAWILLDQDAYIAGRGNATTATAASCDGRTIEVSVWVADPPAVSYLCVRCRDPNGHRHRGEIASLIRSLRSKSNDTGFEFEPSVVGAEGRFVLIRVRLDFTGRSDEYFMYRADPESPTLERVPLPSGYRLPLKDFGIVPRGDTGHYLLAVHTMASFEAPIKYQLYVYSSEDQTCSTKPLPDTCPGILAQKLITLGEGVLGWVDLWVGVLVCDLFREPIDAYFIPFPQPLPENREMFKECHPGNPAFRVRDVRASNGVIKFIEIEHRWIVTPIHPEKPSDPSEKGVLYDSDLIMARERENMDDKPKQVRKRDGWRAVTWTRTISSNCWHKGCIVDVDDISVDDTMFSSMMSELGVEHDECLKFRSLYSTWPT >Et_6B_049894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16832577:16837831:1 gene:Et_6B_049894 transcript:Et_6B_049894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLSRDKQGLDRDPKRSKNDNMAIDDNVNAGSNDVGAAKHSLTGEVDVVEAEVNRIVNKILDMAVDNTLNFCANNVLAENDEGLVEDVLSDEEYDAFGVLANDVRRTGGDEEGVPIDSVEKMVAHNNMGVLIGDSASENAVDVSHYTTSTPKEKHVAAAPLVVESTDGGHVDCALYGAHTKDTRVEATLGAAQVLPLPMVMAGIVRPCTAPPRLQRSAQGGRQSAGTQRHKLPVFPDSTPFPPGLFAVQI >Et_7A_052385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7510537:7515345:1 gene:Et_7A_052385 transcript:Et_7A_052385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGRSSVRLLLGVLLLLINWCLAASGGDAEEGAGAFPVVVSTWPFLEAVRSAWEVVSAADGGGSAMDAVVAGCSACEVLRCDGTVGPGGSPDENGETTLDALIMNGATMEIGAVAAMRYVKDGIKAAKLVMEHSEHTLLVGEKATSFAISMGLPGPTNLSSPESIEKWKNWRQNHCQPNFWKNVALAGSCGPYHPINSVSVESEDYVKHTAQDSQGGVFNECFQNDNLLEPMNSHLKVVDHHNHDTISMAVIDKMGHIAVGTSTNGATFKIPGRVGDGPIPGSSSYADDEVGACGATGDGDVVESMRQGMEPLDAAKDAILRIARKYPDFVGAVFAINKKGVHAGACHGWTFQYSVRNSSMHDVEM >Et_7A_051321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1711388:1715823:1 gene:Et_7A_051321 transcript:Et_7A_051321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQQKQVSAGEGMAANVGGRSGVSVCRPSGSRWTPTPEQLRILKELYYGCGVRSPTAEQIQRITAMLRQHGKIEGKNVFYWFQNHKARERQKKRLTSLDVNATPAGAADINHLGVFSLSPSSGTTSPSSTMGMYTGNGGTSAMQLDTSSHWADNTAMTTEGSCFLQDYMGVRSTGGGTDVATSPWAWISSSDQRAATMATTRAPETLPLFPTGDDTRPRPRHGGIMCPTEGGVVQLDDGNPHGAAELEVYQCVDVGERGLPGHVGEDGVVEECGEHGLQPRLLSLAALAATSSAFAETESIAMDGHRPQPRWASLHPHNGSYGGAAASPAGTREGDKRL >Et_4A_032972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16145639:16146112:-1 gene:Et_4A_032972 transcript:Et_4A_032972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKRRWWGSRCVVPPRRPGCRRVEADQRRGGDEHPNTFHPTSSLPNMRVQDAIQGLSCSSGNYRSIWRFDPRKGIHRLLPRVTTALLI >Et_7B_053313.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5115100:5116993:1 gene:Et_7B_053313 transcript:Et_7B_053313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMPREKTRRLGSASNPCRSQQGRDGEDETGHRGGEGRGRRGGAALLGVGRVSVISLRRVGGGQVGRGGRGRGRGVRRRLGLLFLLAGLGSGRRRGGLRCGCGRWYVGGDRSGRRRGGRRAAEELGREQNAVHRVDDGRCVVEHGGRDAGLVHAGVERHVVALGVDGEVVLPRAGGGHGGYVALGDLERRLGRVLRQRVVLQQRDEVGAGELAQVGEVLGLERVVVGREQRQRLVHGRLVHLDDPGRDDEARELLAPGLLEDGGEVGRRGRRGAEEAGEGDGVGDLEDGEVVRLLLDALGVGGLELGAGRGGREGDLAAAEVGDVDVAHVPGRVAGGLVQRGGELGAGVEGVQLLLVVVVEEGEEAERVLDVGEGEVGDEGGHGAVGEHQDGHRAAAVDLVAHLRLGEVAVVQRVLRHAVEYGGDVVRGRHGRGEGDKQEDEAAVRGCHGAAVAGAVVRPGGRRGQGRAARDGRGLALRFIWAWVLARLWLS >Et_4A_035761.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:5219100:5219441:1 gene:Et_4A_035761 transcript:Et_4A_035761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISLLLIVAVAMAMYMVSTPSAAGISGGWFQIPNVNDPQIQELGGWAVKQHNVMTNDGLKFNRVVSGDEQVVEGMNYRLNIKTSNPDGKYQAVVNEVVGTKTRTLLSFHPAE >Et_3A_026959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28080998:28084321:-1 gene:Et_3A_026959 transcript:Et_3A_026959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAILGAFMQSLFEKLSNVVLDQFRSYRGIHGKLDNLSGTLSELKNFLDDAEAKQLTDASVRGWLTKLKDIAYDIDDLLDRYATKSMHLRLHTQASVRSPTKFIRRNLYQYKIKEKISGIMERLDKIAKDRATIGLQSLGEINRRETSERPQSSSLVDFSAVFGRDEDREAMLHNLQILKLTDCNSLREVPPGITKLINMRHLEASTRLLTRVPGIGSLICLQELEEFVVQKRLGHKVTELSNMDQLHGQLSIRGLNNVVDERDAFGANLKAKEHLRTLHLVWDEDCKVNHLEQQEMVLEGLQPHLDLKELMIKGFPGLRFPSWLTSASLPNLQTIHICNCRSKVLPPLGQLSFLRNLDIAGATEVTHLGPEFIGFGNRKCFPALEELLLEDMPNLREWSFDVAEQLFPKLTELGLIMCPKLKKLPPLPSTLTSLRIHESGLESLPELQNGACPSSLTSLYINDCPNLTSLRVGLLAHKPTALKSLTIAHCEELVSLPEECFRPLLSLRSLHIYECPCLVPWTALDGGLLPTSIEDIHLNSCSQLACVLLNGLRYLPHLKHLKVADCLGISNFPVEGLPHTLQFLEILCCDDLQSLPERLYEVSSLETLHICNCPEIESLPEEGLPRGLKELYIKQCPLIKQRCQEGGPDRDKIAHIRDIEIDGDIIMLEEI >Et_4A_032876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1511150:1518029:-1 gene:Et_4A_032876 transcript:Et_4A_032876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSKSFVKKTKQGRIQKIVREHYLRDDIYCGAVSCSACDAAAERKLSSDAAAIIVVDTNVVLHQIDLLENTAIEDVVVLSVVLEEVKNKNLAVFNRIKALCLNKARRFYVFANEQHRDTYVKDMVGESPNDRNDRAIRVAVRWYQSHLGESAKVLLITNDRDNKRKATEEGLNAETVESYVRSLAQPGLLDLVVVPTSGDVNMEDVEDLRPSKKKVIYSEHKPMSEITSGLRCGIYHQGKLRVNRYNPFEAYVGSESIGDEIVIRGRSNMNRAFDGDIVAVELLPQDQWHESKSFIADDDEDDDDEDVHLVPSSADDAPRNTGSTQSTVGSSASSVSSRPTGHIVGIIKRNWNSYCGSLEPMPMPAGSGGVAHALFVSKDRRIPKIRIQTRQLENLINKRIIVAVDSWDVLSRYPSGHYVVLIENDINTRPFSTQVLACLPPLPWTLSPEDLANPNRQDLRHVRVFSVDPPGCRDIDDALHCTPLPNGNFEVGVHIADVTNFVHPGTPLDEEAAQRGTSVYLVGQRIDMLPKPLTEDVCSLRADVERLAFSVIWEMTPNADIISMRYTKSVIKSCAAMSYVEAQARMDDIRLVDPLTVDLRNLNSLAKIMRQRRCERGALTLASAEVKFEIDSETHDPLDIGIYQIREANQMIEEFMLAANISVAEKILKHFPLCSLLRRHPSPTKEMLEPLLRTASSVGLNLDVSSSKALAESLDNAKSDDPYFNKLIRILATRCMTQAVYFCSGDLSFSEYYHYGLAASLYTHFTSPIRRYADVVVHRLLAAALDIAKLPPVFQDGPQLTGIADNLNYRHRNAQMASRASVELHTHIYFRTRFGIEGPIYLTPKGDKGGDWVVDEVHQRVSKPGTNISYSVLQTVMIHMEVVEPQPHRPKLQLTLI >Et_6B_049363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:377076:426759:1 gene:Et_6B_049363 transcript:Et_6B_049363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTEQSSASSAPLLETKPTAAGGVYFEGCPGCAVDRRKAASPGIPYGNFLYVWIVTLCTALPISSLFPFLYFMIRDLHVAKRTEDIGFYAGFVGASFMFGRCLTSTAWGVAADRIGRKPVVVFGIFSVVVFNTLFGLSVNYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLVIGPALGGYLALPAENLPNVFSPDSFFGRFPYFLPCLCTSVFAAVVLISCIWMPETLHKHKVSETGNHSIETLEAPLIDPKEKDQQNGSSGSKESLLRNWPLMSSIIIYCVFSFHDMAYTEVFSLWAESDKKYGGLSLSSENVGQVLAVTGVSLLVYQLFIYPRINKVLGPIKSSRIAAILCIPILFAYPYMTYLSEPGLTILLNIASVIKNNLAITIITGTFLLQNNAVPQDQRGAANGLSMTGMSFFKAVAPAGAGIEEAEQSSAPLLETKATAGGGVYFEGCPGCAVDRRKAASPGIPYGNFLYVWVVTLCTALPISSLFPFLYFMIRDLHVAKRTEDIGFYAGFVGASFMFGRCLTSTAWGVAADRIGRKPVVVFGIFSVVVFNTLFGLSVSYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPALGGYLAQPAEKFPNVFSTDSFFGRFPYFLPCLCTSVFAAAVLISCIWMPETLHKHKVSETGNHNIEALEAPLLDPKEKAQQNGSLESKKSLLRNWPLMSSIIIYCVFSFHDMAYTEVFSLWAESDKKYGGLSLSSENVGQVLAVTGVSLLVYQLFIYPRINKVLGPIKSSRIAAILCIPILFACPYMTYLSEPGLSILLNIASVIKNNLAVTIITGTFLLQNNAVPQDQRGAANGLSMTGMSFFKAVAPAGAGIVFSWAQKRQHAYFFPGDQMVFFLLNVIELVGLILLFKPFLAVPEQYDEHYEEAPLLLPAPVVEGCPGCAMERRKARSNGRIPYKEFFFVGVTTLASSLPITVLFNTLFGLSTTYWMAIAIRLLLGALNGLLAPIKAYCVEVCQTEHQALGISVVNTAWGLGVIVGPALGGYLAQPADKYPHMFPKDSIFGRFPYLLPCLSVSSFAAIVLLGCTLLPILSLWAVSDRKYGGLSFSTEDIGEVLAIAGASLLVYQLIIYRWVHKILGTVNSSRIASALSVLVLAIYPFMTYLHGVKLSFALYSAAVMKSALAITVTTGICLLQNNSVRQEQRGTANGISTTTMSFFKATAPIGAGALLSWAQKRQDATFLAGDQVVFAVLILLQILGLISTFEPFLVEEAPLLLPVPAVEGCPGCALERRKARGDGRIPIGSSSWSASPPSPQHYQSRASIRSFISWDFHIAQKEEDVGFYAGFLAAAYMVGRGFAAIFWGIVADRIGRKPVIAFSILSVVIFNTLFGLSTTYWVAIATRIVMGSLNGLLGPMKAYCVEVCDTEHQALGLSVVNTSWGLGLIVGPALGGYLAQPTEKYPQIFSKDCVFGRFPYFLPCLIISLFAAIVLIGCTWLPETIHKHKFPEKDTKIVKALSPVEEGNWDAPCKKNLLQNRSWMSTMIPYCLFGLHETAYSEIISLWAVSDRKHGGLSFSTDDIGEVLAMAGASLLVSQLIIYPWVHKILGTVNSSRIASAASIPVLATYPFVAYLYGVKLSVALYSVAMLKSALSITAITGICLLQNNAVCQEQRGTANGISTTAMSFFKAIAPIGAGALSLGSFPPLNRFFGYLSHQNKMGDSPAPPPQPPSSAPTKVYYDGCPGCAMERRKESHKGVPYKELVFVGVTTLASSLPISSLFPFLYFMIRDLHVAEREEDIGFYAGCVINDRQRFCFNFLGIIFNTLFGLSVKYWMAITTRLLLGALNGMLAPIKAYSIEVCRAEQQALGLSIVSTAWGLGIIIGPAIGGYLAQVPISSTMSMYITFCYLGLHNLRMAPLKQLKVLTHKKVKNYLRRAYSKNWPLMSSILTYCVFSLHDTAYSEIFSLWTVSDRKFGGLSFSSKDVGQVLTVAGASLLVYQLFIYRWVDKILGPIYSARVAAALSIPIIAAYPFMTHLSGLRLGVAMCCAAMIKSVLAITITTGTSLLQNNAVPQEQRGAANGIATTAMSLFKAVAPAAAGVIFSWEQKRQHAAFFPGDHMVFLLLNLTEVIGLMLTFKPFLAETIHTHKCPKKEISIVNAEWSKECSWDSPRKKSLLQNRPWMSTVLPYCIFGLHDTAYSEILSLWAVSDRKYGGLSFSTEDIGEVLSMAGAGLLVYQLLIYHWVHKILGTINSSRIASITASTGISLLQNNAVCQEQRGTANGISTTAMSFFKAIAPIGAGAIFSWAQKRKDVAFLPGDQLVFMMLNLVELLGLISTFKPFLVLA >Et_2A_016834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28704431:28705413:-1 gene:Et_2A_016834 transcript:Et_2A_016834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFSPNLRQAAAGATSSPLAATLRKNPPSSLTHGRLNFSHTSLQTPNHRGNRAGWAVRVLPLTEENVEKVLDEVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPDILEVEQIMDTETGLELNTENVEKVLDEIRPYLSGTGGGSLELIQIDEYVVKIRIGGPAAGVMTVRVAVTQKLREKIPSILAVQLTE >Et_4B_038559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29837912:29840404:-1 gene:Et_4B_038559 transcript:Et_4B_038559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYTVAAAAAVALLVVVTTSEGYQYNASQGPLVTAVIVFGDSIVDPGNNNGLATLIKANHPPYGKDFINHQATGRYSNGLIPTDLIAQGLGVKQLLPAYLGVEHTPEDLLTGVSFASGATGFDPLTPVVVSVISLEQQLAYFDEYRARLVGIAGEEETARIIDGALFVVCAGTDDVANTYFTTPFRSAEYDIPGYVDLLVSGAEAFLRKVSDRGARKIGFVGMPPVGCVPSQRTLGGGLGRECEAKRNQAAQLYNARIQEMIHRLNRQESFKTLVVYLDIYRVLDDLMERGEKFGFSETTKGCCGTGTIEVTGLCDARFVSVCDDVQQHVFFDSYHPTERAYRIIVNDIFDNYIQVLI >Et_8B_059390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17486871:17490993:1 gene:Et_8B_059390 transcript:Et_8B_059390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARRMPAMIGRNGVAYGSSSALSLNQVDLLDNQHLQQAFQQQLFDQIPAAGVDSGDNMIHGHADTLADEFESKSCSENPDGTSGDDGQEDPNQRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQNQHERQENAQLRAENDKLRSENLRYKEALSTASCPSCGGPAALGEMSFDEHHLRIENARLRDEIDRISGIAAKHVGKPMVSFPVLSSPLAAAAARSPLDLAGAYGVQPPSLAADIFGVGAGAGELLRNVSTGQLDADKPMIIELAVAAMDELVRMAQLDAPLWGMGPAGVQLDEEEYARMFPGGIGPRQYGLRAEASRDSAVVIMTRDRLVEILMDANRFASVFSSIVSRASVHDLLSSGVAGSYNGALQVMSMEFQVPSPLVPTRESYFVRYCKHNPDGTWAVVDVSLDSLRPGPVLKCRRRPSGCIIQEMPNGYSKVTWVEHVEVDDRSVHNIYKPLVNSGLAFGAKRWVGTLDRQCERLASVMASNIPNGDLGVITSIEGRKSMLKLAERMVASFCGGVTASAAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWIPVSPKRVFDFLRDETSRSEWDILSNGGAVQEMAHIANGRDHGNCVSLLRVNSANSNQSNMLILQESCTDSSGSYVVYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPPGAAADGENPAGGGALLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAICVEANPQ >Et_7A_052165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5233563:5235444:1 gene:Et_7A_052165 transcript:Et_7A_052165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGHQIQHYDGLLHPNSAYTPAPDLLNLFQCTLPSAFPTETSDIHGEIRGITAMPESGLMFTGDPQVQLRYPAQTHLVKDIFYSQPQNHRVFPGEYDKDVMIGVGSVDDIFQEIDDRQLDSVLDCRRGKGEFRKGKVKANFATERERREQLNVKYRTLRMLFPNPTKNDRASIVGDAIEYIDELGRTVKELKILVEQKKHGHIREKMIKLDHEAAADGESSSMRQVMDDHSQLNGTIRSSWIHRRSKECHVDVRIVDDEVNIKLTEKKKANSLFHAAKVLNEFKLELIHAVGGIIGDHHIFMFNTKVAEGSSVYACAVAKRLLEAVDAQHQTFNISN >Et_10A_001587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5528328:5530985:-1 gene:Et_10A_001587 transcript:Et_10A_001587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KSEEWMKERANQLKKEVRRLFGSSNDLVEEMNLVDALQHLGIDHLFEDEIDAALSNIHAIELNSFSLHDVALRFRLLRQHGFWVSPDVFNKFKSEDGTFHAGLTNDPRGLLSLYNAAHLLTHDEVVLEEAILFSRHHLELITNKLQSPLAEQVKRALQIPLPRKLKRIEALQYISEYKEETSYSKLLLELAKLDFNLLQRFHLTELKIVSEWWKDLFGEVGLSYARDRMVECYFWSNTMYYEQEHTRARIILAKIIALTSLLDDTYDVHATLEDSQKLNQAIQRWDIKYASSLPEYLKKYYAKLISTFREVEDELEPDEKFRVAYSRKAFQKLSGHYFHEAKWFHNNYIPSFKEHIDVSVVSSGAPMICVASLVGMGDVATREAFEWAIGCTDAVKASGEVTRFVDDLAAFKNGKNKMDVATSVECYIKENKVTDEVAIAKIESLDQDAWKTMNQAYFERRALLPLVKRFANLAMSMEFLFLNKRDAYTFSKYNKGTIEELFVKPIPL >Et_5B_043680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1421627:1427508:1 gene:Et_5B_043680 transcript:Et_5B_043680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVSYPIRMEQCSSSWVHQGHRLWASMPVAFWVYFYKVQRCPLSSAHNWKECPYWHRGERDRRRDPRSHPYLSKHCPDYFASYKYHKMHHTGRAPTCVRGHTCSYAHGDFEVWLHQDGFRTRMCRAGIWCERKICFFAHFSSQLRRVGDKVPFVNLRLLMPSGPLRAPQRPLSPPPPPALQPVLALPAPPPQRDVMMLQTRSGTRRISLIDVADTSSFSWSSSSSGSSSSSSSATSSSSSPPDVVITATAITSPTLMGHMADEAAATMSPAPGYFVDNEVDNGMSDSDEDSLGEFPYFDIIKDFYSSRPYQGHQLLAFMSVAFWAYFCKVQWCLLSGAHDTKKCPYSYHGECACCRDGTCTHPYLPEPCPDPLASSSSLIPLSFSLSSASSSSSPPNGRLPD >Et_3B_029096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22064053:22068121:1 gene:Et_3B_029096 transcript:Et_3B_029096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLWISKVKEGQHLAEHELQSLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFATGGHVPDTNYIFMVRQPIFPEFCWLIGDVVSNWAVIDLQGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGQVLCVHGGLSPDVRTVDQIRTIDRNCEIPHEGPFCDLMWSDPEEIETWAVSPRGAGWLFGSRVTAEFNHINGIELVCRAHQLVQEGLKYMFQEKGLVTVWSAPNYCYRCGNVASILSFDEKMERDVKFFTETEENNQMRGPRTAVPYFL >Et_4A_033556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24456813:24462302:1 gene:Et_4A_033556 transcript:Et_4A_033556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEDIPISGDAVDMQGYPLWPTLKPISLSCRSMTGLSPSLPPSRNQHPAPQRQPRSSGMSLSEDPVALPWRLPTTERAMGTSPSRRPPWAPPRRFPRRRRLPKFQGTTIDASPPPHPLLGRRPTYQKHPQNHRWDSESAPEKIRKGYCHDHSGSSSSTTPTTSAKRKSSTLAQPESPNTGSYNSNRVKRRKFEASEGLSQEEAAEGQMGSDSSSCSSPLREPLAPLVPIWTSKTGKVYTGLTNDRAALDMYHQAHHTYLKKQACQARLPTLRSSRTVTCIKECYSPMQKEIFLNAAKSVLSLSAYCDGVEINRCSGVLIELDPVKESSTILTSASLICIERSFDEWTDKKIATEAKVTVHLLDGTIADCELLYFSKQYDIAFYAIFGESHLQALSFESNFEDGKDLYVLARNKNMDLICKTVQVNYVDPCEYQHNHYMFIDGSIPKCGTGGILLNSSGRIVGMLFDTAPLTAFIQSSLILRRLPRPQLGLKLGTVGFLDIAQIESLSRNYGVTSGIIILEVSAGCSFERVGIRTGDVILSCQGANISNVTQLEEVLLDIGEKHFEKGDGVTSEVEIEFCVFRVRKGTRRVISLTVELSDGIEVFHS >Et_5B_044213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21585655:21587560:-1 gene:Et_5B_044213 transcript:Et_5B_044213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATMNYQEHRINFLQDAAAKFPIISAGGSYLGKELAFHEATAPTTFAHPAWQEYNGCFELALGQSMVRKLAVVAVPVLSHVCNVDQKQSYGVCSTYGAQVMHSVKLHIHHSCNSCSHRNARCLLPNTRRSCTDCFSPLFGSKHGRRKVLLQPAVAVDEPVYVNAKQVKGILRRRLARAKAARERRVSMKRKPYLHESRHLHALRRLRGTGGRFLNTRCLGGDHGAAPLTAGGSENPGGDTTARPTENAVAGDFLCTTERGEAKWISPPTSSGHSDILRV >Et_2B_021636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3884312:3886818:1 gene:Et_2B_021636 transcript:Et_2B_021636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAILVFLVVSALQMLESVLDLARKRGAITGDQLKLRAEIAQLLKEASALSTPSTFAQAAKLKRLAGAKEKELAKIQELNIKGKQSLHDQYGRVLLITKVLIYGVFILWFWSTPVTTVPQHLLQPFGRMFSWRGVDAATGRVVVRHLDSTPKHISYSPTAYA >Et_5B_043363.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8245812:8246381:1 gene:Et_5B_043363 transcript:Et_5B_043363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIKRPVQIFCCVLLSVVVAIAIILVTLYFTVFRGRQPQVDTAAMHTQLNAFNLLPPVLNLSLAIELRLYNPNYARFRYGEVVTTVTYHNTVVGRSTAPAGAVPARSTAAVGEPVQVDGDKVILHTLYPVDVLAGKLPFEAVMAVAGKAAVLRPFKVSAATQVMCDAVVLPFRRESSTRCTFIVHAT >Et_6A_045850.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:19588420:19588893:1 gene:Et_6A_045850 transcript:Et_6A_045850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAPVYFPAYSFRHGGEEFNLVDGGVAANNPTLDAIRCIIKEVEAKNPDFHINIVDNPEPFDIKKLLVISIGTGYAKQEYTAAECKKWGLIGWLIKRGRRPLLDIFSNATTSLIQCSAEYLFHLHRCHENYIRINPKVRAYTSRDGAPGRKPEFL >Et_4A_035969.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9698501:9698761:1 gene:Et_4A_035969 transcript:Et_4A_035969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANRIRKYDSGNQKRKKKQGNEDLTKSQQGDMDRYVTKQLVPAANQIPEQVLALDYNIVNEDHTDCEPEAEANVELEAENNVDL >Et_6A_046185.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:8689355:8689468:-1 gene:Et_6A_046185 transcript:Et_6A_046185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SKFAFFHKNIFFIGTITLEREISYLFFQLRRNTVGRR >Et_5A_042421.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:84249:84680:-1 gene:Et_5A_042421 transcript:Et_5A_042421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSCKEKEAVSCAEDALQVRRRSHGRRCYYGPASDAEFLRSIADKTPGREDQINQVRRRQVYLKSYVFVTKDDDELRRRHHQQQTTTGSSSSRWWCAKKAGKVMSHVLNRLLACRCNIDPVSDSSSSHAGAAAMDVHLHSAS >Et_1A_008063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40542230:40545119:1 gene:Et_1A_008063 transcript:Et_1A_008063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRARPPPPRPALRLPLRILCNLERIRTTSAGKMMEEKENEEKGSSQVLQAMAQMGVLVPIGDMTHSNCFTTEKFEKKKQMFATSGEDLLAISTDQPFQFPAPSEFVVRAFPVLDGIGKALILVLILQRLLS >Et_1A_005575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11663672:11666181:-1 gene:Et_1A_005575 transcript:Et_1A_005575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEGSQGHQGSEASNDHGSALPAPAASTDTVVSPGENDSNNSGSLTGGIPVAKDVAAGNGKDVAATIVKKEPVGSGSAGTGSGSSHSGSGQSKGTRSAAEIVAADDTDGGTSKEGGGKRKAGALRDANGGGAGAGDEQASPHIVTERERRKRMKNMYADLHGLLPRIPEKVKIDMAQITDKATIVGEAISFIRLLQSTVAQLEKRKQELALARHVAAAAAAASSSSAAAAPPIVAQGRAVDVLPSDLPQGWSWLPKRPQQPAFAAAAAPAPPARFQTWSGMNVVLSVANDDAYISVCAPRRPGVLRLVFSVLAKHRLDVVTAQVASDAGRSMFSIHTRVSPPIDPPPSSCLFRGSLLAGCINLCLIYVQASLVNAEAIQQLGGEAPASEDIYKLAVSEIMVWLSS >Et_4B_037520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20847393:20850666:1 gene:Et_4B_037520 transcript:Et_4B_037520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLCKLAVLVGNEYKSLKGIRKEIKFLESELCSMNTLLQRLADKVEIDAQTKEWRDNVRELPYDVEDCIDLFMHRLDPICGKAGFIKKVEWKLKRRQLSHGITEQIQELKARVMEESKHHKRYSLNVFHSNSEADQCTTSSGARIRVDPRLSALYMETARLVGIEAPKNKIIRWLMEKQENISDQLRTLSIVGCGGLGKTTLANQVYLQVKSQFECSAFVTVSQNPNMKLILKKILSDTAGINDGILEDEQYLINKLRGYLHDKRYCIVIDDIWDEHTWRIIQCALADNNRGSRIITTTRINDIAKSCSCSPGDLVHKMKSLSAVDSKRLFLRRIFGSDESFPAQYKEVSDGILRKCGGLPLAIISISSLLASKSKSGDNWNYVKRCVNSEYENSPDIEIMTYFGLSHHLKTCLLYLSIFPEDYVIRKDRLVSRWVAEGFIHGKQEQCLYDVGESYFNELINRSLIQPDIKDDGQVNACRVHDAILNFIVSRSTEENFVTLFARFAASLHKNDKESAIIPTNLIKCHVRSLTSFVRTGEVPSLFGFSGLRLLDLENCHALSSHHLTNIGRLVQLRYLNLKGTNIRQLPGEIGELQYLETLDIRYTGVTELPSAVARLQGLVRLLFDSSVMLPKGISNMAALEELSCFSVFMYSENFLRELGQLSNLRVLRVIWNHTDIDGDAGSYMDNLSISLSKLGSCHLHSLSLDIRGHEEDEFSLDLWNPAPHRLQKLRIDRWQPITKIPSWMGSLINLEHLNLYVRKIVQEDVKMFESMPALITLILSSKEAPEQNLIISCRGFQCLTYFKIHCDRAGLIFEAESMPKLEHLHIVISALKMKSPDGCFDIGIQHLSCLTKIYVYINCFGLTDEELEAAENAINFAVDTSPKHPILHIDRRFAP >Et_4A_032687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12607216:12615200:1 gene:Et_4A_032687 transcript:Et_4A_032687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCYGKSAAAPEPVGLEEGHVANGAGDTARDGAASPEKPRTPKQPKFSFYLPSPLPPSSYKGSPANSSVASTPRRGGFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPEGGEPELGLDKSFGYSKHFSAKYELGREVGRGHFGYTCAAKAKKGELKGEDVAVKVIPKAKMTTAIAIEDVRREVKILSSLTGHSNLVQFYDAFEDDENVYVVMELCTGGELLDRILARGGKYPEEEAKVVVHQILSVTSFCHLQGVVHRDLKPENFLFMSKDENSALKVIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDEAPWPNLSAEAKDFVRRLLNKDYRKRMTAAQALCHPWIRGSQEVKIDLDMIIYRLMRAYISSSSLRKSALRALAKTLTTDQLFYLREQFALLGPNKSGHISLQNLKMALTKNSTNAMNDSRVLDFVNTYRKLDFEEFSASAISVYQMEGLETWEQHAQQAYELFDKEGNRPIVIEELASELGLGPSVPLHVVLQDWIRHSDGKLSFLGFMKLLHGVSSRTIPKVPNSITVTVQIMKKLT >Et_3B_030603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5285746:5287265:-1 gene:Et_3B_030603 transcript:Et_3B_030603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGGGAGSAPGPTAAAAAAAAQKQKSLLQKADADVSTLVDNFSSLINIARVNDPPVRNSQEAFQMEMRAARMVHAADSLLKLVSELKRTAIFSGLASLNENVDRRIEVLGQQAEGTERMLERIGQEAAASLKELEAHYYSSVVRSPACD >Et_2B_020459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20448320:20454740:1 gene:Et_2B_020459 transcript:Et_2B_020459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HLAGKRGERPFPRRCAKVRSPVAAAYRTPPRPAGGRKNKAEERPRETGGRGAERNPSKQTHTHTHTRVARAARTSRAGTPSGSRRGARALAMSRGRAAAPVVPPPPLLLLLLLLLGGRVCDAAKHASNAEAVVVEEEDAPPDYGAALSKSLLYFEAQRSGRLPHNQRVRWRGHSGLTDGLQQGVDLVGGYYDAGDHVKFGLPMAFTVTMLAWGAIDFAGDVHAAGEWGHALEAIKWGTDYFVKAHTEPFVYWAEVGDGDTDHYCWQRPEDMTTSRQAYRIDRDNPGSDLAGETAAALAAASIVFRRSNPHYSHLLLHHAQQLFEFGDRYRGTYDSSIAEVRSYYASVSGYQDELLWAALWLHRATGREEYLRYAVDKADEFGGVGWAMTEFSWDVKYAGVQVLAAKLMLEGDPQASKHRAVLERYKAKAEHYLCACLGRNGNGSDVDRSPGGMLYVRQWNNLQYVSSAAFLLTAYSHYLSSASSTSNNNLLLRCPGGEATPSELLALARSQADYILGRNPQRLSYMVGYGRRFPVRVHHRGASIVAHKSNSRFIGCMQGFDDWFGRGRANPNVLAGAIVGGPNCRDEFRDDRGNYMQTEACTYNTAPMVGVFARLRRLTKDLDGCRRRGEAADSECR >Et_3B_029983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29646107:29649549:1 gene:Et_3B_029983 transcript:Et_3B_029983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGKRLLSLLALLAAAVLPHAVARDRREVIELSGAPEGVVWVVQLSDLHFSVHHPERAYDFRRYVGPALAMVNPALVLITGDLTDGKSKDLLTMKQNEVEWIEYKNTIKDIIERSQLPGKTFYDLRGNHDSFGVPASGGDYDFYQKYSINAKLRRQGRVQSITLENGGRKHLFVGFDTTMEIGLRGPTNLFGHPTDEQLVELDQALSQWDTDMSPVTKIAFGHFPLSFSALTESGKSIKDIFLKHSLAAYLCGHLHARFGKNLKRYYQQTVQEPSLSDHYYQFNMHQGYAVHSNKENCSEEAAHIEEFWEWEMGDWRKRRSMRILAIDDGYVSYTDIDFRLGSKSIVILPTFPLDSRFMQRASDSRDFNCHSMGASTFDTVRTLVFSKHEVVSVSVKIYDSRPGTPEVVFDSEMKRVDSNETRGHMYLVPWNWRAFEDPSPNRYWLQIEVMDITGDASLSQLRPFSVNVVLPWLFGHPITEDNNLTYMTYKGWFLKKSNSGSEILHAGTPDVMIIVIPHLCFVLVPTIVILSAMAAERTAYREHYLSRSGKKKDDHYQKSRRQIEHENFWNSRWIRKLLFLLCLVVLWKHWKLCRALVKAYAMNPLLHAPILFFFIPAVMVFAFYKTSSI >Et_2B_021842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:679093:687634:1 gene:Et_2B_021842 transcript:Et_2B_021842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSSASRREAARSGELPRTMARSGELPKGPTAPATRYEGWMVRYGRRKIGRSFFHTRYFVLDNKLLAYYKKKPKDNMMPLKSLLVDGNCRVEDRGLKTHHGQMIYVLCIYNKKEKENQITMGAYDIEDALAWKRKIELLIDQQQDTTTAKNRKAFASLDFDMDLGGPFSFSDHDSGQALLIQYMIGPKSLMLGCQIRMIPIRLSPGRTGGYLGEKLQSGNEGCRSCGSHMWDCSFQYGSLVEEVDGHTAVLYHRLQLNWCSMVVWPRDLCYVRYWRRNDDGSYVVLFRSTEHQNCGPQPGFVRAFIESGGFKISPLKCVNGRPRTQVQHLMQIDLKGWGVNYFPSFQYHSMLQMLNCVAGLREYFSQTDEIHPVPRIPMMHTMVNAVSVKKDQKLQEADSKIKQTDSGQADNKILDIVDEDSEEDDDYQVPEADLEEDEPTKSDSDAKYSVISHFLTPSTMAFGDPTDPIDLSWFSGIIRQDTNEKSRNCWAVPDSKIFKVRSKNFPHDKSKIPAGKYLMELVAIDWLKNTKRMDHVARRKGCAAQVAAEKGMFTFLVNIQIPGSSHYSLVLYFVTSSLKKGSLLQRFADGDDDFRNSRLKLIPSVPKVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYDELPEQLLGAARLSHIEPSAAVVPELDDSS >Et_8B_059163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14713693:14718590:1 gene:Et_8B_059163 transcript:Et_8B_059163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLPTACMESQWFRLHKSHAIPVSMSISAESRSIPGGIDNVDRVESPVMSGFFSCSSCLFPLLSKYSIYTKFLRSTFLAFSRNSAETTVSILWRSSGDFSRAVRRNPLYSANTCLWIPDSFVREAISSLCSNHQSLEKCSHGMSCLMSIHKL >Et_2A_015217.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8443544:8443771:-1 gene:Et_2A_015217 transcript:Et_2A_015217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEAQKNYQANFFMETTIIAAWNIWKQRNGKIFENHTPSFQAWKRSFMDECRLQGHCFKEFDALTFIDRIETSM >Et_5A_042946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7439034:7457690:-1 gene:Et_5A_042946 transcript:Et_5A_042946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEYAVADPVSRRYVLLPAVPVGQHRKRRLDHPFLVSASDEEEGTTCFRVMCVDMCRDHRVAFVLSSDTGGWQPFPCQYWTLASSVDLDTSLTFRHCARGFVYWILCWTNKCLLLDTCRMEFSFVDAPPDHHLWHNSAIAESGDGRSADIVGGANPWGPMMSKVKIELPWSYKLVISGSTTSERYLLLTGVKSEMSRVRLDQRKMEYFSLDLETMQLDTTLTIDESLI >Et_2B_022633.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28490277:28490864:-1 gene:Et_2B_022633 transcript:Et_2B_022633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHVERALRATLTESEARALESTVREHHTFPGRARGATCTSLVAQRVAAPVRCAWPILRSFAHPQRYKHFVRTCALAAGDGATVGSVREVTVVSGLPASTSTERLELLDDDRHILSFRVLGGDHRLRNYRSVTSVTEFQHQPAGPAYCVVVESYVVDVPEGNTEEDTRMFTDTVVRLNLQKLAAVAEESADKTS >Et_2A_016975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:327367:329135:1 gene:Et_2A_016975 transcript:Et_2A_016975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAALLLSNHSSPCRLLNLRPLEPPRSRLRLHRGSKGTSFSSSTRLRHVRSLAAVAIPPGDHWGNWAFLLSAAAFGTWSEQRTPWGAAISGALVSIMAGLAATATGLVSPGAPAHDAVMEYLLPAAVPLLLLGADLRRVVRATGDLFKAFLIGSVATIIGTTVAYLLVPMRSLGQDSWKIAAALMGSYIGGAVNFVAVSEALQLSPSVVAAGVAADNLISALYFMALFSLASKIPAEPKTTVQDGPKGGESDGGGRLSVLNGGAAIALSFVICKAGSAIADRLGFHGGTLPCVTALVVFLATAFPGHLGRLAPAGETMAVILMQVFFAVVGVNGNVVDAVTKAPSVFAFALVQVTVHLALVLGVGKLVGLERKGLLIASNANVGGPTTAAAMATAKGWNSLVVPGILVGIFGISIATFLGIGFGMFVLRRMCG >Et_7A_051012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13646420:13647558:1 gene:Et_7A_051012 transcript:Et_7A_051012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHWSSCALAVAVAAVAVLSVLCSAHPVPAHGGGGFGALTPHFYEHTCPQAGAIIGAIVAREHARDPRMAASLVRLHFHDCFVQGCDASVLLDADGSGRFATEKRSNPNKDSLRGYEVIDEIKAALEHACPHTVSCADIVAVAARDSTVLTGGPGWEVPLGRRDSLTASLSGSNNLIPAPNDTLPTIITKFRNQGLDVADLVALSGAHTIGDSRCVSFRQRLYGQNNNGQVDRTLNPAYAAQLRARCPASGGDQNLFALDPASQFRFDNQYYHNILAMNGLLSSDEILLTQGRETMELVHRFAADQGLFFEQFAKSMVKMGNILPLTGNAGEIRHNCRRLNH >Et_3B_027596.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:30517220:30518171:1 gene:Et_3B_027596 transcript:Et_3B_027596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPHPEPGLPGHHHHHLVHLHLDPRHHHHVHIRLCHQHHHHTRSLAPAPPAHLHHHHHGQPAPVFIPNAYPGASSWQPEPPRSAVGDTTEDLAPETALHAEEQAEEEDEEPVFVLTDEWAEFFAKSDAKRRLGNY >Et_9A_062859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5975638:5980219:-1 gene:Et_9A_062859 transcript:Et_9A_062859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPKTAPFSGVVEDFKGRVACYKQDWHDGVRSGFRILAPTLYIFFASALPVITFGEQLSKDTDGALTTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYIYNFAKNQPDLGEKMFLPWAGWVCIWTAVMLFLMAMFNAAVVLNRFTRFAGELFGMLITILFMQEAIKGMLGEFSAPEDSDESQSSFQFQWLYVNGLLGVIFSMGVLYTSMASRRARSSLYGTGWQRTLVADYGVSLIVILWTALSYSLPSKIPSGIPRRLFTPLPWEPKSLQHWTVAKDLFSVPPAYIFLAVVPAAMVAGLYFFDHSVASQMAQQKEFNLKNPPAYHYDILILSFTVLICGLLGIPPCNGVLPQSPMHTRSLAVLKRQLLRKKMVRTAKEGMMNNATSSEVYGKMHEVFIKMDDGNNSDSVHKELKDLKDAMVPEGNGAERVPDEFDPDKHVDAYLPVRVNEQRVSNLLQSLLVAGCLGVMPLIQRIPTSVLWGYFAYMSIDSLPGNQFWERIQLLFITPQRRYKVLEGAHASFVESVPFHKISAFTLFQLIYLLIVFGMTWIPVAGILFPLLFFFLIVIRQHFIPKYFDPSHLRELDAAEYEELEGFTPEPSVDDDESVRSRDVQPEYASEILEEFTTNRGELKHRATSFRDGRLLKLNSVKMTRELSRTTSRIARVPE >Et_3B_029496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25487314:25499311:1 gene:Et_3B_029496 transcript:Et_3B_029496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCSKAAKMTEKASGDDEDCLSALPEDIINRILSLLPSRELVQRTCLLSRKWRNRWKSMPTLRVSEDDIFENAHEMNKFVNYLIFHRGSNPLVECEIYIGHIDDTFGYVDLWGRYAMSCEVQVLRIIIDLPDGFCPLPTKLLVSNNHLTTLELWRMLLVEPLLDFSTCSALQELNIIHSSLDVRHIISPSVKRLRIQECRFLGNARARISAPNLIGFKLVSYSGCTPFLDNMPQLVSASICHEDYVRDCCRSKFEIGGCADVSCQGCAHNRGESNKSVSLEGLSHALHLQLLTSKVEMVCLHLSLLLILVTMSVHYLGFMMIDVADPPYINSVAYSFPCLLLAFSEMGMFPFIFRRDLTLCPVFSKLKTLIINDWCMVANVHALIVFLQRSPVLEKLTLISEDDEGEEQNMKGHSNLLISSNLLLNKAAKLTEKASGDDEDCLSALHEDILNRILSFLPSRELVQRTCLLARKWRNRWKSVPALRVSEEDMFENAHEMEKFVNYLVFLRGSDPLVECEIYIRHIDDTFGYVNLWVRYAVSCEVQVLRIIIDIPDCWCPFPDVVLVCDHLTMLELWRMLSGQPLLDFSKCSALQELKIIHSTLDAKHIFSPSVKRLIIQDCTLLEDARARISAPNLIVFELVRYSGCTPFLDNMPQLVSASIWHNDLDSDCCKSKFQIGGCADVSCQGCTRIRGDQGAGNTSVFLEGLSHASHLRLLTSTVAMFMIFHVADTPCINSVAYYFACLLLAFSEMRHASLRDLTLCPVFSKLKTLVINDWCMVANVHALIFFLQHSPVLEKLTLRISEDDEGEVAKGAKYEGAKQPFDFKYLTVEVECFKISERIKKNLAVLTTCGVPPEQIKIHQSSLGSLCSFAKDQLLTGPAPLSEAVLHHQPFRLLPGGARRRGAPVILVGELMHVPEAVAVFDLPQFVFRPPASGGMAARPPEVASVVRGAEEVPALSDDGHRRRREHARQLAGGGGADVGVVDEAGVDGERPAVDLGAEEVVEERVVLGSEGVARGSHLNATFVSCVPSCSSSCLVSRASQDSLEEAVARVVLMS >Et_8B_059252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15882888:15884980:-1 gene:Et_8B_059252 transcript:Et_8B_059252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNTSSSSSAASSLPLSPADGFLCVKEGVDGMIKYVANEPSVGLYFVQQHARASMPILLDVKDKLGEKTHEVTLHTEDMEDSICAVRSMAEFGLPLANDMIKDINNSLQIMSKAQPNRGLIQNPSWGFQYGKSSGTWDDLCTANGGSSRNYLSSMFNTAKQKASILRWPQPDFTMKDDISENSASSAAPESSQAGGQGASSPDTERDELPVSSRFSDGTAATNQSMPATGISETVETYNKFKEEQELKLQEWLRQSEETKEDNED >Et_5A_040415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20531420:20532817:-1 gene:Et_5A_040415 transcript:Et_5A_040415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLESELATARARVAELEAERRAAGKRLDRFLRKLEDEKADWKRRHRRAVSSAREEARLERRHRRALSAANARLARDLADARRAHAGERRARELMEEACAELTREVEADQAEVELLRGECLRLREEMEEERRMLQMAEVWREERVQMKLSDARLALEGKYAQLHRLQAEMEAFLLRGRRDKAADKDNGNDGDDDCSRQEEEEKVDVDAVFEHFRRREKEKEKARESGAAKPNGVAAGRYSTASSTSSNLESVSPATDLFLAKADDDGSDDPYSDGSAADGDDPCSWVGTSEPSVSVARATAGSRRSGGAKNTALIRRLWRSAITESRKRTGPAARMNQQRKKGTLPQRRGAQQYKQSLKEKLMEARMDDQREKPHSNQIQ >Et_7A_052029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3921530:3922210:1 gene:Et_7A_052029 transcript:Et_7A_052029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDKGRPLPKFGDWDVKNPASAEGFTVIFQKARDDKKTTGPGHAQNGIPPAFRNNGDGGYRPDFKAGDSYPYTPPKRGKVRVGAPIFRRGGSSVPADRCCRRENRAWIVPHQK >Et_1A_007969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39880810:39885417:1 gene:Et_1A_007969 transcript:Et_1A_007969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDPPAAPAPERQRQQEEAGAGGRRTDKQGRRLEVYNEVLGRLRAAGPTEISPAFEDALWAHFHRLPARYALDVNAERADDVVTHQRLLEEARDPERRPALSVRVVQVSRIIDGDMDVPLDDNIEKVASNHSASQMAHPPPAFGSSSNLEALALETSESDVRSTNDADNSVHLISRPMHEITFATIDKPKLLSQLTCLLGELGLDIQEAHAFSTIDGYSLDVFVVTGWHLGGTEQLQGMLLQKFHKIEAQAWQVSSSSSASLGGLQGGEGMPSTSVEIPTDGADVWEIDLKLLKFGNKVASGSNGDLYRGSYCSQDVAIKVVRPERISADMYRDFAQEIPYEYLTPLQAAIGVVQKGIRPTIPKDTHPKFAELLQKCWHWDPAERPDFSQILEILPRLSKEVGADAEGRHKMKSGFLSALKRSH >Et_9B_064375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14527260:14531840:-1 gene:Et_9B_064375 transcript:Et_9B_064375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFILSLMELGVSAAVHMLFGFYVFSSAVAADISQAALASGSLLLRRPPPAPAPEGIVDVAAAGERDERRGAAPVVLDGSPPPIVLVHGIFGFGKGRLGGMSYFAGAEKKDDRVLVPDLGSLTSIHDRARELFYYLKGGQVDYGEEHSKACGHARFGRIYDTGHYPVWDEQNPVHFVGHSAGAQVVRVLHQMLADKAFPGHDTSEDWVLSLTSLSGALNGTTRTYYDGMLAEDGMSMKPISLLQLCRIGVIIYDWLDIPCLKNYYNFGFDHYEMSRRKVGFSGLVDLLLGNTGPFASKDWILPDLTIQGALRLNATLRTFPNTFYFSYATKRTRKLFGITVPASVLGIHPMLFLRVLQMCIWRHPQNAPLPYKGYMDEDWEDNDGALNTISMTHPRIPIEHPNRFVANDSDCHPLQPGIWYYKIIDGDHILFIINRERAGVQFDLLYDGIFQRCRKHAFRKSPPTVPNETSQ >Et_9A_060887.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:12090110:12090619:1 gene:Et_9A_060887 transcript:Et_9A_060887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTADVTDRSGNPILEERHQPADWFAIGAGHVNPQKAIDPGLVYDIHPSDYVGYLCSDIMVIPDSMLNYPSIAVVFPQTWNWSLPMVVQRTVKNVGKVPSTYPPKVDMPAGDVTIDVSPSELVFTEMNQEQSYELSVWTRQNGTNVVQGALLWVSGTYTVRSPISISFD >Et_1A_008074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4114307:4117831:1 gene:Et_1A_008074 transcript:Et_1A_008074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLRRLPAARSPATAFIAARPISDSTASLTIETSVPFTAHLVDPPSRDVTTSPAELMTFFRDMSVMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRSDSIITAYRDHCTYLARGGDLVSAFAELMGREGGCSRGKGGSMHFYKKDANFYGGHGIVGAQVPLGCGLAFAQKYKKEDTATFALYGDGAANQGQLFEALNISALWKLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKDHAVANGPIVLEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLIYAYDLATAAELKDMEKEIRKDVDAAIAKAKEISMPDTSELFTNVYKKGFGVESFGPDRKEMRATLP >Et_6B_049232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2085053:2090164:1 gene:Et_6B_049232 transcript:Et_6B_049232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDALRRLCEEIGWSYAVFWKAIGAADPVHLVWEDGYCGHTSCPAGSEPSEALPNEVGCSAADGAMCSLVKKVMASQVHVVGEGTVGRAAFTGNHQWIVHGTANGHGLSSEVAAEMNNQFKAGIQTIAIIPVLPRGVLQLGSTGLVMENTNFVMYAKKLCSQLNNRSGMTASASVVQQDGRPFRENTVHAEPEVRLEQQTSYCESRFGTSTQRADVSSALISPSLTSVQKQSLLFSNASPLGSGNNADLARNILLQSIVYRDSSVYGNTNINTAHGRYEVYNGRHGPANFDFIPGVGRVVKDTSLLSQVTDQRCHSLSRALLQKQPIVSQPSEFSTKMDNPERGPFQDPSAPSSVSDGQVSNSFNTIHGQDDQLDRSDNMHQGQRMKNGEDMPEERVSSLLVDPPPDNDLFDIFGAEFQQFSHNLGADLVSWSGPRSQNSDRDVPESSIYFDRSPLFSSVDNEFPYSGNFSLTDTDQLLDAVISNANPGGKQSSVDSGSCKTSLTDIPSTSHLGEKELKQYESTGVPSVLIKHESAQIVKQPCFFDKSNDGCLSQNNGGHKSQIRLWIESGQNRKCESASASNSKGLDTPSKTNRKRSRPGESAKPRPKDRQLIQDRIKELRELVPNGAKCSIDALLEKTVKHMLFLQSVTKHADKLKNTNESKILGGENGPLWKDYFEGGATWAFEVGSQSMTCPIIVEDLDRPRQMLVEMLCEDRGIFLEIADFIKGLGLTILRGVMEVRKSKIWARFTVEANRDVTRMEIFLSLVRLLEPNCDGSGPAENANNANMPLGLVHQPVIPATGRIQ >Et_2A_016662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2764284:2768756:-1 gene:Et_2A_016662 transcript:Et_2A_016662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADEREEEARRAKEAGNDAYRKHFLETAVHHYTRGAVLDPGDISFLTNRAAAFLHMGKYTECVRDCDEAAERGRELNADRKLIAKALSRKASALLELATCARDYALVIRALQQSLAEHYSEETLAKLEEVEDTRMELEEQERQDQEAADHHRERGNEFFKQKKYREAEMHYTQAMKFSPKDPRAFSNRAQCNIYLGALPQGLEDAKKCVELDPTFLKGYLRKAKVQLLMENYEDALATYLEGLRCDPNNLDVLDGLRRCAAYIKRANGGDIELEDLNEMLGNFRTEGDLRQFKKAMEQTSALKKEASDERLKRIESERMARTMEEHLSGVQQELQQLKIQHNEVNEKFREAKECNENLQALLSESRGQYDWLLSEHDRLLHERDHAVRQVEELRQKRGQMLSVLVTSMHCEFSSSELECATENFSISRKIGEGGFGCVYSGILRNMTVAIKVLKPDSLQGQSQFEQEVAILSRVRHPHLVTLLGACSESSTLVYEFLPNGSLEDFLVCPDKRRTLLWQIRIRIIAEICSALIFLHKNKPHPVVHGDLKPANILLDVNLVSKLSDFGISRLLIQSSTNNTTLYRTMHPVGTPLYMDPEFLATGELTPQSDAYSFGIVVLRLLTGKNPVGIKKIVEDALEKGDLNSIVDASAGEWPEAHVQQLAYLALSCTELSRRCRPDLSGEVWRVVEAMRDAATQASASSSRSVPDEICTPSYFICPISQDVMNDPHVAADGFTYEAEHIRGWLDSGHDTSPMTNLQLEHDELIPNRALRSAIQEWRQQQNTAL >Et_4B_037223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16868430:16882111:-1 gene:Et_4B_037223 transcript:Et_4B_037223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSSSSSVTTAESAEENEDPSPPCDPQTMARWYQLEALERAERGNTVAFLETGAGKTLIAVLLLKAYAHRVRRPESRSFAVFLVPTVVLVGQQAGVVEAHTDLRVAQFCGEMGVDFWSEDTWRKAVDGAEVLVMTPQILLDNLRHSFFRLQDIALLIFDECHRAKGNSPYACILKEFYHPQLNSRPSDPLPRIFGMTASLVYSKCLDRADFSKRICELENLMNSKVREHRNDMTLVYTVDNESAISMYIPFATTRIIEYDDSSIPSKLHDPIIICLDRLKTKHLEIFEAKLHGSSLENAKQRISKLHQTFSYCITNLGVWLAAKAAEVLSHSESCLSFWGEELNEQVEGFVRKYSEEVYESLSHFSKRDIGEDFTADLHDGLLTSKVHFLIKSLLEYRHMPDLRCIVFVERVITSIVLQSLLSSIDHMSGWTVKYMAGNKSGWHSQSRTKHMEIVDSFRSGKVHLIIATNILEEGLDVPSCNLVVRFDPSATVCSFIQGDAEALSKTEKFLSSGQMMREESLRLAPTICQPLKSTLCDEEYYRVESTGAIVTLNSSVPLIYVFCSKLPSDEYFKPLPRFSTDKALGTCTLHLPKSSPVQTIHAEGEGSLLKQIVCLKACRELHAIGALTDSLLPELGVPYEDDPDIEVSDPIINFHEMQESVGVVYLLLPLVSGKIDWCSIKVSASPICEATNKDMRHCHSCKKVDLLQTKDGPFCKCMLKNSVVFTPHNSMFYAVSGFLDLNANSLLHRNDGSVVSYKSHFNTRHDLNLIYEDQPLLFATKLLKARNFLHKNHYKKEKEYSGSSVELPPELCRVVMSPMSENTLCSFLFVPSIMYRIQCMLLSVKLKVQLGPRMQQFDIQSLKILEALTTKKCQEEFSQESLETLGDSFLKYVTTQHLFRKYKLHHEGMLTKMKKNLISNAALCQLACNNNLVGYIRGEVFSPEEWIIPGLGYDKCGNSKIFCVSTNMYSLRNMSIKSKRIADSVEALIGAYLSSAGEEAAFLFLVSLGMDIEFHSEMTVERTITTKCEEFINMKSLETMLGYDFSDPLLLMEALTHGSYQRLEFLGDAVLDHIFTEYFFNQYPECTPELLTDLRSASVNNNCYAHAAVKAELHKHILHSSSELHRKMDYYLNNFKQSFSGPSHGWEAGIGLPKVLGDVIESIAGAIYIDSKHNKEAVWSTMKRLFEPLATPETLQQDPVKELQELCDGKSYSLSYTKTRENGVSSVVAEVQGEGVFYSATRTGPDKDVAKKLAAKVILQYLKAAVQNDDARNSTAK >Et_6A_046939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22799333:22803819:-1 gene:Et_6A_046939 transcript:Et_6A_046939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLHPPVPSTAAFETGLANALAACRVWAVRLGADSAGNRAIILNDAGARFVVATADVALAGVTRFACGAYVVGYTLHHLVADGHAMATSMAAFGQATRGVAVDPVPVFVPRNPLRVEFEHRGAEFKLPVGTENACSSGGHVAGVGHQRGGCAQGAIQPGVDLLAEVEGPPIGARPYSALQCVVAHLWRCITAARRLDGRMVTLARVAVDGRARLRHPPVVPQEYIGNVVLWARPAATARDLVERPLAHAAELVARAVVRVDGCYFRSFIDFASSGAVEAERLAPTADAAKMVLSPDVYSLLGFAFRDIDFGTGAPFFHMRGYVAEEGLVFLVPSSFSGHGSVSTSSGGTWTAWWRICGGESPQRAGSTGAGPLWRASPSTAAHVCATRRLRCRKSAHWRPRRSSRPARWRARTAATSGRSFVDFASSGVVEEEGFVPTADAAKMVLRPDVEVYSLLEFAFRAIDVGSGAPFFHMRGSVAEEGSCSLCRRSLALEASTRTSTSSSVTWTRSRIAATPYSTGSSRLPTLA >Et_4A_033842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27305944:27307855:1 gene:Et_4A_033842 transcript:Et_4A_033842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGTSSGGGAAAAANDPRQPSMAKPYAPPKLSPQDLPIDYAGFLAVVFGVIGVMLRYKACSWIAIIFCAQSLANMKNFENDLKQAVTFCGDQGEPYRQWRNFSLDRTRATNSGSRPLLLPGNLIKIKQEI >Et_6A_045801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13034583:13035954:1 gene:Et_6A_045801 transcript:Et_6A_045801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALCDDLLQEVFRLLPPAAAPAVSLVSRRWLALLRSATSSLTLRLPASSDGAPAAVAATLTALLSHYPYLSALTVVSAASPAHDADAMLFAVVAAPAASRLSVLRFLADSAVSTAALLAACPALSGLTSLHLTAVRPLSFRWLTFLPHLKSFALVNSAATVDSAGSSSDDIDCEGDATGPLPLERLSLCGIRSGDRGLGWLWRRCRSLKWLQLRACDGTGDGPASVAFVGCLVGLLALELRACRPVADRVLLLAADHCRALTSLLVYDGGSSEALHRFIHQRGAGLHTLDLRLPLDLHNDHLLAIGAEQIHHGEEATCSLATLRLQSCVLITGDGLRSLARTATGAGIEELALVSCAVVEREPGLLTFLSQSMQRLRRLDLSYNETLNDKVMLNSLHREPLG >Et_9B_063810.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15658407:15658550:1 gene:Et_9B_063810 transcript:Et_9B_063810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQVFADIYVLVYVFSWRTGQLITANAEEAIGSLRRYVLEKFSKKR >Et_7B_054561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:23248845:23252013:1 gene:Et_7B_054561 transcript:Et_7B_054561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WLLVLLQQLDFEACAKLLLLLWRTWYARNRLVHEAKRTPICTSVQFLAHYWEELQMIEHGGNVLAPKGKQPIHNHWNHRAGVIIRDSQGKVVLSSWRAIFGAVNAEEVEAFACREGVSLAAEWCSDKVMLECDNSTIVKEQNSSAHELAQLAKRTKHSAVWRFQAPVCIPSLVFQDCNPTLIN >Et_3B_029515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25617762:25622089:1 gene:Et_3B_029515 transcript:Et_3B_029515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRRGKRGRTSPTPPAKRLRGAPAEEPIHAPVPATAGPAAPEPALVMVAGLPPGCGVMALKSRLEAYGPIARACVDAAAATGYVTFRSAAAAVAAIHASLDPDGGIAIGSKKVLVVQASEEPNGSKNVVRSADSAGHHATTKNQVGNAAMLSSKAAPEVTCKAREMVAYDDLSYIKQDGRHESQKRTDNDREGAAGGKSSAGIANGRVILSNLSK >Et_3A_024897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25157303:25161259:1 gene:Et_3A_024897 transcript:Et_3A_024897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRSYTNLLDLANGNLSALDYGGGGGGGAGGGGGGGARPPRARRMQRTMTTPGTLAELDEDRAGSVASDVQSSLASDRLIVVANTLPVRGERRADGRGWTFSWDEDSLLFHLRDGLPDDMEVLYVGSLRADVPPAEQDDVAQALLERFRCVPAFLPKDLLDRFYHGFCKGMLWPLFHYRLPFTHEHGGRFERSQWEAYVLANKLFSQRVIEVLNPEDDYVWIHDYHLLALPSFLRRRFNRLRIGFFLHSPFPSSELYRSLPVRDEILKSLLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYYGRTVGIKIMPVGINMVQLQSLLQQPDLEARVKELRQQFAGKTVLLGVDDMDIFKGIDMKIAAFEQMLKTHPKWQGRAVLMQITSPRSGSGKDLESLQALIDESCSRINGQFGRPGYTPVMLETRSLSSVERMAYYTIADCVVVTAVRDGMNLTPYEYVVCRQGIPGLDGSEDNGPKRKSMLIVSEFIGCSPSLSGAIRVNPWNIEATAEAMNESIALPDNEKQMRHEKHYRYVSSHDVAFWSKSFIHDFERNCRDHFRRRCWGVGLGFGFRVDYKKSKSRVVLLDYDGTLVPQTTIDKTPNETVVNIMNTLCADPKNVVFIVSGRGKDSLEKWFHPCPELGIAAEHGYFMRWTRDEQWKIHNQISDFDWMNMAEPVMKLYTEATDGSYIETKESALVWHHQEAETGFGSSQAKEMLTHLESVLASEPVSVKSGQHIVEVKPQVVSKGFVAEKILSTLMEKGRQADFVLCIGDDRSDEDMFQEIADIMRRSIVDSETSLYACTVGQKPSKAKYYLDDTNDVLNMLEALADASEDASEEAGSPETEEDQSTPEQA >Et_10A_001673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6671330:6674457:-1 gene:Et_10A_001673 transcript:Et_10A_001673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREGQRLDPGSGGGGGDGGPGGVGILVLSLDLLGQVLDRLALVAACPRLEAVDLSHCVGAGDREAAALAAAAGLRELRLDKCLGVTDMGLAKVVVGCPRLEKLSVKWCREISDIGIDLLAKKCPDLRSLDISYLQVGNGSLRSISSLEKLEELAMVGCSCVDDEGLELLSKGPNSLQSVDVSRCDHVTSQGLASLIDGHKILQKLHAADCLHEIEQCFLSKLATLKETLTMLKLDGLDVSDSLLRAISEGCVNLVEIGLSKCTGVTDEGISSLVSQCSHLKTIDLTCCNLITNSTLDSIADNCKMVERLRLESCLLINEKGLERIASCCPDLKEIDLTDCGVNDAALQHLAKCSELLILKLGLSSSISDKGLAFIGSNCGKLVELDLYRCSSITDDGLAALASGCKRIKLLNLCYCNKITDSGLGHLASLEELTNLELRCLVRITGIGISSLAIGCKRLIELDLKRCYSVDDAGLWALARYALNLRQLTISYCQVTGLGLCHLLSSLKCLQDIKMVHLSWVSIEGFEMALRAACGRLKKLKMLSGLKTVLSPELLQLLQAYGCRIRWVNKPLVYKDG >Et_8A_058212.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:24063046:24063444:-1 gene:Et_8A_058212 transcript:Et_8A_058212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQVPEVLLLLVVFLLLAAAASSASITPNPRTQGGAKEEVKKAMAAAPARKKVDDANNNTSSSPSSAPTATGKDAKKEKPGCVTTKECHMKRLVCAKKCTLAAHKRCAAKCTRACTSLPICSTLLHLPFFP >Et_3A_023126.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29780251:29780607:1 gene:Et_3A_023126 transcript:Et_3A_023126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDWAPAIIATALFALLCPGGILQMPGRHRPVDFMNMKTSFASMIVHAVIYGLLLMLFLVILQPHLYI >Et_8A_057887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8861386:8864653:-1 gene:Et_8A_057887 transcript:Et_8A_057887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHSIDEATGNEANITTPPPSYNPSEAPLMYKVGYPPRRNFTRQFTDTLKETFFHDNPLRQYKDQSGPTKFMMGLQFLFPVFEWGRTYNFSKFKGDLIAGLTIASLCIPQDIGYSKLANLDPQYGLYSSFIPPLIYAVMGSSRDIAIGPVAVVSLLLGSLLQNEVDSSKNKEEYLRLAFTATFFAGITQAALGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKYVLGIRDFTKKTDIISVMESVWGSVHHGWNWQTIVIGVAFLTFLLFAKYIGKKNKKFFWVPAIAPITSVILATFFVYITRADKQGVQIVNHIKKGINPSSAHKIYFTGPLVAKGFKIGVVCGMIGLTEAVAIGRTFATLKDYQLDGNKEMVALGTMNVVGSMTSCYIATGSFSRSAVNFMAGCQTSVSNVIMSAVVLLTLLVITPLFKYTPNAILGSIIISAVIGLVDYEAAILIWKVDKMDFIACMGAFFGVVFASVEIGLLIAVSISFAKILLQVTRPRTVLLGNLPGTTIYRNTYQYPDAKHVPGVVIVRVDSAIYFSNSNYVRERILRWLTDEEDKGKEMGLPRINFLIVEMSHIDTSGIHALEDLYKSLQKKDIQLILSNPGSIVIEKLRASKLTEHIGNNHIFLTVADAVRFCSSKSMQEP >Et_3B_031350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26452957:26455592:-1 gene:Et_3B_031350 transcript:Et_3B_031350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCTSSSSSYSPSSSAVASWYCWYSDTRSFMLLSASVNSISSIPSPVYQCKKAFLLNMAVNCSLTLRNISWMDVELPMKVDAMDRPLGGIFLPHNIQDRINELSTLGVVSLGPVITGTGLPKHKVVRAEDLPIGPSADTVHCPWLKVHEDSTGHKAATGGLIVIDIDTLQLQVRGASVPPSRVNAVLITHHLPELGTNLVATLPTLDVQDLSHLRPGIAKERHGSIRTTHCSMNFRKQCKRKGIAIYAIILKFLRIIMPG >Et_3A_026354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7239469:7242088:1 gene:Et_3A_026354 transcript:Et_3A_026354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLKLSHPDYDCQWNTTAKLRCDSLAAMLVQGAGDLSRTTASCEAKEPSLKPNAASLAAAVAATRSTAFDSASRSSMTPLSLSERQGLCFHGAPPPSSSSRTRRSTAAPRWPRAHSILSCAPVASAAAFSSRSSAHHTSGSPARSSTDSSGAAGEGAPPRSAMWRAAPMLARASPSSIAALSSARCTPAAQGGCWSRPHSPASAVTTSSIPRRSPWTVLQCVSAMTARRWNSPFQVVSASISISSASVSISLPLRSLARCSFLKGAPERGLNSRTPATCSPEMASLASLPRYRDPPGTTAESTRATAMEARRLMDLARAVPENLLLVGTTEKVFASIKAARELLGGHTWSYDDADDPDSPPSGSAGSGGDGGVSPNTAGGGSIAGRRCHPGPDFWADLLATALAPDGPLPAAYCEITRLVSFHGEAGRVLPGDAHNLGGQSDDGAARADLRPDDEAQAPWRRRKDLREAVVRHAHDALLALSAAASAVAAAEDFMRWRYAGSPRRNEWLSAVRELVRDARRSLGEARDSVKLMRDAVVCEYFETLTILNR >Et_9A_063395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22970559:22971454:1 gene:Et_9A_063395 transcript:Et_9A_063395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDSLAGVRKEQKKDRFKVGKKKCRLGVRNEHGTRVFDFRNGEQDALKWGHMGIMLKTTSLEREVSLNVEMRIMSR >Et_7A_052212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5738570:5742828:1 gene:Et_7A_052212 transcript:Et_7A_052212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRGAKRAATSDPAAPDLPSKRVMDGPSFDVHRAESSHQHMMAGPATLDPGRAEAASKHVRALNTQFASWVQSQLQNHPLEIWEDGLKDYISHASEVMEKFKDVVNWLRQNKAGTTAVSSPGPLKDEKTTLPAADASKFVVQSSSDSGQKFPIVASTSSVSQSSSSPNMFSFSSQQTPPAFSGTFGDKKNTSGDSSKSLFQFGGNNSIFGGKNSSSDSSKPAFQFGANNGLFGDKNSPGDSSKSPFQFGANNGFSSPSTPSIFSNSAAQTFSTQTPALFSVNQQSVPSGNQNTAEASADADEDAEPEKPSSPSVKKAEEKGIVVVHEAKCKVYVKHDDATKGWKDIGVGQLSIRSKEGAEKASKESTPTIVIRNDVGKILLNALIYKGIKMNVQKNTVASIFHTSDAQSSESDSGMVVARTYLFRLKNEEAATKLSTTIKEYAPTD >Et_6B_048842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14411721:14415293:1 gene:Et_6B_048842 transcript:Et_6B_048842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGVELARRRRVHYHGDAAPGEHHHHLAHAHGHHHRGGSAAAGGVTGPALAARIRLEEKLRGAGPPSPSRWSRLMGERDGRATSRSRNRQEQQQQEQEILSTSTELWPSPNSVTIAAASTSRAELPVSGRGEAAELEEAATAAPPSSDLCAVCLEELRGDAAAEALPCSHAYHPACVRPWLAAHRACPCCRAAVPHVVAARSEGSESLTHSRSC >Et_3A_026436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:835887:841163:-1 gene:Et_3A_026436 transcript:Et_3A_026436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAINWRFWFSSSFGIVLQSLICLIYPCCKFAYATDTLLPGQFLNEKQSLLSKNGAFKLGLDCSIPREANSSFGLGIWFANSSSCNHDYFPVWVPDQLCANCYSSSYNLSVSEEGVLHIKGNSGDPEYYSFSHSPNTSAPLHSISAVAVLLDSGNFVIRDQVNSSMVIWQSFDSPTNMLLPGGRFGYNTITWYNVSIANVQDLYNRYTLSMDATRKRGFTIQRNPGGVMFNGTFPRWMDIREDGDNELTFNEAHTYMYLNSSGIVSLSDLQECDSVLWSAPESVCYYSNCGPYSLCTISGSCICPVGFDPVWLEYRIGTSCSRKVPLNCESNGTVPEVKFYLLDGIHRYPQNAWRSKVVGMRKCESACLRDCTCTAFAYNTTCLLWFGELRNTIVLDSGSNGNRLYIRLATKQQQSSSRAAPLFSVRKKGIVLSLIGVSCVIIAAGLIFLCKCRRRLFKARVMNDHGSLMVFSFAQIKNSTKGFSDKLGEGGFGSVFKGAVPVSTTVAVKMLKGFGQGDKQFRTEVQTIGMIQHTNLVRLLGFCSDHDRRLLVYEYMINGSLNSHLFSMSSVQLSWELRYYVALGTARGLAYLHEECKDCIVHCDIKPDNILLDEQFCPKIADFGMAKLLGRDFSRVLTTARGTIGYLAPEWISGVPITNKADVYSYGMMLLEIISGRRNSEKIKEGKFTYFPIYAAVKLNEGDAMCLLDSSLEGNADAEQLNRACKVACWCIQDAEDHRPMMGQVVRMLEGVMDVEVPPVPRSLQNYVGIEDSYSADLDKYLVDSSVSAAAVLLDNGNLVIRDQVNISRVLWQSFDTPTDVLLSGGRLGSDKIMGRHLILAVDSRRKGLIIHQFHGSFIFLGTFPSWVHIREEEGYYVLTFRDAHTYIRITRSGIIQIAKLGECDSILWSAPKSACDFESYCGPYGLCTWPGSCTCPIGLAPWTPAGCSRSEPLDCEIGSSANRDVIFYPIDGIYRFPEKSSSEVKSMKECEAYCLRDCTCTAFAYNTTCPLCFQELRNTVLLNSGSNASRMYVPAKKQQKSGSRAAAAFSSVKVIVLSVLGVLSLLVVGLILLHRCKRKLMKARLMDENGGLMVFSFAQIKKSTKHFSEKLGEGGFGYVYKGTMPVSTTVAVKKLKGCRQGDKQFRTEVQTIGMIQHINVVRLLGFCADGSRRLLVYEYMVNGSLNSHLFCSSFEKLRWELRYHVALGTARGLAYLHEECKDCIVHCDIKPDNILLDEQFCPKIADFGMAKLLGRDFSRVLTTMRGTIGYLAPEWISGLPITHKSDLWNVKVNEGDVMCLLDSSWRAMLMQSSCTEPVESLAGAFRMLKLIGQ >Et_8A_056353.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:3145294:3145461:1 gene:Et_8A_056353 transcript:Et_8A_056353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAGYIAKAGCRGGRHAAAWCAHSELFFRLLLKNTVCTPRLAAYAYFWRVPSL >Et_7A_052135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:541271:541676:-1 gene:Et_7A_052135 transcript:Et_7A_052135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDTEYLVNYNEERCDTLSNQLSRAAKYLQQSEEIGKLVDPELTNVRTEDLAVVCSVVSRCIDLDPSKRPSMQIITGVLENGIDLSAAAILKESSLAWAELALAL >Et_1A_006093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17676829:17684299:-1 gene:Et_1A_006093 transcript:Et_1A_006093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSARDYDSIPIEGQFISVANLKERIFESKHLGRGTDFDLMISNAQTDEEYADEATMIPKNTSVLIRRIPGRPRRPIVTEPEETKAIEDRVEEIMPAASTFHVDSSMKYPEESEWDDEFGNDLYVSDSVPSQPASQAVDAASENKVDEDSKIKALIDTSALDYSQIPDGYGGGRGYGRGMGGRMMTGRGFGRGLERKTPPPGYVCHRCKVPGHFIQHCPTNGDARFDVKRMKPPTGIPKSMLMQTPDGSYALPSGAGAVLKPNEAAFEKEIEGLPTTRSVGDLPPELRCPLCKEVMKDAVLTSIRDYIIDKSMCVCGATSILADDLLPNKTLRETISRILEAPPTSSTENVGSIVQVQDMESALPVQPKIRSPAVSAASKEEPKTATPVDESPDADSLSGVKVGNVDVSSLDKKATTIPDVAEGTTDSKNVKEDKSLEGPLVAKESQEKLPGGEQVKKKKKKKARAPGNAAEEQWKNFQDFGAENFNGMPLGPTGGFNPYWGGGVPLPIDYMGAPFPGPMPYMGYPPGPFDPFGGGVIPQDPFMPPGYMMPGVPRDLSELAVNPMGMNMGPPVVNREEFDPRKPDPRRRRELDRFNERERELDRSRERERERELDRSRERELDRTRQRENDRARQRERERGHSRERNRERDRGHDRDRERERDRDSRREARESSAAINDSTSMRRKARSRSRSQQPDRSQRAPSSSPDRHSRRSSGSGKKRSSSDRYDDLPLPPPPPPPSWHEPEPAKAVASAADARSKSKASVFSRISFPGAGDAKRSRRSSSDKPPARSSSSSKKTEAEDGDGRHHRENHREAATAAEEDEKRRQAAAATELYGGEDDEEESDEEEQHFKRRPSSSRREREREQEAHEEPRHSRRSGGHRRR >Et_2B_021964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7387550:7392934:-1 gene:Et_2B_021964 transcript:Et_2B_021964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGVGAVPDIQTPKLYSKNGSPAEISYLNQSSVSVASRLPKPDSSSKPSSEVESKRQEPNSMQNESVEPATSNKISMELSEKKIVLECSVDVKPEVMEHGAAHRSLKLSSTVHKDEDLANANGSGRLIKRSETGERGTSSRHRPSNSSDVSDESSCTSISSINKPHKANNLHWEAIQMVRTRDGILGLTHFKLLKKLGSGDIGSVYLSELSGTKSYFAMKVMDKSALASRKKLLRAQTEKDILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQHGKYFPEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTVIRSSNPDTEAFRKNNQASCAQPACSKKDRKPKPEVADQVRPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQTLRFPEYPVVSFQARDLIRGLLVKEPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDVPRPVEIVRLPKVPSSAADTTAATGAAKKDDGGVGLLDLVLLFTGEGDSGGVGSAVAIVVTSLPSQIILTSQYSGALFCICPAIPRQANRWIESVRLLTWRGDAGDHGKDVHEVDEGPRLGEPPQRPRQRRHARPALAHRHVHVVGGGGRAAAAVLCVRRGGGGALPISHVHLRLQLLDLPRAGAAVLVVIARRSGLYAAVLGGACAGDMIIILLVGGFCRLGHVARLGVTNGATRRSWEGVA >Et_8A_056947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19364607:19369721:-1 gene:Et_8A_056947 transcript:Et_8A_056947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGCSVSSLAARFAFFPPEPATYAVRKDEATGRLVASGVPRDNAMDVLLVDTRRGNKVVAFYFRNPCARLTLLYSHGNAADLGQLYDLFVQLKVNLKVNLMGYDYSGYGASTGKPSEENTYADIEAVYQCLETEYGISQEDIILYGQSVGSGPTLHLASRLPRLRGVVLHSAILSGLRVVCHVNFTFCFDIYKNVKKIRKVKCPVLVIHGTDDDVVNWSHGKELWKLAREPYDPLWIKGGGHCNLELYPDFIRHLSRFIREMETITTKMRLKKIRQSLQSTKKFHQVNTASTTTFTTNCCCRIRVRKPTCPSCNFSCGFCGLKNCFKFRLFRCPTCLSCSGGCCCCRSCFKCCCGGDER >Et_3B_028503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16223877:16226105:1 gene:Et_3B_028503 transcript:Et_3B_028503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKLPPLAAAASNGAAPSLSQTKQLHAHILVNPPASALSELRLLVLRSYAARGDLAAARRLLDETPRPASPLLYNALIRAHARRLDLPAALDLFARMRRSATPPDAHTFACVVRACADCSHPAAVRVVHGVASSCGASSHPIVGSALVSGYSKLGLVEDARRVFDGLREPDLVLWNAMMSGYGYRGMWQDGLVLIRAMQRAGERPDGYSMVGLVSCFSNPEALAFARGIHGFCIKGGYDSGHHVRSALVTMYLRCGCMESGQSLIRSLPDADLVTWSSLVTGLLQAGNYEESIDLFRHLCYSGRRPDCVLIASVLSACASTANIACTREVHCYAVRLGADVDIKVLSSLMDAYAKCGFPDLGYWVFHKIPNKNSVMYNTVISNLGSHGFANKAIEVLDEMVNDDVGPDSATFCALLATCCHAGLLDEGWKLFRRMRDEFHIVATTEHYVYMVRLLATFGQLKEAYDLIQTMSVRPDCGMWGALLWGCCVHRNSSLGRMVAEKLIEFYPDKPAYRIMLSNMYASQEMWWDAHGVRDELTKEDLHKNLGISKVAECSKECRSSGKRI >Et_3A_026294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6500654:6500999:1 gene:Et_3A_026294 transcript:Et_3A_026294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGREVEAGSGAPMLRQVKACFEAGLLGLFGHHLGRDSVPPPPGLDTNAYFLALSGFFFAGVTQVVASVWAADDGGRRFAAGRKLVCASLVVPLLVAIVVSVASPVQ >Et_8B_058557.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:17602303:17609343:1 gene:Et_8B_058557 transcript:Et_8B_058557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPSAAPRGGALQVFASRVGLGDGVVTLEEKLRNANMVLGAAREIEIRNEALVESLPELQHLVYDAEQVLDEIDYFRIQAELDTDVKMLEETVHDDETNGGSADTSDKSDVSNFVDTAVVDEHGSCNTLVPARGHAIVRAAARVELDRWKISPQLSEISWSLGQFTDDVRRALKLEELDGIALAKQGTENQRRLTTPYLTETKIYGRDHERDQIMELLMGNGCCDNNLMVIPIVGNGGIGKTALAQYVCSDPSVEAHFDTMIWVCVSLNFDVEKLTRQMLECITGTDQRGNTNLVLLQEMLKDELKGCRVLLVLDDIWDIKNNSDWSSLVDPLRCNQHGKGNVILVTTRNLHVAKAVCTLDPITLDGLNKEDFWECFRAYAFDKEKGNKKLHYIGRKIADQLKGYPLAAKSVGGLLRKDINSERWTRIFESKEWISHQDSEGIISILKFSYDYLPFHLRRCFSYCSLFPKSYQIYAEDLVYLWISQGLVYPAADNRRLEEVGSEYLDDLVNLGFFEKVDKDRTDIHYIMHDLMHDLSQNVSSKECFTMDGSQCQPLPSTIYHLSIVATSQNSDFERDLVQLNTLQSKKLKSLMLFGSLGSTFVTSFQFVADVICNLRTFRVSGIEDDGDILSGFGNCIHLRYLRVTRQEYDKDKPWLARYDRRFPKELCRLHRLQFLNVGSDCYLYNLNRNFSNLVNLRHFICHEENHSELSEVSKLASLQELHRFKVTKDAGFQIAQLTNLSALNSLSISCLENLETKEEAAKARLLDRQYLRSLCLSWDAGRMYANLDMEREVLEELQPHAELNRLQISGYRGVAVPTWLGEASILTHLRSIYIEDCQQLRTLPPFVHLKSLKKLHLSRICGTPKVSTHSLEELVFEEVQELERWVIGDELSLLASELQVLEIKGCPKLKELPLSCCSSKQVVAIPLFPLLHRFVIHDCPLLMPLPLLPLGPKVLGMTVVNAGSPLYESLSYYQFKSLSYYQTLILVGTEKLIAPYGLLRLHNLGALSELSLINCTNLTWFSWEQAFQQLRSMKKLNFEECPKLLTMLPEQDEQYCKKDTPLPALEKLTIESCCIRGDQLASVLSRLPSLSYLELKDCPGKVGDECILLIPLGSLTCLKELCITNCTDLSCGNYGLIGLISLETLRIGECSKLMSSLIPDKLGEEAQISRRSILLPSSLQRLVLDGVNEKLLSLSSLNSLKDFSITESPDLEFVDLHGCTALEEISIHSSRALISIKGLRTCIGLRSLEVYESPGFWRAWSLAMQELVRDSNDVMSRQMERIWTDDLSLLTSCCCKYLESLKQLGFLCFEDDGDGNSTMEGPYEAFPLLTSLQELDFDSYKDIRSLPEALHLLPSLRKLAIKSCESIVTLEELVLPCTLEELHISGCKSLESLPAKLNCLPSFKKLEISCCQSILSLKEQHLPSSLEEIRIEYCDNLQSLPDNLHLLSYLIKLDIKSCPGIKSMPESGLPPALRELWVWDCSDELKEQCTKMRNIKRTLHLCF >Et_2B_022493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23788893:23791347:-1 gene:Et_2B_022493 transcript:Et_2B_022493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEFPPLFEVLDLSRASCVDGQLIDCTWRHLLFPEEFHHLNVGWSQVDRGPPFVEVESYAVVGRYILLSIVSDPFTDRDAGTVAFDVSTEEWLKLDQRNLPFIGQAVPYGRLFLGRSKSKEWNELAAYDISVNLTKKNTSSALMLSIMEVPVTVGMTSGLLLMPGQFFASMGNGVICTVGCGTEGWTCVEEIERDSIYFNFHSPITLGAEQGKIVLSSSPSKYFFRLHEPECHLFAPSLVAAPCLTVIYLAF >Et_8A_057682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:617485:619220:-1 gene:Et_8A_057682 transcript:Et_8A_057682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FKWDDVKVDKHRENYLGHSVKAPVGKDLLWYAKDKKSSSEDALKEEIRRVKEEEEQAMREALGLAPKRSNRPQGNRLDKHEYAELVKRGSTAEDLGAGHAEAAQVQGLGLYKAPRDEGESSSFKLDPPEMMPEKADPVPAVKQEDSEDDRKGKRRREERRGEKERKREKHAEGKERRRDKHDRRHDSEDRSKRHRKDKQKRRHDSDSD >Et_9A_061164.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19632122:19632196:-1 gene:Et_9A_061164 transcript:Et_9A_061164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTVIRSTPATDMALFMSGRIES >Et_6B_049057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17187870:17193483:-1 gene:Et_6B_049057 transcript:Et_6B_049057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLVLVVLPLGLLFLLSGLMVNTIQAILFVTIRPFSKNLYRRINRFVAELLWLQLVWLVDWWAGVKVQLHADEETYRSMGTEHALVISNHRSDIDWLIGWILAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFAEYLFLERSWAKDEKTLKWGLQRLKDFPRPFWLALFVEGTRFTPAKLLAAQEYAASQGLPAPRNVLIPRTKGFVSAVSIMRDFVPAIYDTTVIIPKDSPQPTMLRILKGQSSVVHVRMKRRAMSELPKSDEDVSKWCKDLFVEKDALLDKHLATGTFDEEIRPIGRPVKSLLVTLFWSCLLMYGAVEFFKWTQLLSTWKGVGFTAAGMALVTGVMHIFIMFSQAERSSSAKAARDRVKKD >Et_1A_006798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27690417:27700297:-1 gene:Et_1A_006798 transcript:Et_1A_006798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDAPPPTAPSASSSSSPSLFSGEQLFESGPSPLVFLPLLLIQGGGMDLSRVGEKLLSSVRSARSLGLLPPTPSAPPPRPEVPERAAAAAAAARAIAGLPPHERINLPSNSEDLVSIYGSNPQGEPVEELEEVFYEEEFDPIKYILENIPEEGGDATYFDKQSTLRLAQLDKIAERLSHHVMGHHEEMVKGMQLVMELEQDLKVANVICMNGRRHITSSKNEVSRDLVVNVKSKKKQALLDVLPILAELRLALDMQMELETFVEKENYFQAFCLLPEYLQVLENYSGLSAIQEMGRWSEAWLARTIQRLDTHLIGVCQIFNEENYVTVIDAYALMGDVGGMAEKMQSFFLQEVLSETQCVLKDMLEEEVGNNTQRNRFTYSDLCVQIPEAKLRPCLLRTLETIFSLMRSYYAIMSFSPGEKSIESKASDLADKNNTSQSSNGTSVDSGRADISDRMSSSEVSNPDASTSGVDSPFYQLRTDATKLVAHTFERGRRNLWQLATSRLSVLLSSSAVCSTSTYQFLKNYEDLNIFILAGEAFCGFEASEFRQKLKTVCLNYVVSFHRQNIYALKMVLEKESWTIMSAEASQIISLAGLTGDGAALISPTRSNSNLPINRYHGNSTTADSGKPKHGFASWLKIENPFSFKLENGSAESPRSNMLSDSSAGNSHGNGNNSSFDEENEDLLADFIDEDSQLPSRIPKPKIVKGNSLHWKDGDISSQTGSSLSLLRHLGTKTRVKVASLYQIINHAALSKITQDSDQWIKPHNVSYSPASPLSMNSSFAQMDVMPTAPPSSMFTSYGLKERCAAAETVSLVARVLNRSRAHLQSVLSKNNTSVVEEFFRTLVDSVPDLAEHIHRTSARMLLHIDGYPDKIANAKWEVKELGIEHNGYVDLLLGEFKHYKTRLDHGGISKELQHLLLEYGIESIAEVLVEGLSRVKRCTDEGRALMSLDLQVLINGLQHIVSANIKPKLQIVDTFIKAYYLPETEYVYWARSHPEYNKSQVVGLVNLVAAMKGWKRKTRLEMVERIEAGP >Et_4B_037571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21182075:21200149:-1 gene:Et_4B_037571 transcript:Et_4B_037571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRTQLALLPLATFLLLATTPFLAYAQQSAPTDPAANQCSDPTVDGACHNVPKALRLKLIAIPTILVASMIGVCLPLFSRSVPALRPDRNLFVIVKAFASGVILATGYMHVLPDSFNNLSSPCLSQKPWRDFSFTAFVAMLAAVFTLMLDSLLLTFYNRKKKSGRGSAGGAVADHESPAHGGHWHGHGHGHGHGHGEIVTADSTAAAKPEDDEASKVQLRRNRVVVQVLELGIVVHSVVIGLGMGASMNVCTIRPLVAAMCFHQLFEGMGLGGCILQAEYGAKMKSALVFFFSTTTPFGIALGLAMTKVYKENSPTALIVVGLLNAASAGLLHYMALVELLAADFMGPKLQGSVRLQLISFLAVLLGAGGMSVMAKWAAKMSPRTQLALLPLAAILLATTPFLACAQQEDACADLAVDGACHNVPKALRLKLIAIPAIIVASMIRACLPLFSRAVPALRPDRNLFVLGKAFASGLILGTGYLDPWAEFPFATFVAMLAAVFALMVNSIMLTFYNRSMGRDTSGRHAGAAVADHDSPSNGSVDIVAEEAAVEDKPEDDEASKVQLRRNRVVVQVLEMGVVLHSMVIGLSMGASQNVCTIRPLVAAMSVDLLFDGIGLGSCILLAEYSTKMKSLFIFFFCISTPSGIALGLALTKVYREHSPTALVVVGLLNAASARLLHYLALVELLAAHFMGPKLQSSVRLQFVSFVAVLLGVGMSYSQPRTVLVFCLLCLTLAVAAFAQQPEPVDAPPAASAQQQGACTGPAIGGKCHSVAKALRLKLIAIPTILAASMAGVCLPLFSRSVPALRADGTLFVVVKAFASGVILGTGYMHVLPDSFRDLTSPCLPARPWAQFPFTAFVAMLAALLTLVVDSLLLSFHSRRNGAAVAHHGHGHGDDHSTPPRQQGRHCHGHHHGGAGVVVAAPRPGGDADKTLVVADAEAGKARQQLLRNRVIVQVLELGIVVHSVVIGLGMGASQNVCTIRPLVAALCFHQLFEGMGLGGCILQAEYGARAKALLVFFFSTTTPFGVALGLALTKVYSDASPTALIVVGLLNAASAGLLHYMALVDLLAADFMGPRMQASVGLQLVSFLAVLLGAGGMSVMAKYVFPLACSLE >Et_5B_044547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3308699:3310042:-1 gene:Et_5B_044547 transcript:Et_5B_044547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTALTARLPIPLSRSRSKPLSPSPFSGASSIATVPFSCSRRVVLLSRGGREYAGAGSSVFTAATASEEVADAGAEAIVLSVQGMMCDGCAASVKRILESQPEVTSATVDFKQASAVVWTTAEAKASEDWQKQCGEKLAKHLGTCGFESSLQGK >Et_3B_027527.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25247723:25249120:-1 gene:Et_3B_027527 transcript:Et_3B_027527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYPVHPGVTPGFLRRQLPSDAPSRPEPDAFAAALKDVRDLILPGLTHWQSPRHFAHFPASSSTVGALGEALAAGINVVPFTWAASPAATELEMVVVDWLGKALHLPESLLFCGGGGGTLLGTSCEAILCALVAARERKLAEIGSRRIGDLVVYCSDQTHFAFRKAARVAGIHRDNCREIATRRGDMFALSPAELRAAMQADADAGLVPLFLCATIGTTQTTAVDPVGELCAVAAAHGAWVHVDAAYAGSALVCPEFRHVTSGAEAVDSFSMNAHKWLLANNDCCALWVREPSLLTAALGTEQEYILKAAEGHDVVDYKDWSMTLTRRFRALKVWLVLRCYGVEGLRDHVRAHVRMAAAFESMVKADARFEVTAKRQFALVCFRLRSPDKFGGEKTANELNRMLLEKVNATGSGPYMSSAKVGGVYMLRCAIGSTLTEERHVRDAWKVVQDRAASLLRKMEIIYS >Et_3B_027863.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23388761:23388970:-1 gene:Et_3B_027863 transcript:Et_3B_027863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIKGFTTSSTAIVSLLLCSVENLFTPGKPTIESLRPLSSTSSITSSTKICIDIKSHYELKLSKNNIH >Et_2A_018009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8984391:8987308:-1 gene:Et_2A_018009 transcript:Et_2A_018009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCGICGHYHKYEEGEVCGVCGHRPPAAAGAGARPHDSAFPSEIVPEFLFLGSYDNASRSELLKTIGVSHILNTVPLCQNLYRNSFTYHCLQDDKRLQFDEAIQFLEQCEREKARVLVHCMSGKSRSAAFVIAFLMKSRVWRLAQCFQWVKERRPQVQLSDAAQQKLIEYEKKLFGSNISMPALSFAPTDSFPSLGFGFPKPAGDVQVPTFNQQAPASIFERVTPNNIPSNFTFGAERTNEVKLPDSNNFGAVNSSGGDSMMDSS >Et_2A_016737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27800091:27802257:1 gene:Et_2A_016737 transcript:Et_2A_016737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPALLRPLVGPPLVAANPLSERRHRCGVRGSVRVECARGDGASGGGGGGGEGEGAGAAAWLSSAVGEKVDELLRREENRSLLEGVEAAERRVELARAALADIERQEAAARLAREEVRRLEKRRDEIAESQRELLQAREMIDEAQRSLSSSLEEGRFVDVSSGEIDEDSERLESVKAAAVSSVVGVLASLPISFYTVHNLPELFFQSSVIFISCALFGVTFRYAVRRDLDNIQLKTGAPAAFAFVRGLALLESGRTFELSTDALMSLALNGAVSVVENIFIFLPAAVALDYCFKMRILSPFPSRKQ >Et_6B_049020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16695843:16701068:-1 gene:Et_6B_049020 transcript:Et_6B_049020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTSGAFGSLLPKLTQLLEGEYKLQKNVRKNIEFLKKELEYTHAALHSVREVPQEELKEVVRLWARDARELSYDMEDVVDTFLVHVEGPDPPSKRSSKRFIQKMKSMLAMGKRHQIGEQMEGIKKRVMDVAVRRDRYKDDIDTVTHVTTLDPRITALYTKATQLVGIDEAREDLIMKLTTQQERIVSIAGFGGLGKTTLAKAVYDKIKDQFDCAVFFTLSQNPDMTKFLKKMLYELDKQKYGNIGMSLDVYQLINLAQEFLNNKRYFIIIDDIWDIPNWDIIKCALPDDSSRCRIITTTRNITVAEHIGGVYKVKALSKDNSELLMHTRIFGNEDLEKHWDNDLAEVSNKILKKCAGVPLATITIASLLATKGRNAMDWHEVYNSIGAGMKNSLDEKKMIKILSYSYYDMPSHLRTCLLYLSFIQCEEKGKSLFEIGESYFSELINRSMIQPVYDYLGFYVQACRLHDMMLDLVCSLSSEENFVTILRDKEHASVLAKARRFSLQSTKEDNARTWGIRSMPHVRSAVVFSSSIDPIPALQSFKVLRVLDLQACNLSQGYSLKYLGSLVHLRYLNLTNTWIDELPKEVENLQFLETLDVEKSKMSYLLLDLSQLKHLLCLFVDGGTIVSNGIWSLKSLEELSSLRMDDELMDHIEELGLLTELRVLRMRLHTDKWNNKVVESLSKLHKIQTLCIKSSLAGGQCNVGGLDAWVAPGHLRHLDTQAGCWFSRLPAWMNNPSHLADLCKLYIAVRELQEKDLNVLGKLPALTYLNLLVDHESLGIRRRFVVGAGSFSCLVSCKLMGFLERVVFAQGAMPRLTKLNFEFYVWEVREIAGSDGGFDLGLEALPSLQKVVVYLLCRGASVEEVEEVEAAVRKAAEIHPNKPEHLIY >Et_7A_051677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22671727:22673492:1 gene:Et_7A_051677 transcript:Et_7A_051677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTRESTSSWTGRMHSCSSLIFHLNGLGNAMLYRGLSMVHSVKCETQRARKQITVLQALDVARTQLYHFTTIIIAGMGFFTDAYDLFSICLVTDLLGRIYYSNGTLPSNVAVAVNGVALFGTVIGQIFFGWLGDRMGRKRIYGITLKLMVLCSLASGLSFHHQSKCVIGTLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGLGNLAAGAVVLIVSAKFMNAQAYKTDKFGQADYVWRIVLMFGAVPALLTYYWRMRMPETARYTALIAKNLKQAASDMTAVLDIEIPVDTEEMDAVIKQDNFGLFSLEFLHRHGRQLVATTMCWFVLDIVFYSLNLFLKDIFKGVGWFADGNNMDPLEQTYKIARTQAIISLSGTLPGYFFTVLFIDKLGRIRIQLMGFTMMTIFMLGLAGPYKFWSNSSMHVGFAIMYAFIFFFANFGPNSTTFILPTEIFPTRLRSTCHGISGAGGKIGAIIGVLWFVYCRTSMQNSLLMLAGCNLVGVMFTLILPESKGMSLEDITGEIEEEEELSVGSPVTGAEFIHSVDLS >Et_1A_006320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20594721:20596696:-1 gene:Et_1A_006320 transcript:Et_1A_006320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEVQPLFVSDAPFPLQRISSRVPVGLGVTSTDILDIHPLELRFPWEPDILRPVTLTNWTNHFVGVWITPTCQDTWENFCHPAHLFGRKNEIDPGSSLFKMLEPRSTVAIPMKTSQPWQEKLPSRNVETFEVLMVAMGSKMDVDLLEQSTDSRINTDIHLLKRIEALGGVMHRAMLKATICGPASCQATATHTFIPALSSLEVGNSLQAIDVHPIETWKR >Et_3A_024743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23811303:23819438:-1 gene:Et_3A_024743 transcript:Et_3A_024743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TEHKGTPSSASTSLNSASASITSLTRTHSSSLAIPSTASLVGTKSQASHCSLRTSIQPSSESQSGRAEQGPASALILWAGLSDTSASSSSSSVSDSQSAASSVLLGDTHLSDTSSALPADAKNGCSRSCAAVHRSLGFLAKHRAKKSLASAESQAGTSGVASVYPIRWTAARTSSMSLHGARPVAISTTVHPSAQTSAAGPCSSPRATSGAMNAGVPPIGRPEPTSLAHPKSASLARPSAPTTTFLALTSPCTSDRPWRYARPRATSAAYARAARSSRRPPPLLAAASASEPPGAYSRKRWHSPPASAAARKPRQGTTCGERSADRMSPSRRSCSAPAAPADADLTAKSAPEAASAARNTTAPDAPRPSVRTRVHRLLTAVAMPLGARLCSKIFGFVRFAFAALVSCLCASCPSLEWSARTYKAPRVVPLGLRSVPPLPADAFAPAARRGKHAMRRERDQEKAAVWASRAGVLPDRSRQAATWRVAMHVGISGFGRGNWKHEANLGEGTNERAKLLQILPRHRLPHLGCPSLSSSRFHFPQLDASAIFKRKRERIYYTLGSASPPTPTSPAGTAAALDTLLGALVATWTSASPPSTSIQLSSQSSQSGKEAAEQASDLILSAGLSETVSASSSVSDSQNAASSVLLGDTHLNSDDLTSWQAEATNGWSRSCAAVGRSLAFLAKQQARKCFASADSQDGTSGTASVYPILWTAARTSPSTPLHGARPVAISTTVQPSAHTSAAGPWSSPRATSGAMNAGVPPIGRAAPEPEKALAQPKSASLARPSAPITTFRALTSPCTIERAWRYASPRSTSAAYARTARSSRRPPFLATSSASDPPGAYSRKRWYDPPPAASPPPMQRTTCGERSPDITRCSRLSVAAAAAAPADLTAKSCPDAASAARDTTAPDAPRPSGRSGVQLLCTLTSSTAAMPFALFLFFLWLLACSEMRLL >Et_7A_051391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17805757:17815674:1 gene:Et_7A_051391 transcript:Et_7A_051391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPHLISPSLQTFDTNEEGGAGGVPDTIGTYQQYSPLNFAKHMEMRRKKEHSCHELRVIVFDENPCHAGATESTFAELNFQARVYTSPIKALDFLKDHEADVDFVLVAVHMKEMHGFQFLDISREMHRNLQVIMMSAETTWPIMQRCVELGARFLVKKPVDTSAMCNIWQYLDLKLLRMEKINKFFKVITKETQGDKVYEAMRKALQLGTVFDEQLSNDPSAEKQRMEKQDMLRDGSAQDSNTSAAGEEKVIPETHNANDAKDVINKAHSNQAGLCKLVTYSDSEDDEMAANV >Et_1B_010748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13618267:13624001:-1 gene:Et_1B_010748 transcript:Et_1B_010748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSDRGVSAGVRLLPGARNQLVIHALFPQLLELVAGEGESQQELEACGMVLGMRRRRVWESVKWEATWGERAIVDCFILCHPDDFSKSRENAYGRDKVDQFTSGCHEEGTDWLANHRSVNSNSPLDDPAQASDQVTGNSPTLVSRPSASHTAFDASTMLCLHPWRESHSSMTVASTERPLRVLVTRIFLWHRAPLAYHRDDRATRRSWSLWNAPSHSDGPPSSPFWNPFTVITAAVATAA >Et_8A_057530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3989319:3993673:1 gene:Et_8A_057530 transcript:Et_8A_057530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKAQHCKHQNPTATVCGNSRQLPFHSVLHRVASIPLAAMGSCLSSEAPAVGAGPAWRKRSLGVREGAAAGGAFSSGGKKLPGGGGEMTEDELARVAGRMCANGSSAAACLHTQQGRKGTNQDAMVVWESFNSNDSVFCGVFDGHGPYGHFVAKKVRDSLPVKLLTQWKTSANGDTSPHQNGSIAGSINSEETAPAVDDEWIESFDGDESQKIPEMFLPLKQSYYKAFKSMDKELKLHPTVDCFCSGSTAVTLVKQGLDLVIGNLGDSRAIMGTRDASNNLTAAQLTVDLKPNLPKEAARIQQCKGRVFALQDEPEVSRVWLPNNDSPGLAMARAFGDFCLKDYGLISVPEISYRRLTEKDEFIILATDGVWDVLSNKEAVDIVASAPSRATAARALVDCAVRSWRLKFPTSKSDDCAVVCLFLDHEKSPDLVEESKPEEMPQPSGEEVLTTDASGEVDEDIADVKVHISSEQKITEPTLEHSNTLRDFDEIVPVDEPPISKEPERCGSARSLADCISTNEEEEWSALEGVTRVNSLLNLPRRMLSGDKRSASWRKRR >Et_1B_013222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6535446:6537537:1 gene:Et_1B_013222 transcript:Et_1B_013222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTKPPGIHLFQSVRGNPPSLRSCQVLVLILTFISYASYHAARKTTSIVKGVLDPKTSNLGLLHWSRCLYLDKCPTADKHSMLNGGWAPFDSRDGTALLGDIDLAFLAVYAIGMFFVGHIGDRVDLRILLTVGMVGTGLFTAAFGAGYWLDIHSFYYFLGIQLIAGLFQSTGWPSVVAIVGNWFGKRRRGLIMGIWNAHTSVGNISGSLIAAAMLKFGWGWSFVVPGLMISSVGLMVFLFLPAGPRAVGTEDDHLEEPSKNEIVTSLLDGSTDAAVKAVGFIEALRIPGVLTFALCLFFCKLVAYTFLYWLPFYISHTAVGGKYLSDSTAGALSTLFDLGGVLGGILAGHLSDRLDARALTAATFIFTAIPALFFYRTYGSLSIYWNVILMFITGMLVNGPYALITTAVSADLGTHNSLRGNSRALATVTAIIDGTGSAGAAIGPLLTGYISAKSWTAVFAMLMVAALVAGMLLSRLVMTEVSAKVEAWRSARACDRRVSSVEEALPANN >Et_2A_015721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17241364:17243312:1 gene:Et_2A_015721 transcript:Et_2A_015721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSTFVLLFWTVFTWTVRIKRDDVGDEPAAGPYARIDRNYDTLEEVREALQVAGLESSNLIIGVDFTKSNEWTGRHCFNGRSLHHVGDSPNPYEQAIDIIGNTLSAFDEDSLIPCFGFGDTSTHDRDVFSFYRDRRPCNGVLEALQRYREIAPLVRLSGPTSLAPIIETATRIVENSGRQYHILLIIADGQVPTNAHSEETRSENYLEERTLQALIHASNFPLSIVLVGVGDGPWDDLINCHDNRRLFDNFQFVDFTKIMSKETSQAEKEEQFALEALMKIPSQFSAVISQRIRYAFLATEGAGICCYRRFLWYLELDSFPMSWSSLFSDLAERAPPGRPLPPPC >Et_2A_016469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25035657:25039601:1 gene:Et_2A_016469 transcript:Et_2A_016469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRSNGGATRAYGGADRRFGGGGGGLCPAGARRKKGELGPRRVTRWYSLAGEDEGFLSIDCGLDDKYSGYTDPDTGIIYVSDGAYTDAGENLV >Et_9A_062848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5864636:5867665:1 gene:Et_9A_062848 transcript:Et_9A_062848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSTVAAAMNGGVGGRAGLPLASLNHISIVCRSVEASLQFYSDVLGFVPIRRPGSFNFNGAWLFNYGIGIHLLQSEDPDSLPEKREINPKDNHISFQCESMVAVERRLKELGIPYVQRCVEEGGINVDQIFFHDPDGFMIEICNCDNLPVIPLAGDRAPVMGACKRAAAAVKQQKQQQQISAVPPPPSPAPVTAAQCVPSPATAAAIRVGEEAHISCA >Et_9B_065086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21039007:21041582:-1 gene:Et_9B_065086 transcript:Et_9B_065086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPWRRRFDPELPPPPRGDRRRPLGSEAPPSGDRRRPLAPEAPLRGDRRRPLAPEAPPRGDRRRPLAPEPPPRGDRRRPQLQPRSDDVGDGADLISGLPDDLLREVLVRLRCARAAARTSALSRRWRDMWRQLPKLYFRNVRPTHLLDVLAQVTSSELDLLDVSVRKGYFCRDPFAPVEFFFSGGVIHSLVELPNFHRAKSISLNLRNGRCHLDSPGDFPMLERLSVSECHANFTDLISRCPRLRALQLGISNYPEYPEKVRVHSSTIRELVVDDGGRVGIDIDIEAPLLEKLSLLRCRIISMFRGDFCLRFPCMRSLRLMPPNLHQYELISFKLHSTTIEEIVLDRVGWMLCIHVDAPALTIFTLCDANLNGSIHIVAPVLKVFTLRVTMDCNAKMLLSAPAVENLSWKCTFSRPPDGIHWMWFLKTLNLWMEEGVNILHLHIYLENPGSDPPNFQETLALPNISALKLELCTNRHAYGTTAAQILKIYSEIRRLHLKHDKACGPNCSCGQPESWRDQDISLAALEEVEIEEFGGKCCEVGLLKLLFKCAPLMKRVTVKPCPDMLPTHRACKEICNRFKAHPSVRCCVYDNCGKKVVYERSVFASRC >Et_6A_047216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26964087:26967581:-1 gene:Et_6A_047216 transcript:Et_6A_047216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMGTLPRLLLLLLVMLAGGHGAAAVSIGVNYGANADNLPTPESVATFLATKTSIDRVKLFDANPAFLAAFAANAPSISLAVSLPNSVLPSLADKATGLDAARGWILGPVVDPNLMVALLPAMRRLDQALKLEGLPHVRVTTPHYLGILAPSDGIPSNARFKPGLDTKVLAPMLKFLNDTGSPLMVNAYPYFSYNAKNLDYAIFRPNAGVYDPNTKLNYTSMLDAQMDAVYTAMDKLGFGAVQIAVGEAGWPTKGEDGQVGVGIQEAKDFNAGLIRVCSGGKGTPLMPGKTFESYIFSLFDENQKPGPVAERNFGIFNPDFTPKYDLGLLSQKTPSPSGAPNPSPNPSPKPSPNPSPSGVGKWCVVKTGASTTDLQNNINYACGYVDCKPIQSGGACFDPNNMQSHASYVMNAYYQANGRHDYDCDFKGTGAVTYNDPSYGSCKYVS >Et_4B_039312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9979157:9979484:-1 gene:Et_4B_039312 transcript:Et_4B_039312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQADSLETLNRVALSRGEGQHVVGQVVEDEHVLLLIVADYGNVTPTVYRKAAEADTGVLEIEHFSRGRSSVAPSKCSISFGTSGFVPGGDVKQTRVAVALSTVRPG >Et_7B_053350.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12730071:12730097:1 gene:Et_7B_053350 transcript:Et_7B_053350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLRLVA >Et_2B_020779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23556746:23560941:1 gene:Et_2B_020779 transcript:Et_2B_020779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRSSTSSSPSSSSSPTAASSPPSSSWIHIRSLLVAAASSSSSSSSAAAGSTVAVASAAAAASSTSSPASSSPHSDRGGIKSPWSRRKRKKALSREQWDSLFSANGKLRDGGKKFLKKVRSGGIEPGLRAEVWPFLLGVYDLNSSEEERSAVKIKKRKEYEKLRRQCQQILNGYKGNGLKAITEVNNEECSVLEGAAEGSESPCFEDANVESASMSLVALKPEHNEAEQPDNTSFVECMDEDANEFFVDPCISESESSDSESSDEDDAGRISVSGEDNSDPDPKFARSTSFKADFFKSSRTSEDFATWQRIMRLDAIRSNTEWIMFSRDQAEVSKEKALQCAASVGLKDYDHLEPCMIYHAARLVGLLEAYAVYDPEIGYCQGMSDLLSPIIAVMEEDDEAFWCFVGFMRKARHNFRLDEVGIRRQLKIVSQIIKRKDSHLYRHLQKLQAEDCFFVYRMVVVLFRRELSFEQTVCLWEVMWADQAAIRAGIGRSTWGRIRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNLHDKI >Et_2A_015228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9597163:9598131:1 gene:Et_2A_015228 transcript:Et_2A_015228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDPGGAGMASHYVDLLRAQQQPSPLSPTSHVKRELSGPSPDRDKNPPADADPGGIDAGGGDGPSSSAMVPAEGGGGGSGGSGRKPRGRPPGSKNKPKPPIIVTRDSPNALHSHVIEVAAGADVLECVAEYARRRGRGVSVLSGGGTVSDVALRQPGADPPGSLVANLRGQFEILSLTGTVLPPPAPPGGQGQVVGGSVVGQLIAAGPVVLMAASFTNAIYERLPLESEEEEGTPQPAATQTQQPEASQSSGVTGGDAGGSGIGHGMSLYDLGGNVGGYQFPGDNFGSWTGALRPPF >Et_5B_044620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4054516:4058016:1 gene:Et_5B_044620 transcript:Et_5B_044620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHHLAGLSNLVSIYILFRLAQRIVQGDVPQALTNRQLIALDMGALIAGTQYRGEFEDRLKAILKEVTDSNGQIILFIDEIHTVVGAGPTDKSAMDASNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTISILRGLQERYELHHGVRISDSALVAAAVLSDRYISGRFLPDKAIDLVDESAAKLKMEITSKPTALDEIDRAVLKLEMERLSLTNDTDKASKDRQSRIEAELSLLKIKQRQLTEQWDHEKSVMKKIQSIKEKTNRVGFVRQLTYMYLLQQIDRVNVEIQQTERGYHLNRAAELKYGSLNALQLQLQATEKDLDEYQSSGKSMLREEVTQDDIAEIVSRWTGIPLSKLKQSDREKLLYLEQELHKSVVGQDPAVKAVAEAIQRSRAGMSDPNRPIASFMFMGPTGVGKTELAKTLASFMFNTKEAIVRIDMSEYMEKLSVSRLIGAPPGYVGYEEGGQLTEAVRRTPYSIVLFDEIEKAHSDVFNIFLQILDDGRVTDSQGRKVSFTNTIIIMTSNVGSEYILNMDVESESSDSAYENIKRRVMDAARNVFRPEFMNRIDEYIVFKPLEREQINYIVKLQLAKVQKRITDCKIKLEVSPGAVEFLGSLGYDPNYGARPVKRVIQQYVENELAKGILRGDFKDQDNIFVDTQVTVPSNGQLLQQKLVFRKLGEESKPAAEDEKFFQLEHYFRDQLAPVQQHLNPYWVSQ >Et_3B_029800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28046763:28050252:1 gene:Et_3B_029800 transcript:Et_3B_029800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKKSSAQHQPHAMEPKGKKSSSRGAAAAAAAETESPLSSLFNPPAQGANGKDQDLYRILYKGQSGSGQAGMADGKSQSKSRAAYNKDSKHSQTYDSVDTSCFGSSVHYGGREYYGSSTTMQITEASNEVDKKDPATDSHGDWWQGTKRGGNKPGFLPMGRLAMAQRLGMVMATAGLTPAFRAVPDSMTAQRHLGLRPMARTCAQQVAGTGARGFCVAAAHGASLSATRSAGSRPHSPAPCAVSSAARPVTRSIAATQRHLA >Et_10B_003959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8470932:8472823:-1 gene:Et_10B_003959 transcript:Et_10B_003959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEHAHSSAVERLLNCEVPLRAQYIRVLFCEITRISNHSLASTTHAMDVGASTPFLWAFEEWEKLLEFYERVPGARMHAMAQDLPLGLCQDIDSSAQQFASRIEELEEMSTGNRIWKQRLVDIGTVTAQQAKDWGFSGVMSGICPRTTCGGTEGTDSASVPHPLRKKLLNHHNIKKYDALCLTLLVIVPCCCGRESEKEKWWAYPSQPGVCWDSRRAAPYDVHDQLDLDVPVGTRGDRYDRYCIHIEEMRQSVRIIVQCLNQMPSGMIKADDPMSSITISNETIHGIVRLVKRRSSPFLTETQVKLRLGTVVGLGVKHPEVGASRWAWRSIGNPNIFLRSSFFSRPLAPA >Et_8A_056314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2259437:2259811:-1 gene:Et_8A_056314 transcript:Et_8A_056314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARTAGPDAFRKGKYPRCTQ >Et_3B_027725.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16116894:16117139:1 gene:Et_3B_027725 transcript:Et_3B_027725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNVAMSHTDVVLSDELRGFMASQLSTGELEFNVEIRMLLSKAYMGLSCQSCASRQGFLLCDVNPGRQTYAPCQLVNLVH >Et_8A_056841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17772905:17776278:-1 gene:Et_8A_056841 transcript:Et_8A_056841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDESSALRIAQSHVKKFVFQDFNGKKSDLAFLKFIVERAQVLEKMVIMVASECFSSADDVNAKLKTLTCAKWAIHPLDQDRLFGISAQLQHYDCSRRTIRQCLCALSPRHSVEMKEEERLPTITLLWAWWDARNKANAGEKLPSCEEVIHKATAMFADVKKQGNECAHELARYGLHRDPEQPDFWLDPLPEFVLNLVARDYAGSIVDE >Et_1B_011120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17817121:17820649:1 gene:Et_1B_011120 transcript:Et_1B_011120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPAASGAGSGADFSDALPSPSSPAAAPSHPSPGRNYYLAVDRLQFKMRTLLELLGVVADRRSGLPIAVCVSSRDELDAVCSAVANLPFVSLSPLYSDQAEAERASILEKFRQATMQWNQAAKATDVADSSKAESVGLKLSIVVATDACLPLAAMAEPPLMARVLINYELPTKKEAYLRRMSTCLAADGIVINMVVGGEVATLKALEESSGLLIAEMPIHVSYTITFSICSYLMCPCGLKLKNVHVSGFRDIIVVLVIRNCRSNYFTSTLTGVRFYFYFDLWIGPKSKSHKNIIIRAEK >Et_6A_046199.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:9902835:9903164:1 gene:Et_6A_046199 transcript:Et_6A_046199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDREALRQNGGRRVSGKDLTCVGVRCFRESFLIITGVTLLGALVSLVLVWRTRKFYRGDLYGRFREVGMVAGDGRHHQEQEANAGRSSAVGVTKDSTTGGEVVNGGKV >Et_4A_033024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17089619:17090963:1 gene:Et_4A_033024 transcript:Et_4A_033024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ESVNMPTPKVGVSDGAGGSKTTTRFNVTYYSEVIDGLSDAKKKIIKDYGFGNLLEFDHCFIPHPFARWIADHVKTNTCDIVVNNQSIPLSPESVHDVIGTLLGGKPIVKDPSTLPKITEFWDKLKRNDLSDVEVTAKGWDFSGLIFGRLMNSLKKYHKLSKSGVRSLVVLGGCMYYLCVVYLDHLDFAQRTFPPPTLPRIMVWKGDMIKKFSKLDWSGGHIYGERPVKEFKATCYHKISSHGVFPPTSAAGSVPNAESFKAMLDETVGYSFSAK >Et_3B_027908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26131639:26133126:1 gene:Et_3B_027908 transcript:Et_3B_027908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSTPPPWKGTGTYSTARSELTLPQFVSKPDYRTAIAACGFTTVWQWIDGQLTAGIFSREQTAAALAFLYDFFYTRHYHRTRLEQTVHGIDGVHCITVVLMVIVVAVFVVESRVNDQKWRVVEDLHTKQSLSWLKKRKHKKLTNKSWARKPGQYSLLHKFDHHPRNIAWILSLGLLEPTREGQKASRKIELPEEVVMRVLSRFKENDGRLADGQPALAVNDASPLSWTCALPTHVHTILAWHIATTICKATSPPQEPLTGDRLIASSLSNYCAYLVAFVPDMLPGHSYDTRRIFDAVVVEARDYLAGCDSLRSRCLKLLELQCSELTILGMGAKLGNELRIRVHGRTRRWKVLADFWAELVLFFAPSSNAEAHAEALTAGGEFMTYLWALLIHAGILERSSSNAAGGGLGYESWYHYTRFCCLNNHMFLHLRPSYIIRCFMRL >Et_3B_029810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28185802:28186964:1 gene:Et_3B_029810 transcript:Et_3B_029810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGSSSTGMISSDSMTTGMSSSSSKKSSGTHGAGGCARTSAASAAAAVDDEAGNAPNAVPSRTRSRSSWWNSAHSVLRPRTSHERRCGSSALAAAAAAAAVASASPPRPHENDLLRLRSGGSAGPDADAPAPPAPNGLAKRDRMADVDLRPGAEAAAADASSPARRSRRHAAQKPVNASPGCRSQLVETERLGGAGGSNCSVIALPRRSPPPPTPHTPDVTVLLQRPTAYDATAQEDSSLRAAVHLCLRQTATS >Et_2B_021412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29512165:29514967:1 gene:Et_2B_021412 transcript:Et_2B_021412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAATATATEAPAAEKATSYRYWVREATGEAAPLPAPRKLDAADLAVKPTALGSVWNQAGTWEEKNLNSWASSRIKDLLGSLDPLEFSTGKASVYEVSRCSGDAFLVTVRNKKRVGYTYELSLKFKGEWLIKEENKKVRGHLEIPEFSFGELEDLEAKIIISDDKDLSSDDKAQICKDLKSFISPIQEKLREFEKELKDR >Et_5B_043176.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:15034719:15034931:1 gene:Et_5B_043176 transcript:Et_5B_043176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKTESFGVPRVVKSRSSHELDQIQLGSPAAQVLSSLGCCGSDVFTYALYWSCTLVELKPVFSICACVM >Et_5A_040700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11232406:11242620:-1 gene:Et_5A_040700 transcript:Et_5A_040700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLVVTDLLPPCSRKTPKMDDEYSFLDNFERILQDPNVEPFSIPWHHLKFITNNFSKDRELGKGGFGVVYKGVLPSGETIAVKYLSSMSQCHEKQFKNEVDNLMKVRNKNVVRFLGYCYETSQKYTKYNGMNVFAEMPPERLLCFEYVPNGSLDKYISDESCGLDWHQRYKIIKGICYGLNYLHNECQVNGSLIHLDLKPENILLDDDMVPKIADFGLAKLFDDTRTQTLATSLIGSQGYMAPEYLLEGIISTMADMYSLGVIIVLLITGQKHGPSGTEAFCGAFVEAVLQNWKNRLSSASLETDYQQIDSCLEIGIRCIKYDRRKRPNIKEVIEILHRREITYGYVSDVGRLRAKEAHKPIEFLEITPLNLCLSWEQNKLAPGVLKITNKTDDCVAFYITVSKAKNSYHIEQDRGLLIPRTASNIVVTLERGHSPWLFKCKDEILVQGITMWGYGAISMPLTADIFNNKRNDMVQKVNVTVVYTPLIQEVTQSRLEP >Et_10A_001143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21569099:21576047:1 gene:Et_10A_001143 transcript:Et_10A_001143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRLRTSAALRRASKDGGVLAAIRAELAHELSSSAPSSTPSLDSQHSASSSRTARWHQPRIPNPSARSHICFPPLDFTTVSDAPRAQDVLLRRRTDSEEVLVSALLAPLRFVDQEPLPRAALMKVFVAKPGAKPVLHFDCRASLVGEDGGAADYAISNFRYHSAPGDAGEGPQFRELDPQLQAALQQYLVARGVNSNLASSILHHLLQKERAQYVNWLKTLEERFVKDS >Et_8B_059407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17715861:17716258:1 gene:Et_8B_059407 transcript:Et_8B_059407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PDAAASTTRPPRPRVVTQPPPFGARTSCSPPPAQETLARRMDPQQPEPVSYLCGDCGAENTLKPGDVIQCRDCGYRILYKKRTRRSMITSIHPLFYFNSNV >Et_7A_050237.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14470272:14470952:1 gene:Et_7A_050237 transcript:Et_7A_050237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAHKWLLANTDCCVLWVRRPSLLVAALGTEPEYILRDALAGAGEDAAVDYKDWSVTLTRRFRALKLWLVLRCYGVEGLREHVRAHVRMAAAFEGMVRADPRFEVVATRRFALVCFRLRSPEKFGGEKKANELNRRLLEEVNRNGAYMSSALADGVYMLRCAIGSTLTEERHVREAWKVVQARAAAMLRKMEVLHSAWLPKINYATTTPLGGQQETGNWPKNFVMF >Et_5B_044098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:201823:207240:-1 gene:Et_5B_044098 transcript:Et_5B_044098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYQMIKGSTGHRSHPIGAVPFEAFHNGSWHGVNSLRIRNGGLFVKFVYSGSAIEHNIDGDYLRIHPRRATCFDCTHFLKPGVDVCVQQATSRGESETSAFLCRDARLIHIKRNHQTDLCRCLFVVIFYKYQCPGSKEKVSMDSITEVVTIDSIFILQKLQSEELQDGSLQWNSAEDCLHHNRCKLLSSSFSAEVAYLIVLSSLRGMEFNIKMVYGKIIYQIIQGDQEGYNVDYRNAPPPGFGKNMEIVSFQRRDETFRPRIRTIPIIRVETHNLSEIRCITVKNEMDSAQDVNNLYEHVDLRRSKRQKTQPDRFTSYDEPNFSRTGKGKRKEEHASPAKNDDSQSSMSWDSLVQGESSDEDVLGCATVMQTVTRSFVLKEHPLPTEGQQKTPRKITQCYVPMKEKQNFVEVKKSTTEQKCPDSHIPHTPAKNKEKNNRPSLSFRLKSCTSPRNLDANSEPAFCQKRGRKRKKHMRDKEYKQMIDQCIGNIQCEMERDSEFKLDIKMMNWQNSNGHAYQEEDFTWPSSAHSQEEKDEHEELWKEMDYALATLALLEQNQMPDSKAVNEGNADLGNKGEGQCHHDCILDEKLGLTCQLCGVVCIEAKHMFPPMFFGKDREQPARSNLGQDGDVPDYSFLQICEPEFSQFKGSGNVWDSINDLKPKLYPHQRKAFEFMWKNLAGSLQLEEMDNSTASRGGCVVAHTPGAGKTMLLISFLTSYLKVHPRSRPLVLTPKAATHTWRREFEKWNISLPLHVLHHSDCRAKPTGTLNSKLQAVLKNFHQPSRKTMRIMDCLDKLCKWHEQPSILLMTYSSFLALTKEGSEVQHREFMATVLMNNPGLLILDEGHNPRSNRSKLRKLLMKVKTEFRILLSGTVFQNNFEEYFNTLSLARPRFVNDVMTTLAPEKGETDICIRTGKHQEALARCVFVERVGQKIESSSKQDRIDGISLLNKLTCGFIDSFEGTKLNGPPGICVYTLFMKPTDIQEEVLTKLTMRISGIARYPLEVELLITIASIHPWLIKTTKCASTYFSEKELARVERYKKDVTVGCKAKFVIDLLHKSSFRGEKVLIFCHNVSPINFLVKLIEVVFGWRLGDEVLVLQGDQELPVRSDVMDKFNGDRKGKRKVLIASTTACAEGISLTGASRVVMLDSEWNHSKTRQAIARAFRPGQERMVYVYLLVGSGTWEEDKYNSNRRKAWTAKMVFFGRYFDDPLQDPVTAIDDEVLKELADEDKSNTFHKIVKQD >Et_4B_037193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16281775:16289272:-1 gene:Et_4B_037193 transcript:Et_4B_037193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLASPSVRALSSPEMLVATAAGSLALALRGWWEEVNESPAWQDGAFFSLSAAYALVSAVALIQLIRIQLRVPEFGWTTQKVFHLMNFIVNGVRAIVFGFHAHVFLLQTKVYILVLLDLPGLLFFSTYTLLVLFWAEIYHQAKNIPTDKLRVIYIGVNCVIYVIQVCIWLYLGINDNPVIELVSKVFISAVSFIALLGFLIYGGRLFSMLRRFPIESKGRRKKLYEVGTVTGICFTCFLIRCIVVAFSSFDPDLSLEVLDHPILDFFYYMLTEILPSALVLFVLRKLPPKRRLNCYIELWLREVFYHPYAMAMMYKRGVLVWEKMVEPFVYGDVDDEVNVLGLCINCVFILERILADYPAMRTLNLELEK >Et_3B_030890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8327814:8332380:-1 gene:Et_3B_030890 transcript:Et_3B_030890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGDGGEQGVAVELVKAAKLLVEHLVEPVLKPGVHRREAPPTPENQEDVARQVHAMVLLYNYYHRKHFPHLAFADPKQFALAAGDALLVYLKQGGGDAEASGTVRAIEDACGIAEALDAKEDSPQTSMWPISKVAVLLVDPTGTKCLVDYASVTKGVWSILEKDITAASGKSRSMGIDLSAPGSSREMVFNSEPYKLQQAAYSEVESKTGMKRASLRFLEEHLVYSLSKKETTAKLFVLQYQQTVDSDLKEIPIEDLISRMSGPIFRNEACPETTSVVDYYHILPYKEVLLNLLNRGRPPLRSEKDESLEEREANSKSNIKNATTNTSDPKKNKGMKEGGNNGTNKNRKDSNLNRKRKSEALKASPKKENGLLSSPDAETLKLVSNAANEESTIANSEGLVNMETSGQMAKHKSSGGFDNLQTIFNMCTRNCIQGSININMNDQMYASLQSLQKMQNDMVREHCLLGERSAQCDMDIQTILTGISFMYSQLNLVEMVRERYPIFMFSKPAEGKMTPRVISILQKYEQTTSNMVKVASSTSSRRGSQTMKLKRRRLAEAVLSRTKCEARNIIPSMQELDEICRESNWILPRYTVLPSLIDGLYQAAVHLVCPDLELNADGGMKTTPHEARDSAAAAMLHQLHTKAKEKLAELDSSIPDAMWLDKIPEE >Et_3A_024348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19916065:19923528:-1 gene:Et_3A_024348 transcript:Et_3A_024348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHGGALGIFSCRILSNDKRGGLTSGDVGDRIPWQRGRGIICHMVLRGEKLQGKSILDVQALSHKVSTIVAPANPAMHPLISFSQNFVDSENLRHTKSILTQIFGGTSNDAEEEPDFIYVFSRGGHFIHFRSYQLCHHIILLPVSFPPLGMDHAKALEPIRLVEDAVYVGTHTGSLHAADFRGSGSNPPMADFVNDRYKRVIRSLLVEMTTLHRMGLCFDVIKEIDVCLNGTNAKISWMVSLMNHKTVLARSNYRNIKDIIMRMFSDGRPPPAIMALLELLDCEHLTPGEYLCNHSSLMDDINQGHMVTDIYLEFTYTAVDNVTAIIKELAVEVSKLVRLLRHGRMHLIDQLKKLNKANGKNKMDTNLQLREMCPCFATDHSKLDQ >Et_9B_065878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16165939:16166386:1 gene:Et_9B_065878 transcript:Et_9B_065878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLEVEKCLMLSELEVDEHWSGVAEGHTNAEKLEAPVPSKVPGRIRLHDHQDGGSESETGKDRMWLTQCPHKLREGEANLKHEMLKSKAKEAQERGAASFAAEKIWLWCRVMANLSQGWLVSRN >Et_6A_047566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7400265:7405061:-1 gene:Et_6A_047566 transcript:Et_6A_047566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSIFRKEHISMFSYEGLYSGDNSMLASYLMSDFPVPILRQDDKQTVMKIFTRNPLQSLVQIRCLQSSGRALNMFLGHICPKCSKKDDGTSSENQTSTCTCLQRFFAPSTSDSAAVESTNGENTEVLNALPEENGCTPSSLSDDTEKSVSTTEHPRKSSVHQRLKIWISSGHNGIMGRYGNKLDFGVPKKLSAEHINHGWPDWLMNVAPEAVHGWFPQQSDSYEKLGKIGQGTYSNVYKARDLKTGKFVALKKVRFVSVEPESIRFMAREILILRKLNHPNIIKLEGIITSSISQSLYLVFEYMEHDLVGLAATPGLKFTESQIKCLFQQLLSGLDHCHSNGVLHRDMKASNILIDSCGVLKIADFGLAASFDPDNQQPLTSRVATLWYRPPELLLGTTKYGPSVDMWSTGCILAELFAGKPILPGRTEVEQIHKIFKLCGSPCDDYWKKLDVPQTGMFKPSRQYRRCLAETFKGFPPSALVLLDNLLALEPEARGTAASTLQSDFFRTNPLACSPSSLPKCPASKEYDAKLRREEARRKKKARGSESVIQENESVKLSHDANEYKKLKEDEYNTPANITSANLSSGHEPQTLATEVQYPGCDPTWNSRGNTDDHPEIHGHKYKSVWVANATAIRAKLSDMIHLNLLM >Et_8A_057176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2208740:2214048:-1 gene:Et_8A_057176 transcript:Et_8A_057176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKAPAAWDLAELEHDAGGAAAGPSGARVNAAAGGHGSRAECSVDLKLGGLGECELGHGHGHGAALAADRRREPAAAGKAPAPTPSPGTGAAKRPRPASGGGGGAGQQCPSCTVDGCKADLSKCRDYHRRHKVCEAHSKTPVVVVAGREMRFCQQCSRFHLLAEFDEAKRSCRKRLDGHNRRRRKPQPDPMTSASFITSQQGTRFSPFATPRPDANWPGIIKTEESPYYTHQIPLSTSNMQHFVGSTSAYTKEGRRFPFLQEGGINFATGVVLEAASVCQPLLKTVAAPLDSGGGSGSSKMFSEGLTRVLDSDCALSLLSAPANSSGIDVGRMVRPAEHVPMAQPLVSGLQFGSSSWFSRPQAAVSAVTTAGLESEQMNTVMGANDNDMNYNGMFHVGGEGSSNGTSSSLPFSWQ >Et_7A_050699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10220318:10221617:1 gene:Et_7A_050699 transcript:Et_7A_050699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPPVDGTLSQEGFNASATALIKRWKEIEVHESLPDWTWRPCCKLGVQSEVEGYLALEGVYHNCGESQTEDSNDFDDADIITDDYWVQSSSDSAHVYDFHVVYSHSYRVPVLYFQGHHTSGQLLTLDEIKQDLPSNSFKVLSESKWTFITREEHPHLSRPWFTLHPCGTSEWMKLLLKETEGADKEQWLKYLPAWLSVVGQAVGLKIPLELHCNS >Et_7A_052059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4237978:4268037:1 gene:Et_7A_052059 transcript:Et_7A_052059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CGFTWYSPCQRGSSLLPVRSAQYWAIGRSRSRLSLHFCSEESEPVFVCAVVAAAAVNAVFAKLGQMASFSITAWNISGDPCTGAATDGTAIDNNPNFNPAITCDCTGQNNTVCHVTKLKIFAMNAVGQIPEELRNLTRLTYLHLGQNYITGPLPSFLGELTALQFMSLGVNALSGSVPKELGNLTNLISLGLGANYLNGSLPSELGNLVKLEELYIDSAGLSGPLPESFSKLTRMKTLWASDNDFTGQIPDYIGSLTKLTELRFQGNSFQGPLPTTLSNLIQLTSLRLGDIVNGSSSLIFISNMTSLNTLVLRNCKISDSLASVNFSKFANLNLLDLSFNNITGQIPQALLNLNSLNFLDFSYNQLSGNFPSWAKEKNLQLNLVANNFVINNSNNSILPSGLECLQPASFAVDCGNNKSVSGSDNSIYQPDGESLGPASYYVTGKPTWGVSNVGKFMDTSNGNYIIYSSRQFLNTLDSELFQTARMSPSSLRYYGVGLENGNYTVTLQFAEFDFEDSQTWKSVGRRVFDIYIQGERKEQNFDIRKAAGGKSYTAVKKQYTVPVTRNFLEIHLFWAGKGTCCIPSQGYHGPAISALSAIPNFKPTVRTAQKKSSNKTGVVAGVVVGAAVLGLVALAGLFMWRKKRRQLSLEQQELYSIVGRPNVFSYGELRTATENFSSNNHIGEGGYGSVYKGKLTDGRVVAVKQLSETSHQGKREFATEIETITRVQHRNLVKLFGCCLEGNKPLLVYEYLENGSLDRALFGKKLILDWPTRFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKIDVFAFGVVVLETLAGRPNFDNTLDEDEVYILEWAWQLYEGRHPLDMVDPMLAEFDGDEVLRAIHVALLCTQGSPHQRPSMSRAVSMLTGDVEVGTVVNKPSYITEWQIKGGNASTFMSGDVNGQSSTAPTQTTSAPFLETVMEEGRRPPSSSRRHGSALATLPLLLLLVTAARAQQAPSTRTDPTEVAALNAVFAKLGQTASSAWNISGDPCTGAATDGTVIDNNPNFNPAIRCDCTDQNNTVCHVTVLKIYALNAVGQIPEELRNLTRLTNLSLGINALSGSVPKELGNLTNLVSLGLGSNYLNGSLPSELGNLVKLEQLYIDSAGLSGPLPESFSKLTRMKTLWASDNDFTGQIPDYIGSLTNLTELRFQGNAFQGPLPATLSNLVKLTSLRLGDIVNGSSSLAFISNMTSLSTLVLRNCKISDSLASVNFSKFANLNLLDLSFNNITGQIPQALLNLNSLNFLFLGNNSLSGSLPSSLGPSLKNLDFSYNQLSGNFPSWAKDNIMQLNLVANNFVINNSNNSILPSGLECLQPASFAVDCGSNKSISGSDNSIYQPDAASLGPASYYVIGTTTWGVSNVGQFMDTSNRNYIIYSSHQFQNTLDSELFQTARMSPSSLRYYGIGLENGNYTVTLQFAEFDFEDSQTWKSVGRRVFDIYIQGERKEQNFDIRKAVGGKSYTAVKKQYTIPVTRNFLEIHLFWAGKGTCCIPTQGYYGPAISALSATPNFKPTVRSASQKKSSNKTGVVVGVVIGAAVLGLVALVGFFMWRKKRRQLSLEQQELYSIVGRPNVFSYGELRTATGNFSSNNLLGEGGYGSVYKGKLTDGRVVAVKQLSETSRQGKREFATEIETISRVQHRNLVKLFGCCLEGNKPLLVYEYLENGSLDRALFGKKLNLDWPTRFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKIDVFAFGVVVLETLAGRPNFDNTLDEDKIYILEWAWQLYEGKHPLDMVDPKLAEFNGDEVLRAIHVALLCTQGSPHQRPSMSRAVSMLTGDVEVGEVVNKPSYITEWQIKGGDTGSYMSSDVSGQSSMGPRTASAQTSSPFLSSVIDEGRLMSCSSFLHGVPLFLLLLLCCSWRAAAQAQQVPQTDPVEAAAVNAILSKLGLSAPASWNISGNPCSGAATDDTPLDDNPAFNPAIKCDCSDQNNTLCHVIRLKINMLDAVGPIPDELRNLTHLKKLDFRRNYFTGPLPAFIGELTALEYMTVGINALSGPLPKELGNLKNLKSLALGSNNFNGTLPDELGNLTKLQQMYIDSNDFSGPLPSTLSQLKNLSVLWASDNNFTGQIPDYLGSLTNLTQVRLQGNYFQGPIPKSISNLVKLTSLRIGDIVNGSSTLAFIGNMTSLGDLVLRNCKISDTISSVDFSQFGILILLDLSFNNITGQIPQSILNLPLLSYLFLGNNSLSGNLPAAKSPLLTNLDFSYNHLSGSFPSWATQKNLQLNLVANDFVMDSSNDSVLPWGLKCLQRNTPCFLGSPQSSSFAVDCGGSRTISGSDNSMYQAENSSIGSASYYVAGAPTWGVSSVGRFMDPPNGSYIIYSSRQFQNTLDSALFQTARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDIQSWRSRGRRIFDIYVQGERKEQNFDIRKTAGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKGTCCIPSQGYYGPAISALSATPNFTPSVRSSAENKSSKRTSVIVGVVVGISVFALVALAVIYVWSQKRRKLLLELEELYSIVGRPNVFSYSELKSATENFCSSNLLGEGGYGSVYKGKLSDGRVVAVKQLSQSSNQGNKQFAAEIETISQVQHRNLVKLYGCCLERNTPLLVYEYLENGSLDHALFGKGSLNLDWPARFEICLGIARGIAYLHEESSIRIVHRDIKASNVLLDADLNPKISDFGLAKLCDDKKSHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALEIVAGESNYQNSFEENTTYIFERVWELFENNRPLDFVDPKLTEFSGDEVLRVIRVALHCTQSSPHRRPPMSKVVSMLAGDADMTEELTKPSYITEWQVKVGTGSGSFASTQVGSSSTQPSSGVQASPGPGEVTPVAPSPMFTSIIGEGR >Et_8B_060439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15959636:15962404:1 gene:Et_8B_060439 transcript:Et_8B_060439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRPSLLAAVRSLTTAAVAAAVRRGDLAGAEEAFSSTRHKTTATYNCLLAGYVRTPGRLADARQLFDRIPHPDAVSYNTLLFCHFANGDVDGARRLFSAMPVRDVASWNTMVSGLSKNGALEEAKAVFQAMPVRNAVSWNAMVAALTCSGDMSAAEEWFRNAPEKGDVVLWTAMVSGYMDTGNVQKAVGFFKAMPVRNLVSWNAVIAGYVKNSCAGDALRVFKSMVEDDIVQPNASTLSSTMQEVYRIEPRVDHYSCMVDLLCRAGLLERAVNMIRSMPFEPHPSAYGTLLAACRIYKNLEFAEFAAGKLIEQDPQSAGAYVQLANIYAVANRWTDVSRVRRWMKDNSVVKTPGYSWIEIKGLRHEFRSNDRLHPQLHSIHEKLDQLEEQMRAMGYVPDLDFALHHVEESLKEQMLMRHSEKLAIAFGLISSPPGTTLRIFKNLRVCGDCHNAAKLISKIENREIILRDTTRFHHFRDGHCSCGDYWECISVAQSDDPFDKKVLDYQRFNSKLWMRSDKFEGALEQHDLECTKSGCDVLLEIITLCNTSGSYGVCLFLEMI >Et_8A_057832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:872646:874926:-1 gene:Et_8A_057832 transcript:Et_8A_057832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ERAPRGGSAQGFPLGDVHVRAPSPVLPNKASASNSAAAFLIPAKIPDRHQALIAVDPPLPCRRPELSPSIAIAALSSLPLLRSLPLLNPRRTAAAMPLPPELLEDLVVEILLRVPPDDPAILFLASLVCMSWRRFLIDPAFLVRYRAFHRTPLLLGFFHHWEPNQVQFVPTTSFPPTNLTVNTVPSLTAVTVASSSTIYPITYEERHVPSGTDIKYVNNKYVLATGAVLCASADCDHGDCHGGPFFVVLIDVDDDDNGGWFPRACVYSSETGEWSAPYSSSMVFEDWFYISKLQKALIGDVMYLSSRDGTFIMSFDFCGEGHLAVANGPNDNIELAALTSGISWPDDGWRLSVWSLETIDNGVAGWTQVAVIHLNTLPPIAASNGLPYLIGSAKDRDSDVFFVSTNVDIFAIELESRKAKKVWANMDGWGYNYLYNLFPYASFITPGTIISFLPLLF >Et_2B_022219.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:14194714:14195463:1 gene:Et_2B_022219 transcript:Et_2B_022219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQSQSGGATSGQQDDDDGQQPNGGAAAAAVAVNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAPGADVAGSIAHFARRRQRGVCVLSGAGTVADVALRQPGPGGAAVVALRGRFEILSLAGAFLPGPAPPGTTGLTVYLAGGQGQVVGGNVVGALTAAGPVMVIASTFASATYERLPLDDAEDHAGAGGGVPPMMGEMPDPTAMAMYGGVPPQLMPGGVPLGHHGHGAEGIAWQHARPPY >Et_8B_059034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12712974:12714939:1 gene:Et_8B_059034 transcript:Et_8B_059034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAKVVPVPESSLKKRKRADLWAAEAKEKALVERKKALESRKLIFARAKQYAEEYDAQEKELVQLKREARMKGGFYVDPEAKLLFVIRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNNVIEEGLGKHNIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNREIYINELIKRMN >Et_7A_051781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24665716:24668082:1 gene:Et_7A_051781 transcript:Et_7A_051781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMLDVVVDSQDMLKAADQSTPAAAAGGVEADGDKFAPSVWELLKGQVRQVFKANMDAMDVADLVTYVDTLERLGIDNHFPEVIGAALDRIGTEEPGESDVFDSLHIVALRFRLLRQHGIWVSTDVFGKFRNETGSFSTEICTDPRGLLSLYNAAHMAVPGEVVLDDAIAFARGHLETIKGKVRSPLAGQISRALDIALPRFTRRLETMHYMAEYEHEEAYDTLLLELAKLNFNLVRILHLKELKALSLWWRDLYDVVKLPYARDRMVEIYFWTCGMLHEEEYSHSRMLFAKTFGMVSLLDDTFDVHATLEECHKLNEAMQRWDESVVSTLPEYLHMLYIKTLSNFKEFEDILEPNQKYRMSYANMDIDRTLLPAAQVVVNMARTNEIIYLHGRDGYTNGDDLKDLVTTLFLQEAPL >Et_7A_050633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9066395:9067789:-1 gene:Et_7A_050633 transcript:Et_7A_050633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEKSPDGEDEVNPRVEAFLEILGRVPAGEVEAALSSCGIGPTAEVAEEVLKSRVCYSRPKSAVRFFRWAARTVAHTAYAWNLLIDILGKAAMFEPMWDAVRSMKQEGAGDLVSVATFASIFSSYCSRGNLKEAAAAFDVMGHYGLKPDAVALNSLLSAMCRTEGRAQDAQNMFERTKATVVPDSDTFAILLEAWEKEGNAQRAKSTFGEMVIRVGWDAGNGDYANAVTIWNLMVSEAGLVPTFSMYNAMIGFCCNVGSMEYALGLLDEMPLNGIFANSVTYNVILDGFIKHRKSREAESFLAEMSKNEQLPTASNCAAAINLFLKEFNPSASIDVWDCVVEHQITPAEDSARELIAGLLDFGRLTEVKNRADEMIDMGVELPQSIIDNMKRAFSKVGKHQSFEHISRRLKRR >Et_6A_046650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18770197:18775095:-1 gene:Et_6A_046650 transcript:Et_6A_046650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTGAMSTLLPKLADLVEKEYNMHSKMREEILFLKTELEHMEAALLKVSKASVDEPPDIQVKLWARDVRELSYDLEDSIDAFMVRIDMPATSKAKPYSLRGFVDRTVELFRRANVRRKIASDIQGFKKNIIEVSERRDRYKVDTVAAMRHNNSPKRHDSSIDILHLSALYKKATELVGTEERADELVKMLTEWGGTAQQLKIVSIAGFGGLGKTTLANLVYDKLKEQFDCRAFVRVSLNPKIKNIFVSMLRQFGHRECEVNWDVEQLIDELRKFLQSKRYFIVIDDLWDTSVWKTIQYALIENECGSRVITTTRNLEVAENVGGVHKLQPLSFADSRKLFYLRIFGIEDKCPPNDLADVSEDILEKCGGVPLAIITIASMLASKNGMENEHKYWSKVCQSLGSGLEDSPDVKNMRRILSISYYDLPPHLKTCMLYLSSYPEDSLIDIEELIWNWVGEGFIRYEQGKFIYEVGMDYVEELISRSMIEPAYIDKDRNKATSCRVHDMVLDLITHLSNEDGFLTRLCGRQTKNLPKRIRRLFIHNRSEEDIKQLSTVSFCYLRSLTVSKRAFKFLPTFSTIQVLRVLDLTDCEHVDNHNFKDICNLFHLRSLRLHSRTITMIPKKIRNLQFLQVLDLSRTEIDKLPPTFVQLKQLLYFCVDSLMRIPDGFGSLKYLQVLDGTVIVESPAMMHNLTGLAELRHVELKFNAWDESYEKPFLQCLSSLTSLEYLEVAGYCGNFESPYERLKSRPQLLRCIKMASSTIGSVPIWMSSLSALSILHISLLSLGAKELHVLGSIPCLSYLNLSVEEPTQARDKRIIINKAYPFSCLTKFEICNMTGLVFEQGAMPKLQTLVLTFGVLETLDQLGDFDFGLKHLSSLVHVNACMGCPKGKSDNVKVAEDAIRNKLSTNPDMPPLCLSETNFLKVHIHYKGCDRKIMDVLTKVD >Et_1B_012490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32400444:32404782:-1 gene:Et_1B_012490 transcript:Et_1B_012490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFGGEDSFSPQHSFHTFADEDDRRPHFPGPAAAARRPLDLNSQSDGWSPQADYQGAWEGDVAGGSGGGGGRGFMATSGGAGRGLGFNGGGGSRGFGARGRGPGGASAGSAIGGAGGSHGSILGGGGGFHGSVLGGGGGFRGSGYGGGSGFGGSGLGAGQRMGGSNLGGGDGMGRSGLLGGDGIGGSGGSGGSGSLPPNPARRAGGSANRSVGRGASRGERSTPTRGAGLRDASPRRKATLRAATRSASTGGSSRGRRRRGGDDDDDEDEFLDDLVPGDENYDKANWLPEENSALLCCLLLEQIELGNYNQGNMTRRGYKLLQSRWREETNAFLKLKAFANRIGQLKALYGFIKQLHSDTGLGINPETGWPEATDDWWNAHTQGKSEWKKYKYQGPEYLPMLQQVFDGVAVDGSTGWEPGEEQSTMFFDQGLSSDDELVAMITDDDEVEEQGLLLLVIRMTYAFSRDGAMPFSSVWHKVNKQEVPINVVWLSAFISLCMALPSLGSLVAVQAMASVSTTALCIAYALPTLFRITVARKCFVPGPFNLGRYGVVVGWIAVLWVATITVLYQTTRYVPYSSTIVLHRIFNLNYQWKVCNWMLKCGCECLVSCKHMNLHGNGGISVIFRMNNTF >Et_7B_053425.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:18542124:18542324:1 gene:Et_7B_053425 transcript:Et_7B_053425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPSAAVPRKLAGNDGQQTSEMRSEQAVNIDGRPSSGYGDHVCPRNLYPSCGKRLELPASNNLD >Et_1A_007360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33855282:33857811:1 gene:Et_1A_007360 transcript:Et_1A_007360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPVRFSPPVTAAAAAAGAVLAAVALRRYLSSSRQRPSTSVTMSAHGSSSAATLVVSGKSPEDQELLASAASSLSLGEGEGVEKVTVALGSDSPVAGKDGGFDAGAYMDALQARRFGRWMLWSPRLASTQDLIAHFRSASFASLTCSSKGEMQDARKLPLMQYVVCLSMTEAIKELCRAKGLPELDVRIKWPNDLYLKGLKVGGILCSSSYEQKVYNICTGIGLNVDNEKPTTCLNDGIQEINANAPRLKREDIMAFFFNKFENLFETFSNRGFQALEKQYYDSWLHSGQKVVVQDVHEGQSVGSVVTIQGLTPAGYLHAIGHDGQSYELHPDGNSFDFFAGLVRRRMEA >Et_3A_025269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28432126:28434612:1 gene:Et_3A_025269 transcript:Et_3A_025269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERSLLEALATAAQGGSTGTSVLSMLKYAVLPIAKVFTVCFMGFLMASKYINILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTIIHIGIGNIGNIPLVLIAALCRDPSNPFGDSDKCNQDGNAYISFGQWVGAIIVYTYVFKMLAPPPGQTFDDAEEDELPIKASGENTVPHLGKYPSNTLTSTVPEDEPLLSTEEVQKERVTSPGSKIMDHVKYVVKFLKDKQLLQPPIVASLFAIIIGIVPFLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSKRLGVRTTVAIIFARLVLVPLAGVGIVLLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIIFYLSLLF >Et_8B_060159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6560673:6571204:-1 gene:Et_8B_060159 transcript:Et_8B_060159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEELAELADATLQGAALLADDDVPRRGSSFLTVVAIGNVGAGKSAVLNGLIGHPVLPTGENGATRAPICVELQRDSSISFNSIVLHIDSKTQQVSASSLRRSLQDRLSKPGSSGKGRPDEINVKLGTNTGPPLKLIDLPGIDQRTMDESMIGNYAARSDAIFLVIIPALQAPDVASSRALRIARELDPEGTRTIGVLSKVDQAAGEQKALGAVQALLVNQGPRNAADIQWVATIGHSVAMASAQSEVGSETSPETSWRAEHDSLISILGGAPQSKLGRLALVDSVAKQIRTRIQVRLPNLLNSLQGKSQIVQEELAKLGEQMVQSSEGTRAIALGLCREFEDRFLQHIAGGEIVLEADGYQPYLISPEKGLRSLIRGLLELAKEPSTLLVDEVHRVLLDIVSATANATPGLGRYPPFKHEVIAIASAALDGFKNEARKMVIALVDMERAFVPPQHFICLVQRRMERQRQEDELKNRSTRKGQDSDQKRKSSHQSQEKQSNIQVLGPAGEISAGFLFKKRAKANDWSKRWFVLNVKSGKDCILEEILDDEDPHPPRSSKDSKRVNMADIGKDATVMFRITHKVAYKNVLKDHKAVVLKAETMIDKTEWITRIKSIVDTKGPATKRPNASEGGVPMRQSHSDGALETAILRKPVDPEEELKWISQEVRGYVEAVLSSLAANAVVLCQVEKAKEDMLNQLYTSISTQSIARIEELIQEDHNVKHKREKVKKQSSLLSKVTRLLSIHDSRPVTAGYSNDSAVSESSPTAGVQSGEEWKTAFDAASTNSSAGTTSSNRRMPSRGPPLPPPNGR >Et_5A_041208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19438142:19442600:1 gene:Et_5A_041208 transcript:Et_5A_041208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAPSKEDAEGGAVSRCRERKHLLRAAVAARHALAGAHAGHAAALRNVGAALSDYAAGDPDAAAAIARSASAPGPAFAAAAAAQALPPPPPLEAVLPPPPPLPLGPADSAAPLAIRKKPSGEAPIVEEDEEGDAAAAADGDNDERRRAEPKPAPPPGNSWDEFIFGSRDADAIPPPRPTLDPTAAERRDPGPPPPPEPEQPPTQPPPPTPHADEVAEGKKPAAEAPVARRAATQKALRKGEGKKARAVVMAQPQPARLGDVLRRLDDHFLKASESAHEVSKMLEAARMHYHSNFAATRGFVDHSARVMQAITWNRSFKGIPPPENLKNELDDDEWETHATVLDKLLAWEKKLSHEVKEFEVIKMTYQRKLAVLNKKKQRGASSSSVEKTKAVVSHLHTKYIVDLQTMESTVAEINRLRDKQLYPKLLELVEGMWHMWDAMYACHKEQLRIISELKSSDISIAVRETSEQHHERTVQLWNIVQEWHVQFGNFMAYQKEYVGNLYSWIKLNVIPIDTNLKPNSSQPHEATPPIKRLLHAWHDILGKLPDESTKKAINTFAEVVKTIIVHQEDELQLRIKIEETRREHERKRRQFEDWAQKNWDKGAGVNNPDGSLAAPVVERKAAVERLEQALKDLEGNYITQCRVVRDKSLNLLRSNLPALFRDVSDFSLQSAGMFKGLWSIAHTNDQLDEE >Et_1B_011536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23339747:23343882:-1 gene:Et_1B_011536 transcript:Et_1B_011536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEIRSFTASPALRPAPPAAPAAAAPPQPRRAVAARALRTATTEKVADLTASTNGAVPAVMHSEATTAVTSQALDANSRRKTKIVCTIGPSTDTREMIWKLAETGMNVARMNMSHGDHQSHQKVVDLVKEYNVQNPDGNVIAIMLDTKGPEVRSGDVPEPIMLKEGQEFNFTIKRGVSTEDTVSVNYDDFINDVEAGDMLLVDGGMMSLIVKSKTADTVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVENGVDFYAVSFVKDARVIHELKDYLKSVNADIHIIPKIESADSIPNLQSIIAASDGAMVARGDLGAELPIEDVPLLQAEIVRTCRSMEKPVIVATNMLESMINHPTPTRAEVSDIAIAVREGADAIMLSGESAHGKYPLKAVKVMHTVALRTESSLYNPTASSGLVAPSQALLNEECSSSQLSKMFGSHATMMANTLRTPIIVFTQTGSMAVLLSHYRPSSTIFAFTNEERVKQRLALYQGVVPIYMQFSDDAEETFSRAISSLLKAQQVKKGDYVTLVQSGVTSIWRDESTHHIQVRKVQG >Et_6A_047693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9843185:9844497:-1 gene:Et_6A_047693 transcript:Et_6A_047693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSVGAAAYERPPPKPLVLTAHDKPASHPQQLLCVFDLFENHQAFILLDCFYMYIVGEVGQTGWTASTLSQIGASGHDLLLLPGDLSYAEGHQPLWDSRGRLVQPLASARPWMVTAGNHEKETRRFVAYDARWRMPHEASGSRSNHYYSFDVAGGAVHVVMLGSYAEFGEQRAWLRRGTAGGRRGWWTHQGKGESMRKAMERLLYEARVDVVFASHTHAYERFTRIYDNEANAQGPMYITIGDAGNSPAHKFIRDHEFAHLSVFREASFGHGRLRIVDETRAVWTWHRNDDEYATVCDEVWLESLVSTRPTLTAG >Et_3B_031603.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:5988802:5989185:1 gene:Et_3B_031603 transcript:Et_3B_031603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPNVNIFRDPPWGRVQETPGKEPAVASRHAVTFVCGLQGSPVAAVSRYNEDWSGAARYSFDAGVTAQDLEDTFNPPLWSCVVDAGDPPPPSLYSVRRLSFCRWRRHVTNETTGNFGYSSGFRTIR >Et_1B_009636.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10126597:10127024:-1 gene:Et_1B_009636 transcript:Et_1B_009636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGSISLVHAPNRTAIVKHQHLCRVLIYTSTGDEPHKASFVAEEKDLESDEALWALYQRWCKFHNEERDPDEMARRFDKFKWTAHYVHKVNNSNLSYILELTKFSDGKQEEMCLPPPGSG >Et_7A_052014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3733484:3733858:-1 gene:Et_7A_052014 transcript:Et_7A_052014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANISIPSVNQGPRPAKRPKTSSRCVTGSVTATHDFEVANFSLLDSMGIRKYVVSSTFSRDGAQRSCVSAVDLRVKFSLRCLLDKCEVLESVTHTFASIGHN >Et_3A_025790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32901595:32917731:-1 gene:Et_3A_025790 transcript:Et_3A_025790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLLAIAIFAAACAAPLFLEAEAQSVQQSHQTERISGSAGDVLEDNPVGKLKVYVYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSPVRTFNPEEADWFYTPVYTTCDLTPSGLPLPFKSPRMMRSAIQLIATNWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPFAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPKLDSILTSIPTDVILRKQRLLANPSMKQAMLFPQPSQPRDAFHQILNGLARKLPHGDNVFLKPGERVLNWTAGPPGDLKPWMGRARALALPLAVLLFSVALAVAQDTERIEGSAGDVLEDDPVGRLKVYVYELPTKYNKKMVAKDSRCLSHMFAAEIFMHRFLLSSAIRTLNPEEADWFYTPVYTTCDLTPWGHPLPFKSPRIMRSAIQFISKRWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGVLPLLRRATLVQTFGQKDHVCLKEGSITIPPYAPPQKMKTHLVPPETPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEDDVPKLDTILTSIPMEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHGKDVFLKPGQKVLNWTEGPRGPMGRERRPCAAAAAAAVALLLVVSCVAAAPLQYQHARISGNAGGVLDDDPVGKLKVFVYEMPRKYNLNLLAKDSRCLTHMFAAEIFMHQFLLSSAVRTLNPEEADWFYTPVYTTCDLTPQGFPLPFRAPRIMRSAIKYVATTWPFWNRTEGADHFFLTPHDFGACFHYQEERAMERGILPLLRRATLVQTFGQRNHVCLQDGSITIPPYANPHKMQAHLINPGTPRSIFVYFRGLFYDMGNDPEGGYYARGARASVWENFKDNPLFDISTEHPYTYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFSDAIPWEQISVFVAEKDVPRLDSILTSIPLDDILRRQRLLASDSVKQALLFHQPTRPGDAFHQILNGLARKLPHGKGVFLQPGEKVLDWDAGLESDLKPW >Et_7B_055098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7204046:7206886:-1 gene:Et_7B_055098 transcript:Et_7B_055098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERTTIPRVHSSRRHRFIYKSCRPKQSHVASTHALWLIASWESRTTTEPPLDSCISRAVVTPDACSITIEGNRTGATGRPGEASVVRAHHLSLCTTETRTRKNIPWLPRASRRNHPPFSSRHRRGRCFSSFSHAHAFARASSSVPSSVMMHRPRHHQRRSSSSDVASVLIVVVGLVGYALVAEAATDTFVYAGCSPSRYQPGSPFEGNLKSLLTSISTAAPNGGYNSFTSGANNATGSTPAPAAYGLYQCRGDLDHGDCASCVRSCLAQLDQVCPAAYAASLQLEGCYVRYDSSAFAGQLDTAVVYRKCSTSTSGDAGFLRTRDAVLADLQQQQGVGAGSSNGGYYKVSSAGDVQGVAQCLGDLAAAADCATCLGQAVGKLKGTCGTALAADVYLAQCYVRYWASGYYFRPTQGTHHQLAPSLAPLAFHQTTEIRIHSPSPSKGRIYPLTVFFCIWACQWTEIRIQNEKANYSQDDVGKTIAIAIGICAGLALLVVFVSFLRKTCKRLTWKPFPFLFSVLDRTESHYYMYLVIFIHQPDVYWYLLQASRPWDDRASILR >Et_3B_030607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5344317:5353540:1 gene:Et_3B_030607 transcript:Et_3B_030607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTNRLMPARHVGRKLLSVVPMLLFFSLGFVLGMTSNSKFPEFYMPFVSPLLPPPLPPSPPPLLPPSPPPLSPPLLAPSASLQNQQVGLMRELAPSSVMHNMTDEELLWWASMAPKARSTPYRRVPKVAFLFLSRGDLPLRPLWEKFFAGHEGLYSIYMHTDPAYTGSPPKDSVFYGRMIPSQKTIWGDATLLAAERRLLANALLDLDNERFALLSESCIPLYNFTTIYGVLTSSNTSFVDSFSTPSRYDTLFADRNNITVKAWRKGAQWFEVDRALAVEIVADETYFPTFRERCAAVRNCLMDEHYLPTLLSVLRWPRNANRTLTFADWRHRNNGPTHPHKYGAPEVTEELVAEIRGGMGRNCTEFRDGASGVCYVFARKFAPDTLEPLLRLAPKDTNKPARPASRLGGKLARVVSMLLLVALGFVLGVTSSNAMFLRFYLPFVPPLLSSSTSTSIVTTAPPPSPPPPSPPPRKAEVEMINLLAPSGVMHNMTDEELFWRASLVPKVRRAPERRVPKVAFLFLVRGELPLRPLWEKFFAGHEGLYSIYVHAHPSYTGSPPPDSVFYGRYVPSQRTKWGDASLVEAERRLLANALLDLGNERFALFSEACIPVYNFTTVYAFLTGSRTSFVDCYENGGSRSRYRDFFAGRNISLSQWRKGAQWFEMDRALALESVAEDACFPAFRDFCASRGRQECLVDEHYLPTLVSLLGWGARNANRTLTFADWKRAVNRHPHTHGAEEVTAELISGIREDGGGRCFYNGERNGICNLFARKFSPDALQPLLRLAPKVMGFG >Et_2B_022388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:236476:241409:1 gene:Et_2B_022388 transcript:Et_2B_022388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPEPKCDSRKMKIVYSRDFLLSFGELEHCKKLPAGFDTALLSELQELSAGVLDRNKGYYHTPLGRSDGSGSYSSRGGNSGGRWDTRSTGSSDRDGELPDREPLAQDRRNTNQYRRSWQNTEHDGLLGSGGFPRPSGYAGQLTSKDPGTAYQINRTSQRYQPPRPYKKDIDAVNDETFGSSECSNGDRAEEERKRRASFELMRQEQHKAMQGKKNGPDILKENLGDDIISQLQTSTEKANSKTRNEKLDGSAVSSIHLESTVKASSVLPPPAARPLVPPGFANAFVEKKLQSQSSNSSLEPKGYNATTEANMATVGRTEVTKSTTEITERESKERSISDNIANLGPKHTLISAAVTSSTDFVSGVLKENGNWEATVMNKYSIANEGNSKNIDPVRKDSSVSILEQFFGNALLKSGSNLPNYVENPPLKPDDDMMSSVPESSKFARWFIDEELKPAEDLPSSSLLSMIVKNEDADPGNVFHAPLSDLGVQNLSPKSPIGKFDSGSKLLSFSSPPADGILKQHSRSDIPETVPVMMTCEDLEQAMLEQVKSNSSSSQKNDIQEHPAVLDEPVAMQKVAVDNHASHHLLSLLQKGTDTKGSSLGFQIGSDEPQSVDVNLVANGRISGSGPVDKAENASTSGKNMTLETLFGAAFMSELHSKDAPVSIRGSATGGPNEFAETGKSLLPSGHEVFYPVEPALHTNTVKDAAAPKEPGIEYRNSALPGYQGNASLEIQLPEEDNLFTMNDSLPGQNSDILPSVRSSRAEVLLPEKAVDDLSYRLQSLVPGDAEHIQVLGPDALGSSREQRYQAESQNLYHLLQGRPPMMAPHPMMDHVVNRNQQGPFDVPQPTRHDPHRPYPSNVNPMQHTLHGPGVPHMDPAAHHLMLQHMSMPGNFPPEGLPRGVPPSQPVHHMPGYRPEMSNVNNFHLHPRQPNYGEFGLMPGPEVRGNHPGAFERLMQMEMSARSKQQVHPALAGPVPGGMYGHELDMNLRYR >Et_2A_016621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26734015:26738358:1 gene:Et_2A_016621 transcript:Et_2A_016621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQGLGRPEEVCGKKRRLESMKPVSAGEEEVAGNGGLPLVVEDIVRHPVPGYEAPTGISFSPDGQRIAFLFSPDGTLHRQVFVLDTVDWKQGLLFAAPDGDGLEEGNISADERLRRERSRERGLGVTYYEWCFGSDGGRDGIVVPLPSGVYFQDFCGSEPELKLPSTPSSPIIDPHLSPNGSMIAFVMDDELYSLEFSDGVIRQLTFGARENGKTHGLAEFIAEEEMERKTGIWWSPDSENLAFTEVDSSGVPLYRIMHQGKNDVGPNAQEDHPYPFAGETNVKVRLGVVPSHGGGISWMDILCDKGESGKYEDCFVWASEKTGFRHLYLHENDGSCIAPLTQGDWMVDQVVAVNECTELIYFTGSLDGPLESHLYQTNLLLDCNLPLQTPKRLTRGTGWHSVILDHQLLRFIDVYESLKSPPVISLCSLIDGSVIFSIYQPLTVPRLINIQQFPPEIVQICAKDGNSLFANIYLPDEKQFGPPPYRTLISVYGGPSVQLLDNRGTSRRGLQFEGHLKYNIGRVDAEDQLTGAEWLIKQGLAVPGHIGLYGWSYGGFLSAMCLARFPDIFCCAVAGAPVTAWDGYDTFYTEKYMGQPTENKDAYEFGSVMHHVNNLKGKLLLVHGMMDENVHFRHTSRLINSLIAARKPYELLIFPDERHVPRGLQSRLYMEERIQEFLDRNL >Et_1B_010426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10477848:10481479:1 gene:Et_1B_010426 transcript:Et_1B_010426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVAASLLPAASPSPALSPSARRATAATSVSFPSSCSARLGLRSRPSSRFSQKATGGRRGALQVVRCMAASDPAQVKSAREDIKELLKTTYCHPIMVRLGWHDSGTYDKNIEEWPQCGGADGSLRFDAELKHGANAGLINALKLIQPIKDKYPGITYADLFQLASATAIEEAGGPKIPMKYGRVDVTAPAQCPPEGRLPDAGPRDPVEHLREVFYRMGLDDKDIVALSGAHTLGRSRPDRSGWGKQETKYTKDGPGEPGGQSWTVEWLKFDNSYFKDIKERRDQDLLVLPTDAALYEDPSFAVYAEKYAEDQEAFFKDYAEAHAKLSNLGAKFDPPEKELSDSMKQKIRAEYEGFGGSPDKPLQSNYFLNIMIVIAGLAFLTSLVMN >Et_5A_040256.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6798597:6799007:1 gene:Et_5A_040256 transcript:Et_5A_040256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHTPEKCSIPALGNSFSTSALHRNRKRQRQPPVVETDVRRSERLKGQNKGYKRNICPHKDCHACSGAPPTLRGSVIKNLGTDFCNMKPEALSAAALQKKKTKKLVVKKITKKQPAKEEEKKFPNEKKPSKKPGN >Et_5B_044687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4728390:4734775:1 gene:Et_5B_044687 transcript:Et_5B_044687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDPADVDDLGSGWLEVKKKHRSSSKFTLQRSLGGSSHKIPNSLSRSRTNNDSSRWSDKRQCPSPSVNANFGAEELARREKTNVHADECIDVCASDLKGGLNASTLEHGSKRPEELLLAEDISEPSSTGLADHVDPSMPHESSNCSDGPAKCADHFDHVKYSPKTDSIGVLSNTPVKFGDFDEILGLSLPSDACKDNSSSRQYMHDKDALQLENESKHENEHEAEMNLCTQVDETSPAMVGGAQISNDDKRGLLDNNDMPDSTLDVSSSTTSTDSVSQSCSNNDLEIPVTSSSVASQESSTLFQGHAPVSADFGAETAESKERFRQRLWCFLFENLNRAVDELYLLCELECDMEQINESILVLEEAISDFQELKSRAEHFDNTKKSPGVPKEGIPMTVKADHRRPHALSWEVRRMTSSPHRQEILSSSLEAFQRIQLELACKQAGITAERFTSSSSGEVLDTSSKLTTASATVGNISLKVESQVKLSDSTEKKIAGEKQSRDAFKSGKSHPQSMASNSSRSRRSALEPISEIQKTTFKKDKELPESKPERLKSTDVVKKSMVHLEKEKPNTAPWKSMDAWKEKRNWEDILKSPVRNSRVSYSPGVGRKVTDRARVLHDKLMSPEKKKRSALDMKREAEEKHARALRIRSQLESERVQRLQRTSEKLNRVNEWQAVRSSKLREVMNARHQRGESRHEAYLAQVAKRAGDESTKVSEVRFITSLNEENKKFLLRQKLHDSEMRRAEKLQVIKTKQKEDNAREEAVFERRRFLEAEKMQRLAEIQRKKEEAIIRREEERKASSAAREARAAEQQRRKEIRAKAQQEEAELLAQKLAEKLRESEQRRKYYLEQIRERASMDFRDQPSPFQRRFPSKDSQNRSTSANSGEDSQIAGNSSNADSMVKPSNNPQIKRRIKKIRQRLMALKHEFIEPPIGENTGITHRTALVAAKAKLSRWLQELQRLRQARKEGAASIGLIVGDIIKYLEGKDLELHASRQVGLLDFIASALPASHTSKPGACQVTVYLLRLLRVLLSLPANRTYFLVQNLLPPIIPMLSASLENYIKVAASNSGGSNPLSSKPSIENTESTGEVLDGFLWTVTVIVGHVHLDDEQLQMQGGLIELIVAYQIIHRLRDLFALYDRPQVEGSPLPSSILFGLNLLAVLTSKPGNFSTIDWESCRCRTLGGLVQEHEYLSSQDSMGNQFMTPEQSEDAKLSSEVTEENKSSEQHELSSPGDKKLVDEARKDLVLSDDMNHSIMESPDIGVTAEPHSEIPSQGDDNNTMDGFLEGRKVNNVSSFSNDNPGKSNETNLKQPVVLVLSAMAETGLVSLPSLLTAVLLQANNRSSSEQTSAILPSNFEEVATGVLKVLNNMACLDITLLQCMLARSDLKMEFFHLISFLLSHCMNKWRVPNDQVGVLLLESLLLLGYFSLFHAGNQAVLRWGKSPTILHKVCDLPFVFFSDPELMPILAAALIAVCYGCDQNRSVVQQEISTDMLRSLLKSCRTSGLTTSDSIGVDGAGTNNLSDNTHMSLDIRNAQGEIPIRSNRKGGRAVVGKGVSGVIRSSRSKVQKDVRLTRATDDGPLKQRAGEASSTFMLHRKIPASFLDRAEDFFCSEI >Et_4B_036170.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:4795906:4796283:-1 gene:Et_4B_036170 transcript:Et_4B_036170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDESELLRSPACPLLDIIFPMAFFGVAAPFTLPLVPLLPPWFFSESVPAVSSFVVLDKLRSSTAMTPSHSSSVFVVAPDLELSYEPMPMLSARMVGAAEALVCSWYSTCTTILRGSRSFCTA >Et_10B_003884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7176456:7185917:-1 gene:Et_10B_003884 transcript:Et_10B_003884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVKDKGTAIVLMLVSLFIVGTFPALLTLLERRGRLPQHTFLDYSITNLLTAIVIAFPFGQAGGTKPGMPTFLTQLTEIHDNWPSVLFAMASGLVLGLGNLIAQYAWAFAGLTVTNIICSSMTVVLGTTINYFLDGRINRAEILFPGVACFLAAVFLETVLRDPEALNKNGNTVGQAKPGTRYSSKTLVVLVMTDEVTMQVFGSTKLLGLVLIILGGVCFSLFAPAINIATNDQWHTLKKGTPHLVVYTAFFHFSLSCFVLGISLNIWFLYHPMAGGLERPALSPACRAALRFIAGQAAGYAAADAVQALPLVSTFWAVILFGEYRKSSWKTYLLLAGMLLMFVIAVVLLIASSGHRKT >Et_4B_039520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20068062:20078999:-1 gene:Et_4B_039520 transcript:Et_4B_039520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPRRAPAALLVPARGLLEARVPWVRDRALDHVVEREGHLVPFLLAKDALLISTPPPHTVPLHSLPSTIPFPFRPLRFLQLYPSAFALSPHPIEVSPTQRLSALHEAEAQVLDSTRADAADRLLRLLMLAPSRALPLRLVARLRHDLGLAPDFTRSLLPHYPDYFALSPDGSILELVCYRKDLAVSVLQAYAQRTGGYKVGDSVAFPLSFPRGFELDKKVRKWLDEWQKLPYISPYEDGSHLAPKSDITEKRTVAVLHEALSLTVGKKMEKEVLVKLGEALRLPPGFRKVVARHPGIFYMSHKLRTQTVVLREAYRRHMLVDKHPMMGIRYQYLHLMHMGKEEAGKGKGKDRKVSRGEQMLGDFSAEGEDDEKEEEYDDEEDEDDVDDEDLEAGVASEDEDSDDEDAEDVSYSANLKFTAACNSIPKDWKKIKKLKPAVILPFRDIVG >Et_1A_008659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9566195:9570922:-1 gene:Et_1A_008659 transcript:Et_1A_008659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFNVVQKNRREWKQDRKRQAHGEPGTGKLKQRTAPVSVSGKRKRKLQRRQNREQKEATMIKALENNMGDVDMVSAEESSEAAKGKAQVKFNVKKTSRIQIKRLKGKESSEAAKGKPQVKFIVKKNSRIQIKRLKGKACTYSAIVPSQEASNLAMHFSGRKKAKNVKPPTKEKVDAMVE >Et_1B_014002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27001303:27002011:1 gene:Et_1B_014002 transcript:Et_1B_014002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCGSASSRVGTYMLHIDRYWQIKEQLPNGECIRSPSFKAGDYFWRFSYYPNGACFSCPDHISVFLALDSRVTRPVRARVRFCLLDRDGEPVPDQSLSVAMRQYSAFGAGFGCGEFILKDFLEASEHLVDRLPRHLRRHDLADTDVAFRVGGETFGAHRCVLVEHLAAVLFSQAQHLVYYSSVSFDIVNLDLNNLR >Et_2A_017519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35096502:35101439:1 gene:Et_2A_017519 transcript:Et_2A_017519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNPILKVLSAAAFGFLVGISFPVQITPTLPCAVFPWGFGDGNSTSGDSNMLARFWAALGDSTSTVEISSPGILQQNATAPEIVAPAVPKGAERLPPKIVVSESDLHLRRLWGEPRQDTPPRKHLLALTVGYSERANVNATVQKFSDKFDVVLFHYDGRTTEWDEEFEWSKEAIHIGARKQAKWWYAKRFLQPSIVAAYEYIFLWDEDLGVDSFDAEEYVRIVRKHGLEISQPALDNTRGKKSAYAITMRGAGNNSSGGGEVHKSTAAGNCTDDVHRRPCSGFVEVMAPVFSRKAWACVWHMAQNDLIHGWGLDWNFWRCVEHPEEQIGVVDAQFVVHRGVPSLGRQGNAQLGEAGKVRARAWREFADFRARILQCGIFSWSGVGVDANSSFSGSNILGRFWTPLRNTSTSSTVEVTPTPPPNSTAEIVAPAKPKGAERLPPKIVVSESDLHLRRLWGNPREDTPPGKYLLALTVGFTEKGNVNATVDKFSDKFDVVLFHYDGRTTEWDEEFEWSKKALHISARKQSKWWYAKRFLHPSIVAAYEYVFLWDEDLEVSFFDAEEYVRIVRKHGLEISQPGLDITRGKKTYDVTIRRSSNGSEIHKNTIGGPGNCSGDVHQRPCSGFVEVMAPVLSRKAWACVWHMIQNDLIHGWGIDWNFWRCVDDPEEQIGVVDAQYVAHRGVPTLGRQGNPETGGGGKVRARAWREYSDFRNRMIRAERAQAAALLRAQPAAAPKT >Et_2B_021524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30312374:30314565:1 gene:Et_2B_021524 transcript:Et_2B_021524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWIWQAHPVHQLLLLLNRDEFHSRPTKAVGWWGEGSKKILGGKDVLGGGTWMGCTKDGRLAFLTNVLEPDAMPGARTRGDLPLMFLQSNKTPLEVATEVAKEADEYNGFNLILADLTTNVMVYVSNRPKGQPATIQLVPPGLHVLSNARLDSPWQKAIRLGKNFRELLRKHGDDEVEAKDIVERLMTDTTKADKDRLPNTGCDPDWEHDLSSIFIEVQTDQGLYGTRSTAVLSVNYDGEASLYEKYLESGIWKDHTVHYQIE >Et_5B_043044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1752825:1753802:1 gene:Et_5B_043044 transcript:Et_5B_043044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPELPPSSSQVLDLGGLPVLDLFQVYSFLRSFTKELFLSPFPLETFVAALRCTHVNPLIDWVHFALLRALKSHLEVFAAEGEPSAVHCIRNLNWELLDLATWPIYLAEYLLTRGSDFRYGTKLTDLKLLSTEYYSQPAVVKLELLRSLSDDVLEIEAIRSKLSEADGSDEGVRSNGDLLPEGDWYCPECLIQKSNGSRNMANPMRGAEVLGLDPHGRLYFGTCGYLLV >Et_7A_050748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10786279:10788897:1 gene:Et_7A_050748 transcript:Et_7A_050748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNCSPRSLLLSDECAGMMLGCGCWSEEASPLSSCGVSSLSWDELELELEEVDESDPVARLPSDPFGMNLGTSVTHITAAALASALTGAQTLGNGGDDDIIAGLSYYYYLNQVFQFGPEPRIFGYVDGLRWSSESESASDSGNDDQFSQLPPSASCSQLSGFEENPPSSQEAALPCCDAVDAAPVQEGNDAHEALTYVLSYLGLRDVLSCEMVCKSLRSAVRSKDYTWKCIHIDSQLGEKISDADLLRLTQKDPGVLQCLSLVRCRNITDQGLKAVLQSNPQLTELGIFGNVRITHQGLVDNLRSFNVVANTGIQKLRVANLVTASKAQYEELFSLLKIDKGLALHKQEPRIFHADCFLLDHHGGYAPDYFLPDLHDGYALDIERCPLCENYKLVYDCPAEGCNNSRFGTCRGCLVCIERCLQCGRCIDNEYEETFSLDNLCRSCQMEGDSWGHANTICSHGKEQKEKLVKVVITVCAVVVEFAVENIRAVGSLDGACSWNAG >Et_8A_057517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3964503:3971309:1 gene:Et_8A_057517 transcript:Et_8A_057517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAPSGAAVGSAMEEEQQAAGVGILLQISMLVLAFVLGHVLRRRRLYYIPEASASLLVGLIVGGFANISNAQKSTSLAPKPFFSNFGAIVTFAIMGTFMATVITGLLVYLFGLIYLVYRLPLVECMMFGALVSATDPVTVLAIFQELGTDVNLYALVFGESVLNDAYAMLGIENLHNLESCLFVLFPYFSYMLAEGFGLSGIISILFTGIVMKRYTFSNLSEDSQRFTTAFFHLLSSLAETFVFIYMGFDIAMERQSWSHIGFIFFSIVSFTWSGAANVFSCSYLLNLVRPPHRKITKQYQLALWYSGLRGAMAFALALQSVHDLPEGHGQTILTATISIVVLSVLLIGGSTSTMLEALHVVGDSNSDNHRPLEDSFGGNNIGDIGLNDGEGSTTASRLKLKLKEIQRSAPSFTALDKNYLTPFFTSQNDDSDDEDQDP >Et_5B_045218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11990536:11998244:-1 gene:Et_5B_045218 transcript:Et_5B_045218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGRKNPPVAFFIVLCILSSICTCKAQFKPADNYLVDCGSAKSTTVGQRTFAADGASPVTVSTSQDILAGTSANGVASFPNSALYQTARIFTGPSSYTFPIQKQGRHFVRFYFFPFAYQSYDLSLAKFTVSTQDVLLLSDFQQPDKTAPLFKEYSLNITREKLVISFKPSNGIAFINAIEVVSVPDDLIADSAQMVNPVQQYSGLSTQPLETVYRVNMGGPKVTPDNDTLSRTWVSDQSYLLNPDVTKKVAYGKAIVHKKGGATELTAPDIVYGTATELAASNTSNALFNMTWQFDVDAGFSYLIRFHFCDIVSKALNQLYFNAYVGAFSAQSNIDLSSQSESQLAAPVYVDVVLSSNDASSKLSISIGPSTLNNALPDGILNGLEVMKMSTGGSAFTVGSGGANKNLGVILGSVLGAVGLLIIILVLVLVCCRRKKTLEKQHSKTWMPFSINGLTSLSTGSRTSYGTTLTSGLNGSYGYRFAFSVLQEATNNFDENWVIGVGGFGKVYKGVLRDETKVAVKRGNPKSQQGLNEFRTEIELLSRLRHRHLVSLIGYCDERNEMILVYEYMEKGTLKSHLYGSDNPSLNWKQRLEVCIGAARGLHYLHTGSAKAIIHRDVKSANILLDENLLAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPVIDPTLPREMVNLAEWGMKWQKRGELQQIVDQRISGTIRPDSLRKFGETVEKCLADYGVERPSMGDVLWNLEYVLQLQDADSTVSDVNSMNRIADLPSQVQNVGALESISVTMAEAGASNEPDHDLSDVSMSRVFSQLIKAEGRKRRAGGACRDLVATSFFPVAPARTFQNFFPNHRAAPCPVVALRCPPPPSPVSPSPAALTRLPGRRARRRPPPSTASPAVAPAIPRLCRPAPPSPALSCLPALPRLPCITGAPATQRHLATHRHPGDPPYPASPASRTYNSIILDVTERI >Et_2B_022534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25066611:25071483:1 gene:Et_2B_022534 transcript:Et_2B_022534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLNPQELKDPYLPAELGTANKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELIAKDLHGNDWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPTGLPSLHGGKDDDLTSSLMWLRDSTHPGFQSLNFGGLGMSPWMQPRLDASLLGMQPDLYQTMATAGFQDPTKQLSPTILQFQQPQNIAGRAAPLLSSQVLQQVQPQFQQQQQQTYLQNISESTIHGQSQTEFLKQKNQLQPQQQQQESQHQQQSSQCMQVPQHQQIQQQNMANFQPVSNALSAFSQLSSASQSSPMALQNILPFSQAQSFADTNGSSLSPSNASTMQNTLKPLSSEAASHLSMPRPTAIPVPDPWSSKRVAVESLLPSRPQVTSQMEQLDSTPTSISQSSALAPLPGRGCLVDQDGSTDPQNHLLFGVSIDSQSLLMQGGIPNLQNGNDSTNIPYSTSNFLSPSQNDFPLDHTLNSSGCLDDSGYVPCSDNSDQVTRPPATFVKVYKSGTYGRSLDITRFSSYHELRRELGRLFGLEGQLEDPLRSGWQLVFVDREEDVLLVGDDPWQEFVNSVSCIKILSPQEVQQMGKGLELLSSAPGRRLGSSCDDYVSRQESRSLSTGIASVGSVEF >Et_4A_033483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23534201:23538833:-1 gene:Et_4A_033483 transcript:Et_4A_033483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGEGEVRRDMWGQPYRTSSADCAAALDAYYAATMAYGRGRGATVLRAAAADPTCVLAAALAAHFVAPRDPAAAAAYLAAAADNLGKATDYERAVFRAFSALIGEEKDDELAIERHFELLKEFPRDLMSLKRAQLTCFYKGLPELSLKFVEQVLPENQDQDYIYGLLAFPLLELGRMDEAETAALKGLAINKNDVWSQHNLCHVFQQECRFREATEFMESCSPSWTSCTSFLFTHNWWHVAVCYLEAESPLYKVLEVYDENIMKELERSDSEAAEVYLNALGLLLRLYVRGHIDPAKERLKMLLDPLKDESTWHVEWLLDLLILWALSNMNEIESAEKMLESLKSSVRSMDIKRQQVMQKAIQLAEAVYEYGKGEHKKVFDTLGPDFDALGYKMIGASDEQVDVFNEVWYTVLINASETSKAIEVLGKQIKKRDGAPFLWRLLDKAYSMDGRSADASMASEKANALQAAYFH >Et_1A_005204.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:32833133:32833171:1 gene:Et_1A_005204 transcript:Et_1A_005204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPHLTALFVG >Et_1B_014406.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7952505:7953002:1 gene:Et_1B_014406 transcript:Et_1B_014406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPRGGGFLGKRKEREYGYYPSFSSSEQVLRFAPPPPPFFAKPDQRSSKPDRPAVVRLGANAKPATLPPPPAAAKGPAANKLLAGYLAHEFLRFSTLLGERRVEPPPTSRKEKEAAAPAASCASVAPEPGRRYAEVSRLLMAGGARIPGVVNPSQLGGWLRIKE >Et_1B_012076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28695716:28696054:-1 gene:Et_1B_012076 transcript:Et_1B_012076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQREETAFHLFFGCPFSQRVWKQRNDQIFKRNRPTFEGWKRNFTDESLMQSHRMSQTNKEKFIQTVNLYR >Et_4B_037383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19187897:19192734:-1 gene:Et_4B_037383 transcript:Et_4B_037383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RCVVWVTFHLEEIDRSISSYSKPIHRRRLSILLVRQRAMGKLVSKSVQESKLLWHIAFPAILTAVFQFSIGFVTVGFAGHIGSVELAAVTVVENVIEGFAYGVLLGMGSALETLCGQAVGAGQTEMLGVYIQRSWLICGATAVVLTPTYLFTAAILRGLRQPADIAAVSGTYARWVVPQLFAYAANFPLQKFFQSQSRVWVVTFISGAGLAVHVALNYVFVTRLRYGLLGAAVVGNVSWWLIILAQVGYLVSGCFPEAWRGFSVLAFKNLAAFVKLSLASAIMLCLELWYYTAVLILVGLLKNARVQVDVMSICINYQLWTLMVALGFNAAVSVRVSNELGANRPKAAKFSVIVAVLTSGSIGAIFFAVFLGWRTGLPRFFSEDEEVLREAAKLGYLLAGSIFLNSIQPVLSGVAIGAGWQTLVAFINIGCYYFVGIPLGALFGFKLNLDAMGIWVGMTIGTLLQTIILCFISYRTKWEKQAVLAEERVREWGGRNDALPSATQVAPAIEDVGQ >Et_3A_024957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25636020:25637094:-1 gene:Et_3A_024957 transcript:Et_3A_024957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKWCCCTVISPLLPTGVTPLNKKYEVHHRRDGRNSNKVAHALAKTTDHLYESVWYDVPPECIMLKLCNDRR >Et_8A_056889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18626015:18636855:1 gene:Et_8A_056889 transcript:Et_8A_056889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LACRDIYRHALLAISYWSSDLCTNNRYKGRQRGEGGRTYMSLSSAVQWWEDWQLRILVLSNLGVQCYLAFFAAARKSTIRPLYRFSIWLAYLGSDALAIYALATLFNRQKKLRYKTGSHDVEVLWAPILLKHLGGKIGISAYNIEDNELWRRHILTTVSQVAVALYVFFMSWSHSADKGLLAAAILLYILGFLNCIAKPIALKRASFNNLLSSFHPAPVTKTKEREVEIEKFVQEAKGFVIQNEHPPELDRDGKFSLLKQLPAPDRLFVDLAYAYKDRLINLKSFWLLDGKTSYEALCWGLSKTFNITYARVWLPDNRNRSRYSAWDIVPSSFIFIFNGVLPVVIIALFHGSPKEAYREGDIVVTYILLYITYLLEFASGLLLACTGFRWHSKVAQHNLIALLAAKKKHSRLVGIAEILQCKGLIDQYFSLKPCHSCKDITKLVHGHVKDGWINYISDVESYWQFTDMRGQWTLQHNGCEDTLRTSIEKPFDESILLWHVATVFAFVVKEHHLQAFPAYAGTYLNYMVHLLFAKPEMLIPGTRRSLFTNAYKELEVILQGNDISSLNEKGLAELIICKLESEENENMESEENKNLESEEGFIHQAWVHAQELMRLGDVNMWKVIKGVWIEMLCFSAGRCRGYLHAKNLRSGGECLTFVSLLMSHAGLEMFVARQQRVQLRLPKEVRLGILGIRNMKKELIEAVRKRKERVDTAKESTHEDARKEAAGASPEVEIYSRCSSASAMPGQTLRPAPNGIILISLLPVRSTSSPSPPGMNRSGRNSVAGAAHTSSAVAVVSVPALRNSEQRLTISPSAVAEAHVHQQAEHGVLKRFHHGHRAGGFPAVLAAVGADAGEEDFEHPPPSGGEGAEPRRVEHPGGEVAAQRAPRGAGEELTLRPPEVSTAPEAVAAGREAKAAPRWTRARAVQWWEDWQLRILVLSNLGVQCYLAFFAAARKSTIRPLYRFSIWLAYLGSDALAIYALATLFNRQKKLRYKTGSHDVEVLWAPILLKHLGGKIGISAYNIEDNELWRRHILTTVSQVAVALYVFFMSWSHSADKGLLAAAILLYILGFLNCIAKPIALKRASFNNLLSSFHPAPVTKTKEREVEIEKFVQEAKGFVIQNEHPPELDRDGKFSLLKQLPAPDRLFVDLAYAYKDRLINLKSFWLLDGKTSYEALCWGLSKTFNITYARVWLPDNRNRSRYSAWDIVPSSFIFIFNGVLPVVIIALFHGSPKEAYREGDIVVTYILLYITYLLEFASGLLLACTGFRWHSKVAQHNLIALLAAKKKHSRLVGIAEILQCKGLIDQYFSLKPCHSCKDITKLVHGHVKDGWINYISDVESYWQFTDMRGQWTLQRNGCEDTLRTSIEKPFDESILLWHVATVFAFVVKEHHLQAFPAYAGTYLNYMVHLLFAKPEMLIPGTRRSLFTNAYKELEVILQGNDISSLNEKGLAELIICKLESEENENMESEENKNLESEEGFIHQAWVHAQELMRLGDVNMWKVIKGVWIEMLCFSAGRCRGYLHAKNLRSGGECLTFVSLLMSHAGLEMFVARQQRVQLRLPKEVRLGILGIRNMKKELIEAVRKRKERVDTAKESTHEDARKEAAGASPEVEIVVSK >Et_10B_002427.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19573196:19575926:1 gene:Et_10B_002427 transcript:Et_10B_002427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWGMRAKPLQSIIILFEGMESRGRVLMERYELGRLLGKGTFGKVHYARSLELNRGVAIKIMDKDRALKVGLSEQIKREVTTMRLVAHKNIVELHEVMATRSKIYFVMEYVKGGELFNKIEKSGRLTEEAAHKYFQQFISAVDYCHSRGVFHRDFKPENLLLDENENLKVSDFGLSALSESRRQDGLLHTTCGTPAYVAPEVISKTGYDGAKSDIWSCGVVLFVLVAGYLPFQGPNLMEMYRKIQHGDFRCPSWFSHKLKKLLYKILDPNPSTRISIQKIKESTWFRKGPGETRGVKERSPGENAITNAASIHRASRRKKDAHEDVKPLSVKTLNAFEIISLSTGFDLSGLFIEKECRKERRFTSDKPASAIILKLEDVAKVLNLRVKKKDNGIVKIQGRKVGRNGVIQFDTEIFEITPSHHLVEMKQTCGDSLEYWKLLEEGIRPALKDIVWAWHGDDQQQKQE >Et_3B_028326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13483918:13484820:1 gene:Et_3B_028326 transcript:Et_3B_028326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNRSPTRGTHFALGEFDISPLHQALRGTTAMPKLSGSVPNAHAPEKPTISQTSNPSSQYRIDMEALPPQIQAPNTFASVGFTQSLGNNEHDFLTTPVGDYLNLSSREQILSMKPPSITSLLQGDPTAILHAHFSINGVSDPGPIFEDPTLHVSKVHSPSLAPFFLSKCVCGTLTSNYLQKEVFGYLSRSQQATNGTVQSNMITTAEANVQRVYTCDICNATFNSPQAFGGHRSFHSKQKRKNYN >Et_9B_063906.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:20539957:20540583:-1 gene:Et_9B_063906 transcript:Et_9B_063906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGEETFASPAAGAAAEFEFEPYGINGGARDSKPHDADEIDELRAAKRDLEEKLDAVGHQNRFLSSESARLEFLVSQARDEIAAAENAAATNEGEVATLRAEVKRLQDLLDAEKSGREEETRRGAGLGDQLKSAYQEKAALVQEIEALKASAAAAEKDKGEEEEDSAAPAAVTPKEVGAPSHGLVAAAAAGAAATAAIAVVLLNLKR >Et_7A_052721.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14141149:14143302:-1 gene:Et_7A_052721 transcript:Et_7A_052721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASAAAARKTVSVVSAHVAAGRLFAALDAIPASLPASAIPSELYASLLRLATTRGSLAAARRVASHLTSSSSPWPQGPSVPTFLLNRTIESLAACGSLADARHLFDVMPRRDGGSWNAIISATSRAGHPAEAISLFAEMNSIGCRPKDVTLASVLGCCAECLDLRGAQQLHAHIAKRDFYSNVILGTALVDVYGKCDLLEDARRAFDGILHPNAISWNVMIRRYVLAGMGDMAVHMFFRMIWSGVKPLVYTVSHALLACRDNNALEEGRCIHTFVIRHGYAHHLHVRCSVVDMYAKCGAIDAAQRLFNLAPVKDMVMSTALVSGLASCGKIADAKRVFDGMDEHNLVSWNAMLTGYVRSRDLTGALDLFQQMRQETKALDEVTLGSVLNACTGLLYIGKGEEVHAFALKCGLFSYPFLMNALVRMYSKCGCLRSAERLLLFEMGSERDIYTWNSLISGYERHSMSEAALHALRKMQSEAKPSQSTFSSALAACANVFLLKHGKQIHAYMIRNGYEIDDILRSALIDMYSKCRVFDYSIRVFEAGLSHDVILWNSMIFGCAYSDKGDYGLELFDEMRKHGIRADTVTFLGALVCCISEGHVGLGRSYFTLMTDDYSIVPRVEHYECMIELLGKHGHMVELEDFVEHMPFPPTTAMWLRIFDCCREYGNRKLGERAARHINDSNPLTPVRFESIPIYESGDGDNAESMSFVSEV >Et_1B_011796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26047507:26052486:-1 gene:Et_1B_011796 transcript:Et_1B_011796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAALRPFPTVVPSQSQSPQGTSRLHPHPRRGSRLRRPVAAAAAATAPLTASSPADVERRKHELLRAVQETRRGFVAGPDERAAIEEAIVSVEELGAGEGAPLDLAALDGTWRLCYTSASDVLVLFEAAERLPLLQVGQIFQKFECKDRSDGGIVRNVVRWSIENLLEEQEGATLNVSAKFTLLSKRNIFLQFEEVAVENIKISEQLQALVAPAILPRSFLSLQILQFLKTFRAQVPVSGPERRSPGGLYYLSYLDRDMLLGRSVGGGGALSRSVTDIFKDVASNLRLAWPSRVNWLSLTNSKEPVLQ >Et_3B_030543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4805928:4806594:-1 gene:Et_3B_030543 transcript:Et_3B_030543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDPFFLEDDQDPAEMVDWMAMEYLGALDKTGGDQLTGVPIDMSYLVARLGMTLTRFEMPNEPLGVSDVGGIPSEAMTAWHPQTESYDKSPFPLKTTWTEVEDSKLKHWVERHGEQEWSVIARDLPRRAGKQCRERWINHLHPDIKVSHAPPLVRAQSIPLSFIFVNVLPM >Et_4B_036251.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13015525:13015755:-1 gene:Et_4B_036251 transcript:Et_4B_036251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHQSIVFCSLRSLLPRLTLKRRLRLCGQHLKRRQRKTGRGNWARNSRIISPHVASNLICKVNGLHLLSQSHQNS >Et_9B_065563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7042229:7050722:1 gene:Et_9B_065563 transcript:Et_9B_065563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRRPLLVLLAGLIAVACLATLASAIYEDQVGLADWHQKYIGKVKQAVYHSQKSGRRRVVVLTEENVLASLDLRSGDILWRHVVDKNDPLEQLSLSLGKYVLTLSSGGTVLRAWNLPDGQMIWETNLQTSTLSKPQLHVLPNSKVAKDNLVLVSAGQWIYAVSSIDGAVLWGKEFALDGLEIKQVLQSPENDVVYAVGIIRPSKLAFYHLSAKTGEIVKDFQESLPGGLSGETVFGSDNLLVALDKTKSGLFLIKFTGERLSYKKVDVSDLVQDLSGTFKLLSLSNGVIAMETSSSVSLLKLKGTDGLEVVQTFDQPSSVSDALTITEKDGAFAIVQHVGSQIDFVVKFTSDLSSEFLREKVNIDQHRGNVEKIFLNNYVRTDKSHGFRALVVMEDHSLLLIQQGEVVWGREDGLASIVDVTTSELPVEKDGVSVADVEHNIFEWLKGHMLKLKGTLMLANADEVAAIQALRLKSSEKNKMTRDHNGFRKLLIVLTKAGKVTALHTGDGRIIWSNLLPSLRTSRSGVVSSALRIYQWQVPHHSVMRENPSVLVVGKSGAESSALGVFSILDSYSGEELNSMSLDHSVAQIIQLPLKDSSEQRLHLIVDSNSHAHLYPKSPDALNVFYNEMSNLYFYSVDVQKNVIRGYSLQKSCDLNLGDEYCFSTKELWSIIFPSDAERIALSETWKMNEVVHTQAKIIGDHDVMYKYLSKNLVFVATVSPKAAGDIGSALPEEASLVAYLIDAVAGRILHRVTHHGAQGPVHAVVSENWVVYHYFNLRAHRFEMAVIEIYDQSRADNKDVMKLILGKHNLSAPITSYARPEVVVKSQSYFFAHSVKAMAVTQTAKGITSKQLLIGTIGDQVLALDKRFLDPRRSVNPTQQEKEEGIIPLTDSLPIIPQSFVTHSHQVEALRGIVSVPAKLESTTLVFTYGVDLFYTRLAPSRTYDSLTDEFSYALLLITIAVLVGAIIVTWIWSEKKELRDKWRGSHCHRSTDDVSCISLSPEHPREPWHMVTGFGCEMSAMIGEVK >Et_5A_041207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19416883:19417683:1 gene:Et_5A_041207 transcript:Et_5A_041207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNYPNRRSGGPDHSHPEPEKVAWMKGHLASLVMFFHAPLCDESDVPQHTRDVETLLLAVATCGHKGIEYQEKMKQRSQKHILLWKMVLLIQDVCSYSTGHSPVSYPVALRCRLTRLSNLQNKNDVVDRYIDAGQEDTMLNEK >Et_1B_014088.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30146897:30147205:-1 gene:Et_1B_014088 transcript:Et_1B_014088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAGKPSPPPPGGWLSGLISGASRILAAVLGPESSASDGTSSSPESSQSPLPPRRARRTPGTISPALPRAFRISKCRVKREQARLPYFIAKQFRSSGGR >Et_6A_046755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20155716:20156262:1 gene:Et_6A_046755 transcript:Et_6A_046755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VISADTIDGAVDKVIDELKEDARSGNRHNVIYFDDWDGLGASAVLRAINQRLTQEGGSRAPAAAGLEFSDIFHVDCSKWESRRAMQRMIAEKLRLPASNEDDDYRGVAKGSRSEIPRVAEEINQQIQKLNRRFLLIFNNGSNEEIDLSSFGFPLFDRYSRNKVLWSFPREISRLP >Et_2B_019817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14238799:14239503:-1 gene:Et_2B_019817 transcript:Et_2B_019817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKEEAIRHQATMERRGRGPARRVTVLYYLCRNGRHLEHPHLMELTIAYPNNALYLRDVIHRLDALRGKGMAAMYSWSCKRRYKTGFVWHDVSDDDVLLPAQSSSEYVLKGSLLLNSHSPQAAGRPIAVSQLAAYMAGQYIKFLKNP >Et_3B_027452.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18773711:18774635:1 gene:Et_3B_027452 transcript:Et_3B_027452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGTSSSGGEYHIPMSQDGAPALAATAADAIRESPFRDTTQRRQPKALPPAPPRAMAPTAIDKTLASVANLAKLLPTGTALAFQSLSPSFTNRGDCLAANRYLTAALLYLCVLSCVFFSFTDSFVGGDGKIYYGVATAKGFLVFNYTRNEDDDEAADVERRRQAFRDLRRLRIRWVDYVHAVFTAVVFLTVAFSDTAVQTCYFPVAGDNVKQMLTNLPLGAGFLSTMVFLVFPTTRKGIDYTGPSAN >Et_2B_019058.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28191089:28192549:-1 gene:Et_2B_019058 transcript:Et_2B_019058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWLPLLRYLLASPAANAAAFSSSPSSSNCPSSPPPAVALLRLLLSPAPTLPAPEPGDANPAIVFQILPPLLQSQALSFLSSSASLLDRHLLRALAVRILSAPSGRYDFWVRRGARHLLDRLPVEGVRSVASEEFIDGFHEPPPWLKEAAARARPVLPWLPLDCRSTMTSGIYGGGRGDDLGGLGLEKMGLDQDEDSDMQEAGCASPPPAPPLGDLMVQRAMALQKEIATVESILDAQRVVKDLQDICVESRNAVAVLSLVQPWEADDDTLRVLLSNLVLEEDEVRGKGPALVLCSVVLPKLLELQRAASSVLISAVLELCKRHPTAAVEAVLFPLVLRKGGLNAPQCDVLTHVVQDCMHPLHVTAFCHRLLAGEELERRPICMPQHYENIDSHLVWTESLFALFYNILNQDICLTPSTVGELLSVIDERASEFSRSLKFGNFLLCFMSKCWHECKVQRGLLERAAERTNTVLTKAILAKLRPTS >Et_3A_025323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28957574:28960291:-1 gene:Et_3A_025323 transcript:Et_3A_025323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIRPPSFGSSPPSPRQQSTARASRPSSSSSNVVHALAVLHSHRRSSMESLGDPREGPSTERAFEVKPVPPWTEQVTGRAVAASLVLGALLSGIMMNLVFTSGIIPTLNISAGLLGFFLLKAWTRLLGQLGVPYQPFTRQENAVVQTCVVACASMTHSGGFGSYLLAMDRRTAEKTSTADLAGPNVTEPSVGRTMAFFFLVSFVGLLAIVPMRKTMIVRHRLTFPSGSATAHLINSFHTPHGASQAKRQVTLVLRTCFASLFWSVFQWFYTGGPNCGFTSFPTFGLTAFQRGVYFNLNGTYIGVGMISPYLINISMLVGSIVSWGFFWPFIQSKKGSWYAADLQDTSLKGLNGYKVFGAIAMILGDGIFQLVAISLRTIHTMRRHRIAAETIRSFSDIDAMPRQALSFDDRRRTQVFLREHIPGTFAIGGYVVLAALSSVAVPLIFRQVRFYHVAAAYVFAPLLAFCNAYGTGVAETNFSAQYNKLVILLFASWIGLRDGGVVGSLVICGVVSSVVSTASDFMSDFKTGYLTLTSPRATLVSQVVGTALGCVVNPVVFAVFHHLYETNPKKIYQAPMAKVYRAIAVLGAGDHEPPKHCVNISIALFVLALAVSALREVAAHNRWHAQHYIPSVTGMAISFLLVPAVSIDMVDREAAQVFAPVFASGLICGDGLFSIPYALLARYDITAPICIRFLGREQNAALDAFLEKRAAAAHR >Et_5A_041062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17621670:17629110:1 gene:Et_5A_041062 transcript:Et_5A_041062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRNSDPHPDMRKIITSDPYVSVCLSGATVAQTRVIANSENPKWDEHFYVQVAHSVSRVEFHVKDNDVFGAELIGVASIPVEDITPGEMVSGWFPISGQYSNPMKPSPELHLSIQYKPIDQNPLYQDGVGADGSQGVGVPNAYFPLRKGGKVTLYQDAHVPDDFRPPIEIDGGKIYEQNRCWEDICHAIREAHHLIYIVGWSLYHPTNLVRESTKDLPNGTPLTIGELLKSKVHEGVRVIVLLWDDKTSHDKFLLKTDGLMHTHDEEARKYFRHSGVHCVLAPRYASNKLSIFKQQVVGTLFTHHQKCVIVDTQASGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTVFKDDFHNPTFPVNKYGPRQPWHDLHCKIEGPAAYDILTNFEQRWRKSAKWKVSVRRAVSWHHDTLVKINRMSWIVSPSTDELNAHVCDEKDPENWHVQVFRSIDSGSVKGFPKLVQEAESQNLVCAKNLQIDKSIHNAYIKAIRSAQHFIYIENQYFIGSSYYWSSQRSAGAENLIPIELAIKIARKIKARERFAAYIVVPMWPEGNPTTAAMQEILYWQGHTMSMMYKIVADALRKEGLHETHPQEYLNFYCLGKREITDDVPTTVSHSNENSALRLAQKFRRFMIYVHSKGMIVDDEYVIIGSANINQRMSLWAEHLGTVEECFRRPESAECVQRVNQMADDNWACYVSPEMVESRGHLMRYPVKVDQDGRVGPIRGQECFPDVGGKRLKELKSGNCKLILLSTGVVEAVDNATYDPSGLITTMRDMQSSTPSSSSLHFLTSQSRDFTML >Et_5A_040189.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20567157:20568008:-1 gene:Et_5A_040189 transcript:Et_5A_040189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVTTSSAGDPSPRASLALLSSTTPATTRRPRRLAARHRRNVVKGRFTGTAHMPRASVWNSAASSRRTAASAWSLPLPTRPKRTEHMTSNVSDFIDGITATAPPRHSASRWRRTSASMPATYRRTASARRNRVIMALTRRWSSPSTSRMERRPTIAANDAWLLGATAALVKRNLFAAGPTRNAVGLPKRNSRETGPCAAARRRSQSSAVLARRARRKLSVWPTTGRPKEPGGSGRPWFFFFLSRRRRSTGSEATIAATRMAAAAASIVGAGPASIASSGQDRA >Et_3B_028261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12336566:12340721:-1 gene:Et_3B_028261 transcript:Et_3B_028261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAATAEMAAASLCDDLEPATTRTRIRDVLAAGAARAGESVVVGGWVRTGREQGKGSFAFLELSDGSCAAALQVIVDASVHPLARLTATGTSVLVEGVIKEPPQGTKQNVELKVSRVIEVGEVDAAAYPLPKGKVKIPLETLRDVVHLRSRTNTIGAVARLRHQLAYATHSFFNENGFLYIHTPIITTSDCEGAGEMFQVTTLDDGTIAFENDFFKRQAFLTVSGQLQVETYACALSSVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFANLQDDMNCAEKYVQYLCKWLLDHCREDMEFMVKNYDKSAIERLELVSSTPFVRISYTKAVELLKNVTNKKFENPVEWGIDLASEHERYLTEEIFKKPVIVYNYPKGIKAFYMRLNDDQKTVAAMDVLVPKVGELIGGSQREERLDVLKQRILDAGLPLEPYEWYLDLRRFGSVKHSGFGLGFERMILFATGMENIRDVIPFPRYPGRADL >Et_2B_020358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19313636:19314883:1 gene:Et_2B_020358 transcript:Et_2B_020358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWAAEEDAVLKAYIDEHGTGGNWIQLPHKIGLNRCGKSCRLRWLNYLRPNIRHGGFTEEENRVICSLYISIGSRWSTIAAQLPGRTDNDVKNHWNTKLKRRLFGGGRRPRLDARLLLTDVASSQRTSLAASALERMHLSMRMHRRHERLESSPAFTLYNYGSLGTPMWPSPSPSPSPSPAVSDSSEVHLPVATSTGHSGFWPRMQSSFSYACAGVQENMDGTCTPPMSTSTGESAAPGMQSSSSTPTASSASATFGSMEDEINILLQQIQSFEEDGGHLGEVDHETLDGSAGSWSSCSTPGVDSVFHDFVQGYNQ >Et_3B_027492.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21961030:21961832:1 gene:Et_3B_027492 transcript:Et_3B_027492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRDAPRKRVRVRMEASGGRNRGGGGGDGSCCCSVSGKGDTTPPRLVVIVGATGTGKTKLSIDAAVALGGEVVNADKIQLYAGLDVTTNKVPLADRRGVPHHLLGAVPAEAGELPPSAFRALAAGAAASVAARGRVPVVAGGSNSLIHALLADRPDAGPADPFSPAAAGTWGYRPSLRFPCCLLWVDVDAAVLDAYLDRRVDDMVREGMVEELRAYFAATSPAERASHAGLGKAIGVPELGDHFAGRKSLAAAIDE >Et_1A_008545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8624480:8627606:-1 gene:Et_1A_008545 transcript:Et_1A_008545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKDVGEEAEVVPVKSRFRRICVFCGSSHGKKSSYQDAAIELGKELVARNIDLVYGGGSIGLMGLVSQAVYNGGRHVLGVIPKTLMPREISGETVGEVKAVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPEYSPQHEEIVPKLKWELEQLGYLENYEIPVPKEGR >Et_2A_017971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8525420:8531345:1 gene:Et_2A_017971 transcript:Et_2A_017971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGHSWPWHEPAPVWFRSLVLLGAVYATALSFRLVAYLALCLRRPIDLRRRYGTWAIITGPTSGIGRSMAMELARRGLNLVLVGRNADYLRETSDAIRSRHGVETKTVLFDLSLVGTARGDEAMRRLRDAVDGLDVGVLVNNAGVERPYGVYLHVVDVDVWMRMIRVNLWAVTEVTAAVVPGMVARGRGAVVNMGSAASEAVPSFPPSPMYAATKRYVAHFSRSLHVEYKSKGIDVQCQAPFFVATRMLTGIKVVSWLGPLVPTAEAYARAAARWIGHGPLCFPTVRHQLMWCITAVVPDAALEWLLVHLMPSCLSHS >Et_4B_036583.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:6043523:6043579:-1 gene:Et_4B_036583 transcript:Et_4B_036583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGESVCTACYREIDCS >Et_2B_021016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25661042:25661715:-1 gene:Et_2B_021016 transcript:Et_2B_021016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPGHINQHLDRLSRFVYNTWSIQPHSCIPIACATTKCMDKATIMRERDPVEVNNIWFPHIDENSTHRDGAIYENMRLRKYWIDYDIDITDRNESKWSK >Et_1A_005104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27481538:27481858:-1 gene:Et_1A_005104 transcript:Et_1A_005104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRQTAASRSTSPPSSEQHGSYWGRPTLTRFRTLAHTFSFSASIGHLPGGCGLGTTGPWQSDPQPCAAAAKRRLRARNRASLAAIGDPGARSKQ >Et_1B_010470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10855036:10859082:-1 gene:Et_1B_010470 transcript:Et_1B_010470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVGNGLADLANGAVAVNGNGKAPRPAEAEAAAEQLPMELDPPEDVVAAVAAAEEAAAAGKRQIVMGRNVHTSCFAVKEPDADDEETGEREATMASVLALYRRSLVERTKHHLGYPYNLDFDYGALAQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKDEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMECVKVDTLMSGEIDCADFQRKILQNRDKPAIINVNIGTTVKGAVDDLDLVIKTLEESGFKDRFYIHCDGALFGLMMPFVKKAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHINALSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYLKDRLKEAGIGAMLNELSSTVVFERPKDEEFVRRWQLACEGNIAHVVVMPSVNIDKLDYFLNELVERRATWYQDGISRPPCIARDVGVENCLCDLHK >Et_10A_000896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18897399:18897891:1 gene:Et_10A_000896 transcript:Et_10A_000896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQRWYTLTDSEKATWRPLPREKYPKPARLPADAVCCHRHVHLPSPGLRSRRRRPRVAPPSRSSPTGYSPSLPLTAAAGGRDQLTALGAAHRSLPGNLGLFYHLSYYNTKRRTDGMGAPAADAARPSPPSIAANVGALRRPNERVPSST >Et_8A_056530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11602951:11610612:-1 gene:Et_8A_056530 transcript:Et_8A_056530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GHYSTLKCRPSPTGSTSARSAAPGTLAHSPSSSRHCGLVDLQGTPVRCPPILCDGFFGYVAFGNLAFPVDHDSKCSLMNPISGLRLPLPKLAPAVLGPIDGSQVFDGSNTQKGYIKAISSGPLDLTKDPHVSVLVLEGQNIAILAWLYVIKLDVGRLSELKSPSCFHQCIPEDPMQQVMYCSDLQQYESCPDYLVIRYLAASNGRLLMIRRWMSLPHKSRLGDCDRTLWFEWMKVDSLDGHALFLSSECSKSIFAIHCAGGIEENCIYFMHRVFDNPSKEYSGPCVDLLGDSGVYSMKNGGIKPLVPNAVMIELQRKHQFLTRFFPADA >Et_8B_060352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:118116:118865:-1 gene:Et_8B_060352 transcript:Et_8B_060352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKDAVHVLPREVLGASTFEMSVAMARCLPLCLVDRILLAMAALTLTLGDVERRCGLRRPAVGPMELKRTEGKTPVLDLGALAKIRSGHIKVVPEVTRFLPSSSSSSSGAAAASTARHGVPQQRPLLAQGAFTGRGLAGIAEEAVRIADDLAKAWRQQTEQDHLHVHRHHHKPNL >Et_3B_031644.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6728762:6729016:1 gene:Et_3B_031644 transcript:Et_3B_031644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVQAMVVVMLGLLLLAGIHQEADAQGLSIPMSLDSNGGSPSLGSISCKAMGNCENKDANRAPDQANKYTRGCSPIHQCRGE >Et_3B_028432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15233650:15240880:-1 gene:Et_3B_028432 transcript:Et_3B_028432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVIPFAAVAVVLLLLPPPFISSTITVPGDNCTRICGNISIPYPFGIEPGCYHAGGFNLTCLKLNQTVHGHARHRLFLGDGTVQVLGISIPANTVRISSHRVMLKNYGGYTINGTWGTGLPEGGPYALAESVNSVAVVGCNVQVDFRGGVNNGLLGSCSAVCPYINTPDGPAQITRIGHKCTGVGCCQANNILEYSFYHIEIHVPGMNNTADATDSIAVYLADGSVDYTDNMTLYSFPRALPATLGWVISNSTCPAHGVAPECRSAKSYCQNATVGRNGYMCYCASGYQGNPYVAAGCKDINECSSPEIYPCYGQCENTAGSYICRDCPNGNYGNSFANGGCHSVKDSHIGTKSLCAAFTCFQTFMALSDYKSYDQATKKKSIVSIAITPS >Et_2B_021358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2967604:2986683:1 gene:Et_2B_021358 transcript:Et_2B_021358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQDQGQLVQEVAAGGLPAPPSRYVLKEEVRPAGGVAAPELAFPTVDLQRLAEPGDVEEAAKLRSALDSWGLFAVTGHGVPAELLDGILDATREFFHLPAEEKLGYANRTDDGEFQPEGYGIDRVDTDEQVLDWCDRLYLTVQPEDNRQPRFWPPSLADLLRDYAPRSGRVATRVLRAMARALGFGEEFFLDKVGERGGTDARFTYYPPCPRPELVYGLKPHTDNSVVTVLLLDRDVGGLQVLLRGDGDDGGERWVDVPVLRRHELLVVVGDEMEIMSNAAFRAPTHRVVTSDRERMSLVMFYQPEPHRDLQPAEELVDETRPAMYRKLKAKTFGDGFWDAFALGERTLDFLQVKIDSKDAKSKGEDARPVLPVQELVSGAADDVPTRFVARRPDDDAPVTVAAPVPVIDLGRLCRPDGTGADEAEKLRMALESWGLFLVANHGIDATLMDGMMEVSREFFRQPPEVKQQYTNMVDGKHFRLEGYGNDRVRSEDQVLDWCDRLYLKVEPEDERNLALWPVHPERFRDVLHNFTAECTSVKDRLLPAMAKLLELEDDYFHNQFGDKADTYVRFNYYPPCPRPDLVFGLKPHSDGTFISVLMVDNNVRGLQVLRDGVWYDVPTRPHTLLINLGDQMEIMSNGIFKSPVHRVVTSAEEERLSAVMFYSVDPERDIVPAASLVDEKRPALYRKVKSKDYIAGLFQYFSQGRRQSKKALMVEEPWRIPMLVQELATKGQQPPSRYVQPEQYHPIRLGVEAETPEGIPVINLSRLLAADGADEAIKLRMALQNWGLFLVANHEIETSLMDELINASREFFHQPIDEKKNLIDGKLLQVEGYGNDPVIFEDQILDWSDRLAVLHDYTMNCKRIRDCILQAMAKILGLKDDHFVSLFSEKAPSFARFNYYPPCQRPDLVLGIKSHSDAGVLTILLIDKDVGGLQILRDGKWHNVPTSPHRLLINLGDYTEIISNGIFNSPVHRAVANSEKERISLAMFYGLDPETEIGPSADLLNEEQPARYRKLEAQEYLAEFYKHFSRGTRFIDSELAATVQEPPSQYLIPEQERLGGQQLVGAEMPELVPGIPIEQKQEHSNLIGGKKWQLQGYGNDPVQTQDQVLDWSDRLHLRVEPEDERDLALWPRHPESFRDLLHEYTLNCKRVKDSILRAMAKLLDLDDDCLINQFGEKGSTYSRFNYYPACPRPDLVLGIRPHSDVCVLTLLLMDKDVGGLQVLRDGTWYSVPPVRDYALLVNVGVSLEIMTNGIFRGPVHRVVTNSEKERMSLAMFYSADLEKEIEPIAELLDEKQPSRCKKIKCKDFVAAHYEYFSKRERVIESLKI >Et_7A_052309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6821935:6829074:-1 gene:Et_7A_052309 transcript:Et_7A_052309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGPSAAPSWSDLPADLLLSVLERLELPQALAFSSVCTAWRSAAATAGVPRFGAPWLVSWAHLEGEVGFGNHTQRLNSVVTCNLYRLLDVNKAHGISFPKGCFVACCGSSHGWLVLVNELSNLVLYNPFTMAMIISLQLQIFLAWRQSTAIGRELCNITFSKRGKKAVLSCSPSKGGDYVVMIIHRDNDWLSFVRAGHCKWQVASTLRWNEKYLDRAFHGGRFYSITLQGMVEEWDLDELNGPTRRIVDVGGYSTGRLFTRHLVSTPWGDLLRVSCTLEPEFPDGVRFRISRVGPHGREKVTHLKDHAMFVGLNHSACLPAESFPGLRPRCIYFSSPWMIMTFGLTNRVTGGWGGPSWSYLPTDILLIILQYLEVPQIVVFASVCSTWRFAAAESGVPLCSVPWFMSWADLIHRKKNAQGGRSVACNLYHLLDVNKAYGVSFPRGCFVTCCGASHGWLVLVNELSNLVLYNPFTMSMVHLPPITDFACVEAVYNNGGTLAKYIYAGANAHETNSLGKWFYQKAVLSCSPSKAIGFLLSRSKWQVASTLSRIGKDRYADCAYHEGRFYSITLHGMVEEWDLDELNGPTRRIVVAAGRLGSTLTRHLVSTAWGDLLCVRLCFALETPDGIRFKIQRVDSDGCQKVIYTDLMDHAMFLGLNHSACLPIKNSPRLQPHRIYFSAPWTWRIERLDWLRRRHRAWGGVGTYDLKSRTFDYPLCIHEGWIIPFGVWITPNLL >Et_9A_062727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3817885:3821599:1 gene:Et_9A_062727 transcript:Et_9A_062727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQQHAHPKMGEEKLIIRSEKVRFTDILSMLLLRRPITSYRFVDAGDQTAADFGSTPGDWFVALTEVIQKALHAAYYPSIYIGAFVEFLLNFIALNNGILGIILNIFRCKLVIPLNREAPNFRSMIGLIDGRTELKPLPANGGPDDRRLQVVGIPGGKVMDEEYFVDVESGSATVPLVQQQYVNGRLMRLRTYSVLEITIMAAKIAYENAAYIENVVNNIWKFHFVGFYSCWNTKAITNQQSPLWTEFVGDHTTQAFVMTDRATDASVIVLSFRGTEPFNMRDWSTDVNLSWLGMGAMGHVHVGFLKALGLQEEDGKDAARAFPKQSPNAAADKPFAYYKLRDVLREQLKKHPNANVVVTGHSLGGALAVIFPALLAFHGERDVLDRLLAVNTYGQPRVGDKQFAAFLRANVPVEMIRVVYRYDVVPRVPFDAPPMAEFVHGGSCVYFDGWYACRAIAAGGDAPNPNYFDPRFLLSMYGNAFGDLFKGAFLWTKEGKDYREGAVSLLYRAAGLLVPGLASHSPRDYVNAVRLGRMVQPRFEL >Et_6A_046999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2418114:2426069:1 gene:Et_6A_046999 transcript:Et_6A_046999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRSGATRRKQAKQRAAAAALAAASYPPWVLLERYCTEETHGSSSSVADAKTRATPSPSRVCVQVKLFGAVKVSHSTVVTAHRDSVLIDVKLEARSRWMRDKTDHFLPRLPPDHRQFLSCEDTGLLRRGEDDELVVASLGMVPVPADQAVKGTPQMVPELLLFRSCKWSVRRPRVVRNGDGTELDLAPLSWFARTVVPVGDRLLCWSDHFHGVLFSDVLDEDPTLRYVPFPIKPSPYGSFKLCATTATAAGGGGDTLKLVAMFPRCCCGDDGASQCPTSLHAYTVKTWMMRMDDDMAWVMDGMVDSTEIWALGAYKGLPRVELDDPVVSLDDPNTITFALCEEHHEEEHGAADSTVRMLMVDTRRKTISSSIFRYPPERQYIRSHYPIPNRVSSYLNSSYPSQGNGGATSSPSKTDAAVNSSEQSFSNLSLEPMHHVSEEVSLEKKVLMALEEIPELARDEMLKAFSILVYDSGRRFRSLLELPKNLRKDWLLMEIKASEACSTCSACTKDVQLVCEVLHGSSEQKNEIRPELRSRTGKSSATGLPEMVHINNGGQQRGKHEGVAGEAGTRVPGLDACGWPSWSRVWTRVPGLEQSVDAGAGAGAEAAETPQADGEAGVAVVCEGDGGVRGLRLREGDGVEGGGGGRGRRRREDGGGAWSCSIWRVCGRGEGDGGGRAAAACGGGRGGAWRCSSLWTGFRIDAASPVRISSKCPSPSLSRVLSHTPSIIMRVNSSKQTNPSPSVSTPRIMRRQSSTEHGSSPSDASTAMSSSAEILPSPSASNTANVSFSSRSSVAADAPAVAISSSTTPASASISASSSSLETTLRRAASSSSRVTATAGFFLDADAGGGGRTVRGDASSDEEEEDAVEPNDVLCLAPSFLTFLLLLSFLEPNKFVTSFMATLPS >Et_8A_057528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:427741:442312:-1 gene:Et_8A_057528 transcript:Et_8A_057528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGSWDAIDWNQIEDPRPRRPGRAFKESMDDFLLEDEEVIAQGHGVVLLNTGEAGTLSVTNFRLLFVSQSKKCVVELGTIPLTTIEKLNDDVKLQSPPRLSDKKHPHELLQVIGKDMRVIVFAFLPKTKQRNEVFDALRRYTKPANLWDLYAFSCDPSTIKNDSDPKWRLLREYFRLFRRSFSQLQGVEEISLSNNWWRLTRVNSSYSLCSTYPSELIVPRSISDEDLFQASTFRSGKRLPVISWCDPGSGAVLARSSQPLVGLMMNFRNNADEKLVCALSSNTTDINGSPRKLYIIDARPRANALANGAKGGGSESSSNYPRSEVLFLGIQNIHTMRDSLSRLRDYVDAHGSISSNGTPSAVSVVGDRRNRGSTWGGGNLNSMTSFSSTLGEWLNHIQNILVGASWIAAQIAVESASVLVHCSDGWDRTTQLVALACLLLDPYYRTFSGFQALVEKDWLAFGHPFAERMGVPTVAENVGSQSQYELLRQPSLGNLSSSPSRNALGQPGTSSNTSGQSQTSNNSSPILLQKFLVDFMDCVLSCRFGNFLCNSEREREQSGATNSCRCMWSYLADLRASGGSFHEHYNPFYDPVKHNGPLLPPAAALAPTLWPQFYLRWTCPSESQGGDLESQWHAMNKKFAEATKAKDIAEWRAKDIKMKMESMKQDLQRERHASSKALAMAQSAQRENAAIKKAIESIGCTIKFSVIENELDKPEVLSYSIRRDTDASARPQQDESADISVSISAIEDSLISETPGDHFCESLCPFRTREGCRWPDAPCAQLGSQFVGLKANFDAFDRLSIQDSYFGPE >Et_8B_060443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15990629:15995224:1 gene:Et_8B_060443 transcript:Et_8B_060443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVNQKPSVFDDDDDAPLSFKRSSVKSRPAPSKQEGSSGSAGLVRNPKPVASNPQKNGVTSPSRPLNMKPQLSSPNHRPSGSSQPNSSAEHSSRSNNADSSKLKRPYVKDDQPDSDDDIPIGLRKKVEEKKLKRVDAGGKKADDSDEDHKPLSHKINSSKAPSTSKDKAIVLKAAPKVEQPVDDSDDDKPLASRLPTSAAPKSGANASDDSEDEKPLSARFSKVTGSASLKSGSSNNVTNGPQNLGKRPLDSNNQTSSALKKAKPSGGSASAIVKRESKVDDNDNVPLAQRLKIGESSKSKTSAKTIVKKSPASLKKNNKKMKNKVKTKMSTKNSQFSKTMKVPPGSGGGKKWSTLEHNGVIFPPPYKPHGVKMLYNGQPVDLTPEQEEVATMFAVMKDTDYATKETFIDNFFTDWRKILGKNHVIKTFELCDFTPIYEWHLREKEKKKQMTTEEKKTLREEKLKQEEKYMWANVDGKKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIMPSDITINIGRGAPVPECPIPGESWKEVKHDNTVTWLAFWNDPISQKDFKYVFLAASSSLKGQSDKEKYEKSRKLKEHIHKIRENYTKDFRSKDVTKKQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKFDFLGKDSVRYFNTVEVEQLVYNAVEAFRAGKGPGAALFDKLDTTRLNAHLKDLMPGLTAKVFRTYNASITLDDILHKETEDGTLLEKIAVYNRANKETQLDELKADLSKAKKGKPLGNDKDGKPKRNLAPEMIQKKISTVEAKIEKMEMDKNTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFGWAMDVDPEFRF >Et_4B_039164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8383292:8387233:-1 gene:Et_4B_039164 transcript:Et_4B_039164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFLFALARGARSRSRTELQAAQRRRPTDLAHRFSHSAAATASPSFGIAFDIDGVILRGRSAIGGSPQAIRRLYSEDGNPKIPFLFLTNGGGVPEHKRALELSELLGVNISPKQVMLGHSPYRELVNRFENDLIVAVGKGEPVAVMSEYGFRKVLSIDEYSSYFRDIDPLAPFKTWKVGKTDSNLSAKVHPSYDVYAERVKGVFVVSDPVDWGRDLQVLCDILSTGGLPGSGKGDQPPLYFAADDLEYQAAFSSERLGMGAFRIALESIYNQLNDHPLKYTSYGKPNPFVFKNAASILAKLVMSMYPNSQLSKEANDCQFSTIYMVGDNPKVDINGALKAGHPWSSILTRTGVFRGKDNDPMFPADVVVDTVEDAINYILEKECIR >Et_3A_023709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11147122:11152469:-1 gene:Et_3A_023709 transcript:Et_3A_023709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSDVPSPPWADLPVEMVDAVAEHLDVFSAARLAAVCSSWAADGERGAPEEDEFELLDLTRGGGLGGRPARMWGVARGQEWVGGKDDWLATVDARLVNPYTGRRVVVDLPDISAVVSGSGKTRGTFVRIVVCETPSSSDDTGAASYLVVAVVGEAGVLAIARGGGDDGGWTALKSPAGNDFYCSDAVVHWGKVVAVDRYSVRRRAGAGADATASPRRRPRRIREPLEARRLLLVRIYGNETDPDPAAYGHRTHLYSGAYHAEGVRVYERDVDDAAAVSLGDRSLFLGVNCPFMARVVVDRDSPDAYWVQLRPNCVCVTYNHASIWTERFDCDIMEVFSLGVNGRPFRASRLFPTDRCNYQTPMCMAAPSTEGSPPPWADIPEAMVDAVVEHLDVFSATRLAAVCPSWSAAVATNPALPFGTPCLLMTAEDGEYEVEEEKDAFQLMDLTRGEREVSLPALVWGAREQWWVGGKDDWLATVDDRGDARLVNPYSGRRVDLPDIRNVVAGAAKTRDTFGRIVVCETPFDAVAGGAGEGYLVIAVVPTPAPGLLAIARGGGDTCWTALRNPGGNLFGYSDAVVHKGKVFAVDTAGSVHVWDIRGDVNATEPEELTQSTPPDVDPDDEFERRWRLAVSADGCRLLLVCTYGREINCRKSSGYRRSTFEYTAFEAEGVRLYERDVDDAAGRWSPVVSLGDHSLFLGANCPFLARIANPQDSSSDAKSSHQIRPNCVCVTDNQMFRSQDSEDDMEMFDLGEEDYPYKSRKLFNSARYHSFQTPMWFRPMLKNYELD >Et_2A_016192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21981794:21986001:-1 gene:Et_2A_016192 transcript:Et_2A_016192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQQFAAGGPPLHDPPEDEDAVDAGVGAAAAATPGTRHAIKALTAQIKDMALKASGAYRHCKPCAGSSAAASRRHHPYHHRGGSGFGGSDAGSGSERFHYAYRRAGSSAASTPRLRSGVAAALSSGDVTPSMSVRTDFPAGDEEDDDEMASEGGGGGDGKEEDGKEWVAQVEPGVLITFVSLAQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNQSVPLPTTPKSEDESSKDDSPVTPPLDKERLPRTFHRPMSGGGAMGYSSSDSLDHHSNRYSNGHHHHHHGHQCCDSMGLASTPKLSSISGAKTETSSMDASMRTSSSPEEVDRSGELSVSISNASDQEREWVEEDEPGVYITIRALAGGIRELRRVRFSREKFSEMHARLWWEENRARIHEQYL >Et_9A_061590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14157572:14160840:1 gene:Et_9A_061590 transcript:Et_9A_061590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVAKVKMEDANAPVDLDVDIVSLDAGTGFSKLATADDPDATECSSSFGDTLSGSEDDGRPSEISDIEVDSPFCRYPGNGDAADLLDAAASENMDRLLKKKKVTDHWRKYISPLMWRCQWLELRMKDLQSQVSKYDKELSVLKHEKELQTKMIELDCSSSRSVPFASHCCRKTMKRRRRKKNELKMDASSYISNHTVFSYFEKTEAEGHSIEDNANLADDNTKGNNDANWILGIEGGDATVEQILLSIQSVQDRVSTLRSNLKKAMAKKNKGITLKVNTWVNGTQSSNCSPGKGKSAGLLETSPQDTSDCDMDDSAMPDSALSSYGEASNMDIFESTMNLLSEGPHQMGELRESSEDVLIDNQAAEEGYQNFEVISHPTKRLRVSVKRETGAHSEDESVAPVVGIKREAQEEGTSSFSLQGAFLKPCFTGKRRERKPITQVMRRRSSSSTAAALLSWRSKRIRKKKQF >Et_6A_047113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25567097:25575221:1 gene:Et_6A_047113 transcript:Et_6A_047113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SHGPGVMADPVASVEKIVKIGLKIKEAVDTVRRNKEVCLEIRKCVLRYSAMLSQLHQRGVMDNNQEMSSALEDLAETLERALELVTACQERSAISRFVSAGDLAKQLRRMKETISEQVMLSLWALNVHNTMVLLTMQDVIPLSRQPEVKAAIDDKNIIGEGAYCTTFKAVLNEGNVVAVKIYRKVKRPFPLPIYDHVSKLQHKNIVKVLGYCYEYKWHVQLLKHRNNDQVIEKGYLIEELMPNGSLINMIKHGNNIFVRLQLEWPSVFRIIEGVAQAVHFLHEQHIVHMDVKPGNILLDSDMNPKITDFDISTVLHADADEFTTDVEGTIGFLAPELLYKRIVSTKNDVYAFGVTLFETVSCMCRSKSPRVEDIDFKSFFYEWVWEAWQAGRVEELFEPSLFDCSQLLEIKRCIHVGFLCIEYHRTDRPTMAEVLEMINGKEELPTPKRINIIASRKRRIIEARSQMDRAAPDDTAL >Et_6A_047054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24546360:24550934:-1 gene:Et_6A_047054 transcript:Et_6A_047054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDRSLIEHSMVDLALAHPAGQHLEELPPPPMTALAPLEDLPMLRILGVTGAGRFAACYETIMSCRGSLVLRAQAHVFVDTTGSRHVVNSPDYATSLLEPPQTYAMAATAVDRLSALPDDVLRRVLYFAPAKEGASTAVLSRRWRSLWRTSGAVNNDWRSHHRAHGSDEEEPTFQSLVKVFLPAVNAALDAAEAPLTRLTFHVEAECHVFYLLMLPGTFDRNLIDHALDHPAARRLEELRVAAVNYRQEEAGNQFHYWIHLASLPSETLRDLQLVNCTYLTPAPPGTVFPRLTSLRLQGCNDVSLSCLQDTINAAPQLVTLHLESSKITGKITPPPSSSIVRADLHFTGYKHGELGTNISAHFWQFVTQNFHMVKVLKLRLDFTIHLIAVVHKKEQDDLIAKSLFYNVKRLELEGRYKPGNKMSGFAIGNLLQCCPVVCDLTLKLTAIASAESSFQSSLGTQQAQLDFEKSYNHFRQRKRQPISSGRDDDDNTYDDEVNVPGLSKRSFNCLQSCLRRMSLQFRMDVPNCFGVQLAKFFAENTQVLEELHVDDGSHKMCDHMNWKVGKWIANSCKRKTPPTVVTFEPCPMKRQRIESQS >Et_3B_029474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25347958:25349999:1 gene:Et_3B_029474 transcript:Et_3B_029474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPATTAAVTESAELVLPWLPPQGLAAAASACRALRSAASAVTARRAADAARGLEPLPVPFHNAVDSKPYAYFLYTPFSLTGPSVPRAQPWGCSRDGPPAPTWPRPNFNGFPSAVCGCACSAEECGGPECACADEEADAWGSGAEAEMGSLRECGDECACEPSCGNRRTQRGVTVRLRVVRHLQKGWGLHAAEAIGRGLFVCEYAGEFLTTEEARRRQGLYDELASAGKLSPALIVIREHLPSGKACLRFNIDATKVGNVARFINHSCDGGNLRPVLVRSSDSLLPRLCFFAARDIVEGEELTFSYGDARLRQKGLACFCGTSGCFGVLPSEET >Et_2A_018675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4912849:4916057:-1 gene:Et_2A_018675 transcript:Et_2A_018675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKECPHPDENQRAALSRELGLEPRQIKFWFQNRRTQMKAQHERQDNCFLRAENDKIRCENITMREALKNIICPNCGGPPIGEDFFDEQKLRMENARLKEELDRVSSITSKYLGRPFTQMPPVPSMSVSSPLDLSVGGGMAGLDLFGAAVSSSAGMGSSSSFQMPAPATEMERPVMIDAAARAMDELIRLAQAGDHVWVKELPGDGREILNVSTYDSLYSKPGAGFRAPDINVEGSRDAGLVFMSAVALVDVFMDTNKWMEFFPGIVSRAHTVDILVNGMCGGNESLILMYEEVHLMAPVVQTREFSFLRYSKQIEQGLWAVVDVSLDGNRDAPYGVPASRSRRLPSGCLIADMGNGYSKVTWVEHVEVELTHNIDVLYRNIVLSGAAFGARRWLAALQRACDRFASAAALLGPHQDPSGLTPEGKRGMMRLSQRMVANFCGSLCSSPMQRWTLLAGTYDVLVRVSSHRAAEPGHPSGVVLCAATSIWLPVPGDHVFAFVRDETARSQWDVLSNGNPVQEVSRITHGANPGNSISLLRSVLHSAPNSSMLILQESWTDASGSLVVYSPIDLPAANIVMSGDDPSSIPLLPSGFVILPDGQPGAGGASSSSAPLASASPGCVVTVAFQILISSLPSARLSAESVTTVNTLISTTVRQLRTALNCAVP >Et_1B_013003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4744037:4746285:1 gene:Et_1B_013003 transcript:Et_1B_013003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAANGCVAHGRHGHWLLSRETRAELQSSTAPRQERKTPGRTRRNRPSQSTPEKRITLEPSSARPPSLPPSLSPLSLRASHRASRRRAGGSMGAGTNGVSNGAAGERAEDGTTVFRGTAYSPLRTTVALALWLGAIHFNAFLVLASLFLFPRRVAALVLATQLFFMFVPVNDKDKLGRKIARFISRYVIGYFPVTLHVEDYDAFDPNRAYVFGYEPHSVLPIAVGILGELVGFMPIPKMKILASSAVFYTPFLRQIWTWLGVAPASRKSFYSCLGAGYSCIIVPGGVQEILHMDHDSEVAFLKSRKGFVKIAIEMGCPLVPVFAFGQSYVYKWWRPSGKLIVKIARAIKFSPIIFWGKLGTPIPLATPMHVVVGRPIEVVKNPQPTADEINEAHRKFVAAMQDLFEKYKARTGYPDLQLRVL >Et_9B_063957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6252333:6252689:1 gene:Et_9B_063957 transcript:Et_9B_063957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEGRAAALHRRLLAGWAAAASEKEEERNLAEEARREEAERRAEAAEAELRAAAEGNDARVEALRRALDAQEDRDARIRELEDRIQALNNAASKWRFF >Et_1B_011348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20876852:20887865:1 gene:Et_1B_011348 transcript:Et_1B_011348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAATPTSAAAATATAAAATATPISAAAATGTTKTVSASLWWDSFVALSDALDRAAAGPIPDALAKHIKSHHAWLRGSVSMFGKPNEVSRSALDASEVSVAEHGLTIKPELKEAALNVSKCLNLDEVQSYILVKRSSEVHDADAHEFLHLVSVQYYLERQCLLKCIRRIFVHANDGCDATDAIREEASLLINEEIVQKLISIIEDSFSAAFSVKAEAAFTVSYLEETLIEINLILDILFLAFYDNFTRCNGGLWISLCSIFKDVLCGSYDVGKFAVSVEAKNSFHYAKAQLLLVLIETLDFENLLRMIRDEVPFSGGCSAFSIGDILEMDVEISKLPEFSIVESGPLILAWAIFLCLVQSLPGRNANLEIDHTSYAQRAFEFAPFTYLLGVLCSSIFRESDGPVSGYRGILRAFISAFIASYEVSYQTEDSSLDMILSIVCEVYDGEESLCMQFWDKDSFVDGPIRSVLNMVEKEYPFQISELIRFLSAVCHGNWPAQCVYNYLERMNGVTTLYTIPASFSDNLDDTHEIENHHPISVADIEGIRIPSGSHGYILKVLEQDAALVRWEFPHSGFFLLLVILAQDLHSCNYDEASVIMDLLYRMVSSNKDLCFALLHADKSLAVQTSKNIGQIENHIRAIPEH >Et_4A_032921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15636785:15643285:-1 gene:Et_4A_032921 transcript:Et_4A_032921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLQTVKVVLEKDLRSQIGKDGHYFDLVDFSRVRAFQVATDMTIQSFKDKLAEEFGTPVQHQCLWFWARRINGTYRPFKPLSSQEEKLSVLKPRLAPNLIREGSSLVLLKEIKFQPSVMLEEILIDMTFSFLQIENGDIICYQKIPKPGNEYPYPNTKSFFQHVLRQKGAKRKIQALEEEMAESKHQARLKKEEADLECDRVKQQRDNAMRQVNELRALNRQVILEFSLEDLEEATENFSNLCKVGENEYGQLFKGIIHKTMVAIKLSCSQSLFQREVSILRERRHPNTTNLIGLCSEASALVYEWFPNGNLEDCTVSNRTPPLSGCVRAQVIGDICRALLFLHSDNPSAMVHGYLRPCNILIDANYRSKPCNFGMSNLFLDPGTCPPNLTERLPYLDPEFLTTGELTPLSDVYSLGVVILRLLTGMPPLSLAKKVAAALESESLHLLIDKSAGSWPYAQVALLGLSCVEMTREKRPDLLTKVWTVVEPMIMKPPAVSWPYCLSVSGGSCAPAHFFCPIRMDIMKDPQVASDGFTYEGEAIRLWLEKGNSRSPMTNMALPNEYLIPNHALRSSIQEYLQQQLPKGQ >Et_3B_027912.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26227219:26228418:1 gene:Et_3B_027912 transcript:Et_3B_027912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRGETLTLPLSSNLKVLHLDIALERHVLTKVPSEVLVQRRPVNLLVVEGLAGVVEHALHEQPQQVDTDQNAHQHGAHEQAAGQAEAEAPLLAAPLDDGGPEQQRGDAAHGEVGDDESGGCASAPLQQLLALGHGGEPAERLEHGDDAGEVPERGDVDVDPEEDEDDDHEEEDEGEGGRGGLGCVGDEDDDEEEDGEGEDEVVGQHEEGDPDEGAEEAGQDGRQRGERGRDGGRLGGVERGDGEDGGGGGEEGEGEEDDEVEELVGEEAEPLRGLGVGAVGVAAGALDAEEDGVGEEGVRQHGEGEREDEAGGEEREGARRRARDRGGDADQLRRAQRRQVVRRELHRRGAGLSRAAGATSAVLPVDLGEFGQVLGSGSRDWGACGGVGKESGAESTV >Et_9A_061352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10407731:10410520:1 gene:Et_9A_061352 transcript:Et_9A_061352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADWSWARRAWEKWVAKHVGPSGKPVTAALLLNYDPSGPSRLLPVIAEQEGTELKAIDMQPFLDFVKRGNLQMEFFPMGLNQYLVTSIHEHWFCARCVNSTKPGGEGVIVMQLGSYLLVSLYDGSVGSASQAMIISTCRVSTTGSLCSQAPSYWAERISGAP >Et_3A_023959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15132532:15137938:-1 gene:Et_3A_023959 transcript:Et_3A_023959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNLFCLPGEEDLDAADPNVQFDLPLEEEDDEARLYGLCGMYLRFCTSVLFSTAIIDQSVMQYFLRFQIFSPSVMVMEFQRFSRIHAPPLSGYLKGIELKGKERLELDNQSHSEVY >Et_6B_049347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3487457:3499868:1 gene:Et_6B_049347 transcript:Et_6B_049347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLKDDLDETPTKHVAEVNLFRCGKGLTGRPRISGLGNNIEEEEFMSWFSTRSVIPVGDYKLCWVSMHRGLIFSNVYDESPGLQHVPLPADARCAKHFYESRNVCVTAGDMLKFVNIFGRCCCGGEGGGKCKHSDHAYIIKTWTLRTDSMTWVLDGMMDATELWALDAYKSLPRVQVGFAVVSMDEPHVICFVVGDWFIMVDMRSKMLRSVYSYPKGQSEHRYPAKLLLPSKVSCYLNSQNSVSSRQTEVEPQPVSILDKQLKYDANNSKLLPSGRNTSAEPEMHASEILAALQEISSYGLACDGTRKAISILSQANGRRFRSYLGIPKKLRKDWLLVEINAAYPPWVMLEPGADVETTGSYSTADPKTLVVARTSTGHPIGVSLSLALPPAESRVCFHFPNDAEPHEDANKVIAAHRDSVLIVVVREEDYDYFVYSSGTTTGAGSPQPPSLSLLPDIDLYLDKGSTGLLRRGKDELVVAHLKMVRLKDETPTKHVAEVHIFRSGMWYMGRPQISCLGNNIEEEEKFLSRFRSRRVIPVDDDMLCWVDMTEGLIFSSVYDKNPGLRYVPLPADPRCSENFSSSRNVCVTGGYLVKFVNIFARCCCGGEGGGNCKHSDHAYIIKTWTLRVDTMTWVADGMMDATELWALDPYKSLPRVQVGYPVVSMDEPHVICFRVFHKKAWLIMVDMRSKMLRSIYSLPKREQGYYGKLLLPSKVSYYLNSYSGSGRQTDMERQPIAIPDKMLTYNASNSELSPSGCNTSAEPGAHASEILAALEEISSYVLDGDDMRKAISILNRDNGRRMDSMTWVLDGMMDATELCALDAYKNLPRVKVEYPGGEHGRGSLSSALWCAMWRHG >Et_7B_055462.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:11169993:11170742:1 gene:Et_7B_055462 transcript:Et_7B_055462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLACVSGGESKSKVACETAATLPVSGSDAGVCCGTASARASAEPVLPRVRDGVGLAVLFGAALRRDGSTKGSSNPKAAAEGAARAGAPRAGPRRLPAGKAATVVAVLPAGKVVAQERRAPRRGWGRRPAAGARVFASEAVGAAAEPVSPKVSCFGAVRSEKQEEECSSGCWASVTATVRRLCWNDSDAPEGESEASASSSAASAPEPPPDAVLSPPRPVVGLGDVKRLASRRWPETMAGEGSGLGLI >Et_2B_020690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22751536:22755417:-1 gene:Et_2B_020690 transcript:Et_2B_020690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAAATAALLKCRGDGAGGEPRLEPFGGEVSRSPSGETGVGPLQEPEDGAGATPAISRSRAEDEPLLRGLAAARARRKAGRATPSPSWKLEASPPRPETEEAAASEAGRRGEPAASARQLGATLWEIQDVIRVAGAGRRIRRSGRRASPGDEAGADADRVRTLLAASLFPRSNMMTLVFGVLLYNYKAIQTDIHKFLPIFQPQSSGGRGAHRAALLMDHDKLHEERCHSRQPLSPASYTSSVGASTIHLASPTRSLDFNAKSRQAGYDLKTSTELLKVLNRIWSLEEQHAADVSAMKGLKRELQHAQACIQELMQERQRYHHDIDSLARQVTEDKMARRNKEQEKMKASLRSLQEELEDEKHLRRHSETLHRKLGKELSEVKLAFVKAVKDLEKEKKVTCLLEDLCDEFAKGIRNYEEEVRILQQKRVTEYSHKFDKSVVHFSEAWLDERMQMLNTDVREDSAGRISITERLSSEIQSFLHHAKRLANSKNDNLRIGNEKRDASLCRQSLESVPLNGATSAPRLAEDDDGSSVASDLHCFELNMHGTAIRNHEPAGTRRRVTSCMHSPMRRLEYSNGASVEGSPMSNAPPCSKKERARSNIGRQQVIVSTPEIESCNDAAIAPIDEQNETVMTQVSRRLRDDLLKIKTEAPQHAYPWQKSNHLRTNQLCPYTVPRDLCDARSPSRQLNNQGKLTEYEISESPSHQMLGTKENTLKAKLLQARLEGQQARMSASAYPLISTRRK >Et_4A_035222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1318991:1327590:-1 gene:Et_4A_035222 transcript:Et_4A_035222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKASAGTNHSTPLGDITNGQNEDQGDDDRATKRKKEAERKRQYRARKKDEVENSVPKPTNGVATYAPTIINITGFGEDIAMDISNDAMLTSKTPFKNMKDPTPLSAISNPKFGLASSVKPALTKNQIYWRNIGASEILGASRATQPACSKQKPITSIEPGTHSACVLGDSVTVGVDDESWLHWNTDWESQPAVGMQNSDETIPVIAGGVEIDQLQRSRWRVNSQRYRKRKTEAPSEANTCTPEELKRSQWRRRTQQSRKRKRGDETNVAELERNIEFQSRYRLRNTTRTGIHPQDEENLETHKPIDDDIDDPFADDEGRVFVQPDPHEDFPSAMDIDNEAEADDRTGGQVLPSDVIWANTCVTHVHFY >Et_7A_053146.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:8277795:8279282:1 gene:Et_7A_053146 transcript:Et_7A_053146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLCLLLVLLISAQVQQLQCHALLLPLTNTLSSLRPTNDTAVHHLVRSSSLRSAARHRRHRTRHRRPGHRQLSLPLAPGSDYTLSLSVGPPSSASPVSLFLDTGSDLVWFPCAPFTCMLCEGKPTPSHAGPLPPPADSRRVPCASPLCSAAHSSSPPADLCAAAGCALDDIETGSCAPSRAPCPTLYYAYGDGSLVARLRRGRVALGGATSVAVLRNFTFACAHAALGEPVGVAGFGRGPLSLPAQLSPSLSGRFSYCLVSHSFRADRLVRPSPLILGSSPDAASAGLGAETGFVYTPLLHNPKHPYFYSVALEAVSVGGTRIRARRELGRVDRAGNGGMVVDSGTTFTMLPDETYAAVAEAFARAMAAARFERADRAEAQTGLAPCYYYHYAASDRAAVPPLALHFRGNATVALPRRNYFMGFKSEDGADVGCLMLMNGGDASGDGDGGGGPAGTLGNFQQQGFEVVYDVEEGRVGFARRRCTDLWDTLSRR >Et_1B_010889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15046718:15048900:-1 gene:Et_1B_010889 transcript:Et_1B_010889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAKYKLPAKFHHQQAALYAGFPDTVPLKTINRQCSSGLQAVADVAAAIKAGLYDIGIAAVLESMTVNRVALEGQVNPKVELFSQARDCLLPMGFTSENVAHRFGITRLEQDQAAVESHRKAAAAAAAGKFKEEIVPVHTKIVDPKTGEEKEIVVSADDGIRADTSLAVLSKLKPAFSKDGSTTAGNASQVSDGAGAVLLMRRDVAMQKGLPVLGVFRSFAAVGVDPAVMGIGPAVAIPAAVKAAGLQIDDIDLFEINEAFASQYVYCCKKLELDPAKVYVNGGAIALGHPLGATGARCVSTLLNEMKRRGKDSRFGVILCSGMGAAAVFERGDAVDELTNARGIPSHNYLSKDVM >Et_4B_038920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:651623:655848:-1 gene:Et_4B_038920 transcript:Et_4B_038920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYVRRSLATAVSRQFSRRLHPSASHLLPPDHDRSEKPSSSAVPPQTQPAQFRSALPLPSRSQALSLPLPFALHLAAHRSFSTTSSSSIPDIDAAADVLTDAASSGSVPELLSDEVVAAASSVQVPPAPYAGEVAAAAAESFPPVAALQHLMDAVHSFTGLNWWACIALTTVLIRLATVPMLLNQMKSMVKLNALRPEIEAIKEEIRNSTDPNSIEVGKQKIGALFLRHGVTPFTPLKGLFIQGPIFMSFFFAISNMVEKVPSLKGGGAYWFTDLTTPDDLLILPVLTSLTFLATVELNMQDGMEGNPMLKTMKNISRAFGVLFVPLAMSFPKAIFFYWVTSNLFSLGYGVVIRKPAVRNYFDLPPLESLQPTPAQMQSFNPFSEPKSVPEVDSPKESERSSSALSQRIRELEDKAKSRGESQE >Et_1B_013384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:817135:818166:1 gene:Et_1B_013384 transcript:Et_1B_013384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGYKVTLNVYDLSNGLARQLSTSFLGKPIEAIWHTGVVVYGNEYYFGGGIQSSPVGTTPYGRPMRTVELGVTHIPREVFEDYLRDIAPRYTAETYRLLTHNCNNFSNEVAQFLVGAGIPEYILNLPNEVMSSPMGPLIMPMIQNLESTLRSNTAPQATQFVPTAASVSVPPQPQKTAAPGPAPAAGSDTKREAPPAPAAEEKKAAKETTAPAADPLGSARGKVQEEVMREFAAIMASGTLRASEAAALAMRRVMERHGDGATMQQS >Et_9B_064645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17351594:17366445:1 gene:Et_9B_064645 transcript:Et_9B_064645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EEINQTTDASLANDSPVLLFLENLPTVSALRSLMSQWKNQPSSWSGDDPCGARWDGVMCNNGRVTSLRLSSINLQGTLSNSIGQLSQLVYLDLSFNIGLGGLLPASIGSLAQLTTLFAGVIPQDLGNLQQLSFLPFDMTAGSVACSLRSLNSNKFTGKIPPSLGLLSNLYWLDVADNQLSGPIPISTATTPGLDLLTHTKHFHFNKNQISGTLAGLFNSNMTLIHILFDGNQLNGSIPSEIGAITTLTVLNLADNKFTGSLPDLSSMAKLNVVYLSNNSFAASVVPNWLTTLTSLTSMSISSAQLSGEIPKSLFTLPQLQQIQCTEQQRTYGTLEITGSISKQLKAVNLLNNRILSANITPTYNSTLVLVGNPVCLDPDFSGRSFCSIQQENTISYTTSLTKCASTAACPNDQSLNPANCGCAYPYTGNMVFRAPFFTDLMNRGNFQQLEMSLTKQLALRDGSVYLSDIHFNSDNYLQIRVKLFPSSEVSFNVSELIRIGFDLSNHTYQQPANFGPYYFIADTYIPLAAAAGGKKSQIGSGAIAGIAVTVGLLVISLIATVIYVLWPKKKKPTRATVPFGSWVVSQKDNGEAPQLKGARFFSFEEVKSCTSNFSDSHEIGAGGYGKVYKGTLEDGTVVAIKRAEPGSLQGVAEFKNEIELLSRVHHRNLVSLIGFCYEQEEQMLVYEYVSNGTLRENLQARASMNLDWKKRLRIALGSARGLAYLHELADPPIIHRDIKSTNILLDDDLKAKVADFGLSKLVADTEKGHVSTQVKGTLGCLDPEYYMTQQLSEKSDVFSFGVVMLELVSGRLPIEAGKYIVREFRLAIDPSDRDHYGLRGLLDPAIIDAACTAGFRQFVQLTMRCVDESAAARPTMGEVVKEIEAMLQNESAAARLTMSVL >Et_2B_022338.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18477543:18478250:-1 gene:Et_2B_022338 transcript:Et_2B_022338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLLNSCPEAQLELMKTMLQLEQLTALDQSLMTPMSSPPISPVQTPPAHQQSHCFSPPPPHMSPTTISTGYDHQDGQYAAPAAYPFITGSEHQHDYALSPGAAVDAALLLGSSSPSSSADAMREVIFHIAALQPVDPVDPEAVRPPKRRNVRISKDPQSVAARLRRERISERIRTLQRLVPGGTKMDTASMLDEAIHYVKFLKSQVQSLERAAAYPALHAPWQYAAALPHGGM >Et_5A_042553.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:2078722:2080047:-1 gene:Et_5A_042553 transcript:Et_5A_042553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLLMAGDATAADAASCPATPPDTGATLQVSHAFGPCSPLGTESASPSWAGFLADQASRDASRLLYLDSLAVRGRAYAPIASGRGLLQTPTYVVRARLGTPPQPLLLAVDTSNDAAWIPCSGCAGCPTSTPFNPAASASFRAVPCGSPLCAQAPNPACPTTQAPSPLYSKACGFSLTYADSSLQAALSQDSLAVANDVVKSYTFGCLQRATGTAAPPQGLLGLGRGPLSFLSQSKDMYESTFSYCLPSFKSLNFSGTLRLGRKGQPQRIKTTPLLANPHRSSLYYVNMTGIRVGKTVVRIPPSALAFDPATGAGTVLDSGTMFTRLVAPAYAAVRDEIRHRVRAPVSSLGGFDTCFNATGVKWPAVTLLFDGMQVTLPEENVVIRSTYGTTSCLAMAAAPDGVNTVLNVIASMQQQNHRVLFDVPNGRVGFARERCTAA >Et_2B_018894.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:14242339:14242906:1 gene:Et_2B_018894 transcript:Et_2B_018894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRRNKKVVSSVVKTKVVQETVEITTAVVDDQQPAAPAVQELPSVVDVSGGSTVVHIEVTTPDGDTGRADVNVKQPKKRGRAGSRAARGDDDQAKTPPAPATEEETTPVSLQSQETQDPNEHEEEEDDDAGKKKKQQWHQEATNEEQPVTPRVASERKKTAAKEDDEQ >Et_1A_006068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17366488:17367057:-1 gene:Et_1A_006068 transcript:Et_1A_006068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAPCFLETEIPGALLSAMVVDRFGRRLSMASMLFSSCVFLFPLVFSRTEMLTRISLFGARLCISASFTIIYIYAPEIYPTAVRSTGIGIASSVGRIGGILCPLVAVALVHSCHQTTAILLFELVVFLSGLAVSFFPFETKGCRLHDTEVDMS >Et_10A_002151.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:2522847:2524952:1 gene:Et_10A_002151 transcript:Et_10A_002151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGTSSRETAKSDGVAGRPAAVASLFLLLVVVVITSLCRTAVGQSDNNVLPFAPSCSTTDNYTDGSLYQRNLAELLDRMPTAAGGNGWFYNGTAGAPGADQVFGLIMCYVDRNATECADCLAGAPAGITKICPGSRNVSAGYGACVLRYSDKPFFSKANPNWAFYISWPGAADIDESSLDAARSGLMNQLAKTAADSPLLVANGRAPYGGVPDAMQGMAQCTRDLTAGECTWCLTKNIDTLQEKFPNNTGGAIKGYSCYVRYIIGSFDITLPQRVLPPPLPPSSTPPSPSASIGLVIGLSVAGSATLLVVLGLSVLRWRRRRRARQTTPEREMEEGDLFDGEPEMEDEFEKGTGPKRFRYGELAAATDNFTDERKLGEGGFGSVYRGFLKESSLPVAIKRVSKSSKQGRKEFTAEVRIISRVRHRNLVQLIGWYYGGDELLLVYELMPNGSLDAHLHGVGALPLPWPRRHEIVLGVASAILYLHEEWEQCVVHRDIKPSNVMLDAAFNAKLGDFGLARLVDHGRVSHTTVLAGTMGYMDPECMVTGRANAESDVYSFGVVLLEIACGRQPMVAKGGDVVVHLVQWVWESYGRGSILDAADARLNGEFDASEMETVMVVGLWCAHPDRSMRPSIRQAVNVLRSEAPLPSLPPRMPVATFTPPPPEDFYYYTSSVATGGTSSSTGTGTTQSSTTETSTLLR >Et_3B_030814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7656083:7659438:-1 gene:Et_3B_030814 transcript:Et_3B_030814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVETERSSTESSAASGLDFEDTALTLTLRLPGSLSASSSSSSSSSSPDTDRKRASSDADHSQLAAAVATSDAPPAPKARVVGWPPVRSYRKNALADVAGSSKASKQAAKFVKVAVDGAPYLRKVDLEAYAGYDQLLRALQDKFFSHFTIRKFGDDERKLVDAVNGTEYVPTYEDKDGDWMLVGDVPWKMFVETCQRLRLMKSSEAINLDGEIAIKWLFLPPNAIQDEQFGVPSRLPARVLTHFGS >Et_6B_048805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1417208:1419633:1 gene:Et_6B_048805 transcript:Et_6B_048805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREGIAVAGSHESGHGLFGADIPMTEAQEAVKESHSSHSSPSTSPTPSPPLAAPVHGEEATATPLAWSSGAQKPSEAAGDNGMQSVGQGEHANLSSGRRRGRPRGSGRRQILASLGEMYALSAGGSFTPHVFIVGTGEDVAARIMSFSQKGPRSVCILSANGTISNVTLRQPDSSGNFTYEGRFEILQLMGSFTMAEEGRRRSGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVIVGSFLPNSLKQHQRRMSLQQQPSATPALPASMAPPASMAPPPVLTAAMPISQAAPGNGYHAPPPSAAPQQAHATATGMNLNTTTAGFTMVGWSPSSQSMAHRTSPDINVSLTPME >Et_8A_056546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1264107:1265814:-1 gene:Et_8A_056546 transcript:Et_8A_056546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGKGTEAAPAAGEEENMAAWLVAKNTLKIMPFKLPPLGPHDVRVRMKAVGICGSDVHYLREMRIAHFVVKEPMVIGHECAGVIEEVGTAVTHLSAGDRVALEPGISCWRCRHCKGGRYNLCDDMKFFATPPVHGSLAHQVVHPADLCFKLPDNVSLEEGAMCEPLSVGVHACRRAGVGPETAVLVLGAGPIGLVALLAAKAFGAPRVAVVDVDEHRLGVAAALGADAAVRVSTAPEDVGDEVARIQAAIGGAEIDVSLDCAGFSKTVATALEATRPGGRVCLVGLGHNEMTVPLTSAAIREVDVVGIFRYKDTWPLCIEFLRSGKVDVKPLITHRFGFSQREVEEAFEVSARGRDAIKVMFNL >Et_3A_024716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23498850:23500186:-1 gene:Et_3A_024716 transcript:Et_3A_024716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLSLVAALATVAMLASLLLAGPAAADFSALLPCDVMQLSPCASAFGGKGAPTPSCCAKLKSHGSNCLCRYKDDANLKRLVDTRHKRQVFTACKRAMKLYAVCFLLAIVVASPCTMVCMASRTSPPKCDPLALRPCAPVVWGEAPSAACCAKLREQKPCLCKYRKNPNLSRYINSPDGMKVAVACHLRALRC >Et_7A_051364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17444849:17445243:-1 gene:Et_7A_051364 transcript:Et_7A_051364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENVHVVKGIVGYLDPEYLLKFELTDKSDVYSVGTDAVKKGNLDEIMESAIIDDQYNKNIVVGTGRCLVMVAEYGPTMREVAEKLRLLECVVQQRPGVL >Et_1B_012260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30232599:30237133:1 gene:Et_1B_012260 transcript:Et_1B_012260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFTEKGKKAGPSTPKGRKREFPIRASDYELLEPIGDGATAVVRRARCLPLGGEVVAIKIMNMSQRTESDVINASEEVKTMIMTDHPNLLSAYCSFTEGETLWIVMPYMAGGSCYHLMKSSYPKGFKDENFIAFVLREALKGLEYLHENGHIHRDVKAGNILLDQDQGVKLSDFGVTASLYDSIINRHGKRKTLVGTPCWMAPEVMEQKDYDFKADIWSFGITAVELAIGHAPFSSQPPAKVFLMTLTHAPPSLNNTKEKKFTESFKSMIAACLIKDPTKRPSATNLLKHPFFRKAKSEHNAVKRMINKLPSLGERMQSIKEKETKLQAERKPHDDLKEKASQEYRRGVSEWNFDIEDLRAQAAAYPDDNEAEDFLHFLFEIDTVDESTSLQDIRAQHCSKDDEKMNICDESSGQSDSTSPLSLSQSVNQVDKGSPNGLKCNEPFEVHSIEPANKLTRAVSTCKDVDEYLEKTAFQKGRFKVIHDYNKLEGATQREKELLEHINSLEKMLLATQDEVERLKAKGKYTLLVECIFIKSKEDRVVPMTTTQVELEIISSSISNSRAT >Et_1A_008927.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:19714779:19715675:-1 gene:Et_1A_008927 transcript:Et_1A_008927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRQWHRLHPAEAATTSKSLASPLPLGAVEYRALIHALAAPAQHQAAAAPVMPFMADSTEKSPPGMVLGAASYLITPARSAAAAAYCYRVGPAPRAMKRYGGGCSYGHLVPAASAAKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTYDTAEEAALAYDGAAFRLRGDAARLNFPELRRGGRHHAPPLHPAVDAKLHAATTAAPPFAAAAAAAASSSPTPPPPENADDVNNSEVSTTTTAAADGGAEVSSTGSSSSELTAAPLPEMQQQPDFSADDDGGGALRKYPSLEIDWDAILS >Et_3B_027534.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25854195:25854476:-1 gene:Et_3B_027534 transcript:Et_3B_027534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLGLEGLMQELCKGFQLLMDPRTGKITFQSLKRNAARLGLGDLGDDELSEMMTEGDLDGDGVLDQMEFCVLMVRLSPELMEEESYRIFQY >Et_7A_053149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8260614:8261039:-1 gene:Et_7A_053149 transcript:Et_7A_053149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVTPMMDGAILGAQAPLLPETDQRRAPNGGRQERSSSSTVLPAVVSAALLLVLAAVMHADGQGAVMPAGDVVAAGDGRMVVEAAASRGVVEGVSEKSTAPLLGGALEGYAWTNAMLSWQRTAFHFQPPKNWMNG >Et_2A_015223.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:987017:987079:1 gene:Et_2A_015223 transcript:Et_2A_015223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPATAASQIRTYVCVTAR >Et_2A_015599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15866347:15868709:-1 gene:Et_2A_015599 transcript:Et_2A_015599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGAKKGDRRIDAALDHFAAMGYHTRDVRAIVQRLLKVYGGADAWPLLEEGSYQVVQDQLFEKQEEEEKQLLLENHPQEDQQQVEVREEPPKHQGPAVDESPPENNMAMLELHNEVSAEPESPIEGVEDSVFAELPTPEVVVPPPVAVGTGGTTRPCYGWISESEDEEEITGEQPEVHVLSLEGGSPCKRKRSSRWSAHPLNVM >Et_4B_040055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8479456:8479790:-1 gene:Et_4B_040055 transcript:Et_4B_040055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATFVEVLLAIFLPPVGVFLRYGCVVVLCTVMERTVEANMHTVEFWIDLLLTVLGYIPGIIYALYVLVG >Et_1B_014400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7805971:7809304:1 gene:Et_1B_014400 transcript:Et_1B_014400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSHLDEVKRMVAQARQPVVKIEGSTLRVGQVAAVAAAKDASGVAVELDEEARPRVKASSEWILDCIAHGGDIYGVTTGFGGTSHRRTKDGPALQVELLRHLNAGIFGTGSDGHTLPAETVRAAMLVRINTLLQGYSGIRFEILEAITKLLNTGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNAQATTVDGRKVDAAEAFKIAGIEGGFFKLNPKEGLAIVNGTSVGSALAATVCYDANVLAVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILEGSSFMKQAKKVNELDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNARLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLANPITNHVQSAEQHNQDVNSLGLVSARKTAEAIDILKLMSSTYIVALCQAVDLRHLEENIKESVKNTVTQVAKKVLTMNPTGDLSVARFSEKNLLTAIDREGVFTYAEEACSASLPLMQKLRAVLVDHALANGEEATASMFSKITKFEEELRAVLPQEVEAARVAVAEGTAPVPNRIKDSRSYPLYRFVREELGCVFLTGEKLKSPGEECNKVFLGISQGKLVDPMLECLKEWDGKPLPIN >Et_7A_052680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12003510:12009562:1 gene:Et_7A_052680 transcript:Et_7A_052680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLPQQPPPPQQQQRMMLPPPQGFFGAGMPPQAIAGFGAVAASRAEAEAAARARAAQQMALEDAWKALNPDFRTPFTSVEDAVSRLLPYHVFADEDDYVVDGDATNCDDGAAATEKSSAEEFEDEMKDKTEFYIAEFEKQVLTFNILTRQRAEGFSRGEESVLLELAMLEDERRETERVRAALAQQQQQQREQREKQEAARARLALAHAQAAAGAFFYTFITSDSTWMYPFLFPKSDMHQTMKKAKIRKQGAFSAPLNALVPIFFSHRGTDN >Et_8A_056319.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:22513172:22513390:1 gene:Et_8A_056319 transcript:Et_8A_056319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDESKIHIVFCIPATFGDQGWKECYCFGNAYHKQSCHQKLEECRAKCAVCNPNCSRVPPPQLYARMNATLY >Et_7A_052121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4886992:4898695:1 gene:Et_7A_052121 transcript:Et_7A_052121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSGGWSARRRSGGRSGSWGSVGDYFDIPAKGAPVERLKKWRQAALVLNASRRFRYTLDLKKEEQKEEVRRKIRAQAHVISAAFRFKEAGKVHVPPKEAPVPPADGALGFGIKEEQITALNRDHNYSALQQYGGISGIASMLKTDAEKGISGDDSDLAARRNAFGSNTYPRKKGRSFLAFVWDACKDLTLIILMVAAAVSLALGITTEGIKEGWYDGASIAFAVLLVVFVTAISDYKQSLQFQNLNEEKQNIRLEVVRGGRRIMVSIYDLVVGDVVPLKIGDQVPADGILISGHSLSIDESSMTGESKIVHKDQKSPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDSGEETPLQVRLNGVATFIGIVGLSVAVAVLVVLLARYFTGHTYNPDGSVQYVKGKMGVGATIRGVVRIFTVAVTIVVVAVPEGLPLAVTLTLAFSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYFGGKKMDSPDNPQILSADVTSLIVEGIAQNTSGSIFEPEGGQEPEVTGSPTEKAILSWGLKIGMRFNETRTKSSILHVFPFNSEKKRGGVAVHLGGSEVHIHWKGAAEIILDSCTSWLDADGSKHSMTPEKVAEFKKFIEDMAASSLRCVAFAYRPHEMAEVPNEDQRDEWKLPEDNLIMLGIVGIKDPCRPGVRESVGLCTAAGIKVRMVTGDNIQTARAIALECGILSDPNVSEPVIIEGKTFRALSDLEREEVAGKISVMGRSSPNDKLLLVKALRSRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFATVVRVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMQRPPVGRREPLITNIMWRNLIIMALFQVSVLLTLNFKGVSLLQLKNDDRAHADKVKNTFIFNTFVLCQVFNEFNARKPDELNIFKGILGNHLFIGIIAITVILQALIVEFLGKFASTVRLSWQLWLVSIGLAFFSWPLAFVGKLIPVPDRPFGDFFSCCRGGKQGIRHCSSNLLCLRT >Et_7B_053595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10100078:10105032:1 gene:Et_7B_053595 transcript:Et_7B_053595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSEQSSGPSCSSKSAGPGVRANPAKSTAEAPVCQDPRDLVQPCPKFSIRDYVFASRSKGVKRSWPFHPNSLQLCLKLGVKDPLPPFEPPDLIRTPPFNTCTNVEQSAVHSEAISCLGLVKTRDAGSSNVDTSDINFQSSLPVDESSLGPSPCTSPEDGKSGTDQVGNTNESDTTDGLIPVDLHDNSCKKASRRTEFAGPSWRLKNLDSSCETSQKKCKFVVKLGTQTDLRRKEDIASNSSSVSDPMSSKTCPVCKIFASTSNTTLNAHIDQCLSVETNTELVETVSLKPKVKSKKNSTLNAHIDRSLSVESNTELVETVLVKPKVKPKKKRLMVDIYKTALPYTLEDLDRRNGTNWAIELAMPTVNKVLCTENRSPEVVQFDPRDAEREGDVYVDSNGIKIRILSKSSDAPLALSDEVGTKKVPKHETGKGMLMSKKIFKSKFLKNKKLKMHKRKNNKTNHLNSQVQAYPHVDIDEDNPEGQMHTQDPTESTSNHGSGTMRQWVCSKRSDIGKNVCRKLRDKRSEKMASGAQKLASSSMLAFDSSQVAASPSVEISSDPPEEMATTSEANAVEKCNSSSRLLRLSSQNPLQSTVMLKVPRSAAAVAKRNIREIVTREANKLDNYDIVRNSNSVKRSGAGRRSFSIAGPSDGPNRLASTSKNFRKQRSILRTGRRAFSPSNSKLVNSFDQDNEPDTRHANKKFKVTGNSTLNNFVKYTEGDTADNEFSFGSDMPGSWRQDQQYDAAQQTEHIQMDYEGEEPESDMPYASGSRHDPADSCSEISYGSQSPENNETDHDDLVEGHGVAVEDLGSSEQLAHCAHESNSAVNNEMDEWRVDPASTKESSACVTNNRDMGPGAPQDNSSITSNREDSNQEHGFPFGRDTLDSPISTASAMSPTALKDSVSKESEPGSSTVNNIEERTTGSLNQETKSMPMARGGELPNEKPCCCSCRGNISRESRLHHQSEIARPMLNFTGKKVPQLRIGVTASSSFNTYQRTSTKPNPFLGSHEQPLASKVSAESAMNLPSYTTDCMGPSLQTQLPSPSNPILRLMGKNLMVMNNEESVHPPAPSSDYILRGNYVAPVGFVPPNYQHPNDSVFINMAPATGSYQIPLPSVQAGNLVRPPLHSSSMMHSDHHSQQQSYRDLVPVMRHPTYMMKEVIVIDDSPERRSEPQVSMPHPPAPSQATISVPNTVPPRPFYCLPSPPILPRERAAGSLPVFANVGSMVDVSSSSQGRQTEVANPYMPNPFFVQSQTGYINPAMYYS >Et_7A_052776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15862973:15864991:-1 gene:Et_7A_052776 transcript:Et_7A_052776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTGCFKCGRPGHWSRDCPSAPASASADPADGNPKPSASRFAPYPNARPRPGAAAAAAPAAEGDGSGTAQKTKKKERATRPKLTPDLLLSDNGIGFVLRYFPKAFKPRGRPGSEVDDLGDLIKLYTDWHSRLIPYYSFEQFVRKVEKVGASNRVRRCISELKERVARGGDPTKLHEPPVEEVMPTDGTDPEDPMLGTEVPLSDNHVMDSVPEDIHPPVENYDMDPMQEDLLNEIYEKAADEPQNTAGDGTTKEAPRPSALKEVSSCEVLQSDEAQKLQEGGGNASTTRAKIELTEEQRARMEANRLKALERAAARARASQAT >Et_1B_011298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:270757:272902:-1 gene:Et_1B_011298 transcript:Et_1B_011298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRANIPTNSSALIAIIADEKLHAPSEYSQVLSVVNLVNFPILCLQDTVTGFLLAGVGNVDLRKKTNYLIVDNKTTVKQIEDAFKEFTAREDIAIVLISQYVANMIRFLVDGYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASDRR >Et_5B_044002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18878754:18884683:1 gene:Et_5B_044002 transcript:Et_5B_044002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQLKRIENKINRQVTFSKRRNGLLKKAHEISILCDAEVAVIVFSPKGKLYEYATDNHMDKILERYERYSYAEKALISAESESEGNWCHEYRILKAKIETIQKCHKHLMGEDLESLNLKELQQLEQQLESSLKHIRSRKSHLMVESISELQKKERSLQEENKALQKELVERQKMARQQQQQQAQWDQQTHTQAQAQTSSSSSSFLMRQDQQAPPPPSNICYPPVTMGERGEEVAAAAASQAPGQTQAQHRIGSLPPWMLSHLNA >Et_3B_029448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25025067:25028955:-1 gene:Et_3B_029448 transcript:Et_3B_029448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAKEQPGPTASCPVPVRCIVKLGGAAITNKGELESIDEGSLRSACEQLRKAMSDGDGAPGKVLGMDWSRRHGDPTDPAVDAEGFAGISGLELDTNFIVVHGAGSFGHFQASRSGVHKGGLHSTLVTSLNQEIVRALAREGIPSVGMSPFACGWSTQRRNLASADASQIIQSLHAGFVPVLHGDAVLDELLTDVLGVYDRPPTDPNAVLLREIEVDDNGSWSIVKPASLQGNKNGVEISVAAHDTTGGMETKILEAAVIARLGIDVYITKVGTEHSLRALKGDVNTGSEDWLGTVIRSSK >Et_8B_058870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10250032:10255902:-1 gene:Et_8B_058870 transcript:Et_8B_058870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PQYLTALHSLTLQTAIPPPAPLQAFPSGATRSSERPLMKKAKVLPSSWEELPPDLLGLVLRRLASLADRVRLRAVCRPWRAGAQPQRDALPPPLPWLALRDGGMVDLDGASVRCPPILREGVDFGFLAFENLAFIVHQDGSCSLKNPLSGLMLPLPKLAPAVLRALDKSRYYNASYIQKTHMKAIMSSPLNSTSDPLIAIMIMEGTGVAVSACEQHDAITITVSLEPRTRIYDIAFSHGKLYALSDHEGLHVAELVAGQVGMTELSSGFNQCIADDPKQQQIYHYGTRAGQCGGRLLMMRRWMSFPQNARLGDHDRTVKFEVFEADLASIPGRWTEVNTLYGHAIFLGSECSKAILASQCAGGVQEDCIYFMHRVFDNPSKEYFGRCVDPLADSGVYNIRDGKFMPLLPEHLMKMAKVLPSSWEELPPDILALVLQSLSSLADRVHARAVCRPWRAGALPQRESPPPPLPWLALRDGGLVDLQGAPVLCAPILRKGVDFGYLAFDNLAFLVDRDGTCSLMNPISGLRLPLPMMAPVVRRAIDGLRAYDRSYIQKGYVKAIISSPLDSTPDPHVATLILDGHSVAISACRKNDAVSIGMPDPEWPDSTLKIDDIAFLHGKLYALTPQEGLYIIKFDAGRLNELKSSSCFHQCISEDPDQQEIYSGSPQQQTFHFEPYAEYLVMRYLAESNGRLLLIRRWMSIPRNARLGDHDRTLRFEVFVADLATVPGRWMKVDRLDGHALFLGSECSKSIIASQCAGGIEEDCIYFMHRAFDNPCSENFGQCVDPLGDSGVYNMRNGGIKPMVSDAVMVELQRKRHPYGSECSKSVLASQCAGGVQEDCIYFMHRVFDNPAKECFGPCVDPLADSGVYNLRDGKFMPLLPEGVMTKLQGKRQFLTWFFPTDA >Et_5B_045235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13039603:13047546:1 gene:Et_5B_045235 transcript:Et_5B_045235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRKRKGMESQEDSGPGDNRRRWKSVVPGDIMKRSKRGNERDSVAVPGDIRKRSKWGNERDSAAVPGDIRKRSKRGNERDSAAIESRRPPKRTRPSSSRAPALAADDSEDTVSADIHICSQEDEVPLAKVCIRCNPKKVIDTIQMLTSEQHHQICDFGSQDFMKLTTDGLGSREVLVWLMDCTDPVDMCIILEGGRKLYFKPRDVHLVLGLPLGGSLAPLRSEDEINAQMVVLRAHFNIPDKSVKNALKEWHNRDRRTQNPAIQGCGLFLIIFYLDNLHHPITQASPHDFPRTKYYTTNMMQTIIKAARFIDVSGNVQFTKLPLKARGNTIYGVGSTDSEDEDSPAAHEGLGSQPPRSQTKLAHPGTRGIGQENEGQNVKPPSPAAKEHENDDQIVKSPSPTAEELENDDQTTKAAAEEHENEDETPKGNYPADVTEKPHIENEKDVDLTDADSSKVTEAKDVEAPEMGTGFDNFPVGNEPDIHRDMSDQVWDIEAPGAELTYLSPSICFNWDSEGPGAGSTFPNLIPNINEPLENFGLMNEDVCEPNAVATSIAGFSTAPGTETSFDGGQCTDYEFIDAFVQCVNADDKRPSPALHEERLIINPAALVSANSEEPPQLGPNRDFVPENLVRVLKETLPDKVNWKKLKVILMPVFHHEHYSLYAVNYGQHRIDVMDSINYEDRGSKYEQHHDPIGQKLMLRMQEALDKVRFPCPTIVKPNDCSFLTMRFIEYYTADDDCLENVVNPFKSLELRADYLHYLLFHGENGAVLPDEFKEYIVPGIFGPKALNLLVRSLPSVNIYLVRRSSLRRRPIDLAAALRPMTALDTGSLGWMVGPISV >Et_3A_025663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31708991:31712557:-1 gene:Et_3A_025663 transcript:Et_3A_025663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVNNWLAFSLSPQELPSSQTESTLISAAATDDVSGDVCFNIPQDWRGSELSALVAEPKLEDFLGGISFTEHHQKASSCHMIPSSSSTCYTSSGVSTGYHQQLYHQPSSAFQFADSVMVASSAGVHDVSGGMLSSATAANGGASGAGGNGGSIGLSMIKNWLRSQPAPPPPQPRVEAGDGAQAAAQALSLSMNMAGTHGAGVPHLAGERGRAPESLSTSVQGGTTAMAARKEDNSGSGGAGALVAVSTDTGGSGASGEAPARKTVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEDKAARAYDLAALKYWGPTTTTNFPVNNYEKELEEMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILDSSALPIGSAAKRLKEAEAASAAAAAAGVVGYDVARIASQLGDGAAAALAYGAHYHAAASAWPTIAFQQPAAHYHPYAQPLRGWGCKQEQDHAVIAGAHSLQELHHLNLGAGAHDFFSPAAMHAGLGSIDNASLEHSTGSNSVVYNGVGDSNGSYMMPMNTSTATTTTAMVSAPQGDHDDAKQAQMAYESYLVNAEAYGGSGVRMSSWTPASAQPVAAAASSNDMAGGVGHGGAQIFSVWNDT >Et_9B_064565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16585600:16586422:1 gene:Et_9B_064565 transcript:Et_9B_064565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLALKDEHAYRYITFRIDEKQKKIIVDELGEPTMSHEDFTTSLPADECRYAVYDFDFVTEENYQKSKILFIAWSPDTSKPRSKMMYASSKDRFKRELVGIQVELEATDPTEMSLEVFRNHVG >Et_1A_009531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7380319:7381090:1 gene:Et_1A_009531 transcript:Et_1A_009531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METREGILELLLVSAKGLKHAHHHPRRSKMHYVTIQCGDRTVISKITQGRGKKIWWNEKFIFPLSAAECKELEKVTVTVMERDKFSQDSAIGETRVYVGEIIAEGSERDFLQMKPTPYNIVLEDGTYKGALKLGIKFISNVSAHDIANR >Et_1B_010891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15067040:15068315:-1 gene:Et_1B_010891 transcript:Et_1B_010891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSGDVADRLLLLGGILVQQVELLLRLQHGLHAFSFLVLAVQLVVAILTTDGDVLPPDADVPEHLVQSFFPLIHAINYDGVELPLLAVQVTELDDGVFLGFVYNHSWAARGRSHPASTPLLQRWSPDGGRGAAVAPVALPCADLAGLAAARPTTPPPALRERMLHFSAESLDALKERARRELLATGDAADAAAVTRFQALSALLWRCVPRARRPAAPEQEQEEAVFRASANNRGRLRPPLPAEYFGNCISAVSTAAVPASWRWRAATDGRRRPWGARWRRTRPDAAIRARLAAAPPSVVAFRLSGANAMFVSSSPRFDMYGCDFGWGKPLAARSGKANKYDGKVSLFPGREGGGSIDAEVELTPEHMAALERDAEFWAAVSPDAPRP >Et_5A_041396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22068474:22097499:1 gene:Et_5A_041396 transcript:Et_5A_041396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVINEGHAFGASSCHVLRLCNGIRKLSLVLLTIRNSEVLIVNILLFFFFLMPLNNAILVLACFLLQAQSTCPAGCICDQPTDWKIEKLSLNSLQEVKITGLKGAEHEVAFLERLFNWAIELKKLRITFDYSVSKCKAKELCQRLSSFSRPEMLMEFSGGGGEVAAKRPDLSLSGADAGEDLLSALPDDILVLILHRLDTIAEAARTSVFSRRWRRTWALLPELIFRSAPDNRHVREVLAATEASALREILVFTKDDALDSAAAWLPLAARRLSGTLLYHNDNTMAEYSHTYEEEDEMEGAIPLPCFGNATAIDLNLGFMHLCCPSSGTFTRLTELCLERVRFQGTCELGDVVSSPRCPGLRKLLIRHAWGLARLTVRSESLLEMDLLRVNGLQQLNVDAPLLKLFLLRYCLTRKQPQPIANISAPQLITLIWMDMYDPSYVHLGDLGQLQQLSPNVIVVYGNHLSRHNREVLRFLQHFRVIHHLTTVLGYPQVAVARSPAGAPSRPTTAPAPARTGSARSQTTPWSSSCSASTPPPPPVGPASSPAAGAACGPSSRSCASASPRRPHLIAAALAAHEAPLRHLFVGTEHAGLESMADCLRAAARRLSGQLIVENQRNASEVDEEDPAFEIPCFDRATTVSLNLGFLGIVMPSAGVFARLAELTLICVWFHGPPELIGDAVSSPRCPSLQKLGIKYTFGLANLAIHSESLLQVKLQKMHGLQQLTVDAPVLQELELIQCFDWNQPVASISAPQLVSLFWKDSYYPSSVLFGNMDQPQSLTLTASNFYVYGPHDFLLNHSCLRLLEQFQAIHKLHLGLIYPKGTCKKAILLTKLTYPMSIGNFPYLMEDLRKFPTLEALAVMLRNEGHAFGASVFHVLRMCTSLTKFALVQGPENDLQAQATCQSSCVCDEPTNWKTEELTLNHLHAVLIIDMKGTDHEVAFLKRLFSWATALKSVNILYTSITASKAKEVHEKVLSLANPETCVTLTVNKSKVKELYVKGYQSSPGQNCMCNFICITTGVGEKKKKVKNPLLPRHGRGRWRDCGKDRLSALPDDTLVLILLRLDTAAAAGRTTVLSRRWRRVWTLLPELRFSFSPAPHLITAALAAHEAPLRHLFVRTEHTSPERMADCLRTAARRLSGQLIVENQRNASEVGEEDPSFELPCFERATTVSLDLGFLRIAMPAAGVFARLTELTLISVWFHGPTELGDGISSPRCPSLQKLSLQYTIGPFNLTIHSESLLQLELKNMFGLRQLIIHAMLLKQLELVYCFGWTQPVANISAPLLESLHWRDMYDPSFVQLGEMAQLQWLTTNVFHFHAIDTLHISLLYPKVSSHYLFYVGLTTIFFALISYLKLGYLPFFPDLDIHDLYFELLTCTINPQHIGNFQYLMEEVMVFPRLEGLAIYLRNEDHAFGASVFNVLRMCTDLVRFALVLDPDIDLEAEELILNRLYEVLIIDMKGTEHELDFVKRLFSWATRLKRVKIHYTSITASKAKEVHEKASIGALSATPAPATFLRAASKLTRCACPSGCICDQSMNWKTEELALNCLYEVLIIDMKGCDHELAFVKRLFSWATTLKGVKFTSITASKVKEVHEKVLSLAMPETRVTLEVNGGEIAGSAKPSYAGAGNDRLSALPDDILVLILLRLDTAAAAGRTSVLSRRWRRVWTLLPELRFSFSPAPHLIAAALAAHEAPLHDLFVGTDHAGPEPMADCLRAAAGRLSGHLIRSRRGRSRLRDSLLRARHHRLACPGFLGIAMPPAGVFSRLTELTLICITFHGPPAVSSPRCPSLQKLCVQYTFGLVNLAIHSESLLRVELNNMFGLQQLIIHAPTLKELKLVECCAWTQPVADISAPQLESLHWRDLFDPTSVQLGEMAKLQWLTTNVFLVYGQHGSATNHAFLRLLERFQAIDTLQISLIYPKHIGNFQYLMEDITVFPSIEGLAIYLKNEDHAFGASVFKVLRMCTGLGRFALVLDTDTDLEARCTCPSGCICDQLLNWKTEELTLNDLYEVLIIDMKGTEHELDFIKRLFSWATKLKSVKIRYTSKTASKAKEVHERYGRHFGSQRRRDPPRVRSPSPSMEFSGGGITAKRSHPGGEDRLSALPDDALVLVLLCLDTATAGRTSVLARRWRRVWTLLPVLRFPDGADLHHVRAALTSHQAALRYLRVRAVDAVPESVAAWLSAASPAAWSSKTRRRGKALITTA >Et_1A_008227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5440246:5459457:1 gene:Et_1A_008227 transcript:Et_1A_008227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNSALVGLREASIRLHCPSTPNPTMQRRFLGCSLRKGPTSPTAATPPRPARFPGAASRSRSPAASPARRASPTSASSAAPAAPGGTSSTTTPTRCLARSPRSSPRKATSPSLYVLGNLVLLRIVVGTKESMRYEHDFYIYRPGGHDGPSLTRLKRPPGDHIFNSNEVGLLTTSRCDVHDGSSSGSQDKSYMVAALCEYEYNPERGRFVLYLYNSKEETWTTTMVSVDEHQLQQYQEEGFFTHINTKVIAIGGEDDTIGFVDLWRGILLCDLRQVQDNKRLHYIPLPDEIRPDEHTNDDALLSRDIAVVNGRIRCVRRWFDWIKDAWMATSWSRPTSMEGDWEMNYEIELSKMNVDNLQYELLPQPEDDVEGGPVPSFVDLYTSQPTLSLQNGDASVCFMVKSDSDDYKAWVIAVDMMQNKLQGVVEFDVKRYVAVGFAYLHSRISKYLKIYATLHPPALSSAVAADGATALPWTLLEKQAYVSDRRNATTACTVSRCGKIEVQVTLCVDAPLRISYLCVFCRATGRGQEERAEDMIGDEPEIVTTEGDLALLRNCAWRSAHYDADLFVYRPGGLDGPSLTLLKRPPGDHVFESSDIGLLKFPINRGGGHDGSSSGSVSYLRPHRALPDKSYYMVAALCFYRANPGRGQFLLYLYNSKQEAWTTTIVSVDEQQRQQHQLACSMHFNTKVITIGGDDGTIGFVDLWRGILLCDLRRVQDNPRLRYIPLPDKVLPVYPFADDEDARFTRDIAVVEGRIKCVELLEDAWMAATSWSRTTSSYDTWQMDYEIESSNMNVDTPQFKLLPRPQDDGLVVPPFEGLTVMQPTISLIADATVCFMVKGDYSDEKAWVIAVDMMENKLQGVVEFDAARYVPVGLPDATLHPPALSSDVQADGATALPWVLLESDAYVADRRNATTACTVSRCGKEIQVTLCVDAPPRVSYISVFCRATGNGREERAEEMIGTEPQIIVTEGDLVLLRMEVGSRKDKHYEHDLFVYRPGGPDGPSLTMLKRPPGDRIFRSREIGLLRCRREDKSNYMYPASSSSTSTTPGKTLGPRPSFSVDEQQMQQHRHACSMHFNTKPITIGGEDDTVGFVDLWRGILLCDLRHVQHDQRLRYIPLPERLLPVETFFDDDDARLTRDIAVVEGRIKCVRQAKYLLEDGWLAISWSRTASSLEDTWQMDCEIESSAMNVSVPQFELLPKPQGGDRPVTPFKGLTIMQPTLSLQDGDATVCFMVKGDYDDEKAWVIALDMMENKLQEVVEFDAKRYVPVGFSYLQSRISNYVNTEMHVSMAMAVANGWSTTGLIRATLHPPALSADVATALPWVLLETLAYVADRRNATTACTVSRCGKKEVQVTLCVDAPPARLLPLRLLPRHLQRRERPRGTRGRYDEPEIIATEGDLVLLRVALDMHYETYEYDLFVYRPGGRGGPLLTLLPRPPGDHVFYPGEVGLLSCPSADRSDGGHDGNRVSSYMVAALCQPKFRLERDLFDLYLYNSKHETWTMTTVSVDEHQLQQYHQQEGGYFFHLNTKVLAIEGDGDTIGFVDLWRGILLCDLRHVQRDPRLRYVRLPEELRIEGFDTNDARLSRDIAIVDGRIKCVRMGHQRIENSWVYAAASWSRTATCSKEEDAWQMDYDIELANMDVSDTTQFELLPKPRGYRRMRGPVPPFKGLSLMQPTLGLQDGDATVCFMVNVNYDHGKALVMSVDMAKNKLQRVVQFDAKRYIPVGFAYIHSTISKYLKIIIAASRSPGRFVARTRTISLDWSPVLNNTSFHPPALPIDSNSDDAAEVPWVLLEKRAYVADCSNSTTACSVSWCGKQVQVTCCVASPPRVSYLCVFCRASGAGRDVDDHTDAMLGEEPEVIATEGNLVLLRIVVGTKKSMRYEHDFYIYRPGGHDGPSLTRLKRPPGDHIFNSNEVGLLMSSCDSSDGSSSDKFYMVAALCEYQYDPERGRFVLYLYNSKEETWTTTMVSVDEHQLQQYQEEGFFTHINTKVIAIGGEDDTIGFVDLWRGILLCDLRQVQDNKRLHYIPLPDEIRPDEHTNDDALLSRDIAVAEGRIRCVRRWFDWINDAWMATSWSRTTSLEGNWKMNYEIELSNMNVDNLQYELLPQPEGDVEGGPVPLFVDLNIRQPTLSLQNGDASVCFMVKSDSNDYKAWVIAVDMMQNKLQGVVEFDVKRYVAVGFAYLHSRISKYLKTS >Et_1A_005067.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:24965809:24966096:-1 gene:Et_1A_005067 transcript:Et_1A_005067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTELEFALERTGKLPITDVSLLRRRKILKRSFEECSDILHRCKIQAQEDKEIEQGRTVMHSSFPKRIACATQSSIVYLFAMKQDGLFRSVRSL >Et_2B_019940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15416504:15424736:1 gene:Et_2B_019940 transcript:Et_2B_019940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIKRLPKNVHSSLRSSIILSDLPRVVEELIYNSIDANASKIDIAVNVRACYVKVEDDGCGITRDELVLLGEKYATSKSPNVMDNMELNPRSFGLNGEALASLSDISVVEGSKCLHLGIDDQREVVGTTVVVRELFYNQPVRRKQMQSSEKRELHHVKKCILQIALIHPLISLRLLDIDSQDEMLCTASSTSPLPLISKSFGNDVSRCLHEIAASEQDWVLSGHISGPTDVLCTKISTQDSNPIHNMLNNLAASFQSSIRRNEEIDAQSTKRQKIDIYPAYLLNFRCPRSSYDLHFEPTKTVVEFKDWQTVLFFIEQTVTNYWKKHVVQSPKGKLNQGIPKHHNVQIKEDCAAFKCTQQNNAVRATNVDMSATEAIKDLCFLSFDVEPSARHASCSGRIANASQHNNVSSIDDKLGHKQMHSPEAFSYQWLEDGPSHFDDDISSVKSTSWKVQRTDGKFQGYAYTDNFGMPEDVPTEGFLAVEKESELIGPEIEIQEPCFRTPNGSNRMTCNQTNMFIHTSGWDGFCVDFDKLNENFLVNEATEAVTDISYPKIQARTDLKCHRRSNKSFGFWNCENVGSEFRVTLDRFNNDSSMICEGIKQPSKDSRSRSRSAPPFYRGKQKFTRLNEPLSKSTTDSDKDICSNNQEDNVRTPMNISRMTATQPIPETDSSEFPDLNSSSNRHVTMFENACYDGLEDTTVQITKWRDDSGQQTALDLPQAPSECCDDVLSISSGTLHLSCSLLVPECVDRNCFEEARVLLQLDKKFIPVISGETILLVDQHAADERIRLEDLRSKILSEEGRGVTYLDSEEELSLPESGFQLFQKYGEQIQKWGWIINNGSNSSESFKKNMNILRRQARLVTLVAVPCILGVNLTGKDLMEFIQQLEETDGSLAIPPAVLRILNFKACRGAIMFGDVLLPSECCLIIEELKETSLCFQCAHGRPTTVPIVNVASLHEQLGRLRMQSGRQTETWHGLAHQRPSLVRAQTRLKQLRKLRRDL >Et_5A_040866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13695177:13701037:-1 gene:Et_5A_040866 transcript:Et_5A_040866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRCGWLSSLCRPRSGGRSACALPQPDPPRPEHDPEPKVIEEHKAVMENILLNNEFSEGLHSWQPNSCHAFVAAEGSGYHYGVRPHSGSNYAVLTHRTQSWQGLEQDITEKVIPGTEYFVAAYVRVHGELHEPVGVQATLKLEDQSSSTNYLSIARILAAQESWVKIEGSFDLKSQPRRLVFYLEGPPPGVDLLIDSVSICYKKTERAASSLASGAENIISNYDFSKGLHPWNPICCHAYVASQWSGFLDGIRGNSGENYAVVSKRTDSWQGLEQDITDRVSTGTTYAVSAFVRVDGNVQGQVEVKGTLRLQNQDGSTHYNPVGSVLASKEKWVKLEGSFSLTNMPKHVVFYLEGPPAGVDLIIDYVTISCSRHKESKEVKLPSGVETIIMNPHFEDGLKNWSGRGCNICRHEFTAYGNVQPLNGSYFASATGRVHNWNGIQQEITGRLQRKVQYEVNSAVRIFGSAHDTEVRATLWVQEYGRERYVGLAKIQASDKQWTHLKGKFLLHAPFSKAVIFIEGPPAGIDILVDGLVLSPATKLHAAPCPKIENVLYGANIIHNSAFSKGLAGWSPMGSCRLSIQTEAPHMLSSILKDSATQQHISGRYVLATNRTDGWMGPSQVITDKLRLHVTYRVSAWVRAGSGGHERYHVNVCLAVDNQWVNGGQVEADGDQWYQVKGAFKLEKRPSKVTAYVQGPPPGVDLRVMGLQIYPVDRKARFEYLKEKTDKNSFPFGSCIARHNIENEDLADFFVKNFNWAVFENELKWYHTEPEQGRLNYKDSDELLEFCEKHKIQVRGHCLFWEVEDSVQPWIRSLQGHHLMAAIQNRLQSVLSRYRGRFRHHDVNNEMLHGSFYQDRLGRDIRAYMFREAHKLDPSALLFVNDYNVEDGCDTKSCPERFIEQVVDLQERGAPVGGIGVQGHISHPVGEIICDSLDKLAILGLPIWITELDVTAENEHIRADDLEVFLREAFAHPAVEGIILWGFWEMFMFREHAHLVEADGTINEAGKRYLALKQEWLTRTGGNVDHQGEFKFRGYHGSYTVEVDTPSGKLVRSFVVDKDNPVQGITLNI >Et_8A_058184.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:2378652:2379380:-1 gene:Et_8A_058184 transcript:Et_8A_058184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKKKELLRRLTIISIPFVFVAIPSVVIIVGMLSPHAATPGTGPGSAPAPAGQNHSLSMLSTMTGGQMILSCRADFSGNWEYFHYFILDPYKPRQAFFQPQADPYVIYCKWGYMGNFLQNVVVFNSSAAYAPHCRVDDGGCHYLFQDGHMFRVTAKQDATAAPAAMAVDVGAPSPAPMAAAAPLVTPAVTRQRREKTLVGDLVLRECRHVMGVFQAGCWYKSHQHEYVGKIIGRWKWWFNY >Et_9A_062795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4994670:4999557:1 gene:Et_9A_062795 transcript:Et_9A_062795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSPTPVRQETAALSSPSPPSLPHGGGGAEAGIPTVDMSAPQGRAALSRQVARACAEQGFFRATNHGVPLPAAARLDAATAAFFARPPRDKQRAGPPSPLGYGCRSIGFNGDAGELEYLLLHANPAAVAHRARSIDADDPARFRYTHTYHSHVRYICINACITVVNEYVTAVRQLACEILDLLGEGLGLKDPRSFSKIITEADSDSLLRINHYPSACTIHKLDHDDQCKMKSTVKTKTANGVIPAAGARIGFGEHSDPQILSLLRANEVNGLQVLLPNGDGKAVWIQVPADPLSFFVNVGDLLQALTNGRLISVRHRVIASACKPRLSTIYFAAPPLHARISALPEMITARSPRQYRPFTWAEYKKTMYSLRLSHSRLDLFEVDDSDSSNVGKGDQE >Et_2A_018504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30656481:30657661:1 gene:Et_2A_018504 transcript:Et_2A_018504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWIGQPSLSLDLNVGLPTARPLPVKKVLVEENFLSVKKDRDQVANHIRATVACSITYDYNLRRSDQPIELTTQVEAMEAELRRVSEENKRLSEMLRAVVTKYTELQSHVNDMLAAGHGGAANRQSSTSEGGSAASPSRKRVRSDSLDTNQQHRKPSPPVATAANGFAVPDQMECTSAAAAAFHEPGRRIREECKPKVSRRYVHADPSDLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPSCPVKKKVQRSAEDKTILVATYEGEHNHGQPPHHDGKSARPPPPASNGARALSPPQKPVEAAAPAGPSSEVARKNLAEHMAATLTRDPGFKAALVSALSGRIFELSPTRD >Et_4A_033318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21442787:21444565:1 gene:Et_4A_033318 transcript:Et_4A_033318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTVQGSNTSHAYCSFHRGLNWSRTVRVRAHLGPYLSTTYASVDPLAPSLAIFIGTRLAAPRTPTSSIPTGGFDRCLDAARTSLATFAASSSTWYPPSRHTRTCTRPAYGRASSPHRFSHRDGGSTRSSARRCTVDSGTRAWPTACPENTSSTATVRNGSNGSAATKSRSSFQLGLDVPAAALPSGTRPVLNTCPPSCAFTYSSGNAEWPPICCCFAFASGGDGRRRSCAWITLSRRYQSLGDRYPPESDCQASWNASSTCVPTHTASMTSHAAAPSWWPSRVYHCGASALSGGDRLGTSWRSKETEPRLGSGGTGSPSFSGFLASSCTVTSSEVSVRLSLWNANTRSHSPVAAAAARVRTAWVPTVSCAYSGCRASPPWRLALRSRTKRYGTRSTRSYDALAPDAAMLLSAALCGRISRSPATPATASSFFDGEGGGASLDGEGGGASASFLPSSLALPSSLGFSPSFFPFSGDFFLSSVLASGFFSSVLTSSAFFSSAGAASAFFSSTGFAAASSGFFSSFPSAAAASFLSPSATASEVAAPFSSAGGAAGGVGGGSSTNQILSPISPLICENTLRFSSG >Et_7A_050212.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:12228081:12228840:1 gene:Et_7A_050212 transcript:Et_7A_050212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPARNLHLVRDAHGGTPFLGVTTAPPPSLMAQEPLDQRRHEPSKLPPPPPPQETGRHGQADAEPQQLPPRPLQPAGGAVANQEAAGTSGSSSGGSSGGNGGAGDWLRLGLAPASPGAASQQLDVAFADRAGPPWMPPRPELQPGMGAPPPAFLRPAVPGI >Et_2B_022565.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25998447:25998824:-1 gene:Et_2B_022565 transcript:Et_2B_022565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDFQAVFDKLLSVAVAGNLPPERMVRRVFVFSDMEFDQASLRPWETDYEAITRKFTDAGYGAVVPEIVFWNLRASRSVPVTAQQKGVALVSGFSKNLVKLFLDSGGILSPRSVMEMAISGPEY >Et_3A_025993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34389068:34392140:-1 gene:Et_3A_025993 transcript:Et_3A_025993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPIVARSAVRKPNETMRLVVVTIVGVVFGFFIGISFPAISITKLHFPSSFVAYVEERNSGLQAQALLNQAFTVSRNARGNASEPTSNTTMKIYVPTNPRGAESLAPGIVVPESDFHQHRLWGNPDEDLPFKPKYLVTFTVGISQKDNINRAVKKFSENFAILLFHYDGRVSEWDEFEWSKRAVHISIRRQAKWWYAKRFLHPDIVAPYEYIFIWDEDLGVEHFNAEEYIKLVKKYQLEISQPGLEPDKGLTWQMTKRRGDRQVHKDTEEREGWCSDPHVPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGQAEQGKAPWEGVRERCRKEWGIFQTRMAEAEKAYYETMGVTPPNVTFVS >Et_4A_033495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23885995:23888579:-1 gene:Et_4A_033495 transcript:Et_4A_033495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRGRMGRKRRGGEGPSTAGRAANHALSLREESSGRTRVDEASLLRVKHLQRLAAWAGAEAGVGSVGALLGRRLADNAEAAGVPLGAATFLCQRCETILKPGFNCTVRIRSKRNKTTRRNKSNYCQNSVAYACHFCGDQNLILGSEKGVINNLLLSRKQATIDLTRGSFRGNKSNTRIQKMKEVLEHSQAASLQEDQSSRLIQSTSDRVVETESLKLNLPTDCIMEERAILSSVQPKPDFSRNSSKKIEICEASVTPESEFMAGSKFVTPQKNKLMDSAHQFNTRSTGDKKGEASSSVPVKSVRSSSKSVPNYSRNNSNSATSDAVQVFSSRKRARKGWTTLKQIAEKDELERKEKMGNFVIPFFMQ >Et_5A_041515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23903941:23905480:-1 gene:Et_5A_041515 transcript:Et_5A_041515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESLKAWALALAALGLLVAAICLALWAYAAFLRPGKPLRRRYGAWAVVTDGIGRAIAFRLGAAGLGLVLVGRDPDKLAAVASEIKAKHPEAPEVRTFVLDFAGEGLAAGVAALEEAVRGLDVGMLVNSAGVSYPYARYFHEGDEELMRTLIRVNVEAVTRVTHAVLPGMVDRKRGAIVNIGSGAASVVPSDPLIYSVYAATKAYVDQFSRCLYVEYKSKGIDVQCQVPLYVATKMASIRKSSFMVPSVDTYARAAVRHIGYEPRCTPYWPHSLAWFLISMFPESVADSIRLNMSIEIRKLRMPRRRHNNVSVMSNILVECMSSSESICKFETAPFFRMIQLLSLIEPNNLIFFSLKYDLWS >Et_1B_011878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26879813:26886569:1 gene:Et_1B_011878 transcript:Et_1B_011878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRALATMAIILLVALSTSQIAFSLRPSLGVCRASGYLPGKSGNCEKSNDPDCCEDGKQYPQYHCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDQKKVVALSTGWFNNMARCGHQIKITANGNSVYAKVVDECDSVHGCDDEHNFEPPCDNNIVDASPAVWNALGLDQNVGMVMCFTIGQVSATNTTCHTSGFIHGKGHNCNKETGLDNCCVTGKRYPQFKCSPPVSAKTPAILALNRFENNEDAAGMTSCDMRFHRDKELVVTLSSGWLSLDGTNRCNKKIRISANGRSVLAKVVDECDSVNGCDGDHGFEPPCRNNVVNGSPGVWKALRLNESTGELKVTWSDQSPVVDQQKSDQEIHTIIRSQLEMATSRAAAAMAATFLLVMLSTSHVASSLRHGHGLGVCRASGYLPGKSGNCDPINGSDCCKDGKKYPQYHCSPPVTASTKATLTLNSFQKGEDGGGPSECDNEFHSDEEKVVALSTGWFSNMARCGHRIKITAANGNSVYAKVVDECDSVHGCDDEHNFEPLCDNNIVDASPAVWNALGLDKNVDTADITWSDGE >Et_4B_038721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4264880:4267938:-1 gene:Et_4B_038721 transcript:Et_4B_038721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNRHRRGRGSSSSSSRRSKQEASLDDGPGTSLPRQEENTEDEIKGSRIQLAMWDFGQCDAKRCTGRKLSRFGLLKELRVTNGFGGVVLSPVGTQCVSKEDHSIVQRKGLAVVDCSWARLSDVPFVKLRCGAPRLLPWLVAANPVNYGRPCQLSCVEALSAALIICGEEDTAHLLLGKFKWGHSFLSLNRDLLKAYSQCENGSEIINVQNSWLSSNSSVQKPPVNAEGSEQNTEDGSEGDSDDDLPPLEKNLNHLNHNQDDESEEEDSEGDSDSDLPPLEKNMNHLNLDRDEESEEESEEE >Et_7A_050720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10387666:10390355:1 gene:Et_7A_050720 transcript:Et_7A_050720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGASYMRFIHNFIDLGLAKYKNMSYFQATTHRPHGTLIAHRPVAGLGKARELLHRDSLCPNSTRSCKLQEQVYPRLVLISACHKRLGPLYAMSGKENPDPFSMESLNKAMAEAKRPRPIKDLLMEQIAKLRGQGSGGNGGNKNRYGGSGGGSDGPDDGSFKDSFYELIQILLATVAFILLYIHIIRGEELYRLARDYTRYLVTGKRTARLKRAMTNWRDFSENITKKDSAQEDFYGSPVASESTWWQQPQKFVHRLEELCRGYLRPQAQES >Et_9B_065202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2319655:2321131:-1 gene:Et_9B_065202 transcript:Et_9B_065202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTKKYSMTEAEVKVQKVKKIEPVYNLVTRPSVYGNPKMVTVKKRPAAYVAAPGMKIERVLSAEDIDRYIKTKKEQFLQGESKQKHTQKEPYEVRENNFDNFVRQGLRKDAQATIVYSHFKEKATA >Et_1B_013603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9898741:9903041:1 gene:Et_1B_013603 transcript:Et_1B_013603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGGALDRRSSARWRVLLLCAFSFGLGMLFTDRFWTTPDTGNHIMSQRRRQDQELQLVSEDCSTKRKHGEDKDIMGEVTRTHEAIQLLDKSISTLQMELAAKRSTLERLRSSGTQVASETNQPRKKAFVVIGVNTAFSSRKRRDSVRETWMPQGEKLQQLEEQKGIVIRFTIGHSATSNSILDKAIDSEEAQHHDFLRLDHVEGYHELSAKTKIFFSTAVSIWDADFYVKVDDDVHVNLGMLATTLARHKSKPRTYIGCMKSGPVLADKNVKYHEPEYWKFGEEGNKYFRHATGQIYAVSKDLATYISINQPILHKYANEDVSLGSWFIGLEVNHIDERNMCCGTPPDCEWKGQAGNVCVASFDWSCSGICKSVERIKDVHARCGEGDSAVWSALI >Et_3A_026643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11384873:11387403:1 gene:Et_3A_026643 transcript:Et_3A_026643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRRRGHDRLSALPDATLIRILSRLTTDESARTSVLSRRWRRLYAAVPVVDLVDPKVGLRPRDNRFDGKPICFEHKVTCALLGRDPTCVVMALASGAKELDIKVRCHESSRIRLCPFGPYVESSADFDEGDRGRYTRTHPHIFRSATLRRLSLARWRLEFPGDVSFMALETLVLSRIMASSEELQVLISSCPRLVSLTLEECPGVKKLTVASACLQSFAMVCCHRARRVVLDSRRLRSLRYKGGIPRRRSFLSVANHGAVANLTIDICQAIVDDTTQGDLAPVTELIGIVPAPRLAPSMACYCNLFASVLCRLPRLRQLELKGCLRSDHSIRSVAALLQSTPNLEALSLFPLLPDPRKKNVCYFFFQDLDDELRYDYDDKDVDYSGQVQVPEGLWATQTCFKQSLRRISFVGYRGRPFERVLAKFLLSKSKLKLKIEQGQGEN >Et_2A_017549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35311389:35312413:-1 gene:Et_2A_017549 transcript:Et_2A_017549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSADPAAAAVTSRTRRSRICAASFLSFRPTARLSGAVAASASFRRCQTDDNKQVSAAIDDDRKLPLHDEGMLHAVTDSILGYLILFTVLDVSEPTWDELVLGCEAPVLVEFWAPWCGPCRLMHPIIADLAKAYTGRLRCFKLNTDKNQDVATRYGIRSIPTILIFKNGERKETVIGAVSDSTLAMTVERFL >Et_8B_060703.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5183269:5183733:-1 gene:Et_8B_060703 transcript:Et_8B_060703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVPFFVAFSLILLAGRYLPFALPPAVRAVLADAASGPATTAKCSVTVAFAGLALLVSITQCSDHHHQQQQQQKQCSGKVTMEARALWLNCAALFLGMVLGGAAVATQHRPPELVPPIVRVAVDHLERVTETIALTALGHNLCVLIKVLNVEQ >Et_6B_050115.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6729642:6729893:1 gene:Et_6B_050115 transcript:Et_6B_050115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLGCFGVASAERKVSPAVKQSVEGPEAKDQRRVEKEEKVKKGGEASKQAAARRKEKKRDDQKAAIVMQHQFPFHSRPGLL >Et_6B_049292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2816028:2819249:-1 gene:Et_6B_049292 transcript:Et_6B_049292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNSSHVSLASEAEINLDLSRLVIDKPRFTLERKRSFDEQSWSELSHRQNDGFDSVLQSPAFPSVNEAWEALRKSVVYFRGQPVGTIAAVDHASEEVLNYDQVFVRDFVPSALAFLMNNETDIVKNFLLKTLHLQSSEKMVDRFKLGAGAMPASFKVDRNKNRNTEHLVADFGESAIGRVAPVDSGFWWIILLRAYTKYTGDVSLSESPECQKCMRLILNLCLSEGFDTFPTLLCTDGCSMIDRRMGIYGYPIEIQALFYMALRCALQMLKPDGEGKEFIEKIGQRLHALTYHMRNYFWLDFHQLNNIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPCRGGYFLGNVSPAMMDFRWFALGNCIAIVSSLATPEQAVAIMDLIEERWDELVGEMPLKICYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQMAKRAIELAESRLLKDGWPEYYDGKLGRFVGKQARKFQTWSIAGYLVARMMLEDPSTLMMISMEEDRPVKPTMRRSASWNA >Et_7A_052997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4089111:4092055:-1 gene:Et_7A_052997 transcript:Et_7A_052997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALSRRSVPPFLKLRSTVCDDGYWMGRLDHKDWLAPNEVLKIFANIRDPSLITSVFQKACSRRDYKPSEALYSLMIDKLACARRFSDVEELLARARTEKFRFSDEFFYRLIKMYGNVANHPQKAIDTLFSMPKYNCWPSTKTFNYVLHMLVCKRQYEVVHEVYLSAPKLGVTLDTCCFNILVKGLCQFGKFDEAVSLLHEMPKQGCMPNVTTYSTLMHFLCQHGQVDKAFELCERMQQQDIAADTVVYNILISGLCREGRVSEAFNLFKSMTSEGCFPNSGTYQVLLDGLISSRKFEEAKNLVSIMSAEGVRPSFQSYKLLIDGLCSVDCLDDAHLVLKKMVDQGHLHSSPLVIPGASCYFGFQANDVKMQSMSHLIQLTASDT >Et_1A_009614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9631877:9634112:1 gene:Et_1A_009614 transcript:Et_1A_009614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNEEKAQSMLNRFITMKQEEKRKPRERRPYLASECRDLADAERWRSEILREIGAKVAEIQNEGLGEHRLRDLNDEINKLLRERGHWERRIVELGGRDYSRSSNAPLMTDLDGNIVAVPNPSGRGPGYRYFGAARKLPGVRELFDKPPEVRKRRTRYEIHKRINAGYYGYYDDEDGVLEPLEATAEKRMRKEIIEEWHRVEQVRREAMKGVVSGEVVTAGGRSGEAVKEVLFEGVEEEVVEEKKREEEMKEREKVKEAGMEFIAHVPLPDEKEIARMVLESKKKELLSKYASDSLQVEQEEAKEMLNVGQSPQWWIRHDLKLSTEVHK >Et_1B_011678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24883612:24909874:-1 gene:Et_1B_011678 transcript:Et_1B_011678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGLVDPAIGSYPLGQQHRVPILLPPVPVRVKTLHPALPVRAFGHPLAKIYAERCHHPRGRDTTTLAACVGTLLWANATARSHAQRLRQPSCLMRDAKAMVRGIMTELIITCLAFYDNFLRSMEPSPQKLSAAVLLLLLALAADMGPRVQAGECLSPSKRFRGLCFSSHRCADVCREEGNGYSSGSCRGLYFRCFCIKPCTTTSRARRIPSIPIIVVVLLIVATEMASVQARECLHLSGRFHAWCLNPEHCADVCRTEGKGYTGGRCHGFLARCYCTLPCAKAAPGADHSGDMVRVQAEGNCYYTSGSFHGWCLWPPNCAKTCRKESSPHRPGQNYDGGVCIGFPAKCYCLEPCSQVLGAATPNGDQAEAYSRGNFSTSIVILLLVVMATDMAQVQAKECLHLSANFHWLCLNTDHCSEVCRAEGRGYTGGKCLGWRDRCYCILPCALDSASAPDADQTEMEPPQLKKCSKRSGSFKGWCLHSRSCSDVCEAEDNNNISGECRGFPSRCYCTFYCISALAATPESDRSGLVVLGNRSMEPSREKLSAAVLLLLLALAADMGPRVQAGECLSPSKRFRGPCFSSHRCANVCREEGNGYSGGSCRGLYLQCFCIRPCTTASESFPQPSSSSCFSLRPPVCASSMRELSSSRSRFRHRLMFAVFACILTGPVEAGDCFTRSTTFDELICLFEDSCFDACMEEGKGYTGGKCRRLKCYCITPCAAKEATWA >Et_1B_012227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3023532:3025209:-1 gene:Et_1B_012227 transcript:Et_1B_012227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSAMSKPHAVCLPYPAQGHITPMLNVAKLLHARGFDVTFVNTEYNQARLVRTRGAAAVAGIPGFRFATIPDGLPPSDDDDVTQDIPSLCKSTTETCLGPFRRLLAELNDPATGHPPVTCVVSDVVMGFSIDAAKELGVPYVQLWTASTISFLGYRHYELLMSRGLAPLKDVEQLTNGFLDTPVGDVPGLRNMRFRDFPSFIRTTDPDEYMVHYVLRETGRSAHASALIINTFDELEGEAAAAIEALGMAPKVYTLGPLPLVAREDPPTPRSSIALGLWKEQEETLDWLDGREAGSVVYVNFGSITVMTNEQLVEFAWGLANSGRQFLWIIRRDLVKGDTAVLPPEFLAATADRGLMASWCPQQEVLNHPAVGAFLTHSGWNSTLETMCGGVPVISWPFFADQQTNCRYQCNEWGVGMEIDNNVRRDAVASLITELMEGEQGKEMRRKAREWRDKALAAAKPGGPSSRNFDKLVRDVLLPKN >Et_8A_058495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9471679:9474251:-1 gene:Et_8A_058495 transcript:Et_8A_058495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSPPPPPPEKSPPPPVPTTILALSDDLLLQIFLCQPSLTSLIRAALSCRDFLAAVRSSPAFRRRFRALHPPPLLGFFESDGSKIPCFTPVRRSSDPDLAAAVRRADVFLTSVPCPDDAVPGWQIMDCRGGRLLLRNWRTEQIAVYDPLSGALDLLPSPPQKKISHAHRGKLMPMDYFLLSSGDETRSGSSFRVVSLCHDKSRLRAAVFSSATKEWQILPWSDPMPKQPASGKYWLLADKQVNGLMCWSHDKHSYMVLLDSSTWQFSCIDLPKTLQGQGHLYRAGGTKDGKLCIVAAIEFTLLVWFRRADAANGDERWMLEAIIPLEDAVLQATEGTPEDHTALHDYQGAVDAFLEASKLYLAYHYQ >Et_1B_011200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18742025:18742433:-1 gene:Et_1B_011200 transcript:Et_1B_011200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDSRKHTMLELSYCVNDDAGGFQVPLAVVQKPFVTHTGFVKEGLRQRRPRHRRQARYLYCTLRVLVELREVGIASSRDTSSTAVQKKSMTSPATGDSNAEMASLMCSTWSRTDLEMK >Et_2B_022332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18038713:18039469:-1 gene:Et_2B_022332 transcript:Et_2B_022332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGVKVFGMWASPMAVRVEWALRLKGVEYEYIDEDLANKSEALLRYNPVIKKIPVLVHDGKPIAESTVIVEYIDETWKAGYPIMPADPYERAQARFWARFAEEKCNAALYPIFTTTGEAQRKVVHEAQQCLKTLQTALEGKKFFGGDAVGYLDIVVGWYAHWLPVIEEVSDASVVTDEELPLMKAWFDRFLAVDVVKATLPDRDRMLALNKARREQLLSA >Et_9A_062090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19658837:19671540:-1 gene:Et_9A_062090 transcript:Et_9A_062090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EPASRGNGRRQSILLLSPSGLSRRRQSILLLSPSGLVGQRAPVALIAVLAEKRRMKAVARRRVVPPLLLLVVVLVAASLPAGLCDTNSQDVSALQSLMSQWKNYPSSWSGNDPCGARWDGIMCNNARVMSLRLSSVNLQGTLSNSIGQLSQLVYLDLSFNIGLGGPMPASIGSLTQLTTLILAGCSFTGDIPKELGNLLQLSFLALNSNKFTGTIPASLGLLSNLYWLDLADNQLTGNIPISKATAPGLDLLINTKHFHFNKNQLSGTLAGLFNSNMTLIHILFDSNQLSGSIPAEIGGVATLEVLRLDRNNFRGAVPPNISNLVKLNELNLASNQLTGSLPDLSQMSKLNVVYLSNNTFSASIAPGWFTTLTSLKSVELSSNALNGTLEISGSISKQLKSVNLQYNRIIATNVTPSFNSTLVLVGNPVCLDPDVASRSFCSVQQENLIAYTTSLAKCTSTAQCSNGQSQNPANCGCAYPYTGKMVFRAPFFTDLTNSANGGSKKAQISTGAIAGIAVAGGLLVIALIGMVLFALRQKQRAKEATGRTDPFASWGVSQKDSGGAPQLKGARFFSIEELKNSTNNFSDEIGSGGYGKVYKGTLVDGTRVAIKRAERGSMQGVVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYISNGTLRENLLVRGTYLDWKKRLRIALGSARGLAYLHELADPPIIHRDIKSTNILLDDSLKAKVADFGLSKLVADTQKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIEAGKYIVREVKLAINPNDRDHYGLLSLLDPAIRDAARSAGFRRFVQLAMRCVDESATVRPSMGEVVKEIEAMLQNEAAGAEGASSAGSSANEFDGAGGGARAHPYSDVEITRSSYGGDNASDYMPYFEFWGGDAGIGGRGRLPIEAGKHIVRVVRLAIDPSDRDQYGRQSLLDPAIRWFAQLAMWCVNESAVWARISRPCCRTRPPGPEGANEFDDALGSVWARTPWVVSQKDHGGAPQLKGAKLFSSEELKNCTNNFLDELGSGGYGKVYKGILEDGTLVSIKRAGPGSMQGVEEFKNEIKLLSRVHHGNLVSLIGGCSEQGEQMLVYEYVSNGTLREHLRVGRMHLDWKRRLKIALDSARGLAYLHMLSDPPIIHGDIKSTNILLDDNLKAKVADFGLSKLVADTQKGHVSTQVKGTLGYLDPEYYMTQKLSEKSDVYSFGVVMLELVSPTIMDDAACSAELGRFVKLAMRCMSAPVQPTMGEVVKEIEDILQNDRGHGFLSFWK >Et_7A_053114.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:7038599:7038973:1 gene:Et_7A_053114 transcript:Et_7A_053114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPGSPGRLPVLMEECVEDGDAVDVDVYRRTRGAMASCWGRFGVAALWRRLRQLSLARRRRRRGRSILGAGGLNYDPLSYAQNFDDGGPDPDFTARFAPPTRAAAAVAASPEQAGAEPDLAAA >Et_2A_018709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5655139:5657253:1 gene:Et_2A_018709 transcript:Et_2A_018709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLQIKRIENNTNRQVTFSKRRNGLIKKAYELSVLCDIDIALIMFSPSQRLSHFSGRRRIEDVITRYINLPEHDRGGIVRNREMLTQLRCESDIAEQLTTTKYAAPFLPNLISRVQELQQEIRRYQHQVQLTEERLRLFEPDTAALTSMAEIEACEKFLMDTLARVEDRKQYLLRNHIDPFDPSASDMQHVFLPPQHEQQGDMAAVNAFGGDVVSSWFADGMPSIFGGPDPDMSFRGQAIFDDPMRRYPVVDQGMPMCHVDQHGPSDDWQQAYTSAELLSALIPCTPFPLDDQDAMAPVLTSPMAPPPHVLEPVEAAGSSSHVPPAGDDGLAGAVNIG >Et_1B_012545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3370901:3373574:-1 gene:Et_1B_012545 transcript:Et_1B_012545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGSGSFLKVLVNNLDVLAGPVVSLAYPLYASVRAIETKSAVDDQQWLTYWVLYSFITLFELTFAPVLEWLPFWSYAKLFFNCWLVLPQFNGAAHVYEHFVRPIIVNQEVVNIWYIPKKGDSSNPGDVISAAQKYIEQNGPKAFENIVNKFTSSHPRRSILEEVEVQRRARIEREAEAREMNPFFNQDHRY >Et_2A_015393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11939811:11942562:-1 gene:Et_2A_015393 transcript:Et_2A_015393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKTKEILGNKNAIAVNQDELGVQGHKVQQDGDLEVWAGPLSGGRVALILWNRGSSEANITASWSSIGFNSSTVVDANDLWMGGVISSVQGELKETVQDHACKMYVLTPK >Et_8B_058908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10785462:10786648:-1 gene:Et_8B_058908 transcript:Et_8B_058908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHGRPHFRLPPSHPAGTPTNTTRSLPPIGLPLSDAPSLSTARIHHVPVFIDHRRAPASPSILSDATAVVSRRLLDASAVVARRQEDKATGAPSPEAAPSPACTTRACADYFHRLATVLVLYGPHIDDGRLLRGAQNREGSAPILPGSWRAAVASVSGGGDACCYVEVARMGRDTLPTARRKRTTYPDEALAMTVTTSAAPSMLRNAALPLLAVAFLPRPLAALVRAGVFQEAFQKLNYASPGCARDVPAPALLGPRRRRQQAARLLCRALPRQGLVVAVRLLPPEEHRPGARTCPSPTPMRPRPPAGDDVCCVELAHMDYTERYYISCPAGDCHARLSCTEFPEEAVATAVREHRELNDVLPNCEM >Et_8A_057592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4839732:4846152:-1 gene:Et_8A_057592 transcript:Et_8A_057592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLARLLLPALPACQPQSPPRLSFSWRRHGQVSFVVPTTACSPGNRAVACAASASVAPAPAAEAEAAPGEPVGPRTRLVAQNIPWDCTADDMRALFEKHGSVVDVELSMYSSSKNRGLAFVTMGSEEEALEALNNLNSTILNERTIKVDFARPRKKLRKQPVAAFDPTTKHILFVGNLTWRVRSIHLRELFASTPGFVSAEVIFQTTTPRRSAGYAFVSFSSKEAAEAAISTFNGQKLMGRPINVTFKDDSNAKRNKSSVPKVEPEVELVEMGVKELLRNLLLLTDLTATRMKTILGDNDPQHFQTDPGEALPDHSYSRLRLHQCLKLPLCSELVKGGKFSFNLIGERRKVQLDCLSVPSEQ >Et_3B_028498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16146645:16148232:-1 gene:Et_3B_028498 transcript:Et_3B_028498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDAVTSITLKIDYGWRLRPLPTGLFTALTDFEINNATVESSELGTLVSSRCSRLRSLGLCIKLVAASNIHLRSDSLQSLSFRIQNARRLEVDAPKLEAITIHEAIWLHITAPELAKVTWNGNRVYHPHCHQLTMAARHLRLLESCSHRIAASLLRSFDEVDKLILNVDESSKETLGYTSVNETTTLPKSDTSHISLGDYHSLASTIMLHLLRICNRTRKLSVNLYHFADPLKKNNFCPLSCHCRLPMTTSLTDGINLSLLEEVEIKCFRSSQGQLQFLEWLSECTAEILENLVIYTDPFEKGTSLTKEICKKIPKMFRPNLDVIFMLYSDERLVRFDYIPKTCKSVPFWSLHVHPYSNSYPCVNKDCCC >Et_4A_031985.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:10066695:10066868:1 gene:Et_4A_031985 transcript:Et_4A_031985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIFIKEEKSQVSKIVPSRDSQKRIEYIDKNKEPKINFRETLVGKILEIVIRCASR >Et_1B_010411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10232701:10235713:-1 gene:Et_1B_010411 transcript:Et_1B_010411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLRVEDSTGQDIFDHDLVLFKTPGAHGDRGLALKGLPGKKLSVLCVDGAEVVVEARDVSVVDRSMLCRGMTVVSARDSGGQAGTITRTATAGLDLAQITDAGEVVVVARDVSPAELPSAVELSTGNYVVSGSWLGRIVEAYVDVDVMFDDGAVCRVADASSKKLETLLDSKLAEGVLYLGQQFLGQGSVFKDARWLKGCWKPSREKGTVVKTQASRLLVYWVASSQCFVVGNHYCFFRDPAPASTTESVPPAQRLQELDRAMRVVANTNTTADVLWQDGTRQYGVPSASLVPFDLGDYDLIPGECVVCTDSDANDEPRLGVVRSFNHKDKTARVSWFSLAESEETVSAYNLDPNTNLSAYYGDVVVRLRPADSSAGEGCENTQDKKKDLSWVGHVTDLCDDGRHIQVRWGDGNMSKVLRHQVAVVKPQNIQDMLHEMCRRLDNRDDGHDDCDQGDEGYDSHSDDEDDGPAAATTTMGWAGSVIQAMIRLAGNVLAKSRMVSGRSSKHAASEVDDSFCFPHFDVMLSPPDHHYLDNMEQGITGGGNKWIKRVQKEWKILEDSLPDTIYVRASEDRMDLFRAVMAGASGTPYHDGLFFFDLQLPPSYPAAPPLVCYRSFGLRRVRV >Et_7A_053083.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6208903:6209484:-1 gene:Et_7A_053083 transcript:Et_7A_053083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAARKLLEASAAGAAGPGAPDDHDVVIILASLLCALISVLTIGLVARCACGRGAGGADAEAAAANRGVKKSVLRAIPTVAYVSAAAAAAPAGDGEAGKAAAEAEAEAATAECAICLAEFEDGEAMRVLPQCGHGFHAACIDKWLRGHSSCPSCRRLLVLELPPGERCRRCGSRPDWKPTHTHYTDLPPFLP >Et_4A_033810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27031545:27033956:1 gene:Et_4A_033810 transcript:Et_4A_033810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMISAKRIAQMARKWQRMAALARKRLMPSTPKINEADGSPCSTSSVAGKGHFVVYSADGRRYEVPLVYLGTAVFGELLAMSQEEFGFAGEDGRITLPCDATVMEYVMCLLRRDASEEVERAFLSSIVRPCHTACDVAPSIGLNLQLAPSASQELHLSEPHLLKAKFFEADMTMISAKRLAQMARKWQRMAALARKRFTRSTPEINEADGSPCSASSVAGKGHFIVYSSDGRRYEIPLAYLSTAVFGELLAMSEEEFRFAGEDGRITLPCDATVMEYVMCLLRRDASEEVEKAFLSSLVRPCHTAIGMAVAPSLGVNQQLAVCM >Et_1A_009065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2791570:2795524:1 gene:Et_1A_009065 transcript:Et_1A_009065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAAPSLALPQPPLPAPEPRRARPRAPRDVVSWTSSIARAARQGNLSAAAAAFSAMLSSPAAPSPNDVTLLTVLSACADSPSSPLARPLALSLHGLALKLFPSHLLLSTCLARFYLASRLPHLALQLFGTMPVRSVVTYNTMISGLMRNGLVAEAFEVFDGMSSPDKVSWTALIDGCVKNGRHEEAIDCFRAMLLDGVEPDYVTLIAVVSACAEVGALGLGMWVHRFVVRQGLERNVRVANSLVDMYARCGQVELAAQVFRSMRKRTVVSWNSMIVGFAANGRCREAIEHFEAMRREGFKPDAVTLTGVLTACSHAGLTEQGLRYYDLMTTEYGVAARMEHYGCVVDLLGRAGRLDEAMRVVQTMPMRPNEVVLGALLAGCRMHGDVDMAEQLMQHLLDLDPGGDANYVLLSNIYAAVGKWDGAGKVRGLMKARGVKKRPGYSAVEIDGNVHEFVSGDRSHPQAGEISQMLGLLGHEMARYGSAKDP >Et_5A_041121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18500699:18519295:1 gene:Et_5A_041121 transcript:Et_5A_041121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEEQSMVHGPEVVQLQEMVPVGEGLGESEPEENAMPMDLGGDAGLENLLSTSSAVHGVMRELITRIMSATASSSGLILNTLDALEAAELASLRRDLAAVPVFDVGPLHKLSPPSSSSLLRPDRGCLGWLDAQAPASVLYVSFGSLASVSAADLEETAWGVAGSGRPFLWVLRPGLVPGASPSQPPPLPDGFAAATRGRGVVVSWAPQEEVLAHPAVGGFWTHCGWNSTVEAACAGVPMLCRPCFGDQMGNARYVDHVWRVGVELRGELERGKVRAAIETLMGGDELRRNARELKSRAAECVATASTNVDKLGRVQGVEVGVEHGDGEAPAVEDVSELQHRVDVPLEGQREHQHAAPTWHLAEDGVDAASFLDGPKPTSLPAPRTTMAGQERRRRRVVLFSLPFQGHVSPMLQLAVLLHERGLAVTVLHTDFNAPDPTRHPELAFVPICETFPDEVTSPGADIVTQLLALNAACEAPFQAALASLLREEGDDVACAVVDGQCYAALRAAAGLGVPALALRTDSAATFRSMLAFRRLRDAGYLPIKGKSTQPVPELEPLRVWDLIRVDGSDTDALCGFIARVADAVRASASGVVINTFEAIEASELAKIQRELSRPAFAVGPLHMLSPAPAEQSIHAPDRGCLPWLDAHPVRSVLYVSLGSVACIDRGVFEEMAWGLASSGVPFLWVVRPGSVHGVVQDVVPPLPDGVDEEITNRGKIVAWAPQREVLAHAAIGAFWTHCGWNSTLESVCQGVPMIAQPCFADQTVNARYVTHQWGVGLEVGEVIRRESVAKAVKKMMAEEDGARMRERSYQLKVQASAAATGFAMDSLVEFISSL >Et_2A_018405.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:2714121:2714411:-1 gene:Et_2A_018405 transcript:Et_2A_018405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRTSKLLAQPKKQLKLDTAFSCPFCNHGGSVECSIDRKHGVAEASCFVCKELYATTAHALTQPVDVYSDWIDACEKANEGVRLSYAKDEDK >Et_3B_027688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12611158:12611998:-1 gene:Et_3B_027688 transcript:Et_3B_027688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTMVIVSSLNVYGHGKYNLDISGHPVASMGADTTSSTASPRASSSPSPTVASAATTPLPTRQSALDLSDYLRNAFLGGAKTGVRSPFGDARLDGVDLFLEHPSAGEHYDVLARIRRESRCTVHVTAARHCRCPDRLVKKALDTGVFERIHVRFYGDPNCSIFKGQHWEQWTAAYLGSRIYLGLLARRWSTETTGSSPRTSTTAPCRRGCRHAAVAQLRRRHALGPLLRQARATTATTCQPVLKQSLPELRCKILRFTYLSCKYDYLMF >Et_3A_024203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18392407:18393206:1 gene:Et_3A_024203 transcript:Et_3A_024203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASQGSRLKQTKVGLPGVNGLSTEQRKRITIAVELVANPSVIFMDEPTSGLDSPPATIVMRTVRNTVDTGRTVVCTIRQPSIDIFETFDELFLLKREEIYIGPLCHHSLELIKYFEGIQGVSKIK >Et_9A_061900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17613101:17624458:1 gene:Et_9A_061900 transcript:Et_9A_061900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKMDKTMIIEYTIYVVGDFCVYPQNPASALSICAIVFLLMAQITITAVSGCCGCCKSRGIPSENKRTVGIICGVASWIASGIAWVMLVLGAEWKAPNVERETAPVCYWLKDGILAGAGLLSLAATALGITSYVMLHGQPAEEQAASGAANKSAAGGIAMGHPMYPPQGHAQGRERHYCSMRTGEIVLSVAVGLLGVASAVLGFIAEATKLKPDDIDVSSSECVYPANPAFALALCAVFLLVVAQIIASAAGGCCGCCRPRTGASETKRIVGMIASVLSWIAALIGGAYYLQGAALNAPVTRDINYDGCYYLKRGVFTRAAVLSLIAAALGITSYLMLTRSPAPVAAPMTAAVVGTEPKPDVQGHAQAPYPHHAQGMRTGAIVVSVVVGLLGVASAVLGIIAEAKKLTPDDIEVSRTQCVYPANPAFELALCALLLLVVARIIVLAAGGCCGGCRPRTTGASETKRVVGIVAAVLSW >Et_4B_039797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:369707:371946:1 gene:Et_4B_039797 transcript:Et_4B_039797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSAAGLLLIVAVAAVSLIAADARPCGHAQTLLISFSSVSRPNPDPTNPTPLTTTVVTVLRVRRLGPHQHLQIRRPEALPAASASAGDAASSVQDRAKDILVVVSGLLFGFGCGALTAASMYLVWSLLASTCASTYDDVYSDDEDQLLSDSESPKKAGYVIIHDTEEYAAAALDEIMA >Et_5A_040651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10844548:10846881:-1 gene:Et_5A_040651 transcript:Et_5A_040651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRHEDSKQITKKPAAQSGESRLHTGQEYGEKDRRRGTDPKHRVPAEGRRPGRCPECGGVLGGAGEEMGLFGAEHQSDPQPSDPTSVVFSRQRRVPLAGGSSLQVRPLHPLSLASPQIPIPCSGAASPLPRLGAAAHSPRLGRTPAPLQRPSSTSCNTSPRARPLLAGVGRLT >Et_3A_023757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1221885:1224630:1 gene:Et_3A_023757 transcript:Et_3A_023757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLVLFGDSITEMSFADGGWGAGLADRFARQADVVLRGLSGYNTRWALKVLARAMEGAAAAGADPAAMTIFFGANDASLPDQKQAHQHVPLGEYQSNLRAICGYFKEHWPSTAIILITPPPIYEPARIRDMYGDDDPSRQAERTNEAAGAYAQACMAVAKELDHPVIDIWTKMQEFPDWQTSALSDGLHFTPAGNKILFDEVVKTLASMGISQEKLPSDLPLFHDIDPKDPMKAFD >Et_3B_031349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26420329:26421275:-1 gene:Et_3B_031349 transcript:Et_3B_031349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALAAPVASVSPGSARRAAAFSRGGCGGLSGTRICLPRRHFAKVLLPPNELRGSVTQRRRSALVRSSTSDSQATKQEKAPFGYTRKDVLLIGVGVTLFGVGLKSGLESFLDTHARPYHERRCVQLLGVDPLQAGNVVQLLVVLGMTVGWISTYMFRVANKDMTYAHQLRDYEKQVMEKRLESLSEAELQALLEQVEEEKQRMPAIPEEPNAITFKKN >Et_1A_006908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28949524:28951507:-1 gene:Et_1A_006908 transcript:Et_1A_006908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHSKRTSAPASREIPSPVPQAIPSPGTHPVPPAATPSMSGPGAWCPPCPPQSTTPSSTPFWFPGLQHPGTAGSSAQGPWWALAGIGSSANIEDSDLQVWGVDSCPPGGLLNFLNKNTPNHFPAQAVSNGSSSQPINVGDDTNGSDCPRTEKRMTWTKDEDVSLDAYLERLEESDPDKRKFNLEDDVGQQFSVDDEKEERPIGGKKAEEKDQSCIINLEDELQIFVDAQNKANEGRKEMLETQKRVSSENLEAKKLAYLAAKESKESAMLETYRELLKQDTTVMAEDVRSEHVLALRSFREKLFGNTNKVTASAKRHDCSFLLKIQEWLYLHRHHLDQ >Et_6B_048828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14174900:14187318:-1 gene:Et_6B_048828 transcript:Et_6B_048828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVTPAPTLEQEDEEMLVPNQETEAASTAEVASTAESQPAEDPQTSRFTWTIENFTRFNGKKLYSDVFVIGGYKWRVLIFPKGNNVDQFSMYLDVADSPNLPYGWSRYAQFSLAVVNQIQPKYSIRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLVNDTVVVEAEVAVRKMLDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIEKLFEGHNINYIECINVDYKSSRKESFYDLQLDVKGCRDVYSSFDKYVEVERLEGDNKYHAENHGLQDAKKGVLFLDLPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDIDDGKYLSPDADRSIRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSEQWYKFDDERVTKEDAKKALEEQYGGEEELPQINPGFNNAPFKFTKYSNAYMLVYIRESDKEKIMCTVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLKVQIGKDIYFDLVDHEKVRSFRIQKQLPFSSFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLTPLEETQSVGQLREVSNKAHNAELKLFLEVESGLDLRPVPPPEKSKEDILLFFKLYNPEKEELRFVGRLFEIKFEPNVMCEIIDKKLTFRSSQLEDGDIVCFQRSPACLDTQVRYPDVPSFLEYVHNRQVVHFRSLEKPKEDDFSLELSKLHTYDDVVERVARQLGLDDPSKIRLTSHNCYSQQPKPQPVKYRGVEHLLDMLIHYNQTSDILYYEVLDIPLPELQFLKTLKVAFHHATKDEVVIHSIRLPKNSTIADVINDLKTKVELSSPNADLRLLEVFYHKIYKIFPLHEKIENINDQYWTLRAEEVPEEEKDIGPNDRLIHVYHFMKDPNQNQIQNFGDPFLLVVREGETLEEVKKRIQSKLQVPDEEFSKWKFAFISMNRPDYLQDSDVVPARFQRRDVYGAWEQYLGLEHTDTAPKRAYTVNQNRHTFEKPVKIYN >Et_3A_025493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30274237:30275101:1 gene:Et_3A_025493 transcript:Et_3A_025493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWGRRGIWKFGFPQLNGRTAFSSKEEIRLTLSNPIKRGQSKHSSSSIAAQDSTKIELLIQWQAETRFPVPLIATVLLALLATCHAGGIAVYWGQNDGEASLSDTCASGNYKFVILAFIYKFGKSQTPQLDLASHCDPSSGGCTGLSKDIRSCQSSGVKVLLSIGGGDGSYGLTSEGDARQVAVYLWNNYLGGTSSSRPLGDAILDGIDFDIELGGAKYWDRLAR >Et_6A_046982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23548697:23550261:-1 gene:Et_6A_046982 transcript:Et_6A_046982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLFTKGFSYLGLSSSEKVEKLERKILQLKLMLESADDNPQRETLERCIKELKSSFYAAEDILDDIDYHRLENQDVETMPDFDVTIETRTELKEALDKLENLIDEGQKVLSLVKLPISCDYINNAPNSIVRLPTKTTSSPPVVFGRDEDLETIRKFVRDTTADDEASSSRTNCYSVMCIHGLPGSGRTTLVRHVCEKERDDGYFNLVMWIRVPQNFSVDTIFTEMLEIASGSKRNQFSNLDMLQRELKANDVWYDQDASGKMNLLLSPLMVGKMGSRILMTTVDAARALGARYLFTIPDLDEEKFFSIEDEELLRAHQSIGRKIAEKLGRSPLAARILAVP >Et_1B_010444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10615927:10619354:1 gene:Et_1B_010444 transcript:Et_1B_010444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSAGIAGDKSPTELSFRAMGFVVEQEFRAFSAAGKNKTAPVEEAADYDQVSDQSSRFSEKSSPPPSTGDKVLKEPHLTRAPSEKAKAGKPRRSTSAKADIGLAFGRKSTSGLGKAVEVLDNLSSSMSNLSPGGGFVSGTTAKGKKIAILAFEVANTIVKGMSLMQSLSKESLKYLKDTVLQSESVQRLVSSNLDDLMRIAAADKRQELKVFSREVIRFGNRCRDPQWHNLDRYFSKLESEIIPQPELKETAKAKMQQLMTLVRYTGDLYHELHALDRFEQDYRRKLEEEKRSVTFERGDTVQIIRQELKSQRKHVQNLKKKSLWSKTHDDVMEKLVDIVHFLHVEIQDAFGPYDGESNESSERNQTLGSAGLSLHYANIISQIDNIVSRSSVPPQSTRDALYHGLPPNVKSALRTRLLACSEPQEVPITEIRSSMEKTLLWIVPVANNTSRAHHGFGWVGEWANTGSGLARTMSGQHDVLKIETLYHADKQKADACILDLVVWLHCLISYSRTNKTSSASTVLTREDREMLQDVYMRRQQRSPGKSKSQELAAGRRSALSKNDRLSKSSNHSPSRENGKVFPLTSNRSPALSPVVDFDIDRIKALDVIDRVDVHKQQL >Et_9B_064538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16338948:16341418:1 gene:Et_9B_064538 transcript:Et_9B_064538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMAGRPGSWGGLVLRVGQAVCAGACVGVMGSSLGFASYTAFCYLLASMALQSLWSLGLAFLDGYALKNNKDLTSPILVSLFLVGDWVTALLSFAASCSAGGVVILFKRDVLFCRRYPQLPCGRYEIATAFAFVAWALSATSAIIMFWLLASS >Et_3B_030069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30240412:30240852:1 gene:Et_3B_030069 transcript:Et_3B_030069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRLQRLDEGMFSRHNLSRRFVSRGLIFGFNIFIKAKSGGKWNRIWHWSVIVLSTVLGIATTAAAVRLIFNNARVYHFFADM >Et_2B_020183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17783036:17783708:1 gene:Et_2B_020183 transcript:Et_2B_020183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAEDAPTKTASDATATTKTASDDTTATAKSLLALALHAWTLCVLVVVLVALLLLVPVVIVMVFPVACLAASLYLLCAITAQLLEPILLHPDKLKLAREHRGGVRESRKQDCVEVDRAEAPGGFDDDASSAEDEHGERPEHAGGREFSSSDEEGFGDSCHGEPRRFFFVDSYYKSFYDLLAFWRSLERGQWR >Et_10A_001284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22974013:22974934:-1 gene:Et_10A_001284 transcript:Et_10A_001284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRTGKKREQGKGNCSGDRRRLGTNTERRRHSRSQAAAGVREAGPGRGAAPDRPSAPPPIPPPSPTDWCPPISSLLPSEMEKCDLVPPPCCRSSMKKKTIDHPFPYVRAERAKKDALRSAARVADVLVDTVDGEAHQLFVNEKRIELEARALLSAVTRYRKQTYQWLAATNEINSALKEIGDFKNWMKIMDFECKGINAAIRNIHQA >Et_1B_010120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24937640:24938478:1 gene:Et_1B_010120 transcript:Et_1B_010120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPQPPWLSRRLLQSGTDNSGVANPNRIPGIPPADPPSGASSDVVVILAALLCALICVIGLVAVARCARSRRNRAAAEDGAPSSPSSSVADGGLKKKALKALPKLAYADAGGGEGGGAAVGVRHLPVGVRRAGGGARDAAVRARVPRRLRRHLAALQLLLPLLPPPHRPRRPGPAQALPQVRGRRPGGRRRRILVLRRRRKQRRPEPWRGVLAVAAAVVAWSWVSDEWAQGPSR >Et_9B_064975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20079785:20081141:-1 gene:Et_9B_064975 transcript:Et_9B_064975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIDLVSSSLDIRWFMAPLWPFSDLLSSDGFEHASGGVAATREALAHVVGVGRGRVSDGLILLVLDVLAVEVGCEAPDAERGGDALLGAERVAEHGDAGEHVGDEHGGHADAAVERRREVDHPGRAHEAGLPRQHQRRHDGPVQRRRALPPRHLAVEAPRRCHPDRRHRRGRELHHRLHLDGEDKAARRRLAVEELHDGQVRGEEPRGAQRHDVADEVVRFAAAAALGALAAGVPQGEEHRADGEEHDGVEVDEGERVADDDGGEDEREGELRRQQQRRRRHGEERRAVGEEQVVGAHEGADDEARREEPAPPREPRERPRRVGGAAPAGDGERGGDQQREPRRLHQRHHPQVAAAAREVEPRQQARRDGAHEQRRLAIKSSSVRRRRDDDDAEEDVASSAPGLVIAAAIADDDDSGSLRRRIDEWVTGGGGGVRLVVMRRNPYIKTTYM >Et_3B_028905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20258162:20262869:1 gene:Et_3B_028905 transcript:Et_3B_028905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPAAVKAVVDRGPGNNSFRWGDIEPSYFVLDAASGNSVALRLPDPGHELVLLQAILGVLASSAGGSHHIFASAAFRVPDTDHEAVMPQALLGVLASSAGGSHNIIASAAFRIPDTDHEAVMPQALLGILGSPAGGGHYMVPELQPLIGGGDHTTLLCFSSDLGEWVEKEHHYPLPSRALAPIPDLSWGLITADPFADRPVLNFVPLLPGTVLQSRDKYLYVGLITDNLRFADILADTHHFAVDVQFLSTRISSELCMPRIDELLQQSDQWEESYKPRDEWIQFLKTGVNHWSYRQVLNLRIRQIIRIVILLLSLTANVTNASKEYGHDVCLKETIDSYLLPRQFCPVVQLSGKHWIHAKRARIESVSIEKKAPKISEISTSSFKDPGVIFSFKDLGVLLVLASCMTPLPYIINMDDYILFWKKKAAIQNMFSPFDIYGCDLGPIESRTGNNLGYIRSEAGY >Et_2A_016226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22237443:22243002:1 gene:Et_2A_016226 transcript:Et_2A_016226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEREKQGAAATATAGRVPLPSPAREKKSSFAVTCGLLSQYLKEKKGLGMAAPPAATAGVVRPPITMNLLSALDAPAEEPKDAAKATADPRPSGSVDLSLEEKATTEPPAPVAPTDQQNVENPREEAGEEEQQLTIFYGGKVVVYDNFPATKVKDLLQIVSGGHGVDKASSNAAPHNLPTPSHNLSGNSLPFLKIIAKAPYQANTSAEASKQATGEKSWLGLGQEIVSATVNRPASVWKISDENYRTLLVFRALRRISRV >Et_8A_056686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14989573:14996620:1 gene:Et_8A_056686 transcript:Et_8A_056686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GLEIWRIENFKPVPVPASSYGKFFTGDSYIVLKTTALKNGSLRHDIHYWMGKDTSQDEAGTAAILTVELDAALGGRAVQYREVQGNETEKFLSYFRPCIMPQPGGVASGFKHVEVNEQDHVTRLYVCHGKHVVHVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALGVVQYIKDTFHDGKCEIASVEDGRLMADEEAGEFWGFFGGFAPLPRRAPAEDNEKHEEISVKLLCFNQGKLDPINYESLAHELLETSKCYFLDCGAELYVWMGRTTSLQERKGASEAAEKYLSDVNRTKTHIIKVIEGFETVMFKSKFKEWPQTPELKLSSEDGRGKVAALLKRQGLNVKGLMKAAPAKEELQPYIDCSGNLQVWRVNDKDKTLLSSSDQTKFYSGDCYIFQYTYPGDDKEECLVGTWFGKKSIEEERVTAVSLASKMVESAKFQAAQVRLYEGREPIQFFVIFQSLQVFKGGLSSGYKKFIAENGIDDDSYSEDGLALFRIQGSGPENMQAIQVEPVASSLNSSYSYILHDGNTVFTWAGNLTTALDQELVERQLDVIKPNVQSRSQKEGSETEQFWSLLGGKSEYSSQKLMREQESDPHLFSCILSKDDLMTEDVFILDCHSDIFVWVGQQVDVKVTLQALDIGEKFIAHDFLMENLSHETPIFTVMEGSEPPFFTRFFTWDSAKSLMHGNSYQRKLSILKGGGTPSLDKPKRRTPVYSGRSVTQDKSQRSRSVSFSPERVRVRGRSPAFNALAATFESQGNRNLSTPPPVVKKLYPKSVTPDSSNTSSKPSAISPLAGSLDLPEQNSATKPVKDGSELEKPKQEEDAKAGTDTMTKKVESLTINEDVKENEPEDDEGLPIYPYERLKTTAADPVTEIDVTRRETYLSIAEFKDKFGMTKEAFSKLPKWKQNRLKIALQLF >Et_1B_012358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31089636:31096981:-1 gene:Et_1B_012358 transcript:Et_1B_012358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGRGVVGERWSQRILWMCAIGSAVSLYFVAVERQAQNRARAVAEGLKALDGAGGAGEDTIRGEESSDYSRKQVDETYKQGDTLAIQHRGGPISGGDHRRWPSEAMVKTTQIEVTKSLTYFAKCRSEAKKSGKQHGAVAPFTQSGRFQSAKLTRWCRHQWQHMNDGRQGQEQ >Et_2A_015852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18407378:18411741:1 gene:Et_2A_015852 transcript:Et_2A_015852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKPGAAVDPEVVLAHKFPEVSFAYDEREVALYALGVGACGSDAVDDKELHFVYHRDGQPHIKVLPTFVSLFPNKNSNGLGFVDVPGLNFDASLLLHGQQYIEIYRSIPSFANVVNKIKVAGLQDKGKATVLELETTTSLKDSGEILCMNRSTIYLRGAGGFSDSSRPYSYANYPANQVSRISIPNSPPSAALLYRLSGDYNPLHSDPEIAQVAGFTRPILHGLCTLGFAARAVIKSFCNGEPTAMKSIFGRFLLHVYPGETLATEMWLDGQKVNYQTKVKERNRAVLSGYVVLKHIPSSL >Et_4B_037844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2403893:2406742:-1 gene:Et_4B_037844 transcript:Et_4B_037844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PARSTGGLNNINNDNSSATDSKDLRAKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTIVKFEIWDTAGQERYAALAPLYYRGAAAAIVVYDITSPESFSKAQYWVKELQKHGTPGIVMVLVGNKADLHENRSVPSQEAQEYAEKNNMYFIETSAKTADNINQLFELQAIAILFLLVVDHGQDAKLIIGGYLGR >Et_6B_048333.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:12985771:12986457:-1 gene:Et_6B_048333 transcript:Et_6B_048333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVRQHGVDGLHDGHGEEEDGGGEGEERPGAPEVGVHEVGAPHVPRLAAVGVHLAVHGVERALGLQHHVFRDAVEQLRHRLVAAHGVLDHAPGAQQRHVLGRVDQVVHEEDVGRDVVARARVEALEGHHVEQHVLGGVVHGHGRHAQLAVTETDVVEAVGGAGLEPDPGLVQLHRRTDDVRLRLVEVLVRPAARRLVRAVEHAPAVDVERVEAQAHAGWRKVRGWGQEP >Et_4A_035864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7548638:7552757:1 gene:Et_4A_035864 transcript:Et_4A_035864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFAQGLYDDDGALGSGGGPALIVGATGYIGRFVAEACLDSGRKTCILVRPGNACPARAAAVDALRQKGAVVIEGCVRGVEVALRAHGVEVVVSVMGGANILDQLLLIDAIRTAGTVKNVRIDRLTRNLCVRAWIGRRARKQRFLPSEFGHDVDRAAPVGAGLGFYEEKRRVRRAAEASGVPYTYICCNSIAGWPYFDNTHPSEVPPPLDRFQIYGDGTVRAFFVAGSDIGKFTIKAAYDPRSANKIVHFRPASNLLSTNEMAALWESKIGRTLPRVTLSEDDLLAMAAENIIPESIVASLTHDIFINGCQTNFHMDVCRDIEISSLYPDVPFRTVEECFDGYAKSLRLELEEAEEKNNKSKAPMVERLENPGSIALCNLIAKICHPRI >Et_1B_010842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14693413:14698843:-1 gene:Et_1B_010842 transcript:Et_1B_010842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKSSVAVTPAAVPVPEGKSGKKGKRNAEDEIEKAASAKKQKTVPVVKKEPPPKKVESSSSEEDSSDSEEEVKVQPKKVVVPKKGTQPAKQESSDDSSDESSSDDVKPDSSSSDSSSDEESDEDVKPAAQLKKPSGASVQKKAQESDSSDSDSDDESDEDVPAKAPVAAKKKEESSDSSDSDSESDSMDVDNKSKTVQPAKAAPKVKEESSDSSDSDTESESDSDEPQKTAAPVKRPLATDKKKEQPKDESDDSSDDSSDDSDKEPPQKKLKVSSKKESSSDEEDDDDSSEGSSDDDNEETLAKTPAKKPKTPVSNQRQASGSKTLWVGNLSYDIEREQVKQFFEEAGEIVDVRLSTFEDGKFRGFGHVQFATAEAAQKVNHLLDYFLVDLANERDNSSKKSSNSIYIKGFDTSLGEDEIRNALEQHFGSCGEITRISIPKDYETGGTRGIAYMDFSDPDSLNKAYELNGAELAVTGVVATVEEEGVIEAVVATVVEAVVATVVVAVEAVMVGADVEGLVTEAVEDGVARLTGRALVQRVQERRRHSVTTE >Et_5A_041774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2706373:2708955:-1 gene:Et_5A_041774 transcript:Et_5A_041774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTPPKSGDALFASVDRVGTPGLGTKYGPGLICFRCYNAELFTLTYGAMVRQLLTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSRCVDFKETADVIAKLGFKMFLGVTATVTNWDAEGTSCSFILEDNPLVDFVELPDTCQGLQYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLIKQVPEEYPYKDDD >Et_4A_031838.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21381054:21381963:1 gene:Et_4A_031838 transcript:Et_4A_031838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISIPPPRKWNHRHIILVTLVGCLVVNAITAAISISLSPAHIFFSIKDAATSGNKTGEDDTMNYTFTLVANNTSPRMAVHYRALSAEIWYRATTWVPAVVDRSALRHNGTVQPPGVVANISVSAEYWQSEQPSTPPPPVTGDKTPAPPAATTSDTSTDWSSCTVLVTASVWFKSPWWIGTRAYDVRANCSQVNFHDHKAIVDCK >Et_4A_032010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11872431:11873105:-1 gene:Et_4A_032010 transcript:Et_4A_032010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNGAGKSNLMDAISFVLGVRSTHLRGERLRDLIYALDDRDKETEGRTASVRLVLEAGPAGEELHLTRTSTGDGRSVYSIDGRVVGWEEYDARLRSLGILVKAPNFLVFQELTAFLEKISGSDELRREYDDLEEQKTRAEAALAMAYQEKRTVVMERKQKKVQKIEAEKHQELQQTLMQLKTEHSLWQLYTIEKDREIGS >Et_7A_052011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3685836:3693730:-1 gene:Et_7A_052011 transcript:Et_7A_052011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGASRVANHGQDSSKPKTTPSSSLSSRCVTETDTATHSFEVPSFSRLLEGMGAGKFVASSTFRAGGRGWNIKLYPDGLKEEHKGHASVFLCLADGAADVWAKHTLSLLDRHGQVSQLCNVSMKSSHTFESEIAGSIPDSWTSPSWRSCCASIDAADCFTIRCELTVILGSRAEDLKQQRENPSFFKHASSPHAERWERHGRDVQRGRQLFRAHRCVLAARSPVFDAEFFGPMKENAAAETLIQIEDMEPLVFESLLHFIYTYRIVLDGVGNDDRYEAMQHLLVAADRYGLDRLKMMCEAELRDSIDVETVATTIVLAEQHHCVDLKDACLTFVASRDVLGAVMKTSGFKHLTESSPSLPSFAMADASASSTNQSLLSETSSSCHTQTITAAHNFEVINFSLLKGMGVGKFVSSRNFSVGDSDWNIRLYPDGITTEHTDYASAFLILRGGKTGTRVTFSLSLFGRKGQVKLLKATQIFMEVDQGWGFNEFMKRSDLQPQLRLNNDSFRIRCVMTVIKDPVIQDVSTIVVPQSNLLQDFAQMLKDEESADVTFSVGGQLFPAHRCVLAARSAVFRAELFTMAGNSSSSSLNQVLPATTSTCLTQSITGTHNFVITNFSLLDGRGIGQYVSSGSFSVGGCYWRLHFYPDGENGNDAGYASIFLNFLDGPEDTCAKFSISLFDKDDQALRRRRKKKRKGSIVSRDLAYTFGHDGRQDWGITRFIEKSLLQELLPLSNDCFTIRCVLTVVKTRTEDAATIVVPPSSLHLDFAHMFKDAEGADVTIKVGDQFFLAHKHVLAARSRVFKARLFGVMKENPAECIQIDDMEPCVFDKLLYFIYTDSLPENFEGNKNVAMQHLLVAADLYGLRLMCEEKLCSWIDVETVATTLVLAEQHQRVQLKEACLGFLAWRDVLGSVLKTEGFKHLTDSCPMIMKEILDKIASAKNECRTLGITATHNFEVTNFSLLDSMGIGKYVSSSTFSVGGC >Et_3A_023408.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:26074947:26075174:-1 gene:Et_3A_023408 transcript:Et_3A_023408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVGMHHLLCIALLLSAVLRSDSARDLRQRAATVPVGRPATAEMTVPDASQSTGGAAAHESKRLSPGGPDPQHH >Et_7A_052516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8865894:8875236:1 gene:Et_7A_052516 transcript:Et_7A_052516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPENESPAGNKKEEEGHRSKQDDELNMHVTTAVKLLLPYVQDVLFFLETDCLQKARLEEYKRLIDLKAALRQSNLNPKRPDANHLRTLDSSIKRNTAVIKKLKMINDEAKDVLIEELKTVKLSKFVSEAVSYICEAKLRSTDIQAAVQICSLIHQRYVDFSPCLIQGLLKVFFPEKSVESDSDKNARAMKKRSTLRLLIELYFVGVVEDTSTFTTIIKDLMSLEHLKDRETTLTNLSLLASFARQGRIFFGLQHEEDAYDEFFTGLNITSDQKRFFKKALCTYYDSATELLQSEHVSLHSMELENAKVLNAKGELSDENAASYEKTRKSFNQLLRCVSSLAEALDMQPPAMPEDEHTTRVATESDFTPEKESSPVQPIWDDEDTKAFYESLPDLRAFVPAILLGEAETKLVDQHEKIDEQSKECTLQSETEVQNNGETCVSEHQMEVKENGNINKENKETEKAEKEKYEEKVVDRKGDGSRLDNMLQKLPRCVSRDLIDQLTVEFCYLNSKANRKKLVRALFTVPRTSLELLPYYSRLVATLSPFMKDLPSMLLSMLEEEFNFLINKKDQIKIETKIRNIRFIGELCKFKIAPSCLVFSCLKACLDDFSHHNIDMACNLLETCGRFLYRSPETTVRMSNMLEILRRLKNVKNLDPRHSTLVENAYYLCKPPERSSRASKARPPLHQYIRKLLFSDLDKSTVQHVLRQIRKLPWAECENYLVKCFLKVHKGKYSHVHLIALLTAGLSRYHDGFAVAVVDELLEEIRVGLELNDYAMQQRRLAHMRFLGELYNYEHIDSSVIFETLNVIIVFGQGTPEQDLLDPPEDFFRIRLIITLLQTCGHYFGRGSSKRKLDRYLLSFQRYALSKGLLPLDVEFDVQDMFAELRPNMTRYSSIEELNDAQVKLEENECLVSAEKGGNERHADSKSWLKQLENAAFDANGKRSVNRPDENGRGHEGAADSESSSDSTSRYRDGLEEEFPCEESSSDSSIDSDEEGCVQVRRKAVQVDRKEQEDFDRELKALLQESLESRKLEPRARPSVHMKIPMNAFEGSKDSATAERADGENSYADSGRSGGAGKVCVKVLVKKGHKQQMKKMLIPGDCSLVHGTKQQEATAAELEEKQNIKRIILEYNEREEEELNGGSFGSITLAGRDSWDVASRGGGGRQHFYIAGGAYRGYGNGRRWAE >Et_6A_047508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6747506:6749236:-1 gene:Et_6A_047508 transcript:Et_6A_047508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAARSKKALVFIVVPLLMTLLAASVSAGRSTFSMANERCAKWEDNCNALDCGAKCAFGMVIGVGTCKVIGRVTYCCCVPSHPPSSIVVPRQLKAHIFIVVPLLMALLAVSVSAGGRSTFSLANEHCTKWDNCNNNLCGGRCAIGMINGVGTCKVVGRVTYCCCTPRDPSSIGVHGQIVH >Et_2A_014757.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:12194916:12194999:1 gene:Et_2A_014757 transcript:Et_2A_014757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKVCLHSSPDFVATIVATDCNPILS >Et_8B_058525.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:12841835:12842146:-1 gene:Et_8B_058525 transcript:Et_8B_058525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEVFTGRRPTYAMFVGELNIRKWVHQAFPTELASILDIQLLHDASCTRDLNNLLPPMLELGLVCSSDSPDQRMPMSDVTVALKKIIKDYTKSAPATLQRAS >Et_1B_011290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:204365:204956:-1 gene:Et_1B_011290 transcript:Et_1B_011290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESAASSSSSPPAAVRGRCIARIRLPRAWTAEEDARLERLAKENGFRHWHRVARRMPGRSPRFCRDRWRHHLARDLYHRPFTARDDDDLRRLVARFGSSGRWKDIGRAVYGRTSRVMNQPRSRRQEMIMYVDDDDHSSCQSTASYADDVLASSFVSCSLSAVDPRAGSLALGFASMVV >Et_3B_029599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26330933:26333097:-1 gene:Et_3B_029599 transcript:Et_3B_029599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGSAVYHVVEAMAPLYTAAVLGYASVRWLKAFSDEQCAGINHFVALYAVPVLIFHMVSTNDPYHMNERLVAADTLQKVVIFLVLMAWALWARFYRRRRVPDGKKTAAAEASPLKWVVTSFSVASLPNTIIMGVPLLDGMYGAVSGGLMKQIVVMQFCIWYNVVIFLYEFMAAREGISAKINPADQNAARLDDDEESGVPADRSRRHEVAVNIEITEVPAPAPRDAAADDDETQNKKELGGGGGVTTTEAAEVTVPAVPVMRVVWKAVKKLLMIPNTYASFLGLLWSLMAFKVGISMPKIFDGSLSIIHQTAVGLSMFASGTFIARQSRFVPCGYTIASLSMVLKFLIGPVIMLLASYAIGLHGTLLHIAVVQAALPLAVTSFVYAEEYKVHADIMSTGVILGIFISLPVTIVYYILLGL >Et_8B_060567.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:2361880:2365137:-1 gene:Et_8B_060567 transcript:Et_8B_060567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPLPSISSHHRAPPPWPPPKNSTNPRARIQCGVLAPPAGRVLEAAVTTPRDTRNPLNRAPRSYVNAQIQRLCRSGDLTEALILLGSDGVDVGSYCAVIQLCGEERSLEAGKRAHALVRAAGVGTSGMESVLGKRLVLMYMKCGDLGSAGRVFDEMPQVSDVRAWTSLMSGYAKAGEFQEGVLLFRQMLCCGVSPDAHAISCVLKCIANLGNIMEGEAVHGYLVKLGLGAQCAVGNALIALYSRCGQMEEAIQVFDGMNQRDEISWNSVIGGCLTNRWHDRAVDLFSKMWFEGLEINSVTMVGVLPACAELGYGLVGKAVHGYSVKSGSLWELDSLESGIDDVLGSKLVFMYVKCGDLDYARSVFNAMSSKSNVHVWNLIMGGYAKVGEFQETLLVFEQMHDLGITPDEHTISCLLKCITSLSDAGDGLVVHGYLVKLGFGTQCAVCNALISFYAKSNRIEDALKVFNAMSHRDIISWNSIINGCTSNGLYGKAIDIFLRMWVQGQQLDSATLLSVLPSCTQSNNWFAGRVVHGYSVKTGLIEETSLTNTLLDMYSNCSDWRSTNQIFKNMDQKNVVSWTAMITSYTRAGLFDKVAVLLQEMGLDGIRPDVFAVTSALHAFAGDESLKQGKAVHGYAIRNEMDTVCPVANALMEMYARCGNMIEARSIFDRVMNKDVISWNTIIGGYSRNNLADESVTLFSEMLLQFRPNAVTMTCILPAVASLSSLERGREMHAYSLRRGYMEDNYVPNALMDMYVKCGALLLARRLFDRLTEKNLISWTIMIAGYGMHGRGRDAIALFEQMRANGIEPDAASFSAILYACCHSGLKDEGWRFFNAMRNEHKIDPKLKHYACMVDLLSHTGNLKEAFEFIESMPIEPDSSIWVSLLHGCRIYRDVKLAEKVADKVFNLEPENTGYYVLLANIYAEAERWEAVRKLKNKIGGRGLRENTGCSWIEVRGKVHVFIADNRNHPQGNRIAGFLDDVTTKMREEGHDAKKNYALMGANDAVHDEALCGHSSKLAIAFGVLNLSEGRPIRVTKNSRVCSHCHEAAKFISKMCNREIIIRDSNRFHHFEGGRCSCRGYC >Et_2A_016252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22600458:22604566:1 gene:Et_2A_016252 transcript:Et_2A_016252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPSSGSYLLLLAPLALLLIVFALPSLRSSGRVGSDGGLGALCARRFIAGDVVAAASPPPAAVAEEPELSLLVGVLTMPKLRERRDIVRLAYALQPPAPRARVDVRFVFCNVTDAVDAALLAVEIQRHGDVLVLDCAENMNDGKTYAYLSSVPRLFAAAPYDYVMKTDDDTYLRVAALVDELRGKPRDDVYLGYGYPMGGQPMPFMHGMGYVVSWDVASWISTAGEILERNDTMGPEDLMVGKWINLAGKGRNRYDLKPRMYDLNWFMDNFRPDTIAVHTLKTNRRWAATFRYFNVTAGLTPSDLYHLPSLDAPEFSLLVGVLTVPNRRERRDIVRMAYALQPAAPRARVDVRFVFCNVTDPVDAALLAVESARHGDVLVLNCSENMNDGKTHEYLSSVPRIFAADPYDYVMKTDDDTYLRVAALAEELRGKPRDDVYLGHGFAVGDDPMPFMHGMGYVVSWDVAQWVSGNQEILRHNDTHGPEDLLVGKWLGIGGRGKNRYNLKPRMYDLGWFMDNFRPDTIAVHMLKDNKRWAATFRYFNVTAGIKPSDLYHLP >Et_1A_008734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10991323:10993393:1 gene:Et_1A_008734 transcript:Et_1A_008734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATYGILIVGGGICGLATALALHRKGIASIVLEKSETLRADGAAIGIYVNGWRVLEQLGVAAELRETANVITAHRNVWQQGNKTTLVPIRNEFRCLKRKDLIETLANNIPVGSIRFGCHIVAIHEDPGTHGAVLTSVDGSTIRAKVLIGCDGTNSVVAKYLGLSAPKTIPITVLRGFTNYQHGHPFEIEFLRLRGNGFFLGRLPITDNVVHFFVAMLNASTGAATDDARAVKDLALEKLKEFPAEFADMVRDSEPGSQNVRPPWLVAFGAFQKGAVTVAGDAMHAMGPFIGQGGAAGLEDAVVLARALSRAAAGEPCGDDEKKKTVEAAIAEYARERRRRVAMLSLECFVMGTLLRAKSIVTKVACVAMLVLLGGKSLRHTNYDCGRL >Et_7A_051747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2460064:2463934:1 gene:Et_7A_051747 transcript:Et_7A_051747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRLPLLLLVLPLLVAATSAAGVAPGAASHSQPTLPTPVQAVAPSKAPATKTPTAAAPHSQPKLPAPVQAAAPSASPVTRPPTAAAPHSRPTLPAPVQVVAPSKAPATRPPTAAAPHSRAKLPSPVQAVAPSAAPPAGALLAAFLAKADPSSHLRAPPSAAAAACSYPGVSCDAAGQVTRLVLESSGLNGTFAPATLSRLADLRVLSLKSNALHGPVPDLSPLANLKALYLAGNRFSGPFPPSLASLRRLRSIDLSGNRFYGALPPGIEAAFPLLTLLRLDANHFNDSLPAWNQSSLKVLNVSYNDFSGPVPVTPVMTQIGAAAFAGNPKLCGEAVRRECHLLFFHGGASSNVSAAPPVHSVAAGDVGPQEEPDVPDSRAKKSRRRTTVAVGVAVGAALAVLLCAMIAMKRSKKQRRPRSVAYPSPKKSAAASDVSRDGADIGYVECVRDEETAAIMVPEDKARRLERSGCLTFCAGEAASYSLEQLMRASAEVLGRGSVGTTYKAVLDGRLVVIVKRLDAAKIGPAALEAEAFEQNMDAVGRLRHPNLVPLRAFFQAKEERLLVYDYQPNGSLYSLVHGSRSSRAKPLHWTSCLKIAEDIAQGLAYIHQASRLVHGNIKSSNVLLGSDFEACLTDNCLSFLLESSEVKDDAAYRAPENMKSNRMLTPKSDIYAFGVLLIELLSGKPPLEHSVLVATNLQTYVQLAREDEGVDRDRISMIVDIAAVCIRSSPESRPTAWQVLKMIQEVKEADTIGDNADNDSDLTSNS >Et_1B_012778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35063631:35070721:1 gene:Et_1B_012778 transcript:Et_1B_012778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRSAVLIVLIVVLLGGGERRQQVAAEGVFCRCYKDCYRKCRVSPGGDRFGCGFVCFTTCPLVPPGPPNHCHAVCRQLSICGVAAPTGECLDDCSTTVEHSTSAGDCDGICRPLSFCGTAANGLHQQPRSLRTNYCKPQLIMRAAALCCLLIFLLSGQQQQQVAAAPFDYCKCYRHCYSDCRDWEHHPRWLCCLRCLNDCSGAAEHAFVSASAGDCNGICRPLSFCGTAANGADDVEACVEDCTNNLRAYSPTTADLN >Et_1B_010144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25802764:25803146:1 gene:Et_1B_010144 transcript:Et_1B_010144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIYMCTMLPTQCMYMSTTFSSTLSLYAYEPEDTNQTYINGKVNFTSPVNEGRIDFKFCTLNSCYSQVCYCCQNQKPKGLCYHTRDQCKAACPSCHPKCPPEAPSPQTTE >Et_9B_065634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8473521:8474241:1 gene:Et_9B_065634 transcript:Et_9B_065634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLNRIITIVLRLAAAAAAAAAAIIMVTSHETTSFFGLEMEAKYSYTPSLIFFVVALAVTAAYSLLVVLVRPGSTISRLVLMSDVIIGMVLTGAVAATGAIAEVGRNGNTHAGWLPICVQVHAYCNQVMGALIAGFVALVVYFLMIMYSLHEMVEPLCSCH >Et_1B_010663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12832377:12848240:-1 gene:Et_1B_010663 transcript:Et_1B_010663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFRRPALLLALPVLFLLLAQVPSPEAAESTPGTRTRKIGGGGPSSVFSLFNLKDKSKFWTESVIRTEFDDLEGSASRESIKKGALNFTRAGNVANYMSLAEVDSIYLSIPVNFIFIGFDGKGAHELKLGSEELERWFTKIDHIFEHTRIPPVGEVLTPFYKTSVKKLRQYDLPLVSHVNHNFSVHAIHMGEDVLSVFENAIKVLSRREDLADSRENEEGLLQVDSAQMEHVVSTLVDHLQIQEAYNIFVLNPKPIGKSVNYGYRKGFSESEINLLRENKTLQARVLQAKSDNKFFLDIEKGVNKRPLYESHPLSSFSWTTTDSMDMGDWSKKCKEALSKFELLKEGKRPFSWGPSVGGDGVRTELSLPNVAKAFGEVTEEEAEEKLQDTIRERFSSFGEDYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKELDERMHDLKNELEGYNTGDSDEINKNKALDALKRMENWNLFKDTSEDHHSYTVAHDSFLARLGSLLWGSMRHVIAPSVSHRAHHYYEKLSFQLYFVTQEKVRSIKQLPVNVKSVTDSLSSVLLRYQKSMFSQHMLSLSEEPALMMAFSMARRAAAVPLLLVNGTYKSTVRTYLDSAVLQHQLQRLSEHSSLKGGHSNHRSTLEIPIFWFIHTDPLLLDKHYQAKALSNMVVVVQSDDDAWESHLQCNGKPILWDLRKPIRAAVAATAEYISGLLPSHLVYSHAHETAIEDWTWSVGCNPLSITSQGWQLSEFQQDVIARNYIVTSVEESIQVVNSAIQRLVTERTTEKGFKLFKTHESVMVEKYNSVVSLWRRVSAMSKGLRYGDAVKLASMLEDASHGFSSAVNSTISSLHPVQCTRERKLDVQLDLTTLPAFLAVFLLLWFLLRPRRPKPKIN >Et_5A_041144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18672269:18672717:-1 gene:Et_5A_041144 transcript:Et_5A_041144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSLFCPAPRSAKGQTNLIDRLCTFANGNGRSRTATPPRRAVPAAVPGPSEPDAPARHPARLRWPSARRSPTRSPSPPAPTSSRSSILALNAACEEPFRAELPGTTSRARWWMDSVFRSMLAFPRLRDAGYLPVKGKSTQL >Et_3A_024584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22324339:22326464:1 gene:Et_3A_024584 transcript:Et_3A_024584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLHLIIAGGEEVKPGMAVACEAGDGFVIHLSQAALGETKKGSENVVVYVKVGEKKLVIATLSADKHPQMSCDLIFDEAFELSHSSKTTRKSAAKLPAKVGNKDKEDDDEESDDSDSGSDDLNSDSDSEDDSMSEDDSSDDSEEDEDEDEETPVKPVVGKKRAAETSLKTPASDKKAKLTTPKKETGDKKGVHVATPHPAKQASKTPTDSKQKGPKTPADSKPKEKAPKTPADSKAKEKSPKSGSHSCKSCSKTFNSAVALESHQKAKKHDA >Et_1B_012427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31953401:31954138:-1 gene:Et_1B_012427 transcript:Et_1B_012427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKTRLCRSSSRVMSAPWLAFSASSRTPAATSAKYASRRTRNGGSRTVWRSRSSSGHGLNTLLASGWSWRATHLNALAEASPPMASLGGAPPNSAAQVKSSMWRALCLLNSTHPDDAVRSASTASNMAANAAPNAGATRPIRAAASPIATRLSAAWRGSPASRNASGRWPPKNPSDGTNVPSSVSVLSTRASSRRTRKLRATTRGSPEMKGPGGMLRRSTVAPPWRAMRLRKNSSAPWK >Et_4A_035341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2102619:2106223:1 gene:Et_4A_035341 transcript:Et_4A_035341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPPPLHLAAAATSALGVALGVRLLVVLSRSSALKPLAAATSAAVAALRAPRVVATASSPISALLAASKAATKSYKAARTLGPASALPSLPSSKLLKAAFATASLLRLAATAAPIPAASPAGVAVLAVLKSGYKLSKNSYKIVEGFLGLQVHKGFRNGVDALGVVVKVAVIASEVAVWAGVQWWGGGRRRCVRVLSFTRPTSLVLVGYSKSQPQAVLLEPELVDMDAEGYQLEQRGASELLSLAVPVPQARGSVEAHVPSG >Et_1B_014251.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:4372715:4373887:1 gene:Et_1B_014251 transcript:Et_1B_014251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGVLTTRSSVVLAIAAVLLLLPACHATHNITAILSARRDLAEFSRALTSTGLADDIDGRNTITVLAVDDAGMAAKAARRLPREALRRVLSLHVLVDYYDDAKLRRLPGGSAEASTLFQASGDAPGSAGMVRIAERRGGRVAFAPQQDGGDAPAVFYVKPVHEAPYNISVLQVSGLILSPAAEAPSSIWHHHRSVSDVLSRNGCGRFAGLVTSTADAAATFDKSVEEGLTVFCPADQAVEAFEPTFRKLPAYDRLQVVLYHGMVGYYSMKALQANREDLATLAPSRDGSGFDCAERHINGTVTLVSASHNAARVTRMLVDADPLAVYMIDAVLLPHALAPAPPELGTPVHSSSADGHHKNGASDPSLCCSPWRQRVAYSLLVLSLLMV >Et_1B_014300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5491697:5495501:1 gene:Et_1B_014300 transcript:Et_1B_014300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPKNSHFLLTLHASPRRHPPSFGTTPSAPTKPILIVFISKESMVGTVKVHNVSLKASEQDIQEFFSFSGDIVHVEMKSCDERSQFAYITFRDNQGAERAMLLTGATIAEMAVIITPATDYQVPAAVLADLESQNAGGMESALRKAEDVVGSMLAKGFVLGMDAVEKAKAFDEKHQLTSTATAKVSNLDKSMGLSQKFSTGTLVVNEKMKEMDEKYQVAEKTKLALAAAEQTVSTAGSAIMSNRYVLTGAAWVTGAYNKVATTATDVGAKAEERTMSDQEGEHLDGELAKTHLHECSEASEQECKHQEGDSARNFVLENPEMAQPESEHQEGERQTDLPENIKQEQKNEEGEIAMSNVQENSEMVDETKHHESESSKANISDSLLMAEQTEQEHEHPDATKNVTGSPVTIPVTVATFDGDSSSGTEKPESAQGFRIQRTRGNVPAADGSREQRKSKRKQRKVSSGMRWRVLSSLSNRALSALELDVDDSSGTAICWNASLPLPSSCCCCLATGERRPCCLCILEAPAGCEVA >Et_2B_020679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22676110:22677245:-1 gene:Et_2B_020679 transcript:Et_2B_020679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGLGWQHGAGVVDGNNWDLNAVVRLGGGGPVVPADPFIAVPPPPQQNVAVNPWQEVMNVQAPLDLAIAAGFDDDFLPEVLLDAPYYVPEPEAQLLPRQEEPAPAAVKPPQRKPPSGGAGPSRSNRGRWLLRKNVTITKVTAAELAASDQWAWRKYGQKPIKDSPYERSYYKCSSDKACGVRKMVERHVDDPRALLTVAYESGNVHRHGAPPVRNNALLAGTTRRGQGTSRPPRKAPRANVCGRASRSREAAPLSAPAAVLDDEAVNVNLTIGSAVMDPEGRGTEGVAGSGGAAMVNDTLSVDDSEWEAAGAAWAATWRW >Et_1B_011449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22389485:22419743:-1 gene:Et_1B_011449 transcript:Et_1B_011449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTRPPPPRRRWPLENWERLVAAVLKRDREGLGLGLAGAVPASLGRSTSDIEGILQAADDVQDEDPNVARILCEQAYTMAQNLDPTSDRRGVLQFKTGLASVIKQKLTKKDGAPIDRRNDIQVLWNFYLQYKSRCRIDDIQQEHELLRESGTFNTEMGARAEKVRTVSATLRALLHVLEILVGQSPTDRLGRQILEEIKKIKGSNAVLRGELVPYNILPLDAPSSVANIVGFFPEVRAAAAAIQDCEDLPRFPYDASQLRQKDIFDLLQYVFGFQDDNIRNQRENVVLMLANAQSGLSLPVGTEPKVDERAVTEVFCKVLDNYTKWCRYLGMNVAWTSLEAKNRKIILVALYFLTWGEAANVRFLPECLCYIFHNMVKELDGILALSEAEQAKSCITTDGSSSYLKRIIAPIYQTMAAEANNRNNGNAAHSASRNYDDFNEYFWSSSCFELGWPPADGSKFLCKPAKGKHTGKTNFVEHRCLDVILMFGAYKAARGFAISRLFIRFLWITTVSAFVTYLYVKVLEEKKLGKSDSTYFRIYVLVLGGYAAVQLVFALMAKAPACHRLSRVSDGSQFFQFFQWIYQERHYVGRGLYESISDYARYVIFWLVILACKFTFTYFLQIQPLVEPTKIIVQLHDLQYSWHDFVSKRNKNALTILSLWGPVVAIYLMDIHIWYTLLSALVGGVMGARDRLGEIRSVEMLQERFESFPEAFAQNLSPQSEMNLLMMPSNCGKLRVVQWPLFLLTSKIMSVSDYASSCNGSQYELWDKISKDKYMAYAVKECYYSTERILVSLVDGDGKHWVERLFLDLNDSMTQGSLLVSINIMEFKSVLSKLTDLTGLLICDETAESAADVTKALIELYKVVTHEFLAPNLREQYVTWKLSLKATEAELLFSRIFWPKDPEMKEQIKRLHALFTIKDSAADIPKNLEARRRLQFFTNSLFMEMPKAKPVSETIPFSVFTPYYKETVLYSKKKLLKENKDGISILFYLQKVYHDEWKNFSERIRCAKPLQYDFNLELRFWVSYRGQTLARTVRGMMYYRRALMLQSYLEKRYLGGIEDGNSSAESIDTQGYESSPDARAQADIKFTYVVSCQIYGQQKQDNKSKAADIALLMQRNEALRVAFIHEVDTVSSDGQVTKEYYSKLVKTAHGKEQEIYSVKLPGKPLLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYLEEAMKMRNLLEEFRNIHGYHGIRNPTILGVREHVFTGSVSSLASFMSNQEASFVTLGQRVLAYLKARMHYGHPDVFDRIFHITRGGISKASKVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIAIFEGKVAGGNGEQVLSRDVYRLGQSFDFFRMLTFFFTTMTVLTVYVFLYGRVYLALSGLDYAIPRQARFLGNTAFDAALNAQFLVQIGIFTAVPMIMGFILELGLMKAIFSFITMQLQFCSVFFTFSLGTRTHYFGRTILHGGAKYQSTGRGFVVEHIKFEVGLLLIIYIAYGNVKGGSSSFILITFSSWFLVISWLFAPYIFNPSGFEWQKTVEDYDEWTSWLFYKGGVGVKPKDSWESWWDEEQAHIRTLRGRILETILSLRFLIFQYGIVYKLKITGDNTSIAVYGVSWIALLAVVIVFKFEVIRNQFLRFCPVFQLLTATPKKSIALPPFARFLQGLLALGILAGITVLIVLNKFTIAELFAIALAFTATGWCFLCLAVTWKKLVKSLLIWDSVREIARMYDTVMGAVIFMPIVFFSWFPFVSTYQSRVLFNQAFSRGLEISLILAGNKANQKAQKI >Et_9A_063485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3999405:4000359:1 gene:Et_9A_063485 transcript:Et_9A_063485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIAGHQNGEAATAFLVYDDVVTFKKKKGGAHGDRGLVVYCQRQGTTQDNKLAVICDAGDLAVVDRSYMRLGELVASASDPGGQIGVVTKATTMLDLVQLSINGGEPVPAARGVSPAELRRVRELSVGDYVVSGPWLGRVMQVSVDIDVLIGDGVVCRVADAGDDKLEVIGGQHGHCQVTNTLFYPGQRVKSSPRLNGSFEGTVAKVDMGAVLVHWVASAALGTNRDMVNASTPPAFQKNPNNLTLFASSDDCFWGVGDRCFFRKGESSPQPLKKTLFKLGRRREETGDELRPVLMQVKRPMSVADTHTTADVL >Et_7A_052955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3308906:3310403:-1 gene:Et_7A_052955 transcript:Et_7A_052955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVPCYFLCPISLQMMRDPVTLPTGITYDRDGIERWLLIGAGTCPLTKQAVPADCEPTPNHTLRRLIQAWCELHLIPKQPAAGDEIPKKPPTDRARVAALVSRIAAQEGSSSLLAGLRELRDVAAESERNRQLIAAVPGAVDALAAVLVFASNVDKAAVACCDEALEIIVSLQLSEQRLARVVETNESLVLVDVLVSALGRSNVASRERAGQLLADVTAVMSPATNRLASQVFREATQLLRDRPSKAATKAALRVLWVSLITRVYFKHSTLSS >Et_5A_040970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15910063:15914303:1 gene:Et_5A_040970 transcript:Et_5A_040970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRATKILESNTALSPPRIPHWPYLLLAFAKPIRRHTKEKRVPRTWTLINSHSLAGSTIAAAARAMASGARLSVPCRLLSLLPPPTLCRLPCAAGASTSPRCRARRLLPLRGRCRPRASEAEQQGPVQEEEEVVDSNVLPYCSIDRKEKKTIGEMEQEFLQALQAFYYDKTAIMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGSPIMSDAEFDELKLRLKKEGSDIVQEGPRCSLRSRKVYSDLTVDYFKMLLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITNAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGAINSVKCANCGTAMVYDSSSRLITLPELAEA >Et_2A_015379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11876921:11880397:1 gene:Et_2A_015379 transcript:Et_2A_015379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSIEEPCLKGKPSWWSIRNWTAEIRHDTEIGRENHQWQGRGLSPTPKPLASPDNASSLLPAVARGCSVRSSSAMATTISSLITPPALLRRCRSIASSSAAATSLSFRAVAAPRARSRAVSLRVAASSAVLEAPEAVAARKLYVGNIPRTVTNEQLRDMFAEHGTVQRAEVMYDKYTNRSRRFGFVTMSTAEEAAAAVEALNGTEVGDRKIKVNVTESFLPNIDRSAPEPEPVFVDSQYKVYVGNLAKTVSTDILKNFFSEKGKILSATVSRVPGTSKSKGYGFVTFSSEEEVDAAVATFNNAELEGQPIRVNRA >Et_5A_041066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17687205:17691795:1 gene:Et_5A_041066 transcript:Et_5A_041066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAKALSHGNGDVDPGHHQVVGMEDQVQNLANRLKASAEADRHLNVFSIVGFGGVGKTTLAMEVCRLLEAEFQCQAMLSVLQAFEPSRDRKVLLKRVLEQTIKPKIGKEKGIAEQETLGDIGGMDADQLAKILEERLEGNRYLIVIDDVWTIQAWEAIQSVFPENNLGSRIIVTTRLVTVAKACNPTNGHFIHHMQPLKLYDSKRLFLGRVFGSRNATYPLELEDVMDNILKKCGGLPLAILSIANILAGYRSSESKYKWETICRSIGSQMESNPTLEGMRQIVTLSFNHLPHSLKGCMMYLSIFPEDYTVNKNRLLCRWIAEGLVLEKRGLTLMEVAESFLDELLSRNMIEAGRFYMDSVIEEQSYRVHDMLLEVMVSRSLEANFVSLLGGQYDGISYDRIRRLSIHGGNDGGIYYNPQKFEEVNVKHTLDVGCTLLDDLPETVTKLNRLERLLFSNYNNFQGGTTRWTLPRGLSKMKALRDVFTASIENDAEVAREIGELEQLQSLTLNIDIDHIDEEVLREIALSLSKRYSLRFLRIEDNWSGKILDFLHLLPAPPRLLRSLHINGGHNGTLPSWIGSLTHLVEFYMWNTEIDSDKLFDVLSDLPNLKTMMLSLNCYSHDKLIVRTSHRFPVLRDLKLVFMVLSRVLEFEATSMENLEKLEMTFYDDEETSIIGFEHLTNLKKVMLEGHKDGPILPLVLDCLKGENNRHPKPNQFQIVVTYD >Et_2B_020618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2286730:2287643:1 gene:Et_2B_020618 transcript:Et_2B_020618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AVISAHKIILATRSPVFSAKLYGSKAANTERYIDVDNMDPDYHIRTPFPKLLVPLARHHYIQLVGRRDKLNTSISEAAAIVLLGQSQHGSHVTSFNSLAQDVFADQLQPLHAVPIRRRKQALNRLCFLNFHGRRHRVRVYEVKQCSENVGIHVFNGNVWLRVCRLRPVELRTEDRRPHCEDNLVSRNHLAFNQKSNIRSSLLLHQFPEIVGQFRGRHLHPG >Et_2A_015699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17020155:17021569:1 gene:Et_2A_015699 transcript:Et_2A_015699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPLSHPNSARQFLTLSIAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQATYDKMLVEVPKMKQITPSVLSERLRVNGSLARRAIKDLMAKGLIRMVSVHSSQQIYTRATNT >Et_6A_047560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7304519:7310155:1 gene:Et_6A_047560 transcript:Et_6A_047560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGFYTIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSMCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKKAIIERMGELEKLD >Et_7B_053916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13378449:13378648:-1 gene:Et_7B_053916 transcript:Et_7B_053916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHRSDSKHAVAPQCHDALSFVMDDEPSPVPVAHPDCAHGLEHSLVSGRKTAMKTVMTATHAA >Et_5B_044822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6247318:6250439:1 gene:Et_5B_044822 transcript:Et_5B_044822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVTPAVDGDAKKPKQPKQKKGKKPNPFSIEYNRSAPPGPRLVVLREPTGRDIAAQYELGGELGRGEFGVTYLCTDRATGEALACKSISKKKLRTPVDVEDVRREVEIMRHLPKHPNIVTLRDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAALVTKTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFTPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPRVSDNAKDLVRGMLNPDPKRRLTAQQVLDHPWLQNIKKAPNVNLGETVKARLQQFSVMNKFKKHALRVIADHLSVEEAADIKDMFDKMDLNKDQMLNFDELKLGLHKHGHQMPDADVKILMEAADADGNGTLDYGEFVTLSVHLRKIGNDDHLHKAFAYFDRNETGYIEIDELRESLADDLGENPEEVINAIVRDVDTDKDGKISYDEFAAMMKAGTDWRKASRQYSRERFTSLSLKLQKDGSLQITGTR >Et_9A_062540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23888072:23891744:-1 gene:Et_9A_062540 transcript:Et_9A_062540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSPRTMAARSRLLLLCLATAATAGVLQARAQPDKMGFISIDCGLTGTASYVDDSTKLSYVADADFIDAGSNRNISTGYIKPSLYNYYLNLRSFPDGVRNCYTLRSLEVGLKYLLRANFLYGDYDSLGRPPIFDLYVGINFWKTVNISSPDQELTVEAIVVVPDDFLQVCLVNNGSGTPFISGLELRPLKSSLYPQANATAGLLLRARLNAGSDVFVRYPDDLHDRVWSPWIRNDWNKISTEERVRNLDDDPFEAPSKVMQTAATTPDVASNINYYWEYKQPRPTYVLIQHFSELERLAQNAVRQFKIYLNDELLYEDSLKPLYLYSGYMASTIPYLYDKPSYNISINATADSTLPPIINAVELFSVFSTAAVATDSKDVSSIMAIKAKYHVHKNWMGDPCLPKTLAWSGLNCSYATSNPSSITGVNLSFSGLSGDISSSFPNLKAVQYLDLSYNNLTGSIPDTLSQLSSLTFLDLTGNHLNGSIPSGLLKRVQDGSLTLKYGNNPNLCNNADSCAGTKGSSKLAIYIAVAVVLVVVIVSVAVLLFCLLRQKTKGPTNNNAVKPLNETPMSYGSRGVAEHSPNSLQLDQNRQFTYKELQMITNNFRHVLGQGGFGYVYDGFLEDGTQVAVKLRSDSSNQGVKEFLAEAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGTLQEHIAELHGVLQRFKQPNADLFAGNGPNGRFLTWRQRIKIALESAQGLEYLHIGCNPPLIHRDVKATNILLNSKLEAKIADFGLSKAFSRDSDHVSTNVIVGTPGYVDPEYVHFTYLQKSSHFCPFQFLDN >Et_4B_037336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18593248:18594578:-1 gene:Et_4B_037336 transcript:Et_4B_037336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDACVLRPSIEWIDGAQPQANATVFVAKFFGRAGLVASLAAVPLQHRPALFRSLLYEACGRTINPVSGAIGLMWTGNWDLCQAAADAVLRGESLRSLSAIPAAFTDRDMAGLYGNVGGAASSSSPEHSSAPPSRKRVKPSSFAAGNNKADYCHPPAGLLQSCELHLCLTPASPDQAGGRRGCGGASDEYSATTTCEEACGDAEAGAPTLLNLFN >Et_7A_053189.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:9556244:9556639:1 gene:Et_7A_053189 transcript:Et_7A_053189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSEKLEAARVALGKRKEREQQAQGLAPGPAKAEPGKQPALLAPSAPGGSGNKLLAGHLAHEFLTRGTLHGRRIEPSRGGPAPAPAPDARAEPDPKRRYTEVSWLMMTSGAHIPGVVNPTQLGRWLQIKE >Et_8B_060017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5054312:5057339:-1 gene:Et_8B_060017 transcript:Et_8B_060017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPADTVPSMTKRSSEPAGCVCSGTMPPGWMSTRVAPRPSPFRPGKWWTKAVARPVLNGSRTGPAAARPALWKSAAVTETSGLHGKPTGVSLIVRSATQKSWVMVAREAKGCRESVDTKMARRRSTALAMVAGLAYERAAAAEHGLELLHLGVVQEGHLLHDGRREVVGEEREVGDLQAWVGAAEGDERHRWAGAGVVLVVEQALGEHHDVAGVERLGVDGVGAGGDEAGGDGALGDEEELGAGRVGVDRHDAAHGDVEPGRGDAQPVHAWELAHERRGHGGLDDVAGVAGRGEAVVGEVAGGGAGLARVPGRRVAAGQVGDAEVLRQRQGGERQEEEGEEHGEQYNLGHGNLPR >Et_3B_027421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15282474:15282761:-1 gene:Et_3B_027421 transcript:Et_3B_027421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLEGICRLVFLFFRWQKEDERQKKKKFLHFACRSVGCRSLGFCSRVCCRLFACAAFYTKRHIGLELRFLTIQDF >Et_6B_048884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1586326:1587827:1 gene:Et_6B_048884 transcript:Et_6B_048884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKLAFVLPIVLLGWAFQAILRPPPTKLCGSQGGPPLTSPRIKLRDGRYLAYREDGVQRDQAKYKIVTVHPFDSTKDIPLPVSKELVEELGIYLVGFDRAGYGESDPNPRRDVKSEALDIEELADQLELGQKFYVLGVSMGGYSVWGCLQLAGAALVVPVINYWWPSFPAELSRQAFKKQVVPEQRTLWIAHNIPSLLYLWMTQRWLPSSAAAMRNPEIFSKHDMEVLQKMMAMPRTMENKSRQQGTYESIHRDLLVAFGSWEFDPMNITNPFPGNEGSVHIWQGYEDRLVLVELQRYISKKLPWIKYHEVQEGGHIFMLADGWTDRILRALLVGEEPSAE >Et_5B_044176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2130095:2132526:-1 gene:Et_5B_044176 transcript:Et_5B_044176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLASPSSATKRVAYVLLAALASAPFFLLLLHSGGNPSSLCPAASLSSSHRLPYPSILWSRVPPLPALPTSPHPSLSAARWIVFSASPHHPRHRPLRAVPGWQLLAVADESTPDGWTHPGAVLLTLADQARLGFRSVTLLPARGPARKAAGYLFAVQRGARVVYDADARGAVVGGNLSRRFDVDLDQRLGGDVLLQYSHADPNRTVVNPYVHFGQPSVWPRGLPLEKAGEAGAEEFYTEVFGGGQFIQQGLCNGLPDVDALFYLTRKSSEMDPFNFRFDEDAPKVALPQGMMTPVNSINTLFHSPAFWGLALPVSVSPMASDVIRGYWAQRILWEIGGYLVVYPPTVHRIDNVHSHPFDDEKDIHVNVGRLIKFLMEWRSKKQTLFERILDLSYAMTEEGFWGEKDLQFMAAWLQDMVAVGYRQPRLMSLEIDRPRATIGHGDKKEFVPKKLPSVHLGVEEIGEVSTEIGNLIKWRKHFGDVVLVVHCTEHVERTALEWRLLYGRIFRAVVILSEQASSDLAVEFSNLAQAYKYLPKVFDRFAGAEGFLFLQDHMVLNYWNLLNSDKAKLWITNLVKESWSDIPLQDNKIGWFVNQGDMVKKAVGNFPLLYQDRYKRNLGENKIIRCSSEVFYIPQWHVSGFTHLVKVIGSLDIHHTIAVPMLFLAMDSVSNFEPKAFTELVYRADLPSNTTFSTIYTTEAHAVYPVKIQNEGDFVKLIKVMASGDPFLMELI >Et_6A_047045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24490179:24491814:1 gene:Et_6A_047045 transcript:Et_6A_047045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRLWALPDDLLRRVLYFVPAKDGACTTVLSRRWRSLWRTSGAVNLESRTGDRGRYKSDVNATSKAFFHGAEAALAAAHAGGPVRRLTLHVESRSSNHIRWFLPRRRIRAMLSHPATQHVEELRTGATLRFEPHESWMYEYSEKSELYKLSFGALPSEALRVLHIINCRHLKPPRSTVTFPRLAHLLCMDAAPQLPTLHLESFSFPQTEEGTNEGSGAVGLSCHQLLCPTVTTLVLEDCKFPKMEGGLLELQVPKLQYFVCKGLLHHCHRLSFKSASMEQVDLHLSVEQNHLKDQICMPFWQFIQTFNTTKVLKLKLDFTVDLLAVVDREGQDELLRNNLFFNLDKLELEGNYYEPKSETAALALAHFLQCCPLLRDLGLKLKQLSPTFSYISRTATDQLDFGNGGCDDYENCDVSDIPCLSKHSFSCLQSHLRRLSLKFWMEHPNSFGVRLSKFFAEKAMVLKEMSIDEGSQKMCHHVNGKIGKWAPNSSKRKLLQTAIESGDSCCIQHRKHRRR >Et_9A_062613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24456286:24457040:-1 gene:Et_9A_062613 transcript:Et_9A_062613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPVPIGSLLHLLPAQTRNPANQTTENPQNRPKSTNQSQFPPGRRPNPHRLTLGVSASWFMPPSSASAAPAAGCGEDWGSTAAGGVATGAGDGGLTEIGVASMGAREGEEGVRSHTGPRWLPLVDCDVGPDAGPTSGLIVRLGSAICFQ >Et_10B_003250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18277093:18285676:1 gene:Et_10B_003250 transcript:Et_10B_003250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVLSFLSRAFPRPDLQSRDSSPVDSPSPTSQGGSSNGARAGVARKSKELEHMLANLEKAGVEIDCKIASIIDDEVAKIKAEAARENINEPKRNEMTVLQATACVAVGFIMGVEWAPPRPDLHSRDSPPADSPSPTSQVESSNGGQADVGRKTKEQADHLLAILEKEGVEIDGKIASVVDDGIVRIKAEAARSRMTLIIACTLVGFIMGAEFSDHAFRAANAKRRRALH >Et_3A_026656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12348403:12349764:-1 gene:Et_3A_026656 transcript:Et_3A_026656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSSGICIGSIVLVIIIFCMRVMFERQKLTNVKKQYFQQHGGLLLFEKIKSDQGLAFTVFTEAELEQATNKFDKSQILGHGGHGTVYKGIIKDTITVAIKRCALIDERHKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIQNGTLFDLIHGKNRTLHIPFSSLLRIVNEAADGLAFLHSYANPPIVHGDVKTSNILLDENYMAKVADFGASILVPTDEAQFVTMVQGTCGYLDPEYMQTCCLTDKSDVYSFGVVILEVLTGQMPFKLDENELQRSLSSSFLSAMKENNLDAMLDSQIKGHESMELLNGLADLAKRCLDMCGDNRPSMKEVADELSRLRKLSRHPWLHHDTETDTFLSGQSTSTFEIEQSTEYPGKEEEMPMSQSSSYFVR >Et_7B_055554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14250975:14253610:-1 gene:Et_7B_055554 transcript:Et_7B_055554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAKKEEEKPKEAEEKKEEKPKEEEKPKEGGGEEKPKDGEEKKEEAPPPPPPPPEEVEMRVYMHCEGCARKVKKILKRLDGVEDVIADSKTHKVVVKGKKPAADPMKVVERVQKKTGRKVELLSPIPPPPEEKKEEEKKVEPEPPKAEEKKEQFRVHCHDAGVQSAESDLKASEVTVKGMFEEAKLAEYVHRRTGKHAAIVKSEPVPPPEEKKAEGGGEEKKAEGGGEEKKEENKEEKKDGKEGEGGGGEEKKEEKEKEGGDAGAGDDKDKEKEKDHAAIAAAYMHYPRFGFPGGYYPPPPPGYVYQPAYPPPPPPSYAPYAPQYAPHAPQIFSDENPNACSVM >Et_5A_042583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2125506:2129625:-1 gene:Et_5A_042583 transcript:Et_5A_042583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNDTTIDSIPEDQITEIMLCLPTPAALVHAALVSKSWLRIIADQQFLAKYRKLHPSSPFLGLYVPQEFGGLPSFLMADSNRSASDGDLKHAAEKAFFLGGLESSSEWRLLDSYNGRLLLARGDEALEVYSPMSCERISVCLPQGDYLPESFPACLLRGHGDDAASFRVVSVQHRRDRRHRMVRAIVYDSSTMVWTDHPWMTLNNIEGTEKGEVKVMHAGGLIFCKYIGNSLLLLDTSNMEFSPLPLPDDSPKNYVIGELKDGACCLVSANCAGLFNNIHLRVWKLKNLKWKLKKDMRLKEVLSKYPHYSYYNVRAVTNGIALISSKRHHHFVIDLKTFSVKEKFEFKDMDAYPFQMPWPPTFSVVVGSGDQSTPSTGIHQDVSVNLVVEQMVPIPSNQCGNIVSGGPICGGIQKNDTLTYAECKLNTEDDLIPCNDDVIPVGCDSHDSDKIKAVNSDGQSVECKLNTEDHLIPWNVDVVLLGSDSQLKNPEKRKYTWDPIEVFKTKKAKMYSVLGVWEDESSQEEPELTHLVAGPAARPRDLSPSTFVRIRGFCIGKVGEAPAQMSRRTEDHNG >Et_3B_029566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26007117:26009801:1 gene:Et_3B_029566 transcript:Et_3B_029566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSKEPLLSSSSSNGNASQSKPLSSLVIALSFTALLCCAAAFLLLNVAAPDLCVGSPDRSSCRAIVADAALSSPRAQPLRPAEVLHAIFSRSLGQHDAAAVASMHGRASDSRQNAALADCVQLMDLARDRLADASAAAAAKTPDDDARTWLSAVLTYYATCLDGLDDGPLRDAVGARLEPLKSLASASLAVLNAVVDSSGKRSVVRDPLAEEVVDTFPSWLPARDCALLEAAAGAGAAKAAADVVVAKDGSGKFTTVKEAVDAAPDGGKTRYVIYVKRGGENVEVGKKKRELMIVGDGVDATVITGSRNVVDGSTTFNSATLAVSGDGIILRDLRIENTAGPEKHQAVALRVSADRAVVHRCRVDGYQDTLYAHTLRQFYRDATVSGTVDFVFGNAAAVLQGCTLVARRPMRGQQDAVTAQGWEDPNQNTGTSVQRCRVVPAPDLAGVPTFLGRPWKAYSRTVYMQSYLDAHIDPKGWLEWDGEFALKTLFYGEYQNEGPGAGTAGRVRWPGYHVITDRSVAMQFTVGQFIQGGNWLKGTGVDYNEGL >Et_10A_001545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4974688:4975335:-1 gene:Et_10A_001545 transcript:Et_10A_001545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRNSRLETLDHYSRETWFWVLRNLGLNHLAPSVDEHRSLNGGSGHARGFLRSYVQGLTPSSFWSYGGFVESGTEESMSFKPLCRSYWHRRSLMMLTYRRLQGSPNFGSS >Et_3B_027789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19330246:19332414:1 gene:Et_3B_027789 transcript:Et_3B_027789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLELKDFLQAHNPINGGVYQGWLESDASPCLWDGVRCDSAGHVYSLDLSNSNISGPIPVEYGRLVRMQILDLSYNNLSGGIPPEIGNLTSLLLLMLARNQLSGCIPKEIGNCTSLLWLNIVANKLSGNIPPEIANILKLVALNISNNDISGEIPAEIGSMDSLESLDLSRNNFYGSIPSSLNQLTKLSKFNVSYNPLLSGSVPRTGQLSTFDEQSFLGDPLLSFQSPTDSSSYSGPDEPSYGAENSPTKEEIVVSGIAFLAFFSATFVIREVECFIYLYYTVSEKIASFMTY >Et_7B_055558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14197019:14198851:1 gene:Et_7B_055558 transcript:Et_7B_055558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADHLSALPDTLLLRVLCFLPAGDAARTSALSRRWRGLWLQADAVNLSTRCYWNVGYDGGEAGRLLFRDAMAAVTAAGRRPILELVGSVLFYDMSTIHYITFLYDKVLPSDVIWADTCVAHVHFY >Et_7A_051839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25484329:25489369:1 gene:Et_7A_051839 transcript:Et_7A_051839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHMPKDNTSPSINNFAMGHKTKDNTSPTGKERLIRIRFGVRSGPLVKPSLRSFPSSSRISPMDPPPPPSPSPAIPREAWEGCSVLLDINDGDRLAFFRLTSAATVKIGNKTCSLQPLVGRPFGSLFRVGTDGLVPCTAADGPSLRDDSTHDGDDGQVQDETRDNRSLVDNNTAQNLSSDDIEAMKRDGATGDEIVEALIANSSTFGKKTVFSQEKYKLKKQKKYAPKVLLRRPTARSICETYFKKSPARTGFMRVDTLSLLLSMANIGPYSDALVVDMVGGLVVGAVAERLGGTGYVCSTYLGKTPTSIDIIRMYNLSGDMASRIVQAPLNDLCSLQNSGNTPSVLNGSSKGEVTAEDTQLSMAQGDTVVSDEKPQLSTEQPIDMEVSVPPSEEEHLVQDETSSLDNKGNDDSSTASRSLKAGKTPSPEKMKFWKEHGFSSLIVAAPGNEVESLVANLLPLLSSSAPFAIYHQYLQPLATCMHSLQVSKMAIVLQISEPWLREYQVLPSRTHPHMQMNAFGGYILSGIKIHNTDAVTANK >Et_3B_028233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12025202:12029354:-1 gene:Et_3B_028233 transcript:Et_3B_028233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHYPAQQSNSRFTVAARSFADEMTAAAAACFHPAPALNPPRRHVSRSSLYPSTSSSCSWTPAPTARPARLLPLVRRAPVVAASLEISHDKGSGIFGTNNVGQNDLLIVGPGVLGRIVAEKWQKEHPGCKVFGQTASTDHHSELANIGIIPSLKGTTFSQKVPYVIFCAPPSRSNDYPGDSRVAASNWNGEGSFLFTSSTALYDCSDNGLCNEDCPSVPIGRSPRTDVLLNVENIVLDAGDRGAHTFWLRKGTLDSRPDHIVNQINYEDAASLAIAIMKKRPRGQIFLGCDNEPLSRQEIMDSVNKSGKFDTKFEGFTGTDGPLGKRMENSKTRAEIGWEPKYSSFREFLGVSSQHPCTLSGSPDSTE >Et_9B_064334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14112096:14131020:1 gene:Et_9B_064334 transcript:Et_9B_064334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQCCENPPVLNPAGGEGKVVDSFGGLKAYIAGSDSAKSAVVLVSDVYGFESPNLRKIADKVALSGYFVVVPDFFHGDPYAPDNAERPIPLWLKSHTPEKGFEEAKPIIAALKEKGILKVGAAGYCWGAKVVVELAKAHEIQAAVLLHPSFVTVDDIKKVKCPISILGAEIDKMSPPEVVKQFEQVLSANSGVGHFVKIFPGVSHGWSVRYSHDDAAAVKSAEEALTDMIDCSQCCDNPPALNPAGGEGKVVDSFGGLKAYLVGSDDSKAAVILVSDVYGFEAPNLRKIADKVASSGYFVVVPDFFHGDSYVLENAERPLSVWLKSHPPVKAFQKAKAVVAALKEKGVYTVGASGYCWGAKVVVELAKSYEIQAAVLLHPTFVTVDDIKEVKCAISVGHFVKIFPGVRHGWSVRYRHDDETAVKSAEEALTDMIHWFRTNLKLTLKLKPSISQCCENPPVLNPAGGEGKIVDSFGGLKAYLAGSDGAKSAVVLISDVFGTYFLPCAISLPCQLINEWQPFSPFRIRIAKSEGKRFEEAKPIIAALKAKGILKVGAAGYCWGGKYHFVVLRAQGLKVWPYEVMNIVSFLLTLSKVVELAKAHEIQAAVLLHPSAVTVDDIKEIKRPISILGAEIDKISPPELLKQFEQVLSANSGVGHFVKIFRGVSHGWSVRYSHDDAAAVKSAKEALADMIDWFDKNLNLTMKLLLSISDKATSSAKVVVELANILRSRLPYHSYGYPGLDITVRMNDEQASFALDSSSTPILVCSSAWLHWLAQLCIN >Et_9A_062738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:453294:455110:1 gene:Et_9A_062738 transcript:Et_9A_062738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAIKGLFISCDEPMAQFIVNLNASMPASERFIVQMLDPTHMLVLPHVAEMIKHKVEVFRKQNSYLKPHDVPMAQFIVNLNASMPASERFIIRMLVLPHVAGMIKNKVDEFRKHNTYLKPQ >Et_1B_014124.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31511000:31512499:1 gene:Et_1B_014124 transcript:Et_1B_014124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTGGAAAAEPAPHFVLVPMMAAGHAGPMLDMARVLAGRGALVTFVTTPLNLLRLGRAPGDAALPIRFLPLPFPCAEAGLPDGCESLDALPGLDLLNNFNDACAMLRAPLVARLREGEVTATATTPPASCVVSDACHPWTGAVARELGVPRLAFDGFCAFSSFCMHLMNSHRIFEGVDDEKRPVRVPGFPIDVEISRARSPGNFTGPGMKEFGEEIIAENARADGLVVNSFAELEPLFLDAYEAAIGKKIWTVGPLFLGTTPLKPATEDANAVLCAGWLESKKPRSVVFLSFGSLVRSSLPQLVEIAHGLEATNRPFIWVVKPGDLDEFERWLAEDGFESRVGERGLVVRGWAPQTTILSHPATGAFVTHCGWNSVLECITTGLPMVTWPHFAEQFLNEKLVVDVLRIGVPVGVKDAAQWGVETDAVVATREDVERAVADVMDGGEEAEARRERAADLGRKARDAVDGGSSYRNVTRLLQHVVTEEIHGVRGSKPAE >Et_4A_032655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12190018:12201336:-1 gene:Et_4A_032655 transcript:Et_4A_032655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKRKRAAAAAAAAAAAAAKWKVGDLVLAKMKGFPAWPAVISEPKEWGLSCVKKKLLVYFYGTKQIYADLEAFNEEKKRSLLSKRHGKGADFLRAVDEIIEVYDSLKEKGNNKLDLTSDEVKPSIEKLTGNNSFMDTESLVNSTTVDSNQKLEGHSVTERSRDIVNSNGPSVTVMAGRSHDMVNSDGRSVTVTKDEQCVVNSAPEEPTENIRDAHPQNCYTRSRVPSTRKSRSSLSVESRKVQDSGKTLGHTSLVPDDNMEDSNGHKHVGEDQGNLGSVSKPDTVWLHSSGGTFYQPGTSETSNNSNKRFNPPAKEDSTCDSESSENGASETELRSNGTSNLPMNTAVIFKRKRKSNRKQDPHSTDPTASNKDEELQGEISGNLAGSPYSKNEVSKSDGDEHLPLVKRARVRMGRPLLEDSPLHEIDVSNNKAEPADQCDRHSTPIIPGNDYSSNQVSNVATSVSNTASKFDMPFLSGEGNSSWKNKEYQPKILALDVEAALPPSKRLHRALEAMSANVAETINSQPEYTRPKDLVLNSSESVVDKHSNKSADAVATASNSSALLQSPGPSLTIESMHSPTCKTHTSESILENNNVPDSASVPPEATDNDNHTMIEGTICEETRMDSKNIDCSLVCNENDVSGKPSALCMMVNGASLDIVQTTCAPDRLSSSLEKASGNAVKLISGKGTQPIGSAAYVVGTSTEATGQTNNNATTESVHRSETAIAESANNVGDTTSNSLLATKSSSILSDADTRASEVHTFSALALKELNHRNLKDRSTSPDSMPMKELIAVAQARRFSRSTSFPDSFLNAKYNPEASANTPAKEGSHRQLSPSNKIVRSTSINDNITSRSPFDSVQQKKLGGHDEANAARRSFKDFLGTLSRTKDNIARATRLAIECAKFGIAGEAIDIIVEHLEKESNLYKRVDLFFLVDSIIQCARNQKGGAGEVFPPLIQAVLPRILYAAAPPGNSAWENRRQCLKVLKLWLDRKTLSEYIIRHHIREIETINEASFGSSRRPSRTERALNDPLRDNEGMLVDEYGSNAGFELPNLICTKVLEEEEGSSSEDRSFEAVTPEHDTLGNGDNEESQMHVEKHRRILEEVDGELEMEDLAPPSEVEVSTKCREEQSDRKSTTSDQHPSDIGPPLPVDRPPSPPPLPSSPPPVPPPLPAPVPQSSQLQPKLQTPSDPVGPHPPRATYNVQGQQPQSIVEHPANMNPSVAPLPPPPFCSSGYGGHPNQVPHPPGPHGNFPTPPAPYHGNCYHRPPPASIPNEGYQLHPPPPPPPPPPPVPPNQFPCAPPEPQQRPHHWGNNGSSYPERYRYNGHDRGHHRHDRRHHGHDRQHHFDDRGYPYDDRGYHYDDRAHYFDDRRHHFDDRGRNFDERAIRGRFPPFPPGPPPIPDHFEASPGPMHYGRPLERPPGPCAGWSRPPKISNHSPSRQSMEPPVSHVAGGPDAFFF >Et_7A_053152.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:8343840:8344481:-1 gene:Et_7A_053152 transcript:Et_7A_053152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHAYEIGSLCDLRWSMIAAQLPGRTDNEIKNYWNTHLKKQLRQELSVAGEDAAARLAASSASSPAARHMAQWETARLEAEARLSLMSSSTTATASSSSSASAEHAAAPDIFLRLWNSEVGESFRGAAVHGGHGPDHATAANALAAAPALPLIGDDYSSAASTNEAAAPGEYQAFLDLAVEEFALLHGRLGAFALFPAADVLAGASLFTPFE >Et_2A_015299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10698522:10699914:-1 gene:Et_2A_015299 transcript:Et_2A_015299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTGSGWSIVVAVQSDTSTSMFFFLKPLTPGLWFASITFFVFTGFVVWAIEHRVNSEFRGMPAQQFGLIFYYTFSTLVFAHREKLESNLARFVVIVWVFAVLILTSSYTASLTSMLTLQELRPAVADLQQLIRRGDYVGYHQEGSFVLGELKKMGFHDETKLRSYSTPDEYADALNRGSANGGVRRDPYLKLFLSPDSASYVTKLSYIGATHLSFELKISFVRGVFPKGSLMAPDASREIVSLTESSKLALIERKWFGTPGACDGRSGAMGSSGSLSFWNFSGLFLVTSAASALSLVVYLATVVYRERNELRAAEPGAGSVSLRRLKACYDSKDTRCHTFRHRDDEDGSARNGVDAMLKQGAVTDQAMQRSCFSGSDGCSDMAAEEKMEDEVSNPLKPPMDEAAATSATEGKPSLKFLMD >Et_1B_011260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19777445:19783296:1 gene:Et_1B_011260 transcript:Et_1B_011260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHALRLCPLLSTARPTLSRAAARRGHAAAFVVVRCSSAGAASAAQALKINSIPTKPVEGQKTGTSGLRKKVKVFQEENYLANWIQALFNSLPPEDYVGGTLVLGGDGRYFNKEAAQIIIKIAAGNGVGKILVGRNGILSTPAVSAVIRKREANGGFIMSASHNPGGPENDWGIKFNYSSGQPAPETITDQIYGNTLSISEIKTADIPDIDLSSIGVVSYGDFTVEVIDPVSDYLELMENVFDFQLIKDLLSRPDFRFIFDAMHAVTGAYAGPIFVEKLGADPDCILNGVPLEDFGNGHPDPNLTYAKELVFTMFGTRAPDFGAASDGDGDRNMILGKRFFVTPSDSVAIIAANAQAAIPYFQFGTKGLARSMPTSGALDRVAEKLNVPFFEVPTGWKFFGNLMDAGKLSVCGEESFGTGSDHIREKDGIWAVLAWLSIIAHRNKGKKVGEKLVSVEDIAREHWATYGRNFFSRYDYEECESDRANKMMDHLRDVIANSKPGDKYGDYTLQFADDFSYTDPVDGSTVSKQGLRFVFTDGSRIIFRLSGTGSAGATIRLYIEQFESDASKHGLNAQTALKPLIDLALSVSKLKDFTGRDRPTVIT >Et_4A_033861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27386859:27393433:-1 gene:Et_4A_033861 transcript:Et_4A_033861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASPLATAFRPVVAAAGGGGGILGGGAGGGVAAGKGRARRHPRVAARSVASDRDVQGPVSPEEGFPSVLNSIDSSAIASNIKHHAEFTPLFSPEHSSPLKAYHATAKSVLDALLINWNATNDYYNKMNVKQAYYLSMEFLQGRALTNAIGNLELTGKYAEALKQLGQNLEDVASQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQIITKDGQEEVAEDWLEMGYPWEIVRNDVSYPVKFYGKVVEGTDGRKHWIGGENIKAVAHDVPIPGYKTRVTNNLRLWSTTVPSKDFDLGAFNAGDHVKANEAHLSAEKICHILYPGDESQEGKILRLKQQYTLCSASLQDIVARFESRAVDSVKWDDFPSKVAVQMNDTHPTLCIPELMRILIDIKGLSWNEAWSITERTVAYTNHTVLPEALEKWSLDIMQKLLPRHVEIIETIDEELINTIISKYGAADTSLLKKKLREMRILDNVDLPASVAKLFVKPKEKKESPKLKQKLLVKSLDTVEEVEEEIELEEEVEAKVLSETSEEEVKSADLEAEEEEEEEEEEEEEEEELDPFVKSNPELPRVVRMANLCVVGGHSVNGVAEIHSEIVKQEVFNSFYEMWPTKFQNKTNGVTPRRWIRFCNPELSSIISKWIGSDDWVLNTDKLAELKKFADDKDLQSEWRAAKRANKMKVVSLIREKTGYIVSPDAMFDVQVKRIHEYKRQLLNILGIVYRYKKMKEMSAKERTKNFVPRVCIFGGKAFATYIQAKRIVKFITDVAATVNHDPDIGDLLKVVFVPDYNVSVAETLIPASELSEHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEENFFLFGAEAHEIAGLRKERAEGKFVPDPRFEEVKEFVRSGVFGTYNYDELMGSLEGNEGYGRADYFLVGKDFPSYIECQEKVDEAYRDQTLWTRMSILNTANSAKFSSDRTIHEYAKDIWDISPVVLP >Et_2A_015276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10193242:10195806:-1 gene:Et_2A_015276 transcript:Et_2A_015276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLQILTVESGSRLSCLGSMSKWESVTFEESLSFVKKVKARDYMLYLSLLDVLNRNDQIPLEAYSELSFLFRHHEDLLAELAKFRPLPCPNNVYITHSSIWMFIVLMPFLLLTILLAFEKPLKGFAS >Et_3A_023602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:84137:86855:1 gene:Et_3A_023602 transcript:Et_3A_023602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWAGRMRTAFGASFLWLVCFIYFIQGFRSFVWTAVSYQMKDVMKLSPSASQFSVSLAYFPWSIKPLYGILSDCVPIKQRKRTPYLIISSCLSLFPWLILGLSETLRSSSSFLTALLMVQNLGSAMADVVIDAMVAEAVRSSGPEFAGDLQSLSWSSMAIGGIFGSLLGGYALSNLSIHVIYVVFSALPFLQLVSCIFVEDSPKGVQSVADEHKNLDDQSVVSVFSEKGSTEPFRFEGTRRRKVSHKNNKRRTLSSGTEANENRNRSTESSSSLSLRSAFFSLCAAFKQPAILRPMAWFFFSNVTVPNISTVMFYYQTEVLHLEASFLGTARVIGWLSLMLGTYIYNRYFKHKKLRNILVFAHVGLAIISLLDIILVSRLHVQYGIADKYMVLWGSALADAINQFKMMPFLILSGQLCPPGIEGTLFALFMSINNLGSTLGSFLGAALASALNISTGQFDNLAVGLTVQTVGTLLPVGFLFLIPKEVTGLTV >Et_2A_015073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28710508:28711740:-1 gene:Et_2A_015073 transcript:Et_2A_015073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWTAMVTGYAQNAMPAKALEAFEHMAMASMPIDEVSLTGAISACAQLGAVRRATWVQDIAERNGFGRNVVVGSGLVDMYAKCGLIDEACRVFEGMHEKNVYTYSSMIVGLAAHGRANDAIAVFKDMVKRSDVQPNHVTFIGVLTACSHAGMVREGRYYFAQMKDKYGILPSADHYTCMVDLLGRAGLVNEALDLVKSMSVEAHGGVWGALLGACRIHGNAEVAKVAAEHLFKLEPEGIGNYVLLSNTLASAGEWDQVSKVRKLMRSRRLKKDPAISWIEGRDGFVHQFFAGDNTHLRTREIQKALCQLVEKLKLAGYVPILSSIVYDGHSEKLALSFGLLTLGSSCTIRIVKNVESVEIIVRDNMRFHHFKDGECSCGGFW >Et_8A_057745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6717371:6722106:-1 gene:Et_8A_057745 transcript:Et_8A_057745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSLLLGPRPPPCCRSRRRSVGLYRYKQSAHPCPLPRTDRRLLAAAVAEQLLPRPPGTSRRTGATGIWAMSGPSFAGGMCRGSGQPFPSPWLPVHSTTVVVLGVIFGITSTFRPFSDDIFRYDFREPFNSQNGWLLWAGIGIFVAVVAIAIAGAAMTFLNGETPQRETDSLVLILPLIGSSSISTICLLGITGVLAPILEETVFRGFLMVSLTMWFSTPYSVLITAAMFAFAHLTPGEFPQLFLLGVVLGFSYAQTRNLLTPITIHAVWNSGVILLLTFLQLQGNDIKELLKASETVYDSDIKVQPRGPGAFNN >Et_1B_013254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6853330:6854106:1 gene:Et_1B_013254 transcript:Et_1B_013254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIAALVLLAAVAPAYGKDYVVGDSSGWTSGVDYTTWAKGKTFNVGDNLVFQYSMMHTVAEVSSADYSACSTSNSIQSYSDQNTKIALTAPGTRYFVCGTPGHCSGGMKLAVTVAAATTTPAASPPEAPSTTPAAPGTETPPDQTTTPSTPAAPTTGSTSGAAASSLVMGLSAGAAALVGVALMG >Et_5A_041811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3080802:3086635:1 gene:Et_5A_041811 transcript:Et_5A_041811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMESYTSEAKFDCLLFDMDDTLYPLSLGINLACRKNIEDYMLHKLKIEESQLLGYDFDYDDFHACVHGTLPYEKLKPDPVLRQLLLSLPQRKIIFTNSDKAHAARTLEKLGLQDCFEGIICFETLNPSTEEDVKDGSAPPRRGILCKPSLESMEAVIEIAKLDPKRTVFFDDSARNIAAGKAAGLHTVFIFTNSDKAHAAIVLKKLGLENCFDGVICFETLNPSTEQNVKDRKSSEAAGSDGSVPPRGGILCKPSLESMEAVIEIAELDPKRTVFFDDSERNIAAGKAAGFRTVVVGSSVLVPGADVALESIHNIKEALPELWVEAGDDHAEAVLQPATVETTVLA >Et_3B_027591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30256874:30257930:-1 gene:Et_3B_027591 transcript:Et_3B_027591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPQREEPHHRAWAPSPHRQEPMALPHREEVPHHTAAALPRQAEHRGVAGSASPARSLSSASPRWWTSRRRPAGVRHNREKQRLLHHRAGVASPHRQEWQEPAALPHREEPPHHTAAGRRRVAGSASPTRSLSSASPRWWTSRRRPAGLRHRRRSMVRTRIRVHKRRSIHHNRKSTTRTRIGLPGNTSIHQDHHHRASMMCPRIRVKRREEEAAAGCDLLHPVLHPLLAAGGRPRRRRAAHVPGVPPAAAPAARDHGDPERRVRGPAAPAAHRPGAQLRPLRPGHHLQPQHQDRCRAALHAAGPLLRGRHDRDAGGVAADTREARRDRAP >Et_2B_020533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21073551:21075423:-1 gene:Et_2B_020533 transcript:Et_2B_020533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRAANRCLVQEDAKRAPKLACCPPSVQAHDSSGGNPENPQDHHVPNFMTVNWNLMNSNVPADTQWWLQLQPNFGCQMAREQLNCVGGEAGEKKMEGLVAPASKLDDTEAKKSVDPFEPPWIVSTAFTKQTSETGAEQFKTHVGYTPMSLKCRGNGNNYVHEDKEFMEFKTFDPLFPKKQHKKFCEMDAPWEESKKSRPWWQVADADGLASLVAERAMQNFVNNDLPRPTQTVQFHGAKLNSPCKKDDYEQPLLPADKEPYPLHDTLVCSYGVSSTQTNSSDGKGWQQHQRSNVPGGEQDSYSSTDSTSGGKPTYQSASERAKLLDALRHSQTRAREAEMAAKKAYDEKDHVIKLLFRQASHLFACKQWLKMLQLENICLQLRLKEQQIATMFPELPWMMVKEKMASGQERKDGTRKRGRRPNRRGGLRNAVVFAVGVGIVGAGLLLGWTLGWLLPKL >Et_9B_065491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5870646:5873227:1 gene:Et_9B_065491 transcript:Et_9B_065491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWAPFLGSWVSCSLISTNFSKKPRGRLDLFNLSLKTCKHSSRICQAPKNPSEQAKCWMSEVRELSYDIDDSVDEFMLRLEQESSTKLQGFKGFIEKCLSLLTTVKARHQITKEFRGLKRLAEEVSERHKRYKVDDATSKQHDETIDPRMLALYTETARLVGIDRPRDELIQLIMNEDAAQHLKVIGIVGFGGLGKTTLANEIFRKLEGEYQCRSFVVVSQKPNLWKILRKILSQVGYAAPENTDMEIWDVDELVSALHKFLTGKRYFIVIDVIWNATTWTIIRCAFPDNKNGSRVIATTRIETVATACCSNQYQYVYKMKALGTEHSRRLFFKRVFGSDDTCPSYLEEVSTIILKRCGGLPLAIITPFGYSRNKLNKEQWKQTLNSLSSNLELNPTLEGRRQTLNLSYTSLPHCLKTCVLYLGMYPEDHTISKNDLVRQWVAQGFVSKTIGRDPEDIALGYFNEIVNRSIVQPAYTDSNDDVLSCRVHDMILDLIIHKCREENFITATDDIQEISGMLKKMANHMAPFNSHVRAFTRFGTSTYIPPLVEFKHLRVLNLEFSARNRGQIPIDLTGISCLFIQATPKENMIICKTGFSVLKHLEIGCSRVSHLIFESGAMPKLEMLEIHFNASGWDRHGAAPTGMEHLSSLKEISVQIGGRGAKESSREAAQSMLRRAIDLHPGRPVAKIRCIDGLCIEFDDFVMEEVQSPLVLSP >Et_6A_046187.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:8691281:8691550:-1 gene:Et_6A_046187 transcript:Et_6A_046187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGRKYSAQQLAPICDALNELASAVRATALVEPPRELYPTVMSVPGFTKEELINALMYLAEGGRGAAFLMMSEQHRVLWIKQILAEQ >Et_4A_033653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25456329:25456945:1 gene:Et_4A_033653 transcript:Et_4A_033653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRPLLLPPRHHRSSLHSPKPQRVQKLPDPQVEAAMSSTGGGGRGKAKPATKSVSRSSKAGLQFPVGRIARYLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGTVTIAAGGVLPNINQVLLPKKAGNKGDIGSASQEF >Et_7B_054523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22501965:22505427:1 gene:Et_7B_054523 transcript:Et_7B_054523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQHDAAVRLRELKDRARDVGERLHRGLEIPARAVGLLPPAAGPSAVMLDVDDDEDDGDQAAIGLRRRAMFELGSPDDYFKRKLVDWIKEAKKQKKTQVLPSIAVVAPNIGYAGKLADEALAIAKTHFKRYFLVQIREIGSMSVSFSGLTLLDVLFCVLHEIQVQLPGDKSQPQQEGTSAGQPEVERHKLYRYKRYKRQGLPLMPMGQPRQIRHADKLQPQQEGTSAGQPEVEQQKLYRYSKFQFLLGYRPFMLKKLRRIFKEKRSTILEIAANTREMKVGDQLEKIKSKFEQIKGEELEQDLELMKYYGDMLELQSLNILQYALCLLKHNPDAPVSKQDQSRDNNIFDKTALMLKEHMERDDAEKPRLISSLHMNQYVNILKDVFPTISKQLQAEEQATSAAKQATTTSTSNSIEIICKVKLELQQELQEYKSDTEQATRKQGAQSQLISEPVIEETNKKLKKIELKIERQLMITGMLDKIKDHLKGDERTLIIIKDDKARLHRWEETISALNLLGCIAGVVVTTTKNSEQAEMYCSSPWEPTNYSLAGQYYDKVLQVTSQNMEVDTKIFRNILEECKPLPDGEFLMKIFAHALYSNPNRSNEELRKLHSSLQISPGSSASIPMKMFKFSYSELPKEYRSCLLYLAIFRPGYNIRRSTLIGRWVVEGLITMKDWPSSVRQANRCFDLLIDRCLVNPDDTGAIGNIKSCKVSKIVHQFITKIARSQHIVETRLSLHLARHFSIFNDLHLCGSDSIDDFFKQLISQKSQLSMIKVLDLEGCECSFKEKPHYLEVICNKLLLLKYLSLRGTDITKLPNELNKLHELEVLDIRQTSLPAPATKHVVLLKLKRLLDGHRRTTTGSNVGAEEFSSVRIPDNIGKMEDLEVLSNVKAQDGQELKDIGRLWRLRKFGVVIVDKKSHLKHLLQAISNLHECLRSLSITLLPTTKRSVELPELGNSPKHLESLSINGTVEQLLPLLAGVGQQNLGKVTLSNTLLNQDNLEVLAKLEKLCRVELRNIACTGEGTLIFKKNEFPNLRYFLVEGINKQGSKLTKIIFEGGAAGELEKIVLSQTGIESISGVEKLPKMRELEFNGDIVPNEVQEVINTLQRKSDFIFAYKNSGNQGEAMGNEQEKDDVAGRFFCC >Et_4B_037695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22630671:22634704:-1 gene:Et_4B_037695 transcript:Et_4B_037695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLGGSAAETFALYARNGSCGLLGMGGHSRKCCDNRCYTEAVWMDGCDCIEPLWPTDDLLVKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFTTHTKTVAIVMTVAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRSGSSLQLSHTLRHQITVGSSVSINLPVVNQVFSSNRAIIIPHTSPLARIRPLAGRYVPPEVAAVRVPLLHLSNFQINDWPELSAKSFAIMVLMLPSDSARKWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAEMAIRARNDFLAVMNHEMRTPMNAIIALSSLLLETELTPEQRLMVETVLKSSNLLATLINDVLDLSKLEDGSLELEIKAFNLHAVFKEVMSFIKPIAAIKRLSVSVMLSPDLPLCAIGDEKRLMQTILNISGNAVKFTKEGHITLVASIVKADSLREFRTPEFHPTASDDHFYLKVQVKDTGCGISPQDLPHVFTKFAHTQSGGDRGFSGSGLGLAICKRFVSLMGGHIWLDSEGTGRGCTATFVVKLGVSDNTNTYQQQLIPLVWPSSADSDSSGPKALNDGKGSTTSLKSRYQRSI >Et_8A_056176.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:12555597:12555611:-1 gene:Et_8A_056176 transcript:Et_8A_056176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPR >Et_1B_010656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12656885:12658799:-1 gene:Et_1B_010656 transcript:Et_1B_010656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RLHGCSIYWPPRFCYRSPWGQPPPLSKSAPKTRHSWRRTTRPAPTSAWPRSRGTRPWRPTYARRYARGGEARQLRAHALRGGPYGENIFWGRAGASWTASDAVSSWVVEKQYYYNCRDDVVWANTTNLGCATVTCDGGGGTFIVCEYDPPGNVLGARGLVYLHDESRLKVIHRDLKPSNILLEMDMNPKISDFGLASVFGGDHSNHITRRVAGTYVFSSEAMMAQHLLSYYVWDNWTRGTITEIVDPTLRHNCDENVVKCIHIGLLCVQESPNDRPSMSSVILMLVGRSTTLPAPSRPAFLFRLDDVNNTHHKNGMDLARSKSSLNTVTYTSWAIILQQLNLLATLRHSPTLEVLPAGGTKQFKGNQRR >Et_1A_007189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31911612:31915390:1 gene:Et_1A_007189 transcript:Et_1A_007189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVSVFFQPSTAAPAYKQFPRGTGGGSPLNFVKFRIIGRNCGSLAMSCKPDPASGSETSGGGDFTVGEKDAVIIVDHGSRRQESNLMLNDFVAMFRVRTGYKIVEPAHMELAEPTIKDAFEKCVEQGASRVIVSPYFLSPGRHWKQDIPALAADASKEHSNIPYIVTAPLGLHELMVDIMNDRIKYCLRHVAGDVDACTVCSGTGKCRLYS >Et_2A_018541.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32155958:32156716:1 gene:Et_2A_018541 transcript:Et_2A_018541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRQPPPPAAAAEPSSESELSFDVDSHEDPGLDSVTPTIQPRPRILALLPVSERRVYEVIFAAGSKGMLAADVGRETGMTTTLTRKHAKSLAKIELLKEVPDVRHRNRKVFMAAEFQPFSEISGGAWYHDGRLDSDAINAVRRSCLSQVKKLGVATAKMIHQGIRTDEPRAGYDLVKVEDILKKMALEQELDEVVRSGDTCYCEAGRQHGGVMEAIPCGVCPRIGECSPEGIISPGTCVYYMKWLQLEF >Et_7A_052700.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1349896:1350738:-1 gene:Et_7A_052700 transcript:Et_7A_052700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLDEYEKLVIRMSTPHVVIDNAVPHSDAGPGERRHFSARWDVLAARLEELLRFLASALWALVGEIQDRAAALAVGTRVGSKHRGGGSRSALPLLLLRVLLEAGEDTAGRGGDQPRKRARAGASDGGGGEGRTGRRPGVLQLMAEGHGGVGRVQTRHCAGRTTSTWAMGVQMQLYSDGWVGICNKLTYEGNFKKDDTYGVGFAIYPLICNAGATAINKRHAYLVAAEEIVGQIKRGVRKNKYKVGGLDFEEREAREECHGDGDCSGGAGLHCKLYTAAI >Et_3B_028795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19229400:19232669:1 gene:Et_3B_028795 transcript:Et_3B_028795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLSPPRSLPMRRSWGASGEVTRVLPPPPRKRSCVLASCAREDVGSEMERRHLLLSGLVSSVVLVLPISESYAVMETEEDVKMATQVDEINAYSFLYPVELPGNKSSFKWVESRKSERYSSAAPLSPDARQRIVGERVDMIHNVVISVSIGPPNSRFLPSKDKSTWDPKDVADCILADRSTLKVTTGQRMTESSVLDAHSTKVEGEPYWYYEYLVRKSPTKSAPEPNLFRHNVACTAERDGYLYSLNASTLSKQWDSVLISQRILKE >Et_1A_008921.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:18999028:18999390:-1 gene:Et_1A_008921 transcript:Et_1A_008921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSEVTSVLALLLAVAVVVAADLSPPAGYTTKEDENSYFIKQVGTFAVNVYKMAHMCVMYYKYTVQCWSMPAGGGANYYWMVLTATNGTGTAGQYVSKVWGIPGSESKTWKLLSFNCTS >Et_3A_027020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29727624:29735155:-1 gene:Et_3A_027020 transcript:Et_3A_027020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAPTSHHTSLLHLPRRPFGPRRPRHTRLRCLASLAPSSSGQGTSASVSPENPNHLIQTLCANGRLARAAALLQGLPAPTQRTYESLLLAAARAGDAALAAAVHRRLEADPVFRSDPFLSTRLIDAYAALNALPAARQVFDETPEKNIFVWNAMLKALALADHGEEALAQLADMGRLGVPVDSYSYAHGLKACIAASASHEPASARVREVHAHSIRRGYSSHTHVATTLIDCYAKLGMVTYAERVFASMSERNVVSWSAMIGCYTKNERPGDAVELFQEMMASDPDLVPNSITIVSVLHACAGVNALGQGKVLHAYILRRGFDSLVSVLNALMAMYMKCGCFEFGRYIFDWIGRRRNVVSWNSLISGYGMHGFGRESVKLFEEMIKEGFSPNIITFVSVLGACSHAGLVDEGKRLFESMMEYNVTPRAEHYACMVDLLGRAGHLDEAVELIQGMHIEPSPQVWGSLLGACRIHGHVEYAEMACSRLFDLEPRNAGNYVLLADIYSRAKLPIQADVLKELIEDHALEKVPGCSWMEVKKKLYAFVSVDNNNPQVDELQALIGEFVAQMKNEGYVPDTGSVLYDIEEDEKERILLGHSEKLAVAFGLINTGRGEVIRITKNLRLCEDCHSVTKFISKFTEREIVVRDVNRFHHFRDGPRELLNCRYPRIDQMDPVNVNVISGNTENGSVRWIRGLCTAKN >Et_9B_064686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17605115:17607682:-1 gene:Et_9B_064686 transcript:Et_9B_064686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAAAAVASGISARPVAPRSTLTGRRARSVVRAAISVERGEKAYTVQKSEEIFNAAKELMPGGVNSPVRAFKSVGGQPIVFDSVKGSRMWDVDGNEYIDYVGSWGPAIIGHADDKVNAALIETLKKGTSFGAPCYSENVLAQMVISAVPSVEMVRFVNSGTEACMGALRLVRAFTGREKILKFEGCYHGHADSFLVKAGSGVATLGLPDSPGVPKGATSETLTAPYNDVDAVKKLFEENKGEIAAVFLEPVVGNAGFIPPQPGFLNALRDLTKQDGALLVFDEVMTGFRLAYGGAQEYFGITPDVTTMGKVIGGGLPVGAYGGRREIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLMEPGTYEYLDKITGDLVRGILDAGAKTGHEMCGGHIRGMFGFFFTGGPVHNFEDAKKSDTEKFGRFYRGMLEEGVYLAPSQFEAGFTSLAHTSQDIEKTVQAAEKVLKRI >Et_7B_055261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8869104:8875057:-1 gene:Et_7B_055261 transcript:Et_7B_055261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGEDGAQRLQEAGGDSSNGGGNGQCSVASRCGWLGRLFRELHWSFVLAVVAVYGTCQGVGDALRGVAAGYYWKDVQRVQPSAAQFYQGVTSVPWIVKPIWGLLTDVVAVAGYRRRPYLVLAGAMGVSSMLMLSLHSELGIIPALLALTAQSTGAAIADVTVDALVAQKSITHPPLASDMQSMCGFSSSVGALLGFSVSGLLVHSMGARGALGLLSIPSVLVFAAGILLKESRVTDFDYKQVHKKFYKAIQSMGTTLKCPEVWRPCVYMYLSLNLSLDIQAGVFYWYTDPVAGPAFSEGFIGLIYSIGSVGSLLGVLLYQSALKDYPFRSMLFWSQVLSSLTGMLDLVLVTRLNLKIGIPDYFFAVIDNSISQMFAQLKWLPLLVLSSKLCPQGIEGTFYALLMSIQNAGSLMSAWWGGLLLHMLNVTRTEFSNLWIAILIRNISRLVPLMLLFLVPQRDHNSELLPLEMLGGGESTKAVNAGSDNVGFTVVVADDSSCISSSLMAENEGVKELDIGTDDIELIPLAVQMVPHEDGEAGAGGNTQCCIASPGRWLRRLSRELHWSFVLAVVAVYGACQGVGNAVGGVAAGYYWKDAQRVQPSAAQFYQGLTDAPWVVKPLWGLLTDVVPVAGFRRRPYFVLAGVIGVSSMLTLSLHPDLGIVPALMALTAQSAGAAIADVTVDALVAQNSITHPPLAPDMQSLCGFSSSVGALLGFSISGLLVHSMGSQGALGLLSIPSALVLSAGILLKESRARDFDYKQIHKKFYIAIKSMGTTLKCPEVWRPCVYIFVSLNLSLDIQGGMFYWYTDTDGPAFSEEFIGLIYSIGSVGSLLGVFLYQVSLKDYPFRTMLLWGQVLSSLAGMLDLVLVTRLNLKIGIPDYFFAVIDNSISQMVGRLQWLPLLVLCSKLCPPGIEGTFYALLMSIQNAGLLMSAWWGGLLLQMLNVTRTEFSYLWVVILIRNISRLLPLMLLFLIPRSDQSSTLLPPEMLQDSESTERLKSGSDSVEFSVLVAGDNSCLSSNIVTENEQTEAFDAADGAIEMIPLVHKS >Et_7B_055256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8817211:8819516:1 gene:Et_7B_055256 transcript:Et_7B_055256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETYLNTLSMVVGSYEEAKKRMYAFSTTSYTGFQAVMTEDFYGLPGVARVLPDGYLGYTKEYGGDKYDNGVITEARPPYPYWGKSSRPDRNRNFKGQNTPQPSSDFT >Et_3B_030716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6688872:6689809:-1 gene:Et_3B_030716 transcript:Et_3B_030716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASPSRHEESTASSCVGDGHAVPVATGSATSGSNQAQSKRAPPPHKFNEIIAQEKTATAADLQDRKYWVHEKTKCNCFMLFPRALSITWCEDPRFWSWHPLKETRYYCKRLLSSHGSGLCLHVLSPGFNDTEIEAVSLENVCWLEIHGKLELSHLTPGVTYEVVFEVMLTKEAYGWTVPVNLRLKFPNGTVQERNENLQEKPRGQWLQLKVGEVKAQQGQKGEMEISLFEYDGGKWKKGLLIKGIKIIPK >Et_5B_044134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20407904:20410956:-1 gene:Et_5B_044134 transcript:Et_5B_044134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMWKCPGDLLSSLNMTMERKIWRKNALLVASAISAALIVGIDVCGKRYRHYRFTRFIYLGATTLFLPLSLHIPRSHGVMEIIMINTSTIVAIDDREGGNTGPPIEVLFQGFWTFYLGINNLIFLYAFNNMDSATLIILMVLELITFVLTCVKRVLKYYAYEKARKSVALGLSPHLTYGYFQQLLQKPSQHGEPSGAADKPPPQLLVLGEEKRHVEKHPHGYVIKDHSVTMLQKNNGLVTIERVWKLDTNLPLSTMSQLKDLCLSFALFKLLRCHIARYKVKNAGSNDIISFFWNLLLKDGGHDRVFSVISNELSFVYDCYYSSLPISYSRSWLPIMDIIILLLSTTYCIVTAALILFVILVNGASPQISCNVICIHYTRISYLQNTKLYGSWYFHAGPIFLILVLVMITEMRDMASYICSNWTKVVLICRLVNRSSSGDFINIKKLSALLLRCRCKLTKHQEEKMGQCSILELQPRSIPLALLWRLISLPDQKRKAKMPGAVKVCIIRALRSCRNGHLSNGKSSLHRRSQSLYKAVKRDAKQALSGHSVKGSSTPPEAMYQKLIGLLSANSKHEVVKNGVKLGKQLVEEINDEETAWKLLADFWSEMILYVAPSDNLKGHKEAIARGGELITLLWAMLFHAGIVSRPGEDDDGSASTSASVV >Et_1B_013468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8712096:8714564:-1 gene:Et_1B_013468 transcript:Et_1B_013468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEISEIKKVGIGLVGFGILFSFLGVILFFDRGLLALGNIFFLTGVGLLLGWQSMWQLFTKKANLKGSVPFFIGLFLLFVRWPVLGIIMELYGSFVLFSGYGPPIQAFLYQIPVVGWILQYPFQVRLLPADCSYHRS >Et_3B_027755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17577481:17577915:1 gene:Et_3B_027755 transcript:Et_3B_027755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIGSVSSSTMLVALALALLAGNSCVAMRRARERGDVSSAILVAVSTLLLIALLATVRAHERRRRGQLKAVACALSAVLTAMFAHRVAALAPTATFAALAWSMAGTTVAGGFFFLFVNGRGDTDAVLVAGHQDA >Et_3B_028130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10599811:10610483:-1 gene:Et_3B_028130 transcript:Et_3B_028130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSPVGGAACPDCLERRILSDLAGSGFSFVHGLSDSPLPFASSAVVQVASDGAEESAGRQHTSSYFVLVGLRDGKVDNNSLENGIHMDPQAEQSLYNDDNHYTALAESSSSKECLEDHESPSGLCKPHPLISTISKLSPVRCLGIGHTSEIRELITSYLNLLTEESVIDSLNLLSENKISGSAGLCFLSFVGFSAFDDLHPSGGVRHPNILPLLGVLEAPSCCYMLHPKVPYTLENIMHYSPKAFRSDWHIRFLIYQIISALTYLHDFGFYHGNLRPSNILMSDSLWPYLSISDTFLVKCNWGFASPECSPNSCCFMEECSSKDIYAGSKLQSSLDWQSHFKKWWKGELSNYEYLLVLNKLAGRRWGDPAFHTVMPWVIDFTVIPDESSDNGWRDLTKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLPKTILKSAVRSVYEPNEYPSTMQRLYQWTPDECIPEFYVDPRIFVSLHSEMSNLALPSWAKCAEEFICLHRDALESDRVSQQLHHWIDITFGYKLAGEASVEAKNVMLPPNDPSKPKSIGRRQLFTRPHPKRLVSTPHAVYHNKMESCARCCREQKNATANAVLNGYGSPDILSENGSLEDFEQLTLFAELENHLNPIYNYSDTSTCCSSDKYPKSQIADRILQPDNALPVAPDFNFGSFIECFESDEGSPVSYPELLLWDQRSYSDNKHYANDVFSIGCMLAEIYLNRPLFDSTALAAYKETGIIPETLQELPSHVALLVESCIQREWKRRPSAKHLLESRYFPPSVRSAYMFLAPLQVLCTSRERIKYVAKLASEGALEAMDKFAAEMCAPYCLSLISSSLSDVDTESAFHVLKEFLKSLSTQATKELAPEYSRLKVALLQDSFVRELWKKLGKRTYIEKVHPLVITNLYNSPNKITASAASTVLIGSSEELGIPITTILPIINGFGKGLCADGIDTLVRIGGLLGESFTVKQIIPLLRNIIFSCIDSSKMSRPEPQNSWNSFALIDSLSALEGLVSVLPVKAVLKELVQDQVCLHIKVLTQIHLDLRVIQVAATTLVDLCQRIGPDNTSMYVLPQLKELFAELAFSHDSSGLSLPTKGLNISEGNKSEPIKMECRTDLVFMLYPFLASLVGIEKLRECCSTWFLLEQALQRLYNWKWEAFSDCSKNVEKMKGQTFQPNYFSSDLAPTKLLFNGAGWSMPQSGINKYGRNAATFKQGFKREHETPSDNSFSSSSGNQPWFWFPSPDSSRGAPDFLGRGCGLRDELPWKIKASVLYSARAHPGALRSSAVHDDECTIFTGGVGPGFKGSIQRWELPNMNCTSGYYGHEEVVNSICILSITGRVASCDGTIHIWNGQTGKLIAAHAESSTSFPLQTASIEQANMLNQDALSGGILSNAFRGSLYTTMHYMESEGILVAGMGNGSIRFIDISRDQKLQLWKSDSDEISFSSLVSAICSCGSDKVGKGSQVASSSWIAAGLSSGYCRLLDERSGNIIAVWRAHDGHITKLAAPDDHLIVSSSLDRTLRVWDLRGNLATQSNIFRSHSDGISNFSIWGQDVVSISRNKIALTSLSRPASEMGHQQLELQNLYSADRGMKHKNLSILSTIDVLPSSRLFVVGTEDVQAFVATSH >Et_9B_064407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14974834:14976528:1 gene:Et_9B_064407 transcript:Et_9B_064407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AANPETSETSTSLGSIPSGSFQSKWYRRLASASSMLATPRVIPGHIRRPAPNGRLELRGFVPILRVPANCPHIDKHYHALGNVSKWYRRLASASSMLASPRVIPGHIRRPAPNGRYSKFVVILKPLWLKLLGFVPILRVPANSPHIDKHDHALGNVVAHNLACLPAHSRNQDWTWGVQPEGLLDHKLEVAEPPKVLLGYLVSPFEGVPYLGLRFGHRR >Et_10A_001805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8318152:8326144:-1 gene:Et_10A_001805 transcript:Et_10A_001805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPPPPPRPHLALPPRSNSESLFTGAGDASPGPLTLASTLFPSDADGGGGGGNTSSSGAGAPSFTQLLTGPYAPQQHHEAERGRGGVARAGPALSVAPPASSASGASVFTVPPGLSPSGLLDSPGLLFSPAMGGFGMSHQQALAQVTAQATHSPLRMFDNMEQPSFSTAATSSEAQQHMNSAANMARVSEMRMVTSNNDNASFQSAEASQRYQVNAPVDKPADDGYNWRKYGQKVVKGSECPRSYYKCTHPNCPVKKKVEHAEDGQISEIIYKGKHNHQRPPNKRSKDGNSSAAEQIEQSNDTASGLSGAKRDQEAIYGASEQVSGLSDGDDMDDGESRSHEVDDGDSENKRRNIQISSQRTLSEPKIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTFAGCNVRKHIERASSDPKAVITTYEGKHNHEPPVGRGSNQNAGMSQQKGQNNQASLSRTDFSNANQRQMGILQFKREEYKESNRRKYFVTNKVKKERSDSDHVLLLAFFQLELHELTGPAGLAALVHHGSDDGVKHADHGVDVGDEEPLEHHRRGDPAAAAGRRRVVRPRHAELEQRLGGGRGEGRGKRRGDGGGGVAGAEQHQERLEQRARAAAAAALGGGHHRRQVAHRGGVRERDGTITPAAESASTALLPPEPEKEAEAVAEAGTGGPSRCSASAALNAASSAANTAALARRRARQLTACVRAIAGYDDRITSRTTAIAGGRRRRCDGGGMEQQKCTAHALARRRKEREKGSAARNAQARWERRWLRRKRSCDAGGKPAATRTRRRRRERRPDGASSAGWDRESSAAAEKGSARSDAAEEAGW >Et_9A_063048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9046944:9047434:-1 gene:Et_9A_063048 transcript:Et_9A_063048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLINSGSNGSMLKYAQRTKLSIIEGVKDNFRGGKIYVVISSFFIEGLNPLDVDGMYCDHDPIGELGSPVCSSAASSILEAAYKALEQQ >Et_4A_035094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9445262:9449658:1 gene:Et_4A_035094 transcript:Et_4A_035094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCVIVSLKDFEFFEDKASAICSTGVSPQLAKMIRRNLRHGQKLAVGKLEHKIIIEECLGAYCLYNEVVMEVMWGLKNLMKSLVPKEKLELAKEDRLHMSEGMKKVLRRHGFNVEPEIVAMASAVNCWTLEIASPVAAPRLFRAAVMDWHTLAPKLVSGVVASAHPVEGEGRVGSVRQFNFTSAMPFSFMKERLEFLDAEKCECKSTLVEGGGIGVAIETATSHIKVEPAADGGSVVKVDSTYKLLPGVEVNDEIAKAKESVTAIFKAAEAYLVANPDAYN >Et_1B_010190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28921306:28921792:1 gene:Et_1B_010190 transcript:Et_1B_010190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCHGALLLAAVALVVLLSSDFFLQGSARRRRRAWCFHRRMPATRSSLAANATARRAITVASAVSKAKGCVTARGASARTCASRLLVVVTRGRSLGRRRTWCNINCTCPTACLFHQQLHDVAVLLEK >Et_8A_057654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5755536:5756217:1 gene:Et_8A_057654 transcript:Et_8A_057654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASVVLPPLPLLRRAESHAASRTAREDDGRDPNAAPVHRIHRGSQQPGREEAGTKKPKTAQQDTTPPASCSGVVAVAAVTTTAERRRALDKKVAGCLLVRSWNAAPHKEGTRRTALRCLCRELPRGSRCALHQDAPWRSWMEQQQGGVPLVGGDGEVVVPRRRHGGVRGVRAVAQERSDAEQVLRGARSGDQRRPEGVNRRHDCRIVCCPPMVPI >Et_7B_054242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18029725:18033811:-1 gene:Et_7B_054242 transcript:Et_7B_054242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISFVGRVLFASLFLLSAYQEFIEFGNDGGPAAKALKPKFNLFVKQVSTNTGLGLPHVDIKTVIAATMFLKGFGGLLFILSSSFGALLLLIYLAFITPIVYDFYNYEMESTQFVQLFFKFSQNLAFIGALLFFLGMKNSIPRRRSKGRTTKSKTN >Et_5B_044306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22477109:22477873:1 gene:Et_5B_044306 transcript:Et_5B_044306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDLRKNPWKCLSSLYWVLIAESWETSIIGMEHLTNLKKVTINGNKESLVMNHLLGQLKAWNDGLPKPLQLTCGLGDCSRSLLALMSSCDANIGDVVRMHQRMRSMTRARRAPSAGHHRHIERAI >Et_4A_034759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6207261:6216960:1 gene:Et_4A_034759 transcript:Et_4A_034759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSALRLILGSSSSSRRQILSEMGYQFTLLSADIDEKAIRKEKPEELVVALAHAKADAILEKMQNNGMMKEIVDSQETTLLITADQVVVHDGVIREKPSTPEEARKFIKGYSESHAATIGSVLVTNVKTGARRGGWDKAEVYFHEIPDEVVESLIEEGNVFYVAGGLLVEHPLTSPLVETIVGTIDSVMGLPKALTEKLIKESLSEP >Et_3A_024286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19094960:19098310:-1 gene:Et_3A_024286 transcript:Et_3A_024286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEVAVAAERSGGDGAVAAGAVAVAAAAAVATAHAGSGGERRSRFRRICVYCGSAKGRKPSYQDAAVELGKELVERGIDLVYGGGSIGLMGLVSHAVHDGGRHVIGVIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPLLTFIDLAVNEGFIKEDARRIIISAPTAKELVLKLEEYVPEYEVGLVWEDQMPPPHGFAPELEPGITSS >Et_3A_023287.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:17765335:17766048:-1 gene:Et_3A_023287 transcript:Et_3A_023287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFWRSSDAGYKPNDPANPIRCVALCLGGSRALVYRPDVYGRPERDAGGRLKFVEGNSMKQLRAFLDDKRVTVACFGAREAAKKLAREWGLHVAAPAEVTDLFVRAYGKAAGLNAGKAKAKVPEKYWMGKAALARARAKAERDEYDSDDYEEEERGTEPWKRAEKVVAGLSLERMARVAIGPEMRLARRPAKVADADWGAHRDISQDEWAYATRDAFLCFEIAARCLQKLGIPIGA >Et_1B_011430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22119875:22127411:1 gene:Et_1B_011430 transcript:Et_1B_011430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKISDFGLAKIFSSTEADITRRVVGTYGYMAPEYASEGLFSIKSDVFSFGVLVLEILSGKRNSGSHQCGDFINLIGYAWQLHDEGRWDVLVDASLLPKCHSAEMMRCMSIALLCVQENAADRPTMLDVVAMLSSKMMIMAEPKHPAYFNLRLVASTIPANTSSSPVHFATTTFGQAPDAVHALALCRGDVANDTACGECVSATFQRMLNWTPPPDPPAQCYRGFFYYGEPCVILYSDADFLSNATAGDGDPFVKWNAKNVTTGGSDDDVRLVVGLTRELLAETAERAASSAPRRFATGVMDSGTTYPLVYAAAQCTPDLSAGECSACLRRLLGMVNDTMAFRMGVQIHVVRCYFRYEAYAWYDSQPMLRLGPPSAPAPTPATEGHKRRMNKLWAIPIVVIPVAAGAFLCFILYSRRRTRQQKGSRRTQDLQGEDEVWEGKNSEFSVFEFDQILDATNNFLEDNKLGQGGFGAVYKGQLPDGLEIAVKRLASHSGQGFQEFKNEVQLIAKLQHRNLVRLLGCCSDEDEKILVYEYLPNKSLDFFIFDENKRSLLDWCKLLSIIEGIAHGLNYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIYSSNNNERNTTRRVVGTYGYMAPEYASGGIFSIKSDVFSFGVIILEILSGKRNSGTHQCGDFINLLGYAWQLWEEERWMELVDSSLLPKKHLEEMTRCINIALFEPMILAQPKQPAYFNVRVGNDEEASTVVTESQC >Et_2A_014505.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:17680541:17681393:1 gene:Et_2A_014505 transcript:Et_2A_014505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITRDHHVINADQSLFVYIKQHVPHIIHITSEKIIAQHTHTDYSTLKANMAAAAAAAVISAGVLLLFAVLSVTATGTEAAASGAGDHVVPVCKTVGGGSAFFDVEFCVSTLRTDAGSAAAETYRDFAAIAVELLTNNAVSTAALINGLNRAGAGKDKARTRCLESCQALYEGILDRNRVCAAAVKGGKFGEAAAGLEKSAAEVKECEGGFAKSGVASPVTAEDDNAFKIAKLAVALLRFAS >Et_4A_035264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15449303:15454128:-1 gene:Et_4A_035264 transcript:Et_4A_035264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSGNKKGKDKVVLPPELPEIDDDELIVSEEDVEFYSSDKHGADLVRKFDQKSIDRYVRRVTGKDEGEVERLYEERERKRKATDALRPRREQDEDMEADPVDALPIKTLQGELIYNNALITLKHLLQKNSKCRNMLENDSGGGSLSSLVAKYDPEAKDPYLSGLASVIWELSLLEKHYDISVSSMASNILSMANLNPTQNPIPILNLNPLEAYKDLSIERELSKPPGKVLTLNCKRKRRGKEFVALSPDVLKAEILVDEDDLKEKLESHFAVLRGISENERLRAELNHTMSSINMYKEYKKQKRKNMKSKTEGEGLTTICLSGFETSPPPACFTNSAGVRKLPWHTQTILTSSPFLYLYKKPSILLPLGPSTLDETIGMSSIIIFLISPVLLVLVVKDPSVHNRGCSVAVPFGGFAFVARVAF >Et_3B_031649.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6824139:6824714:-1 gene:Et_3B_031649 transcript:Et_3B_031649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLPIAVLVSLLAAGAAATGYNSSPPPPPTSYKAPPPAAGNKDDDKRIKLRVEGLVLCQSCARRDPQSLDGAKPLPGAKVTVTCRDRKNRVMAWRKAVADENGYFLAKFGVELLGDYYKGDPAKACFVRLLASPDAKCNGLTNINGGLVGAPLRDEGKKWTGGEGYVNVAYAAGPLAFKPGKCAPTRPY >Et_1A_009151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3185710:3188202:-1 gene:Et_1A_009151 transcript:Et_1A_009151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPSRLRHLRRFLAAAPLSTLAAHSHKQSFFPPVFPTRILPPRWHLPNLPARRLFSEHAILPTNLQDEGFAALSDRIYDVMIKTEAEPNEGTEAALDALGAELTTPLVADVLHRLRYEEKLAFRFFAWASHQDGYDHEPATYNDVIDILSSTRFKSRQFGVLCDVLDHMKRHGTRSVPVEDLLAILRAYTEKHLTHLRKLAKKRRVRMRTPPETDALNVLLDAFCKCGMVREAEVVFGRVKRSLLGNAETHNILFFGWCRARDPKKAMKVLEEMIQMKHTPESFTYNAAIDSFCNAGLMSEARELFEFMRTQGSTISSPTAKTYSIMIVALAKADRMEECFELISDMRSCGCMLDVSTYKDLIEGMCMLDKLDAAYRVLEEMGKAGFPPDIVTYNCFLKVLCGLRKADDALELCDRMIEAHCEPSVHAYNMLMVMFFEMGEAHRAFDVWHEMDTRGCKRAVDTYELMIDGLFDCGRTEDATALLDEVVNRDMKLSYKKFDAIMLRLSAAGNLGAIHRLSEHMRKFYNVAMSRRFAITQKKKSIGGGR >Et_4A_033866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27543159:27546626:1 gene:Et_4A_033866 transcript:Et_4A_033866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASENFSFPVMKAAEIAEALHGYGLAPNANLRAEDIAKPAPDLLPAVLSLYLVNIAGDELDQQLGFEALAALDNPELLYEGIQVRRLFQRARQFLDSIQFQGFTLRDLLRADPRRVVQVLSAVINYLHFRQEKIGLLQPIVDEFPDSDESRLELKTKIAEHQKAIADHELKEQMDEPVVQQLEAEVNGLKQKLQEFNKQQLALRAKAKAIDEDKEGIISKVMSQADFELMKQKQENTKLLSKVVQSPEKLQRALEVKKAARAELKNQEKILTQNIQEKNNNIETYTKACEKLLKHSSKISALLELTAAVKTAEKEVKALKAKIDDQNLEIATLGPKIVEWQSKALETEERLKVKEKERDQRIADNSRKMAALKSEMDCKHQDLEDRQKKVEEKISKASDLCSQGDSIGAAAAKKQEEIYTKFEFVCKAANHLIDNLDRSLEEAE >Et_1A_007721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37495116:37496578:-1 gene:Et_1A_007721 transcript:Et_1A_007721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVTTRFRKVADLVCTMDPVPLEGLKDQVFWDFFKLCIFGFDSSSINPVLECIELVKLSFFQKFHDKYVIHDLMHDMAQLVSKDECFIIKGENDFKNIPENVRHLSVPTAGYRKLRTLLSDSYNDASVIEQWCTELLYMRVIFCYSLSELPEGISNWKHLRYLKTSGEYLKNLPSTLCSLYNLQILCTKNSMFDYIPTGFGKLINLQRFESLRYQFRQEHAVYIREGASDDGQKKISFIRSPGESLPSGFHPHNLTHITSLLFDCCYGLKTISFSINSQGSNSNDLISSTFSFLTEVTITCCEELSSLERFLQPACIPAIKKIVIKDCPNVESVPTERFEDLHFLEELILCGCPKISSRSLSIPS >Et_3B_028683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1873485:1878242:-1 gene:Et_3B_028683 transcript:Et_3B_028683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNTSKSDVQKAEEFKLQANDAFKANKFAQAIDLYSQAIELNSSNAVYWANRAFAHTKLEEYGSAVQDATKAIEVDPKYSKGYYRRGAAYLAMGKFKEALKDFQQVKRICPNDPDATRKLKECEKAVQKIRFEEAISVGHTETRSVADSIDYHTIEVEPQYTGARIDGDAVTLDFVKQMLDDFKRQKCIHKRYAFQIVLQARDLFRSMPSLVDVNVPNSGHFTVCGDVHGQFFDLLNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCLYPRAMYLARGNHESKSMNKIYGFEGEVKSKLNDTFVELFAEVFCCLPLAHVLNNKVFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCEILWSDPQPQLGRGPSKRGVGLSFGADVTKRFLQDNNLELVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFTAPELKPDIVTFSAVPHPDVKPMAYANNFLRMFQ >Et_2B_021641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3945720:3947644:1 gene:Et_2B_021641 transcript:Et_2B_021641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRSPQLANEFELKNTNFVRCSHSQVLHLITFYLPLWGVILYNGFTYYEVNHTLNNAILMPIFLPDRIKRNLPTLSRLRSQQESELTSLII >Et_10A_000340.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:2864917:2864946:-1 gene:Et_10A_000340 transcript:Et_10A_000340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLFLELF >Et_2A_016673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27079363:27083017:-1 gene:Et_2A_016673 transcript:Et_2A_016673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIYPVKKRGLAPQFRLLRALGVYDQLLMESWASIRSGVPGILTTLSQSNGKYKYDYATIPFLAELLKLSVSSFFLWKECQSSSPPRMTKEWRSVRLYLVPSVIYLIHNNVQFATLTYVDPSTYQIMGNLKIVTTGILFRLVLKRKLSNLQWMAIVLLAVGTTTSQVKGCGDAPCDSLFSAPVQGYMLGVLSACLSALAGVYTEYLMKKNNDSLYWQNVQLYTFGVIFNMGWLVYGDFKSGFELGPWWQRLFNGYSITTWMVVFNLGSTGLLVSWLMKYSDNIVKVYSTSMAMLLTMVLSIYLFSVKATIQLFLGIIICIISLQMYFMPVHMLVELPQTLPVASK >Et_3B_028916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20357128:20362211:-1 gene:Et_3B_028916 transcript:Et_3B_028916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWGSSRMARCGPWSGGGGGSALERELSRDGSHYSISSGILPSLGARSNRRIKLRPFIVSPYDRRYRFWETFLIILVVYSAWVSPFEFGFVRKPEGALSIIDNVVNAFFAVDIILTFFVAYLDRMTYLLEDDPKRIAWRYTTSWFVLDVASTVPTEIARRILPPNLRSYGFFNMLRLWRLRRVSSLFARLEKDRHFNYFWVRCAKLICVTLFAVHCSACFYYLLADRYPEPTHTWIGNSIPDFHQRSLWIRYVTSMYWSITTLTTVGYGDLHAENTREMIFNIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRKYRDTIQAATSFALRNQLPHRLQDQMISHLSLKFRTDSEGLQQQETLDALPKAIRSSISQYLFFNLVQKVYLFEGVSNDLIFQLVSEMKAEYFPPREDVILQNEAPTDFYILVSGSAELIELQNGTEQVASVAKSGDVVGEIGVLCYRPQLFTVRTKSLCQLLRMNRTAFLSIVQSNVGDGTIIMNNLIQLLKEQKDNSVMVGVLKEIESMLARGRLDLPITLCFAVNRGDDFLLHQLLKRGLDPNESDNNGHTALHIAASKGNDQCVRLLLEYGADPNARDSEGKVPLWEAMCEKHDAVVQLLVENGADLSSGDTALYACIAIEENNSELLKDIISYGGDVNRSLKDGTTPLHRAVCDGNVQMVEFLLEQGADIDKQDNNGWTPRALAEQQGHDDIQIIFKSRRKAPKQHAPNSRVAPLFIGRFNSEPSMQNVEHEDIGVPDKVLPKKLLNRRVSFQNSLFGVISSSNASRDTGPLLSRGPAATGLPNCNNNSLVRVIISCPEKGNTAGKLAVLPRSMKELLDLGAKKFDFIPTKVLTIEGAEVDELELIRDGDHLVLVSDEWVPEVEQNKL >Et_8A_057677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:675778:677509:1 gene:Et_8A_057677 transcript:Et_8A_057677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPKVTMQQITSSLQAVHGILEDILGRISDDRAVRIGHGLNMDANDGLKFLAFETHNIHELAREVDFKLSGCMEMQRKEKSRMESRVSSLVKENQDTHSMLKIAIAEKEATENSLRILKGDSEQGRSAILQIAEKGLQKVGFGFIMEVINGEPVSDEMSASHASATSSGRETEQEVVNCDHFQLLATEHAQKMVKYESQIKDLEERERILVHSVEELTLRMKAVEQDADRWREACELEVEAGKSSMKELNQEITLLREELRRVKAELKAANSKLQLKEKLAASAMAAQAAADACLKLADSRSAGLQQRIEELTRQIEREDPNGRKEKESTRRRLRHVCWPWQRLRVISASSRAKTWFIDQNGRLLPRTEALLQTRI >Et_3A_026760.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:19289754:19291433:1 gene:Et_3A_026760 transcript:Et_3A_026760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHRKTLLPFLLLSLLLAPQHLLSAAAFVSRGLSADDEAHIRRRQLLQYTNNNGEGADPSSYSFPNPRLRDAFVALQAWKRAILSDPYNVTGSWSGPDVCAYTGVFCAPSPSDPYLTVVASVDLNHADLAGHLPEELGLLADLAVLHLNSNRFCGLVPRSLDKLHQLHELDLSNNRFVGGFPDAVLRMPALKYLDLRFNEFEGTVPPALFDRPLDAIFINSNRFRFRIPDNVGNSPASVLVLANNDFGGCLPASVANMSATLNEIILINTGLRSCVPRELGALHALTVLDVSHNSLMGAIPAELAGLERIEQLNLAHNRLVGDVPEGICHLPNLQNFTYSYNFITGEPPVCLHVRNVDDRRNCIPDRPYQRSPEQCQFSKNHHVNCDAFKCKKFVLPSPPPPPPSPPPPSPPPPSPPPPSPSPPPPSPPPPSLPPPSPSPPPPSPPPPSPPPPSPSPPPPSPPPPSPPPPSPSPPPPSPPPPSPVHYYSPPPPPYYEVSPEDRYLSPPPPAYQESPPPPPSYEVSPEDRYLSPPPPRTLYKLPTWNYASPPPPATWKP >Et_5A_041639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25291712:25295492:-1 gene:Et_5A_041639 transcript:Et_5A_041639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATAAADQATDLLQKLALEPTGDAGDVLAPKDKMFTWSFLRLQETPAKGVAAAVGKPQKGGNMQDYKDASLYYGAYPAYAYGAYGGWGDYSTYFSHDGTEAPIVGAYGDMYYGYSPYATWGDSQMYGSQHYQYPSTYNKQQNSTAKQSGNGKTEKLTPSPQGDVSTKTPPTNGIDTVKGQKTSNLPLKADRNGPGSDGSYGLAKGRASSYQNQTNWSAYPYYSSQIFSDKQRDLPKNCNSTNSDAKSKGQPKNRNMKSYPHLMTPTSPMGAPSIYSANGIYGYDGNAYGSGIWYGSHMYSSGLYGGWGAPWDGKYNPRGRSNGYYAHDNGNLDGFNEMKRGPRSGLFKNRQGLGMTEVAKEQEVSATDGSNTAMKDQYNRADFVETYSEAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAAYQEAKEKSNDTSVFLLFSVNASGQFVGLAEMVGRVDFSKTVEHWQQDKWTGCFPVKWHIVKDVPNGLLKHIILENNENKPVTNCRDTHEVKLEQGLQVLKIFKDHVCKTSILDDFGFYDNREKMMKERKAKMQQSLKKVTDAKLPKALDTEKKMLNGEPESQKLTEVEDTLSKELSHAKAGEKNDVKENGVIPEDLKVSN >Et_1A_006229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19401640:19407743:1 gene:Et_1A_006229 transcript:Et_1A_006229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAQAAWLLVVLCCCCSWSQRQILVAATTDANDVAALNTLFTSMNSPGQLQGWKVNGGDPCAESWQGITCSGSSVTAIKLPSLGLSGTLAYNMNSMESLVELDMSQNNLGNGQQIPYNLPNKKLERLNLAGNQFTGTVPYSISTMSKLKYLNLNHNQLSGDITDIFSNLPSLTTVDLSFNSLTGNLPQSFSSLPSLKTLYLQNNQLTGSINVLANLPLDDLNVANNRFTGWIPNELKKINSLQTDGNSWSTGPAPPPPPFTAPPPSRKRGKSPGQRSNGSDSSNSGGSSGIGAGAIAGIVVSILVVGAVVAFFLIKRKQRKGAVPEHFEQRQPFNSFPSNEVKADTKPIEEATTIEVESLPSPATVNVKPPLKIERNQSFDDDDGFANKPVAKKVNAAPVNTKVYSVADLQMATDSFNMDNLVGEGTSGRVYRAQFSDGKVLAVKKVNSTALPSESSDDFFELVSNISKLHHPNLSELVGYCMEHGQHLLVYDFHKNGSLHDMLHLSDEYNKPLSWNSRVKIALGSARALEYLHEICSPSLIHKNFKSSNILLDAELNPHISDAGLASFVPDAEFQASDQSSGYSAPEVDMTGQYTLKSDVYSFGVVMLELLTGRKPFDSSRPRSEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVVALCVQPEPEFRPPMSEVVQALVRLVQRANMTRRMLDGEEASRRPDDQDQEFL >Et_6B_049743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9925271:9933003:-1 gene:Et_6B_049743 transcript:Et_6B_049743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGLSGLAAAHELARSGAVRVTVYEKEDYLGGAKTVAVDGGRKGHVLVDLGFMVFNPVTSPNMMEWFQGLGLEIDTSDMSFSASLRLNNGKGFECGSRNGMSSVLVQKSNLLSPRFWLLIHEILKFKNHTLKYLEDQKRDPDWNKTLGQFIKSYGYSQLFQDAYLIPMCICVWSCSSQEVLDFPALFVLSFFRDNQLLEFFCRSQWLTVKGGSESFVKKVREELESNGCQIKVGCEVNSVSKCNGGYRILEVDGSEDMYDRIIFGVHAPDTLKVLGSDATHEELRILGAFQYIHCNMYLHCDESLMPHNISAWSARNFQGTANSGVCVTSWLNILQLTLLVFPVMYCLNGILATQFHPWPLPRLLLSSITSKERGEFGSAAHIKAGKATALGLLGMKCDLLVNPKPMVPSWTEAGTRLLVARNLDQYISIGNFCILEDGGTTFSFGKACEKCHIKSVMRVHDPQFYWKAATEGQLGFASAYINGYISFVDHRHGLVNLVLITLANRGERKRLNSTAQKSNYTRKAWWAPFLGISGLAFAKYCLRHAMRKNSVSQARKNISEHYDLSNDFFALYLDPSMTYSSGIFKEEDESLEAAQLRKYDSLINKAKVESGHHVLDIGCGWGTLAIQLVKKTGCKYTGITLSEEQLKYAKRKVKESGLEDHITLLLCDYRQLPTSHNEMIEHVGHEYMDDFFASCEYHLAEHGLFVLQFIAIPEELYDKMRKRAEFINTYIFPGGCLPSLGRVVSAMSNASRLNIQHLENIGDHYYTTLMKWRDNFVANREKASALGFDEKFIRTWEYYFSYCAAMFKSRTIFDYQIVFARPGDAKMQSYLAIA >Et_3A_023274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17144525:17144788:-1 gene:Et_3A_023274 transcript:Et_3A_023274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRLTEEILPRTKCQELDEICCENNWILPRYIVLASLIDDMLENNSIWFLMANIYKYYILH >Et_6A_045941.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:12176277:12176714:-1 gene:Et_6A_045941 transcript:Et_6A_045941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSRLRPTESIPSSGALPSDALFEVLLRVPAKDLCRLRSVCRSWLALTTDPQFAAAHKSRHSEPLFAVTFRDGDVRGVSIVNLLGQVLRRVHVTSEGIKLFSAHLDRICITRGCTPALGAWVVNPAIGAVLSLPGRRPDELLGS >Et_3A_027295.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7117755:7118048:1 gene:Et_3A_027295 transcript:Et_3A_027295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRRINYGFKAEKKWRKEGMARKEKVPRGYVPILIGQGEDREKILVHMEHLKQPYFLELLDLAVQEFGYEQQGILHIPCTTKVFRSIIGTIQKPKS >Et_10A_001039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20459969:20460600:1 gene:Et_10A_001039 transcript:Et_10A_001039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNWAVLLFVCAIICNHQVNGECTIKQKQDVLNHCKPCLYIPDPRVSKADLYACCYWVTQVPNRDMNCILNKCTEKEKSLIVVDNLLQLEQKCRFVDHLPPTGPATPPRPIQGMEEGKGRLGAIDNGIATP >Et_8B_060400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13369067:13372394:1 gene:Et_8B_060400 transcript:Et_8B_060400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRSGHNCGRGRFLLVVVAASILTVATFVDPVWIFSRCNASSLRAAVWYDKSFVSYGDTNASTDHEDTFRQILYNTGQVSDKDAFMRTYYALMGRLAARVVNGTPESPATAPMFATGEAVYDSNAPNGTSCMRDRTPAECNSCLQKSVQQLPSCCYGNQGGVVLCYNCYLRVEIYTYYDLALDPPPPLAPAPSSVAAGDKHDNDRKEEANGNIEPGQLNLTVLRAATNNFSEANKLGEGGFGEVFKGFGELKTELVMAAKLKHRNLVQLLGVCLQEEKLLIYEYMPNRSLDSFLFEPVRRLQLDWSRRFDIMCGIARGLLYLHEESRLKVIHRDLKPSNVLLDAEMNPKISDFGLARAFGGDQSRDITRRPVGTLGYMSPEYAYYGHVSTKSDMFSFGVIILEMVTGHRNNSTFECADSVSLLSYVWAKWTTGSTIEVVDPSLDGRYPEDDVLNCVEVGLLCVQENLADRPDASAVALMLSSHSRTDERHPPSRPAFVFGSGHSRSAYGLPAGAWNREGALIRDKQRSTEPISENEVTISELQPR >Et_1B_011376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21232779:21234938:-1 gene:Et_1B_011376 transcript:Et_1B_011376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILAAAARQHRKPQHLLIALNHLFCTTTTATGSCSFDPTVQFLPSDHHRGLSLPGSLRRDALVALVRLLKISPQCHLSLHLLCSCSGDPARSAPLAARFAAASSLAESAPALRPFAAILLAALLPYASPDLLSWSSSAIGGARARYGVLRLALHAFLAAGMPAEALEVLARVRRSGNTPSLSALAILLRLLFRSGEAQAAWKVFEEMVAKGPRPSLAIFNAMILGFCHRGTVRVGSGLLGVMRKFGVVPDACSFNILIKGHCVFGRSEDAFNLFDEMRSNGLTPDVVSYNIIINAYCRAHDTHRAMDLMNQMLADGCKPDIFTYNIWIHSFCCYHMLNRAGMVLDELVAMGCTPNSVTYNTLMDGICSD >Et_9A_061993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18633304:18633847:-1 gene:Et_9A_061993 transcript:Et_9A_061993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRQEHKPVKGGISNNLRRGELGYSLGALGDSVLSELAGEDESHGGLDLAGCDGGLLVVARELGGLAGELLEDVVDEGVHDGHGLGGDADVRVHLLEHLEDILYVSTLFLAFFFPFFSPPSLDAGSRFSALGFFSALGAFSAFSSTGFFSAGFFSAFGAITNGSGRGRREVEGKSRGG >Et_10A_000173.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:14514346:14514456:-1 gene:Et_10A_000173 transcript:Et_10A_000173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQTSLNVPAILYFLEKGAQPTRTVYDILRKAVLF >Et_9A_062350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22067087:22074167:-1 gene:Et_9A_062350 transcript:Et_9A_062350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGAGGKGAGAPVPPAHRKLVQSLKEIVNRPDVEIYAVLRECGMDPDEAVSRLLSQDTFQEVKSKRDKKKEVKETPEPRSRSANNSTSRGARGGADRGGRNSSVHSSSIDNVASRSSVSGTGMPSTNSNQKQPVASSSVNKQMISDGPVVPSQSSPGFQHGWSGTPGQLSMADIVKMVNQNPKHYANTASRTEHDQELPPLEDSVQVKNHSHSSVVNKHAYGNDWTPQDEPPLANQPFLPETSVDPYKSLQSSSQVDDVVHLHENSHFDENNSVAMRPVSSERHLEHGEVNSEYNDGLLMNSSSYQPQEYSYTEGEVEGSNADVSAATENFQSLSLQSEELAAKGINEDNPAVIIPDHLQVTNTECACLSFGSFESGAFSGFLPQKTTDSNVELSVGGESAPADQIDARNQDYYDTGAVPSSANENLEAMIGANMENIDAPSVSQADVLRQDVLDPSGLQYDLPSVSSHTYSNTNTSQPSTMEDPQGNNQAHTLSHLSNLMQANPLSTSLLGSNQSTLHDLEYPLPPYLAPKYNTDQASNPRPAISMQETLKTGVFPNVQSTQNLPSSSIPTGPPPPQQLAAPAHPYSQHTVPLPPFTNMIGYPYLPQNYATYLPSIFQQAYSSNGPFHQSAAAAAVPGSGMKYSMQEYKNTLSAAGLQQQPSSVISGYGGFGSSSNLPGNFTLNQNTGSASSTLGFDDALSRQYKDASQYMSLQQGDNSAMWLHGSGSRTAAALPPNHFYGYNQGQSQQGGFRQAQQPQPSQFGGHGYPAYYSSQGGLAQEQHTQNLAEGSLNGFQPVQSQQSAWQHQHNF >Et_2B_021174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27130645:27132907:1 gene:Et_2B_021174 transcript:Et_2B_021174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPAIGGLAVSAVRLARRAASGMSTLPGGGAARFHDYDAAVTACVERRALREGRQVHARMVAAGYRPALYLATRLVIMYARCGALEDARNVLDGMPERNVVSWTAMISGYSQNGRPDQAWDLFVTMLRDGCRPNEFTLASVLTSCTGPQGIYQIKQVHSLAVKTNFELHMFVGSSLLDMYAKSETIQEARRVFDMLPVRDVVSYTAIISGYTHLGLDEEALDLFRQLYSEGMQCNQVTFTALLNALSGLASLDYGKQVHGLILRKELPFFIALQNSLIDMYSKCGKLLYSRRVFDNMPQRSVVSWNAMLMGYGRHGLAQQVVQLFRSMCEELKLDSVTLLAVLSGCSHGGLVDEGLDMFDLIVKEQSTILNIEHYGCVIDLLGRSGRLQKALNLIEKMPFEPTKAIWGSLLGACRAHVNVHVGELVSQKLLEIEPENAGNYVILSNIYAAAGMWKDVLRVRKLMLKKTVIKEPGRSWIILDKVIHTFHSSERFHPRREDINAKIEEIYVAIKAAGFIPDLSCVLHDVDDELKEHMLLGHSEKLAITFGLMSTSSGFTIRVMKNLRICVDCHNFAKFVSKVYGREISLRDKNRFHLITEGVCTCGDY >Et_4B_039402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13963656:13966537:1 gene:Et_4B_039402 transcript:Et_4B_039402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRVVVGTLRGFDQFMNLVVDNTVEVNGNDKTDIGMVVIRGNSVVMIEALEPVAKSHDYDMDW >Et_8B_060715.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5379236:5381599:-1 gene:Et_8B_060715 transcript:Et_8B_060715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTLVVAVAVLALAGAAGVTGEGGERTYIVRVDADAKPSVYPTHAHWYEAAVLAAAGDGAGWPEGGPLIHTYSAAFHGFSARMTPAAADALAAAPGVAAVVPERVRRLATTRSPRFLGLLSSPPSALLADSDFGADLVIAVLDTGISPAHRSFHDRGLGPVPPRWRGVCASGPGFPPASCNRKLVGARFFSKGYEATSGRMNETAEVRSPLDTDGHGTHTASIAAGRYVFPASTLGYARGVAAGMAPKARLAAYKVCWAGGCFDSDILAAFDAAVADGVDVVSLSVGGVVVPYHLDAIAIGAFGATEAGIVVSASAGNGGPGGLTVTNVAPWMATVGAGSMDRAFPANVRLGDGQVLDGVSVYGGPALEPGKMYELVYGGASSSAADGYSASMCLDGSLDPAAVRGKIVVCDRGVNSRAAKGDVVRRAGGVGMVLANGAFDGEGLVADCHVLPATAVGAAAGDKLRKYIASSSTTKQRPATGTIVFEGTRLGVRPAPVVAAFSARGPNPQSPEILKPDLIAPGLNILAAWPDGVGPAGVPSDGRRTEFNILSGTSMACPHVSGLAALLKAAHPAWGPAAIKSALMTTAYVRDNSNGTMVDESTGAVADVFDFGAGHVDPMRAMDPGLVYDIAPADYVSFLCNLNYTEQNIRAVTRRQADCRGARRAGHAGNLNYPSLSATFVAGERSVMRTHFIRTATNVGGGRAVYRAEVRAPDGCNVTVRPSQLAFRRDGQKLSFTVRVEAEVGDAGKMEPGSSQVRSGSLTWTDGTHAVRSPIVVTVQAPLQ >Et_3B_028190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11507007:11507881:-1 gene:Et_3B_028190 transcript:Et_3B_028190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTKVHNSKKLFDLNEVPRVKLHEVLTGTAEAHGEFGVMEWKSCIPPYHSYILSCEESHHMVTKMRIETAPLT >Et_6A_047425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5524953:5526579:-1 gene:Et_6A_047425 transcript:Et_6A_047425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAASDSAVSKHLGSLRHACGSGLHQRDPSPAQELVSGINSVPSPWRRTYVLDAAQVKVLFDASAVVFGGKLHILVMAAAVLDYSYPMLAHTSEAAFDTTYGTTNARGTFLCFREEAGRLARAVRGRIYRDVLVVGRGVAAPGWVQLWCTVVEKLEDELQICRSAEMDEIERYIAETPLGRLGMPEDIAPLVGFLASDAGQCWANAQVLRCNGSTI >Et_7A_053032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5243948:5249655:1 gene:Et_7A_053032 transcript:Et_7A_053032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACARRRRVGVSDAGGSQEPAALRVAAPEDRSTAYFAALGHRILFVPGDRRAPCSFEVVSLVRRDADEHVPLCRRADRWAVESVPAPMPFHRHELVSAYAVHPDGRTVFVSARHRAPRDNEIRKEGTWSFDTRSSAWTWHGEWQLPFHGRGYCVDELDAWVGLRRDGFLCSCAVASCRGAAALPECKLGKTTMLREETERHVGMPGATLAYIGDGRFCLVECAAREGVTGEDAIDGAVDGCLLHVSVQHQPAPLGASTHWPVFLHSGSKESPWAWSQVESPIAQSKTILRSPVSTSASLSGIALSSASLNVKTFSSWARKNAALQVPYSSSATICVVLLNDSVNDCAAVTWSGISNSQQASPEQAPSMMASELPPHEVVQLAKGQRLKSPGGLVKLALRLWLQPTLTSLFPLEKVKGVPSKLMASAPVPLSGVGLPLRS >Et_3A_023696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1100919:1106795:-1 gene:Et_3A_023696 transcript:Et_3A_023696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGTCSGNVSPTTFPATKPESRLQVPRFRMAAAAASSLVRRAATAASSRLLLARAFASGGGETAKRVLVPVAAGTEPIEAAATADILNRAGARVTIASADPPKDDGLVVETAYGVKLVADGRVADLEGEAFDLIALPGGMPGSVNLRDCKALEKMVKKHAENGGLYGAICAVPAVTLAYWGMLKGLKATCYPSFMEKFTEEVIPVNSRVVVDRNAVTSQGPGTAIEFALALVEQLYGKEKMDEVAGPLYVRPQHGAEYTVEELNSLEWKCSDTPQILVPVANGSEEMEALNLIDVLRRAGANVTVASVEDELQIVTRRHKFNLIADMMLDEALKMQFDLIVMPGGLPGAQKFSSTKKLVDLLKKQAESNKPYGAICASPAHVLEPHGLLKGKKATAFPPMSHLLTDQSACEHRVVIDGNLITSRAPGTATEFALAIVEKLFGREKAVSVAKDYVHPKLNIVFRQ >Et_10B_003093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16416769:16433272:-1 gene:Et_10B_003093 transcript:Et_10B_003093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGGLRDLAKKAAAKVVAANGGAPPKGTTYIGVRLPRWGKWSAEIRDSPTSIVWLGIYDTAVEAACAYDAAVRTLRPHRARGRTNFPEPPETTGEEREARAAVVRAHVDEVKRKRAEKEARRREVAEAAVAEAAPPPAPAADPEVDAPTPEGDASPSETSSASPGGDASGASTSPSTSAAAAPEATNAMVVSTVPSPAVPALAVNAHASAFRIAVSTNAPQAQQSLDALRSLAQLQEQGNLRPPLTIGNPPQSSASRQELRTTGGCTMANIPPAQFQDLYRGGGLRELAKEAAGKVAAANGGAAPPPPEGTTYQGVRLRQWGKWSAEIRDSPTTKVWLGSYGSTVEAACAYDAAARTLRPGDARRPRTNFPDPAVTEEAKAASGGARRRKPSARRREPRRHLWPCELAVAAAAKMVEANGGAPLPAREYEGVRLRRPSGKYSSEIRDPSSGRKKLKFWLGSYDKAEEAACAYDAAVRTHGAYARTNFPEPPATTEEQREKRAAVVRAHVDEIRRRRAEKEQEARREEVEAPAPAVNASASQLSAPTTRELFHQAALMSLAQLRAHWNLHPPRPYNMVGMVPGQLPSPPPLGLGHFSTTTIRRSHSPSTTRLSARTLSTPAGKPAGTSAPAFGVPALQQQQRRPTSTTTTTQRRNGTEANASSSPAATQDLYRGSDAGAHAGLKAGTRAAEKIDPDQGHRLQAHRNLHPSFYYQSPIAIDNSPQLSAFQPPLATAATLPRRSRRAPQAQLSAFQPYRSSGSTRHEFRTTGGCMMVNIPPAQFQHLCRRFVGISGLGRGGGSDPGTHAD >Et_3A_023235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12928232:12930448:1 gene:Et_3A_023235 transcript:Et_3A_023235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAIVSIVLLSTLVVLSSHRRRSGHPALRLFVWAASTLFLPLVSYAVSAAAKLDAARVPLLLAWTVFLQILRNTIDTARSSSSSPAVDAAGGGSSGSNKFRPSVEQLARMGWVAFLIVSSGGQAGSPQLTGVLLWLWVLSLLKLVHRLVAAELARNSFAVGRNAHLVADYMRQLHAHRHHDDGDGQLMPPPYLVMGEEKLRVEARPQGYRVVGGGSFPAVDSAHVVTVDMIWRLASSGDPLLSSCPEVKDLCLAFALFKLQLRRFAGCPVIAEAGCRRALAFVVRDGLLLDSPERAFRVVEAELSFLADFLYSKLTPLYATGWCFPSLNLVLVLATWLSCLAAGAAIVRDMATPGTALAGDYANLRGYLQNHDAVFRAVVGLDVLVSVAFIAAVVFTEGWEAAAYVRSDWPRMMICTYSGCVSRQVDRIAAKTSVAVPASVKAAIVEALRTESGVSEQILVWHVATCLVEIKLLSGAGNHNHNNNEDDDEHVVVATRLSRYCAYLVALKPGLLPDHRAWTEELYEGVAEEAARVLARCAGPDVRYERAATCLAGSMNATLRKAARLGRQLVQDRGGGNQDQLLAWKVLADFWAELVLYLAPSENVRAHAKALRRGGEFITVLWALLGHAGIVSRPEITDI >Et_9A_061015.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:8160246:8160512:1 gene:Et_9A_061015 transcript:Et_9A_061015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSSIASFSLHKDQDQMNTSSFSLDGRYFFDLSMATNQVSHRLLDTFGKKDKEILDYLTPDQIMSNNHWNFVYPSILQDNSDLLEKK >Et_7B_055205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8276130:8277452:1 gene:Et_7B_055205 transcript:Et_7B_055205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HERSDLEESFTAFSAPLEKTPSVGQSIRERLFERMLLRSSSTPFLHSFRSSSSSSSSLQIRRAFSDSHLPSLHPPSTPNDGSIVGKTTGGLHTELSFSIYNTFSKGGAPLAAQQPPPDQLEFEQEQDRTVQPEQPELPLFLARGLGIDRIASGLFTAGTVKHKTAGAKMDGVEERVVQDEKVAALDAQYKKMVDEQPGNALFLRNYAQFLHEVKGDPKRAEEYYSRAMLADPSDGEIMSQYAKLVWEAHHDRERSLDYFQKSVQAAPQNSHVLAAYASFLLEQDDDDDIFVGEQGTGTGQVRELASAAV >Et_8B_060140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6353272:6359838:1 gene:Et_8B_060140 transcript:Et_8B_060140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVDFSVLGAVKKVTSFIAGPTSAEAAADGRPPAMPRSRSGSASPAESPPPAAARSGDRRAIALRRQISSPQLLRCPTVRRADDEDDYQPGVQFFTPGNDFYFSDTDSISVSTPNEINQSLTPSPLESPSWMRGDDGSPIFRKNGCFSQDSPGYGTKTTVQSDGALEQINERVTERGGEGNIPQYPAVFGANIWSPPPPEDEGDDIESSLFGFDDEDDEVGDSSRLLVSASFSANKIAGAEEVTSTAQKKGLRNAVLGHFQALVAQLLKAEGINLGTDDGSKNWLDIVSSLAWQAACYVRPDTKKGGSMDPTDYVKVKCIASGDPSDSNFVRGVVCSKNVKHKRMVSEHRSAKLLILGGSLEYHRVPNKLASIGTILEQEKEHLRKIVGKIESRRPNVLLVEKSVSSYAQELLAKDISLVLNVKRPLLDRISRCTGAQIASSVDSIASAKLGHCEVFKIQKVLEFSSLKETARRSTKTLMFFEGCPRRLGCTVLLRGSCREELKRIKRAVQLAVFAAYHLSLETSFFADEGATLPKFPLRPGLMESDTRNCADNYFAGSSPVGVPCGLKPEHGRLSQTTVVNRVFENISVSPNSLPSNEESEGFMDDCDHRESECSVDHLNSCELCVSSATGLCNRHETSPLEYDSKMQYQHSHNNSEKLAKYAHQNEVSARKYQEVNHWDDKPHDNSHSEDWHDQNELGGEYFPSTDNHQSILVSLSSTCVPKGLVCERSQLFRIKFYGSFDKPLGRYLREDLFDQAYRCQSCKEPTESHIRCYTHQHGSLTISVRRLLSQKLPGERDGRIWMWHRCLKCEPKDGVPPATRRIVMSDAAWGLSFGKFLELSFSNHATANRVANCGHSLQRDCLRFYGYGNMVAFFRYSPVDILSVNLPSSVLDFNCRSPQEWLKSMAIEIFGKMELFHMEVSDFLHCTEKNIVTEDEPVKDVVQRQIIEMKDLLKMERNEYEILLLPVIRDSNHPMLASIDVLELNRLRRGLVLDAYIWDRRLCHVDSLLKTHGRVSRTSDNLDILLEAKLKEWKADLLPGDVEIRKPLGSPRKSMLSREGHLSDTECRLSDANVYNMDDTEDLDKVYSKFNGEKEWPVTEPASNIEPVERLPSLASIFSDKIDLAWTGSSDLQYDLPPAFTKIDENGSFNLLKSPNHRNGVTPVRIHSFNSTLGLRQRERTGLAPTSLHLSSFKSAEYFRDTASIMKDPVPNMRRACSQRSPGVTEKLNVVLTRTPTYVPSAKNMIDDGARLLLPQIGYENDVVIAVYDDEPTSIVSYAMTSEEYVQHVTRRLNSSLSFSHLSKTSEFSSHGLEGALPTQQDLDSKGTHFKFSFDDESPISPDKAKFSVICYFEKHFAALRKKCCPKDIDYIRSLSRCKRWSAQGGKSNVYFAKTMDERFIIKQVTRTELESFVEFAPRYFKYLMESLNSGSPTCLAKIVGLYQVTVKSLKAGREVKMDLMVMENLFFERRISRVYDLKGSLRSRYTSGDSKVLLDSNLVEALHTKPIFLGSKAKRRLERAVWNDTAFLALADVMDYSLLVGIDEEKKELVIGIIDYLRQYTWDKQLETWVKASGILGGPKNESPTVISPMQYKKRFRKAMSKYFLTVPDQWSS >Et_7A_052942.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:389010:389543:-1 gene:Et_7A_052942 transcript:Et_7A_052942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHHELQGPRPAQLVVTNKESHTINKKRRPVIIYVESPKVVHAHPSEFRSVVQRLTGAPPSSSPALPQFPFQLYGGLANEALKDMDYYCRGASPFTVSATAAAAPSHTSYLPGDSGRSLSFFSSDQLISPSFLFDQNTAPAVAGPGSSFQADSNLLGSSAPFLPSALCGANRFIDQ >Et_2A_018731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6153492:6156931:-1 gene:Et_2A_018731 transcript:Et_2A_018731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAASSSRSFAPRRVLHGAGVVRDALPYGGLPAAPPPPMPPAQGADGGGGGGSGGKISPAVLFIIVILAVVFFISGLLHLLVRLLMKKQHRRGRGAPATSRAARGAGGEADAALQRQLQQLFHLHDAGLDQSFIDALPVFAYREIVVGCGGDGDKEPFDCAVCLCEFDAEDRLRLLPLCGHAFHLNCIDTWLLSNSTCPLCRGVLFAPGLMAEDNPMFDFEERMEEGRLSEECEDGLGLPGQKAQTPAAEKRVFPVRLGKFKNVGTQGAVEGGNANGRVLSRDQRESSSSSLDGRRCFSMGTYQYVLGTSELRVALQPGRIRNGAGGAARGRPAGLSSINADIMEGKKICARNKGESFSVSKIWQWSNLKGKLPTGFSTDDRCFFSDAAVHQQRPASGTIETDKNN >Et_4A_033518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24018729:24021420:1 gene:Et_4A_033518 transcript:Et_4A_033518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRPGGTNLVEPRALPHGGVAMQQPWWTGGGLGAVSPAVVAPGIGLSGNSPVGGAASQGKAGGDARRESSEESRRSGESKDGSIGQEKNHATSQMPALMSEYLTPYTPLELNQSIASGTYQYPDPYYTGMVGPYGAQPGSHFQLTGLTHSRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELEKKVVKVRKPYLHESRHQHAMRRARGNGGRFLNTKKSDNGTPNGKTEPKKGEQNSEYLHVPPDLHLRQEEA >Et_7B_053765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1281080:1285356:-1 gene:Et_7B_053765 transcript:Et_7B_053765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRRVWCSVLARAAAASPDPAAGSPRGRHAPPIEYHGALSLGALDALPTDVLAQILRLLGPFEAARSCTVCRAWRVLASDNGLWAFFLRLGPEPWDLVVFAETHLAAGPASHPWLCYDSTPQLSFKQIYGLREVVPGSVIVDGGSGYCKYGWSKYAAPSGRCATFLEFGNIESPMYARLRHFFQTIYTRMQVKPTNQPIIVVLPLCHSDDTESARASRKQYEETLYSVLFDMNVPAVCAVDQAVLALYASKRTSGIVVHVGFNVTSIVPIFQGRVMYEIGVETVGQGALKLTGFLKELMQRRNISCESLYTVRAIKEKLCYVAADYEAELRKDTHASCEIDGEGWFTLSEERFKTTEILFQPHMGGMHAMGLHKALSLCMDHCYNSEVVGDDSWYKTVVLAGGSACFPGLPERLEKELHQLLPSYISEGIRVLPPAFGTDSAWFGAKMIGNVSTFTEAWCVKKKQFRQKTRGLPIYPAYGHLYSTGT >Et_8A_057605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:540434:543366:1 gene:Et_8A_057605 transcript:Et_8A_057605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEARHFKYVILGGGVAAGYAAREFGKQGVKPGELAIISKEAVAPYERPALSKGYLFPQNAARLPGFHTCVGSGGEKLLPEWYSEKAGETFTYETLLISTGSSVIKLTDFGVQGAEYNNILYLRDVQDADKLVAAMQAKKDGKAVVVGGGYIGLELSAALKTNNFDVTMVYPEPWCMPRLFTAGIAHFYEGYYANKGVKLVKGTYASGFDADANGDVTAVKLKDGRVLEADIVIVGVGGRPLTGLFKGQVAEEKGGIKTDGFFETSVPGVYAIGDVATFPMKLYNDQRRVEHVDHARKSAEQAVRAIKAKESGESVAEYDYLPYFYSRSFDFAWQFYGDNVGDDVLFGDNDPAAAKPKFGSYWVKDGKVVGVFLEGGSADEYQAIARVAKAQPPVADVEALKKEGVEFATKI >Et_3B_029466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25280288:25284564:-1 gene:Et_3B_029466 transcript:Et_3B_029466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAAPVAAALGRRALATSPSYSAASATSAATAAASSSAVNSILLRSLKDHYLEVSKMKPPPKISPPKPYTIVKGALDQPSGPVLRREYGETGEEISISVARLANFLPPDAVADSDSDGADGMSGSIGQLFLHVDISRPGSGKSLQFLCGLYPDAVGIHSVCLRSKSAESWGGDMASKGGGEYRGRIFQELDEKVRDALHLFIEARGINEKLFPFLQAWLYVKDHRNLIRWFKSVGSFISEPKPECSLLPSYHDINNLDVSPWDYDYQIIEFSQLFPLRNATGNCFVLPYMRNAFQGIFI >Et_7B_053265.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:18365769:18366242:-1 gene:Et_7B_053265 transcript:Et_7B_053265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAIPALLTYYWRMKMPETARYTALVAKNAKKATSDMARVLNVELADKQQQQQKAEELERDEEYGLFSRQFAKRHGLHLLGTTVCWFMLDVAYYSQNLFQKDIYAAVNCLPKADTMNALEEMFRISRAQTLVALCGTIPGYWFTVFLIDIVGRFAI >Et_3B_029388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24573625:24578472:-1 gene:Et_3B_029388 transcript:Et_3B_029388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRSHETRQHWSLAPILDDAFGLTSQSIPPLTNPHAGPGVARLLLPRPSLFPRPPTPTQQSGSQKTRSSISLAQHRTEAREKKKRLHDPIHSSPRQLISGGGGDGEGAFVAGGFRARAKTRVDDLQEMFSGLQSARKDSRSSDAAVLEEQLHQMLREWRAELSAPSPASSLQQGNNGELSDPPSETLRLLHLAAAKEEEDDATSKLVDQQQQPPPPPPAPVNQGPGHVQSGQDMKLEPAEEAVTVAVAPQQPPQGQVILGNGGGIPAPTAAAAVFHDQELTVEDFLYDDDYKLNLTGSNPDVLNNLEGIGQLEYPQFNFPQELPPNMYLDMSAATSKSPWNAPELFDLYIFEGESIREWLFFDKPRRAFDSGNRKQRSLPDYGGRGWHESRKQVMKDFGGLKRSYYMDPQPSSSYEWHLYEYEINDCDAFALYRLEFKSSDAKKSAKSKLACNPLNEIQQQMVRLSADSPVDTKRLSRSRIKANPKDVNANIYSASNTTIQANVPNAYQAVPQVDQMQFLNGDVVYGPHLPYGYSTERSDFYWNSNNGA >Et_2B_021661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4154062:4156257:1 gene:Et_2B_021661 transcript:Et_2B_021661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAFETGRRGGGGARGRSHASGAAAAAGSASSGRGSDSRAAVMQSSEGDDVDGEVESSFRGPLDTMDALQGALPSNRRGVSKFYNGKSSSVADVVSSPQPANPSPKKRKGLLPFNFSWGKSRSRESSSRGNVRNGPTSGMKALSPAGTSSAAGNTMSDNEHRFYQDLPRRSLQIGSSAALRSQLISVQMKSVSVGLEDVAESSASASPREKRRKSLE >Et_5A_041623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25104180:25107246:1 gene:Et_5A_041623 transcript:Et_5A_041623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRSCSHTLFILLPLLLAMVPESTQLQSSQTWSLLKIQQLLNYPAVLSTWHNHTDFCYGGDYKTPSAFVECYGDSVTQLHIIGSASGGAPPPLPKTFSIDAFFTTLSRLPDLRVLTLTGLGLWGPLPAKVSRLASLEIVNVSGNYLYGELPEGLSRLENLQTLIADNNLLSGELPPWLGKLPSLAVLSLRNNSLRGALPDSVRDMASLRSLKLACNNLSGEVPDLSALKSLQVVDLANNSLGPTFPRLGRKVASVVLASNRFADGLPAGELASFYLLEHLDVSRNRFVGPFPPALLSLPSIEYLSIAGNRLTGLLAGNMSCGDNLQFVDLSSNLLTGSLPSCLLTRAAAAGAGKNGDDDSKLVLSAAANCLAPAAGDTTQHPSTFCQNQALAVGIVPDQGHGKKHGGKVALVAGIVAAAIAGAVIVGVGVFVAVRKVTIQRAKARPPRRLVEHASSAYPSQFFADARYISQTVKLGALGIPAYRSFSLVELEAATNNFEVSCLMGQDAHGQMYRGTLSNGTPVTIRSLRVKRSQTSQSFNRHIEMISKLRHRHLVSALGHCFEYNLDDSTVTQLYLVFEYVQNGNLRSRISQGTEGRKLPWVQRIQTVIGVAKGIQFLHGGIMPGLFGNNLKITNILLDQNLVGKIGSYNIPILAETAAKSETLFCRAPNADKMDIYDFGVILLEVVSGRPITSIYEVEIMKEQLQSAVTSEGPSRRRGFVDQSVSKACSDESLRTVMEICLRCLAKEAAQRPSVEDVLWNLLFAAQVQDDWRGDSRSSEESPLSPSQQIPRESNRHVRDDA >Et_4B_036155.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29378248:29379297:1 gene:Et_4B_036155 transcript:Et_4B_036155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PTDSDAPGASPRRDRGAHGRRHHLPVRRHLQALPARDPQPVLHRPRRQPSAARRRRRRAGLQTPGSPRQDLHPRPPNNTTSRRVLRRQPPGSLRVAQRRRRRLPPGPVRGRDVARRAGAPEAPRDQPAPAVGAAVRHVRVRPHDQRPRLLPLPAGHQPEPLPRLRVHVSRAHCRRRRHRLRLHGSRRGHVGASGLFQGHPCADGLVIGSGWARAMGPAKLVRIHGAPPWSTPVDVHNAAVVVGGVIHVFEHILTYDVKTGRAGVIELPQDRRQFDKWNASDPKLRLSPDGKLTLLFMTRFRVYVWEQQETIDTEAGVRSQLAGEVELVTSGNLRLMNFGDHRSGAVLLE >Et_2B_020518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2110884:2112441:1 gene:Et_2B_020518 transcript:Et_2B_020518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLVVALLAVSSAFVAVSGAQNFNAIFSFGDSMSDTGNLCVNGPPAGLTLTQPPYGETFFGKATCRCSDGRLVVDFLAEKFGLPLLKPSKQGGADFKKGANMAIIGATTMESGFFQSLGIGDKIWNNGPLNTQIQWFQQLMPSICSSTQACKSYLSNSLFVLGEFGGNDYNAQIFGGYSPEQARGQSSTIVDTIGKGVEQLISLGAKYVVVPGVLPVGCFPIYLTLYQTSNAGDYDQYGCLTRFNSLSTYHNSLLQSKVNQLQSKYPGARIMYADFYSHVYDMVKSPGNYGFSTNLRACCGAGGGKYNYQNSARCGMQGAYACSNPSSSLSWDGIHLTEAAYKKIADGWLNGAYCHPAILS >Et_1B_010282.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:34516270:34516641:-1 gene:Et_1B_010282 transcript:Et_1B_010282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEDHQDGKKVWVLAAELTLPLRRTLKPIKTGRRRHGHAAADDDEEERREEEEEVEEAVTPKGEGCRIPAEAETCPPAPKKPRTVVMICDSRRCNCDGEALEFFRVPVDLEAVFASVAAKAN >Et_2A_014887.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20468437:20468607:1 gene:Et_2A_014887 transcript:Et_2A_014887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQHRLCIITARHLVVQIRGWWSYGKPNYHSVKKFLWLLNKKRIQTTEDLIRKK >Et_2A_015935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19330832:19334732:-1 gene:Et_2A_015935 transcript:Et_2A_015935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAGPNPSATAAAAAAAGNGVQASGAGGEGAEDASKQNLAQVTSSIQRTLGLLHQLNLTVSSFNSASQLPLLQRLNGLVSELDTMQKLAEGCNIQVPMEVVNLIDDGKNPDEFTREVLNSCIAKNQITKGKTDAFKSLRMHLLEELEQAFPEDVEAYREIRATAAAVSGTTLYLSTTYSYGSSAWCDFLGLILTELIFGPV >Et_9B_065891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16845448:16847680:1 gene:Et_9B_065891 transcript:Et_9B_065891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METALVGNPVNGAAKPTCNGVGALPLANSHAVIAAPAPAPSAACATLGRHLARRLVQIGATDVFAVPGDFNLTLLDYLIAEPGLNLVGCCNELNAGYAADGENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQAITCYQAVVNNLDDAHEQIDTAIATALRESKPVYISVSCNLAGLSHPTFSRDPVPMFIAPRVSNKANLEYAVEAAAEFLNKAVKPVMVGGPKIRVAKAKKAFAGLVPEHHPRFIGTYWGAVSTTFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKREKAVIVQPDRVVVGDGPAFGCILMADFLRSLAKRLRRNTTAHDNYRRIFVPDREPPAGKPDEPLRVNVLFKHVKAMLSGETAVVAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQMTAQDVSTMLRCGQNSVIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLVDAIHNSQGNCWTKKVRTEEELKEAIATATGAKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >Et_1A_009275.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:36291064:36291489:1 gene:Et_1A_009275 transcript:Et_1A_009275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSRTAGAASSSGSYATVAAHELRRQRSMPAAAFARCGSGARARRRSVRRLGDDGESRGVGAVARALWAWVARRRRKALSRSGSSSAGKKERHYGHEEYAQNFDEGAAAAEPENLSRSFSARFAGRRLAGQAPWDGRAR >Et_8B_058883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10328307:10330888:-1 gene:Et_8B_058883 transcript:Et_8B_058883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSILIGNSLYWLFEGDEEGILEFDLGARSLSVVEMPPDLMYYRNRSLQIMPAEDGGIRLAILSCQIMDIWERKSSCDGVVEWVMEKKIELGVALGLGHMAGMDNLIKAFDEDYQFMFVRTEKGVFMIHLESMQFKNLGSQDDFSGIIHPYSAFCTAVGDLARGERWNVGMIERSMNESVSKADSTGAGVAVDNTADASVQTDPEEGMVDDENLKNKWNHDKRIGAGFKCEYCKRTRKVGGATRLTKHHEWRHHNVVTYPGVRPKIRKFMRFSLNKAKQRKKESRTRRERTRTANLKHQHMSSTFEHGGGSSSEYGDLVHNWEPTETQLDTLSNEETASELGKAWAKWFHSNGIPGIRADCPHFCRAMELTQQLGTGVRVPTGAEIDDTYHDANEEELNEHM >Et_2B_021609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3497976:3502639:-1 gene:Et_2B_021609 transcript:Et_2B_021609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLTSSGKRHADPAEPAMAPARAAAAAATVKLEADELGFDEGGPLSKRPKAAQPAPSTPPPQQQDMYHNVLDEPSPLGLRLKKSPSLLDLIQMRLSQASTAGQSSMDNENSEPSKKRDVKSGASSASERLKASNFPASILRIGTWEYISRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDITALKASCPENGQGTLDVVLARPPLFFKETDPQPRKHTLWQATSDFTGGQASMHRRHFLQCPSTLLSKNFEKLIQCDQRLNQLSLQPDIILDSPVFEPRCSIFEDPVESKCASFTNLKDGHEALPAYSGSLSPCAGSSMSAKNEANDSVGMPAEFLPPTLSPGVGAVGVQAVSRNVNGAVPEFNIPHWWSQLKVPGLRPSMSVDDLVNHLGNCISEQITSGNATLANNEVPTKETLEEIAQYLLGDTQGPPVSASDERSLMARVDSLCCLIQKDAAPVVKPKPEPNDSDSIGVEASDGSDEEFSSASTRKTTDASEPPAMSRKDSFGELLTNLPRIASLPQFLFKIPEDSEN >Et_6A_047766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1487945:1491176:-1 gene:Et_6A_047766 transcript:Et_6A_047766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFRSAGRDPLEVKDGARWCAVAVVGGAAGPSSSRRSSSSCSSAPNRERGSGPRGFSQEAADVAVGGVGGADDASGLDPSGLATKSPQSSSNAAKVAARGSARGAAGAGAEAKVKSPKSKSSSRKGAGGGGNATASISGGELLGGVGVGASAMARALYQMQDRPQRRESEQ >Et_3B_031683.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:7838840:7839400:1 gene:Et_3B_031683 transcript:Et_3B_031683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSQRYTQLLSSHPYHSSISSAPSFALDKTDDGAVAASWPSSRQQDDDGLGALASPRLTELPRGAVSASDRFFVTPARTASLVDAAGEALRGALLVETYSSDPRAEFLESMAEMAASCGAEGMTAPEYREFMEELLACYLERNDRDVHRHVLEAFADLTARRRPTKRMRSLRGLMKINPCVFGA >Et_4B_038272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27735973:27737058:-1 gene:Et_4B_038272 transcript:Et_4B_038272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMVRTLRGERVALDVDGATTTVAQVKGMVMARERVPVEMQRLFFAGRHLDDDAMPVAHYGVQNDSVVFLSLRLRDDNAPQYEMRNVQMQQEPPATVKQESQLQLVHVVPGGEDIIKRKPVSRRAIRKILSRLHVDVWTSQHDAKFLDLLHRHTTRSGRGVGDLTADDWSSIRAELNAATRSAFPVEELQRRLGEFRREFDAASRIKSHPSFGYDARRRVVVAREADWKRYVLENPEAAAYEGRSPHLARLRAIFSGNGGTAEARSRGKTKCSQSREKRCLNKLLRSFGVRCKL >Et_3B_027569.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28251076:28251486:1 gene:Et_3B_027569 transcript:Et_3B_027569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKGSECTRISPAETSSGKPIMISLASRSRTRAPTNRERPRQVRGVCGSRLFRSARWTAARFYRRARASVVMAFRSASSKKTSSPAAASPECTPARNSSRRQQPSAPPVVVDDSHKSEAVEECIRFMNSSSRKYR >Et_4B_039107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7899524:7915214:1 gene:Et_4B_039107 transcript:Et_4B_039107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFKKCMEAVKKCLMDAKVDKSSVHDVVLVGGSTRIPKLQSMIRDFFHGKELCRLVAFGAAIQASVLSGETGDGKAGDMVLLDVTPLSLGFQINGNNTMTVVIPRNSVIPTKKTKKHFSTLYDNQCVASFPVYEGESASTKDNYLLGTFKISGLPPAPKGAIKFEVTFDIDANGVLEVSPKETTTGQTNNITIINHSGRLRKEKIERMKLEAETCEETEILSSSHLTMEMPAVGIDLGTTYSCVAVWRGDRGEVIANDQGNRLTPSCIAFTDTERLVGEAAVNQAALNPTNTVFDVKRLIGRRFSDDSVKEDIKLWPFKVTAGREDRATIVVQYKGEEKQFAPEEFSAIVLAKMKETAEVYLETPVKHAVVTVPVYFNNSQRQATIAGLNVMRIINEPTAAAIAYGLEKMPSNDGGRTVLIFDLGGGTLDVSLLKIDPGLDIEMGLFEVKAIAGDTHLGGSDFDNELVNGSSYGSTRRWEVRNDPRALRRLRTACERAKRLLSSTAQTSIDIDSFHDGVDFYATVTRGRFEELNKDHFGKCVEAVEKCLRDANMDKGAVDDVVLVGGSTRIPKVQAMLREFFDGKKELCRSINPDEAVAHGAAIMAAFLMSSSEENAAAAAGCVKKMRDMMLLDVTPLSLGVETASGVMTVLIPRNTTIPAKTERFFSTCADNQDSVLVRVYEGERACTKDNYLLGRFELSGIPPATRGVPQIVVTFDIDENGVLNVSAEDKTTGKKNAITISSDKGRLSKEEMERMVKRLIGRRFTDESVKEDIKLWPFKVVVGREDRPVIVVKSEGDERWFTPEEVSSMVLAKMKETAEVNLGTTVKNAVITVPVYFSNSQRQATIDAGTIAGLNAIAGDIHLGGADFDNMMVDYCLRELPGNTIKWTSGAIRKD >Et_10B_004049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9825307:9827900:1 gene:Et_10B_004049 transcript:Et_10B_004049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EAQMRERAGVLREQVRGILNRTTDISEVLDLIIKLQRLGLDNNYEAEINKLLDVVYHSDYNEDVFLKFQDSAGNFIDTDIRNLLSLYNAAYLRTHGETLLDKAIAFTTRYLQCRLELLESPLAEEVSSALETPLFRRAGIYETRNYIPIYGKEATRNETILELAKLNFNLLQLLYCKELNNLTQWWKELRVESNLTFVRDRIVEIYFWMNGACSDPHYSLSRMILTKIIAFESTIDDVLDSYASTEEAMAIVDAIDSWNESALQLLPEYFKDFYLHMLDNFRSLECELGDDNSYRVVYIKESFKDLIQKHRKELEWRDQLYVPHTMKEHLEVSLISIGGVLALCASFVGMGDLITKMTMDWVSSCPELLKSFGIFARLSNDIVSAKREQKGKHCASTIQCYMKEHATTMADACQKFKELTEDSWKYMIQQSLVLTEQPKVVPRTVLNFARTVDYIYKTTDSYTFSYVIKDMITLLYVKPIPL >Et_6B_049152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18762133:18762923:-1 gene:Et_6B_049152 transcript:Et_6B_049152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYRKCGKMDEMLKMLPDPATRPTQCWNGLISGCARYVFSKEAEDTFKQTVSIGQNTDYVTFVALLSSCWSRRQGIEYYNSMASTFRVSPRIKHCVCIVDLLGRLGRFAEEEKFTEEMPVLPNDLIWRSLLSSSRTHKNLDTGRKAAKNLLELDPFDSAYVLLSNLYATNARWIDLYNLLTHMKTIKLNKIPSCGWLKLKNEVSSFGIGDRSHVHAEKIYAKLDEISLKLRKVGYVVDTSSALHDTDEEQKEQNLWNHS >Et_3B_029431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2488456:2499003:1 gene:Et_3B_029431 transcript:Et_3B_029431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYDACFLVQYMLHVACFYQQDYTEMDPSLVSYFDSNDDAIYHDIMLLDNQLPWLVVEAVMRFRPVNLEHFIACLRNCLQEVSVKDDDFELDVTFEPPHLLGLLRFYIVGRSKKKVPATLHVPESLSVSPNDLAKIGISLKANEKIDLTGMRVEKKGPFFADLCISPLLLDARPSWLINMAALEICMIPDFFEEADEDSAVCSYLNLLTMLVHREADVHDLRKEGLLRGGGGFTEKDALDFFSCLRGLRCGSCYMLTICEIQEYMDKRWTRTKVHAFVYKNIKIITTFFSAIVALLGILGTLKSLKVASWIMEPAADWASYDHPQLSSWTMEQAAWATPGLLVEPMSTEVFGICHQISTPTNTIRPVEDSTITAEPQASGDDSRSTGSSRRTPEEVEELVQGLEYSALQINIDAEKMRKKMHRYPVSLLGIDKWYKQPKIVAIGPYYQPSGENLNDFGYLKYAEEMKNTAAYRICKRHEGYSYLRICSDAVDAVADEHDLRSLYDKSVMDTITEGSFCNMLFLDACFLVQYMDYVVTRPLPGDANREPEKTVDSLKAYFDSNYSDIYHDIMLLENQIPWPIVEKIIMEYWRVDLKEFIARLRDCLQDVEEEDSDRRRRRRTEFQLVEKSEFEPPHLLGLLRFYIVGASKEKKRLGQLPNLYMKAVSPRKLAEAGISLKPSEEIDLTGMCIKKKGPFCANLCMPPLLLDARPSWLINMAALEICMSSEDFLQQDDEDSAVCSYLNLLTMLVHRERDVYDLRKKGLLQGGGGFTDKDALKFFKCFQDLRPGPSYARIMEEIQDYMHERWIWIKVYGFCYRNIKIIATFCSAIVALFALLEALKTIKNQWNDRITIHEQDLRCAQSSATQLLTSTGYMLLCKVDLGTESGDQIRECRCAESKSDSNPFTVVITVFQTKKPPS >Et_2B_019028.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25981270:25982664:-1 gene:Et_2B_019028 transcript:Et_2B_019028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSLLCSPSADPLPSFLAEKDAKVFVAGHRGLVGSAIHRRLLSLGFTNVVVRTHAELDLTRQADVEAFFAAEQPHYVVLAAAKVGGIHANSTFPADFIAANLQIQTNVVDAALKCGSVRKLLFLGSSCIYPKFAPQPIPEDALLSGPLEPTNEWYAVAKIAGIKMCQAYRIQHGLDAVSAMPTNLYGPQDNFHPENSHVLPALIRRFHEAKACNAPEVVVWGSGSPLREFLHVDDLADAVIFLMDQYSGLEHVNVGSGSEVTIKELAELVKEVVGFQGKLVWDSSKPDGTPRKLMDSSKIQGMGWKPKISLKEGLVETYKWYVDNVVYGKK >Et_2B_021342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28914355:28917162:1 gene:Et_2B_021342 transcript:Et_2B_021342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVGQMGASSLPAAGRVGATNIGRLRQQQATARGAGVTAWHLRVFAAVVGVMGCLLLAASLAMSAVHQVQFRNAAISRNFRGLQELKQDVVRKEPAEQIMHGRLLQMATSAVAKNGSESEDFALWEEPYKQARKWKPCAAKHSLDDEEPDENNNGYILVSANGGLNQQRVAVCNAVVVAALLNATLVLPRFLYSSVWKDTSQFGDIYQEDYFMNYMKNDVRIVKELPSHLQSLDLEAIGSQVTDMDISKEAEPSEFVKSVLPILQQNRVVHFLGFGNRLGFDSVPVHLQSLRCRCNFHALKFVPALQQAGSLLVQRLRRVSAMQTEMDKQLFGNNMLDPGFAENRDAAGAPNRYLALHMRFEQDMVAYSLCEFGGGEEERRELQAYRETHFPVLAMRLRNTTVSPEEQRSLGRCPLTPEEAGLVLSALGYDRRTFIYVAGSQIYGGAQRLRPLTRLYPNLVTKEDVLTADELALFKNFSSRLAALDFIACASSDVFAVTDSGSQLSSLVSGFRIYHGRGRAPTLHPNRKRYAQFLSEEGSIAWSVFQKRVRQMVGEYKRVSPRPRGRSVYRQPRTPGCMCRAGGDGSVDF >Et_8A_057222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22493725:22501208:1 gene:Et_8A_057222 transcript:Et_8A_057222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKPAAAPAGGGKAAGDSAAASPSPSPAPAATPSPVASVASGNGTPQKPPLPAAAFDMPKPNLRGLNKPKCIQCGNVARSRYPCCWCVARQLNMATETCTCARSSVARAAATKPRILATFMDVGSINKWRFMKLKEHMQGDIDAEDEAYQRYTQNVGLLEEVFCPTEDAAVEPEAETTSSEERMDMLVSEAKVRLKSDNENADSFKERVATILDQKLKRLLDNQTASEDQSPSDKNADDHTKAVKFTTKQKMERTAKTNELLAKLARARYEDDLKPCRDMVTQLFGKGDGSSTDNSKGMELLPSTQESAAAVAPRYSFPKLVTRMEVDENFASKVNDEVASLAQPPPGLSKEEPRRADGVWGRRGRDVAFHVGGVSVEDLQPAVLKAMLCFVYTDARTAMADLDEDGDAPVGIVSLMELYIPQSDSKWLMSFSSCL >Et_5A_040516.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:3813334:3813903:-1 gene:Et_5A_040516 transcript:Et_5A_040516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAEIKDDKALEQQETTTENQTSSSTKRQDQSDEQENGEHTGEQETTRPVCLTDAAFPQPAPPNPCVAGIGILICNSSGPIAPAIEVQAKAHQVTTPLQAEGLALLLASIINAILNPAGVSYQTDSSILASTLTRNNFAVEPRHWRLRPLLYEFRANTAGTAGTAMVVHKIPRNSNSPAHGLAQKAI >Et_1A_005873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1505648:1506055:-1 gene:Et_1A_005873 transcript:Et_1A_005873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSLALAAAVFLLLVLTTMEAEAIRLDAESRAVVSQQMSVNKPSENLAKDESSKNLTRDTPGSALDETKRSVSAKDVRATAHKLPEFHEDYYGASVHEPRHH >Et_2B_020364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19333813:19338879:-1 gene:Et_2B_020364 transcript:Et_2B_020364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAHPNHYNRLISPFVTLLFFAQPHKRVRLAINERSNTTIFASTRKSTVKVIRGIIGDNTAIWNTSINLLKGVNEVWQNAPPIVTVIIYRHHLSPSISFDKREREGLVAVKDTIGTCVTFPTLDMNTASDDFWGPMIACTLCAFKLGEKLVKRSLHEFGLVQSTDLRKQIGDHCLRIP >Et_10B_002615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18381349:18381846:-1 gene:Et_10B_002615 transcript:Et_10B_002615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLISSDNARFEVDEAAASLSRTPNVDARTLTKVLEYCNKHAASAAAALEKEELERFDNEFVNVDSVTLFSLTSAARYLDIEGLLDIACKKIADMINGQTPEQLRQTFGITNDFTPEEEEEIRRDNSWAFK >Et_1B_010796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14184603:14189731:1 gene:Et_1B_010796 transcript:Et_1B_010796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPAYTCLREGPDHAPRFKASVNFNGELFESPGFFPTLRQAEHAAAEVALAALARRGPSYSLAARILDETGVYKNLLQEVAQRVGAPLPSYTTERSGVGHLPVFTCTVELAGITFTGDTAKNKKQAEKNAASAAWASLKQLARQEADSSNEPENNDEQEQIRIARALLNYRLKEKMAMANYPHVSPFPKKFPMQPERKPSFGKPSQSSYSKILPLFRPRSNSRSRPESPGSTDGISQSTARTIESHNPRSRFPAAEAPPYVPVGHYRMPCHSMAPSVTIRTAVPVFSAPPLPPPAVRAQQLPPLMGHPPPIRMASPVRIRPATSMFAPSPIQGPKPAISVQLKDVQRQSTKEPASHVKDVQHQTLKEAMPVQVKDVQQNPLIGSLSPAVPVQMKDVQPQPLKDSPSIAIKPSFKIESPAEAKEVSKVATSEVPCSTGSNAAALESSVASDFLPTEELRAADDDKVEADHEAEAQAVAEAAIKQLDIN >Et_4B_039351.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:11058125:11058400:-1 gene:Et_4B_039351 transcript:Et_4B_039351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEAECAPAPAALAADGVGAGGCRAEIDTSAPFESVREAVDRFGGGAAWSADLVRRMFAPAAKVTPLSLPPISLHSSVHVMSSFGARAAI >Et_10A_000632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14021623:14031597:-1 gene:Et_10A_000632 transcript:Et_10A_000632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPCHHGLNIDLPTCGLQITESPQPPHSRQRQQYTSTLQSAAGPRRRSAAPVWPNRRHRRHRSNLFLPIIAYCSHGTMLLHTRLDLRVPCSLTAPHAKPPSWSLQTQAPLPRPRQSLARCANPPLRHIASPATEEGAEGDGGEEDLGPASAAAVAAAIRRASSASPVRFSRVRSREAEEPRSEGGVAEPSADFRRLCAEQLEIYIMHQLELRRVALYPGTSVHERDTVALVGNFSISAGLRAAEAFLVKQQLPELHDGHAMDTCAADIQLPSGSFMDKSADITPHNKFEGWGFKTSGDKARNYSQVNEWKHSALMISRTLAIAYVMDQIRAPLSNIRALAKMLSIHTKRSEIPYDIVEDILIQGDQLKDALQQIQDAVYLTKANIVRTDEESLKKIQASSHPSRTLSDYGTVPGNNSLKIDPLRPLNSDKDDMVMPMPPLWLAPLQHQDARPCDLCDLLKDLVEGASPLAYKQQRTIDLTGIGHPLHVAVEEPALRQALSNLVEGALLRSQHGGRVHIYAGEAPAGGTLVIIDDDGPDMQYMTQMRSLAPFGSDLLEDDMLEDNMTWNFIAGLTVAREILENYGCVLRVISPRRPDAVIGTGGSRIEIWLPSFQTGLSEITEEA >Et_2B_022277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16737880:16741825:1 gene:Et_2B_022277 transcript:Et_2B_022277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRPLLRNLGDMLSLTTIYDLKDYQVGMLSGVIIIMFAKEFQKKYELLDVIRVPLFVMYLGTFIFDVARMKKYGKRALKSFVNLLKRKGGIREIFRTVWYPVGREIKGLKKYGRYVWPIPIDSIANP >Et_1B_013004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4752737:4756748:1 gene:Et_1B_013004 transcript:Et_1B_013004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRVVGRIAAARRALAAARSMPLLVRITNGLAMISLVLSSCDLLRLCSDRDRPLRFPLGSREVATVLCQLASVAYLLILLLGVPFAHPSPSHASDGGGGSPRHRAPPAPMHDSAEGGDEEIVAAVVSGELPSHRLESRLGDCRRAARLRREALRRVTGRGVEGLPFEGMDYQAILGQCCEMPVGYVQLPVGVAGPLLLDGRQYYVPMATTEGCLVASVNRGCSAIAASGGAVSVLLRDAMSRAPAVKLPSAKRAAELKAFVEAPANFETLAAVFNRSSRFGRLQAIHCALAGRNLYMRFTCSTGDAMGMNMVSKDVENVLNYLQNDFPDIDLISLFDKKPTAVNWIEGRGKSVVCEAKIKGGVVQSVLKTTVKKLVKLNIIKNLAGSAVAGALGGFNAHASNIVTALFIATGQDPAQNVESSQCITMLEAVNGGDGLHISVTMPSIEVGTIGGGTCLASQAACLNLLGVKGPNRDSPGANAKLLATIVAGSVLAGELSLLAALAAGQLVKSHMKYNRSSKDVASAASSAEQFIGSFS >Et_9A_061348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10391041:10391748:1 gene:Et_9A_061348 transcript:Et_9A_061348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVNRIINMVLRLAAAAAAAAAAIIMVTSHETTSFFGLEMEAKYSYTPSLIFFVVALAVTAAYSLLVILVRPGSTTSRLVLMSDVIIGMVLTGAVAATGAIAEVGRNGNTHAGWLPICVQVHAYCNQVMGALIAGFVALVVYFLIIMYSLHEMIEPLCSCH >Et_10A_001722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7263257:7266850:-1 gene:Et_10A_001722 transcript:Et_10A_001722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQAENGGGGGAGPPPPAPAALKAADGKGGSRRSTRFKDENEYVEVTLDVGGDGVAVRSVKGVGAAGDLQEAALLPRSQPLPGPGGLSSKLKAAQAELRRMASWRPSREPPATAALAHGDRRRRLDRSMTGAARALRGLQFLNQTAVTEGWPEVEKRFDKLAVDGFLLRSRFGQCIGMVGSEEFAAQIFDALARRRGITAMVLTKDQVREFWEQLSDPGFDAKLQTFFDMVDKNADGQITEEELKEVLTLTASANKLSKILERVDEYTALIMEELDPDNLGYIEIATLESLLLQPPSTQTTLAKLMTHSSNISQLISQKLAPTRDPNPLRRAARSLRYFLEDNWKRVWVMTLWLAINAALFTWKFIAYRRHPTFDVMGYCVCVAKGGAETTKFNMAVILLPVCRNTITWLRSRTKLGAAVPFNDNINFHKVVAGGVAVGVGLHAVTHLTCDFPRLLHASDAVYEPMKAYFGETRVPDYWWFVKGVEGITGVIMVVLMVVAYTLAHPWFRRGKLSEGNPLRRLSGFNMFWYSHHLFVIVYVAFVVHGVCLYINRTWYKQTTWMYLAIPLLLYAGERTLRALRSHGLTTVRIEKVALYPGNVIAIHMSKPPGFKYKSGQYIYVNCGEAPFHDHVCAGRRLPEHAHPVCRPPAAGQSGLLRADFAASPTVGRLPKLLIDGPYGAPAQDYGKYDVLLLIGLGIGATPLISIVKDVLNHISQSDIESSSPSKTGSGFMTRRVYFYWCTREEGSFEWFRGVMNEVAERDARGDVVELHNHCTSVYEEGDARSAMLVMLQALHHAKSGVDVVSGTRVRTHFARPDWREVFKRVACAHQGRRVGVFYCGDQKVTPELRRLSQDFSRKTDTKFVFHKENF >Et_5B_044758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5538221:5540613:1 gene:Et_5B_044758 transcript:Et_5B_044758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPMYGSGPLRSRNAASSDEIQLRIDPVHGDLDEEIDGLHSRVRMLKGVAQEINSEAKFQNDFLNQLQMTLAKAQAGVKNNMRRMNKSIIQQGSNHVLHVVLFALFCFFVVYLLSKFSRR >Et_7A_052512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8724134:8731244:-1 gene:Et_7A_052512 transcript:Et_7A_052512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTDSTGNAMPSSTDSTETSPSATTEQELAEAETNPELYQHFANLVASLPSTKGLSNRQFHRHVHGWHCSLVPLVGSMVADACFAARASDIIVATLPKSGTTWIKALLYAIVHRGEHPVVDAGAAGDHPLNSVGPHDCIKFFEYNLYTRNKVPDLDKLPDPRLFATHVPFGSLPGSVLLASAGCKIVYVCRDPKDHLVSQWDFVNKFRVMDGLEPVSMEAAADLFCDGLSPFGPYWDHVLGYWNAHAARPEKVLFFRYEEMQSDPAAHVRRLAEFVGCPFGDGEVEAGVVDAVVRLCSFESMSGMEVTKRGKTDLVVGIVDNSSFFRRGVVGDWANHMSPEIARRIDAITEDKFTETNSELYQHHASLVSSLPRSNGLFFLPLYRHEQGWYSSKVGAVGAMVADVCFTARSSDIIVATAPKAGTTWMKALLYATVHRRKHPVDAADHPFNSSSSHECIEYLELQIYTGNRIPSLDKLPDPRLFATHVPFMSLPRTVVSSGCKIVYVWRDPKDTFVSLWHFVNKLKVKARQEPLDAETAVDLFCRGLSLFGPYWDHVLGYRHAHLTHPHQVLFFKYEEMQRDPGAHVRRLAEFVGCPFSAEEVGHGVVDGIVKLCAFKRMSGSEVTTGGQTKFMAGAVENSVFFRRGEVGDWVNHLSPEAARRIDAITEAKFQETFPLQAKKEATIVETDPELYQNFASLVSSLPSSQSLFNKKFFRHNQGWHAHLEPIVGAMVANVCFAARPSDIIITTLPKSGTTWIKSLLYATARREEHPADAADHPFHSFSPHECIKFFEYNLYTNNKIPDLEGLRDPRLFATHVPFVSLPTLRHARPVRVVAEHHHHIAYICRDPKDTLISSWHFTNKIRAWDKLEPLSVDIAVEFFCNGLSSFGPYWDHVLGYWHAHLAHPEHVLFFRYEEMQRDPATHVRKLAEFVGCPFSAGEEQDGVLDAIVRLCSFEHMTGLEDGKTDSVRGPVPNSVFFRRGMVRDWVNHLSPEMARRIDAITEEKFKDTGLSV >Et_8A_056653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14480996:14490645:1 gene:Et_8A_056653 transcript:Et_8A_056653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWSWRESTSSRDAAFLPLVQCSRCNVKVIGAVCKNDRNRGKVYYMCPNYNGKHGVCNFFRWEDGYREYLRRVYGGLPYTEARNKSTEFEEDTAFCGVLNKLTRSCSLKLKNLFGYCDTWDVSGALQLDQTTELSAGVEIPRIGAIQTPLITPCMSSTQEQLGHSLLPSIKYTYKIDQRDSSIRPTRGLAFLSSSEVGVLAPGSKYSRFLRQEFDLRVALPLGMMNAALNTGVTAGVFHQLAREPTGSISPLPDRFWFSGNRSLVCRLGGPSLSGFKSRMLGATDFGTSASNSSKNSASTCPELNGMAGDIAVTAFADLSFDIPLKPLRDLGIHGHAFVSAGNLTKLTDCDLKKFSLTGFLQTFRSSVGFGVILPTRLFRIEMNYCHILKQFDHDKGKTGIQFNLASS >Et_10A_000425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1051174:1051433:-1 gene:Et_10A_000425 transcript:Et_10A_000425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHLACGDAHQAPYGSIEMKWSSEEKPRRSPVCSPPVVRKPSFGDVACHATTMKLLVPGVACFRPCKTLVFTGV >Et_6A_048043.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4349581:4350504:1 gene:Et_6A_048043 transcript:Et_6A_048043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVMAKQLMLRRSVMDILDKQDEEDDYRGVDKGSRAEIPKVADEIYQSIQRLAVRRGRSFLTELRRKCLVIFNNGSSEEIDLESFGFPPSGYKTKVLWSFQGRFRVYPKTKVDMALKKARGMTNFVLSATTSLYQRPLLWEAEEVAREINIDNIYWPTAAANCFSYMMKLFGMGNHFDDYDLATHGSNYWACDGIIHLLRGDIETDDGAYTLWRSAHVLQSEMRLDEDYYRNPCLPSSVVKHFPSPLSYWTSPTYGFMLILDPHGQISKGMFQQFNKLCVLKLSSCQFSFISPPFLCCHNLRFSMA >Et_2A_017472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34761677:34765058:1 gene:Et_2A_017472 transcript:Et_2A_017472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELDQIGVCSEFGWIGSVLLRGLVPLVGFRGGVLGATARGPWGVLDVARAQSEEDAATAEVVEGADLGIVGDDTQVPNDEPLSPAPGVETVCVFPKNAGKIVPAGEETELLVGLQNEGESTLNVVAVHSTLHLPFDHSMYGQNLTNFFNASVPVSVQATFPYTFTVSKFLQPGAYDLVGYIVYEIDQHPYQNVFYNGTIEVVEAGGLLSVESVFLITLGVALLGLFGLWAYGQVQQLSKGTSFAQGSKSKKKK >Et_8A_058092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19255541:19258145:1 gene:Et_8A_058092 transcript:Et_8A_058092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVDEWDSQPRARVVHSGANSTSSSSTATDKQSPSLPHAAACAAAAVLLLAAAYYVPAAYQIFSSILIWIAASLLLAPFAPSSATGGDVSVGRGRLLPSPEPVQEPTQDPAPAPRRGRRQNPGPPPPTKPSDPITAPVRPAASPQPLREAAVSDGGEKEEDAGEWTDQEMELLRRQMVKHPAGEPQRWEKIAAAFGGRRTPESVIRAAKSGSAAAAGGSFEQFLRKRKPVDPRAEAAADTGSNTGSAEGGDGAWSAGDDRALLNALKEFPKDTAMRWEKVAAAVPGKTKAACMKRVTELKRDFRSTKATSEATLIRKTLQTEWTY >Et_9A_063096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10173055:10178103:-1 gene:Et_9A_063096 transcript:Et_9A_063096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METRFSRFGRAPAMCALSLAMIWCSEEPIPTSSPPTKTLTTVIPPLRHLWTYEEIEARAVAPDQRVEVVAHGDAGQLLGGDVGGAERGERAGAEVRVGEVEEVGEGEAQRGVPDELEPLVGGGGRAAAAPGAGAVEEGLVRERLLQQGAVAELVPQEALDARRRLRAVRHDPRQRQRDGAIRLRCGRRWAALPRVVALRDHRSLVADGGAGFWYQSRSRIGNEKEETVSGGGSRSLFWFR >Et_2A_018120.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:11832098:11833456:-1 gene:Et_2A_018120 transcript:Et_2A_018120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEDRTVGRACTAVPPYFRYPGSLNYFRFPTKIQRVEASLRGILEGKPPLNIVKPLAVAIGPYHHGSSPQLGEIEEAKQVALEEFFRVANQPPAVVREKMVSVAASARRCYTGDFGKEGDVGSTEEDDSIQGMDQDVQFAKMMLLDGCFLLQFMVSMCPDHPHKQEEDQLMSRVEVHTHIDAIARDILLLENQIPWPVLKALMEFRPFVPINRFLYLMASAFDVGNERHNNSTDSQQQSQGGAEPYRDEDPLNPPDHLLALFCRRQVGAPRTKELFVPRLSSISTTAVELTEIGVKLTVSKTKEFGDMKVKKRQWRGLGLGLFGEISLAPLVLNEMTACWLINMAAYEASLGATQADNFAVSSYISVIALLMNREEDVHELRSKGIVNSAFSNEGTLGFFKWAAPTLRCASATATTTSFNALRSTSRRGGRGSLFTASSTKTSSPSSPFSP >Et_10A_000731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16144152:16144718:1 gene:Et_10A_000731 transcript:Et_10A_000731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEKERSSAKQHRNKRNGPPTCSRTTPYGPPAAKLTFLIVYAWEPSNYIKHIATSEKERSLGRFDRNNTEISKTAPEQLQNCLFGSPIAKLTVSTRSLGRFEQKYTQISKTAPEELQNCLFGPPIAKLKFLRVSAWEPSNYRKHIATSENSDPQVVLIETTKK >Et_7A_052389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7503862:7504476:-1 gene:Et_7A_052389 transcript:Et_7A_052389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPRRSNNTKYYEVLGVSNTASQDELKKAYRKAAIKNHPDKGGDPEKFKELSQAYEVLSDPEKRDIYDQYGEDGLKEGMGGGGDFHNPFDIFEQFFGGGAFGGNAKYLSKVLWFKGSSSRVRRQKRGEDVVHTLKVSLEDVYNGATKKLSLSRNVLCSKCK >Et_6A_047761.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:14635907:14636242:-1 gene:Et_6A_047761 transcript:Et_6A_047761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPPAAAAELLLGVERQPTARSSPCSTSPNERVKGRGIVHTGWVQQQIVLRHRSVGCFVNHAGFSSVVEGLVAGCRLVMLPMKGDQYLNAALFARELKVGVEVARRDEDG >Et_10A_001091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2148009:2151353:-1 gene:Et_10A_001091 transcript:Et_10A_001091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDATTKEMEKLHVEETKEMSKESEVAHSNGADPAGQSPEDDDGVQADDPSQDGVPEAAKKKKKKSKPKKKKDPPLQQTDPPSIPVDELFSDFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNSVRRAAEVHRQVRKYMRSIIKPGMLMIDLCETLENMVRKLIKENGLQAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMFDPLLQATRDATNTGIKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQVKSVRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGRGFVREDLECSHYMKNFDVGHVPLRVAKAKQLLGTINSNFGTLAFCRRYLDRLGETRYLMALKNLCDVGIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >Et_7A_052711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13875865:13878816:-1 gene:Et_7A_052711 transcript:Et_7A_052711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPQSSASRDNDLTSEHYNFMDLDPDDASPARKRPMGRDATKATKKKAASDSSAYASKMHELSVEKIEFFKGTEVERKARLEEMLALEKVKANETREHRQMMLEIEKKKLAIEEKRLQMDAEKKEREAQKKEKEEDERILAIDLDKYERLVTSARANVIK >Et_9B_065508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:624426:628859:1 gene:Et_9B_065508 transcript:Et_9B_065508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQLTPGAVAAIAEHADGYGSLKPVLQVMDVSERSMLMVLSDGVHTLQFMVAHAAVHRQGDNRPPPGVHMQHHQVPQMIEEEQIDLASSVPSQIIFIIKLDIVQSKCAIIGSPIPYGSMNVAREQGPDVPAATAQTFGATYSSDPGLPEPSVAPRASQVANNQPLAKRTKVANNQGPNVPAIDNVGLPTYIFLFPLTGAKSFKQNVPRLDCFDSSLATRATYTYNGHETGSNEDSNECYRYWVIHEEHRGVCIQGLRVKNELFRHLTPGEEVCEMAFRAFKRMELQNSMKARIHRYAPVYKPDHELWDDIFSSKWNGYDVAHCQMIISLVEIQKTWSCYVWDFTALRLTIVDPLAREGNAELIRRKHFSRAAGLHAHLITSIDKRLPSHAKKTQAPLFWNFICLTNVSEEAESDNTALYSLYLALEFDGVQIRKPPTKVMEPCFRDANQPSPVKYHTRRCLSRRSQLWRWGGAGAQENFMAITPNNNEDRT >Et_6B_048507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:989929:1000201:-1 gene:Et_6B_048507 transcript:Et_6B_048507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQIDSSNHVLLDTEPDVQCLVCTRSFTLDPEVNDSFEALAICRECKMTVLNENNRDGATRRRRPRSRATSLESVEDAFSQRLSHLINLAGQGHEADIDSPPVSHRQASFTSTPNRSQRGHASDDESDGLNYVDSVFGETESNFSFGDYGGESDASLDQHARLGREIAIQLDNESYLNTDTDIDRMNAGMDHWDSDDQEDEQSEDSDFDDVIGIMQQRRQHLHDIAPAGFSDNESEDVWTSRRLGIRRVERVDMMANMDRPAVTSHFTQNPGDYVDARQFEMLLERFAEDNITRRGAPPAAKSIVENLPSVVISTSHETNGGVTCPVCKDHMPIRSVAKRLPCTHLYHSSCILPWLSYRNTCPICRYELPTDDQEYERLKHATTNERDIHGVERTHLQETFQEISDEPEVEGTSDRRAGTTSEANTNEHAVPARQQPNRARGRHRWLFIAAAPVVSLFCASLTHLAVQEGNCGIDLRAPLQHMSIQKEAGEHLLPPSLSLFLCLMEEVLVPLISLLLCLALSYLFHQLCLRPENIRKKLRRQGVRGPEPTLLYGNTREMRRIQQELKLVQTQGANDYISTLFPHFLVWRKTYGPVFLYSTGALEILNVSDPEMVKDIGHWTPSELGKPNYLKKSRKALFGGGLFTVNGDEWAYQRKIIAPEFFMEKIKGMIQLMEDAIVPVLGAWDSIREQRNIVSQEPKTYFASLGSFKSFCLSKMDLLDSLYCGFSSLRWLTLLLPALLSVALFSYLYTTLWLKPERLRQKLRSQGVKGPNPSFLFGNIPEMRRIKQELARSDQELEAGTTDTFSNYLATILPYLLHWSRVYGSIYLYSTGSIQVLNVTDPDMVKELANCKSLDLGRPRYLQKELGALFGRGILTSNGDLWVHQRKVIAPEFFMGKVKRYEHDSSGVKDLLHSIVEGAKTGPLSSCTPEDFIVDNCKNIYFAGHETTSTTAAWCLILLASHPKWQSSVRAEVLDVCQGNPMHADMLPKLKMLTMVIQETLRVYPPAVFVTREALNDINLGGINIPKGTNMRIPIAIVHRDPSVWGANPDKFDPGRFTNGIAGACKPSHMYMPFGLGARTCAGQNLAMVELKVVLSLVLSKFEFALSPKYVHCPAFRMTVEPGQGVPLILKKL >Et_4B_036752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11256489:11260587:1 gene:Et_4B_036752 transcript:Et_4B_036752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDAEDAIAGRDGYNFDGHRLRVEPAHGGRGNASSHERSSGFGGGGGPRRGVSRHSEYRVLVTGLPSSASWQDLKDHMRKAGDVCFSEVYREGGGTVGIVDYTNYDDMKYAIKKLDDTEFRNAFGRAYIRVKEYDGKRGRSYSRSPSRSYSRSRSRTPSKSPRARRSASRSRSRSVSSRSRSPSKERSPSRSPARSKSPNASPANGEAASPKKGSPSRSPSGSRSPDVR >Et_2A_015015.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:26296360:26296479:1 gene:Et_2A_015015 transcript:Et_2A_015015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGGHGRQIGRLNRALQEKRARFYIFRRCVVMLLRWSD >Et_9B_064102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11240889:11241452:1 gene:Et_9B_064102 transcript:Et_9B_064102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHREDDDCSFEEVIEELPFSQFSVLELGVETDGHGFGPLLLHLLEIRPVRKLEVTLNRAGQVSCPLDCPCDQHTNWRDESISLTELEVVKIRGFKGEDDEADFLKVLLRCATVLKRMTVEVAAGGYNKICAICEQYPHVKCDILAR >Et_1A_008158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4834966:4835534:-1 gene:Et_1A_008158 transcript:Et_1A_008158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVLQASATKACRTASPWRASRAPRISHDNSPYRSVSSSMSPCTFARRTRSPRSTKRSRPSRSISTREICTSHAVHRAERDEDYIITISSAGSWYIITRHRVTTWSRLTSEGGVAMVESDDRKSGFQIVGFEVVPCRVRRDPEAMSKLKIYDKVGFCELSIGAREISGDP >Et_7B_053434.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:19362896:19363141:1 gene:Et_7B_053434 transcript:Et_7B_053434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRSFTAGRPADACRGRSACRRPRRAGTSTTTPSTLACASTVVVRGHEARHVWSLQLSVELYTYESSTHAARTKIIVS >Et_3B_027586.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:29569151:29569436:-1 gene:Et_3B_027586 transcript:Et_3B_027586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHDPRGRVVAVDATGKRGWTAGGSKGKSVLSSSAEEPSHSMDDAVLQPMPLSFARSNPSSSLSMASAKSNLDSGENSSRPKSVHNELVVVP >Et_9A_062347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22044131:22048571:-1 gene:Et_9A_062347 transcript:Et_9A_062347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKGKQRQDKFYHLAKEQGYRSRAAFKLLQLDARYRFLPTARAVLDLCAAPGGWVQVAVNHAPVGAFVVGVDLVPIRPIRGAHSLTEDITTTKCRAAVRRLMDANGVAAFDVVLHDGSPNVGGAWAQEATSQSALVIDAVRLATMFLAPKGAFITKVFRSQDYNAIMFCLKQLFDKVDVTKPTASRSTSAEIYIICQKYKAPAKIQPELLDIKHLFSVVPEHNKPRDVTNDKRKRHRDGYEEGNTTLRKVGSASDFIWSEAQTPLDFLGSYNVISFDDPASEPIKSHELTTDEIKALCEDLYLLDKNGFKHLLKWRIRLRKALSTSSQVTPKSDDSVKDTEVKDDEKLLDQMEELSSVIDRKKKQEKKRKAKRRAKDKARKATGMQIDATGDDYGDPDLYDAQLEVMLDEAYKRFVTKKGGEVKQERKRAKRIDPDADADLLEGGEDDSDDVEMDQGFDEDQDEESNPLLLSLGEQKPTKEQIGLPTWFVEDEKRHRQPMKPVTREEVAAMKAMFKEIDARPAKKVAEAKARKKRVAMRKLDKARQKADAVADQNDINERSKRKMIDQIYRKAMPKKPQKEYVVAKKGVQVRAGKGKVLVDPRMKKDKRASGTGKKGKGGKGAKGKGKGMRGKAGKKAK >Et_8A_057873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8556733:8564108:-1 gene:Et_8A_057873 transcript:Et_8A_057873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PKVSDIAFKIQRQGSGSDLPQVGEFVPFPVSRRVNSYSIMMEADMENGRSYQERPRTFSTVRSRSSLPLVFRMLMRINPRALLILFLLVISGVLYVGASTSPIVVFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMACILAFVILGIYLFRTTTPQQEASGLGRVTSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVCMAVFGVAILYSTFYVWLGVDSPGGMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIINPSGFILFPLVIHSFDLVVSSVGILSIRGTRESGIISSIEDPMAIMQKGYSITIMLAVLAFGVSTRWLLYTEQAPSAWLNFALCGLVGIITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGLESTALPVLVISVAVISAYWLGQTSGLVDDSGNPTGGLFGTAPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVAAFAQLPFKEVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVNEVRRQFIERPGIMEYKEKPDYGRCVAIVASASLREMIRPGALAIISPMAVGVIFRILGHATGQPLLGAKVVASLLMFATVAGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPIFL >Et_6A_047755.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:14067742:14068050:-1 gene:Et_6A_047755 transcript:Et_6A_047755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRHRQSIQAADEVPQAKRSGTVASEVGETKSQEEEKPSREELKFYRIRSGRGRPRNCHTPDSRKEEAAAPAEPREQLQEAAPAEPVEQRQESAPADPLE >Et_4B_039916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5819807:5820199:-1 gene:Et_4B_039916 transcript:Et_4B_039916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSSKKPQATLFLAAALLFLICTRGLAARPVPGSNQHMSQQAAPTSAQERSGSGPGMEIGHDEPDAMRDCEGGEEQDECLMRRTLVAHTDYIYTQGNHN >Et_6A_046107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24999202:25000071:-1 gene:Et_6A_046107 transcript:Et_6A_046107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCYNKLPSKYRGCLLYVAIFPISHVFRAKSLYRRWIAEGLISSNTTSDGTENAKHEADRYLDVLVERGFLYHVENSGKGNIKTFTVRPEVHKFISRTARDVNFVDTDLPINLARHLSCHSLIVLDLEGCTGLKKKHLNNICKILLLKYLSLRNTDVTELPKQIENLQCLETLDIRQTAIRAFSTKSVRLPMLKHLLAGHKISQTNYSDRFEETFVAVRLTSGIRNMEKLEMLSHVEVSDTVDYLTDIGQLRQLKNWV >Et_9B_064051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10744741:10746699:-1 gene:Et_9B_064051 transcript:Et_9B_064051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIEKAAAADATAAGDAAAGGTGTGSGGVLGSLARRWRAQDVLERSVSALRGGAWAMALLAFLLMACNEHGDWKQFDRYEEYRYIVAIGLLAFIYTTLQLVRHAVRLTGGQDLQLRTGLLVDFAGDQVAAYLLMSALSAAIPITNRMREGADNVFTDSSAASISMAFLAFVCLALSAVISGFKLAKQTYI >Et_4A_033605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2509253:2512423:1 gene:Et_4A_033605 transcript:Et_4A_033605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRYAARLLSSSATITNPASPPPQPAAAWLHDAADDCCAFCDLTHSPTQEAPDAVKHKGHIACLVPESEVKSGELVGLAQGLPAPEAKKCKADHEPPVNEADEGLVVTNGGSVVTEAAAKPEDANGATLVTKADVNLAFKAGPFVTNDVTIDQEVKCGGSLVTDTIKTDVTGGDSLVNGSTTELEVLKVAPVSNGVVTDPEVTERVPLENRAATLPGATGIEPDVTGGCSIMNESGAELGVIRTASLVYEASTEPELTDKVSLMTKAAADAGVTITVPEVTRTGYLGNEGAAGLEVARGASPVHEAAELEATEEDSIASQADREADDSGRVSCSVEDDPALDEPQPPNSDMTGKVQVGNAGETVATAMEPSQCDATDVDGSVGSTSDGPVGAKDSIVEGGVAHDKSVTPSVSCTLGVVARSIGRSERTDVICYTRRRGKRKLDLLEVKTENIDLEDGVISDRFEENKTLKSNGPCESMISRAGSVDVKLADIKRELMENSPARKVKKMKKNKFECNIDYCRMTFKTKTELSVHNKNMCTVKSCSRHFRSHKYLRRHQTVHNDDMPYKCPWEGCSIAFKWPWDRAEHFQVHAGVKPYKCMTPGCSNIYKFVSDFTRHRRRCKPHGLGKARQALLEDPNPPYFCEKNSSALKMGGHHMYKGIG >Et_9B_065690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9195491:9200856:1 gene:Et_9B_065690 transcript:Et_9B_065690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRREEENALRRRRGGLAGTRPHSLAGSLLIKRLQGRLRWGLNCKTKVPNFYLKLPNSYFQYLYDYLVPNLFYSRCGQWHPGQSRRPEIDDAPIFTPSEEEFKDAIGYIASIRPQAEKYGICRIIPPFSWKPPCVLKEKSFWECTEFNTRVQQVDKLQNRDPPKKRTQPRVQRKRRRKRLRFGMTHRRSSASAESADSEDKFGFQSGSDFTLDEFKTYADEFKQQYFGMKTIDEISLSDIKKRKKLWEPSVQEIEGEYWRIVVCPTEEVEVDYGADLDTSTFSSGFSKLSSSDDKQDSYSVSCWNLNNLPRVPGSVISFEKEDISGVVVPWLYVGMCFSSFCWHVEDHFLYSLNYMHFGEPKVWYGVPGAEAERLEESMRKNLPKLFEEQPDLLHELVTQLSPSVLKSEGVPVYRAVQNPGEFVLTLPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQQAVELYREQRRKTSISHDKLLLKTAKEAVTQLWMNHFSCKSNDEKYRWVGVCGKDGVLTGAIKTRVKMEDVAREATSLLKSKKMDEDYDSTDRECFSCFYDLHLSAVSCQCSPNRFACLNHANLLCSCEMDRKFAFFRYSMEELNTLVAALEGDQAALYRWGQDNLGLLCPSGSAQQKNLGMEKIKEFPPSATEVNVYSGFGDSQEHCHDLGKKPSGFQREKGVHNTGNPPSSSGIKEEHDKDKMAVDPEPVRKRDNPFRSTSECRDMSSLFSPGVQTIKSGIDSNTTKKLFGVDTGNSAKPSDIQVCQIADPASGRSVVSGLTFGQRVEPLDCGTIMIGKKWFNNQAIFPKGFRSRVIFYNVQDPTKDCCYISEVLDAGPLGPLFKVTMEQVPEVSFTNTSPVLCWDSIRDKVNEEIKKQQSIGKLGVPDLLPSDSVDGIEMFGFLSPQIIQEIEALDPHHQCLDYWLSKPSFSVKELPSDFTKPTLVEESNNSHLRLLGVQITKKEPEQSGFCTSSCADQVALERLSKKPELPGGPEQVVLNKIFSSVPDSSRISRDCSHSVD >Et_2B_020791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23679985:23681296:-1 gene:Et_2B_020791 transcript:Et_2B_020791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSGTRRAPRRQSQDVSADKVVVNLEATSPAVARRRGVSVAGARAAPIDVEAIEDEVKEVSPSQVPAPRRNRRTRRQHVTVVDLEVESNREGNKRQRIVHCLPPGWGEGSSLQSNGAVQTSKAPAKEAPKEPAFTCPICWNKLEEPSTTICGHIFCANCIKQAIKVQKKCPTCRRSLKPTNYHRIYLPDSAR >Et_1B_012917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4067285:4070013:-1 gene:Et_1B_012917 transcript:Et_1B_012917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVVLAMLLSSAAVSTAQHDYGDALHKCILFFEGQRSGRLPPDQRLRWRRDSGLHDGAAAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGAHKEEARKAVRWATDYLMKATAKPNTVYVQVGDAGRDHSCWERPEDMDTPRTVYRVDPSHPGSDVAAETAAALAAGSVVFRESDPAYSKRLLDRAVAVFEFADRHRGAYSSSLHAAVCPCYCDFSGYQDELLWGAAWLHKASRRREYREYIKRNEEVLGASESINEFGWDNKHAGINVLISKEVLMGKDEYFQSFRVNADNFMCTLLPGISGHPQIQYSPGGLLFKVGGSNMQHVTSLSFLLLAYSNYLSHAGARVNCGAAPASPVQLRRVAKRQVDYILGDNPLRMSYMVGYGSRFPRRIHHRASSLPSVAAHPARIGCKAGAAYYASPAPNPNLLVGAVVGGPTDNSDAFPDARAAFQQSEPTTYINAPLMGLLAYFSAHPNPAQWHDEHQAEVASP >Et_4A_033910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2798222:2801857:1 gene:Et_4A_033910 transcript:Et_4A_033910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGSKTEPEKKSWADVEEEEEAKAKAAEAAAAAESSSSSNTAPAVEAQAKQIEALSLAAPEEDGGEQGPPLLDDSDDSQIQAVTSGGTVYESASTFEDLKLSPELLKGLYDEMGFSRPSKIQAITLPMILTPPYKDLVAQAHNGSGKTTCFVLGMLSRVDPNRKFPQAICICPTRELAQQNKAVLMRMGKFTGITCACAIPTSQKDFVPVNKMPPITDQVVIGTSGTLIKWITYKKLPTREIKILVFDEADHMLAEDGFRSDSERIMRDIQRSAGGCQVLLFSATFNERVKDFVTKVIKDGNQIFVKKEELTLEKVKQYKVQVPDEVAKIEVIRDKIFEFGQKVGQVIIFVKTKIGTKNVHNALTREDYVCSAIQGALDQAEREQIIQEFKDGLTKVLISTDVLARGFDQAQVNLVINYDMPIKYNTRDEPDYEVYLHRIGRAGRFGRKGAVFNLLCGETDNVVMKKIEDYFQHQVPEVRNWKSEEEFETALKDAGLLE >Et_7A_051137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14840289:14841882:-1 gene:Et_7A_051137 transcript:Et_7A_051137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEPTSARRARAESGLARGDRLSALPDCLLHAVLSFLPGPQAVRTCVLSRRCRDLWRTAPCINIDMGEFGITSGSTGSDLTQQWSKFEDFTSNLLLFRSAVSLDKFRLNSRVTTGHCLRDVDRWVRRGIKYCPQVLEVLVMFPRGAGFLFPHLGASSCRLKMLHLYGAMLDHHFAEQIQSRCPILEELELRNCNHNFQEITSHTLKRLIMDDTRNYFPKRRFVITAPSLVYLQISVSYGLFQRYFGEAFSLENQRSLLVDLCNVSDLVVGGFRTKAMLVEELDTFPIYRNMQTLSLDECFYDNCDLYDKLEALGSFLQNAPCLKKVTLQHCMFQVDSETEGHIVRKSIRLPHQCRQAFQCQKLKFVEVIYEDDHDNQLIELLWGIGRILPNATIILTNDLED >Et_2A_017223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32151815:32155070:1 gene:Et_2A_017223 transcript:Et_2A_017223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSGQIVETLPEWRDKFLSYKDLKKRLKLIGADGGGGASGPAAGRESKEELMRVRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLLYKLVKQSEAMLEQLLPTNNPSVSSEDGKGESKDDEKPANPSSSLVKGGGIPELDEIEYMESMYMKSTIAALRSLKEIRSKSSTVSMFSLPPLQGNNAPEEQERWNQIPVIEQAAK >Et_1A_006782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27518946:27520958:-1 gene:Et_1A_006782 transcript:Et_1A_006782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASRVPKRVLSAFAASAAEAGKLPEEAVAAAVPLPSSAAAERRPLEFDDTGRLFAGERTSALVRTLAALQALSVGPLVDVGIAALRSPAVAGSALGKAAARATAYKHFCAGETADEAAGVASVCIKITALCPIALLEKASDLLRWQHKHPSFALPWKAHSFPILSDSSPLHLTPSEPPALTPEEERELEAAHERLLAVGARCAEHGIPLLVDAEYATVQPAIDYFTIVGALAFNGDATASGNGNIERPIVHGTIQAYLRDARDRLEAMVRAAERERVRLGLKVVRGAYIARETRLAASLGVPSPVHACIQDTHACYNGCAAFLLDRVRRGTASVMLATHNVESGQLAAARARELGIPGADPNLQFAQLMGMADGLSLGLRNAGFQVSKYLPYGPVDQIIPYLIRRAEENRGLLSASSFDRQLLRKELVRRMKAAVVGRE >Et_7B_054473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21786830:21787807:1 gene:Et_7B_054473 transcript:Et_7B_054473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKATLRMARQELEDLYLGVPDDSVDLTFKDLASSSLPAAAHAADADTSDDREGKRKTTTTTTGGSQLARSSTNIFTYRPILEEDQQQDMDGGGGGGLLQLSPPTPAPPPPAAAAADRRVRVHVAHNNVGNHNYRRPGVPHSNICALCNKYIYLFRHRCLVCGRVYCRRCVGAGMGDMTEGRKCVDCLGRRYSHRYIHRAGNTTTCICSNFFWGYYPNAKAVTAQELICAEKGPAPRRRRHPPTSICASYTSTNASMSMTINSDSSMAMMNINDQPIAGMPASSASSSFVASYAPNPHAFPL >Et_1B_013904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23163831:23167484:1 gene:Et_1B_013904 transcript:Et_1B_013904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSAPAAGDAGMFVPAATVGTVLCCMCGVAMQPNPANMCARCLRARVDITEGVPRNAAVVYCPDCSSYLQPPRSWLRAGPESPELMQILLRRINRPLARLRVSLSGAEFVFSEPHSKRLRLKLRLRREVLHGIVLEQTHPVEFVVHDRLCDSCSRAQANPDQWVAVVQLRQHVPHRRTFLYLEQLLIKHGQASLAIRVAAAPGGLDFFFGSRSHAARLVDFLNTVAPIQTHTAKQLVSHDVKSSVYNYKYTFSVEICPICREDLIALSPQASRDLGGLGPLVLCVKVTNAIALLDPLTLRVHHLEEKKYKVYNFKAALTSKQLVEYIVLDIEQESPEISIDGSRYQLAFAQVARVSDFGKNDTIFTVRTHLGHLLNPGDLALGYDLYGANLNDDDMDTAMARHSLPEVILVKKSYEKKKPRTRKWKLKRLPVEEDAGNKAKGEEEKRLDEYEAFLKDLEQNPELRFNMNLYKNEDYRSEMASTIGDDAPTVPLEELIEDLTLGDDDEEDGEEAVEGNTHSGMVARPFFGSVLLVVAILNGLKQSVY >Et_9A_060937.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19349395:19351328:-1 gene:Et_9A_060937 transcript:Et_9A_060937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERTILMDRYEIGRLLGQGTFAKVYYARNLATGQTVAIKMIDKDKIMKIGLMDQIKREISIMRLVRHPNVLQLFEVMATKNKIYFVLEYAKGGELFNKIAKGKLSEEAARKYFQQLISAVDYCHSRGVYHRDLKPENLLLDEDETLKVSDFGLSALAESKRQDGLLHTACGTPAYVAPEVLSRKGYSGPKADVWSCGVILFVLAASYLPFHDRNLIQMYRKISKAEYRCPRYFSAELKELLYGILDPDPNTRISILRIKRSAWYKKPVGMTTLKTETGDKTCSSESTTSGLKSCIGSERNQGSLTNLNAFDIISLSTGFDLSKLFDERYGQKEARFTSKHSPAAVFTKLKELAWRLKLKVAKKDNGVLKFATTKEGKKGILELDAEIFEIAPSFLLVELKKTNGDTLEYQRLMKDDIKPSLQDIVWTWQGDHQQSHLLHAEQQQKQSPLRPLPPQQLHF >Et_7B_053969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13876265:13880198:-1 gene:Et_7B_053969 transcript:Et_7B_053969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENNCIKVASLIAAWLYSVMQVRDIQETNHTPLMSCIHVSHLNDVFEQAAARTQMPPPRTATPLVILSRFLSSPSPPPLPVLLRVHALAVTCGLSPRPDLAAKLVSAYSSAGRHGLAALAFSACPFPDTFLWNSLLRSHHCASEFASALSAHRGMLASGARPSPFTSPLAASAAAELGALRIGASVHAYCVRLGLLTGDGCVAVASSLTYMYARCGVVDDAVKLFEEMPERDVVAWTAVVSGCVRNGKCEEGLHYLVNMVRLAGDGGARPNSRTMEVGFEACGVLGELPSGRCLHGCAVKAGVADSPSVIPVLFAMYSKCDSTADACVLFPELPEKDVVSWTSLIAAYCRRGLVVEAMDLLQEMEGCGLQPDEVLVSCLLAGLGNTSNVRGGKAFHAVIMRRNLGDGVLIGNGLISMYGKFELVDVAGRVLRTMHQRDAESWNLMIVGYCKAGCDVKCLELYREMQFRGNDGFLGDSNSLVSAISSCSRLGEVRLGQSAHCYAIKHLLDDNPSVANVLISMYGRSGKFDRACKIFCLPKLKKDIVTWNALIASYAHLGHSNDALSLYDQMRTEGVKPNSATLRTVISACADLAALERGGQIYSYVKEMGEMESGCVKPNGVTFLSILSACCHAGLVDEGRKLFLKMRKHSLEPNLKHYACMVDLLAKSGLLQEAEDMVLAMPIEPDGGIWGTLLSACKVHNNFEMGLRIAKKAIVSDPGNDGYYILMSNSYGSVGKWNDIENLRDMMKDHGVEKTVGWSAVDTCGLQLRLQLQKNIAAAEKIITNIVLLLQNLQPNKLN >Et_1B_011228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1960603:1963493:-1 gene:Et_1B_011228 transcript:Et_1B_011228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSSGRLHPHGQRRGVASFVAANKTLLAAVWVVGFTLVFLWQSASISGGTGAGAGGGFRRLRSAPLPPARPAPRLRPTAYNLTDFGGVGDGLAVNTEAFERAVEAIAALAERGGGQLNVPPGRWLTAPFNLTSHMTLFLSEGAEILGIPDEKYWPLMPALPSYGYGRERKGPRFGSLIHGQNLKDVVITGHNGTINGQGEVWWLKHRRRMLNNTRPPLVQLMWSKDIIVSNITLRNSPFWHFHPYDCTNVTVSNVTILSPVSGAPNTDGIDPDSCQDVLIENCYISVGDDAIAVKSGWDQYGIAYDRPSSNILIRNVTARSLVSAGISIGSEMSGGVANVTVENVRIWESRRGVRIKTATGRGGYIRNISYRNITFDNVRAGIVIKVDYNEHADDGYDRSAFPDITGISFKEIHGWGVRVPVRAHGSDIIPIKDISFQDMSVGISYKKKHIFQCSYTEGRVIGSVFPKPCENLDIYNEQGQLVKRAVTVNSTEVDYDI >Et_3A_025606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31124014:31128028:-1 gene:Et_3A_025606 transcript:Et_3A_025606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICFCWLSPGVTEQAVNALNILNEESSKGAADQIADSSRVRGEESLEGAAEKMADSYSLSLLNEDPSEVASKQGEAAPEVASEAGEALYSGLTPDSVYKAQDGGKTEAIDIGKDESNHSKRNKGGNIPVHEVINLDTSDEEEDPHAPEPLYMEQPESALHAPGAMNGVHLGQREAAPATVEGMSRHTSLWHYLDPQGETQGPSKLIELWEWRKGGFFDEGFKVWSSGQTKEHAIFLRDAFRINL >Et_5A_042179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7014415:7019507:-1 gene:Et_5A_042179 transcript:Et_5A_042179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCTGAMALVGARPKLYAPDTTKCRSRRRTLLGLHRRGGPRSLIARASLRRPQHPSCAAEEGVVQWLRRAAAALAIAAQVSVSLPADAVLYSPDTSLPRTGELALRRAIPANPNMKAIQESLEDISYLLRIPQRKPYGTMEGDVKKAMKIATDNKDAILGSIPTEQKEEGAKLYTALLEEKGGFQSLLQYIKENNPDKLSIALASSLDTVAELELLQAPGLSFLLPQQYLEYPRLTGRGVVEFTLEKGDGSTFFPTGGGEPKSVATIQVVVDGYSAPLTAGNFAKMVLDGAYDGVTLKCASQSIIADNETGKKGYTVPLEVKPAGQFEPLYRTPLSIQDGELPVLPMSVYGAVAMAHSVDSDEYSSPTQFFFYLYDKRNEEYRLMKDNFQFSAIPQKDEMFCRKLRPEIKFVLPSLCKAENALCCHQNQHHQEKADIIQVECFFNFYLLVSWRCRRRASLVEPGDDVTADALDVLLRLPEQQGVEHPVVYLDSLVLAGGGVVQGAADVGVGDLVGAAVHDEERDGDLAEARAQLVGGAQQLGDGAEPGPAVVAHGVARGDDALGGHLDGLLDEVGGGHDGGRGREPRDEREDLGQRPRRADPVGDPAHGRDEHGAGPLLGRRAEVDEQADGPAHGLPEEEAGQVRVLPPRPDGAEEGEEVGDGGVEVGHEGAEPVRPPVAREVGGEAGEPGPREEDGRGLERPADVVAVAVDHEDERPGTRRRARTPRPREQPPLPVPVPAGHGEVGGLALHAVRRVELRLRGRVLAPEVDGRRRRRRVPLRLLLLLLARRHGDVRSGPGGIRLGLGRGVRCGWGTGGRLVWRVRCCQLRSGRGGDCGWLRDATRLAGLGRTVRAAGPPARPCTGANQHARDMLCCNIKLCSDIGRHDK >Et_1B_013498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:951195:953013:-1 gene:Et_1B_013498 transcript:Et_1B_013498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTPLITKRALDNLKDSDLHEEADPESGVILAGASFLATSTASSLENVPERAVHDPGLLGAPEHSVALPGARGPVDHDGALGPDPHALDPRAHEAANPSRSSSSETETPSRVKSRHPPASPRTRTAVPPAATSSADAPAAPAERFRMRPITSTGCSVAAPPGPPLWWVFFGVVAGKWSRCLAAGVLRGVATPPCGVGGGPGRGEGVGGAMDDGGLAD >Et_7A_051187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15272684:15273354:-1 gene:Et_7A_051187 transcript:Et_7A_051187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIFMGWRRKQHLFEIQEDSKAPQSNYFSAQDLELTVLKIGYNYHIYVGADLRIIRIGPEALMDSFDTLYKSDGRMGSDEYMSLACHHTSEPARLAELEETILESFDDYSLATLGVKKGLSDQVRKYSTNSENYLGCIDNLIQGQPIPMIKRLGEAGVANSLEELRAKVTVPLRDSYWRGKFEHERARRPDFFCPPCPDSMKEDEDWVQKLFEEDDDEVQ >Et_7A_052184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5359505:5359850:-1 gene:Et_7A_052184 transcript:Et_7A_052184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQLSISAMPKLLPEEGSHDDNDVEAKPEKAPPARSFGKERSVHFIPLLIILCFLLLFLCSHNPSHSDMSSFGGKAAGGRKTKSL >Et_7B_053787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12181058:12187188:-1 gene:Et_7B_053787 transcript:Et_7B_053787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMDLFGEIGMKEEDIATMLFGKKVAELTEDAFDGSTGERQIFEGAFCLTGTNGLIHQHHDGTGQAETGDACEAVTKSRTSSSSASNYKTACCRIVESFTAGNLSSYHVFLPGADQQAHGAMPAPGADQQAHGAMPAPDASLSEVDLQWTPPSTDKVYTRRAVNRMSERARICSALDVESIDTSNYGRRKDGRGSGVLWNHLRMHAHLLMVDAGWKVEGKERGNKSKVDFVYVAPDKETRLFSLPRAWKCLGQWLLVTSSGTDGNESNDYGKGWLNMQDFLSDLKNTLLCLQYEVQRPKQSLSFLQQWKLLDPFMAVVCIDKKVAALKSGKALKAVNSTVAFLSREECKLLSARNASSSLVLNYTSNYKIEHPRPRKSLLPLFSDREPDKEGNSMHDVQPSICGTSNPIEYEADEQSLCMSEISGRSIRSTAHRIVMGLHDATALLSSRQTCLDRKKKLPCIKSKEDQQAEDKSDPLYFPPSYSSASGNLVENFNVKDPSCHGYETTEISDVDNYANIPFDGMLLEENLLFSHEVDDMLVGVTDDTNNGQHDAALALELQGMNKDGKDGPSVALSLPPEKDTYLGADKDGVDNAHHDATAVCKLQIGNKGTGDDTSVALSLPSEKSTDLETNKMILEDPTKTGRLSSEANGSSVVISEPQVLFVSQDRTLSFMNNGAYDREMWSCLNASRDTMGTNMELDVDSSVYEASLIQGFLYLDSEGTPICWTVTNPEPPRQLIRASDLVPNSKMSERCGEMKIENEASTSRYKKISESGSSKKGQKRPNIVDIQEKDTRKKQKVTDSPLSHSMSRNMDDCENGPCRVVLNEEEQTLTAITEQVEDDQGEKSTEHSKQLASEETLGKDIKRQKKTWSRKCKFDDDDLLMTAVIHKLTARYRNRFHRRLTNKLGFRRLRRSRWESEEKVDRSKFPRGPRTVLNKLLEMGIVCRVNIIQCRGPGGKNVLKDGNITKNGIRCRCCGTTFTMSKFKCHAGLGNESPSLNIFLGKSYSLCQLQAWSIEHKVRKERAKDTMSLQADQNDDTCGICGDDGELICCDNCPASYHQACLPSQDIPDGSWYCSSCLCNICGEVTNSKELRTSLSTLECSQCELQYHVKCISGKISCKWEGRLGSWFCGTRCQQIFTSFRSRVGVPDHMDNGFSCTVLRNNGDQKVMSAAEIALTAECNMKLMIALSIMEECFLPIIDPRTGIDIIPSILYNWRSDIHMDYKGFYTVVLESDDNIVSVASIRLHGAIVAEMPLIATSTENRQQGMCRRLMDYIEEILKSLKVEMLLLSAIPHLVDTWTSGFGFREIDDSDKKKLCKFRLASVPGTVLLKKNLYEYSGIDTGELPNPKPLKVYSRMPRNRTGLNITCR >Et_8A_057883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8780715:8784618:-1 gene:Et_8A_057883 transcript:Et_8A_057883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFELEDNLEFILQSIQELMEDQGENNPFGEANQNELFASLVNNDEENVMPDVSVADVAAGKDMQGIPWDKMLFGRDQYRDMKMKNYRNYQNLSYAREDALEECKQVENDGPYYDFHYNTRRARPSIVHFQLRNLVWATTKHDVYTMHNQSVTHWSSLDQISTELIDADDCIVPKQRGHGSQSVAMVQVTTMTVDINLLVLGGFQGELICKRLDDDGVVFSTRVTDDENAITNSLEIYQDPNGSRRLAAGNNDSSIRIFDIEYFDLLKHHTFPWSVNSVSVSPNGKMFAVLGDHEDGLVVDPNCGAAIGSLKGHLDYSFASAWHPDGNILATGSQDTTCRLWDIRNLSQSLAVLGGRMGSIRCVKFSSDGRFLATAEPVDFVHIYDSFADYGKSHEIDLFGEIGGLSFSPDTEALYVGIADQTYGGLIEFTKRHQHHYLNSLW >Et_9A_062819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5394136:5397996:1 gene:Et_9A_062819 transcript:Et_9A_062819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEHKAEISSGVYTYKHHCERGLHIHEVIVRKSRSRVLLSCIGIAFLLATVCRSLLAKDLSLGSVWNITFAFLVAKCLQYRPVKKELVVIMPSFGVQLERHFWSGRVDRRFVPIGKILKPLLNECVTPVTCYWSLALLLRDEEELLLVFQKFRPPVKMLVPVWKALCTFINFESHSPSGSVELDAAAYTVDDEEDEAAGPTAATANVAVLAAAGLAGSPRPAEAARSWEACDDGSTVATAAAVGRYLCLTISALTAASSASSACTCCWSVRMAPMQP >Et_9B_063922.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21634127:21634536:-1 gene:Et_9B_063922 transcript:Et_9B_063922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWGLVEQTHLSDQIKWNWTTHGQYTAKSAYLAQFRGSYNTFDAVTIWTRLRYKNGGTRMSSGCQKIKKDSKLL >Et_10A_001621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6126858:6133460:1 gene:Et_10A_001621 transcript:Et_10A_001621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLTTERRWAAPARKSGMTVLGKVPKPINLPSQRLENHGLDPNLEIVPKGTLTWGKPTQTTPNAWGSSSILSTKTDGSSSSPSHLNGRPSSGGGSRPSTAGSESVGSPNAWGPNSRPFSASGTFPSSHLPISTNRPRSAETRPGSSQLSRFADNASDNVKVSIRTIDRPGSASHGHGFTLSTGDFPTLGSDKCSDSNSQRGHSSKGRPTSSSGKEASQNEQGKSLTTGPGEVISPPSNQTVDILKTDQQNAPFPETGLPNEGQQPQPYPPNFRMPAPQFDSWRAPHGHAPEGMWHRGAAPGGPYRPVGPPGSFPVEPFGYYGQYPPNSEAAARQSSGRGAYHPKSGDAYHPMPPNSYIVNQPVIPVRPVYQGPGPYDGYYGPRANFNNANIRDPHFIGGPHQPGILNQFPNQNDKFHPGHSQGRAGKHDMVSREQLESGRVQVFNRGQPRILHDKPDRVGGTHEVEKNAQPAPPLLPHPDGKRTDLNMRTDTRDTFGDRNRVLMKSVPDQRGPAGIEQPSAFNNAHSVPRDTGDGTLHKKLKEDNSSMHEQQPVIKKNAALIEKIESLNNKARNVDARNVPESASSKEFNRLQKSTVSKADQVMKDSDSVSHVTSVLQRPPNFPTDGTVVGPLHSQFSEFSKAGKLGDSVNDRVHRRGDSSRNNHHGPAKIRSVNKFAGHGRGESSTNDSLPVIDLRNNRHDLPQEGASQHQPVAVTDDMPASPDYESQRVKMRELAAQRAKKLQAEEEERIKNQKAKALAKLEELNRRSAILQKNSNDTTVEADDGYNKQKAGLDVTAKLATSTAELRDVASPDSLAALQPSNDKRTVVPVQPQSTTLSHAAGAVKEPAAHAASSSAGNTQSNMEPVVQKSITQSNDINVPKSKQGFRKRHVVSEEKIHGEKPNVPVGTGNGKKSFENSLETKTAVTTSQDDPPAPNKKGARHLRNKKKVEDVPVTQHPSVVFNEQNTSKVSSEPKTYTGGVIISSSIVPTEGTIVTVGSITVGGISLPSLKQESVKSPDETPNTENNRSRPQQAKRSGKHQHAVRPVEKPHGNEGVLWAPVKSAAQNEQPDRAILNAAVSDLAQLSGKGPNDGENVTRTKRAEMERYSHDKEAVEKSSDPKIGAATEPKKWEDKKAGKGHGKSHPSWRRRNTHESATVVPNPIEQAENSHESKEVPMSADQNQPIETVRQEVKQLKSQAGTAAENSSEIVPLPVSSAKEHNAANRQRRQHVKAQRNEASRYSNENKDREGRNDLIYQSATPAMDSNSSNHKNISRSEGKSTGAVSHSRAHWKPKSNSHSQSNNAIEGQVDSHGDTVEMNSSKGSDSTTHPDSSSKPIQRNDGTGVQDAHCEQENLTREDGKQNNETHTSAEQQQVDPPLRRQGHHNGRYNRGGTHRGRDYDAGRPSHGTNAERRRGGSHLEYQPVGSYKPTDFQQNPSVDERTEGPPASGPVFRERGHSRGSRPAGHFVKRNPTSMSYQEE >Et_2B_021081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26302134:26305009:1 gene:Et_2B_021081 transcript:Et_2B_021081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLARSASAAASCSTAHPALQLPGARAPVPCRSRLRRPRFPSLRAAAAASAAIAVEPETKGQQNSSSDAEVFACPVCYEPLIRKGPPGINLPAIYRSGFKCSKCNKSFTSKDIFLDLTVTSGTKEYSEQKPARTELFRSPLVSFLYERGWRQNFNRSGFPGLDEEFQMAQDYFEPVAGGTLVDVSCGSGLFTRKFAKSGTYSAVIALDFSENMLRQCYEFIKQDGTLLNANLALVRADISRLPFASCSVDAIHAGAAIHCWPSPSNAIAEISRVLRPGGVFVGTTFLSTPRNDPFSVEALRPLRQIVGPANTSYNFFTEGELEDLCKSCGLVNYSSKVQRAFIMFSGQKPY >Et_9B_063814.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15861996:15862187:1 gene:Et_9B_063814 transcript:Et_9B_063814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYHQHSSSFSSKEKRPPLKRGQLKWQIARTISSLVVPRNATGSREKADSSGVFSRGPSYNR >Et_2B_021088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26403932:26407901:1 gene:Et_2B_021088 transcript:Et_2B_021088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVALLKLRGVNQFALAMKRLDLLLLLPYVILCLIGAVFAGRTSSYVRTEFPSTDIPLESEWFAIPKGYNAPQQVHITQGDYDGKAVIVSWVTVEEPGPSEVLYGKKENQYDQKAAGTTMNYTFYDYKSGYIHHCLVDGLEYNTKYYYKIGSGDSTREFWFQTPPAIDPDASYTFGIIGDLGQTFNSLSTLQHYEKTGGQTVLFVGDLSYADRYEHNDGIRWDSWGRLVERSTAYQPWIWSAGNHEIEYRPDLGETSTFKPYLHRYMTPYLASKSSSPMWYAVRRASAHIIVISSYSPFVKYTPQWWWLKNEFKRVDREKTPWLIVLMHSPMYNSNEAHYMEGESMRAAFEKWFVKYKVDLVFAGHVHAYERSYRISNVNYNITSGNRYPVPNKSAPVYITVGDGGNQEGLASRFYDPQPDYSAFREASFGHSILQLKNRTHAVYQWNRNDDGKHVPADNVVFHNQYWTSSTRRRRLKKNHFGLENLEDLISLF >Et_2A_018071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9906896:9914679:1 gene:Et_2A_018071 transcript:Et_2A_018071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTSIHVGVANSMALATRLLGRRRLLPPPLAGAVAHLSAAIQSPPSRHYQHHLPPPAPTLPLPARVLPFAVPARSFSWYSRSSPSPSSSPGPGPEAREAPSEDAYIERESNYLDGVHIIDDGEGAAGAAAGAVGEATAEGVGGVSDLATITVVDLMDGLHTLTGLPWWLTISLSTVAMRLLILPVLTLQFQKAAKIGELSRKLPPPIPPPLSGVSFRDQFSLFRKKRKELGCPSFLWNFAYFSVQFPCFILWMMSIRSMCLTNHPGFDNGGALWFHNLTEFPHGASGLAFPILVAGLHYLNVQISFQGSQIKHYPGILGLLAKYYKIYLDILAVPLFLIAYAVPQGSLVYWTTNGLFSVAQQLSFRNDAVRNMLGLPTRAHLGYGAQKPPLEGPKMMQSPLGHSDGQNKLTSSDNGTASENTTKFIFDSSDIMEGKTSESSSPEELLEQALHYFGTGRRDQALPLIRIAIDKNPDLSIALIGMGQTLFSNKLFPEAGECFEHALPKMQEHDPLLVLAYFGAGISHERQGDNEMAIKHLQRIAELREPEEPRSKACYFQGIVYLGSEVSSRGSFSSNTESGIFRDWEIEVIWK >Et_10B_002664.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:5211037:5211504:-1 gene:Et_10B_002664 transcript:Et_10B_002664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLSLPGGRLSGSDGDSPAADTHRREKRRLSNRESARRSRLRKQQHLDELVQEVARLQAENARVAGRAADIAAQYARVEQENTVLRARAAELGDRLRSVNEVLRVVEDFSGVAMDIQEEMPVDDPLLRPWQLPCPAAAMPICGATAAHMFQY >Et_3A_026275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6214634:6225695:1 gene:Et_3A_026275 transcript:Et_3A_026275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTSSACLRRLNPLLFSAARRRPVWAPRRAARRFCAAVAAERDVFSSPEVSKSFDFANEERIYKWWESQGFFEPNLDHGGDPFVVPMPPPNVTGSLHMGHAMFVTLEDIMVRYFRMKGRPTLWIPGTDHAGIATQLVVEKMLAAEGIKRTDLTREEFAKKVWEWKEKYGGTITNQIRRLGASCDWSRERFTLDEQLSRAVVEAFVRLHDKGLIYQGSYLVNWSPNLQTAVSDLEVEYSEEPGNLYFIKYRVAGGTRDDFMTIATTRPETLFGDVAIAVNPEDERYAKYVGRLAIVPLTCGRHVPIIADRYVDPEFGTGVLKISPGHDHNDYHIARKLGLPILNVMNKDGTLNDVAGIYSGMDRFEAREKLWSDLVETNLAVKKEPYTLRVPRSQRGGEVIEPLISKQWFVTMEPLAEKALHAVEKGQLTILPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKKCEEDYIVARTEGEALAKAQEKYGKSVEIYQDPDVLDTWFSSALWPFSTLGWPDISRDDYKHFYPSTVLETGHDILFFWVARMVMMGIEFTGSVPFSYVYLHGLIRDSEGRKMSKTLGNVIDPLDTIKEYGTDALRFTLSLGTAGQDLNLSTERLTSNKAFTNKLWNAGKFLLQNMPERSDATAWDVLLGNKFDTEASLTKLPLPECWVVTRLHELIDKVSTSYDKFFFGDAAREIYDFFWGDFADWYIEASKTRLYHSADGSASSTAQSVLLYVFENVLKLLHPFMPFVTEELWQAFPYRKQALMVTPWPTTDLPKDLRSIKRFQNLQSLIRGIRNVRAEYTVEPAKRISASIVATTDVLDYISKEKQVLALLSKLDVQNVQFMESAPGDANQSVHIVADEGLEAYLPLADMVDVSEEVKRLSKRLSKMQSEYDALVARLNSQSFVEKAPEEIVRGVREKASEAEEKISLTKNRLAFLESTYTMSVEKEEFLIIGAAEIFTVIGQLQFFYHQAPDVTRSLCSALWLTTVALALGRYQHGAGSPAGPL >Et_7B_053825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12514998:12519465:1 gene:Et_7B_053825 transcript:Et_7B_053825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRRGRNGLVFTSVWAGRTVKAREPIRLREGRTEHEAARLLPLALGNTGFYSPTPYYSPSSVRHLVPPAKFDQSCRYGCNRRWRLQPGQGAAEVTLLLVGKTGHGKSATGNSVLGWKAFDSRRSYAGVTRACQKGSATLDDGRIINVVDTPGLFDASGTAELAGEEIMAVAKDGIHAALVVFSAASRFSQEDCKVVESIQKLFRNSVVERVVLVFTHGDDVEKGEWKDMLRAAPDYMLARRAFACECWLSRSID >Et_4B_037720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22818442:22821358:1 gene:Et_4B_037720 transcript:Et_4B_037720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAFVVSLVQHNSAWPLRPAAVSSLWLLLWLAAAAAQPAGCRRRCGNVTVPYPFGIGAGCHRGATANGFRLRCDAARGRLTVAGYGHEVAAISLATAEATVLLNASRACHDSGGRRVVSSLREQPMALNGSAFLFSSMKSKFVAIGCPGLAYFVDGGGYSVTGCMSVCRPSERALPGSCRGDDGCCQSNIPLGLDSYRPRLGSFGRQRRQEEEATFLANSTACSYAFMVDAWWFWFAGSNFNRTGDFAVPVVLDWAVRDAPSCAAARRDAGTYACRSEHSVCLESGNGPGYVCNCTDGYEGNPYVINGCIDVNECKKNRDELPCYGVCINTPGSFICTCPKGSSGNATVEHGCRPNDRYTLALEAVTGVSIGVFMLLSACFAARVGIQKRRLLRAKQRFFEQNGGVLLLQQQLGSLASSGVSFKLFSSEEFGCATDGFASERVLGRGGHGVVYRGVLADGSAVAVKRSRTADARQAREFAQEMLILSQINHRHVVKLLGCCLEVELVPNGSLHRYIHGEGSQSQQPLLAYMHSSASPPILHRDVKSANILLDGDLAAKVSDFGASRLAPAGGEAAAVATLVQGTLGYLDPEYPLTCQLTSKSDVYSFAVVVLELLTGRKAFVPVEDEAEDAEEGSLAFWFFAAAAEGRHREVMDRRVREELGVEVLDEAAQLVVRCLSMAGEQRPTMKEVADKLHR >Et_1A_005240.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:3584409:3584681:-1 gene:Et_1A_005240 transcript:Et_1A_005240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLRAWAGVRPQVVTRKSSTTEGDLVVVLYRRRRWRKESAARGENARMAEEKRWLRKRSIANSLRRCAAGARRGGGAGIYWSGAVQGAS >Et_9A_061625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14614030:14617038:1 gene:Et_9A_061625 transcript:Et_9A_061625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHRLIAHEMTIQLPAPAATSRQMTKAEALLHVLRDLKPKLMVMTEQEADHNCPVLSDRVRNAFDYYAELFRDMEASVGDVYGSVDNRATAERVLLTDKVMDIIACDGVLRRERHEKFGSCEQRMAVAGFEPARVSVNTFEQAKGMAHQLSGDGSVRKYRARKVDMGCMFLYSHMIPLFSVSAWRPALVITSTMPLHCLIADEVTILLTAAATAHQTTKADALLHVLRDLKPRLMVLAEQEGDHNCMVLSDRVHDTFDYYTEHFRDMEASVGDMYGSMNYHVTAEHVLITNMVMDIVAYDGVLRRERHKKFKSWELRSWEPSLAGFEPAQVSVHTLKTMKGMANQLSGDGSVRRYRARKVDKGCIFLNLIKMRKHAAML >Et_2B_021200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27353716:27356912:-1 gene:Et_2B_021200 transcript:Et_2B_021200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVFGRVFGKPKDSQATALASLDKLNETLEMLEKKENLLVKKANLEVEKAKNFTKAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGASAMKAMHKSTNIDDVDKTMDEINDNMENMRQIQDLLSTPMGAAADFDEDELEAELADLEGEELEAELLAPTTTAPTTAPVRVPTAQQSTRPSAQSSKTEDDELAALQAEMAM >Et_2A_017730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5687129:5689801:1 gene:Et_2A_017730 transcript:Et_2A_017730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVKFRLFDGTDIGPSKYDPSTTVAALKEFVLARWPQDKEVVPKTVSDVKLINAGRILENGKTLAESRVPVGEVPGSVITMHVVVRPPQSNKSGKCPSYQFQVNLLLEAAVKFSQAEQMWMHNIVTV >Et_9B_065418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4871649:4879661:-1 gene:Et_9B_065418 transcript:Et_9B_065418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHHLLLSPPAPPPTLLPSRRLRGDGTERVPTAVCASSNAARCTAVSAEVATRRRAVLLVGVSVLPLLRHRDAAAQAARAQPSTVDLVTDRMEVLEVEGTQPEEPEDKLHQPDAEGPPSRNPLVGLLNAIAVVASGVFAGLLGASQQEKEALQSIISSMEVKLVENESAMSMLRENYEKRILDEQAELKKQARKFQEEEGSLLDQLASSRRTVTSLTAEVQKEKELVEQLNLEIDKLKTSLAQAEDDRHLFEGKLKEKMEMLDILHDKVNLLSQEVNGKEQYTKELSSSLSAKEDDYQNLNTIYHQTKENLEQVTSRIKQLEKDVITDKNELKSKIALTDSLNEEVQKLHAEKGNEAAARKDLSDLVTSTEEALRDSRNEVFKLSEELNEVKRSNQDLITQISKFTDEAIELKQDLANKIAEAESVSASLSDELASVREVLKRSQEELEVTSNQLISVTDAHGDLNKELLDAYKKLESLTNDLVKERKINATLNRELEALVKQSLLESEARRALEIDLDEATRSLNEVNESTLSLSEQLENTNSRISAIKEEKEMLSKALEEQKKSTVEAQENMKDAQSIIERLGSEREDFEMRSKKLEDELATAKGEILRLRRQMSASGSQNAKVILETSSAPNANQPLKGQHVNDHTKSADAGAPRSSKREKKKRTSYLSIFEMPNEEPAFFLSPVYLRTTVSGIILQSPDVRLTTGRSNSTQAVSLVGGDHDMGTTVAPPISTSRSSSSVSAGDLAVGSYLLLLGFVAGSYLLTTSTDADVAPHGAQLVLGILHQRRRRRRPPHHEDVETRQRLDGLHHLLLGHRIVSVLEVHRPILDGADVGLERLDGRLVGGGLLAGAVRAVRPEPGERDRHARVLRHARGVGERLAVVGAAEEERRDGEGDVLGGDLRVAAEAAVDEDGDGAGRLAEHAAVDGVVRGTERREGAVVLQRPVVRQHDERRALVDGAVDDVAVDGVEARDGGRGAHAHGAQLLERLERHHHLVALPVAAAVEEGRALDHGDRRRALGELDEVHGVQGDAAAAAACSCSPRRLHEVEQRGDVPAGVEAREDTQLAAAVAGDGAALVRRRGPLRLVDGHDDGRGDEGGEERHEHHDGEGLVVEHLAVAPDIQHDELDEALGVHEEAEGDRLADGDLDEQRRGHGAADLADAGVGDDEHQQAPRLGAADGGHVGLEPAGDEVEREEQPRHEVLHLLDEHAGEGPVLGDDHADDEPAEQRVDADGVGDEAGGEDDAEHGADTSLTGSPSRHRRAIHASAGRRRRNAEATKPAAVRSTQSEMMGEVAVPDSAMASARRIQATTSSTAAAESAMRPRSVVSSFSSVRMRASTGNAVMDSATPMNTRNGACRVSVMTVARKAKEVPMPSANGSAMPEREMARAFLPVRRMAFRSSSRPTRKRKKSRPRLASVSSTVMLAGGNTVCRNLVLRPSADGPSRIPPCTTPNRWEPCLTRSISRIAQNIINRL >Et_4B_040051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8390825:8401955:1 gene:Et_4B_040051 transcript:Et_4B_040051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKRRAGVAAAGAASSSKKPRAAASYAESLRSKLRPDASILASLRALASATAAASKSKAALKDPADQDPSADPASSYIVVADQDSTSVTSRINRLVLAAARSILSGRGFSFAVPSRASSNQVYLPDLDRIVLLRRESARPFASVATARKATVTARVLSLVHAVLRRGIHVTKRDLFYTDVKLFGDQSQSDAVLDDVSCMLGCTRSSLHVVASEKGVVVGRLIFADDGDRIDCTRMGVGGKAIPPNVDRVSGIESDALFILLVEKDAAFMRLAEDRFYNRFPCIILTAKGQPDVATRLFLRRLKVELKLPVLALVDSDPYGLKILSVYMCGSKNMSYDSANLTTPDIKWLGVRPSDLDKYRVPEQCRLPMTDHDIKVGKELLEEDFVKQNEGWVKELETMLRTRQKAEIQALSSFGFQYLTEGLDDLNELCHTALIQQSPAGVRNGVGGFTVQHPGGGNADALGVAEKLQHVWQAAVAERGDDSAREEAGADARREAGVVPGGRAEALGGEEEVAEASGAREVGGDAGVVLDGGGVAADADVGEERERLGPGLGFGVRGRSRGVRATTAAVGMGRWLRGGGGHGQRHGCGAAEWGVRMGGGGDGPPPGLRKVLVARILLRSDRKLSCATIASSLSFSPPATALRREEVELEAGEEAAEQALEARGDGVAGVEERDASVEVGGDGGRVSFPSERGHGVPKREREEVSEPRERRELGGRQRQQAAEARGECCVEEEHGIQIPKLVKLRPVVM >Et_3B_031416.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28637434:28638663:-1 gene:Et_3B_031416 transcript:Et_3B_031416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAEVPSHFLCPISLQLMRDPVTLPTGISYDRAAISRWLAAPAPAADRACPVTRLPLAPEPQLTPNHTLRRLIQSWLASLAPGAEVDEDVAALRAPVSGEEVSALLSDAAAARVDALKRLREMVTDCEDNRVALESQDGVFDVLSRVVSSGDACTTAREEAVGVLASLRIPDQELVRVVATHGDSLAEALTAVLRSSNPKSRENAARLVRSLSDASWPAWVIGLSQEELLVEVVRVVRDRVSTRATKAALHALAALCPYGRTRVKIVGAGAVPAIVDLLLDDPERRACELALAVLDRLCTCAEGRAELVAHAAGLAVVGKKVLRVSEAATERAVGVLRSVARHAATPAVLQEMVQAGVVAKLCVALRAEQSGLRTRERAHEVLKLHSRVWRSSPCLSPKFLALYPL >Et_10A_000681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15454925:15456780:1 gene:Et_10A_000681 transcript:Et_10A_000681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIAFLRCPSSLTRASSCGLAYLPIPWALIKIRRSRPTAAAHHIAAQKPSRIVAMAAAALASSVKEDLPTPLTSASEPPPLFDGTTRLYVAYPCPFAQRAWIARNYKGLHDKVKIVAIDLADRPAWYKEKVYPENKVPSLEHNNQVKGESLDVVKYIDSHFEGPSLLPDDAAKKQFAEELLAYTDEFNKALYSSLVSKEDVSKETVAALDKIEAALGKFSDGPFFLGNFSLVDIAYVTFIERFQNFYSNIKNYDITEGRPNLQKFIEEVNKIDAYTQTKQDPQFLLEQTKKLLGVRYVLNILPSSIGSVDYKPFDTCTL >Et_4B_036860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12093634:12096204:1 gene:Et_4B_036860 transcript:Et_4B_036860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPVGIAAGSKQLRLELGNHDGHRLERDSQDIGSCKLFTDVELLDRHAVRQRPIERVQQRRQQVRHDAFRHGQAGTLPPSRPEREVLKVLPPVIHLRVVEEPLWHELVRPVLPRGGVPHDRPHVEDHGAALGHRVAADLNAVLHGQVRHQHRRHRVQPHRLLHHGHEVRQAHRVGLVDGLPAAEGGVDLGARPGHGARVLEQLGHRPLHGDRDRLGPGDEDLEHDGFHHVTVDLPLGHEAQEHLEEVVVVLGIGDAGGSLGQAAVNYAVHDAEDRRHVPTHRAAPPLQVEPPEEWEEVGDVGLGHQVAEALHVLPYPVRRLGVGVQVHNVHGEEGARHQVDHGAVQGLADVHHLVPGGARAEVVHQLPHHPLADAAARREAARGQDVRRQDAALRPPQRVGAGQVDHGAVGPPARPDGVRGVARGEAPVVPREGLPGGVAGGDHHGRDDGAQLEAHHGAVGARQAREHVVEVPAAQLEPVADDRQRPRARSLDGA >Et_5A_042978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8494543:8496595:-1 gene:Et_5A_042978 transcript:Et_5A_042978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPFSREAAFFSSNSLSALASPVSSEAISLKANGKSVCHIEYVNVRSEPEVIERRSAVESLVLIVPQELLHGDAPITRALVPAIIGPYTLPSS >Et_5A_042208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7481978:7488312:-1 gene:Et_5A_042208 transcript:Et_5A_042208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSARRKPHQPEDPAAPLWKLTDDLLADILIRLPTLADLGRASAACPAFHRAAHSSVASVLPPLLGTLSDWCGPDFLPAQPPHPSAPIARAFADAADFSCSFLPSPDRCRYRDLRDGRALVSRVPEGSMFAPGDYDRRELVNEFIVCDVLHRRYSLLPAIPDHLTAFLNCWDIMKFDAFLVPSEDEDGTSFGVICVAECIQTVDTKLFVFSFSSDSGQWHAAISEGWTASTAGLDNSYTLYKVQLSARDYAHGCFCWIMPWRNKLLLLDPRTMEFSTVDIPHLDPWRRNAIVEAEDGRFGMFVIGLDRESGTRKLSYSILRNDVQSANQWQLKEKIQLPLNYSQFDIVGVAGGYLLLIGIPRDHHQASIPGQERPDMNCLSLNLKTFQIESFCTTRHFIEDAPLLAHKTPFPCSITPPAMASPAPPTPRRPQSPADLADDVLREIFLHLDSPADLARASAACAHFRRVITDHGFLRRYHALHPPPLLGILANGFLPAQPPHPSSVAAGTFAHAYAADFSFSFLPSPHRWRRRDSRDGRFLLSGVPVGRGHKRGDLVWITDLAVCDPVHRRYLLLPDIPVDLAAAVHPPDIVDFEPFLAPAAEDYKDTSFRVICLAQCATKLVLFVFSSCVGRWHSVTFDGWSALITGFGDPASGSELSQRYYAHRCFCWLMHRRNMVLVLNTCGMNFSTVELPHDYFGREIAIVEAGDGKVGLFSLSSDITHDTVHLSCVMLRDGGEIANQWRSEEILPLPSHHHFEIVGVAGGYLLLQGIPEGVSLINLPQHPNIVCFSLELRTMQLEWFCQTSHSILFAELFAGHPPTLSPPT >Et_9B_065848.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:1552289:1553773:-1 gene:Et_9B_065848 transcript:Et_9B_065848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPIASQTNPDAVGGPVHRVYVERAGEQAGERILRHAHASQGRNSLLTTHRAKYKLAATDVAHQGRRERSRRAGAMKPPAASPGRAEKPQHLPAPAPPGLARLLLSKSRRGGRSRRAPATSPMFVSRGHRSRAADGEPSSPKVTCIGQVRMRKGKGKKKKSSAAAEKAKGYCRCLKKAFLCGGLFDFDRRRRSTKAPSPEAERVTSRRSPWVFSSRDVAVAAAPKPADARSARGEEEEEDDDQAEVGVGVFGSVTGREQAAEEKAQLGINGSVSGEKEEGDEPEAQLVSSATTTPPKNALLLMRCRSAPQNRTSPLTSRFPVFAGAAAPSPSPTRDAAYLSPSPRKQADDRVSPAPSPSPRKPAERFSPAPSPSPRKSEMADEDCEQQRHGPFFAVQERAEEAAREEEEEADEDSEEDEMRCSSARPLVLQRCKSEPATTAAAKMAGGGPAENATTAGCFWANGGSSGRRRHAPPPPQPAAPAAAAPVALTGH >Et_5A_042296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8404523:8405901:-1 gene:Et_5A_042296 transcript:Et_5A_042296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHVGPSFCTSMHDLSTLQVATKNFDEGNKLGARGFGAVLKDHEKLLVYEYMPNKSLDTILSVMLPIILATVQLKLNNSQVKIIHCNLKAINVMLDYDYNPKISYFGLASFSVLILDIVMGRRNGVSNAPRKVLISLAKKKEKKKKGADLLSLVTAESMANFIFYDPQHLACLRHRVQEHWTTGTLTDIMDSSWSSLSPRDQMLKCVHIGLLCVQDDPANDVHGEHHARSTVTLQAPSRLAFCICKDGVNSDMYSEVYGASQSTSRSAMSQNEVSITELETR >Et_5A_041658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25647930:25648555:1 gene:Et_5A_041658 transcript:Et_5A_041658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAVAALAAALMVAGTLLAGGASAQSPSPSSSCTSVLVTLSPCLNYITGNETTASASCCAQLGKVVQSDPQCLCVALNADPASLGLNVNRTRALGLPDECKVKTPPLSDCKNGGAAAPTSPSAGTPAGQTPASGSTGSKTTPSTSVDTGAGASTRSSVGLVAGFVAAAVLAVAAI >Et_6B_048792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13795369:13817433:1 gene:Et_6B_048792 transcript:Et_6B_048792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTYSQDISWLSRLHTLGYLDMTSVNLSAVVDWLHVLNRIPSLNVLHLAYCGLPGTNQLLGHLNLTTIVELDLSFNYFHHPVASCWFWNVTTIQSLELSQTYLYGLFPSSLGRLTYLKYLGFTDNANAATILVDLKHLCDLEHLYLGGSLSQGNIKDLVDKLPHRNTTHTIQGTWNCSSLYVIDLSNYYLTGNTPSGVANISPILIYLDLSGNNLTGAVPHEIGNSSLGQLILHSNQLSGQIRLLPRNLDVLDISSNFLSGPLTSHFVPPSLKGLMLSNNLITGRIPQSICELQNLAILDLSNNFLEGAFPQCFGMSKMVFLQLSNNGLSGMFPSFLQRCSDLAFVDLSSSKFYGTLPLWIGEMVNMQFILVSNNLFNGHIPVNITNLRQLRHLNLASNNISGPIPWSLSNLTVISVNNRFAEFFLLVVIKRQVLKYGDVFYDFVSIDFSQNNLYGQIPPSLSDLTYLCYMDLSYNNFTGRIPPGRLGSGFMVGLWVVFCTLLFKKTWRIRYYRLFDRVYDRVFVFVVLDKLLQINYFCEATEDGDAATALVQCAASFSLSLATHALRQPQQSAGGNNASCIPQERDALLAFKHGITSDPAGDLVSWKEDEDCCLWRGVRCSNLTGHVLKLRLRNVHADPYGNPITPLIGKISPSLLALEHLGYLDLSSNNLEGITGRIPEFLGNLKNLKYLNLSGIQFSDRVPPHLGNLSNLQYLDLSNMGGAYSTDVSWLAHLALLQYLSLDSVELRIKDDWPLVVNMVPSLRVIVLSNCHLSSANQSLTRHNLSNLEELDLSMNFFNHPVASCWFWNITSLTYLNLGSNYLYGQLPNALGGMTLLNYLSLSGIKNSISMTMARLNSLCSLRVLYLDSCFSQGGIDDLMEKLPQCLSNKLQELSLESNQLTGALPKKMGQMEKLVVLDFSSNNITGPLPAFIKNLTGLVTLDLSSNMISGHVPTFLGHFTSLRILHLSNNHLSGRVPYEMGLLTNLVDLSLNNNDLDGVIKEDHFTHIRNLRYLDLSYNNLTVEFSSEWKPPFRLSGELPMLLGNMSLEELYLSSNQITGQVPPLPRNLTNLDISMNSLSGPLPVYFGSPNLVELSLFSNRIPGAIPKSICKCEVLDILDLANNFFEGELPICLGNSDMTHVELSNNSLSGEFPSFLKECTKLQFLDLAGNKFFGTLPPWIGNLMDLQFVRLSHNMFSGSIPTNITNLVCLKYFDISSNGISGSLPRNFWNLRSMRRKHWQGLYSTVCLYSELVEYHSVSLSAFTKGQLRDYGSSERIMDVNTISIDLSSNYLTGEIAEEITSLHLVGNLNLSRNHFTGKTPTSVGAMQLLESLDLSRNELSGEIPDSLSNLTFLSYLDLSYNNLTGRIPSGSQLDTLYAANPSMYTGNIGLCGPPLKKNCSCTDASRQDQFTTEEENGPEFVYLGLGCGFIAGTWLVFCALLLKNRWRIAYFRLFDKMYDKAYVFVVLTWARLTRKTTAN >Et_7B_055783.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:4436778:4439162:-1 gene:Et_7B_055783 transcript:Et_7B_055783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPHCVASLPPTPTANAAATGGSGKKTQPTASQVRRLCKQGRLDRARRLLLEALPRPPPTLLCNVLLIAYVARALPDDALRLHALLNHAARPAPRADHYTYSSVLTACARTRRLRHGRSVHAHLLRRARALPDTAVLRNSLLNLYASCVRYRDGGGGVDVVRRLFDAMPKRNAVSWNTLFGWYVKTGRPREALELFARMLEDGVRPTPVSFVNVFPAASSDDPSWSFVLYGLLVKHGTEYVNDLFVVSSAIAMFSELGDVQSAWKVFQHTAKKNTEVWNTMITGFVQNGKFAEAMDLFIQLLGSKQVKLDVVTFLSALTAASQSQDGRLGQQLHGYLIKGMRGTLPVLLGNALVVMYSRCGNVQTAFDLFDRLPEKDIVSWNTMVTAFVQNEFDLEGLLLVYQMQKSGFAADSVTLTAVLSAASNTGDLQIGKQAHGYLIRHGIEGEGLGSYLIDMYSKSGRIEVAQRVFDGYETDKRDEVTWNSMIAGYTQSGQSEKAILVFREMLEAGLEPTSVTLASVLPACDPVGGGISAGKQIHCFAVRRCLDTNVFVGTALVDMYSKCGEISTAEHVFAGMTEKSTVSYTTMISGLGQHGFGERALSLFYSMQEKGLNPDAVTFLAVISACNYSGLVDEGLALYRSMETFGIAATPQHHCCIVDMLGKAGRVEEAYDFVEELGEESNFVSIWGSLLASCKALGKQELAKLVMERLLCIEKKYGHAGYNVLLSHIFAAENIWSSADSLRKEMRLRRLRKVAGSSWIKIQDAALQNYPENDHMASMLHGVSYSGDGII >Et_2A_015226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9326580:9327005:-1 gene:Et_2A_015226 transcript:Et_2A_015226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGRKLTNGRTHEDKGSSGEEVIVPARKRRGRPQKRIAEKIKAEVKNLEVDDGDEDYQVGAENLSGGTGTKRNRVPKEEEGSNLDMEENSSSTRSSNDESTKSNGFRQVGSRRKSTPRRAAEAGL >Et_1A_005203.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:32733618:32733785:1 gene:Et_1A_005203 transcript:Et_1A_005203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPIESMLLAVNLNFLVFSVSLDDMMGQSFASLVSTNANLVSHRNTKKRELHVR >Et_10A_000328.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:23282529:23282657:-1 gene:Et_10A_000328 transcript:Et_10A_000328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFVAPHLLTKIEKVNMKFYVSRNLRWRGNSEKIPQIKIKW >Et_10A_001062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20814394:20815953:1 gene:Et_10A_001062 transcript:Et_10A_001062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQPPDQNTINDPKEYYMNYPCQSVYPAEFGKQCRERWINNMHPDIKATKLECLWYFIEVNLIDTFANFVAPDDDKMLIESHIYDARHLSGRSENTIKNHWNAVLHILKAKRRLTKKKIGHVPSGWFSIMEEYLDDAGHAYPSLSSIASITLHL >Et_5A_042316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8719317:8725143:1 gene:Et_5A_042316 transcript:Et_5A_042316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLSVVPRVLCDTVAHGCASHSPASRSGAARRTARRASWGSGALFIVSEFGVGRFSHCLRSDANVGAKPTREAERRSPRRRSRVQGRDTLRSTTSWSRRHHRAGGLTVLSGTTFTYLSEAGVALAGAMLTLAAVVIGNHSAATRHDARRLASLSAIGSRTSSFQTLVENGSGAYLVNLSIGSPPLAFPAILDTGSDLTRTQCAPCPACFAQPTPLYDPSNSSTFSKLPCASPECRSLPGAFRACDASDGCAYDYHYTVGYTAGYLDADTVALDGASLPGVAFGCSAANGGPMDKCRASWGSGVARCPSFRSSASAPDLLRLRGERDGRHGGAVHAARSESRGRRTTTSTSPASRSAILTSLSRATRSGSRRPDPGLRHDVRVPHRSCVRDGEAGVPVADGRRPDEGERCIVQLRPLLRRRRQRCRRPLRRRAVLSDACSALRWRRRAEYVVPRKSYFDGVEEQGRAACLLLLPTKGVSVVGNVMQMDLHVLYDLDGGVLSFAPMDCASA >Et_5B_043798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16258916:16263776:1 gene:Et_5B_043798 transcript:Et_5B_043798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDASASAAPAELREAHRLTGHTDRVWALAWNPAPGPGAGPVLASCAGDKTVRIWKRAPDGAWRCSDVLEDTHNRTVRSCAWSPNGKLLATSSFDATTAIWEYTGGDFECVATLEGHENEVKSVSWSPSGSLLATCSRDKMVWIWEMQPGNEFDCVSVLQGHTQDVKMVQWHPFLDILVSVSYDNSIRVWADDGDDEWHCVQTLTEADNCGHSSTVWALSFNHKGDRMVTCSDDRTLKIWDTSADLSQPNAGDHESWRHLSTLTGYHGRTIFSAHWSSEDIIASGAGDDAICLFAEEKSNMVEGPSYKLILKKERAHDMDDPKLLASASDDGTVKFYLPEQEIKTQNSGMLTSRILLEGRGLDALNISETCWRI >Et_4B_039027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7084277:7088008:-1 gene:Et_4B_039027 transcript:Et_4B_039027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSDKLLLEMLPHGLATDPSRALRCPVTSRVPSAPLGLVSSLAFNRGRKGQNKLFINVDRYTKHSAPLCNTRSNARITPLATASFGDMADSSTPIFPRIHVKDPYQRLGISREASEEEIRAARNYLISKYAGHKPSVAAIESAHDKIIMQSFFDRKKPKMNLKKKFKELTQSRAIKAVQGRFQTPRSKIIWQTAITFILLGVLTLAFPTEEGPTLQVAISCAANIYFIYQRIKSGWRTFFYGFGSFFLSWFIGTFLMVSVIPPILPGPRNLEVSTACVTYVLLFVSSTFLK >Et_4B_039977.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7008238:7008501:1 gene:Et_4B_039977 transcript:Et_4B_039977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCRPDGEYDPTPANVSALLAAADEMLKQRNVESVLFEGRRVGEQTNAEKLDWFAAQLVAEHRGRGSRIAPTVAFKQAPQKPSALG >Et_3A_027071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31936244:31938679:1 gene:Et_3A_027071 transcript:Et_3A_027071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGGRPLSLRGSLKALEADIHHANTLNQGILFPRAHAIHRAYGGACVQMRLSYSSMAPIILNLIQWMDCSCSLSYTLPSYLGLLEVLVYKVYVDEDASISTIERRASLKEFYAVIYPFLQQLEGNLMDKDCKEKGWCKGSVGGGRKLLADDDREDECGICLETCTKMVLPNCNHAMCINCYRDWYTRSQSCPFCRGSLKRVQSRDLWVLTGDDDVVDPVTLEKENVRHFLSFIDSLPLIVPDNMLLVYYDYLV >Et_2B_019509.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:9653972:9654499:-1 gene:Et_2B_019509 transcript:Et_2B_019509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNPTISRPGVSTIEEKSAGRIDQIIGPVLDITFPQASCLIFITL >Et_6A_047957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2627827:2630916:-1 gene:Et_6A_047957 transcript:Et_6A_047957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRDLSGDPPESTQLRIGDEVTWSDINGVYDRDDSLKENTNPKCLLKNHHHNNAGGGTSSQRFSGNLKPTAAPIIGLPGKLGGGQGARRHHPPAIFPKKAKTGGGGRQAKVAVPEPGSPKVSCFGKVGSERERAALRRRPPSPSQGTRPRPRAPACCGGFGFLMRRSRSRNSAVECVDQSPPPPPLPPSAAARRWEMEEEEEEAEAAAPAPALGGMRRFASGRRAADWAAEMEDDGRVARSGPLG >Et_3B_028016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31850737:31851567:-1 gene:Et_3B_028016 transcript:Et_3B_028016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGGGSGTTTCQDCGNQAKKDCSHNRCRTCCKSRGFDCSTHVKSTWVPAARRRERQQLAGSGSASSSPATATTAAVASASKKPRLLSSQTTTSHTSTSNATTPRSFDTTSSHQDASFRDNLPRQVRAPAVFKCVRVTSIDDGEDDYAYQAMVTINGHVFKGFLYDQGVDDGPGVPNMSELHLGGASASGPGSSGVREGGSSMLPTELYGGGGGGGQHMIGGSSYVNTMN >Et_1A_005925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15619187:15628116:1 gene:Et_1A_005925 transcript:Et_1A_005925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCITAANGSKSGGNLRSNVTLSSPEEQTTFKWTIDGFSSLLDKGEGCTYSSVFEIMGLNWYLKLNPRDKKTSKEKEYVSLRLELSQSSVKADVIVEASFKLFIYDRSYGKHIEHQVNHTFQTASTSSGMPCMISLSKLKSPFSGFLVNNSCVFGVQIIKVVTSKANTTMETLFVQKMNIFNEAKTCTWDIEDFFAMKNPGYSPEFDVGGYKWFISMYPSRDGNHLSLFLRMKKPNDLPKDSAKLVELKLSIKDQENGKHLIREGRFQASNNAPVWGWPKFISLEDFKDSSNGYLVKVKCCVEAVITVAGSSKTEYLSMYPSGSNNRGEFLSVYLHMCQPETSHESSRVLVELSLSIKDQETGSHKKLTDRCQFSSKEKGDGWGWEKFMSLEEFSDSSKGYILKGNCWIEADIAIIASYLKLSPMHKKHGVGIPYVALSLALRRSSLKPDYTLNAVFELSMYNHSKGTYRGCKGSLINMNAHSEKKCLIPLDELLKSSDFLVDDSCVFEKKPVVVSKKPITEQKLFLQKKELIKGAYTWIMDNYLDLKDPVVSPAFEVGGHKWYINMHPRGDQYSTKSLSLYLHLHDPSKLPSESGMMIEVTLSIVDQEHEKHNIQQGRFSFDGKNGWGWSNFIPLKTMNDPSKGYLVGSKCIVKADITIIGSSNNC >Et_2A_016337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23508630:23510160:1 gene:Et_2A_016337 transcript:Et_2A_016337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTVSCRLLSPASAPPPRAAQLPKRQATFAASPRHSVSSKFRVLSLLHDKPAPPTTESTESSQLQRLASVLQWGAVWAAVNAPAALAVTGEEDLDLLAILPPVAAFGFLYLFVAPPLIMNWMRTRWFKRRFVETYLQFMFTYIFYPGLMLWAPFVNFRKFPRDPTMKYPWSKPKEGTPLFKDRYPPIQTYK >Et_6A_047668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9368513:9377505:1 gene:Et_6A_047668 transcript:Et_6A_047668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEVVMVNIWVCVYLKRQTVLARPLQQFTKTATIVMAYELAFTKGEIINRMVSPLIEKYRTQKNIEENLHKLRQLLTTIHAALEAAEGRAISNSWLLRWIRNLEDSACQGGRVLRDWKHHTDEVSYALENSSNSFKRIKVATAQFLSCKEATIRIYDTLKKIEIVAASTSQFIELLKLECSQAVVHRPIIVSVSMHDRIIGRIQEKKQVIDFLLKPPVSQIRSMYIEKIGQRGNARNLYVIPSGYVLIIWGPKGVGKSTLAQLVCKDQIVKNHFSSVIWMCCREYCSKLDIVGMLCKKLDCTSYTDMDISLTVNIIAERLRTERFLLVLDGLSSYPSEMDDVLFILLGEVGKEARSSLQQCTNSLQVGCIGAVLFL >Et_1A_008635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9330115:9333260:-1 gene:Et_1A_008635 transcript:Et_1A_008635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNADGIKRYTPPVHRNRANNRRKSGDRADKANYPYINDGEKSIVPSLKNLPPLVPHEAFLSNVQNDYSQTRLVPLDGCSASEASQLLSDRWAAAMNLYNDQSVDSSEKPVMYSGSAGSSWGHLKLPHQMNFIEELRRAIDAQTGTALPDDTWN >Et_4A_033611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2584538:2585586:-1 gene:Et_4A_033611 transcript:Et_4A_033611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQVDRSRAGNISGGTMNMGTLDPKFAKKKVNPYMMAKSTWLPGVVQWWYRMARPSMNMFIQIFLTLAPSIIVKGLKRTTMGILSSSALQCYTISTPEGWTALLALSPGASSLSASPDISSSDFPLDSGTRKVHKNPSMLHAASTYSAFCTPIPGLYPASTCALSCDCAA >Et_9A_062042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19082056:19095874:-1 gene:Et_9A_062042 transcript:Et_9A_062042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSQNLPHVHIHNERIVADDDTHGVCIQWINNTNPRRAFLFSSIGPDSAERRLVLQRPAAGVLVKGEGAEDEGLLLRHLHIAKPPLADPQPPVRSLRLGVLLIWVRTRHWPHTAPRQLDKTELRPVAERVGGDGAPGQIDPPQPPGEGNHIVVQVYPPRPREQARQAHQLVPLPRLREEPPHDEGPLGPFTFIAELRDGVPVGRTVPAVGLGEDAFGVPGVGLLRAVDVREAEHLAGEGIADESRDVGVDVLADRLAFPGGADLGDVGGRRGPVRELEEEVAVAARGADRAVEDALACGVGVDAGLGVDEVRGDEEPGEARGLGELEERARRRRMDQPRVLDYRPRRARGGRGEAAAEAGGRGHGGGEGLAQRRQHFGRRRAVCIHWIDCTKQWGWSEATTGKELRKWHLMIQRPAAALLVKREQLDQEGLLSTHLSVTQPPVAHSHTATLDQLLHLLVTLLARKHAFSWQLEAAEHRPGPERVGGDDAPAHIHPPQPAAEGHHLVVQVHPPPRRQQRRQHPLVPLPCLRSEPAEDEAPLAPPWALAIFVAELRHSVPLGRPVPAASIGDDAEVVVDKVLLQAVEVRELEELAADRVTDEAVEELVDDLERGGLGEQVDGDVLAAGANLVADREGQQEEEALGARGVHAAALQVGAALLARMSVQAVDALGDEDARGGRGRGEGEIKAQGGRRESEAAVVRARRSWRPDADAAAQRERRSGRSILAASGTAARQSGDSPSAMGKDEKTRIKVVIVGHLSSGKSTTTGHLIHKLGGIDKQGMMRLAKDSAKVKKGSLKYAWVLDKLDAERERGITMGISMSRFETTKYLCTVSDTPGHHEFIDKLVTGTSEADCAVLIIDSTNGSFEDGMSGSGLTREVALIASAQGVKQMICCCNKMDATIPKYSRTRYDGIVMKVSSFLKNVGYDTSKIPFIPISGFDGDNLIEKSTNFEWYKGPTLLEALDQINEPKRHSDSSEKIKFQSSNGLVFQLDMKVAMAVAPNSLVIQDVIKDNIIGLVPVLNVDSVVLEKVVDYSIKHSMAGTCPYPKELQTWDARFVDVAPNMLYHLIMVSKSPRLPQSFLYVQLAARYLNIPGLYLISCKAVADMIKDKTPDEIRKTLNMRTVCLSMSTENAWLPRVRCMTVAFNGSMTPIRGLSSSRRSLPCAQSWVSGNRCSSVQQLPSSSRTNELSTKGSSWETSA >Et_7B_053386.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:14709357:14709842:1 gene:Et_7B_053386 transcript:Et_7B_053386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQVIFSHDELATMHAMFLHVEAMEETGSVDVQVKAWGQDVWETSYDVEDCVDAFTTSLRLARAGGGEQGQGRPQQNHYFLPHEPPVPSHHPHFQQRYKIDIVACGHSGNPSLYPYFPTLFTEETDLVGIARPSEEVKSWLLSRGHDLGILALEKWGKQL >Et_2A_017799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6329595:6338156:-1 gene:Et_2A_017799 transcript:Et_2A_017799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAACSWLPLVVLLVAAAAVGMTSAAEAAAPAFEENYVVQWGADGYHLVDHRTEVDLAMDQSSGAGFRSKSTYGSGFFHLRMKLPSGYTAGVVTTFYLISQPENGERNEVDFEFLGDKEGKPITLQTNVFVNGHGEREQRLHLWFDPAADFHDYKILWNPYQLVMFVDDTPVRVLRNLTGAVPGYAFPAKQTMLVRASIWDGSGWATDGGDTKVDWSKAPFTARYQGFGVDACATGDGSGSGATACDSPDLWWNGLEYRNVTNAQRKAYEGVKQKYMYYNYCNDTVRFNGNVPVECSYDFVPMASPRPPAVAGCVTPAKGAAFDDNYVAQWGHLVNQGTEADLTLDQSSGAGFRSKSMYGSGFLHMRMKVPAGYTAGVVTTFYVDIEFLGDKDGQPITLQTNIIVNGSGYLCPWLLLALALAAVAGCVTPAEGAFDDNYVAQWGHLVNQGTEADLTLDQSSGAGFRSKSMYGSGFFHLRMKLPAGYTAGVVTTFYNLTATVPGYPFPAKQTMLILASIWDGSNWATDGGEAKVDWTKAPFTAGYQGFDVDACIAGASATTTPCDSPTLWWNGVGYRNITAEQRAAYDGVKRKYMRYNYCADPTRFNNTVPVECGYDQ >Et_8A_057726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6452883:6453539:-1 gene:Et_8A_057726 transcript:Et_8A_057726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAPSDYRPTTLWAGPPAAFPLSQGNLETNPPTPPQPTMSTSAADDADDPHSDGSIMPDVLSKGREACYKARDAFYACVEKHADKKPTEIATMGLLYPSDCKKSRANFVNNCRPSWVKHFDRQYCAKKRVQRLLDGDEDRRGPISLPQPYTFKQ >Et_8A_057822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7823564:7827602:-1 gene:Et_8A_057822 transcript:Et_8A_057822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADAKLPPPALAPARRILARLSRSRLAAAAADANHLLVPRKHVAPLRAAVASYAGSLACGEGCPAVEAAFQVMRVAAISVALVSPVALLLLLVRAAVSDTQLEEEKAPTRRSVAAVAREVLCDPVMIGFLVSWAFGLLMLVGELLKMYSHVKGCRKDRIGTLISDMGFVGLNSLYFFFIHPIVALRLWRLWRKMRPHHLPGIAKRQAIAITLSALVPLYPT >Et_4A_032572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11419097:11422939:-1 gene:Et_4A_032572 transcript:Et_4A_032572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSLVLAALLLLVAAAAGRGVEAAATVPVSLILSGIAPCAAGSNINVAAVPVFPNAKVQLVCGGSVVASVTSDGSGAFVINLGNAVNQLTLLTALLGNQCNVVVVTPLATCNVNLGGVTGTLTAPVQVVTTATGGVVSIVGGTVFTTVGGVIPVVTGLFSTRLGKLVVTGVVPCNAGTLIDVATSPAFPDAKVELRCGGNAVARATTGRDGSFAIETDMTGALAALLGACQVVVDTPLAKCNATLPAAGTLVSSLQGPLSGMLSGVFRLAPAGFSFRMN >Et_7B_054396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2116725:2123156:1 gene:Et_7B_054396 transcript:Et_7B_054396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLAGAEREVLVEVVRFTQKNGLKGSDGGWKDFLARNDKKFGASVSDPKKRTRDVLLAFLQTFSKDFQKYFGKLVKRYKERSAIQEHMKDFPDDVSPEQKLVLLTAEHPEYRKNYNFPSYQQGWKVLRVGEVSDLKSSRAMLAIDCEMVLCKDGTEAVVRVCVVDNNLEVKLDTLVNPFKAISDYRTHITGVSKKDLEGVTSSLVDVQKSLKKLLTKGKILIGHSLHRDLYALKIDYSQVIDTAYIFKYANLPATASASLNNLCKSVLGYSVREDGEPHNCLKDAEAAMNLVIAKLKHGFNDPIEVAASNYVPESDVSKLLAHRIPVYLPCQELCKIFSGNPSIDEKTESRIRGEFYSTFISFNDVDEVEKAFEELDGQVTKDSGGRLQKQVILKRDNGDVVIFYVRKMVYGSRLNHSEDSKKRPQPQPTEDGDLKQENGDGDQPKKKRKDRILGMHGEKFSILTGEMDFGRMDSQTRPARMSVTESARVQDDLDASETAAIPETARLRRTATREAPPTRMGRPRTSVSLLRTQSSTHSCRGRSARLYLCPCCRKTKKNPMVELTTTLFLFQGKRSAGVEMETPTAVKCGCG >Et_10B_003274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18436522:18441210:1 gene:Et_10B_003274 transcript:Et_10B_003274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRPSSDPESTPRMEKRQRLLAEEVRARTLASYEAFLLDELRIKEQWRKEREDEEYYDAIARALVPAATKAIAGLHKPRWGETREEHGCTICLQDLQIRQKFRMMPCCGHSFQEPCIFEWLVMSRICPICLSALPSEEEQRLLDEQAQYAQDVDAETDEPGMYSYFVSLWK >Et_4A_035114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9612214:9617558:1 gene:Et_4A_035114 transcript:Et_4A_035114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPHPSSLHPPSLPPHPPSLSLSSRPSSPSASLPRFAIQHAPPHAAADPRARGADSSPALPAGSGISAPVGVNRGPVPPRLRFLSPTVRRQFSRGKASTCCFRRNSAGLEPGSVDSDLVPARFGSDLWVWCCVGMGTGEEPPARRENGGRRRRWMGDEDEDDEEYVADEEEEEDDFAEELPASSAGEDGEDSDAEYQEDEEEEEEETPRPAKGAARGRKGKMDPATARGRKRRYEEDDDYEEEPDEDEEVEEYHEEIEEEDDDEEEATPRPKSAAKCARRGHKSELGRSNRQKFEEDDMDFEPELDDDDEEEVNEDIDFHPEVVRSNRQKFEEDDMDFEPELDDNEEEVNEDIDLDPEVARSNRRKFEEIEEEEEEDPPRPKSVAKCARRGHNAKLARSNRRKIDEDTDFEPELDEEEEEADEDIDFEVDDDEDEFEDEDEEEFSARSRKVTNPVKRKSASKRRSLKKKKANKGSKVSKRKRKTGSAKAKKSAPVRRRKRFLVDDSDEESEDDDDFIVEDHIEENRPPRKKARTGTNKRTELDRPSVVGEETWPNLDSDTSEFEFVTSDEDRDDAGTTVVEASTVRKGKKKRKFGSESSSDSEFIVSDKELENLKETEPPKVLPELSTPIRKISVSTRHGEDKGKKKKELEEAGIQICGICLSEEQRATVQGVLNCCSHYFCFACIMEWSRVESRCPLCKQRFTTITKSSKADLGLGVRKAIIKVEERDQVYQPTEEEIRRWLDPYENVVCIECNQGGDDSLMLLCDICDSSAHTYCVGLGREVPEGNWYCGGCRLGDEGPSHSRIPHTVGYERQSYRNHVDFSSVGFGIAAQNGTYDRASSINRHRSLQGIDLNLSPREAPGEIHAAESQVSTDSVSTPSGTRATVSGRRQIHRYIRILLSRPRQPANRLDDMLPNFGQRNGAVPRTESNHHSFRSSSEANTSQIRPDGFQNQYNGLPFAPSMPLDDDFQHIEGVKSNLKNMQYILLELIHAHYSEAEKKKKRKAPRAAASGHAVGSLARSGDEDCRVVDVALEVMRVVGMSFTLGSPVALLAATRLVLWLSGLSSDTEME >Et_4A_033023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17080636:17083545:-1 gene:Et_4A_033023 transcript:Et_4A_033023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATTAMAMATASPTAPATTTPFSSFPLGFRHRPQSFLCSSGRRLLPVPKSSSWEEAEVEETEESAAGEEEDEEDEKPRPEPVASSQFQFAAPPEGYVEPASFDELPPESPEDVAAAYESLYGPAFSGETVMGNNVYEVKVVDPIDMDREQRPNDDFSERVVQVNRVTKVVKGGRQLSYRAIVVVGDMKGHVGVGVGKAKEVSEAITKAAMNGRRNLVTVPLTKYCTFPHRADADFGAARVMLRPACPGSGVIAGGAVRVVLEMAGVENALGKQLRSKNPLNNARATIKATQMMKQFKDVAEERGIPMEELWK >Et_2B_022472.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2338743:2339033:-1 gene:Et_2B_022472 transcript:Et_2B_022472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRTSKLLAQPKKAAELDTAFSCPFCNHGGSVECSIDRKHGIAEASCFVCKEFYATTAHELTQPVDVYSDWIDACEKANEGVRVSYAKDEDM >Et_5A_040139.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:14711849:14712160:1 gene:Et_5A_040139 transcript:Et_5A_040139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFAAAEAARLPNGEAFGVQCLAASYNVGWADAGHRLLAEHGLAFPPPNACTTAEFRALAKRVGRERRRAAPAVAGMVLNTCRVLEAEFLDALAEDT >Et_3B_027425.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:15662454:15664330:1 gene:Et_3B_027425 transcript:Et_3B_027425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARSVSCLKPLDVLDDFGELHRVAVDAVRPRPVGVGRRLDALPGDAVAVEAGAEGDHPDAVALFHVSLGLDVGQLIQHRAAGRVPEPVQRHPRRLDVVVGEAEAVLHLVDDAAAPRVDAEVLERRGEVRAVEPHRGAPAQELPLEEGHGDEDLLRDGEHEGPEGGDVALERVAGGGGEVLGEADPRVPLLVLLLEHAPVVCVPRRGDGAHDAAQLEPRALGGVGQDHGGRAHAEEAVGQQHGALRADVVLRRQDLRGHDQHVCARPRHLQEVLGEADGDQPGAAPHPRQVHVLHVGAEPVPVDDHVREHGRRREDAAVDDEHVDLRRRHAAPCEHVVDGGEDDQLGLVPGRPEAAVGGHVVVRLGHARLLPQPGPLQQPQREADALLVDKVRHQVRVLLARRERRPVARLGTEAAVLHQVHVLRAPHATQRGDSRGREQGQQPVDGTDRPRLRENLVGARSLEHGGGRGHGGHREEVVYDELVHGL >Et_1B_012201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29959782:29967306:1 gene:Et_1B_012201 transcript:Et_1B_012201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMRRAAALGARHILAAVSPSSRVVPRCHMSANAGAALERIRAAGLLRTRGLIGGKWVDAYDGKTIEVQNPATGEVLANVPCMGSRETSDAIASANDTFHAWSKLTANERSKALRKWYDLIISHKEELALLMTLEQGKPMKEALGEVTYGASFIEYFAEEAKRIYGDIIPPTLADRRLLPVGVVGAITPWNFPLAMITRKGALNVVMGNAPEIGDALLQSTQVRKITFTGSTAVGKKLMAGSANTVKKVSLELGGNAPCIVFDDADIDVALAAKFRNSGQTCVCANRVLVQEGIYEKFASAFVKAVQSLQVGNGLEESTSQGPLINEAAVQKVEKFINDATSKGANIMLGEVFGPVAPLVPFKTEEEAIQMANDTNAGLAAYIFTKSIPRSWRVSEALEYGLVGVNEGLISTEVAPFGGVKQSGLGREGSKYGVDEYLELKYICMGNLN >Et_7B_054110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15530127:15532983:-1 gene:Et_7B_054110 transcript:Et_7B_054110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SMERRLCHTNMVPRDFSFEMLEKITDGFSRENKVGSGGYGDVYKGVLHDGEMIAVKKLNPTMLRLDDTKFMQEFNNLMTVQHQNIVRLVGYCYESRHKHIEANGVYHLAKIEEKALCFEYLPNGSLDKHLSDESCGLDWCTRYKIITGICEGLNYLHGGLNKPVFHLDLKPSNILLDENMEPKIADFGLSRILLETKTHISTVTMEGTINYMPPEFITKRHITNKFDVFSLGMIMIQIITGPEGYQEKFLQMSRQEFIDLVVLARVGPWGGNVGKIHDIKVAPHCLESVTIWGTDIIDAIAFSYSKITGKKHNIGTWGGPGGYTNKASEGIQFGPSEFLVEISGTTGRYIAPTDVVWSLKLVTNIGSYGPFGKAGQTPFCISVPDNGSIIGFFGRSGRFLEAIGVYAVTKIGPWGGNGGVPKDIEVNRPHRLESMTICSDAVVRSLTFQYSDVKGEQHTTERWGGPGGNANTIQLGRFDHIKGISGTFSRYDKSPSNVITSLTISTIDSKIYGPFGQGGGTKFTVPVDINGTVVSFFGRAGSYLDALGVYVRTY >Et_1A_006834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2797962:2800462:-1 gene:Et_1A_006834 transcript:Et_1A_006834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLTRRNAIRQSAYVPRPVGPAPFSPSSDQPDVLLHSSRTEETDLEDGDDLLGATEEAGEVEEGENRTALSEASSLTAAMAVKRSITNWRKLELVGAGSFGKVYKAVSEDGFVFAVKEASLIGPESYAKQSASQLEQEILLLSQLEHKNIVQYFGAKKEETVLSIFLEFVSEGSLVSAFEKRQLDESTVSAYTRQILAGLSYLHHHNVVHRDIKCANMLLELNGTVKVGDFGMAKQIKVWKQKRSCVGSVYWMAPEVIREDPYGRSADIWSLGCTVLEMLIQRPPYPDEDWVSVFYQIGRGQLPPIPSSLSQLARDFLRKCLRVNPDDRASADELLAHPFVAPADQG >Et_2B_020430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20016115:20018766:1 gene:Et_2B_020430 transcript:Et_2B_020430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSKAKDAKGKDAKGKGKQAAGSSGGDDAGGKGGKGKGGKSADGLGTCTYVKARHVLCEKQGKINEAYKKLQDGWLDNGDKVPPAEFAKIAQEYSECPSGKKGGDLGWFPRGKMAGPFQEVAFSTPVGATSAPFKSTHGYHFILCEGRKN >Et_1B_012526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32862914:32872629:1 gene:Et_1B_012526 transcript:Et_1B_012526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPEDEGRYQTYSSDRNCWPSHLEHKFQSSSLTKQEKNLDSADSSLDFREADLSFKASQTLWSTGSLSGPIPNGFYSIIPEKRLKERFDTIPSPDDLYALGIEGFKAEIILVDLERDKKLSALKQLCTALVKGLNSNPPAVIKKVAGLVSDFYKRPNPQLSPARTSSDDLSHFLENRGVQLVGQIRHGSCRPRAILFKVLADFVGIDCKLLVGIPKDESHEYDDSSKHLSVVVMMKSAEFLVDLMRFPGQLVPFSPKALITSHISAAGESDSADYDSCRSKMITIDPSNSSHSDPSVANAFSGRSRRKVVNENQRTASSSPEHPLARARGRSILGDRQYGDGVAVSRSVGASTSNTRISRRRSISITPEISDDIVRAVRAMSESMRNNRLSREHNDGSPGHSNGSQKYESVGDSYDKEVSARGPNALEGLRRQINAKKAVSLPSSPHRSGIHGSELGDPADFSAEDLMSTWNKVLQSSPFLNKPLLPYEEWHIEFSEITVGTRFFGEVFRGTWNGTEVAIKVFLEQDLTTENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSLVTEYMEMSSLYNLIHSRAQRSKLHWRRRLKMLRDICRGLLCMHRLKIVHRDLKSANCLVNKHFTVKICDFGLSRVMSTSAINDNSSAGTPEWMAPELIRNEPFTEKCDIFSFGVIMWELCTLSRPWEGIPSVQVVYSVANEGARLEIPDGPLGTLIADCWKEPENRPSCQEILTRLLDCDHTLC >Et_3B_030221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31398753:31401948:-1 gene:Et_3B_030221 transcript:Et_3B_030221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAGEAQQQPPDGAAGGAGGSGGGRGHRCCCGAGRLVRLQCVAALVLGVAVLLSAFFWLPPFAGRGRGAEGPDPGDELVDDIMASFRLHKTVPELSGNKSRLELDIYEEIGIPNSTVVVKLLHPLVGSNWTNVIFSVVPYPKNLTISSTWLSILRASFMSLVVRQSTLHLTESLFGNSSSFEVLKFPGGITIIPPQSAFLLQKPHATFNFTLNYPIYKIQDRTNELKDQMKAGLLLNPYECAKRI >Et_3B_029501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25508403:25510562:-1 gene:Et_3B_029501 transcript:Et_3B_029501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESARVVPLLVVSEGGRVHEIQTFAHYVANQIGFDDLNECPHLHSLAYDYLKKNEGYEQNLLAFFHNKTNPDALLVKLIEELDKCILGYFSFHWKWATHVITQVLTSEQPRRRKLRRMVMEATRKMRFERVTRELKVTRLFSTLMEELKAIGISCHDNRPGSTEVMVPAAHSDRSPVLLLMGGGMGAGKSTVLKQIMKEVFWSGAAANAVVVEADAFKESDVIYQAISSRGHHNDMLQTAELVHQSSTDAAASLLVTALNEGRDVIMDGTLSWEPPSPWRAVHRQRYRMGVGYKVADDGTTVVEKYWEPVVPEEDDGGAGEQPQQQHPGGARKPYRIELVGIICDAYLAVVRGIRRAIISGRAVRVNSQLKSHKRFAGAFRKYCELVYSARLYSTNTIAGAKLIAWKDKDSRLLVDVDEIGLLDRVGRINEEANCAHELYPDGHPTDGAGSVWEDLVGSPVRASIQRERIFFIF >Et_3A_027114.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33339683:33340261:-1 gene:Et_3A_027114 transcript:Et_3A_027114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGSYLMTSSFSYRKLKKLPAAAALDEEEHGQQQAEHPPPAPAPATTSIQDSYHSYYRALGAAVARRRTATGRAWGSRRRGRRPRLRISSLARALRRKAAAVGGRVRASVAKVAARLREGRPYIGDLFAGNYMFMQVAPSPTTAGLDGDKGFVPFADYYYATLMKNRPPAAGAPLQVRHAAASAGVSDKVV >Et_4A_032631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12025615:12026645:1 gene:Et_4A_032631 transcript:Et_4A_032631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLGGTIPESFGSLTDLQVLNLYENDFPGEVPASIAQLRSLVILNLFDNKLTGVLPAELGKHSPLPRDIEVDNNDISGPIPDGICDNGKLWILSASNNSLGLVRAALNGSIPASLVTCPSLISLGLQDNELSGEVPATLWTETKLITLLLQNNGQLTGTLPKKLFWNLTRLFLNNNRFRGRLPTSAPRFQKFHASNNLFSGGIPAALVSGMPLLRELDLSAN >Et_3A_023435.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27516781:27517098:1 gene:Et_3A_023435 transcript:Et_3A_023435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQRGWVRTYDSDLPAPTGCRHAADGTAAAAHGGFVKAPAKPTNHSKFTGSEPYKAHCKAKGAKGARKFRHDEVKACCLDLLQEDDVNEYEGYDSYDLLLD >Et_10B_003824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6453431:6456013:-1 gene:Et_10B_003824 transcript:Et_10B_003824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLAVLVLVACPEGLERSRWLTAHRQRGLRVCRWHIRGHEAKEFEHHQCDESRAIRSDAIATGCGRGWRWRIILGFE >Et_7A_050894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12007892:12009914:-1 gene:Et_7A_050894 transcript:Et_7A_050894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKVEGEEREPEREGEAEEVEVKFRLFDGSDIGPVRCNAATTTVAALKDRVVADWPKDKSIIPKTANDVKLISGGKILENDKNVAQCRAPFGDLPSNAITMHVVVQPSSAKSKPGKYFSLILMISLLVTVGLILPTL >Et_7B_053826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12519490:12522148:1 gene:Et_7B_053826 transcript:Et_7B_053826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEDDGRVLHSPSPDAITLVLVGKVGSGKSATANSILGCYAFESEYSYASVTKTSQMWSTTVHDGSTSTNRTINVIDTPGLYDMNMTEEDTRKEIAKCMDMSKNGIHAILMVFSAASRFSREDADTISSIKMFFGDKIVDHMIVVFTHGDHVEESRWKNMLANEGAKYLQDVVKVCGNRIVLFDNRTGNAQHQQDQRKKLLDVVDSVISKHGGLPFSNQMFNQIKEAHERQKDMDVNGYSAQEDGYIKNITKMVEEKLNSIIENLQKQLLEEQNARLKAENEVAGSEEELRKLRERLEKAQQESDKARQFYEKFYWMECAIM >Et_1A_005885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15170771:15173563:-1 gene:Et_1A_005885 transcript:Et_1A_005885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEKPAAVLRAEELVEREMSGRDASHDAAHALRVRDLALSLAAEEGLSAPDRLLTVELAALLHDIGDYKYTKDNVEDMSIVDAFLDELGLDEGKKGEIVAIIKGMGFKNEVSNKLITEHSLEFAIVQDADRLDAIGAIGIARCFTFGGSKNSALHDPKILPRDNLSKEKYMSKGEKQTSINHFHEKLFKLKDMMKTEAGKRRAEKRHKFMEDFVAEFYEEWSGRA >Et_3B_031172.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:19836009:19836587:-1 gene:Et_3B_031172 transcript:Et_3B_031172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRSERHKTHSEFASPSAIDPTAPPQHHRSVASPSILMLMADHHVQALYGDEGVQQQQQLVRERGRRIKAAAELGLARSSRGRQWGRALGRQALVKLPKDAGAVLAQQPLTKKATSTLEAARGDEEAEEETVMEEKVEQLRQLVPGGEDMEVEGLLEETADYIAALKAQVGVMRALACMLSGAGLDALPDK >Et_7A_050906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12270387:12274135:1 gene:Et_7A_050906 transcript:Et_7A_050906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGRGGQEAFEARVKRLFGSGLFDAVPGSSFPTASWSVASGDVERQRWARPSEARDEEEEAAAADRADTPCASAFYDANGCLRGRRRRRRQDFEDDLGELGEDDDDEEEEDEEEERRKTAEQDEVEGVRVSIGLDPTLDREEEEDKYDRAAFGREDAAARVYMKDIMDDGINMSINSIVPDLLDDSIEEVCRFSKDPRAEIRAASARLREDDGSAKDGDSRTIGMEVKKAEEDINVKPILKRKEEQIDSKPRKRVRFDASVKDPESEISELNEDSPMVPQSMDVVTEKENTSTPSESPGVPDFVKNPSKYTRYTLDAADCNDESNRRALTDLHDLLGRSDPNKTHHEAPVEIPSSVTFIPRKKSVDAMVVDEGPKTSDSNSSVIGMMAGGAEETDQCEMDEDDVKASVPPPMHAKMSSRRYRATIIYCAQDSYSGCYSLSAILYRASATLPSTPSKVLAWSFLCSLSSWLLMFCASNCAATIRPLRSRIWINWPCRSVVVLPEASSPLRCLFCPQSSDLLVSGLIEVIGSSNQWNSKDFVQSLKTFLFFLPPGRGVIN >Et_2A_014806.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:16233553:16233714:1 gene:Et_2A_014806 transcript:Et_2A_014806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQDGTVTKTVQIVEVRSSAGQPEEEGVLKPVRVVHEIPAKEANKDAAVKQD >Et_3B_031487.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:31376062:31376520:1 gene:Et_3B_031487 transcript:Et_3B_031487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRARGRLLLLHIGLAVLALHHAACTAAALRASAISAAPEYPLPRLPRQHSHSALPPAPAPALSQSLSPDIMPVLPSPAEDGSAVPPSDAEPTIPSSPSPPNPDALEPNSAFAPFGNAPAVAAQSQAPAPLRAASVAWALPVGLLAMWLV >Et_10B_003211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17709515:17715473:-1 gene:Et_10B_003211 transcript:Et_10B_003211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGELLSKILLLLFGYAMPAFECFKTVETRPNDAHMLRFWCQYWIIVAMVIACESVISWMPMYGEIKLAFFVYLWYPKTKGSDVVYDTFVRPIVMQYEPNIEQRLLHLRAKSGTLLSFYVKNFADKGTAFFMDVLRYVVSEKPEGSNAEQKNKKSGWSPFATKRRPPSPPPQESLFESNPEAAVVAEALRATLGAHPRRAPNGKHY >Et_5A_042997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9455856:9479450:-1 gene:Et_5A_042997 transcript:Et_5A_042997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSLSLAVAVVCALIVLCLRDQLSYFFTDGEAVARAVSDLCPLLAITLVLSGVQPVLSGVAVGCGWQAFVAYVNVGCYYIVGVPLGVFLGFYLDVGAKSSEDRGRLKRHGDRWNPDADPNLAVGNLQNRLERGGDLLDATYSISSFFPSRESLVEKAKARLDKWEDKKVSYSIEPTHVTSGLCMLTRA >Et_6A_047065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24781642:24788148:-1 gene:Et_6A_047065 transcript:Et_6A_047065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDGCALVLGGLEWTFEGADPSVMIDMVSAVEELSGLTTKELSEMLKESDNFILQSKTEDGGPKQVDMEKLVTSLPLHLLAVCLELGQGSDLAYVLRGMRFLHSLSELATRHTRLEQVLLDDVKLSEQVMDLIFFLLSILAQRKKENRVGAAPFVHASLVATSLHLLTTYFSSQWHELVHIMLAHPKVEIFMDVAFDSLHEDVRLLSLRLSSMVGFGSFPVAPLECRLTHFICQQCEASLQFLLLLSQQKLFRDRILKNKELSRNGGILSLSRTVLKLIVPECLRGSTDIVASVSRLKAKILAILLQLCEAESVSYLDEVATSPNSMQLGHTLALEVLDLLKTAFGRKQKHNVDSHDKSYPMGSVLISALRLVDVFSDDSNFRSSFMTNTVPFLTQILAIPHDEFVSSWCSVNLPVIEEDANLDYDPFSAAEVALLASDDTLTEAKANYSCHFRSGSMPSIAYAQTRTSCVVKIIANLHVFVPNICEEQERDLFLLKFQEYLVSEGPKQSADHSTSADLTAATVCRNLGSLSDYAKSLIPNLLNEEDVQLLSDFSEKLQSWCKSQVGQGTFQVVQHATSSETKEDLHPLQQPLPTMASARDSSMNSIPKVEQSMEVSTPAPLIKQEATGKEETPRNSATKNGGFLQNAVGQDLVHLGVARTTSAGYTPVSNVLNTGHQRIKMDLDPASSSAEHFKTPELTKESGLQEDEKGEANMYDDRQPKRRKRTIMNDEQINEIEKALVDEPEMHKNTPLLQAWSEKLNNRKAKLARIAKERGVPYDGEIADKPSTPATHLGESSESAGEDSYLPPARVMTALGISKGSRLVSPDSSEPSRPLTRSFSFEPGRLVILIDGDGKEVGRGKISPVEGRGQGKSLVESRVCVVDITELKIEKWGELPHPSEASGRTFQEAESRNGGVMRVAWDVSRLSPVV >Et_7A_052893.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:23918420:23919010:1 gene:Et_7A_052893 transcript:Et_7A_052893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDFLSQRKFPVGGDPVRLTLAVKTEAGAGGKRKSPGGVGFPPKRATPPGFSPSFDAGTMRSGPGLHPDHIRLGRVPPMVATSNSRSQSRCGRATRCRSGTPWPTATSRTPSSQPCCGNRTTTWPGSMTQSFGSKRSARAFLMARLNAATADIARLKDAKAKEMRDAKMEAKKDVVLSLSPHLNPVLQELPKLD >Et_3B_031658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:779159:788921:1 gene:Et_3B_031658 transcript:Et_3B_031658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGEARHLVGAAPVSFWHHQPAKVTEPGRGEQRQPRRSLEKVRAVRGGPSYNLDIAEEVHEEEHRRLLEHKPAQAGYRGPGQEAARGAAGAPASSLLPRFASSSRFPRPDPNLFDKAELERFEEELFTSMLPSPSDQAAMDRNLVMECLRLYNSMHPGNEYEPAPGVVTAYSSPQNGSCWTHGNFVARPKRSGCFSFLPAPRVLFFYELVTKDDFKGVVSCTPLNEPVTEACSLLGFRLGWGTRRNGRFHGSCCHLEARFWAETVRIITDGVAFLEYVATLLWDESDIPRFFSIPDFFSILLSGVGDNISLCLFFITDGVHPKLSAASRPSEFSSSAGQPHNLKGVGTLSMADRIKCLMPNGLKSCRSPDGTRSQLTNGSSKLGNLGGLFSGVSDKLSEHTKGADTDMSGWRSAATMRNHNFQDIQSEGGMGLEPIILKPCLNRSGQYSILHSNSTHAQHQTK >Et_2B_019086.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5718268:5720066:1 gene:Et_2B_019086 transcript:Et_2B_019086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNMVRLFTLLAAAAVAMLVLSPVPSASALPPDLAKAIAKLPNPWSAFQNLTDCHFGEQKKGLAKLKNYLSHFGYLPELPSGFTDTFDADLEEAIKMYQRNFRLDITGVLDASTIAQMVKPRCGVADVINGTSTMAPSSSGSAAHVHGRNLYSYFPGAPRWPRRKKTLRYAITATSATAIDRATLSAVFARAFARWSAATLLNFTETASASDADITIGFYAGDHGDGEAFDGPLGTLAHAFSPTDGRFHLDAAEAWVAAGDVSRASSDEAVDLESVAVHEIGHLLGLGHSAELDAIMYPTITSRTRKVELASDDVNGIQSLYGGNPNFKGVAPPTSSREMDSSAGARSRPWNALVAVAAAVGLAVAM >Et_6A_047141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25862016:25862515:-1 gene:Et_6A_047141 transcript:Et_6A_047141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAKAALVLLSVLLACLTTSAKSCTGCVMQQHARHVRSLLAGEEEGAGATPESPSTGGGGGLRRLEEGGDGVADKTTNSSKLTIVLCIRRDCLKSVSSCYCCGMLPDTPCYLTKEKCRSVCPHPQTLRRALPDPQRFVSHQLSLLQDCDIC >Et_3A_025065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26512932:26516011:-1 gene:Et_3A_025065 transcript:Et_3A_025065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SIDHPCTPVAGDCIALIVVIKLSQDFFKSRGRTMQGISICSSVASPRGTNCRTACVARNDLRLPCEAYSVSYGACSGHWHVSKLHMRNYRRRMKTTMKTNAKWLFGAGGDGRTNDARLERSEAANEDILIFYFQMDLQTRIQYALNIEQFDVAKQLREKLTEIETEIIRQREAKRGSSKTEAQDKALNLLHVRADLQKAIESENYALAAGLRDEIAKLEAESLAVSAKALAYQNVKYAFRLGQKVQHKVHGYRGVICGMDPVCCESKSWMETANVEKLSKGANQPFYQVLVDVYVDPELLVAYVAEENLLAAEASEKGRFDHPYIEFLFYGEDTAGDFIPIKQLREKYDQPRYEDSGDETDDNSDS >Et_7A_051850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25574023:25577943:-1 gene:Et_7A_051850 transcript:Et_7A_051850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELICDRTHTFVFFQAPLLLCLLSVIIAWIYSEILEYRKSSSHQKVDPGAQLDNQTIEEYEKAALLGGLSKSPSTKFQNMSTKANLIRFLKLDRSFLLDNHAVLRAMAEFGLILVYFYICDRTNIFQESKKSYSRDLFMFLYILVIIASTITSLKKHHGNSTLSGKPVLYLNRHQTDEWRGWMQVLFLMYHYFAASEIYKAIRVFIAAYVWMTGYGNFSYYYNKKDFTIARFAQMMWRLNFLVAFCCIVLDNSYMLYYISPMSTLFTLMVYGSLFLFKKYNEIPSVMAIKITCCFLTVILIWEIPGVFEAFWAPFTFLLGYRNPEPTKANLPLLHEWHFRSGLDRYIWIIGMIYAYFRPNVERWIEKLEESETKIRLSVKVSIVTISLVAGYLWYQYIYKLDKLTYNKYHPYTSWIPITVYISLRNCTQQLRSSSLTLFVWLGKLTMETYISQIHIWLRSNVPNEQPKMLLSFIPDYPLLNFMLTTMLFILISYRMFTITNLLKEAFIPTRDNKRLYQNFLAGIAISLCLCCCSSLLF >Et_3A_025486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30164211:30166628:-1 gene:Et_3A_025486 transcript:Et_3A_025486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTAMTVDFLRARLLSERSVSRAAKERADELAKRVAELEEQVLAVTAQRRQAERAAAEVLAILESQGFGGHLSLSDDADDDLGTEQDGDEDEDAKSRGDTARVSGEEKDSAAARGEAEDAQSGTAQPGGLSWKGRSVSPRKARQLTQKQRRSFFYLLSSSDSSSPKYRMGQSCRKNKRRLELSNDGRPASPGEGGGDAVAAESEKGRRDGSDCTYDGQDDMDGEVGGGERSSGDGGGGQYVIRYEKGGEMERVLERQAELIGQYEEEEKAQREWEDKYNENRNADKVAAEAEHKPCQIRNGEEHSNQSDSQAAPCEDEVLPNGSISESQENGAQQREANDKPHHDCGQTASVSAQESSSTVTKQSRNPGDENSDGDSGNARPHQRCSIKTPSERSPSSDTLNSKVSDWSSSRFYDHTDRDSQLDTRSYRPALSSSNVDVGGVLEALQRARISLNAKLSKPVPPSQVMLALPAPGDEFKEDYDLPADEDNNSYREERSSSSPARQEILALPAPEDYHEFHDERMDLSVNDTGISAAENPNNSSPPREEILALPAPGDDYRREIEDYMTIPVCTPGLFRLPTDSFPSDQTMFSRKACGSGFSLGSAATPHATGRLSNPGAHNPDAAEAPSVSRDDSGFSALQCYDLYRSVPTLGRCNSIPDFRMHDTSFLSGVPGFGNADLFMQRGVDYTISNKWMLQ >Et_4B_038852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5287715:5291311:1 gene:Et_4B_038852 transcript:Et_4B_038852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGEVDHGNEMFHGHGHSDPVIDELRRLENLLREKERDLGQAHSEIKGLKVTEALKDKAISELSKELKKQDEKMRSLERQLEQKKDEEAVPFDAIIAPLESDIKKYRHEIAVLQDDNKALERHLKLKEAALVEAGNILRSALERALIVEDVQNQNIELKKQMEIYHEENKLLEKSNRQKVLEIEKLSHTIGELEESILATGDVANAVNFYKNQASKLKEDKKTLERELARAKVYVNRVASTAANEWKDDSDKLMPVKRWLEERRLLQGEIQRLRDKITIAEKSAKIEAQLNDKLKRRLKSLEEDMRNETSNSNAKEFSKVTPKRSTSQPRQPNTARVSHRSYETTDRKRPISQPRASMAGKVLKQPNSETEPAEKIRSVKLLDSPRARNVVGKGERPVKNHLWAQRSKVISDSEKENNEQNTNSRPHLNASHGECGVPDSESPNAMEGEGNIHASDA >Et_10A_001636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6259818:6265482:-1 gene:Et_10A_001636 transcript:Et_10A_001636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFTPSQAHAASHHHAAHHSAATAAAATATATARLHASAPPATASAAAAALCPPPFRAAASPSAGCPPVQNPIFVGPGAPWVQPQRAAAAALGPEFRRARTTKTISKRSRGNGAQDRPRAPSAAVARCMEKLLRVAPEDRRALGAALSSFRGDLVAPEDYCQLLRELGDRDKSAPLALEVFYAALPLVGGGAVDKGKLLTAAIGALGKMGRPELARRAFDTGIAGGYGNTVFAHSALISAYARSGLATEAMEVLDSMKSAGLRPTTVSYNAVIDACGKGGVDLRFTLGYFRQMLQDGLCPDRKTFNSLLAACSHAGHLEDARTVFDEMIHLGSGRDIYTYNTFVDAICKCGNMQLAMQVVLDMEANNVKPNVVTYSTLMDGYSKLEKYEEALKLRQKMKSLGIQLDRVCYNTLLALYVKTGKYEEIATVCEEMEKLGIEKDTVTYNSLINGYGKQGRLDMNGLVECALSLLDEMTKMGIKPNVVTYNTIIDAFGKSKILTEEEPEIVGVYGGQIVRAYNPVTRGGRSTIDVRMRRSQELFFILELFQKMVQQGVRPNVVTFSAILNACSRCNSFEDAALLLEQLRLFDNFVYGVAYGLLMGHREVWSQARSLFNQLGCMDSSTSSAFYNALTDVLWHFGQRQGAQQIVLEGVNRRVWENTWSEFCLDLHLMSCGAAQAMVHAWLLNVRSIVFEGRAMPEFLSILTGWGKHSKIAGSSTLRRVIEALLLSIGAPFQVERFNIGRFVSPSAVVAAWLRESGTINILLLQDERVQHANTSNLVPSIDMGTARSFVISEEKVTKIVAGKACKLQKQSADQKKSTIRNLKTPTKTSNINGHQNKTCTPYVD >Et_9B_064950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1995286:1997127:1 gene:Et_9B_064950 transcript:Et_9B_064950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFACCGDEDTQGVPDNRNPYPGNHPARNDAYRAADPTPKGTQPVKMQPIAVPALPVDEIREVTKGFGDEALIGEGSFGRVYFGVLRNGRSAAVKKLDSNKQPDQEFLAQVSMVSRLKHEHVVELLGYCADGALRVLAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWAQRVKIAVGAAKGLEYLHERAQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGDYPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARAANPGEHAGS >Et_1A_004621.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23496730:23497153:-1 gene:Et_1A_004621 transcript:Et_1A_004621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWQSRTRVVTSDPTSLALLVFIANMRNHIGAKDGYYGNCVVSQVVAASSAEVTSGTITDVVKLIKSAKEKVPDMYKDGEIDGTRGISMEQLPMLSGYNVLYVSSWLNLGFDATDFGSGRPARVIGHMDG >Et_3A_024006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1574955:1596013:-1 gene:Et_3A_024006 transcript:Et_3A_024006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIATGGGEGDAPPTVPHLDDGIVAEILHRLPTKDAYRCTAVCPAWRAIISEPAFLCRHLSPRPLPLVDEGPYALILQPRRKVGYTHLTVVPTDPDDRVVLNLPLDPKFTSKPVESRDVAKTSSLDQPAAAAADVEEYVEFLERTVPMLDISFVASHGRLLLGRSRSRYYVCDPAANRWLVLPPSSIPPTRDAARGFHYDLDAAAATGRVSFTVVLLVRARRRRVRVEAFSSATGRWETTVLAAPSVARRLGGVASPGIHVGASCFYWLCRRRGHVVRYDAARGRASVVREPPEAEGSKGRVWRSLGSAGGRLRLCAFDIRDEESGNMTPHEDVEGVHGVWVLDCDGAGAWRRVHEAVVDGIQTWYFHMLYDHEIALDYAGACSDYIVVDKENVLLRYDLESGDKVELASLCRADGKLGALYGRFQAFPFFSERSSRSRRSSAAICPRGRCPSSTTGPTPSSSSHSANYAIVVDKDGFLLRYDLESCDKVGLGNLHGEAPLTVPHLDDGVVAEILYRLPTKDAYRCTAVCPSWRAIVSEPAFLCRHLSPRPVPLLDDGPYAIIVQPRRKVRYTHLTLIPSNPHDRVVLNPPLDPKYTSKPVKSRSQKHDAPVVHDEPASKSAPAPPVEVEDYVEFFERTVPMLDTSVVASHGRLLLCRSQSRYYVCDPAANRCVALPPSTFPPTHDADRGLHYDGDAATGQFAFTVVLLVRIPQRRVHVETFSSTTGRWDTKVIDAQGVARRLGVASPGIHVGKCFYWLSRRQGGRVVPYDAALGRASVLREPREAEGSKGRVWRPLGSVGGRLRLCALDIRDEVFRATEAVHGVWVLDDDGAWRRVHQAVVDDISVWYFLSLWNHEVPVDYADACGGSIIVNKNRDLLRYDLESGHKVELGNLHIGDGKLGTLYCRFQAFPFFRPLRAPASRPYYSAAVDEMFTPFDVRAPPGERPDGAGELRGASGSTSATSNLATSIERLREMARNAEDIPRRVSRVHAGVVQARRRRRRQRAAAWAGTVPELRAAPRDYVVAEATAETILHKPLAMVREAITSSASGSSWTGWRSTRRRSTWWTRQPDGCGPASFPLHADFGFGHAALATWWSPRIRVGDGSWLVSADLWPPLAAASISSNPLTPEHLGAAFLCRHLSPRPLPLLDDGPYAVILQPRRKIRYTHLNVVPTNPGDSVDLDLPLDPNYTDPPSDDASEEPAGQLPDGGAASEGDEADEEDATPPAVEEEDCVVFLERTVPRLDISIVAAHGRLLLCRSQSRYFICDPAANRLVALPLASLPPTNDAARGLHYDSDAATTGRVAFTVVLLVRIPERRVLVDTFSSTTGRWDTKVIGAQGVARCLGVASPGIHVGTSFYWLSNRRGRVVRYDVAGGRASVLREPPEAAGSNARVGRSLGSAGGCLRLCAFDIRDEESRNMLPHEDIEGEHGVWVMDAAATGAWRRVHRAVVDELSVWYFLRLWNHEVPVDFAGACGAFIIVDKNKVLLRYDLERGDKVELASLYTDDGRLGALYRRFQAFPFFRSD >Et_2A_017637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4536192:4538770:1 gene:Et_2A_017637 transcript:Et_2A_017637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQAMCRKRGLDDNPMCRTTLLKQCHNEPDFKGKAAIIQFASLPDNVMCKVLSKLTPKEVVRTSVLSSTWKHMWEICPKLRFDGTEMCGTQQHIHQFADEVSAGLQQYQGKIVKELDIKFEYDSLLVDHVNSWVCFALSSLTKKLALDFVPANLFKADLYAYDGDRYKFPLGILDNRSLAHLQQLQLSFLSFQLPPQFSGFPRNLELHLLQVSPKDLEDVLSSCRSLEWFSMVRCHLNNELKMAQPLSHLLYLRVECCNITRIELNAPNLKTFICSDSGLGAIDIGHSLEVTDANLFYISYPVILEHALTLIPNDFQLCKKLTLHADIPLQVPQLQEYPNKFSQLKYLQLRLLLNSDDEADNFISLASFLSAAPLIEKLECHVRLFTVKSSPHEYWTTIRRFPCHPYDHLKNLCLTGFMGCTGQLEFLIHCVENAPNLEVLTVSPANRFMNDTEYERKTYWSARDIARRRLGGIISPKTKLHIM >Et_5B_043431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10317412:10327166:1 gene:Et_5B_043431 transcript:Et_5B_043431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERTDVYFSSMVPSSNNRCKEIYDIAKAYPSMKCNLGASSAAETREVAALSGLAAAGQPAPRARVDVDRISALPDDLLLEILARLRCARAAAHAGLLARRWRGLWARLPQLAFHAIAPDTLAVSARPSLSLLHIRLPRHHAHTDSKRVSAWRRAAAGLAPAELLIKNVKLSGDSGRKVELPPEKAAAPCGLAVARQPGPGAPPRAWTASAPCPTTSSSRSSPASAAPAPPRSPASSRARRWRGLWTRLPALAFHAACPDKIDAALAMSAHPSLSQLEIVVPGHHITIQSARVSAWMRAAARLTPTKLLIKNVIIIRDSGQKVELPCFNRTASITLDVYAARFVLPPVGDLPALESIFLNDCYVDFVDLLPRCRRLCKLHITGWELDVDVHFRGLMIRHVDIVAPILKRKTPMVEDLDWRCACGSDTDRFGVQRCLFNLTLKTANPLAQMHLQGPQRPHVVHSLSMSIGQRFAVSGDEDKDFGQQISKIILVTNFQVLKLRI >Et_4B_038405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28867375:28869050:1 gene:Et_4B_038405 transcript:Et_4B_038405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDLVPDQPRRNADYDKSRLMGIIRSHYMVALRRLPPSLIPRVGEVGLCFGFLDPLSNIILNTFFHGVLPDEKEANQQEQREGREKWKRSQPGTGSNSTEYMEMKVARLSLEDEMSLISTGDKSIVARSLYGLVTFLTSFFRYLHTRDALFYLAQAGGDLVLAMQFIQEVRAWHAFTTDHPTTKIALACAAGAAMCPQPDKNSTIALASRMEELQTLLQQPLDPQGCLSNSTVRDLSEICSKGGLHETNPQERPIFYRFRTLSLPKTCPLAFPTQLEFEVDMIRKKSLAVVELRSLDGLVAFCQAINPHSGTSESDILSALLSSPVISYLFRTGQLGYSAEPIVNCYDALKSAATSAFHPTPATLAYFAASLPQAAKAVLEPLLKAKRLSPTDVQTISKVLSENHPASKPVGKVKKLTPQISVGLKEFEAHQRLIRKRVETALQEFAKIKASSFPLIFLCCSCAPLFQH >Et_8A_057366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23988935:23990286:1 gene:Et_8A_057366 transcript:Et_8A_057366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRPPRCSHCSKCDNCVERFDHHCPWVGQCIGERNYRYFFCFVSSAAVLCVYVCAMCALYIRILMSRGHHSVWKAIKESPASMAVMAYCFICFWFVGGLTGFHSYLIATNKTTYENIKYKYNNQPNIFDRGCMCNCQEFWCTKKKPSRINLRAILEEEHEVAPPQISHPHTQEHDAPHSPRAKVEDDLEMGLDILKTSRRQTDELNDDELEAGSNDVKYRTLDSDTEIPVIRTETSEDRDPDLLVSSAARPQSLEKKQQPDKLC >Et_3A_024000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1576111:1594249:1 gene:Et_3A_024000 transcript:Et_3A_024000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VEVDAVAGIGGDDVEVRVADLAPWLEDDGVGPVVEEGQRTRRQRNAAPEEPGQLPDDGAAASVESEPESEPAPAVEVEGEVEDHVAFFERTVPMLDVSIAASHGRLLLCRSQRRFYVCDPDANRFVALPPASFPPTHDAARGIHYDAATTGWLSFTVVLLVRIPGRRVLVDTFSSATGRWDTKVIAAQGVARCLGVASPGIHDGTCFYWLSQRPGRIVRYDVAGGCASVLREPPEAEGSNARVGRSLGAASWRLRVCAGIVGTHGVWVMDAAAAAGAWRRVHEAVVDDIRAYYFLCLRNVELPADFAGACGGSIVVSKNKVVVVLRYDLESGRKVELGSLYSDDGRLGALYGRFQAFPFFRHLRRTANWYDRVLRQVRRYDPIVDQQQVLPDLPLYRQTRAWNTAVCHSRGPFFVVFVCIIDFVAHLCTYSQNATAWSEPLQLGHLHIGSDYVIGPSILAGDAPCFTVCRRVLRYDVAGHALSEIPAPFMNSSNMCLVTLENDELGAAAAANGHNLACGVAHAGRRAANGAAPGRLRHLGDPVPAADQGGVLTDRRVSAPAFLCRHLSPRPLPLLDDGPFAVILQPRRKVGYTHLTVVPTNPDDDSVDLNLPLDPKYTDIPSVKPQVRKWSERSSKRHEPPAPSLVDVDGEEFFTNLFSTGVGDLDADMEEPGQLPDESEPGPAVEVELEDHVAFLERTVPKLDISIVASHGRLLLGRSRSRYYVCDPAANRWIVLPPSSVLPTRDAARGLHYDLDAATGRVAFTVVLLMRIPERRVHVETFSSTTGRWDTKVIGAQGVARCLGVASPGMHAGTCFYWLSQRRGRVVCYDVAGGRASVLREPPEAAGSNGRVGRSLGTASGRLRLCAFDIRDEKSGNMMPHEDIEGEHSVWVMDVDPAAGAWRRVHQAVVDDISVWYFLSLWNHEVPVDYADACGGSIIVNKNRDLLRYDLESGHKVELGNLHIGDGKLGTLYCRFQAFPFFRPKEAYRVTFVCRRWRAIVSEPAFLCRHLSPRPLPLLDDGPFAVILQPRRKVGYTHLTVVPINPDDDSVDLNLPLDRKYTDTPSVKPQVRKWPGMYSKRHEPPAPSLVETDGEEFFNNLFSTGVGDLDAAAEDPDQLPDDGGAASPAVEVEDNQVAFLERTVPMLDISIAASHGRLLLCRSRSRYYVCDPAADRWIVLPPSSVPPTRDAATGRVFFTVVLLMRIAKRRVHVETFSSATGRWDAKVIGAQGVARCVGGVASPGMHAGRVFLLAVANQSAPYLDDGVISEILYRLPSKEAYRLTAVCRRWRGILSEAAFLCRHLSPRPLPLLDDGPYAVIVQPRRKVRYTHLTVVPTNPGDSVDLNLPLDPNYTDPPSVKAITRGWSERRTNKRRDPPGPSILDTDEHDFVFSNLFSTGADVLAESLDVAAAAEDATPQAVVEVEDHVAFFERTVPKLDVSIVASHGRLLLCRSQSRYLVCDPAANRFVALTPASFPPTHDAARGLHYVLERRPPGGSPSPSCSSCASPGGACTSTPSPPPPGGGTQRAWRAASASPRQGYTSAPTASSVLREPPEAQGSNARVGRSLGAADGRVRVCAFVIRDKKSQRMMPHDGIVGTHGVWVMDDDGAGRAWRRVHEAVVDDISVYYFLSLFNHEVPVDFAGAAGGSIIVDKEKFLLRYDLESGHKVEIASLYRDDGNLGALYHRFHAFPFFN >Et_10B_004241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2051712:2059675:1 gene:Et_10B_004241 transcript:Et_10B_004241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKLQPRDKDKERGKPAASSSPTGGSARRGEAAMAAAGGEEAMSSATKQKVAAAKQYIENHYKAQMRSLQERKERRWMLERKLADADVSEEEQNNILKDLEKKETEYMRLRRHKMGVDDFELLTIIGRGAFGEVRLCREKATSNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSAYIVKLYCSFQDDEFLYLIMEYLPGGDMMTLLMRKDTLTEDESRFYVAETILAIESIHKHNYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSSFPNLSDLDNAAGKNTTPSSDGDKQLSTPAAPRRTQQEQLLHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEARLSPEAKDLVSKLLCNVDQRLGTKGAHEIKAHPWFKGVEWDKLYQMEAAFIPEVNDELDTQNFEKFEETAPPMQTSSKAGPWRKMLSSKDVNFVGYTYKNFEIVNDPEVPGTAELKKKSNKPKRPTIKSLFGNFSQVSKTADSEDQPSEGSFLNLLPTQLGDLPESLEPSPHSSISSEDSQARHRCRCPVYPRKKLQHGSKATAWLKPLDASIFEADPGFQHGSTHGLNLACRHLDGAPSLCGMVAQLAGHMGRAFPHSTSAHRVWIPRQVTNIATRTCAEVKYLVSDSFPGYNEKEWLCLHYINE >Et_9B_064456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15331253:15335730:-1 gene:Et_9B_064456 transcript:Et_9B_064456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSFESTGSAGSSGSSGSGSGGSGSFNLRNLSKVILPPLGVSSGHSQCHGGTDEWVISPLDSGYRCWETFMVLLVAYSAWVYPFEVAFMNAVPKGGLEVADIVVDLFFAVDIVLTFFLAYIDTRTQLLVRDRKRIAFRYLSTFFIMDVASTIPFQGLAYLATGQAREGAAFSLLGILRLWRLRKVKQFFTRLEKDIRFSYFWIRSARLVAVTLFLVHCAGCLYYLIADRYPNRDKTWIGAAIPNFRQASLRIRYISAVYWSITTMTTVGYGDLHAQNTVEMLFNIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIRAASSFVGRNHLPPRLKQQILAYMCLKFRAESLNQQQLMDQLPKSICKSICEHLFLPVVKDVYLFRGVSKEVLLCLVTKMKPEYIPPREDVVVQNEAPEDVYVVVSGEVEVIFFDGDGERVVATLGTGEIFGEVSALSDRAQSFTFRTRTLSQLLRLKQATLKEAMQSRPEDSVVIIRNFLKHQIEMHDMKVEDLLGENSGEHDDSTLLTVAAMGNSGFLEDLLRAGMDPDVGDAKGRTALHIAASKGYEDCVLVLLKHACNVNIKDAQGNTALWNAIAARHHKIFNILYHFARVSAPHAGGDLLCLAARRGDVGALRELLKHGLDVDAEDHDGATALRAAMSAGRADAARFLVMNGASVDKASIDDGVGAALSPEELRELVEKREVGHQITIHDPPAVVRDGGSSGNGRQRSRLLSTQSDGARCLRVSIYKGHPYLRNHSSEAGKLINLPGTMQEFKAIIGDKLKVDAENAQIVNDEGAEIDTIDVIRDNDKLFIVTEEHLRRLASMESVASS >Et_3B_029057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21782164:21784996:-1 gene:Et_3B_029057 transcript:Et_3B_029057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFVRKLPRKSSASGKGDCASGSSPGSNAADNRGTIQRTSSCPSGGPARPASSVKRMSSAVFPSSVVAGIEPLVPFKDVPNGEKQNLFLSKVSLCCIVFDFSDPNKNSAEKDFKRQALLDLVEYVDSASSRFTETMIASCCRMFAINLFRVFPPSYRTSSSGGGEGEEEEPMFDPAWTHLHLVYDLLLKLIGSSSLDTKLGKKYFDHSFIVKLLELFDSEDPRERDCLKTILHRIYGKFMVHRPFIRKAVSNIFYHFVFETDRHNGISELLEVFGSVICGFALPLKEEHKIFFWRVLIPLHKPKTVGVYLQQLTYCVTQFVEKEPKLASSVILGLLRYWPITNSQKEVMFLSEIEEVLEATDMVEFQKCMVPLFQRIAHCINSSHFQVAERALFMWNNDHIISLVAQNRQMIVPIVTPALERNCQNHWNQSVLNLSVNLKKMLSEMDGELFSTCITKYMEDEEKQESLKQKRKLVWERLESAAAFQPVTGNTAVLVRLHYETSFTRLNVKSRIDL >Et_6B_049729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9763539:9785741:1 gene:Et_6B_049729 transcript:Et_6B_049729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKKISLYLALTPHVSSLRCRPIGSIPRRAQSSPLFYVQHGWCGVASSAALALSSPHRIRCSGTIGPSLQPHAAVVDKPWQAQRATVLAIGIANPANCVKQEEYADWYFRSYALFNGTWRSYLVLFRTPRADCPDTLVMQALFGAGDSFSSSVVERYRREDAAAAHLGEGGLEFRPSPKMQALVQQQVGIGGDWNDLFWAVHPGGPANPDAVEDELVLAPRKLAASRHVLSGYRNMSGASIILCSTSSGDAGTSWMAELDGGYGVMLGLGPGITVETMVLRAARGTREIRHREAPSPPHRRNDRRPSGAPGSRATIAADMPVRCRDAVSLFPRVCFRMCSCDVALSYWEQRSRVLVAADAVPELAAAASAKAIAEWGRPASDVTHFVVSTNSARARRARTSASPRCSASAGRRCCGERTRPRWGFSPGSWWPTGVCCVSTTTCLAPRSSSSWTRCGGAAEAEDNMLGTTMTMLPGADQAALGLEPGKLAASRLLDEIRQRRRDGNEEERENDKWVLMLGLGPGITIETMDIAENNPGARSGSLTRPTRRRSSLWPCSLTASRRRAAAAGDGAGGGERRGDKSRRERALLRHAGVCWRRHRAVWRRRSALASPPVAGTASSGWCIPAGPRSWTATRRRSFLRWNKGYSVAEVCVSFCYDFCRKDCIESL >Et_3A_025195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27824895:27827349:1 gene:Et_3A_025195 transcript:Et_3A_025195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIHLFASGDLLDALRAASCPSTALRLYSRFRLRLCPLDPSFDACRAAVLALKPLSAAASLPLLAHFHGHLIRSNLIAYPSVASSLLRSYSLVAPPAAHQLFDQIPPATCNLYVVNVMLSSLCRSSDIDSARAFFDDIPDKDIVSWSTMLACYFSHGRFADGLAFFRTMTFNTEVAVDSVTLVTLLTACASAGLPPPFCRAVHGYLVRRCIPSSMHLGTVLIDCYAKAGRLDYAFRVFARVPSRNVMHWTAMICGMAAHLRNDQAIQLFEEMCQEGVRPNEMTFTALLSACGQAGLVEKGRELFKLMVDRYGLEPNIHHYGCMVDLYAKAGQLEDAYEVIKTMKVEPNVIIWTSLLAACKTFKNFDIAVEGMERALALEISDENAGLYMLISDLYAMGGRWDDVIKVRRLMEERHVRKNRGLSFVKVDEPQDLSSVVGKHRLEILMEPGKPGATA >Et_5B_044470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2702705:2704036:-1 gene:Et_5B_044470 transcript:Et_5B_044470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSEAMELLGFPPYSRPSPSEVKAAYRKMVMESHPDRFPEHLKSQAESKFKEIGEAYSCLKHVHVMRSGVPTGYEMLIKAPFLLIMCTAVSFGAFSASRAYQRQKESCSSQNPFLP >Et_8B_060123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6110740:6114179:1 gene:Et_8B_060123 transcript:Et_8B_060123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLGGFTKSLAMTTLSEIGDKTFFAAAILAMRHPRKLVLAGCLSALIVMTALSASLGWVAPNLISRKWTHHVTTLLFFVFGIWSLWEGFKEDGDSEELAEVEAELDAAFKSNKGESKNKSKANDDTKKQQRPFLMQFFSPIFIKAFSITFFGEWGDKSQIATIGLAADENPFGVVLGGIIAQALCTTAAVLGGKSLASQISEKMVELSSGVLFLLFGIMSFLSGPEGEL >Et_1A_008954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20849644:20850982:-1 gene:Et_1A_008954 transcript:Et_1A_008954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQTADAAAVVIQHPPAAAGSGAGSGRVERAYVATSAAAVMAANSGHYVAAVIQLTGPAAPQPAKAKAKRRLKLLRPDDTLALGGVYRLVSFEEVLREFVSKRHTTLSRVVLAAENDAHRQETDCSLETAQLPCRDQETSSDPSDPEPDIVEAAMMLSGRFGLARPHGQWRPALPSIAEGSVVC >Et_1A_007660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3744503:3746604:-1 gene:Et_1A_007660 transcript:Et_1A_007660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TDAPCEIDFLPSIDDLVEPVHYNNFAQFHLSYILKEEGLPDNGIFEHLFGGHQSLQEREQTFHAENQTLHCGFVRGPEDYPSTGFDLDENDRRYMATCHVAVSSCIFGSSDYLRRPTKSRIGPYSKKNVCFVMFMDKLTRATLSTEGKIPDENGFIGLWRIVVVKKLPYKDMRRAGKVPKFLAHRLFPSAMYSIWLDSKLRLHSDPMLIIEYFLWRKKAEYAISMHYDRSCVWDEVRQNKRLNKYNHSVIDEQFQFYQSDGLVKFNVLGQQPVLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVNQFTSRDQLSFTYTYLKLRRMNPGSPFHLNMFKDCERRSIAKLFHHRSNATTDPPPANLRLDKAHSSIQG >Et_2B_021734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:502949:512023:-1 gene:Et_2B_021734 transcript:Et_2B_021734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQALNSRVSSEYLSVGMPSRLFFFEATTSRFYLPRILPRNRRGSVEIALELFESRTGLRILLPLRRELVSGHCHFPTNKDGDFGCGEEHPSVGDVSSSSHVLALALALTAVAAAAKIPASRTPISRDLYHSSDSLLREMKALVARHSDKLSMDTIRASNRGYSAELFVVTFNRMKESLDNGSKVHVLLNFGQHGRELITSEVALRLLYILTEKGTIAGVDLTSFEKMLENLVIKDYDPYEENPGFAPFSEPEAQIMRELSRSFKPHLWVNVHSGMKALFMPYDHKNTTPNGASAHLMRSILENLNRRHFQDSCLVGSGGGSVGYLAHGTTTDYMYDIGKVPMAYTFEIYGDETASNEDCFKMFNPVDKTTFDRVMNKWFMAFLILFEEGLQNLRDAQVISQGPLDNWVRMGGDMVERTVAQKSGRERRKTEGIDLGMQELRTYFRLFMLSTFMLMFMFCTRISNNSQRDSGNIFDT >Et_3B_030160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3134934:3140736:-1 gene:Et_3B_030160 transcript:Et_3B_030160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSSSTTTPRPAASPLPRPASANPNPRRLLLPVAASPAAVRARGRLPMGSVRASAAAVGLEEGEVSSERLVEVAQRAADAAGEVLRKYFRQRVEIIDKEDNSPVTIADREAEEAMVSVILKSFPTHAIFGEENGWRCVADSADYVWVLDPIDGTKSFITGKPLFGTLISLLHKGKPVIGIIDQPIMRERWIGVDGKQTTLNGQGISVRSCNLLAQAYLYTTSPHLFEGDAEDAFIRVRNKVKVPLYGCDCYAYALLASGFVDLVVESGLKPYDFLSLVPVIEGAGGSITDWKGDKLHWSVTPDSRPTSFNVVAAGDARVHKQALDALQWQ >Et_10A_001471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3776985:3779729:-1 gene:Et_10A_001471 transcript:Et_10A_001471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASGPGLLAAALAVAWITSAAADAMSSSEQAGSSQQLINCMPCSGKYVGDVFLDVSTGQLDQRRHLAEMPYSGDLCNGLADDLDVPKLSELRRQLVGEGSHRRLVYSMKFGACQEDVVSFLDNYDAHLVVIEKLPNGVFADPFELQHFVERKVFLHVSVFGDTNLELPSALSNRSAVEFHVDLRSSTSANSNLVIDLPLHARYPPLDASGYAMVEFGSPDLFLRYRKKKVHSDPCLWALKNLDAAPMEKAVWRVPCGDEAHIGLVSSMTFLSALICSVSIVLAALIF >Et_4B_039480.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:18457621:18459900:1 gene:Et_4B_039480 transcript:Et_4B_039480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVLLVLVLAVAAAAAEERATYIVHMAKSAMPAEYADHGEWYGASLRSVSAGGAAKMLYAYDTVLHGFSARLTAQEARDMAAAEGVLAVNPEARYELHTTRTPEFLGIAGGGGQGLFPQSGTAGDVVVGVLDTGVWPESKSYDDAGLGEAPSWWKGECVPGPGFDPASACNRKLVGARFFNKGYEAAMGPMDTGRESRSPRDDDGHGTHTSSTAAGAAVPNASLFGFASGTARGMAPRARVAVYKVCWLGGCFSSDILAGLDAAVADGCGVLSLSLGGGAADYARDSVAIGAFAAMERGVLVSCSAGNSGPGSSTLSNVAPWITTVGAGTLDRDFPAYVALGNGKNYTGVSLYAGKPLPSTPLPIVYAANVSNSTAGNLCMPGTLVPEKVAGKIVVCDRGVSARVQKGFVVRDAGGAGMVLSNTAANGQELVADAHLLPAAGVGEREGAAIKSYVASDPSPTATVVVAGTHVDVRPSPVVAAFSSRGPNMVTPEILKPDIIAPGVNILAAWTGKAGPTGLAADTRRVAFNIISGTSMSCPHVSGLAALLRGARPEWSPAAVRSALMTTAYAAYDNGGESPLLDAATGAAATPFDYGAGHVDPARAVDPGLVYDLGVRDYVDFLCALKYGPTKIAAVTRSSDYACDDGKNYSVGSLNYPSFAVAYSTANGEGSAVTHTRTLTNVGGAATYKVSTSVAAKLGVTVAVKPTELDFTTVGEKKSYTVTFTSKSQPSGTTGFGRLVWSDGKHSVASPIAFTWT >Et_9B_065455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5150401:5153392:-1 gene:Et_9B_065455 transcript:Et_9B_065455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGEPAVAERVVEELRAACATPAARLNEVAAAMEEEMRAGLREEGGSKIKMIISYVDNLPTGNEEGFFYALDLGGTNFRVLRVQLAGKEKRVVKRESKEVSIPPHLMSGNAAELFSFIASALAKVVADEGHNNVFDGKQRELGFTFSFPVRQTSIASGTLIKWTKAFSIDDAVGEDVVAELQTAMGKQGVDMRVAALINDTVGTLAAGRYNDEDVVIGVILGTGSNAAYVEEASAIPKLEGDLPKSGNMVINTEWGNFDSSCLPVTEYDQALDEESLNPGEQIFEKLISGLYLGDIVRRVLLKIALQTPLFGNVSHTKLNTRFILRTPDISAMHHDETPDLSVVAEKLAENLRIRDTSLETRKMVVEICDIVTRRSARLAAAGIVGILSKIGRAVPGDERRSVVAVDGGLFEHYAAFRECLEGTLVELLGEEAAKSVAVKLTKDGSGLGAALIAAAHSQHQH >Et_2B_021667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4226760:4227810:1 gene:Et_2B_021667 transcript:Et_2B_021667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSGHAGRRLFTASQWQELEHQALIYKYMATGAPVPHDLVVPLRLATGVDTVPSLAFPPQPPSLGYWGCYGAAQFGRKAEDPEPGRCRRTDGKKWRCSREAYGDSKYCEKHIHRGKNRSRKPVEATAAAAYRPSALSISPPAPTYGHQQQTLHRHSAAGPLQLHLDAGYHHAAPSPPPSYHKYAHNSTAAPSPLFPGAGGYGYGPSREEAELRRQRCLALGADLSLDKPPATATVEKPLRRFFDEWPRDGSGQGRPWAGADDETQLSISMPTASPSDLAASRYHNGNAHLTRMQQRFKFFFFLIKS >Et_1B_014075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:302469:304010:1 gene:Et_1B_014075 transcript:Et_1B_014075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEKEKPALMTSSSEQYSGELVDRHQPAAIAVVKRKRTKRPRHHYPLASSASSSESTTTEEEDMAHCLILLAQGGSAVDSRPSPPPPAAAHNPPVVVPHRERYTSRKYTEAATTADGVKAGFYVYECKTCNKCFPTFQALGGHRASHKKPRLIPLADDHLDIANATIMKQKPPTSPPPPPPLPPPEVTTALSLNSHAAGSSGKLRVHECSICGAEFSSGQALGGHMRRHRPLNAPPAITAMDAKKESINLELDLNLPAPSDEPLPPVVLGLGQFNDGGNKPAGLMLTASALKITALAGIILSLMR >Et_9A_062314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21826696:21828715:1 gene:Et_9A_062314 transcript:Et_9A_062314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALLDFLAKERPYDLLSGAGLTPSAEESKILFFLCTSTSDLTRIGGPNLKPTREPPKIHHKLLVTNKSFHQQTWRKSGSCPEGTIPVRRQPTGFNAEVANRTRPSSPMAVLLLPSLTRNFKQTTDKNPHERQFVPIKGTDPPDTKNQIAAGLANDGGVKSNCLNLECGFIQTSNEIALGAAIGGGSTVGGELLFVHFALYRDTGPGVWWLSINEVPLGYFKSDMFPVPFIESFHNEMGGRVLDTRPGGKHTLTAMGSGMFPSAGLDNAASIAFYMALNNNGGNQVDDPVNTIVTKPKCYDVKDFGPDKNKPGYDIAFGGPGGYFCDQ >Et_1A_006975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29867306:29870804:1 gene:Et_1A_006975 transcript:Et_1A_006975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTSSSAASASAVFTPTPQPQTPPPPLLVENLPPLNQLAPVATEAPASEQLCYVHCHFCDTVLVVSVPTSSLFKTVTVRCGHCSSLLTVNMRGLLFPGTPTNTAAAAAAPPVVTSCTTTTTTITTPEPVSSVSNNNGQFHFSPSSLNLAPNPPHHHHSILDEISSANNPSLQLLEQHGLGGLIHGARNAAAPAPLQQLPAPAGKGAKEPSPRTNAAVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDHHGLKKTSLQPQDHQRKDGLLKEGLYAAAAAAAAANMGITPY >Et_1B_010074.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:22086820:22087158:-1 gene:Et_1B_010074 transcript:Et_1B_010074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASQVLIGAVLAAELRDGSGAGVSKAWAAALVLLIAVFVAGFGWSWGPLGWLVPSEIFPLEVRAAGQSVTVAVSFAFTVLVAQAFLAMLCRMKAGIFFFFAAWLAVMTAFV >Et_2B_021258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2818679:2823296:-1 gene:Et_2B_021258 transcript:Et_2B_021258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFRFKLWWMAQRMGDKGGDVPHETQFLLVESKGAGAGGEDAYVVFLPLVEGAFRASLQGGAGDALELCVESGDADTRARSFERALFVGAAEADPFKAVAGAVAAARSALKTFRLRSEKKLPGIVDYFGWCTWDAFYQDVTQEGVEAGLRSLADGGTPPKFVIIDDGWQSVGTDQSAADESAGEDKPPLLSRLTGIKENRKFQSDDDPAAGIKTVVRAAKEKYGLKYVYVWHAITGYWGGVRPGEAGTEHYRSSMQFPKISPGVAENEPGMKTDVLTMQGLGLVHPRAVYRFYDELHAYLAAAGVDGVKVDVQCILETLGAGHGGRVELTRQYHQALDASIAKNFPENGIIACMSHNTDALYYSKQTAVVRASDDFYPRDPVSHTIHIASVACNSVFLGEFMLPDWDMFHSLHPAGEYHGSARAISGGPVYVSDAPGKHNFELLKKIVLPDGSVLRARLPGRPTKDCLFTDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWSSVEKKNIFHQTGTEALSCGVKGSDVHLISEAATDPEWNGDCAVYRHASGELVLLPNDAALPISLKVLEHDILTVSPIKDLAPGFRFAPIGLVDMFNSGGAVEGLTYHLLDGAKLLDNNGSTSGSEAVGLVCMEVRGRGRFGAYSSAMPKKCMLGSAKLEFSYDTSSGLMILQLEDMPKQGTLPAPCSSHPCHPLTLLRARRSIEQRLAVTTLTKGAEEEGEENKMTIASSVKLAGRTLSVCGRTVLSGVPDAVVASSAAAGGAVDGVFLGADFADQASRHVVSLGALRFIRRCMHLRTRERTDFFQARPFAMVLILV >Et_4B_037296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17777420:17780126:-1 gene:Et_4B_037296 transcript:Et_4B_037296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHPTALLPAPPLCSSSHPLLDPGTRGLPPRFLNGACSMARPPVRREFLEKGGALAWRTVGCQRRPVVAGAGRGPFFGGGGRRMNNGTSRVVGNLAFAALLTYLVMTGQLRWVLDAIVSLWLLTILLPILAVAAFFFFAGQDILRSDCPNCGKSFRILKSALKDGPQLCPYCTQPFSVQGNKFVRESARFSSGRGDTATTARIFNEFFTRGAKGKAPSGTIVDVEAEVTDVE >Et_4B_036935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12793007:12799840:1 gene:Et_4B_036935 transcript:Et_4B_036935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADGETAALRAEVAALRLRVRELESENERLAKIASSCTCEVKCHGDGEGNDPLDNSSKRTNRKGYRKGNVSHCSKRLIALKVMYFGQRFYGFSSEGQAEPTVESEIFKALERAKLLVDSRKESCYSRCGRTDKGVSATGQVISLYLRSNLKDVVGGDALDERSEIDYVKVLNRILPRDIRVLGWCPVPADFHARFTCLGREYKYLFWKGDLDIVEMQKAASKFIGEHDFRNFCKMDAANVSNYRRRITEFNISACDKRSNSDELCSMIIKGSAFLWHQVRCMVSVLFLVGQGLESPSIVDSLLDITRTPRKPQYKMAPELPLILRSCLFDKTNFMCSSDANRSLVEHLKDEYHHYMLQASIFHEALSCLPFPDSNSSETLQKKRNHIPLISRQTERSAANP >Et_4B_038699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4029194:4031177:-1 gene:Et_4B_038699 transcript:Et_4B_038699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLSKKINSLMTDNDEWSKLLKLADDIELSTGDMQDQSFENYMKEKLHIWLVHKAGDDGKGPSVLDEEGQGVLHLAAALGYDWAIRPTITAGVNINFRDAHGWTALHWAAFCGRERTVVALIALGAAPGALTDPTPDFPSGSTPADLASTNGYKGISGFLAESSLTSHLQTLNLKEAMGSNASEISGLPGIGDVTERRVAQSVGEGFQSGSMGDSLGAVRNAAQAAARIYQVFRVQSFQRKQAVQYGDDNGEVSDERALSLLSVKPSKPGKLDPMHVAATRIQNKYRGWKGRKEFLLIRQRIVKIQALVRGHQVRKHYRKIVWTVGIVEKVILRWRRRGAGLRGFRSAEGAMESTSSSSADLIQNKPAEDDYDFLQEGRKQTEERLQKALTRVKSMVQYPDARDQYQRILTAVTKMQESQAMQEKMLEESTEMDEGFLMSEFKELWDDDMTTPGYF >Et_10B_004013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9206720:9209458:-1 gene:Et_10B_004013 transcript:Et_10B_004013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSTTSGTHSMLPTSVQLMNQRNLGISSKDMGSVGYGGNLFQIAVPRSRHDVSNFTSVANSCFISGPSGSSFANISNNTTFNTCNPSANIRNDSPPLGASMDATYYPYRSYASLSMSDPDPSERNKRRINQLSRLAASSGPNSEFQKQMEALTSTTTSMAGFTEQVAPFNIGNKPVTPNYNSAPGGASSVISDLPSMQSQMLNGGDGSGSLLDHQVAADQLHYNNESLIGTSSGQNGLNDDLDDFFTDQAFPGYCSMVR >Et_1A_005641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12267398:12275326:-1 gene:Et_1A_005641 transcript:Et_1A_005641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEREEQVAMVRAVLGEGTSEMDVIRALHMAGDDPTKAINILLDFDHRPPPLPPSPSPSPPPAKPSKTLTESTPPSKAATQPRPRAEKPKPTPAPSPATTNGGGEYWWLIGSAEVAGLSTCKGRRIASGDEVTFSFPTAAAVTAPAKSRPGRFAPASCSSEIMRFSTPSHGEVGRIPNEWARCLLPLLRENKIKIQGLCKSAPETLSIMDTVLLSVSVYINSSIFRDHKLSAPKAARVSTEDSTFHPLPALFKLIGLAPFKKAACTPEDLYSRKRPMETKNSIGAPPTKFSSEKLRVPSAGNEDGHGEETVSDSVLDDMIGISDSSALDERDPPDSLLCDLRPYQKQALHWMLQLEKGSSSQDAATTLHPCWEAYKLEDKRELVLYLNVFSGDATTEFPSTLQLARGGILADAMGLGKTIMTIALLLSDSSKGCITAQHATQICEEAIDLGEVSAHSHDAVKKLASPFSFGKQRKHKAPLIGGGNLIICPMTLLGQWKAEIEAHTKPGTVSTYVHYGQNRPKDASCIAQNDIVLTTYGVVTSEFSTDGSTENGALYSVHWFRVVLDEAHMIKSSKSSISLAAAGLTADRRWCLTGTPIQNNLEDLYSLFRFLRVEPWRNWALWNKLVQKPHEEGDERGLKLVQSILKPIMLRRTKNSTDKEGRPILTLPPANIEVKYCDLSEAEKDFYEALFQRSKVKFDKFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEFADLNKLAKRFLYGGNGIANGDSSCIPSKAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRLCRECLLSSWRSSTAGLCPVCRKSMSKQDLITAPTNSRFQIDVHKNWVESSKISSLLQELEDLRSSGAKSIVFSQWTAFLDLLQIPLSRNNFPFARLDGTLNLQQREKVIKEFSEDKNILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAVMRIHRIGQTKTVSIKRFIVKGTVEERMEAVQARKQRMISGALTDQEVRTARLEELKMLFS >Et_2A_016748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27850692:27851725:-1 gene:Et_2A_016748 transcript:Et_2A_016748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLPSLASGPGSRDRTSKEVKQPLPMEHIICPGTMPFDTTSNSTMDSSVFPVLARDDIDFVNCSSATVCSGTGNETAATEGHGLANERQVLVVHHLPLLGIHVEGAGTLVMSRRLHYLHVDGARHGEQDGVGLDADLRRVTVLERVEAAREERRRRQVAPCGVCPGATREGSGVQIDDEEPGHEVQPLHHGRAAGERVELAAVRDQDGAQLGVHEAVTQHVGGGLGIVDGGAFHADAHDPGPVPRRHRGEGHARADVDQAVDVGAGGGLDDGVGGLRHALQHQVHRALRGSILGTGLLLLVPSTNANQPGLPRRQPAAPLDFAPLLPVRLRHRHL >Et_7B_055993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8914326:8918611:-1 gene:Et_7B_055993 transcript:Et_7B_055993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGAVPTLLGLRPPHPRCSGLLVLPPTAGSPFHDKTASFAPSSSARRPSLAAAIHASASDSPFPASPTPPVPPLRPSAPEPPSSVAHAGRSKKGKKNPQGGGGGRIEGGGDVRRQAKAKARIRSRRLGENAFYRRKRRAAAPGQADAFTDAELEMIGMGYDRAVRFMDGPDDPRLRHPHDWYKYGRYGPYHWRGIVVGPPIRGRFSDDRVSLMSEVDDHEEWDRIEQFEMSNQFSNRLNEIGDAVGFRYYWVFVRHPKWRPDEKPWEQWTLSAEVAVEASRDQWLDKWSLMGRFGNPTRELITRCAAWTRPDIIYVKRPLYQSRFEPQEDFFTKLRPLVDPATENDFLFDLELDGRVIQTTYFGGLCRIVKASPKAYVDDVVNAYSRLSDTDKSRIEASNAYDKRQMQLQKEMEQKMSSANAMVMEQEQIDEDEDHETESSRSAEDEDEKQSNRNPGGFLRAAVRPFTYRNLVKEIVLMRHHIIDGEIHSYEAFPEFRGVWASERQRLHLHNHQKSKFHPV >Et_10A_001124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21357710:21360702:1 gene:Et_10A_001124 transcript:Et_10A_001124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLQLGIRHAVGRQPAPTSLDLKSSAFDTKEKVWTGFPPEGSKHTPPHQSCELRWKDYYPLVFRTLRKLFDVDPADYMISLCGDDALRELSSPGKSGSFFYLTNDDKYMIKTMKKSEVKVLLRMLPANYKHVRAFENTLVTKFFGPHCVNVKLTGAIQKKVRFVIMGNLFCSNYAIRRRFDLKGSSHGRMTDKPIDQISEYTTLKDLDLNFIFRLEGSWFQEFCRQVDKDCELLEQERIMDYSMLVGIHFKDRCKDDNGTTPTSAEDSEQNRKASLRLGISMPSRVENVVKNPDSESLLIGEPTGEFQDVILFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIYKAFTEDIARGRFGSVWESEDQRNADFRALHSGNAAYGSRSRTRTRVVPDCLGCSINPDLLHPLPASNPSSAIA >Et_1B_010545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11492764:11495783:-1 gene:Et_1B_010545 transcript:Et_1B_010545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAEGPAVTAQRLKRHDSLYGDAEKVSREKFHGSGGSWARTLQLAFQSIGVVYGDIGTSPLYVFSSTFPGGIRHPDDPIGVLSLILYTLLLLPMLKYVFIVLKANDNGDGGTFALYSLISRHAKIRMIPDQQAEDAAVSNYSIEAPSSGMRRSQWLKERLESSNVAKIVLFGITILGTSMVMGDGTLTPAISVLSAVSGIREKAPGLTQLQVVWISVAILVFLFSVQRFGTDKVGYSFAPIISVWFLFIAAIGMYNLVVHDATVLRAFNPKYIVDYFKRNGREAWVSLGGAVLCITGTEAMFADLGHFNIRAIQISFTGIIFPSVALCYMGQAAYLRKFPEHVSDTFYKSIPAPLFWPVFVVAIMAAIIASQAMLSGAFAILSKALSLGCFPRVEVVHTSSKYEGQVYIPEVNFLIGAASVAVTLAFQTTDNIGNAYGICVVTVFSITTHLMSVVMLLVWRLHPAAVAAFYAAFGFVEFLYLSSILSKFAEGGYLPFCFALVLMALMASWHYVHVRRYWYELDRAVPADEVAALLARRDVVRRVPGVGLLYSELVQGVPPVFPRLVDKIPSVHAVLVFVSIKHLPVPRVPPPERFIFRRVGDVGSHVFRCVARYGYADPLEGHGEFAAFLLDRLKTFVAAAAVAEEQRFIDAEAERGVVYLMGEASVTAAAGSSVVKRIVVNNVYGFLRKNLRETHKALSIPKDQMLRVGITYEI >Et_2A_014465.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:10198322:10200337:1 gene:Et_2A_014465 transcript:Et_2A_014465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDHLAALLRGGGGGAQPQAVHGAAVRLGCIASTFLCNKLLLAYLRRPVLVDARRLFDEMPSRNLASWYILISSSARLSALAEAFLLFSGILRGAGRGSCDRPDSFTLGALAAGCARAKDIVAGAQAHACAIKFGVDEDESVAGALVDMYAKCGHVDSAWRAFALAPQRSVVSWTSMISCLVNRACPGYHDSVIALLKKMLALKVWPTNATFSCILKVFDVPELLPVGMQVHGCLLKMGTEIDPALGSALMTMYGRCGGVDGMARLACRIKHDIFSRTSMLVAYARNGYNMEAVGVFREMIMENVGFDQSAITSLLQICSSMGQLRMVKEVHGYALRTFFKLDTFLLNATITAYSRCGDITSAEHVFNLLENKDIISWTALLTCYGQNGLVREVLMFFREMLRRGLESPVFCITSVLRACSITIDLTAGLQIHSRALKLGIDADNSVENALVNLYAKCGSVHVALKIFNSMRNRGIISWNALITSFSQHGNEKTALQLFDLMQEEGVCPDDYTFVGLLSSCSRMGLVKEGCEYFKQMTAKYNLEPKMEHYTCMVDLYGRAGKFSDAVDFIDTMPCRPDQMVWQSLLASCKVHGNVQLGRVAAKKILEITPEDPSPYIILSNIHASVDMWDEKAWNRNVLDAQRARKDIGSSWLNSQEFSDNIYDALQVGVT >Et_9A_062851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5913628:5916104:1 gene:Et_9A_062851 transcript:Et_9A_062851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLLAVAALLLLQLLAITPVLLSANIALPGCMNKCGEVSIPYPFGVGAGCYREGFKLTCNETYDPPKLFLDNDGAEVLNISLEHGTLHIDNRVTRLTGSNLYSKLWGIPLDMNIFTVSASLNKFVVMGCGFQFRVRLPDEEDMVVACASSCLHGHSAVATDGACSGVGCCETSMPGARNLYSFDLIPFDAENCLPMPALLFNATVVVVDKAWWDSADHGILLQKAALNSLDILRGLPGSEQPVKTKAVVNWKFSNLSCAEAQSSSDFGCLSDNSYCLDHDTNRSSGHLCHCRHGFEGNPYILNGCQGMQLLIAGPNPFCQLLSQQCVNFLSVVCIATDIDECADPDNYPCLAHCMNTVGSYECICPQGTSGNPQKMHGCIRDTVNFSGLAVAIGVGSGACLVLLTFYAIILRRKLRARKAKKLRDLAFRKNRGLLLRQLVDKDIAEKMIFSLEELEKATNKFDEARKIGKGGHATVYKGILSDQRVVAIKKSRATIQCETDNFINEVAILSQVNHRNVVKLFGCCLETEVPLLVYEFISNGTLYDHLHVNSSQSLPWRERLRIALEVARSLAYLHSAASLSIIHRDIKATNILLDDNLTAKVSDFGASRGVPIDQTRVTTAIQGTFGYLDPECYTTRRLTEKSDVYSFGVILVELLTRQKPHNYMSPIGESLMAQFISLHSQDKLSELLDPQIAKEGEEEARKVAELAVMCLSSNGEYRPTMRQVEMRLETLGSTTTNITNDQRTKEHIVSIPSIDGINCNIVKNTSSRRFSMERDILLSMSCPR >Et_2A_014918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21751404:21752126:1 gene:Et_2A_014918 transcript:Et_2A_014918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYTRNKKKSSRELKSSFKRVVNQERTFAATEKCAWKDATCPVCMEIPHNAVLLLCSSHDNGCRPYICATNFQLSNCLDQLVESCKNVSSKDPDSINFACPLCRGAVKGYTLVEPARERLNKKRRSCMQDGCSYMGTYRELCKHVRKKHPSGNPRAVDPQQAVRWNRLLFRTSVQDMICATSSPMVRRVLSALLQYEDYMASVWHQNGDPHGGNNDNSLRSANAGSDDP >Et_9B_063896.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19941825:19942046:-1 gene:Et_9B_063896 transcript:Et_9B_063896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFLSGALLVTIALAVSDARRLAGLAARVADAAAANLRLARAIAVYAVLWAAVSVVLVVRSKIGALALRVRG >Et_2B_022966.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:9791007:9794657:1 gene:Et_2B_022966 transcript:Et_2B_022966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPSTTAAASFLVAVVVLLQATVLAIAGPEEEAAALLAFKRASVAADPRGALAGWARAANGTAAPCSWDGVSCANGGGVVGLNLSGKALAGELRLDALLSLPALQRLDLRGNAFYGNLSHAAASTACALVDVDLSSNAFNGTLPASFLAPCAALRSLNLSRNSLAGGGGLFPFAPSLRSLDLSRNGLDDAGLLNYSFAGCHGLRYLNLSANKLAGRLPERLSPCSSDLAVLDVSWNRMSGALPAGLVAAAPPNLTHLSIAGNNFSGDVSAYDFGACANLTVLDWSYNGLSGARLPPGLANCRRLETLDMSGNKLLAGPIPTFLTGFSALKRLALAGNELSGTIPDKLSQLCGRIVELDLSGNRLVGGLPSGFAKCRSLEVLDLGGNQLSGDFVTTVVSTISSLRVLQLSFNNISGANPLPVLAAGCPSLEVIDLGSNELDGEIMEDLCSSLPSLRKLLLPNNYLSGTVPRSLGNCANLESVDLSFNLLVGQIPTEIMALPKLVDLVMWANSLSGQIPDMLCSNSTTLETLVISYNNFTGGIPPSITKCVNLIWVSLSGNRLTGSMPRGFGKLQKLAILQLNKNQLSGPVPAELGKCNNLIWLDLNSNSFTGAIPPELADQAGLVPGGIVSGKQFAFLRNEAGNICPGAGVLFEFFGIRPERLAAFPAVHLCPSTRIYTGTTVYKFSSNGSMIFLDLSYNALTGAIPASLGNMMYLEVLNVGHNELNGTIPYEFSGLKLIGALDLSNNHLTGGIPPGLGTLTFLADFDVSNNNLSGSIPSSGQLTTFSQSRYANNSGLCGIPLPPCGHDTGRGGEAPVSPDGKRKVIGASILIGIALSVTILLLLLLTLFKLRKNQKTEEKRTGYIESLPTSGTSSWKLSGVHEPLSINVATFEKPLRKLTFAHLLEATNGFSAETLIGSGGFGEVYKAKLKDGAVVAIKKLIHFTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKHGSLDVVLHDKAKASVKLDWAARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDNNLEARVSDFGMARLMNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLSGKKPIDPNDFGDNNLVGWVKQMVKENRSSEIFDPTLSETKSGEAELYQYLKIACECLDDRPNRRPTMIQVMAMFKELQLDSDSDFLDGFSINSSTIDESAEKST >Et_4B_037968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25143796:25147219:-1 gene:Et_4B_037968 transcript:Et_4B_037968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRANKSLLETKEAIERHRKSLKKRQSDKGDGSDAETSMSEEDIILQDEICKSRLTSIKREEEQYLRERDRYELEKGRLIREMKRLRDEDGSRFNNFQILHHRYALLNLLGKGGFSEVYKAFDLVEYKYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHPNIVRLWDIFEIDHNTFCTVLEYCSGKDLDAVLKSTPVLPEKEARIIIVQIFQGLVYLNKRSQKIIHYDLKPGNVLFDEVGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFDLSKTPFISSKVMVSDNYSASPMK >Et_6B_050157.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:7843121:7844283:-1 gene:Et_6B_050157 transcript:Et_6B_050157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSKLRPAEPVSNSGPLPPDALFEVLLRLPAKELCRLRVICRSWWALTCDPQFAAAHKSRHREPLFASIFQDGDSGGVAIFDMSGQVLRRIRFASERVAVLRTYLDRICVIRECKPSLGAWVVNPATGSALALPGLDSDEYLRICTERTFALGSVITHYCKTVTYAIGQVASTGDYKVFRFSHPDRSQPLLCDIITLDGATLDAGGGSRTLLVVL >Et_8A_056916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18902267:18903295:-1 gene:Et_8A_056916 transcript:Et_8A_056916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKHHRDNLSYAVAARKTAPATGGVKKPRRYRPGTVALREIRKYQKSTDLLIRKLPFQRLVREISQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAVHAKRVTIMSKDIQLARRIRGERT >Et_2B_019454.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3396643:3396852:1 gene:Et_2B_019454 transcript:Et_2B_019454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRPTRHPAGAGAKVHPRVRVRVTYFTRDIFLHERAFVSPDPNPIRCHFYPRPMSSGVMSGFSIKSASYP >Et_4A_035364.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21712678:21715029:-1 gene:Et_4A_035364 transcript:Et_4A_035364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRRVRRRFLSTSAVHLHPSPSGAIYHEDKSKNGLQQKQQQLEQHIARGHLGHAQQVLDGIPAPAARDFNALIRAYSWRGPYHAAIDLYCSMLRRRVVPNKYTFPFVLKACSALSDLRCGRAIHRHAAAAGLHTDLFVSTALIDLYIRCARFGAAANVFAKMPAKDVVAWNAMLAGYAQHGMYNHAIVHLLDMQTNGSLRPNASTLVSLLPLIAQQGALSQGTSVHAYCLRAHLDQNEEQVLVGTALLDMYAKCKHWVYACRVFESMPVRNEVTWSALIGGFVLCNRMVDAFSLFKDMLAQGGLCFLSPTSIASALRACATLADLRMGTQLHSLLAKSGIHADLTAGNSLLSMYAKAGLIDEAMMLFDEMAIKDTVSYGALLSGYVQNGMAEEALLVFKKMQACNIEPDVATMVSLIPACSHLAALQHGRCSHASVIVRGLASETSICNALIDMYAKCGRIDLSRQVFDKMLARDIVSWNTMIAGYGIHGLGREATTLFLGMKNEDCVPDDVTFICLISACSHSGLVTEGKHWFGTMTQKYGIQPRMEHYICMVDLLARGGFLDEAYQFIQSMPLKADVRVWGALLGACRIHKNIDLGKQVSRMIQKLGPEGTGNFVLLSNIFSAAGRFDEAAEVRIIQKVKGFKKSPGCSWIEINGSLHAFVGGDQSHPHSPEIYQELDNILIDIKKLGYQADTSFVLQDLEEEEKEKALLYHSEKLAIAFGVLSLSEDKTIFVTKNLRVCGDCHTAIKYMTLVRNRDVIVRDANRFHHFKNGQCSCGDFW >Et_1A_007443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34609460:34617485:1 gene:Et_1A_007443 transcript:Et_1A_007443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKVPYHKHREAEEARKKREEDEAARVYAEFVESFRGDSSSGAKFVRGGVIDPNAKLKTDPEGGKSKDGGSVPKKGSRYVPSFLPPSFAKEPEKKKEDERPKEKEKGKPRAIDKFMEELKFEQEQREKRNQDRDHRREGRHSDSSTPSSRFDELPDEFDPTGRFPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYDYELKIGWGKSVALPSQALPAPPPGQMAIRNKEGATVILSGPGGPPVTSITPQNSELVLTPNVPDIVVAPPDDGRLRHVIDTMALHVLDGGCAFEQAVMERGRGNPLFDFLFDLKSKEHTYYVWRLYSFAQGDTLQRWRTEPYIMITGSGRWVPPPLSSNRSPEHEKEFTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETSIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEASLPDVMESFNDLYRSITGRITAEALKERVMKVLQVWADWFLFSDAYLNGLRTTFLRSGNSGVIPFHSLCGDAPEIEKKSSSEDGNDGFKLNEDGALATGKAAATKELLGLPLAELERRCRHNGLSLCGGKEMMVARLLNLEEAEKERVYEKDVDMKSAQSEPHRSGREDINVHARVASRFGEGPNGDELDISRNNMRAGQGRSKEPDSAGLESVPSKKPKYDPVLPASKWSRDDDISDDEDRRGGRGLGLSYSSGSDTAGDLGKVDTAEVSTDQASHRSDTIYRESLEEQGLRNVDEIERKVASHRRRLQSEYGLSISTDGASSRRSSERISLERKEKYGDAHDYPRKRRRSQSRSRSPPRKSQEREREHNRNRDRIHEKSTSRGRDDHYDRSRDREKDRKKGR >Et_2A_017469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34730167:34733832:1 gene:Et_2A_017469 transcript:Et_2A_017469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSEQSSRFVQELVLYAASAALSCLVLFAGLRHLDPNRAASQKAQQQKKEIAKRLGRPLVSTTPYEDVIACDVINPDHIDVEFDSIGGLDHVKQALYELVILPLRRPELFTFGKLLSPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVSAVFSLAHKLQPAIIFIDEVDSFLGQRRTTDHEAMTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQIFEIGVPVQSERSKILQVVLKGENIEPDIDYDHIARLCEGFTGSDILELCKQAAFYPIRELLDSEKNGRRPVKPRPLRQSDLERALSTSRKSKKAASSGFQSPLWVRPSDSEDDQVQSAIFEISKLMSRIVQNSQSEPQEPSSP >Et_10A_000297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21747527:21748771:-1 gene:Et_10A_000297 transcript:Et_10A_000297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAIRAFIHDTNIYACAPGVLAGQFRATPRKDDRFFFTTVQRQKKSSKAGKGYVRVAGQGSWSFQKGEEIKDDGGVKVGEVTKLRYKFKNGKYADWLMEEYSCACSCPNAVVGDREPVFCRIYVSPNAGADSAARQESAAFSEQPAAPPPEPVNRRPAPPPIMKPPCPKRIRGAPISPVRPPPAAACTMTVAQAPCSPVAARDPFCTESPAVAQDDDSDFDLVNLLENTLQTEQAEGNEAQDDTDWFAFPLANEQVRKVTLIRTGLHSQRRRSG >Et_8B_060364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9985113:9990599:-1 gene:Et_8B_060364 transcript:Et_8B_060364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTALARKIPKLVYSDSTCGGIGRTARSAEKCFPQPSPSLLRLRRKESNKRAGMLLLPFVPSSPLLCPTPYYYFRFTYIYLVQIFVRSPSGRMISLRVYPDETLYDVKKKIMEKHNLVSDGVRMEDYLTLADCNIQHQSTLDLLEKMQIHVKEALQGLTFTVDVDSSYTIDKIKDKIEVVHGFPKGRQCLIFANQQLEGERTLADYNICKDSTLLLVLYPSPGGRMQIYVKTMDGNTHTFKVQSLDTVDSIKVKIYEMQGTRPTHQRIIFAGKQLQDGRTLADYNIETDSTLHMDTVKVWFCL >Et_10A_001766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7921395:7924245:-1 gene:Et_10A_001766 transcript:Et_10A_001766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPSDPYAASVSARLLELAADDDAAALGDLLASHPSLADEPAPWYSPARGAEPMTPLMVAAAYGSVSCLDVLLSPPYLADPNRASPSSLSTALHLAAAGGAPSAPAAVSRLLAAGADPTLLDHLHRRPSDLVSLPPSSLPLKNHLLSLLGARKEWPPDPSLPDIKNGAYASDDFRMYSFKVRACSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFKKGTGCRRGDMCEYAHGVFESWLHPAQYRTRLCKDGVGCARRVCFFAHTPEELRPLYVSTGSRGAMEMAAALGMGMPSPGASFTPPLSPSGCGSGVAGAWPQPNVPALCLPGSAGNLHLSRLRTSLSARTMAVDELLAASDYDGLGSPASVRSARGKTLVPSNLDDLFSAEMASAAASRSPRYADQGGAAFSPTRKAAMLNQFQQQQSLLSPRASAAEPVSPMSSRLLAALAQREKMQQQTLKSMSSRDLGSSASILVGSPVGSSWSKWGIPSGSGTPDWGADDEELGRLKRSSSFELRSSANGDEPDLSWVNSLVKEPTPEKVSINGTSTKESNGILGQAASHEGINGEDDAAGVIGGWLEQLQLDEMMSVTWPLMDLLSCHDKTTGAVARYGRLMSWLSLVLITVLN >Et_7A_050460.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16613188:16613274:-1 gene:Et_7A_050460 transcript:Et_7A_050460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAWSETISDLLWSGWFWRGFLPNTI >Et_2B_022268.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:16378910:16379581:1 gene:Et_2B_022268 transcript:Et_2B_022268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSRHQLCDMHRSRRRRRVLFTAALAAVLAGLSAVALYMTYRPMKPQASVARAAVYQMANAGGDNSNSSSATPSPNALASSVQFTLVLHNPSDRASLLYDGLLAYVTYRGELVAPPALLPAMAQERGADVALSPVLGGGGVAVPVSPDAAGALAADCAARRVQLRLVVMGRVRYKTGPFRSGWRDLYVRCDVIVGLGRDAAAAGGGDGGVPLLEYPKCFVDA >Et_7B_055362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9673856:9674678:-1 gene:Et_7B_055362 transcript:Et_7B_055362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVQENRVAARPPTRAVYAPTLPSQQFANAIKSDHRYLCQVVQQYKGPADLKHYNLMELSIRGYQVSERFTRCIKLVVEAAVNLQRALPLDSVPCKRCGFCASKGFPQTLEERKLVGNHSGDRRLLR >Et_9A_062049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19247363:19258185:1 gene:Et_9A_062049 transcript:Et_9A_062049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEPSPSAASASAAADDLETLALDSSSSSSAAAAAASASTDPLLRPPPSPNGAANRDPFVIDDFLDEDDFSPAPSPSTARSIAARDDAPREYAKITVSDPKKHAEPTAGAAGVIPGSGSYFSYLITTTLAGSGGEVRVRRRFRDVVALADRLAASYRGLFIPARPDKSVLEGQVIQRHDFVSQRCIALQRYLCRLAAHPIVGSSSDLRMFLTQPGAIPAFEGEPPRYWTTTANAAAPPPVPAKSGRHLLGMFKDLKQTVVNGLVATKPPPVEQETDTEFLAHKAKLEDLQQQLTTTSQQAEALVKAQDDLKETTGHLGMTLIRLAKFERERATSSSQSNRAVDIHNFANSVVIFRRSQNILNSKIVKHLGCIHEYLETMISVNHAFADRSNALHHVQSLSADLYSLHSRAGRLESVSPKDRGHEWSNYQKAEGLKETIKSAEAAKIDAIKEYENIKENNMIEIKRFNKERRRDFVEMLKGFVKDQVSYSDRFADMWAKSPAASFKPRLPSPRSRLGAKTAARSSSRSRDVSTSATYRRGLLLLVPSLAAASTVLRTLPSAAAGADDADAPATTPPPPPTDESPSPAPAEAEQEPDESAMSRVYDASVLGEPEAVAGKEGRRRVWEKLAAARVVYLGEAEVAPDPEDRVLELEIVRGLETRCADAGRGLALALEAFPCDLQQQLDQFMDGRIDGSILKLYTSHWPPGLWQEYEPLLNYCRDSGIKLIACGAPLELRRTVQAEGIKGLSNAEREAYAPPAGSGFISGFMSNPDLSLIDNISSTDASPFDPTSYLSIQTRVVDDYTMSQIIMKELNDDPSRMLIVVTGASHVIYGSRGIGVPARISKKMSKKDQVVVLLDPDRQDIRREGETPVADFLWYSAAKPCNRNCFDRAEIARVLNDARRRPEALPKDLQKGIDLGVVSPEILQNFFDLEKYPAMAELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLQYADDGSGQSLEFVKSLLGPLPDNAFQKNILGQNWNLNQRVTAVLIGGLKLASVGFISSVGAGLSSDLVYAARGMVKPSVNVEARRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEYRLGESLVTYYNQPLLASLLSFVARTANSYWGTQQWVDLARYTGLQKSEDNLPSEDNLPSAEDTMPPETETAHLEHDGPEVQNLDDSSNNNNQSCGPT >Et_1B_013690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12135863:12137363:-1 gene:Et_1B_013690 transcript:Et_1B_013690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGVLVNLALCLLCSCVELVAVVVLRGLASLAVAVVQLIRLPGQAGGAAIEAARAAMDAAAELVFAVVWDVVSAVASAFLEFLWSAVTGAAELVASAVTELLEAARDGGEEAAKLIAAALEGAAEAAVGTVAKLVESYVDALRLVVDNEGTIYDQIEIVEQVYKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKEKKKKKKKEKKKEKKKEKKKKKKKKKKKKKKKKKKKKNEARIDSHCRINEGPSFDMMLEYFM >Et_2A_017759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:607521:611727:1 gene:Et_2A_017759 transcript:Et_2A_017759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAAGVADWEETVRGMFPPGTTIPDNLDYSIALEYDGPPVSYELPRINPVDIPAIPTAEAASGPLRLGNGAVPVAPVIGPHRANPPASQAAHRAAQVRSGSESPNSASQEEDYSDDESHPDSYEPPRNDSKYESKDFDEVSEQYVAVTRKENKGRTCCRCGKGKWESKEACIVCDARYCSYCVLRAMGSMPEGRKCVTCIGQPIDECKRSKLGKGSRILSKLLSPLEVRQILKVEKECQANQLRPEQLIVNGFPLQHEEMADLLSCQRPPGNLKPGRYWYDKESGMWGKEGEKPDRVVSTNLNFNGKLQPNASNGNTKVYFNGREITKVELRVLKIAQVQCPRDTHFWVYHDGGYEEEGQNNIKGKIWESPLARIACALFSLPVPPANSDGPKDESPYSARTVPNYLDQRIEKLLILGSPGAGTSTIFKQAKLLYSTRFTPEELESIKLMIQSNLFKYLGILLEGRERFEEEALENSPCSGDENSQQENECTTSNSCIYSINAKLKTFSDWLLDIIAMGDLDAFFPAATREYAPLVNELWKDPAIQATYKRKDELHFLPDAAEYFLSRAIEVSSNEYEPSEKDVIYAEGVTQGNGLSFIDFTLDDRSPMSETFGDNHEAYSQPVNKYQLIRVSAKGMNEGCKWVEMFEDVRMVIFSIALSDYNQLAAPASGGSKSLVNKMIQSRDLFETTIRQPCFQDTPFVLVLNKYDLFEEKIGRAPLSECEWFSDFCPLRTSHNNQSLAQQAFYYVAMKFKNLYAAQTGGRKLFVWQARARDRPTVDEAFRYIREVLRWEDERDDGGYCPEESFYSTTELSSSRLIAAAEG >Et_9A_063486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4000714:4003154:1 gene:Et_9A_063486 transcript:Et_9A_063486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDLSWVGHAIDMCDDGCVQVKWGDGTTSKVLPHEISVVEEPSISKMEEEMGDWMANDAMKEARENKNEDHAVAAAELSQTWILSHDVIEEQTISKMVEELDDWVAIDGAVKEAHEDKDENYAAAAGNVTDDNRGSADAANLSSGEAGTPVVSGITQGLMRLAAEVKAKGKRFLVRDLEATPRSEPAAMENVVRQPNRDGSETMVVQADVDSNVCADEKSSANASNDALLHFPQFDVVQMSPPDHYFLKDAEQVRN >Et_9A_062698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3343825:3350663:-1 gene:Et_9A_062698 transcript:Et_9A_062698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPRRKAKEKKALVEMASKENGIEALPDGVLQHILGYLQPRDAVRTSVLARRWRDLWASTTALRISAEEGEDMNKLREFVDHLLLLHGGARIQTFVLWFGNIADEFSDNDVLRVNLWFQHAIRCQARELQLVDDSLGSFFHLHDLPLVSRHLMELLLSGLEVKGNSLNFSSCPALEQLEIKNSDLLNAARISSESLKRLTITECSFRTHIYAPSLVSLHFDDNWNKSPVLESMPLLVDASVRVVHENEDSCGNCDCESGDCNIYHGFIHGNSSCVLLEGLSKAKKLALIAESKTFIFKMDLQLCPIFSNLKTLLLIDYFCVALNLHAISCILKHSPVLEKLTLQLFSKGPKHEMEMKGSYGSEQRSNAISEHLKVVEVKCEVVDKRVLKVLKLLCSFNILYLKFDFTFISMKESKQCINYYVHRTSLLKWRPVAARAEEHVTELEAANAPSAKLHTVCCMKMSATVLLTRSLALKAAANGGARTGEPLTFCASMTSSFKILSWAVISF >Et_7B_053406.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:16061560:16061760:1 gene:Et_7B_053406 transcript:Et_7B_053406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYEQAAFQAVTFLQSLYGFLVVDYSYQSLVYYRRVAESAVAAAEAAFQWLAAVDPAARFVTYFS >Et_5B_044292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22318800:22326641:1 gene:Et_5B_044292 transcript:Et_5B_044292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRDPPPSSSTASGCGARWPKPSCRSTRWRHGGDDGRLEINCNGEGALFVEADAADTTVDDFAPTAELRHLYPSVEYTDDVSASPLLVVQVKSISGSVCLGISTHHYVSDGISAMHFIKSWAQLCHGAQVLSSMPFIDRTLLRARDPPMTSFRHDEYLPPPPALPATTARLLLSAPPPATTASDIFKLSRSDLARLRSRLSSSERRLSTFAVVAAHVSLARGLPPDQPTVLFTAVDGRTRLPLPDGFFGNVIFHAAPVADAGTVVTRGAARSITSIISTMVRPALETPRGKLWLSSIDLVSPRLHTPCVHFYCRPPADGDKESSLFFDGDHRSRRWCGRRWRRRAVSCGCPPSTSRRLALHTPCVHFYRRPPADGDEEGSSLFFDGERMRSCRSTRWRGRDGRLEIDCNGEGVFFVEADAPGATLDDYGDFAPTMEFRRLVPADDSAFPLLLVTRRRPPPPSKSSSSPSETSPGSAQLPKRHV >Et_4A_032751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13349908:13350309:-1 gene:Et_4A_032751 transcript:Et_4A_032751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTTLLQELHLDTVPSSPGADEATLGKRLRHTGVSTVAIYTAWNLWKERNRRRFQRKAADAEFVAGLVRQDIELLDLAAGDEDFEMLILSRKCEYSLAHV >Et_6A_045896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2874104:2874790:1 gene:Et_6A_045896 transcript:Et_6A_045896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SAAVVVVVRRRRRRRGLQGRRGGGDLGEGGEDGGVVARRVEGEQAAEHAAEAVPVAQPHLHHHLHHALPEVRARVARLLLHRHAHALILLHLLLLLLPRRWRRRRRRLGQLLLLRGGGVVVVGGVRRRVAGALGGGARRREG >Et_8B_060460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1754675:1760166:-1 gene:Et_8B_060460 transcript:Et_8B_060460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDCRSCGERALVADPDTNVVVCTSCGAINDAGASEFVNQATFTEDGRLDRGASSFIRNQSDSAYRENKLADASETITSIAARLGLSPTRAAEALQMAKSATGGNLATPGSAFLPALAAACAMLVARTHRLPLSLAEAAAAAFCPAHSLADLVSRVAAQLDLPSVPSFDYAAALDRAVRNSPSLQRAGDEKTEAILAQARFLLRCAAKWSLTTGRYPLPLIAAVVAFSAEVNGVASVSVEDIAEDISAGQTTSLRRYKELIDALVHVARQLLPWGADVNAKNLLLNAPMLLRLMEMRSQSDPSERFIESFAPNMAGIVQAYSSVDDDESKYLQVVPYGAEDSEFENPVQEVKGSGDQKISGKCLSDAYQNVLLRLSQLQKLGQVGKGANKRMKLRGTLALEPWVDSMDDDWGKDIMLEELEDIDIGYDAPPPSFAANTELEKKRRARIEAAKRRIDAIKKAPAAIANDPQPVARKEDTCPPQKLPRKKRGRKTMDDRDQVILRDNTAEKSDGPDGSKKRRRRVSLSGLDWEDCIIELLLLHGASETEIEEGQYRRLLELHLDHGVDERIKEGLLAIGKLLHDWSRRRVGIQHLVGGEEPQTIDEVGVVEVVEGDGADGVHVHGDGWADVARARLLELVSVVRVVGWVSRRAVAVAVEPAGEAGAVREADGVRAGERHQVNQGQVVLLEQGRELIDVHVLAGQLALDVAFSGDEAVKPAELDAPVGAGRLQWSNSGDGQRCQDSGFRSRISEHEC >Et_2A_016998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29987213:29989641:1 gene:Et_2A_016998 transcript:Et_2A_016998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGSGHEGEGDDHILVLHKQEWVKTQDILKRKLVLEDDFDWSLPSAGSCSDHAHVRGELKYVAGVDISFLKEDPSMACAAVVVLDAITLEVVHEEFDVVQLQVPYIPGFLAFREAPILLGLLEKLKRNAPHFYPQLLMVDGNGLLHPRGFGLACHIGVLADIPTIGIGKNLHHVDGLNQSEVRRQFEAEENCNKELISLTGQSGTTWGVAIHSCPGSSKPIYVSVGHRISLDSATAIVKYCCKYRVPEPTRQADIRSKVFLQKYQRPQQ >Et_1A_004698.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31847091:31847851:1 gene:Et_1A_004698 transcript:Et_1A_004698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHSKVNHMNVVKFDRWCLDGDFPILVYESANASLRDILFGYCSEYYTFLPEERFWIAISIAEGLSYLHSLGIVHGDVRSANVLFVNVNPNCGPQKLNVMENCELYRKMRIKVSGIEASVYLSLDKTAHERIKAEASPKKEKRIKADEHNGYVDPRFLESGILTKEGDGYSLGVVLLELFTGEMASNHTRNCQLEELWDNEVCRHIAGTRTIIFRCLDPDIRKR >Et_6A_046900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22001435:22011663:1 gene:Et_6A_046900 transcript:Et_6A_046900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQRSQAYCLLLALLLSLQLTGGLAGGAGDVTVYWGRNKYEGSLREACDTGLYKTVIIAFLSAFGHGQYKLDLSGHPEPPYYIGNDIKYCKSKGIVYSLPSSQAAAEVADYLWNAFLGGSRWGVVRPFGNVAVDGIDFFIDQGGKEHYDELAKILHRLGVKLTATPRCGYPDHRLEAALDTWLFERIHVRLYGEDRNCFLTPVDSWKKWAAAYPRSKVFVGVEASKDAYPATYIPPWDLYYRVLQFTKQVPNYGGIMIWNRNCDKITATDLTKMAIQRGEACYLLLAVLLSLQLTEGVLAGGAGDITVYWGQGYRYEDTLRQTCDTGLYKTVVISFLSAFGDGYYKLDLSGNPTFDIGNDIKHCKSKGIVVLLSIGGHGSEYSLPSNKSAADVGDYLWNAFLGGSRAGVDRPFGDAALARRLYSYTRYNRVGQRIVLTATPRCGYPDPHLNAALDTNLFNRIHVRLYSLYGLDRPCTTTPWDSWLRWAVAYLHTKVAVGVVALSEGDYKAYLSPRDLNDRVLHAAKQMPNYGAWCLLLALHLATIQLTAGLVGPGDVAVYWGRHKEEGTLREACDTGACTTVIISFLNTFGHGKYTLDLSGHPVDLVGDDIKHCQSKGILVLLSIGGHGGGYSLPSSQAATDFADYLWNAFLAGRRAGVHRPFGDAAVDGIDFFIDRGATEHYDELARRLYNYNKHCKDGDSVMLTATPRCGYPDQRLAAALATGLFDCIHVQLYGDDRQCVLSPRESWEKWAAAYPASQVFVGVVASPEADAAAYMSPNDLYYRVLQFAENLPNYGGLMIWNRYYDKKTGNMGGF >Et_4A_033482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23487502:23491529:-1 gene:Et_4A_033482 transcript:Et_4A_033482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVLVVPSPGQGHMNPTVQFAKTLVQGRAHHVRHHLLHHADGGRRCRRWTTSDGHDEGGFASVAGVGEYLEKQRAAASASLAVLVEAHDSSPAPFTCIVTRCVPPLALRMGVLDAVVRGEHRVPPGTVAAAYGKTNPPISSSSAGHRGGVPGAAARRCGEAATAPPWPRAAGRRWAGLAAGAPWPDELGWRRHGNGGGDRGRRGEAARRRQPPEVGAGGGGKGAAGGDDAGRRWSGGAGGLLGGGGGRREKEEAGWDGLRAISVRPGRQRRPPVYHYVSQGGSLCHRRRPTTESEAVEGSYPMLTEMALNQFAHVGKDELVLFNSFEELESEHLGAAMTKAAMALPPVVASWASAANEATSPFVD >Et_2B_021556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30499006:30502446:-1 gene:Et_2B_021556 transcript:Et_2B_021556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFLLGFLLGLVALAAAEAAALLWAIRRLSRRKGASPEATARLPVDPPLPCDKEGSLWMLEQEKIPKVTRNRSSTGANQEIKEKKNVVEVFPEKMLAKLKGHSLCLSGLDGSQITIELLNCTVVAVSASNLPSRKWAKRYPIKLESKGSEIYKESKVCYVYADTSWEKESWCKVLRLASTTDKEKLKFHAMLIEEFHSYISSLNAGYPCFLKSSELSGEEHVVTNKTVKTDGSSKVRLFLKKFAKKASLKASPESTKSPVLFKQDAQQPSTPSSSLGYDTHFLDSPYANVDEKFVDEGTLCCNLLLSRLFFDVKRNDEIANAIKSRIQRTLSNTRTPAYIGEITLSDLKLGKLPPYLRRMRILPQDLNEMWAFEVDFEYSSGILLHIETRLEVQEPELQKDIMKTTLKDDSNADVNSDLLDSIEHYGNQFRSSQDLSSATEDNDAADALRKSKSTGWTSTYMPRWKRILHSIADHVSQVPLSLAIKVLSVRGTMRVHIKPPPTDQIWYGFTSMPELEWELESSVGDTKISNSRITSLISNRIKASLHQILVLPNCESIPISWMISEKDDWAPRKVAPFIWLNREPHAENADHSADRTASLPWPGEVDVSKTIANNKANKSSPSALSTRSHESPKNTLSVTDGQNEGTEEKASTPQHSLVPASAATLHSGDANDQLRTPLLSSRELQVGASSERGVVVEAEDVKRKRGRRAWVIDLGRRMGDKLEEKGKHIVDKMRGSPNLPDFERATTAHAHN >Et_4B_040011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7711406:7715664:1 gene:Et_4B_040011 transcript:Et_4B_040011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPVSYWCYHCSRFVRVSPATVVCPECDGGFLEQFPQPPPRGGGGSGRRGSMNPVIVLRGGSLSGFELFYDDGAGEGLRPLPGDVSHLLMGSGFHRLLDQFSRLEAAAPRPPASKAAVESMPSVTVAGSGAHCAVCQEAFEPGAAGREMPCKHVYHQDCILPWLSLRNSCPVCRRELPAAATPDAEADAGLTIWRLPRGGFAVGRFAGGPREQLPVVYTELDGGFSNGIGPRRVTWPEGDGQVGGGDGRIRRVFRNLLRCFGQGSRQATSKTEYFIV >Et_3A_025071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26588078:26591854:-1 gene:Et_3A_025071 transcript:Et_3A_025071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSAAARDEIAFSMRLLGHLARRNGGANLAVSPLSIHAALVLLGAAARGATLDQIVAVLGPAGGHAHATLASHVATRVFADGAASGGPMLRFANGVWIDEALRPNPDYARVVSEHYRAESSWAPFKSRPEEARSKINQWIANVTAGRIKELLPAGSIRSSTPAVLANALYFKGAWSRKFDPSSTQLGNFYVPMTYSHVRVPFMSSTSKQHIVSRPGYKVLMLPYARGGPHGVAFSMYIYLPDAYDGLPGLLDHLGSDPARLLETSSTMTREVPVRRFGVPKFTMSSKTTASATLGAMGLTLPFDPVRADFGEMMVESPPEPLYAPEIFHECFVEVNEEGTEAAAATAVAMGFGAAAGPPPEDFVADHPFMFMIKEEFSGVVVFAGQLVAATRDVSAFSMRVLSYLASHDGGQANLAVSPLSLHAALAVLAEGARGATLDQMAAFHGPAHGALASHVALRVLADSCDGAGPAVRFANGVWVDDALRLKDAYARAVVERYRTEARSVPFETEGRPEEATRQINQWIEDVTSGRVKDAVPSGTVGSGTQAVVNTNALYFKGAWSCKFDAHLTDQYIARRHGYKVPRLPYARGQRQDDQRVFSMYIYLPDDYRGLPTLLRALSSSAPETLPLLETSTTLAREVGVRDCRVPRFATLYGTPVTEMLKDLGLRLPFDAARADFSGVLEEASAPQPQQPLVVSNVYHKCFVEVDEEGTEAAAATFVWMAPGCPASTACRREDFVAINIPRHGIGSMHEVIALTYPDRGLLSNSTSETEAYSWFSEVSTFNARTNSLKNNKNKGIMIVYRINVFPIILKLGIAYCFRLGDEDPSAASEPTSAGSAATTSTAGSPKTCPGESCATSAAALLSRRKIGGPV >Et_8B_058721.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:18637469:18637846:-1 gene:Et_8B_058721 transcript:Et_8B_058721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPATAVAVLVLVALLSCAARRSCAIGVNWGTQLSHPLPPSTVVRLLQDNGFDKVKLFDAEDSILTALRKSGIQVMVGIPNDMLADLAGSPKAAENWVAKNVTGHVHDGVDIRSVERHPPPHAL >Et_10B_002402.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17970940:17971838:1 gene:Et_10B_002402 transcript:Et_10B_002402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVIQDRKEIKIMRMDGSKVLNMPSPLKVQEALADPLTGPSIPGVVPVKAPAAVVADPGGVRVKLVISKQELKRMLDKEGMSLDDMVSVMRKEAIDREREQECCGGWRPALESIPEGSGF >Et_2A_015961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19713890:19723957:-1 gene:Et_2A_015961 transcript:Et_2A_015961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSGDSPSPENNAPVAAEAAAEDHVVEGIEDRAMDDAFDIPSTKNASHDRLLRWRQAALVLNASRRFRYTLDLQREEENENLRRTIRAHAQVIRAVFLFKDAGQKELGDCYTGIKFGETLSPRFPIDAEKLTMLSRDHDSIILQNLGGVRGLSDLLKSNLDRGVSPNEDELLQRRDIFGANTYPRKKRRSIWRFVFEACQDLTLVILMVAAAISLSLGMATEGVKDGWYDGGSIFFAVFLVIFVTATSDYRQSLQFQHLNEEKQNIQVEVVRGGKRIGVSIFDLVVGDVVPLKIGDQVPADGILISGHYLAIDESSMTGESKIVHKDHKTPFLMSGCKVADGCGSMLVTGVGTNTEWGMLMANLSEDIGEETPLQVRLNGVATLIGIVGLSVAGVVLVVLWIRYFTGHTKDPDGTTQFMAGTTGVKQGLMGAIRILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSSCETMGSATTICSDKTGTLTMNRMTVVEAHLGGTKLDPHDNIRMLGSSVASLLVEGIAQNTTGTVFLPEDGEAPEVTGSPTEKAILSWGLKIGMDFKDVRSKSSVLHVVPFSSEKKRGGIAVQTDDKIHIHWKGAAELILVSCKSWLSAEGSVQALSSGKYSEFKKLIDDMAMRSLRCVAFAYCTWEHKISPMQDLDKLKLPEDDLTLVGVVGIKDPCRPGVKNAVQLCGTAGIKVRMVTGDNVQTAKAIALECGILDAKDDTSEPMVIEGKVFREMSETAQEAIADKITVMGRSSPNDKLLLVKSLKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMMRHPALYQIAVLLVFNFDGKRILRLQDESREHADRMKNTFIFNAFVFCQIFNEFNSRKPEEKNVFRGVTKNHLFMGIVGITTVLQILIIEFLGKFFETARLTWRLWLLSIAIGAVSWPLAYIGKFIPVPARPLQNYFKHCSCWRRSRQDEELGGKS >Et_2B_018903.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15288124:15290360:-1 gene:Et_2B_018903 transcript:Et_2B_018903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRALQRSGSNSLSALLRAEPPDAGPESDGKRSERDDDRSRGRRRRRRRRSCLRLPLGSGGCRVCSCDEMDSAAAAPGRRPPEAVEEAYEEDDDDVAVQCFSWKKGAASLSAAHRPSGEAGVDAAAAASSLSELPDDVMEMVLCRLPLASLLAARCVCRRWRDLTVAPQFLRTRREEGPRGTPWLFLFGVDGDAGWGAAPSPAVHALDVAAHRWCRVGADGLKGRFLFSVAGVSDDLYVVGGRSGGSDGGKVKTHKGVLVFSPLTSSWRKAAPMRTARSRPVLGVFEMSANCSIIHARAAKKHVRRAKSRMGGASAVYEDPHRLSLRRLRLRDMLNDDPDSTVLASSHDKSVSQEEDGGQPRLAIIAVGGRGQWDEPLVSGEIYDPLIDKWVEIAGFPTDVGLACSGAVSGRMFYVYCESDALVGYHLDMGSWVVIQTSRPPPRLRDYAPTLVCCASRLFMLCVSWCDRHGPVNRRQKVVRKLFELDLSSLQWTEAAAHPDAPMDPNAAIAVGQETIFAVEMFRIFGKVLDFVTACQVSDAEQKWSRIARKNAATEADAMSCRLKSMAVLYL >Et_1A_007532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35592708:35600641:-1 gene:Et_1A_007532 transcript:Et_1A_007532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPLDPPAAPAPETPPATVPVSAPLPALLRPRRVAFEHGLLPIPKLIFPEGTLAQTLTQLKEKLAADGRVGAAALAEALQIPHEQAALALGTLAAVLPAEDPALADGSGDADLRDVLLFLYIQSYKRLVPRSAHKDSPAVADVWPSTSAFDGYLSQLSPIQLVRSNSRRFMPSQTDEEIHQLSYLQKHMANIISLLADSIEGEGDDSLVLTMEAFEHLGFLVQVSEGTSLSQAATFFANSDPDMPAAPVPASQVLDWMSNNIASSLEYSTERSAAKESSQQIDTDVTMTDANTSQPRNSTPSTNPSYYRNTTFVEGFSKTSVVKHAADVKGHSVKVLNCHDTVIYVLAPVKYATVYGCSDATVVLGAVGKVVKVEHCERVHIIAAAKRISIANCRECIFYLGVNHQPLVLGDNHKLQVAPFNTHYPQLCEHLMQVGVDPTLNKWDQPFVLGVVDPHDSLSHPAGVSDVKAESATCLDPDLFTNFLIPGWFEAEVQEPTKCNPFPLPEIYGASQRKKHSALEDIQKTVRELQLDDNRKRELTSALHAQFKDWLYDLLD >Et_9B_064496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15847563:15849278:1 gene:Et_9B_064496 transcript:Et_9B_064496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQAKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEDHPVLLTEAPLNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDHLMKILTERGYSLTTSAEREIVRDIKEKLAYVALDYEQELETARSSSSVEKSYEMPDGQVITIGSERFRCPEVLFQPSLVGMESPGIHEATYNSIMKCDVDIRKDLYSNIVLSGGSTMFPGIADRMSKEITSLAPSSMKVKVIAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPGIVHMKCF >Et_8A_057300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23335532:23335838:1 gene:Et_8A_057300 transcript:Et_8A_057300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATVGGSVRVVSRRMANGNYGCHHRRNEEEMEVIHLTPWDLSLISTDYIQKGILLPKPPVFYGDALVDALASSFARALGRFYPFAMAPA >Et_1A_009138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30660890:30676441:-1 gene:Et_1A_009138 transcript:Et_1A_009138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPASPSRCSDFPDWVLLDTVASVVGRRCTNATTARAVPIEVSFEVADPPNLSRCVVCCPDQLTQSDRTPRVTGADGAFLLIRVCFPGHTPTDVFLYKAGGLAGTPSLYLLPRPYPVNLHFSPVAVLSCHRSGDHCLAVIPERRFEAGGRMSYDPRIFSTETGSWSSKVARVASYLNRYYGGLARLEPTKVLNIGGGSLAWVDLQYGVLFCDVLSEDPEMGLIHLPELTPANKVDFEVVFDGCDPPLRSIRDVTCTNGGAIKFIETELIWDDEEKRLTLDRVISHSPILDMYGDHVVYMASKLGSWKPNGWVFAIDTRNNKLERAVPFSAESVCFEDTKLQCAFSKHLRMGDPTAVQL >Et_5A_042883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6190664:6191939:1 gene:Et_5A_042883 transcript:Et_5A_042883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAGTLDNRGMDISNNGVTSGSVNTTAAPATPFAAGAGHVRPLLALDPGLLRLFVPDFVKCTTTLPGGLAGLNYPSFAPSRWSSTTALMRTVTAVSEKAETYRYNVTVAAPGRVKVTVTPTTLEFKMPNERKSYTVEFRSLAGGNATAGWDFGHISWENEDNLVECGKEGKSAWTDSIVSTP >Et_1A_008060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40473677:40475623:-1 gene:Et_1A_008060 transcript:Et_1A_008060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMARKLLSPSTASRLLLSRRLSSSTRRSSPALTELLRPPASSFLLGGAARGMARRPGGDGFSPARLGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDSDASQGPWKVLNSSFTSLLHHFSNCFIFISACHSEEEAKRKIYNVSCERYFGFGCEIDEETSNKLVGLPGVLIHMLTLSTRTMEKTLTIAQFDCDVAAELFVNGEIVQRPPERQRRVEPVPQRAADRPRYNDRTRYARRRENQR >Et_5B_043473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1098839:1104910:-1 gene:Et_5B_043473 transcript:Et_5B_043473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTSELLRPVDAAHALDEAALLRYAAANVPGFPAPATALTLTQFGHGQSNPTYCLEASTPGGETRRYVLRKKPPGTILQSAHAVEREFQVLKALGAYTDVPIPKAYCLCTDSTVIGTPFYIMEYLEGLIYSDNKLEGVAPDKRRTVYFTAAKTLALIHKIDVNAVGLQMYGRRDNYCKRQVDRWERQYLASTGEGKPARYQRMLDLARWLKEHVPVEDSSAGLGTGLVHGDYRVDNLVFHPTEDRVIGVLDWELSTLGNQMCDVAYSCLAYLVDATPVENSSYRGFEQTGIPDGIPQLEEYLAVYCSLSGRPWPAADWKFYVAFSLFRGASIYAGVYHRWTMGNASGGERARLAGRIANIMVDCAWDFINRENVLREQPGRGIASKASWQELQGEQEGSTSAKEQGRFVPSEKVMQLRKKLLRFIEDHIYPMEGEFYKHAQSTSRWTIHPEEENLKALAKKEGLWNLFIPLDSAARARKLLYEDCSLVSPGSSNDLLLGAGLTNLEYGYLCEIMGRSVWAPQIFNCGAPDTGNMEVLLRYGTKEQQKQWLVPLLEGKIRSGFAMTEPHVASSDATNIECLISRQGDFYVINGRKWWTSGAMDPRCKILILMGKTDFSAPKHKQQSMILVDINTPGVQIKRPLLVFGFDDAPHGHAEIIFENVRVPVTNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMNLMVERALSRTTFGKRIAQHGSFQSDLAKCRIELEQARLLVLEAADQLDRHGNKKARGILAMAKVAAPNMALKVLDMAMQVHGAAGLSSDTVLSHLWATARTLRIADGPDEVHLGTIAKLELQRARL >Et_1B_011560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23682846:23688718:1 gene:Et_1B_011560 transcript:Et_1B_011560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVESAPDGAGKPPPYKDPDDGKQRFLLELEFVQCLANPTYIHYLAQNRYFEDEAFIWYLKYLKYWQRPEYIKYIMYPHSLFFLELLQNPNFRNAMAHPASKEVAHRQQYFFWKNYRNNRLKHILPRPPPEPTPAPAPSQAPTTVSLPAQVATPVVPPVPAPPSSLPPVAAAGASAMSPMQFVGTPGTNIPKTDMRNPMGNRKRNTHNAFGGADGPLKDADPGLGLLDESLDELALPPDDAPDLEHRHHQPEHAVTGPSGPPLRGSRGLLRRSNVGLLGRPRGQRCGHLDLHGGGR >Et_5A_040450.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:23307539:23307553:1 gene:Et_5A_040450 transcript:Et_5A_040450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHP >Et_1A_008799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13722433:13726657:-1 gene:Et_1A_008799 transcript:Et_1A_008799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAAGRRSGTSRRRPSGGGEQQQLLLQQRLLAVAVASRVAMVTGAGAGASGTSPSGGGRCLEDFFDCLLGVLRAVGLTWVAARPQRQPRPAPPRGAAPADARRLAAELRGIPGRIAGNGASAVASLYTLQGRKGVNQDAMIFWENFCSRDDTIFCGVFDGHGPYGHLVAKRVRDLLPVKLSADLATDEGRETSTSNIKSNANEVASPEHIDRGDTTISSDAEQNGEYPESFPVLRSSFLKAFHVMDKDLKLHKNIDCFFSGTTAVAMIKQGHNLIIGNLGDSRAVLATRNENNQLVAVQLTVDLKPNIPSEAQRIRQRKGRIFALPEEPEVARVWLPKYNSPGLAMARAFGDFCLKDHGLISIPDVSYHRITEKDEFVVLATDGVWDVLSNDEVVSIVSRATSRASAARFLVDSAHRAWRSRFPTSKIDDCAVVCLFLNTDEASESSSSMAHLANSVEVNSDQRSAMIQLSTGSSADLVEAIAKPVIHAKDG >Et_1A_006078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17507971:17509207:-1 gene:Et_1A_006078 transcript:Et_1A_006078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTAVMLLSRHGGDGGRGIPQALSDVLVCPLSKKPLRYCEVSGSLVSDAVGVSFPVVDGIPSLVPKDGKFLEDDREKSGQESKL >Et_2A_015227.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:9608785:9609219:-1 gene:Et_2A_015227 transcript:Et_2A_015227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQKYASYARLALRRWRRPARGFRLSPARISVRPLRAKLWTLLGILGRYARNVRLLTAGLVAGGGGGRSAPLSAGKGGRAAAPPAGSGNSSKAPPRKPPCMRSNSFYARAVAECLEFIKGSNTAPEASPLRAIGTPRRTTGRC >Et_3B_028984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21067492:21071330:-1 gene:Et_3B_028984 transcript:Et_3B_028984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRMKRMLDDDGFGNEFDTKPVKSMKLSHFQVSESEQPAVLNSSDKDLHYESDPTTIQLDAQDIRVNEAGLHDLLGGTSIAVFEDLISEVAVSPNSEDDSGSYNDSSDSQLNVINYDKEFADQEISSLGHIFCAVNHEANWGLSQAFCSLLDGYNPEDDAFPFLLDNSSDLLPGCTGLGDEFAPIDTLINMSAKYGVFPLAEGANEVSTINKPCSSEVDMCFINSEVLEWLNPHLSEEGLPELIDFAELDLKASSVLEDLRTRRVTLVLDLDETLVHSTMEHCDDADFTFPVFFDTKEHMVYVKKRPHVNTFLEKMAEMFEVVIFTASQSIYADQLLDMLDPEKKLFTKRFFRESCVFTDSSYTKDLTVLGVDLAKVAIIDNTPQVFQLQVNNGIPIESWYNDPSDEALPQLIPFLETLAVADDVRPIIANKFGNTIDKFLLQ >Et_6A_046142.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:3621079:3621201:-1 gene:Et_6A_046142 transcript:Et_6A_046142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHELAQLAKRTVHTAVWRERAPRCVEGLVAQDCNHLPV >Et_2A_018452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28570977:28572902:1 gene:Et_2A_018452 transcript:Et_2A_018452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLRTVATASFLVCALLVSTTEAAVAGTGNANVLQGEQLESVGRRPKLASFTRADAGGGGKRLVPGCSTLQRARLNNICKNRTV >Et_9A_062207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20701200:20704729:1 gene:Et_9A_062207 transcript:Et_9A_062207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRYWIVSLPVQSPGTTASSLWSRLQDSISRHSFDTPLYRFNVPDLRVGTLDSLLALSDDLVKSNIFVEGVSHKIRRQIEDLERAGGVESGALTVDGVPVDTYLTRFVWDEGKYPTMSPLKEIVGSIQSQVAKIEDDMKVRAAEYNNVRSQLSAINRKQSGSLAVRDLSNLVKPEDMVTSEHLVTLLAIIPKYSQKDWLSSYESLDTFVVPRSSKKLYEDNEYALYTVTLFAKVVDNFKVHAREKGFQVRDFEYSPEAQESRKQEMEKLLQDQETMRTSLLQWCYASYSEVFSSWMHFCAVRVFVESILRYGLPPSFLSAVLAPPTKSEKKVRSILEELCGNVHSIYWKSEDDVGVAGLGGETEAHPYVSFTINFV >Et_7B_054597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2666296:2673554:-1 gene:Et_7B_054597 transcript:Et_7B_054597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGHAPSPSPALLRRCPCSAPPWAPSPFRSRRRGRSITAPFTVGRRQEYSQSSGIGIQGNMPPKFGVCVNFNVQSSAQEWAEEGKRLSFIRTTNNVMNSICNGSTHLGTGILQHEPSEDYKSSIHSSLLGMRQRMAPNSLANRHASTEMAKHRVNHAAGAVSALASVVKDDTKPVNRPGDHEVKAHWPNGSKFRAPLPKISEVKTSLQFDEKDRDSDAAAELEGSAENTVQPSPARAPLSKEAIDARKALGTIYEKVLVVDDVKSARSVVQLLISKYRNFIHACDTEVSNIDVKQETPVGHGKVICFSIYSASSGAEADFGNGKTCIWVDVLDGGQDVLMEFAPFFEDPSIRKVWHNYSFDSHIIENYGIKVDGFHADTMHLARLWDSSRRLDGGYSLEGLTNDHRVMGALPKELQKIRKRSMKTIFGRKKIKKDGSEGKITSIEPVEILQREDRELWICYSSLDSISTLRLYESLKSKLERKHWTFDGCPRGSLYDFYEEYWRPFGALLVKMETAGMLVDRAYLSEIEKIAVAQRKLAADKFRKWASKYCPDAKYMNVNSDTQIRQLFFGGIENRCRPGETLPMSKAIKVPNDGNAVVDGKKAPKYRTIEISSIVEGLKADIFTASGWPSVSGDALRNLAGKIPSDLVYAADDGEDSESSDCDLEDTSFYGTAYEAFGGGKKGKEACHAIAALCEICSIDSLISNFILPLQGNHISCKEGRIHCSLNINTETGRLSARAPNLQNQPALEKDRYKIRQAFVAAPGNTLIVADYGQLELRILAHLADCKSMLDAFKAGGDFHSRTAMNMYQHIREAVEEEKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAHGLARDWKVSVKEAKDTLKLWYSDRKEVLAWQMKQRELAQEKCEVYTLLGRSRRFPNMAHVTSGQRGHIERAAINAPVQGSAADVAMCAMLEIDRNVRLKELGWTLLLQVHDEVILEGPAESADVAKAIVVECMSKPFYGTNILNVDLAVDAKCAQNWYAAK >Et_3A_023911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14509433:14515733:1 gene:Et_3A_023911 transcript:Et_3A_023911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRFFKQDHGDNSGSSSSSSSGSDSDRDPEEEEVADDEVEEQEEEQEASGQESGEEEELEPQVQEESSGYQSEDSSGHDVDGPSVDDDEDEHISPRIKERPEISMPAKKASDGNVDSTKDAVETDDATEAEFANYILKCKSVYKCKLCPRIMCLNEEMVRIHLKSKRHARSKKLLGEGRLKLMLNSDGELEEEQETHAERHARTIALAQQVQKVKKDSGRQRQNRRKKKVFGYFFVHVHSVFEAHIEEVTESSGKEKRGTKIWPYFEEKKGLKMNFAKASTGLGWKEGSRDIDMPEWWIKMDKIIKGASKFQDQGLQNEDKLRVIFEDLRNTGDDHWNPSSGLAPSESSHACEGSTNNGDGEDEDDEEDSGP >Et_1B_013032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4973142:4977518:-1 gene:Et_1B_013032 transcript:Et_1B_013032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNDPMADSPRRRHNLLRDKVQLRKRKDKNRYEIVPFPDYLSFEKGFFVVIRACQLLAQHNEGIIFVGVAGPSGAGKTVFTEKVVNLTPDVAVISMDNYNDASRIIDGNFDDPRLTDYDTLLENIHGLKEGRSVQVPIYDFKSSCRTGYRTVDPPSSRIVYPMYKAFIEPDLETAHIKIRNKFNPFTGFQNPMYILKSPRSLTPDKIKDVLGDGHTEGNEETYDIYLLPPGEDPESCQSYLRMRNREGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSRVFSDGKATVKIDWLEQLNRQYIQVQGRDRLHVKFVAEQLGLDGAYIPRTYIEQIQLEKLMNDVMALPEDLKTKLSIDDELVSSPKEAFSRVSADRRNKLMKSGLSHSYSTHGDNNLVKLSKLTDTNRRFGGGRAPESSAINQGAITQLSEQITTLNERMDEFTSRVEELNSKFTVKKHSPSQQNLALPNDACNGSAPTNLFVSQLGNGTLIPNSSSSNLLLKESPMMEEIMILSRGQRQVIHQLDNLTSLLHEHLVLTRDTVACPLICLTVGSVAYFIYKSLSRN >Et_5B_043463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10881692:10885473:1 gene:Et_5B_043463 transcript:Et_5B_043463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILLHGDMEELCFGIDNRRPRTPVDEVDDAVHEFHGTGTPRPAEVEPVFLALRLHELHLTAPLPAAKQSCVPAPTFSQHVLRCHGDQRTAARQRPHHVGRRVDARVVVAGQTSRQERPERVHSLWRIHCSLIELHLRRKTLGAPEVGLQEDEAIDVNAVAVRGAGPCRHVVHDVGAAALAGEEQVHGVGMVGKPWVEEGRRPSERRPSVLVGRWDWVLRRQPVIHGDDERPGARGERGGVTVEQLGEGTPRAEGAAMEVSNEWEPPVRRCSRSIKKEAHGDASARVDDNVSRCHSICPRSLRSRHEGADHEPLYAAILVRIHVVRDLGARHVVSRSVLYPER >Et_10A_001630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6278356:6280399:1 gene:Et_10A_001630 transcript:Et_10A_001630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEGTGFDSALMRKRRSSSARRPRPEGTPAAEPRDNTSSSSSMSSRSGLRRLLPSDENAAGPAGGLRRREFLLNSPAPEGAGGSSSRKTEGSHDARVSEGNRGSSSTDDKPRKLKVKIRSNVLQKPDPDSSGKPPRPGDSRLQQKHGNLTEGTKDSDKSNSSRDKKTRKVRSIEETLAQEQPAKVHREPSSEPLRKSRRLAKKSILDSDLDEEYDTSNLEAEDMEVHIELENKGGSSSKKNASKKAKSRDGKKRSRGSMDGDDTEEELEEEPTSDSELDAEDTKQTPVNELPASIRSEPLTTRRRALQTWMDGSSNSTVEFPDGLPPAPSRSKKDKLSEEEMLAKKAEAAQRRKMQVEKATKESEAEAIRKILGLDSEKKKEERKQKEREEKQLAENLIFAQERAAREQNLAANSVRWVMGPTGTIISFPEAVGLPSIFNSKPHSYPPPREKCAGPSCPNAYRYRDSKLNLPLCSLKCYKAVHGNA >Et_1B_010150.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26349787:26350086:-1 gene:Et_1B_010150 transcript:Et_1B_010150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PSPKHPQPTTQLGRGGPQSATPDPGSSATPGIHTTLMPPLGSQADATQLGETLRSEWVFKLVLSSLNRRCGTKQQRGDILIGCFFVSTNMGQWAFRPFY >Et_4B_038302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2797934:2805648:-1 gene:Et_4B_038302 transcript:Et_4B_038302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIMIGAWTIFDNGVLSFPRWKQEFKLAFSLILYRMSGLFGSLSIGRAWEKLTSFIRAFSVPSAPSSSRSSSQDDVEELMKLERTMRRIRAVLHDAEAHWSIHEESSKLKLQELKEVAYDMEDVVDEYEYEVNRCKVEILHLERCAGVNNTNKRIKRQENEVYTEDIGVVAVPEELVYRARKITKRFNEIIDFSDRFTLSENDGERRISHDISDLRHTISSVVEKSILGRDQDKDRIIEKILSGEGKNCGSSVSVMAIVGMGGLGKTTLAQLVYNSPRVRQSFDKHAWVCVSEPFNVTTITKNIINSLTSGTCEYTELADLRRKLADKIKDERVLLVLDDVWNERRDCWDSLCMPMSVARICQIILTTRSKAVAKLVQTMDTYHMTCLTSDDSWSLFKQAAFSSQEPNIPKKLEDIGKKIVQKCNGLPLAIKTVGSMLRYETDENIWEDVLKNELFELEQTRNEVLPVLELCYKHMHLDLRRCFIALSLYPKDDVLLHYEIIHLWKFLDLLNCHGSYDEDEIGSFYLNELVQRSILQRCDDFEEVRLSCQGYQMHDLIHDLACFLSDGEFYRLEGDISVEIPQSVRYISVPSNYGKPIEIPILPCSLRAFIIHDDACIINPVSSFMSYKNLRALDLGGSRVGKALAGSIGCLKLIRHLALKNVEAEISISLLQSYNLQTLVLPKNLQTLEVIWPHLAAPPEIGNLINLRTLIGSCGCCSCNIRELRNINKIRDLSISGLSGVQCIEDANEAQTQSKRQIRSLCLDFTLRCTRCRQHIQSSAPVTLSQDQLLKSLQPHRNLTKLTVQGYKYDEFPSWLGNDAFSNLTKISLKCGEAKHLPPLGGLPSLKYLKIKEMQNVEYIGRELISRPSKGFPSLTTMVFELMVCLLEWSGVDDTDFGRLDTLSIKHCDGLKSLPSLRSLVNFDLLHCEGIATIPVCSTLCKLKICRCESLSGVPTLPSLLDLQLVSCPNLKAVDSQPSLASMTLVNVNVYSVRFGSLSSLTSLTIRGDQQRFVRQFFGTMPKYEWYNLFYNLPSLKYLGIVDDSAICIRLKQQSLPSLTGLFLEGCLNLEYCDGLADFTSLQHLEVHACPKFSTNYLCLP >Et_5B_043752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15382617:15385644:-1 gene:Et_5B_043752 transcript:Et_5B_043752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARLTAGLTQQLPYTAAAGCRRRDSPFLWRGGSSKVSCSIGSNGCSKVTRVLFCGPYFPASTTYTKEYLQSYPFIEVDEVGLEKVPDVIENYHICVVKNRKINSDIIAKATQMKIIMQYGVGLEGVDVHAATEYGIKVARIPGALTGNAVSCAEMAIYLTLGVLRKQKEMDTAVNLKDLGLPTGETIFGKTVLILGFGAIGVEIAKRLRPFGVKILATKRSWSEGTLPCDTNGLVDKKGGPEDMYEFAGEADIIITSLLQTNETVKFLLGSYLVNIARGQLLDYRAVFDHLESGHLGGLGIDVAWTEPFDPEDPILKFPNVIITPHVAGVTEYSYRTMAKVVGDVALQLHSGEPFTGIEFVN >Et_2B_019134.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:12978478:12978696:-1 gene:Et_2B_019134 transcript:Et_2B_019134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLPRVPIMRSPVVNRRRLRPGYFRRLKQQINVVYSFGCSMSGVGLPTANSNTTSRMMTFACFACRNPKP >Et_2B_021243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27848835:27857615:-1 gene:Et_2B_021243 transcript:Et_2B_021243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMTQPLRLEIKRKLAQRSERVKAVDLHPTEPWIMASLYSGSVCIWNYQTQQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTAKIWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKIWDYQTKSCVQTLEGHAHNVSAVCFHPELPIIMTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREEPVASMDSSGKIIWAKHNEIQTVNIKAVGEIADGERLPLAVKELGSCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEIVWSTDGEYAVRESTSKIKIYSKTFQERKSIRPSFSAERIYGGVLLAMCTNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVTIASDSSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKGFNVVGYTLLLSLIEYKTLVMRGDLERANTVLPSIPKEQHNSVAHFLESRGMLEEALQIATDPNYRFDLAEIAVEARSESKWKQLGELAMSTGKLQMAEDCLLQATDLSGLLLLYSSLGDAEGVTKLASMAKEQGKNNVTFLCLFMLGKLEECLQLLVDSDRIPEAALMARSYLPSKVSDLVSIWKNDLQKVNSKAAESLADPAEYPNLFEDWQIALNVETTLAPKRGIYPPAEEYITYAERSSESLVEAFKSMQVQEEEVPSENGDLAHEVIEDDEVEESQEDAVEVEADDSTDGGVLVNGNDGEEHWGMNNKELHHHKSVVAQMRSPKSIMALRMMPVNQDAWVKTQG >Et_5B_044439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:24097998:24098536:1 gene:Et_5B_044439 transcript:Et_5B_044439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGPSFRRSKRTPSPRTGRNHQQQAGTSEEKRTFWKMLSRLSAWFVNPRRNPLARLHRDAVAARLRKYGLRYDDLYDPYHDLDIREALARLPREVVDARNARLKRAMDLSMKHQYLPDDVQVRLDPRSSPCTFDHVQLGLKDNI >Et_4B_039111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7958576:7962545:-1 gene:Et_4B_039111 transcript:Et_4B_039111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPPPPAHRRRWMRALLLALPLLSLPVLYAALGAGRASSSSATASTPPLGRRHARGPPPRLAYLISGAGPGDGPRIRRLLRALYHPWNCYLVGVAGEEDRADLEAFVRGQEATRRYGNVRVAGAGEWAAVTRRGPTELAATLHAAALLLREFEGWSWFINLSASDYPLMPQDDILHIFTYIPRDLNFIEHTSNIGWKEHQRARPIIVDPALQVPNRTEVVTTKEKRSMPSAFKIFVGSSWVILSRSFLEFCLLGWDNLPRTLLMYFTNFLSSSESYFHTVICNSNYYQNTTINNDLRFMAWDNPPRMHPVNLTTEYFDAMANSGAPFAHSFADDSPALDMIDAKLLGRAPDSFTPGGWCLGSSVGGKDPCTFLGRSFVLRPTKRSVKLEKLLLQLLEPDNFRPKQCK >Et_3A_025792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3289675:3300246:1 gene:Et_3A_025792 transcript:Et_3A_025792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHADEAAAGEDGRASPGTGLEGPMRRMGLDGSGGGGEGQEEDGEVARLPERPGEADCGYYLRTGACGFGERCRYNHPRDRGGTEFGGGAKNGAASDFPERLGQPVCEYFLKTGTCKFGSNCKYHHPKQDGSVQSVVLNNNGFPLRPVEKECSYYMKTGHCKFGSTCKFHHPEFVGAPVTPGIYPPLQLASVPSPHAYASLANWQMGRSPVVPGSYIQGSYTPMMLSSGMVPLQGWSPYPASVNPVASGGTQQTVQAGPLYGIGNHGSSTAIAYGSPYMPYSQSTGQSSNNQQEHVFPERPGQPECQFYLRTGHCKFGATCKYHHPRDLSAPKSNYVFSPLCLPLRPGAPPCAYYAQNGYCRYGVACKYDHPMGTLGYSSDMPIAPYPLGFPVAALAPSSSSPDLRPDYISTKDPSVNQVASPVAAPEPVGVILPKGGFPPDTIMRAQTSTTNGGSSSPGGGGGPDPGTGLEESMQRLGLGGREEAAPPAPEGKLPERPGEADCTYYLRTGTCAYGERCRYNHPRDRRAAAAVNGVGRTTSTVEYPERPGQPLCEYYVKNGSCKFGSNCKYDHPREGGFVPVVLNRSGYPLRPGDKECSYYIKTGHCKFGNTCKFHHPELGVPETSTIYPPVQPSAISSAHPYTQLATLQMGRPPFVPGPFVPGSYPPMMLPSTVMPMQGWNPYISHMNQVTPSGGQQTVQAPYGLSHQGPTSSVTYGGHYPQLYHSAGPSSSNKQGYGFPERPGQPECEHYMKTGTCKFGPTCKYHHPQYFSALNPNYTLNLLGLPLRPGSQPCAYYAQHGFCKFGPTCKFDHPMGSLSYSPSASSLTDMPVAPYPLSFPVAHMAPSASSSDLRPQYAVTKEPSVNQQALPGTTYGHPGSITKVYAPHTLIRSPASTAAGMQAS >Et_4A_034488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3774611:3780176:1 gene:Et_4A_034488 transcript:Et_4A_034488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCRELTRAMVAAARPLPGGRGANELCLAQQQQGRGSKRRPRRGVKVVAAISEDLPRLAMNGKKGGPPEKVLVRAALTVRRKQKEDLKEAVAGHLDALWDMVGGSVKLELVSTKIHPRTKKPIQSGQASIKDWCQKRGTKGEHIVYTAEFTVDSDFGEPGAITVTNRHNREFFLESIVIEGGLRCGPVHFACNSWVQSTKELLTKRVFFSNKPYLPSETPPGLKDLREKELMDLKGDGTGVRKLSDRIYDYATYNDLGNPDRGKEFIRPILGGENIPYPRRCRTGRPPTDTNMLAESRVEKPHRIYVPRDEAFEELKQGAFSSGRLRAVLHTLIPSLIATISAETHSFQGFHHVDNLYKEGLRLKLGLHEHLFQKIPLVQKIQESSEGMLRYDTPSILSKDKYAWLRDDEFARQTVAGINPVNIERLTVFPPMSKLDPAIYGSPESSITEEHIAGQLNGLTVQQAMDEAKLFILDYHDVYLPFLDRINAIEGRKAYATRTVLFLTQAGTLKPIAIELCLPPSKPGEPQERKVLTPPCDATSNWLWMLAKAHVSSNDAGVHQLVNHWLRTHAIMEPFILAAHRRMSAMHPIFKLLHPHMRYTLEINALARQSLISADGVIESCFTPGPVSGEIMAVEDATQPHGIRLLIEDYPYANDGLLLWSAIRNWVESYVQLYYPDAGTVQSDDELQGWYHETVHVGHGDLRHAPWWPPLSTPEDLAAILTTLIWLASAQHAALNFGQYPLGGYVPNRPPLMRRLLPDPERDAADYAATV >Et_5A_041275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20494090:20497657:-1 gene:Et_5A_041275 transcript:Et_5A_041275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARQLVARLAATPDAAVPGLPFLHRAVTLPFLSAASATLRLFLILSSSRLRLRRALPVPVVSVGNITWGGNGKTPMVEFLARAFHRLGVSPLLLTRGYAGGDEFRMLQRRLSDTSAKIGVGVNRAAVACSMLQKYGYIHHADTFSAHNHLESGKIGVAILDDGMQHWSLLRDVEIVMVNGLAPWGNTHFIPRGPMREPLSALSRADIVVIHNADMASEVQLKAIKFTIEQNSATCSVFYSRLVPSHIFEVKQPLRRLPLNVLNDKTVLCVSAIGCPDAFIHAVREIGALKIDRLDFSDHHFFSGRDLETIQETMRNLNGNNEDTVVLVTEKDYDRDPEALRTLAVKVWVLSCSLQIMHYKENGEDKFMRKVKEIIAVTGHGKTHMVDQATSVLSCK >Et_4B_037698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22673543:22675727:-1 gene:Et_4B_037698 transcript:Et_4B_037698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSSSSSSSSAPLHVVICPWLAFGHLLPYLELASCLALRGHRVTYLATPGNIARLPPVCPAAAPLIDLVALPLPRVDGLPDGADSTNNVPRGKSELLWKAFDSLAAPFAEFLAAACASGNRPDWLIVDVFHHWAASAALEHKVPCAVFLLSSASNIASWDRRWSLWRADPAAAPEKLAATGHEQPAAVPRYESKKKTQLFANNGASGMSIAERFLSTLERCTVTVIRSCQEWEPVSVPLIPTLAGKPVIPLGLMPPSPEGGRGVAAVNGEDASVRWLDAQPASSVVYVAMGSEVPLSVEQVHELALGLELAGTRFLWALRKPSDVVDADILPPGFEERTLDRGLVAIGWVPQIRILAHGAVGAFLTHCGWSSITEGLLFGRPLVMLPVAGDQGPNARIMEGEKVGMQVPRDENDGSFDRKGVASTVQAVMLDEETRRVFVTNAKKLQEFVSDNQFHESCIHQFVRQLRSYTKAGCGRDGQAPRYETERLKLLSYHDASGMSVAERCIFTFERSTLVPARSCIEWQPAA >Et_4A_034054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29475862:29478013:-1 gene:Et_4A_034054 transcript:Et_4A_034054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRPLVSVKALEGDMSTDSAGAPLPDVLRAPIRPDVVRYVHKLVSCNKRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRRANVNLRRVAIASALAATAVPSLVLARGHRVESVPELPLVVSDSAESIEKTAQAIKVLRQLGAYADAEKAKDSVGIRPGKGKMRNRRYINRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTECAFKKLDEVYGTFDTPSAKKKGFVLPRPKMANADLARLINSDEVQSVVKPINKEVKRREPRKNPLKNMSAVLKLNPYFGTARKMAALAEAARVKARTEKIDSKRTKLSPEEASKIKAAGKAWYKTMISDSDYTEFENFTKWLGVT >Et_5A_042154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6868508:6874084:-1 gene:Et_5A_042154 transcript:Et_5A_042154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSGGETARPWTASTTWAPAGSAAVEDAVSFETTDEDAEESPAGVVLTRPPPDESGDAPPCEVTVSFRGMYEIHRVYVKSTARIYEIYHSTSTKDTGKDYLCTVRCGLAIKEPQPSCEESVDQWSCNASTSEKREHETKSISSSSSDDSWVDVKVPESPMEKNTPESQESNVAGTCQEKNLAHYEATAEMTDVSPCVSLTVRLLSLQSKTSVHIEEIYIFADPVEATNDDPVTGPGNMGGSSLLAMLVPGLMQMSKSRNYTIDDAYFSHGSRSQPTQDHAVLESSSYEKIAQEVGPFGTDDTKYKPAGIGRGIDSTDGGTVSSEKSNQVQLQFKDPASVRSPAQITENAQVPLVKDQLVSDKYRLPDPLMNGNFTPYNHIERKLDTLLSKVEKMELYCSRFEDSMVKPLGSIEARLQRLEQQFDSFSVEIQSLRDSSARMSEPDGVYDRNSQEVHNGGNSRTTIADRKPGSCFRAPEFSSEDSCGYNVTDGNQVNFHGPNMVPRLLVKAPEFVVQPELTSEKLQEGPSSPVHCAISSEQKERKTSPGLVVKVPEFPDDDDDDEVEEEKKAEVDDQDDHTRDNSLCSNTVDNPRSKNHVSVNGALASALEALLMSTKGISSSNSVVCSASNVSAKITNGSSSCSLSHGNVDEMSTKDVSVDQFPGSLGTANLDGTFFSCQEVDVAPQTSLSQAVLYGKVDVNEDNSELDSDNVAFVADTVLLDVPSRHHTVKESIDGGSWVNEQNNGPKLDPMPFVASTGPLDPLQPPTAFESVDTGSQVNENIPAVSLAEFLTTRNSRSCTNGTSEMCCSTNAAEILSFEKTLAGAGKHSKNISQPLVKKALEAVDEDESICSVLIGATFKGSSNAAHDYVAKRRSVNRIKTVSDKDCNMGNIENSVNSVFSRCSAIGSQKEMTENSSLDWSLGDILAVPNAEDSWSDLSNMESFSGACANESVVSSNTAAGKNVEDFVGTGVGSTVTQVAGEELHKVRDLLYEHIDEILGMASTEKRTSKSSPSLEVMLAESSDSEAQTTDLEDIDNGAHNGSANLFGSFSSSDDGASVTTEPLVDVVDLPTESEAYASALKEPLVDVPTHSETTSGLNEPLVDMVDPLPPSETSSAVNEPLVDVVDPPTPSETAFGVNEPFANVDDLPKPLETSAGGSRGEHPDSLM >Et_9B_064600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16835907:16836537:-1 gene:Et_9B_064600 transcript:Et_9B_064600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESLRLVSHQIAAHDRRLPRQYTAEGQGAKKDMSPPLEWYGVPEGTKSLALVVQDIDAPDPDSPVVPWTHWVVANIPPDTKGLPEGFSGGGAGGGREEVGGLQEGVNDWKQPGWRGPVPPSRGHRIQFRLYALDDELHLGNKVTADKLMDAIEGHVLGEAELTAVYEG >Et_6B_049459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4996178:4996557:1 gene:Et_6B_049459 transcript:Et_6B_049459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLASLFSLPSSNAMWTATRLACSTWPLTIGEAVLNTVLNVVSNIVFSEDVVDMHAQPGQQEQPFRNLIGGHQPNVSDSFPFLVLLDITVQVLR >Et_4A_032986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16527973:16533258:1 gene:Et_4A_032986 transcript:Et_4A_032986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMQRMEATNKTDGIMARFRPIAPKPSLAPPPLPPTPIVGSAASSAGHRHSRQDDYYIMPPYHLQPPVWCPAGVGTAVWRRGPSIPNLRLLRSGDDDPLVRLSLAVSGAPSAAATDTPMRDVPMERDLLSKLKWEEVVDKSKKTVKEVEVEVEQDERPAIMSDNNNRVLLVNDAYKAMVGQLVCPWLDTLPGTGASRRINDEPNARGAFPCTARISWECDGAIASLTVPCAVEHLTNNSSNNCSIWRFDSARASIVYCLA >Et_9A_062055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19287951:19292901:1 gene:Et_9A_062055 transcript:Et_9A_062055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVATGPPPARLPAASRVDKATSHLLQGADWAVNLEICDTLNADRWQTKDVLLETMMKNCGEHVHFEVVEQHVLQEMVKLVQKRHDMQVRDKALLLLDSWQEAFGGPGGKYPQYYWAYIELKRSGVMFPRRPADAPPIFTPPATQHSQAYGSPRYPTGSLNERMTSDVQTLSLEGLNNIKNATELLCDMVNALNPTDRMAVKDEIITDLVNQCRSNQQKLIKFVSSTGDEELLKQGLEINDRLQSILAKHDAIASGSPLPVETTSREELHREDPVPQPSTPPIAKDKALDEDEDDEFAQIARRKNKSVISNDEASSSAGDQALVPLDPALSEVSSSVASNAIVPLDSSSSGTRTKDQDMIDLLSLTLYSPPEASQDSSTQNGSQQSPVSNGTEVPPNHQPAAVDGANYGSNNQAYPTNQGYAPYNNYVAPWAQTGQHTQPGAYPTQPSQYASSFPAPPWATPATANSTNPFHPTTYQMQNPPAASVAPTATYSAPPQSYATPLQHMSSPTSRAIQMYNPYASQTNNGPSLSSDTRMNGNQRPKETPAVAPKPYYMPDNLFGDLIDVKSFGTGNKMNRSTSMPSPKGGGQPMIGGKK >Et_3B_031737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9249284:9252619:-1 gene:Et_3B_031737 transcript:Et_3B_031737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRSLVAHCPLYPPTTTTMISAAAFAAAAACGARRFLSLSPVRHISSLKVPWRRDPALDAAIVRDRRFRQASRLVREVLLSPNRRLLFRYLTKRRERIGLSVHVPTFLRQYPTLLSVSPPPEPVASPSPQLLAFLDFASRLHELHAPHHASRLAKLLMISSTRALPVTKIAAAKRDFGFPDDFLVSLLPKYPHLFRLVGDPGPDASGNAFLELVSWDENLAKSVIELRADKEADVVGIRPRPNFTVKLPKGFCLKKDMREWVRDWLELPYVSPYTDASWLEPGSAVREKRLIGVLHEVLSLSVERRMAVPIIGKFCEEYRLSNAFANAFTRHPGIFYVSLKGGIKTAVLREAYDENGELVDRDPLIELKERFVAIMDDGHKMYLEELRRKNEKLQKEREVAARKDGKVGMEIEEQLEEEYTFGSDRDDDTSADYT >Et_1B_011911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27124116:27131531:1 gene:Et_1B_011911 transcript:Et_1B_011911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAAAAGARTVPMHRLFAFADRRDAAMMAVGAAAAVANGLAMPFLTFLIGDLVDAFGAADRARIVHVVSKVAVRFVYVAIASGVAGFLQVSCWMVTGERQAARIRGQYLETILRQDISFFDVETSTGEVIERMSSDTVLIQDAIGEKVGKFLQLVSTFLGGCIIAFARGWLLSLVMLSSIPPVVIAAASMSLVISKMTNRSQMAYAEAGKVVDQTIGSIRTVVSFTGERKAIGRYNEFLKTSYRATIHQGIAVGLGIGSLLLIIFCSYALAVWALGNSSPCLSAFASGRIAAYKMFATIYRNPEIDANDKNGLVLENFMGAVELKDVHFSYPARPEQQIFCGFSITIPTGMTMALVGESGSGKSTVISLVERFYDPQSGEGLDTMVGEHGTHLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERVVQEALNNIMVNRTTIIVAHRLSTVKNADTISVLHRGQLVEQGSHAELIMDPNGAYSQLIRLQEVNAKKNGGYEDDSNRMQTAFYTANSMSGHSRRKSSFERSMSRHSPQDGSRGNSFTFSSIEHEAKRGDDMKSGKKVFRRLLHLHKPETPILLLGCTAAVANGAILPVFGLLISSAIKTFYEPPQKLREDSVFWAEMYVMMGVLSMVIIPVQYSMFYMAGGKLIERIRALSFTRVVYQEIGWFDDPMNSSGAIGSRLSADAASIRSIAGDVLSLIVQNISTAIVGIIIAMTANWKLACIVLCFVPCVFAQSFAQARFMRGFSANAKEMYEQASTIASDAIGNIRTVASFCAEEKIVENYRKKCENPVKQGVRQGAISGVGYGFSFALLFCFYAVSFYIGARFIRDGTADVGQVFKVFFALTMMAVGVSQSSSMARDFSKAEDAAISIFGIIDRKSVIDASSEEGTILGIVEGNIELQHVSFKYPARTDVQIFRDLCLRIPAGKTVALVGESGSGKSTVISLIERFYDPDSGAVFLDGANLKSLKLSWLRQQVGLVGQEPVLFNETIRANIAYGKKDQVSEEEIVAVAKAANAHGFISSLPHGYDTSVGERGVQLSGGQKQRIAIARAILKDPKVLLLDEATSALDAESERTVQEALDRVMVGRTTVIVAHRLSTITGADKIAVIKDGVVAEEGRHEQLLRDSPSGVYASLVALQSSSS >Et_1A_005944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15854668:15855598:-1 gene:Et_1A_005944 transcript:Et_1A_005944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHSKRTSAPVPKEIPSHVPQAVPFPGTHPVPPAAIPSMSGPGAWCPPCPPQSMAPSSTPFWFPGLQHTGMAGSSAQGPWWTPAGIGSSANIEDSDLQVWSVFLILQLLFIFLFSYLCLGIPFVYQSGQQLDSCPPGGLLNFLNKNIPNHGPAQAVSNGSSLQPINVGDDTKSSDCPRTEKRMAWTKEEDRSLMIQFMLVHVSSCVKDSANRDTLV >Et_1B_013828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18827007:18828443:1 gene:Et_1B_013828 transcript:Et_1B_013828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTNTTTTMLKPVYSTPHPLAGEKIPLTVFDLAAFDTYVPTVRAYAAPAPSNEAIKEASSRPSRSSLPRRAGSPRGRRFIHLNDKGVLVIEATVDSVDLADLLADGMAANFDGLYPASLEVLWLVGMRSETDAGARACMQENVGAALLQVKMVRFRCGGVVIGTISHHYLADGHSFGIFFSTWARAVREGKDFAAPPPPFLDRAATAAPRRPTLTPAFDHRSIEFDDGNKKPHTVVPMEKIKSLTVHFSAEFIADLKARVGGGGRCSTFQCLLAHAWKKVTAARDLAPEDHTQVRISINCRGRADPPVAADFFGNMVLWAFPRMRVRELLNASYGRVVAAIRDAVARVDAEYVQSFVDFGAAAGVNGGGGEEEEPKATAPVAGATLCPDVEADSWLGFQFHQMDLGTGPPCVFQTPNIPVEGIMIFQPSPAAKGAVELFVAVAEDHVDEFLQICHSLD >Et_4B_039562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21992423:21992990:-1 gene:Et_4B_039562 transcript:Et_4B_039562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAEGKWLENLADAVTAEAIAVRESLLLAVALGCDKAILEIDNISLGEEMDGTGGDARLGESERPAAVTGQTQQDRDKL >Et_3A_025527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30481583:30484435:-1 gene:Et_3A_025527 transcript:Et_3A_025527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFELADPRGSGGAGWPVKEGGAASEGTAMAAAASAGVMSDYYQAQELSTMVSALTDVVAGGGASRRSGWEEQAMHGGGYGREIGRYPGATSPEFAVRRRIHVQKCSFSPAPKHRHTAYTASVQSRSRFAAPSEQSSDTQSAGAAAMEEHHSAATNNNPEGPDTPRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGSRAKLNFPEDARLHTASSAAAAAAPPPAPVAAASTSPAIYGGAAQGSSSSSEYLRYQMLLQGTSCNQGTLLPFYGGGMTSNPYGGGAVMSNPYGGGAMTASYGGGGGGNTSGYLGSYYSFPTSSVTVATVPSSASGHYYSSSHDSQHQGEAAAEWNWENALTYPATTASWSDSSQYPPPPHTQ >Et_2B_021677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4295364:4305773:1 gene:Et_2B_021677 transcript:Et_2B_021677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHQPDAPSPAAADLPPYAPGANKESVTVTVRFRPLSQREIRLGEEIAWYADGDTTVRSQQNPSVAYAYDRVFGPTTTTRRVYNAAAQHVVSGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDVFSLIQETPNREFLLRMSYLEIYNEVVNDLLNPSGQNLRIREDLQGTFVEGIKEEAVLSLVHALSLITAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCRESSEGEAVTFSQLNLIDLAGSESSRAETTGVRRKEGSYINKSLLTLGTVISKLTDGKATHVPFRDSKLTRLLQSSLSGQGRVSLICTVTPGSSNSEETHNTLKFAHRAKHIEIQALQNKILDEKSLIKKYQNEIRQLKEELDQLKRGILTGTSKVATEDNVILWKQKLEDGKVKLQSRLEQEEAAKAALLARIQRLTKLILVSTKATQTPRLPQWPHPRRSYSFGEEELAYLPHRRQDIAMDSEKNHVYVPMEVFDETLEISSKGEKKNRKGLLNWFKFRKHAAGFAALTSLNGDQSILRKAFTAPLTPSANGIYFPSEQMMPNSLLAEDVSVDLLSIGHEEIHFDHLTGEEVPWVREKTTDHVDLLREQLKILSGEVALHTSVLKRLTEEAARRTNERIEMEMKKVSDEIKGKKQHIAYLERQIKGKLDQLDVPLSHTKLLEQLNEKAFELELKTADNRVIQDQLKQTATRCHELQETVAHLNEQLSEALEANELLSKSIKLQNADINHQVGSQVHTGNVVSMDVSDELQKAQQSEIDDLNLRLRELTEAKNQLEARNQKLLEERMYAKGLASAAGEELKALSGKVTKLMNRNERLASELASAKKSAQGLAINGPKVARSTKLHDSAIRRDIHASYEREQALEVMLMEKDQREAELQKKIEDSKQKEAFLEGEIANMWVLVAKLKKAQGIDHDAIDAKFNGS >Et_10B_002680.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:6886676:6886780:-1 gene:Et_10B_002680 transcript:Et_10B_002680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMLEQAVRTLTRFRCLTLLNAWHSTTKECCAP >Et_10A_001978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16363228:16368215:-1 gene:Et_10A_001978 transcript:Et_10A_001978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVDTPAADHEPENDLALDRGADADGAMNGTIVRSMQVVSCVQALCAAGGTDGVTQDSQAEGELAASEQVMMVASSALPACATGGCAVSASESAEQDGGDGTTVEDPETPSFALGPLLEKAINELKESKGSVTKMVTNGSIVDGMVAKPTKSITLVGESSSTLRRSLRRAGSVDEDSLERASTLVAKRNLEGTAGLKI >Et_3A_024586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22367586:22371611:1 gene:Et_3A_024586 transcript:Et_3A_024586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AYRIEGKMNGVDEQKQQQAPPPPPQASTMSKTQDSVAKSSLGKEHIPGSELWTDGLICAFELIKSHRKHAQHKTWPTIEQAQEKGAAMYTRKHTRRSGHHIIAPKLDESNLLENPHQAEFSDDPSVLNGGPVNAVEILDHKWVPIGWSRIAELVQRVQSDSSWENELMEMSESEDDYTVADVAAPYWQRPVGPTWWCHVTAGHPSVDAWLNTAHWMHPAIRIALRDESKLISDRMKYLLYEVPVRVAGGLLFELLGQSVGDPNHEEEDIPIVLRSWQAQNFLVTAMHVKGPSSNINVLGVTEVQELLLSGGSQTPRSVHEVIAHLVNRLSRWDDRLFRKYIFGEADEIELKFVNRRNSEDLNLVSIILNQEIRRLATQVIRVKWSLHAREEIVIELLRHLRGSATRAILENIRKFTRDMLEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVTHNLAIFGGGGMVLSIITGLFGINVDGIPGAQNTPYAFGLFAGLLFFLGFVLVGVGMLYLGLQNPVSSEKVKVRKLELQQLVSMFQHEAEQHGKVREGLSGHGSPRRSSGASDADYILIS >Et_6A_048060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4788080:4789230:-1 gene:Et_6A_048060 transcript:Et_6A_048060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEQAHTNKGAWTKEEDQRLIAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKFHELFGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLARGIDPQTHRPLNANAAAPAAAPAQQHYQLPAQKPHFASSSPGQLQLQQDHFAGALSRSPEACSHSSDDEPRSATPPPTTTRPRQHLDIDLNLSISLAPYQPAESGNTPLKQEPATVGSINAMPVCLCLNSLGYRPGVECVCGGASSRQQEQWARSLLQAAPCYRGQ >Et_3B_028106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10282792:10289508:1 gene:Et_3B_028106 transcript:Et_3B_028106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLRRLLDGAALIAREATRRTSARDVLRSAILAATDLAGLTRGTPRSPQPPPGAGPHPATETSRPSSSVVYFSHDDAAVSTQDPPLEQHQPPAQECSNPARAPEIVNAATVASVDVEPDTATAVRPQLETASPEPSPSASPAPPLPSPAPVEKRRRPRERRVPSTPFTRALGFAGLGAGLAWGTLQESAKRVVYGTPVDAEGKRSALSPFLSDQNAERVALALCRMRGAALKVGQMLSIQDESLVPPPVVLAALDIVRQGADVMPRKQLNSVIDGELGPGWSSRLRSFDYEPLAAASIGQVHRAVLKDGSDVVMKIQYPGVADSIESDIENVRLLLTYTNLIPKGLFLDRAMKVAKEELARECDYVLEASNQKRYKEWLSDSDGFYVPKVIDELSSKKVLTSEFVPGVPIDKVAQLSQETRNYVGCKLLELTIKELFTDPNWSNFLYDDATRRFNLIDFGAARDFPKRFVDDYLRMVVACANRDRAGVLEMSRRLGFLTGEEPEVMLDAHVEAAFIVGVPFSKPGGHDFRANNITHSVSNLGATMLKHRLTPPPDEVYSLHRKLSGAFLACIKIGAVVPCREMLFQVYEQYNFSDDSSEVLSSTG >Et_10A_000924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19119206:19141876:-1 gene:Et_10A_000924 transcript:Et_10A_000924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGIRLREFAMTAAAAVLAANGGAAPPPRERKYRGVRRHRGNQNHSADIRDPSGGRDSRKLWLGGYDSAVEAACVYDAAVRTLRPDEANARTNIPEPNPEEKEKRAAVVMAYVDELKRKREEEEAALLDADAVSAVSPPPPASPAPAGGATSSPSAAAPAANRAVASTAAPPPPAPATAPGMNNASASHFAPAFTTPTPLNVHFPNAITAPPPAFGFHHTATAATCSTTATAFTRNPQAHRSAFRPYRSNVGSPRQGFPTTGGCTMANVPPAQFQNLYLRFGMAEEGGLWELAIAAAAKVVAANGGAPPPPPPPREGTTYKGVRLPRRGKWSAEIRDSPTSLVWLGTYYSVVEAACAYDAAVRALRPGGARTRTNFPEPPETTEAEREARAAVVRAHVDEVKRRRAEKEARRDAEEAAAAAVATPPPAPAAEPDVAPAPGDESSSETELEEDATEVHGGASSEKKTADASWPPPPAQAPASDSLSTSAASPPAANAVVVSAPVVNFPSAFHVAVSTNAPQTQQSLAALRRLAQLHVHRNLDPSYCYQSPLGIITNPSQPSANFQPPLAATAATPAPFTHNPQAQPSAFQSYRSEEGSTRKEYFPTTGGCTMANIPPAQFKQLYRRFEGGGLRELAIAAAAKVVEANGGVTLPAREYEGVRLRPLGKYSSEIRYPSGGRKKRMFSLGSYDKAEEAACAYDAAVWTLRPDARTNFSEPPATTKRDAVVRAHVDEIKHRRAERDRARREAEEASAPAPAGNASASQFSAPTARELLDDQRRQAALWSLARIQAHWNLHLGPYLVPGQPGSGAVSASAGPGPSFDYYYPPLPLAIDNPPQRPHAFRTSLYWQPPLATAGRPEEPMPRRSAFQPFTNNDDPPQPSGGLELRPTRPRLPPQHRICIKAAAKVLAANGGALPLRPEGTSYTGVRLQPSAKWRAEIRESPTSLVWLGTFDTAVEAACAYEAAVRTLRPPHRARARANFPDPLETTEADREQRAAVVRAHVDGVKRRRAEKKAWQAEAAAAAAATPLPPPAPAGDASGSDGASSVCICLSVYSCCCAATATSASPDDPGTYAGLKAPEQQGRP >Et_5A_040410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20306949:20310395:-1 gene:Et_5A_040410 transcript:Et_5A_040410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLLLILTAAAFPSVSALSRNDTDLATLLAFRSQLSDPLGVLRRNWTSEVSICHWVGVSCSRQRQRVTALELPGVPLKGELTPHLGNLSFLHVLNLTGTDLVGSVPGEVGRLPRLIVLDLSNNSLSGTIPSSLGNLTRLQVLSIGMNNLTGPIPGNESFKLPMLQAIDFYMNRFTGPIPSGLAACKNLQTLQIPGNLFVGPVPTWLAQLSQLTGISMGGTDGLFGTIPGVLSNLTKLEILDIMHSNVSGPIPAELGTMQQLTVLQLAFNQLTGPIPNFTGNLSALTDLHLSSNQMTGPVPSAIGNIIGSLPAALSNLSSLHIINLGQNQLTEAIPEPLTILRNLQVLDLSMNSMSGMIPKRICMLHGLFKLLLSNNKFSGSIPDCIANLSMLQHIDLSYNKLSSTVTISLFHLDSLVEMYLSHNFLTGALPSDLRYMKMVDQMDLSANRLVGSLPISFSNHQMLTYFNLSHNSFEGSIPDSFRQLTNLWTLDLSSNNLSGTIPSYLANFTYLSSLNLSFNNLQGQIPNGGVFSNLTWQSMMGNVRLCGGAPSLGFSPCQYKPHPTHHQHFLKFVLSVATVAFCSTIVFICLIWGKMIKKKKQSVNASADMVLNMEMAEAIQSFDAECRALRMARHRNLIKILSTCSNMDFRVLLLLGDDNSMVSTNMLGTIGYMAPEYAIMGKASRKCDVFSFGIMLLETFTGKRPTDPMFAEGLSLRHWVFEAFPARLLEVVDDKLLQDEATRSCTRDNLFVSIFELGLICSSESPEQRISMKDIVAKLEDIKKDYSMSGNVNSLELSNEMIYVTKQR >Et_4B_037793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23387150:23390409:-1 gene:Et_4B_037793 transcript:Et_4B_037793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLARLHRHLSLSTLHSHSTRHAWTPASDAAYRFRGLASSSSSAAAAAAGREKSSRRTLGYLVGVAAAMVGASYAAVPLYRRFCQATGYGGTVQRRESVEEKISRHARDGTTTSREITVQFNADVADGMPWKFIPTQREVKVKPGESALAFYTAENRSSKPITGVSTYNVAPMKAAIYFNKIQCFCFEEQTLLPGEQIDMPVFFYIDPEFETDPKMEGVNNIVLSYTFFKMNDS >Et_9A_063055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9190408:9194026:1 gene:Et_9A_063055 transcript:Et_9A_063055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRSPVPIGRRRWTGTPGRSRTPPHPPPAPSSPGPAPLPPGAEVEVQVDDDAFHGSWFEATILDFLPARGCRSPARYTVSYSHLAADDGGALVEQFAPSCVRPRPPPPPADAGKSPPRFLLHDIVEAFLRGGWWSGIVVAAADSVTVAFPITREVIAFAPRFVRPRRDFVDGDWVPSRAAIAVWPKSPVRVYEVRDKVEVLREREVGGGYSWFPATVVKVVDSLSYIVRYLDQDKGNGGEKETEYLHWWFIRPAVEHSPGESGFQFRSGAAVEAYCDGAWWPGVVRRVGGEGKYGVRLNGKGAGQLVTKVELLRPQYTWDGNLWRIGTAKMNTYLSSSCKSPENDNSLNRCSQLSVNNSLQVLSHMIVSACSVAVSRIPFASLGHSAPSCQLIPNVGEASINHEVLSNAVLPKNKEGQTHHVLALHGKSDSSNNVSPFIMFFHLRKSSIGDSLVSFKENIKILCHLKKNGFNVQSLQCYLIKLFQIKSDHVKRVEEKNKVKLQMLKKETTMSRINSLFDANDRAIAEQEQALAKLYWKRKEIEKVKENEDMELSRLKAADRSIEDACGDAEQDFRNILDKLQRKTLT >Et_3B_028741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18675586:18677847:1 gene:Et_3B_028741 transcript:Et_3B_028741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEDEATAAGEELEPLFDYKRVQPAMTFRFDDSDLEKADIFKHCNKRAKVDAAEGDKADEKGVAGAEKAKVVDVEEEDWLAPPPPLPKPASRPVTEEDSTLRELRLKKQELATFAESAEDIFRKLEEAAKKEVGAKEPEQIIIDDAPEPQAEKAREKIVISVQDKNGQQQIRIYKDDKFDKLFKAYAKKAKLNPSDLAFIFDGERIDPASTPKDLDLEDDDMIEVSHKRRC >Et_2B_019441.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29802458:29802586:-1 gene:Et_2B_019441 transcript:Et_2B_019441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTRSHQLPNTPGRLRRHMPVAASSRLFPPPPRRGRLAAA >Et_7A_051046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13937613:13958275:-1 gene:Et_7A_051046 transcript:Et_7A_051046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKQPASKQKEKPKSSSASSSNSSSAAAAAPRLQISSENERRLRRLLLNSSATAAPAPAPSDGPAARSESREQKARRLRSVYDKLAVEGFSSEQIEQALSDLSDSATFESALDWLCFNLPGDELPLKFSSGTGDTSSRAEAERSVKVLSTAKDNWVPRSREPEEAMGSTEGLEIKIVSRREEDVSLDDGRSSQAAWIRQYMEQQEEDDDTNSNDSLTWEDHCTSSFEVVEAKPSRRKIKAAKKKSRQENSKEPISQAADLPSNPETANVEGGHNDSGATENKSDSLVNIDDGSDMQKAIPKDVSETRTKEMEEEEVELDNLFFEDSSAWDAVAPEILKQQKLEKLVQDGYGHLLGNIDDIWKKGDSGKKPKAILQKFCQKLGWEAPKYSKISEKNGKFVYAANVLRGATGRGKSRKAGGLTKIQLPELDEEYGSVEEAQSRVAAFALYQFFADLPLRQLLTEPYSSLILRWQEGELSSSSRVLDMEDTRRAGFVDMLLNMDTDTIPTSQIRDGSAAGTLVDSGNTEDKKSVCAKRDTTMPGLGSSEHAESVILKKQLEDKRKLPNYLKMLDARASLPIARQKNHFLQLLKENDVIVVSGETGCGKTTQVPQFILDDMIESELGGYCNIVCTQPRRIAAISVAERVSDERCESSPGSNDSLVGYQVRLDSARNERTKLLFCTTGILLRKLSGNTDLSDVTHVVVDEVHERTILGDFLLIVLKNLVEKRSNQQGRKLKVILMSATVDSSLFARYFGECPVISVEGRTHPVSTHFLEDVYEQLEYCLALDSPASGAYFAQHGEKWKHASSSVNNRRGKKNLVLSSWGDESTLSEGYVNPHYTSDYYKSYSERTNQNLKRLNEDVIDFDLLEDLICYIDENCPPGAVLVFLPGVAEIDMLIDRLSASVRFGGASSDWILPLHSLLGPIDQRKVFESPPDNFRKVIVATDIAETSITIDDVIYVVDTGKHKENRYNPRKKMSSIVEDWISRANAKQRRGRAGRVKPGLCFCLYTRHRFENVMRPFQVPEMLRMPLTELCLQIKSLHLGDIKSFLLKAVEPPNEEAISSAVNLLYKVGAFEGHEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPILSVAAFLSYKSPFLSPKDEKQNVEKAKAALSNENLDGSTSATDNKQSDHILMIIAYNKWSWILLEHGAKSARQFCHSFYLNSTVMYMIRDMRLQFGTLLADIGLVDLPKDSLKLKEGRRKSNLETWFSNMSLPFNMYARCPSVIKSIICAGLYPNVAATLEGVDPGALGGRKPSDVLFSKDRPRWYDGKREVHIHPSSVNHSLKAVQYPFLAFLEKVETTRVFLRDTSVISPYSLLLFGGSMVIQHQTGVVVIDGWLRLSAAAQTAVLFKQLRMTLDAVLKELTRKPEMATFVDNKVVRSIIHLLLEEDKAQQA >Et_4A_033727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26248889:26251227:1 gene:Et_4A_033727 transcript:Et_4A_033727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMDLPTKGGFDFALCRRNQMLAEKQGLKIPGFLKTGTTIVGLVFKDGVVLGADTRATEGPIVADKNCEKIHFMAPNIYCCGAGTAADTEAVTDMVSSQLHLHRYATGRESRVVTALTLLKSHLFKYQGHVSAALVLGGVDCTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESKFREGLTREEGIELVAEAISGGIFNDLGSGSNVDVCVITKGKTEYLRNYLLPNPRTYNSSKGYSFTKGQTEILSTKITQLKPKVEVAEGGDAMEE >Et_4B_036984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13021174:13025150:-1 gene:Et_4B_036984 transcript:Et_4B_036984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGERKATLLRRWSSSVRGSGRQLWTDTAWRAHAGMIVSQLAYGGYSVLTKSALNVGVNRVVFCAYRDLVALAVLAPIAFLRERRVRPPLTPQLLASFALLGFTGLFANPLLFVLGLGYTNASYASAFQPAIPVFTFLLATIVGVETINSTKHGIFKVLGTAVCVSGAVLMALYKGPSLLSLGSTNAADEHPAQWLTSTMLLYGVDVWHLGILCLIGNCFLTGVYLVMQARVVEKYSANLSLTAYSYAFAAIYMVLTGVFATDGLHEWAVTTTDIIAILYAGIIASCLSYAIITWATKIIGPSLVALYYPLQPACATFLSTIFLGSPIYVGSVIGGFFIIAGLYLVTWARYNEAQQALIVDYLDPLLVDHSHPRAPKIQESSFSACFCTNKKHN >Et_6A_046586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17870127:17876413:-1 gene:Et_6A_046586 transcript:Et_6A_046586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQICAVIQFVPYLGDRRRLLSNPAGVAGRAALALPSSTRIRCSATIEPSLQHHAAVVDKAIQAQRAAILAIGTANPANCVPQEEYADWYFRVTKSEHFTKLNAKMKKICYNSRIKKRYFHHTDDTFLDHPELIDLALPSLDARQAILASAVPELAAAAAAKAIAEWGRPASDVTHLVFATYSGAHMPGADLRLASLLGLRRGAQRTMMYLGGCASGSAALRVAKDVADNNRGARVLVVCADLSLVLFRAPRAGRLDTLVMQALFGDGSAAVVVGAGASEGDERPLFEMVSASQTLIPDSEDAAAGYLGEGGLAFRPSPKMPALVRQHVEGCLANAVAPLGLGGDWNDLFWAVHPGGPAILDAVEDELALAPVKLAASRHVLSEYGNMSGASILFVLDELRRQRNVSHGEFGVMLGLGPGITVETMNSTRDLLQAHTQLLHESLSYIKSIALAVAMDVGIADAIHHYGGSATLSQILANIDVNPNKLPGLRRLMRVLTISGTFTVEHQPTASSEAVYKLTAASCLILNDESSTTLTRLVSMMLSPLLVSPLGAIVSALVRQDEQPDLAAFGVARGPSSVWEMADHNADLNESLHEAIASDTRFLMPIVLKEYSEVFQGIDSLMDVGGGPSGSAATAIKAAFPHLKCSVLELPHIVAQAPSDTNVQYIAGDMFQSIPPANAVFLKWIMHDWGDDECVKILKRCMEAIPPRDAGGTSSV >Et_1A_005000.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21966645:21966782:-1 gene:Et_1A_005000 transcript:Et_1A_005000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDVTGRDRLYRTLVGNRKPTCSARETTPETSLIDYIYKLNSYI >Et_4B_039653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25344199:25352718:1 gene:Et_4B_039653 transcript:Et_4B_039653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPRSPWSRSRKPDIYSTVVVHGDDDEDPRGGGRPDPGVEDDDEEDPSSLPPLLQRLPKDFGGASFDDDDDPYSSDPDDASLSATVVVKRGAPASTSSYSRSPYLDLRRSSPRASEEDPYSTFVVHSTARSGGASSSPHESASGTFIRRSGGSSSPRESVSGTFIRRTGGPSSPRESVSGTFIRRTGSPSSPIESFSGTFIRHTSGDSSPREPAPGGGGGFGSSFWSPAAEQLEERRQPSPLMQEQLRRRPSVSSVPESITREDPSTKYELLHELGKGSYGAVYKARDLRTQELVAVKIISLTEGEEGYEDIRGEIEMLQQCSHPNVVRYFGSYQGEEYLWIIMEYCGGGSVADLIGITEEALDESQIAYICREALKGLAYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGMPPRSTVHPMRVIFMISSEPAPMLEDKEKWSLLFHDFIAKCLTKDPRLRPAASEMLKHKFIEKCNTGASKMLAKIKEAKKIRDTLAAQNELSGPDNSMQDATVRINENYGETVPASSQEETKHDTYNGDVFGTMIVHTEDGDEVAESPIFPRTEFIPGLGSINSFTHDPKRAELISNFWAENTADSDGNKEHDLDDRPDIQETKSIPPSTGTVKKHMGFDGTMTRNDNQISSSSPGFANTMSKLNSSPSRKAFSVQDKLWSIYAAGNTVPIPFLKAIDISPLALVSENVAGNSLAGSSTNDALEAVRELFSGDGQTKKGRKGQNESGAVSHARRVLH >Et_3B_027577.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28662487:28662502:-1 gene:Et_3B_027577 transcript:Et_3B_027577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NGMGS >Et_9B_064220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12734620:12734966:1 gene:Et_9B_064220 transcript:Et_9B_064220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEIMGSRAGHISPVCEAIHTKAQACMSALHFASEAYFGDRLFGAEDCFNYQTLGGSLYREIKFLMDVNFIDVKVVYSPKTCNQEAHKLASLGGNMVAGHVSGAGHSSG >Et_9B_065351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4008600:4010896:-1 gene:Et_9B_065351 transcript:Et_9B_065351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CSCVMAGHHHNNSQIPRIDHVNQLHNEPSPFGPKLFRHPRRDALNGVLSSGYGSSTMRSNDLPSSSYAGQSQQNGAPGALHGSYAGYPHTGSSSSIYAPRLPTLSYPHRPEDNFIPNPSMDDRRIAQKRRNPIIHPMDGASVGSYNGASSSNSQFSQHMPPNPIPALEFCPPRVPSNLSSSRWSDHHFGDHGGSLRNVRGRHDHSSIHLGHSPAVSCSPSSIHGPPHHANANVPSLSTVQQDRAPFSVPPRVVPPGTGENSSMAFRERPYYPPPQRTNISAPLATLPGSSDSMPFVRGGYAPRSVSHNTIHTYPAPAFATSSASGAISYEPAIPSYHPAAPTYLPASSASSSVQPCHAEPAATLRHLGHAALGHSGSARSRRSRDTYHGFHPLMIEEDNWGHSAAERFMMLDQLVIHESREESDPHWDMRLDIDDMSYEELLALEERIGNVNTGLADEKISGCVMEVACCSSSRLRDDKDNTSCVICLEEYKLKDSLGSLKCGHDFHAACIKKWLQVKNACPVCKAAAAEDSGGTK >Et_2A_015537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14648881:14651791:1 gene:Et_2A_015537 transcript:Et_2A_015537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFKPNPLSLSVPDPALDRWLRDSGYLDLLDSTTTASAPAAPHPSATGTASTAATTNPPTASAGVAADVLAFARTLASLLALNPFARLSAADLAGPTPSWSLAFIGPPGAASYSWPPTPTQARLRVQENVRRYARNYAALSILVFACCLYRMPMALLGMLASVAVWEGVRYCRDQWGLTTRAPGVAQALLHCAQIATAILLYICNLQFALVYAIGLSYAVMMLHASLRKLTPSSLPDPSNRSRRAQPKRS >Et_5B_043793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16129963:16133115:-1 gene:Et_5B_043793 transcript:Et_5B_043793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LIKVSRIPLYLFTRYCSNITAHLRCISHIEFFLSFHVIKSRTHSWYVQNGILFYSTDNVGYLFLITAGRLPKNNGIPWRGDSGLSDGSDAKDGLVGGYYDGGSNVKFHFPMAFSMTLLSWSVIEYGANGRGTDYLLLTFNSSASTIDKVYAQFNRIQVGTDDPCFLYIQVGAAKINGRTPNDRYCWNRPENMSYPRLTLQLFSAPDLSGEIAAALAAASIVFRKDNPAYSSKLVRGAATMYKFARRATMRTLYSSGQLDVEPYYKSTS >Et_2B_019308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22799784:22800575:1 gene:Et_2B_019308 transcript:Et_2B_019308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQQQVAENRVVSYSHTCYLLAPLSAHSSDVAALKFVHSQDALKVHGVHLGAALHMVEGEGARERVARGGLKEKPSSVNLMEVAAVVAMKGARRQQEENLAFVLSMVGERDARKRTVQRVRRVNLAFALLMEVGGAANMKVAQRVPRVALISANLMGVARDARIQIVARVRREAHSSARATEEGNAVQLKAAQRVSMVAPSSVLHMEAGSDV >Et_4B_037107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14821052:14822948:1 gene:Et_4B_037107 transcript:Et_4B_037107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HRVSPDLFKPPSFLPPSPKPHTILPSHLSLPKNAPHSRHAMDTAALSSGQDYLLLLFPAATTFLSPILAVLLAAASLVWLFPGGPAWALYSPIRMFRRSAGAAPPLPPGAPGVVTAVAGPAAHRSLAALSRSVPGGDALVCFTVGLTRFVVASRADTARELLSGAAFADRPVKGASRELLFHRAMGFAPSGDYWRALRRVSSAHLFGPRSVAASAPRRIAIGDRMVEHLLMSGKGEGEEVAMRRVLHAASLDHVMATVFGARYDAGSLEGAELEAMVKEGYDLLGMFNWADHLPLLGWLDLQGVRRRCRSLVGRVNVFVGKIIEEHRRKRTSINGGGDESAGDFVDVLLGLQGEEKLSAESDMIAVLWEMIFRGTDTVAILLEWVMARMVLHPDIQAKAQAELDAVVGPGRAVSDADVARLPYLQHIVKETLRVHPPGPLLSWARLAVHDASVGGHAVPAGTTAMVNMWAIARDPAVWPEPNAFRPERFEAEDVSVLGGDLRLAPFGAGRRVCPGKTLALATVHVWLAQLLHRFEWAPADGGCIDLSERLNMSLEMEKPLVCKARPRW >Et_5B_045588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:537022:538672:1 gene:Et_5B_045588 transcript:Et_5B_045588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGGAVMLDHQRVAMALGHGIPEKVLFGFGGGRFVGEEGDLVIPTMAGVQEESFPDDGSDDDVDGGIEELERRMWRDRVRLRRLKEKQQQSSRGAGEEQRNRRQSGEEQARRKKMSRAQDGILKYMLKMMESCNAQGFVYGIIPENGKPVTGASDNLRAWWKEKVRFDRNGPAAIADFLLQQRKQSSSSDANSAAPAPSSSDDTTLGSLLSALMQHCDLPQRRFPLEKGVPPPWWPQHQAGAPPYKKPHDLKKAWKVAVLTAVIKHMSPDVDKVRRLVRQSKMTAKEIVTWLAVLKQEEDLCSYLRSTHPPPSSAAAGALSLHTAVSGEHDVHPAVDAEEKKPASSCSDDAAAHAMGTTNFLMKEEETSAVPGFFQKRSAPAAADDIQSARMYSCDNNGCARGFLERNARNAHQYGCAINNNGAGENKLLAPYEPPAQEVAGFDFDLPLDGQRSLAGLMDMYDAASVVQMQGTFLAPCLFGDVNNNVMQQQQQGTPFGGDIAAASPELRFSSCLNVPGGTGALYGSALQLQQPQPQKSVGSNWFY >Et_9A_061333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10045278:10049132:-1 gene:Et_9A_061333 transcript:Et_9A_061333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDLTPTSTPSHRRARRRSFLRFADYVAVSPVFDEDESDECSVCDDADVEALHYASRGLQGRSLREAKELIRRYKPGDWIEGAGGTKAGDYILPEITTLLLVGPKGAGKSTLVNRITRVFDNDDDPFAPDRVQVSRNSKSNGTIFLREYPVPRNSKAICIYASGSLSSNPEKNFKMLHEWMTTGVSHGEMATWDTEDGTKIKDMKPLGRKYSFLRFKTRKVNFVIFVVDGTSFLESIDSEKKEYTEILHDKPVVVVTHGDRLSIQQRAHVQNELAELLGIPLQQIFDIPGITSFLSLNFKIPYYECTTSDIFYTGSDDYQTDLAVLDMLRYCIQHAEQNFPVKLSYLLEVHVRETLKNIAERLIQLDAAIEATIVLLCIAILLLRLSDKLLQP >Et_4A_035131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9849948:9860875:-1 gene:Et_4A_035131 transcript:Et_4A_035131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGKHPSGNIPSISMPLLDFPSQELEKVNPVNPVMPADVASMADVDIDMREIYFLIMHFLSRGPFKRTLGVLCNELLEHQLLPRRYHAWYSRGGFQSGEENDDGISLPLAYQKLVERYPHIGKDHLVNLLKQLMVNSCHPHSFIDGASPNAADVPTLLGSNSFSLLAPDRAKQDKQAPRLPSYLRWPHIQADQVHGLSLREIGGFTKHRRAPSVRASCYAIAKPSTLVEKMQIIKRLRGHQNAVYCATFDRTGRYVITGSDDRLVKIWAMETAFCLASCRGHEGDITDLAVSSNNAVVASSSNDFVIRVWRMPDGMPISVLKGHTGVVTAIAFSPRPGAAFQLLSSSDDGTCRIWDARYSQQPPRIFVPKPPDAAPGKSGDASSSAAQVHPTNHQILCCAFNANGTVFVTGSSDTFARVWNACRSSSEEHDQPNHEMDLLSGHENDVNYVQFSGCAVASRSFSSDTGHTTKEESSLKLRNSWFTHNIVTCSRDGSAIIWVPRSRRSHGKVGRWTRAYHLKVPPPPMAPQPPRGGPRQRYQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLIGHKESTFVLDVHPFNPRIAMSAGYDGKTIIWDIWEGRPVQIYETGHFKLVDGKFSPDGTSLILSDEIGQIFIIGTGQGESQKDAKYDQFFLGDYRPLIRDTNGSAIDQETQLIPYRRNMQDFLCDSSMIPYPEPFQSMYQKRRLGTLGIEWRPPSVNFAVGPTYNATTGEYQTIPIIDPDRWEPLPEITDFVELELENEVISDDTDSEYNGMDEYSSDGEQEILSGDSSGASYSSAEIDMDNPTSAAHNRRSRRKKKKSETDVVTSSGRRVKKRNLDEQEVATVSRPHRVRKSRNGRSSKRKRSPKSRGLRPQRRAARNARNFLSKMGASTEEDEDDSEGSFSDSEINTDSTEAEQFEQNGKLRIGREINNHYDSEDVKQSSMFDETKGNPGSSRKLVLRIPRHNFKVDFPSGSGKAECSNQNKVMLPAPANHESVERELTIEHGHSSACKPEIMTDGMQAGTSGLHDVSAIHSNNSIKWGEVKMRSSKRCKYSDSAGGMRPTSNSAFSLEMPHEYGDGIQPAINMDKNQENHSTAEYNGDTLLDKEKITSNNNTCADGENNTEQMNNTSQLKSLKVKFRSRGSADEANVSDKSRTTTVGNITNSEHVSVSEQHDEDSAIDQHRSADLSRSVQECADNKSVGVHDSINFDSAERYTAVYTRSKSNNKKKLDSDEYTNDDSTSISNDDGGYQPPECSPVTAASSRLRRSSRRSFVYSGDGTTEEDVSQVKGSYGSHRASTSGRRTITDVREVACRPTSKPVGLRSARNKRDNCDFTDTHLSGKRRQESSKYSWLMLCEHEESYRYIPQLGDEVMYLGQGHEEYLELTRSSSFCPWTGIKGLKAAELCKIQCLDYTTFRGSGESSCKLTIEFVDRTSNGFGQTFTITLPELANFPDFLVERTRYEASMERNWTLRDKCKVWWRNEGEEGGTWWEGRVSAVKPKSPDFPDSPWEKYVIQYKNDGSDHPHSPWELHDVGNLLVPWKHPHIDIEIRNKLLSKLESLQEMSHRNQDRYGVLRLDKVAEKSDFVNRFPVQFSVEVMKTRLENNYYRTLEAVKHDATVMIDNAQSYFSKNPEMTKKISKLADWIEQIFSSL >Et_4A_034585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4514154:4523316:-1 gene:Et_4A_034585 transcript:Et_4A_034585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TPPLPSCSHKLQHLQPSAHNLTIFHANSKCESNLQASALPAHARVQCSTCLQMARSSAWLLLAAAFLLASSAAAQNCSSTKFPSGRSFQRCTALPVLGATLYYTFNPENATADVAFRAPSGSNGWVAWGINTERANSMGGSSVFIASQDGAGAVSLLQTYLESTAPSLTPGNLKFNVSGSPAAEYAAGAYTIYATVTLPGNSTVQNTVWQAGPLSNGQIGAHIQSGQNLQSTMRLDFMAGSRTAGAPNSSNGRSFRLCSALPVLGASLYYTYHPENGTVDVAFRASSGTDGWVGWGINTELANSMARSSVLIASHDGTGAVSLLQTYLESTAPSLAPGNLKITLVGTPSAEYAGGAYTIFATIQLPNNNTQQNTVWQVGPMSGGQIAGHIQSGQNLQSTLRLDFLSGSSTGASNSRLHRRNIHGVLNGVAWGVLIPLGAMIARYMRVFESADPAWFYLHIACQCSGYILGVAGWGLGLKLGSESVGTTYKPHRNVGIAIFCLATLQVFALLLRPDKKNKYRLYWNIYHHSVGYSVILLAAINIFKGLDILKPATGWKTSYIVILATLAGIALCLEAITWAIVLRRRRRDADKAPYGTNGAGWQNGA >Et_1B_011091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17476596:17479409:1 gene:Et_1B_011091 transcript:Et_1B_011091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSLRLTAPSGFAAPRNRGAGGLVAFSSSSCRAAAGGGPITVAGDPPTVVSAPGRRIVAIGDVHGDLYQTRDALKMAGVLSVEADAHVWTGGRTVLVQVGDILDRGEDEIAILSLLSSLNQQAKSQGGAVFQVIGNHETMNVEGDFRFVDPGAFDECTRFLEYLDECDGKWDDAFLNWVNVAERRKTQNGALPNGDWSPWNFVKKQKGYDARTSLFKRGGPLACELAQHPVVLRVNDWIFCHGGLLPHHVEYGIQRMNREVSMWMKCPGEHSDDETDIPFIATRGYDSVVWSRMYSQDPAERTPRHVMLSSFIAEQTLNTLGAKGMVVGHTPQICGVNCKCDGKVWCVDVGMSYGVLHSKPEVLEIINDRPRVLKKHRDGSYDEMEVLDYL >Et_6B_049392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4251983:4253137:-1 gene:Et_6B_049392 transcript:Et_6B_049392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLKVYYQSESTVPSKDICNVVDQSFRSQNLEAVKVECYDLDERVHKILKTLVVYGVPQEKICIREENKSSNSKLRPKLDSSRSHKEKHKSTEAH >Et_10B_002756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10581823:10588150:1 gene:Et_10B_002756 transcript:Et_10B_002756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPENKLRVLIQGTGQEKWKVDNNHNIRYFTVNEIKEITHNYSTMIGKGGFGEVYMGSLAGYGLVAVKRYIAINETQKGEFAKEVIVHSQINHKNVVRLLGCCVDVSCLVMITEYICNGNLNDYLHSDGMNISLDTRLAIAIDCAEALSYMHSSMYRPILHSDIKPANILLDENCNAKISDFGISRLLCMDNSQYTILVKGSIGYMDPVFSQTGLLTQKSDVYSFGVVLLELVTRKKALDDENNSLVQSFIEAISEGKKLREMFDAEITEDSSNLKTVDLIGKLAAECLGMKIEKRPDMIEVAERLRMYRKALKRVPPKLDQLLHHSWKNKIPLKFLLTSHQPIYLPYIDVFQEFLSKSGEFLGSDAVGQNYKVTLDTGKVVVVKRLKHLGFSSEIDFKKHVAKIQAIQHKHIVPLRGCCFTADKVLFVYEYMAMESLAELLYGKFAVQFILIEFFMICVVLISLPKSGNDAVRVPLDWRARSGIALDVARAIAHIHLTSPTASHGNIKTSNVLLSKDLVARMSECCLHSLAAQPPVSGTGNNGGRDQGTTVRHITQEDDVYSFGKLLLELLTGKAPSTCAAVYEDLELVEWANNFLHFQEWVAQMMPDWTLTRYQRREMTRLLKIVLHCGAPRHYLRPTMSEVVASIQQVISSGVRRSEERPALTGDLGVAPGSAAKITPRN >Et_3A_023630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1019428:1022865:-1 gene:Et_3A_023630 transcript:Et_3A_023630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFTRLRRSLVGGDEEEQPEDSILAGVRLRNMLGSLIVFVRPSKFAVLFTFGNIMAVGSTAFVMGPQKQLRMMFDPVRVYASAIYVGCVLLALIFALWIHDKLLTLIAIICEICALFWYSLSYIPFARRMVSDLMVKLCDTELGENYPRVLKPPRHSAASRSTP >Et_5A_040487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25366767:25367378:-1 gene:Et_5A_040487 transcript:Et_5A_040487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDEIWNKRQIIYGIALLGPLGLVLAVPAIWPRWMIFLFTVVWGFGSVGFPMGLYGTSRCEMDYSRHFARFIFMGFSLFVIYTMYRYAPHVRMIWTLAFGIVGGLVMVGHMFSWVRGFLTGSDRDQDESESSGEELSTSDLQQR >Et_6A_047121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25661070:25661701:1 gene:Et_6A_047121 transcript:Et_6A_047121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPLTSYTRLRGGDGRRPFRGRRRDGGCAQPWCLLAARLPVFMAELVGPMKENASARVRINDMEAKVFRSLLHFVYTDSLPEIEDANKVVMAPHLLVAPDRYSLERLKLMCEDKLCGCIDTCNLELTPALAEQHDCQGLKKACFKFLMSGSNLKAAVPTNGDSDVFILPERKQRLAPSFALLYWFHLSRRSLGTSIAINLGSDGL >Et_4B_036441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24273836:24275437:-1 gene:Et_4B_036441 transcript:Et_4B_036441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPFPTVDKCSSTDRGGDTVVADLDGTLLCGRSSFPYFAHMAFETGGVLRLLLLIALAPLAAGIQVLIFASMAGARVADIEAVARAVLPKFYCADLHPESWRVFSSCGRRCVLTANPRVMVEAFLKEYVGADAVLGTELVTWRGRATGLEGYVVPASPRLKPVPREELPKPVVFHDGRLVQKPSPALALLTVLWIPIGFVLACLRIAAGALLPMRMVYHAFRALGVRVTIKGTPPPPASRETGQTGVLFICSHRTLLDPIFLSTALGRPITAVTYSVSWLSEILSPIRTVRLTRDRAADAAMIRRLLTEGDLVICPEGTTCREPFLLRFSALFAELTDEIVPVAMENQMSMFHGTTARGWKGLDPFYFFMNPSPGYVVTFLNKLPAELTCSGGKTSHEVANYIQRLIASTLSYECTSFTRKDKYKALAGNDGTVVSKPNIDKKKVMGC >Et_2B_021362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2928896:2931753:-1 gene:Et_2B_021362 transcript:Et_2B_021362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLRGVRDDLSELGRHLLDIACFLHPLLNPAHTDSPPPTPTHRRRAPRRSPSPAPPSPSLLAGILSDLAEIGGSLRGGFARAAAVPTHESALQQQQAASPPPSPPAAAAAASPVAAAQVAEDVVAAARALAARPEAWIDFPVLALDEDSVISDIQRDHMETIEKLVPDLASLRARLCPSYMDEDVFWKIYFRLLESNIIEHSSEEDNQSVPSSVHHANEIESDSPPHVCEIESVKSNQEGYQSSDGRAFTKTRSEKSIDQWVFAKSKSEDSMDQWSEIPSDVESFREGKRYLSSEELSDADSSNVVVMDKYMDSLLSDRRHLPYASSSVRRDSVRRKPASSSDYSHRPPQPTPPASLSKKESWDVIADSEFEILDS >Et_2B_022846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6666874:6667700:-1 gene:Et_2B_022846 transcript:Et_2B_022846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQPLSPHMPAACPRLGPRHASTVTAPTGPPPPPSTALRSTEPCIAYLNPVPGNLNLLPNISPSKALSPLFEKRSAFSSNLSSHASAGQEQKIFAFSVVMASKVSVLLLALLLVAVVCFPMEVLGGGVPGGGNLKPWECSGKCSSRCGKTQYKKACLTFCNKCCAKCLCVPPGYYGNKGACPCYNNWKTKEGGPKCP >Et_2B_021146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26932067:26940042:-1 gene:Et_2B_021146 transcript:Et_2B_021146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEPDAEGTVGGASPAARVLSRALDKVIKHSSWRKHSALVAASKSALDLLSSAPAPAAAPGPEPLPSPVPGIPAPAADAALGALLLALDPASPKVAEPALECVASLLTLRLLLGDVEAADTSAAPSPSSPVSKLFAAVLSCGALGGDDALELAVLRVLVAFARCPTVSVSGECLGQVVKACYNVYLGSSSGGNQLCAKLAIAQVLGIVFARVEADTMDVRVRTVSAADMMDLSDRSLNDSSVVQAAQSFINEAMEGSDVPEESPAVDVPIEVGGSGENDELSKIREDGLALFKNLCKLSMKFATPDNPDDLLLLRGKVLSLELLRMVVDNAGPFWKTNEKYLEAVKQYLCLSLLKNSALSAMSVFQLLCSILMSLLSRFRSGLKEEIGMFFPMLILRVLENVLQPSFLQKMTVLNFLEKICKEPQVTIDIFVNYDCDVDAPNIFERIVNGLLKTALGVPAGSTTTLTVAQDQTFRIESVKCLATIIKAMSAWMDQQLRIGEFSLRSSETQSAMDNHNIHNGEEGSGMDYELQTDTSSCDITDSSSLEQRRAYKIELQKGIALFNKKPSKGIDFLIRSKKIGHSPEDVASFLRNTSGLNATMIGDYLGERDDFPLKVMHAYVDTLNFEGMDFGQAIRFFLQGFRLPGEAQKIDRIMEKFAERYCKCNPNAFSSADTAYVLAYSVILLNTDAHNPMVTNKMSKADFMRNNRGIDNGKDLPEDYLSALYDQIVNNEIKMSADSSVAQTKQANSVSKMLGLDNIINFVGWGAAEDKAVGANDLLIKHIQERFKAKRGKLESMFYIVADATILRFMMESCWAPMMAAFSVLLDQCDDKAATSQCLKGLRYAVHITSVMCMQTQRDAFLTSIAKFTSLHSAVDMKQKNVDAMKAIISIAIEDGNYLQEAWEHVLTCLSRFEHLHLLGEGVPTDASFLTVPLTETAEKTQKSTSLISSKKPNALQNPAVMAAVRGGSYDSALVKTSAPALVTPEQINNFLSNINLLDQIGIVELNHIFAHSQRLNSDAIVAFVKALCKVSITELQSPTDPRIFCLTKIVEIAHYNMNRIRLVWSRIWQVLSDFFVSVGLLENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVVVMQKSNAPEVRELIVRCVSQMVLSRVNNIKSGWKGVFMVFTSAAADDTKSVVLLAFETVEKIVREYFHHITETETTTFTDCVTCLIAFTSSQFSSDANLNAIAFLRFCAVKLAEEGFVCQDSGAELLRYSDVSDGKATSYKDGHVSLWVPLLAGLAKLTTDPRLTIKKGAVGVLFDILKDHGRMFSQTFWTDIFERVVYPLFSSERSTPSDQFSNSNDAEYNLPDLETQTLAMKCLVGLFINFFDVIRPKFARTASIVTYFVRSPYKHCATTGISAIIRLTEGVGDKLSMEEWKELLGSFKESVIHTFVIFSKIVRMMQDIEVPDRIDSYSEAEQYSNHEIYNNDEEEANMETTSYAIVKLKNHMAVLLMVIQNIIKLYEGHRKYLCPEHVNILLAMVSAIAIHSSEMSSESSLHMKFHKACSLLEVSEPAVVHFENESYQSYLKFLQALQYDYPSLSEEMNIESQIHHVCEKILRLYLKCAGHEPSDEASHRKPSLHCAVPLSAAKKEELAARTSLVLQVMKLLGDLERDSFSRILPRFFPLLIDLIRCEHSSGEVQHALYCIFRSIIGPMIHVQ >Et_3B_031169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19690002:19691428:-1 gene:Et_3B_031169 transcript:Et_3B_031169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKIELKRFRVVKEVGRIVMNVRIFACYQLIEECQRNPTAFTGNPSSVYGHEADGCMANGSLGGQCNYRVPTSPTLGIPAGMTSSQIQSPLGVFEFQPSKVCPRNFIIFDHTDNKGRIMYHPALVNKLTPTNIDVFPYHGDVVCISASQENGNLEEESSSFKEDSEEIDALLSSVEESDDDDVVSTGRTPDPLDNGPSDSSSPSRFEKMRHFSGNSSVCHGPVENITHEKIKKMVTVLRGIIPDGDQLDTPAVLEEAVRYLKFLKMEAKKLGVESLDSLDT >Et_7B_053819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12418715:12424093:-1 gene:Et_7B_053819 transcript:Et_7B_053819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAPMPVKRPRAPAEDGDRLSALPDALLHSVMSHLTAQQAVQTCALSRRWRDLWRSVPCLDMDSDTFRFKLAPSRARGLHVIHSKQQWEKLKDFGNNLFLHHSAPTLDRFRLHICIERNAFHALWEGAEDIKGMDGWIRRGVVRYNPVEATTLRNMEGATGKGAHVDVAAGSLTHDRLSALPDCLLHIILSRVGSLQVVQTCVLSRRWRHLWRSVRTIDLDDRDFLSSSTSASDDEEEGDAERYKEENVLARFEEFADNLLFQHRAPAPPLDAFRLCVDDKVLRHTNYGRWLRRGVGCCAPAALHVRNRGGQGDVTASCLAPGSRRLTRLRLEGVSVDDGFLEQLGALFPALEDLELRSVSYHTARADRIASATLRNLTVDNCRQWFDDEGERVVAVVAPRLATLRLSVPLYPDCMNTPCFTAYALQHAAAPASSAPLARASVRVADTGGHLNAVHNDWLGLDMTFERNMAFLESLRRLLGSLSGASCLELSGLQSMVVVQKRDFYSREGSIVSVPMLQALLGDEEQGLPVFRNLQTLVLGECDLGDDLQALWKGACPRESSPAALRGAGKGRRAHADAAVTGGLARDRLSSLPDRLLHAILSRLGSRQGVQTCVLSRRWQNLWRSAPVLDLDDREFVVPSISWLGSSSRGESPYAEEDVCHRFEEFADTLLLHRAAAAASASAATPPPVQTIRLRVGDRTVRHTNCHRWLRRGLACSPAALDVACGISLPSVAPGSRRLTRLRLDGVAVGDGGMEQLGALFPAMEDLELWDCSYPASARVASGMLRNLAVGNRQKRFDSAPLVAVAAPRLATLRLHVHTGGELNRMPWLAQNRTEYAFARNTAFLKSLRELLLASAASASWRASRRRYVPPSLPLPFVRELQCSICTPCTTTDHVLCFSFASVLYISQVVEKKDCAVQAERFVSVPLLQAMFDDVQKPPVFSNLRRLVLAECDLGDDLRPLWRFLQNTPGPENLYLRHCKVY >Et_4B_036181.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7538121:7538621:-1 gene:Et_4B_036181 transcript:Et_4B_036181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASGFLASSLGTVTVSTPFSMDALICSVLAFSGSRNRRRNLPLLRSILCHLSFFSSCSLLRSPLICRTLPSSISTFTSSFFSPGTSALNTCASGVSFQSMRAPAKAAVSESEETRGKRLPLLLPEPKGKPGKGSQRSREKGSNTLPRRISDMVRWTDDPSWWWE >Et_7B_053531.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7518812:7519249:1 gene:Et_7B_053531 transcript:Et_7B_053531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTSSSSLLLPRRRFLPPGSASARCHINPPPRLRVARQVAVGSDVSSSSEVAAEEAAAAGKVGKRVRVTAPVRVHHVAKAPGLELRGMEGVVKQYVGVWKGKRITANLPFKVEFELKLDGQDKPVRFMAHLREQEFEIVGDE >Et_2B_019095.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:8356274:8356651:-1 gene:Et_2B_019095 transcript:Et_2B_019095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DLLVILNNLGSQTLQTFRGNLSNSDKGVELLLGIFLVVPLAGNPDPDTPWHTPDTTAPDVLVELHIDPDVCGAHCLLCKFPDLLNGIGCLLLEGAAIQQELSITNCYLKQMPSVVPEACQYIVLR >Et_2B_020472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20553168:20554327:1 gene:Et_2B_020472 transcript:Et_2B_020472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAFLSSLRSRLRSPAPQSPHPRLQPTRGYHVELGAREKALLEEDVALKRFKSYKNSVKQVSKIGNVLTLAVAVGMSL >Et_10A_001981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16644293:16646423:-1 gene:Et_10A_001981 transcript:Et_10A_001981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKASYSAALAACASRPPQHHGGETRQWRRRRPVATLHTSSVRRRSGPVVRATDAVSMDSWAARLEAPVAVVTGASRGIGRAIALALGKAGCKVVVNYAKSGMEAEEVCREIEESGGRAISFSADVSCEAEVESMMRAAIDAWGTLDVLVNSAGITRDALLMRMKQTQWQEVVDVNLTGVYFCAQAAATVMMKRKKVPCATDLLQLRYKWTTSDKVKGRIINIASVSGIIGNVGQANYCAAKAGVIGLTKAMAREYGSRNINASSIHVNAVAPGWVASDMTAKLGEEVELKALEAIPLGRFGKPEEVAGLVEFLAVHPAASYITGQVLPVDGGLSI >Et_4B_036837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11949026:11951402:1 gene:Et_4B_036837 transcript:Et_4B_036837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQHREEDDLKGQAVKNQKAIWDKTLERRFLLQKAFSTSNKLPQEPIKTRFCNHDEEIEQAYGDLLNSSKQALCRIQELQESMLETSQAAKGADEKPSASNGEGDEWSEVQNLQTRITKFRNTEIDKWQRKIQFTTGAAALKGKLHTFNQDISDQVAGNMRDPSLMINRIYLMKSAVGVFGEVVRCIYIGHNMEGDPELIDDSEFYQQLLKEFLESCDRGASESTFYALKKKQLKRRKNVARRASKSRKIRYHTYETITNFMAPVPMVLLRWLRSCLRICSGQATRNTPEFELKLHITPCAIFLHSLKWWHKLLLLLHQKT >Et_7B_055482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11570034:11570751:1 gene:Et_7B_055482 transcript:Et_7B_055482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKRNHEVGHSIRFKPKQPIAFQRHPSRGFPPEAAPPEPSISIRRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Et_4B_038728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4342605:4345516:-1 gene:Et_4B_038728 transcript:Et_4B_038728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIIVKLIFGLLWGIIHLAISIFNFWSHLIYNLECYLISSGLLWKYWNLNLGRLKYLAIVVDSKEAKSITKIKQLLYWLSTIGVKYVCLYDIEGVLKKSFDPAMNGSRDGNLGEYLDIGANIKDARFGHRKMVIECISGSDGKEGIAKAASLLCSTYLNGDTENGKREPVFTEADMASALKAVGSGGPEPDLLLMYGPARCHLGFPAWRLQYTEIMHMGPLKSMKYGSVVKALYTFSKKHQNYEVSLIEYAGLYPTVKVPSIMMINGAGLDHNTGDF >Et_2A_017454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34536736:34541223:1 gene:Et_2A_017454 transcript:Et_2A_017454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNHGPSLTSLSTPLLSDSIAPTRATNGHANNGHGHHDAASTCDAAGGDPFAFLSEDRPPRDRGPSPGDPFRNATPAWGGGLYGWLRTLLCAPVAVVRLVLFGLAIAVGYAATWVALRGWADVKERPREGAGPMPAWRRRLMWITRISARCILFSFGYHWIRKKGRPAPREIAPIVVSNHVSYIEPIFFFYELFPTIVSSDSHDALPFVGTIIRAMQVIYVDRFSPASRKAAVNEIKRKAACNSFPRVLLFPEGTTTNGRFLISFQHGAFIPGYPVQPVVVRYPHVHFDQSWGNISLLKLMFKMFTQFHNFMEVEYLPVVYPPEIKQENALHFAENTSYAMAQALNVLPTSYSYGDSMIMARAVEAGKENCSNYMVEMAWVKDIYGVSTAEAMELLEHFLAMNPDSNGRVKVQDFWALFGLDCSPLCKKIFHYFDFDNKESITFRQLLIGCAHLRTQPLFQSACGTAFEKCRDPESSDISRAQLADVLRLSMLLPADDGILKLFKTFDIDDDEKISRDDFLSCLSKFPFLIALFAGPINGEVYIEIV >Et_4A_035938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8900889:8904394:-1 gene:Et_4A_035938 transcript:Et_4A_035938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVSFTARTKRSGHSCVGCRGDAGIFFSISCKIRYIKFSDFESLGFSHLGLCEGIHYEIGLSYTIMKMEQQNTNSKEGVEVLQQVPFEDENLGKGQLTSKAYHLESKSFLFNNVKANLVVFIICHQCPLFSKCSLTIDTVNRPDNGCSDNVHNLTVEQLAARKVEIIDITSISRDYWSKVISAPKVDLTAFKSQKTQRGPLLKGWMDSCRPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWIDEWYGMTLEQIREMERQTDMLLKKTLKKPGKAGSKHDGKRRTLKDEIAIVGSCT >Et_3B_031109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16097621:16101658:1 gene:Et_3B_031109 transcript:Et_3B_031109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAERRFKIFAAADGFGQPLKDAVVAHLRAHPVVAEVVDLGVDKYYAAAASVARQVSSSSSSDSEPEVRGVVVCGTGAGVSIFANKYPRVYATHCASPADAVNTRSINACNVLALSGKSTPPDAAAAIADAWLATPFRAPCPASGDAPWPEDIQKFFDTAPDEMAAIPEGSGAAVPDSACAICCLRKGMEFEPVGIMPGGEMRIVRESPTSAYVRFKAGSVEPAHHHTFGHDLVVIKGKKKVWNLTKKESYDLVDGDFLFTPAGDVHRVKYFEDTEFFIRWDGHWDIFLDEDINTARSLQSRMFTVY >Et_4A_032781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13771614:13774821:-1 gene:Et_4A_032781 transcript:Et_4A_032781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYPEFSTSSLLCDESIESIFGFDEGEEGTPECNTDLDFSSFAGLSLESDELEVVGSLIDQEKEQLAGIATGQYLERLNTGGIESSWRTAAIEWIGKVQAHLNFGPLCICLAVNYLDRCLAINMPENQPWAQDLMSIACLSLAAKMEETMVPHYLDYQVFNSKHFLETKSIESMEFHVLRSLNWRMNAVTPFSYIGYFADKFNEGNPLTSECVSRCAELILGTLKETRFLQFRPSEIAAAVVLSAVADLQALDFSNALLASEVPVDKENVRRCHEVMKEVALVKNTNSNVSPSIPQSPSALRLMVPKLQGLRKQITTAMPPLTRLALHSEIGQRLDTSKMESKIHYIYNRTMLRSKFFN >Et_2A_014704.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:7491064:7491579:1 gene:Et_2A_014704 transcript:Et_2A_014704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRLLASATASAASAADRSPPPLTKTRPFLPTQPGWAPADGAGAGGGGRRSWAREAARMASLRRSWRSQIRSRAPSASGASHRIAAGARGPGFSPGADWVERGGRTEAGKGGNGRENSEGRGLDWREWEHVMVAGDRFVVVRGAAEGDCQGIFEVFCWRTITKHLEVFDD >Et_4B_039018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:701615:702289:-1 gene:Et_4B_039018 transcript:Et_4B_039018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARSALVFAAALLLLLVDGGACAMYKVGDLDAWGVPPASKPDVYKHWAKSIKFKLGDAIWFLYPPTQDSVLQVSPDAFHTCDLSSPLLKLDDGNSIYNLTKPGRVYFTSGTPHHCLKGQKLWVDVPMADGSYVKPTANDLAALAPTPGAEDPGTVSSEAPAGSDSSTTAADDHASSALRTVAGVGSVLAAAALSLLL >Et_1A_007843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38870824:38873465:1 gene:Et_1A_007843 transcript:Et_1A_007843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFAGKRKELEQVVDGLSDFSLSGPASKSRRLDPGLPPIMEEPPAPSFAFEYEMMGGESNSSVDMPSGEDMMESAISPCLSSEDMALILYKPVDNHVLFGPNISSSSFIVSSDVLRGLKNNALNQGNYFELEDKSPERSNSLALVPWTPPQIAIRSDWVASEPGTTQTFEASMEADETEVTSMDVEEACEATALGFDGENLHQWQQHCMTPPSLPNPSAHVMWSR >Et_5B_044047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19367497:19375778:-1 gene:Et_5B_044047 transcript:Et_5B_044047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRISSGSPLRRSSPASGAAASSPPLCSMDAMGSLRVCLLCSSDQKLCSCRPGAGPHSWIIRRPERRGAATRSTAATGSGAESRVPTRRRRRKPSWAASAEAMWCGSGEKGKRSSGRSAQTRRQRREDEDKDIVGEPAEVILAVAGVGGLRELAAALLHGCGRLGVCSPLVTRSSDGPGAGPRCWIVLLHGLRPVRRREAAQSQAATDAGTASTDLTRR >Et_7A_052055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4112988:4121324:1 gene:Et_7A_052055 transcript:Et_7A_052055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESRPRRKPLVLASTQALLDSLPGERRGVPPPPPPEPVRLRAGVLRFPSRGPGEFGELASFVAVPAPVLRRLAVVTGTPVLIKNTDNNVGRIVKAVLLDHPPLDEQNTEQTEHAASVSSDRAMGFLPCRSFPATGSVSLDENVAYMSPLLAFNLGLHVSCLKLLIQKGGEPFKFCSRIEQGDTTASGESDVSLQLELLPCPQVPRYALHLRVAVVRIPECGVLASLKINSSSGGSDYQDMVDQALNEYFKFDRFLAKGDVFSIRNNWNCGLSSCLSCSNQDDKLHPRNMIYFKVTGMEPSDVPILRVNCNETALVLGGGASAAVPPYSFAASSDSVPLHGEIVEHLASIIAPALCPSDILPKIKFSTFLYGPSGCGKRTVVRHVANHLGLHVVECSCHDLMTSSENGAPAALVAAFKEAQKYSPCIMLLRHFDVIGSASSNEGPQTEQSGIASNIESIIKQYTGQNWVSQDSVTARDVNGSPYLVEPEWVSSLQVILVATADSSEGMQQSIRRCFRHEIDMKAMNEEQRNKLLSETLQGITTVADETIDDKFVKDLAAQTSGFMPRDILALVADAGVSFAHKVAAEKDSKEISKHDKIPQESSSATQNEEKHFCKDDIMSSLERAKKRNRAALGTPKVPNVKWEDVGGLEEVKKVILDTIQLPLMYKHLFSSKLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYARTARPCVIFFDELDSLAPARGSSSDSGGVMDRVVSQLLVEIDGLSDNSQDLFIIGATNRPDLLDSALLRPGRFDKLLYVGVNTDASYRERILKAQTRKYKLHKNVSLLSVAERCPPNFTGADIYALCADAWFHAAKRSVVETLETDPSRSNEASAEEVVVEIDDFITVLGDISPSLSLEELQNYEQLRQKIEGPSR >Et_7B_054793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4467340:4469502:-1 gene:Et_7B_054793 transcript:Et_7B_054793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANFLSTVQPPALLGVVASSSVSSPARRLAKIQVCCKGNVEGLEAADHEERLQFRRRDFIGGCFGSTVALELFDGSTRFTGVAAAADLIERRQRSEFQSSIKDTLYTAIKAKPELVPSLLTLALNDAITYDKATKTGGANGSIRLEISRPENSGLSAALDLLTEAKKEIDSYSKGGPIAFADLIQFAAQSALKRSFLDAAIAKCGGNEEKGRTLYQAYGSNGQWGLFDRTFGRTDVQEPDPEGRVPDWSKASVQEMKDRFVAVGLGPRQLAVMSAFLGPDQAATEDRLIADPDCRPWVEKYQRSRDTVSRTDYEVDLITTLTKLSSLGQKINYEAYTYPKQKIDLGKLKL >Et_6A_046609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18059116:18060864:-1 gene:Et_6A_046609 transcript:Et_6A_046609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRTLFKSPLHHRSHLSDAASSSSSSSASSSSAAAAAAPSHRLYQVWRGKNRFCCGGRLIFGPDASSIVLTVALIMTPLALFVAFVSFRLADLIGRPLGLAVPGIAIVVGVFDVVVLVLTSGRDPGIIPRNARPPDPEDFSTTTITTTAESSASPAAASTVNGGQQQQQQHLRASWSLPPTRDVQLVNGTTVKVKYCHTCMLYRPPRCSHCSVCNNCVERFDHHCPWVGQCIGRRNYRTFLCFIASTTFLCLYVHAACWAHLLLLLSSSTSSPKRISLAVAVVEVSPASGFLIAYTFVTAWFVGGLTAFHSYLVATNQTTYENFRHRYNTDSSSSGGKSGNKNPFDRGSAAANLAEVFCAPVPPSRNDFRAKVSMADPDAVALYYSLGPLASESRISFYTRGSLSFDLGYSANNKRTSIASSDFGDVGVAERCSTSAQHYQQHHMQPRHSIFGGGGGRESRKVEDEADAVTPELGTAMQYGGAGRPHGRDQFEVV >Et_2A_017266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32601784:32621553:-1 gene:Et_2A_017266 transcript:Et_2A_017266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVVWSPCFVWLLYILIFNSSLQSAICMESENDRQALLCFKSQLSGPTKVFDTWNNASIEFCSWHGVKCSAQSPRRVIELNLESQGVTGFISSCIANLTSLTKLQLSNNSFHGGIPSELGLLSRLSYLNLSINSLERNIPSELSSCSQLQILGLWNNSLNGSVPSSFSRCIHLQKINLSNNQLQGTIPSSFGSLRELRMLDLSSNRFRGDIPPSLGSSPSLTYVDFGRNALTGAIPKSLANNPSLQVLRLMSNRLSGKLPETLFNSSSLIAICLRENNIFGSIPHVTITSSPLKYLDLGRNYLSGRIPASLGNLSSLIQFRLNSNNLYGSIPESLGHFPSLRILNLNINNLSGPVPPSLFNMSSLVAVAIANNSLAGRFPSDIGYTLPNIQKLILSSNKFDGPIPGSLLNASNLQWLYLAANRLTGSLPFFGSLPNLEELVLSSNMLDAGDWGFISSLSNCSRLTILLLSGNNLQGQLPSSIGNLSNRLELLWLRDNIISGPIPPEIGNLKNLNTLYMDYNRFTGSIPSTIGNLDQLVILSFAQNRLSGSIPAAIGNLVQLTDLKLNRNNLSGWIPASIGHCTQLQVINLAHNSLNGSIPREIVNIPSLSQEFDLSHNYLSGGIPEEIGNLINLNKLSISNNMLSGYIPSSLGRCVLLEYLEMQNNCLAGSIPQSFATLVGIKELDISQNNLSGKIPEFLSSFTYLHYLNLSFNNFYGEVPSSGIFGNASAVLMEGNDHLCTRVQKGQMPLCSTINDGRKQKSYGRVAKIAIPVFVIISSCCLATFIWRKRILAKTLLQPLGERMKNITYEDIMKATDMFASANLLGSGSFGVVYKGNLKHQERQVAIKIFNLDIYGAERSFLAECEALRNVRHRNLVKIITLCSSVDTTGADFKALVFPYMMNGNLDMWLHPKSQEICERKVLSLSQRVSIALDVASALDYLHNQCASPLIHCDLKPTNILLDLSMTAYVADFGLARFLHTRSRAHQDSSTSLACLKGSIGYIPPEYGMSEDISTKGDVYSFGVLLLEMLTGYRPTDEIFSDGTSLHEFVNMAFQNSIDEVVDRIVLQDGISGTKVLHDCIIPLVKLGLACSLTSPTERPGMGQVSTQILTIKHMLSNIHASEYDRHALLCFKSQLAAPIDVFTSWSNESLEFCSWYGVACSGRSPRRVIALDLESEGISGTIPPCIANLTSLERLQLSNNSFHGRIPSELGLLSQLSNLNLSMNTLEGKIPSELSSCSQLRVVGLWNNSLDGDIPSSLSHCIHLQKINLSNNKLQGSIPSAFATLVELRILDLSGNSLTGGIPPNLGGSSLSLTYVDLGKNSLTGGIPGFLANSSSLQVLRLMRNSLSEELPESLFNSSSLLAICLQENKIIGSIPPVTASTPQLKHLHLGGNYLSGTIPASLGNLSSLLDLRLTRNKLVGSIPESLSHLPNLSLLNLNVNNLSGPIPPSLFNMSSLTALALSNNSLIGRLPSNIGYTLPNIQILLLSSNKFDGPIPASLLNAYQLRWLYLSSNGLTGSMPFFGSLPNLEQLDVSYNMLEAGDWGFISSLSNCSKLTKLMLDGNNLRGNLPSSIGSLSSSLQTLWLRNNKISGPIPPQIGNLSSLNTLFMDYNKFTGNIPPTVGNLHNLVVLAFARNRLSGQIPDAIGSLVQLTDLKLDRNNLSGRIPETIGNCTQLQILNLAHNSLNGSIPSRIFKISSLSEEFDLSHNYLTGEMPDEVGNLINLNKISISNNRMSGNIPSALGFCVVLEYLGMQSNFFVGSIPNSFVNLRSIKEMDISKNNLSGKIPDFLTSLSSLDYLNLSFNNFSGAVPTGGIFGNSSEVSIEGNNHLCGSVPTEGVPLCSARDDRERKNRHLIQVVAIVTPIVVVSIFAWFCLVTLFGEKRSKEHLQQIDERMKNITYQDIVKATDMFSPANLIGSGSFGIVYKGNLDLQEDQVAIKIFNLNTYGVHKSFLAECEALRNLRHRNLVKIITLCSSVDSVGTDFRAIVFPYMPNGNLDMWLHSKGQGYSQMKILTLSQRINIGFDIASAMDYLHNQCATPLIHCDLKPSNILLDLDMVAYVSDFGLARFLYNGSSTHQDSSISFAGLKGSIGYIPPEIITGYHPTDEKFNEGTNLHDFVSRSFPKNINEVVDPVMLQDNMNATEVVQKCIVPLVKIGLSCSMTSMKERPGMGQVQLSSPAEVFSSWSKASLDFCNWNGVTCSTRPPRRVMELNLASQGIAGSIPPCIARLTSLTKLQLSNNSFHGGIPSELGLLSQLSNLNLSMNSLEGRIPSSLSQCRHLQDINLSNNKLQGGIPSAFENLPELQTLVLSKNRLSGTIPPSLGSSLSLKYVDLGRNALTGGIPETLVNSSSLEVLRLMSNNLGGKLPNALFNSTSLITICLQQNKFIGYIPPMTATSPPVKHLHLGNNNLSGTIPSSIGNLSSLLTLILHENNLAGSIPETLGNFRTLEVLSLRQNNLSGMVPTSLFKIFSLQKLDMANNSLTGRLPSDIGYTLPNLKFLALYSNKFEGPIPDSLLNAYNLSVIYLTDNHFTGLIPSFGSLPNLEELDVSNNMLEAVGRNFISSLLNCSRLTRLLLAGINLQGNLPKAIGNLSSTIEELYLNNNNISGPIPQEIGNLRNLKQLHMDNNSFTGNIPPTIGDLRNLDHLALARNKLSGQIPDTIGNLVQLMHLTLDWNNLSGRIPATIGNCNRLEILNLAYNSLNGTIPETIMKTTLAREFDLSHNHLSGGIPEEVGNLTNLNELRISNNMLSGNIPSTLSKCVHLQYIKMQNNFFEGGIPQSFEQLKGIKELDISRNNLSGKIPVFLASLNSLEHLNLSFNNFDGAIPSGGVFGNSSAVSVEGNNHLCTSVVTGYTPLCSGTGDRKMKHKTLVLVAKIAIPIVGIGLIIWFYIATFFRRKRMQANTCLQQYNERTKNIAYKDIFKATNGFSPANLIGSGSFGMVYKGKLNLQEDQVAIKVFNLETYGAHRSFAAECEALRNVRHRKIVQILTVCSSVDYTGADFKALVFPYMPHGNLEMWLHTKDHEHSEGKVLTLNQRISIALDVASALDYLHNSCASPLIHCDLKPSNILLDLDMAAHVSDFGLARFLYNRNSHQDYSGSSASLTGSIGYIPPEYGMNEEISTKGDVYSFGVLLLEMITGSSPRDEKFSDGTNLHNLVARAFPMSIHEIVDPTMLRDEIDAGEVLQNCIVPLAKIGLACSTASPKERPEMGQVYTEILTIKHTFSSILEE >Et_5B_043963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18322205:18324810:-1 gene:Et_5B_043963 transcript:Et_5B_043963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGSVAFIVALLPDLSLRAGEHVDAAHLDHGLGEVAEELVDEPARGLALDVCPGDGVGEQLGVGPPALGDEAVVAGVEAEEAAGVHLVERGVVGEAPAPRPELGQVRVVQRRVDVAGVVGAGEVVEPVAEEWAVPSVCPPESTTRSFTPSPLAANMETRRVKLAVGGGSCLVSLATETRPSRRPSATGHDGPRAATTASRAMSASASAQETVPGQARSSASLTRCTDPNPRSVRFGVASFSAGLAGSVVSRSTDASQPRTKQSWKWSRSSGPASDGDSVRIFTISSLTICSTCGHVWL >Et_6B_049427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4706016:4707317:-1 gene:Et_6B_049427 transcript:Et_6B_049427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRNLKVVLKRHVTGYPVEEDMEIVADTVELRVPAGLKKAVLVKNLYLSCDPWMRGRMSKHDDGATVPALGFVIGEALVNYGVGKVVDSTHPDFNAGDLVWGMTGWEEYTLLTQPEPLLFKITHPELPLSHYTGVLVSIPSRRVTDDDVTTFAGMTGLTAYSGFVKVGRPKKGDAVFVSAASGAVGQIVGQLAKIAGCYVVGSAGSDEKVSLLKTKFGYDDAFNYKSEKSLAAALKQRFPDGIDIYFDNVGGATLDAALLHMRHGGRVVVCGMISQYNLEEPDGVRNLVCIIPKAVRVEGFNVGNYFHVYARFEEEMAGYIKEGKVVVVEDVVEGIEMAPGALIGLFSGRNVGKQLVALARD >Et_4B_037172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15862219:15869930:1 gene:Et_4B_037172 transcript:Et_4B_037172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALLRHAPPASTAAGILSHRRRHTQTFHHPIKAHSSPRPLPCPSLRPATPIRPHRGTGISPGGCRAAADAAPSDVQGREGAAGGLIVRVGEALSLGFPVWVASACALALWRPTSFLWVGPRAQMVGLSVTMLGMGMTLALDDLKTALLMPKELAAGFMLQYTVMPLSGVLVSTLLKLPSHYAAGLILVSCCPGGTASNIVTYLARANVALSVLMTAASTFAAAFLTPLLTSKLAGQYVAVDPMGLFISTSQVVLAPVLLGALLNQYCNGLVQLVSPMMPFIAVATVAVLCGNAIAQNASAILASGVQVVLSVCCLHASGFFFGYILSRILGIDVSSSRTISIEVGMQNSVLGVVLASNNFGNPLTAVPCAVSSICHSVYGSIVAGVWRCMPPTDKGE >Et_3A_025825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33122999:33123403:-1 gene:Et_3A_025825 transcript:Et_3A_025825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHAAADHIVEVGAEKSSLAGDAADNAEVAGDGTAGAAVRRASSFSQAYQMKHRDPMVFTRWQTMLLAYQSLGIVYGDLGTSPLYVFSSLVLPGADETDSSAF >Et_5A_042621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22509038:22510727:-1 gene:Et_5A_042621 transcript:Et_5A_042621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHPAVAAIEGHPGTVAVELGPGDGDGGEVGDEYGVVFADGDVGDEAEQRRRSVECSVAAGEAGIGEDAVLGLADEGGPDEARGVVWREADEDLSDSVVHQLRRWARRRRGHGREARVWVGIGGRGGRGIRGVKQGRGRKEWGRVLGRVRLTAGWFGSTTTVGLNPVRIFDSGFWS >Et_8B_060656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4067573:4068263:-1 gene:Et_8B_060656 transcript:Et_8B_060656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSPFPPLVLHMDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >Et_4A_035343.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2125318:2126862:1 gene:Et_4A_035343 transcript:Et_4A_035343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALFLFIELLPILCFLILYYRHLQSKKSSALEPTEWPVAGHLPGLVVNLHRLHDWTTGVLTGAGHNFHARGGLSGLRYFITCDPANVRHIFTSNFANYPKGDEFAVIFDVLGDGIFNADGESWRRQRVKAQMLMTGPRFRAFSARCSRDKVEQSLLPFLAHAADDDEGRPCDLHDVFLRLTFDMTCILVFGVDPGCLAIGLPTVPFARAMDDALETLFLRHITPMALWKLMSRMEIGQEGKMAAARRTIDGFVADTVAKRRADKLREGISDSADLLSSFICNEDDGDNDDVFLRDTTVNLLLAGRDTTGAALSWFFYLVCKNPRVEQKLLDELAPIASKKKVADADDGMVTFDASELGSMVYLHAALCECLRLYPSVPFEHKAVVADDVLPSGDEVKAGDKILVFNYSMGRMEGVWGKDCMEFVPERWITGEGKLRYEPSYKFISFNAGPRTCLGKEMAFVQMKTAAAAVLWNFAVELVPGHVVEPKLSIILHMKNGLAVRVRRRPEACVTAS >Et_4B_037926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24915685:24917175:1 gene:Et_4B_037926 transcript:Et_4B_037926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRHAFFKFQSRLLFSCSPSLPFLPIHTNPSPRTMEEKRETDLAAAAAMRAATASGTPTATRGSSTASSCSSNSNPAAASASTGTPPPTTIVPWAGGAGDSCYYPGCRKDANCACEMCLASIDATRDLVRAPEAASARRFFGGAAARDRRPALFRRGAPEGSDVTEPWTPPMRSTAKSRRAPARVAEAAAAGGREEKGGVAAHDWALYAATVVGFLFLLWVDTGLVPEGAARGFGPKLSPEAVARVGIEARLAPGGLDSKLRVLERRVGQLVGGDRVANCSSQDSAWRFHPNDQQVFHWRCTVYKSLAEEVSIWGSPLRTTGLLPPTLSARHLTLLAGTITEWSDGRVLPTVRASNGSSWAYRRRSVGAVRLEPDTWVLEYQKSALFEGTRLIPAAAELFMSKFSTVARRARRRLARRRISGGAQAIPT >Et_2B_022710.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3522289:3523566:1 gene:Et_2B_022710 transcript:Et_2B_022710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPAPPAPGNESSIVNTIERKLSPGILLIIAILAMVFFIIGLLNLLVQNLLRLRRSRRRRRRVGDAAGGDGSPTAFQGQLGQLFHLHDAGVDQAFIDALPVFPYRAVAGRRKDPFDCAVCLCEFDMDDKLRLLPACGHAFHVPCIDAWLLSRSTCPLCRGSILADCSPESSPFLLVLDAESVPDAVIRDGRDPGDGGDSEESSSPKAEEIVEVKLGKLRCVDGNASARDFTALDGTSSSDGNVGGNLGGQRRCLSMGSYEYVMDDHAALRVAVKTTTPKGRPASSRSRRRHALSECDFGGSKKGAWETAVTEAAAASDDADGAASLNKDSFSVSKIWMVSSKSEDTRTAELARERRAVSFRWPAMAAGCKKQRGGEAIWDVEAAATRSDNGVSSAEERPSFARSALLWVAGGRQPSSRGGSHS >Et_9B_063722.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:4969769:4970596:-1 gene:Et_9B_063722 transcript:Et_9B_063722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRNPRAVLRAAAAASFLRPAATAARQALPNVTPAPALPACRPLPASFSGSRSSPRAFSSAADYGKEVDEVNRKFAEAREEIEAAMDSKETVYFNEEATIARDAANEALGAYDALLARLPPADADSLRRSMGLKMEQLKAELKQLED >Et_3A_026375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7439728:7442187:1 gene:Et_3A_026375 transcript:Et_3A_026375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIYRFLCGLCAPSSQQLSLHGAHPAVAALGGDVLNFQATSQVPDGLSRHVVSSKKAQANWYNKLLVAWKKARPPPKTPEEAARFVVQTLKNHQKADVEGLLVFYGLPIPSGAAGGAAPAPHHAAPLPPKPKGAKFELHTLPVDARAVADGDTINVYVDASDPHVSGSLPREVQKAAAERAKARAAKNYQKADALQKTIVDAGYRPVPNARGEEVLGKKYRIRLRGIDAPESAMPYGKEAKEALLKLVQGKSLKVFVYDEDRYGRCVGDIYCDGVFVQEQMLKKGFAWHYTAYDQRPELAKWEKQAQAGRKGLWASSKPQKPWEWRKDKRNGTS >Et_4A_033463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23000791:23002771:1 gene:Et_4A_033463 transcript:Et_4A_033463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREDTKRSYQTVALATAMLLTRAPPPPRASAANSGRVLRRFGQASSRAAITTAAAAAKAPSFRAGQKRKQVASVANPLVKHCVKLRLSAGYRRSCRRLLLVGLAPILEMCRFDFSAIDYLLLLDGVEVPEELQEFSGNVVHVSAAVMKKVSGMQSVDSTEAIAVMHMPKHFRDLGSDEGGAALDGLFHSPKRILVLDGIQDPGNLGTLIRSACAFNWDGVFLLPPCCDPFNEKALRAARGASLQLPIVSGAWHDLHGLMAKYDMRIMAGHPESSGDGSKVTHPLSKELADSLMNESLCLVLGSEGSGLSAETLLTCELVNIPMEGSFESLNVSVAGGIFLFMLQHKHQLGSRSP >Et_8A_058474.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8691268:8691687:-1 gene:Et_8A_058474 transcript:Et_8A_058474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPADADAASRRRWTLVLVNLASVLEKADEVLLPAVYREVGAALGASPTALGSLTLCRALVQAACYPLAAYASARHDRARVIAVGAFLWAAATFLVAVSGSFLQVNASALLSSSVFLPCSPPPLVPFSLTRWDHGCTF >Et_1A_009560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8147734:8149965:1 gene:Et_1A_009560 transcript:Et_1A_009560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCASLVGALEVLRAVLAALVEDEAEVDGEVELDAEHVGLDGGAEADGGVEVDEAAQQRAALLVRGNADLDEAQNVGAHLELERVDRALPVGGRRRRRHDGRRGDDWWRGDDRAVAGGSAAVRGDGEQEAEGNEESNLGSHCWSIRY >Et_6A_048057.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4708271:4708549:-1 gene:Et_6A_048057 transcript:Et_6A_048057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAQGMYVSAGKDSLSLLMTMNFVFVDGAYNGSSLAIFGPNPAEKQVREMPVVGGTGVFRFARGYCEARTQWIDNRTGDATVEYNIHIRHD >Et_1A_006079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17513283:17514675:-1 gene:Et_1A_006079 transcript:Et_1A_006079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSVVQKQRKRVKHTTSKYLKPGALAQIRYSRSSSRDIGKKRILLNVKDELEIPTQGNGSVERTASVLSPARLNFEPFASIKGQILPKTPKTPVADEFEGDSRLESLPLDLLIKIVCYLHHDQLKSVFHVSKRIRTAIDGNDVRVSTPRTPKAPKHASRLARLSQLDIKQITTVLFPESSLPLKRRRSVPPGLPRPVSKAAASTRVLRYEEELCEAVAQNKLL >Et_8B_058696.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:16898391:16898549:1 gene:Et_8B_058696 transcript:Et_8B_058696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FLPFVLSKILLSIFLIQVCLLDFLCSGSAALVDKLKETKYGGDNFLRGNRIA >Et_10A_001846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:977010:986768:-1 gene:Et_10A_001846 transcript:Et_10A_001846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWGSRKAAKATVPAWYVSATGSDTRKVPKGYVPMLLIDGEEGEQGQKIMVHVRVLREPCMAALLDMAAQQFGHSQSGVLRIPCNVIHFEHMINGKLQGTKAAVPAWYACAAGGKVPKGYVPMVLVAGEEDDQQSQRILVHVRMLRASCMAALLEMAAQQFGHDQRGVLRIPCDLTRFEQMMDDQWVDVESC >Et_9B_063998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:112357:114351:-1 gene:Et_9B_063998 transcript:Et_9B_063998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKKKTKKAQGAQVPPPPQGAGGWWWCVLETMAFVADNSSGRGFYHFVESRHRRYGGPCFRTSLFGHTHVFISDPKSIRRLLLRDDSCFSKRYVRTVAELLGEHSLLCASHDGHRSLRRAITPLFFNSSCCLTDTFDALTRTLISDWCSSSNSSNSVHVVVLDAALGITFEAILGGMLVRTLKNNDKLRRRMQRDVLAVTQAMLSFPLRMPGTRFHAGLQARNRIMDVLRHEIASRRQPQTQTTSCNDFLHSLLHITPSLSDQQILDNVLTLIIAGRHLLRTTQFSIPDCLVVDMYYYCSIQTSPQGLISSSPYVRNFINSGQVTTATAITWMLKYLADDTDLQEKLRSVQLELAPKHQDLPLTPQQLNGMDFAYKVVKESLRMATIVSWFPRVALQDWFHINKGWIVNVDARSLHYDPTIYHNPTMFDPSRFDSRTMKEDSCNKLYTRTCWIAQEEPKANSFLAFGAGGRTCLGMNLAKMMMLIFLHRLVTTFRWEMADDDPSLEKWAMFPRLKNGCPLHLTPI >Et_5A_040936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15170604:15174407:-1 gene:Et_5A_040936 transcript:Et_5A_040936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNMASATSRFMLAAAAGVPTAGNGNGSGRVSFANSRPLGRRLVVRAEEEAAAPAEPAAEGEGAVATKPKAEKPPPIGPKRGSKVKILRRESYWYNGIGSVVTVDQDPNTRYPVVVRFNKVNYAGVSTNNYALDEIQEPDMSKGTDRRSASSFRK >Et_2A_016225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22157892:22161271:-1 gene:Et_2A_016225 transcript:Et_2A_016225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLLRAVRRRELATPLGSLGASLQSTCAANACSRWGNFARPFSAKPAGNEVIGIDLGTTNSCVAVMEGKNPKVIENAEGARTTPSVVAFNQKGERLVGTPAKRQAVTNPQNTFFGTKRLIGRRFDDPQTQKEMKMVPYKIVKASNGDAWVETTDGKQYSPSQVGAFVLTKMKETAEAYLGKSVSKAVVTVPAYFNDAQRQATKDAGRIAGLEVERIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDISILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVGDFKRSESIDLSKDRLALQRLREAAEKAKVELSSTTQTELNLPFITADASGAKHLNVTLTRSKFEALVNHLIERTREPCKNCLKDAGLSAKDVNEVLLVGGMSRVPKVQEVVSEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMATDNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVAAKDKATGKEQNITIRSSGGLSESEIQKMVQEAELHAQKDQERKALIDIRNTADTTIYSIEKSLGEYRDKIPAEVASEIEAAITDLRQQMASDDIDQIKAKLDAANKTVSKIGQHMSGGGSAGGSQSGSQGGGDQAPEAEYEEVKK >Et_2B_021017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25659013:25661015:-1 gene:Et_2B_021017 transcript:Et_2B_021017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKAANVYNNLSSMSSAQATEIYIDDRGYCMTPTTYSYLKMQFFSLTLAESHIGNGPIQVYGYIAARSGDDDMDGMLNYVLNRSRDDPVIVQQGSLIEMTGPKRAIYFTSPVLIEFVMRIKNGEQEEDDLELIDGAIGCHDNRSPWIPVKHRVPGKWGTVDMSFARIEHAVEATIEVAISDVQEGFNLSLSSLVLWRATKTCRATRKYCFFMGRYAVAVTFGSEMRLKFKVGNDDNVVFYRSFKYEKPVFCVIRRKIKLEHASFSMK >Et_3B_029244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23427750:23428833:1 gene:Et_3B_029244 transcript:Et_3B_029244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQQQRHRQRIMPRLLLFVSLFVVSSAALAHKRPALHPLRLRLQPHLEQRLTDEYYQPAAHILKAKGWFRLWENYTEQQEEDSPRRCSPASSPNSIYRLVEHAKNGHKPVILLPSSSSTGAPCRGAYRRHVKHLVTLSSLVVGGSPVVLQIFACNFGSLSSPSNLLSRTSRSFAGVSTLFCRPSPKVYGHAPLVVTRARNSADEVARYRRRVLGFRALLVPTTCVNGVRVPTVDRLVYWNGNFSAKPDCAVRPFGTVIGDDPEQGYFKSVLIPNVTYDGMVSDDVANWRSVAWSARFLKQIEPVFFFLRGKSSQSFNTEINRFASSYSNPM >Et_2A_017658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4779327:4781865:1 gene:Et_2A_017658 transcript:Et_2A_017658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEEKLRSGAHLHRDPTINPGLGSPRCPRCLSLLNTTAGEGDWAITSVLHDATAVAGSGAGALLSAVHGFNTGIPFIQKHVKGPKWLQLLVGVPPLLMFLGASAVFGAYALPRFAQLTVNSYYAASSGSHYAVSQVTRQIERSHLSDTGEKSR >Et_8A_056598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13176753:13177077:1 gene:Et_8A_056598 transcript:Et_8A_056598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHPIHQHDLNLHFIFPDRPLPTDNLKNQYTKGKYICKRVRDSSGARYPIVPTTCVVVGSMPWSYNLARPKSPSRAFISLSNSMLLVLISLWTTTFSHSSCR >Et_7B_054819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4629387:4631894:-1 gene:Et_7B_054819 transcript:Et_7B_054819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAAAEEEKVPRRAMKKAPARSSTLHQEKEQEELLGTISDSLSVPILASDKGCDDPENVENAADPQKVQNRVMDEPKQDDNKGEITELHIVCCFISSCVLELLLKLYLCLFLFLKISELPMNVDEMLVEENLTELAPVADQECADKSPLQEQQVNVNSCATLLLSLDDSPILGLLSSAAGQVVEEDDAGTSDALLKKEIGEEIHHAGEEMELAPVIQELRASLAPDESIVKSGFTSHAAQNEMESSNEVVHGTDEINELSSEDALICQEKGDMVDCSGYISPVTEKEAVESMVSQLTENEVVTNDIMSPVTVMDGKVVEVVHGTDEINEVSSEDGLINRKKGDVADCEVLPAPTEETDALDCTGYISLVVEKEAVESMVSQFTENEGVTDGIVSPFTVMDGKVVEVKAEELVTKEDVEENSFKTDSVCVDEQMEVVNLEKAEKVSDKLPQSKAAMNEDIEENDFQTDFLHVDELKEVIDMEKVEIITDSLPKSTAILDEDIQEVDFQSDCVHVDTADNALEITTMDGELVEQDKILQQSTDTVVKEDILDDKLESDFVHADKVPELIGTDGEVDEENKAVAITEEMPESIGCFEIGFVHADELTKMVTADSLLEITETEDENVQKESAFTGDLPHELDIARDSSDNNTSALPDDVIKSLSNITIHEPTVSQDKNIPMSQNSSENTVELVCKESSDKNTDEPLCKIRSESRTAEPVAMEENMGLNVAKKSEDLNKLSLGQLRAKLRNKLKHKGAKRVALASVDENICRSNATG >Et_5A_042019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5310085:5314183:1 gene:Et_5A_042019 transcript:Et_5A_042019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKNVVSVTPAADCSGALRDRGHPREPEPVALPVPPVPVSVSVSSLRSSSSAARRLEKVRDEAGEQGKAAVAVPAASRSFRLRSLRRSLEGEQVAAGWPPWLSAVAAEAIQGWIPLKADSFEKLEKVGQGTYSSVFRARDLETGKIVALKKVRFDNFEPESVRFMAREIQILRRLDHPNVMKLEGLITSRLSCSLYLVFEYMEHDLAGLCSSPDIKFSEAQLKCYMNQLLSGLEHCHSRRIVHRDIKGANLLVNNEGVLKIADFGLANYFDPSKNHPLTSRVVTLWYRPPELLLGSTNYDAAVDLWSAGCVFAEMFRGKPILQGRTEVEQLHKIFKLCGSPTDEYWKKSKLPHATVFKPNHPYPSTLHDCFKEVPENALRLLETLLSVEPYRRGTASGALTSEFFKTKPYACEPSSLPKYAPNKEMDAKLREDLLRRKARAHGLDASKKSSRLSRAAREPTSITKQTNNTEDSKPKVDGTKGGTIQERTKVSGDIRLFADIQPVSAAQVKERARHTKNESREEIPYSGPLIVSSSSGFAWAKKQQEDRSFARLRNRSCSRSQFTGEIDQDNNSQAKENFGLREQPNRDVHIACTNSKVQEANDVAKRAVLKKWSQLERPDSFDSCDTYHSQNFSKALYLGDTLSSKNSFKGDHDQGEKVEYSGPLLSQTHKVDELLQKHERHIRQVVRKSWFRRGNCISELVKMPFCFDYGSSKSGTSPVLISPSMNYVSRCPIAC >Et_9A_062931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:751075:752249:1 gene:Et_9A_062931 transcript:Et_9A_062931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVASDGCMMMRGKQIKGLDMLEVPELVLSLLVLDHALVLNDPPTPRHGILVLENVDALVDQRQVTFHLKLEPSSAPLLPGDAMQVELQVVQRHRRLRPVDVRRAAGVDGQRHVHVHVRQRRHQRHHVAQVLDVQRLELMLVVTERAVGRLEEDVPDVRAREHDRRHRRRRLGGVAPRLVHAVRHHVDGVQGGERRAEAVAGDCDAGVLVLVQLHQPPHLLENLQINQSN >Et_5A_041143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18672958:18697049:-1 gene:Et_5A_041143 transcript:Et_5A_041143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAPFQGHLNPMMQLAGALHARGGLDVTVFHAAFNAPDPARRPAGYRFVPVGEGVPADDLSPSGSDGDFMGALLRINERLSSPFRDILRRELAGDAAEEVPTACLVLDSNLRGMQLVAQELGVPTLVLRTGGAACLVAFMAFPALCDKGLLPPTQDQSQWDMALNELPPLRLRDMVLSTPSSHANISKCLECLVECSRCSSGIIVNTFLDLEERDLEKITNSLGVTIYTIGPLHKISSIADSSLIVQDQACLEWLDKQEDESVLYEKELLEIAWGLANSQRPFLWVIRHNLVQSSQQVSLPDGFEEATHAGSLGSPPRRAVVSWAPQEEVLDALRLELDDGVRRVEVGVEDGDGEAAAVEHVGELQHRVDVPLERQREHQHAAPTRHLAVDGVEAARFLDGGHINPMMQLAGALHARGGLDVTMFHAVFNVPDNAAGDADIAGVLLRINERLVGPFRDLLRRELAGGAACLVVDSNLRGRQLVAEELGVPTLALRTGAAACLVTYMAFPALCDRGLLPPPATQDQSQWDMALSELPPLRPRDMVFSTAAAHANMSKCLKCLLECSRCSSGIILNTFLELEEPELQKVTNGLGVSIYAIGPLHKISPVADSSLIVQDKACLEWLDKQVSDSVLYVSFGSVASLKEKELLEIAWGLANSRTQFLWVIQDNLVQSIQRISLPDGFEKATRGKGIIVSWAPQQEVLGHRAVGGFWTHNGWNSTLESISEGVPMICRPQFADQMINTRYVQEVWKIGFELQGKLERKMIERAVQRLFSEEGREMRRRAMNLRNKVVNCMEEGGSSKASIDLLHKKIIYKCQKFKSRVLFFPFPYHGHINPMPPPRMRLHHHRVPHPLQRAKPVPHPTDYDFVPGVAADDVDSDGTVQATLKRILAMNLACEAPFQDRLATLLACRREEEEVACLAADDASQEPMAAVQEARSGDVVGVSCRGAETWPARRVLVFPLPFQGHINPMLQLADVLHGRGLAVTVLHTHFNALDPALHPEFDFVSVPDGVPAEVAAAKKGNIIDIILAMNEAMEASTAVDEVLASVMADEARPRPACLFIDGNLLAIQKVAARHGLPTLVLRTGSAACLECILHYPMLYEKGYLPRQDSQLDMPVKELPSLRVRDLFFSVNNDHEKVRKVLARIAETVKGSYGVVINTFEALESKELERIRGELDLPLVIAAGPLHKLSSTSTDQDYSCIKWLDSQPARSVLYVSFGSLAPLNSSEFLEVAWGLANSGHNFLWVVRPGMVNSMSGIDLPNGFDVAIEGRGKVIQWAPQQEVLAHKAVGAFWTHNGWNSTLESISEGIPMLCRPHSVDQTMNARYVEKVWGVGLELEGELARTKIELAIRNMMSGQDGGEMRERAEELKMKVVHCLGSYGSSHIAIDKMAAGADKRGAGRVVVFPLPFQGHISPMLQLAGALHARGLAVTVLHTEFNAPDPARHPGLSFVSVPDAVPAAVPSNGIAKILALNAVMEASGHVRDALASLMAEEEEEEEEEPKLRCLVIDSTLTAAQKAAAGLGLPTLVLHTGSAACFRLFRSYDMLHDKGYLPATESNLHLPVKELPPLQVRDLFDPSKLPNKEFGQKILNLATETTTNSSGAIINTFEALETHELEMIRHELQDIGIPAFAVGPLHKLTSTNGADTSLLNQDRTCIEWLDTQAPGSVLYVSFGSVVHLTQDEFVEVAWGLANSGKPFLWVVRRGLIMGVEKAELPEGFESAMQGRGMVIEWAPQQEVLAHSAVGGFWTHNGWNSTLESIYEGVPMLSRPLFGDQLATGRYVDDTWKIGFLLEGVLERGMIEKAVRRLMEEDEGAKARERAKELKEKAQMCLESSGSSQLAVDKLVEHILSL >Et_2B_022586.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26849582:26850172:-1 gene:Et_2B_022586 transcript:Et_2B_022586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGLSSDHRRSRASLHQAPAQAPARVIGADGSLREFVPATSSPTISVSDVLGGNAGRFFVCSSDALYFDADVPALGGDELLRPGQIYFVLPAAMLGRPLSSADMAVLAVRASDALATRASRPRRGLGMKKVRVVPARAASGYDDDGEVNEKLNQRTLGGFETAASASPARNAKKLAVVARPAMKRVLSTIQEVTE >Et_7A_050393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12506152:12506397:-1 gene:Et_7A_050393 transcript:Et_7A_050393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVDLNVAACLCTAVRVRANVLGLVRINVAPLVVHLLLNSCSQAVPANFTCPPA >Et_4A_035569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29606046:29615140:1 gene:Et_4A_035569 transcript:Et_4A_035569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYCTVLIVLGVAVGRCFSEIAPCFPESGGFCGTKKRRAKRQKRDDDSDENEEVVTEYEDDKKEGNDTIDEPIVRKRPKRAAACSNFKEEELDLSEKDLIVTTKESLVEAEEIDAISLTKTEPEDRRPRRKLIDFTLHDADGNAQPFEMSEVDGIFITALVMPLDDGLEKDKGKGVSCLGFGQIKHWEISGYNEGTAVIWVSTEIADYKCVKPASSYRSFFDHFSEKARVCVEVYRKIAKSVGGNPQLGLEELLASVVRSINSNRKSGGTMSKDFVISLGGFIYSQLIGLDNTADNNDGILTSLPVLVALRDESKSRAELNKFSAMNSNGVLKIKDGQCKIVNEDEDEKLARLLQEEEEWKMMKQRGKHGKHGASQKKFYIKISETEIANDYPLPAYYKPYSQEMDEYIFDSDIGLFYDDMPVRILNNWALYNSESRLIPLELIPMKSGAENDIVIFGSGFTREDDGSCCSTAEPAQLSSSSSTNYASQQNSMLHGVNLC >Et_1A_005677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12672446:12675806:-1 gene:Et_1A_005677 transcript:Et_1A_005677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQTRLDDFCVRGSEDVLIGRYYLPNASPYQLWSRSFASENGDLVEAVVPFMGESVTDGTLANFLKKPGDRVQADEPIAQIETDKVTIDVASPEAGVIEKFIASEGDTVTPGTKVAIISKSDAPTESHATPSEETSQKETLPPPPSEKNKVEEKSPNVEPIKTQEPKETTSPLKSSSSEPQLPPKERERRVPMPRLRKRISNRLKDSQNTFAMLTTFNEVDMTNMMKLRSDYKDNFVEKHGVKLGLMSCFVKAAVSALQNQPVVNAVIDGDDIIYRDYIDISVAVGTSKGLVVPVIRDTDQMNFADIEKGINSLAKKANEGALSIDDMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVNGSIIARPMMYVALTYDHRLIDGREAVLFLRRIKDVVEDPRRLLLDI >Et_3A_026984.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28795795:28796130:1 gene:Et_3A_026984 transcript:Et_3A_026984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTAALASTATAAISATAGATPSTRMAAGIDMIPAPTTLVDTLNTAPSTDAEPPPGAGSPACSVSSGASSADPLPAAPADEVFLDTIGRWKRSKMDGEIRCVRVRLGLGG >Et_7B_053732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11648287:11650210:-1 gene:Et_7B_053732 transcript:Et_7B_053732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLRGRRTMIYKRVVKARSRRRARCCREGNRVRTRSRASAPGLFPAYVLDVSILDEVFQVTNDEAAAMAKQIALSDGLLVGISSGAAAVAAVKVARRTENKGKLIASPVIFASYGERYLLSFMYESLK >Et_1A_009018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25576591:25578576:1 gene:Et_1A_009018 transcript:Et_1A_009018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVVEHEKILGQLCQELQQLDEVETREAEFSELEEVESIVEKACYDIKEHSCSHWPVGQPRVANSLRPAATSADFLSRCRADGAVPHLEEEEDSRATWWLVPAPFHIEIPRQAECVLPSLSTDPTFQGVSKIRLLRPHHPNRDSSSSPSPPSDSDMSDASAGDPATAAAAAHRPPPAPATEARCRAAGSAVAATISHAQQQQARLDDEQLAELREIFRSFDRNADGSLTQLELGSLLRSLGLKPSADQLDALIQRADTNSNGLVEFSEFVALVAPDLLADRSPYSEDQLRRLFGIFDRDGNGFITAAELAHSMARLGHALTVKELTGMIKEADTDGDGRINFQEFSRAITAAAFDNIFS >Et_9A_061235.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:23231038:23231142:1 gene:Et_9A_061235 transcript:Et_9A_061235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHANRALTELQDYDYGGSNSKHDPRRKPGGGH >Et_2B_022397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20236502:20238256:1 gene:Et_2B_022397 transcript:Et_2B_022397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHFRIRVPTSCLPVPGALLDARLFIAREQNHLARASVAAASPSRALRQHRHRPRATTSGQEMEQAGKAALGWAARDSTGVLSPYSFSRRYATLCVRLLIVAVLGLRRWALCFLCSRVKAMHVLGELKAQSDDDVTIKVLYCGICHTDLHVIKNDWGNAMYPVVPGHEIVGVVTDVGSGVTKFKAGDTVGVGYFVDSCRSCECCGKGHENYCAGVVHTSNGVDHARGGAVTRGGFSDAIVVSEHYVVRVPDGLPLDRAAPLLCAGVTVYSPMMRHGLNEAGKHLGVVGLGGLGHVAVKFGKAFGMKVTVISTSPGKRQEAVDHLGADAFLVSRDAGQMKAAAGTMDGVIDTVSAWHPIAPLLALLKPMGQMVVVGAPSKPLELPVYAIVPGGKGVAGNSVGSVADCQAMLDFAGRHGIGAEVEVIKMDYVNTALERLEKNDVRYRFVIDVAGSLL >Et_8A_057310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23405563:23409943:-1 gene:Et_8A_057310 transcript:Et_8A_057310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTRPRHPDTRTVRCSGGRRRARHTARHRCASTASSDDILPPVTSSFARALSFATLAAEKVKKHSRAAAAAARSNGRLASCSSLPNGMGTGTGLVSVNHLWNTGAGVVESSSPPRWISDQALKKCQKAAPSPAEWFIDTPMKTAPSPSSVTCAATNGRDGSIAASAPRTPFIGQNTATTGGTWTDAAMSAAVTPSAAVPWTNSAPSPVQRRDNECDLGGGVTPSERAREGGRQGVDEGVAMDTDRRLGQEDALLDVVGADEAQVPRRQPPFPFAVDGHTMHRDMTRTLPPT >Et_6B_049197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19268976:19271964:-1 gene:Et_6B_049197 transcript:Et_6B_049197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASALDSAWQYLIGNFSEFQLATVVTFLLHETVFFLSGLPSLLFERFGLFAKYKIQKKSNAPAYQNRCVLRLILYHVCVNLPVMIFSYPAFKFMGLRSSLPLPHWTVVVSQILFYFVLEDFIFYWGHRALHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPALTGPHLFTLWLWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGSDFHDYHHRVLYTKSGNYASTFVYMDWLFGTDRDYRKTKAIEEKEGKNL >Et_5B_044935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7443465:7446171:-1 gene:Et_5B_044935 transcript:Et_5B_044935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEGSTWVFDCPLMDDLAAADFAATPAGGFYWTPTPMQAQPQPMQPPAQAAAAAAPPNTCVEINNSVDCNQEKEHPTNKRPRSESGAQPSTKACREKIRRDKLNERFLELGAILEPGKTPKMDKSAILNDAIRVVSELRSEAQKLKDSNESLQEKIKELKSEKNELREEKQRLKAEKESLEQQIKFMNARPSLVPHPPVIPAAAFAAPQGPAAGQKLMMPVIGYPGFPMWQFMPPSDVDTSDDPKSCPPVA >Et_9B_065558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6967523:6970941:-1 gene:Et_9B_065558 transcript:Et_9B_065558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATAASSVTKFIKCVTVGDGAVGKTCMLICYTCNKFPTDYIPTVFDNFSANVSVDGRIVNLGLWDTAGQEDYSRLRPLSYRGADVFILSFSLVSRASYENVLKKWMPELRRFSPSIPVVLVGTKLDLREDRSYLADHPSGSIITTEQGEELRKQIGAVAYIECSSKTQRNIKAVFDTAIKVVLQPPRRREVTRKKMKTSSNQSVSDKM >Et_1A_004509.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:11387358:11388402:1 gene:Et_1A_004509 transcript:Et_1A_004509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding REAAALKEENRALVEARADALALRLGEGVPADGAKLGGFNGFRGVLCATRMLTSFLLTILSWAVLHYPRPVLDAGPGAGAAGDCAAYFGPAFASALARAQQRAASQAAAAGGAGVMMTSSGARGRPWRRPRTPWTGAATWRRRPRRWARARGSCGPGARTSWRSLTTSSTRSSRPGRSCSTSAAAAATDLHRATPRHDERPA >Et_4B_037930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24889385:24896257:-1 gene:Et_4B_037930 transcript:Et_4B_037930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAALLRRRVLSPSPAVSSCSAADYIRCLVSNSDLHLMVNFSGLRFRRGYHSSGKFDLTDLTHPHMWYPKAREKKRNVFLHVGPTNSGKTHNALKRLEASSSGVYCGPLRLLAREVAQRLNKVNVPCSLITGQERDEIEGAKHSSVTVEMADVSTEYQCAVIDEIQMVGCRSRGFSFTRALLGLCSDELHVCGDPAAVPLIKRILEATGDVVTVQYYERLSPLVPLNSPLGSFSNIKAGDCLVTFSRLDIYKLKKRIEKAGKHLCSVVYGSLPPETRTKQATMFNDDNSDLNVLVASDAIGMGLNLNISRIIFSTMKKFDGFSFRDLTISEIKQIAGRAGRYGSKFPVGEVTCLDAGDLPLLHSSLNSPSPIIKRAGLFPTFDLLSLYSRLHGTDFFQPILERFLDKAKLSPDYFIADCEDMLFAENYAKKGIVRLKEIFTPGTLVVPKTHNQLKELESVHKVLELYVWLSFKMEDSFPDREVAASQKSICSMLIEEYLERSGWQPQGKFLRSPQKLLQEYDVSQMSKYFKKVDSRSK >Et_4B_038855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5365770:5369927:1 gene:Et_4B_038855 transcript:Et_4B_038855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRAATPRIINLNLARRSGGRPSRSAGRPQTRAAAAAKPVQLGALFEMERRVRGLESAPASPPSRSSREEDPEEEQEEKWRFQAEILRAECNFLRMEREVALRKLDRHHGQMEAALKSAVETLVSGRKKVDGRGDVGVAAALDEGIEDLEGMMEELRVDKESGRRATSGPRELRRSHGRNFDRQASSLRRRLEKMPIPDAEPCVKDIREIALPVAPPPPPQAEHSDVDDERVHSANTSDVEMLRMKMEGMSKGMRERMAEYSRRLEAVAAGDNTGCQSRKCGSRHSRKASASRQRSWSGGSNASTGNLSSAHDNAFSCLLGGNRQSAAAEKHHRHHKIMAEDCKLVGSGSCCDCREIVGKIMEQVKAESEQWTEMQDMLEQVRLEMQELQSSRDTWQRRAIASDISIRSLNSQMLEWKNRAEVSEQQVEELQRKISELQSKLHTFKAHFPTRAVANKDQWSEACKLENPRAKPQHHRPLECGKEKEKHVLICRVKHSPSVITKRSPFQEIGNISLPRQQR >Et_7A_053108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6765872:6768132:-1 gene:Et_7A_053108 transcript:Et_7A_053108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWAWQCHPAHRLLLLFNRDEYHSRCPPHRVQFYLCIVCQIFSLSEPLMPTQPAQWWTPGEEGKEILGGRDELGGGTWMGCTRDGKLAFLTNVREPSLMAGAKTRGDLPVRFLQGSHGPLEYAAEIAKEADQYNGFNLVLADVNSGTMVYISNRLGGDPVIQTVAPGLHVLSNAALDSPWPKALRLGESFERYLATHENVEAPLKQMVEALMMDTVKADRPMVPDTGVDPEWEYKLSSIFIDTAKGQAKYGTRSMVALAAKLDGEVTFYERYLENSVWKENLVQFQMEEAQ >Et_1A_009586.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:8591140:8592063:-1 gene:Et_1A_009586 transcript:Et_1A_009586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSWPRPPTRAAAPHRITIKLTQRPHVVLVSSPGAGHLIPMAVLARRLVAHHGLGAMVVNFTDFPAPDAHSAILSSLASADNVSTTALPAVPLDDLHADARMETVLLELIRSFVPHLHALLRDISSKSPLAALVPDFFCSVMLPLGTELGVPGYVFFPSNLTAMAVMRAAVELNDGVDAANPAGEYYRGLPDPIDLPGRVSLRRDDLPDRASASCHGGRRGGARCGSWGSGRPAPDPPPRAAPDPPTDAAPPPPRRRPAATSPATCSPHPRPRPASSPFPVPLAPRPAWRWPAVDSSSPVCCASRP >Et_5B_043917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17802462:17807327:1 gene:Et_5B_043917 transcript:Et_5B_043917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGKPSSPLGSPSISASSRPAPHPRASVSPPHEPGRAAPGGPHPNPSGDGPRLRSPPGKGKPVALADISNTGRPNATRSVSVAEVVKENAKLAQLLNEKTRIIELSRVEMQKLHLALQASRQQNQQLALANSQMLAELNLGKDRLKALQHELSCTAAVLKVKDSELERKNKAATQRRKEANSQEVMKAIPSKVAAVEAHRIDGTVASAAGQHSVESRSAVPSTSCDEPPKDIITKRISVNRGRNKRKSESSECIKDTSIMQDHYRPHLQPIISLDHENPRKPARRRSARLNTGSCEVTEVSCEKLPEDDVVPSAPSSFSVQKQHGSITGNEMKFEINEQPQKEANLKEVEEACSSVPGTESHQIGDEASNIKQSNLAETQSSLPFNIIEPSKALEGTGNKRKSQRRKSARLDSILSKDTISTVESLHEDVIVPLPPSSSNASMETEGCSSKSTEGQVTGRKSLRRAAEKGVAESQ >Et_3B_027772.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18627696:18627827:1 gene:Et_3B_027772 transcript:Et_3B_027772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LWWGIWKERNRRILQGKEQSFARTVENIKEEISIYNRAQAFGN >Et_8B_059834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3137410:3140072:-1 gene:Et_8B_059834 transcript:Et_8B_059834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAAATAAGSAGMEPEPEPDQPRAAAAGNRKHLAMLERLSKRSSSCAAAAAPSSDSTGASPVEDFLSRFAAAKLAADSALSTSRSSTGDEATASLAAAAAAIDDLDRLVAEASHALPPYELRSALAAAADLRAAHRVAASELKPKKSFSFRNKSRAPKNPPQDPATLPPPQPPVPEQPKPALHAILPGFGFRGKEGATMVKDLRVSNDKDGDFTLADLVSCEVYLKGKCRALYVHKLRNCRVYVGAVLGSVLIEDVEGCTFVMAAHQIRIHEARATDFYLRVRSRPIIEDCTGVRFAPHALKYEGIEEDLKESGLAEETGNWANVDDFKWLRAVQSPNWCLVPEEEQIPVVDISHVRDQEVSASVYYAFRAVTLGGSGAKGRKLAFELQYYSYIAMPQVVSGGQEVEGQQGSSLMQVALGGLQPCKCW >Et_7A_050999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13407345:13417170:-1 gene:Et_7A_050999 transcript:Et_7A_050999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVLALLLVLHFVIGLSAATDTVSPVQPLVGKDKLVSGNGKFALGFFQASSSSDSSSPNAASSGFVYLGIWFYQVPRIAPVWVANLWYTKTTDTVAVLLNNGNLVLRKASNSSNVLWQSFDHPTDTVISGGKIGRNKATGLNSRLVSRKNLISQATGEYYAGFDPDGSHQLVIAPLKKPSTPYWYSGEWKGNYFSLLPEMRSSDMLNSTYVNNEEEEYYMFTVADETLILRHVLDISGQAKTLIWNNRSQDWIDDYSKPNAPCDVFATCGAFTNCDDYALASCSCMKGFSIRSPEDWELADRTGGCIRKTPLDCRTGTTNKITVSSTDKFHSIPCVRLPQNAQRIGADTGELECAQACLSNCSCTAYSYGNTGCLIWHNELINVKQQQCSSSNNASGETLNVRLAAAELQVEQQKKGKGTGVMIGASLGVLGFSALILVLVLLIRSKMVWSGRRLNNTETGGATITAFRYVDLQSATKNFSEKICGGSFGSVFKGVLADSTTIAVKRLDSAHQGEKQFRAEVSSVGTIQHINLVKLIGFCCERGKRLLVYEYMPNGSLDVHLFRSNNAIILGWSVRYQIALGIARGLAYLHESCRDCIIHCDIKPENILLDVSFVPKIADFGLAKFLGRDFSRVLTTIRGTIGYLSPEWISGTEITSKVDVYSYGMVLFEIISGRRNSTEECTGGANDLVYFPVQVAHKLVEGDLGSLVDRRLHDDVNCHQVERACKVACWCVQDNESDRPTMGEVVQALEGLAEIKMPPMPRLLHAIAVCCVALAGRWDNISPGQTLHGGDNLLSSTEKYALGFFRTGSKSSHNTSNYWYLGIWINRVPTITPVWVANGDAPIADLAMAVLTISPNGNLVIRNSVTKSMIWSTQASIRTNTTTFATLSDGGNLILHDSSNSSDVLWQSFDHPTNCLLPGAKIGRDKVTGLDRRLVSRKNVIDQAPGAYSLELDPTGAAQFILVELNSGVSYWSSGQWNGRFFDSIPDMGAFSQFINNSKEEYLTTPLQNETMIMYLTLEVSGQVKAFIWYEQLQSWVISASQPKSQCDVYAVCGPFTICNDNMIPSCSCMKGFSIRSVTEWELEDRTGGCMRNNPLDIKVMGSTDGFYSIPCSRLPHNSQNIKVVTNDAECAQICLTNCSCTAYSIGDNGCYIWHDELLNVKQQQYTDITTTEIRLAAKEMKSSENHRRVLVWAVPSATVGLFDLLLVLTIWKSQNKWSGTMNSVQGGNGIVAFRYNDLQRATKKFSSKLGSGGFGSVYKGVLADSTTIAVKMLGVFCQGEKQFRAEVSSIGTIQHVNLVKLIGFCCEGERRLLVYEYLPNHSLDVHLFQSDVIFLNWKTRYQIALGIARGLAYLHESCRECIIHCDIKPQNILLDSSSVPKIADFGMAKFLPREFSRAMTTMRGTIGYLAPEWLSGVAVTTKVDVYSYGMLLLEIISGRRNSSGGCTGCRDNDDFFPLQVADNLLKGNVLSLVDHKLCGDFSMEEVERVCKVACWCIQDADSDRPTMSEVVQILEGLRYVALPPTPKILQAIAGNSPATFT >Et_8B_059279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16073098:16081242:-1 gene:Et_8B_059279 transcript:Et_8B_059279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARFPFSPAEVAKVHLVQFGILSPDEIRQMSVIQIEHAETMERGKPKPGGLSDPRLGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEDDTKFKQALKIRNPKNRLKRIYDACKSKKVCAGGDDLDVQEQEDTDEPVKKRGGCGAQQPNITVDGMKMVAEFKATKKKTDDQEQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLNPKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDQLGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWEDFDGKVPAPSILKPRPIWTGKQVFNLIIPKQINLIRFSAWHSETETGNITPGDTMVRIEKGELLSGTLCKKTLGTSSGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFSIGIGDTIADAATMERINETISKAKNDVKELIKQAQDKNLEAEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDFGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKGEFDNVFRYELDDENWRPNYMLPEHVDDLKTIREFRNVFEAEVQKLEADRFQLGTEIATTGDNSWPMPVNLKRLIWNAQKTFKIDFRRPSDMHPMEIVEAVDKLQERLKVVPGDDAMSIEAQKNATLFFNILLRSTFASKRVLKEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVNKKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDVEFVRSYYEMPDEDIDPDKISPWLLRIELNREMMVDKKLSMADIAEKINHEFDDDLSCIFNDDNADKLILRIRITNDDAPKGEIQDESAEDDVFLKKIEGNMLTEMALRGIPDINKVFIKFGKVNKFDENEGFKADNEWMLDTEGVNLLAVMCHEDVDATRTTSNHLIEVIEVLGIEAVRRALLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGGCALYLNDQMLQQAIELQLPSYVEGLDFGMTPARSPISGTPYHEGMMSPSYLLSPNIRASPINTDASFSPYVGHMQFSPLPSPGGYSPSSGGYSPSSPNFSPGPGYSPASPNYSPTSPSYTPGSPTYSPTSPTYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPNYSPTSPSYNPSSAKYSPSHAYSPSSPRMSPYSQTSPNYSPTSPTYSPTSPSYSQPSPSYSPTSPFNTSGGPSPDYSPTSPNYSPSGSYSPTAPGYSPSSTGQGNDKDDESTR >Et_3A_027154.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3579612:3579839:1 gene:Et_3A_027154 transcript:Et_3A_027154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAVTHDDLSIRKAQERRMARSGGQVAVSLVALSVICGLVAFILCLAAEGSRSEASPHQRLISLLGQCECAGSS >Et_7B_054894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5321943:5324104:1 gene:Et_7B_054894 transcript:Et_7B_054894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTIHGRPPPPMTPHLPQPLRLCLAVALLAASAAQAVNPPSKCGGTDDRCGDLVIPFPFHLNSSCNSNSNSSAGTNSSSFRLSCDANATLTLPLGSTTFRVLAFQPSGSLLLDYAPASPSACDAAYAAFSRPTSPAAALDAAPFLAVTPANVLRLYACEDSSLCRAGCDDVVATCGKAGCCYPLSDGSVWKPGNGLGVFADFGCRGFSSWVKNRTAAAGAGGFLRGVEVEWAVPRGSAMAKCADGAALVNATAVHDGVRCVCPVGLVGDGFALGTGCSKGTRSCSSDGQASDGRDCTCQGRFCSKRAIALAGFFVSLFFLAAAVSFWLFLRQPSKDSRWDLDPACIPKILRSACDARQFTYEQLEEATRRFDSDKAVDDTAADGGAVYAGVLDDGSVVAVQRIAYDESTQGRLRMVLDRVELLSEIAHPNIARVVGFCLDPGGRALLLVHEHFAGGTLEDHLRQMKGRVLSWFHRVSIAIELASALTYLQAHDTAPTFLHDLKSSEIFLDADFTAKIAGYKLTRPATYYSASYDQDVVCNFGHLLIELLTGLRQQMPFDSVAPKVREGRLHELIDPTLLSGKQLPASQDEVRKVFELAVRCLSSAENGLCMLAVAKELMHILRDNNGSSSKIEISLEETFSSSSLLQMISMSPDTLHHHLP >Et_1B_011791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26007444:26010161:-1 gene:Et_1B_011791 transcript:Et_1B_011791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDYPASPKAQQLQESKKQRLTYILVVSALCIAFYVLGAWQNTTLPKPVGNSASITRPFPACALNFSEYTPCEDRTRGRRFDRAMLVYRERHCPGKDEQVRCLIPAPPGYRTPFKWPHSRDYAWFNNIPHKELSIEKAVQNWIQVEGDKFRFPGGGTMFPRGADAYIDDINKLISLSDGKIRTAIDTGCGVASWGAYLLKRNIIAMSFAPRDTHEAQVQFALERGVPAIIGVIGKHRLPYPSRAFDMAHCSRCLIPWFAYDGLYLAEVDRILRPGGYWILSGPPINWKTHHKGWERTKDDLKQEQDKIENVARSLCWNKVVEKGDLSIWQKPKNHLECPNIKKTYKMPHICKSDNPDAAWYKQLEACVTPLPEVSNQGEVAGGAVEKWPERAFTVPPRIKRGMIPGLDAKKFDEDKKLWEKRVAYYKRTIPIAENRYRNVMDMNANMGGFAASLVKYPVWVMNVVPVNSDRDTLGAIYERGFIGTYQDWCEAFSTYPRTYDLLHADNLFSIYQDRCDITDILLEMDRILRPEGTVIIRDTVDVLTKVQSLTKRMRWESRIMDHEDGPFNPEKVLMAAKKYWTADASEQH >Et_9A_062644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2690529:2695829:-1 gene:Et_9A_062644 transcript:Et_9A_062644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDLSLLAVASASPVVFPSSKELHGVLPFQGKRPQDAAAAVHLCAPPHQQHHHHLEGLPQMVVPGQPLPAAYQAFAVPDKAALVDVQDSHPDSVQLSLGIAEQCARQEKIVKLLMSGSDVKELDESLLADIMGQQTLAINLGSQPYIPDDKLSIYEFGLDEPHQYLPEKQLVIPDPLLEFAQSHGSVLTIDPNGRILFTGNGDEMRDLLSVFLEFNMSKREATGCKAAYLVPYFDRKRLARANAQVLSSRLANTVADTSKSTDVKSKPSLKKKQKGKNIKERDLHQRNYIYASEAFLSILLDRDKSSSAILSLKKAGPEITELLTQCSIGIAGTGLAILLILQFTIMPCILQKSDVTNTRIGVNNDK >Et_10A_001592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5708491:5731216:-1 gene:Et_10A_001592 transcript:Et_10A_001592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTILVLLLCFASSELATRVHGQLDTLGFISIDCGIDENATYADQSTRGLRFVSDAGFTDAGLNAGVNPPYNIKGLADRYLTARYFPSGAGAGERSCYTLRPVTPGSRYLVRATFYYGNYDALNRLPTFDLHIGVNRWVTVNVTNAGRSYIFEAVVLSPADFLQVCLVDRGLGTPFISGLDLRPLRTGMYPEATVNQSLALLNLPRPTATYNFNRYHFWRPASTYNIFRYPFDPYDRLWQSYGDVEAWTNITTSTVVDVSDVGSFDTSSVVLQSAATPFDIFVDNATWNGSQRYAPNYLSAQLVKKTVQGSRKHTFSLVATTDATLPPILNAFEIYSVLPMTEVATNAADAKAMMTVRTKYALKKNWMGDPCAPKSFSWDGLDCSYPPSGPARITALDLSSNSLSGPIPDFLAQMPALTFLDLSSNKFSGPVPAILLQKHQNGSLDLRTGNNANLCDNGASICERGSKKSNRVLVIAIVVPVAVATLLFVVALLIIRRMKNQQVTWTANNTNLQGPTEKSNVYENRQFTYKELKLMTSNFKEEIGRGGFGAVFLGYLEDGSPVAVKMCTKTTSQGDQEFSTEAQYLSRVHHRNLVSMIGYCKDKKHLALVYEYMHGGNLDDRLRCKAPAATPLTWHQRLKIALDSAHGLGTPFISGLDLRPLSATMYPEATVNQSLLLLSLSRPSAQFGFNRYQFGDRELRYGKVAAWTNITTTATIDVSNISSFDKPTDILQIAATPRLISNASRQFDIIVDNATWSGSQGFLPKYLSAEIVKRMVQGSGQHTFSLIATPDSTLPPILNALEIYSVKPMTEVATNDADAKAMMRIRTYAQKKNWMADPCAPKAFAWDGINCSYPASVTVLLLSSSGLSGAINASFSDLKSLQHLDLSNNSLSGSIPDFLAQMPSLRFLDLSSNKLSGPVPAVLLEKSKNGSLVLRIDNNTNLCDNGASTCESVNKKSNKTLLIATIVPIAVAIILFVAGFLILFRMRKCQDKWVANTSRLNSPRDTSNIFDNRQFTYKELKLITANFRDEIGRGGFGGVFLGYLENESPLAVKMRSQTSSQGNKEFLAEAQHLSRVHHRNLVSLIGYCKDKKHMALVYEYMHGGNLEDRLRGLEYLHKSCQPPLIHRDVKTKNILLSATLDAKIADFGLMKAFANEFMTHVTTLPAGTMGYLDPEYYHTSQLSEKTDVYSFGVVLLELITGRPPAVLISSSESIHIAQWVRQKLTEGDITSIADPTMRGEYDINSVWKVAELALKCKEEPSRKRPTMTDVVVELKECLDLEVSHAMSCYSSVPSSANNLSGVSMELTEASDYARQHEQAVLELEQFVLLVCLASSSELFTVIHGQLDSRPGKVISFLQPSMVHETLLGEGRALMSFLLRPGFISIDCGIGANESYTSDFSRGLRYISDAGFTDAGLTARVRSPYEQYDDPNFGARFRTVRYFPGTTAGGRSCYTLWSVMPGGKYLGLLLLWRLRRAQQPPPLPPPPPPPPVLDLHLGVNQWATVNITATDYRYILEVVAVLPAEFLQVCLVNTGFGTPFISGLDLRPLSAKMYPEATVNQSLLLLSLSRSSATYGFNRYQFWPADIVFIALFKISILINIQVHSVSHIRFSEIKNRLNFDPYDRLWQRYGNVAAWTNINNSATVSISNINNFFDQPTVRLSRAATPVNGNRIDITWNQDSFVNNDNATYLLLLYFAELQRLPSNASRQFDILVDNATWNGSQGFTPKYLSADVVKRMVQGSSQHTFSLVATPDATHQPILNAFEIYTVQPMTEITTNEADAKAMMKIRTTYAQKKNWMGDPCAPKGFAWTGLNCSYSSSGPARITTLNLSSSWLTGAVDSSFGDLKSLKYLDLSNNSLSGTVPEFLAQMPSLVFLDLSSNNLNGSVPAALLEKHENGSLVLRIGNNKNLCDTGASTCEPNKKKKGNRTLIVATVVPIAAATLLFVVGLFIQHRMRKKIR >Et_6A_045855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2058703:2059195:1 gene:Et_6A_045855 transcript:Et_6A_045855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQALRAPWVAHFGSACGPSAASKLVLASLLKVPNKLRIQVIMPAGSRPFIRGDPVITSYITAPKDHISDSKVQELFALCTSGAM >Et_1A_007861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3956010:3961370:1 gene:Et_1A_007861 transcript:Et_1A_007861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGVKQDDLTPHPVKDQLPGVSYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGNNEDKAVVIQTFLFVAGINTLLQSFFGTRLPAVIGGSYTFVLPTISIILAGRYANEPNPHTKFLRIMRGTQGALIVASALQIIVGFSGLWRNVARYLSPLSAAPLVALVGFGLYELGFPSYLPHTVHMMKSIFDRFAVLFTIPIVWLYAYLLTVGGAYRNAPPKTQFHCRTDRSGLIGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPIPPSVLSRGIGWQGIGILLDGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFAAIPAPIFAALYCIFFAYAGSAGLGFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTSVAGFGPVHTRSRWFNDIVNVLFSSKAFVAGFVAYLLDNTLHRHESSVKKDRGYHWWDKFRSYRTDTRSEEFYSLPFNLNKFFPSV >Et_4B_037855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24009697:24010720:-1 gene:Et_4B_037855 transcript:Et_4B_037855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNSPPPPWVALSRSVYIERLSADAEDQDSVAIRCGSRKAHGCDEHGQNLVNGVTLLSRTVDYPNLTTSLSIRIEDAALGIVQAELGMGNDEPLVQIGSLHGMDVRGAVHPRRAPRRRRRRRRRRPAPRDAPPAGAQVPGTGAPPQRRGPLSVPARRTTANDAVFLVGPWQLKKRRYGREDQAAAPEPFRVNAAFSFDGQAFWADLSLGVVRCDMRAAAGDLAHHVDFDYICLPTGFELDSDVVTMSPNPESGPGPLDMEIPVTTFRAIREPRRPGLRRVRLPRPPGVHGPERTDGDSVDTAAGSPAATPVVVSRRGVQLLLSAAGRCAPLYAA >Et_5B_045652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6234953:6242972:1 gene:Et_5B_045652 transcript:Et_5B_045652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALPGTAAPPPPGTAALPPPASGSTGPLPQPAAAATSNGGVQIITPAEDKREYRYLTLPNQMQCLIISDPHTDKISVALTAPCGTTSDPAGLNGLSKLIGSCILCTQEGDYSFSKYISKSNGSVKALTCSSRTVFSFDADNEILHDAVDRIASKSPGHLMTTNWPVKRRIEEQNEVASCKYLIYLFNLENEGSIFYSLKKRGWALSVTADEIDRNSEFCFISITMELTEEGQENFEDVCGVVLKYLKMLKRDGPRSFLFDEMFPPTHWLVGSSVSSEYSKEGIRNILSQLTSDKIRIFCVSQNFEQKCTVKNVPIQVLENWGVVVRKDELHLPKWSRTAKTPKALAPRVSQRTLGRKQQDRGPVMEQQVLATSDTKILETEKGSLVAAKALVPRESQWTLGRKQQQRGRVMEQQVLATSDAKILETEKGSLVEAKACKMVADGTHDHAFAVVCPPGHHAKSSQEGGFCFLKNIGIAAKYLIKKHLLQLKKGLVIVLEGGYKVEGPPDSPDNTLGECCLSCVKVISGDFSEVEHNYQYKPLASTWTIVLEAIAVSAQAVWKL >Et_7B_054550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:23026130:23028107:-1 gene:Et_7B_054550 transcript:Et_7B_054550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYRCCLLPPSRGEGDGEDARPPVPSFRVVLLEESFSDLVRAHVYSSDTGEWNSHHHPWAVRIGVLACQASMHDADGRVYWKYASAGSGMPCLDTGSMEFSNVALPPVPGLHRLDAALYAVGDTEDGACCLLRVTRLADHGTYALQVWLRNKEAGSWELHKQVHGFLHLMTMPAEGGLALYHVNQVCAVTAGIVLLKLLVSSGLSRYVAFSLKSLIAGLGRSSASRLPLKADFVISPELKYQLRAQALAAYPYIMAWPPHSDHLSFAGKWVA >Et_6B_049308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3006247:3009886:-1 gene:Et_6B_049308 transcript:Et_6B_049308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFINRRILPPFRRAPLPFFHHHGGHPSSSSAVVAAVGGGPALPGRRPWTPRRILDPGDDFVLGWYRLFLVACLVGLFVDPLYFYLLHTDGHNSCVSMDMNIGVIVTTVRTVADFFYLVHMILKFRIAFVAPSSRIFGRGELVRDPDQIALRYLKNEFIIDLAAALPIPQIIVWFIIPAVTSSANRTNNTLSLIVFIQYIPRVFLIVGLNSKIVKASGVVTRTAWAGAAYNLLLYMLASHVLGALWYLLSIERQYTCWMDVCHNENATIPGGPVCVMNYLDCKTLKDPARGAWHEKSGIRRRCLLPDATYEYGLFSDALNLDRIGVPFVDKYLYCLWWGFRNLSSYGQNLQNSTYKGETVFCILICIMGLVFFSHLIGNMQTYLQSMTVRLEEWRVKRRDIEEWMRHRQLPTELQERVRRFFQYKWLATRGVDEESILQSLPLDLRREIQRHLCLALVRRVPFFSQMDEQLLDAICERLVSSLSTKDAYIVREGDPVNEMLFVIRGELESSTTDGGRTNFFSSITLRPGDFCGEELLTWALMPNPSLNFPQSTRTVRSLTEVEAFALRAEDLKYVANQFKRLHSKRLQHAFRYYSHQWRSWGACFVQGAWRRYKKRKLARELMKQEGIYFPEGGGGGAGAAGGDDDHHHDGGGGGGRGGGVANVGDENDSAPLLGGSDGADGGAGHAHLGATFLASKFAKNTKRGAAMHHQKMDDVSTIKFPKLAKPDEPDFSLHTDDVL >Et_10A_001942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13456896:13457642:1 gene:Et_10A_001942 transcript:Et_10A_001942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSALCSWTGPGAENESGDDCCHWPSMHPLRGRLGEPELRVVGLDLSGRGLTAPGPAEQLGTPGRAAACASTTSLALLELAPRCRAVPAHLMRIYAAAIRVLDLRLSQNGFTGELGGDDKDPRRVVQQPVHPASTPECSSVAAALLDRPSPTCTPEQLLQFLHGTLGALLRFDIGDNSVTGRHSSGSAVSYLAVLILTTNFREFSSMRLLGVANCALTGAVPP >Et_4A_033393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22253435:22254281:1 gene:Et_4A_033393 transcript:Et_4A_033393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGALLLLAVLAAAAASGPGDAAAARGCQPEALATGVALFCAIRLPTVPCCQTLARSARAGGAACLRRLAAAPPLVAAALNATDLLRLYAACPVPAPAPAPGPAYGGAAAPALAPAPRYDGGSGADDATAANAAAADGPSCEPGTLSLQMMLFCDRSGKAPPGWACCEAVVAAVGMGAGDQGRSQNTDPSGYYMEFCRGRRGRRTPTTTHSSAPAGDPGCFCRAAQGSGLGVRGVVGLYAACDGRRTGLAVHLAKACPRLP >Et_2A_018357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24385557:24387285:1 gene:Et_2A_018357 transcript:Et_2A_018357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFTRFVSGSGCVSWRRAYTSLCNLGRWKQPQTPCLLYTAKSAGASVAGLYSLAEKKPYTLALPDPPIRSRFFIGSAHGWIVTADERSELHLVNPVTGDQIALPSVATINNVTPIHDENGVLSFARGGDDCWTGLPPHRNFADCVFKDDVMYALTMSGEIYAFDFSGLAVKQNVVLERGKGYIC >Et_4B_039001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6889618:6895588:1 gene:Et_4B_039001 transcript:Et_4B_039001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGGGGCCPTMDLMRSEAMQLVQVIIPAESAHLTVSYLGDLGLLQFKDLNADKSPFQRTYAAQIKRCSEMARKLRFFKEQMSKAGISTSSAQLIETRWDFDELEIKLGELETELTEVNANNEKLQRTYNELLEYNTVLQKAGEFFYSAQRTATAQRRELESSQSGQPSLESPLLEQEMSTDPSKQVKLGSLSGLVPKEKAMAFERILFRATRGNIFLRQEPVDEPVTDPSSGEKVPKNAFVIFYSGERAKAKILKICDAFNANRYPFPDDVSKQLHAVQEVSGKISELKATIEMGLAHRDSILKSIASDFEQWNLLAKREKSIYHTLNMLSVDVTKKCLVGEGWSPVFASSQIQDALQRATVDSKSQVGSIFQVLNTKESPPTYFRTNKFTSAFQEIVDAYGVAKYQEANPGVFTIVTFPFLFAVMFGDWGHGICLLLATLFLIIREKKLASQKLGDIMEMMFGGRYVIFMMSLFSIYTGLIYNEFFSVPFELFGKSAYACRDSSCRDATTEGLIKVRDTYPFGVDPVWHGSRSELPFLNSLKMKMSILLGVAQMNLGIVMSYFNAKFFRSSINVWYMFVPQLIFLNSLFGYLSLLIIIKWCTGSKADLYHVMIYMFLSPTDELGENQLFSGQKTVQLVLLLLALVSVPWMLIPKPILLKKQHEQRHQGHQYTMLQGADESVGAELGEHHEDSNDHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLAWGYNNIVILVIGIVIFVFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFSFALIREEED >Et_10B_003119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16881414:16886290:1 gene:Et_10B_003119 transcript:Et_10B_003119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKEEVEVTGVDGDAPARRASTFAELGVCPELVAACDAMGWTAPTRIQAEAIPCALQGKDVVALAQTGSGKTAAFVLPILQALLEQPRPFFACVLSPKRELAIQIADQFRALGSSIGLVCSVLVGGVDRIQQAISLAKRPHIVVLDEADELLHVEFEKALDDILKVIPKDRTTFLFSATMTKKVNKLKRACIRVPAKLEVASKYSTADLLSQKFYLVPANDKDCYLVHVLKMMQGSRIMVFVRTYDSADLLARVLRNLGLKAICIHGQMSQDKRLGALNRFKAKECNILACTDLASRGLDIQGVDLVINYDIPKNPKDYVHRVGRTARAGKSGCAVSLVNQYEVLWFKKIELLLGKEIIKCEVDESEIKTSKERLSDAKRMALTSVKESGWRREMLDDEDEIVNHIPCKRPRFSLKSLKRPRSSKRQRY >Et_6A_047432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5832236:5834467:1 gene:Et_6A_047432 transcript:Et_6A_047432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPSHRPAGPLCLRWPALSSHSNRLGLSVSEGLHYPLTPTGHTPLLPTRCQLAYTCHCVCGLLMRPRRISSRDERTFTWNCSSRYSSSAAYRTFMDTGHDDPHADQSAHVKFFARLLCKDRLPNQIQPVAQEYPPPGGILLPPMSWVLLAGVKDV >Et_6A_047870.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2270036:2270300:-1 gene:Et_6A_047870 transcript:Et_6A_047870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >Et_3B_028267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12495619:12496999:-1 gene:Et_3B_028267 transcript:Et_3B_028267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSPIQRYSVELFSNTSYLVPATTYKNLLQELAHEEHLPLPIYDTSSDIPNHYGAIRLTIEVPDIIFVGEQGQ >Et_10A_001603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5859169:5865146:1 gene:Et_10A_001603 transcript:Et_10A_001603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGQEYPLLPVGVRKVGVVPSTAGCLGAAPLTEAERFAHATCTGACYDPPAAGTSNLPRPRPRRCLWFTNLNASILFVVGQR >Et_3A_024437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20870587:20871423:1 gene:Et_3A_024437 transcript:Et_3A_024437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEDGVGGEEERREKGLVSGLVDKAKGFVAEKVAQIPKPEASLERVSFKGVSRECITLHSHVDVNNPYAHRIPICEITYTFKTDGNAVASGTMPDPGWIAASGNTKLELPVKVPYDFIVSLAKDLSRDWDIDYVLEVGLTIDLPVVGCFTIPLTTEGEMKLPTMRDLFCSS >Et_2A_016988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3011659:3012847:1 gene:Et_2A_016988 transcript:Et_2A_016988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPWPAAATARDVFLNLPRRTGSTASSSCFHPASPNASSSSAAASAPSAHRQSGHVELESSQVSMQSMWNACPHGGSSRSRSSPANSHRQTAQSNGSFAPAPAPTTSRWNSCCSCRCSADSSPPPPAAALAPTPPSPRSRRRRSKNRRRRSSSAEKTTTAASVTRTTTTVWFFFELEPSSPAGGDDGDEVLLSFCALMTSPAARKQQPVANPNTNIFSSSFLPSLLLRAARCSFLRGANPSAFLSCAERSS >Et_3A_024515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21534783:21536565:-1 gene:Et_3A_024515 transcript:Et_3A_024515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRGGGRGRQQRRGGRPPEGVGDAPCGGSRSPCASVCPPPVRETRSAQTHYPATAVGRAPMAANALRSFGYTEPSQAPPLITRAPSPSPWPASQAPPLITRASVAVAEEAPPSWGDVPDSIIIRVSAFLPCRTDRVHMACINRQWQAAVMGLRRPPPPVPPQLPWLIFLSTEVPTFSTEALAKEAALETTLATEAGDQEFDLPAKKKKHMCTIMDTSGTTSDPKGVIISNVNIICLIAGVDRLLNCVNEEVRCYNSPRKYVSLHRLDKFNIFYHLTILAGRK >Et_1A_009575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8361515:8364492:-1 gene:Et_1A_009575 transcript:Et_1A_009575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSALSLAHLAAASPLPASAHRPRPGPSSAAPLTAPAPPRRLALAAPPRAFFSTSPYQQPPPSQDPSGFSSHREYGLVPMVIETTSRGERAYDIFSRLLKERIVCIHGPIADDTASLVVAQLLFLESENPLKPVHLYINSPGGVVTAGLAIYDTMQYIRCPVTTLCIGQAASMGSLLLAAGAPGERRALPNARVMIHQPSGGAQGQATDIAIQAKEILKMRDRLNKIYQKHCKQPIDRIEQCMERDLFMDPEEARDWGLIDEVIESRPASLMPEGIGGGGDLPSLGGPSNGRGGGDAEPSAASSSVYLTSCVLLGFGFLRGQKDYVLMNPVLLNLILRRLG >Et_9A_063401.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22994499:22995479:1 gene:Et_9A_063401 transcript:Et_9A_063401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSAPSPIGMQRADETTRMRGAVPFPGTMPGGSAVLPHWMRGALQGGTLMPLESISPPPSTGYPAAPAETHGAEETAPPRMRGALGEGSAALPPWMRGAVPLPGKMSEESDLPAEKKATMSEAFQAITAMAGTLGIPAVARERAKDVYRKMEERKGKGHHYYSKGGGRSGDAMYAACLYAACRSEGAPRTFKELAVATRDGSASRKDIGKLITLIRKRLGDEAGGQAMDIGVVRAVDYMQRFGASLGMEEPDVGAAQEAARRLQERLDVRRNPDSTAAAIIYMAANRYDKKSKSFREVSIASGVAEGTIKQAYRELCPHAELLFG >Et_2A_015190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3498721:3499867:-1 gene:Et_2A_015190 transcript:Et_2A_015190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAIPSSSRRRRDFHGGGDPRTTLLPPATRDWAALPDDVQLAIFSRLRHADVLRGAGRTCTSWRWTAVKEPILLRHVDMGIEEDVGLATFNWSVYEERVRRAIAMARVAVERSAGRCESYRGPAHCDFLDYLATRAPSLRNLHITSYFRLHGKEIIPKLPMLKSFVISSGEVTPGMLCVLLDHCPRLEQFDASSCWMPHGLVDLSGLRTRLERAVKPSSCLLRIAPSFASNSSESCIHRIATPSFASKASQSKLHCILCYVVGQASLDLHTGSDSDERLSLSHSRYSCIILYYCVSEGEINCIMVSFFTTPVSYTPSGFKLSTTIFKLRLTISYYSKYF >Et_5B_044116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20109187:20111204:-1 gene:Et_5B_044116 transcript:Et_5B_044116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEIGLVLARASDLRSRISACAGAARPPSQRDAEKAMKRLGAAEDEEGGDEEEEEVESLVGISDALESLERQLAALQDLQHQQRYERETILSQIDRSRRFLLNKLKEYKGQDCEVIHEAAAFAGEKIEHDDGLILPPYSNHITNSFVLDDLYPLNFLSKSKRLQNGLDSNGMTQDDTRMNGLENRNHGSRRGIGSFIGWMAKTVVMVVGAVTIMKASGYEPVIGRNSIKLDIGWLFSKEAASRQEQSPVRCPPGRVMVLEDGRAHCIVKERVEIPFDTNLAAPSASYGLG >Et_3A_024668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23138939:23148762:1 gene:Et_3A_024668 transcript:Et_3A_024668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLISLVNKLQRACTTLGDHGEGSALPTLWDSLPVIAVVGGQSSGKSSVLESFVGKDFLPRGSGIVTRRPLVLQLHRIDGQGEYAEFMHLKNKKFTDFALVRQEIVDETDRATGHTKQISSVPIYLSIYSPNVVNLTLVDLPGLTKVAVEGQPDSIVQDIENMVHSFIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRSCRLPYPWIGVVNRSQQDINKNVDMISARFREREYFTNTPQYKHLAQRMGSEHLAKMLSKHLESVIKSRIPGLQSLITKATAELETELYRLGKPIAADAGEHLDGERPGGEKIYHIFDNQFPVALKRLQFEKNLSMENVKKLITQADGYQPHLIAPEQGYRRLIESCLVSIRGPAETAIDAVHEVLNELVNKSIKETHELKQFPTLRVEVGNAAFKSLDRMRDESKKNTLKLVDMECDYLTVDFFRKLPQGIEKGGSATHSIYDRYNDSYLKQIGTNVLAYVDMVCSTLRNSIPKSIVYCQVREAKRSLLDHFFTELGSRETKQLSKLLDEDPAVMERRANLAKRLELYRSAQEEIDAVAWTK >Et_5B_044353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2386621:2387687:-1 gene:Et_5B_044353 transcript:Et_5B_044353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGGGSSIGSNPPLCLLLLHVLLLLAASNGAAGLQVGFYRQSCPNAESVVRAVTWAAASRDPSLAAKLLRLFFHDCFPRSLGGLEVIDAAKAALEKACPGTVSCADALALATRDAVAFQFGRPMWQVETGRRDARASRAADGMDVPHPDFTFQQLRDSFAKRSLGVADLVALSGAHTLGRTSCQFVSPRLFGSGGLAVIDPGYAPELLRQCPSTASRNMVAMDPGSEFRFDGNYYATVKANRAALPSDAALMHDGEAALIVDELRDQGKFLAAFARSVTRMGAVGVLTGNDGEIRRNCRVVN >Et_9A_062810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5183582:5192308:1 gene:Et_9A_062810 transcript:Et_9A_062810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDETSTSAAVPAQTQEPVEGAGSVKQQLSKLVVSSLRTTLPEVDVEPMVEVCTGKFGDYQCNNAMGLWSKIKGSGTSFKNPNAIGQAIAKNLPASDIIESTSVAGPGFVNIILSNHWVAKRIQDMLVNGIRTWAPILPVKRAVLDFSSPNIAKEMHFGMLIEYLFEQFPNWKEIGGQAIGDLQIFYKASKHKFDNDEEFKERAQQAVVRLQGGEQKYREAWQKICEVSRSEFDLVYKRLNVVLEEKGESFYNPYIPQVLEELNSKGLIKESEGARVIFIEGHQIPLIVVKRDGGFNYASTDLAALWYRLNVEKAEWIIYVTDVGQQQHFDMFFSAARMAGWLPDPKEKKFPKTSHVGFGLVLGSDGKRFRTRSTEVVRLVELLDEAKSRSKSELLQRLTENGKIVDWTDEELEKTSEAVGYGAVKYADLKNNRLTNYTFSFEQMLSDKGNTAVYLQYAHARICSIIRKSNKDVEELKMTGAISLDHPDERVLGLYLIRFAEVVEEACTNLLPNVLCEYLYNLSEMFTKFYTNCQVVGSPEETSRLLLCQATAVVMRQCFQLLGITPHRKQTMRNKEQSDRDTSIRPGGTEPNGAGSYRSLRLSLAA >Et_5A_040158.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:17131583:17133582:1 gene:Et_5A_040158 transcript:Et_5A_040158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSESPKVTERKVDKDHDGQNDEEKGGFLDKVKDFIHDIGEKIEETIGFGKPTADVTGIHIPHISLEKIELIVDVLIANPNPVPIPLVDIEYLIESEERKLVSVTIPDAGTIHAHGSETVKIPVSLIYDDIRSTYKEIEPGSIIPYKVRVVLHIDVPVSGRISIPLEKTGEIPVPYKPDVEVGKIKFEQFSFEESTATLHLNLDNKNDFDLGLNALDYELWLSNVSIASAELKESANIKKREIKTMNLPISFRPKDFGSAMWDMIRGKGTGYTIKGHIDVNTPFGHMKIPISREGGTTRLKKRDDDDDEVSVLFLILVFEFVHFSLTETYGFGSTNI >Et_3A_026757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19007256:19008695:-1 gene:Et_3A_026757 transcript:Et_3A_026757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHEGRPSAGHPMELEQKVEARRGDDAWAPGDGSPSSSGACDAMRGCLEHSVAYLRGDVVHGGGPVRDEHRGGPGRGADVPDGVEVLRGEHHVHDGLLVGHEAAEAVDDGLPLPRDADARQVLGLGLPLGALHVLHLVGLGALGGGHPQPRGGVDVVHGALHHLVGLDVHDQRLHDLVAVHGHRLGQLLLHRPRDHVLLLEHLVQVHVRHARTDHCSNPYIDRWQFTASSVV >Et_5B_043204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17438141:17440150:1 gene:Et_5B_043204 transcript:Et_5B_043204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYIQITGDISSFGPYPYLVIATLRRTNNLRGHLSKTWASSTNLTVLDLGENKITGSLPPYLSNLEKLEVLILDTNDLNGKIPPELSNLINLYHLNLSRNQFSDHIPSIFGRMNKLHGSIPQELGSCSGLRSLVISHNSLSGAMPTTIGNLGNLQIVLDVSNNKLTGNLPAQLGKLAILVTLSAFDVSYNNLEGPLPAAAIFQNAPSGWFLHNKDLCGNVSGLRKCTSTTIMKHQKGRMHSLVLAILIPMCAVCILAILGVIVIIQKRKSPKKTSEIVRKDVFSVWNFDGKLAFEDITRATENFSDRYIIGSGGYGTVYRVKKLHDTEEEIVDEKRFLSEVEVLTKIRHRSIVKLYGFCSHPRFKFLVYEYIGRGSLHATLENEELAKELDWQKRAAIVRAVAQAIYYLHEECDPPIIHRDITSNNILLDTAFQAYVSDFGTARIIKPDSSNWSELAGTYGYIAPELSYTSAVTAKSDVYSFGVVVLEILMGRYPGELQALDSLGQARNLVMDFLDQRPLSPTLVDQEQIALLVGLAFACLQSSPQSRPTMQDVYLKLVRHKPSSASSAADAASSSQAHPLEETITNVGI >Et_9B_064792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18588103:18590898:-1 gene:Et_9B_064792 transcript:Et_9B_064792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAEQEQKRAAAAAYDYDGDARWADYWSNILVPPNLASRPDVIDHYKRKFYQRYIDRDLVVEPMSSTGSTQPSRPDVRSSSSSSSDNVRARSSGSSSRTATPQPPPAQTDRAATPLQFDARTIHFSINAWVLVVAGLGMLPILPKHLADRACKLSLLGTVFSSAYSLYSIYGKPRAWNMPAIQGWLQSVLGTKDFIHLMFSLMLFTSQLHLKIAALPVFCWALDHVARFLRRNFARSSFYRKYLEEPCLWVETNNTTLSLLSSNAEIALGFLLIISLFSWRRSIIQTFMYWQVLKLMYHAPVTSSYHQSAWAKIGRIVNPYIHRYAPFLQTPISAIQRWWFR >Et_9A_063390.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22722214:22722738:1 gene:Et_9A_063390 transcript:Et_9A_063390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFLSCTLAKVPGGGRCARVILPDGVVRQVTLPATAAELMMDAPGHFLVDARAARLGARLAALAADEELELGAVYAAFPMKRLATPIAAPDVARLAAAATREARRSAKVANVVAESAVVVVAEEAPPRLRLEEMVDDAAAADIGVLKHRLSNARSRRPTLETIQEENYMASRA >Et_1B_010360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:60061:66449:-1 gene:Et_1B_010360 transcript:Et_1B_010360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTGFKRSDSITDVMPEALRQSRYQMKRCFQRYVSKGRRLMKNQQLMEELRKSTDDKLDKDKLAQGFLGYIVCSTQEAVILPPYIAFAVRTNPGIWEYIKVHSADLSVEQITPSDYLKCKETLYNEKWAYDDNSLEVDFGALDLSMPHLTMPSSIGNGMQFVSRFMSSKLSDKPENMKPLLDYLLALNYRGEKLMINDTLDNVTKLQTALLLAEVFVSGLQKDTPSQKFEQKFQEWGLEKGWGDSAEACRETLNCLSEVLQAPDPINMEKFFKRVPSIFNIVIFSIHGYFGQEKVLGMPDTGGQVVYILDQVRALEEELTQRIKEQGLNVTPKILVLTRLIPDAKGTKCNVELEPIENTKHSSILRVAFKTDDGKDLRHWVSRFDIYPYLERYAEDSSVKILDILQGKPDLVIGNYTDANLVASLVSRKLGVTQGTIAHALEKTKYEDSDVKWGQMDQKYHFSCQFTADMIAMNTSDFIIASTYQEIAGSKEKPGQYESHYAFTMPGLCRFATGINVFDPKFNIAAPGADQSVYFPFTQKHKRLTDLHPQIEELLYSKEDNSEHLGYLEDRSKPIIFSMARLDKVKNITGLVEWYGKNKRLRDLVNLPALYEAFGLTVIEAMNCGLPIFATNQGGPAEIIINEVSGFHINPLYGNESSDKIADFFLKCKEDPMYWNKMSTAGLQRINECYTWQIYAAKVLNMGSMYGFWRTLNKGEREAKKRYLQMFYSLQFRKLAKTVSKTGEQPIVVGADPDRMVPRPKERQKDTNKDSKDCEQLTRTSIPGLQSLHRYCLN >Et_1A_009526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7245019:7245600:-1 gene:Et_1A_009526 transcript:Et_1A_009526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCCPNLILPTFFVYKFLVGLWNYRCRPRHPWHVDTKVSHAEIAHLDELDEEFDEFPTARPPEVVRMRYDRLRSLGARIQEMVGDIAAHAERARCAMAWRDPRATAMYLLFCLLLAAITFLAPFQAVALLTGFYLMRHPRLRQRLPDVPANFFRRLPCKFFKHGWN >Et_2B_021893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6616357:6619622:-1 gene:Et_2B_021893 transcript:Et_2B_021893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDLSQMLRCLGDGRRIWDPCPSSLPPFSAAPSHPAAMVSTVARARLSPPASAAHSARKRERSPLAPGRASSWRASAEYAAPRDDRRSWQSRPERAPARVWQRFRAPQSALPSSRNWISSEDASTSCSGDSCTVMSYNILADYNARNHPDLYWDVPWHAMRWDSRRRLITREIRHWDPDLVCLQEVDRFRDIAADMKSRGYEGIFQERTGDARDGCATFWKSERLRLLEEDSIDFSEYNLRNNVAQVIVFELNGTHKLVLGNIHVLFNPRRGDVKLGQIRMLLEKANALAEKWDGIPIVLAGDFNSTPDSAIYKFLSTMKLNISLHDRRQLSGLDSSEFGQYKLCSFWKHQWSNEEVRNATGCSNVMIAEHPLTLFSSYATLKGNSNKRGLHGEPLATSYHKKFLGTVDYLWYTPGLECSRVLDTLPIDVLRRTRGLPTREIGSDHLPIVAEFAFTRVEDESEIEDESDQDDESEQHESEEEVRKTQHKYFPSDSESG >Et_6A_047757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14414686:14415131:-1 gene:Et_6A_047757 transcript:Et_6A_047757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQQQHGHATTGVDAYGNPVAPVHGVTHAPAVAGGAPVAGAGGQVQPVAEQRSRGILHRSSSSSSSSVRDLSSEDDGMGGRRKKGIKDKIKEKLPGGRKGTQQQTPATTGGAYG >Et_7A_050444.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15647768:15648001:1 gene:Et_7A_050444 transcript:Et_7A_050444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVHSVSRATIEKVPIMHIEVHHYKLEDLRHWHASSLFSRYCWTGCNCPGAFTQGAETENQINQFGCSLTLKTEY >Et_7B_054462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21603545:21615850:1 gene:Et_7B_054462 transcript:Et_7B_054462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGTAMYPLHRCKTIYLVRHAQGIHNVEGEKDPSAYMSPALFDAQLTPLGWKQVDGLREHVKKCGLAKKVELVITSPLLRTMQTAVGVFGGDNYTDGVSAPPLMVENAGHSGRPAVSSLNCPPFLAVETCREHLIENDEDVLWEPDVREANEAVAARGMKFIDCFANCELRSMVLVDRSMLGSDSPSFNYPGKVPPGLDLPSDDEAKRWHCYVPSASLQNYIPGMEASAGTAMYPMHRCKTIHLVQQNSKLTMKPIWMFDRWILTVWRVQVRHAQGVHNVEGETDHSAYMKPEFFDAQVTPLGWNQVDRLREHVKKCGLANKVDLTAAGVFGGENYINGVSAPPLMVENAGNSGRPAISSLGSPPFLAIETCRERLGVHPCDKRRSITEYRTLFPAIDFSLIESDEDVLWEPNDRETYDSLAARGVNFIDWLWTREEKEIAVVTHSGLLWHTLRLYSKECHPTVRNEVSKYFANCELRSLVLVDRSMLGSDSSSSNYPGKIPAGLDLPSDVADKKHIGEAHKN >Et_7A_051891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:26059211:26060215:1 gene:Et_7A_051891 transcript:Et_7A_051891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKATLRMAQQELEDLYLGVPDNSVDLTFKDLASSSLPAAEAAHAADADNSEDQDGKRKTTTTGGLQLARSSTNIFTYRPILEEDRQQDMDYSGGGGGLLQLSPPTHAPPPPAAAAADRRVRVQVAHNNGGNNHNYRRPGVPHSNICALCSKYIYLFRHRCLVCGRVYCRRCVGAGMGDMTEGRKCVDCLGRRYSHRYIHRAGDTTTCICSSFFSGYYPNAKAVTAQELIWAEKGPAPRRRRHPPTSISASYTSTNASMSMTINSDSSMAMMNINGQPIAGVPASSSASSSFVASYAPNPHAFPL >Et_1B_014237.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:4062023:4062565:1 gene:Et_1B_014237 transcript:Et_1B_014237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVVSATASCTGNLFGRRRRQQPPPAPPNTPTDSSPPPNNKKVSDEELERVFHHLDADGDGRISAAEIRKIRRCTDEEAEEMVATADSDGDGFISIDELRKVMEGGDESDTMRAAFDEFDENKDGVITAEEVRRVLRRLGLDEAELPAEQMVAKYDSNGDGVISFDEFKALMAENLTPA >Et_6B_048803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13938286:13942021:1 gene:Et_6B_048803 transcript:Et_6B_048803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRFLLLCLGSFALVSFGTSNSTDELALLSFKSVLSSSLDSWNDSSHFCSWPGVVCGRRHPDKVVALRMSSFNLTGVISPSLGNLSFLRWLDLHDNQFVGTVPKELGYLRRLEVLNLSINHLEGSIPVTLGECTKLKMLDLSTNQLQGEIPYEIGALSNLIRLNLGKNGLSGVIPLSLAGLWSIKYMYLFNNRLSGEVPSCLGNLTNLHRIHLEYNMLSGEIPSSLGMLSSLSALDLGFNNLSGLIPTSIWNISSLTWFSVQRNVLSGIIPPTAFNNVPHLQNLVINYNHFHGPIPASVFNASDMTILQLDMNLFSGTVPAEVGRLRNLKWLQLNRNLLQAKEPKEWEFLTALANCSELQVLGLATNKFEGVLPDSLSNLSTSLTSLSLYGNKITGNINKDIGNLINMQTLLLHNNSFRGYLPSSLCRLKNLVIFYAAENNIMGSIPVAIRNLTSLSYLRLDENALTGTIPSTLGDLVNLVELRLSANYLTGPIPSKIFTIPTLSGILDLSENSLEGSLPQEIGGLKNLVEFYAESNKLSGEIPNTIGECQLLQYLILQNNSLNSSIPSTMSKLRGLEGLDLSSNKLSGQIPKFLGNLTTLYYLNLSFNNFAGEVPNFGAFANNTAISVQGNRKLCGGIPDLHLPTCSSSNLPKRKHKFLVIRVVTSLVATLVILPILYLLLIWKTKGKEKNPSTHSMQGHPLISYSQLVIATDGFSENNLLGSGSFGSVYKGELSDQEGQGTTLVAVKVLKLQNPKALKSFTAECEALRNMRHRNLVKIVTICASIDNRENDFKAIVYDFVPNGSLEAWLHPGTNGQAVQRYLNILERLTILLDVAYALDYLHCYGPEPVVHCDLKSSNVLLDANMVAHIGDFGLAKILVQGSSLSEQPTSSMGFRGTIGYAAPEYGAGNIVSTHGDVYSYGILVLETVTGKRPTGDTFRQGLSLREYIDLALQNRVLDAVDTSLSLDLENELHTKADSSYKRKINCIVSLLELGMSCTQELPSSRMPTGGIIKELLSIKHSFMGEHRI >Et_8B_060317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9223917:9229071:-1 gene:Et_8B_060317 transcript:Et_8B_060317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTRYGDEERLRVLDTMTTASSAWGLESARKMVTQTMNNKRFLPQYKCRRSSCSPFPKRKEKFLLLEGSSETSTPAASTPQRRSAKEKNVYGMDRSQEMEEITPNDSDPLLGRDNQEAEPSAQLLPPKPATVTPLEIEDEEADGSSAACCRICLEAESEIGDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVETWEDNSWRKMKFRLFVARDVFLVFLAVQLTIAIIGAIAYFLDRDGSFRNSFSDGWDRFLSKHPIPFYYCIGVVVFFVLLGFFGLIVHCSSFNDNQDPCLAGCRNCCYGWGILDCLPASLEACFALVLVFIVVFAILGIAYGFLAATMAEYVVEDLHGNYTAPKLDPEHEERLKMLKLL >Et_3A_024708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23496372:23498424:1 gene:Et_3A_024708 transcript:Et_3A_024708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFRSKLCISASLLLAAYVLATTAASVDAVDIAVYTCGTGLFAYVNLAFLSTFGGGRAPVLDLAGHCDAAAGACASLAADIAACQSAGVKVLLSIGGGALGGYNLSSPSDARGVAAYLWDNFLGGGTGRQRGARRRRLRHRGPVPVLRRARHEPYVAVQGRHGWRPEEVHAHGGGAVPVPGRVPRRGLFDRVWVQFYNNPPCQYAAGDVAALRSAWRQWTAGMPSTPVFLGLPASPDAADSGFVDADALASQVLPVVGGAANFGGIMLWSRSYDKDSGFSEKLQGILQNRNNQDKILGVAVAGVLLLFLLICTCFLCHKKYRGVPPPEAGSTTPPKTEPSKPKRRPQHLTRYTFSEVERMTKNFAHELGHGSCGDVYRGNLRDGYQVAVKVLNNGLGDDKEFMREVVNISRISHVNVVSLIGFCLQGPTGALIYEYMPNGSLENYAFNNNNDSIEENYSLWLYWEKLFDIANARGRDAFYDAPEVVSMKFGPVTSKSDVYSYGVMVLEMVRAKRNISVGADTTSKYFARWLYENLDQFCDSISDISSETRDLVKKMIIVGLWCIQTAQTNRPSMSRVVEMLESSSVDLELPVRIS >Et_1A_004603.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22020214:22020738:1 gene:Et_1A_004603 transcript:Et_1A_004603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSFGGWILKNSPIPITKKPDLNDPVLRAKLAKGMGHNYYGEPAWPNDLLYIFPVVILGTIACNVGLAVLEPSMIGEPADPFATPLEILPEWYFFPVFQILRTVPNKLLGVLLMVSVPTGLLTVPFLENVNKFQNPFRRPVATTVFLIGTAVALWLGIGATLPIDKSLTLGLF >Et_10A_000923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19150847:19151569:1 gene:Et_10A_000923 transcript:Et_10A_000923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETCFRAWALHAPAGSKDRLLVGSSGTSSFAPAKRTAAVVAPLTTGRVAAPRPRLVCQANNAVDEVLVANEGNWDGMVIGCETPVLVEFWAPWCGPCRMIAPVIDELAKDYAGKIKCCKVNTDESPAVSSKYGIRSIPTVLIFKGGEKKESVIGAVPKSTLTTLIDKYIGSS >Et_7A_050406.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:13143642:13143692:1 gene:Et_7A_050406 transcript:Et_7A_050406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKRWCASLMSSSTR >Et_10B_003349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19038572:19040813:1 gene:Et_10B_003349 transcript:Et_10B_003349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDNFAKPKLLSPSTLHCCSWSSRAMATASALPLLLVPRSVSARVTAATFHSRGLALPPQPTTFPVPAATNQRKWGRLQQVHAASCCSSSAAAASTAGGGARLLAWYLMALDKNPVMTKALAIDRAPKLDLKRTFVFTFLGLVLVGPTLHVWYLYLSKLVTISGAPGAIARLLLDQFIFSPIFIGVFMSLLVTLEGKPSLVVPKLKQEWLSSVMANWQLWIPFQFLNFYFVPQKLQVLAANFVALAWNVILSFKAHKEIIAK >Et_10B_002378.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:15546653:15547504:1 gene:Et_10B_002378 transcript:Et_10B_002378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSRGNKILSSYPGLGCFRVLGLPFGDPDTGGDMFRVMTPSSASWRNDCPAPPQQPRSTFPQPLPAGRLRRPRRRHPQLRPPHRALPPDPQRRRRRSSCVRRLRARMHRVARSPPRGHRRVCQPWHGRSTAGRRAPRVGPRAPGRRGAIPVVALGGVVAAAPAGVPRRSRRRDGGAVGAAGHRAAAPGGGRVRHAHRLGRRHRGHGRRRARAVLPLLRGPDDERQAVERIWGFGRAIIAGGDAPLTRVGVGGGGGGGAAVAYLLTGKEDARIRRGPRVCRPWL >Et_9A_061602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14384984:14389415:1 gene:Et_9A_061602 transcript:Et_9A_061602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTASREEKAPPPTGADDGAYYSEAPVEAPDQRQKHHVLPPLPAGVPSDTAVKVEGAGEEEDDEQVERFFALLAKIRALRALYGAAFAGGGGGGAERGESLGGAAGRRLRSADPPWRPAFRLEDFEDAAATATSSRRATTMDRDDDDEKAAGVASPSTSQPPRAGARRVDNNSNSI >Et_4B_038552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29884276:29886188:1 gene:Et_4B_038552 transcript:Et_4B_038552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRKGKVNERSLSVVKRGRSGPGRNQLLSLFSGGGGSSSRGGDPHPTNPTDLPSGSMHRGGALDRSSNGRYSNPCLTMHQPWASLLVHGIKRIEGRSWPSPITGRLWIHAASKVPDPDTIKAMEDFYREIYAVNGITDIKFPDHYPVSRLLGCVEVVGCVRSQELVFWEHVPESVRLEGLTDFCWLCENPQKLVVPFEMRGYQGVYNLERRIYDGAVRGLVPVQGPQPVKFPLPDPRDPFSLKPGSLASDPSKSAVEKSASVTAAIAGARAAATQFSRKVHNASATNDTEQFRGNRVDSSSGYGNLSSIAQGNPSYLQNQNQPSVFHSTPAYTEPRRSPRLQNGASNRGTEAIKHQREGRAIISAQKLAQTKFVI >Et_4A_034912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7813578:7818366:1 gene:Et_4A_034912 transcript:Et_4A_034912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLRKQPCYLFILIILHLGAHDAKTLSPDGESLLAFKKAVTNSDGIFLNWREQDADPCNWKGVGCDSHSKRVVNLILAYHKLVGPIPPEIGRLNELQNLSLQGNSLYGSLPPELGNCTKLQQLYLQGNYLSGYIPSEFGDLVELEALDLSSNTLSGSIPHSLDKLSKLKSFNVSMNFLTGAIPSSGSLASFNEASFVGNLGLCGKQINSVCKDALQSPSSGSQSPSADDMFNKRSGKNSTRLVISAVATVGALLLVALMCFWGCFLYKNFGKKDMHGFRVELCGGSSVVMFHGDLPYSTKDILKKLDTMGDDNIIGSGGFGTVYKLTMDDGSVFALKRIMKTNEGLDRFFDRELEILGSVKHRYLVNLRGYCNSPSSKLLIYDYLPGGSLDEVLHEKSEQLDWDARINIILGAAKGLSYLHHDCSPRIIHRDIKSSNILLDGNFEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEILSGKRPTDSSFIEKGLNIVGWLNFLAGENREREIVDQNCEGVQIETLDALLSLAKQCVSSVPEERPTMHRVVQMLESDVITPCPSDFYDSE >Et_4A_033056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17873396:17875806:1 gene:Et_4A_033056 transcript:Et_4A_033056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNPGAAPTAPEEEEEKRAAAAKEEEEEDAAPVALKKGPWTAAEDAMLTDHVRRHGEGNWNAVQRMSGLLRCGKSCRLRWTNHLRPNLKKGSFSPDEEVLIAQLHAQLGNKWARMAAHLPGRTDNEIKNYWNTRTKRRQRAGLPVYPPEVQLQLALSKRCRFDDLSPLAPPPDSGGYTSARPAPLDALVRQLAMSSQTVQFLSAPSSPWTTAKPFARNAHYFQFAAHSSPVSPSTPPPPPLHPATPDLSLGYAVRDQSRLPPSSPSPRAELPSNQLFVQAPPPPPSTTAGGDGLPDPQQNAASLEKMLRELHEVIKVDPPAQQLVPAPNNGSDAEPEQPVLELEAHDGRGNKPEGELKDDIDTLFDLMIPQFNESEPAPPAPAPINSGSISQQSSDDQDLSNVDLGVDLPDAGVGASPDQEWSLDGVCQWSNVSRIC >Et_2A_017160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31576680:31580010:1 gene:Et_2A_017160 transcript:Et_2A_017160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVDGEPVLSSSAGAVRMLSARNGLQSRRGASGRELVAALAGSPSLRDAADRLKAAPERRIPAGEEGARRHVYVFQREYATVDPARVELVGTDEATTCVGVVVRNNKTGMTSVSHMDFPRIVEGGLKQMLELLGDDNEPFDVHLIGGFDDASTKVVHSSGKKHIKQDGYSYPLCCKIAEVLHKSHRQFNLRSFCVLENNTTTDSFGNAKPVIGGFVVETLSGVVTPACFDMNSRCPDEVVRRIRVSVSSYDPVWQGKLLETYDTQCDVFRIAPACWMPNWSDIASSLNQLSDSEVLLQCSTSPAAEPPHFVENERRIWKYLIDNPDWEETFPKYKPRVFHRTNDGSWSRFS >Et_1A_006264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19781721:19788006:-1 gene:Et_1A_006264 transcript:Et_1A_006264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVESFRVESPLVRYGDGEIESEYRYDTTEVVPPAADANGWVVRPKSVTYNFKTSTNVPKLGVMLVGWGGNNGTTLTAGVIANKEGISWETKEKVHKANYFGSLTQASTIRVGSHNGEEVFAPFKSLVPMVNPNEIVFGGWDISNLNMADAMARAKVLDIGLQKQLRPYMESMVPLPGVFNPDFVAANQGARANNVIKGTKKEQVDQIIKDIREFKEKNKVDKVVVLWTANTERYSSVVAGLNDTTENLLASLDKNEAEISPSTLYAIACVTEGIPFVNGSPQNTFVPGLIELAIKKNSVIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIASYNHLGNNDGMNLSAPQVFRSKEISKSGVVDDMVASNSILYKPGEHPDHVIVIKYIPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGQDKFHSFHPVATILSYLSKAPLVPPGTPVVNALAKQRAMLENILRACVGLAPENNMMLEHNVHCFFPPVYPASTEDMETSRLENFADIPIPDP >Et_5A_040165.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:17731672:17734007:1 gene:Et_5A_040165 transcript:Et_5A_040165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELLRILRSVKAPRHLLQIHAQLVTAGLAASPRLLLELVSAALSVLSSPRHAATALRAAGADASTVAHNTLIERLAGRGGGRFCSPQDALAAYAAMRTAGVLPNGFTFTFLLRACESLRRLPLCQCVHAQIVKCGFAPDVFVQNALLSVYYKCGDRGDVAAARQVFDEMAERDVVSWNTIVGVYMSSGDAAGAMELFEAMPDRNVVSWNNLIAGFTKVGDMVSAHAVFDRMPVKDAISWNLMISGYAARSDTDAARSLFDQMDGKDVVSWTAMVSAYAKIGDLDSAKLLFDQMPVKNLISWNAMITGYNHNSRYDEALRTFQQMMLEGRFVPDEGTLVSVVSACAQLGSSEYCNWISSYISKSNTYVTVPLGNALIDMFAKCGDVGRARLIFDKMKARSIITWSSMISGFAFNGRFREALLIYNDMCKEGIELDGTVFVAALAACAHGGLLQEGWSIFKQMVKHYRIRPRMEHYGCIADLLGRAGKLQEAVQFIESMPIKPGVIIWVTLLSSCIAHGDAELIEYVSKKVVEIEPFNSSYQVLVSNCSALEGRWGSVMDARRMMRDLGIEKVPGSSLIQVGNEVHEFLAKDTRHQKRKEIYEALDGLIALMRNAEHALVAM >Et_6B_049955.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:241037:242209:-1 gene:Et_6B_049955 transcript:Et_6B_049955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDDDSAAPGSGNNKATMEVSGSSSSPAPAPAPQQPSVLKSVLLSYAYVGIWISLSFSVIVYNKYILDPKMYGWPFPISLTMIHMAFCATLAVFLVRVLRVVDVPSSPAVTPHFYAASVVPIGALYALSLWFSNSAYIYLSVSFIQMLKALMPVAVYSLAVAFRTDAFRRASMLNMLGISAGVAVAAYGEARFDVFGVTLQLAAVAAEATRLVLIQILLASKGMSLNPITSLYYIAPCCLVFLTVPWYFVELPRMRAAASASAAARPDVFVFGTNCLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWTVIKDTVTPINLFGYGIAFLGVAYYNHAKLQGLKAKEAQRKAVSNAAVAKEDDAEAGARLLPQKDGDGDGGHKN >Et_10A_000177.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:14630199:14630504:-1 gene:Et_10A_000177 transcript:Et_10A_000177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPCLVKLSVLAALVKVRDVSICSESLISLDYHCRYTGKLAVTTPRLTKNSISVASEAYIVIVQSWKRLFGRSAIVHSCTILLRPNTISVGFMSTKTPHC >Et_2A_015674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16830618:16831315:-1 gene:Et_2A_015674 transcript:Et_2A_015674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQDIIGDKLFYFARMETTAVLLTWTMVVLSMHPEWQDRAREEILHIFGKNQPDSEGINQLKIVTMILHEVLRLYPPILLLGRETYQETELGGVKYIHLVLYFRCQLCAFTTIQMFGEKMPMSSSQKGRIKASKGVSAFFPFGWGPRICLGQNFALLEAKIGLTMILQHFIFELSTSYSHAPCPVSTLQPQYGAQIKLKER >Et_9A_063492.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:4801550:4802098:1 gene:Et_9A_063492 transcript:Et_9A_063492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSCWGKGTRIRSPATGSCTRNSSAFSQRSRPWRQLRSSHPHLLDSQSRRSRERRAAETRSGGSRVGTKLASWRKKPTRGGAPWWARKRRRNSGSVTRRRQCLQTKPARGRKEGRGGRRRRTSARMSSLSSAAGEVVGRRTRDIAGGAPRGGGGDGDGGGILSTRVRLPPPRSASPFPDL >Et_8B_059136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14238990:14239533:-1 gene:Et_8B_059136 transcript:Et_8B_059136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGGILALSLLFLLTLASQGSWCAAVSSISADHHLQVQEFHGKKKLEIDIPRKLRHGQSLTKHEDEVSAVEIKHHRRMVIGHKGGSVGGGAAGGGGSGRNMGGGGVATRPHKKNGAAALPVPVASVLVLSLASGLALSLFSF >Et_1A_006253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19698350:19701748:1 gene:Et_1A_006253 transcript:Et_1A_006253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADPQAFFLTPPPRHLAELRIDTAPSAVAFSAPCGAAGAGRKKRRCLVPSSSVRKRMLLELAPFDVAPAPAPASTPPPPPSRTPSPSPPPSPVASRAGSTRSPAGEFSFDTAPRVALPPPASPGNIFAFLENAGWTPGRSEPPSFLAPRGQTMKPAGATATGFLSFLAVAERPKTPTSTGPTANGGFVFAAPPPEGPLTPTSTNPSGGGLSFLASPKQPLTPMGSTATGGGFVASSDEPSLMPAVKGTVAPLISLKPSRTGSNDTGDDFAFPSPGPPSAAAKESMRAAGLATPPSSPPLRKSGDGSHKRLSLFLRRAATLAARRRSQRQLEEQQQQQQQFTPPPQKVANTNASAAGEASRSSVMSGSRASPYCTFFTSPSKEAARQEAKKVCSEASRSPAGSRCSSPARPATPEVVKKPDREEEVSSSPRQRAPTSPVVLCSGAEVVVRVTCKCGVHKEFCFDHRAMCLPFKMSFGISTDHFVALEFEITAHEQSAS >Et_4B_036845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1225261:1228046:1 gene:Et_4B_036845 transcript:Et_4B_036845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRCRRSSSAAALLAALLLLLLHCGVDAATDAERRILLEFKAAVTADPRGALASWTATGDPCFDFAGVTCDPSSRSVSRLRVHGAGLAGALTPSLARLPALQSISLFGNRLAGGVPRSFAALSPTLRKLNLSRNALSGEIPPFLGAFPWLRLLDLSYNAFTGEIPAALFDPCLRLRYVSLAHNDLAGPVPPGIANCSRLAGFDFSYNRLSGELPAQVCAPPDMNYISVRSNSLSGGDIAAKLASCRSIDLFDVGSNNFTGPAPFALLGLVNITYFNVSSNAFDGQIPSISTCGAKFSYLDASGNRLTGPVPESVVNCRNLRVLDLGANSLAGDIPPVIGTLRSLSVLRLAGNAGISGAIPSELGGIEMLVTLDLAGLALTGEIPRSLSQCQFLLELNLSGNQLQGAIPDTLNNLTYLKMLDLHRNRLDGSIPVTLAQLTNLDLLDLSENRLTEPIPSELGNLSNLTHFNVSFNDLSGMIPSASVLQQFGESAFIGNPRLCGSPLNHLCGGHRKRLGVPIIIVIVAAALILIGICIVCAMNIKAYTSKNKDVEDSKEEEEVLVSESTPIASPGSNAIIGKLVLFSKSLPSRYEDWEAGTKALVDKDCIVGGGSVGTVYKATFENGLSIAVKKLETLGRLGDQDEFEHQMSQLGNLSHTNLVAFQGYYWSSSMQLLLSEFMINGSLYDHLHGDRPRSFSESSSRGGGGELFWERRFNIALGAARALSYLHHDCRPQILHLNIKSSNIMLDAKYEAKLSDYGLGKLLPILGSIELSRIHASIGYIAPELASQDLRYSDKSDVFSFGVVLLEIVTGRKPVESPGVGTAVVLRDYVREVLEDGTASDCFDRNMRGFVEAELVQVLKLGLVCTSNTPSSRPSMAEVVQFLESIRTSS >Et_5B_045033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8611197:8621826:-1 gene:Et_5B_045033 transcript:Et_5B_045033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDILVSPLLNCTTEGIDGVKMKDGRVALVYNSAPNGTLSRGTLKVAVSSDDGISWGEVLTLENTQGWEFSYPAVIQTTDELVHVTYTYNRTQIKVGEEESSGGDQAAQAEAVRATGRGKSRATTSSGRRRKVTYGFHLVEGRMLHGMEDVHVAEFRQLDDGNEVGLFAVFDGHSGVDVATYLREHLFDNILNEEHISSDPDVAIEDVGDGAELVVLASDGLWKVMSNQEAVDEARETRDARKAAVRLVDEAVRRGSKDDISCVVPWRAAPPPSPGSPPRPRPRRPSPVDSASPPRYPCTSSDAAASAPSPRRTLLPPPAVQTDKAPAALGPYSQAIKANNLVFVSGVLGLNPETGSFVSENVEEQTEQVMKNMGEILKASGASYSSVVKTTIMLADLQDFKKVNEIYGKYFPAPAPARSTYQVAALPLNARIEIECIASLYFVGTEDKVMLTICSCSKLQFIWNTIACVLLFSLVFLILDSPLRIFANKKPFDFTIVSARSLLEISPSSEPVQELEIGQKVEESRGSILNMTANVSPVWSIVKEVLTFPAGRAPFNSCHASTIVQIGEDNFLVAYFGGSMEGAPDVKIWLQRYSDGYWHPPQVADEQDGVPMWNPVLFQLPSRELLLFYKIGQEVQKWSGAMKRSFDGGITWSEREQLPPGILVQPFLLEDGRLLCGSSVESWNSWGAWLEVTKDAGRTWRKYGPICIEGETLGVIQPVPYQTASGTIRMLLRSFETIGRVCMADSVDEGVTWSYVRETELPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKLAVSSNDGDSWDEVMTLEDTDSMEFSYPAVIQTMDELIHVTYTYNRTQIKHVVVQPSALVKL >Et_9A_061871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17345944:17346471:1 gene:Et_9A_061871 transcript:Et_9A_061871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYCNLESSPPAAAANGSPGAGKASRRSLELTNTKETKPWEGLAIGAVTLARTFSTGSQRFCRSGSQRSRGGFPGALRRAFSMRRPPGGPGVGDGYWRIHGMDGDSDRGDDTLEEHGEEEDEADAEAEEDADGDRTNKIKKKRGGIFKACKKLLRL >Et_3B_029446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25014203:25017225:-1 gene:Et_3B_029446 transcript:Et_3B_029446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKLVSKAAATSPAHWGVPSRGAKRWSRVGFGSAPAAKTLVLCASNNSVTPMTNEERVDRSEILTLDSIRSSLIRQEDSIIFSLLERAQFRYNANTYDSSAFPMDGFEGSLAEYIVKETERLHAQVGRYKSPDEHPFFPEDLPEPLLPPIQYPNVLHPVADSININKKIWKMYFDELLPRLVKEGNDGNYGSTAVCDTFCLQALSKRIHYGKFVAEAKFQESPEAYMPAIIAQDRDQLMHLLTYETVERAIENRVEAKAKIFGQEVNFGAEDNGNDPPVYKIRPSLVAELYSYRIMPLTKEVQVAYLLRRLD >Et_7B_056020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9399099:9402861:-1 gene:Et_7B_056020 transcript:Et_7B_056020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLLRPLMLPLLLLLLLAPPPAAPQPAPSGAGASPTPQEDDVRCLRGIKSDLQDPDGRIAAWSFANTSAGAVCEFSGVSCWNPQESRVLSLSLSGFGLQGPVPSSLQYCRAATTLDLSANALDGQIPPALCDWLPFLVSLDLSDNRLSGPLPAELANCRFLNSLKLAGNALSGQIPASLARLDRLKSLDLSGNKLDGQIPAQLGANFPKESFSGNKGLCGRPVSSRCGGGLGSTGLGIVIAAGVFGAAASLLLAFFFWRCTGKNKGGRRRNKHGGSESGTAAVEDGSWWAERLRAAHNRLAPVSLFQKPIVKVKLADLMAATQDFNTSHIVVAGSSRAGTAYRAVLRDGSALTVKRLHSCPLSEKAFRAEMGRIGQLRHPNIVPLLGFCVVEDERLLVYKHMESGALSTVLKKPEEAPLDWATRLRIAVGAARGLAWLHHGFQVPQIHQNLSSSAVLLDEDYEARITDVGLTRLVRMAPGEGGDTSPFLNGDFGEFGYVAPEYASNPVGTMKGDVYAFGVILFELVSGQEAGAVVSDAAGEGFKGTLMDWVNQLKASGRIGDAVDRSLRRNGHEAEIEEFLKIAFACTLVRPRDRHSMCRVYHSLKSIGERRDVSEQFDEFPLAYNKDDSDNAVNLRIHFCTARRNSKNYYH >Et_2A_017232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32195066:32198695:-1 gene:Et_2A_017232 transcript:Et_2A_017232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAKPPSPGSGGGAAGPGAAAHIHAHRRWAAPLLASVLLSSLLISASLFFSSSRALLLSFSPLPSAASAEPLFVEAKLRQQMRAEDRPPRGAVPRIAYLVSGSAGDGNALRRTLRALYHPANRYVVHLDLEAPAAERAELAAAVRADPVYSSFGNVQVVTRANLVTYRGPTMVANTLHAAAILLREGGDWDWFINLSASDYPLVTQDDLLHVLSELPRQLNFIEHTSDIGWKEYQRAKPVIIDPGLYSLQKSDVFWITEKRSVPTAFKLFTGSAWMMLTHQFIEYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNVPEFRNTTVNHDLHFISWDNPPKQHPHYLTLDDYDSMVNSNAPFARKFGREDPVLDKIDQELLGRQPDGFVPGGWTDPLNTTVKGRPFTVERVQDLRPGPGAERLKKLITGLITQEGFDDKHCL >Et_9B_065186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21885229:21888780:-1 gene:Et_9B_065186 transcript:Et_9B_065186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SFKTINGKSPIVVGPWGGTGGYPWDDGVYSTIRQIVISHGAAIDSIRIEYDLKGSSVWSETHGGTDGGSDTDKLKLDFPDEALVSVSGYYGSVCGTPVIIRSLTFQSNHSKYGPFGTEEGTPFSLPVSSGKIIGFHGRSGSYLNSIGFYLKQVHFRNPLKTPASPKTLPVPSAYGRNGYSSAEVGAGYDNMVLAVQDRGDSYSVYASHQPKQQHTSLSPDYTDGALRNKMVSFPSYYEDRGAAAGPHMYGPWGGSGGTIFDDGVYTGVWQINLTRAVGISSMKVLYDRNGQAVWGNKHGFSGGVPSDKIVFDFPSEVLTHITGFYGSAIIMGPTVIRSLTFHTNKRTYGPYGDECGTYFSTNFTNGRIVGFHGREGWYIDGIGVHVQEGKVAYGMVKEPVPMGPGPWGGEGGRPWDDGVYTGVKQIYIMRGAFIGSIQIEYDRGGRSIWSARHGNSGHITHRVKLDYPQEVVTCVYGYYNTNRGEGPRVLRSLTFITNRGKYGPFGDEAGAFFSSATTEGKVVGFHGRSGQHLDAIGVHMQHWLGDNRPAPKYVLSKYLF >Et_3B_029036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21537222:21542923:-1 gene:Et_3B_029036 transcript:Et_3B_029036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVKETLQGRVGCPQTFHSARKPRSASSVRFHPLQQRGGVFQSLAWSADGDRGRRRLKAQEPDRMPLSRKPFFSNENFIDPQAPTSYIDVLNTLRPCHPLSQQQETTCEKPEQDENVLQQCARNFVDGIIQFISSEKEYYKFIIIACKENINMIMELGFISCYNRDDILRGLERIEDDIAKERFEWRENKDVRSNIIESLVERVGEPARKLDATISQYVQKLTILRLWLHDSVDTIATQIEQLQVELVLLALRNWGFVVPLLFRCTDWILLGELIFSKVELLERDVSRLRSCKNKIDSTLFIALHLCSADGCLDRSSDDGSHLLQNAVINFGNMIIGDIAIDISTIKREISYWTAFRFLTPNDRVSQSFSLLDKHLSDLSKLTIIRRDPFTCYSIQDPCQRFFAVYVIVQQLLKEASDFAKNASFDNKKFQSFPSFRYINTGSSITHSVEVNSEDEREKATQEFKSRSDEVMKMLVDWLAKHQTVENPLKFSQFDVE >Et_10A_000700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15724947:15728748:1 gene:Et_10A_000700 transcript:Et_10A_000700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNSVGLEVLYQVLGWVAFFAWSFSFYPQVLLNYKRKSVVGLNFDFLVLNLTKHSSYLIYNAALFFSPFIQRQYHDKYGDKEMIPVAANDVAFSLHAVTLTAITVFQVFIYERGTQKVSKICISISAIVWTAAIVCLIIAWPKGNWLWLIDVFNSIQVGMTAIKYIPQVIMNFRRKSTIGWSIGNILLDLTGGVLNFGQMGVQSIDQHTLVNFYGNIGKTLLSLETVFFDVLFIIQHYVLYPVKRDENGKAIISERVAPLIRPLDKPEEDNV >Et_8B_060468.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:17363325:17363684:-1 gene:Et_8B_060468 transcript:Et_8B_060468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEITATGFALLVAAVIAGVGSDGLGLRLGFAGVLAGAILIAIGGVRMAEEPTTPMGLTALTATAFLRRNRAVLGLAMASSAAMAVSGEASPVLCFVCFALFLLGVALITFGVIGDLG >Et_9A_061402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1171494:1175523:-1 gene:Et_9A_061402 transcript:Et_9A_061402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKANGTYGSSVQGQLDGTFVDNELHGLISPHLGPLLLTMNRSHTAGHMLNYGLYNYVFNRGIEVTSDVYGFQVGQDDRTGVLVQINNVGDGRTSIRNEIMVGWHVYPELYGDSKTHFFVLWTRDGYQSTGYLPEANIPTVPGVAINAVSDPEGVKRTIVFKVLKDSAEDWLVHIGFDSEPYLIGRFPKSIFTSMGRGDNVRIDGFVVTSTTQLAPMGSGFLSNIVKAASFSNIQLIDQKRQRLNLIKNGNNSTYSSDEKIYSVSPINVEGKFTYGGPLQ >Et_6A_047008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24053860:24056349:1 gene:Et_6A_047008 transcript:Et_6A_047008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQAAEPPSSPWPSFSPNAEAAVLRRLASHADRVRFAAVCRPWRAAARKQPPPLPWLALPDGTFFSFPSPAAFRFPAAAHYHGSCDDWLLFRRDVGDDHGWYTLLNPFSGETMRLPQLSSFRYVVHYSRKSGGMTKPPPVDIHDGLVLRKVLMCPGGVVAALVDDERQRGKRPRPVARAPRDLAIYDGKLCAFDAYGDLYAREAVDEINGVEAYYDGEPMVCAANLVVAAPARRCAAPASRYHVASGGRLLMVHWVLSDEDDGGGGDATSEFEVFAADMVASRWTKVTTVGGDTALFVGQWSSVSRSVVRYGLPGNVIHFLDDDVVDRKGERGRRGGFGSYDMVDGKTVSLLPSSSPELRNGAGTPMTWLFPSWHDLSADEFRHVVSFLPSVADRIHLSQVCRDLRAAVRQEWRTRLSTTAYLAIPNGTIFNYPDYHTKSRRLADAAEYRGASADGGWLLFYEDDEGLGLLRLASPFTGRTMQLPSLLGIRACHDPVVMDACLSRGSEQWWDEAETMTVQKLVVCPDDGNGVVVAALVGRDGRSKLALWSFAWQFSARDRWRRYEDLAFFRGRLYALTSGEDLIAFDYAVTGAGEPPRVTRVERVICGLHNIPPDAINVVAVHYLVAARAGDGLLMVRRVFPPARRHHDAQQQRFAVFRACLEESPPRKWEERTELGGDTLFVGRQCSRAVAPGHPPGGVRGDEIFFLADDCLGMKIWGDRGWSKPLPSKYHTSVYDMRSRTVTNLQLRDLSRDGPAPPTWIFFPDNQE >Et_2B_018952.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18713570:18713942:1 gene:Et_2B_018952 transcript:Et_2B_018952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVPRPPGVCRRVKLETAFPEMPGWSADTRGAHANSPTGCRTGRDGRIRMRALMSASVAPPSSPSGFSSANGHTTLADAFRRLLWLWLLSASAWWSDSAAAREAKINHDVHC >Et_7B_055823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5472535:5473636:1 gene:Et_7B_055823 transcript:Et_7B_055823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLARVGRNASARSLSAIQDAATALPAAAAASRAARSGNAGGVPVLDGRSVASKRLFPGCAHHEGRLLLSTHSVHRVNSVAGQEDRDEYYDSPGLMKADDKDLESDEALWALYHRWCKYFKIERSLEEMTQRFGEFKETVLSLREERDSKNSSRIHINSLADRCIAELASRRTLVSYEAYLAAKAMQGCIITCESDED >Et_3B_028030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32379696:32379977:-1 gene:Et_3B_028030 transcript:Et_3B_028030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEKWKLSKKGHSSVSSSSRGGGGGGGQQQQQQPHGGLSKKCVEAVKEHRARFYIVRRCVSMLVCWRDQ >Et_9A_062046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19160622:19164357:-1 gene:Et_9A_062046 transcript:Et_9A_062046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADAAADQNPRDAEPRKPANGAEEEAEFAEEEYDEDDEDYEEEEEEEDGEPDDSGVSAAERHKIQAVFKRLSSEPVGIRVHDVVIKGNSKTREELIEAEVVDLLRAASTVQDLLRASTVATARLQRLEVFDAVKITLDAGPPELPGTTNVVIDVVEAANPVTGTAGVYSKPEARSWSLEGSLKLKNLLGYGDIWDASGAYGWDQTTEVGVGVSLPRFKSIPTPLMARASLSSQDWLKFSSYKERLLGLSFGLLSTTNHDLSYNLTWRNLTDPSRAASKSIRRQLGHNLLSALKYTFKVDQRDSTLRPTKGYAFQSTSQVGGLWDSKGLKFFRQEFDVRGALPLGFYNSALNVGVGAGVILPLTRGFMDSSSPVPDRFYLGGHSSPVCSLSGLSSLLGFRTRGVGPTEPRRLVPSESDGGSSAPPGRDYLGGDLAVSAFADLSFDLPLKLFRDAGIHGHAFLAAGNLVKLSEGEYKKFSVPAFRDTFRSTAGVGIILPTKLFRVEMNYCYILKQAEHDSGKTGFQFSFSSPMFRRSDLRRCSFRCLTALVAQRCLSVAMLEYIRIDV >Et_3B_030695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6494189:6529247:1 gene:Et_3B_030695 transcript:Et_3B_030695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTSTTAISMKLLIDTKAQRVLFAEAGKDVVDFLFSLLVLPTATTVKLVGEEAIVGSVGSLYASVSKLDSTYVLPGASKNTLLRPTVASQAVSTNSSLLLPASSSSPSGQPKKFFKCSYYQTGSCYDHVTDASGTSCPPSLSELQAMQSAGKGFVQGIVTYTVLDDLTVTPMSAISSITLLNTFAVVDLSSLREKTVQIGYKEGVEILRASLQSKTMTTAVYYTGQKVQSTAAAGSGTQGLVQGVVTYAVTDDLDVKPMSTISGITLLNTFAVRDLSDLQEKTVEIGYNEGVEILKAALQSKTVLTDVFLGNKAPGDACVVDMKHGKSASRMATSEKRMATSTTAAAAANTSKTLSMKLLIDTRTQRVLFAEATKDVVDFLFSLLSLPIATAAKLIGPESVAGSVGNLYASVDKLDSCYFMPGAAKDALLRPSAASPAVATNRSLLRLPEPSSSSPPVQQKIMYKCIICYLFVADVSGTQCPGCGHRMTTAMFYVGQNVQSTTTMDVGAAKGLVKYGLTCTVTDDLDVTLMSTISGFTLLNTFAVRDLTDIQEKTVEIGYDELLINTKTQRVLFAEAGKDVVDFLFSLLALPIATAAKLIGPDSAASSVGNLYASVDKLDSTYVLPGAAKDAFLQPTIASAAMTSGSSLLRLPEASPPATTAQPKSFYRCRYSHTSCYLYVAGVSGTKCPSCGCQMTTAMYYTGQAVQNTAVDGKGSGAKGLVQGVMTYTVTDDLAVTPMSTISGITLLNTFGVRDLSDLQEKTVQIGYDEGVEILKASLHSQTVLTDVFLRKKAPGDACVADTKLEKDDQISRMATANTTSLSMDLTIDVANQRVLFAEASRDVVDYLHSLLSGMPDPDTFAENSTLDGCVENILDSVEDLNAAELDFRARRSPSSAAKRFFECGYRRGAGCAGYVAEARGARCPSCGWEMAAEVPPDSPEAGCSGEVVMCLLNDDLTVRPVAASKLEHCRRMALMMMGVDVTATELETRTVTLGCTEGLAILEASLQSDTVLTDVFLGNKDFPSMATTETTSLSMDLIIDTANQCVLFAEASRDVVDYLHSLLDGMPDAFAESASVDGCIDNIVESVVDLDAAELEIRARRSPSSSAKRFFECGYKRGAGCAGYVAVARGASCPSCGLEMAVEVPPDSPGAGGSGEVVMCLLHDDLTVTPVVASRLRRLALMMTRGVVTATTELETRTVQLGYTEVIDHCCLFFKYFDTSAIYVNSILAGSQDPRMAATTLSMELVIDTANHRVLFAEATKGAVDYLHSLLASPDMSLAFENATAGGCVGNLYDSVELLDAVGALLPPPAPAPSSSAGQQPAKRFFECGDKLGANCARYVAEARGASCPSCGRKMNAEVLPQLRSPGAGCSGGNAAASGATGGGADGSVMCLLKDDLTVMPVPASKLALARNLALCVLSNAVSDSVAALERRAVTLGYTEVITIDINCEINIHWFAAGFGYSGGLAAVEHRPHGSMATADTPAASLTMKLHVDTTTRRVAFAETTKAAVDYLHSLLEPPHQVVSLDDATLAGCVHNLYNSVDELAAAARAALWPSPPDVVQQAKRFFDCGDSRGRPACGGYVTETRGAVCPSCGRQMATEVPHGAAGASCSGAGAASAGSAPPVTCVLMEDLRVMPMDGDSLAMIGTMTTMLLRNVATVREITVQLGYTEGVAILEASLRSRTALSDVFLREKAPIQWQPPTPQQR >Et_9A_061310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6835:7821:1 gene:Et_9A_061310 transcript:Et_9A_061310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGDEQMKTMAMPPATMRKGPWTEEEDAQLVRFVRLFGERRWDFLAKVSGLRRTGKSCRLRWVNYLHPGLRRGRITADEERLILELHGKWGSRWSRIARSLPGRTDNEIKNFWRTRTRKKALEQRRNGHGDGKQMASPSSSSVSSGSSGSRNSSGSSSSPGTESSALQERSGDDDAELELEEATTTTAASQHHQQQQQYQEQEHGYTMDQLWNEIAAAEASASYVVDSWGAGGHGSAAVELPSSMPSPVWDFCSDYSLWRIDDDEYYKMLEAS >Et_3B_028989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21099397:21101595:-1 gene:Et_3B_028989 transcript:Et_3B_028989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGDFQFHDELPPLFAQRPASGDLMQQQAPGSWFADFMAPEYDLLCRALDLPMGAEDVVKRELVVDTGGGARTPSGGGTAPVTPNTTSSMSSSSSEAAGGGGAGGGGGAFGAGEEDSAGRCKKEEGDGEESKEFGKGEEDGDKSKKGAVSAAKAKGGKGEKRQRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQRCPVKKRVERSYQDPAVVITTYEGKHTHPIPATLRGSTHLLAAQGLHHLGGGLTPHTMGAAPFGRAGAGGIDVLGLLPPLRGGASHLTMPPPTLSSHGLAAGPMSSGVATTTTTSSPPSLQMQHFMAQDFGLLQDIMLPSFVHSSGGAGGGNIQP >Et_1B_012455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32130218:32131993:1 gene:Et_1B_012455 transcript:Et_1B_012455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQEGRKGIPSLLSSQGECIASNIIQLAISKLDSGPFFLGSWDTWDSRHCCQVLMFLLLQFLLHFVLSKFSTFQLIGWTPLMELKNISKNDGIDARLIGKIETYQPLSSVKDRSALSLIEDAEEKALISPGITTLVAVTSGNLGIGLAFVADLLLLGKATSKVGIDKQIMLRYLGVEVILVDASHHGFKGLLDRVEQLEEEMEHVYVVDQFTNPANPDAHFRWTGNMISSNVEVMLSVVSLILVWNHVINSILEPCQDSILVRQIILSLKPFYEDTEGKVDIFFTVSGSGGTLTGTGRFLKMKNPSVKLICVEPAESAVILGGETTYHNIQGISPGFVPETLDT >Et_4A_035973.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9880988:9881500:1 gene:Et_4A_035973 transcript:Et_4A_035973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSATSQSQGPRRRAPVTDEERRRNRMTSNRLSARKSRMKRQQHAEELAATAERLGRENEALRAAVDGALRRCRLREQENLVLAARARELHATLMLRNSQLRTLGEFAGVPLDVPGVPDHLAQLYGGGDTQMPPLPAPPAPASPLPLEIQMLFFQPDAVDVAAVGMLGF >Et_2A_015307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10778570:10791726:-1 gene:Et_2A_015307 transcript:Et_2A_015307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPWLRSTNNFLGRAVWEFDPDLGTPEERAEVERVRREFTEHRFEKRQAEDLLMRMQFAKQRNVQPNPPLINQVEDGQVTEEIILTSLRRALTQHSSLQAHDGHWACEYSGIMFIMPILNEDGGWGTNELGPSSMFGTCLTYVTLRLLGEACTHGALVKGRGWILAHGSAAAIPQWGKIWLSVIGLYDWNGNNSIFPELWLVPHFLPIHPGRFWCFCRLVYMPMAYLYGKKFVGKITPTIMAIREEIYSAPYNEIDWYKARYTCAKVDLRYPRSLVQNLVWTCINNVVEPMLNCWPVNKLRDVALKNIMKHIHYEDESTKHIGVCTINKALNMICCWIENPNSNEFKQHLPRIYDYLWLAEDGMKAQILENHPDYKAYYRHRAKGSWTLSTADNGWCVSDCTAEALKGIAKKRLENALKVHPSSLRRNSEKMGLVAAGRTYQNSSSIRKACAFLLSKQLPTGGWGETHLSSETQAYVEANRSHAVNTAWAMLALIFAGQVERDPTPLYHAAKELINMQLESGDFPQQEHVGCFNSSLYFNYGNYRNLYPIWALGEFRRRLLAKK >Et_2B_021219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27524611:27531012:-1 gene:Et_2B_021219 transcript:Et_2B_021219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAFFDVAGRGQLDYAQIEAGLAALQVPAECKYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEINDEELARFVEHVDKDNNGIITFEEWRDFLLLYPNEVTIENIYHHWERVCLVDIGEQAAIPEGISKHVNASKYLIAGGIAGAASRTATAPLDRLKVIMQVQTTRTTVMHAIKDIWARGGMLGFFRGNGLNVVKVAPESAIRFYAYETLKEYIMTRKGENKSEVGASERLVAGGLAGAVAQTAIYPIDLVKTRLQTYSCEVGNVPRIGALSRDIWMHEGPRAFYRGLVPSLLGIVPYAGIDLAVYETLKDLSKTYILKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQQANSEAAYRGMSDVFWRTLKHEGVSGFYKGILPNLLKVVPAASITYLLNGTIGTQQLFTMNSEGLLCPLSGVVRLAGCHMLSLE >Et_7A_053109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6737481:6744807:1 gene:Et_7A_053109 transcript:Et_7A_053109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAYKKAKPGRLVFKGGEAASLKPKKQKKNKKPASDAPVDGDAAAAAAPAEGAEGSAGTAAGDEYTIDAAKRMKYEELFPVEAKKFKYDPSNAARVAARNRSVEEALDDRVRKKADRYCKARHARTRMGERDSERPTVMLAVLFHGPSDHHTSQKSFAP >Et_5B_043402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1015663:1026290:-1 gene:Et_5B_043402 transcript:Et_5B_043402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHAGAHTIGQGQCQNFRDHIYNDTNINPGFATSLKANCPRATGSGDSNLAPLDTTTPYAFDNAYYTNLLSQKGLFHSDQVLFNGGSTDNTVRNFASNKAAFSTMASASCLSLLVVVALASAASAQLTSTFYDTSCPNALSTIKSAVAAAVAQEARMGASLLRLHFHDCFGCDASVLLNDTATIQSEKNAIPNAGSLRGFGVVDNIKAQVEAVCPQTVSCADILAVAARDSVVALGGPSWTVLLGRRDSTNANLTLANSDLPAPSSSLQDLITAFGKKNNLSPTDMVALSGAHTIGQAQCLNFRPHIYNDTNINSAFATSLKANCPAASSTSVDGNLAPLDTTTPNTFDNAYYSNLLSQKGLLHSDQELFNGGSTDNTVRNFASNPAAFSSAFASAMVTMGNISPLTGSQGQIRLSCSKIRPGQGIMASASCLSLLVVVALASAASAQLTSTFYDTSCPNALSTIKSAVTAAVNNEPRMGASLLRLHFHDCFGCDASVLLNDTSSFTGEQSAIPNKGSLRGFTVIDNIKAQVEAVCNQTVSCADILAVAARDSVVALGGPSWTVLLGRRDSTNASKDNAESDLPPPTFDLANLTAAFANKNLSVTDMVALSGGHTIGQAQCLNFRDRIYNETNINSTFATSVQASCPRTSGSGDTSLSPLDTTSPTTFDNAYYSNLLSQKGLLHSDQQLFNGGSTDSTVRNFASNAAAFNSAFATAMPQDGLPRRDQAQLLQEELLRFKRLLVMVALASAASAQLSSTFYDSSCPKALSTIKSAVAAAVAQEARMGASLLRLHFHDCFGCDASVLLAGNEQNDGPNLSLRGFNVIDNIKTQVEAICKQTVSCADILAVAARDSVVALGGPSWTVMLGRRDSTTAASSTTVGNNLLPPSSSLAQLISGYQSKGLSPTDMVALSGAHTIGQAHCSSYRAHIYNDTNINKAFATSLQGSCPASSGGNNVLAPLDTTTPNTFDNSYYSNLLSQKGLLHSDQELFNGGSTDNTVRNFASSAAAFSSAFATAMVKMGNISPLTGTQGQVRLKCSA >Et_3A_025842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33263094:33265167:-1 gene:Et_3A_025842 transcript:Et_3A_025842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFLTRSKALAHAFSRGDAIASPPLAGVRSLRTLSTLPQDPAAATLSPRQPSVRSPLDLSKILGYEQSSRLSGTQVLPRWFSTGSSNGSSVQQAQEGASAKVTAFSPLEATIAKPRSSPLTVESWKVRRTELATMVTFYMIPATLLVSRNSVSTSLLVMSVFHQIYMFHKEIFLDYVHHDITRKWALIYFKILLLIMAKDTIVYFDLL >Et_6B_048971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16129305:16135410:-1 gene:Et_6B_048971 transcript:Et_6B_048971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEREKVVCLAKLAEQAERYDDMVEYMKKLARMDVDMSAEERHLFSVGFKNTIGARRSSWRILSSLEQKVTGGDQTGVMIDAYKRKIEDELRMVCNEILSIIAIHCLPLATSGENAVFFYKMKGDYYRYLAEFSTGNEKKAAADQALMAYQHAMVVASSELSPAHQIRLGVALNFSVFFYEILNSHERACQVAKQAFDEAAAEINSAGDGAYKDSTLMMQLLKDNLALWTSELTGGETSKDDDINMEANADPELDGNLSV >Et_1B_012206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29943675:29944701:-1 gene:Et_1B_012206 transcript:Et_1B_012206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMSKLRLVTVDVTGTLIAYKGQLGDYYCMAAKATGVPCPDYTRMHEGFKVAYAEMTRKHPCFGHATSMPNVEWWKICVKDSFSRAGYEYDDDTFEKIFRRIYGAFGSSAPYSVFPDAQPFLRWLRNKGFVVGLVSNAEYRYRDVVLPALGLNQGSEWDFGLFSGIVGVEKPDRRIYEMALETAGGVAPEEALHIGDSMRKDYVPARSVGMHALLVDRFRTADAELWRRSGAPVLPDLAAAQEWLAGNPFPREEEHGPVVTGHSV >Et_3B_029773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27792671:27799194:-1 gene:Et_3B_029773 transcript:Et_3B_029773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAVGGCSSAALAFFRLCPLRRTVRPAAVLAGGCSSLHRGCRSRLAHTLADEVLEELRSRWRVRVSAKIGLHGTKELSDNKIDKRTLQKGLLLEFQKDSERSLLAVVERPDGKKNWVVTDQNGILSSIKPQQVTYVVPGILNFDYSKIVEFLEKAQDLLDPTVLECAWMELSEKDKTITVEEFAEIVYGSKESLESYCAHFLLSRDIVYFVKVEKKDYSIYQPRPPAQVEELLRRKLAKEAAEKELEEFVHLLKSAKALPLDSKPPKSSWLTEDKVREKIEALQAYAVDACDDEQRRLAGNILKAMGFTRTSSAALKLLMNVGYFPVHVNLDLFKYDVRIRYTEEVLSAAEELLSDCPDSDLSIRKDLSTLKVYAIDVDEADELDDALSATRLPDGRIKVWIHVADPTCLVKPRSIIDREAMHRGTSIFLPTATFPMFPERLAMNAMSLQQSKECRSVSVAVTLDPDGSIAEYAIENSVIKPTYMLTYESATELLYMNLEEEEELRILQEAATIRAQWRHTAMIEPRIKVSNPDDPEPNINLYVEDQTNPAMQLVSEMMILCGEAVAAFGSDNNLPLPYRGHSQSNTSVSAFSHLPEGPARSFANIGVLRAAEMDFQKPVPHSVLGIPGYVQFTSPIRRYVDLLAHYQVKAFLRGDSPPYSASDLEGMTFIASMHVKVARRLHSNSLRYWLLEYLRRQPKGREYKSLILKFIKDRMAVLLLLEVGIQVSTVVSRGKVGDEVSIVVETAHPRDDILSVREVIQDTEE >Et_1B_011505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2338547:2340362:-1 gene:Et_1B_011505 transcript:Et_1B_011505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDDCSLNALGLIMSSSMQSSYLPATTESIAKAQEAKDASESITILYQLLEDPSTSADALRTKELAITNLTNYLTKENRAEDLRNLLTKLRPFFALIPKAKTAKIVRGIIDAVAKIPGTSDLQISLCKEMVEWTRAEKRTFLRQRVEARLAALLLENQEYTEALNLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPSQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFSSLDDPKAISSLKYMLLCKIMVSQADDVAGIVSSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIAHIAELIELPIDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKTEDIFPATLETIANVGKVVDSLYMRSAKIMA >Et_1A_007290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33013032:33021059:-1 gene:Et_1A_007290 transcript:Et_1A_007290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHLALLSCLLVLVLSFDKFLLHYLKRRWFYGTGGGSIPRVPAKSRRPMAAPAAKWSETAMLVIDMQKDFVDPAMRSPMLVAGGEAVVPTVAEAVTVARERGIFLVWVVREHDPSGRDVELFRRHHYSGGKGPTVKGLKGSELADGLVIKEGEYKLVKTRFSAFFATHLDSILKTAGIKNLVVVGVQTPNCIRQTVFDAVALDYEKVTVIIDATAAARPEIHLSNIRDMKNIGVDTPTLEEWPMAAGAKWSETAMLVIDMQKEFVHPAMSRLALSAGEAILPAVVEAVAVARERGVFVVWVVREHDPAGRDVELFRRHFYSGGQKGPAMKGSKGAELADVLVMEEGEYKLVKTRFSSFFATPLDSVLKASGIKNLVVVGVQTPNCLRQTVFDAVALDYEKVTVITDATAAAKPEIHLANIRDMKNIGVETPTLEEWSR >Et_9B_065969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18933650:18939607:-1 gene:Et_9B_065969 transcript:Et_9B_065969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGRGGGGRQPYAAPDGADAAAIPPANRKMVQSLKGILADRSEVEIYATLCDCGMDPDIAVERLISQDTFHEVRRKRDKKKETKTSQETRPRPFHKSLYRGYKAGSDRGGRFQAGLGDSTGGAKGPIKKEAELHAPHNSVALDSVKTSSPTETISAPGNIAKNEIPVESSAHADVKNYTSQPPSQAQHGWGVPGRPSMAEIVKMGRPQAKVASRSVASTIGMPAIVDSVTSNAPSRSPKESNRIVTATKLPNGTSEVHLSNVVSSVDITPAAEVSEVAESAGALSSNANTKGLSVADDIEKEADLDEAKEVSSNAESMAISGQFSGSAKEVGPQQTPTASHLDNGATVKTDNFQYNEHLFEHNQNSNGDMPTTMEQFDHLTLHEEDKSKPSDENPAVIIPDHLQVSNADCAHLTFGSFVSGTLDASLSVKPLNADGEVPAVSDNQAIDHSDIRTHEDENKATATPSTNEYVASATNSNMENLNVTSQGNIPNGLLPPAIPPLRDLDPAFSLLLTNPPLATMVHGTVPSSTSNAAVSMQPQENANPGGLSNAQQFAQSQPSTSIASGPPLPQHLPLHPYAQATLPLAYASMMGYPSLPQSYAYIPPAAFQQPYMNSGLFHQAAAAVPNSSVKYTLPQYKSNIALAGLPQPASMLSNYPGAFGTANNIPGNFNPNQSTQAASAPLGFDGTVPSQYKDGNQFISLQQGENPAMWMHGAGSRAMPPLAANTLYGYQGQSHQASLRQGQLASQFLGQSQPGLGPEHRNPSDSNLSAAAQPNPMWPSNY >Et_4B_039119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:866192:868107:-1 gene:Et_4B_039119 transcript:Et_4B_039119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPALLFPSPSTSSSPASARVEAVVLFNICDSYVRRPDQAERVIGTLLGSLLPDGTVHVRNSYVVPHSESADQVAIDIEYHHNMYASHQKVNPKEVIVGWFSTGFGVSGGSTLIHEFYSREVQNPIHLTVDTGFTTGEASVKAYISTNLSLGDRHLAAQFQEIPLDLRMIEAQKAGYEILESTMVEKLPNDLEGMESSMGKLYTLIDEIYKYVDNVVEGRVAPDNGIGRFIADSVASMPKLSPAAFDKLFNDKIQDNLALVYLSSITRTQISIAEKLNTAAQIL >Et_9A_062354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22108728:22113660:1 gene:Et_9A_062354 transcript:Et_9A_062354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEANAGGLAQAEQRKKVGDLGSGVKDWFFPAFLVRCHGVKSRRARGLWCRDQWCSWRLRKALSTVIISLGRVRVRWLEAKNSPEMDFFSDYGDANRYKIQEIIGKGSYGVVCSAVDQHTGEKVAIKKIQNIFEHLSDAARILREIKLLRLLRHPDIVEIKHVMLPPSRRDFRDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDSPTTVFWTDYVATRWYRAPELCGSFFSKYSPAIDMWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSADTISRIRNEKAKRYLSTMRRKQPIPFSEKFPNADPSALKLLQRLLAFDPKDRPTAEEALADPYFKGIAKKEREPSCHPISKMEFEFERRKFTKEDVKELIFREILEYHPQLLKDYMNGSEKTSFLYPSAVDNFRRQFASLEENGGRNGTLDRKHVSLPRTTTVHSNPIPPNEGPAAASQLPQRIPTARPGRVVGPVISCENGSITDPHNARRVARNPVVPPACTNQSVYCYHLKSDCSGRQQHQNEVEKDRLQYRSGHHFMDDTKVMAEVARDMRSSPYYVSRGVPKDLTDRSALQGTMLHGVAPFNAITAVAGGGGYNKAGALHYGITSLY >Et_1A_008132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4587774:4591088:-1 gene:Et_1A_008132 transcript:Et_1A_008132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHKSNPFSPKVSVAIAAPILILLARAVVSLNDVNVADRYRYIRHAASSFSSSSSTSPAAASSPPPPSSSSSSSSTSSTGACDVTRGEWVRDTEPPYYTNLTCPFIDDLQNCMKFGKPSLEFLRWRWRPDGCELPRFDAARFLEVMRGKSMAFVGDSLARNHFKSLLCILSKVELPVEVGTAPEIDVTGRAVRRDYHFVDHGFTASLFWSPFLVKANLSEATLGLGQWDLHLDTPDARWASHVAEFDLVVLSSTNWFFRPSVYYEGGRAVGRNSGARNVTELPVTHAVRAAFRTALGAVAASEGFRGKAVVRTLTPAHFENGEWNTGGDCVRTRPFRRGERVLGAIEAEFRDAQVEALKDTEAAAQRNGVELLLLDITEAMALRPDGHPSRYGHLPGGTVEGSFVRLKSTPSSPKRSAAIATPIIVLLLLALVSVYDLTFSSSYPSIHSVVSTSSSLPSPASVTRPWACNITRGEWVPDTEPPYYTNLTCPFIDDHQNCMKFGKPSLEYMRWRWRPEGCDLPRFDAARFLEAMRGKSVAFVGDSLARNHFKSLLCLLSQKAQPVEVGSSAPEIDPTGRAVRRDFRYGNHDFTASLFWSPFLVKANLTNATLGQWDIHLDTADARWAAHVADFDYVVLSDTNWFLRRAVFREGGRVVGRNAAAGGDGALRDLTEIPAPRAVRAAFRTALGAVAAREGFRGKVVVRTVTPAHFENGEWNTGGDCVRTSPFRRGERKLGDVEAEFRAAQVDAVRETEAAIRRNGAALLLLDITAAMDLRPDGHPSRYGHPPGGSVEGTFVVDCLHWCLPGPIDLWNELLFQMLAAGQ >Et_2A_014850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18596227:18597024:-1 gene:Et_2A_014850 transcript:Et_2A_014850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAKQHRKRNGRSKSFTGGGLASFLRSTVASFSSTFPTSSSRGARSSFNHRNAFSGPIVSIVPPEARRGGGRRRQHQASGYRTPEPSSPKVSCIGQIKRSKSKKKQAAARVNPCGKPPPAAACGSSRPKGSLVKRVLFRRSRSRSGSSSSSRSSSRGGAGGGKAAAAGAVAADSAPAGGLGQMKRFTSGRAAFQDFDWRDAEMGVHDEDYDEGFVAHSAPLVLGGGVVASEPKKEVNLWRRRPIAPPTPLRLP >Et_3A_024246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18910844:18912429:-1 gene:Et_3A_024246 transcript:Et_3A_024246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAGGSSLPSASCPDAQKRRVCYYYDRGIADVDYGPEHCMVPRRVDMAHALVSSYGLLADMKRLRTRPATEKDISAVHDAEYVGLLRDLTPERFSAEGSSSMRSKAESFHVGKVFKYRDGHRSIDNPAMAGLWDYCQRYAGGSLAAARALASGEVDIAINWSGGMHHACRERASGFCYVNDISLAIHELLAHFRRVLYVDIDVHHGDGVETEFLESCRVMTVSFHQRTIGFFPENTGFVKDVGKGDGLHRALNVPMKEGMDDEGYHRMFKPVMTKVMEVFQPEAVVLQCGADSLSGDRLGQLNLSIAGHAQCVAFMRSFNVPLLLLGGGGYTINHVAACWCYETAVAVGKEIDDDIPEHWYDHYYKTQGYKLHYPVGKARRNDNTDKSMTDTINDVFENLSSLEKAMPSVQFKDPSGGSIDAKALFYDSPPEEEDHPMVRLQRRCQEVDRRRFYVELGKRQMALHTDPHSYRPEVVNKYRL >Et_4B_038703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4074326:4078372:-1 gene:Et_4B_038703 transcript:Et_4B_038703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMGSRAAAAAGDVRTEEKEMDLLLSEIPHVTSPQGQQRAATAGSGVIGHGNGGVHGGAGGGRGFAPQRYGGEDADFAVAANRRDDGGQVQGGGGGGFHAPFSVGVGSAPLPASSPFVGGASSQLAQAAEDALLANQLRGLNLVDAPAVHAQAALQRQGPQVKNAAPEDFPAAHNGYYGYNFGAPGTSVHHEHSFIDQAKVMGYVATRPQRFVSDVGLDGYGCFARGLDAGIGGVVYNRVGNGIGFGWGQGLVHPDLAESYVRSGQAGAEHNWEFFSPSPIALDARGGPKRHYAGGFSPFEAFRCENSLMLDGKKNINFLDRGRDRKHQQYVNNRAFDLGNSKTLRYENMVGVKGYIYFMAKDQNGCRFLQQKFEEGKDHVDAIFEGIIDHIAELMTNSFANYLVQKMLDVCDEEQRLRIIAVLTEDPVKLLRISLNTHGTRAVQKLIETVRSRKQIALIISALQPGFMHLVNDLNGNHVIQKCLTNFGAEENKFIFEAAATHCFEMAIHRHGCCVLQRCISSARGGYQAKLIVEVCAHGFELAQDPFGNYVVQYVLELKIPSANAHLASQFKGRYVYLSKQKVSSNVVEKCLKFFSDNDKAVIVHELIKGSHFEQLLQDPYANYVIYTALLHTRGPLNTDLVEAIRPHEEALRTSPCCKRISRALSRR >Et_7B_055592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16038141:16039435:-1 gene:Et_7B_055592 transcript:Et_7B_055592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWPFSSLSRLTNCRYKRMEWGVGMEIGGEVRRNYTKGKFDVYHTYVINEDFKRLRVYGKDEGNDKDDGNDKDEGNDKAKYCGDTMWKGFGGITTSKYQKHLEK >Et_8A_058368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5967901:5968769:-1 gene:Et_8A_058368 transcript:Et_8A_058368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAVEDLIRRLLDGKKHKVTGKKVVLSEAEIRHLCAAAKEIFLSQPNLLELEAPINVCGDIHGQFSDLLRLFEYGGLPPTANYLFLGDYVDRGKQSIETICLLLAYKIRYPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKLFTDCFNCLPVAAVIDDKILCMHGGLSPDLDSLDRVREIQRPVDVPDQGLLCDLLWSDPDRESSGWGDNDRGVSFTFGADKVAEFLNKHDLDLICRAHQATARS >Et_6B_049561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6601123:6601477:1 gene:Et_6B_049561 transcript:Et_6B_049561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAAQLKDMFFVLVERVTGYGRAEEQHPAAGAQQPASGHTEIRARGIDKIPDDVPNVSRGSLPQ >Et_5B_045602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5262480:5262941:-1 gene:Et_5B_045602 transcript:Et_5B_045602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQPPVGVPPQQGYPGKDGYPPQGYPPAGYPPPQQGYPPQGYPQQGYPPPYAQPPPQQQQSSGPSFMEGWYVPLPLLSSPLLSSPLLLFVQRRLLILLSVQRS >Et_10B_003194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17541401:17543997:1 gene:Et_10B_003194 transcript:Et_10B_003194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVEAEEQQTEPPDEDDEEEGFVEKDPTGRFIRGKKMCTVNSYKAFDKVEGVEVAWSQSQINDSVMGCSTKMEQLNTEIQLLRTLRHKNIVKLFASWVDEDKGIVNIVTEYFTSGSLRQYRKKHKKVDMKAMRRWAVQILTGLEYLHSQKPTIIHRDLKCDNIFINGNHGKVKIGDFGLATFMQQQKTQSIKGTLEFMAPELYTGNYNELVDIYAFGMCMLEMVTCEYPYSECQGMGHIFKNVSQGKKPAALNKVKDVEVRSFIESCLAPASERLPAFALVEIEDVTKDGDRSDSFVFRKGEFLLKGHMEVTNPVVLSLRFPDPYGGFKTAEFPLDVAKDTGLSVAMEMAEQFELPQGSIEIITELISAFLLVLIQYWRRSCVSMP >Et_1B_012568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33303181:33303600:1 gene:Et_1B_012568 transcript:Et_1B_012568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSPAVPMFPSVPPPALQAGGRMNKKRAGLPKLLHKLFIKVLRLKPVEEETTSAAAFEAYYGAAYGSSWAGVLSSIPEEEDDTSDEDGGAPDVVLRKAKSERFVVRPPNAATVVHVEVLL >Et_5A_042054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5725639:5729213:-1 gene:Et_5A_042054 transcript:Et_5A_042054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVVSAPLRRAVNPDHFHRAEAGYKDPHRTRISFRSVAGLDCARVHGHVQHSSVGKSGVVGAYRSTTRGHHHHLPLPPLSSLARSPRPPASQPAHPPPPAMVSLAGSQIPSPPPGQSPCASARPLRRPGHSMRTIRSALLQPDSAPGSPTASRADDSDMENLTDSVIDFHLSELAATAGPAHPAAVAKSSSAINAAATELLDLSRDFSDYCSSFNSDISGELERLAAAAGALAPARSATPEPAVDLDELESMDLSAEAAPLERVEPFVLACVRALGAESCPDARRAAAARIRLLAKHRSDIRELIGVSGAIPALVPLLRSTDPVAQENAVTALLNLSLEERNRSAITAAGAIKPLVYALRTGTAAAKQNAACALLSLSGIEENRATIGACGAVPPLVALLSAGSTRGKKDALTTLYRLCSARRNKERAVSAGAVVPLVHLIGERGSGTSEKAMVVLGSLAGIAEGRDAVVEAGGIPALVEAIEDGPSREKEFAVVALLQLCSDCPSNRALLVREGAIPPLVALSQSGSARAKHKAETLLGYLREQRQGGGCGAGPVAATSLARDDTYESKICKSWINLKDNAGPSAGDRWDQAPGDKMGITSIALHSSDNLKSLSSQAG >Et_2A_015843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18345351:18346439:1 gene:Et_2A_015843 transcript:Et_2A_015843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAKIPMRLITEEGGDDEEGAGHATLCDVGVAVVVCSGPGGGAPGDVWESEEGVIRRYRALPPEMRGGPHTHLNYAKGELGKEKARLARTRQAGPLALAHSSLPEAALKDVALEELLSSIDAALLAAAERRKALGLPDVVDDDDDAEEGGRLGQAGAPCIAGEGFEFEGGMDGWDDGIITDSNSYVDVNYGSQMQMVPGSVDAPSSSHAFAPDSTAHMSTQHCAPGIVGDNFTGAPGVGMGGNDSVDANLVSEYTTTQCCFADDLQCPYTSQDFGLNYLGDIADGVHF >Et_2A_015023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26473837:26475033:-1 gene:Et_2A_015023 transcript:Et_2A_015023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRSNNKCRRDVTEAAASDSRHFEEAEERSITNQAMIQQLNMLRKEMYRGYYALDSFRGVKELEQVSTNLRNIIIDMGEFITFLKNYSPRYHEPYSMHLILDKYMFGRQMEIERAMNFLMQSVGKSTLVAHVYNNDKVRENLSRIVLVTQDDLKDSPLTSLEGLGVIIHQNNPMHCGKGRFLVIVELSQDVDEATWKRLYVASAGCLESGSKIIVTSRLKTIINFGTTQALMLNILPIEAYWYFFKVRTFGSVNPEYQPKLESIALEIAKEMNGSFIRANITSSLLRNSFSAEYWLPMLAFWRKSSQRITSMLTEIGYNDIKPQYFRRRDSYTLCSMVSTR >Et_1A_005955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1636092:1642875:1 gene:Et_1A_005955 transcript:Et_1A_005955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIARTTQASATEYYLHDLPSTYNLVLLDEHERRLERIRNALKGIEGSHVWPFQVWLQTDKAAYLLRQYFFNNLHDRLSTRPFLSQIAKKWLAFQLIHAVEQSHSKGVCHGDIKCENVLVTSWSWLYLADFASFKPTYIPDDDPSDFSFYFDTGGRRRCYLAPERFYEHGGESQIVADAPLQPSMDIFSLGCVLAELFLEGQPLFELSQLLAYRRGQYDPVHTLEKIQDTGIRDMILHMIQLDPKERLSCRDYLQKYGSVVFPTYFSNFLHKFFSDIVPLDSDARVEKIQESFEKIHEIMMMGNSYMEQIQGSVLCEHSESDGKKGRRQMLDSPEDSTKNTPAVKKNTPGDHQQIVGDLSSLLKEVEQRNNPTRTKAKDDLEHYVAASISGGSGNHSLQLVNQEGKQAGNGLMSQINVVSHVQTISKSNLCSLVSGYDGQSDTYSFDIFQQMDSKVSCEGMVLLASLLCSCIRSVKKPELRRASLILLRISSTYIDDDSRLQLVVPYVIAMLSDPAAIVRCAALETLCDVLCLIQDFPISDAVIFPEYILPMLSLLPDDTEESVRVCYASNIHKLALTAYRFLLRSRSIADVGPLDESVIALRPQPAESTVKKQDKIDGQLAHLRKSIYEIVQELVMGPKQTPNVRRALLQDIGYLCYFFGHKQSNDFLLPILPAFLNDQDEQLRAVFFGQIVFVCYFIGSRSVEEYLLPYLEQALSDNMEAVLVNALDCLTMMCKSGYLRKRVIVGLFGKVLPLLCHPINWVKRSAVRLVAACSESLGSVDTYVYLSPHLRHFLHREPPSLSSEAAILSCLKPPVSKSVLYQALEDAQDTGDVLLKSGAKKELTARNGSSTIIDDVARLRGPNISSNISFDMKDSVSFDKSLYSGFALHASSGNSSFYDGLSKGIPSYSVSTEKRGLGETHMVSDSSVYKASVRLPWLEPNRPGVQTRDDHFSSKVRDLSINDSMKRSSSLQGQGDGISNSDTVGSPSFTRSAVNSETGWRPRGVLVAHLQEHRLSVNDIAVSNDNTFFVTASDDSSVKIWDTRKLEKDIAFRSRLTYNMGTSRALSTTMLHGTSQVAVGASDGTLHLFSVDCARGVGSVVERYSGIVDVKKKDIKEGAILSVVNCSLDSFSPTVLFSTEYCGIHQWDTRTNKESWSYKSSPEEGYISALVVGQCGNWFISGSSRGVLTLWDNRFLLPVNSWHYSTVSPIEKLCLLVPPPNSISSAGRPLVFVAAGCNEVSLWNAENGSCHQVKKNFSYLYFLSSVLRISHPQIYHFHILLMAS >Et_1A_006841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28164480:28168732:1 gene:Et_1A_006841 transcript:Et_1A_006841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNREVVEGSITDHWVFFKEQLLITGDNTEHQLELKKFFILKQKGRYRFVTSGLFILGRNIFTIGPTLSNIQPSAPLSVARLAGSKNRSVPSSPPISNAMRLPAILADPRRLRRVLSSPGATVATLARIHALLVVSAFDQLLPSLATAYARAGALDAAESTLADPAASASPSSIAAWNALLAAHSRRGATEDSLRVFRALPPAVRPDSTTFTLVLSACARLGNLDAAEAVKDRAFSAGYGSDVFVCSALLQLYSRCGAMDEAIRVFEGMPRRDRVAWSTMLSGFVSSGRPVEAIHTYRRMRECGVEGDEVVMVGVLQACSATRDARMGASVHGYLLRHGMRMDVLASTSLLDMYAKNGQFDVARRLFGRMDYRNAVSWSALISGFAQNGLANEALDLFREMQTTGLQPDPATLVSVLLACANLGLLKLGKSIHGFILRRLELDCISATAVIDMYSKCGSLASAQMIFNRVGSRDLVLWNAMIACCGTHGRGDDALALFQGMNEAGIRPDHATFASLLSALSHCGLVEEGKFWFDRMISEFSIQPAEKHYVCIVDLLARSGRVEEANDLLTSMQIEPTIAIWVALLSGCLNNKKLNLGESIAEKILELQPDDIGVLALVSSLYAHAKKWDKVREVRKLMKDSNSKKVPGYSLVEVRGTRHAFVMEDQSHPQHQEILKMVVKLDSKMRKMGYVPRTEFVYHDLDEHVKEQLLSYHSERLAIAFGLLNTSPGTRLVIIKNLRVCGNCHEAIKYISKIADREIVVRDAKRFHHFKDGACSCGDYW >Et_2B_021894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6621088:6626692:-1 gene:Et_2B_021894 transcript:Et_2B_021894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGVADVSLAKRARIVELSRRLRHRGPDWSGIHCHQDCYLAHQRLAIIDPASGDQPLYNEDKTVVVTVNGEIYNHEELKAKLTSHQFQTGSDCEVIAHLYEEYGEEFVDMLDGMFSFVLLDTRDKSFIAARDAIGICPLYMGWGLDGSVWFSSEMKALSDDCERFISFPPGHLYSSKTGALRRWYNPPWFSEIVPSTPYDPLAVIKRLMTDVPFGVLLSGGLDSSLVAAVASRHLTETKAARQWGNKLHTFCIGLKGSPDLKAAREVADYLGTLHHEFHFTVQEGIDALEEVIYHVETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKKEFHEETCRKIKALHLYDCLRANKSTSAWGVEARVPFLDKSFINVAMDIDPEWKMIRRDLGRIEKWVLRNAFDDEERPYLPKHILYRQKEQFSDGVGYSWIDGLKDHASEHVTDSMMMNASFVYPENTPTTKEGYYYRMIFEKFFPKPAARSTVPGGPTVACSTAKAVEWDAAWSKNLDPSGRAALGVHDAAYDAPEQAHASSDPVPDSGLRPAIGESLVASVTAV >Et_4B_036407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22191004:22191273:-1 gene:Et_4B_036407 transcript:Et_4B_036407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLALLISVMLLSTAMVSGIRTAGTVGAGAPGPAVATTQAAAVEVTSPPVAAVAADSMRKVPNGPDPIHNRY >Et_9A_062320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21791954:21793242:-1 gene:Et_9A_062320 transcript:Et_9A_062320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGDHLSSKEAMHRHAVIVPRLTEAYNFINEMPITPDVAHVELGEFAAKVILELEPNDAGHHVLFCVLYADAGR >Et_10A_000463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10701998:10707623:1 gene:Et_10A_000463 transcript:Et_10A_000463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSVGKSVLSGVLGYAKSAIAEEVALQLGVQRDQAFIRDELEMMQSFLMAAHEERDEHMVVTTWVKQVRDVAYDVEDCLQDFAVRLENASWWHISCMLLDRRDVAKKMKKLRAKVEDVSQRNVRYGIIKGSGSKLNNAADKSNLAGSATMFGIEEARRQQDKAKSDLIQLISRKKDDLRVIAVWGTSSVLRETSIIKRAFDDPKMCSKFEYRAWMKLMHPFNPAEFLQGIMRQFCINCLQEIGEIQDKATVGAQVLQRMRKMKVDCLAQVFKEYMVDKCYLIVLTDLSTTEDWDQIIACFPNSKVGSRIIVCTEHVEIASLLCFEQGSGVPERKQLSADQALYAFCEKGSEDGDQGADVTEADSSSNAAVANNNNAIDMKSLTRIESMVAAYKESQLIGRGKEKSDIIKLISNEDSRQLEVISVCGMVVLSQELSGMFQKRACLTVTRPFNPEEILRSLAMQLDAEYFENKEMAGLVSSEINQGVQQSLDGKKYLIILDDLSSMTEWDTIIQHFPNTEKASRIIVTTRVEKVAKHCSRKKGNIYKLKALEHKDACDLFTEKVFGKITDLDEQHPELVEEAKLILRKCNGLPLAIVTLGGFLANQPKTPMEWRKLNENVSAELEMNPEIGMIRTVLLKSYDGLPYHLKSCFLYLSIFPEDHSISRKRLVRRWTAEGYSCEVRGKSAEEIADSYFMELIDRSMILPFKSSFGSTKGIDSCQVHDLIREISISKSMEENLVFRMEEGCVINLQGTIRHLVISSNWEGDQSEFESTVDVSRIRSLTVFGKWRPFFISDKMRSLRVLDLEDTSGLANHHLEQIGKFLHLKYLSLRGSRAISYLPDSFGDLRQLETLDIRETNIVMLPKTIIKLRKLRHLHAGRKLTYVQEPEYLEKCVDLPCFLLLFCVSCCAPQIVGIDGFNRCDACCAALPRLTSGLNGVAVVPRGTRKLKALQTLRVVHLAWGNAVLQEIKRLTQLRKLGVVGINIKNGPEFCSTISNLRRLESLSVQSDGEQGLHECLDGMSSPPKNLQSLKLNGVLVRLPEWVGKLRNLVKLKLRGTRILDLDAAILVLGNLPNLTILRLWWNSFQGGVLHFKSRAFRNLMVLELCELWGTDLVKFDDGATPKLELLRIKYYSGISVTSFSGLEFLPSIKEVRLNIYFIPRLTDPCIDAIMAGIDHEAKIKEDLRIQLAKNPKQPILKVE >Et_8A_057641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5644032:5644985:1 gene:Et_8A_057641 transcript:Et_8A_057641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEWHIDYLKKQHTGFMFRKPHHMLCADALNKKFAMGVIVGQVDRHYRYHKENWKYIATALSKSGNLFDNTRCLVIISESKKSTLCDRARRLLNKPIKFFNEMQELFTGSSADGFFAADQNTCMGDSDDPFSEDSDTLPTPATHENVDNNSSSTSRGNIKRPKEKKTPPTEKSQNKSRLAESTEEITATMKVLVGEHLSSKENKGKRSWLCSASAETLHAWVFKFLCEKEGINL >Et_6A_046408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14491322:14502150:-1 gene:Et_6A_046408 transcript:Et_6A_046408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNGDFGTYVDWDMYRRTFHSYETELEYLSYCSELSEKLKWMEDYVLIEAPSVKWGKISTRGAFQAMKLAITFSKITPSLADTAYYECVQNMRWNALWLKNMVELYFEIWLFVTKQKKNFRFAMEEVYKSNKIPIMVLKLQNALEYDCSEMETEVERPKFYQHYIRKKIDIAQSIGLIAV >Et_7B_055898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:757995:758726:1 gene:Et_7B_055898 transcript:Et_7B_055898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAASILAAAASKRPAASDAEHLVVDSSDALPQGDDDAARKSEQPQQLECPRCRSTNTKFCYYNNYSTAQPRHFCRACRRYWTHGGTLRDVPVGGATRRSGSGTKRRRVSAEPSSSPPPQRVEDACTVDLSAATAAFPFLSDGSFLLPQFDLGAVPPATAFSSWPSAVVPDFFDGIAPWDVDGTGGAGAWGDIAGGMELTWPPLGN >Et_9B_064191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12474714:12478421:-1 gene:Et_9B_064191 transcript:Et_9B_064191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPHRWQTDGGRSGECFHGPDETLPSKLTELLSEEYVKLKGVRKQIKFLRDELSTMSATLQVLGDAEVLSPPLKDWRDKVRELAYDIEDCIDNFMSCVDHVAPKGFKGFVRKLKKLTTRHAIANQIEELKARVMEVSERRLRYNLVELASNSKTFVDPRLPALYEDIDRLMGIDGPKKHIIEQLSMLTKEPTSKLKVVSIVGCGGLGKTTLANQVYHTIKNHDRYFVLIDDIWDEKAWETIKLALLDTNCGSRIIVTTRSVTVASCCSAHGGYVYQLKPLSFNDSKRLLLRRALGDEDSQNPHLEDVLVKILQKCGGLPLAIITLSSMLCDQHSKREWDRVLNAIGSALAKNFDAERMTSILSLSYFDMPHNLRTCLLYLSMFPEGYKIKKQRLINRWTAEGFIHEEQGRSAYEIGECYFNDLINRSLIQPVGVKYGQAEACRVHDIILDYIKCKAAEENFITSLDDVGQGYISEYKVRRLSVVNIDENVTISRDLVLSHVRSLTLFGHAVQTCLLPFMALRVLDLEDCSYLKDHHLANIENLFHLKYLCLGSKFITNLPEEVGELQCLETLDIQNSGIRELSSAITKLQRLSRLYVHWRTRFSDGMIGKMQNLEELQTFGVWSFKLGASMQEFTQLTKLRTLVLSLRFDCLDISEQRIQLEEDLHSYAGVLISSCSLHHLYILDYDNSPDLDSSLDFWRPAPQCMIQKLHITYCFINRVPNWISSLGNLNELKLFISCMRPEDVEILGAVPSLGFLELKSLYGADGRIVFSGEKTFRSLKYFSLSMGLCGSVIGFEKGSMPMLEYLRLVFPVHEMECLNSVSDFGLQHLSNLGNVEVEFGGKCANGSNYKRQGDIVDAVLESVESLIRSAVQKLQKRPVVIFRR >Et_3A_027239.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:5657994:5658557:1 gene:Et_3A_027239 transcript:Et_3A_027239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSHKRAREAGDEPASPELSNESKKLRPEDLLDMLDDETDAAAADDLASVMRSLEEEIGSFDDEAVAAGELAATPQPELGFLLETSDDELGLPPAGASSSSEEAGAGEAEVAVGLDEQIWGFEDEIAGGSGEYGGSSPEAAAAIAAAAAAGWDDEGFDAGLFTFGDESCGPSDLVALRHETMPAV >Et_7A_052728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14503618:14506596:-1 gene:Et_7A_052728 transcript:Et_7A_052728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALSVLNSSATCCAPSGTMPVRMALTQRPRVRWRQRVLLTRRVDNVDGARLRTGVATRRATHLAHFGDAAVGVLGDEHLASEDAVRGGALPGTNFSDENNGNIGGTRAVQQPFFVVSSSSAAAHRETERQYCRNLSIAKPVSTQK >Et_3B_028565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16872356:16875639:-1 gene:Et_3B_028565 transcript:Et_3B_028565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDESGPSSRDSSELPPELLVSVLSLLDEIRDIFACAAVSRAWRAGCAAVRRLGLWPDQGPYLVHSSADRDPGTATLHNLSTGRSFHAALPEPSFRGRYIVGSSRGWLVTADERSHLHLLNPVTGAQIALPPPDTMFGVILSSDPSSGGDRIVLLMHRPYERLSFARVGDTRWTWINAMENCSWYRDFFYNDEDSLFYAVRGNGEIHTVDLRGPSLEVKVVYKVESRIMSYTRYLVQAPWGDLLQVRRQYRDPTSNTFDSDYGYESSDQEEDTSSVDEESEEEGGDEQEYIPSDEYERDLTGKLTVSKVDLAEQKVREIKNLQGHALFIGFNSAFIVQTRDYPILVPNCVYVTDDYKDCIFCHPYSERQLARVNLEDGSFTDLSESFLVYLHGSRESDRDFSLLGW >Et_9B_063899.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:20132784:20132843:1 gene:Et_9B_063899 transcript:Et_9B_063899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYPSTKEQPRTMRPLHFI >Et_1B_011097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17514867:17518116:-1 gene:Et_1B_011097 transcript:Et_1B_011097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHLLLVVALALAVGMGHAAGRSHGLRAHYDRVFSFGDSLTDTGNAAILPGETHFHHPSGRASDGRLIIDFIVESLGVPQPTPYLAGETAADFRHGANFAVGGATALDPAFLESRGVRTFVPVSLGNETAWFMDALQLLAAGSSAHSKLSTPGTRAVPQDSRKRRFPASSIFYFGEIGVNDYLLALFSNRTVDLARDLVPHIIAVVRSALTQNLAAGRPACKVQAPGRWWSPPELLALFPGDAGDYYDRASGCITRFNDLAELHNRALNRMLRKLDRAHPGVSVLYADIYHPIADAIASPAKYGFGDRPLAAYCGGGGGPYHFDMAAFCGMPGSSEWSDPSSEYLSWDGIHFTEAANRFIAGAMLRGLYNVSTLSNLQGMNLVSRKLKAHVMSLEPPTHQVKKSNLALKATRSGTGQDPWRSLHPPSDPMLNESCGSQGTLALQVLLRGRLPWVAAKRSSSLASSPKKS >Et_2A_015624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16103031:16103694:1 gene:Et_2A_015624 transcript:Et_2A_015624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPSSSPRRSAAEEQALPTRGAGGSGSRYQSHNVGDTTDPVRLPLANLQPVGQHAAVLSVPPFLLHAMTTRRGTTSSASTSSLMPQSSTPAVALRTPTPTGCTTEFEPITMYVLSVCANKVLKPVLALNQ >Et_7B_053750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11984480:11987181:1 gene:Et_7B_053750 transcript:Et_7B_053750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDQGTLVFGLHLWELVGIGVGAAFVLLLVLLSLLCLLASRRRRRRRAVQATPVLHLPVTAPNAQPKNPAKPPKDIQEVPSRGAAAPVAPPKAPLAQVLQAPPPDSIQIDTGKEHRITFPEQPPPPHHQRSGGGSSRGASGESRGGGGEPGVPEVSHLGWGHWYTLKELEDATCMFADEKVIGEGGYGIVYHGVLEGGVQVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVNNGNLEQWLHGDVGPVSPLTWDIRMKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKRWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLVEWLKTMVSNRNSEGVLDPKMTEKPTSRALKKALLVALRCVDPEARKRPKIGHVIHMLEVDDFPYREERRGGKAPAQVKSVETPASDPGDSSGNNTPKDAPKGEPFKWRT >Et_9B_064167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12007772:12009318:-1 gene:Et_9B_064167 transcript:Et_9B_064167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKLLVDSSAEAAAAPPLSRVATSAAHGEDSPYFAGWKAYDENPYDAVSNPGGVIQMGLAENQVSFDLLEGYLRAHPEAAGWAGGAAAGSGVASFRDNALFQDYHGLKAFRKAMASFMEKIRGGRARFDPDRIVLTAGATAANELLTFILANPGDALLIPTPYYPGFDRDLRWRTGVNIVPVHCDSSNGFQVTASALEAAHASAAAAGMRVAGVLLTNPSNPLGTTVTRAALEDVLDFVARKNVHLISDEIYSGSVFSAPDLVSVAELVESRARRGGDDSAIAQRVHVVYSLSKDLGLPGFRVGVVYSYNNAVVTTARRMSSFTLVSSQTQKTLAAMLADADFAETYIRTNRERLRERHGLIVAGLARAGVPCLRSNAGLFVWMDMRRLLAGDATVAGELRLWDRMLRELRLNISPGSSCHCSEPGWFRVCFANMSLDTLDVALARISRFMDRWNKEQATIL >Et_7A_050612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6527386:6528552:-1 gene:Et_7A_050612 transcript:Et_7A_050612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLAITGTQPTWVPYEPTKDCSQGLCSMYCPQWCYFIFPPPPPFDAGGQSPDDPSGPIFSPLVIAIIGVLAVAFLLVSYYTFISRYCGTFGVFRGRVFGSYGGGGGGHGQSRSQESWNISPASGLDETLISKITLCKYKRGDASIHTTDCSVCLGEFSDGESLRLLPKCSHAFHQQCIDKWLKSHSNCPLCRSNITFVTMGMVTPESEGRGPDQSGRNANTREVVVVMDDLEIMCEEQQNAERSNDGDDHEATGDAERPDEVDSKAEIREEGSLAKSGPSSSSAPNHDGRMSIADVLHSTMEDELMAARESGLLAAGAGTSRRCHGENSKEWGRSSRRALQDAMDSVATKRLPPAGRSCFSSKNGRGRDSDLPM >Et_4B_038138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26601199:26605118:-1 gene:Et_4B_038138 transcript:Et_4B_038138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPPSLSIGPSFAAPGAPAAAQAASRDQDMATAEQLVLNLCNPELRERALLDLSKKREIFQDLAPLLWHSFGTIAALLQEIVSIYPSLSPPTLSPVQSNRVCNALALLQCVATHHDTRPPFLAAQIPLYLYPFLNTNCKARQYEYLRLTSLGVIGALVKVDDPEVIAFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKIMLDDTGLHYVCATSERYFAIASVLATMVESVAEHPSPRLLKHIIRCYLRLTDNARACSLLQKHIPISLTNGTLNKYIQ >Et_5B_043459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10724273:10727531:1 gene:Et_5B_043459 transcript:Et_5B_043459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAQGRVLLGLLLLVAAAASPEDFLRLPSSAPRQLAPRFPRTDAVDLIRALNLHPADASPRRPSTEDAAAPAGTLVERPLRLASLAGGGAGPSVADLGHRAGYYRLPNTHDARMFYFFFESRGRKEDPVVIWLTGGPGCSSELALFYENGPFHIADNMSLVWNDFGWDQASNLIYVDQPTGTGFSYSSDSRDTRHNEASISDDLYAFLQAFFTEHPKYAKNDFYITGESYAGHYIPAFASRVYQGNKNNEGIHINLKGFAIGNGLTDPAIQYKAYPDYALDMELITKSQYNKISKIVPTCEFAVKLCGTSGTVSCLAAYVVCNTIFGSIRTIIGSKNNLLIVTFVLLQYYDIRKPCVGSLCYDFSNLEKFLNLKSVRESLGVGDIEFVSCSPTVYQAMLLDWMRNLEVGIPELLENDIKVLIYAGEYDLICNWLGNSRWVNSVEWSGKEAFVSSSEKPFTVDGKEAGVLKSHGPLSFLKVHDAGHMVPMDQPKAALEMLKRWTSGNLSDPSSSFQKLDFTM >Et_1B_010684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1333199:1333605:-1 gene:Et_1B_010684 transcript:Et_1B_010684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSMALAAAVFLLLVLTTMEAEAIRLDAESSAAVGQQMPVNKPSENLAKDDSSKKLTKDAPGNSIDEEKRSVSAKDVRATAHKLPEFHEDYYGASVHEPRHH >Et_9A_062104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19774969:19777973:-1 gene:Et_9A_062104 transcript:Et_9A_062104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRSQCAVKTPSQPGGGGSISKTQGLQKKMKKQHRRPLLFRISAGNKMVIPFAPFAYLVREITEFHSRKVTRWTPEAILLLQDRIGSAVVLEELEGSQYMGEHIVTEPAATATARQQRKQVEPSRMASTPAKRSRRRNAEAPAEGADIRELWAKRTRWPSSRYPATDWVVRGNS >Et_1A_006191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1949471:1961915:1 gene:Et_1A_006191 transcript:Et_1A_006191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAEEPAATGNGVRRAPSTAPSARVSIWESVRACGVWGREVEKADLRRQVVMPLYLRRAVAAAVAAKDEAAGVAAASSADGEAEVEEGPVVAPVVVFVNSKSGGRHGPELKVRLHELISEEQVFDLSVVKPSDFIHYGLSCLERLADQGDNRAKSIREKMRIVVAGGDGTVGWVLGCLSDLYKMKREPVPPTGIIPLGTGNDLARSFGWGGSFPFGWRSAVKRYLSKAATAPISRLDSWQAVILMPEGEIKELPYALKKGEPSDLLEISQANGSELPEKASCYKGVFYNYLSIGMDAQVAYGFHHLRDEKPYLAQGPVANKLIYAGYSCTQGWFCTPCAAGGLRTFCDFTLKRSIVLSGSNVRSLVILNLYNYGSGRHPWGDLKPEYLEKRGFVEAHSDDGLLEIFGLKEGWHASFVMAELIKAKHIAQAAAIKFEMRGGEWNRAYIQMDGEPWKQPLIQDQSTILEINKCNIVCQPKSIALRKTLSCKIGHCAFYNVLNCHVDLCTSTGPAAAASILCSNEVPSSDAGEELAFISEPVEMLNGLMPMASSSLASCTATPFASRPPLMVSSIHLCVWCPAVLTDSSFHVLKVGDLMSFWS >Et_7A_050950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12813755:12814937:1 gene:Et_7A_050950 transcript:Et_7A_050950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVLPFAVALLACVAAVGAQPVDPNQPDNPILSDPNVIPVHIYPGEGPTYVSCYNNTNGQGTDPTCSLFARQCPRGCPDTCYVHCPSCKLVCLCDLTGTGCQDPRFVGGDGNKFLFHGRRNADFCLVSDANLHINAHFIGKRNRKSARDFTWVQALGIRFGGHRLYLGVKRTVTWDAAVDRLAVTFDGAPVELDAAEGASWSPASAPALSVFRTGAANGVVVRLDGRFRLVANALPVTEEDSRIHGYGLTAGDSLAHLNVAFKFYAIGADVHGVLGQTYRPDYVSAGVDVGAKIPVMGGAARYQVSDIFATDCEVARFTGDNGVAGSLDVLQEPTDALCGSEKGSTGLVCKK >Et_1A_009042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26784607:26786580:-1 gene:Et_1A_009042 transcript:Et_1A_009042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVQVEPNHGAAGSRCAPPWKLYHNPHYCSASRSSCQDRDAESPMIVVFDEEEEEVELDQGYGAMSSELELCVERIRELRAELEFERRMRRKAEALGEALAAELGAERRRGEAAEAACRSLREEAGAARVAVERALETVEEERRMLRVAELWREERVQMKLADAQAAMEEKLQEIDDAVAEIHAAAAAAVTGGTNRSSCCSNSSPVGKSSPSRSQHGQQSPSRSQHGQQSPSRSRQQHGHRRDAGGGGGENPHIRRGIKGCVEFPKVVRLRPREERVDLVSNLECQRAQLRVLMRHRSPAAVMGLIGAAENLVVNLPPDSTSAPGILLLPWFIATFSTGGGAGFGGTATATGSTALGTPGGVLHRMSAQFTPSKNGCCFISVAPLLTPSRLCGSLASRPLIRSLLALLVAGQSGNLSCWPTTLNSVARFVWPLNGVLPYMSSCRKTPNVHQSTALPWPSPLMISGARYSCVPTNDIDRAPVGSTTSSGRPADACC >Et_4B_039942.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:6430786:6432153:-1 gene:Et_4B_039942 transcript:Et_4B_039942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLARRARRATKTTAAPPAPPLGGDGVELAIPAHFRCPISLDLMRDPVTAPTGITYDRESIEAWLDTGRAVCPVTHAALRHEDLVPNHAIRRVIQDWCVANRSRGVERIPTPKIPVTPVRASELLFDLADSARRPGRAARCAEAVAEVRALARESERNRRCLASVGTGRVLAAAFESLAAADDDGEQVAAGGGVLERVLAALACMMPLDEEAARILGSPSSLGPLVAIAEHGSLAGRMNAVLAIKEIVSCDAGAFTDKEDEVVDALVKTVNSPICPQATKAAMVATYHLARADERVAARVAAAGLVPLLVEALVDADRSASEKALAVLDAVLASEEGRASARAHALAVPVLVKKMFRVSDLATELAVSAMWRLGRAAPRDGDGGEEEDDDDAVTRCLVEALRVGAFQKLLLLLQVGCRDATKEKATELLKMLNKYKGLGECVDAVDFRGINRLS >Et_4B_036548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29334462:29335347:-1 gene:Et_4B_036548 transcript:Et_4B_036548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAQLRRFREQDHLDRLLWSLLPLQPQDTAHLPLRLRPGRRRRRRHPLRHAGFGTYCFDIARKEWSRVGDWMLPIAGKAEYVPELGLWFGASRKDNDYLPCVCDLSSALDGDEPELRCKWDNDRFPATMSHMVNLGAGRFFTIGYNYNETVVPNACHWGYPVTGQCCAVLGGLELKPGTCSVDEPQLIFHKSKILMSDGDAVEIAEVL >Et_4B_039197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8641620:8649391:-1 gene:Et_4B_039197 transcript:Et_4B_039197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METDADDAPLLAEEPLRPGACSRELELREFRDRYVIRSLDGEGRGFAVARSDGSLRTLSTEEAAAGSDCKVSRIYGVAGIIKLLAGSYVLVITSRNDAGSYQGSPVYHAKSMKFLCCNEALKHLTSQEKKDEAYFMSLLRIAESTCGLHYSYDRDLTLNLQRASKLAAGRIHKPLWKQADPRFIWNKNLLEELIEAKDTPVRITLFSRRCNRRLGTRMWRRGANLEGATANFVETEQMVEYEGFTSSFIQVRGSIPLLWEQIVDLSYKPRLSVIEHEETPKVVQRHFHDLSQRYGETLVLDLTDKRGDEGNLSNAFAAEMEKIPSIRYVHFDFHHVCRGGNFGNLQVLYSQIEECIQKQGYFLINSKGEILLEQSGVVRSNCIDCLDRTNVTQSFLARKSLDSQLQQMGALSMAESISLSDNINDTFKKLWVEHGDELSLEYAGSYALKGDLDALDLISGYYTVSQGRPFEIGGFESASYFPVASAIIVGGITATTFSLSQAGRNAQHLISSFIFAGLTAGVAALVKANGKHFCSRPRLCGLI >Et_4A_032924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15705401:15712810:1 gene:Et_4A_032924 transcript:Et_4A_032924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPARPQRTPDEVEDIILRKILLVALTPPANPSPAIAYLELTAAELLSESRPLLALRDAAERLLIDRLSIPDPPPGSPSPFAFLAAAFRRAADEARKISTIRDAALRARLADSIAHLRGLILSYARIVAGNPDTFPSPPNAPHPAAELLVFLLADAADPLDPTPAPGAPPPPGFIDELLGTADYETVEPAMVELYERLRQSVMKVSALGDFQRPLRVLRRLVGIPNCAKALVNHPKWIPKDQIMLIGEGRVMELSSILGAFFHVSALTDREFASKPDVGQQCFSEASSRRPADLLSSFTTIKSVMNGLYDGLKDVFLILLKNLDTREKVLEYIAEVINKNAARSGMQVDPLKCASSGMFVNLSAVMLRLCEPFLDNMESKKDKIDVNYLFFNNRQLARYEDDLESNRTMRDQGGGSPQLEQDINRLEKMVEILSQEKFCYEAQILRDGPFLQRALSFYRLMILWSVDLVGGYKMPLPTECPKEFSCIPEHFLDDAMDLLVLTSRIPKALESFALDDFLNFIIMFMSSSSYIKNPYLRAKMVEVLNCWTPQRSGMNYTASLFEGHQLCLDYLVKNLLKLYVDIEFTGSHTQFFDKFNIRHNIAELLEYLWDVPSHRNAWRQIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKEIEAEMANTVEWERRPAQEREERLRVFHQWENIVRFDMKLANEDVGMLAFTSEQIPAPFLIPEMVERVASMLNYFLLQLAGPQRKSLTVKDPEKYEFKPKQLLKQIATIYVHISRGDKEGIFPTAISKDGRSYNDELFTSAANILWKIGGDPRIIQEFMQLAGKVKAAAAEALDAEAILGDIPDEFLDPIQYTLMKDPVILPSSKVTIDRPVIIRHLLSDSTDPFNRSHLTQDMLIPNTELKAQIEEFVRSQQSRKRTAAESEIGESDGATDMTM >Et_7A_051434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18478612:18484302:1 gene:Et_7A_051434 transcript:Et_7A_051434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGAALLLALSAALLLLLLSAPGAAATGVFQVRRKFAAGGGVNISHLRAHDSGRHGRLLGAVDLPLGGLGLPTDSGLYYTEIMLGTPPKRYYVQVDTGSDILWVNCISCDRCPRKSGLGLDLTLYDPKGSSSGSPVACDQPFCAATYGGKLPGCTANLPCEYSVMYGDGSSTTGFFVTDALEYDQVTGNGQTQPANGSITFGCGAQQGGDLGTSNQALDGILGFGQSNTSMLSQLAAAGKVKKIFSHCLDTINGGGIFAIGDVVQPKVKTTPLITHMPHYNLNLKSIDVDGTTLQLPAHVFETGEKKGTIVDSGTTLTYLPEIVFKSVMLAIFKKHQDITLHNVQDFWCFQYSQSVDDGFPTITFHFEDDLPLHVYPHEYFFENGKDLYCVGFQNGGLQSKDGKDMVLLGDLVLSNKLVVYDLENELIGWTDYNCSSSIKIKDDKTGATYTVNSHNISSGWRFHWHKSLILLLITMVCICVIF >Et_2B_022965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9806995:9809043:-1 gene:Et_2B_022965 transcript:Et_2B_022965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKMMLNSAYMNVACHSLGTCGTTSEREPSRRRDVEEGVEPPPYHTIVKVAAVVDVAKL >Et_10A_000214.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18113531:18113617:1 gene:Et_10A_000214 transcript:Et_10A_000214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISTTLFAWLLCSGCFAAATVFQLFLL >Et_9B_065272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:312950:318911:-1 gene:Et_9B_065272 transcript:Et_9B_065272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLYWHGEEGGSISISARNLQSDAKKMLMKGPSADVLRASISSAPSTSSHGSAQDECDSLGDVYVWGEVVCDSSVRTSSETVIRSTGRTDILLPKPLESNLVLDVYHVDCGVRHAALVTKNGDVFTWGEDSGGRLGHGTREDSVHPHLVESLTGCNVDFIACGEFHTCAVTTTGELYTWGDGTHNVGLLGHGTDVGHWIPKRISGPLEGLQVAYVSCGTWHTALITSMGQLFTFGDGSFGVLGHGDLKGVPYPREVESLSGLKTIAVACGVWHTAAIVEVIVTQSSSSVKLSAGKLFTWGDGDKNRLGHGDKEARLKPTCVATLIDYDFYRVACGHSLTVGLTTSGQVLSMGNAVYGQLGNPHSDGRFPSLVEDKIAGQHVLQIACGSYHVAVLTSRSEVYTWGKGANGRLGHGDIEDRKVPTLVEALKDRAVRYIACGANFTAAICQHKWVSGADQSQCSSCRQPFGFTRKRRNCYNCGLVHCNACTSRKALRAALAPNPEKPYRVCDSCFLKLDNSDNNSAIKRKDPLSNNFGESNGDPRVAKATLPSNIDMIRTLDIKAAKQGKKSDGLSFLRNPQVSSLLQLSDIALSGGMDLNRSAPRAVRTSAVRPSPPRSTTPVPTGHGLSLSKNATDNLVKANELLKQEVERLHAQVDNLRNRCELQELELQKSTKKVQEAMTLVAEESAKSKAAKEVIKSLTAQLKDMAERLPPEHSAYNVIAHSPNSGRSSHSNGIYASENSDCSTHSLRITSPHESEPPNRRTRSSSDEMLMTASSRMEDKVSIDARSVQNGEDGYKPRGAVSVPSNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAENWWNENREKVYERYNVKSSERVSSAASTLLHAFETNPLEVDGSFHDKPRVAYERVVRDETCYLEKLQAVVLEEAPPWFLARRYYVVGPLSRSGVVKQELVAVTRAPRSTLSQSCAKLTRLSRSSCSSVSATAAAAAAASASASEGVGAEEVGAELAPGRGGRRGARGGGEMVGAGKSARQDDRFGS >Et_9B_065871.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:1651190:1652194:1 gene:Et_9B_065871 transcript:Et_9B_065871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFHHLNSSFSNPYHPLLSPSPPHHPHHHFPPLPPPTHLAADPPLQSSSSTLERERLPQWSHAETAAFLAIRAELDHSFLTTKRNKALWEAVSARLAAQGFARTPDQCKSKWKNLVTRFKGTEAAAAAAVQPVESADPAAASSAAARPQFPFHDEMRRIFDARVERTRALERKKSKGKDVRPEDDEGGGDGDEEDEEEEDAEASEMAGEEEAGGRVASAEERSVGGAGKKRRRKQAAAAADQQGEVEAMLREFMRRQAEMEQRWMEAAEAREAERRAREEEWRTAMVALGEERLALVRRWRDREDAWRARAEEREERRHQLVAALLAKLGGDAS >Et_7A_050990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13266079:13271222:-1 gene:Et_7A_050990 transcript:Et_7A_050990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRREAAGRRFRPPPWQSRLLSPVKEPVPSGRLHQQAKTSVQESFFLGPRMLTRLRPPACGAVPARRAPNIPDVRHVAGDGGGPQSAGLLPASHLPAPATAVTAPPVGPGSTFHGSDLRHPAHAPTAPPTSASCYTLFLADRSEALSARRPGVPENTIPPHHRRRPEQQQQQKPKRIDLFGSGMAMLQLGMKTAVPHLRPSACHAVPARRALDLADVRRVAAGGGGPTSTGPLPAGHRRATPPAVSPSDVGHGPHSRLPGTPWSGRHLMAPNWPVTRLGRHLPSSMIGKQPTNRLLSTNPEPTIKEAGRDEISSAVEDAVERISRTGGNIKKLSLIDLKELLQQGFEEFKVHHSKETLKKIYDEKIRPALVARGLLSPYPIDDNTGMGIKLLKEYKSYVSDAKFLTSLDLMEEVIKMAELHWSWFLDFLSEEGCLIVENWEHSLYPSFGTTFYKRGVTFDGSPLYRGECDASYNKETKTASICIAIWSSTSEILSSIIVKDFQCKNSVEAEAIAMFLLLSEGFKLGLHEYPFEACSDCDQVFGTLWGARHIGVENADILKLLKYMRRHYKYLIPEWQQREKMFWVDGVMRVMKLEEPSHILDLRRLLKKMKSYLSGKPVFKFTQKTESLYNLINKIREESNQSSPSQQLSPLEYHEKCQMEVEEKNKTEILSHIITALRPSRVYIMVKKSHFEARRKILEMYKDCAIDEISDVDENYTIVPINVKHWTISKTAKKDLWIVFDSCIPEVLLQDKQDSVMVFLRTPTPPPPAKKSPHPITRWTQRCGKLLLAIELPKAKDIVLDLKPEGHFHFSAKGDDDMPYKLDLELYDAINLEEMKKLVGPNDIRFILKKADRGQWWPRLLKKKGRPPFFLKLDSKLDIETAASDVQNGKNEVDEDKEVQSEKKTNEECGGRQVFTSWDFGEEPYSWILQDGCILELHAELEILMWEEVGWNRAREELQRKRSHEEPQMI >Et_7B_055479.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:11403949:11404902:-1 gene:Et_7B_055479 transcript:Et_7B_055479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDSHLAYSRPGFHHHLLPHAAADSPSPEPPRRMDPPSSSGRSPTTPRRQLQGPRPPRLNVRMESHAIKKPTAAAWGPPPAALAQELLQGRRDSHYHQPQQPGPARAPVIIYDASPKVIHAKPSEFMALVQRLTGPGSGGPSMPPEAHRTSTDDGMLGQAFLPPELLLSPSAAMSPAARLATIERSVRPMPDPPALDYVPDGGVDDGTLAAVLGPARPGILSPLPSSLPPAAASGVFSPLPFDPSSLSWLNELSPILRAAGSSTAPGAGASTSSRPPPPAYYSDPFVPSPRNLLATPTIPSPTTCAEIFGSLLPDF >Et_9B_063809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15545799:15547835:-1 gene:Et_9B_063809 transcript:Et_9B_063809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFAARLRASELDALRGSRGFVSCYRDDASAVRRDTTHTPEFLGVSGAGGLWEAADYGNDVIVGVVDTGIWPESASFRDDGLLPPVPARWKGFCESGTACNRKLIGARKFNRGLIASTNVTIALNSPRDFEGHGTHTSSTAAGSPVPGASFFGYAPGAARGVAPRARVAMYKALWEEGAYPSDIVAAIDQAIADGVDVLSLSLGLDGVPLHKDPVAIGTFAAVRRGVFVSTSAGNEGPDLGFLHNGIPWTLTVAAGTFKTTILGTKPAPMVATYSSRGPSVLAPGSLILASWAENVSVATVGSQSLYNRFNIISGTSMACPHASGVAALLRAVHPDWSPAMVRSAMMTTASAADNTGAPIRPLAMGAGHIDPARAADPGLVYDAGPDDYVRLMCAMNFTAEQIRTVAQSSAALDCAGASLDLNYPSFIAFADTSRGAVVERTFTRTVTNVGDAPASYSAKVSGLSGLTVTVTPDRLVFGAKNEKQKYTLVIRGQMKNKTDEVLHGSLTWVDDAGKYTVRSPIVATTTSSNQL >Et_8A_056385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6512510:6512977:-1 gene:Et_8A_056385 transcript:Et_8A_056385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRVRPRLALPRRPQRLLPRLRALEGGGHLGALALRALHCRHQARAPLRAGIRPPRQAQAPRPQALFRLGLAMRSSAPHRRVAPPWPHLACPAWPRPALHGSPAAREPVVEMRERRKTGKG >Et_3B_030315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32165017:32165464:-1 gene:Et_3B_030315 transcript:Et_3B_030315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHFLGHHHKASEAEPAKVTDWRKQEKHHKHMGQLGQLGAVAAGAYAMHEKHQAKKDPAHAHSHKIKEGVAAAVAVGSAGFAFHEHHQKKDAKKHRSHGHHH >Et_2A_017289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32799782:32803591:-1 gene:Et_2A_017289 transcript:Et_2A_017289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVRALALLVAAAALLAAAANAAVSYDHKAVVINGQRRILMSGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPVQGQYYFGDRYDLVRFVKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAAMQTFVEKIVSMMKSEGLFEWQGGPIILAQVENEYGPMESVMGGGAKPYANWAAKMAVATGAGVPWVMCKQDDAPDPVINTCNGFYCDYFTPNSNSKPTMWTEAWTGWFTAFGGAVPHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFDRTAGGPFIATSYDYDAPIDEYGLLRQPKWGHLRDLHKAIKQAEPALTSGDPTIQSIGNYEKVEIISVTSYPCSEMFSQNFEVSDSLIEGELCLFQAYVYKSSSGACAAFLSNYKTNAAARVVFNGQRYDLPAWSISILPDCKTAVFNTATVTSPSAPATMNPVGGFSWQSYSEATNALDDRAFTKDGLVEQLSMTWDKSDYLWYTTYVNIDSNEQFLKSGQWPQLTVDSAGHSIQVFVNGQSYGAAYGGYDSPKLRYSGYVKMWQGSNKISILSAAVGLPNQGTHYEAWNVGVLGPVTLSGLNEGKRDLSNQKWTYQIGLTGESLGVHSAAGASSVEWGSATPKQPLTWHKAYFNAPAGSAPVALDMGSMGKGQIWVNGHHIGRYWSYKASGNCGGCSYTGTFNEKKCQTNCGDISQRWYHVPRSWLNPSGNLLVVLEEYGGDLSGVKLMTRTT >Et_2B_022808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5693065:5698102:1 gene:Et_2B_022808 transcript:Et_2B_022808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGTGRPWWGPPSTAAAPSAPPFPDSPPSSFTADPPEKFLCPISGTLMADPVTVPPGQTFERACIQACAALAFSPPSVAVDLSSSSTSFSSSPLVLVPDVALRTAILNWCDRLGLPHPAPLSPDTARDIVRRLMPRQEEQRSSLSNWPPAAQAATSVRVRRPSLANEDFVPPPPPPEPAQRAAGSLEEEILAVLASESATPAELASAMASLRQATRENKETRRQLCTPRLLAALRPMLLSPDAGVQVNAAAAMVNLSLEPENKVRIVRSGAVSPLVDVLRGGHPEARDHAAGAMYSLAVEDENRATIGVLGAIPPLLELFASAATGYRARREAGMALYYVSLSTMNRAKIARAPGAVRTLLAAAAEARDRASNEADAAAVRKVAVMILAALAGFPDGRAALMDGGAVAAVVRLMRGGAVAPGSSEEEYCISTLYGMSRGSLRFRGLARAAGVEAALQPVADGDGGVGRDLARRTLRAMRGEDDEAPVSAAGMLGRHWEEGSSIVSEGLVSIRRPPHRGSYGGQSGSNTTQATSSTMTKVEARFTQVHRSLQLFMSQNQREERFEWNWNLRTPHVFWAASHLAVAAASEGAGLPAKIGRAATAGAGGRRTRRRAAAKAREAGESMTVPFGCFVRWSWESGRLAMPLLPSFVYGSLPSVAAADRIWEQSDV >Et_10A_001847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9035380:9037307:1 gene:Et_10A_001847 transcript:Et_10A_001847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITCTTLTEPARAMLLLKIDGCPPYASTFTSSEQNKYISSRWEVDGYEWEIRFYPRQREEGYYNMALELVFLSEARGKDVTANLSCRLVDPSGIRQASEERISPLKSFQRPSDSSGRFPIMTRTEGHSSGHLTKNGSLTLECTVTVFPDSEAIPVPSPNLQNNLGELLQSEDGADVTFIVSGESISAHRTILAARSPVFKAQFFGEMKEKKSRSIEIKEMEAAVFKAMLGFIYTDTVPELDKKLGTTIAMAQHLLVAAHRYRFERLKVMCERRLAIGMDAGTVATTLALAEQHGCSQLKAKCIDFIAGAAPEILGSVLVTEGFKRLEANCPSVLTELFKAAHGRIKKCGSPEPVSVDAGGGDWLTVLGLARLSSAFVWLAVPRMIELPWFKTGEFTSTVAEEGTAVTGGGGERGM >Et_1B_012996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4603706:4605163:-1 gene:Et_1B_012996 transcript:Et_1B_012996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLRNSRSVLSRLVPHKPTAAYPSWPLPVQALVPAQHYYASGVPRVDTAASRRHLFTSQRREQLLRKITSRAVAAVGGTVEVVPYTNRGRFIMLSRNLDLCIGWVMVDRLKEEMGSKVLPPLHPDSVRVRRVASEIVSAVDNGLVGLADRRRHKAGAEMLDGALPRQDALDDEERRNNSGEGPEQSHHGRVASLMGGRINAMCATGGNIIIFTGLLDKLKEDAEVATVLGHEIGHLIARHKAETVTELIVRFILHIVTLRFIYKPGAMSMPSLLRMMEKEADHIGLMLLAAAGYDPRVAPLVYEKIGKIKGDDRPYTPEDEHPPTAERVQFLSQADIMNEDLELYRGVRPCQ >Et_3A_023382.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24380525:24380692:-1 gene:Et_3A_023382 transcript:Et_3A_023382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVALSRRMCCSRVCIAMRRAGFPLESTLTPMIRPGMRRLYSCEVARNAACGPP >Et_10A_001932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12113026:12113456:-1 gene:Et_10A_001932 transcript:Et_10A_001932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSYTARVLSTVSALSDAAREELAGLIGASARLARRVCRVYGVWMDSDATPLWMVSERHSNDLPLLLEEERINGEKIVARIGAVAMEMCEAIMGLHREGLVLGCLGLDCFSIDRHWSCVGWPEQGIDMII >Et_6A_047837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19887955:19888400:-1 gene:Et_6A_047837 transcript:Et_6A_047837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYSGASATVPCEYKQCLGEGAPLAGTGAVADVPLRHPSLASAAEGGGAAFVVFRGWHEILSISATFLPPSMSAAAARAAGRELSVSLAGPCGQIIGGAVAAAFTDLTFHSLPLEDDASAVTPTRTASRRSRRTRTGCSRRTRTA >Et_10A_001674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6684933:6705362:1 gene:Et_10A_001674 transcript:Et_10A_001674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGASAEGKMTMVAAVDDSEHSYYALQWALQHFFLPPAPAPQQYRLAVVTAKPTAASAVGLAGPGAADVLPIVEADLKRSSMRVIDKAKELCAQVLTKAPVSDAVFEIVEGDARNVLCDAVERHHAEMLVVGSHGYGAIKRYRLYTCLRHSRCQGPLFGEAREEHAMAEAASAPEAAASGKMTMVVGVDDSEHSFYALQWALQHFFPPAPAPQQYRLAVVTAKPTAASAVGLAGPGAADVLPFVEADLRKSALRVIDKAKQLCAQVHQPNKFLEQHSMLIEKLTDDALWAQVSDAVFEVVEGDARNVLCEAVETHHAEMLVVGSHGYGAIKRAVLGSVSDYCAHHAHGTVMIAMAEAASASAPETAEPTPGKMMMVVGVDDSEQSFYALQWAVHHFFLPPAGQPQQYRLAVVTAKPPAACNIGLAGPGAADVVSFVEADLKRSSKRVIEKAKQLCAQVTDAVFEVVEGDARNVLCEAVERHHAEMLVVGSRGYGAIKRYASPFHRLCSEAAADVVGGGPGKPVMLVGIDDSEHSYHALQWTLQHFFGPGQPQQYHLVVLTAKPPASSVIGIAGVGTADLLPTVEGDLKRTSARVIDKAKQLCTEVIDVSYEVFEGDARNVICEAVDRHHAEMLVVGCHGYGAWKRAVLGSVSDYCSHHAHCTVMIVKKPKHKKAEHTP >Et_8A_057195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22149384:22155006:-1 gene:Et_8A_057195 transcript:Et_8A_057195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFIYASLLLAHLHILLSTSSAHAYAGFFGRLPTSISKLVNLVSLDLSSSYDDVPYDSLKTSLSFDTFNNLWEPNFITLVANLRNLRELYLDGVDLSLSGEEWCISLASSVLSLSNCKLIGPIHKSLTKLHSLYMINLKGNNRITSGPFPEFFMDFVNLTVLQLSNTNLAGWFPTRPFRSKNLRVLDLSANWDLAGHFPNLSNPSSLETLRLGITSQFPYSKPMYSNNFLSLKELSLEGGVSIDLLSSFGRLGSLCQLDLSLDEMKLSELTSIFSWIGNQKSLLSLTLDEFDFSIVTSSLVSNLKNLRTLTMVECTVPRSILSAVGNLVGLQTLEMDRCMTDGLLPSSIGNLTNLRNMRIMYCGFWGPMPAAIGNLANLRNIYIIEMLSGPMPAAIGNLSNLEAMEIESDFIGTIPYAIGQLKKLTRLVLGGCNFSGSISSSIVNLTLLTILDLSGNSLDGEIPPPVFTLPLLRDLDFSYNHFSGSIREFDKASSQLESLDLSNNELSGPIPKAFFQLPRLKHLDVSMNGLTGLLDLTSFLRLSELASLYLSNNKLDVIDSGGNSHSPAELPGPNELGLVACNITQFPRSLAHISYISHLDLSQNKISGSVPQQVWETWSNSLIYLNLSHNMFTSIGLTSDVLPFTTALDALDLSFNRLWGRVPMPSSSAQILDYSNNNFSSVLPNWVYYLSYTNYLSMSRNSINGHVPISICSSSMLGGLDLSYNKFSGLIPSCLIEDGFGVGFAAAILVKWGQVGRWFIAQLK >Et_7A_052474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8390259:8392249:1 gene:Et_7A_052474 transcript:Et_7A_052474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVAGGLRRLLAAAATASAAEARAAIFGHVLDPTGKRAATKLMRKRLVGDQVAQWYPHDIKRDDPLVMAREEKERLSKLEMLKRCGKGPPKKGQGRRAVKRSK >Et_1B_011485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22819567:22822533:-1 gene:Et_1B_011485 transcript:Et_1B_011485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGSVESAAPGSGKSVCVMDAAGPLGRALVDRLLRRGYTVHAATYYGCGAEEEGDEASAAALMEQLSRATGGEAYKHRLKVFRADPFDYHAIADAVRGCAGVFCMFNTPNDQAQCDEVTVETEVRAAHNVLEACAQTDTMERVVFTSSVTAVVWTGAAAHKPQLVDEKCWSDLAFCRKFKLWHALAKTLSEKTAWALAMDRGVDMVAINAGLVTGPGLNAAHPYLKGAPDMYDDGVLVTVDADFLADAHVAAYESPTAYGRYLCFNNAVCRPEDAVKLAQMLSPSAPRSPPSDELKVIPQRIQNKKLSKLMVEFASGIYGELD >Et_3A_026212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5492176:5493469:-1 gene:Et_3A_026212 transcript:Et_3A_026212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRKGAMAVTSKQQQEEEMELRRGPWTLEEDTLLMNYIACHGEGRWNLLARCSGLKRTGKSCRLRWLNYLKPDIKRGNLTPEEQLLILELHSKWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKQARQLRVDANSPVFRDAVRCYWMPRLLEKMAAASAAHHDHHAAAAPQLHPPPASIMDMASSSSPAHGGGQSQHVDANALAACYHHVDPSPSTSSTSGSTTAALPPVPCFSDQLSWLDQYGPGLDGPAGAFDSAALGSLGLDGLDLGPADCDAAYSDTTLLDYLNSTCTGSAMSMMNLAGSGGNFQSSRDDAMGADGGWTTWRTTTDELCQAAARKLGDHQWEGGI >Et_9A_062512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23640561:23643221:-1 gene:Et_9A_062512 transcript:Et_9A_062512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNPFDLLGAVDNDDPAALLAAAAAAKQKAEAKKSAAVTAGKGQPAPAKLPTKPAPPAQAVRESRGGGAPSRGGFGRGSERGRGRGGRGYGQSREFSSEEANGYQGGYGAGGGFRAGGEEGERGPRPPYRGGGFRRGGYREGEFGDDSERPPRRTYERQSGTGRGYEMKREGAGRGNWGTTTDEIISQETEEGLKLDEKAPVPEKQGAQEDAPQAEENKDNKDAAVIEEEEEDKEMTLEEFEKIREEKRKALLALKNEERKVDVDKDLQSMQLLSTKKGNDDVFIKLGSDKDALKKKENAEREERAKKSLNINEFLKPAEGERYYGGRGRGRGRGGDRGGFRGGYGGGYRAPPPAPAIQDKSEFPTLGAK >Et_1A_005312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39829793:39830482:1 gene:Et_1A_005312 transcript:Et_1A_005312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTVKWFNDTKGFGFISPEDGSEDLFVHQSSIKSEGFRSLAEGEEVEFTVTSSDDGRTKAVDVTGPDGSFVKGGGGGGGGGFGSRGGGGSGGRSYGGSWGGGGGGGGGYGGGGYGGGGGGGSGCFKCGEPGHLARDCFQNGGGGGGGGGGGCYNGGGGRFGGGGDRSCYNCGEAGHLARDCPT >Et_1A_005757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13611170:13611748:1 gene:Et_1A_005757 transcript:Et_1A_005757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DGLLDLAIVVFVQVPKYGCSPNSVIHNALVHVLSEKGHLDEPLRCQTILFLPRNEFRLKHQLGAMMQHKDGIKLKFLFRGHKLYGTHHMSNNKMRIRNLQYSTSSGAEHGCGSIAWGQYQVSLHGLVHSRLGLFLKK >Et_2B_019224.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18377188:18377589:1 gene:Et_2B_019224 transcript:Et_2B_019224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LEAEALLRELDEAVDLVVVDVAVPVRVGVVDALPAPPPREPGADGAHRAPQLVAADAPVTVHVEPPQPLLELVHRHVPVQRPRRSLLHAPDRHLSLNLSATKLPLVSIDRAGIRRVTCVLCDEATCSALFALI >Et_6A_046941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22830327:22837178:-1 gene:Et_6A_046941 transcript:Et_6A_046941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGDDGGHRSTYGFPIYCAAWLPLAHILQPDSPAAADDARADADAASFSAPPPPRAQMAVLGGGGGEGRSGVPNKLVVAALESEAAPALCTEPVLVVEAKDKLPYRMAVHPRGDGVVVSFPNGCRFYQWESKEGNNPHKLDLKCEEEVLVELKDVGSQLVVSFSGEGSTLATGGEDGHLRVFKWPAMDSVLSEADTKTSIKDLSFSLDEKFLAVNRSSGPCRVWDLKSSEIVANLPREAGEIFSFCKFSNKTDGCHILFMTAMQGGDYGKIISWNTTSWTRIGSKKITREGISAFAVSPDGSIIILGSKNMQTLVTVKKAHLGIVTALAFSQDSKTLLSTSIDSTARVTSVGSIKSNGTSVWPMILPIILAILVYYCMLHKEDLLAMLPPHRDNSISSPVTPSAAVDGPDRVGKRWQLPAPPNETDLPTPATTGASESFSNLLIFPSPVISSSRPLLDAAGHLSETSGHARRGSATMDAARLTARSGTPPCSASSVRIDSAEIRLGLAATALGCTGGAGGDLDGGAAERAVDVGAEPGVDAAAVERVAAPRQKAQRLAVAELGEAHRAAAVAVAAVALLHLGAVVHHGGDGRDGALVEPLGLDVPHVVHPAAVAAVHLVLLALAELPRHRGHTQDALLVVVVVPRRLLLRRRVPPAPPPKHQAVDEQGEEEQRGDGEEGRRQRDERGGEAAGVEVHGGRRGRRRRRGAEGVGVAGEGLLQERDAAAGDGVPHLLRPWLRRHRLLLRPRLVLRLRLWLPQLGL >Et_2A_016437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24821015:24822082:1 gene:Et_2A_016437 transcript:Et_2A_016437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDKIHTKETHGTSSDITNKTSVEKVKGPNLFERAKEEVEALIGAVHDKMERHSSPREKKDDLHKESKEGDMHKVKTHTNETHGTSDDIKEDTPVDKVKGPNVFERAKEEIEAIVEAVHPKKGSDNK >Et_9A_061833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1727862:1729205:1 gene:Et_9A_061833 transcript:Et_9A_061833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYRFSNLLIGYLNLATLLASIPIIGAGLWLAKGSTTTCSSILQTPLLIIGFVVLIISLAGFVGACFHVAWALWLYLLAIILLIAMLLGLTAFGFAVTAGGGGTQVPGRPYREYHISDYSSWLQKHMQDMRYWQPALACVVGSKACAKIENWTPMDYVQHTLTPIQSGCCKPPTSCQYSGGMPVGAQDEDCYKWNNAPNILCYQCDSCKAGVMEQVRQDWHKISVLMVIVLVALICICSCGCCAFRNARRSVSEYPYGVNRMSKINPRWDYYWWRWWAGRREQLY >Et_2A_015653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16583554:16588247:1 gene:Et_2A_015653 transcript:Et_2A_015653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGVTGVVAKLGELAAAEATALLRVDTEIRALRRKLAYLQALVRGADRQRRGRASELLLLWLRETREVAFEVEDAVDEFHLRVEDVHLRAKRRRRGWWGWHLIAVDLVQGLAAQIVVRHGLSNQILKINERINELNENKETYEIESFPSEIWSSSSVETDPEWYEDGYVVGSRQSEFAILKDQIISKEENAFHRAVISILGESGIGKTTLAKRLYHHPDIMRHFEVHAWVSSQVLEAPKKAVPFLSDDGETTNKERILWQHLQNRRYLVVLDGLTNISDWNSLFDVLPDDKNGSRILLTSRLNVKEINHIYPQIAPLELACLDITHGEELFCQRVFGAKEPPPCYRSKAYYEKVHKISTGLPLAIIVLAGVLRSKLIPIEWDDVFEQLECNGQPKPVKSIWSLAFDDLPHYLKSCFLYFASISENVIVYPDRLVRLWIAEGFVVPKKAETLEDVGFDYLKDLVSRGLIQVMEKDGGGCIKLVAIHNLLHAFVECEAQDSCFLEIHHHANVLNPNAVRRLAVHNYVDAHVQIPNSFPKLRSLLCDFAEDQRSSSVVGGLRPQTLWGSLAELCLRACGISEGTDSNTLHGLHFLEGSRFLRVIDLNGLQIQRLPDEIGSIIHLRYLGIRNSNLEELPSSISNLDNLQTLDVRRTKIGNVADGFWEIEALRHVLAEKILLPRCSIPLNNLMTLNGVVPSDSWDEEVCPLDYMICLRSLSLSGISELHCSAVSASLKKLEFLVYLNLSGIILPSNMFADSSMRRLQVLILHGKLEGLNDLLSDRYILPNLHTLRLNKSELSQLFIDKLSRLPCLAEMELLDGSYNERALVFPDRGFQSLKNLKLRNLYSLQALVIEQGAMPMLSILALYGCDNLRVLNGLTALEHLQEVVLYNMEEIVDTIKLVDKKLFDKVKCLTTPTMGTGRGVAGSWIRKAAPKQFVDGASESRCGGLEHTVSVIEKATDDIEIHYGSGAWIRSFTE >Et_2B_021705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4684786:4699138:1 gene:Et_2B_021705 transcript:Et_2B_021705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HRLPAAYDDSWAALAWAVASASSSCNGDSEPWLAKHGDPCRVFLAGDSAGGNIAHNVAMRAAAEGLPVKGVLLMHPYFWDATNAMGPVLEDRIRREWRFMTGNDDARVDDPRLAPRAAELVRMPASRVLVAVAGDDFLAPKGRAYYAALLDSGWRGEAELVDTPGQEHVFHLQQPGMEVAAEPSLDIAVLEEAAAGAGRLRVFVDRAATNVDAAANLTTDKDIAAVAATQAKVVADATPHPLPAAYDDAWAALQWTASNCRSGPDAWLAGHGDATRIFLAGDSSGGNIAHNLAVRAGAEEPALPGAAIAGVVLLNPYFWGSEPVGTEPGDRWVRDGLEKTWALVCGGRYGIDDPHVNPLAAPEKWRRMAGERVLVTVAGRDNFRDRAAAYAEGLRRSGWRGEVEVYVTEGAAHVHFVGNPRSEKAQRETDKVAEFIAGRILVQLPLPKHINEEKILNEISIEKDVDGFHPLNIGKLAMKGRDPLFLPCTPKGCMELLSRSGITVKGKRAVVVGRSNIVGLPIKGDSIKPGAAVIDVGTNSVDDPTRKSGYRLVVDVDFVEVSKVAGYLTPVPGGVGPMTVAMLLKNTYRLAPEHPLPAAHDDSWECLAWVAAHATPTAAGEGADEPWVAAHANLSRVFVAGVSAGGNIAHHVAARAGERSLGVPVRGLLVVHPYFNGEAPVGDVSASRKAKSEAFWRFVCPGTPGLDDPLCNPFSEAAGGSAARVAAERVLVCVAEKDGLRDRGVWYYESLKASGYPGEVELLESMGVGHVFHYGDPGCEQARGLKWVASHAPGSSGGSPEPWLAEHGDFSRVFLAGASAGGTIAHNMAVRAGEQSLGLPIRGLLVVHPYFSGAADIADEGTASGKVAKARADAFWRFLYPGSPGLDDPLSNPFSEAAAGSAARVAAERVLVCVAEKDDLRDRGVWYYESLKASGYPGEVELLESMGEGHVFYCINPKCDKAREMQERVLSFLSK >Et_5B_044156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20916442:20920403:1 gene:Et_5B_044156 transcript:Et_5B_044156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLRSCWGPASTAGRPRRGSDATGRQDGLLWYKDGGQVVDGEFSMAVVQANNLLEDHSQVESGPLSATEPDLQGTFVGVYDGHGGPETARYINDHLFNHLRRFASEHKCMSADVIRKAYRATEEGFVSVVSSQWSIRPQLAAVGSCCLVGVVSSGTLYVANLGDSRAVLGRLVKGTGEVLAMQLSAEHNASYEEVRRELQASHPDDPHIVVLKHNVWRVKGIIQITRSIGDVYLKKPEFNREPLHHKFRLPQSFTRPLLSSDPAITVHQIQPTDKFIIFASDGLWEHLSNQEAVDLVQSSPRNGIARKLVKTAMQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFFDLNAITTATWSRPTVSLRGGGVPIPSNTLAPFSVPAELNNSY >Et_2B_018993.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22167242:22167541:-1 gene:Et_2B_018993 transcript:Et_2B_018993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYTTTNSSYSSLSAACGWSNSSDTFHNLTKSYNHQLNDTSSLSASLIMFVVTALFFALNLFSRLSDVSAILDPKVRVGLTSGLSVFLLVMSYLFSE >Et_4B_038018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25510600:25512537:1 gene:Et_4B_038018 transcript:Et_4B_038018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPVTPRRTTRSSAGSAADPASPTSPPKSRPKPSPRRQLLAADAAAKEEVGEVKGKRPIDALLEALPGRRAQATDLLRLLTPAPALPLLLYGGAATGKTRALLLALRHVRPRPRRVAYAALRSLPSARALFASLLSQLSPASSSSASSRQRVPDKHSDFVAALRDALAGLSVPGEAVYLVFDNLEVVRSWDKGAQLLALLLRLHDLLRLPHVVLVYVSNATPDAYYSMTGSIEPNHIYFPDYTADEVRDILMRGHPNPKLYSSFLSVALKPLFRVTKRVDELAATLEPLFRKYYEPLGDLKAVPDEGMKRKLFEHIQPHLAVALNETFSVPLRASMEECKDRNSGVKASAKRQFGCRDSLLTELEFHMSVSAKYLLLSAFLASRNPATLDAALFDSTGGSDNHKRKRKSSQASVAMKDNLVEEMLMKGPGTFPLERLLAIFQCITSVSEDALSDVECPDSMMNGSGMAGLMSDVLLQLSTLCNSNFISKSRSCPLEGSARYRSNIDEDLALKVARSVGFPLSKYIYRR >Et_5A_042864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5868188:5873277:-1 gene:Et_5A_042864 transcript:Et_5A_042864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPTSRMVHPFGNVPRQTPKQFLFSGNSQHLYNPYQSAADTHVVPQHHYNMKTHSPDSGSESHETHQQYTLDSSAGSGCMRHDSPSSHSLTTGSGSPLSQEDSHSGSTNGTGSPVSASCVTEDPNDLKQKLKDLEAAMLGTDLEAVNSLEISVANQLSLEPEEWVHMMSMPRGNLKELLITCARAVEENNNFAINLMIPELRKMVSVSGEPLERLGAYMVEGLVARLAASGNSIYKALKCKEPKGAELLSYMHFLYEACPYFKFGYMSANGAIAEAVKGEDRIHIIDFHIAQGAQWISLLQALAARPGGPPFVRITGIDDSFSAFARGGGLELVGKRLSQIAAMCKVPFEFHAVAISGNDIEVEHLGIIPGEAVAVNFTLELHHIPDETVSTANHRDRILRLVKSLSPKVLTLVEQESNTNTAPFVPRFAETLDYYTAIFESIDLALARDDKERINMEQHCLAREIVNLVACEGEERVERHEVFGKWKARLMMSGFRPSPLSVLVNSTIKTLLQSYSPNYKLLERDGALFLGWKNRPLVHFQAAAPAQHSQSYSSGATLTYQLQETC >Et_8B_058526.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1323327:1323593:-1 gene:Et_8B_058526 transcript:Et_8B_058526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDVEMPLSDEEMVDDEDYYEYYSDMADDDDDGGIGGGDSDGELVAADYEGIEAEGSDIITSRREQVRLHTDADRAVLRLSRVSWNL >Et_9A_063183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14468004:14469449:1 gene:Et_9A_063183 transcript:Et_9A_063183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSQPNKARRRRRHHFVLVHGMCHGAWCWYKAATALRRAGHAVTALDMAGCGAHPARVDEVRDFVEYSRPLLDAMAALPAEGERVVLVAHSHGGYSVALAAERFPEKVAAAVFVAASMPAVGRPMAATSDELPEHSIFSQLLAFVGPDFFLDSKELEQENPDIKGKPFIFGPNFMAQRLYYLSPPEDLTLGLMLIRPANAFTTNNPDEVVMRNEKLLTEERYGSARRVFVVAEEDHGIPAEFQRRMVAHSPGVEVEEIAGADHMVMLSRPQELVELLVRIANKGTSN >Et_9B_065761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10289421:10291567:1 gene:Et_9B_065761 transcript:Et_9B_065761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METEKNGNILLQRYEVGRLLGQGTFAKVYHARNIVTSQSVAIKVIDKDKIFKVGLMEQIKREISVMKLVRHPNVVQLYEVMATKSKIYFVLEYVKGGELFNKIAKGKLREDAARKYFQQLISAVDFCHSRGVYHRDLKPENLLVDENGNLKISDFGLSALAESRRQDGLLHTTCGTPAYVAPEVISRKGYDGAKVDTWSCGVILFVLMAGYLPFQDSNLVEMYRKIGKAEFKCPPWFPSDVRKLVSRILDPNPRTRMPITKIVECFWFKKGLDSKLLRKNMEMKGKVTALTDVNVAFSSTGCSNSKVVDEKQNETKVTNLNAFDIISLSEGFDLSGLFEETEKRKEARFTSSQSASAIISKLEDAATCSKLTVKKKEGGVLKMEGASEGRKGVLAIDAEIFEVTPSFHLVEIKKNNGDTLEYENLWKQDMKPALKDIVWAWQGERQGTKKLVIE >Et_6B_049108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1828054:1832326:1 gene:Et_6B_049108 transcript:Et_6B_049108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGCAVQQALAAEAAAVVRQAVALARRRGHAQVTPLHVASSMLSAAAGLLRAACLRSHSHPLQCKALELCFNEAVDDDAMRVLDCMAGDVSKQRRRRAAVVVVGEGAEGVVKAVMDRVSKGELHHRHDLLRNLQFVPLSAAAFRGMAREEVDARAGELRALVRQGCAAGKGVVLVLQDLAFAADAWAAASERRRTTRGGGHDHCGYCPVEHGVMEVSGLVSEAGRGLDRFWLLGFGNNQACIKCRAGQPSLEAVWELHPVVVPDGGGVALSLSYTSETQQADQERSTMGWPFVVNGAAADAGRDLVARAEAVTEKPNNLPQWLRGHQQSDQNGSESCSTSLQLQERNNNCNGSVGHPTSELTLSFSSPARTTSPATSSIFGFASNLSSNLTMHCKPWQFMPMQPLMNHRYDDATANSYDLQTLHADHSPESYSVSNSCEGGAVTKPKFTELTAENLKTLCNALENCVPHHKDVVADIASAVLQCRSGMAKRTTRWLKEKPNMATWLLFHGMDNDGKKAVAQELARLVFGSYTDFTSISLAKYTPVHSKSSSGEILLKRQRSLHNGHGYVQRLYETILESPHRQGNDMNIEKGTEPSCFMFDLNACVEDGEGEERVASDNNVRILDVVDGEDTLEGKGSNLYYRDDQK >Et_2A_018770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7014892:7015607:1 gene:Et_2A_018770 transcript:Et_2A_018770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDADMIPTSPSADSSPSSSDLDTEVQLLAWPGQSTGSFFPDRSTTLGTLMGVAAFGGGGQRRTPAVATAAGEERDRAQRVRQEEEDEEARSRRRGGVWRRRRRRGRSLGGSWWRLCRDDGGGAPTSLGEFLDMERQLAGADFLCDGDGAGGSGRDPVAATALFEDDRVRPPPPQQQPAEERGRWRLLRAPERSSSSLARLPVLLTGICSGGAG >Et_9A_062427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22808428:22812645:-1 gene:Et_9A_062427 transcript:Et_9A_062427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAADDSVDHRPAAKPASPSPSPAPPARTRLHGFTFPTLSWGTHRLLRCSKDGGASASPPPHPQTPSPHKGGAGGASQPPQRPWNLRARRSGTVAPPAARSNQAAGKAVAWQAQPPLASPPPAEPKKRGFSVALTKAEIAEDFLAIRGARPPRRPKKRPRAVQRQLDMLYPGLSLADVTLDSYKIEEVRYRSSACCVLNSFLQKVIGAMAESCIQEELFRCSLRFRFLHAMGWPTSPSMTVLDLLGEWLALQRKPKPASDDGEGLALGRRAAAKM >Et_5B_045310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17986488:17989043:-1 gene:Et_5B_045310 transcript:Et_5B_045310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSLLLILTAAAFPSVAALSSNDTDLAALLAFRSRLSDPLGVLRRNWTSEVSICHWVGVSCSRRWQRVTALELPGVPLKGELTPHLGNLSFLHVLNLTSTYLVGSIPEDVGRLPRLIVLDLSNNSLSGTIPSSVGNLTRLQVLSLNNNQLNSQIPDELRSLHNLRFTGPIPSGLAACKNLQTLQIPGNLFVGPVPTWLSQLSQLIGISMGGTDGLFGTIPGVLSNLTKLETLDIMQSNVSGPIPAELGTMQQLTVLQLAFNQLTGPIPNFTGNLSALTYLHLSSNQMTGPVPSALGNMRSLRHLSIDSNIFHGDIDFLASLGSCRQLEFISISNNPFNSGNLNPIHVGNLSTKLLVFEAINSQITGSLPATLSNLSSLHIINLGKNLLTESIPEPITMLRNLQMLTYFNLSHNSFEGSIPDSFRQLTNLWTLDLSSNNLSGTIPSYLANFTYLSSLNLSFNNLQGQIPDGGVFSNLTWQSMMGNVRLCGGAPRLGFSPCQYNPHPTHHQHFLKFVLPVATVAFCFTILFICLIGGKMIKKKKQSANASADMEEVISHRSVSSQEIVRATNNFNQNNLLGVGSFGAVFKGQLDDGMVVAVKVLNMEMAEAIESFDAECRALRWLGIVT >Et_7B_053449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20264588:20265011:-1 gene:Et_7B_053449 transcript:Et_7B_053449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKVATLCCLLLVLVVHADHTSAGLCSCGYTVIKVPFCKSWSCEAECWLEAKLTSSKVSQHKCTKGGIKGRCYCLFCQN >Et_1A_008549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8745650:8748189:1 gene:Et_1A_008549 transcript:Et_1A_008549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNRTTERGHESARKMILALILVAAIFSARPAAVVATAMQPSATCQRRCGGIDVPYPFGIGPGCHLETGDWTFMLSCNRTADGRDRLFNYQIEMLDMSVRRGQLRIYNSINPWCYNATTRAMNEQSNWWYNMDITNYRINDAANRFTVVGCNSLAYIQSVNETGNDGRYMMGCMAMCPGVDRLANGSCAGVGCCQTAIPSGLNAYNVSFEEKFNTTAIESYSPCSYAVLVEAAAFDFRTTYVTSDEFMVANDGQVPLVLDWAIGNKTCEEARRNASAYACVSGNSECVDSKYGRGSGYLCNCSAGYDGNPYLLDGCHDINECEDKRFKYPCSVPGTCTNTVGGFYCSCPHKTTGNAYNGTCEKDKSQTGWQIAIGVSSGFVVLIITASCLYMIHAKRRLAKIKREYFKQHGGLILFEEMKSRQGLSFTLFTEDELEAATGGFDERNVIGKGANGTVYRGTTKDGETVAIKKCRLSNERQKKEFGKEMLILSQINHRNVVKLYGCCLEVEVPMLVYKYIPNGTLYRLIHGVSRSRGGDSVAPAPRVPFSLRLRIAHQAAEALAYLHSWASPPIIHGDDYTAMVSDFGASTVAPTDQAQLVTLVQGTCGYLDPEYMRTCKLTDKSDVYSFGVVLLELLTCRKALNLEELEEEKYLSSQFLLVIGEGRLEEILDEQAKAEQSVELLAEVADLAKRCLEMAGDRRPTMRQVAEDLDRLSRVSQHPWGRQDSGEILALLGGSPSTASEVELTTRNASFTDTAYIGIRSPR >Et_2B_021155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2705718:2710320:-1 gene:Et_2B_021155 transcript:Et_2B_021155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRSLLLLLVLFAVVAHGSVACHSPQPPHGGGGCNSTGSCGCQQGQCGSGNSTGHGGCQSGCPCSCSACQGGCQSGCPCSCSACQGGCQSGCPCSGSSSQGGCQSGCPCSCSACQGGCQSGCPCSGSSSQGGCQSGCPCSCSACQGGCQSGCPCSGSSSQGGCQSGCPCSCSACQGGCQSGCPCSGSSSQGGCQSGCPCSCSACQGGCQSGCPCSGSSSQGGCQSGCPCSCSACQGGCQSGCPCSCSACQGGCQSGCSCSSCSAGHNPPCNNTQPPQSSPPQHQPYPPQHQPHPPQHQPYPPQHQPYPPYVPAPRPCPIDALKLNLCVDVLGGLIYPLIRQEARAKCCPLLQGLADQDAALCLCTTIQARMLNTNRYLPAAMNLLVDCGKQLPHGFQCPAPLHAAAAATTTTTKKVGRHLQLGAVTASTAALEMSGAMATSRSLLALLVLSAVVAPSSVAWKNCPPPGHGGGGHGGGPPGHGGGPPGHGGGGHGGGSPGHGGGPPGHGGGSPGHGGGGYNPPPSNCPPCNNPPPYQPSPPPYQPSPPYQPPYQPSPPYQPPYQPSPPYQPPYQPPPYTPSPPSPPPYVPAPRTCPIDALKLNACVDVLSGLIHLVIGQEARAKCCPLLQGIADLDAALCLCTTIRARLLNINIYLPVALTLLITCGKHAPQGFQCPPLHAAPATGHQLQLQG >Et_3A_025034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26265215:26267813:-1 gene:Et_3A_025034 transcript:Et_3A_025034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPLLNITRADGEPNNVGVSMAPPIVPTPSIAAAVNSSSNPSVMSDLPGVREKDRRTESPDHVESFTRPETRCQCPARMKISLRDGFYYIYEFVADHNHILATAEKAHHLRSQRKITEAQLASIEDAKAVESDETYSMTMIDAIKLVEGVEKTLKIRSDPELDSSSPLQVVTQQNNNYVKPKGIKTKQKTVRGSARPIGGFEKGTRGGKKKNDPNGSGSAREVDTVTHSQPHYTGL >Et_4B_036084.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:22355460:22355816:-1 gene:Et_4B_036084 transcript:Et_4B_036084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPWATAAVAVRRSLQEVPGCASYARQAQQQAAARSAVTGRSVETLVVIIAAIVLAAVLAGVLARVCGGRHVAPSVDDRDVEGWVERRCSSCLDSGLPAPAPAQQQQASSKTSEAK >Et_7A_051397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1811169:1821831:1 gene:Et_7A_051397 transcript:Et_7A_051397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRGKKRTAAQAAARTKAAPKPKEEPEYFPEKRNLEDLWLSAFPVGTEWENIDKIKEFNWNFENLEKTLEEGGDLYGKTVYVFGSTEPQLLDVNGESKIVLIPVVIAVDSPVPPSDKIGINSVQRENEEIVPMKAMKMAWVPYFPLEDRLSRIDSLKTKIFTLGCTQRRTALKHLKTERVKKFDYCMPYYMPLNPPDEEDDTVVNIIYPLEPPIVCDFDWEMDDYEDFADEKVKDEVLPEDEKEKFKEFVKERVRERKRELKQAKEARKKAIDDMDPKTKEAFENIKFYKFYPVKTPDTPDVSNVKAKYINRYYRNAHYLIGKKRAAADESSKLETAAEEPSTRGRGKRVKAPPKPKAETEYFTEKRNLEDLWLSAFSVGTELLYLGMSLQWENIDKITEFHWNFEHLEIIGCDFYSCECLASLYNVSYLMFVMEFKQKALEGGGELYGRTVDFPLPPSDKMGINSVQRENEEIVLMKAMKMDWVPYVPLENRLSRVDSLKTKIFTLVCTQRRPALKHLKIEKNYMPLQPPEDENDTTIEFLYPLERLILVDYDWEMDDYEDFADGKVKREELPEDEKEKFKEFLKEKVRERKRELKQAKETTKKAIDEMDTETKEAFENLKIYKFYPMKTPDTPDFSVWKENYITRYYPKSHYVM >Et_3B_027704.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:13473889:13473987:1 gene:Et_3B_027704 transcript:Et_3B_027704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKDKRKNIMLNSAYRNVGCHLLGTCSQPVC >Et_3B_029623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26636395:26640702:1 gene:Et_3B_029623 transcript:Et_3B_029623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKDLVQPRDHGGRVGRDPRSAVCEASCRRASRGRGLEVGAARGEAPGGRAFAHIAAATYAGHVLDSHNYYGVEVTSDMYGFTMDQDEGSGMVVQLNNLGDHSRSSFNGISVGWHVYPGLYGDSKTHFFVDWTRDGYQKDCYNLKCPGYIPEANIPIVPGATIDAVSNPGGVKRTINIKVLKDSSGDWLLHVGFDSEPYLIGRFPKSLFNTLGEAHCCCFLSPERTSSLAFMELVSAQRLLEADLARTSRLANTAKAELRVALERRSETAVLTPRKNPIEYTRT >Et_4A_033368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2205694:2209392:-1 gene:Et_4A_033368 transcript:Et_4A_033368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATWARAKRALATSLCISVPAPQRAIEDAPPLSSSLSECKSERTTVSILRRTSSGSRSTQKLCAICLGGMRTGNGQALFTAECSHRFHFHCISSNVKHGNLICPICRAEWKELPGAQPADANHGRARVSPLDWAQAEGHMAVVRRLSHRYSGNLQELPPVFHTPEVGIFDDDEHIDLQSDTVDEHNTVTGSFEMKTYSEFPAIQESVTKEVFSILIHLKAPKASHSANTRAPLDLVTVLDVSGSMAGDKLSLLKHAMSFVIQTLGPNDRLSVIAFSSTARRLFPLRRMTLTGRQQALQAVSSLVASGGTNIADGLRKGAKVIEDRRLKNSVCSIILLSDGQDTYSDRTQLSYRSLVPPSILPGAGHHVQIHTFGFGSDHDSAAMHAIAELSSGTFSFIDAEGSVQDGFAQCIGGLLSVVVKEMCLDIECVDDGVLLTSIKSGGYTCQVAENGRSGSVDVGDLYADEERGFLVTLHVPAAHGQTVLIKPSCSYQDTITIENMHIQGEEVSIQRPEHFVDCKMTPEVEREWHRVQATEDMSAARAAAERGAFAQAVSILEGRRRILESQAADSSDNQCLALITELKEMQERVESRQRYEESGRAFMLSGLSSHTWQRATTRGDSTEVTTQIHTYQTPSMVDMLQRSQVLVPPSAETLNRSPTVAPSNRSSHRPSRSMKSFFERFT >Et_1A_007455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34867476:34867922:1 gene:Et_1A_007455 transcript:Et_1A_007455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYWIGHEQNNKPVECSVELVEAKGLGRPALAPNADVVVSYGGVPLARGSTPAFSVEKKKTVTLEVDATSDGVGVPEDLLRLMLAERRSGLAQLEIDLRLFGRLFTCSIELDDVRFRARRCNALNIVSNRF >Et_1A_006787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27596217:27603296:1 gene:Et_1A_006787 transcript:Et_1A_006787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EYYACGPARFEGVSSDSGWWRLRSLSSSTLRFPAAVAGRATEADLRRQLEQTLAADPSSPLHHYNLGVFLWGRAEAEQEGDGEEAGRLRASAAERFLAAAKLNPNGGAPFRFLGHHYARGGDTQRAAKCYQRAVALNPEDAESGEALCDLLDVEGKESLELAVCKEAGDKSPRAFWAFQRLGYLQVHQRKWSEAIQSLQHAIRGYPTCADLWEALGLAYHRLGMFTAAVKSYGRAIELDSSRVFALIESGNIQLMLGYFRKGVEQFRSALELAPHNQSAYFGLASALLAWARHCVTVGAFGWAASLLKEALEAAKVCTSLTGNLSCVWKLHGDVQIALAGCFPWVDGKIRRNMDEQMFKDSILEWRNTCLSAANGAKLSYQRALHLAPWEANVHNDTAICLDLVYFMNDDNKLDSNIWELPEKMSLGALILEPVNKEFWVTLGSVSSNLTLKQHSFIRALHLDMSLSEAWAYLGKIYRQSGDKQLARQAFDRARSIDPSLALPWAGMAAENYEQPGGSPVNESFESCLRAVQILPLPEFQIGLGTIAARSGNLLSPQVLMAIRQAVQRAPHYPESHNINGLVSEARSDFQSAISFYQQARFALGLINSKSDNRYAFADVSVNLARSLCRAGLASDAVRECEELKNQGLLSVDGLKIYTLALWKIGRTEEALSVSRNLAEKLSGITPERASAALGFICTLTYAISGKDSAAAVIHKLPGQLSYTTQLKFIISALDAMQPNKRFQLPQLSMPPRLTSNEVMSEVHSNIALGKAIGGELDKPLRVHGSLSYLKKALHMYPDCSLLRNQLGSLLLWSGDWMASHKAVRVTSLSNGHTSSRGLRSTHQIQACATVSCYATCTPYPKFSFPTCEQQFLSGYDVTQHLQRLVHREPWNQDARYLLVLAIFQKAREEKYPKHLCIILKRLILQVLSNSSKSNENKVVQYEMFLLLLLSSEASLQSYDYDNSIARAKEAIRTTPSSRADTFFAHLQLCRAYAVQGDLLNSRNEYMKCLQNHTNTEIGWVMLKHMESVCSLEGSSDEIEKGLRDCVERNGSDPLKWASLFNLACAQCFIWDEDFASAEKALVQACAEGDTDSCILFLNAPQFISRAAYSLRKAQQKSLASLPIVSMLLAQAEGSLGSKTKWEKNLRLEWLSWPPELRPAEVYFQMHLLAGQSSAATSQQNQLVETMQSPERWLLRAIHLNPSCSRYWKALLQLMYV >Et_2A_015854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18416420:18419401:1 gene:Et_2A_015854 transcript:Et_2A_015854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSEDDAASERCCGSYSPSADVSESETSSDCSAPTASTRRFASSSSATVSRLASSSSSLPTPASAAAFYLSKPASDLSEVDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLSATVFGELWRLEPLAPARKAMWTREMEWLLSVADSIVELTPSIQELPEGGGQFEVMVPRPRSDLYMNLPALKKLDAMLLAMIDGFKETEFSYVDRGIMVEDSGGPFSSSSSSCGRLSVRQEEKWWLPCPRVPPKGLSDEGRRKLQQSRDCANQILKAAMAINSDVLAEMEIPEVYLETLPKSGRSCLGEIIYRYITAEQFSPECLLDCLDLSSEHHTLEVANRIEAAIHVWRLKGQKKSTPQAKSKKTWGGKVKGLVADKDKSHTLSERADGLLQSLRLRYPGLPQTSLDMNKIQYNKDVGQSILESYSRVLESLAFNIIARIDDVIYVDDATKKSAAAESVSIFNRGIGVPVQKRISPSPFSIQNTPYASPFATPTFCSSTPVNVSPGRVQPPLNKSNLGKQEIKVEKLFSGDLDKVWTYTGNLSARKDIGAAPERD >Et_5B_044348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2290990:2297061:-1 gene:Et_5B_044348 transcript:Et_5B_044348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRLGQSLIRRLHRPPYLQPSPPSPLMDHCGAVARSLAPMQAGTCVRGLAILSHNSSSLIGHKLAGPSPVHIVKVLDLVVHLNHNKPMSTATASKVPVGARKVGMKVVMMSPGFVYEPYSPRERIPFWKRWFTLSGWRRTKEDVILEMKNAYAVSRLRKKTGYTKKEFYDQAFKIYKEVNTLMAQGDTSALRKILTERMHSTIKNELKKRQSKWSSVHWELVEPAVSIRTLRARMIGLDKNDLDKAFIQLTLEFVTKQKFEAYNSKGDVVSGDKSKEVLVKDIWVFERSLFHPGAYWRVCGRITLWTATS >Et_1A_005568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11583695:11587820:-1 gene:Et_1A_005568 transcript:Et_1A_005568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYELLKDIGSGNFGVARLMRNKETKELVAMKYIPRGLKIDENVAREIINHRSLRHPNIIRFKEARYFFQQLICGVSYCHFMQICHRDLKLENTLLDGNPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIVSIQYQIPEYVHISQDCRQLLSRIFVANPSKRITIREIRNHPWFLKNLPRELTEAVQAKYYKKDNSAPTYSDQTVDEIMKIVEEARTPPKSSTPDDEEYDGEDEYDKQVKQVHASGDFQHLIK >Et_6B_049992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2789223:2790114:1 gene:Et_6B_049992 transcript:Et_6B_049992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVRKLETSLGLREGGGQVSTASDVYSFGIVLLEVFIRRRPTDDMFKDGMSIAKFTEINFPDNVLQTVDP >Et_10B_003420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19695223:19696070:1 gene:Et_10B_003420 transcript:Et_10B_003420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIAHQHHHQSLRHRQQTERAKKDALRSALRVADVLVDTVDGEAHQLFVNEKRIELEARALLSAVTRYRKQTDQWLSATNEINSALKEIGDFENWMKIMDFECKGINAVIRNIHQS >Et_6A_048089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:630540:631640:-1 gene:Et_6A_048089 transcript:Et_6A_048089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAARYFSAGPNKPVERPNPCSPARVRPLVYETSYPRLRRSAATGDEQTKDDLQSRPRLTPVPPELLGMVFLRLPTRADRASFPAVCGQWRSPALQYRLPPPSPMPWLVLPGGSVISFPHGETFHLPQGTCYHNSYGEWLLLSREGGTCFLMNPFTEDTMEIPSLSSYSSCNEPVETVNDRIVPDDELHDKWMDVMSAENITVVSLIVCSPRLIAAIVSADDCGCDPYTIALC >Et_5B_043361.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8081867:8082211:-1 gene:Et_5B_043361 transcript:Et_5B_043361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDDDEVDSNNTTTVCARRLKRIRLHELPQLQGIFGRAKLEAPSLESIKIRGCWSLTRLPAVGDKNKVKCDCEKEWWSKLKWDGIDAHHHPSLYEPTHPRYYKKTQTRISVLR >Et_1B_011727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25477443:25480493:1 gene:Et_1B_011727 transcript:Et_1B_011727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGDSGSKRPKFDQDGAGDIVIEPHLTEDKAVRIDQEPSSSSSSNRDAEASTSTSMKPAKTEEAGADLLPKEMNDMTISDDKVDGHNDKESEGVTVDGNGTETGQIIVTTIGGHNGKPKQKVSYMAERVVGTGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMQLLDHPNVVQLKHHFFSTTQRGEVYLNLVLEFVPETVYRVVKYYNRSNQRVPVIYVKLYAYQMCRALAYIHRVVGVCHRDIKPQNLLPLFPGESGVDQLVEIIKILGTPTREEIRCMNPNYSEFKFPQIKAHPWHKLFGKRMPPEAVDLVSRLLQYSPNLRCTAVDACAHPFFDELRDPKACLPNGRPLPPLFNFTVAELEGLPIELVHRIVPEHMRK >Et_8A_058373.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:5998520:5999179:-1 gene:Et_8A_058373 transcript:Et_8A_058373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGAGASRGGEGSSPPEKRYKGVRLRKWGRWVSEIRMPNSRERIWLGSYESAEKAARAFDAAAVCLRGSRAGSLNFPESPPDVRYIPSAQLMPELIQAEAVRHANQPASPAAAATAAASSQEGTPTGGGNNDRANFSVQASTYSGVDDAFDWSFMDVLPSMSAPSSAGTSSELVPAMDDFMYGSPPPPVIPPGEDGAPGMIDGDDDHTFIGDDLWRF >Et_3B_029552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2592572:2594822:1 gene:Et_3B_029552 transcript:Et_3B_029552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGAPSAAAATPATSKDPMNALRSAALRRSAPHWSSAAAFFFSPAFRPRHCRLRRAPAPAAATRTPRSRAAAKSRAKLLMETEATDPWLASLSLLPADDIAAADAAPTGWAIGVDPDTCGAIAVLSPDGSSQVFDNPFVRMVVSDVIRKRLDTKSIIQLLRSLDAPHGTTAYIEKSCPFPTDGKLGWWSTGFSYGLWIASLVSSGFSVVPVASQTWKAYFGLSRSESPKDDSRQAASVLFPDKATSLKLKKHHGRAEALLLAAYGKGLVLPSGKFSKTNLTLTPIAD >Et_2B_022172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10193198:10194189:-1 gene:Et_2B_022172 transcript:Et_2B_022172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVREDTHSELIFTPDSGTSFSHVLASTIRNLKFLTNTTAKPICVVTVTDASHVQAAVRERRPQRRARLRVRLVKVPPKVTVINVAKKTLDRATIDVVTKWQDVQRHHAQGGRIPVPVPRHVRRAPADNGVPAPGAERDEWRLPRDELAGQQRLLNRTSTGASAFVKIKSGAPSPSARGGRSSPGLGWTAPGSPSWSRTARWVHQHHPCRRYTVSAPERCRAVQHPVHRVLVRRWRWLGSFYDFMGRYVTKSPREAYVNYRDLDIGQNVVVNDVSAFDNGKVWGEKYFGGNFRRLAAVKRAVDPTDYFRNEQSVPPLPQCN >Et_2B_022777.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:4955838:4956797:-1 gene:Et_2B_022777 transcript:Et_2B_022777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRKKPAFLPLLCLALAVLLAGQAPRCAAFNPRMLFLVKPDPIVLNDHHGEVLAGNLTVNLLFYGRFTPAQRAIVADFVRSLSSSAAAPKSSAGPSVASWWRTTSLYRGGGARLRLGRQILDERMSLGRGPLSLANVTALARAAGHHRGAITAVLTAADVLVTPFCVSRCGVHDHAAGGAHGKSRYTYLWAGNPAQQCPGQCAWPFHQPLYGPQTPPLAPPNGDVGVDGVVISLAALLAGTATNPCGDGYYQGEAGAGLEAATACAGIFGSGAYPGYPGKLLTDPVTGASYNAVGLGGRKYLLPALWDPTTSQCKTLV >Et_1B_014168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33826098:33832348:1 gene:Et_1B_014168 transcript:Et_1B_014168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSLAAGHCLASEDRPRLSVDVKSSSSRRSCRPQGLRGRRSPLPSWPVLFKVNRKDEAIIPMASLIAEVS >Et_3A_024390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20322335:20325134:-1 gene:Et_3A_024390 transcript:Et_3A_024390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRQHAGCYWTGCQSKWFGVCAAGHFLDSHSDDCNGLCTESKSPPCLPLHTRFYCCIPGIPRVENKCGRCKNKLDFGKEFICCSDCSEPTLMDKDKKLGYCKSGTELIMQLKPQETFHWVSGPWMQCSSPCDGGIRYRDVACYGSLDDATIRHYPVDDASCSADEMPARQEACNEQSCSDLEMIQPTNPKKSGMAAWLMSLVIVLAIVAVGGIAFTGYTYYKRKTSAPSGFVYIMMEAYP >Et_4B_036443.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24371669:24372205:1 gene:Et_4B_036443 transcript:Et_4B_036443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGRRIVYPPIHEVTNRWGDLIYQVVLSDRTQNIDLGGGLSREEAHQRVREILLHGLRDGRYLARDFYPEREGSQRYVASHAGYRLDVEETPRRRCSSRIPASGEAMVNLLETSMKDGECSVCLEDFKTGSKLRMMPCSHSFHEECIFNWLRLNHVCPLCRFSLPTKQQEDDLSNC >Et_7B_055291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9003792:9007939:-1 gene:Et_7B_055291 transcript:Et_7B_055291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPAPSNRAGCNGNIGSPMGSSDDPFGGAAMMNFDGYSELCSPSVADQIFSLLNDPSAAQQMFAMWSSLGSSPRASGMNQDMLFENCPGPVETVATPSQKINLASPVDTAEVDRVIKDSDEVVPNNSQLQGSNIIPRSVVGNVLADKMLVALSLFRKSLSDGVLAQVWMPVEHNGQVLLSTCEQPFLLDQVLAGYREVSRHFLFSVKEEPGLHLGLPGRVFISGVPEWTSNVLYYSKPEYLRMEHALHHEIRGSLAMPIYDPSKGSCCAVLELVTNTEKTDFDAEMDSAVNLQTTTDRNSQKVYSENQKSAFTEILDVLRAICHAHMLPLALTWVPTSNGIGNGYGVGKDISIGSESGKAILRIHESACYVNDTKMQGFLHACAERHLEKGQGIAGRALKSNLPFFSPDIREYSIEDYPLAHHARKFGLHAAVAIRLRSTYTGNDDYILEFFLPVNCKGSGEQQMLLNNLSSTMQRICKSLRTVSETEVDNINACSAAMYEHTNECHLRPGNSDSSSQDEQPVTESAFQDLSLVDKRQDMEQEQAQTSTIRVAEKKRNTSEKNFSLDVLRKYFSGSLRDAAVSLGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVINSVHGVDRSLQYDPATGSLVPAASLPDKTLFSGCDTLPTPSVGKIMEKKSSPKSEEGFSSPDGCQRESSQLQVPGVRKREGDKFGVLASDNNGSNIQNITQRSNSEGAQGPLYPIGVIDSLHTTCYIDSSTSLHPSIDSIEDQTIGRNSSFVQQADVNMADGHDAKVHTHPSTSGMTDSSSGSASSQPTFKGNPGHTLKDRTSPTLTVKATYNGDTVRFKFLPSLGWYNLLEEIAKRFKLATGAFQLKYKDDEDEWVILANDSDLQECVDVLDSIGSRNVKLQVRDLPCLISSSGSSSCLQVEAHSS >Et_3A_024857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24932784:24936572:1 gene:Et_3A_024857 transcript:Et_3A_024857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHWQPCLFETVICHVKNLICCVCDSGYNLLRDPRYNKGLAFSERERETHYLRGLLPPQIVSQELQERKIMNNIRQYQLPLQRYMALMDLQEGNERLFYKLLIDNVEELLPIVYTPTVGEACQKYGSIFSRPQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQCLPITLDVGTNNEELLNDEFYIGLRQRRATGQEYAEFLQEFMAAVKQNYGEKVLIQFEDFANHNAFDLLAKYGTTHLVFNDDIQAGTGIAELIALEISRQTKSPIEECRKKIWLVDSKAIKPTVLIGTSGKGQTFTQDVVEAISSYNEKPFILALSNPTSQSECTAEQAYTWSKGRAVFASGSPFDSVEYNGKIHVPGQANNAYIFPGFGLGVVMSGAIRVHDDMLLAASEALAQQVTEENCAKGLVYPPFSNIRKISAHIAANVAAKAYELGLATRRPRPKDLVKYAESCMYSPIYRNYR >Et_1B_014145.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:32709867:32712059:1 gene:Et_1B_014145 transcript:Et_1B_014145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASASSPVEFLLRRPPPRRRRPPLAGAFFAPTGLAGGPLLRALASLAASLLAAPRPPSQRRNLDALMRRLALLSALLDSVLLLLDEEGEAAFSDAANLCFRELYVVLFRADLLVSYVSSSGRAWALLRGAHLAASFRDLDAELAVVLDVLPAASLRLSRDAAEHLAFLRACCRRRAPGQYHDPAEAALRDRLLDALRQFELSQTPNHSKLQSLLADIGISDAASCRAEIEYLEEQILCQEEDTDLLLIGGVVALLRYCLFSLLDAGNKKALRVWLSAGNRQRLFSWSCGDDGSFSVPKEFSCPISLDLMRDPVVVSTGQTYDRPSIIQWIEEGHSTCPNSGQALADNRLVPNRALRSLISQWCGVHGFQYDSPESNEGMIECVAVSCSSKAAIEANKATARILVRMLTEGSDSAKPVAAREIRLLAKAGKPNRAFIAELGAIPLLCSLLLSPDWMAQENAVTALLNLSIYEPNKTRIMEQEGCLQLVVSVLKNGWTTEAKENAAATLFSLSVVHEYKKMIMNEPGAVEELASMLTKGTPRGKKDAVMALFNLSTHPESSRRMLDSSAVVALIESLRNDTVSEEAAGALALLMKQPSIVHLVGSSETVITSLVGLMRRGTPKGKENAVSALYEICRRGGSTLAQRVARMPGLNTVIQNITLTGTKRAKKKASLIVKMCQRSQMPSAMALGNTLTVVDHSLVGNSTLRRAASFGSGELSNPMSISVPVP >Et_2B_022942.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:8921271:8922164:-1 gene:Et_2B_022942 transcript:Et_2B_022942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFRSATASPAATWTASPSPLPSKNTSGGLANLQWLLRKRASKVRHGGGMSPLSQEPPENEGNYADDDEASMFAGATPYYEAASMFAGATPCRNRKRGGEAALTRLRAAILAVVARARRGGKGSSSSPALGSRSCVTGTIFGRRHGRVHVALQADPRAPPATVLELAACSTGALVREMASGLVRIALECEKTPTLSKTQGKRSRRALVEEATWRAYCNGRKCGYAVRRECGADDWRVLRAVEPVSVGAGVLPDDDMMYMRAKFERVVGSRDSEAFYMVSPDGGAVPELSIYLLRV >Et_4A_035250.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:14721344:14722027:1 gene:Et_4A_035250 transcript:Et_4A_035250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTVPHEVQGETPSPRRYKGVTKCPGGKWGSYIRDPASTRLKMWLGTYDTPEEAVCAYDAAARTLRPGSRTNFPKLTGEEEKRHAVVLEHVAGVKRKRANRLWKVARRKMEAAEAAAAVRDAVSPVPPPPAPTPEGDASGSKVAPPPEGNTVSFVPPPSAPAKAGGAEPVVSSTTPHFLLLHHLHRPIFHLISTRFPPVFTSAPHYFPNTVAPPAAFHLTPAPIA >Et_3B_028840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19701488:19711883:-1 gene:Et_3B_028840 transcript:Et_3B_028840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGVFKSAVVSVPWNFLVYSLLGALLLWHTGRLLQQLWWRPWRLERALRAQGLRGTSYRFITGDLKEYVRVTKEAESRTLPLRCHDIAAHVAPFVHNNIREHGRLCFSWFGPIPRVTITDPDLARDVLSNKFGHFEKPQFQVLSKLFADGVASHEGEKWAKHRRILNPAFHLDKLKLMLPAFSACCEELVSRWTQSLGSERSCELDVWPELQTLTGDVISRTAFGSSYLEGRRIFELQTEQIGRLMSIIQKFGIPGYMSLPTKNNRRMHQISKEVTLILRGIIGKRIKAIKEGKSTKDDLLSLLLQSNMRHTDENGQSSMGMTIDDVIEECKLFYFAGMETTSVLLTWTMVILSMHPEWQDRAREEVLGLFGKRKPDYDGLSHLKTVTMILYEVLRLYPPATTFSRKTYKEMVIGGSTYPAGVMVELSVLFIHHDPNLWGSDVHEFKPERFAEGIARASNDKLAFFPFGWGPRICIGQNFALLEAKMAMCMILQSFKFELAPSYTHAPHTVMMLRPMHALLVLREASPWTIAGTVVAAVLSWLAVWALEWAWWTPRRLDRALRAQGLKGTRYRLFTGDVRENARINREARKKPLPVGCHDIGPRVLPMFDMVGKEHGKLSFTWFGPTPRVMIADPELVREVLSNKFGHFGKLNSTRVGKLLANGVANHDGEKWAKHRRILNPAFHHEKIKRMLPVFSACCVQMITRWEKVMSSEGVSEIDVWPEFQNLTGDVISRTAFGSNYQDGRRIFQLQEELAERLIQSFQTIFIPGYWFLPTENNRRMRQINREMRKTLCGIIGKREKAIKNGEANNDDLLGLLVESNMRQSNGKANLVMTTEDIIEECKLFYFAGMETTSVLLTWTLIVLSMHPDWQDKAREEVLNQFGRGRPDFDSLSRLKIVTMILYEVLRLYPPVVVLPRRTYKEMELGGIKYPAGVNLLLPILFIHHDPENWGKDASEFNPERFSEGISNAAKHQSSFFPFGGGPRICLGQNFALLEAKMALCTILQRFSFELSPSYIHAPYTASPWALAASVAAAALLVLVAWTLDWAWWTPRRLDRALRAQGLKGTRYRLFTGDVRENVRINREARTKPLPVGCHDIIPRVQPMFYNVQKEYGKLSFTWFGPTPRVMIPDPELVREVLSNKFGHFGKQRSTRIGKLLANGVVNHEGEKWAKHRRILNPAFHHEKIKVIVN >Et_8A_057687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6076701:6077913:1 gene:Et_8A_057687 transcript:Et_8A_057687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEAAVVASIKSRPNNKDLKLTVRVGSFWSLPDGAPKTYLRGQKLPLIDVAMNYELIQLVDYRDDDDSYCVAIKSDEQLHEWLQLNIESDFVPIYCQINDFEGPLQFFPTKRRFHPTVRNKVPINEGDTSKSATPTKERAPSKSATPTKERAPSKTATKKRVKKSKRKGGDDEEPIGVDDEGIYDDTEVLSDSSYDSDFAASSDSDDGEYDPDDEIVDEVDEDDIPAFAYDVDNPSIDVGVIFSDTNEAQSAITQWCMLHDHAFERIYNQVQEVRERLQVEVSCIYEQEEVYRMHGNFSYVKISGPKHTCGSFKKC >Et_4A_031762.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:11885767:11886246:-1 gene:Et_4A_031762 transcript:Et_4A_031762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SREEYRTSLEGSGGGLDGGALVVLDEEVVVVGEEGGGVELVGHVVEVGVLAGPVVAEPGGGGDEVPPLRREVLHLQVRCRDEERRVRVQRRRHRRGAHGPPLLRFLQRPDRIGSESAELQHQARGGTRKSPCPRHEKPARLADLRPRRPGRNGSWNPQI >Et_8A_056374.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:5741485:5741679:-1 gene:Et_8A_056374 transcript:Et_8A_056374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNEFASSVAGGLVAEMKEQVQLNFLCFQINHVYRECNMVDHGLAALDYECSIEDSRIVDNLT >Et_5A_040895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14332968:14335176:1 gene:Et_5A_040895 transcript:Et_5A_040895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDPLVNVPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKRCKHFEIGGDKKGKGTSLF >Et_1B_010924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15494677:15497090:-1 gene:Et_1B_010924 transcript:Et_1B_010924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGHRERLLGVANCALTGAVPPWLRASARLSVLDLSWNRLGGFDALFRIDLSGNALTGGVPPSLAGLKSLAASRVRLSLSGDYGVRLCHWHLHLAVAGPQPERAHRRDPARRTLNLLNLSWNSLSGQIPASLGLLQTLDLSNNELAGEIPSSLAGLTFLSCFDVSFNRLSGAIPDHGQFSTFPCSSFAGNPGLHGEYCDGLVGAGTQDNDDGDLTSLVDDFWLPFWLGILPHLQVQRGNINSFSFVLLPKPLTFAAKIILEFLLQKLSKTKSGQDA >Et_7A_051295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16721714:16731434:-1 gene:Et_7A_051295 transcript:Et_7A_051295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLPVRIIAARSTDAARRGLPPACLLPCADELVRARAGTSLPPTPGDLGKEHDGSPPSEPAGPPYTSTNSSSVMEPDLLQLLHLPPCQDHLMVNSAFFGVNPSSEPGFVAPAAALAGLDDCGWMEDLMQFGDELFGGDHNVAAGTDDHQAPSQCEGGSPPSMSLDGEGSLAPSVEQGAGGEQDDVSAATRKRRDRSKTIVSERKRRVRMKEKLYELRALVPNITKMDKASIIADAVEYVKNLQSHARKLKDEVATLEAQPRSPVLKQQLKTNAAAAAGRRRGRGAPPPADDGDDEESTTGPAGARVTHVGATQVGDGRFFVTVECERRDGVAAPLCAAVESLACSFRVETSSIGRSGPDRVVSTQILKASDQIGDATTISEGTVKLWMMAALLKEGFQPEATHHLLPLQHLSPQDQLMVSSSFFNACGSAAHFSFSTGGGGLAEPMSLDQCEWVQNGLMQLNGEMFLDGGGVSTSDATAADGQPWRCDGGGSSDGPRSAAAGAPFDGGEQQGVRDQLAAELHRDGGGNAVGARKRRDRAKTIVSERKRRVRMQEKLYELRSLVPNITKVSAPKLSLERENSSRSVHKRLDRSAPQSLLPLQMDKASIIGDAVEYVRKLQSHARKLQEEVAALEARPTSSRQDAQNDDAGSTSISHGGIARVAHVGATRVGEGRFLVTIECERRDGAAAHLYAGVESLACFRVESSSLGRSAPDRLASTFTLKATCEAESATIGEGTVKLLVMAALGEEGFRPEAKAGIS >Et_2A_016547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25891673:25895698:-1 gene:Et_2A_016547 transcript:Et_2A_016547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKPEDMVHHPPMDQLQGFEYCIDSNPSWGEAIALGFQHYILSLGTAVMIPTMLVPLMGGNDHDKARVVQTLLFVTGIKTLLQTLFGTRLPTIMGGSYAYVVPILSIIRDPSLQQIADGNTRFLQTMRAIQGALIVSSSIQIILGYSQLWAICSRFFSPLGMVPVVALVGLGLFERGFPVVGKCVEIGLPMLILFVALSQYLKHVHVRHVPVLERFSLLMCIALVWVYAHILTASGAYRHTALVTQINCRTDRANLISSALWISIPFPLQWGAPTFSADHAFGMMAAVLVSLIETTGAFKAAARLASATPPPAYVLSRGIGWQGIGTLLDGLFGTGTGSTVSIENVGLLGSTRVGSRRVIQISAGFMIFFSILGKFGALFASIPLTIFAAVYCVMFGIVAAVGLSFMQFTNMNSMRNLFIIGVSLFLGLSIPEYFSRYLTSSQQGPAHTKAGWFNDYINTIFSSPPTVALFVAVILDNTLDVRDAAKDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPS >Et_3A_024903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25145637:25152842:-1 gene:Et_3A_024903 transcript:Et_3A_024903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNANSSTSSLRGQMVASEWPPGSSGGSSHHTDGPPPSPASLSPPPGAFGSDDGQFGCRMPKRARAESGGGDGAGIADRAAGDGRDRISELPDAFLLSILSHLPLRDAGRTAVLSKRWRGLFDQSLLDFNACQQFPPEEGRGCEWMIGAVTDILAARPLVRIRSFRFVMYGRGFDGHLPVIDGWFHDLARHGVRELDVDMFYAAPKPELPGSLLDFASLETLKVYCCRFPDTEQPLRLPVLKNLDLSNVTVSQHSLQAMLFNCTSLECVKLKNIVEVENLCLRSKSLARLYGDFGDLKELVIEDAPNLEELVGIDLPNGKVKVKIIFAPKLKVLGYLGINVRLVLLDTLFKGGILQLGTLMHSVRTVAIQVPFSEKGYTVFVTQLLKCFPCLEVLHVEPDKRSVSRSVTVEEWDTSKPIQCIQHSINRVVFEYYGGEECQGGFLAFLLGMARTLKLVEVYCWKGKDWAKDQVELMNPKNRASPDVEFLFSEFVSRLGTGTDATAAPGDSRWKTELGLQGDRISDLPDAVLVSIVSLLSLDEAARCSVLASRWHHIFPLTLLDLEVIFPIRRHSVINLVSSILAAHPTAPVRSCRTSHAGINGDEDPDGGWLLELARHGVQELDISFEETWQKIPASLVACADLTCLRASLCTFPVPDPSAFTAAAVPPLARLTDIELSFVEISKDALYALLAHCAALERLRMQYMCDCGSVHIRSQSLKTLSYDGCSGELFVEDAPNLEWVLLDNEDIYWGYPHLMVAQAPKLEVLGYLGMGAPSIDIGETIFSLKTLAVDVKNRDTGYTNWFMKLLELFPCLVTLYIRSEINPVNHSEVPESWNLPRSIPCVDNRLETVVFEVYRGHKWQSELARFLHLRSRCLKTMEFHCMDDSHRGPPTEEWVREQKELLCLDSRGSRDAHFLFFKYRLLSNHHDICRNEHPDVSECGLPHDHLSDLADSILVSILSLLRLDEAARCTVLASRWRRLFSSTLLLDFNAYMPSCRDVAEAVTFLLAAHPTAPIRSFRTTRHFRDGWLDELARRGVQKLDLDLESNDERRLIPASLFSCTSLTHLKARRCVFPDASTTAAGAPHLARLTKVNLWFVKISDESLDVLLSQCKALESLKMLYAWEVCSVRVRSPSLKVLHCDGCLHELIIEHAPNLERVYGRGMDKKGGKGVHLSIEHAPKLEFLGYLSMSFDAIEIGKSVFTEDRIRVRTLMPSLKTLAVEVNYTWEGYISWITRLLELFPCLETLYIRSDTWSCIQAAAPDSWDVLRCIPCIENHLQKVVFEVYRGHKWQREMAKFLHGRSRFLKAMEFHCMADSSTPDPLDRPSEEWDRKQREILCLDSRASKDARFLFFKHQLACNHHDISHHGWYKRKYYDGLYEV >Et_10B_003129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16939153:16943964:-1 gene:Et_10B_003129 transcript:Et_10B_003129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTRAPNPLEAPAPKRSQKSQRAKRRKQPATRLRLRARPQPPAAAEEEEEEQMAPLVPTSQPWVEKYRPRQVKDVAHQEEVIRVLTNTLQTADLPHMLFYGPPGTGKTTTALAIAHQLYGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGTAKKAGYPCPPYKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEEVMSNRILHICNEEGLNLDGQALSTLSSISQGDLRRAITYLQSAARLYGSSLSSKDLISVSGVIPEDVVKSLLAACRSGEFDVANKEVSNIIAEGYPVSQLISQFLDVIVITDDIPDEQKARICRKLGETDKCLVDGADEYLQLLDVASETIRALFNMPQGTTLPRIRRFESYALGIWENLDS >Et_3A_023139.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30978511:30979643:-1 gene:Et_3A_023139 transcript:Et_3A_023139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARTPVTWATLIRGFAEKGDMAEARKWFEATPPGMRTVVTWTVVVHGYVAARDMDTARELFDRMPARNAFVWSSMVTGYFKAGDPEEAQAVFDRIPARNLVNWNAMIAGYAQNGCSEMALEAFHSMLEERVKPDEFTMASLLSACAQLGSLDQGKKVHEFINRNQIRKNHFVMNGLVDMYAKCGDLEYAWCIFDSMRWRNTECWNSMISALASHGKSDEALRLFVQMERSEQKPNAITLVAVLGACTHGGFVEEGLTIFNKFHVYGVEAGVEHYGSLVDLLGRAGKVKEAYEIVKNMPEEPNDVIWGALLGACRVHGDAEMSRRVSEEIHQLHSVHASSNDAEYIMLSNIMAASERWEQAEHMRRKMAG >Et_6B_048995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16528817:16530771:1 gene:Et_6B_048995 transcript:Et_6B_048995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVAIVERIVDIGLKIKEAVDMVRQNKEVCLEIRKSVLRYSDMLSQLQQAGMMDNIPTMGNALKDLAEVLEDALELVTACQEKSTIRLFVSAGDLSKQLRWVKESISEKAMIGLWALNVYNTMALLDMMQNVNPLPRQSEVHCSTLTKVQVANLCVIFPLKIEETIVTETSFNRISSDDPRSDINLFIIVNVKGWHHLRLS >Et_2B_020733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23072928:23076865:-1 gene:Et_2B_020733 transcript:Et_2B_020733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIERRGKKSIKKSNTTIKLRNGMSNMRPAREGPKKMVGMSSFTCLAYQRSPDQFYCYICGVLGHGERFCPYNYIYGRYVDQTCKGECTSGPEQHRITSQDHHKFLCCFIRVNNMPPGFHLWKLEKLFSPFGPLLMWDVPKFINNTCCCVTKIHMSFGVVVFKNREDGSRAIDELNGYETGGRKLRVDWEILHSSRDVLLSKKKDKGGAGGDLGATSFWSDPDAVFCSICGDDKEEHLELMCPYNYLSPAAYSPCKARLALWGNYTTTPRYKCTRQHPVEKKQRDPPVDDETNSRRLGFMRCFVRVNNLPEQCHPEEISALFSKFGPLRMWHVATHRSGICKGFGGIVFQNRDHADEAIETLNCFVLGDRKLRVDWAYPSLNC >Et_3B_028025.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:32184798:32185070:1 gene:Et_3B_028025 transcript:Et_3B_028025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVSRRGVVAVLVVALVVAAAAWVPAASAYGCYDDCYERCANGKEEPGCKKMCTEACGAVDKAAGAAAGVAGAGATPAAGAVEGKPAV >Et_8A_057604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:533263:539150:1 gene:Et_8A_057604 transcript:Et_8A_057604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSETTANSTLTTTTIEGGGELESKSFNGAASTASVGKGQEDDDEMIGPGPAAARPRQKRPLQFEQAFLDALPSAAMYEKSYMHRDVVTHVAVSPADFFITGSADGHLKFWKKKPLGIEFAKHFRSHLSPIEGLAVSVDGLLCCTISNDRSVKIYDVVNYDMMFMMRLPYVPGAIEWVYREGDVKPKLAVSDRNTPFVHIYDTHSGSNDPIISKEIHGGPVKVMKYNHVHDVMISADAKGLLEYWSPSTLKFPEDVVRFHLKTDTNLFEIAKCKTSVSNDGSQFAVTSPDRRIRVFWFKTGKLRRVYDESLEIVNLHTNKVSRILGKVENNERFLRIALYQGDKGNKKVRKIPSAAVNVNDSKEPLSDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPPEELLAVSDLGKTATTSLPDNVVLHTSMGDIHLRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGSEFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQQIEKVKTDRNDKPYQDVKILNHGLRARNVQSVVGAGQLLVNLSVEVGRLV >Et_7A_052113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4797655:4800758:1 gene:Et_7A_052113 transcript:Et_7A_052113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQQVWQLGTKDMKAIAISRQRTSAKRCVWILVVTAFISIALVWAYMYPPPHYKSQMRDWLPAEPARELTDEERASRVVFRQILTTPPVRSKRSKIAFMFLTPGTLPFESLWDKFFEGHEGRYTIYVHASREKPEHVSPLFIDRDIHSEKVTWGKISMVDAERRLLANALQDTDNQHFVLLSDSFYDPGPHGNFRYSKNMLPEVRETDFRKGSQWFTVKRQHALMIVADSLYYTKFKLHCRPGMEDGRNCYADEHYLPTLFYMMDPEGIANWSVTHVDWSEGKWHPKAYRAKDVTYELLKNITSIDTSYHVTSDSKKMVTQNPCLWNGVKRPCYLFARKFYPESINNLMNQFSNYTLF >Et_3A_024817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24523986:24527756:-1 gene:Et_3A_024817 transcript:Et_3A_024817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRRRTLLKVIVLGDSGSAHCSPIIPLFLPRIPFPRVVLRYVHKKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNNNRSFDTLNTWHDEFLNQASPSDPKTFPFILLGNKIDVDGGKSRVVSEKKAMEWCASKGNIPYYETSAKEDFNVDNAFLSVAKLALEHERDQDIYFQTVVDPVPDTEQRSGCAC >Et_5B_044689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4692897:4697013:-1 gene:Et_5B_044689 transcript:Et_5B_044689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEECPPLAVELSPQAQSTPAPDSSSSLPVGVTVITGYLGAGKSTLVNYILNAQHGKRIAVILNEFGEEIGVERAMINEGQGGALVEEWVELANGCVCCTVKHSLVQALEQLVQRKERMDHILLETTGLADPAPLVSILWLDDQLESSIRLDSIITVIDAKNFRLQIDEHKNSSSFPEAFHQIAFADVVILNKIDLVKDNLEDLERHIHDANALVTVVKSVRCQVDLDKVFNRQAYGAKNSSHLQELLDYSKSVPPSRRHDNSISTLCIYEQDPVSLAKVESWLEDLLWERKSSMDIYRCKGILHIHNSDQVHTLQAVREVYEVVPARQWSDTESRMNKIVVIGRNLDISVLQESFSGCKG >Et_5A_040689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11145061:11151927:1 gene:Et_5A_040689 transcript:Et_5A_040689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHAGENRLSAAQENDAIAEKRINAMPQMIGFGSSSATTRDAFRDQLRAAYEEEKAAGDQATSFSIGCLLFWMSVHRNVPLEETTQLGPKRFTHGPVRRQAKSRDTAQVFSVAVKGIEPDLGFQWPLEVYGFVAVRDNLDSRRNMIFRRDRNNCQTLTAEDSSLVLTGPNRAVVAGDIIYFEVELKVKGTRESEDKMLTFLCIDHNCIGARSSYGKLFREIHTNRYCTTELLFAQLRVAVEATVDVKVVEGLRNPFCLRIIARTKSFPDDDFVLFDSRGGGIVESDEGMIILSRSVVSVESDGELILFAEAREPNSSAVVSTITLTPKIESTSDGLFNLGFCKMEVCDHLLPLHGSGQLTPRMNPEYTYGEPILIAEARETESTTFVVSDTIILTPKRDGATD >Et_8B_060476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17538687:17539623:1 gene:Et_8B_060476 transcript:Et_8B_060476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNGFPCKDVKDVKVDDFFLAANLDKPMDTTKIKVRSNVTLVNVMKLAGLNTLGISMARIDYAPNGQNPPHTHPRATEILTVLEGSLYVGFVTSNTDNKLFSKMLNKGDVFVFPQGLIHFQFNPSHDKPAVALAALSSQNPGAITIANAVFGSKPPIADEVLAKAFQSAKQWQIATESMPVPGNSALISYDWIYILAS >Et_4A_032043.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:13416110:13416469:1 gene:Et_4A_032043 transcript:Et_4A_032043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSAAASVACLVLALAAASAGAATSPAPAPAVDCATAAASLIDCLDYVSPGSNKTKPGKTCCGEVKSAVANPALVDCLCAALGSKNLPITIDMKRVLALPGACGLSNAAFSKCHSE >Et_10B_003379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19201048:19210135:-1 gene:Et_10B_003379 transcript:Et_10B_003379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSRMPSLPAGFRFHPTDEELILHYLMNQAASIPCPVPIIAEVNIYQCNPWDLPPKALFGENEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKAILSTPTSENIGVKKALVFYRGKPPKGVKTDWVMHEYRLTVGNKTTKRKGSSSMRRGVKSEQGDLFLPPGFRFYPTDEEVITSYLLQKFLNPSFAPRAMGEVNLNTCEPWDLPSKAKMGEKEWYFFCHKGMKYPTGSRTNRATKEGYWKATGRDREIFKPASASAGGGRELVGMKKTLVFYMGRAPRGSKTNWVMHEYRLEGKSKDNNHSNLRFNPKDEWVVCKVFNKKVEEKKAAVEYSAGTPNVSSISVDAAGDGGDDFLDSMIDPTSYLNSTCSLPNTTTMNDAVAPYNAAATTTTSAVGSFFDLPNYTFSDTTSRNLHQAAVANSTVPTNNSYGSSWNLLQANHAVGSYNLHDQAMMAKALGSVISPNLAGDLPSYSTSFTGISQQTSLGVPQRKVEPDYGGSYASNYLASGAAANSAVIGKAARNL >Et_5B_045253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1542206:1543890:1 gene:Et_5B_045253 transcript:Et_5B_045253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METVMPHAAAASVGRRQLQGIRGLDGRGSAANRVTFGPARQGGGSGRNMIRATGIGRFFGHGTGEHSNKNHEVDDMAPARLFVGLPIDSVTDGATVNSAAAVAAGIRAVRLLGADGVELPVFWSVVQPLSPDRFSWAGYQAVAEMVRAEGLSLRVSLRCHGSPGAGVPTIPSWVKGAGADDPDIFFTDRSGVRHDCLSFAIDDLPVIHGKSPLELYEGFFRSFAAAFDDFFGSTITDVTIGLGANGVLRYPSYPPGSDGARFTGVGEFQCYDKYMLSRLRHHAAESGNAMWGNSGPHDAPRYHESPDACGFFREQGGSWETPYGNFFLSWYAGHLVGHGDRVLGTASAVFRDKPVELSAKIPFMHWWHGARSRPAEAAAGFYKPNKKNGYSPVAKMFARHGCAMVVPGMDVCMNKQHHSTGSSPDKLLVQIKNACRRYCVRIAGENASLVMTHTSSFSRMRSNILTTERMRPCHFTYQRMGAEFFSPDHFPQFMEFLRSVVCGEWDEDDAAGDDEREMAMSGGANDNGREARTA >Et_4A_032073.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:15486915:15487280:1 gene:Et_4A_032073 transcript:Et_4A_032073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGQGTYSRNIFVLEKMQLSVILCGMWSLWMMRNKRRHGEAPLPVRQAVEWVRDTAFDLCHLLHPEKKQEDVRPNRWKRPQENWLKSNTDGAFYVETNTGATGAIVRDHDGRFLAGRAA >Et_3B_027496.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:22355202:22356932:-1 gene:Et_3B_027496 transcript:Et_3B_027496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSASASTTSLKRTHSSSLAISSTASLVGTESQASHCSLRTSIQPSSESQSGSAEQGPANALILWAGRSDMPASSSSSSSVSDSQSAASSVLLGDTHLADTSSALPADAKNGCSRSCAAVHRSRGFLAKHRAKKSLASVESQAGTSGVASVYPIRWTAARTSSMSLQGARPVAISTTVHPSAQTSAAGPCSSPRATSGAMNAGVPPIGRPDPTALAHPKSASLARPSAPTRTFLALTSPCTSDRPWRYARPRATSAAYARAARSSRRPPLLAAASASEPPGAYSRKSWHSPPASAARKPRKGSTCGERSAERMAPSRRSCSAPAAPADADLTAKSAPEAASAARETTAPDAPRPSVRTRVHRPLTAVAMPLGALVCSKIFWSCAICLCRSRVLPVCVFACVWSGARVLIRRVARGAAWPPFRTSRSCRCLLRPRRAAWKACDARETRRRLPCGGLSSGRLARPVARGGNVAGREARGDFRIWAGELETRGRSIWAWEREGSLVSSAIRGRSFSRFCRVIACLTADVCLSLVLTSRFQFHRFDASGENEETQTRTYLHTCQREGPCVNIVDFSFHAR >Et_1B_009761.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25182228:25183137:1 gene:Et_1B_009761 transcript:Et_1B_009761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPSASASRSESFSRGGWLRSKARVAPSIERLDVDAGHLGESFNSSTASFIDIDPAELFSMRWTSLDDAGFDFGLPMLAGAGDIPPCEPSDIVCCDGGSAYADASSASSPAVFHSAQNTPVSVAGGSRRGGAKRRAAPLLATRRLMLMYLRFLVPLCRKARSLAPRSGRALAATTPARRSTSSVSSVAERWCHGNADTAVRDAILYCKKSFTDRAAC >Et_2A_018093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10354362:10362269:-1 gene:Et_2A_018093 transcript:Et_2A_018093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSYSAAAFALRASKPSLHAHSSYSYSYLPSHHCHRDDADGHHRHELVPQSPYLAPRFLLDGYLLRHSAHLLLLSSRLQPPPPPHPHPPRCCRRRAVARCFDGGGGAPVHGNVSWRVEARGCRCCGRGAARSDLGAACRRLEVRGCHCGGSGSGRLLGAGCRRRDAPRLVRRAVQQEVWEYDGEWPHRRCSTECHCDREEEEEECVHGHWDVAGDQRRRRGRWEDADVDNNGHRCRDCGQRKGLGSYYNGGDEYNGRRRERRDVDEYHGSSRDSDRRRWLQRDYHDDEEDLDLRRRRQSWEGRDRRELDFDDTVDTRVETRRYGDDSRKYDRRESRDFDYDDVVDVRRTGRYAEDARRFDRRTNRKDFEVDDEVDVRRDRRRHSNDDERYIMGRQRREDDASHMRARRRYDEEFDYDDQDIAERRYYSEGRSHKSARASELRENDEKRASSSRTTVDARHERQEENSSSRVRWRDDVDRRTSEVRDQRYSVGWSSDEREAHDYDARTRTQDVKVITEDDTRLASSSNNTSILKHSSNVDQKAAVRKDESRKSSHNIMEVSEVSGNSFEQDTRAQSYHQEGRRNVENRSSSLQSSVRMASDSRRQVDQRSEVNQHFTSLTDSRKDAEKFTYVTTDSSRNVISASHSRRNYDEVNRIDTDDTSASIQNITHVTRDKKRIVNQQVIHETDIDVQNVTHVDVSKIHASDISKIHASDISVSRNSQNHSETGSNVNLTSNMDLIGNASGQEEQIYEKICASDNAMVRYPQSHPESGVYGRAHSRSSTNISDSMTDTHDQVELTKACTNNSAVASTSESHLQTRTNDQFWSSSAVNTIGSINEQYDLAKIHASDGSVVISSQDFDTRNGNQVGMSDQQSTQVSSTQGTGEQRRKSSESSQESREKLVRLEDTVQHNMDLIWQQAGTSGISHDKDVTRLPIDSAEDGSSMVNTDMPQQATIMGSNEQEVRRETTAGSSIPSGSSARQSVKESMLESAARLEKSSTFHVGQFVDELQKGVSDADTASGKKNEKSMVEGITSSSSRSRMKGPADEMWDVQSTTSQETFKTADKEEGSSADGATNSASQTPKNEAALAKRAHKSLWAYVADIIRLGWVQRGDSHDSSNRSVKKSSSGNSQTTEGWLSSQEHDNDGIRKKYGSTKEKDQQLIKSHSGEPESRVVSLPNEENLHTGIQGLHISGAGTIPRVGRSEGDLLAASSKLDQNISREKLKQSVVGELPKGSSIEESAPTSVDVMIGHSLDHKAPTSSRITTKGCGEVSTGKGMLADTPSVTISSTESGHIGDGADWMYDPSGAITPYQHPQTQAVTPHDSTSGSAVILESPVLPVGGSMRFEEKNVVQEAPEVIRTEGKDAELKRRMFQRNKQVLKETFDEWEEAYQRDAQLRKADELFMREALLEAQRAADIWEDTTLYVTLEPCAMCAGAILQARIDTVVWGAPNKLLGADGSWVRLFPGDGQTSTLDSANQSQTTGPIHPFHPKISIRRGVLSSECSEIMQQFFQLRRKKKQKPQSPPRAHHQGHHHPIKFFGKMHNILFNSTKRKIDQSNSSRTKEQKKKSQT >Et_3A_026326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6905896:6914055:1 gene:Et_3A_026326 transcript:Et_3A_026326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLRASPLSLLSRLKPRPLASHLRGLLPLSTAASAPLVPPSHRTLAAVAAATDAATPPGEVAPAADARVERLQPLQWPQRDALCGELGAGDAGRRVRLCGWVALRRTHAGLTFLTLRDRSGMVQVTTLPEYPEVYNVVNKLRVESVVAIEGVVRPRPADAINTDMKTGAIEVAADQIFVLNSVTRSLPFPVTTADTVKEKFPEEIRLRFRVLDLRRPQMQSNLRLRHNVVKLIRRYLEDKHDFVEIETPVLSKSTPEGARDYLVPSRVQPGTFYALPQSPQLFKQMLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMEVAFTSMEDMLKLNEELMRHIFEEIGDIKLPNPFPRLTYAEAMNRYGTDRPDLRFDWELKDVSDVFVDSDFKVFADTLANGGIIKALCVPGGADVFSNTDLKKGTIYTEASKAGAKGLPFLKVTKNGELEGIGPLVSSLKPKKKEQLVELLDAKAGDLILFALGEQSSVNRILGRLRLFIAHKLEVIDTSAHSVLWVTDFPMFEWNSDEQRYEALHHPFTAPNPEDMNDLPSARALAYDMIYNGVEIGGGSLRIYKSDVQQRIFEIIGISPEQAEEKFGYLLESFEMGAPPHGGIAFGLDRLVMLLAGESSIRDVIAFPKTTTAQCALTKAPSAVDPQQLKDLAFPKS >Et_2B_022502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2454078:2457679:1 gene:Et_2B_022502 transcript:Et_2B_022502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGLGGFGGGRAAVQGHGGRDAMLFLQQHHHQQQQRQQQQQQLEEAEEEEARRQMFAGMAFPGALGYGHQAEEAGGLGDSDAGGSEPEPAPSRARGGSGSKRSRAAEVHNLSEKRRRSRINEKMKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGVYLNPPYLSGALEAAHASQMFAALGGGNITGPSSGAAMLPVNQSSGAQHQAFEPLNPPPQNQQATLILPSVPDKTIPEPPFHLESSQSHLRSFQMPESSEYAQVYLLEKDRNAVSGSSLQSITGSIDETRGLPVPLESISSRRKIFVRERAYRFPS >Et_7B_055289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9018846:9021674:1 gene:Et_7B_055289 transcript:Et_7B_055289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGHPPPASAAAQNGSHSGGGGGGGGGGGGGANPSNGGTAAALRHDPGLAREWTPEEQALLDELLAKYASDAPVIRYAKIAMKLPEKTVRDVALRCRWMNKKESGKRKKEDHNSSKKSKDKKEKVSDSSSKPPVHMAGRPNVPPYPLPVLPMDDDEISSKVIGGPTGDLLETNAQVLSQISTNLSNMQIQDNISLLCQTRDNILRVLKEINDAPEIMKQMPPLPVKINEELVNSLLPRPTVPMQ >Et_4A_035271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1641298:1644714:-1 gene:Et_4A_035271 transcript:Et_4A_035271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAPQNEDDGLLGCGAMEEVVVGDMDLMDELFLAAPGFDFSDFSHPGAAGASPAPFSPLFDICSTTTTATPPPQAGEDEPEKAVRADATPPLRAWLFQPRQEVDATVKERLRRALERIASLSQSQPGELLAQVWVPTVIGDRQVLTTCGQPFWLDRRNERLASYRTVSMKFQFSADESSRAELGLPGRVFVGRVPEWTPDVRYFSTEEYPRVRHAQHFDIRGSVALPIFEPRSRACLGVVELVMTTQKVNYNAEIENICCALEEVDLRTTDVSSDPLGKVVDTSYRTILPEIVDVLRTVCDRHALPLAQAWIPCICQAKRGSRHSDEKIKYCVSTVDEACYVRDPAVRGFHQACSEHHLFRGEGVVGRAFGTNEPCFSPDITAYSKVHYPLSHHAKLFNLKAAVAIRLRSVRTGSLDFVLEFFLPVDCLESEDQRAMLNSLSITIQRTCYTLRVVSLKELVNEGSIETSLVTPPEFYAKSMHENLDELCSGVDVPARTTSLETSEEVSSWIASLVDAQNKGVKEMDGDLPFGFSKQEDEGFSVTTGWNTSPVLGPEGSIFSGFKHHEDYEVKEATCSSRPSSLNSEKTAEKRRTKTEKTVSLEELRKHFAGSLKEAAKNLGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQMVIDSVHGAEGTVQLSSLYENFTKTSWSEKELQGDATYPLSEQKGHLEPSVPDRQCEGRFTSHTSGSNSLSPSGSQSSNSSHGYSSGSKTQQQVVSAPELSIKQEVFMEENQSSTVLKGASHTEVHMLTEEIPVTLSRSQSQMLLSEQKQMENISGMQKPKPDALKIKAMYGEERCVFRLQPSWGFDKLKEEIVRRFSISKENYVDLKYLDDESEWVLLTCDADLLECIDVYKSSSAQTVKILVNPNVQPVLNPSFGQTGLS >Et_9A_061776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16326464:16327633:1 gene:Et_9A_061776 transcript:Et_9A_061776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHDDGRRRREFFKVLVPRCLQSLRVPAEIAGALADGDDGAVLVVGALGKVWRVQVRRERDGGGGGQEKAFLARGWAEFARAHGLGVGWFVVFRLEGRGVLSVKAFDRSLCRRPFCAPCPVFTGKKMGARPQFIRILFPEFCRKMVRLPNFCHVVLLTCFAYRTLLFDFIAATPSFSPNEKAQDDAGREATIYSPLGKFWHVGLEHDGSCVFLVSGWAEFLEAHGISEGYLLVFRYQGNMVFTVKVFEPSGCRKEYCAVSSGDVSGDRPHSGRRFHPSEV >Et_2B_019329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23911622:23911941:1 gene:Et_2B_019329 transcript:Et_2B_019329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSAALEGDVYDLGQDHTNGSKLAGPMSNWENGAATTTFCWTEDDKGSSWENLDGTKHGIHKLKQPNMNMNQSWGGLSQCFKEVQEKE >Et_4B_040089.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9359879:9360481:-1 gene:Et_4B_040089 transcript:Et_4B_040089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVSGAAAAQAAGGGKVVMADGSVRALSEPVSVAELMMDHPRHFVVDARVLKEQQRKGVSGGANSKVAPLPADHVLGAGGVYVLLPATRGKVSAEEARRALTAARSLARSRSMPGGLRRKLSSSRKADAGGMAVQHVTAASVTAAEEEEEEEAAARVDGFEEHRPEFLSRELSSRGWKPSLNTIEERAAPKKVPHWLF >Et_9B_065566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7061114:7062408:-1 gene:Et_9B_065566 transcript:Et_9B_065566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQNSFTKFLNQATQGHQNESSSQNSPPQQFPINFQHSQFPQNFAPHFLQNFHPFSPPTTQPYGHSPPMFQGVHHPRNWRQSTTANLQGIQHGFRFGPSGNSSSHGLESSRRCSAGQKEKEAITSSGHSDDMIMDKARMMYKKENNEKPFTSTLEHMWRDLRNDPKWRRVLIQEEKKSKRTKNSESGAYTSSSNQDTETESIRKEKRPEGQKAAKARLKGKGKTVAPFPFREKATQDMVLFHEAVTSKAKAQQALVKTRRLEAYLKLEEKDTSDYSEARLKAHKALLEKLAIELTQE >Et_1B_011804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26108236:26113144:-1 gene:Et_1B_011804 transcript:Et_1B_011804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGALEPSISCARCGKPAALHTQDCFKAAWSSHKSVHKRLDPQQPPEGWGYCLKKGRSRTLKLPAFAWTGPLRPYPISKMRVVPDEIEKPDWAVDGIPKIEPDSDLQKRVEIKTPELIERMRETCRIAREVLDAGARIIKPGITTDEIDRVIHEETIARGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIVNIDVTVYYKGVHGDLNETYFVGNVDEASKQLVHCTYECLEKAIAIVKPGVRFREVGEIINRHASMSGFSVVKSYCGHGIGELFHCAPNIPHYSRVWLDRLWPDEWTAVTADGKRSAQFEHTLLVTETGCEVLTARLPSSPDVYPWLKP >Et_2A_014916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21779487:21780575:1 gene:Et_2A_014916 transcript:Et_2A_014916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EVDRLRNLPDDILLAILDQLDVRETARTGILARRWRQLPAMVSRLWIDCSQFLPASESHYPVDEIPRINSLVVEATKSMLSRRDPDGHAIRSIAVTFFLIDRDHILIGQNEFTILTDMVDDELEDIDLFDYGWKLVRFVDACPDAFAGLTSLVLENLRFCIEEDVDNVLSTCARLRHLHMRNCDSRIFWILRVEHLQLIELTIDNCGFERLELSRLPKLRQLSFKGWISFEDPIYFGAVPSLEVVSLSNTCLNWHEMVKLSEFLWNTSVHNLILEFNSEKIWIQPEVPMSLTSVFSKLRVVHLLKLPEGVDLAWTMFFLEATPLLEELYLSVWDHTCEMETDEEKRKDS >Et_2B_021833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:596362:597490:1 gene:Et_2B_021833 transcript:Et_2B_021833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVVQPILCDAQRMILSRPGRSFSKNLCKCDWLRSSVKIWDMVKTEEISRHLQSTSEFRII >Et_4A_032543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11143628:11146356:-1 gene:Et_4A_032543 transcript:Et_4A_032543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFDALYRVVMRRNAVYVTFVVAGAFAGERAVDYGVHKLWEMNNVGKSISNPTSNMKDMQ >Et_9B_064733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18173581:18175040:1 gene:Et_9B_064733 transcript:Et_9B_064733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPYFLWPPHSSSSTGAPDSDTASGFGTGLAPEMSMAPATTTTAADTAQVSRGRATAVARPRMRRNASSGSGKQQQQAGGGAKKPPQRGLGVAELERLRCGGDPLRELGLGVVAGGDGVGAQVHPLLHCNHPHHHAPVNAFDAATARYCSSLVVQQPPPPAAPPRPAGPGCYVHHPAAAAGGQQRAPPPLAPEQQYFMDRWGRMGGFTARSPTGGNGAGGGDHQTTQLLMPLLAPEHPSSQSTIWRPAASSSSSSCLQSGHRCDLCSRRMMRAFAERGGQPPATPPSPTAPGGTTTTATPDYSIYDLAAAMATARQCCVVRTTQGEALLARDRKRGAATGEEAARKEVREIEFFPAASTHHAGGLTRPDESEFVAPMSSSSYGSAGSYAAAAAPLDLSLRL >Et_8B_058522.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:12214229:12214669:1 gene:Et_8B_058522 transcript:Et_8B_058522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLHALLLASAAATAALDNATSDGSNSGKAVVLCNGAGCEPPAQPLPIYGYPPPATPSLPSAPPSSPGTQTPCPPVAVVCCGGGGAGGQYTPQQPNNNAPPSGGYVPYYNSASPPALLAPVTAGYYFITVIVAACLMLQWIVG >Et_8B_059844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3239729:3244655:-1 gene:Et_8B_059844 transcript:Et_8B_059844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACALYARCQKHQSICSALLGCSFLRLSPPLPTPVRACSARIPAIPVMRGSRGHGGGGEGGGGRVPASLAALLCFLVALAGDGAAAQKAPVPATYKTLSVLLARSGSKGGSASLPGNAPVIIAQGGFSGIFPDSSRSAYAFAMLASAPDTVSWCNLQLTKDGVGICLRDINMQNCTVVSQAYRARQKRYVVDGVLKTGWFSVDFTMAELRNRDIFYRQHGLNMRNYILSIEKRVSVNYISSPELGFLQSIARRVGRKTKLVFRFLDQTSTDPSTNQTYGSLLSNLTFVKTIASGIMIPKSYIWPVTSDNYLQPHTSIVTEAHNAGLEVYASDFANDRVIPYNYSYDPLEEYLSFISSDGFSVDGVLSDYPITASEAIENPLVISHNGASGDYPDCTDLAYRNAINDGADVIDCPVQVTSDGVLVCMSSINLLDTTNVQQTTFSSLASIIPQVQSTPGIFTFNLTWDNLTSSTLKPRMSSPLSSYILKRNPRYTNQGKFMKLSDFLEIGKDKDLSGVMIIIENAAFVAESLGIDIVGAVTTALNDAGYNNLTTKEVMIQSKDSAVLVKLKQQNTKYKLVYTLPSDIGDASPSSLVDIKKFANAVVVDRVSVFALSGNFIIRINRLVNDLQSAGLDLYAQVFRNEFVSQPWDFFADETVEINNYVQLVNISGFITDFPKTVGSLAQLAQPPAIAPMPTLNASSVEEPPLPPVASKNTSGGAPTPGTTPTPGTGRSDAHSTIVSTGMLLTMVFAALLI >Et_1A_005779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13924614:13927753:-1 gene:Et_1A_005779 transcript:Et_1A_005779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSARRASASALASALANKLTKLKAHAATYASLIMEELDPDDRGYIEIWQVETLLRGMGGVQATERTTSTSLAWTMVPSRYRSPLRRHFHKTVDLIHENWKWIWLVSLCLALNLGLFMYRFEQHRRRAAFQVMGYCVCVAKGAAESLKLDMALVLLPVCRNTLTRLRSTALSRVVPFDDNINLHKLLALLIALATAVHTLAHVACNFPRLTACPTDKFMAALGPSFRYKKPTYAELLESAPGVTGILMIIIMSFSFTLATHSFRRSVVKLPSPLHRLAGFNAFWYAHHLLVLAYVLLVEIPHQRVTWMYLVVPVLFYACERTIRKVRENNYRVSVIKAAIYPGNVLSIHMKKPPGFKYKSGMYLFVKCTDVSSFEWYHFIVDAYMSKERFICAYLQSSNVRRHPFSITSAPGDDYLSVHIRTLGDWTSELRNIFGKVCEARVTSKQATLRRLETTVVADGQTEDTRFPRLLIDGPYGAPAQSYKKYDILLLIGLGIGATPFISILKDLLNNLKSIEEVESIHGSEIGRFENNIPTRAYFYWVAREQGSFEWFKGVMNDVAESDRANVIEMHNYLTSVYEEGDARSALIAMIQSLHHAKNGMDIVSGSRIRTHFARPNWRKVFSELANVHRSSRIGVFYCGSPTLTRQLKDLSKEFSQTTTTRFHFHKENF >Et_10A_002183.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:3440936:3441532:-1 gene:Et_10A_002183 transcript:Et_10A_002183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGTSRLAAPLLLATAAALCFCGVADAASPASAGAGADAVADSCGAIRDFVDAGFCASRLRSVPGAASADRHAHLLAAADLAAASGAAARDAAASAARDGGGDPAARDALEACVILYGSGSVPALRLLRGYAAARSWAAARALLPLTGQAGIGCEAALGGAPPATASAAGRMAAANREFEQLTTMATALLNSVATAS >Et_9B_064812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18859629:18866866:-1 gene:Et_9B_064812 transcript:Et_9B_064812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGGAQRAQTLRDLAEEGKKRAVLLLVFAFGLAFLMSLTSSSAWINLPFATALIVLFRYISLDYDTRRKATTPTDHDVGRPLVKTESTEIKKIPLIVNSGRSDWRSKVNSPPVEAAFEQFTRHLVTEWVTNLWYSRVTPDKEGPEELIYIINTALGEFSARARNVNLISLLTRDLVDLICNNLELYQLCQAKIGKEKFVNLPSECRDAELKLTLIAENKLHPALFSATRELLACAVLRPVVNLANPRFINERIESLALSQAIKAEKGAGEPLEDVTTGKPKEPPVPSVDDFSALVDDSSPGVELVRFSQGQSKTVSDIQPSKSKDPSLKLKSPNTSLISSSHPLESTSLPSSSHVATDTDFPSNHKNSNKAARDNYDRERAPPFISSQHKHQAVAPEHLENMWTKGKNYKSENIKHVAKVPSLGSTPSVQQSVTCSTSICHRPSIPQRQTNFSRSEDQHLSRHSTTPTYSNGTNHLQKSVSAEMAGCASPEDFGAESESSYATEDDESNNITGLDSPVTRVWDSKSKGNFTSSHIHHPLESSGFHKTKKNRSHVGKLKVSRTSSGRKRSRSNALKSPVWEEAERSSFLVGDDSDILHSCANDSGTNGLLGDTEVESMATMFSTASASALSLASTDSSYSSNYCGANVLEDSYLKLRCEVVGASIVKSGSGMFAVYSISVTDANGNSWSIKRRFRHFEELHRRLKEYAQYNLHLPPKHFLSSGLEVPVVRERCKLLDVYLKKLLQIPIVSSCIEVWDFLSVDSQTYIFTDSLSVIQTLSVHLDEKSKEKDRKALRSAETLSGNLIPGSSLHGHNSVHKDRDIAGDDGLRFRKGSVEKNAVTNVSSTTANVYQDNSGSDPEQNDYSLSINSGNPKKPLSSETDGTSHILEPDGYSLPPNDWMAPNLSVPLRQAFWVAKQILQLGMGDTFDDWLVEKIQLLRKGRIIAFAVKRVEQVLWPDGIFLTKHPKRQVPSPSSAPSNGINRNLNDEQRLEAAHHAHFVRELIIDKAPYSLVSLVGRKEYERCAQDIFFFLQSPVCLKQLAFELLELLVRTAFPELDGTMRKWHEDKEQFRTLE >Et_2A_014735.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:10959728:10960099:1 gene:Et_2A_014735 transcript:Et_2A_014735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPLSEEEYWYYFKAFAFGGTDPQDHQRIAAVGREISRHLGSSFVGARVLGVLLWANFDAQFWRKVLAAVVNHSWPVHYSVLTDLLSIRGWTWIYGCEEIQISPKTKLTQHGWLVRHCLRQV >Et_6B_050099.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6195160:6195387:-1 gene:Et_6B_050099 transcript:Et_6B_050099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVEFVNKGPNNPVGKNKVLAALATGSDKRICVPPRSPRFGLLIGHSRLASKLVRMEPFGPAILGSGVARVSL >Et_1B_013812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17720166:17722243:1 gene:Et_1B_013812 transcript:Et_1B_013812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLCGQAFGARQHHMLGVYKQRAMVVLALAWAFAGRILLLLGQDPDIAAEAGAYARWLILSLAATVPQQCHMRFLQAQGVVLPVALSSGTAALCHPVVCWALVYKAGMGSKGAALGTAVTWSVNLALMASYVRMSSACKATWNGFSREAFKDLRQFAELAVPSALMICLEWWSFEILVLLSIIQHQVAFVNSESDGFWNGFWILLLTP >Et_2B_019318.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:23233748:23233855:-1 gene:Et_2B_019318 transcript:Et_2B_019318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLPVAAAVFLPLKASSKEDLSNQGKPVEALGLL >Et_2B_020565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21425131:21431457:1 gene:Et_2B_020565 transcript:Et_2B_020565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSSWIVRLVLFYFLCSRTMLLSSPPTRLPVIPSSPQFVMRMLPTTTVIFDRATPPLLWREAKTSWPATEGVSWSRLPIRHKGRGWVGGLFTVHDASSSSSRADNDTDPLDGVEGRAAAGSSASGNGSAARRPQDLHGVPRRRDKRSGRASEGGKPAPLCSYHRTASLLRGRVDCSGVAVVAVAGSVLVLLLCSVAGTALLLRCVQRNHRNRQGERRSGPAVDPENRDNNDGPALPPELLRRRAAGPQPYSYRELAAAAGNFAESRRIGRGGCGSVYRGYLAEQDRHVAVKVFSAAESEGGGSSDAEVEVLSQLRHRNVVRIVGWCGAAPAGVRAGAGREPRQEPPLSRREASDVAGEVQDRARRGLGHTVPPHRVKCERCVVHGDIKPSNVMLDASGEARLGDFGLARLVDHGAEPDTTEVVAGTVGYIDPDRSSSATGGVLLNPTFGVVLLEIACGGRPAALPGSGRQPKKDEAAVLLNRVREMYDRNAVLDAADGRLDGAFDERQMERVLVTGLWCAHRDRSRRPSIVEAMAVLRSEGSELPVLPAAAPLGGLGQISALEERAYGDLSTEDETTLSSASSTASPSKISFPAPEMASTSPPLYHLVFLCIFYYTIGICIHVSCASSFAFNFSTTSMSPCNNDLVCWGNASFANHMIELTTNNISTDSGHSLGRVWYARPVRLWDAATGELASFTTTFSFKITPDSNYKNPDGSPNTGDGMAFFLAPYSTNGVLNSGCEGGLLGLFNDNNKYNATGSSRVVAVEFDTFRNKWDHSGQHVGIDVNSIWSVASTDTSPVGDKINLTSNTMVAAKINYDNQTKLLAVYLDIDGASYHVNHTVDLKIFLPEEVAVGFSAATNSSAELHRISFWSFDYSSLESASAPSHKIACPSIAVKSKPWTKYSTTTDQETPPPPYYSSHAPAPPPDQETTPPPSAPLPAPPPSHLDISYQNPPPSKTNWLPKVVGPILAVSVFAIACLLLWLWQKRRGNVQQNEAPNDIESSDEQHGTEADYERAVAGPRRYHYRELAAATGDFSDENRLGRGGFGSVYQGNLQGVSGDRQVAVKLFSSETSSQGRKQFEAEVKIISRLRHRNLVQLLGWCDSSKGLFLVYELVPEGSLDKHIHGNPRLLTWPDRYKIIMGLGSALRYLHREWDQCVVHGDIKPSNIMLNSSYNTKLGDFGLARLVDHDTGPGTASYIFGTPGYIDPDFVNTRRLSTQSDVYSFGIVLLEIVSGRQPVVQSPAFMLLKWVWSLYSQGKTIEAAELRGDEAEQRQMERALVVGLWCAHHDPGQRPSIVDAMLVLQSEDAKLPVLLPHMYKLEPLPSVISTGGFSGVSGSSFSSGVRSSATTGATLSSQSFT >Et_3B_029347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24218881:24222778:-1 gene:Et_3B_029347 transcript:Et_3B_029347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRSAVRRAREAQGTAAAAAEVAEAAAAAAPAPPPAETRRRATRAAARAEERKREEIRPAVVAAAVELAMDDPDSAARSADRAAADDDGNATPVPEMVQVGNSPKYRVDRKLGKGGFGQVYVGRRISSTGPGAVEVALKFEHRTSKGCNNAPPYEWQVYNIVGGVHGIPRVHYKGRQGEYFVMVMDMLGPSLWDVWNNNSHTMSVEMVACIAIEAISILERLHSKGYVHGDVKPENFLLGTPGTPDEKKLFLVDLGLATKWKDTSTGLHIDYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFREFVEYVVNLKFDEEPNYAKCISYFDSVVGPNPDIRPLNTDGAQKKRGRLLMEEETDEQPKKKMRMGMPATQWISVYNARRPMKQRYHYNVADSRLIQHIEKGNEDGLFISCITSCSNLWALIMDAGTGFTAQVYELTPHFLHKEWIMDQWERNYYITALAGANNGSSVVVMSKGTPYTQQSYKVSDTFPYKWINKKWRDGFYVTSMATAGSRWAVVMSRNAGFSDQVVELDFLYPSEGIHKRWDHGYRITATAATWDQAALVLSIPRRRPTDETQETLRTSAFPSQHVKEKWSKNLYLASVCYGRTVS >Et_1B_013631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10074724:10078609:-1 gene:Et_1B_013631 transcript:Et_1B_013631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWRRAPAMRPPEPKHRAVAAASSSYSQHELRPENPLILLPSSCAAKLSLGCPLLDRLLSGGLPAASVTEIAGESASGKTQLCLQLALLAPQSPLSASSLFLHSDLPFPLRSLRRLAPKSRPDVLDHVLVAAAHSPAELLSLLSRAQCVLAHPERSPHRLPIRLILLDSIASLFRSDFDASPADLKRRSALFFQISAKLKELAYRNQCVVVVTNQVVDVVEGDAGNTVAWSSGRRVSPALGIAWANCVNTRLFLTRELDATGGSAKRRMKVAFAPHLPERSCEFVIRRDGVFGVEPAESSARTAVFPSHLAVAGDGGRWLAARRTARYVLSPDIPHAGAKPEHGSVGVSKH >Et_9B_064000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:124245:125332:-1 gene:Et_9B_064000 transcript:Et_9B_064000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFVTRLGPGGGQQLPRTEPMPDRRSRFWQVDAHPVARVEVLCPQPRRASRPPFQFESLNRASPKHNGALPVYRADSASDILDLILSKNDPDVDADSSSQAPFFCGSPPVRTNNPVVHDPMFGKKTPSFSPLGSSFGKKLAGRVEVGSPSCGASSPKVRIEGFACGNKESHCAVA >Et_10B_002988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14987960:14994447:-1 gene:Et_10B_002988 transcript:Et_10B_002988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLILLKIQVVCTLVVTINCNPLSAALVVCLPDQASALLELKNSFNTTGGGPTTFRSWVAGTDCCSWDGVHCSRTDGRVTSLDLGGLQLQASFLEPSLFRLTSLKYLDLSGNDFNIFYAVHFDNANTVLYYTSNSIWQLAVPNLEILLAELTNLEELHLGTVNLSANTQWCENLAKYKPKISGSICRSLSSLSSLAVIELHYNHMSGSVPEFLAGLSNLTVLQLSKNKFEGQFPPIIFQHKNLKTIDLSMNPGVSGILPTFSQESRLENLFVNGTNFSGTIPSSISNLKSLKKLDLGASGFSGILPSSIGELKSLELLQLSGLQLEGSMPSWISNLTSLTTLRLFDCGLSGPVPSSIRKLRGLTDLALYGCKFSGKIPPQISNLTRLQSLLLHSNNFVGTVQLTSFSKMQNLTVLNLSNNKLNVVDGENSSSLVSFPNIEFLRLASCRISSFPSILRHLDEVTSLDLSDNQIHGEIPRWAWENWKGLHMFLLNISHNKFTSLGYGQLLPVHIEYFDISFNNFEGPIPIPRDGSVTLDYSSNQFSSMSLDFSTYLRDTLFFKASRNNLSGNITPSICGMARSIQLIDLSYNNLSGSIPSCLLEDVSALQVLSLKENKLVGELPDNTKKGCALEAIDLSGNLIEGKIPRSLIACKNLEILDIGRNQISDTFPCWMSKLPKLQVLVLKSNKFTGQLLDPLNNTEDGIKCEFTQLQIADMASNNFSGTLPEGWFKMLKSMKAESDNGTLVMENQYFHGQSYQFTAALTYKGHDITISKILRSLVLIDISNNTFQGTIPDAIGELELLRGLNMSHNALAGPIPAQLGNLKLLESLDLSSNEHSGQIPQDLALLNFLSTLNLSYNMLTGRIPDSAQFSTFSNSSFLGNIGLCGPPLSKQCSNQTEKGSMPYALEKDSLDPLLFLFTAFGFGVSFSITIVVIWGSHSWKQY >Et_6B_048260.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:2373319:2373951:1 gene:Et_6B_048260 transcript:Et_6B_048260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDQLLATFTLSRSHLQRVKDAVEAASAAPPPRCSSLVAALAFVWSCYQRAKPRGDGDAGERTCLLFSVDHRSRLNPPLPDKYFGNCVGLAFATASTIDLTAEAGAGGLLAACTAIAAGIEDAVSGVASETLGERQGRFNEVAATMGILPVAGSPRFRVYDLDMGPAKVDVVSAAKATAASRSEWPCRPTAWTRSASASLTLSRRWTCR >Et_1B_013140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5866013:5868655:-1 gene:Et_1B_013140 transcript:Et_1B_013140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPLPAAVAGWWEHVNGTPAWQDGIFYALAVLYGLVAAFSFIQVARIQYRVPEYGWTTQKVFQFLNFVVNGARCSIFAFRRQVQQVKPPIFQHVILDLPGLAFFTTYAMLALFWAEILYQARARTTDGLRSGFVAINCVVYALQGLLWLCLWWNPNHSMLVISKLFIAFLSFIAALGFLLYGGRLFLTLKHFPIESKGRQQKLREVGRLASICFSCFLTRCVMMCFVAFNKEADLDVLDHPILNFIYYLLVEILPSTLVLYILRRIPSRLRLAQYQPLSSGSIAVPLLGAMPV >Et_10B_002549.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:15472728:15473042:1 gene:Et_10B_002549 transcript:Et_10B_002549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDQLVKDQQPRRWRSPHAVIARHNAAKGQEQSVPSPTNGLGIKAFLVLACVAVSLIVLPLVLPPLPPPPPMLLLVPVCLLLLLAALATFVPSDVRRTASSYL >Et_1B_011222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1952003:1954510:1 gene:Et_1B_011222 transcript:Et_1B_011222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQLSGAGVGTAAFAGKRSSSVDALRLPSIGSVVGARSSRRAFRGLVVRAATVVAPKYTTLKPLADRVLVKIKSSEEKTTGGILLPTTAQSKPQGGEVVAVGEGRTIGDNKVDVSIQVGAQVVYSKYAGTEVELNDSNHLILKEDDIIGILETDDVKDMKPLNDRVLIKVAEAEDKTPGGLLLTETAKEKPSIGTVVAVGPGPLDEDGKRSPLSVSAGSTVMYSKYSGSEFKGADGSNYIVLRVSDLMAILS >Et_4B_038124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26474123:26477598:-1 gene:Et_4B_038124 transcript:Et_4B_038124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGYDSAGRVFSSATEMWAAELGSAATAPSAAEAEATPAAAAAADSVAAGEEAVGEEKRKEWYSKAIAYWQSVEASTEGVLGGYGCVNDADVKGSDAFLRPLLAERFGTEKRHLVALDCGSGIGRVTKNLLLRHFNEVDLVEPVSHFLEAARENLTGGMDLGEDSHKAANFYCVPLQDFTPEEGRYDVIWVQWCIGQLPDDDFISFFNRAKVGLKPNGFFVLKENIAKNGFVLDKEDNSVTRSDPYFRELFKKCGLYIHSVKDQKELPKELFAVKMYALVTSQPKIQNKGKRRRPKNSPLGGNVPKTVSQSDEVMPKPMS >Et_7B_055142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7705612:7715863:1 gene:Et_7B_055142 transcript:Et_7B_055142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTEPHLVLVPWQGGISHIIPMTDIGCLLASHRAAVTIITTPANAPIVQPRDASAARRGDHGHRDPADGLPEGCERLDLLRSPADVPRFFAANKEFGEAAARYCRDDMPRRRPISCIVTGMCHTWTLPLARDLGVPRRAVLHLPRLRRVRAAHLYEHRPHEAAASPDERFDIPALPPFECRVTRPHFQPSTSMGGGTMQEVRDFDVAVDGVVVNSFEELEHGAAASTGKKVLAVGPVSLCRSPSLDPNDITDADVRRCMAWLDAKDKEKPRSVVYVSFGSAGCMPPAQVLQLGMALVACPWPVLWVVKGADTLSHDVKTWLHENTDAGSKCLVVRGWAPQVAILAHPAVGGFVTHCGWGSTLEGVMAALEKLMDQGVQGEERRRKARELKVKAEGALEKGGSSYENLEKLIRSSNPGMEAILTSKPHIVVIPWPVTSHMIPIVDIGCLLAAHGAAVTIITPPASAELVRSRMDRAGQGAAAGITVATVPFPAAEAGLPEGCERLDHVPSPDLVPNFFDANELYGEAVARRCRRLLTAARRSSCVVVAGMCNTWARGLARELGAPCLIFHGFGAFALLCCEYLNTHRPHEAAASLDEVFDVPVLPPFEFRFARRQLPLQFLPSCSIREHSLRELREFETAADGIVVNTFEELEHGSVARLAAATGKPVLAVGPVSLCGAPGALDSRADADEARRCVAWLDAKTDKSVLYVSFGSAGRMPPAQLMELGAALVSCPWPVLWVIKGAESLPDDAKKWLQDNTYADGLPESQCLAVRGWAPQVTILEHPAVGGFLTHCGWGSTLESVAAGVPMATWPFTAEQFLNEKLVADVLGIGVSVGVTMPTEGVLRGEKSRCGEAKVDVGAEQVKRALEKLMDGGADGDGRRSKVQELKAKAKAALEDGGSSYMNLEKLIQFSV >Et_3B_027800.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:19908292:19909014:-1 gene:Et_3B_027800 transcript:Et_3B_027800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAVRLRFAWRVVRAAEVLALAVLLSRSFPRLPCAAAAASSVLRVAASVILHPRSVFVLSNVIVILLVVLCRRDAASAPASRSPSASPDGDAQDQFLPFSAAPLLLPPIAEAPATGEEEEAEEAVFEDKQAVHVTVRAQPPRRTRSEKVSGAVGARRRAASPELRRAESENGRRRRSASAAAPEEWGAEDEEFRRAVETFIAKQQTRFHREESLVAVVAGDCAPPVVAGAVAAVKLIE >Et_5A_040235.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:25924174:25924440:1 gene:Et_5A_040235 transcript:Et_5A_040235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGCGWGCTAGDGAGRWRDCPTQGRHLFLPRPTTWSTTQPPTTLCRGAPAKTASCMVWDPHAFATVMLPLALQAQQLLRLCLEAQHLYM >Et_1B_013601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9891110:9892318:1 gene:Et_1B_013601 transcript:Et_1B_013601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGGPLLTIGDLLSDLAVDDPLASGGDVSVPSSPLAAQPAVEADPADLSRLFEEHYNNLMKALQENDPSWPSLMLKLCTALKTADKLVSSANTNAEQLLEKVKSLESVLERGDRTVADIVEGLQSSGLAKDQRSSQSKSARK >Et_2B_019890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14915202:14919193:-1 gene:Et_2B_019890 transcript:Et_2B_019890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAVAQQQQQEHQTLPPPFPADDHPGLDPPPALYPAEAVYRFAHGEFGDADADLLGQLHSLEAELARLEVREEEVRIEMGDFRKEARRCDAELRRVQLEARPLVVAQLDELVGADHAVVTIPETSHSLYVGVLSAVDRALLAPSATVLLLASSPGAVVGVLPDAAGSSAAVDSFIVTDEDTPSVTYDDIAGCEAQKREVREAVELPLTHPELFARLGVDPPRGVLLHGPPGTGKTMLARAVARHTSASFLRVSGAELAGGRFLGSGPRMVRDVLRLARERAPCIVFIDEADAVAAARLESGATTGADREAQRVLLELLAQMDGFDSGGGKDDDDGVRVIMATNRADALDPALLRPGRLDRKVEFTRPDTARERRQVFQACAAWMSLDGDVGLDELAARRDGMSAAEIAAVCFEAGMRAVRGNRCAVTREDFEQGYRAVAEKLERDAYHEFSFYTSLKIHALYKFFIICGPAPRRAPARPAGHREDDAGPGRGAPHLGVVPPRQRLRAHERQDPRWCAVRDVFRLARERAPCIVFVCFEAGMRAGRGNRCVVTREDFEQGYRAVSDKLERDACHEFSFYS >Et_2A_015640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16299940:16300601:-1 gene:Et_2A_015640 transcript:Et_2A_015640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEDADGERFIEVVSAGALYRGGEWERKYWSCSRGKDRHPYPVGYHTVRHFFGISYAMEIQEGPRGPVFLVRSLLPHRLCLIPYGNRG >Et_3B_029207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23023307:23032891:1 gene:Et_3B_029207 transcript:Et_3B_029207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGSWCIPAIPGAAPPARAGLLGGAFLVAARPRAGRCRATSGRHRLGGRVVARAGAAETPVAGADEDAAAVFTEKFPLRRCRTVEGKAWVKLDAEPDGDGNCKIVVGCDVEGKWVLHWGVSYDGEQGREWDQPPSEMRPSGSVPIKDYAVETPLEILPSSEGRMLYEVKIKFAKDTPIAAVNFVLKEEETGAWFQHKGRDFRIPLNGSFDGGVSSEAKHDIGIWPGNLGHVLTGHEGDNAQPQKNVPGDKGLGGKPISGFYEEYPIVKSQYVQNFVTVTVRRDSGTHKTLVEFDTDIPGEVIIHWGVCKDNTMTWEIPPEPHPPTTKIFRQKALQTLLQQKADGTGNSLSFLLDAEYSDLFFVLKLDEYTWLRNLENGSDFYIPLTRVEQRGSTQAEAQKVDDKSSQADGLISDIRNLVVGLSSRRGQRAKNKVLQEDILQEIERLAAEAYSIFRSPTIDTVEESVYHDDPSSAKPACSGTGSGFEILCQGFNWESHKSGKWYVELGTKAMELASLGFTIIWSPPPTDSVSPEGYMPRDLYNLNSRYGTVEELKELVKIYHEAGIKVLGDAVLNHRCAQHQNSNGVWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQDFVRNDLKEWLCWMRKEVGYDGWRLDFVRGFWGGYVKDYLEASEPYFAVGEYWDSLSYTYGEMDYNQDAHRQRIVDWINATNGTAGAFDVTTKGILHAALERSEYWRLSDEKGKPPGVLGWWPSRAVTFIENHDTGSTQGHWRFPYGMELQGYAYILTHPGTPAVFYDHIFSHLQPEIAKFISIRNRQKIHCRSKIKILKAERSIYAAEIDEKLTMKIGSEHFEPSGPSNWIVAAEGQDYKIWEVSP >Et_5A_042338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8894139:8902001:1 gene:Et_5A_042338 transcript:Et_5A_042338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEVFAISGPKHMMAKIGMGSSPTMIKINWDSDEHRRCVAACLVNGTYIMEQDGNRHRKLAPAWWESFHFRCATVLTDDDRFIFGAVFEYAPPEYGGGARRHPSAPRYVVAFRGTMPLRFTGFTDMHLNLKMLINRHHDCGRYRRAREHVARLLDAVAGYNGGGGVVWLAGHSLGASIALDVGRHEMDARRRGLPTLLFNPPHVSLAPVINALRAAERRKGDLYAASYVVKAALAKTVRRAQERSMSELFEWLAPWVPELYVHERDFVCQGFIDYFEQRQRMLERSRILRPVAEVAMKMSFRDMWVSLHSNSENGEEEPRARPHLLPSARLWKTSGEGYPHGLRQWWQPDSRLKLVQTKPEHRRCIAACIVRGVYIMEKDRESGWTQMLAPAWWESFHFQCTKILQDDHDGFIFGAIFVFAPPDGAYRHYLLAAPRYVVAFRGTMRWHSTFPTDMDHNVKMALNEHHDFGRYPKARHAVAQLLNYGHIPPEAVWLAGHSLGASIALDVGRHVMHERQWRLPTFLFNPPHVSVAPVINRLGVAEEKKTELYFTSFMVKAALAKTVGRSHEKRMRYLFERLAPWVPELYVHEDDPVCQGYIDYFEQRQKMLDKSGLSRWVGEVGMKISYRDMWTSSSHDKYNTGINGDELGVQPHLLPSAMLWKNSGVRYPAHGLQQWWQPDSKLNLRYRPPYIYPGP >Et_7A_050864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11788916:11793868:1 gene:Et_7A_050864 transcript:Et_7A_050864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSEHSSGPSCSSKYAGPGVRANPAKSTAEAPGCQDPRDLVQPCPKFSIRDYVFASRSKGVKRSWPFHPNSLQLCLKLGVKDPLPPFEPPDLIRSPPFNTCTSVEQSAVHSGAISCVGLVKTTDAGSSNVDTSDINFQSSPPVDESSLGPSPCTSPEDGKSGTDQVGSANESDHTDGLIPVDLQDNNCKKASRQTEVAGPSWRLKNLDSSCETSQKKCKFVVKLGTLTDTRRKEDIASNSISVSDPMASKTCPVCKIFASTSNTTLNAHIDQCLSVESNTELVETVPLKPKVKSKKSSTLNVHIDHSLSVESHTELVETVLVKPKVKPNKKRLMVDIYKTALPYTLEDLDRRNGTNWAVELAMPTVNKEVCTENPRPEMVQFDPRDAEREGDAYVDSNGIKIRILSKSSDAPLALSDEVGTKKVSKHETGKGILMSKTIFKSKFLKNKKLKMHKRKYNKTNHLNSQVQAYPHVDIDEDIPEGQMHRRDPTESTSNHSSGTMRQWVCSKRSDIGKNVCRKLHDKRFEKWASGALKSASSSMLGFDSSQVAASPNVEISSEPPEEMATTSEANAVEKSNGSSRMLRLSSQNPPQSNVMPKVPKSAAAVAKRNIREIATREANKLDHYDIVRNSNSVKRSGAGRRSFSSAGPSDGPNRLASTSKNFRKQRSILRTGRRAFSPSNSKLVNGFDQENEPDTRHANKKFKVTGSSTLENFVKYTEGDTADNEFSFGSDMPGSWQQGQQYDVAQQTERIQMDYEGEEPESDMPYASGSRHDPADSCSEISYGSQSPENNGTDHDDLVEGHGVAVEDLGSNEQLEHGAHESNSVVNNEMDEWRVDPASTKESSACVTNNRDMGPGAPQDNSSVTSNREDSNQEHGFPFGRDTLDSPISTASAMSPTALKDSVSKESEIEPGSSTVNTIEERITGSRNQETKSMPMARDGEQLHNEKPCCCSCRGNISSESRLHHQSEIARPMLNFTGKKVPQLRIGVTASSSFNTYQRTSTKPNPFLGSHGQPLASKVSAESAINLPSYTTDCMGPSLQTQLPSPSNPILRLMGKNLMVMNNEERVHPPAPSSDYILRGNYVAPVGFVPPNYQYSNDSAFINMAPATGSHQIPLPSVQAGNLVRPPLHSSSMMHSDHHSQQQTYRNLVPVMRHPTYMMKEVIVIDDSPERRSEPQVSMLHPPAPSQATISVPNTVPPTPYYCLPSPPILPRERAAGSLPVFANVGSMVDVGSSSQGRQTEVANPYMPNPFFVQSQTGYINPAVYYS >Et_2B_021025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25685889:25692274:-1 gene:Et_2B_021025 transcript:Et_2B_021025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSQAVAFGRDKERNLRGGVYAVLTLGNLLSVHFANCIFILLLLCVVSAINGLYVSLESPTLPGWTGNGGDPCGELWQGVTCTGSSITGITMNAANLGGQLSSLGNFTSITTVMLSNNNIGGSIPEDLPVTLQNLFLSANQLTGSIPSSLSKLKNLTAMSVNGNHLSGELPDAFDSLSKLVNLDISSNNLTGALPPSMKRLASVTTLHMQDNQLSGTLDVLQDLPLKDLNIENNLFSGPVPASLLNIPNFKKDGNPFNTSIAPSASPPSASVGPAPTPTPAAPKLAPTPSSAPAGSNPPAPTRPSSPSRAPPPSKSTSNSSDGSTARDSTSSSNKKKNTKTLKIVGFVLLGVVLFIISVLLLLFCLSKYEERQSRNDRNRSQLARVHHRVEPQIKPSPAQQSDDVKKGPPGEVLHKRGRELNSAAAALPKKSPEKQKEHIINFDRTDSNIFSVPPSPPPPPPLPPIERVVVNPIIPPEKKSSPPPRTSSPTSATPFSVASLQQYTNSFREENLIRESRLGKVYLAELPDGKLLEVMKLDNANGRISVDDFLEHVARISEIKHPNILELVGYCAEYGQRLLVYNHFSRKTLDDALHDREDTDSALSWNARLQVALCSGKAIEHLHESFHPSIVHQNFEPAIVLLNDKFSACVAECGLAELMPSGSVSQLSDRMHALLNYEAPEFREFGIVTEKGDIYSFGVVMLELLTGRKPYDSSRPRHEQHLVRWASSQLHDIESLSKMVDPSIQGQCSEKALSRFADIISRCIQHEREFRPPMSAIVQDLARIVNATGEESECKLRQDSDKSAFAQPHTSETQSP >Et_3A_027334.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7973707:7974090:-1 gene:Et_3A_027334 transcript:Et_3A_027334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSGMAFSVRPLAPAARPCACAAAAAGGARARADGSGGKWWAPLLGWSGQPDYIDARPSAPAPAEEDEDEPQRRGAPARRFGVLTEDKARRLRMQMMETESFHDAMYHSAIASRLASATPDGAKH >Et_10A_001986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16714642:16715553:1 gene:Et_10A_001986 transcript:Et_10A_001986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLERSFEEEITRFPFSKFPILVLNLETEEHGFGPLVSCPLAFPCDQHTNWRNESISLAELEVVKIYGLKGEDDEADFLQVLFRCATALKSMTVEVSPTGYNKLYVRKSTFANALRYGRLPAPFDGRKDS >Et_5A_041909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4067656:4072463:-1 gene:Et_5A_041909 transcript:Et_5A_041909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCHAKPLTHDPDDSPPHAAPATPPPGTGANSAAATPAKKQHWASSPFFPFSTPSPSPAHHLFGSSAASPRKSPAPHGAGSAPTTPARRLLRLPFPPPSPAKHIRAALARRHGPSRPSIPEEGGGESDGSGRGLDKGFGFNKGFAAKYEMGDEVGRGHFGYTCAAKVKKGARKGESVAVKVIPKAKMTTSIAIEDVRREVKILKALAGNKNLVQFYDAYEDNENVYIVMELCEGGELLDRILSRGGKYSEDDAKAVLVQILSVVAFCHIQGVVHRDLKPENFLFTLKDENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRCYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRSVLKADPSYNEAPWPSLTPEAMDFVKRLLCKDPRRRMTAAQALSHPWIRNYNDIQLPLDILIFRLIKAYIRSSSLRKAALRALSRTLTVDELFYLKAQFSLLEPDRNGCITLDNIRTALTREATDAMKESRVKEILDSLSALQYRRMDFQEFCAAAVSVHQLEALDRWEQHARSAYEHFEKDGNRAIVIDELASELGLSPSVPLHVVLQDWIRHTDGKLSFLGFVKLLHGMSSRSLSKMR >Et_3B_030171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31069318:31076328:-1 gene:Et_3B_030171 transcript:Et_3B_030171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTRNLLHHDGKNSKSCYPTQYCVDIIAQLEASARQDHHKPARSPRLSMAEEELAAAASTSSRFGVGVGAGDDGDWLQLGLSAAPSSSSASSSGDNNSADPAPTSVELGLFTSCGYDKQHARTRPPLFPLPLRSYQYGHGRYRPAAASGLSAPFLPFMPPFRRSGDAMRVISPPRRTEATGLWLTLKADPNQGREPILPQIPKSYLRIKDNIKVEVIMKYLADKLGLTRSHQHSLLEWQVELTCRGQVLPPFLLVKYVRDNIWCSSTLREDEARPARRSPVATTDHVMTLCYTTSRIVVDL >Et_8A_056231.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:16981167:16981199:-1 gene:Et_8A_056231 transcript:Et_8A_056231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNGGYRTA >Et_7A_050359.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:162168:162590:-1 gene:Et_7A_050359 transcript:Et_7A_050359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTSAFVSPLRVAGGGNGVGVVGGPVATALRIEAAKQLTGRVVTTKADKTVGVEVVRLAPHPKYKRRERIKKKYQAHDPDNQFKVGDVVELRRSRPISKTKHFLAIPLPPRDTRRKNQLLPPLQSQLDGDDQTTPPPAE >Et_4B_038826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5064924:5068487:-1 gene:Et_4B_038826 transcript:Et_4B_038826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLACPLSLVFLTLLLAGAARGKTVKRDVKALNEIKASLGWRVVYSWVGDDPCGHGDLPPWSGVTCSQQGDYRVVTELEVYAVSIVGPFPTATMRVIQIFNCRDLHNNKLTGPIPPQIGRLKHLRILNLRWNKLQDVLPPEIGELKKLTHLYLSFNNFKGEIPVELANLPELRYLYLHENRLTGRIPPELRTLKNLRHLDVGNNHLTGTLRDLIGNGNGFPSLRNLYLNNNELTGVLPDQIANLTNLEILHLSNNKLIGSISPKILHIPRLTYLYLDNNNFIGRIPEGLYSHPFLKELYIEGNHFRPGTKSKGTHKVLELPDADLLV >Et_2B_020025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16139738:16141839:-1 gene:Et_2B_020025 transcript:Et_2B_020025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQEPWMPAGPGLRPTKSAPCSPLKPAPASMLRTHSDSFHVAHKVPVGDTPYVRAKRVQLVDKDPEKAIALFWGAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQISLLKHKLQLIHQGVAFNGKRTKTARSQGRKFQVTLEQEATRLLGNLGWALMQKENYTEAEGAYRRALLIGPDNNKMCNLGICLLKQGRVLEAKDVLKQVRPAAVDGLRGADSHLKAYERAQEMLRDLEAKLVGRPRADQLDTSWLFDALLLGSSSSIWQPQPCIDHLLPPPVPAPAPRDHFADENAGVNKMAALQANMLNVDAQPFYSLRVPPLATKPQNTLPHQQQRQQQKPAPAPVHDPLGNLKRTRSGTAMDKAGTVEKEQITDENSGRRKSLSAEDRWPELPDHSAFDEALVAAVLAPVLDDEPGNDENAKQGKHPVSCDSSPLVKEKIGKRLRIFQDITQTVNTF >Et_3B_031273.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23684981:23685385:-1 gene:Et_3B_031273 transcript:Et_3B_031273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADEVFESMDSLWFYSSVFLHQPSSKHKQIESSADLKHRQPQDSVETNGMSSESSCQPPRCVMVVTTDGRVASRSCSESDERVDVWLKKQRRRIRVVAVAARCSPVSMPPPDDGMAMKAHLKSWAHAVACSVR >Et_4B_037202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16432226:16439372:1 gene:Et_4B_037202 transcript:Et_4B_037202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSNGAVGMPPSTPPAPVAMLAATVAMVLVLALYRRQRGKMVVSEQPPLPPGPRGLPVIGNMHQMLANKPVFRWLHGLLADAGGDIVRVRLGRTHVIAVSCPAIAREVLRKNDAVFADRPTSFAAASFSVGYRSASISPFGEQWRKMRRVLAAEVLSPATERRLRAVREGEVGHLLRRVRALCGGDNGGAAVVDVRRVARHFCGDVIRRLTLGRRRFSEGSVDGRDEAEHVDALFAMLNYLDAFCVSDYFPGLVGLDLDGHEKVVRGIMRTLNRLHDPVVEQRVEEWRLMRKAGERRDVADDFLDVMASLDDDEGRPLLSVEEIKAQCIDIMIATVDNPSNAAEWALAEMMNRPEVLRRATAELDAVVGRARRVRESDVRELGYLKACIREAFRLHPYHPFNPPRVAMADTTIAGYAVPRGSHVLLSRVGLGRNPRVWEDPLEFRPERHLTETSGAVSLAEPELRFISFSTGRRGCPGLSLGTLVTVMLLATLLQGFDWTKPPGVDRVELREAETSLVLADPLVLQATPRLPAHLTGRRGCPGLSLGTLVTVMLLATLLQGFDWTKPPGVDRVELREAETSLVLADPLVLQATPRLPAHLYGTEELQP >Et_3B_029891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28872700:28875743:-1 gene:Et_3B_029891 transcript:Et_3B_029891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGEDDMERDFAARLRLAHSPAPATSSAPPASSPTVGGIAFRAPQEQFTVDDFELGKIYGVGSYSKVVRAKKKDTGNVYALKIMDKKFITKENKISYVKMERIVLDQLDHPGVIRLFFTFQDTYSLYMALESCEGGELFDQIVRKGRLPQDEARFYAAEIVDILEYLHSLGLIHRDVKPENLLLTSDGHIKIADFGSVKPTKDTPIKVLPNSTNERACTFVGTAAYVPPEVLNSAPATFGNDLWALGCTLYQMLSGSSPFKDASEWLIFQRIIARDLKFPDYFSNEARDLIDKLLDVDPSKRPGAGPDGYASLKKHPFFRGIDWKNLRKTRAPKLAVEANANEDDDSQDSNWLSHTGSVPVNQQAMNNGATSSSEVRSHISKLASIDSFDSKWQEFLEPGESVVLISMLKKINKLANKKVQLILTDKPQLICVDPAKMVAKGNIIWSDDPSELNVQVSNSSHFRICSPKKVATFEDAKQRAWQWKKAIEDLQRCQKN >Et_1B_010887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14989412:14997036:-1 gene:Et_1B_010887 transcript:Et_1B_010887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLATFQADIQRDAVVDAPGCKQDDGGSGVLRPSRICHLAIISTTFWAFVFLVHYSSIQGSGGLASVFFNSSTFSIPLLSSVTVEPGRAPPASSATVPPATVKEEDQQSVTMAPGDDDRCAGRYIYMYDLPPRFNEDLLRDCSNLDLWIDMCPYVVNRGMGAELGVEGGAFSDRGWYATNQFMLDVIFHGRMKHGYGCLTDDPARAAALYVPFYASLDGGRYSWNSSSLRDALALDLGEWLARRPEWQATGGRDHFFVAGRTAADFIRKGDRDWGTKLLRHAFVQNMTVLVLESVSWVQTSNVAVPYPTYFHPETAADVAAWQAKVRKAERRWLFSFAGAPRPGNSQTVRAEIIQQCGASSRCSLYNCGAGAGADCFSPGGVMRLMESSTFCLQPRGDSLTRRSTFDTILAGCIPVFFHPGSAYTQYTLHLPKDPDSWSVLIMHTDITGRNVSIEETLSKIPPEKVKAMREEAIRLIPKVVYADPRSRRVDFKDAFDVAVEAVIDRVAKRRRNTHIQKEAMDAADKHGGGGMLRSWGIWKLAVLSTAFWTLVFYVHSCMHGGDGVASVLLTSTSSPPPLLSSDTAGRPEQQQLPVQENQSQSVTGDDDRCAGRYIYMYDMPARFNDDLVRGCRKLSPWTDMCWVVANCGMGTPLGDQGGVFQPRGWYATDQFMLDLISHCRMKRYGCLTDEPSRAAALYVPFYAALDAGRYFFNSTPARDALPLDFADWLARRPEWRASGGIDHFMVAGRTSWDYRRVADDEWGNKLLNLPVLQNMTALILEETSTTSRNTIPIPYPTYFHPETGADVAAWQDKVRGTERKWLFTFAGAPRPPSSHTVRAEIIQQCGASSRCKHVDCGGSGGSSCFWPSGVMRVFESSDFCLEPSGDTPTRRSTFDAIVAGCIPVFFQPDSAYTQYTLHLPKDPESWSVLIMHTDITDRNVSIEETLSKIPREKVKAMREEVIRLIPKVVYADPRSSRVDFKDAFDVALEAVFDRVAKRRQGAA >Et_1B_012728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34751759:34755127:-1 gene:Et_1B_012728 transcript:Et_1B_012728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCVEPPPHVKLPESKEAELTDDDNHDSSKTEKRTRMHHIERHRSCVVTLSDIELNDLPSKSPGGAGTQCSPREETPTDTNASHRHAITDVAWEALKKSMVYFRGQPIGTVAAIDKSQGAALNYDQVFMRDFIPSALAFLMKGEHLIVKNFLVETARLQSREKMVDLFKLGQGVMPASFKVHHRNPTQKTESLLADFGETAIGRVAPVDSGLWWIILLRAYTRWTGDNSLAESPNCQRAMRLILRLCLSEGCDTSPALLCADGCSMIDRRMGIYGYPIEIQALFFMALRCSLSLLKQDSDDDFVNHITKRIQALSYHLHSYYWLDFQRLNDIYRYKTEEYSQTALNKFNVIPESIPDWIFDFMPSRGGYFIGNVSPARMDFRWFCLGNFIAILSSLATGEQAEAILDLVEERWEELIGEMPLKICYPAMENQEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLVAVSVKLGRRHLARRAVELMEKRLVKDDFPEYYDGKAGRYIGKQARKFQTWSVAGYLVAKMLLDDPSHLRIIALEDDGHSRSGMPFLKRSNSCP >Et_2B_021413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29522040:29524294:1 gene:Et_2B_021413 transcript:Et_2B_021413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSFVTVKQMAAPDKTVHASTSTQPLVHKLLDAKLDHQVLVDDTLSSTSQSSSIKTELMRSSSFSKSLTLNLQKRSPDTDPESPLSHVSHPNFSDPIPSNSSTFCTSLFSSSLSNPEPTRQIGTLPFLPHPPKCEQQVSAGQSPSSSLPLSGDIGNSIDEAEHSDDLKDLLNLSGDGSDGSYHGENNALAFAEHMEFQFLSEQLGIAITDNEESPRLDDIYGTPPQFSSLPVSSCSNQSIQNPGSPVKVQLNSPRSSSGSATTNKTRLRWTLELHERFVEAVNKLDGPEKATPKGVLKLMKVEGLTIYHVKSHLQKYRLAKYLPETKEDKKAPSEDKKAQSSSSSNDSGKKKNLQVAEALRMQMEVQRQLHEQLEVQRQLQLRIEEHARYLQKLLEEKQKAGNSLSLKTPTEAQAESPESTSKERGESESGAASPQPSKKRAPDAEVESKSPAGNKRTKVQVGSQSEASCS >Et_1B_012663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34189206:34193261:-1 gene:Et_1B_012663 transcript:Et_1B_012663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METIHGKGQKSTNQASPSSEEADEFEDETLITPESISDKIGVRFQRNLGSASWDFYGSLKIVLLKSKLNALIPCGFLAILINYITQDNGWVFPLSLLGIIPLAERLGFATEQLALFTGPTVGGILNATFGNATELIISIHALRSGKLRVVQQTLLGSIMSNVLLVLGCAFFAGGLACGKTEQTFSKADAVLNSGLLLMALMGLLSPAMLHYTNTEVDLGKSALGLSRFSSCVGCRNQAYNEDEDGFPDISKWEAIAWLAIFTVWISVSSDYLVGAIEVASKAWNIPIAFISVVLLPIVGNAAEHASAVMFAMKDKLDISLAVAIGSSTQLSMFAIPFCVVMGWMMGQPMDLNFHQFETASLLITVVVVAFLLQEGTSNCLKGLMLILCYLIVSASFYVYADPNIDDTWQIDISAYSDQKREDEFIRQGEFLKEKVTVLGKKCHT >Et_1A_006731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26887904:26892110:-1 gene:Et_1A_006731 transcript:Et_1A_006731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVPKVIRQLLIFALVFTALTTNQAWGEQDCYDEKDSVMHNCMKTIATGQPYVDPSVDCMEAVEASDMDCICGILTLEDWKTISIVKFVKLARNCHKPLIPGTKCGSEYLMLDCSGKTNAATTKEQIHKNSSSLIPFQISNARFSYCLSFTVLAAHRARRLSRTCAAAVKNPRVRDSGEHRRRAGEAGKNEVGHAKNRSRDKAGAVRTWALIRYYQF >Et_3B_030428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3771937:3775818:1 gene:Et_3B_030428 transcript:Et_3B_030428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCKPFMGTMDTAVRLASRVTPTDARMVKTGFDLVTYRFNLHLNHLISSGRLAQARELFDQMPHQNAFSLNRMICGYSRFGNLPAAEAIFRAAPHRDAVTWTVMMRALTEYGRGPDAVSLFRDMLREGVAPDCVAVNTVLNVPECGVATLHPFVAKLGLRINVVVCNTLLDAYCKHGLLAAGRRVFLEMPKRDSVTYNAMIMGCSREGLHGEALELFAEMRRAGLGASQFTFSSMLTVATGMADLQLGRQIHGLFARATSAHNVFVNNSLLDFYSKCDSLSDLKRLFDEMLERDNVSYNVMIAAYAWNRCASTVLKLFREMQILGFDRWALPYASLLSVAGSLPDIQIGKQIHAQLVLLGLASEDLVGNALIDMYSRCGMLDAAKANFANKSEKTTISWTQLITGYVQNGQHEDALQLFGDMRRAGLSPDRSTCSSIMKASSSLAMIGLGRQIHSYLIKSGHMSSVFSGSALLDMYGKCGCLDEALRTFDEMPEKNSITWNAVISAYAQHGQAKNAIRMFESMLHCGLCPDPVTFLSVLGACSHNGLAEDCMKYFDLMKHHYCISPWKEHYSCVIDTLGRAGCFDELQKVLGEMPFEADPIIWSSILHSCKIHGNQDLATVAAEKLFSMAPTDATTYVILSNIYAKAGQWEDAARVKKIMRDRGVRKESGNSWVEIKQKIYSFSSNDHTNPMIAEIKEELERLYKEMEKLGYEPDTSCALHLVDDELKLESLKYHSERLAIGFALINTPPGTPIRVMKNLTACLDCHAAIKMISKIVNRDIIVRDSRRFHHFKDGVCSCGDFWYWCWSEKYVDLQYGIVFGGEATYEPKIQRRPHSLGRRYMLRLRRALHQAV >Et_2B_022342.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18682840:18683718:-1 gene:Et_2B_022342 transcript:Et_2B_022342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRVESFIEHQDTCNAGRTRTDLSPPSGSLHQAPPPAPASLSRTASSTSPSSDVVVSSAACWPSGPAMPSPTAAAFHRFDHQAPSPPPERRGGGAHNLELQLMPPSSGDSSAGRAAAPGATAYCGSPLSPAPTSRGNDAAAMTHLGLSIGGGEVALAAAARASEEAAREHLLQAVAEKASADEARAQAKRHAELAEQELASAKRMRRQAQVELSRAHAVREHAVRQVNATLLQITCLSCRHKFRARPPPAAEAVCSYVSSVVTTDAEVVGERHDDAGGARRRQAVAKLMDVL >Et_4A_033196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19894967:19905402:-1 gene:Et_4A_033196 transcript:Et_4A_033196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDAELRRACAAAVAASGARGEEVAFSIRVAKGRGIFEKLGRLAKPRVLALTVKQSSRGDANKAFLRVLKYSSGAVLEPAKLYKLKHLTKVEVISNDPSGCTFVLGFDNLRSQSVAPPQWTMRNIDDRNRLLFCILNMCKEKLSYLPKVVGIDIVELALWAKENTLTLDNQVNTQDGQEASIVTQTERKVTVTVENDLVSQAKDEEEDMEALLDTYVMGIGEADAFSERLKQELVALEAANVYQLLESEPIIEEVLQGLDAASATVDDMDEWLRIFNLKLRHMREDIASIESRNNGLEMQSVNNKGLMEELDKLLERLRIPQEFAASLTGGSFEESRMLKNVEACEWLTGAIRSLEVPNLDPCYVNMRAVREKRAELEKLKTTFVRRASEFLRNYFSSLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKSCLGPLRKAYCNSLNLLLRREAREFANELRASTKAPKNPAVWLEGSSGSGPNGSSVDTSTVSDAYSRMLTIFIPLLVDESSFFAHFMCFEVPALVPAGAPNVSKSKSGDDPDDDLGILDPDGDDLKPDSTSAELGTLNGALQELLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRKLLDELESRISAQFSRFIDEACHQIERNERNVRQTGILAYIPRFAVLASRMEQYIQGQSRDLIDKAYTKLVSTMFATLEKIAQSDPKTADIVLIENYAAFQNSLYDLANVVPTLAKFYHQASESYELACTRHISSLIYLQFERLFQFNRKVEELTYTIAAEEIPFQLGLSKTDLRRVLKSSLSGIDKSIGAMYRRLQKTLTSDELFPSLWDKCKKEFLDKYESFVQMVTRIYGNESLISVAEMKEILAGF >Et_3A_025305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28799946:28802097:1 gene:Et_3A_025305 transcript:Et_3A_025305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNITQEIGDVPCSDQDPPILTEYSIMVPTLHDGLMQGTASHERRLLDFLKATPSVQWLKEVNLCSRLTTFQLPSTGVHSYLHANFVRRIHWGSFFTICKKWLKHPLNISLLIWLLCVAASGAMLILLMLGLLNKAFPSKFLRHHWIEIDNQILNALFTLMSIYQHPNLIHHLVLLCRWQPEGISDLRKVYCKNGGCRLNERAHISFVVALLHITCVSQYVVCSLYWGYPSRSRSEFAENFFFILGIVAPVIAGVYTVYSPLGRDIDSSCGEETKQPDAIQVELPESRTVVSNPVWAGGLLNCSEDLTACYLSFFCTFCVFGWNMERLGFGNMYVHTVMFLLLCITPFWVFNITALNIHDYVLGDIIGAAGIALCFFGLLYGGFWRIQMRKTFGLPRSRWLCGSASLTDYMQWLFCWPCALAQEVRTGNLYDVENGNFYAILRDDVGDVESEPGPTVASDLPVSVGGEEGNDTGVTLTLDGEMIPPTQPVIQCGDREGTDAEVVADEKTKVRMQLYKLQDTERQT >Et_3A_026881.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2544158:2544919:1 gene:Et_3A_026881 transcript:Et_3A_026881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCDGSILLDVANSEKTAGPNQSVGGYEVIDAVKTQLEQTCPGVVSCADIVALAARDAVSVQFPSSLWQVETGRRDGSVSLASNTGALPSPFAGFNGILQSFTNRGLNLTDLVALSGAHTIGVASCSSVTSRLYNGNATAVDPLLDSAYAQTLMTTCPNPSTSKAGTTVNLDGSTPAKFDSTYYANVQRKQGTLASDAALMSNAQAAQMVADLTNPIKFYAAFSMSMKKMGRIDVLTGTKGQIRKQCRQVGS >Et_1B_012100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28955685:28963228:-1 gene:Et_1B_012100 transcript:Et_1B_012100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSAVRVTMEVGADGVALITICNPPVNALHPRIFEGLKEKYTEAMARDDVKAIVLTGAGGKFCGGFDINMFSQVHKTGNISLLPDVSVNLVSNIMEEGKKPSVAAIQGLALGGGLELTMACHARLSTPEAQLGLPELTLGIIPGSGGTQRLPRLVGLPKAIEMMLEEKVFKELVPSPTSKALVHAFFAQRSTTKVPGVTDVQLKPRQIRKVAVIGGGLMGSGIATALLVSNISVVLKEVNPQFLQRGQKMIAGNLEGLVKRGSLTKDKMSKAMSLLKGALDYSDFKDVDMVIEAVIEKIPLKQSIFADIEKVCPPHCILATNTSTIDLNVVGEKTNSQDRIIGAHFFSPAHIMPLLEIVRTEKTSPQAILDLITVGKIIKKVPVVVGNCTGFAVNRTFFPYTQGCHLLVSLGIDVFRIDRVISSFGMPMGPFQLQDVAGYGVALAVKEIYAAAFGERNLDSDLVDLMVQNGRQGKSNGKGYYIYEKGGKPKPDPSVQNVIEEYRKRAKRMPAGKPVTLTDQDVLEMIFFPVVNEACRVMDENVVIRASDLDIASILGMGFPKYRGGLIFWADTVGAPYIHSKLSKWAELYGAFFKPSSYLEQRAKSGLPLVLTLLSDSCPILLIVEL >Et_1B_013174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6007520:6009665:-1 gene:Et_1B_013174 transcript:Et_1B_013174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTVAGFFALLCVSQQARAVNYTFMREAVHAPLVAYYDYIVIGGGTAGCPLAATLSERSRVLLLERGGSPYDDGRVLNMAHFSDVLADTSASSPSQRFVSEDGVINARPRVLGGGSCINAGFFTRAGAGYVRAVGWDPREAAAAYRWVEDVVAFQPALGPWQAAVRRGLLEVGVVPDNGFTYDHIPGTKVGGSIFDADGRRHTAADLLRYARPEGIDLFLRARVSRILFGYKGTKPVARGVVYRDAQGGTHVAYLNRGGANEVILSAGALGSPQQLMLSGVGPADHLRSLGVDVVLDLPGVGQGMSDNPMNAIYVPSPSPVEVSLIQVVGITRFGSYIEAASGSNWNSHQQASGSRPRNFFGMFSPQTGQLATVPPKQRTPEAIARAVEAMSQVPDAALRGGFILEKVLGPQSTGHLALRNLDPDDNPSVTFNYFAHPDDLRRCVAGISAIESVIRSRAFARFTYPNFAFPAVLNVTAEQLPVNLLYRRGSDPRALEQFCRDTVTTIWHYHGGCQVGRVVDRDYRVLGVDALRVIDGSTFNASPGTNPQATVMMLGRYMGVKLLKERMLVEGPGRKSP >Et_10B_003177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17361301:17361755:1 gene:Et_10B_003177 transcript:Et_10B_003177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAACDKAAAAWDELVVGHALRQPEDDTHHRRRDDGGARGGGVPGVSGKVGFTVEPFTDRPSGPVLAPQAGIAFGHCRCCMMRLPENRDIFIYKGEKVFRSNECREGYIKEEIEDA >Et_8A_056414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:43007:45077:-1 gene:Et_8A_056414 transcript:Et_8A_056414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQFNEAGVEVVVKASLNIFSIHITYLYDVISTDMDNGNFKMQSRDGKGTAAHFTICSANMTVENLSQIIERLLNFYRSRVISSFVSEHWNQKVFQRVASEQKTIGYVSSGTISESFNTIKLTTLRHGSVDCWMMLSKEIGSIGEIDNRHCLSSKFLVNSGSP >Et_5B_045434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22314718:22316166:1 gene:Et_5B_045434 transcript:Et_5B_045434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPAQETPRGRLWLSSLDLVTPRMHLPSVLFYRRGSADDEEEGSSLFFDGERMRRALAEALVPFYPLAGRFGRDGGDGRLEIDCNGEGVLFVEADAADTTLGDYGDFAPTAELRRLVPAVGEYTDDVSALPLLLLQVTHFKCGGVCLGVATDHYALDGMSALHFINSWAQLCRGTTQISSAPFIDRTLLRARDPPPAPSTFQHVEYLPPPAALPATTARLLLDDQPTPATAVDIFKLTRSDLARLRSEASSNSSQPRVSTYAVVAAHVWRCVTLARGLPPDQPTMLIAPVDGRTRLQHPPLPNGFLGNVVFNAAPVAEAGVVTRGPAVAAHVIQSALERMDGDYCRSALDCLDRHPDLSGIARRWTDTLWYNLVVTSWVRLPSRDADFGWGPPVFMGPAGVAMEGLAWVLPSANGDGSLSVAICLRAEHMDKFGVLMYDAIEA >Et_5A_042003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4997422:5004369:1 gene:Et_5A_042003 transcript:Et_5A_042003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVRRRRERWRHKSPTMTRTNQLDYCYYSRSARMGASLSFPPPKRPPKGGRGGGGGGGTLGAHCFAIARWGRLPTLWLVLDAIEAVVNATRTILEQCERSKTVRRVIYTGSLVAASPLIMEDGGGSFKDYVDESCWTPLDLSYGYSSEGLNGYVIGKTLCEKLLINSDGASQKQSRAFEVATLLCGLVGGDTLLPFLNGSLQAMVSPLTGAEAAHNNLKFLQALLGSVPLVHVDDVCDAHVFCMEMPAIAGRFLCSAAWPNMQDIVGHHARKHPESLNPAVSEVVGEGVRVQAGTSKLVDLGFRFRLLSEGPPPSLQVLLGEAAKEAMSRRVVCVTGGGGYIGSWLVKKLLDRGCVVHATLRNIADEKKTALLRALPGAADRLRLFQADIYDADTFEPAIAGCEFVFLVATPLSHDPSSTKYKDTTEATVDAVRIILRQCERSGTVRRVIHTASVVAASPLKEDGTGFADAMNESCWTPIKLSYGYTNAHLDGYVWSKMLAEKELLRYNDERDGRAPEVVSLACALVGGDTIQPYLWSSIPVIVSPLTGSEPHHNSLLFLQALLGSVPIAHVEDVCEAHAFCMDQPAMAGRFICAAGFPAMRDIVDRFAAKYPDLKIRLKDVTGEGVSVPGDTSKLVDLGFRYKYGVEETLDCSVECAKRLGEL >Et_3A_023559.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3683133:3683336:1 gene:Et_3A_023559 transcript:Et_3A_023559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DDGDCYEDLPVPVAPHVPRGLSRDPPPPGAGREVEQQPVTAASGQGRGWPWLICVSTNGKAPDQFRT >Et_10B_004088.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:1284392:1285465:1 gene:Et_10B_004088 transcript:Et_10B_004088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYAASPYVLSLLLLLSIPAVFLLAPRLIPPRTLPAIPDAHETEDLALFRRAVLLSAAPSGSSSSSPSSGGFGAHRRQSKVAFLFLTNSDLVFAPLWEKFFAGHSALFNLYVHADPAAELTVPATPSFRGRLIPGKATQRASATLISAARRLLATALLDDPDNHFFALLSQSCVPLHSFPAFYRALNAGGPRSRHRSFIEILDDEPTLHDRYYARGDDVMLPEVPYDRFRVGSQFFVLARKHAIMVVRDRRLWNKFKMPCLVKRKDSCYPEEHYFPTLLDMQDPAGCTKFTLTRVNWTDMVDGHPHTYRPEEVSAELIKELRKSNGTYSHMFARKFAPECLGPLMKIADSVILRD >Et_1B_010991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16151196:16152205:-1 gene:Et_1B_010991 transcript:Et_1B_010991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAASSASGRGNKPSRSSSAIVADSARGYHDLKIDACSLALPTGEHLLSRPFAIGGRRWRVVYYPSGIDEESVGHVSLFLSLDEDAAATGPVTAQLQFGLLTEQRASCFRKREKKFLTTPEASHRFDTPCPRMGTPSSPRRSPWCDVVVLNGFRAVGKAAAPSAASVAVPPPEMQRHLGDLLRDGRGADVVFDVGGETFAAHRCVLAARSPVFSAELYGAMKESGAAAVVRVDDMKAPVFKALLCFVYTESVPETKKEDEDVMYQHLLVAADRYDLQRLRLICEDKLSKRIDISTVQNILALAEQHHCDGLKKACLDFLGA >Et_3A_027254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5899743:5906740:1 gene:Et_3A_027254 transcript:Et_3A_027254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSGFLTSALGTVTVSTPFSSPAFISSTLAFFGRRKRLMNLPLLRSTRCHLSFLSSFSLLRSPLMTSTLPSSTSTFTSSFFTPGMSALMTCASGVSFQSMRALANAAVSRSDDAAAGITERKTSKGSQKSAKGSNTLLRLTSDIAASECEYQKKPMELRPVSRSEHGSIRLARWNDMSVAPCVLTILRNGHFIYSMLNKHEEDYTGILGSQITHTWTRLDMTDAELTHIDPSQRPSTRTDATYTFSLHLHSLFSLTRDLNSLHLRLLHLGLGHGHGEHAILEPGLHLVHLGVLRQAEPSHELAAAALDAVPLIILVFLLLAALAADDEHITVLHLDLDLLLLHAGEVGLEDVRLRRLLPVDASVGEGRRVGVRRCGGNN >Et_9B_065846.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:1561666:1563888:1 gene:Et_9B_065846 transcript:Et_9B_065846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFTLLFLLVMTTGPDPASSLRFDYATLTLGSLKLLGDAHLKNGTIRLSRDLPVPTSGAGRALYAAAVPLRAGFSTQFAFTVATLNRGSVGGGLAFVVATDDAALGESGACIGVDVAADAAAVEFDTLMDVQFGDINGNHVGLDLGSMVSAAAADLGEVDIDLASGRTVNAWIDYHSAGLMEVFVSYAAKRPAMPVLSAPVDLGKALVDKDAAFVGFSASTQGSTEVHAVEWWNFSTASPTEPPVSSSVPPPPVLPSPPLLPGGGVTTPSPPSATTVSAAPTGSIAAATAPAASAGGGGGGGGGGNSPTHAAVAGAATAGAVVAASFAGFALWALARRAKTRKRRTAALAVAHRRGGDSVASAAALARSPREFSYKELSAATRGFDASRVIGNGAFGTVYKGIVPDTGAMVAVKRCCTSANGGASSEEARTEFLSELSIIAGLRHRNLLRLQGWCYEKGEILLVYDYMRNGSLDRALFDASSPLLPWRHRRDILAGVASALAYLHHECERRVIHRDVKSSNVMLDESYRARLGDFGLARQAEHGASPDATAAAGTMGYLAPEYLLTGRATEAADVFSFGALALEVACGRRPIGREGRCNNLVEWVWSLHGEGRLLDAVDPRLAAGGEGYDEGEVRRALLVGLACSSPEPALRPGMRAVVQMLSGEADPPFVPAARPSMSFSANHQLLLSLQDSVSDYNALGLNLSDSSSDSLSSSSLTSTLRRGGHDIGFSSTAGDAR >Et_1B_014336.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6232631:6232897:-1 gene:Et_1B_014336 transcript:Et_1B_014336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATKLQERVPIRRTAWKLADLVVVSLLLALVAHRAASLLDGSREASWCWIAALACEAWFALLWILNMNCKWNPVRFETHPDRLDER >Et_1A_005642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12277338:12279633:-1 gene:Et_1A_005642 transcript:Et_1A_005642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRGSSSNRTTCTPSSASPHNIGVGSRLTPPLNPRRKRALLKIGGSLLAGPSSENVDPKVMMLIAREVQMASLRGVQVAIVVGSRNIYRGDSWAAATGICRPATYSIGMMASVMNAVLLKASLEQIGIETRVQTSLMMQDATEPYIRRQAICHLEKGRGVIFGGIGTSMGNPLFATDTAAALRACESVLLKGITGNSLSGCPPASKRNEAFEHISSRELVARAASKMDVTAISFCQEDNIPVVLFDMLELGNISRALCGDQVGFLADQMGRIS >Et_6A_047540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7080920:7088251:1 gene:Et_6A_047540 transcript:Et_6A_047540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQEAEERPGGLPRSGSASRLNAQAPEFVPRAAAAPVPAPPPPAPTVVRLFPRPPPPAAFFVAGPPTPPPPPFEYYAAAVGPGGGGFGAAAAEQEAEAELAARDGIFDDAVHKVRKQVEYYFSDINLATTEHLMRFITRDPEGYVPISVVASFKKIKALMQSDSILASALRTSSKLVVSDDGTRVKRVQPFTVSDLEELQARIVVAENLPDDHCYQNLMRLFSAVGSVKTIRTCYPQTPNGTGPVTNRSAKLDMLFANKLHAFVEYDTPEDAAKAIAELYDERNWRSGLRVQLLNTCMTKGGKGRKGRHEAGYGEDDNASPSDQPNDKHLEETSQMSDAPGEHMAEDATGDMGRGRGRGRGRGGRGRGRGYHHQNNSQHSHHQNSRSGAHPVGTPPIGHPVKIEEQQDDVQAQPQPPTASNKQPPGPRMPDGSRGFTMGRGKLQISTPSASANEPEP >Et_9A_061373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10780725:10783253:1 gene:Et_9A_061373 transcript:Et_9A_061373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSRRSCEQAVYMRRVVITILVLALGLISFAQSSSVAVSKTSRKSRYIVISGCNNYCSVACCYCNIHRFPPMKNTKKSTNDKGTYPIQSQNRHQCPSKEQLLKICPANPEKFSLPAEAQDLLQAAIQMSERKLEEPHSLGHLEFHH >Et_3B_029968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29529885:29533141:1 gene:Et_3B_029968 transcript:Et_3B_029968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAALEFTPTWIVAAVCSLIVLISLAAERCLHYLGKTLKGKNQKALFEALLKVKEELMLLGFISLLLTVFQGMIQRTCIPPEWTSHMLPCQNTKGTAELSPSEAHHVAAGMLGLTRRRLLAEGGPGAQHCQKKIHQWKHWEDEIQKDVTGNGSRKVTHVHQFEFIREHFKGIGKDSKVLSWLHSFVKQFYGSVTKSDYTTMRLGFIMTHCRANPKFDFHRYMVRALEADFKKVVGISWYLWIFVVVFLLLNVSGWHTYFWISFIPLLLLLAVGTKLEHVITQLAHEVAEKHSAIEGDLVVNPSDEHFWFGRPRIVLYLIHFILFQNAFEIAFFFWILTTYGFNSCIMDHVPFIVPRLVVGAIIQLLCSYSTLPLYAIVTQMGTFFKKEIFDEHVQQGLVGWAQKAKKRKAMKSGGSGGAGGSTHGSSHPSARLELMRRAVDLDEGSACGNGGEVQCARVPG >Et_10A_000348.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:3830559:3830744:-1 gene:Et_10A_000348 transcript:Et_10A_000348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKKAECSKCGKFTWNGCGKHVAAVYDDIEKGKHCSCKPWPGVDTKAEGSTSTTNEGQLSC >Et_5B_044978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:829180:833549:1 gene:Et_5B_044978 transcript:Et_5B_044978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FGSFKEDSEEEKFPTSLVFASLGCFVLGNTVWHPLEGKKLPFEKCVDPCKKLSVELDFAVDFGMDYLNVRSLFHILLSTQLLLSVFSPMVVTDEATEKQALLDFVSAVYRGNKLNWDKNVSLCSWHGVKCTADRSHISELRVPAAGLMGAIPPNTLGKLDSLQVLSLRSNRLTGSLPSDLASLPSLHSIYLQHNELSGELPSFFSPSLNVMDLSYNSFTGEIPASLQNLTQLSVLNLQDNSLSGSIPDLKLSSLKLFNLSNNDLKGPIPRLLQTFPNGSFLGNPRLCGPPLSECSLPSSPTPSPDSSSPRRSPPISHHEKKLGTGLIIAIAVGGFALLMLVVVVLVVCFSKRKNKEESDVEYKGKGSATRSEKPKPEFSSGAQLAEKNKLVFLEGCTYSFDLEDLLRASAEVLGKGSYGTAYKAILEDGTVVVVKRLKDVVAGKKEFEQQMELIGRLGKHANLVPLRAYYYSKDEKLIVYDYIDAGSVSAMLHGIRGVCEKTPLDWNTRVNIILGTAHGIAHIHAEGGAKLTHGNVKSTNVLLDQDHKPYVTDYGLSALMSLPVSASRVVVGYRAPETIESRRITQKSDVYSFGVLLMEMLTGKAPLQSQGNDDVVDLPRWVHSVVREEWTAEVFDVELMKHQNIEEELVQMLQIAMACTARPPERRPTMEEVIRMIEALRQSATESRASLDEKFKDSNPPLE >Et_4B_036438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24102470:24103718:1 gene:Et_4B_036438 transcript:Et_4B_036438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSITARPEHCTLSRVVALTAVCLVVVVCTYLASSPAGGADKEQKFFNMWRRRSSASQAFAPDDLEVALRGAAYMNNKTLILTVLNKAYAEEDGLLDLFVASLRGGEGTAQLIDHVLFVAMDAPAFQRCRSFGGLRCYLLRPEVDGAGDLSSEQVYMSDGFIRMMWRRIRFLGDVLKRGYSFIFTDIDVMWLRNPFPKLDRRGDEDFLISCDKFNRAPHDYAGNELNTGFFFAAASNRTAALFDVWHAARSESAGMKEQDVLNRMARRGAFGPLGVRARVLDTARFSGFCQDSRDAAQVATVHANCCRTQRAKVADLRAVLSAAAAGRLSNRTAAADLRWPAHLECAKSWT >Et_6B_048984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16361906:16368497:-1 gene:Et_6B_048984 transcript:Et_6B_048984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWHSKGKATPERSSPWSALTPELLHQVLRRISSDADRLRFAGVCRHWRHVANQFRSGWSALPPAIADLVVRRLAAPADRARFASVCRHWRRVVGKFSSPWSSGLPPELACLVLRRLMYSHADRVRFAAVCRHWRYVATVYSPPLPPALPWICSSYGSCHSLPDGEWHHFFRSRKGELSYGPFGNWLLFEQLGGDRRSLQNPLNEATLRLPTHAKEPVYMYTDGSLESTSNKKSTYFDIDKVIVSAGELIIALVRYEYNLSNEVLCCRPGMSSWSLGLRNGYRCYQDMAVHMGKLYAVVNGGDLFAHDITEDCDTRGPKVSQIKSVIRAPSHLDGFLNTRDSATYLVNSLTGKLLLVRWFVQHQYNVEDRTKHLKLKVFEADFERSMWVEVERLEDQVLFVSSNCSMVMSASADDDYLQANKIYIVNGNVLYWYFWPKINSCTWGYDMCSKAVHPVSLGGRMIVQSDAACSRCRSLATSCAACRRRPTASAWLPVYSPPLPRALPWIYSSSGFFHSLPDGEVYVIHSKGESSHGSFSNWLLFKEIVGKNCSLKNPFTGETLRLPTHCKKPAFMYANGSFSTPSIMTSTVFSVQKAIVCKGDLIVALVRFGSFSPKEVVCCRPGMSSCIKYTGRPCIKEKHRSRDHLYDRTDRGKATPERSSPWSTLTPKLLHNVLRRLSSDADRLRFASVCRHWRHVANQFRSGWSALPTAVEELVIQRLSAPGDRVSFASVCRHWRRIEQQFSSPWPGLPPELACLILRRLMYSYADHVRFAAVCHHWRYVARVYSPPLLPALPWVYSSNGFFHSLPNGEMHLLNTKERELSHGSFWNWLLFQETNGNNRYLKNPFNGDTLRLPTHCKEPVTMYDDVSLGTPSNLSSTVFDIHKVIVCAGDLIIALVTYGYFSSREVVCCRPGMSSWSLGLRNGYCYQDMAVHMGKLYAIVSGGDLYAHVVTQDSDTGEPKVSRIDQHVIWAPGNLDCFISTSGSATYLVKSFTGKLLLVRWFVDHSCSLEDRGK >Et_6B_048412.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:19043473:19043604:1 gene:Et_6B_048412 transcript:Et_6B_048412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCALKLARTWLPMHKEEKLELMTRWICFLEQNLQAPLRIQNA >Et_3B_028481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1633977:1637726:1 gene:Et_3B_028481 transcript:Et_3B_028481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAEGSASVAAAKEVEYQAGVQKLVDLLSKLNPAAKEFVPSSAAAAAAVSSPSKKALSADAPRRNGYLTQGRRRINDRGRRAEREDSIRRTVYVSEIDHTVTEERLADIFATCGQIVDCRICGDPHSVLRFAFVEFADEDGARASLSLDGTIFGFYPVRVLPSKTAILPVNPKYLPRTEDEKEMVIRTVYCTNIDKMVTQLDVKKFFEELCGEVSRLRLLGDNVHSTRIAFVEFIHNSFAPQYAL >Et_4B_039894.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:5371891:5372259:-1 gene:Et_4B_039894 transcript:Et_4B_039894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSMVTFSTNTHVSQGCRRRRSCAASRPRSHFLCQSSQPTKPPAGGDGGGDNKSGQWAARAEKLYSNVVKAGMAVRESMSPKQKGDWKDVTLMSFSFAVYVYISQKLVCTYCAWLSMINH >Et_3B_029273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23675506:23679552:1 gene:Et_3B_029273 transcript:Et_3B_029273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRSYTNLLDLANGDLSALDYGGGGGAGGGGGGGGGARPPRARRMQRTMTTPGTLAELDEDRAGSVASDVPSSLASDRLIVVANTLPVRGERRADGRGWTFSWDEDSLLFHLRDGLPDDMEVLYVGSLRADVPPAEQDDVAQALLERFRCVPAFLPKDLLDRFYHGFCKNMLWPLFHYRLPFTHEHGGRFARPEWEAYVLANKLFSQRVIEVLNPEDDYVWIHDYHLFALPSFLRRRFNRLRIGFFLHSPFPSSELYRSLPVRDEILKSLLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYYGRTVGIKIMPVGINMVQLQSLLQQPDLEARVKELRQQFAGKTVLLGVDDMDIFKGIDMKIAAFEQMLKTHPKWQGRAVLLQITSPRSGSGKDLESLQALMDESCRRINGQFGRPGYTPVMLENRTLSSVARMAYYTIADCVVVTAVRDGMNLTPYEYVVCRQGIPGLDGSEDDGPKRKSMLIVSEFIGCSPSLSGAIRVNPWNIEATAEAMNESIALPDNEKQMRHEKHYRYVSSHDVAFWSKSFIHDFERNCRDHFRRRCWGIGLGFGFRVVALDRNFQKLSVDSIVEDYKKSKSRVVLLDYDGTLVPQTSIDKKPNETVVNIMNTLCADPKNVVFIVSGRGKDSLEKWFYPCPELGIAAEHGYFMRWTRDEQWKIHNQISDFDWMNMAEPVMKLYTEATDGSYIETKESALVWHHQEAETGFGSSQAKEMLTHLESVLASEPVSVKIGQHIVEVKPQVVSKGFVAEKILSTLMEKGRQADFVLCIGDDRSDEDMFQEINDIMRRSIVDSETSLYGCTVGQKPSKAKYYLDDTNDVLNMLEALADASEEAGSPETEEDQSTPEQA >Et_2B_020108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16963611:16965902:-1 gene:Et_2B_020108 transcript:Et_2B_020108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDHKAAKRVAIVGAGTSGLAACKHLLARGFRPVVFEAGASVGGLWTRTLASTRLQSPHVAYRFSDFPWPEEGGVDWYPRHDQVLAYLAAYARRFGVDACVRFRSRVVAAEFVGGAEEDGEQLYWAGNGEAFGGDGAGVWRLAVRHGDSDDTQTYEFDFLILCIGRFSGVPNIPAFPPNGGPDAFRGGRVLHSMDLSDMDDADAATLVKGKRVAVVGSGKSAVDTAAECAEANGVEHPCTMICRSPQWLAHDTDVWGRVNLGYLYVNRFAELMVRKPGAGWASSLIATLLTPLAWLISKVTEAYYKKALPLREFGMVPELGFAESMASCKIGMLPDGFYDKVRDGSIVIRRTRTSFSFCEDGLVLDDGADGRVVHADLVILATGFRGDQKLRDMFVSPRVKEIVAGSSHTTVPLYRECVHPRIPQMAVIGYSESLTNIYACEMMAKWVARFLDGAFRLPSVRRMEQSVAEWGSYMKRSGGDRFRRSCLGAVNIWYNDQLCRDMGCEPRRKKGFVAEWFQPYGAADYADIQ >Et_6B_048240.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:15445029:15445292:-1 gene:Et_6B_048240 transcript:Et_6B_048240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGRPSLTVQSLPSPSGRRMAGSTPMAEANPGHATLMSACEQMHRFSTVTVYCVWPRTFPDRTVWVTARSDSLQCCALPAHSRIVA >Et_2B_020062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16531940:16533851:1 gene:Et_2B_020062 transcript:Et_2B_020062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQLLLCLAVAAAALLLVAAAKKSGDVTELQIGVKHKPESCSIQAHKGDRVKVHYRGKLTDGTVFDSSFERGDPIEFELGTGQVIKGWDQGLLGMCVGEKRKLKIPAKLGYGPQGSPPTIPGGATLIFDTELVAVNGEPASKSEEDDAGSEL >Et_1A_005528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11171773:11176540:1 gene:Et_1A_005528 transcript:Et_1A_005528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANQEQEAETASPASTTVDPMRLASRWRSPAEWNAAAEELESEPAPSELNTVNSSGLFAVVSTDKLSVKYLGVNHHGHDVGVVQADRPAPTRRAVYYFEMRVKNAGEKGQTSIGFTSEGFKMRRQPGWESNSCGYHGDDGYLYRGQGRGESFGPKFTSGDIIGAGINYLSQEFFFTKNGSPVGGFPKDIKGPLYPTIAVHSQDEEIVRSYLLHYGYQDTLNSFDMASETDPPANHQNGFGEPPEMYGLSHRKLLRQLIMGGDIDSTFKKLGEWYPQVVKDEKSVICFLLHSQRFIEYIRAEQLEDAVKYARANLASFLTHKAFEGLLKECVALLAYEKPAESCIGYLLGSPQREFVADAVNAAILSTNPNMKDPESCLYSCLEKLLRQLTVCSFERRAFNNDQGDAFLLHKEMQKCERSRRS >Et_3B_028354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1387078:1401032:-1 gene:Et_3B_028354 transcript:Et_3B_028354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLIHLGAKLIVVPGNLPTGCIPIMLTLYASPNASDYDQLGCLRSFNERLARYHNSLLEREVLALRGKYPHATIVFADYYRPILEFLHKPADFGFDGSSPLVACCGAGGKYNYNATAACGLSGATACANPSKAVNWDGIHLTEAAYKDIADGWLRGPAHAVFPLKPSIESIFSFGDSYADTGNFIRLTAPFLPSNQFNNSPYGEMFFGHPTGRASDGRLVLDFVADALGLPFVPPSLAEGNNFSKGANFAVIGATALNSSYFREQNIPVAPFYFNISLDVQLGWFQKLKPSLCNTKQGKVRGLLEQFSGNDYTFFLAAKSVDQTRAYVPVVVKAIAAGVESLIQHGARRIVVQGNVPMGCLPIFLTMYASPNSSDYDRYGCLRSFNGLARYHNSVLWSQVQELRSRHPRVTIIFADYYGPVLAFLQAPALYGFNGKSTLVDCCGGGGKYNYNATAVCGLPGATACADPSKAVNWDGVHLTEASYRHIADGFLNGPFAEPPIRARSIHVRTAMATVSVTALLLLVACLHGAHAVFPLKPSIDSIFSFGDSYADTGNFIRLTAPFLPFNPFNNSPYGETFFGHPTGRASNGRLVVDFVGSYSARVHAHTC >Et_1B_009738.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:22454517:22454900:-1 gene:Et_1B_009738 transcript:Et_1B_009738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNLGHDQRRRSRTRRDPTGDKVYSVPPQDFAYTVQRLTGAATPAPTASSSGSSSPVPGLADSAPSRPPTRSPPPVPDLLVSVPTATGRSMQEAYLAWCDSNSVVLSPGTMAEIMEHQIRGDY >Et_4B_039406.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1404534:1406258:1 gene:Et_4B_039406 transcript:Et_4B_039406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLPKRPANYVPLSPVGFLPRANAVYGDRTSVIYGRVRFTWSQTYRRCRRLASSLLNLGVRKDDVVSVLAPNVPAMYEMHFAVPMAGAVLNTINTRLDANAVAAILRHSEAKLFFVDYDYVRLASDALQLVASSGAPVPLVAVIDDGDSPTGVRLGELEYERLVASGDPDAQLPPLGDEWDAVTLSYTSGTTSAPKGVVYSHRGAYLSTTSLLLQWGVGNEPVYLWTLPMFHCNGWTFTWGMAARGGVNVCIRDAHPASIYRAIARHGVTHMCCAPVVFSILLEGGGAGAGGKKHVLDAPVHVLTGGAPPPAALLERVERIGFKVTHAYGLTEATGPALACEWRDQWDRLPHPERARLKARQGVSVLSLADADVKNADTLASVPRDGKTVGEIALRGSSVMKGYLKNPEANEAAFKGGWFLTGDVGVVHPDGYIEIKDRSKDVIISGGENICSKEVEEVLHAHPAVADAAVVAMPHPRWGETPCAFVVARVDKDAAGVREEDVIAYCRTRMARFMVPKKVVVKEALPRNALGKVEKVKLREEARKIAPTVTTWSGGSGGQQLQVAHVMALSRL >Et_2B_019175.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15843774:15843905:-1 gene:Et_2B_019175 transcript:Et_2B_019175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEYRHVFACDCYMEMIMIGAWTIWNYHNAIIFDNNVLSFSI >Et_7A_050692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10109526:10121947:-1 gene:Et_7A_050692 transcript:Et_7A_050692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYIHVFPLVIWETNTAQYFFQKQLILALLVPSITMANLAHQFMREMTAPRAWLLLLVPLLLLLARYSMAGFRAKRARKRQKLDHGLPPSPPALPVLGHLHLLGSLPHVSLGRLAKRHGYDLMLLRLGATPALVVSSPRAAEAVLRTHDHVFASRPHSLAAEVVLYGPSNVGFAPYGEYWRQMRKLFTTHLLSAKKVRAFRLAREEEVSMVMAQIGEAAAAGVAVDVSELLSSFMNDLACRAVMGKSFRSEGRNKLFRDLVADTSPVISGFNVEEFFPFLARAGVLSKVVRNKSERLRRRWDELLDRLILEHESKYACDSSASDPKDADDFIHVLLSVREEFGLASEHMKAILLDVFFAGIDTSSSTLDFTMAELLRAPHVMNKLQAEVRSSVPEGQGFVSEVNLTDTTYLRAVIKESLRLHPVAPLLVPHFSMASCSMDGYVIPAGVRVLINVWAIGRDSRFWEDPLEFIPERFVDGGSAAEVNFKGNDFHFLPFGSGRRMCGGLNYAMAAMELMLANLVHCFDWEMPEGKERRDIDMSEAFGVVMRRKEKLLLIPKLRV >Et_4A_034124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30035649:30040267:-1 gene:Et_4A_034124 transcript:Et_4A_034124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VAAIPSLARSLLPANAKGNAVADFAMQILRRKLLEASRRLPLFSASAATQHRRAHAVAYLAAATRAPTAGSLAAAPWAATQRRGAKMLGSDVKLGNVIQRRGVIKAQHSHQGRGGATIQVELRDVDTGNKITERFRTDEALERVFVEEKSFTYLYQEGDNITLMEPETFEQLEVSKELFGKSAAYLKDEMKVTLQYFDGRPMSASVPQRVTCTVVEAQPNTKGLTAQPHCQSDVDVNLVLQHRQDNQEL >Et_7A_051882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2643765:2646728:1 gene:Et_7A_051882 transcript:Et_7A_051882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSAPPPCPHLDAHRLSSRPLRFLRRCLRVRPLGRPEIRRDPRELPRCTPCASAPSPSPASRLYACLSCAAVFCPSHAASHAAASAPGHQIAVDVDRAELFCAACGDQVYDPDFDQAVFLAQSSSLLPSTSASAAATPSPSTAAGPRKRRRVDYRAWAPDPAEFALMSSADPTTSASAAAPAGLRGLNNLGNTCFMNSVLQAFLHAPPLRNYFLGDRHNRYLCPRRTPVRNRAVEADAAKAACLACDLDEIYSAAFSGERTPYSPAKFLYSWWQHASNLASYEQQDAHEFFISILDHIHENIKDEQHKSHAPGHGDCCIAHRVFSGILRSDVTCTSCGFTSTTFEPCMDISLDLDAGCSNNCLGVTNTKLHVRNGERSSAGVSSKVTTLMRCLERFTRAERLDAEQKFFCERCKERQESLKQMSIRRLPLVSCFHIKRFEHSSVKKMSRKVDNCLQFPFSLDMAPYLSSSILRSRYGNRIFPSEASDVDAISELSTEFEIFAVITHSGKLEAGHYVTYLRLNNHWYKCDDAWVTRVEEHTVRTSQAYMLFYVQKTLYYKACERAAAV >Et_6B_049691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:937057:942745:1 gene:Et_6B_049691 transcript:Et_6B_049691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLAPRSSPHIATTTRVHPSDADVLHRASCAADHRPAVYTVWKRSTMGFQGTDGFSVYDADGGLAFRVDNYSRRRKLFAGELLLMDGHGAPLLALRPQILSMRDQWNCYTVSEEAGDKGSRRQHLFSMRKCSLVQSGDEAEVHMSSALQSISDQAEVHMEAATTSSASSSLSCKHQAPAPSFQIEGSFSRRNCKIRGSDGREAARISRKKAGVASRPVTTLGDDVFSLVVRPGVDAVTVMAMVVILDRICRKPYTPMVCSSQ >Et_4A_032146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21137536:21138606:-1 gene:Et_4A_032146 transcript:Et_4A_032146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRSRILRTLQSFPNAAAQSNLLLPPPANAGASPAPKPADPCGNLQDPTPPEDVAIADGAGAAAGGGDGDDDDKENASPGVTPRKAKKMKLSSDHDQSAGLGESGYRRPNLASATLFDPDLLAAFRRAVDAYAQALEASKRRGGGDDDDDGDGMAGEEDEANAAADPLEPFERRCPPGGERAVVLYTTSLHSVRRTFEDCARVRRLLEGLRVAFLERDVSMHAPYREELRALLPPRQPDAASFPVPPRLFVDGRYIGGADEVVALHERSQLRPVLRRAPRRGAEEGPCAVCGGAWFVVCGGCSGSHWLHDDNAACGGGGAATATARVACPSCNENGLVPCPLCS >Et_2B_022607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27789923:27791407:-1 gene:Et_2B_022607 transcript:Et_2B_022607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGATAAGAVTRVAQRVVAPSAPTPRGQLPLSWLDRYPTQRALIESLHVFKGRAGADEAPARAVERALATALVSYYPIAGRLAVDGAGDLVVDCTGEGVWFVEAEASCTLEEVDCLEYPLMVPKDELLPHPTYPASDPLPEDSLILLVQVTQFACGGFVVGFRFSHAVADGPGAAQFMTAVGDIARGHAAPLLAPAWGRDAIPNPPGAAVGPLPVPTELRLQYLAMDISTDYIEHFKARFLEQTGHRCSAFEVLIAKAWQSRTRAARFAPGSPVHVCFAMNARPALRRALPDGFYGNCYYIMRVSAPAERVSDASVYDVVRLIREGKKRLPAEFARWSAGEAEAEDPYRITSDYRTLLVSDWSRLGFAEVDYGWGCPVHVVPLTNLDYIATCILVRPSAHKPGARLITQCVAADAIDAFHKDMMRLD >Et_6B_050068.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:5193769:5194251:1 gene:Et_6B_050068 transcript:Et_6B_050068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNPPDVIASMMLHGRVAIVTGGAGGIGSAVSKHLASLGARVAVGYIGDPTPARDLVSRINSEHGSKEGETPPRAIAVEADVSDAAQVKALFDAAAAAFGGELHILVAAAAVLDSSFPSLADTSDVRHLRRRVRHQRARHLPVLPRGGAPAAAAAAAS >Et_6B_049614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7198090:7199750:-1 gene:Et_6B_049614 transcript:Et_6B_049614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDAADASHEMSILDERGIATIMGIGKAVPRHEFPQKSYPDYYFEITNSNHMVDLKAKFANICAKTEIEKRHLSDDTLRSTPSIRAHDSPSLTLRQELSDAGLPELGAAAARAAIADWGRPASDVTHLVFATSCSGRMPGADRELAGLLKLLRPSTKRVMLYQAGFHGGAAALRLSKDIAENNPGARVLVVCAETCALSLRGPSERRVGDLVGQAILGDAAGAVVVGARPIGAGERAGVFELVAAAQETVPGTEEALVATLREEGIVYGLRRDIPAHVSAAVKRLVDGVLLLRREATAPELLEMDEVFWVVHPGGRGILDRVEGCLGLSQEKLAASRAVMRQYGNTRCSSVVLVMEEMRRRSAERGLRTAGEGLEYGFVVGYGPGITVEAILLRAIPNKAIA >Et_4B_037655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22094381:22099281:-1 gene:Et_4B_037655 transcript:Et_4B_037655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGEVRRDMWGQEHRTSSADCAAALDAYYAATMAFGRGRGAAVLRAAAADPACVLAAALAAHFVAPRDPAAAAAYLAAAADNLGKATDYERAVFRAFSALIGEEKDDELAIERHFELLKEFPRDLMSLKRAQLICFYKGLPELSLKLVEQVLPENQDQDYIYGLLAFPLLELGRMDEAETAARKGLAINKNDVWSQHNLCHVFQQECRFREATEFMESCSPSWTACTSFLFTHNWWHVAVCYLEGESPLCKVLEVYDGNIMKELERSDSEAAEVYLNALGLLLRLYVRGHIDPAKERLKMLLDPLKDESTWHVEWLLDLLILWALSNMNEIESAEKMLESLKSSVRSMGIKRQQVMQKAIKLAEAVYEYGKGDHKKVFDTLGPDFDALGYKMIGASDEQVDVFNEVWYTVLINARETSKAFEVLGKQIKKRDGAPFLWRLLNKAYSLDGRSADASMASEKANALQAAYFH >Et_2B_022266.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:16246123:16246728:1 gene:Et_2B_022266 transcript:Et_2B_022266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASGCCAAGEHHYTARRLLGAGFSDPVALAPAPPDADRARLARALCATTAVLFVASVSYVALSTLYACFSAGRRRPQRPDPEPGPAAVEATKRALQEIPVVAVHAPHLRDTAADGVRSREEQECAVCLAEYGGGEEVRVLPACRHGFHRECVDRWLLTRAPTCPVCRAPVVAASSQERDAAKACAAAGGAVVLPVSSRGA >Et_5B_045393.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20978460:20978888:-1 gene:Et_5B_045393 transcript:Et_5B_045393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAGIGGRVTFGTAGIAGTAGMGGRVAAGTAGIAGIGGNVAGTFGNGTAGIGGNVAAGIAGMAPAAGTVGTTGIGGKATPGTVGTGSFGTAGMPGTAAGEAAGVVSARWRAAWQVLLPASISAMTSDAAKRAEAEAMADN >Et_5B_043608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12542385:12547424:1 gene:Et_5B_043608 transcript:Et_5B_043608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANAGLVAGSHNRNELVVIRRDGDPGPKPLRHQNGHVCHICGDDVGLTPAGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRYKRLKGCARVPGDEEEDGVDDLENEFNWMDRHDTQSVAESMFHGHMSYGRGGDMPFQPNPNVPLLTNGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERMQHMRNDGGGKDWDGNADDDDLALMDEGRQPLSRKIPLPSSQLNPYRMIIIIRLVVLGFFFHYRVMHPVHDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFEKEGQPSQLAPIDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRYNIEPRAPEWYFQQKIDYLKDKVAANFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDIEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLLGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKSKKPPSRTCNCWPKWCFCCCCGNRKQKKITKPKTEKKKRLFFKKEENQSPAYALGEIDEGAPGAENEKAGIVNQQKLEKKFGQSSVFVTSTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRAAFKGSAPLNLSDRLHQVLRWALGSIEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPWTSIPLLAYCTLPAICLLMGKFITPELNNVASLWFMSLFICIFATSILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGVDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKDDGPLLVECGLDCN >Et_6A_046135.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:3368419:3368574:1 gene:Et_6A_046135 transcript:Et_6A_046135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFQWSYFFPWRKTTSMEVPGAPKSQVLHIPSAAPAHLDGGTKEETWAPR >Et_4B_037211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16678015:16683425:1 gene:Et_4B_037211 transcript:Et_4B_037211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPRLLRRRLILSASSPRFAESPLGPLFNTTPNWCFLKQWLSGPATRRRAFVTCPCVFIWTGGPELETAARRWWWFRQPVRFGSTAVTLNTDGGFSRFAMGDKGATPKAGERQVRQAKKMSRKAKVNQLKWYRLKAKKKMRSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEVPRTPEPVHDPEILTEEEKFYLKRTGEKKKNYIPGCLVVWFSTCICTGKKHETVKVVCKPCRPGQVYKYAEELTRLSKGTVIDIKPDNTIIFYRGKNYVQPKVMSPADTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHVALFKKRDGATSEKIINKDTTVDAHEITSDSDVANFCTLKE >Et_3A_027326.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7619591:7620514:-1 gene:Et_3A_027326 transcript:Et_3A_027326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNDHYSRLQQHAADSSNLDKHHYLNPWPMASCSREIPTLSAATAPNLVHYHCQGNYYSAASSTAPMSSTAPIFGPMLHFGGAIDDDGHCDQQEDDRSSSSDTNMSTPTTSTPTSTSPNSSSAATELLVIEEKEGPRSSSSSSIDSKDLQPQVMMIGVRKRPWGKYAAEIRDSTRNGARVWLGTFNTPEAAALAYDQAALAVRGAAAVLNFPVQRVQESLHAMGLVSDGDSPVQALKRRHCKRKRRSPKSKLASELPGDVQTMKASHHDTQKQQLPVRPQEQCVVELVDLGADFLEELLASSDSST >Et_4B_038899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5795640:5798797:1 gene:Et_4B_038899 transcript:Et_4B_038899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARLLIVATLLALPALGFAAVDTKKLHPVVLVPGYGSNQLEAQLTAAYEPSAPPCAGADQLEWFQLWPNHTGMHDAAQVPCFADQMSLVYDAGADDYRNADGVATRVPFFGSTRGLVGWDPLVRRLESMMGYRDGESLFAAPYDFRYAVAPRGHPSAVGDRYFRDLGRLIRRASRLNQGRPAILVAHSFGCALTYQFLLARPLPWRRRFVKHVVLLAPALGGFAGGMYGLTAGMGFGLPNVTRATMTRLARSQQSTLWRLPTPTVFGDRPLVVTKGGATYTARDVAEFLQAIGFPEGVRPYVTRVLPMWQALPVPMVPVTGVIGVGVRTPETYVYATDGFDGEPEVVYGDGDGDINMVSLVAIEEWSGVQGQILKVVRLPGVHHAGFFSGDSALTSVVAEIYAAGRSMEQHRDVWSRIDRNEGKIGFKDQIKRALLGGVCKDFNFKDDDPFGFD >Et_5B_045089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9460237:9461459:-1 gene:Et_5B_045089 transcript:Et_5B_045089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPAAVSLVLSLSLFVLLGAPASANGYGGGGKGDDNGKEGGYTPPAYDKPVEGLDAGYYSKSCPDMEKIVQKAVRKALDKDYTLGAALIRLFFHDFAVRGIDASVLVDAPGSERRADASKTLRGFELIEAIKTEMEAKCGKRVVSCADILTAAARDASTAVGVPYWSLRYGRKDGWGSREDEADRYVPMGDEPVTKLITFFESNGLNILDLVTLSGAHTIGRATCGNVKPGLCRRKRSGLLLDARYADFVTRKCAAGGDGEYLELDGETPTAFDNGYYKNLLSGKGLLATDQRLLQDSRTKHFVQSFASQRPGIFAHQFAQSMRRLGEAQVLTGDEGGVRTKCSVLE >Et_10B_002815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11916263:11923479:-1 gene:Et_10B_002815 transcript:Et_10B_002815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILLHGAASLLNGLTFDSEEDSALHVVASNGDSKAKHLLDATNKNGETPLHCAARAGNVNMVSCLIQFAVHERNSPERVKILLRKENKRGETVLHSAIFSANIQVIKKLMSEDSDLASVPNDADKHGCTPLHFAASMDRWHKSYSTLFINFMTMHIGFPYWFLRVMTKEGPTRILIEVNQASLYQPDKKGSYPIHVAAASGCLKALVIMLQRSPICATLRDGRGRTFLHISVEKKRSSTVAFACQNPQFTSVWNMQDMNGNTAMHLAVQAGEQWIFNCLFQNHCIQLGLSNKDGLTALDLAWIKLRERNTFYYRSVRICTTSCSANRKIIKIVSPQLYMGSM >Et_1B_012370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31205768:31211549:-1 gene:Et_1B_012370 transcript:Et_1B_012370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAPLQEARPAEPGRRDAPMLLRVHVVEARGLPAIYLNGYSDPYVRLQLGRRRPRATTVVKRSLSPVWDEEFGFLVGDVAEELVISVLNEDRFFSSDFLGRVRVPLAAIMETEDLSLGTAWYQLQPRKGGKFRRKKRRGEILLRIYLSVRATLCDDVYNALPQLIDDIASSSQRSVETNDSSATASSLDMSTCGSMDRASRSHGDRLTQSIMDERAPRSAGPPCCLNTEQSVLLEPEDDDGDTASTTSVVEIMSRYFRKSADAAHSVTSDPMSTDQFRDAQTSSESRENGKNSTVPEASLDELLKIMASKDQVSEMPGNLPGGVLVDQSYIIAPAELNSFLFSANSELWPAVAEVQGTSGFQIEPWRHEASEKVLKRTLTYTKAASKLVKSVKATEEQKYLKAAENSYAVLSTVSTPDVPCGACFKVEILYCIIPGPQLPSEEQTSQLTVSWRLNFVQSTMLKGMIENGTKQGLAEGYSQFSEVLSQKVKVVELDDFNNKDKILASLQTQKESNWRLVARFLGSFAFIFSLSTALYIITYLHLSKPNTMQGGLEYFGIDLPDSIGEVVFCIILIIQGHNIFKIGRRFLYAWKQRGSDHGVKAHGDGWLLTIALIEGSSVVGAGTPGLPDPYVVFTCNGKRKTSSVKYQTSEPKWNEIFEFDAMDDPPARLDVVVYASGDSLDQTPIGQTEVNFVKNNLSDLGDMWLTLDGRFAQGHQPKLHLKIFLDNSRGTEVVLNYLEKMGKEVGKKMHLRSAQTNSAFRKLFSLPPEEFLIDDFTCHLKRKMPLQVVPPKLATVGSPSLMVILRKDRGLEARHGAKALDPQGRLKFHFQTFVSFSDAHRIIMALWKMRSSGLEQKGEMIEKEHELKEQLCEDGSLLANEDVKMSEIYSAVLSVDVSALMEMFSGGALEHKVMEKAGCIDYSATEWALVNRNIHQRRISFRFDKSSSRYGGEATTTQQRYNLPNQEGWVIEEVMTLQGILHEDYSSIQLKYHMTSTPLRPNTCSIKVLLGIAWLKGAKHQKKATKNVMANSANRLREIFTEIEKEITSRKGTI >Et_1B_012174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29712133:29715674:1 gene:Et_1B_012174 transcript:Et_1B_012174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DEADWLTNKTSFLGKTSTIEVEASFLASGLPEPSYRPRACVAPFQNHQICVVHSQSAGPETRWRHHLTPAPSHAAVPLASEPTSSHPTCHSHNPSHGYPGYATPSRASPAINWRTARACVAPPKAHLLLTTTNLLVAFAQLPPIRSGESPLLPRCPARIRRLLAPSVRLTLAAPGLVFGAGMATKRSVGTLGEADLKGKKVFVRADLNVPLDDAQKITDDTRIRASVPTIKFLLEKGAKVILASHLGRPKGVTPKYSLKPLVPRLSELLGVDVVMANDCIGEEVEKLAAALPEGGVLLLENVRFYKEEEKNDPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMIYTFYKAQGYSVGKSLVEEDKLELATSLIEKAKAKGVSLLLPTDIVVADKFAADAESKIVPATAIPDDWMGLDVGPDATKTFNEALDTTQTIIWNGPMGVFEFEKFAAGTEAIAKKLAELTGAKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKPLPGVLALDDA >Et_4B_036154.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29323870:29324226:1 gene:Et_4B_036154 transcript:Et_4B_036154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRALLLLAAALFAAVAFAAVASADDAAKPTILTPVAHTPLPSFEGDTPPADDAMDDDDAAPVGAPTGATMTDPKPPLTTTPGAAAGDAAATTSGASVLAARVGAVVAVAAGVFAY >Et_8A_056652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14465419:14466269:-1 gene:Et_8A_056652 transcript:Et_8A_056652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHCRTRAAAALRIDHHLLPQPCPVGRTFEVPGYAPTNGVLNIAPFVTRSAVFDAGGYDWSIFFDPYANADAREIAIYLRLETPDAARATAQVGFGLLDRTGSRPVCKLTKTTEPMELISGDVFRPDIGWTVSRSELNAAPGPGYLARGGLLFECTVTVFFPNGSPANAAAAATTASATQADKAVVPPPSDLMEQLRMIHATEDGADQRDLLHKASFGNSIPRRDPGPIPGKPACGEGSPGKPTAAFGHPSTREGLPRVWPFSLGQK >Et_5A_040236.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:25927875:25928225:1 gene:Et_5A_040236 transcript:Et_5A_040236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVMSSLPFAAASVPRPVRSRRPDASLLSGPRQRTPARRARGCGTRWRSAAQRRNASTAASQWSASYPPLPSRRPRASTASSRRPPAGPARPGLRTWWPLCPWRPSCRCSRGRA >Et_10B_004446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7459898:7461640:-1 gene:Et_10B_004446 transcript:Et_10B_004446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVKDISGAIGLMGVALVLLGTWPVVLAVLERRGRLPQHTFLDFSITNFLAAVLIALTFGQIGPDTPETPNFLTHASEPEVGENWPSILFAAAGGVALSLGTLATQYGWAFVGLSVTEVMASSLKVVIEPDDDVTETSVTGTTLNYFLDGRINKAEVLFPGVGCFLIAAILGSLVHSFNAADNQENLAKNSVNGELTRHLLEEELPKDPEEAKPDEPQAAQTVEKMEAGTAEFLVHLEETRSIKALGSHAVLGIGIVVFAGVCFTLFLLAFNLATNDQYRTLPDGVPRLTVYTAYYYFSLSCFAFNVALNIRFLYRPMAVLMTSSAHRK >Et_5A_041185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19146615:19150069:1 gene:Et_5A_041185 transcript:Et_5A_041185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HKRQGTFSAIFNPEHLLSLTPSKHTQESAVTKNRSATMDIAIRAMNTLLPKIAELLVGEYKLQKGVKGEIKELQQELASINAALCKVSKVPAYQHDELVKIWACDVRELSYDIEDLKELVGLERPKKELAKRLMDEGSSSGNKLKVISIVGFGGLGKTTLANAVLQTLKAKFDCHVFVSVSLNPDIKMILKNILGKLDKSKYAIINEAWDVVQLINEVIEFLQNKRCLCVIDDVWNGSVWDTIKFAFQNAKHYSKIVITTRNKAVAEHVGGGIYELKPLSDDDSRKLLNKRIFRTEDGCPPSLRQVSKKILNKCGGIPLAIITTASLLASKPMHSVEWEKVNNSIGSGLEKSPDVDNMKKILSLSYNDLPFHLKTCMLSLSKYPEDMEIRKDILVWSWIAEGFITQETAELAGRSLQEIGESYFNELINRSLIQPVDIHHYSDQDGQVHACQIHDMILELINQLSAEEGFVTTISAGKRAGGTRISAVQMMKIRRLSLHNGSKLDASKETRDQFSKVRSLAVFGKLESLPSLSTFHVLRVLQLEDCTGLDSNHLNDISKLRHLKFLRLGWHRDVALPESIGKLESLETMDIRGSGVVLLPMSFVRLRKLVRLFADEVRLPDGLALGDMKSLQKIVGICFTPEVIKEISNLTDLRVLRIFVNKDMAVDSSCNFEESILMCLQRCTNLQDLGIETDSFVLCTLHSMKQVPSGLRRFMCRGLGMTAFPRWIMSSTLSCLTTLYIQLFKEALQPQHLEKLSELPSLRFLRLVVIGTWKVQKLSIPSGACAFRCLRYFYFYCPSMFLTFPAGAMPGLQRLCLWFKYRLERKNINDFGLENLHALRHVIIECIGVEPKDRQEADAAIRKALKGNPNHPILELFLMY >Et_1B_010598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1229052:1230469:-1 gene:Et_1B_010598 transcript:Et_1B_010598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRIEYAVVARGAVVLAEHGAAAGSNVARQILECLPDGGADCRVSYTQDLHVFHVNRTDGITALCMADDAAGRRIPFVFLEDIHGRFVKTYGRAALTALAYAMNDEFSRVLSQQMDYYSNDPNADQLNRMRGEIDQVRSVMLDNIDRVLERGDRLELLVDKTATMQGNTMRFKQKARRFRNTMWWRNVKLTAASILILSLIIYIVLAYVCHGFTLPTCVG >Et_3A_027200.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:4654049:4655359:-1 gene:Et_3A_027200 transcript:Et_3A_027200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEELLRCQIQEWYPAFRRHTIPTVIIPLPAAFLRYLAGQRAYPIPGADDNDGPFPFLLPEIGSGRQPFAPLHAHHPDPVSQLDRDSDFFFGSGDDDIYDPDAEHPLRPAFPELEAAIDAAIAELGGAALPKLNWSAPKDATFMSAEGTIRCTCFAEVALLLRASDCVAHDFASARPSCEDFVRPGGARQNVQADSGGVEEGARPNANETVVSEGTEQNSQVSGIGPSDPSEKGEEGGKQIAADGDVEDATEDEQGSNSETWVDDGFQYYLALRKWYPGLRPESEFRCFVREKKLVAISQRDASAYYPSLPSWSAEVQPKIEDFYEDVIEPQFASNNYTFDVYVRADGRVKLIDFNPWGGYTLPLLFTWEELEEKGGDQELEFRVVMQQGAVRPGLMTAVPYDMLDWGEGSGWDVFLRKADEELGRQMNLMDDDS >Et_3A_026546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9503903:9507589:-1 gene:Et_3A_026546 transcript:Et_3A_026546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKRSFFASLFGFNKQCSSEKQEEPPQMYYQGTRVRPSDDDEYYGRHWYAERDINKRASEYIERESAGSQQLEVAAEGRPQQRYYQGTRVRPRDEDGEDNYNRHYGYADRDIDRKASEFIQRVHRGMLANDQDG >Et_9A_061023.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:10499014:10499235:1 gene:Et_9A_061023 transcript:Et_9A_061023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSTIMWSIWKLRNDICFRNVQWVSTGQIWQKAITMLRNWKILCPVKNLQAFDSMLMTLENLRSRPKRLAAS >Et_4A_032398.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8213372:8213737:-1 gene:Et_4A_032398 transcript:Et_4A_032398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWSCPNENFSVIFAPPLLRHLTILSFGAPLHNNSGIVSASHSQKQSLLVHLETSNVLPMSLLLTMIASYTSVAGSSRSIETRSFSSPRKHPFSVQRRSILVALQTTPCSVAEDGKKIKMW >Et_4B_037297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17780597:17783919:-1 gene:Et_4B_037297 transcript:Et_4B_037297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPKPPSPSPPPRPLPDSDPGPAFDPVEEWLIDFDPTMSAELGTKSFGPFEEEALLPAQEATTTCGDAGKVSDGSAAPNSCEFGATEAPAEEDRSADRAVAICVEEIDGKADMVSGGLDELLAPDQLLAAGIGDLAVNEEASEGAVAMEMAAAPADSEMNTAVSVEEKGGGGKEAQENSKEEAESSEEEDESSEASSSSEEEESEEDESSEASSSSDEEELSTKKHGGASGAKGDSMEAILEEGELMIGSDEEDDGPKGPIKSKNEAEIMGERVIVEGSVQHNPLNEGSILWITESRMPLGIVDELFGPVKNPYYLVRYNSEEEVPAGISAGTSVSFVVEFADHILNMKELYAKGYDASGDNDEELSDEPEFSDDEQEAEYKRSLRQAKRQSERQHEPKKSSGDKKRSQPRGGAGFRKDMPPRNRDPPTPGCQSQPRFPRPDMASASAEKAAHLFGPQNMLMGGPTMMPPVQMNSSMPSPVHVANQMGGSFVNPAQQFLPPQPNMVWPGGIPPMPLPNMGAEGAALAANIMQNLLAGASQFQQQFQNQNFGGFPNQMQMPFPQFMPQAGMPANPMPFGGPPMNSPFGASPQLPMGQGNFGQPPNMGSDRQQQGHPPGLHNSQGFGNPTPPHGDGVDQQPRQFSSGQFNQGSSSFRGGRRPHQRGGRHSPGRGGGRGGRRGR >Et_4B_037821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23783854:23789573:1 gene:Et_4B_037821 transcript:Et_4B_037821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGCVGTEGEGDPEAAAAAAVVERDEAVVAPSPARELVVGYALTSKKAKSFLQPKLRGLARKKGIQFVAIDQKRPLSDQGPFDIVLHKLTGKGWQQLLEEYRESHPEVTVLDPPGAIAHLLDRQSMLQEVSELDLSDCYGKVGVPKQLFVNTDPSSIPAAVMRAGLSLPLVAKPLVAKSHELSLAYDPTSLTKLEPPLVLQEFVNHGGVMFKVYIVGDTIKVVRRFSLPNVDEGDVSNNAGVFRFPRVSCAAASADDADLDPHVAELPPRPLLEMLARELRRRLGLRLFNIDMIREHGTRDRFYVIDMNYFPGYGKMPGYEHVFTDFLLSLAEKDYKRRPSYSSGEG >Et_8B_059949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4329924:4333103:-1 gene:Et_8B_059949 transcript:Et_8B_059949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDQWFLSHFANESLCGRPLGFRFHSNSGYLYIADSYMILMREKHKHSNIILLHMAAIKSSAARAATCAALCILVMASLSLSELVVVKEDCFAMDPCTFRKCDDECLHRDDKPVKNNCDTPKQCCCRYYEWHPTPPPPRAGVAAPMDGDDGGFLS >Et_7B_055966.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8212624:8213025:1 gene:Et_7B_055966 transcript:Et_7B_055966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSEKLEAARVALGKRKEREQQAQGLAPGPAKAEPGKRPALLAPLAPGGSGNKLLAGHLAHEFLTRGTLLGRRIEPSRGGLAPAPAPAPDTQAEPDQKRRYIEVSWLMMVSGTHIPGVVNPTQLGRWLQIKE >Et_9B_063919.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21665783:21665956:1 gene:Et_9B_063919 transcript:Et_9B_063919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKRASIFIRLVSAAGTGFFYVKRKNPRRITEKLEFRKYDPRVNKHVLFTEAKMK >Et_8B_060780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7379053:7383013:-1 gene:Et_8B_060780 transcript:Et_8B_060780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METPRYCHEIPEFRYRLIDYTGTGFLLGAGCGSAVHFVRGLRRSPRGGRLVGGVRAIRANGPLVAGRWGAYTAVYCACENASLLARRKDDKWNSIAGGAATGGLFSICRGAPAAARSALFGATLVAGFAGACWVSDMHQPDVLRPNPDESQLDSGENQHPTINQWDQCLSCDAQSRSLCKLMVQLATIGSTSDGEFLVDGIASKTVFKTVFAYNMSQTGTAEVHT >Et_3B_029452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25082785:25094268:1 gene:Et_3B_029452 transcript:Et_3B_029452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVVRGCCKKFIVDMACDSSMGIALSWWPDKLRGSVAAPGNSSSKFPFLRGLEFLAIEVTEKCLNFADIEIGVRMEELNHDFDVPSAELNAIKFDLMTSADMEKLSSVSIIEPSDVTGPKLGLPNGFPQCDTCGSETTRDCDGHFGVAKLAATVYNPYFIDEVVQFLNLICPGCLNPKENVNMEISERAPVQESCKYCSKDGAKTYPSVIFKTLSSPRVLLSKSTLHRSSSLMERISIVAEAVDRVSIKSKSKGSIEFLPQDYWDFLPSEHHTQPNVSKIILSPYQVFHMLKKIDPVIIEQFISRRELLFLSSLPVTPNRHRVVEMGYGLSDGPRLTFDDRTKAYRRMVEVSKRIDDYRQHPQFSVLASSLLYSKKTDGETSTDTYGMKWLKDAVLSKRSDNAFRSTMVGDPKIKLYEIGIPENLASNLLVSDHVNGYNLESINLKCNSHLRSKEELFIRRDGKLMFVRNANQLEIGDIAHRPLQDGDLILINRPPSVHQHSLIALSAKVLPMESVVSINPLCCAPFMGDFDGDCLHGYVPQSIQSRIELGELVSLHRQLLNMQDGRSLVSLTHDSLAAAHLLTGTDVFLKKSELQQLQMLCLSVSYTPVPAIIQSMNSQGPLWTGKQFFSMLLPSGMNFSYDQKLRITDGELLTCSWGSKWLQNTTSGLFSVMFEQYGCRALDLLSSAQEALCEFLTMRGLSVSLSDLYMFSDHYSRRKLAEGVKLALDEAEEALRIKQILLDPANVPILKCYDDNEKVSCSYIESNFIQSTQHTTRYSIMAFKDVFNDLLKMVQHHVSNDNSMMVMINSGSKGSMLKYAQQTACVGLQLPASKFPFTIPSQLSCVCWNKQKLSDCEIIEGSSENLGGQNLYAVIRSSFIDGLNPLECLLHAISGRANFFSENADVPGTLTRKLMYHLRDLHVAYDGTVRSSYGQQIMQFSYDSSGEMYCERGPIGELGAPVGSWAACSISEAAYGALEQPVNGLEDSPLMNLQEIFKCHKATNSQDHVGLLFLSKNLHKFRFGMEYASLEVKNHLERVDFCDLVETIMILYDGCEKTRKGSPWTTHFHLSQGMMKKRRLGLRFIVEELTTQLNSLIPSICISKSKCLVGDGCTESPACCVTVVVQAKSDSISQLNSLKNREIPIILDTLLKVKVAMSEHCKTGKFWATLQNACIPIMELIDWERSQPQSIYDIFCSYGIDAAWNYFVQSLRSATADVGRIIRREHLLIVADSLSVSGQFHGLSSQGLKQQRNRLSVSSPFSEACFSRPAQSFINAAKHSSVDNLCGSLDAIAWGKEPFNGTSGPFELLHSGKSHEPKQEQNIYDFLCDPEVGNFEKDCMDTCKQSTENASRWRLASKSNDSAIVNGGTVSIDRDLLHAKVGIWDNINDMRTCLQNMLREYPLNGIVKEPEKSHLMEALKFHPRADKKIGFGVREFKIGSNPNHPSTRCFILQRKDGTTEDFSYNKCVLGAANSISPQLRSYLEKNLYHRA >Et_5B_045767.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:9072331:9073476:1 gene:Et_5B_045767 transcript:Et_5B_045767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQLPDSAPGHGWSQLPADLLIRIFIALDVLDWFSIRAVCESWWFSYLMARRLGSCCTNQSPCLLYSSGGSDPNMATLLRLSTNQLYRVLLPDPPFRDNFFVGSSHGWLAAADELSRLLLVNPLTRAQLALPPPLTIKNVRGCCTAEGVLDRYELLELDLATKDCDVQDEPVDLTLEEGRFYFYLRVAMSADPSNANCVVMTLHMPQNHLSFARVGDTKWTWVDVDQRCCRYHHMIYNDHDGLFYAIRGNGEVHTIDPNGPSPLVKFILKPMTSLVNNNKYIVRAPWGDFLQVWRYDRPIKKGEYRTIKLVVFMVELVEQKLVEMKNLKDHVLFIGFNAPIFLPAKDYPFLTPNCIYLTDDNMITSITTNLVSVRWLSST >Et_1B_011315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20359019:20362334:1 gene:Et_1B_011315 transcript:Et_1B_011315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGFLSRVLLLAFGYAYPAYECYKTVELNKPVIEQLIFWCRILVALLTVLERFGDAALSWLPLYSEAKLMFFIYLWCPKTKGTTYVYETFFRPYISQHENDIDRNILEFRARASDMLIVYWQKSATLGQNTFFHILKYVAAQPPSQLSKTRPSQQPQPQKLQKSQAQQQQAPQKQPTTLRRAASAAARQAAVVQQSQETQTAPLISKTRRLTTSKSAPVAAPKSITVSNTAKLAEETKASTVKPASVNNANAPILDTKASAVLEAETDDMVIDEVDDTIEVMEKLDTTPEKTPMEEALRVTRARLRRRVANGTTAAANGPAVD >Et_5A_040826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13069101:13073811:-1 gene:Et_5A_040826 transcript:Et_5A_040826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTRIPLAFLLAFAVLAGAALHPSAAARDAAAVKQEEASPSAAGGWPNIPGLPPFPALPPLPSFPGWPRPLFLPPCNATHGGGVLPPPSPPTPAPAECHTSLAAMAMPCADFLTKTSVTGPPPAACCDGYKAVVKDAPICMCHVVNRDFAALLPAPMLRLRMMQLPRVCGAAMPFGTLRQCFRNGGEAHADHHHQHASKAQPLYRHPRPPIHCIPPGLPRHPFLPPCKPPSPSTPAPECHTSLSGMATPCADFLTNKASSHAAPPAACCDGYKAVVKDAPVCMCHVVSGGFAKLLPAPMLRLRVALLPRACRVAFPHAAMHQCISKGACAAAEPSFGTGAGDAATGVTVDPTT >Et_10A_002210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4532005:4542247:1 gene:Et_10A_002210 transcript:Et_10A_002210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKKVVIEGFKSYREEISTEPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGAGHSVVSAFVEIVFDNSDNRIPVDKEEVRLRRTVASKKDEYYLDSKHVSKTEVMNLLESAGFSRSNPYYVVQQGKISQLTLMKDSERLDLLKEIGGTRVYEDRRRESLKIMQETANKRKQIDQVVRYLEERLRELDEEKEELKQYQQLDKQRRSLEYTILDHELNDARNALASMDDNRRKISERMSNADNEVVDVRERIKGFDKEIKVSTKGINETKAQKEGVEKRRTEALKVVAQIELDLKDIRDRIASEKRAKEDAARELQIVRRDCEKSKSDLADISKAHQLKLKEEEEISKSIMEREKRLSILYQKRGRATQFANKAARDKWLQKEIEDLEPVLSSNRKQERVLQEEIQKLTSEIDNLSKYIESRKSESSKFEATLAKKHSDYNDLRKQRDVLQEERKSYWKEESDVTAEIDRLREDLTKAQKSLDHATPGDIRRGLNSVSRIIRDHGITGVFGPVLELVDCEEKFFTAVEVTAANSLFHVVVENDDISTKIIQLLTREKGGRVTFIPLNRVKSPDVSCPQSSDFVPLLKKLKYRADHRRAFEQVFGRTVICRDLETATRVARSHGLDCITLDGDQVARKGGMTGGFYDSRRSKLKFVKIIRDNKTAIDKKTTHLENVGNKLKDIDKTITELVTKQQQMDAERDHAKSELEQFKVDIASAMKQKESLEKALVKKEKSLDNIRSQIEQIQSSIAMKNDEMGTELIDQLTPEERDLLSRLNPEITELKDRFLLCKNTRIEIETRKEELETNLSTNLIRRQKELEAIISAADSRTLPMEAESKEQELKSSKRNLDELTSLLKANVDAINNFTRKMEDLKRQRDENKTLEATLEQTVQDGAKDLEQLMNSRSIHLAKQDECMKKIRDLGSLPADAFEAYKRKNKKQLQKMLYECNEHLKQFSHVNQKALDQYVNFTEQRDQLQRRRAELDAGDEKIRELISVLDQRKDESIERTFKGVARHFREVFSELVQGGHGYLVMMKKKDGDAGDDDNDDDGPREPDPEGRIEKYIGVKVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADEGDTQFIATTFRPEIVKVADKIYGVTHKNRVSFINVVSKEQALDFIEHDQTHNAS >Et_5A_041282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20652286:20664077:1 gene:Et_5A_041282 transcript:Et_5A_041282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVRRSRMAALAMAAVLVILAAASGAMAAGLDLGYYSQTCPHVEQIVKDEMVKILTESPSLAGPLLRLHFHDCFVRGCDASVLLDTTDASNPAEKDATPNLTLRGFGSVQRVKDALERACPGTVSCADALALMARDAVVAARGPSWPVALGRRDGRVSVANETTQLPPPTANFTRLVAMFAAKGLGVKDLVVLSGGHTLGTAHCNLFSDRLYNFTGANNAGDVDPALDAAYLAKLRSRCRSLADNTTLNEMDPGSFLSFDASYYRLVAKRRGLFHSDAELLANPFTRAYVQRQATGRFVDEFFRDFAESMVKMSSIDVLTGAQGEIRKKCYLMAAAATRSSSGMMSSLAAPATVAVLVLAILCGSAAGQLDVAYYKKTCPAVEQIVRAETTRMIRASPDLAAAFLRLHYHDCFVQGCDASVLLDSTPDNTAEKDSIPNGSLRGFDSVAGVKSKLEAACPGVVSCADILALMARDAVALARGPSWPVALGRRDGRASSAANCGELPPLQGDVGLMVEAFAAKGLDVKDLAMAAAARASAASVVAVLVVMIATIMPAAEAHLQMGAYNKTCPQAEEVVFKEMSDILAKSPDLAGPVLRLFSVDCFVGGCEGSILLDSTPNNTAEKDSPLNKGVRGYEVVDQIKAKLEEACPGVVSCADTLALAARDSIRLTKGPFIPLPTGREDGNKSSAADVALNTPAPGASVTDLLAQFAKFNLTAKDLAVLSGAHTIGKARCSTVSPRLYNFGGQNGSSDPRLDANYTATLRGQCKPGDAAALVDLDPDTPAVFDTDYYRLVAGKRGLLSTDAALLLDPATGAYVVRQANATSTDEFFADFAASFVNMSKIGALTHHKGEIRKVCSKVNPPAPPSPSAATSRTRLAANAVVLLVAVPLVLFGL >Et_10A_001027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20294095:20299175:1 gene:Et_10A_001027 transcript:Et_10A_001027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGMRCLVPTGVQDSPRAAAKRVSPASWRADSAAAAAGGEGGKGAIRFRPPDVMETVHEVAIYIHRFHNLDLFQQGWYQMKITAMWEDGGNKTPASPARVVQYEAIDAGADDALGIWKIDDADNSFYTQPFRIKYARQDIYLSVMVSFNIFNSEEEGPAASAVMLKFELIYAPTLDSGSELQASSVTSSAAVHELRIPRRALLGLHSYCPVHFDAFHSVLVDLTLHIVYLKAGATKASLKVPDQGLGPTSYQIVKALLTSRKMLLQELKKVSDATGKTVEDLDDADLNLGKYESVNLSKSELPDSGKVFPATGKGVGHLAGILHDFLERPKDVVNGTDDCMLYTLSHEELLELFLTVSGQLSLLWNAFLKFHRINKTKILDYLRDIWAVDRKAEWSIWTVHSKVEIPHRYLRSMSDDSSHRHSLLRVSGSKKFNDDPVQHSASRAELHRKSIAQMKINPQSVQDMYIYAAPSRVPVVLIEQHVMVVPQHGSSKDMVSDASELKDTIVLPKLQGESLAPKNSAGKKGGRILRAVIFVHGFQGHHLDLRLVRNQWLLLDPGADCLMSEANEDKTSGDFKEMGSRLAGEVVAFLKKKVDKLAKYGGCKELKLSFVGHSIGNIIIRSALAEPALQPYLKNLYTYVSISGPHLGYWYSSNSLFNSGLWLLKKLKGAQCIHQLTFSDDQDPQNTYFYKLCKLKTLENFKNIILLSSPQDGYVPYHSARIELCPAASADTSKKGQVFTEMLNNCLDQIRAPSSETRVFIRCDVNFDQSNQGRSLNTMIGRAAHIEFLETDIYAKFIMWSFPELFR >Et_2A_016871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2980152:2982971:1 gene:Et_2A_016871 transcript:Et_2A_016871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSQIAQQSIGSGSHIYGPSSLEDGFHLDRVPKPVLEKIKINGRVKLDKETLDDGLCFGLLDPACNIVVNSFILAGSGKEQAEDKFQRSLNGLVAFLTYLFPYLPQAEAMKYLEVAGADPLVAAHLVIIRRGLRNFCLCNNPAAVETALRCAAAAARHPDPDHFLLGWKVYSSSDLKWLATKLSCSRPDFGSALSSMNRLRAIVISAPSLDLRVSWELARERLSLLGPVGKELPPARAAMKRILLAKIHGFYLNALGCLPTDELCSSYHRSMLSGGYCYGPLDPVSNIIVNNTKGLWRVAARSLYGLISFLCTRYPRLTPDQAMQRLIVTGVDLRVADPYLLGTPEAAGMNKKLDWSGGLQIGSGQPSTFEIQLAAVDACTPSTDVVEAYAAAATAALHPGPAAQKAFLGSSNVPNKLLMFSELIPVKVHRMLSKDDIAFLSGVIQRTESSVDKRPKKQDSSLLKLNRSTFSHVSQCESRFFGQLQRVSKMVQAALDTYNSNNVTTGPPFKLHVICGANELVSGPEFSTDPEVKGYSPWTPYKYHHAHINFLAVPEGQKDGAATLFFAECGNHDTNFCWCLPVKKHDPLAGSRIIHTTDRSFHGRNTDFVKVFRGESLFGQVDDNWYTNDAIISKSRNVVWVHGVEDDAIYLSYSAAPLAHASPGDPKRI >Et_10B_004061.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:124856:125956:-1 gene:Et_10B_004061 transcript:Et_10B_004061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTTSSTHTTKTVRGTHHLRIVGYSALLKQASPGESISSTPFHVGGYDWAIRFFPAGKDAKDPGCVGLTVELLSDAPRGAKAWFCIDLLDQDPLYYHDYEPVSEMDLDTKQKRWGYLQVSEDIQPKDGDSITIICTVEVLVQVPATAAGRQFVAVPPPDMSQHLLRFLETKRGCDITFQVEDREFEAHRLLMRMRAPNFTAQSLGPLVTKDGRLYATIADMKADAFEAVLRFIYTDEAPPDVDRLLLASCSAGVAAGDEEDKAATLLTAKVRDLLAAAHRFGLDRMARMCENALCAAMDAENVAATLRIADKLQCVQLKALCVEYMASSPAVLKGVMATEAFQELKDSCPSLLVDLLDKLALA >Et_4B_036605.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9782674:9782853:1 gene:Et_4B_036605 transcript:Et_4B_036605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMCCPFESFALFALLLCNGCFAAATVFQLFLLQPLQCSQLFFCSRSCSLPNSLIVGVF >Et_3B_028110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10336142:10346142:-1 gene:Et_3B_028110 transcript:Et_3B_028110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARPASAAAAAALCCPHTSSATTLPSPPPLSSTGGGRVQFHPLRRGRAAQEQRRGSHVARASLGGLLGGVFGGGGRDDGEATRKKYADTVARINAMEPEVSALSDADLRARTAALQDRARSGQSLDSILPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMKTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQIPRFLGLQVGLIQQNMTPEQRRENYSCDITYVTNSELGFDYLRDNLAMTVDELVLRNFNYCVIDEVDSILIDEARTPLIISGLAEKPSDRYYKAAKIAEAFERDIHYTVDEKQRNVLLTEQGYADAEEILDINDLYDPREQWASYVLNAIKAKELFLKDVNYIVRSKEVLIVDEFTGRVMAGRRWSDGLHQAIEAKEGFPKLCGMTGTAATESQEFESIYKLKVTVVPTNKPMIRKDDSDVVFRATNGKWRAVLVEISRMNKVGRPVLVGTTSVDQSESLSEQLREAGISHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREILMPRVVDPLDGVIVSKKQMTPRKTWKTNESLFPCELSKETLSSVKDAVEVAVKEWGEKSLTELEAEERLSYSCEKGPTRDEVIANLRNAFMKIADEYKVYTEEEKKKVITAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQANIGPDTPKESWDFPKLVAKLQQYCYLLDDLTPELLESKSSSYEDLRDYLRTRGREAYFQKAEIVEKQAPGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNVIYSVYQFKPMVKNQEEEKSQNKGSKKKVDKKGANKLGAAQAAS >Et_3B_030834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7878033:7885064:-1 gene:Et_3B_030834 transcript:Et_3B_030834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALELSSMSASNEKWIDGLQFSSLFWPPPQDVQQKQAQISAYVEYFGQFTADSEQFPEDIAQLIQSCYPSKEKRLVDEVLATFVLHHPEHGHAVVHPILSRIIDGTMCYDRHGSPFSSFISLFSQTSEKEYSEQWALACGEILRVLTHYNRPIFKVEHQNSEAECSRTSDEATSSDSSEKKSNYSSGNESDRKPFRPLTPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGGELKPPSTAFSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVTRYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGMRLPKNWMHLHFLRAIGTAMSMRAGIAADTAAALLFRILSQPTLLFPPLRHAEGVEVHHEPLGGYVSSYKKQLEVPASEATIDATAQGIASLLCAHGPDVEWRICTIWEAAYGLLPLSSSAVDLPEIIVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRTFPAETSEQSRKPKNQSKNLAVAELRTMIHSLFVESCASMDLASRLLFVVLTVCVSHQALPGGSKRPTGSDNHSSEEISEDLRLTNGRNRCKKKQGPVATFDSYVLAAIILPGKTNGISNEIHNSISSAILHTRRILSILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSRPCLNALSALKQCKWDTEISNRASSLYHLIDLHGKTVSSIVNKAEPLEVHLTLAPVQKDDQQHAEENNTSSSDCGKIDKNASTSNKKNGFARPLLKCADEVLLNGDVASTSGKAIASLQVEASDLANFLTMDKNGGYRGSQTLLRSVLSEKQELCFSVVSLLWQKLIASPEMQMSAESTSAHQGWRKVVDALCDVVSASPAKASTAIVLQAEKDLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEVLVILASASDLLLRATDGILVDGEACTLPQLELLEVTARAVHLIIKWGDSGCRLSTTIRCLSHPSAHVRALSMSVLRDILNNGPVNPHNVIQGEHRNGIQSPSYQCLAGSIINWQADVERCIEWEAHSRQATGLTLAFLTAAAKELGCPLPC >Et_1B_014208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35495775:35497694:1 gene:Et_1B_014208 transcript:Et_1B_014208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVAHMDEWRPVMVMLVFNLISAVMIALVKKALQEGLNTLVLITLRQLVATVFLAPIAYFKERNTRPKLTVEILVYHFFSALLGAGLSQYTFFYGLKVTTATFAITFANMAPVITFLIAIVLRLESLNMKSKSGASKILGTLMSFGGVMLLSLYKGMAVTHQSTGAAALRSGHQTTAASSQGNKDMKSWMLGTIALLANCLCFSFWLLLQTRVTEKYPALYSSTAFMFFISTLQAGALTATIERHTSVWIVTRKLEIVTILYAGIMASGVGYLIMTWCVRKRGPVFTAAFIPINQIMVAIIDFFFLHEQIYLGSVLGSLLMIFGLYLLLWGKKKDASVPVCCTTDKQEVDEETGGKEIQIAKS >Et_9A_062739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:462654:464660:1 gene:Et_9A_062739 transcript:Et_9A_062739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKVFTLEEVAKHNSKDDCWLIIGGKVYDVTKFLEDHPGGDDVLLSSTAKDATDDFEDVGHSITARAMMDEYYLGDIDSSTIPARTKYVPPKQPHYNQDKTPEFIIKILQFLVPLAILGLAVAVRIYTKSESS >Et_7B_055845.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5713359:5713934:1 gene:Et_7B_055845 transcript:Et_7B_055845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSTEPAVKRITLHEFLKQQQHLLQHRIKPAVMWSWAAAAAATGGRPALDDAADDDVLGGAWPPRSYTCAFCHREFRSAQALGGHMNVHRRDRAKMRGHHHGVAGQKLAKTAAAGDQAPHAAAPAEYAVLYPILNSNAAGAVIIPASGDVLLSTSLALAAPAHDQCHVSDDDEEDDKEVDLELRLWWP >Et_5A_041822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3173609:3179829:1 gene:Et_5A_041822 transcript:Et_5A_041822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHLLNPIPFLLLTPKPPSRALAFRARAASRAPAPAAPRRAAPLAEPDVGISRFASPTPGFRGALKQRYSDFIVHEVARDGALVRLTSFDLPDDGESGDNNAEEGDADADHSRALESFRLLCGDADCDALRVFLEKVSEGADGDVSPIILSADADKAHRSEVHDFFKRNFKFLITDTVDHSDGIQKCIRVRLGSGARGGRGRIRRDIDGSGWRDDRPFDSRGSTSWPYHLGKFLRFHLYKENKDTQEALGVIGKMLGVQPRSFGFAGTKDKRAVTTQQVTLFKVHANRLAALNSRLAGIRVGDFSYVKEGLVLGQLRGNRFAITLRNVAAESDDVIKAAVDSLNKNGFINYYGLQRFGSGSIPTHLVGASLLRGEWRHAVHLILGRKEHYKGHGDIDAALKGIPRHFIVERAILQRLKKFPGNYLQALMAIPRTLRLMYVHSYQSYLWNHAASMRVEKFGISRVVEGDLVYKKGCPLGEVTATDTLVDDDGHSNSPEVEISCETLPEEVIQSVKIVDSEDVLKAVYGFEDVVLPLPGSETLLPRNEIAGIYHEIAKKDGISLIESVHGVKDLMAYTDDNVSLVKTDLDVLSKIQPSEGDELLSDGTWSYSSGDSRCEVSLDASGRTTEASSVEAKPAGIPDLLPEKLAVKIEFTLPASSYATMAIRELTKTSTSDDLPTPHPVMGF >Et_5A_040779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12307672:12308595:1 gene:Et_5A_040779 transcript:Et_5A_040779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEERREEERRKMRQKEEERRREYEAERKQREEAERRRNEEEDRLAYEAREAERERMRDRARRARAAGPDAFRKGKYPPTVCVWGPVAQRSGDKGSNNPLKRRQGERVVGPVAQRSGDKGSNNPLSGRQGARESGWWAQSPNGRATGADAYKRPKFGHRSIDKKGIPLNCIFIDQLELPSRTYNCLKRASRNPVLGSESIRPHSYRSIDTAETERRGEETKKREEKRKEGKRGVVVVACCPDVSQL >Et_10A_001564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5134630:5135767:1 gene:Et_10A_001564 transcript:Et_10A_001564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKSSLAPHAQVPIEMSWENLEKFCREASRSFFLEMGLISHQINSYNEFISHGLRELFDSLGEVNRGILYDPSKKVSGGWNWKLATVKFGRVKCGNHFGFCEHILILNQSMLASEYDECIKNEKKSVKSKTGNDVLFKKGTILIKTHWIFIGRLPCVCGRRREATKKG >Et_9A_061053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12666182:12667204:-1 gene:Et_9A_061053 transcript:Et_9A_061053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELLNVTSLICRKKRPRRSRDGPTSVKAVIERWAQLNQQLEHDPEAAKRPRKAPAKGSKKGCMKGKGGPDNTVCGFRGVRQRTWGKWVAEIREPNRVNRLWLGTFPTAEDAARAYDEAARAMYGAVARTNFPSEHASTSTQVAPAAAESALQSVSCESTTTSNHCSLNTQSEALEIVPLEPKTESATLEQSGDGHLGLEAGASSGPGTSSVVDEVFEPLEPITNLPHGNDEGFDIDEMLRMMEADPQNEGGAKPGPEQPFFIDGLDSSVLENMLQAEPEPEPWLMSEEPDMYLTGFQNPDFFEGCDFLEGLN >Et_5A_040528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5674045:5675213:1 gene:Et_5A_040528 transcript:Et_5A_040528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARQAAVISAMIDNIAEETPCKTSGRRKARKGAARWNEELSRLDETKPGVVGTASAADGDEYCLVADGAAGPSRTLADVLRDHGQPFPESYMRRIMRQLLGGVETMAERGVVHRDIKPANILYGGGGGAFKIAGFGAAKHAAEKDQPPELFDGTNGYTAPEVLLENAGHGAPVDAWSLGCVMVELLTVLGVPGRKAWQGLRPRVPAGEVQQRRGARQRQVVVQHNCNMLRELVPEKVLSEEGFDVLNGLLNCDPKKRLTAAAALQCPWFTDNALNDDPTLLLSSALAKITTAATEALSMVLQMAVSFAGYALQLLRPKGIAQVMIVM >Et_2A_018747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6580740:6586078:-1 gene:Et_2A_018747 transcript:Et_2A_018747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEFISLGSPCEADVKDDQVGELQDEAPGANGAEPLAPEGGASALGSSPKASEGIIDLELQPEGEASALGSSPKASDGIDLELQPEGGASALGTSPKASEGIIGLQLQPEGGASALGSSPKASEGIIDLELQPEEVASALGSSPKASEGMIDLEEGQVEDMDIADDDVVVAKHQQLDALVQSETTVAAVHGFSVELDKAARGVKRARVESTEPSVRIIYSDLTRESKRKLMELMQHWSEWQARTQRNLRDAVEEVLESGEETYYSALQICSEKSCPVSFWVDIQARESAAVEEDSVPLYDREFTLGSTPLGDSSNIESRPDKDDSRCFNCGSYSHALKDCPKPRDNFAISNARKQHNLKRNQSNVNRVQNRYYQKTPGKFDDLRAGVLGPETRECLGIGENDPPPWLHRMRELGYPPGYLDVVDDEDKPSGITIFGDGEVKLEYEEGELPEHGEPSPPRKRMTVEFPGINAPIPENGDRWLWGSTPPQSSGRHHSSESREYRDRGPPSVDHYSSRYHSYDYGPQSPSLGRSHSDRRRSPSRYENSPADDGAWTPHSYPSRQYSSHYSLSSETSSRHSRDRHDRHYHHRR >Et_10A_001631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6188440:6193625:-1 gene:Et_10A_001631 transcript:Et_10A_001631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARLLRRTLQANEVGSRLLSASCGLVRQAAYGTGGIVDVGQPTPQSHPELLADGEITPGISSEEYISRRKRLLEVMPEKSLAIIASADQQMMTDVVPYPFRQSGDYLYITGCTQPGGVAVLSEETGLCMFMPETDKEFGKVRLLELRQPLISLKRIKHFHLSKAVYHNVKTSSSSYKNLDAFRRASLNKKVKDLAHYTDELRWVKSKSEVKLMRESASIVSQSLLQTMLLSRTHREESQLAAKIEYECKMRGAQRMAFHPVVGGGANGSVIHYSRNDRKIKTGDLLLMDVGCEYHGYLSDLTRTWSPSGRFSPAQEELYSLILETNKECIKLCKPGASIREIHDHSVKMLIKGFQELGILEKGKSVQYNYLNPTAIGHSLGMDIHDSVSLPSDKPLEPGVVITIEPGVYIPPSPILNEKAPARFRGMGIRIEDEVLVTETGHEGSW >Et_3A_026871.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24563124:24564944:-1 gene:Et_3A_026871 transcript:Et_3A_026871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGFLRSHPPPDLARLLKTRPLHALLSDASTSRAARYLFDAVPRPTVALCGVLISTLSKLSLHRELLESVLSLHRRGAGVPWGCVPLVVKACALSSSSCQGRQAHCHALVRGLLGDVFVQTALVDFYAKNGDMNSAVRVFEEMPVKDLIPMNCLITGFSKSGDVENARRLFECMPRRTSASWNSMIACYAHCGEFQEALTLFDRMLRDGAKPNAITITTVFSICAKTGDLSTGKRVRALMGEDDLENMIVRTALVEMYVKCRAIDEARQEFDRMPQRDVVAWSTMIAGYAQNGRPHESLDLFERMKAANCKPNEVTLVGALSACAQLGSDELGEQMGNYVESQGLPLTSYLGSALIDMYTKCGHVGRAYSVFNRMEQKVVISWNSMIRGLALNSFAEDAISMYEKMVADGVQPNEITFVALLTACTHAGLVDQGMAFFEDMKRKHDISPQVEHCACIVDLLCKSGRLWESYKFICDMEVQPNAVIWSTLLSACRVHANVELAKLAADKLLVLEPENSSIYVLLSNIYADAGLWGDVREIRDMMRSKNVQKLSAYSWIELDGEVHRFLVQDSYHPRSAEIYEVVDGLGLQLDRVGTDPELVLEACG >Et_3A_023350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22098046:22098474:1 gene:Et_3A_023350 transcript:Et_3A_023350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWADISEILDRRVGENFESIGSMWLSDKVFKKCFVFSESFVEGDVYDLGYDHTDGDKLASPMPCGKAGFAAAAYCWVEGDEEETWKNLDGSAEHAIHKFKSMNVKEEYDFMKGCAQGDQAQEMELV >Et_6A_047831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19106797:19108344:1 gene:Et_6A_047831 transcript:Et_6A_047831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKKWRRWRAVVDHCNGLLLYHDKGSCQYHVCNPATRRPPLRRGFSAAYVVFDPAVSLHYEVLLLPCVPHEERIEEALGFLSRYFDTSVKCSSEWPPVRYKIEVFSSRSGQWVEGNAVATLADRRTKRTLMILVIVKMKVKWSMNSDCECDEDDEEVRQQQVEWNIDNYDTDSLESSEYSDDSEGKGNAEETKKRTEWNSDDDYSLDLYKDNINQYTESFDFLVFHPHKKVIFLGRNIKALAYHLAPQRLNI >Et_5B_044092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:195280:196262:1 gene:Et_5B_044092 transcript:Et_5B_044092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVQEIKEESVYVSLLEYGNIQGMILHAAAVGRQEPAIVLRVDADNGHVGLSKRRVSARACEERYNKSKLVHSIMRYRRIAWPLYREYGHAFKLLVYSDDLDLLATCDDCDPEVKDALLKIVRRRMTPQPLKIRADVEMKCFHFDGVLHIKEAMRKAEAAGNKLDCAVKMKLVAAPLYILTTQTLDKEKGISVLNIALKACSKAIEKHHGKLVVKIPPRAVSETDDKQLLESFQNLKMSSTATDEDDQEEEDTGMADVYPITNNPTDLEDID >Et_4A_033385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22101307:22103955:-1 gene:Et_4A_033385 transcript:Et_4A_033385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAYKYQAQALMKDYLLADPLIPYTSVLIGIVLCKIAYDFTRVLSAFYFKGYSSLTKIQRVEWNNRGMSSAHAIFITAASLYLVFSTDLFSDRVKGPITFRNSIISTFALGVSVGYFITDLTMIFWLYPSLGGLEYVLHHTLSLVAIAYTMLSGEGQFYTYVVLISETTTPEINMRWFLDTAGLKKSSAYLVNGILMFVAWLVARIFLFIYVFYHIYLHYDQIVQMHVFGYYLTLVVPAVLFVMNTLWFMKILKGVKKTLAKWP >Et_7A_051596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2097413:2099851:1 gene:Et_7A_051596 transcript:Et_7A_051596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSKASVPSCKDAAAAMASDPSFSDATIACVRAYHVLKIDGYSRTFGKNCGAPSFKSNSFRAGGHTWSLSYHPKGAHFGNPYFISLYVFLEDMVCESVTAKITFSLLDQHQKPVPSYRRATVATFFSAVAGAHGFERFIERQTLERSEYLKDDSFAVRVDIHIVKEEPSSMVVPPSDMHRHLGDLLLSKEHTDVEFHISGETIPAHRLLLEAQWPIFKTDQLFLPTKEGNTANIIRIDNMEADVSAGQPSCSATQIVCASQHLVLEIKGYSKTLNTGCDRPVFDSSPFRAGGHTWHVSYRPKGSPGYHENHDYISFFLFLDDVVQVPVMAMVNFSLLDQQDEAVPYQGFTTMTYDFSLRNRGFGYEKFIKRKELERCEHLKDDCFKIRVQIYIVKETPSVAVPPSTIQRRIGNFLLTGEGADVEFRVSEETFTAHRLVLGARSPVFKAQLYGPMKEGDGRNIVQIDDMEAQVFRALLTFIYTDAWPEMEQEDEAAMAQHLLVAADRYCLQRLKLMCEQKLSNYIGNSSVAIILVLAEKHQCCGLKEACFKFLRSSTTPLAPIDIEEFKYLAQSCPAIMNELRGSVSSRRKKDPITRLSLSREKTRLSTLIGSIGAPHS >Et_4A_032561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11356652:11363385:-1 gene:Et_4A_032561 transcript:Et_4A_032561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPKLDRTPSIRDRVEDTLHAHRNELVALLSKYVNKGKCILQPHHILDALDEVQGSGARALAEGPFLDVLRSAQEAIVLPPFVAIAVRPRPGVWEYVRVNVHELSVEQLTVSEYLRFKEELVDGQYNDPYVLELDFEPFNASVPRPNRSSSIGNGVQFLNRHLSSIMFRNRDCLEPLLDFLRAHRHKGHVMMLNDRIQSLGRLQSVLTKAEEYLSKLPASTQYSQFANQFQEWGLEKGWGDTGEHVLEMIHLLLDILQAPDPSTLETFLGRIPMIFNVVVVSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMVLRLKKQGLDVTPKILIVTRLIPDAKGTSCNQRLERISGTQHTYILRVPFRNENGILKKWISRFDVWPYLEKFAEDAAGEIAAELQGTPDFIIGNYSDGNLVASLLSYKMGITQCNIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADIIAMNNADFIITSTYQEIAGSKNTVGQYESHTAFTLPGLYRIVHGIDVFDPKFNIVSPGADMSIYFPHTEKAKRLTSLHGSIENLIYDPEQNDEHIGHLDDRSKPILFSMARLDRVKNITGLVEAFAKCSKLRELVNLVVVAGYNDVKKSKDREEIAEIEKMHELIKTYNLFGQFRWISAQTNRARNGELYRYIADTHGAFVQPAFYEAFGLTVVEAMTCGLPTFATLHGGPAEIIEHGVSGFHIDPYHPDQAANLMAEFFERCKQDPNHWVKVSEAGLQRIYEKYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMFYILKFRELVKTVPLALDQAHDLQRVIASFLAHENGQTLSHCGTPPAPCPAAATAARQSGHVEFTRNHALMHSTWKPCPQPGTSRAAAWPSPSSARHTAQSTFSTPPAVGIFTRPNKESGDVVSLARHAAWPSLGHDGSGADDEEDGEAVAAAPPLLAACFLICKMKRQKR >Et_2A_017487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34852803:34856353:-1 gene:Et_2A_017487 transcript:Et_2A_017487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPPPPAFAITLSALLRRRTNQANRLRATHTRCFSSDANEEAVELQSRRGGQGGTRLEEAVPTGEGRSRIDAWISSRLGSGGVSRARVQASIRAGLVAVNGRPVSKVSHMVKGGDLVSCTVSELQPLRAEAEDIPLDIVYEDDHVLVVNKPPHMVVHPAPGNANGTLVNAILHHCRISTFTCLAHNSTGDECPDSSDDDVDVFVVDQFTADEVSSEVWEALVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTIRRVYVSLTCGVPDPSSGRIEASIGRDPNNRIRMIAIPGSGGHRYARHAASRYKVKEVFAGGGSALVEWRLETGRTHQIRAHAKLLGIPLIGDETYGGTKSMALSLLRPRIPSRYHSDLSNLISKVDRPCLHAAVLGFIHPHSGKTLEFTCPPPDDFAEVLNNLRLVTPSNFFGGFLISASTSSSDSPSTDSSGTSFGALCLVMYSLRITMLFSFIAVSCSDRIPDSELIANDSAPRTRFAFTRESRFTVTVFAWPSIATGLQTKFGPRDGETCGNHRTPKRELHNTSNPIFT >Et_7B_055853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5978534:5979659:-1 gene:Et_7B_055853 transcript:Et_7B_055853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGPSAGPSWSDLPADLLLSVLELLELPQALVFSSVCTAWRSAAATAGVPRSGAPWLVSWAHLEDEVGFGNRTQRLNSTVTCNLYHLLDVNKAYGISFPKGCFVTCCGASHGWLVMVNELSNLILYNPFTMSMVHLPPITDFACVEAVYNNRGTLAKYIYAGANAHETNSLGKWFYQKAVLSCSPSKGQSKWQVASTLSRRGKDRISPGYNLIVFISLLPGSGGQRDWIGCVDDIVLGEV >Et_3B_028944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20722904:20723698:-1 gene:Et_3B_028944 transcript:Et_3B_028944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVTLSSESDTRCRDLFAPDVELPAQPSSSRAWDLGWPSAPPTKHRDRLALLCYWQLWKHQNEVVFREAVPSVPRLLSTCREEANLWRWRMVSNVFVHVVQLC >Et_1B_013045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:577228:579653:-1 gene:Et_1B_013045 transcript:Et_1B_013045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGGAASAAASPAVVGSISVMALVYYSTVFVFLDHWLGLGTTAGAAHAAAVSLAVAACFFAFVCAAAADPGSVPKSFAPDAEAAQGQGLKSRYCDKCCMFKPPRSHHCKVCKRCVLKMDHHCVWINNCVGYANYKAFIICVLNATIGSLYSFVIFLCDVLLMDHEFDIVYVKMVYILVGVLFFFLSLTIGSLLCWHIYLLCHNMTTIEYREAVRAKWLAKKSGQKYRHRFDLGMRKNIQMIMGPNILCWLCPTATGHLKDGTEFQITNN >Et_3A_023456.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28687691:28688020:1 gene:Et_3A_023456 transcript:Et_3A_023456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRSRSRWWTTAATGSRARSARGGLRARAGGCGRAGTSTTRSASTCGWKASGSARCAGPPSSRRGPGQSTPWSEGPCAARLGSFHGDRGVRGAVHGVKLDVRAHGSDGTW >Et_1B_013860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21017467:21020500:-1 gene:Et_1B_013860 transcript:Et_1B_013860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTRPAVLLLLLLLGTAATAAAEASGPNCTRTCGKIDVPHPFGVEPGCYLPGFNLTCRQGELFLGDGTVQVLEISIPNGTVRINASFAYFPTKAAGMSKYNTFGPKPIPSSTWSGAIGEGGVYTLTLQMNKMLVYGCNVRVDLVGDHGGKTLSTCTPLCDWDDDYQYWTYPGVTIGLDPCLADIKRERNSYGFEALPMNGGTAADPSSPVWAWILDSDYDGPIIQPLRSPPQKYFEPLRRLPAVLDWRINHTTCHHNGSSSACRSGHSYCQNYSLFSNDGSETPHLCLCKKGYQGNPYIPDGCKDLNECDNPKRYPCFGVCTNTEGTYECRCFPGFEGNAYVPSGCKDINECADPNLHSCYGGICINKIGTFDCRCKHGTYGDPFAKGGCRSITVLNIGLGVGAGTVFLLLALGASFLTRKIKLHKVKRKKERFFKQNHGLLLQQLVSQNSDIGGRMIITLGELEKATNNFDTSHEVGGGGHGVVYKGLLDLQVVAIKKSKIIVQREIHDFVNEVAILSQINHRNIVKLLGCCLETEVPLLVYEFISNGTLAHHLHVEGPISLSWDDRLRIALEISKALAYLHSSASIPVLHRDIKSSNILLDDNFIAKVSDFGASKYIPIDRTGVTTAIQGTIGYLDPMYYYTSRLTDKSDVFSFGVLLIELLTRKKPFIYRTEDGDGLVSHFASLLDLGILVDIIDPQIMEEGGEQVNELAIIAA >Et_1A_006289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2007817:2008714:-1 gene:Et_1A_006289 transcript:Et_1A_006289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYSDGKDGEVGVSYPLVAVCIDKDKNSQNALKYATETLVHRGQTIVLVHVNTKGTSGEYRCHHLSHKFLVIFCVSDGGVLGGVEDAAGYKQPADPQMKDLFLPFRCFCTRKDIQCKDVVLDDHDVAKSIVEFAAHAAIEKLVLGASTRSGFVRFKADISSSISKTAPDFCTVYVVTKGGKVSSVRQAIRQAPSVSPLRTMIQGPKPEQVSTQKWAPPPPPVARGSCCMWLRHIKAS >Et_2A_017681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5017031:5019883:1 gene:Et_2A_017681 transcript:Et_2A_017681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRLILAVAVLLLSGLPRPAHPFTALESEQIARFQDYLRIRTAHPSPDYAGAAAFLLPYAASLGLRTATLHFTPCKTKPLLLLTWPGTDPSLPSVLLNSHIDSVPAEAELWTHPPFAAHSDPATGRVYARGAQDDKCLPIQYLEAIRGLQAAGFAPTRTLHISLVPEEEIGGADGFDKFARSEEFRALNIGFMLDEGQASPTDTFRVFYADRLVWRLIVKATGAPGHGSKMFDGAAVDNLMDCVENVARFTKAQFRMVKAGERGPGEVVSVNPVYMKAGTPSPTGFVMNMQPSEAEVGFDLRLPPTEDIEHIKRRVKEEWAPAHKNLTYQLMQKGPSRDVAGRPIYTATNESNPWWSLFEQAIISAGGKLSKPEILSSTTDSRFVRQQGIPALGFSPMINTPILLHDNNEFLEDKVFLNGIKVYEHIIRALSSFKG >Et_2A_015292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10566014:10569220:-1 gene:Et_2A_015292 transcript:Et_2A_015292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWRAAARRLVDGALASRAAHVVQSSPLSTSSGSKKIVGVFYKAGEHAEKNPNFVGCVEGALGIRNWLESQGHHYIVTDDKEGPNSELEKHIEDMHVLITTPFHPAYVTAERIKKAKNLELLLTAGIGSDHIDLPVAAAAGLTVAEVTGSNTVSVAEDELLRILILVRNFLPGYQQVVQGEWDVAGIAHRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRLKIDPELEKEIGAKFEEDLDAMLPKCDVVVINTPLTEKTRGMFNKERIAKMKKGVIVVNNARGAIMDTQAVADACSSGHIAGYGGDVWYPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAEGVKDMLDRYFKGEDFPVQNYIVKEGKLASQYQ >Et_5B_043625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12990323:13024780:-1 gene:Et_5B_043625 transcript:Et_5B_043625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQRARAPSFYSTKPDELAHDARHVTYAIPFHSHSHHPASRRRWRTTWCGRGCWRKACSVSTRRTAGPSLSFADARRREATRDGADAPAVVPACEIIAGGVQNVVVKVPLGTSFYGTGEASGPLERTGKRSHPWVLAVFPDGKTFGVLADTSVRCEIDLREESTIKFSASFAYPIITFGPFNMPTEVITSLFHAIGTVSIPPKWSLGYHQCRWSYDSSEKVLKTVRTFREKGIPCDAIWMDIDYMDGFRCFTFDNNRFPDPISMVEDLHSIGCKAIWMLDPGIKKDGYFAYESGSKNDVWIQKADGSPFVGEVWPGDCVFPDFTSKRTRSWWSNLVKDFMSTGVNGIWNDMNEPAVFNVYGMLMARSTYEGMAMGNAAKRPFVLTRAGFIGSQRYAATWTGDNMSNWEHLHMSVPMVLQLGLSGQPLSGPDIGGFAGNASPKLFGRWMGIGALFPFSRGHTETKSFDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYHSHMNGTPVAAPVFFADPEDPELRKVETSFLLGPLLVCASTSPTKGAHECTHKLPKGTWLPFDFGDSHPDLPVLYLRGGAILPVSLPIKHVGEASLADDLSLFIALDENGKAEGVLFEDAGDGYEFTQGDYLLTYYVAELHSSVVTVRVSKSEGSWKRPFRNLKISLLLDGEMIRPIPDIDKPSGEEGAALSKIPVDLKSGDWFLKVVPWIGGRIISMTHLPSGSHWIQSRIEIDGYEEYSGTENRSAGCTEQYKVIRRYLEQSGEEESIGMEGDIGGGLLLQRKISISKDDPKVRNVGAGSGGFSRLVCLRVHPTFTLLHPTEVVISFTAINGSKQEISQESEETILEGDFRPNGEWMLVDKCAGLCLVNHFDPSQFLRTRHLEFATNMRCCIGGASPAAAEGRMAAVEAAMGEMVWARVLEEGVFRFDASEAARAAAGPSLSFADPRRREAPRDGADAPAVVPASEVAGGVQKVVVKLPPGTSFYGTGEASGPLERTGKRVFTWNTDAWGFGPGTTSLYQSHPWVLAVLPDGKALGVLADTTRRCEIDLREESTIKFSASSAYPIITFGPFNTPVEVMTSLSHAIGTVSMPPKWSLGYHQCRWSYDSSEKVLKVVTTFREKGIPCDVVWMDIDYMDGFRCFTFDSNRFPDPKSMVEDLHSIGCKAIWMLDPGIKKEEGYFVYESGSTNDVWIQKADGSPFVGEVWPGDCVFPDYTSQRTRAWWSSLVKDFIFNGVDGIWNDMNEPAVFKTTTKTMPESNIHRGDADLGGVQNHSYYHNGMEMGNPAKRPFVLTRAGFIGSQRYAATWTGDNLSNWEHLHMSVPMVLQLGLSGQPLSGPDIGGFAGNATPKLFGRWMGVGALFPFSRGHTETGSIDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYHSHMKGTPVAAPVFFADPQDPELRKVETSFLLGPLLICASTLPTKGAHECTHKLPKGIWLPFDFGDSHPDLPVMYLRGGAILPVGLPIKHVGEASLNDDLSLIIALDENGKAEGVLYEDAGDGYTFTQGDYLLTYYVAELHSSVVTVRVLKSEGSWKRPNRNLKINILLGGGAMISSNGLDGEEISLTMPSELEISSLVATSELEHKKRLEMIRPIPDIDEPSGQEGAELSKIPVDLKSGEWLLKVVPWIGGRLISMTHLPSDSQWLHSRIEMNGYEEYSGTEYRSAGCIEEYKVIRRYLEQSGEEESICMEGDIGGGLVLQRQISILKDNPKVFQIDSSIQARSVGAGSGGFSRLVCLRVHPTFTLLHPTEVVIAFTAINGSKQEISPESGETLFEGDLRPNGEWMLVDKCAGLSLVNRFDPSLVSKCLVHWGTGDVNMELWSEERPVSEDTPLRICHQYEVRQTA >Et_3A_025453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:370540:372578:1 gene:Et_3A_025453 transcript:Et_3A_025453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EDTPSGTEIGVGFSDYVRGVVNHGRTSLGRAVAQSDSLSANDSKVQDLLTSFPPAVILDHHPCENFSFLLHLWLGSALDHDDLALRPGESSTSPVLQRLNYLFEENSIPKDSNGGSFFGGHPSLEERDKSYDIDLMTVRCGFVRGKIPGCSTGFDIDEADLSEMQQCQRIFIASAIIGNYDIMQQPENISEFSKNTVCFLMFLDEETEAAIKNSSSIDHTKRIELWQVVVVRNLPYSYARRNGKVPKLLLPRLFANNVRYSIWIGGKLKLVRDPYQVLERFLWRKNVSFAISRHCRHFDVFEDAEANKAGGKYDNASQDRLP >Et_1A_006137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18397893:18401520:1 gene:Et_1A_006137 transcript:Et_1A_006137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAASTLPFQPSSAAAVARTLSRVFFPRHPLLLHASRRGRHLLRQPLACPVAPRGLRRAFSISASAASGGSNGAAPSGGSGREYDYDLFTIGAGSGGMRASHAASSLYGARVAVCEMPFAIVASDALGGVGGTCVLRGCVPKKLLVHASKYSHEFEESRGFGWTYETDQKHDWTTLMTNKNLELQRLMGVQTNALKNSEVTIIEGRGKIVDPHTVSVDGKIYTAKNILIAVGGRPSMPNIPGIEHVIDSDAALDLPTRPDKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQKKVLRGFDEEVRDFVAEQMSLRGITFHMEQTPQEVTKSDDNLLSLKTNKGTISGFSHVMFATGRKPNTKNLGLEEAGVKMGKNGAILVDEYSRTSVDSIWAVGDVTNRINLTPVALMEGGALARTIFGNEPTKPDYSAVPSAVFSQPPIGQVGLTEEEAIEKYGDIDIYTANFRPLRATLSGLPDRVYMKVVVCANTNKVVGVHMCGEDAPEIIQGIAIAVKAGLTKQNFDATVGVHPTTAEEFVTMRNPTRKIRRDTDATAKTKDEAVHEK >Et_6B_049750.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18130:18555:-1 gene:Et_6B_049750 transcript:Et_6B_049750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIVASSLFAALDKDGDGKVSASELRGCMSIALSEEEAAAIFADGLLDRDEFLRLAREAAYKDGADDEGNNACLREAFGLYAAAEGECITPASLRRMLSRLGSHELAMEECTAMICRFDLNGDGVLSFDEFRIMMHDGLM >Et_4A_034801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6709815:6712516:1 gene:Et_4A_034801 transcript:Et_4A_034801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGSMVECEDNWNCQLENITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAVGVGRGRAVAMRARAAAGRGGGPIGRGSAPPVR >Et_10B_003372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19128682:19132049:-1 gene:Et_10B_003372 transcript:Et_10B_003372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVTIVFVFLEQFHSTNMASKAIKRRPFSADTDKTSEKPMETTISDSTTEPLLENAPHEDKPKIYEAASRSDSWDWTRRECLRWLHLLSNFIAQSTRKIVNVITDFGSLLARLFGRSSATQSSQNGQTVPINLSPIQEERLKLLRQRLDVPYDSSSFKHQDALKELWRLLHKAYGKRAEWEYPFAAAGVNVSYMLVQMLDLQSGNMSTKAALHFVRLLEDDDAAFDNLFCIAFQMLDAQWLERRASYMEFNEVLKSTRVQLEQELTIEGISSVQSMPSFKMLKR >Et_3B_029606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26416370:26419655:-1 gene:Et_3B_029606 transcript:Et_3B_029606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALASPMASLSIHSGRISAAAIGGVSRPCRAIPMGASASPFLRSSFVSSSSTSSATASPASLSAAVSASLAFTSSSSFAGSSLGIQFSYNRITTRRSRGLQIRAGKAALCMTKRSRSRKSLARTHGFRRRMRTTAGRRVLKRRRDKGRKVLCTKTNSNTGKKRIFALGLINMDYMGQLWSQGKSTRDRQFPSCSGCGLRGISALTIVSGQKSTVTVPTLRIHGASWGSSSGLSGGGGGDFALSSSCLSSSA >Et_7A_051459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1902110:1904962:1 gene:Et_7A_051459 transcript:Et_7A_051459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSSVIGHPTDGSPVSGSGLRCKRFPNLLSVLLISPEFLIYLCASQNGKFSYGYASSPGKRASMEDFYEAKIDCVDGHIVGLFGVFDGHGGSKVAEYVQQNLFSNLIKHPKFISDTKVAIDDAYKSTDSEFLESDSTQNQCGSTASTAVLVGDRLFVANVGDSRAIICRGGNAIAVSKDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEVVDDTLEFLILASDGLWDVVSNEEAVAMTRSIQDPEEAAKKLLQEAYKRESSDNITCVVVRFFHGQGSSGYA >Et_2A_014820.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:16980182:16980412:1 gene:Et_2A_014820 transcript:Et_2A_014820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAPSSTPVAGTSAVRGTSDGKKPTAAASCDVEALRRCLEENKGDRVKCQAHIDAFRSSCTISPATARPPQSS >Et_4B_039269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9372813:9373856:1 gene:Et_4B_039269 transcript:Et_4B_039269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVETHARAFADEVRGGLEQKNWMLDLGHPLLNRIAESFVKAAGIGAAQALARESYFMAIDGEGGPVSGATGSRKRTFPDLNGTNSGKSAEAMVKNVSKESLQWGLAAGVHSGLTYGLTEVRGTHDWRNSAVAGAITGAAVALTSDRASHEQVVQCAIVGAALSTAANVLSGVF >Et_3A_024643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22967471:22968680:1 gene:Et_3A_024643 transcript:Et_3A_024643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLTPAISSSRLLLLDPAMGFGPSRLVMAPIGAAGARLPAGVHAVEGIISLPASITGARFGSEFPLSATSSRHPSVVVGALPTGGRATGFLVAPAAAGAGIRVNSAIAAAIGGASSTTGRRGVFAIGAARAPRDLYPDDDDDEYDYVGAIKLARISDEVLRSKQHITAWLELAQLQTTFEVAFDADVLKSGRDLRRVLDAIGEINITAPSLPFAFELCLERIGAIIGSEFLGKLRGALTPEDDDQFGWSALAA >Et_2A_015475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13497782:13516462:-1 gene:Et_2A_015475 transcript:Et_2A_015475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLSCIAVFVFVGALLAAAALICVLAVRALHRVAKKKAVLKAELVRQKEALRQAERKSMNKSIAFVSASHDIRSALASITGLVEMSRLETDTLPGLFSRNILNSILDTSKVESGKMLLQEAEFNMVDVLEESVDMANVVGVSKGLEVVWDACDFSVLKCANVTGDSKRLKQILDNLLGNALKFTHEGHVILRVWANRLIACGSRLGCNFLSCLFGAKDDGDEHGSFSSDPSLVEFYFEVVDTGIGIPREKWELVFENYVQVNDYQGGTGLGLGIVQSIISEREVTEDIEQGPSNTLNDYILRASVFQEAYSFKGVHCVLYVYGAETRRIMQTWMESTGMKVRLVSQAEFIASTLEKVQHISFDVTDRCFSSKDMVSQVLPMSLRNNTGQRRRRSIGGHPSGLLVVTDVSNGVEDIWQEMVNFSRIKHQTPCKVVILADLKTTSSDLRRFKELACDLFVQKTMHGSRLYTMLRILRDLQASDAQSSSQVGEVIVPSHQPELPGIVLHDVQETATATEVIAQEQKTKDDKPLAGMHVLLAEDTLVLQIIQRKMLTQVGATVKVAVDGSEAVNLYKQALEEASVSEDGTMQFPYHVIFMDCQMPVMDGYEATKLIREEEKSYGIHTPIIALTAHTIEEDLQKAIDSGMDLHLTKPVERMKMVEAVCHICKLCNIVSIVNTSSIQALLLQETECCAAIRMDVIDT >Et_3B_029056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21736325:21741845:-1 gene:Et_3B_029056 transcript:Et_3B_029056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ANERLISFQDSPEDLAYSHGVISQARDTTKATSHCIVALFLLPSLAKNTYLSENALIPGSANPLFSNEQVIEANRFMKGIEAAAKDSRSGMDAAKFIAQQIEDLGAEFCYHKFLPPNNHFHPMKFFTSMTDDMSIEPNRTYNNFGINTVGIIRAPRGDGKEAIVLVTPYNSQRVQPNELLSLALGFSIFSLLSRAPWLSKDIVWLSADAQFGEYSAVSAWLNQYHNPVFISHSVISDTKMYGANYMHDAKTERAEFRTFRRAGTMAAAVIVKVGETRKYSDRDSVTMYPEASNGQMPNLDLLNVVHYLAVHRQGFRVNIATFSSMLSSAWLRVIAEIFQTLGSVLRKINPGWKLDVAVPDYMEGAANLASSMYNQALGVPTGSHGAFRDYQVDAVSLEFSPTFHVRNENARSSFLLRGGRLIEGVARSVNNLLEKFHQSFFLYFLTAPSKFISVGVYMIPFALLVAPLPIVAAALADGSKSMKKSAEISIDASKANDRADILQSKGGSWKWLQAAKVLLIIQLWAVLVSLLPYYITQIPDATPMQSATIWAVLAIFILVALYIMVGSPYSAGVEWKLLKATMITSISIGLGLMSIINFATAQLGALVVIPMCLFSRPLKARSGMSFLPHAVLSASNICLVILGFPPTALLIMKGLSKGSWTVDVGDFWVWMEFLWEWSSATYLYLLLVHLPCWVLCIHLLLHPCRHSESKMKQE >Et_10B_004062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:126491:129322:-1 gene:Et_10B_004062 transcript:Et_10B_004062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLAQSVHAAVDRRWLLPLAIGSALSLLLLVGLTTFPLPFPSASTHSPSLFVEHKLAPSPPSSSASLPRFAFLISGSAGDAAALRRVLLALYHPRNRYILHLDAEAPDSDRKELTGWLAEHPVIASAGNVRVVQRANLITYRGPTMVANTLHAAAAFLWGDAGAGGSDWDWFINLSASDYPLVTQDDLLMEKLAADLIHVFSKLPRDLNFIDHTSDIGWKEFQRAKPVIIDPGLYMKKKADVFWIPQRRSVPTAFKLFTGSAWMALSRPFIEYSVWGWDNLPRTVLMYYANFISSPEGYFHTVVCNAEEFKNTTVNHDLHYIAWDNPPKQHPHYLTIEDLDRMVASSAPFARKFHADDPVLDKIDEEILFRGPDMPTPGGWCAGTRENGSDPCFVIGNTSLLQPGRGAVRLQRLITTLLSEEKFHPRQCK >Et_5B_043729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14816556:14817741:1 gene:Et_5B_043729 transcript:Et_5B_043729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILAVDSHHLSYTNMDFRSGAKDVILVPTFPLDSRFMQISSYPHHFTCQAVSTEHIESESPVVREQGGLCLRSHGTGENLLMSHQIDTGSRRSNGYERRDLP >Et_4B_038701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4048892:4051862:-1 gene:Et_4B_038701 transcript:Et_4B_038701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLEMWLPPAAGEGAPAAGLFLDVGDAAAHGALLAAIPGCSVSFGPQRRRRRASPGFLSLSMTVKGSRGFVSGAVGLLPGAEEKGGSEESLVGASSTAVAEVVTDGKVVVVQDKEKEARAGAGAMNMTKHLWAGAVAAMVSRTVVAPLERLKLEYIVCGEQRNLFELIHAIATTQGLKGFWKGNFVNILRTAPFKAVNFYAYDTYRKQLLKWSGNEETTNFERFIAGAFAGVTATMMCLPMDTIRTKMVAPGGEALGGVIGVARHMIQTEGFFSLYKGLVPSLISMAPSGAVFYGVYDILKTAYLHSPEGKKRASMMKDQRQDANALDQLELGTVRTLLYGAVAGCCAEAATYPFEVVRRQLQMQVKATRMNAIATCLKIVDQGGVPALYAGLIPSLLQVLPSASISYFVYELMKIVLKVE >Et_2B_022943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:889646:893228:1 gene:Et_2B_022943 transcript:Et_2B_022943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEVLILHVGEDGLSVSLRPRRTSTNTVWPLRLVVPHGALYSSVRAASQHYFGPVPRGFDGLEMEDLLSNGVGAENGLTSSEPPMKRRRIRGCEAGSSSASENLTPLVMDVIEGHISGEIAVSGSRSALPLSSALPTKRQRIRVGYEHQTPLVIDLTEGERYIDISVEFRVSKSRPASPLAFPIKVQEGQHMRREHCNWEAVVGTPVQHSVPHTCALDAAAMCVEARHRRVYEGLHGRGSFPCRAAAPRELRRSCERKKLWKRGYGADAMGPVLEQVKELGGIRTTNAPPPFPCRLPLRSWEVFLPDGFNGFFTDDRLMELIDEYGPCIGVIPFRSWYKDVDADKDDNKVYRSDVKPAIDEDVMTNIIAAVISLLENDRHAAVCCRYRNRESGLDILMVDNKRRWVQSKDFLEIYTLQVDPMDPTLFRGRHIRYPLTGA >Et_6A_047310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3711010:3715182:-1 gene:Et_6A_047310 transcript:Et_6A_047310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQACLIVVLAFLFLQGAAAGSAEEHRRRQVQSLLRRLNKPPVASIESPDGDIIDCVHISKQPAFDDPFLKNHTIQMRPSYYLGGLSNESNTAPHPISQTWHQNGKCPENTIPIRRTKEQDVLRASSVSRYGKKSPKSIPKPIPIHDPEASVTSGHQHAVASSWQGQYYGTKMTINLWHPMTETTQDFSLAQLWITAGSYSGNDLNTIEAGWQRDAYQTTGCYNLGCSGFIQTNNQIAIGGSISPYSSYGGSQYEFDILIWKDPQSGNWWLQFGSYLLGYWPSSLFSYLADSASSVMWGGEVFSSNTGQTSTQMGSGHFPGEWFGKAGYIRKIQVVDSSNYLQSPSGLGMIAQWPNCYNVQNGTDNKWGTYIFYGGPGRNANCPY >Et_1B_012384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31354190:31354954:-1 gene:Et_1B_012384 transcript:Et_1B_012384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSAQTFRRSGSSGLVWDERFLTEDAEEKPNDGGTARQPELRHSRSVGSVAMPRRRGRGEAGDADDKKRNLFVKPKVQKDHRNQEEKEAAPGRNRMAFRTRDVAPAAEPPSPRVSGCVLCSIFRRTGGGLSARRARPTKRFTISHPYAGFKQQ >Et_3B_030486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4148872:4166076:1 gene:Et_3B_030486 transcript:Et_3B_030486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METKAKKGSSNDTAAAGTGRRNSTSNNSGSSGASAAVERKEVERKRRQHMKNLCSKLASLIPKEHYSSKDTVTQLGSLDEAAAYIKKLKERVDDLQQKKSSAQLLASMREGGVGASTSVAAATTSGDAGSSEEASAEDEAVAPVVEVRHHQDGSSLDVVMISSVERPFKLHEVVTVLEEEGAEIINTNFSVAGRKIFYTIHCRVKAKRGKSSRTSEAGGRSSTSNNSSGSGTAAAGVDRKEIERRRRLEMRRLCVKLASLLPKEQYTSKDTMSQLGSLDEAAAYIKKLKERVEELQQKRSSVQLVAGMTGGGGASTSAETSTSGNPGSEEAAAEEAVPAPVVEVRHHNDGLSLDLVLVSSVERPFKLHEVVTVLEEEGAEIINANFSVTGQQIFYTIHCRAFCSRIGIEFSRVSERLRALMKSRRQSSGGSAGEGNTHSSGGGGCKMERKDVEKNRRLHMKGLCLKLSSLLPPAAKQAALLAAAAPASCSNPNKDTVTQLDHLENAAAYIKQLKGRIEELKRRKGGGGGDGSCKKQRVEVATAGVRMPVIEVRYQDGTLDVVLISEAGRPFKLHEVITVLEQEGAEVVSASFSVVGDKIFYTIHSQALSSRIGLEAARVSERLQDLLTDD >Et_2A_017672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:533645:537264:1 gene:Et_2A_017672 transcript:Et_2A_017672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEHSTAMTSPALLLVTLSLLACSSLALPAQPGMNRVRWQVDKVNRRGSSLGLVMSYVDEATALQASGYFSPWPVLPFLDLYGRRFHIGSIRGVNVIYALTGQRRLNAAVTVQTLIDVFTVSGIVHYGTAGSSNDSMSFGDVSVPKLVAYTGAWTWKVTLERCNNTFCLPATPQIVYGLKGSSADMFLDNAEYRNFLFREFGVSTVEEESAAVVMTTTSAGIPVIVFRGVSDLAGGEPTWSSTSLMNLASINALKVAVEFIATVGNQKSAEWILTLTTSFHMEAFA >Et_4A_033636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25190045:25194738:-1 gene:Et_4A_033636 transcript:Et_4A_033636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKPLPPRTETAKPQNLPPSGKQETTPGLGAMPSTRALRRLDTRRPPQPRPMQQPATAAAVKKEEEGSPWSQSSSSSSSSSAPAKHSAAPAAAARAGSEARVYPLRDFPGRDAAALGGAFRDNVWWLLKQWAPAASGSVSAWRALLSDERTGAFVPIFAVEELVAASPQPLCDLCRCAGWSHHWVSKRKFHFIIPKTVDWDHPSGANTLLRGSDHLLHGLIHSNGFGHLVTIRGRDGGSTFLSGCQIMDIWDQLCAALRVRAVSVVDLTRKHAVDLRLLHGVAHGKTWFTRWGYCLAKGCFSVSTSTYAAALEALAALPVDYLRSRHVRRVVTIYRRLSNKPLITVREFLCCLLDWKHREPPLSPLPANTCPRLPFSLPESCVTKRLREPYQRFEDVIDLLNCRWSKKRLLNAAEVVVGKLLEHGDGTKMTRQEVRDAARGAIGDTGLLDFVIKSLSNTIVGSCIVHRVADPENRLLHFSLEEYVEPEQEPVEVEAERTPPVIRWPSTAEVERDLRAVYRAMVEARSESAQAVLDCKHWVKWWGLRDESDDQLRFVIDWRPQPWEAAELTWSMPPGDIVVVPLHASIGELLVEAEQALRDTYCFFEEFHAETLDGIAGEKWDPVMLGGAESGDTIGVHGHGANMESGLRWYPFRIRQPQDDPLARFITSAVVRLPPLIARFFRDFRLHVSPRLRYKSKSRKDPCGLIPDDLAGLPFWGSIKFLRTGSHWPV >Et_5A_040323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13790524:13791341:1 gene:Et_5A_040323 transcript:Et_5A_040323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHFVVVDFEATCEKDARIYPQEIIEFPAVLVDAATGRLVSEFRTYVRPRHHPRLTAFCTELTGIQQDQVDGGVEIRDALAKHDAWLAASGADKNRLAVVTWGDWDCKTMLEFECRFKGISMPAYFDQWVNLRLPFEAAFGPGRRNLQEAIREAGLQWIGRLHCGLDDARNTAYLLVDGGWPSPSPARWRRLWRPKNRMKPRRNRRSSRLAPTRCGVVMVPGPMQGRCFYGCGNCAPAFGPRCPFFLWAG >Et_2B_019457.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3971120:3971482:1 gene:Et_2B_019457 transcript:Et_2B_019457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLPDAAKFALTVALGCACIIKHILGYLEEISPRSGVLDAAVAVVMVTLPVTFITGVILLFMYIHVTPPAAAPPPGAARRFAAVACTVASSLLVASALPLAAFVFLVGSHPPTTGLTPT >Et_4A_033692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25926005:25928765:1 gene:Et_4A_033692 transcript:Et_4A_033692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAFSTSFSALLRTPPPRTRRLLLAAATRAHSAAACESRARGGLPRFHAPTLPSSKGEVVRVQGDEFWHMTRVLRLGINDRVELFDGAGGLVEGSIQKVDKSGSDVELLEDARRIAPQGIQWHVFAAFGTLKGGRADWLIEKCTELGACSVTPLLTERCHTIAENRVDRLQRLVMAAVKQCQRIHEMSLKPPIPIGKLLPVVSQSRLAFLASAEAPPLLSILPKSSTEQSGLLIIGPEGDFTEEEVDALKAAGAIPVGLGPCRLRVETATISLLSALMLWSDAKHQETQECRLPGEVDVLSRQPGSHILLPLRMEEGGLGNFVLGVE >Et_1A_006829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2869333:2871733:1 gene:Et_1A_006829 transcript:Et_1A_006829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGKVFSDAKPYLAMILLQVGFAGMYVVAVASLKRGMSHFVLVVYRNLVATAVMAPFALWFERNVRPRLTFTIFLKIMGLALLEPVLDQNLYYMGANLTSAGFASALINILPAVTFVMALILRMEKVRLRSVHSQAKIVGTLCTVAGAVLMILYHGPVVQFPWTKGQHHDAAGAAGQDGGGAAFLKGTITIIIACVCWSGFFVLQSNTLQSYPAELSLTALICGMGSLMSGAVALVAERGNTKAWIIGFDTRLFTAVYAGIVCSGVAYYVQGLVSRQRGPVFVTAFNPLCMIVTAVMGSIILKEEINLGSVIGAAIIVVGLYALIWGKSKDNVSPAADVSTGGSKGAANQLPITSVAQSNGNGKHELGNGHGNGKHELGNGHGHVFDVETPAANGHY >Et_7A_051809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2522301:2523437:1 gene:Et_7A_051809 transcript:Et_7A_051809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PSSSSSSISPSLTTSAIPLALQCLRPLGPKISFPESRKMVILPEFARVRNASRLLKYTVQVPMSGTTRWNPCPEQIKVLEMLYRGGMRTPNSFQIECITEELGKYGRIEGKNVFYWFQNHKARERQKQKRAALLTLSTTSSLPETKDGAEKKEASEEDAVSCKRRCKSWGDDVHGDRDAATEVADDCTNDEVTLELFPLHPQGKDTNKPATTRS >Et_1A_004861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12498391:12499479:-1 gene:Et_1A_004861 transcript:Et_1A_004861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRRRRGRQLRRHPPVRGLNNTKVRRKRLINTSRIVATHPRNAFDVDSDTDGFVPIVDVRSRGVTFGPGKEYPSDPIYHPAAGSLFSFDLCRFGTLSFEPLWPPRLEDPFCSAKDWSWPAPPFHRLDITSYAVHGDERTIVVGTGRTYELSDAAAPATFTFDTSALVWKRHGEWALPFRGRAYFVGAPLDAFVGLSRDPATQGHLCCAWEEADGPPAWKLSKERVFSEETAETHVGATLVYMGGSEFCLVQCVSIADGNNTNQEHLDGITDEEQLEVPRCTSSYLYCLTTTFSLGYDNNGDNLTTGESCRVQRYKVPQETTERFFQEDPVAFWL >Et_1A_009371.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4273278:4273658:1 gene:Et_1A_009371 transcript:Et_1A_009371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPVKATAMRTPPRTGKAETKPTTLLDVHEVEWITRELERLLAREQSGGGDIAGAEGGGRHRRKMSDKASPAPKKGGFLAELLGRHAASICSGDAVNSASAAAAAGVDRRRRPRGRGSFREVEKV >Et_2B_020495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20790493:20796759:1 gene:Et_2B_020495 transcript:Et_2B_020495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSISGLNALYDAATGGGDVWINERRFRVLRQIGEGGFAFVYLVRELQPPSDASLGRRTSHVSEDGTYAMKKVLIQSKEQLELVKEEIRVSSLFNHPNLLPLLDHAVIAVKNQQGDWSHEAYLLFPVYLDGSLFDNANAMLPRKEYYPTADVLQIFRQLCEGLKHMHCYDPPYAHNDVKPGNVLITRRRGQAPVATLMDFGSARPARKEIRSRSEALQLQEWAAEHCSAPYRAPELWDCPSHADIDERTDIWSLGCTLYAIMYNVSPFEYALGESGGSLQLAIVNGQLKWPSGPNPPYPEELRQFVIWMLQPQPAMRPHIDDILLHVDKLITKYLSRKNQQTYLEYEPIKEEPDGREEGDEEGEHGEVPVRRVGLGLPVHRRRRGAKKQLHQRRHCGRRSKDKTSKPVFGSKPLQHNKNAEWE >Et_5A_042266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8151490:8153453:-1 gene:Et_5A_042266 transcript:Et_5A_042266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSGGVSAKPRFLVPDSRPSAEAPAAGTPPRPDAQLLRASPSPSSCSHSRRRCPLIRGSPRQGGRMASSAPNIMFRRLFKTLTVSPALASGLTSQHHQLQQRAQVSGTAKGKAKLKSGQPLKRSSIAKKGAPSGGGGGGRGRREAIERITQISDSCLSAPTPLRYLSPKERLREAKREELGLISKERQRELDMAKAKAKSKSKGTGGDDEGRVLMGPPGLDYISLGLVDEEAIPKYELTVEDGRRLAKEYSRVLMRRHRARQTAESTLLTLKKEAIAALPEKLQAAALVPDMTPFPANRYMATLTPPIEGYLEKVRDAAKKYSVKEKLR >Et_9B_064297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13730433:13734011:1 gene:Et_9B_064297 transcript:Et_9B_064297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQLNLDESPAWGSRSVDCFEKLEQIGEGTYGQVFMAKETETQEIVALKKIRMDNEREGFPITAIREIKILKKLHHGNVIKLKEIVTSPGPEKDEHGKQIEGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTIPQIKCYMRQLLTGLHYCHINQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNGNLTNRVITLWYRPPELLLGSTKYCPAVDMWSVGCIFAELLYGKPILPGKNEPEQLTKIFELCGTPDEVNWPGVTKMPWYNNFKPPRTIKRRVKEAFKNFDRHALDLVEKMLTLDPAQRISAKDALDAEYFWADPLPADPKSLPKYESSHEYQTKKKRQQQRQAEEAAKRQKTQHPQPHVRLPPIQHSGQPHPQIRPGQPMNPHPPMASGSHHYAKPRGPGGPNRYPQGGNQGAGYQNRGGQSGGYGSGPYPPQQGRGPQPYPGGGMGGTGGPRGGSGSGYGVGGTNYPHAGPYGSSGPARGPNYTQQGGSRNQQQYGNWQ >Et_1B_011665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24693595:24700856:-1 gene:Et_1B_011665 transcript:Et_1B_011665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFFSMTVESSSSQLHPVDRAAATRTPASRALEVLDSHRPWEMMGNTMLIIVDQTYAAVREILGPAAPPPPSSGDDGRVVEISRPVDPADPDSPLLCVNASARHCCICLTNSLHGGATSEYKMYTSPKRKNTPQYRLAGACVSVSSGTLHVARVADDGGRPVDSWMCADVRPNVSEKGLFGVLDTVRSRLDAAIRVEANLIEMAKAAGVKRPNINEIIEARMALEKMRAEMDLDEIMRRRRQKRRRDVQEICCRPDSDQVDDAEVLVKRLRALHVSQKRCRPAVEMDQVDDADVLTKRLRAMQVALGAEVPDPAQQVLAGGLVDGPAPACHLQEQRAEGEHVGGRGGLGGHGELGRHVAQRPDDARGGRVGAVLVEAREAEVAEARAHVGAEEHVAGLDVAVRHHPLPLLVQLPCLAGLAEEVRVEAAVGHELVHQEQVLPALAPPAQLHQVPVPQPPEARDLGHELAHPLRPVVGDPLDGHHVARSPDHAFVHLAEAAGAEELAGFEPLGRLVELSVRQPVRVMPISFSIEFAEEKGSTSSQLHPADRLARPAPSRVLQLLEDHRPWEMMNNIALIVVNQTYAALREMLGSEAPPPSSDGHVEISRAVDHADPDSPLLCVNASATHCSIRLINGHHSGGASLKKYHLARASVSVSPGSLHVARVADDDGGRPADCWRCADVRPNVSEKGLFGVLETIRSRLDAAIRIEASLIKMAAASGVKSPKINEVIEARMALAKMRAELDVDAIMRRRRQKRRREIQEINCRPEADQLDVADALVKRLRALNVSQKRCRPVVEMEQVDEADVLTKRLRAMHV >Et_7A_050371.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:10251875:10252456:1 gene:Et_7A_050371 transcript:Et_7A_050371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSVIAPPAGDTASRPHRRARRAFLVSNYLILGAASGCGFLTLSLRLVPSVDGFLLILLHALTVAAAVAGCAVIAAPEPPRGRCYTAHMAATVVVSILQGAAAVLAFSRTAEFLTDGLKSYVREEDGAVILRMVGGLGVAIFCLEWVALALAFVLRYYAYVDRECGGNPMRRSAKVGGEDGAGTWPWPFQV >Et_4A_035158.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:10062362:10063672:-1 gene:Et_4A_035158 transcript:Et_4A_035158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSSSSNSTGEEENDFYHADAQVEAMQRRVDGTPPLADDPYTIFRLPAAVRERHRDLYEPKVVSVGPYYHGRAGLGAAQQHKWRLLRDFLSRNDKARGGLAAYVRAARGVEADARRCYADQGFAMAADEFVEMLVLDGCFLLEFFLRKGEGRLAAPGGAKWAWHHMYHDVLLLENQIPFFVVETLHAVAFAGEERDALLDIFCKAFAGDLPSSRAVRPPNDKAIHHLLHLHYECNVRNPAAADGDKARNVIGGEAANGNNSGSLAIWKQPALPSPRSSGGDGAAAGKGRLTSMIPPAAKMEEAGVTFKRKATPRDVFDVTFRYAVLHMPAFVVDEGAKVLLANLVAFEQGGGRAVRQLEGGNLVTGFVALVGSLVNSRRDVEVLRQCGIMHCMVADDDAVAFFNHVVQYTTMDYDRHLLACLFRDVREHCHWNR >Et_4B_037046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1455975:1463978:1 gene:Et_4B_037046 transcript:Et_4B_037046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGCFATEADGGSEDLKPSKANCNPSDEASGTGADARRKVAPDVANGYAHSFTFKDLLAATGYFNKANFIGEGGFGKVYKGKINGQMVAVKQLAQDGVQGSNEFLVEVLMLTMLNHKNLVSLVGFCAQGDERLLVYEYMPFGSLEGHLFGQATWQKPSGGGGRPHEDVRPWAAASSPGPGRVLEAPRLREFTLAELRAVTRGFKPEMVLGEGGFGRVYKGWVDERTLNPAKSNAGVVVAVKKLNPESVQGLQEWQSEVNFLGRLSHPNLVRLLGYCGEDRELLLVYEFMAKGSLENHLFRRGATFEPLSWSRRLKIAIGAARGLAFLHTSEKQIIYRDFKASNILLDTDYTAKLSDFGLAKNGPAAGKSHVTTRIIGTYGYAAPEYVATGHLYVKSDVYGFGVVLLELLTGLRAHDLNRPSHQQSLVDWARPYLAGGRKLTSLMDQRLAGRYPPKAALRAARLANKCLAGDPKNRPSMADVVVALEEIEALQAAGSKGHRDLPPRPGARRSPHH >Et_2B_020828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2455134:2458295:-1 gene:Et_2B_020828 transcript:Et_2B_020828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKPHHLNPAGRRRSPWPELHPELLGLVLRRLTSIADRVRLCAVCGQWRRNACLDGPLLPPRLPWLALLDGTFLSIPDSETHRVPLPDDDHYLGHGSMGDSWLFFHNKASGGWSPAGGVLRRGDGSSTRWCCTPPPARRGLSRDFVSAVLITDCNDDSVISICRPPSAYAFRERRRAYSSIFDVAFYDGNLYALSRTKIFLLELIDSSGTGKPRVSSMEPVMDCSDDPETAFRSFSKRYTWGDCCTYGDSLVPLPLYHNVQLMPPSQKVTQADKNQFPNSQPT >Et_8B_060386.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:12096137:12096526:-1 gene:Et_8B_060386 transcript:Et_8B_060386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPFCPEVSELPPSPNCWFSANPAISTLLLSKKDCAAPQVGQRQSSGSCANGSPGGTGYDGSPAAWSYRYPHDRHSWIPVFSTANFSSNRRGSSFGPSSEASSCSLSVVVVASSGLSSLETSTERSAK >Et_1A_008595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9010362:9023840:1 gene:Et_1A_008595 transcript:Et_1A_008595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAALEAARAKGTNERLAGVEHLHEALDAAARRGLTATEVTSLVDTCMDLTGDGNFRIAQGGLQALSAAAVLAGDHFKVHLNELVPAAVERLGDGKQPVRDAARLLLVTLMEVSSPTIIVERAGNYAWTHKSWKVREEFARTVAAAVGLFASTELPLQRVLLAPILQLMSDLNHSVRDAAISCIEVEMYRNMGSQFHEELQRHNLPSYMLKEINSRLSKIEPNVPSSGDATMQSRTKDYRSISASDTYINEKPVEPIKIHSEKELIREFEKVTSSLNPEKDWSIRIAAMQRIEALVYGGAIDYPSFLVLLKQLVGPLSSQLSDRRSSIVKQACHLLNVLSKELLGDFEACAEIFIPVLFRLVVITVLVIAESADICIKTILRNCKVSRILPLIADTAKNDRGAVLRARCCEYALLILEYWADSPEIQRSADLYEDLIKCCVADAMSEVRATARACYRMFTKTWPERSNRLFMSFDPAIKRIINDEDGGMHKRHPSPSLNERGVQLSRASSHEGVSHFGYGTSPIVAMDKNAAISSEPAHLSSNVLLSGKSAERSIESMLSSSKQKVSAIESLLNGVSMSDWNTFSAMHSTSLNHGVDNPSSCDPPNLCAAPALDHVATKERSRSPYLCNLSSEPISGLSLPYLRSSSGRSQDGSTMDDNNDAWPGRSPKMQMDRHYTGLPYRDANYRNSLNHHVPHFQRPLRKQVVSRASASARHSFDGGHVLSSDMSGYTDGPASLNDALSEGLSPSSDWVARATAFKFVQTLFQQGQKGIQEITQSFEKVMKLYFRYLDDPHHKVAQAAFSTLADIIPAFKKPLEGYVERILPYVFPRLIDPKELVRQPCSLTLEIVGRTYSIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFNNYTIDSEGYSNRGFLKLWLSKLAPLVHEKNSKLKEASVSGIISVYSYFDSAAVLNFILSLSIEEQNIVRRALKKHTPRIEFDLVNYLQSKKERPRPKSYNSVDSRASSEDGYALTLKKSFPMECFSGSMLDTEGGKKMNTVEEPTLLNVPIGQTASDLCIDHAKQCLEHASEAELFTSIKELKNGGRSVVEAVHSWTGYPERSDANIDDDNSTGTPHLDFGHRPSDGRDSVAASAGENTQEGDPFVDISSVKIIPHASDGSSIPQLLHQISNNGEVSSLVKREALQQLVRASTNDNKSIWTKILTAVLEVLDDSDSSVREISLLLVAEMLYNQKDPVEESIDIVLEKLLHVANDDGAKVSNEAYKCLNAALEKYDPFRCLAVIVPLLVSDEEKTRVMCINCLTKLVGRLSQEELLTQVPSFLPSLFDAFGNQSPDVRKAVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQASSVTMPPGQL >Et_9A_063574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7852382:7853126:-1 gene:Et_9A_063574 transcript:Et_9A_063574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAAAAFCLATVLFLAVAVVFTSGASSEPLTAVQVTDGTGDGEKSILLPLNQKAGEDEEKIKVGKDQGDETGEVGSLASTQEEDKKKGSDWSKAKKLYDKMQSL >Et_7A_050463.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:17067283:17067522:-1 gene:Et_7A_050463 transcript:Et_7A_050463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRAAAFVVSVACWVMGWFRRRLQTPRVTYGPMLERDIIRQSTLHFIYDTDDANCIEQLRMGRAPFMQLCDLFRARGL >Et_6B_048655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12176839:12178594:1 gene:Et_6B_048655 transcript:Et_6B_048655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHDKRHTKKKQKYEVGDKVDVEIEGDVRSSWVPATVKLDEGVAVKQGDLDMDFRKEYINARYMRPAEEHCSLQEVNIVSPDIYAVKYDHVEEACETDKDKDEGEVVGKGKRKDCDKVDVDFDIGGAVREPKQSEKLSMPIRPLQNELQIQPSSAWETIQMSQLHSILEENQKLLPMGSSVFEPGIGTSDSSRQANIYCDITTQKSSTQLPFVRTSPLWSQIEALEDKYKVIWQRPHFLPLQKEDPSERERLALMMMLSLEDDETSFERMKNTLVDLETNGFLVRPWQRYLDNLIAVKSKYSEDLRKDSMVHMMKQIKIDSLSRQALLLSKNEKAILKLEQKLGQLRLEK >Et_10A_000898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18926899:18929767:1 gene:Et_10A_000898 transcript:Et_10A_000898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVTVILALIRPEVVWAPGGGSRGRRKAILSGVICIERPGEVLAIMGPSGCGESTLLDDLAGRLTSRNITKKGDILINGRRRKNLAYVTQDDVLMTTLTVQEAVHYYYAYLQLPSAMPVAAAKRRCGRWAGLPSISDEPFRPLSEDRQQGLRQRGKRRGRGKDFYHSGYRHYRLANSYKSSVHMENVKRQIADLRKTSSGAPVMNQSQPSFLTQSLVLTKRSFVNMYRDIGHYCLRTIFARERLNRHYNVSSFVIANTVSSTPYLVLISVVSGAIAYHLVGLQSSFGAMVNMKEIFCQPQLTHSANDRNADIEISYPFYPLIMEIFARERLNGHHSVPSFVIAKMASWTP >Et_1A_008223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5363287:5367727:-1 gene:Et_1A_008223 transcript:Et_1A_008223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNDSMADSPRRRHNLLRDKVQLRKRKDMNRYEIVRFPDYLSFEKGFFVVIRACQLLAQHNEGIIFVGVAGPSGAGKTVFTEKVVNLTPDVAVISMDNYNDASRIIDGNFDDPRLTDYDTLLENIHGLKEGRSVQVPIYDFKSSCRTGYRTVDPPSSRIVYPMYKAFIEPDLETAHIKIRNKFNPFTGFQNPMYILKSPRSLTPDKIKDVLGDGHTEGNEETYDIYLLPPGEDPESCQSYLRMRNREGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSRVFSDGKATVKIDWLEQLNRQYIQVQGRDRLHVKYVAEQLGLDGSYIPRTYIEQIQLEKLMNDVMALPEDLKTKLSIDDELVSSPKEAFSRVSADRRNKLMKSGLSHSYSTHGDNNIVKLSKLTDTNRRFGGGRAPESSAINQGAITQLSEQITTLNERMDEFTSRVEELNSKFTVKKHSPSQQNLALPNDACNGSAPTNLFVSQLGNGTLIPNSSSSNLLLKESPMMEEIMILSRGQRQVIHQLDNLTSLLHEHLRCIFDIQESQPELT >Et_9A_061772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16264395:16270613:-1 gene:Et_9A_061772 transcript:Et_9A_061772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTQLKMLSPSGNADTPGSPPIISAISSDCRRRITDWPSAPRAPPAAAAGFAPAAGADAAGTLLACASLFSPRAMVRSVRVVPGGGSPRGSGERARGGSEEAREVGGEAAASRRGGLIHADGSECSCARISMLVQRVDAKFSKKKISSIRPFNSDQSPAGSPNGLSVCSKKEKQHAPLVRRLVVLIRRTAAAAAGNPFQPQPPAARFSHRYFSTPAATAPRPEPYHNTVSYLVNSCGLSPAAAAAAAAVMASRRMRISTAKADANLALLLRHGFSNAQIALLLRGAPNLLIVDADKIIRPKLEFFDSLGIPVNWLLNKNILERSLNQHIIPSLEFLRGILGTNANIRTAISRHPHALLFDVEKKMRPALQALRHHVLSEEAISKFVLF >Et_4A_032462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10288655:10332864:-1 gene:Et_4A_032462 transcript:Et_4A_032462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLASPAASFVPSSLAASRFTLVAGPTASFRTQACGLKCWIAAKLKLRKALKRHGWQLQRKLDARGDGKIPDYFDVTSLTETITHQNLQLAYGSGNEMASTSLDTMGTSIDQKNSMHLTFNPPETHPPLLTEGSSLFDGQSSSESALSISVIGATGELARSKVFPALFALYYSGFLPQDVSIFGYSRKAITDEDLRYMIEANLTCRVDHHENCGDKLNEFLKRTYYIDAGHDNNDGMMRLNSRMAQVGGTRAANRIFYLAVPQEALLDVALSLADSAQSMKGWNRIIIEKPFGFTGVSSHRVTQSLLSRFDEKQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWNRTYIRNVQVIFSEETATEIQGRYFGNYGIIRDIVHSHILQTIALLAMEPPVSLEGEDIRDEKVKVLRSIRKVDLEDVVLGQLKDTSGKVDRYTKSMTPTYFAAAMYIDNARWDGVPFLIKTGMGLMKNRAEIRIQFRHVPGNIYRERFGHDIDLDTNELILRDQPEEAILLKVNNKVPGLGLQLDASELNLLYRDKYKVEVPDSYEHLLLDVLDGDNHLFMRSDELAAAWDVLTPVIHEIDQNRVAPELYEAGDKGPINAYYLAAKHGTLKRVALSRGEGQHVVGQVVEDVHVLLLKVAYYGRVAPGEYSQADEACTRVVLDIKHVVLRSSVAPSKCRISFGTLGVFPGDDAKQSGVAVELATVRLVWLMNASCTRLYTSVGNVVMPVPESMIAPPVPLLVNANVDAGTGSLVDPTVMPFNER >Et_8B_059292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16176680:16182924:-1 gene:Et_8B_059292 transcript:Et_8B_059292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRPYPPQQQHPPPPPQGGFPPQMNPFAPQPPQQAPHGRMPAPPFHAAPPPGPPPGPPPPHQPQFNFGPGAPQQQQPPPPPPQMYYQQPPPNYGGNSNPPPPPPSAPPPPPSPPPSAPPPPPPPPAQPPPSQAPPPPKEQQPKASLPRVETEEERRARKKREFEKQRVEDRKQQQMLRQSQATILQKTQQVRAAQQQQPQSRHHQPPGGSRTAASGSRPASAPNAERFENRLKKPTTFLCKHKFRNELPDPSAQLKWLPLNKDKDRYTKYRITSLEKNYMPKLIVPEDLGIPLDLLDMSVYNPPSVQPPMAPEDEELLRDDEVLTPIKPEGIRKKERPTDKGVSWLVKTQYISPLSTDAAKMSLTEKQAKERRESRQGRNSFLDNLNDRQKQIKAIEESFKAAKSRPVHQTKRGMPLEWVMPLLPNFDRYDDQFVMVNFDGDPTADSEQYNKLERPVRDECESRAVMKSFSVNGSDPTKQEKFLAYMAPAPHELARDVDDDDDVQYSWLREYHWEVRGDDKDNPMTYLVTFDKNEGARYLPLPTKLVLQKKKAKEGRSGDEIEHFPVPSRITVSRTDHGGTMERGESSSMHGNLKRQRSVVDDDLDEHPKHAQVEDMDQYSGDEYSE >Et_8A_058110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20238943:20240515:-1 gene:Et_8A_058110 transcript:Et_8A_058110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSDTPAEAGLRLEEIKQAAAKAPQTGRAGASVCMCRVVGLLFFLHRVGTEAVMLYRPLERRHGRAVKTCFILVATLLAAARCSSSAPQASPPLSLATEQLACVASVVAFVAAFSVGLSLVGLLVATYTAEVLPLRLHAQGWSLGLLPPLENLSPHQDPSNSLLLGHEFIGYMTPARTRASMDAWKH >Et_7B_054402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2092683:2095381:-1 gene:Et_7B_054402 transcript:Et_7B_054402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHPTAAAATNNRLPLQSPAPAHHRALAPAVLRLPLRAKAPHHAQRARISAPLVAAAAPAASTASTDGPATGAVTGKPTVLVAEKLGAAGLELLREFANVDCSYGLSPEELRAKISLCDALIVRSGTKVGRDVFEASGGRLRVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLTAMARNIAQADASLKAGKWMRNKYVGVSLVGKTLAILGFGKVGSEVARRAKGLGMHVIAHDPYASADRARAIGVELVGMEEAMTTADFISLHMPLTPATNKMLNDEAFAKMKKGVRIINVARGGVIDEDALVRALDAGIVAQAALDVFTKEPPAPDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVIGALKGELAASAVNAPMLPAEVLSELAPFVVLAEKLGRLAVQLVAGGGGIKSVKVTYASARAPDDLDTRLLRAMITKGLIEPISSIFVNLVNADFTAKQRGVRITEERILLDGSPETPIDYIQVQIAHVESKFPSAVADGGEITVEGRVKDGIPHLTKVGAFQVDVSLEGSLILCRQVDQPGMIGSVGSVLGEENVNVSFMSVGRLAPRKHAVMAIGVDEEPSKSTLTKIGEIPAIEEFVFLKL >Et_1B_011880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26795930:26796833:-1 gene:Et_1B_011880 transcript:Et_1B_011880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGMRPGRRFTVGRSEDATHPDTIRAAISEFIATAIFVFAAEGSVLSLGKMYHDMSSVGGLVLVALAHALALAVAVAVAMNISGGHVNPAITFGALVGGRISLIRAVFYWVAQLLGAIAATLLLRLATGGMRPPGFVLASGVGDWHAVLLEAIMTFGLMYAYYATVIDPKRGHVGTIAPLAVGFLLGANVLAGGPFDGAGMNPARVFGPALVGWRWRHHWVYWLGPFLGAGLAGLVYEYLVIPSADAAPHHAHQPLAPEDY >Et_7B_055901.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:7007089:7007700:-1 gene:Et_7B_055901 transcript:Et_7B_055901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRIATTSPSLRFLGLIKQPDDGSGADNVQELELDERDVVWSSSSGSSAASSPSPTPSPSAGLRRQASSSTSSRHFPAAGGSVGLSALLAGDDDRVAPTTAAIPAAARRREKQQPPPPPYHQSAPVAVPAWPRGRTTTTTMEAAADDEDDDGEPVVPPHEIAARRAAAAASVMEGAGRTLKGRDLRRVRNAVWRTTGFLDL >Et_3B_030542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4804120:4804739:-1 gene:Et_3B_030542 transcript:Et_3B_030542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDPFFLKEDQDPSEMVDWMAMEYLGALDKTGGDQFTGVSLWGHQRRHELPHGQASVGDVGGIPSETMMAWHLQTGSYDKSPTLLKTTWTEVERHGEQKWSVIARDLPGRVGKQCHEELNAHKRLGNLQTHI >Et_2B_018872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1112941:1113987:-1 gene:Et_2B_018872 transcript:Et_2B_018872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YRYKNLHEGTHTVALCNLWNAASLFLRRGADGHGLEELGEVELGGDEPAVVDAPPGLAERVLDVPLGVQLRLPLRLGHHGRRDVGGEHVGALRRQRDGQGPRPAPGVAHRRARQLHGAVAEHRQRGGHRLRVPVADVQLHAVHALRRGTVDLAPPLEPGRVEVGADRLLVAAARRLRLRAPPALRVGECNRRGQCRGADDRGRDGERREVRGRWQRRGGGESPAPGAEEDNGLGMVEEASRWRSVDAGERRGGDAGARH >Et_1A_007389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34048213:34049060:1 gene:Et_1A_007389 transcript:Et_1A_007389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKISATLLLLPLLVLIFAAVILQDVIQVHSLFPVLQRLESHKFHQSQASAMACKDTALGASALIILSLVFMSFGKHELVNLMEQIQAWKLGAHSTRRRSGACREKGGLKNCAKKCEAVHYDGGQCDTFHDCLCVKCVDQGPPAQHTLS >Et_3A_026533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9344526:9356768:-1 gene:Et_3A_026533 transcript:Et_3A_026533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFATGPGQARASAAAPRLPPAVEAELAQLERRLGQVADLSARRVLAELGEADAVDALRKIGRSREVRTLSGYITWMAKHRPIARDAASESVASDPAVPEPGGSLLPFALAVVRFIFSSVYNSRVRGMFVPHITSDVFSIISILPSMGFQCRSSIFVTIRVSSTSPSYPSPSLTKIKKDKKKNPLLRPFKDESAYGPQYRDTVETEQVSSSLLSNHGLEVPLPLMAIDDACSCASLDDQYHDCIKLNDVEAEEVSSSLSNHGLEVPLPPVMAVDDGCSSTSLEDQYCDCIELNDVETEEVSSSLSNNSLDDLLALREVDDASSCTSLEDQYHDCIEVDTDSPAMASQAGQMPEQHGSPIEELVSIVPHGVKVLAENHRKNGQSELQNNMRTGGLKQKTISTHPREEPASSHLKHVIRYLQGVGPFGNPFGPDCAIMVPKPSPNLVVENAFRETAVSQLTEYELRKVASSQMCALENLEFIKRFLILSYLCQSNVEDEAVLTVDYIKSLKLMPIAQFESQIWSKFGRKHFMASNRPASDRTKKFDFDPSAAKVYHCNVKIRGDSVVKVLKGPYKENVRSHLHKVLGNDNIMVVTFLDNPSDANTNFDFYRQHYHKVAEDGIVLGLRCYRFFVYKDGGKEKKKSEQQGETNKISPVRCYFIRTESGWRGDEPYILSHKTVDQSRKLFMHIHTLPTLAKYMTRFALILSKTITLDVDLSTVDVILIDDEPCRDEHGKGTCDANGKRLIHTDGTGFISENLAKKCPKRIIKGMKSHDYVHRGETMPLLMQVRLFYNGYAVKGTLLVDKRLHDDTIVIRPSMVKVKGDPKLCGMQSLSSLEIVNTSHRPKRTYTSKSLIALLCYGGIEEEYFMELLQNSIEGVENASYDYKHALKLASAYANMDDSMLECMIHSGIPLDEPYLRSRLNFLAKQEMKGFKELKLPFDECDYLMGTTDPTGTLKPNEVCVILDKGQFSGDVLVYKPPGLHFGDIHLLTAKHINGLEKNFVGYSKNAILFPISGQRSLADEMANSDFDGDKYWVSRNHMLLKDFKKRSEPWDQPIKKDNNKQKGPGDFSGSSLERVLLDECLKTVFKPSHTVGISSDCWLVYMDRFLTKGVDEDEKTKLQEKMTELLKVDRSLRVSAYPHFMEKEGFPSYHSSSILGRMFDKVDEAISQQTENDKETVITRLPYFTGVKATPECTSLWEYRYEEYLTKSQKLLDLGDAERKSEEFKKLYQHYKSLLYGAETFKETTKDLSEVYAEACTIYRIVYDRAEVRNRVGMCRFVWTVAGDALCVLYATKYAAEHGDKVVPMPLSVGRQLYCRV >Et_2B_021743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:587749:588074:-1 gene:Et_2B_021743 transcript:Et_2B_021743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKYIIAGLVGSFAIAYASDVLVAQKKVFGGTTPRTVSDKEWWEATDKKFQAWPRTAGPPVVMNPISRQNFIVKDLKP >Et_2B_022532.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2530446:2531012:1 gene:Et_2B_022532 transcript:Et_2B_022532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDATAFYPATQPAPSAPASAAAASHAAAAAAVGAAGGNARGVGGGGRQYRGVRMRKWGKWVAEIREPNKRSRIWLGSYSTAVAAARAYDTAVFYLRGRSARLNFPDQLDGAAVADQPEDRKEGGGLTAAAIRKKAAEVGARVDALHSGGGNGGAPHPPPPLPLSHRRRAKNPDLNREPTPDTSDDE >Et_8B_059328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16694617:16697151:-1 gene:Et_8B_059328 transcript:Et_8B_059328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPGNQCEDVFARIFGTSSGSQSPTNKTRNPEDIFSEFFGASSTQARKPPAVETKLPCTLEELYNGATRKLKISRNTVKQNGQVVTETEILTVNIKPGWKKGTKITFPDKGNEHLFEPPADLVFVIDEKPHDVYTREGNDLLVYKKLDLVDALAGTTIKLNTLDGRDLAIQLTDVVAPGYELVVAKEGMPFAKENGRRGNMRIKFDVEFPKRLSEEQRRNIRKDLEESDEIRRPLELAYSSVTWKRTGVQDHGFAAVLFGP >Et_2A_017477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34753752:34755940:-1 gene:Et_2A_017477 transcript:Et_2A_017477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCCGGSVRCCCWLLVLTLVALAVTAAVVFVRNKNGGQIFPLPGVPDRKYSEALAVALQFFQVQKSGKLVNNEIPWRGDSALDDGKDAGLDLSKGMYDAGDHMKFGFTTAFTGTMLSWSVLEYGEAMRAAKQRDAALDALQWITDFLLNAHPSDDVLYIQVGDPKADHKCWERPETMAEKRPLTKITTKSPGSDVAAETAAAMAAASLVYKPINGTYSSTLLDHAERLFAFADGYRGAYTQTFPELSAYYNSTTYQDELLWAASWLYHATGNHSYLSYATGKNGKEYGDLGNPRYFSWDDKRAGTQVLLSRVSFFASKGSDTGKDQGLGLYKETAEAVMCILLPDSDTAAFRTEGGLLYVAEWNSLQHPVASAFLAAVYSDYMMTSGKSELSCSGKSFTGVDLRKFAKSQADYILGDNPMKLSYLVGYGNSYPQRVHHRGASIPADVDTGCDGQEWLKTSKPNPNVATGALVGGPFKNDSFVDDRENVRQNEATTYNSALIVGLLSSLISSSNVAESLS >Et_9A_063483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:457289:460348:-1 gene:Et_9A_063483 transcript:Et_9A_063483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRFELNGSRSVVLGRVGRHLVDAAEALGLSGAPLHADVSLLSPVRAPGVLDDDVVRAVSDGGDAVVEVGAAGPVEDAALVELEVAAGGLDGDADGLVGQGLDQGPLVVPRHVLVPGDAHHMFGVFLLVAVAFLHEVWVGLLGVQAAAAGDPLLPSVLQSMSSCSDSDVRLPVTIWLMPSTAATVEKAQQLPHWPWFFTSVTAPFFRQSTALGRSESSEDPPYLKLLVCSSLLRPLVGTLSPRYAALNSSCVRSANSLRPSQ >Et_4A_035696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3796107:3798438:-1 gene:Et_4A_035696 transcript:Et_4A_035696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTGAGYDRHITIFSPEGRLYQIWTAPDPCPALRAEYAFKAVKSAGVTSIGVRGKDSVCVVTQKKVPDKLLDQTSVTHLFAITKYIGLLATGLTADARSLVYQARNEAAEFRFKWGYEMPVDVLAKWIADKAQVYTQHAYMRPLGVVAMVLGYDEEKNAQLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKDDPQFTYDETVQIAISALQSVLQEDFKATEIEVGVVRKEDRVFKALTTEEIDQHLTAISERD >Et_4B_038484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29363608:29371321:-1 gene:Et_4B_038484 transcript:Et_4B_038484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSICGHPAWHWQCENLLSASGKAADAFGQATSPTSFDRGVEVIIQITYASVIKMGATLGGGTAGPIILILGPCILLQLEYPVRRKCSPLLESALLPSGSASTVDEWKAVPDIWRTAAEKYADRVAVIDPYHEPRSEWTYKQLEQEILNFSQGLRAIGVAPDEKVALFADNSCRWLAADQGIMATGAINVVRGTRSSDEELFQIYTHSESVALVVDSPQFFNRIAESFISRINARFIVLLWGDKSCIHNKAVKDTPLYDYKDITELGQESRNSLEYSRQQGDQHVFETITPEDVATLIYTSGTSGTPKGVMLTHQNLLHQIKNLWEIVPAGPGDRFLSMLPPWHAYERACEYFIFTYGIQQVYTTVKHLKEDLQRYQPHYIISVPLVYETLYSSIHRQISSNSAAQKVIALALIKISLLYMEAKKIYEGTVLSNNPVEPSFIVYVVKWLWARLVASFLWPLHNLAKKLVYKKIHSAIGISKAGISGGGSLPMHVDKFFEAIGIKVQNGYGLTETSPVVAARRPSCNVLGTIGHPIKHTEIKVVDIETGEVLPDGSKGIVKIKGPQVMKGYYKNPSATNQALDQEGWFNTGDIGWIAPHHAVGPSRKCGGMIVLEGRAKDTIVLSTGENVEPAEIEEAASRSNLIHQIVVIGQDQRRLGAIIVPNSDEVLAEAKRKSIVDENDKLTKDKVMNLLYDELRNWTEHCSFRVGPILVVDEPFTIDNGLMTPTMKIRRDKVTAKYRREIEALFK >Et_4B_039110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7956163:7957525:-1 gene:Et_4B_039110 transcript:Et_4B_039110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPKREEPRMGRKAGALYINPKKFGAVAKPCMPEMVAFLNCLALNKQNDDKCLRQKDLLVACTQTQKGKPKNAAKTINYHLQRLGRDKNMKSNIRLGNDHEPEVA >Et_8B_060549.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20749538:20750488:1 gene:Et_8B_060549 transcript:Et_8B_060549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLTHNSSSSSSWDLDMSLGSHHHPLLFDSHHHPASAPPPPPPIPFHLSSSSSHPPLHLAHHHHHQQQDPSPSSSLFPATHHHRLHHLGLDIDPSHHHRQSSYEQQQQQQQQQHDEQAEEQPQQEEEQRVGLEEVEEELGAMKEMMYRIAAMQPVDIDPATIKKPRRRNVRISDDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIRYIKFLKRQVQDLQHQPTPPTHQFPAAGVASGSGVVQAVPPGRPAGPFLPLGPGPLIDWAGLVRPVDIHGPTSSSSSSSMGGALGFGFSSAGQSSHGGMH >Et_9A_063359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21406487:21408276:-1 gene:Et_9A_063359 transcript:Et_9A_063359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPVQTREVDGDRNTLRVLLATNCHLVYTEKDEICRFDSFQAFKEIFSLADQNKGLTSVALFGLVNIRDERLNRMFQVNCNCSQVQLKGMKKPEEGCSKGEWVLEAEPWGAGAHVAVANLFFQQGTMV >Et_7B_055035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6604735:6607283:-1 gene:Et_7B_055035 transcript:Et_7B_055035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHTIAASHGVVHQHHRLPPPLSHCAPPHRHGRGASCVVVRATSWTATSVTKTATTDTLSAAFWDYNLLFRSQRSECPDPVALRVVEGAVPPDFPAGTYYLAGPGLFSDDHGSTVHPLDGHGYLRAFRFDGAGRSAVHYSARYVETAAKREEHLAEEASTWRFTHRGPFSVLQGGRQVGNVKVMKNVANTSVLRWGGRLLCLWEGGEPYELDPRTLKTLGPLDLLGLGNGGGDEAARPDDGEGASRRRRPWLHEAGIDVAASLLRPILSGVYRMPTKRLLAHYKIDPRTNRLIMVSCNAEDMLLPRSNFTFYEFDADFGLVQKREFVVPEHLMIHDWAFTDSHYVLLGNRIKLDVPGSLLALTGTHPMIAALAVDPSRQSTPVYLLPRSAEAEASGRDWSVPVEAPSQMWPMHVGNAFEERNARGGLKIHVRFSGCSYQWFNFHKMFGYNRQNKKLDPSFMNIPKGRELLPRLVQVSIDLDKIGMCRGCSVRRVSDQWSRPADFPVINPNFANRRNRFIYTGAASGSRRFLPYFPFDSVVKVDASDGSARLWSTEGRKFIGEPIFVPTGGDREDDGYILLVEYAVADHRCNLLVLDARKIGERNALVAKLEVPKHLTFPMGFHGFWADE >Et_1A_008313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6216516:6219899:-1 gene:Et_1A_008313 transcript:Et_1A_008313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAPLPAAAAVWAFAAAACVKLLLVPTYRSTDFDVHRYWLALTHALPAQQWYTDASSEWTLDYPPFFAYFSRLLALPAPLVDASLVTIPVTDAPPFGHLLYLRLTVAFSDLLLLASVLLLARDAQRRRRPFLALVLVLWSPALIAVDHVHFQYNGFLMGLLLLSLHFLEQGRDLAGGVVFAALLCSKHLFLVAAPVYFVYLFRHYCCGRGVVRGVGRLLLIGAGVAAVFAAAFAPFVYYGQMKQLFSRLFPFGRGLCHAYWAPNFWVFYIILDKILAFLLRRLGFNIQIPEASFTGGLVGDSSPFSVLPKVTPFTTFVLVILAMTPCLIKAFSNPQPKHIIRWVSYACTCGFMFGWHVHEKASLHFTIPLALIAMDSLDDARHYFLLSIVSCYSLFPLLFEDQEYPIKVLLLLTYATLMWVGFSSHFAGNSTHEGKKVNQSSSMVKKNNFIGWIGLIYLLGMAAIESWSRVFHHHVFGDRLPFLPLIMVSFYCGIGIMYSWIWQLIWVFSQPADYHVHC >Et_9A_062062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19416085:19420241:1 gene:Et_9A_062062 transcript:Et_9A_062062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACGVAALVVMLLASALLPSAASSRVVEGEASSGKGSPAPNVAAGGSSKSDKPKESGQSSTAGKQAESKGQQEAPSPTRAPKDSKPQASVTSPPPPAKDKDVPKESPPPPAVQDKDVPKESPPPPPGGSGPNDGGQAKGGTDHEDMGNQGKDDDTEKMKEVMKKCDDSRSPKCSVGEEFSACLQVSDNASISSFVIVLNKGRNDITVSVKEASNVDIDKNPLPLAKGAFGQMGIKSISPNGGDVILNDGNGDCTLHVGQSVSDWQQQFQQFAEYATHLNPIYGAYLFGFTVVLVGAVCLCCKFARKRGNGGVPYQQLEMSAPAPNASGADNTTSTADGWDEGWDDDWDDEEAPARPSDKNAASSVSGNGLSLRSHANNKDGWDFHLIKWQIHHLGWLDPL >Et_6A_046991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23869582:23872466:1 gene:Et_6A_046991 transcript:Et_6A_046991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYAPENLRSIAVNCNINSFAIARPLPSLATRPFRRESTTVLYSVTEKKAYTLRQPIRSWYFVGSAHGWIITADEQSELHLVNPITGDQIALHSITTIKQVTPIYDDNGALNSYYSWATCNSELDPPDNFGLSQLREYFFDKAFLSSDPSTGSYIVVLIHNTRGKLSFARAGDDSWTPLLPHKHFTDCFFKDDLMYALTRLGAIHAFDFSGPAVKQTVVLEEMKDYTLEIMQIVQAPSGDLLQIWWEGECVRKGKDVSHAKYPRPFSDYTPDDDEDDDNNIPLQSSQANRLNHYLESEKDDSEPYRHYSLLAKVYRVDLAAKEPVEISSLGENVLFLGQNQSVCLSAQEHPQLKANHIYITDMNQYIILEKDMERDITALDLDNNSREKIVCPLIWSNWPNPVWITPNPRKARLQTGRNTGERRERIPMAMPEAARWCTTGEGPADGKPWSLSKVAAKTWNYYFDLIDIFMFGLLGRIRAICCLIVQKTSLDLQRNMNHQRASWPSVELIVPRHSFFLLSKRSRESLMGVPFQFLQKKEKES >Et_8A_057763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6978012:6978410:-1 gene:Et_8A_057763 transcript:Et_8A_057763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDITASVKPEYPVVDRNPAFTKVVGNFSALDYFRLTTISAVSVTVGYLSGIKPGIRGPSMVTGGLIGVMGGFMYAYQNSAGRLMGFFPNESEVARFKHRLP >Et_7B_053717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11391846:11393949:1 gene:Et_7B_053717 transcript:Et_7B_053717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPRESNNGGSDENNGGFMATCRLQLCSAVEKLRRSVVELAGKLGKIARDDPRRVAHSLKVGLALTLVSVVYYVTPLFNGHTENTMWAVLTVVVVMEFTVGGTLSKGLNRAAATLLAGFLAVGAHLVADLCGNKGEPILLGVFVFLVASAATFSRFIPAIKAKHDYGVTIFILTFSLVAVSSYRVEELIRYAHQRFTTIVIGVAICLVTSIFVFPVWAGEDLNELTASNLDKLADFLDGIESKCFGENTMRENFEDKAFLQVYKSILDSKTREDSLYSFARWEPGHGKFSFRHPWGQYRKIGVLYRQCASSVEALASYVVTATKSPYPDANPELSLKVRRVCCEMNLQSAKALRELSLEIRTMTVLDVTKDMSAAMESANRLRSELSEDTTLLQVMHVSVIASLLSDMVSQIKKIAESVENLARLAHFKSPKRSQVGVVIDI >Et_8A_057356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2451921:2454115:1 gene:Et_8A_057356 transcript:Et_8A_057356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVASTSHGVSVLLLLVAATLACQCHVAAAIFQCNCSGAHGCRGLGVNYGTVADDLPSAARSVALLRAAGAGGVKIYDANPAILGALAGTGIPVSVMVPNEAIPSLAASRDAADAWVAANVAPHVPATRVAHLLVGNEVLSNRAIAGSTWRAVVPAMANLRRALRARGMGKVKLGTPLAMDALAASYPPSAGAFREDVADAVVRPLLRFLNATGSYYFVDAYPYFAWAGNRQSISLDYALFQGDASTRYVDPGTGLTYTNLLDQMLDAVVAAMAKLGYGGVKLAVSETGWPSGGDGNEAGANVRNAATYNRNLAARMSTNPGTPARPGAKMPVFLFSLFNENQKPGPGTERHWGLYYPNETKVYDVDLTGRKPAESYPPLPDADGQDSAGVWCVLAGGKAMEKAAVAAAVKYACEQGSGTCSAIQPGGACREPNTLRAHASYAFNAYWQQFKGVGGTCFFNGLAETTTKDPSHGSCKFASSLDY >Et_5A_040598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10105524:10110233:-1 gene:Et_5A_040598 transcript:Et_5A_040598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGAGTGAHPSTPFRALSFRPLAAPFLPASSGKVIAPKRCFIVSSRLAWVEDELIEIENSQEQSSVKSKKRAPLRRGKIYPQLPVPEHIPRPSYVGSKELPELCSVRQIHDAEGVAGMRAACKLAARVLDFAGKLVKPSVTTNEIDAAVHNMIIEAGAYPSPLGYRGFPKSVCTSVNECVCHGIPDSTQLQNGDIINIDVNVFLNGYHGGTSRTFVCGQADESVKHFLKAAEECLEKGISVCRDGVNYRKIGKKISKLAYFYGYYVVERFVGHGIGTMYHSEPLILHHANENSGRMVEGETFTIEPILTMEKTECVTWEDGWTAVTADGSWAAQFEHTILVTKTGAEILTKL >Et_1B_011237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19156758:19169545:1 gene:Et_1B_011237 transcript:Et_1B_011237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAAPLLLPAAGKVHHHGCPGCAQERKLEMSKGIPYREFFFVGVTTLASSLPITFLFPFLYFMVRDMKVAKSEEDIGLYAGFLAAIYMIGRMLSSIFWGLVADRYGRRPVIVFALFSVVTLNTLFGLTKTFSVALTTRILLGVLNGLLAPMKAYCIEVCRTEHQALGLSVVHTAWGMGLVIGPALGGYLAQPADKYPHYFSKMSAFGRFPYLLPSLVVSLFAAIVLVACIWLPETIHKHNCFESNANSVNPLVSQDNVSSSTQNKSLIKNWPWISAMISFSIFCLHDTAYGEVIPLWAVSDKKHGGLSFSSDDVGQVLAVAGASLLVYQLCFYQWVDKVLGTVNSTRIAAALSLVIVVSNPFMTYLTGVKLSAALYPALMAKSVLSTTIGTGLSLLQNVAVRQEQRGAANGISTTAMSLFKAIAPIGAGDQVVFLVLNLILFLGLLSTFEPFLVVRMIPEDCT >Et_3B_030333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32246969:32247617:-1 gene:Et_3B_030333 transcript:Et_3B_030333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLLVAPKPSVFFSIRGAQSRKSRCLVAAAGHGKGNADGVRQVLLPSKVLKMARTSLFAGAVLVQPLPCHASTAATMELYRAVAVLGDLDPATAKTVAGVAGPALSALGFLFILRIVMSWYPRLPVTKFPYVLAYAPTEPFLAVTRKVIPPLGGVDVTPVVWFGLVSFLNEILVGPQGLLVLLSQQQA >Et_2B_022560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2678027:2680737:1 gene:Et_2B_022560 transcript:Et_2B_022560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTALLLALALFSLLCYGFITYYRRLESKGVVTIEWPVLGHLINLAANIHRLHDWITSAFAAEGPNFEFRGGITGVKYFGTCDPSNVRHIFTTNFANYPKGDHFAEIFDVLGNGIFSADGESWRSQRAMIQKLFTASRFRAFAARCSRDKVEKSLLPVLADAGKQGNSTCDLQDMFQRLAFDIACIMIFGVDPGCLASDMPVVPFADAIGDASETCFIRHVLPAPWWKLMRRLGVGPERKMAAARKVIDGFVAESISQRRADNLKDSADDLLSSFLCQDNFSDEFLRDMVVTLLVAGRDGTATALTWFFYLLSKNPRVEQKLLDELSLLIASREEEEEAGANNNNNNNGFVAFDASELRNLVYLHAALSEALRLYPAIPFEHKSAVADDVLPSGHEVKAGETVLVINYSMGRMESVWGKDCMEFRPERWITDDGKLRYEPSYKFFAFNTGPRTCLGKELAFMQMKTVAAAVLWNFAIEVVPEQVVVPKLAILLYMKNGLAVRVLGLTPPGPLAAPRVGVSVDDAAAKDVEDLGEVVAFGVVGEVRREDVPHVGRVAGGEVPDAAEPSV >Et_2A_016052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20585603:20592465:-1 gene:Et_2A_016052 transcript:Et_2A_016052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVVGHAVAAIGGGVRAETPLEVAGKADPPPEEGVSVMGSAETMEGDEDEGEESDDDEEGEGHGEGEEQDTEEEEEEEEEEEEEEEEEEEEEEGEEGEKWLKHYSSMHSILLVGDGDFSFSLALATAFRSGANLTATSLDTYEDLKTKYSKAESNITELKRLGAEILHGVDVKTMRLHIELKSRRFDRVVFNFPHAGFKGKEDQVRLINLHKKLVRSFFDNAFHMLYPYGEIHVSHKVGQPYDRWELESLAADVSLVMVDKVCFQKEDYPGYDQKRGSGSRCDQPFPLGPCCTFKFQIVDLKKRKKLKQNKAGPFSLIGGQNARADNLAKHIRPSHLPPLVQAWPFTHFPPVVHMVPVLMALQHCVVVQRQQPGFSLNFKSPVKALYINQQGTIQPALSMPGPSLKALPPPGGIPPAVGRITRPNLVAPQDQPLHVQRTIADPPGRDDYSYFDYQLEMQRQHETQRQLEMQRQHEMQRRLEMQRRLRLEMQRQMMMPGATGLIISSAFLEDRHREYVQKKELLRWKMAWCGCTGEVIGGGAGPEAPLEVAGMEKPPADGSPELGVEGKGSVPAARVLAMIAEGEEKEGGAESDEEEEGVKWLKHYSSMQSILLVGDGDFSFSLALATAFGSGANLVATSLDTYDTLRFKYSEAESNVKKLIRLGTTVLHGVDAERMKFHTDLKNRRFDRIVFNFPHAGFKGSEGQMHMIKLHKELVMGFFRNARHLLRRYGEIHVSHKTGPPYDKWELERLASESSLVMTEKLGFLKEDYPGYKHKKGDGMKCNRSFKLGPCCTFKFQISDLKKKKILNGNMAGLTISSIGGNEAHPDKLRTSPVKAVQVLIDLDPNQGTVRPSLSIPEPSPDALPSACWCRDRDQYSLIQKQLAQVTRYQYRKSLQREYEIQR >Et_3B_028681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1812675:1816480:-1 gene:Et_3B_028681 transcript:Et_3B_028681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPREVLLERAKLAEKAERPAVVVEIVSNIAKKYKKLSREEIELFSDGCKNMIGFRVSTLVEQGMTSGNSNMVEELKKCCNNVIDTVEHLLKFASDVEETLLYHKMRGVCYRYMAALEVGPEKNEPSDKSLKAYKASKDKISPSSETVLGLVINFSIFYHDILDLPDRACHLLRGALEKAQAELDSSKGKELKKSTVFIQQCRDALDHLISASDDDLDETQKARVKIHTSGLYKEDGSGLIATKPPSSPVYRERPETSKVFLQSKYKGNIFHKESPRPIFGHEKQTESHQARALPSSTSHDLKDRIRTGIEIIEGKIRDEGNVHALRKLGRGKAYLKDLSLKLQS >Et_10A_000404.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:9830396:9830533:1 gene:Et_10A_000404 transcript:Et_10A_000404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHSSPLYFITGALRVSSSVFFHTPRPPPFRLDSPPPAQGREYG >Et_8A_056259.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:18926078:18926371:-1 gene:Et_8A_056259 transcript:Et_8A_056259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGGERVSSEVFHVGGRYSWQVELYPNGADDTKDAGNSVALYLRLLSGYTKRRVRVQYKFSLLDLATGDAAYGLPAGRRDGRPGLPRRPRVGELAA >Et_8B_060659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4114678:4115640:-1 gene:Et_8B_060659 transcript:Et_8B_060659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQESDESPRRPAVKKSAPAQPWSHVETMHLIDAYEERWTALRRGQLKAHQWEEVAAEVVERCAATPGAALLQQQPPPPSFTPSTAPPRKRVAYEAFQAKAAAAAAAAAEDDKVKEEEETARRPSRSGGANAELSAVLRDFSEGIMRLERRRMEVQWEIERGWKETEARHTRMLQDAQRQLRDTIGAAFAVPPKKARRDHGSNGDSSY >Et_5B_043968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18427153:18429845:1 gene:Et_5B_043968 transcript:Et_5B_043968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTSKKDSLDPTVNPMTGSASPNQRADENNCSVVSGHYGTSASSHQECWRSEDLNRSACSDDSKEVGHLKKSQSLGNILQKDRDRSYSEDTECDIIDHDFNSTAAVGEATELCSTKNVDAFGVLSDLISHDICEPSGDQAMDSDSHHMSYSQSKFPRSQSAVFQNDCTSDQEGSVDSEILGSRCRSVDGLCSLFDEKVGYLSGSEMHRCQSNLDLYSGPSQDIYRTLNMEDNGSLGCSDAADEGQRSSGSAEEQFVRDGMLVGHEYWDVKYISGDHSVNPVAPFCTDSGDVSHPFAIDGGLNEAMDQDIEEKLQNRDSTLHKQSLVVEVPDSWNMSNTNDIIEEPEHSKTDINGDPKELTPRTYSIKRIEEWISQIEMDDITVDEKGESSSSALAKSTEPITGIPAVRPDAKSPLGMEIAYTYISKLTPVSSSAQLANLGLVAIPRLSAFAGLRLLNLSGNSIVRVTAGALPKGLHVLSLSKNNISTIEGLRELTRLRLLDISYNRISRIGHGLASCSSLKELYLAGNKISEVDGLHRLLKLKVLDLRYNKISTSKGLGQLAANYNSLEAINLDGNPAQKNVGDEHLKKYLLGLLPNLVVYNKQPIRATGSKDVSDRHSRKISSSHRSDRGVRSDRKSSRLVGGSSSHKTQSSRHARSGYASGSGSLMKHSRGRNFPISLVGSRPAEQVSVVDLAKQTQIAQ >Et_10B_002771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1095989:1096797:1 gene:Et_10B_002771 transcript:Et_10B_002771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMHFIGIFDGHSRTHVHLILGEELAVERLQAPRPRGWSGRRQFRGASPSSSTRAGPWVQREEAVLWRAFARVDVLVDLACACREATLPHCACPRSGVTALYVGFTTVVAVIVGNRIVVTNYGDSAPCSAAGLTVPLSDDHKPDRPDDRSREQDGETRIISACLISRSQC >Et_1A_004635.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:24278596:24280603:1 gene:Et_1A_004635 transcript:Et_1A_004635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVAAWTPYDIQPVNWTMESAAWVPGFPVESASTEIYGSCLQTYVPSSTGGQLIEYTADTQEEPIVKVAQEFQVDFHNMEMKIHNPNLMAIKVFAEAADEFKIDVDKMKMKIHRYPPCIRALDGRYTKPTIVAIGPYHHYQEHLKPVEQVKHVAAYQCLRESGYSVQQMYDAVVSVASHARSLYDKDVVACVNDNDFLPMMFYDACFLVQYMLTCTRTYHDMNPSLRSFFDSYDNDIFHDLMLLENQLPWIVVKAVMEFRSVRLEELVDTLKGCLQDHKDHEKKSFELDKNYEPPHFLGLLRYYIVGRSKTKRPALPETRESISFSVSAIELSEMGIKLTANKATELIHMGAKKKGPLFGQLFLAPLSLDEARASWLINMAALELCTTPNFQDDEDEESAVCSYLLLFAMIVDRVEDVHELRRTHLLQGGGGLTNKEVLGFLTKLQGLRLGTLYVRTMEEIQDYRSKRWPWTMLYGFFYRNSKTIKVIFTIIGPIGGILGTIAGVYFKFR >Et_1A_007470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34919786:34925254:-1 gene:Et_1A_007470 transcript:Et_1A_007470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAILPELATQVFIPVAAAVGIAFAVVQWVLVSKVRLSPERRADGGAGKSGPSDYLIEEEEGLNDHNVVAKCAEIQSAISEGATSFLFTEYKYVGLFMGIFAILIFLFLGSVEGFSTKSQPCHYSEGKTCKPALANAIFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFIIAFRSGAVMGFLLAASGLLVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINLEFTPMMYPLLVSSVGIIGCLITTLFATDFFEIKTVNEIEPALKKQLIISTAVMTVGIALVSWLGLPYTFTIFNFGVQKSVQSWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGILFKWF >Et_2B_019114.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:10869799:10870215:-1 gene:Et_2B_019114 transcript:Et_2B_019114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPHPHVPTDEGSNAYCTIMWEVHPAHQKVSGVRHTRLHRRLLRCPSFSASPTAPASSTPLDDQYVLSKSMSLIYVYMIHTCTIVLRSMVDLDLFIMFLDLSRSCVDLSNLICNLLIMFLIYFWIKLNLKMLLFLTI >Et_10A_000219.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18407074:18407364:1 gene:Et_10A_000219 transcript:Et_10A_000219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSESENANEAPVETIVPLPREYNSYHIFGAAQGYPYLIGTKMNVLPRYTQFFESAIFSLDIKTLKVERVCLANTCAPGYVFPYFGFPPFMSPRRM >Et_9A_063204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15305986:15307026:-1 gene:Et_9A_063204 transcript:Et_9A_063204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGDVGMVVFAPGGPFHPHQQRTAQPDDPMFPLVAAAQRALDADASAGAAASKPAAPGPAIQFWQPQTTAEAADGGSSPSGKKALGVLDYGRGRADSGSGSGGATCHDCGNQAKKGCEHNRCRTCCNSRGYDCDTHVKSTWVPASRRRERNQQIAGSGAGASPPPAAAKKPRLACQTTAAAATNSRTSTSNGTTPRSIDASSSHQAASFRETLPRQVRGPAVFRCVQVTSVDDGQREVAYQAAVTINGHLFRGLLYDLGSEDGRATSPAAAAQLGSSDLHLGSASAAAAHDLYGGGGPLILGGLGYGNTS >Et_2B_022680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30152205:30155712:1 gene:Et_2B_022680 transcript:Et_2B_022680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYGSLYSRSPSPYRGRPKARSRSQSPARSQSRSPVPDPRSQARSRSRSHEREEDATNHGNTLYVTGLSSRVTDREIKDFFSKEGKVVSCHVVLEPHTRVSRGFAFVTMDTVEDADRCIKYLNNTDMEGRTITVEKSRRGRPRTPTPGSYLGHRYERRDRGRYRRGYGGRDDYYGNGYRRSPPPMYSSYRGTRDYPSYRDSRDYHPYRDTRDYSPPPRDPRDYYDGRGGRGYSPPYGGRARRERSISPYRMSERGYGGRRAGGGGYDR >Et_6A_047592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7929574:7930585:1 gene:Et_6A_047592 transcript:Et_6A_047592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYRPESNTLLRSDSILEYVLETTVYPREHECMRELRLLTLQHPWGFMGSSPDQMQFFSVLLKMMGAKNTLEVGVFTGYSLLATALALPDDGKVVAVDSNREWYELGRPLIDKAGVTHKVDFREGDALDRLDEMLLAGDGDEGKFDFAYVDADKQRYAAYHERVLRLVRVGGVVAYDNTLWGGSVAMPRDTPGSSELDRTVRDILIEFNAAVAADDRVEACLLPLADGVTLCRRVK >Et_1B_010137.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25574269:25574358:1 gene:Et_1B_010137 transcript:Et_1B_010137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVSLAWAALMVVFTFSLSIVVWGRNGL >Et_2A_018301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2277848:2279742:-1 gene:Et_2A_018301 transcript:Et_2A_018301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHQSKFVDELIKNAAYIGTPGKGILAADESTGTIGKRFSSINVENIEENRRALRELLFCAPGALQYLSGVILFEETLYQKTKDGKPFVDVLKEGGVLPGIKVDKGTIEVAGTDKETTTQGHDDLGKRCAKYYEAGARFAKWRAVLKIGPNEPSQLAIDLNAQGLARYAIICQENGLVPIVEPEILVDGPHDIERCAYVTEMVLAACYKALNEQHVLLEGTLLKPNMVTPGSDAKKVGPEVIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKHNTKKPWSLSFSFGRALQASTLKAWAGKEENIEKARAALLARCKANSEATLGTYKGDAAVGEGVSESLHVKDYKY >Et_1B_014019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27674146:27676095:1 gene:Et_1B_014019 transcript:Et_1B_014019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVPEDQPPVATHVVVWPQHVPADDHALLPAPPMMLDPAPATGFHEPGAVDAVERSHVVTTMEIQPPQLVAPAPEQAAYGFPAATGLDDALSLFEGMFLDDPEGQALYQQFMRGEDDLAAFDVGSNATGDTAAATRVDDSFQGMSAHGAPGSQPLNDQFMMNDGEDDGLAAIFGATDVGNASGGAAVEPDDVEEHPVFVPFIPGQLDCTNCHTVWEDLLESANHKLYLVVHGTCLGDFHHLISDRMYIGADGQTTTSEQMYYLDLQQRTHDWVQSFIANLVEAHAGQLKELPSTSSAETTCWYSTTTPHRRLELDVLKHILNAPATNEEAVAVPQTDPDQAPHQQIIQQAVENSQDDDMFDGTSWHGLNPPAMDTSDISVEDGEYYSLLAEQRKRLSNMSMADVIKLLHLSKEDAAKQLKISASSLQRLCRKNEAGRWPSRRINALSSKIKKLEQAALRNVGTTGLLSIREQIDKLKHDMEQVYESFMKGVLENQMKKGAGSSGSE >Et_2A_016388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24100139:24106428:1 gene:Et_2A_016388 transcript:Et_2A_016388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSVSGGSGPGGVSPDAIIEWLQDEMGYPSAPPAPEQLRKICRGNMIPVWSFLLRRVRSERTVATARRNILVHGVAARRAREGGPGAGVGAGDAAAREAEARERDLAAEEAERLRGVVRRQRKELRARIAEVAREEAERKRVLGERSNARHKQVMLEAYEQQCNEACKIFAEYQRRLHQFVNQARDVRRSSIGVSGAADSVDDMKLKSDREDLYSSVKSNRLSEDLVETADERGIRKACETLAANMIETIRSSFPAFEGSGINSTCQLDAAKLGIDLDGEVPTDVKAVALDSLKNPSLLLQSIITYTSRMKTLVHRETDKIDIRADAELLRYKYENEQVIDAASTDASSPLPYQVYGNGKTGSQLSTRGTYDQLLERQKEHVQQFLATEDALNKAAEAKVLSQKLLQRLHGTVDMAGSKKLTTGNTSQTVTNSRHLELDVWAKEREVAGLKASLSTLTSEVQRLYKLCAEWKEAEDSLRKKWKKIEEFDARRSELECIYSALQRANMDASAFWEQQPLSARGYAGQTIIPACNSVVEMSTNSRDLIERELSAFGQSLDNSLCKLPATPQALLEALGSNGATGSEALASAEKHAALLTARAGARDPSAVPSICRISAALQYNSGTEGTDSGLASVLNSLEFCLKPCGSEASILEDLSKAINLVHTRRNLVENDSVLLNRAHRAQQEYERVANYCLKLASEQEKVVSERWLPELRNAVQEARRCFEDCQRVRGLVDDWYEQPAATIVDWVTIDGQSVGAWINLVKQLHMEISRRTLAMSSIGDD >Et_7A_051136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14833218:14833724:-1 gene:Et_7A_051136 transcript:Et_7A_051136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMVATPVQLRTTGRLSFSASQNRRTGRRFAAVWASAEAMATEKLGIKVERNPPESRLSELGVRQWPKWGCDKSKFPWTYSAKETCYLLQGKVKVYPDGHGEEFVEIAAGDLVVFPKGMSCTWDVAEAVDKHYNFE >Et_5B_045323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18317616:18318337:1 gene:Et_5B_045323 transcript:Et_5B_045323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGRMQILLGIPAEIWELFVSSVVASAAHEWFSVAFFLCPADNAAIRPRARGDGGEAEAPRYNTFTFGEYRSQVRRTSSSRAGSSAFNGSACRRRDRTKYVGPFSLLGLES >Et_2A_017039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30403098:30405404:-1 gene:Et_2A_017039 transcript:Et_2A_017039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDTKRSAVTVAVLVLAGCHVALALSKVPPPHDGGQAARVEPAGYLASLAATVLAAYVASAACAGGGRRRMSLGSLLAEARRTAFLAAAGATAGLLAASASVALVGWLGPVLFAHSDIACRMSLVVAAAEEGFGGAAAVRRAEALAAGRRATGISVGLLASVIEQAPAWLCGDGTPALVVGPAVLAAKVVACCACAAFYYDCRRRHDKVGASRSMAECCKVGWDRDVDVTEEPEVEELGGVGGNNGKPRLNDSDMGGSMTGPDTTLVLLELSPKLAPALGITTADDDLS >Et_3B_030284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3276006:3279314:-1 gene:Et_3B_030284 transcript:Et_3B_030284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLACLFRCPQEDVEEEDGDEKEGEQFRINHQVASEDRLKSAESCPLKTQTMIHMEGSQLIGRHDEATIFTFRQLADATKNFRQDCLLGRGGFGCVYKATLSDGQVVAVKQLDLNGLQGNREFLVEVLMLSLLHHPNLVNLYGYCVHGNQRLLVYEYMPLGSLEDHLHDLGPDEEPLDWKTRMKIAAGAAAGLEYLHDKANPPVIYRDIKPSNILLGEGYHAKLSDFGLAKLGPLGDETHVTTRVMGTHGYCAPEYASTGKLTIKSDIYSFGVVFLELITGRRALDSDRPPGEQDLVAWARPLFKDQRKFPKMADPLLKGHFPRRGLYQALAIAAMCLQEKAKNRPPIREVAAALSYLASQTYERNNAAPQRNLAGPSSSRDLDDRISQDTKMPNEQGALMPMHAKTNHVVPEVKETCCSGSHRAGRGRVAPNGIDRECALADANVWAEAWRRQEKGSIVR >Et_10A_000564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12798404:12808473:-1 gene:Et_10A_000564 transcript:Et_10A_000564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYDAESCDANNRSGCAMQLAHSAHCVQMRLLPLPSNAEMSCGVVPTARSKTYPRVSHVVEQIVRLLLVLVIELPMLQELGKQNPLILQLILDNQDEFLGLLNEESPNNGTGGNIHDQPAAATPHALTVTQEEFEAIQRLEAMGFTRELVLEVFFACNKGEELAAKYLLDEANYLSDEEQREQ >Et_1A_006047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17044723:17054216:-1 gene:Et_1A_006047 transcript:Et_1A_006047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRTPARAASPGPSPAFSPSPSPPPLMDTRQPKSLGCRCWSVQEMPREEDDDAEEKRVILVAGAGRHEDLVPVVPAAAKNKNSSPDAVLKPQPEPEDVTAARCNRNDGKRWRCKNAAVPGSPFCDRHVSWSTRQRQPRPKKQQSKKKKNSGVVKPLPEEEEGKEAEDKDRGDGSAGAPLLVRDDDDDDDDSSYYYGRPRRAAMCGRNDGKRWRCKNAAVPGSPLCDRHVSWSTRQSLPRAKKQRKKKNQNGFWSCATTATASN >Et_2A_014542.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21198220:21198505:-1 gene:Et_2A_014542 transcript:Et_2A_014542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVLLDTHVEYFNKGDRIL >Et_4A_032919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15610581:15618089:-1 gene:Et_4A_032919 transcript:Et_4A_032919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTRGGGAGGDPAKPPSASDPSLGFLTKRDTEVKLPRATRVKNKTPAPIQITAEQILREARERQEPEIRPPKQKITDTHELAEYRLRKRKEFEDVIRRVRWSVSAWVKYARWEEQQRDFARARSVYERALDVAHRDHTLWLKYAEFEMRNRFVNHARNVWDRAVSLLPRVDQLWYKYIHMEELLGAVANARQVFERWMAWRPDTAGWNSYIKFELRYGEVERARAIYERFVAEHPRPDTFIRYAKFEMKRGEVERARRVYERAADLLADDEDAEVLFVAFAEFEERCREVERARAIYKYALDRVPKGRAEELYRKFLAFEKQFGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKERIREVYERAIANVPPADEKRYWQRYIYLWINYALYEELDAQDVERTREVYKECLKLIPHKKLTFAKIWLMAAQFEIRQRNLKSARQILGNAIGMAPKGKIFKKYIEIELYLGNFDRCRTLYEKYIEWSPANCYAWRKYAELEKNLSETDRARSIYELAIAQPALDTPEVLWKEYLQFEIDESEFDRTRELYERLLDRTKHLKVWISYAEFEASAGLSEDSESEEKKSEVGYQEQQLERVRKCRAVFERAFDYLRTSAPELKEERAMLLEEWLKKEVSFGDLGDVTLVQKKAPRKVKRKRPIPTEDGSTLAYEEYIDYIFPDEVTLAPNLKILEAAYKWKKQKTGDDDEEDGAIIHYMLNDPKYNENRKVGGKIQCIYAEDLKQPLTKMELEK >Et_10A_000392.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:7999456:7999880:1 gene:Et_10A_000392 transcript:Et_10A_000392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPIRLLQILTMGLALVNMERRCPLGCRLGGGECHYACLHQCIPVREPYQSRKEESSEQRPMYRLAFWHSRNVFPLRSE >Et_1A_007376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33970104:33975648:-1 gene:Et_1A_007376 transcript:Et_1A_007376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSTSSDEKLKLVFCTYKGLACVVGEPCFCCVNGTPDPECYCTREECRNHCRVCNPKCPSKTKGKGGPLGRLSLLESGGARNSYPNSLTLTNSNTNANVVNSTSLDESKITVVFCTYKGISCWVGEPCYCCENQKPEKECWHTREGCRKNCRPCNPHCPPEMAVDSQPPLFRMPSNSHREILIKQEAGELGSNMRGSSDGGLARVMTILLVGSLALTAQCRLSQLEAGDARNSNPNSLTLANSTSSDESKIAVVYCTEKPFCHDTESCYCCENKKPVKQCWKKREDCQKNCVTCNPHCPPETVVESQPSLHKR >Et_1A_005196.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31945692:31946111:1 gene:Et_1A_005196 transcript:Et_1A_005196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMKWCPIYWDECHLNCGPASSSATTTTSPVPDAGRGLVSAVLPLGDAGDDDECYVMKLYDGNRYVIVQILKCGEVKFCFLTCGGGEVLGAGSALPAAAAAAMPPASASSQSPRLADFERCGDQAAMAWARGAVHHGA >Et_8B_059940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4206473:4207182:-1 gene:Et_8B_059940 transcript:Et_8B_059940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSALIALLVAVSCAAAASATTYTVGDGQGWTTGVDYSGWTSGKNFAVGDKLVFNFASGAHTVTEVSKSDYDSCSTSNSISNDNNGPATITLNSAGAHYYICGIAGHCSGGMKLAVTVGSGSGGSPAAPGTPSSPSTPNGASARMQAGPALAVAAGVLVKLALF >Et_10B_002755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10564350:10567506:-1 gene:Et_10B_002755 transcript:Et_10B_002755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQPLLILLLHWLLVLSMALSAHGKVGENTNLTRESFPPGFVFGTASSAYQVEGNALKYGRGPCIWDNFLMHPGATPDNATANVTVDEYHRYMDDVDNMVRVGFDAYRFSISWSRIFPSGVGRINKDGVDYYHRLIDYMLANHIKPYVVLHHFDLPQVLQDQYNGWLSPRVVGDFEKFADFCFKTYGDRVKDWFTINEPRMMAVHGYSDGFFAPGAQVAKSVAIPQLSHTSPAITFFCHTLLLSRHIADQNGKIGILLDFVWYEALTDSIEDGYAAHRARMFTLGWFLHPITYGHYPETMEKIVQGRLPNFTFEQAQMVKGSADYIGINHYTTYYASNYINETEKNYRNDWNVKLSYERNGVPIGKKPGNETLPGALYDTFRIDYFEKYLHELKCVLNDGANVIGYFAWSLLDTFEWRLGFTSKFGIVYVDRQTFTRYPKDSARWFRKTAGNFPTANFTLLLFPKFIYGDLTSYLNFSQR >Et_3A_025185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27698091:27699644:1 gene:Et_3A_025185 transcript:Et_3A_025185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASEIAEVGVIGAGQMGSGIAQLAAAAGCAVLLLDADPAALSRAVASISASLRRLAAKGQLTKDACEDSIKRIKCVSSVQELKDADLVIETIVEVEDVKKKLFVELDKITKPSAILASNTSSISIARLASATKRPSQVIGMHFFNPPPIMKLVEIIRGADTSEEVFSAVKSFSERIGKTVICSQDYPGFIVNRILMPMINEAFWALYTGVATKEDIDTGMKLGTNHPMGPLQLADFIGLDVCLSVLRVLHNGLGDSKYSPCPLLVQYVDAGRLGKKRGIGVYSYGQSIKPQSSL >Et_3A_024833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24670237:24673119:-1 gene:Et_3A_024833 transcript:Et_3A_024833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDLHTEIAASQHGQAKLNVEGYAKGSLLSDGNYSSPDDYERLEKGIMQYGNRHLNGRCAHYRRRCRIRAPCCNEVFDCRHCHNEVKNSIKTDMLKRHELPRHEVQQVVCSMCGTEQEVRQVCINCGVCMGKYFCEVCKLFDDDVSKQQYHCNGCGICRIGGRENFFHCSRCGCCYSTVLKNSHACVERAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCVKEMEEHCQFACPLCSKSVCDMSKAWERLDMELATMSDSCDKMVRILCNDCGAISEVQFHLIANKCQCCKSYNTRQI >Et_2B_022020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8115159:8123331:1 gene:Et_2B_022020 transcript:Et_2B_022020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATVAAAGVASAPRPCTRFSSLRAVSVSWNNWSLASSSRSLSTSSASASQRRLPIASAAVELRETTSGGGDSVRVTKTLQPGSSVKFSVEVPTSVIDECYQLTLKEYGKRYKVPGFRPGKVVPENILINYVGPEHVQNATIEAILKRTLPQALSSVEDKALEDSVRILTKFDDMRDSFSLDDVFRYDVAVDVSPEVRWLSEDKYKNLKVVVEIDDAVDAEKAAEVELKRRHKALGLIKIVADRGLQVGDLVVLDIFAESINSDGCKGEKISSAEATGFHLDTEENDSLVPGFLGSLIGIRPGETRSFPIQFPQSYSQESLRGVRAQFTVVCKELFYRQLPEIDDALAEKLLPGCTTMDEVRERILERCKEVEKTAIEQATDNAILDQLGKLVEVDIPRALFQEQGQQLYGAKLLQLQAERKLDKDQLASLSSQRSVQQYLEDEKENINRIIKQMLAVGEIFKSENLQYSTDQLVKEVENSVAEFKQYNQDYDEDSIKQQVQDILEAAKVLEWLKENCTIEKLVKASSPTNWREYLDN >Et_7A_050636.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:9194569:9194925:-1 gene:Et_7A_050636 transcript:Et_7A_050636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FTEEQDRALHYLTSLQVLDFCYCPDLQSLPKELHCLPSLKKLSIKACPGIKSLPEKGLPVSLQQLYVSKCSPELKVQCGKIKNVRCVYVDRHNKFMTICKLLRLYFRYLFSYSKMPSL >Et_5A_041553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24303577:24307170:1 gene:Et_5A_041553 transcript:Et_5A_041553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASEEVRCVDFWCNEFGMRARIALREKRVGFEFVEEDLRVRERSDLVRRMNPVHRSIPILIHDGRPVCGSTNIVEYVDEAWRGETRLLPADPVERAHARFWADFVDNKVYPAQTRFFTGRGEAKAAALAELLAHLRRLEGVLGEKPFFGGDEFGFLDVAFVPFSAMFYGYEQHGGVSMEAECPRLMRWVRRCAERESVRSVLPSGVDMYDIHKEFYAIEMQAHSPATAADMATGDGQSEVTCVDFWANGFGMRARIALRELGVAFRYVEEDLRVRGERSELVRRMNPVHRSVPILIHAGRPVCGSLNILEYIDQVWGPILPADPLQRAHARFWADFVDNQTRFLKSKGEEKAALKAELLGQLKLLEAEALGDRPFFAGDEFGFLDAVLIPFTSMFHGYEQHGEFSFDDECPALMRWVRRCMERDSVRSVLPDEKEMYELHKIWYGTE >Et_9A_061344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10330740:10341868:1 gene:Et_9A_061344 transcript:Et_9A_061344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESLLAMDASIGDPRLASSVERAFEGHLQPRFWGQVMLRAMLVSTVVGIIFCFITLRIHMTAGIVPSLNMPTTVLSFFFIKWSISLLKSCGINVVPFTRQENVFVVCAVNNAVNVALVGGMATCATGMSSIVAKTLVDHPNKTDIVDNIDTGKYMLFLLLTGLAGVFLIVPMMKIMLVDYKLLFPSGTVAALLVNSFHTPEGAHVAKMQVSTLLKTFVGSFFWSIFQWFYTAGSDCGFQAFPTFGLKLYQQRFYFDFSALFVGLGMICPYIVNFALLFGAIISWGFLYPYIESKQGDWYHEKSPTSINGSNGYKVFLGVTIILTDGMFNFFTLITASISDFYNKRQEQDSQMASYFSKHPSLTYDDRKRIENFISNRIPHLLPVVGYILCATITSVVIPSIFHQIKFYHVAALYISAPVFSFCNCYGEGLTDWSAAPTYAKFTIFIIAAWVGQPGAIVAGLASCVIMNAAVHVSAFSMQDFKTGYMTLTSPQVMVAGEIFGIILGSIVNPCIFYAFKETVKNKIPVGAQRSEFPCPYAGVYRAIGIIGMGGIKELPKHCFTLCMLAFFITVAVDSLRVVSQKKGWTVQNYIPSMTAMAVPFFTGSAFTIPMCLGSVVLYVWKSIDSESEELLSAAVAAGLISGEGLFALPTALLTMKKAEPPICMKFLPSEELRKRTHHCVSSFHSNTARGCLSHCSIAMDANIGDPRLTPSVERAFEGQPERGFLGHVTLRALLVSAVLAVIFCFIDLRIHMTAGIVPSMNMPITVLSFFYLKWLVTLMTRCGIDAQSFTRQENTFVVTAVNGCVNVALTGGFATCTVGMSSVVAKSLVDNPNKSDIVENVDTGKYMLFLLLIGLAAVLIIVPFKQIMLIDYRLLYPSGTVSALLVNSFHTPEGADAAKIQVSALLKSFYASLCWTVFQWFYTAGDNCGFQSFPTFGLKLYQKRFYFDFSTLYVGLGMVCPHIVNFALLLGGIVSWGLLWPYIESKQGDWYYEKNPSSLNGANGYKVFIGVSIILTDGLFNFFTLVTASISDFYNKTKEQDSGMASYTTKHPSLSYDDRKRIELLISDQIPRPLPVVGYILCATISTVVIPSIFHQIKFYHVAALYIIAPVFAFCNSYGVGLTDWSAAPTYAKFTIFIISAWIGQPGAVVAGLVSCSIMIAAVHISSQAMQDFKTGYMTLTSPQVMVAGQIYGIFLGAIINPCIFNAFKKTVKNKLHIGAPHSEFPCPYAGVYRAIGVIGMGGVKELPNHCVTICIVASCLTVAIDSLRLVSQRKGWTIQNYIPSMTAFAMPFFTGPSPAVSMSMGSVVKSVWKYMDSQSEQLLSAAVAGGLISGEGLFALPTALLTMKKVEPPICMKFLPSGEELREVDAFLGNLASAN >Et_4B_039999.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7438851:7440179:-1 gene:Et_4B_039999 transcript:Et_4B_039999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSGSLLRGFLSLFFLLFIHIGHASCCFSPGPASRQREEDDAVDAGADGAVAGGRGGGKRRKISPLAFSPAASSSAAAADERVVRDRRRHVSSLATSLRFYIHRIFASAAGAKNGAVVAARDAEEEAVTTTTVSSPQAQSLAPQPSASLVLSTPSSPCASTPPFMSSPLSPRSLGAPSSSPQKASRQSSSRSLAARGDVLFPCKTCGEVFGRPQQLELHHAMKHSLSELSHLDSSTNIIRMIFLAGWKSDAGGDAPAAVVRRVLRIHLNPRALARFEEYRDLVRARAARRACAEGGGVEEERCIADGNERLRFHCSTMLCSLGGGGGVCGSPYCCVCSTLRHGFAGKQADVDGVATYASAWAAHAALPDDVEREFAFLQVRRAMLVCRVVAGRVGRGDADDKVAYDSVVPVRVGGGDDDELLVFNPRAVLPCFVIIYSCS >Et_1A_004954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18862806:18864283:-1 gene:Et_1A_004954 transcript:Et_1A_004954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPASSIRVRRTSAVLAPVDAAHADPLPLSPFDALWVPLPPMLNLYVFKLPPAAPPPFPALVESLKRSLAAALASFRHFAGELAYDASSDSVSVESGAGVVFVEAETDLDFRGFVEAEELDTETLRLLAPDITRESLPSPAMGVQVTEFLDGGGIAVGLALHHSVADGLGRHHFLEAWTAAAAAGANNKPPMKPLHDRGLVRFDGDHEFGAAILKHMVPNLPRKPQGSGTEHHRPAVPVARQTFTLSAAAVKRLKSQLAPGNGTGKAPSTFAAVTAHTWASFSRAGGFAPDDHDPIVLGFAADVRAHMSPPVDRAYTGNCLAFCVVSLPASELAARDGGPGRAAAAIGRVVERVKASPLEEKEQWVPRFAAFPPGRSLVVSGSPWFQVFDMDLGFGTPVRAERAGLRRDGQAYLTAGKEPGTVLVNLSLAADKMPAFRDAFLVDGVGVFRGAS >Et_3A_026584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9964955:9979364:-1 gene:Et_3A_026584 transcript:Et_3A_026584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHANTGRSLPVPNVQALAQTWNGSTEEVPERFVRTEEVCVEDVVRRRRLRPPGRRSRQVARPPVVRRGAREPRICVPVLGLLSGVLGRGADHEDDLVPAVRAGGKVLGLSPHTDACGLTLLLHVIGVQGPQMRTDDGKWLSIKPLAHASATSATYSRKLICLSHGCLQTLSNGRYRSVEHRVIVRPNKQRIAAAMFHQVCPDVTVGPLPKLAKDDAGPRFKLVSHADFKKRFFSTKLDGRRSHLDHYRIYNGRYMIVVHPNKERIVAAMFHQVCQDRTVGPLPELVKGDAWPRFKSMCSETKLSQLASTSNLQETKRTKSSMAQYTKAPGHLTVPNVQALAQTWNESGEQMPERYVRTEQVGDEEVVVGSGIPVVDLGRLLDPRSSEEELRQLGSACQQGFFQLFLACRSVVPHKLHVCDCVQIINHGVPDEVIQDMRRDITEFFKLPLEAKNVYALPPDSLEGIEGYGQAFVLSETQKLDWADMIYLMLRPTESRDMRFWPAQPPSLRNSIDRYSTETANVVTSLLRFMAADMGLKQPERLLEMFAGQPQAMRVTYYPPCRQAGKVIGLSPHTDVCAMTLLLHVNEVQGLQIRRDDGKWLAVDPLDGALIVSIGDTFEILSNGRYRSVEHRAMVHPDKERISAAMFHLPCPTITVGPLPELVEGGAQARYKSAGYAEFIERFFATKPDGRKSTLEKEKNQISSMAHAKAAGHLTVPNVPERYVRAEQAGDEEVVTGCPIPVVDLGRLLEPQSSQEELRNLGSACQLGFFQMSLSFRHLSQIQASCVQIINHGVPEEVIRDIRRDITEFFKLPLETKKVHAQLPGSVEGYGQAFVLSETQKLDWADMIYLKVRPAESRNMRFWPAQPPSLRDSVDRCCVVLTARFMAADMGIEPERFLEMFAGEPQTMRTMKVTYHPPCAQAGNVLGLSPHTDACGLTLLLHVNGVQGLQMRTDDGKWLAVEPLDGAFIVNIGDILEILSNGRYRSVEHRVLVHPNNERIAAAMFHQVCPDTTVGPLPELVKGDAGPRFKSVSHADFMKRFFSTKLDGRRSHLDHYRI >Et_5A_040321.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:13659728:13659982:-1 gene:Et_5A_040321 transcript:Et_5A_040321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIHSGLDKFLILVALRIWKERNNRRALQLVALSQEIIDKANLWATVGFSELRKRSYLDRLSGCCFVVLFYSWLGACSPCSYH >Et_1B_013037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:562427:566241:1 gene:Et_1B_013037 transcript:Et_1B_013037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAPPTPPPKLLYIAVADGGGRRAFRYTRSVLQSTLQLMGCKPRHAFKVHPFFLLSFTYASLSLLSIPTMGGISKRVFNVMRSEVLTASKSDRVTKEENCSILAADTDPKTLDASDSSVPFELYKNQTSVVVSREEFLSVVCDALSSYKYVGPNQKADLLLACRIKERKESVTILLCGTSGCGKSTLSSLLGSRLGITTVVSTDSIRHMMRGFADEKQNPLLYASTYHAGECLDPIAVAKAKAKRKANKVTISKDDPSDEKSHHGSSELPPRTELIGNRQMAIEGYKAQSEMVIDSLDRLITSWEEQKESVIVEGVHLSLNFVLIQRKGSSRNLMALLNTDGSVTKAWHVGTSDGNGNLSHATSTEKAEEVNLQFGSFGISAWMSDTGGTSHAGSVEDLRADSVETGGRIFSSCCSSPKMSDSTSKELMEEYSVYGSEEEADDPRDAETDEDLTDEERDIDEIDAGSVDELSTKSDEEYDDLAMRDVMENGDWSDDEQMVSSTKDPLALVGTPGTGTAEGGAMGRRYHHSLDLFLKMSKEVAAT >Et_8B_060034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5209670:5213873:-1 gene:Et_8B_060034 transcript:Et_8B_060034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAPPEQMGASCPHMFFILLLIFHGACAAVNAPLPKWQTLSGRPPLVIAHRGFSGLFPDSSQFAYQFALSTSLPDVALYCDLQFSSDGMGFCKSELTLDNSTIIKEVFPKMEKTYKVNGEDVRGWFSLDFTTDQLVQNVTLIQNIFSRPSTFDGSLGMYMADDVVELRPPHIWLNVEYNSFFLEHKISTEDYLKALPKEFSFSFISSPEIGFLKSAGGLLKESRTKLIFRYLDEKAVEPSTKKTYGELVKDLKSIKEFAVGILVPKTYIWPLNKDQYLASSTSLVKDAHALGLEVYASGFANDVAISYNYSYDPSAEYLQFIENSDFSVDGFLTDFPPTASGAVACLAHSKGNPLPPPERPRPLIISHNGASGVFPGSTDLAYQQAMKDGADIIDCTVQMSKDGIAFCMPSADLGSCTTAGSAFISKGSTVHQIQNKSGIFSFDLSWNEIQTLKPDLVGPFAQSGLKRNPAAKNAGKFMTLPRFLDMAKASNVSGILIDIEHVAYLATRGLGVVDAVTGALTKAGYDKETKQRLLIQSDDSSVLLEFKKSFPASKRVLSIESDISDVAKPSVDDIKGFADGVKIHRNSVAQSTGYFLTHFTNVVGTLHAANLTVFIGVLKNEFLNLGFDYFADPLVEVATYSDAVMADGLVTEFPATAAAYFRSPCSDMSLNLSYSILPAQPGALVNIAVPGALPPAGAPAPMLEPADVLDPPLPPVLAVSTAAAPASTGAADNSTSVASTDAGSSLLAAGIVALLSLSFLM >Et_1B_013008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4718333:4719719:-1 gene:Et_1B_013008 transcript:Et_1B_013008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDHGHATTRNSDMESKLPMLFWFLALVACSASHHDPSVVGYSQEDLVLPNRLLDLFTSWSVKHSKIYASPKEKVKRYEIFKQNLMHIAETNRKNGSYWLGLNQFADMDHEEFKANHLGLKPGLSKMAARPHTPAMFRYENAVNLPWAVDWRHKGAVTPVKNQGKCGSCWAFSTVAAVEGINKIVTGKLVSLSEQELMDCDTTFDHGCGGGIMDFAFAYIMGNQGIHTEEDYPYLMEEGYCKEKQPHSRVVTIDGYEDVPDNSEISLLKALAHQPVSVGIAAGSRDFQFYKGGVFDGACGVELDHALTAIGYGSSYGQNYITMKNSWGKSWGEQGYMRIKMGTGKPEGICGIYTMASYPVKNVTRWGI >Et_9A_062772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4806184:4806550:1 gene:Et_9A_062772 transcript:Et_9A_062772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQQIKGNYLYFSPPPLCEFIEYVDTEQTSEDIAHVYRVAQ >Et_6A_048083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5508134:5510299:-1 gene:Et_6A_048083 transcript:Et_6A_048083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVPSLPPAAAEYKPWDAAAVAERQRSFEFFESLDPGRAFRWVQHAWFMYKLGGGVERARPVFRAALARVADYHYIYSAWTNMEDREGEAAAARELFEEWRARRAAAEDGGGERDQEEADSWFWRRYLAFELDQRDADRARAVAEAAVAARPGDVMLRAKHVLAEMQLGDDERARAAFESALAAFAADPDAEKRLAEEVRRGGCNLSDKSFGGCLLFLCRGWWFFRPPWIEHRKLWMCTNPSSRSDPQEREN >Et_4B_036859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12068266:12084961:-1 gene:Et_4B_036859 transcript:Et_4B_036859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SGTENADGCSSSFDRVDRPLLIPILVIGASNQSSPVMHPPDLRLRRFLPRRVWLLGRPLVRPLECQPICIKRSLPIPSAVACWLFAPTDRSRKGWRRARLFFRCCCFDGPVFSDLGSFCGGDGDGFLPVVGVEFFHKGSNKDLCAITKSCKDPSPFHPHLPEELHVAAGGRARGHEPDELLHDEPSHPGHPDLGALEVPQDARQPLDVRALLDGRSAQLGAEPVAVDELADLVHQADGHLEDLVALRHRGVEHRRERLGRRAEALPVLWPRVGAQVPQHPRRVQTARVAGPQRRHEDVDHVGSLQDGRAPARALEREHRQRLAGLAAELRLLGAGEDGEQQLDDASRADDGVRALLVHGEAREHVGGELLQVAVLVAVLQDPAQAADRKDVLGHVPPQRPARVPRGVHEHLDQLQRALHGAHLQLRRVRVAEELRGGVRELDDLGEQAARVADLAAVVVVPGQPLEDVQAQQVERQVVVAAVCRRLVYGRRGAPAGVEQRHDGLDGSRDGGDAEHVLALGRELGHHLGGAAAHLLLVGALPVEHLHEALDGAVAGAHLAQRVALGAPVAREALQDAHADELLVQKVGQDELLGEGDQVHLDAVEDAPRDAPRVGVVEEARQGDEPVRAQVAVGAHLVEQLPDGELPRPVRLLQQEQRRLD >Et_8A_056640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14249375:14253388:1 gene:Et_8A_056640 transcript:Et_8A_056640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LILPLARPKQIGATNQTTLHLRRTGPIPRTGSPCAAQLGSMMDPSTSAAPDPDPGPGAAASPACPTGGDGGAAAAVRAAVATAPRRFQHLLDRSTPHVGRRWLGLAVVTAAYALRVWIAGGYYIVTYALGIYLLNLLIAFLSPQVDPEVAEVLGEGPALPTRATDEFRPFVRRLPEFKFWYSIVKAFCIAFIMTFFRVFDVPVFWPILLFYWVVLFTVTMKRQILHMIKYRYVPFSFGKQRYDGKRTASADDLSLPKD >Et_1A_005744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13318053:13320682:-1 gene:Et_1A_005744 transcript:Et_1A_005744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSSSTETWAPQTPSPSRTPPPARPALSEEEKLLRAVEREIERSQAARRSSWASPLRRLVSNATKKGKNAAASRSLLPAPPSPSRTRQEGREAAKKGDGDAGGSSSASKKPSEATREDDGVLVRQPAALDRSDFAATMKCALERIQGGDVSDNAEAFVQMEQAMKGLMDVLFEEKAEPVLPDVFLSKLPRIDNVWSQRDIITDPLILASGESIEKFSHRWSSQGVDQPLLIAPNHLLRDVITAWCLDHSIPPPSSTSGAFDEAPPSEEEMPLLLEMLSLHSVEQQEALHRTQLLSASSKGVQPCLNQWQDLLPKLIELHKKWKATWSRELEEQRLTIMLNLSLHRPNREILAKQMQLPDTLKKTIERTKKLGYPLATMAKVSSVIAVLSEFDAFKIKLVEVGGISMLRRLLNTKDVLVRNEASSAILALCRYDTASAMAQLKHVAGALLEGLSDGLVTDNCLLLLERTSHGKFVTDCVPSSVALLMKVITHHGIGHVTSEGIQTAVRLIYNAVKNDASRLKCAANLEDFVEALRNLETKEKPLERVFQIEELLELALELLPD >Et_2B_019835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14351414:14355429:-1 gene:Et_2B_019835 transcript:Et_2B_019835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAVKAAAAQGRRSGGEQREAAAAGGGTGGDEPKLLLSTSTLFFFGEQGPRQGEAAAAAEQRARDVIVFQGFSMATRMAARLVQRRFRSSGGKVLGEEEKAAENVYIKKMEQEKLEKLARKGPSSGEQASSTTSSAASDVKTGGGATESTSAGVSTDKNRNYAVLAGTVAALGGLGWYLLSKPKKSEEVVD >Et_4A_035805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6456642:6458659:1 gene:Et_4A_035805 transcript:Et_4A_035805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTESGRGRKMERARRKRVRWRGLVVACSKKHDPVISSAEMVHSVAETDDETEEEKRRVAEMTLASDDRFYAIEASQFRDSWNRLWSGYFGSFEDTRTRGGLQWPLHMFGLVALRDCIDFNRNIIFQRARDDCQILTEQDPYLVLTGPTRSVVSLGTVTFEVELIVKGRVTSEDKGLISLAVPLMHDKKGYSYMLNSAYTSKLSTLELTHGYLTYSVEAYRSVQVIKGALLDSCRVQFAASTTAIGQEKAILLNSGDGEVPVTDDGNIQLSRHVASVETNGKLKVSVKVWRDDDNFVEKVKCFRPKKSNASAGMLDVGFCKMKGMHSIQLRD >Et_4B_037139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15166857:15168115:-1 gene:Et_4B_037139 transcript:Et_4B_037139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGKTKISDLVYNFSMEAGSRGADKKNLNDQKDLFQQIQGLGSFRYYDFKPLIFCFMIMLSFSLELLFELRFVLSLVEPFEADNDSLYDSFDMKVYRTEKMSTLKWSKVLTENESATLLAFGL >Et_4B_040094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9439094:9441645:1 gene:Et_4B_040094 transcript:Et_4B_040094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVQKRRVQLMLFIMGVLALSMTAEKFRELVGKEAASKSGQFTFLNCFDMGSGSLACTAKEGIKMYVYNLRTAHMEKVRQRAIEKALADAVSEGLTATDAAKQAQKVGAKAAKVAARQANRILGPIISSGWDFFEAMYFGGSMTEGFLRGTGTLFGTYVGGFHGEQRLGKLGYLAGSHLGSWGGGRIGLMIYDVINGLKYMLQYVQPENQSSSYASEDGSEYTDNYISDIREETAYADNYVSDERHESKYYEASEEKQEESKWFGLF >Et_9A_063397.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22968614:22969939:-1 gene:Et_9A_063397 transcript:Et_9A_063397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGISATRRRRRPPKKLPSKARPPPEPHPFLVHLKSLPCPVAAAAALLSAPRHLHDHPFASCVLYRLARARLFPLVLPLLSALRALRVPLQPTAFAALIDHLGAAARPDAAARPDAAALVFRAVPAFCSHSNATFHALLHSLVCNGRVDDARDMLPEAPKLGVRTNAVSYNIILKGVCHRDGFSGARAVLDEMLGRGVRPTAVTFNTLVGAACREGQLAAAERLRDEMARRGVAPNAVTYALLMRALCDAGRYDDAKKLMFDMEYRGCQPEAANYGVLMSACAARGDADGVRTLLSDMRKRKLQPDDAGYNVLIKCLCDGGKVGEAHKALVDMQLKGTAPSAATYRVLLDGCCEARDFELGLRVFNAMLASGHCPLARTFRRLVKGLGEEDKAEEACFVLEEMAKRGMRLDAEEWQSVAACVCGSSSAGEMKLIDNLALPS >Et_6B_049800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12693793:12696941:-1 gene:Et_6B_049800 transcript:Et_6B_049800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIFQDVVVLVLTSGRDPGIIPRNARPPDPEDFTSTIAGQQQLRPSWSLPPTRDVQLVNGTTVKVKYCHTCMLYRPPRCSHCSVCNNCVERFDHHCPWVGQCVGRRNYRTFLCFIASTTFLCLYVHAACWAHLLLLASSPARGVGGRVGLAFAVVEVSPASGFLIAYTFVTAWFVGGLTAFHSYLVATNQTTYENFRHRYSDGGKGGNPFDRGGAAANLAEVCLARTPPSRVDFRAKVSMADPDAVALYYSLGPLPSESRISFHTRGSLSFDLDYSAKRTSVASSDFGGHGAASRAERHSIFGAGAGRESRKVEDEADAVTAELGTAMQYGRPHGGEFEVHNKNKA >Et_1A_007719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37583480:37585559:1 gene:Et_1A_007719 transcript:Et_1A_007719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGLAADNVVDAELVDAAGRLLDRDAMGEDLFWAIRGGGGGSFGVVVSWTVRLVPVPPVVSAFTVRRLLRRGDEQQTETTLRLLTKWQRVAHALPDDLFVKAAMESKLDDAGERHPLVIFKSLFLGNCSGMVAEMNNHLPELGVKATDCRDMSWIQSMLYFYGYTNGQPAEVLLDRTLQPKDYYKIKMDYLTSPIPTPGLTGLLTKIVQDKGGSIDIDPQGGRMSEIPESDTPYAHRRGYLYNLQYFVKWGGDKNVSAAYINFRDLDLGQNVEGSTGYEDARSWGEKYFRGNFRRLELVKGEVDPDQVFWSEQSIPPLFVVSGNAEMAMDDNSCINGLGDDGGGSTPL >Et_5A_040799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12783258:12785722:1 gene:Et_5A_040799 transcript:Et_5A_040799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWRRIIREMSPFRTTGRFFRRHPWALCLLLFLLILYKYFFSWFTVLVTTSPIFLIAGIFLGIILAYGEPNHPEKDHVYKKIEKAHVRNIHDSGKSVGVVAVPRIPSGDKRVAKHYNIKKKRRKRSHGVASSSEPGSSESGGSDADTTPMLHAFHHLSSACNSSQDGDSSDSSTEVGTENQECNAGNLREGKDVKVVAWTADDQKNILKIGSLEIERNQRLESLIARRRARKYSDRNLVKVGSSDSLPIIEELSKFNVQIPAVFAPRINPFDLPYNEDNFPDSAPSALLQTRNPFDLPHEQEDESSSAGGVDSSHEEPVSVASQLQRTALFRRHESFTKGAPFLSEFLQDARPSRLRPYFVTEKMANEETTSSSIDGETSGKGSSKASSVQDSDSSSVTDQENQKDVLEDCSNQGQRSPFIQAEEQAHIAQHVREVSLSLDMEPPVLISDSSDDDISLSGEHINDWVEAQHSQNLNFSHNRFLEDPSVMQRHQEIEMTSNGFHQMSPNSNDLDLTSSSTETTDDPFEVNDTELPANEMVVTDDAHILDPIYDSSPSGSEKPASIGLVIDAAILQDGHAHTSEADAAIGEEGSSSRIETSSSEVAKSSLHSVEQSEPAEKETKSGHATVEHDEAHEGAVSHAGPSVSDSSSQPATESPNNGKSGSIGVIESSKNAVFGFFQK >Et_3A_023834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13076350:13083324:1 gene:Et_3A_023834 transcript:Et_3A_023834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLCVPLVARTVEEMVADAAAAAAAGGDLVEIRLDFIQGFNPREHLPRLLRGCPLPALVTYRPNWEGGQYEGDDTTRFETLRLAMELGVDYVDIELKVADKFISFISGNKPEKCKLIVSSHNYEYTPSCEELAKLVARIQAVGADIVKIATTATDIIDVSRMFQVMVHCQVPMIGLVMSERGLMSRVLAPKFGGYLTFGILNAAKTSASGQPTVKELLEIYNIRRIGPDTKVLGLIANPVKQSKSPVLQNKCLQSVGYNAVYLPLLTDNLASFLETYSSPDFSGFSCSLPFKVDAVQCCDEHDPVAKSIGAINTIIRRPDGKLVGYNTDYIGAISAIEDGIGGSKDAAISPLAGRLMVVVGAGGAGKAIAYGAKEKGARVVVANRTYEKAVSLANAVGGQPLRLSDLETFKPEEGMILANATSLGMYPNVDGTPIPKNALSFYDVVFDAVYAPKVTRLLREAEECGVKVVSGVEMFIRQAMGQFEHFTGGIEAPEGLMREIAAKYT >Et_3B_027602.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:31005616:31008253:-1 gene:Et_3B_027602 transcript:Et_3B_027602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEGDAEAAITANMRDQNYAGARTLLLETLQINPRLESAIEMLSVLEVLCAAGNSHSSHRVDWYRILQVLPQDDDARIETRYRSIVSQVQPVVGNLPGAELALRLVEEAYAVLSDPEKRAQFNSGDKFVEFVCSVGFGGPMVGKKVLDSDILNCVRTKDTRVVEVTNNAASRVQHTDKSCSDKMNSYISIAASSSRTKRMGPYFQDDDVELLSLDNNHVDKKQKSVCDMGMRCVSLSQVDFDDCIADLSAAEEKGQGSSKQYDYHNFEDDREIECFAAGQVWAAYDWDKFPRRYALISEILTDKMQLYVSWFKPCPQSYEENKWFRAGLPFVCGTFVVEECRISLTCPTMFSHRISSDNMNQHLEVYPHEGEVWAIYNDWDIGWCTDPGMWKNSAFSVVEILTSYSKESGCTVAHLVKVNGYRSVFRRYLNGGTEHSLQVHSDNMLMFSHRIPSFRLIHQVETMFELEHSVVPENRPYENICVAPLSPLPAFHSETNGFAEPAVAKFSNLSTSIIDLGDPQQGMMNYNNKLSPKDFVEGQIWAVYDSRDRMPRSYVRILHMASCTSVFVLKLEPHPMLNEEIQWVEDGLPVACGVFRAGTETTYKDIWEFSHPVECDWSAKRSFYRIFPRKGEIWAMYKNWNIALNSTGVDKCEPRIVEILSDYSDECGVNACSLARVTGCLTFFHRVVVEDFNLTKCITRSEMLSFSHRIPAFIVIEIKDHKIPKGSWHLEPNALPTRIIH >Et_5A_041270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20438921:20440217:-1 gene:Et_5A_041270 transcript:Et_5A_041270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRKDEQSACSSGISSRFSNFKQKRDSGKYGYQSRINAIKRNNSSHIDGKVFMCFRCLSPGHYAFNCKNQIRCQKCYNYGHIERACRKKYSPSYRRRPIPFSAAAQDVDINTEQSPSTLPGNTTPCNPSHSTREELHPVPAATGLPTMANYPVDPASYLHDGFYLEAWPPLDALERPHRRLRACAGPVQRVHVSVGITIFNLVVAFADFRSMAPVLREYLYRELCLRVEGMDLLGGEEPLPDFGFVHPAPPSPPRWMGAHPTGPGMGENDDAGSAANGNGGQGGVAAGGS >Et_8B_060796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7680704:7681665:1 gene:Et_8B_060796 transcript:Et_8B_060796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKCTFVAGAEDLCDDRDLCRSYKHALLTPADGIGGCYFLLVAADFLEFMKGASGAVKIRTLSPSSDASGGGGSWSAVTFATNARPSRYGVREPTGSAVGGGGGFVHWLMHEVYGRGCHIFTYNVLTATAGWIELPAEIPPDRHNFGRFHLASWPLSAVDGRLSLLMEDDLNISVWLLSGEGGAWTRHATVAHQLPDPYWCHRIATGPLALGRESGTIVLCPTRGPSSLTWQPKRCTPSTRKEKPAFLYEVDMLIPCYL >Et_1A_008007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39998235:40002601:-1 gene:Et_1A_008007 transcript:Et_1A_008007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAFPLLLVLTLFIFPDGLCKSLAARPSIVNIGSIIRFNSTIGGVSAVAIQAALEDINSDPTILNGTTLKVEMRDTNCDDGFLGMVQALQFMETDVIAIIGPQCSTIAHIISFVANELRVPLMSFASDATLSSIQFPFFVRTVPSDLYQMAAVAAVVDYYQWKLVTAIYLDDDYGRNGIAALDDALTARRCKISYKVGFPANARRSELLNLLVTVSNMESRVIIVHTRAEPGFQLFSLANRLNMMGNGYVWIATDWLSSYLDANSSVPADIIYGMQGVLTLRAHIPNSKMKSNLRSRWSSLSKKYNHSDLRINAYGFYVYDSVWTVARALDAFFDDGGTISFSNDSRLHDETGGTLHLEAMSIFDMGNKMLHKIRNVNFTGESGQMQFDPQGELIHPAYDIINVIGNGMRTIGFWSNYTRLLSTVHPEDQYSKPPNTSLANQRLYDVIWPGQTAQKPRGWVFPSNAKELKIGVPNRFSFKEFVTKDNVTGSMKGYCVDVFTQALALLPYPVTYKFVPFGSGTENPHYDKLVQMVVDNEFDGAIGDIAITMNRTLAVDFTQPFIETGLVILAPVKKHITNSWAFLQPFTLEMWCVTGLFFLIVGVVIWILEHRINDEFRGSPRQQIITIFWFSFSTLFFAHRENTMSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLDTSIRGLEDLKSSDYPIGFQVGSFAEEYMVKELNISRSRLKALGSPEEYVENLKLGPKKGGVMAIVDERPYVELFLSTYCKIAVAGSDFTSRGWGFAFPRDSPLQVDLSTAILALSENGELQRIHDKWLKTGECTADNTEMLDSNQLRLESFWGLFLICGVACVIALLFYFGIMLRRFLRHEPPESAVSEPGSSKSRRSLKKFISFVDDREPPKKKRSLSLSRSSMPTTPMSNLHALDIERPVRPVRNGSIYILTVHRQDELSWSAGMRRMIQPSRFGEMQGNFNAAARSNTASKRSSAQRVFHVASNSYQDSGGCK >Et_7B_054808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4587898:4590122:1 gene:Et_7B_054808 transcript:Et_7B_054808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILSEGARHCFDKMSVGSGYFDGSPDQSLMAESFIHDSSQAPKNNDNTSIELQKFTVPSFSTEVLSKPADFYFQDAGAINHLQHQLGIYLEQEGPQGDTASWDPSVGTIQDHIINHQFGEYSQNMSVGQQIQHYDGLLHRNSAYTPAPDLLNLFQCTLPSAFPTATSVVGDTTLHGEIRGITAMPESGLMFTGDPQVQLRYPAQTHLVKDIFYSQPQNHGVFPGEDDRDVMIGVGSVEDIFQEIDDRQFDSVLDCRRGKGEFRKGKVKANFATERERREQLNVKYRTLRMLFPNPTKNDRASIVGDAIEYIDELNRTVKELKILVEKKKLGHNREKMIKLDHEAAADGESSSMRQIRDDQDSQLNGTIRSSWVHRRSKECHIDVRIVDDEVNIKLTEKKKANSLFHAAKVLNEFKLELVHAVGGIIGDHHIFMFNSKVPEGSSVYACAVAKRLLEAVDAQHQTFNLSN >Et_10A_000568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1335913:1340362:1 gene:Et_10A_000568 transcript:Et_10A_000568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRFPFALRRSCGLLRVTSSSSRRGLSAMAGVGGGEEAQLRDFFEYMERLRNYERSGVPRGAGTDSDDGFDLGRMRRLLRRLGDPHTHFPAVHIAGTKGKGSTAAFLSNILREQGYNVGCYSSPHLLTIRERISVGNNGGPVSVGLLRDLFDEAKDTIDESIVSENGTLTHFEVFTALSFLLFSRENVDIAIIEAGLGGARDATNVIQSSELAASVITTVGREHLAALGGSLQSIAVAKSGIIKQGRPVVIGGPFPADIEQIIRDRAFLTQSPVISAYDPGVKSTTKCIDWDNGKPYQRCDIGISISSGMPLSIELNDVKLQLLGDHQRQNAVTASCTALCLRNLGWDISQPSIQAGLEETRLPGRSQFLTQEEASVLGLDGSSTVLIDGAHTEASAKALSDVIETVKPEGPLALVVGMANDKEHLAFAEQLLSGPRPDIVLLTEASIAGGNSRAMPASSLKELWIAAAEGQGIDYMDIGTVSGKETPECISNLAVSSSSSGKCLLIGCQDEPFSCDLIKVASQLLESRGADGAAPGLICVTGSLHLVASVLQQLEQQ >Et_2A_015822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18145142:18152662:1 gene:Et_2A_015822 transcript:Et_2A_015822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSALLWYATFVLLILPPPCASDDRLVPGKPLSPSSTIVSDGGNFALGFFSLRSSTPAQLYLGIWYNDIPELTVVWVANRETPATNSTSPAPALFLTNTSNLVLSDGDGRVLWTTDITGATSSAAAATGLATVLLNMGNLIVWSPNGTTIWQSFEHPSDTFLPGMKIRVRYKTRAGEPQVSWKAPDDPSPGPFSYGGDPDTFLQIFIWNGTRPLVREGPWTGEVVPGYYQANTSAIGYQTVISTEEEIYMSYSTAEGAPRTRYVLSYLGEYQLHMWNGNLSAWTVLGAFTTGACNRYGFCGPQGYCDNTMASPTCKCLDDKPGRLEQRQFLAGVPTKGTSAMQRRFVHILNRTFEECAGECTRNCSCVAYAYANLSTSGTKWDRTRSLVWAGELIDTEKGGSDTLYLRIAGQDTGVRAKTNVLKIALPTVLTGSILIIAGTSLAWFKFKAQIRNRKGHKNLVLDGMGTPDELGEANPTHDLEFPFVGFKDIVFATNNFSESCKIGQGGIGKVYKAMLSDKEVAIKRLSKDSEQGTEEFRNEVILIAKLQHRNLVRLLGCSVEGDEKILIYEYLTNGSLDTILYDNSRKIIIKGVARGLLYLHQDSRLTIVHRDLKAANVLLDADMRPKIADFGMARIFSDTEKKANTQRVVSDLKVTAVQIILWLFEAWNIWKEGKEKDLVEQSIMDTCLLDEVLLCIHMELLSVQENPDDRPFMSSVVFTLENGSTMEWSRSTCITAVLLLLSPRLCSPAGDQLAAGESLLPGQTIVSDGGAFALGFFSPSDATPGRQYIGIWYNNIPAAPARTVVWVGNRDAPVTVGDARSGNSSAAAPSLALSRNNTSNLVLYDAGGRVVWTTNITSSPSTATTTAVLQNTGNLVVRSPNGTVLWQSFDHPTDTFLPSMKVGLRDRRSSSRESWRVVSWKGPGDPSPGSFSYGMDPDTLLQMLVWNGTRPYWRSTPWTGYMALTRYYPATDTVVYVAVLDGADEVYMSFSVSDGAPPTRYVVTDAGRFQLLGWSRNASAWLALESWPSSACSPYATCGAYGYCDLTAAGTPTAATCRCLDGFEPASREEWSGGVFSRGCRRSQALAPCGGEDGFLAFRNMKVPDKFVLVGNKSSVAECAAECGRNCSCVAYAFANLSSSSAKGEVARCLVWTGELVDTQMIGDRWGVTVDTLYLRVPSGSTCFSFLKLCPFVLFFLSFSNANTFIRESTGYDFNGQQKHLLAFVHPTLPCIRAGGRTRTNILKVVLPVVASALVLTCIFVACFCKIKGKRRRSNDSDEKKLVPGSMRTSRFTSSELGEGNPTEDLEFPSIQFSDIVAATKDFSRACMIGRGGFGKVYKGTLAGGREVAVKRLSKDSEQGIEEFKNEAILIAKLQHRNLVRLLGCCTEGAERLLIYEYLANKGLDAILFDSERKSLLDWPTRFGIIKGVARGLLYLHQDSRLTVIHRDLKASNVLLDAEMRPKIADFGMAKIFGENQQKANTKRAWKLWKDGNGIDLVDSSVTESCALDEALLCIHVGLLCVQDAPNGRPLMSSVVSILENGSVSLPAPDQPAYFAERGWKSGGNGEDVETSRNSMTMTVLQG >Et_3A_026794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2118036:2121399:1 gene:Et_3A_026794 transcript:Et_3A_026794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRQTAGPGAAAAAAVRAPEQKKGDAPKLTRRPTATVSQPTARRVLGDVGNMITGRAALANRKKPVVVAAQNCGKAVPQAAAKSRRALIDVSSLINGRPALVNRQKAVAVPGDRRGKAIKLKESDKPKREVIVISSDSEKEKKASGGQRVSRRAPIQTLTSILTKCSRASDGVVASPNKMQSYDIDAPDAFNELAVVEYVDDIYSFYKRTESTCQPLRNYMSFQTEINERMRAILVDWIIDVQHRLMLMPESLFLTVYIIDQYLSNADVPKKELQLVGVSAMLIACKYEEIWAPLVKDLLCISDNSFSREQVLRTEKAILNQLQWNLTVPTMYMFIPRYLKAAMGSKELEHMTFFYAELALVQFSMLVYSPSLTAAASVYVARCTLDIHPLWSDILEYHTGLAESELQGCARRLVSLHCTAPESKQKAVYRKYSNPKLGAVSLYSPAKKLLSAAATLG >Et_9A_061969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18400003:18407219:-1 gene:Et_9A_061969 transcript:Et_9A_061969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADRSRSFMRDVKRIIIKKANTPFVLSLPKAGDWQLLASTNPSEVGTAVVTRHDGRLALGRLGALCEQVKELNTLGYEVIMVTSGAVGVGRQRLKYRKLVNSSFADLQKPQMELDGKACAAVGQSGLMALYDMLFTQLDVSSSQLLVTDSDFENPNFRERLRETVESLIDLKVIPVFNENDAVSTRKAPYEDSSGIFWDNDSLAGLLAIELKADLLVLLSDVDGLYNGPPSDPQSKIIHTYIKEKHHNEITFGDKSRVGRGGMTAKVKAAIVASTSGTPVVITSGFESQSIINVLRGERIGTLFHKDASLWEPSKDVTAREMAVAARESSRRLQNLSSDERKKILLDVADALEANVDLIRTENEADVAAAQEAGYEKSLVARLTLKPGKIASLAKSIRTLANMEDPINQILKRMEVAENLVLEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAMRSNTILHKVITGAIPNNVGEKLIGLVTSRDEIADLLKLDDVIDLVIPRGSNKLVSQIKASTKIPVLGHADGVCHVYIDKSADMVMAKRIVMDAKIDYPAACNAMETLLVHKDLMKAPGLADILLSLQTEVHIQIALSLQMIKQQRLFYAKLIVHNASTRFSDGARFGLGAEVGISTGRIHARGPVGVEGLLTTRWYVDILIQSPSFNLIILLYHHPLLPMKIFQSAMTN >Et_10B_003234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1883499:1887369:-1 gene:Et_10B_003234 transcript:Et_10B_003234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWCPPRQHRSPVQRIGIRLPHTAPKLAELAFPRTPDSGKSGGVAKHSSHIPRSQGKQPPPAIAIDGCSSQRRDEEEAKRRPRRVGARLLALTHSSLGLILAVSPSSHGGDGEGRAREGEIGGETAERLAEGLNETRGKKHQQQQEEEGLAVQRRRRSTRQFVQSRKLSGVPVVVVVVFPEVIPPPFLFRSFECSETSDLVMAREFPQPVVTRRKIPPFKFLIPFVLVLSVSVIAVTQYFQSISYILRPLWDKPPTPFIRIPHYYAPNISMPQLCQLHGWDILPTPRRVFDAVLFSNELDILEIRYHELLPYVDRFVILESNATFTGISKSLSFYENINRFSFAGSKIVYDMLSIGNLDAGVTRQPFLVEAYHRRALNMLIRRSGIAVGDVLIMADADEIPSPETVQLLKWCDGIPPVMHLELKNYMYSFEFPVDYNSWRATAHVFTERTLYRHSRQSNLILADAGWHCSFCFRDIKEFAFKMKAYSHADRVKQKNFLNPERIHKIICNGEDLFDMLPEEYTFRDLFKKMGPIPKSASAVHLPSYLIENADKFKFLLPGGCLRCTAQAPGAPWPRLRVLLAGFSFGCRGSGTAGGAVWPDDVAHDGGGITLSWPRGGAWPEPASGHGRRYQVAAAGRARFAAYLKEPP >Et_1B_010290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35126762:35127369:1 gene:Et_1B_010290 transcript:Et_1B_010290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEENAAELKIGEGSLFSLFAALYPPISFPTNQFEAARVWWPSSWSTSTSRSSSTRRRSRTPPRRSPRSSRSRCSTSSDSAATRTPTPSARSARRSAATASPSSSSAPSATSAPTPAERPPRSSPPSPPAEGSRRATRATRRSKRCSTTSPSSKNSSSLRDHRQWQGLVQFLQQ >Et_1B_013092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5439418:5441716:-1 gene:Et_1B_013092 transcript:Et_1B_013092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMDKVSALGERLKITGTEVSKKMTAGMSSMSFKMKELFQGQTPADKIVEDATSENLDGPDWNLNLEICDLINLEKVNSVELIRGIKKRIMLKDARVQYLALVLLETIVKNCEKAFSEVAAERVLDEMVKLIDDPQTVVNNRNKALMMIEAWGESGDELRYLPVYEETYKSLKSRGVRFPGRDNESLAPIFTPPRSVSEAEVDASLPQQAYEDVHVHTYTAEETKEAFDVARNSIELLSTVLSSSPEQDALQDDLTATLVQQCYQSQHTIQRIIETVGDNEAVLFEALSVNDEVQKVLSKYEEMKKPKTSENAEQRPVVIPIATEHEDSSAVGNEDDALVRKPAASRARSGGDDDILDDLDEMIFGKKGGSSSQEGPKKEDPKKDDLISF >Et_6B_049989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2860154:2864757:1 gene:Et_6B_049989 transcript:Et_6B_049989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSYCTLQGNRDRIGWRAGGKIDQCTMLLQAEPTADVHGLRLQLPIRQSHHRQSCHVACLPKRSSTMSKLTAGLEAAIFMNIL >Et_3B_028436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15418036:15419071:1 gene:Et_3B_028436 transcript:Et_3B_028436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAATTAAAWTREEDKAFENAVATAAAPPADGSPPDEGWFSALAASLPARTAEEVRRHYEALVEDVGAIDAGRVPLPRYAGEESAAPSDGGADAASAPKDGSGGGHRREERKGGVDAGKSCSKAEQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVTAGDVAAAGGGAPITGGPAAAMPMGPGGMKHHHHHPPPPMGMYGHAPMGHPVAGHMVAPAAVGTPVMFPPGHAPYVMPVGYPAPPAKMHQ >Et_7B_053608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10253054:10253751:-1 gene:Et_7B_053608 transcript:Et_7B_053608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELRLKFKMHEEEREARQLDFEKSMESLKMLKPVAFCDDVDLSALQARRFPCLERSLRKIRPRFELEDFEVKIAKFLVENAVVLEEMQVGDGDQRFHDHIHRKLAIWRANSSKSKIDIVGEQVKTRRGFRWQTEV >Et_5A_042775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4048457:4052839:-1 gene:Et_5A_042775 transcript:Et_5A_042775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFKMVGFATLVRREKGWARQGRIASFFFTVLPRNGTEKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKETIKKNNIVLAKEEKLKLSASYKVHHVTNKRLDTSLRYRFAWHNIELDDLNNSLVHHVPPKRRRISGAHCGSRGTWCKWNSIGGDHEAQLPLGELDEVLDLAVVHDAVAVLVGAPDGEPGPKSGEPRAPAADGLPELLPTDLAVAVGVELLQPVLELLNGDAISGQRATMRSGRLPCRYLHAEIFLCERDEVLHLGVVHEAAVVLVGLAQSAAAPHGGEPAATAAKGLPELVPADPAVAVDVELLQPVLELLHRHVVAGGVDAPDRHGWLAGCHLVIIVFVVEATQTARGKHDPMNGGEGYFHGI >Et_6A_047347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4213384:4217024:-1 gene:Et_6A_047347 transcript:Et_6A_047347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGLGNFGRFVLLGACRRSVGLRSIHSSRVRLSDADDPRWTMLNTYLLSRGSVADAETVADAGCATSTGGHLRISSGLEKPPASSVLYYDWTGSASGDGNDGEDERVSQKIITAHGDSVLLEMSRRRINSFWPPKDYFVYRASTAQAPSLSLLPMGTESGRSVDNPRERTMFEKTTGLLRRSNDELLVAELGFTHRNSSPKDTAKLCVLNIGGSEWEIKRDVPIIVDEGDQTQCWSLINRVVPVGDRFLCWVNYIHGFLMCDMSETSPKLRYVPLPVPGASFLEGSGSYIYDGWIEKRIQRSTSMCAAGDGGTVRLVSVDPRCCCGGPVHGKSNCSHSPFAFTVTTWTGSLSMDEPVRWVKEGVLDCQELWAQPGYEGLPHVPLEHPVVSMDNPDVVCFIVRQHHFVGNVYEDGKIWMIEVDTRRKELLSVICTDDQFADSCHLPAKLSNRRASCIGDDGALNFPPDLVLDHPYPSLHGIHTVKQTMSGSSALTVGTLLAREEGCHTSGAPRGQVGLAVVADSEADNVGVVNAHNGVLHRHARKSSTPSFTHAAFGSSVVVRFRFHVVTVKAKRPGMQVVLPGPPQQHRGSTAMNRTAPAPAAANLRDGSMFGRPSKPGKGNVPELGTGLGHVAEEEAAQVVDPAQEPVADGDDRVRLPVHHHIVRLAGWDNDGHPPERAELGCVPRTVVIGRRQLDLLGRVPRVIVIVRQLELGHVLRIIVIVRQLEPGRVLRVVVTARQLELGHQETLLATAQEAGAVLVHAARLGLRTSTVIVKVGEVAVRDREA >Et_10B_003744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5545691:5547702:1 gene:Et_10B_003744 transcript:Et_10B_003744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARTGVGKHIGLLTKLIQGCCRALISYMHDECVPRILHRDVKPNSILLNNECNANLSDFGFARLLQNSETHATTNVPGTLGYVAPEYAMTCRVSDKADVYSFGVVLLELISDKKALGSSFSPYGNGFNVVTWALRLVQRGRALVLIEQKRHTKLVMKKKKTSNERRKYELKCNNGPNIKKKSDERNNLQVDQ >Et_2A_015705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17016204:17019690:-1 gene:Et_2A_015705 transcript:Et_2A_015705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRMAARLVQRRFRSSGGKVLGEEEKAAENVYIKKMEQEKLEKLARKGPSSGEQASSTTSSAASEVKAGGGATESTSAGVSTDKNRNYAVLAGTVAALGGLGWYLLSKPKKSEEVVD >Et_9B_064267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13454831:13456902:-1 gene:Et_9B_064267 transcript:Et_9B_064267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDFLGVFGRRGREGAQPEDAAGGESDDIELSLGLSLGGRFGTEAKRPRLARSSSIASVCSVSSLDGDRDADPSPAAPLPLLRTSSLPTETEEERWRRREMQSQRRLEARRKRVERRNSMGSSCSSLPAKPGGERRRQQRISAEAVDWVAGEQLRQRHGTRSCWNCSSSVHRH >Et_4A_033601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24967372:24985269:1 gene:Et_4A_033601 transcript:Et_4A_033601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAHQTGKSVVRVVVIGDPGTGKTSLVFALATGQFADEVPSVMPPARLPADFFPDGAGVPFTVVDTSSSPEHKERLIAECKAADVVVLTYACDRPDTVERLRTFWLPELRRLKLNAPVIVVGCKVDLRDDPRFNIDQVMEPIMQLFREIETCIECSALRLFQVPEVFYYAQKAVLNPTAPLFDQEAQCLKPRYVRALKRIFYLCDHDWDGTLNDSELSDFQFKCFGAPLQPDEISGLKRLLQDRIPEGVNESGITLMGFIFLHAFFTESGRLETAWRVLRKFGYDNDLKLRDDLITLTIKRAPDQTLELTAEVICFLTRLFNRFDIDYGTKKTLILQEIPEDDVKSLLANRESLAQCDVAFLKDDRSWAEVSQDIGIETPIPISVESRDLNNVFCRIVHAAQQPHLSIPETEAGKTSRHYRQFLNRSLMVVS >Et_6A_047673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9432994:9441214:1 gene:Et_6A_047673 transcript:Et_6A_047673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRRPLLLLVLLAAFLSFSLASTFQSDELVLNDDDEFEGVGARPASPSPPAAPAVSSRRRSADASSAGHGESNAVQFTLEHDLGDGKGFAPAGSFSARLKSSAHGSQTLTKLRFTRNELTGDDKDGFKKLLQEDGFYTVRLPSNVLDPKRKDYVVSSIKARCIPRDSLDEHIVIHMDGVNILAVNYGSVGGCQYPRPMKFPSKWTFNSYTVLKTAEQAPRTPSFADQLIEADNGLGEMVKPLEKSFWAKYWMYIIPLGLIVMNAVTAAANIPEEQAAGQGQAGAQRAPAAAARRR >Et_9A_061680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15208112:15211175:-1 gene:Et_9A_061680 transcript:Et_9A_061680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKMNQFFESVGNFFTGGDNIPWCDRDIIAGCERELADAATEEQRNDSLMRLSWALVHSRHQDDVNRGISMIEASLDNSNSSLQTREKLYLLAVGKYRTGDYTRSRQLVERCLEVQPDWRQALSLKKAIEDKIAKDGLIGIGIATTAVGLLVGGLAAALAKK >Et_9A_061038.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:1271311:1271610:1 gene:Et_9A_061038 transcript:Et_9A_061038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATALGGGCPADATEKKRASDDERSLLTENLTEKKVKANCFEPSKNAYVEAVRERSRKVWLQGQAIKAIGGRSSRYLDDLILELKSRKNESRKMTILE >Et_2A_018192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17394410:17405369:-1 gene:Et_2A_018192 transcript:Et_2A_018192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSLVALVIATALVLAAVASSQVSAAAAAAPPAPIGLPGCNTACGNVSVPYPFGIQPGCYREGYNLTCDTSRRGSPRLLLGDGSLRVVDIYPQNSTVRVLRDGAMVTGAADGIASGGLNLTFAPIFAGGPYRVSYVNELVLFGCDLMATLVAGKRRVADGKGPSSVVGCSSFCTSGSSYFGGDNHYCSSEGCCQASFHVMDWDHMPTEMHLRSLESRMNTSYENSHVSMFLAEEGWLDKREWQNNQIQRQDEPKNDIPLILRWDIMLGLALSESDEKRDPYMDQGCPRDVANLCRSNNSRCSWDIEVYQCECEEGYDGNPYVAGGCQDINECKNAQANWCFGECTNTVGSFQCWCPSGTFGNPTIERGCLETANYSTDALQLVGMAPAPIGLKNCNTTCGDVQVSYPFGFGPRRCYLPGFNLTCDTSHNPPRLLLGSGKTTLQVVGIFLNDSTVRVIHDSTFNITIGIGVLFPDIGEPYMLSERNEFILTGCNIEATLLGGYSNTTGTDDSIISSCVSNCTSGKVGGTHTDNEYCSGRDGCCHVHIPPGSRPSKVKFKQLANNSTTLSEDMPPLAFIAEEGQIEQWHNILTRSMLIIILDIDECKIPSIRNACFGDCNNLPGSHKCQCPRGTRGDPNKLGGCVKTLTGRLNEKSDVYSFGVILVELLTRKKPFLYLSSNGDGLVVHFTKLLAEDNLSHILDPLVIAEGGQEVQEVATLAASCINLTSDDRPTMRQVELILEGLQESKKSVNGNMVPAEFGNEGIAMTFPSMEERQSTEESSKAYSMEEELLMSATHPRRKDEIDSSIP >Et_8A_057524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:417693:424334:1 gene:Et_8A_057524 transcript:Et_8A_057524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGMEVEVRLVGGARSCFVALPLHLIHALERTSASGDLPPVLALDLRAAAGGRWSLAWSGAASRSRAIEVAQELAECISLPDGTVAQLSVSRSLAKADSVSIEPFSEDDWEILESRADLAEETILKQVGIIYEGMKFPLWLDGHNILKFVVVSSCPAKTVVQLVPGTEVAVAPKKRKEKSSHDVQKQTPLKEQVKIKALLRVQAADRKYAQTFRYKGVDIGVVLSYAALIHPDTATNISLGNLQLVTISPKSSKKGLPPKGKEVAQNKGVSVAKERTQEAVVHILFSDSVAKGHVMLPYSLRHFIRVYLKTYSVNIKKEEPIVTISPLRFKVHAKDGHDSTKLGIQEADASRISWFPSGTGDIFQEAHHGENEGVQSADVESVSESVTKHKLFIKQWLLGQIKEMDLLSQTKINSIVLPTEVLLHFEATDQKLNRVEFLYLLSVASESSGFTDSQFDVEIDWSAPNGNPENLELLLRKLELGETVYFDSLMNDGFNDGFKLTQSSLGWMENAMSDVKKRLSVLLSPASLSLFNRLKFPFPGHVLVYGPRGSGKTALTRASAKYFEDHKDILAHVIYIDCSKLALGKAKETRQGIEDSISEALLHSPSIIIFDDLDSVISVSSDPQVSQSTSSDSLVRYLADIMDEYKDKTRNACGYGPVALMASVQSLQSLPQDLTSSGRFDFHIELHALAVPERKALLEHQVEEHDLQCSEEVLSEIASKCEGYDAYDLEILVDRTVHAAASRFVLPSNASLNSVKPTLMMEDFSKAMHGFLPVAMRDLRKYAPDDKDGGWEDVGGLNEAVTIIKETLELPSKYPNIFTRAPVRLRSNILLYGPPGCGKTHIVRAAAAACSLRFISVKGPELLNKYIGSSEQSVRDFFAKAVAAAPCLLFFDEFDSIAPQRGTHSAGVSDRVVNQFLTELDGVETLTGVFVFAATSKPQLIDAALLRPGRFDRLVFCDFPRWDERLEILKVHSRTVSLASDASLEDVASLTEGFTGADLAAILTDAGLAAVHELLDSRENVIPEREPCISKDLLMSVARKARPSTPADEKRRYDMEFGEFVSSKKSISTKARESKGKKVTLA >Et_1A_004541.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14418790:14419863:1 gene:Et_1A_004541 transcript:Et_1A_004541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVRRLQVKKAKSLNLLRSSLPACVPSAVDRSEWRPATGAGAGVPAVGGGFRRQLHVAEQVRRQALEEAGVDGAGELLRAGGEHPGAVGLPLRGEQVVLLQRQPLVHRVGEGRRHYDHGPGRRERADDAASHDLPLPSGEVDGEPRGLRRRGRREEGAREGEDLEAAVERDDGARRRRLAQRDVGDGAGAAEHADAALAAARERRDALGDVAAAGHLHHVRAQRVGAVAGDDDRRLGLVLGPRGPAPGAARAGVLAAAVRPASAGRRVQRQLVAAVVAAAVVVVVVVALGAAAAEGRAAAALVVLGLELQLVVMLLLLLEMVMEVAAVEGRRKMVTVHGSCDRIHRRSRELASRSS >Et_4B_037882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24383210:24385208:-1 gene:Et_4B_037882 transcript:Et_4B_037882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLAAEPDGVGKEEEEEERIKSAPGGGVLEGLVVGSYCDDVLLRGGRVVGETLGGAAAFVSNVLDAVSPRGEEGIEAPLVVVSKVGHDFAYATAPAPARHPPLLCAAPTTSFHAQFSDAAASAHAPDRELRRVRACDPIYPADLPDRRFAYGLAVGVAGEVLPETLERMIRLCRAVLVDAQALIRAFDGEGAVRHVALEGTPYARLLPRVAFLKASSEEAPYIGVETARRWCCVIVTEGKEGCRLYWDGGEARVAPFPAVQVDPTGAGDSFLAGFAAGLLWGLSATDAALLGNFFGAAAVSHVGVPTFNAKMLQAVKTILEGKVKHCNPCINGTTFNFERSNMHDELHTSLQEVAMLMSEKQEIDPANGIGDICST >Et_8A_057144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21536265:21539297:-1 gene:Et_8A_057144 transcript:Et_8A_057144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPATAAAVLVLVALLSCAAQRSCAIGVNWGTQLSHPLPASTVVRMLQDNGFDKVKLFDAEDSILTALRKSGIQVMVGIPNDMLADLAGNAKAAENWVDKNVTGHVHDGVDIRYVAVGNEPFLKTFNGTYLNTTFPAMQNIQAALVKAGLGDKVKVTVPLNADVYESSTGKPSDGDFRADIHGLMLTIVQFLASTGAPFVANVYPFISLYSDPNFPLDYAFFQGTSSPVVDGGVTYQNTFDANHDTLVAALRRNGFGNVSVVVGEVGWPTDGDANANPDYARRFNQGFLSHVASGQGTPLRPGVPVDAYLFSLIDEDQKSIQPGNFERHWGVFFYDGTPKYQLSLAGNGNGNGGGTLKPARGVKYLDRKWCVLKPSANVADQKVGDSVSYACGLADCTELGYKTSCGGLDARGNVSYAYNSYYQINDQDDRACDFGGLATTTNVDPSAGTCRFNIEIAVTSAAAAHTTTARGVVSAVVIAVLLLSVF >Et_8B_060719.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5555131:5555604:1 gene:Et_8B_060719 transcript:Et_8B_060719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERVFFAVESDGRDAYERLLARGYVEARGLWMKPCPAPGCGSAIELISSSSSLDDGGGRGVPVRPRLLPASCAAWTREADAASAHWVALHTKPCPRCRASPCSAGAASAAGVNWSGGHDFCVDVDEHTDAEEGGAAPREAGAGRTPTWDEKAGRGR >Et_7B_054700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3593471:3596676:-1 gene:Et_7B_054700 transcript:Et_7B_054700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARFVSTSTSRLSSTPSSPVSKSLGRASGRVAFPARPHGVLLHLRSPVMATASVGGNGSPTGTDESTGPFRIGEVKRVTKETNVLVKINLDGTGVADCSTGIPFLDHMLDQLASHGLFDVCVKATGDTHIDDHHSNEDIALAIGTALLQALGDRKGINRFGHFTAPLDEAAVEVILDLSGRPHLSCGLEIPTERVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATEFDLRRHGTVPSSKGVLSRS >Et_4B_036923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12660352:12665997:-1 gene:Et_4B_036923 transcript:Et_4B_036923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPCSMRMYGLEYGRMHVSPMLDAHIMAGHRNSHGKRHSDYTENGGGKRRNPGDDTYAPGPDDTVYRYLCASRKIGSIIGRGGEIAKQLRADTQAKIRIGESVPGCDERVITIFSSSRETNTIDEAEDKVCPAQDALFRVHEKLTTDEVPGNEDSEEALPQVTVRLLVPSDQIGCIIGKGGHIIQGIRSETGAQIRVLSNDHIPTCAINGDELLQISGDAAVVRKALHQVSSRLHDNPSRSQHLLASSLTQTYPGSSHLGNSSTAPVVGITPVIPPYGGFKGDVAGDWPSIYQPRRDESSAKEFSLRLLCAAANVGGVIGKGGGIIKQIRQESGAFIKVDSSNSGPEDDCIITVSAKEFFEDPVSPTIDAAVRLQPRCSEKTDAESGEPSYTTRLLVSTSRIGCLIGKGGSIITEIRRSSRANIRILSKENVPKVAAEDEEMVQISGDLDVARHALVQITTRLKANFFERESALAPFPPTLSYHPLPAVVSDEPKYLGRDTKPAGHGYLYSSGYRASDDLIPADTYSHYSSSQAPGGGYVPYSGYSSRSGSSGLSSHSSLPYGKRHGFAEDPNAVAFVDSGYIHVDPITVTVGSARLVT >Et_3A_023247.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:13680185:13680307:-1 gene:Et_3A_023247 transcript:Et_3A_023247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPGAVGFVISRAAFVANQQLYFQILSTAGASGGRRLET >Et_10A_000900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18931226:18932101:1 gene:Et_10A_000900 transcript:Et_10A_000900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FAYVGNLPLHILHVDRGFVRVGKLGLASWELEWFTTLRGSSGPRKGGLSLSWRQSLADVVEIGVDEDGLIDVDVLRRVLGSPECASRPMLGPFSACSNVTGIMTDTREISRVLHQHGAYACFDFAARHIYKWRFLMEASTSYMLQFLRFVLPVAHIVQRIRASLAFRVKEYIGYDRMSLREHVYSETAMKEAC >Et_5A_040562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9873229:9874524:-1 gene:Et_5A_040562 transcript:Et_5A_040562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCTLLLLAAFLCLAFSVSADFDDDYDPRGFGRGPRGYGRGPRFGRGPYGRDCRFGRCRGGGGDFGGGGGAGGGLGGGGGLGGGGGGGLGGGGGGGLGGGAGGGAGGGFGGGAGGGAGAGGGLGGGGGGGFGGGGGGGLGGGGGKGGGFGAGGGVGGGAGGGGGLGGGGGGGMGGGGGGGLGGGAGGGFGAGGGVGGGAGAGGGLGGGGGGGLGGGGGGGLGGGKGGGFGAGGGVGGGAGGGGGLGGGGGGGMGGGGGGGLGGGAGSGFGGGAGGGVGGGTGGGGGLGGGGGGGMGGGGGGGLGGGAGGGFGGGAGGGAGHGGGLGGGGGLGGGGGGGLGGGGGAGGGLGGGAGGGLGHGGGLGGGLGHGGGFGIGVGVGVGVGMGAGAGGGAGAGGAAGGGGR >Et_5B_045368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:235176:236074:-1 gene:Et_5B_045368 transcript:Et_5B_045368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTSEYNQLSHQVYSVALLRQRDSSRRAHCAASIARGGLIGSRRVQWTHRGVQPGARGLSGPGPPISTASGFGLCSIKGAAWAGLQTLHPQPNQRRKSSPSITDQSRRLSPEKKKRAAAKCCLSTELADLEEAQGGETAEQRNKFLVLRLYEALNGRDHAGVQALLAPDLEWWFHGPPKHQHMMRILTGAEARGGFRFVPRSVDAFGSTVIAEGHKEEEGTYWVHAWTVGPDGVITQLREYFNTDLTVTRLAAATKCVWQSHRPDSKTNSLPGLVLAI >Et_4A_035217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12758976:12759258:-1 gene:Et_4A_035217 transcript:Et_4A_035217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRDNTATMIDLILAIILPPLGVFLKYGCAVLIDRFCLPLLGALPQIEFWVCLILSFFGYLPGIIYAVWAIIKE >Et_2A_015019.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:26384817:26385038:1 gene:Et_2A_015019 transcript:Et_2A_015019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGEGLPPGLWESMELTESWLKTEELNVSLGPHMIPPGDRSGRSGSDMLKPLEANCSVTNLHALSRIVSMYF >Et_9B_065350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4001471:4005190:-1 gene:Et_9B_065350 transcript:Et_9B_065350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASYRRAGAGGGSAPRSVEEIYKDFRARRSAILRALTHDVEEFYAQCDPGERPVSVPPRDLPCALLRFDFGHELMRFVCWIRVEKENLCLYGYANEAWEVALPAEEVPTELPEPALGINFARDGMNRRDWLALVAVHSDSWLISVAFYYAARLNRNDRKRLFGMMNDLPTVFEVISGGAKQSRERDRSGNDNSGRNKLSVKHTSEPRIENNVREADEGYDEDDGDHSETLCGTCGGIYSADEFWIGCDVCEKWYHGKCVKITPAKAESIKQYKCPSCSSKRPRP >Et_6A_046651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18785119:18788727:-1 gene:Et_6A_046651 transcript:Et_6A_046651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFSTGQLLVILGACSVMMKPSDMVKIARTAGRMTGRAVGRLIVARRQLDEILGQSAATKVHKELKDAMTQLDTIRYEVQSLSRLTPGQFTTRHHDTGMAEAGKSDASHGSVTKLEEFQHEIRSIIRDEVESFSSSTEARKLDVSADPMPLKSKDMKMANTGSADLHSQAVTYARLSEASGIKMSSSLSGNYQEQFKESGGLLNVLPISAETAGLLPSRSEVVS >Et_3B_030219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31445711:31450453:1 gene:Et_3B_030219 transcript:Et_3B_030219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPPPAAAALEKLSSTKMFGGHNLRFRHQSATLGCAMTFSIYLPPSPTSNLPVLYWLSGLTCTDENFIIKSGAQRSAAAHGIALVAPDTSPRGLNIEGEADSYDFGVGAGFYLNATNEKWKNWRMYDYVVKELPKVLSDNFEQLNTSRASISGHSMGGHGALTIYLKNTDKYKSVSAFAPIVNPINCPWGQKAFSNYLGSTKSDWEEYDATCLVKKTKEVAAPILVDQGDEDKFLAEQLLPCNFEEACKTVGVPLILRMQPGYDHSYFFVATFIDDHIAHHAQFLKSA >Et_7A_050383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11812609:11813739:-1 gene:Et_7A_050383 transcript:Et_7A_050383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLEMAAGSRGVITASEAQHPRRPVRTTRDAGHQMPDVEQQPMLLDDVAAHQVTVEDDSQQIVRLELSPQVVVETQATPEVSKELLEIPTEAVLVVVHGKSSTSANQQQAAVAAGCGAGGAKKQKKRRVLPDPERTPPPPGTAASPDAAADAMPRVAIRRIPSPASDKKHECTTCHKSFPTHQALGGHMSSHAKDAKHAARRDDDHPAVVAQAMRNILAHRKQKGGGGGTGATAGAVLAGVGPEGAVAGEGQERAVVGAVLDHHYVEPPAHVPPPPSPPEHVCPDCNKIFPSGQALGGHKRKHWYPEKKQAKAVLAAATQNFDLNELPTEGDEENQP >Et_3A_025703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3220964:3222012:-1 gene:Et_3A_025703 transcript:Et_3A_025703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSASSATSRSSTCLARHWPRLWLCTVAASPVVDFSDRDFAHSAGRGHGAPLPPSPRQARRAARHVPRGVPVPVPARGEGCERGGGGPHQGQSRFRPTAQFGPCLPLDLAPPVDLTPTRWRRAIGDDWDLSSVGESASLELPADLFRATDSLERLALVRGSLRAVPLGAPGLAGLRSLSLSHADVHGEALRGVLLETCRSLEFLSLQSCNDLLHVLCGSSARSCWAWSSCAAIRTETMKWLYNLENFCYTVIRTETMKWVQVGKVQTTHACDGIGVAESGRQRRDAGAPERAGRREHAAWSGRQ >Et_9B_065267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:379055:387908:1 gene:Et_9B_065267 transcript:Et_9B_065267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSHPPYFFCAFLIPRHRSTTAPLGPMRFTDTVYETNRFPVCQAVNILSVKIACSDVGFPIQVYGTVIARDSIDSKCLHLFRRDTDHCQLINSKDEPLILTGPKRGLVLIDNNYVETDLKIKDHQGQDRQLSKGIAMIRGIRGRSTESEVETESIDTRLSTVDVMYAVVKRSVEAIKVLRGEVHGIITAYTTSVQKRIVLSDSKLAGAETGDGDGDIKLMRSVVSVSVKDVLIIDAKTHGGKFVCNEFTPRGNKWKTVVSTLGATTMPMGEAERLRQEALDEARLMEDEARARRHRAQARILDFDPKHLLQPIVRGGDKFEITVGDTQMLVHVTWSIMDW >Et_8A_057940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9754041:9754328:1 gene:Et_8A_057940 transcript:Et_8A_057940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKKKKDTRRKKKIVLRSVYSDIYAYVRLCGLPYESSYIFWIEFGSCTMHEYNEDKGKYLSILNCNIFIFQSAVCNIWMYSAIILIQFFV >Et_1B_014385.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7365337:7365786:1 gene:Et_1B_014385 transcript:Et_1B_014385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATACVAPPSTSTSSFLLLRCRLPAPAPAFRCLGPAPHRRARIQVALTSEVSSDDVAAEEAAAASKIGRRVRVTAPLRVYHVLKAPNLDVQGMEGVVNQYVGVWKGKRITANTPYKVEFHLPVEGQPKPVRFFVHLREDEFEFVDDQ >Et_10A_001033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20344453:20347699:-1 gene:Et_10A_001033 transcript:Et_10A_001033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMSFRSIVRDVRDGFGSLSRRGFEVKLLGHLRGKSHGAVHELHDPAPVIQSSCWANLPPELLRDVIERLEASEATWPSRKHVVACAAVCRTWREMCNEIVKNPEISGKITFPVSLKQPGPRDGTIQCFIKRDKSTQTYFLYLCLSSGDPFSSAVLVENGKLLLSAKRTSRPTCTEYTIFMNANNISRSRKMHIGKLRSNILGTKFSIYDTQPPCNVANVSQPGKTSRPFYSRKGSPKASTSNIAQVSYELNVLGTRGPRRMNCVMHSIPASSLEAGGTVPCQPDSVLAHSLDESFGSISFAKSSVADQSIRFSSTRYSDISMSSQRFGDMMLGDNDERKEMPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAAQPAAGAPTPSQTAPPPPPEHEKVLLQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Et_1A_009229.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:34638496:34638783:-1 gene:Et_1A_009229 transcript:Et_1A_009229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTAKPRGKKLVRVHQEYIDCLLKEPHHKVFVGVPEELIDRVPNTELREELRTVQASARAHLQMLVDKDLDVLNQYRTKGFAEVEVHIYNDDE >Et_3A_024620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22664013:22666405:-1 gene:Et_3A_024620 transcript:Et_3A_024620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNVSFNCRNSGDSKKKKNCLNSGCQYKWKSCIKFGFPFILDVRRRTVVVAKKKATPELLAEGGANGISEEKIASKNDLIHTIRGESFLDKSKYPSEARKGVASAVGAERRKKSATKQEKAKREPALSVLLSLGWASCSGDGIMVSYHLHHRIWIADCPRLRVQLDILDKKLGNEIDKTKRDTSKQFEEKGNKLETKMKTLEDKTEKLGKSLAELGGMGFVSKNEFEEILIQLNKKKGLDGADNDTSLDDIRLYAKEIVEIEIARHAADGLGMVDYAQGSGVSQMWPTIDLALQRISRSMDEEQGQTFQLKRTDIADSWKEILCGTVHGTEPGSLKRALKS >Et_8B_059239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15774139:15777257:1 gene:Et_8B_059239 transcript:Et_8B_059239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIILVCFLSRGISIHKGSIGMERQVMEEKLKKLSLKVNHHEGNIQFLKSELNAIEETCIDLRIKLGNYHSSVAANANNDTSSLEAEQHNTIRGILDHDKTAAGILCQVKVRHHEAASMMPLMKNILGFVATLGKVNDDNLSRLLSEYLGMDNMLALVCKTSSGVQGLENYNKDGSIDKNSGVHQLGRTVGKFLDGRFTVFCLENLRPFSEIVNIDDPQRKLILEKPRLPGGESPPGFLDFAVNMIHLEREHLSCLTASGNGLRETLFYSLFSHLQVYKTRADIQHALPAINDGAISLDGGIWRPNGSFCLGDSKNLEVKFALSLGVSSLPIDISDMEEQLKLKNWEKERLLEDMKREEDLLRQAKELYIYSKQKEEHMDSGTDMIQEVIHLEPSPP >Et_1B_012022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28133705:28134500:1 gene:Et_1B_012022 transcript:Et_1B_012022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTERLWPCPSSPAAAALSMVLDNDDLLGEILLRLVFPKNLVRAAAVCRRWLHLASDPAFLRRFLNLYPPRLLGLYVQSGRSPKFVSTPHPPAELAAAIRRAGSILDDASLGVTAVLDSQNGRLLVKLNDNAKPRDAVLSLLHLRETWPCSRRRVRNKIQHLDQAHERHDRRRCVRKWDLLRQKLIHGFHELRDGTWHNLSSPSLMLPSYLLPSLLTSRPFDGKLYLMVCASAIATLPSTLSRLPYLSLSRSRMAWSAGH >Et_10A_000759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16771019:16774452:1 gene:Et_10A_000759 transcript:Et_10A_000759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLVTGAMGSLISKLGELLREEYNLQNGVKEQIESLWRELESAHAALRKTGDVPLDQIDEQVRIWAREVREASYNMEDVLDAFLLGLEGRHENRDHASLFQRLQAMVAGLFTMMGSLFKRRKIAGAISDIRKKLEEVTDRGERYRVDGIAAKSAVTSTVDPRLAAMYKDGTQLIGMDKSRSDLLSMMMFKGGEASNNKMKIVSIVGAGGLGKTTLAKVVYDKLKSQFSGGAFVPVGRNPDLKKVFRDILIDLEKETYMDLKFTKLDERQLINELQEFLRTKRYFIVVDDIWEMKSWETIKLALVDNNSGSRIITTTRKVHVAIGEIYNLQPLSDDNSKKLFYAKVFGGEGKYPDNQLDEVSKKILKKCDGVPLAIITVASLLVGKSREEWYEVYSSIGLGQKHNQQVDTTMKILSLSYYDLPSHLKTCLLYLCAFPEDYFIEKGSLIEKWIAEGFVTKKHGLGLLEVGEGYLHDLINRCMIQMVELDYGCLHDRINRRMIQADESEYDTLFYFPTYGCRVHDMVLDLIRSLSHEENFVTISDNRKGTLSGNNARRLALHDTSIEYPHKDNHMDAPQLRSIIACWCDIHDRVMLSRFTFLRVLALEHCRHKEEGQYHLEHLGKLLHLRYLGLRHTPILELPEDIGHLKFLQTLDLDGTGIKELPSSVGLLTQLICLCARETRMPNGVIEKLTSLEQLQIRPVDVDKSRDPFVKELGNLSELRVLRINNNNEPNVKKSMQSDLLQSVGNLNKLLILILDGWFVRPEQQSDKDAWDTAVLPMHLRHLILPSVWFYSLPSCIKASSLACLSHLFLNVYDMDEEALRILGGLPELRYLYLTTVSTVTLTSGNVSDCNFQKLRSCLFDNSTVQIVLHEDTSSVSLCICNRSDNVTLSSGSIKDGLIVAAPVVMPNLEVLDFKVQVMKGLMHNNRICDNLGLEHLTSLKKVKVRLWCDGSFADDAEKEKAALSHAVEAHPNKPTLEINVWNEDRIKRCVPAHMDIRDNFLEFNYPLICFTDQICNSLIL >Et_10A_001853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9131933:9136527:1 gene:Et_10A_001853 transcript:Et_10A_001853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWTDLTQLSDSDATHCVQYLLKVDGYSRCNAKKSKYYSAWKRKVDGYDWEIRFYDHRSEITLELLFLSQQRANKVTASLGVRLVDPSGTLQPSAEKIGQCTSFHRPSDSSGLIVVMDRSSACLLGYIKDESPMTLECALTMEEKTSSYVEIKEIESPVFKAMLHFIYTDTVPELDEKQENTLFMAQHLLVAADMYGLDRLKVVCERRIALGIDAGTVATMLALAEQLSCSQLKAKCLEFIIGGSVKNLNAVVETEGFKLLESSSPSVVTELLKAAHKRINK >Et_1A_007714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37543422:37544842:1 gene:Et_1A_007714 transcript:Et_1A_007714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYSGNWAALPEDMVRCIFDRLDDPLDFISFRAVCQPWREAITREAHGRFNPRILGRRRRQRHGSDKVLKGRLTRLAGFGASHLIAIDDDKLSAVLVNQLLPTAGCTVLPRLPERCEGGLTFGFATDPEITPGDKTSDKNVFVVI >Et_4A_035187.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:1115562:1116818:-1 gene:Et_4A_035187 transcript:Et_4A_035187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPRLAGAPLRTASSHAAFSARPLGPRGRDADADAAAASDAIVRLVAACGNSLEADLDRLNPALSHRLVTTTIRALTDRGVPAARFLAWASLRRGFTPSAHAHNLLVDNAGKLANYPAMSRALALMSKRRFSLTDRAFTFLAPPGSSPRGRVEEVAGTVLKVLDDVGGPCRASGVFSLVKALATVGEFGAAAAVIEETARAARYYNVLVAVKCKAGDFIGARQVFGEMKKSRCAPDANTWNYLLGCLLKNGRVAEACGLVESMERSKTGEIPNSLTYEILAYHACKAGKMDSAMRILDEMFLANVTPRITMHTAFIKGYFYAGRIEDACKYVRDMSTRDRHSTNRNYSLLAKLLRNSGRVIDAGRVLYELMDKGLRPDHSAYVKVAKDLHKMGRGDLAAQLKSMFHSFNADADVGR >Et_6B_049316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3139496:3155298:-1 gene:Et_6B_049316 transcript:Et_6B_049316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQQAPNKRNRGPAPAPALPTTPPSPPRHLEGSPEENPWTRRSTQEKSTTTTPPAPPLDAAPEEKPWTRRSTPEKSTTTAAPSPPLSSSSSRRAGLGETAFSAPPPGTGIATATPNAAANGTPDSAAVTAAKTIKSPAPAFDPLDPFADVPRRELTEEEAWRCGVALQVFERKRKQEREMHDEFTVRIYTSTNDYINASHIESQGKDQRKFISTQGPQPNMFEDFWQMVYENRCPVIVMVTPVEPEKCHEYLPLNDEGQKEYGKFNVKITKTRYDGELELRSLKILSNEANKVHFVLHIRYPNWPDHGVPDRSIFVRKIISRLYHIPKEQPIVVHCSAGIGRTGSTITILNTMERILLGEWSALELFETVRSFRHQRVGMVEREFVYVGAWTIWCHRNSIIFDGASLSLSRWKKSFKDDIALARRDVLQNTKLFTVALSPANYEERNRHAEILPCEDHETLINFCIYTIRLQASTVSQTSINDYINASLVKTEGKGKTKFICTQAPIPKTFEHFWQMVYENCCPVIVMVTPIVVGRCDEYLPIDKGQGHYGNFNVRITKTKQVGELVLRGLEVRLNKADRVHFVLHIRYDGWPDDGVPHNTSGIRQIINRLYHIPRAHPIVVHCSAGIGRTGACITILNIIERILRGEWAALEFVEIVRKFRDQRIGIVGSRQYMYCYYAIVDELKDMIFNSGLKSFNLKQAPRRHVTPLRFASKSSRRPVLQKNPRRRSTAATGNSPSRRPAGGGGGGGGRGGRRCSTPPLPLPAAADLGFDPLNPDATPPARPLKPEQVTSCKKALKALEKKLKNPGALSKEFWSLPDVRTALLEEQKFTAARSSANRARNRYTDVMPFDQSRVKLQSTTGNDYINASLVTTDRKGQTQFISTQGPLTNTIEDFWQMVYENHCPVIVMLTKIDRVKCDEYLPLSKESGKYGKFNVTITKTRQEGKLVLRGVKVQPDKSDVVHLLLHIEHSEWPDHGVPNSSTAVRQILKRLYYIPKENPIVAHCSAGIGRTGAYITIHSTIERILLGEQGALDLAETVRKFRSQRPGMVQTEVLFVVPYFLSRS >Et_2A_018791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7597554:7598924:-1 gene:Et_2A_018791 transcript:Et_2A_018791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMLQLVNPAAATLPVLAVLMLIFLLAARRPQPSPALDSYGSEESLPPFASGLHSRQEDSAAAAAAAYAARVPEGCDIFHGEWVPDDGAGPYYTNLTCPHIHEHQNCMKYGRPDRGFLHWRWRPEGCELPRFDAEAFLDAVRGKSMTFIGDSIARNQVQSLMCLLSKVEDPKDVSTASDLDFRTVYESYNFTLAIFKSTFLVRANQSDTGRRLWHLYLDEPDEAWTSNIAGFDYVVLSAGVWYNKPSVFYQAGRVVGCNYCLLPGVPELTLRYSQRMALRAALRVLTAADGFNGTLILRTVSSSHFEGGEWDKGGDCRRKRPFAANKTRMAGLDLAFHTAQVEEFTWAKAEAAAGGSSARLLMMDTTPATLLRPDGHPSRYGHWPDEKVELYNDCVHWCLPGPIDAWNEMLLQVLLQPDQF >Et_1A_005614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1248651:1250481:-1 gene:Et_1A_005614 transcript:Et_1A_005614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVITRGGGPEVLEAQEVEDLPALGEGEVLVEVAAAGVNRADTLQRHGRHPPPPGASPYPGLECSGTIVALGTNVPSRWSIGDKVCALLSGGGYAEKVVVPAGQLLPMPEGVSLTDAAGLPEVACTVWSTVFMTSHLSPGESFLIHGGSSGIGTFAIQIAKHLGIKVFVTAGSEEKLAACKDLGADVCINYKTEDFVERVKQETNGKGVDVILDNIGGPYLQRNLNSLGVDGRLFIIGFQGGVVAEVNLQAVLARRLTIQAAGLRGRSLANKAQIVSEVEKNVWPAIAAGKVKPVIYKTFPLAEAAEAHKLMETSSHIGKILLIP >Et_6A_046343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13437289:13439522:1 gene:Et_6A_046343 transcript:Et_6A_046343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQTKESQVNSSQTNQTYVLSNLSTKEGWLQPLLLYKNCWLCPLFLGNIMHLQDSFKARRDDTILVSSPKCRTTWLKALAFTITNRSRYDLEHHPLLSSHPQELVPYIEIVPKDKDLTYVETLPSPRILATHMPLSLLPQSILDSGCRIVYICRDPKDAFVSRWHFDNKILIRSGQNSIDLEASFNKFCERVSDCTTGIPQESIAKPDKVLFIKYEDMMLEPVKYVILLAEFLGVPFTEPEHDHGIPTEVMRLCSFENLKCVIPPSGNYVMEKSAFFRKGKVGDWMNHITLEMGRKLDQIFEEKLRGSGL >Et_10B_003790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6215243:6221912:1 gene:Et_10B_003790 transcript:Et_10B_003790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAPEKEVVAKQDGKEEEEQVVNPWEVSAGKGGIDYDKLVDQFGCQRIDAALVDRVARITAAPPHRFLRRGLFFAHRDMSELLDLYERGEKFYLYTGRGPSSEALHLGHLIPFMFTKYLQDVFKVPLVIQLTDDEKFFWKNLSVQECKRLARENAKDIIACGFDIQRTFIFTDFGFVGGKFYENMAQIARCVTYNKAVGIFGFSPEDHIGKVSFPPVQAAPSFPSSFPHLFGDNDQLRCLIPCAIDQDPYFRMTRDVAPRLGYQKPSLIESRFFPALQGENTKMSASDPNSAIYVTDSPKEIKNKINRYAFSGGQDSVELHRKLGANLDVDVPIKYLNFFLEDDDELEQIKTEYKEGRMLTGEVKQRLVTVLSELVARHQRARAQVTEEIVDAFMAARPLPNIKQQHDLTLEKEECPWRET >Et_1A_009588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8722954:8725953:-1 gene:Et_1A_009588 transcript:Et_1A_009588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGILTTRPSSWLATVAAATTAKAASFRRAHAVLLTSGHLSSHSSVNSLLHAAPFPSACALLLRLLFLHRLQPDHLSLSFSLHSCTRVPSHTLTSLFHSLAVRLGHSRDVYVVNAAISSYFTASDVASADQLFAEISHDVADVVTWTTMVAGHADAGGVERARWIFEAMPERNVVSWNAMLGSYASAGMLSEARQLFDRMPVRNAATWSSMVTGLVQSGYCQEALKVFGDMVGCGALPNESALVSAVSACAQLRSLEYGAWVHAYAERELQGAMSVVLATAIIDMYGKCGNIRAAIRVFAAMPVRNIYTWNSLIAGLAMNGGERQALSLFWKMQFAGVRPNTITFIALLGACSHSGLVNEGRWLFDTMVEEFDIQPDPEHYGLMVDLLGRSGRVREALYFVKSMPVEPHPGLWGALAAACKIHGEIELGEEVAKKLIELEPQHGSRYILLSNLYGTANRWDDMASVRRLLKHRKAPKGTGNTVVGNDSKRFVQSLHPMHDSALAISAFVGFSTEKYKR >Et_2B_022821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:650153:654626:-1 gene:Et_2B_022821 transcript:Et_2B_022821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALGPGRFYGSGLPRPRFILGGGDRVDPPAPVNDALLSWAHEAHWSMGGLGVKRLRLQGRIEGSIDKLRRRARRDARSKARAAGQKPASLAALGSDDDASDRDSDEEEVAAQERILKRELVDDDEDSDGSDESEEEEDGDDEEDESLATIATAAKKKRARKLGDEFDRIAAVEKKQKPAAARTSPRRKAAAEASAPVAAAPAGTSTKRKASTPAAGAPARSSPRRKAAAPGAASAPLLNSSSLSCLKLCDMFLNPNISILGAAAAPIEFSITAFRKISSLVFLNKSDKPLPSISMNPSQLNLATPPEKHLTR >Et_9B_066203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:706734:708368:-1 gene:Et_9B_066203 transcript:Et_9B_066203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSERARLARIPLPEPGLKCPRCDSTNTKFCYFNNYSLSQPRHFCRACRRYWTRGGALRNVPVGGGYRRHAKRAKPKQSNSAAAGAGAPANGTTAAAPTSSAACATANAPPAAHGLSGPAAAAMLQAAGGNLSMLPPLLRLADFDAMSLGSSFTAGMHAGGKPAAADHYSIGGGMEQWSRVQQQMQQGFPFLHAMDQQGALPPSLAMVMPGTFQLGLDTTGADGRAGAGEDGSAGDHQLNGMSTKRETGYPPQRGMYAGDHHHHLTAAGYTYSNATGFIVHLGSMIERTKA >Et_4A_033985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28782851:28786727:-1 gene:Et_4A_033985 transcript:Et_4A_033985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRRDLPRAHFQHAQEPRPQVLQDNGGCIFFEWCDTPSPGPGNARSNTNFQSETSSVNIPCPCGAGTCKILTAKAGKNVGKQFYRCPGKQGGATCIFFKWCDDQQQQLRASAPLQTSLQYQADVMPSSQNSSMRSSSACFKCGQENHWAKDCPNQQSDAPLQTSPQYQADVMSNSQNSSKRSSSACFKCGQENHWAKDCYEQRLTHQGPSGEDAIRSTPQNRTCLELVRQGISGCIS >Et_3A_025645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31554643:31557958:1 gene:Et_3A_025645 transcript:Et_3A_025645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSCALLFPGSLLEWIAASLTIFGLLAGAFVKTQKTHAYFKRFQVKYKRRRAGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDIVAQIVSATITGDMVLAAAYSHELPRYGLEVGLTNYSAAYCTGLLLARRVLKIRDLDQEYEGNVEGALDGGLDIPHGEKRFAGFKKDDKQLDAEIHRKYIYGGHVADYMRTLADEEPEKYQSHFSEYIKKGLDADGMEALYKKVHAAIRADPTMAKSTKAPPKEHKRTYMD >Et_1B_013768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15821275:15828611:-1 gene:Et_1B_013768 transcript:Et_1B_013768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDEYEYLEKAVEPSAAPANGSGEKDRASRRRDGDEERVSKRSRSGEDRDRDRERHRSSREHRDRGDGKDRDDGKVKEKEKEKEKSRDRGKDRDREGRDREKERRSEKDRPRERDVERERERRSRSRSERRRAEEEDMVRELQRERERSERHHYRDRDVRLLIHVFEASPRRCGGGGSPRDATGRKKDDGTEPEVDPERDQRTVFAFQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTATSGAASGGARKLYVGNLHSNITEDQLRQVSAVTDHVGAQVNGATAGDLDDDEGGGLALNASSRAALMMKLDRSGTATSLTGGIGATGLNTSMALPSASVIGAAPAASPFPQPTIPAAGLVAGAPLLPVITQSAGMSTPTEFLLLKNMFDPAVETDPDFDLDIKDDVQDECSKFGLVKHIFVDKNTAGFVYLQFDSVMAASKAQQALHGRWFAGKMITATFMSAQEYKTKFPNS >Et_7B_054301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19652487:19652725:1 gene:Et_7B_054301 transcript:Et_7B_054301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNFARGVWHKIRINIPQHLSHLQVLKYLWRRLNVPFVMDSPGRREMIGYSTKLTLQWIIALADLLEFNGIQ >Et_4A_031882.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:26265899:26266228:1 gene:Et_4A_031882 transcript:Et_4A_031882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPAVGCAEGRAVICEAAESAIPAVDSKMMMGGCSPAARQMVLELLKIIKVERQELTSRVTNQDHAHDDVLTMDHAKQMTMLGGWNRGIQFPIFFSFFSLLMGSFSGD >Et_2B_019758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13650557:13654715:-1 gene:Et_2B_019758 transcript:Et_2B_019758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVMSASKGAMDPLLAKLNDLVEDNLVGVSELDIVFLRDELRSMNALLNKLDEDADELDPQVKDWRNQVREMSYDVEDCLDKFMHRCFIKTLRARLETAKQIKDLKTRLVEINDRRKRYKHKGCISRSSSVAVDPRLCALYNDSENLVGIEGPREELIKLLTGPEQQLKVLSVLGFGGLGKTTLAKEVYHRMGGQFSCMAFLSVSQRPDMTRILDCIQSKFGIAGSSSACELMTCGMHHHGAFPQNGNGSRVIVTTRVEHVASKACCSHHECIFKMRPLDSQESRLLFSKRIFGTEDGFPSHFREVSAEILKKCGGLPLAIITIASLLANQPTRLRKEWESIRNSMGAQFGANHTLEGMRQILHLSFKNLPLHLRTCLLYLGMYPEDHVIARDDLIRQWVAEGFVSCSHGRDLEDVAKSYFNELINRSLIQPESTDSEEINFCRVHDMMLELILSKCAEDNFLTVAYNAEKIETQHEYKVRRLSMDFRAGAAANGTISLTSDNRLIQIRSLAMFVKSMCMPLSLLLFEYIRVLVFESLGTGHKIKVDLTRIGQLFQLRYLKVSGDASAILELPTDIQGLRYLETLEVNSVSIRNMPSDVVHLPRLTHLTVPWLNMLPDGIGNIKSLRTLRNFDLSDTKIIKALNKLTNLTSLGLYYVSVPTATVIDDLVTSLGKLGNLRYLDVSHCGQVYDDKANRLDSLSNAPLHLERLEVRGWQLPRIPRWICRDLGSLSRLNLLLKETSTDDIRVLAELPSLVILYLHVVDRPKEEAAIMFGMGFPALEQLYFWCGRDTPSYLCFLPGVSPKLRHLEIYFDEWEWGGTTPAGMDHLLGLRSLEVYMCFRCSEDGHSSPYRRATDSRAGCLFVEATQVHPNRPAFSMHYIPLTRVASPPLF >Et_7A_050667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1034713:1036488:1 gene:Et_7A_050667 transcript:Et_7A_050667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGGGMKREIGVDHDALRFGINAGVKADLVPPHPLQSTIQSETKFWADKKRFGAEAIYGSAFNIRKDLDAQILSRFQRPPGALPSSMLGYEALTGSLDDFGFEDYLNMPQDSDNFRQPDMHHGMEVRLGLSKGPICPSFN >Et_5A_042479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14297421:14298636:-1 gene:Et_5A_042479 transcript:Et_5A_042479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVTLLLGALVMLLLLVNPIDCSRKLRRHRRPRPVSHKPATGGRVSHKPAAPGARAHRNHTVVDYGSGQWLSGAGATYYGAPNGDGSDGGACGYQTAVGTQPFDSMIAAGSTPLYRGGEGCGACFEVKCSTNAACSGQPATIVITDQSPGGLFPGEVAHFDMSGTAMGAMAKPGMADKLRAGGVLRIQYRRVPCKYTGVKIAFKVDQGANPFYFDVLVEFEDDDGDLKAVELMEAGSRTWTPMSHNWGATWRLNNGRRLNAPFALRLTSDSGRVLVAQNAIPAAWKPGKTYRSLVNYP >Et_5B_045776.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:9443194:9444426:1 gene:Et_5B_045776 transcript:Et_5B_045776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDAMRQVQAFQQWQQQQHPDEPQERDIAVDPFSLRQFSRLDIDRPLPIPSVSVADPHVSPGARFGGSGSGGGSFSAASSPRVSIAGRLNALAEAAGWDDDAARSAASPVPPAVAPPASGKLYTVAAGGETEEPPADDEGGFDVALSSSGRKASEPQRWGSDVPLIAAAAGAEDDEEDSAGYYSFPNAARGRNGRGKARRAAGGAPPFACCMYVPGLSMRNSKPPTPRRPPPARSTSSSSGTAAFGFASAAAGARAEKRISAAEDTEEPAPGTARQSTVSLAVSLERFDCGSLSTSSWGDLDLDGGEDVSSSSRFDLLPLELILGCDDGDGDEADLPVCAAFVFDSDGVRKSVLKKRIMAGGAGEEEPRRPSLGRASSGRMSARYGRVSLKSRSPPPPVDALAVDFEHL >Et_10B_004452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:819688:821878:-1 gene:Et_10B_004452 transcript:Et_10B_004452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSRELAIAGGKFWSEDSDSEVDDLGFADPYPEPVTQSSLQEGFNQSREAEWHTVKRKDRRKKDERQRPIGITWPWSKKPWRCPLPKPRVSPKKTIGDLIVPALKRCSNGITSPAGRRSSTCSDPKTARIQILNTSGGAGLSGPTSGQKKMGRKHIGLVALRDRLPIARSLGGKLDPVRQQSTYLQAAMAGGGVKGAASGGTGGDGGGEKRRAYGHQSFRGNRFKASCGRANRSPSPPGQDGDLAARGGRGSDDNRDGRGTSRGRGRGRGRNLVLMKGGLEGRVAAPHADDRSGRKEEDNHQLAGKHSGPEPVGENARKKKKFQLQCTICLEDHHTSTCGLLLGPKPSAICCGLAGSGQLNTSAPGTSGTKPTEEEICIMKGAIDRAVEDLLVECANNVIAEDAVLVGEGMVVFAGATQEPLSASQACTDLGGENQMAHGVLKPAATHATLQDADQATAEVEAPVMAEVETPISPLTVAGKGEQ >Et_2A_016217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22134645:22144543:1 gene:Et_2A_016217 transcript:Et_2A_016217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFARKEHQFLAELGLAPRNLGSFACGAWGGSGPVVTSTNPTNNQVIAEVVEASVHDYEEGMRACYDAAKTWMAIPAPKRGEIVRQIGDALRAKLDHLGRLVSLEMGKILPEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERPNHMMMEVWNPLGVVGVITAFNFPCAVLGWNACIALVCGNSVAGLMVQQQVNARFGKCLLELSGNNAIIVMDDADIQLAVRSVLFAAVGTAGQRCTTCRRLILHESIYKTFLDQLVEVYKQVRIGDPLETGTLLGPVHTAASKESFLKGIQTIKSQGGKILIGGSTIESEGNFVQPTIVEISSSAPVVREELFGPVLYVMKFQNLKEAIEINNSVPQGLSSSIFTKRPDIIFKWLGPHGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRATCTINYGTELPLAQGINFGSKVAEKLKGDNVNTKQLSQESEADASGSYLHMPYLEIPLEEGRNSR >Et_1A_005522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11043011:11043568:-1 gene:Et_1A_005522 transcript:Et_1A_005522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRLHPPQPVVQRHVSSDVTVGGTPVARGTMVVFSLEEISRDANVRSNNCASEHLSYSIKNQDPNKMKWTSPEEFIPDRFMPGGEGADVRFNIGNKDATKVKMMPFGAGRRICPGMEYAILSLECFLAKLVTAFEWHPIEGENVDLTPEHATFTTMKHPLRARVVVRRTTAPATL >Et_7B_055664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20376966:20381808:1 gene:Et_7B_055664 transcript:Et_7B_055664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERTLSFSGDVLPRSLYDESDAPQHTRDVDCYMWSQANRVSREDETKVPKPYSTMENGTPDSRYCSYTTGHSPVSYPIALRCRPTRLSKLLNKNDVVDRYIDAEQEGTMLNEKQKQHSTHLVSNLGRAPRPHSAVPSGLKPMQDVPETYPGVGSNYVCLQRLIQEVAGEISNITTLSDAI >Et_6A_046840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21282765:21285586:-1 gene:Et_6A_046840 transcript:Et_6A_046840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGTAISGEIRPPSLPTRHLTERPLARRIKKPRGADPRRPWIPLPAPPSDTSPVACAGDVLDGRLDKRSRYESDAEASPWAGIQPEIMGIVLRFLICVADRASVLSVCGNWRAAAHGHRLPPPLPLLVLANLRFSFLSQEGTLRPARRLLMPDEVAADEGRCVDSFDGWLVVATRSKKYNDADGNCFLVNPSFHAVVHLPHLCYMHYNYSAYSHKTLPIINGVGEVHFSINDLYRMSLSKVVLSASPDLGSNFIVAASSDFMSSPSLALWQPGMMSWHICDGVAIDGPKDITFYEGKLYVLQMFRARLCAFVLEEENGGIVISCVEHCVTEPLPPHPIWHEGYLSCNMVVWHEKLLLVVRYYDTCNRNNRSVIKVKVFSLDFSTNPHGLTEMHSLNGDCISVGSGSSKSFPASLLDEAEGDLIYFVPDFWTHDSCVYNMRNGRMRPFLSNLRFSSLSPKGAMITRRLLITDDVAADEAWHPLTDGSFT >Et_5A_042094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6257482:6258505:-1 gene:Et_5A_042094 transcript:Et_5A_042094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSASSSSTTAVAALPRNGLRAPSFAGAGASRPARASFAVRAAAEPDRPIWFPGSTPPPWLDGSLPGDFGFDPWGLGSDPESLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPFWYTAGEQQYFTDTTTLFIIELILIGWAEGRRWADILKPGSVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPEKIKELRTKEIKNGRLAMLAVMGAWFQAEYTGTGPIDNLFAHLADPGHATIFQVSYPLRNASWPSRLYALGTVTS >Et_4B_038761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4745724:4749313:1 gene:Et_4B_038761 transcript:Et_4B_038761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGARLFLLLALLAAIFAVLLQLYRLRKPRLWTVEELSKYNGTDEGLPILLGILGSVFDVTKGRSHYGPGGGYHHFAGRDASRAFVSGNFTGDGLTDSLQGLSSMEVNSIVDWRKMYLEKYIYAGKLVGRYYESKGNPTKYLKGIEVKAKRGAQLLEKQKSEEAKIPSCNSRWSEQDGGEVWCDTGYPRLVRRPGDIALTGKVSQRCACFQEDELNKPGLVVYEGCDYLSKSCKVK >Et_3A_026342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7043079:7043405:-1 gene:Et_3A_026342 transcript:Et_3A_026342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVDTKKQRVLYAEARKDVSDSSFPSSHPARRHDPEQGLPSMYGSIGNLYGSVKELDENVLIGQAKVAAPLPRRRLQLRQAAPAAISRGAMAKGILPLQQRLF >Et_1A_009189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32422177:32424314:1 gene:Et_1A_009189 transcript:Et_1A_009189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLVDGDCRIIGCYLRKMPVKIAGSSNFEQLAKLELKPKCRFQQVPAAGCHPDCFTYNALVHVVCRRRRRPD >Et_3A_023878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1443302:1444691:1 gene:Et_3A_023878 transcript:Et_3A_023878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGATKTSGVHEQTAETESIIPGVVTRGKMTPEERAAALKFAEAAYAEALRYHAMSEEDVMEEYRRAGKLQRYDPDMEWQKRYARVARACPPPKSVAPHLDEYLKYLEEDEADEAPAASAATPAAQTAAGDATDHGEKAETEANPSLGTVSSSVGLKKKKKFTPDEKRAARTFLDAAMKVVEQYNDMTEVEVEDEYRRAGTLHKYDPDTQLEKRIARVARKYPPPAGLMPEIDDCLKILDDYEKKYTR >Et_3A_027282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6878036:6881258:1 gene:Et_3A_027282 transcript:Et_3A_027282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSLSLLLLLTLPASVPISAEHADDDAALLAFKVAAINGGYDDPLASWNSSTGGYCSWEGVRCRGKQRRVVALSLPSHGLTGVLSPAIGNQSSLRTLNLSSNRFSGDIPASLGRLRRLHDLDLSHNTFSGEFPMNLTSCINLRNMALRFNQLWGRMPSEVGDRLTILQFLILGHNNLIGDIPASLGNLSSLIALELSFNQLEGTIPSSIGGIPGIQYLVLQHNNLSGVPPLSLYNLSSLQVFELQVNMLHGEILADIGSRFPGIRILSFMHNEFTGSIPNSISNLSTLQHLQLQQNRLSGYVPPTLGRLHALQYLDLFDNMLEADDGEGWEFITSLSNCSQLKRLVFFGNAAFTGQLPNSIGNLSTTLRILRFEDIGISGSIPTAIGNLVGLQFLGAANNTIAGVIPESISKLKNLVVLGLFNTHLSGPIPPSIGNLSKLILLDAHHAKLEGPIPASLGKLDLSYNALSGSIPSQVGSLRNLNNMKSSFLAPLTEEPYHRVSYHALANGTNGFSEANLLGKGCFGEVYRCTFQGGDTVAVKVFNPEQSGSARSFVAECEALRRVRHRCLLKIITCCSSINHQGQEFKALVFEFMHNGSLSEWLHPQPGMGTLRNTLSLAQRLDIAVDIIDALDYLHNHCQPAIVHCDLKPSNILLAQDMSARVGDFGISKILLECVSETLQNSGSTIGIRGSIGYVAPEYGEGSPVSTLGDVYSLGILLLEMFTGRSPTDDMFRGQLDLHKFAEDALPNRIWDIVDSTMWLDAGTYDNTTRTKTESCLASVIALGISCSKKQPKERTLVQNAAAEMHAIRDSYLIFARSVAESE >Et_6B_048205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10304996:10305888:1 gene:Et_6B_048205 transcript:Et_6B_048205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HTARQARRRRVRRRAQDAAPPQRRGGRHQVPAPRRRRAPARGLPSRRVPRRPGRGGAPQGRGARPQRRRRRPPRSARRPARAPPPPPAVRGGGGAPRDAALAGVERMHARGVVHCDLKPGNVLVGDGGRRLKICDFGLAMSVSVPPPADTLFQGTPSYMAPELLLGGNDRVAAAVDMWSLGCASSPGSPCSMRRTSSSSPWRSSASLEFLTMCPVSL >Et_3A_024623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22770680:22772860:1 gene:Et_3A_024623 transcript:Et_3A_024623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQHWISRLAAAKRYYAAQLGHVEDMPGMGMEEAEMEMEMDDGDVEMEMALELGEPEWPEVACPYCYEEHDVASLCVHLEEDHPYEPHAAPCPICSQKITRDMLNHITMQHGYLFKNGHRSRRYLIPESHAISLLSRDLRGTHLQALLGGRHSHKSSNTATTNISSDPLLSSFGLGFATSDAPEPSKPPSSIPDGASVRKETPPHPWESSIDSSLTSEEREQKRQQATDRATFVQGLVLSTLFGD >Et_3B_027458.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:19176822:19177538:1 gene:Et_3B_027458 transcript:Et_3B_027458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPRSLARLAPPLAGAGGTILPRTIRGLCTTVPLSKPPPEPLSPSELDAVSALLPRLLSAGHVPAAGRLLSAALLLPGSLDRLPFPSLAAHLASLPTLSPAFALLTALRHHPARPSPLPLAAPLLDQLLSLRRARDAASVLRWLCRPDSPRRPDAATYAAAVAGFCRLEDARSALAALREMSHDGVQASQELREAVRDAMLQDARIEEALALDEAMQRAETGKVVELVDKLLAEWEP >Et_3A_025368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29205398:29206649:-1 gene:Et_3A_025368 transcript:Et_3A_025368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHSSSSSSPSSAPVAYSDALMQHAASFSAALAVTVPAQIPRSATAVYLDGNVGAFSSPPSCYSSSLPSSYYNNIQRSISSHSLPMHVQLADPIGNGNGFFSPSSPSPHHQLPQLPPLSSSPSSSSGDLFEFTSSCPVRRVFSTGDLQVPDQPASHKKPSWSSAHRSEELHVVPNLNNSAVLLTMKQGMNGSSPPRQVDCSGQEGGGPFSQKVGRYSAEERKERIERYRVKRHQRNFNKKITYACRKTLADSRPRVKGRFARNGEAEAEADEREASDNSYDYCCGDNDIGNGHGHGHGNNSSSGCYDGNYNNSGGGNGAVGSNSSAISSAFSGVDGDNGEWWWRAPGAAAAAGEAQRQRQQVAGFDDDDELWATLGDMLSVNLAS >Et_9A_061457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12182108:12186018:1 gene:Et_9A_061457 transcript:Et_9A_061457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNALSQIHEAFRLAAELMNELPATQNNPAYLAERCNGIVRAYVAAARLLLPHGGDVAAQPPPFSGDLLRLFSPADQEAGPGAGNPFLGSPQLGRLMEPSFGARIPDVAGTSSGGPVRRVSSSSRGSSPVQPRQGRRKRESGERTTVMVPAQRAGNTDQPPDDGYTWRKSYYRCTHKNYYGCEAKKKVQRLDDDPFMYEVTYCGNHTCLTSTTPLLTLPAPGTAAATTMTTSSPTSSAAIRAQDLAMVAAEHHAPALSTAIQLGISWMPSSLVGASSGGGAGEGSISQMNVATSGTGRDTDYPVMDLADAMFNSGSSGGSSMDALFSSHDPRDRNFINIEANYNLDYKSIPINANSIDGRQETLKELKIPPFRITIPDGYATEENYDLNEYGHFTIHHRHPHRQ >Et_3A_026235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5949073:5968974:1 gene:Et_3A_026235 transcript:Et_3A_026235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVKLLTGSNNNGEDFLNEVISISQTSRVNIVSLLGFCLEGSKRALVYEYMPNGSLQHHISSKLTTECEMLLKIAIGIARGLEYLHQGCNTRIIHFDIKPHNILLDNEFCQKLQILICHLNGSILFSRCFGVVSTKSDVYSYRMVLLEMVRGTKETKEDANNSSETYFPQWLYGHLLRDMQNCKIAHGTEEIVNKLTLVGLWCIQMARENRPSMSRVIEMLERNIDELEMPPKPFPSSPSHPSTVNMSPALLLFPLIASLLNLHEPVHADCEPATCGDLTVQPPFWLGGVNNKSSSPCGHPAFQVSCIDGGRVASLTDSDLHIRSVHYDNNYFVAIHPKVAGGDDGVCRANFNISVPSTFTFRCRNRALFFLYNCNGTEPHGAEYVNATSNCSAPIYAYLGGSFGVDTPSPPAPATGRCMYTYFPAMESEGENLTAANYAQLLKDGFVLEWQLTASIVADCHACVASGGKCQYDDNDAAAFACLCPGGKVSRSACAASTAAVLGSLLLSCIYVLIWHRKGGKLCFLHCRKTSGNNERNIVALISSHGSLAPKRYKYSETTKITTSFINKLGEGGYGAVFKGMLQDGRLVAVKLLHDSKANGEEFVNEVMSIGRTSHVNIVSLFGFCLEGSKRALIYEYMPNGSLDKYIYSENPKAILGWERLYAIAVGIARGLEYLHHSCNTRIVHFDIKPQNILLDHNFCPKIADFGLAKLCHTKESKLSMTGARGTIGFIAPEVHSPTFGVVSTKSDVYSYGMMSLEMVGGRKNVKSLSSQKYFPEWIHDHFAQDDGLLACGVTQEFEEIAKKMSLIGLWCIQILPMHRPTITKVLEMFKRSSDELEMPPKQNFIQSLEDSAYNLSAESTSSNPSKTLAVVKIKDMGLANLIHEPDGQGGEPCSPMLCGNVNITFLFGIVPDLATYGYQLRYKSIAPTTSHTSLTICANTGSKSSTSSTATPPCSSPTSTSLRTWTAPPPEAATFRPTTAPPTSLSRSRSALSTKSSSYTTAPRLQPLLQFLSGSTTAEVPEKVAGERLVETRCLNNTFVRAGGRYKEESGGYGSNFLEGCSATFVPVRGGPGEVNARRYEQLIRDGFLLTWQTPSWPTLLLFIVSSAWTALSLPLMLGVAADGKVGGWCPPVLCGNVNISFPFRIVPEQAAECGLLGFQVHCSDNTPYLGFYGAAYWFQILNIFYGNGSMLVTDVHNLHDFNISDPKGCHAPTSNSSNKLGDPFSISPANKNLIIYNCTTAPPTAHRERLAETVCHNNTFFRVADSFDKSGGYSSYFLAGCDAVFVLVLGGSGKVNATRYQELIRDGFLLTWQIPSPASSKFTPGANNSAAAAAAATSPRQPSSCLPKKCGSLNISYPFWLDEPGRPPCGPPSFQLKCNSSGAFLSRSMFQSYRVVRIFVQNSSFHVVDGNLPLATGCPAPFFNISLGIGLGPFVISKTNKELLFLSRCKDLLPAAPSGFRPLPCDNHSFVRVGDEAEFGGHHVLAGIPPVCTFSVVPILGAPDGNDFVSKMRNGFLLEWAGFSRDCHSCMASGGECMYSDNGLGFACNCVDGIHHEKCGGQLACHFRPIPSSVNMPPALLLLLVASLLLLHDTAHADSCEPATCGGVTVKYPFWLGGGNQSSSPCGHPAFQVWCVDGGRVASLSGSALHVRSVDYGNNSFVAVHTRVASGDDGVCRTDFNISVSIALSPFTFSRRNRALFFLYGCNGTEPRGSEYVNATSNCSAPIYAYLGGGYDRNVPPAIATGRCTYTYFPVTESDTATMTTANYTRLLKDGFALEWQRGSVGDCPACVASGGQCRYDNAAAAFACLCPNGKLGAVPTCPVSMAAAGSLLLLCTYVLIWHRKGQKIRFVLCRKTSDNNERNIEALISSHGSLAPKRYKYLETTKITSSFNNKLGEGGYGAVFKGMLHDGRLVAVKLLHHSKANGEEFVNEVMSIGRTSHVNIVSLFGFCLEGSKRALIYEYMPNGSLDKYIYSENPKAILGWERLYATAVGIARGLEYLHHSCNTRIVHFDIKPQNILLDHDFCPKIADFGLAKLCHTKESKLSMTGARGTIGFIAPEVHSRTFGVVSTKSDVYSYGMMLLEMVGGRKNVKSVVEKSSQKYFPEWIYDHFAQDDGLQACEVTQEVEEIAKKMSLIGLWCVQILPMHRPTITKVLEMFERGSNELDMPPKQNFSQILEDSPYNLNAESTSSNTNTAQAYSEVVKVKEISLVSSKMLQRLPTL >Et_3A_027055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3117534:3119147:-1 gene:Et_3A_027055 transcript:Et_3A_027055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKKSNYLIAIVFASLLLSAMAGGHRKLQNKDEAAGSMETSGSMQQPQEDDEVVVTVHKRILKHVKMDDYGGYDPTPAMAKPRFKDIPS >Et_7A_052701.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:13044605:13045519:-1 gene:Et_7A_052701 transcript:Et_7A_052701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTIEDLHADVLARALRRLDGRSLAAASCATARLRDLAADPVTWRALCLAEWPSLAGLDRRLLDAVPPRRLFADASPFPSPDAAAAGELGLELPGTLVSAVDVYLDGAPLLSRVVETPASSPWFLGSPFRVEAVERSRRPAPEEAAAPSPAELELSWVVLDPARGRAVNVSSRRAVAVDRHWYTGETLVRFAVVLGGGCKFEAVVACDELGGRVGEVSLAVEDADGAAVAGEGALRLLAAAMEAPRRGGAGEPEEAKRRYHEFVRRKKGRKESKARREALVDLCCSAASAAVVLTLIAAVALR >Et_4A_032115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18974859:18975810:-1 gene:Et_4A_032115 transcript:Et_4A_032115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASAPGAVAAACARHAAPHVRQAPAPRDARPGAPARPRDAGPQRRGGRGGGVVPGGGPRGDADARRVLRDAYHIFIGHLRRQRDDGADAGVGDGGAGREPTSPAACDGGGAARVRRGRTRPRAQAGGHLAPVPAAGPRGVPARAVRGR >Et_4A_035616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31070200:31070856:1 gene:Et_4A_035616 transcript:Et_4A_035616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWDSPVLGDDSKVRARRNRSLTKEEVEAFWKQRRKTEDGGEATSPGTPECSDGHKSTEWQQSPFGSLEKARSPASPLARRGIKVETGGSGPSSPASRVEGFVSGDVDAGESPSKSRDWWTRSSWAFLNEPPQEEPSGRAQSYAPQFHVARIATGNA >Et_3B_030117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30630005:30636964:-1 gene:Et_3B_030117 transcript:Et_3B_030117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWSDVYKVVAATVPLYFALFLGYGSVRWWRIFTREQCDAVNRLVAFFALPFFTFEFTLHTDPFQVNYRAVAADVISKAVIVAVIAVWARFLSKGGCAVSWSITSFSLSTLTNSLVVGVPMARAMYGEWAQQLVVQLSVFQAIVWLTLLLFVLEVRKAAIGMYYVPDASSVLPAVVNKDVELAGDVAADGGAVVVPVAGGKPSLWALVKVVARKLARNPNTYASFVGITWACLANRLHIELPSAFEGSVLIMSKSGTGMAMFSMGLFMAQQEKVLACGPSFAALGLVLKFALGPAAMAIGSIAVGLRGDVLRVAIIQAALPQSITSFIFAKEYGLHADVLSTAVIFGMLVSLPLLVGFYIVLELISANPEEPETSHAIKLEAHKQIKPHLRGEAVN >Et_2B_020408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:242136:244038:1 gene:Et_2B_020408 transcript:Et_2B_020408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAPPRLLPLHPTPRPTSCAPRAVSTRHHGGRTAPELSGPTPRVVVVTSGKGGVGKTTTTANLAASLARLSLPVVAVDADAGLRNLDLLLGLENRVHLTAADVLAGDCRLDQALVRHRALQDLQLLCLSKPRSKLPLAFGSKTLTWVADALRRSANPPAFILIDCPAGVDAGFVTAIAPAEEAVLVTTPDITALRDADRVAGLLECDGIKDIKIIVNRVRPDLVKGEDMMSALDVQEMLGLPLLGVVPEDAEVIRSTNRGVPLVLNDPPTPAGLALEQATWRLVERDAMTAVMVEEQERPKKKGGFFSLFSR >Et_10A_001435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3125057:3129275:-1 gene:Et_10A_001435 transcript:Et_10A_001435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAGDEVVEVSCGGGGGDPGAYAAVLKRKLDLYCAAVAKSMEARSQESSLGHPIPQASDISQLISQASDTSQLISQASFDGDGDRGSIFINSHVIDNTNCQGKPANSDTSKDQSDDDGDLEESTDPINAKKKRRMLSNRESARRSRKRKQTHLNDLESQVSRLTAENASLLKRLDDMTQKYKDATLDNRNLTVDVEMMRRKVNIAEEAVRRVTGASMLLLPTKSDMPGRSRSMTSCASDAASAAVTIQESMQHFLQAPVQDDQIKLDLLNATFPLTSMDTGTRLAPLRRVTSLENLQKRIHGDSVQSETALLLLDPEALASDK >Et_9A_061196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21540505:21542034:-1 gene:Et_9A_061196 transcript:Et_9A_061196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLRWLAVDELERGGRRIGDDGPGAAATRGYAGCGPDDVYLIQYTSGATGVPKPVLVTAGSAAHNVRAARTAYDLGPGSVVVSWLPQYHDCGLMFLLLTVVAGATCVLASPDAFVRRPRLWLELVSEFGATCTPVPSFALPLVLRRGRGRSAAHGRGRPLELGSLRNLILINEPIYKSCVDEFVDAFSRHGLRAASVSPSYGLAENCTFVSTAWRSGGSDDDVLPSYKKLLPSARLYRPPSSVINQAPEIEIAVVDEDTGEPVPDGVEGEVWVSSPSNASGYLGHPSATREAFCARAPGRAGACFVRTGDRGVVAGAERYLAPGRLRGGCVAAFFTAATVSLSTLHTGGVAVVAELQKGSGNGDDHKGLCEGIRAAVWREEGVRISRVVLVEAGAVPKTTSGKLRRGAARQMLLAGKLGVVFEARYDDEANGSVPVVGDEEDLAAKSAASWVAGGSRENDVPTVFESAGRRIRLQSFL >Et_5A_041975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4766144:4769644:-1 gene:Et_5A_041975 transcript:Et_5A_041975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVHHSGIPEAPRFSPEESFVIGIVAHGKRRMKRNPPKCQLGDLCSSEADCGSELYCYNCWIEFEGKKCVRTTVTNPFEIAYIAVPTALLSCLPIPQDTSLPFNKYAFLTTHNSFSIHGEPSRTGVPRITLYNQEDSVTDQLNNGVRALMLDVYDFHDDIWLCHSKGGKCFDFTAFEPAIDTMREVAAFLSSHPSEIVTLILEDYVRSERGLPRLFRDAGLMRYWFPASRMPRRGEDWPRVRDMVARGHRLLVFTSARWKQAAEGIAYQWDYMVENQYGDGGMHPGSCPNRSESAAMDDTERSLVLVNYFHTVPLGLTACVEHSRRLGDMLRTCHAAAGERWANFVAVDYYKRSDGGGAFAATDMLNGMLVCGRDDVRACKVSGLCSSSRVPRRMPKAFTPADDSEATSMCVCLQKRTLKDAFDDLLGKLELVWKAW >Et_4A_033439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22853562:22855445:-1 gene:Et_4A_033439 transcript:Et_4A_033439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKWAGRRILVGGLAWQTDDRKLEDAFRRFGRIVDAQVFTFRYQSGASRGFGFVTFESQLAAENAIRAMHYQELDGRIIEVYDAETWKNTRNRSNTRHVNVEDITTVVEVVLDAQGIASHVVSQATGLVTAIALEAILVDSQSNSVAVAGQAIFLGSHNFDYRYMNNGYGRSHYGRGNQVDYRGRYVGRHGHYANDQFPSGGECVGKNMYGGGSGGREEKTLIHKVVAIVGREEEAAMHKVVVIVGREEEAVMHKVVVIVGNEEEAVTHKGAIVGCKDEAV >Et_7A_052098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4665769:4668705:1 gene:Et_7A_052098 transcript:Et_7A_052098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNASSSASCSVSAASSSSSSSSAFGGKKRPDILNMIREINRSEATCKRSHKISRFGSLWGRNFQAKSDFCNNGLLFDWVRMRHGHVTLANAACLNSSSTDTGKGRSKLSSNKVTHGFHLVEGKSGHNMEDYHVAEYKYEKNHELGLFAIFDGHLGDKVPSYLKANLFSNIIKEPLFWANPIEAIKNAYSSTNKYILENAKQLGPGGSTAVTAIVVDGKDMWIANVGDSRAVVCERGAANQLTVDHEPHTTNERQRIEKHGGFVTTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDIRHVPISSSIEFVILASDGLWKVMKNQEAVDLVKSIKDPQAAAKRLTTEALARKSKDDISCIVIRFRC >Et_1A_005214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33222133:33222525:1 gene:Et_1A_005214 transcript:Et_1A_005214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKARVEDTDMPARMQAAATSAASRALDLFDVADCRAIAGHIKADFDKRYGVGWQCVVGANFGCFFTHTSGTFIYFSLERLSFLLFKAAAVAAAK >Et_3B_031179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:260911:262178:1 gene:Et_3B_031179 transcript:Et_3B_031179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRHGGFFASLQRVEERLALEEPSPRISETMMTSSSSSSSPLVFLDHPAPSATAGDTGPAALDFLTEPPNPMEDTCDEVEQDDDMARLMALLGLSPPRDNIHGGGDDSAGCDCSGADGFLGKVVGVVGPKCQREKTRLDAWIHHFYDAGRRREPARLAHLLLAKKASSSSSSSYSQSFAFPRTVKDFLDRDAPPPRYRLTPEDSKLATSTVYRDMVRQAVQI >Et_9B_063908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20633241:20634521:-1 gene:Et_9B_063908 transcript:Et_9B_063908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNLREDFPARSLLEIWKLEDYRSGDFIEPHAVKVIGSFGSSKSSKKIIIATSKHKVFAYDTLSRTLEAIHSTMEIPSSQQIEPSDTRFSLFRESLTPVHKTKEEVALSSPFAKVTKEILIRLSAESALNFRVVRKQWLALIKSESFARSFFLHKNMDKRPKIMLVGKGTGQSGFSFTPLNQWNQDSSNKGALLDMSIEKKDYLYNPCIGFRRIHRNEGPIRHRMWNLPLGVVQQEDHPFAVGSKNVGLGFNQLTQEHVVVELFYHVKNYESRQYHLSCSVWSFESRHLTQLAQPPLPVNGMPPAYLEGRLYWMSEPRLGQSHNRAIVSFNIGTVTFHVIPCPPCIATWNNRSPCHPFVVELDGVLCAVLADPIADELDIWKWELGNGVEHIQSA >Et_7A_051038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13908719:13917010:1 gene:Et_7A_051038 transcript:Et_7A_051038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKLEILDLSGNRDMKNLPAGLSNACSLQVLILDGCDGLEDVVFNGLPSSLRSFSFDGYGPASHWTSLVSLPPKIYRPESAPDPYKKDAVKVSKICLQGCEQLENLFLRGLSNLEELDLSGSAIKILDFWTMVTDVPRLRRLLLLGCQHLRAIPWGWANPKGFEHVCIDTRPSKAPGFTPPPLPQHKSFRFLHAVFADARLGRSLWDLAKSDICFNIHVTSLMENSGFVQLEATDEEVVELINPLHYALACQYGDVLTYMNGDALWVAFPQPPTQQLDRHIKISDGSLAESELDFLAYFSLAGVMGFYVESLHLHDVVTNQSMPGGVWQRLRWCRVERCPNMETIFPPRATDYKNQLDTFWASDLLKAKSIWSKGSREGPSFGNLQHLHLRSCPRLQFVLPVWVSSFPNLKTIHIIHCSNLKHLLVLDETYPQEIAVQGVPFPKLTTIHLYDLPKLRQICEVKMLAPALETIRIRVRGCFGLHRLPALEGREQGVKKPAVEIEKDVWDALQWDGQAAGHHQDLYEAPVHSRHYRRRRLLRGTVLRDVDGARKDIFDLIQRHKGQNVIYFDGWDGFGTAPLIRSIAQVLPSIKAQKTPQDLCYDRIIYVDCSTWISERVMQRKIAEELQLDHETIALFDKQDEDDDFNGVDHKSRDAIRHVSQVTAQTLGSSSFIMFFLNGSDNEVDVQKFGISPSYLDHVVLWTFKRRLLTMRDYERIRHRQQIADKLRYTQLFIQGYNWSTGKFQASEFIKLLGEEAAIMVARHPCMQDIDLTVVTDWYLYCLLLHYCFHGTDRVYWESQASNLCLYDGITQSDITREIRNALHMEINWDCDASQLKKLFTEFMEEPGAPFLMLKDYTVYQRGPHMQTINATASSLFVAFDNSIGQQRLPDKLFEQCSNLHVLILSWCTFSFTSPPFLKCHKLRFFGVDHCNDNKMIKEEESSIEWTFLHNLLVLDIRHTHWDEIISLDKMDLMANLQELNIEGFYCWQHTNKLQGRLSNLLRLRIIKPTERAHTSLDSNRNSFVDKTKLEILDLSGNRDMKNLPADLSNASNLQVLILDGCDGLEDVVSNGLPSSLRSFSFDGYGPASQWTSLVDLPPKNYRPESASDPDKKDTVKISKISLQGCKQLENFFVRGLFNLEELDLSGSAIKILDFETKMSQGFADSICWDVGIFV >Et_10B_003971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8698060:8698326:1 gene:Et_10B_003971 transcript:Et_10B_003971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANRHGRIRVGVPCTFVPAALTFTTCNHLKVEYIKLLNSPQIYLFVQYRRDVTVSHITISAPGTTPEADGIRILHTDDIQII >Et_2B_020261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18471099:18472574:-1 gene:Et_2B_020261 transcript:Et_2B_020261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSEHRLASAVVRLPGRSRVSASPSPRRHRSPSPSPSPRRHRRRDRSPSPYRDRRRQWSPYHNDRGRDRDRVRDREFDPPVRGGGGGGRGGAWSGSDDDDEDLKGLPYFEYRRLKRQKLRDSKKRCIWNITPSPPRVEGDEKDYGYSDEEEEKKESPKKKGSPEGSEEESKDASESVSGESSRRKKRKSQDTASEQSSEEEEENPGSSPRDSKKMSKSSKRKRSKRSDSEEAISSDADADAKEVDETPVPEIDPEAIKFKEMLEAQKKAALDNDMPVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQKFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKSKREHKVMADLQRLVQRTIGHDVGPSHDPFATTDS >Et_5B_044269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22054049:22059587:-1 gene:Et_5B_044269 transcript:Et_5B_044269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGVEEAEIPATEVLGAGSSVDSPPSSPRNSPPADLAAEARFGGWPSLQDWDDYLTREEEKLEQKNRQLEEECRADWAKLEEDFERKRRFEQLLEERWSKVQEEMVQLAQEKRQIEKKMQEDRARWDQVEAELQRREEEVDRRERDLDIRKEALNKFLAMQKEQLQKPLLVQPDHNNNMNYDGWDLSPIVRVLAVVSGLAFLVQMCTLFPLVYLKHTVVAFAAFWALGSVVLSFRVFERFRGQSTLSHHAARLAFLCITLLGLYALYLVLGQSERSTWLWNRLSISLLNSCMPDMPFRIMDVKQIK >Et_10B_003454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19900070:19910335:-1 gene:Et_10B_003454 transcript:Et_10B_003454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEATPKAAAGSKMTVLQSPIGLRSILTSLVAFFIVVSSVSLLFERGQEAQVQLAVEHRNQEMEVKVAAKQHDQQLKGQEAQSHIAVKQRNQETEVKHNNQETEGNVSANKHYDQQLLRGKEAELQWKVEVQEDSGGDSGAAEEECSWSRGRWVYDNVSRPLYSGLKCSFIFPEVACDKYGRKDVKYQHWRWQPHGCNLPRFNATKLLEKLRNKRMVFVGDSVNRNQWVSLVCMVEASIHDDKLKMLIYNGSLYSFKAMEYNATIDFYWSPLLLESNSDNPIIHRVEYRIIRADRIEKHASVWRDADIIVFNSYLWWRKQRDDMKMKVMYGSFEDGDARLDEVEMIDGFEMALKKLTEWLGENIDENKTRIFFAGSSPTHSWASNWGGEDSNKCLNETEPIYKIGYKAATTDYSLMDMAKSNFRTLEAKGIHVQILNITELSDYRKDGHPTVFRKQYAPLTKEQIANPASYADCTHWCLPGVPDVWNEFLMKPEATPKVPAGTKMMALQSPVGLRSVVSYLVVFFIVASSITFLFNRGQEAQVRMVAEHGHQEAQVKAVAAEHQQQQWGADLQESSNECNWSTGRWVYDNVSRPLYSGLECSFIFPEVACDKYGRKDVMYQHWKWQPHRCDLPRFNATKLLEKLRNKRLVFVGDSVNRNQWVSLVCMVEASIPDARLKARIFNDSLISFKAFEYNATIDFYWSPLLVESNSDNPIIHRVAYRIIRADRIEKHASVWRDADIIVFNSYVWWRKQKNETMKVMYGSFEDGDERLDEVEMIDGFEIALKKLTEWLGENIDKNKTRIFFAGSSPTHVWASNWGGEDRNKCLNETEPIYKVGYKAPTTDYSMMAKAKTHFSTLEKKGIHVRILNITELSDYRKDGHPTVYRRQFVPLSKEQIANPASYADCTHWCLPGVPDVWNEFLYGYLVYR >Et_4B_038657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3727553:3728507:-1 gene:Et_4B_038657 transcript:Et_4B_038657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKIFFIDSRCRATIGIVSNPNHGARKLRKAGQSRWLGRRPIVRGVAMNPVDHPHGGGEGRTKGGRPSVSPWGKPTKAGFRAVVGNKEEQRKSDEQENLGGQGIANIYSFQRKGFQKRGQIPIFSAYPKKLRTSKKMSGQFRRNCKIWVRVLADLPITGKPAEVRMGRGKGNPTGWIARVSTGQIPFEMDGVSLSNARQAARLAAHKPCSSTKFVQWS >Et_4B_038640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3625649:3628625:1 gene:Et_4B_038640 transcript:Et_4B_038640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEQQQRRTRTSVPPVSRVAIIGGGISGLAAAKQLAAHDPVVFEATPSVGGVWKHCVYRSTRLQTPRPDYEFSDYSWRNREDPTFPTHAEIVDYLEGYADRFDLWRYIMFGAKVVGVKFLGAAGFTELWSATGEPLQGKPMWEVGVATAGDPDDVKWFRFEFVVVCTGKYGDVPRMPAFPRGKGPEVFRGQVMHSLDYCKLSEEETVQLMKGKKVVVVGYKKSAIDLALECAQANQGEGGEACTMLVRTLHWVVPSYSIWGLPFFLFYSTRLAQFLYERPNQGILRSMLCSLMSPLRAGVSKFIESYLAWKLPLDKYGLRPDHPFVEDYASCQMAILPDGFFDMADQDMIRFKRASGWCFSEKGVVLDDGTEVEADLVFLATGFEGKDKLRAVLPEPFRGLVQDKSGMMPLYRGTIHPLIPNMAFVGFVESVSNLHTSELRCRWLAGLLEGRFALPSVEAMVRHVDGEAEAMKRTTRFYRRHCISTYSIHDSDAMCADLGSRVHRKANWIAELFAPYNNQDYKEE >Et_2B_019121.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:11458916:11459029:-1 gene:Et_2B_019121 transcript:Et_2B_019121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSGGTLSCSRRKNFFKEEFALIFHKAKPLTKGMLKD >Et_7B_053836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12666863:12674427:1 gene:Et_7B_053836 transcript:Et_7B_053836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEFDYLFKLLLIGDSSVGKSCFLLRFADDSYVDSYISTIGVDFKIRTIEMDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDITDMESFNNVKQWMSEIDRYANDSVCKLLVGNKCDLVESRAVDTAVAKAYADEIGIPFLETSAKESINVEEAFLAMSAAIKKSKAGSQAAPERKASNLVQMKGQPIQQQQEQQKSKCCST >Et_3A_026595.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:1023550:1024275:-1 gene:Et_3A_026595 transcript:Et_3A_026595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVVTDAPPPSRFSPDDLDNFAAPLPQPTPILVVSPNPSSPAPRLLIVLISPTSLALLAHLPSPPPLLASLLLPDLSLQSHEPIRVYLHPSGALLAAVHSAVPAHGARAAAKALISKLQPEEVLVLDAIRSDAYRGRLAADEPVEGKLETRAARRQGGVGAATGVTALAPPGSVVDGLGAAVVTECEIRGKAASMVVTWPASARPAEFGVMRRVAAELGVDPAKAAARLSGRSELDALYT >Et_4A_034159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30351385:30353669:-1 gene:Et_4A_034159 transcript:Et_4A_034159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRASVKRLCSFCKVVKRRGIVFIHCKANAKHKQRQGYSTISEAAASCLHLPPPPAPATGSVSAAAFAEASKVARQEMSVKFNWPLGLAALMKNGGNWGTNNQMRILNTLNAVSKC >Et_1A_006704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26646589:26648694:1 gene:Et_1A_006704 transcript:Et_1A_006704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLATAAPALGTAAPSPRRAPPLSPNVVRAKPRRATVVRAAGAAGGWAPGSWRARPARQIPEYPDPAALDAAERALAAFPPLVFAGEARKLEERLGEAAMGRAFLLQGGDCAESFEEFGANNIRDMFRLMLQMAVVLTFGGQMPTIKVGRLAGQFAKPRSNPTETRDGVTLPSYRGDIINGDAFDEKSRTPDPERLLTAYSQSASTLNLLRGFAHGGYADLQRVTQWNLDFLRNSTQGDRYLELSERIHDAVGFMTAAGLTPQHPIMTTTELWTSHECLHLPYEQALTREDSTTGRYYGCSAHMLWVGERTRQLDGAHVEFLRGVCNPIGIKVSDKLDPSELVKLCEILNPHNKPGRLTLITRMGAENMRAKLPHMIRAVRQAGLIVTWVSDPMHGNTISAPCGLKTRSFDAIRAELRAFFDVHEQEGSYPGGVHLEMTGKNVTECIGGSKTVTFDDLSSRYHTRCDPRLNASQSLELAFAIADRLRKKRDRAWTV >Et_6A_047083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2511024:2511864:-1 gene:Et_6A_047083 transcript:Et_6A_047083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LVPVDPLPHEQPAFHGDVPDDEEEEDDDLDGLLDDISPPVSDLDEQILDDPTLLTMAILRTLGRASSWEEAAVLAMLIIPGFEGEGDDAYRNGGFGAVPASAAAVAELKKGTFRTTAGGDGGCGGVTGCAICLEEFEDGEEVTVMPCDHGHEFHLDCITEWLGCSNIESIKANTDRRRYPLSARQQEVYGHGVWTAISNWLHLTTSSLTAWCGRSALASIPACKLEAWRPNADHILVLWWKERNRRVFQNEELTELRLLQKQQ >Et_2B_022544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25859310:25864348:1 gene:Et_2B_022544 transcript:Et_2B_022544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPEEVAAPAAAAAAEVLFRSKLPDIEIPRHLTLQAYCFERMPEVGGRPCIIDGQTGAVHTYADVDRLSRKAAAALRGLGVGKGDVVMNLLRNCPEFAFVFLGAARLGAATTTANPFYTPHEIHRQAEAAGAKVIVTEACAVEKVRGFAGEHGVPVLAVDGDFDGCLRFGDLVDAAEPLAADEEVDPDDVVALPYSSGTTGMPKGVMLTHRSLVTSVAQQVDGENPNLFFSKEDVLLCVLPLFHIYSLNSVLLAGLRAGSAIVTMKKFDLGALVDLVRAHGVTVAPFVPPIVVEIAKSPRVTAEDLASIRMVMSGAAPMGKDLQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFEVKSGSCGTVVRNAALKIVDPDSGASLGRNQPGEICIRGEQIMKGYLNDTEATKNTIDKDGWLHTGDIGYVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPDIKDAAVVSMKDELAGEVPVAFIVRIEGSEISENEIKQFVAKEVVFYKRINRVFFTDSIPKNPSGKILRKDLRTRLAAGIPSIDSPKSS >Et_4B_036526.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28242338:28242643:-1 gene:Et_4B_036526 transcript:Et_4B_036526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPVPVVPGAGRAPREPQAPAPPAGQGGGAGQGPRARLRGVRRRVRARAGAGRPHAAPSRRGRGERHHRPRSGRGREEARRSKTPSGLGPEHCPAMIKCL >Et_7B_054563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:23222798:23226856:-1 gene:Et_7B_054563 transcript:Et_7B_054563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAYTIHQSLTAEAAAVLKLALGLARRRGHAQVTPLHVAFALLTTGPAMPPPSLGAFSSASSSPATAAGYGLLKRACLRSHPSASHPLQCRALELCFNVALNRLPTSSPPGASSFTSSLIQASPTLSNALFLAGASPPSSCGKLDDDVRAVLDVMVRKKQGRTSSNPVIVGDSASMAEAVAGDLLRRLERGGGDVPDDLAGAHLLKLQLSYVHVRLMSRADVDARAAELRRAVDAAQLHHGGGLVVYVGDLRWAIDEEPNGAGGDSSSSYSPVEHMVAELGRLLDDLRASRGRRAWLLSTASYQTYMRWQQQRGRRRHRQPEDAWALQAVLVPTAGGTGLALNNLHPPPSASGAAMQPAMLTMQQGGQSPFTMAVAERDVPEEKLVLCTECSKNYEREASLVKAEADAEGPRASLPAWLVPDRQPADQAHHHKDKYLFELKRKWSRLCRKLHLCSTPPCSAPCPWWSGPCLPPSRPSIAGLLGLEALMAHGKSRTANEWSPPPRRGLTVSPGCHHQDNVGTALALGSLPLSDMATSDGRATPGSDGKNDGSSAVVARELEQRLRRNVPWQPAAAAAEIAEAVTGEGGDKGVWLYVKGSNHAAVRRAAAVIAGARCGSAGRVVAVSAAAAEEFCSDVVSRAAGLGGGGKGKLVLVVGDVERAPEDVVDRLVAASRSGALLKGRSGMEINLSDCVVILTTAKFTGVATDDVVSLRVWSEEDDLKRKPDTEPSPTESKRPRLDAGPGLDLNIDLCSGSDNEEDEAIPSDITHEGSDDEVEHRPHSLLESVASRVVTLNDDAGHPRDHIRGRLVRALGEPPETVRVEDEAVEALAAASGQFLDETLERWAAEVLAPAAATVGNGGKGKVVLGVGRGGVGPRQAGFMGSALPSRVHVD >Et_1A_009159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31249279:31251185:-1 gene:Et_1A_009159 transcript:Et_1A_009159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSDHAGGSAAAAAAAQAHGSDFDSIDPLFHVLRVLPFSFLRPPRTRLKLPSNLALPSPMTVFSLILLTYFAVVSGLVYDVIVEPPGIGSAQDPATGAVRPVVFLPGRVNGQYIIEGLSSGFMFVLGGVGIILLDLAADRNRPRSVRVSFGGAGAVAVVIAYAMAMLFIRIKIPGYLCVPLIISNGVSWTQACDWNFMINTEAISFI >Et_9A_060964.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21927175:21930112:-1 gene:Et_9A_060964 transcript:Et_9A_060964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKLLLPFPSAPAAFPAAAPKSLFLGTSLPLHPRAPPPRPFPLRLRPRPAVVVAQAAVKRRKEVSFDNVIQRDKKLKLVLKLRNILVSQPDRVMSLRDLGRFRRDLGLTRKRRLIALLKRFPGVFEVVEEGVYSLKFRLTPAAERLYLDELQLKNESEGLAVTKLRKLLMMSQEKRILLEKIAHLKHDLGLPPEFRDTICLRYPQYFRVVRMDRGPGLELTHWDPELAVSAAEIAEEENRVREAEERNLIIDRPLKFNRVKLPKGLKLTRGEARRIAQFKEMPYISPYSDFSHLRPGSAEKEKHACAVVHEILSLTLEKRTLVDHLTHFREEFRFSQSLRGMIIRHPDMFYVSFKGDRDSVFLREAYKDSQLIEKNKLVLLKEKMRALVAVPRFPRRGPGSTSEEDVGANGAVHFSDEGSDIEDDDEDEGLSDMEDLISEISGGKSDADYQWGDGWFGENDDSPPDFGDDDSSPQEVKVTMRNADDSTNGRAPVPVFPDGRPRERW >Et_4A_033232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20118629:20120551:-1 gene:Et_4A_033232 transcript:Et_4A_033232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAVLAPVAAAYSCSSSSSSLAPPPQRRAPIRAHVAAAGMSSRASSFVAGGGGMALTAAQVAARPRLAGSGGGGALGCKCLFGLGVPELVVIAGVAALVFGPKQLPEVGRNIGKTVKSFQQAAKEFETELKKEPGEGGDQPPPTTTTAVSGGEEKKGLETSRTKEST >Et_1B_010612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12010382:12011691:-1 gene:Et_1B_010612 transcript:Et_1B_010612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAAFAGKAIATSAITYVVNKAFGYLVDKKAGGLKSTKERLERLIPQIQVVFDAVDTEQIRDQSGALDAWLWQLRDAVEEAEDALDELEYYKLEEGVKMRDDKVSGSLYKYKGKLVQQFNQASDTGPLKRLRTAVEVLDDVAVGVERFLHFINQFENNKMVDIRNARETSSFPQGGVVGREKERKFIVDWLIKSKNVPKPFSFVLDDVWNDERIDDWENLLRPLRYGKRGSKILLTTRMKSVADLAARAMQEECESLTLNGLEVPDLLVLLNRHAFFGVKNPDNHKNLHQITKKMVNKLSGSPLAAKVLVPFGTEF >Et_3A_022974.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:10890498:10892185:1 gene:Et_3A_022974 transcript:Et_3A_022974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSMPGRGAHFSGLPDDLIAGILCLLPPREVARVRLVCKRWRALTTDHHFVRTSFSRRHAGHGRHIAGFFFNHRHWSTTEYFPLDPEADEEAAAGDRLAPDLSFIPGTFPADRGLGTIKIRGSCGGLLLLCCWPPYCPAIHYVCNPLTKKLVEIVLPTDTTYFVSLAFDPSKSQHYKVIALGDTHSIHIYSSETQSWRTPVPFDHSLGLLEGLHSMRGVFWNGSVVWIMVHSLVRFMIEEENVTDMPMPPRKKDWTCAYIGESGGHLQMIGYTKKDKLNACFDVLEMQGNKSEWSVLYHIDLNRVKDLYPDIEWPTWDTRHSQQKIIDYLALSPIYVIRGTGKTGQHGVLVFSIPGRIMSYNMEDQEISMLKETGCPYPLEQFWYNFYAYNPSLFEL >Et_1A_005984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16387283:16395511:1 gene:Et_1A_005984 transcript:Et_1A_005984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAATAVTSVVPRTTMVEEAAVQPLRVAAAGYQAATMGFHAPVPHQGAYGQPAPVVYRPAVPPAPQVVFTPPPAPVPVTIRAPPPAPSPAPAAATHQPANAPAPASSAPSAAALAKEVEKKLFVSETALAPAAAAASAAAATQEGKDDAADDAPELDLAPVSKKGMAHPARPGVGTVGKKVMIRTNHFLVVVADKNLFHYDVSSISPLPSSLSSTACVDLIDICVINVVSINPGSKSRQTNREVLNELIKLHGQTSLGGKLPAYDGRKSLYTAGSLPFESEEFVVTLVDPEKKEKERAEREYRITIRIAGRTDMYHLTQFLCGRQGDMPQETIQVLDVVLRESPWNYVTVSRSFFSTSFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIGTPLVVLSVSQFIDDYISATSFFKPVTVIKFVEEFLNIHDASRPLSDRDRVKIKKALRGVRIETSHQQDQIRRYKITGITPIPMSKLIFPVDEQGTRKNVVRYFWDKYGYRLKYASWPCLQAGSDSRPVYLPMERYFKKHNDKQVTNILRATCKRPQEREQSIRDMVLHNKYAEDRFAQEFGIQLKYHESGREKKMINGGTVDNWACLNFSCMHPEEIKRFCVDLIHMCNATGMAVNPHPFIDVRSASPNHIENTLRDAHRRASQAPARQGIVNQIQLLIVILPDVSGSYGKIKRVCETDIGIVSQCCLPKHASRPSKQYLENVALKINVKVGARNTVLERAFVRNGIPFMSEVPTIIFGADVTPPLSGEDSASSIAAVVASMDWPEITKYRGLVSAQPHRQEIIEDLFTVSKDPQKGHNVNGGVIRKTNRRPERIIFYRYVFQIWLFCSSLLFLIEGQLACASLEEGYLPPVTFVVVQKGHHTRLFPEFHGRRDMTDRSGNIIPGTVVDQKICFVSTEFDFYLCSHAGIQGTSWPTHYHVLYDENHFALQSLTNNLCYTCVYPSLSLSLSLSLSLSLVFPDLSLLVKCSCAVWTHAVWTHAVSVVPPAYYAHLAAFRARYYVDGESSNGGSTPGSSGQAVAREGPVEVRQLPKIKGVMFYC >Et_5A_041017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16863882:16865700:-1 gene:Et_5A_041017 transcript:Et_5A_041017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRFPQLKQLALVDVSISEGSLHTFLSNCPALESLLLDKSFGFQSVRISSSSLKSIGVGAYYGGGIQNIWELIIVDAPCLEKLLYLHAHMNLRVSVISTPKLATLGCFSGESNHSGLVFDTIAIQGLKVVSLKTAVHNVKILAINTGRIDLDMVIDLLKCFPCLEKLYVKSSISGPNLWRRKHKDFIGSFDIRLKEVMLDSYRGIRMEASFASFFILNARELEFIRFVVGQRDYNEAFFAEQHRVLQMEKRASRVAWLDFTPDRCRQNLLHVNHGYTLLLQLEQQNMECVEAKLQTSSPYTHNVL >Et_2B_021296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28355806:28363239:-1 gene:Et_2B_021296 transcript:Et_2B_021296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSTASCVSAGKEDECRDEPAALRLKMVAVASILVAGAVGVAIPLIGRWRRGASSSTSSSGGAMVLAKAFAAGVILATGFVHMLHDAGEALTDPCLPASPWRRFPFPGFIAMLAALLTLVADVVATSMFEHKDQAGADAGASGTNCTTTVLAMKREQRTTSTDMAMAMDRAFAAGVILATGFVHILHDAGEALTDPCLPASPWRRFPFPGFIAMLAALLTLVADFVATSMFEHKDQAGADAAASGSDREETAGLLENGAHAGFTSIRSIDGEAMHATGIVGMRAHSQLHDHGSCHETGAAYDAYGHGHGHGSVEEPSGARHTAVAQILEFGIVSHSVIIGLSLGVSQSPCTIRPLVAALSFHQFFEGFALGGCISQAQFRSFSALMMAFFFAITIPAGITIGACIASFYNPNSPRALVVEGILDSISAGILIYMALVDLIAADFLNKRMSYNPRLQVGSYVALFLGAMAMSSLAIWA >Et_1A_008724.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:10846929:10847357:1 gene:Et_1A_008724 transcript:Et_1A_008724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRGPATDLSAVTTTLAAAAILVSFLFSDAAAASPTAPSTSASPAPAPLRSPPPPPERHRRAHAPRAAPPPPDISSLPWHRRLNLGQKVGLGLVAVAIAMQVAFVAYLAVRARQLRRAANAKAEWSPPRPAWQVARDSPF >Et_8B_059355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1725085:1738511:-1 gene:Et_8B_059355 transcript:Et_8B_059355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGSRSSEMVDEFQKLVIRMNPPRVTVDNDSDITATLVDSANKYGTLLEVVQVLTDLKLTIKRAYISSDGEWFMDVFHVVDQEGNKLYDGQVIDRIEQSLGAGSLSFRGPERSVGVVEAEAEESQTTIELIGRDRPGLLSEVFAVLTDLKCNIVASEVWTHDGRVAALVYVTDADTLGAIDDPARLDTTKRLLRHVLRGSSRDKKAARAAVSARVAHAQRRLHQMMHADRRDGGEAVDADGGARGGGLPVVAVEDCAERGYTLVNVRCRDRPKLLFDTVCTLTDMQYVVFHGTVIAEGAEAYQEYYIRHLDDNAAASYDDRDRLCRALEAAIQRRYTEGLRLELCCEDRVGLLSDVTRIFREHGLSVTHAEVATRGAQAANVFYVVDASGEPVQAQAVEAVRAEIGDQVLFVRDDAAAAGPKSPVGRDGGRRSLGNMIRSRSEKFLYNLGLIRCGEVEVEEDDEYAKLVRRMNPPRVVIDNDSCDNATVIRVDRVKKHGILLEAVQVLVDLNLIVTKAYISSDGKWFMDVFNVTDKDGRKLKSKEIMDHIQECLESEDHIEPRAMESTGFTPLEDHSTTIELTGTDRPGLLSEVCAVLACQRCNIVKAEVWSHDKRAAAVVQITDEATGLAIRDAARLSRVQELLGNVMQGDGLLANKKGAGGNTNTGVSMAGAHAERRLHTLMLDDGGVGREAGKARPGAAKVVVMDCTERRYTVVIVRCGDRPKLLFDTLCALADLQYVVFHGTVDAEGGCKEAYQEYYIRHVDGHPVRSDAERIRLVRCLEAAVERRAYHGLELEVRTEDRVGLLSEITRVFRENSLSIIRAAISTKDGKAEDTFYVSDAYGNPVDGRTMDAVGEQLGHAVLRVKRDAPVKPVPEGGAVSVLGNLLKGSLQGFRLIRSHS >Et_1B_014328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6099614:6102889:1 gene:Et_1B_014328 transcript:Et_1B_014328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPHGADAYIDDIGKLIPLHDGSIRTALDTGCGVASWGAYLLSRDILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARSFDMAHCSRCLIPWHLYDGLYLIEVDRVLRPGGYWILSGPPINWKKYWKGWERTKEDLNAEQQAIEAVARSLCWTKVKESGDIAVWQKPANHVKCKASRKAAATKSPPFCSNKSPDAAWYDKMEACITPLPEVSGANDVAGGAVKKWPQRLTAVPPRVASGGVRGVTPKSFAQDTELWRKRVRHYKSVVNQLEQKGRYRNVLDMNARLGGFAAAMAGDPLWVMNMVPTVGGNTSTLGAIYERGLIGSYQDWCEMESILLEMDRVLRPEGTVIIRDDVDMLVKVKSLADGMRWDSQIVDHEDGPLVREKLLLVVKTYWTAQDQDQ >Et_9B_064919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19879178:19880587:1 gene:Et_9B_064919 transcript:Et_9B_064919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAITAPSSLDQIPLILCPKTSNAVEPSAIPSVDLSAPGAAAAVVDACRSVGFFRATNHGVPARVAAALEARAMAFFALPAQEKLDMSGAARPLGYGSKSIGLNGDVGWLEYLLLSVSSNSVAKSSLPVDLRAALEEYTAAVREVGGRVLELVAEGLGLDRALLRSMVEGKEAGKSDEMLRVNHYPPCPLAPGLQDCGVTGFGEHTDPQIISVLRSNCTAGFQIKLRDGRWAPVHPDPESFFINVGDSLQVLTNGRFRSVKHRVVAPEGMQSRLSFIYFGGPAPSQRIAPLPEVMRAGEQSLYRAFTWAEYKSAAYKTRLADHRLGPFELRAASFPLPGGDHTADPQHCSSSSACMPRQQQEVAQVY >Et_9B_065451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5146062:5148395:1 gene:Et_9B_065451 transcript:Et_9B_065451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYMDLPTKGGFDFGLCRRNQSLAEKQGLKIPGFLKTGTTIVGLVFKDGVVLGADTRATEGPIVADKNCEKIHFMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATGRESRVVTALTLLKSHLFKYQGHVSAALVLGGVDCTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESKYKEGLTREEGIGLVAEAISGGIFNDLGSGSNVDVCVITKGKTEYLRNYLLPNPRTYVSSKGYSFTKGQTEILSTKITQLKPKVEVAEGGDAIAMEE >Et_5A_040287.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:10781311:10781505:1 gene:Et_5A_040287 transcript:Et_5A_040287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VNEGKINVKFCTKRYCPGNVPCYCCENQKPESLCDATIDECRSICPTCNPICSPPSHVTANFTW >Et_10A_000729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16094511:16096832:1 gene:Et_10A_000729 transcript:Et_10A_000729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERPPATAAAASLTAASLHEHVLRLHQCGAAHLLLRRAHAAALVSGALAASLPLAGALLLSYAALPDLASARLVLRHHPLRLRSAFLWNSLSRALSYAGLHGDALRVYNRMVYCGLRPDDRTFPFALHAAAAAENHRAKGLELHAAAIRCGHHADVFTGNTLVAFYAACGRASDARRVFDEMPARDVVSWNSLVSASLANGTLNDAKKAVVGMMRSDFSVNVASLVSLVPACGLEGEEGFGLEMSDCGVMPGTITLSSLLPALVDLGFFDLGKEVHGYSIKRGMESDIFVANSLMDMYAKFGCLEKASAVFKKIEARNVVSWNAMIANLAQNGAETEAFRLVIEMQNNGECPNSLTIVNLLPACARMASLKMGKQIHAWSIRRSLISDLFVSNALIDVYAKCGQLSLAQNIFDRSDKDDVSYNTLILGYSQGPYCFESLSLFGQMRSAGIEYDAVSFMGCLSACANLSAFKQGKEIHGVLVRRLLSNHLFLANSLLDLYTKGGMLDTASNIFDRISRKDVASWNTMIMGYGMQGQIDIAFKLFEMMKDDGVDYDHVSYIAVLSACSHGGLVDRGKKYFSQMVDKNIMPQQMHYACMVDLLGRAGQLNESAEIIRNMPYHANSDVWGALLGACRIHGHIELARWAAEHLFELKPKHSGYYTLLINMYAEAGMWREANEIRTLMKSRKVQKNPAYSWVQSGNKLQ >Et_5B_043714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14471287:14482244:-1 gene:Et_5B_043714 transcript:Et_5B_043714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAGRAVMVDRLVFALNGRRYEVAAGDVDPSMPLLEFIRTRTPFKGTKLGCGEGGCGACVVLVAKYNPTTDEVTEVSASSCLTLLYSINFCSVITTEGLGNTQDGFHAVQKRMSGFHASQCGFCTPGMCMSIFTSLVNADKSKRPEPPNGFSKLTLSESEKAFSGNLCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKSDKNPDVSRLPSYTLGGGICTFPDFLKSEIKSLLNHLNDACIAASREGWYLPRSINQYYELIYSGLLSDSVKVVVGNTSVGVYKEQDLHNQYIDISGIPELLNVVRREAGFEIGAATTISRTIEILQQECESISSPNGSVVFRKLAEHMSKVATPFVRNTASIGGNLILAQKYPFPSDIATILLGAGSTVCLQSVTERKHITLEEFLEQPPLDPTILLLSIFIPHWIPDSQTGTSLVFETYRAASRPFGNAVSYVNCAFLGHVSLDESSDALALSNLHLAFGAYGTEHAIRARKVEKFLTGKSLTASIVLTAIQLLRETIVPVEGTSHAEYRVSAAVGFLFSFLTPLSKVLNIGSAVSEDTDDVCNLPLSSRIETISIGEYKPIGKPIKKYGVEIQASGEAVYVDDIPASKNCLYGEFIYSTQPLAYVKNIKFKSSLASQKIIAVISAKDIPSGGENIGSTFMFGDEPLFGDPIAEYAGQALGVVIAETQRYADMAAKQAVVEYDLDDLGAPIITVEQAVENHSYFKVPQDLYPKEVGDVAKGMAEADHMIPSAEVKLASEYYFYMETQTALAIPDEDNTLVVYSSSQYPELAQSVIARCLGIPFSNVRVITRRVGGGFGGKAFRSFQVATAAVLCAYKLKRPVRMYLNRNTDMVMTGGRHPVKAHYSVGFKSDGKITALHLDLLIDAGISEDASPIIPGTIISSVKKYNWGALSFDIKLCKTNNTSKSVMRAPGDTQGSLIADTIIEHVASVLSVDAICVREKNFHTYDSLQLFYPDSAGEASTYTLHSIFNRLASTSSYLDRAESIKKFNSCNKWRKRGISCVPLIFKVEPRAAPGRVSVLNDGSIVVEVGGIEIGQGLWTKVQQMTAFALGQLWPDGCEGLLERVRVLQADTLNLIQGGLTAGSTASESSCAATLQACNMLVDRLRPVMDRLQPQSEKVSWDTLISQASKENVNLSASAYWVPGQDSNKYLNYGAGISEVEIYLLTGAITILRSDLVYDCGKSLNPAVDLGQIEGSFIQGIGFFIYEEYVTNTDGLMISNSTWDYKIPSVDTIPKQFNAEVLNTGYHKYRILSSKVREAIRAARKEFANSTGCGTSPLVFQLDVPAPMTVVKELCGFDIVEKYLESLSTYGT >Et_4B_038745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4599140:4601331:1 gene:Et_4B_038745 transcript:Et_4B_038745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSIRAAAKAAVIGGYRSAAAMRRAAVPSSQAPHSTSAADGRRASTIAMDDWVIPDHEVFGPVPTHEEAMAATLDLRDAFEIAKADPIHTKVAPVSALQEIVHSETPLDVFHSEASKKEDSYENLLVTSGAPGRVVQAFTMLHQSPEAQDVVASLASDKNVWDAVMKNEKVVKFYKRLETKLSDCSSVASSLSEGEVEDDDAASSQNISVISGESFKDYVEKMKALVSEMVANLSNMMQDLVATSNEGRCKGKLKTMVLSASNDFANAPSTFVLLAIASIMVVLLKRV >Et_10B_002978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14960642:14963991:1 gene:Et_10B_002978 transcript:Et_10B_002978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVGPLLVSVAGWLDPSGLHFFGWLITAGSFGLAALIYALLRLQREASLYWTKASREKRAAWKALRCPSSCHTWTEDYFRGGQPSTCCVCLSSLGSAQGAGSRGSDADVVHRCSVCGVAAHSYCSGAAEKDCKCVAQAGTSPLLHHWSERWVELDDNPEISSFCYYCDEPCGVPFLVKSRVRRSRSKKRMMNNFPGGKTNPISTDNSIFDSVLEGFARLQGMSGKYTLAKPKLSENPLKQTYGTGVPNASERKYELVDLPHDSRPLLVFINGKSGGRNGPSLRRRLNMLLNPIQIFELSASQGPEVGLQLFHNVKHFRILVCGGDGTVAWVLDAIEKQNYESPPPVAILPLGTGNDLSRVMRWGGGLSSVERQGGICALLNDVDHAAVTVLDRWNVAIKEKNGTEDQCTKQVKFMTNYLGIGCDAKVAYDFHTTREERPDKFCSQFVNKLIYAREGAKDMMDRSCSDLPWHVSLEVDGKHIEIPEDAEGVIVMNIASYMGGVDLWQNDTEHDDDFSLQSMHDKMLEVVCISGTWHLGKLQVGLSRAHRLAQGKVIRLHLHSSFPVQVDGEPWIQPPGCLEISHRGQTFMLRRTSEEPTGHAAAIMSEVLVNAECNGVIDAAQKRLLLHEIALRLSS >Et_5B_043489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11115965:11119310:1 gene:Et_5B_043489 transcript:Et_5B_043489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKNMVQGMMDVTMNKDIIHTFWGEPKLTPLQIEYAAMKPVTEDRASSGTSTETTAIISHCRARLGMTSRPRRWHRTALLQLCADKCKLVFQIHDADVVPDALKNFLTCRGASLSSQL >Et_9A_061248.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:24208129:24208386:1 gene:Et_9A_061248 transcript:Et_9A_061248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DWFIFINHVICFCKHRALEEKIRLKVKFKLKTLRLTYPVPLNVLEIPESFIIPLLDLRQKDRYYLQHANGNYVQKKTLQQVDLDN >Et_5A_041464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23271320:23272605:1 gene:Et_5A_041464 transcript:Et_5A_041464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VFCFVWCPGPNTPKREDDFGTFVSFGSAYYDVVPIFLVLAVLVFSEVKDFVFYICSISTKVDLICSYVKHPSWHGSSAIRNCIGFLINRCRLKLVNRWGHEMSQCSILLPRPWWKTRPLLWRFFGFPEEKSVKVPSAVKKSIFETIQNEDLKIKHAGAVKHIWPPSPPVTVLDNKNALSTCRWISVADTILAWHIATNIFEMKSKEQPDSGTRKEEQSQSSDSDDKIVATRLSRYCAYLVACRPELLPDDGDWCKKLYRDVLKGADRVLRAAKGAGFQRVIELLKQEPDDNKVLKNGAMLAEELVKDHSHIDWKDLAVFWSEMVLYVAPSENLDGHAEAIARGGELVTLLWALLAHAGIVDRLVEAATETSTVAGDAPNTSAEEAPDTAGDHHV >Et_8B_059957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4440682:4467559:1 gene:Et_8B_059957 transcript:Et_8B_059957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSSADGARKDSPDKVKLVTVLSIDGGGVRGIIPAKILAFLEEKLQELDGPGARIADYFDVVAGTSTGGLLTAMLTAPDKKGRPLYAAKDLAPFYVEHSPKIFPQKNWFLSKVMGTLRMVSGPKYNGKYLHSLLRQNLGDLTLDKTLTNVVIPTFDIANLQPTIFSTFELKYRPSKNALLSDISIGTSAAPTFFPAHYFETKDEKGEIRPFNLVDGGLAANNPTLSAMSQVTKYIILGNDEFFPVKPVDYGKFMVISIGCGFNRDTTYSAKAAAKWGIFNWLIKDGTAPIVDMFNSASADMVDIHLCVLFRALRSSKNYLRIQYDQLTGSAGSIDDCSKENMNKLMSIGDELLSKHVSRVDLETGRFVEVPGEGTNAEQLAKFAKLLSEERRRRQNELPKYSADGARRESPDKVKVVTVLSLDGGGVRGIIPAKILAFLEEKLQELDGPDARIADYFDVIAGTSTGGLFTAMLTAPDKNGRPLYAAKDLAPFYVEHSPKIFPQKNWMLSKVAGTLRMVRGPKYNGKYLHSLLRQHLGDLRLDRTLTNVVIPTFDIANLQPTIFSSFELKYRPAKNALLSDISIGTSAAPTFFPAHYFETKDENGGVRSFNLVDGGLAANNPTLCAMSQVTKDIILGNDDFFPVKPVDYGKFMVISIGCGFNRETTYSAKKAATWGIFSWLIKDGTTPIVDMFTSASAHMVDIHLRVLFSALRSSKNYLRIQLTDFVISLKYDQLRGSTGSMDDCSKENMNKLISIGDELLSKHVSRVDLETGRFVEMAEGPHRTSPDKVKLVTVLSIDGGGIRGIIPATILAFLEEKLQELDGPDARIADYFDVVAGTSTGGLLTAMLTAPDQNRLPLNWILSKAAGALRMVRGPKYNGKYLHALLRRYLGDVKLDSTLTNVVIPAFDIAYLQPTIFSSFELKHRPAKNALLSDIAISTSAAPTFFPAHYFETKDENGRKREFNLVDGGLAANNPTPNRLTPADYGKFMVISIGCGSNRNRRYSAKAAATWGIFDWLIKDGTAPIVDMFNSASADMVDIYLCVLFRALRCSQNYLRIQYDQLTGSAGSIDNSSKENMDNLVRIGKELLIKHVSRVDLETGRFVEVPGEGTNAEQLTNSLLKDAGASSSFQSMSPVHVPELATNGSGAGLTLNPAQRLLTRGGSLLSTPKTPSPAYGSIVTVLSIDGGGVRGIIPGTILAFLEEKLQELDGPDARIADYFDVVAGTSTGGLVTAMLTAPNENGRPLFAAKDINNFYLEHCPRIFPSVSGGPLGLMKSMAGPKYDGKYLHSIVQKLLGETRVSQALQNIVIPTFDIKLLQPTVFSRYDAMNDVSKDALMSDVCISTSAAPTYLPGHQFETKDKDGNTRAFNLIDGGVAANNPALLAMTHVSKQILLGNQDFFPIKPVDYGKFLVLSLGTGTAKIEEKYDAVQSGKWGVLGWLYNKGNTPLIDSFSHASSDLVDIHISVLFQALHSDKGYLRIQDDELKGDTASVDVSTKENLNRLVEAGKGLLKKPVCKVNLETGKNEPDPRRGTNEEELIHFAQNHVARARSRARHQRFWPDLEPGAAVAQPKRVVAVYAQDTVACIRECRHRAEHRRRWRTRDHPGHHPRLPRRVQELDGPEARIADYFDVVAGTSTGGLVTAMLTAPNEKGRPLFAAKDINNFYLEHCPRIFPSVRLGRS >Et_5A_042425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:122564:125469:-1 gene:Et_5A_042425 transcript:Et_5A_042425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRWNAVTGAAASCGNPRASRREQYDQIKLFKVTASIFIDLENLLLPSQQHEQKGAVGSGAASDEQGRGSAYTNSSNSSRNFDNKVEEEQSVVMSSSALNFFDNKVYAFDNFSTLKHRTTLIKNFIDINIIYNDYTCINLSEIMTEQCIAKIGANDPALTVAHHRTATGSEALEDIIALFYDENMSEYTGNKHGPR >Et_6B_050126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6947325:6951617:1 gene:Et_6B_050126 transcript:Et_6B_050126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAKIDAIFDEKTKKMEKLLNITKELPEAKEGANINMQENFVINETGDNFTKVVRKGKGMPMFTKQSDRVKHDGAVMMSKAMEAKAKKNEIQEWQELASEIEEIELTDATDTRWAILNMTEDKLLIEQGIGKIRKAIPGRTVEQ >Et_10A_001311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23184698:23188722:-1 gene:Et_10A_001311 transcript:Et_10A_001311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPTEVAGNPYRAELAAAKKAVSLAARLCQRVQRDILQSDVQSKADRTPVTVADYGSQVLVSLVLKMELPSHSFSMVAEEDSKDMKNDGAQEILGHITDLVNETIVSDGSYEVSLSKDDVLAAIDGGKSEGGPSARHWILDPIDGTKGFIRGDQYAVALGLLDEGKVVLGVLGCPNLPLKLSSKNNGGSSGDVVGSLFSATIGCGAEVEALGGSKPEKISVCPINNPVEASFFESMKHRTPSKLGVKAPPVRMDSQAKYGALARGDGAIFLRIPHKDYKETVWDHAAGSIVVTEAGGMVKDASGNDLDFSKGRHLDRDRGIIATNKYLMPLVLKAVQEAIKEEQQASALVSSFTYGHFPGSMNCAVHSKSIIAIDSDTADPISLTTDNYSITCKNT >Et_1B_012055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28545017:28548181:-1 gene:Et_1B_012055 transcript:Et_1B_012055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKQQQRATPAPAALDNGDILRRIFGFLDVPADLVRSVLVSKRWLAAASDPAFLRDFAVRCPRRLLGFIAVFGPDPLGDEHSSEDGDAAAAAASDEEEMIHGGDGDEEMAIGGGGDDGVFSRFVPLPGLPTNLARAARLVAAAFNAGGPPGDLARACSNGHVLVVPGAPVDGAYSVRRPLRLTASGVVHLPAPPQAEDDTNDDEERFVAEFLLVRGRNQPGGDDDLSCCHGACVLTAVQDMEGVIDAAFVVHVLQDGGAWVTHTSPPMQVEKPVDTYVSPYSLVVGSRLYMLIITGYIAVFDIVAATFSLHKLPDGVSSGDGGVLDHRLARAGDTGLYIVHARGTELRFLRHLQGMEDARGVWEWELVDTVILGGVLSVLGVEIYAVGDDAAFLFLMVGDNIGLFLLDVKSMKVKMVLQTSPTDDGHLYRVLPFMMPWPPVFPPALDEGNRVSGKVCHGVVNITNQSMLFT >Et_4A_032935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15786279:15790631:-1 gene:Et_4A_032935 transcript:Et_4A_032935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGDGVAKNDRKVAGSGGGAVRNGGGANGRRRRWTGDGGAAGGGGGGGCRQHPIIQAYPALLPLPIHAANARANGAVSLPLPPPVLLYLHPPPLLFPKAAACYGKPNGPPPQRGAVWRSRKPPPPPHAVTAALLPLPQGAEVLQHKRCSIYQKEPANMIPNHVNTHQNSSFAIQGATIALRPDVGGVEGTMIPLYTNHFLVRFDPSQKVFHYNVDISPRPSKETARMIKNKLVAENSSALLGALPAFDGRKNLYSPIEFQQGKLEFFVSLPVASARFLPAEENEHMLDKKKHKIFRVNLRLVSKLSGEDLNKYFNEENGGVPLPQDYLHALDIILREGSMENSIPVGRSLYSRSMGDARKIGGGAVGLRGFFQRLRPTKQGLALNVDLSITAFHESTGVIAYLQKRCEFLKDLTQMKTRVLTEDERREVAKALKNIRVFVCHRQTDQRYYVHGLTEATTENLKFRDRSGKDYLVVDYFKEHYNHDIQFRNLPCLQIGRSKPCYVPMELCKVCEGQKFLGKLSDEQTSKMLNMGCHRPSERKRIIKGVVEGAFAARSDSCVDQFNLQVSKDMTQLSGRVLLPPKLKLGNGGRIKDITPDRFDRQWNLLDSHVAEGSKIKSWALISFGGTAEQHSFVPKFVSQLSSRCEQLGILLNKRTVVSPLFERIELLNNVDIMESKLKKIQEAASGNLQLLICVMERKHRGYADLKRIAETSIGVVTQCCLYSNLNKLTFQFLANLALKINAKLGGCNVALYNSLPCQIPRIFSDEEPVMFMGADVNHPHPLDDSSPSVVAVVASMNWPSPNKYISRMRSQTHRKEIIENLDVMTGELLEEFLKEVGKLPSRIIFFRDGVCETLFCKVLKEEMHAIRVTCLRYPGYKPSITFIVVQKRHHTRLFHREKNGGSTHYSDQNVPPGTVVDTVITHPREFDFYLCSHWGTKGTSRPTHYHILWDECKFQSDEMQQLIYNLCYTFARCTKPVSLVPPVYYAHLAAYRGRLYLERSDSMATSRTTLYRATPLQTAPLPKLRDSVKRLIDIGPGLEAT >Et_6A_047946.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2523998:2525536:-1 gene:Et_6A_047946 transcript:Et_6A_047946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQLSWAARCSGMAFFTFAFFLAALGAVLLLIRRWPWCSCHVCRAYLSGSWARDFTNLGDWYAHLLRESPTGTVHVHVLGCTVTANPANVEYMLKTNFDNFPKGKRFAAVLGDLLGGGIFNVDGDAWRHQRKMASLELGSVNVRSYAYKIVAQEVEHRLMPVLAAAADADEGGAAVVDLQDVFRRFAFDTICKISFGLDPGCLEPELPMSKFADAFDAASRLCAMRGAAASPLVWKAKRLLNVGSERELRRNIKLVDELAAAMIRERRKLGVADSHDLLSRFMASNTSAGDGAAGMDDKYLRDIVVSFLLAGRDTVSSALTTLFMLLSKNPAAAAAMRAESPGTDTPVTYQQLKGLHYTHAVLYENMRLFPPVQFDSKFCAAADVLPDGTYVAGGARVMYHPYAMGRMPAIWGADHGEFRPERWLTGPGGTFVPESLYKYPVFQAGLRVCLGKELAVTEMKAVAVAVVRAFDVEVVGETGCGACAPKFVSGLTASVSGGLPVRIRRVFRNN >Et_7A_051079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14180200:14183448:-1 gene:Et_7A_051079 transcript:Et_7A_051079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESRLDEGISNVDASPALATIGNLAGSSNNSPGNNLGGSSNNSPVYTPAIYNIFENEVLQTLNCDILYCGVVNGEMMYKLKDTYSMSAISAEKLAESVENCLRIRSDINLDRSSTPQVSKENEKPNRPKGIKPKVEQIRGSARLIGDFEKSTRKLKKKKDDDTRRHAQDTGPSHILQKSEADEHVAGDTLQSSSHHHTSFCDDADESQQPARRESRTMTPKAAPPAASYASPPL >Et_8A_057387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2508908:2509620:-1 gene:Et_8A_057387 transcript:Et_8A_057387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLESGDLEFKLDYWATKNHAIGKIVSSENIHAGGHIWRVDCYPRGNDDYLSIFLTLASKSKNVKAMFGVFLTEKDGTPSPTHSRRCVHVYTCDEGANSWGYDQFNQLELLFVKNGWVTIWCRVTVLLDDTLDVPPSDIGMHLGSLLEFTDSSDVSFIVGGETFPAHRAVLAARSPVFKAQLYGAMTEAKMSSIVLHGIDASTFKVMLQFIYTDALTSDDKLGDSPAGMFESLR >Et_1A_008990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23901313:23903872:1 gene:Et_1A_008990 transcript:Et_1A_008990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKNKIRGLHRSFDLASYMTCDMNKHTEIVPAGDGFVGVTVFDEPNIQFWRREAPLHGAWRDWELHRTV >Et_10B_002877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1305622:1308994:1 gene:Et_10B_002877 transcript:Et_10B_002877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKKEKGEGLGRALIRQRNKAAAAAKERGEALAFARRRAAPLESVIDVSDIDAVLQRAAEADQLAETASVSSSSDLVIDLDATGETAEERRRLRKEQEALYAGSLQVPRRPPWSTEMTVEELDANERRAFLVWRRNLARLEENDKLVLTPFEKNIDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEVYAKEIDEHKRTMLLVNKADLLPLNIRKKWTEYFKAHDILHVFWSAKAATATLEGKKLSGYSEEESASLDLDTKIYGRDELLMKLQAEAESIVAQRRTSTSEDDRDLSSSDSVSSMVKHVVVGFVGYPNVGKSSTINALVGEKRTGVTHTPGKTKHFQTLIISEELMLCDCPGLVFPSFSSSRHEMVACGVLPIDRMTKHREAIQVVADRVPRSVLEQIYKITLPKPKPYESQSRPPTAAELLRAYCASRGHVSHAGLPDETRASRQILKDYIDGKIPHFELPPGVTDAETEHEEVAGSEGPTTSAANESDADDSIEEDDTVDSAEQDMRHALSDLESFDLANEGSKAAGKKKKEASHKQHKKPQRKKDRSWRVGNDGGDGTSIVRVFQKPAVNLSAVSASGRV >Et_7A_050760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10867440:10869918:1 gene:Et_7A_050760 transcript:Et_7A_050760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASFADAQAQPTAWALLALAAAGLLACARAATRLALWLYAAFLRPARPLRRRYGEWAVVTGATDGIGRALAHRLAAADLALVLVGRSPEKLAAVAAEIKSARPGTRVRTFELDFAADSEGGLAAKVDALADSLRGLDVGVLVNSAGCCYPYARYFHEVDEALARDLVRLNVEALTRVTRAVIPGMVERGRGAVVNIGSGASAILPSYPLYTVYAATKAYVEQFSRTLYVEYRSKGIDVQCQVPMYVATKMASIRNPGFFSPSPEAYARAAVRYIGYEPVCTPYWTHAVMWFLISLLPEPVADNIFLDMALDIRTRGRAKEARKKAQ >Et_7A_052081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4476262:4478046:-1 gene:Et_7A_052081 transcript:Et_7A_052081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLSSKKSGKGKKPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSTGGSGGKKAFTAA >Et_8B_060716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5436611:5439900:1 gene:Et_8B_060716 transcript:Et_8B_060716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQQPKRLSAPRNPNPPPRVPPGTSPPPFPHPSTLELGGQSGGDEEVMQDPGRYAEGRSCHGMDHYANKGKQSVDVGVSDSPPLQLADKRRPKRQKTNITTSTEDFHERYLRLKKEEIDRFAAIEEKKMEDPYSIKNCITILEGMGDLLQIEDMIKAADVFKDNQANREDMNEKGNKQESAM >Et_3A_025844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33316697:33318043:1 gene:Et_3A_025844 transcript:Et_3A_025844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKEPMIHLFATTTLLVLATAALPCTLVASEQPPNKPLVVPLSKDPSTSLYTISIKNGAPLVVDLAGSLIWSTCPSTHGTVPCESNTCRVANQQHPRRCRYVDAGCACTGHPANPITGECSTGDLTSMAMSANATDGNKALYPVELSGVVGSCAPDRLLTSLPAGASGVAGFSQWPLSLPSQLSSQRKFGNAFALCLPHFATFGNTPIQLREQNFFPALTSAIPYIPLVSNPRNGAYYLPVKSISVMWGGPEVKASLPAGALDLEVNTGRGGVVLSTVTPYMTMRSDVYRAFIQAYDTVVRGNSYVVERMPPEPPFELCYGSGLRPAKRFGLDVPAISLELPGAARSWTVPGANYIVRKSGWAICVGVVEMAPGVEDQPAVVVGTMQLEEHLLVFDLDNGTMGFSDLLWYLETSCSAFNLQ >Et_10A_001583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5390351:5393818:-1 gene:Et_10A_001583 transcript:Et_10A_001583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNIARLESSPRLTKAFISAMELAAADQSVAVALVVAFVVFALVSSLAWPRGQRKALNLPPGPRGWPVFGSLGLLAGPLPPHRALAALAARHGPLMHLRLGSFHVVVASSAETARLVLKTHDAALADRPPTSAGAIMAYGYRGILLTPYGAYWRMARKLCATELFSPRRLDLFERARAEETRALVRGVFDCCLAGGQGAGFEVRGHLESFGMRNILRMAIGERWSGLYGSEEGAAFRASLREVFAVSGAVNNVGEWVPWLGRLDAQGFARRMRRARALFDRFYEQILDEHEEERRRWRAGSSGAAAAGEVFAGRDLVDVLLQIAEEDEEGAGGESESRLTREGIKGFIQDIIAGATSI >Et_10A_002074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21449864:21453963:-1 gene:Et_10A_002074 transcript:Et_10A_002074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFLSSSAKPYSSSKPLNPNRFLSPTISSSAAPRFPSHGLAAAKTNPAASSHRLRALPRAVRASLPRRPEYVPNRIDDPNYVRIFDTTLRDGEQSPGATMTSAEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGDDGHVPVICGLSRCNKRDIDAAWEAVRHARKPRIHTFIATSEIHMQHKLRKTPEQVVAIAKEMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGSLIADIKANTPGIENAIISTHCQNDLGLASANTLAGARAGARQLEVTINGIGERAGNASLEEVQEHSGLIVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEINDQEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVIWSLVDVQATCGTLGLSTATVKLIGPDGAEKIGCSVGTGPVDAAYKAVDQIIQIPTVLQEYSMTSVTEGIDAIATTRVVVSGDVINNTKHALTGQTFNRSFSGSGADMDIVVSSVRAYLSALNKMCSFVGAVKADSEIPANQSV >Et_10B_003321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18877957:18879417:1 gene:Et_10B_003321 transcript:Et_10B_003321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGANIKRALGDMVARAAPGNVLFFHYSGHGTLVPPVMPEHRGRGREDEAIVPCDFNLITDVDFRAVVDRVPHGASLTMVSDSCHSGGLIDNEKEQIGPDSVAASNSRTLRARFIPYDALVEHLAGASGVYASHHAGDHLVALFGADASSKFHHKHRHRHESTPPAPRLDDAGILLSGCQTDETSADAFLRGKACGAFSNALQGVLPAHPAPMSITKVQKNINDMQPALRDQSELWATICLH >Et_5A_042763.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:411130:412158:1 gene:Et_5A_042763 transcript:Et_5A_042763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRATVTMKKEEEVELLGEDEAALLKKITALAEAIGKLPSLRPSPEVNALFTELVSACVPASSIDVERLGRAAQEMRGKLIRLCSEAEGLLEAHYSDLLADFANPLEHLTLFPYFNNYILLSQLEYGLLARHVPGPPPARLAFVGSGPLPLSSLVLAARHLPAASFHNYDICADANARASRLVRADDDLANRMAFRTSDVADVTRDMAAYDVVFLAALVGMAAEEKARVVEHLGRHMAPGAALVVRSAHGARGFLYPIVDPEEIRRGGFEVLAVHHPEGEVINSVIIARKPAVAVVDDAGNNGHAHGHGGAVVSRPCLCCEMEARAHQKMEEMPLEKLPS >Et_6B_049657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:856793:859050:-1 gene:Et_6B_049657 transcript:Et_6B_049657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAAASRLLLRRAPPPPHFNATPTAAAYALLLHARPFSPPPPPPRRPAQADAELEVTPAEARRLVRLVGVEALKQRLRDGREEVVGYDELLDACVEAGAARTHAEAEALARAMDDAGVLLLFRDKAYLHPEKVPFSCFTSYHIIALHFWILVVDLVRRAVPLALESENDPRKEEFKQLQERKEEIDKLAHKQVRRILWCGLGFFICQVGLFFRLTFWEFSWDVMEPVAFFTTASGLLVGYAYFLITSRDPTYQDFMERLFSSRQRKLCAMRKFDMERYLELQKQCRCPLEGH >Et_3A_027113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33350786:33355706:1 gene:Et_3A_027113 transcript:Et_3A_027113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRNKSMEFFKRFEVPAKNPSEDAQRRWRDAVGTLVKNRRRRFRMVPDLDKRSQVETQRRQIQEKLRVALYVQKAALQFIDAARKKEHPLPELARQCGFSISAEELASVVRGHDAKSLRHHKGVDGIARKVNVSLADGVKSDDAGVRAEVYGANQYAEKPPRTFWMFLWDASQDMTLMLLALCAVMSVAIGLATEGFPGGMYDGVGIMLTIFLVVMITAASDYKQSLQFRDLDKEKKKIDMQVTRDGYRQKVSIYDIVVGDIVHLSIGDQVPADGLFVDGYSFLVDESSLSGESEPVHVSSANPFLLGGTKVQDGSARMVVTAVGMRTEWGNLMETLSQGGEDETPLQVKLNGVATIIGKIGLAFAVLTFTVLMARFLVGKAHSPGGLLRGWGMADALSVLNFFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKLMQERALVRHLSACETMGSASCICTDKTGTLTTNHMVVDKVWAAGAAQTVATAKGFDDLKASASETFSRVLLEGVFHCSGSEVVRDKDGKTTIMGTPTETAILEFGLELEKYTKIEHAGAKKLKVEPFNSVKKTMAVLVASPNAAGGPRAFLKGASEVVLQRCSGVVDGAGNVEKLTEAKAKRVASAIDAFACEALRTLCLAYQDVGSGNDIPSDGYTLIAVFGIKDPLRPGVREAVKTCHDAGINVRMVTGDNINTAKAIARECGILTDDGIAIEGPEFRTKSPEEMREIIPRIQVMARSLPLDKHTLVTNLRGMFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFSTIINVAKWGRSVYINIQKFVQFQLTVNVVALMVNFVSASFTGSAPLTIVQLLWVNLIMDTLGALALATEPPNDSMMQRPPVGRGDNFITKVMWRNIIGQSIYQLIVLGVLLFRGKSLLQLNGAQSDAQLNTFIFNTFVLCQVFNEVNSREMEKINVFAGIFNSWIFSAVAGATAAFQVIIVELLGTFASTVHLSGRLWLISVLIGSVSLVIGAMLKCIPVDSSSGDSSDRHDGYQPIPTGPNAV >Et_5B_043326.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:3233841:3234350:-1 gene:Et_5B_043326 transcript:Et_5B_043326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSRSRRRGRGCRRCSKQCWRATTSASTPASRSPRSAPRPWRRWSSTSPSTPRPPPTPTPRPRNSRSGTPSSSTASAARTPSSTSSSPPTSSRSTASCKKIADMMKGKTSEKIRATFNIPDDLTEEEREKIRREHAWAFPGHRSAAATDDDEDDYDEGGEDDEEEEP >Et_6B_049353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3702423:3707867:1 gene:Et_6B_049353 transcript:Et_6B_049353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLCSGHGPVKLLAAAALAGAQVASVPGRWWWRPAAAAAGARHGVAARASSVSSRIGLDSQNYHTRDLSQLLWVGPVPGDIAEIEAYCRIFRAAEQLHTAVMSALCDPETGECPVRYDVESEDLPVLEDKVAAVLGCMLALLSRGRAEVLSGRSGVASAFQESADSTMDRIPPLAVFRGDLKRCCESMHVSLARYLVPSEPRGLDIWRRLQRLKNACYDAGFPRPDGYPCPTLFANWFPVYFSTVSDDSEVDELEVAFWRGGQVSEEGLAWLLEKGFRTIVDLREEDVKDELYLSAIQEAVSSGRIEVVNLPVEIGTAPSAEQVQQFAALVSDAAKKPIYLHSQEGVCRTSAMVSRWKQSITRSERLATQNRPSNGNGKLVKNDHTELNTGSSTSTNGRQNGRLVESGRLMNNGATSEIDVETARHDLEVTNALSSDQSTEQGEMHGTQAELKSNFRIESNPLKAQFPACDIFSRKEMSNFFKSKKVYPKSVLNSRRRSSSFLVSRRKQYLSITNGKPSNNRASSVVEEQKREASVMTVDPRTSTTSISKGNAQFGSQKTAEKNGAPYLDRNSPDPVDGSMCASTTGVVRLQSRRKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSPPKTVLLLKKLGDELMEEAKEVASFLHHQEKMNVLVEPDVHDIFARIPGYGFVQTFYTQDTSDLHERVDFVTCLGGDGVILHASNLFRTSVPPIVSFNLGSLGFLTSHNFEGFRQDLRAVVHGNNTLGVYITLRMRLRCEIFRKGKAMPGKVFDVLNEVVVDRGSNPYLSKIECYEHNHLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSAQLELKIPDDARSNAWVSFDGKRRQQLSRGDSVRISMSQHPLPTVNKYDQTGDWFRSLIRCLNWNERLDQKAL >Et_5A_040498.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:26410135:26410263:-1 gene:Et_5A_040498 transcript:Et_5A_040498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSTPLLLLDCPVGLAFIGLGVGQGTAAGQALEGIARQPEAEW >Et_2B_020004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16087944:16088727:1 gene:Et_2B_020004 transcript:Et_2B_020004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMLTWPLMLSRTPKRTASARGILTNPATAMVPTHFPVSPSSVSSTSGTTMAPEMELKLSRIPMAPNARAWSGYASAPATLLATGPSRGNTSPSRSPAGMPCPRGAAPRCADDADALIPGVMLDASFMHANTPSPAIQITLVATTSRPLAVAVRPISPTMRDTSTDAVLASVSISTAASSSGDRTGVCIMLPYMPPETRAARRRQDAMLAYVKMVHRRTSFQSAARSASRPT >Et_1B_010034.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:19406679:19407137:1 gene:Et_1B_010034 transcript:Et_1B_010034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLTFRPIIPATAAALARRRHGRLITCKAEPSGGNSKLELAAGAAGLASSATVAWSLYTLNATGCGLPPGPGGALGAAEGVSYLAVAALVGWSLTTKARTGSGLPAGPYGLLGAAEGVAYLTVGAIALVFGLQFFQHGSIPEPLPSDQCFG >Et_7A_051056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1461421:1463910:1 gene:Et_7A_051056 transcript:Et_7A_051056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRGPAAFLAVLLLASSAAAADMSIISYNEEHGARGLERTEAEARAMYELWLAEHGRNYNALGEYDRRFQVFWDNLKFVEAHNARADEHGYRLGMNDFADLTLEEFRATYLGAKPVQRNRAAGEMYRHDGLEELPSTVDWRTKGAVAPVKNQGQCGSCWAFSAVSTVESINQLVTGQMITLSEQELVECSTNGGNSGCNGGLMDAAFEFIIKNGGIDTEDDYPYKAVDGKCDVNRRNAKVVTIDGFQDVPKNDEKSLQKAVAHQPVSVAIEAGGREFQLYKSGVFSGRCGTALDHGVVAVGYGTDNGKDYWLVRNSWGPKWGEAGYIRMERNIKATSGKCGIAMMASYPTKTGANPPKPSPTPPTPPPPVAPDHVCDDNFSCEAGTTCCCAFGFRNVCLVWGCCPIEGATCCKDHASCCPPDYPVCNTRAHTCSASKNSPLTVKALKRTLAKLNTA >Et_4B_036799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11645843:11647496:1 gene:Et_4B_036799 transcript:Et_4B_036799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEEEAVEEEYGEEMDASESEEDVVVGQMPTVMVPKHIKKRSLKNKALSVTLDEKALRDFVTGFHKRKKKRRKEAQKVLQEKERKKKIQDRKRRKEEKEIAMYGRVLSSDNADGDGLENEGIHSDGEEMETTEIKTYEDAGSRITVTTSEITRDDDGIAPKPIASVSMSYADKNPKVVSKKNSNLGVKKKPQKRTFKNKSKSKKGDKKRGAAKGKKKNKGRK >Et_7A_052447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8151055:8160068:1 gene:Et_7A_052447 transcript:Et_7A_052447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAALLPVALLLCLAMASSADAGRKTAGVYELKNKKGDFSIKVTNWGATLMSVIVPDSKGNPADVVLGYDTVAGYVNGSAYFGALVGRVANRIAKGRFVLDGIAYHLYINDGNNTLHGGHRGFSKVIWTVKEYVPSGDSPYITFYYHSFDGEEGFPGALDVYVTYQLSGPYDLRIRMNATALDRATPVNLASHAYWNLAGHGSGGDVLGHQVRVLASRYTPVADDESMIPTGEVAGVAGTPYDLRRLTPLGSRIRLVSAGGVAGFDVNYAVDGDGGFRRVAYVRDPASGRAFELWADQPGVQLYTANSLRNEEGKAGAVYRQHGALCLETQGFPDAVNHPNFPSVVVGPGGVYMHHMLFKFSPPVQRSVIGWRFLCFSTSCSSTRTGCRPWSPLSTPSNPPWRLAGKLVHPAGTGDVAITCSASDGVKFVVAESDADVRRLAGDEEHDVQTLERLVPEVDMSVLPAPVLAMQATRLEGGGLALGVTVHHGVDDGRSLWRRGPRRAGGVLGRLRRVAASSVNVRRVKFRPAAWGWGGGGGGEARAPARRGRASATSRDETRRRVGSCSVRRPAASRQAYAPARGGASKTAGLNFTRRTFTSAKHRVVRLSEARGGAAPLLRPPSTFVAAVALIWTCSVRCSPFPVDDDDDDVFIFFFADARSHLDPPAGADYFGTCLSGCLSRLPPRELHSDSEHALAAAASAAQGAIRENEMAEDPVAGWDIMKTVNGVPCRDRFFNVSRSSSFRAYEVADLGWGRPRRTEPVSMNKDGQLALVRASDGKGVQITAALLHRAHMDAFKSELLELSSELTSDGYNLSQQGLFDNLREK >Et_10B_002415.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19107037:19107050:-1 gene:Et_10B_002415 transcript:Et_10B_002415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSF >Et_5B_045524.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:3635043:3636017:1 gene:Et_5B_045524 transcript:Et_5B_045524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMVPVMAPSAPCSPRTAAAGDHLPGYCYFFSSAPTSPSRASYAAGDGDGDGATFDFTLGFSGQLQEASPILAAADELFEGGRIRPLNTPHPSILMVDDTSSSSSSSYSFHPRSPRRAAGAGGEDRAEASSERGRSGRPSATASSAASSARSRRATRSLSPFRGGGGIGVAGAGDDESPSSPPSPRTSMMRGCGSGSKKWRLKDLFLFRSASEGRAAGSKDPLFKYTMLSSSSSFAHPQKLKAGGGGAGDGSASMRKGRGSTASASDMPYTVNRAAAEDMRRRTTTPLPFHRNSLFGYLRSNPAIHSISRKLGNHSNRGRTAA >Et_4A_034009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29084419:29087005:-1 gene:Et_4A_034009 transcript:Et_4A_034009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKAKMESKLSSAAAFVEGGVQDACDDSCSICLDAFCDSNPSTVTSCKHEYHLQCILEWCQRSSQCPMCWQPISMKDPMSQELLEAVERERSMQANRSRTTAVFRHPVLGDFEIPVGADDAELEERIIQHLAAAAAMRRSHRHHRRDGHSSRSGANNRSRILVLPADDVTLDGSMRRTSVQHGDYEQSPSIVAAGPVPLATLVAQEHATRGLESAISPSLRCPAPDSTDGSNNRISESQPTPVDQDGAGPSDVQLFSDTLRSRLQSASMKYKDSIAKSTSGWRDRWFSRSNTISDLGSEVRREVNAGIAVVSRMMERLETKDGTGTSAASASGSGSQ >Et_3A_026885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25031055:25032541:-1 gene:Et_3A_026885 transcript:Et_3A_026885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRSEIKRIENPTQRQSTFYKRRDGLFKKARELSVLCDVDLLLLLFSASGKLYHYLSPTVPSVKELVERYEAATHTKVWTDIQQERRAELAQVAQMCELLERELRFMTVDDGEQYTVPSLEMLEHNLEAAMHKVRCEKDRKIGGEINYLENVIRGRQEERYGLCDKIQDDIS >Et_2A_016517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25536749:25538333:1 gene:Et_2A_016517 transcript:Et_2A_016517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSVEDAKGFAERESTFFMETSALEAMNVENAFTEVLTQIYRVVSKKALDIGDDPAAPPRGQTINVGGKDDVSAVKKSG >Et_1A_006449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22635025:22638731:1 gene:Et_1A_006449 transcript:Et_1A_006449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGFLSRVLLLAFGYAYPAYECYKTVELNKPVIEQLIFWCRILVALLTVLERFGDAAVSWLPLYSEAKLMFFIYLWCPKTKGTTYVYETFFRPYISQHENDIDRNILEFRARASDMLIVYWQKSATLGQNTFFHILKYVAAQPPSQLSKTHPSQQSQTQNLQKSQAQQQQQQQQQQVPQKQPTTLRRAASAAARAAVMQQSQETQTAPLTSKTRRLTTSKSAPVAAPKSIPVSNTAKVAEETKASTVRPALVNNANAPILDTKASAVLEAETDDMSDNR >Et_2A_015436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12691193:12693725:1 gene:Et_2A_015436 transcript:Et_2A_015436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAATSASLPRPASFLPSSPSSPSVLRGVVPGSGYAAARGVRCSAARDSVFYELQHDEMFNSAEVIQWESGKSINAIAAAQGISIRRLCRPRYPSEGTGADRAVPRNILEQIIWDKEVEVSQRKAKKPLQKVIEVAQHAPPPRDFVGALDTAHRRNGVPALIAEVKKASPSRGVLREHFNPVEIAQSYQKNGAACLSILTDEKHFQGSFENMETVRNSGVKVHDERELDRVLKIDGVQLIGINNRSLETFEVDTANTKTLLERRGNIIRNKGILVVGESGLFTPEDVAYVHNAGVSAVLVGESLLTQDDPGQAIAGLFGKDLLH >Et_4B_037138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15148322:15153776:-1 gene:Et_4B_037138 transcript:Et_4B_037138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAASPAAMGSGRELANPPSDGISNLRFSNHSNNLLVSSWDKTVRLYDADANLLKGEFVHPGAVLDCCFHDDSSGFSAGADHTVRRLVFSSAKEDVLGRHDGPVRCVEYSYAAGQVITGSWDKTVKCWDPRGVSGPERTLVGTYTQPERVYSMSLVGNRLVVATAGRHVNIYDLRNMSQPEQKRDSSLKYQTRCVRCYPNGTGYALSSVEGRVSMEFFDLSESAQSKNYGTFATGGCDGFVNVWDGTNKKRLYQYSKYASSIAALSFSKDGHLLAVASSYTYEEGEKSHEPDAIFIRGVNEVEVKPKPKALTAPQ >Et_1A_006260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19791743:19797139:1 gene:Et_1A_006260 transcript:Et_1A_006260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLASACLLLVLTVAAVAAAAAAAKLEAQFLGLSTLFGLLPASDGGSDVVIGVLDTGVYPKGRASFAACPSLPPPPSRFRGGCISTPAFNATAYCNSKLVGAKFFCKRSEETHGRPINETEDSKSPSPTPPSSTTAKAVGVAPAARIAAYKVCWKGRGCTSSDVLAAFDEAIADGVDVISMSLGPDGATSPKTPMRSPLSTRFVRGIVVSACAGNEGPGEATVKNSAPWYITVGASTVNRRLSASVVLGNGETLAGVSLYAGKPLSASKIPLVYSGDVGSAPCVDGMLNASLVAGKIVLCDPRNFTRGHLRQGEAVRLAGGAGVILGVYKEDGEQIIPSPQILPSATLVLSDLAKVWNYTRTHPSPVAIIVFHGTVTGGVPSSPRMGSFSSRGPNVRAPEILKPDVTAPGIDILAAWTGEDSPMGFGDDPRRVEFNVIYGTSMSTPHVSGIAARLRQAQPQWSPAAIKSALMTTAYNLDNAGDIIKDMSTCKVSTPFVRGAGHVDPNRALDPGLVYDADGDDYVSFLCALGYSAKRIAIFTKDGSETNCSERIDSVGDFNYPAFAVEFDSDMENPRVHYAYARAATGFAARLTPSQAAHLASLPSVLAVVPDGEQRLQTTRSQRFLGLSSLFGLLPASDGGSEVVIGVIDSGVYPKDRASFAANPSLSPPPSWFRGGCVSAPTFNATAYCNGKLVGAKMFYKGYEAKMGRPLDENEKSPLDTNGHGTHTASTAAGSAVRGASFLEYGKGRATDKVTQRRTVTNVGTLVATFTANVTSPPGVRVTVNPPSLHFMRGRSRRKYEVTFEPLESEAVTNKHTFGSIVWSDGTYKVTSPIAVTWPAKQVAAI >Et_2A_018188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17244086:17246256:1 gene:Et_2A_018188 transcript:Et_2A_018188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIAMSLRALAATSSSAALAKPAPSSSPFLVLLSPTLPRRLLHLRSARRLPLAPLVASDSFESSAALDFAEPAEGAEPEPEQVSEDEGGVFASDEEGADDAVEASAQEEEVGEYVEPSEDAKVYVGNLPYDIDSERLAQLFEQAGVVEVAEVIYNRETDQSRGFGFVTMSTVEEAEKAVEMFHRYDINGRLLTVNKAAPRGSRVERPPRQVGSSFRVYVGNLPWQVDDSRLVQLFSEHGKVVDARVVFDRETGRSRGFGFVTMATREELDDAIAALDGQSLDGRALRVNVAEERPRRGF >Et_3A_025858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33442159:33444745:1 gene:Et_3A_025858 transcript:Et_3A_025858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDLVCGRKRLKRCHNKPIFRQSNAKIQFSDIPEDLLGTILSKLPPKDTVRTSVLSNKWKHIWTVCPKLRFDGTTMCGVGTQHDTQKFIANVNAILKQYHDKVVEGFGIKFEFDSALAQHLDDWVNFALSSRAKNLALDLLPAKFGLRHDRYKFPFELFDGESTSRLQHMQLSFVSFESTCQASGFPNLKKLDLHVVHVNRKDLEDMLSNCFNLEWLSIVRCHLGDELKVSHQLPHLLYLNVAHCEISRIEFSALNLQTFVYRGLWIPFYLGCALTLKDATLYFTGKITLEFAVTTLPTLFPRAQNLILHSSLPLKVSCLLGNNSKFSQLKYLQLKFFVRNEDLGNLLSIASFLSAAPSIEKLEIHFAVCAPSYCSEFIRKLPRGTHNYLKNLYITGFAGCTGQVELLVHIVENAPKLEVLTIDRANYFGFDEEYERQSRIKALDIVKTHLDGRVSQNTKVFTMRLLALGLIKKKKISIRLFPNVR >Et_3A_023301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19631191:19631623:1 gene:Et_3A_023301 transcript:Et_3A_023301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPLNTLQVVKFLKTRLAFAKKNDITIMMCWSLWATHNDWILNQVDPIVDNCIKKFFRELKMVIHLAKQLQECMGSVFLLTIARMYGISFPSYNCKNVWDQFFFFFWVLYFVVYFYLRLAVVFFFCERGEMY >Et_10B_002598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17726848:17728008:-1 gene:Et_10B_002598 transcript:Et_10B_002598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGLVTGIFSRLVDSSSSSPGARGGGQASPPWPWPSCGNSPQTTSFRAAAPRGTTGTTARLKLRGAAAGDMYKTVNSVYFDPAAADDDMFSALDDGGAEQEEQEVLDDDAFSTTTASEEWSEAVIRSLGRSTSTDRFFFDPGPPSNSILPAAAASPATAPPAETTLKPASAAQQPCSTASLAEGSVAVAVDSADPYGDFRASMEEMVSAHGLRDWAALEELLSCYLRINGKQHHPLIVGAFVDLLIGLASSASATTITTSATTSTSTSSGGGKSTKSSSTTSATTTSITTATGTTTGAAAAGEIDQCNGGAPEASCSSSSSSCATPDSQDAEKVATSAGDDHIRSS >Et_4B_037428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19698131:19702780:-1 gene:Et_4B_037428 transcript:Et_4B_037428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAGGGGAADEEEFRAEVEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVQWLPDRVEPPGKDHSVQKMILGTHTSDNEPNYLMLAQVQLPLDDAEADARHYDDDHADVGGFGAASGKVQIVQQINHDGEVNRARYMPQNSFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLKGHNSEGYGLSWSTFKEGHLLSGSDDAQICLWDIKANSKNKSLDALQIFKHHDGVVEDVAWHLRHEYLFGSVGDDQHLLIWDLRSPAPTKPVQSVMAHQGEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKIDTSLHTFDCHKEEVFQVGWSPKNETILASCCLGRRLMIWDLSRIDQEQTPEDAEDGPPELLFVHGGHTSKISDFSWNPCEDWVVASVAEDNILQIWQMAENIYHDEDDLPISDEPAKAS >Et_7B_054736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3953752:3958437:-1 gene:Et_7B_054736 transcript:Et_7B_054736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTGREREKGTQQKQTTDKEGPTCKLQPLPALHCQVFQLLPTREAHAGRTSSLALPPRSHPKTLILQESGGPRSPCVGSPPAMPHRRRTLNPVPLLPVPAAAFHLPADDSRLPLLADFSLLQPDDAPAAPASAEWSGGSGFTASSDAATTTSSSTATAPGSTLLAADAGRDTWVRRAREGYYLQLSLAIRLTSQAFLAGAPAPPELLLRCGTGDADAAGNVAADPEAVSYRLWVNGCLSWGDKIAHGFYNIMGVDPHLWAMCNAAEEGRRLPTLAALRAVDPSDSSLEVVLVDKCADSVLAGLERRALELYRALGLTLDLVRRLAVLVSDHMGGALRSEDGDLYMRWKAVSKQLRKRQKCVVVPIGGLSIGFCRHRAILFKELADLIGLPCRIAQGCKYCSAPHRSSCLVKIDSERRYIREYVVDLVVEPGSITSPDSSINGQLLSSVSSPFKTLCTTASEHNASPVAAWNQVMVDDRCNNTVLSTSQYSAARCRVVEEKDSAHAASKECLQPKCGQITQNGHGNGVSALEVSTAQLKVMNIGTENGNKENVLGSTLPKRLSIEPSLAADLLEISWEELDLKERVGAGSFGTVYRADWHGSDVAVKVLTDQDVGEAQLKEFLREISIMKRVRHPNVVLFMGAVIKCPHLSIVTEYLPRGSLFRLISKASTGEMLDLKRRLRMALDVAKGINYLHCLNPPILHWDLKTPNMLVDKNWSVKVGDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVVVGAVAFQNRRLPIPKDTSPELAALVESCWDDDPRHRPSFSSIVDTLKKLLKAMLGGS >Et_9A_062312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21810766:21813058:1 gene:Et_9A_062312 transcript:Et_9A_062312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPMLGSIANRWRELQGADSWTGLLDPLDIDLRRNIIAYGELAEATYDAFNQEKRSPHAGACVFGHGDLLAGVGVPGAGNYSVTKFVYATSGLPVPEAFLLLPLPELPEAWSRESNWMGYVAVATDEGVAALGRRDIVVAWRGTMRNIEWVNDLDFTPVSAAPVLGSAAAANPEAKAHRGFLSVYTSSNADSKYNQASARDQARMNLTSLVKSLLHQPAAKLRSCTFRTHQSFLVLEEVRRLMELYKDEVTSITVTGHSLGASLATLNAVDIAANGLNAPAGSSQPPCPVTAIVFASPHVGDANFKAALRSFPELRALHVKNAGDVVPIYPPIGYVDVAVPLPITTARSPYLKKPGTVKTLHNLECYLHGVAGEQGSAGGFKLEVDRDVALVNKGVDALTDKYPVPAKWWVAKNRGMVMGDDGHWTLQDFEQI >Et_4A_032457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10256771:10269397:1 gene:Et_4A_032457 transcript:Et_4A_032457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMHKPAQLLVLLAALLAISCSDGATAPVRMHLTHTDAGRGLTRRQLVHNMALRSKARAARFHHSGSSGSAQVVPGKGDPNTEYRVKLTVGTPPQPVELELDTGSDLIWTQCQPCPSCMPYFDSSRSSTFSRLPCCSSACQGLPLSSCGTRNSYWGNQTCFYAYSYGDTSFTTGILGIDKFRFAGAAGHATVPRVTFGCGIFNQGFMSNATGTALAGFGRGPLSLPSQLKVYNFSYCFTPMTGSKPNPSCSAYRRTSTDAALVAPSSRQLRSFGITVGSTRLPVPESTFALTKNGTGGAIIDSGTAITILPTHVYSLVRDAFVSQVKLQATTDDHGLGLLFFVVPPRAKPRVPKLMFHFEGATLDLPRENYVLDYEDKGRRETCIVVNDGGELTQDTTVIGNYQQQNMHILYDLAGNKLSFVPAHCDRKLTVQLIALAILCSYGTASTVRLQLTHTDASRGFTHRELLHRMVQRSKARAARLRSGAASAPVTPGQINEGVPSTEYLVHLAIGTPPQPVQLEVDTGSDLTWTQCVPCIACFHQALPHFDRSLSSSLGAFSCSSSPCQELPLSSCGTERGGWGNQTCVYTYSYGDKSVTTGLLLSDTFTFAGAVAVPDLAFGCGFFNNGIFKSNETGIAGFGRGALSLPSQLKVDNFSYCFTTVTGSTPSTVLLGLPANLHSGARGAIQTTPLIQNPADQSPTFYYLSLKGITVGSWRLPVPESAFAFTKNGTGGTIIDSGTAFTGLPTKIYRHLRDAFVSQMKLPVTNDDVILCFGVPPGTERPDVPKLALHFEGATLDLPRENYVFEFQEAGRSNICLAIFEAEGLDDLTIIGNYQQQNMHVLYDLAGNKLSFVPARCDRMYKLVAILLAALAVSCGNAAAAAVRMHIIHTDAGRGLSPRELMHLMAQRSKARAARFLSDARSAPVTPGAIDGVIPDNEYLAHFGIGTPAQPVQLTLDSGSDLVWTQCRPCVTCFNQSLPLFDASRSSTFLQLSCATQGCQALSATSCDAGSSSPDAEPCDYRYGYGDGSVTFGALVADMFSFDGGPAITAAFGCGLNNTGIFKSNETGIAGFGRGPLSLPSQLNVGNFSYCFTTITGSAPSPVLLGVPTDLFSSNPGAVQTTPLIQNPARGTIIDSGTGMTSFPPDVYNLAHDAFITQPGLTVLNSTATRVCFTSPPGTKPDVPKLILHFDGATLDLPRENYMFDFEDEGVSFSCLAVNRGGDITVISNYQQQNMPVLYDLANNKLSFAPAQCDMKLVALLLAALAICCGNAAAATVRMQLIHVDAGRGLSPRELMHRMAQRSMARAARFLSDDTESAPVTPGEIDGPVPDTEYLVHLAIGTPPQQVQLTLDSGSDLVWTQCRPCTTCFNQALPLFDSSLSSTFLQLSCATKGCQALPVSSCGAGSSSTDDQPCGYVYGYGDGSTTYGGLAADMFSFAGGEAVRAAFGCGVNNTGIFKSNETGIAGFGRGPLSLPSQLKVDNFSYCFTSLTGSTPSPVLLGVPGNLFSSDPSAAVQTTPLIQNPARPSSLYYLSLKGITVGSTTLPIPESTFALTSNGKGGTIIDSGTGMTSFPADVYNLVHDAFIAQMSLPVLNSTGTRLCFASSPGTTPDVPKLILHFDGATLDLPPANYMFEDVDASASVICLAVNPGGDTTIIGNFQQQNMHVLYDLANNMLSFAPAQSHQQRIFRVQCNRHRHPWLRPRPLSLQSHLEVDNFSCSFTASPP >Et_8A_058032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1542468:1543972:1 gene:Et_8A_058032 transcript:Et_8A_058032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHNDSVLQAPKSIPSKHKQSTIPKSSDLRATPPPQPLCSLSQSLMGSSTTRRLLLAAIAVSLVVLACSARPAGAARSAPAEALMRLDGGEETVRHGSGCHTTATVGERAKETLQLLRARLPAGPSPQGPGH >Et_4B_036679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10301523:10306607:-1 gene:Et_4B_036679 transcript:Et_4B_036679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPPPPTFPPPPLTPSPAPPPPPPQQQLLQQPGSAPAAGATLLVRHLPEAITEEMLSRLFSHYGATSVRPCAGGKLRNCAFVDFRDDAAANHAHSLLNRLRFLGKVLIVERANQPNAKNAHVKHQDQSPHGAHQTPNISSLNQKDASSSAEPIAPKLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNLPAPFRMALPTPPLPSHVPSPQPPPPPPQPLTTEELRSADLSSDESELESSDEDVDKRKSKRAKREPIVGPAVDKSVAHETVGVKPASLVSSELQVIKKKNPVLQIKIAPKHTHKEPPVQSTTDNELTLSHEQLEEKHFVTAEEIEKEKLPPEEILSLPMFKNYTPGNPASVLYIKNLAKDVIQDDFYYVFGSLFESMEATRSGLVIKLMQEGRMRGQAFVTFPTVDLAQRALNLVHGYVFKGKPMIIQFGRNPAANKAS >Et_2A_017133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31227358:31229625:1 gene:Et_2A_017133 transcript:Et_2A_017133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRLMGSVPVAHVCDALVFCMERPSMTGRFLCATAYPTIYEIIDHFANKHPHLTSTSSKRMRCCRLCRPKATSWASWGSDKYGMEEILDGSVQCAVRVGSLDPSKIRVQE >Et_1A_009112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29874447:29876865:-1 gene:Et_1A_009112 transcript:Et_1A_009112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKFALAFKTKTIEFFAEEEEDEDADGFAPSPAAADGVLAGQRVVVLKPDPLLLNPNPSVGGEAAVSGEEAAVAAALATVSSFQAAYLHLQAAHAPFLPEAAAAADAAAVSHLRRLSELKRLARGEPEPEGSPSSLTEHLEAQVRENQALLRSFDAVVNRLQAALDAKDAAAAALRREHEALEDGNARLAARLDRALAPPPAGPGCGGEDAVGALLSLSVFDSVLRDALRVAHRFARALAEVLRCAGWDLAVAAAASYPGVSYSKSGHCRYALLSRVCLAMFDGFDSYKFDSAAESDTLEGIELAIRRNESLQQFIEHSDADPMELMNSSPECEFAQFCDRKYKQLIHPGIESSLFGNSDCGTLPVMGAAGPLYELFVAMASSIWTLHRLAWAYDPAVGIFQVGRGTEYSSVYMENIVRSKGFSVSRELGKPVRPKVGFTVVPGFRLGGTVIQSLVRTEKCEKMLVLKNG >Et_1A_005361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:84561:86319:1 gene:Et_1A_005361 transcript:Et_1A_005361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRLRSDGGGLRIHAKEKLPLGYNSLLQAHGEVDTTGGGAAPSYLALFVRHFYPQLSANVGVGVQLHNAHDFTYNLRAKKAIPFTSNGFLGINLKARLLADKEFKPRTRTGAVELAWTILDFRKGQDVRLKLGYELYHKNIWLQVPYFQLRENNWTLNTYMDGKWDVRFDM >Et_6A_047810.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:17781781:17781972:1 gene:Et_6A_047810 transcript:Et_6A_047810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLDRVFPPTTPRSVDTSATGVSGCCSAKAMPDLDATTTMKQSTAAHMKQRPGPTMTPPLRR >Et_1B_011017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16646752:16649385:-1 gene:Et_1B_011017 transcript:Et_1B_011017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLYNSEEQPVLECTTKPVLSILLHQVDGCASGGSWFLTGMGSSASAYPAVHHAQLKKFLWWELHAATDNFNKNNILGRGAFGSVYKGRLVDGSLVAVKRQKERTSAADLQFQTEVTMISMETKTHRNLLRLHGFCMTPTERLLVYPYIVNGSVASWLYDRQLSEPPLDWDTRRRIAVGSARGLSCLHDHCDPKIIHRDVKAANILLDEDFEAIVSDFGCAKLMGCNDAHETTVCGTIGHIAPEYRSTGNCSENADVFSYGIMLLELITGKKAYDPARSANYVMLLDWVTRLFREKKVDEDFEMLVDPDLLHNYNKTEVESLVQVALLCTEASPSARPPMSDVLKLLEGDGLEERWEQWLLAGMGQQFAGSTSSHPDEQFVPYTKIRSVELSGPR >Et_1B_012925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4084088:4092729:-1 gene:Et_1B_012925 transcript:Et_1B_012925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IAKTLRKKGVIDCTRGVSREQRAINRRNKLDSLISSGSDGRERSSGVPKHPIGVRKQLKGLNRARKNMLKTMMGEEASAAYRKKEQAEREKRYGEIPDRAATGSSFLEKPFGHPVLSANDTSDLSRFVVSLALFDGDKMLFACSGIPLPDLNPNKVHQTSFVTSSRLATEFTKNRYKDDKLRVEVRLPQYGTVAGFLGLYDDDIAIVTSLDLLDVHPIDLYNPMDLDLPGTDVCDDDDGILAFGRAFKSGSLLATEGSLHREHPCTWVSGTEGLTEAVLGGPLVGKGARFLGMNHKYHGDAEVTFLTQEVLRARLEHFQILNPSELHFRRYSLPKDFGDVIAWKGYPFGSPPKHGVWKRLPKKVLTAVSRRVVSVASFGGDERFFVCTGLLIKWPGNRTVVLTSASLVRSRQNEDDIDNNLSMKVSLASNAVSGTLEWYDLSYNIAIVSVKEILPATRPEDIFNKVKESSGKVVAIGRHPRHGLLMASFGEVKYRKKDVKLDCKDLLLSTCKIKKNIFIDKQLTLESNMLDGRWPVPEPYWYHGLLEVDRYEIPELPGRRLD >Et_1A_008330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6509335:6515100:-1 gene:Et_1A_008330 transcript:Et_1A_008330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETGCTELQQTAQCPSPFPGRYIHTINAHELSWTLWVVVGAELISRQRPPGGEEDETMGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGITKTLERYQHCCYDAQDANNPLSESQSWYQEMSKLRAKFEALQRTQRHLLGEDLGPLSVKELQQLEKQLECALSQARQRKTQLMMEQVEELRRKLEAEGCSNYRTLQHAAWPAPGGAVVEHDGATFHVQQHQQPAHSAAMDCEPTLQIGFPHHQYLPSEAANNIPRSSAPGGENSFMLGWVL >Et_9B_063697.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19784693:19785367:-1 gene:Et_9B_063697 transcript:Et_9B_063697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTASPVVELPVGGAVLTFEQDNDSFEVGTSVWPSSLVLVKFVERCLGDPALPFADVLQFAGTRAVELGAGCGPAGLGLSRLGLTDFVLTDIAAVLPALRRNLRRNRRHLPRAPRLAQVHWNCPAHLATLATPRRFDLVVAADVVYVQESVPHLIAAMDVLADADRGVVLLGYQIRSPEAHQAFWDAVPAAFPVIEKVPREHLDPDYAYEESDVYILRRKPRQ >Et_4B_036912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12583538:12585812:-1 gene:Et_4B_036912 transcript:Et_4B_036912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEIQITNQPSQPRQHPLAELPPEDGATSTVQDHTSQDPRQEPADQHGPHAATAQQQQHRSKLTVLPLVFLIYFEVAGGPYGSERAVRAAGPLFTLLGFLVFPFAWGVPESLVTAELAAALPGNGGFVLWADRAFGPLAGSLLGTWKYLSCVINIAAYPALVADYLGRALPAVADPGRARTGAVVGMTVLLSFLNYTGVSIVGWGAVALGAVSLAPFVLMTGISVPKLRPRRWAATQGQGRTKDWRLFFNTLFWNLNYWDSASTMAGEVDRPERTFPRALAVAVVLIAASYLLPLMAATGATDAPPEEWVNGYLVDAAGIIGGAWLKYWIEAGAVLSSIGMFEAQLSSGAYQLLGMAELGLLPAVFARRATRFRTPCVAIAASTAVTLAVSFLGFDDVVATANFLYSLGTLLEFAAFLWLRARRPELKRPYRVPLPLPALVAMCAVPSAFLAYVCAVAGWKVFALAGALTALGVGLHGAMRLCRSREWLRFNTAVVAAAAEDQQGDHAAGDLERRVLVVYIDCMIKCDSTHSELDWKQNEVESLKKCTSSAQD >Et_9A_062777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4818672:4820456:-1 gene:Et_9A_062777 transcript:Et_9A_062777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHDICVINQIGALAAHHRLHCPNSNRHLHQAPAAAKGKRKEGEEEHPSMAASGAGERWVGLAMDFSEGSRAALRWAADNLLRAGDQLLLLHVIKEADYEQSEAILWEATGSPLIPLSDFSDPTVAKKYGVKPDAETLDILNTVAKQKEVMVVVKVIWGDPREKLCQAISEIPMSCLVIGSRGLGKLKRVLLGSVSDYVVNNAACPVTVVKPSTEG >Et_1A_008571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8967849:8974812:1 gene:Et_1A_008571 transcript:Et_1A_008571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAVASLRFLARRHHRLRLPAPLAVPGGRAAFLSSAAEEAAPQPAADGPPQPGRKVLESFREEFMIGDRVIAFETGKIARFANGSVVISMDDTHVLATVAAAKSSEPVRDFLPLTVDYQEKQYAQGVIPTTYMRREGAPKERELLCGRIIDRPIRPLFPRGFYHDVQITVNVLSSDGKQDPDVMAANASSAALMLSDIPWNGPIGVIRVGRIDGKFILNPTVAELSLSDLNLIYACSRDKTLMIDVQAREITERDLQAGMKLAHSEAVKYIDPQIRLAKRAGKEKREYKISLISDDSYEKIRTLSESSIEEVFTDSTYGKFERGEALENIAQSVKAKLEEENDEDSLKFLSKAIDTVRKQVIRNRIIEKGLRVDGRQLDEVRPLYCESSTYPALHGSALFSRGDTQVLCTVTLGAPGDAQRLDSIVGPPTKRFMLHYSFPPFSINEVAKRGGLNRREVGHGTLAEKALLAVLPPESDFPYTVRVNSEVMASDGSTSMASVCGGSMALMDAGIPVREHVAGVSVGLVSQVDPTTGDISNYRILTDILGLEDHLGDMDFKIAGTRKGITAIQLDIKPAGIPLDIICESLEPGRKARNQILDRMDQEISTARAMNDGSAPRLATMSFGSDSLRKLLFHRKKIEQETGARVSVNDGTVTIVAKTQQIMDKAIEKVEFLVGREIEVGRTYKGIVSSIKEYGAFVEFNGGQQGLLHISELSHDPVSKVSDVVSVGQVLSLTCIGQDVRGNIKLSLKATLPPPRNKKNMESKGTNPSPSQEVIGWDAVEKLPSLHADGEQSNNKHDDETPEGPAFSTPTVIIRSADDCDSQDVANSPTKKQTKVAKSSPRSNKAASGRQEVRTATPKKASGASTPKKTKKVKADDSGSNGLETDASVVPEQDASNAQDLKYSSPMNFRSGSMKLGDVVTAKVYQIRAYGLVLELSDGARGMHKFEAGGGKEFEVGEELLVKCSSFNAKGIPIFSLLD >Et_10A_000268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20727275:20727649:-1 gene:Et_10A_000268 transcript:Et_10A_000268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VTLQSPPSLCEFIEYIDTEQTPENIAHVYRVAERARRHWFDMEAEERREEKRRKMRQKEEKRRREYEAERKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_10A_001647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6387831:6388676:1 gene:Et_10A_001647 transcript:Et_10A_001647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMRASLSARHPCISAAAKLPATVPTSMRSHSISSHMSFSLSSLYLCAAASKPRAVSTASSSSSSASSPGNIAGGSASWSIGTKTLGSMSRITTRRRTPPPGPGTFSLGAARSSASNTGDRAARSHPCASNIAASPTSTATSAPRILHRRFLRCSCRSDGGTKTREAAGAADLEASLSSPAPAPLLVQFLTTVMSQRTVKRSSFKHPDSSRSFHLMNPGNPLDLDSLEKKHAPLEDWVCSGTGFPAPSSRLNLNSTGSSALSCWRPPSPSTATGSR >Et_1A_008064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40487257:40488292:-1 gene:Et_1A_008064 transcript:Et_1A_008064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGAIIIRHLVGSMHPSLVLKNSTDRLVRVQLGAAGRGGGDGGRDGGEGSYGVCRPPLPGRQDGGGIEQGASRELPQRREKNPLFVEQVASLFSKEEHVVVGCQSGKRSELACVDLLAAGFKNVKNMGGGYVAWLQNGFSVHNKLDEHNPRQDELN >Et_4B_037564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21157377:21163642:1 gene:Et_4B_037564 transcript:Et_4B_037564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGNKIRNAKLVRIPVPQRSIPFLDVAADQTALSKDQLYWGLMARNQFHIDGHFELLNGPFLMTKFEYVGRITIYMLFGVVLECETYILRCRIFCFVSAKVSLCLDFQVLLGDVGAGKSSLVLRNRQLERLSSPRPWRSMTKLLNLKSGIQRGRRGTTAWPPCTIGVPLLQPLSLVQRNGFKNFKLKEAKTYAQENGLFFMETSAKTAINVNDIFYEIAKRLLQGQPAPNPQAGMVLTQRPNERMSTKGRV >Et_2A_017752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5969916:5973799:1 gene:Et_2A_017752 transcript:Et_2A_017752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWPPRVTAAVAHRRAGAGVAAAAAPTDELVRQHNRSLMALIRRGRFDAARRLFDALPARSVVTWNSFLAALARGWDVRAAHSFFDTMPVRDVVSWNTLLSAYAWSPHPDHLAGARRLFDEMPQRDTVTWNTLLGAYARRGLMDDAERLFNEMPHRNTASWNTMVTGFFAVGQVKKALDVFEAMPVKDSASLSTLVSGFTRNGWLHEAEELLTKRFGATNMVKAVDAFNTLIAAYGQAGRVYDARRLFDMIPKAQIHHKVDQRRVFQRNVVSWNSMMMCYIRTGEICSARALFDEMPDKDLVSWNTMIAGYTQASNMDEAEKLFWEVPGPDAVTWNLMIRGFAQRGDVEHAHRFFDRMPECGTIAWNTMISGYEHNGNYEGAIKLFQRMLEAGKRPDRYTLSSVLAACASLAMLNLGVQLHQLMEKSFLPDIATSNALMTMYSRCGELANAKAIFSERKQKDFVSWNALIGCYEQNGCATEALQLFEAMRSSKIMPTHITFISLLSACVNAGLVSEGRMVFDTMVHEYRLSAKVEHYAALVNLIARHGQLEDALEVIKSMPIAPDRAVWGAFLGACTAKKNAALAQMAAKELSKIDPNSSAPYVLMHNLHAHEGRWGSASVVREEMEKQGVHKHPGYSRIDMHDKMHVFISGDTSHPLAQEIFSVLECFYSLWPYWTNAWPDCYLLEEEALLSNLSFPTLHFQPSCSVTTPSNVLQDELGNIFEDDVLRHWDEIEQAHNKIEEGQTGSPPLRYREEKEVPSNYKVTRADRVGLEEKSLTFELVSQYFYMPITQAARELDVGLTLLKKRCRELGIPRWPHRKMKSLQTLINNVLQEAGKATGEEQLRALVEMLQQEKQLLEQRPCVQLEEKTKRLRQACFKANYKKRRALEAGKAPRIQKY >Et_3A_024299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19217001:19225197:-1 gene:Et_3A_024299 transcript:Et_3A_024299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHCCPKMATVNAYVLLFTAFFSGLMHMSMAQDKQAAAVARVIDGHAIDQAIAYLLMFVA >Et_8B_060293.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:8853747:8854303:1 gene:Et_8B_060293 transcript:Et_8B_060293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSSRSSERRPSSRGAGSRTAGPVPPPTRRRVGARRGDHAAAAARVRRRRRADGGGPEVSGRSPWTPARR >Et_4A_035585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30108376:30112134:-1 gene:Et_4A_035585 transcript:Et_4A_035585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAKLLASCSFSMTVNKYYDLATSFYEYGWGDSFHFAGRWHDETFRESIKRHQHFIALQLRLKKGMKVLDVGCGIGGPLIEIARFSSTLITGLNNNDYQMSRGKLNTMEFLHIAPAGSMRVYHFLQTASEGLLKGGREGIFTATFFVVGRKPLQETETPALAAAPLALGAGALVVASGELSVDVAGGDAEAPLGSLLSLGGVAARAGAGAGEAFLDAAGAGEGASEERGLRTRMLTFWPAAQWPGTPQMKKRWPGLLMTILSSPEVCVAIGVELVQLS >Et_4B_037235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1750078:1753155:1 gene:Et_4B_037235 transcript:Et_4B_037235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQCLCPGDQMNRMDQVVESSEAVVIKDGPISRSSSPNFVFEQRINNASIEEAELSLQGGGSLNYEEARALLGRLEYQRGHIEEALRVFDGINISTRVPEMKISIARKVRPQNSLSHSSSPVMPFHAVNILMETMYLKAVALRDLGKFEDAAHECSTILDIVESALPEGLPHNFGNDFNLNETICKAAELLPELWKLGGFPVEAISSYRRALLRNWNLNGNTIARLQKDFAVFLLYSGCEACPPNLRSQLNDSFIPRNNLEEAILLLLVLLMKFNLKRIERDPTVMHHLTFALSVSGQLKPLAHQFEELLPGVLDNREWVYNVALCYLASEDDLTALNLLRRVLKSGEDNSNLKELLLASKICGENNEHAEEGVLYARRALANLDGGCEQMEAVVDLLLGISVSKQARYATTDSDRASQQYEVLQILGNAAKKMHGRDFGIMYNLSLENAVQRKLDAAARYAKNLLKLEAGSELKTWLLIARIISAQKRYKDAECIVDAALDQAGKWCQGDLLQTKAKIQIAQGQFKKAIETYTQLLAVIQLRSKSFGAGISVLQGTKAYRSLEVNIWYDLALLYLRMSQWKDAELCVSKIRAISPFSPLACHATGKLHEVKGLLKEALQAYSTALDLEPKHVPSLISTATVLRQLGEKPLSSARCFLTDALRLERTNHVAWFNLGLLYEDEGGSSAPEAAECFKAAALLEETAPAEPFR >Et_8A_056113.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:21221219:21222169:1 gene:Et_8A_056113 transcript:Et_8A_056113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVEEEGREVISLLEHHVPPHLKSNRRAERVTREPDGTGVGDGVLEDISDMCACLFEAGATLLHVEQDSEVEAHVAEHCGMPWHGAPEGNYVARSRRVAARAVRGHVLEDSSISEPSLWTNDLAVDAFASVVKHVKLAEVEALPPWRGKREAPASAH >Et_2A_015855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18420250:18421307:1 gene:Et_2A_015855 transcript:Et_2A_015855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DGSRNFLPAHALPFREHKFFAVTRHRKQGNALRCRASRTTDLGMAATEAKDATPAASAGGPQLKLLVDKVSRRVLYAEARKDAVDFLIGLLRVPAGLAARVLAEHDARAPGSLGTLYAGARSLDDAFFVSASGGKPNDRDALLSPAPPEGAGAAPPPPPPPPPPKRYFRCPAYGLPCRSNPTHVTDVSGLQCPACRQPMTVEMRWAPAGDAHGNKPAREQEAAAGGYVKEVVTYLVMDDLTVEPMSTISAIMLLKKFNVKDCSALEEMTVELGTKEAVMLLKASLQSTTALTDVFSGGVAIDMIAG >Et_5B_045706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7939784:7941075:1 gene:Et_5B_045706 transcript:Et_5B_045706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRVVAAAMLTLAAVVIGILSPATFAKSPHGFRGALTRANRCYGNHSSAVRHDARRLASLSAAGSRTSNFQTLVENGAGAYLINLSIGTPPLAFPAILDTGSDLTWTQCAPCTACFAQPAPLYDPSNSSTFTKLPCASPPCRSLPGAFRACDANDYHYTVGYTAGYLAADTVALGGCRFPATANGGPMDGASGIVGLGRGALSLVSQLGVGRFSYCLRSDANAGASPILFGSAANVTGATVQSTPLVRNPVAPHAPYYYVNLTGVTVGDMDLPVTSDTFGFTATGAGGLIVDSGTTFTYLAEAGYAMMRQAFLSQTAGLTRVSGAPFNFDLCFAAGTNDADSRSVDALPFPRLVLLFAGDAEYVVPRKSYFDAVNEQGSAACLLLLPTKGVSVLGNVMQMDLHVLYDLDAGVLSFAPMDCSSA >Et_1A_005278.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:37830005:37830673:1 gene:Et_1A_005278 transcript:Et_1A_005278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYTLHQPHTRESSHSHQAVRRLQRGAPANRARRVVAKPPANALVVEHVQAQGKPPDHLPLLHLPEAHRALERLAAAAAAAMAVLVGANGGGHRHPRRLAWQRLQLVFGTPVDGSTVAMAAAETARERFSAPREEEEDEDVRVEGDKERDDCGGPRGPAAGHHVDAQRNDRDEDDAEDAHAEAGEAVHGDGLVRERGVSAVTLSSCSWGLVDRCGRSAPSVF >Et_7B_055187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8145813:8151918:1 gene:Et_7B_055187 transcript:Et_7B_055187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAYAARLRPTPTPRAEQLPLPRLTPSSSAPNPAAYYADLLASLARDCHARHPFDASPRGADPWRAGWILHARILRLGLPLGGRLGDALVDLYARSGRAGYAWRALGSLGPRASGAAASSVLSHHARSDSPRDVLDAFRRVKSAAAGGRPDQFGLAIVLSACSRLGVLDYGRQVHCDVLKTGFGSSAFCQAALVDTYAKCGEVADARRMFDGIACPDTICWTSMIAGFHRVGHHHQALALFSRMEKVGSVPDQVTCVTIISALASMGRLEDARTMLKRVHMPSTVAWNAVISSYAQSGLESEVFSLYKDMRNQGLRPTRSTFASVISATANKAAFDEGRQVHAAAVRHGLDANVFVGSSLINLYVKNGCIAGAKKVFHFSSEKNIVMWNAMLNGFVQNDLEEETIQMFQYMRRIDLEADDFTFVSVLGACINLDSLGLGRQVHCITIKNRMDANLFVANATLDMYSKLGAIDIAKELFSLIPNKDSVSWNALIVGLAHNEEEEEAVCMLKRMMLHGITPDEVSFATAINACSNIQATETGKQVHCASIKYNICSNHAVGSSLIDLYSKHGDLESSRKILAQVDASSIVPRNALITGLVQNNKEDEAIELFQQVLKDGFRPSSFTFASILSSCTGLASSVIGKQVHCYTLKSGLLNVDSSLGIALIGIYLKCKMLEYANKLLMEMPDQKNLVEWTAIISGYAQNGYSDHSLLSYWRMRTYDVRSDEATFASVLKACSEMAALTDGQEIHGLIIKSGFGSYEIASSALIDMYSKCGDVTSSFEIFNELKSKKDIMLWNSMIVGFAKNGYASEALFLFQKMQESQLKPDEVTFLGVLIACSHAGLISEGRNFFDSMSKVYGLIPRVDHYACFIDLLGRGGHLEEAQEVIDKLPFEADGVIWATFLAACRMHKDEEKGKIAAKKLIKLEPQSSSTYVFLSNLHAATGNWVEAKVTRETMREKGVTKFPGCSWITVGNKTNVFVVQDKDHPDTTSIYEMLGHITRMIEKDNNIEEYGQHISSPKREARDDAHLHRAHVLALGPRPQRPPLALATAGAIVSLVRRRLLLLLLLDHHHLLPHLDVPNQHRPGLRRRHRGRGRGRGHGVHGHEVVRRRQRALERAEPQPERGDLGEQGLALGAPPRLLPEPPLLLPLPPLLLAEPPRLLALLPGRRRAGGPGLEGDGSEAARVGAPEPRAVEGGEGREEGGGRACGCGGDHGRGGGGGQ >Et_1A_005235.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:34686695:34686847:-1 gene:Et_1A_005235 transcript:Et_1A_005235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWASIVVITFSIAVRIYPIFIFAQRTNKTPCRSPLCYGPCMVASFAAEK >Et_1B_010183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28571462:28572151:1 gene:Et_1B_010183 transcript:Et_1B_010183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAANTQPWELFDRHGRSDAVPAFFFVNDPKGRRCHGGGTWKSQKRLREDLNHEMVVDGEKIKWSRHNLNFHMDTESGSKGWVMHEYTVTTRPSLKLCRISFSGYGQKRKRVPDGYRDDEPVTQRPRVDAEETGPGTTIFDQGFSTAHASEDPELLHDSSSCSTTTTLSQESGLAQVPAMDQSSSSTTNIGDTDLVHWEGIDFDLSC >Et_10A_001309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23227911:23233759:1 gene:Et_10A_001309 transcript:Et_10A_001309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKLPTPTSAEEKNKCRNYPEKTAKIGLCAINSFKKKAFHLMGCLCSKGAKDDVNATSENRTPLRNDDSAAPAGGGEKVLVALDVRISSGNNADLKGLSGEHVVAGWPAWLVNVAPKAVEGWLPRRADSFEKLAKIGQGTYSIVYKARDLETGKKVRSFNMDPESVRFMAREIHILRRLDHPNVIKLEGVITSSVSQSLYLVFEYMEHDLAGLIAFPGLKITEPQIKCFIQQLLHGLDHCHKSGVLHRDIKGSNLLIDGNGLLKIAGFGLAISYDPSNPQPLTSRVVTLWYRPPELLLGATEYSVAVDMWSTGCIVAELFSGKPIMTGRTEVEQIHKIFKLCGSPPDNYCKKSKVPETAMFKPQQQYKRCVAETSKDLPSSAGVLIDSLLSLEPEVRGTAASALESDFFRTEPFACDPSSLPKLPPSKEYDVRLRQEEARRQRKEAHLGGRGAESVRPGNENHETSRAINVAAEMKQPTHTSSKSTCEKINREDSVPGFRVEPRALETSVQVPECGSTWNNMAGDSDLAVVPTLVCNSVHVGTSYTSRKKGFSISNIPQFGAADLRNGVDNADQNQPSDRPVTSQRKDQDKDAVNNGRKFRRIHYSGPLMPPGGNIEDMLKEHERHIQEAVRKARIGKGSRYSLQCLSTENCCKKKAIRLQGQPTLP >Et_10A_002229.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:4929259:4930257:-1 gene:Et_10A_002229 transcript:Et_10A_002229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGRAAKVMRASVSAFFDGYHRFSSVAALLALPFSAAVLASEAAAPSSAALRAVAARLRAVFAAAGFPPSAFFALLEAKLSQTVVTFALALPFALTFLLLAKATVAAMLRDEDEDGAPSSSPAAARRRRKLAVAPRAVLRAYPALVATHLLNAFLMLSVNAAVFSLLLLAFGAADLLGGGATSGFWNAALSAGGAVVYSLAVGVATVVCNLAVVVAAMERGRAGHGAVLRACVLIRGRVSTALAVALPTNLGVAAAEALFQLRVVAARRRSAAGSWLAPGVAGEAFSIAYIHALCVVLEIIVSCMFYRSCRKREAGELRELEPEEKGDFQA >Et_4B_037550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2149220:2150873:-1 gene:Et_4B_037550 transcript:Et_4B_037550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVHQRLSLPLSPSQPHRGNSAPRDATPPVCRYWKSGHCNRNPCRFLHADAPPPPGPTVKKRPNSWVNPSCVAKPGGKVSPPSPAPAPSSKRRQEDKPPASSPPKRFCGGGKEEPDVFCGVARLNGHAKAVTGVALPEGSDKLFSGSLDGTVRVWDCATGQCVHVAPMQEGAVGSLVSMGAWVLAGVRGAVRALHTGTGKELILQGPATLVTALLADDEHLFAGTEDGVIFMWRMNQSQQCFEELAALTGHATKAVASLALGKGTLYSGAADGSIRAWDIESRRCIFHPRRPRLDGDRVAFLLSSSDDGTVKVWRAKPDRDELDLEVHYVHDEGERVVAINGTYDPDKKPVLMVSRGDGIVRVYDLPSMKKRGQIGCIGEARTISVMSPGVIFTGDASGEVRVVKRAPRAEAEVRVVLAAFLINGPVELDTASERWTCT >Et_6A_047626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8741373:8751890:1 gene:Et_6A_047626 transcript:Et_6A_047626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSMDLNLNASPVPDEDEQQPYEEAAEVEYAQEEHVESAVATMRREREERRRRLKREHQDEGSRPHPQQIRNDYVPQTKRHGRIKEAPQGWLDCPAFGEPIDKIIPSKVPLDETFNESVPPGKRYSSKQLVTKQRKAGREIGLVIDLTNTTRYYSPAEWIKQGTKHVKIPCRGRDAVPDNESVNMFVYEAMMFLDRQKQSKNPKYILVHCTHGHNRTGFMIIHYLMRTHVSCVAEAINIFAQRRPPGIYKRDYIEALYSFYHEVPENMMVTCPSTPEWKRHGDLDLNGEAKQDDDDDNGDLAPTHNVPEDKVITNDDVLGDAVPYDQQEALRVVCYRLLEMPLVRGHTQFPGSHPVSLNSDNLQLLRQRYYYATWKADGTRYMMLIMRDGCFLIDRNFCFRRIQMRFPHKTFEGLHDMTLIDGEMIIDNVPDSGLRRRYLAYDLMALDSVSKTKLPFSDRWKALEEEIIKPRSREKMHFESGSKSNPVYKYDMELFSVRRKDFWLLSTVTKLLRDFIPKLSHDADGLIFQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFELTNDNRQLVFLYERGKKKLMDGARIAFPEEIDPPSVAGRIVECSWNKEEQCWVCMRIRSDKSTPNDINTYRKVMRSITDNITEEKLLEEIYEICRLPMYAERIAQQQAKMAQLAKMAQQAKMAHQRRKL >Et_2B_019326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23878977:23879852:-1 gene:Et_2B_019326 transcript:Et_2B_019326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QGCDASVIIASRDNDAEKDAPDNESLAGDGFDTVVRAKAEVEKKCPGVVSCADILAIAARDVVSMSSGPHWAVELGRLDGLPGPDMRVKALAAMFAKHNLTTRDMAALSGAHTVGFAHCTRFTGRLYSHGAVDPSYNPAYARQLMAACPPDVGATIAVDMDPITPTAFDNAYYANLAGGLGLFTSDQALYADGASRPAVKGFAKNQTRFFEAFKAAMVKLGRVGVKSGRHGEVRRDCTVFNN >Et_1B_010596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1196789:1197689:-1 gene:Et_1B_010596 transcript:Et_1B_010596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVACAVAAVVSSAVPIQTTELNSSLPPRRSSFFRVSCRHSHPAAARNVGRALSSRRDPAEAETEAGAGGILKLPMDIGSRILWRRRGHKVRKHPAPRHHKAKPGASSADGIPACSCCCLSFAQSELERGLTDSIKENLSKFWDYCNFFGLEFTGTL >Et_10A_001003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20030832:20033318:1 gene:Et_10A_001003 transcript:Et_10A_001003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEGKWRFAMVCSSNMNRSMEAHSLLGRAGLDVASYGTGTHVKLPGPSIHEPNVYDFGTPYGAIYDDLRRKDPDLYKRNGLLLMLKRNTSVKLAPQRWQDNAGDGVFDMVLTFEERVFDLVNEDMNNREPRLMKSVLIINMDVKDNHEEAGVGAKLALELCQKLQGVDGDWEQIIDDLMIAFEKQHKRKLAYNISFY >Et_4B_037216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16781944:16783805:1 gene:Et_4B_037216 transcript:Et_4B_037216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKRWTSASGAELPGRSPLSPGRHTARRSVSRSDSGPAAASVARSLWPSSSAGDDESGHPRRQSSSSSIASATLAEHLAKDESAPGPQPAISRQRSCTELPRKIGKGHAFGRSMRLLPSTKPAGVTLTPGRSSSAAEDAASTGSECSDAGSRGSSTTPRGTSSSLKPRSPLLPRTGSVRLLGSSATQWALSPGRRSGSPLKATTTTEPSATKGINSKKSLVSLGWGHLFNRRKHATDDASLSSSQVVVSTRNSGGGGEAGHQMKMAHCRLLQWRFANAGADAVRKRKLAGAEVELMGAWASASEMRGKVARKRVQLHKEKLKIKLNAVLSAQMKGLESWEQMETRHASALASTVRCAQAAVCRVPLTNGAKVLSLPSLASTLQHVLELAVTTKTTTRPFGPMAQETALVVSELVRVAREEQALLQECLELLSWFSSLQVKEQSLRCHLVQSSSSVFTSVAVE >Et_4A_035747.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:508614:508913:-1 gene:Et_4A_035747 transcript:Et_4A_035747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGARSGNKLLLPALLGALLVLAARSREASAASCNAKQLSACVPALTTGVSPSAACCSGMMAQQGCLCQFAKNPAYARYTGPNARRTIAACGISLPHC >Et_10A_001936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12772843:12781184:-1 gene:Et_10A_001936 transcript:Et_10A_001936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPRAAAAGEVNLPSAEDSGDGGKKPKCEVAPAVEVVGDDVLGSIFLRLPDTVSLARAALACKRWRRVASDRVLLHRFHSLHGGPPLLGAILSDGGDVPVPYRCPNLRFVPVRSSNNPHLAAAANTGDFFFNHLPEPHFDADDEEGNDIRREPWMLRAADSSGSTSPSTTPLRGRPWVLNYSLLADEADAEASFRVVAAVFSSRTQEWSPLPSIGVPCPWNARHWVRAGQFAYWQSNSLKYYNDNRERVLVLDTVTMEWLLLQVPFPVGEPYCAADMAEYGGLCLVVNKMSRLQLWVSIDGRWTVMKNVALRKEFPVLMITKMKGLRPLAVRGDYVFMEFWTFSFRQPRHYLLLNLKTLKLEMVKNHSKADVSTTQILHTNKYLQGFCMTLFHIRKFHLARLART >Et_9B_064283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13560876:13564936:-1 gene:Et_9B_064283 transcript:Et_9B_064283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVWLVRLASLLALGLVLCSVNASLGDVDPQYRTCVEECQTTGVIGENIINHCKSQENQNDTSGGGYWYTQEQWKQLKCKADCRYFCMMQREVERESLGLNPVKYHGKWPFLRVCVLLTYYELYLSSLLLDISVNLLFPCQEPLSAALSAINLLMHFTGWLSFFLLVKHKLPLRPQTRRTYYEYTSLWHIYAILSMNAWFWSTIFHTRDINLTEKLDYSAAVALLGYSLILSLLRTFNVKDEATRVMFAAPILAFVTTHILYLNFYELDYGWNMKVCVVMAVVQLLTWAIWAVVTRHQSRLKLWIVVFGGALAMLLELYDFPPYMGYADAHSLWHASTIPLTYLWWSFIKDDAEFRTSTLIKKANRILQKGMRTR >Et_4A_033246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20344482:20347086:-1 gene:Et_4A_033246 transcript:Et_4A_033246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTSASPAMPAWADMETDCLVQVFRRLDLEDLAASAPLVCRGWRRAAADPSLWRALDLRRDYVARFMPWSPLAAAFARRYGVSRFAFAGLLRLCVSRAAGSARDVALPPLLASPAAELDHISIECPRLRRLALPNLPASDEARLPELIPRWPLLEHLDLESKPPSAVFTAVAAQLARHCPSFASLKTSGGVKPEDAEALARRLPRLRSLCLDRSYLPRQELLAVLAGCRELREFTARGCVGFDENDEEVKRWGSRIERFDVAGSRAVDDLEDEFAGGDDDQFCDSSYVEVMRKNSFCVSLLNRYSVSAVATVFVAIFLQPQPQLQPAEQGEGSNEPKPANSFI >Et_10B_003541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2964128:2966959:-1 gene:Et_10B_003541 transcript:Et_10B_003541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRMLPALLLSFTAFAAATAGAAETTTSTTALDETCAFLGGWYVTPELCASVLCADPPSSSPPCRAARDAPAVAALAARLAARNATATKGSVEAALAAARARAAANATAAAGEAEVARGVDSCLQLYAGVVPALQWAAESVAAGRFRGARQVLDAAQYVPGGCEGMAGDAAALPRENGAFSYVAMVAHAVALLLFIGFAAATTSTAETIPTLDLACALTGDWYVTSELCTSVLCADPSDPCRATRDRPAVVALAARLAVRNATATKGRVEAALAAHAGNATVAKGIASCVQLYAGVVASFQRAARFVAAGRYRDARDVLDAAYPDMLIIPDKCDGMAGDAALLPRENHAFMNMAFVAHAVIADMAPERLAHDS >Et_3A_025845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33332821:33338032:1 gene:Et_3A_025845 transcript:Et_3A_025845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEKAAAPGGAAAAVDGGGGKEEESVKLFIGQVPKHMTEPELLAMFREVAVVDEVTVIKDKATKVSRGCCFLICPSREEADKAISAYHNKHTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVTDTELTDLFSTYGNIKDLQILRGSQQTSKAGCAFLKYETKEQALAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQLQLSNMPNTGPMQQSSVFGALQMGYMPQYNGFGYQPPGTYGLMQYPLSPMQNQGTFQNMVQPNQGNSIRGVNPELSPSTIHRSFNAMQLGSPYPAVPGMQYPGSYPGGLMNNRPFGNSQNSMKVPNANANSAISSSPSSNVGGQIEGPPGANLFIYHIPQDYGDQELSSAFQSFGRVLSAKVFVDKATGVSKCFGFVSYDSPASAQAAINMMNGFQLGGKKLKVQLKRENNKHSKTY >Et_7B_053486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22600423:22601490:1 gene:Et_7B_053486 transcript:Et_7B_053486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDDKVKLIVSYGGEIRRCPVSGAARYVGGENRLVKVGMSERLADLRARLAALAGYGAAVRLRYVEDGLDAPLRDVDGDGDLWRLVTLLYFRSAGVAPGERCRVRAFLFPVDDAAPLASSSPAALVSPANNMGRRNVAATSLLRAQSAGPLHVAGSSPATTTSAESTTRLERADSGFEALAAIAESAGPLRVVAGSSSATTTGETTTKPLQRADSGFEALAAIAAEQSRPPSSSATTSSGGCAGQAGSGLEAAVAAEQKLPPERECPAPVVLVPVVAPVVAVFYPVIPVYQCVVLAGVALHGRCESLKGGSTIMM >Et_7A_050596.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:4049210:4049548:-1 gene:Et_7A_050596 transcript:Et_7A_050596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGYVKAGYVDPAREIFDAMPVKKIVTWTCLLSEYCRAGLVDEAYLLFDTMRVRDIVICGDGAGGMHATGCSRKQKSCLIECGRQIQEAWELFDRMPERNSYSWNVRFLFS >Et_4B_036298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15529711:15530006:-1 gene:Et_4B_036298 transcript:Et_4B_036298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPARAEVLSLFRSFLRTARQFSDYNIRGVHGGEEAAGGGQATGGGVLALRPQGQEHHGDEAAVIDR >Et_4B_037700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22688726:22698520:1 gene:Et_4B_037700 transcript:Et_4B_037700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRQLVLTYLYLLIYICLSSGVILFNKWVLSPKYFKFPFPITLTMIHMAFSGIVTFFLVRVFKVVTPVKMTFHIYATCVIPISAFFASSLWFGNTAYLYISVAFIQMLKALMPVATFIMAVICGTDKLRWDLFLNMVLVSVGVVVSSYGEIHFNVIGTLYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFIFLFIPWYLLEKPEMDVAQIQFNYSIFFLNALSAFALNISIFLVIGRTGAVTTRVAGVLKDWILIALSTIIFPESIITSLNVIGYAIALSGVVLYNYLKMKDVKANQLPADNTSDRAAKDKKVSNIYRPDNSMDSNDAVIGGVASEASAADEETPLIPSARLSYVTRTQTGSLSNR >Et_4B_039138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8122488:8125631:1 gene:Et_4B_039138 transcript:Et_4B_039138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVNWELQGCCDHDQRIFIAAIGVSTVVILLLWRTFLLTPFKLITVFLHETSHALACKLTCGDVEGMQVHANEGGSTQTRGGIYWIILPAGYLGSSFWGMVFILASTNLLTTRIAAGCFLLALVVVLFVAKNWFLRWLCLGFIVFIAVAWVIQEFTSFHSLKYVILFIGVMNSLFSVYDIYDDLISRRVHTSDAEKFAEICPCPCNGCAWGVIWGFISFIFLCASIYLGLVILS >Et_5B_045371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2007899:2008199:-1 gene:Et_5B_045371 transcript:Et_5B_045371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVSTYLQRDIFINVGVLLTYVHLDWRVMYAASALPPMLLAAAVLTIPESPWWLVLHGQHAEARPHGARAHLG >Et_5A_040397.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:19276639:19276962:1 gene:Et_5A_040397 transcript:Et_5A_040397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRLPLLPHRGRHQPPLPRVPATCASAGARPPTSTAPHLCSRGREPDGSQPDCAPRGDQVHRDPAPLLGHLEEPQLNGFQRQSPGLNEDPDDGQGTCRAVDMSSTARS >Et_3B_027450.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18615704:18617371:-1 gene:Et_3B_027450 transcript:Et_3B_027450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILQVWMWEHFPELRPAMVSSPDPGERGAPRAVRWHDVSKTFDPKYIRAVFMSPKDFEWRPYGSSTFALPPETSGCWVYGQDIAGSPKLLSFARCLHPCELVGMNCIEKYRPHRVARQLGFDQDVPKTVVRVIPKWKRAWDTYNIKVENSSFFVPNHKPGVTVEYVKWWKPYSLACASAVANAARMKRCRVSVTPIKRKMEGLPAANSGKKLCVNAMPQPASDETEDPQDHIPLVKRLNSIIKCRTEGLVKSVEQEKMAESPKKCFSPRVLNVGAIKAFTNSNVEQDLSDVPASSASIIGESSCGLVETKAQGNYVQQSKEKDLVIANGENNRRSECDGVLLHNAVQGAMSTGSNEAVGATEDVDMLPTLEDFLVISDDESDEVSEEGEVSTRHMGSPVFEEETIGTMHLKSPKIETVGSILQETNEEKQLAGENIGEDDPMLKDFSVQSNRDCELATALNDRSPRKEPPVASLQTDAGRLERPTQRCFVAGEVDNRDKVHREDSVEANRKESGMKYCCSGSSILHISLSGYQTPLFNSLTLFQETLRVPLAGL >Et_3B_031092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15057286:15061303:-1 gene:Et_3B_031092 transcript:Et_3B_031092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAVGSAACPWDALPLHLQERILSLLPLTDLLPVAAASRSLRRLIRSAAFHALLSPHRLDAFFLLTPRLAVHPLSRRVLATPLPAAVGAPPSPPLVSSASPSLLITPATLRHLPPLPAGSYLLSVVVPPRASASSSSSLSPPYTLVAVTTGAAVRSYTLHSADPTPRWASRGDLPLPFALLGNAAVSGDRGRLFVLGRGSDALLMFDLATGKWEVMPVVMPHGLTTAHLFEFDGRLFLVGGVERFGEVERVVVWLMAGGEEDSLWKEVGVMPVEVFEELVAGRHGSFWHFQAADRMGIVCLYNAVDGRLVMFDAANGQWTVLPIVSGLDAEESSRWFGHVMEPGVELFKRQYKLQTEVIRKV >Et_3A_026158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:549412:550700:1 gene:Et_3A_026158 transcript:Et_3A_026158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTTSESDVTSLATTSPPRTPKTPKRPAYYVQSPSRDSHDDADGDKSSTSHTTPVYNNSPLESPSHPSTGRHSRISSATRYSGFLRSSSSPASRAGRKRLNSSKGWREVDAAIDEEAAYDELDEEEPELPKFCVVAFWLSVLVLVFTMICLIVWGAARHYKPSVIVKSLAVHNFYVGAGTDGTGVPTKLVTLNCSLHIIVHNPSTMFGIHVSSGSSRLMYSEIAVADGQFKQFYQPRTSHRVASVVLHGEKTPLYGAGATLDLSNTGGGTVPLTLELAVRTKGYVIGKLVRVSHAKRVKCQIVIGSGSSKPVRFRQSACSYN >Et_2A_014491.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:15872920:15875013:-1 gene:Et_2A_014491 transcript:Et_2A_014491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCPSVPLRRKPPPPPPSHVLPPPPQQLLASIAEAGEDHTADLPEELLAAVFGLLGSGDRKRCSLVCRRWLEAEAASRLRLALDARAPLLASGALPRLLDRFPAVSKLALKCDRRAESVPDPALELVADRLGPGLCRLKLRALRAVTDDGVAALAAAAVGLRKLSVGSCTFGAKGIEAVLRSCPQLEELSVKRLRGLADSEPIAISGPRLLSLSLKELYNGQCFSCLITQSPSLKTVKIIRCSGDWDPVLQAVPREALMAELHLEKLQVSDRGVSALYGLEVLYLAKVPEVTDIGLAALAAKSTRLRKLHVDGWKANRIGDLGLAAVAQKCAKLQELVLIGVNLTSVSLELIAANCPTLERLALCGSDTFGDAEMSCVAAKCAALRKLCIKACPVSDVGMDKLAEGCPRLVKVKVKKCRGVTSECAERLRASRNGALAVNFDTPGGAGELQDARSVDESGVLDNAGSDVPPEDLDDRIGGPDISSGSSGRPSRWKARMGSLMRRSLSVSLFRRRAIETCYTAHES >Et_8A_056553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12147537:12149595:-1 gene:Et_8A_056553 transcript:Et_8A_056553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLVRPFHLAVIGLGLPCLLLLAGAATGTEPLHASVLPHDDRTLTLAGRPASSSTSASTTLPDDAAPRLLDVSAAAREDVFTAPATTAASAPPACSSCPCVRVVVDMREAAVEDVFLQPGGATAAATRKALLLHALPLLAVPFLPTPLAAVVALSALATPIRAGHLSTNGTCTRGDREHATCTVYRYLPDGCVDRVLPFAGLRKVCNAGSDELARSMACACRSDLVGDPIQRYCHVRTLELSEGPEGLDWCVLPGHLPPIANPGAAAASGEDVCYVELEHGDHREGYYILCPVLNCPRFPFLCCPELPHGGAAAVVAAASDFTKESDSALQEGILVTDPFLVTSEYQQKEKYAKLQICR >Et_8B_059360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17019116:17020963:-1 gene:Et_8B_059360 transcript:Et_8B_059360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEANVSAGAAVRVLAVSRVAPSPAPAKAGEGQRVKLSFFDTPWIVLPPIQRVFLYELPNGGDEFPAAVEQLKKSLAATLALYLPLAGKLAYVAETEDVVVDCADDPGVAFVEAEVDADAAGGFDVRRLAGDEAHDIPAFLALVPELDARALPAPVLSVQATRLPGGGLALGVSVHHAVADGQAVWRFVGAWAAAARDGSPVTKALPPPHYSRDVIRVPGGDEFARQMLKMVAPNLPVINYHKFKQYYLFWLPQTQYHASRVPWPSSLTELTAPLQPCMQANAAEYDFSQRFRLARRTFHLTADDIASLKRRIDALAAAEDNKNSNTTNTKPVSTFVALSALGWTAFVRSKGLAAGDDTYLIFLADLRARLDPPVGDGYLGNCIKGCLATADAGDLLGGPRGILAAARAVQAAVAAMAAAPMAGTERWIERVMQLPFSRLANVAASPRFRVYDAADFGFGKPDRVELVSMNHDGEMVLVGGRREGEVQLSVSLDPARMDAFKAEVLAVD >Et_6A_047050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24570042:24574419:-1 gene:Et_6A_047050 transcript:Et_6A_047050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRLSGLPDDLLRHVLYFAPAKEGASTAVLSRRWRSLWRTSGAVNILSHFDDSSIFIEDSAARAMRKAFFRGAKAALAAAHAGGPVRRFTMHLEAQYGFHISKLLPRRRIHAVLSKPALQGVEELRIGAAATDKTPQRPWLRRAVSSTHVDTEELYKLSFGALPSEALRELHIVNCRNLKPPRSRATFPRLAHLRLQGCTVSLLDMQRVMDAIPQLATLHLESFSFPQEQGTKNKGSGAIELSCYQLRCSTVTTLVLEDCHWPEMEGGLELDVPKLRYFVYEGFVRHCHRLSLKPQVSSNIIQVDLHLSIDSRFPTNDQIKIPSFWRFLQNFDMTKVLKLKLDFTVDDIAVFDKKDQDEFLRNKLFLNLEQFELEGDYYEPGRETALMALANFLHCCPVAQDLRLKLKQRSTTSCYDLKPIKVAQLDFHKSIDYFRCHKRSKNPMSGDYYDYENCDVSDIPTLSKHPFSCLQSHVRRVSLKFWMEHPNCFGVQLAKFFAQKAMVLEEMSIDDGSQKMCHHGLENPTVWNIVIGNIDEDDQYIAAADLLADREDECRVAIVLEPVLVDDVLLVVLFLNSTSAGIKNSDRISRLGVRSSILVTVAIMVVSSSRTIPVSSSSRREVKTGNAGAEEKTPWPTPAPRRADLSCRCVALGKRWNKFLSLTRFPESETSSPQKSSTQDVIREGL >Et_5B_044948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7576555:7579403:1 gene:Et_5B_044948 transcript:Et_5B_044948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHLLLNLFNLLLLLFYLILTYSYSKQYILELIEVPDPHARTLILEVTTLCCPCLQDLELHTIEGLKVLFFVSQSLLSLRLVKILDLERLVVAASKLTETQVVKCFALTTEPTSMLLSLPVLVWLHWQDRSPGEIYYLSLPSQILKLSLIESRRPPPPPPPPLHIWFCVRDTSPIAQGFSRFSNDYEEQRVLIANINRPFFSELDLTVNKVADHWFSPTILNLLSRCYWVTTLSHQIVADHREHNNFSCKVILIFYIFRVDNIVPSCDANCNCHTLQTPDEHSAIATGTTRNINRHFFSELDLTVNKVADHWFSPTILNLLSQCYWVTTLTILAHPMTLIAIVGDRRIVLDYLSFFAMKNFSGTPDETYFICHIVENAEALCKVAFVFSMGAKTTEVFLYDLHRDWLHRVALLNTRVLTE >Et_2A_018781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7305353:7306810:1 gene:Et_2A_018781 transcript:Et_2A_018781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATSSSAKSAAAAAGAAVGSKAARACDGCLRRRARWYCAADDAFLCQGCDTSVHSANPLARRHERLRLRPSSPPATPAAGAGAKRVVDGDRKVDEVVPAWFRRKARTPRGGARELSRRLVVVPDQAAGGGEVLEVEEEEEQLLYRVPIFDPDLAEFCSPPPPLEDAAAGAASSCSNETQTKPDAAEPLLPPPTTTTAQFFPDGGFEPTDAELREFAADMEALLGRGLDDGNEEDSSFYMETLGFLDPAVAADDVNGAARVVKVEAEGGGAFDDAMGLEMMEPEASDEMLDIDFDYGSPQDDKAASSSSAGADDDNNAKLLERSLSLTLNYEDIIQSWGGSPWTGGGDRPQVKLDDCWPHDYTGMWVVGEVVGHGGEELSLPRLGMDGGREARVSRYREKRRTRLFSKKIRYQVRKLNAEKRPRMKGRFVKRTAAGGASVAIAGLA >Et_7B_054311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19833122:19836998:-1 gene:Et_7B_054311 transcript:Et_7B_054311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINQATPKPFVPLPYHALLMEEAFPDTPNKRKSEDLLGHDLLYPSPKNNKSLETTSFLEEGGKLKRNLLDLHPEAMIQKLQPVDEEGEESGNMDPQPTEEEGGGGGVDRISSLPRDALFTIISKLGTKEGAKTQILSKDWKPLWRLSPLNLQVDAGLASKERKRIRICNKWLGDRALNNLKELDFRYRFAQSGKDQPLLPPSALRFSSTLDILSLGNCRFPVDGNYCLHFPKLKQFSLHGVTISENALQGLLSGCTALESLLILDCVGFHRIYLHSPSIRSIGVRAWFCMRFQPDIILQELVIMDAPCLERLLTYPPHCPAVIRVTRAPKLQVLGCLSHAISKLEIGGMVFKEMIPTVLNASICTLKVLVLLSTGPNLGVLLNLLQCFPCLETIYVTLSMPRVNVAEAQQLYLPGSIHCLGHHLNKIVIQGYQGSREDIDFANFFIVNARVLQIMQFGVPKKFSNKWMANQRKALLLNQKASGDAEFYFANYDLLIEMNCQRRTHDLTISDPFDVRNDAQMT >Et_2B_019057.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28073019:28073423:-1 gene:Et_2B_019057 transcript:Et_2B_019057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYRSNGDLAAIAFVAFSYIDLVLLFVCLRWYEKAAPGSPTRGNLKVAVWVLTTLLTMAFSYKVAAIMPMAVKVLVWAMACATVLGGFYAFFVYKEGKVRSRRAVISISRRYLSAFDCYYCVVQALVSRLLFF >Et_1A_006846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28258954:28259140:1 gene:Et_1A_006846 transcript:Et_1A_006846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKQLMQEVAVVQVNRERNEVAHELACLARRNLHIAVWLGHLRLFMTSSTQIVTLPSDK >Et_10B_003894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7330058:7330367:1 gene:Et_10B_003894 transcript:Et_10B_003894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESERGSAKLAAVVARRQAGRQSMGVAPAPYARGRPPRPTPRRRVSAWAAAASQLSTAATPHRKAEEEEDTRQRGPRP >Et_9A_063128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11009672:11016676:1 gene:Et_9A_063128 transcript:Et_9A_063128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYVMLLDLEKDIQGRNSESAGSSYGGAVKEIGSEAEITVLGGLSDGWESSSGALAAVSVTLCALIPADGQRPTVTPTPSDGNKRLPLLVHAFLNSLIAKAGAVVFHEMCSAMKLVEGNWVDRWEGMITHEDNFEAVGDPWLSRHGDLGRVFLAGDSAGGNIAHNVAMMAAERLGPEMLQQIEGVVLLHSSFWGKDHIAGEAPATVALMETLWSVVCPEAADGVDDPRMNPLSAAAAPSLRKLPCKRLLVCAADGDFFFQRTKAYYDGVAASGWGGRLEWLESKDAGHCFFLHEPDGREAVLLMDRLAAFIAGGTTETQLRGLLQHRRRRHLASPRLAPPLLLLVAAHLASRRRRRSSPQPCLVLPSGAAPHCCFLFSPRLTASATGVVVAPVTPSGACMLKRMPLPLSPISGFTNCEENGQTAALILQADSQTEKSNEISIHRLLDDLYSRTTIMFEEP >Et_3B_029969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29556920:29560723:1 gene:Et_3B_029969 transcript:Et_3B_029969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSKAAAAAAAGPVALEDLFSTLHRHIQAGDFPQAVKVADQVLKAAPGDEDAVRCKVVAHIKSDETDKALAAIRAAERLPIDLSYYKAYCYYKQNKLQEALELLRGQEESSAVLQLESQIFYRLGRMNDCIDSYEKLQKFKIDSMDLKINIIAALVAAGRASEVQATMKAQKVDLTTRALRDARSFELAYNSACSLIENKKYTEAKEQLDLAKRIGKEELMVEDYAEDEIEYELAPVSTQLAYVQQLQGQTQEALGTYVNMTNEKSADPSTRAVATTNLISLKGTKDAADSLRKLDRLIEKSTAPNQLQLVGGLDFKLYPRQKEALYSARVLLLLHANKTDQAHELVSGLLGMFRDNVFPVLLQAAVHVREKKVQKAEEVLSQYAEKHPGNSKGVLLALAQIAANANHFQLAADSLAKIPDIQHMPATVATIVALKERLGDSTAAAAVLDSAIQWWKNAMTEDNNKLDVFMREAATFKLSHGRDEEACLLYEELVKSHGSVEALAGLVATSARTNLVKAEQYEKKLKPLPGLKGINVESLEKTSGARHVEGAQEIKVEPDDPRRQKPKKRKRKPRYPKGFDAANPGPPPDPERWLPKRERSSYRPKRKDKRVQVRGAQGAVTREKHDTGAAAATSSLKAPIASSDPPKTSNKSRKKKSRS >Et_10A_001807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8471977:8472190:-1 gene:Et_10A_001807 transcript:Et_10A_001807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYDLPTMHAVNDVVHPNGEYPDDVAGIMVDVPMVVLGLTMGNDTAIVCAKPGDHFWRTADA >Et_7B_055115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7471904:7475140:1 gene:Et_7B_055115 transcript:Et_7B_055115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAKMRPGMETTTKYRRMGPEEGEEELDEEAWALRAEAQSLRRKKGERYVFTCALFASLNAILLGYARSVAMAEAGAAANGRNKYAALDRSDEPELDAGPAGPRKPSVSESERRRIERFVYTCAIFASLNAILLGYDVGVMSGAIIYIQKDLHITEFQEEILVGCLSVVSLLGSLSGGRTSDAIGRKWTMGLGAVVFQAGAAIMTFAPSFTVLMIGRLLAGVGIGFGAMVSAVYIAEISPASARGTLTSLPEICINLGILLGYVSNYAFSGLSEHINWRIMLGVGILPSVFIGFALFVIPESPRWLMMEKRVPEARAVLLQISESEAEVDERLAEIEEAAGLLKSMKSEEKAVWRELLNPSPAVRRMLYAGCGIQMFQQITGIDATVYYSPAIFKDAGIKSDQELLAATVAVGFTKTVFILVAIFLIDKVGRKPLLYVSTVGMTICLFLLGIALSLQKHAVGLMSPRVGIDLAIFAVYGNVAFFSIGMGPICWVLSSEIFPLRLRAQASALGQVGGRVSSGLVSMSFLSMARVISVAGMFFVFAVISTISVLFVYFCVPETKGKTLEQIEIMFESGKEWRGGEIELEDTQHLIQGDKKSGSLD >Et_1B_011582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23824122:23825575:-1 gene:Et_1B_011582 transcript:Et_1B_011582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ENAVFSLKHTDVKENASNPKDMDPKLNSSTWSFVQTLLSGNDDDNPTLFAAHLANDSGNGYYGLVATMDVYGFNLNGGQLSKAAIWISNDEGDWKQDLDTITVGWLVYPSHFKDSHTHFFMYWTRDAYRNTGCLNMHCPGFQLASGSTVTPGDTISPVSDANGARQSITIKLFKDKSSGDWWLHYGFSSAPTVVGQFPASLFDRLSKKATRITFGGHVTYTKYVSSPPMGSGAFPSDKAASFWDLQFIGEDGNSTPINRDLMSIITDESSYSVSPIDGAKFTYGGPGGKSA >Et_3B_030231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31529483:31555646:-1 gene:Et_3B_030231 transcript:Et_3B_030231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPRRSDVELPVSANPAADRDRARLQQLGYKQELKRGLSALANFAFSFSIISVLAGVTTTYNTGLRYGGPASMTLGWLVVASFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKDWAPFASWITGWFNIVGQWACTTSVDFSLAQFIQVIILLSTGGANDGGYMASKYVVLAIYSAILIVHGLINSLPIHWLSWFGQFGAFWNVAGVFVLTILIPAVAERATMEFIFTHCNTDDSVGIHNKVYVLAVGLLTSQYSVLGYDTSAHMSEETKNAEWSGPMGIVTSVALSSIIGWVYLVSLTSIVTNIPNLLDPGNAAGGNAIAQALYNTFHMRFGSGVGGIICLAIMAVAIFLCGTASVTSNSRMGYAFSRDGAMPLSHLWYRVNKQEVPFNVVWLSVSVAFVMALTSLGSQVAFQAMVSITTLGMYIAYALPIFFRVTTARKTFVPGPFHLGRYGIVVGWVGVIWVALVTVLFCLPVAYPIAAENFNYTPVAVGGVLLLSVGAWVLHARFWFHGPVTNVDITMTVPRRSVEVELPVADADRARLQQLGYKQELKRGLSAVSNFAFSFAIISVLTGVTTTYNTGLRYGGPVSMTMGWLVVAAFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKDWAPLASWVTGWFNIVGQWACTASVDFSLAQLIQVMILLGTGGANGGGYLASKYVVLAVYSAILIVHGLINSLPIQWLSWFGQLGAFWNVAGVFALVVIIPSVAKERASPEFIFTHFNTENDMGIRSKAYILAVGLLTSQYSSIGYDTSAHMTEETKNADWCGPMGIVTSVALSGIFGWIYLVALTSIVTDIPYLLNASNDAGGNAIAQALYTAFQRRYGTGAGGIVCLGVIAVAIFLCGVACLTSNSRMGYAFSRDGAMPYSDVWHRVNKQEVPLNVVWLSVSVAFVMALTSLGSQVAFQAMVSITTLGLYISYALPIFFRVTTARKSFIPGPFHLGRYGVFVGSVAVFWVSLVTVLFSLPVAYPVAKDNFNYTPVAVGGVLLLTISTWVLHARFWFKGPIANIDAARLRQLGYKQELKRGLSVLSNFAFSFSIISVLTGVTTTYNTGLRYGGPVSMTLGWLAVATFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKDWAPLASWITGWFNIVGQWAGTTSIDFALAQLIQVIILLGTGGLNGGGYMASKYVVLAMYGAILIINGIINSLPIQWLALFGQLGAFCNVAGIFTLVILIPAVAKNDRASVDFIFTHFNTNNGMGIHDKTYILSVGLLMSQYSLLGYDASAHMSEETKNADWSGPMGIITSVALSSMFGWIYLVALTSIMTDIPYLLNPENDAGGYAIAQALYNTFHRRYGSGVGGLLCLGIIATATFLCGVGCITTNSRMGYAFSRDGAMPFSRVWYRANKQEVPLNIVWLSVAMAFVMALTSLGSQVAFQAMLSIATIGFYIAYGLPIFFRVTTGRKSFIPGPFHLGKFGVVIGWVAVAWVALVTVLFSLPVEYPVTVDNFNYTPVAVGGVLLLSVGAWVLHARFWFKGPISNITMAVSRRSVEVELPVADPDRARLQQLGYKQELKRGLSVLSNFAFSFSLISVLTGVTTTYNTGLRYGGPASMKAGVARGRHLQRLRGAVHGGDLLRLPDLRRALLLERQARRQGPGVPRVLGNRMWTGTASVNFSLAQLVQVIILLGSGGADGGYLASKYVVLAIYGAILILHGLINSLPIQRLSWLGKVGVFWNTAGVFVLVILIPMVAKERASLEFIFTRFNTDNDMGIGNKAYILAMGLLMSQYSLLGYDTSAHMTEETKNADWSGPMGIITSVSLASMFGWIYLVALTSVVTDIPYLLNPGNDAGGYAIAQALYTTFHRRYGSGTGGLICLGVVAVAIFICGSANVTSDSRMGYAFSRDGAMPFSHFWHRLNKHEVPFNVVWLSVFLAFVIALPSLGSQVAFQAMVSVATTGLYIAYALPIFFRVTTARKSFAPGPFHLGRYSYVVGWIAVAWVAFLTVLFSLPVAYPVNQANFNYTPVAVGGVLLLSVGAWVLHARFWFQGPVTNVDG >Et_3B_031423.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:2939001:2939573:-1 gene:Et_3B_031423 transcript:Et_3B_031423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGAVASALFAAFSLPCLVLLLVLGEAGLRVAALALRGDGAAGRGWPTRSALLGYRIARPSYHHSYSPFRDDDEPPLPPECCDRLAVAVYRAGDPAECCAFCLSAVHDGDEVRDLRCRHVFHRACIDAWLVRPRATCPLCRDRLLPADPPPRACAGDVDDDDVPPPSSSSAHAAASAYAHGGALWHTT >Et_1A_006594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2579251:2581100:1 gene:Et_1A_006594 transcript:Et_1A_006594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRSISAVNRTHPLLPRSRKLQLEPQLRPAAAGETSPMTTSDAPTPPHFKRSSPRKKQQLRTRRLAAEAAGVEASALAKQRIPAPLVSDALQSIGSALPREFFEVDALDLAPRLLGKLLRRDEVVLRITEVEAYRPNDSACHGRFGITARTAPFGPGGHAYVYLCYGLHMMLNVVADKEGVGAAVLIRACAPVSGLETIQQRRGQQTDKPILLSGPGKVGQALGLTTDWSNHPLYTPGGLEVLDGAEPEEILVGPRVGIEYALPEHVTAPWRFAIAGTPWISAPKNTLRPR >Et_2B_020161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17428147:17429233:-1 gene:Et_2B_020161 transcript:Et_2B_020161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLLTVILVATLLTVPTGNNLAIAARTTSPAVAFWRAALPGAPMPESISELLHARADATAPNDAKLKDDGDDPPPMSFKYDDYRAQKASPWNDAAAPNPEVLKHVAGAHKNAATDDGNAAASSSPTVFFLEDAVRVGGSLPFRSVRRVSAATDAPAAAAEREQQAPLRLLTIRAVRAVAEGSSFVVCRRRELRGPRGDDEAVAYGCRATTTTGPACRAYAVDVAGGEGAVVAAMRAAVFCHADTSRWDPEHVAFRLLGVKPGGAAVCYAVPDAYVLAAGDGEGQQASP >Et_3A_024402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20472781:20475110:1 gene:Et_3A_024402 transcript:Et_3A_024402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISPAAAFSNSRLCPSTKPKTPPLLSSFRPSHSRFHLRIRSPKPKNAAAAPAGSSSMEAPQSQTRDGGWAEEAKADAAMTLLFVEMGVGYDQHGQDITAAVVRACKDAISSNSIPAFRCGTDKKTLPLCGAIPGVNSDQMKLQIKLGVPRSTQHLLDAERVKAVFPYGKIISFEVVDGGMICSSGVCLEAMGDKNDDCYIVNAAV >Et_1B_010135.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25468213:25468581:1 gene:Et_1B_010135 transcript:Et_1B_010135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTGSPRGRGGGHRRSCGAVTRVHLVTVFLAATLCSASYCLGIWHNSRGAADSRVLGPSAVFAAAESCGGDEPLDFEAHHSAEAAGLSVSASSSTTASSTRRALRREPYGSAGPQQTSRVA >Et_8A_056635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14128911:14135072:1 gene:Et_8A_056635 transcript:Et_8A_056635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRALEEHCVGKKIVRCAAADDNKVIDGVAPARLEAALVGRTIAAARRKGKNLWLALDSPPHPTFQFGMAGAIYIKGVELSKYKRSAVNTTEEWPSKYSKLFVQMDDGLEFSFTDKRRFAKIRLLDNPEAVPPISELGPDALFEPMKLDDFIQLLSRKNVPIKALLLDQSFISGIGNWVADEVLYQARIHPMQTASKMSKSKCETLHQFIKEVIEKSLEVGADSSQFPENWIFHYREKKSGQAFVDGKKIDFITVGGRTSAYVPELQRPDGVDATASRSKRSKDKDNDDETDPRKGKKGAKNANAADISARKAHTVDNEANEEQESDEDAKLAKRGRKKTTKLAKSSSKMVSHALRGSEEAADAELEAKPGKRRGSNQASTEMKALPKKDHDAGPAKSPPKARLT >Et_1B_009973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15463436:15464848:1 gene:Et_1B_009973 transcript:Et_1B_009973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSHARAASPAAPRHGTPRPRRSAAPASSRRRRASHIAAGAILLPPNGGGGGGAGPSERKLPFTPPPMAPPGQLYQPFHPPPSPLPTNYRNLDLTQRLEVLRDRQGQWYEYAPLISALSRDGFTPPSIEEATGISGVEQNRLVVAAQVRDSLLDEEAFPDDLVPYFDSYGASELLYELRFLNARQRADAARHVIDNDLDPRGARDLARSMKDFPRRRAEDGWEAFDGASPADCIAFWRFRAAREAIAVEDCIAELDRALQLVETEAARARLELEMDRARRRAAGEEVEDAVDDPASRPDVPVVRLKYGEVAEASTVHLLPVVRESDGVAAVDAAPRRSRTDVDLGIVEVDKGWARWAVLPGWGPVAEAANEAVVIELADGRALPWRSAEEEKVLVIADRSRKEVAEEGLYVLEKEGRVVVERGRSLAEQGVTTAAAEVVMVVRPPKEEDDMISDDEWD >Et_1B_009734.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:21827518:21829489:1 gene:Et_1B_009734 transcript:Et_1B_009734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFFDDSRRFSVLIYLALASLFNSSYSYNSFLADFLFRFSPLHWSMEPDAAWTPCYFQPANWTIEPAVWVPGFPVESASIEIYGSCLQTHVPSSTAGGQLIEYPAATEEEPIVKVAQEFQVDFRNMEMKIHNPNLMALQVFAEAADEFKIDVDKMKMKMHRYPPCIRALDGQYTKPKIVAIGPYHHYQEHLKPVEQVKHVAAYHCIRESGFSVQQMYDAVVSVASHARSLYHKDVMACVNDNDFLPMMFYDACFLVQYMLTCTSRYDDMDPSLRSFFDSYDNDIFHDLMLLENQLPWIVVTAVMKFRPVPLDNLIDTLKGCLQDHKNQGKTSFELDKNYEPPHFLGLLRYYIVGRSKTKLPHLSETRESISFSVSAIELSEMGIKLTANKATELIHMGAKKKDPFFGQLFLAPLSLDEARASWLINMAALELCTTPNFQDDEDEESAVCSYLLLFAMIVDRVEDVHELRRTHLLQGGGGLTNKEVLGFLTKLQGLRLGTLYVRTIEEIQDYRSERWPWTMLYGFFYRNSNAIKVIFTIVGSIVGTLVTVASVYSKFR >Et_10B_003386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19335462:19339922:1 gene:Et_10B_003386 transcript:Et_10B_003386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVPAHAQVQQLHLLQHPTKGTNADRKHTRTSSDASDPSTPRKIEDAKNISIYNNVISFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRRTASSLPWATRMSIALGAAKGLACLHNAQRPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDETHVSTRVMGTFGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREQSLVDWALPKLSDKRRLLQIMDPKLEGQYPVRAAHKACSLAFYCLSHNPKARPLMSDVVETLEPLQGSGGSDGSGQSSGLPDYRVRRRLTGNSVHYRAIPNPKCSPAVPTCRVR >Et_2B_020852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24245528:24247760:1 gene:Et_2B_020852 transcript:Et_2B_020852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVSSRPTPTLVLFCLSYLIFSIYVHHACALSFNLSFSNPRSPNLDTLIKCTGDAYLTSNTLELTTNSRVQSSTNSTGRAQYAQTVPLWDRATGEMASFTTTFNFRITPDSSNSLVGDGMAFFLGDPRSSAIPTNSGGGSLGLLNASTNGTGTGRIVAAEFDTFLNTANADISSNHIGIDINSVNSTVSKDTTSLSNNLTSGSTMVATVRYENATMLMVVDLRINNAAYNVSTTVDLRSYLPEDVAVGFSAATGNAGEQHQILSWSFSSTLEPKTAIMEPAPPPLSIVDPITSNHGRKGGTTLIAVVVPLLVLVACAAVGLLLWQRHKKRKSGEVSDDNDDQEQNYRAELERGVAASGPRRYTYRELAAATGGFAEDAKLGRGGFGSVYVGKLADRPVAIKVFSSESSAQGRKEFEAEVRIISRLKHRNLVQLLGWCDSRNGLLLVYELVAQGSLDKHLYSSDRLLTWQERYQIILGLGSALRYLHQEWEQCVVHGDIKPSNIMLDKTLGAKLGDFGLARLGDHGGAGWHTTKAVMGTAGYIDPEFVNTRHPSTRSDVYSFGIVLLELVCGRPPVILREGGEPPFLLLRWVWALYGKNAILQAVDERLTKGDAVGDEQRVEMERVMVVGLWCAHPDSGERPSIAQAMHVLQSQDARLPTLPPNMYRSVSDLAVVAPEYSSAFSIESYTGDSSKPSSESSSTALLGRSKELA >Et_4A_034400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32200215:32205658:-1 gene:Et_4A_034400 transcript:Et_4A_034400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRRLHAGVPRGSVTLAAALLAGRDAAPRTWLASAGLLCRLHDAAGDGTSSDDGTRPGPLTLYRNLVSQGKLTHDVYQENVASELQNLLRRLEQYEMEMEDYHTRLSIWEDSRENQRRRLLVEEAEGKQRDGVWIDEKKGFLEKLAMRRRRIIEPGVGKWVSYLNREKKLDKLVGQRPVAPVAPKGLYLYGNVGSGKTMLMDMFYGATKGVIKHRRRFHFHEAMLEIHDHMHAVWKKREDDKSVQSNAFSWISNLPFDEKIKEWLIGEEKYKQETQQKHILLAVADKFLVDRQANKTGASILCFDEIQTIDVFAVVALSGILSRLLSTGTVLDGMQRDIFLELLSKLDENCSKILVGTEKDYRRLIPTEGSTKVHYFWPLTSDARSMYETTWHDIINQTRGNVISVTIPVMFGRSIEIPQSCNGVARFDFEYLCGRPARRFITLIDELYNHHCQLICLASTSIDDLFQGTEEGPLFDLESFQFETEAEGTKLRRDVLAGGNVGSGPSTTGLVSILSGQEEMFAFRRAISRLIEMQTPLYLEGAQQVHPFFRQQGPALASNRTVSQSAPCV >Et_9B_065797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12598976:12602057:-1 gene:Et_9B_065797 transcript:Et_9B_065797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILARSKAVALAAALSRVGADAAPSLAGARALSSLPRCPAAAGSPLGLGKVFGYEPRSHLSGTQVLPRWFSTVPSNGSPTQKPQISDAQKSMEGATPKVVAFSPLEAAIAKPRSSPLTSESSKVKRSELATQVTFYMIPALLLASKNSISTSLLVGAVFHQIYMFHKEIFLDYVHHDITRKWALIYFKILLLVMAKDTIVLTRRKFVNEHFRAAASTMASSYESYDFPIVW >Et_6B_048273.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:5245936:5246265:1 gene:Et_6B_048273 transcript:Et_6B_048273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQYDFPPGILPQGVKGYTLSPDGSFEVDLPGDCKLHAVDMQILYKSRISGNIHNRTIHGLAGVQVNVFLTWIDIDQVDRIDDQIQFHTSMESKSFPLDNFANSPQCE >Et_3A_025251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28201637:28204726:-1 gene:Et_3A_025251 transcript:Et_3A_025251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQTMASQAGGGGGGGGVGGSAAQREQMQSLARQGSLYNLTLDEVQSHLGEPLHSMNLDELLKSVFPDGLDHDGATSSQHEQTSGLLRQGSITMPPGLSKKTVDEVWKGIQDGPKRNAEEGSRRRRERQPTLGEMTLEDFLVKAGVVTEGYLKDLNDVDNVGQLAITGAPGMTRGAQWLDPYQQQFTAIDLHQHGQQSMPPVAYMPSRLALQPLNVGPCAIMEPAYSDGNITSPMMGALSDSPTPGRKRGASGDVADKLMERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLKRQKELEKLLFSAPLPEPKYQLRRTGSAVF >Et_9A_061079.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:14420698:14420829:-1 gene:Et_9A_061079 transcript:Et_9A_061079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWNLVVPGAICLIPCKTFLFSGAFSQNVTSPSNGSVWGQTLP >Et_3B_028833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19595125:19595903:-1 gene:Et_3B_028833 transcript:Et_3B_028833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSISGLWSCTAERWHVVIYSCEGVTLHNATTVAPGKRRLRIRRPRHGAPARRPGPRQKVSERAGTSLFSLQFTQHSVFTATFGTPNGVHIKTRGTAAAACSYVRGVVFDNLALHDVNNPIIIDGPNHGCPSHNTVSDKIYVPLLTRCKKEDDADTSAFRLCLRATQESGVIVKISDVTYGNIRGASATQVALNFDSSAKPLHLQNIKHSSSPAPTARRHRQERARDGLCGVMPPSLPAACIELHA >Et_3A_025287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28519615:28521675:-1 gene:Et_3A_025287 transcript:Et_3A_025287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLFLEKLLAGLLASVVFAIAVSKLRGRKLRLPPGPVPVPIFGNWLQVGDDLNHRNLAALARKFGDIFLLRMGQRNLVVVSSPPLAREVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVQQYRAGWEAEAAAVVDDVRADPVAAAEGVVLRRRLQLMMYNNMYRIMFDRRFESLDDPLFLRLRALNGERSRLAQSFEYNYGDFIPILRPFLRGYLRICKEVKETRLKLFKDFFLEERKKLASTKPMDNNGLKCAIDHILEAQQKGEINEDNVLYIVENINVAAIETTLWSIEWAIAELVNHQEIQQKLREELDSVLGRGHQITEPDTHKLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLGGYDIPAESKVLVNAWYLANNPDSWKRPEEFRPERFLEEEKHVEPNGNDFRYLPFGVGRRSCPGIILALPILGITIGRLVQNFELLPPPGQDKLDTTEKGGQFSLHILKHSTIVCKPRAF >Et_9A_061837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1713862:1725027:-1 gene:Et_9A_061837 transcript:Et_9A_061837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRLLPAVLRRSDVGARLFASSSTLLFDDTQEQFKEGVRKFAQETITPHAAAIDAANHFGRGLDLWRLMGDFNLHGLTAPEEYGGMALGYLYHCIAMEEVSRASGSVGLSYGVHSNVCIDQLVRNGNPEEKHKYLPKLISGEHIGALAMSEPNSGSDLVSMKCKADKVDGGYVINGNKMWCTNGPWAQTLVVYAKTDVAAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPQENILGKEGKGVYVMMSGLDLERLVFAGGPVGLMQACLDVVCPYVRQREQFGRPIGEFQFIQGKLADMYTSLQSSRSFVYSVARDCDNGKVDRKDCAGVILVAAERATQVALQAIQCLGGNGYINEYPTGRLLRDAKLYEIGAGTNKKNRLLPAVLRRRSALAGGGARLFASSSLLFDDTQLQFKESVHKFAQDTIAPHAAAIDASNHFPRDVDLWRLMGDFSLHGLTAPEEYGGMGLGYMYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRHGSPEQKQKYLPKLISGEHIGALAMSEPNSGSDVVSMKCKADKVDGGYVINGNKMWCTNGPSAQTLVIYAKTDVAAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSVYVMMSGLDLERLVLAAGPVGLMQACLDVVCPYVRQREQFGRPIGEFQFIQGKLADMYTSLQSSRSFVYSVARDCDNGKVDRKDCAGVILFAAERATQVALQAIQCLGGNGYINEYPTGRLLRDAKLFEIGAGTSEIRRMIIGRELFKED >Et_2A_017518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35080362:35083138:-1 gene:Et_2A_017518 transcript:Et_2A_017518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCASTIDAFVQRGNNASSAPAMSSSRRTSSSTTTGKLSTISTSTFMPSTVSGVSTNDDYQEGQILESPNLKIYTFVELKNATKNFRPETVLGEGGFGKE >Et_7B_055635.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:18623140:18624393:-1 gene:Et_7B_055635 transcript:Et_7B_055635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSSPTDPPPAKRRNEPSNTTIHSLGEDLLLAIFLRLPSLATLVRVTLPCRPWRHAVAPSPAFCCRFRALHPPPLLGLFFQDAGAEQSPNTHAFPAFVPARRRDRDLTTAVRGGDFFLSSTNASAGTSSIASAGPSSS >Et_7B_053698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11182082:11186366:-1 gene:Et_7B_053698 transcript:Et_7B_053698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLPHPILTSRTLTLTRYLSSRPRCRGGAARVPTLALTPTPPPACVFRLCATASVSPPPPKHSALSEVFPYVAAEWETIAKGWAEGAAAEAVARAGLALAAFASARAAAAYAQQALLWEAALRAAGRLRERAFERLLERDLAFFEGRGGVAAGDIAHRITDEADDVADAVYSVLNTIVPTSLQFITMGIQMVTINLLLSLLAATVIPCMCVVIVNLGKRLRQISKEAHFSLAMLTAYLNDVVPSMLTVKANNGERKEILRFQKLARDDLKNNLGKKKMKTLIPQAVRATYISGLLLLCTGSIMVSGTSFDAEGFLSFLTALALVVEPIQVRDNPNAHHLEYVKGDVKFHDVTFRYTDDMPPVADGVSLHIRPGETIAFVGPSGGGKTTLAKLLLRLYHPQRGYILLDNHDIQEIQLQCLRTHIAFVSQDAMLFSGTIAENIAYRDPVRDINMIRVEYAAKIANAEEFIKMLPEGYHSNVGQRGSSLSGGQKQRLAIARAIYQNSSILIMDEATSALDIRSELLLKEALTNLVTNHNVTVLIIAHRQEMVLMADRIISLEGGKLREITKSAFLSQDGRLNLPEITSHN >Et_1A_006602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25132267:25141434:1 gene:Et_1A_006602 transcript:Et_1A_006602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSSSSTASGGRRRSPRVIVLSGLLLLLASSSCLFAAAEAKRNVITHIKGFEGPLPFHLETGYVEVDEEHGAQLFYYFIESERNPAEDPLLLWITGGPGCSALSGLLFEIGPLKFDVAGYTEGFPRLVYFEDSWTKVANVIFLDAPVGTGFSYSREEAGLNVSLTGSGRQHHTFLRKWLAEHPEFASNPLYIGGDSYSGYTVPVTAMDIALATNDNQEPKLNLAGYLVGNAGTDDRYDTGGKVPFMHGMGLISDELYEAARVSCGGDFYKTPDPSNAQCANAMMAINLVTFAVNPVHILEPFCGAALRRAPGCRDNGYRLSYIWADDPEVRAALGIHEGSIGSWSRCTMLTHFRHDLATVIPYHVNLTKAGYRALVYNGDHDLDMTYVGTQQWIRFTRTYAHNLTFATVKGGGHTAPEYRPKECLAMLDREICCWMFIFLCCLSSSSSSSSSSSQINKSSSRVITHLPGFDGPLPFHLQTGYVEVDEGNGVRLFYYFVRSERSPADDPVMLWLTGGPGCSVLTGLAYEIGPLSFDINSDAALPKLLYRPDSWTKVSSIIFLDSPVGAGFSYSDTEQGYKSSDTKAVNQIVIFLRKWFAEHEEFLSNPLYIAGDSYSGLIVPTVASQIARGIEVGSEPALNLKIQPQAEHKSSQKLLIMFFAYLVQGYIVGNPVTDSNFDGPSKIPFAHGMALISDETYKAYKNSCSVEDNRQQSMEIQEELEILLIYNKVNDKWFCLQDICPNHILEPLCAFASPHIYKPKLQLNSSVREMLQLQDYAPDSISNIQLSEISLQCRTAGYLMSRTWANIESVREALGIPKGTVPSWVRCNYYIPYTSDIQSSLKYHLDVTTKGYRSLIYSGDHDMVIPFIGTQAWIKYTRSYSNNLTFATVKEHWNSLSSQLPSCAPLGLLCQISRFLHNTMDDKKNGLDRLCWGGGHTAPEYMPRQCFAMIE >Et_3A_023260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1573920:1574528:1 gene:Et_3A_023260 transcript:Et_3A_023260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMWESKLPLKVKIFLWLLIKDRIPAAEQLKRKKWKGSERAVCWSLWLMRNDFVFRDLICQSPQATAHRATSLMQRWRCLFKKEDRTMVDGLIRDTIRYQLVPEPEEDTPQDIQEPTWMEEDEEMLQQVQSALLIDS >Et_3B_027656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10949903:10950244:1 gene:Et_3B_027656 transcript:Et_3B_027656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAPVIHNKKKCRAKTLKGPFTDVRYLRRSNRINDNLDGYKDKETPRYTALVTATPGSSAPPFLSTSNIQAVATGFLGMNPKAVTNDILNAEVSDDEVTDEE >Et_3B_030794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7472815:7478460:1 gene:Et_3B_030794 transcript:Et_3B_030794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFACHAVGDDQRVSERAHFVLVPMMAQGHTIPMTDMARLLAEHGAQVSFITTPVNACRMAGFISDVEAMGLKIKFVKLQFPATEFGLPEGCENVDMIQSRALLTNFMEACATLREPLAAYLREQRPPPSCIISDLMHWWTGDIARELGIPRLTFNGFCAFSSLARYIIVRDNLLEHVQDDNELVTFPGFPAPLELTKAQCPGSISDCRMEQIRKNMNEEEMRCDWIMNSFQELESLYITSFEQITGKKVWTVGPMCLCNQDSNTMAARGNKASMDEANCLRWLDSMKPGSVIFVSFGSLACTAPQQLVELGLGLEASEAVYLGDKFPEVEAWLAEGFEERVKDRGMIIRGWAPQVMILWHHAVGGFMTHCGWNSTIEGICAGVPMITWPHFAEQFLNERLVVDVLKTGVEVGVKGVTQWGHEQKEIAVTRDGVETAVSKLMDEGEAADEMRMRARDFGLKARRALEKGGSSYNNINLLIQEMGRRTNASVSGNWNASKSTHFVLVPLMAQGHIIPMTDMARLLAEHGAQVSFITTPVNASRMAGFINEIWTAGLPIQFVKLHFPATEFGLPDGCENFDMIQSKDLLKNFVDACAALREPLAAHLREKTPPPSCIISDVTHWWTGDIARELGIPRLTFTGFCGFSSLVRHITLRDNILEKVEDENEIINFPEFPTPLELTKARCPGNLSIYGLEQIRKNIFEEDIRCNGLVMNSFQELEALYIESFEQITGKKVWTVGPMCLCNQDSKAVATRGNNASMDEAQCLQWLNSMKPGSVIFVSFGSLAYTAPEQLVELGLGLEASKEPFIWVIKAGDKFPEVEDWLAEGFEERVKDRGMIIRGWAPQVMILWHQAIGGFMTHCGWNSTIEGICAGVPMITWPHFAEQFVNERLVVDVLKTGVEVGVRGVTLWGHEQKEIKVTRDAVEMAVSKLMDEGEAANEMRMRARDFGLKAKKALEKGGSSYNNINLLIQEMGRKTNASG >Et_4B_036214.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:10896128:10896634:1 gene:Et_4B_036214 transcript:Et_4B_036214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAASSPVPAAVLAAPSAAAAVKPLPRATASAATNGRRDVLAGTGLSAALALVLAAAAPAARAEDEEYVSETKEVIGKVRSTISMDKADPNVADAVAELRELSNSWVAKYRREKTLLGRPSFREMYSALNAVSGHYISFGPTAPIPAKRRARILEEMDTAEKALLRGR >Et_3B_030518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4510396:4512658:-1 gene:Et_3B_030518 transcript:Et_3B_030518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIISAQTGYCISGAIMANSGAFLLSASWRSLTIFSLVAVLTKTAAAVDQEKLDCPFFSCGHLQDIRYPFRMQGDPPGCGVQAYELVCSDNKAIIYINTGRYFVTNISYTESIFWVVDANLDNSSCPIPETNQHPYTDGLQSEDTIQLYPDATTWAAFVNCSQPVGIGSNVVSNPDTCFSVTYKPVGCRNTKNSFVYVWTSSYACRVGNMEPSCRYLSMIPLGSSLVMVPDNANYEDVISAVIGIDLHFLICVNYYSYRSKLFWAAVAIISAVDTVKFMIVLAILARFIFAPLAVLVFLTYKYWKTKISVDAVETFLKIQQDLSPKRYAYTDITAITGHFREKLGQGGYGSVYKGVLPGNVHVAVKMLSNLLCNGDEFINEVSTIGSIHHVNVVRLVGFCSEETRRALVYEYMPHGSLDKYIFSSERSFSWDKLNQIALGIARGINYLHGGCDMQILHFDIKPHNILLDNNFTPKVADFGLAKLYPRENSFVPVSAARGTIGYIAPEMISRSFGAISCKSDVYSFGMLLLEMAGGRRNLEQHAERRSQAYYPVWVYNQLTRQEVTEISESFDIHEVERKLCIIGLWCIQIMSHDRPTVGEVIEMLEAGVDSLQIPPKPFFCGDEQNSVEDTSYFSS >Et_6B_049541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6278962:6280312:-1 gene:Et_6B_049541 transcript:Et_6B_049541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCKHLTALQHLTLSGSRSHKKMTRLSDEQEQALLQLTSLQEIQLMCFDDLLSLPAVLHFLSSLKKLDIWYCPGICRLPELGLPLASLDELVIRGCSEELNKQCRLASTSKLKVIIDGEVLDVLMVEDSSLEQRGCQQVARIHFQVSRI >Et_10B_004307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3383571:3384354:-1 gene:Et_10B_004307 transcript:Et_10B_004307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNGLARSTDAAEKPAAMPDPDLSLSSPAIDAACPATRRVTRSAVTGAGAQRQQQAQKKARRCWSPELRHQFVAALERLGGPQAATPKKIRELMKVDGLTIDEVKSHLQKYRLHTRRVSSDGGDQQSAPEQQNTTSQHSTSQSGSPQEPLQLTVSSRVVSVPGGDSCDGDEEEDGKAESYSWEMQQRATKSSSS >Et_7A_053014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4914357:4915417:-1 gene:Et_7A_053014 transcript:Et_7A_053014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAASAPDAAASVATAATTVGEEGGKEAGDVLVQYVVLRRDLADTWPLGSVVAQGCHAAVAAVWAHRDHPDTAAYCGPDNLDRMHKACNWKSRVTLEVKGETQLKNLAEKLEAAGVRHKL >Et_1A_009547.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:7889028:7889549:-1 gene:Et_1A_009547 transcript:Et_1A_009547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGDCQFLVPPARPHQSFDEHGGNQFLHQLMMAGDQYEPAGDAGSGGGGRERKRRFTEEQVRSLETTFHARRAKLEPKEKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDYAELRAQYDALRDRVEALKHEKLALAAQVRTCLDGFPCFICTQSPVLAQLRVLMISLPE >Et_7A_051223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15779934:15782483:-1 gene:Et_7A_051223 transcript:Et_7A_051223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAFQELERRSRYLSALVRRTKLADPPEAEPKPETEAEPKMKAAEPSVCEGKGGNAEAEVKERTQVVKAKGEGKRAEERKVAVRVRAADMPLPLQRRAVRIAAEAVAAMPKLESKRLALALKKDFDTTYGPAWHCIVGTSFGSYVTHSMGGFLYFSIDKVYVLLFRTAVEPLDNPR >Et_1B_012679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34306459:34308439:-1 gene:Et_1B_012679 transcript:Et_1B_012679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGELGSPPQHQEESGSELELHRLPTFGSDTDTEDEDDPYFKSLVDSFTSAAEDACKPNLPEDNIDYRVCHQRQSDLYAKSALLHYNADEKNRLISAITSCGIVGDKGCYGHVNFTAKGDQENSEEELFFAELSTQDYLTFLPTCVLSLEGGGLCGTRYDNFSGRGMPIDAQHCYACGPRLKHPKNGALYKSGHVAYVGKNMFVLRSGSEITPTPHPPVESAWMLG >Et_4A_033862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27415422:27416090:-1 gene:Et_4A_033862 transcript:Et_4A_033862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRVAAALLLALAACLASFPATTTANKISINWKPNVNYSDWLEQHKPFYKDDWLVFYYTAGQADVVQVDETGYNKCDSSHAIYNYSKGRNFAFQLNETKTYYFICSYGYCYGGMRLAIKAEKLPPPSPPPSGDRSGAATGLAAFAWAHAPVLYAAVAVLAALLRMV >Et_7B_053351.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12747885:12748037:1 gene:Et_7B_053351 transcript:Et_7B_053351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGARRGLSVQVTDRAVAHRALVQHSAAFLDRPTGAVTSTVLTRNRHHS >Et_3A_023180.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:6123112:6124156:-1 gene:Et_3A_023180 transcript:Et_3A_023180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLADALIAKTRAIVRGGTTTSRSPRLIDLLERGAGGGGATSSSGDVVGGELALGLVSCAAAGLAVEEGLAVLVQLQLGDNHLGGVDADVDGGAVHLLAGDPLDVDDPAAAVDLHHLALTALVGAAHHLHLVVLADRDGAHVVLVAELRRQRRGHEHAADGGRGLEVRLAALPAGARDAGVALHGDGGLARSLPGRRRRRRRLGFCGWGSVRRRPPAV >Et_1A_006728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26927432:26931074:1 gene:Et_1A_006728 transcript:Et_1A_006728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSHNGHNSRTCPNRGVKIFGVRLTDGAAIRKSASMGNLSLLSAGSNSGGASPADGPDGVADAAGYASDDFVQGSSSGSRERKKGVPWTEEEHRRFLLGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQSNMGRRKRRSSLFDMVPDESMDLPPLPGSQESEAQELNQPPLPPPREEEVESMESDTSAVAESSTASAVMPENLQASYPVILPTYYSPFLQFSVPFWPNQNDGDDPPQETHEIVKPIPVHSKNPINVDELVGMSKLSIGESNQETVSTSLSLNLEVKVDSLHSMQIPKRRLRHDPRQHTNCMNR >Et_6B_049837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14597153:14598081:1 gene:Et_6B_049837 transcript:Et_6B_049837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAGSTSWHELGSSPKTKLSLVPNQPYIFFPFHDRTYLVSSGSAIAKLRAASSSSETPNLSLVALPDGVKYSLRPRGKLVAWADSSVICLFKLGTKRLRLRVWLYRMNSVICLRTLLTDSSVTAMVSQDGRLRKNIDLVIQTVVSPRSAMWVLLQVGTDFLHINTKSRIAEKVYTETPEDGDSIKLVPFNMIFPPLFPY >Et_3B_030514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4547816:4549622:1 gene:Et_3B_030514 transcript:Et_3B_030514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDQAAAVMPEKLKLFMGVLALQFLLAGFHIVTRAALNMGISKIVFIVYRNIISLALLAPFAYFLEKKDRPPLTFSLLVEFFLLALCGITANQGFYLLGLYHLSPTYASAIQNTVPAITFVMAAVLRLEQVDLSKRHGLAKVVGTVVSIGGATVITLYKGLPLFHHNLNIKSLVTLSSSSLILNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVLSLTCVFGLFQFLVIAIFTEEDLSKWKVHSGGELIIGAVLIVIGLYFVLWGKSSENKAMSQDVAQGGGDITRRLLEQDSKDEEAPIDLA >Et_5A_040623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10530376:10531079:-1 gene:Et_5A_040623 transcript:Et_5A_040623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGPHASKTIRSILSPINIPRVFSAVNPLIPTLRVFNGCYGISSDNDSPCTISKQCLVDKGLTATVLWASKKHDSQRKPQGRVPHDYSQLNLWQGALQLLRQSSHYEMAFFAADAASSGMALETMSSRASRDADVWFRNSGWAFNISSVW >Et_1B_012154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29427751:29448584:-1 gene:Et_1B_012154 transcript:Et_1B_012154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKGVADLLRKTAPAAPGGSGAGGSGGGGGGGSDRGSPSVDRVAAAPSPRVRFSDSGEEGVLNLLWQKYETAIDKAEKKKSLQLFALHFVKVFKDWDPDHTGHSVDQGSLSDDTVLGCYIGHPSEVILILVQEISQITSSITESSSCPDSSANISELLNDMGLSTEGLTILECLTIITRSVHNCKVFNYYGGVQKVTALLKAAVVKLKTLTSLLAADEQLSNKTVENMRMMQKILVYIVTVISNFMDLEPSATKISQFINTSGLSSNYLTTVTPSPTKSIDINWQKKAIVSVMEAGGVNWLVELLRVIRRLNLKEQWTDLSLHFITLYALRSTISENTRAQNHFRSIGGLEVLLDGLGLPSSKFSVSKQSSVPSDERNGILQLQILSLEILREAVFGNVNNLQFLCENGRIHKFANSICWPAFMFQEFHQQKFLDHKAVNLKLDKEGTGTSPPLEYLSNPVDILDTTEWNEYSVKLSVALNSFLLPPKEIKYCRGASDASRISLSISLAYWEQCARWIIKVLSTVFPCIKACASETELPNHIRSLANTLQHYMLCTFRKVLISAPALLKSFREEGLWDLIFSEKFFFFGSPVDCINPIIQETWNDQLIDASESTGSKSSNQADASILQVEAISFLEFAATLNENSNNLPECSALVGALDHCIYDPGLAGAIIKSFHVILQLAPEQTLASFKSIDVLTRVLKVACVQAEELRKLSHPLDDLNENGFQSKNFQTPSDERVRNSRTCVELAFNLFKEYVTISDLGRIAILHNASCVECLFDLFQEENLRKHILEQVLALFRLPPSSPQDHAAKLQLCSKYLETFTRVKEKEKGFAELLIDLLINMREIILIDRMYYQNLFRNGECFLHIVSLLNGTFDEIVGEQLVLNVLQTLTALLAENDESKVAFRMLVGVGYKTLQSLLLDFCKWVPSRKLLDALLCMLVDGAFDINEKTTIKNEDVIILLLNVLQKSSNSLQRYGLMVLQQLLKGSITNRTSCFRAGLLSFLLDWFSVEEGDDIVVQIAELIQIIGGHSICGKDIRKIFALLRGEEIVVKQQHSSLLLTSVSHMLKEKGPEAFFEFSGHDSGIEIKSPVQWPYNKGLSFCCWLRVEDFPENGMMGLFSFFTENGKGCLAMLGKNTLIYESVSQKHQCVLLPLSLPTKQWKFLCVTHTIGRTFSGGSQLRCYVDGDLVSTEKCRYAKVNEVMTRCSVGTELMPIGEEPSSLGFESTFAFTGQMGPVYAFSDALSSEQIRGIYNLGPSYMYSFLGDQNLLMNDDTLYKSILDARDGISSKMIFGLNAQASNNRTLFNVSSVLDNLDKSKFEATTMGGTKLCSRRLLQEIIYCVGGVSVFFPLLIHFDDAVIHDGESATSDELAGQVIELIASVLDGNVANQQQMHLLSGFSILGFLFQSVSPQLLNFNTLSALKYMFNVLKNSGMSEVLLKDALSQFYLNPHIWAYATYEVQRELYLFLLQYFEDDGNLLPILCGLPRIIDIVRQFYSDKVDPRSSKPSLHLVTKKVIGERLSMEEIRKIRLLLLSLAEMSLKLKVSQHDIRALVSFLERSQDVACIEDILHMIIRALSQNSVLSSFLEQVNSLGGSYIFINLLKREFEPIRLLGLHFLGKLLVGVPSERKGTKLFGLPVGRPRSISEDIRKGAIAAPQLFFYSISERLFKFPLSDNLCATLFDVLLGGASPKQVLQKRSQFDASKDKSSTSASLPPFFVPQILVCIFKYMQSCQDASARTKILSDLLDLLDSNPSNIESLMEYGWSCWLDTSVKLDVFRNYKSVSVSKGTSLEINELILVRNMYSLVLSYCLFSVKGGWHHLEDTTNFLLLKIEEGQLPNSCLLRDIFEDLVGSLLEASSEESIFISQPCRDNILYLLKLSHELFLDQIGIKLLFPLPDMSAQVSSDDSLKEDISTVVAEIMNTEISSQLTSLPWSNTLFADGEKLSDDWWGFLDKIWTLLCNLNGKGQNRLTPKGSTAAAPSIGQRARGLVESLNIPAAEMAAVVVTGGIGSALSGKTNKIADKAMMLRGEKFPRIIFHLMIMYLCKAVLEHASKCVQQFISLVPSLISEDDQSLLRVRSLYGELDDGARFHVISHLILETIIYGKSMLATSMLGRDDSTEPNSNKEAGFILNLIQKDRVIAAATEEVNYLKDAKADRVRQLQELHSKLDERSIEDIEQLQSFEDDIQFAKAAAISADDSRKAAFQLAFDEDQQIVADKWIHIFRALSDERGPWSATPFPNNIVTYWKLDKTEDKWRRRLKLKRNYKFDERLCHPSFTKSSNDNTASSVEASVNAKIPAKMKHLLLKGVRGITGDVSSESYEENTDMSESSQNNLSENQGTSDVVDSADSSDYSTIVQNRKETSSTSDDNDYIEVLSSVHCVLVTPKRKLAGQLTITRNALHFSFEFMVEGTGGSSVFDRFQDKKDSDFKDSKPELGGSEKLKGNLDVGRGNAAESCDTLIKNQLNKIKHHRRWKITRIKAVHWTRYLLQYTATEIFFDDANAPIFLNFSSQNDAKSVGSLLVSLRNDALFPKGTSKDKNSLISFVDRKVALEMAESARESWRRREISNFDYLMILNTLAGRSYNDLTQYPIFPWILADYSSEKLDFNKSSTFRDLSKPVGALDAKRFKVFEDRYLNFVDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTALHRGLQGGKFDHADRLFQTIESTFRNCLSNTSDVKELIPEFFYMPEFLENSNSYHLGVKQDGEPLGDVGLPPWAKGSPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGKPAVEAANIFYYLTYEGAVDLENMDDMLQKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPQSITVTSIVPSTSTPSSSVLFIGLLDSNIVLMNEGLILSVKLWLTTQLQSGGNFTFSGSLEPFFGIGSDVISPRKIGTSLAENVEFGRQCLAAVQIHGDNYLILCGNWENSFQIISLSDGKIVQSIRQHKDVVSCVAVSSDGSVIATGSYDTTVMIWHAFRGRSVDKRSRNANYDLSTKDHVIIESPSHILCGHDDIITCLFVSTELDIVISGSKDGTCMFHTLREGTYVRSIQHPSGAGLSKLVASQHGRLVLYSDSDLSLHMYSINGKHIASSESNSRLNCMELSCCGEFMVCAGDHGQIVLRSMRSLDVVWRYEGSGKTITSLVVTPEECFLAGTKDGSLIVFSIENPLLRKGNMQRNKTKSSASG >Et_2A_016968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29949927:29952858:-1 gene:Et_2A_016968 transcript:Et_2A_016968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAAGTSNGGSGDAGGAARRNTRMPKYSKFTQQELPACKPILTPKWVVSVFFVVGVIFVPIGVVSLLAAQDVVEIIDRYDEACVPSNMTENKLAYIQNSTISKNCTRTLTVTKEMKQPIFVYYELDNFYQNHRRYVKSRNDAQLRDAKKPNQTSACEPERYTADGKPIVPCGLIAWSLFNDTYSFTRGNENLTVDKKDISWKSDREHKFGKDVYPTNFQNGSLIGGAKLDPEIPLSQQEDLIVWMRTAALPTFRKLYGRIYIDLKKNDTITVNLLNNYNTYSFGGKKKLVLSTATWLGGKNDFLGYAYLIVGGLCIFLSFAFTLLYFIKPRKLGDHNYLSWNRHPGGR >Et_3B_028225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1252939:1256109:-1 gene:Et_3B_028225 transcript:Et_3B_028225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTRLHRSLVGGDEEEQPEDSILGDTEELCSLSPLQRVYAFAICLVVGLALMILSLLVFVRPIKFAVLFTFGNIMAVGSTAFVMGPQKQLRMMFDPVRVYASAIYVGCVILALIFALWIHDKLLTLIAIICEICALFWYSLSYIPFARRMVSDLMVKLCDTELAEITRMF >Et_10B_004376.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:5199492:5200196:1 gene:Et_10B_004376 transcript:Et_10B_004376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMRPPPPLLACALLLFLLAAAAVAPPAAAVCVPRKPGKPGHPANPVPGKTTPPLPKPKPAPPAPAPPKPAPFLPGADIVKSLCLKTDYPDLCLSSIAKQPPPQLPGGKRLDAAGVLRLAMGAVRSAAGAAKKSAAALAADPKTQPLARGPLGDCVESYDDIAYSLDNAEKAMAGGDRDTTGTMLDTVRTDVDTCDQGFEEREELKPLMAKEDAELAKLASNCLAIASAAGLR >Et_2A_014952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23115838:23117262:1 gene:Et_2A_014952 transcript:Et_2A_014952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGREGREERDGAEPTRRVVLGKYELGRVLGQGTFAKVYYARDLSSGGGAVAIKVIDKARLRRTEGMVEQLRREISVMRLVRHPNVVGIREVLASRSRVFVVMEYARGGELFAKVARGRLTEDHARRYFQQLVAAVGYCHTRGVAHRDLKPLRHDGLLHTQCGTPAYVAPEVLRKRGYDGARADLWSCGVVLYVLLCGCLPFQHDNYVKMYQKIFKAEYQVPPWVSDPAKRASVGEIMRTPWFRKGFVPPKWADEDFVADALLPGAAGEGSDSENSSSSSCPSSPRTWNAFQLISSMSSGFDLSGLFENEQKAATVFTSRSPAAAVADKLESAGRALGFEVARGKGWKVRMEAKADGTSGGLAVTAEVMAVAADVTVVEFAHEAGDELEFNRFCAVDVRPKLADIVWAWQGDTAHPTSPAAAVGCA >Et_6B_048896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15114550:15128929:-1 gene:Et_6B_048896 transcript:Et_6B_048896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIRAAARAVFLLDHDGDTYEALKQAHVLAAEHPESAISQSLVGDLRYANAIRAAAGEGSAEAREAEAAVHLRAARDALSATYRLAFDCVDIAVARGDVFCASKMYGLAESEFRRAQRILYPVDPAVNNATYGLYRDEDQEESTAAERVEEARERARSLYASMAVEKLVPIAVERVLDVARNHGAMEGRRQAKRVAESFPNLGRAQYLQAYMDLEFVRGLDAAIDRTAFLRRTLTIAERVAQAFPKSAVIASFHARLLFVLGGYDAAERECRRALGMKEPDDLQHDCIPPGSISGQNRDAKLCQGKRVMEGRSHLPKTKRQNGNMLLESIKERMKTLPTDKSTSECAEALPEIHMFWHSFLRASVMDYRAIILILARTFLWKELKKCMTEDKKAAAKFISGADIDEVFTKEEASIFTKEEDTDGKRATSPRRGGSISPNTPGAPQPSPMDDIRAAAKAVLLLDHEGDTDEALAQAHVLAAEYPESAIAQRLVGDLRYAAAIRAAARDGSAEAREAEAAVHLLAARDALRATARLAPNCIAVAVALGHVFCASKMYGLAEAEFRRAQRVPCSVEKLLHGLCGDDDEVESTAAERVQEARERARSLYASMAVEKLYLQAYMDLEFVRGLDAANDRTAFLRRTLTIAELAAQAFPNSAVIASFHARLLFVLGGYDAAKRECRRALGMKEPDDPQHDCIPPGSINGENRGARLVSLACEFDELLNRILVLASKNSMSSERQREFLFVRLDVLQDEYNKVDPSYAFAVSDVQSFVKEKGSWSGVGAKPFAEIRETKRQNGNMLLESIKERMKTLPTDKSTSEFAEALPEIHKLWHNFLRASVMDYRAIILTLARSFLWKKLKQCMTEDQKAAAKFISGADIDAVFTKEEASIFTKEDDTDGKVIDSHEESEVHAADESSETTVNDAELSGPPVNVTESVDDLDTKVKNLQIDPNSDGSITTSEASSIEEAENFATNVLPPGFLMIHDASRGCQDDKDEDADLTGWKQPGSPALNLLHTNIKTRADHPALVEPAVQFNHDLTSSVVINILKLANVAYRKDQ >Et_3A_025854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33357324:33359758:-1 gene:Et_3A_025854 transcript:Et_3A_025854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVSTARVQGGLDYLNSEESGDASQATAIDVVERLLEEDDRDSSQKVRNDQVSRTKSTPMLGTKVAQCLAKRAECSSPFQKSGSFDWVDTPNNDECTASIISKKKQRIHAITQVKQLAALTYGGNGSGTGAGFIPEYIEDNAGENSCKQLEPVASTDDLYEAYDVGPSTQMAAEAMEALSSASTVNFIVRENGHFDGSNLIRSLGKERNADKMPSVESPVENRISGSSSSFVKHPSKSESRKHRKIVSGKLRRSMGSGIMQGTINHEVSEGMMGSGADNSNFLDSDAVIHPKRKRTYMFISGSSKIQFNKGSRSTTVSTPDPYFKQLAGPKEQPRSLRKDHNSSLTRRVPLRTRRVPLRELNSTPQSSALISEKLQKRGLLKSPGSRELAKVSPVLPSSRQRRNMSKIRVLLSQSMDKETIKLQTKILTYFGLPVATSISDATHFVAEKFARTRNMLEAIAMGIPIVTPSWLECCGEARCFIDEKKYIMRDVKKEKELGFSMPASLSLACKKPLLEGRRVLITPHAKPSKEVLKSLVTAAHGQPLERITAPMMKNKNFEGTIAISCEQDHNICLPFIKNGWCRTYFLPTVRSLLLPLFQSLRNKLCTIFAGFEVFDSELLLNGIITQKLEFER >Et_8A_058065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17040665:17043955:-1 gene:Et_8A_058065 transcript:Et_8A_058065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASFALALLLYLGLDLPEAAPAQSYAADPESVVEITYGAAIKLMHERTKFRLHSHDVPYGSGSGQQSVTGFPNVDDANSYWIVRPQLDSSAKQGDPITHGTIVRLQHMRTRKWLHSHLHASPITGNLEVSCFGGESESDTGDYWRLEIEGSGKSWRQDQRIRLRHVDTGGYLHSHDRKYTRIAGGQQEVCGVADKRPDNVWLAAEGVYLPVIQRK >Et_9A_062746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4043730:4045255:-1 gene:Et_9A_062746 transcript:Et_9A_062746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRIRRTSAVTTTLLPITNLAPLPLSAFDAMFVSSEVPLNYVYMFPSPTIAFPELAESMKHSLSQALQHFHPFAGELTYLSSSRTIAIAFPAENGTARVTFVEAETDFGVEALLDADQELDTEAVRLLVPDIRRDVLPAPVMAVQVTRAVGGVVVGLALDHTVADGHGLFHFLNAWTAAATGRSGSSSPNPLPLHDRNLVRFDGDEEFYRAVLRHFTPNLPRVKEPKIHPTTKEHQRPVVHQTFVFTATALQQLKRRHVAATNRDEQEQLAPSTFVTIAAHGWVSFSHAISGSSADDMPVFIMFLVDCRPALSTSLLPADQVYAGNCVIFCKVGLKGSELTAPDGIARARSTLTEAVKEAKADPLKYKAEFIADHRGDRIFLVSGTPRFGYELDVGVGRPARIVRACLGYHSEACLMAGREPGSVHAMVAMPAESMPAFRREFMVDGVHGRP >Et_7A_052040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:428132:433230:1 gene:Et_7A_052040 transcript:Et_7A_052040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQRAALLRESLDKSKQVTDAVVSILGSFDSRLSALDSAMRPIQVRTHAVRTAHENIDRTLRSADVILTQFDRTREAEREIQKGPHENLQGFLDAVDRLRSIERFFSSNRSYRSSDGVLNHVNALLSKALVKMEDEFQKQLSQRSKPMEPDRLFDCLPSTLRPSSESQPEGGRHPSGASENQEAAIYSPPALIEPKFVPLLSKLAQQLVQAGCQQQCSEIYSEARASALESSLKNLGVEKLSKDEVQRMPWEILESKIGNWIHFMRIAVKLLFAGERQLCDQVFECSQSLRDKCFAAITKNSLATLLSFGEAIAMSKRSPEKLFVLLDMYEIMCELQTEIDTIFVGESCSQMRDSSVSLTKCLAQTAQKTFSDFEEAVEKDATKNIHTDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFKKEDGTGSELANVTMSIMQALQNNLDAKAKQYKDPALMHIFLMNNIHYIVKSVRRSEAKDLLGDDWIQRHRRIVQQNANQYRRIAWSKVLQCLSGQGLTSSGGSGQVGSDGGNSSGASRAAVKERFRSFNILFEEIYQKQCGWSVPDTELRESLRLAVAEILLPAYRSFLKRFGPLIENSKAPGKYVRHTPEQLELLLGNLFEGKQEHVRREMEVTAMEEEVLREKANLLRDSIRRSQTIHREASAALTSVAGHMAAIDDAVLPAQVTLISPCFVSPAWRHVLYDGCVAMA >Et_9A_063255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17762753:17763214:1 gene:Et_9A_063255 transcript:Et_9A_063255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIKYEDRDSTYEQHHDPIGHKLMLRMQEALDEVSNGACKTFVNLRRVRFPCPTMVKPNGCIFLTMRFIEYYTADDGCLENVVSPFKSLELRADYLHYLLFHEENGAVLPDEFKEYIDPGVPC >Et_2B_019169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15397170:15398680:1 gene:Et_2B_019169 transcript:Et_2B_019169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSTSRSVPSSPAPTSRTGSSSPRRRARCGGTRTATSTATVHGAIVIHPMRGAHYPYKKPHKEIPVVLGEWWNEDVNHLLEEAKRTGGDFKPSEVNTINGQPGDLFPCSEKDTFRMAVEHGKTYLLRIINAGLTNDMFFGIAGHRLTVVGTDGRYLKPFTVESIMIAPGQTMNALLVANRACTDGSGSCNSRYYMAVRTFATNTAIPFNNSTATAIVEYADAPRSAGPPDLPNLPTVTAEHTVDVPAHVDEHMLVTITVNVMPCLPNQKCEGPNNQSLAASLNNVSFVNPTVDVLDAYYRSIKPSVVFNFTDEDVPPAFWVTKKGTKVKVLEYGTVVEVVFQDTGILGAKSASASTWWAVGLVTLTGTRTRPPTTWWTRRTKTRSPCQRPGGLQSVFGLQILHSGVWFVHCHFDRHTVWGMDTVFIVKDGKAPKAKMMLRPPTMPKC >Et_6A_045930.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:82767:82976:1 gene:Et_6A_045930 transcript:Et_6A_045930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLVDSSTRGTGTPSETHNIWSFLSLFKSTVDSQTFAVTNVMDLVQVNTEPSFLMSRATYPPYVLVRG >Et_1A_006916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2961699:2964601:1 gene:Et_1A_006916 transcript:Et_1A_006916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFPSPTAHAAMAVAVAAPAAACPRLLVGAHFAATFSLAARARRHGRAVAAVRAREQGAAPPDPAAILRRPEVATTAPVEEEEEEETETDAEPSYHVSAEDEAPEEGIPGRRKEPEREWVDWEDLILEDTVPLVGFVRMILHSGKYASGDRLSPDHEKAILERLLPYHPQYDKKIGCGIDYITVGLHPEFENSRCLFIVRKDGEQVDFSFWKCIKGLIRKKYPLYADSFILRHFRRRQDY >Et_1A_006531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2420902:2422721:1 gene:Et_1A_006531 transcript:Et_1A_006531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DQVLGGQEEVRDGSHLRIRLQHPQRSRCPNPVPKAPGALPSSMLGYEALTGSLDDFGFEDYLNMPQDSDNLRQPDMHHGMEVRLGLSKGPICASFN >Et_6A_046355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13622989:13626831:1 gene:Et_6A_046355 transcript:Et_6A_046355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCRHFNPPAVPKSATIGPNNSGTLQATAPPTMAVLLIVILFIFNLASASAERKQVTALPGFNGVLPFSLETGYVSVDEENGAELFYYFIESEGDPGSDPVLLWLTGGDRCTVFSGLVYEIGPVKFIIEPYNGSLPQLRYNPYSWTKAASILFVDSPVGAGFSFSRNPKGYDVGDVSSSLQLVTFLAKWFTDHPSYNTNPLYIGGDSYAGKVVPFLAQKITEEIEAGSRPTLNLKGYLVGNPRTGENIDYDARVPYAHGVGIISDQLYELLGQVYRAHILYDSCILVSHGPNKDTANRVILKEEAGLGLLKNPPPRPPLGCVTYGNYLSYFWANSGIIRDALGIKKGTVDEWVRCHSEDLPYSHDVKSSIAYHYNVTSKGYRTLVYSGDHDAVVPFLGTQTWVRSLNFSIVDGWRAWHLDGQSAGFTITYSNNMTFATVKGGGHTAPEFQPERCLAMFKRWILNTPL >Et_8A_057982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1087042:1092402:-1 gene:Et_8A_057982 transcript:Et_8A_057982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLGGGGSSRGDHHPSVNPSSGADSSSAAAYLYSTASAASSRGGFQLWPHHPPVQEHSFYAPSNIIRFAADDPAASHGGGGRGSGSGGFGAAGSISCQDCGNQAKKDCAHMRCRTCCKSRGFDCPTHVKSTWVPAAKRRERQHQQLTGDAAAEPSKRPRDAHPSSATTSSGEQQQSMFPREVSSEAVFRCVRLGPVDEADAEVAYQTTVSIAGHLFKGILHDVGPDPSYVAGGAGAMMTRHHAAEAAGSSPSTADAATAAPVSSSAVVMDPYPTPGPYGGAPFFHGHPSVRSEKGPNWSQWM >Et_1A_009566.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:8252253:8252708:1 gene:Et_1A_009566 transcript:Et_1A_009566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATACVAPPSTSTSSFLLLRRRLPAPAPASAFRGLGPAPHRRGRIQVALTSEVSSDDVAADEAAAAAKIGRRVRVTAPLRVYHVVKAPNLDVQGMEGVVNQYVGVWKGKRITANTPYKVEFHLPVEGQPKPVRFFVHLREDEFEFVDGQ >Et_6A_046534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16711853:16718398:1 gene:Et_6A_046534 transcript:Et_6A_046534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAVTPVLVDIPTPTTTFDVRDYAKLAENYENCRNEIIEAMIRDTDVSFTFTIDGKEIIVPVTPGKDPTSNAAYTIRITDGETNLDLVGEKYQNWFRGIVTTIGARFETDDDQLPKLIRGAESLHTDGMYPDLVKDEVENVKVGFHPFLDAFYTLATYTGGYSKKAKAAIAVMVIMFFEGPRLLQVYKLHKELLADINATKLLGENNKYLIGDWCDKSKTIYEESSSTKKIVITDETPQNVKEAAEALRIMCRSQWDKLREKQEAEAGSSKRGRWRGPDGLDGQLGGRGPGGPGRRGSGGGSKQRPCGRGEGGGRGSAYQQRGVSGGSQGRGGGEVVPAAASVSARPPPPTAAASSSSAPAPPPAASSALVVADPAPRAPTPRPRAPAPAATSGAHAPAAESEAEAAAYQQRQAPPVSSKGIAHPARPGVGTMRKKVVVRANHEIVHYDVSINPEPKAKSTNRELLSDLVKAQAATSLGRKTPPYDGRKSLYTAGKLPFRSINFVVKLGKERREIEYKITIQHVGNANLYHMQEFLHGRQRNSPQDTIQALDVVLRESPSLKSFFSKAFGRSDIGEGLECWRGYYQSLCPAQLGLSLNIDISSTAFYKSIPVIEFVQNLVQGINARQPIADRDRLKRKKALRGVWVETTHVKRSVYKITGINSVPLAQLNFSPNEDGQMTVVQYFASGYKYHLQYTAWTCLQSGNYSKPIYLPMEVCQIIEGQKYPKKLSGQQVTQILRATCEHPQDRENSILQIVSHNYSADRLAQVFGIHVVNKMAEVEARVLPPTMLKYHESGREKTCAPSFGQWNMFNKKMVNGGNVRRWTCLNFSRWQTDKARGLCGDLAQKCNSMGMVFNQTPVKEICSASPNQIEAALRDVHMRAPNLNLLIVILPEVTGHYGTIKRLCETELGIVSQCINTTKSKISNRYFENVALKINVKVGGCNTVLEEAVQNILPFVSDTPTIIFGADVTHHAAGEDSSASIAAVVASMDLEVTTYKALVSAQAHRQEIIQDLFWTGTDPEKGMPVNGGMIRELLSFYQKSRRKPGRIIFYRDGVSEGKFSHVLPHEMDAIKKACASIQEGYLPNVTFVIVQKRHRTRLLPENHGRRNLIDRSGTILPGTVVHTSICHPSEFDFNLYSHAGIKVPIRQLGAAHTQVFNICQAVHDVSKYRRTKYPKKLSGQQVTQILRATCERPQKTIGS >Et_6B_048249.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:17621001:17621259:1 gene:Et_6B_048249 transcript:Et_6B_048249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMHGSYSPDSGRAAVGVIIRDEHGRVAHSAWRVLFRCSNAEEAEVEACLDGLRLAVDWIRKPVILCWTR >Et_1B_009752.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:24053559:24055100:1 gene:Et_1B_009752 transcript:Et_1B_009752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCVIQSEEAAELEWLSKFVDDSYSDMPNYSSAAHAAMAAAAAGNGGGNSGAGQDSCVTAAPGRGARSKRSRATAAAAAAWHSLVPRPASQSSPSSSCSSSDFPSSTKPARPSGTNGSRGKKSPGPGGAGPGGEVGLEGGVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRFKSGRLVPEYRPAASPTFVLTQHSNSHRKVMELRRQKELLMIRGSHRDAAAAAAAASAAAGAAGPRPELMFRDYGVC >Et_6A_046266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11685288:11691031:-1 gene:Et_6A_046266 transcript:Et_6A_046266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLPTLRRKREVDAAIRDTLDKVLVLRFGRAADDACLQLDDILAKSSWDISKFATIALVDMDSEDIQVYVDYFDITLAPATIFFFNAHHMKMDSGTPDHTKWIGSFSTKQDFIDVVEAIFRGAMKGKLIVSCPLPPERIPRFQLLFKDWHEILYH >Et_3A_025155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27378519:27381944:-1 gene:Et_3A_025155 transcript:Et_3A_025155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNIEKNNMLKEFYIPTYIFIPESPAEHVSQIPSCPLIVFINTKSGGQLGHELIVTYRDLLNRAQVFDLREEAPDKVLHKLYSNLERLKRDGDTLASEIYRRMRLIVAGGDGTAGWLLGVVSDLKLEHPPPIATVPLGTGNNLPYSFGWGKRNPGTDNRSVISFLQLVREAKEMNIDSWHIVMRMESPKGSPCDPIAPSDLPHSLHAFRRVPKTDPLDMEYSYTFRGGFWNYFSMGMDAQVSYAFHSDRKSHPEKFKNQLSNQKTYLKLACTQGWFCANLCHPMSRNIAQLAKVKIMKKSGKWENLEIPQSIRSIVCLNLPSFSGGLNPWGTPSKRKQRKRDLVMPPLVDDGLLEIVGFKDAWHGLVLLCPKGHGTRLAQAHRVRFEFHKGATDHAYMRMDGEPWKQPLPTDDGKVVVEISHAGQVKMLATKDCIAKSIHESSPDISTVHPESSSSDDTDDDFEEERRNFGAALSFRYTGDVIKL >Et_2A_017188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31892698:31897969:1 gene:Et_2A_017188 transcript:Et_2A_017188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFNSDAGGVLVDGVAYRVRQMHWHAPSEHAINGRRYDLELHMLHQSADGNKYAVVAQLYRIGRRRDRTISRIEEYIERIAKRKDHEELIDEVVDPRRPVRGSTIYYKYTGSFTTPPCTEGVTWLVAQKVRRVRRRQVRLLRNAVHDGARRNARPLQEANGRGVGFYYTSPAHGMHTRNMPAATAVHVVSLLLLSGAVHRATAQQETDDEAEFSYQRNAANGPTRWGAVRKEWATCSTGRLQSPIILSAAVVGPDGRAGRLGHAYSPAAASVVNRGHDIMVRFYSDPGGVVIDGVAYRLRQMHWHSPSEHAINGRKYDLELHMLHQSEANSTAIVSQLYRIASHRRDRTIHRLERYIRRIARRKDREVLMDGPVNPRRQISGNNVYYKYNGSLTTPPCTEGVTWLVSNKVRHVTHRQVTLLRNAVHDVSGLRLHRLRPCVAAAWSDADMKGCGARRNARPLQEANGRGIGFYYTTRTHDRGTSKETAGGFFVSLSSALPLKNKEAEGKHEEKGKTYNNRQQAGDA >Et_7A_051558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20356865:20362413:1 gene:Et_7A_051558 transcript:Et_7A_051558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQYILSDLLPLLPLLVLPFLLPLLYLTIISRRKIIGRSRNERARCAPPGPPKQLPVLGNLFQIGGRPHRYFQAVAQRYGPVVQVQLGRVRTVVVSSPEAAKEVLRTNDVLCCSRPNSPGKMIRTYQTSGYLHLLSEDAELRFPGRGLQPVQRLLARDAKALQPRAAEHARVQSAASTRAAKVDCLIDSIANSPPATPINLSDKLYTLSDGIVGTVAFRKMYGSAQFERSSFQQVMDETLNVLGSFTFEDFFPESRLARWADVVTGAVTKRSIFRKIDGFFDLVIDKHLEPGRLQTGVQEDMVDALVKMLREQDDDEALGLTRDHIKGILMDTFAGGIDTCAVTMIWIMSELMRNTRVMRKAQAEVRGLVRNKPKVDEEDIKNLKYLKMVIKENFRLHPPGTLLIPRETMQSCVISGYNVSPGTRLFVNVWAMGRDPGIWDNPEEFYPERFEESHVDFKGSNFELLPFGSGRRACPAVAMGVANVELTLANLLYCFDWELPVGMREEDIDMEETGQLVFRKEVPLCLVPIKRAYIGGK >Et_8B_059761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2421675:2424386:-1 gene:Et_8B_059761 transcript:Et_8B_059761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSRKEPMAAIGLAVISLAAIAEQQPTQRPIALRGCPDKCGNISIPYPFGMAPDCFRPGFEVTCNKSFDPPRAFLASGTGGSFQLNGNGSYLSNETTASLDTVMEQPVELIDITLERGEARAYGAISTDCATNDTYHVYWRQQTDFSEPFLFSPSRNVLTGIGWNAVVLLSQSLSGTGISVPCLTEIDIPSPIVNGSCVGMGCCEVNVTGGSGSRAVIFAHRTTPFRNSSPCSYGMLDLYGYQNFSREHERGVPFVIDFAIRNGSSCPAKGDSPPGNYACLSGNSTCVNASSGPGYLCRCWDHYDGNPYIPDGCQDIDECELRKQNPSLRDQYPCSSDGVCKNRLGGYDCPCKAGMKGDGKAGTCTEKFPLPAKVAVVAVGVLLLLATALFVVLLRIEKRKMRDFFIKNGGPVLEKVKNIQIFKKEELKKITNNYGQKLGEGNFGVVFKGYINGVPAAVKKSEKIDKIQKDQFTNEVIIQSQVIHKNIVRLIGCCLEVDIPILVYEFVSKGSLEDILHGKDKVPLTLDMRLGIAAESAEGLAYMHCKTNTSIQHGDVKPANILLDDNFVPKISDFGISKLLARGTTEHADNVIGDNNYMDPVYRQTGLLTNKSDVYSFGFVLFELITGKKATYGGDSSLAKTYLDTYITEMRERKAKELFDEVNEVENDTEVLHNIAQIAKECLNIDVDQRPDMNDVAERLRNIRRATKK >Et_7A_052907.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2502695:2503027:1 gene:Et_7A_052907 transcript:Et_7A_052907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHVALLAVPAVASGFLQAFQFAFVLWPFNLALPLARHLPRACVALRSLTSFYAAELRLYVNGARRGVQLSPAPAPTSHQYASLLGVQQRRMHEEVVAHAMIALIDISY >Et_4B_039923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:592340:594777:1 gene:Et_4B_039923 transcript:Et_4B_039923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSTPTFTNLLNSAISSSDPQNPSSQQNHGFQPSYPMSYAPHQFGPNFQPHYPPHFNPYGAQGGYPQYPSSSYPFHGSLGGLVPQRTGDPSSPVGSAAFFGAACGSGSRGDESSPTSAPVEAAKKKNKVPVEEVWSDVESDGEKGGRMKWSREDNLRQVHAWLENSVDPINGNSKKGPHFWRDVATEYNVHAPRDQQLIDKAQAEYMKLAQTDKPFKYDYWWQVVKDEPKWLNRDAIVADVNKRTKSETIGDMAAAAREHAQAIASHVVVEKEKVKIEKMKHLTELMKIDTSSWSESRKALHERMMLQLSDELYRDE >Et_1B_011951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27463817:27470737:-1 gene:Et_1B_011951 transcript:Et_1B_011951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADAEAVEVERLYELGERLSSAKDKSEHAADYEAIIAAVKGQSVKAKQLAAQLIPRFFRSFPALATRAMEAMFDLVEMEELPIRVQAIRGFPLLGKDAEFVLKIADILGQLLTCEENVERDAVHKALMSLIRQDVKNSLPSLFKHVESGSEFREKVIFFLRDKVFPVKAELLKPQAEMERYITDLIKNSVQDVTGSEFKLFMDFLRSLSIFGDSAPRESFQELLEVIQAQADLDAQFNVSDIDHIERLISCMYMANPLFMRGASSSKFLNYFVKQIVPVFDKLPEEKKLDLLKTVASSSPYATAQDSRLLLPTVVQLLKKYMPGKKVEDINHNYVECLLYTFHHFAHKTPNTTNSLCGYKIVTGQPSDRLGEDFSEHYKDFTERLTGTEETVRAASKRLTQGMADFNKAISSAKTEEEKTKIKADQLKSTMTMRAYNNILAMSQPLHGKSPSFIGDKRITLSWDEQPKKPAAATAGSKRPQPATNGNGPAKKGRGGMQNQLVNRAFEGLSRGGGRGSGRGGRGRGGRGRGWSYR >Et_5A_041103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18361439:18361799:-1 gene:Et_5A_041103 transcript:Et_5A_041103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLYLLHIHGIHVEHDGRALGRSMMKATCICTPTLFLKIREQIDRLVQWETTEVTFGVGARGNSAQFFHVTCTYRGSSMVNASCRCQMFECQAFHALTYCASS >Et_7B_055435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10529923:10534482:-1 gene:Et_7B_055435 transcript:Et_7B_055435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVPANMQTELDMGEPDSPDYGAMLSPKTKAELAEFEEQVLGKPSGPALGDIPEALMGDSLRRSKRRAASADDVSTDRAGMLKAARNLDGEFAKVGRLPIESRPINNSTTTAGQRKRKDTWNSTKV >Et_7A_050833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11369032:11371577:-1 gene:Et_7A_050833 transcript:Et_7A_050833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPRPAPPEYFPDRASPGHGNRLAPRPRPCPPRLLPMDAASLTWPPPTPSPPPFSSRLRDSPSPSPHRRRRRHSKKLRPPPLPPSPPQGADFSALPPELVHRALASACASDVAAASRACRAWRDALRPLREAAAVHAYGRRVKHGPVAGANASAALGGGGGGRRLEAERQRALGLFRRAARLGSAAAMVDAGLMCWEEGRREEAVGYYRSAAELGHPVGMCNLGVSYLEADPPKAEEAIRWFYPSASAGNARAQYNLGLCLQNGRGIKRNQKEAAKWYLRAAEGGNIRAMYNLSLCYNFGEGLPQDPVRAKKWLQLAADYGHKKALFECGIKYCAAGDKIKSLMYLELATRRGESAAAHMRDVMVESLSVANAQRALSDADKWKPKVLHPRR >Et_4A_035303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18587121:18603197:1 gene:Et_4A_035303 transcript:Et_4A_035303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPKVTSVVLAMMVCLAMVSLVAGTAGTATFYTPPYTPSKCHGFQNDGTMIAAGSDVFWGGGSPCDQVYEVTCTGATNAGVPHPCTGRSVTVRMVDLCPAPACRGTIDLSQEAFAVIADPNAGKINIEYRRSDATVIQKNVIRRANTTIIQIGEVSRKQSID >Et_2A_018728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6051897:6054075:1 gene:Et_2A_018728 transcript:Et_2A_018728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISDFQEDEAPRQQQQAARAEEALAAALEQSGGAALFLQAAINVARQRSDFFRDPSAVSKVTAMASAARAQVEAEEREAKRKAQEAERKAAEAQRAAKAAAAPAPAPAPVQAEKAESSEVKAESSVEKKDSMEVDMEESKVRQPNAGNGLDFEKYSWTQQLPEVNITVPVPQGTKSRSVVCEIKKDHLKVGLKGQPPIIDGELHKPVKVDDCFWSIEDGKSLSILLTKHNQMEWWRSVIKGDPEVDTQKVEPESSKLSDLDPETRQTVEKMMFDQRQKQMGLPTSDEMQKQEMLKKFMAEHPEMDFSGAKIA >Et_2B_021692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4555015:4558504:1 gene:Et_2B_021692 transcript:Et_2B_021692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDWEIRKCLNAAALLLCHVIRAASGVLLVREHNCVDRGDSSLHGANARRRCEYFTGHSVASRASPIPKRLTRSSRFAYDDPPTSTAALALSASARARRLLCSPSSPPSITLLTAFSTTSRPTTISFFTAWYVSGSLTPTLTPMPSSSTAIFSFRNWSVKCGHVTTGSPAVTASSVEFHPQCVTNAPTAAWDRISTCGAQPLMSRPRPATRASRSRRKSRSVSSYAVASPTTQMNGCPDASSPRPSSTTCLGSACAMHMLPKLT >Et_3A_026699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15277186:15279782:1 gene:Et_3A_026699 transcript:Et_3A_026699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPAATTALLLVWQLSWAAAQAGDAGPPPAASCPTSCGNVSVPYPFGIGAGCYLPGFELTCDRTRQPPRLLLGDGTFEIVEISLANSTVRAVNTAGAVNITNHQNFNANGTWGDLALSSSPYIVSEWRNQLVVTGCNIQITLVGKASVNIITGCSSFCSINDHWSLAVLSSPSDGATACSGIGCCETPIPIGRPTYAVQYKTLDGYELEGVVPTAVRIAEKGWFEGVAARMLNKSLKETTVAAAVPVILEWALESTVVLPNADNGNWSCPRDPARSACRSSDSSCSNVTGGYRSGYVCLCKKGYEGNPYIDGGCKVLIELTFTSVLRPKPDINECAIPGMCSGQCTNTPGSYDCRCPRGAGGNPNIPNGCIKSSLGLSVGVGVGSGAGLLFLVLSAIFLTRKFKHRRARLLKQKFFKQNRGHLLQQLVSQKADIAERMIIPLAELEKATNNFDDARELGGGGHGTVYKGILSDQHVVAIKKSKEAIQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHVEGPTSLPWTDRLRIAAETARALSYLHMAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPADQTSISTAIQGTFGYLDPMYYYSGRLTEKSDVYSFGVVLIELLTRKKPYSYRSTEDESLVAHFTTLLSKGNLAPVLDPQVLEEGGEEVGVVAALAVSCVKLKAEDRPTMRQVEMTLESIQISLQKDASHSLGTKLSNDKLAVVCYQKGEGQSREETSRQYSLEEEYLLSSRYPR >Et_1A_005331.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4645538:4646062:-1 gene:Et_1A_005331 transcript:Et_1A_005331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DDDHVDDHDADVVDDDAGLEEHLAGLPKGVTHDPAIGRGAPGSAEPHEELDGGLGEQRGEHDEEEPRDEAEHLHGGRERHDARADDGGGQVEHRPRERRAVEVIVVPVLPGQERFAALIGRRVVVLAAARDRHHHPRGAQACWLVPGMVRPARTEFQLLVATVRFLVVKKRGAS >Et_7B_053462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20838002:20839723:1 gene:Et_7B_053462 transcript:Et_7B_053462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWAPFLLLHLGGQDNITAYAMEDNQLWLRHVQAFAVQVAAAGYVLYQSSILSRNQPALLRAATILMLVVGVVKYAERVWALRCAGSIPSGNNYRTFERPEGFTIDITPYNRRQDTEALLSVAFLLLHVPVDLLIVPLSFVVVYVGISDLRSLEVYKVVEMQLSLMHEVFYTKAVVLYSPLGLCIRVISTTCTVVALSLFHSLLSSSVAHHYRREDVAVTYVLLIGAVVLDISMALRAIFSSWTSAILTRGFLASAVASVRRLVHAALPNWRYWSGSMGQHNLLELCTLSSDSLSSKIARAIGVEDPWNVMVYSSSIQVSAFVEQLLVGQVLKSDKVPEGSPDHILNSRGRAAIKSWVPMFYEDLAAWGINNGDMELSESILVWHIATHIYLHCHKQDQDQTTSDYAKAAQDLSNYMFFLLAARPFMLPPPATRNTYVETCYVLTRRSYWSSVKSLADSLLEDGKDLTTKSRRDLTTVERGCLLGAQLIQRQDLPTANMLELIAQVWVEMLCYAGYRCSTFSHIKQLNNGGELITIAALLVEYIKRRNLSEETLSRPSAQGS >Et_9B_065026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20606775:20609387:-1 gene:Et_9B_065026 transcript:Et_9B_065026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSQLDDETVRGMAIGAVFTDYVGKINCLDFHRKEDLLVTSSEDDSIRLYNITSATLLKTTYHRKHGADRICFTHHPSSILCSSSYNLESAESLRYLSLYDNRCLRYFKGHKDRVISLCMSPVNDSFMSGSLDHSVRIWDLRVNACQGILRLRGRPSVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVSDIKFSNDGKSMLLTTTNNHIYVLDAYGGDKRCGFSLEPSPNVINEAAFTPDGQYVISDCLLEQSYWSYHSFEVGPSSSNVCNSINSPNFLDSQPTKLELGAQKKGWN >Et_7A_052527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8892043:8893184:-1 gene:Et_7A_052527 transcript:Et_7A_052527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKGRVVTSFRKICDSSSPYCTNVLKNQSGLPELGDADRSVIYVRPGEVIDLDSVIQETGRLTCFFIFTKSPDSGKQRPMSTSQRWSKLLDLKKTLDKSSS >Et_5A_042745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3485176:3486222:1 gene:Et_5A_042745 transcript:Et_5A_042745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPSPAGHGGPDCRAIDIELEATVMAAASDAKSKESDQQLHPTGYESHRPMSNRADSHIVNVEDALEEAVDLPGNTGSPECHAAANIAAAGDAGENSCVVCTEPLEWVAIGRCGRRVVCRKCAVRLRFFYRNKRCCICRTYCFRVIVTKADANTTVMSPLLLFMFWEGRVMGKYWYHRHSAAYFEDEEEYKAAKKACEGILAPFYRPLFWFICCLFFCLNFGVFWGWTIKIDKAARKSCVSRTN >Et_2B_019428.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29145043:29145228:1 gene:Et_2B_019428 transcript:Et_2B_019428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAAVDAGLAVRAPHRVQGRRRRRSGQLQRCRGRGPVQEPRARSKRRWSRTSCCCCSVR >Et_4A_032338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30401433:30402457:1 gene:Et_4A_032338 transcript:Et_4A_032338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRERDAEAELNLPPGFRFHPTDDELVEHYLCRKAAGQRLPVPIIAEVDLYKFDPWDLPERALFGTREWYFFTPRDRKYPNGSRPNRAAGNGYWKATGADKPVAPRGRTLGIKKALVFYAGKAPKGVKTDWIMHEYRLADAGRAAAAKKGSLRLDDWVLCRLYNKKNEWEKMQMGKMSGAAAATTTKEEAGDMTTSYSHSHSWGETRTPESEIVDNAFQDPAAPMMVPKKEKVDDAGAVAKNDLFMDLSYDDIQSMYSGLDMLPPSGEDFYSSLFASPRVKGNQPAGGMAPF >Et_4B_038832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5121437:5124167:1 gene:Et_4B_038832 transcript:Et_4B_038832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLGQKSKLDQLFKCITIGDVCVGKSCLLLRFTDQKFPSNIKPTVYIEARQRTINVDDKVTNLQIWDSAGQETFRAFTRSFYRASAAAFLVYDITRKETFDHVAMWLEDAKELAPANLTIVLVGNKCDLSHRRAVSYEEGQAFAKEHGLLFMESSAKTNYNVEEAFFLAARTVSKKMEDGVLDLSAKDMALLFRKISVL >Et_3A_025050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26391710:26393166:-1 gene:Et_3A_025050 transcript:Et_3A_025050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMVMDMRRLSYTEEKDHHQNDVTFVAVLFACAHAGLVSEGCDAFTTMKTIYGLAPRVDHFLMMQCSLYATLYLVSLNVNLIELGGIVHLFRMGEKSHPQTREIFQYLEELIHNITDVGYMPETNSVLHELEEQEREVALRYHNEKLDVAFGLMMSVGSTVPIRVTKGLLLCEDCHLSIKVP >Et_7B_053352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12757908:12758753:1 gene:Et_7B_053352 transcript:Et_7B_053352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFAFVLYHYFTVSRRCQLLRDGRSVRTAVSSSSSSGGQLRARDTVSGGDAAARAAATGGVSPALLQALPLTVYSAKDHRAGGEALECAVCLADVADVEAARFLPRCGHGFHAECVDLWLRGHSTCPLCRVDVGSKADAYLPEPANYHPTNLPTNVLFWGSRQDAVTTTARPASSSAGASSSSSAAAGGAAKPLGLARLSSLRRLLWNRGRPDAAAASSSRSCRRGAAGDHGTERAPGSPLDIWNVT >Et_2A_014872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19743005:19744006:-1 gene:Et_2A_014872 transcript:Et_2A_014872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTISNYCPYPIWPGTLAGSGTPQLSTTGFRLEPGQTVQLAAPAGWSGRIWARTGCVFDAEGQGVCQTGDCGGRMQCAGAGATPPATLFEVTLAKGDFYDVSLVDGYNLPVVAIPRARQGGACNTTGCMADLNRSCPKELQVDCGGGAIACRSACEAFGQDRYCCSGGYGTPDACHPTVYSSIFKNACPRAYSYAYDDRTSTFTCKADDYTIAFCLPTSGYVLLPVWTRGFSFLSNNLVDLISVSLQDKEGGCCVSRSTDGRPERRQWHRAADLQQWWVRVPTANLQLWRRTFP >Et_2A_016953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29826988:29830205:-1 gene:Et_2A_016953 transcript:Et_2A_016953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVGAGFVMLLLFLQLAAANTDGDALLAFKAGVSDPTGALASWNATAPFCRWRGVSCTAGRVTSLDVSASRLTGTLSPAVGNLTRLEMLNLTDNGISGSVPASLGRLQRLSYLSLCDNAFAGEIPDALRNCTGLATAYLNNNRLTGGVPDWLGALPNLLYLRLSVNSLSGRIPPSIANGTKLYRLDLDQNLLEGTVPDGLSRLTNLRFFSVYQNRLAGEIPPVIFNMSSLQGLSATNNAFRGQLPADAGARWPNLQSLFLGGNRLTGPIPASLAMASNLQVLSLANNSFSGQVPAEIGRLCLQALQLSNNELAATDAGGWEFLEGLTNCSSLFEISLDGNKFSGAMLGSIARLSPQLQTLNLAGNGISGVIPPGIGNIVGLQTLDLNSNLLSGVIPEGIGKLINLQELRLQQNKLTGPVPSSIGDLRQLLSLDLSNNLLNNSIPPSLGNLQQLTFLNLSGNELTGHVPEELFSLSSLSSAMDLSGNKLDGVLPGGVGNLVKLALLAMSGNSFSGEVPSGLGSCQSLEFLDLDSNSFTGPIPSSLRTLKGLRRLNLTSNRFSGFRHVRPLIPLNAATCCSRSCCPSLAVRLSASPCYWRKRNSTAADTVERSVLNGNNYPRVSYAELARATDNFADDNLVGAGKYGSVYRGTLSLKTKGSVVQEDVAVAVKVFDLRQVGASKTFLSECEALRSVRHRNLINIITCCSSIDADGNEFRALVFDFMPNYSLDRWLHPSSVDVKKGRVLSVIQRFNIAVDIADALNYLHNSCSPPIIHCDLKPSNVLLGDDMTACVGDFGLAKLLLDPGRHAATNTESTTGIRGTIGYVAPEYGTSGNVSTNGDAYSFGITLLEIFVGKVPTDAAFSDGLTLPEFVGGAFPEKIEQIIDRALLPIEVEDSFNGSISGSEEEREVRVTVHDCLVSAIRVGLSCCKQTPYQRMGMRDAAVELRLIRDACVQACGQ >Et_3A_026805.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:21425284:21426198:1 gene:Et_3A_026805 transcript:Et_3A_026805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYQNTMMKMAFVAEAVSGQLLPDDLLLEIVARCPTIADVIRCAATSKPIRRGILNAGFLRGFLTRSGGGVDRHPCSPSLLLGMYHQAEDPRLPPVFVPVANNAGYPRSMTALSPPPAPASNHNNTDESPCDLGPYWPVAFRRSLLVLRRQCKVGAALQKQGRRAESHSKHAPEFTVCNPTTGERWVLPRQTVDAMTQVLLDVDPLAGSFKLLVAELTRFATLFVQIFSTDSESGGGAWGPVLACLVPNSYTSFTEAAEDKRPKPVVVDSRVYISICVQRQNGIVLCLAKIMGCPCWRYEHAR >Et_8A_056657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14513396:14515691:-1 gene:Et_8A_056657 transcript:Et_8A_056657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISASVALLSDLAFNSPVEWSVDQEAMKPAVVLFPGAGGLGHAAPMAEVAKAFLDHGCDVTMVLINESSDFGDAGAVAACILSVSLDRFLLRSFPRRTLRCLLVADVFCVDTLNAAARLGVPAYAFVPTCASSLAALAQVPALLGGRSTGLKELGDAPLPFAGVPASHLIRSLLEPPDDAACRAMADVWTSWTRARRGVLVNTFESLERRAAEALGDPRCVGRAPPPPVYCVGPLVGGEQAGTRRHECLAWLDAQPYRSVVFLCFGSRGAHSADQLGEIAAGIKSSGHRFFWVVLKPPADEAKKYRLSSEQQRAEPPDLDALLPAGFARRTSGRGLVVASWAPQAAVLRHRVTAAFVTHSWWNSALEGIAAGVPMLCWPLHAEQKMNKVFMAAPAEEGGMGVGVEVEGSVGGFVDAGEVEAKVRLVMEESEEGRRLRARVAARKEEARAAWEEGGSSRTAFALFLSDMERLHEQIADE >Et_6A_046313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12882000:12892182:1 gene:Et_6A_046313 transcript:Et_6A_046313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTQQEEHVRLPSKGGTQTIPFIIANEGCERIVNAAVSANIIIYLTIEYKMGSATSALVLFAYQAAASFLPILGAVVSDTLLGRFLTITLALFAYTIGTALLWLTTMVPKVVAGDCGNGHQSCHSPTTLQLVVLFSSFAFLSIGSSGIRPCSLAFGVDQFAHCNGAQKDRALKVLFSWYYISMSGSNFISITLLVYLQVKFGWKIGFAIPLAIMALVTILNIVASPLYIKVKPQKSTWASLFKVLNVARKNRHIEIPEPCDGVQYHHNSGESALVPSSKMRFLNKACLLQTRADSSNTEVFNTNRGSICTVEQVEDLKSTLSVIPIWSAMITCALIQQGQSFRVLQADTMDRHVGITRFKIPAGSIAIFEVITFMLWSGCYDRYIVPLLQKITGIEIVLTPKKKMGIGLMFSISSALTASVVEGVRRKQAIKQGLQEADGTVNMSALWLAPQSIFAGLSGAFGSVGQIEFYYVVLPKKMGSLALALLFLATGIANIEATIIVKVVKVVTSRGGRMGWLPDNLNHGHYDYYYFLLALQGVACERIVSAAISTNIIIYWTKEYHMGAATSAVVILIYQAATNFLPILGAIVSDGLLGRFFTISLTLFACTIGTVLLWLTTMIPKLVTDDCGINSQGNHSCHSPTTFQLFVLFTSLAFLSIGASGVRPCTLAFGVDQFVHLSGPEKDRALKILFDWYFVSLGGSQIISLTLLVYLQDNIGWKIGFAIPVVLMALVTILNTAASPLYIKVKPHESIWVSLVQVIFVAIKNRRIQFPQEGNGVQYHNTRGRAMVPSRKLRFLNRACVIRMHADSSDTEVFTANQWNICTVEQVEDIKQTLNVVPLWSSMIISLLIQQSQSFRVLQADTMDRRVGTTNFQIPAGFVPIFEVITFTLWSGCYDKYIIPFLQHITGREKVLSHKQRIGIGLFFSIATALAASAVEAIRRKKAIRQGLEYNANGTVRMSAMWLAPQCVFSGLTSAFGSVGQIEFYYSVLPKTMGTLALALVLLATGIANVAATVIVKLVNVVTSMGGREAWLSDNLNQGHYDYYCFLLALLGIATFMYFLACCYWFEEPIPDQLVESHGEDEENR >Et_2B_020805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23835936:23843063:1 gene:Et_2B_020805 transcript:Et_2B_020805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVAQRTKESEITEQDSLLLTRNLLRIAIYNISYIRGLFPEKYFNDKSVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCICEKEEGPMIEEYAFSFSYPNTNTEEVAMNMSRTGSKKSSATFKSNAAEVTPDQMRSSACKMIRTLVSLMRTLDPMPEERTILMKLLYYDDATPEDYEPPFFKCCADNEAINIWNKNPLKMELGNVNSKHLVLALKVKSVLDPCDDNNANSGDDGMSVDNESDQDDEFSDTEVRPSEADRYIVAPNDDTQDAAHEEELTAQVRDWICSRDNGTINVSDVHSNFPDISMRLLKEGVLSRASKDGYTVNQTVDPKTPHVKKEVVMPNVSPTEGIKSNNADLMYMKALYHALPLDYVTVSKLQGKLDGEASQNTVRKLIDKMVQDGYVKNSSNRRLGKAVIHSESSNKKLLEIKKILEGGEVHEIGDGSTMGCLHSVGSDLTRTRELPELQQNVSMQSGQEASAVDKDPNRTPTSLREAAAPACSLESGVLGQRIRKSLNVAGEMQSTQDKRSRKTSMVKEPILQHVKRQKCLAQ >Et_8B_059401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17624351:17625906:-1 gene:Et_8B_059401 transcript:Et_8B_059401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAKCEKKLGKVIVPDKWKEGASNTNESGGRKINENKLLSKKNRWTPYGNTKCIICKQQVHQDAKYCHTCAYSKGVCAMCGKQVLDTKLYKQSNV >Et_2B_020802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23765722:23767849:-1 gene:Et_2B_020802 transcript:Et_2B_020802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGDWVYENNGGTCVAIAGADYCVIAADTRLSVGYSILTRDHSKICELADKCVLASSGFQGDIKALHKNLAARELLYQHQHNKRMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERTGYSAQGTGSTLIMPVLDNQLKSPSPLLLPARDAVTPLSESEAVDLVKDVFASATERDIYTGDKLEIVVINKAGTKREYIDLRKD >Et_6B_049472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5223440:5225602:-1 gene:Et_6B_049472 transcript:Et_6B_049472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHTVRFLCSVAAAFARLLRELRKAVAMAISVRHRALQSPPIGLIAAAPLALRRNKQQAAPVATVHMQEQVLLAPPQPVLVVPAPRDVVVVGDSGVEERQAENHSNKQKKVACAMMRRPSRLVIPVADDADEVAAGWGAAAAASENADVEVEGEGFCLASKAGPRHAMEDGYAVITDKNGGDSELAFYGVYDGHGGRAAVDFVSEHLGRNVVSAVLAASTEEASAKEDAVSAAIRAAYLKTDSELLAQQQGASGGACAATAVVTGGKLYVAHLGDCRAVLGRVAGGGGGATAVALTADHTCASEGERARVERDGGYVSRSGSGVWRVQGSLAVSRAFGDAALKRWVLADPAVAAVPISSAGAGEFLVVASDGLWDKVGNQEAVDAVRRGGASRAAACRELVDLARRRGSRDDVTVMVVDLQRFAR >Et_5B_043209.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:17733210:17733497:-1 gene:Et_5B_043209 transcript:Et_5B_043209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARKCFVPGPFNLGRYGVVVGWIAVLWVATITVLYQTTRYVPYSSTIVLHRIFNSNYQWKVCNWMLKCGCECLVLCKHMNLHEVAWQWGHFSHF >Et_1A_005810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14237005:14241385:1 gene:Et_1A_005810 transcript:Et_1A_005810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGAAATAAAAREEEESSPAQRLVEAALSGDVAAVEACLMAAAGADADVPAASRVGVARLRVRCADVAPREEAAGEVVVEAREIKTDVSPLFAAAHAGHADVVSGADVNQKLFLGYATTAAAREGNIDVLEMLLLAGATQEACEDALSEACLFNEVEAVRILISSEMLGTEAMAHALVTASSRGFDDIAVILLQVWSILHTCQVEKLNSFILYIQCTHTHFFVQNGVNVNCTARVLLRSVKPVLNANVECTPLVAAVISRQISTVKLLIEEGSFLDSLVQVGSWSWEPTWDEELRVGTCLGQHYNATWCAVEYYESSGNILKLLLDKAPWLLESPNKGRNLLCHAILCQNPNAVRFLLLCGANPRFPIMTEERHVSYPIHLASRLGYAQVLKQMMLHGAEINVKTSTGDTPLMVSARAGHSDCFLELIKFGADLGIVNNAGQTAITIANLSSFRSSIVDIFMRALNCGARLSSSDMTIFSPLHFFAGSGRAEPLLMILHQSASDLNKLNGSGLSPVMVAAESCHVDIFRLLVMEGADITIKNSEGKSVMSIIGQKSSETRDCFEQILLQAALANALTGQTLFRPLHYVARMGDTSSLLQLLKMGYDPNTLDEDGYTPLMRAAASGSLDSCKILVSYGGADCSLANERNETALSLARKSSRSSKAMDEWLLDHLSRAHVLAGEELMKHTRQGKGSPHLKTVRMMKSSVLTWGSTRRRNVACKEARMGPSMSFTKNRGVIDASARELIFLVETVSGREVHFEASSTSSVEFWVRGINLILKESAWSRMDKEPAAVDEA >Et_4B_036951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12908014:12912165:1 gene:Et_4B_036951 transcript:Et_4B_036951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDLRDDLELVAAADGDDYFDFEFDDASGLQMDDTSASDYEEGKDMQGIPWERLNYNRDQYREMRLKQYKNYESLVRSRSGLEQECKQMERKDTFYDFQLNTRAVKSTIVHFQLRNLLWATSKHDVYMVQNYSVMHWSPLLQRGKEVLNVAGPLVPSQEVRGTRPLSRVQISTMAMKDNLMVAGGFQGELICKYVDKPGVAFCTNLTGNNHSITNAVDIYESPNGATRVMAANNDCVVRTFDTERYNLLNQFTFPWSVNNTSVSPDGKLLAVLGDSSDCLIADSQSGKEIATLRGHLDYSFSSAWHPNGHVVATGNQDATCRLWDVRNLSESLAVLGGRIGAVRGLRFSGDGRFLAAAEAADFVHVYDAGSGYAAEQEVDLFGEIAGAAFSPDGEALFVSVADRTYGGLLEFRRRRAYGYLDSCLF >Et_1B_011510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23044554:23048304:1 gene:Et_1B_011510 transcript:Et_1B_011510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAFADKNAIFRKLRAKSDNKMCFDCNAKNPTWASVTYGVFLCIDCSAVHRSLGVHISFVRSTNLDSWTQEQLKMMVYGGNNRAQAFFKQHGWTEGGKIEAKYTSRAADLYRQLLAKEVAKSSTEDGNNSWPSSPVAGLQASNQVAAFSDPKLTEALKEKVSEKNESEFVRSPRAPTHSFKKPIGGSKKPANKTGGLGARKLTSKPSESLYEQKPEEPAPVLPPVEESNEARQKFSNAKSISSSQFFGDQTSCEKEAQLSLQRFSGSSSISSADLFGQQPNNSNMDINASDLINRISFQATQDLSSLKSMAGETGKKLTSLASNIITDLQDRML >Et_5A_041678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25869135:25869775:1 gene:Et_5A_041678 transcript:Et_5A_041678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSWAVKSVSRPLAFHGSLHMVYSGGSMGICADDHHTCSSAAAGGLRQRDPGGQQYPRIAIEPLRYSVKALALMKPPAGTGSCVGVAPATQEEGVGH >Et_4A_033991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28837801:28840942:-1 gene:Et_4A_033991 transcript:Et_4A_033991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAKWLKSLVGLRKAEKQHHQKQSRDEDADIGRIEQVELHKAEATYQFQHSHDDDNLVTQEGFPIKSLEGDSNTPSCLESTCSSVHVQLPGTDEELREIWAATVIQTAFRAFLARRARRALKGLVRLQALVRGHIVRKQAAITLRCMQALVRVQARVRARRVRVALENQTDHENTLDEQTNESHVREIEDGWCDGMGSVEDIQAKLLKRQEAAAKRERAMAYALTHQWQARSRQQSSITALEPDKSSWGWNWLERWMVVRPWESRFLGNCAADGIAIGSETRHADRNATNTQYREPVRRHTSAPYSHAMNQKACPSYSEGGGGSLSNWSSGSVSAKYRLKLLPREGSDDAEPRPCGRGIRSISNPKERIGHWDCQVNKRLSLPAGGVEAGKRLTAKATVDRSLKATKHPQILDSRHHLASSINQLPDSFERQTLEAI >Et_7A_051602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2160322:2164459:-1 gene:Et_7A_051602 transcript:Et_7A_051602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRSRSLKRLLSLGRRSNADESAEECVVVVEPSPPSPPPYKSTWRCFSYDELHQATNGFHQDNVVGKGGYGEVYRGVLDGGRAVAVKRLTAAAADEKKEKDFLTELGTVGHVRHPNVSALLGCCVDRGLHLVFEFSKRGSVSANLHDDKLPVMSWKQRHGIAVGTARGLRYLHKGCARRIIHRDIKASNILLTDDYQPQVISDFGLARWLPSEWTHHAIAPIEGTFGCLAPEYFTHGIVDEKTDVFAFGVFLLELTSGRKPVDGSHMSLIAWAKPYLSDGVVQGLVDPRLGDGYDAAQLRRLMFVASLCVRAAAAWRPTMTQESCLS >Et_5A_041671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25774720:25775918:1 gene:Et_5A_041671 transcript:Et_5A_041671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLPPKPLTSFVSSTITINLSDAASTIFSRRRAPPLPFTRLRRSQEVKGGTSSEPSMARSMRGWSSSVESGMPSDFACS >Et_2A_015858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18471807:18472318:1 gene:Et_2A_015858 transcript:Et_2A_015858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAQRRCLHARLVRSWDRGDDHGPGMSHVAELLRDKSAMHKLQDEVRQTTRGNHGGSLITEQDPLGMEYLIT >Et_10A_001728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7430169:7434870:-1 gene:Et_10A_001728 transcript:Et_10A_001728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KPVLPKHPFLHTHLRAKHSCLTELAREKFEREFCCLPIRAINQKDLKEMADRVMKIASERAVVVFTLSSCCMCHTMTQLMADLGVNAMVHELDKDHKGKEMEAALLKMLGGGGPAVPALFVGGRLVGGTKRVMSLHLAGELVPMLKNAGALWLSQQATSIHFLDTN >Et_6B_048427.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:3262200:3262322:1 gene:Et_6B_048427 transcript:Et_6B_048427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVFTTSLRFFYTGHRVCICGLGIRCKRNFSHGLVLARG >Et_2A_014863.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18992276:18992413:1 gene:Et_2A_014863 transcript:Et_2A_014863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRSWSLLSSTVAIWGAAAAGALAGVCVFGGKVPSLPLLVRTPL >Et_9B_066254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8816625:8819573:-1 gene:Et_9B_066254 transcript:Et_9B_066254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALTSNPPSFRPLSSSSPLRRRASTVLCRVGGKPGGKDSGAANDDAAAKKRPGGLFADFSFGKQLSEGTSLIPAFPSPQGAGSIFAGGRGRKDPQTVFVAGATGQAGVRVAQTLLRQGFAVRAGVPDLAAAQELARLAAAYRLISPAEARRLNAVESTFDDPEAIAKAIGPAAKVVVTVGPAEQGPEGGAVTTEDALRVVQAADLASVAHVVVVYDEGGGASTGNVLDGFTSFFSNLFSRVQTLSLDDFLAKVVETDVRYTLVKASLTDDYSPESSYPLVVAKEGTSSIPGATSTTESGKVSKSQIATLVADVFSNVTVVENKVVEVSTSSSATSKPVAEAFIAIPEDIRRMEYQEAAAKAQAEEAARASQRVTEAEESVSEAEEKKAPSEDAAARGVNEAQASLENFLGKLSTDFSWNKFSAQLAEATAQNSTEKEPKAQIATVRGQAKAKKLAPKRAVVKPAAQKVKPQPKQPDTKPEVRPVFGGLFKQETVYVDDD >Et_10A_002317.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:8680015:8680365:1 gene:Et_10A_002317 transcript:Et_10A_002317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAPSSPQPPDDIVVEILVRVPAEPIYLLRASLVCKKLRRLVRDPAFLPWSASSTRTEPSSPPAASRATASPPPRTSRGRAAPGGGSSGPATAASSSAPPPRGTTPSRSCSSGTR >Et_1A_007429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34403637:34408425:-1 gene:Et_1A_007429 transcript:Et_1A_007429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSVERVTDRATGLEKFVLREARGSSVEVYLYGGQVTFWKSNSGQQLLFVSNKAQFKPPKAIRGGIQICFPQLGNHGVLEQHGFARNRFWSVDENPPPFPVATSNCHVDLILKQSQEDLKIWPHSYEFRLRVALSPRGDLILTSRIRNTSSDGKPFQFTFAYHTYFSVSDISEVRVEGLETLDYFDNLQSKIRCTEQGDAVVFESEVDKVYLSAPQKIVIIDHEKKRTLVLRKDGLPDVVVWNPWDKKAKAMPDFGDEEYKNMLCVGAAAIEKPITLKPGEEWQGRQEICAVPSSYSSGQLDPELIHFARSPLIAFRFYKITIDFLKTDGKIAKLFCKIIIAFRKLMVKSQDLKTDGDNTIGV >Et_2B_022951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9182559:9188628:1 gene:Et_2B_022951 transcript:Et_2B_022951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREGFRLGWVASSGNTIADLPGLGQNKLGASVLGGKCTGRKRGVRGTSPCCHAGREGDGERVLMAGEDGEGSTLSYFGVEAPGLMKGGGEAAQLRAPRRLVVSVQGVSRVAVHIMAVVDCRDNVTPETRPQLALAEGRKYECLADALTAEALAAKNGLLLAVALGYNKVILELDNQYLANSLKSKKNDRSLIGDLWQEIQELGRSLLSFRVSFVHREANLAAHCCAKMPTRHKTIQEKKVKHEILANMAEGAAAIGPPGAGQGSPAPPTTVAAAVAFGTEWTALALGCGQRPV >Et_3A_026617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10659816:10661676:-1 gene:Et_3A_026617 transcript:Et_3A_026617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLKEMARLDADRAAPAWLRTLVETTFFNECPEHPDASRATRSGGCNFFCVDCPGRALCSGCIESEHDGHRIIQIRKASRHSVVKVRDLESLLGVGEVQRYPINNDLVVFLNERPMVRNGKAGAYRCKKCDRALLKMEYRFCSLGCKLECLEDDFTISFAVPKGHTESSEDENLSRPTKRHRGIGSGSGKAEDGAAGTSTTPSGTPADTPCN >Et_3B_030816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7731994:7735366:1 gene:Et_3B_030816 transcript:Et_3B_030816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTRSSQSSCRDRTYEFRTAVESARRYATPAPSAAPASSSGGGSPLDASLEASSVRSEFNRRASKIGLGIHGTSQKLARLAKLAKRTSVFDDPTMEIQELTAVIKKDITALNSAVVDLQALCNSQNESGNLSKDTTNHSTTVVDNLKNRLMSTTKEFKEVLTMRTENLKVHENRRQMFSSSAAKESNPFIRQRPLVARDASESSVPPAPWASDSASTPLFQRKKTNGDHGASSSSQPFMQQQQQLAVQQDSYMQSRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDETMANVDGAQGQLLKYLNSISSNRWLMMKIFFVLMVFLMIFIFFVA >Et_1A_007964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39838886:39841827:1 gene:Et_1A_007964 transcript:Et_1A_007964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSDCSSARFLPLRRALLPPTAARFRPSLALVSPRRHFVAAPAPLPLLSRRMDSVSVAAASAADSATMSTDATAKVIDGKMVAKQIREEIAVEITRMKDAIGIVPGLAVILVGSRKDSQTYVRNKKKACEAVGIKSYEVNLPEDSSEEEVINHIASFNSDPSVHGILVQLPLPRHMNDENILNAVSIEKDVDGFHPLNIGRLAMQGRDPFFVPCTPKGCMELLHRYGVEIKGKRAVVIGRSNIVGMPAALLLQKANATVSIVHSQTKNPEEITRQADIVIAAVGVANLVRGNWIKPGAAIIDVGINPVEDPESPRGYRLVGDVCYEEASKVAGAITPVPGGVGPMTIAMLLSNTVESAKRIHKFK >Et_4B_039995.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7374200:7374949:-1 gene:Et_4B_039995 transcript:Et_4B_039995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNPSNGVATATAFVDDKARPESVGGGKAATRQYKGVRMRSWGSWVSEIRAPNQKRRIWLGSYATPEAAARAYDAALLCLKGSDAVLNFPSSSSTSSSSKTSSRNVDTRGGHSVDPAPSGGMSPRSIQRAAAAAAAAFDAGVVIAGSMDDRCSSSAGATTPTSTASLSTPVSADRGGHQEHATPSSSSAAVTSTGSSVAQGEELWTDILDAFASPKCMDLMAAGAAAFASTWEEPEDDGELMRLWSFC >Et_4A_035461.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25527640:25529118:-1 gene:Et_4A_035461 transcript:Et_4A_035461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQTSAAAARRPNSPAPLHLVFLPFLARSHFTPLAAKAAAAASSGVEGGATTTTTAVIVTTPHFAALAPPSVPVHVAPLRSPVAHEDFSRLPNDASSAREFFAAAEAALAPALAAVLRAHHDGGDPVAVVSDAVFYWSSRVARECGGVPHVTFHTVGAFAAAAMVAVHLHLHRLPAAALTVEEQPFAVPGGFPHAVRLRRAQVNEEALTHLPLFRAAEAETCAVAFNSFSAMEADAAEYYRTQLAGRPKNVFLVGPTRAAAVSTTGSGGGAGAERDEILQWLDGRAARSVAYVCFGSTCALGARQLRELAAGLRASGRPFLWVIPTEGGDHAEEEERAPSSGMVVAGRWAPQAEVLAHPAVGGFVTHCGWNSVMEAACAGVPLATWPLRAEQFLNEVFLVEVARVGVRVREVADRDAVVPAQAVARAVGRLMGDDGEDDDAAARRARARELGAAARAAVAEGGSSCRDWECLVDELKALHAHKSASCTTE >Et_3A_027073.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:31996387:31997517:-1 gene:Et_3A_027073 transcript:Et_3A_027073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQHIYLVLDNSKDGFSIRKIDVGDMEQDDMAADDLDSRARALPDPLFRVEAVGPLSIQSAMHFVALGTRILALQPSPAGAAPVLDTRTMAIAACPLPPADDQHFCRSAFVAVGDSLYSMDGRSGRPGQCNFEVLHSAGSPPSGWSWSSNPSLPPFDPVYVACYAVHPDARSVFFSVYGSRPDTVDPPYYPFRDAGHRATFSLDTETLEWTFRGYWMLPFDGQAFYDPELDAWVGLCRADANHGRVCSCQVLPPAATADGEGDRRQEAPPYKLCKERLFRRKGRRHIGGELVYMGGSTFCVVECVADRRLTREERKQLRGATPPLHLYVRTFGLKYSDKGRLRVATCGRRACCFALPEGTGCGDLLMWTLRALCL >Et_4A_032315.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29643470:29643640:-1 gene:Et_4A_032315 transcript:Et_4A_032315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVESTERSHLQRSNHNPSADRRKHQDGDATLGNGRGKAVRLHHGARVGFSMQCP >Et_4A_032144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20939206:20939991:-1 gene:Et_4A_032144 transcript:Et_4A_032144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAGRVELLNCFVSMFGNRVKIALTRKGVVFEEKAENLGAKSALLLSSNPVHGKVPVLLVGGKAVCESLVILEFIEEAFAGEPLLPAAPYARAQARFWASYIDAKLPECTKRVWQSPKGAAAVEEGKNDMVAVLKTLEAELGGKPYFGGEALGYVDVALVTFAPWFATYERLAGFSVAAECPGIAAWAARCVAENPCVAASLPDAESVFQFVCGMRKHFGLE >Et_3A_026523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9213843:9220529:1 gene:Et_3A_026523 transcript:Et_3A_026523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPETLVLAALIVLYFVLSAAELYLRIRRARSFRQWRRQQLLQQQRQEEEEKVTPPPPEPAAPLQTPAPDPAAAEEGADEGGRETQPQQQRRRRRARKKRQQQEGAEGEGGGGGDAAAASAKAAGKGEPLLPQRPQFPLASVAGALQRRIHERYDDLVRASEARCLTIEQVNEFVNCLIEARNELLQRYENVQRSFKIKKAMLSNHRNYRSSYGRLFEQVCRLEIERDNLKKDADIYNCLQERLQESAPYKLIMDLSALELEAPEMSFEELLAKEKEDTAFWQPNGKMRSVSSNK >Et_9A_061597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14242569:14245661:1 gene:Et_9A_061597 transcript:Et_9A_061597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGQWLAAVLPTVCALAMLCVAVDEQGAALLAWKATLRAGDALADWNPSDASPCRWTGVTCDARGVTELILESVQLFGGVPANLTAMGATLAQLVLTGTNLTGLIPPELGELPVLAHLNLSNNALTGPIPPELGELPVLEHLDLGDNALSGSIPAQLGGLKKLTKLLLWQNQLVGIVPPELGLCPELTEVDLSVNSLTGHIPTSFGNLSSLQVLDLSINKLSGPIPPELSQCRNLEEIYLIDNALSGSMPAQLGGLKNLKYLYLWKNQLVGIIPPELGSCTKLSEVDLSANELVGIIPVSLSNLTSLQLLSLSSNKLSGMVPPQLARCSNLSDLMLGYNKLTGSIPPQLGQCTRLETLHLFNNSLTGPIPKSIFRLPQLTWLLVHNNHLSGDLPPEIGSCTSLVQFMAGGNYIAGEIPAEIGKLRHLRDLYLWSNLLSGTLPAQTSGCRNLRVVDVAGNAISGMLPVGLFQNLLFLQFLDLSYNNISGTLPSDIGMLNSLTTLILSGNRLSGQIPPQIGSCSKLQLLDVGGNSLSGKIPRSISKIRGKDITLNLSCNSFSGTIPAEFTKLVELRVLDVSPLRHDTPADFAKLVGLRMLDLSHNQLSGDLQPLSALQDLLALNVSFNDFSGRLPETAYFARLPKSAVEGNPRLCLSKCVSDGKRARTVIAVVLCAIAILLVGTILFLFWWRRHGGEMSPPWEVTLYQKLQISIADVACSFTPANIIGHGWSGAVYRANLQSSGTTIAVKKFQSCDEASIEAFSCEIGVLPLLRHRNIVRLLGQPPQRLLFYDYLPNGTLGSLLHGIGGTVVEWEVRLKIAVGVAEGLVYLHHDCVPGIIHRDVKAENILLGDHYEACLADFGLARFADNDCTNHSSPSQFAGSYGYIAPECMANVTTKSDVYSFGVVLLEMITGRHPLDSAFGEGQSLVEWARDHLGQRRDPSEIVDGRLHAQQDTQGQEMLQALRIALLCVNPRPCDRPTMKDVLTLIRGIPPT >Et_1B_011624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24304707:24307610:1 gene:Et_1B_011624 transcript:Et_1B_011624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPVMRETEFCVLLMDLKTSLGSPVIADPLPTLVLPSAVMTFTTPISFPSQGLYLNTSSKKKPLPGKIEEVRASGWLDLMMASSPTRKRQIKDVVSDVQADDHDLQYCNWMVDYPSALTSFESITDFAGSKRLALFLDYDGTLSPIVDNPENAIMSDEMRAAVRHVASLFPTAIISGRSRDKVFDFVKLNELYYAGSHGMDIMGPVGKTTESNGVECIRSTDTQGKEVNLFQPASEFLPMIDEVYKRLGESIMDIDGARMEDNKFCVSVHYRNVAEDDYQKVFQRVTAVMEAYPCLKLTHGRKVLEVRPVIDWNKGKAVEFLLESLGLNESEDVLPIYVGDDRTDEDAFKVLKASNRGFGILVSSIPKESDAFYSLRDPAEVMEFLKKLAAWKEQST >Et_1A_005218.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:33550281:33551612:1 gene:Et_1A_005218 transcript:Et_1A_005218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTLPINRSDPPPASTQLTKKARTTACSPWPSLPADIAGEVLLRLPSYADRICFGAACRSWRASAAQHHAPPRLPCLVFPDGSFGAFPWGHRPFRLPRAAGHHVCCGEWLVFKRDGDGDGGYYLANPFSDDAAAAVVAPAPLPSLSAARVHDEPAGAARERVLEEYDVTGLVLRGLDGDGVEPAPRTASLRKLVVCSAAGLVAAVVGEGRLGKLALCRPGAAAWWVCGRGDHQWRRLKDIATHRGDLYAVDHNEDLLAVTVDHNNDGAGGPTVSGIRRVINGDPPDLEMARSFTLHYLVDAGNDDDLLMVRREVRTANIPGRFVGVEERLTVFRADFASSRWAEVTTLGDGGVALFVGQWGSRAVRAPDEHRKWWTDQIFILDDGAGDQPVWSPQYSLSVYDMGLWRTFKILHVMQRDGNTLWPGTWLFPRQGTRDAADAAR >Et_2B_018860.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:10009312:10010628:1 gene:Et_2B_018860 transcript:Et_2B_018860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRSSTMPSGHAFFLGPVARRHRFSSSGKKKRPGALLSADDDSEQWEAAFREFVDSESDGDGDAIAPPAFLISDDTMAPVAGVSGSKPSGITTPAPARRRVRRRSGTYRGVRQRPWGRWASEIRDPVLGVRLWLGTFDTAADAARAYDDEARRIHGARARTNFPPGEAEASGGSSDASAPLELECCSDDVLDNLLAGFNDASPRSTDSWRFCSFQRQST >Et_2A_016734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27767232:27769493:-1 gene:Et_2A_016734 transcript:Et_2A_016734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRVERSEIRPGDHIYTWRAVYAYSHHGIYVGGSKVVHFTRKKEAEGSDSSDSTSILISETPSECPTFPDCGFQLPNSGVVLTCLDCFLRNGSLYCFEYGVPSTVFLAKLRGGTCTIAQSDPPEVVVHRAMYLLQNGFGNYDMFEKNCEDFALYCKTGLLPVEEPGIGTSGQASSAIGVPLAALLSTPFKLLAAGPLGMATVTAGMYCAGRYITDIGVRKDVAKVEVENLSSHIGFHLIEDEESVNKQSEKVKTLLPMKRKRER >Et_10B_003501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2189363:2192441:1 gene:Et_10B_003501 transcript:Et_10B_003501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKPPSFFIKFGGPVMEKADNDLNIRCFTKRQIKSITDNYKSMLGKGGFSVVYKGRLDDGRPVAVKRYIFTSKEKEFTKEVIIQSQFSHRNIVKLLGCCIEEDALMLVTEFVPNGNLSDFLHCLSPQVPLSMEKRLQIASDVAEALLYMHTSQSHPILHGDIKPENILLDNNYMPKLADFGISRLLSVSSNEYTGYVIGSMGYIDPEFCQTGRLTTKSDVYSFGVVLLELMTRKKAIDDRQNFLAKPFVHASTKSCRHELFDKEIATTENMAALDDIVDLALECVKFDMEERPEMRDVSECLWKIQRTREDKRCEQIVSSLQQNGFRRFISKEQIKNNFKSKPVECFMGKIYKETSGEIPSLAVKMSCNVTGVLKEFSNQMMLHSKVHHKNVVNFEGCCLDGDMPILVYEFAKANLHDILFGDRCQNLSISIEGRQCIAISIAEGLGYLHSLDIIHGDLRTTNVLVMKDISKHKYVHHVPTIKVSGIGASVYLAIAKSAHERIKAGENNGYMDPRFLESGTLTKEADIYSLGVVLLELFTGKMAVNRIRNCPLEKLWDKYCWHIKETRNIIFRCLDPDVRKRPNLKEAIWWFRVERITSDDRQNSHLCGSNCVCQVHHRYLSALLQEASEGTPDELMHRVAAGTSTPDMLVPEGGGSGHAERRRGVPTTST >Et_3B_030703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6669586:6672972:1 gene:Et_3B_030703 transcript:Et_3B_030703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIKPLGLDAGERSKRRMQPWIKAGVHFSLCFAIGALAAFAPLVTTGAASAGTIRASFLGSWSNAQRGVAPAPRPLPDTGLLLIVTVTRPDGGMEQDASLARLAHTLRHVAPPLLWIVVGAENRTATARAVQTLRGTGLMFRHLTYDAGNFTDAGEEADHQRNVALSHIERHRLNGVVHFADASSVYDLRFFQQLRRTRGLAAWPVATISQADQKVTIEGPTCNSTQITGWYTKDSNTNATQRTPTAAADTSAGNKTSSSEPPEVNASGFGFRSSMLWDSERSSIRRNSSANATQEYIQFIQQMTTTDDIKLMSFPCDCSESQIMLWHFEMPRFTPIFEEQKTQQKQNLTQREDDDLATGDTWVFFVCKEGNKTKQKIWHHHDVNSSFATVCSRTLEMGCSCGRTEQQRMIREHELDRGGMEVLGQGRSSIKAEQAGLKQRPNSIGGISCWEIRVAVQLGPAAPAATTANSSARMVLATSWYWSARPPTPYASLACWKRSQFAFPAMQARGALIA >Et_9A_062270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21317406:21324791:-1 gene:Et_9A_062270 transcript:Et_9A_062270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPVFLLLVFVLTAAAVSLPAGRAEVFPKEALPTRSGYLPISPTNASLFFAFYEATHPVTPPSATPLVLWLEGGPGYSALLSAFLQIGPYSVVRRSTDDGSASLSPNPFPWNRRFGLLFIDSPLGTGFSAAPSDNDIPTNQSVIAAHVIAALQSFFELDQRLRKRPLFLAGESYAGKFVPATGARILEANPAMPARRRINLRGAAIGNALVHPVSQVATNADAAYFRGLVGAKQRRELEAMQAEAVALARAERWREASDARARLLARMQDMTGLAALFDVETTQRGQGQPDFAALEWFLNRPEAQAALGARADAAWEVSSDAVAAALHEDVMKSAKREVEALLSTGRTRMLLYEGIRDLRDGVAAAEAWLRDLDWDGLAAFREAERVVWRASSGDGDGGEVVAGYVQSHGSLAHVVVRGAGHFVPAGNGRAAQEMIEDWVLEAGLFGRDAGRISGNDGRLGGSDGYEGSKRPRMMMESNPYFAVNAGSPLDASKRARMMESGPPYFGGMGSSAGGAGGSFYQPFSGNLPGAGVSNGIQSFPCVRLRGLPFDCNEIDICKFFVGLDIVDCLLVHKNGRFTGEAFVVFPAAMQAEFALHRNRQNMGRRYVEVFRCKKQEYYNAIASEVNQGGFFDSEYRHSPPPPRPKKPAEDKSSMEYTEVLKLRGLPYSATVEDIIKFFVEYELTEENVHIGYRSDGKATGEAFVEFPTAEVAKTAMCKDKMTIGTRYVELFPSTPEEASRAKSRGRQNRQLMDTPFGPRWKKGRDGKDLSALATANPISAAGFTEIMRMHSYFVRLQRRAPRRGGACRAAEPLGVRPARGAGERDRRGSPLQVGPEETFYLLHALQCITVVKPERKGTRRMSEEEVWAHLISARPAFPELYKATAPTLWRTATTLRLSTPSTPSSWLATWPDLLCALRAAGSVAKTLHVLTIGGGSGGDLNRPACLREMTVHERVIGRSTSQSNPNRTGRLAQLSLS >Et_4B_037364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1929895:1932493:-1 gene:Et_4B_037364 transcript:Et_4B_037364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRRPRRFLPLFAAAVFLLHAPLLVSCADIFALIYKGCANQTFPGGALPPTVAALSSALSAQSSSTKFYKTSSSSAGGSSTAVFGRFQCRGDLSGSDCAACVSRAISAWSSVCSASVAARVQLAGCLALYEVAGFPQVSGVQMLFKTCGTGGGGGGDFEMRRDTAFSQLEGGVATSSGGFVATSYQAVYAMAQCEGDLSTGDCSQCVTQAVQHVEVECGGASSGQVYLDKCYISYSYYPHGVPHGGGLGGQQTAKTVAIVLGGAVGLGFLVICLLFARSLVKKKEGELVETQAHRKQHRFLVLPVPLKLLHLLLLVLPSAHCSLLPNPCAFHPITDGRVWSIWTKALGHGVHFDRGVDVCFFKVVSGIQRGLFLALLPLAMRSRLRVGLGFVAAIVSYDLEPDLNS >Et_1B_010356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:69686:72490:1 gene:Et_1B_010356 transcript:Et_1B_010356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDAAAAAAAHKRKRPQDEEVDLSAADSVEVLDLRAAKRLLLGFERRLRDNLEARIKHPDDPARFADSEIALHAEAERLRLLAGAPELFPDLVPLGLAQSLSSLLIHDNADLAAAAASLLADLTDSDDPSDLAGVQALADALVDANALDTLVHNLSRFSEADPDEAEAVHHTLAVLENLLDLRPHLADAVCERTRILRWLLARLKVRDFDANKQYASEILAILLQNSPANQKRLGQSNGVDALLQAVAMYKSRDPKTSDEEEMLENLFDCLCCVLMPMENKDRFVKAEGVELMIIIMKQKKLAYSSAIRTLDFAMTRFPPACERFVDVLGLKTAFAAFMGGITKGSRRMRLLGKFVENECEKIDRLMEFYIRYSDRVKEETERLDNLDLEDLEMDDDERYNRKLEAGLYTLQLIALILGHIWHSGNSQMRTRIELLLRQNKLTKQDVKDILQEYHDNIGDLDGPEEKERAQARTKEIIAAL >Et_3B_027530.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25581981:25582864:1 gene:Et_3B_027530 transcript:Et_3B_027530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGSKIVSYLFGIVIVASFTTSTCIGIETKPLEATKPSATALKFLRACCNASKYVSECYDSLLPNAESFNGNHIKVAAAATDVLVSHLEAFLGELRHLNSTTTEHTLGGCIKFADASLNMSKEWSAKLKRLEAVRDGKLDEKAKGYAAKWIEKVTNKFDECTMDLGNIFNTGDVLPHERIALDFTYIAQGLVNGIPLTTAVAPASA >Et_5B_044303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22424327:22424793:1 gene:Et_5B_044303 transcript:Et_5B_044303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AEYVPELDAWLGISYSYFNALCASSDLSAAIDARQEPTLQHVWQYFNKPLQKPEPIVLSRRLGNIVLHRRSMWSPASHHLVNLGSLIFEDERSLCLRYEEQPYETKEFAVLTGVEVLRGAGDDGEGSLRMVSHKSKCYMFNNDEIKWVL >Et_3B_031689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:795244:798599:1 gene:Et_3B_031689 transcript:Et_3B_031689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGVLLLHPFNAYLEQELDRRFRLIRFWDSPPESRDDFLRAHAGSIRAVVGNANYGADAKLIDALPALEIVASFSVGIDRVDLAKCRERGIRVTNTPDVLTDDVADLAVGLAIAVLRRIPQADRYVRAGLWKAKGDYTLTTRFSGKRVAILGLGRIGLAVAKRAEAFDCPISYHSTSEKPFPNYKFYASVVDLAANCDVLVVACSLNEQTYHIVNREVIDALGPEGVLINVGRGAHVDEPELVSALVEKRLGGAGLDVYEHEPFAPEKLFGLDNVVLVPHVGSDTEETCRAMADLFSGKKVGIIGLGRIGLAIAKRVEAFDCPVNYYQRTKQAYPNYTYYPTVVELAANSDILVVACPLNEQTRHIVNREVIEALGPKGVLINIGRGPHVDEPELVSALVDGRLGGAGLDVFEDEPNVPEALFELDNVVVVPHVGSATHETRTAMADLVLGNLEAHVLSKPLLTPVV >Et_1A_008134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4598311:4601682:-1 gene:Et_1A_008134 transcript:Et_1A_008134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKGWFELGRQRERLFCADKKATREAKEDKNLALRVKRKQLKRKKDRGLDSAVEGEATVKNGAKEDKELARRKKMALKKQMKKNKHAKVKSNHAMADDVVALMSDSKDNATPKLKKKIKKKAMENSSPVKVHESSLVDDDTETSKLKRKKKKLKEGNSSSRLNDAEEILHESQDEETRREKVKKSGKANKIDKNAASTENSLEMHVEVSTANVDEIASVDEDCSRGMKKWILEYKQKRPGLKILQERIDEFIVAHEEQQEQERKEREARAAEDGWTVVVHHKGRKKTTDAETGTAVGSVSLAAMQEKMANKKPKEVAPNFYRFQKREAHLSELALLQSKFEQDKKRIQQLRAQRKFKPY >Et_8A_056082.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17619134:17621221:1 gene:Et_8A_056082 transcript:Et_8A_056082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLLDPLRAFQRHTRSIPDQLRRCCAATPPASSSAPPTATSSRTTSATPPAAPPPPPRRRQASPPRHRPATPPRRPPIPCSTFSTRRRAPLPPRPSASASHPPPPPTPNPSSPLASQSHPMEVEIKLRLPDAAAHRRLATFLAPRLRCTHAQRNLFFDAAARPLASAAAALRVRLYGPDDRAPARAVLSLKRRPRLVAGVSRVEEVEEPLDPALALACADDPARLGAVDSPIIRLVADEYGVGGDAAPFVCLGGFRNTRSVFEHDAEGLGLVLELDETRFDFGTSYELECETEEPDKAKEVLERLLTVAGVPYEYSRSNKFGCFMAGKLLP >Et_3B_031435.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:29546042:29546347:1 gene:Et_3B_031435 transcript:Et_3B_031435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRTARRGALHRKGSMQRRPPATVGTRQRGQGTPEYFSAELVLVFLCVTASLVFLPLLLPPLSPPPFLLLLVPVGLLAVLVALAFVPLDAQSNLVGSSRL >Et_4B_036843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11979594:11982603:-1 gene:Et_4B_036843 transcript:Et_4B_036843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKGLARRWAVELHDASSSSSSGPAVPDPPGFTRSVPDADDAAGARQRKDSETAWKAQKAWEVAQSPFKNLMMMVFMMWMAGSTVHLFSIGITFSALWQPINALRSVGKVFEPFKDPRVDTLAPKLLFIALNLAAMGLGVWKLNTLGLLPTNPSDWVSSLSPALLFGHARDELWLDNNGPACRDFYVPCY >Et_3A_024983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25948137:25955450:1 gene:Et_3A_024983 transcript:Et_3A_024983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYGLFRVAAGDGGGCLPLPIVASEAALAVVDGSIAAAAFVQREFMGTISIKDGLGKSIRSIFRIYHHGYLSEMDLLGTWMRICSHGVDLCHQTNDEDEDDVRSHQEALLDRTKTKSGIRPVNIRRRCCPGIQLGSRQKFVLILSFLVMFAFAILIWVGRGENPIDSSLLKRVYLDVFSVVVIVLGGALACYGALLFSKMSKVRSETVSTEKWKVTSLAAVSLICFSSSAVLALVTNVPVLLYWYSTDADIIYNAVILFVYYFIGSSVPSGFVLWIMRDLPHRQAVERPIESRVITLFRERPSTTQDPQWRTVTSSNKAYRIEIANLGSP >Et_2B_019688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12317130:12320979:1 gene:Et_2B_019688 transcript:Et_2B_019688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKCRRLEGKVAVVTASTQGIGLAIAQRLGLEGAAVVISSRKLKNVDEAVEGLKAKGITAVGAVCHVSDAQQRKHLVETAVKNFGHIDILVSNAAANPSVDGILEMKESVLDKLWDINVKASILLLQDAAPHLRKGSSVIIISSIAGYNPEPALSMYGVTKTALFGLTKALAAEMGPNTRVNCIAPGFVPTRFASFLTTNETFKKELIERSTLKRLGAVDDMAAAAAFLASDDASFITAETIVVAGGTQSRL >Et_6A_047162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26098337:26099707:-1 gene:Et_6A_047162 transcript:Et_6A_047162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGRVPAGDVLFPRVAALGRALTEEHLAKPLQTAPAALSGTDLTEARPLAPRFPTTRRPERSRLAASLLCPQIRRWILTPQHLPTAFAEAPVREFAGEDDAYFLIYVVFFTGMVVYLAKVSENWWRMGLSFLALLAITIITFWMISQTRKLLVKFFATKRSAGTDSDVSTKLLASEK >Et_1A_007464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34895744:34899171:1 gene:Et_1A_007464 transcript:Et_1A_007464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPTLPFTPKKPQQMPVYKDLHFNQDLSATKKLQAGVDLVARLVGVTLGPKGRNVVLGNKYGPPKIVNDGETVLKEIELEDPLENLGVKLVRQAGARTNDIAGDGCTTSIILARGLIAEGMKVLAAGINPVQVARGIEKTAAALVSELRSMSREIEDHEIADVAAVSAGNDYDVGNMISDAFQKVGRKGMVRIENGRGTENCLEIVKGMQFERGYLSPYFVTDRANMLVELTDCKILLVDKKITDAGEIIRILDSAVREDYPLLIIAEDVEEKAMADLIKNKLKGTIKVAAVKAFSFGEQKTQCLDDIAVMTGGTVVRDDMGYTLEKAGKEVLGAASKVVIRKDSTLIVTDGSTRHAVEKRVALIKGQIENTMEKYHKKILGERIARLCGGIAIIQVGAQTVIEMKDKKLRIEDALNATRAAIEEGVVVGGGCSLLRLSKKIDVIKESSLENIEQKVLRNSDTNYGYNAAHNRYEDLMAAGILDPSKVVVRCCIEHAAVVAKSFLTSDVVIVEAKESKPVRIRPPMPPRSLIPPMPASGHSKEDIEKIPQTSLLTIALRSSVCCRIDKISSK >Et_6A_046908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22165075:22165811:1 gene:Et_6A_046908 transcript:Et_6A_046908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHARVLTKTGARSIELATASKLQDTLRPRVAADDATLRRQLPVAAPASGAADCAIYLQDLVVVDLEDEERVTPRAMPCSHDFHERCIFQWVRHSRDCPLCHRTLPTQEQQEDDYHDDDRLQLTMPVPREMLQVEVL >Et_3B_031262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23050669:23054015:-1 gene:Et_3B_031262 transcript:Et_3B_031262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKTVLLGKKSTKSGSTKTNESKAANNNGYSTGEEVAPSGNSPVISQPVLVNVHKSGPVSTDGKAENSDLPSDRAGQQGLHNQSIPEPKLLGREELGEQQAAVKAQAAFRGYLARRSFRALKGITRLQALIRGHLVRRQAVSTLHTTWLIVKFQALVRGRNVRLSGTDTQFSMTFGRLKFRGANSSDAWKEKLSSNAYVRKLLSSPISVEPLHFKYDKRDPNSVYNWLERWTISSIWKSVSQPKRVTDGKPQVKKASYAMETESAKLKRNVRKGSAVTVESFHTSMAVESEKLKRNPRKLSGPPSDSVPDSQLSELEKVKRNLRKATNSMVEASKLSSARADSSKVSNSTADVPKVSNPAAEISKTPSIMNGISGHQDIQCEKALQSTQEGSFPLETKENSDNGHLLEYSNIDDFNLLPGLRSDLETRLDSFSMVDNVDEPTVGAPTVEVMPPQNTNNEDNVLWKKEEARSKEEHQSNGSLKTNKRKSSYSNKSEHMENGTHTPPVQPRKPSYMAATESLKAKLRAQNSPSLDSDSSAEKNGFTRRHSLPSSTNSRAIKAEWRR >Et_9B_065075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2156693:2159085:-1 gene:Et_9B_065075 transcript:Et_9B_065075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVSLGASRPSAVSFRMPTRDNLVPIRVDVEVDGQRYRDAFTWNPRDPDSEIISFAKRTAKDLKLPATFVPPMLQSIQGQLAEFRSYEGQEMQIKEKIVPLKIDLRVNNTVIRDQFLWDIGNLDSDPEEFARTLCDDLNIVDPEVGPAIAVSIREQLYEIASQSVSIMREKVSKKRRAPEFSSNSKAVNNAVDLFKYFGSKGSVIRKRKEWYLFEPVIDVIRNEEAGADAKEELNSSSRPKKRLDEVKDASL >Et_1A_005041.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23079221:23079568:-1 gene:Et_1A_005041 transcript:Et_1A_005041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKVMVQLLLVSALALILFETPGVRGFDCFEEKLSVLRECFETIKKVGPYKPPRNTCRAVVEQSDMNCICMILSKRDVEKVWPKKLVRLAADCGRPVPVGHRCGPGKYIVQFNT >Et_9A_062518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23719830:23721660:1 gene:Et_9A_062518 transcript:Et_9A_062518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGSETESLASRRSKKPKYSKFTQQELPACKPLLTPGIVIGAFSLIGIVFVPIGLASLSASQAVVEIIDRYDAECVSANDKVGFIQDTKTDKACTRTITVPKPMKGPVHVYYQLENFYQNHRRYVKSRSDKQLRYKDSANLIKNCEPEGTSADGAPIVPCGLIAWSLFNDTYAFSVNKKAIEVNKKNIAWDSDKSSKFGSDVYPSNFQKGGLIGGGKLDEKMPLSEQEDLIVWMRTAALPTFRKLYGRIETDIRANDEITVVIQNNYNTGQRLWSWIGGRNNFIGVAYVAIGGICLFLALGFVVLFVIKPRTLGDPAYVSWNKEALDHPN >Et_1B_013371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7919070:7922460:1 gene:Et_1B_013371 transcript:Et_1B_013371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQSISPASASAQFTYPAAAAAAASTPSYFPVPFHLQNAQYTTWPATAPAAPAVAPVPTYNAVYPMPQVQQAQQLFQKDSNIITPEALATVKAAIANSDKDKKAAAEATKKAVPRKAAGQSWEDPTLADWPENDFRLFCGDLGNEVNDDVLTKAFSKYPSFNMARVIRDKWTGKTKGYGFVSFANASDLAAALKDMNGKYVGNRPIKLRKSTWKNRIDFEALEKAKTQPQKKIKVQKRSVLHNVQPFLSSAGPG >Et_10B_002641.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19948164:19948463:-1 gene:Et_10B_002641 transcript:Et_10B_002641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDWQPWNRHAVYFRGALYVHCQNNYVMRVSLNSSKYQMIIPPADNQVVLNDGVVCLGKSEKGVYFALLWEENENVCMATVSSLVAQQNMRSYDTRKH >Et_8A_057128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21462019:21479676:1 gene:Et_8A_057128 transcript:Et_8A_057128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGASPAPAAAVQVRCAGCRGVLAVAAGMTEFICPKCRMAQRLPPELMPPPPSPPKASPIPPPPVPPSAPAAPPSLPPPPPPQLQPQPPPHLPVPLRRSAPRAQGVDPTKIQLPCARCKAVLNVPHGLSRFRCPQCDVELAVDMSKLRHFLAAAAPSFIPAPLTPPPPVPMPPHMPFLPMMPRLPVPMAPMVPPPELPEEINEVAIDVEREEEEGGTIGDTFIDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYSLTIMDELNGTNALSCLQIETVVYACQRHLHYLPTGARAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKALWISVGSDLKYDARRDLDDVGAKCVKVHALNKLPYSKLDSEAIGITNGVIFVTYSSLIASSEKSSSRLQQLVQWCGSDFDGLLVFDECHKAKNLIPEAGSQPTRTGKAVLEIQEMLPQARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQNFHQFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGADFDVLEAPLEEKMMTMYRNAAALWAELRVELLSAIEYYAEDKSNSAHIWRLYWASHQRFFRHICMSAKVPAIVRLAKEALAEEKCVVIGLQSTGEARTEEAITKYGIELEDFVSGPRELLLKLIEDNYPLPPKPDCFQQGEETITESQRKRHCGPDVSLTGQVSKFAKMEDVGDDGVDEYCPPAESDHESTESDEDSHTCQICNTEEETSLLLQCSVCTTHVHPGCLTPPLTGMVANDWSCYGCKDKVEGYFIARDAYLTELSKRYDAAVERKSKILDIIRSLDLPNNPLDDIIDQLGGPEKVAEITGRRGMLIRASDRKGVIYQSRNTKEVALDMVNMHEKQQFMDGKKHIAIISEAGSAGVSLHAERRANNQRRRVHITLELPWSADRAIQQFGRTHRSNQSSAPEYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLNAFNYDSNYGKRALTMMYRGIMEQDALPVIPLGCSENQTTLQEFITTAKAALVAIGIIRDPVLCNGKIGGKLTGRIFDSDMHNVARFLNLIKNAQNEGQLDSGIVDIKAKSVKMKESPKTVHVDSLSGASTVLFTFTVDRGVTWELANSMLEERLKDELCSSSDGFYESRREWMGRRHWLLAFEGSTEGMYKIIRPAVGEASREMPLVELKSKYRKVSSVEKIGKGWQEEYDASSKQCMHGPKCKLGSNCTVGRRLQEINILGGLILPVWGVVEKALAKQVRRVHKRIRVARLETTNDNQRFVGLIIPNAAVESGTLDDYECATEKHLDAAFLYPSTDNGYGNAQRNCWVLGWGGGGGLQ >Et_3A_023357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22661778:22662608:-1 gene:Et_3A_023357 transcript:Et_3A_023357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQVLEATKVGRAVNAPRKNASSDLARELAASLFARWKALAEEHFRSQSPVSVARTDASSEKKLAPLSSPETPNAAADKGAKRSLPVKTKPTAAPSVSNACKRKVKRRLQEGYKEAAAAKEKRRIQFISAPGQATRGPVVVRRREVLRCGAAIGAVKQAPVTGAFFSQDVLEPHEQQAAGVGVY >Et_2A_015174.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34336664:34336936:-1 gene:Et_2A_015174 transcript:Et_2A_015174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLEGEKVRNNRFFDFRALQPVALAQEIIDEARLWALSWFLKLRVVLDPDAWWADICCSRVVLLLGRLGLGPLSCFVYLLLLFLGPRAL >Et_3B_028027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32222502:32222876:-1 gene:Et_3B_028027 transcript:Et_3B_028027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAERKAREAERERMRERARRAREAGPDAFRKGKYPRCTQ >Et_4A_032867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14944791:14946462:1 gene:Et_4A_032867 transcript:Et_4A_032867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPNSATGMAVSDGLPDQAAPLKKTNVRFAFACAMLASMTSILLGYDIGVMSGAALFIKEDLKISDVEVEVLLGILNLYSLIGSFAAGRTSDWIGRRLTIILAAVIFLVGALMMGFSVNYAMLMSGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINVGILLGYVSNYAFSRLSLRLGWRLMLGVGAAPSVALALVVLGMPESPRWLVMKGRLGDARAVLDKTSGSPEEAALRLAEIKAAAGVPDGDGDVAVPKTRTGGGEEERRVWRELILSPTRGVRRVLLSALGIHLFQQASGIDSVVLYSPRVFQSAGISDKSKLLGTTCAVGAAKTVFILVATFTLDRFGRRPLLLASTAGMVACLVDLGTGLTIVGHQPAGTTIPWAIGLCIASILGVVAFFSIGLGPITWVYSSEIFPLHLRSLGCALGVGLNRVTSGVISMTFLSLSKGITIGGSFFLYAGIGSIAWVFLPP >Et_3B_029082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2229716:2232130:1 gene:Et_3B_029082 transcript:Et_3B_029082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHCGCRHDIKKRSQEIILSVNHATAHWRLQQQATNLAQLESQKAMTVLSWSLILLDMGSDGHMASLFPIHPSLKLKDDVVTLYITVAAVSTLVQKIPSDAPHWRRLWPQRSEPLSGRTRGRVSTDEAGMPSRAPPPSAFWVAANGGHLRSRQQRKGEPVSARFERGYERNGSLRFLMGAEDAEDEGTPPDTPAAAASRRTGGRTGGGEARGTQSRGFSAKIWIATINRDPNIYT >Et_1B_012534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32931506:32936000:-1 gene:Et_1B_012534 transcript:Et_1B_012534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIGGRRKAGVEERFTRPQGLYEHRDIDQKKLRKLILEAKLAPCYPGADDASGELEECPICFLYYPSLNRSKCCSKGICTECFLQMKPTHTARPTQCPFCKTSNYAVEYRGVKTKEERSIEQFEEQKVIEAQLRMRQQALKDEEAKLKRKQSRCSSSRTVTPTTEVEYRDICSTSFSAPSFQCTELGTECSSSGPSCSTQASMRPFHSRHNRDDNVDMNLEEMMVMEAIWRSIQEQGNLANPVCGSYFPVMEAPSRERQAFLPAAPLEIPHPSGYSCAVAALAEHQAPSMDFSYMAGSSTFPVFDMIRRPCNISSGSMCGMENSSLDSWSGIAPSCSREVMREEGECSTDHWSEGAEAGTSYAGSDIVADPSTMQPLPFAENFAMAPSHFRPESIEEQMMFSMAVSLAEAHHGRTHAQGMAWLRARLHTIPNSKP >Et_1A_006673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2630255:2632030:-1 gene:Et_1A_006673 transcript:Et_1A_006673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMLVVELGLIMSSSMQSSYLPATTESIAKAQEAKDASESISILYQLLEDPSTSADALRTKELAITNLTNYLTKENRAEDLRNLLTKLRPFFALIPKAKTAKIVRGIIDAVAKIPGTSDLQISLCKEMVEWTRAEKRTFLRQRVEARLAALLLENQEYTEALNLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPSQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFSSLDDPKAISSLKYMLLCKIMVSQADDVAGIVSSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIAHIAELIELPIDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKTEEIFPATLETIANVGKVVDSLYMRSAKIMA >Et_3A_026107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4391242:4393357:1 gene:Et_3A_026107 transcript:Et_3A_026107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFHSSPAWLGLRETGASGAAARLGLATEVGSSGNGLTKLFPIRGISTTRLLAADDAMVPISSPLTPPSGDAEETDKSGAVVKKLKVQAIKKDIKQSPKKVNLVAKLVRGMRVEDALLQLQVTVKRAAKTVYQVIHSARANASHNHGLDPDKLIVEEAFVGKGLYLKRLSYHAKGRCGVMVRPRCRLTVVVREATAEEEAKIAKLRVSNYKKLTRKERQLMPHRLIEVSPRWARKRKEAGATA >Et_4B_037100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14688189:14691174:1 gene:Et_4B_037100 transcript:Et_4B_037100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTKLAGGDTSVCYERLRARAEARHLSDRVLRETAQRAREALAGGISACLPDGGKRLRLRLEASLGELSNRGLGKFVCEIYDSSDPRAIAECVQCKATLPHSASLEAATQRAGPVSVVATASLEELYGNNLEVVEAATRQLLADAVADSGKELQLQGDIRGEAHELDRVVCEICGSGSNPHLIANCVRCNEYMHWYSSTFLPVYFPSMVTFVIPDEWCCDGCKERLIGQPDPSLAYKLPKNGSTGCRLCVSVTKSGWS >Et_4A_035657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3297885:3307712:1 gene:Et_4A_035657 transcript:Et_4A_035657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPLCNPPPASEVSERELSANQLRRCFFRSNSTNYSPQVIREPEIGCRGYANNAPELHGNKGNLLKCGSHHVGEKRNSILAVDTEKAEGFDLKLETGGKSQADVHSAVKLYSGIGRIVIAKCSHIFESRGDTFDGKCNLQDALKPGLWLSPETLRRYWRVSELKPEDFLDILIGFGSGAIEVRKARFLWNLYRWASWQNKEFRHLPRSNETMVSILINAHMLRQAESLLLSLADNMAPAVASELFSQIIQVYSESGNLEKSSKLYDFARFKRLIPSVSCYQGLLSFLIRKRADALVLKIYLDMLQVGLGSCTKGDVLDFVVVALIKKDKFLQVLGILRQLKNLGLKLSKGSLSTVVEEFNKKKDIGDMMNFLEEWQCLPEPEGLYRHAKYVFEDMVERKVRPDRSTYKDMKTDGLNELPSGNCVLSKALTFLGLDHLEVKVKRDNATGFPKAEFFDSVGNGLYLDTDSKRFEISLAQIFDNALHLDINSQLVSASQQGNVASALLVKDEAFQWGHIISSASCSELIKALCVSPAYIMDVIELMEQMPDTFDKVDAQTLNIVVRTLSSNGMTGYTSVGCAMLEALIEKLVVVDESLLLNVMEGFLKEQKTAESIGLQVPMKHKKVNTLTPPTDPLLVYSRWAEPRKIQWFIKAEAIDIVQLTKGKEHQHLKIREKNLDPHGKPRPTWEGVHFLAEQLKGND >Et_5A_040632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10635467:10635858:-1 gene:Et_5A_040632 transcript:Et_5A_040632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLACCSTVMPSSCSTVMPLPSPRAEALVVSVDYRRSGFYSSTAGAWPHSPTHGLLAMLITSGCSSPDLSGGGNIVHALNVVAWAGTPDGDDIDIEDLILL >Et_7B_053527.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6892890:6893060:1 gene:Et_7B_053527 transcript:Et_7B_053527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGPCRHCGVTSELLFLNKATKFILLVLPPPPPPPLCSSASSDFRVVVFPKLYI >Et_1A_009176.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31952037:31952516:1 gene:Et_1A_009176 transcript:Et_1A_009176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSHISLPEGVQYDVREPNLGLSRAEGPAGVYLVHVDGYELSVWLYSADTSGGVFGWKLVDTLHLPQAFGQLADSSGLSVGAICNVSAVGDNASFVFLQIMHELFHLHVSSREVKKVSELPLSVRDLYLSHRLMVKVDPVMMVSPPSLRVPGSIKFSD >Et_5B_043753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15422740:15428004:1 gene:Et_5B_043753 transcript:Et_5B_043753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKGGGRIPVPPAGAGALAKVAVIGGAAVYAVMNSLYNVEGGHRAIVFNRIQGIKDKVYPEGTHFMIPWFERPIIYDVRARPNLVESTSGSRDLQMVKIGLRVLTRPMPERLPTIYRTLGENFNERVLPSIIHETLKAVVAQYNASQLITQRETVSREIRKILTERARNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAIIRAQGEAKSAELIGQAIANNPAFLALRQIEAAREISHTISTSANKVFLDSHDLLLNLQQLSVANKQKK >Et_2B_019639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11628274:11631992:-1 gene:Et_2B_019639 transcript:Et_2B_019639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAMVNAVGGKDYPGKLTLFVFFTSFIAATGGLIFGYDIGISGGVTSMDPFLEKFFPEVFRKKQMADKSNQYCKYDNQMLQTFTSSLYLAALVASFFAATVTRVLGRKWSMFGGGLTFLIGAALNGAAENVTMLIIGRILLGVGIGFANQSVPVYLSEMAPARLRGKLNIGFQLMITIGILTAELINYSTSKMKGGHGWRISLALAAVPAVITLGGMFLPDTPNSLLERGHADEARRMLRRIRGHVDVGEEYADLVAASEAARQLTMAVAIPFFQQLTGINVINFYAPVLFDTLGFGGDASLVLRRHHGTHHGARLPGVHVHRRPPGPARRVPAGRRPDGRDTSGIGEMPRGYGVAVVVFICAYVAGFAWSWGALGWLVPSEIFPLEIRPAGQSINVSVNMLFTFFGLFYFLAGWVVIMTVFVALFLPETKNVPIEDMILVWKSHWFWGKFITDDDDIRVGSNSVKTADA >Et_10A_001369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23779123:23790487:-1 gene:Et_10A_001369 transcript:Et_10A_001369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTPSTRWCPTPEQLMILEEMYRSGVRTPNAAEIQQITAHLAYYGRIEGKNVFYWFQNHKARERQRLRRRLCARHHHHHQPPPIPPPPAAGSSAAAVTVHPASYAAASFIQHPHLGGYMGMGTLPVVQHPAAARGGGDKMATAPTGGAAFYSSGNNNNNNCSQQDWTTMSMEPNCTASPGSSDDGFPLPPCCRRPLKTLDLFPTKSSTGGLRDECSSSKSSSGRVAKSPIDYLLGILISRLRGVEQVGSSTCRRPEIIGKRIPKSNKTYISSTRPSKIDEIKLSRLDHFLTLRAFLQYPVDLSSKRNHLFLESPDKDTSLAILHECHGLLGLVVIEFRFLGS >Et_9A_062806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5111860:5115882:-1 gene:Et_9A_062806 transcript:Et_9A_062806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMMLGVTLMAKNLMGAALLLSLQEGSLVVQEVDVNIWAGGLLRGRSYSRSPSPRRGEDRVDRHLQGRIAMVLVTRNCCQETTAAAPRATLQGDELNTMVRIMVGAPGEQEGISFLAPAAPTFLMTSENNLTLFTFPRH >Et_8A_057777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6986249:6986636:-1 gene:Et_8A_057777 transcript:Et_8A_057777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYCMTVRMNIGCNGCYQRIRRALLQMQDLESHMIDRKQRRVSFSGAFAPQDVAIKLRKMTNRRVEVLEIKEVDGGADPTAAGGGGHRP >Et_7B_055662.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:20201305:20203548:-1 gene:Et_7B_055662 transcript:Et_7B_055662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFNPPVPQQDNDWEIRVAVLLSLTFQIFLIFVGPIRKRSSHPFPRFLVWSFYLLADWVADLALGLLLNNMGNIGGGGESSTSSNSGTSPIIFAFWTPFLLLHLGGPDTITAYSLEDNELWLRHLIALLFELLSSFFVFFCSLHGNPMIPATILMFVVGIIKYAERTYSLYSGSVDGFRANILDPPDAGPNYAKLMTDFDAKQKAGLVVRIIIANGQADKAQEEMEKKETTRLVVTSKKSTEARAYEFFLIFRRLFVNLILSFKERRLSQAFFLDREDMTPIEAFEVIEVELNFIYDMVYTKAPVAHTRTGWVLRFLCSSCLLSTLVIFFLLDKASHNISHVDVGITYALLLGGLALDAAALLMLLFSNRMTVFLEKYQRLGWIPKLTKKVARRFQLRRWSRKTSQLNLISYCLGKPDNYSNVNGCCLWLKVNKVADKIGIKEIVDDFVFIRRIPLIKQDKKESPLLKFIKCVTLTDEHGKAEESPLLEFIFSGLKQAAKQRKGKDEIMELCSCRGKLVMKHHEKAIKDALRDDEKFNMILNSVEDKDFDESVLLWHIATDLCRLKKQEGPTAKETALMQDIGETLSEYMLYLLIKQPGMLSATAGIGLLRYRDTCAEATRFFSSMATWNLDHVDARKMLLSVNTTKRPAEVKGDRSKSVLFDAVILAKVLRELDEGIMWTVVTEVWWEMLTFAAGKCRGSTHVRQLSHGGELITLVWFLMAHMGLGEMYQIQAGEAKAKLIVVDQ >Et_2A_017206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3281333:3284412:1 gene:Et_2A_017206 transcript:Et_2A_017206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPTALGRLLLLHSTPSSSLSSPNPGTVSAQTPRLPATSLAISRRMAGCPPAAIAGSSGDSDRDLSTSALSMESLDAAIASDSGLETKEPSVATILTSFENSFDMYGSMSTPLYQTATFKQPSATDYGSYDYTRSGNPTRDVLQSLMAKLEKADQAFCFTSGMAALAAVTHLLKAGQEIVAGEDIYGGSDRLLSQVVPRNGVLVKRVDTTNISDVASAIGPLTKLVWLESPTNPRQQITDIKIISEIAHSHGALVLVDNSIMSPVLSRPIELGAEIAFLQNAEGSGLAPFDCWLCLRGIKTMALRVEKQQANAQKIAEFLASHPRVKKVSYAGLPDHPGRSLHYSQAKGAGSVLSFLTGSLALSKHVVEATKYFNVTVSFGSVKSLISLPCFMSHAAIPASVREARGLTDDLVRISVGIEDVEDLIADLDRALRSGPV >Et_5A_041020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16951930:16952278:1 gene:Et_5A_041020 transcript:Et_5A_041020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDEKTITKIIPANNFIFKRMVVYDTSATMVNESMICFTIKGMTPSSLLWPSNYCVLSPVLWK >Et_6B_048474.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:897618:897692:1 gene:Et_6B_048474 transcript:Et_6B_048474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCRQRWDEAPISSPWCSLFSPM >Et_3A_027359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8753395:8754676:-1 gene:Et_3A_027359 transcript:Et_3A_027359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHRGFLHPTTHADADPAAPQGRTGNATTATCTIKGNKKIQVTFYPAHPPRVSYFCFYCSGVESSPFDDEPKIIATDANFVLLRVSFGSGNAMIGYDQSDYYIYNADVPSLEQLPHPRPYVGLLSRGKKSYTVVALRDDASAYFRGSSVPGEFDVCLFHSEDMVWTTKAVTVPPNQQRKNNSEEFRHGTTKVITVGGEGGTMAFVDIQRGMLIYDVLFGDPMLRYIMLPQQPQEISPHQRILNHSASIARDVALVQGSFKFVEVATIMSRASGVHNKCYDVDSWMKAISSRDESWSQGRKVLSTNITVDSNLLPEGNSPRDIEYLLIGHPMHSLHDDVVYVMAKFESCDSRAWIMAVDMMSGKLREINEFNAERTYGFRLTYTNSRISKHLKMSPGNYN >Et_8A_057522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:408714:409711:1 gene:Et_8A_057522 transcript:Et_8A_057522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGDGDKLILRGLQFHGFHGVKQEEQTLGQKFVVDIDAWMDLAAAGESDSIADTVSYTDIYRIAKSVVEGAPHNLLESVAHSIATDTLQKFPKISAVRVKVGKPHVAVQGVLDYLGVEIMRRRKA >Et_5B_045166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10684217:10685132:1 gene:Et_5B_045166 transcript:Et_5B_045166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTPLRSLLQIHIFNNHRLAPARVSSLIQSAARLAPVTLRVLFYGDILHNRIEDLPCFHRSTGIWLGVPDVHFTLPVPRDFPVLETLSLDSSQIDLADLIPRCPLGYSNRAPLLEELNVYTGGRIQRIDISAPLLKKLRLHAGGGLITPSPSNEFSLSYSAPMDEELSWRCDKFSNVGFGHTWRLGSLCLGMQEIPVRNSNNQLTNNREISCPQKQLPCVNVLSLDIGTSDILSDPSQSITQAISKFLVTNFSILELKITRPGHC >Et_3B_029644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26761687:26764722:-1 gene:Et_3B_029644 transcript:Et_3B_029644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWRISRSLLHAASASASSAARRGAAPRRWAPPARALNRCCGDLRGFASLPEVARRDREPEAEVTAEEARRLMRLANVEALKQRLGDGEVIPYADLLRACEEAGAARTRAEAAALAGALDEAGVVLLFRDKVYLQPTKIVDLVRKAMPLALTSESDPRKEELKQLQAQLEDINKLAHKQVRRILWSGLGFLITQVGLFFRLTFWEFSWDVMEPITFFTTTTGLVVGYAYFLITSRDPTYRDFMERMFISRQRKLIQRQNFNLDRYLELQRYCKDPLERVCGTDHSSNPDMAHLYELIHK >Et_2B_021885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6578743:6584409:1 gene:Et_2B_021885 transcript:Et_2B_021885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNVKTLKGTSFEIEAPAESSVRPLFPPTSTFRSSSFPQSQRFRTIPGRFGGGSVRFRVACGRGAWALVPRGVLRAAGDWDRLPCGAWNWEWSLMGVVYGFLWVGDLRVLVAEVKRIVESSQGANVYPADQLMLIYQGKILKDDTTLESNKVAENSFIVVMVSKPKASSSGPSTAAKTPAPVPSAQPAAPAPPVASVARTAPSQAPVSASEPAPPSAQPPAAAVTGTATPAATVAASDADVYSQAASNLVSGNNLEQTIQQILDMGGGTWDRDTVVRALRAAYNNPERAIDYLYSGIPESAEVPPVARAPASGQQTNPQAPPQAQPAPAPAVQPAAAPAGPNANPLNLFPQGIPSGGANPAAAAGAGTGALDALRQLPQFQALLALVQANPQILQPMLQELSKQNPQVLRLIQDNQAEFLRLVNESPESGTGGNILGQLAAAMPQALTVTPEEREAIQRLEAMGFNRELVLEVFFACNKDEELAANYLLDHGHEFEEPPQQQ >Et_10A_002051.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20532544:20533170:1 gene:Et_10A_002051 transcript:Et_10A_002051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDCGNHGDDDLRSACRRLLAFLLALALIVAIIALIVYLVLRPTHPRFFLQDASLRQLDLSNSSGLLSTTLQVTIASRNPNDRVGVYYDRLDVYASYKYQQITVAAALPPVYQGHGDVDVWSPVLSGPSVPFAPYLAGALSQDCQAGYLILQVKIDGRVRWKVGSWISGHYHLFVTCPAFLVTGGGNGAPGASGFKFQTTTYCHVEV >Et_4A_033496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23919223:23922679:1 gene:Et_4A_033496 transcript:Et_4A_033496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALARVGRGLAAAAARPSVEAGRRGQVQPARGIVVEVRDGNLERALTIMERKMRSSGMERLIRARTDHHVKDSEKRVLARKALMQRVKSQELGKKLREILIKKIRSGPCPRPSPLLLPANDPFLCACLPLWVSERYLSRQHIARSPDSLFCRFVEQPSKVFEDDEELYNLTQKTEQLGPYIR >Et_9A_061678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15193612:15194783:-1 gene:Et_9A_061678 transcript:Et_9A_061678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPLFICASSNVALDGRAVARVVVVELGPEHDAAVLGRALDERQHLRRELGGGHEPCPGGVLGCRQAQKYLPGRHRLPPLPRGEERAAPRADLARLVVVELHPHEVEEPRVDRAAVPLVRERALRRRAQQHRLAALLALAHVLQVPAQLGAALLDVEVDAVEDGVAERAGRRRAAEVVVPEVRGHLARVRLRREAVAPDAAADGEQHLDAAALARLDVLAQDLAGARRRVAVAGQVQRRRLAHAELEDEGQDDELVVARRACLGQGLLAAVLAPVHGDAAGVACGEERQQQEGGNELDGEMSSACHD >Et_3B_030440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3868485:3869904:1 gene:Et_3B_030440 transcript:Et_3B_030440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCYLRQAVSRAEAVSERRSLFWQVDASPAPRAEIICPQPRRATRPPFPMETANRVSPRPNGLNDVDGDMNSSSPVGFYCGSPPVRTKNPIIYDPQFGKWTPSFSPLGNSFGQNPSGRVEIGSTSCAASNPKVRIEGFASAKSETSYAVTFV >Et_4B_038839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5193249:5198668:1 gene:Et_4B_038839 transcript:Et_4B_038839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKSMSRPDSSDKHRIPKSSGGSYSKPDARVKLIPAEEITFVQHRRPNCRTVGSAGLQKRQRRRSITPPPSSRKVSVVPMLPAASHKLSLSTNRLETAENGHSLIGDYITSSATNHIASLKNPAPPGCKSSQLSSTSLNTDAFARDFGRMDITEEFSRYYGSHILHSQGQSSKWPASLTKEAAINSDSPIPKQVIAPQSSEDNLGTKAVCAPENGKLYGGTCNVASRSTGPPVLQPPIEESVLLSPTSVVRQQSTVVYPERIRKPAPHLRKHVLPIPSIEVYPNSNAGPMEAAINTVSSSPKRVFGPPRSSDLHLAAKDGPPSKSVCTPENGNPCATSGNIAAKITAAPIWQSSVVESASLSPAPVLGERSTELYPDATRTAAAPVQKKSILKNVFQQKPILKHVLPSPTSLLSERSTELPPSSKEGLKEVVINHVSPGPQQVLAPCSSEDHLGTKSGPPSKSLSTPVNGELCGTNCNLGTRNNSEPVLQSPVLESSSLSPIPLPGETSTKVYPDRISIAVPTQIAPVAKHILPSQTSLLSERSAEVHSNSSTKLYGSNYLLNGKCDLVPLQHKRIPQTHSLQPTAPAQCSASIISDASSEFHCKGVEETGAPAILHTKLHRKHYQSEAPWKGNFHVTGELKHICDGLEAQFPFQIFLRVYEATKKMPESLKLEAVALSQLWPKKFQMKPPDGNDIGLCFMSSHQRPHRSYDHLLEKISSHIGLWINIGDTELSIFSSKLLSPDYQRKDGKLYFWGVFGKRLRKNQRHCGNHITSIKTRNPSQPEDSHDKSEEIGQKLNGAEAKETESSQPENRMTVDARGGKDRDVVKSKEIGSTIDATGDKVIVTDSYEIAKVLDFAGGNKTDRVNKCALVLGTPGSDAASSLAATAATLRTECCSHDAANKSTSSLADSLLQPANMSSAGSDLMLDTHPGVSLNIPPGFTKAQCLTEANDMSYVDAPPSVGMGTPAGVPNNIPPGFTEGHRRLPTITPTEPETGVSTPVAEKKPIIRFSLNVPRLVKKEMPPGFTTPNAVKKEPESPAVDKATEKLRPSPLAYGASPVRKITVNEVQSCFTCFFFNKKYCLLPMLRFFILDVGD >Et_4B_038588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3085855:3087142:-1 gene:Et_4B_038588 transcript:Et_4B_038588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEKDRSEISGPLLLLQLWSWSRLPLGHLKNIGLRTNEEEEQDEEELEVDYFPVFGAKWCAPHQFTSPHNSGIYFMKCNCIMPSQVRNDSTSWFARGPLFHFWIVEFHYPDRVMRQFGQRQIIPPRIPHCEDELRKLHKIKHAGGNSRNWEKLHAKYIQQYNNTQTTMVDEDLPFDPACLSEYRQWFQQNGMYTVFFDSQCLGGLENPIPYPRDNYEWTGYIPSGPPLARIGLREIKTAAWGIKCVVTSGYKKFGKYILTACQGNLKDLNLEPRLHSMLHDAGLPIHIDDIQLGDDVSSIAHPPSPPRDSSLELMDDWIYSGRGFKRYLEAGGSGVAATAEDATQMTQDT >Et_6B_049807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13219122:13225767:-1 gene:Et_6B_049807 transcript:Et_6B_049807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARTATPSVVLILRLLTLALLAVSLAIIAADKFTDNTLPGSPQKFTFKDVYAYRYLLAVAVIGCAYTLLQIPFAAVSIARRKRVVGGSDDVALLLLFADVAAVLLLATGAGAGFGLTYDAKRFFDSLLDSIQTPEFQQLHRDVNRFFMLAFVSSGLMLAAAACVGLMVMVSAYSLVNYVVSKAAQSGSERIPPRGMTNTLLEGWFVTSPYMYMVAYFGLNVSVANGRASYRAGSKLLKGIESGEASGHAIVESVKVKC >Et_1B_010485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1123780:1125198:1 gene:Et_1B_010485 transcript:Et_1B_010485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAISLLHTFFLNGLLVMYHLTLKYKQVLVDLHMVLRSVLECHEEHKQMHSEKHTIAGSQSLVEIGEHVIKMLDQVTTNAKEKFSFMESFKGANAPGAAWSEDLPESRTLPPVLCRPRSPSHRKHVCDHQSENAIIAIRTRLVEENKIQEAPRQDAAESDFTGKDGQAFRCEPPPTPAGDCLLPATPSSMSPHPLSAPPPSPLPMMGFPMLLQPWEVMQDDNAAAATPPPPIAQSDQAASTANQDAALTTGSENKSSRASSASTEACHPSSASAMDSHEQELNLPVRNALPPPIPSPSQIAAKAPPPPPAGNISAVLHAKRAASKLKRSTQMGSLYRHLRDRVEGSGCTHGGKRRQGKKAKAPAGTKGDAGQGMADALAEMTKRSAYFRQIETTWKNMPQQSWS >Et_2A_016176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21781419:21782175:-1 gene:Et_2A_016176 transcript:Et_2A_016176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPWRLITHLHALAGYASICAMESTSKLDDEQWLAYWILYSFITLMEMAAQHVLYWIPLWYEVKLLLVAWLALPQFRGASFIYDRFVREQLRKHGVRLHGRHGHGAEHSAHHALKVCSRSRTAR >Et_7A_052593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9636497:9636937:-1 gene:Et_7A_052593 transcript:Et_7A_052593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAPRPAETMAANAPAGLAITVEKNPPEARLLQLGVKSWPKWGCPPGKYPLKFDAALMCYLLKGRVRAAIKGSSARECVEFGAGDLVVFPKGLSCTWDVVVGVDKHYNFEPS >Et_2A_014482.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:12287759:12288312:-1 gene:Et_2A_014482 transcript:Et_2A_014482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVHKAKSSCTFESDMWSLGAVMYEVITGSPLIKGRDHAGMITCMRSLFGTLSNEASTSLEAADGPQADPKWATHGALICRQFSPQCLEVLHGLLKLDPSERLSAADALEMDWFAGH >Et_2A_017279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32725883:32731857:-1 gene:Et_2A_017279 transcript:Et_2A_017279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHADLDRQISQLRECKFLPEAEVKALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGETPDTNYLFMGDYVDRGYYSVETVSLLVGLKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALVENQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDMTRKTPDYFLTSGFRIKLLGQFKDADLSPN >Et_1A_005785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1446910:1449789:1 gene:Et_1A_005785 transcript:Et_1A_005785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSEVATQVLVPLAAVVGIAFAIAQWVIVSRVKLAPSAPGSRDKDALGDSLIEEEEGLNDHNVVVKCAEIQTAIAEGATSFLFTEYQYVGIFMSIFAVVIFLFLGSVEGFSTKSHPCTYSKDKECKPALFNALFSTVSFLLGAITSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLASNGLLVLYITINLFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGVNHDFTGMCYPLLVSSVGIIVCLITTLFATDFFEIKAVHEIEPALKKQLIISTVLMTVGIALVSWLALPAKFTIFNFGEQKEVSNWGLFICVAIGLWAGLIIGYVTEYYTSNAYSPVQDVADACRTGAATNVIFGLALGYKSVIIPIFAIAVGIYVSFTVAAMYGIAVAALGMLSTIATGLSIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVIIGLVVGAMLPYWFSAMTMKSVGSAALEMVEEVRRQFNTIPGLMEGTGKPDYANCVKISTDASIRQMIPPGALVMLTPLVVGTFFGVHTLAGVLAGALVSGVQVAISASNTGGAWDNAKKYIEAGASEHARMLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKLF >Et_9B_065182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21864494:21864960:-1 gene:Et_9B_065182 transcript:Et_9B_065182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISVAGATVLVARAAVAKASRQGLPQRRAEKVRCGYSKKGKEPSASAPASASSMLAAASAMTASPALALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTVYTSTLDEDEDSGLSL >Et_1A_008859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16229124:16233086:-1 gene:Et_1A_008859 transcript:Et_1A_008859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPPPAPLLLLPLFFFLLANAATPPSQADTLLAWKASLGDPAALSTWTNATAVCAGWRGVTCDASGRVASLRLRGLGLAGGLDRLDAAALPALAVLDLNGNNLEGPIPAGLSRLRALATLDLGSNAFNGSIPAQLGDLSGLVHLSLYNNNLAGDIPHQLSRLPRIVHFDLGSNFLTNPDYAKFSAMPTVTFLSLGLNYLNGSFPAFVLGCGNVTYLDLAQNAFDGPVPDALPARLPNLRFLNLSANAFSGRIPASFATLTGLQELHLGANYNLTGGVPEFLGSMTQLRVLELGGCQLGGPLPPVLGRLQKLQRLDVSNAGLASTLPPELGRLGSLSFMDLSLNQLSGSFPASFAGMRKMREFGISSNNLTGEIPGALFTSWPELISFQAQANSLTGKIPAEVGKATNLTFLYLYTNNLTGSIPPEVGELVNLQQLDLSQNSLTGPIPSSFGNLKQLTRLALFFNKLSGALPPEIGNMTALQSLDVNTNHLEGELPATISLLKDLQTLSLFDNNFSGTVPPDLGEGQALADVSFTNNSFSGELPQHICDGFALQNFTVNHNNFSGKLPPCLKNCTKLIRVRLEGNHFTGDISEAFGVHPSLNYLDFSGSKLTGQLSEDWGKCTNITRLHMDGNRLSGGIPAAFGSMANLQDLSLADNNLTGAIPPQLGELSKLFNLNLSRNSFSGPISANLGNNSNLRMLDLSGNMLNGTIPAGIGKLGSLTYLDLSKNKLMGQIPSELGNLDQLQSLLDLSSNLLSGAIPSNLVKLRSLQKLNVSHNELSGSIPASFSSMQSLETVDFSYNQLTGEIPSGGAFKNTSAEAYIGNMGLCGNVQGMPSCDPRASSGHRKRTVIEIVFSVIGALLLAAMVAWLILACRRRPHEQKKVLEANTNDPYESMIWEKESKFTFLDIANATDSFNESFCIGKGGFGSVYKAELPSGQVVAVKRFHAAETGDISEASKKSFENEIKALTEVRHRNIVKLHGFCTSGDYMYLVYEYLERGSLGKTLYGEEGKKKLDWGMRVKVIQGVAHALAYLHHDCNPAIVHRDITVNNILLESEFEPRLSDFGTAKLLGSASTNWTSVAGSYGYMAPELAYTMRVTEKCDVYSFGVVALEVMMGKHPGDLLASLPAISSSKEDDLLLQDILDQRLDPPTGELAEEIVFVVRIALACTRVNPESRPSMRSVAQEISAHTQAYLAEPFRLITVSKLTDYQK >Et_9B_066048.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21488556:21488936:-1 gene:Et_9B_066048 transcript:Et_9B_066048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFHEADVLWPDNHHHFHGDRCPGGHQKQQLKVHATACGCEERRPAWTSSAPVAIPVAVRPSDFYSSNDSGEFVAPHLVVARRRRCSEERAAASSMCAGQGRTLKGRDLQCLRTAVLRMTGFLET >Et_2A_017779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6141347:6146233:-1 gene:Et_2A_017779 transcript:Et_2A_017779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGSCPPPGLGFGGEFYSVVDGVCTRAGSYFGGKPVLDQAVGYAVVLGFGAFFALFTSFLVWLEKRYVGSQHTSEWFNTAGRSVKTGLIASVIACGPVHGNFKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWMSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPITTEEAAKGLVPPATATALMGKSGSVLLLTMLFMAVTSAGSAELVAVSSLCTYDIYRIYINPDATGKQILRVSRAVILVFGCLMGVLAVILNLVGVSLGWMYLAMGVIIGSAVIPIALLLLWSKANAFGAILGSVTGCVLGVTVWLTVAKVQYGRVDLDSTGRNAPMLAGNLVSILVGGAVHAACSFAAPQGYDWESSRQITTVESVGAVDDDTVAEELKEERLVHAKRWIVRWGVAFTGVIVVLWPVLSLPAGRYSVGYFTLWAAIAIAWGTVGSAVIIFLPLVESWDTICMVCAGMFTNDAVYERLDEVNLRLKAVMGTMPEAEKRYEEMRKDLGAVEMMTMHNAAPGTHSATVADGDDDGHLHA >Et_5B_043569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1248958:1249684:1 gene:Et_5B_043569 transcript:Et_5B_043569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVHVRSTSPSPSATYTGKVVFTVWMKSLVLNGHGCTVYDSNGRLVYRVDNYGSRCSSGGVCLMDVDGNIILNVLKKKFGFGRWEGYRWRGEEQERRPWFTVARPCGPIQRRSRSRCSSSSSCECRSGTGNVMRYTIIADECLAGKKGCRIVDETTGLVVAEVKRKVTASGVALGDDVLALGVEPDADKSLVMGLVLVYGLMNHCM >Et_8A_057427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2974310:2978306:-1 gene:Et_8A_057427 transcript:Et_8A_057427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEDAVRGGGGGGTKLALASIGFADVRVGGAVTAGEDLLVVGLPVPRGGGLDVRLPDVGAAFRNFLRNREVAEFVSGAMAGAMAKAVLAPLETIRTRMVVGVGSKQIFGSFVEIIEQNGWQGLWAGNAINMLRIIPTQAIELGTFECVKRNMTSAQEKWREDGCPKIQIGDLKIELPLHLLSPIAIGGAAAGIVSTLACHPLEVLKDRLTVNREAYPSIAIAINKIYQTDGIGGLYAGLFPTLVGMLPYSTCYYFITISFPLEVARKRLMVGTLQGKCPPHMIAALAEVFQEEGFKGLYRGWAASSLKVMPTSGITWMFYEAWKDLLLTPQSKT >Et_8B_058881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10300035:10302940:-1 gene:Et_8B_058881 transcript:Et_8B_058881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRPRGEEDDEGDGADEHHHRHGHRRIRPALSLRDVVKRAVAAQTIQQIILNLEPIIRRVNLFAQQSHTPLGNALHSLLTWQNQSFFTRSLPLSIQELNVSPPLKLVFARGLMLPIFTNNKLVDDTNNAIEIRLIDARTNYMVSPASTHLGTSIRLEVVVLDGDFKCEDGVGWTVDRFNAATVRAREGKRPLLVGTLNLAMNNHGVAVIDDVSFTDNSSWIRGRKFRIGVRVMPTSYFGVRIQEAVSESFMVKDHRGELYKKHHPPSLTDNVWRLTNIGKGGPIDKKLESEGVKNVQDFLKLNTINPDKLRTLVGMSDKQFTITLNHAKTCKMGGKCYVFKSEGCDITFNPVGEVLSVTIGDHTCPFHELHPQHKAHVMQLATQAYQQWNHLEEVANSNSGPEKSESQGSMISSGSQKAVYLDSSGTATSSAAAAMATNGSGTSEAAAAISANHDMFWSPSMASDEDNLCWQNSTNDLSWDQVD >Et_3A_026963.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28178581:28179549:1 gene:Et_3A_026963 transcript:Et_3A_026963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAHATTQIDPNERLEERPMMQGQGRVHPASAAPPPPEPVDFSGEMNQSVSATSASDPSSSPLYSFHLEKPVPPPPPAPAQPAGTYVVQVPKDKVFRVPPPENARLFDHYTRRAKRRRRCSCVRVCTWTLFAVLALAVLLAAGAGAAYLAFRPKRPAYAVQKLAVSGLGNASAQAAAVSPAFDATVRADNSANGRVGVHYGGDGASSRVAVSYDGVPLADGAWPAFCQGPRNVTVFVAKAKGAGLRLSQSVRGQMAAAERLRSVPFDVDVEVPVRLQLGKLRTWAMPVRARCTVTVDRLAADAKVMSSSCRVKLRLLFWWT >Et_1B_010260.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:33196492:33196746:-1 gene:Et_1B_010260 transcript:Et_1B_010260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASSRSLVATCLLALLLAGCLAATLPSADGRRLLATPSATGAESPAPGPVSDDESAADLHVDRLLSEGAGLPGRLLISGPGL >Et_5B_043518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11427001:11427818:1 gene:Et_5B_043518 transcript:Et_5B_043518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVATCFSNAKMCTMWWTARNKANAGERLWSTSEICSSVNYHLMEYDKLKNPTKEKHGEANVKWKPPPADSYKPNVDASLLSSGKGGWGYIARDHDGTYLDAGNIPRALSVLHAEAIAAVQGLERAAALEMARVVVETDAANLGRALISEDMDQCPEGGLFRTIRSVMLTSFVSCTVSVCSRVCNKVADCFAARGVSTLPVGECAFWCLAPCFVTELVSCDMAGASS >Et_5B_043848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16765865:16771138:-1 gene:Et_5B_043848 transcript:Et_5B_043848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQEARVGDVVGVSGRGAETRPARRVLVFPLPFQGHINPMLQLADVLHARGLAVTVLHTLFNALDPALHPEFDFVPVPDGVPAEVAAAKKGNIIDIILAMNEAMEASTAVDEVLASVMADDARPRPACMFIDGNLLAVQKAAARLGVPTLVLRTGSAACLECFLAYPMLYERGYLPRQDSQLDMPVKELPPLRVRDLFFSVNNHHEKVRKVLARITETVKGSSGVVINTFEALETKELERIRAELDLPLLLAAGPLHKLSYTSTGSTLLDQDYSCIKWLDSKPARSVLYVSFGSLASLNSSEFLEVAWGLANSGHNFLWVVRPGMVSGISGTDLPNGFDAAIEGRGKVIQWAPQQEVLAHQSVGAFWTHNGWNSTLESISEGIPMICRPHCRSDHEREVWGVGLELEGELGRREIELAIRKLMSGKEGAEMRERAEELKMKVVQCLGSYGSSHIAIDKLVSYKHLDRRLGVNKHTAKSSWITASSQPEMAGTDKRAAAVVGRVVVFPLPFQGHISPMLQLAGALHARGLAVTVLHTEFNAPDPTRHPGLSFVAVPDAVPAAVPSNGIAKILALNAVMEASGHVRDALASLMAEEEEEKPKLACLVIDSTLTAAQKAAAALGLPTLVLHTGSAACFRLFRSYDMLHDKGYLPATESNLHLPVKELPPLQVRDLFDPSKLPNKEIGQKILKLATETTTNSSGAIINTFEALEAHELQMIRHELQSIGIPAFAVGPLHKLTSTDGAETSLLNQDRTCIEWLDTQAPGSVLYVSFGSVVHLTHDEFVEVAWGLANSGKPFLWVVRHGLIMGVEKAELPEGFESAVQGRGMVIEWAPQQEVLAHSAVGGFWTHNGWNSTLEGIYEGAPMLSRPLFGDQLATGRYVDDTWKIGFLLEGALERGMIERAVRRLMEEDEGAKVRDRAKELKEKAQMCLESSGSSQLAVDKLVEHIRTAIAGQEQRRRRRVVLFPLPFQGHLSPMLQLAALLHERGLAATVLHTDFNAPDPARHPELAFVSIRETFPDEVTNPAGGDIVTQLLALNAACEAPFQAALASLLRDGDGVACAVVDGQCCARRPRSASPRSRSGRTAPPRSAACSLTRGCATPEPSHQRQVNATLSTTDHGTVRYMLSLISWPRINHAEERLDELIRVDGSNTDALCGFIALVADAVRTSASGVVVNTFDAIEASELSKIQRELSRPAFAVVRKMMAGEDGAQMRDRASQLKVQASAATGLGMDGLVEFIASQ >Et_6B_049835.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:14508591:14509427:-1 gene:Et_6B_049835 transcript:Et_6B_049835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNIILLENSFKARHDDIILVSSPKCGTTWLKALVFAITNRFQYDFEHHPLLSLHPHEVVPFIEIPFDKDLTCVETLPSPRLIATHMPLSLLPQSLVTSGCRIVYIARDPKDAFVSRWHFENRIHVGQDDINLEAAFNMFCQGFSRYGPFWDHCLEYWRESIAKPDKVLFMKYEDMMSEPVKYTILLAQFLGVPFTEKEHEDGAPEEVTRLCSFENLNGLQSNQMGNISMMGNMVLEKSAFFRRGKVGDWINHLSQEMGRKLDLIIEEKLKGSGLVL >Et_6A_046436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1581290:1581831:1 gene:Et_6A_046436 transcript:Et_6A_046436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSPGLVPARGEDLSWSDVAHLLSDGPPPGFAPGEDLSWSDVANLLGNGPPPGFAAEAYPNGGKAAAIFGGGTGEEEATTPAPAVVCAICLKKIVVGADLTVPSGSRSAGCARAVAMRCRAKKRRPNVPAKGPKARPPGEVTVPVKRMCDCLGLSGIVAVRMTVS >Et_10A_001313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23241629:23246076:-1 gene:Et_10A_001313 transcript:Et_10A_001313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDDMQQQDASKWTAAMKPTMAKGHIPLKLKFHQGQSKGRSSAGTQKTMVRGKVRMQQIENPVHRRVTFFKRREGLLKKARELSVLCSADVTEHEVSLLREEIGLLQQGLRSTFGGGAADVRLDRLHALEKGLQLWIYQTRSTKMQIMQREIELLKNKEYILRSANEILQQKEGILKVTNEFLQEKGYYDEQRRREAPGRGRSEQREEDEEEDRGDERVLDGGGEDGEEDERELQKQRSPSPGERACQHDSHGVGGLGGEEVPQPDALTLRILPLRQGPAPIAVAVAVAARRSAGDRQAGPARAEGGECGRVG >Et_9B_065971.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18955941:18958100:-1 gene:Et_9B_065971 transcript:Et_9B_065971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASPRCLNPLRLIRLGRSIWTATAPSPLQMREEELHVELKRLVRSGRLADAEALFDAMPGPDEVAYAILLAGHAAEADFRGAMALFSRFRASSPPHAAADPFVLSPVLKACAAAAAGDADALPHAAALHAFSVRSSAVSSVFVATSLADAYAKAGRLERALKVFDEMPVKNVVSWTTLVASLARAGRRHDALRRFAEMRRASGVTCDSYAYAAALTACADAGLLSRGCEVHALCAKLGLDATPYVANTLATLYARCGDVDRALAAVNRMGSRDVAAWTTLIASYVQTGRSEEAIEAFLRILHDEPSASPNEYTFSAVIAASANISSVRLGEQLHAQAAHRGFANARTVTNSLVKLYTRAGRLLAADTVFRESIIKDVVSWSGIISGYAQEGLAQEAFTLFAEMRHHGSSSRPNEFILASLLSVCASVAALDAGRQLHALAVAAGVEHHAMVRSALIDMYGKSGSMSDADVVFSGRLKDDVISWTAMIVGYAEHGRSKEALELFEKMCHIGIKPDHVTFIGVLTACCHAGEVEHGLRYLNAMNKTYGLEPAKEHYGCIVDLLGRAGRIHEAEGLIGRIDADETDSVVWTSLLRACAARGEEETGKKAAARVMEAEPWGAGAHVAMANLFSSKGQWREAAQERHMMKQKGVVKGAGWSSVEVGGEDRGIGVFVAGDRTHPQDNAIYRMLELIYYGAGFGRCIPDHLDLGSELDVAVSS >Et_7A_050944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12782047:12786569:1 gene:Et_7A_050944 transcript:Et_7A_050944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHRLCMTHHLVLSYDLHKKMEIYRPHKAYPTELAQFHSADYVEFLHRITPDTQHIYANNLGEDCPVFDNLFEFCQIYAGGTLDAARRLNHKMCDIAINWAGGLHHAKKCGASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTDIGEREGKYYAINIPLKDGIDDTSFTRLFKTVIAKVVETYLPGAIVLQCGADSLARDRLGCFNLSIEGHAECVKFVKKLNIPLLVTGGGGYTKENVARCWAVETGVLLDTELPNEIPDNEYIEYFAPDYTLKVPNLNMDNLNSKTYLSSIKVQVMESLRSIQHAPGVQMQEVPPDFYIPEIDEDELDPDERVDQHTQDKQIHRDDEYYEGDNDNDHDDGVR >Et_7B_053900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13100220:13103814:1 gene:Et_7B_053900 transcript:Et_7B_053900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGEGGQPGTLAKVSLSSISAAAAETATFPIDALKTRLQLHRSPGVGVIRVAGELVRGGGVYRGLSPAVLRHLMYTPYRIVGYEYIRSSLARGGREVGLLEKALAGGLSGVAAQVVASPADLIKVRMQADSRLLSQGIQPRYTGIVDAFTKIIQAEGFLGLWKGVVPNAQRAFLVNMGELTCYDQAKHLILSKKICDDNIYAHTLSSMTSGLCATTLSCPADVIKTRMMGEGAGAVYRNSFDCLVKTIRHEGVTALWKGFLPTWARLGPWQFVFWVSYERLRHASGHSTNWTPCGKSSQCLMYRTVLPVSPLPFVGQSKLGGEWKHGSMVGASRHAVSGAFPRTGRMSTHGLRPASPWLTYPNVEAAVHHLRERLLVLLPVAEVLRAEVRRLEHAHRLPAGRSAVLLVQAPELVGVVAVQGREDDRLAFRRRRCRPGGVGLGLGGNAGAGQDVLAVHAREDLAVEVVGGDGRPLEDAHLDAHAVVRLGEAGGAVVAGHHAPHRVARVEEVSAQVVEHGVAAREHARELRLQPRHQEQARREVVVRHDLQPRHAPQLALHVVAEEAPVVGLVVVPQVREQPRRRSAAAQQDAEPLGSAAAAGDGGEALGVVLRRHDPRGARERLAEDVV >Et_4B_039781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29373396:29375174:-1 gene:Et_4B_039781 transcript:Et_4B_039781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVRRALRRHVPARPRQGEHARAGASPACSGRTSPTHPLLAFLDRTDSYTYFSPGWPTTPSSRSPTYALLESSASYRYQDAGTGLTYANLLDQMLDAVVAAMCRAGHCGVKLALAETGSAPTCGTRPPTTATCRATWPGRPPRRPGARMPAMVLALLNWEYLFYPNGSAVYEVDRWRLARCSNGLFKIPSALRQSKATCATQSIKLVFCSPHSQAPMKLMPFGPWDDRTLQRGYRETANPIGLNSIRPRARRRSPRGPSLLFEGLREVTCGFGPLEPSAVEKANLFAIFHLPTERPPFTVDRTNMNLRRFLNLVMYNRKSGIYSLRRMDLSRQDLFCHKPPRKQKLLGLEDMEAIRTPSASVKFLPNPSLEGVYHERNMDFFGLSENKVFCTEQSGHTVLYDAGLRSVIPMPKLHTTKTCPIFFSVPNHEDGGGSLYIM >Et_5A_040181.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20128786:20129262:1 gene:Et_5A_040181 transcript:Et_5A_040181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GLAFLLYPSAPAARVADIRVARFRIEPSPLPALDLGIALRLRVRNPGVVLPLRYRAVSAAVSYRGHLLSSARAWPGSGEFGARDEAYADADVWVDAGKVLDDVVELVGDLAAGSVPLEIVTEVVGAVRVFHFNIPVKLNASFFIDNLLRIAVFNLYCL >Et_3A_026547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9512647:9514423:-1 gene:Et_3A_026547 transcript:Et_3A_026547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHSKRTSAPAPREIPSHVPQAVPSPGTHPVPPVAIPSMSGPGAWCPPCPPQSMAPSSTPFWFPGLHHPGVAGSSAQGPWWAPAGIGSSANIEDSDLQVWGVDSCPPGGLLNFLNKNIPNHGPAQAVSNGSSSQPINVGNDKGSDCPRTEKAQKRGPFLFKHCWDVLRKEPKWDAYLERLAELDPDKRKFNLEDDVGQQFSVDDEKEERPIGGKKAKELKDQSCIIDLEDELQIFVDARNKANEGRKEMLETQKRVSSENLEAKKLAYLAAKESKESAMLETYRELLKQDTTVMAEDVSSEHVLALRSFREKLFGNTN >Et_7B_055697.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:22416287:22417723:-1 gene:Et_7B_055697 transcript:Et_7B_055697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACISSCSRSRRRATSPASSRSPAASIARGLRRPSRSSPPPGNVATLSASASSLPFLRFHALPFVPEEHGLPAGAESTDALPVRHFIDLFHATGSPSLRAAFDDFVSATADGRVVVLIIADPFLSWTTGAARRRGAGHAVFVSCGAFGSAVYHSLLNHLPHQRSPDNGGGACFRLPDHPEVAVHRSQLFAPLRVADGTDPWSAFNRRQIAHARDTDALLVNTTEELEPAGLRMLRAIMGGAPVWPVGPLVQERSGGGDGVVRWLDAKKEARSVLYVSFGSQNSLRPEQMMELAAALELAGRPFVWAIRPPVGSDYDDVDRWLPEGFEERVGGNDKGLLVRGWAPQVRILAHASTGAFLSHCGWNSVLESVTHGVPVIGWPLAGEQFFNAKMLDQEWGVCVEVARGNDAVGSSVVDRATIAEAVETVMGDSAEATEMRRRAKEVQDLVLGAQSVGGSSTEALEEFFATMLRGLSANNS >Et_9A_062969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7634888:7636946:1 gene:Et_9A_062969 transcript:Et_9A_062969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFTTQTILLVCSVPGMAATGTVKWFNDEKGFGFITPDDGGQDLFVHFKAILDDGFKSLKEGQKVEFVVEQGLKGSQAANVRVIG >Et_3A_023882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1404051:1405148:-1 gene:Et_3A_023882 transcript:Et_3A_023882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLCTLHAAVTGAAAAPVLRRRGGTARPLHWKARAARAHGAAPEQDDDHQAPVKGGGPSTPVPTKTALKVGAGVALALALGGASWTARGGDAGRTLHVQPAAVCALNAVTDGASRVATERGGAATVKTSADALSDSLFRREDTPRDRATLMDLVFEQVTKEHITDRGKLTSLLQKEFSASRDSERKLDLGLLLTDVLINQREWQRAKEVCQQITGRYQRDSRPYLHLAVINMMMAVETMLSPETATTDDIEKMTKSAIDAWKEFKNKNELAKGSTDAST >Et_2A_018257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20379731:20382451:1 gene:Et_2A_018257 transcript:Et_2A_018257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSLFSLPSLRLLSRASAPAASRFQTLAARKSAASTVVSSGAGGGGGGGLLSVLDRALADEEEYRRARAQVQRKGVEVEGYAIEGLSVGGHETCVTVPSLNVAFDIGRGPNFAVSQDYLFITHAHLDHIVSTPDPAPIRLGSAFALLGSPMHLEKRNCKLQLVQGGLPMYIATRGLYNLKPPTVFVPPCIKDDVEELLQVHRRMSQIELNVELVALDLGETYEIRNDLVARSFQTYHAIPSQGSQIAKLKQSGAEITDTILYPEVAFTGDTKSDFITDPRNADALRAKVLITEATFLDDQIDVEHAREHGHMHLSEGHSINGETIPDIRGVVVIRAIQVKWADLRRMPPRRWCPNRLGLYALQRIQDVL >Et_9B_064989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20279295:20279603:1 gene:Et_9B_064989 transcript:Et_9B_064989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSHVLLVSFPLLQGHVNPLLRLGRRLAAKGLLVTFTTFRHAGLRALPDLCSRDDLSRMDPTDMLQHVVDVGPAALAGVLRRLQAGPWRAS >Et_7B_053225.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12902223:12904347:1 gene:Et_7B_053225 transcript:Et_7B_053225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPPPTVCALLLPFSPHSGHPRPQPVQHLHLRLRSSKADPPGLPKPSTSARPPPPPRRLHGADRRLSALVHRGDLDAALRLVGSSPRPPDLPLANRLVRDLCRRGRPADAARVVEACGPAATAATYGALADGYCRAGLLEDARRVVDGMPARVEPTAYAFNPLIHALCDRGRVRDALAVLDDMLSRGCAPDVVTYNILLEAACKGRGYRQAMELIDFMRSEGCEPNNVTHNVIIDAMCREGDVDDAREFLNSLPSKGCKPNTVNYNTVLKGFCGAERWEDANEIVTEMIQENCQPSEATLNVIINALSRKGQLQNVIQLLEKLSQHGCTANVVTYNSIINGFCEQGHVDSALELLRSMQSFGCKPDIITYNTVLKGLCNAERWDDAEELMAKMTENGCFPDNVTFNTMIGFLCQKGLAVQAFEVFKQMSKKGWSPNPITYSTIINGLAKAGKMGQALEVFNEMASKGFSSDKIFQLLTDCMNEEDKIEEAVQIVHKLQDAGTPLNTILYNTVLLALCRNGKTDYAIDLLAYMVSYGCMPDESTYIILIEGLAYEGYLKEARELLSNLCSRDILRNSLIKN >Et_8B_059907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:422057:424081:1 gene:Et_8B_059907 transcript:Et_8B_059907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYNRYGYGSGGGYGHTPPPSAPPAPMPTTSSPYSSSSSPYGYGHNHGGGGGYPPPPPQTSGGFGFGYGSYGGGGAYVAFPPGTHPDVERAFRAADRDGSGAIDEHELQGALSSAYHRFSIRTVRLLMFLFNDPATSTPSRMVPTEFVSLWNCLGQWRGIFDRYDSDRSGKIDSRELTEALRSLGYAVPPSVIELIIANYNDGVPRNGGLDFDNFVECGMIVKGLTDKFKEKDTRYTGSATLTYDGFLSMVIPFIVP >Et_4A_032977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16206567:16209933:-1 gene:Et_4A_032977 transcript:Et_4A_032977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYGALGCRNLVLEAGSKHETFLGKRLCTRTITLVSFAILPMKKLFISLSGAEVHQSPMEHQPSAWTNAFTSKTKDLVKNIFGKFDSSPFSRPLESLGARTSAVRHEQPLTVRTADVGTTDYAWMILFFAAFPHMLPASPQAHCSLERNFVEHLASKEPVPLVRHGSADKTNRYPCLLTPRSSPYLLCGQHSRCYTHGEDEGLHGSPSLLCDASVSPLTIAGVGLAKGAAASEGYGRRPDCDHVNSTELFVAGRQAEESCSVEALGGAAVDDSRECGG >Et_6A_047284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3276807:3282094:-1 gene:Et_6A_047284 transcript:Et_6A_047284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRAALSLVIVWYPETSIATVLASGAVTSTEPGGNKTVQGCPTSCGNLTFAYPFGIGPECSRGHDFRLTCDDAARPPKLFLRDNITEMGGSTTKKACSTSCPGEEITEMAARHNCSGVGCCQAVVNGAGFYLSFVHDHNKRSNITPRYNTRPLSCRTELL >Et_3B_027517.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24549798:24550741:1 gene:Et_3B_027517 transcript:Et_3B_027517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PALAVLFCFFFFPQGIQCLPFCTGSNSGGTRLLSPPRLLRSSPVNTRLSSRCPAGHTPRTGVVQGQRINLRPKAPNPYAHRRMSLSLQGRGGGGNMVGDVATNGPPSGAVSVAACMDTSLSLGGAAAAHGLYLPLNENDSLDMLLFDVLREAPASAAVSAIAVSTPPSSSPPPPKVTAPVAARKGDCDRSRGACSSRRHYRGVRRRPWGKYAAEIRDPARHGARLWLGTFGTAEEAAEAYDRAALRLRGAKALLNFPVQPVAGCAAGAAAVEQTGEGRLSASKWADEGIGSKASLEFVEI >Et_8A_057549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4317722:4320430:1 gene:Et_8A_057549 transcript:Et_8A_057549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCSRDNSPGRQQQHHYTHDQNRNNNAAPRGMADRYARFGDEFETLEQVTDALAHAGLESSNLIVGIDFTKSNEWTGKKSFNNQSLHAMGSTPNPYEQAISIVGRTLARFDEDNLIPCFGFGDVTTNDKKVFSFYSDNQPCDGFEQALDRYREIAPQLCLSGPTSFAPIIETAIGIVDSSGGQYHVLLIIADGQVSSSLDSVIGRLSPQEKATIDAIVKASDYPLSIVLVGVGDGPWDMMMKFDDNIPARAFDNFQFVNFTEIMSKSIPISRKEADFALSALMEIPAQFKAAMNLQLLGKRRGFSSRLVLPPPVSDKQKHSGYSAVKETQSTSYGSASKTASAPRQESDVGDQQTCPICWTEAKNLAFGCGHQTCSDCGKNLQVCPLCQREISTRIRLY >Et_5A_040361.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1701290:1701466:1 gene:Et_5A_040361 transcript:Et_5A_040361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFEMLSETFHVIAGPPATTTTQLVKLFCMNDLLFAADFGPKNHIEVWVLKDNVDRR >Et_6A_046040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20095073:20096737:-1 gene:Et_6A_046040 transcript:Et_6A_046040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HQRRGVHLRRVGRREDGAGAGVRLPPRARVQEGPLGARRAALPPAELPRARRPPRRRGRRRRRRPLAGSGGQEPRRHRGRRHRQDPQGARPRHPLPRRHRQRREREGLVGRPGPAGAPPSRRHRPPLRHHHPPARGEDALARPPRRGGRHETHEGHARVWSSRGHGGAQERRGGRRQRGAGPRPRRRGHVGARRRARRAPAGDARRAAPGGGVGGQGLGAAVARLLDASLALLDAEAAGLGAAVARLLEASSFFAPAPIPVAVLARAAACSRSGASNDKPLWKCLARPLRLSCAASSTRSSASHAELEALVRLGIARRCAKPGHVAVHNVFCLFGRKIGSDQAARPVVRAIAAHVGGTGDEHIWAACLSTFKFEAPAAAVELRPPELARFVTRHVLPLAARAVSGYSAYGAALQLLREATDAVRRVEDLYRGRTGSSSSRDLDLDPSVYEDVARARAELLMARARIMTRAGEKGVAEDHCVAAIDILEVVSGDAHPDTQTARAFLEQAVRPPPPHSWSDDLGVGSGETIN >Et_5A_041349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21642908:21646918:-1 gene:Et_5A_041349 transcript:Et_5A_041349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMWVEKKPRRSRSIIVIVPRGGSGDGGDSAQHCKVHTGKRHQQGGKRCRRRDELADGRAKGDLVWAEQVRGLSYDIEDCFDEFMVHVGNQSLSQQLMKLKDRHRIAVQIRNLKSRVEEVSIRNTRYWLIATKATKIDDEAQFYMEDVRNHSSTNIDEAKLVGFSNLKKELLDVINAQHSDDQDQVICVVGMGGLGKTTLVRKIYESKEGVANKFSSRAWITVSQSFSRIEVLQDMIRKLFGDHSLKVCLKNLQGKGTVHVQVEHLGKYIIEGLKEKRYFVVLDDVWKIDAWIWIRDICFPNISRASRIVITTRDASVAQACTTKSGSNPFIWELKPLENEHSIVLLLKKMRKSREDMENDEKLKIIVTQLVKKCGGLPLAIVTIGAMFATKHISEWDKLYEQLPSELDNNLSLEAIRSMVTLSYNHLPSYLKPCFLYLSIFPEDFEIRRSRLVGRWIAEGLVRARVGLTYEDVGISYFNELISRSMIQPSRVNLEGVVKCCRVHDIVRDIIVTLSRDEGFVYTSADNVPSLVEENIRHVACHGSNCLLVGMDWSRVRSLTLFGERPIEHAPPLCSPRLMMLRALDLKNADFKVTQKELNNIGLLRHLKYLTIRRPGAYSSYIYELPRSIGKLQGLQVLDMGCNYISTLPTEICKLRRLRIIRCTSTGYYSYFNPSKPMKCFKYSLCLPIIFKPFVNSEERNEKIAELHMAYSKCWSDTKGVKVPRGIGNLKELQILERVDTKRTSGKAIRELGELSKLRKLSVSTKGATEKKCMTLCEVIQKLSSLGSLMIDADFNGTVEWLDSVSSPLSLRTLCLVGRIGDKIDWFKNVTQLVKLRLYWSELDEGKAVEILGALPHLMLLDLIWGAYAGKELVFRLPAFLNLRKLRICDAPELREIRFEQDASPKMESIDIEYCRVEVGINGIKHLPNLKEISLGYRFKMARLGMLEEEVRSHPNQPVLRMNGNRSELDLGDAGGSDEYFDATESLPDHDGEGAESITPTASDRSVLRGRSSLYVFSSKSHFVSEYLSFCECFLVPRLIECLINLDRQVIQVKRIFSESGTKPECIFWDRGPTKLKFFMLTLCISSCLQLKPAAEMLGVSTYRRD >Et_3A_023124.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29413524:29413898:1 gene:Et_3A_023124 transcript:Et_3A_023124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPEFYKPSTPAFSPCGSPRVAVLDEEDYYSCRTPTGSGICNLREPTTSPPAPRKAPPPPCKKRLFQQQAQLERIFRPHPPPKASQQGDKSRRSARQQNGTDNRSVQLQSTNQGSNRWRAGAN >Et_3B_029826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28288250:28298104:1 gene:Et_3B_029826 transcript:Et_3B_029826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFYYAAAATFLVLFLLHHLLMGRKKKLHLPPGPRFAFPVLGHLPLLKKPLQTSLAALAARYGPVIHLRLANRDAVVIGSAELAKECFSGDRDVTLANRVHLPSVREASFDYTVISLSDYGAHWRNMRRVATVHLLSAHRVNIMSDNVIAREVRAMVRRLARASAAAAARVELKRRLFDLSHSVLMEIFAQTRNTYADDADADMSKEALEMKEILEEVLPLLGVANLWDYMPLLRWLDVYGVRRKMADAFGRRDTLIYKMIDGERQKHLERKNGKADTFAADEKKSLIGILLELQETEPDVYTDTCIAALVANLLGAGTETTSTTIEWAMALLLNNPNILKKAQEEIDEQVGLNRLLDKNDLPHHPYLHCIINETMRLYPALPMLLPHKASTDCKIHGYDVPAGSTLLVNAYAIHRDPTIWEKPEEFRPERFEHGKAEGKFMIPFGMGRRKCPGENLAMRTTGLVLGALLQCFDWSRVGDGEVDMTSGHGTIMFKAVPLEALCKPRANISAMESFYYAAVATFLLLFLLHHLMMGRKKQHHLPPGPRFAIPVLGHLPLLKKPLQTSLADLAARYGPVVHLRLASQDAVVIGSAEVAKECFSGDLDVTLANRLRLPSVREATFDYTVISSSNYGAHWRNMRRVATVHLLSAHRVNIMSDNVIAREVRAMVRRLALASDAPAARVQLKRRLFDLSHSVLMEIFAQTRNTYADDADADMSPEALEMKDILDEILPLVSVANLWDYMPLLRWLDMYGVRRKLSDAVSRRDTLIYKMIDGERQKHLERKNGKADTVAADEKKSMIGVLLELQETEPDVYTDTCIAALVSVSSTIRMFDALNLFAGTETTSTTTEWAMALLLNNPNILKKAQEEIDEHVGVNRLLDKNDLPHLSYLHCIINETMRLYPAAPMLLPHQASTDCKIHGYDVLAGSTLLVNAYAIHRDPTIWEKPEEFMPERFEHGKAEGKFMIPFGMGRRKCPGENLAMRTMGLVLGALLQCFDWSRVADGEVDMTSCHGTIMLKVVPLEALCKPRANISAILRKHSNSQKKNRTARRATKSHQSSHFTSPQPKMKNFYYAAAATFLLLFLLHHLLTGRKKKQHLPPGPRFAFPVLGHSPLLKKPLQTSFEDLAARYGPIVHLRLASYDAVVIGSAELAKECFSGDRDVTLANRPQPPSLREASFDYSGMSQANYGAHWRNMRRVATVHLLSAHRVNLMSDNVIAREVRAMLRRLARASDARVELKTRLFDLSHSVLMEIFAQTRNTYADDKDADMSTEAREMKEIFEEFIPITGVATLWDHVPLLRWLDVYGVRRKLDAAVSRRDRLIYKMIDGEKQKQLERKNSETDTVAADDNKSMIGILLDLQKTEPDVYTNSCIAAQLITLLGAGTETTSTTIEWAMALLLNHPDVLKKAQEEIDARVGGNRLLDKNDLPHLPYLHCIINETLRLYPAAPTLLPHQASTDCKIHGYDVPAGSMLLVNAYTIHRDPKIWHEPEEFRPERFEHKKAEGKFMIPFGMGRRKCPGENLAMRTMGLVLGALLQCFDWSRVGDGEVDMTPSYGTI >Et_1B_013025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4930029:4932710:1 gene:Et_1B_013025 transcript:Et_1B_013025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSARLRIRTPSPAVSSHFAGETRRPAARVSVRPMASAASVEEPAAAAETKRPVTGDSFIRHHLRSLAPYQPILPFEVLSARLGRKPEDIIKLDANENPYGPPPEVATALGNLKFPYVYPDPESRHLRAALAEDSGLEAEHILAGCGADELIDLIMRCVLEPGDKIVDCPPTFTMYEFDASVNGALTIKVPRLPDFSLDVARIVEVVEQENPKCIFLTSPNNPDGSVINDDDLLRILDLPILVVLDEAYIEFSSLQSKMSWVKKHDNLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVSACAALQNPAYLENVKNLLLQERERLFDLLKGIPFLKPFPSHSNFILCEVTSGKDAKRIKEELAKMGVMIRHYDKKELKGYIRISVGKPEHTDALMKGLKALQL >Et_1A_007563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35926925:35929404:-1 gene:Et_1A_007563 transcript:Et_1A_007563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSCGSLSSWARRFVACVGFSRGCFGCAHPTPIIAVDEPTKGLRIQGRSIKRRSVSEDFWSTSPHEMENSALHSRHSMSSMSAAAQSNDQHATGSSSNPNEFVNQGLLLWNQTRQQWVGNRKQNSQGQQHREPKISWNATYESLLGSTKPFAQSIPLSEMVDFLVNSWEQEGLYD >Et_1A_007951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39762405:39764266:1 gene:Et_1A_007951 transcript:Et_1A_007951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SEDFQPVAPVVKVEPLKNQWADEDVEEDDVKESWEEEEEEEKPKPPPVEKAVAKPKAPAKKGKEQASTSVEEPDEPPLSPTSEKIRQQRLIEEADFKSTTELFAKKGGDVKSLDTFIPKSESDFAEYAELIANKLRPYEKSFHYMGLLKNVMRLSMTSLKGADAKEISSSVTAIANEKIKAEKEAAAGKKKQGAKKKQLHIEKADDDFIPGRGGGFDDPDEYDFM >Et_2B_022385.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19834811:19835989:1 gene:Et_2B_022385 transcript:Et_2B_022385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDVKYKTVVFPNGGAAKKVKPAAVAPAVVGGEPMYRECLKNHAASLGGHALDGCGEFMPSAGATAADPSSLRCAACGCHRNFHRRAAEGAPPPAAALAPAPLALPAPVPAGVLHGQPQQQRREETPEDRLPAVVDGDDSDSDSDASEYDEERSLSPPPPHIVHHAPAPVAQQPPPPAAYYPSAPHMLLSLGSGAPGAAAVPAVQRLPAHHHHQLMSSPSSAPGPGGPAPRKRFRTKFTAEQKQRMQELSERLGWRLQKRDEAVVDEWCRDIGVGKGVFKVWMHNNKHNFLGGHSARRSASSGGAPVSASASAPPLHHTPTAGAGSAPPSFHPSAASPPPPPVLSSSPPAATGFININGAASSAPTSAGGHHHQENNIINGSGSASPQSA >Et_1A_007104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30926978:30931692:-1 gene:Et_1A_007104 transcript:Et_1A_007104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALQHLMAGVVKWKLIHTETAPDSFTLRSNSSKCHSYPPKGHVGNYNCFVCHSLKSILRPKVRRHAIVKVQNKDADESCSSKFGYEDNETISSAYQRTEGNQLRALESYFSKLNSGKAQQLGSLPPKKNYKNDPSSINEGEASIANDNANFKNRIDSLQINYKKGNTGAKSFRNTSTEDYKDNLIFDEKHFLDMHEDDPTSSFFLTNLLAAINIAVLLFETASPVKDSENEYLSLPLIYGAKVNNLILSGEWWRLLTPMWLHSGFLHVALGCWALLTFGPRVCRAYGQVTFFLIYILGGVCGNLTSFVHTPELTVCGTGPVFSLIGAWLIYQSQNKQFIDKDISENMFWQAVIAAALSFLLSIFGGIDNWAHLGATVSGLFFGYLTCPSIELDNAAKSGQKEALTLVRRQTDPCKSVAIFAISILALGALAFAYGQFSAMDLE >Et_3A_025494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30188612:30190179:-1 gene:Et_3A_025494 transcript:Et_3A_025494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDDALQLQAGSLQPHVAAVFFVSAACTVALAALLVVARTRPPWWCACPVCEAYLTASWAGEFDNLCDWFTHLLRASPSQTVHVHVLRNVLTANPATVDHMLRARFGNYPKGAPFSAILADFLGRGIFNVDGDAWLFQRKLAATELASPALRAFAAQVVASELRGRLVPLLRSASSRKGKVLDLQDVFRRFAFDCICNISFGLDPGCLQLSMPVSAFERAFDTASTLSARRATAPMHIIWKLKRFLNAPGDAVRLVDTLAAEVIRQRRKLGSAAAGGGDLLSRFMGTIHDDKYLRDIVVSFMLAGRDTVASALTAFFLLLSDHPEVAAAIRDEVARVALKDMHYVHAALYESMRLFPPVQFDSKFAAADDTLPDGTPVAKGTRVTYHPYAMGRMESVWGPDCEEFRPERWLRDGQFVPESPYRYPVFQAGARVCIGKDLSLMQMKAVIVAVVRSFDVEAIDRSSRRPKFAPGLTATFAGGLPVRVRRRARVSGHSPPS >Et_3A_027069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31590510:31594178:-1 gene:Et_3A_027069 transcript:Et_3A_027069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRQFLLLLLPILLATCCVDGANALDAPATARRQLHQPFFPDQPAGPAQQASAPPPAPAPPFFPAMAVPPPPAMPTGPDQPTYPALVLPNTGASGGTPPAGGGSHGSKKASKLVPAIVLPLLTVAVLGLSIAFFFSHRRSNAGRGGGGGCVGGADPKFLHPERTSLFSRDEFGGSGRAAPATATSAEFLYVGTLASRAEEEKSSDATSSGEEESSRSSGGSPELRPLPPLVGRQSGPQVSRSPGGGASPSSGDEEFYSPRGSSKTSSSHRTLAAAVQAAVEARDRSRAASPGSTLSTPSYPSSPGATLSPAPASPPAFSSPGESGRRSLKSRSESARSAVFPPAPPAPPPPPPFAPTLPPPPPPRRKPPSPSPPCSPLNEKSAIRSTTDAISRNPFAQPPTPPTSTQAPPPPSGPPPPPPPPPPPVGYWESRVRKPDTSKETRSPALSPPPQAANFRSAPPTDAFPSRLPETADQGDKSEETTPRPKLKPLHWDKVRASSDRVMVWDQLKSSSFQVNEEMIETLFICNPANSAAKEATRRPVLPTPKAENKVLDPKKAQNIAILLRALNVTKEEVCDALWEGNTDNFGADLLETLLKMAPTKEEEIKLREFKEETSPIKLGPAEKFLKAVLDVPFAFKRVDAMLYIANFDSEVNYLKKSFETLETACDELRSSRLFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGTDGKTTLLHFVVQEIIRSEGSRLSASSQSTPRTLANPLREELECKKLGLQVVAGLANELGNVKKAAAMDSDVLSSYVSKLAGGIEKITEVLRLNEELKSRDDAWQFHDRMQKFLKKADDEIIRVQCQESVALSLVKEITEYFHGDSAKEEAHPFRIFMVVRDFLSVLDQVCKEVGKINERTIANSVRHFPVPVNPMMPQLFPRIHALRAGFSDDESSATSMSSP >Et_1A_009389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4602022:4604248:1 gene:Et_1A_009389 transcript:Et_1A_009389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTETEKKKAPVALAPIAKPLAGKKLCKRTLKLVRRASEAKCLKRGVKEVVKSIRRGNKGLCVIAGNISPIDVITHVPILCEEANIPYIYVPSKEDLATAGTTKRPTCCVLVLTKPAKGEIGEEVKEKLKSDYDQVCTI >Et_6A_047078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2516398:2519537:1 gene:Et_6A_047078 transcript:Et_6A_047078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEAREEESRPAERSKTMHRLLVALNCAMLALGATGGPLLSRLYYGQGGHRQWLAAWAQSAGWPLLLLPAAASYAARRRRRRAAAPLLLSPPRTLLAAAALGVATGADNFLYAYSLSYLPLSTSAILISTQLAFTVFFAFLIVRQRLTAATVNAVALLTVGAVVLGLHVSSDRPDGVTRAQYWLGFVLTLGAAAMYGLILPLVELAYKRAAGRGDVTYALVVETQLVMGFFATAFCTVGMVVNKDFQAIPREARHFELGEARYYTVLVWTAVLWQLFFLGAMGVIFCVHTLLTGIIIAVGIPVTEVAAVIFLHERFSSEKGVALVLSLWGLASYSYGEWTEAKAKKRMEAEAAQDS >Et_4A_033198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19959963:19964944:-1 gene:Et_4A_033198 transcript:Et_4A_033198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVSKSVQESKLLWHIAFPAILTAVFQFSIGFVTVGFAGHIGSVELAAVTIVENVIEGFAYGVLLGMGSALETLCGQAVGAGQTDMLGVYIQRSWLICGATAVVLTPTYLFTASILRGLRQPGDIAAVSGTYARWVLPQLFAYAANFPLQKFFQSQSRVWVVTFISGAGLAVHVALNYVFVTRLRHGLLGAAVVGNVSWWLIILAQVGYLVSGCFPEAWRGFSMLAFKNLAAFVKLSLASAIMLCLELWYYTAVLILVGLLKNARVQVDVMSICINYQLWTLMVALGFNAAVSVRVSNELGANRPKAAKFSVIVAVLTSGSIGAIFFAVFLGWRTGLPRFFSEDEEVLKEASKLGYLLAGSIFLNSIQPVLSGVAIGAGWQTLVAFINIGCYYFVGIPLGALFGFKLHLDAMGIWVGMTIGTLLQTIILCFISYRTKWEKQAMLAEERVREWGGRNDALPSATQVAPAIEDVGQ >Et_8B_058695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16825422:16825811:-1 gene:Et_8B_058695 transcript:Et_8B_058695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEKMSVDASTKRNARPARPKGRGCARELAALGQQVRMPSEREISPLHSVDTEDK >Et_4B_038918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:638225:640923:-1 gene:Et_4B_038918 transcript:Et_4B_038918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHADMEKGGVRKEAGKVPSPLYPQHEGEREWVPWLVPVFFVTNITVFIVTMYVNNCPIHTPAKEGKCIGHFLGRFAFQPLRQNPLLGPSSATLTKMGALVWDKVVHHQQGWRLISSMWLHAGVLHLVVNMFSLMFVGMRLEQQFGYVRIGVVYLFSGLGGSVLSSLFLRNHISVGASGALFGLLGAMLSELLTNWTIYTNKATAAMTLLSVIVVNLIIGILPHVNNFAHIGGFLTGFLLGFVVLMRPHFGWMERYSMAAGSACTTKKYLLYQWVLMAVALILVIIGFALGMAMLFRGSNANDSCHWCQYLSCVPTSRWTCTN >Et_9A_061298.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:9571323:9571442:-1 gene:Et_9A_061298 transcript:Et_9A_061298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FRYIKHIATSEKERSTGRFGRNNTEIFETGPEQLQNSPV >Et_3B_031023.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:10407171:10408463:-1 gene:Et_3B_031023 transcript:Et_3B_031023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQGLPEDVVAEVLGRVQAPRSLAWARCVCKSWRAIVDSRRLLRADLLPLSLAGVFVEFDFHMLDDWVTELFFSRSPAAATTTNAAVSGDLTYAPGFNVVGHCNGLLLLAGGCVANPTTRQWARLPEQPPPPRRVDSYDGRPDYWERPYLAFNPAVSPQHYEVVLVPVVLGSFPRHLEEDDTTPETTEWPPALYETRAFSSATGRWEERSFIREGDPAGTMAYMRRDRDFGFHDMHNAVYWRGALYVHGQMNFVYKFSLANGTYRVIRPPAGLVPPPPDAITRQQVYLGSLNHGVSCAAVDDFFKLRVWTLDELSDPPAAASHSNEWVLKHQNDLRRIVLPSPAVQDDDKEFLTLLGFHPFQDIVFFNISLQRGLAYDLGTSTVQDLGDMCPKDYGCAMHYAEIRASFPYTLFWMHNSLPTAHANDAQS >Et_7B_054094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15247349:15247763:-1 gene:Et_7B_054094 transcript:Et_7B_054094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRLLMHFPKPRQWIKQSWSLDKWLLNGVQPNNVTYNSLINGYSTFFFLFEGNGYSTLGLRKEATRLSKEMTCRGSWLPFKHGRSNEARCLFDSMGMKGQRPKMSPTLLSLMPMLQMAPWLILQIS >Et_5A_041483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23476307:23481223:1 gene:Et_5A_041483 transcript:Et_5A_041483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPIRLPGCVPIVAADLPTPLLANRSSVAYARYLAGANGYPKLDGFIVNTLPELEPAVADGVRGLKVPVHAVGPLIWTRPAAMDQHCRHKCLTWLDRQPHGSVVYVSFGSCGTLTWQQTAELALGLEMSRHRFIWVVRRPSENPLGCGSFLGTQRGVDEALDFLPGGFVERTRGTGLLVPSWAPQTSIPSHPSIGCFVTHCGWNSVLEGILNGIPMVAWPLYAEQKINAAMLEGQLGVATRVKRSDGGLVCKEEVARAIECAMENVDGETLRNKIHKIKDKVLDALNLEEASLSSCTSSIFKFGETHYKPASPRKGIRGSR >Et_3B_030796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7416996:7421808:-1 gene:Et_3B_030796 transcript:Et_3B_030796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFVLGVSCTTPADLTLMELLRDPFKGVITDVKGRAAWYKHDWVAGVRSGFRILAPTMYIFFASALPVIAFGEQLSTATNGILTTVETLASTAICGIVHSILGGQPLLIVGVAEPTIIMYTYLYNFAKKQDGLGERLYLAWAGWVCIWTAIMLFLLAMFNASNVISRFTRVAGELFGMLINVLFLQEAIKGIISEFSVPEDADSSSLAYQFQWLYVNGLLGVIFSIGLLYTALRTRRARSWLYGAGWLRSFIADYGVPLMVIVWTALSYTLPSKVPSGVPRRLFSPLPWESSSLRHWTVVKDLFSVPPAYIFAAIVPALMVAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILVLGFVVLLCGLIGIPPSNGVLPQSPMHTKSLAVLKSQLLRNKMVDSAKKTMLNHASSLEIYGKMQEVFIEMDSDQNTDSIDKELKSLKDAVLQEGDEEGKLDKKFDPRKYIEAYLPVRVNEQRLSNLLQSLLVGGCVGAMPVIRMIPTSVLWGYFAYMAIDSLPGNQFWERMQLLFVASDRHYKVLEGPHASFVESLSPKTISGFTVFQFVYLLICFGITWIPTAGILFPVPFFLMILIRQYVLPKFFDPNQLRELDAAEYEELEGVPPEALEEELSEAGSCRSRADAEILDELTTNRGELKHRTSSLREERHLQVKRACLVVHSNAVQPSL >Et_3B_029195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2301630:2303169:1 gene:Et_3B_029195 transcript:Et_3B_029195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKAALCSARIKFENSCEVGVFARLTNAYCIVPSTGSSNFYSVLEAELAGAVPVVRASIGGTRIVGRMCVGNKRGLLLPHTIIDQEFQHLKNSLPDEVEIRRVEERLSALGNCIACNDHETEEVISDVLGVEANDCREHSGWELLYLHQQRRPGPPADIGGGPGRALHAATLVAGTINRGSDVVSAGVAVNDWTAFCGSDTTATEVSLVESVFRLRDPRPGALGSDQRSSMVLDYF >Et_3A_024587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22386376:22387894:1 gene:Et_3A_024587 transcript:Et_3A_024587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEEGSEPRVEVLAPGKHRGVVLLLAAPMHRDTAVDLRRRGRYMYKHQYWSNQQNLATETNATVMLDTGTSVERYLLVPFFSLQAPPSGISWIKGEYGRWTALFGLLMSLLRLIPGELQLLVSTMLLVILGPYQFMNLRGSQGGGVLSVAIVVYLMFQHFAGVGGLRKAFGREAIITSLCIICKTVITLMLVH >Et_1B_010753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13691043:13697482:1 gene:Et_1B_010753 transcript:Et_1B_010753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRATSSTTTATSLLLRCHPLLPGPKTLALAAPPPLLLPSRLLRPRLSSAAASPASPRRRAATVAAKSGEKKPPAVRAKRAGAVPAPAAAAAAGMSASGGNGGKRTVADVLMGNARAAASKAKKAAPSPKKARTQPPAAQADGAEAELAAEVEKPPSPARSKRASSPAKSPKSAADASAAEKKRSPSPTKCEGEVPVAEEAKGLASQPEEKQQAASLKKAKAADATKSEDKNTTLELKKKGSEFDPMAAAYWNPGEPVPFLFLARALDLISNESGRIVITEILSNVFRTVIATTPDDLLATVYLAANRIAPPHEGIELGIGDASIIRALAEAYGRKEEHVKKNLKELGDLGLVAKASRSSQKMMYKPKPLTIDRVLSTFRTIAKESGKDSQDKKRSHIKGLLVAAADCEPQFIIRLLQAAKIIKQVYSVLPIYDKIVPALLEVGVWKLPETCKFSIGVPVGPMLAKATNSVSEIIDKFQGLDYTCEYKYDGERAQIHCMEDGTVEIYSRNAERNTGKYPDVVDAVSRFRKPTVKSFVLDCEIVAYDREKQKILPFQILSTRARKGVTINDIKVSVCTFGFDILYVNGKPLLQEQLKVRREHLYNSFEELPGVFQFATAITSNDLEEIQKFLDTAVNSSCEGLIIKTMDKDATYEPAKRSNNWLKLKKDYMDSIGDSLDLVPIAAFHGRGKRTGVYGSFLLACYDDQNEEYQTICNIGTGFSEQQLEERSTSLRSKVIEKPKAYYRFADSMDPDVWFEPSEVWEVKAADLSISPVHRAANGIVDPNKGISLRFPRLLRIRDDKNPEQATSSEQVADMYRAQKINHAYNNEDEED >Et_1A_004632.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:24056874:24058103:1 gene:Et_1A_004632 transcript:Et_1A_004632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAISAIVGDLISRVISFLVKKYSDKTSIDQKLEKLQQLLLRIHAIVEEADWRYVTNPKMVMQLKILADEMYLGYHVLDKFRYKSIMSSINEEGTKSSIPLKRSRTIPSSWTSFVEDSNLQSVLERLECATVNMTEFVLLLMGCERICRRPYDTYLYTDTLMFGRHVEKQQIIRILLNDPGSRDGPTVLPVIGGCRVGKKTLISHVCKNDRIQSYFSSIFFIKGDSIWRMENVKFKNERTLIVVEFLVDVDDHDWAKFYATVTRMTAEGSKVIIISRIPNFARFGNVKTVSVSSLSHEEYTYLFKRLAFGSTDENEHPHLTSVANEVAVVLRGSLITANVIADLLRRKLDIQFWLRILHRFMGMVDNNLSKYGEHPKDILENERPIDITVFYSSDSATRHLMPPR >Et_4A_033408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22369625:22373773:-1 gene:Et_4A_033408 transcript:Et_4A_033408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQRETTYRLYKYTGKGSGSTIPVQPHSKLLALARTLDPAVLYILSGLASLLPCTYCTRTSGMAVAGKTKGGVPALGWWLMTVATVRLGLTWSGFFSPASLGSATYGEAQMTGVHGRTFGVWTLLSCTLTFTCALNLDNKPLYGLTFMSFIYAYGHFIIENVVYHTSTAANLITYAVVAVTSITKSGGVPALGWWLMAVGAVRMGHTWSGFFSPAALGSATYGGEHVTALHGRTFAVWTLLSGTLCFMCAFNLGNRPLYAATFLSIAYAYGHLIIENLVYHTTTAENLVMYTVVAGTSIVWMLRQWNSHGPRAANKQP >Et_9A_061161.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19410129:19410494:-1 gene:Et_9A_061161 transcript:Et_9A_061161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSLDVSAGCGGRHSTLLDEYERLAFEAQLNRAIVLRRCYSEPSPVRFAAHDQPPALGQGDQTEARRHELWWFWRVHEAVARWLRSAWERRRRATEQAAARRPPTAVPPPRVQLLDYLR >Et_7B_054804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4551703:4554573:-1 gene:Et_7B_054804 transcript:Et_7B_054804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARLLLLRSVRTAASPSASALLHGPLDSFSRRCREVAPPSTVFSRNLSDAAFDAQALDTRVPATVITGFLGSGKFGEVDIDSSLVASHSSVAEDIVMVNNGCLCCTVRGDLVKMLLKLVKQKGDKFDHIVIETTGLAKPGPVIETFCSDELVSKYVKLDGVVTMVDCKHALKRLNEVKARWVVNEAVEQVAYADRIILNKIDLVDDAELEALTNKIKLINGMALMKKAKFGDVDMDFVLGIGGYDLDRIEAEVQLQESKETDHCHHGDGHGHHHDHVHDSAVSSMSIVSEGVLDLDEVNDWLERLVEEKGEDLYRLKGVISVNESTGRFVVQGVHSMLEGCPAKPWETDEKRVNKLVFIGRNLDEAALRKAFKGCLL >Et_7A_051503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19712666:19713167:1 gene:Et_7A_051503 transcript:Et_7A_051503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSPVILTALALLALLSAMPRKVQAHGKNCTTIVLSPGEKCYDQSCDKECYKVFRRHGQCVNTGCGCVFCHPGEET >Et_7B_053305.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3157199:3158134:-1 gene:Et_7B_053305 transcript:Et_7B_053305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCVATMTIPPFFRCSAMMYSSSLVPSASSATEGSSSSQIGRSIASSLASCSRFLCPMESILAFSFMSRPSPISSMAGADRAPSLPSRSSNHCTFSSTVSFSLTASLSDIQLSCSLYCWNTPGEVMSWPFQSSSPAEGREKPASMRRRVVFPEPLAPVSTSAVPSWTLKLMSRSTVCAFRMHETLLRRSRGGGGLSGGITALSTLARRLGGRVEPRSCALRRRWVGECGGARRGRSG >Et_3B_030714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6732910:6734046:1 gene:Et_3B_030714 transcript:Et_3B_030714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACQPLQEGKELQPYDGCDPSAYRGPVLLPRQANSAPPPSVMVRPPEMSSSSGSGSARSATDAKALKIHSEAERRRRERINAHLATLRRMLPDTKQMDKATLLARVVEQVKAMKRKASEATQSMPLPPETNDVSIECHTGDAPGIPSTDKIIYIKASISCDDRPDLIAGLIQAFHGLRLRAVRADMTSLGGRVQHVFILCKEEGSQGASLRSLKEAVRQALSKVACPETVYGSSPFQSKRQRILESHYSIMSM >Et_3A_023814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12847918:12849794:1 gene:Et_3A_023814 transcript:Et_3A_023814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYIGWSVSSLKFIALIFNLHVTTVGIQFENNCEVGVFSKLTNAYCLVAIGGSENFYSAFEAELADVIPVVKTSIGGTRIIGRLCVGNKKGLLLPHTTTDQELQHLRNGLPDQVVVQRIDERLSALGNCIACNDHVALTHPDLDKETEELIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREGQPTAIVDDMRKSLIDSYV >Et_3B_029441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25007884:25010728:1 gene:Et_3B_029441 transcript:Et_3B_029441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRACSRLRRLLAPPPPQRSHPLARGPQAATAARCSPFCRRFAAASSSVAVAPHDCRDSGIGGSAYYAWIRAAAEAAPAPSPPQEEEDNGPERYIPVKAYFLSTSIDLKSMQAEHGTDIVPPSTRSLNYIALRYSEFPPEIMDIGVKDNRFCYRYVVVFQYGSAVLFNIADHEAEYYLDIIRKHASGWLPEMRKDDYAVVEKPSLSTWMKGGLDYIVLKSLDTDGIRIISSVLGQSIALDHYIGQVDDMVEEFTEINRVMEKTGNFTMQRKKLFQLVGKANSNLADVIIRLGLFDRSEIAWKNANYAQILEYLREEYELNQRFGSLDFKLKFVEHNIHFLQEVLQNRRSDLLEWGVIILLTIEIVISLYEIVKDSNMIS >Et_7A_052452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8187583:8191500:1 gene:Et_7A_052452 transcript:Et_7A_052452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWLYGLLSLLAVAAAAAAAADGAEGKWEPLIRMPTEKGGDAAAALAGAAEEDEVGTRWAVLVAGSSGYGNYRHQADVCHAYQILRKGGVKDENIVVFMYDDIANNTLNPRPGVIINHPKGDDVYNGVPKDYTGHQVTTENFFAVLLGNKSAVTGGSKKVIDSKPNDHIFIYYSDHGGPGVLGMPNMPFLYAGDFIKVLKQKHASNSYSKMVIYVEACESGSIFEGLLSEDLNIYVTTASNAVENSWGTYCPGMQPPPPPEYITCLGDLYSVSWMEDSQTHNLKKETIKDQYEVVKARTSNTNNRKEGSHVMEYGDKTFKDEKLFLYQGFDPANVNIANTLLWAGPKATVNQRDADLLFMWKRYEQLNGGSEEKLSALKEIKETVKHRKHLDSSIDFIGRLIFGFESGPSVLEAVRSSGQPLVDDWDCLKSMVRIFESRCGSLTQYGMKHMRAFANICNIGVSENTMLEASISACSNYNPERWSTMTQGHSA >Et_4B_036400.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21791518:21791847:-1 gene:Et_4B_036400 transcript:Et_4B_036400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDREALRQNGGRRVAGKDLTCVGVRCFRESFLIITGVTLLGALVSLVLVWRTRKFYRGDLYGRFREVGMVAGDGRHHQEQEANAGRSSAVGVTKDSTTGGEVVNGGKV >Et_8A_056068.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1593214:1593480:-1 gene:Et_8A_056068 transcript:Et_8A_056068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDVEMPLSDEEMVDDEDYYEYYSDMADEDDDGGIGGGDSDGELVAADYEGIEAEGSDIITSRREQVRLHTGADRAVLRLSRVSWNL >Et_10A_000959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19661640:19666280:1 gene:Et_10A_000959 transcript:Et_10A_000959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNLFLHAIEIYSSETGNWVLRNSQLEKWIHSTGSMTYFNGFLYFTVDYNRVASVDTTGQTWKVTVVVADRDDPASGHGVVGHSRERLLYVDSVDDALAIYALEDDGKEWVFKQKVSKLDLFGPNNSQNGWDFDVAAFHPDGDFIIFCDRPRRRLLSYDMKHARACYLHSRKGVKRPSFVKTICAIVLEGIIIITHSQVEVFHAFFFFLIQRKLVRFSEMYCHVLREEVAAELTGGQFEAV >Et_4A_034120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30071395:30077755:1 gene:Et_4A_034120 transcript:Et_4A_034120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSGTEVLAWDDGKLTCASGRIGPCKGFSRRGWPMCFLKAVASSIPGWALQGLPPHLVVGMPALSPTMNQGNIAKWRKQEGDKIEVGDVICEIETDKATLEFESLEEGYLAKILAPEGSKDVQVGRPIFVTVEELDDIKGIPADTSFGGEQKEQQSTESAPQSNAANVSEQSSVVSRISPAAKILIKEHGLDASSLKASGPRGTLLKGDVLAALKSGASSSSTKEKKAPATPPSQPSRDSQAQPATTQKTDSYEDIPNTQIRKVIAKRLLESKQTTPHLYLSKDVVLDPLLAFRTELKEQHGIKVSVNDIIIKAVAIALRNVPEANAYWNTEKEEAQKCDSVDISIAVATEKGLMTPIIRNADQKTISAISSESGILAVGRGNKVVEPVVDSDGIEKAAAVTKMSLTLSADHRIFDGQVGGKFFTELASNFSDIRRLLL >Et_3A_026649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11928134:11932232:1 gene:Et_3A_026649 transcript:Et_3A_026649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTVTQCVFSTVQSFVVAVIAEREFFSRWKLDFDISLIAILYSKKGPIFFAAWTPFCFVLTIFCSSFFLGEIVHLGSMMWGKIRECKTDDIEKDQHKQSAEGFQDEQDQTKIQAKDLTTGG >Et_7B_054598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2676009:2676606:-1 gene:Et_7B_054598 transcript:Et_7B_054598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKALPARFRFVHLLALTVVLLLAAAVSDGIRLAPVDGMKGVQQLAYQLTDTFQQGQGSGAGDAGGSTLLQEEVRATGSSLPDCTHACGACSPCSRVMVSFKCSVAEPLPCPMVYRCMCRGKCYPVPSS >Et_4B_037477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20131630:20135247:-1 gene:Et_4B_037477 transcript:Et_4B_037477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPRMLLVAALAAALCLAQLAAGDTNGVYEPCSDARIQRGDGFTFGVVFAGYNSFFSGQTQLSPCDRRLNLASSGQLAVFRPKVDEISLLTINTTTGFNPASVGGYMVAFAGRKYAARSPPIFVSNSSFTVSSFTLVLEFNKGRLQNLHWKKDGCDACSGKSNFICLGKQTCAIRTQSCKSQGPVDCSIGIQLAFSGTDKHESVLNSWYEVSNLRQYSLYGLYSNLKDTLSGQFNKFF >Et_8A_057388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2510491:2511173:-1 gene:Et_8A_057388 transcript:Et_8A_057388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFALSSAWYTVTSWHVEANGHLAYSWLVEANEQGQLWPTGPTFVRRWRAVPWSPRCARPPLAGLQGSALQLHGGSKNVVDRFARHRRFNVQVILRFIYTDVFPGDDELGDSPAGMFESLLAAADLYQLDRLKHFCARKLWDDVSADTVAATLTCAKTYGCSNLKKKCVAFLADREKFQECCANRWLCAVGAEVPANHYRDKGSRQIEICTSVSVQV >Et_2A_014739.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:11110301:11110747:-1 gene:Et_2A_014739 transcript:Et_2A_014739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSGLHDVSGAAPLPLLLLASFASALASLFSPASSSASPADASSSARFSGLASLVALADYLAASCVSTADGAAAAGGDCTVCLSAIAEGERVRTLACRHAFHAACLDGWFDQSRLSCPLCRAGPAAAARDDDDGAGEDAVAWFARF >Et_7B_054589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2598857:2606026:-1 gene:Et_7B_054589 transcript:Et_7B_054589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHNKSRRYKRHSLSLAPNPLSLSSFFFPAPTLLRICHPFPVRIPKSTACLEMLLLQPRAVPAPALLQRPPPPQLRPRRRPVLPPLASASSSIAVNSDEDAFTRCSGYLFKEGAAAEAELPTTYDLPGIAAVYRRRPLLVLRRSLQIGASFGRWFALRYLDRVNERADEMFELRAAQLRRILLELGPAFVKIAQAVSSRPDVIPPAYLDELSLLQDRIAPFSTEVAFNIIKKEIGKPLDMIFSEISPKPVAAASLGQVYQARLRSNGKVVAVKVQRPGVQAAISLDIFILRFLAGVARKAAKLNTDLPAVLDEWASSLFREMDYIAEARNGLKFREFFGKLRDVRVPEMYLEQTRRRVLVMEWVEGKKLSEVRDQYLVEVGVYCSLSQLLEYGFYHADPHPGNLLRTVDGKLAYLDFGMMGEFRQELRDGFIEACLHLVNRDFDALAKVTLNFLLPPTAQKGEVTKALTGVFENAVNKGVQNISFGDLSGNLGRTILAVLEGIAISFNPNYKVLSSSYPWIARKVLTDSSPKLRSTLQNLLYKDGEFRIDRLESLLTESLRARTEQSLVRNQQEDVASTRYAIKQVLSFTLTEQGAFVKDLLLQEIAKGIDALGVATFSSATSELDDEDVTNLRNLQRLLLLLSRVPQAENSSPVPGYNSSIEKEGGSTNEISLALYEITSVPEILPALSIIPELPPESQQQLLLLPADLTNRVLSRAVARTIRRLFI >Et_7B_053877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1375989:1376784:1 gene:Et_7B_053877 transcript:Et_7B_053877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGAKQQELSSGCWSCTCGGSNSGLQVNDAPRVQLEIQRRLHEQLEVRRLETYNEEEKLDLELRIQRNLQLRIEEKGKWLQKVYEEQLLKASKSVLEPQEEQGASITSRDVNEQDEKASDDAATVRGK >Et_3B_029700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27244764:27248214:1 gene:Et_3B_029700 transcript:Et_3B_029700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEEKLLATVQHIVQTLGRTDTMTEDILKVFSNYDGRLSLDKLYATRAAAAAAAAVGGATERSMPASPPMPPPPAAPSVAGGMPPVTSLERTVRTLDRQISQFVTMDRLIWADSADADAFLEAVDDLIGTVQELDAAGTNRGLLDRADELLSRCMARLEDEFRALIERPDDVAPQVPGGCGSDESEDEDYDADDGYGDEPIPIAKPVTDFDVVIDALPPGSVSDVHQIARRMVDAGFGRECAEAYAAARRGFIDESVARLGIRSRTADEVQSSPWEELEFDIARWIPSFKMVFRILIPSERRLCDRVFEGLAPYGDLAFVAAVRTQALQLISFGDAVAAASRAPERLFRVIDMYEAVRDLLPDLDPVFSDPYSAALRAEVSAVCSTLGSSIKGIFMELENLIRRDPARVAVPGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDLGAVGAAAIAVDPDRPTSSLAVHIAWIMDVLHKNLETKSKIYRDPPLASIFLMNNGKYIIHKVNDSELGILLGDEWMKQMMSRVRRWSMEYQRGAWAKVLSVLQTGGPGIGSISTKAMLQKMRMFDGYLEEICAVQSDWVIVDEQLRADVKAAIADSVMPAYTGLIARLKSSPEAARDLFIKFTPEDVEACIQHLFEGASNIGD >Et_8B_060008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:571147:577905:-1 gene:Et_8B_060008 transcript:Et_8B_060008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TLTLFDRIEHRHQKREDKARKGGDGEAVSEAEAMSGGGMEVEVRLVGGARSCFVALPLHLIHALERTSASGDLPPVLALDLRAAAGGRWSLAWSGAASRSRAIEVAQELAECISLPDGTVAQLSVSCSLAKADSVSIEPFSEDDWEILESRADLAEETILKQVGIVYEGMKFPLWLDGHNIVKFVVVSSCPAKTVVQLVPGTEVDVAPKKQKEKSSHDVQKQTPLKEQVKTKALLRVQAADRKYAHTFRYKGVDIGVVLSYAALIHPDTATNISLGNLQLVTISSKSSKKVLPPKGKEVAQKKGVSVAKERTQEAVVHILLSDSVAKGHVMLPYSLRHFIRVYLRTYSVNIKKEEPIVTISPLRFKVHAKDGHDSTKLGLQEADALRISWFPSGNGDIFQEAHHGENEGVQSADVESISESVTKHKLFIKQWLLGQIKEMALLSQTEIISIVLPPEILLHFEATDQKLNRGVEFLYLLSVASESSGFTDAQFDVEIDWSSPNDNPENLELLFRKLELGETVSFDSLMHGGFNDGFNLTQSSLGWMENAMSDVKKRLSVLLSPASLSLFNRLKFPFPGHVLVYGPRGSGKTALARASAKYFEDHKDILAHSTSSDSLVRYLADIMDEYKDKTRNACGYGPVALMASVQSLQSLPQDLTSSGRFDFHIELHALAVPERKALLKHQFEEHDLQCSEEVLSEIASKCEGYDAYDLEILVDRAVHAAASRFVLPSNASLNSVKPTLMMEDFSKAMHGFLPVAMRDLRKYAPDDKDGGWEDVGGLNEAVTIIKETLELPSKYPNIFTRAPVRLRSNILLYGPPGCGKTHIVRAAAAACSLRFISVKGPELLNKYIGSSEQSVRDFFAKAVAAAPCLLFFDEFDSIAPQRGTHSAGVSDRVVNQFLTELDGVETLTGVFVFAATSKPQLIDAALLRPGRFDRLVFCDFPRWDERLEILKVHSRTVSLASDASLEDVASLTEGFTGADLAAILTDAGLAAVHELLDNRENGIPEREPCISKELLMSVALKARPSTPADEKIRYEREFGEFVSSRKSISTKARESKGKKVTLA >Et_1B_010359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5221:20748:-1 gene:Et_1B_010359 transcript:Et_1B_010359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGGRRMPPADSSSSSASPAPAGGRRILRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQSILETHNQVEEKKKLYLPYNILPLDPDSANQAIMRYPEIQAAFHALRNTRGLPWPKENDKKPDSDLLGWLQAMFGFQKDNVSNQREHLILLLANVHIREVTKPDQQPKLEDRAVDAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGEHVKPAYGGEEEAFLKKVVTPIYKVIEKEDRPAGNDSWMGKVNFVEIRSFWHIFRSFDRMWSFLILSLQAMIIIAWNGGTPSDIFDAGVFKQVLSIFITAAILKLCQAILDIILSWKARRNMSLAVKLRYILKLISAAAWVVILPVTYAYTWENPTGFARTIKSWLGDGRNQPSLYLLAVAIYLAPNLLSALLFLFPVVRRALERSNYKVVQFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLATKLIVSFYVEIKPLVQPTKDIMNEPIHTFKWHEFFPHANNNIGVVIALWAPIILVYFMDTQIWYAIFSTLIGGIYGACRRLGEIRTLGMLRSRFESLPKAFNECLIPSDMNKRRGFRAAFSSKPSKSPEDSQEEKIAARFAQIWNLIITSFREEDLIDNREKDLLLVPYCKDRDMEIIQWPPFLLASKIPIALDMAADSDGKDRDLKKRIKSDPYFTYAIKECYASFKNIIFALVIGPRERDVIQKIFKVVDDHIAEETLIKDLNMSNLPTLSKKFVELLDLLLKNNKEDHGQVIILFQDMLEVVTRDIMDEQLSGLLESVHGGNYRRHEGITPLDQLDQLFTKAIDFPVKESQAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMSMPRAPKVRHMLPFSVLTPYYKEDVLFSSQALEEQNEDGVSILFYLQKIYPDEWKNFLERVQCKNEEELRETEQTEEELRLWASYRGQTLTRTVRGMMYYRQALVLQSFLDMARDDGIVLVLDDLMEGFRAADLLSDESPLLTQYKAIADMKFTYVVSCQQYGIQKRSGDPRAQDILRLMTTYPSLRVAYIDEVEEPSKDRNKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAILGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEALATGKKFIHNQPIQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGTTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVYEIFGQSYRGAIAYIFITVSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEKEQEPLRHSGKRGIVLEIVLALRFFIYQYGLVYHLNITTHTKSVLVYCLSWVVIFVILAVMKTVSVGRRKFSADFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDIFVCILAFMPTGWGLLLIAQAIKPAIRFGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRATRNKE >Et_1B_011515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23088356:23092975:1 gene:Et_1B_011515 transcript:Et_1B_011515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSTHPHYLPLGKYRTNTMLQGTTGEKRWKGEKRGKTKLKHLVPRYLHRQELHTVNRWLIPVGGCTILPVWWQGITMEKSALSTSSEASLPSKHITYSITALSQGSVILPSLVNPNSPCDSFRSSLKTAVRRYSRGISKRFPSEEDEKQSRTIVPPHSGPSFEGQLTMDSGELDSFFSNHNVICIHLHTRIPLEKYCTNTVLHGIAGEKRWKGEKQINNLQLQSITAVSQGNVILLPLVNPNSSRDIFSSSSKTSAE >Et_5A_040245.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4170690:4171049:-1 gene:Et_5A_040245 transcript:Et_5A_040245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDSKDMLKNVDWKTVGGAVTTESSQPVIKKRLPKKIRQVPECYFLPRRSLPSALAFYGAVCAAGVGTWNTVFLIKKYLAWCEAVFQTEEDNLSATVIKTHSSDWCFFFLRERGCVF >Et_4A_033170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19571896:19572263:1 gene:Et_4A_033170 transcript:Et_4A_033170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQ >Et_1B_014077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:356490:358824:-1 gene:Et_1B_014077 transcript:Et_1B_014077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAHCHSPSPKQQSLQPNSSVPGVCGSEILVLGHNHSSDDSQILVCKLSDLVLQRFIPIQSIGGNTLFLSERAISVSSKVLSTVKGDNVIYISSGPHRLVQYHLSSGSLSPAIDTCSLYGRKPGPSCLVHCIFSRCIHNRWSRGIIFRSDEPDWSDWSEQHDEEEQLKKWNTVSIACLSSYLRARRSRKFTEGFRPHVDRQNKCD >Et_5B_045345.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:19004210:19004851:-1 gene:Et_5B_045345 transcript:Et_5B_045345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRKIEVVGGHIHSTAVREHIVSATVNDVVSRTISAVVGKLEEYADVECQVEHLDTLVTMVRSAVDAAEGVHIRNWWLRRWLWKLREAACEGADTVRSFRRRAAEETDSDNTGHRPWCLGMAKSLLFGDGGVAALKSTVARLEEVTTGLGDFLKLLEMETRTAPLHQQPPPAAVHTGLRVLGAIGFAVGASVIWIAFQVRNKILRRREGAC >Et_2B_021702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4644638:4648869:-1 gene:Et_2B_021702 transcript:Et_2B_021702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGCGGRYYWAPGSVAPGQARGIAVLFAWVWSDEAHLRPFVELYASLGWRCLVCHPDLVALYLSEKATSLASGIICELVKELKIKPVPTVLASFSGGSKGCMYKVIQLLDGKCEGDATVKDFRLVRNCICGQIYDSGPVDFVSDVGTQFLQKPVVDNSSQPSIFRSWMAKALASGMDTLFPSRIEAQRAEYWHTLYSSAGLGPVLILCSEDDDLAPSHVVCGFARRLIELGTDVKLIKWSGSSHVGHYKSHEAEYRTAVNDLMEKALVTFCHRSQLNDKRATGDQEYKIAHSVCSLHNAAASSNESLRRVANSPSDHFFLPSSKDHNESRDPDSPIEEQRQQISHPRYMEPQGVLGQILFDVCVPKTVEGWDIKPTVSPNGRPSLTSPRQLGPFNPIKYFRRSRL >Et_5A_042317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8707954:8719233:1 gene:Et_5A_042317 transcript:Et_5A_042317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARRGGQLRELEALCRQGYCGVSALLVSEREDASSIIGAMAPLQSLCCCLTLLLATSLVCSAAPPSGIRLELTHVDSKGNFTKSQLLRRAAHRSRLRAARLQSAALHGSTGSAMASLAVFLLVAYAGLASCAAGVRVGLTRIHSDPHVTASQFVRDALRRDIHRDTARQQLLAASDGAATATVSARTRKDLPNGGEYLMTLSIGMPPLSYPAIADTGSDLIWTQCAPCGGQCFKQQAPLYNPASSTTFGVLPCNSSLNMCAAALAGAAPPPGCACMYNQTYGTGWTAGVQSTETFTFFGSSSPADQARVPGVTFGCSNASSDDWNGSAGLVGLGRGALSLVSQLGAGRFSYCLTPFQDTNSTSTLLLGPSAALLNSTTGLPVERADELLTLPQPDRHFARRDGAVVHPGQRLRPQRRRHGGAHHRLRHDDHVAGQCGVRAAVLSQVTLPAADGSDATGLDLCFVLPSPTSAPPAMPSMTLHFEGADMVLPADSYMISGSGVWCLAMRNQTDGAMSTLGNYQQQNMHILYDVQKETLSFAPAKCVHNANDALPGSTRCTVSGCAYSYSYGDGGSTDGVLATESFRFSSGAGATVHGVAFGCGTDNLGGTDNSSGLVGMGRGPLSLASQLGVTRFSYCFTPFNYTTTSSPLLLGSTASLSSAAKSTPFVQSPSVPRRSSYYYLYLEGITVGDAALPIDPAVFRLITASGRGGLIIDSGTTFTALEERAFVVLARAVAAQVALPMASGAHLGLGLCFAAPEGRGPEAVRVPRVVFHFDGADMELPRESLVVEDRDARVACLGMVSTQGMSVLGSMQQQNMHILYDIERGVLSFEPANCAKDAMACKVVSAATLTLAAVVMGILAPATFATSPRGFRAALTLPLCSAVATTLPRHATTRPPALASLSAAGSGASSFQTLVEK >Et_6B_050029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4050299:4057342:1 gene:Et_6B_050029 transcript:Et_6B_050029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYAIAPLLCTLMQNELYMHLYINQVFAGPNADQDQAGVINVNPPIGFGNTVINDWPVTDGLGANATIVARAQGLHIQSSQTGISGWYLSFNILFEDTRFSGSSLQVMGKIPQDGEWSIIGGTGELTMARGVVQHKVIQVVGVTSRLYELDVHAFYTPMNSLHFYTTRDTLYGSRVPASHWSTRPISARQRKTEHSNPSSTDKIPRRIIFLALVAATAATSVVAAPPAPPRPTAGGLVAPSKKSMGRSCGAWRTIVIDPTTQRTQPSIPKNIDMA >Et_2B_022513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24316932:24321768:1 gene:Et_2B_022513 transcript:Et_2B_022513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGERRTAPSSSLHAGLRQGSALMILLLAAVAALRCTSASSASAAGGGCDLFRGRWVADDSYPTYDASTCPFVPAVFDCRRNGRPDDGYLKLRWSPDGCRLPRFDGLDFLRRWSGKRIKFVGDSLGMNQWVSLVCMLHAAAPAPARVTDYDVSVVLHHTKFLVDVVREDDTGRRVLKLGSMKRKASSWRRADLLVFNTWHWWTYRGAGQEYARRAAIPKLLMYRPAKENSDGAFICIVPRWDLVPDGNCTFRDMDRLTAFSKGLATWARWVDANVDASRTKVFFQGISPSHSRYM >Et_9A_062501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23536924:23541244:-1 gene:Et_9A_062501 transcript:Et_9A_062501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPAAVAAAAVSLAIAAATLLPRLASASGAAAVGVNWGTMMSHPINPPAVVEMLRANGIDRVKLFDADPWTVAALAGSGVQAMLTTSSPPSPATRTARASGSAQRHRQPQRRRRRQSYNGSFINITFPALKNMQRALNEAGFGQRIKAVVPLNADVYCSPANQPVPSAGSFRADINSLMVDIVNFLHMNDAPFVVNIYPFLSLYQNPNFPLNFSFFDGRTKPVNDKGMVYSNVFDANFDTLVWSLRKAGVPDMNIIVGEVGWPTDGDKNANVKYAQRFYNGFLKKMAKNVGTPLRPGRMEVYLFALIDENQKSVLPGRFERHWGLFTYDGKPKFFMDVGGNGQLIGVKGVQYLPAQWCVFNKDAKDKYKDLPASVNYACSNADCTPLGYGSSCNGLSHDGNISYAFNIYFQTMDQDVRACSFGGLAEITTTNASQGGCLFPVQILSASGNVVPLIFLPMSLVLLILQDVAMRNSSASERLSPIWNKQEIQYARPRQTFFCSYGLIEWLL >Et_3B_030594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5242865:5247389:1 gene:Et_3B_030594 transcript:Et_3B_030594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVDWALLLFLAQLHSFVASSVHAGGNLTHHSAPSLCHPGQVNSLLKLKQSFFFFYSTTTLASWQDGTDCCLWEGVGCNDSGLVTALDLSGFGLFSRGIDPVLFNLTSLRLLDLSMNHFDYYNIPSVGFERLSLLTHLNLSYSNINGQIPAGISKLTNLISLDLSGVTTGFLPDVSEVAFSNSLWVHRFQNLVANLTNLRELILDGVLISSRVEDCFKELAKCTPHLRVLSLEYCGLQGHIDRSLSRLRYLVMINLSGNDLTPAPFPEFIMNFLNLSVLQLADINLEGEIPTSLFTLPALQHLDLSGNQLSGHIKEFYVISSHLKIIFLQRNRLMGTIPKSFFLLTSLWYLDISWNKFTGSVDLASLWRLEKLTGLALSNNMLSVTDKEVSNSSSTYLSELQYLELANCNITKIPNFLTRINHMADLNLSSNKITGNIPKWMLERWNVSFKSLDLSHNRFTGIELTSYIIPFSNSLESFDISSNMLQGQIPMPSSSAQILDYSNNKFSSVLPNFTLYLRDTHYLILSKNNISGFLPHSICDAKWIKVIDLSYNKFKGLVPPCLMENGFVAVLNLRENQFEGPLPSNISSGWIQVLDLSYNKFKGPVPPCLMENVLVSILNLRENQLEGPLPSNISSGCSLQTIDLNGNKIEGQLPRALSNCTELEVLNLGRNQITDTFPYWLGTLLNLRVLVLRSNQFHGSLGYLKDEKSREKFPSLQILDLASNNFVDYLHPRWFENLKSMENYNNTGQVLQQQFWSDQVGSRGGSVMVMALST >Et_4A_035600.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30745563:30745979:1 gene:Et_4A_035600 transcript:Et_4A_035600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHIGAAVIGELGLRGAKHASRTAIRRQREVAAAELKMMVIKLRSTVEVSEKLGIETPSLLEWREELKDTGADAKEHQALSPRPSRSRRTRYRIRGGCKEARLEDVVADITRAVEMTNRRDLRDLENGRMGRHLLGG >Et_10A_001569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5177938:5182542:-1 gene:Et_10A_001569 transcript:Et_10A_001569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVDEQRLVLYPPIHDVLDQWGDLVHLVVLRDRDDIFDLGYELPREEAHRRVRELLLMGLRDREYVRSDFYPRGSLPGSRRRRRQQALLPYYYQAVVEQDEAAAYGTTPASGEADVAALPETTSVKDQEGECSVCLEEYKAGDALRMMPCCHSFHKACIFAWLRCSPACPLCRSCITQEVDEQRLVLYPPIHDVIDQWGDLVHRVVLRDRDDIFDLGDELPREEAHRRVRELLLIGLRNRRYVRSDFYPRGSSSRSRRRRRHQALPYYYHAVVEDDKEEAYGSPASGEAVAALPETMRVKDQEGGCSVCLEDYKAGDVLRMMPCCHSFHKACIFAWLRRSRACPLCRFPLLAAQQQ >Et_3B_029234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23241531:23243510:-1 gene:Et_3B_029234 transcript:Et_3B_029234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPRTARLALLSTPRAYSAAAAGASPSSPAPYGGAPPPAPMSKAAEFVVSKVDDLMNWARRGSIWPMTFGLACCAVEMMHAGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWT >Et_5A_040503.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:26604334:26604429:-1 gene:Et_5A_040503 transcript:Et_5A_040503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKQVLKLIKEEMKMRDVAYGHQGEDIQES >Et_1A_005998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16516205:16516828:1 gene:Et_1A_005998 transcript:Et_1A_005998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALFEELRRRNVAGPLLLLNLALYIFMVGFASSVLNSFIDGREYYSGFQGDSATLQFVQFAVLAGVVGVAAKLAAAYHARAWRPQGLAAAAAMGTVAWATTAFAFGLACKEMMMSDEQRQQQRGLRLRALEGLAATLAFTQLLFVALMHAAVAGERYGLGCAAADGDQPRGIL >Et_1B_009821.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:29834748:29835062:1 gene:Et_1B_009821 transcript:Et_1B_009821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DEYISLICRRWSGSGRRVLRRQPQQDVRGRLRKQGRLRRCLQARVVHRRLLLPRYRRSGPPRLHVHRTLPTGTGEGGAGKFGLGGVRIPPLNFRPSKVKKNLVI >Et_8A_056143.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4327639:4328343:1 gene:Et_8A_056143 transcript:Et_8A_056143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPYHLQSPKSIMMKLMNMRQQPPALPQPPTPPPPAPSKIILKEHHGTTPAMWCAAIVCFAFSIILIVAGVAILIVFLAVKPRAPAFDAANASLNSVYVDSPAYFNGDMTLVANISNPNQKIDMVFRSATVELLFRDKPMAVQALPPFMQRRGQYQVLNLHMVASRVLLPPEVAVELVNQVRSNRVVYTIRGKFKVEARFWFGHYTYWMNTVCELELTAPPSGVLVARKCRTK >Et_1B_013525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9238274:9243857:1 gene:Et_1B_013525 transcript:Et_1B_013525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGRRGDSSPAIKPISKAVVHRICSGQVIFDLSSAVKELVENSLDAGATSVEVNLKAYGEEWFKVTDNGCGISPANFQALALKHHTSKILDFNDLNSVVTFGFRGEALSSLCALGKLTVETRTKDEPVGTHLEFEHSGVVANESKTARQVGTTVTVEKLFSTLPVRSKEFSRNIRKEYGKVISLLNAYALIAKGVRLLCTNTTGKNSKTVVLKTQGSSSVKDNIITVFGLPTFRCLEPFSVTISDDCRIEGFLSKPGPGTGRNSGDRQFFYVNGRPVDMPKVTKLLNELYRSSNAKQYPVAILDFCIPTTSYDVNVAPDKRKIFFSSESVILQSLREAVENIYSPRQCSFSVNPIEDPEKEEDPMIDGRNEDTNLIEEGNVSSPDDDDDKEETDGEDQFSPENKKVSSSGTRVASEAISRDVSPLSRHPATQVDRSTWVPSFSYEHPKRLPKEGKSYASGANRFRSGSAAKSIHSSSVQSSLMNFVSRNKRKHEDDCNFISEVPVLRRGTSALSSSISSIPESNLPQETSSLQHSSTRSLISERSTAVSLQHSKPPNIVPCDMEVPQGPCDLPTAEPHMAEQLDPCLPNSGAPHKFSEVELQNKSTNTPLPDYNIGTAVCSTSVKCPVMQFTVAELRRRRKNGSVLSHTNKSYCLEKTTRCYKAATLDINLPLGDEAKSTSLAAATNELDRLFSKDDFGEMEVVGQFNLGFIIGKLNNDLFIVDQHAADEKYNFETLSQSTTLNIQPLLQPLRLDLSPEEEVIVSMNMDTIRKNGFVLSEGLHASPGSHYLLKAVPFSKNITFGVQDVKELISMLADSQGDCSIISNYKLDRTDSVCPSRVRAMLASRACRMSTMIGDPLTKTEMKKILRNLTGLRSPWNCPHGRPTMRHLVDLHTIKTKVIVYSPVTSSITCTYLFVYAPKKSGYEDFQNTSCT >Et_7A_051146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14987966:14988890:1 gene:Et_7A_051146 transcript:Et_7A_051146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVIQTKLADALGHGPPHSDWVRLSKRVDLVAPCSGQPVTSGCRGAAELVGVGLPPQAWSHHFGLVAGYHPDCMVAWSHEIAWSVAGCSDADGRRHVDLAAAWPSLVSLVRLRSSMVMVVLWSWSPMPLFGRRTRGH >Et_4A_032589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11713727:11716422:1 gene:Et_4A_032589 transcript:Et_4A_032589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNRIAPLLSKGYISSFQTSPLSSSTLGPPIQPWLFIGLGNPGEKYQSTRHNVGFDMIDAFAQSQGITLTTHYFKALFGEGMVDGVPVLLAKPQTYMNLSGESAGPLAAYYKLPLDRVLVAYDDMDLPCGVLRLQPKGGYGRHNGLKSVIHHFRRNREFCRLRIGIGRPPGQMDPKAFVLQKFNRTGRERIDSALKEGVTILKMVATKGLKEAARLSNVDQKYKHLRSLHDLQD >Et_6B_048741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13127401:13131510:1 gene:Et_6B_048741 transcript:Et_6B_048741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISVAVRFRPPSPAAADPSSFPGGGDREWRIDDGSRVSLLHRAAGPVPGASFAFDHVFDGAVTNERVYAALVKALIHSAVDGFNGTAFAYGQTSSGKTFTMNGSDADPGIIPRAVREVFNTVRQAEDREFLIRVSYMEIYNEEINDLLTLDGQKLRIHESLERGVYVAGLREEIVNSAEQVFELLQLGEANRHFGETNMNVRSSRSHTIFRMVIESSAKNQVDSGDAIRVSVLNLVDLAGSERIIKTGAEGVRLNEGKHINKSLMILGNVINKLSENGKQRGHIPYRDSKLTRILQPALGGNAKTAIICTAAPEEIHIDETRGTLQFASRAKCVSNCAQVNEILTDAALLKRQKLEIEELRKKLQGSHSEGLEQVVLKLRNDMHKSELERDRLAMELEEERKVRETLEYRLAEQQKKLEDIDSTSISADKFTDATQLDALKTPDSKYAPDGFVACRLRYSNDVEFSPVPETLDNVADEDLWARLNKGCVTDLDMLEMTPGLKREESMFQDTEPAVPLEEPTEEKCQRLEKDCTSDRQQLEDLKERCMALEKERDLLKEESSQEADCLAKEKLELVGELGAEKQKMEELRQDIRVISRAFSNREGQLTSLYSKSKAIVEHCKASQVATLP >Et_4B_038059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25905367:25906334:1 gene:Et_4B_038059 transcript:Et_4B_038059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GMMVSFAKNVANFARSIGKDHIVILSSLDSGKRRIIDATSGMQVYYLSSCNEDGSDPEYEKFGWKKLDGYDPCQHQWNCLASLVEGGKLSEDMVGDTDEMTINDYYASLPFAALFSACKAKGLKVSCVLCYCSEGDNMPESFQLAEAVCKLLGHGPEKFHGNESNGWTIPLSWKSVYGPPPDMSIF >Et_1B_009776.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26361258:26361533:1 gene:Et_1B_009776 transcript:Et_1B_009776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKRTRLIFLFIGLLVLADLASFSFGRRVAKRDHVALNGGSGSPPMRGYYFSEKASSSTSGRHLNDGYKHMHADVVSKRLVPQGPNPLHN >Et_4A_034318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31767381:31770216:1 gene:Et_4A_034318 transcript:Et_4A_034318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAPPLPAAAAGEDESTCRGLFAEFMTKVARFEEQAEAGMRLLSRFHQELEYFRRPPIPENSDVMSEILKSNCTGRMKSYLEAGCNLHYRNISNINQLRMCEDGLKVHINEVKTLLQELECLVKDVHAITLTASLSALKVSESPSADNELNNECLFMEEEEKQANRLDSDVSFVTVMIIVHNMLKLDYTMQEKIVNSLSLKTPSSEFEGYCLMWDLRPYIDENVMHLAWKMCP >Et_7A_052744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1523927:1528303:-1 gene:Et_7A_052744 transcript:Et_7A_052744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALRPPRPTSPSRPPKAIRSTKPRGLDEDTAAPPAFPKVLAASSSSPTCSGAAAALLLHADVPMDARVWAGLPDDLLLEVLARVPPFLLFRLRPVCRRWDAVLRDPAFLAAHAAVPSHGPCLLTFTRGGGGGGGPHYSPPQCSVLSLPLRARYKLPFGFLPAWDLWLVGSSGGLVCFSGFDGAAFRTLVCNPLTQAWRVLPDMHHNQQRQLVLTVDKSRQSFKVIAASDVYGDKTLPTEVYDSKQDRWSVHQMMPAANLCSSKMAFCDSRLYLETLSPLGLMMYRVDAGCWEHIPAKFPRSLLDGYLVAGAQTRLFLVGRIGLYSTLQSMRIWELDHGRTVWVEISRMPPRYFRVLLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDKKSWSWIAGCASQLCNSQFSPTHHCPLILTSEEHKSYIPESCTKLKVLSTTLSSFVYSLHRQAIQLAIHIFFSAALLPYSKLARSAQPLLHPLTCSYPRTLVQRFLQQLLELFQEEAPLIQTHV >Et_5A_042882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6189988:6190658:1 gene:Et_5A_042882 transcript:Et_5A_042882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDPANGAWNDTDFGDGIIIDRRCRHWDMAGQRSAPRGRAGGASADTDDFNAASFRNNKLVCGIRVQNAGGAGLVAVDVSIWSSDGIQALAFTLPALTLGFTAGEKLRDYMTLVPNPVSFDCETVTEQNWAPVVAGFSSRGPNAVVPDLLKPDVVTPGVDILAAWYGDAPLSDGSTAAYNIISGTSMATPHVAGVAALIKKKHPSSPAMIRSAMK >Et_4B_036247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1378773:1379267:1 gene:Et_4B_036247 transcript:Et_4B_036247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFPTTYLWRATLHTLNTEGAHQCSRQITTTGNKETKRRQAGILFFFWWQIWKERNRRIFENKELSFERVAMLVIDSIRQFERAFLHVEATSD >Et_10B_003904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7318452:7320110:-1 gene:Et_10B_003904 transcript:Et_10B_003904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFANKLGSLLKKTTSSNPSLFQAIRCMSSSKLFVGDMSNIVSGLSYNTDDHSLKEAFGNYGQVVEARVIIDRESGRSRGFGFVTFTSSEDASAAITAMDGQDLHGRNIRVNHATERTGGSDNFVGNNFGSDRGFGGNPAGGFGAASDSTGADEFSTGTPGDNFNSGKNDDIMGDLFKDDEPDVANKRS >Et_4B_039918.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:5924069:5924320:1 gene:Et_4B_039918 transcript:Et_4B_039918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNTGSDLPTSSPASSLPPAPAPPPLTSFKASAHQLLRPVDGDDAGGHALQLSKVYSHVDGCPRSTFFSPGRFFFCCCFCRED >Et_3A_023129.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:338860:339198:-1 gene:Et_3A_023129 transcript:Et_3A_023129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLSYLLLSYASSLQAIIRGLKESGVFTSAVTSAKAYSKMKSSNMGLEHKESIRSNVTAGQSTLGDSKNQLQ >Et_8B_058562.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:18202589:18203638:-1 gene:Et_8B_058562 transcript:Et_8B_058562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SESVSRPGAGLGARAPQPVPHLLRPRRSRRPGPRQASCGPRGRDGLLLVSLPSLPGDKSTVLRLCVCNLLAGRRDLLPPLDTASLLDKAFRGYAVLTAADHGAGLHRPADGYSTFFQVLVTGIRPLDGGVYIVKFSSDFASSRAWNWSYISQLVDEFFSILPRGNCVAAVTRGIAHWLFLGEGSNGWSLLTLDASISSEHVGATEIPLDAMPDIIPDSLLFNSSYVGLFPSINGRLSLFYLDTQGLRIWSRQDGDQGGPEVWRLTEPILGEAELGLSKKETLSTVCIGEKSSTILGRYDSDPDHAYVVHLRTRSTAMVTGWNRSFNYVTAVPCEMNWLEFFASRLGVQL >Et_4A_034036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29292342:29301078:-1 gene:Et_4A_034036 transcript:Et_4A_034036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPAADQAADLLQKLSLDTKIGGGKGVEAKENVAGALNGVAASPKPNVNSEPWATTVPQDYKDAMYYGAYPGAYYGGGWGDYSVYVSQDGADALSSGAYGDMYWYPQYGIADGQIYGSQQYQYPSTHYQPENTASKPEYKVKTAGKSTPQDVSTGTAVDQQPLTDAAKTTPNGTDGLKGLKKAPMPLKPNGRLGNYQNQGSKASYPWNGGRSSSEKNSKLSGVSPTGMYNTNAYGPGYWYGSHIYGPGLYGGWSTVSNGKYKPRGRGYGYYAFGNENLDVLNELKRGPRSGLFKSQQGSGADVDAKGLELPISDGSNVAVQDQYNRADFVETYSDAKFFIIKSYSEDDVHKSIKYNVWASTPSGNKKLDAAYLEAKEKSSSCPVFLLFSVNTSGQFVGLAEMAGRVDFNKTVEHWQQDKWTGCFPVKWHIVKDIPNSLLKHIILEYNENKPVTNSRDTQEVRLEQGLQVLKIFKDHVCKTSILDDFGFYDNREKILQEKKSRCQQPLEKIMNEKLLTTNSAGSEGTDGKQGQQEPQVVGLKNSVVDIGAVAVVVNGWGDYSIYVSQDGTDALSSGAYGDMYCYPQYGITNDGQIYGSQSYQYPSSYYQPQTTASKPEYKAKAGKLAPSLPKDVSTVTAADQKPVLVDSSKTTLNRIDDVKGQKKATLPVKSNGRLGSYQNQGGKAAYPWSGGCTSSEKHLKLSGGSPNSTNFNRNNKGLHGPNSPAVPPSSGFQSSIYPSSGMYNANTYGPSYWYGSHIYGSGLYGGWNALSNAKYRPRGKTYGSYGFGNENVDGLNELKRGPRSSLFKNQQGSGAAVAAPVKTPELTVSDGSNAVVQDQYNRTDFAETYSDAKFFIIKSYSEDDVHKSIKYNVWASTPGGNKRLDAAYLEAKEKSSSSPVFLLFSVNTSGQFVGLAEMVGRVDFDKTVEHWQQDKWTGCFPVKWHIVKDIPNSLLKHIILEYNENKPVTNSRDTQEVKLEQGLQVLKIFKDHVCKTSILDDFGFYNNREKIMQEKKSRRQQPLEKQNAVAANGVVAVVNGVTPKDVNLTTDGLPVANGC >Et_3A_026945.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27592359:27594389:-1 gene:Et_3A_026945 transcript:Et_3A_026945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAILRLRRRLPLPLTARHVSSSSSSSSSPSSESNEIPTVYSFLQPSIFAPRPKPQPPPPPPAPSHDPAPRKVLAISDAAALEADLLAAVAEDRSDDAWLAFKSLAAASNSLSPPAAAALVSHLAAAQHRLGLKRAFAAAVFLLEKSPHAAPVPESALGALFSALAAAGSTAPALALARALLRCGRRLPAFPTWGRPLIELTRADAGAFAAFLKVFDEACKLVVAEKSPAEAAAMRPDLAACNAVLAGCCRILGSVADAERVLETMSAVRVSPDAESFGCLAFLYAWRGVPSRVDELDKLLDALGFSKKDFFKNLVSGYLKAGSLESVSSAVLQAMQERIVKEGNAFDGESYSEVTQCFVDNGRIKELAKLIIQAQEIELTQQSLSVEDSVGFGIINACVELGLLSRAHSIIDEMTAQGASVGLGVYSSILKAYCKEQKTAEAAQLVTEISAAGLQLDAGSYDALIDASMTAHDFQSAFALFKEMREARLPELKTSYLTIMTGLTENNRPGLMASFLDSVVDDPRIEIATHDWNSIIHAFCKVGRLEDAKRTYRRMVFLRFEPNNQTYLSLINGYVSAEKYFNVLILWTEVRRKGAEFNHELIDAFLYALVKGGFFDMVMQVIEKAQECKIYIDKWRHKQAFMETHKKLKVAKLRKRNFRKMEALVAFKNWAGLNT >Et_1A_008720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10665720:10667126:-1 gene:Et_1A_008720 transcript:Et_1A_008720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFLQAFFPEVLEKMNNAQQDEYCIFDSQVLTTFVSSLYLAGMFACLVAGHVTRKVGRRTSMLIGASFFLVGAVLNCAAVNIYMLVIGRILLGFAVGFTNHLVLRGKTDAARASLRRIRGGRAAAADVDAELKDIVRAAEEDRRYEAGAFRRIARREYRPHLVMAVAIPVFFELTGMIVITIFAPLLFYTVGFTSQKAILGSIITDVVSLVSIAVAAMAVDRVGRRKLFMVGGGILLVCLVGLTWIFGAELGTDGAKAMSRPYAVAVVALVCLFTAGFGLSWGPLKWIIPSEIYPLEVRSAGQGMSEAISLLLTFVQTQSFLQMLCSFKFGAFAYNAGWVVIMTAFIFFFLPETKGVPIESLREVWARHWYWKRFVKPAPPPAPVKQLDGPV >Et_1A_007236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32424523:32431491:1 gene:Et_1A_007236 transcript:Et_1A_007236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGWLERDPALHSTACHTLLYCFLKNNINKEAVELVKKRGSNGFVLDKFSIVIAVVKCMELRNLCDPLAVVLLLLYVPGVPLVVHAPLVDWTLQVQCRHLLAAPPMLVHVPMLHAVKHLMQLVPEASRRHLVSLLLVVPVEDAGGGDGWAHCCGGESGGDRPGGGGGGVLSCASGALHHVAPAPRDQSGLYDMLEHDGPHMDSKQASAHQSRGKGEGVDDVDLGLSNGDDRGIPYGDRLRAVRTNLGEVHQQRIDPTALHATLIHKNSEKARLGVLGKCECVWDRPPTYHVAGDRHADDELLPAVEGGTGRGQFAALELELGEDVHATDGREGGGGGKGGDEMRRRHGLGRGGGVEMR >Et_10B_002759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10620954:10623244:1 gene:Et_10B_002759 transcript:Et_10B_002759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLRLHLAAPPPLPLPHHRRLHSRPRLPGPLAFPLLLNPARALLPHLPLPVPRRLLLCSNARPVRAVGGGAEEGAAGPERSEDGAGGGLVGEDSAAFRLGDQSLASWAYFGGILGTVLVALNVLWIDPGTGVGTRFLDAVATVSDSHEVYFINHRYDGTQLWQVQGIFGVHELVWLSSFISFFFLYPSTFNLLEVAAVDKPKLHMWETGIMRITRHPQMVGQIIWCLAHTLWIGNSVAVAASVGLIGHHLFGAWNGDRRLASRYGEAFEVLKKRTSVFPFAAIIDGRQKLPKDYYKEFIRLPYVAITALTLGAYFAHPLMQASSYQLPW >Et_2B_020255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18465675:18469393:1 gene:Et_2B_020255 transcript:Et_2B_020255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSTEIVFQCGDFRVYKDGHVERAGSAEETVPAGFDADTGVTSRDVVIDSSSNNSASTTTKLPVLVFFHGGYFVVGSPGHPTYHRYINAMVAGARVVAVYSVRYRLAPEHPLPAAYDDAWAALNWAASGADPWLSEHGGLGRVFVAGVSAGANIAHNVAVAAGVRGLRAPRTPVRVEGVILLHPSFAAEQKIEAEEDEFVRANRVRWVFIFPGAKDGLDDPRINPMAAGAREAVRREDAGLHGDPRAPRGRAYRDAVRGSGEVEWFESNGVGHGFFVPSHGSPEAVKLMDRAALNWAVSGADPWLSEHGDLGRVFIAGVSAGANVAYNMAMAAGLNGLRAAEPRCMIEGVILLHPSFSGKQRMEEEEEEFWVANNKRWTAIFPGSRDGLDDPRINPMAESLAKLAGDRLLVCTASEDPRAPRGRAFRDAVRASGWRGEVEWFESHGGHSFFIPDRSGGEAAKLMDREMDSSSAEIVFHCDEFPLYKDGHVVRAGWAEDTVPAGFDAATGVASPQKTSSSTPPPRGSTCQPSPRAPRVRAYRDAVRASGWSGEVEWFESDGEGHGFFVSNPGSREAIKLTERVVVFVAEH >Et_3A_024247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18925181:18938706:-1 gene:Et_3A_024247 transcript:Et_3A_024247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVINKYYPQDFDPSKIPRRRRPAHGQIKVRAMLPMTLRGASCGAYLGRGTKFNARKEDAAGERYLGVIQVYRFYIRCTRCAAEIAFKTDPASSGYAVESGASDDEPSLREEAAGDAMAALEDRARDGKREMDADASLLNGGRTESDREAQRRELEQADEALVKSVGFHSSSTDCVVKRIEDDEEDFFEACLAKTVAADRQERKKQTQVAVSPSLAVVSKRRRVSVASDGKAPIHHALTPPEARASAGEAKASNGALQQIKDSIQNVGISIGREDNTVQSSILLIKSIEKDRVTSSVAQTGEDSQSILDDSDCEIDQPALSHLCGDLTEEIMDMGERKVLNKYYPPDFDPSKIPRRRQPKNQQIKVRMMLPMSIQCATCGTYIYKGTKFNSRKEDVVGETYLGIQIFRFYFKCTKCSAEITFKTDPQNSDYTVESGASRNFEPWREADEVADSEKRKRDAEEMGDAMKALENRATDSKQDMDILAALEEMRSMRSRHAGVTVDQMLEILKRSAHEKEEKTVAELDEEDEELIKSITFRNSGNYVKRIEDDDDDDEDLITPGQSSITPKINGPESVTNPTDVLSKNGTDGGNKEGSKRLMPKFIVKPKKQKTESTAIEDNPKAPVAQEKREAVEQTNVLQSLCSNYDSDENGRGHVLVMNGKEHYFATFVVKEGSIFTSNV >Et_9A_063109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10483517:10486288:1 gene:Et_9A_063109 transcript:Et_9A_063109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRSCVSSMFLKSEQQQQIGKSLIEKLASSSSLSEGSLGECSPTTIYVYPPAQGQATPPFLPPFFLPPPFLASSAESALLSAAKGKPRSPLLSSPRRAAEAGEEMGGRVDHEYSYLFKMVLIGDSGVGKSNILSRFTRNHFSLDSKSTIGVEFATKSLQMEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQSFDNVHRWLRELRDHADSSIVIMMVGNKSDLLHLRAVSEDEGKALAEKEGLFFLETSAMEAVNVEEAFQTIITEVYGIVNRKALAAKEAAASAAPLPSQGKTISIDNTAGNTKRACCST >Et_1A_006151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18490260:18490753:-1 gene:Et_1A_006151 transcript:Et_1A_006151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMREHIVEKLACMHSRTEGVRMPITCMVMDYNLGSWAMASSIVTASATTAKRRGGVVPVPPRRRVRAVEKCDFIFCNSFHNADQATFARVAPQDRPRRPVAHRSGGPRLSD >Et_2B_021510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30129079:30130875:-1 gene:Et_2B_021510 transcript:Et_2B_021510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANYHHYQMAVHAAAAWREPDSPQLSFVSGCSSLFSISALQDDDCDAVVIAGHALPSTPVSLAGFAGDEVDMEVQQISGGSGDDRRSIRMMRNRESALRSRARKRAYVENLEKEVRRLVDENLKLKKQCKELKLEVAALVLPTKSSLRRTSSTQF >Et_8B_059573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19376641:19380245:-1 gene:Et_8B_059573 transcript:Et_8B_059573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRLALSCLVLQPELSDDEDDFPGLFDYANDLPGLAIEEAGLQPGLSDEEDDVLSIPDDDGLAEDGTFFEQLGPMSHKERLKLMKASFGIPREPQCYCDVDLLPECTRDLRNVGDQVWHSYYRMNKISERTRCFHEPTPILQIFNMKFQSCQADLNGPINVYGIVAVRDGEDCCRNYLFNRSRENPHDISNPKRGMSMKFNCLLEVDIRMKTVGNDKYDKTLVDGCMELFEGRVSFETFFRRTMRGPFGCAVFDMIIFDMGVEATIQLDFLDIPQGWFSIQMCGYTTIGKNFYDFIGKNCEFDSIISSVGRLPRYFVAAMQMGDNFIVDFMEGNTPLVFKSTLHGTEEKEYSFQNGAMVSVKEKREERISTFLIYHYDCPKGSVLDGVICTNLDYTSEGAEG >Et_7B_054478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21706904:21709925:1 gene:Et_7B_054478 transcript:Et_7B_054478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRPHSPAKHHLLRHHAPFNSSPPSSPLRHSSSSSSSPRKTGYPHPFLFFSRRPLPRFAAFFLLGSFLGLLHFLSHLPQTTHLHPSPSSSSPGAGGDASLLSPPTSHRLIHDDDPVADRTKLLIVVTPKRARAAQAFYLSRMGHTLRLVTQPVLWLVVEAGKPTPEAAAALRRTAVMHRYVGCCDKLNASASSPRDYRPHQMNAALELVENHRLDGIVYFADEEGVYSLQLFHRLREIRRFGTWPVPFISENTKDGVVLQGPVCKQGQVVGWHTSEDGSKLRRFHVSMSGFAFNSTMLWDARLRSHLAWNSIRHPDTTKEGFEGTTFVEQLVEDESQMEGIPADCSQIMNWHVPFGSENPAYPKGWRVATNLDGTTAFERYTQAYSRRQVDF >Et_6B_049961.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:2071628:2072218:1 gene:Et_6B_049961 transcript:Et_6B_049961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRRRLALMLRRAASLPSLPFLASLHASSIRRGVILVPSLIHGYSACGDLASARDLFDELPLQERTLSARTALASAFSAHGRCHDALRLFSGQEEAEMDGQAVTVLLAACARTGMVDDGRRLFARVRQPALQHYTCMVEMLGRAGEVEEAERLLAGMEVPPDRVICAALLAACRVHGRVDVAERVAGLMRRYGIV >Et_6A_046741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2068195:2071502:1 gene:Et_6A_046741 transcript:Et_6A_046741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTVKRKKTKSKNKTKKQETASSSNPAVASGPAKVWQPGVDALEEGEELQFDPDAYNYLRGFSIGWPCLSFDIVRDQLGLVRSEFPHTLYGVAGTQAEKAPWNYIGIFKLSNINGKKREPIPASAVDGDSDEDSDNSSDDEAEEINEDSKPIVHLKKVAHAGGVNRIRSMTQKPHICATWGDTGHVQVWDMSSFLNSLADSGTRPHNEEDIIHKHLPLKIFSGHKDEGYAIDWSPLVPGRLISGDCNSGIHLWEPTSSNWNVDANPFVGHSKSVEDLQWSPTEPDVFASCSVDKTIAIWDIRVGKTPCIQFKAHNSDVNVISWNGLASSMIASGCDDGSFSIHDLSLLKGNGDTLIGHFEYHKKAITSIEWSPHEASTLAVTSEDHQLTIWDLALERDAEEEAEFRAKMKEQANAPDDLPPQLLFVHQGQKDLKELHWHPQIPSMIISTAADGFNVLMPSNIDTTIPGAEPSNNDTTMQSDDP >Et_5A_042510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17205767:17208234:1 gene:Et_5A_042510 transcript:Et_5A_042510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVLLSLALQMRAIRSFPESLARLTMATTSPMVSSSITSRKTIIPAFVVVFLIFAAAEVAGEPPSVVPPACEEAYAVGNNSFTVDFCLSTLTGHSAGAESYDDLVPIVVNVTTATATATKAKLD >Et_10A_000978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19832274:19842674:-1 gene:Et_10A_000978 transcript:Et_10A_000978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYQPLHWLKEVAGAPQATGRPAARVRLIGRLKVWPPRRPQTQATAAGSLRPSFTSVQHLSGAWFNGLPGVTYCRQSATLASSTVHPPAAGAGAGACCDAALAARVRMNTAKMMSMLPAPRSMLIGGKKSSRYRVMHLAEKLTLSLIGLSEPEDSTKVRRQRSAQAAKHISVSHKMDAATVSGCFKDKSILITGSTGFLGKILLEKILRVQPDVKKVFLLVRAADTSAAEKRVSTEIVENELFATLREEYGSYFHSFAKEKISPLAGDIIDENLGLESSKIVELSEEIDIIVNGAATTNFYERYDVALASNVLGTKYVCKFAKKCPNLKMFLHVSTAYVAGEQQGLLLEKPFQFGETLKQGCHLDIDAELKLVDSIKAELERSTSNSEQLERKTMKKLGLKRARHFGWPNTYVFTKSMGEMLVGYLGVDLPVVVVRPSIVSSIYYEPLPGWIEGTRTIDTIITAYAKQSIPCFIGNGDVILDVIPGDMVVNAMMVAMAVHWNEKGQVVIHVTSSLQNPLSTSTTLDMMYRYFSSNPQIGKNGRVIKAKRLHLTNKFASFRTYMFLNIYYEPLPGWIEGTRTIDTIITAYAKQSIPCFIGNGDVILDVIPGDMVVNAMMVAMAVHWNEKGQVVIHVTSSLQNPLSTSTTLDMMYRYFSSNPQIGKNGRVIKAKRLHLTNKFASFRTYMFLNEVICSVWFLQCAAEPNDTRRKLKVRNFSIYLE >Et_5A_041022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16911873:16915414:-1 gene:Et_5A_041022 transcript:Et_5A_041022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGELGRLLPLRGPLKALEADIHHANAMAGAIQRNYGGACVQMRLSFSSLAPLFLYLIQWLDCACCYALPSYLGLFHILICKVYTDGDSSVSTYERRASLREFYAIIYPILQQLESSLIEGDLKGKGRCKDIVSRRRMEDWRKPSSKDVEREDECGICMETCTKMVLPNCSHAMCIKCYRDWYKRSESCPFCRGSLKRIRSKDLWVLTNYNDIIDPANLERENVRHFYIGKEKSPEMAVDAGGEEGGSEGIDRISGLPDGILGDIITLLPTKDGACTQILSRR >Et_1A_006686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26345789:26346204:-1 gene:Et_1A_006686 transcript:Et_1A_006686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHQQQALPLCMVFLTALLVLSAMHAVPAEAGRALGQAAGEGYGTLKPDPGYTPSVPRGQPYTGRGCTTAYKCKQPPAGAP >Et_10B_004146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15704075:15707164:1 gene:Et_10B_004146 transcript:Et_10B_004146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGDIIDFWNRWGIPLMVLLSFILQILLLVFGEMRRRSSSAGLRGSLWLAYLFADSTAIYALGHLSVISGPRDDHQLVAFWAPFLLMHLGGPDNITAYALEDNNLWLRHMQTFVVQALGAAYVIFKYMSGSEILLLLGAISMLATGLVKYGERIWALKCGNMSSIRDNFNKSDHRANPSRFVIAGSSLTEDEILLEAHYNFSVCKSVFLDTTLEVEGVEPGRPLSNVGPVGLETYKMVEMELSLMYDILYTKAPVIHTWYGFCIHFISLIGTVITLCLFQLSISRRGNGYSLASVIISYVLSVGALTLEVILVCRAILSSWTYSFVHPKTQQPHPPAPAEPKPMLFRIWAWLRWPAQPTRRSEWRGSMGQYNLFHLCCTRDTTEIGSILAMKTGMADWWNKMHFSGTFSGTDTLSMDELKGLVSERLLQAHRLAGTNFSVKSYTPARYLNSRGRITLEEGLALRVNAEWSLNIDFDESILVWHIATDVFLSVHENEPVLAEATRVLSNYMLFLLVKKPEMLPGRTRHNLYSDICKPERLAAAASRTNQEGTQQKGRLLPRICTNLCGYEYRSRHEVEAKNSRQRPFRESGLMCGVWLGKELLDLGNNRTLKMIFGVWVEMMVYAADNCNRDSHARQLSNGGEFLTIVWLLMHHRIYIERYNTSIETARRNHAAVPEVRKFIHISKPIISY >Et_8A_056988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19982818:19987700:1 gene:Et_8A_056988 transcript:Et_8A_056988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METALVGVSTGVMDRLLSKLSMLLEKYYHKLRGVSKQIKLLRDEMRAMRPALLMLADEEQLNPLTKEWRDELRELAYDTEDCVDDFMARVVHEEEGPAGILGILSRYKKLLKALTTRHEIANEVEELKARAIEANERYNRYNFIQAASTNSSTFAIDPRLPALYEDIDRLVGIDRPKKHIIERLLNDSSDELKVFSIVGCGGLGKTTLANQVYHTIKGQFPCAAFVTVSRNPDIRRILCNISRGVGITYNRPDDDVGQLIDTLREHLQDKRYLIVIDDIWNEKAWGIIRCALINNILGSRIITTTRSFTVGRCCSSQGGNVYQMKPLSFGDSKTLFFRRAVGAENSHYPHLEDVLDVIVEKCGGLPLAIITLSGVLADQYVKSEWDRVLNGIGSGLAVDPNAENMTKILALSFFDLPRHLKACLLYLSVFPEDCEIRKERLIPRWIAEGFVHEKQGQSTYEIGECYFNGLINRSLTQPVDVKNGQPNACRVHDIILDYIKCKAAEENFVTYFNAAGHGQSSEYKVRRLCVDNHAGQNVDICARRIMSHVRSFTLFGHPVKISSLPSTALRVLDLGYCWDMKASHLAGIEKLVHLKYLRLCSDSITKLPEKIEELQHLQTLDVRETGIGELPPTITRLQRLTHLYVSSITAFPVGVIGQMHSLEELGEYAVSFKHGNFFQELSMLTKLRTLRIGFCSGFGYIPEPLQRILPIHDVGTLLSASRRLHNLSISGAFGLPLSLRSWNPTAVPCNLRELCLEDTLIYDLPSWMGTFGNLRVLKLEIIFVWPDDVEILGAIPGLVFLRLETNLGAKGNIIVRGSNGFRNLKQFHLSIYTCGTYLEFEAGSMPKLEHLVYEFPMHKMECMDSVCKLGIQNLPALSKVEVQIDVHFRHGRLYDPAEYTRGPRG >Et_9B_064851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19147988:19148722:-1 gene:Et_9B_064851 transcript:Et_9B_064851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRNSRLVADALRGRLLAIVSQVALSTTLDQFRSCKRVPKELGPGFDTLTMLVAWRLWGERNKRVHEFQALMPVALAQEIIDDAHLWASARFSKLRKLLVPRL >Et_9A_063412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23623075:23628691:-1 gene:Et_9A_063412 transcript:Et_9A_063412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIHVDAPALTVFSLCDAHLEGPIHIVAPVLKVFTLRAAMDRNAKMLLSAPAVENLSLKCTFSSWKPDGIRWMWFLESLNLWMEEGVNILDLRIYLKHPGSDSPNFQDTLALPNISTLKLNFCTNGHAYGTTAAQILKICSEIRRLHLVIYGWKHDKACGQNCSCGQPESWRDQDISLAALEEVEIEEFGGKYCEVDFLKLLFKCAPLMKRVTVKPCPDMLPTHRACKEICNLFKAHPSVRCCVYDNCEMSDADDFVSPSPAKRTATSKKYDKGVEERGRVIDLRKSLSNGVVRPLPYERCVIHGLPWHVLILLAIGLLPTNQPRRVPDCRAPRRNIYQHKRSCPNLSPGSNLHVTKNCGSCANQHAIPNLGVPVANSLPSSTQGDVVEDRYVVTHDGRLADDDAGGVVEEDSLTDDRGGVDIDGEDVGDAGLEREREGAALLRPEDVRDAVGLDGEEPLVVEEAVGESDAGGVAGAHGDEVGDDGGAEGGVRGERGEEEVVEQRGEEGGGAELVGEVEGEGPRQGGVGQHGGVEERGQRRLRVRVAARLRLDLGPDPGLVILRLRGRVRRHHLRGERRRRVVVGVVLGATRAAVGLVRVRMAGRHRKGG >Et_1B_009931.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11743658:11743942:1 gene:Et_1B_009931 transcript:Et_1B_009931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSAVMWGLWKLRNYMLFQSGKWKGCQDVWRRIRPMIRTGHCARQRISLFASLLCSSYSISDISSAKVFLQSLQCLQLFFCSCNRTRKPSSHG >Et_8B_058996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1231207:1233164:-1 gene:Et_8B_058996 transcript:Et_8B_058996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQVTVPMAEDGTMQSLPYVKETVLKKRKQNEEWALRNRERKAAKRQRRRDDGKGAIKRPEEFVREFRNKELDFLRMRTRLKVRKQPRAEALSSKLIFAIRIPGTVELHSHIRKVLRKLRLTQVLTGVFLRASELTLKRLLVVEPFVTYGFPNLKNVKELIYKKGRGFLDKEPFPLTSNDLIEKALGEHGIICLEDLVHEIATVGPHFREASNFLMPLKLKCPERRLQMKKKPYKDGGDSGNRADKINELIEKQKELDMIQQQVS >Et_5A_040711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11477011:11479445:-1 gene:Et_5A_040711 transcript:Et_5A_040711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGFYMMETVGTPASRPNQWVIVSNNKIRSDVDNLEAMLDGINEFLLILMHWPPIVRQPYSAYMFMEMCMFGRQAEKERIVNFLLHPCSSLDVLPVVGPRHVGKKTLVEHVCREETVQRNFSRILHFSSDDLNDLVANKHRKLCLSGGKSLIIVDIVEDIDVMAWGKLNNMLCHETHNNLASIANEIAIWIDGSFMIAHTIKSALRANMNIRFWRRALGCIKKSIHTHMLVFGEDPRDTHCSKKYLSYFHSWSHDDGPMLFFYKGYTTTRSLIQGDLSSMIKPEDLLNAKAEEYGEKFDIVFHSNIPPCYSYIASCVVEKPGGVRLGKKCLKRKRNRGTSSPP >Et_10B_003796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6198066:6201526:-1 gene:Et_10B_003796 transcript:Et_10B_003796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQAENGGAGPPPAALNKSPAADGKGGSRRSTRFKDENEYVEVTLDVGAGGDAVAVRSVKSVGAAAGDLQEAALLPRSQPLPGPGGLSSKLKAARAELRRIASWKPSPPATSALVHGDRRRRLDRSMTGAARALRGLQFLNQTAVTEGWPEVEKRFDKLAVDRFLLRSRFGQCIGMVGSEEFAAQIFDALARRRGITAMVLTKDQVREFWEQLSDPGFDAKLQTFFDIMQTIATLESLLLQPASTQTTTLSKLMTHSSNISQLISQKLAPTRDPNPLRRAARSVRYFLEDNWKRVWVMSLWLAINAALFAWKFIAYRRHPTFEVMGYCVCVAKGGAETTKFNMAVILLPVCRNTITWLRSRTRLGAAVPFNDNINFHKVVAGGVAVGVGLHAVTHLVCDFPRLLHASDAAYEPMKAYFGQTRVPNYWWFVKGVEGITGVIMVVLMVVAYTLAHPWFRRGKLSEGNPLRRLSGFNMFWYSHHLFVIVYIAFVVHGVCLYINRTWYKQTTWMYLAIPLLLYAGERLLRALRSHGLTTVRIEKVALYPGNVIAIHMSKPLGFKYKSGQYIYVNCGEVSPFEWHPFTITSAPGDDYLSMHIRCRGDWTSSFRALFSQRRLPKLLIDGPYGAPAQDYGKYDVLLLIGLGIGATPLISIVKDVLNHISDASDIESSSSPSRANGSGFMTRRVYFYWCTREEGSFEWFRGVMNEVAERDARGDVVELHNHCTSVYEEGDARSAMLVMLQALHHAKSGVDVVSGTRVRTHFARPDWREVFKRVACAHQGRRVGVFYCGDQKVTPELRRLSQDFSHKTDTKFVFHKENF >Et_1A_005582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11739439:11740610:1 gene:Et_1A_005582 transcript:Et_1A_005582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CKFPGDFAVEILSYTSVLLRVICVSCSVFRADEEPSDPKALLEERSKAKCVSQWYEYQKCVKRIADDETGQKHCTGQYFDYWQCVDKNVAEKLFKMLK >Et_6A_047995.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:307123:307476:-1 gene:Et_6A_047995 transcript:Et_6A_047995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLAQQLDTGPDDAAALTNGTSALQRNNLFDQLRWACDRDSVTEVILTWHIATCLLESKLPALGVRKEEAKVAMGLSKYCAYLVVFHPELLPDRVAKAELVLEDARKEMWAMLGF >Et_9B_065732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9911810:9928028:1 gene:Et_9B_065732 transcript:Et_9B_065732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPERDGEEQRRPLLPGSASAEQQYQYLGRSSSSVLRGGGNGWGEGPEVSADEVRSAASFSSSAAHYPTPAAAPIGDSLYPYPPSIHSAVLSPSPSQSPAPASPHSHDGLAIVPQGPYPYGGSYQPSESVGRMVGFADRDVLDEVEIRQLLIDHVGHRCCWGSRPARTWKITNIEDCNVYVGTLETFIEERDIVTKKEPYESGKIDGRDKGPVLGVWELDLRSEFPLLFVPEKEVTVKIPHSEVVEKCLDCEGRGETPCTVCNAGQEHGFYKANQMTRCSACYGRGLLAHKDGSDSVCGMCNGKGMLPCVACGSRGLVTCKTCTGYGSLLAQKNAHVRWKTLSARKVSAARGAASVPEEVFHRAKGVQLCNILAYQCTPAFFADSYPLNQFSSEVIASRLPVPLSARIISERHIISVVPVTRVTMAHRKQSFSFYVVGYSRDVFIRDYPSRFCWGLCCCFEWLGKRLTPATSVTNMSPPRLRRTSQHELGWRRMQKPERDGEEQRQPLLPDSGAAEQHYQYLARSSSSLLRGGGGPEVSADEVRSAASFSSAGHWPPRAAASYGDNLYPYPPSIHSAALSPSPSQSPAPASPHSQGTFTKGLAIVPQGPYPYGGSYQTSESVGRMVDFADRDVLDEVEIRQLLIDHVGHRCCWGSRPARTGKITSTENCYVYVGTLETFIEERDIVTKKEPYQSGKIDGRDNGPVLGVWELDLRSEFPLLFVPEKEVIIKIPHSEIVEKCLDCESRGETPCPVCNAGKEHGFYKANQMTRCSACYGRGLLSDEDGSDSVCGMCNGKGMLPCVACGSRGLVTCKTCTGYGSLRAQKNAHVRWKTLSARKVSAARGAASVPEEVFHRARGVQLCNIQAYQCTPAFFADSYPLNQFSSEVIASRLPVPPSARVISERHIISVVPVTRVTMTHRKQSFCFYIVGYSWDVFIRDYPSKFCWGLCCCFEWLGKLARDPHPRTTTATKKASSPASAFTSVAAQLLLRRGGRDAANGDSIEFFSDLRKRQPEPRASAQTGEIIAYSGHHLLTVSVAERKGNGIVNAERVGPGRPEDGRGRTRRRGSSDELLASEIGKHDYDWLLTPPATPLWSPATSVSGHQASTTTAPNQLVAGAGSASHAKRNPRLGARSGRDNKENATSRLSRSSSTANTPAAIASAGGGHPSHARTLSSASSINTIVSNASLSSTTKLSSAASSPRTPGTARSAWSTARPRWEDKASSRKPQTATAGSVAAAAAARQPRPPSSSSSKSRARAAPPGGVPSPRSTTASTTQQQAPTQASRRADAAARSRLLLLLASTGSTGLHAPSSPTTHQTTRDVRPTRGARAMSSSIAIKTRPVPPTAANPTGVAAASATTTTNQRWRQTLAPAIAAARSARREVGAASPRNSSAAGRKAITANNKQAAPQQRPSTAAAGSGGLARTASRPKSSHTHAPTKTNAAVSPPQDAAKHGGAPHRKQPAAALLQQQWSSRSVMSARSRLGPTTSANHEASGGSVVSKGRSTRPAETGAGAVAALAAGITADAFPSTRYDAMLLREDPRNLTWLRGCDEGNDDGGLDLVDDDGSLEPFEATAGLSGTALRI >Et_1A_006955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29406158:29409358:1 gene:Et_1A_006955 transcript:Et_1A_006955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGSTPPNGSAPATPGTPAPLFAGPRVDSMSYDRKSNQSMPRCKCFPAPEAWGMATHTCVLEIPAPDVSLTRKLGAEFVGTFILIFFATAAPIVNQKYGGAISPFGNAACAGLAVMIIILSTGHISGAHLNPSLTIAFAALRHFPWLQVPAYVAVQVLGSICASYALKGVFHPFLSGGVTVPDVTISTAQAFFTEFIITFNLLFVVTAVATDTRAVGELAGIAVGAAVTLNILVAGPTTGGSMNPVRTLGPAVAAGNYRQLWIYLLAPTLGALAGAGVYTAVKLRDENGETARAQRSFRR >Et_10B_003653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4441378:4446761:-1 gene:Et_10B_003653 transcript:Et_10B_003653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYMDDKSKLLFKKAAGSRRSPAAAGEGRLSAGLKGRASRGPAGRLASLVKEQRARFYIMRRCVTMLLTEGMELYMDDKTKLLFKKGSRRSGERSPAGLKGRALRSSASRSVPGRLSSLVKEQRARFYIMRRCVSMLKRVGKLEMEIYMDDKSKMLFKKGSRRSAAAAGSPTSRASRGPVRLVPGRLASLVKEQRARFYIMRRCVTMLVCWRD >Et_4B_039147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8279143:8280334:1 gene:Et_4B_039147 transcript:Et_4B_039147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPQQPEPHTRAPAIYDHMPAILGHLENHQVVIVSAAPGSGKSSALPRCLATSGYGPVICAQPRHFAAAVASAKAGEEWERDVAFTTTRRLLDAFRAARRPSSVLAAFRAVVIDEAHDRTLCTDALLAAVRAAWWSAPPARRTATRSAASSSARRSSARHPVTVRHSRGPVLDLLSAVVDEVAEIHGSRLAGDVLAFLPDVVRVEEAYRRLGLPWLVACRMHDHLPAELMGDALGPAPDGCRKVVLATDVAETAVRVPGITYVVDPGMASEEPFEMISREAARRRLAVAGDAGPGHCHRLYMEDEYAAFDEHTVPAIMRDGALSKLALMLKRHAADDIPDFELLDSSALPELEDMVDQLVAAGYMNKLGELTDKGESEGYDED >Et_10A_002295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7546097:7548475:-1 gene:Et_10A_002295 transcript:Et_10A_002295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDLDDLLLQAAGRTGNQTRPNNQRWKQQQQRGRRGSSYSGASGSDDDDDSSDAAPNYSRKKQLPSGSQVPLKKRHQPEKGKRGGGWRDGDDEDDDDGRRSGDDSDSAPSVGSDLYKDEDDKEELEKMTELDREMILAERSSRIDDYKLKQMARAKTEKAATRKDSSPPPPPSRMRSSTRIDKGSANKSALDELRAKRASKQDPEAYRNRFKEWVTDQAQSWESPSDGSNDGDNRGRMNDHGRADDNRDDEFDESPSRLDPLKFDDVRGITLRRSKLVKWFMEPFFEDLMAGCFVRLGIGKTKSGVPKYRLCIVRNVDASDPDRKYKLESYETCKYLNVVWDNEANAARWQMTQVSDSPPLEEEFKEWLQEAEKNGVRIPTRQEVMEKKEEIQKAYNFVYSADTVQRMLKQKKAVRRPINVAAEKDRLRNELEMALSRRDDAEAKRIRAKLNELQNISQPMSKNEKAAKLEAMNRKNRADNFKNASELKPVNTSLKAGEAGYDPFSRRWTRSRNYYASKPAGENAEEAPNETADNSVATSEDGKNTVQVGTAATAAAQMAAADAGKLVDTNAPVDLGTESNAMHTFELPISLTVLQQYGGSKGLFNGYMARKQKIETAMGFKIPDNDGRRHALTLSLQEHSSFDLYN >Et_1B_012063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28584503:28586211:-1 gene:Et_1B_012063 transcript:Et_1B_012063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGGVMEDVRIGSFDGAGAYPTTLPSPRMLEPSPSGFRKSLNPIYSSSGDQLQYTASSSSMTPTSSSSAGAAEDAHAAAAGAGSVVSTPPATPTAAAIARRHTGGGDGRWEAIRAAEPPLSLGHFRLLKRLGYGDIGSVYLVELRGNGGGSGGALFAMKVMDKGSLAGRNKLARAQTEREILGLLDHPFLPTLYSHFETDKFCCLLMEYCSGGNLHSLRQRQPNKRFTEDAARFYASEVLLALEYLHMLGVVYRDLKPENVLVREEGHIMLSDFDLSLRCSVSPALVRSPSGRVAGGGGSFVHGCKLPRILPSRKKSKKKKKDKAAATQPDDDEPPATTPTCSRTPSSSKGRPPSPASLEFTAEPTGARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGVFLYELLHGATPFKGSGNRATLFNVVAQPLRFPDAPPVSAAARDLIRGLLAKEPQNRLAYRRGAAEVKQHPFFDGVNWALVRSAQPPYIPDAAAVPAGEATMAQAAQPVTPKSARGRKTGGSRHTDSSHVDFEYF >Et_10A_001286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2342923:2345097:1 gene:Et_10A_001286 transcript:Et_10A_001286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQIVCHGCRSLLLYPRGAPSVCCAVCHAVTSVPPPGMEMSRLICGGCQTLLMYTRNATTVRCSCCDTVNLVRQVSSIAHVNCGQCQTVLMYPYGAPSVKCAICNFITNVGVTTVRPLPPALQSGNAYNVPSTSTPTNQSQNVTVVVENPMTVDEKGKLVSNVVVGVTTGGKK >Et_3B_030771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7223798:7226744:1 gene:Et_3B_030771 transcript:Et_3B_030771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRLAKEAMLAQLRRGGAAPAGFAPARAFSATAAACSSSTSPAREFFFPSSPAFFRRRWSAALTPLMIPAPVFSSWQELAAEHLVALFKSRASSSRRRVRSFRRREGGPRSPPAFVSLELDGKNQALANCASHNLFKGLGTGTALTMMLQETAAAEQARPFKDISGSPSGSSKNEISSLWPLVRKFQLPVGLILLIVSGWQNPLGLVINILLLIYCSRPSRYSIYLFLQEMRQREMGQNHVVQKEEVLHTRKVDTKDYKFFSIGTVELKEGKVLQLIGMLGSWWIYRHN >Et_3A_025223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2847659:2853248:-1 gene:Et_3A_025223 transcript:Et_3A_025223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVGRDRLFAALGQTYTQEEFEALCFEFGIELDDVTTEKAMLRKEKHLGDDADADGDDEVIYKIEVAANRYDLLCLEGLARALRVFKGTESSPVFKVVSVPRNKMIKMHVKPQTSQIRPYVVCAVLRGVTFDEARYNSFIDLQDKLHQNICRKRTLVAIGTHDLDTLQGPFSYEALPPQEINFIPLKQEESFRADKLIEFYKSDMKLKKFLHIIEKSPVYPVIYDSNRTVLSLPPIINGAHSAITLKTKNVFIECTATDLTKANIVLNTMVTMFAEYCENKFEVEPVEVVHHDGSTTVYPDLSCYKMEVSLPDLVGPIGIKLKEEEAVSLLNRMQLQAEMCMSNGESRISVSVPPTRSDILHARDLAEDIAIAYGYNNVPKSKPKCMTIGGRQPLNRFSDKIRAEVARAGYMEVLTFILCSREENFEMLNRTDDRSKAVIIANPRTSEFEVVRTSLMSCLLKTLKHNIDHPRPIKIFEVGDVVTLDSSNEVGASNKRRLAALYCNRVSGFEEIMGLVDSIVKLVRAPHVDFGENYYVPTNEPEFFPKRQCKIVMSNGKEVGYLGIVHAEVLRKFGIPDPCTFVEIDIEALL >Et_5B_044615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4029639:4030337:1 gene:Et_5B_044615 transcript:Et_5B_044615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDETLLVFTLVVSSVSVFLFGILLFMVLISATRDFRERTKSKLVKIMIWASIVVITFAIGVRIYPIFIFLLKERIKPLVKALYDKLPWIWEVSLSRFLIIPFEGFLGSFRLYLVYFGFYCLLFSGRWLRTSEDRGGRQAQIFRILLRGMLIQ >Et_2A_018850.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:897382:898056:1 gene:Et_2A_018850 transcript:Et_2A_018850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDMGYDEQACEGEYATVMSAPPKRPAGRTKFRETRHPVYRGVRRRGPAGRWVCEVREPNKKSRIWLGTFATAEAAARAHDVAALALRGRAACLNFADSARLLRVDPATLATPEDIRRAAIELAEASCQQDAAAAASASSSGAGDAAAAANPMMMMMAHQQDQEAAAAPYDYAMYGNMDFDHSYYYNDGMGGGNWQSNWHMDGDDDGSGAAGCGGSDVTLWSY >Et_4B_039042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7265012:7271362:-1 gene:Et_4B_039042 transcript:Et_4B_039042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELRLPLSLPLLLLRLPLSLPLLLLLLLLLLPPPCASGRAAADDDASVSRSAFPMDGDVAWVVQVSDLHLSAYRPERAADLARLLGGALRAIRPHLLLVTGDITDAKNRKRTASRQDEYEWITYKKTMDTIVRKGGISKSRIFDIRGNHDTYGVPYRGEKLDFFSTYSVNSQLGRLSTISSILLQGDRSYLFLGIDDTMNVGIRFPANLFGHPTDKRIEAVNSELQYWSNHSDAPITKVVFGHYPMSFTTTSEKGQRYESVFASQSISAYLCGHLHAKVSKKLWRYHEMRTTTDDKLSFWEWELGDWKDSRLIRILAIDKGAVSFIDYTLKQALRTSVLITYPTDSRSMNMLESKKWSLRNDINVLIFSREAIRNVTARVFDSHNEFKIVEEIPLQHVSSATADRPLFHAKWNAENYRSPSPTRYWLQVFVLDSRGVKTSSEQRPFSVEGKMAIPPSPWLNYLVFEVQWDHMYKVLLGSNLAFTIVLLFTPKLLYYFVKRSSSYQRWTVSVLSSPVQQTKAHFWLVWFLLEGARSKRFWFALVIYVLWLIEMPWFWGRATFENGEISPMYLSGWSMPFYDSGLMSDKLSNPDVLVITLPFLYLVVVSVIVLVYGLFAEKAIAYLQHGRRPEHAAGSANMSSESACLLPGSPRALLMKFSDKMVSVLIQYCGNWTRRVLLLGCLITAAIHLKFCSMLMSAYGAAPVALSPPLTWIPLLLLGGATYYQNAPPSQKHIRKLDFTCRANPVHDVCNHLLGLLKQADALLEAVALGSGAWRRREHPLHASHVISQDLLCKLHLEIVLHELIDAAKDVQRQPVHEPEHNALPVRGQPRHQVERLLRAVSMFPEMRHVHAPNDFKCDLGGHGTVPNCLHEIVLTSHYGSPCLHIVRVRRVVRHQLHFYQLLDVLRRACPGLLHQALDGAAARWLHLWEVFRVLKQYLKHQVLDVLPDLLDKILRHLINSRHLFLEHHMERRSRQKVGEIYALGLLQNLHLLHQKQLVFAPGHLRNASCAMEEAVIRPYSIRDIVEIGWHLVKLGAAADETVVDLLDDVGKALGNDGRLNLGKPLREDWNADHRLRVILEQPLLLNPRHVVRLLTDTVADTDVPKANAHVVHGDLLEQALGGLVSLGDHGERALASAIHLLRHADRLARREVHGGRKHVQDDALFRHDVILDDLCDLVVDVLRLSLHGDTRDAGEVDQGEVWHAPLLHDEGDGRVRDALATARNLRRRRVDGVGDRVLGGVDHTAVAVLELELGRGGGGVVLESHDERHARADALAARQADAGQRLEHARLAAGLIADDDNGGQLDALLGHLKMAQPVHRVEERANLVIVRVRDGACCHGAGGGCNHALGVLDIVHRLIARPTLHGHVLAVCGYFHLLVLRVGGRVRFIRGSRSGSSQRVGEGAF >Et_7A_051807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2504173:2507168:1 gene:Et_7A_051807 transcript:Et_7A_051807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLNRVVSNQREKKRRRHARYGLIASPQQKKVLLREDDRSQSVERHLPEEIWYHIHSLVPLRDSVRAASVSRTFLSSWRCHPKLSFTKFTLGLKGRMSDIAKSFTSTVDHILKNHSGAGVKKLKLEIYDHYNVNTCLLNSWLQKAITPGIEKITLRLDTKHYEEYSFPCSMLVDDCGNSIRCLSLTSCAFRPTVGFDSLRNLRKLYLRAVHITGDEFGFLISNSFALEQLELLQLLLGESSQVKNLHVESSYEANFVRYGITMLPSIVPYLETLTITSLGENVNTPMAVYKFLHLKYLKIYLVGDYESKFPAYDYLSLASFLDACPVLETLILGVKLSKKMEHESVSGDHIQLRQIPGHKHDRLKKVQINGFCSAKSMVELTCHILENSTALESLTVDTNGQCRPLHKNMILDAHKALRAFKTFILGRVPSTVKLNVGEPCNRCHAINVKWQ >Et_2B_019891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14920347:14924060:-1 gene:Et_2B_019891 transcript:Et_2B_019891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTAALLLLHLPLPKPAPLPLHALPPPAQLHHKPHSFPLLLRRPRGGPIAAYTSAIPTSASASTSPAHDAREAEAAVAELLRENGASPDDAAAIAARAPAYAAMLADGVRELDELGLWASWSSSGAGRGRGVVVEMEMGRLGFGRKVYYMGRSRRDRGVVPLLESVGVRLSSAKIIAPYVAAAGLPVLIERVKFLKEMLFSSTGYETLIGRNAKRMMTHLSIPADDALQSTLSLFEKMEARYGGVSMLGHGDVSFPYLIESFPMLLLCTEDNHLKPLSDFLESIGIPKPRIASVLLLFPPIILSDVESDIKPRIHEWEKAGIEREYIGTMLLKYPWILSTTVIDNYRQMHLFFNRKKISGTVLDVAVKSWPHILGCSTRRMNSILELFDDLGISKKMVIPVITSSPQLLLRKPNEFLQTVLFFIEMGFDKKTVGKILCRSPEIFASNLDSTLKKKIKFLIDFGVSKHHLPRIIRKYPELLLLDINDTLVPRMNYLLEAGLSQKDVCSMMFRFSPILGYSIELVMKPKLEFLLRTMKKPLKAIVEYPRYFSYSLEGRIKPRFFVLQSRGIECSLTEMFSKNDELFAAEHLGIGGLLEKP >Et_10A_002306.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:8209779:8210348:1 gene:Et_10A_002306 transcript:Et_10A_002306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGTGGGNRALRRVGSSPRSAPAPPRLLLSRSESIKKNRGANKGSKRARLRAGLAAALQELKLAGRSQKRGEVSAATTRRGSQVVRAFCRADAAAARTAAGPDEDATSGGGGAVLPLLLLVVLAVACVVALGRAPAVCCCCTVAAWCCRSAAASSSVHGRRRVLCSDVLRPQPAGATASRNRWRWRC >Et_3A_027099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32855442:32858928:-1 gene:Et_3A_027099 transcript:Et_3A_027099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAITTVLAKVAELVAWEAAVLLEVGDDVRLLRDKLEWLHTFIRDADRRRRLRDDEFVAVWVRQTRDVAFEAEDALDDFLHRAGRRRRLAPAAGCAGAWWWPGCAGQVALRHDLSARIRRIRKRLDEISANRAAYNIEHTPAPAWAASSSSASAPTLAACTARDDLEEYTVGLDKYSDLLKEQLLDESVPARALVSISGESSIGKTTLARKVYQSPEVRNQFEIRTWTVVPHKSRPSDVLRDIHRQASSQLRRSPSSSSSSQQCAAEEAGDAAKPGAGGKDISNQLYKNLAGRRYLVVVDGSIAVTDWNSLRASLPDEGNGSRVVLITDSAGLEVVGHAGGGPTYEPIELTRLSPENTYEVFRRRVFGRGGDCPGRHKSRYYQDVFRITRGLPLSVVVLAGVLRCKELPAEWDEVMAQLLQGPTKTKSSNGRQIMSLAFDDLPHHLKSCFLYLAAMRESTAVDAARLVRLWVAEGFVRPRRGSTMEEVGQGYLRELISRCMVQLVRRDEFGAVLAVAVHDRLHAFAQDEAQEASFVESHDSTDVLAPATVRRLAVLGSDDGSCGQYVQLSNALPKLRSIVCDFVEGHRRSSSSKSVQVQCGDLGFLHASKFLRVIDIQGLELRKLPNEIGSMIHIRYLGLQCGRMEKLPSTIGNLVNLQSLILKGSSNRVVEVTSAFWRIPTLRHVIAPFALPAAMAGCDLRSLQTLHGVQPPRGGGGNNPLEGKAAGLRSLELSGLTAAHGGALESALESLDLLVHLVLQGDSLPSAVFSVPSLRRLQSLKLLGSMDSPDGPVDGEVRYIRPNLTRLSMWSTMVGQKFVDMLAELPSLAELTLMWDAYDGGRLAFGDAGFRSLQKLKLGLPELEEWAVGAAAMPALATLTLYRCAKMRMLPEALAGMRDLEEVVLYSMPDMVTRIKEDGGQDHHKLKHVPVIQTIW >Et_5B_044895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7027482:7080686:1 gene:Et_5B_044895 transcript:Et_5B_044895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAATGAARENYDASLSKPLLANGDGNFDAGPLATVVVAKSPGSNTTVKSAVKAKDRYWVDIPQPDAVEAKDLEGGGGGRQLLFRNKKVKAAILFPYRALILIRLVAVILFIGWRIKHNKSDVMWFWATSVVADVWFAFSWLLYQLPKFNPIKRIPNLTALRQQYDLPDGGSLLPGIDVFVTTADPIDEPVLYTMNCVLSILATDYPVDRFACYLSDDSGSLILYEGLYETSNFAALWVPFCRKHSIEPRAPESYFQLEGTIYSGRSQGEFMDDYRHVQKEYEQLKVRLERLPTTIQERSDDYNKMKGNKEGAKATWMANGTIWQGTWLEQSENHRKGHHEGIVQGADLHTFAVLLFFIWRIKHNKSDVMWFWTISVVGDVWFGFSWLLNQLPKFNPVKTIPDLAALRQHCDLPDGTSRLPGIDVFVTTADPIDEPILYTMNCVLSILAVDYPVDRLSCYLSDDSGALMLYEALVEAGKFAPLWVPFCRKYSIEPRAPESYFEHVAPPQAGKATLDFLNDYRRVQLEYDEFKARLDKLADTIRKRSDLYNSMEAAEGDQKATWMGNGTQWPGTWIDPKENHRKGHHAGIAKVVLGHPSRGQHHCSQSNDESSPSINATDEHLPMLVYVSREKNPSYDHNKKAGALNAQLRASALLSNGQLIINFDCDHYINNSQALRAAVCFMLDQRDGGNTGFVQFPQRFDNVDPTDRYGNHNRVFFDGTMLALNGLQGPSYLGTGCMFRRLALYGIDPPHCKPTNITPVANKPDNITPEANKFGNSTLFLDSVSKALKQERALILVRLIAVVLFFAWRIRHNNSNVMWFWTMSVVGDAWFGFSWLLNQLPKFNPIKTIPDLTALKRHYDLPDGTSKLPGIDVFVTTADPVNEPILGTMNSVLSILAADYPIDRLACYVSDDSGSLILYEALVEVAKFATVWVPFCRKHCIEPRAPESYFELEPPPLSGQGPEGFMNEYKRVRTEFDDFKMRLANLSDTICKRSGGYNSMETSEGDVKATWMADGTEWPGAWIDPIENHRKEHHAGIVEMEMVVTASYDKGTDWGKGVGYIYNIATEDIVTGFRIHGQGWRSMYCTMDHGAFCGTAPINLTERLHQIVRWSGGSLEMFFSHNNPLIGGQRIQILQRVSYLNMTIYPVTSVFILLYALCPVMWLIPDEIHIQRPFTLYVLYLLVIIMMIHMIGWLEIKWAGVTWLDYWRNEQFFMIGSTSAYPTAVLHMAKKLLTKKGIHFRMRWMPMLIPTLFVLVANIGAIGVAMGKAVVYMGVWTAAQKRHAGLGLLFNVWIMLLLHPFALAIMGRWAKRPIILVVLLPLVFVVVGMIYVALHFLLPDTIGKLVNASLHVEPTSGNGVVGDEESWRSSTVADSPMAKRISNANDDDVWVAVEERDVSGTGASDGSRPVLFRTFKVKGSILHPYRSACAAYSKTMKFGNYADKSFVRVTAPCVFMILVRLIAIIAFFIWRIKHKNRDGVWLWTMSMVGDVWFGFSWILNQLPKLNPIKRVPDLTAIRDKYGSSTSTESKLPGIDIFVTTVDPVDEPILYTVNSILSILATDYPVEKYACYLSDDGGSLIHYEAMFEVAKFAELWVPFCRKHQIEPRAPENYFGANRQPYMGSVQEEFMSDQRRVRREYEEFKVRIDSLFTTIHQRSEAYINKNRKEDSVKATWMADGTQWPGTWIEQAENHRKGQHAGIVQVILNHPNDKPELGLPASINNPFDFSNIDMRLPMLVYLSREKRPGYNHQKKAGAMNAMLRVSALLSNAPFIINFDCDHYINNSKAFHATMCFMLDPNAGENTAFVQFPQRFDDIDPTDRYANHNRVFFDGTMLSLNGVQGPSYLGTGCLFRRVALYGMKPPRWGMDNIKVTSKAKEFGRSMIFINSTLDAANQERSIIPMLFEESINVELATMMTCAYEEGTSWGRDVGWVYNIATEDVATGFRMHRQGWRSMYCSMEPAAFRGTAPINLTERLLQILRWSGGSLEMFFSHSNAFLAGPRMHPLQRIAYLNMSTYPIVTVFILAYNFFPVMWLISEQFYIQRPFGTYIMYLITVIAMIHVIGMFEVKWAGITLLDWCRNEQFYMIGATGVFPTAVFYMVLKLITGKGIYFRLTSKQTAACSKDKFADLYVVRWVPLLIPTIAVLVVNVAAVGLAIGKAATWGLFTEQALHAFLGMVFNVWILVLLYPFALGIMGQWGKKPGILFIILVMAIVAVTLTYVTASMAHTGQNGQILQILRHGGARKPAIDPKDKYWTPVDKEAAAAVEDGGEDGRRPLLFRTFKVKAILLLPYRCVSFRYSLSRSSNFVPFMCNRLLTLIRLVAIILFFIWRIRHPYADAMWLWWISMVGDFWFGVNWLLNQAAKLNPVRRDPDIALLRQQYDLPDGNSNLPRLDIFINTVDPINEPMIYTMNAILSLLAVDYPVDRHATYLSDDGGSIIHYEGLLETAKFGAVWVPFCRKHSIEPRAPESYFSVKNRPYTGNAPDEFVNDYRLMSREYDEFKVRLDALFTKIPQRSEAYNNADSKQGSKATWMADGTQWPGTWFEPAPNHKKGQHAGIVEVMLNQPSSEPQVGSPASGDKPLDFSGVDMRLPMLVYISREKSPGYDHQKKAGAMNVQLRVSALLTNAPFIINFDGDHYVHNSQAFRAAMCFMLDRREGDNTAFVQFPQRFDDVDPTDRYCNHNRIFFDATLLGLNGFQGPSYVGTGCMFRRIALYGVDPPRWRPDNVKFVDNSNKFGNSVPFINSIPLAANQEWSMTSPPALDESFMAELSSVMKCAYEDGTEFGKGVGWVYNIATEDVVTGFRLHKTGWRSMYCRIEPDAFRGTAPINLTERLFQILRWSGGSLDMFFAHYPLFASRRLSFMQRIAYTNMTAYPVSSIFLVFYLLFPIIWIFRGEFYIQKPFPTYVLYLVIVIAMTELIGMIEIKWAGLTLLDLIRNEQFYMVGSTAVYPLAVLHVVLKLLFRGKGISFKLTAKQATSGDTEKFADLYRVEWTRLLIPSIVVVVVNVCAIGTAIARAIVGGWSLLQMADASMGLIFNVWILLLIYPFILGIMGHWSKKPYVLFILLVISIVIIALVDVAIQAMRTGFVRLLNLARLILIIHFFIWRARHKDSDSMVLWWITMAGDFWFGLNWLLNQASKMNPIRRVPNVALLDHHFDVPPGAGGSSQLPCVDVFINTVDPVDEPIIYTMNAVLSILATDYPAGRHATYFSDDGASLVHYEALQETARFAALWTPFCRKHRVEPRAPESYFFAAKAGHGGAPPYAGDAPGEFVDDRRRVRREYEEYKARLAALFTDIPQRSEFFERQSGKGEGGGGGGRATYMADGRQWPGTWFEPAENHKKGQHAAIVQVMLSHPGDAPQLGAPASSETPLNFSAVDVRLPMLVYIAREKRPGYDHQKKAGAMNAQLRVSALLTNAPFVLNLDGDHYINNSQAFRAAMCFMLDARQGDGGGGEDTAFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGLQGPSYVGTGCMFRRVALYGADPPRWRRTADVDDGEGGKVVDNSDACRRFGTSMPFINTVPLAASQDRTISPPALVDGRLAAELDFVSTCAYEGGTAWGDGVGWVYNIATEDVVTGFRLHRAGWRSQYCATEPDAFCGTAPVNLTERLHQILRWSGGSLDMFLSRNNCPLLPVAGWRRMHPMQRVAYTNMTMYPICATFIFFYDLLPLMWLFVSGSGGHEFYVQRPFQTYAAYLFAGIAMMELSGLIEIKWAGITLLDWVRNEQFYMIGATGVYPAAVLHSLLRLVGLKGLPFKLTSKPQSAGVERAEPFAEMYEVQWAPLLAPTLVVMAVNAAAIGAAAGKAAAFGWSLPQVVAASGGLLFNVWVLALLYPFGLGLMGRWSKRPYLLFLLLVAVLAAVASVYVALLAAFAPGARSPSQLVGLLLGAASPASWWN >Et_10A_002086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21699475:21703789:1 gene:Et_10A_002086 transcript:Et_10A_002086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDLDEQLDSSAAAEKGEAALQRLRDADPSLFLSPSADLAAAARAASQHIYSSLAPLSPAQPPPLPSLLAGPAFDAEQIWSQIELLTRPLLPHLHRQLRRLEQQPPSQPQPAPRVETPAGAEEEQSEEGEEDSELEELKEDEEELEGEGTDDEDEMSDDDEEDEEELEGKGGNGVEDKFLKTKHLEEFLEQAEEEEYDFEDDDEEDEGKTGGDIMYKDFFEKGHKQSKKRDSTKKVQFKDEAQEIELDDSDDDDDDDDDDDDDDDEQDEQVLSTHEKERLKKHAEIEQMEKAILEPNRWTMQGEVTASKRPKNSALEVDLDFEHNVRPAPVITEEVTASLEDMIKKRIAEGHFDDVEKPSLLPTKAPKEHKEMDESKSKKGLAELYEEDYAQKTGLAPAPLSISDELKKEVIEDMSVQANVPALAMEEVAPVAVSDAAMLAPEEIFDGKGDVKEEAELTQAERKRRRANKKRRYAESHRERPAKLQKEA >Et_6A_047366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4489876:4491824:-1 gene:Et_6A_047366 transcript:Et_6A_047366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIGLRKMINIRCSTVVAHVPGAQRAVVAVVVVTLGGIDQVATAAAVFAFVHGAGLRAATALHGRCVSDDVVVVGIRSWLVVVRDEHLGIDGVPLLVAVAVLGSVGWNDAARHGIRQHHLTLCCLCSHMQHQVVPLSWSEKCIVGTGLERQTTLDSRVTRSFYQLLISALTKILVCTKNLGFWRGHSSRRQHWTRTTLSAFTVKCLWRHREATSLTFLVGHMPYLYGGSSKTIKPDKLKLVLCFDNPNIRSVLS >Et_8A_058036.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1549798:1551150:-1 gene:Et_8A_058036 transcript:Et_8A_058036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNANPGYSSDTNLVVAQAHAYAAGVNNFLADGDGLAARGYTFSAGVNNFLADRDARRHAATAAAAATGLLPPSDPFGLIAKATVVRVTRANCAEVFRRVVNTLRQPRQRLYIGLDLEYAADASTNANYRPISYVDWYDYLRQFVNGGDVVQMGLVLLFEGVPGGAPSSLIPLEIDFEFDEDAKDYSPISIKFLKDQKHRLDDHRDWGITPEWVFAGLLRHLPVMDDKVTWISYHGDRDFAFVLRLLQAGGRGALPADRATFLHQVRQMFPILYDVRALGQVVEEGFNGKLTALADEKHLNIARIGEEHFAASDALLTLSCFSNIVRRSENKLHRLNARQNILSGVEEYAMAVKCARHVNDETIVTIDVWGKNFQQEARLIEELVSMNFNVVGLDVQLPSRFTAVQEYKTVKDLVSELETFQILIGLMNPEGMVAYGPFGRFTSAAEVDL >Et_5B_045267.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1665586:1666086:1 gene:Et_5B_045267 transcript:Et_5B_045267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVHPKPQFAPLPRPPRADAVALDDMDDDSDGESVAESCPWPHQRGAAATESSRGSSTCCHGEEMDDDDDDGCSSCVEGDECSYYQYQEELQEAAADDYEGGNAAVASGGIWWERVAVARAGVGGAFPPPLPPEPEEEADQKRAAEREEEDRKFWEDCLATGYP >Et_7A_052774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15849360:15851721:-1 gene:Et_7A_052774 transcript:Et_7A_052774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVTYLVGDLHLSSAKSANIVTNFMGTLNLLALVGGFVADAWLGRYLTIVASSTITTAGVSLLAASTALPGMRPPPCAPSGQQCVAASGRQLAMLYAALYTVAAGAGALKANVSGLGTDQFDGRDPREERAAAYFFGRFYFCISLGSVLASTALVYVQDEVGRGWGYGVSAAVMVAAVAAFVAGTARYRHRRPQGSPLTVVGRVLWNAWRNRRVPCPADASELHGFHRAKVPHTDRLRCLDKAAIIDANLATSEHHRPPTVTEVEEVKMLAKLVPIWSTCILFWTVYSQMTTFTVEQATHMDRRVGGRSGFTVPAGSLSAILFLTILLFTALNERLLVPLARRATGRPQGLTSLQRVAAGLLLSTSAMAAAALVERKRRDASPEMISAFWLAPQLVLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLATLAMGFFLSSALVAAADAATRGAWVRDNLDDGRLDLFYWMLAVLGVLNFVVFLVFASRHEYNKREANAVDPAGVERGQEEEELQTEDDAVRLAVAVKVMDV >Et_7B_053691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11104647:11105989:1 gene:Et_7B_053691 transcript:Et_7B_053691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSNDTHLVRGSVYEHTVPLTPALPPLPVIASSSPPLTGDQIEMAASVLPLAVALLACVAAVGAQPMDRNQPDNPILSDPNVIPVHMYPGEGPTYVSCYNNTNGQQGTDPTCSLFARQCPRGCPDTCYVHCPSCKLVCLCDLTGTGCQDPRFVGGDGNKFLFHGRRDADFCLVSDTNLHINAHFIGKRNRMSARDFTWVQALGIRFGGHRLYLGVKRTATWDAAVDRLAVTFDGAPVELDAAEGASWSPASAPALSVFRTGAANGVVVRLDGRFRLVANAVPVTEEDSRIHGYGLTAGDSLAHLNVAFKFYAIGADVHGVLGQTYRPDYVSAGVDVGAKIPVMGGAARYQVSDIFATDCEVARFTGDNGVAGSLDVLEEPTDALCGSEKGSTGLVCKK >Et_4A_032080.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:1689129:1689200:-1 gene:Et_4A_032080 transcript:Et_4A_032080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMSQAPEFVNSLVSGDLHGAGR >Et_4B_039168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8456094:8459577:1 gene:Et_4B_039168 transcript:Et_4B_039168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKGGASPSPGGAGAASGTGRLFTFGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMSACALLSYAAIAWLRVVPMQLVRSRLQLAKIATLSLVFCGSVVSGNISLRYLPVSFNQAVGATTPFFTAVFAYLMTVKRESWVTYLTLVPVVTGVIIASGGEPSFHLFGFLMCIGATAARALKTVVQGILLSSEGEKLNSMNLLLYMAPIAVLLLLPATIFMEDNVVGITIELAKKDFTIVWLLLFNSCLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSIMIFKNPVSVTGMLGYTLTVIGRIQKTQQQTIDILEDSKYVHPFFVRSVPRANILQAYVAKYANRSPHPCVFDVNVLQESQGASSGITGRENDWAV >Et_4B_036408.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:22303858:22304850:-1 gene:Et_4B_036408 transcript:Et_4B_036408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAAAVLGPAGLAPLHWSNGTSPFDAWAGVTCDTNHRVVAVSAPRAGLNGTLPREARKLPTLHYLDVRDNNIHGQLPYVRFSELRHLRVDGNNFSNVPLGFLVAFPALETLTMSNNHQLEPWTLQPVGLFLANLRAFEASNASVTGTLSFFLRDVASFPKIVNISLANNKLTGPAPATFVSNTLRYLDLSNNKLTGSIDFLTNFANIKSVRLDGNPFTGRLPDFRKLPKLKYLSVAQNQLTGFVPASLGELTGLKAVYLAGGNVFQGPVPVFGPTVQTDVLDAASQGSFCRPEPGPCQGSVTQLLSVAAGFNFPATIVASWKGNDPCAG >Et_10B_002417.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19171318:19173734:-1 gene:Et_10B_002417 transcript:Et_10B_002417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SETKFQIQSWRAPHKNAQIFQSPPRSPSLHSQIPPSPSIPKFPCPIPHTSISIDAAMVRELRVDSFYSRLRAAATAAGAGAASSSPLLILPSAADADSLCALRVLAHVLSADSIRFSVYPVASAAAAASLLASFSADQPLCLLLINWGAHRDLCAILPRAATAFVVDSHRPIHLHNLCAANDRVVVLFTADDEHTADLSYDFDLSSLADASDLAAEGDADDHLPVPDEDEDSDASDSDSDGEGGRRKRRRLSDDAEADGDPVRLFGKLRREYYRLGTFHGKPSGCLMYDLAHALRKNTNELLWLACVSLTDQFVHERITNERYQAAVMELEQHINGSGNLDPSGVGSVVTLKDGTKIRAPETSRIAYEDEPRLMLLREWSLFDSMLCSSYVATKLKTWSDNGLKKLKLLLARMGFPLADCQKNFQYMSMEVKRKMRDEFDRFLPEYGLTEFYYRSFLRVHGYRSKVSAADVVYGVTALLESLNADSKDSKGSSAAEQFWIAYSALSLTNVDQLRKGMQSAIEIQRAILRQGSSAITRTGFIRSAKKFRWVKLDDPVDTGKLCQPQALTKFCFFLMDALKERGARMKPLICACLAKEPEKVLVVGVCGKPRLGAVQGNAFGNAFRSAAEEIGADYFHDMFESSWIVLDVVAVSSFMIRLTEKL >Et_6B_049790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1250559:1252012:-1 gene:Et_6B_049790 transcript:Et_6B_049790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEGRRQHSSCLPEGHHHFLIVVYGVQSHINPARVLAHRLTRLGVRATLSLPVATHRRMFSSSDDVDGGAISYVPYSDGLDDGALPKDADERARSRRVGFESLSAIIAGLAARGHPPVTCIVCTMPAHVLAAYYHYFHGYGELINSHATDPDYKVSLPGLRRPLRIRDFPHFLVDTTGSEVTKAINEAMLELFDYMDQRRPKVLMNTFQELEPTVIAEMKTHMDVFTVGPMVGSSTEARLHLFKHDDVDRKRYMDWLGTQPAKSVVYVSFGSISKYKRQQMEEIVEGLKLCGRPYLLVVRKDGLAEEDSMSDILEPVENQGMVVEWCNQLEVLSHDAVGCFVTHCGWNSTLEAVVSGVPVVGVPNMFDQPTNAYLMEEQWLIGVKVERNSEGILTGTELARCVDLVMGEGAKASVVRERAKALKGIAQEVADAGGHSEINLLDFVKTLEAQDTDCVKNAS >Et_9B_066201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:736998:745356:1 gene:Et_9B_066201 transcript:Et_9B_066201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPKAPKLARVPHVPQGTSKLIFASPKEAMVLHVGRGHPEDDDITDSQLAEVVQTQPVPDGDDGDSDGSEHPVAPPTQLATKPNLSKKQLARKRKLGDSGGSGSNAPPAQLATKAPRQQAPPKQLATKAPRKQAPPKQLASRKRKGDSSAVDLPAKRTTRSCVQRSTSHCDEFFFHVSSSVLQEDEEIEEDDDEVEEIEDVEVAVKSGKPNVRCNPRDVLETIELLSPKQYRKIRRLGWKDFLKIKIDALESRECIYWLMDRIDPDLMCIPYGRDEKIFIRERDLNKSLGLPYLGSPVKTYDEEKQDDEYRKMKKFFGVVGKGNIEFKMLKEHISKGGLDPMTLRRVYVPGRQLRLAIKPVENLFFLDHLNHPFTCVDPWAFPRAQHMTKKEVHEIVKATKCYIRGQLSFLKLDVKDRNSTIYCLLDQVEDNDGIDEGGSSFRVPGLHEVLASKLIFLEGSDREEAESALQNFDFAVKEEMNKIAAAQKSIKMRHLEIINTIGNIFENQQQRRANRASPPSPALDSLPPSPVNDGLRLLSVYEEDEGNISDGHNSDHCMDNLAGGPIDESASIAESNEDAAAVACTQLGIETASAVDRVPRCEVADTVPPVPAVEAVESSDPTETAPQLHAAVQFDAGKAPGQGNLERSPGGHVTDREDAEIVPERAGISETAVEKGDTDRSVAGEVTERVEAEVLEDSNTTEAAPQQYVAVCPVTSPPHVAQQSIATGIDHTVVEPYDAPRTDVISVVSSNLVLPPNERTEMKIWGIMWVPFFMLRNLVPIVSYPGLEWLTKQLNNDLPCDETENEQMNLKGIELLQGFNKCLTDGNIEIDSCNQNEQGLSNLDSLTPELLPISLTPDAPAAEMKAVRQRKQVEDDDGAQLIPSGVKRRTTLPARFLDADAGLEVEFIRASPSSTHALKLRDLFLDPSSPYEKENLLIFNSATLNGERIRKSFGDGEEVDVGVLEAFVQCMWYDDNKFRPHLSKERLILHPNIMVSANFTKEDHEFDEDALDLSWEVFMGDLLIDWKALKLIVIPVHHHGHYTLYCVNFKKKCVDVLDTIDYQKRGSKWKDHHKDIGQRLISRINKLLKKKSKGACFKFGRWRATPFPAPFMTKENDCAFMSFKFIEYFTGAEGCLSNLLDPEI >Et_4A_035009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8789857:8795656:1 gene:Et_4A_035009 transcript:Et_4A_035009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADPHGRRHPVKTRKRSLLCPLCLTLLLILPSPVLFLVLLLSVVVRVSVGQMPWLGLHRDIVVDGAEVWRRDAYGFAVRPQHLQRFREYAKIYKEEEEERAHVWRDFLDRLAESEDVPSTPNLSQSDDNAGAGNDDGGARKGEKNGSGTNNDQEEEKEEAENAQDNNKLEGPEDAGDESRATNAEAEDLKDGTDNIYNLKEETSRNSTETIKAPEDLKEVNEASEEIKDVSGDSEESGEVYNYNLEKLVELSLDKGLLDELKPIKVESQRRVRAALRIIEKMMSSRVAKRDNCTNDIHGKGATQLASIEEEGKTMETNHEGDPAEEYCFAEKVEHDQEIPGGSTSTALEGGGGGPYFPWREELESLVRGGVPMALRGEIWQAFVGVGTRKIPGYYKKLLDEGTAKPDEKDLEDAVLMEQTSAPKKLPKTEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEENAFWALVGVIDDYFDGYYTEEMIESQVDQLVLEEVVRERFPKLAKHMDFLGVQVAWVTGPWFLSIFINMLPWESVLRVWDVILFEGNRAMLFRTTLALLDLYGPALVTTKDAGDVITLLQSLAGSTFDSSQLVLTACMGFQSVREFGLQELRKKHRPEIVNAMEERSKDRSSWKDKKGLATKLYSFKHDPSSVCPQVNSKEGPDGLQVNGETGSTNLETYLSTSSALENELDQGVDLQDQITWLKVELCKLLEEKRSADLRGEELETALMEMVKQDNRRTLSAKVEKLEAEVSELRKVFAEKQEQEQAMLQILLRMEQEQKVTEDARIAAERDAAEQKYAAHVLQEKYEAAMTALSQMEKRAVMAETMLEATKQYQAGQVKANQSFTSSSPRADIALGKTNQEPNQDAPNKRMGLLSRGLGWLDKSKGRQNSTETAEGS >Et_4B_037519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20809082:20815203:1 gene:Et_4B_037519 transcript:Et_4B_037519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTADRTRSFMKDVKRVIIKVGTAVVTRHDGRLALGRLGALCEQVKELNTLGYEVIMVTSGAVGVGRQRLNYRKLIHSSFADLQKPQMELDGKACAAVGQSGLMALYDMLFTQLDVSSSQLLVTDSDFENPNFRERLRDTVESLIDLKVIPIFNENDAISTRKAPYEDSSGIFWDNDSLAGLLAMELKADLLVLLSDVDGLYNGPPSDAQSKIIHTYIKEKHHNEITFGDKSRVGRGGMTAKVKAAIVASTSGTPVVITSGFASQSIINVLRGEKIGTLFHKDASFWEPSKDVTAREMAVAARESSRRLQNLSSDERKKILLDVADALVANEGLIRTENEADVAAAQDAGYDKSLVARLTLKPGKIASLAKSIRTLANMEDPINQIHKRVEVAENLVLEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAMRSNAILHKVITGAIPNNVGEKLIGLVTSRDEIADLLKLDDVIDLVIPRGSNKLVSQIKASTKIPVLGHADGVCHVYIDKSADMDMAKRIVMDAKIDYPAACNAMETLLVHKDLMKAPGFDDILLALKTEDCIVTTDDKVAETFLRRVDSAAVFHNASTRFSDGARFGLGAEGAYMPGGLWELKVS >Et_3B_028023.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:32037162:32037692:1 gene:Et_3B_028023 transcript:Et_3B_028023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEPSSSASYVILYNMYLDAGELSLASKTRDLMKERGVKKEPGLSWIELRSGVYSFVAGDKSHPESNVIYTKLAQMLSKIEKLEITGNVSTDSNGISSREQSLVGCHSEKLAVAFGMIHLPESAPIRVMKNLRVCRDCHSTMKSLSRSENREIILRDAIRFHHFRGGSCSCGDYW >Et_6A_047304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3636255:3638764:1 gene:Et_6A_047304 transcript:Et_6A_047304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAQDPFYIVKDEIQDSIDKVQDTFNQWKQTPENGGEYVHLTKELLTSCESIQWQVDELEKAISVAASNPAYYGLSEAEIRKRRSWTSSARNQVLSLRRNVEAGTQKSVFGRSVNPSESSRAKQHISQDNDEFIASESDQQTLLIKRQDEELDALSASVQRIGGVGLTIHEELVGQEKLLGELSLDMETTSNRLDFVQKRVAMVMKKASLKGQIMIVAFLVFLFIILFVLVFLT >Et_5A_041002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16449209:16450494:-1 gene:Et_5A_041002 transcript:Et_5A_041002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AVAKGNFKNARRNLWLGTRATKGIRFDFVASYRACAYVYIRDINDLATDDPFNKTLCRCCSEDYDDDDIL >Et_1B_013788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16322869:16326497:-1 gene:Et_1B_013788 transcript:Et_1B_013788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEPLMGNSMSEILAGMPQSLGINTPDLLGTATGEMLKFMYDAIPDPPVSPAARLASAVTRWAPDDGVDRISPLTDQILRNVVSRLALARPLARRAPRPRRRAPGRMAPGGDDVVSKAVVAAVSRALAAHQGPIRSFHLTRGHMASHEAEAERWLKLLAAKGVQELIFSNHPWPLDFPLPAAAFSCVSVTHLQLGVWRLPDTAALPRNAGFPHLRDLVLSFILMRDRDLAFFVEKCPVLENLTIIVSQRPVRLRLVSRSLRCVQLCMCEANVAVVDAPRLERLLLWRSRHHEEKSRIKIGHAPNLRMLGYWQPADHELEIGSTVIESKEAEPTGKLDIMFWQEASPVECVHSHVKMFVFDQRSELMFLKFIAERAQVLERMVVMMAVENFSSEDDMKAKMKLLTMLHQRGCNTPEGPGTACTPDHRPVRYRNAYELGSKLARCFFFKYVKRRVISSKRRFKPENTMDEILLQALPENTFFGRQKLDRSLLHGAALCKCTWKGMPANNVLVKPLVNFEPVRQAAAAAAEE >Et_5A_040243.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:3741545:3741799:-1 gene:Et_5A_040243 transcript:Et_5A_040243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEFEWRCAHQKRVSQRMPQALHKLNICSERMRHHFLDDPDALEKWDEYANDVYDSFEDNLQYSLSSPSKPPVSLMISFVMF >Et_1B_013399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8064982:8071771:1 gene:Et_1B_013399 transcript:Et_1B_013399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAVASLRFLARRHHRLRLPAPLAVPGGRAAFLSSAAEEAAPQPAADGPPEPGRKVLESFREEFVIGDRMIAFETGKIARFANGSVVISMDDTHVLSTVAAAKSSEPVRDFLPLTVDYQEKQYAQGVIPTTYMRREGAPKERELLCGRIIDRPIRPLFPRGFYHDVQITVNVLSSDGKQDPDVMAANASSAALMLSDIPWNGPIGVIRVGRIDGKFILNPTVAELSLSDLNLIYACSRDKTLMIDVQAREITEKDLQAGMKLAHSEAVKYIDPQIRLTKRAGKDKREYKISLISDNSYEKIRTLSESSIEEVFTDSTYGKFERGEALENIAQSVKAKLEEENDEDSLKFLSKAIDTVRKQVIRNRIIEKGLRVDGRQLDEVRPLYCESSTYPALHGSALFSRGDTQVLCTVTLGAPGDAQRLDSIVGPPTKRFMLHYSFPPFSINEVAKRGGLNRREVGHGTLAEKALLAVLPPESDFPYTVRVNSEVMASDGSTSMASVCGGSMALMDAGIPVREHVAGVSVGLISQVDPTTGDISNYRILTDILGLEDHLGDMDFKIAGTRKGITAIQLDIKPAGIPLDIICESLEPARKARNQILDRMDQEISTARAMNDGSAPRLATVSFGSDSLRKLLFHRKKIEQETGARVSVNDGTVTIVAKTQPIMDKAIEKVEFLVGREIEVGRTYKGIVSSIKEYGAFVEFNGGQQGLLHISELSHDPVSKVSDVVSVGQVLSLTCIGQDVRGNIKLSLKATLTPPRNKKNMESKGTNPSPSQEVIGWDAVEKLPSLDADGEQSSNKHDDETPEGPAFSTPTVIIRSADECDSQDVANSPKKQTKVAKSSPRSNKAASGRQEVRTATPKKASGASTPKKTKKVKADDSGSNGLETDASVVPEQDASNAQDLKYSSPMNFRSGSMKLGDVVTAKVYQVRAYGLVLELSDGARGMHKFEAGGGKDFEVGEELLVKCSSFNAKGIPIFSLLDQS >Et_3B_030448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3885912:3890897:1 gene:Et_3B_030448 transcript:Et_3B_030448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIPAASAMDWSIEIDRGLRSRNQATRVDALDAAGPRLRQLFASSAIPAPVAAAFGILPSEARLFAETMLLRLAIEFRAGDGALRARIVRCLLVAGECGALAGARVAEPDQLLRKFKAVYDTGSARDRSLALRMFGCLAGISKDSVHVRSLILSSLGAPAALEAKAALFAAGCICHLSEDFSYIILEVLRGLICSGRSEPQVIVAAIKAFSKLDCTLAVIHRVHEVGKHMVLGTLEDVFKAELLSSLSTLASKSVILFSVQVQLLLRFMDRDSSSSMTSMALKNTCHFPVVRTVFGRLLALVNDVDFTLQCKSDMLRILQKILCRKAPSIHDYNASELSKLVLAAESSLHSSSPEIQDTAIEILVEILCFLKQAKSDLTITVLKNSRFAYTESQGNTKIMSLTYEENSKDIHLHKIIAGIMNHSISLINQVINKEGKNDTSRSISISSDLKKKYKSIFSLMLKLVMCYPSAAAIALDKLRWLVKELGQINDGGYCDVVTYAESFQTGAAFEELNASIDNVELLAATIEASPFETDICKAKLDSTELKGKKNEPVMRDLILCMLKCANACHDRLCNISDRCNLHAFIKDLIGCVHQHASQFWSTYETFRMIICACVARNTCKGRESNQEAVDPKQEPNIFLTPSVWIAQELCALRMTKMLIKKQKYWEAYRSSMYCCRTGLWFTSSFVFRKLADAFETSSFSFWYKSLLLLSAQEIELRLLLFPSATVKLVGELKIEGNLSEDLYCADETDADNILTGSQKLHGHRAKITGICGRTFLANDALASNTSSDCDFFFQRWFISLRSSFLRVLTDVLGILSTHSSAHEAREGELTVSGELLKGQVLALAHCSLRLSDLAKSYDLLATSHMDMDHHSFSSVARLAFMCSFLAFCTAYSLDLSEMCSLVEDCKFPKRFSHASILQDLQGRVDGSDRQIVSQLRQFMSTSDDELDCLLSCTRMSCSGNLEKDSYSLCRFAVASLLRIRGDAKSKEITYEDCLSTFHGGLQFLSRILQKFMELPFVVPKYFFRVRPCLGVELYMFDSDPVNRSRMSVEHGFQLSLTICMEWKRVLDRTTVRLRKLYCILGASSESCVDASGTRIKHLEPHKTAEMVELNAKLLHYIKNDLGKGREDNNFRSCTDMVTAFACFEPTDSGQGFSSCLLDVSSFPEGSYQIKWHACCMDENGSYYSLLPLNDGAVFSVRN >Et_8B_060866.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:9810247:9810798:1 gene:Et_8B_060866 transcript:Et_8B_060866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDGDVLPVYYGDTHDLAYGFIGDVGLFFLVGGAGGAAFHFVRGSLVDRGGASSGRLAAGFRAARANAPRVAGKFGVFGAVFTALEAATYLAGGGQYTLAGSAAAAAAALGLGGACILMIWELERAQMAWRFEESLARQKRINGDRPALAAALRQEPGSSRFLMDGHRHALCLRMFPRTEHR >Et_2B_019629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11403061:11412855:-1 gene:Et_2B_019629 transcript:Et_2B_019629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDGIAPRDVCVVGVARTPMGGFLGALSSLPATKLGSVAIEAALKRANVDPSLVQEVYFGNVLSANLGQAPARQAALGAGIPNTVVCTTVNKVCASGMKATMFAAQSIQLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDAMLKDGLWDVYNDCAMGMCAELCADNHSLTREDQDAFAIQSNERGIAARDSGAFSWEIVPIEVPVGRGKPPVLVDKDESLDKFDPAKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVLARIKGYADAAQAPELFTTTPALAIPKAIANAGLESSHVDFYEINEAFAAVALANQKLLGIPSEKVNVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALLYKSLTVKYGT >Et_6A_047264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3045267:3055649:-1 gene:Et_6A_047264 transcript:Et_6A_047264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEASVCNPLELMLNDESAEPRGLSLSLLKSITNNFSDDLQIGSGGFAVVYKGNLENGSVAVKKLYLTQEVHETKFCQEVYSLMRVKHKNIVRFMGYCSDTQGKVWKLQGKNVMAEERQRFLCFEFLPQGSLDKYISDAADGLEWMTRYQTIKGICEGLHYLHQQNIVHCDLKPANILLDPYMQPKITDFGLSRCFDEKQTQAKTLNVVGSMGYMAQEYINGVITLKSDIYSLGVIIIEILTGQKGYPEIENVRTQYLEVGALCSKRQWETRLEPVRICTEIGIECIESNPDNRPDTQRILERFAEMEHKYEKSTCGSVYKVTLEDGSLVAVKRLWEIIKDNKEFEAEAMVLGKIRHPNLLALRAYYLELEPKDEKLLIFDYMPKGSLSAFIHDACESKTPKPY >Et_6A_046049.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20782786:20783001:-1 gene:Et_6A_046049 transcript:Et_6A_046049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRSLENLLSKRFLVNSCLSLSTSARSSGVPAFGSNSHTCLPRKLFADRSHGPLPSLVLSLHMMDGASW >Et_6B_049622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7326967:7338322:-1 gene:Et_6B_049622 transcript:Et_6B_049622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KFAKEKKRKEKKRMERTVNSLAYKGSISDAINQSRQEKKLFVVYISGEDEASGSLENSTLVDEHVVEVIGRCCIFLHLKQGNIDAAQFSAIYPQKSVPSISVIGLNGVILWNHEGPISSENLKECIEKAWAALHLQETAATLLTASLASRNAESVNTNSTLPPQQVSSNSENPSASSSQCADIPGASGVAHSTELVSRLPSDAICDEPLGRNEKASSTSVSDPGDRNVEKLDSACSEVKNDLPGSSRSSSTGCSADPKEMVNKPSPRRKNEVNRSCTPVPSEASPKTITSGLSSQLLVEQDKATTSSAPDEVSNSAKSDDIHLSIRMPSGNRLEIKLTNQDVLREVKNFVDENLGSGVGSYDLSLIYPKRVFTEKDMEATLCELGIQNRHAMMVVPHRQSAQVPRPQSSSRMYDAGENSGGGGYFGYLRTVLSYANPLSYLRGNPTPSNPELQANDGRQQHRTSSAQWSPPGMETASERQPHPGNSSQEATQESAANTLRRCTRPFGANVHTLQSEDQGPSDDSNVFWNGNSTEFGGDDRK >Et_7B_054903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5453229:5456862:1 gene:Et_7B_054903 transcript:Et_7B_054903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSSLLRDLLAADGFKRNRRDKPPDNGSAPASSRAVSMPLIQHRGPGKPARSQSDVLTRSRLRNGGGAVGSDDGSGGGENAGGVERRAATATRRSSLSSARSYNNNNKGDSDSGATGARRGSAAVPALDESALNALISLAAGSVKRFASDEGFRASLRAGCASCLGGDSDHRAALDLRVLAQTVERAAREEGLLDPRDLKRASAKLHEMASSLETKDDADAVTAAVAGGVPLYPRLAACAHVYMSVVSKLQKRDHSAAVHALEAFCLAPREARTALLPALWDRLFRPGLSQLRTWRDRELGAAASSDDKRVKEIERTFADVLDEGTRVLACYYRDWLLGRTDAMALPDVPAPPSTVVHAAGVLRSSTSTSYDVGSDVVFSSGSLSPAKVMSTSATARSDEIEEEEEVHAKTVHLDSASPECDDGDAKSFTPTLPLEENMVVPNKIAKDTSEPKVEPIKVPAASTCYPLISDISAIELLTPDEGPLQSDTDVHQFSISASVPSDFLCPLTRQTFNRPVTIETGHTFERHAIVQWLDRGFRVCPVTGQELDSLSIPDTNRVLKRLIDNWKSEQGSNMISESTGLEEKLTLAVIDKVLNSARDMSEKLDKARHLMAVGGIDFLLRKFQEGHADEKLQAAEHLLLCIQAEGSCRNYVAVKIRGSSVLQLLMSEMLSARRTAVCLLTELLCLRRREMFDLLFRGLGTELIMEAMDVLLKHVRSLPVEEQAPVAVLLLHFDALVENHSNNVYRDEAAKIITHSLRSCLSDDNVVPNTRKALLLLGGHFSFSGDLLAEGWVLKQAGFIDDARVTPINSDAVIVQDKDAAEHETWLSNVTAVLLGGNARRPFLEALSKCLGSPDADLVAACLTTAAWLARSLASFDDATGTDAQLAAFSALVPRLKQCLATARPARHRVLAAVSLHNFSRIPDCRALLMLLADALRDHLADLAGLSSTARQLSAELRE >Et_6A_047385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4925764:4930938:1 gene:Et_6A_047385 transcript:Et_6A_047385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNDAHSQPAPCFCFLRRSGAAAPTAPTSLHLRPHLLIPLPYRSRIPNPQFTSPKSKTEAPTAYPGVGGERDNEAVGRGACAPRLQIAAALLACSIGPRRWRRDLGTRSSAAGVRSTNQCGPMGEAGRSLAETPTWSVATVTTLMVAACFLVERALSRFAKWLRKTKRKAMLAALEKIREELMLLGVISLLLSQTAHWISEICVPSSVFTSKFYICSEKDFNDIMHAEEGNLTSLPDHISGGQATHVCHEGHEPFVSYEGLEQLHRFLFILGITHVLYSFVTVVLSMIKIYSWRKWETLAGPIAAEEMKGINIYFWLSFVPVILVLLVGTELQHVIAQLALEVAEATAPYVGTQLKLRDDLFWFGKPRVLWWLIQFISFQNAFEMATFLWSLWELSEVQSCFMKHYYMIVIRLISGLVVQFWCSYSTLPLNVIISQMGSKFKKSLVSENVRESLHSWCKRVKDRSRHNPLFSRNGTVTDRSVCSLDTTYETDHETNTVCTLSRTVSASSMDDQLTVATLDDEPMEKDV >Et_1B_011304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2025545:2029140:-1 gene:Et_1B_011304 transcript:Et_1B_011304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVGDIFCGCLEDIWCSNSLLTSDFTMKPDWSIFSKLDHNGGYLNKFPIGSPISHDIGLGLISQVGNLVECSFQHQRHICASGSGAVQEAFSCFNKFAGAFYFWFSRASNPNLFHKLSAVAGSSSRACRSHIKQVTSCLQHFPGLRFGSQVREEHAIQLLLARLASATVGRLWNEVEERHACNILMLAAASIVPPFENISPKMLAESMALGKDGGHIQEPVDQPYLDEQRPGCACVAVPRSILSEDATEPKTGIKFPTLLEDTSTPTAEPNSICKKLGPKYSSIPDAELKDHPDFYEDLLRENIDMTVRLVVSYNGLSISTVRDAFEKSLCFRLQKMNPNTDYDCLKTFGSYFTEDIPIPAGTKIDFRQTSDGRLITEKAFFDMYIGDPPVSVETKQDVAQNVAGLIRRC >Et_3B_029219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23076937:23080238:-1 gene:Et_3B_029219 transcript:Et_3B_029219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIENQGEVARAVEAPRIPKEARGLLHELAAAWADVADCRALEVVPLKGAMTNEVYQVRWLTGGMEAGEPRKEREVRKVLVRIYGDGVDLFFDREDEVRTFECMSRHGQGPRLLGRFPNGRVEEFIHARTLSAADLRDPEISALVASKLREFHNLDMPGPKSVLIWDRLRNWLKTAKNLCSPEEAKEFRLDSLEKEITSLENNFSGDYHGVGFCHNDLQYGNIMIDEETNMLTIIDYEYASFNPVAYDIANHFCEMAADYHSTEPHILDYSKYPDIDEQKRFVKTYLSTSGEEPDAEEVENLLQSIDKYTLASHLVWGLWGIISDHVNDIDFDYKEYARQRFEQYWQKKPIVLTS >Et_5B_043990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18594721:18602438:-1 gene:Et_5B_043990 transcript:Et_5B_043990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGATPVGIRLRRSIPVHDAYTVYLGDHRFAVLASARPSDARRWVSYTRWLHAVRLFHGRLIVGLGVQWTPTRRPLGGAPPVPATLQLCVGHRCLVFHLAQTDAVPEALRRFLADPRVTFVGSGSAHDRRMLWAHYGLHVARGRDLRAMAGMGNASMEEIADEILGYPGVSKCREVAMSAWHAPRLSMDQVEYAAVDAFLAFLLGVELCPGRAAGRQPEPARAAPPAHVRPPGPVPVRPAPPAHVRPPAPVPVRPAPPAHVRPPAPVPVRPAPPAHVRAPGPVPVRRAPSATRALVLKNPARSVQRGPVFVRRPDPILVHPAPPVQHGPFARAPGVNHAMPRVECSPRALCEVPTVTVGTGAANCNSNGADSSDGLTGSGTDVDTDYNSYEGDTATRGLPVRVYASDSEDEDFSSDGFEHVKFGAFTDEEEDEDDVNEDDQEDEEGNTVCTGLGSLSVDDNEEEGYMEEGEIGILIADCNRDEGPEDFTGDGVVADNVEEDDGGGYAYEYVGHCEAVLDDGEDVLGQDDCYEQVDYEGDLDDDDGLEEFYLLVAMVARNPTVPTRTVRNCRDPTVGMGVQWTPRFRAGADERPDTLQLCVGNRCLVFQIAQANGGRGVRVPMILRRFLADRRVTFAGRNVAADCRKLLDHHGLAVASTTELRRASGMGNASLEDMAAAGWPRRGRWDGPRLSNEQVRYACVDAYLSLRLGVHLRRRRNEEDEASSEASSCRAQCRLLCFGRSLALQKKNRPSLTGGKTPKLGSIPFSSNPHPKPHPAAAMDGATPVGIRLRRSIPEHDAYTVRLGDHSFAVLATARPSDVRRWVSTTRWLHAPRLHRGRLVAGLGVQWTPTFRTLDGRTLAPATLQLCVGHRCLVFHLAQAYAIPEALRRFLADQRVTFVGSGSAYDCRILWDHFGLRVARGRELRAMAGMGHASMEAMADRFLGYPGVSKSWNVGMSAWDAPRLSTDQVEYAAVDAYLSFRLGVHLCLDNDVPEYLGRREVVFDDGEEVLGEDDWYEQDYGYGCDMDDDGEEVLGEDDWYEQDYGYGCDMDDDGEEVIGEDDWYDEDYGYGCDMDDDGEEVLGEDDWYDEDYGYGCDMDDDRDEVCGEDDWYEQDYGCDMHVDGEESTRTVHVAGVPVQTTVTSRPGTARQWVRATSWRLRSGAGLTVGMGSAAGGQAPALRREPLAQAGGAVPDALCRFMADARVTFAGYDVDSDCQKLRARHGLDVPRTLDLCGKGGDDASVEEMAARLLGLKGVGKPEKVGASKWDVAKLSKKQVRYACADAYVSWRLGVHLRGGGGKKSGRVKSHAGGS >Et_1B_010385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10001458:10003402:1 gene:Et_1B_010385 transcript:Et_1B_010385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLADSASVFCSSNDSSGSEQSGLPRFLLGEQQVPASSAWSSAFQQISSLVGEETSQSFSFGAVGNGDLLHDACTPDGKKYPQLGNVPSAQLQDDAKCNTRKMLSFAPGQQLNTNFDNLQINQKEFSGLHHLNLSSLVSGQLSSFNATGAALSPKQSNEVSSGINGLTATFVTQSEVPNGNANAGNGAPKPRIRARRGQATDPHSIAERLRREKISDRMKNLQELVPNSNKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGATEAVVPLLTESQTESSGLLLSPKSGSRRAGGDMLGQSELRDGAVFEQEVVQLMENNMTTAMQYLQSKGLCLMPIALASAISDQNGTSSMAVPPENGGKGDVKKVPIVMNPFSIAKEMKSTA >Et_5B_045097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9533953:9534807:-1 gene:Et_5B_045097 transcript:Et_5B_045097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKLSSTSRTPGGYGSPEGSDLKRMERPMWTKTFKVELSLAAKFPMSAVINVLGGRESDSHLDVIRVLDIIPSQNSAKQPCYELNGQTLRKFCQFVPTFYQYHFTIDVRVAFWFDSPSSTTALAISSSWVVVLWVVQDITPAFDPLKVGFHLILVYLSTTMIVKPGPVIDFLLSNQNISDPHRIDWRKAKRALKNLRTKNT >Et_7B_053661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10958064:10963874:1 gene:Et_7B_053661 transcript:Et_7B_053661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACCCSSSSAPSASILVARPGAALRRRTSASAAAGGMAMTSARPLRASSAVLVSAAAPPHQTRRRRGGGAVVRAVFERFTERAVKAVVFSQREARGMGDEAVAPHHLLLGLVAEDRSPAGFLGSGLRVERAREACRAALGKPGPAGPATGLATDVPFANASKRVFEAAVEFSRNMGCNFISPEHIALGLFNLDDPTTSSVLKSLGADPSQLTKQALARVQGELAKDGREPVGLSSFKAREKSTPGSSKSAIVRYTNKRKEKSALAQFCVDLTMRASGGLIDPVIGRKEEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALKIANGDVPIFLVGKRILSLDVALLMAGAKERGELEARITSLLREVRKAGDVVLFIDEVHTLIGSGVAGRGNKGAGLDIANLLKPALAKGELQCIASTTLDEHRLHFEKDKALARRFQPVFVNEPSQEDAVKILLGLREKYETYHKCKYTLEGINAAVYLSARYIPDRHLPDKAIDLIDEAGSRARMESFKRKKEEQCSILSKSPDEYWQEIRAVQGMHAVALNNRVKYSLDEDDKENGVNDEALDDDNIDSASASPASVDEPVLVGSEEIARVAALWSGIPVQKLTADETKLLVGLDDELRKRVIGQDDAVVAISRAVKRSRVGLNDPDRPIATLLFCGPTGVGKTELTKALAASYFGSESAMIRLDMSEYMERHTLSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQVFEDGHLTDSQGRRVSFKNALIVMTSNVGSTSISKGRRTIGFSSQNDTGETTYAAMKSLVMEELKAFFRPELLNRMDEVVVFRPLEKTQMLAILNIILREVKGRLLALGIGLEISESMMNLISQQGYDRSYGARPLRRAVTQLVEDVISQAILSGQYKPGDTIVMDTDATGKPCLSRLDDQTVQLSDPAPSL >Et_3A_024439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20798846:20800995:-1 gene:Et_3A_024439 transcript:Et_3A_024439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFISRKVDVQMDDGLHGGEQAAAREALPALLIQVPSQSIAGFDCVGRDATVFLNETDKEEMEQGSGDNKDIVISIPAAPESTPRAAPPPPPSGRAYNDDAYVPYSISLSMPASPSGFHLSQFRASAAAARRDEARVAPAAGYDVHRVVAEEQQQQQHADQAHSPRLLLKQTRFHSQPILHPASSKSVDEARRCDSIRDKRFDPFKTFSGRLERQLSNLRGRPQEPSDGVSPDSTISEETDQVPDANRYFDALEGPELDTLRETEVPVLPKDERWPFLLRFPISAFGMCLGVSSQAILWKTLSSAPPTAFLHVSPLVSHVLWYAALALMGLVSAIYLLKVVFYFEAVRREFYHPIRANFFFAPWIACLFLVQGSPWRVAEMHHALWYALMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGAKMGLREGPIFFFAVGLAHYMVLFVTLYQRLPTNMTLPKELHPVFFLFVAAPSVASMAWAKINGQFDNGARIAYFIALFLYMSLAVRINFFRGFRFSLAWWAYTFPMTGASIATITYATEVTNVLTRALSIGLSGIATVTVAGLLVTTVFHAFVLRDLFPNDVSIAITRRKPKFSKILAHFRSSSSDLKEIVLSLHKPPQSDSGETNVSVTKSQAEP >Et_6B_048301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10831113:10832306:1 gene:Et_6B_048301 transcript:Et_6B_048301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQFGAVSNTWVDAGATLDEVNHAVGTASPGFAFPGGACATVGVSGFLSGGGIGLMMRKFGVGGDNVVDARVVTASGELLDRAAMREDLFWAIRGGGGGESFGVVVAWRLKLSRVPATVTVVNIWRSLDQGAADLLAKWEATILKPSLTDLTIRVVMQGNNTLFQTLYLGRCSKLIDTMNAVFPELGTTAADCREMSWLQAMAFANYRDLDIGRNVVGRDGVSTYRSGRVWGQRYFMGNFRKLAAVKARVDPNDYFRNEQSIPPLR >Et_2A_016452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24973530:24975757:1 gene:Et_2A_016452 transcript:Et_2A_016452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DRPVVVSNSDHPGLQVSLAAEFSTSLAPWRDLPHRAQRLRSAALHGKDPPPPQRRSRAPGVARGVGDPHPAAGEGAPPVPRDLPLLAPPSSSPTTLASRRSRSSLSTASSPLAILYKRLPAAQARHSRAVVDRALDTLIVLDTATESFRTMPSPLSYPVLPEEVVVWEILVRLPAKPLLRCRAVCRSWRRHTSEAAFLLAHHRRQPSLPLVSFRGQLPAAERPLAFLYRDLPAAARGSLAVVDAALDTFDLRRFPSQRQPVLRFNDYNYYRDFSVHGSCDGLLLLQLSDDNHFYLCNPATRQWIELPALTGADGVVLYRHVSSGEYRVIYWKGRYTEDRKVVYHVLTVGSSAAPRRVDASTASAKRFVEDGWFHDSERPGVLLHGCLHWALHGSREKVLVVFDTVAESFSTMRSPMAADWEMLQLLQMDATLAVSWVDKSQTMMKLWVLHDHEAETWTLMRRVIFLLVEMRSIVKDCCRFYATVASGDGDVLLHSPLSFHLFHSDKKGELMQNFCWRRVSPRPAGHCFRESLVRHEFFERQPGARVRRLPRFFRGL >Et_5A_042051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5685235:5686980:1 gene:Et_5A_042051 transcript:Et_5A_042051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLRRCLPSLGRALLTPAPARMLSSGASDALVEIKPGEIGMVSGIPEEHLRRRVVIYSPARTASQQGSGKVGRWKINFVSTQKWENPLMGWTSTGDPYANVGEAGLTFDSAESAKAFAEKHGWNYVVGLLSLSCSRH >Et_4A_033875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27518363:27521902:-1 gene:Et_4A_033875 transcript:Et_4A_033875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPESKEKEGKSPEELLCSAAKSGDEEAVVRLLAAGADATYFDSAGLTPLMHAAEGGHAAVARLLLEAGAPWNALSPSGLSAGDITSDPATYDLILDHALRCELLLGTVARRQAPSGNTSDGASAPNYLDSRVSFSEDKVMDTESKAVMMAWERPLMEAHARAVCTVTGGKVLNIGFGMGLVDEAIQRYDPEEHTIVEAHPEVYARMLKLGWGEKKNVRIVFGRWQDVMPQLGSYDGIFFDTYGEYYEDMREFHQHLPKLLKPGGIYSYFNGLCGDNAFFHVVYCQLVALELANLGYSTQFIPLPVKDCLAADVWEGVKQKYWQLDTYYLPVCQSESESE >Et_4B_037232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1709697:1712473:1 gene:Et_4B_037232 transcript:Et_4B_037232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVMDYFKSCWGPRSRAGHRGKGSDVAGRQDGLLWYKDAGQLITGEFSMAVVQANQLLEDQSQVESGPLSLAELGPQGTFVGVYDGHGGPETSRFINDHLFNHLRRYATEHKCMSADVIRKAFQATEDGFLSLVSKEWPVKPQIAAVGSCCLVGVICAGTLYVANLGDSRAVLGRLVKATGEVVAMQLSSEHNACYEEVRQELQSSHPDDPHIVVLKHNVWRVKGLIQISRSIGDVYLKRPEYNREPLHSKFRLRETFQRPILSSEPQITEHQIQPNDQFVIFASDGLWEHLSNQEAVDLVQSSPRNGIARRLVKTAMQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNAISKAAWSKSPSVSLRGGGVSVPAKSLAPFSAPAQLNSTY >Et_1B_014055.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2945769:2946143:-1 gene:Et_1B_014055 transcript:Et_1B_014055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWRERQPTGQNRTHLAAATLSCSVLECAIEHPSSCRLLLGLAPPPRVAFSSFAQKLVAAVLLCSLLACRNAATASASPTTRSCRISSDTRPVRRASSWKWSPGLAQPDAAAADEQPLDSSEE >Et_3B_030407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3543644:3546228:-1 gene:Et_3B_030407 transcript:Et_3B_030407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGVAPSGYKNSSSSSMGVEKLPDQMNELKIRDDKEVEATIINGKGTETGHIIVTTTGGKNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHYNKMNQRMPLIYVKLYMYQICRALAYIHSSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRMPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNTRLPNGRFLPPLFNFKHHELKGVPADIVAKLIPEHARKQCPYVGL >Et_6B_049820.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1449081:1450634:1 gene:Et_6B_049820 transcript:Et_6B_049820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGKGDPAAAVVIIIAALCVASAALLVAGAMGADDEVTTQLQNGFTATHAADAASPFEPVLYAPNRAFAFGFLRVGPASLDLAVVHLPSSFPLWRATPARMGDWSRPATLTFDSNLVLADPEHGELWRTLNTIGDTVALLNSSNLVIRRYAKPLPAWQSFEHPSDTLVTGQNFTASSPPLISGNRRFALRLGRTFLALTMEFYGGGRATAPTYWRHTALEEAQPSENATQTAPVYGRLDARGFFGLYLDGGAEERVDVLSFDTFTQNLTTTGVPFRRMTVDDDGNLRAYYWTDESKAWTPEYKAIAERCELPTSCGAYGLCVPGEAQCQCLTNTTTSTATTSSPCGVEETSDLCSSGDLDSLPFEAVRRTRVSVAYKEDLPFATNYKTAAECEAACAGNCSCWGALFNGASGYCYLIDFPLETLVYDADDRKSGYFKVRKPQSTTTTRRAMSPGAKAATAVLSLVLVSLAAAGAYTGYRRWVRKRRRPEGMEQELAPGRPYKDLKSMGSTNNSFKS >Et_9A_062413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22635558:22638865:-1 gene:Et_9A_062413 transcript:Et_9A_062413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESVRLLPLTSGGRNEKCGGGGARWWSDMAREGGKVGRVALPMAAVSLSQYAVQVASNMMVGHLPGVLPLSASAIATSLATVSGFSLLTGMASGLETLCGQAYGAKHYDSLGLQTYRAVVTLLIVSIPVSLLWAFMGKLLILIGQDPLISKEAGRYIAWLIPGLFAYAISQPLTKFLQSQSLIFPMLWSSIATLLLHIPLCWLMVFKTSLGFIGASLAISLSYWLNVIMLAAYIGYSNTCKETRSPPTIEAFKGIGLFLRLALPSALMLCFEWWSFEVIILVSGLLPSPELQTSVLSICLTTISLLYTIPYGLGAAVSTRVANELGAGNPEGARSAVQVVMCIAVLEATLATSALLASQHILGYAYSNDKGVVAYVNAIVPFMCISVGADSLQGVLSGIARGCGWQHLGAYVNLGSFYLVGIPVALFLGFVLKMEGKGLWMGISCGSVVQFFLLALITFFSNWQKMSEEARESVFSENLSDSDKETLVSEGTSHI >Et_4A_032402.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8997393:8997509:-1 gene:Et_4A_032402 transcript:Et_4A_032402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCKAEGKLWIAAGAKRLGKIFSTSEQVEVVNVHHVM >Et_6B_048821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14035188:14039245:1 gene:Et_6B_048821 transcript:Et_6B_048821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAARLLHAPALLAATVPTSSARPSRLRASGPRRGAGVRRRALAPEKAPPPVAVAEDEAGAGGGEGDTYDAIVIGSGIGGLVAATQLAAKGARVLVLEKYLIPGGSSGYYCRDGFTFDVGSSVMFGFSDKGNLNLITKALEAVGHKMEVIPDPSTVHFHLPGDLSVLVHREYEDFISELISKFPHEKEGILKFYGTCWKIFNSLNSLELKSLEEPLYLFGQFFQKPLECLTLAYYLPQNAGDIARKFIKDQKLLSFIDAECFIVSTVNALQTPMINASMVLCDRHFGGINYPVGGVGGIATSLADGLVDKGSEIRYKANVTNVILRNGKAVGVRLSNGKEFFAKTVISNATRWDTFGKLLKDKELPVEEKNFQKNYVKAPSFLSIHMGVKASVLPAGTDCHHFVLEDDWNNLEKPYGSIFLSIPTVLDPSLAPEGHHILHIFTTAGIEDWEGLSRKEYEQKKEAVSSEIIRRLEKKLFPGLQDSIVLKEVGSPKTHRRFLARNDGTYGPMPRGKPKGLLAMPFNTTSIDGLYCVGDSCFPGQGVIAVAFSGIMCAHRVAADIGLEQKSPVLDAGLLGLLRWFRTLA >Et_4A_035223.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:13034172:13034975:-1 gene:Et_4A_035223 transcript:Et_4A_035223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARETHLGGGAASPTKPKNPDADDAAAAAAAAVTVPAAAKGGGGGHRKLSLVPLIFLIFFEVAGGPYGAEPAVQSAGPLYALLGFLIFPFIWAIPEALVTAELSTAMPGNGGFVLWADRAFGPLSGSLMGTWKYVSGAINGAAFPALCADYLARVVPAVAGGGARVATIVTFNVALSVLNYTGLSVVGWTAVALGLASLSPFLLMTGIALPKIRPHRWRGVAAEKDWKLFFNTLFWNLNYWTACPPWPARWSGPGRRCPRRSSPPCP >Et_5A_040506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26851107:26853051:-1 gene:Et_5A_040506 transcript:Et_5A_040506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KQPPCTSFIHTYQTATNLPPTRSIKQQRAGRAAMVFDAGSPSAPEGRNPLRVILTRRFARQVITGRWFTVFASLLIMASSGATYIFGSYSGLLKSSLGYSQHTLNTVSFFKDLGANLGVFSGLINEVTPPWVVLAAGAAMNLAGYLMAYLAVSGRTSKPPVWLVCLYFFVGANSQAFANTGALVTCVSNFPETRGVVIGILKGFVGLSGAVYTQLYYAFYGGEDPEALVLLVAWLPAAVSVLFVHTVRYMPRPTRRNGQETSSDPFFCFLYLSIALACFLLVMIVVQQQVALSRSAHALAAIPLLVLLFMPLGVVVKQEYKISLSRERQQLASLETPTIVTVVDNDNGNDSPPTSSPSSCCNWNWMRSTFSPPARGEDYSIPQALVSIDMLLLFLATICGVGGTLTAIDNMGQIGQSLGYPPKSINTFVSLISIWNYAGRVAAGYASEAVLARYRLPRPLLLTLVLVLSCAGHLLIALGKPANSLYAASVITGFCFGAQWPLVFAVISEVFGLKRYSTLYNFGGMASPVGSYILNVRVAGRLYDAEAQRQKATGGVCLGVDCYRRAFLVITAATVFGALVSLVLVCRTWRFYRGDIYARFRHGDEATNGRLPQPLEQLEQLEKEAEMAAANGSKG >Et_5A_041454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23011396:23016036:-1 gene:Et_5A_041454 transcript:Et_5A_041454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSMSPAQYTHSKEEDAFSEVKNGDASHLIVDEEKQLLEPGKEQQADDFVDASSSIPIDLEAKNGDAFLITESMKKEEEQLEEARVKAEEEEEARKREEAARLAFDPAENYSKLDALLTKTQLFSEFLLEKMDKIADEENVETKEPQIEEKKGRGRKRKSNAVPQYNDKKAKTAVAAMLTRSREDRLADDCALSEEERWQKEQANLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGMNGPFMIIAPLSTLSNWVNEISRFTPSLSSIIYHGDKVARAEIRRKFMPKTPGPDFPIVVTSYEMAMSDAKFLAHYKWAYVVVDEGHRLKNSKCKLLREIKRIPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSGKGNEELQEETEEKRRVHVISKLHAILRPFLLRRMKEDVEQMLPRKKEIIIYANMTEHQKEIQDHLVKKTFDNYIEESDIVLKRPGIKAKLHNLLIQLRKNCNHPDLLESPYESTGLYPPVEKLLEQCGKFQLFDRLLKLLLEQKHKVLIFSQWTKVLDIIEYYLDSKGLEVCRIDGGVKLDDRRKQIAEFNDLKSSFNVFLLSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEVPLDYLQIRGLAILCWMGLLTVSWLQGRIIKRAFGKLKLEHVVIGKGQFEQERAKPNALDEGELLALLRDEQDEEDRMIQTDISDEDLLKLMDRSDLTGPPGAANAAPLVPLKGPGWEVVVPTKSGGGMLSSLTS >Et_2B_020750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23237084:23239725:1 gene:Et_2B_020750 transcript:Et_2B_020750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDRGDPARRRLVSPPGGQGQGQPPRAPTDLFSQFQRRFPPSLYLPPMAPRLPSAASGSGSAFSYYQGAPAPAPAAGAGGSNLARSLSQPPFLSTDHLSPLPFAGLAAGTGAEQGTPALPLHGAGHRRSRSDFPFGFSRPNMSLPAPPTLDAAALDGVFGPYRVMGALGPAVNCAEERHDNVGGPRSWSPVDSSENEAENWATAGFQAGPSNPRHCRSLSVDSFMMSNINLGAMGQESPRLPPPSPGEGTSGGLLRTGSGPSSGPSAFFATDISNGEFSEAERKKIMGNDRLAEMALTDPKRVKRILANRVSAAKSKERKVKYMGELERRARVLQIETSTLAAKVAREQRLKHAIGENSEPSAPNGLHQHMSYQMISQQLLQLQNQPSEPQTLQEDPQESDPLKAQQKHWNH >Et_9A_061913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17764097:17764712:-1 gene:Et_9A_061913 transcript:Et_9A_061913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRTWTSWYAQNEHPPIPSRDCPLGYEPTVFCNCISVRKAALWISWSDEDPGRRYVQCAKASEGGCGFIGWYKGPHDPFVQTLHIDLRDAVRTLRSQKAVLRQAVNEFIEDVDQKENELAEVKAEVARLDPIEGEKEYLEGKVKDLQLEKMVMRAVGGFVASAVAYLLFR >Et_2B_022834.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6332583:6333569:1 gene:Et_2B_022834 transcript:Et_2B_022834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLRFLSLKGTDIKAIPPKVGDLEHLQTLDVRQTDLKDLPNTVKKLEKLEHLLFSGKGESWSGWMLPQGINKMKALRQVNKAAVIYDPKVAEEIGELDQLQELAIYVDTRKEMGPEVVRNLASSLSKMFSLRWLDIGNFGCDKWPFNPIMKFLHDVESPSRLLRYLKICGCIDRLPDWLESLTDLVEFDIGWTYLNGVQLFDVICKLPNLKRVYLGPYFIRKGENMVARSSQSFPELKELTLGYSPEVPPVYIFEQGSMPKLETLVVYFGNQPKEIAGIEHLTNLKEVQFNGWRDKIKHALEELEELNKKLDVSEQITVRVRYEDDM >Et_6A_047615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8369340:8376231:1 gene:Et_6A_047615 transcript:Et_6A_047615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPVSNMDKVIQAGFAIKDAVEKVVQHQEECRDIEKQVVRVMTELSLLKQTEISESPEMATALEKFEESLRDALKLITVCQKRNTSRPLFPTARDLRQVREDISHKSLKVWLAIPTLHTTTNFLANDQGRVARHPRPQLQDAGVLEDKHSTHSPENSRSKGDHGGTNAPAASEAPIASFPEGTVSKVEIANEIVGILHDGQVVSIKKLAILQDEDPKTYLCNELNIRSRLDHKNIVKLLGYYYGYTMQEMDLEGTKVKAEQVDSYLVEEYMPNLSLLDIINDGMSLRRDIEYRSKSDWSTRFRIIQDIASAVHYLYQQGIVHRDLVPTNILLDSDLNPKISDFGVATKLPHSDECIYGRYTISTVGYIAPEVLYHQISAKVDVFSFGRIILDIVGSIRGTDGPQSPSSVAWAWKPSTAGQMDVKLFNPTLVKESQVQEIERCIQIGVRCMQPKEIRPNMGQVLDMLDGEKDTINQRKGLIRRLLCCRQ >Et_1B_011873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26736274:26738894:-1 gene:Et_1B_011873 transcript:Et_1B_011873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAASPASARLLLLPPPPSTPRHPTRAAARRRCGSSVRLAAARREASPPSPADAVDCVGTGSDDGKGEEEEDVSTAALAVTGGDLLEWASLVSPFFFWGTAMVAMKGVIPRTGPFFVAALRLLPAGALLVAFAAARGRKQPSGWEAWFAIAAFGLVDAACFQGFLAEGLQKTSAGLGSVIIDSQPLTVAVLASLFFGESIGAIGVGGLVLGVVGLLLLEVPALSVDGNDTTIWGSGEWWMFLSAQSMAVGTIMVRWVSKYSDPVMATGWHMIIGGLPLLVISVLNHDPALNGHLQDLTWSDVLALAYTSIFGSAVSYGVYFYNATRGSLTTLSSLTFLTPMFASIFGYLYLGESFSSVQIGGALLTLVAIYMVNYKSIVAEK >Et_1A_006940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29160616:29161859:-1 gene:Et_1A_006940 transcript:Et_1A_006940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEAQPTCSHRGDHVVDIQLQPVVNVSGDDAERLENVQCHSVNGGSHNPTSNGRADSHIVILEHVDDTSNCCVVCTEPLKWVAIGRCGHRVVCPKCMVRIRFFNRNKRCCVCKTYCFKVVVTKPDSDGALTSILPLFAFWEGRCTKEAKNACKGLLNPIYKPMLWFIWWHFSCLCVGAGLGCGLVNKTSNMLTRVRAYGLGVSITLFVGAFIWTLMSKCLQDPLEEEYIAKLKGYTTRSPSRLRNITFIKKKEIHTLILTYLTV >Et_3B_029314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23929212:23934436:-1 gene:Et_3B_029314 transcript:Et_3B_029314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRIAGSHLRSLKVPPARPHPIPPQTPSRRHPTKGTQHGSSRLASTSVVKQSSAKTKVTTLPNGVKIASETSPGAAASVGLYIDCGSIYETPESSGASHLLERMAFKSTTNRSHLRLVREIEAIGGNVSASASREQMCYTYDAFKSYVPEMVEVLIDSVRNPAFFDWEVKEQLEKIKSEIAEVSDDPQGLVLEALHSSGYSGALAKPLVAPASAVHRLNSSILEEFIAENYTAPRMVLAGLGVEHDELVSIAEPLLADLPGVKHLEEPKSVYVGGDYRCQADSAKTHVSIAFEVPGGWSDEKTAITTTILQMLMGGGASFSAGGPGKGMHSKLYLRILNNYQQIESFYAFGSIYNHTGLFGIHAITSPDFVSKAVDLAAGELLEVATPGKVTQEQLDRAKQATKSAVLMNLESRAIASEDIGRQILTYGERKPIESFLKDLEEVTLNDISSVAKKIISSPLTMASWGDVIHVPSYESVSRKFHSK >Et_1B_012297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30604709:30623298:1 gene:Et_1B_012297 transcript:Et_1B_012297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAPLALLVLTLLLAVTQEAFASAGDAHPGLEERGGRRIIDITHAYVPDLPAFAPGAATGPLVRLKVSMANGSEYNLSELQMECHMGTHVDAPGHINQDHFAAGRDVDSLDLDVLNGPALLVDVPRNTNITAEAMKSLGIPKGVRRVLFRTLNTDRKLMWKKEGDMSYVGFTEDGAQWLVDNTDIKLVGVDYLSVAAFDYLISAHVVFFKNPDIIPVEGLKLDDVEAGIYMLHCLPLRLVGAEGSPASKLSTMSLLLMLLLGLTSVLVARGSDSAAHPAYAGAADTAAVDEAEVRLEECGSGRIVDITHAFRPELLGPGPDGVGPVTRLKRSMANGSRSNASELRMVVHAGTHVDAPGHMVQEHFEAGLDVDKLDLDVLNAKLAETECLLTAGSEQQMQFCRNVIRRVRPALLIDVPRDTNITAQVMKSLNIPQGIRRVLFRTLNTDRLLNAKKMIFRKLMWTKVIDMSFVGFTEDGAQWLVDNTDIKLVGVDYVSVAAFDHMISAHLVFFNNPGIIPIEGLKLDHVKAGLYMLHCLPLRLVGSEGSPIRCIIKLSIMSPVLVMLLLGVVTMAPCVHVARGSDSVAHPAYAIAADDSCGPALLIDVPRDTNITAYAAAVDSAGVRLEEHGDGRIVDITHAYRPELPAPGRDGLGPVTRLTESMANGSISNVSELRMVVHAGTHVDAPGHMVQEHFEAGLDVDKLDLDVLNGPALLIDVPRDTNITEDGAQWLVDNTDIKLALTIYQLQHDHLISAHLVFLNSPGIIPIEGLKLDHVKAGLYMLHCLPLRLLGCDGSPISDEETNKVEERWVTLPRILWGEMGTKKRCAWSGSSVRNRLSRMFWKK >Et_1B_012555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33154248:33158577:1 gene:Et_1B_012555 transcript:Et_1B_012555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHRRKFAGDESSSMNITSGDLKIVNPKPSLLVFLLLIPILVASDPESAWPNNNDELEAPSTIKQDWGNPTALRSWKNHSSIPAPTDSSNSCSWVGVICNNGQVTALSFQNFEIANPIPPSICSLKNLSYIDLSYNNLTHEFPTELYSCSDLQYLDLSNNDFSGDLPADINKLSPGMRHLNLSSNSFTGSVPLGIVGFSKLKSLVLDTNSFNGSYPSAAIGNLTELETLTLASNPFAPGPIPKEFSMLTKLKMLWLGGMNLTGTIPDSLSALTELTLLALSQNKLHGEIPTWVWNHQKLQYMYLYANSFTGGISSNITTISMLELDLSSNNLTEPIPEAIGNMKNLTLLFLYFNNITGPVPKSVGLLPNLEDLRIFHNKLSEPLPPELGKHSPLGNLEWQIPDTLCFNKMLYDIVLFNNNFTGVFPAILGECTTVNNIMVHNNHFTGDFPEQVWSVFPDLTNVMLQNNDFTGTLPSEISSNISRIEIGNNRFFGAIPASATGLHVFNVENNWFSHGLPANMSKFSNLTDLTSKLLLAVLIPSFVLLAIIVIVVWLVVRRKKQQRDVTSWKMTPFRAVNFTELMGKMLSLAPVQLRQEGTVQLLLRNAAALNTIMRTCTPSAAASIRRRQCSIADNKNAWTYGAMVSVVLAQHAWGRAEGAVSVRHHDRVVYVGRAERRQLDAPGCSRTGSAGTQGGRAQRAALGLHGGPHRQDAHGADGHDADDYMIVADMHLRQS >Et_10B_002548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15137501:15139336:-1 gene:Et_10B_002548 transcript:Et_10B_002548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGSKAGGGGGRRRPRYRLETRALSYVLPSPFRWRWGGAGGGDKGVERLLLRGVTCEAPPGELVAIVGPSGAGKTTLLSLLAGSADPGRVAAGEVLVNGRPMDAARFRRVSGYVPQDDALFPALTVEESLVYSARLRLRGGGGRGAAEARARELMAELGLSHVAGSRVATVSGGERRRVSIGVDLVHDPAVLLLDEPTSGLDSGSALHIVKMLRDMAAARGKTVVLTIHQPGFRILELLDRVVLLADGVVRHHGSLAFLEARLAASGHAIPAHVNVLEYAMEAIDCLKPDVLVAEVPATRRANHDDDDATPRAAAAASYANSPAAEVRVLASRFAKTVLRTPQLFAARMAQSALAGAFLGSIFLGATDLQSRLGFFAFNLTYVLTSTTEALPVFLRERRVLERETSRGAYRVASYVASNAAVFTPFLLAAALLYAAPVYWLVGLAPDPARFAYFALVVWLAMLTANSFTACLSAVAPNYIVGNSLVAGLVGCFFLFSGYFVASRNIPRYWVFMHYISLFKYPFEALVVNEYGGARGARECLAEAPGGGGLCVLDGAALLRQQGMREGMRWSNLGVMLGFVVGYRLLCFAFLWFRCHRIRR >Et_2A_017858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7080641:7080959:-1 gene:Et_2A_017858 transcript:Et_2A_017858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFWREVLAVFQGHRVPCRLKRGKAWRELGIMTRVILETMLFQGSHKRKFYFIKQMKIVPASLNSCMVSICPRTCNKVDDCNWIISVKRMTTQQQ >Et_6A_047942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2568437:2571090:1 gene:Et_6A_047942 transcript:Et_6A_047942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWAIGDLGQTGWTASTLKHIAADDYDVLLLPGDLSYADYIQSRWDKFGRLVEPLASARPWMVTHGNHEVEKMPVVVRTPFRAYNARWRMPYDDASAPSPPSGSNLFYSFDVAGGAVHVVMLGSYTDFAAGSAQHDWLRRDLAAVDRGRTAFVVALVHAPWYSSNEAHHGEGDAMRGAMEELLRGARVDAVFAGHVHAYERFKRVYAGEEHLCAPVYVTIGDGGNREGLAGKYVDPQPAISAFLEASFGHGRLEVVNATHALWAWHRNDNDEPVVADQIKI >Et_5A_041638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25361899:25363482:1 gene:Et_5A_041638 transcript:Et_5A_041638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFARAKAVRLKSHHGKFLYADEDEERVTQDRDGASPNARWTVEPVPPGAVRLRSRYGRYLAASNEPFLRGVAGHKVRQTAPARRPDSSVEWEPVRVDGGRARLRTRYGNFLRANGGLPPWRNSVTHDEPPRVNTQDVLWDVEIVEVLTPAAPTPELSRPSKPCAATKPPELSRPSKSCAATPPPEKEAPALSKLESSNSFSVPLHKVEGRAIHYQIADDLGNNVEDDKEGHSFTFNGSSLEELTRKLQELTGLDDVIICTRSPINGKLIPLRLQLPPNNAPMRIVLVRESTEGQLIIN >Et_10B_002649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:325024:325479:-1 gene:Et_10B_002649 transcript:Et_10B_002649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEEAVKTAATVVVAWELPIKTAADEDSDALPESPRKPAKPPARRLSVPPPPGRPSMHGARSFSRGRAVRPEDDPFLAAYLACTRSGSGGAGGGAGEPKGRRRFTWAGLGLSCKGSGAAVEQSMVKLAKLPELDPKEA >Et_9B_064604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16943359:16948700:1 gene:Et_9B_064604 transcript:Et_9B_064604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRKLAAFLFLLALASLPLLAAAECGCEPEDEEEHDKKGALKLKIIAIFCILAASAIGCAIPSLGRRFPALSPDTDLFFVVKAFAAGVILATAFVHILPEAFDKLGSPCLDGPWPKFPFTGLITMLAVIATLVVDTIATGYFKRAHAKTAGAVADDVERSDHAHGGHGHAHGMSSVIAASSAANADDGAQLIRHRIISQVLELGIIVHSVIIGMSVGASESPGTIRPLVAALTFHQFFEGIGLGGCIVQAKFRLRSVLTMGLFFSLTTPVGVVIGIAISSTYDENSSNALITEGVLGAAAAGILIYMALVDLLAEDFMNTRVQSNGKLQLAAALCLLLAVAVSPPALAAAECECSDDAGGRDKARALQLKIVAIFCILAGGAAGAAVPSLGGRFPALRPDTDLFRAVKAFAGGVILATGLVHILPAAFDALGSPCLVGGPWHDFPFAGAVAMLAAIATLVVDTVATGYFRRTVARKAAAVVVDEPPEDDGHRAGDLEDAHHGHAHGMSVLAPAPTAAGDDLVRHRVVSQVLELGVVVHSLIIGMSLGASDFPSTVRPLVPALTFHQLFEGIGLGGCIVQAKFRLKSVVAMALFFSLTTPVGVAIGIAISSVYDETSPRALVVQGLLEAAAAGILVYMALVDILAEEFMNARVQSRARLQLLLNASLLLGAGMMSMLAIWA >Et_2B_022920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8111280:8114011:-1 gene:Et_2B_022920 transcript:Et_2B_022920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWVLLGRFAALGADDEEAEEHAPDFSFVLRAPPRVTFLTAARRVHPDPGGYIDPEPWILAAGRAGALFRFALGPGHGADVDRVLVVARNFVRAAAGDPRAATTASAELIPRRAAPMPVTYGPGRVGMVPLNDGHAYLIAELSRTDITSAKLLVFYSGGDEWVERDMAIPLPAQGREWNLVGVISHGNHLWWVDPSWALLSCSPFDPAPDLLVHYLPPDSLLENFHAGLPNERCVCKSDTMLLFAEITRDRGSEAAAVSVWRRIPDPFGSGETAAWDKLYEMSFAEIWSDDSYKATQLPARVPGIVLVSPSDFRLVYFVLERRTFGVRVPDRQVVEFVADPAPPPQWVSSISVLPWILPPTISDVRA >Et_10A_001112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21180252:21184306:-1 gene:Et_10A_001112 transcript:Et_10A_001112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTQMIFLSVHGIILLAFCSFSLPSFCASDSQLLPNKPLSFGTKLISDDGTYALGFFSPSNSSKKLYYLGIWYNNIPKDNVVWVANRATPITDPSSTTLALTNGSNLVLSNTNGPVLWMTNIDATTNFSSETASGEATLDNSGNFILRTSNGIILWKSFDYPTDTLLPGMNLRLTHKSHAIQRLISWNDPQDPSPGSFSYGADPKEFLQRFSWNGSRPYWRSPVWNNYLVIGSYLDMINSTIYMTIHRINDEISVSYGITGGSSTVKIKMDYSGKVKIQVWNNSNIPEWNILQTEPNNECSTYGYCGPFGYCDRTEPIATCKCPDGFEPIDNKDRTNDKFSGGCHRKDALRCGQENSFLTLTAMKIPDMFLYVKNRGFDECRAECTSNCSCTAFAYANMSTKTINGDDTRCLLWMGDLIDTEKLIGQGEDLYIRVNKLRDKKSRNNLLKITLPVVLSLLVLLCICLVWICNFQAKQRNKSTWKKLMSGALSTSHELGDVTFPFFSYHKIMLATNNFCSSNMLGHGGFGTVYKGTLDCGKTIAAKRLSKNSTRKPLLDWPTRFKIMVGIARGLLYLHQDSWLKIIHRDLKASNILLDEEMSPKISDFGMARIFDGNQQQGNTNRVVGTYGYMSPEYALEGVFSVKSDVYSFGVLILEIVSGSKISSMHTSEDFPNLIAFAWSLWKDGNMKNFVDSSITESCSFDEASQCIHVGLLCVQDNPNARPFMSSVVSILENGCTSLPPPKRPIYFAERNYETYESPETIVNSTYTITELEGR >Et_4B_039546.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21282097:21282750:1 gene:Et_4B_039546 transcript:Et_4B_039546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSVLLLVLAAAAASGPGDAAEARGYQPEALATSVALFCATRLPTVPCCQTLARSARAGCLRRLAAAPPLVAASLNATDLLRLYAACPAPAYGGAAAPALAPAPRYDGGSGAGDATAANAAAVGAADGPSCAPGTLALQMGLFCDRSGSKAPPGWACCEAVVAAVGMGAGGDPSCFCRAAQGSGLSVRGVVGIYAACGGLRTGLAGHLAKTCPKA >Et_7A_051875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25931083:25932336:-1 gene:Et_7A_051875 transcript:Et_7A_051875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALADLAVREPELLSQLKKNLELEVTKDEVVQLKLELHLAVVNIEEDAVNKLEQKLLDILKFLQKNQSWFFLSGYSE >Et_2B_022035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8355044:8356648:1 gene:Et_2B_022035 transcript:Et_2B_022035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKQRPGGARKDEVVTREYTVNLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRIDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPPEGLKGLGTKIVEDDE >Et_6B_049631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7583715:7590074:1 gene:Et_6B_049631 transcript:Et_6B_049631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHCYTHSALLNPGDISFRHLPPRYVQLMPIGATLTKHHMPHPIWTLHSQAHTGPTRQRPNGPKGTPYTTRPIRQSRGAHTPLPLPPLCLSPAPLRAASRAEAAVETCQWQVSPAPASDGRRSSTWRPPLTGFLSPAAARRPAAGKPRSGGGGGAKKNAWLAADGSKRWGEAFFLLYTPFWLTLCLGIVVPFKLYERFTELEYLILGLVSTVPAFLIPLFLVGKADSVRSLTERYWVKANIWIIIFSYVGNYFWTHYFFTVLGASYTFPSWRMNNVPHTTFLLTHACFLFYHMASNMTLRRLRHSTANLPQSIRWLFDAAWVLALSYFIAYLETLAIANFPYYEFIDRDVMYKVGSLFYAIYFIVSFPMFSRIDEKDEKWNLSRVAVDALGAAMLVTIILDLWRIFLGPIVPIPESRRCGQPGLAWFQVQNESI >Et_3A_026662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12651106:12651950:1 gene:Et_3A_026662 transcript:Et_3A_026662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYSVLELNLISASDLKKVTLFSRMRVYAVASISGGDPRMPTHCTQPDRHGGCSPAWNATFHFPIPSGADTRGLALHVLLRAERRVPVLGGGRDAARSSSPSTTCSWRPPTPRTPEAWWTRRGQPRTRFIDVPAAGFPSNKEGQYAKYVPDSENAATDKVAAPAPAMAYPPPPYNAGYGSQPYPYAAAPPPAYGYNAAPPPAMYGYAAPPQPARQHGGGGMGMGLGLGLLGGSVGGMMIGDMLGDAKVDAAYDAGFNDGFEF >Et_2B_020368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19457130:19462278:1 gene:Et_2B_020368 transcript:Et_2B_020368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAAFSPRSLLPASLLLLLCKSSLIPHSLAQQQPSPQPQPTPPPSFAFGWLGDKSPATFQAGDTATIMIKALDLPPPGAIIRPSSFYFSVSVNGKKGNGTVVTDVAAHVDGGDPSSWNITFVPLRAGDFVALVAEERFAVAGESSLQFTVAAAGVHPATSAVSWIAGGEQVAGARSHLAVVARDAFGNAIPRGADVPLDGFFAVSGSYVNGSSVELIDLHYNGWTDDGRLSFDFGGYTLAGDILVHVRGNNTEFRGSPLRLTVKPGQMDVSKCDCYWKHGTNHFQVFSKVEIFLYQRDTFGNIFPEIHPFDARVDRVGKNATKYYFPVTDLSMEAVADGVQLLTFDVPTGEYELTVFDAKSNQTVSNKAYKFDVFLGYCNGSNSFANGSGLAHSVAGSTSSFTVFLEDEYGSPSPVETAILQVKILSKSGTYVYPVVSPIRDPNGAINASLSNVLIFDPRVKRSVQNQVTVRLVDSFMNPVVSLESKLKFQLTSATAGDSKNTKNFVPGEFVENRDGSYTARYVANYLGSFGVCVQFEDKELAPCPFEVHVLEDKYFPDAKNVSVSVWEDESVSFDVLSNNYIAGRKVNSSSPLHGSVLQFSQIFRYTPFEGFFGNDSFSYTVSDENNSALTGTVFISVRCRPPQFISLPQKLHVTEDIMGPKFDGFPGIKLVYSDITENISVTVKAQSGNVFLAPMPEKLQQPSDYELSTSRGSNNDLILEGTVEAVNDALQFLQYIGNEDFYGNDVILLYAANRNGIEDAKIPIIVEPINDPPMILAPKSIFLGGKESRKGYKIFDNNVDNFKFSIVEPDLRNYPGNKSDFLLELSLEVFEGTLTTTLPAGIISNVELKTEGINSWLPFHELTIDLRGSGIRLLGNVQECNNVMQNLFYSGSSHDTSLTITVNDLGNYGCYPDCSDMMSASLFAVSTIHLSFPLFGGHVFHNILRQIFSGLRTVVAIQIPIMLCLCGGLMYYVLKCMKALKDERKQGMDDTRRPEQTPLRQRVSVLLSETLLESVIRLCIGLTGSSHAQSEVAECRSAPAAVLPLRANTLSFRQRSLRSGKQELELQPVSVTRNSENHDGQLITEKD >Et_5B_044909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7234397:7240015:1 gene:Et_5B_044909 transcript:Et_5B_044909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRLPSLTDDLLEEILLRVACPADLTRDSAACVAFRRLIADPIFLRRYCSRHPPLLLGFFSYDASIGFHPAEAPHPNAPAARVLTNFHVQHMNKLLKLDIIRMEFSTLDLPLGHNGSNVFVVEAGEG >Et_4B_039426.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1585592:1585951:1 gene:Et_4B_039426 transcript:Et_4B_039426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVVPNMKKGMEEREEKPKVPSRDPDLSELVAGEQPQLQREHQPPNISEMKPLTREAYGGGMYANEGPGQRAPTNKPRASATQSADGPEEATMAKPNHPPPPSTGDRDLDITGQSYIQ >Et_1A_005517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11032983:11036375:1 gene:Et_1A_005517 transcript:Et_1A_005517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVGQPFSGWTCSDLPYNDHNPQDPSVQKMVLDHGSVSFGRFAAEPLSWERRSVFGHNSRQEELSKLTAPGLVAQKKAFFEEYYKKRHLKAQGAMHETQAMSEEADEDKTLDCSSQEDQLPAVISDDPVASGPSFSFESSTEVSSSDERKCQDAHEMGFLTFNPLFSQTAGLQNIRQEERSSSGQKQYLDRELPCAADTSSNNGHISEALERKVLSPRCVVSNDNDKNNVAGSRIVLPIASLELEGLKMDRKKQGPRRTIAFVNRPVKRAKDMSTSVIHIPRVDLRRNSENRPSQDLKDPFHKRVEMKLRALSDRMSADKAAASSRSASYQPPDRAMASYRSSIQNADKLATSRSSLCQNTGRILAPSKSAGQASHRSPKGVHADALPRGIFFNKGSRASHVASGNSTATRKSSAKILVMPNSSQVSSKTSRTTQVTSKGAAGLTNVNNTSQNKRKQRSTTAALDENNPRRGYMRTSMPPSTRSSSDNSLPAAKAPKIANRTNMTKAREATMAMTTAGFVGLQP >Et_4A_035358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21199027:21200219:-1 gene:Et_4A_035358 transcript:Et_4A_035358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARLLLGVCAVLIAVGVANAVSGDAASVVVGLAKCADCTRKNMNAETVFKGIQVAIKCKNSNGEYESKAVGKLDGSGAFSVPLAADLHGADCHAQLHTTAGMTCPGQEPSAIVPQSEGTFMAVPGKTHYPSAECASATICGPIKKHFIDYFHKKPVPPKPKPEPKHEYHPPPTPTYGGSPSPIYHPPALSASATFYGKIKKHFIDHFHKKKPVPPKPKPECHPPPTPTYGGSPTPIYHPPALSASATFCGKIKKYIIDHLHKKPLPPKPKPKPEPKPENHPLTPTYGGSPNPIYHPPALSASATFGGKIKKRIIDHFHKKPVPPKPKLEPKPEYHPPTPTYHPPTPTYGGSPTPIYHPPEKH >Et_3B_028730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18519322:18521032:-1 gene:Et_3B_028730 transcript:Et_3B_028730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMLADGCWTGHSPCTEGFNVSSQSNVMVAVAELLYLPTTKISHDPKTETPCWVALCFETMKLEAFVAFFTCKLSKWPVKYAHLRRHHLPLVAPRGDFLPDLPPLLPCHPSTATRPVFRGSYTSADFFLTSLQVDAGESPCWDIVDSQRALRTHLIFATVFFSDTREWSVHRWVKVPAPVRSPGLKRWVRREGTVQANGFLFCVYEDERYLILYRHRSIICKTSEESGLIFLYLEIMRWERLFHRTFDNSGHPYIS >Et_4A_033534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24170779:24179127:1 gene:Et_4A_033534 transcript:Et_4A_033534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGNGLACGDAQIRIVSRRLIKASDASVAPRVLPVSNLDLLYCNFPLSSIHCTNEGAELVVGDAGGAALSSLDFGAMGASVRKVQLPYADEAVALSVQVVSFACGGFSVAWRTSHLLVDGCALYMLVGTWSEFARSGTTARPCCALARLRHAYTPDTGERLPNVLTNQTFIERLYYIHASDVERLRMEASREEGGLRATRFEAVSAYLWKTLAAVVGSDDERCRMGWWVNGRRFLEHDRAADMSAYAGNVTSFAAREASAEEIRLAPIPDVASLVRAAVAAAANADHFQELVDWLEDHKSERYLEAATVGLGSPTATVTWCAAFRPDTDFGFGRAALAMPPSASGRLCSAYFTVAAHPGGDGSLFASAFVWPRLAAALEADGRHIFKPVTAKHLGLTALIPSSL >Et_1B_010403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10157289:10160163:1 gene:Et_1B_010403 transcript:Et_1B_010403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWRLHVEDSAGQDILEHDLVLFKTPGAHGDRGLALKGLPGKKLSVLCVDGAEVVVEARDVSVADRSTLCQGMAVTSARDSGGQAGIITGTATAGLDLAQITDSGEVVVVARDVSPAELPSNVELSTGNYVVSGSVPRRRLYLDVDVMFDDGAVCRVADAGSKKLETLLDSKLAEGVLYLGQQVLGQGSVFKDSRWLKGCWKPSREKGTVTKTEVSGVLVYWVASSQVASATPPAFHPNYRNLSFFCSGDILTSKSFVVSNQYLACSASCSCGCGCRKITTNIPDEQADCFFRDPAPASTTEEELERTIRVIANTNTTADVLWQDGTSQYGVPSASLAPFDLGEHDLIPGERVVHMDSDANGEPRLGVVRSFNHKDQTACVSWVSPAEPTTSEETVSAYNLDASSNLSAYYGDVVVRLRPADSSAGEGCENTQEKKKDLSWVGHVTDLCDDGRHIQVRWDDGNMSKVLRHQVAVVQPQSIQDMLHETRRSSHNSDDGHDDGDQSGSDSHSDDEEDGLAAATRTMGWAGAVIQAMLRLAGNVLAKSRMVSGRSSNDSFCFPRFDVMPSPPDHHYLDNMEQGSTGGGNKWIKRVQKEWKILEDSLPDTIYVRASEDRMDLFRAVMAGASGTPYHDGLFFFDLQLPPSYPAAPPLVCYRSFGLRVNPNLYESGTVCLSLLNTYGGEGAELWSPEASSVLQVLVSIQGLVLTARPYYNEDGYSAFVGTPEGRRNELPYSENAYLRTVQTMLHLLRQPPAGFEAFVTEHFRRRGRHVLRACEAYLDGCTVGTLDGEARPTEVSRERPSSAGFRLSLGDLVPRLLQAFKEIGADGCE >Et_1A_008275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5909596:5912422:-1 gene:Et_1A_008275 transcript:Et_1A_008275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGDLWDDSALVDAFDRAVATFKTMHGKSAQATPCEKEKRDPPVAEEEEKHISAEAADEKKDNSINIPCGSTETSQHRSEESKTIEQAPPQEADPGKVIHVSESKTLSSDLTDVDRPVSASQEGDHNELLRRYYELEEQSRNVLQQLQQTNYWDYQAQYYASTYQQPQVPAYNATAQDPHTSTAQSSCCYWNCPTVSVSCCSASQPSGNSASVPPYGGCSVSLTCDRCPGASTAYPTGANLMQAQTKLSTNDDQVTKAAMMTAEGAFNFLRSTISGDPASQSKESETGKESPSIGVLPNFDITGADSELSVVLNAWFAAGFYTGRYLTQQQQQQSNKKSQ >Et_4B_036902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12458862:12460164:-1 gene:Et_4B_036902 transcript:Et_4B_036902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSCCHKKKLRRGLWSPEEDEKLMNHIAKYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGTFSQEEEDLIIHLHSMLGNKWSQIAAQLPGRTDNEVKNFWNSYIKKRLRERGIDPATHKPLAEVAGVEAATTAGACRAVFRDAELIPAATTTTTLQAQLMDPMLDWSGATISVPSSLSSYLQAATGCNFDMDAQQQQQQQRCGAFPAPVVPSASSSSTLTSMADAEHCNANVVAGAGANLPWLELGPHAVADAAGHVDSYAGALDEIRWSEYFDGAFQAAASQQGALQGQCVYGGGKDDVAGVQIDVHGLSNWC >Et_10A_000164.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:13886739:13886849:1 gene:Et_10A_000164 transcript:Et_10A_000164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PHFLYFSRGNHPIASNTSQQVKRSDQRVVLIETTRK >Et_4A_033061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17885747:17898521:-1 gene:Et_4A_033061 transcript:Et_4A_033061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFMPTLVSVPKKMGPSLKSTLVPCVLLLCVGFLAELVHGSMAPALYVLGDSQADVGNNNYLPSLLKANFPHNGIDYPGHEATGRFSNGYNFVDFLAGSLGLASPPAYRSICNTTGRYSIFLNGVNFASGGAGVSDLTNKGQCFSFSEQIYCDFFNVSSELVKQLGQPQALAHLSKSIFIVAIGGNDIINSVLLPVNRLPILSSSQHFIDSLAQTLKCQLERMYELGMRKLFFVGAAPLGCVPLLREQSLTKQCHAEANSLSVKYNIAVASILRDMSMQHPDFRYSFFDTSTALLKYIQEPETNGFAEVKAACCGLGDNNAMFTCNRASKYCANRTSHMFWDVVHPTETTARKLMSVAFDGSGPFISPINVRQLAAFIPCSMAIVVVSFLLAAAASFLVASAGPVPAVYVLGDSLADVGNNNHLLTLLKADFAHNGIDYPGGKATGRFSNGKNFPDFLAEKLGLATSPPYLSLPSSNANYLNGVNFASGGAGISNGTNKDQCLSFDKQIDYMSSVYASLAQSLGQAQAAAHLSRSLFAVTIGSNDIIHYAKSMSSSTSSSTPPTQQFVDGLVQTLTGQLQRLYNLGARRLLFLGTGPVGCCPSLRELSADKACSAVANDASKRFNAGAAALLAGMAARGSHPDLRYALFDSSAALLRYIDNPAAYGFTEVKAACCGLGDMNAKIGCTPLSFYCANRTGHVFWDFYHPTETTARMLTDTAFDGSAPFIFPINIRQLSAI >Et_5B_045458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23766090:23770395:1 gene:Et_5B_045458 transcript:Et_5B_045458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAPPPLAASATSLRTTPPRGTDTSRTSVNLLRSLARSRRADLSRRALLLFRSLHAGADPPPLRFSLPAALSAASFLAALPEGRQLHALASKAGLAPANTVVANSLVHFYASCGLPADAVALFRRVPDKSLVSWNIAVDALAGNGDHLGALDLFREMQRGTALAPDAYTVQSVLGACAGAGALSLGVYAHAMLLRELGPASGAAAVSRDVLINNSLVDLYGKCGAVELARQVFDRMPDRDLASWNAMILALANHGRVRESLDLFHRMTHAENITPNAITFVAVLSACNHGGLVDEGRRHFTAMTEQYGIRPRIEHYGCMVDILARAGFIEEALDVVARMDCRPDSIIWRSLLDACCKKNAGLELSEAMAKLALDVPDDAVSGVYVLLSRVYASAQRWDDVGMIRRLMNEDGYKKEPGFSSIEMNGSVHQFVAGDTSHPQSQEIYEKLDEIQQRLTSAGYKPDLSEAPMVAAIDCTKGAALRLHSERLAISFGLLSATPGAPIRILKNLRVCKDCHTISKLISRLYDVEIIVRDRIRFHHFKDGSCSCNDYWHPKVGELERSVLAIYFAVSINLHRIRKQHKSPKFKRHTHAGTRKRAHTNVEEDTMAGK >Et_6A_046046.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20414056:20414355:1 gene:Et_6A_046046 transcript:Et_6A_046046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LPVVFPLSLGGVSQDRHGIALNSLEVVEINSWASSLEELEFVEQLSRCKAAVLKNSVIKDTNSPAILTKRLFEMVRSICHPDLKVEFYALSGARLVHFD >Et_8A_057275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2363844:2367270:-1 gene:Et_8A_057275 transcript:Et_8A_057275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVKSGPSALGAGAMPGGSSGGDGEVEAGFAKLQGEDFEYYMQTYSIMLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALDVIGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKKFYFLLPSRSIFASAAAARHAPVIPPQLPPPSYTRPGRPRLSDFHDRSFEGDYGQEVDEIGNGMSEISVRGKLIKRNKKASGDLDIYGGHRINVEAIGTLGEDSRSEIRSRGDRDVDNQQILQAEEKDVVSSVATVLSDLCGPGEWMPMAKLHTELLEQFGNVWHPSRVRKYLTPDEWSPTETKGRPWYGLLALLRKYPEHFVINTRSKGRVTSEFVSLVSLLS >Et_1B_012887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3933758:3939420:1 gene:Et_1B_012887 transcript:Et_1B_012887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAAEKAVRCLGRGFDMACDMRLKFCKDAGACLVERNGGETAPLAVPGVGTIAGVPVDVKCGKGDRVRFKSGALEFNKMSELFNQRCSVEGKIPSGLFNASFDLDSGSWAQDAFATKCLAMDGYFISLFDLSLDTRPLALADHVLRDVPAAWDPSAIARFIQKYGTHVVVGLSMGGQDVVYVKQDRSSPLPPAEIKAHLDRLGDQLFTGACAVPPLHCKSKDKFKIPEAFNVFDAQIAQQRLQAGITTLGVTVIYSKRGGNPTASSHSEWLLTVPAMPDVINVKAVPITSLMKGVAGAGYLSHAINLYLRYKPPVADLKYFLDFQHHKMWAPVHGELPLGPCSNRQGSSPALHFSPLGSKLYVSSSQVIVPKLPVTGMRLHLEGKKNNRLGIHLQHLSTTPSFIATARADKSPVWRGTEAASDDRFYEPVQWRMFARVCTAPVKYDPRWCGGDRRAACVVTGAQLHVASHDAAHVLHLRLLYAELPGFAVVQSRWARGAARLSGKSSFLSVSFPGTSGSGGSGGAQKDGRQKPGPPELVNVDSGVFAGGPPVSVGAQKMLRFVDTSQVTMGPQDSPGYWLVTGARLDVDKGRISLHVKFSLLAPAS >Et_1B_013415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8242068:8243610:1 gene:Et_1B_013415 transcript:Et_1B_013415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAWCLSAPAAALGVPASATASGAGALARVAASVRRRRRWDALVVCMAPDEEKITRRSPLDFPIEWERPKPGRRPDIFPKFSPMKTPLPHPLPADDPLDDDEEEEEEEQQPQEEPQEDDPDKEEPEEDDPDKPTE >Et_1A_008555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8813151:8816409:1 gene:Et_1A_008555 transcript:Et_1A_008555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEEQQRRARRGHPFLRGGGGRKQYTHGFSASQMAALTALCATLVPSLQPPAHHQNGHHSPQQEDDGLSAGNGKNKGAVEDFFRASAGDAPVPDEVAELMSRRCLPEALALVRTVLWLLGTRLGSLALCGARGGCLSWRFPFVRRFEELPLEAREDALRRWSRQTLLPPLRMFFLVTKVFCLYVFYSMVRTPLDQPRRSISSSSTHQARNPRFRATAPYQSARDELNSKVCPRSRMWLHTDENSENPHWRAIGYSPPADEAAPEDEEDERPKKRPLDDGVVETVNETDASLPASLAAKGLTVADDAARNVCVVECDAVVVGSGCGGGVAAAVLAAAGHKVVVVEKGSYFTSRDYTGVEAPSMSQLYESGGFVSSLSGSALILAGSTVGGGTAVNWSACIKTPEEVRKEWAVEQGLPLFASHEYGAAMDAVFARLGVTARCAEEGLQNRVLRMGCERLGYKVESVARNSSEGHYCGSCGYGCRAGDKRGTDTTWLVDAVGRGAVVLTGCKAEKLLLLEREGGGRARRCVGVSARSTGPGVTRTLEVRAKVTVSACGSLLTPVLLRRSGLRNPHIGKNLRLHPTALVWGYFPPDNDTTVPDHLLPGKAYEGGIITSLHKVEPAGRAILETPAVGVAAAATQLPWVSGRDMKERMLRYGRTVHLFSMVRDRGGGSGGAVHGERRVAYHLDAADREDMRAGLRAALRVLAAAGAAEIGTHRSDGQRFACAGATEAQLEAFLDGVDVVRGPQSRAPAWSLCCTAHQMGSCRMGAAPGDGAVDARGQSWEAEGLYVCDGSVLPGAVGVNPMVTIQSVAYCLANGIVEDLRREKLPSEKSC >Et_3A_027222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5082605:5084770:-1 gene:Et_3A_027222 transcript:Et_3A_027222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTLASPAKDHRDWAGLPVDLLRCAFQLLSVPSRVCFRGVCRSWQQAAAAEDKPTTAMPPPWVVIPHDIGCSKSFTLLSIPTMQSFRWSPPGGLGGLRCVGSSGGWLAGAYIDAGRMKRVALVNPLTGARVEVPASPGRAFAAAARYGHADFQEAALCRAFQKVAFAPNPTARDFAVAVVTQLQRPVRFGKAVAFARAGHDGWCALAELPDDEHSRPCSYKSRLDVAYRDGKFYYVTSCRQVWVVDMAAAHPSPAPLARLIEPSPGCHFSCNYHIAFSGDGALHVVWSPADDVADSVYVLAQRYDPTSSPVQGAWTEARRLRGQAFLAGDLNQTLCVAAGGGDGEWLEPGSVYFTNTTLCSLLAEEHRESRLNGVGVWRFDLATGDIGQPSSQVRKAPVVVRPLDLSRPAWTVHQDVAEADPTPEETWKYPQPALDWTTAVCNRIWFPRVVSLDFESI >Et_2A_014898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20815734:20815976:-1 gene:Et_2A_014898 transcript:Et_2A_014898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALALAVLLLAAAAVASAAGVDSIPGAQEFAGAGAAGAKSAATSAVGADPDPAPLGGFQADPAPDARG >Et_10B_002421.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19189452:19190166:1 gene:Et_10B_002421 transcript:Et_10B_002421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTRPPAGTVQCFGRKKTAVAVAYTKPGRGLIKVNGVPIELIRPEMLRLKAFEPIMLAGRTRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVAYYQKYVDEAAKKEVKDIFARYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >Et_8A_056903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18741695:18742743:-1 gene:Et_8A_056903 transcript:Et_8A_056903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEELDYVLVPLGLAVMAGYHAWLLIRVRRRPATTVIGVNAINRRIWIRHVMEDPSGKHAVLAVQTIRNNIMASTLLASTAITLSSLIAVLMSSGGGASSTSSNENGLLPGAPLVIGATGAPALSAKFFAILVCFLVAFLLNVQSIRYYSHASVLVNVPPRAHRRPGRAVGYVTATLNRGSYFWSLGARAFYFSCPVFLWLFGPIPMLVACVAMVAALYFLDVCKDWEEDDDDDDERSCAGDEISPAGHGKNVEHLV >Et_1A_007361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33858887:33861877:1 gene:Et_1A_007361 transcript:Et_1A_007361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDPVVSAQWLQQHLGRPDVKVLDASWYMPEENRDPWQEYQEAHIPGALFFDIDGIVDRTTDLPHMLPSKEAFAAAVSALGIRNQDKVIIYDGKGFFSAPRVWWMFRVFGHNKVWVLDGGLPQWRASGFDLDSNINDDAIPKSKAANNAVETVYNGEMVNTITFQAEFQPQLFWTLEQAAHNVAARTHQQVDARSKGRFDGIAPEPRKGVRSGHIPGSICVPFPEMFDGAPRLLPADDLRKKFEQAGISIDHPIVVSCGSGVTACILALGLHRIGKRDIPVYDGSWTEWEAQPDSNYPKVTATAS >Et_6B_049658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7991736:7994706:1 gene:Et_6B_049658 transcript:Et_6B_049658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAATNLEDVPSLDLMHELLRRMKCSSKPDKRLILVGPPGSGKGTQSPLIKDEYCLCHLATGDMLRAAVASKTPLGIKAKEAMDKGELVSDDLVVGIIDEAIKKPSCQKGFILDGFPRTVVQAQKLDEMLENKGTKIDKVLNFAIDDAILEERITGRWIHPSSGRSYHTKFAPPKVPGVDDVTGEPLIQRKDDTAEVLKSRLEAFHRQTEPVIDYYAKKGLVANLHAEKPPKEVTAEVQKVLS >Et_2B_022115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9365266:9375542:-1 gene:Et_2B_022115 transcript:Et_2B_022115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVSEGGGPWLRSTNNFLGRAIWEFDPDLGTPEERAEVERVRREFTEHRFEKRQAEDLLMRMQFAKQRNVQPNPPLINQVEDGQVTEEIILTSLRRALTQHSSLQAHDGHWACEYSGIMFIMPILVFALHITGSLNANEDGGWGTNELGPSSMFGTCLTYVTLRLLGEACTHGALVKGRGWILAHGSAAAIPQWGKIWLSVIGLYDWTGNNSIFPELWLVPHFLPIHPGRFWCFCRLVYMPMAYLYGKKFVGKITPTILAIREEIYSAPYNEIDWYKARDTCAKVDLRYPRSSVQNLVWTCINNVVEPLLNCWPVNKLRDVALKNIMKHIHYEDESTKYIGVCPINKALNMICCWVENPNSNEFKQHLPRIYDYLWLAEDGMKAQILENHPDYKAYYRHRAKGSWTLSTADNGWCVSDCTAEALKALLLLSKISPNLVGDPIKGQRLYDAVDCLLSFVNNDGTFSTYETKRTTPLLEVLNPSESFINIVVDYPSVEPTSSVLQALIMFRELYPGYREKEIGKCIESASKFIEKEQRKDGSWFGSWGICFTYGIFFAGLVAAGRTYQNSSSIRKACDFLLSKQLPTGGWGETYLSSETQAYVEANRSHAVNTAWAMLALIFAGQVERDPTPLYHAAKELINMQLESGDFPQQEHVGCFNSSLYFNYGNYRNLYPIWALGEFRRRLLAKK >Et_5B_043696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14165066:14169568:-1 gene:Et_5B_043696 transcript:Et_5B_043696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGNRPICFRFFLVRASSESGSLIRGCVFAIVLHFSNAPFPIPEGAIAGGAAGVVVETALYPIDTIKTRLQAAQGGNKIQWKGLYAGLAGNIVGVLPASAVFIGVYEPAKRKLLEMVPENLSTIAHLTAGAIGGAASSIIRVPTEVVKQRMQMSQFKTAPDAVRLIVAKEGIKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLAAKRDLKDAENAIIGAFAGAITGALTTPLDVMKTRLMIQGPGNQYRGFVDCAQTILRDEGAGAFLKPRVLWIGIGGSIFFGILEKTKSILADRTNRRDAKLEL >Et_1A_007589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36092920:36095713:-1 gene:Et_1A_007589 transcript:Et_1A_007589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEEMDGWLSWRFAAVAVAAWLALHVAARVADALWWRPRRLEAHFAAQGVRGPPYRFLLGSVKEMPELVREIFLTRADAFDRYEAHPVVRQLEGDGLVSLHGDKWALHRRVLTDAFYPDNLKRLVPHVGRSVASLAEKWRAMAGGNGGEVEVDVAEWFQAVTEEAITRATFGRSYDDGRVVFAMQGRLMAFASEAFRKVLVPGYRFLPTKKNWQSWKLDREIRRNLTRLIARRSDEAEDDEEKAGESNSFRDLLGAMINAGERKTRPPSSLSPKAIPVEEMLEECKTFFFAGKQTTTNLLTWATVLLAMHPEWQENARREVLEVCGADELPAKEHLPKLKTLGMIINETLRLYPPAVATIRRAKTDVQLSDGCMIPRDMELLVPIMAIHHDARFWGKDAAQFNPARFADGTARAAKHPLAFIPFGLGSRMCIGQNLARLEAKLTMAILLQRFEFRTSPNYIHAPTVLMLLYPQYGAPVIFRPRSPHPSDPAAS >Et_5A_040280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10150145:10151200:-1 gene:Et_5A_040280 transcript:Et_5A_040280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGGATAVQQPAATTTGAAGGAGRSGAPDPRAEALRCPRCDSANTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRSRTTSSSGAGIAGRTSASASAAGTSTAGGSSMQSLSSALGLSGGASLASLLLGGGGAGGDHLGLFHAAMQSVVSDSTAYELQQQQNTQSQVDHLLGLGYGSGGASQIQLKPWTSALQQQQDATGGIMDSFYAPLLSGSLVPGLEELHVKAEAAGAGAGAENNHHHQQQQKAGSWEMPPSSNIDANIIASDALMAAASMNHHAVVVSSTAPPATATTTASSFMYWGGNGGAAAAWPDLANCGSSIATLF >Et_4A_034232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31055061:31058311:1 gene:Et_4A_034232 transcript:Et_4A_034232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHAGRRRDARLLVALLLLALVVSGHCLDAHHRGLKRRRRKHEIHSPIKTVVVVVMENRSFDHILGWLGRTDIDGLTGRESNHLNASDRSSPEIFVTDKAGYVDSDPGHGFEDIREQIFGSADTSAVPAPMSGFAQNARGMGLGMAQNVMSGFKPESIPVYASLADEFAVFDRWFASVPTSTQPNRLFVHSATSHGLTFNARKDLIHGFPQKTIFDSLEEDGLSFGIYYQNIPATLFYQSLRRLKHLVKFHQYSLKFKLHAKWGKLPNYVVIEQRYFDCELFPANDDHPSHDVARGQRFVKEVYETLRASPQWNETALIITYDEHGGFYDHVPTPVKDVPQPDGIVGPDPYYFKFDRLGVRVPSFLISPWIEKGTVIHEPNGPQKSSQYEHSSIPATVKKLFNLRANFLTKRDAWAGTFENYFKIRKTPRTDCPEKLPEVTKSLRPFGPKEDSSLSEFQVELIQLASQLNGDHVLNTYPDIGRTMTVGEANRYAEDAVARFLEAGRIALKAGANESALVTMRPALTSRASMSSGPLW >Et_3A_025031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26213798:26218911:-1 gene:Et_3A_025031 transcript:Et_3A_025031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAVDKATSIDAQLRLLAPKKLSDDDKLVEYDALLLDRFLDILQDLHGADIRETVQECYELAAEYESKLDPRKLDEIGNVLTNLDPGDSIVMTKSFSHMLILANLAEEVQIAYRRRIKLKKGDFADENSATTESDIEETLKRLVHQLKKSPMEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRNCLTQLYAKDITPDEKQELDEALQREIQAAFRTDEIRRAPPTPQDETRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYNAQIEDLMFELSMWRCSDELRAKADQLHRSSKKDTTKHYIEFWKQVPPSEPYRVVLSDVRDKLYYTRERARHLLASGFSEIPEEATFTDIEQFLEPLELCYRSLCACGDRSVADGSLLDFLRQVSTFGLSLVKLDIRQESDRHTDVMDAITNYLGIGSYRDWPEEKRQEWLLSELNGKRPLFGPDLPKSEEISEVLDTFHVLSELPSDSFGAYVISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSVEWYRNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEDLIKVAKLHGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAVVATKEYRSIVFEEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFRAAFKHVLEKDIRNLKTLQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEDLWSFGELLRSNYEETKQLLLQVAGHKDLLEGDPYLKQRLRIRDSYITALNVCQAYTLKRIRDAGKPAADLVKLNTTSEYAPGLEDTLILTMKGIAAGMQNTG >Et_2B_020780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23574882:23578141:1 gene:Et_2B_020780 transcript:Et_2B_020780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTAPNPSATTASAVAAGNGVQSSVAGAEQPEDASKQNLAQVTGSIQKTLGLLHQLNLIVSSFNSSSQLPLLQRLNGLVSELDTMQKLAEGCNIQVPMEVVNLIDDGKNPDEFTRDVLNSCIAKNQITKGKTDAFKSLRKHLLEELEQAFPEDVEAYREIRATAAAVSGTTFVLCPLHIRMGQAIWGRESKRLAQSQNNLPNGDAK >Et_6A_045818.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:15111413:15111970:-1 gene:Et_6A_045818 transcript:Et_6A_045818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTELEALHMMRELLIGLDVMGAQGIVHCDLKPENILIGKEDGRLKICDFGLASFAAAPPHDFDGTLWYSSPEQLLSEGSCGPAVDAWALGCIMAELLTGEPLIKGLGTAHQLTSIVYLFGISDEVSKIDLGVDASAQSELRDIVPEKLLSSAGFDVLQGLLRFDPTERLTPAAALRMKWFSGAG >Et_1B_011282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:196990:201013:1 gene:Et_1B_011282 transcript:Et_1B_011282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRSMLPAMQNRHVLAQIVSLGMIIASALIIWKGLMVVTGTESPVVVVLSESMEPGFKRGDILFLHMNNDPIRTGEIVVFNVDGRDIPIVHRVIEVHERRSDAAEIDILTKGDNNLADDRGLYAHRQLWLQQHHIVGRAKGYLPYVGWLTILMTEKPVFKVLPAHRRTWTAGVVDAVCAAVIKGSSFPPPHLVTAVLRRLSPLPSAALAFFRALPPPHPLDASLALLRLLAPHPRHHPAARSLLRDLSLRHPLSSPLLLPSLLAGPHVPCWLLLVLSQSARPHDAVRVFDQMRARGLAPDAHACTALLTALARSRMTATARRVFDEMTRARVAMNTHVYNAMLHVCLKAGDAERAEVLVTRMDAAGVPMDRFSFNTIIALYCRKGMRYEAMCVRERMTKEGIQPDTVTWNSLVHGLCKEGRVKEASQQLLEMTAQGMTPDHVTYTTLIDGYCRAGDVEEAVRLRGDMEAKGMLPGVATYNAILRKLCEDGKMKEANQLLNEMDERKVQADHVTCNTLINAYCKRGDMTSACKVKKKMMESGLQLDQFTFKALIHGFCKARDLDQAKEALFQMLDAGFSPNYSVFSWLVDGFCKKNNADAVLLIPDELMKRGPPPDKAVYRSLIRRLCRKGLVEHAQKLFNQMQVKGLVGDSLVYATLAYAYLTQGKPVAASDILDDMARKQLGVTPQIYKCLCTSYADEKETLNMLWVRAIERGLITKTVYKLMHQARLKTPKTSAETGAYGLVSRPDSTKRALGNGDIGL >Et_3A_024476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21134477:21138731:1 gene:Et_3A_024476 transcript:Et_3A_024476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPTVLPKVAAQWLFTFYRAARKLRRQLYHRNAAAGARAAAASSVSQQAAAAGVSSKSAVPDAAAAALADKSVVCDLHGALLRSTDLFPYFMLVAFEGGSLLRALLLLLAFPLVWALGERRSGAAVRVMAFVAFAGLRPRDADLVARAVLPKHYMEALNSVVYARLWTPARRKVVVTGAPRVMCEWFLTEYLAADAVIGAELQVVAVGRGGRRYFTGLLAGPAPGPELRHQAMREAFAMAEGGMADVGVVRSSNPLDHLFIPYCKEVYVVSRESAKSAKLPRDKYPKPLIFHDGRLAFLPTPAAMLSFFLFLPLGVILSVIRINIGILLPYEMNFIAAAIFGVRFRTSGLRAPPAGGGKRRGVMYVCTHRTLVDPIMLTSALQKPVPAVTYSLSRLSETIAPIKTVRLTRDRARDAETMSRLLQQGDLAVCPEGTTCREPYLLRFSPLFAELADDMEPVALDAQVTTLYGTTASGHKWLDPVAFFANPVPSYRVEFLGPVPREWTRAGGRTGAEVANWVQRRLGEALGFECTGLTRRDKYMMLAGNDGVVAK >Et_9A_061599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14279417:14284644:1 gene:Et_9A_061599 transcript:Et_9A_061599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSPLHKVIDAGRWDAKRPFGRLILVLHAAFLDAGFVPLPRPSSKRGPVPRSAGRTASALSLRYTAPELQHKRYAQASVVLRQQVLVWSHPTTTSCASALGVWIVVSCWCCRQTPSPSPSDGGRGGRQRGAGAPQGLGGCRGRVPRRTCGRVTALALSMPRCPRLVARRSGGMAPAQSTPRLLGTDGNTDASRLTSNRIIDRKFAMGKVSPLHKVIDAGRWDAERPLGRLFLVVHAAFLDAGFVPLTRPSRKRGPIPRSAGQTASSLSLRYTAPELRHKRHAQASVVLRQQVYGRTIIFYVQRGDARPVASSWVEVDVLAAVALLSGGLDATARALRRDARLAALWRGLCDALCRRASSTCGVSHVRVAPRRRHVVRVERTCAALRRLVAQHDRELWKPRYDEAVATCASSLCFNDSCGGSPAAALISWRERHERVSPTRVSLRLARLLSSNRSRHIGRCNPPADPDAERRRRRAAREGWRAAGIGRLPMSRGQDQEDLWPRHGPGAVHAPSSSRGRGTVPARFTPRLRGTDGNTGDVRAGRG >Et_6B_048241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15629308:15630192:-1 gene:Et_6B_048241 transcript:Et_6B_048241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRGEACCLLLAFLLSLQLTGGLAGAAGDITVYWGRSQCEGTLREACDTGLYKTVIISFLSAFGQGKYTLDLSGHPLAGIGDDIKHCKSKGVVVLLSIGGHGGEYSLPSNKSAADVADYLWNAFLGGSRAGVVRPFGAAALAQRLYNYTKNNRVGQRIVLTATPRCGYPDQSLKAAMDTDLFNRIHVRLYSLYGLDRPCTTTPWDSWLKTAVMYLHTKVAVGVVALSEGDNKAYLSPRDLNDRVLHAAKQMPNYGGVMIWDRFYDKLTNYTRNL >Et_7A_050384.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:11850768:11851013:-1 gene:Et_7A_050384 transcript:Et_7A_050384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHFLMSRSLPFRVMYELSQKYGQLMHLKFCEVHMIVVSSPDCAKEIMKTHDVIFANRYVTIGFDILTYHCNDAAVAPHGD >Et_4B_039943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6468096:6472519:-1 gene:Et_4B_039943 transcript:Et_4B_039943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATGDALLHVVAACRSPAHLPHLRAAHARLLQLLHPSDPSAAHAGVKLIQAYAACAALPAARAVLDSSPEPCTISFNVLLHALTAASLHRDAILLFASMRPRGPACFPDHYTYPLALKSCAAFRDLLLGLQIHSSVAKLGLEANSYVAHSAISMYARCGRPDDAYQVFDEMQRRDVVSWNAMISGFARTGLHDRAMGLFGEFVVLQCSSPDAGTMASILPAMGNAKAEDIALVRKVFDAMQFKELISWNAMLAIYANNGYHVTVVELFMRMEKDGIEPDSVTLATVLPPCGELSAFSVGKRIHDVIERKRMRPNLLLENALMDMYSGCGCLKDAREVFDSMSSRDVISWTSMISTYGKHGHGREAVDLFEKMREQGLKPDSIAFVAVLAACSHAGLLDVGKRYFDCMTSRYHITPKAEHYTCMVDLLGRAGCINEAYDFIMKMPIEPNEIVWGSLLGACRIHSNMDIGLVAADNLFKLVPEQTGYYVLLSNIYARAGRWEDVTSVRNVMASKGIKKLPGASNVEHGDRVHTFLIGDRSHPQSEMIYQKLDELLGRIRGMGYNPEVDATLHDVEKEDKEAHLSVHSEKLAIAFLLINTSPGMPIRKSHKGLKFASEAMPDLTTYYQGGSGRQTRGCVGSFNDAARPP >Et_4A_035750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5017767:5025757:1 gene:Et_4A_035750 transcript:Et_4A_035750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHGLWELLAPVGRRVSVETLAGKRVAVDASIWMVQFMRAMRDDSGEMVRDAHLLGFLRRICKLLYLRVRPVFVFDGATPALKRRTLAARRRHRDAAQAKIRKTAEKLLLSQLKARKLEELAEQIKNDKSKHDATVKQVGSSREGEAEETNHDQNQNGDANNSEGTAASINQEKVDEMLAASLAAEEERSFAEVGGHHFTSIPLQEAAEIDEDEDDGDEEMIFPMTTGDIDPAVLASLPPSMQLDLLVQMRERVMAENRQKYQEIKKEPAKFSELQIQSYLKTVAFRREIDQVQKCAAGKGVGGVQTSKIASEANREFIFSSSFTGDKQMLAQRGGKEQISDCARPRKEIDPSVFRSSSASSSGTVKPLNSDPLRDFGPDVETYRDERGRIRVSRVRAMGIRMTRDIQRNLDFIKENEQAKSREQTNRHKLSTQNEEPPDFPEHLFENTEVQSSGSLDENSTETASDNLHTPSLVGGSDNISENFYPGNREAIEISFMDDQTEVKDNDEIFLHLASGTASDIFVDDNCSAKKAEESDDSDCIWEEGVIEGETLDMKVDEKDHKSSLQENCTDGEVEWEEGDCCVPGVPSSSEHNPCKAPKGDLEEEALIQEAIRRSLNDFENQTSETVVTEDLLASVKERFLQSADDAPKTTRSPGETSRSGVRVDKEGNEETRVAFNFVENNVMHDIGVPEADGRENEKLAQPVNNDGPVDVQRAHLLEPLPLHNKPASNLPEEISDISKDNGSDVILCTTKSPERHADDAGKCINENSTNSEKSKFCKDVASIGETSMSPQRNLLNDDLVLDTASRKENTTQRGIDFSTSEINYTQLGDSNENHTVSATYIDKELSLLREEQINLGNERRKLESHAESVSSEMFAECQELLQMFGLPYIIAPTEAEAQCAYMELSNLVDGVVTDDSDVFLFGARHVYKNIFDDRKYVETYFMKDIESELGLTRQQLIRMALLLGSDYTEGISGIGIVNAIEVVHAFPEEDGLRNFKEWIESPDPSILGKLDMETGSRSKKRKAGRNDSDGKEKAPGPDCIEGSDDKQPSNGTERIKEIFMSKHRNVSKNWHIPSSFPSESVISAYISPQVDNSTEPFSWGRPDLALLRKLCWERFGWGKEKADELLLPVLREYNKHETQLRMEAFYSFNERFAKIRSKRIKKAIKGITGKRFNDIDEPEQDNPSTSKTTKKKVSTSSTGARGRGKRNNNAGVRNMESQENNQIGSQDDNKVGDSNSFADTVELTKENNNTKKRRKKSPSSRSKGRGQSSMDARHGNTENKSNRKRKQVTYAEDGNEADDNDVPFHQGGENDNDVPIHQDGENDSSEAAGDMDMAGQDTQNNLVHPDTSEPNSNQMHPDPSTTKDIIINEDFQGFELHEDHVTPKEYLFTGGGFCTEEGDEQDLGGDAPGPETEPGRSDACEGIDGVSDSGKSTGEFSENASMEARGESSSQKRPASRGLTAMPTLTKRRRKS >Et_1A_005493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10863414:10869217:-1 gene:Et_1A_005493 transcript:Et_1A_005493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMCTVAPSALPAAPSMRGYDKGYDDVAEMDVLLADIDYVVHAHPITTGLTPDPSAELVAPPPRRHDSYLEALLRGIRSVKVASGTGSFAPLAVAHHDDAPTTPVAELIRAPFSYGEDDATGGFEIKSTASEKRPDPSEEYDADIDAAFRKMEKDPAERPSADYLWTTQEGSMTMADRAELVAWMYEFSRFGRFNLLPPGALHRAVSYVDRYLSSKKIEFDAQDLRLLGAVAAFAASKYDDRRTAWLLINADTIARDVGCSRREVIDTERDLVAALGYRLSGPTAYTFVDHFTRRDQLDTEEGAMVVRSLAHHLADMTLLDYRCVPLMPSAVAAAAILVARLVEELWSDEMVKMTGYTVEDLVDITDTIYEMHELAGVWPGCAQMMENFLAPSAPWGYQVGGYVNEGYDDNAEMDVLLGDINIVVHAHTGLTPDPSALPMPSAEFLALPRRHDNELEAFLRGGVRSVKVAAPLAVAPTTPVAELRAPFSYGDDDDCACTRFKATKTASEKRPTDPSEAYDGDIDAAFRKMEKDPAERPSADYIWTTQEGRMTMVDRAELVTWMYEFSRFRDLPHGALHRAVSYVDRFLSAKKFSGDPRLLGAVAAFAAAKYEDCKTSWTRINADTIARDVGRCTRRDVVDLERDMVAALGYRLSGPTAYTFVDHFPRREQDGTAVRSLAHHLADMALLDYRCVPLLPSAVAAAAILVARRIVKLRDVVLRDCAAGGAVERGDDQDDGIRGRRPGRHHGHHLRDARADGRVAGVRTDDGRLRLQLLIASSVIDRRA >Et_5A_040548.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:7851474:7851701:-1 gene:Et_5A_040548 transcript:Et_5A_040548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CVAYRRLIADPAFFRRYRTRHRPLLLGFFSYLASEGFHPAKAPHPNAPAASTIYKFCFSILNSIWIFHVKQGYFF >Et_1B_011768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25873784:25885229:1 gene:Et_1B_011768 transcript:Et_1B_011768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPSSSSSSSRRSSSPFSAGHRRPPTSSSSSTSYFSAGRLIPRSSPSSVSSHYYGGGGGSSRSTTPGRRGGSSVAPAPAPEPVPFPSSDELVIEDTSRSGDSISVTIRFRPLSEREFQRGDEISWYPDGDRLVRCEYNPSTAYAYDRVFGPTTNTEAVYDVAARPVVKGAMEGINGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFSMIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTMMIESSSRGDDDEGVMYSQLNLIDLAGSESSKTETTGLRRREGSYINKSLLTLGTVIGKLSEGRATHIPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRIEIYASRNRMVDEKSLIKKYQREISSLKQELDQLRRGLIGGASQDEVMILRQQLEEGQLKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNNIPALADSHQRPNSVSDEDKLSTAGDSSTLVQNEGTTKDSSALTDSLDEINQLRSASGEHSSVTGSASDSAQAGFTASDQMDLLIEQIKMLAGEVAFGTSSLKRLIEQSIDDPEGTKDQIENLEREIQQKRRHMRALEQQIMASGEASVANVSMVDMQQTISKLTAQCSEKAFELELKSADNRVLQEQLQQKNAEIKDLQEKVLLLEQQLSIKVDISPEGPEQCTHQEIIDLKSKLQSKEAESERLKYEHLKFIEEHRDLVNQNQKLSEEAAYAKELASSAAVELKNLAEEVTKLSVQNAKQAKELLIAQDMAHPRVHGRKGRITSRGRDEVGTWSLDLEDMKMELQARRQREAALEAALAEKEHLEEEYKRKFDEAKKKELSLENDLAGMWVLVAKLKRGALGISDLNVDDRSVNLADITNGTKENKGDKNFALVEKQGSDDTVKSLTTEEHRSPEFEPLLVRLKAKIQEMKEKDSDALGDKDVNSHVCKVCFESAAAAVLLPCRHFCYTGFSVQSAMVAF >Et_3B_028410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14929439:14936907:-1 gene:Et_3B_028410 transcript:Et_3B_028410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRKIPLGDQGGTETAGDDVDPVLQKKRSDNADRSRRYRLRQKEGCGNSPLSSINASELSGGKENRGVSDNFSYAVKVGGKISPVVNDFQAREEIRKEKNRIRAQEYRKRKREERQKTSEGNKQRIPVSVEQDAVVNTPVSALTAEPIDDNTNEQLLSCENDGDVEDDSWLHRNDDYLPKSSRMKNNDDVAPDQSQSVKRTVYMRNYMIEYRKKQKVDTSIQADPREAEERRSQRNKGEEDLDAADPNVQFDLPLEEEDDEARLYGLCGMYLRFCTSVLFSTAIIDQSPFGHGDGIPEILAHPCPTTIRLSQRNRTERKRTLGTGQPKPFRGRAAVAASAAATHSLPLLQTAEAPYTGTSRGADAFLRHPIRQPHLHCLSTTKSSMVTCSTV >Et_9A_061393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10984333:10989166:-1 gene:Et_9A_061393 transcript:Et_9A_061393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTFPTSTPFFAHNGRRGRPAASVVGIDLGTTNSAVAAMEGGKPTIVTNAEGARTTPSVVAYTKTGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMNEVDEESKQVSYRVIRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAADFKNSEGIDLLKDKQALQRLTEAAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLKTPVDNSLRDAKLSFKDIDEVILVGGSTRIPAVQELVKKMTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSIGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPKDEVERMVSEAERFAKEDKEKRDAIDTKNQAESVIYQTEKQLKELGEKVPGDVKDKVETKLKELKDAVAEGSTQTIKDALAALNQEVMQLGQSLYSQQGAPGAGPSPGADAGAGPAGASEKPADDGDVIDADFTDSK >Et_3A_026777.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2031999:2033150:1 gene:Et_3A_026777 transcript:Et_3A_026777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVRSFTIFNPAVNSMASLSQFQVLRVLDLEGCDLSKCGSHFKLKHVGNLSQLRYLGLRNALIPELPVELGKLKFLQTLDVSGSNRIQELTPTITGLRNLMRLRLDWETKLPRNGLRNVTSLEELTGLRIGRDSAAVVSELGHLSTLRVLTLMWADAGLREDLVQSLGNLCKMQSLDVYVFGGRGELLHDWAPPPGLRRFLCMGPACAMSTLPAWITSSSLPCITYLVVFVSRVRPEHLRTLGTLPALRAVQLYATSRIDDDDQPPADIWTAAVGAGTFPCARTCAFLRFATAPSMFPRGAMPRMQRLEFSLRVWDVVGGASGFGPDDMRMEHLPSLESVYVELLYRKEDGAGVAERVEAVVKRSAKHHPNLRSIETHWKRV >Et_3A_023136.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30284095:30284843:1 gene:Et_3A_023136 transcript:Et_3A_023136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAPTAYYVPAFGAGPRCSAFAPPIKTTPCASIASPTRSPSLAFGARPRLTSKFRASAAAHKVKLVGPDGAENELEVPEDTYILDAAEGAGLDLPFSCRAGSCSTCTGKLESGEVDQSEGSFLDDEQMAAGYVLTCIAYPKADCVIHTHKEEEVH >Et_2B_021503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30127312:30128300:1 gene:Et_2B_021503 transcript:Et_2B_021503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRQGSTRLLQAAFAYLLLLLCRAGAVEHVVGDGDFGWDSGVNYVTWAQKKTFAVGDVLVREQPAQRVRGDGEHSCDASGGSGVLAKYTSGFDKVALAEARSYWFICDFPGHCLGGMKLAVNVSSANGGEEGRRRPVTDAGWWSPGLDGDGPVGSRCPPPPRIDDERGHMSDMVKLRYVLSSWSGVLIPDTIGRTDFWARNVFVKEKREMVNSDSRLVALLPVPSTRLCFRLHSARPICSSIYLSFLRGSSITSSIDPQGGTAAQRYERRCRRLSLTASTRVDRGGQPDPGGLPAPARPRRRR >Et_5A_042603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21936227:21936456:1 gene:Et_5A_042603 transcript:Et_5A_042603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPYGRRTEVCKVYRVDLEEGKMVWFMPRVDDKRAVFISRDVGHSLSVHAGLSPSIRGWEPGTQL >Et_8A_056792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1691932:1699755:1 gene:Et_8A_056792 transcript:Et_8A_056792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLRFYDRGTSGVGFSGLASLPKLKEFGQDHDTYKFEVDVRAQLAQNPNGPIFKKSTALSVGKSVLDGALGYAKSALAEEVALQLGVQHDHAFIRDELQMMRAFLMAANDEDDKHEVRTAWVKQVRDVAYDAEDCIQEFSIHLKEPSWWRLPRTLRERRRIAKQMKEMRARVEDVSQRNLRYQLIKGTDSKPATAAEPSSITAKTIFRIDEARRAAKQEEPKMDLVDMINMEGNDLRYGEQVEILVRHPSLGQPTITKISKGNFHAGHGSG >Et_7B_054995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6262811:6266048:1 gene:Et_7B_054995 transcript:Et_7B_054995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYEYETNGYHRAVEDEYEDEYYDQDEYEEEGAEEGYVEEDPPEGQKEILELRERLKEQIRRKALAAGASTAGRLSSSHDKVPPTRDKFGSFFGPSKPVISRRVIEERKSLKELHSTIARDPRPSGRDVPSSSKMQSKGNGVQHKAKIVNEARKKAETLKDNRDYSFLLSDDAGLPPSPKEKPAARSSLAQKADREAMHSAVKSKVSTSQPAPLSNGFSSKHTLSAQRHAEAKIDSMRRDALSNRERAVSRDKERMHSINRNGSSQVNASKTTSQKIPSKGPIGTRHPSKDVNDSSVRKSSVPSRHHLSETARPQSSQSQRMPSSGHRPQHPSHDQRPHQSLQQRPQQSVQSRRPQQTSQGQRPQQSLQSQRVPQSMQSQRQHQSSIVHKSQLSQNHRPQSQSYKPQTLQGQRPISSQGQYSEQRRVQSNDRVKLAERQIRPLSKPMLSRPISSNGIRDDHAKKKQVAKRRFDDDEDEEDPLAMIRSMFRYDPTKYAGRDDDDSDMEADFATIEREEKRSARIARQEDEEQLRLIEEEERREQERKRRRMARG >Et_3B_028568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16979422:16982475:1 gene:Et_3B_028568 transcript:Et_3B_028568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFAFHRSATLQALVSIFISVAAFHVAEAEGQDTCPPFSCGGLHNISSPFRRPGDPPECGVKAYELLCSSSKATIRINTGTYFVTNINYTGNYFWVVDANLDKHCLLPRSDQVDYGFNWELGSHDYSELTPHTNSWACFVNCSQALTNTRSYRPATCLSTNSSFVYVSISDFGGCYVYSLEPSCGYLATIPLGSLYTSFPLENASYAEIIELAKKGFAVSFPLDFDNGLTSTIRSCLIDTNSYFHGHIYGASPINWTVALFWSEISFARCMHTSYYGTTDWTTNMVIVVMVHVIGVIKLYVGTLMFFPLVVFTFLAHKFWKTRISIDAVEKFLQIQQMFGPTRYAYTDITAITSHFRDKLGQGGYGSVYKGVLHPGDVHVAVKMLGNSNCNGDEFISEVSTIGRIHHINVVRLVGFCAEEMRRSLVYEYMLHGSLDKYIFSSERSFSWDKLIEIALGIARGINYLHQGCDFQILHFDIKPHNILLDINFVPKVADFGLAKLYPRDTSFVPASAKLCIVGLQCIQMKPHDRPTMSKVIEMLECGVGGLQMPSRPFFCDDDHITLADSYHLSSELTVISEED >Et_2A_015542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14628256:14631467:-1 gene:Et_2A_015542 transcript:Et_2A_015542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKSSCSQTVQHGSPTSQSITTRNLQPEDLGAVIFGCTNNTFAECHARQLFGLPRAHLSYVQNIKEGLPLFLFNYDDRRLHGIYEAASNGKFCPQSNAWSRSGKEKTGYPAQVAMRLRLWCFPLAESQFRNAVLTNYYQRMPGVPSRMRHCFQFELDHAQTHALMNMFTPLPPPHNFWRGPVAEPIHEHVRKSTLSPLCAPEYEDKSDVKSEKFVKPCADIAKRGKFEDLGYVGANEEHASSNYDCPNGFDDHGCGGTLSKAGDHALSNKDGKVQQKQSQHDKKLNLELERPKELPPQQHRSEFCAKATATADIDDTYSCKDAQEVKCSIDVPEISKVDQLSLLYPNAPVQPLDFNSCTEAKVITLHIATSHIINLVSLF >Et_8A_056269.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19277817:19278803:1 gene:Et_8A_056269 transcript:Et_8A_056269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGCCCCTCPCPRTCLLADLPHLPEIDVIRGSSSRERRPPHAAAGCATPAAVAVHRHWRHPHRQVEEREAGGGRVAAERRAGGGTLRDHLVAFLERQPLVCDVAERRGHDDHRPGGDERAGDAAADDLPLPAGQEGGEAGGPRRRRRREEGAGEREDLEPAVERRHRRRGWPRLRRLRLPERDVGDGARRREHADAALPAASVGGERLEDVAAGGDLEDVGPERVRALPGDDHRRLGLVLGPRGASARAARDDVAAADAGAAGRARGASAAAPRRRRVLAVVGAAGAAVIVAEVERLVMMVLLVEVGAGSQIQACHLSRVGEELIIS >Et_10B_004155.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:16296156:16308545:1 gene:Et_10B_004155 transcript:Et_10B_004155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNEKQVADIEKEREVIDLHNEVMAYQEEKTTTLSHLQQVEASLKNLENQLEQQVKKNSEMQKTNEDLVLENSKLQNEVLHMQREKSGALASIVDLESKLVEVKSSLQTKIALLHEEKDASLLELHASQASIKTLESIVEKQNETISSLQQANDELQNTITSLTEESEKANVKMQEEIEAMNKQLNLMRKSLHAKIAALNEEKDAAQLELLTSQASVRNIESVVEKQNEHISSLRQANDKLQKTITTLTEESEKAKEKLQDEIEAMDKQLTVMRKSLHAKIAALHEEKDTTVLELQASHASARKFECAVQKKNEDISSLRQVNDELQKTITILTKESEKAKAKLQDEIEAMDKQLTVMRKSLHAKIAALHEEKDTTVLELQASQASSRKFESVVEKQKEDILSLRQANDEMQKTITTLTEESEKAKTKLQDEIEAMDKQLIVMRKSLHAKIAALHEEKDATQLKLQASQASVRKFERVVEKKKEDILSLHQANDDLQQTICTMTEETEKAKAKLQEEIKAMDKQLVEMRRSLYAKIVALQEERDATLLDLQASQAYMRNIDSVVEKHIKSISSEKQANDDLQKAMCTLTEKSERAKAQFQQELVEVRRGLHAKILALNEEKDATQLKLQAAQASIRNFESVVEEQNKRISSLQQANDELQRTMYTLTEQSEQTKAKLQEEVKAMEMQLVEVRRSLHVKIVALNEEKDAAQLELQTSQASVRNIESVVLKQNEDISSLRQANDELQKTITTLTEESEKAKTKLQDEIEAMDKQLTVMRKSLHAKIAALHEEKDAALLELQASQASARNFESVVEKQTENILSLHQANDDLQRTIYTMTEETEKAKAKLQEEIKAMDKQLLEMKRSLHSKIVALHEERDATLLDLQASQAYMRNIDSVVEKHIKSISSEKQANDDLQKAMCTLTEKSERAKAQFQQELVEVRRGLHAKILALNEEKDATQLKLQAAQASIRNFESVVEEQNKRISSLQQANDELQRTMYTLTEQSEQTKAKLQEEVKAMEMQLVEVRRNLHVKIAALNEEKDAAQLELQTSQASVRNIGSVVLKQNEDISSLRQANDELQKTITTLTEESEKAKTKLQDEIEAMDKQLTVMRKSLHAKIAALHEEKDAALLELQASQASARNFESVVEKQTENILSLHQANDDLQRTIYTMTEETEKAKAKLQEEIKAMDKQLLEMRRSLHSKIAALHEERDAALLDLQASQAYVRNIDSVVEKHIKSISSEKQTNDDLQKAICTLSEKSERAKAQFQEELVEVRRGLQAKVVTLREEKDATQLKLQAAQASIRNFESVVEEQNKRISSLQQANDELQRTMYTLTEQCEQTKAKLQEKVKAMEMQLVEVRRSLHVKIAALNEEKDAAQLELQTSQASVRNIESVVEKQKEDILSLRQANDELQKTITTLTEESEKAKTKLQDEIEAMDKQLTVMRKSLHAKIAALHEEKDAALLELQASQASARNYESLVEKQTEDILSLHRANDDLQRTICTMTEETEKAKAKLQEEIKAMDKQLLEMRRSLHSKIAALHEERDAALLDLQASQAYVRNIDSVVEKHIKSISSQKQTNDDSQKAICTLSEKSERAKAQFQVELVEVRRGLHAKVAALREEKDATQLKLQAAQASIRNFESVVEEQNKRISSLQQANDELQRTMYTLTEQSEQTKDKLQEEVKAMEMQLVEVRRNLHVKIAALNEEKDAAQLELQTSQASVRNIGSVVLKQNEDISSLRQANDELQKTITTLTEESEKAKTKLQDEIEAMDKQLTVMRKSLHAKIAALHEEKDAALLELQASQASARNFESVVEKQTENIISLHQANDDLQRTIYTMTEETEKAKAKLQEEIKAMDKQLLEMRRSLHSKIAALHEERDAALLDLQASQAYVRNIDSVVEKHIKSISSEKQTNDDLQKAICTLSEKSERAKAQFQEELVEVRRGLQAKVVTLREEKDATQLKLQAAQASIRNFESVVEEQNKRISSLQQANDELQRTMYTLTEQCEQTKAKLQEKVKAMEMQLVEVRRSLHVKIAALNEEKDAAQLELQTSQASVRNIESVVEKQKEDILSLRQANDELQKTITTLTEESEKAKTKLQDEIEAMDKQLTVMRKSLHAKIAALHEEKDAALLELQASQASARNYESLVEKQTEDILSLHRANDDLQRTICTMTEETEKAKAKLQEEIKAMDKQLLEMRRSLHSKIAALHEERDAALLDLQASQAYVRNIDSVVEKHIKSISSQKQTNDDLQKAICTLSEKSERAKAQFQVELVEVRRGLHAKVAALREEKDATQLKLQVAQASIRSIESVVEEQNKRISSLQQANDELQRTMYTLTEQSEQTKAKLQEEVKAMEMQLVEVRRSLHVKIAALNEEKYAAQLELQTSQASVKNIESVVLKQNEDISSLRQANDELQKTITTLTEELENAKTKLQDEIEAMDKQLTVMRKSLHAKIAALHDEKDAALLELQASQASARNFERVVEKQTEDILSLHRANDDMQRTICTMTEETEKAKAKLQEEIKAMDKQLLEMRRSLHSKIAALHEERDAALLDLQASQAYVRNIDSVVEKHIKSISSEKQTNDDLQKAICTLSEKSERAKAQFQVELVEVRRGLHAKVAALREEKDATQLKLQAAQASIRSIESVVEEQNKRISSLQQANDELQRTMYTLTEQSEQTKAKLQEEVKAMEMQLVEVRRSLHVKIAALNEEKYAAQLELQTSQASVKNIESVVLKQNEDISSLRQANDELQKTITTLTEESEKAKTKLQDEIEAMDKQLTVMRKSLHAKIAALHDEKDAALLELQASQASARNFESVVEKQTEDILSLHRANDDMQRTICTMTEETEKAKAKLQEEIKAMDKQLLEMRRSLHSKIAALHEERDAALSDLQASQAYVRNIDSVVEKHIKSISSEKQTNDDLQKAICTLSEKSERAKAQFQVELVEVRRGLHAKVAALREEKDATQLKLQAAQASIRSIESVVEEQNKRISSLQQANDELQRTMYTLTEQSEQTKAKLQEEVKDMEMQLVEVRRSLHVKIAALNEEKYAAQLELQTSQASVKNIETVVLKQNEDISSLRQANDELQKTITTLTEESEKAKTKLQDEIEAMDKQLTVMRKSLHAKIAALHEEKDAALLELQASQASARNFESVVEKQTEDILSLHRANDDMQRTICTMTEETEKAKAKLQEEIKAMDKQLLEMRRSLHSKIAALHEERDAALLDLQASQAYVRNIDSVVEKHIKSISSEKQTNDDLQKAICTLSEKSERAKAQFQVELVEVRRGLHAKVAALREEKDATQLKLQAAQASIRSIESVVEEQNKRISSLQQANDELQRTMYTLTEQSEQTKAKLQEEVKAMEMQLVEVRRSLHVKIAALNEEKYAAQLELQTSQASVKNIESVVLKQNEDISSLRQANDELQKTITTLTEESEKAKTKLQDEIEAMDKQLTVMRKSLHAKIAALHEEKDAALLELQASQASARNFESVVEKQTEDILSLHRANDDMQRTICTMTEETEKAKAKLQEEIKAMDKQLLEMRRSLHSKIAALHEGRDAALLDLQASQAYVRNIDSVVEKHIKSISSQKQTNDDLQKAICTLSEKSERAKAQFQEEVVEVRRGLHAKVAALHEEKDATQLKLQAAQASIRNFESVVEEQNKRISFLHESNDDLQKTITILIEESAQIKAKFKEQIEVKDKQLIEMRRNLDAKVASLHEENDAVLLKLQASQTSVRNYESAVEKQNEYILSLQEANDVLHKEIGTLTEQSQQANGKLLMEIKASQKEKDMVISQLKQSEALIKDLENEVARLKNELSIQQENNFTLDKQLQKFQAEMARNIIDLSLNTKALENTINVLSYEKTKVEEDLKIFVQACSGNMSSMKEFEDTVKQRIRDDVTKLGPLYHSLGEVLSSYRKLQYAYDEVSARAYKLEVLKTNQMEQIDKINQLKEEKLNTFMENAKLHKNVQYLEFQLQHVKQKLMENKWKEDRFATTLVTSQAEIQNLEQLVSLLEETLEDVKEHAELGVLNLTEQLDKLESSFNQGFPRFVYRSSTSSEEIKVMRKKLQDHLDQQKELLKKKEELAIRLRNKEKVLSEMVKSDVEERNLEKEVDEKESRGEITNNTVTASKRWPSLRRRRWRRNSYSC >Et_6B_049164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1956048:1972109:-1 gene:Et_6B_049164 transcript:Et_6B_049164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSFYNTQTSSSPRGKTTATLGKAPAMACTTTSTCDMNGIIHPCFHPEDQVCPPTTFDEVFQAMFEYMDRLFRIVRPTKLLYYGVAPRAKMNQQRSRRFKAAKDAKDAALEEKLLRERFKAEGKEVLPRETNEVSDPNVITPGTEFMEKLSKALEYYIRARLNSDPAWKSIRIILSDSNVPGEGEHKIMSFIRAQRSSEGYDPNTRHCLYGLDADLIMLALASHEPHFSILREDVGYEIQPENYIPLAKEFFKTEESSRKEYLELDLKILNPIFKTDIEKIVDDFIFICFLTGNDFIPHIPSLEIREDAVDLLIEVYKTNFNKMGGYIVNTEKVKEKHAAYLKVSRLEKFFHELSLCEEKIFVKRYDLRESYQRKILRQAAEKEWNDKFFDDAEENPDGPDLFIKSFETQCSVSACSSNKSDITANTLELRRNLKDNLRKKQDLVKSGASNSDKIRPGFAGWKSRFYREKFGIENFNEVGKLKNNMEENPDGPDLFIKSFETQCSVSACSSNKSDITANTLELRRNLKDNLRKKQDLVKSGASNSDKIRPGFAGWKSRFYREKFGIENFNEVGKLKNNMV >Et_5B_043147.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:11362980:11363528:1 gene:Et_5B_043147 transcript:Et_5B_043147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAINADQETARKTQVAPAPSPAQDPLQLTWLLSPDFPCLPLPFIPQIVLNLCHVLFPPSPSLPSPESTSCRPTLLSLMPCAGFLTDANVSAPPNAACCDGVGLFFTDTKRPPFCVCHVVNGDVAKLFGAPVNATRAASLMPECKYALRFDTLTEVCKRNSKSEISLCFTKTLICLEED >Et_1A_005727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13163945:13168740:1 gene:Et_1A_005727 transcript:Et_1A_005727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSNERKLSTQCSIWHLPRRTVYFGTSIPTIFRGLTTEEIQQCFWRGFVCVRGFDRTVRAPRPLYARLHFPASKVVRGKKPGEARVEE >Et_7A_051387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17693057:17703412:1 gene:Et_7A_051387 transcript:Et_7A_051387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPFPPQPPPPPPPNLPSTLAHLRSLLSAAASTLAALPSTLLPSPTTASLTTISSPPHDAPLPPPTSAVTLTLPVAPAPYSDCPAVVRATPAPAPESCSLPAFLAAECADFSSSTPGTTAPPPSPPASTRVLPSELGLLRRELDSWGAGGPQLPGSYSYTAAQVVAASRLGARWEAELRQWVLGSSPRYGILIDAAVRDHVWVLVWLCLKAAATEAQGSLERMQKGDDHERLGSDPRAVRFECPRLAEGVSWLGAQLGVLYGEGNGRFFAVAAVKEAVLRLGYHLTVGIGDGFGRGGNGQFGAIGGAAEKGIDAGDVVAGPVFLAQVAAAIAALYERFSVEEKIKSLRAPRPSKYQLLHEYSKALEQGHLERSNRPNYRAVLEYDGILSRRVDNQESGRAKTREELLAEERDYKRRRQSYRGKKTKRNTTEILRDIIDEHMEEIKLAGGIGCLVGAPDDMVQNMLKSNSRGDTYQRNVGATDSSSYDKAALGSWSPSCENLPHADSLGRVSSRSHGKRNSYKSLRYEIHGSHYQSLSDHENSRWNKESERESDQSYLNHHESRRHRRNSNDDRKYAYKHKNDTSEDSDYRFEPSDGTTRSTRSQKSSVTEYEHMLGAHSDRSRTSQKRHRSVSVTQDQFSDRYDPQSTYSNEDPPTNMLYDVTEGKREMYHDEVHRHGHHERKHDHHEFGYGV >Et_4A_033845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27361899:27362582:1 gene:Et_4A_033845 transcript:Et_4A_033845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLAVLHGNDKVQAHRCGTVCSSSLRGRRSSAPPRCRGVSTASMKDRVSSSRCYPGTPMRRAGLLRPSCATRSSTPRPRRSSTSPAHRAVACFVSHCGWNSTMEGARNGVPFLCWPYFCDQHLDRSYICDVWRTGLAVSHGEDGIVTEEEVPWGGWFLT >Et_2A_016799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28271148:28272948:1 gene:Et_2A_016799 transcript:Et_2A_016799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLVEEVLTRIPPDDPARLVRAALVCKRWCRLISSAGFRRRFREFHGRAPMLGFLYNAVIFSDFVRNSSTCPPLSGHHHRVIIDARHGRILLHSKAWESWVNPLESAFVVWNPITGEQKELPLLPDNMKAHSWNAAVLCAATLTGACDHLDCHRGPFLVILVGVDRGREVFAYAYSSEASAWRKLASMQYPCGIPLLPCALVGNALYFVLQYSESIMKVDLGTCDISVINLPPDCFFSRVVLMTTEDGGLGFAQVEKCTLNLWSREAGSDGYTGWTRSKLIELKTLLPIGAHSKHIYVVGCADDIGVIFLSTDDDGIFTIDIKSVKVRKVCKEDDINSIFPYMSFYTPGTNLVYPVHLQLGTSLLSCGQEQSFEAVSTAEEPRS >Et_3A_023663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10561247:10561863:-1 gene:Et_3A_023663 transcript:Et_3A_023663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAAAAAVVVVVTAVLVAPLAAAEPWKLCGSSGGTQQHVSGRPGRRVRRAAPRRGLVALRHGLQQQGNANGLRGDVDAPGCRDCVTEAFLYATASCPYDKEVAVPYDACFVYFSGQDFLHATDNVGQIHLLLPLRNDTTSTSTQISDLVDVQQVRAMLVATYGSRGGVQRAQAILRDGALVQRQRAGALRVNAVHDGPVAR >Et_3A_023792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12383408:12384784:1 gene:Et_3A_023792 transcript:Et_3A_023792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVEERSTEWWGRRAWSLLSAVRARAPLVQCITNLVSMDIAANALLAAGASPAMVHSIREVPDFTPRCDAVYVNVGTLSEDWLPSMRAAASAGRPWVLDPVAAAASGFRMEACLSLLELRPSVVRGNGSEILALADSSAVASSKFKGADSSHESVDALEAAKALALSTGAVVAVSGAVDFITDGKQVVSVSNGVTMMQKITATGCAVTALIAAFVAVEPSDALVAAACALAIFGLAGEIGMESAKGPASLRMHLIDTLYCLDEQTVTSRVNISMRP >Et_3B_027469.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20192037:20192627:-1 gene:Et_3B_027469 transcript:Et_3B_027469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRSWRGVLHPPAVRPRERAVLARVGVVVPAHAARHVRDRALPGGGVAAARGLPRPARVHGVPAREVAVRPRARGGRGHAGDAREQRGGARQEDHDRHGRPERGGEERERAEDHDRRERARERDAREHEQRGQDDGQRQEAEELLGGGAGGVGRVVRRRLGLGLGATGLGGARAGRRPVCHGEARGRRWKGENLVAG >Et_4B_037308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17893141:17893898:-1 gene:Et_4B_037308 transcript:Et_4B_037308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIAVERNRRRQMNEHLKVLRSLTPALYIKRGDQASIIGGAIDFIRELQQVLESLEARKKRRRSSGSSFSGGGSPTPSPRSLLTTGSSSSDVSPASVAVKVKELAACCNSPVADVEAKISGSNVLLRTLSGRVPGQALRMIAVLEALHLEVLHLNISTMEDTVLHSFIGLECQVSVEDLAYEVQQTFACCHQELDQHQDNLIMYPSAMPMAI >Et_5A_040858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13641396:13644748:1 gene:Et_5A_040858 transcript:Et_5A_040858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKALTAAAPRISLRVADHTMPAELALRLAVRRCIQSRSTSPLHCSLAAEALFSHDPISRSSCRKNFGEWWGTGVMNHLYDVMYEFNFSLPPDYALVIRALGSLEGTAKALDPDFKVIESAYPFVIGRLLADPSPDMRKILRELLIRDDGSIRWNRLERLIAAISEQSSESSNKSGDGSGENASGSSDWRSFDMHSVVAATEDLFDFILSRKGWRVRVFLVQDIVKASDAFLQETTFPYLFDKEFKMGELNPERSKMIKRLVNGVQSFRQAINLAPDAWTAMLIRTLLKPESQHFLLDVVSALANHSCYKIPETFWLCISRYLNYINKRDTL >Et_2A_018127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12089899:12092745:1 gene:Et_2A_018127 transcript:Et_2A_018127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGIAASFPGPCRRGGRGADLLWGRHLELRTAGEDFMAPSRSAAREAWSHLNSARREGRQARTVEQNIVLKLPLNDERKNRKAFKAAVGMNGVTSATMEGDKIIVVGEGVDPIALTRMLRRGLGYAELLSITGGDDKKKGDGYGYGGGKDGSGKNKDHGHAYGGHHMVAPAPYAAYHQYVVPSYPVCSYPAYPQHRHDPVCSIM >Et_6A_047772.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:1526021:1527631:-1 gene:Et_6A_047772 transcript:Et_6A_047772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSVPRGGGEKLGVLSFEVAALMSRAASLWRALGDAPLARLRGEAIRLEGVRRLVSDDDAALLALALAETAAACGSLARAVAGRLSARCADPLLRRFGSLFAALVESGGGADRHGIRYAAEKKMDRKARKMQRLVAFTAHLCHELDVLAELERGARKATAAVTGEAARRVARQRQEVERLRAASLWNRTFDYAVRLLARSLFTIVAKIIDVFDLEPANASASLDFSSSKVLRQSWSASFVGNSAHSTVYPSDVTARRTILPRAKSGKPAAITGGDARRFLVSRSKSLKEQLKWPGAAAGKHLLIGCVVAGGKSSPVRERWIHGGRGDLPLSFSYAASNDGNYYYSSSINSFQLQATDHQHRHHHPNAKLSTSHDPLTNPPETSLGAAALALHYANLIIFVEKIAVSPHHICFDEREELYGMLTARLRASLRARLLPFAKSSTTAACDPVLAAEWADTVRGILGWLAPLAHNMVRWQAERNFEQRNVASSGSVLLLQTLHFADQEKTEAAVTELLVGLNYLWKYGREMEARQGLN >Et_4A_034340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31896691:31905497:-1 gene:Et_4A_034340 transcript:Et_4A_034340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSHPHLLARPQTLALSLALPPSLPPSLPRFRRLRLRPVAALGSADAGEILGRVEAFLYTVADAAVSASTEVAVETGGGTKEAGDWLSGITNSMETVLKVLKDGLSALHIPYSYGFAIILLTVESALAMRSLQPQVKAIQERYAGDQERIQLETARLYKLSGVDPLAGCLPTLVTIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTITDRQSGQGISWLFPFTDGHPPLGWSDTLAYLVLPVLLVISQYISAQVMQPPQSNDPNQQGAQAVTKFLPLLIGYFALSVPSGLSLYWLTNNILSTAQQVWLQKLGGAKNPVKEYIDKLSREELANVAKNDSAIEIDSLPKLDKPQPNQEAKPSGPQRGERFRKLKEEESRRKALEGQADESEQSSTESSALDRKQNSDSSVGDNEDEQEIHENGSVVSNSNGGLSHSINEKTLNETAEKEAVDGHSSVSKSATPDTNKLTEQENGNDAV >Et_1A_004874.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:13270747:13270947:1 gene:Et_1A_004874 transcript:Et_1A_004874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRPYPWPTASRPRTSTSTSTLRSLSVSSSRSGPAAGSQIHPLPDGSGCRGTHPAAGAWIWSPPA >Et_10B_004275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2555209:2556033:-1 gene:Et_10B_004275 transcript:Et_10B_004275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATAAASYVDDKATELRLGLPGTNVEEEDEAAERELKTAALLPTPPSTPRGKKRDVTAGSDEAAAKQKHDDCAGEAAPPAAKAQVVGWPPVRSYRKSCFQQQPSSKSSKPAPAPEEKSVVAAAATQAAAAAGALFVKVSMDGAPYLRKVDLKMYKGYRELREALEAMFLGFSGDNAVNPADFAVTYEDKDGDLMLVGDVPFDMFISTCKRLRIMKGSEARGLGSVKNN >Et_10A_001710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7107762:7108842:1 gene:Et_10A_001710 transcript:Et_10A_001710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRFPSMSSVQLVSTALQTLSPGLAQTMAVSSPVVRLSTTVGTDCACPNSSTSTMIPAALMLSWKKTSSGHGQWVEEADRIGECVVEEEQALHIAVVRVLVRINQANAEGGAGAHVDEALRLAVVAEVSGDQQPVPGEESGLQEAGVSGGAPPGLADRPHADVLRDVVDEEEVGDLADELGYEPSPVSFFWPEETMDRKDDER >Et_2B_021491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30000394:30002950:-1 gene:Et_2B_021491 transcript:Et_2B_021491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASVNEELAEIDGQIGDILRALQNGFQKLDKIKDANRRSRQLEELTDKMRDCKRLIKDFDRVTKDEAGHTDPDTAKMLNDRKQSMIKELNSFVALKKQYASENKRVDLFDGPSVEDGFGEENVLLASNMTNQQLMDQGNQLMDETDQAIARSKQTVQETINVATDRCIMAMLFLIVAGVIAVIIVKIVNPHNKDIPDIPGLAPPVNRRLLSIIE >Et_4B_036120.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:25867207:25867784:1 gene:Et_4B_036120 transcript:Et_4B_036120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DQFQSFCCLQLSASVSAVLCSPPAPLVKREDFSSCQSPCNLQDLMSGLIDIWTVERERMARTGGVRQAFRSVAFLGARAQQRRTHPSETDGSRGTAVPSDGATAMMTEKENAVIEPAIVHEDALLSILVDCFGQ >Et_5B_045666.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6586358:6586978:1 gene:Et_5B_045666 transcript:Et_5B_045666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPAPPPPGPSPPPPPRELAPADPRPRHHPLLAAAAAASLLAFLYLPRPLLQLVLSPASLSSLVLLLSLLRLGSAPPSPAAPSPPPPSTLPLPQPEKRPPEAAHPPPPPETEPESVVFPEPPLELFASWAAKGRALEVIHEEFELEWGRPEEMGLAWASDSDDSDSDDSDSDSGSGSDDDAGGGMIEIELEEDNLIEIDISRCR >Et_10A_000010.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:12768879:12769826:-1 gene:Et_10A_000010 transcript:Et_10A_000010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPRAAAAGEVNLPSAEDSGDGGKKPKCEVAPAVEVVGDDVLGSIFLRLPDTVSLARAALACKRWRRVASDRVLLHRFHSLHGGPPLLGAILSDGGDVPVPYRCPNLRFVPVRSSNNPHLAAAANTGDFFFNHLPEPHFDADDEEGNDIRREPWMLRAADSSGSTSPSTTPLRGRPSSSLRPAGSVGFSTTPCSPTRPTQKPPSGWSPLSSPRAPRNGRRCPPSACPAPGTHATGCVRASSRTGSPTASSTTTTTGSESSCSTLSPWNGCSSRFLSPSASRTVPRTWLSTAACASSSTKCHACSSGLALMVDGR >Et_3B_030400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3537149:3538360:1 gene:Et_3B_030400 transcript:Et_3B_030400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQLAVPVAGASPRPPHLHPSPRHRRRSLTLARSLQDGRGGDDGSPGPRRRAAWPAVSAALFGAGFLLGPLLDGIHSRVGLQVYGDGALSVGPLNTHILVPPLLGAFYLTFGLLHLFLDEKVPSKSKATGSPEKTATSLLVLALFIELSAELYRAGVPSNVEAYVLFAAAEFVWLFLDGSWLGFAVACLVGTACPLAEIPIIKLLGCWSYPNADVHLLGEGLVSWTTTCYFVYTPFLANLARWLKSRLEDGGADDDGESAVRSS >Et_1B_010718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13280793:13281950:-1 gene:Et_1B_010718 transcript:Et_1B_010718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHVAQRTPVTLLIILLVVSVVSDGLLCFLLLVLRHFTSLAPTHRSRSGVSSRKFTITNRCGYTVWPGILSSSGSPPLESTGFALAPGATRSMHAPHKWSGRIWGRTHCATDAATGRFTCATGNCGSGQLECSGHGAAPPATLAEFTLDGHEGLDFYDVSLVDGYNQPMLVQPEGAAVGANCVPTGCMVDLNGVCPAELRVAQGEAGGGGVACKSACEAFGSPEHCCSGAHGNPDTCRPSQYSQFFKNACPRAYSYAYDDATSTFTCGGGETSYAITFCPNTASVKTAESDPRLAVYIGGWRVGSSSSSSSWRATPRLGSGLVLIGIAFLALAPIF >Et_5B_043327.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:3428066:3428149:-1 gene:Et_5B_043327 transcript:Et_5B_043327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRARACGQPQLIFHQSDHQSIMYSM >Et_8A_057500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3738125:3741413:-1 gene:Et_8A_057500 transcript:Et_8A_057500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHGGLGGSSSEDVEAPLLANDREEEERRRRPASSWARALLAHRYAAVASGPAACAAVCALADLGGARREARNMLGVLAWVFLWWVTGAVPLAVASMAPLFLFPLFGVASADAVAKAYMGDVIALVLGSFILALAIEHYQIHRRLSLNITSLFCGDPVRPPLLLLGITGTTMFVSMWIHNTACTVMMMPVATGLLQRLPRGDGDEEAGSREVRRFSKAVVLGVVYASAIGGMATLTGTGVNIILVGMWSSYFPNQQPITFSSWMSFALPLALVVFLALWVTLCLMYCSNNTGKALSAYLDRSHLRRELGLLGPMAFAEKMVLAVFGGLIVLWMTRNLTDGIPGWGALFHNKVGDGTVTIMMATLLFIIPSRKNEGEKLMDWNKCKKIQWDILLLLGAGFAIADGFKSSGLTDILSDELKFLKGAPTVLIVPVACIVSGIMTEFTSDDSTTTLVLPLFAELAKSIDVQPALLMVSGAIGAQLSYLLPTGSPSNVVGFSTGYITIKDLVAAGLPLKVVAIAALTVLLPSLGKNCTNQDLKLRMVWLRLGADYLLPSPFSPLKPTTPLPCLFAVPACY >Et_1B_012348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31028725:31029266:-1 gene:Et_1B_012348 transcript:Et_1B_012348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKEAGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMITKQEQAQLAAASYDSIVNGGDGIKGPVITGSAEVAVAQVEEKTVKEGPNRCSTCRKRVGLTGFNCRCGNMYCAVHRYSDKHDCQFDYQTAARNAIAKANPVVKAEKLDKI >Et_3A_027261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6133203:6134773:1 gene:Et_3A_027261 transcript:Et_3A_027261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPVLVFDAMANSSQESSADEAAPPRQSSSSTSRFKGVVPQPNGRWGSQIYDRHTRVWLGTFPDEESAARSYDVAALRYRGRDAATNFPGEGASAPELAFLAAHSKAEIVDMLRKHTYADELRQGLRRRATPTPAWARERLFEKAVTPSDVGKLNRLVVPKHHAEKHFPLNRAPTTTATGKGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLRAGDTIVFSHSTYGPEKQLLIGYRKMHKQQGKSATAVESRVVKLFGVDIAEEKIEDVARELEAQHPSRDE >Et_5A_040885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14056863:14061064:1 gene:Et_5A_040885 transcript:Et_5A_040885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFGGEDSFSPQHLFHAFADEDDRHPHFPGPAAAARRPLDLNSQSDGWSPQADYQGAWEGDVAGGSGGGGGRGFMATSGGAGRGLGFNGGGGSRSFGARGRGPGGASAGSAIGGAGGSHGSILGGGGGFHGSVLGGGGGFRGGGYGGGSGFGGSGLGAGQRMGGSNLGGGDGMGRSGLLGGDGIGGSGGSGGSGSLPPNPARRAGGSANRSVGRGASRGERSTPTRGAGLRDVLLTATLRAATRSASTGGSSRGRRRRGGDDDDDEDEFLDDLVPGDENYDKANWLPEENSALLCRLLLEQIELGNYNQGNMTRRGYKLLQSRWREETNAFLKLKAFANRIGQLKALYGFIKQLHSDTGLGINPETGWPEATDDWWNAHTQGKSEWKKYKYQGPEYLPMLQQVFDGVAVDGSTGWEPGEEQSTMFFDQGLSGDDELVAMITDDDEVEEQGLLLLVIRMTYAFSRDGAMPFSSVWHKVNKQEVPINAVLPTRDSPCFHLMQSLGSLVVVQAMASVSTTALCIAYALPTLFRITVARKCFVPGPFNLGRYGVVVGWIAVLWVATITVLYQTTRYVPYSSTIVLHRIFNLNYQWK >Et_9A_061858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17175408:17178751:-1 gene:Et_9A_061858 transcript:Et_9A_061858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALPADAEPPECPVCLCPYDATSAVPRVLPCGHSLCGPCIAALPPASAAAAASIRCPLCSQCVPFYRALGPSSLPKNLALLALLPSPSPSRAPAAAAAASPPLPLPLHAAHSRLLSRFRHAVLPESASPIRSAPAADHLALGSLDSDLGAPWFCTRGRPVSLLPIETKDGVRKLEHEAAFYRPSYTARVLCAVSALSDAAREELAGLIGASARLARRVCRVYGVWMGSEATPLWMVSERHPNDLPLLLEERINGEKMVARIGAVAMEMCEAIMGLHGEGLMLGCLGLDCFSIDRYGHCLLDLNKALELCRMVRAGDRSSNVGAFVAPEVVEVLGDTSQMKDCDLDGLVGYRSDVWSLGCVLVTLLTGDEQLALGWSTAESYDDWAKKLVTRLDASLVGTQLEPLSAITASCLSYDPKDRPEIADVWKCIRDSLMSSGNNALAPDDVLASEKSFRCLLLGKLSTMFVESCSVKSDGKLQSSRDNGEIDFSEKDDPQSGGVFKSSTLLAHRDCVTGLAIGGGFLFSCSYDKTINVWSLKDFSHVQCLKGHEHKITAIVAVSNDNWSLCISGDSGSGIFVWHVDSSLKEEPLTKWYDHNDWIYRGVHCLAVSGTGYLYTGSRDKSIKAWSLEDYTLRCTMTGHKSTVSCLEIASGILYSGSWDGTIRSWWLTDHTPMSILEDDIPGNIAPVLSISTEANFVVSSYENGYLKVWKNDVLVKSDKLQNGAVYAVKLSGQWLYTGGWDKVINIQELLEDESEVEIRDVSSITCDSIITSLLSLDERLIVGLSNRDIKVNSIFLFLF >Et_7A_053153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8413179:8420014:1 gene:Et_7A_053153 transcript:Et_7A_053153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQRWRRLIGVLLWLLYSGLKWPILKCVSTCDTTHVEIFVHLAIAHGKVLEGLFASLELATRGCKGHLPGTRDRTAASTMAAHHTNGASLPNAKYTPVGPPVGSNATFVTLPAPSSGERTPEFMDMSVMT >Et_1A_006059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17263201:17271236:-1 gene:Et_1A_006059 transcript:Et_1A_006059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNLQATDRSRGHGASPPIRRHRRAAAAGAYPHGKMPAAAAARPSLDADGEVSRVANRLTEEVQKKYGFCMADAFEDINRAFNYTDTSFATECMKQTQGQMPTMLCRQAEIELYVKTLASSRTSARVSPNCNQNSWALGCQPGWACSTLESESSDESVVPSRAVRCRPCCPGFFCPRGLTCMMPCPLGAYCPLGTLNETTGLCDPYFYQITPGPKTECGTADSWADVVTANDIFCPPGYYCPTTTQKYNCSKGHYCRKGSTDKTKCHWKNTCKGNNSVKEQIGLFGGVLIVLLIIVLLLVYNCSGLFIAIQVKLSSRSRKKAERIAKESATARERWKLAKDLALSNEAEMRAYDQIEKERSQHLDSDKLTIPGMVSLVNENRARRPMLEVAFRDLTLSIGKKKLLQCVTGKLSPGRVTAIMGPSGAGKTTFLNAVLGKTSGYKKDGLVLINGKPGSMQSYKKIIGFVPQDDIVHGNLTVEENLWFSLCCRLTKGMSRSYKVVVLERVIGSLGLQEIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQLLLKALRHEALQGVNVCAVIHQPSYTLFNMFDDFVLLARGGLIVYHGPVSEVEMYFAGLGIKVPDRENPPDYFIDILEGIVKIQMRGNVTPKHLPLLWLLHNGFDVPDDLQKDLEDINTIRQLYTVRSISREQSLTEHSDNADSVHHNARQSNQMLDRKTPGVFAQYGYYLGRVAKQRLRESTQQAVDYLILCIAGICIGTIAKVRDDSFGVASYGYTIMALSALRSFSPEKLQYWRERESGMSTLAYFLARDTIDHFNTVVKPIIFLSTFYFFNNPRSTLRDNYLVLLALIYCVTGIGYTFAIWFELGLAQLCSALVPVVLVLVGTKPELPRVVKELCYPKWALEAFIIAGAKEYSGVWLITRCGALLQGGYDINYFGLCIAIIMLYGVLFRFVALFSLLKLK >Et_9B_066074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2617853:2620706:1 gene:Et_9B_066074 transcript:Et_9B_066074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDLRELNAKGVSWNIINQAWKRLQNLKKLRVRAYSSEAMTVDSCSPIDLMNLELLDLSGTTQLKSLPDLSLAQRLKLLVLDGCSSLERVMIGRAPMLESFSFDGFGQAKKWTHSLHLALQELRPRTIVYEAKVSKISLNVCARLHSIFLRALPNLQELNLSGTAIERLDLGAMDIRSLKYLFLLGCEKLRSLWWDGNNPTLEGLHVDTWGEPSLVLCCGEQKNFKFVADITFLDGSLVTFSANDLPLAHCIWGEGINNQEKWAGLFYYFSGLRHIYLNNCPRLVYVLPVSFDLARLETIQIEYCSNIKIIFPLDDEYPEHIEDRVFELKRIKLRHLHNMEQICGARVSDAPKLEMISVRDCWRLRRLPAISDRCSQRPIVRLRRLPAISDRCSQRPIVDGEKDWWDKLEWDGLKANHHPPRFETHLSRCCYKKTIPRVSVLRLMQCATAMTGRILLLADTQIIKQSSLG >Et_1A_009041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26705182:26706536:-1 gene:Et_1A_009041 transcript:Et_1A_009041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPDEEQERRKAAPMIFRLFGKDVHVAGEEEMDYEEDDGEHPKEPLKKSTSMPNLITSTNKSSALEEVARKYASDDLDLATPHQKRRRRKAQERKKGIPWTEEEHRKFLDGLRHLGKGDWRGISKSFVTTRTATQVASHAQKYFLRQTNPGKKKRRASLFDVGMVDYNNNDQVPSPQSIATKPAPTEEIIHTDRGDVPKPKAHGETFLASMPSGLETASSISSLELSMAVNSLDLSIAPPRGAAGAIKVL >Et_2B_022519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24408827:24409919:-1 gene:Et_2B_022519 transcript:Et_2B_022519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCGAALDVAGELGVPAYFFFTSGAATLDFFLHARTAVSFREMGEGELVHVPGVPPFPATHAIHAVMERDDDPAYGGFMDAAAGLCRARGVIVNTFRSLEPRTVDAIAAGLCAPPGLPTPPVHCIGPLIKSQDHQVAEGDPEDCLAWLDRQPKASVVFLCFGGLGRFSAEPEQTREVAAGARGQRAALPVGAPPNNDPAKKYEEKPREPDLDALLPDCFLRRTEVRGLVVKSWAPQRDVLAHGSVAGFVTHCGWNSALEAVSAGVPFLAWPLYAEQRLNRWYLDKELRLTVALEGCDTGSGFVTADEVATKVRWLVDSDGGAVVLRERTRAAMRRAKEARRKGGESEAALAGLVDSWRSLI >Et_2A_016499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25289076:25290496:-1 gene:Et_2A_016499 transcript:Et_2A_016499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASCGLAWEPSSWDTCRFVIHADRRLGAAAPSREQRCCCDPCKETDSERSPLRLLIRRTTTGNGIPYHRSWYDGEQRAGPRHGGRNFAESISTAGIFLFLTGQLIRGSTARSTWGTNAAHCSSWASATIVPSGRSSCRPWPAVPELDAHMHSRSVDGHFYRFGTGINR >Et_3A_025001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2608062:2613932:-1 gene:Et_3A_025001 transcript:Et_3A_025001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDAAPTEPERWRDLDMLLTRPGNLVEASFDPNPTLRDLLGSVVEVLVVGAGGLGCELLKDLALSGFKKLHVIDMDTIDVSNLNRQFLFRVQDVGKSKAEVAAKRVMERVSGLNIVPHFCRIEDKELEFYSQFHIIVLGLDSIEARSYINSVACGFLEYDSNDNPIPETAKPMVDGGTEGFKGHARVIIPGTTPCFECNIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWHEVHPGKPFDADDAEHMQWIYSEALKRAELFGISGVTYSLTQGVVKNIIPAIASTNAIISAACALEAFKLVSGCSKSVSNYLTYNGLEGTHIKVTEFVRDKDCLVCGPGTRIELDPSSTLSEFIKLLEEHPALRMSKASVTHEGNNLYMQSPEVLEQMTRSNLSIPMFELLKGVACTTVHATGMTESNGKKVSSLRKLRVAFKGVEGADSKMDTTEETT >Et_4B_037788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23291826:23301795:-1 gene:Et_4B_037788 transcript:Et_4B_037788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPYPIRICIVLPSSNQRRSDTISHFRIPTLASAPDTTPPPHDRGGHQPPPWGPTPLIAPAGHGAQRVRRRRARDRSPGPHRGRFLRGSDCSLRIYSAPSTADGDEIRRDGPYKLERQEPRFWRRPPLAMEVSASRDLLLSLSEWVALHRLPGLETVAAVSSKTKGANAIAWDDRRGLLAVGRQKRLTIFRLDSGREFVEVKEFGIPDLVKSMAWCGDNICLGIRREYMIINSMTGAQTEVFSSGRIAPPLVVHLPTGELLLGKIRSLRAPNALVQTVVLRDVQKLVQTDNCILAALSNSVYGLLPVPIGAQIVQLTASGEFEEALALCKLLPPEDSNLRAAKESSIHIRYGHFLFDSGSYEEAMEQFSDSHVDITYVLSLYPSIVLPQTHIIGEHDKLQDMPELARESSDVTDEMESYSLQLHESDDKSPLEIKKMSHNTLIALVKYLQKKRSGIIERATAEVTEEVVSGAVHHSLILSDSYKSKKPNKKRAQTHTSSIAREMATVLDTSLLQALILTEQSSGATELLKGLNFCDLKICEEFLKERNEYLVLLELYRSNGMHREALQLLNQLVEESKSEMGNTDFNKKFNPQMIIEYLRPLCRSDPMLVLESSLYVLERNPSETIELFLSENVPADLVNSYLKQHAPNLQSTYLELMLSMSETGINPNLQNELVQLYLSEVLDWYKILKEEGNWTEKTYSPTRKKLISTLESNSGYNTDLLLKRLPQDALFEERAILYGKINQHLRALSLYVHKLQMPERAVVYCDRVYEEGAQQPSKSNIYFNLLQIYLNPRKAQKEFEQKIIPVASQFPGNQKVSSATKVKMGRTGKKVVEIEGADDIRFSPSGTDSSRSDGDGDDVNDGGPIMLNEALELLSQRWDRINGAQALRLLPRDTKLQDLVSFLEPLLRNSSEHLRNYLVIKNLIFRANLQVKEDLYKRRQAAVKIDGDSMCSLCHKRIANSAFAIYPNGQTLVHFVCFRESQQIKAVRGGNCSSKRGVDEAAEGLAGVGGPVDGAVGRGGPERVGEGERRRGRGSQPRSMSRCAGSSSSGQEPLTG >Et_4A_034911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7805437:7809741:1 gene:Et_4A_034911 transcript:Et_4A_034911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKKQASKLREHVAKQQQAVRKQFSARYNQDPSLVDEAELECHQNLQRLYSSTRAAKHFQRNIIRGVEGLIAVSTKQMDIVKRLAEDCCRYGNDNQNFGFVLAKASVEFGKSHNQIEKERENLLKVLGEQVFEPLRELIMSAPLEDARLLTYRYQRIRQDMESQIADVMRKQLKSKEGRGNADSSAKLQHAESKLSELRTTLAALGREATAAMEAVEAQQQQVTYERLLAMVEAERAYHQNAAEILNKLHDEMVQAKHHNESANHYDERSSGPESEATPVHVRSQSSTSEEPVSSKPSESVGNGQEVHFVGEVIHPFDAQSDGELSISVGDYVVVRQVATNGWSEGECKGKAGWFPSAYVKQRDKAPASKVDVTRSCSSNTAVLVVNESR >Et_7A_053156.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:8538718:8540745:1 gene:Et_7A_053156 transcript:Et_7A_053156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLFALLLLLGLGGLLPAASAADEQFVFNGFKGANLSFDGMATVTPDGLLMLTNGTSQLKGHAFYPAPLRLRRAPNSTAMESFSTAFVIGIIGAFEDLSSHGMAFVVAQSRNFTSELPGQFMGLVSSATNGNATNRLLAVEFDTILNSEFNDMSGNHVGVDVNGLNSVDADNAGYYDDATGAFRNMSLVSRRAMQVWVDFDGPTAQVNVTMAPLDVARPMKPLLSTAVNLSSVIDGDAAYVGFSSATGILFCRHYVLGWSLRTNGAAPPLNVSSLPVLPVTFPKPRSRTLEIVLPIASAALVFAAAAVAFAVLRRRRMYAEVKEDWEAAFGPHRFSYKDLFHATDGFGDARLLGIGGFGRVYRGVLASSKTDVAVKKVAHGSRQGMREFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYGDHHQGAGKVAALSWGQRFRIVKGVASGLLYLHEDWEQVVVHRDIKASNVLLDKEMNARLGDFGLARLYDHGTDPHTTHVVGTMGYMAPELGHTGKASKASDVFAFGAFMLEVACGRKPVTQDARDNRLVLVDWVLDRWRAGAVTDTVDPRLAGDFVAQEASLVLKLGLLCSHPLPGARPSMRQVVRYLDGDVPLPELSTTYEGLNMLALMQDQGFDPYVMSFPMTSMSASTMSDLSGGR >Et_7B_053291.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:22314824:22316293:-1 gene:Et_7B_053291 transcript:Et_7B_053291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSWQWSRGIGAVRKRQDDDHVAGAVSKQSFQSVALVVGSTGIMGTSLVDILAQADTPGGPWKVYALSRRALPPWSKPSSDSVIHPITVDLTDSAAVVEALTQLKDITHVFYVAWTPHTTEEQNREKNSAMFRNVISFVVTNCPGLVHVSLQTGTKHYIGPFELIGKIAQPDPPYTEDMPRLDCPNFYYDQEDILFDEVSRHGGDVGWSVHRPSLIFGFSPRSYFNIVCSLCVYAAVCRKEGATLQWPGSLGSWEEFSNASDADLIAEQHIWDGVEPMARNEAFNCCNGDIYRWKQLPILAERFGLEWTGYTGEENRFNVAEAMLGKETVWAEIVEENQLVETQLCEVANWWLIDNVINDHGANWKLLDSMNKSREHGFLGFRSTVKSFNGWIDRMKDCKIVP >Et_2A_017471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34758181:34760700:1 gene:Et_2A_017471 transcript:Et_2A_017471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHAAPDRTDVPVGECEWREELRQQKSQVDALRERLLEVKVGIRCSDGDSRRELDHLCRRVKTIATLLAYLKSKARIMAIPHLAHTSCGIRHQEGVGYVDRHGVPLADWPKGDDLDDNMMVESSGAPEHGDANDGDVDVDDILKSIRVVTDVMESLVKRVIVAESEAANEKEKVRMGLEEIRRKTLQVETMAAKVDEMEKFAVGTNGMLNEMRQRVEDMVLETSRQRQRAAENEQELSRVKQDFESLRTYVSTLVNVRETLLSSEKQFETMEKLFDKLVAKTNQLETEKAQKEAEVHKVMEENVRLRAMLDKKEAQLQAMSEQCKFMAMSRHD >Et_7B_055808.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5149037:5152528:1 gene:Et_7B_055808 transcript:Et_7B_055808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRALFLLLMLALAPFQAPAQPPASIPPVARTAEVQAEIDALLAFRRGVRDPYGAMSGWDASSPSAPCSWRGVACAPGGAGRVVELQLPRLRLSGPISPALGSLAYLERLSLRSNSLSGAIPASLSRVTALRAVFLQSNSLSGPIPQSFLSNLTNLETFDVSGNLLSGPVPASFPPSLTYIDLSSNAFSGTIPANISASAANLQFLNLSFNRLRGTVPASLGTLQNLHYLWLDGNLLEGTIPSALANCSTLLHLSLQGNSLRGILPTAVAAIPTLQILSVSRNRLSGTIPAAAFGGQGNSSLRIVQLAGNEFSQVDLPGRLGADLQVVDLGGNKLAGPFPTWIAGAGGLTLLDLSGNAFTGELPPAVGQLTSLLELRLGGNALTGTVPTEIGRCGALQVLDLEDNHFSGDVPAALGGLPRLREVYLGGNSFSGPIPASFGNLSWLETLSIQWNRLAGGLSSELFQLGNLTFLDLSGNNLTGEIPPAIGNLSALQALNLSDNAFSGRIPATIGNLQNLRVLDLSGQKNLSGNLPAELFGLPQLQFVSLADNSFSGDVPEGFSSLWSLQHLNLSGNSFTGSIPATYGYLPSLQVLSASHNHITGELPAELANCSNLTVLELRGNQLTGVIPSDVSRLGELEELDLGYNQLSGKIPEEISNSSSLAILKLDDNHIGGEIPASLANLSKLQTLDLSSNNLTGSIPASLAQIPELQSFNVSHNELTGEIPPMLGSRFGTASAYAANSDLCGPPLDSECGEYRRRRRKQRMQRLALLIGVVGAAVVLLVLFCCCCVFSLLRWRRRFVESRDGVKKRRRSPGRGSGSSGTSTENGVSQPRLIMFNSRITYADTAEATRQFDEENVLSRGRHGLVFKACYADGTVLAILRLPSTSADGAVVIDEGSFRKEAESLGKVKHRNLTVLRGYYAGPPPDVRLLVYDYMPNGNLATLLQEASHQDGHILNWPMRHLIALGVSRGLAFLHQSGVVHGDVKPQNILFDADFEPHLSDFGLEPMVVTAGAAAAAAAASTSAATPVGSLGYVAPDAAAAGQTTREGDVYSFGIVLLELLTGRRPGMFAGEEEDIVKWVKRQLQRGAVAELLEPGLLELDPESSEWEEFLLGIKVGLLCTAPDPLDRPAMGDVVFMLEGCRVGPDIPSSADPTSQPSPA >Et_7B_055437.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:10494905:10495399:-1 gene:Et_7B_055437 transcript:Et_7B_055437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADGEPQPVATDPTPSPVPAPAPSPAKRKPEAEAAAAAVDDASPNADEEAAAEAKARARAADKGKGKMVVEEEVEEEDDDDSDDEDDAADGDGSDGDELCEDPLAEVDLSNILPSRTRRRAPPQPGAYIVPPEEAADDDDDDLDADVAPEEDEDGADGEESD >Et_2B_018960.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19103860:19105471:1 gene:Et_2B_018960 transcript:Et_2B_018960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCPLRHHLYKRFPPASSHHHPLPLANHRRGPPSPPRHHHQFATIATPAMDLLDMVPAHAIALRLYSLPAAAAAMGSLCAWLVAALAAAVGLWRIRAIGASKTGAGGRSGKALVDDKQAQAAAPLPRPAIDEPRRPAAARARAEPAEPASPSDEPSTPSKVRFTAYYGGSGHDDGVVDGARNSLGAEDDDGGDDVVEMVLRRTASASGRRRSAALAAATPWEEREMAVRRRGDLGWYRHLDMAALDGSVVRLWDGELTASPRGRRRARLEFQLSL >Et_9A_061618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14523230:14547998:-1 gene:Et_9A_061618 transcript:Et_9A_061618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAKVALLFFFFFPANHSVDAQNSSSGGGVELFHVGVILDSETLVGKTAFTSISMAVEDFYAAHPSYRTRLALHVRNAGGDDIRAASAALSLLEKHQAEVIIGPQKSSQAVFVSELGSRAHVPVVSFSATNPSLSHRSLPYFVRATVNDSAQVNCIASLIKTYGWRDVVPIYEDTDYGRGIMPYLIDALQGIDARVPYRSLISPTATGEQVKEELLKLMTMQTRVFVVHMDLKLASFLFTKAKEVGMMNKGYAWIMTDGLSNLIGSMSPSVLEAMDGALGVQFYLPESSELKKFTMRWNRRFQMDNPNGPLFQVNVFALWGYDVIWAVARAAELIGVAKSASVQKPKTKKGSTSLESLETSTNGPKLLEAILQNKLSGLSGNFDLSDGHLQSSTFRIINVAGKLWKEIGICTTRNGVSLQLNPVTWPGKSMEIPRGWELPVAGKKLQVGVRNSGYPEFMTVNKDPFTGAIKATGLSIDVFEEAVKRLSYALPYEYVVFDTLRDTSIRSYNDFVYQVYLKNYDAAIGDITIRYNRSFYVDFTLPYTESGVAMVVPVKASENTNTWIFAKPLSKGLWFGSIALFIGTGFVVWVLEFIGGNEKIGGSLREKLVIMIFFSLFQQKDKLKGFLSRIVLLVWLFFLLVLTSSYTASLASMLTVQQLQPTVTDVHELIKSKEYVGYRRGSYIKGLLEEIGFDSSRIKPYNTPDDFHNALKRGSKNGGIAALVHEVPYIKLFLAEHCKEYTMVGPIYKTAGFGFAFSKGSPLLGDISKAILNVTGGDTIIQIEKKWTADRNNCPNMGPVDEPGSLTFESFRGLFILTGAVSICSLYIGLCIFLFKKRYGLNNQNGGHPQSGDVPGVQGQDKNVQDQTEGTQQDGLDGMRQEDGQGGRGPEISDEHGKAHRDIDNGDEIRETRPNNMAAQPHLVHEHGPSPNTTPGGVKFHVGVILDTETLVGKTALTIISLAVEDFYAAHPSYHTRLELHVRNASGDDVQAASQALDLLENYNVQIIIGPQKSSQAVFVSELGSRSHVPVVSFSATSPSLSHSSLPYFVRATLNDSAQVNSIASLMKFYGWREAIPIYEDTDYGRGIVPYLVDALQGIDARVSYRSVISRTATGDQIISELYKLTTMQTRFYLPESAELDKFTKRWNRMFEMDHPNDPPSKLNLFALWGYDVLWAVAIAAEKIGMDSNVSVQNPNGSTSLGRLKTSANGPKLLKAISQYKSRGLSGNFDLSDRQMQASAFRIINVVGKQWKDIGLWTARNETLRQLNPVLWPGESSEMPRGWEIPVRGKKLQVGVHSSGYQEFMRVTKDSRTGAIKATGLSIDVFEEAVKRLPYALPYEYLIYDTARDTSRSYDDFVYQVYLKNYDIAIGDITIRYNRSFYVDFTLPYTESGVAMVVPVKTSENRNTWIFAKPLSKGLWVGSIALFVGTGFVVWVLEFLGGNNEVGDSHHERVSLLFFLVTTTSKKVYYFYICFLEDKVKGLLSRIVLLVWLFFLLVVTSSYTASLASMLTVQQLQPTVTDVHELIKNKEYVGYHRGSYVGGLLEEIGFESSKIKAYDSPEDFHDALSSGSENGGISALVHEVPYIKLFLTKHCKEYAMVGPIYKTAGFGYAFPKGSPLLGDISKAILNITGGDTIIQIEKKWNVDQNICQNTGPANDSQGSLTFESFRGLFILTGGVSGCCLLIGLTFYLIKWRCDRQYGNRENLNGPDQPDDDRPRVEAEDDEGPGDGENNQVENDQGHAPGAPQAEAEGDNVKEIIKLKAETKKLGSVRMLAGI >Et_6B_049714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9295619:9299093:-1 gene:Et_6B_049714 transcript:Et_6B_049714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMCSDLYLAAFEGRTQEITRLLTGSDGATEASGNFQAVQASRANAIHPGECCSTSEVTADRSTLLHIAASHGHCELIIELSRRDSTLLSSLNTELDTPLHCAARAGHPDAVEAIVRLARDNVEEDRLRGVLRSRNESGDTALHLAARHGHGASVEMLMKLAPELASEVNDAGVSPLYLAVMSSSVPAVAAIIDYKDASAAGPDSQNALHAAVLQSAEMVSLLLRWRSTLSVNLDSNKSSPLHFASSDGDCSIVQEILTYAPPNTAYLQDTNGISALHVASLMGNLPVVRLLLQFYPASSDIRDSCGRSFLHVASMQGHSSIISHVIKNPVLEHLLNRQDREGNTALHLAVQAGEYKVISKLLCSKKVQAHIMNNAGLTPSDLIENSTGFFSMVRLVMKLYGYGAQFKPQRQDHIRKWTGQDIVKWRVVTSKNLAIVSTLVATVAFSAAFNVPGSYGSDGKANLNGNRMYSAFLVLDTIAVTTAVMATILLVYGRASRSHRSWFGFIISMHFLWLSLLCMMLGFFTAIAATSNEKSMMTALSRVIYFGMYALIMLLTSLAVPSGLWGYLFGGFSGRQRHVKNRINRQYPFVFSYTFNMLLFIVVNNIAIAAVDIVGTLR >Et_3B_030197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31218749:31221885:-1 gene:Et_3B_030197 transcript:Et_3B_030197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPATEPAPPNPNPVSDDPPPPEQQEEEEVPEPPPPPAPTTSIEPTPSGDEDSDDSSSVSSASSRSEAFSRCIGELSFRSPVRGVRLRRDRIIVVLENKIFVYNFADLKLVHQIETAPNPKGLCAVSQQPGSIVLVCPGAQKGQIRVEHYGARKTKFINAHASRVACFALSQDGRLIATASTKGTLVRIFSAAEGNLLQEVRRGADRAEIYSLAFSNNLQYLAVSSDKGTIHVFNLKVNVGSTANDKPQPAPEPEVPPMSPPLSFIKGVLPKYFHSEWSVAQFRLHEGEQYIVAFGHEKNTVAVVGMDGSFYRCQFDPVNGGEMLQLECYNFLKPSDQP >Et_4B_036403.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21841770:21841925:1 gene:Et_4B_036403 transcript:Et_4B_036403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAMYYPGLFITNMDVGTKIICPRRRARRDHCQCEISFGAQLPPCCILA >Et_8B_058961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11523053:11528550:-1 gene:Et_8B_058961 transcript:Et_8B_058961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAAPLAGRFMEISYNAMKTVSNKKQVSFNDEPDAPESTNDINNSEDENSDEDNDIDNGIDQLCAICDDGGEILSCEGPCKRSFHPTKKDGRESKCKTLGYTSAQVKRIDIYLCNNCKYKQHQCFKCGELEPSDGSNAKVFQCSKSSCGHYYHPKCIALLLEPDDSSGASLLEKRIMEGMPFTCPIHWCFKCNKMEDKTKKNLKLAMCRRCPRAYHRECLPREISFDAKEKDVKRGWELSKTLLIYCLHHKIDKAIGTTRRNHLRFPSSPECSKRRDVGKNEGGMTCKRKNSSGQHSTEFTDRRKRAKPVLM >Et_7B_054943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5903613:5910380:1 gene:Et_7B_054943 transcript:Et_7B_054943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QISSSISSLRAEDVSPRLSFHYGVPADAALLAYDPVLHVLAVATRNGQIKLFGRDNTQALLQSAGPLPSKFLLFAEGQGVILNVNTRNQIEVWDIDTKKLCYVHPFEKEVTAISVLQKSNYIYVGDSSGSVSLLKLDLTQRCLADMPYWIPFAESYGSAANVGNVVEVVFVSPQPLAEYNRVLIIFRDGIMILWDIKASKAVSISGKTMQQLSHQEAKTVTSACWVCAKGSKIAVGYDNGDLFIWAVPEISVAQNSSQNLPLQRLNLGYKLDKVPIVSLRWVVSDGKADRLYINGFRDHGYLFQVLILNEESESRIVKMVLPITESCQGMELITGLSDPNKHKQSALVLLLKSGQICLYDDSEIERYLLHSQSKSSTTLPNNSSVKLPYGDSRISDYFSLAGKCPWLFSMKDKVQTSTSLTNIHKTQNLYITGHLDGTINFWDASCPLLLQIFTIKQQNEDNASSGSPITSLQFDMSSGILVSGDGSGMVRVMTFKKDSSDNIFSFLHAKQGDNYNIRSIKLKGAVTTTSLVSKSKHFAVGTEKGIVSVINIEDATILYQKQFECRLSGGIASLQFETYSHNGYDKDILIIAMEDSSMFILEEETGKLLNTNPVQTEKPSKALLLQILELAPDDASVSDNQDTVSKESLLLLCTENAIRLFSLSHAIQGTKKIINKKKFSSTCCFASLIHSSSSEIGLALVFFNGEIEIRSLPDLSLLKDTSLRGFVYSRNLNSNSSITCSSDGEIILVSGEETYFFSTLCQNDIYRHIDSINTVYRKDNSPREDSSLLVKSPKEKKKGLFGMIMKDTKGSKAKESDANGNEVCISTTSEELASIFSSANFAPPSERRNSSLKDDENIELDIDDIDIDDDTQKQKGPHFPILSKEKISKGLQTLRGKLKPKTEEKVNLGNKKPEDEPPVSQVDQIKMKYGYATNDDSTSVPKMIGSKLQENMKKLEGINHRAADMATGAQSFSAMAKELLWTTKNEKSTS >Et_1A_005573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11616602:11622072:-1 gene:Et_1A_005573 transcript:Et_1A_005573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGKEAAATPPAATLGRGLSSAPPPEDMIVPADELGLETTAVPRTATSPEMSAVAAAIVGAEEKPADQPLEEAPVPPPLDDATKTTGIRHDVPPPDIVLRPGDGQPSVVAADEAAAEEDDGGQPLTAVLPPALGRLAPEEAEETDSPLEAVKSKTADDIRGDLLVPADIDRAATAEDGGGGKPPAPATLEGVLPPTGSPPSLPGRLLSAGAAAEEKPLQGHEAPPLKATKTSDARTSQAPSPDAAAAQPQQTMRAAGETDTAAEQVATPPPPPPDQTPSQEKAPAPAADAEAGEQQLPAPQQAGGAAAGEASSAHKVEEEEEEEEEKEDSKAAVQEGSAEKKPPPGRLWGLLRTAVRLLFNRSKGAAGDQSAPPPDGKGGGETTKPAETDGDKQPASPKEDEEKKPAKKRRRKDGAGVPADPAKDKDDEEPSGKPHPPERRKSLRRTKSQASAGAGNDDGKATPQCPPVKKLQKAGRRVVRIMSWYNRHRSQQKDEQPPGAAPGPEDGNSTKTTEPAAAGEEGKEESSDDPQKKKKKLSDGNQLPAPEQQQQENKPHPKWAEEEKRLESILEEAFTRLLATEYTHQLKPTNRKCLLTFSVFNLASEVKKQTMVYWWVSEFHLPHRRRIDPPPNSGKNSAPDSPKLLPWKIRGGRRKVPTAPAAGTDSPTKTEAKGDGGGGERLDAEGVMSKLSDLGFLQPIKNYCCGGIHGCKVNPLVHWMVKRLARDGGFADLDDDGNPTKEQSTSGILCLTKGCRDRLHEMRMAELQAPPPSITTTTKGPDQEEAEESSTQATNDSEDVNVVEFKEKCVILNVDAHVYPLSKSLFKHLSDYLVVLQLGRWCHHDNKTYMEVDGLESESQCAINKLKNLRCLSLRGLSRISKLPCGIKMLKNLEILDMRGCQNLVTVASKDISPLKHLTHIDLTECYIAYWSRNHFPSVFKGFVFGSETGTRRCRVRDLKRLKKLQKLSINITTDANIGRGDMEELQSLNTLQSLTITWSEIPSILEDASKKIKDKREELLKTWTTFELPLSLVKLDLRCYPKKDLDLKKPNSLKKLYLRGGEVENIFISKPNSITTLRLRYLKNLVMTWEKIISLSDAIEHVEIKVKDESLLKLKDLDEDDKKFLKKEIEEESNLLEKIKIPESILDKDGVWIKDNKEEENQATLKCNEDAKIQNNAQGDPEKNKGAPSNFHALP >Et_9B_064869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19391631:19394284:1 gene:Et_9B_064869 transcript:Et_9B_064869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVEPDDIVKTIKSDCGDIIDCVDIYKQPSLKNGQLGDYKIQLKPTREPPKIHHELLVTSKSFHQQTWRKSGSCPEGTIPVRRQPTGFNAEVANRTRPFFTYGRPATTISDKKFQEDRTRPFFSMKVAAAYGVNGPYHGARASIPNWKVKVDPNEFSMSYLLLASPHDRQFVPIKGTDPPDTKNQIAAGLAIYPSVLGDDNPRLFIYATVSTHSRATKLHLAGQSAALVRPLALYRDTGPGVWWLSINEVPLGYFKADMFPIPFIESFHNEMGGRVLNTRTGGKHTLTAMGSGMFPSAGLNNAASIGFYMAISNNDGDQVDDPVNTIVTKPKCYDVQDFGPDKNKPGYDIAFGGPGGYFCDQQ >Et_4A_033770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26745543:26751662:-1 gene:Et_4A_033770 transcript:Et_4A_033770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRPAAALRAIVALVAVLLAAQRAEALSVTVTDTECIHEFVPYEGDTVSGNFVVVDHDIFWSSDHPGIDLTVTSPGGNTVHTLKGKSGDKFEFKAPRGGMYKFCFHNPYGAPETVSFYIHVGHIPNEHNLAKDEHLDPINVKIAELKEALESVTAEQKYLKAREARHRHTNESTRKRVMFYTMAEYLAFMAASALQVVYIRRLFSKKVAYNRAVCTFLHEQERSSEVPGSSTNDREPNKIKLKILAHESNPNTKTPLHSAYQYQIPCLIIT >Et_1A_004920.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:16712748:16712858:-1 gene:Et_1A_004920 transcript:Et_1A_004920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWTRELKEELALTLIKAKDSIKADFEEWIDNLRL >Et_1A_007663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3756590:3759626:-1 gene:Et_1A_007663 transcript:Et_1A_007663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRSSRHRGKVKSFPKDDPSKKPHLTAFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPRGLRTLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYASKFDSDAGKKEIQMQLEKMKKYASIVRVIAHTQVRKMKGLKQKKAHLMEIQVNGGTIAEKVDFGYNFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKAGQESHDASTEFDRTEKDITPMGGFPHYGIVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALENINLKFIDTSSKFGHGRFQTTEEKQRSCKITIIKS >Et_10A_001933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12186799:12196649:-1 gene:Et_10A_001933 transcript:Et_10A_001933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVIFGELGSEPAEPEESFIRGVTARPRRDTGLGVERAELVVERPNAAEPHLDAAFFLAILVVTAVRGAVQPGGVLVIVFRRFVFTGVGHAEVAQQSGQGRPEPRLYKKGLFFMELYDVFELKNRYFLYSIPDENVMQEINIRTGYTNRFWSAILEALEDVTVLFYDIITAPWHETKTIDARTRLV >Et_7B_055026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6526156:6526772:-1 gene:Et_7B_055026 transcript:Et_7B_055026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPQLLSLVAIVAVVASLLHPAASVEFHRKLSSWFDAGGTWYGAANGAGSDGGACGYREAVDQAPFSSMITAISSAVYNSGKGCGSCFQVKCTGNDACSGNPITVVVTDESPSLNDPVHFDLSGTAFGAMAKPGQADKLRGAGVLKLQYTR >Et_9B_064366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14418453:14422610:1 gene:Et_9B_064366 transcript:Et_9B_064366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGEEAPPPAAMVGDDAAESSAARNGFGLPAELMALLPDDPFAQLDVARKITSMALSSRLGLLEDEVERLRAQLAERDAEAEDLRERVEQLDAALAVTSGRLRRAEEEKETLLSDKASLANTVKKLNRDVAKLEVFKRTLMQSLQEDEDDANTAPRERVAEASNISSASSVAAEDSAFPTSKMSQSSETASSVSDESLVSLDAPRPPRPLVFVPSYHNTPKITPPGSPPRRHSISVTSMNMFNVRSSVFSTNYTSPFDAANQTGRTRVDGKEFFRQVRNRLSYEQFSAFLANVKELNAQRQTREETLRKADEIFGPDNKDLYTIFGNPAATTANHGQKLLQEYAGYVSPKLQGHPQMKQPSVALYEE >Et_10A_001023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20202270:20210335:-1 gene:Et_10A_001023 transcript:Et_10A_001023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGEDSIERKLYTLLREARPSESALHAAAEAADAVAKLIKKVPAQQATPAAARGFVRDLGLASEKLEFTFRPPEVVQLAGSLAAGTVARPDVAADLLVRLPKECFHEKDFLNHRYHAKRCLYLCVIEKKLRSSKKIHKVSWSTLQDEARKPVLHVYPAKEIAELPGFCVRIIPTATSLFTVSKLNLSTRNNVRAYTKDGINVPTPKYNSSILEDMFLEENAEFISSTFADWKALQEASILLKVWARQRTSIYAHDCLNGYLISAILAFLTIDSGGSIINRSMTRRQIFRVFMNFLANLKGWAKGLVIQPIKKRTVTKEDIANFLKIFDVSICDVSGHANLAFRMTKSAFSELQDEASCTLHCLDKCRDGGFEEVFMTKVDVCAKFDSCLRINLKGNSKVTSLGFCVDDESWRILEKDVQSLLQQGLTDRIKMIRVLWRSTPSEWNIMDGFSEFGSSPLLVGVMISSLEKSFRLVDIGPNPENRDEAAKFRKFWGEKAELRRFKDGNIAESTVWELEPWEKHTIIKRIADYVLMKHLSLENEDLTHVVDQLDFCLLVNGKDPVSSSGALLEAFDTLAKKLRVLDDIPLKISTLEGSGNWPLDPVAMEKTKSAFLLKIGESLEDRGMYVSPSEDEVNILASGYSFLIKIFHERGLLLEKRAGDGSTQIAPSEDKVLFMRSQHSSMVNGLHGRYQMYGPVVRLAKRWISAHMFSSFISEEAVELVVAYLFLKPFPFQVPSSRVVGFLRFLRLFSSFDWTFSPMVIDINNDFNLKDEKEINENFMLSRKSYEQNPHDIECAMFLATSYDKASEAWTKHSPSKSVLKRMATYAKSSAELLTNLILNGQSGQYTWECLFQTPMSNYDAVVLLHQEKLCHPHHVLFPAETPNGKLVIWGKPSKDFHPYMPLSKGAVTSLHDAREKLLVNFDPTTYFLRDLKCTFPNTFKLWYGSVGGDAVGLTWENPKKRGREDADETMLEPMSILKEVGDVGKGLVRGVYLLKAPKLQ >Et_5A_041474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23325381:23326031:1 gene:Et_5A_041474 transcript:Et_5A_041474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLLQCSSGHMPLGPLFFSALLLLTSSMETTFSEARRLPLRRLLEIDNIKEGQEAVETMEERQGRALIGSRPPRCERVCMSCGHCEAVQMPIVPQVEHHTRAHQEQVLSADAVGAAMFSYRVNGITNYKPLSWKCRCGGAILDP >Et_10A_001694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:722409:723348:-1 gene:Et_10A_001694 transcript:Et_10A_001694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVVIKIGIKDGPELGYTRFRYLTAIDDIWSDLAWYIFKCALSENNKVIKTKYSSEEYLVQRLLAPLYLKDVSAEILKKCGGMPLAIITISSLLASNPNSQKEQWDYVRNSLGSNLQVSSSLKHMRQILNLSYLNLPHCLKTCLLYLGIYPEDYTISRNDLTRQWVAEGFIGKVQGADPKDVAKSYFNELINVSMVQPVYTDYNGEVMSCRVHDMILDLILHKSREENFITPIDDIQDITI >Et_10B_003089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16396235:16396911:1 gene:Et_10B_003089 transcript:Et_10B_003089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGGGLRALAKKAAAANGGPLPPEGTTYNGVRLRRGKWSAEVRDSSLVWLGSYDTAVEVACAYDAAVRTLRPHQARARANFPEPPATTEEEKAARAAVVRAHIDEARGERSPARGGGGRRGANSSFRLAPSSTNAQESLAALRSLARLHAHRNLQPPLAIGNTPQPSVSPSRQEFPTTGGCTMANIPPARFQHLYRRFLETTGGLEDGGGSEPRARTQD >Et_1A_005040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23085878:23087455:1 gene:Et_1A_005040 transcript:Et_1A_005040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERLTAEVDVAEQTAAEPSSFVVKIRRRLSDFARSVNLKYVRLGLLSGGLPSPSSWLPLALAPPLLAAAAYSLASVDVGGVDRLRSLDVLTCAAALLLAAHHLRRPRRPVYLVEFACYRPGDEHAISKSGFLDMTARTGFFDAEALDFQTRITTRSGLGDRTYLPPGIQARPPRLRMAEARAEAEAVMFGCLDALFAATGVDPRRDVGVLVVNCSLFNPTPSLASMVVNRYKMREDVRSFNLGGMGCSAGLVAVDLAKDLLRAAHRGDTLAVVVSTENVTLNWYLGNDRSMLLSNCIFRMGGAAALLSNKRADAARAKYALLHTVRTHRGAADGCFGCVYQREDEQGRVGVSLARGLMAVAGDALKTNITTLGPLVLPLSHFCVHAGGRAVLEEVQRSLGLGDADMEPSKCTLHRFGNTSSSSLWYELAYAEAKGRVRRGHRVWQIGFGSGFKCNSAVWQALRDVPPVRADAATAGNGCNPWVDSVDAYPPKAYV >Et_9B_064192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12480138:12483094:-1 gene:Et_9B_064192 transcript:Et_9B_064192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWRLGTQKKKGFRTPKVLLRKNQRAKPLWRAAMSRPSSFAHFLRHVSFPPDPHLLPTALKSCPSLPLARALHAAAMTAALASDSFFASSLLHAYIRFGATADARAVFDAMPERTVVGWGALIAGHAARGDAEGAWRVLEEMRRGGVEPNAITWNGLVSGLNRSGRAHEAVEALARMHAEGSVQPDATGVSCALSAVGDFGEVSIGEQMHGYAVKTGCRSDYCVATALIDMYGKCGRADEIVRVFEESSLVDVASCNALIAGLSRNAQVSKALRLFREFRGRGLELNVVSWTSIVACCVQNGKDLEAVELFKEMQAQGIVPNSVTIPCVMPAFANVAALMHGRSAHCFALRKSFFHNVYVGSALVDMYAKCGKVRDARTVFDSMPSKNTVTWNAMIGGYAMHGEAANAVQLFHSMLMCNQKPNTVTFTCVLAACSQAGLTEEGRRYFSEMQQDHGIAPRREHYACMVTLLGRAGKLDEAYKLINDMPFEPDGCIWGSLLGSCRVHGNVDLAEVAAEKLFLLEEGNAGNYVLLSNIYASKKMWNGVNRVREMMKNVGLKKEKGCSWIEIKNKVHMLLAGDDSHPMMTAITEKLKQLNIEMKRLGFAPSTDFVLHDVEEQEKDDILAAHSEKLAVALGLICTGPGTPLRVIKNLRICGDCHEAMKFISSFEEREISVRDTNRFHHFKEGKLNPWKQQPWGMTWQIEKKGCSLKKDDILSVHSEKLAVVLGVGLKRHKPMNTPFG >Et_5B_043598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12360994:12361821:-1 gene:Et_5B_043598 transcript:Et_5B_043598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNMASATSRFMLAAAAGVPTAGNGSGRVSFANSRPLGRRLVVRAEEEAAAPAEPAEEGEGAVATKPKAEKPPPIGPKRGAKVKILRRESYWYNGIGSVVTVDQDPNTRYPVVVRFNKVNYAGVSTNNYALDEIQEVK >Et_6B_049898.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:17024967:17025335:1 gene:Et_6B_049898 transcript:Et_6B_049898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHRGTLVLADAFLMAGALAMSLGGSYVCHSHGRSVRNELGVRVRPRPSWRRRSPCTPLQLHAQGASLRMVVNRVTCTLVSMTFILMANYWITMAECFFLYAGLAVAAGVAKGHGRAGDQG >Et_5A_042701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26259178:26261302:-1 gene:Et_5A_042701 transcript:Et_5A_042701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGTEETDRIPDSVERRRKRKKKMALSSRRAASALALTAIAAVVLAGPAAATGKTGQVTVFWGRNKNEGSLREACDTGTYTIVVISFLNVFGHGKYNLDISGHPVAGLGADIKHCQSKGLLVYLSIGGFGTGYSLPTPQAATELADYLWFSYLAGNRTGAPRPLGGDAFVDGIDFFIETGSPAHYDVLAKRLWSFNKDFRGRTPVQLMATPRCRFPDGGGAGRALATGLVGRINVRFYGDGYCAAYWQQEWDKWTAAYPSSQVYVGLPASEQVVGYVHPKNLFYGVIPVVQKAANYGGVMVWERYADKQSNYSGYAIQWA >Et_1A_005655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12454244:12456367:-1 gene:Et_1A_005655 transcript:Et_1A_005655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVACECAATMSSDKMAARKGRLRQRYDNDHRLVAGCVPYRVKKDDEGNPCSSPSGDDDTAQVEVLMISTPNRTDMVFPKGGWEDDEDVYQAACREAMEEAGVKGIIDSSALGHWVFKSKSSQTSNSPRGACKGYIFAMEVTEELESWPEQATHNRRWVSAAEAYQLCRYDWMREALTALLERLSVIEPVAAAGAATQELTDQAGMYMMLQATSDGAVALC >Et_6A_047851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21002804:21003285:1 gene:Et_6A_047851 transcript:Et_6A_047851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGEAAGTPPAAVVAAGGGRGKSGGVTSRRISAAAEAAGRRRSRAGGGGGRDEHDDGSRGRQRGGREPTYWSDPLGRPADRRPQASCGRCLLVTNDATGAQATVRILDRCSFGGLGLDPFVFNKLDTDGHGAVTGQLTVSYQFVDCQD >Et_1B_010505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11123006:11126552:1 gene:Et_1B_010505 transcript:Et_1B_010505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKRLHFSEGRPKSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDIEAEERREEERKKMKQKEEERRRQYEAEPERLGAYIRKTENRRLGDEGTSRLTVGRQGDKGAVRGVWGPVARPSGDKGSNNPLNERQGARGPCGGPSRPTVGRREGKEEKRGEEKEREERRREGWWLLPGRVATSWDQALQDVVQETRPFTSEAYEEYLHWYIRRTRTRVTHTPTVPQSHLPTLRDTYPTHRDQSSSLATDLAQRIAVESGSVLQRMRQRIQLTAHEISTEMKRIYDTAADIVKLTSCSSCRAHTDAFDTLLSPHTEQSDLRFSSRPTHRWPHHRLLVLRSSGWRPSVDAAGPSSQMPSMAPGSWIDDYDYEEEDEASRHPDRYSRRSPTRIWLRGSRIHFLHRS >Et_3A_025093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26862521:26871274:-1 gene:Et_3A_025093 transcript:Et_3A_025093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYELSEEPLKLLKWQQFWLGESAPTVENEPVPDASTTRFTWAIENFFKLNNRKYYSDEFSVGDYKWRVLVFPRGNNVHGLSMYLDVADSHMLPLGWSRYAQFSLAVVNQLDSNSSIRKESSHLFNARESDWGFTNFMPMGDLFDSSKGFVVNDKCIIEAEVAVRKIVDYWNYDSKKATGYVGLKNQGATCYMNSLLQTLYHIPFFRKAVYHMPTMENDMPSGSIPLALQSLFYKLQHSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTNVEGAIQKLFEGHHMNYIECINVDYKSTRKESFYDLALDVKGCSDIYASFDKYVEVERLEGDNKYQSEEHGLQDAKKGMLFIDFPPVLQLQLKRFEYDFARETMVKINDRYEFPLQLDLDRDDGKYLSPEADRGVRNLYTLHSVLVHSGGGQGGHYYAYIRPTLQDQWYKFDDERVTKEDAKRALDEQYGGEEELPYTNPGLNTTPLRFTKHSNAYMLVYIRESDKEKIICDLNEEDISEHLKTRLKKEQEEKEYKKKEKAEAHMYTALKVARDIDLAEQVGRHVHFDLVDFDKINSFRVPKTMPINEVKDELFKEFGIPVQCQRFWFWSKRENNTFRPSRPLTLQEESTAIGSLKAATVSRFQNSELRLYMEVHSGQEAHPIAPPVRAKEDILLFLKLYDPEKEEIRFVGSLSVNASGITSDIVQRLNEIAGFPSDEDIELYEVRYGLDHYDINTMLFLIIFAYLPLLCAAGSLQMATLYATKNAVHPIKWTNIAIPLYHLSLNIYKIDRIAIIFLMESLR >Et_6A_046935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22726747:22731675:1 gene:Et_6A_046935 transcript:Et_6A_046935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSTPCAKEKGIISFAKYVISGKLAGAREVDERPEVAAVHAGRHARHQLRGAAKRRLNELGHGGGWPGPQHHVADVRLRPSTATCATVATFPSSRPPQMQGGDAIDAVWPAATPSTSARRSTRFPTMLPLQLLDRVLGSRQVELGCTNSITGSMAEARLKHSRSPAYIVATFQEPEHEDAGERRLGNAALLAHDEVTIAWPSAVASVTGGARESRHLHKHQLLVPGCVARVDDGYFLTYVHRLRELRRRGGGGAGSDGGPDEDGALNCPDVEVYSQMGIPLYDLDFGTGRPFLYRTGTSTCKCASSATLWTSSRAATTI >Et_2B_021134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26811419:26817187:-1 gene:Et_2B_021134 transcript:Et_2B_021134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRGAAGVGDGAAGRRRGGAARGGVAARCGGGAAAAAVGGALPRHVRDAVRGEGGYMAAVLLAVRLLGRRWEPMRDDDDLESGGDAYPMVLVQIPMYNEREVSDLAAWTACLCLAAVQWRDAIAWWAAKVPFLFSQNLDGGGYVGVQGMKRAYVRGCDLVAIFDADFQPDPDFLRRAVPFLLHNPDVALVQARWTFVNSDECLMTRMQEMSLDYHFTVVEQEVGSSTYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFGFLGDLMVKNELPSTLMAYRYQQHRWSCGPANLFRKMLMEIVRNKKVSLWKKIHVIYNFFIVRKIIAHIVTFVFYCIVIPATVLVPEVEIPKWGSVYIPTIITVLNAIGTPRSVHLVIFWILFENVMSLHRTKATFIGLLEAGRVNKWVVTEKLGDALNMKMAGKAYKKLRMKIGDRLHVLELGVGAYLFFCGCYDLAFGNNHYFLFLFLQSIAFFTVGVGYIGTFVPHLKESSVACNVIKKNADNPYIYIDCSVTACANALQTSYIAEHSSWYESPARKVCFLPCTSIALQAGSFLTPSAFIASCALATLPHISRAAYVFARTTYFPAFPGCSRKKRCAASSTSCTSPPCFLQAPSSAPQTTECSWQSGRFLTKS >Et_3B_030327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32268062:32270488:1 gene:Et_3B_030327 transcript:Et_3B_030327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEGDLFGLPMFVEEEDPASAAAKEERAKRKAGGEWGLDKIREKFMEEQAKYQYAVVPRPRVDPQVESPAGPMRHLPLSLKGPQLASSANILSVKVIKSAIGYPLLVYGSIFVRDELDCKRICLFRRDRDKCQRINSMAESLSLMGPSRRLVVFKNLYFEIDLKCKKHRKETDLGNWFLKDSALTSTSNVIRNRIVGELFTVEVTYAPVHRAVEVTIDVMICEVLRKIKRPSGFIAEEWVPFNIKKDCSEFHGKVTACINGSPEDIVLYDSKAPGCVIRVGDGGLIELSRRILAVPIDEKVSFKIDSSDGAHIINYYPRLCGCSSPKIRVGSYYMELVWSALYSRDVDVPLLLREHAVMLIDGVDEGDGVREFWVGCNRPMVEWRSVKI >Et_3A_023780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12086106:12088177:-1 gene:Et_3A_023780 transcript:Et_3A_023780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDGQNINAELIALSEPSTSLLASYLSYLHPSVPPGTSSTFVVVERDGQPLQIVHPVEFQRNLWEHMTHNNDKTPPVGEMRIGGLDSGSQQRGLLPHELYGNGYVYKSHDVDAAVLRDRTHPMLRCYLAFAVVSRRLSSPCAPPPPRRRVVPAVLPLCRAARGHGLGRIRTRSCACATRRAIDFLLFKRCLLGDVSRTSYAHRALMRPISQQLRHRRY >Et_1B_009995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17403660:17404721:-1 gene:Et_1B_009995 transcript:Et_1B_009995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWAPAPARERPRRRPGQPSFSSTLLDAICDAMDDQPGGAARTTAAAAAGTATATERAAATSANQRAARVAEAPTAGGADECHGRGYFSSSEVEYSLRRLRPIRTSGAAAAAPPQAEKPKQPKQQPATEKARGKPANGGGCRRPASPGARLAGLLNAIFTGGRRHNSARQHPAPPEDEPACSSSTAPTPPPSARARPSRSRSQSRTVRFLDIDGEVAVAAAATGCRRVPVVEAGVGPDSEESSDASSDLFELENLAAVVDPAGGGGGHRRACGDELPVYGTTGAAALRRDIGRRRPFGYGSLSRSYSRVV >Et_1A_005244.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35216258:35216662:1 gene:Et_1A_005244 transcript:Et_1A_005244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TSSARINLTILIKENELNCRDVYLRRLRRPASRCFRFFSSFLLSYLRRRLISCSTPARITSRRNRRKMDSSPSFSSTRICTLYPFAATTAVGARRATCTTDGGALFPENGARGSWSSVLNREVVAGAAMSAAFG >Et_1B_013058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5091259:5093127:1 gene:Et_1B_013058 transcript:Et_1B_013058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAAAAKSRVLVVGATGRLGGCLARASLAAGHPTFALVRPHHLAQPDSPVIQPLVAAGATLLEGSLEDYPRLLEAVRQVDVVICAVPTKQALEQKALIRAIKEARCVKKFIPAEFGLDPTKVQIGDMDYGFYEKKIEIRRLIESEGIPHTYICCNFLMRYLLPSLVQPGLDAPPRDEIRIFGEGNTKGVFIKEDDVAKFAICTIEDPRTLNKTLYLRPPGNVCSMNELVDLWAAKINKHLKRIYVAEEQLLKEIHDAPFPLKMDLIFIYSAFVKGDHTYFEIDSSTEGTQLYPHVNYTTVTEYLDTLV >Et_9B_065908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17231813:17234706:-1 gene:Et_9B_065908 transcript:Et_9B_065908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNRTSVLNTSISSVSSRPTTPSRRSSTVVAPKQSVPPSRPVPARSSTPVKPRPSTPAKPRPSTPSRTRQTAPNASTDSAAAKPTSTQSSRPSTPNSRSRIMSNSSSAIGTISRPGSSSGNVNGISRPGSSSGNVNGISRATSLSSTTRSSAPTMGRSPSVGRSSSISSFTSSVNRSAANSGRNSAPSSAPSSRPSSPNPRPRAPVRPLDIPDFPNETPPNLRTKLPDRPLSAGRSRPGARSTPNTEHSASSAPVRKVVVPAVNRSKFSDAPSKTPLTNGQNRQAERSAVDSQTNRLSRSVTGTDNGFGRSISKRSLDMAIKHMDIRQNLGGIRGASLFPQSIRSAATKGRPARVSDPGHIVSNGDRHYADNASSVNGHLSGDSNGAPSRNGGSSTASPDRASIGTKDTLSELDMYGSSRYEAMLLREDVRNTSWLHGLDDKPDQSPLFDHRFEPLPEPFSPL >Et_3A_026014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3495657:3497923:1 gene:Et_3A_026014 transcript:Et_3A_026014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSASATCLLLLSLVLLASHAGDAGAVFAGLSFASQGEAEAFEDALLRQACLNVSSSSSAPVDEASCVSRLDTARGGAGSGPVPVLRAAVRDALGEAVSAAGAVRRLASLSNHAREEMAVRDCVELLGYSVAELGWSLDAMAEPDYYLAPEPTDPGASRRAEEDIHAWLSAAMGNQDTCVEGFLHGGAAATNTTDGRLLRHVQAAVARLTQLVSNLLAMHKQLRSITPLLHHGRPTNGTSWVTEDVDEEEELTTTTRGGARSRARATRVDVVVAQDGSGRYRTVGEAVARAPSHSKRRYVIYVKRGVYHENVEVRKKKTNIVIVGEGMGETVITGSRSIASGWTTFRSATVAVSGAGFIAKDLTIRNTAGPAAHQAVALRVDSDRSAFFSVAVEGHQDTLYAHSLRQFYRDCRVSGTVDFVFGNGIAVLQRTALATLPPASPGQAGSVTAQGRKDPNQNTGFAFHGCRIEAAHPTYLGRPWKPFSRVVVMESYLGPGVQARGWLEWAAWDRAGLATLFYGEYRNYGPGAGVAGRVRWPGYHLIVDPAVAARFTVRRFINGLAWLPGTGVTFTADLFRK >Et_2A_017555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35371105:35371372:-1 gene:Et_2A_017555 transcript:Et_2A_017555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHKKDPQRPLQFCRSEKLSRVLADDDVPPVGRVLREPLRASPLHQLGHGVHRSSLAGTASTRRATLWCIAAPLLHIHEPLRVAFR >Et_3A_025697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3229651:3230028:1 gene:Et_3A_025697 transcript:Et_3A_025697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCDGAFARDVVGWVVSAVGRKGRGEVDLTPAHGNRAQQLDADQDGAAFMELPGDLYERPALSLQQRTGGEQA >Et_6A_046700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19642118:19646090:1 gene:Et_6A_046700 transcript:Et_6A_046700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAARLLHAPALLAAPVPTSSARPSRLRAAGAGRARGGGGVRRRALAPEKAPPPVAVAEDEVGAGGGEGETYDAIVIGSGIGGLVAATQLAAKGARVLVLEKYLIPGGSSGYYCRDGFTFDVGSSVMFGFSDKGNLNLITKALEAVGHKMEVIPDPSTVHFHLPGDLSVLVHREYEDFIRELISKFPHEKEGILKFYGTCWKIFNSLNSLELKSLEEPLYLFGQFFQKPLECLTLAYYLPQNAGDIARKFIKDQKLLSFIDAECFIVSTVNALQTPMINASMVLCDRHFGGINYPVGGVGGIATSLADGLVDKGSEIRYKANVTNVILKNGKAVGVRLSNGKEFFAKTVISNATRWDTFGKLLKDKELPVEEKNFQKNYVKAPSFLSIHMGVKASVLPAGTDCHHFVLEDDWNNLEKPYGSIFLSIPTVLDPSLAPEGHHILHIFTTAGIEDWEGLSRKEYEQKKEAVASEIIRRLEKKLFPGLQDSIVLKEVGSPKTHRRFLARNDGTYGPMPRGKPKGLLAMPFNTTSIDGLYCVGDSCFPGQGVIAVAFSGIMCAHRVAADIGLEQKSPVLDAGLLGLLRWLRTLA >Et_9A_061389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10910672:10911598:-1 gene:Et_9A_061389 transcript:Et_9A_061389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPVVSNLHPVAASPAPRASWLARTSSPGAPRVRSMRNGSTDSLDHLQRATKARQQQQGTAPRRRFIQTSPFGLWDSFPEARTLDQMVRTMERMMDDDDGRVLVAPAVPRTENGAVPASTAAGGYRRGRTPWEVRERAGEYLVRFDMPGMTREDVRVSVQDRTLVVLAEKANSKQGAEQGEENAEEEAESFGRYRTRVELPENVEVERIAAEVRDGVLYLTIPKLSSGGKVVNIQVQ >Et_4B_037990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25354737:25362224:1 gene:Et_4B_037990 transcript:Et_4B_037990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNLRIKTANRAPLLDKAETSRALSDLEEGSNVQAANVGFCRVIKLAKHDAGKLVLATIALLIASLSNILVFALLSGHGYSTLLVKEWLLDLGRTCSEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNITTTAIGLGFMFSTSWKLTLLALVIVPVISVAVRRFGRFLRELSYQTQAAAAVASSIAEESFGAIRTVRSFAQEPHEISRYGEKVEETLKLGLKQAKVVGMFSGGLNAASTLSVVIVVIYGANLTINGYMTTGSLTSFILYSLTVGSSVSALSGLYTTVMKASGASRRVFQLLDRVSSMANSGDKCPVNENDGEVELDDVWFAYPSRPSHMILKGITLKLAPGSKVALVGPSGGGKTTIANLIERFYDPLKGRILLNGVPLVEISHQYLHQKVSIVSQEPVLFNCSIEENIAYGLEGNASFSEVENAAKMANAHNFISSFPDQYKTVVGERGIRLSGGQKQRIAIARALLMNPRVLLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVKSADTVAVVSDGQIVERGTHDELLSHDGIYTALVKRQLQGPKFEATGSIGGTAEIEVVEPSSNVSECVEKL >Et_6A_046459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15499990:15508905:1 gene:Et_6A_046459 transcript:Et_6A_046459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYIVMELGGTPLEDAIRAGHAHGRALSEDDVHVIMKSLLLGLKNMHEKGIIHHDLKPSNILVDSNGRHVEGKIYDFDLAIYYDQAVATWSRTPHALMVTWHQRCIKQKVLAPLSLICGLWDLLDKRARPCWHDHLYARTSLEVADGPQADPKWATHGALIHRQFSPQCLEVLHGLLKLDHQKDFLRLMRSRWTGLPAIELPKKFQQKKTTIRSFTVFKQNLAPMQDDSGLQLQFPNEGPVVPGIANWDIRDFLIVCDIGSGSFGSVVKGIHRSTGVCVALKSLHDTSLSRFWHEAIIAFQCSGSSGIVQFFGVAYDRNRNTLYMVMELGGTSLEDAIRTGHAHGKAPLEYDVCVIMKSLLLGLKHMHEKGIIHRDIKPSNILIDSDND >Et_1B_010425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10439237:10445933:1 gene:Et_1B_010425 transcript:Et_1B_010425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTNDASRSSKLHTRLRLWEFPDSYIFEPIDGLADLYLSVSRASGTMNLVEELPPRGSATNPKVQTVYGVIGVLKLAVGSYFLVITDRDCVGSHLGHAVFKVTGLKVLPCNNALSTSSAEQKKMEKEFSELLDAAERTIGLHFSYDINLTLTAQRLHDLGDEFKSLPLWRQAEQRFLWNSYLLEPLIENKNIQAEVGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMQSKGFTASYVQVRGSMPFLWEQIVDLTYKPSFDVVRQEEAPRVLERHFHDLQKKYRAVLAVDLVNTGGGEGRLRERYAKSIEPILSEDLRYVHFDFHRICGHIHFERLSQLHEKIEDYLKKHKYFLINNKGEKIEEQTGIVRTNCIDCLDRTNVTQSMIGGKILESQLRQIGVLGTNDAIRNHPAFDTNYKILWANHGDAISIQYSGTPALKGDFVRYGKRTTQGILNDLRNSLNRYYLNNFVDGTKQDAMDLLQGHYITSVSRDMTAPAKAGPLETYASFRLALALVMGALIFMMMSLRQARNDVRHLLLSLLWAGLCIGITHFVRANGRPFTNRPRFFQSRH >Et_2A_016492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25283041:25283722:1 gene:Et_2A_016492 transcript:Et_2A_016492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHKKDPQRPLQFCRSEKLSRVLADDDVPPVGRVLREPLRASPLHQLGHGVHRSSLAGTASTRRAALCRVHSCIAAPHPRAPEGHIPTTRMSCGTVHVRAFDSQHHHVIARRHEATEEERERSWPAMVRTARSRPDLTSRDSVRTARMGDSGTACAAGSVNLRRPRRGGDVVPGVAVHVLLRVGDHHGEIGEEGRGEVWSPWSNTTGRSCGGISPAAAAPRPHD >Et_1A_004903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15179297:15179929:1 gene:Et_1A_004903 transcript:Et_1A_004903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QVGSFGGDAKQSRSKPRPTADGTRQPQASTTTATATGTRGSTVPARPGTNQPCTTTTTTPDEPVLAGRIWRAPSRRHSLHQPDRGGLWTAATTLGVIVLFGRVTAVFFLCSCLYGVRFVRARAAAASARTANSSGVAGGSISRRRFGDPIAAAAAEKSSSTSTEGFKKKVVMAGLLERPGNRPSSRFGR >Et_4B_037265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17445393:17445905:1 gene:Et_4B_037265 transcript:Et_4B_037265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVSPSLFTVGSGATFAPPPSSKNFTSLFRSRIVSKRAKISAKIGGDGELKPPGKKKFIRKDEEPEQYWQTAGERKGENPMMTPLPYIIIFGMSTPFVILAIAFANGWIKVPVR >Et_7A_053131.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:7527171:7528430:1 gene:Et_7A_053131 transcript:Et_7A_053131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGTAAPAQSKLATTITAGDVSARLQQVLALLFPSNLVAKAALFAAVVALLPLLPSSSSQQAPRIWELPHLLLLGIIISYGVFGQKNADAGEVAKPGGDEPASVEAYVSEMMQGSFGFAQNGRDDEEGDDGVQAWSSQYVPDDPLVVVAGNDGKGDAGEKPLHLPVRKLKPAAAEEFSLTKEAEDISDGIEKEEEEEVREFCEFLAPKAGYDDVVRERAIPSPSSVLDADLTLSPSSPPLLPPPPPPPPPPFLVGGSGRRLQKAKARSFNEFGVRDRSLLHSAGNKQFRSKSAVQGTRRHFAAGYDPAAPVDYEPVDDTELDEMVAGEDGASDSSFSSDMLTDNDREENSYGDEEDEDEDDSSSCDEELFELATRPGPEADDVEAVEDEVDRKAEEFIAKFRDQIRMQRVIEPARR >Et_3B_031017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10203392:10205559:-1 gene:Et_3B_031017 transcript:Et_3B_031017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVFWNGSVVWIMSHSLVRFIIEEEHVTDMPMPPRKKDWSCAYIGESGGHLQMIGYTRKDKLTACFDVLEMQGNNSEWSVLYHIDLNQVKHLYPDIEWPTWDTHHSQQKIIDYLALSPIYVIRGTGKTGQHGVLVFSIPGRIMSYNMEDREISMLKETGCPYPLEQFCFLTKD >Et_7B_053558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:155436:163757:1 gene:Et_7B_053558 transcript:Et_7B_053558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGNATDDLLGFKEDDAMRFIFGDDIMAMEDHAAFDRSLMELQVFKEVFLGDHTANAQTDSTQEKLPDSSSLLFTSSPPFHALNQPPPPPPAAAAAAAAAIAPAPQPEAQPIHKDAHYHSEPNLQVQDHAHSHTSADLDSALQLQGFLGYCQTGAKCAPDLPSFCDNQQQFFGAGLIDKLLHEPSTQDVTVGLGGSSSTSMVDDPMPSYAEALAEISEFQSASTLLPDPFLHPWFHDQEQFPTDTCLSFDQGHVFDNTHFLCTTDTSDRGAEQYPFYSKPATDTGMQSQYLFSPSQFLQLETICQNNTPEANISSLDDVDIHGCSGLHSGSAVVSSKKSLGRDLPDQLEAHARRLFKDAGWTIKPRKRNDRAKMACYFTAPNREAVHTSLTQAWKFCGKKLYEASADSKRGGYPKEWSDVDSFWKDLTDTMTIVDKMLVNQQNALTLLQRWQILDPFIAVVFISRKVTALQQRKTLRAVDSSTVVLEDSTDIPSESKRMHKASDLLASQMIQSAPVITDSDCSTLATESYIGQQALQSCHDIEDSNHRDIKPKLCRAKGSNCDATVQTKIHIYEGDNARQNYAQAEVADSSVKKVRKKSKRTSGIDTNGLDGNVDASEENDMCSSIGTHKRYLKSESKSEKLDENDQSNNCGVFLLSESKRLREYNTASETHCTATRPDVPLTPDDKVQKELSPSQGQSSSDSRNVQLLESSHKGNAAVLETELTHESQTCKAVITKRKPKGWEKYAKKRPRELRINDDDLLITAIVKNKDLGSCHKFAAGFSGAKKLKKHKSLKRGLLFRMTGKVGTNLLGGKRISLARKTVICWLIATGFLSVKDVIQYWDSKTDKVVKDGRVTWEGIVCNCCTKTLSVSDFKTHAGCSLPKSSLGLFLQSGKSYTLCQVEAWSAEFMSRKNNALGRKVEAMDENDDTCGFCGDGGELLCCDNCPSTYHQTCLSAKELPEGSWYCHNCTCQICGRSVSEKEVSTFSAILKCLQCGDAYHDTCLEQEKLPFESKTSDPWFCGRYCKEIFIGLNSHVGIDNIVGNDLSWSILRCNNDGQTLHSVRKISRLTDCNTKLAVALTLLEECFIRMVDPRTGVDMIPHVVYSKGSKFARLDYQGFYTIILEKGDEIVYYRRQGMCRRLMDIIEKMLRSYHVKMLVLSAIPELVSTWMSGFGFKPIEDNERKQLHNVNLMLFPGTSLLTKRLDGIMATKPGEEKNANDVCGLCNGKTNKHLELHDLDLSGTEFKADISVSDPFRTLKHECSPGAWFQSSKLAVGEDRWGPFCCKASPVDGGTNLNQDHDSLESSLALSCDVGPSLV >Et_8B_058572.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:19931998:19932327:1 gene:Et_8B_058572 transcript:Et_8B_058572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYARCAPMTKRIQIISCMDAHSHGRSGAPLVSACRLSQLQQEIGTSTGRRRHRASTGTAWHCFATGSCGSTATRSSSAGKSRRSLVSCLPAEKKQNYSAAACRVTMAR >Et_7A_051011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13617821:13621760:1 gene:Et_7A_051011 transcript:Et_7A_051011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAMRDIHLSLNQTQRVRLEAALHELQSLVSDAAVTVADTISVNHEGKILKGHGTLDQDGEVVATQCGVVERVDKLAYVRTHRERYNPEAFDIIVGRVIEIAPECWRLEINSWQDAVLRFSSMNLPDGIQRRRTAVDELNMRTIFEQNDVICLERGQLLTVLPYLVKRRKQHFHHLEQYDVDLIVGCNGFIWVGEHVVIGDSEKTSKTGNSSSEVETCTLQETREHICRPANAVRVLSALGFTLTAELIIETAQASASSNVEVNDMLGPDFYVQTAEREAKYRADVLKKEEWRKISR >Et_2B_021242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27844596:27847439:-1 gene:Et_2B_021242 transcript:Et_2B_021242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARRGRGGNGPIGRPRPRDVSGGGGSMATRVAVLAFCVAGIWSAYITQGVLQETLSTKRFGPEARRFEHLAFLNFAQNVVCFVWSFIMIKLWSGGTSTGSAPLWKYWGVSVTNTIGPTMGIEALKYISYPAQVLAKSSKMIPVMLMGTLLYGVKYTFPEYICTFLVAGGVSSFALLKTSSKTIKKLANPNAPLGYTLCFLNLAFDGYTNSTQDLIKSRYPKTSPWDIMLGMNLWGTIYNAVIMFVAPLLFSNWPYANGFEAVRFCQENPEVAWDIFLFCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFMSIVVSSVISGNPLSKEQWGSVVLVFSGLSLQIYLKWKRKKGREHKE >Et_3B_031100.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:15662824:15664281:-1 gene:Et_3B_031100 transcript:Et_3B_031100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLHVKFLKTMYKLVVDNFLAMAAVAAAATVFQRTSPDEVLAQARAIRPVHWLLALLAAAGVAALRRMRRPKDVYLVEYGCFRPKPCYRAPFATCKEHAHLMPYLVDEESVSFALRLLERSGLGEETCVPEAYHYMPPDRSLRASRDETELVIFSAVDDVFARSGVAPAEIDVLIVNCSIFTPTPVFADMVVNRYGLRADVQNVNLSGMGCSAGLVSVGLAKNLLQVARPGTNVLIVSTEILSSQYYVGTERAMLLPNCLFRMGAAAMILSNSAERARFKLGRVVRTVTAARDTDYRCVFQEEDEQGNTGIRLSKDLATTAGHALKSNIAAFGPLVLPVSEQVLVAVSLLKRKLLSGRATVRLYRPDFTTAFEHFCIHAGGRGVIDEVQHGLGLSDDDVEASRMTLHRFGNTSSSSVLYELAYIEAKGHMKKGDRVWMISFGAGFDCNSVSWECVKPAADADGPWADSVHRYPVQLPEIVKDV >Et_4B_038316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28078283:28081607:1 gene:Et_4B_038316 transcript:Et_4B_038316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGPHGRRPPHHRRPHGTRPLRPSASHATLAPRTRPSRRSRSASSSGTRTSRTSSSTTSGSPPRTSRSSSSSSRRSTSCGRSPSPLPGPAQSATTRWQPWPSRRRSSRPRRVLWLRRARRPTGYTPTFRCLPRRAANS >Et_3A_023556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34418246:34418780:1 gene:Et_3A_023556 transcript:Et_3A_023556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLGTAFLIPRCATTSTNRLCSCGVHTRRGLLSAAAGCGSSGGRGSTTTPAAAATPSPPTPGARPAACAPAPGGCGTRPPAPPGPATATAGSARRRPGAPSPTPSPAPPRRRQAAPARTRPPPPHPSQSISSSFNRPDLRPAVVEKSRKIFLPS >Et_3B_029565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2678391:2683236:-1 gene:Et_3B_029565 transcript:Et_3B_029565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDAAPTEPERWRDLDMLLTRPGNLVEASFDPNPTLRDLLGSVVEVLVVGAGGLGCELLKDLALSGFKKLHVIDMDTIDVSNLNRQFLFRVQDVGKSKAEVAAKRVMERVSGVNIVPHFCRIEDKELEFYSQFHIIVLGLDSIEARSYINSVACGFLEYDSNDNPIPETAKPMVDGGTEGFKGHARVIIPGTTPCFECNIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWNEVHPGKPFDADDAEHMQWIYSEALKRAELFGISGVTYSLTQGVVKNIIPAIASTNAIISAACALEAFKLVSGCSKSISNYLTYNGLEGTHIKVTEFVRDKDCLVCGPGTRIELDPSSTLSEFIKLLEEHPVLRMSKASVTHEGNNLYMQSPEVLEQMTRSNLSIPMFELLKGVPCTTVHATGMTENNGKKVSSLRKLRVAFKGVEGADSKMDTTEEST >Et_10A_001947.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:13493872:13494150:1 gene:Et_10A_001947 transcript:Et_10A_001947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDVGQRSRNPVNKKTTKDQDLKGGNLALNSSMDCRTEVRVIRGLKLSSSDKKIFIYDGLYKLEELFVWSGIAGFNKFRLQRLRTRIPLAL >Et_2A_017521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35111250:35113038:1 gene:Et_2A_017521 transcript:Et_2A_017521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QAPAAASFARHPLCFGPTTNNPSTTCTWSALCPNHRVGSVYDKAGSPYLGPTCHARRQANTGEKSCGSVRKFKLGVECGPLEIRENRRYALEGRAPFLSPPCPACLSLSPPSFPLPSQHPSQALRPSFLQESAMKGAKSKGAAKADAKLAVKSKGAEKPAARGRKGKAGKDPNKPKRAPSAFFVFMEEFRKEFKEKNPKNKSVAAVGKAAGDRWKSLSESDKAPYVAKANKLKAEYNKAIAAYNKGESTAATKKAPAKEEEEEDEEESDKSKSEVNDEDDDEGSEEVMHTSVFENHLLSLLHDRHCMLCRRKTMTSELAQDAPLKNGAVTQGKALWREL >Et_3B_030940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9084308:9087136:-1 gene:Et_3B_030940 transcript:Et_3B_030940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKHLVLATCFWVLSCALLIHGSSDGLLRINLNKKRLDKETLTAAKLARQEGSRLLSSGGSRQYLGGSNDDIVPLDNYLDTQYYGEVGIGTPPQNFTVIFDTGSSNLWVPSSKCYFSIACYLHHRYKAAKSKTYKKNGETCTISYGSGQIAGFFSEDNVLVGELVVRNQKFIETTRETSPTFIIGKFDGILGLGFPEISVGGAPPIWQSMKEQQLLEKDVFSFWLNRDPDASAGGELVFGGVDSKHYKGSHTYVPVTRKGYWQFDMGDLLIDGQSTGFCAGGCAAIVDSGTSLLAGPTTVVAQVNHAIGAEGVISTECKEVVREYGEMILQLLIAQTSPQKVCTQIGLCVFDGTHSVSNPIESVVEKQNRGSDLFCTACEMAIVWIQNQLRENKTKELILDYANQLCERLPSPNGESTVDCNQISKMPNLAFTIANKTFTLTPEQYIVKLEQAGQTMCISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGNNRIGFAKSA >Et_4A_033115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18750938:18753750:1 gene:Et_4A_033115 transcript:Et_4A_033115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQIWTETRVDGPNLQAFDGPNNRREASIILDTRQNTCVVNVGEVLPDHPQERQAAERQRVGELLELWCVQDRHAPERDVHDGAGRLRVEPGEACLVFGQEMACRNAVDVGASSGPTASAGMGRRASASDSISRTALQMMASACAISGLALITPWMKDLRDSGLVRLFGIKPPRLSGFPCFHSIPVRA >Et_2B_020326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1950610:1951481:-1 gene:Et_2B_020326 transcript:Et_2B_020326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSAAKPPPKKRMDKLDTVFSCPFCNHGSSVECRIDMKNLIGEASCRICQENFSTTVNALTEPIDIYSEWIDECERVNTVEGDDDA >Et_8B_059510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18662377:18664115:-1 gene:Et_8B_059510 transcript:Et_8B_059510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMTVSRSAAACRAAPALPVSRSTARPLALPRRALSGAPARRLVAAAAGDKVETAQEAVPIEKRFPPFPSVMDINQIREILPHRFPFLLVDRVIEYKGGEYAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRDNFFFAGIDKVRFRKPVIAGDTLIMRMTLTKYQKRFGLAKMEGKAYVGGDLVCEGEFLLVSATE >Et_2A_018775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7279970:7282386:1 gene:Et_2A_018775 transcript:Et_2A_018775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFLPPSEKLLFALSFVSQKLLKRRVKLYVPDFLTAFEHFCIHAGGRAVIDVVQTRLGLSDEHVEPSRMTLHRFGNRSSSSTWYELAYIEAKGRMRKGNRVWMIGFGSGFKCNSAVWECISPSHNADGPWSGCIHRYPDNIPNGTDTSLQRITRNTNKVLGKV >Et_3A_026007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34610779:34615221:1 gene:Et_3A_026007 transcript:Et_3A_026007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPRRAMLPLLLILCLFQFSPLVFAQEGQENDPSTLFARASEMMNLRKYDGALGLLNAVLEVDPNHSEAYRQRGFVLRHKCRYKEAESDYNKYLEISPGSAAVEKELSQLLQAQNLLRSAYDQFDSGEFSKVLEYLNKIVLVFSPDCLKAKLLKAKALLALKDYSSVISEAGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSGLKKAYFALKNLLKKTKSAEDNAAKGKLRLAAEEYKAALAMDPDHTAYNLHLHLALCKTLVKLGRGKEAISSCTEALNIDGELVDALTQRGEAKLLSEDWEGAVQDLKEAAQKSPQDMGIREALMRAEKQLKLSKRKDWYKILGITKTASAAEIKRAYKKLALQWHPDKNVDNREEAENMFREIAAAYEVLGDEDKRVRYDRGEDLDEMNMGGGGGGFNPFGGGGQQYTFHFDGGFPGGGFPGGFQFNF >Et_10B_002928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14004296:14015141:1 gene:Et_10B_002928 transcript:Et_10B_002928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGEGDALYEIRRHALGSHVIPQEEGQEGAATSAGSSGAAGVLSYLSLQGVSKLRERWTGRITLGRSRKRRGNGVSLFVSPNAEYVAVTVGNRIVVLRKSDDYSSPCGVYTNNDRMTFFTSGVWLEAEGIFGVVDDLSVLYLIKANGELLSRRTYDQLKLSSPIIDLVVRNGSSLQRPGFYILTSDCTIHRFDSIYEPEANLCEVPISTKDVTSARSLQLPRNLSCIDYDQRCSLLVLVADSNVLISSNGYSGTYFLYVLHFDGNLEVCLSFRSPQLQGVFSPPKDQATFVSSPKIRISPQGKHIATLDLAGSVNVFVLDSAARAVSLHPIGNSRYLVDVKDMSWWTDTILMVVRKDGSVNMYSITENRVVSQDDPVLSKPLLEKAKAAEGHAFVLQSSRYERNTPFDKQMGDESGLNLPSASGDHQQAGMDKTFWSLISFSRVTIAEMYSIFIRENRYKEALDFASRYNLDKDEVLKARWLHCDGDTHEIDLYLANIKDQVFVLSECVNKVGPTEAALRALLSFGLRITDHYKFSELDDSSEGTAWDSRIIRLRLLWHRDMLETFLGINMGRYSAGEYSKFRSTPLVETAIALAESGKIGALNLIFKRHPYTISSDILRILSAIPETVAIQTYSQLLPGKSPPSIVILRDGDWVECKQMVSYIKNCPAELCKIGEIKTEIFVKNSTGFSWPSVAELCEWYKNRAMDIDCLSGQLENCLAMIELACQKGIEELQPFFDDIKCLYQVVYANELSEFIMNLVTWEDLPDYEKFKIILKGVKEETVIQQLEENAIPFMKKRFLLVSSKEGKKEESYLVRWLKEIAAENDLSTCLAVVENGCGESPINGLFKDLAEIIETAVHCIYVCTATNQWNTMSSIISKLIHKTKREKSLFACEDHNLKDPKQALGTSVVSCDEIQRVCADILSGLGNCSVSYDLNNVNYIDILEKRLKVAEGHVEVGRLFTYYQVPKPTYFFLTAHLDEKNVKQLIRLLLSKFGRRQPVRSDIEWANMWRDLKLFQEKAFPFLDSEYMLAEFIRGLLKAGKFSLARNYLGGTSAVSLSTEKAENLVVQAAREYFFSASTLSGNEIWKARECLNLLPNSKNVQAETDIIDALTVRLPYLGVTILPVQFRQIKDPMEIIRLVITSQTGAYLHFEEIIDVAKLLGLRSEDEIAAVEEAIAREAVVNGDLQLAFDICLNLTKKGHGAVWDLCAAIARGPPLDNLDTGTRQKLLGFSLSHCDEESVGELLNAWKDLDVHDKFEQLMISTDTNPPNFLIDGSSITTLPMQSVQDILDLRDDSSHDRDKNHEEIVREMLSKVCIDLSNEDTHTWEAMLAENRKFLTFSALELPWLLKLSNNEEQGGETQASRTDDPTRKLRFSTKVEATISIIYWLAVNGFAPNDNIITILAKSIMEHPVEGEGDLLGCSVLLNLVDPFNGVKIIEEELKTRECYQEINSLMNIGMLYSSLNNSKKECSTPEQRRKLLLHKFHEKFTSVDSDELDPIDMEHTTFWREWKLKLEEEKQLADQARMLKQIIPDIDTSQFLSGDANYIKKAVFSFVDSVKQEKKHVLKQAVKIADTYGLQRTEVLLRFLSGSLVSECWDNNDILSEIAEFRDDIVKSAKGVIDMICSDVYPEINGYNKQRLSYIYGILSACHSYLKRTGEIELRYPEHVHTHKLEPFQYYKVLEEECKKVSFIDGLNFKNIAGLDNLNFEHFNEEVCKNIHASTVRTLADMVQALVTMYVDVLAKGLISRQGVYKHYVLGLLASLEGRSEARSNCTDYEKLQAVLCEIESNYDSCKEYIQALPSTDISYIVGRYCTLSFPCNLARSHPQEPSWKKPLITLVTFWAKLVDDIPRESTDGSSYERTEYLDLNRLSHCMRAFRQLLINNEITMHQGWDAISTYVKFGLSNGMLRDTSYLCRAMILSGCAFECVVEIYCGGRRKLGSESADSIDPLDLLELYNTATDECLSDLIEGSCEYPVFLHKLISSLSRSTGKHAGSLEMVRSGVWGKLIGFSENMQLESQLRVYALQLMQCITGRNLKTLPNEMVSQVEPWESWYEHGTSTPMADESTNSSSITGTLVALRSTQIVAAVLPDANITPENLATIDSAVSCFLQLSEHASSVESVAVLESVLQEWEQLFASKEEYFPPQESPKETSDWSDGWDDGWEELESPKKKQDAPSLSVHPLHSCWMEVIKKLVGIGEVQKIIELLDRATSKQSVLLEDEEAHCLFELVCALDCFMALKIVLMFPYEAPRLQCLQMIEMKMREGTLSTSSNADDQELLALVLSSGTIQKIIAEEEYSQFFSYVCHLVGHLARSFQTDLLVQWNDKANLQKASGTSEPLLFGRVLFPCFISELVLKGQYLLAGFIISRWMHIHPSLGLMDVLETSVRRFLEGQVAQAQQLGVGEPSLTDNGISARHAISNLRSRVLSLLQAASAALPNQEL >Et_1B_012796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35089471:35090653:-1 gene:Et_1B_012796 transcript:Et_1B_012796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPVAHSPAIGPALISSVLIRPRAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQATYDKMLIEVPKMKQITPSVLSERLRVNGSLARRAIKDLMARGLIRMVSVHSSQQIYTRATNT >Et_3B_029444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25055911:25064441:1 gene:Et_3B_029444 transcript:Et_3B_029444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVEPPPKKRKLVDGHAPSPSSTPAPAAAPPPSPAPPPPAAPPETLAAAAPSSSAPPPETTSLSAEEALQKRRNQEELCRLYECHRRIRNYLASKDPALLPQLEQDYLLLISASRGSASAQRFLSLLIPRFSSYCPTALEAAAKVSINMYKSSIDIVTKGEVGNGFACQTARACIVGLTDICSAASSEAPKSPVLTGICSAVYMTVLAFFVSTFDRKDIYHIGSRNLSVLQDPVKLLETLKELKDTNLPELDYLFELRALCLLCTFLLFPENVLEANFALLASRDTDDVKVGLYFLNQLTSCLNVDVANDALGVKTDGQCSGVDINMSDAGNIVDPQPSSDDNVILRSSMVESNECYMTLAMSRHPSLRRWIFLRYKKICDSGEPALVSELSACLKVLGSLSELAEDTSHMDTEPSVLEKHDKNIRENVRSDEVISSSQQELLSKNDSIDTNVEKSSLVKNVDMDCTDDKISQKLTDAKIDSSEGASVVSVAAHKGMKQDLLTPKSTYDSAGGSTTLTSPGQHSGKAKHLHSEPFDIYGASVSRDVISVSKELWVGSLGNRAAEALVRSKFEEFGPLGNLLFYPSKDFALIEYRNIIHAVRACGNMQGSSIWGGFLQIRYLDRLIGCQGFISGVAIGESRHIYVANVKNQKNKDEVFDQLKAAGLKRPCGITDISNENALLLEFETAVDAATAKVYIRGQTHADVCSKDNSPGHQLLVQNIDSSVPDMEYINAFTRYGEVIRWQFNKPNGNCLIIYRSHDAAAYAKSQLHGARFGMKSITIESRTGTAGSFHDKTVSSVAPMHPIVSGYHSGFAVPGDRPVYGKSSPSPPNTKQVWHHKEMESNRAPQVPPCPPVSTHRGSVMPPPPIQTSFVRPVYPGPGSPWENTTPNPPFSHVSPRMMPGSNFRVNPSGPLPFRPSPAPLVQVPVSAAQHPETMPPLPPLTNSARSPFTPLDRPPPPPPLPISQPPSVPPPPSYPPPLQSTTDSSDPKKSRSHPRWEGSLSKSGLHYCRIYASKVELDACKYENAVSEPAEWPSKLDVTKRTDFQHVKTNFSNSPPSKREVCRLLPCSNGDQKGLRDFISYLKQRECAGVIKIPPVKPMWSRLLFILPPTAEACGMLDLPPHSADCLIALIVPKETTAEAT >Et_9A_062414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22681394:22687528:-1 gene:Et_9A_062414 transcript:Et_9A_062414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GFHTRGPSFEPVKPKIASSRPSQSTNRTSAGGARPTASPPAVQAVPSILTRAGAVVSSLSPSPVRLSFGSLAARVVPPFLRPQSSSRARLRRQGGGSRGARMTVIDLLTRVDAICQKYDKYDVEKLNGANVAGDDPFARLYASVDADINQCVEKAESAKQEKNRATVVALNAEIRRTKAKLLEEDLPKLQRLALKKVKGLTKEEIATRSDLVAALPDRIHSIPDGSSTATKKNGTWGASGSRTGGAIKFDSTADGNFDNEYFKGTEESNQFRQEYEMRRMKQDEGLDIIGEGLETLKNMASDMNEELDRQVPLMDEMDDKVDRANADLKNTNVRLKETVLQMRSSRNFCIDIILLCIILGIAAYLYNAVNITNVAVLDNPTAFLNPFQFEISYECLVPLDDDLEWKLIYVGSAEDENYDQQLESVLVGPVNVGTYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYMGQEFMRVGYYVNNDYDDEQLREEPPAKVLINRVQRNILADKPRVTKFPINFHPEPSTSTRQQQQEPQTGSPENHTGEGNKPDADQ >Et_4A_033823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27178379:27184688:1 gene:Et_4A_033823 transcript:Et_4A_033823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTNMSLSMLILAVLVAASVCNAPVALGARELADDHDAAMAVRHEKWMAEQGRKYKDEAEKARRLEIFRANAKRIDSFNAAGKRSHRLATNRFADLTDEEFRVARTGYKVPAEAVSGARSGRFRYENSSLADAPQSMDWRMMGAVTGVKDQGECGCCWAFSAVAAVEGLNKIRTGRLMSLSEQELVDCDVNGNDQGCDGGLMDDAFSFIARRGGLASESAYPYRGTDGSCRSSAAAASAASIRGHEDVPRNNEAALMAAVAHQPVSVAINGGDYMFRFYDGGVLDGDCDTDLDHAITAVGYGTMSDGTKYWLMKNSWGTSWGESGYVRIRRGVSGEGVCGLAKLPSYPLILAVLVVGSVCNAPLALGARELAGEDAAMAARHEKWMAEHGRTYKDEAEKARRLEIFRANAKMIDSFNAAGKHSYRLATNKFADLTNEEFRASRTGLRMPEAAVAGARSGRFRYENFSLADAPRSMDWRKMGAVAGVKDQEDCGCCWAFSAVAAVEDPDWQAGLAVGAGAGGLRRERQRRRLRRRPHGRRLHLHRAPRRAGLRDRVPVPRRRRVVPLAAAKRAASIGGHEDVPRNDEAALAAAVAHQPVAVAVNGGGYAFQFYDGGVIDGHCGTDLDHAVTAVGYGTASDGTRYWVMKNSWGKSWGEHGYVRIRRGVRGEGVCGLAKMPSYPV >Et_4B_037380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19108581:19110314:1 gene:Et_4B_037380 transcript:Et_4B_037380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLPPGFRFHPTDEELITYYLTRKVSDFGFTTRAIADVDLNKCEPWDLPTRNSQMERIQAHFLFIRNAFTLLIDVVGCAGKASMGEKEWYFFSMRDRKYPTGIRTNRATDSGYWKTTGKDKEIFQAGMLVGMKKTLVFYRGRAPKGEKTSWVMHEYRLQNKFPYKPNKEEWVVCRVFKKCQIVKMRPPTGSPTMDSPCHDANTSLGELGELDVSSFLGGLTPASVHTSSSSPAEGFGHRVDMSAYMSWMAAANQGAAAAAAAAMLPWATAPGLFGNVFAPNQLGQKPMQFAGCSPSQPRDLGGVLANVGSDHGMFGSSVAKVDMECDQQQQQQQPPPPEQQLGMDESTWRSF >Et_2A_018727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6007182:6008339:1 gene:Et_2A_018727 transcript:Et_2A_018727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARERTTPLAAVLAVALLLGPCRGDLVQFIFGDSLSDVGNNNYLTKSLARAATPWYGIDFGSGMPNGRFCNGRTVADIVGDKMGLPRPTAFLDPSLDEDAIFKNGVNYASGGGGILNETSSLFIQRFSLYKQIELFQGTQAFMREKLGAAAADKFFSEAHYVVAMGANDFINNYLLPVYSDSWTYTGDTFVKYMVNTLEAQLKLLPSLGARRLTFFGLGPMGCIPLQRYLTSTGACQESTNKLAKSFNAQAGAVVARLSSELANATFRFGEAYDFFQDIIDRPYMHGFNNSRAPCCTLGRVRPTLTCTPLSTLCKDRSKYVFWDEYHPTDRANELIALETLRKLNITVVTNGTSS >Et_8A_056565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12398554:12407013:-1 gene:Et_8A_056565 transcript:Et_8A_056565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLCPGSLSCARTLRVLDLTDCMLGLPPPPMWLFSIPMPPLPPLHHNNYIHRRHYMPRPAIPPPPLHELWLNLLRGAGSGEWEARILPVARLCLPLPLHVFWPPIPPPHLVPPAPPPPPPRPALLPSTGFPSLTSLHLHCCKLTLDDLQAMILDAPRLASLRLEKVRFDGLCGHPSKNNFHLHCQTVTDLVLLFDTNCYFTVLELDMPQLRSFRYTGLLPEEASFSLKSPTPHLMRVDLDLTFFTLKGHSSPGHVNHEARFWRFLASIYHCKSLKLNCSYIKNMLINPDEEAKILPLFTNLDRLKVKATYTHGDHKDVATLLSYLLRCCPIISELKITLAVTQILVHSKRNPKAGLNRDQKARLAFKRSVNKFRMHSSFTRTNCFAKNDDNAARDFPDLPGWKEYPFTCLQNSLSKLIVDMKQQDCFEIQLIRFFVENALLLKEVYIGDGVQDLRTHINYKVAKWRPHSSRENPLMKQELKVYD >Et_4B_040091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9377567:9381424:-1 gene:Et_4B_040091 transcript:Et_4B_040091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQPDRRGRQKRLPPVVSVIIPVFLLFVAPCAFFLFTSPDLAALPRIRIEYGRRDVEDAPTTERSPPPPPFAEVDGDEEEEQLPAPPPLRQLTDAPYSLGPSVSDYDKRRAQWLRDHPGFPAFVAPGRPRVLMVTGSSPRRCGGSGSGSGGGDHLLLRAFKNKADYCRVHGFDIFYSNAELDAEMSGFWTKLPLLRALMLAHPEAELLWWADSDAVLTDMLFEPPWRRYARHNLVLHGWDAKVYGARSWLGVNAGSFVIRNCAWSLDLLDAWSRMGPRGPVRDAYGKVLAAALSDREAYEADDQSALVYLLVTQRERWGDKTFLEGSYNLHGYWVDIVDRYEEMRSKWAPGLGDDRWPLVTHFVGCKPCGGQYASYEAARCRRGMERALNFADDQILKLYGFEHESLNTTAVRRVRNDTGGPLDADDEELARLLHPTFRAAKWPKPEHFFYHSDCVSSSLSP >Et_1A_005346.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:7050324:7050434:1 gene:Et_1A_005346 transcript:Et_1A_005346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYGFYTGAGANITLLRVTMLRLFVAFPISREMHF >Et_1B_013582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9757099:9758684:1 gene:Et_1B_013582 transcript:Et_1B_013582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETPVAAVEAPTPVLGEPMDLMTALQLVMKKSGAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH >Et_7A_052234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5922266:5926034:1 gene:Et_7A_052234 transcript:Et_7A_052234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIAAALRRSCQSAASQGLMEAYVGPCVSSGSSRWLSSCAKHSRKAGISAVYQIKGVDHYSTVHDVPKIKGTPLSSRMDTNWLITSKSRYSALPGFLGVSGTSRAYSSDTGIKAEAAQSAVSNIPSTESSNNGSAGGDGSSWIEILDNARKSTIDATTDAGKKVKELTDAITPHVQQLFDANPHLEKIVVPLGGTLFGTMMAWWVMPIILRRLHKYASQSPIAALWGNSTTNDLSYQTSLWCALEDPAKYLITFMAFSEMATLIAPSISTYLPQASRGALVLSFVWFLHRWKTNFIAKAMTSSVDRDKMSAFDKVSSLGLIGLGVMGIAEACGVAVQSILTVGGVGGVATAFAARDVLGNMLSGFSLQFSKPFVAGDYIKAGSIEGKVIEIGLTSTSLINPEKLPVIVPNSLFSSQMIVNRSRATWRASVTKIPIRIEDIEKVPSISEEIKKMVRSNPNVSFESDAPYCYLSRLESSYGELTIGCNLKNMKKDEWLSAEQDILLAAARIIRSHGIELGSTMQCC >Et_6A_047858.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21474019:21474504:-1 gene:Et_6A_047858 transcript:Et_6A_047858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGEPEAPVAPPHDGKRTSSPEEMAVAVPLRDGVPGDGGEEFSDEDEEPYDTGVVDSDTGSDSDIEFEEDEDDDPDLEFGDGESAGIGSTDVTVVPVEFLGTKARFASVGNTAGFMLLGAFPAAAADQSDHGGGGEITVHYRYARCASRRGPRASRSPSA >Et_1A_008437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7738247:7740169:1 gene:Et_1A_008437 transcript:Et_1A_008437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAAPAQQQQQQCVHIEGLQTALPTRVVPPGRARPVSVSVASPPLAASALLQRRARVVLYYRADGAPWEEAVWVKESLSEALADHPEMAGRLRRRADDGGASWEVKLNDTGVRLVLASADGAVEDFLAAGEDRARREAALAPWTDVDAEDPDMSALCFVQLTRFQGDGGYAVGVSCSLMLADPLSLARFLLSWARTHAAMKAQNKVATNPMMQYLSYFQRPDAMTKRIKSIPIDTVVPGAGDGDVETVLFRAAGAQDHRALARACLDQASDKLGAANKVPRFSVAVVAGKGDGDNPAGMSVETCTGDAVAESGGAGQKLEVVQWQELGLEDLVLREGKPAHVSYSIVTGSDEGLAVVMPDGDGFLVTATVPK >Et_3A_026156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:524889:532502:1 gene:Et_3A_026156 transcript:Et_3A_026156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALYDREDGAPPAPSPHAAGAVDAYDPNYVPDSVKTFVVHLYRHIRDKNVYEIHQMYEGGFQRLSDRLFRDAPWPSAEAVAPYCDGDHVFLLLYRELWYRHAHARLSPLTAAHRAESWTNYCDLFSVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKLKNKTEDELQQLKQFDKAWNVYGVLNYLQALVEKSMITQILEREKEGLEQFTATDGYDYEGGSNVLKMLGYYSMIGLLRIHCLLGDYHTGLKCLAPIDLNQQGVYTIVIGSHISTIYHYGFANLMMRRYVDSIREFNKILLYILKYKQYHQKSPQYDQILKKNEQMYALLAICLSLCPQNKLIDENVATQLKEKYNDKMTKMQRYDDEAYAAYDELFSYACPKFITPSPPVLDQPLTNYNQDAYRLQLKLFLYEVKQQQLLSGIRSYLKLYSTVTISKLAQYMEVDEATLRSILMTYKHKMNAVDSDGKIVSSADFDFFIVEDIIHVVESKPTKRHGDYFLRQILKDGVAFLEYVATLLWDVSDIPRFFSIPDFFSILLSGVGDNRSLCLFFITDGVHPKLSAASRPSEFSSSVGQPHNLKGVGTLSMADRIKCLMPNGLKSCRSPEGTRSQLTNGSPKLGNLGEASKVKANIV >Et_4A_035349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2149223:2150876:-1 gene:Et_4A_035349 transcript:Et_4A_035349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMAMATLKDAAARKPILATIRLIVPAGAARPAPPVGPALGFYRLNLMAFCKDFNARTQKYKAETPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIETGSGRPGHTVVSSLTLRHVYEIAKLKQADPFCKHMSLEALCKSIIGTANSMGIEIVKDL >Et_5B_044012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1892653:1894060:1 gene:Et_5B_044012 transcript:Et_5B_044012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEPAQPAPSAAAAAATAEQAQDLIDAARYDDLEDVVALFSAGVSLDSTDSQGRTALHMAAANGHLAVVEYLIQNGANVNATNLEKNTPLHWACLNGHIEVITALISAGASVSALNSHEKTPMDEAVTNGKMDVINAIGAAVAQTDLDGVTVS >Et_5A_041686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25893910:25907479:-1 gene:Et_5A_041686 transcript:Et_5A_041686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTVMASMMSSLVAARSMRARSPAVALAPHRRALVVRSQSKPDMEPAEETPSTSFPSSPLPSTPTPKPKAKPVKPGLWDALAFSGPAPERINGRLAMVGFVSALAVEASTRGDGLLTQAGSGSGLAWFAVSAAVLSAASLAPVLQGESAEARSKGFWSADAELWNGRFAMLGLVALAVTDSLLAAGSMRSRSPAVALAPRRRALVVRAQSEPDMAPAEETASTSAPSSPFPSTPTPKPKAKPAKPGLWDALAFSGPAPERINGRLAMVGFVSALAVEASTRGDGLLTQAGSGSGLAWFAVSAAVLSAASLAPVLQGESAEARSKGFWSADAELWNGRFAMLGLVALAATEYITGAPFINAAPSHLQFPMATTVMTSLAFAAGRSPAAVLAPRRRTIVVRAQAKETKTSTGLWDALAFSGPAPERINGRLAMVGFVSAIAVEASTRGDGLLAQAASGTGQAWFAYMVAALSVASIVPLLQGESVEGRSSGIMTANAELWNGRLAMLGLVALAVTEYLTGAPFINAVRASRSPAAVLAPRRRAIVVRAQAKEAKASTGLWDALAFSGPAPERINGRLAMVGFVSAIAVEASTRGDGLFSQATSGTGQAWFAYMVAVLSVASLVPLLQGESVEGRSGGIMTANAELWNGRLAMLGLVALAVTEYLTGAPFIS >Et_4A_034202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30759755:30762257:-1 gene:Et_4A_034202 transcript:Et_4A_034202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WIERLPKPDQADRAQPWPRNERERPGEQWVNSTPAGAMAAAAWPLSAVAGFLPASLSLTLLVATLVVVLVLGAAAVFFEHIRKIGCMHSLERSAVSDAFFEDPNSLKKVPCPSIFDAPEKYISLIVPAYNEEHRLPEALTETLNYLKRRSTADKSFSYEVLIVDDGSTDCTSKVAFNFVKQNKIDNVRVLLLGRNHGKGEAVRKGMLHSRGELLLMLDADGATKVTDLEKLEAQVRALAKEVKPSPGASTSSSQKLSDVEIAVFGSRAHLEKQAIATRKWYRNFLMKGFHLVVLLTAGPGIRDTQCGFKMFTRSAARKLFTNIRLKRWCFDVEIVYLCKHLRIPMVEVSVTWTEIPGSKVRMTSIMHMVFELLLIKVGYGLGIWKIYS >Et_2B_020762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23328407:23330245:-1 gene:Et_2B_020762 transcript:Et_2B_020762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEFVIFLAALLAAVSSSEAWRLATPPPLPVLPIPSAAQLRWQRREVIMFFHFGMNTFTDSEWGTGSEDPALFRPSALNATQWMDAARAAGASLAILVAKHHDGFCLWPSAYTAHSVRASPCWRGDVVREFADAARARGVDAGLYLSPWDRHDERYGKEVAYNEYYEAQLHELLTGYGSVSEIWFDGAKGKNATNMTYHFQEWFQTVKQLQTSINIFSDDGPDVRWVGDEKGFAGTTCWSTVNRSMITIGEAGIEKYLNEGDPRGRDWVPAECDVSIRPGWFWHKNETAKPLRQLLEIYYNSVGRNCVLLLNAPPNTTGLVEDADVARLREFRAAVDTIFATDLAAGASARASSERGREFAARNVLGGRDDTYWAPADEDGRRNGYWIELRRPATKPFNVVRIQEHVALGQRVERHEVYVDGAPVANGTTVGHKRLHRLPRPFAGRTVRIWIEARRGPPLLSAVGLHLDPFVAAGTM >Et_2B_022585.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26790397:26790792:1 gene:Et_2B_022585 transcript:Et_2B_022585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKKSGGVDFDALSRHGYRGGPSVLTVRPQEEANWSWSTGKDRDAKDDAPESYEERERTRAAVTEGEKLIGLHNAPPNPLLLEKDKNNELFAQKEKRKRDRGQASRGKNYVEEEKRLLRGSGVYSGFDT >Et_8A_056905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18776454:18780866:-1 gene:Et_8A_056905 transcript:Et_8A_056905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMAAAVLVLAAAMASAAAQAEVRWEVSYLTLEPLGPAQKVIAINNQFPGPLLNVTTNENVRVNVQNNLDEPLLITWDGIQMRMNSWQDGVAGTNCPIPPGWNWTYQFQLKDQIGSFFYFPSLGLQRAAGGYGAITVNNRAIVPVPFDRPDGDITLFIGDWYTKSHIELRNMLNDGKDIGVPDAVLINGRAPYRYNTTLVPDGLQYEIIGVEPGKTYRFRVHNVGISTSLNFRIQNHNLRLVEAEGTYTNQQNFTNLDIHVGQSYSFLVTMDQNASTDYYIVASPRFVSNPQWSEVTGVAILQYSNSKGGASGPLPDAPNEYYDKYYSMNQAKSIRMNTSAGAARPNPQGSFHYGSINITQTFVLKNEVALRVNGKRRKTINRISYSPPETPLRLADLHNLTGVYTTDFPTMPSNAPARIASSVLSASYKGFLEIIFQNNETDIQTYHLDGYSFFVVGMDYGEWTPDRRNEYNKWDAISRSTTQVFPGGWTAVLVSLDNVGIWNLRAEKLDNWYRGQEVYVKVADPLGYNITEMVMPDNALYCGLLKERQKPQVHQSNSKSSAQAAAQWSARVLASSMLIIAAVFLS >Et_6B_049407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4405530:4407262:-1 gene:Et_6B_049407 transcript:Et_6B_049407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADFAALVYFLRYSPILEKLTLQIEYCEVNVVQIRCFFNSLSMHVADQLFSENYFALTQSKDAAVRAIGNHRTKENFLVSEQLKVVKIKCPKENELVANVLVVLSAYGISKEQINIEPDFCAPTYYGYESTDSEDYY >Et_1A_005389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1081261:1085127:1 gene:Et_1A_005389 transcript:Et_1A_005389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVGNGDEGWRRSGIEVSALQFGYDAQLPLFARFNLRIAPGSRCLLVGANGSGKTTLLKILAGKHMVGGRDVVRVLNGSAFHDTQLVCNGDLSYLGGSWSRTIGSAGDVPLQGDFSAEHMIFGVDGVDPVRREKLIDLLDIDLQWRMHKVSDGQRRRVQICMGLLHPYKVVLLLDEITVDLDVVTRMDLLDFFKEECEQREATIVYATHIFDGLETWATDVAYIQEGELRKSAKYSDIEELKGAKNLLSVVESWLRSETKLPKKEQPPRSETQPRRSSPFDASPFRSSRHMAYYR >Et_3A_024501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21366631:21369490:-1 gene:Et_3A_024501 transcript:Et_3A_024501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQALPLLRWSRTSSSLRALSCPAAPRFLFSTLRRSAAARCEAGSKVMLKGMDYPELENWVQEQGFRPGQAMMLWKCLYGNNVWAHCHDELTGLNKDFRKMITEHADLKALTMKDILTASDGTRKILFSLEDGPVIETVIIPCDRGRTTVCVSSQVGCAMNCQFCFTGRMGLRKHLSTAEIVEQAVFARRLFSDEFGSITNVVFMGMGEPFHNIDNVLKASAIMVDEQGLHFSPRKVTVSTSGLVPQLKRFLHESKCALAVSLNATTDEVRNWIMPINRKYNLDLLLGTLREELRFKKNYIVLFEYVMLSGVNDSMDDAKRLIELVQGIPCKINLISFNPHSGSQFKPTPDEKIIEFRNVLIRAGLVVFVRLSRGDDQMAACGQLGEPGDYQLPLLRVPEKFQVAL >Et_4A_033956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28313630:28315964:-1 gene:Et_4A_033956 transcript:Et_4A_033956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSSTATPAAPSPPRSSHPPSAAPPDSSSPPADTSSSPSPSSSGDSSPPPAPARSHGAPLSPAKTHSSPEDQSSFRGSGDGGKPPSPSVHRGGAVTTVEVVFAAAGAAVLLGILIAACVCCSRRTAPRRRRKPHHPMHFYADSSVYKGNSTYYTSGPQPPQHWQSDTGAPATLSTFGPPGGGGVWHAPHPDTTSDVFSSSSSSPRGGRPALPPSPHEALGLGKGTFTYEELAAATGNFSPANLLGQGGFGYVHRGVLPGGRAVAVKQLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGYCIDGAHRVLVYEFVPNKTLEFHLHGQTLRAHGGLARTLPWTEVCWVVVAGKWQPVMEWATRLRIALGAAKGLAYLHEDCHPQIIHRDIKSANILLDNKFEAMVADFGLAKLTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELLTGRRPIDTGTAHSFLEDSLVDWASPFTLARRFCRVRVQARPALARALADGDYYGVADPRLQGNYDPVEMARVVASAAASVRHSAKKRPKMSQVADAMAMAMVFLLQIVRALEGDMSLEDLNEGVRPGQSMTFGTAAVGSGAGYNARAPGPYTSDMERIRQVPMAISEYSGTVGELCFSDDMNPVKR >Et_1A_007251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32681001:32687237:1 gene:Et_1A_007251 transcript:Et_1A_007251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRIAVVVEDRCRPSKCGQQCRRRCPVNATGRQCIEVTPSSKVSLISEDLLPVPRPGQVLGLVGTNGIGKSTALKILAGKLKPNLGKFTEPPNWDEIVRYFRGSELQKYFTRLLEDKMKAIIKPQYLDHIPKSVNGKVGDLLDKKDERQVKDTLCDILELNQLMDRNVSDLSGGELQRFAIAARAMEDADIYMFDEPSCYLDVKQRLKAAQVIRSLLQPKNYVIVVEHDLSILDYLSDYICCLYGSPGAYGVVTLPSSVREGINIFLNGFIPTENLRFREEKLTFRVTESTEEIIEGETYQSYKYPTMVKTRPGFKLSVMEGSFNDSQIVVMLGENGTGKTTFIRMLAGQVKQDKVMDEEVDIPSYTVSYKPQELISKVSFTVRELLQKKIPGSCSHAQFKSGVMKPLKIEEVMDRQVANLSGGELQRVALCLCLGKPADIYLIDEPSAHLDSEQRVIAAKVIKRFILHGKKTAFIVEHDFIMATYLADKVIVFEGKPSVDCIANAPEPLASGMNRFLSHLDVTFRTDPTTYRPRINKLGSVKDAEQKAAGKLSQRALLLYVKAPLEPDSSNARAIVFIEAHTFALDSAL >Et_7A_051951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3099532:3099834:-1 gene:Et_7A_051951 transcript:Et_7A_051951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVAAQIKDKFLGLVHRVAGCGGRGIGKDVQEPTKLVDVQRVQVRTIGGDDDIPPVPHGSTAGVH >Et_10A_000756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16721052:16722672:1 gene:Et_10A_000756 transcript:Et_10A_000756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGSSTQQASACSGGLRRRLTQGRPETTDCDGATEEEDHISRLPEDLLLDVLARLGCARKAAGTSVLARRWRSLWTRLPELRFENSRLPFHMLEGLFTQITCPALNLLHIEIDQHHQSHIFPVHISSLLRAAARLAPKNLTFNLWHYAEGKGEAVELPCFDRTISLRLELPFINLAPPPGGEFSALERLVLYSCNVEPGSLLPMCPSLRSLHFEACEDFDVVTIHSTSLEELVVYSHHDNGGDEIDCIDVMTPLLKKVEFSIAWGEDFRMSFSAPLVEDFEVDVIFQHGNVGLEYMRLNRMKYLLRHGVHKLHLDIHCRDLFPYYSYALEDLDRGFEEEITRLPFSQFSILVLELETEEHAFGPLVLHLLQIRPIRMLEIYLNRAGKVSCPLDCPCDQHTNWRNESISLTKLKVVRIYGLKGEDDEADFLQVLFRCATGLKRMIVKVAPGGYNKVRGICEQYPQ >Et_9A_063602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9212018:9213667:1 gene:Et_9A_063602 transcript:Et_9A_063602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLTDYDGTIVWQADGSFTNVQHAQLLNTGNLIIKDSSGSTVWQSFDSPTDTFLPTQLITATAKLVPTTQSQSPGNYIFRFSDLSILSLIYHVPDVSDIYWPDPDPSPYQDGRNQYNNTRLGALDNNGMLASSDFADGQPLVASDSRENIKRRLTLDPDESFGPQNYGLPRKATRNFKVELGRGGSGTVYQGILEDDRQVAVKKLENIKQGKEEFQAELSVIGRINHMNLVRIWGVCSEGSHRLLVSEYVENGSLANILFNDKNNNLLDWKGRFNIALGVAKGLAYLHHECLSGSSIVM >Et_2A_018571.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33050800:33051045:1 gene:Et_2A_018571 transcript:Et_2A_018571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRSGADVVKGDAVCRKKYTERLEELGLPKGLLPVEDVHEFGYNRDSGFMWLVQGKKKVKHTFKKIKQTVSTQPR >Et_7A_050510.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:20853021:20853608:1 gene:Et_7A_050510 transcript:Et_7A_050510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARVCFGDDGVDEPRVRSMERMMQEFRVAIGEAKVFARSTTAKLVHWRQWLPRVPRRAGRAVPSSHRSHTAAPVCWFRGWWRQWSSPIRRLTRRPPRSRRRGRRRPGHQASRPHGRRDGEPHGGVPRRRGVDRGLRRVDARPPRRPAKRSSATRSSTTRATVAKMARSPTSAPAGCRTCTPSCSRASGCTRRSG >Et_1B_010434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10531608:10534970:1 gene:Et_1B_010434 transcript:Et_1B_010434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPYPCQLQNNLKLRVGLPLCCRLTNPKYVPEGPLAIREACVPFSTTLPFSSTVITSQFWIVLSLCATTTLVLPTINRSSASCTAFSEGPSSALVASSSNKMAGFFRMARAMAIRCFCPPDNCRPRSPHHVSYPSLRLLMKSCALADLAAFLISASLAISTPYIMLSLIVPANNVGSWLTNPMCRRSSRRLYVCMPLPSIFTTPLSGS >Et_1A_005429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10279916:10284641:-1 gene:Et_1A_005429 transcript:Et_1A_005429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQVTGRWSLGEDSAQVDATVGHLSLEIGGGRPRGDLLIASLPPFYLLGLMSLMGTSRSLMLMRVVFLTTLAIVISMSAALIAVLEKTIVVLFVLLSFEFAIYVVFSLLWSVRNTTNEEPCSQVLLEDIDEHEGKTRNIILFYSEAQKFNFHSILNMLDMIHLLIIEGFASEEVVRNDVSAALRQELPQLICVRCNEISEINTKDASIMNSGQLRGFFPDHSKLCDILDDFWGNLFDLHGNLTENGKIIRLDILVGLHIEENTQSFSCFFDETGLCEYLKLGDYSSTQRVLPFFRINNSKCSQLQGHCALCAIVDLRKNIMGSFLKENPILLRDEKSYLVMENDQAQHEVLQYEKFNNVTQSVGMLSNCTCAYASIFPCTPYQDFCPLEELNTTAILVPKCPSKQLAQQPSQTKIYFSYNELKGLLLNSFRGCIQNISNLEKSDLLIQRRGGYDEKMIALAAEMVIFRDVVPKGEFSPSAIVDSSGKMFNISTSVLGCGDSCMFQPCLITSFGVWCICRICELLPPVEQPVLLGKYSRVLHRLQGILDSAFLNPVQPSFKCPCTGSVPEFRLPDGSERISAEAALKMLMEVEAVIYGQNPQKVHDTGKENLKSVLKLYKRRLSKVSSMAEQNVVRSLLVNDRVSIRRVIVLRPRVLGGDDSGGRLLLLFLLLGGVGDLHQLRGSHLLAQRAGELDGVDAVADDDHLVLGVAGELHRVDAARRGHQRHRLGPALVAGHLHLDHDLLLQTTTTSVSRNSEQRQEVEVADAK >Et_9B_065651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8832927:8837771:1 gene:Et_9B_065651 transcript:Et_9B_065651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNTDEFTIASSATKLKRNVKKPTKDWLEWDRSFLSFHINVANDVSRNLSDVKFIVVKREFNSIAHELAQLAKHTTHTSVWRGRLWEMKMLHCGAISGTIDRSKSTAAASAGGAAGATPPVHDLNVPYEATSEEYATPTADMLFPPTPLQTPIQTPLPGTDAGMYNIPTGPSDYAPSPISDVRNGMAMNGADPKTGRPSPYMPPPSPWMNQRPLGVDVNVAYVEGREDPDRAAQPQPLTQDFLMMSSGKRKRDEYPGQLPSGSFVPQQDGSADQIVEFVVSKENAQQLWSSIINKQEASTKISSITERTVTPVIPQRDGVQDDYNDSQLKIITIIHQENLVTRTKNRWKCTLKDGIMHLNGRDVLFNKVGSTIFGQKALLRLECLYSTMTYTSLIIFFVGYTPPSLLEIVPHWLWGEAWTNLKVGGVSHLLG >Et_3B_030985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9697978:9707742:-1 gene:Et_3B_030985 transcript:Et_3B_030985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKMALQDAHIKVTPWNAKKLQEAIKNGADIYPGATHYRENKNMYKLQAAPAKRRAIAKMLPASRGSVSQSGKDPKCEFESKVVYRHLQDGDIVIVNRQPTLHKPSMMAHFVRVLPGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRAEAINIVDANKQYIGPRSGDAVRGLIQDHIVGAVLLTKADTLLSREEYSQLVYGCCVPSTSSSCQPGEKVSVKDDDTLQLVPPAICKPKQLWTGKQVITTILHYLTDGYPPFTVEQDGKITEEYLIPRKIDCAKENDGAKNGDGAKESDGAKTLSRHFSEQVLYIHRNELIKGMIDKAQFGSYGIAHTVHELYGADTAGILLSTFSRLFTLYLQFHGFTCGVADLLLCQESDAHRMKILSTNEQESKEVHKKFLQTGDDPEDPVLQMEVEKVVRSNGESATKILDTMMSNALNKITSNVNKDLFPNGLQKCFPKNCLSLMTASGAKGGPVNMSQISSLLGQQELEGKRVPRMVSGKTLPCFPPWDISSRAGGYVSDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQSCLIKSLESLKVSYDHTIRDVDGSIVQFCYGEDGVDVLKSSFLKKFKELTDNREAILYKLGGHNHDQLLSKPNEYITKLPKKLREAAMKFVIDEAEKKYNLKKKERELSKLKREKNNNSIEKEEELLKFKEEKYNYIKKKKKELLKLLNVKYQSSLVGPGEAVGVIAAQSIGEPSTQMTLNTFHLAGSGGMNVTLGIPRLKEILMAGSASTPLSKLLEKWVSVFEKKNPEQEDEAKRVIKEYEKQFAFAETEAASLAATLGRVRVVDVVERIEVCTVPFYNSNGHVSTLYKLRMKLYPEGHFLKWDLKLEECLDTLRKVFVEAMEHAVEKHLDLLHKVNEIRAVKVNDTDGSLSDGVEESESRPADAEGTGINDDEAENDNEDDLGADAEKRKRQEKDEIEYDDDDEMEEGMDSESEEEAKWMMHHILLGRKGSQKSKHGKAKLEEEEVDEQKENNKTLRGRIKLKRTFRINDPAEEGYFSIQYVLHDEPHILLAQIAKKTARSVFVKACSNIDRCKVVKEKEEKIKKVYLQTAGVNFEAFWGLHDHLDITNISSNDIYAMLRTYGVELARATIIKEVSKVFGHYSITVDKRHLNMIADFMTFDGGYRPTNRIGMGQYCTSPFGKMTFETATKFIVEAATHGEVDALECPSASVCLGQPAKVGTGTFGLLQNPALEEPMVI >Et_1A_008250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5687892:5689431:-1 gene:Et_1A_008250 transcript:Et_1A_008250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLLVPPVGLLAALAFLARPRGARVPLRGRHVLITGGSSGIGLAMATAAAREGARVSILARNAARLEEARDAIRAATGVDVGVLAADVRDEGAVARALQEVGPVDVLICNQGVFVPQELEKQDMEEVKWMVDINLMGTFHLVKAALPAMKLRTRETGLPASIAIMSSQAGQVGVYGYTAYSASKFALRGMGEALQHEVIADNIRVSLIFPPDTETPGFEEEHKRRPELTNIIAGSSGGMKADDVAKKALAGIKSGRFIVPCNFEGAMLAVATAGLSPQSSPLMAFVEVIGAGIMRFAALCFQWNWFSLIENYYAKNKKTT >Et_8B_058984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11972154:11975112:-1 gene:Et_8B_058984 transcript:Et_8B_058984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVALNVVPPLAAGMQMVAARPCISVPQGMLASRATVSGWSCGKGRPLISEVKGHYCCLARVADDNGYVWAIAKVPAAADAEILVGTWVPALNIFKTSLRHGKFDESRKLPDGSLMDIVKVYPLDAVYDSPEDVPEDVKSNKRYAGSSKWTVKPLLETSRRGYLAAVSASSCSFVSLVQHFLPIMNPGGASISLTHIASERAIPGYLPSKLEERVKSELTPYQQAIGFIEKMIEYSYVKAPLQKELLAVGNTAAFLVSPLASAITCSTVYVDNGLDTMGLAVDSPTITS >Et_3B_028395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14632658:14633338:-1 gene:Et_3B_028395 transcript:Et_3B_028395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRQEVEGGEAAAPPVPPKRGRPSQGKQRIEICFIENKDRRQVTFSKRRSGLFKKASELYLLCGARIAVVVFSPSERPRAYGIGDPSVDDVLRAYAPPPPLLLPGDGGGEEEEVEDDDDAADHRAVVEAMLRQAEDTKARVAAEQARMDAVGAKVTQAAAGRRFWWEADVEQLGEAELPEFDRALQRLRENVQRRVDELSSKTAAAAPPLPQ >Et_8A_058226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2916055:2917054:-1 gene:Et_8A_058226 transcript:Et_8A_058226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPTAHDAAAAAAPGTSPSPAEEPVIRTSKRLKRAPRGVEEDGLPLSDEVLLLVFASSLDTADLVRCAATCRRWRRLPPQAAAAEDESGAPPKFLPLPSFSPRLLPLDAALHNDNDLFKNSRLIASRKGRLVLELHRKSRAAALRLAVVNPATGDVTVLPALAGNDRPGHYVIALLTADDDLHESDAALVVDRLPLSSPAAFLLLILYKRRRYKACRYYSSDAGAWGRESKITGAKVPWGGGLVFFATGADLYARQPDQALYALDLETRKAWLVPAPPGRCPGPRSSSSWSFLGYEMDRVAYLTSLGGRDD >Et_1B_011789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26007490:26008259:1 gene:Et_1B_011789 transcript:Et_1B_011789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFSGLKGPSSWSMMRLSHRIRLVNDWTLVRTSTVSRIITVPSGLKILSISKRISVISHLKNAKQIVSMQEVIGSWIC >Et_3A_025364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29268774:29276205:1 gene:Et_3A_025364 transcript:Et_3A_025364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSPQSHDQPLQEDDYIDIDLSSPAAAKATFTTASLFCYNTAMAASPQHSREFEFHMSAPLDQWEPMASPADELFYNGKLLPLHLPPRIQMVEKLLDTAADKGLLSASIAPATPYQSCNVSEANSCYVSGELNAEHYFHECISAGIALAEEAAASEKKPWSRKLKFIRHLNLGLKLKASRAYIKTIFATKGGNPADKDGTPRANDLSNAQFKTWRKNPFGHIRSNRYMASPISNNSTLGTKLKEDERGHRRSFSSVVIQYSSSNKTSSVSSSSCSSSNSSSFSIPSDSGVGPVLRRSSSASSEMDNPIQGAIAYCKKSQQLASVRKSASDAGCGVLFLEHNRKYKEEERYSNIALRDWHLTMMPFSRRDVQRVVSRPVLHGHPIGVEVPEQLHHHLEVSPLRGRDERRLPGLVRLCGYRAALLLEPLHSLVRGRGRRGLAQRAAHCRGVPAPRGHVEVGIVVPARHLAHVPPPLPAPRHGHHLHRPGAGAGHCFTALCTQTEKKNNQLVTLTSSLIVESSRAREQRLLTFVDLERHRERRSAGRVARRGLRGRVWAGREL >Et_9B_065653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8856167:8859273:1 gene:Et_9B_065653 transcript:Et_9B_065653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAAGGGSATNEKELCAEMEERLIKEEYKIWKKNTPFLYDLVITHALEWPSLTMQWLPDRVEPPGKDYSVQKMVLGTQTTDNEPNYLMLAQIQLPLDDAEADVCHYEDDHAEIGGFGAASGKVQIVQQMNHDGEVNRARYMPQNPCIIATKTISAEVYVFDYGKHPSKPPLDGACNPDLRLKGHTSEGYGLSWSTFKEGHLLSGSDDAQLCLWDIKANSKNKSLDALQIFKVNCLAFNSYNEWVVATGSTDKTIKLFDLRKIDTSLHTFDCHKEEVIQVGWSPNNETILASCCLGRRLMVWDLSRIDQEQTPQDAEDGPPELLFIHGGHTSKIHDFSWNPCEDWVVSSVAADNILHIWQMAENIYHDEDDLPINDDPVKAS >Et_8A_056349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2558043:2558366:-1 gene:Et_8A_056349 transcript:Et_8A_056349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNWTKSTRNGQQMESTLQNRHMTFSLALSFLSRGLKRYGRHKLNLNVNFLHGWHASTGI >Et_4B_039915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5805862:5808623:1 gene:Et_4B_039915 transcript:Et_4B_039915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYQELPCGGQVLDIDTALKDGILGGAPEPGDAAPDAGKQPLELRKMMDELDAAGDGGGDEAVPAVFICPISLEPMVDPVTLCTGQTYERANISRWLALGHRTCPTTMQELWDEALTPNATLRQLIAAWFSRRYTRFKKRSADFHGRAADLVHGLRGTAVPRRQPLKGQARVAALRELRSLAATHQSVTKAIAEAGGVTLLTSLLGPFTSHAVGSEAVAILVSGVPLDADAKAALMQPAKVSLVVDMLNEGAADTKINCVRLIRILMDEKGFRPETVASLSLLVGVMRLVRDKRHPDGVVAGLELLNSICAVHWPARSMIVSIGAVSQLVELLPELATECVEPALDTLDALSAVPEGRAALKDCPRTIPNAVRLLMRVSEPCTRRALSMLWVVCRMAPEECAPAAVEAGLAAKLLLVIQSGCAPELKQKASELLKLCRLNYTDTLFISKCKLTRTIQDDFGWADGGDSIRRLPYQIVEQQEEETQECSIITAGLDGKQSPVSSGPNKAIGSTEARTRGRPSMIDQSVRLSLYAAKTLQSNRGSDIISEGLLSRCGSCRGAGRDGTTGVGCGLWTSALH >Et_3B_028063.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:868494:868511:-1 gene:Et_3B_028063 transcript:Et_3B_028063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKA >Et_2A_017706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5337928:5343481:1 gene:Et_2A_017706 transcript:Et_2A_017706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGDNGAPAQAAAEVKNPRCFMDVSIGGEMEGRIVVELYASVVPRTAENFRALCTGEKGVGAASGKPLHFKGSCFHRVIKGFMVQGGDFTAGDGTGGESIYGSKFEDENFVLKHERKGMLSMANSGPDTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSIEHTPVGEADCPTTDVVIADCGELPEGADDGVVNFFKDGDMYPDWPTDLEEKPTEVSWWMDAVESAKAYGNENFKKQDYKTAIRKYRKALRYLDVCWEKEEIDEDKSTALRKTKSIILTNSSACKLKLGDLKGALLDADFALRETDGNAKAFFRQGQAHIALNDIDAAVESFKHALELEPNDGGIKRELAAAKKKIADRRDQERKAFARMFQPSGKSDKSSDVIFLYRLPFFFFWCRQDSAGKGS >Et_8A_057904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9042042:9047291:-1 gene:Et_8A_057904 transcript:Et_8A_057904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMGGRGRGAWFVVPGAADHRRGGATFPWGRNAGAGAGAGETLAQVMARRAPEPWMIRPDAVRAAEAAAREVALRVHPTREAERRRQEVLGYLKTLLGSTFGFEVIAFGSVPLKTYLPDGDADITVLANTWLNSSLIDDVRQVLELEMTNCNAGFEVKGVTFINADVKLLKCVIENIVVDISFNQIGGVSTFCFLELIDREIGKDHLFKRSIMLIKAWCYHESRILGAHHGLLSTYAMETLVLYIFNIFHESLHGPLEALYRFLEYFSKFDWDKYGISLNGPVLLSSLPDITLEPVVPLDELLLSREFLEGSLDRVVMITGSDCHDTNFRVKFINIIDPLKGSNNLGRSVNKASFYRIRSAFSFGAQKIGHILMLPSDLIPYEICGFFANTLQRHGKGERPDLGDNSSFECLLCTENGPSEDIECLNMSCITEGENRGKKSLPPFPESDMLDLSGDLDLYLGCLGKVNYHMEYMYDEFMKEFQKAWLAGEINEDFFKLRSVMTRPQRLTIASSTGTETRKLSPVCSTEDVSQQSHPEDQADVVRRQNVLLSTNGLSFASSPLSNSNNYPVSCISISPNSRGTGTYIPRVSYEMYRERMERFAPERGFISERERRQRPRLADRQLDQWCSDLRNEHTAFLSTSQVPEKEKGSLQDLHSSKSVDLKEGFLPVREQTTATGCGTKKTETNLPNVQIGQEVPSSPAIDNSSKDPMEKEKQIKPQSSGIELPQFGQGNPPTSSTCQPSSPETASYCQLKAQTVDNLEFGSIGPFSLGLLLPQFEEAFPPLPKKPAPQVPASPVQSTEPVVTENRPEEAFKLQDEAEFPRLKAGCR >Et_5A_042614.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:22097032:22097358:-1 gene:Et_5A_042614 transcript:Et_5A_042614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGGADVVEIGAVGEAEHREERPDAPPAAGEDAGPTRGGGVEAEEDEDQGVVGERAEAVLAAGMRALGGDPAAAELHGRRRGTDSGGISPPLIITCFLHVTSRNAE >Et_2A_015137.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31862017:31862433:1 gene:Et_2A_015137 transcript:Et_2A_015137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRPRASTENNVLISWPGSGESAAVRHFHRHPTAMSNLVACFCSSGVSWDRRVATSWGPKKKMWHTISSSGMTTPLASGTSSSSRCGKLGRGGSRASSSSRCQTGRTVGVCTPRARLRHSPQELCQPLPISHGVVHTNR >Et_1B_010738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13526573:13530169:1 gene:Et_1B_010738 transcript:Et_1B_010738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLASASRGAMPSLLGKLDAFLSDSYKLDDGCTRISAELDAMDTFLRRMSEVEEYSKQAQCHAVVVRDLSYDIEDRIDKLMLLDSGDKGEVITMEIRGIVKLIDEVKERHSKYSEQIDNELKAMISTPSIITADPRPRFLYADVSELVGIDVLMDDLIFMLTCGEGSGKTTLAKQVYHELGPQFDCRAFVSISRKPNIRWTLRSIFCQICNDPCANVETWDENKLIDEIVYFLQDKRYLIVVDDIWCTDVWEDIECIFVENGCANRVITTTRKTDVAKHCATHELLVYKIGPLTALGSQKLLFKRLGWEQACPAEMTEVSEGIIEKCGGLPLAIIALSSLLASNQNKDWWNRTRGSIFSAIDTNHDIEIMRRVFHISYVDLPQHLKTTCLLYMVIFPENDEILKVHLVNKWIAEGFICATNGEDPHELGESYLNELTNRSLIQCVKTLYNGKVGSCKVIPDFLKFISMEENFATSISSFNDLTVDNKVRRISVVQSNSEGNAIISPSLITTHLRSLTVFGPVLGILPHLSSFTFLRVLDLRDCSVLENHHLRNIGTASLLRYLNIGGTSVSELPRQIGYLQFLETLDASRSKVKELPTTIPRLGRLVHLHVPQGTRFPQGMACLQYLHELEHIDVFGHSASFLRELEQLTNLRKLSITLDYNKMKRVNGSHKDMLLSSLHRLETRNLDDLSIKLESANEGDISEDSWRCHGRGSLRDLEIHAESILKVPEWVSSLRNITALLLAVNDMDRNDLYVIGSIPNLLHFSLVLRDPEPSESSCIIIGGGHIFQKLTHFMFASRRRLVFGVRSMPKVTDLTLFIDASSCLWSACAIRNLSSLSNIGILMNGVEPSEVIAASDAFKREVSIHPKRPTLEIYASAVRPEEDEEEEEEEEEHRRRRRCIR >Et_2A_018238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:240137:241632:1 gene:Et_2A_018238 transcript:Et_2A_018238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSASIEHFILRPRVEEMLLAQQKQLRPPCWRTMLASAGFAPVQLSAAAEAQAECLLRRTPTQAGFHVRNTQEGLALRWQHSELTKQKASLILKTLSTIKPEIGGTVTIHLRPAFG >Et_1A_006611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25265232:25268792:1 gene:Et_1A_006611 transcript:Et_1A_006611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKERGEVEEEIHVSSGGVRTDDDLENRRPLLSKTPAHAECYSVSAAILPFFFPALGGLLYGYDIGATSGATISLKSATFSGTTWYNLSSVQTGLVVSGSLYGALIGSALAFTIADILGRRKELILASISYLIGALLTATAPNFPIMVVGRFLYGIGIGLAMHAAPMYIAETAASQIRGMLISLKEFFIVLGMLLGYIAGNFYVEVVSGWRYMYATSTPLCLIMGAGMWWLPCSPRWLLLCAIQGKGNLPEAKENATQCLCRLRGQASPDLVSDQINLILEELSYVDEERQVGFSEIFQGKCLKAMIIGCGLVFFQQITGQPSVLYYAATIFQSAGFSGASDATRVSILLGVLKLIMTGVAVLVVDRLGRRPLLIGGVSGILSFGPIGWLMISEVFPLKLRGRGLSVAVLVNFASNALVTFAFSPLEDLIGTGLLFSGFGVIAVASLVFIFFIVPETKGLTLEEIEASL >Et_6A_046746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20011870:20012237:1 gene:Et_6A_046746 transcript:Et_6A_046746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQ >Et_2A_018302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22033911:22037622:1 gene:Et_2A_018302 transcript:Et_2A_018302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRLLLAALAVASLAATAAVASSSSFSDSNPIRPVTDRAASALESTVIAALGRTRDALRFARFAVRHGKSYESVEEVQRRFRIFSESLELVRSTNRRGLPYRLGINRTDLRSSQSQSGPSASAHCGFSVSHTRFADMSWEEFRATRLGAAQNCSATLAGNHRMLDTNKLPETKDWREDGIVSPVKNQGHCGSCWTFSTTGALEAAYTQATGKPISLSEQQLVDCAGPYNNFGCNGGLPSQAFEYIKDNGGLDTEESYPYKGVNGICHYKPENAGVRVLDSVNITLGAEDELKNAVGLVRPVSVAFEVIDGFRMYKSGVYTSDHCGTTPMDVNHAVLAVGYGVENGVPYWLIKNSWGADWGDNGYFKMEMGKNMCGVATCASYPIVAA >Et_1A_005646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12298610:12303055:-1 gene:Et_1A_005646 transcript:Et_1A_005646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCCCSTSSYSVLAGGGAGRRLAAPSAAARWGAAGGGRAVVLARPSSAAATAAARPGQRARARRSAIRAVFERFTERAVKAVVLSQREARGLGAAAVAPRHLLLGLIGEDRSPGGFLSSGISVERAREVCRGIGESDAASATAKGKPGSGLDTDVPFSAESKQVFEVATVLSRNMGCSFISPEHLAIALFTLLDPTTNSLLRSLGVDPNQLSSVAVARLQGELAKDGRDPARASAFKVPEKAPAGAGRSAFSKSLGKKKGQEPAVTSAYAHVGCTIAKGTSMTLVSLPISDKGALDQFCLDLTTQASGGFIDPIIGREEEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALRIANGDVPIYLVAKRILSLDVGLLIAGAKERGELESRVTNIIREVREAGDVILFIDEVHNLIGSGTVGKGKGAGLDIGNLLKPPLARGELQCIAATTLDEHRMHFEKDKALARRFQPVFVDEPTQEDAVKILLGLREKYETYHKCKFTLEAINAAVYLSARYIPDRQLPDKAIDLIDEAGSRARMESFNKKKEGQSSVLSKSPDEYWQEIRAAQAMHEVVSSNKMKYSSNGNGQGGVAAPYGESSDSTSTSSLSVDEKVVVGTEEIARVASLWSGIPVQQLTADDRKILVGLDDELRKRVIGQDDAVVAISRAVKRSRVGLSDPDRPIATLLFCGPTGVGKTELTKALATSYFGSESAMLRLDMSEYMERHTVSKLIGSPPGYIGYGETGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQIFEDGHLTDSQGRRVSFKNTLIVMTSNVGSTSISNGRQSLGFLKEDTELSSYIAMKSLVMEELKSFFRPELLNRIDEMVVFRPLEKSQMLAILDIILKEVKGRLLALGIGLEVSDAMKDLICKEGYDKSFGARPLRRAVTHLIEDVISEAILFGEFKPGDTIRMDIDAEGKPCLSHLDEQIIQVSDPTRTY >Et_8A_056914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18966942:18967323:1 gene:Et_8A_056914 transcript:Et_8A_056914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFELQMLQSVALSQKIIDEPHFWAAAGLSKLIYFALIRHGFDSLLVLEKSNRSFEFWMLQPVALSQEIIDEAHQWVAARFSKLRKLLLSQRA >Et_10A_000675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15215243:15216485:-1 gene:Et_10A_000675 transcript:Et_10A_000675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPTRGWAVGTGRGRAFSLSLSHAAAFHQRDDAAEPARDVLPLCGRAGGEFGDASRLDYTLLAVRAYTSPVASASVATIRYSTEVDNGFNKAMCVALSPVATFTVLILFATTMVHAFVLRNLFPNDIWIAITERNMKPIMELRDTSGGNDVEAAAGSGATAQRKVDVLDDGVARTSRLTVRLKV >Et_3A_024042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16660857:16661817:1 gene:Et_3A_024042 transcript:Et_3A_024042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNFCNYLEGPWKKKNLHEMGIVTQCIAPSPKMNDQYFTNVLLKINAKLGGMNSKLSLEHHQMIPVVIQTPTMIFGMDVSHGSPGRADIPSIEAVVGSRHWPLISRYRASVRTQSPKVEMIDSLFKPLDDMNDDGIIRELLLDFYKTSQQRKPSQTIIF >Et_7B_055001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6321041:6321550:1 gene:Et_7B_055001 transcript:Et_7B_055001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDECKLKFQDLKAKRSFRFITFKIDERTQQVVVDRLGQPGETYDDFTESMPPSECRYAVYDFDFVTDENCQKSKIFFVSWSPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIVKARAL >Et_4B_038481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29325134:29333422:-1 gene:Et_4B_038481 transcript:Et_4B_038481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSHAHLLARPQTLALSLALPPSLPPSLPRFRRLASRRLRLSPVAALGVSDAGEILGRVEAFLYTVADAAVSASSEVAVETGGGTKEAGDWLSGITNSMETVLKVLKDGLSALHVPYSYGFAIILLTVESALAMRSLQPQVKAIQERYAGDQERIQLETARLYKLSGVDPLAGCLPTLVTIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTITARQSGQGISWLFPFTSNDPNQQGAQAVTKFLPLLIGYFALSVPSGLSLYWLTNNILSTAQQVWLQKLGGAKNPVKEYIDKLSREELANVAKNESAIKSDSLPKLDKPQPNQEAKPSGSQRGERFRKLKEEELRRKALEGQANQSEQSSSESSAVDRKQNSDSSSGDNEDEQEIHGNGSVVSNSNGGLSHSINEKTLNGTAEKEAVDGHSSVSKSATPDTNKLTEQENGNDAV >Et_1A_008346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6814337:6816341:1 gene:Et_1A_008346 transcript:Et_1A_008346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLALLSSFDRNRHYCALQDEIQENMMPSTSHRTDLVVVRLGQESPPESLVTLTLHSALDGSQGWLHDYTWPLGIIWITKYVERAELLILFGTRHGTQAETKLTLQGPSGLV >Et_1A_006196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19018516:19020689:1 gene:Et_1A_006196 transcript:Et_1A_006196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTSLAKISSLPKQQRRLLQPLNSPKHKRVAASLPAELLQEFIDAAQPKFQQVDGLSRPSSDETLVVHAGEKIGNGMAGTDSIATPVVSGTTHWFKNSGDLIAFKEGRRQSFEYGRYGNPTVKVLEDKISALERAEADPGHVVRHERHRRHTPRPGATGRPCRDHDRLLQRGTGSTFINLEDMESLKAVLDQNDVTLFYADSPTNPLLKCVDIRLVAELCHRKGVLVCIDSTLASPINQKPLTLGADIVLHSATKYMAGHHDVIAGCVSGSEALISKVRAWHHDLSGAISPNAAYMIIRGLKTMALRVEAQNRTSLLMAHLLERHPMIERVHYPGLESSPWHHVAKGQSDEEKAKNGIKDNLVRFSFGIEKFEDLRDDILQALEKI >Et_2A_018834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8611783:8614242:-1 gene:Et_2A_018834 transcript:Et_2A_018834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLTGHARRYLRRRGSVPSPGPPHIRHRYGAWAVVTGPTSGIGRSMALELARRGLNLVLIGRDPAKLQDVSDAIAADHAVQTKTVVFDLALASTAQGDEGTRRLRDAVAGLDVGVLLNNAGVADPCPAYLHESDVDAWVRMIRVNLWALTEVTAAVLPGMLARGRGAIVNLGSGSTHAIPSFPLYSVYAATKRYVAQFSRSLYVEYKSKGIDIQCQAPLFVNTKMVSNVVGANSSSPFVPTSDAYARAAVRWIGRGPLCMPNAGHRLQACICAVIPDRVHDWLRLREHLRISIL >Et_3A_027270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6545780:6546125:1 gene:Et_3A_027270 transcript:Et_3A_027270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGNGRAEVQTGGREPKLLQAATCSEAGLLGLFALSTRAVSVPPPPWLDTNAYFLALSGVFFAGVTQVAASVWAADDARRLAAGRKLVCASLVVPLLAPVGMSVSSLLQ >Et_2B_021303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28464597:28475641:1 gene:Et_2B_021303 transcript:Et_2B_021303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPKGPNHYGQQPPYGGQQSYGQISGSSGISAPTAAGGGADGGRFGARAGQGAAAQYGGPYASVYGAQQVGGLGAKGPASSTLPSLPTRPTSLSESSKFSSAPMGSSLARPNDDYLAVRGYAQKLDQYGTDYTLERRIYGEHSANLGRRDSLADLDRRYPDHIPAGHQIHDHLEQGSSMRHQPLLKAQVQPGSDTRQADYFAGRSAPVHQASQDIGAYGRVEAERGNMSILGSVPYGRQQTASLLEGAPRSNIDNLVYGQGSSSSGYGAGLPPGRDYAAGKGLLHPSSDPDYRDSILPRAHPGISMVDERRADRIGYRRELDLRDEERRRDLLMEREKELEWERERELRDLRDRERERERERDRERQREREREREREREREQRERERLRERREKERERDRKHGADPRREHTPPRVPGDRRRSSSVRSEKPLRRISPRRDAVHRHRSPVKEIKREYVCKVFPFRLVDGERDYLSLTKRYPRLAVSPDFSKIVLNWTKENLDIPLYTPVSLEHDIHEVDESADERSVISSEKTSITNTPATIWNAKVLLMSGMSRGALADITSLKTTEERLVHMNNILKFAVFKKDRSLFAIGGPWNAVIDGGDPSIDCSCLIRTAIRHAKESVQVDLSNCTQWNRFIEVHYNRIGKDGLFSHKEITVLFVPNLSECLPSVDVWKNNWITYRKAKAEREQLALKKEKCPGDSKDQKQGEPNQGKSTDAGRLTEDDAGCSDIKHENVDASMDQLGKGEDKADKVEEPAEKMGVDVEGKTTGDTSSDQVVEDKKPTKKKVIKKVMKVVRKKATAGASADKTSQEGKNVVAATGSETAEVPSQQKSEDIGKEQEVAVTNQQPEAKKTGKKKIIRRIVKRKVSASGSELASPAVPAETDKQEAQAEPEKNVESSTDGKSSQTKLEEGLKASAEDISNEKKEEKKEEKENTMSENQSLNMDVVDQKEIMEQKDTKKDMKNVKKEQAKDDKEKRSGDLKIDPKQKPLNDTKEKKRSDEPPKYPGFILQAKRSKESKLRSASLSLDGLLDYTAKDIEESVFELSLFAESFSEMLQYRMGCVILSFLEKLYRRYVMKRNQRKRQREEELKKEEKKSSEKRSKTTHETIAESTDNPGEKNKIMKEGEEKMITDDSGASRDELTKEGKGKLNTGHSTSNHDEPNEDNKETKPELTAEDGGNKKTVKEPELENVTNKAASAEKQSISEKGDLVEGGEKTVSKEVKTAKDEVVDKDLLQAFRYFDQNRAGFLKVDDLRCILHNLGKFLSNKDVKDLVQIALVESNSARDNRIIYPKLVKIVDLDQFCP >Et_5A_040206.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:22446490:22446819:-1 gene:Et_5A_040206 transcript:Et_5A_040206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTVAAEEDGVGDDAAPGLAHKGGADEVRWLVGRNAEQDLVDEIVHQRVPSGSPAWRRHGDVSLMCDAMGGNDRHDGFGLANSSDRVEGLRGGAMVSVNVKQRMWRRI >Et_1B_014361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6788257:6793721:1 gene:Et_1B_014361 transcript:Et_1B_014361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSAAATAAGAMTAVLAPVPTRTGTFRSAQVSRHPLPTLRCRHARPLTAAAAAASSSPSSPVFHGECFVVGENIDTDQIIPAEYLTLVPSKPDEYRKLGSFAFAGLPSEAYPTPFVAPGEESSRYAIIIGGPNFGCGSSREHAPVALGAAGTRAVVAESYARIFFRNSVATGEVYPLELAEAGAWKECKTGDIVTVDLGNSVMINHTSGKEYKLKPIGDAGPVIEAGGIFAYARKTGMIASKATAEYISRSDELMMYQIGGVLP >Et_9B_063706.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21034242:21035210:-1 gene:Et_9B_063706 transcript:Et_9B_063706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGMSSSFSPSAFFPPISLAGFPTAVLLAGTSISTTDPAPILPPAPIFTLPRIVAPAPISTPSPILGCRSPTALPVPPKVTWWRIDTLSPTTAVSPITTPVAWSRRIPFPTTAAGWISTAKTSATRDWSASARGRRCCAQRTCATRCAWMARNPL >Et_5A_041696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2654639:2663861:-1 gene:Et_5A_041696 transcript:Et_5A_041696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDSAGRTPSLPWTVRVQLTALGAAHRADGSVRRLLFSLGDLQAAARSRPDATGVRSADVTIDAACGLWARVFSPSSADADAAPLPVVVYFHGGGFVLFSAASRPYDALCRRLCSELGAVVVSVNYRLATHHRFPAAYDDGVAALRYLDANANALPSDAFPHPVDLTSCFLAGDSAGGNIVHHIAQRWAAASMSSPPVRVRVAGAVLIQPFFGGEERTGAEVALDRASPSLSMAVTDHYWREFLPEGATRDHAAARVCGEDVEVPEAFPPAMVVVGGFDLLKDWQARYVETLRGKGKPVQVVEYPDAIHGFHAFSELADSGKLMEEMKQFVDKHRSNRAQGRERTAKERNGRLWQRHGPDGALAVDVAPEAGSHSDRAAPRPHPRPPPPPHHRTDGARLAAPGRHGRALRRRHHRRLHRPLGARLLPVLVVVAGFVLFSAASRPYDALCRRLCAVVVSVNYRLAPHHRFPAAYDEPRCATSTPPAVSCRCHRTPSPRPSTCFLAGDSAGGNIVHHVAQRWAARPSGRVSIAGAVLIQPFFGGEERTAAELALDGAWGSLWVSTTDYYWREFLPAGASRDHAAARVCGSDGVVELPDAFPPAMVAVGGLDVLKDWQATYVETLRGKGKKTVRVVEYPDATHGFHVFPEFADSGKLLEEVKLFVDEHINVLFLQSLWPEVIPSISCSGLETAVDLFSHVNVLHALTRRARWAGAGRRAVRSSSFVACRIHAWSDSARQKRQRARSCTHVFPFFV >Et_4B_038664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3840928:3843093:1 gene:Et_4B_038664 transcript:Et_4B_038664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEVAAAAAVDAAMETEAPAAAGQKREREEGGAAAAEGEEAAAEETAAAKKQKVEGELKEEKEGEKEGKPVKLGPKEFATAVDMFDYFFALLHSWTPEVDFNKYEHMVLEDLLKKGHSEPTKKIGPGIEAFEIRNHPVWKSHCFFVRRVNGSADDFSFRKCVDNILPLPEDMKIGNKKSGNHHKNGGGRGGGRGGGRGGGRGFRGRGRRGG >Et_4A_035571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29683881:29685725:1 gene:Et_4A_035571 transcript:Et_4A_035571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSGAGAGAGDGVVPVLSVRFARQVVLGRWFMVFACLLILSASGATYIFSIYSKVLKTSLGYDQRTLNTLSFFKDLGANVGVVSGLINEVTPPWVVLSMGAAMNLAGYLMIYLAIDGRTSRPPVWLMCIYICVGANSQSFANTGALVTCVKNFPESRGMVLGLLKGFVGLSGAIFTQLYLAIYGDDAKSLVLLVAWLPAAEYRIRKEIEESLRDPPTVTVEKPAAAAPLQIEQPAPTAQQSTTTTETEHHQKPASCLGSCLTHMFNPPAQGEDYTILQALVSIDMLVLFLATICGVGGTLTAIDNMGQIGQSLGYPPKSINTFVSLISIWNYAGRVTAGFASEVFLARYKFPRPLMLTLVLLLSCLGHLLIAFGVPQSLYAASVIIGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGSVASPIGAYVLNVRVAGYLYDVEAAKQHGGSLAGGDKTCIGVECFRKSFLIITAATVAGALVSLVLVWRTRNFYKGDIYAKFRNNSSSFSSSSSSSPTEEEESMEVNGGKKG >Et_6A_046716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19841824:19844903:1 gene:Et_6A_046716 transcript:Et_6A_046716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSCAWWPEFSDQRGGGGRIRGEEGVSRLALDNEYEQASASELSENKLRHNFRLGDITWVNQSGSSWWLAQVIDEACVGSKPKKKTEHDCLVRLYGTCQHLYIDPWKSNTEFKMMLKQQNKSTQTEVTTGKTSSRKTRKQEGLKQCSYKGVKKSATTENLDDSENQDQEVGSTATTGTVQQAKRRRGRKSSSSHDTAEIIDKDSCDNSAESPRGKRQKRVVQSVGRREGLRRSARPTAKEYSDAFGDRTASFTDTDAGEDATEVPMVHETLAPHTEIKAMVRDILFKEIIDREHDAEMAYVDEVINGICSATVDSMTCEATDFTKGGQGIKQNGTGVKGESSNVTQKQRKLDQTTEVTKNDHSNSLKEVIDTTPSREAVMKEPGQLSARQMRQIRIMQSLGLIAPSGSPFGKNTVIAAPRH >Et_3B_028911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20322317:20323742:1 gene:Et_3B_028911 transcript:Et_3B_028911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHHHHHLAGDHHSSPASTAQGPLLLLPSELLHEILLRLAVPELLRVRSVARPLSHLISSPDFRRLYHLASASSGPGPAAAWLLLFKKLPPRDAAIRGFHGPSGRWFRIPVSAILGPAVPPGEDLYFLAASGSSFLFAANGRRELVVVDLSARAARRLPPSPLGPRGTSSWRRFGLKLVADPTGSNRFRFLFAELVNNTPFLFEYRSETDTWQQSEAVLAGEAEGEPQPPAPDGTYLCAAHAGPDCVMVYAGPGAAGDRPVFFRPRFPPHNGGGGPGDRLHVYGDGSAAVVRSAVIDEPSRTRVKVVTGVDLYGFAAVGGDWELVASVPGELVEGFRKPYAVMTGLLAEREGVVRLVLISNCRGAWDLVWLSYDRARREWQWVPVPDWGTSKGLNMAGIAVSSTFSRLWPLASPSSVSG >Et_8A_056956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19424618:19426379:-1 gene:Et_8A_056956 transcript:Et_8A_056956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYNVLKVNRNATEEDLKKSYRRLAMKWHPDKNPGDAKKEAEAKFKKISEAYEVLSDPQKRVIYDQYGEEGLKASADGGSSSMNGTANHRFNPRNAEDVFAEFFGSSKPFEGMGRAKSMRFQTEGAGTFGGFGGNENKYRSYNDSVGTSSSQARKPPPVETKLPCTLEELYAGSTRKMKISRNVVKPNGHIGTESEILTIDIKPGWKKGTKITFPDKGNEQPNQLPADLVFVIDEKPHDMYTREGNDLLVYRKIDLVDALAGTTVNLKTLDGRDLVIKLTDVVTPGYELVIAKEGMPIVKENGRRGNLRIKFDVDFPKRLSSEQRHNIRKVLGGQSQQQ >Et_4B_039016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:743241:746332:1 gene:Et_4B_039016 transcript:Et_4B_039016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRMARYRGARPVPLPRTAPDPKRRGGFFHPQEPSGQQPNMARLDEIEHGDEYEQKLATLLVVSRTQEGRAGLSDELRDTLQLLPVSPSRLLLLRLRLLRNLVAGDELNQITFIHFSGPSVVVSSVLSFPSVAPDVARAALQALGNAALGGEYHRAAVWDALFPEALREFARIKDAGVLDPLCMVLDTCCSGEGGRGRLEELCHDDLGLPILVEVITTASKVEHKEEWLEWLLFKVCVEEQKFETLFRALCSSDDVECSDSGEYNANHAFVLGTLSKCLTNHPKEVIVSNSFALSVFNVHKHAVDTVDFTHRGSCSLPTGFPAIDVLGYSLQLLRDICAWESPSSETQLPVDTLLQTGLVKRLLKCLGELEPPSTIRKSMARGQGDQQPALAIGKVCPYIGYRRDLVAIIANCLHGRKQVQDEVRQLDGIMLLLQQCVIDEENPYLREWGLLAVKNLLEGNEENQKEVSELELQEPVITPEIANIGLKVEIDKETGRSIAVVMRAWEAGNTDVGPSVEKFAMLSP >Et_2A_016725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27771446:27775234:1 gene:Et_2A_016725 transcript:Et_2A_016725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPSPEGPPGFWVPPPQGTPQGAPAKAPPQQNFAPVMMPVQSASMGVTAPMPLQAPAMATQQQPPQCMAPPPRLPLGPPPAMMQQQPSQASAMMMEQPLQPFGHPPMMQLQQPLQASQVVMQQPSQPFGPPPVMMQEQAPQASTMMMEQAPQPFGHPHMMQLQQPLQASQVVMQQPSQPFGPPPVMMQQQAPQASTVMMEQAPQPFGHPHMMQLQQPLQASPVVMQQPSQPFCPPPLMQQQPPQLSPVGMEQPPQSFGPPPLMQQQPPQTDPMMMPQPHVSAPPYKRQRFDHDIFRQYMGFREVRLVYKSAARQPICFVDFATPVQAFLAMGSLQGYKFDQQDHESPKLRLEFSHSPRVASY >Et_7A_050244.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14892562:14894678:1 gene:Et_7A_050244 transcript:Et_7A_050244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPPPTVCALLLPFSPHSGHPRPHPVQPLHLRLRSSKADPPGLPKPSTSARPPPPPRRLHGADRRLSALVHRGDLDAALRLVGSSPRPPDLPLANRLVRDLCRRGRPADAARVVEACGPAATAATYGALADGYCRAGLLEDARRVVDGMPARVEPTAYAFNPLIHALCDRGRVRDALAVLDDMLSRGCAPDVVTYNILLEAACKGRGYRQAMELIDFMRSEGCEPNNVTYNVIIDAMCREGDVDEACEFLNSLPSKGCKPNTVNYNTVLKGFCGAERWEEANEIVTEMIQENCQPSEATLNVIINALSRKGQLQNVIQLLEKLSKHGCTANVVTYNSIINGFCEQGHVDSALELLGSMQSFGCKPDIFTYNTVLKGLCSAERWDDAEELMAKMTENGCFPDNVTFNTMIGFLCQKGLAVQAFEVFKQMSKKGCSPNPITYSTIITGLAKAGKMGQALEMFNEMACKGFSSDKNFQLLTDCLNEEDKIEEAVQIVHKLQDAGTPLTTVLYNTVLLAFCRNGKTYYAIDLLADMVSCGCTPDESTYIILIEGLAYEGYLKEARELLSNLCSRDILRNSLIKNEALLLDQKSNHSS >Et_2B_022919.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:8080414:8080626:1 gene:Et_2B_022919 transcript:Et_2B_022919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTRHGEKAFLMPTRLGNYVDSLEYVVTVGFGMPTVERTVLIDTGSTLQLRRLLEASRQWRGKWRLRKQ >Et_3B_030784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7288012:7290285:-1 gene:Et_3B_030784 transcript:Et_3B_030784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEITEGVQNLTVAGEAAASGGEGQRRGGGGNSNRIQVSNTKKPLFFYVNLAKRYMQQHGDVDLSALGMAISTVVTVAEILKNNGFAVEKKIRTSTVEINDESRGRPFQKAKIEIVLGKSEKFDELMAAAAEEKEAEDGEEQA >Et_4B_037198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16305753:16311379:-1 gene:Et_4B_037198 transcript:Et_4B_037198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISSYLAVGGWFIQVIFDKYLSYQLRKWAADCGIEHELDRIRVALLRTQSVIHGTELVQALPYSSLPWMQELRDVMYDAEDLLDKLEYNRLHNEMEESSANESSSSPISAFVHSRFRNQGVPSAVAEPSWDRSAKVKNKLVNLLERIEQVTSGVSEALSLSRNIKSNNRYTMTSSIAFGKIIGRDSEAERLVKTLLSYQDDNPVSILSVVGVGGIGKTALAQHVYNNTRIKENFDVRMWICVTDLFDELRITKEMLESASSSRFRHDGTTNFNRLQVALKARLASKRFFLVLDDVWKNDNITMAIEQENWHKLLAPLQASTKGSKILMTTRFKMVAVMLQSSNIISLEPLLVNDCWSLMKASMFDETNHTINSQLEDIGLKIAHTVSGLPLAAKVVAGHLRCKYSLDKWKKVLLRNAVWEEIMPILRTSYEKLPPHLKKCFAYCSIFPKGWEFESEQLILMWIAQGFVQPDGCKRMEDIGKEYIQDLSNQSFFTIQKKEFVTYYLMPPVIYELAISVGTEECFRIGVRHLTVHLDSLSLLDETIPYNNLRSLIFLTSRTVAPVNVSIPTVVLHNIKGLRVLDLSACKMKKLPDSIRQCVHLRYLNIASSTIKTLPESLCKLFHLQVLNVSGCRLENLPHKMTNLVNLRHLTAANQIVSTIRDIGRLKYLQTLPSFKVSKEETNSIVQLGNLLELQGSLQIRNLENIDTPNEAKEAMLCKKGQLSMLQLMWASGRSEINAKREEDVLEALQPHQNLKRLDIMGWMGIKSPSWLESKWLSSLELIFLSGCNAWEQLPPLGQLPSVRTIWLQRLRMLKQIGPEAYGRGSLQIPFQSLEELVLDEMPEFNQWLWRGQTMRNLRNVVIKDCQKLTVLPPLPPNLTEITIARKGYWVPYHHDVKLAHSSNSRLNVSSLCIFNCPTLLARLFSQMTSEIIASFGSLRSIITDQITILKWPLSLDIQDCSEITSFSADGDALRQLKSLQSLCISGCSTLRSLPSTLSNIESLEKLVLWNCPELDSLPEEPLPVSLRKIEVALCHPQLKERLVKEHGADWQKIAHIPWIEMDGEILQRLQIEQHQGKKSGSKIIPFQAQNQYLMHRDVPNSIRSCRVVHHNPVLHPVEVHAVANPLQVLEHGLERHHPEPHDGGRERRHADIGPNVHHHATGAAPPDLPAEQLLDGLGDVGLAEPLALEEALDVLVGPVGERAHVGERVEEGVGHALHEAGEDRRGLRRGVAVEGADLAREAVGGQGCGSGGGGEGEIWGPGENEDEEEEENREKEEEGSRCGGGRRRRGVPAPGRGGWRRHWWRGLGFGCA >Et_2A_016524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25523549:25526478:-1 gene:Et_2A_016524 transcript:Et_2A_016524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DPGADSDDEFDWDTSDGDGEVAGSINGTGTSAVASRNHDAPGPSTLAWQSFSSVENFVRMGFERHMVLKAMKETGDGDADSLVSLLLTYKEIGSEHECTSSGYPPRAVENEDDDDEILDGFTSSDDSVILVDASAKLIENIRTTLASNEYPPPPRVQKFVLEECRRWNLIWIGRNKVAPLEPHEMEFLLGFPPDHTGGITKTERYRSLGNAFQVDTVAYHLSVLKELFPGGMNVLSLFSGIGGAEVALHKLGIRLKNVLSVEKSKANRSVLRTWWDDNETGTLIEIDDVQKLTSEIIEVYVRRFGGFDLVIGGSPCNNLAGSNRHHRDGLEGEHSSLFYHYVRILEAVKSAMQRIEGTDARGG >Et_10B_003569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3271711:3275402:1 gene:Et_10B_003569 transcript:Et_10B_003569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKKKETVVLYPGVGVGHLAPMLELATALLRHGGDAFHVAVALVEPPVTDPGFLAAVARAKAAHTSVAFHVLPPPPATDGSGSGAAGELDMPTRLRFLRAMNAPLRDFLRSFSLPVRALVLDMFCGDALDVAAELAVPAYFFFASGGTGLAVFLGLPALRAGLGEETGFAALGGDAVLSSFPGAPPFRVADLPADLIAAGDGGEACAGMLRMAARMPEARGILFNTFASLEPRAVKALRAGLCVPGAGAVATPPVYCVGPLVSPGGGGEDHGCLRWLDAQPDRTVVFLCFGSLGGAAFFSAAQLREIAVGLERSGQRFLWVVGRRSPRGGDVADGDDDLAAVLPAGTGASSWALEGVAAGLPLLCWPLYAEQRLNKVWVVHEMRLGVEMVKTLRNGGVVVSAAEVEKKVRWVMESDDDGARALRARVAAARDASADALVEGGSSRADFVEFVRDLESSNGIAVILCPLDVAAELLGLPAYFFFASGGTGLAVFLGLPALRAGLGEEAPGAPPFRVSDLPNDIIAGDGEACARMQEAHGILFNTFASPVAQRRRCTASGRSSRPADHGCLRWLDAQPDCSVVFLCFGSMGGAFFSAAKLSQIAAGLERSGQRFLWVFRRRGPRGDLSGGEPLPDTAEDDLAAFLQAGFLDRTRDRGLVVGAPGRRAAPPRGRRVRDTPCGCKKMEPSQIFLSIFLFSYSFSQNSLFRF >Et_1A_009293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37765359:37767726:1 gene:Et_1A_009293 transcript:Et_1A_009293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTPAAIRDMQKDLEVQANALSKIQKGKHALPTIKSLLHISKNHQVRKQYTIQVGENELVLKARTRTFSPIKLRSELELLSDGANVYKLIGPVLVKQDLAEAKANVKKRIEYISAELKRMDRALKDLEDKQNGKKESIFKLQQRMQAIQAGQAKA >Et_7B_055735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:388658:389233:-1 gene:Et_7B_055735 transcript:Et_7B_055735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFTYKNTARTDASSSSPAVGRGEGLGKPGRPSRDAALAVFKAQNAPVCQAALCILPALHHLEQRQVQVDAQVVLSVIAKRLPLLNHWDEKVNGMPHVRPLGGLPGSAVASKLRDHADALESLIREIQRRINGCPQLVLILPYQTEPGRQIAGFLLHTVLINSSQPCYQLHQHYPEAEDI >Et_4A_033000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16894093:16896058:1 gene:Et_4A_033000 transcript:Et_4A_033000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAPHRVPSNPAGGVYESRPNRVPRVLNLVQLVCGMMPRIKRYCLPYESMWDAPVPSPYPIPKCECNMTAVVTQSSHPLTAARAYFCCGNELEMLPPGFKYTPFFRCSIALSVREMLCRSFFLSSFDWMSHVIGAILLLLQGNKRGCEFHELVHRPKSHYPEPDSLPDDVLHGEELPCWYVAPLLCQCGVPAREGVVPSELGYGHYCGNTVGENDEWDTRRCDWETFEGKEEFLLKAKKRGPEYFKKALATRRSNMRHKYLTMPPSFIYNTIRSELKIKRECPFWEGAEVDVVIHHWRCNRDKYPPKSCWELLDPPYGLQFNSAKECIEWAMTKMKQLCDPVYVEEKRRKEEEENKKRAKEELEARQKDPNCWEHYFYKLAERKKKRKMEEKEVAREERKRKGEVDRVAIQLMMDAEAIDKMVDIAKNVMSKRSNDNDGENE >Et_2A_016558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25984768:25991116:1 gene:Et_2A_016558 transcript:Et_2A_016558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLGPGGKVERLSSIDAQLRMLVPAKLSEDDKLIEYDALLLDRFLDILQDLHGDDLRELVQECYEVAAEYETKHDHQKLDELGKMITSLDPGDSIVIAKSFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSAMTESDIEETLQRLVVDLKKSPAEIFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRMQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYCSQIEDLMFEVPPNEPYRVILSDVRDKLYNTRERSRELLSSGHSDIPEEATLTNVEQLLEPLELCYRSLCSCGDRIIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITTYLGIGSYREWSEERRQEWLLSELNGKRPLFGADLPKTEEVADVLDTFNVIAELPADSFGAYIISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLEAAPAALARLFSIDWYRQRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEDLIKVAKDFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPNAPKPEWRALLDEMAVVATKEYRSIVFQEPRFVEYFRLATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHALQKDIRNLHMLQEMYNQWPFFRVTIDLVEMVFAKGNPDIAALYDKLLVSEELRPLGERLRADYEETQKLLLQVAGHRDLLEGDPYLKQRLRLRDAYITTLNVCQAYTLKRIRDPDYHVALRPHLSKEIMDSSKPAAELVKLNPASEYAPGLEDTLILTMKGIAAGLQNTGWASQTA >Et_1B_013134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5858382:5861581:1 gene:Et_1B_013134 transcript:Et_1B_013134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYDDERSVKETRRCCRYGSWQQSTLESNIFSWPVEDVLNRNLLKKQVKKIPSTFDSLKDYMQSFTMPLIEETRADLASALEGIKHAPATQVISMQKICTDEHDIYSISVKTADKKDSTHRDQVYAPREADMLVLTAGKPTHISELERNGKSYLLGSVLKTEGDDGIVVRLSRRPVEGLPLFAVYLINMTTYNRILSALDVYAARCRNTSIIERVLNPKFEEKDNPSSLETFSYSLEGELDGLKSFELNKSQLEAVHDCVSAVQLDASSVRLIKGPPGTGKTKTISALLWSMLVKKHRTLTCAPTNTAVAEVASRLLRLLESSPGGGSSGQKRFVSDVVLFGNEDRMSVDNLQKIFMDSRIRRLRDCLMPSSGWTYNLSSMLRLLEHPSVQYDRYAEGIDDEKKQLARMEELARKKNNEEQARKLNDKLQKIQKMSFKTYLTSKYKQLEKELHKCVETFCNDLPRSAASEENFACMEEALHLRNSSKRSSKAKMKESGLVPESGDPSARRRELRAEGSKIHKLKHLSANFALPDIFDPKLIEEFLLQRAKSVLCTASSSYRLYHQQKPEPFNIVVVDEAAQLKECESLIPLQLPGVRHVVLIGDELQLPALVKSQVCDEADFGRSLFQRLSSLGQPKHLLDVQYRMHPGISKFPVSSFYDGSITDGPNVQHKNYERRHLTGGRIYGSYSFINIEEGSESTGKGDRSLINPVEAAAVVRIVQRLFKESVDTRSRIRVGVVSPYNGQVRAIQEKLGKSYAAHDGFNVRVGSVDGFQGAEEDVIIFSTLLVFANHAVPSGDTVYVSPRLLLLIPVIHCRRHCLWILGNATTLAGGKTIWREIVADAKDRGCFFNATDDKDLSNAIIKAVIELDEVENLLNLDSLRIGRSRPGV >Et_8B_060646.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3930889:3931674:-1 gene:Et_8B_060646 transcript:Et_8B_060646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPLEPAAAAALGRRPAQQFAGVDLRRPKGYAAAPPSPAAPASQEAAPAAAAEGDPCPRCESRDTKFCYYNNYNTSQPRHFCKGCRRYWTKGGTLRNVPVGGGTRKKPSSSSSSSPPSSYAAAAKPKRPYKKKRRVAPPEPSAPVPAPAAVAAPAADYAKTTTAETTTTATTTTTPTTDAASETTAELLVPAAEDDSFAHLLHADDAVALGLGLSDLPSGKGAALLEPDSFEWPASLDLGAYWGGAGFADSDPAIFLNLP >Et_1B_010941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15733225:15738257:-1 gene:Et_1B_010941 transcript:Et_1B_010941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIETSAKTADSINQLFDPNFLISLLDLVNAGGVSNINNGNSDTDPENLRVKLVLLGDSGIVKSCIVLRFVRGQFDRTSKKHCSPDMVMTLVGNKADLHENRNVSSEVGKKYYEAPATLMLTDGLKY >Et_4A_033973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28660856:28663907:-1 gene:Et_4A_033973 transcript:Et_4A_033973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCWSPGAKFAGPVILGFLYRTPRAPPDDADRPLLPGAPSSLLPPPCRHRPLAPHAVARLLRRLAIEVMGDASEGEGSCCVSCGRRVKTLFVQYSPGNIRLMKCDSCKAVADPYIECEFMIILIDLILHKTKAYRHLLFNKLSMGSSVDKNIFFERKQSQWGFSDEHFLDSFQLQRGCWQCIIRKHHIYDHVIPRSAIYSQTVFQHYKVWEFPSSVIFIVEMFVLSSNVVALRVVTQFPKAHCFVVCFVAHTAKYLTERWILGTSRA >Et_2A_018838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8743682:8747383:1 gene:Et_2A_018838 transcript:Et_2A_018838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQSTGHEDPRNVAVIIGSQDEEDPRKTTLTYDSQDEGRRKTTITYGFQDVEDPRKTTVSYRLQDEDDPRKVNIKYRSQGVEDPRKVTMTYGSQGEEDPKKVTMKYGSQSEDDSRKTSMTYDSQDEGPRKASLTYEFQGVEDPRKTTVSHRSPGEDDPRKVTMKYRSQGVEDDPRKVTATYGSQGEEDLRKVTMKYASQGEDDPRKVTLEYGSQGMEDARKVTMTYGSQGEEDPKKVTMKYGCQGEDVPTKTTVTYGFQGEEDPKGTTMSYRTQVEEDPRKVTMKYGSQSVEGPRKVTVAYGSQGEEDPKKVTMKYGFQGEDDLRKVTVKYESMGVEDPRKVTMTYGSQAVEDPKKVTMTYGSQQGEEGPRKVTMKYGSQVEDDPRKVTMKYRSQGVEDPKKVTMSYVSQDEKDPRKVTMTYGTKDEEDPRKVTMKYRSQGKDDLRKVTMKYRSQGDENPRKTTMTYASQGNRHDHIHSHHKRSKRLADVFFFHDALRPGSVMTPTIPPTTSVPSLLPRRIADSFPFSTERFADIVAAFKPASRAMADEMQWTLETCEHPRPLPGENAGCATSLESLAEIPAALLGTRDVRPFSAAADMPVDPPGTAARRGPYNVTAVRRLSSSPSPAEVIATCHDLTYPYAVFFCHTTSPAAAYAVTLQAAVDDGAGGGAPPAPAMEVLAVCHLDTSLWSPRHPFFVAHNLKPGDKAVCHFLSKLSVVWVPGGKLQGDAYEAQ >Et_9A_063377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2245183:2246591:-1 gene:Et_9A_063377 transcript:Et_9A_063377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSATWLALACAALVVVAAVADHHAAPLEVGFYKHSCPQAEEIVRNAVRRGIAREPGVGAGLIRMHFHDCFVRGCDASILINSTPGNKAEKDSPANNPSMRGFDVIDDAKAVLEQHCPRTVSCADVVAFAARDGALLAGGIDYQVPSGRRDGRVSVESEVLNNNVPGPTDNVHELVASFRRKGLTADEMVTLSGAHTIGRSHCSSFTQRLYNFTGKVGTTDPAIDPAYAAELKRRCPWPSSDDQMDPTVVPLDPVTPASFDNQYFKNVLAHKVVLTSDQTLLDNPWTAGIVKFHAAVGEAWEAKFAKAMVKMGNIDVLTGNEGEIREKEERCGCQMTSVPFGHVVEPDPKPDRIRPTPHPLPSNGERAR >Et_9B_065221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2529339:2535598:-1 gene:Et_9B_065221 transcript:Et_9B_065221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRNSLPLQGRLRRVAAALTARRGAATSTEEYKRRNYAENASEYNTVIGSLIAQRRPYLLRDAYDDMILDGVQPVRDTFHSLIVGTMKGSRLQDALYFRDQMKEMGLQPDVNIYNFLISTCGKSKNSDAAIMLVEEMKAHGVKLKAETYICLLNALAATGRTDQVYATVSDMSAAGLGLDKFCYAGLITAFKNKTPTTEETMAKIIDFVEQSKGWQYVERISKDSAENIMMNVSDEELYNMPTAEYVNRRGGFVVKPFTVYHVAIHACAELRNKETLETLLEMFKRDNKEGATYDAFIVMQAMRCYLRCGDIDSAIKMFEDFSSSRTPPAELFVTLAEGAMIDYTPRGMQVAQETLEKMVARNFFLNPRMGTDLLLAAAGEKDGGYTTANYVWDILQSRNITPGLPAVEAYHKGLKEREIPSDDPRLLHVSRVLDNLSLRSGPRKNTQ >Et_7A_052975.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:3870171:3870473:-1 gene:Et_7A_052975 transcript:Et_7A_052975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRLRQHSETRRPLEQLVGALCRAYMLVRSCDQQQTVRSYMYQLLTGAQKFYGHLSSSKVQSKIKTEEHGNGALVLVQHPMNLRTLLSSLPMGVMGSMF >Et_3A_024981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25865977:25867188:-1 gene:Et_3A_024981 transcript:Et_3A_024981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEDGYCSADSPRADSGDEPMAAAADAEESPRAGAGQSKRERDIPSPSSPLPAAKRSRRSVEKRVVSVPIGECGDRARGASGEGPPPADSWAWRKYGQKPIKGSPYPRGYYRCSSNKGCPARKQVERSRADPTVLLVTYTFDHNHASPQPKSGGCNQSKASPRPKPEPVVEQAEIGPEHEAAEVPKAGPEPEPEQEEKVAVTLAEQAPVTTVAPAVEEEEESFDFGWFDQYPTWHKTALYASAPAIDAAPLLPPEEWERELQGEDALFAGLGELPECAVVFGRRRELSLAAATTAPCS >Et_2B_020176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17581833:17582628:-1 gene:Et_2B_020176 transcript:Et_2B_020176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKTWRMIHQKEHEMMEHTQSVARKAKEVSQFFPDELIRQRVCIEIDQILQHLPDYSDQAQNDIVKILESYWAYIK >Et_3A_026714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16387978:16390718:1 gene:Et_3A_026714 transcript:Et_3A_026714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFATKILAFSILFGCPMPIFLSRMNLENRNQTMRIEYTIYMPGPEEGRDLGDTNRQKETINSTESMKLVVDAEM >Et_2B_019586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10424633:10425363:1 gene:Et_2B_019586 transcript:Et_2B_019586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKIVLKLPLDDERKKRKAFKAAVGMNGVTSATMEGDKIIVVGEGVDPIALTTMLRRGLGYAELLSVTSGDDKKKGDSYGYGGGMAYGGGMGYGGGGGKEGKEGKESGGGKDKDKDHGHGYGGGYHAVAPMPYAAYNQYNAVPSYPVYSYPAYPQPEQDPGCSIM >Et_9B_066076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2673425:2675268:1 gene:Et_9B_066076 transcript:Et_9B_066076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGYVTAEGPGGGGRARGGGRYPPLSALVVSAIAAFSAVIVLAVLHSAYDDALSRTPTLLGHNLEPTPWHVFPHAKGRPPARAALRCTPRVACLPPLSQPRPPMTSAANSSSATARPRQCPAYFAAIHRDLAPWRGAAGGRGVTRALLESARRRASMRVTVTGGGRRLHVDLYYACVQSRALFTVWSILQLMRRRPGRVPDVDLMFDCMDRPAVNRTEHGGRDPPPPLFRYCTTRDHFDIPFPDWSFWGWTETNIEPWDRQFASIKQGAEATPWPDRVPTAYWRGNPDVASPLRLALLGCNDTNLWRAEIMRQDWDEEAKSGYAHSKLSAQCTHRYKIYAEGFAWSVSLKYILSCGSMALLIDPEYEDFFSRGLDPKVNYWPVRRFAGMCESIRDAVDWGNANPAEAERVGRQGQRLMEDLRMDAVYDYMLHLLTEYAKLMDFRPAPPPTAQEACEGSLLCLADDKQRRFMEASVAEPAVDEPCVLPPPE >Et_3B_030803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7643246:7646589:1 gene:Et_3B_030803 transcript:Et_3B_030803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEAPGASFTSQEDTIRQVLSARGWRFRDPTDEVVQALLYAPRSPSPDAVESELIDMDLRMFGGKTLPDRATTAATAKRLSYIHGPIVLQVVSVRDIYRSSIDASFKNTQQRRLLRFGLTDGISEAVAIEFSPISFITEEIAPGTKIRLENKIPINNGILCLSSKNVCVIGGTVQSLYEEWQMNQKFSGLSRPSLRLSQSDDGARPPPFEKLDIDARPCRATKAQPYPDHKDRKFAVNQGHVPVNSGGKLMNEVSSDVSKEMTATKVESKQSISDSRPKEVSEAVPVQNQAAAQKLLQKMAQGMPEERHGRGQRFRGKGRQEDTQVFTLDEWEKRKAIGSKSSAESYMQDTSQDEELARQLQEQLDLEDMQGGPEFFSGGAESSDAERLRMSMFSFNGLDETGGGRRDFRGRGHGRGRGRGRGRGRGRF >Et_2A_015880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18763563:18765060:1 gene:Et_2A_015880 transcript:Et_2A_015880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATAAAATAAVAAGKEKEKMLTLCSSDGEEFEVEESVAMESQTIKHMIEDSCADNAIPLPNVSSKILALVIEFCRKHVQARAADAEDGASSDGSKKTSGQELKNYNADFVKVDQGTLFDLILAANYLDIKVLLDLTCQTVADMIKGKTPEDIRKTFNIKNDFTAEEEEEVRRENAWAFV >Et_4A_033404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22295510:22314806:-1 gene:Et_4A_033404 transcript:Et_4A_033404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSEGGPSSGKKPRREAAENHPPGDDPPLRPLPDDAIEDVLRRLAPRCVAACRSVCRAWRDAVDARRLLREDLLPLKLGGIFFTFADHDFPDFLARPSTTLPAGISGRPDSLPNKDEWCDTDDHCNGLLLTLDRVVNPATRWSAPLPYNSWSPSQSYHDYELNRLAYDPTISPDYEVIALPCFYSESELSALADNRNHYHGNDAEGEQELDPAIEQSEWPPPLYESSHLVLGNGRRSHLLGKIARTSTICNMRLASPRQWMHDYMVYWREALYIYCQSDYIMRVSLSSDKYHVIRALIVLSKYQDLHLGKSKKGVYFASLDKQCCLQVWRLQDASARMEWVLIYLKRMVPCRNYDQGSWIFQGIHFYGNFDMSVQHLGNIPPSEYSDPTEATRKETEHSPGDDLARLLPDDVLGAVVRRLAPRWVAACRCVCKAWRDIIDARRLLRADLLPLKLAGIFVSFDNRRFQFPDFLARPSAAPTMSGWPDSLPTTFPWWNIGDHCNGLLLMDYKVVNTATRWWAQLPFSTCKSEKIYYDYDVNRLVYDPTLSPHFHVLIIPRFHYENELHLDFILDEDPRELDPAIEQSEWPPLLYTLEVYSSRTGQWEERSFAREGEVAGTLADMRSGPSQHNIDFAVCWRESLYVYSQSDYIMRISLSNDKYHIIKSPADVNECHDFYLGKSRHGVYFATLDAQCWLQVWRLKESCAKMEWVLIHYRDLKPMVPCGSFDEQIHGSWILQRINDNDEHQNPVYSAINEEGSKEEEIEWNSDDDSPMTSDDRDMQIVGFHPYKEVVFLTESSMRVTAYHLNGSKVQELGHLHPTERDQLNKRWSFPYTLNLLEKAMETDLSSLLPADALAAVLRRLTPRGLAACRCVCKAWRAVIDGHRLLRAEELLPHSLAGIFINFDGLNLSEFFNRPPAVSSDSGKRSVYLPDNSSFSRYYVKDHCNGLLLLHARRVLNPATRWWASLPEPPPPCMGSNSLEDWKLVYDPTVSPHYEVVLIPYFHFKRDPRDYPRHSCLTRVVYDPVVEQSEWPAGAVGRMCIRRSWSTGKRDVLVRLPPRWLAVSRCVCTAWRAAVDARGRRPHQLRRAVHHGLLLPALTDPSINLRCYERRYLAYDPTVSPHYQVFSIPEFCFRKSDPDIEQSEWPPSVYILNVYSSVTGRWEKRSFVREGDAAGTTTISDVRLDSKRSLRRAVYRRGSLYVHCQTNFVMRLSLSDDKYRIVQRPRDTKVSTFIYLGKSASGVYGACFYERFRLRVWFLSEACGRMEWVLKHEGDLYEWLLKHQLPNQLPEGWYHFELRPPGPWTLQDLDHHHCPLDEDDNAEAETPAAEDEVEWNSDASDDEELERRSDNDHSVLGTCYNDSIKILGFHPFKEIIFLRESQRRGLAYHWRISKFEDLGNLYPTNWCWGDINNMNIGSSFPYTP >Et_2B_019707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12781865:12789913:1 gene:Et_2B_019707 transcript:Et_2B_019707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLPRWAPTPCPSRPLSWPSSGDNITGRSRMLSPFRAIRDALRGRTTTCFDGVDGHRAGHRGGRVRDGGVFITWEDVWVTAVDGGAHAGATILHGVSGSARPGEVLAIMGPSGCGKTTLLDTLAGRLDSNLKSKGDILINGRRQKLAFGTSAYVTQENMLMATLTVREAIYYSAQIQLPDTMSVAEKLSRADDTIQEMGLTSALDTRIGGRQTKGISGGQSKRLSICLEILTRPRLLFLDEPTSGLDSAASFHVMSRIAGLAAREGMTILAVVHQPCSEVFELFQGLCLLASGKTIFFGPAANAAAFFTSNGYPCPSMRNPSDHFLRTINRDFEMERGGGSESKPSAADEAIDILASAYKTSNTSEIVKREMHDINEMGGEMIRRNQASFLAKVVVLSRISFVNMYRDVGYYWLRLGIYIAICVCLGTIFYNFGYGYDDIRARSSMLMFIGSLLTLMAIGGFPSFVEDMKIFRRERLNGHYGVSAFVISNSLSATPYLVFIAVLPGAIAYYLTGLQRGVDNFAYFTLVLCSCTMLVEGLMMIAAAIVPDFLMGIITGWNTRYYDAELWILPVWKYPVSYISFHKYALQGFYKNEFLGLVLENNQGGNKTITGEEVITKLFEVEMGHSKWVDLAVLCGMIVAYRMLFVVIVKVLDVVKPMFKGAKFRRPVESICVRKNPCILI >Et_3A_023634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10168460:10176253:1 gene:Et_3A_023634 transcript:Et_3A_023634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATVEEQMVVKAIREECPWESLPKRLQSTLQTKEEWHRRILSYCIRKRLQWNTCFARRVCREAEYYEEMMRYLRRNLALYPYHLADYICRVQRISPFRYYCDLLFEVMKNEQPYDSIPNFTAADALRLTGVGRNEFIDIMNRCRSKKLMWKLNKSIAKEMLPTQPVDFPVEAWWGVCLVNFTLEEFKKVSDEESATIDKICKEEANSYVLFDPKIIDGLYKRGLVYFDVPVYPDDRFKVSRLEGFVSNKEQSYEDPIEELLYNVFVVSSANATVAELAATLQADLYQLQAAASFACRLGWAVKLVDADSVVNDEGAHAFPSSILSDDEEGSNTSINSEKSGQQLISMDSDGPRKLSGTAHVGFVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIAELCSDLASLEGKKFEGVLEEFANHAFSLRHFLECLQSGGVSATETTDTATESKTPTSSLHDVDNATSHWAEVKIEGIVDDNHHEFPQNNQDASTGSRVMKNKRKYKVDILRCESLASLAPATLERLFLRDYDIIVSMVPLPSSSVLPGPSGPIHFGPPSYSSMTPWMKLVLYTAGHCGPVSAVFMKGLRFRLLPEPLAGCEKALIWSWDCSVVGGLGGKFEGSLVKGNLLLHCLNSMLKHSAVLVQPLGINDLNASGNLITVDIPLPLQNNDESIASAVAQTNLPKEQVFNLTSVLKDLSSKFELSTLGYLRLLRLHRIDESDKCVPEDASYQWVPLGLEFGIPLFNPKLCERICERVVASRMLQREDLTEHCDVMQNVRRQLRELCNEYQPTGPIAKLFNKRGSSKDLPRVLINNISGKWNLSNEPSTPSSRGAPNEHDRLKFAGRQRCRTEVVSFDGSTVRSYALAPENNEAATKPFYGEESSQHGARTDQEETDSKDVVLPGVNLIFDGAELHPFDIAACLQARQPIWLIAEASAASSALT >Et_6B_048888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1580254:1582214:-1 gene:Et_6B_048888 transcript:Et_6B_048888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVEPLIVGRVIGEVLDSFHPCVKMVVTYNSNKLVCNGYELYPSVVQSKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVISYESPKPHIGIHRFIFVLFKQKRRQSGPLRYLTRSRVRPLNQQHRTRHWRGLGESILTSHRRPHLGGRQEATAGDRPLPRRSQRDAWGQSLAAFAAVEVAGMAPPRDRNGNRNGHPPPPS >Et_8A_056456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10288928:10292217:-1 gene:Et_8A_056456 transcript:Et_8A_056456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALASSRCCCSRLSPPPPLPTRSRRSVTRCALPEQVSFSFFLGILRRSRSSGCGFRSVVWDQDAVSTKRNSFSWKECAVSVALSVGLITSAPTLGWEAHASPLQPVVPDVSVLISGPPIKDPCALLRYALPIDNKAIREVQKPLEDITDSLKVAGVRALDSVERNVRQASRALSNGRNLILDGLAESKRANGEELLDKLAVGLEELQRIVEDRNRDAVAPKQKELLQYVGTVEEDMVDGFPFEIPEEYSNMPLLKGRAAVDMKVKIKDNPNLEDCVFRIVLDGYNAPVTAGNFIDLVERKFYDGMEIQRADGFVVQTGDPEGPAEGFIDPGTGKPRTVPLEIMVDGDKAPVYGETLEEFDANSASSQVFWLLKESELTPSNANILDGRYAVFGYVTENEDYLADLKVGDVIESIQVVSGLDNLVNPSYKIVG >Et_2A_015081.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29160450:29160623:1 gene:Et_2A_015081 transcript:Et_2A_015081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGGGGSPEEEAQAAAGAVCVTGSTGYVGSWLVRTLLRRGYRVHATARDTGASAS >Et_6B_049772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1132123:1139058:1 gene:Et_6B_049772 transcript:Et_6B_049772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWGRRAKPLQSIRILFEGMESRGRVLMERYELGRLLGKGTYGKVHYARSLESNRGVAIKIMDKDRALKVGLSEQIKREVTTMRLVAHKNIVELHEVMATRSKIYFVMEYVKGGELFDKIEKSGRLTEEAAHKYFQQLISAVDYCHSRGVFHRDLKPENLLLDENENLKVSDFGLSALSESRRQDGLLHTTCGTPAYVAPEVIGKTGYDGAKSDIWSCGAVLFVLVAGYLPFQGTNLMEMYQKIQHGDFRCPSWFSHKLKKLLYKILDPNPSTRISIQKIKESTWFRKGPGETRAVKERTLCENAITNAASIHRAARRKKDAQDVKPLTVTNLNAFEIISLSTGFDLSGLFVEKECKKETRFTSDKPASAIILKLEDVAKALNLRVRKKDNGIVKIQGRKEGRNGVIQFDTEIFEITPSYHLVEMKQTSGDSLEYWKLLEEGIRPALKDIVWAWHGDDQQQKQEPASITVSTLKVKKLETEAQGIEEMVFTSSIWRRLWMPSSEDSQPTLKQETKGGRRYLGMEGHGGPGGSRFGGLVVVVLELVRLGVDAPDEEEAGYGGGEEDEDHPQRTHLHLSRFLADPGRNESMNGRSKTMDCAARRRG >Et_9B_064863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19372713:19375007:1 gene:Et_9B_064863 transcript:Et_9B_064863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPMLGSIANRWRELQGADSWTGLLDPLDVDLRRNIIAYGELAEATYDAFNQEKRSPHAGACVSGVAAAGNYAVTKFLYATSALPVPEAFLLLPLPELPEAWSRESNWMGYVAVATDEGVAALGRRDVVVAWRGTMRNIEWVNDLDFTPVSAAPVLGPAAAANPDAMAHRGFLSVYTSSNADSKYNQASARDQARINLTSFTNSLLHRPAAKLRSCTFGTHESFLVSSKHSSVLEEVSRLMDLYKDEVTSITVTGHSLGASLATLNAVDMVANGLNAPAGSSQPACPVTAIVFASPHVGDGNFKAAFRSFPELRALHVRNAGDVVPMYPPLGYVDVAVPLPINTGRSPYLKKPGNVKTLHNLECYLHGVAGEQGSAGGFKLEMDRDVALVNKGVDALTDKYPVPAKWWVAKNKGMVMGDDGHWTLQDFEQI >Et_7B_056025.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:9705339:9706724:1 gene:Et_7B_056025 transcript:Et_7B_056025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLPRLCMATGNGAAADGKSNGDKMNKLATAVSSSSSTVSTSSSAAAAVSEASSSSTSLPSLPSLSAATSASSLAVSLVHVTTLLPLSAAASASAAAVAAAVDSAHGLVVARPASVSLHDLATLEATSTSDSADATATAGSVKCVAHLLHGGGAAAVTGHQDGRLRLWRASSRAPGRLRLAAALPTVSDRLRRFPVPSNHVAVRRHHRRLWIEHADAVSGVAAAADGRLLFSVSWDRTLKVWALPSLRCLQSLPAHDDAVNAVAVAPDGTVYTASADRRVRVWAPRPPPSDKAGRRAQGKKPVYHLVATLSRHTAAVNALAVGCGGAALYSGGNDRAVVVWEREDSASHMVAVGALRGHRRAVLAVSCAPGGLVVSGSADQTVRAWRRAAGGRGYACVAVIEGHGAAVRSVAAAPALPATKQRRGDDGGGRSDDEEWRVCSASFDGEVRVWSLRVASSGL >Et_4A_033383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22045897:22048070:-1 gene:Et_4A_033383 transcript:Et_4A_033383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRSRSRRAVGAKQGGGGGGGLMAEPVALAATGAQSPRHSSSSPSAASVFPSPRPFMAAMALPQGGGYFSDGTEGPASAMSPTSILETKQFCCSALPPFLSERSLRRAQHMDAAAAAPEPAGVGLADVLREHGDAAAKVVFGSQLRIQVPTGGRAVELVSSPIEFGVKNRDAQLAAALSPARRFLLPEVVSSPSARVLAGGVSPVEMAMSEDYTCVISRGPNPRTRHIFDDCIVESCGGDVLVEKADKGAGADGVAVASGFMTFCHACNKQLRPGNDIFIYRGDKAFCSSECRYQEMLFDEAVDNLR >Et_9A_063030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8744754:8750415:-1 gene:Et_9A_063030 transcript:Et_9A_063030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGEFQTSLVQQMIWSATGGGSNSSSSGNIMGSLKPCHEDQEASPNLPSLSSPSVLFSQQFSHASSGLVHMNSNGSLPSLHDGSGQENHLPESWSQLLLGGLVGDHERLSATAALLSKGLENWGDQAAAASACMAGMKEEGSSMPQASYNFYGSHLAGDHEMPAGGAKSQLSQMLLASSPRSCITTSLGSNMLDFSNSAPPPELSRHHHHSDNSSECNSTATGSAIKKARVQASSSAQSTLKVRKERLGDRITALHQIVSPFGKALSYPYMGHGNGTSMQNGPMGERNPGLFPEYPGQLLNHNNTNTGAQQPAGQPDEQQVVNEEAKKDLRSRGLCLVPVSCTSHFGGDNAADYWAPAPLGGILR >Et_2A_015225.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:9156569:9156613:-1 gene:Et_2A_015225 transcript:Et_2A_015225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSSSAMRSPSIV >Et_4A_032903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15419198:15421002:-1 gene:Et_4A_032903 transcript:Et_4A_032903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAALSSSQDYLLLLFPAATTFLSPILTILLVAASLVWLFPGGPAWALSGLVRRLRAGAAPPIPLPPGAPGVVTAAVAGPAAHRSLAALSRSVPGGDALVSFTVGLTRFVVASRPDTARELLSGAAFADRPVKGAARELLFHRAMGFAPSGDYWRALRRVSSAHLFSPRSVACSAPRRLAIGDRMVEHLLLSAHNGGRGGEGEEVAMRRVLHAASLDHVMATVFGARYDADCREGAELEAMVKEGYDLLGLFNWADHLPLLGWLDLQGVRRRCRSLVARVNVFVGKIIQEHRQKRTINCGGDESAAGDFVDVLLGLEGEEKLSESDMIAVLWEMIFRGTDTVAILLEWVMARMVLHPDIQAKAQAELDAVVGRGPGNRAVSDADVARLPYLQRIVKETLRVHPPGPLLSWARLAVHDASVGGHAVPAGTTAMVNMWAIARDPAVWPEPNTFRPERFEEDEDVSVLGGDLRLAPFGAGRRVCPGKTLALATAHLWLAQLLHRFEWAPAAGGGVDLSERLNMSLEMEKPLVCKARPRW >Et_2B_019142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13672364:13673428:-1 gene:Et_2B_019142 transcript:Et_2B_019142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPAAANDANSAPAPASSDGNAYTSFQGLLALARITGSNSDETRGACKKCGRVGHLTFQCRNFLSVKDLDLDDANAAAAAQAAAQAKFDEIKKKAAGNADEDSEEEQEEEDSDSSDSDVDPELERIIAERERSRNGGRKSRDEDKKISRHRSSSSRRKSKKSRSDSEDDEEEDRRGRDKKKRHSKSKRSELSDESSDSDSDRKRRRKSRKDRKRRRSHRRSDDSSDDEDVSGGEERKRRRHRRRRHHRRGASDSDGSESADEKKRSSRRRKHRRSESSGSDQEERHGHRGAKRSGEKNRS >Et_6A_047701.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:69426:69740:1 gene:Et_6A_047701 transcript:Et_6A_047701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHAALSTFILVGSLCIWWNTLCAVLGCPAAANAFMSAAGAEALQDKSGAGKRRFIRIEGELGEEAGGGGWGAREELRVGGEEREGGVSVVGAQQSKESGILIL >Et_7B_054765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4145716:4147501:1 gene:Et_7B_054765 transcript:Et_7B_054765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSCRTRASVFLLFLAANSGTRSRSLTRLPSLLVSAMTVSPWRCICGPQQYQSKNRGELAPSSPGRRSKYSGQPVRVMPVRLLTVGKKRSRGTQLLVEEYKEKLGYYCNFEDILIRSNPKLTSDVKVQVEAEDTAMVQQLKTEDFVVVLDENGKDVTSEQIADLIGDAGNTGSSRLTFCIGGPYGLGVQVRERADATVRLSSLVLNHQVALIVLMEQLYRAWTIIKGQKYHH >Et_3B_028816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19453163:19456789:-1 gene:Et_3B_028816 transcript:Et_3B_028816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRIATDAAAAPLLSSPDAPRRNTFAFFCSTLASMTTILMGYNLALVSGAELFMREDLGLTDEQVEVLSGSMNLFMLASILSAGWAADLAGRRATLVLANAFLMVGALAMSLGASYAALMAARFVTSVGVGFALVVAPVYAAEIAPESARGFLTSVMDIFINGGILLSYVSSYALAGLPPRLGWRVMFALGVAPPLLLAAGVFAMPESPRWLAMRGRDDEAHAVLARTSDTPAEAGDRLEEIRQAVAAQVGGAGVWRELLAAAGPSSSPVVRRILVIVLALFTFQQASGIDAIVLYSPLVFKKAGISSDDRAALAATVAMGVVKTIAILVATFLSDRVGRRPLLLASTAGVAGSLTVLGATLCVDPTTPASAAVCVVSVMTFVTAFSIGLGPLAPVYGAEILPLRLRARGTSLGIAANRLTCGILSMTFISLANSISMAGCFFLYASTAVAAWVFVYVRLPETKGRTLEGVEHGKAGNYSSAASQRDTDQQLCPTPIRSCTGEHRLQAYLWIPVPAGMVGMYHNSDLAARYPEGSALYDACRAASCGGREHQAGLRGTERQAEREAVQRFSKNIEIE >Et_3A_023994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15815279:15817576:-1 gene:Et_3A_023994 transcript:Et_3A_023994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKVYALLFTAFFFSGLMQLSMAQDKSAAARTRVVDGTAIDQAIAYLLMFAALFFSHNKSTEQSRAGAATMAAVKVYVLLFTVLFFSGLMQLSMAQDKPATVTARVVDAKAIDQAIAYLLMFAALFVTYFAH >Et_1A_007204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32013594:32020277:1 gene:Et_1A_007204 transcript:Et_1A_007204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRKRTDPASATPSLPPPPPAAAACAITSVFGSDDLLQLILLRLNSPTCLVRAAAVSKLWFRVASDPAFLRRFRDLHPPRLLGFYLRTSSARGCSSCRCRDLAASVRLASLILGNRVVASVDCRNGRLIVWIPRSYPYNVYRPLHPARGIVGFPKPPSTVDWPDNVGFFHSRHFLPRDDAGDGISCIAVTLMNDHLRAWVYLQTTADGETWGEAIRSETIELPQQLLSNVMFLRRKFSLLANGKIYMPAMPWYILGLDLASTSLFCIKLPEGVKFCHDGDLALSRSKDSGFYLVHVSKARISVWHHNADTSNWKIIDTICLRQAFSPRRLENDPWGSSAYVAALGDNAQFVFLLIDREIFYMHISSRTLKKVCDIPMPHGILLALYPLMTAWPPTFPRKQPAPPATAAPAAAVTSVLGNADLFHEIVLRLGFPADLVRAAVVCKRWLRFVSDAVFLRRYRALRPPRLLGFFALPSARQSLRFEPMPMQQQPPALADILDGFKLGGDAGLSVSDCRNGRLVVATERRGARRFSVLSPLLRQRRRHPARGRGGATTTTTTAPLQHLQAAPTDAAYGRRGRCFRHVLLPERGGSDGASCTAVTLTLDHLEYHRARVEVSDLRAGSCEERVSNTIELPQKCARRRQQLLLAAGEVHILCTAKHILCLNLGSMDLSCIELPDGVCYWYDTNVMMSRADDEGSGGFYLVHVKEFELHVWKYCSTGTTGGWKLVDTIYLRQALGPLLADFLGSLVLIAFIWLQ >Et_1B_011358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21037885:21042017:1 gene:Et_1B_011358 transcript:Et_1B_011358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDAPTSPSESRTLSSSLCPSGSRFVSASSAPVTPAMMAPETRVMKKQKKGPSSSVQLISSGSSSGGGSSGSRSRSHSPHSGDDTVDSGNGRGRSHSPGSEHSSDSEVSVPDALLAEPDWCGYDRNARVHCHCGKRALRKVAWGGKDSDGCSFVRWVDDPWTPRVQKTMGDLTNVINFWKADCTEAEEEAEKAKAEAETLRARVDKAKGQHSGLLWWRVELIIPKITFKYISTCIHKPVLNLMLIHKLHI >Et_2A_015278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10215486:10217376:-1 gene:Et_2A_015278 transcript:Et_2A_015278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGADEEGAMHRPRVLCFCYGGGLLFFFLVAGEPAVLQRLRGGEPLLGVEAEQATQELTPGRRQGFRQVIQHLRPRRHDLLAQLHRHDEQLVLVVGLPEQGFAGEELDHDAAQRPHVDAGAVASAALQLLRRPVPQRAHARLRVRRRRPRHRRRRPEVAELHGRAGDEDRRRGAEELPHVRPRLGCGEEPRPLPEDAAEAGAGQLHDEEELAGVLVGGEVPDLHDRRVAQALGQAAGLADHGAGVRRPAAAAAHLDGNRLAGAAVERLDHHAEGALPERLELLVVVDATELAGAALAPSSGAGRFLLAGGGAAISFDVGIRGAAA >Et_1A_006814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27808490:27809213:-1 gene:Et_1A_006814 transcript:Et_1A_006814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYPRHKYGCRIRVQYPCLSSVDGPVPPALPVPNCECGHPAAVQQSRNLVTVARAFYQCFSDETRWHLCDFQEYIYGRKSHWPVNVELEDLLQQ >Et_3A_027002.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29262630:29263976:-1 gene:Et_3A_027002 transcript:Et_3A_027002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAARALLLVLAVAGALLSPAAAEIKQESFKDDSRPSILFEKFGFSHHGWVSVSVHGAKASSTLAKPDPTQLGFFLLSDEALFEAIYEQQPPTDLNPNPESTPGCVLSSPYVTPLFTFAELDGEGHYNKTFPITHPDEYSLFFANCAPQTSVSMDVRTDMYNTNPDGTTKDYLSVGMAPVPGIYAFFAVCYVAFLAVWLYITLYRNRLSAHRIHHLMSGLLVARMLYCISAAEDQHYIRTAGTPHGWDVMFYMFQLVKGVILFAVIALIGTGWSFLKPFLQDKEKKVLMVVIPLQVAANIAAAVVGETGPFLEGWMTWNQIFLFVDVACCCAVLFPVVWSMRSLRESSKTDGKAARTLAKLTLFRQFYVVVIGYLYFTRIIVYALKTITNYKYRWVSVASEEVATMAFYMFMFYMFRPAERNQYFALDDDEEEAAEMALREEEFEL >Et_9A_060975.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:23274986:23275939:-1 gene:Et_9A_060975 transcript:Et_9A_060975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFGWSTQYGADPCLGNPPSDDGLLSAFMSFHDGHRSDHGSLDLPSLRSIPNQVQAHCHDGVPFMGLDTAAPVLSTGVTGASVDDRLFDSFVYGVPDVVAVEGLAPQATVSNSNTVFSGYSSSGTGGNNGNVSSGESNTCGGGGGGHDVEVASSPCAASAASKRKLDKFPAASTSMAAAAAA >Et_1B_014297.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5376602:5378002:-1 gene:Et_1B_014297 transcript:Et_1B_014297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDANRFYVARHLQGMMMVTFSAFLPTATALGGSSLFGPQTVAFAGLYLVAIGSGGLRSSLLPFGAEQFDDDNAADRESKASFFSWFYLCVDFGMIVSGLFIVWVQQNVSWGLGFGIATACIALAFAAFALATPMYKRRMPTGTPLKRLSQVVVAACRKTSLRLPADAAMLYEVSDKADGALLQPKIAHTGEFAFLDKAAVVSESDLEEMSSEEQDGSSSWKLCTVTQVEELKILLRLLPIWATSIIVSAAFAQMNTTFIQQGSATSMSILSVPVPPASMTSFEVVCVLTWVMLYTKVIVPALKTFSSGEAEPSQLQRMGAGRLLMALAMAASALVEMKRLDSAARGVGISIAWQVPQYFLLAGAEVFCYIAQLEFFYDQAPDTMKSTCTSLALLTVALGSYMSSFIYAVVAAFTATPDRPGGWISDDLNQGHLDYFFWAMAAMCTLNFVVYSGFAKNYKLKTVLS >Et_6A_047603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:870866:873308:-1 gene:Et_6A_047603 transcript:Et_6A_047603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYMGQIHSNSREARTEYLAGGGEQKQGRSWRSPSGEAKYVPVPKVVYRRSVDIGREPFQTCDRIMFLPSFLIHQSKLAGGSKEEQLAGREQKQGSWRRSTTDERYVPVPSVVYRSAVALPAASTEEAYQPFEVCDGCRCCAESNSSMCVDTSCCYGIDCDIPGKPYGVCAFTPLSCGCGANNCSQPS >Et_7A_051905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:26257618:26260073:1 gene:Et_7A_051905 transcript:Et_7A_051905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTELEGPRAEEEEASPPSKRGLRRFNSPLSQVSLLGLICFCCPGMFNALSGLGGGGQLDHTTGDNANTALYACFAVFGILGGGAHNVLGPRITLLLGALTYPLYAGSFLYYNHRRSQAFPVTAGALLGVGAGLLWAAQGAVMTSYPPPNRRGTYISLFWCLFNLGGVLGGLLPFSFNYNSGSDAGSVNDGTYIAFMAFMLLGAALTMLVLPPRRIVRDDGTRATRVTYSSPATELTEILKLFANWKMLLVLPAAWASNFFYTYQFNNVNGLLFSLRTKGLNNVFYWGAQMFGSAAIGYFLDFGFASRRKRGLVAVFIVAVLGTAIWGGGLASQLTYTKDKVPGGLIDFKQGHRYAGPFLLYFSYGLLDAMFQSLIYWIIGALANDSQVLSRYVGFYKGVQSAGAAVAWQIDTHHMSLMAQLVVNWGLMTFSYPLLALLVFLAVKDEDASVSSVEDGKEIKENKFSAPTSFH >Et_6B_048541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10585475:10588015:1 gene:Et_6B_048541 transcript:Et_6B_048541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGGGEGMMTREQLLHLFSRFSFLISLPEVKQRIADAVRDKQEAVAVTTEIQEEILREMDTDPSFGIGCLGKVNVVYENDMDLMIKFYQFVAKEEMAIDEAELEPREFAEKLLSQQILQEQQLNMLVEMRKYSPDSQSVILGTLRKQLEKANFDTAASILSPEQIREIGQKDAISNINVPL >Et_6A_046053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20980918:20981712:-1 gene:Et_6A_046053 transcript:Et_6A_046053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LDLWDGNQPLASDYPILFSHFVRPNISAAAVFLSAFNLALCNRLTTATQLQLNALSLRQSVVFHDPQVSDTRTLRSSAAPFTSRQLWEIAAMVWSSKPVKCRNTVLTTALWTIWKTRNAKPLENQENFIIQMRCQCATDISLWSSRCSRATHAQPLKSWGDALSLSM >Et_6B_049862.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:15651875:15652729:1 gene:Et_6B_049862 transcript:Et_6B_049862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLSLLALLLLTMATGLAAAADDSLGNIAVFWGWNETEGTLREACDTGSYNTVIISFLNAFGHGSGKYTLDLSSHPLAGLGDDIKHCKSKGILVLLSIGGPTGYYSLPSSKSAADLADYLWHAFLGGAHAGVSRPFGDAAVDGVDFYIDEGPADHYDELARRLAAYGKKVTLTATVRCRYPDPHMAAALATKLFARIHVRLYGDIECSVGNSGPIEKWAAAYRASKVFVGVVASPEADQDEFMYQKYLYYNVLQYVQKLPNYGGIMVWNRYYDKMNHYMTSN >Et_1A_005793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1469164:1471261:-1 gene:Et_1A_005793 transcript:Et_1A_005793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGMKKEIGVDHDALRFGLNAGVKADLVPVHPLQSTLQSETKFWADKKRFGTEAIYGSAFNIRKDLDAQILFQRPPGALPSSMLGYEALTGSLDDFGFEDYLNMPQDSDNLRQPDMHHGMEVRLGLSKGPICPSFN >Et_6A_047562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7338921:7342502:-1 gene:Et_6A_047562 transcript:Et_6A_047562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQGGGGVRGQKGLLWRLPEVTSKELGKIGPAFGLGIGCGAGAGIGFFGGAGLGYGFPGLTLGFGVGAGCGVGIGFGYGLGKGVAYDENKRYSNVGKMFQEAPRLPTDTVVALFDELVINTKKVVTATSKGIEKWR >Et_10A_000863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18323748:18325883:-1 gene:Et_10A_000863 transcript:Et_10A_000863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGCDACGRAEAAVLCCADEAALCRRCDAAVHSANKLAGRHQRVALLPSSSAPNNVVVDDGEGAGGHPVCDICQEKTGYFFCLEDRALLCRPCDVAVHAASVHASAHRRFLITGVRVGDVDVPCKDGAVSPSSSSANSGFFPSSGSDPTSISNDVTHGAAAAAEEGFGRERWPWSDIFADGGGDMGKGHDSQVARSNCEIEM >Et_10A_001061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20803357:20807373:1 gene:Et_10A_001061 transcript:Et_10A_001061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVELLRGPADLASPASRASSLRYLANADSDPEGSEEEEERLSFLALLFELLRKSLLRCRAEGGSGGEEGEGGGCGMEIGWPTDVQHVAHVTFDGFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSFDSRGNSVPTILLMMQRRLYEQGGLRAEGIFRINAENTQEELVRDQLNSGIVPNGIDVHCLAGLIKRNKMNSRNIAMVFAPNMTQMADPLTALMYAVQVMNFLKMLIQKTLKDREESNMEEALLPQKDPSDENGHQKPSVTLDSQPEEGSRRPSFVNEEPLLNSPAHSTEDKPNEISAAEGVTAAFIGQTSEVLMSMEDSPNSQPALAAPTATGDASGATSVDSLQGKGSRSLSRRRNRKGKSQSGTCAIPPAEKSRGVSIVSRINSKVERIEAWR >Et_8A_056262.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1914906:1915031:1 gene:Et_8A_056262 transcript:Et_8A_056262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACCVKTNRRKDVVKLKVSCSKYLHPFYVSSTSTLKRQRS >Et_5B_044254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2229437:2242790:-1 gene:Et_5B_044254 transcript:Et_5B_044254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWSSPEDKITRLFAAKVTAELATSFRVITIPGTIQVVSALLDYGDQEKRGNPLLDTDVEHEKTHDPVLNIYGNQEERPYAVQDTGNLLETQEHSIQQVDTTEQQKSWILRCGQGIFGFRSIPQDEPLTDRDLLPALGMSILDGLVGCDQDNCVEISRASGLIPKIIRFTRYGRSDTTYTDTQRKVLMTASLKLLQSLASIHGEIGITLRHKISKSPFLLRNLADVLGDNMSSRELKKLGTGILRNLAIDRDARQAIGRVQVITSRLMHAFLTPVGSSGTESDRLLRKVAGQALVMLAMDSVKNCLAMLREQEYEFIKELTSMIHVHKYRCVAASLLQSICLHARLEFKESDLKELSYSLREVLERIMNAEGAELEILIGLGSQICRVIPGDFTRELEHGQIKERFVKRLVKALNANWEPSADCPGIRRVILEQAINLMEYDSRYANCFNGRRMMEALSMVEETASEVENYRLFLGDAGLMETSEPLQRLVTRAKQLLGMARSAAGEHRVQILQARGAGRNGKEAKPEMLLNCFVRVVAFIERVGNALGTLAFTWATVILLGGYPTVLREENDFWFATVIVFLESARMFSRNNRLDYQLFFHTQRAVRPPGWNRLIVIVYLSGILNYASITFFATNRVIAVMLLLIILLAPLVMGPLQSSRALKLLCKPLRNAISLYSPLVSVLLLVPLNLILGKYIPIQPLVPMNKTANWVVFTVLFLVVLSLTISRLCSESAVVNKQVLLRRMILNLCIIQLLVFTYDVYALVVVSFGNLQIPAAITRVVLSLLRLVQHDYISNRADATVNLAPSLNIFYGMVLGQGILYVMACMLEIFSFIPRNCLARRGGLRSHWGAESVSLYYAYALEKSMEGDALGPKKFSLVSFAIYCLNSDRPKMQLYGIRIMHCLLQREATRTRLLSKLNASMETMAILIRMLDRTSPADATIRLFAAKVVAGLAKGLRVFTIPGTMQLVSALLDADRKPQRESGLLDTDDQQEEEKYVATNATNIKEERNEQILVEDDNRSQSQDSLQVRDTSNLLETQVCSNQQVGNDGCWKWISSLWSSPPEKRLTVTDHDHLPALGMSILEILSYSDYDNCVEISKATDLIPKIIGFTSFRKGIENTNEVQLKILMGSSLKLLRRLSNTGGEIGITLRNKISQHPFLLGNLAEILNDSSSNQELRKIVAGVIRNLAIDRTTSEEIGRVQVIITRLMDVFLCHDAAPCTDQFLQKVAGQALAMLTMESVDNCAAIVMELRNSVVELASLISRNKHKYEVARLLQNLFLHIQLELSKSDLRQLRETFSKVVEAIMNSGRDVAELEVFIGLSSQICRVVPEDFVQALETDHSKQTFVKRLVDALYANMNPTLHGPGIRRVIIEQAIYLMKYSSNYALFFNQCNMMDVLSIVEQTPSRVERYKIFLGGVGFMEHKEPVTSLVATAKELIETDHSKQTFVKRLVDALYANMNPTLHCPGIRRVIIEQAIYLMKYSSSYALFFNQCNMMDVLSIVEQTPSRVERYKIFLGGVGFMEHKEPVTGLVATAKELIGRSWL >Et_7A_052045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3996611:3998181:1 gene:Et_7A_052045 transcript:Et_7A_052045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLFRKTEQVVEGDDGEHRVKVFSYSELRKATQDFSGANKIGEGGFGSVFRGVLKDGTTVAVKVLSATSRQGVREFLTELTAISDIKHENLVTLIGCCAEGSHRILVYNYLENNSLSQTLLGSRYSNIRFNWRARVKIAVGVARGLAFLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLARLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTKKSDIYSFGVLLLEIVSGRCNTNTRLPSADQFLLERTWVLYEEGRLDEIIDIDIGDDLNVEEACRFLKVGLLCTQDAMARRPNMTNVVRMLMGEKRISIEKISRPAMITDFSDLKVSNKEQRSNETRSPTKSFSTTEPFSSSETPTQSSM >Et_10A_001289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2349408:2353214:-1 gene:Et_10A_001289 transcript:Et_10A_001289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDLNKVEPWDLPAAASVGPREWYFFSLKDRKYATGQRTNRATVSGYWKATGKDRAVSRRGALVGMRKTLVFYQGRAPKGRKTEWVMHEYRMEGHEQSPKFSAKEEDWVLCRVICKKKSAGGGGATSKPARGLTTNGHDTATTTSPPLPALMDTTLAQLHAAMNTTGAVEQVPCFSSFNNIASNSNSAAAQPCFLPMVTGSNGMSYLDGLPELGSCFDPLNSDKKLLKAVLSQLGGEVMPSLPPDMAAAAAASSTWMNNF >Et_2B_021105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26549138:26551353:1 gene:Et_2B_021105 transcript:Et_2B_021105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALLLSPAPPRPPIPNLRRRPLPSIFASAPAPLVLATRHAAALSSATSNAGAAVRSSLPRLAAAASEASTSGPSDPAPVSADAEAERAKLAQVSKKLEKTAQYFKSLGSLGFWSQLLQLGEQQLHLHCGQLLVGIFAAFISVFRSFGYIRLSERLRRTAKEPAKAPPRADVVMSLRNNIVLNVIGMGAAVLGMQATVGALVAKALTTSLVPYYQGIPPGQSPVLALDIFLVQASANTILSHFLGMSTSLELLRSVTLPQVDAAPVPKPA >Et_2A_017914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7959823:7962726:1 gene:Et_2A_017914 transcript:Et_2A_017914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRTAAVVIGVTAGVASAVLAAACVLLAIWLYRRRASVAVRTRSMESTSVTLRDGPASLNSSVSISVVSESVVDYPPPEKRAAFWAWRGGGHNGREPPLSVSGIPKYHYKDLQKATSNFTTILGQGSFGPVYKAVMATGEVVAVKVLASDSRQGEREFQTEVALLSRLHHRNLVNLVGYCVEKGQRILIYEFMSNGSLASLLYGDNKRSLSWQERLQIAHDVSHGIEYLHEGAVPPVIHRDLKSDNILLDHSMRAKVADFGLSKEEVYDGRKSGLKGTYGYMDPDYMSTNKFTKKSDVYSFGIILFELITGINPQQGLMEYINLAAIGAGEGRVDWGEILDKDLLVGNIPEEVRMLADVAYRCINKSPRKRPWISEVTQAISRLRQRQLMKHDTLTLPRSETRTVLKRIEHQHVELSDLTSMKELTPIRA >Et_2B_020038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16292762:16293586:1 gene:Et_2B_020038 transcript:Et_2B_020038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFITRLLILVLGYAYPAYNCYKTLKQNAPRMESLHFWCQYWILLAFLKVADSGVSWLPMYGEVKLALVGATHLYDGYLRPFLAMHEADIDRDLLELRARARDATASHLQAAVSLARTCAMEVVRRVSSQAQAAGGSAGQAR >Et_5A_040190.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20782360:20783139:-1 gene:Et_5A_040190 transcript:Et_5A_040190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQCSPQLILCLANESLGTDGRPSRTSFSRHRHGRAHVELQHGVHRRLHLGRAPQHVPRGAPEPPRPADHRELPHGLRRVVAPRHHERAPVVRPGREPQRDADHEHRVAHGSDHVGALRAAQGLGAQLRARREEVVDGHRVAEEVARVHRRVLGPRPAHQARRRLARPAHDVVHVQPQTHRAAPRRGPRGGEGQRHRGGEQEALGCCCRRHWRLVYCDVSIWRSGLNRRRGDCNDLIRLPV >Et_8A_057829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:867280:869296:1 gene:Et_8A_057829 transcript:Et_8A_057829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGGGGGDEVREALLAAGGENGNGKPQAAVAEDLEEIRSVSSFLRHAADENRKLWYLAGPAIFTSLAQYSLGAVTQVFAGHLTTLELDAVSTENNVIAGLAFGITIGMGSALETLCGQAFGAGRAHMLGVYLQRSWIILNAMAVLMLPLYLFASPILRFFHQDADIASLAGRVSLYMIPQLFAYAFNFPIQKFLQAQSKVMAMAVVSAAGLVFHVALTWILVGPLNMGLVGLAVALNASWWFVVLGQLAYILAGYCPGAWNGFSWLAFSDLYSFARLSLGSAIMICLEFWFYMFLIVIVGNLENAKVAVAAVSICTNLFGWQIMVFFGFNAAISVRVSNELGAGRPRAARFAILVVLMSSVAIGLAFFVAVLLLRDVYGAPFTDSPEVVRAVASLGVVFAFSLLLNSVQPVLSGVAVGAGWQWLVAYINLACYYIIGIPVGYIIAFPLHRGVQGMWGGMLTGVGLQTVILVGITLRTNWNKEASEAHSRIQKWGGSAVAKVSEDI >Et_6A_046062.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21322303:21322377:1 gene:Et_6A_046062 transcript:Et_6A_046062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITQSDFGKPRVGAVIALFNIHTL >Et_2A_018195.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:17739736:17742396:1 gene:Et_2A_018195 transcript:Et_2A_018195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPPLLALLLAGALLLAAVPAAPCPHTNLTANFSADLTMLQHQLRGTVRLARNGSCALTLSRFDLLPASPAARFWAADGASLAELSAGAPFSPLPLNRTFRNATLELPFSAPLPRLLALYDPDTSSDLGHVFLPQNSTGSGSELDAAATTPATAPTMFDNCIPLSETETYRLRWTLNASASTVEIGLEATVGSEYYMAFGWADPKANSPVMINSDVVVAGFTEEGMPFAEDYYITDYTECTLGKDDTPVTGVCPDKAYNDGGGNHSSLVYGHRRDGVSFVRYARKLDTEDAKYDVSVDATKEMVVVWAIGKLRPPDTLRPHYLPQSHGGPKDTTFGFARLNLTEAVDNCLGPLDAENKEDQERIIADGKTPLVVTSAPAVHYPNPPNPEKVIYINKKEAPLLKVERGVPVTFSMQAGHDIALYITSDPIGGNATLRNKTEVIYAGGSDAHGVPATPTDLVWLPDRNTPDLVYYQSLYEPKMGWKVQVVDGGLSDMYNNSVLLDDQQVTLFWTLSPDSISIAARGEKKSGYLAVGFGSGMVNSYTYVGWVGDDGVGRVKTYWIDGKSATGIHPTKENITYVRCKSENGIITFEFTRPLNPSCTGRVECKNIIDPTTPLKVVWAMGASWSDDLTDSNMHSVTSGHPVRVLLLRGSAEAEQDLRPVLAVHGFMMFVAWGILLPGGIMAARYLKSLKGDGWFQIHSYLQYSGIAIMFLGVLFAAAELRGFSVSSTHVKFGVLALLLAVSQPLNAKFRPSRPATGEVPSRNRMLWEYLHVITGRLAIVVGVVALFTGMKHLGHRYDSENVEELTWALMLWVLSVIVIVLSLEYKEVKRRSSERNVRGHWVLGNSEEDDSVDLLHPDGTARNSESSTSGVMEVQLEPLNR >Et_7B_053902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13148251:13150451:1 gene:Et_7B_053902 transcript:Et_7B_053902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFDGEAGGLGRRRPRFLCLHGFRTSAEIMRRQVLEKWPADVTARLDLVFADAPFPAEGKSDVEGIFDPPYYECTTEYKSFDQYQATVAYIEELMIKDGPFDGLMGFSQGSLLAAALSGLQEQGLALTRVPKIRYLIIVGGAKFESPPVSEQAYTQKITCPSLHFIGGKDPDKSHGEKLLQSFVNPFIIRHSKGHTIPRLDDKCLQVMLRFLDIIEEKSVHLTKKKCP >Et_9B_065405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4711964:4715596:-1 gene:Et_9B_065405 transcript:Et_9B_065405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSLMEAATRGDATIAKHLALHNPGMLLGTTLQGNTCVHISSIYGHLSFCADVVPLNRSLLSSVNKDGETPLLIATTSGHTTLASFLLKCYRDLKLSEAILKPDNQGCNALHHAIRSGHRELAMELLAAEPALSRALTKYNESPMFIAVMKNYAEIFEKLLGIPYSEAEGAKGYNALHAAVRSGNSCETRPESGKLKSYIYYLDSNIVFSSQKKVTYYLLAPLIIPRQGSIHTIVKRIMETRPELARKENQGRRGPMQLAVNWDNIDMLNVMLGYDRSLGYEISSEGYCPLHFAALRGHIGVARELLKHCPDAPYWNTNGWSCLHEAVWYGHENFLEFVLGAQQLNKLINMRSSEGKTALHYAVQKCNPRMDIDATVFDNDGVLPTWLLYNSREHAKTLNWNEVTMLMLKANPEEETDLRKSAKETVTNRSRKDIKSLTETYTSNTSLVAILISTITFAAAFTLPRGYSSDPGNEGLPIMGRKLAFQAFLIADTLAMCSSLSVAFICIIARWEDLEFLLYYRSFTKKLMWFAYMATTTAFAAGLYTVLVPRLLWLAVAVCILSALLPLFTKLLGEWPVLKLRFRLGRTLKSEFLDMNEVTMLMLKANPEEETDLRKSAKETVTNRSRKDIKSLTETYTSNTSLVAILIKTITFAQLAGLDSR >Et_4B_036215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11043443:11044744:1 gene:Et_4B_036215 transcript:Et_4B_036215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLGQGSYAKVYHARDVNTSQSVAIKVIDKEKILKCGLMDQINREISVMKLVRHPNIVQLHEVMATKTKIYFALEYVKGGELFNKVQRGRLKEDAARKYFQQLISESRNLKISDFGFSALPDCKRQDGLLHTSCGTPAYVAPEVINRKGYDGAKADIWACGVILYVLLAGYLPFQEKNLMDMYKKICKAELKWPSWFSSDVRKLLRRILHPNPAARISIAEIMENPWFRTGLDSLNATTAEYKQGEKLTNLNAFDIISLSRGFDLSGMFEENSNKRESKFTSKNTASTIITKLEEIAKSLRLKLTKKDGLLKMEGSKPGRKGVMSVNAEIFQITPNFHLVEIKKTNGDTLEYQKVMKQDMRPALKDIVWAWQGEQPQQQNQEP >Et_1A_008460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7907390:7910200:1 gene:Et_1A_008460 transcript:Et_1A_008460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHHGGAGSPYPAPTTPDAAQFSLPPPPTSPRSFTDMPPPPTLLPPAPGTSAFVELPPAGSGGGGGGGVAANIHDDDDMPPDVVVSGTGAGASGSGSTRWPREETLALIRIRSEMDSAFRNAPLKAPLWEDVARKLAALGYSRSAKKCKEKFENVDKYYRRTKESRAGRQGGKSYRFFSELEALHAAAPQRQQQAAGMATVHAADPQPSATMAWTTAPAPLGPHVPSLPDLSFSSGSESDSDDEFDDDDDEDELGKGEAGECGKEMMALFEGMIRQVTEKQDAMQRAFLETMEKWEAERTAREEAWRLQEVARMNLEREQLARERAAAAARDAALIAFLHRQSARLSPAGAIAVAAPTPTPMPASPRHDVAALAVVPAPAKPEDAWAWAGGGGEQLGSGSSTPSSSRWPKEEVQALIQLRTEKDEQEHDAGSKGPLWEDIATGMRRIGYNRSAVSKTCPYFHQLDAMYRKRRFAGSAGSGTSSGALVVTTVTASGQVSPSQRELEGKISNDVGNQTIAGVANVKVTPTTTAAGDGAKSQNAEDTVRETNAQLQQQQQQEFAADETDSDDMDGNYADQGNDGDNMQYKTGFQKPNVMGSSGNAPAPTETAAAATSSAPTSSSTFLAVQ >Et_1A_005733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13143585:13146759:-1 gene:Et_1A_005733 transcript:Et_1A_005733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILWEKSAGWRWLVRRTRDSKPFFFTFAALCGVVPGVIGYGVMQLTSSRNEKLEAHLRSTARPETTMMGQVNRERLAEFLAELQRKEDTNDRYVAALRGETLTRKHYERIQPVPIDAQAAQENAKASTPENAKAKYKVGLFSGD >Et_3A_023813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12842072:12844882:1 gene:Et_3A_023813 transcript:Et_3A_023813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTMRAVKVPPNSASLEEARHRVFDFFKQACRSIPTIMEIYNLEDVVTPAQLRSSIAKQIRQNQSVTNPKVIDMLLFKGMEELNNIVEHAKQRHHVIGQYVIGEQGLLQETGSKDQGSSEFLKKFYTSNYF >Et_1B_009826.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30219001:30220013:1 gene:Et_1B_009826 transcript:Et_1B_009826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPNTEAFKQGFLKNMIWSLQTCGTRRVSLAGAMNLQERKRAIKSSADIAMATARGGGARWPQALLASPPSTTPCKVQMCKKIVRRCHGRRRRRDGTGSSLATTALISSREIAWRLVRKRTKVLRRMIPGGELLDEVSLLREAMDYVAHLRAQVDVLRHISKLVK >Et_2B_019802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14067388:14071224:-1 gene:Et_2B_019802 transcript:Et_2B_019802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPRPSSSALQSIRRELQRRKHKAALAPTRPATKKTSAPPLREGARDQVFRETRPLPRREEDPSSTIARSSGSAATRAPPPPPPRRPRPSSAISAPAAPPPSGSVSSSVTGHLKPGTRVVVRTRSQMVIDGKTLVLWLPAAVVSVADEVYEVIYEGKLPRDNPFSTVRVPLHHVSALKPSPPISPPPSKNQDTQPAPRPTTAGKSMRLFRELASEKQPAPRPTTAGKSISSIRRELQRRKHKAALAPTRPATKKTSAPPLREGARDQVFRETRPFPQREVDPSSTISRPAGSAATRAPPPPPPPPRRPRPSSAASTTPAPPEARSVSSSVTGHLKPGTRVVVRTRSQMVIDGRTLVLWLPAAVVSVADEVYEVIYEGKLPRDNPFSTVRVPLHRRCRFRRRSPSRRPRIRRRSLCHGRPQRGSPFADSASSRRRSSQHHGQPRRGRASASSAGSCRRRIAKP >Et_3B_030657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:621823:628296:1 gene:Et_3B_030657 transcript:Et_3B_030657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALQNQNSGSEGSGIFSCVHGSDMRRSIDEAALHDSVSVGKCIGEFYNEAARRLPLQEMPELVDCINMGGHCFGLADPVTNIILNAVGLLVKKDQEERPHHTAKGFHMGFNEQERRGLNNHCYNWFEMACKSHIGLVAFMTAYFRYLSEIQARRYVTLAAYDLPVAINLVLHDRYHQKPLKIPDGGKIQSALRVAAIRAQHPAPDVLAQIMTAKYHPGKIKHLMVTLQGKKPLTADDSSGLWATNGHHLPHCRPSYNSGAVRKILKIRMDTRIASKISECVARVMSCRGGRMPGMDNDDSLCEHLLSLKLRLLDTIHAFYIKALAILPAACQIVPAVLLAGHCYGRMDPVSNIILSACWYNAIYLQPTGLPDHIFDTIHMARLESRSLDGLVATLGPDIRKHDAFDLIARCGCDPSHFSVSDFTDVAKAAKHPEYTAWGRFLNSMSDEAWSSLLSVLSVQPGQKLSDHVWEVLTDCIKNLDSVYTCQYGDSVIPVEHKEAPCLLLPYARIERCRKQEACRDMLNFVHSKLREVLRRYCYQHPWRTLFFAEFWVSSSSQVEPKPSSCYRVDDYFDCTGRCSVCEGEGNTIVHPASGGHFGDIDGSIDLYNPDVHNVDRSDFKGLVEADYIYLDPTRDVELTRIINSAHTHGVNASAHIHGSDAVRLMPRTPSATPSLPRKWWQQQIVLNIDPRSICSISRRRIRYSPFSYLK >Et_7A_052756.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15495229:15496620:1 gene:Et_7A_052756 transcript:Et_7A_052756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDKPTKGKGKAGLTDDDDAAAPDSQDQEELCFSDSESGSESIEIADLKKRMWKDQLLLMKLEGRSGRDDDGKQKMACPGPDQPADQNEETPEARYRRKAMLRAQDGVLRHMLKMMEACNARGFVYGVVDESGVPVSGSSDSLRGWWKDDVGFDRAGPTALLSVGGPGSPTTESSASFLHGLHEIQDGTLGSLLSALIQHCEPPQRSFPLDRGLAPPWWPTGREPWWGAQGEAPAHQGAPPYRKPHDLKKAWKISLLSAVIKHLSPRFDQMRKLVWQSKRLQHKMSARDADTWARVIAREEALDRHVQRALTITPLDDDGDDQDDAAGGDTPREAAHLDKRKRHGVVAGDEDASGGGEMMLPLLALPDIEGVPEADRNSIDELMKLYYRCLQGTDEGEQENGAAVVSADAGQRQSGVGETAPAQDARLHDDMLDGLLSVAADVVDVRDFPNSPIWQWRFYD >Et_6A_047551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7210338:7213122:1 gene:Et_6A_047551 transcript:Et_6A_047551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPPEIAASVGEKSPEQHAIDVGHEEHIDGKVANVEAVGKERVAVAEEVQNKKKSKRVAALDAFRGLTIVLMILVDDAGGAYERIDHSPWNGCTLADFVMPFFLFIVGVAIAFALKRVPNIGAAVKKIVIRTLKMLFWGLLLQGGYSHAPDDLSYGVDMKMIRWCGILQRIALVYFVVALIEAFTRKVRPTTVRSGPYAIFDAYRWQWLGGFIAFIIYMVTTFSLYVPDWSFVYHNDGDVNDGKQFTVQCGVRGHLGQACNAVGYVDRQVWGINHLYTQPVWIRSKDCTFSSPNTGPLRSDAPAWCLAPFEPEGLLSSISSILSGTIGIHYGHVLIHFKTHKERLKHWLLMGFSLLVLAIILHFTKAGAAGVVLSAFYILIDVWGLRTPFLFLEWIGMNAMLVFVLGAQGILAAFVNGWYYKSPDDTLVHWIVKHVFVNVWHSQRLGTLLYVIFCEIVFWGVAAGILHKLGIYWKL >Et_9A_061065.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:13542421:13542906:-1 gene:Et_9A_061065 transcript:Et_9A_061065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGATSKGGIKAYWKRRGYDRLDAAAAQRRPRLPTAELGAGGGAEAGDPAPAPPRRRRGWRVRRRAGGLGRRILRALSPRRLLVRLRDAYVNAMLRLASSAAVAGYGAAAPYCTAGDPFTRPRPLVRDYDEKALVEIYRSILARGDAAPSVVAAARLPAVV >Et_8B_060038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5257374:5258587:-1 gene:Et_8B_060038 transcript:Et_8B_060038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATANPELVQAHVELWNLSMSYLKTMALQCAVELGILNAIHRHGGAVSLADLLAVVPIPEFRKPYLPRLMRFLATTGILTHETLAAGEGVYRLTPLSRLLVDDDVHANGCTTSLAPLVLTRSSKYHVGAAMHLSEWFKGEDGETPFKAAHGGMDLWDAMRRDPQFNDVFNAGMGCGSKLVLDFVVANCGEVFDGVTSLVDVGGGTGSAARAIARAFPHVRCSVLDLPNVIGAIPPGDDGTVQYVAGDMMDHIPPSDAVLLKYVMHDWDDEDCVKILTQCKKAIRSGKPSGGKVIIIDTVVGSPSSKDMFEAQVSYDLLMMVVTTGKERDEHEWGKIFVDAGLRHYRTKPVLGFLSIMELYPELEK >Et_2B_020549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21225572:21229300:-1 gene:Et_2B_020549 transcript:Et_2B_020549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTEDTNTNASTNTAASTGPTFTKPLFFFSSPGASFGFGFGSDAAPSPGPPPPPAVEVLLSEESPVASSSLEPLVVDDSLSIYKGRVSTTDVFGVKNSDLVPGKYEGGLKLWEGSLDLVKTLNSEINDDKLRLEGKRVLELGCGHGLPGIFAGLKGAGLIHFQDFNAEVLKCLTIPNVKGNLFKEQSEGTCMSRSVGFFAGDWSEMDKLLLCGDAEHDKTNSRNTDKTSNGYDIILMAETVYALSSLPNLYRLIKKCLNHPGGVVYVAGKKYYFGVGGGTRQFLRLVEEDGVMQPELVNDVADGSSNVREVWKFSFK >Et_9A_061290.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:7209373:7209654:-1 gene:Et_9A_061290 transcript:Et_9A_061290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPSGAPTPASAPSHVGCPSGFPNIFEFQKASSQYLSQGTLLVSFPASLKTSISTIVNSIPRTDNIINICICFYKINIFIPGGFGPYECQAA >Et_10B_003964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8555424:8557485:-1 gene:Et_10B_003964 transcript:Et_10B_003964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KYESTFYLHGRDTETERELNPQTLTSGLDDYPRASHPSDEERHVDLRCWMLLATNCMRSIAKFLKMDTALEKDYHKMSNKLSDFGTLNKLHLDDNVGVYFDYGNHTEKVQLRWYDIKDKDGLKRELLRETLEPPKLQFVPHIGYVNLFPFMMGAIPPESWVLEKQLDLISNTSILWTDYGLRSLSKTSSIYMKYNTEHDAPYWRGAIWINMNYMTLSALHHYAHEEGPYKGRARELYDKLRSNLIRNIVQNYHETGFLWENYDQENKGKGKCSRAFTGWTSLVLLMMGESYPTLHR >Et_10B_003011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15317593:15320597:1 gene:Et_10B_003011 transcript:Et_10B_003011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASVAGAAASAHAATAGRRRDGGRREPASMHAGIRRSRSEPHLRCSRRGGAAGAALTTSRSIGVFPFQFGAAPLRTPPLPDGGGDGSRLLTVADDPDLDAGPEMPQARRSEAHWLERLLELRSRFHVPANRDALEEEGDGCVDHDDDDDLYRLDAGGELEDDGGVCGVSYDDDEEEAAAEDASWDRESFGKLLARAPPGEARLFAQLAFLCNMAYVIPEIKVNKPPREINTHNDSILAPDELKKHYGLVYKTSSLDKKAEAGIVNAKLDADSTRPRTAPAYEVASGPQPRRPIRSHLAYEVAASAASYVQARARGLLSFGGRQQQQRRLYNSGVAAYMAASTVTAVVAAEDEARQEAARDLRSPSSSPCEWFACDEADGRTRCFVIQGSDSLASWQANLLFEPTEFEATGVLVHRGIYEAAKGIYEQVLPEVEAHVAAALAHGRGAARLRFTGHSLGGSLAVLVSLMLVSRGVVAPESLHPAVTFGAPAVFCGGDRALEALGVGEGHVRAVAMHRDIVPRAFSCRYPGHAVALLRRLNGVLRAHPCLNGGQRTLYAPMGATYILQPDARASPRHPFLPDGAALFRLDPDDVVGRGAERPPRAVVASALRAFLNSPHPLETLSDLSAYGAGGAILRDHESSNYFRALSAIARPRRPKQPEIVWQLPGVERLQRYWWPGIAGTVMPEPVAVRTKELVSEA >Et_4B_038014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25485276:25486647:1 gene:Et_4B_038014 transcript:Et_4B_038014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKGDAGASKTYPQQAGTIRKNGHIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDSGETKDDLKLPTDPTLLTQIKDSFAEGKDLIVSVTSAMGEEQISGLKDIGGKN >Et_9B_064569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16559907:16561346:-1 gene:Et_9B_064569 transcript:Et_9B_064569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTDIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDKTIKINNKTEGGAEAPAATRSACCGKSLKKLLVVLMWLYLCLLPFFEATTLAVDVATAFAGSSCTLGFDPLKNLSLQCVMKSMSLGLHLINQCME >Et_9A_061872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17349856:17351080:1 gene:Et_9A_061872 transcript:Et_9A_061872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEDATAAPTATTTESEGATTDDDVHLSPTCVCRGGGGDGGGRIKLLCSFGGRIVPRQSDGALKYIGGETRVLAVPRSIPFREMKKKVEEMFKTEVAAIKYQLLSLSEDLDVLVSVTCDDDLAHMLDEYDRLDAKRSPTASPRFRIYGTVSAGNSPRANAMAPEPPVFESLGMGMGMQRVRSSPNLGSLDAAPQLLHPDAAAGGGGLVGSSYISSSPHAGAGPLFVQNGVHHYQHQYQPAPVHVPHPSGGAAGRYVRMGGYAAPMVPVARPPSDRPFSRGGPMPHGEMQTPKKAALVWD >Et_3A_026028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3630014:3631290:1 gene:Et_3A_026028 transcript:Et_3A_026028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALQLAVPVAGASPRPPRLHPSRRHRRHPLTLARSRRDGRGGGDGSANPPPATRRRAAWPAVSAALFGAGFLLGPLLDGIHSRVGLQVYGDGALSVGPLRTHVLVPPLLGAFYLTVGLLHLFLDDKVPAKSKATGSPEKTATSLIVVALFIELSAELYRAGVPSNVEAYVLFAAAEFVWLFLDGSLLGFAVACLVGTACPLAEIPIIKYCTNISSKINIPLAMCALHLSPAASVLLGLTNTANAACRCYICRLLGCWSYPNADVHLLGEGLVSWTTTCYFVYTPFLANLARWIKSWLEDGGADDDGEEAARSS >Et_8A_057613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5106338:5113584:1 gene:Et_8A_057613 transcript:Et_8A_057613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLAASIRRLASAWLETMIMIRFVGRESVVVIGIIPWPPWPVLVAVAISCFALKVPSPSTTASRLGPSAPLLVAASIHLASAAWLEMTIKIRTQAHKTLEPAMQMPFIAARKRIYVCKKCLASTFMEYLCNGTQADMKQFHNQFQLRQTERMRISQYGRAAAFISEEAMKRLVTEAKLDPTLPPAARRDESTVEEVADDLVLRDGLVAAGLEEVERVALVGRLPNLGLAAPPAGPEPVVVGAAAVAEHVALADADEHAAARQGIQRRRAVDERVDERVVLAGGGGAHDAPQAAQALARRRVQVVGGHLVGAEEVGVDHDEAPDLGAQPLRAGAHRDVVRDVGARALAAEVEAGEVGVAGDPGVGAGATGVGDDPGQRLPRVGVGGGDRVLGREAVLDGDDEDVGERGEAVEVGVEGGVEGGAEAEAAAVVVDEDGELLGGRVAAGGAREVEARGDVGGDGDVAGGDAGGGVGGGGDELGAEVALHAVLVDADAGHGLVHDLVVGRGGRGRGGREGGHRAAEIWAGQRK >Et_3A_025113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2692217:2693395:-1 gene:Et_3A_025113 transcript:Et_3A_025113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPMAALFGTFPPAEFQVEHQQQVVAEDVYHADDVEDGGSGHGHGGGGQGKLCARGHWRPNEDAKLKELVAQYGPQNWNIIAEKLDGRSGKSCRLRWFNQLDPRINRRAFSDEEEERLLAAHRAYGNKWALIARLFPGRTDNAVKNHWHVLTARKQRELSGSLRRRKPSTSSSSSSTPPGAAPPHFAPVVVLHHHHHYGSPPPPPFHAASGVQEAARAYSGGDADSDESVSTCTTDLSLGSAGAQVPCFYQSYDMVPRATAPAPAVFAPSARSAFSAPSPARHREAASRDAGDKPALPFFDFLGVGAA >Et_4A_032816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14230458:14236584:1 gene:Et_4A_032816 transcript:Et_4A_032816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAEGATRAFSQRELDIRMMLAADVQLDTTNCDFQMERYRELDIQMMLAADVQLGTKNCDFQMERYVYKRRSDGIYIINLGKTWEKLQMAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWDVMVDLFFYRDPEEAKELEEEPVAPEYAAVAEYGAPATDTWGNDQWGAAEVPPNALPAAPVAEWGGVPAPVAAEGWDPAAVPSTAAPVATTGWEEGSAPAATGWQ >Et_1A_005746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13351251:13353343:-1 gene:Et_1A_005746 transcript:Et_1A_005746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRLLDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAPAAKKEGAEGQEGEAATEETKKSNHVQRKLEKRQQGRTLDPHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQRKKGKGAAA >Et_7A_051949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3046935:3057990:-1 gene:Et_7A_051949 transcript:Et_7A_051949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHNKSRCYKRHSLSLAPNPLSLSSFFFPAPTLLGIYHPFPVRILKFTAYLEMLLLQPRAVPAPALLRRPPPPRLRPRRRPVPPPLASASSSIAVTSDEDAFTRCSGYLFEEGAATEAELPTTYDLPGIAAVYRRRPLLVLRRSLQIGASFGRWFALRYLDRVNERADEMFELRAAQLRRILLELGPAFVKIAQAVSSRPDVIPPAYLDELSLLQDRIAPFSTEVAFNIIEKEIGKPLDMIFSEISPKPVAAASLGQVYQARLRSNGKVVAVKVQRPGVQAAISLDIFILRFLAGVVRKAAKLNTDLPAVLDEWASSLFREMDYIAEARNGLKFRDFFGKLRDVRVPEMYLEQTRRRVLVMEWVEGKKLSEVRDQYLVEVGVYCSLSQLLEYGFYHADPHPGNLLRTVDGKLAYLDFGMMGEFRQELRDGFIEACLHLVNRDFDALAKDFITLGLLPPTAQKGEVTKALTGVFENAVNKGVQNISFGDLSGNLGRTMYKFKFRIPSYFSLVIRSLAVLEGIAISFNPNYKVLSSSYPWIARKVLTDSSPKLQSTLQNLLYKDGEFQIDRLESLLTESLRARTEQSLVRNQQEDVASTRYAMKQVLSFTLTEQGAFVKDLLLQEIAKVVDYSRPSYIFGFPSPSPSLDDEDVTNLRNLQRLLLLLSRVPQVENSSPVPGDNSSIENKGGSTNEISQMTSVPEILPALSIIPELPPESQQQLLLLPADLTNHQPHVSLSLPVPIDRSDNGPASGCNN >Et_3A_025559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30765240:30769376:-1 gene:Et_3A_025559 transcript:Et_3A_025559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAASSSSAPVPAEGAAALAAVAEAAPPEEMTLVVKWRTQEQAVRMVEDDTLGELKLRICEVTGVLPKRQTLLYPKVMFKELPDSTLLSAIPFKPNGKITMIGSVEEEIFVGQEDSPELLDDFDFEQNEVTAIKDKDVYKQKLKRRASQYKIKLLNPCRKGKKLLVLDIDYTLFDHRSPAENPQELMRPYLHQFLAAAYAAYDIMIWSATGMKWVELKMGQLGVLSNPEYKITALLDHMAMITVQSETQTKKKTFDCKPLGVIWAQFPEYYNEKNTIMFDDLRRNFVMNPQNGLVIKPFRVAHSNRHTDQELPKLAQYLLSIAELEDLSELDHSKWESFTESAKRRRRRIMVLEAWTPSENLTGLRNLPVGVL >Et_10B_002824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1255465:1259568:-1 gene:Et_10B_002824 transcript:Et_10B_002824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPSNRKGLSGISNCRFLLITSFVIHAVLLLPMCVALSADEEALLAFKASISSDPSGVLAAWTPTNGSMNTTNNVCRWSGVSCLSRLHPGRVTALELMSSNLTGVISPSLANLSFLHTLNLTGNSLSGSIPFELGMLRSLQVSSLGENYLTDTCIAHQLCPPHTRGVTQLNRLHGEIPANFSSCQELRAFNVSVNSLSGGIPPSFGSLSNLEFLGLHRSNLTGGIPPSFGNLSSLLAFDASENYNLGGNIPDVLGRLTKLNFLRLAFAGLRGTIPASLFNISSLRTLDLGNNDISGVLPLDIGITLPRVQFLSLYNCQLEGRIPSSIGNATGLRIIQLHSNSLQGIVPTDIGRLKNLEVLNLQFNLLEDKWDKDWPLMAALGNCSKLRALSLSSNRFQGIFPPSLANLTTEIQKIFMNSNRITDTISPEIGKLSNLNLLVLARNAVTGTIPDTIGGLNRMNALDISSNNISGEIPPMLVANLSMLLEIKSVVVFQSCSYQSVLILITPKLRTTLILAITIGSFLALLLITCTFVLCARKSVKEQHVHGNDTSHARKFMEPHWKVSYAELLRATDGFSDVNLIGVGSFGSVYRGILGHEEQEVAIKVLNLLQHGAEQSFLAECDALRSIRHRNLVKIITACSTIDHMGQDFKALVYEFMPNKDLDKWLHSTGGEGGSSSCMLTMTERVSIALDVAVALDYLHHHGQVPIVHCDLKPSNVLLDNNMVAHVGDFGLSRFIEGGNSKSFQHKSNTGGIKGTIGYIAPEYGMSGEVSVEGDVYSYGVLLLEMFTAKRPTDPLLQGGQSICSYVATAYPERVMEVADQALLQQEKESMVYGSLKECLLLVFRVALRCTEESPRARMVIRDVIKELTAVKDVYEDARMDAISTVYLSSQSCKSMCTILLVAALLGTGFTTYAAPNRSQSTPVAVDEQALLSFKTLITGDPHSVLASWTSGGNTTNSTGFCNWRGVGCHSRRYPGRVTSLELLSSNMTPSPPSLPT >Et_3A_027173.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3827611:3829149:-1 gene:Et_3A_027173 transcript:Et_3A_027173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSGSKVSSLDVGAVDDDDVQAVKTTTKQQQQGGRWTFARAFVALSVVAVACTLLYAPRFSVPTPHGAAAAFLLGPRVGVGPVVVLDNQVGSPCSTMAENSICCDRSDYHSDVCFMSGDVRTDAASLSLLLFPPATPGGSATTASEERIRPYTRKWDAFVTKDIHEVRLRAARPDEASSHRHRCDVRHEAPALVVTAGGYSHNLFHAFNDGILPAWLTAQHLRRRVVVAALAYSPAWAGRFGEMLAALSGYHVVDLLRDARTHCFPGAIVGTRYHDYLAVDPARLRDNKTAADFHDFLAAAYDDEPRDSSTRSSAETSSSPQRRRLRLGIVSRKGRRVIENQAAVASLAASVGFDVSVMDTGAGRVPPLAATYAAVSAYDALVGVHGADLTSFLFLRPRTAALVQVAPLGISLLSRNLFGVPAARMGLHYEQYDLRLNESSLSRKYAPDDVVVADPDRARRERGSKAWDLVEFVYLRGQNVTLDLARFRETLTRVHALLLQRGAGESPQI >Et_10A_002341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9572785:9574529:1 gene:Et_10A_002341 transcript:Et_10A_002341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVGLHSKIIEGIDYMSATHDDVGNSVGTCIVSSDVYDDDQNDPTLLIYSGSVSQDKAQKIIKGNLALLGSHNQGNLIRVIRTVRGSVACPHEKNKDHGIWTEKGECGFRVFKHKLVREIGQPEGHNLKWNCVPELIPVFQAVKHQRVQSADCIARRFSFHKKAV >Et_1B_010528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11290135:11291154:1 gene:Et_1B_010528 transcript:Et_1B_010528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVGKEQQMQIVCVRSASTGGGEEGEWESSRSALSLFKEKEEEIERKKVEVREKVFSMLGRVEEETKRLAFIRQELEAMPDPTRREVDAIRKRIDKVNKQLKPLGKSCLRKEKEYKMCLDAYNEKSSEKATLVNRLMELVGESERLRMKKLEELNKTIESLY >Et_4B_036140.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27771721:27772296:-1 gene:Et_4B_036140 transcript:Et_4B_036140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPSLLLLAVLIALVSLQCNATTTSELLHHEKITNLRFFLHDTLSGKDPSAVLVGRGANHGATQGDPVPFSSVYAADDVLTEGPARQSKVIGNAQGLYLASGKREASLVLGMDFELTDGPFNGSAFVVFSRNTISHPDGRELAIVGGRGAFRMARGYALLRTHFLDTNNGDAIIEYNVTLLHHRVVYVCG >Et_2B_019206.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17453247:17453375:-1 gene:Et_2B_019206 transcript:Et_2B_019206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMFLRDTTVNGLLAGRDTTGAALSWFFYLLCKNPRVKEGR >Et_3B_028342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13724233:13773996:-1 gene:Et_3B_028342 transcript:Et_3B_028342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPWDELVDAVLTNLAAKRHLFNTRLITLAPEPVVPQTFTGPGPWDRASVEIYTERASLQQWLTINGEASIEDDEADQKLILFSGNDYMCLSSHPAIREAAVKAAQEHGMGPRGSPLVCGYTTYHKLVEESLAQLMKKQDCIICTSGYSANTAVMSAVGSVSSLLAAGRKPTEDERIAIFSDAANHASIIDGIRHAERMQEGVGFVYKHRDMSHLESLLIFSMGSDFAPLPELVKLREKYGFLLIVDDAHGTFVFGENGGGAPELFGCQDDIDIVVGCLSKAAGCVGGFIACSNRWKRLILSRGRSLTFSTALPVPVVASVHAAIHVSKMEGWRRSVLLENTRYFASLTELDIYNPVISVVVGNEDTVLKAHRHLLKSGFLVEPIRPPVVPPNTCRLRITLSTAHSSDDIKKLVDALKPWLPVKQARSAADVTREEARRWPEYRRRQGGGDVARTRGSSAAAGAQEEAGES >Et_2B_022536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25145791:25149690:1 gene:Et_2B_022536 transcript:Et_2B_022536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPPSSPPTQLSLLLAFLLFLLAVPWSAGSGYRVVSVSGRRGQLSARLELAGDGEKPELGPDIQSLSLTARQGDMDSVPNKSFCRREKNNNKSLETDGRLHVRITDAEHPRWEVPQDIIPRESPRHITLDAATGAASSPRSRVLSAATSDLTFTLHASPFRFTVSRRSTGDALFDSSAALVFKDRYLELTTALPGNGSSLYGLGEHTKRTFRLRRGETFTLWNSDIAASNVDLNLYGSHPFYLDVRPGGAAHGVLLLNSNGMDVEYGGEYLTYRVIGGVLDFYFFAGPAPLDVVDQYTQLIGRPVPMPYWSFGFHQCRYGYKNLADLEGVVAGYAKARIPLEVMWTDIDYMDAFKDFTLDPVNFPAGPMRQFVDRLHRNGQKYVVILDPGININSTYGSFVRGLQQDVFLKRNGSNYRGQVWPGDVYFPDFLNPRAAEFWAREISLFRRTLPVDGLWIDMNEISNFIDPAPLSRLDDPPYRINNSGARRPINNKTVPASAVHYGGVAEYDAHNLYGFLEARATYGALLGDTGRRPFVLSRSTFVGSGRYTAHWTGDNFASWDDLRYSINTMLSFGLFGIPMVGADICGFGGNTTEELCSRWIQLGAFYPFSRDHSAIGTVRRELYLWESVARSARKALGLRYRLLPYMYTLMYEAHTTGAPIARPLFFSYPNDAATYGVDRQFLLGRGVLVSPVLEPGATAVDAYFPAGRWFSLLDYSLVSSASGKRLTLPAPADTVNVHVAGGNVLPMQRPAVTTSRARGTAFHLLVALADDGAAEGELFLDDGESPEMGGARGKWALVRFAAATDRAGVTVRSQVVHNSYGPSRKLVIGNVVFLGLQPRESPRGFAVYVNGVKTTSTNSTGRGYGYRRSGGFGVARVGGLSLPVGEEFQLKVVMS >Et_9A_061115.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16565892:16566077:1 gene:Et_9A_061115 transcript:Et_9A_061115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TYIVTSVDQKQSCHQTLEECKAKCPLCNPRCSPMPPPSQLHARINATNSVVPIKGNHVILE >Et_8A_056090.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:18587685:18588488:-1 gene:Et_8A_056090 transcript:Et_8A_056090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPAMDTVRTCVLSTRWRHLWATARCVNIDAKGFATERKFINFVTTLLRCRGSTPLESFLLSADGPTIFLDKFQNFVNMWIIHVLRCKVQTLSIINQEDNIAPLAFELHHHHFTSLHLKRLHLCYVDIGSLFLNKLLTDCPALEDLEMINCEIHAIEFSSATLKNLSIDYDEFPELTSYEHFHAIVINMPSLVSLRIGSLLCPKLILVQVQSLVTASISLGYPQIFTFADACDILGSLSNVKNMELLFPDDVVCSICSCVFSSSY >Et_10B_004170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17348529:17351955:1 gene:Et_10B_004170 transcript:Et_10B_004170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGKGKEVVHSSGGGRCVACELLGRPCEADCVFAPHFPAEDDPTRFISVHAAFGARNVERFLRRIGPEHLRAEAVRYLVLNAPRRMAFGRVTQPRQCAACLHLGDECAPECVFARHFPEGDDPERFAAVDARFGAHDVAVFLCALSPDQQEEAVRNFVSLAQLPPLPPDDDQEERRACAACQYLRRPCVPNCLFSTYFPPDDDPERFAAAHSAYGASNLDRRLRYLPPELRDAAAATSVYEARRRQEDPVYGALGDVLLCELYLKMTREELAATRERLVPYVGREAAYRTFARKPRTRIPPATMQQVEDRMQGVARLVMQQVAAEAAAGVTTFLTPLHEKLVMSHSNAPGNSAAAAGVPGPADQPATDAAAKDPGLPEGGEDAGDDANEPSQAQDPGLQLGEEDAGDETAQGSAKRGKESAEGSSEA >Et_5A_042171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6989434:6992628:1 gene:Et_5A_042171 transcript:Et_5A_042171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVASGGTTVTAAGAGGEDGRRRGRRWKAPREDQLGSVPGRIFSNDGRSRTAAVYTQQGRKGINQDAMLVWDGFGGEEDVVLCGVFDGHGPHGHLVARRVRDAMPLRLMSAVRASKAGMDMAAAAWRKAFARAYKAMDKDLRSHPTLDCFCSGSTAVTVLKLGSDLYMANIGDSRAVLGTRDCAGGGMLAVQLTVDLKPDVWDVLSNQEAVDIVSASPSRSNAAKSVVEAANREWKTKYPTSKIDDCAVVCLYLDGKMDHERDSTASMDNISIDEGSVADPNEPQEEPTLTRNFTVRTVAGSAHEKALSGAADAMVVGAAHDQNWSGLDGVTRVNSLVQLPRFSEEKAIG >Et_4B_036648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9993304:9996535:-1 gene:Et_4B_036648 transcript:Et_4B_036648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTFKPPDTHLPVLTEGSSLFDGQSSSESALSISVIGATGELARSKVFPALFALYYSGFLPQDVSIFGYSRKAITDEDLRSMIEANLTCRVDHHEKCGDKLNEFLKRTYYIDAGHDNKDGMTRLNSRMAQIEGTRAANRIFYLAVPQEALLDVALSLADSAQSKKGWNRIIIEKPFGFTGVSSHRVTQSLLSRFDEKQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWNRTYIRNVQVIFSEETATEIQGRYFGNYGIIRDIVHSHILQTIALLAMEPPVSLEGEDIRDEKVKVLRSIRKVDLEDVVLGQLKDTSGKVDRYTKSMTPTYFAAAMYIDNARWDGVPFLIKTGMGLMKNRAEIRIQFRHVPGNIYRERFGHDIDLDTNELILRDQPEEAILLKVNNKVPGLGLQLDASELNLLYRDKYKVEVPDSYEHLLLDVLDGDSHLFMRSDELAAAWDVLTPVIHEIDQNRVAPELYEAGDKGPINAYYLAAKHGVRWDDDW >Et_8B_058901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10776380:10778406:-1 gene:Et_8B_058901 transcript:Et_8B_058901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNITTAQETRISHDCGEAIDNNGRPKIQFEDLPQDVMYRILSQLQPKEFARTSILSDLWLHIGKFIREVYAVLQKHRGKVVETFEIKIDFVDSLLVSHLDNWINFIASSRTKNLTLDLKPKRFWEYSNRYVFPFKLLGREGISRLRSMQLSFVSLKPPAWFTGFPNLRKLHLQLLHGSRTDLEDVLSHCCNLEWLHIDRCHLNDDLTVDGPLSRLLYLYVDHCQFTKIELHAVNLATFEYKGSFIPIDLSNSLKLKSANIQLGGEATIFQHILIALLNGLPNVQNLTLNIGLQHLEVGSGTVLKKQWLWDNALKFSYLRHLQLCMYIVRIEVDKVLYSLSFLRATPSIERLEVHEYITVMISICYFSGRCLWLADVGPRRHDLGQCKYSYLKDIWITGFKGARGQVEFLLHVVENAPALEAVTITTQAAYKEVSPYGDSEPPFQEAKRIAITSLSVALKPNVKFDIV >Et_5B_044987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:839183:841124:-1 gene:Et_5B_044987 transcript:Et_5B_044987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQTSSLTAALAQGPNLCPVKIFLPMGPPDVVSSENVEYDFSDVFGSTPVYSDPAIIIKRSHSLVGPTSLVSRSLRLSKLTLEKREGSSEVVNCSSHEIEKSLEQLSDEEFDNATTENEGVGLDDFEILKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAEKEILTKVDHPFIVQLRYSFQTKYRLYLVLDFINGGHLFFQLYKQGLFREELARIYTAEIVSAVAHLHANGIMHRDLKPENILLGADGHVMLTDFGLAKEFSEDTRSNSMCGTLEYMAPEILLGRGHDKAADWWSVGILLFEMLTGKAPYVGNRDKVQQKIIKEKLKLPTFLSSEVHSLLKGLLHKEANKRLGSGPSGSDEIKNHKWFKPINWRKLEARQIQPSFRPNVAGLTCIANFDECWTRTPVLDSPVTTPASDGHHNFVGFTYVRPAPILEEVQPSSSRLED >Et_5A_042580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20985039:20986711:-1 gene:Et_5A_042580 transcript:Et_5A_042580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDVFNGKKLEDIVPSSHNCDVPHVTRVEYQLIDVSEDGFVSVLSNWVSLLTESGDTKDDLKLPTDEALNAQIKSGFDEGKDMLLTVMSAMGEEQICAVKEILGKN >Et_5A_042070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:638373:638771:1 gene:Et_5A_042070 transcript:Et_5A_042070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NKETKIFISLLSKNTAEKQCTTPKAAQTHWGSPRGTCPMVLIRVALAANHGIPAKVLFGFGGGRFVGEEGDLVIPAPVPVVEESFPDGNG >Et_5A_041037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17083417:17087996:1 gene:Et_5A_041037 transcript:Et_5A_041037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSYRLERASDDEHNYDSEPIVTKRVDYYVNNSFQDNRNEKVATQLPFFKRLPSLSLFEEMEKQKPIQREGTALGLMGSFANVVESISNSSIEDSYKSVDKLQTCLNKLIKMKSEYAKLITEKDSPKAPSTQAVEGRFLIHS >Et_2B_021435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29633682:29634865:-1 gene:Et_2B_021435 transcript:Et_2B_021435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHFALYDEESKPGGYHGFIATMEVYGFSLKPGQGSETSFSLADPGLDYGEPRPSNAKGMTIGWHNDGFESTGCLNMKCPGFQPEKGAPIAPGGVIQQVSAPNGAKQTLNLKIVKDGALGDWLVHVGLNKAPELIGRFPKSLFSSGLSDRATAVRFGGAATRPAPMGSGYLPTDGKSAASMSNIQFIDQKGQASLVTGSLRSMISDMTVYNLSSIDNGQFFYGGPWKAA >Et_2B_022906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7613919:7633901:-1 gene:Et_2B_022906 transcript:Et_2B_022906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPLGHGKSADPLEDYVCVDGPSHSSSSTVTCKAVKGSHELRIHGYSILKQLRRKRVESPAFEVGGHRWRLQLYPNGDSEDEDDEEDDDDNLGCDDRVFADHVGLFLRRVDSESDIKNEPVFAKFQFSLLDQQGNPGHFFFKGKSWERFQQVVTWGRDDWMRREVVEKPEHLKDDSFAVRCDVEVAVEECPTVTSIFHHSNGCCCAYGIDRLTGKASMLDAPNHLSPDDFAKPSSGKLDRIEDIDQPS >Et_10A_001879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9555418:9557386:-1 gene:Et_10A_001879 transcript:Et_10A_001879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELAALPDDVLAGILRRLPLHSLAAAMLVCKAWHAVVNAQAHALLAPGDVRGIFINYVDHDRAHLFSRPTASPATVVDGLLGFLPDDLTRDYWSVLDHCNGLLLCQVRWKKDLCVCNPVTRRWTLLPGNSKEGGSLHAGAYLAFDPAVSPHYEVVLVPVVPEKPPKTEEERLRFCIGELPDYHKDDGVVDPSNDTGLMEWPPKVWNVNVFSSRTGRWEERVFVRDGEPAGTVKDMWMDPARPTWFGPRRRYAVYMGGALYVHCRGHFIARISLSDSKYRVIKLPVKVIEHAKPYLGRSEKGVYFGIVGDECQIQVSILTESCGNVEWALKYKDNLWYLAKYIRSYKNGKPFGGPWTVERDSTEIDDNAERKRLEWDSDHDDIWAPKIKDEEYGWEHLEILGFHPYKEVIYLASPFTVATYHLNSSNAQYLGNSRPDGYYHGPSNGIYESFVYTPSMIGELHGGSTAK >Et_4A_035631.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31706319:31708379:1 gene:Et_4A_035631 transcript:Et_4A_035631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRADLLLLVLLVVPLHLAAPALSTSGTIVFTTLGRSRYAFDIFALPVAPLATADSSPSAEVRLTDGASVNYNGQFAPSSDSLLFVSERNGTLNLYTSPVPGASRREALEAEAAPLKPLLPWDPIALRDRPSLTPDGAHLVYVSTAVPAASPRRSWAAVYATNLSSGATRRLTPPGVADFSPAVSPSGEWTAAASPGPGGWAGEVEDLSTDIYVFRTSDGSRRTLAVRDGGWPCWADETTLFFHRRDSDGWYGVYRAEISFTDAGVSAASVVDRITPPGFHAFTPAASLGAPGLVAVATRRPGSDYRHIEVIDLSSGGGQNAYYLEVTRPVAPRSHHFNPFVSPDGARVGYHRCRGSGNGDAPLLLENIKSPAPDTFSLFRIDGSFPSFSHDGEKIAFVGLPGLYVVNSDGSGGRRRIFSGNAFPTAWDWKRKGVIYTSVGPQFSSESTEVDVVAVSLGDGDSSSSSSQVSIKRLTLGGENNAFPSPSPDGKWVVFRSGRSGHKNLYIMDAEDGEAGGMRRLTEGPWSDTMCNWSPDGEWIAFASDRHAPGSGSFAIYMVHPNGTGLRRVVHSGDGGRTNHPWFSSDSKTLVFTSDYAAVSAEPVSNPHHYQPYGEIFTVNIDGSDIRRLTHNSFEDGTPSWTPYYLKPKDVGETLQASGMCAFEDCHWLNIKAKPDNFMCGKHG >Et_9B_064131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11563232:11569985:1 gene:Et_9B_064131 transcript:Et_9B_064131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTTAMEVRADGVAVITISNPPVNALSLDVLASLRRNYAEALSRNNVKAIVLTGAKGRFCGGFDINAFGNKPKNEKPGSLSIDFLTDIVEDARKPSVAAIDGIALGGGLDVAMVCHARVSTPSAQLGLPELQLGIIPGMGGTQRLPRLVGLPKALEMMLMSKSIKGKDAHELGLVDAITSANELVSTACSLALDIVKQKRPWFKSLYRTDKLPILGEVKEILKSARVQAQKQAANVQHPLVCIDVIEEGILSDPRAGLMKEALSGKMLEQSLTSKSLRHFFFAQRATSKIPKVTNMGLTPRKIKKAAIVGGGLMGSGIATVFILNNYSVVLKEVDDQFLSAGINRIKADLQSFVRKGQLTKLDYEKKLSLLSGVLDYEQFSDADVVIEAVIDDLSLKQQIFSDLEKYCRPNCIFATNTSTIDLNLIGQRTSSPDHIVGAHFFSPAHVMPLLEIVRTHQTSPQIIVDLLDVAKKIHKTPIVAGNCTGFAVNRMFFPYAQAAGFLVDHGLDVYHIDHVITQFGMPMGPFRLGDLVGLRVSSATRKQYLQSYPERCYNSMLVQILLEDNRTGESSRRGFYVYDDRRKATPDPDLRKYIEKSRTMSGVVQDYKLTELSDNDIVEMIFFPVVNEACRVLDEGIALKASDLDVASIMGMGFPSYRGGVMFWADSLSSRYVYNKLEAWSKDYGGFFKPCEYLATRARQDASLAAMVDGVQARL >Et_5B_045466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2454056:2454553:1 gene:Et_5B_045466 transcript:Et_5B_045466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLQPLHEICLPQLTTNNPCNLDGALTPVLVDEELHLLQELAGVGELRDQAEAVDGVRVLDQPHRLPLPAQRLHVHRLPVLEYVEPADGNASGSSTPSPQTRAAAWSREAPAGKNAFQK >Et_8B_060245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7900177:7901230:-1 gene:Et_8B_060245 transcript:Et_8B_060245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWEQFAQLLIESEEGSQFQEASATIEVDTLSVPPGQVSSAQILLVDEGISGRLSIDPNHNGDSQTRFMTYWTVRFFHMTYLQLADDHKTTGCRNMDCPGFVLLSQTKTPGMVLPTGTASVSISKDTQTGNWFVFLDQEIVGYFPKEIINNMSGATNIQMGGITYAPPGQRSPPRGTGAAPVLGKMKLASQFIQIVVKGAKIGNYWADKDVSDPAIYNIVMTSASSTGPQGVSFQYGGPGGA >Et_8A_057663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5860605:5863074:-1 gene:Et_8A_057663 transcript:Et_8A_057663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLVRMRLGSINGLRCKQLKLVILAFFVVFLLWKWEKGTYYDTDTLQPDPLVLTHPANSKFVDQHTSSEEDFPSEEPLPQSVVKVEKQVTGAPPPLSMIGYSVDVADDNEILPLDKKECNYRNGKWVSDNRRPLYSGFGCKQWLSESWSCRLTQRKDFAYEKFRWQPEACEMPEFEASEFLKRMQDKTIAYVGDSLGRQMFQSMMCMATGGKHRPDVQDVGAEYGFVLAPGAKRPDGWAYRFPKTNTTILYHWSSTLCDLEPLNPSDPRTSYAMHLDRPPAFVKNNLHRFHVLVLNTGHHWNRGKLRANKWEMYLGGAPNNNKNIAVIWKAKNFTIHSVIKWLDAQLPQHPQLKVFYRSISPRHFFNGDWNTGGRCDNTNPLAKGSGIHLNRSQDADAEGAVMGTRIKLLDVTALSQLRDEGHISRYSIKATQGVQDCLHWCLPGVPDTWNEILAAQL >Et_3B_028893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20112102:20120374:1 gene:Et_3B_028893 transcript:Et_3B_028893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTRIHSPPAARGLLRASPPRILPVERVTRRFGPGVRAVSGGPGPGGSPLPRRPPAPADAAAVAPPSAPSSASSAIDFLTLCHRLKTTKRKGWINHSIKGPESIADHMYRMALMALIAGDLPAVDRERCIKIAIVHDLAEADEIKELWEEYENNSSIEANLVKDFDKVEMILQALEYEKEHGKVLDEFFLSTAGKFQTEIGKSWAAEVNARRKEHCGKQK >Et_8A_056195.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:14284964:14284969:-1 gene:Et_8A_056195 transcript:Et_8A_056195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding M >Et_4B_037894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24490145:24494338:1 gene:Et_4B_037894 transcript:Et_4B_037894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGPRHGASRRSRSTFSLRNIRRHIQNSGLAVPGSCAEDVAHALRIRHPELRREKLKLFTAARPFRHPFFIVCGSDDDEDSASRHRDAYATASSTTSFSDGDESAQPPPSPAFDITKTTLRFQYASQKPKRKPGTNQQLENEVIAKKACHLITSDGECGGGCCCCGADLVRRHKWPSFADLRGMDAVINQLEKEVIVPLFHLERLLHVGIRPVTGLLLHGPPGCGKTTLAHAIANEAGLPFYKISAPEIVSGVSGGSEENIRGLFQKAYRTAPSIVFIDEIDAIASKRDNLQREMERRIVTQLMTCMDEFHQNISCGDSDADSSEKKPGHVIVIGATNRPDAVDQALRRPGRFDREISLGVPDVHARKQILKMLTQNVRLEGEFDLCKVARATPGFVGADLKALVYQAGILAVNRMADKSGVQYCHEHEGNNKCYRCRQHSDADELRRLLYFTMNDFEEAIMMVQPSLRREGFSSIPDVTWDDVGGLDSLRKEFELCIVGCIKRPEVYEKFGVSMQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKGPELLNKYVGESESEVRKIFTRARTNSPCIIFFDEIDALTTKRGKEGGWVVERLLNQLLIELDGADQRRGVYVIGATNRIDVIDDAVLRPGRFGKKHYVPLPGAGERVSILKAHARSKPISSSVDLDALARREECCYLSGADLKSLVNDAVMSALEETTEFLENGTSSMSPSYLVELAHFERALSKLKPSVSEQQRKHHEAPSKQYSSN >Et_1B_010543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11506025:11512891:1 gene:Et_1B_010543 transcript:Et_1B_010543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDESLKTYKGSRDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLNVTMKSDEKELMGKALMKRVMQTWLPASTALLEMMIFHLPSPATAQKYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLSEFEDKLYTTQSLHIFAKTFNEEKQINDSEL >Et_2A_016432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24709855:24712117:-1 gene:Et_2A_016432 transcript:Et_2A_016432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRARRLHPVLRRLLIPASAPSPRPPPPHHLYSPTPKPFPILFRRHLCSTPPPPPSAAPPPAVVSSDLPAVGVNGVCPGCGITMQSSDPALPGFFLLPSQKSPDYRARLAPVTADDTSISASLKSGHLREGLEASREVVPAAAAEDKGEEAKVLVCARCHSLRHYGRVKRPDAERLLPDFDFVDAVGPRLASTSGARSLVLLLADASDFDGSFPRAVARLVAAAGEAHRKDWKHGEPANVPRALLVVTKLDLLPTDSLSPDDVHAWAQSRARAGAGADLRLAGVHLVSSARGWGVRDLVDHVRELAGPRGNVWAVGARNVGKSTLLNAISRCSGVAGGPTLTEAPVPGTTLDVIKVDGVLGAQAKLFDTPGLLHGHQLTSRLTREEQKLVQVSKEMRPRTYRVKTGQSIHIGGLVRLDVEELTVGSIYVTVWASPLVPLHMGKTENAATMMKEHFGLQLQPPISQERVKELGKWVRKQFKVCGNSWDANSMDIAIAGLGWYGIGLKGEAVLGLWTYNGVDVISRNSLVHERASIFEEAGFTVSKIVSQADSRMNKLKSPSRKSKKKENRASTSASSVIEPASTTDA >Et_6A_046063.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21374251:21374829:1 gene:Et_6A_046063 transcript:Et_6A_046063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSGRWRSSVSTWRLVGKKRHFLATPAAAAASKASAPKRSATNATRLVVRLRLRRISVSAGTERAHSSDMRVSMAASAGRSERSACGSARWETEAEGRVARNARCVADAVDAKVSSVDVAPCRDARRLASSASGIRWPIPGVTSIATCGGGRRPFAAAPLPLQEPWPFSIFLSLIKLAWRRWRMRARWD >Et_1B_013270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6947870:6957538:-1 gene:Et_1B_013270 transcript:Et_1B_013270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPASRRPRKKRKVLTDPESNGGGGGRGGRAKGKSAVVAGGDEGLMRVKKEPADHQGVNAEVSAAGRRALAVVPLRSQNPPSPPPGTTQSRRRRVPRPPVDAASPSQPVVIEISSDDGDIQENSRFRTVSPPQKAPLPLIKGGGHGEVPVKKEPCDGSSSPARSAAEMRDSAPGTSTSKRKRKGGFPSRFRPAEDGGRRDVGAGPGPGGSHGAVPSNSGTSGTGRRALGAEEEVRSPREKKSRKSREENRGGKVNRPRRVAKKAPESSDESWGAPAKARRGASTSANQIGSTVGSRVRSRAARQQVRVQSASSSARTGASDDTEEDGDGKEDEQGEVQNASSSARTSASDGTVEDGDEDQVEEDEEEEDQQKRVHSASYSARDVSDDTSDDGEEGGGGGGGGGGGGKEYEEQPGLVQRASHSDRVVSDDNVDGEKEEKQQGRVHHASQSARVESDDSDGDEEKEEEDQELESEERGEEVLMEVDGEGSGDSGSAQESEQEDYAVGEIRDDGSGDSHDDEDQAAAIGAAPDDAEKQQERKGDKEQEEEEDDSEATHSIYEGDEEDGEEDSEGDEVESDGTGEAQTRTPSNAVVPPGSAMSGAGSRLRTRSNAMVPAASAMSGGGSRLRTRSNATIPAGSAMSGGGSRLRTRSNATVPVGSAMAAGSAMSAGGSRTFRKRVFEGLVIVAKPSQTVGEGVTGRTRSKRKCTDTRLLKRGVFSKPVLIDVSDSSSESEETPPPPPQPTWQGVLSSSEDYSNDAPRKRRRRRKNKGGKKKGNSSSDEYQAKIKGEGFRRLRRGVSKRQGGKEGGKNYDGSNPGRGRYNGQNGARTGNISSALDDLSFKKHANLTQLRKHQASRAKAAYDELLNSLFNGWENPNVNGSVPADGNGNTLPLRFSFGDEDEVDKSDNEKFQEEVWGDFDAALESINTDSQGNEKDNQQKVPPGEAFSCKHGRHDFIIDDQIGVRCKHCNVVELEIRYVLPSIGKYATERPSANPEVDLLFKDMLNLFEKNDLLMSNGNEGDFRPCARRTGSVWDLIPGVKEDMFLHQQDAFEFVWRKLAGSTEVEEIQALRRTASTDIGGGCVISHAPGTGKTRLAITFVQSYLELFPQCCPVIIAPRAMLATWEREFLKWNVKLPFHVLNSTEINCSDDKTIQAKIDKDGAFARRLWANKVDQNYRRLVKLTSWVNGTSIIGVSYSLFRKLANDEGMDGDNNIDKIREKLEPVVHYHKGNFLEKSLPGLKETVVILNPLPRQKEIIASMEKTAAMGTLDAEYKISLALVHPSLITSAKLSEREASLVDKSLLKSLRLDPSEGVKTRFVVEVIHQCQELNERVLVFSQQAIGRAYRFGQKKVVYTYNLIADGTKEMDKYSRQARKEHMSKMLFSNEEQAEGCNISPESIFSDKVLEAMTEREDLKDLFVEIRVENQTDGSIRDVEEMEKKNTQKDAEEMEKINTPEAEDAEEMENTDPPDFLSHCSHWDCNMLDISKLELKSFALSHVLSTSWVKELPHNFQKMFVEWNKDKMLMSVLFVETNYI >Et_8B_059209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15247306:15304143:1 gene:Et_8B_059209 transcript:Et_8B_059209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASQRPRSRTESECISETAQATHAFKISRYSQLKHLKPGVSINSATFTVGGYDWCISYYPAGSRRSQESNKDDHVSVFLKLCTKDSEVRAMYDFRLVNQATGLSSSRFSKEALFKSHASDSPTWGTRKFMTRSELETSPYLKDDRLVIECDVTVILGEPALQSKPLFSLFDNLRKLLETRERMDVTFEVQGENFRAHRIVLAMRSPVFEAELYGPVGENNRQCAVTIEDMQPAIFKACSLRFFAAAVLQQLQCFSYFFCSRYNVSAAATLFFCSRSCSLPNNPNLGQDENQDTIKHLLVAADRYGMERMKVMCESILARRLVVDGVADTLALAVQYHCNKLKDACIEFINYSTRVADVLASQGYTHLKRAHPSVIVEIWREQPWLTKFNMATETSKIPRTRTESECTTKTAQATHSFTISKYSLLKRLGCGKFIRSANFTVGGYDWCIRYYPNGVVEEHKDHIAAFLELRTPDTEVRAICDFRFANQASILSSTVLATAGRLFKSGASENPTWGTSMLKAISELETASYLKDDRLVIHCDVTVILGEPVLVESKTSCEIQVPPSNLSDNLQKLLGAGEWTDVTFQVQGESFNAHRIVLAMRSPVFQAELYGPVGANNRKRKTIEDMQPAIFKALLHFIYTDSLPSMDNLGEEENEDMIKHLLVAADRYDMERMKVMCESILARRLAVDGVADTLALAVQYHCNKLKDACIEFINSSNRVADVLASQGYPHLKRAHPSVIVEIWERASQRPRTRTESECTTKTAQATHSFKIARYSLLKDLGQGKDINSATFTVGGYDWFIRCYPCGASEEHKGHISAFLQLQTKDTEVTAMYEFRLLNQATGLSSSVFSGKNEFKSHPAKECAWGTARIVAISELEASPYLQGDCLVIECGVTVVLGKLVSDSKTLCDIQVPPANLSDNLKKLLDAGERTDVTFEVEGETFRVHKIVLVMRSPVFEAELYGPVGENNRQCISIKDMQPAIFKALLHFIYTDSLPSMDSLSDDENKEAVKHLLVAADRYGMERMKVMCESILARSLDVDGVADTLALADQYHCNKLKDACIEFINSSNRVEDVQAKVIPTLKEHTHLRAPTMAASRPQARTGSTSIPEASRATHVFKVAGYRLQKGLDQSAFVRSATFSVGGFDWCIRYYPHGNNPKGGRDQVSVYLELQTKDTVAMAMFDLRLLDQVTGLTEAVQFRCPGSEIHGASRFWKKSELGSPYLMYDCLVIECDVTIPKGSRLEDNAVVVSELEVKVPPPDLSDDLGKLLASGDGADVTFAVKGEIFRCHKIVLAMRSPVFKAELYGPIGDNGTNIITIQDMEPAAFKALLNFRPGTRTASTCTAAEMQRGTHSFKIVGNILHKGFGVGNFVSSSTFVAGGFEWRILYYPDGHSDENKDYVAAFLELRTKDVEVNVLYDLRLVNPATGLSSSICSYQKVMNSARPVWGRRRFMKKSDLEDLGYVRNDCLEIECDVTVIQKNEVEVPPSGILDDLGKLLESEDGKDVTLKVQNEVFRAHKIVLAMRSPSRHRGLGVGRYVSSGTFPVDGHDCRIRYYPGGRSVGTKYYVAISSISGVGAGAKTTRVLYEFRMLNPATGQSSSVFSSALPATGTNKFMKRSELEASDYLQDDCLTLECHVTVMKQSLVEEEVVDVQVPPSDLLDHLGKLMEEGEGADVTIHVQGEVFRAHKFMLAIRSPVLKAELYEPVGEKGLDSITIDVMEPDHFKALLHYIYTDSFPAMDDPDGNVSEETIRKLLVAADRYALDRMKLICEDILCKRLGVNNVAAILAFADQQNCTKLKDTCMEFIKRVCPHVFIDITIFVLSGCYNVFSLWMYIKVKVMSTSKGHARLSLWMYGREASSLAEFNELREFLAAEKKKIVTFMVKGEVFHASKLILAMRSPVFGTMLYGSSGEKKPEGLTIEVDTDPAAFKLLLHYINTDSSDDLDGDVNEETVKHLLVVADRSVCCDLKHRICPPKKRMFRCVCATTGEISQVMQELHHCNNLKDACIEFINSSDRIDDVLASDGYEHLKRACPDIFMDLWEKTANSTPFSAAAGSLISPAQTPAMAASQRSTMRTASICAAEAARGTHSFKISNYSLHKGLGVGKFISSATFSVGGHQWRIRFYPDGGEEDHKDYVSVFLDLVSQGVKVRVVYDLKLVEPATELSSSVFSSSKVFCAVNSGFGVFKFKKRSDLEGTYVQNDCLVIECEVTVIKESRAAGMNMAVEVQVPPSNLSDDFVKFLETEEEVDVTFNVKGEVFRAHRLVLAMRSPVFKAELCGPMKHEGTESVTIEEMEPAVFKALLHFIYTDSLPADLDGDDMVKHLLVAADRYAMERMKLMCESILCRNIDVESVASTLALADQHHCSKLKDVCIEFINSCDRIGDVVASKGYKHLKRACPTVFMDIWERSVKSTPFSAAAAAGSLISPAQTPAMAASQMSTMRTASICTAEAARGTHSFKIADYSLHKGFGVGNFIRSATFSVGGHHWRVRFYPDGKEEDHKDYVSACLELVSKGVAVRGVYDFRLVEPATGLSFSVFSRPKVFRAVKKSIPVTKFMERSLLEGTYVQNDCLVIECEVAVIQESRTAGMNMAVEVQVPPSNLSDDFVKFLETEEEADVTFKVKGEVFRAHRLVLAMRSPVFKAELCGPMKHKEMESITVEEMEPAVFKALLHFIYTDSLPVVLDGDDMVKHLLVAADRYAMERMKLMCESILCRNIDVESVASTLALADQHHCNKLKDVCIEFINSCDRIGDVVASKGYKHLKRACPTVFMDIWERSVKSRRTAQGRHVFDIAGYSLLRGLGVGQCVRSATFSVGGYDWCVQFYPDGKSDDDDYYSDSDEDDYNDDEGHISVFITLVSKEDAHVRALCYLSLVHPCLPPWTRSGKKKKPKLFNGEGSSWGFGKFKQRCDLEGSDYLQDDRLQIQCDVKVITGTPVLQSPKMLCDIIQVPPSDLLQDIRKFLDEEKKTDVTFKVKDEVFHAHKFVLTMRTPFFDAELNGPRGGTTTKRQYITIEDMEPAVFKALLHFIYTDTLPATMEDDDLEKDDQRVKSLLAAADRCGLERMKLMCASILCKGFKVENVAATLALAEQHRCKQLKDACIGFMINSADRVDDVVASPGYELLKAACPASLVELWEKSAKSCNV >Et_8B_060057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5473104:5476670:-1 gene:Et_8B_060057 transcript:Et_8B_060057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALIGRLEAAVSRLEALNAGAHPSVAARGLADNASAQDLAILAFDDLVAGALGRVSAAAGKIGAEVAEVTKLVEKAFLVGKDLLVRTKQTQKPAMESVAAFMGPLNETILEANALAEGTRSSHANHLKAAAGSLAALAWIGYTGKGCGMPLPIAHVEESWQMAEFYSNKVLVEYKNKDPDHVEWVKALKELYVPNLRDYVKNFYPLGPVWQSPGCAPNKAPSAPSPPASLSVSSASSSQPKSGMSAVFAEISSGKAATQGLRKVTDDMKSKNRTDKTGVVTADRKETRGAPFFSSTKGPAKLELQMGRKWVVEHHIGNKSLVIEECDAKQSVYVYGCKDSVLQVRGKVNNITIDKCTKTGLLFRGVVAACEIVNCNSVEIQCEGTVPTISIDNTSGCQLYLSKESLEASVTTAKSSEINALVPDANSEGDWVEHSLPQQYIHAFKDGQFTTLPDHRHHQADPLENPDANLALRSFLKILPDGAFGMASTKKILCNRL >Et_2B_019065.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28849155:28850727:1 gene:Et_2B_019065 transcript:Et_2B_019065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EGCSARSWRNRQDPRWPSQQPTSSKITFEILLSPGSRFRVAGDISRQFIRPKIQVPVIHVPSSWVLGHVRLHLLVQIVDPSHTLLHLRRSIVKPERVVPEVVEVPLPVRERRRRRRDAGHPAAVGIQDEQPGLWLLQPRPRALPDVHRDGVLHVVRLALEVPVRLAAPVQEQLQRLGAGVEGEVDGGLDPAHLLAVRSRHSEVGESSSHEAEEAAALPEEQGLELRVLVLHDDADDEVPWQGGCNCLPLGDEIGGGLVSWPLDFEHGDRLRHAHEPQLPGQHDAEALPAAAPDGPEQVLPHGGPVQEPPSASTTTASRTLSAARPYLRISVPNTPPLRCPPTPTVEQRPAGNASVRLAAPTA >Et_3B_029292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23790815:23792269:1 gene:Et_3B_029292 transcript:Et_3B_029292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQGDGDEAHAIPGFPYFAVPSPPLVGPPASLSPDDQHGAFAAELQQPAASCNSHVPLGGADQLMATTAAPPPMVLPAMVDWSSLLQQASLMSPAATVPPGLQEAVRVDQSGENDGGGEAGGSGSGGGSSKEKAGKGGGAGRSPSGKKKVSRPRFAFQTRSVNDILDDGYRWRKYGQKAVKNSAHPRFDCYFSLHYISFFSRGRGTICMHESVQRGRVHGRTRLNACICICNASCNYITASYYRCTHHTCNVKKQVQRLAKDTSIVVTTYEGVHNHPCEKLMEALSPILKQLQFLSQF >Et_5A_040196.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:21706981:21708813:1 gene:Et_5A_040196 transcript:Et_5A_040196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDVKEMVMFIPRYPRLHRQPYSMHLLLDNCMFGRRMEAEIAIKFLLHTQPLGTEELEVLPVVGPARVGKSTLVAYVCKDERVSNHFSKILFLRYNDYKDDEIAAALREGCAMEPQKQFLNSDKDVRLLVVVELAGDLNEDAWDTMYSACNQCLPRDSKIIITSRSDKIVKFGTTRALIMKYLSHEAYWYFFKTLAFGSMDPVMHPKFTQLAMEIARLLNDSLLGATVNAHWLRDNFDICFWRKVRDFVTALTQKDPYKFGEHPYDLILQDRPVQLGAMATPNKDLVVCHPYECSSQGVTLPNITIQDVLSGRAKPRGKFEALVWRSRIPPFYNYFYSCEIREPKTIAAKRKRSMENRVIIR >Et_5A_042925.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:743864:745006:1 gene:Et_5A_042925 transcript:Et_5A_042925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSSCHGRKRQRREIGDPCCYCAERKHLYLALDDERGGNPGYAIHKLDADNMEMEDHLPEPVLRVAAPGRGPMAFTAVATSIFVATNPDCDRYDDRAPPTLVYDTRTGALALGPHLPGSFLEGGPMAVGEKLYGIARSVRRGGKDDDGEELIPSSYVALQVMSWAPAALCLDPWDPAPMAWSWRQGQSTPPPMSTTLGGAVITSYALHPDGHTVFVSTRHDTHSLDTSDGDGVWKELGDWVLPFQGQAYFDAELDAWVGLHRKEDGYVCCCPVVSWGTVTTRPPECTILKEKLFRSKDEKHPNVQHMKATLTYMGDSRYCLAENILDHDNVFQGTLRVTLFGLEYGRKGELQTKVHRTTRSYPLSKIGTSFSHEAFWL >Et_8B_059915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:449066:461424:-1 gene:Et_8B_059915 transcript:Et_8B_059915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESMDAARIIGYFKGKSILITGSTGFLGKILVEKILRVQPDVNKIYLLVRGIDAPSAKQRVQQEVTGTELFSLLREKHGKGFQQFVEEKVVALAGDIIYGNLGLEAPMLEELAKDVDVIVNIAATTNFYERYDVSLDVNVMGVKHLCQFAKQCAKLKMLMHVSTAYVSGDREGLIMEKPIGPGESLREGTCLDIDAELRLVREVKKQLNATGDDAHKTERKAMKELGLQRARHFGWSNTYVFTKAMGEMLLGQLRGDIPVVIMRPSIITSLRADPLPGWMQGTRTIDTIIIGYAKQNLSCFLADLGTVMDVIPGDMVVNAMMAAMVAHSEEKGAQAVYHATSSLRNPATYNDLYQGGRRHFYENPRLGKNGEVIPTKEMYFFTTIARFHLYMILTFKIPLEILHLVNLLLCGLFSRLYNDLNRKYKFVMHLVDVYGPFAMFKGWAGLIQEKPIKPGESLREGTYLDIDAELRLVREAKKELNAAGDDDDATKKKKKTERKAMKELGIQRARHFGWSNTYVFTKAMGEMLLGQLRGDDMPVVIVRPSIITSVQADPLPGWMQGTRTIDTLIIGYAEQKLSCFLADLGTVVDVIPGDMVVNAMMAAMVAHSEAKGTQAIYHATSSLGNPATYAMLYEAGRRHFYQNPRVGKNGEVIPTKEMYFFTTIARFRIFMFLAYKLPLEILHWVNLLLFGLFSNLYNDMNRKYKYVMHLVDVYGPFAFFQGCYDDMNLERLRSKMSMKTPEDQMFNFDPRTINWEEYFYRIHIPGVLNSDVAKRIESACLLLPCTRSRHALRGWAAALLPENPCVDKNGEVIPTKEM >Et_9B_064074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10982376:10985235:-1 gene:Et_9B_064074 transcript:Et_9B_064074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEVREEKELDLSSNEVVTKYKAAAEILNNALKLVVSECKPKAKIVDLFCHFSPLATDDSVLEENDMVKIDMGCHIDGFIAVVAHTHVITNGAVTGRAADVLAAANTAAEVAMRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVSNADTKVDDAEFEENEVYAIDIVTSTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEISQKFPIMPFTARALEEKRARLGLVECMNHELLQPYPVLHEKPGDLVAHIKFTVLLMPNGSDRITTHPLQELQPTKSIEDNAEIKAWLALGTKTKKKGGGKKKKGKKGDAAEADTPMEEATNGAPSKE >Et_5A_042253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8061873:8062968:1 gene:Et_5A_042253 transcript:Et_5A_042253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLGYDYAEQRVLIGNINRPFFLDQTMNKVADHWFSPTILNLLRRCYWVTTLSLQIVADHRYDRRIGLDYLKYFVVNNFSGTPDERILHAILWKTLKHSAMR >Et_2B_019060.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28594958:28596388:1 gene:Et_2B_019060 transcript:Et_2B_019060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLGSIGDLPLAAGSLAIAFGNITGYSVLSGLSLGMDPLCSQAFGANQPRLLGLTLYRAVLFLFCCSLPLSALWLNMSKILVCLGQDREITALAQEYLLFSLPDLFTFSLIHPIRVFLRSQGVTRPLAAAAAAAVLFHVPANYLLVGRLGLGARGVAAAASASNLVLLAVLLAYVFVVRRDPALRAAGAKPTAEWLAGWGPLARLAAPSCVSVCLEWWWYEVMILLCGLLPDPKPAVASMGVLMQTTALVYVFPSSLGLGVSTRVGNELGANRPARARAAAHVAVAGAACMGLAAMSFAAGVRHAWGRMFTADADILRLVAAALPIVGLCELGNCPQTVGCGVLRGSARPARAAHVNFGAFYLVGMPVAVLLAFGLGVGFVGLWVGLLAAQVCCAGLMLCVVGSTDWDAQARRAQELTSASPPPPPELEMPPGAHASAAQGASPEKGEQQQDDVEGRPLIPPPNGDQVAEQETVY >Et_8B_059397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17593178:17594016:1 gene:Et_8B_059397 transcript:Et_8B_059397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCTYFLLGAFLALLASQVIASDPSPLQDFCVADEHSHVRVNGFVCKDPMAVNADDFFMAANLDKPRDTKTSKVGSNVTLVNVMKIRGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNTDNGNKLFTKVLNKGDVFVFPQGLIHFQFNPVHDKPAVALAALSSQNPGTITIANAVFGSKPPISDDVLAKAFQVQKGTIDWLQAQFWENNHY >Et_8B_058896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10543275:10543568:1 gene:Et_8B_058896 transcript:Et_8B_058896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAESYVEELGSRNMVEPCFGYDGKVESCWVHDMLLEIMVTKSQEWQYAEMSCDGNQRPNSEEQPKKTTGRGSQGIKGTDVEQHVSAD >Et_2B_022265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16035679:16037414:-1 gene:Et_2B_022265 transcript:Et_2B_022265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLVQMVKKWQRMAALHRKRLTASTARKGTDGSSCSTSSVASKGHCVVYSADRRRFEVPLVYLGTTVFGELLTISQEEFGYASDDGKITLPCDAMQEYHRFRSSKEQGKTSRNHFWLVPWVLNLLIPYVVVTPGNGRAPSSGTGMATAAESTPSRCRFTGICLPTPDTPSCYIRFGMGKEALRLAEGAHDLGASGLDDWIRHRCLGEVPEVLGPEPP >Et_9A_063061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9233950:9241294:-1 gene:Et_9A_063061 transcript:Et_9A_063061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSVECLSLPDASMDVDDGDSHRHGHSHHHLGLPLHPAHIAAATGHAFPKANATGAGAVVAAAGALAGAAGGGPPATSVHELLECPVCTNSMFPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEAQCSFRPYSCPYAGSECAVAGDIPFLVGHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDENEARNYTYSLEVGGNGRKMVWKGTPRSIRDSHRKVRDSHDGLIIQRNMVLFFSGGDRKELKLRVTGRIWKEQTNPDGACIPNICS >Et_9A_061978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18541790:18543500:1 gene:Et_9A_061978 transcript:Et_9A_061978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVTRLCSTKNIVTVNGQFPGPTLFAREGDHVEVNVVNRSPYNMSIHWHGVRQLFSAWADGPSYITQCPIQPGQSYVYRFQIVGQRGTLWWHAHISWLRATVHGPIVILPPAGVPYLFPKPDGEVPLMLGEWWRNDTEAVIAEALRTGGGPNISDAYTINGLPGPLYNCSSAAQDTFRLKVKPGKTYMLRLINAALNDELFFSVANHTLTVVDVDAVYVKPFTVDALVIAPGQTSNVLLTAKPTFPGARYYMEARPYTNTQGTFDNTTVAGVLEYEDPCPVGSSSTKNLPVFAPDLPQINDTSFVANYTAKLRSLASFQYPAAVPQQVDRRFFFTVGLGTHPCAVNGTCQGPNGSRFAASINNVSFVLPTKALLQSHFTGKSKGVYASNFPAYPLMPFNYTGTPPNNTNVMNGTKVVVLPFGASVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPAKDPAKFNLVDPVERNTVGVPAGGWVAIRFRADNPGVWFMHCHLEVHMSWGLKMAWLVQDGSQPNQKLPPPPSDLPQC >Et_7A_052970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3723919:3725040:1 gene:Et_7A_052970 transcript:Et_7A_052970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEAASPEESIPRSGGGGSMVRTRAQLNLSTRLMNVGLAALCRGGCLEHAERVLVDAVRLGLPPYVVTYNTLLAARCRAGGLDAGVAVVRRMREAGAYRVFADMAEKGVEPCATTSNTLLDGLFRAGHATNAYRMFRYLQRVGLPIGIVTYNTMINGLCRSGKVGYARMVLKGLGRAGHAPNVVTYKTVMKCCFRYGRFEQGLETFLSLLEGGYISDAFPYCTVISALVKKGRMHEANAYCELLIQSGSRLDNACLNTLIHLRCQEGKLDDAFDLVAIMEKGGLESDEYTFSILVNGLCKMGQIEDAEKKLQSI >Et_9B_063875.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18856963:18857331:1 gene:Et_9B_063875 transcript:Et_9B_063875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHEQGVAPWLSKNTSAMSRSQSRKVAGVIIYTRWNIWKERNRRIFENKQKIGAGGAYGKGGNQFKQDGKRISAAKCSHGTRGGGSLVGSLVPVINFSFCSGQPVGHLNVFNFRFPLAEPA >Et_5B_044876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6825224:6835829:-1 gene:Et_5B_044876 transcript:Et_5B_044876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARWKPHQPEDPAAPLWKLTDDLLADILIRLPTLADLGRASAACLAFHRVITGRSFLRRIRAVHAPPLLGTLSGWCGPDFLPAQPPHPSAAIARAFTDAADFSCSFLPSPDRCGYRDFRDGRALGSRVPEGSICPPGDYDRRALVNEFIVCDVLHRRYSLLPAIPNHLTAFLNRWDIMKFDAFLAPSEDEDGTSFGVICVAEYVQKVDTKLFVFSFSSDSGQWHAAVSEGYTASTLGRVNSYPLYQIQLSARDYAHGCFCWIMPWRNKLLSLDTRTMEFSTVGIPHLDPWRRKAIVEAEDGRFGMFVVGFDRESGTWKPVAVEGNDVQSANQWQLKEMIQLPLNYNPFNILGVEGGYLLLLGIPRDHHQASIPVQERPDMDCFSLNLKTFQIEPFCTTRSLIEDAPLPADLARASAGCAHFRRVIADHGFLRRYHALHPPPLLGILANGFIPAQPPHPSAVVAGTFAHADAADFSFSFLPSPHRWRRRDSRDGRFLLSGVPVGRGHKRGDLVWITDLVVCDPVQRRYLLLPAIPVDLAAAVHPPDIVDFEPFLAPAAEDNKDTSFRVICLAQCATKLVLFVFSSSIGRWHSVTFDGWGALITGFGDPASGSELSQRYYAHRCFCWLMHRRNMVLVLNTCGMNFSTVELPHDYFGREIAIVEAGDGKVGLFSLSCDITHDTVHLSYVMLRDGGEIANQWRSEEILPLPSQHHFEIVGVAGGYLLLQGIPEGMSLINLPQHPNIVCFSLELRTMQLEWFCQTSHSILFAELYAGHPPALSPPTGRGPKNA >Et_3B_030224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31466976:31473179:-1 gene:Et_3B_030224 transcript:Et_3B_030224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGLGVAAGCAAVTCAIAAALVARRASARARWRRAVALLREFEEGCATPPARLRQVVDAMVVEMHAGLASDGGSKLKMLLTFVDALPTGSEEGIYYAIDLGGTNFRLLRVEVGPGSVVISRKVEHQPIPDELTKSTLEELLNFIALALKNFVEREDGKDERRALGFTFSFPVRQTSVSSGSLIRWTKGFAIEDAVGKDVAQCLNEALARNGLVVRVTALVNDTVGTLALGHYYDEDTVAAVIIGAGTNACYIERTDAIIKCQGLLTNSGGMVVNMEWGNFWSSHLPRTHYDISLDDETQNRNDQGFEKMISGIYLGEVARLVLHRIALESDVFGDAADSLSAPFTLSTPLLAAIREDDSPDLSEVRRILQEHLRIPDTPLKTRRLVVKVCDIVTRRAARLAAAGIVGILKKLGRDGNGVALTGRARSQPRRTVVAIEGGLYQGYPVFREYLDEALVEILGEEVARTVTLRVTEDGSGFGAALLAAVHSSNRQGSI >Et_1B_014295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5325698:5326376:1 gene:Et_1B_014295 transcript:Et_1B_014295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAAQHDAGSDDEEDDNDNRRNTRKVPSVPVTSSDPVTMDLAAAVNLGLWRRVPRVIRNAVDGAQGQPLPRGYSPAVSVQIPRAHRWAPYDAVVSALRSLSGRNLLDQPSRAAARATLDGLFEHPAPFPEGAVYLSLELPPFGPCVRRINCKLRRVEATMGNRPYMFTDENMVTACVKLLEAVGRAAGMATSAWAEAEDPNKQVLYDRVVFEEKFGLTWTDA >Et_8B_060231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7686841:7688577:-1 gene:Et_8B_060231 transcript:Et_8B_060231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVAVDGFPHFLCRKKYWRVFASAPKNFDLGVASGIDTALRPLHLADASPVEAFSAPSGNDGVAPSEQMGRSASYEVTLEQRWDAVGASSGSKMTSKKALIAGNVEAEQEAVSSRHGDAYVWFRAAAGGQKIGVGTSVLERMRWEQYKGGWVGNEENHGNVAAAGYWCWWRGGSTSLLEGMRWEELRGARMLAG >Et_4B_036199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10090676:10092155:-1 gene:Et_4B_036199 transcript:Et_4B_036199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RNSEAVHLEQVCASLAEHGDGLPVGPVERVCDELVELLPPSADAAGLADGLDDDERGAVDPGAVGELQQRRVAELHVAGGHLRAVGRARAGRGGERLLPELLDLLAAHGERALAVRVRERDALQGGVLLDDFAEEVVPRGRRDEGADGPHHAQLQPAVGVERLRYAAVVVAAGLEVRGGDCGGVGLGGGAGFHLGAAEDDAALEGGAEDGLPAEEDAVVGADVGDGELHAEVADAAEVLEHLGRGVRLRLRVLAVGPGVAMREGLEHGEEHGLEQRRQASSTSGTSALKRRDTAEHRPRERASASLLKMRSTSESGIRSKWSSPSAARRRSSAKILCLDCILSTSLSVFSSARAGRWGRGVGEVENLEGEGGTVVASESGGEGAYKERRAGGGERPFVKSIHGALSGSGRAPPHPLIIPLEPGERDDAYSFFFLLPLTEEDRSSALLCSAPSISFVY >Et_4B_036228.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12086955:12087137:1 gene:Et_4B_036228 transcript:Et_4B_036228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGVRQQGNDRATTSAPYQIGHSKLAYGEHNLGGVGYSPFFWCPMYFFIFWLRSPYFCI >Et_4B_039417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14817316:14819125:1 gene:Et_4B_039417 transcript:Et_4B_039417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIKMSRGGSAGGGQSSLGYLFGGGEAPAPKSAAAAPAPAAAPAATTPAEKPAPPKADVAKQIPAGVASQTNNYHRADGQNTGNFLTDRPSTKVHAAPGGGSSLGYLFGGN >Et_2B_020383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19602892:19607808:1 gene:Et_2B_020383 transcript:Et_2B_020383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAGPGQAAAAADDDHRFADPPSHGDSMLVIRDALLSQLQKDRLRQEIIVAELAKIERAIALRSAASHDGTASSSAVPERANPAAAHFVALGQQFVPHGRGAVGAEHRVGAGEPRDLKKEDRVHGRVQIKSEKPGMDYDPVRECFKTPGSDANAPDHQEKEATDECNPDQGMLPKGANPAVHLAFEWQFVPHGGGAIGAEHQVCGDEARDVKKKDEMHGVVQIKSEKPDMGDQLVRECFKTSGSDGTTPDQEKDASDDERKQESTETVLPKKTSPSVKWSCAICHVEATSEGNLLQHFAGLKHLANVIALKSKAREEKLRKARQYAKKPHPTWVCKFCPANCTGKSVLESHLKGKKHHAKIQALLEECKSMARDRPFREADSNPNMEQKDEGKPDSVLICGLCQVKCTCPSVTESHVRGKKHQMNFLALKLEAKRLGIIPPSIPKKQQASLKWDCNICQAKCNSESQLQVHCRSIGHQQNLDALSRDGTNAHSSCLETGNKLTADGSNSNSASLEGELQKALHFCKLCNLQCNSKNTLAEHREETLREGGEPDEIKFLQYLATVRKCLLTIVLGVSIRQISIAVDWKVNKKNVGITVHSGDPSKLHNLNMTVFFSAKYMFSLQFRTDNQLTTGVRFACEGDSMQVIRDALLSQLQKDRLRQEIVVAELSKIDCAICNVEATSAGNLLQHFSGQKHRANVDALKAKAKAEKSQKATQCAEKLCPPWTCKFCQANCTCKSDLENHLNGRRHRENIEALLEECKRMAKDSAPSRESDSCTSITSQDEEKSASESICGICQVKWSCQSVMEGHVGGKKHRKNFQALQLEANRLQITPKWDCSTCQVRCNSESQFQDHCRSTQHVEKVQKAFATAQRCSLTIKLGESIRQSSVAVDWEVEKAKIPPPSSISGTSFNCT >Et_1B_013241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6650046:6657932:-1 gene:Et_1B_013241 transcript:Et_1B_013241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLRVASWFVAFAVVLACLLRPGASVEFHRKLQSWSSAGATWYGAPLGAGTDGGACGYQNAVDQPPFSSMIAAGSPSIFQDGEGCGSCYQVRTNEISSVKSHALLRSVTYTAAVRAINSAQVKCTGHASCSSTPVTVVLTDLCPAGACLAEPVHFDLSGTAFGAMANPGQADQLRAAGHLQIQYTRVPCNWQGVDITFKVDGGSNPYYLAMLVEYESGDGELRGVELLQSGGGKASSWAPMQRSWGAFVALVVLALLVSPIACSRKLAKSNKHKLSHNPAARAHSNGTANPSTSDAYGSGGWLSAGATYYGSPNGDGSDGPQGAFLLPAHL >Et_1A_004862.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12760228:12760635:1 gene:Et_1A_004862 transcript:Et_1A_004862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGGGERTKNILAKTLQRCRTSLRRPSLPAGCFAVLVGPEKERFVVRARCANHPLFRALLDQAETEYGFAGCDGPLELPCAVDDFMEVMWEMEQGDPTASPACSRFAAARGHLHMHQGYQMMSPARTFLVTGRS >Et_1B_013793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16900013:16904267:-1 gene:Et_1B_013793 transcript:Et_1B_013793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGRPRRSPPADRFLGLFSSPSPSLSASSSSAGDELLEGDLLFSASSSDPPPDASKNPGRVPRGHLGLLAALHEGERKLSVRDGASAVAASSAAAGTAGTLLRRKATIAAAAAAASSSATPARSPPSASRAIPAVPRPRDPELPPAAPFHQSAPVQVPVPPPRSRGRGWDELAGGPCDDDDEEELFRGDAAMLPPHEMVARASAGAGYGVPGKPSSMLEGAGRTLKGRDLRRVRDAVLHGSAKLLAKHYIAA >Et_2A_015390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11905870:11906306:-1 gene:Et_2A_015390 transcript:Et_2A_015390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADAGASKKPRRSAGPGSLTSLTLRLAKHFAAAEQSPSSTDGGDDGGNKNVVFSPLSVTLYELLAVLGTGSRDELAELVRGVAERALADGSDRGGPCLAFACGVWHDKTRPLKPAYRDAAVESYKATTRAVDF >Et_2B_022092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:973866:983143:1 gene:Et_2B_022092 transcript:Et_2B_022092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPHDQATAREQFKIQILRVSLPPSVTQGKHATRPLRIRKSPSPGVGEELQPPPPPSEKLVAESAESTSALTLQELVVPSLQKMLHARSLKEPVIAGQPIVLNNTIDGSSSASSFIRKMLSACDDEFSSAQGLREPGVSSSEFLHTKLLLKSIIASPSMVPHSIGNNSSSTSSFSHEKLMAGAADSSCALTLQEPGVSSLNEFLDSSLEESSITASLQTVTHNTLDGSSSAFPSSCEKLPIDIADSGSAHSLREPELSSLEELLDTTSLQESTTTSPWTVPKNTTDDSLLSPSSLGSKIWIRHCPNWYQVFYIRMDLRGSFWMYPDLGGPFQSLKEAEDTINHYLDELRRRARCRQGELSTVDRLIHEYKYYLDGTPKTDSSKNSVDEKHYLVEALLEQYNEDQKLSGNPTYELEGLMGQQWLYESQDHRWYYHFNFSAKKKEADGHSTSVLFFAEVSDIKTEHACEVNCCCIIEHDDNGRCYGCRTNGSPAMRHPIDSDAYTCGHLDGYLPFGLQSSSSDESSCCPFKHCVCRWRSECSPGIPRVAPDREYSCVPAGALDDESPAWSVLVGVVSGVTVSSLGLHRFRVARSGRVLGRSNDALETVAGDVDFKAEKSNSSSVRATAAAARSPDGRSLSLCLFSRETDLSSDLEKVDPPRPLVLHLDLDDDETRIAAVSALPDLPIGPLMPTCPVSVAGELWAPYLTNINGPSDLVMQRLDAAAGGEWVEVGGRFHLPKGIPRDLRSYAAVIQGAAVVGRTTILLSLYPSRRFDCSTLAWNAVATAPEMPYEPIHGRGAYVEEDDAVHFFHWQSIYSNKLCCLPLG >Et_3A_025910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33976907:33980429:1 gene:Et_3A_025910 transcript:Et_3A_025910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAMSVAGGGGAGQFGDTTFTKVFVGGLAWETHKEGMRAYFEQFGDILEAVVITDKNTGRSKGYGFVTFREPETALRACIDPYPVIDGRRANCNLAYLGVNKSKAAAIPLYLQPYGHVHGGGNMRAMKSIQSTAASFGAGTGGASLSFVPADHGIQQGIPTYNVYAGYSPYFSDYGYPLSYYQAAYGGLQGAQQYGVFGGGATAAGLTMAAPNATGGVYPYFQYGPVSATAAGYSMAQYPQYYQYATAAAATLSGGLQQYGGAVAISPTSVGQAGVTMSLTAPSLPTSTVQYQFSRLVPSHLAAAPDQKPNTLA >Et_9B_066277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9721739:9724143:1 gene:Et_9B_066277 transcript:Et_9B_066277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVAADPTLRSGSCTRSASGLGLPTMGSPRHRHRPPLSAAAARVSDEIEKEDLESKEDLDDDDTYTYTKGITSFWLFALRGITKLKKIDELLIPFANFLLLVKEITARHSREVSRWTSKELLSLHDSTRSCIPQKAGSPPYELEAG >Et_7B_053253.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:1631804:1632232:1 gene:Et_7B_053253 transcript:Et_7B_053253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSRSSEAELGEEPPVLAGLEPLLQARLSGLACGDLLVLGGERVGASGDVLEVDVEGVAGGHEVGEVDELDEALDARLLGRLLGRVLADHLLGVLGKAGDEAVAVGAVAGALLEHAHDHRLTPGEPALQQDHRLPGLQELHHR >Et_6A_046206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:58852:59839:1 gene:Et_6A_046206 transcript:Et_6A_046206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PNTANQPKPNDWSKPFLSSLPSRCLSSDISRSAAAAIALVSAAAFLHPLHLIAVAGAKPTFFAPQLSKGKDSSEAGKQAFSLSEIQKWATDDALWAQRMKRQAALSWQNDLKKKKSPVLQRNLSSLAPATSNKGPKVDRVPVSYILATHQCTPGTTYDVIDLNSKVGNCVPLANVRIGTWVHDIECRPGQGGKMVRAAGTFAKVVQEPGAQCVLRLPSGAEKTVDSKCRATIGIVSNPSHGARKLTKAGHSRWLGRRPVVRGVAMNPVDHPHGGGEGRTKGGRPSVSPWGKPTEAGYRSPSVASRKA >Et_4B_039466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17659219:17661491:-1 gene:Et_4B_039466 transcript:Et_4B_039466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNNLLNLSPSKIALKPPLPTRPSLSAPPRRPILRVSLTRSEPRGPQRVHSSASPSSEAAPSPPSSREEAVAQARSCLAAALQKPLNNSVPLKKQKRQRQTRFRAEIPVVDDSPGSLARLAFDVFAAGAAGAGVKRKGAPARLLLVWPSADALAAALREHSDWGDSTAHAQLDAVAPDALGACDAAVFLSPAQAQVEKMRAAAATLEPKPLVLFNPAWSFDEEEQGGDAFGGGAGARGFVASFDVVYSFTGLEVRGLLSKKTGVLLRCVDGGGRFGGESWVLMVEADAPAKAGEFRVVSRLKRRPTIGEVETMLYNLMAANSPVTKSARFLREMVSNVTGRKSTP >Et_3B_028543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16672864:16677577:1 gene:Et_3B_028543 transcript:Et_3B_028543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEINLLRQAQREHQHHLMVRGIGEEIDLEIGPGDDPSFSGVDLVAVTSAHDTKKKKVVKKWREEWADTYKWAYVAVHDNTTRIFCSVCKKYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKEKVQPPEVERPVYVKALSKTAASILESVLRRDPHEPEFVQSIQEVVHSLEPVLVKNAQYVQILERLLEPERCFMFRVPWVDDRGEPHVNRGFRVQFSQALGPCRGGLRFHPSMNLSVAKFLAFEQTLKNALSLYKLGGAAGGSDFDPKGKSDNEVMRFCQSFMDELYRYLGPDQDFPAEDVGVGPREMGYLFGQYKRLSGHFQGNFTGPKIFWSGSSFRTEATGYGLVFFARLVLAEMNKELKGLRCVISGSGKIAMHVLEKLLSCGAIPVTVSDSKGYLVDEDGFDYMKYSLLRDIKAQQRSLKEYLKSYPHAKYIDDAKPWSEQHDVAFPCASHNEIDQGEAVAIINSGCRVLIECSNMPCTVQAVDVLRKAKVTVAPAKATAAGGVALGELELHPEFTLMQLSVEDFENKIQDAIKQTYERSIKAAQDNGVVKENPESLVHGANICAFLNIAQAMIDQGCV >Et_2A_017012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30061940:30065580:-1 gene:Et_2A_017012 transcript:Et_2A_017012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFSLTLAESHIGNSPIQVYGYIAARSGDDDMDGMLNYVLNRSRDDPVVVQQGSLIEMTGPKRAIDFGSPVLIEFDMRIKNGEQEEDDLELIDGAIGCYDNRSPWIPVKHRVPGKWGTVDMSFACIEHAVEATIEVAISEVQEGFNFSLSSYISVMEGYEDMQSYQEVLLFHGHIDESRDLGRYVVAVTFGSEMRLKFKVGNDDNVVFYRSFKYEKPDFCVIRRKIKLEHATFSMKVTFNY >Et_9A_062147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2081964:2083290:-1 gene:Et_9A_062147 transcript:Et_9A_062147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTAGGMGFDNLEEMLGTVSPAGSAVSAAGSEDEADLRRGPWTVEEDVLLVNYIAKHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDVRRGNITAAEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLRCDVNSRQFRDVVRCIWMPRLVERIQAEQASSAGAGGDESAAPPSAPAATVSAPAACQMYSAQSVVGHLNYSSEPSQTTTAAAAMSPDDTSSSALRSSLTTEAAAAHGQQHPASNTATPTNDRDGGGVAVQEDDVFGGSWSELLAATGRDDDSSMIGLPDFEQLGEFEDNLWSLEDLCLHHHCS >Et_3B_031622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6202959:6206592:-1 gene:Et_3B_031622 transcript:Et_3B_031622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLDALAMKILSNLGGLIQDEVVVTLRVKKDIKMLKNNLEHFCAVREDAEALAMENTVTESWWKEMRDVMFDVDDVIDLFLVHSKNPSQLPRLVCFNQSLFSCFAKVTFDHRIAKRIKDINEKLIEIKERKEMFSLERTNCQQFQVTAVDRSQTSPIAVEIFGTDMKQAADEMVKLIISNCYSNSSTLFGIHGMGGIGKTTLAQKIYHEKRIREKFQIHIWLCISQSYTEIDLLKQAIRIAGGSCDQLEAKSELLPRLMDTVNGKTVFLVLDDVWKSDVWNELLQLPFETGLNAFILVTTRDMDVLKQMHARYNHKVNKMNTTDGLELLMKRSFRPDEQINDGFRDVGRQIVQKCDGLPLAIKVVAGVLSTKTTIVEWESIRDSEWFIHGLPQDLAGPLYLSYRHLPPPLKQCFLWCALLPPNFGIHRDAVAYWWVAEGFVRKQQKYAVCQIAEEYYYELIRRNLLQPKPEFVDKGISTMHDLLRSLGQYLAKDHSLFMNAESNEILPKLRRLGVSSAVQNIPCIEEHKFLRSLLIFNNKNFKSLNEYIFRNLEHIRVLVLSGTGILSIPQCIGNLVLLKLLDLSFTDISKLPESIGSLVSLEYISLLGCHKLNSLPTSLMRLSNISFLQLEQIAIDHVPKGIEKFQQLYNLKGVFESGTGFKLRELQSLPNIQRLWIEKLEQAKLGGELVLRKSSNLRELGLCCTIGMSRTHCQMNDVGRIQQVYEMLIPSPSLVYIFLVGFPGVMFPEWLRSEPEQKLPNLAHMHLNECISCSQLPPAGQMPELQVLQIRAADAIVTIGAEILGKGVISASAFFPKLELLHIIDMRNLKNWSLNTGNLFDDIEAISQQLVLMPCLKHLLLLDCPKLNALPEDLQRINSLKRIHIEGAHMLQEIVNIPSVVWLKVKNNRSLRKISNLSGLQDLFAQDCPELDQADSLSALRRLYMVDCLNAQPFWKCLPIDQGVLAHIATPVMLNMDHFFGSCEFDSRALNPQLVLQHRGTAHQDLMA >Et_8B_060820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8235348:8237476:-1 gene:Et_8B_060820 transcript:Et_8B_060820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTRCGMATVSVKRRDEREANIPSEMIRNHPAHDESHVLILLVPDRVMQEEACDGCKEPIKRGASCRYRCDDQHCSFKLLHACCAVKPPTVNHHRYPGNTYRFQLAPPPGSDVRICFSCGKAVRGFGYHCHGVPQGSADLHPCCALRRQPECTCRCSNPNATNRPFNRNRNPI >Et_9A_062948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7189599:7194036:-1 gene:Et_9A_062948 transcript:Et_9A_062948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKYGTIPTSSSSAPPPPPPPGSSPLDFISRAKARGASALATRRPWREFADPRAVSVPRGCGAAYRRARANLAHFAANYALAVLLVVFLSLLWEPVSLLVFLACMLAWLALYFLRDEPLACCGRVVGEGVVLAFLSTLTLLFLLLTGATVNILTSLLVGLAVVLLHAVLHGAADSIDEEAGRWYTPRDCTTSTLATHRPWRELANPRALSFPLDLADAFRRWRYNLPYFAANYAAVVLLAVFLSLLWWPFSQLVFLACAAAWLVLFFLRGGRGAVREGVVLAALSVLTVVVMLVVGPV >Et_9B_066020.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:20759747:20761099:1 gene:Et_9B_066020 transcript:Et_9B_066020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADATALGSWATVRGYFTPATLFLVLNLVIGTIALTSRSHQRRRREHHHDYAAAPPLDPHHQQQDEFHLYFQPQPQYVATPPPAPLARTSSVLDRLRSIGLYRFRSGDFPPEYGAGAVPSANHDVFAPVDEETTAKQQARSWSEPATARVVEKRAPPRTKPAAAEVRKAQVARPPAHSRVVQAVAEDVVDARAEQFITASLRQPEPVSPPKAEYHYQAETEVSRTSSVLERLRSISLYSFLGAADQPAAAAATDAFITSPADDKKPAHYDRSRSEPAREQGKKEKKQAAEAKMAKSSSSASRKPAAPKLVEEECVDARAEAFIDSFRRGQSQKQAHRHDDAADDEEHAVVPPPAPPLARTSSVLDRLRSFSLYLRSGDAVPEDPVTNKASSAAAAADEKKQMSKTSSVAAAEPAEECVDARADDFINKFRQQLQLQRLNSLLGGAKQQ >Et_9B_065263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2934579:2938408:-1 gene:Et_9B_065263 transcript:Et_9B_065263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSASALPTFPRSEESPVDAADQRYVVLAASRSAEQQELEENLSYPVYDSVEDFFEFLWENADRDVDYEFDELHHQCFNVESYDKIFHHFNFTVKTKKPSSSDWTSTLYFAEVKEIFSHKIYFCSPLEPYENGLCYACKNQGIDDLKHPIIGAFDRGSPNSKPPFIYDDYSDYDDFYI >Et_5A_042041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5592733:5595927:1 gene:Et_5A_042041 transcript:Et_5A_042041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDPGVHVGGSANHPGSIKMSDSQETDRNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQIARVAKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINVSLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDINRYVLKNSATQEIVIKHLNKEQEADQSNFRDAATNAELEVQEKMSLLEWFANEYKKFGCSLEFVTNKSQEGSQFCRGFGGIGGMLRYQLDIRSFDELSDDEGLYEDSD >Et_7B_054333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2088607:2089727:1 gene:Et_7B_054333 transcript:Et_7B_054333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDWSSLPAELVDAISSRLCTDADQVHVHQVCSHWRASTSPLAAPRPWVVAGRTLNKSHAWNGPASSFSLWLPRGRKPVDVQAPDHLLLCCGAPHGWLALADDTRSPTRFSLWEPISRRAIPLPCLRDVMQVFLSADPSSSENWVALAIQRKGTGRLYWRPGDSSWKKLSHQYYYSVCPYNSFAFHQGRGYLTDMQGYIFGYDFNLGNGNATPQRTCTFGARDALIRFDSWHRGHALRVVACDDDLLIVGISNWWERPRTARVFSVTMRGNTAGLGEQVTNLGEYSLFLGWRDAFALSANAFPGIKRNHVYFLENGQLEGLLWEHQAGCPGPLPTAGEFPARCYPGVRSPARVRLPWWPLAADD >Et_4A_034830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:699480:704532:1 gene:Et_4A_034830 transcript:Et_4A_034830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTSMPQVWDEERSAKGGMVTPAPATALLGSLAGWLSRTVQPPPPRVCGTEGGPPVTAPRIRLRDGRHLAYCESGVPKDKARFKVVFSHGFTGSREDSVRASQVLYLFSPFTATRLGPMELLAKTPVLSCAAKRRPGGEAAADSPMGPLVGALARWIRWAVPPPPPPRLCGTPGGPPVTAPRVKLRDGRYLAYAESGVRKEDARFKVVFSHGFTGSRLDSLRAAPEVAEELGVYMVGFDRAGYGESDPNPNRSVKSAALDMEELADALGLGPKFYVIGISLGSHAVWGALKYIPNRIAGAAMMAPVVNYWWPGFPAELAAEVYAKQEVGDQWALRVSHHAPGILHWWMEQSWLPTSTVVANTTYLPNKRDAETRRNLTADGTLQKKRELATQQGIHESYYRDMMVMFGKWEFDPMSLQKPPCPVHLWQGDEDGLVPVVLQRYLASRLSWLNYHELPGTGHFMSAVPGLGDTVLRTMFG >Et_5B_045362.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:228338:229417:1 gene:Et_5B_045362 transcript:Et_5B_045362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVEFISDNMAHHPNPKCVDTTTLPPPSPKNIHVAADIIGNGAAAAMDKRQIYIHTHLASFDSGPGQLLVTIKHLNNSSKCRLEGKVCIVTGGAQGIGEAIVRMFVEHGARVLIADIDDAAGEALAAALGCGFEHCDVSVEADVERTVQRAVARHGRLDVFCNNAGVLGRQTRAAKRIASMDAAEFERVLRVNALGAALGMKHAARAMVARGGGGGGSIVSVASVAGVMGGMGPHAYTASKHALVGLTKNVACELGEHGIRVNCISPFGVATPMLVNAWRQQHQGEEAEAEENSSSSESKEKMEEVVRGLATLKGVTLRARDIAEAALFLASGESRYISGHNLVVDGGVTTSRNVIGL >Et_6A_048037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4142149:4143542:-1 gene:Et_6A_048037 transcript:Et_6A_048037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGVHGQQQRMASILAIGTANPSNVVEQSAFPDLYFRMTGSEHKQELKAKFRRVCEKSCIRKRHLYIDEALVAANPEMASHKATSLDARQALANKVVPELGAAAAAAALKEWGRPAGDITHLVVGSTSGGSDLPGADYQLIRLLGLSHSVRRVAMYYQGCFIGAAALRLAKDLAENNPGARVLAVCVETLIPHFRGPDERHVDNLVSQALFGDGASAVVVGVVDPVAEPGERALFHVARATQLLVPETGGAIRGGTREAGQMFSITSEVPSLIADNIEAGLRETLLEMEKDVVVEHDDRSSMFWAVHPGGRAILDKVEAALGLKPDKMRASRKVLAEYGNMGSACAWFVLDEMRRWSAAEGRRTTGEGCDWGVLFGFGPGLTLDTVLLRSAPIDY >Et_8A_058461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8342140:8343675:1 gene:Et_8A_058461 transcript:Et_8A_058461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYATKPLSLLKRQPNVPPPVGGNSGYLVVKDTTEEDDEHETCCWGTCPASEYERVWELPFPQNLALTVWYSDEEAESVLFVPVPDQPLASNRYYAVVARGSRKGLVRACSRAEDVTTCFGCSCVVKDANPRPFDPADVYLQMEIVQRKRGQFTARSVAADGIAPAPYRCRSWQVYASPRKQMDRSPRREAVPVHPHDGGGSWKLAGEKVFIGGSVEAALDVGSSLQGGGAYAWFTAAAASGERIGVCTSLWERMHWLVEHRCGGRVQHEADDDAGELVVRRFVVKRMDGSIAVTFDFVRLSEVTQPSSSVRTSGWDDEECAEKVSGGLVLVKRFVLKRMDGSVAVAVAVAFDFVHRQGKAGVRKTAVLVLQCMHYFSVQVDV >Et_2B_021141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26912124:26924175:1 gene:Et_2B_021141 transcript:Et_2B_021141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTTTTVCVTGAGGFVASWLVKRLLAAGSYTVHGTVRDPGDAKNAHLAALDGAAERLRLFKADLLDYGSMAAAIAGCDGVFHVACPVEMVAPAVTGTANVLKACSEAKVKRVVVVSSLSAVMVHPDWQKVLVMDESCWSDVELCRTTANWYCLSKTLAELEAFNYAKTSGLDVVTVCPSLVIGPLLQSTLNASSSVIVDCMKGDHEVKMKLRNFVDVRDVADALILVYEAPEASGRYICNSHPRQVSGVIELLKSWYPTYKYADKLVQVSDEPVFSSKKLETLGWKFKPFDETLRDSVESYWAKGLELENDREGIREREREAMDSGGAGKTMRTLCVTGAGGFVASWLVKLLLSRGDYVVHGTVRDPSDPKNAHLAALNGAGERLRLFKADVPDYASAEVLAPAVTGTRNVLRACHEANVRRVVVVSSVAAVIMNPEILDDEDYCRTTQAWYCLSKTLAEREARAYAEKTGTDVVTVCPPLVLGPLLQPTVNTSSLRLLKYLKGRCETAEEKTRNVVDVRDVADALLLTYETPTASGRRFICSAYSMKMSEMLDVIKSLRPDLKLDYPTKKFVQAEDEKVVSSKRLQALGWKFRTVEETLRDTVASYEAARILN >Et_3A_024497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21384772:21387114:1 gene:Et_3A_024497 transcript:Et_3A_024497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPTTNGALERCKSAITAATSVVGAAMLLRRVAADVLPSGTPLIGSLLLLPAPSSRRHALVIEEFDGAYYNRVFLAARAYVSTLLAAAPDGNVPPVIKASLPRGAGAGADQITYAMRPGTAVVDVFDGAELTWRLRSGGRHGRADRGGGEAFRLSFDGRHKATVLGAYLPFVMARAEAMAREQRQAKLYSNECRKWRPVRLRDAATFATVAMDAALRQAVVDDLDRFLGRKEYYRRTGRAWKRGYLIHGPPGTGKSSLVAAISNHLRFDVYDLDIGNVRSNTELRKLLIRMKNRSILLVEDVDCALAVAPRRGPNEGSDENSPDSKNRKVTLSGLLNMVDGLWSSGGDERILIFTTNHMDRLDPALLRPGRMDMHVHMGYCGFGAFKELAATYHGVGDGHPLFPEIEALLREVDAAPAELAGKLLATDDADAAVEMVAKLLRDRKAGAEEEDGGYVKQKLHAGPRRPRPRPAPAPSSRGVSSARRPTWFDEAMRSSGSCGQGRETGRRGRGGFHLRGRGRGRR >Et_10B_004281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2967736:2974866:-1 gene:Et_10B_004281 transcript:Et_10B_004281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVEGCVGPSELHLKKELTALRKARFLRDPETCSSWRSPLSSKSFLTTSEVINHNGVGSSLSQKHTEPAAAPPKSEKKRKNVYLYNWRHHSNKSSESGIKLDTDERQASVDCSLESPCISNGMDSRSDTCLEVPVSIYSVQGSNSCTPVKRTIRRVRRSSFSKKGAIRNSTVSKLLDLHMNSGEQSEDTDNYNSENHEQLLKGGYFSHSTSPLFAASGCLSSTNPSKPLKVSRREGSSFSCTPVSTSSFYRYKGRYPSTVGSWDATTAASLDDDGLNQPESQRCGIPCYWSKRGKHKGSERSCSPSLSDTLRRKGSSLLCGSQTMHRRKKSSGSSKFGYLKKSFQDVPLLGDSSHFAYSSFDSASDEVSTIFGELDLEALSRLDGRRWSSCKSQDGIALSVNGADHVVSDHRSLSQKYRPRSFHEIVGQNFVVQSLNNAIIRERIAPAYLFHGPRGTGKTCAARIFSAALSCAAIGETKPCGLCTECTDFFTGNGINLIEVDATNRKGINRVRQLIENIPASATSSRYKVFVVDECHMVSSKVWSAFMKFLDEPLPRVVFIFITIDPENLPRSVISRCQKYMFAKIKDIDIVCRLRKIAVKENLDVELAALDLIALNSDGSLRDAETMLDQLCLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSSDTAETVKRSRELMDSGVDPMALMSQLAGLIMDIIAGTYRLADPTCGGDGIGGRNSGSNPLSSDVVTDAELERLQQALKILSDAEKQIRLSSERSTWFTAALLQLGSGHNSEITQSRSSSKQSAKATSETMMEVVRESSASRTTSHPLFNFRDSKKTSDHKTTSGHSSPQGLASLSSRIRTNDSMVYGECRSVDRGLQSAQTSISCEQRPANSGISDNRARIWRKCIENCHSKTLRQLLYDHGKLASVKECEGSIVAFIAFEDNDIKFRAQRFVSSIRNSMETVLKCNAEVRIGLMQEFLAGGQTIESDVLSCSTNSERRMGVLKPSRSLEYSDEIDKIEQCSCTSADGGGLQPSNVPVIVSNGSSRVNRTRGQEVSVGQSKIAAVDEQRLESAWVQAVEKHNGCMLNQARPERNQILPQATVVPSRQVDKDLSKELKALKISDSHGPQKGQNAQMENGYAISPSLLHRNNHLANCDNESVASESGAPGCHGLFPCWKTEKSKRRKAAAVMQDRQGASSVAASDLVTSKKKSAKVKRNKADPITLRLREVLSTKHSD >Et_4A_032094.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:16911053:16911175:1 gene:Et_4A_032094 transcript:Et_4A_032094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSELKLVKFYLHSTMTQERLNELATMSYESLNPICVLL >Et_10A_001165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21783023:21788448:1 gene:Et_10A_001165 transcript:Et_10A_001165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGTSPLRKEYHPDCPGCVYDRKKDLQSGIPYKELLQVWMICLTAALPISSLFPFLYFMIRDLHVAKRTEDIGFYAGFLGASFMFGRWLTSTAWGIAADRVGRKPVVVIVFNTLFGLRVSYWMAIATRFLLGALNGLLVPIKVGTAWGIGLIIGPALGGYLALPAEKFPNIFSPDSLFGMFPYLLPCLCTSVFTAAVLFSCIWMPAPLIDPKEKVDQSGSLENKKSLFRNRPLMSSIVIFCIFSFHDMAYIEVFSLWAESDRKYGGLSLSSENVGKVLAVTGVSLLVYQLFIYPRINKILGPIKSSRIAAILCILILFAYPYLTYLSEPGLSILLNIATVIKHNLSVTIITSTLLLQNNVVPQNQRGAASGLSMTVISLFKMAAPAGAGIVLMGPETPTCLLLSSEFGFLMKSASEGLQGGWCKPEL >Et_9B_063748.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:11213138:11213383:-1 gene:Et_9B_063748 transcript:Et_9B_063748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAPPSSSTRQPSLHRRSSAGQTSAGRQVRADPRGRRAQGLRALHQPQLHRRAQFRALVRGPRPLQMHGRHKYSGREPPP >Et_9B_065054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20866682:20868719:-1 gene:Et_9B_065054 transcript:Et_9B_065054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESARKRTLLKVIVLGDSGYVSKKFSQQYKATIGADFLTKEVLIEDKLVTLQIWDTAGQERFQSLGVAFYRGADCCILVYDVNAKRTFNNLNTWHDEFLNQASPSDPKNFPFILVGNKIDLDGGSRRMVSEKKAKEWCASKGNIPYFETSAKEDHNVDTAFLCIAKLAMEHEHNQDMNNAVSNIKKRTFNRIGVN >Et_3B_029813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28121258:28127405:-1 gene:Et_3B_029813 transcript:Et_3B_029813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPSSSASSSSSTSPHLSGAHRRRLADVERDVADFCGPCGDSDDDDDGRVHGAVGVKALFFARRHKQRASVVDQAWVRNAVACLLFLAAAVGLLTSHRGVVIGGGGRRLVRRVDAGEGDVLRWREENLTAVARRPPETPITHIWTKPDSEGYTKCVERPKNHYRTKNGTAGYLIVDANGGLNQMRMGISDMVAVAKIMNATLVIPNLDHKSFWTDPSEFKDIFDVKHFKETLEDDIAIVDSLPPAYKRLKYYMRAPTSWARASYYRAFARTLKKFKVVKFTHTDSRIVNNGLPPSIQRLRCRANYEALRYKQEIEELGNTLVDRLRNGSNHYIALHLRYEKDMLSFTGCSHNLTHQEAEELREMRLKVRHWKEKDIDSKERRLQGGCPMTPREAALFLKATGYPSSTKIYIVAGEIYGGHSMDALKAEYPNIYTHYSLATANELESLKLFQNRLAGVDYNVALQSDVFVYTYDGNMARAVQGHRRYEGFRKTINPDRQKLVDLIDKLDEGTINWSEFETEVKTHHENRLGGPYQRLSGRSPRHEEYFYANPLPGCLCKRDTKDPLPKDHQLTSFRTSKLISVLRSESSAGLFTVSATSFVPPLPSSRSRKLTFMRAMAVAAPSSNLMARVCTRSRFKRYTSSRPKSSSPTLPSTAARPPSRVWETATLLAAVPGARANSGGSHCRCSHVEYWSGCIWRSDTRTVGTNSHRGAPKQHTSGGHSSAKSSPLS >Et_9B_064008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1017706:1020513:1 gene:Et_9B_064008 transcript:Et_9B_064008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSILRSALRLSRRPSVFPVARGAPRRFPSGLARPDLPFKPVAPVVADKLLDKLPGFAYEPWLIQQGIGVSSEKEQVKLDKTVKVMDEAKMSNEMKDMYRNNLMVADPIRHSLILDSSSHRDGAIYQRDWKELYDMDMSDRNETVSELKMLSMETALPCHPDQENCMIHMPFQMIHVFSLSLAKTPVSSTSVQLYGYMAARDDMDGKLNYVFNHSRDDPLIVQQGSHIEMTGPKRGIVLISNVLFEFDMRIKTGEKEEDDIQLIDGVILRNVAMSTCPVSHRISGNCGGAVDMTLAFVEFGVEAVVEVLISEVQSAFDLSISFFFSEWELEEFQLFHGTVGEVDTKRFVLAVPMATMMHLKFKVGPKGSHSDAVHDCPFNAKVHGCTTQEIKLEEACISVNVNWSPPLF >Et_8B_059469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18208739:18210659:-1 gene:Et_8B_059469 transcript:Et_8B_059469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDLETAAAPLLPPPASSLTDDPPPPADRFGLGYLVFFTLGAGFLLPWNAFITAVDYFSYLYPGAPVDRVFSVSYMLSCFLPLLLIVLCFPKSSAPARINTGLTFFTLALLVVPAMDAAYVKGRPGLYGAFDVTVAATVLCGVADALVQGGVIGFAGELPERYMQAVVAGTAASGVLVSAMRVFTKALYPQDVHGLRQSAILYFIVSIVVMIICIVCYNVADRLPVVVYYKNIKRRAQKAEVGGGMTGPAWRSTLWSIVGTVKWYGVGVILIYAVTLSIFPGYITEDVHSEALKDWYPILLISAYNVFDLVGKALPAVYLLQNANVAVAGSFARLLFYPLFYGCLHGPSFFRTEIPVTVLTCLLGLTNGYLTSVLMILAPKAVPIHHSETAGIVIVLFLVIGLVIGSFVAWFWVI >Et_10A_000765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16973175:16979491:1 gene:Et_10A_000765 transcript:Et_10A_000765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGVSAPVASDLIDFLNASPTAFHAVDEAKRRLKAAGYTQLSEREEWTGLEPGRKYFFTRNYSTIVAFAVGAKYVAGNGFHIIGAHTDSPCLKLKPVSKVTKGGYLEVGVQTYGGGLWTISSEGLKINSQSHLVPVLATSVKNEMQKLVEENGPKEPSENKNTKHHPLLLQLIAKEANCEPGEISDFELQLCDTQPSIIAGAMKEFIFSGRLDNLCMSYCSLKALIDSTSAEHSLDHESGVRMVALFDHEEVGSNSAQGAGSPAMLDALSRITASFNTSNSQLLEKAIQRSFLVSADMAHALHPNYMDKHEENHQPKMHGGLVIKHNANQRYATNAVTAFIFREIAERHQLPIQDFVVRNDMGCGSTIGPILASGVGIRTVDIGAPQLSMHSIREMCAVDDVNHSYEHFKAYFEEFTELDSKVQVDC >Et_2B_022867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:704179:705499:1 gene:Et_2B_022867 transcript:Et_2B_022867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCMPSHAALTSCAPADRIALSSFSKHLDMAINGWPRAGAENGSISDCCRWPGVRCRHRFGSERDQVRVVGLDLAGRGLTGAILSSSLARLDELRVLNLSWNSLHGAVPSELLRMQRLRRALRALQECRALAVLILTTNFRGEELPGADDGIRGFPSMRLLGVANCALTGAVPPWLRASARLSVLDLSWNQLSGAAPPWLGGFDALFRIDLSGNALTGGIPPSLARLRSLAAGDAAASRVQLSLSGDNGVRLYNWHVERGQLWYNSYIPPSLDLSRNGLTGAIPPELGDLNLLNLSWNALSGQIPASLASLQTLDLSNNELDGEIPSSLAGLTFLSCFDVSFNRLSGAIPDHGQFSTFPCSSFAGNPGLHGEYCDGLVGAGTQENHDGDVASLVHDLWLPFWLGMVAALLPTMYAHGLALETPPTSSQF >Et_1A_007602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36333515:36334529:1 gene:Et_1A_007602 transcript:Et_1A_007602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTRLAADVHAQYNKISQVYGCKPARRKLAPWQPPPIEIDLGLFEDHLKSLDIKHKRRISGTQKFEMPKQGMKIPLGCVWLLADTTLEDVFFRETCQGE >Et_10A_000608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13596526:13603468:-1 gene:Et_10A_000608 transcript:Et_10A_000608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLLPRWAPTPSPSRPLWRWGGGTPDGARTAAGGVSGWSLGSIFSCGGGEHRAPAAPSNGPANGGGPVGCELAAATASPAPAVDADPRVFLTWEEVRVTVAARKRGAPAVEILDGVSGHARPGEVLAIMGPSGCGKTTLLDTLAGRLSPGMDKTGVILINGRQEKLAYGTSAYVTQDNVLMSTLSVREAVYYSAQLQLPDTTPAREKRAHADRVIRDMGLGDAMDTRIGGRITKGISGGQRKRVSICIELLTRPRLLFLDEPTSGLDSAASYHVMSHIARMAARERMTVVTAVHQPSGDVFELFHGLCLLAAGKTVFFGTVPDATEFFTLNGFPCPHLRSPSDHFLRTINKDFDEETVEGSKANRKTAAEAIEILTSAYKSSNYSEKTTDQINAMTHMDGVSFRKREQASFSTKLLVLTRRSFLNMHRDIGYYWMRLAVYMGIGICLGTIFYQVGYSYSSIQTRCEVIMYTTALLTFMAIGGFPSFVEDIKVFRKERLSGHYGVAEFVLSNTLSATPYLAFIAIVPGAMMYYLTGLTKGVDHFAYFVINLCICCLLVESMMMVIAAIVPDFLMGIIIGAGLQGIMMLNGGFFRLPNELPKPVWKYPCYYISFHKYAVQGFYKNEFMGLSFPSDQLIQSNVTISGLQVLKDKLQVEMGYSKWVNLVILCGMMVLYRMLFFAIVKIAEEVRPRLGGKRGCVR >Et_3B_029527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25761296:25763762:-1 gene:Et_3B_029527 transcript:Et_3B_029527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRAVAPQLFASSSHPIHPARPVAAVPRSNAAPQAIRTGRGKLALAAITLDDYLPMRSTEVKNRTSTGDITSLRLITAVKTPYLPDGRFDLEAYDSLINMQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTRIKVIGNTGSNSTREAVHATEQGFAVGMHAALHINPYYGKTSTSGLISHFEAVLPMGPTIIYNVPSRSGQDISPEVIEAISGSPNMAGVKECVGHERVKCYTDKGITIWSGNDDECHDSRWKYGATGVISVASNLVPGLMHSIMYKGENVSLNEKLLPLMKWLFCQPNPIALNTALAQLGVARPVFRLPYVPLPLEKRIEFVRIVEAIGRENFVGQKEAQVLDDDDFVLISRY >Et_4A_034756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6142694:6147238:1 gene:Et_4A_034756 transcript:Et_4A_034756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KQRKIQLSQAKTASYADTAPAASPSPSFHKRQKNLEESSRPTPIETILLVSLSSPVSLSPRPTPPASNLFLSLLAAPNKPTRRQHTRRAPEIPRSGTKEPHCGMAAADIVGPQLVGIAVATLLSAVFLAAVLGRRRRRQAPVVEGKPVPEADCAVAGGEVAAGDAGTDVIVVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVQEIDAQRVLGYALFKDGKNTKLSYPLEKFHSDVAGRSFHNGRFIQRMRQKAASLPNVQLEQGTVTSLLEENGTVKGVQYKTKSGEELQAYAPLTIVCDGCFSNLRRALCSPKVDVPSCFVGLVLENCQLPHPNHGHVILANPSPILFYPISSTEVRCLVDVPGQKVPSIASGEMANYLKTVVAPQIPPEIYDSFVAAIDKGSIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRNLHDASSLCRYLESFYTLRKPVASTINTLAGALYKVFSASPDQARNEMRQACFDYLSLGGVFSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPLPSPKRMWIGARLISGACGIILPIIKAEGVRQMFFPATVPAYYRAPKDKTAPAALA >Et_8B_059343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16917139:16917600:-1 gene:Et_8B_059343 transcript:Et_8B_059343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAQLKDKFFGLVGRITSCGRAGAGHKDAAGATEPKSAPSQHTEIRSRGGAPNVSGGSKPRSN >Et_10A_001690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6987415:6987944:1 gene:Et_10A_001690 transcript:Et_10A_001690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNICECLRELSFWVKLAKNGKRKGANPNKTSIKKKAKRTTPDKDKPVTISIEMDANKKKHDNNINGVKSKARDQLETWLGKQKNAKHTVYNVHAANTPASGFRPPTGFILPRIHGAVPPNQSFSYHMYLSNLHCLDCHHRCISGLSSSSGIVIPTTG >Et_8A_056869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18118409:18122427:1 gene:Et_8A_056869 transcript:Et_8A_056869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKLAKPALALPACGRAKAWADDSAAAHGSGSPTRYSLVTRESQCTDAKPNTGQNTSPRTKVLRKTKSQPAHPLLFPPVLLCSLLRLMFGSDRIMGLSLFLVLFLVLQPVLPLPSASASGDICIVGAGISGASTAFFLTNYTTSLAGSAQLRVFERRAKVGGRLGTVSLAGDSFEAGCSIIHPRNLHARRFADLLGLAVKTTGGDGDDWLGIWDGKAFVFQTLRPPPPGASWWRRKLHGLLNSLLLLRRYGLSLLKMDRFVQELLQRFMLFYNGFETRPVFTTVEEMLKWTGLYGLTRRTLEQELIDAGLNSQIISELVTVITRINYGQSTRISGLAGAVSLAGSQPGLWAVQGGNWQLAAGLLKTSNATLHLQEGIDSITDAGDRYILKSNTGNEYNCAVAVVATPLDEVNIAFTPPISVPPRKMQHTHATFVRGLLNPEYFGLKSVYDIPEMIGTLEVPDTPFSSISVLKKYGEDDMAYKLFSRGKMDDDLLDQMFSARKETIRINWAAYPHYEAPEEFAPIVLDGRHLYYVNTFESAASAMETGAVAAENVARLIISRLPLPNYSTPRIKSFTEEEGEEGSSRRHVDL >Et_10A_001172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21824394:21829838:-1 gene:Et_10A_001172 transcript:Et_10A_001172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEATGARRWLVDITRWRPSPAQFDAATALLPPHEQPAIARFVKEDDRKHALVSRLLQYSLVHQVLGIPFHRITICRTAERKPYLQNENAAFRNFNFNASHQGSYVGIASEPFCLVGLDIVSISKPQGETVTDFINNFSSDLTDHEWNCIVRAGSPNEVLTEFYRLRLDVDIEGLGIELRSMPIQPRH >Et_1A_008235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5516234:5520296:1 gene:Et_1A_008235 transcript:Et_1A_008235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCERISCAMAGEADGNIQTGSRSAPAALNERILSSMSQKHVAAHPWHDLEIGPGAPEIFNCVVEIPRGSKVKYELDKSTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDSDPMDVLVLMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCAEDPEFRHYKDIKDLPPHRLQEIRRFFEDYKKNENKEVTVNEFLPAKDAINAIKHSMDLYGSYIIESLRK >Et_3B_028661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17869343:17872950:1 gene:Et_3B_028661 transcript:Et_3B_028661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRTRKRTRQAWDGDAAPPPEREVVPRGGASPPWREDDRDGHYVFDLGENLARRYKILSKMGEGTFGRVLECWDRETREYVAIKVVRSIRKYRDAAMIEIDVLNRLAENQRYRSLCVQIQRWFDYRNHICIVFEKLGPSLYDFLKRNRYQPFPVELVREFGRQLLESVAYMHELRLIHTDLKPENILLVSSDYIKVPSSKKSSQDEMHFKCLPKSSAIKLIDFGSTAFDNQEHNSIVSTRHYRAPEIILGLGWSFPCDIWSIGCILVELCSGEALFQTHENLEHLAMMERDLVSKKADHSRAALVDLLHGLLKFEPSERLTAQEALDHPFFRNPT >Et_1B_013007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4714049:4717781:-1 gene:Et_1B_013007 transcript:Et_1B_013007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSANEGIEGVRFAVTGGQGFVGAALCLELLRRGAREVRSLDLRADSPWSQQLVDAGVRTFKGPSLVPHHFAGFRLLRDIRKKDDVGRAFRGVDCVFHLASYGMSGKEMVQAGRADEININGTCAVLDACHEHGVRRLVYVSTYNVVFGGKPIVNGNEALPYFPIEDHVDAYGRSKSIAEQLVLKSNGRQAKGDTSTRLYTCAIRPAAIYGPGEQRHLPRILSLAKLGLAFFMIGGPDVKTDWVYVDNLVLALILASMGLLDDIPDRKGTPVAAGQAYFICDGSPVNTFQFIISPLFRSLGYAIPQVTLDTSVALTISRVFLFISTLFYPWLDSKWIPQPLILPAEVYKERKRRELDGPTIFTWLAVILGMFCVFSAACLPPVGPLKWILDIHLLVFRSMLVIRLVFVVAVALHFGEAVYAWFLAKKVDPRNATGWFWQTFALGIFSLRFLLKRARG >Et_3A_026704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15541484:15549293:1 gene:Et_3A_026704 transcript:Et_3A_026704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNKQLPAVVLVICAVVLALAAPLLAGDPDMLQDICVASPPRVAFGNVPTATSPHMARKSLPLEESRFGCQA >Et_3B_031626.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6337053:6337583:1 gene:Et_3B_031626 transcript:Et_3B_031626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVVSLLPSAIAVLIAMASWGALGSDDDAGALLAFKAGLTDRGSGVLASWNGSTDFCGWEGVACSRGRVVSLSLPSYGLAGVLSPAVGNLTFLQTLNLSSNWFQGKVPASIGRLTRLQTLELSYNAFSGTLPANLSFCTSLLLLRLSSNRFGVSPLSSVTGSQAFRSFHLQTTV >Et_1A_007426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34360764:34373650:-1 gene:Et_1A_007426 transcript:Et_1A_007426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPGRYVRRRDEIDDGCDDVLGVDVGGAAADTFDIPAKRAPVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRVKGIGNLLKTNLEKGIHGDEADLSCRANAFGANRYPRKKGRSFWGVKEGWYDGASIAFAVFLVIVVTAVSDYKQSLQFQHLNEEKQNIQVEVIRGGRRIQVSIFDIVVGDVVALKIGDQVPADGVLLSGHSLAIDESSMTGESKIVMKDQKTPFLMGGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLTVAGVVLVVLFARYFSGHTTDTSGTVQFVKGHTSVKSAIFGSIKMLTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVQSIVGGTKLQSQNSSPTFESISSTVISLVLEGIAQNTSGSVFKAEDGSVEITGSPTEKAILSWGVELRMNFEEERSKSTILHVSPFNSEKKRAGVAVLVGDSVVHVHWKGAAEIILALCTSWLDVDGSAHEMTTDKADEFKEYIEYMAEQSLRCVAFAYRTIDLKDVPSEEQRINWQLQDSDLILIGIVGMKDPCRPGVREAVELCTNAGVKVRMVTGDNLKTARAIALECGILTDPEASAPVIIEGRVFRAYSDAEREAIAEKISVMARSSPNDKLLLVKALKKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFSSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMMRPPVGRREPLVTNIMWRNLFIQAVFQVAVLLTLNFRGRDLLHLTQDTLDHSSKVKNTVIFNTFVLCQVFNEFNSRKPEELNIFVGVSRNHLFLGVVIIIEFLGKFVSTVRLSWKLWLVSIAIAFVSWPLAFVGKFIPVPETPLKDFIMRCWPRRSKQGKWCVLPS >Et_4A_034737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:598714:607121:1 gene:Et_4A_034737 transcript:Et_4A_034737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAEAQCPSGDPMDFSWTAGVGEEAVACASHDRDEEATSAPALAPDLSPEEVAESMILVPGARVVASGLRLGDCRSDDSVLFINAGGHAIEGCDPAVKVSGDSFFEGGDVIQTNESLAEGGDCPSLYHSARHGSVSYKFDGLVPGDYFLDLHFAEILYTRGPKGIRVFDVLVQDEKILSQLDMYAVVGGNRPLQVRDIRVTVETNGVLMLNFEGVRGSPMTRASKLISKYEKQIEELTSQCTMKSNECYMAWSSVDSTNLELGRLKIELHQKGVEMESLEQALGRESDQLRSVSRNYENDKKLWVAAISNLERKIKIMKQEHALLSLEARDCANAIPDLSKMIGAVQALVAQCEDLKLKHYEEMTKRKKLHNIVQETKGNIRVFCRCRPLSKDEASSGQKGVVEFDGASDGDIVIANPGTTKKSFKFDRVFTPKDDQAVVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTETNRGVNYRTLEELFKIAEERKETVTYKLSVSVLEVYNEQIRDLLSTSPSSKKLEIKQDTEGSNHVPGIVEAKVENIKEVWDVLRAGSNARAVGSNNVNEHSSRSHCMLCIMVRAKNLINGECTSSKLWLVDLAGSERLAKTDAQGERLKEAQNINRSLSALGDVISALATKNSHIPYRNSKLTHLLQDSLGGDSKALMFVQISPSDSDVSETLSSLNFASRVRRIELGPAKKHVDTAELQKVKQMLERAKQDARIKEDSLRKHEETMQNLETRLKGKEQLCKNLQEKVKELEGQLASKAQSQITSEKQQRQLSGKLKEKEELCTALRQKIVELECKLKQPSQSDSEVAVLKQTIKELELKLEEQEHMRSAVELKIKEQELNLKEQEHQRSVAELKNKELELKLKEQELKAREIGHELLEAQKTESMLHVKVLSCNSILHLRGESNAKLRLSVFQLRELENNLQERTKVQDTNTVLDSTTSTTVATPREAKLPLVSKEEAMSEKENRVLRSSNSLNKQLVSENSSLPEAREVVNEKKRKGDARNASIGGEENSVAAGQNVARKKSLPGEPRAKRKSTEPQVKNVARPTASSRAAAAAHKAAPNSRVTRQPAGGTKTRGWVSYVPRDDHRRRPPWRGLPPPEPERSRALYLRLSAPAAAAAPAELSLVYLPAIGGAALALNGRALPPAAPAELKLQPVAGDAAAYASADRVAAAEGARFEVYSGKERAAEGVFVRLPGDGGWRVECRQHGSTAAGVAEVVVLAEGGTLMRAKARASRRGLGCGATRLEGIPEEATDMGWGCECGLCGEDWEVVGDGDDDDGAEMCKEDEEEVEAQTVRWAMEMGVWAVCLGVGLLATARRFRRKRAFW >Et_7A_050904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12178586:12180982:-1 gene:Et_7A_050904 transcript:Et_7A_050904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIFSFLPSHSDRNTVSLVCKVWYEIERLSRRAVFVGNCYAVRPEHVVRRFPNVRALTVKGKPHFADFNLVPPDWGGYAGPWIEAAARSCVGLEELRMKRMVVSDESLELLARSFPRFRALVLISCEGFSTEGLADIASHCKNLRELDLQENDVEDRGPRWLSSFPDSCTSLVSLNFACIKGDVDSASLERLVAKSPNLRSLRLNRAVSVDTLSKILLRTPNLEDLGTGNLTEEFQTESYLRLTNALEKCKMLRSLSGFWDASPACVPFIYPLCHQLTGLNLSYTPTLEYSDLTKMISRCVKLQRLWVLDCISDKGLQVVASSCKDLQELRVFPSEFYVAGYSAVTEEGLVAISSGCPKLSSLLYFCHQMTNEALVTIAKNCPNFIRFRLCILEPRKPDAMTHQPLDEGFGAIVRECKGLRRLSISGLLTDKVFMYIGKYAKQLEMLSIAFAGDSDEGMMHIMNGCKNLRKLEIRDSPFGDGALLGNVAKYETMRSLWMSSCNVTLKGCEILASKMPMLNVEIMNELDGSCEMEENSVDPSKVEKLYVYRTTAGARDDAPNFVKIL >Et_4A_033763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26645577:26647477:-1 gene:Et_4A_033763 transcript:Et_4A_033763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADVDVGTELRLGLPGGGAEAAKAGKRGFEETIDLKLTLPTAGMEEAAAVKTEPAAEKAKRPAEAAAADAEKPPAPKAQAVGWPPVRSYRRNVMTVQSVKSKKEEEPEKQQPTANAGSNSSAFVKVSMDGAPYLRKVDLNMYNSYKDLSIALKKLFSTFTTGNNMNEGKLVDPVSGADVVTTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKSSEAIGLAPRTKDKCKNKS >Et_8B_059621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:256960:257796:-1 gene:Et_8B_059621 transcript:Et_8B_059621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSCTAPLVLSLVVADVAAAAAGGAKPLTPGGREEVHHNHGKFTAGPWKPAHATFYGGRDGSGTTAGACGYKDTAAEGYGVQTAAVSAALFADGAAVMTGDHRKATSWHVLPKDWQFGVTYQATKNF >Et_8A_057100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21067959:21069820:-1 gene:Et_8A_057100 transcript:Et_8A_057100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDLETAAAPLLPPPASSLPDDPPPPADRLGLGYLVFFTLGAGFLLPWNAFITAVDYFSYLYPGAPVDRVFSVAYMLSCLLPLLLIVLCFPKSSAPARINTGLTFFTLALLVVPAMDAAYVKGRPGLYGAFDVTVAATVLCGVADALVQGGVIGFAGELPERYMQAVVAGTAASGVLVSAMRVFTKALYPQDVHGLRQSAILYFIVSIVVMVICIVCYNVAGRLPVVVYYKNIKRRAQKAEVGGGMTGPAWRSTLWSIVGTVQWYGVGVILIYAVTLSIFPGFITEDVHSEALKDWYPILLISAYNVFDLIGKALPAVYLLQNANVAVTGSFARLLFYPLFYGCLHGPSFFRTEIPVTVLTCLLGLTNGYLTSILMILAPKAVPIHHSETAGIVIVLFLVIGLVIGSFVAWFWVI >Et_7B_054772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4358879:4363526:1 gene:Et_7B_054772 transcript:Et_7B_054772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSGARAAFVLTALLLGLCFSAPLMVAGGSIGEGLEVTWGDGRGSVSPDGEVLTLSLDRTSGSGFRSKDTYLFARVDMQIKLVPNNSAGTVTTFYLMSEGPWEVHDEVDLEFLGNVTGEPYTLHTNVFANGTGGKEQQFRLWFDPTTDFHTYSIQWTQQHIVVLVDGTPIREFKNHADQGVAFPSTQRMRLYGSLWDAEDWATQGGRVKTDWSQAPFAAQYRNFTASPSSSGYGYGQEMDATAQQQGLKWARDNYMQQPYLLRCSGRSAKRPAAQQKHLYLVVDDWERGYSVYRADEDDHFDSCSLDTPLVRMEAEHGDSRSLVAHGTKILAMQPKQTSPGGIPAFDTETLGVSVYPDPRSRRDGYIGGKPVYASCSCSLSRHPLLLVIRDEEPWSWTLVGQPPFESVNVSSYAVHPDGRAVFLSLVNWRPIGWGIPSNTDQDSTFTFDMESLELTYIGEWVLPFKGQAYYDGELDAWVGLCLYPEHAGRVCCCDVPPADGCEAMPAWKLGRDVLFDTGRPSQSDDMHLGATLVHKGDGRFCLLESRTPTDGDPSKRVRVVKMDSFALKYGDLRRTRHRAHASVSYEVAHKRFDRSDNPPTLLGEPIGEPDGVGAVVVNHVLVPRLPQLLLDVAEPRAAAVEPVAAERVLAAAHPREAAGRAALGAVVLEVGHERRLRPVRLDAAALRGPVVGVPQDAVQPHRLVHRERHAGVPVVLELTDRHVWKSSVGSNQSRNCTSLPPTPLAKMLVCRVYGWPVTLPRNSRSISSWVFHCFSEINCATQHNSFISQRQRNALTVHTT >Et_1A_007900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39315452:39325759:1 gene:Et_1A_007900 transcript:Et_1A_007900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEAREAADGKEVQVEAVDLEPVYMQTNLDGKLSSMEIKDVGEIIDSTEGKLKIPEDHILVEAPSDIKLPTEHNLNGTSSLNGHMNEEDKISNEQLHEDNRKDEKQVDAPLDGESTDQSNKINGEVNDSLNHRDTTTEDSSLLKHEKEEEPKGDDQQDEDPMQEDTLKTDSDVGQPDQILDPVKATEDRQPSSLANIPCVEVVAEAPAGVQTPVDPSLDESDAIPDTINGNTEKDEPAKENSDIHLDHRESFPEDTSIAEHIEEVVKIKGEDQQSKQADAMDADVMPEEMPKSEHSDESTIEAQEVLKQEPSEETNDPEQDSVETNSTETAETQCVKTAPSSQDDKVKDDVTEAGSTIGSQEVDNAGSTEEITENIAENIAEVSNVAIVDEENEEKNMLRNEDIAEKHVQGIEPEDTKNIEHVETEEDSDQRHVSLLDDSAHEDNTPTCEKQQMESASEINEIEATITEAVPEESNISISEEPSPEDYVTKNETTCDTTEGNITECLEEIEGDKDTKTREISNESNMDSAGKSTQENNVTESEPTSDIQLEQELESEEMKDTELVQVNESSHEINATVFHKQTQENNPTASELHVMESAGGVSNIEATEVQATPHQFNDDHSEEKATEETTTSTEPQTLEPESVENMNHVVATEPQSISQQSISPFEESVPEEMAKEDDVTIEQDDGHQQPQDLELAELNEIETYNSEEIASSSIVSNSEEPTLEDNATVTEPSPETQADNLQSAGVEEDAEYVKSHGALSAETAPEENVETEAVVDTSPVQEPELEEAKKTEPVGTEDNITLSDLPEERMDSETVDTEAIPQESLVESTIEVTEKDALTAISPHSDIQPVQEKESFEHTNTTEKPRETQHIVGSASDELTPTEDNTTIAESACDTPLQNVTAHEIKNSEDAQTDQFSNLDSGPTPGETAQESKLSIIEPTSDVQEMQELGSTEESRVIEAVGTEDHQEHRVSTLEEPAVDNEPNVDDQQVHQGRLAEIRDNEAMEAEEVSEQSSIESPENAPEERSELGNYPDCCVQPAQQVESSRDSEEGKLLKEEDMSDQKVVTLDDTTTEDSVADEIDPSVGEKEHELKSVEEVNDISVNEDKGDLHTSQPDALDKVASEGNIATTEATPDIQQLNDFETKEMNSIEGSNDGDISCSQTKTATSVDPYPSNNEIASGEHPVEPHEENLGNEINNATVAYGMKDEIQTSVELKDDTFDLGGTVPTTKKSNSTTDVDAIHSSGEDKLESSNSNDQINEEQKTGTEQNSSQMSSEHQGENITHVQDKDINGEVVTEICTAEASQAVIGDDTQASQDVTENDDTIKSDEQTSDTRQSDGVEYQLQTCESSVEDEAGQKVSLDEQHKEDEEVESKKEQLQADEQKHEDMRDDVTIEPFVESKNKENGTTMTAETDTFEAEQTEAVVTEIAKNEEALPTSEDSTPSITVTTDEYVGTDKGAEGENRHNDADAKMDNQEEQENKEMGNIGAINSTNEQDETTNEDPIAVARNVESGEHGVDKECINKINDDVLAIQESEKEIVAEVQEVQNEDKAVHQTESQIKVEEEETSQLHSSESYDVDNKMDDTTQIADSIQYNPTIQPREIEERGGNKGLSSISKPAVETSEQNNVEDDLRIHHKVEDEKLESTEDNAVELEATQQKFNTSNADTSNDDQLPISNSLAKHETESTDDVLQLETEGNASDKVDETVSYGKTEASNTSAPETVTFNREIHDKATEAERGLSDESFKTFDDTRRNLDVSSVVTESNEESMNEKIEWHKFDLPAHPTQDENTHEQDILIIPKQEESLASLPVEINLEYKLAVEQEKKDAKCSEETCEVAEEQTHDLKLATETSLETDAEFNKSSHNLEVPPYQDNMKDEISNELLSSEAAEVVTKMEANDIEISNIEQNGGKFDTICEENMKGTTQIENGSLKKNQDDLTNTKASEENTLGEGQTGLLPECLNDARSADAVFEQTLPLTESGTDAKISPTEAGSVENPVNEIHDKTNEATGTPHIQVESEEDKAEEKQPATTATGEVAEESVKVSNDNPQKNIVPEATSNEHEPPIDEQFSDTEIPLVHEKKISEGSRSTFMDEKEGSNVPVQGLENIQPAVEIQVDGSNMQISQDKQDAADNQTAMEPGRLEESNVQEIQENDTEQKFPKECDDEGQKLLVKEEALIKEADVHETVESHAEAAVETQADGSNMQISQDKQEAPQNQTEVEPGSVEESIIRELQENGTEQKSPKECDGEGQKLLVKEEALIKEEDVHETVESHAETVKAKSNEEQELSGSKIQERDINVFLPKEAPEAAESFMSTGKLEFRTDEKQSPMAAESFVDTVKPEFSTDEEQSPKADQADMSEQKTNEGKTKDEDETKNSTDEATETEARGAGQKSVHKKQNLLSGIKHQLAKVKKVITGKPGHKKPESPKS >Et_4A_034535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4160123:4162340:1 gene:Et_4A_034535 transcript:Et_4A_034535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEVAAAAAVDTAMETEAPAAAGQKREREEADAAAAEGGEAAAAEEAAAAKKQKVEGASKEENEGKPVKLGPKEFATAVDMFDYFFALLHSWTPEVDFNKYEHMVLEDLLKKGHSEPTKKIGPGIEAFEIRNHPVWKSHCFFVRRVDGSADDFSFRKCVDNILPLPEDMKIGNKKSGNHHKNGGGRGGGRGGGRGGGRGFRGRGRRGG >Et_2B_021205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27410491:27414126:1 gene:Et_2B_021205 transcript:Et_2B_021205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCSGCCSRARPIKGQADADARQKVEASIIQFLLHSSPPHLLYPVPSRQIDRNSGDHAAQTPSIPSQPLSMPSPAPAALLGLSFRTKKQRSEAGAKRRRRDRRRRQPRDERSVSCTTFNILAPIYKRLDSENCRESQYRAYWFSRNEKIIDRLLADRSSIICLQEVWLGNDELVDMYEKRLGDANYSLFKLARTNNRGDGLLTAVHKSYFHVLNYRELLFNDFGDRVAQLLHVESAKPFLQSRGNSCVQQQSLIVNTHLLFPHDHSISIVRLKQVYKILQCIEAYQEEHKLGPMPIILCGDWNGSKRGQVYKFLRSQGFVSSYDTAHQYSDSEEDAHKWVSHRNHRGNICGVDFIWLLNPNKCRKPLKTSWNEAVFGIIKYLLLKVAFLSAENAFALLKADSPEDHITYSSFYQALCQLGMVHPDRLNSEEIKDLWSEVDRDGDGVIDYNDFQRCIWSPNCCSQEEDDAEIDVSDGSLETLEANDEAFGFTVKEAVLFPPEVEKGMWPENYSLSDHAPLTVVFSPVTMPCSPRTPGTL >Et_5B_044775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5616095:5620411:-1 gene:Et_5B_044775 transcript:Et_5B_044775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVASLPLPSRAHVPRFLAARTTRCGLPPRVLSPSPSASPLLSSAPARFSSGRPASFNFARERRRDTARACTQANAAGPVPLSKTLANLKDSCWRFLRPHTIRGTALGSIALVARALIEHPELINWMLVFKAFYGLVALICGNGYIVGINQIYDIAIDKVNKPYLPIAAGDLSVQSAWLLVILFAAAGFSIVVTNFGPFITSLYCLGLFLGTIYSVPPFRLKKYPVAAFLIIATVRGFLLNFGVYYATRAALGLTFQWSSPVAFITCFVTLFALVIAITKDLPDVEGDRKYQISTLATKLGVRNIAFLGSGLLVANYIAAIVVALRMPQAFRQPVMVTVHAVLAAGLIFQTWVLEQAKYTKDAISQYYRFIWNLFYAEYIFFPLI >Et_9A_062977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7779216:7785992:-1 gene:Et_9A_062977 transcript:Et_9A_062977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGLTQPDLPSSWDKAPCEHEKPIADDKTLKRQPKKNTRLCGAGDSSLASLVFVTVGVLWGFIHPCFALFLPPLLLNYHLMGLLGFPQDIESKEKLQSSVQADDGEQEEQINTRITDVPLGDSGSVSASSNDNKKVSREDIELVQNLIERCLQLYMNKGEVVRTLSNRARIEPGFTTLVWQKLEEENSDFFQAYYIRLKLKKQINLFNHLLEHQYHLMKYPVPHQVPFPHAQNGIRPMPVNNLPMGYPVLQQPGMAAPGQPNVSSMAYGPPSNHVVNGIPAPGGYHPICMNSGNGMMDSETHETAHATTACSASEMAVSPSSAMSSNHVSFTPSEISAMCVDESTGNATFGADVGNGGPLQIGPDGADGSSLGQQIWDFSLSDLSADLTNLGDLSALENYSGNPFLPSDSDLLLDSPDHDDIVEYFADAINGPSQSDEEKP >Et_2A_014885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20108796:20109902:1 gene:Et_2A_014885 transcript:Et_2A_014885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKFREDDRPLLRAKVPVGVLGLPFLSGLAAGGDAKDLRFDLSTAFPTGPALRLSYRPNDPLQPFALSVRTGLGPLGSPAGAPFALAAEFNVLSSSPPSFSLLFKPRVGDFGLASSVRSAAPAPLAIKMADLNANGDDHDRDAHRAFSFSGNGIASNVAAAAGKGGGGVGALLSGMRLTTRSVLPLWSKASLRFQWGLRVPPELKAALADDGYGRKAGDLAISKLPLLVMNKITIEHTPKAPPQPEADKKKKKDSPQAGKGEEFSLMKKQLEVLNTESSMLRRAVEDLRAEIGSGKGEGRRLSAALPSPPQPFLMKPDRHSHGNGKELGNDGLKSVSSDEASEELKKALEARRK >Et_10B_002748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10435629:10438823:-1 gene:Et_10B_002748 transcript:Et_10B_002748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAALNGAAFVALCVMLVLSSLGQELPRASLCCTNSCASFCNSSCTAAANQTCDIQIPIAEQKCFLGCNSSCPSYCAKAGCSCDDGSWSAACRRSCVLNRFQVGCNMYSFDTIYMWCWPSCSTTCYKNCIIASPCRRWRRTPGRRAATGQEGRGRVLAGEEPGPGACDGVDCRGCGPRRRAATGAAECGGEAGAEGRGVVDSVGWPGCGGFGWPVLRRGGEAEAGPEHMPEQRGRGARSAAEAGEGGRGGGGRWTAAGTGSRRRGKRWRAVEGTGGVGRAAGDGRCRKFQRRKERIGRERGTRFWLAPPPVS >Et_2A_015096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29723078:29724124:1 gene:Et_2A_015096 transcript:Et_2A_015096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASSKLALLAVSFLLLLLVPSAAAARVGASIAKTIDAFQTQHLELPDMIYGPESVAFDGNGTGPYVSVNDGRILKYGGESTGWTTFAPLGLRFHHDSGYLYIADAYMGLMRVGPSGGEATVLATMADGVPLSFTNGVDVDQVTAQHEMVTATQDSTGRIMNADGTHLVVALTGPCKLMKYWLQGPKANTSEIFADLPGYPDNVRPDDKAIRIGANDEKIQAMRGPKSVRPTEVVEREGGKIYLGSVELSYVSIVST >Et_2B_021655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4036452:4046752:1 gene:Et_2B_021655 transcript:Et_2B_021655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASSAVAVLALWVSIAALELFSSLNNKGTTNSAQAVLNLLPLAAMGALLTGVASIYRQLRHAAGGARTELAAVIVSAAVSLLLLFVQPAADADEGPRALELGMVAVHVLLPAAAATTFFLGVALVFAHPTSRSTHQDPLRPSTMVSVSFAASAALFLALTAVELFRFLHLPSVPSASTSPPQASTLDRAVESTASDIELFFPFLQVLVLLAATGALFAAVAFLHLHNAGGGAMGTGNKRVSEIATFLICGAAGTLKFILFVLQPAAVGDVGALVRALGAAAADALAAAATVTFYLSITLVIAHIRAGGEGGGGDGAVVAGHGRFPAPVDLLKKLTIGAAAALLIQMAMALFFK >Et_8A_057162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21855614:21858627:-1 gene:Et_8A_057162 transcript:Et_8A_057162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHWGLNSGAARPFGDRRWLIPFLASLLVTATILLAALCGLFSPPSPTGGGEAFLFDLVSVDSWDDSPAGDGARSVDNNNDDDEDDNPDNAAVNSDASDAEPPRIAYLLEGTKGDGLRMRRTLQAIYHPRNQYILHLDLEAPPRERIDLAMYVKGDPMFSQVGNVRVIPKGNLVTYKGPTMVACTLHAVAILLKEGLEWDWFINLSASDYPLMTQDDILHVFSSLPRNLNFVEHFQLSGWKVMSRAKPIVLDPGLYLSKKFDLTMTTERRELPTSFKLYTGSAWIMLTKSFLEYCIWGWDNLPRNLLMYYVNFISSPEGYFQTVICNSEEFRGTAVGHDLHYIAWDYPYPKQHPLILSMKDFNKMVKSGAPFARKFPKDDKVLDKIDRELLNRSEGRFTPGAWCDGNSEGGADPCLSRGEDTVFEPGPGAERLRGLMKKVLSWDYRNGSCSSLSYDQTKRDWYLPKSKG >Et_7B_055487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11767243:11774123:-1 gene:Et_7B_055487 transcript:Et_7B_055487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLIHKFPIPPVCLLFLQIHNGAVATNRNKETSCTCPTTETNLHAVAETEQERIASAAVPLAEHFALVLGRKPNHSHGVGVAAVNQGAQERHRLHAQAQIAREHADNAREHAAALEEEVQRLTQANMQLRDDMESQREELASQRRTVEAQNADMERLMDQKLEERMNALARIAARTISAPNSTSGNTPYSYFVNSARQIACVARSGAPPGRLRLAGLEACT >Et_6A_047678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9502880:9517492:-1 gene:Et_6A_047678 transcript:Et_6A_047678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRRPEYFPIRIFDPNYIRIFDTTLRDGEQAPGASMTSAEKLAVARQLARLGVDVIDAGFPISSPDDLDAVRAIAVEVGNTPVEDEGHVPVIGGVAHCNRRDIDAAWEAVRHARHPRISMLIATSEIHMQHKLRKTPEQVVAIAREMVAYARSLGCVDIEFASEDAARGSHKGRSYNSHDPRHCWIHSSLRIWNLIADIKANTPGIENAIIASHCHNDLGLATANTLAAACTGARQLEVTINGIGERAGNASLEEVVMAIKCRQELLGGIYTGISSQHITMTSKMVQTIVCCPVAFVLVQEQSGLHVLPHKAIVGANAFAHGSGIHQYKGTYEIISPDDIGLTRANEFGIATCETPGLSTATVKLIDPNGEDKIVCSSGTGPIDAAYKAVNQIIQHITSPNYSSIPINTNSIDGRQKTLKVPQTPPSRITIQTNMSRMKTPI >Et_2A_016943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29728513:29729058:-1 gene:Et_2A_016943 transcript:Et_2A_016943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVVNRKQSKGRQVIKIEYIPDKEKRQVTFTKRKGGIFKKASELVLLCDADVVVVIFSEAGKPYALGNPSIDDVLSRFAPLTCGDEDDAPALIQGVADRGAVEAIERQVEEGKALVAAEKLRLRAAGDKKFWWEVDVEALGMEELPVFDSALKRLRENLRNHVKKMLKSQ >Et_3B_030101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30515237:30516098:1 gene:Et_3B_030101 transcript:Et_3B_030101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IMAAFNKLGSLLRHTALTSGSSVGSSPAIFNAARLMSTKLFVGGLSWGTDDQSLKEAFTSFGEVTEARVITDRESGKSRGFGFVSFNNDEDAKAAIAGMDGQELDGRSVRVNIANERPAGPRSGGYGGGGGYGGGGYSSGGGYGGGGYSSGGGNRSYG >Et_5B_045350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19480002:19487739:-1 gene:Et_5B_045350 transcript:Et_5B_045350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLAFTAAPLLQQERAPASYGCFDVSVCIWVEAKEGWWGRPTALPQSIAFVGMLYSLEKLCCAVQTLRYSCWPRYCRSQLADLRFHIPWLSSDTKIADHPFGLSLTTGVPCRGAPPSGLGGTLSQAITLAMLKLSASFSGVSGLTNETLFTAHSLFPAIWGAELANTSVLDVGMWNEPCGLGLAALAVRFHLNDLAWFMDQSNCPGGPAGSGPGALALAPCPDRHEVGRAEPRGCHNGVVLRRLVEGDQDRDGLADVHIERVVDVLDRVRTLHLGQPQRVVLDPEVDGGLEPDIGDTE >Et_4B_038099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26276348:26279792:1 gene:Et_4B_038099 transcript:Et_4B_038099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVPDLSSDFSQKLLKDLRRRRERLGFESAAAQRSTANVAPRDAYSNSQKPLQKQKPQQAAPRVRKSEATTNRLQPQHRQGSNATSGAGKPRNRGAPPIAHSNAIVPFQGGGKPKPAAKTDVDMQMTLALALSNSGKLQLMESNGENYLLSPSAHVGKVAIGVQKLNDILMAYSSGAGARGFNKRGSVEVGRQLLKGAMDLEESLSMLMMLQEASDYMESSGKGKVLLLEGKESRRSSSRLPSSARLVEIVDENSEAKLGNDAKGSSDASLQIVPFGKSQGSSANRRSALQLATITNRSSGEKDDLKVRMPNLIAKLMGLENLPPAKAVTERKATERFVRPEAVPRTNATFGTLPIRIVGSEGVPSKGKLKKLMATEWNISLTKSEESDYATVLSNRSSHIRANKQTRQTMRHVLSNHESTYRRVSLTQVVDEKNLHEGMKLTEESKLKKTVGVGCHSDRKMNFLQIFRKNAKSKPVTVEKDIIQENNKKVGKMHAPSVKQSLGIDSEVKSRRKMEKFNKENLSSVENKAERNSCKKDQLRRPAQNKQNVDKRLQNYRQMQTKTANQNLQHKRSLKSEPTHTKEKLEYTGLMLLKNGECTKADDTGVGKPSYNKPGDDSIFRQPAEGRKDVGTTIGASSNQSEKQLPEETKDPTTAFAQTRAGSSAETTDDRVNQTASEDIQMLETFSEGEQQQQLHQTNDPSQNDLDHVSKSGDLTELKDHKIHVVSCKSFTENQLLLTEMLLKDQYLLETAKSITGIHVPVSTVHVNTGKWLDKGNKVLSDIGREIIRRKGKRTEAMMDVSVTCPANLTLQTLDDLIRELDGDIQSLNIPMEPHQQSNNSTAENLKMILRRDIESTHSDANSMWDFGWNHMWDLPIEKNEVVKDLEKNILGSIITDVARELIGVSICHGFCTCEA >Et_8A_057858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8451572:8457351:1 gene:Et_8A_057858 transcript:Et_8A_057858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLILTSKEAEAAPLDLVIKASTVKSKLLDRWRQGAEAKLPWNVETEAVVPAADSGTQNSLAECAAESFEFQKEKPPEACEALSSGLTPDSVLHSPVYKAQDGSKTQAIHIGKEESNHSNRSKDGNTQVCEVIDLDPSDDEEDLHAPECETLPSQRPMNGDDLHMEQPESASHAPGAMNGVHMGQHEPAQGESLWHYEDPQGDSQGPFSLNQLRDWRNDGFFDEGFRVWRTGQTKEHAILLTDALRMNL >Et_4A_034795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6594414:6597349:-1 gene:Et_4A_034795 transcript:Et_4A_034795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EMTSEGKRDHVGERAEGSGSGTGSGSVTWKPPMSSFMLAYLAKLVADGTRTSSGFKKVHYNIPNKRAKVAENEEEGLIGVIKGGSEKLADAILKASSDDVPPDLLEKVQSIPGFDDTHKAYYFAYLVQNPRMGRAFPTLPLTYQISLLAKFRPLPSNVQADKTTYTKNSR >Et_2B_019425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29042700:29045285:-1 gene:Et_2B_019425 transcript:Et_2B_019425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKPPGGKLRKPVTDKQRAAAEQRLEHLRARLSVRSLDNPTARDLPPPHEAALRALGLLDFARLDLASDAPRPDLVAPLVACYDPPCKRSFVRGVRVAVSRSDLARALYLPSKAASAASAAPPDVDPAVVAPVVLRLLQDYVLHPFQGDDMCILPPEVAAAEQAVREGSAHRVDWAGLIWGLIEKEMLELPNRDDGVCHYGLHLQRLIRSQKPNLFELAEEGDRGEIAPEASIDLDMDEEDEDADVKNKSLEGLDLGNDNADADARSQGLEEPELGNADARSNGLDVLELGDEDTRDNILEESEAMDEDGQKNSLDESEAGEEQPRGMSFDELDHRDGDAKGGNLDEFNLGLIAAQAMSNMHDMMPADNEDAAEVGPERGGDVAVPVEEEGEGPSVGTEVATQMEVVPVPEEVVDDEEEGEEDKDATGLSLGISSANGYDSVDLEEDVNAENLDEGDSGNEEADSSEDDAFEECGDGDGMNWRIGDGKEDGAFGGMQFENLNKGDEMRDELRFDDFSGRGSLERMSSSNLLQAMNSIPASYNVTENVHDLSSGEFLAMGSEAHKNGMDMGPGSSYLFGNNGKRHISDIDGYNGNMQVQEQFPQCNQQKRMRHSNSSSIPPGPADFNTNIVVPIQNLMVEASRFYEQKDQEIQTLQMEKRYVSDMLQEKDALIQSLNSARFEQQNRWQAQLRRFEHDLNVMAQLATGYKKALERTRASYDEYRKKFPCSKPYYADVPNGGGLVLSVREMERRQLDEERKKLDAANEMIEKFEQEWFPKLNVWTSSVHSLSSRMEDLSKEIRHMKETRKARLEAPASEE >Et_5A_041551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24257571:24257878:-1 gene:Et_5A_041551 transcript:Et_5A_041551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVPIPGGGDSFLAGWNEVGLWRAEEDGADCGTPGTPPSSCAWPCSAAGAYVFAHDADGYVFRIGLPALDVPSLLDKYYTALAGAVENGYLITAELGS >Et_6B_049483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5495317:5496147:1 gene:Et_6B_049483 transcript:Et_6B_049483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QRYLLCPPIHHTQTFPSERNGSGCDTRLLHGRLALDGGGRPEINCKGGGVLFVTARADAILDDMGRPAPSDELRRMFVPSDERAGILTMLQVTFFKCGGVCLGTAIHHMVSPRVCQLLEHMGRHREGS >Et_10A_001831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8960558:8962871:1 gene:Et_10A_001831 transcript:Et_10A_001831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRERSAVVAVAPASGAAAADSASSSSSGAPAAAAGGERWSSAIGNLGELGANVESLQKLLARKAVFVDDDIFSKASLASDQARTIKVFEQRVQSLERELDAAISAAARARTEKRQAEAAQRAAELRAQEVTKELENTSKVFALHMEELRLKQEEIAKKDGDIKVLEAIIRTLSSKDDGGSSE >Et_9B_066199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6926365:6929985:-1 gene:Et_9B_066199 transcript:Et_9B_066199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGDGFKSWRYKYDTKTIRQDLEARDIDGAKDEILDLVKKTVNGSTIYFDGWNGLGTTAVLRCVERELRSMKDPPPELRFGRIIYIDCSIWKSKRVMQKRIAEELKLDRETMDMFDKENEEDDFNGVNPASRDVIRDVARVIDRILRESRNNHTAELEREEYTAKWACLHNLSVLDLRYTDWGGILSEENMDLTVNIMELNIKGFMCWQFTSQLEGRLPNLERLRIVKPLRPAEASKDIDNSFRGMEKLEVLDLSGNNDIENLPTSLSKASNLQRVPVPSDSSADKKDVKTYVISLEGCTQLENLFIRGLPNLEELDLSGCAIKILDLKTMVVDVPRLKRLFLLGCEHLRAIIWGTEQLELELLCIDTQPGRVPRCDRSSIAHSKSVKWQVHVITADARLVRSLFTLINGASRNAICNIHITSSYVYGESSNDEISKPCDQQHNVVASASQYNDIFTDINGDALTPMEGLFPQPPTSELDDFMHIEIGGGSCNVESEVEYVPFVRNLCSLMVHVVTLHVHDTLASTAVPPTFFYILQWCRVERCRRLDFVFPPRSASQSLETIWASHLLMARCIWSKGQMRSLSSYCPCLQHLHLRSCPSLEFALPVWSSSFDNLETIHIIRCGSLRHVFELDKERMSSVLFPKLSTIHLHDVPALHQICEVKMHAPAIETIRTRGCWSLRRLPALMGRRPGSRRPTVEMEKDVWDVLEWDGVGAGDHHPSLYQAPVHSRHYKRQRLLRGTVLRYVSDDCSSCDYIWQLFL >Et_9A_061289.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:7204505:7204606:1 gene:Et_9A_061289 transcript:Et_9A_061289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEDIRKKKIMLNSAYRNVGRCSLDTCSHFVF >Et_8B_058784.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:4885927:4886550:-1 gene:Et_8B_058784 transcript:Et_8B_058784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEFIQPIVLTTVTVPLPAVWTRVKPATVLPDGAGRLPADTRGAHANSPVGCRTGREGGARIHLLMSASVTSPSAPLGFTTANGRATDDPMPTTEDAVLLLLQLSPPLDSFSVKRNETELSNSVAKWLLRFSQTGLAKQGCTPAGAQRLDEQLAPTVWHWAPLVVSLARGAPLPWDEEKQASSACGGVRIFSGDVGTVGETLPRLK >Et_3A_027373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9175692:9184099:-1 gene:Et_3A_027373 transcript:Et_3A_027373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYGTIPTSSSPGGVPLGGASPLDFISRAKARGASALATRRPWRELGDIHALGLPPSLGDAYLRVRANLAHFAMNYAIVVLVVVFLSLLWHPVSLIVFLVCMLAWLVLYFLRDEPLVLFGRVVGDGIVLAVLAAVTLVLLLLTGATANILSSLLIGLVLVILHAALHKSEDNVDDEAGRCIPAVQIANRHGDLVDGDCVSPAAGSIGSFGSSDVAGL >Et_3A_023419.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:26464532:26464945:-1 gene:Et_3A_023419 transcript:Et_3A_023419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSAAGAGKSLFQGLRRFFKKPWEITGPCASPEYLSALPGATEYRVKCPATLRDDRDIAIVPTSDPETVYDIKYYTRDRRRDRPPVRRTLLRKPDLERYMAAKQFDPVKDFPKPYVNTTVEEDDNAIGGGYQK >Et_5B_045085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9388881:9405915:-1 gene:Et_5B_045085 transcript:Et_5B_045085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWKENASPSPLHPRGASNPSPLLPCKRPLQSPSPCPPPRRPLADVSGIALEQRSGREYCGYGYGYSTPLPKAPRVCGFPVEEDDDMDDAFLQEVDAICEEHARSTASKEKKAAEGDPETVGGSFAASTIDNARPEVATIQDAFWEEVNGAFEERDAHSAVKGQDGPKEADAEEEEEESLVLSCDDDLLPPAISVTEDGGEFEDMFWNINPVREEHHTKSPSKCLEVTNELEGNDGLVASCGYVSNSPLVSTAKEGRELVDEYFGDVDTAIHEGHAALSVTKDQEELQEIEHDIKDEEGCTPSKYYEYLHSLNDRQREAACSDVNIPLMIVAGPGSGKTSTMVGRVLTLLKEGIPPPNILAMTFTTAAASEMRDRIGAVVGKAVAKEIPISTFHSFCLLLCRTHAEKLGRTSEFIIFGHGQQRRAVIEAERLLENGKNNGLGDTLKQHDGDIKNSFKDKAKKWLKFITQAKASGRTPEEYEKKGDLTGASVLRHYNEILRSCNALDYHDFINSSITLLTNFPEVYNECQDMWQAIVVDEFQDTSAMQYCLLKILASHSRITIVGDEDQSIFSFNGANASGFNSFRRDFPNHKEIRLSKNYRSTRAIVEAATSVIHNNTKRHHHKLVETDNPSGSKITVKECYSEDSQCAFVIDKIIETTSSSAEGCNLGNVAVLYRRQITGKAFQVSFRNRKIPFNVHGVAFYRKKVIKAIMAILRTTLPGCDDGPWRQAFKALLPSDKEEKKKILDHIEKISLARKCSLISAAIDIFSAKVSGTFKRAQITQGRKVLSTLDGLSKLVDREQSVSVIISSAGDMLPQKYLLEKRAVVDVDNGKLLNEDNDIRSVLQFLMDDVSDFLSTHFSSSIERSKSEEKGCASTLKAFIDYISLRETENFRSQKEENKNSITLTTIHQSKGLEWDVVFIVQANDSEIPLLHQFNGTVKEAGSTLEEERRLFYVAMTRARKKLYILHLLQPSRFLREIPVHLLEVQGEETLGKIPQEPSGDIPFDDPEGDTSFDKPNSLQAETLPYPELAHGCLANDFLKSFVIDERIRGKGYKRKDVLRKLKSFLNGDEAVGYAQYDHFQKQRIENSMGSLEPTVKQISYLRNLGCTITPTSRLHASHLIEKYKSL >Et_1A_004521.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12546011:12546304:-1 gene:Et_1A_004521 transcript:Et_1A_004521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLSSAYASLCSFVNDQLDQAEVRRLMESFPQLRDMPAEERERKLRWLMVELEGHNEHPHPEADGDRYANSSPSTLLNSVSASNCSSCALPECIQ >Et_7B_053907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13206713:13212132:1 gene:Et_7B_053907 transcript:Et_7B_053907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVSWYGPLIDLAAAASHVGGFVQLLGSVRSVTHHQEQNATTGRTYDKTIFEVGDDTRSSFSVSVWSSKHTSTIIAGDILLLQNIKIIEFRNGLEGRASQISAVQVLLNSEALMNREGIGELVSSCKVGDATRSKLRRVAEWTLRTKCALKESHHQVILKNWKEATAKESTNAWSISELLCQSKLCNMNVYASIGKMVLANSPTSHFKGQMSVLDKHSLKEHNDIVRDFITTGCKLCGSPLYQRNVNGENTSAIDCPDNPKYLHALGQIYKPFMMYIYDQSGQVPLLVRNKAAEILFANIVADDVSECFKSHQCMLLETCESGSVSPSGKIDCTGNNGIARRRKTEQKPNFHLIWLIMIKCLLGKNSPFCFQISVHPEKHSSATSSCLAVRLCEVSPCRRRNTAVSASAQLRRSLFGLTMKSSGMNNAFPIKGATNTHWSWPCKPIRRKLKNCCMCSLFRVHSFLILNLFDQLLTYLLLRAKWVVGAVIIAIPIYRRMRTLEDKIEKTAEVAIEVIDTVAEATEKVAGEVADAFPGNKDLKEAASKIKTITDAIEEDAEKAEALIHKVDEIKKEVDSIIDPIIDKIEKAES >Et_1B_009698.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:16638666:16642667:1 gene:Et_1B_009698 transcript:Et_1B_009698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAFLVAADRSTASAPSSSRPAKQWRKAMNVIRTCHRLARLALCSAGMLRRTGSYVTIKIHANDDPADAAGTSKPAAFSVAADDADFKNLVKEKRVDCLRRLGGGAGLAAALASGAETGIRGGDDDVRRRREAFGANTYPKRKPKSFLRHVVDALSDVFLIVLLVCAAVSLGFGIKEHGLKDGWYDGVSIFLAVFLVAAVSAVSNHGQAKRFDRLSRESDDVAVAVVRGARRREVSIFELVVGDVVVLKIGDAVPADGVLLEGHAMQVDESSMTGEPHPVDVDAERSPFLASGVKVIDGYGSMLVTAVGVDTAWGEMMGTITRESTDPTPLQERLEGLTSSIGKVGVAVAVLVFAVLTARHFTGSTKDEQGNPLFDRNKVTFNAVFSALVTIFQQAVTIIVVAIPEGLPLAVTLTLAFSMRRMVKENALVRRLSACETMGSVTAICTDKTGTLTLNEMKVTEFWVGHDRPVTTASRDVAGEVISLLCQGAGLNTTGSVYKPDNVSPAEVSGSPTEKALLSWAVADLGMDAGALKRTCKVLRVEAFNSDKKRSGVLVRDNAARGTVVAHWKGAAEMVLASCSAYFAADGTVRQLGAEDRKKLEKVIGDMAAGSLRCIAFAYKQVEHATRIDDEGLTLLGFVGLKDPCRPEVRASIEACKKAGVAVKMVTGDNVLTARAIAIECGIISRNNNDADNGIVIEGHEFRAMSPDQQLEIADRIRVMARSLPMDKLVLVQRLKQKGHVVAVTGDGTNDAPALKEADVGLSMGVQGTEVAKESSDIIILNDNFDTVVTATRWGRCVFNNIQKFIQFQLTVNVAALVVNFVSAVTTGKMPLTTVQLLWVNLIMDTMGALALATDTPTKRLMRAPPIGRTAPLISNAMWRNLLAQAAYQVAVLLALQFRGRDLFGGIGEKANGTVIFNAFVLCQVFNEFNAREIERRNVFAGVLKNRMFLGIIAVTLAMQVLMVELLTRFAGTQRLNLPQWGVCVAIAAVSWPIGWAVKFIPVPERPLHQVFARRKFF >Et_7A_052571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9502264:9505706:1 gene:Et_7A_052571 transcript:Et_7A_052571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGRIAFMAMAVALAAAAALAPAARAVSRDEFPPGFLFGAATSAYQIEGAYMEDGKGLCNWDVFTHTHPGGIMDGGNGDVADDHYHRYMGDVEILQSLGVNTYRFSISWARILPRGQLGGINSDGIVFYNRLINVLLQKGIQPFVTLNHFDMPHELETRFDGWLGAGTQEEFAYYADVCFRAFGDRVRFWTTFNEPNLATKFQYMVGLHPPSRCSPPFGNCTNGNSFREPYFAGHNIILSHAAAVKNYKENYQTKQGGSIGIVIAMKWYEPLTNSTADILAAQRAQSFELEWFLDPIFFSEYPRQMREILQSNLPTFTSEEKKLLRYKADFIGLNHYTAIYAKDCIYSPCNLQTYEGNALVLAVDQRDGVKIGRDTAFPGYYVVPEAMEPAIKYVNQRYQGTPVYITENGYSQWSNASREELMNDVKRVNYHKGYITHLAKAVRNGANVRGYFAWTLLDNFEWTFGFSVRYGLYHVDFETKERIPRLSARWYQEFLRGSNLTHEPQAWRADS >Et_4B_039956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6718050:6720377:1 gene:Et_4B_039956 transcript:Et_4B_039956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYHPSEVYELFVRHMNTPRVAVDNGVCATATLVQVHSARKHGVLLEAVAALSDHGVCVRKGYISSDDGRWFMDVFHVTDAAGRKVADADALHARLEASLLSAADALPPRPAPGSAAGGPSSSATLLELVGADRPGLLSEVFAVLHDLRCGIVDARAWTHGGRVAALVFVRDEETGAPIDDAARVRRVESRLRHVLRGGARGARTILAAGAVNLDRRLHQLLNEDGEEEAAAGCRDQAAEPPTTTAVAVQDWGERGYSVVTVSCRDRPRLLFDVVCTLTDLDYVVYHGTFDTDGDHARQLTGVCLAWPQEFYIRRLDGRPISSAGERRRVIRCLQAAIERRASEGVRLELRISDRRGLLAYVTRVFRENSLSVTHAEITTRGDMAMNVFHVTDVAGRLADPKTIDEVIQRIGTESLRVDEERWPRLCSTEGGDAGRGGGAGFFSLGSLVKKNLYNLGLIRSCS >Et_3B_029845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28391062:28392743:-1 gene:Et_3B_029845 transcript:Et_3B_029845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVEYPAGWEVIEPTIRELDAKMREAENDPHDGKRKCEALWPIFRISHQRSRYIYDLFYRKKEISKELYEFCLNQGYADRNLIAKWKKRCFTIILAQKSATVRFLQESCRLQRVFYCKVLA >Et_1A_008822.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14817411:14817854:-1 gene:Et_1A_008822 transcript:Et_1A_008822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANKIAVLAALVLLLHASSCAVAKRHQHPVPHPGGGGGTPAVMTVNGFEKGQDGGGPSECDGKFHSNKDLITALSTRWYANGKRCFKKIRITSVKNGRSVEATVVDECDSRHGCKDNIVDTSQAVWDALGLDSNIGEVPVTWSDA >Et_9B_065220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2524191:2526845:-1 gene:Et_9B_065220 transcript:Et_9B_065220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVTPAKASKNIELQKSVPNETNGQTSTKEVNNGEYPIKGVKPISTWICKNLACKAVIPSEDSFCKSYLKRQLVIGKDARRVDILCHRIYLTHKLLEGTSRFKELHEIIKDAKAKLESEVGPLDGMSAKMARGIVSRSSAGIDVQKLCSIAIQKADEWLSSPNLHLQDSLPAACRFKFADVTASSLIVILKETSSSKLIKGYKLWYWKSREQPSTEKPVIIPKDERQILVFNLSPCTEYSFRVISITDDGILGHSESRWFCENLHEGSCSISACRKLRFNSSSVPDLNAEAPMTMDNATKQYYHSKKRLVRSNDSGDSETCAVARNGEPPAVESRPDGKLRQLSIGCEHDDASAICREKQISGTRQLSEDYEHCIKVIRQLECNGHIESDFRKKFLTWYSLRSTDQERRAVTTFIKTLGEEPSGLAGQLVDSFGEIVNCKQLRTGFCNKLWH >Et_1B_010918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15473503:15479095:1 gene:Et_1B_010918 transcript:Et_1B_010918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVVDAGSKLLKAGIALPDQAPALVMPSKMKLEVEDQQLADGAVVEEVVQPVVRGFVKDWDAMEDLLNYVLYRNIGWEIGDEGQILFTEPLFTPKALREQLAQLMFEKFNVSGFYDSEQAVLSLYAVGRISGCTVDIGHGKIDIAPVCEGAVQHVASKRLEIGGVDLTNLFVQELKKSNPSINIDPSDVERIKEQYACCTEDQLAFEAIESSCQPERHTLPDGQVIMIEKERYVVGEALFQPRILGLEDYGIVHQLVTSVSNVSSEYHRQLLENTMLCGGTISMTGFEDRFQREANLSASAIRPTLVKPPEYMPENLAKHSAWLGGAILAKVVFPQNQHVTKGEYDETGPSIVHKKCF >Et_5A_040153.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:16218540:16222792:-1 gene:Et_5A_040153 transcript:Et_5A_040153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAALSPRPPPAAPTAADGDDTLHSLLASLSSPSALRLLPVPLLAFSRLRHHLPLASCATHLLLRPIASLLQLHHPDLRLGLQLHALSLSLGLSRHPLLLPRLFSFYSHHPTLLPAASSLAAGSTCAQPYNVLITACLSHGLPRHALAAYQEMADTAVLPDAFTYPSVLRACAETRDLAFGRAVHVRAADAGMDGHLFFQNALVSMYAKCGDLVAARRVFDGMGQRDVVSWNSMISGYAASGQWREAVDLFRRMRAEGAEVNSVTWNTVAGGYIQMRDYRAAIELIREMVKGGAGVDFVTLVIGSNACSRAGWLRLGKEIHGLAVRMHCDGIESVSNAVITMYARCKDMERAHLLFRMLRSPGLVAWNTMLAGYALSDGAEDASRVFREMVCSDVQPNYVTIVTYLALCARVANLQHGRELHTHIIKHGFKGYRLLWNSLIDMYSKSGRLFVAQNVFDTMDDRDMISYTSMIAGYGMQGKGSVALRIFSQMIDSGIMPDDIIMVTILSACSHSGLVPKGEELFDKMVSSYGIKPQMEHYSCMVDLYARAGLLEKAEEMLYQTPFPATSTMWAALVAACNERGNIIIGERSARRLLEMRTENAGHYVLIANMYAAAGCWNELATVRKLMRDLGVTKAPGLAWADLGNGFTPFLVGDRSNPLAPEIYEVLDELYEQMKNINNCSDLDILSMEELVD >Et_10A_001640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6329220:6330998:1 gene:Et_10A_001640 transcript:Et_10A_001640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMFTNLFMLRYRDVDPGIWMSCIKSFGIWVVSYSSLFLGNKNEYLRFLGWTLNDNSAGVRKASVLALQSLYEAGDNIPSLGQFTGTYHRRMIQLADDVDISVAVSAIGLIKQLLRRQLLSDDDLGPLYDMLIDAPPIIRRAIGELVYDHLIVQNIKTSQVGATGEDNESSEVHIGRMLRILREFSNDPLLSLYIIDVIWDVMKAMKDWKCMISMLLDENPAIELTDMDGRNLVRMLRVSAMKAVGERIVPAMDTRKPYYNKTQKVTMPFFRSYVALTIVSLLPFIIIFGAAVGQGVLKNRKREITNALLTRYPQLLRKYISDKAKISPLVDLIVLLKVDMYKRQEK >Et_7B_054160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16194998:16198008:-1 gene:Et_7B_054160 transcript:Et_7B_054160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASGSHCPTSRKNLRVLLPFSCRGLVSPTPSPSRRARGRRRLDSVPVVETADWSPLTLQRIPDELADDVGAGEALIVGPFAKVWPVEVARDGDGAFMGRGWSEYAAACGVGAGWLVILRHRGRGVLTAKAFDASCSIRELGTPIPHPVEAAMSSKDAPLKPQFICVLPPDSMEKMLIPPEFVQRYMPKENLDNAVAVILGPLGKVFHIKLEMNQSGHRWPQFLKFHNVIKDNVLLLRYEGSITFTVKVFEPNGCQRDCSKQKDIRMQQSIEKQQEASFASIQRCKSKSNQTSTIGQNKSNGSMASMIDASLQRKCIYDIGPPPCITKSSNTNAVKKPLLCTTEKQQVCTIEKQKEAPSASSQKCKTKNDESSSEEQKASSKTRCAYMTGPPAWINKEINTNTIKRYLALPMAFCKAIGLPDQTCMIMLKTTLSSSDSWQVRVLPYKNTSYQLGSGWKSFCRENKIKEGDVCTFKIVETRLWHVVVERQQALT >Et_1A_007588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36164806:36168543:1 gene:Et_1A_007588 transcript:Et_1A_007588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPELNRLTSRQQQERRRRHKDRARYGSITKPRKDISQCSKRSRFSGPNLPEMCSWEYTTIGFSFCSLRRHLVSYTFLSADARFCVARVSRAFLHAWTQHPYLILNRETLGSQIMKNHSGSGVKTLELELDRHDLNPCYLNGWLQVVITPGTENVTLILPEEYKEWFSFPCSLLFGRNGSSIQHLHLTHCAFHPTIGIGSLRSLTKLYLYDVCVAEDELECLLSNSLALMLLELKLCDDIICLKIPCVMERFKCLTVSGCDMLQIIESKAPNLSSIDFEGNLVQLSLGQSLKVKNLNMECSDEINILCYAVTKLPYIVPNLETLTLYSICETVNTPMVAAKFLHLEYLQIYLDGDLSPGYDYLSLGSFLEASPVLETFILGVKQNGMNFDSVFGDPSHMRQIPERKHGSLKDVTILGFCSAKSMVELTCHILENATKLESITLDTIFDQEDEDDIEARRGLIAIEKYILWKVPSTVKLDLSTSRVRSSYDDPPLSMALRAFSAYSLAFLRLSSASSPPPISADTARTTSPRATGTASSPRMNTGAVTPTGTPTPSTSTASLSFRNWSPKCGHVTTGTPCATDSSSEFQPQCVTKPPTARCDRTASCGAHPRTTSPRPATRSSKFRSHSCTSGSAASDSFTTHRNGSPASSRPWPTSNSCLGSFRARLPKLTYTTEPGACSSSHAAIAFRFAAAAAEFPRDAAASASSRLARLSGPTVHTGLRSDAS >Et_7B_053412.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:16918328:16918570:-1 gene:Et_7B_053412 transcript:Et_7B_053412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPAQAYKCTRLSANFHGWCFDDRHCNRVCLGEGNGNTGGVCGTNELKCYCIYDCERAPVPAASPDAANQNAGPIRGHE >Et_3B_029417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24886878:24888771:-1 gene:Et_3B_029417 transcript:Et_3B_029417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAGGEAGTQHQAAEESSTSGAAVPFDRSSSRLGAPGAESFDGALRELKDLRFQLNEAADCCEKAFLNTEKKKQILESTKSYICDAVVAVIDHLGTVSSKLEHKMEEKTEITQMEQKLNFLKQRLLTCEQYAVSLKLLTVRADPEAIQYHRRYVSESIQRTKEENGTSSSKEELPEIASPTVAVATLKPYDDQLATGKEQTMTAANVDESPRELRRSFSLKAEDVHFVLGDQKKKASHASNILSFLRKTRRHALGSKALQDCMEQP >Et_6B_049200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19288887:19291642:1 gene:Et_6B_049200 transcript:Et_6B_049200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHESAVAEEPQRGEGEEQLAFNLLHHFEQILINDPLIDEVGFLHPTQLGSLDFSQNGNSTTQATERYFWCRDHKLAISYEILPELYRAARNAYTSARTAHDPPLSVDLMRHSKALLILCPDLLTAWNSRKMVLSLYYGFTMLMEELQLCALILSYSPKNESTWSHRRWVIKKVAEQHQDMSHIIANESVLVKEIAEKSKMNYRAWRHRCWLIPYMTRKQVLDELEKSTRWSELHVADNCCFHYRRTLLLALRDNHIEDREDSLRLESEMHLRWKEELRWDEMLIRRYQGRESLWIHRRFLSLWWIQQLLTIEETCLSSTSLVDAFVIQEIHLLSECLSAPVDEFEEPCVQAELAQVPAVKEKLEGGLHSMGSLEEVLGRACRPEKRRLLMNLLGLAVNS >Et_6A_046525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16555088:16555788:-1 gene:Et_6A_046525 transcript:Et_6A_046525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GFKECRYVRPSDSRAGRYEEALAHLRIRKKTFDPTRKLSTLINVDLQDNLLSGAIPALLGAINTLKKYFGNSSCSLDWPSCS >Et_1A_009408.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4964927:4965235:-1 gene:Et_1A_009408 transcript:Et_1A_009408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLRNSRSVLSRLVVPHKPTAVYPSWPLPAQALLPVQYHYASGVPRDTAASSHRHFFTSQRREQLLRKITSRAVAAVGGTVEVVPYTNRSRIIMLSRNLDN >Et_9A_061185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21146183:21148051:-1 gene:Et_9A_061185 transcript:Et_9A_061185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRQVGEALGGIHALMAFADDLRMINPRQCRLLADACALAFAAVAAELRAGLRLLDYAQEARWWKPLEPLLRELHRAVRGCLLLPLDTRDNSWWARAAAATHGADCVEHHLHALLWSVAVAIEAVEEASASDHPDEAAAARRRVMLAKDLDRDMLDPRLFAQRLGARYLATTELASRMDMAWKEDRWLLAQHLESRKSPTSPELPPLTRHEHRLADVLTSPRGKVHPASVLYQGDFQIRRRLAGCLKEVQWLGDAFAVKHFVGVDNPAADEAVGAEVAALTSVAHPNVAHCRYVFHDEERRELFLVMDQLMAKDLAGYVREVTSAKRRTTPSIPLAVAVDVMLQVARGMEHLHSKNVFHGDLNPANVLVKATRGADNQLLVKVAGFGLQSGGVMSAASSPRPSPRAAAAATKCTEKADVYSFAMICFELLTGKIPFEDNHLQGEHMSKNIRAGERPLFPFSSPKYLVGLTKRCWHADPAKRPAFASVCRVLRYVKRYLLLNPPPPPQDQDAPAPPTNAPPFDYLDIEANLLRRFPAWQGNALAPRRRRAVPDVRVQGRGEGEGEGGAAGQQGQAGLRLRQRRQLAVRGRRE >Et_2B_018978.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20720514:20721003:1 gene:Et_2B_018978 transcript:Et_2B_018978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEERSRRRSSPRRHGGRRRSAEMALRRKVRELRRLVPGGAALPADRLLHRTADYIVSLRARVELLRALSDLVVTANHGSGRDAAASAPVAS >Et_3B_027872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24152374:24153483:1 gene:Et_3B_027872 transcript:Et_3B_027872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QDIWRHIHLLLPLRDAARAACVCRAFLCLWRNRPSLIFSNKTLGLNESGRGKDEIARDFTSIVDHILKKHLGTGLKTLKLLNAPKKYKFPSSILSHGNGDSLRYLFLDECAFHPTVGIGCLRNLARLDLFSVYIKGNELGCFLSNSLALESLDLRYCCEIRCVKIPWLQQLTHLSVTGCSKLQVIESKAPKLSSFDFDRDHQVQISLGETLHVKKLYIDCSGPICHSRAELAHSMPNLESIGIYSSSEIVNPSLASRKFLYLECLDITLRGLTFSQSFDFSSMVSSPSLKTFIFDVSCLYPSHSNNHGGTVN >Et_5B_045216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1229972:1230707:-1 gene:Et_5B_045216 transcript:Et_5B_045216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSHKFIPIALLVIFAVVAPNLQQSAAINAEEGAAKAGKTTHAVVAPAPSPHGAPMKLKWFLLPDFPCLPFLPKILLDLCHALFPPPSPSPPPRPQVKECRSSLTKKLVPPCTGFLTNSSESEPSKDCCQEINSFFDDHITTPYCLCHLARGSIGKLLPAPLITNRTVSVLVECDIGLDASSITGICDPKNEFPVPPIDDPSAPPRI >Et_6A_047808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17521186:17529856:1 gene:Et_6A_047808 transcript:Et_6A_047808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLLCRLGELAPVITMARPRLGWESATTALQNPVRTVPTRIQPRQATAVPWQGEARPKLMAACRTEGQRELKGWRLFDSRGVDNGAVHPTTIQGPEEVPHRDSRPGRHGQHPVLHALEPVVGQELKKITNIHYQGAGHGRHVHPLAADEHLQAAHAVLEQHREEAGVRVLRGAHRKTRLRARWVVVADHDDAVHATGVLLQVRGRPIASDSSSISVEANFCTCGVYSETMPWHAGGTSSGGAGFKSMDRVSRQLAAGDSKRSKLLSYLTRLVRSLYGFFASAGSSWNRLTDASVSVRSSSGTPWLTTWKKPAVSEVAFTWATRSDLAAATSMTGTLLPATPC >Et_6A_045817.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:15170341:15173436:1 gene:Et_6A_045817 transcript:Et_6A_045817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCQTAHLTLLIPFLQTISYGRSSQSIENGSSEAPLRPLDVGEAMGMLREGKTVQSAMYVPLLHRCAETGGLGAARAVHAHMVKTATAADMFVATSLVNVYMRCGACEDAHRLFDGMPERNVVTWTALITGYTLNSQPELALEVFVEMLEAGRYPSHFTLGGMLNACSALYNVDLGKQVHGYTIKYGAVSITSMGNSLCRLYAKAGKLDSALKAFRCVPDKNVITWTTMISACAEDENYVELGLTLFLEMLMDGVMPNEFTLTSVMSLCGTRLDLNLGKQAQAFCFKIGCKNNIPVKNSTMYLYLRKGETEEAMRLFEEMDDVSIITWNAMISGYAQIMDAAKDDLHARSRGFQALKIFRDLNRSEMKPDLFTFSSILSVCSAMMALEQGEQIHAQTIKTGFLSDVVVNSALVNMYNKCGSIEDATKAFVEMPVRTLVTWTSMISGYSQHGRAQQALQLFEDMRFAGVRPNEITFVSVLSACSYAGLVKEAERYFDMMKNVYKIEPVVDHYGCMIDMFVRLGRLDDAFSFIKRTGFEPNEAIWSSLVAGCRSHGNMELAFYAADRLLELKPKGIETYVLLLNMYISKERWHDVARVRKLMKQEDLGILRDRSWITIKDKVYFFRANDRANDQSDELYQLLDNLLEKAKAIGYEPYQSAELSDSEDDEKPASGSVKHHSERLAVALGLLQTPPCATIRVTKNITMCRDCHSSIKYFSLLANREIIVRDSKRLHKFRDGQCSCGDFSALL >Et_9A_062693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3300372:3301632:1 gene:Et_9A_062693 transcript:Et_9A_062693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARDAIVPFLHASAFLRLLAISHATIVPVAATLVPGAGASPDDPRCRPAAPRRGALPVYPSDMEQLQFLLNAKFVEAEWFLHAALGRGVDFLDRNLSGGGPRPVGARKADLDFRTTEIAAELGYQEIGHIRAIKQYVGGFPRPAIDLSADRFAMVMDDAMGARLDPPFDPYANSVNFLLASYVLPHVTAAATMGISSTLMGYLSKRLQASILAVEAGQDAVIRLLLYQRADEVVAPYQGHTVADFTRRISDWRNRLSGCGAKDEGVKVLDRQQGAERRTISNILGAGEDSLGFQRTPAEVLRILYGSRNEQIPGGFLPRGANGTIARGFFQLA >Et_2A_017712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5360559:5374881:-1 gene:Et_2A_017712 transcript:Et_2A_017712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFGWLRLASRSLALRTSDVAAQRSTWINLENAACSSTGIYISDRFYSGSKHTSFVLRRSFHATGQRCAMDKDYYKILGVSKDAPQDDIKKAFHSTLRDPSKREQYDMLFTRGSRGSSQDTFSGSNNQNHDPFTEFHRQNDGRFSSKFYKIFSEVFENDINMHAHDIEVEMNLSFREAAKGCMKQVSFSAKNVCDSCDGRGYLANAKIYVCPTCKGVGRVTMYPFTSICTSCRGSGKVIKLFSYRPVSDMMVFTGSLLDMQRFRGGIDSGDTIHVPEAGNSGGLGAQPGSLYIKIKVASDPVFARDGADVHVEKRISFTEAILGGKVEVPTINGNAEVKIPKGVQPGQVIVLRGKGLPNLAGYSGDQYVRFRIHFPSVVTERQRALLEEFAVEEATKEHNTFVAGNWWELVAENLTAQNVVVGVGILLLLHMILSKVNRNSPCPSRNEPQKQRWEQRTSVGAEISQCCHVSRLRLSTNFVVSPIDFPHFDNVSFIASTAPALTQYQNEIRTERNQHSAELSNARRIGEEAGPRDTYWLDSAAAAACTCVGESDVSFSLHVTVFCDGTGGGSAPSGAEAGAAAGCEEGSGAGSATAAVGTAAGAASGAGGAAELEASTAGCISAGGCCIWGAGRRWGPRKRRGMGWASRSVRAWGWLLVGGGKSQLARGVAIATGKCDPIASSRLRTRLLVLEAFSKVTRPGPFHTISSGLGGAMGSALANGDGGGAVGVRGYNRNIKLDGPFSLASHPLGITRERFVGLEPAARLGDLLELHIRVAAVYFRVALLLGTVERRHLRREEPSRVDVVLVVRGEPGVHAPVLVEQPLVVSDPAAATTGSDAARHAQGDPLADVPVAIPGGHALLAR >Et_3A_026687.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:14029169:14031661:1 gene:Et_3A_026687 transcript:Et_3A_026687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAVATALAVLAICSASATTAAAAAGDTVSARQPLRGNETAVSAQGKFEVGLFSPNGSGRLFYLGIWYKNIPVQTVIWVGNRVSPLSDVASAELRVSSDTGNLELVGLAPSSATPGVVWFSNISSSSSSSSPGSNVAVIRDNGNLVLVDGGNASNVLWQSFDHPTDTLVPEAWLGEDKLTGAYQTLTSWRNAADPAPGMFTDTVDPANGTSEFFYLWNGTRAYWRSGVWTGRFFANLPEAVNNVLFNQTYVETPAYRRVTSVLYDNATITRMVLDLTGQTKQFIWVPGTQGWQFFWAAPTVQCDVYALCGDFGVCSQRSQPPCQCPPGFAPAAERDWGLSDWSGGCRRNAPLQCAAAGNAGNSTDGFMELPDVKLPDDSVAVSAAQSKTECEAACLRNCSCQAYAFSGDGGGCAVWHGAGFRNLQQLYADSGGSPSSLYLRLSASELQHLRGGKRKKASAGLIVGVVLGSAAALAALALAAWVIVSRRKRLAEKASQKGSSLHVYSYGDLRAATKNFSERLGGGGFGSVYRGVVNNNNNNGHTDEVAVKKLEGLRQGDKQFRTEVNTLGLIQHVNLVRLLGFCSSGNEKMLVYEYMPNGSLDALLFRTSSAACLSWRERYGVMLGIARGLAYLHEGCRECIIHCDIKPENILLDKDLTPKIADFGLAKLVGRDFSRVLTTMRGTIGYLAPEWISGLPISAKADVYSFGMLLFELISGRRNSDSYSAGPDEAAGTDAEGEGKRPNSMFFPVWAAARVVEGDTAAVADAGLRGDVSEAELQRACRVACWCIQDQEAHRPTMAQVVQALEGVVDVHLPPVPRALQHLASLS >Et_4A_034833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:721807:724423:1 gene:Et_4A_034833 transcript:Et_4A_034833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDGGNGWDFLDWVGLDTSACIFRLLDDPVDLVRATAVSRSWRQFVIDNEFCKSLCLRICPEVANFTRAVEVTRSPAPPASESSQDAGLRRREKEHRIYSCLSGALVSTTPPSADCILHCLGASSTDNFPDETMENTLEPHDRVNHRPSYWSSGGADDPDVPESLTYRLNSDLCIIDEIRIQPFKAYFQIGHPIYSSKAVRVRMGHSKLAPGSESFVTDDDENVSVIADENYVWTYTSPEFPMLQENVLQSFKLPRPVLCIGGVVKIELLGRVQKQATDDSVCHAEVLGRSLSPDFMVDISDPAGYSILKYLPGTRNLRAEDIMSYDAADSMEWHSLVARYRQMRHLAMMNVLLGPVQFMDEDDGVHDATHDELYYM >Et_8B_060619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3461135:3469641:-1 gene:Et_8B_060619 transcript:Et_8B_060619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQKAPSFLDVPKDIPIATKSLTIRTSAVGCVSSSDRSNPISPALSLTPHLYSPSPPSSAFVSALQSPYISPRVLEPPLPPAAQPQPRQDSKAAGFSTTTTAAPSPTSCSNGSPSEDIDAPSASRTPPSERYDSGIDAAKISDGGGVGPLPPRVSFSFPVPRVSFTRGSVASPSSNAKLRSCDVYIGYHGNGGLGRFCKWLKSELELQGIASFVADRAKYSDTQSHEIADRIICSVAFGVVVVTMSSFLNPFSLEEIRFFAQKKNLVPILFDTEPSEIAGPFDGKLEDEGKEAFEGLMRCHEFKLEANESNSRSCVSRTVTLLRSKLGRKNIAEKENEASEGLPFPRNRHFVGREKELSEIEGLFFGSTVDIQEVDCPRGSITNDRSSGVSDGYADEESDSARTSNARYISLEMRKCKEPTLEAWIDPVIELSSGKGRGLQKQRSKHRRSRFRCNSKSYGSANVICINGSSGIGKTELALEFAYRYSQRYKMVLWIGGEARYLRQNILNLSMNLGLDISAEAEKERGRIRSFEEQEFDAFQRVKRELFRDVPYLLVIDNLESERDWWEGKDLHDFIPRNTGATHVIVTTRLPRVMNLEPMQLPQLSYIDAMALIQGKRKKDYPPEETEVLRKFDERLGRLSFGLWVVGSLLSELMIAPSTLFEAVERISLSDNLFPIGANDDGFCRNNSFLIKVLVFCFALMDRAKGGSLTSRMVIAGSWLAPAPVSSTLLAATASKLPMKGSGMHMFGESLKTAFLCGTHCFLAPNGRKAEVESSLLLVNLGLARKANRHPGCWIQFHPITQLFGKIRGGLAPTTAAVNGVMRAGNPSVYSDHLWASAFLVFGFKSEPPAVQLKAVDMVFFIKKTALPLAIDSFMTFSRCGSALELLKVCTNVLEEVEKSYASRMQDWNRGSLCWRKKLQPNHRVDEFVWQEVTLLKATLLETRAKLLLRGGLFDTENGLGQQELNNSWLR >Et_5B_044979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:835006:838335:1 gene:Et_5B_044979 transcript:Et_5B_044979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDNLFRNCVLNSLPASHFSVSYSIDISLLCSHVLQLAPFDPTKKKKKKKVVIQDPSDEVDKLAEKTETLAVSEPAELNFSGMKKKKKKQVDLDSTMADLGDGEEAQDDRALEEEQGEGIVLGGGPRYPWEGTDRDYKYEELLDRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVAQVGRRKA >Et_9B_064924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19860977:19864252:-1 gene:Et_9B_064924 transcript:Et_9B_064924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARPASLSVSGAFGLSPDPKRCSFDQALRREDFQEKRLLMSFVNFHEQEKFSKKLVTEAIEDCMKKQADNLLQSLDVISGRLSQLELYCYKLERSIGELRSDVMDYHSEATINFRCLEKEVKEVQKSVQVIQDKQELAETQKEMSKLQIIHEDSAQKSDGTAPSVLMARENELALVPLHQVNAGQSPAVPFQSCNGLILQQLVPVSLGTQQDQPRSNQTAMYCMQGQSHLEHRQAQPVQGAAQSLQPHTQNPQPHNVIEVPQCQASEFYLQPQQQWPHRTGQQVQSQARQPQPQVVQQQHYNNVQVPAQVVQLQTSSPQAHSAPQVALVYPPYGSRQPACVNTEARTRGMVVQPSYSTISSPQRKHHEVAPIYVQSSSISVPMAEQHQQPQQVHPLSNGSFGPHPSKAGPCSVASYMVQGSAQNYNTAYGSPSSNPPTVVAVLNQQSQASAPMMLHHLGPQAVQNHHVDMAERAARMGCSKDQAESIALRMVSAGQHAEFNPMHDRLSSVSNVVTPQAWSG >Et_9A_061244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24012484:24013122:-1 gene:Et_9A_061244 transcript:Et_9A_061244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRLARPVEPSTVQRSSSDGGGDVAVDNGGEARSVRCECCGMAEECTPTYIGRVRERFQGKWVCGLCAEAVKERLNREPDLTVAGAVDAHATLCERFNSTVRLNPKLSLASSMRDIARKSCLHRTATAPSPCGGDKIGRASSCMLPYV >Et_3B_028974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2182527:2183388:-1 gene:Et_3B_028974 transcript:Et_3B_028974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGVDQVVCVTGAGGFIGSWLVKELLQRGYAVRGTARDPDDRKNAHLHALEGAKERLSMYRVDVLDYKSLRAAFSLCDGVFHVASPMSDDDPEVVLAAVEGTKNVINAAADMGVKRVVFTSSYDAVHTDPNRSPDQTVDESCWSDLEFCRQTKVCHSSQYIDRSRDNNAYHYTATKF >Et_10A_001046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20575201:20582462:-1 gene:Et_10A_001046 transcript:Et_10A_001046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIRLVRGGGGGGDGEHDRRMRSGGWSVQQALAPDAAAVMRQAASAARRRGHAQVTPLHVAGAALAADAGLLRGACLRSSSCSHAEFQCKALELCLNVALGRLATAAAATDAVLDGMAASGGNKCVVVVGESAAAAEGVVKSVVDTVRKGNLELRHERLNNLQFVPVSFASFRRMATEEVEAGAGDLLLALVRRANSGAGKGVVLVIEDIGVAAEAWAAAAAASRGRSDRHGHDYYCAVEHAVMELSRMLRGGDHDHEHHRFWLLAFGDQASYAACKAGQPSLESVLEMHPVVVPQGSLALSPGGDSELTFCGTDTIAPAPASLPSWLRRCQGPVLSGSDLTLSFSSTAVASSISGCDPNTIPNPWHDHLEQRQQQWLNHGQVDPTSESHHDLQQRPASPDPGSSNSASKSISSGGVTEAAAARRRRPRFTELTAENLKILCSSIEARVPRHGGVAPGVASAVLQRRSGVATRRRRAAAPARRPSSAAAATWLLFQGPDGDGKAAVARELARLVFGSHDAFAIISPATEEFADKPPPADRSGSGQSSGGGEGELGRRRRKRPRPSSTAGYLQQRFHDAIRENPHRVVLIDGAAGRSDDDDDGIKSAIATGTVRASNGVDVASLEDAIVVLSCDCEVFESWSRALSPRKFKPSDDDDTESRGVTRPRLSLDLNACAIDDGDPLEEGGNSLDAVDILDAVDGVFSFQ >Et_4A_033388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22109451:22112192:-1 gene:Et_4A_033388 transcript:Et_4A_033388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSTISFSSPSPPSPPPPRAKQADLDAVSLRRLSDNLERLLDPAFLDCADAEIVLAAPGPGAAAAAAAAVGVHRCILASRSAFFLDHFAAAPAAAAGEKPRLELAGLVPGGSHIGRDALVAVLGYLYTGRLKPPPQEAAVCVDDGCGHEACRPAIDFVVESTYAASGFQISELVSLFQRRLSDFINLALDEDVVPIIHVASTCQLQELLNQCIERVATSKLDSRYLEKELPEDTYSKIKDIRRSISPDESDNSIEDPEHEKRVRNILKALDSDDVDLVDMLLKESAVTLDDAFAIHYAAAYCEPKVFAELLKLNSATMNLRNNSGYTPLHIACMRREPDIILSLVERGASVLEKTHDGRDALTICKRLTREKDCSRKLERYEERSKAYLCIDILEQELKRKPFLDPISIEESIATPLLVDNFHMRLINLENREAKLVMRIAQADSTQEFAGITNFSKLKEVDLNETPTMQNKRLRERLDALTKTVELGRRYFPHCSDVLDKFLDEESTDFIFLETGTLEDQQVKRMRFSELKEDVRKAFTKDKAVAAIASSASSSSSPRSEGRGKQGHRKPRPL >Et_6A_046186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8690109:8690603:-1 gene:Et_6A_046186 transcript:Et_6A_046186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDSHKRTRSTSTTATSPSKKSKSPYLKAMNKFVATSSANSDRNAVLFEQMASIKVATIQSVMESFLERQRQEEEREKLEKDRKRAETKQAKQLALQAGVKETSAEYYALSSICANKKLREFFLDKHQEELLSCKDGAGSTT >Et_5B_043742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15126644:15141307:1 gene:Et_5B_043742 transcript:Et_5B_043742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLETIAQVAGVDAFSLITLIVRAANTASQNKKTCRQLAKQVEQIRELLRSLENQPGIAITQRPETRAPFLELHETLRRACTLVESCQKGGYARQFCTGGARAANLRDVQGRIDSFLRLFPIISHIDSTRLLVQVINSAAVPPSPAPSSSNKVFTSTISFSRFCSRLQNFSFSQLMTATDNFSFENQIEQGPLATLYKGHLCGNDVTIRKLSFSSSGQQLPQSVSGYQLFKNEVKILPKLQHKNIVKLMGFCAERSERILVYEYMQNGSLEDVILVRMTGGPTVEWPVRFHMVEGVAQGVVYLHNHSRQRIIHRDLKPSNVLLDSEMNPRISNFDLAKIVSEDSDQGIADCVVGSWIFHQNIWKKVPSRSRLTCIALAS >Et_8A_057434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:303848:309172:1 gene:Et_8A_057434 transcript:Et_8A_057434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRRRGGNHMCPRRAALPAAVALLLFLLAAVTLLYVSPPPLSEHPALASSPRRRRPTHALKNGPTVVDDLWGSKLASKFYGCSNSSSKFLDSNITTQPDRYLMIVTSGGLNQQRTGIIDAVAAARILNAALVVPKLDQTSFWKDASNFSDIFDVDWFISSLSKDVKIVKELPVIGGKLLTPHRMRVPRKCTERCYMNRVLPALLKKQVIRLTKFDYRLANRLQTDLQKLRCRVNYNALRFTAPIQEMGEKLIKRMRERSKHFIALHLRFEPDMLAFSGCYYGGGEKERRELGAIRKRWKTLHASNPEKGRRQGRCPLTPEEVGMMLKALGYGKDVHIYVASGEIYGGARTLAPLKAMFPNLHTKETISSKEELAPFLKYSSRMAALDFIVCDESDAFVANNNGNMAKILAGRRRYFGHKRTIRPNAKRLYPLFLNRRNMSLESFSSKVRMFQKGFMGEPKELRRGRGEFHENPSTCICERINDKKVTKFKPQNDTQSEKAIGEPAIPKHADADEDVGESDEDEDTPAEKETVDTEVDDDVLVRPDDPEMEQILSD >Et_1A_009486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6447577:6452085:-1 gene:Et_1A_009486 transcript:Et_1A_009486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLPSTAAASLRAPLHQASFSRRRSALPTAVRATANSNSTPQPPILSSLRLAASAAVLLAATSPALACTPSPPPPTPAPAALTDTVSPDESVPEEDSHPFEELIAETAALVRFGGADLARERLLSAAPGVRESCARLLAAQKLFVDGKVEEAIAAFEELAQEDPADYRPLFCQGMLYFALGRTEESVSALVRCREVAGDKFEPDFPKGLSPADVPVAAVAEPVAEEAEVEEAKGSRRQRVATKPQRIPGQDKQLRIRESPDPRSFSSITSSSLRAISGVMCSFQSPTSPFRKKAEFLNMDQPIPSTPSRLASTLVNRVSKL >Et_1A_005370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:113485:115704:1 gene:Et_1A_005370 transcript:Et_1A_005370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQEDASPTLPGGAEEYVRDSIHSSLGLPVSDRSLRLKLLASEDQRRRLQDHVFALEEDLRTAHRRIELLKAESAMNAAGLRRCVEEKEALTAAYNDLSAKSAKECALYERDLERAMESCDELARENDQLRARLKQDATLEALTAQVQDLQKHKETLKTNLDIAEAENKRKSSSLKDGSPVGRAIDFSPVEADSSRHPLSPLPHNSPDYRAHKK >Et_2B_020590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21604340:21604772:-1 gene:Et_2B_020590 transcript:Et_2B_020590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPPRPPPFGWCFGDPVRGPAERRRDQRCGCGSCSAPRLRSASDHGSGLFDAALNGWRRPFVTHFIGCAPCSGWQNPAYSTESCGGGMRAALDFADNQVLRPYGFRHAALGNDTVRPLPFDYPAAPERRR >Et_7B_055027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6534524:6539625:-1 gene:Et_7B_055027 transcript:Et_7B_055027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDDEAKATEGVACAGIIPGECDVVASSRTRTISGSPITAATAAATILKCQRCVRAWVCCTDRPGLLSVLGRAVQAASVPHPRRDRHRRRPDAQRAGALAGCLRRQLPLPTYISAEQMRNEDVIDPVTGKAISSKDKGIVKLVGEKAQEFEGDFVSIDKVEQQTFILVKNKYSVESNAPINVQVTYLVLKRRTKARRIPLV >Et_6B_048234.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:14450051:14450452:1 gene:Et_6B_048234 transcript:Et_6B_048234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAGGRTPRTGVAGTMGYMDPECVVTVSPKCTASSRRRPQDRSRFPTASGAWFRGYGSRTTVGASWTLLTPDWTASSTPGRWRTRCSSGYGALTLTGTSVQPFGRSSTCEDADHNLRAAGRCGSRRRRHLL >Et_9A_061901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17653004:17664425:1 gene:Et_9A_061901 transcript:Et_9A_061901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAIVLTIVARVFAIASAVLGFVAEATKLTPDDIYYSGGKCVYPAKPAFALGICAVSLLLVAQIIVSLAGKGGASGSRRVMGNVCYALSWVAAVIAVALYLQGVVWNAATTRRAEARGIRFVQCNYLKFPVFTRAALLSLAATALGIFSYMLLRAPAPAAAAPSTTAPALPAVDAAPGPKPDVPPPPPPPTGEAVVVPVAELRWNPPQRSLPQREAQPAYERITMDVGVIVLCLVVGSFGVASAVLGFIADAKRLTWYDIDIDVYEDVCRYPANAAFVLALIAVPLLLVAQIIASLAGGYCGCCRPQHGASESKRVIGIIAAVLSWFYLNGAVWNAPVTRNTDTWCRLLRAGYFRLPALLSLAATVLAILSYTMLRARAPESRPSTALAPEAGTSQPKPDVPQEPPVGEVVAVPQPQYVHGHGHDPRQEQFLWEDTVVHQTHNALTHLSVRRSPHL >Et_6A_045827.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:16320577:16320894:1 gene:Et_6A_045827 transcript:Et_6A_045827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLSLVGKTASGKCIQSCISECPSNCNAYAESSCQGYKDQDNARCVSNCDMYCPSPCGESPEQCKKNICSNYVGPLYKACQGGVFNQCKDNCEKDCKGNKVNN >Et_8A_057003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2049378:2052693:-1 gene:Et_8A_057003 transcript:Et_8A_057003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFVALSRGRMKWWLRRCFKPQDSGSGKRENTQASASASASATVSAGSDDEHPCFNLNVETFSFSELSAATANFSENRQIGAGSSGQVYEGRLPRFGKVATSIEYFIVTMNKVAVKRLSFGGSSRRGIEQRKNEFLKEVYVLNSMDHPNIIKLIGCCSEGMEHLLVYEYMPMGTLRKCLSDVEIDLDWKTRMNIALGAARGLEQLHLRANPPIIHRDFKASNILLDKDFQPKVSDFGAAKIAPAGDELFAHTVGMKGTPGYIAPEYALCSSLSIRSDIYSFGVVLLEIITGRKAIDNTRREGDQNLAFWARDKLNDPNNSEELVDPRLRGRVSVDVLSMALAVAKNCIMESDVDRPEIQGVIDGLLCVISKSCDLGASSSSSASRQGVTLQET >Et_9A_061857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17164041:17168058:-1 gene:Et_9A_061857 transcript:Et_9A_061857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGCRVLLPVVVAPAVDDNAEENGYPVDAWHQRKIPDSFVWPRADALPSSDTELDAPVVDVGAALRGGDGLRRAVEQVAAACASHGLFQVTGHGVDPALARAALDGAAEFFLLPLATKLRGRHAPGNVTGYAVAHADRFAEKLPWKETLSFGHRDDHPAADSHVVEYFTSTLGSDFKPLGYCQSMKEVSLAIMEVLGASLGVGRSYRRDFFADGCSTMRCNYYPPCPEPDRTLGTGPHCDPAALTLLLQDDDVDGLQVLVDGEWRPVRPKPGAIALSNGRYKSCLHRAVVHRERERRSLAFFLCPRGDRVVRPPPRLALAQPRRYPDFTWADLARFTQRHYRADDRTLDAFARWLQDDGTSASPSAGNPQ >Et_9B_065085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21016639:21021807:-1 gene:Et_9B_065085 transcript:Et_9B_065085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVPEDLPKLGKISMQIIFGRKIVRKDGSEGKDVSIEPVKELQREDRELWICYSSLHSMSRLRIYESLESKLEKRTWVLDGYPRGTMYDFYEQYWRPFGALLVKMETEGMLVDRCYLSEIEKAAIAEQKLAENKFRKWASKSCPDAMYMNVRSDNQIRQLLFAGIENSRRPGETWPQSKTFKVKVLNEENVATEGKKTSKYRTIDLCGIVKGLKTAKFTPKTGWIQISVDALRGLAGKIPTEYIYTMDDIQEDDEDTSGSENPDGDSSYGTAYEAFGGGKNGKEACHAIAALCEICSIGSMISKFILPLQGDHISCAEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGSSLIVADYGQLELRILAHLTNCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVHENKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAGGLKKDWKVSFEEARDTLLLWYRDRKEVKAWQESQKKLAREECEVYTLLGRSRHFPKWTQVPPRERGHIERAAINAPVQGSAADVVMCAMLEIERNARLKELGWRLLLQVHDEVILEGPSESAEVAKARVVECMSKPFYGTNILKVDLAVDAKCSKSWYAAK >Et_6A_046421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14839355:14843993:1 gene:Et_6A_046421 transcript:Et_6A_046421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPRAPFLLLAVAAFLALAASVAAGAEDDASTISLGRRAGGFLHGLKKKDALVDGDHGVALDEVGPGLFDALLASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALAALYVMTVLSTGLGRIVPNLISRKVTNSFATVLYLFFGLRLLYIAWKSDPKGSQKKEMEEVEEKLESGQGKSTIRKFFARFCTPIFLEAFILTFLAEWGDRSQIATIALATHKNAIGVAVGASLGHTVCTSLAVVGGSMLASKISQRTVATIGGVLFLVFSVSSYFYPPL >Et_6B_048434.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:3853035:3853076:-1 gene:Et_6B_048434 transcript:Et_6B_048434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLETQELFVFL >Et_3A_026141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4869344:4872306:1 gene:Et_3A_026141 transcript:Et_3A_026141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPKFIFVGLLLPLLASSATSWEDEDFLKICSSHRCSKHGPEIRFPFRLSSNPPSCGAPGMLLSCSGHDTILDHPVLGACKVTEIYYRFGIINVIPLADSSSQCPLHKLVSTNQSNDVYQPISLGDSVLLGCQMDFISANQDEVVGPSSCVSLSNNASQFWYLVTPETDMSTLPMGCVVVASDIPIPYSCDKNGRRYDTPFFRRSLFKEKAYRTINFGETSLNWSIDNITSVCQKCEQDGQHCGFSSNRGQAFCQRHGIILNPDPILLYYVILSCTQLNFISFSSHVILIAATTSAATLVVLVATALYLSLKRRYSEEIHLKVEMFLKTYGTSTPIRYTFSEVKKIARRFKEKVGQGGFGSVYKGQLQNGVPVAVKMLENSTGDGEEFINEVATIGQIHHANIVRLLGFCSEGTRRALIYEFMPNESLERYIFLQDSNISQELLVPDKMLDIATGIARGMEYLHQGCNQRILHFDIKPHNILLDYSFNPKISDFGLAKLCARDQSIDITLNRQTTEEEKEKVKQLAIVALWCIQWNPKNRPSMSKVVNMLTGRLQNLQMPPKPFVSSESHSMV >Et_9A_060996.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:3388759:3389031:1 gene:Et_9A_060996 transcript:Et_9A_060996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALLLLPLMVLLPTMASARRSPPPRPSTEAFTVLRKVPRGPDPITSDPPPPPRGHMSMDGLPVLRVVPGGPDPITSGTPPPSVARST >Et_4A_032625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1191443:1195170:-1 gene:Et_4A_032625 transcript:Et_4A_032625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLKLASPKPLTSSASPLLRGRRAQARPLPARRRLPPPASVAVQSPVAPASPRIGSFDKVLEALIGGADFSEEEAEATLRLLLEEKNEARIAAFLVLLRAKGETYEEIVGLAKAMLSCCVLVDGLDDAVDIVGTGGDGADTVNISTGATILAAAAGAKVAKQGSRASSSACGSADVLEALGVNIELGPEGIKRCVNEVGVGFMMSANYHPAMKIVRPVRKNLKIKTVFNILGPLLNPARVPHAVIGVYHEDIVTKMAKAAQKFGMKRALVVHSKGLDEISPLGPGYILDVTPGKIEKMLFDPLDFGIPRCTLEDLKGGDPAFNAKVLQDVLAGQRGSIADALVLNAAASLLVSGKVSSLHEAVALAQETQRSGKAINTLEAWIKISNLNIEHDTSPIPCLYRAPGIE >Et_3A_026728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17374208:17376724:-1 gene:Et_3A_026728 transcript:Et_3A_026728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQRARTRLLSGSVVSGGGGRSRARCWPSLHLEAAELVALGEAGHRGAFVEEEKSEEGRRLARELGVARAELALQYRSSSASDPAAEDGSDAAALPDRRGGSMLRDGSNRQDKAGALTCQTSTPHLAHAREAALAAASAARSASANSTSLPASGMTSLTASCAQNRSAHAASRGVAAASRTGRPVTISAARSHPTYEPRTMSMNPTPSPPKKGLPLPPHAAKASSSSRASAAATCAAASAASPSIIPRSVRSGVGSCVAPRTVGRDKRKKKSRAISC >Et_9B_065323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3880396:3882844:1 gene:Et_9B_065323 transcript:Et_9B_065323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATPSKRAKTAAFAAATPEKPRKAALTDQVLTPEKPEQRPTARGSNVAFSVKGTRRPEKRTADKEDDLESLEKELGVGAGAVPNPVKSKTQVKLPVSYEMLCEFFNCLESSTRLLRMKGSKATFPNICASIQHLSERRFTYSHLAQLKYLMPESIVINKILLRDETTCCMKPDLQVNLLVGAVESVAKQKGETAYSALRRIFRQRLVDFFKDHPEGDDIPEHELPHPFNATILSVPQAASRIVPESISAIETSELTGQQSAVMLHMSQSFKRRFSRSSSISSTTASTISSVAQVESIVLSPFSRNTLYHSNASGSLSIDVISNAGKDQVPEEDDKDVVSKSGVSEGTPAGSVSTPVRLMASTPDLMTPKRPISATGYDTPPLKMVKRSARAKLFTTPTKAASSMDCAKLNTSMSAADSDDESLSFLPQSLLQSVKAKEQRAFEEKETGFADQVKRQKLIASLPSTFDVIFLIYQSRQRSVMTKQELIHKIIASSPKIMDRSEVEEQLTLLEEFVPDWISVKTARTGDVLCCVDAMLSQADLRQRLYSAK >Et_1A_006753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27079216:27089327:1 gene:Et_1A_006753 transcript:Et_1A_006753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDDTDFQSQNFQLAGEDNSKFSSGLRPFALPKLDIDDQLQNHLRFDSLVDSEAFFSAQGHDSSWIEALSTGSSIVDFSSSATESCSISKANNVWSEATSTESVEMLLKSVGESEMTGNMDGNAHNQLSGMDSQINPSNEQPKSRDSPTNSTVAPTEKDQSQSTCSGMTGGPECSQNTHSRKTEDPSSTQSKLDHFAPFSMDEKGIVSEKFSSHKTLESCPAAGNYFEVVHDERSLNRLNMPSAELGSGKLNNEPFPELAPLHNIYVTDSYHFDHVNQESEVAVPQDSKICHIKENKVEGGLLDLQNLSRTGQPLGAVNLSNQVSNEHLLPGSSDGLLEAITNPVKMLQRIDDTSKTVSGTLQASFSPLQHTAEGMKTSAGMSNELVDKEFDIGSNSALSHQSEPNLRNSNPHHVTSLPIESSNMNLSPKRNLDLATTVLEETKNTGADSTNIFIGDESKHGVLGDHQNSVDHPKIGAMEENIIREDVSEVSGNIKQMVESDHEENITGVTGTSNNNFDSSDNIAPDNNSAESLHTSEDPNIPSVDHEKLKEGDTPALGERPENTHLVLSSSGPQENSSAPLISSSGNIISTPDTDTFDGSKDKNDRSEDVSVDGSSALLPDEKDSRESTMNHEEPFKEDAKSNLEDEDNIISPGSVPRVEIPAASMSPNTDAACGDAASVAKEAEYKEHTISLGGLTAEEIRDKSDLATPSPSSLGTSSEKAVEKAAGTQQIAKENLDAHVQDTVLTPVIDHSPATVLSQGKLGSSGDGISADATSGSPTVISCTESSPQKGGHGCSSVVHQTLDKLSENVTDNEASADVTKSLKQFSNKNAKSILASEETNTAGDDRSFSFEVGGSPNVSEKAHSSAWSPFPGFSASQSTEVVTENPQPKSPGRSLNNSSDDSKKTSVTEAGKEPLPERKVTESSAGASDNSINGDSTKSSPLASRQHSTPECSVSSTDLVNLPFTDAQHVQLLSGGKPTWEAAWRAAVERFQFQKSIYTGLETPTSSRIGTVSEKATKGTAVKTAPGSKKGGKTVLPAHSAVALHSPSAPVSTSTFNLQRGTHLDFSQAVSPVFGYNSHIRHPSSGVAPLHPSPGPRSAWLVQPQNLIFDSSMQPAVPTNETSKGQSSQSISISHAVSPGMFAPSPAPPIVAPLAVIHEEKQKAPSSTSKGTSSQKPRKRKKASASPEQQPVVASPQLSTDMVSFTPAAKNTAGFTLSTHSPSNALGSRLVPNTGQVTSVPNYQITGSMDAEQRNIFSEQIHGAIEQSTGQAKGASLHSIEALRHKESIWSHLSTISRNKLPPEVEEKLTSAAAAAEAAVSVAKAAAEAAKMASEAALQSKMMAEEALNSSKSVNSLQNLEAGQFTVNINPPSLLSPSPASSWKIKDNSHAPGSIVSAAREVARKRVEEASAAAKRAENLDAILKAAELAAEAVFNAGTIIGMGEPLPFTLSELLEAGPNGFWKSERVKNIKAGNGNGNPVTETLQVDVPADFSKSGKKRGRKPKSDQSVLNFEPSSSARELQPEGMQSGHGVNDVPAIASGDGKSNDIAQTSIIWNGIEKGSVVEVSSYAGGFGVAWFPAKVVDINEDGALISYDNHNEGTGPREEWVPLKPEGDKAPRIRLAHPATLSKFKTRKRRRETARNCSWVAGDHVDAWLNDRWREGEIAQNDGGAETKFVVQFPAGGGGDSIVVDAWNLRPSLIWKDGQWTDWSRARERKSKVTKGDSPLEKRQRTEPPLVGSDKPFGGEAGGPSKDKSTNNSKKPEELKPLALSQRDMIFNVGKSVVENKTDALAFKRPGLQKEGSKVVYGVPKPGRKKKFMEVSKHYVAGQSDKISEGNASSRVPKHMVPPLPRPRDNTSKVDQRGRRVGEVRSRGPPKPSKSQSVAANTILDKDSLSMPVPNSGVFGRSFAFAGSMASTSNTEKPTVEKNKVLLGAVPRIEDLSVSEVQALSSIPTSNQDVPTTNRTKRKHVPSVDNRSIRKTSERSSSDAAEPQRTSSDSAEPRRSNRRIQPTSRLLEGLQSSLIVSKVPGEKGPRSNFRSTTPRGNSCLFPCLTLWVLFFPHSDGQFVWKTGRAHG >Et_4A_033926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28029796:28030477:-1 gene:Et_4A_033926 transcript:Et_4A_033926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMKWSVLVKLIPEKQYDDLIIFPGLDIIDINPSCIIDWKPLDQISYIKDCNVILEFIDEYPLYLSTERLLETPMYVKELKMHIIQLDPKGNTFSCKDLRSLVFNSVAAMGASRRIGLLIKMHIKYRYGFHMDDKKKFKQELELTAGPAGADDWKKDVQGIRAPEEMMEVYKHRRQEFSSGLLGQIQFSRVLLHHSRPGYE >Et_3B_027714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14582822:14583814:-1 gene:Et_3B_027714 transcript:Et_3B_027714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSDACTIRPCLQWIKTPEAQANATVFLAKFYGRAGLLNLLAAPGPGADHLRPAVFRSLLYEACGRIVNPVYGSVGLLWSGQWQACQAAVEAVLKGDPVVRVASAAAEDQPEAAASPPLGTRAACDIRHVAKDRDAAAAADLLRVARATTTPSKNKTAAGKPGSNKRASASPRPGTPTQEQEEPVVVGDEHDDARAPSHLHHEDDHGEESAGSHQHLQEGSSTQDTEAEAGSHVSQAEEHHSHASPASRHRPNRDDEEEEVGLDLTLGLEPPVARQQAARSPPTSCDLRGLSAASSLMGLRLELPA >Et_3A_024432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20737066:20744152:-1 gene:Et_3A_024432 transcript:Et_3A_024432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLENGAAGAAAVGSYKRGPALLPLRAPSAGSRRARVRSRLARLLLVEKVDYLQWIVTAAAFFFVAIVFVAFLPGSVVVERPTLLLPSHSVGGGGGHSAGGGSLPLGGGSEEVGGAVVAFEPTRLKEKWARERREEAESLAKLGTPVTRVGTRKPRLAMVFGDLSPSAMQLQMVSVASVLEAMGYEMKVFTFEDGPCGSIWRTIGVPVSILPEDSNLPNFVDWLDFDGILVNSIEARPVFSSLLHEPFKSVPVIWTVHEYSLACRIAEYNSSGMVQILDAWKEVFSRANVTIFPNYILPVMYAAFDSGNYFVIPGSPAEAFQADGFIAKSYHQDVRVSMGVDPNDFLIAIVGSPFSYGANLMEEALVLQAISPLLQQYPSGNSTQSELKVRMFTGNVTEKYRMAFEAVSLSAGFPRGAVELITAEDNDRLLGVADLVIYGSCLEEQSFPSVLVQAMSLEKLVIAPDLRIIREHIDDGVNGLLFPRKNIGILSQVLVRVVSNGNLSVSGRKIASAGKAHAKNLMASDTIEGYAVLMENAIKFPADVLSPLSAGDIPLALKQEWKWHLFEDVKHLYQTNESLAGSKILQKLEEEWHIKQMEHPPVSTLKIDEAFSAIAWEEQRANEILEMKRKMEEEEFKDRNDQPHGTWEEVYRNVKRVERLKNELHERDDRELERTGQLLCIYEPFFGEGTWPFLHQSSLYRGIGLSSKGRRPGADDIDASSRLPLLNNVYYRDILGEFGAFFALANRIDRIHKNSWIGFQSWRATARKANLSNKAESAILEAIESQKYGDAFYFWGRMDQDPRNHANKDFWSFCDAINAGNCRLAVLEAFQRMYGVKLDHELISLPHMPNDGDTWSVMQSWVLPTRSFLEFVMFSRMFVDALDAQMYGKHHLTGHCILSIHKDRHCYSRILELIVNIWAFHSARRMVYVNPETGAMEEQHQLSDRRGQMSIEWFSYATLKSMDEDLAEEFDSDHPDRRWLWPQTGEVFWQGLYERERNMRQQEKERRKQQSRDKILRIKKRARQKTLGKYIKPPPDDTGGLNQTRTVDD >Et_3B_030633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5749098:5752264:1 gene:Et_3B_030633 transcript:Et_3B_030633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGKEIKEDLEEAPPLLLDEAARPRRVALFVEPSPFAYISGYKNRFQNFIKHLREMGDEVIVVTNHEGVPQEFHGAKVIGSWSFPCPMYGKVPLSLALSPRIISEVAKFKPDIIHASSPGIMVFGALAIAKLLGVPLVMSYHTHVPVYIPRYTFSWLVEPMWQVIRFLHRAADLTLVPSAAISKDFETAQVISANRIRLWNKGVDSASFNPKFRSHEMRVRLSDGEPEKPLIIHVGRFGREKNLDFLKMVMDRLPGVRIAFIGDGPYRAELEKMFEGMPAVFTGMMQGEELSQAYASGDVFVMPSESETLGQVVLESMSSGVPVVAAHAGGIPDIIPEDQEGKTSFLFTPGNLDDCVGKVQLLLSNKEFRDNMARTARAEMEKCDWKAASKTIRNEFYNAAIWYWRKKRAELVQPLQWLAQMFLPAPQAPSITHC >Et_6A_047800.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:1721251:1722420:-1 gene:Et_6A_047800 transcript:Et_6A_047800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDDDSAAPGSGNTKAAMEVSGSSSPAPAAASQQPSVLKSVLLSYAYVGIWISLSFSVIVYNKYILDPKMYGWPFPISLTMIHMAFCATLAFFLVRVLRVVDVPSSPAVTPRFYAASVVPIGALYALSLWFSNSAYIYLSVSFIQMLKALMPVAVYSLAVALRTDAFRRASMLNMLGISAGVAVAAYGEARFDVFGVTLQLAAVAAEATRLVLIQILLASKGMSLNPITSLYYIAPCCLVFLTVPWYFVELPRLRAAAAAAATAARPDVFVFGTNCLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWTVIKDTVTPINLFGYGIAFLGVAYYNHAKLQGLKAKEAQRKAASTAVTAKEDDAEAGARLLPQKDGDGGGHKN >Et_4A_035517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27329260:27329744:1 gene:Et_4A_035517 transcript:Et_4A_035517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLDTPAHVPEGQPVIFQLVTRCNEAKDLAKIIIVCNSFLEAEASAFKPCLNKPVGQFLPEDKGYLKWLDAQPDLSVVYPAFGSFTVFDPRQLEELALGLELTGLLPFLWVVRPDFAAGLNKSWLDEFRRRVAGTGI >Et_4A_032212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24507635:24508702:1 gene:Et_4A_032212 transcript:Et_4A_032212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGELGFSRRSSWSPSVAGRHWSDSLPVPSLPPAAEGTKTKFYAPLACSDGLLLLCRGLSPELCVCNPLTGFHTSIPRPTTQIVTSRYVLHSCHGVETSRPTPNSFQDLSTGQAKMIRLPMQCTMRNDDVSIKKMLMLATYEGNRRLSLLRTGEANMEVSIWSYAGDHCCKDWTVLETRLEGFCPKSQRVIIWIPYAGLFVLDLKSMQIQRAAGDSCGHIWSYEIDLTLCFSKLKPLY >Et_1B_012620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33846182:33850584:-1 gene:Et_1B_012620 transcript:Et_1B_012620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLGAAGFAVYQHGADCIAERCSFLPIGMEDHSNMMPKTDIVFQRRDSRICLRNQNPKDGTNPSGDRPGSSTRLNPMRTRMADNQERSRYLCDSFKSSSSKLVPANSSKFPLRKFGEEKRRQSFLAGADIANRSTRKPEIKPLEGRKQMAVEYESDPECIISGQGQSLLPDPEGLQFTNSSGISSRRVDSLVRSPSLSSRTHRQTEKEVNLSNPGTCSSSFPNRPTIPGNSATGARPSYGYVDGVQRRGVENPSLLDLNRNKRFEDMGKRDSNGGSSSRSSSLSGPVSLGHLSPAYLGNTGRNTWRVKKLAVYYVNTSTMCDVSDSGSGRRTGAQFAKLRQYLRQANPRIDVCQCLDSAIAVSM >Et_5A_042247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:877789:885733:-1 gene:Et_5A_042247 transcript:Et_5A_042247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSAGLVPAGPGDLEGRVMAAVKASEARGDPPLLRAVELARVVAGEGTAIPSADLAGILVSNLCFAHNSPSLWKLVGQAMASRLVCPLHVLALLTPRVLPQRRAQPEAYRLYLELMKCNVTSSLSMEAGPNRDKLTKSIDDALQLSKIYGFSGLDFGHVIIMFVLVVVTKLIDSILEDCGLPCGLAEGQENVYSIEGGRQPMDIDVKRVSSEKQNEHREQLRRKNTVLALDVLHMMVADRKIQSFLRLIFLNMPEKFSSLNQRLSFIEAHKMALESVMPAGEKINDLLIDIRRVCNADYQPNNKRLVGVLGSMRSSAPLLGQLTGAGRAACWIIFDIYVENAIDGKHLSGISAIEVLKEVTKTLQVLNEASWQETFKALWISALRLVQRAREPLEGPIPHLDARLCMLLALIPLSIAAILKEESDVFRAEGNKILPRRQGLMSSLQDLIQYTGLLVPPSSVVNAANAAASKAAVFKANYKAGVANSSMMVQTDSSIRAVGNMLHLIIEACISRKLVDTSAYLWPGYVVPSGPSKDTMLPQESPWLNFMQGAPLSGPLIDALVATPASSVAELDKLYNIAANGSEEEKTAAAKILCGASLVRGWNIQEHVVGMVVKLLSAALPSDSSTSTNGSMSHYLAQMSTLNVILLGVSYGDAIHILSLYGMVPDIAAALMPLCEAFGSIAPPPNHKSTIFGEVSVYSVFSCAFLCLLRLWKFYKPPQEYCLAGRGGSVRLELTLDYLLLMRNNRVEFSNSSAASRDTCNNMGSVNEAPTQPVYIDSFPKLRAWYFQNQACIASTLSGLSNKNPVHQVANKILSMICRKMNKGGVASGNLSSNSGSSVSGSSVNASDDSYQRPTVPAWELLEAVPFVLEAVLTACAHGRLSSRDLTTSLRDLVDFLPASLAAIVTYFSAEITRGIWKTVPMNGTEWPSPGTSLHSIEAEVKEILASAGVQIHSCYPRGVPPMLPLPMAALVSLTITFKLDRSLEYIHGIIGQALENCAGGSSWPSMPIIGALWTQKVRRWHDFIVLSCIRSPFGRDKDAVAQLIQSCFSSFLQSSSSAADITANRGVGALLGDSITNQGLRLPMAPGFIYLRTCRTFHDTYFVSRVILKQVIDWAHKLANGWSFNGPPQLKSGRTPLSCAASMAHQVAMLGGGLLCIAGGPLVVQVLYEDSLPTLLLSAREESLKDPGPVSSTLQGYAMANMLFFCGSLLWGADRTSPVMKLSFLSRRPRVVGTHMDFIAGVLDGHILLGCDPGTWKAYVSRFVFLVVKFVPCWLRDIKLDTLKKIAAGLRSWHEHDLALSLLERGGPQAISAVVETLL >Et_9A_063094.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:10017278:10018000:1 gene:Et_9A_063094 transcript:Et_9A_063094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRPSMGRQKIEIRRIESDEARQVCFSKRRAGLFKKASELSTLCGADVAAVVFSPAGKAFSFGHPSVESILERFLASSSSSSPPGGGGANGGLSSAGDRAVAELNRQYGELRALQDAEKARQERADEATRKERAAGSQAMAWIDADVAAMGHEDLLAFGAALMGVQAAVAASADQVLRDALLVGRRPPRPPPAAAQQLAVGGGAFDVAAQFGVGAQLFPPAAFHGVDTLGHAILGPSF >Et_6A_046172.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7303677:7304069:1 gene:Et_6A_046172 transcript:Et_6A_046172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRHFSIHPCPLFWATVNPLFWREIVGKWAVSLIHYIAADLVAAVTTRICNTRSVRDAITNQGWIKDVRGAHTVQLILDFFKVLRITSEVLSARGCSGKHKRLTNATYFSGLSSTRGAERQTCFFAMA >Et_3B_027613.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:32008182:32008457:-1 gene:Et_3B_027613 transcript:Et_3B_027613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSPGSYGFTTVDSGCCGLGQNRGQADPLPPADAAVRRPRPLPLLGRIPSHAGGQPPAGPGPGGLQRHAGSYLPFQPATAGQQAIDHMHI >Et_3B_028318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13345058:13345687:1 gene:Et_3B_028318 transcript:Et_3B_028318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGPVQSSEIEHESLQLQRKFLTTPVSDYLNFTDKMQIMSMEPPAITSLLHGDPTAILHAHLSLVGPLDPGPIFNEPASHIPKEQSVPTSRQGGNSYGVVNPTMSSMAEVERIQHVYTCKFCNATFNSLEEYGCHMNFHTKRKSNN >Et_10A_001533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4716295:4718666:1 gene:Et_10A_001533 transcript:Et_10A_001533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGFLAGKASIYEYMSDLYTIDCHDGLLAFDRLDSRVVPKRLYCLGAFLIDADETGTVLHMSNFRVIRVGFLKASGRVAADVSAISATDKRWLSLTRTILDDDIRPNMGSVCVGRVGGSIFLSLECLYIIQVNERTGVVSTFSIPEPDKINWNEHSYDRRKLRVVGRDARTLRLVRIVGKDLRVLQLRHGGKCVEEKRVDLSQLCSIEAAPDRSLWRFPELGEAVASGSVVLSPDENCARMFSIDVENMEVVCVEKRFHAWRVFPYELPWPPTIKAAISAKWCRLKAHIRNGVDYVKLQGDASGDSEGKRQVATDVSVISATDKRWLSLTRTVLDDDDMGFDAMCMGLNMSDFCVGRVGGSLFLSLECLHVIQIKERTGVLSSFYIPEPDEIDWNKCRSYDRLKLRVVGRDACTLHLIRILEKDLQVLWLVHGGKFVEEKRVDLSQLCNIELGQRMVVVAFPGIGRGGGTGLRRAITRREMRVGVLRRCREHGSGVHAKEEVSRPASVPL >Et_4A_034701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5507132:5509847:-1 gene:Et_4A_034701 transcript:Et_4A_034701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRVGRFKMSNLSEPSKEDASSDGSSTQKTGAWNNTWNTILQQASVYGVAAGYCLSASLLSIINKWAIMKFPYPGALTALQYATSVAGVLLCGQLKLVEHDGLNLRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSFKTWLSLSTILGGSVIYVFTDNQFTVTAYSWAVAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELLIMGEFDQMKVDSSKVSNWLSFDVILPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASLVGTIGLLICMSGGVLYQQSTTKPKAPKVEPKEENDEEQQKLLQMQAGHEGNSTQKHGSS >Et_2A_015028.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:26774182:26774871:1 gene:Et_2A_015028 transcript:Et_2A_015028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSPGRSDRAVGLDGEGDSNFSRSAARSAARLIGLSLGIWSRIQEKANQSSQTMEMPHSHSASMLGLLTRQMRNRKRDTKRTSTRRRYGLRMPGGMGTFRLSGFHGLSTRSSIFTCPPPPFSSAPASCCGQYALPMPYVPLVSNSHRLWSKSPVWLRTSRDLHGAATRAVDRRRSIFAAPSSPPPPRRLTALEEDEPYGGKGWSPGLLAPPPPPAGWLSGVGGMRTV >Et_1B_012884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3910287:3915688:1 gene:Et_1B_012884 transcript:Et_1B_012884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCLGGERDGTTACGVHPRYVPERGQVLRDVLGAVFGCLRPVKTRPLPARLPHRGIRPPIASVRRHLVSLRQQFSPSSPSFRCCFDVRALSTAPHTSEQWQGSAINFGDVAASLSLLALPTSPHFSSLPLSEAANAMRSGTGRVGGGGDGDNGKNSKKMLPQPPAGVHPRYVPMRGAVLKRVVRSMLRCFLLISPPIGGPAVSSGSGRRVRPAPGGAGGDGAEQGK >Et_2A_015847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18288382:18290051:-1 gene:Et_2A_015847 transcript:Et_2A_015847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVTTNGVGVGKQQGSRFRRVCVFCGSSSGKRSSYRDAAVELGKELVARKVDLVYGGGSLGLMGEVSEAVHKGGGHVIGVIPTTLMGKELTGETVGEVRAVAGMHQRKAEMARNSDAFIALPGGYGTLDELLEVIAWAQLGIHSKPVGLLNVDGYYNLLLAFIDKAVDDGFIKPSQRNIFVSAPDARGLVQKLEEYEAVQDEDPATPKLRWEIEQVGYNSALQAEIAR >Et_2B_021550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30541772:30543244:1 gene:Et_2B_021550 transcript:Et_2B_021550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTVARGGRAKAKGLCIVEQLEDDAATGWVGWSVVEETSECAGHHLSGEVRAVGACDLAARDGEACQLLRLVWREGPGSGGRVHDVREEAAALLRLQKRGLQLLEQPQVGRAPCEQAQQEDAEGVDVGAGPQLPGRQELGVHVRQRGGAPHQHGAGVGLCQHPAEAVVGELGGGFAAVVVEEDVARLEVAVDHAVLVEEDERGAYLGGDAGAVGPGQVREAVVEAAGAGEELVDERGGLRGLQARPKQRHQVGVPQLAQLLDDLLLLLEAMRVEHLDGHRLVVAPMVEEKHGLVDGAVSAAAQPQRLVHVVCGLPQVAVGQLGEASGDHQLVLQLPHLVPERRRLPDGVVPLPPELFLLALQGEEHAVRLRQLVLQVVDHALGAQHLQLQLLHNRHHRDVPLSPEPLRLLEYALHVLLLLVVHHRLTVACCNCWRKLLVVAWPRD >Et_1A_007974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39957419:39962687:1 gene:Et_1A_007974 transcript:Et_1A_007974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRSAVLIVLIVVLLGVGQQLHHPRWLCQLRCLDDCSTTAEHVVVPASAGDCDGICRPLSFCGTAANGLHQQPRSLCTDCYKPQLMNMKGKMRAAALCCLLIVLLSGQQQQLVDAALFDYCKCYRHCYSDCRDWEHHPRWLCCLRCLNDCSGAAEHVFVSAPAGDCDGICRPLSCGTAANGADDAEACVEDCTNNLGAYALTTADLN >Et_10A_001823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8854864:8857394:1 gene:Et_10A_001823 transcript:Et_10A_001823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIEDKGGAIAIMLASLLFLGTWPAVLTLLERRGRLPQHTYLDYSITNFLAAILIAFTFGQLGESKHGMPNFITQLSQDNWPSVLFAMAGGVVLSIGNLSTQYAWAYVGLSVTEVISSSMVVVIGTTLNYFLDNRINRAEILFPGVGCFLVAVILGSAVHASNAADNEEKLNGTTNSYKLGTSESVEPNKESLNKDVPKDLENGDSATKYPTKAEAGTAEYLIELEERRSIKVFGSSTFIGLGIVFFSGVCFSLFSPAFNLATNDQWHTLKDGVPHLVVYTAFFYFSLSCFAVGIGLNILFLYRPMAGVPKSSFKAYLKDWNGRQWALLAGFLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRKSSRKTYILLGFMLFMFVVAVAVLMASSGHRSTK >Et_3B_030813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7650562:7652994:-1 gene:Et_3B_030813 transcript:Et_3B_030813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFIGKLSWKSMKSSSGGGGLPPAKTPPPLPPSPRENGASGKPNASPPPPAGGAGAEVRSREDAFVQKVNICCVVFDFSDRGKDSPEKERKRQMLMSLVDSIGAAEEPLTEVMIEACVRMFAANLFRVFPPKVRSGTTASETEEDEPFFDPSWYHLQMVYEFLLRFVTSPFVDPKVARKYVDSSFASRLLDLFDSDDPRERDCLKTILHRIYGKFMGNRPFIRKAVSNIFYRFVFETDHHNGIAELLEVFGSVISGFAKPLKEEHKLFLWKALIPLHKPKSVGVYLPQLTYCITQFIEKEPKLAGTVIRGLLKYWPVTNSQKEIMFLGELEEVLELIDMAEFQKCMVAERALFLWNNEHLFDMISQNRQVILPLIYPALERNTRWHWNQSVLNVTMNVRKMFLDMDERLLLTCQSNFQEEEEKRAATEERRRLMWEQLERTAAHGHQPVIADTTFPSPPSSVRLVAPT >Et_3B_028426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15087644:15091798:1 gene:Et_3B_028426 transcript:Et_3B_028426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGYADVPLGGAAGEPSAVDGDPMPPPMRKQPSRLATGMRRLASKVSSVRVPEMKGLKRTHSGAQSGLRGLRFLDKTSAGKDGWKSVEKRFDEMSDDGRLHKENFAKCIGMADSKEFACEVFVALARRRHINADDRVTKEQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGKLTEDEVKEVIVLSASANKLAKLKKNAATYASLIMEELDPDDRGYIDIWQLETLLREMVSASAPPEKINLASATLARTMVPSSYRSPMQRRFNNTVDFVHENWKRIWVISLWAIVNISLFIFKFIQYRNRAVFEVMGYCVCVAKGAAETLKFNMALILLPVCRNTLTWLRSTALSKVVPFDDNINFHKVVALAIAIGSATHTLMHVLCDFPRLVSCPRDKFEEKLGPFFDYVQPTWPSLLASTPGWTGILLILIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVVAYILLVMHSYFIFLTKQWYKRTTWMYIAVPVLFYACERTIRKVRENSYRVSIIKAAIYPGNVLSMYMKKPPSFKYKSGMYMFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTSELRNLFGKALEAEVASKKATLARLETTVMAEGLGEDPRFPKIFIDGPYGAPAQNYRKYDILLLIGLGIGATPFISILKDLLNNIKSNEELQSMHDAELGCSFKTTGPGRAYFYWVTREQGSFEWFKGVMNDVAESDRDNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSKIRTHFARPNWRKVFSDLSNTHKNSRIGVFYCGSPTLTKTLKDLSIEFSHTSTTRFHFHKENF >Et_3A_026969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28399940:28402850:1 gene:Et_3A_026969 transcript:Et_3A_026969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMPTDTLPAVVENATQPKASSTVVCYSPMMITTNGIWQGVNPLEFSLPLFILQVSVIVVTTRFLVVLLKPFRQPRVIAEILSGVVLGPSLLGQVDVWANMVFPQRSLLTLETVAHLGLLYFLFLVGLEMDIDVIKRSGKKALFVAIAGMALPFCIGIATSFIFRHQVSRNVHQTSFLLFLGVALSVTAFPVLARILAEIKLLNTELGRIAMSAAIVNDMCAWILLALAIAISEVDSTALSSLWVLLSGVLFVLFCFYAVRPGMWWLIRRIPEGEGVSDMQVSLILTGVMLAGVCTDAIGIHSVFGAFVYGLVIPSGPLGVALIEKLEDFVTGLLLPLFFAISGLRTNIRRIRDPITVGLLILVFIMASFAKIMGTIIIAALYTMPFREGIALSFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVVMTTLVTPVVLGVHRTKRRLVGYKRRNLQRIRHDSELRMLACVHTTRNVPSVLSLLDLSNPNKRSPIFIYALHLVELTGRASNMLAAAAASASKQGRSGSASSLPAVTDHIFNAFEEYERLTGGVSIQTLAAVSPYQTMHEDVSVLAEDKHVSLIVIPFHKQQTVDGGMEPINPNVRSFNEHLLSASPCSVAILVDRGLSAAAARMSSEHNVALFFFGGPDDREALAYAWRMVEHPGVALTVVRFLPPDYRARTVSGSAYRPADADSRAITINPEGRSELEMDEDYLHEFRSRNQGNGAISYSLKTVANSEETVAAIRSMDTSLHELYIVGRRPGEAGSPMTSALEEWMENPELGPIGDMLVSSDFSMSVSLLVIQQYVVAGAPVAVTAPAGNSDPVSQYVSNANQRPAAAAAASGAYRTSAAPNSRWSGSSTGTVGF >Et_1B_011473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22769322:22771262:1 gene:Et_1B_011473 transcript:Et_1B_011473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLSNRRITRSLAAAAASSAQKSAADSATRFSRAKNAGAGAGERAALHDITNDSPIVGLAAGGLHAADKTPASTAAKTRPRPRRTPGSGEALLRGQVKALLHKVHEEQGSAAAPAFARPPARIQALLGVARSPAQLLAPTPANTPQLGAREGLLLPDGSTLMPCVLEEEESLIPKLQVIAASLPPSVAEENLSDAQLHRALVFDDSPGKSESSNGSAVSSSLKFHDSSSDSHMDKSSPSTEDDRSSVWSIQVHASPLKAEELGEVLELGEYTEDEEDWEEGSDDDECFADLCEEMSKMTVFDGDEDKAGLPQFEGKHTRFIYNSDDEIEREEVVPSAEARAELGALLLRGLPVHKGRHLRFHEDDEEDD >Et_3A_026578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9907809:9910508:1 gene:Et_3A_026578 transcript:Et_3A_026578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGIGNAENDADGSICLRGHENKRYDGVSIPNVVQNGSNLPQISSNEVEKNTSFAITNVIQSATEYEGQNNMEEAVTSTTLSLVYNDNLLLGREMAREEEILNNEESQLFGDVSASLSNINEGKMMVQMVAPAVAKVDYCSIVDACNVARNDGMGVGLLEDKKVMPMSLGLSKSRKYVAYVEESNGMNLFPEHFIVQPLMAPKKCHGRQECKSSHNIAQSLALITLLKGKRTTRRKKLRGCWTSYDLFKDYMTKESISSYLGDENGESRAQLVYEENQTICAIAPSTIPSGSMTSKNLHDVDARSHVMKLLQLFKDVNNVVKDLKKHPIYTKLGPFIGNVPGVEIGDEFHYRAELSIVGLHHPFVSGIGTYKLNGVPIAISIVASGGYPNEMSSSDQLIYTGSGGNFDGKKKKYEDQKLERGNLALNNCMGTKTPVRVIHGFKSINSGEYTQSKGKWISMFTYDGLYTVVDFWQERPKGLMIFKYKLQRMLGQSELILRAVKATRQSKQPKGLCLPDISQGRERIPIRVVNTIDDTKPTLPKYITELIYPSSYKKEPPKGCDCTTGCCSESNDCVCRVKNGGKLPFNHNGKIIWVKPLVYECGPTCRCPPTCQNRVSQHGIKTALEIFKTDEKGWGVRSLSSITSGSFICEYVGDILQDEEANNKENDMYLFDIGCNYGDTNLWNGLNSVDAGLHSCFSSSKTNKGFTIDGAKWSNVGRFINHSCSPNLYAQNVLWDHDDMSMPHVMLFAAKHIPSLQELTYDYNYTIGKARDENGVEKVKHCYCGSSNCKGRLY >Et_10B_003242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18067636:18070443:-1 gene:Et_10B_003242 transcript:Et_10B_003242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALVLVATVLSLPLASLAVTSPYVRPPPRETLSLFKDDDADGQTPEQVHISMVGLDRMRVSWVTDDDAPAIVEYGMTSGQYPFSATGSTTTYKYVFYHSGKIHDAVIGPLQPSTTYYYRCSSNPSREFSFRTPPATLPFKFVIVGDFGQTGWTESTLKHIADADYDVLLLPGDLSYADFIQSRWDSYGRLVEPLASARPWMVTEGNHEIEKLPVLEPKSFKAYNARWRMPYDAGASPSGSNLFYSFDVAGGAVHVVMLGSYTDYGAGSAQLRWLRRDLAAVDRGRTAFVVALVHAPWYNSNEAHRGEGDAMRAAMEELLYGARVDAVFAGHVHAYERFARVYDGKADPCGPVYVTIGDGGNREGLADKYVDPQPTISVFREASFGHGRLEVVNATHALWTWHRNDDDEPVVADQVWITSLAANPACNKNKNKM >Et_6B_048893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15113074:15117478:1 gene:Et_6B_048893 transcript:Et_6B_048893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSIFPFWRLVSRISANGFAPTPLEARMVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQRHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKSSRSGNSSNNDIHLNRAAARNRKTNFFNLEKSLHSLLNALKKHISILALGFSDFGKWLRPYTT >Et_7B_055077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7064194:7067914:1 gene:Et_7B_055077 transcript:Et_7B_055077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PLPSGQQRPSCCNKWVTKTQRLLLLAPPSLHIRSAAFQAFRVRRSESSSRARKLQEQAGGSTHTMAAVGETVSELRQAYESGKTRSLAWRQAQLRGLLRFLKEEEEEAFAALREDLGKHRAEAYRDEVGLLVKSANEALRQLGKWTAPERVWVPLVAFPATAQIVPEPLGVILVFSCWNVPLGLSLEPLIGAIAAGNAVAVKPSELSPCTAKFIGDNIGRYVDASAVKVVQGGPEVGEQLMEHRWDKVLFTGSPRIGRAVMAAASRHLTPVALELGGKCPCIFDMMDSVRELQIAVNRIIGAKWSSCAGQACLAIDYVLVEERFLPILLKVLKSTLKRFFSDPDHMARIVNPRHFERLSNLLKDKAVAPSVLHGGSMDAKNLYIEPTILLNPPLDSAIMTEEIFGPLLPIITLKKIEDSVDIVRAMPKPLAIYAFTGNAALRRRIVEETSSGTVVFNDALVQYAIDALPFGGVGQSGFGQYHGKYSFEMFSHKKAVMKRGYLIELTLRYPPWNERKINLMRHLYRFDYFGFVLSFLGLRR >Et_5B_044451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2531167:2533234:-1 gene:Et_5B_044451 transcript:Et_5B_044451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPSLNRVLVEKLVQPKKTPGGILLPETSKQLNSGKVVAVGPGERDKEGKLIPVALKEGDTVLLPEYGGLEVKLAPEKELVIADSERRIVVNESGSRVGQETLRITNL >Et_3A_025330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2921920:2925129:1 gene:Et_3A_025330 transcript:Et_3A_025330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KNTSPPNRTGKTERGQARCCRCASATVSPPCAASPSPWRRPRKPAKPSAPTPRLPRRLGPKRSRTMATDAAAGAVSKGCPAMKAEFAKHAEYLNALNDKRERLVKASRDITMNSKKVIFQEEVLSKAENDLATVVNQYIGKLVKELHGTDFWKLRRAYTFGVQEYVEAATFCRFCKTGTLLSLTEINESLLALSDKSVEPLQINVLDYVLGVADLSGELMRLAIGRISDGEVEYAKNICSFVRDIYRELTLVVPLMDDNMEMKKKMEVMLQSVVKIENACFSVHVRGSEYIPLLGSSADPDYSFFGASDFDQ >Et_1B_013626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1035493:1036457:1 gene:Et_1B_013626 transcript:Et_1B_013626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGEEALRSQYVIGDEIGRGRFGTVRRCFAAATGEPFAVKSTTKAPLREADPLDLALAEQEPKLHLLVSASPPASRHLVALHAAFEDADAVHLVLDLCAGGDLFSLVSARAPLPEPEAADLLTQLADALAGCHRRGVAHRDVKPDNVFFDANGALRLGDFGSAEWFGDGRAMTGLVGTPYYVAPEVVAGREYTEKVDVWSAGVVLYMMLSGTVPFYGATAGEIFESVLRGNLRFPPRAFAAVSPEAKDLMRRMLCKDVSRRFSAEQVLSKAPVDCDPRRKCGGGLNGDDPLHLQ >Et_2B_020865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24449600:24455063:1 gene:Et_2B_020865 transcript:Et_2B_020865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGFLAVAIVLALFCRVRRNAAPPAAPPVPEQSNSDEQQLRRASPTAGLPSFTYSQSMKHNVTTGSGDEDEAATCSVCLAAFQAGETVRLLPVCLHLYHVDCIDPWLDAHATCPVCRSGTDPTMDGSLLPPVSTTASAMVDTYYSPSFTSNDSPNGGAIAGAIFVVVFILLVLMCTCSASASAARTAEPPVVPEQQGNVDDEQQPIGGAGEAGEDGRRPRRSNTKAGLPSLTYTQSLKHNVTGGGDDDEAATCSVCLGALEVGETVRLLPACLHLYHVECIDPWLDAHSTCPICRSGTVDEGYLLPPV >Et_1B_012123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29135389:29136602:-1 gene:Et_1B_012123 transcript:Et_1B_012123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQAEGVPRILADPFDFGGGHMNPDKAADPGLVYNIDAREYIKFFNCTLGPKDKWVEMSVEPFVIVFNGGSKKATFKATIKAKQWVQGGYTFGSLTWLDGNSHTVRIPVAVRTVIEDFIADTS >Et_4A_033943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28286373:28289510:1 gene:Et_4A_033943 transcript:Et_4A_033943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKKRLRVCACDGTTVESLPPSIPVDLLLEIFARADAKTVLRCAATSKPVRRAVLDPDFSNRLTRHAHARAINGGFDPTTLVGISYVFDKSYYPNSSNYRVAQVGHRFRFDAGLLSQHEPVAARGGLVVLRARRRAGYYDERLELSVCNTFTGYKLRLPPADVEDKYPHALLDVGDVAGCSFQLLIADRGLRTQVFSSKHGTWSDVVQTDPTLLPKDFSQKFADHASHPVVLGRRTVVHWLCSDHGIIALDVGTARARLIELPPNCFYRVTTCQHGRDKGLCLTASADGRLSLLVPEYLTICLWTKSAAAEETFSSSSTTKAPARWTRQVVIQRSAIDRRNGPGWVVRFYGFGERSGTVILQMREVGLVEISLGSGEARVLSREFKELDDFHVLARDRFLLVAGTDLWFQVFSSENGKWGDLVVPRLPPNFFRTVPNHCSPALVLGGTVVHWLCRDKGIVALDVGAARATAIELPPLCFGQVKRVKGADCGILVPSADGRLSLLVAEFTVISIWTLSASASDLAGTSSAATRWSRQVVIQSQAIIGRGDFIGRTVRFVSFGERSGTVVLHIEQVGLVRFNLRSREARVVSDKCTEIGTCRFSRLQLCLHETDLPTLMKNMKPF >Et_7A_050498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19872634:19873815:-1 gene:Et_7A_050498 transcript:Et_7A_050498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTPPSVQPAPSLQPLPSSAAGQSTRIDVCGIKSNIFKRIGPERAKKYFQHLERFLSSKLSKDEFDKLCLVALGRENLPLHNHLIRSILRNASQTCGPPVINDPKLARDGSGHTLGGSVWENGVPLTQNVKENTPLNRRENALTRKSSLHQSDLKRCSPLEQSEHVEPLIKRSCVEKEPFNLRDSIHGNGPSALPSRENRGQEIVYHSQGPVQAPLGIQFHRTSFGRAQKPLPLASVTQNDISDTCIELGELSDTSSVKRRMEKMAKAEGLEGVSMECANLLNNGIDVFMKQLIGSCVELVKARSQHGKLSHVALKQQLCRKLINGVSLQNHFHGQGGIVPAEANSISVQDLKAAMELNPRLLGVNASLLLEKINSYD >Et_3B_027793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19528700:19529581:-1 gene:Et_3B_027793 transcript:Et_3B_027793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFYSFDVGTPAQRVSGALDITSDLVWLQCGGCDACLGAAASAGWLATETFAFGAARVDGVVFGCGFTNGGVDFGGAVGLGRGPFSLVSQLGAGRFSYHFAPDDSTTGADSFILFGSDAAPRTTTTSRAAVSSTPLLASAAYPKFYYVGLSGVRVDGEDLAIPRGTFDLRTDGSGGVILSITVPVAFLDEAAYRLVRQAMVSKIGAPTVDGLAAFGLDLCYTSQSLAKTTIPSMALVFDGDAVMELEA >Et_1A_007015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30044221:30046797:1 gene:Et_1A_007015 transcript:Et_1A_007015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPQPSPSGPPILALPGTGSAAATVVFNPAQKPTQGLRTLAQARAARHAPLHSPFARLPRPPSPPPRPSPDPVRPAGDGQRQRIPHQVDQLLHHGQPPSSVPLLPPSFELKKPWIVAPPVSAFLPASACAADTASHPFGAAKTLHFGVRPLILALLVVGFGFWMSTHNDACRRSLTIPVMGLGGVIFLISLVGFLGAWKNISCLLWTYLIMLFVVLVAIMVFTVLAFIITNTGTGHVVPGARVSSSGLQFLLNGTTKWTRLRSCLVKSDDCNNLSKRYKSLKQYKDADLTPMESGCCRPPAECGYPAVNASYFDLSYHPVSTNVNCKLYKNVRFFRCYDCNSCKAGGCTVHEGRVASGCHLQCDIVHYSVVRVLHRLLCTAKRWRKRHKGSWKIDVDTSR >Et_9A_062253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21119907:21123271:1 gene:Et_9A_062253 transcript:Et_9A_062253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEGSHHGHLDSFAPLDGALEGQHPAGGGGAEMVDYMLGQAASQPATGQSQQQVSPFDKLSFSDVLQFADFGPKLALNQRAAAAAASSDRDDDDDGYFFRFQSMPPSLHQQQQQQNQHVDQQEGSKTTADGQGISESTTLVQQAEKEQQQQGKSGRRKRPRTVKTSEEVESQRMTHIAVERNRRRQMNEYLRILRSLMPGSYVQRGDQASIIGGAIEFIRELEQLIQCLESQKRRRLYGGSGDPPRPAVDAAGVAAPPSSIQQHQPQPSPPPPPFFPPSLPFPTVSGGSAGDGAAKILDLDVGGGLREEVAENKSCLADIEVRALGADAMIKILSRRRPGQLIRTIAALEEMQMSILHTNITTIDQTVLYSFNVKCFFLSFLFLLLVASFLLDEPTITCQCC >Et_3B_031145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1867445:1869087:1 gene:Et_3B_031145 transcript:Et_3B_031145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRGGAEASSQGSEDGSASSNRCSGNEMISVQFTQKIIAEVLGTYFMIFAGCGSVVVNLSTKGTVTFPGICAVWGLVVMVLVYSVGHISGAHFNPAVTFAFATCGRFPWKQVPSYVVAQVLGSTLASLTLRVVFGGATAHEHFFGTAPAGSDVQAVVLEFVISFYLMFVVSGVATDNRAIGELAGLAVGATVLLNVLFAGPITGASMNPARSLGPAIVAGRYRSMWVYVVGPVSGTVAGAWAYNLIRFTDKPLREITKSGSFLRSARIIRS >Et_6B_048872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14805045:14812925:-1 gene:Et_6B_048872 transcript:Et_6B_048872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGGREALPLTLALRLLLIATACGLVFALLNLPDFRARAPGTEAGKGGQEAQRRHRRDGQNDEIAAEEDERISESPPDTKEKIWMMQDQLIMAKAYLNFASPHGSAHLVRELKLRMKEIGRAISHSSGGSHVPGSALQKMKAMETTLSKAQRTYPRCSQMTSKLRAMTQNSEDSVRAHQSESSFLEQVAVRTLPKGHHCLAMRLTTEYFSLDPTDHEFPKRNSQQMDGYYHYALFSDSVLASAVVVNSTIAASKDPRMIVFHIVTDALNFPAMMMWFLTNPPAPAAIQIESLENLKWLPSDFSSRFKQKGIQDLRYTSALNHLRFYLPEVFPSLSKILLLDHDVVIQKDLSGLWDIDMKGKVIGAVETCTSDGSYHRLDSLVDFSNASIFNIFDPKTCVFSLGMNIFDLHEWRKQDLSATYSKWFQLGKRQRLWKAGSLPLGQLVFYNQTVPLDHQWHVQGLGHNTNIGREEIESAAVIHYSGKLKPWLEVSISKYRDYWSRHLNYDNPYLQQLYTTHITIEQDSSAADELVVDVEVALLEALPVGVDLLEHRLVVQVQPAVAALVHNPRDDGRAGRTHTLMHLPQEAWPLGPAGPQKAVQPYFLRHHSGLLASHALTRFRSQPFCSGLYRWYVARTLDACCATAMVATAQRSSATASTRAPVILAMALIA >Et_10A_000259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20385136:20386566:-1 gene:Et_10A_000259 transcript:Et_10A_000259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METMSYPCSPLIPFPTQHGESSNLLWSPQVAIFLENGNMCSDADPIPSPDPQQDKEFMNRLIQEANDLLQEGLSNDDSLAGFDEGLVGQENDCLVATVQEGLMEESSLGDLLVAGARAVEARDSINASAIMSRLGNLIPGIPCRSYHHTAVSSFDHLACYFARGLRSRMSGARTECHPTTAPAMENRMPAYRMLQELSPFIKFAHFTANQAILEATVDSPDVHVVDLNVGEGVQWASLMSDLARNGNKTFHLTAITAEADSDAGNCMTARWLSEFASLHLRSEEGLHGFAKSCNGPVFISCNTTDGSYSSLIKLQMLLLGSIKILQPKLVILVEDELFRIGRNLSLGIAPFVEFFSEALHHFTAVLDSLASCFCYGGYGMCLGLVEKEMLGPRIEEAVGQYGLVTGGVIGDLEVFRACDLSSFNIAQAKMLVGLFSKGFGVVHEEGRLALCWNSRPLTSVSVWSPV >Et_1A_007350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33665175:33667664:-1 gene:Et_1A_007350 transcript:Et_1A_007350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVVRRVIPSDNSCLFNAVGYVMEHNRNKASELRQVIAATVASDPEKFNEAFLGKPNEAYCAWILDPEKWGGAIELAILSEYYGREIAAYDIQTTRCDLYGQEKKYNERAMLIYDGLHYDALAMSPFEGAPEEFDQTIFPVDHSRSIGPVEGLALNLVREAQRKRSYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYK >Et_1B_011140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1802492:1808035:-1 gene:Et_1B_011140 transcript:Et_1B_011140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAASGGSDAEGAQRMAKFLCSFGGNILPRPVDGCLRYVGGETRIVMLPRDISYADLAARMRELYKDADVIKYQQPDEDLDALVSVVNDDDVVNMMEEYDKIIAAGEPFTRLRIFLFSQHLDDDAVAAAVHYNADERETERRYVDALNNLGDVRSPSSPVSVEQLFGIGGNDSGIPDIAGLRHLNVPRPSHSQRYGDVDSTWSPVYVSPGQYGVHDPRDFPISPSSARFQVGAEDFDERVPDDFVRQSPKYRHYDTAHSPHHIDNLIWLPPGAVVQQNAGFPGNLSRPNNFSDGGTVCDHCRSPFHKGQGSVTDPRYIDPRWTRPVQPHFDQSSMTNEYPGHPANSCPDCCRPGENYVGGQDVRLENGVYIKEQNGGHPPVFYNEPHSHDRAWHAHASQSHQRYGDPRLHLPANGRAIEPYMVDSSSVNSAFAPSKVHESPHYFHGSTELINDTYHNQQVGGGGSYVQPSGFEESTSQHYNHPSAYGADSFYQMQQNLPPLQSLRRRANSPVHAASPYDSPHLPIPNGSVNANFVRNPGDVSPRIQGVPAYDRMPNPWAAPNGNIPYRVVGHDVPAAMENAFALGPRSNPTTAQYVQPLVAPESLQHQPGVPLREVHPERVYAEPMLPSYNDGKVAVPPLPLTNQLSRLDNNAMKKHEGSENEKSTRNVNESALHAVNEPSTLPQHVEAVQEGGLKQGKPAEHESRTIQDEAGATALQECGDISEDRLNFLPELIASVKKAALEDAAETRMAQPDASAVVSPVPDDDDNGKKLDEATDGNADANQDSDVHGSCDQQKNSKIEATTAEAEALSKGLQTIKNDDLEEIRELGSGTYGAVFYGKWRGCDVAIKRIKASCFAGRPSERERLIADFWKEALILSSLHHPNVVSFYGVVRDGPDGSLATVTEYMVNGSLKQVLRKKDRTIDRRKRIILAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPICKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSNMVSEKIDVYSFGIVMWELLTGEEPYSDMRAAEIIGGIVNDSLRPQIPSWCDPEWKALMQSCWASDPADRPSFTDISQSLRKMGAAMKLKRRNSQDINIMNKFAGD >Et_3A_023881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1460092:1463966:1 gene:Et_3A_023881 transcript:Et_3A_023881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVEGSASAAAAKEVEYQAGVQKLVDLLSKLNPAAKEFVPSSAAAAAAAVSSPSKKALSADAPRRNGYLTQGRRRINDRGRRAEREDSIRRTVYVSEIDQTVTEERLADIFATCGQIVDCRICGDPHSVLRFAFVEFADEDGARAALSLDGTIFGFYPVRVLPSKTAILPVNPKYLPRTEDEKEMVIRTVYCTNIDKMVTQLDVKKFFEELCGEVSRLRLLGDNVHSTRIAFVEFIHHCGMRWAYHACNADSDCARSI >Et_1B_013370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7837589:7843804:-1 gene:Et_1B_013370 transcript:Et_1B_013370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAELKRDYEIGEELGRGRFGVVRRCTSRATGEAFAVKSVDRSQMGDDLDRELAEVEAKLAQLAGKGNPGVVQVHAVYEDETWTHTVMDLCSGPDLLDWLRLRRGAPVPEPVAAAIVAQLAQALALCHRRGVAHRDVKPDNVLVDVAAEDDEDEAAPRARLADFGSAAWIGAGGLGTVEGLVGTPHYVAPEVVAGHEYGAKADVWSAGVVMYVLLSGGALPFDGETAAEVLAAVLRGSVRFPPRLFSGVSPAAKDLMRRMMCRDVSRRFSAEQVLELKRDYEIGEELGRGRFGVVRRCTSRATGEAFAVKSVDRSQLGDDLDRELAEVEGKLAQLAGKGNPGVVQVHAVYEDETWTHTVMDLCSGTDLLDWLRLRRGAAVPEPLAAAVIAQLAQALALCHRRGVAHRDVKPDNVLVDSASAEDDEDEAPRARLADFGSAAWIGAGGLGKVEGLVGTPHYVAPEVVAGEEYGAKADVWSAGVVMYMLLSGGALPFNGETAAEVLAAVLRGSVRFPPRLFSGVSPAAKDLMRRMMSRDAWRRFSAEQVLAHPWIVSGGGARALEQPT >Et_8A_056323.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:22738414:22738560:-1 gene:Et_8A_056323 transcript:Et_8A_056323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSCSVATLIALKNLEGEGECWMEPNPQQQHRIWLPPTSHVQMFNND >Et_1B_009884.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7733517:7733792:1 gene:Et_1B_009884 transcript:Et_1B_009884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRVSWLLVPRINRVFACASADHDKIFLSVDAGYLLSCDLRDGSQEKIISMSHLLYDNGNGTKFSADLFAFPVSHYMLPCVESLLRIRPH >Et_1B_010569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11730698:11738499:1 gene:Et_1B_010569 transcript:Et_1B_010569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKYEPIPREQGPSARQWTMEPFCHVEEGQRLPTGTGACGAQVEMVRRAHTQARSVIACLGMGDVNSLSRLGRVLGYGVWACGVWACQTSDQINKSIGSKRSARFPFQLLSSFLGSTAPPVLPLSLAGAEPGEARPPFESAPHLRLLARPLRPTRNALFSPKVKSDDLDRLIIVSRPDLDIESSRPLRNDRDYLDYFIHILHQLQQPLLKKGTPLPPSHAGHVHLQSRDEPHEHLSRRSTREKQQQQHYRRWRTLIGSSPSLGGGSGFEREEETSRERRRCPLVAGEEDHRGRTGETEICTGTPIALMEDDGKKENEADKSAPNRRRKGRLKFAPKATPKTDPKIIPKTELHEENKVAAIDKELLLKLRTLKSTDALGSRAKAEKQETPIQVAFGPAGPSVLRTFSTPRSSSSDVPVVKLPKKDEDPWDHTSTNHPITLPLRRPYSGDPDLDEDEFGQSSSRAHDGRATAAEELGLMDMVNEPQLLFFQLPRSLPLRRQADPVAKTDTDTNVESEEGNRKRRHHAISGCRLRELPGGLMGKIIVYKSGKVKMKLGDALFDVSAGSNCSFAQEAVALDTREKHCSSLGEVGKRAIITPDINYLLGSIKME >Et_2A_016111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21113171:21121152:1 gene:Et_2A_016111 transcript:Et_2A_016111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRTCNPERGASFRAGRGPVGRYYTILVWATVLWQFFLGAVGVIFCVHTLLAEIVIAVFIPITEVAAVIFLHERFSSENGVALVLSLWGLASYTYGEWREAKAKKKMEAAAAQASFRSGSVWLLTAEFPPHSTPAGTMEVETPVQHRAQQQQPCKDGGAEAAQLGGQVSAVTRPLRNPLLIVNFILMVIGTAGGPLCLRAYFLYGGNRKWFSSFVQTAGFPLLLVPLYFSFRRRRRDSVDDGASSTTTKPRTSTRFFLMSPRLLASSAAIGVLMGLDDLLYAYGLAYLPVSTSSILISTQLAFTAGFALLLVRQRFTAFSVNALVLLTVGAAMLGMNAGGDRPAGVSRAQYGAGFAMTLGAAALFGLMLPAMELCQARHAARAGAAAVDYPLVIEIQLVIGLGATAFSAVGMLVNNDFHAIPREAREFELGQSAYYLLLGGSIVVYQCFFLGTIGAIYFGSALLAGVIMTVLIPITEVLAVMLFHEPFNGAKGVALALALWGFISYFYGEVQTSKAQRHQSDKAPHAAHLDP >Et_2B_021379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29146347:29148571:-1 gene:Et_2B_021379 transcript:Et_2B_021379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLFEDIFKVAAIDPDGKKFDRVSRIVAKSESFDMYMQLDVATEVYPMKINEKFTMVLTSTLNLDGTQDTGYYTQAGRKTLADSYDYVMHGKLYKISEDTQGQNAKVEIYASFGGLLMMLKGDPANAANFELDQRLFLLIRKYHEQYPKTGPPNLQQTINENAGWIAVAFGWLQSSHAISSPASTRARTS >Et_8B_060430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15069264:15075652:1 gene:Et_8B_060430 transcript:Et_8B_060430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHGHLPHEMSMPMPHLGADDAAAAAAAAAAAAGGGGGGGAPPAWMRYGDGSFLHLQTTSDSSASPSAAGLQQWNMGVGGEEAVAAMGGGGVVVGAEADEADAARSKAEILAHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQGVLAKYSGLAAGAGDDLRELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQNLQSLTGASPGEGTGATMSDGEDDQADSEANMYDPSLDGADSMGFGLPTESERSLMERVRQELKHELKQGYKEKLIDIREEILRKRRAGKLPGDTTSTLKAWWQSHAKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSSNVKSKRKRSKIIIERQMKDRNTIVHIPNIGFLR >Et_1A_007151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31395083:31402458:1 gene:Et_1A_007151 transcript:Et_1A_007151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRAPPSTAADGGIEENAMAILDSSDIKDSRDLHDDQAAFLEAVRSACLAADNPSAPSWRMCNAVFQILRNKTSLELGVASFHLLMELDKQYPRTYLKDSGSGQALVVVNEAWSPFLLGNNAVCGESGESETSSRHLFDPSRFSLLIEDLVEMTNATDVDSRNKAMEKMMSFQYLINTLEADFVPRHIAYKESLDWVIFRESLLNMLLGSRKMVFKSLVKNCMYILQNQYHREAEDAVEDSIPSEGASAKSASDLDSSLNFSFELERTLLSLRKLFVMVMNLDLIRKQADASGLTSRADGFRNPIMEVILDELTYNTSYLSPFLSIFLEWNWKLEIILQYFSKYCGKTAVRTRRSDNSQHDLKLESILSFFLTATSAKAIVKKMSSEVAQLLLAHAYQVCLSVQGDSSDTTATTEKIGATAQQISCNLISAFQNLRKVNPNIQISPFEKEALFTAATFTRNLKNEES >Et_1B_010730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13428460:13434345:1 gene:Et_1B_010730 transcript:Et_1B_010730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIPLVRDYIDRMLHDIPGMKVLVLDPETVGMVSVVYSQSDLLKKEVFLVETVDNASSSRESMAHLKAVYFLRPSSDNVQKLRRHLAAPRFAEYHLFFSNILKIPQIQVLADSDEQEVVQQVQEFYADFCAIDPYHFTLNIPNNHIYMLPTVVDPPGMQSFCDRAVDGIASVFLALKRRPVIRYQRTSDVAKRIARETAKLMYEQESGLFDFRRTENSSLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIENNKVDLRGFANVPKDQQEVVLSSVQDDFFRSNMFENFGDLGMNIKRMVDDFQHLSKSSLNLQSIGDMAKFVSNYPEYRKTHGNVTKHVALVSEMSRIVEERKLMVVSQTEQELACTSGQAAAFEAVTSLLNNESVSDIDRLRLVMLYALRYEKESPVQLMQLFNKLASRSAKYKSGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLLFQTMEGIVKGRLRDADYPFVGNHFQQGRPQDVVIFIVGGTTYEESRSVALYNAANPGVRFFLGGSVVLNSKRFGRGTKNIEVEHSNMSRM >Et_1B_014080.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:3016097:3016963:1 gene:Et_1B_014080 transcript:Et_1B_014080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYIPPPSDQLTYHQGGAVLSGDIPLSFIWYGKFTPAQKSILSDFFLSLTSAPNAPTPSVGKWWNTIEQLYLSNAAITSSNGATATRVLLDAQVSDEACSLGRSLSLSQIEQLAARAGGGKKGGVALVFTDEDVAVEGFCSSRCGKHGSAPGGHSTYIWVGNSVKQCPGHCAWPFAQPEYGPQGAPLVAPNGDVGMDGMVMVAATMMAGTVTNPYGDAFYQGAREAPLEASTACPGVYGSGAYPGYPGNLLVDPTTGASYNANGANGRKYLLPAIYDPATASCSTLV >Et_2B_018866.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:10491698:10492212:-1 gene:Et_2B_018866 transcript:Et_2B_018866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPANRSAALEELSGAVPPTPALPRGDTDVIVPSLPLESQCSGLQLCPYNGFWLPEHFLTIIKTIHARFKPRPADIILASYPKSGTTWLKALAFAALNRATYSPFDKEHPLRRSNPHDCVSFIDINLFDKSDVDRNDILEGFEASRSPRLLATHLPYSLLP >Et_1B_012656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34110101:34111263:-1 gene:Et_1B_012656 transcript:Et_1B_012656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGKEVKTRAEPNVDILRLYIVLRPESAADGPVEEKQAPDSGKEGRKHGKHGEEGSHEGGHGEEVCPAERARVRPLDAPTGSVSDRLEVNVAERPLLRLVVMGKKSLPDPGKQRGRPFWGYVELVTTKLDDVKDALKEEEYSTATRGQRRRRPAARALGEGVYRIVRHGGRSRAPHTHLVYKLELPLRGPGEPQGALHVEPEASFLVQVKNPDPPKNSSGEGGGFRGLQSKRRAAFPARLQGAFGSRRYAAADPPDLLNYEGCELLLIAASDDVQEELGLELEGDVQEEEEEAGDEEQGAARCSDLVKMFGEVADVKPLLSGTWD >Et_2A_014930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22180654:22182267:-1 gene:Et_2A_014930 transcript:Et_2A_014930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLEDEFRHVLSTRALDLEVEALAGLSSLSISGDRRNSDATDAGDDDDSSVGRRSSYRSMLSIREIDLFPADAISDLRAIASRMGAVGYGRECVQVYASVRKPAVDSALRRLGVEKLSIGDVQRLEWDVLEAKIRRWIRAARAAVRGVFASERRLCFLVFDDLPLFNSTAALATYDAPFAEAVKEAISIGRRSPEKLFKIVDLHDALTDLLPDISDIFAASKAAESIYVQAAEIRSRLADAVRGILSEFESRVLHDPSKTPVPGGTIHPLTRYVMNYSNLISDYKVTLSELITSRPSACSRIAAEGQLPLAAHLVWPIVVLEHNLESKAALYKDAALSHLFIMNNVHYIVHKVRDSSELRGLIGDEYLKRLTGKFRQAATNYQRSAWLKILNCLRDEGLHVSGGFSSGISKSALRERFKAFNAAFEEAHRVQSGWYVPDTQLREELRISVSEKLLPAYRSFLGRFRHHIENGKHPELYIKYSVEDLEIAMADFFEGAPAAPYNRRRSHG >Et_10A_001266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22742728:22746843:-1 gene:Et_10A_001266 transcript:Et_10A_001266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAASLRYFPSSLRNRDYPGSNSAIGLRTPYSRRRHCAAAVAAPSRQAEPSSSLGHLTRADFPILHQEFDGNKLIYFDNGATSQKPSSVMNKLDEYYRSYNSNVHRGIHALSAKATDAYERARTKVANFVNAADSREIIFTRNATEAINLVAYSWGLSNLNQGDEIILTVAEHHSAIVPWQFVSQKTGATLKYVGLTKEEVPDIDQLKGLLSNKTKIVVVHHVSNVLGSMLPIEEIVTWSNKVGAKVLVDACQSVPHMTVDVQKLGADFLVASSHKMCGPTGVGFLHGKFEILFEAGTPAIGEAIGLGAAIDYLSQIGMEKIHEYEKELATYLYENLISVPNVRIYGPSPSQTGQRAPLCSFNVENVHPTDIAEILDLQHGVAIRSGHHCAQILHRTLGINASARASLHFYNTKEEVDVFIHALKDTIDFLTSQH >Et_2B_019955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15582132:15583150:-1 gene:Et_2B_019955 transcript:Et_2B_019955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EGVKRFRLQVEMQCRCIGCIGKVEKAMASIGSLSGIETSVGDVDSGIVMVVGKVDPTEVCDWLKRKTRQNVKVISPDPPIENHKQKMILVLGSSSISGNTAPSAPPLQDEMSWALEPSGAQPYHESIQLIEEKIRGLEKTRDTLKIKNLENELLSVKHNLKQSRKAIEGSKKVLLDSALNQLKAYKNLEALSRSPWD >Et_4A_035914.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8442830:8443474:-1 gene:Et_4A_035914 transcript:Et_4A_035914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMMAAATTSCSPRRAAPLLKAVASSSSSSSPSSARPRRPLAQQLPKLLASAAAVVAAAPLPALAEQMEKAQLFDFNLTLPAIATEFLLLMVALDKLYFTPLGKFMDERDAKIRGELGSVKDASEEVKQLEEQAAAIMKAARAEIAAALNKMKKETTAELEAKLDEGRRRVEAELVEALANLENQKEEAVKALDAQIASLSEEIVKKVLPSA >Et_8A_057578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4694292:4700138:-1 gene:Et_8A_057578 transcript:Et_8A_057578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELLPVVDLRALSQSDLDALAAVSAHALAPGSCPDADPLPPLKIDRAVFNESAGSRKQTFSRLRLAAASSSPTARPTSAPPSSVRKDPDSSLAAYHLRRLFFPDDPSLPPPGDSRTLALTERSPSPPPDPDRETTNSKGISVDLVRLAGTVDPYDAELRRRTAGMASETELMGFISSLAGKWATQRRRRKFVDASFFGDHLPRGWKLLLGLKRKEHMTWVHCFSYVRFAALPCHSFPPVVCLCGCPKGSQFATCKEVSAYLMSLLGYPEAQTVTTQYNSSGKPDLCGDNSHDDVIGFQHQTGLSVDNSNVLSVTFSNYSGHLKDNDERNIESVNAYECHNSNLTFQDQASYSQHNFSSHEMSCKRRRTGKFGEPIIGKDGKFECPVCHKTFAEESRYFGHVGAHARYEGMTPSIFLDKLTSRKGDSNSLAEISFSLQELTESSETNNKASAGGEAGCQHPSCSSEHGSNSSKVKELFSANCLDGFSRPNEAWRRHEEIPSISYAPSAVNVAVPQLTSNCNGQPDRIRNCSEVALYNDQAGSHHVFRTNTFGTANRYEDQIVDHGMASKHGMVNYTVKARDVNTSTCLSASYGNDSGGDKANFFGNKNNAVVYQSNMGMRPASPVETEARCFASHSFHSENSDKGRASSTKQQMDSIKSRASNGTGFGFEAFNNNSNNVGGAVHERGFAQFSSSFTQIKPNDSSRFSPPESNMPKTCNLTKRSDVASMNHPLVNRSDLNCTRDSFVNRHISSNESSVSMHEVMRKSNDEMQNRNDHAQGCVPHAAVSTSQNFNGRASTQGNFGSMSSMFRSVGDAAMSSTSQDQCDLQLGFGIQKQQIFSDYGELRSTTSGSPQLDTPRNNSLPTGSSQFGSTGGPNSFPAGSSQFGSLARPNVVPTSFQFGIMARPNSVAPAGSSQFGSMSRPNSVPHVNSAQFENIARPNRLSHPDSSQFGSMSRPNCVPPPDASQFGSMARPNSVPHAKSSQFGSMARPNSVQPASSSQFGSTVGSNSLPPAEASQFGGMPRQNFGSRTEPILVLGYAPQMGNAPPVQVEWDLSSPKVTGGMIMCVCIWCNSQFHHFGPPDGQQAGSFGFICPACKEKLSGHPNMRSNGSWQT >Et_5B_045589.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:541590:541769:-1 gene:Et_5B_045589 transcript:Et_5B_045589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPKVLLFTLAVALLVAAELPVPVTGQKSTRVPPTAVRGGESTIPAGECNFDILFSRS >Et_10B_004011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9263957:9266279:1 gene:Et_10B_004011 transcript:Et_10B_004011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRIQIPLLTVVLLLAGAAVIVDAQQGSAGISFRSSTVPNNYSCSTTGNYATNSQYQVNLFQLMGELPQDAINNGGFAMETAGEGPTDKVFGLMMCYIDRNLTQCKDCLYTAAAFGGVQQACAQSREMKVAYDACVLQYSDQSFFSVVADFNFTYMDFSANSFVAYTASMNATRWSLMTGLALKGAGSKLRFASGSKEYTDSLGSSQVIYGLVQCTRDLTISECTECLTQFIESLFSLSPNGTYGTIKGYSCFAAYRFGQDLGITILPSMAASPAPLQPPGTPGTGRSGLAALGIGLTVGTLVFVICTGILVSFLLRHCRQNKGKHELDVFDDDEPLEEEFEQGAGPRRFHYSELAMATSLFSEDAKLGEGGFGSVYRGYLKDMDLHVAIKRVSKSSQQGRKEYISEVKIISRLRHRNLVQLIGWCHGGGELLLVYELMPNGSLDAHIHNKNNVMPWPLRHDIVLGVGSALLYLHQDWEQCVLHRDIKPSNVMLDASFNAKLGDFGLARLVDHNRQSHTTVLAGTMGYMDPECMLTGMASAASDVYSFGVVILEITCGRRPIVVVKDSEEYANMHLVQWVWELYSHGRILDVSDGRLNGEFDSQEMERMMVTALWCAHPDRTVRPSIRQAINVLRHEAPLPTLPAKMPVAMFMPPVDCFMSGSGATSNSRNSGSAGTTHSSIATKASTLLR >Et_2B_021889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6642101:6642521:1 gene:Et_2B_021889 transcript:Et_2B_021889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRPNQHTSAQFKGSCNTFDATTIWRAHAKGKHKSETGHAIRCAHSAMNNLQKQRCTYDCNVHMPCKWQLITIWTGNMVQPPDVQSRSTQEWWTEAMKTSSKNQRRSKAVVIIYTAWHL >Et_1B_010237.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31469385:31469576:-1 gene:Et_1B_010237 transcript:Et_1B_010237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIELETDAVNLKTAITSSSMDQSPNGVLFKDIKFLMYTEFVCVRVLHNPRTCTQWLMGSRS >Et_4A_032353.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31422492:31423121:1 gene:Et_4A_032353 transcript:Et_4A_032353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCECDECCGCFGEYRRFALGFCIGVAILAAVAVIVVLLLGYGRVRHLSVDVDDASLTRFELATTSPGTAVAYNLTLTLAVRNRNWAMGATFRSLDADYRFDGQRFDRVAVVASYVLPARKTAVFRLVSGDDGALAVLGRAGVKEYRKEKREGVFDIEVGLSGEVRYQLHRTWCRLEAKCPLRLQLRPEDGAVVFQKTTCDVLRSSQRGC >Et_6B_049470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5216202:5218103:1 gene:Et_6B_049470 transcript:Et_6B_049470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSGDTRLALLLLCAVAAAAVIASLLHLATSPCAYSSQLSRAMLSVAGLDPRLVSCGDSTAKKKPLLSSDNDDVRSSNTSGTGGPIATDFRLCFKPELPPNALPPYRCCPPVPSSDPINFTFPDPSEPLRVRRPVHSVGPAHLAKYARAVALMKALPRSDPRSFYQQANVHCAYCTGAHRQAGRPDLPVQIHFSWFFFPFHRAYLYFFERIAAKLLGEPGFAVPFWSWDVPEGMTFPKEFAVETSPLYDPVRNPRHRPPRMVTNAALPSLFHGQPYRAGQSDKPGAGTVELCPHNTVHTWTGDISLPNVEDMGTYYSAGRDPIFYPHHENIDRLWQAWRDIGGGKHLDFTDPDWLDASFLFYDEEARPVRVTVRDMLDTEKLRYTYAGVGHPWLDARPPVTPGVNKAKKLKSSPPSFPVALDKAAVSAQVMRPGEPKPRREEDVVEVLVVEGIKSDGGGMVKFDVYVNAVEYEKVAPGGREMAGSYVSLRRPGMEGTVVDSSMRLVLNGLLGDLGAETDDSVTVTLVPVMGKVSVGGLRIVYMKD >Et_2A_017802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6355547:6358634:-1 gene:Et_2A_017802 transcript:Et_2A_017802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RYVFSQHVDPNPNPAQSSPTSKYYSRPRLACGRRNRTMPRKPMRKSPSAPARRDSSPEPYPSHSSPTPDQCLAVRDALLAFHGFPEEFAPFRVLRLGGLPPEEDSGDAPAPPPSPTVLDGLVITLLSQNTTDAISRRAFANLKAIFPSWDQVVDEEGKLLEDAIRCDGLAATKAARIRAILRGVRDRRGKICLEYLRELSVDEVKKELSQFKGIGPKTVACVLMFYLQKDDFPVDTHVLRITKAMDWVPATASREKAYSHLNNKIPDDLKFDLNCLFVTHGKLCQACSRKLGGDKSKCPNAACPLASYCCARENLQQ >Et_9B_065941.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18342349:18342807:1 gene:Et_9B_065941 transcript:Et_9B_065941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDGCTITGVAASSSGGSTAPAPADAAATMDERRLKRRLSNRESARRTRARKQQQISDLEAEAEALRRRNGGLGEAVRAAARCRAAVEAENAVLRARALELGARLAGLVQCCLLMEPSAAAAADCIGGVSPSPSLVMASPADIMYAYSYY >Et_7A_050216.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:12426806:12428851:-1 gene:Et_7A_050216 transcript:Et_7A_050216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMDLFAALLFIYYVLTNVWGHNNVTRTIVMAGLSSDPSWSDLPMDVLLCILHRLELPQALAFASVCTAWRSTAVAAGVPCSSAPWLMSWADLLKEREKRGRSSSAVTCNFYHLLDVDKAYGVSFPQGCFVACCGASHGWLVLVNELSNLVLYNPFTTDMIHLPPITDFACVNAVYSNEGNLEHYLETGQVHEITSLGTWFYQKAVLSCSPSKGGDYVLMIIHRDNDWLSFVKSGQSKWQVASTLDASGRDRYADCAYHYGRFYAVTFDGMVEQWDIDDLNGATRKVVVPSRIHVGPILSRHLLSTSWGDLLQVRALLELGYPDGIRFQIHKVSQDGCKKLSRKDLMDHALFLGLNHSACLPTKKFPKLRAQCVYYSAPWMIITCQWLCRLPRGWGGVRIYDLKRRKFEHAFPVHDGKKSISHTPSEVWIAPNLL >Et_10A_002038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2051081:2057408:-1 gene:Et_10A_002038 transcript:Et_10A_002038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYGNPRLLTRVCLNTDVAYIIKIESVSRLNGKGAKSRGWSRRGFPSFSLARRYRRRASPPATSPTERATGHCVTGLASTGTPRLSRGVGIALLRFSAAALCRHRARRGGEEIRAGSGLDSFCWNRSVAGALVFVNCLPGPKELTGADDLISKFKLLPHHEFFCKKPLPLAISDTHYLHNVVGDTEIRKGEGMELDQLVQNAYLRDKPAYIQPFDMETLGQAFQFRETAPVELPSAEKGIPTISGKSKSESKDKEKKHKKHKDREKDKEHKKHKHRHKDRSKDKDKDKDKKKDKSGHHDSGGDHSKKHHEKIKVTLLTFGNVHDSFQKRKHDGLEESADVHKHKKISQSLMAVEEAQVQHELVDGAPPVARLATVLDEEGTSIFRSQELTQLSVTPIFGLSDPLSQREGAVRTRASQILQLQHSTAHQMFQEKKSTVINSSSNHQNSTSSRPSGGAAGLVGAQQDVRERWRAQGLAARWRRALSRLASLAKCEGAGAGWLPRGSGGAASARDGGRAGARARAARRKKPGGKRGKGGRR >Et_8A_056601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13162625:13167680:-1 gene:Et_8A_056601 transcript:Et_8A_056601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VPAGDRLGIILPTGLNQSGTDDDLPPSYQSRALKGSGRMSGNGRDMIGADPYARVQPQRDMETQIHKLEQEAYSSVLRAFKAQSDAITWEKEGLITELRKELRVSDKEHRELLNRVNNDDIIRSIREWRESTGGLQMNLVNNAQHSHDPMPSPTTSARKRQKTSQAIPSASIPAPSAVHSQPLTVPMQPSSSGAKKPGPPGTKGKKTKPGQKLPSVATVKTIPASAGLGGRGPGTNRNPSVVPPAEGVPVNPLIGRKVMTRWPDDNSFYEAVITDYNAAEDRYSLVYDIDTAHETWEWVDLKEMGPEDIKWEDGDPGLYLHGHGGGVRGSKKPNNRGPVSGAGRGRGPQKNSSKKEFPPSQNGVGKKSSDYIEILHTDFLIKEVERVFSAGHPDPLEMERAKKVLKEHEQSLIDAIARLAEASDGESDDRARSLDYNRGYRNQHGGRYADDMAVDGFMTGDADAM >Et_7A_050515.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2112779:2112808:-1 gene:Et_7A_050515 transcript:Et_7A_050515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSRWFP >Et_3A_026162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:502721:508023:-1 gene:Et_3A_026162 transcript:Et_3A_026162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSAATRTRSLEAVAEPDVRPVEQQCNHFSIRDYVASLQEKDPELYSLSRIFGKQQQQDERHNLCPISVPKFRRWNCSGCLDKVKVGDRTTSRAVSTKRDSNNNGCSISFVRSVRPTSVGYTRLFPCTQRSSQGNEAGGSDFPKSTQECNSKCNSPSGSKGALTEMDVDPATKGTVEPDESISKTQEAPNNLDAVENRSNEAYVDVAVLPEIPQMISSTTGNGTKELQGSPGNLDVMTNISNDILVGDTLEAPQMLSSKSENGTQNLRSSKSYDEVRNEDENERIDDVLIGDSSAPNVDKPAELKGSEPISGHNGSQVRNRSSRQAASKGNAGSGSKKKKNVSTNLSGISDPKFCQRKRKRTRLLSELIETDQMGGPTNSIDIDHARVVDLSESKMSLEVEMGNDTSVSKQKAEEIESRTIQNNTKLRVDDVHDQSSLMNWLKRSHKKVRTEKKDSEDRNVDSFAVSNSSPGTPSDNLHQDSLPSAGEEKVPSTTNAKHGNSNMQNHSQGSNILKENALCQDEPGNTKQMFLPMGESETLLKRKVPPTVNAKHGGAKPGNIIAKKKMCKADHKGPTASENTQRRCLSKVSLGKRDSHNASGTHDQKVAMDKKKRKLQVLEKQAEIDDIPMDVVELLARHQRERQLMTDADSLETSHTRPTAEDCARMAANKDGSIDASTVLDTNFPESLTSQWKQKLPGHASSSAKAANLHPLEESYVQMSVQGHAVSNTQASDRQMQNSLLVHAASMAEAVHVYPPKLRIPDILMCTEEQQTHSHMDKEVTIASTVEHYTKKAVNQAPLRNQAMEAGRLYDQRIAGQSGLYPRETMPASHLLRLMDSSTASGFTNRNRMAFEASGSHYVQNQYKASPSRSYGVQQNLHRPLRPHPRVGVLGSLLQQEIANWSEHCGTHTGYRVGVSNGIAPMDSNRRENCEALNPGILSARWNALQSAANPEYLSPRYSEAQSWSRGTGKVVHPLDKLVRQDICQTNRNPADFTTISDKNEREPWIWKRCSEVGTLFQV >Et_3B_029653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26824441:26831756:-1 gene:Et_3B_029653 transcript:Et_3B_029653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLGGALNAVVLVLLCICYVDVARGQRTDPNDVNALEAIKGSLIDPMNKLKNWGNGDPCTSRWRGIVCAKIPSDSFLHVTEIQLFKMNLSGTLAPEVGLLSQLKTLDFMWNNLTGNIPKEVGNITTLKLITLNGNQLSGSLPEEIGNLVNLDRLQIDQNNISGPIPKSFAKLISVKHLHMNNNSLSGQIPSELSRLPLLLHLLVDNNNLSGPLPPKLAETPSLKILQADNNNFSGSSIPAEYSNIRTLLKLDLSWNQLNGSIPTNRLASNITTIDLSHNFLNGTIPLSFSGLPNLQFLSVEGNRLDGAVPPNMWSNITFTGNRTLVLNFQNNSLATIPAAFEPPEDVTVLLYGNPVCTTSNAARAANLCQPTPVNGSPSGKGPQPSTICVPCPTDRNYEYNPSSPIPCFCAVPLGVGFRLKSPGISDFRPYKEAFDLDLTSLLDLLVYQLYVERYIWETGPRLNMHLKLFPSNTSLFNTSEVVRLRQLLAGWQITLSDVFGPYELLNFTLGSYEDVLSRFSIKVDGVKCFTFDEMAAATSDFTAQIGQGGYGKVYKGNLADGAAVAIKRAHEDSLQGSKEFCTEIELLSRLHHRNLVSLIGYCDEEDEQMLVYEFMPNGTLRDHLSAKNERPMSFSRRLHIALGASKGILYLHTEANPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDIEGTMPAHISTVVKGTPGYLDPEYFLTHKLTERSDVYSLGVVFLELLTGMKPIQHGRNIVREVNTAYQSGDISQIIDSRMPSYPPECATRFLALAIKCCRDDTEARPYMADIVRELESILGMLPEGEDSVSETTEMGSGALTKSMSSSTTTGALFVSSHTSSIAHTNSGIPSGTVAPR >Et_4A_035564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29438258:29440414:1 gene:Et_4A_035564 transcript:Et_4A_035564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVASRVRSARAYLLSARLMYYCSRSDVRASRACVAGGSSSEFDSAVGSLKNNLRPERLARVLDSTSDASLALRIFRWASHQRISVQTVDTYSCMIYKLAAVGNRDEMDSLLGEMVRLKVPQLRQAMDQLVQSLNDKSLFDEALLVVQHACSQRIKLSVSACNGVLGGLVKEGKGLRQFMFAYMEIVKAGVLPDVETLNCLIEVLCEAGRLDLALIQFDRMSRKRCAPSSRTFEILITKLCSCGREDEAVKLFDIMLQLRCIPDRGFYAQVMPLFCKFSKVKEAIKLRQMMKQDGHQLDTHLYSALVRCLCKIQLLDDAIMIFKEMTASDLSPMPSTYVDIVDCYCTLTKFHNAVSFLEENGVTESEPYNVLLKSLCMNGRVQDSVSYLYKFHGRGFKSEACKFLDQMLEKGWVPDSRTHCVLVGNVGEEETRDVGELYQTVDNDNVSNILLEGLD >Et_1A_004856.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12436022:12436192:1 gene:Et_1A_004856 transcript:Et_1A_004856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMPSSGGWRVSRGASAVQKKEGKSYRQGKAKPFFQVSLSPSKYRVFGGGGGYCY >Et_3A_024088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17210741:17226563:1 gene:Et_3A_024088 transcript:Et_3A_024088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDALPLALSQPHLIPPAPTRDSRAIAFLPDLGGFPWVAYAAGSFIVVSNLPSPSRGVGRDTDSSGVTADESPFFRQVIDLRAPVSAVAWCGSGAGEVAAAADNSVSVFQPAPSSSAGSFGWLQRWSITETFAVTAVAWTGSGDGIVVVGAGVSMWTRAQSSWQLAWKSTPQVPQSLVSTTRFSQGPVATVAAIAPTDRSVPVLVFLNDSKRGLEQAELAHPQPVCLIQWRPWSLSVSDQSEVRREILMTCCLDGTVRLWSEDEVVRSKKKHSSQIPFSVIAVIEMNNTLNGVLGVDITVRWSMEAGSVMSRDDAGDSRESQVGKCEWLVSVGPGPCVTCWALHCLDDISPPRYPRITLWKQIKLHGWEEPSANLGPSKSIGQSVFVEAVISRKLCSGPPTTCSLLHLLPDDSFVWTGLLPDSDSGARISSDSTKSMPFCSTKTVKQDGHKYGIRQVSVHPYSCEIELAVSMDSSRMLFFWSLSTFSTLIPTLHAPTYPLWKLLCKLDLQNISSDVQYSCLCWAPSISHDNRFLVLGGEHGADCFVVNIQKEEVLSCQKIFTIPFPRQGNAEGPPDSIHTIPFASDCNGSFVSNSFLVVCIWRKGFQAFSWKVVLHTENQYENGRCLCGFSASSLSTTGQRRHMTCVSGESFSAVVYEGSSVFPSGLEGEYATCISVMPLNHTVLPIQEHGPSIAVPGYHIATGCSDGSVKLWKMSCAENSLQSEKESHIWELVGMFSAHQGPVSMILLSSCGRVITVGRSAQKNCNTIHIWEAVKLTGDGSFLLEDALIFQDSIVGLDGLSLGDGRFLLAVCLPNELHIYSPTHPSFQNVLNSENSREKHLWSCIALSHSHHNIAGFLWGPKATIILVHEDHLSLFSPWLVIGADKYITQLRTNHIVAHEELPCTKHLNEPVFSKLKLSEIHKSSVPHGSNCILLTDQSDSHGSNGLCNLLDIADKMSGPLASYHPRALVQYLYSGEWKRANAALQHLVQSMKESETSKVMVECSSCHKSCRNIPGLPLSKFFADTSSSDISSKGLLWGEDRSNNAFNMLSPASSFMYMDSTLSINTTTSASQNSEIDQLLDNNCSIYAISDTERTQILAVSDLMGDIIDLSRSSPYKSLDEAGRRFWVAVKFQHRYALRRSDCSSSTEWCHVDSASIAWALQSDCQEDLLNCVLPTDPTWPEMQKLGIGFWYTNVSLLRTKMEKLARLQYLKNKDPKDCALLYIALNRLKVLVGLFKISRDEKDKRLYEFLSRNFEDERHKAAALKNAYVLMGRHQWELAIAFFLLGGDASSAVNVCAKNLQDEQLAIVICRLIEGSGGTLERNLISNFLLPDAVEKGDNWLSSLLEWTLGNYSQSINKLLSCHLKLLIDGSKTYGVKNVFADPAVGQYCAILSAKNSFRNCVGEAVSAKLSKLSVAMAARALNKCGLPLEALECLYSNTTIDGKDSTSLPDGGFQNIFDGILRPLSASSNWLSSSVVSDVESNLKVTMASKYLSRLLRNHSFCSHCNASFSNDKVLNKYTIHQIEELTRDIMAAISVFEKRFSLKFTDIAEKILISCSSDGLLFLAYFLLWDSGSPDDASHDLEFRLLHPIEYLFSVSCKESCKFLSRHVVSCCFMRFILNTDLTTTKENHKYIIAGLSNYLNASRLLLRNDNRGNYALDNGSAMVTVIDLLQYTIEFSFSWLYCDVKAFITMINPVLAASINGEPFQVPLDRPMQAMNHRNHDTSSSMEVGRTSVELHERLPDKSDSPNVSTDEKWRLIGASLWIRLSSVVKHYLREFVEKERLEHEAGSSDSEFKGLVSSVAAKLVDSMHFVSSSLVKLNASFLRKNLLMDSQSNVIFWLGRNSSQQWSDISNYNQLTRISQLTDNENMEVLFNTLWELCSNPVDICDAFVSEGVNCFSLSSLNFSRSWKDIKGAVVACENNITRRGGEEHKYNVTSKNNDKGQASAEASVHVESFHETEKKELIVQKDFQNPRELLKRSGELLEAICLNSINEKQVAIATNRKGLVFFNWSDKHHDEQFAEYVWSRSDWPLDGWAGCESTPTPTYISSSSGLGRRRGSHPGSGGAAIGLGSLAKPGKELTGGGAFGIPGYAGIEASGFGWGEPEEFEDFVDPPATLENIHSRALSRHPLLPLFLVGSSNMHVYLWEFGKDSAMATYGVLPAANIPPPYALASISAVQFDYYGQRFATAALDGTVCTWQLEVGGRSNVHPTKSSICFDSHASDVAYVSASGSVVAAAGSSANGANVVIWDSLSPPTTCQTSIMCHEGGARSISVFDSSIGGGSISPLVVTGGKSGDIALHDFRFLSTGKYKHHRIPTDHDVKASSMHDTKTGTSGGTTSGTIWHIPKAHLGSVTKVSAIPNTSLFLTGSKDGDVKLWDAKNSQLVFHWPKLHERHTFFQPTSRGFGGVVRAGVTDLKVLSHGFISCGGDGSVKLVQLNNLGAVHQLSDGGTERERCAGAGDAERARCEGARAIDRNLLQITGVSE >Et_6A_046760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20265438:20271778:-1 gene:Et_6A_046760 transcript:Et_6A_046760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEAQKQLVQELATGGLREPPSRYVQRPENRPTSAVVATGMMLPTVDMRRLAEPDVHVEEAAKVRSALESWGLFVATGHGMPKELLDDILGVTRDFFSLPTEEKLKYSNVVDGDKFQHEGYGIDRVDADDQPEDERRPELWPGNPPSLAALLREYTGKSEQVAKLVLRAVAVGLGLGEEFFVDRVGERKARSLVVTFARLSYYPPCARPNLVYGVKPHTDNSVVTILLLDKDVGGLQVLKDGMCLDVPVLGHDLLVLVGDVMEVPVHRVMMGEKERVSLVMFYRPDPRKDVEPAEELAGEKRPWNSPTASGTRTQRANKPSTSSS >Et_7B_055539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13842632:13843347:1 gene:Et_7B_055539 transcript:Et_7B_055539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGILPEKRLPARLSCVSAGSASTDAGRSPDRRLDWRLITRSSTQAARSGGSGPDSALYRSDSTASLVSPPRTPTGSAPRRPSPGRWISVTRPPAQTTPVQEHVGVDGFHDTAADPNGERKACSAAVSDARSLAARAAPTLVQL >Et_2B_021376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29118717:29121786:1 gene:Et_2B_021376 transcript:Et_2B_021376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFALGIVAMACMMAIAQGGSFFQDAEISWGQGRGKIVDGGRGLDLTLDKSSGSGFQSKKEYLFGKIDMQIKLVPGNSAGTVTTFYLSSQGATHDEIDFEFLGNVTGEPYTLHTNVFAQGQGQREQQFRLWFDPTKDFHTYTIIWNPQHIIFAVDGTPIRDFKNHEARGVAFPKSQPMRLYASLWNADDWATQGGRVKADWSKAPFVASFRGFNADACVMANGKQQCPVGTMETAAAPGGRRGRTGSSSWWSQELSDMSYRRMRWVQRKFMIYNYCTDAKRFPQGVPAECKLRCMPSGSGIWRKAPRYVPSN >Et_3A_024107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17401792:17403478:-1 gene:Et_3A_024107 transcript:Et_3A_024107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALLAAWKLACQIRHATVLLPPGHRFFLSPVTLQGPCSPKLTLQIDGILVAPPDMGSWPKFRRPLQWLNFKWLQGFSIQGAGTVDGQSISANTSQGPSIHWNVAGVKPTLIRFYSSFNVTVRNIRITNSPQCHLKFDSSGGIKVKNIVISSPGESLNTDGIHLQNTRDVEIRNSNIGCGDDCVSIQTGCSNIHMKNIVCNPGHGISIGGLGKDNSLACVSDVVAESISVRNALYGVRIKTWQGGVGSVRNVTFSNVRVANVATPISIDQFYCDRGGARCANRTGAVAITGVTYRRFVGSYSYQPVRLACSDARPCTSVSMEDVHLTPAPASSTGGAVREALCWNSYGEAQGTIEPLGVGCLQRSNGYAMPLTQPFNYTC >Et_3B_030055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30107365:30108178:1 gene:Et_3B_030055 transcript:Et_3B_030055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVAKAVAEFADAPKTQAELRLAVAEQFLLRWRPSPGDGTGIWDAEAEIHAFPMASAARTRMDRALSVAMARLMEEFLLLRVWDASGLQGLTGLRFADETQSSASASLAALKSCSATTDELSLRSTSDYLYASGGSHSSRPDMVTVVVDGTFLDELDLICQGSLPVLHKIALRVVRAGYTGELLRTFTKAPCDILDRANPIASATGSYLCYKSNDVVSRPTG >Et_2B_022730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3889438:3889845:-1 gene:Et_2B_022730 transcript:Et_2B_022730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGSTDVTKLLIRRSNASEGDAETTSNVLAQARTVKHYCYGLDQLVCHNGRSIRSFEKILQQFCNLEP >Et_7B_053745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11865935:11866342:-1 gene:Et_7B_053745 transcript:Et_7B_053745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIATSEKERFLSRFKRNNKEITETAPNSSRTAPFGPPTAKLTFFRVYVWEPSNYIKHIATSEKDRSLGRFDRNNTEITKTGLEQLQNSPCGNHPITSSTLQQVKRSDPQVVFTETTQK >Et_1B_014021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27714760:27717773:-1 gene:Et_1B_014021 transcript:Et_1B_014021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAVNAPSSPAPAASTLPAPPYHHPASPADAVTPPPKVRSRSPLPSPLQVSGGCSLQELLLLSPPPPSSRRHRSRTRGAGLDDSLEMVAVAGTPTPPRRRRRGAGEQCAAPALASPRNARRARRRLEKEVEPEEDAAARKARRRKSTRVAAPKAAQVADKAAAAATSEKGADTGSALVPAYAGTDFEVPSGWEGLWERIVELVMWRNVAKSALWFGPQIEKGRGFQLTEEDVLRASRAVLPIANSMISTAQTIFSGEPLMTLKILMDRILEAWKSCPRKKLVAATAVTMCWNMFSVKTRVMAAFISLVVLRYNQKYRKADINNMEVEGCQEGQEQDMEMDD >Et_7A_051118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14674574:14682091:-1 gene:Et_7A_051118 transcript:Et_7A_051118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMVFAQCYVRPNLAPPSTTTYTTKLGIQRLLSFLLPEEEPVSSTDKPVEKNEDYFMDFSIPKRLTVEEIPDVVNHFRIAAGNAIDAGFDGVEIHGAHGFLLEQFMKDSVNDRIDQYGGSLKNRCRFALEVVEAVVAEVGSDRVGFRLSPYANYLGCYDSDPDALGAYMAQELNKHNILYCSVVEPEMVTVNGKMQIPHKLHEMRKVFKGTFMVGGGYDREEGNRVVANGYADMVAYGRLFLANPDLPRRFHLNTALNKYDRSTFYTDDPVVGYTDYPFLEDSEPAKRTRMKQYADLIAYGLDVGFCLILTFLEDSDQMLLSTSTTLSTSLIRSSEREKGERERKMSNNHGGSAVPLLTPYKMGRFDLSHRVVLAPLTRQRSYGNVPQPHATLYYQQRTTNGGLLIAEATGVSDTAQGYKDTPGIWSKEQVEAWKPIVNGVHAKGGIFFCQIWHVGRVSNSSYQPNRQAPISSTDKPLKPQLRANGIDVAAFSPPRRLETDEIPLVINDFRVAARNAIEAVNDRTDRYGGSLENRCRFALEIVQAVVDEIGADNVGIRLSPFAGYSEASDSNPEALGLYMAEALNKFGILYCHVVEPRMVKLGEKFETPYSLRPMRDAFKGSFIVAGGYNREDGNNAISSGYADLVAYGRLFLSNPDLPRRFEVDGPLNKYNRDTFYISDPVIGYTDYPFLPSDV >Et_9A_061460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12238257:12241427:1 gene:Et_9A_061460 transcript:Et_9A_061460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTASSSTAAAGAAAVSCFSRPSPQRRAPRRRHRIRAAASTAAPPSPGVSIQLSPRASPPSASSNGAAPPVAAAFARDRAEDLQAEARAMARAANATVALRAAEVLSKLGTFAVKVLLDERSGETSSARRRARAVELRTILTRLGPTFVKIGQGLSTRPDLCPPEYLEELSELQDSLPTFPDEEAFACIERELGFPLDSVYSAISPSPIAAASLGQVYKARLKYSGKLVAVKVQRPSIEEAIGQDFYLLRGLGYLINKYVDIVTSDVVALMDEFARRVFQELNYVQEGQNARRFKKLYADKQDVLVPDIFWDYTSAKVLTMEWIEGVKLNQQAAIESQGLKVLDLVNIGIQCSLRQLLEYGYFHADPHPGNILATPEGKLAFLDFGMMSETPEDARVAIIGHVVHLVNRDYEAMARDYYALDFLEPDVDVAPIVPALKNFFDDALNATVSELNFKTIVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGKFRQEHALNITVWLLHLCRWNRLENLLVQGRQDREFAAKDALQPVLKLLLGPDGEELRVLVVKEAVRVTEAITIGALIDSYNTAPVFLKTIVSNGNPGGPFKLSDIEREQMIELRDRVFRIWGLLRSSDNFDPSILQPIVQVLQEPEARVLGSRVAGGVTQRLAARLLQQLLRTPPVPGSS >Et_8B_059641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20104741:20109918:1 gene:Et_8B_059641 transcript:Et_8B_059641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AETSLLLERKPNTWPSPWRRSPSGEPSAPPLTISNSKTLARTPLLPPLAPPLAIRAAAAAAAAAARARSPQSPETSSQLPPWGGISSSALPYKRTPPTWLKTAASDVEEMITKAAKKGQMPSQIGVLLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKKGISSSALPYKRTPPTWVKTAAAEVEEMITKAAKKGQMPSQIGVVLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYESTTASTLVA >Et_3A_024100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17315219:17318762:-1 gene:Et_3A_024100 transcript:Et_3A_024100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWMPTTGSYGNQHQIKYCTSPQNRSVDSLVPGERFGRHVTRSTLFLLHCYSKSTLTARVEMSGQFQQSVSKSSRDYLTRTFYSASMKRRVLSRVECFLSSDAINSGWLKPRRQENFTTLDSACVQTEYKLPIRKRSDCKAEQYEITGSPSSDVPADAVMVGDTNEVSNWWQEFPKRWTIVLLCFFSFLVCNMDRVNMSIAILPMSSEFSWSPATVGLIQSSFFWGYLLTQILGGIWADRFGGKVVLGFGVVWWSLATVLTPLAAKVGLPCLLIMRAFMGIGEGVAMPAMNNILSRWIPVSERSRSLALVYSGMYLGSVTGLALSPLLISRFGWPSVFYGFGSLGTVWFALWQSKARSSPEDDPELSKAEKKHILGGTTLKEPVTSIPWRLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANVGGWIADTLVQRGVSVTNVRKIMQSIGFLGPALFLTLLSKVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQKGSWDSVFKVSVILYIVGTVVWNVFSTGEKILE >Et_6B_049283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2688758:2693166:-1 gene:Et_6B_049283 transcript:Et_6B_049283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKHAPVFTPEAASATASTVHNLPALQAKMKRDPEGYAEELRQIHRHFKSSVFLFRHQAALANPTGAGAGEVAKELGDLALFLAHVAPLYPDDLADLPGQIGGLLDANARGLPQGLREHLVQALILMMNRKSVDLEDTICQLPEASDTDSSKKLGRSSLMELFMELQVIGDRAVKKLAFSHIVHSIRRINQKSKNESKNRKLQNILFKYLQAEEESRAKRAFTIVCDLHRRRVWFHEHTTNTICDACFHPSSRIMIAAISYLLGYENAKHDDDDSDASSPEDEASQNPQVILSKEDVYKANHKGTAASKKKKKAKLQRVIRSMKRQQRKSAEATSLSYDSPLTYLKDPQAFAENLFSRLQKCNERFEVRMMMLKVIARTIGLHHLVLLNFYPYLQRYVQPHQRDVATLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDSSRPESVAVGLNVVREICMRMPLMMNEDLLQDLVLYKKSHEKAVSIAARSLVTLFREIWPALLVKKDRGRPVGPKARPKEFEEVTVASDVPSAELLKENILSEGEGLDNKPDAFDSDDEGDLPPTPITKEIIDGSFDSYNLDAHEGTEEEDDKDEGHGTSNQDSDADDDGLEDDSNSDLVGDTDISDVDIDPNEEINESINDSQDEGSDKDEDSDEEDKSKGSSNVPKRKLEDYIGQLNAADASLPAPKRLAGAKKAKVSSGEIDKILSDEDFKRIKELKAKKDAKLALTQHGLRKGGDTRSATFKVPSADQLSMKRVYPAQLEAHVKVKLSKLERVAHVKAGRVDREPYVAKSFTKKKKTGGLSNKQKRHKKRMPLAATRAKAARSRLEKKQRHKRTGNQFRGRKAWK >Et_1B_013991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26713895:26718596:-1 gene:Et_1B_013991 transcript:Et_1B_013991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKATANGAGMREAAVAAVAAELEDAGGKAAGAGAAAAAPALSPLSETLWRDRAAAGGGALLLGDVSARLAWRDLTVTVALGSSGDTQAVLQGLTGHAEPGTITALMGPSGSGKSTLLDALAGRLAANAFLSGTVLLNGRKANLSFGAAVRLVSRTHSLPFLLCGALVRRVAVAYVTQDDTLIGTLTVRETISYSARLRLPDDMPREEKQALVEGTIVEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLARDGRTVIASVHQPSSEVFELFDSLYLLSGGRTVYFGKASEACEFFAQAGFPCPPLRNPSDHFLRCVNSDFDKVKATLKGSMKTKFERTDDPLERITTSEAMRRLISYYQHSQYYINAQHKVDEMARVKGTVLNAGGSQASFAMQTYTLTKRSFSNMSRDFGYYWLRLIIYIVVTVCIGTIYLNVGTGYSSILVFQRERLNGHYGVLAFVISNTLSAMPFLILITFASGTLCYFMVRLHPGFMHYLFFVLCLYASVTVVESLMMAIASMIPNFLMGIIIGAGIQGIFMLVSGFFRLPHDIPKPFWRYPMSYISFHYWALQGQYQNDLKGLIFDNQDDELPKIPGDYILENVFQINVNRSKWLDLAVLFSMIIIYRLLFFIMIKISEDVTPWVRGYIARRRVQNRRQRRAELAAVRSPSLRSYVVDAATLPADHP >Et_6B_048672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12386480:12391647:1 gene:Et_6B_048672 transcript:Et_6B_048672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAAAAAAQAAGGGGVEGDGSAAAVGRGAGGAPPEDGMYAELWNLCAGPLVTVPKVGDKVYYFPQGHIEQVEASTNQVAEQHMQLYNLPWKIPCEVMNVELKAEPDTDEVYAQLTLLPESKQEENASSVKEMPAVPSAALARPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGAEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGDNGELRVGVRRAMRQQANVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPAEFVVPCDRYIESLKQNYSIGMRFKMRFEGEEAPEQRFTGTIVGMEDPDSGGWPKSKWRSLKVRWDEASSIPRPERVSPWQIEPAVSPPPINPLPVPRTKRPRPNVLSSPPDSSVPTKGAAAKVTAETQHNALQRAFPTQENATPKGGFVDNEIETAHKSVLLPPGLDRDKNAICAPRKLGSDGWVQTNIPGSYNEMMSGYQPPKDVQNSQGFCPLPEQIAPGLSNFWHTVNAHYQDQQGNHNMFPGSWSMLPPGSGFGLNKQNYPMMQEVGGFPQRSTHPKFGNGAFTAVSGSGIDQHSPGWFGHMKPGADLEDPQPRVIKPRPLIIGHADGQKSKGNSCKLFGIHLDSPAKSEPLKSPSSVGYDGMPQTPVAAEWRRSDTSEIDKCSDPPKTPKQLDNPKADSVSEKHPACPQISRSVQCRSQGETRSCKKVHKQGIALGRSVDLTKFSDYKELISELDEMFDFNGELKSSNKEWMVVYTDNEGDMMLVGDDPWNEFCNMVHKIFIYTREEVQRMNPGTLNSKSEDSPSDSMEMGSVAKEVQGCLSTTSLNSGNC >Et_5A_042315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8663999:8665220:-1 gene:Et_5A_042315 transcript:Et_5A_042315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSSSSLLPALLLLVLAAPICLFSTPAAAEGARAAAVIVFGDSTVDAGNNNFWPTTAKSNFPPYGRDFPGGRATGRFSNGRVSPDFYPAALGLGRGFVPAYLDPSYTIQDFATGVNFASAGSGMDDTTSLALNVITLSKQLELFRQYKSRLVQQLGADEAEKVLNGAVYVISIGSNDFMANYFAMTSPGRHVEYPTASLYTAYLVGLAQRFITDIHALGARKIGFEEIFPLGCLPAARAALLGLCNEVPNAAARGFNDAMRAMVAGLKLPGADVRVAGLYGFMDALLHNPAQYGFDRADLACCGTAGLGCIPWGPTCPDAGKFVYWDNAHTTDRAHGLIAAYLFNYTYGAFAAAA >Et_1A_008637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9345279:9349443:-1 gene:Et_1A_008637 transcript:Et_1A_008637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKQKIDAQKRNAERNQKSKGSQLEARAVGLKVVCPICKVQLANEKQLIDHYGSKHPKEKPPITRKIEDLSGGISMGASRWGK >Et_2A_015495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13974178:13974472:1 gene:Et_2A_015495 transcript:Et_2A_015495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RKPSNYIKHIATSEKERSLGGFDRKNTEITETGLEQSSAKLTFLRVFAREPFNYIRHIATSEKEQSPGRFDRNNTEITETGLKQLQNYSV >Et_3A_025108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26928725:26931509:-1 gene:Et_3A_025108 transcript:Et_3A_025108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTTRRNTADTAAMTGEARPLEMARSDRSVWLMKCPPVVSRAWQEAAAAATAASAPEAGGANPNPNPVVAKIKMEMVQADIGNAPKSYSLNMHSDIVPMCILSESNQGKLACEGKVENKFDMKPHRENLADYGKLCRERTNKSMVKPRKVEFLKDDNGGRMRPLPGPPVPFGPKTQDKKKPIPPNWSLKQLMHETDQPEQFLKEMLNNLCVYNKRGPNQGTHELKPEYKKFTGDNDAST >Et_8A_057696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6143571:6151982:-1 gene:Et_8A_057696 transcript:Et_8A_057696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPDGRLVELFGAVKSWMPRRGEHSPPPPSQSAAAAAAQEPHDLSRDFWMPDQSCRVCYDCDAQFTILNRRHHCRHCGRVFCARCTANSVPRSPGDAAREDGERIRVCNYCFKRWLEEEAAARRDSAQPSSPVQSLSPSASNGQMSSYTNVSFADFASVPVHGEGNCSEGDVDGCPEKQQSVMEPAGGMEHEAYVDNPSDPFNFCLNRSDDEDDDYAVFRPDLEGQQIQNSDECYGPVHFDGHQIDCKDDTKESKSPRKDTTTLADSLGADKNEDHNTGNYECCNTRSSSMYSMEVPESEPVDFENNSSLWIHPEPEDEEDDHDGVLCDDDEGQDATGEWGYLRSNSFGSGHCRSRDKSAEEHKKAMKEIVDGHFRALVSQLLEAEKVPLVDKTGKESWLDIVTSLSWEAASLLKPDTSKEGGMDPGGYVKVKCLACGRPSESFVVKGVVCKKNVAHRRMSSKKEKPRILILGGALEYQRVSNLLSSFDTLLQQETDYLKMAVAKIKAHQPSVVLVEKSVSRYAQDLFLEKNISLVLNIKRPLLERISRCTGAHIVPSIDYLSSQKLGHCDLFHVEKYVEEHGTAGEAGKKMLKTLMFFEGCPKPFGCTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLVDEGATLPELPLKSPIIVALPDKPSSADRSISTIPIVMPSASSPNSDLQALDLQNDDLAFNVIKRMERTNGNIDCLLVPQSSISSLVQQSDISFCSYPDFTRDVSSKLHFEEHQNDTTKQALVNDSGLLPGHPMNLTSVENGNSFANNSDNGVMAEKSAASLNVQVSHDDDSSKDDSVAKKDEVPASPADNQSILVSLSSRCVWKETLCERPHLLRIKYYGNFDRPLGRFLRDQLFDQNNICRSCELPPEAHVYCYVHPQGSLSISVRKLSVKLPGEQDGRIWMWHRCLRCPRVNGLPPATKRVVWVELEANEVENAAELLFSQVLNALRDIDGRPLTGSFDGNLKTLELRRNIVELEEILLAEKADFTESLKNLWKKDIGKGQQFIDILEVNKLRRQLLFLCYLWDRRLTFIANSGGKYRDALGGLRVGNRNSDLSDNSVDPNATTKSEKISKVTEILSNATEGSLQQRSSPFYGEDKGLSQADQSNENSLRNVADVNAKDHLDNQESRVGVRRVVSDGQFPVTTDIPDTLDTKWRGGNGPVPDANLAKPLPSAEGIAVDMKNQAKAVPSRTSLSVPRSGDTSEDLLRWLKMPYMTTYYSLTTKEMGFASLADYTPVYITLFSELSQQGGARLFLPTGANDIVIPVFDDEPTSVISYALVSPMYRFQLSDENGKSKDSSDSLLPLPVYDSGNFNPFHLFDDFGSSDDFTSSMSGGRGSFSPDLVHLRVSFEDGGPLGKVKYTVTCYYAKSFEALRKSCCPSELDFVRSISRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFLKFGPEYFKYLSESISTGSPTCLAKILGIYQVTIKHMKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSSGSNKVLLDQNLLEAMPTSPIFVGNKAKRLLERAVWNDTSFLAGIDVMDYSLLVGVDDEKQELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNAPPTVISPMQYKKRYRKAMSAYFIVIPEQWMPAVSNPSKSSSTIREEDSQNAPHE >Et_3A_026272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6193874:6195745:1 gene:Et_3A_026272 transcript:Et_3A_026272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFPVDRGHSVSLALFSDVSNSRELLDLMQSGKLEPEVAFLNASLVPDVFPVLAAAHKALLSKSRESLTTRTLHSELVYNISGSKHITESLKRCGISDDTKYILAARFDASDEEMKAVEKLISGTEIDLSELESRANQPHILKHYKITPQELSISTLPDAIVCRIAARDAL >Et_1A_009115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:297970:299206:1 gene:Et_1A_009115 transcript:Et_1A_009115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWASWVGASVTSAFFASLERCSCINLSTDGDDDNEDEEEAKDRPLILSAPASHLGDHHQDAKPTDGKDQDQKQPPLPPNQCLTRQA >Et_5A_042038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5525985:5530613:-1 gene:Et_5A_042038 transcript:Et_5A_042038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESQRLRSYDTRASRNAGRPPRRRRQPRHAGHRSAAPHPGKAALLPPPRLPIVAVDRSLPKSSTRTKSTVYMRHYSYKDENMWENSVSFYLLKAKGLSN >Et_1B_013083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5373193:5375206:-1 gene:Et_1B_013083 transcript:Et_1B_013083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGNSEMRIYVVDSLDRERIGKARAEFQAIINDPLMLNSVILVFANKQDMKGAMTPMEVCEGLGLYDLKNRIWHIQGSCALKGDGLYEGLDWLASTLKELQASGRLPSGGTSLF >Et_1A_007169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31509896:31514369:-1 gene:Et_1A_007169 transcript:Et_1A_007169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PAPGPWHVCRAASVGRQAPTWHLHSDARSRLSCESPRTKNSPTQPPSPSLPHLRRRRPHRGSGMGVDYYKVLQVERGATDDELKKAYRKLAMKWHPDKNPSNKKEAEAKFKQISEAYEVLSDSQKRAVYDQYGEEGLKGQVPPPGASGPSGSSYYGGDSSTFRFNPRSADDIFAEFFGFSSPFSSMGGMGGMGGMGGGADRGMRGSRFGMFGDDIFGGSYPHFPGEASMHVPQRPQKAAPIENRLPCNLADLYKGTTRKMKISREILDAGGRTMVVEEILTIDIKPGWKKGTKITFPEKGNESPHIIPADIVFVIDEKPHDLFTRDGNDLVMTQKISLAEALTGCTVHVTTLDGQNLSVPINNVISPGYEEVVPREGMPIPKDPSKKGNLRIKFTIKFPSRLTSEQKAEIKRLLGS >Et_9B_064179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12191509:12195443:-1 gene:Et_9B_064179 transcript:Et_9B_064179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRRKFKRSHAKVRVGLPRKKPREFKPAFNLPEALAAAAWGGKDRWDAEGSVVKNYAAFGVVANPNLLGAHSRGTPSLVQSAPLQAPDVAAARAPVPEFEPVDTGSDLESDDLKSALGKKRRDGKSAPLQPLTKIQRICIGRLIEKYGDDYRAMFMDTKLNAMQHSVGTLKKLCERYHAGGKIFQLCKKIQWNGVFPDSGGTPARLASGIVEVGGSPESTGPRGSFGEEGRPGGGGGSDGGGGGGAAEAGGGRWPLGRVRAGARMTTAVAKVAVGRG >Et_8A_058055.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:16644368:16646305:1 gene:Et_8A_058055 transcript:Et_8A_058055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRLLLQTLPPPAPPPPPLSPAAVVETHRHRDLLAASAAAAAACLLILAVVLLLLLLRRRWRRRPTLPFSPPPAPARPLRRYSRRALRRATAGFHPSRLLGRGAASPVYLATFPDASLAAVKTRASAHELHLLASLPADSPRLVSLLGYSCPSPSVSGAAAAAAGGERQLMLVFEYMPQGSLQAALFGSDAGRFLDWPRRLAVIRDVARALAFLHGECCPPVVHGDLKPSNVLLDADLRAKLADFGLARFKSPGAAFAGGGDAADFMSQELGEEAGGGDHLSTTTSATTATAAAAKVADESSSGPASAWGKEWWWKQHEDGGSGELDSRDYVAEWIGSQICPERNPDWADDADDHKNSPSVADDQHAVVSAASPETGKNAGDEEAGKKEATKMREWWKEEFFEEMSKKGSSFDKRRDGGGGKPWLRSISMNTGGHGNNVDGHNGGLDLSSFRRSRKRSRRRGRSVGSDIHSGDLLSRELSSTTSMRGTVCYVAPECGGVADHDHLEKADVYSFGVLVLVILSGRRPLHILSSPMKLEKANLVGWCRQLARAGNVLDLVDDRLLPDAAGYDKDQAAMCVHLALLCLQRQPELRPDSADIVRILDGDMELPPAPVEFSPSPRVRPFPRSSRRAPPPQTEAAAANAE >Et_3B_028396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14650233:14656065:-1 gene:Et_3B_028396 transcript:Et_3B_028396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSAAAAAAQNPHRRQGGGSGLVPLAALIKEEARTERRAGGAAGGSRISAREEDANAGASASASAVGRGGEEDEARRRRPLLRYGCAAQSKKGEDFFLLRTDCPRPSTSASSSAATPHPTFAVFAVLDGHNGNAAAIYTRDNLLNHVLSAMPRGLSREEWLHALPRALVAGFVKTDKEFQTKGMILLLVFGSYRCAKRLTNADGISLFGCLPGQTSGTTATFVIIDGWTITVASVGDSRCILDAQGGAVSLLTVDHRLEENVEERERVTASGGEVGRLSVVGGAEIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPYVKQVKLPNAGGRLIIASDGIWDALSSEAAAKCCRGLPAELAAKQVVKEALRTRGLKDDTTCIVVDIIPPGQIVRPRSPPKKMNKFKSLIFRKKAKDPSPKLTKQHSAIGIVEEIFEEGSAMLSERLGNDSNGGMTSSSLFTCAICQVDLEPSEGISVHAGSIFSSSSKPWEGPFLCSDCRDKKDAMEGKRPSGVKTRKDVLAPNNYGPAVPSLGSLWRNWRWTLFDAIRKNRGDGRGLVGLRRPFLHQHPVEAPDLHGHNHLPLLRQLLPLPTLSSPSAKSNRSAAARAAMHRHTYNSNKKA >Et_3A_027371.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:9135756:9136325:-1 gene:Et_3A_027371 transcript:Et_3A_027371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAAAATEEAAGARKRKRGGDSVEDGAAEEAEEEQEEEGGGYEKGLLLTEEKGLLLAEDEEEEGCYEGIEEAAVVEVMRCLEAEISDDYYLAPAPAPPGTKEEEALGFVTINGNEESCGPSFSCAASTVMASVDTRPGAPPPPPVPWPWPFPEVPSTPAAEEKVEADEEAWLAELLACGPLLDGFSL >Et_5B_043278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21623890:21624696:1 gene:Et_5B_043278 transcript:Et_5B_043278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKTLKARILRALKSASAAPNSPPPSPTKPGAVAHPADAEPLDAWELVDQDGRATSPAPAADEPDPLLDFPARCPPGGERGVVLYTTTLRGVRRTFEDCSGVRALLECLAVAFQERDVSMDRGLRDELWTLTGDKAVPPRLFVRGRDLGGAAQVLALHEEGRLVSLLLPGAANKSSKCEACGGLRFVVCGDCDGSRKVFDDGGRAARCPGCNENGLVMCPLCL >Et_8A_056609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13412705:13415848:-1 gene:Et_8A_056609 transcript:Et_8A_056609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPCISVSQEMLASRATVSWVECTLSTTTRFANCPKICYSSPLISKRSGVVLRGMSGESASQGLPIDLRGKRAFIAGVADDNGYGWAIAMLLLQLVLKSLLVHGFLYVYQTLADFIALDPFVNQLSHEVSFLCQALNIFEIRLRCGKFDEPRKLPDGSLMDIVKVYPLDAVYDLPESVPEDLGYMITLFMLFLIKSNKRYAGSSKWTVKGVDANHFLLIWLPSINCLKETTLCTTHLLQLDIICVYEMFKASTNNRSLLICQELAESVKNDYGSIDLLVHSLANNGPELSLSLSLSLSFLSTIYELYSSFLMEKTCLTYFMTSNIFATISLTYIASDRAIPGLCIFLYLNSLFSRELTLLPLLPNSSRYLPLKLEERVKSKLTLYQQVRWGAVLLSQLDLLRRRWSTHMSIRHCRRNCWQTKWGTQLHQLFKFAVDSSKIIVVESTEQRETFFCKGICKTAAVSQYV >Et_10B_004319.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:3787429:3788661:-1 gene:Et_10B_004319 transcript:Et_10B_004319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGAGETRTYAATTIYDLTDDLLEDFLLRVRSPVCLVRAAATCRPWRRVIGGGAGFLRRYRSLHGPHLLGHFVPKKPWKFAKFVPAASPPGTPPIDISESIDLGFLNDYENREWVLTDSRDGLLAFVKVSSGIVLVGDPLTYEHVEAVLPPWTGEAHSYCFGAFLLDSDTDDTAKAPYMSRFRVICLRLVKDFGSEAYIAMSYVFSAKDRRWIKLSTTDAGNIVMARVLAQLHHVHMNLVGRVAGSIFWSAGNEGTVLELDESTGKFSVFTLPPPVGTYNRNYLRVISADAHTVRLVRIVGNDLEAITRARSSVACTVDRRYSLTGAINIWKISEINSLNLSWEFWDTALELGPVSILISADPDCLLTFSLNVATMRMKGMEISSLDVHQRVFPYEPPWPPTLRACLP >Et_3A_025299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28681333:28683771:-1 gene:Et_3A_025299 transcript:Et_3A_025299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKGRTRRTSSNLFLRITDICKVHSVGVASGAGEKLKADSTVDSSEDGAHLKVHPHQVSDQESCSGSSTARYEEAVVEKLLEAISGLKLAYVNVQQSLMPYDPESLVIADGHFVSEIEETAGLKDLYINVNKWSNPMYQSHVSSRIHEQQKLTVELQASICKKESEIVLLRSELQELEKSDMEVEETDCKVLNREGILKGESIDMFIEFFERSSKCIHDFTKLVVRWMKVSGWDLDSSKLPVDKSFVYEKRAHQKYSVEAYFARAMFMGTKEDYFSTDSFDDIMRSKDPFDALVEAPNSVFGRFCREKYLVAVPCSMEDSFFGNLDHRAFIERGGHPRTQFYQAFARMSRYVWALLTVARHLKPRAEMFFVRSGAQFQKKHMECVPAKLTPEEAKISVGFTVMPGFKIGCTVIRCRSVCSNMSERMLCLGKIELHLAKSLAKKFVVHRRGHTCSLDILPPPLVDSLASYSSSASFSDRTCEKSSASVMLPNKDCLFSFSSSAFA >Et_3B_027996.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:30452158:30452601:1 gene:Et_3B_027996 transcript:Et_3B_027996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLAACALLALLLVAVASSSSAYADEPSGIRQLERSGYGRGRKVGGRTEVRDVEGDREVQELGRFSVAEHNRQQECCGDGGGGRLEFARVVAAQRQVVSGLKYYLRVAAVEVEVDGEERVFDAVVVVKPWLESRTLLTFAPAAAK >Et_4B_039638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24771647:24774249:-1 gene:Et_4B_039638 transcript:Et_4B_039638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDQTVAKCPEGLRSPPVDGATAAAGGGVGALMKGFSAAHDGAVTVSLGPAGALAYSGANQNPLVPRLFGAVNDIFCLFQGHIENIANLKQHYGLSKTANEVTIIIEAYRTLRDRGPVPASQVVRDLSGKFAFILYDTLSKSTFVAADADGSIPFFWGVDSEDHIVFSDDAGLLKTGCGNSFAPFPKGCFYTTSGGLQSFEHPLHEIKAVPRVDSQGQMCGSTFKVDSETKKQDASIPRVGSAADWSNQF >Et_9A_061119.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16930357:16930710:1 gene:Et_9A_061119 transcript:Et_9A_061119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPHQHHGGSYTPVIVVLVFIAVLTAASVAVGELFVGSHGRRRTGYDLEAYVERKFAVCLGIEPVAAVRPPRRRRRKASCLTALGDGAEEVEPSDAAPQVDDEESGSSQPPQPDP >Et_1A_009334.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:39429494:39429841:1 gene:Et_1A_009334 transcript:Et_1A_009334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIFFGFAVPASGDMTKKSGGVDAGAEEAELLRRRNAELEREVAALRAELDAARRRAETAEEAEERLCVQLGDAEVEAVELARAYQAQVDDLARELAAARRVPLARTSSS >Et_10A_000827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17922868:17940607:-1 gene:Et_10A_000827 transcript:Et_10A_000827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVPNRRVVLKRYVTGFPSEDDMEVVAGAARLAVPPGTAGVVLKNLYVSCDPYMRNRMSKHDDPGYIHDFVPGEVLANYGVSKVAASGHPDFKIGDLVWGITGWEEYTLIHNPESFVKINHPEMPLSYYTGNLYVSCDPYMRNRMSKHDDPGYIHDFVPGEVLANFGVSKVVASGNPDFKIGDLVWGITGWEEYTLVHNPESFFKINHPEMPLSYYTGVLGMPGLTAYVGFFDVAKPKKGDYVFVSAASGAVGQIVGQLAKLTGCYVVGSAGSDEKVNLLKTKFGFDEAFNYKKEKDLNAALKKYFPEGIDIYFENVGGAMLDAVLPNMRLHGRISVCGMISQYNKEQPDGTRNLFCLIAKRLRMEGFIVMDSFSEYRKFEEEMVGNLKEGKINYVEDVADGLEKAPAALIGLFTGRNIGKQLEYTLITNTDIHFKINHPEMPLSYYTGMPGLTAYAGFFDVAKPKKGECVFVSAASGAVGQIVGQLAKLTGCYVVGSAGSDEKVSLLKTKFGFDGAFNYKKEHDLNAALKRLFPNGIDIYFDNVGGAMLDAVLLNMRMHGRIAACGHISQYNLEVPEGVHNLFSLVTKRVRMEGFMVFDYHSKYRMFEEEIVGYLKEGKICYVEDVANGLEKAPTALIGLFTGRMPGLTAYSGFFDVAKPKKGDYVFVSAASGAVGQIAGQLAKLTGCYVVGSAGSDEKVNLLKSKFSFDEALNYKKEQDLNAALKRYFPNGIDIYFENVGGAMLDAVLLNMRMHGRIAACGLISQYNLEVPEGVRNLFCLVTKRVRMEGFMVRDYYGSYRKFEEEMVGYIKAGKINYVEDVADGLEKAPAALIGLFTGRNIGKQLVAVAKE >Et_2A_018290.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21580731:21581477:-1 gene:Et_2A_018290 transcript:Et_2A_018290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLLNSCPEAQLELMKTMLQLEQLTALDPSLMSPISPPISPVQTPPAHQQSHCFSSPPPYTSPTTISTGYDHQDGQYAAPAAYPFPGPEHPHDFYALSPGAVAVDAALLLGSGSSSPSSSSAADAMREVIFHIAALQPVDDQLLVDPEASRPPKRRNVRISKDPQSVAARLRRERISERIRTLQRLVPGGTKMDTASMLDEAIHYVKFLKSQVQSLERAAALGAAYHPALHAPWQYAAAALPHGGM >Et_5A_041153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18814393:18817309:-1 gene:Et_5A_041153 transcript:Et_5A_041153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSLLLLAALLVGVFFSHYASAIHTLEPARPDAAGDGADDTKVYIVFTERQPATAELPEPVASAAVSSFHHDMLRQVGRSRDDSSAQDRVVYHYSRSLHGFAARLTEDEKNRLAGKEGVLSIHERLVYWPHTTRSWNFLDLPQHNDPSRLQFEKDVIIGMVDTGVWPESASFSDAGLPPPPAKWKGVCPGGNFTCNNKIIGARGYFNGSRTASPLDTEGHGTHTASTAAGRAVAGANLGGVARGTARGAVPGARLAVYKVCWPEPGIADGVDVISASLGFGWALEYSSDPLAVGAFHAMRRGVVTSLSAGNSGPATGTVTNVAPWAASVAAVTTDRKIVSEVVLGDGRRVVRRDLGKQQPSLLVDAGGCGAEELDGRKYKGAVLLCETVSQEALGAVKALGADAVIHPRSVVQQDTAYSFAVPVVVVTMEQYQDVLAFYNSTSATVMDKDAPTVAGFSSRGPNRITYGIIKARQL >Et_7B_053396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15156519:15156873:-1 gene:Et_7B_053396 transcript:Et_7B_053396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTVTPPPSDSCASTALISARVTSMDMILSLIACRVVTTSISAPGLAGRPRRLASW >Et_2A_016163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21649139:21651438:-1 gene:Et_2A_016163 transcript:Et_2A_016163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDGDCGSAGMNSVPEGVLQHILSMLSNVRDVAACACVCRRWHDCVPYLPALFFPRNAFDAPTASVGAADDAIGRMVGAVERLRELVIYCPFSMARLPAWLAARSATLRVLELRMDAAAEKAAPDGGHLDCIGLVPNLEELRLWGVSLTTAPAWGRLERLRVLEVVGAPMRDTAVKEAIAACPNLTDLSLLGCECSGAVAIELALLERCRLDFLCARNCSLLLSAPRMESLEVQGFTWISLRGGNSLRRLSIAKSIGTVYQVDTGNLPHLDHLSLRGVQWSWAAVTSVLRSASEVKHLVMKIEFCGDLNMLQPFPEVDLVEFFNSHPKLRKFEIHGAMFAALCQKNSLKNLDSRFLIPCLEEVLITVRSPLNAEQKLNTLESLMKCSVGLRIMVIRISQMKNCHDAADDFFEEICKFKYMNYNKINQNNKRVVDMAWASKAAGQEANYITRS >Et_1B_013364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7783548:7800400:1 gene:Et_1B_013364 transcript:Et_1B_013364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKCEKAGVAANGDALCMAMPRADPLNWGKAAEELMGSHLDEVKRMVKEYRQPLIKIEGASLTIAQVAAVAAGDGEARVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAVVKLLNANVTPCLPLRGTVTASGDLVPLSYIAGLITGRQNSVAMAPDGSKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLAILAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKEAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVARSKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIEILKLMSSTFLVALCQAVDLRHIEENVKSAVKSCVMTVARKTLSTNSTGGLHVARFCEKDLLQEIEREAVFAYADDPCSANYPLMKKLRNVLVERALANGADEFSAETSVFAKVAQFEEELRATLPKAVEAARASVENGTAAIPNRIKECRSYPLYRFVREEVGTEYLTGEKTRSPGEELNKVLVSINLGKHIDPLLECLKEATAAANGDALCMAIPRADPLNWGKAAEELMGSHLDEVKRMVEEYRQPLVKIEGASLTIAQVAAVAAGDGEARVELDESAPAMECETGLVRSLNGDGLCMSAPAAAPRADPLNWGKAAEDLSGSHLDEVKRMVAEFRDPVVTIQGASLSIAQVAAVATGAGEARVELDESARERVKASSDWVMDSMMKGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGNDGYVLPAEATRAAMLVRINTLLQGYSGIRFEILEAIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRQNAVAVAPDGSKVNAAEAFKIAGIEHGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVMAILAEVLSAVFCEVMTGKPEFTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRFATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSSTYLIALCQAIDLRHLEENMKTAVKNCVMQVAKKSLSMNNMGGLHIARFCEKDLVTAIDREAVFAYADDPCSPNYPLMQKLRAVLIEHALANGDAERVLETSIFAKVAEFEQQIRAAMPKEIEAARAAVENGTPLVPNRIKECRSYPLYRFVREELGAEYLTGEKTRSPGEELNKVLVAMNQRKHIDPLLECLKEWNGEPLPLC >Et_4B_039523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20181748:20182698:1 gene:Et_4B_039523 transcript:Et_4B_039523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAATVIALQITAVVAVVALLAIIAVVSWGAWDAQDAAAEGAAAADDAERALGAATLMTYEKAAAGNGNGEATDGTGSPTEGEEARQCCAICLSEYAGGDELVRVMPSCRHFFHAECGDGWLRSRQTCPLCRAGLWPLPEWSPMPPRAAVDVAMYT >Et_3A_024989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25960175:25962986:-1 gene:Et_3A_024989 transcript:Et_3A_024989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGCCPHLAIPEIPPPARRSRTWPPRISALAPEHASFPAPTPARSLPTPLRARAPAPATPCPRRERAPARMACAATMSVDLTPAPAAVEVKAVVEEEEVVEVEGGGCGGGAVVVAAADAEGHPYDFHVSGPRNLPPPNWKEIIRSSWKDPNYKRMVMACFIQAVYLLELDRQDQKGEEDGLAPKWWKPFKYKVTQMLVDERDGSIYGAVLEWDRSSALSDFILIRPSGAPRAVLALRGTLLQKQTMKRDLQDDLRFLVWESLKGSVRFIGALEALKAAVEKFGSANVCVAGHSLGAGFALQVCKELTKQGVFVECHLFNPPSVSLAMSVRSMSEKASYLWKKVKASLPLKEEAALTLEVDKEEASVKKRLRMEKKWVPHLYVNNSDYICCHYNAPTCPSEAADDGSYEQQQQRKASEIAGDVVAKLFVTSKGPQKFLEAHGLQQWWSDGMELQLALHDSKLINRQLKSIYTTTAVSSPAKS >Et_6B_048477.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:9738158:9738364:1 gene:Et_6B_048477 transcript:Et_6B_048477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLRWVEEDGVEDAAAYPDRQALYGPGSLNYGALTASKAACYGPCPARGQSYTNCGCQAIFQCRGRV >Et_5A_042873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6035079:6037302:-1 gene:Et_5A_042873 transcript:Et_5A_042873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSHWQPLAVLLLSMWITASSFDPPSPDEEANDYLRFAEVERHCGFVLSTAAGLADDPNRAGFVKRTLSFEKGDWHQAAGRAPLMPFDGGDIPSDAGGLPLEPLSLATFVLTDVDGANGGQTTALNVSGVLYLSIDRKNRDSDIWPRVPVGPVTSPEFKITPGDTKLRILFEGVYMERATGSSDEDGADERVLCMVGRALLPSRGVDGVDPWDWARNSGQSGFAPRVTADGNVLLVLRYPKEPTLTNRAVLGEMRSTSAASAPAYFDPVRLVSWLWWYPMHLARSEELVSGACSPLPSIDANDDDVAGDRPSKRYRDRFFCEVLSRYSHGTVLAVPRWSERHCDDATSCRSLGPFEMDRTADEDELAGAVIVMQDLQCDLEEAGVQRVSAVFRAMPPWEDKYTAVRRSGLSGMTLSAEGVWNVSAGQACMVACRGVGDKACHFRVCLHLPLTFSITRRSVLVGKITSINVSSGWAAQSSLSFQLGLALPLYWGWDGERLAFTYNYTKVKQAGELLRRGESPFDIRKIISMSLPLRYPKADYGDGDHTASLAYLADELTLRFMDKPRMFLPEWMEQPAVLNLEIIFLGQVSDRIVLKGVSKASRVASTGPAAGYFEQQVVLMQDLFLLPQVIGNPVWQVNCKPLAGSYYLGVTAVRLLTHVYDYVRPPPRVAYYPEYVNASWSVFYPNAGDLVVPTVSVLLGLVVYVQQRWNYAIVGRMGVAEQRKSQQKMIGS >Et_7B_055999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:969926:972919:1 gene:Et_7B_055999 transcript:Et_7B_055999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRRRAAEHEEEEDEAAVSVERVFEGREIPGWREQVTARALAVSALLGFMFSVIVMKLNLTTGIIPSLNVSAGLLGFFLLTSWTKLLEKAGVARVRPFTRQENTVVQTCVVACSGIAFSGGFGSYMFAMSDRISDQSGETWEAQNIKNPSLGWMIGFLYIVSFLGLFSVVPLRKIMIIDYKLIYPSGTATAHLINSFHTPQGAKLAKRQVKTLGKFFVTSFTWGFFQWFYTGGEGCGFMNFPTLGLQAYKQKFFFDFSATYVGVGMICPYLVNLSVLLGGIISWGIMWPLIEHKKGDWYPADLKPSSLRGIVGYRVFISIALILGDGLYNFLKVMTKTLTALVAQVRGMMSEPTLPVSGGTGGNVPTAEESFDDKRRTELFLKDQIPTKLALGAYAVIAVVSIATVPRIFHQLRWYHVAVSYMIAPVLAFCNAYGCGLTDWSLATTYGKLAIFTVGAWAGAADGGIIAGLAACGVMIGIVSTASDLTQDFKTGYMTLASPRSMFVSQVIGTAMGCVIAPSVFWLFYNAFPDIGMPGSEYPSPNALVYRNMAILGVQGLGSLPRHCLDLCIAFFVFAIVINLARDLAGPKAAAYIPLPMAMAIPFYLGPYFGIDMCIGSLVRFTWDRLDPARAKAFAPPVASGLICGDGIWTLPQSVLALAGIKPPICMKFLTRSTNIKVDQFLRAAHSTH >Et_6B_049077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17507348:17510835:-1 gene:Et_6B_049077 transcript:Et_6B_049077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQSLRLCQLVMLAACGSSSAAAALAQARSFILEFRQHKLLQHHLCLRLGLTLSGILFLLYCFDSDKYIPLPLLLEYAQR >Et_2A_016188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21880908:21884999:-1 gene:Et_2A_016188 transcript:Et_2A_016188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPAPPTNYPKTLSSIILCRRRPDADHPLHHSLQQTATPGPGRDRDQVVARSSAVVAPSPLPPPLLLPEMPSPTIRRLDVASPVPADIDIANAVEPLPIADIAAELGLRPEYYDLYGKYKAKVLLSVLDELKEQQDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCVRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHMTGDIHAITAANNLLAAAIDTRIFHEASQSDKALFNRLCPANKEGKRRFADVMLRRLAKLGISKTDPNELTPDEVRRFARLDIDPESITWRRVMDVNDRFLRKITIGQGPEEKGMVRETGFDISVASEIMAVLALTTSLADMRERLGRMVIGNSKSGEPITADDLGVGGALTVLMKDAIHPTLMQTLEGTPVFVHAGPFANIAHGNSSIVADKIALKLVGKGGFVVTEAGFGADIGTEKFMDIKCRYSGLMPQCAIIVATIRALKMHGGGPDVVAGKPLDHAYVTENVALVEAGCVNLAKHISNTRSYGVNVVVAINKFATDTEAEMNAVRNASMAAGAFDAVICTHHAHGGRGAVDLGMAVQQACESQTEPLKFLYPLECSIKEKIESIAKFYGASGVEYSEQAEKQIEMYTKQGFSNLPICMAKTQYSFSHVPSMKGAPTDFVLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYEIDIDTTTGRVMGLS >Et_1B_011197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18646616:18651346:-1 gene:Et_1B_011197 transcript:Et_1B_011197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDLGSEVLGRADSAAAWSGSDRTAQKPGALGLEWVQAAGVRLTPWNGWPATRVYCFVHKVPVCGECICFPEHQLCVVKNYAEWVVNSDYDWPQYCSSCNSVLEAGSEETTRLGCLHVMHTKCLISHIQNFPTQTAPAGYVCPSCSSPIWPPSSIKDTGSRLHTNLKEAIVQTGLEKNVFGNHFVTISKTDTRTPPAFASDPLKRLSTSGDRESIGANPITQAINVEPEIVEVDGPSPVITQFPDQESNFIRSPSPHGPGAMTRKGATSVDRQNSEISYYADDEDGNRKKYTKRGPFRHRFLRMLLPFWSSALPTLPVTAPSKKESDAPEGRQRHQRSSRMDPTKILLAMAILACIATMGILYYRLSQRSLSDNFAEDEA >Et_10B_004029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9441471:9443361:-1 gene:Et_10B_004029 transcript:Et_10B_004029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRRVKSSNKGRKGSTAPLQNGEKKIDGGASTNSRQVAPETRLGSADYGSSKDDTFYEASPWLDSDCDDDFYSVAGDLTPARSITSQSSKTIPPGSPMLPTLGAILKAESLKPPKQQRKLADFLRESQDGDSAVGGPDDLSRDDSFRYGQDKGRCCAPQFARAISCNGRGRRSK >Et_2B_022371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19479115:19483593:-1 gene:Et_2B_022371 transcript:Et_2B_022371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVMIRPGSKESQNNDNNNQKVHPQPIDENMNQNGDSMDTMIGRIFNNISSLKSAYIQLQEAHTPYDPDKIQAADKLVIEELTKLSELKHSYREKHPKPVAASPQDSRLLTEIQEQQNLLKTYEVMVKKFQSQIQTRDTEVNHLQQQIDEAKLRKSKLEKKLKQRGLLNKESEESDEEENYFSIELTPSLFTSAVDNAYQSIHDFSKPLINMMKAAGWDLDAAANAIEPAVVYTRRAHKKYDGFVISGSPNDAYGDDEWILRLCRLVRGLHARRKRVLGVCFGHQVICRVARASSGWDIGIREVAIAGAELPRQCRFLDALP >Et_7B_054136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1616362:1620669:1 gene:Et_7B_054136 transcript:Et_7B_054136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRGKKRAAAQAAARIKAAPKPKEEPEYFPEKRNLEDLWLSAFPVGTEWENIDKIKEFNWNFENLEKTLEEGGDLYGKTVYVFGSTEPQLLDVNGESKIVLIPVVIAVDSPVPPSDKIGINSVQRENEEIVPMKAMKMAWVPYVPLEDRLSRIDSLKTKIFTLGCTQRRTALKHLKTERVKKFDYCMPYYMPLNPPDEEDDTVVNIIYPLEPPIVCDFDWEMDDYEDFADEKVKDEEFPEDEKEKFKEFVKERVRERKRELKQAKEARKKAIDDMDPKTKEAFENIKFYKFYPVKTPDTPDVSNVKAKYINRYYRNAHYLM >Et_10A_001988.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:1735817:1738051:-1 gene:Et_10A_001988 transcript:Et_10A_001988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCGYHHRTPSIDGRRAKFVRLSSSDDHASSSPAMDVHGGQHHHMDSYFSGQPSASASSATHNKIRSRSLRAAGGVILNRSERLTRMGIVFQEDFRRMVERSVFDPQDAFLTRMNRAFIFACIVSVAVDPLFFYLPAVMDTDTNTCIGFERGLARAATAVRSAVDAFYLARIALQFRTAYIAPSSRVFGRGELVIDGRAIAARYLRRFFAVDLLSVLPLPQACIWSFLNRRRGTDQLSTKNALFSAVLWQCVPRLVRFYPITSELKRTTGVFAETAYGGAAFYLLLYILASHMVGSLWYLLAIERMCDCWKAKCADLGFHQCRNYMYCGGAASDSGASGGGFYEWRTMIRQVLMEECAPADQSGTGFAYGIYSTAIESGVVFSKRLAAKILFCLWWGLQNLSTIGQGLETTHYKGEQLFSICLAVFGLILMALLIGNMQTYLQSMTLRLEEMRLKRRDSEQWMRHRVLPDELRDRVWRHNQYKWLETRGVDEDTLVRSLPKDLRRDVKRHLCYRLVRRVPLFANMDERLLDAICERLKPSLCTESTYIVREGDPVDEMLFIIRGRLESSTTDGGRMGFFNRGLLKEGDFCGEELLTWALDPKAGANYPLSTRTVRAISEVEAFALRAEELKFVAGQFRRLHSKQLQQTFRFYSQQWRTWASCFIQAAWRRYLKRKAAELRRREEEEYYAAAAASSSSLTTTLLVSRFAKNAMRGVQRQRSVGESSTLIVMPKPSEPDFGSMDY >Et_4B_037461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2079003:2084000:1 gene:Et_4B_037461 transcript:Et_4B_037461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGEGSGGAEGRVGKLESLLEAIKSSEVHTAPNISALVDFAVLEHRTDLINQLQRSFSYSADDLSPILDSVIVSWDDSGCSGVSHCMLHRSILQVALKCSHIDATGCLGQFLTLGAKASSWCGKHLLRSVESIDESEDAQEEEHSRLLPEIVSLTLNVTIKLLPSAAECITADMVRAIGDLISELLTLTKSSIVDKKFHAAVPEIARAAPVFLDETVKLCKSYFEAAKASNCKMSIPEEPEIIEHRKPDITSDVTRITASTIQNLCKMGIHAASSGGSQVTLLNASWKGVVSLLQIGKGMIEGKISVGDIISTLISLSIESLRVAAKTWRTPSRETVAMNIHKNIIRCALMIASSSILFSKEPQLKAANEALVELLEPTSFLLLDTLMKSPEVTTESKCQLVHYFLENKEANNPSHMGQADQKNITLASLDCIFLMESDVDQRNRTLLPSEIILQILLNILTSEDIYSYVLGCQLPALYGADHSPVVVWQPVYTSIIQALKTFMISASASSAAWNELEVFLLENLFHPHFLCLEIITELWCFFMRYAETEISNYLINQLLLLLKSAASPENVLAPLSALRKVTRAFCIILSYASSATIDQIYTCLLNDETSYKSSILHLALLMEGFPFNSLSGGIKELAVKKMFTSFAGHLEGYTKNHRAINLPSASSGVTGLPAHIKDDSVIDEKSITTMFKFTITLINLYGTACDSTKDYLAKHISSMLDIISNMRHRCAFNELEKLTLELRNLLLSTSDSQNAALSQCKPSMASFMAILGHLNVTEDDANVLCSAMWYLYHSLLRERHWALIHLAMDSFGYFAARTSFTQLWKFVPGDAALSYNTSTGTSIDENGFMLELRAYLQKEAALHTDKWSGGEQIFFLVSEGRALKKLIDAYSEIPVISEPEKAVISRDVSAKKRKMPDGIYEGMVLLQNGLKVMRSAFDEAEFTVLKDRFAAHLSRLEEAVSQIASLSDEI >Et_5B_044693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4777830:4778156:-1 gene:Et_5B_044693 transcript:Et_5B_044693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVNLTVKGAKLSTLEFTIGHIVQCVETTIFVRLIDDKSKRIDKVEIVLT >Et_5A_041139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18593837:18594360:-1 gene:Et_5A_041139 transcript:Et_5A_041139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRRPSASFIHSSSRELEQLPLRKQERLAGLKPGAEPGIAWFCDEPPFGLLDDDLSPEQKPVEAAQCLLYRRSVGRPQAFVDQERYGSATENDDTKSFA >Et_2B_022709.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3492670:3495039:-1 gene:Et_2B_022709 transcript:Et_2B_022709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLVLPPPADAAGALRRSYLRLIALSSTLRHLDQLLAVTLASGHYALDPAPATALLLRYASLRAPPAHLLRLFGAFPRPDRFLRNALLRSLPSLRPHLLFPSPDSFSFAFAATALSSSCSRGDAALSSASTARALHALAVAAGYAADTFVASALAKLYFKLSRVDDARKVFDAVPSPDTVLWNTLLAGLSGSDAVEAFVQMVQAGTVRPDSTTLASVLPAAVEVADIATGRCIHSFGVKCGLADHEHVVTGLISLYAKYGEMESARRLFDRMGEPDLVAYNALISGYSMNGMVESSVELFKELSASGWRPTSSTLVAAIPVYSPFGHEMLARCLHALVVKYRFDADALVSTALTTLYCRLNDMEAARSIFDAMPEKTMESWNAMISGYAQNGLTEMAVALFQQMQELNVQPNPITISSTLSACAQLGALSLGKWVHKIISKENLELNVYVMTALIDMYAKCGSIAEARSIFDRMDNKNVVSWNAMISGYGLHGQGAEALKLYKDMLDAHILPTSSTFLSVLYACSHGGLVEEGRTAFRVMTNEYRISPGIEHCTCMVDLLGRAGKLREAFDLISEFPKSAVGPGVWGALLGACMVHKDSDLAKLASQKLFELDPANTGYYVLLSNLYTSKKHYSEAALVRQEAKSRNLVKTPGCTLIEIGNKPHVFMAGDRCHPQSEAIFSYLEKLTAKMVEAGYRPVTEVALYDVEEEEKEHMVKVHSEKLAIAFGLLSTEPGTEIRIIKNLRVCLDCHDATKYISKVTQRLIVVRDASRFHHFRDGVCSCGDYW >Et_7A_051202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15542192:15546765:1 gene:Et_7A_051202 transcript:Et_7A_051202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAALLPVVLLLCLALAGSADAGRKMVGVYELKNKKGDFSIKVTNWGATLMSVIVPDSKGNRADVVLGYDTVAGFVNGSSVFGALVGRVANRIAKGRFVLDGKAYHLFKNDGNNTLHSGHRGFQKVIWTVKEYVPSGDSPYITLYYYSFDGEQGFPGALDVYVTYQLSSPYDLRIRMNATALDKATPVNLVNHAYWNLAGHASGDVLGHVIQVFASRYTPVDKSMIPTGQIAPVDGTAYDLRRPTPLGSTIKLVSGGGAVGYDVNYAVDGNGFRQVAYVRDQASGRAFQLWADQPGVQLYTANWLKNEKGKAGAVYQPHGALCLETQGFPDAVNHPNFPSVIVGPGGVYKHDMLFKFSASALSFSLLLCLALASGADAKGKKVGFYELKNKKGDFSVKVTNWGAALVSVIVPDSKGNLADVVLGYDTIAEYVDSGGIRGFSKVIWTVKQHVRGGDSPYITLYYRSFDGEEGFPGALDVYVTYQLSSHYDLRIRMNATALNKATPVNLASHAYWNLAGAGSGDVLRHVVQLHAPRYTPVDSFMLPTGEVAPVAGTPYDLRAPTPLGSRIKLVSGAGMAGFDINYAVQGSGFRRVAHVRDPGSGRKLQLWADQPGVQLYTANWLSNVTGKGGKVYGQYGALCLETQGFPDAVHHPNFPSVIVRPGRVYKHNMLLKFSS >Et_7A_050635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9079621:9080778:1 gene:Et_7A_050635 transcript:Et_7A_050635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKPNPAIALPPDVTRLSMLLQTPAQDMPGAHAGEISSADKSVVVIYAGFYRPGNESPATRCLGRSAAVSRHGSAVGGYRLAELITARDRGLPDAELCVWSPPSDVVNHVDGQWILTPVRLPLPARLCGPTHFFHIDMAFSFASSYIFWVDLLAGVLVCDLSSSPRFRFVPLPVGQELSVPHKRRHQIKPELFRSMGCVRGAVKFVVMAGYTDDASCPRDDVTLRTWTLSPDFKEWKEEEGEAPALRVGDLWASESFAAMELPRVAPTCPVLSADEDAVVYAVLNDVEYEDDVDEFGDVVGVDLVPKAHYLIRLDLLRNKVLSCTRSEGSFDWLTPTIIASEFSAYVEDPKDHRAVA >Et_4A_033081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18114333:18121648:-1 gene:Et_4A_033081 transcript:Et_4A_033081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEASASGLAEKLAGLAAGGGDEQQPPAGGEEPQLSKNAKKREEKRKKQEEERRLKEEEKKNKAAAAAASGKPQKASAADDDDMDPTQYRENRIKAIDSLKTAGVNPYPHKFQVGISIPDYIEKYKGLKEGEKLADVTECLAGRIMNKRTSSSKLFFYDLYGDGVKVQVMADARTSELEETEFSRYHSGVKRGDIKAEGSAAPAPWTPGMGRNIENYVLRDQETRYRQRYLDLMVNHEVRHIFKTRSKVVSFIRKFLDDREFLEVETPMMNMIAGMVKELTGGYKIQYHANGVTNPPIEIDFTPPFRRIDMIEELEAMAGLKIPDLSKFPDDANKYLIEACAKYDVKCPPPQTTSRLLDKLVGHFLEETCVNPTFIINHPQIMSPLAKWHRSRPGLTERFELFVNKHEVCNAYTELNDPVVQRQRFEEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGSSLVPGYEASRIAVHKAEIHKKVYFHNYYTFAFAIMYGTFNSDPKRCILWYSFESVEFFETLGLSVPSHHLNASTMQLTPLKDKSNLKICRKNIHLGKVVSVKSRVVFKEQKRRPLIIPTD >Et_1A_006032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1691963:1695910:1 gene:Et_1A_006032 transcript:Et_1A_006032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMARKNVEEEPSDRVPHLPWMRHPVDIDAFSGCPVSKLPRLDPRLAVALQKMGIESFFPVQEAAWLETIGPGAFERDICINSPTGSGKTLAYALPIVQALSTRKVRCLRALVVLPTRDLALQVKEVFDAIAPAVGLSVGSAVGQSSIADEISNLIRKPKQELYPTIDEEYVQMEPQTKVDILIATPGRLMDHINMTKGFSLEHLQYLVGFICLLLLEIFVSRSAFFPLYMGLSICKSNLKPLSLIVLLQELRGNKCLVFTSSVESSHRLSTLLGFFEDLPFKFSEYSRLQRESTRRRTLEAFKEGNIDVLIGTDRMARGIHIDGLRYVINYDMPPYVKTYIHRAGRTARAGESGSCFTFLRKHEVKTFDKMLKKADNSSCSLHSLPEESIETLLPVFSSALKKLEESLESEAAKKSSSGDKMHSKSNKRKRTAPKVKQ >Et_1B_014174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34087400:34090750:-1 gene:Et_1B_014174 transcript:Et_1B_014174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATMAPQTRRSSGQAVAADAAQGPATDLAMNEGQEQVAAETIPGGRQQQRRGRGVTINEKLAKLRARGTRIEIHFASQFGKVCGRHASVFKSEVTVCIRQEAPLRVMKWREMDNASISAMWNFLKDKFPEISPEDEQIVMRQVERQYNVRRHRLYKTYRTTGQRPSDVAPEDWQWLIDNLWSNEKFLNRSRQNSQNRAQQEMKSLVGTKSIVQIAYDLRNPATGEWPSAMNVWKAMYQKTDGTWSVPNGEEILTNLHVVAETEQERIASAAVPLAEHFALVLGRKPNHSRGVGVAAVNQGAQERHRLHAQAQLAREHADNAREQAAALEEEVQRLTQANMQLRDDMESQREELASQRRTVEAQNADMERLMDQKLEERMNALARIAAVLFLHQTPHLAPHLLLQTADTIIQPEETQCGKRMDPLI >Et_1B_010747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13604270:13607278:-1 gene:Et_1B_010747 transcript:Et_1B_010747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHYAVPFLKHDIMSTESKEWIQRSSKGKQKEEDEIDEFWRRRQEDIEKIVDFDEHVLPMACLENIIHTKMDNMKIASDTPPVLTKVCELFIQELSFLAWKCAKSHDRCDILESDITEAVAFNESYSFLNDILLENGEPSITSRARHPHLTSLPNCLRISMMSSAPTVVTLQQQDKEEADAKKHVMSTELKEGIERPSKGKQKEENEIDELWRRRQEYVEEIVDFDKHVLPMTCLENIIHTKMDNMKMASDTPPVVTKKCAKSLERCDILESDIAFISSASAIVFE >Et_5A_040661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10985248:10988613:1 gene:Et_5A_040661 transcript:Et_5A_040661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKVENRGALSKRLRNDGEILGELLRSDLFLLAAVPRVLLFSVSVREGDWNCPQCGNVNFSFRNVCNRGACGAPRPSPSPSPRMMPAPPAGGYDLSPLFYGGSGGPPPIPFGSGSYGAPYPHLGMRYGYGPPVGAPGSYGLFSSYGQPGPIGGMGYGPGPELGRYSYGFRGSPMPVSSPWSGGALVENNDTNASRKRRGGPDGLSEGDWVCPKCENVNFSFRTSCNMKKCGAPRPTPGVNTNSSRKDKDAPEGSWTCPECNNLNYPFRTVCNRKGCSYSRPASTNN >Et_7B_054963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:636342:640041:-1 gene:Et_7B_054963 transcript:Et_7B_054963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAKGRVVPLLAVAAALAAVLLYRAPFSKSLGGEGCSLLPHDHFWIASERVVTLGRVGPAAVEVKGGLVNAIAVGDYRNFVLRRPLLDYGDAVIMPGLIDVHAHLDEPGRAEWEGFSTGTKAAAAGGITTLVDMPLNSHPSTVSEETLKLKLEAARDKLYVDVGFWGGLVPENAFNPSALEKLLDAGVLGLKSFMCPSGINDFPMTNSTHIEEGLVTLAKYKRPLLIHAERIPDVEDDDGLDVLDPRSYSTYLKSRPPIWEEAAIRDLERAMKDTEAGGRSEGAHLHIVHLADAKTSLELLKDARNSGASVTIETCPHYLAFSAEEVPDGDTRFKCAPPIRDATNRENLWNALLDGHIDMLSSDHSPSAPDLKLMEEGNFLKAWGGIASLQFVLPVTWTFGQKYGITLNQLASWWSEKPAKLAGQKNKGAILPGYHADIVVWKPEAQFQLDDTHSVFHKHRNISAYLGQQLSGKVLSTFVRGNLVFAEDKHAKAACGVPILAD >Et_3A_024388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20307383:20313772:-1 gene:Et_3A_024388 transcript:Et_3A_024388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASSAFRRRAAAMSSSSYGRGSYPRRDRGRGRGYSARSSQPPFPNAGPEFGLSTAMRLRIHTITGIRIRSSTGTRQGMLDSVLARHSWLPGWRITADGGGMQSSIPLAKRHCTFECAERFTILSYNILADYLAQEHQFLYERIPPCFLDWNWRKNKLVFEFGLWSPDILCLQEVDKFTDLEQELARKGYNGIWKMRTGNAIDGCAIFWRTTRFQLRHEEDIEFNKLGLRDNVAQICVLESVVPRNVPTDSTASHPGQAKQVVVCNTHVLYNPKRGDIKLGQVRTLLDTAYNVSRMWNNAPVILCGDFNSTPKSPLYNFVLEQKLNLTGLAKSAISGQVTTTQRVYTGYNVSRNPSSTNSKAGNIALPKGHKPQIETGRMMNNWPVLTDASSECCNTESSNSCGNMIPCSGSTNLDKQVLLRGLEGPGNDHFTSDADARANKTEGEENTAVDKFSEGCTGTIKTESGEEPDVTGVPDIPQSDSSEIVNITYLLSSESFGLKDSLEEKKVSHIQRDLPGDGIPDKFTCAFEENGAQPDEPLAVSKDNPDEKEKTLESMLLGQDNCITNEPESCNSSGSQNFPDSGHQMSNMRLEESSTEATHLESPAVPVHQSNGTVSDASGNQCTSAVINKHLVSSRDESEDNASAFEDETTRNEVSCSDVNSDPTFFEELTGIKDHLLEGEDQLPAISDASPSSQQMVNSNESYYIYDPFKWTPDEIRAATGKDECTFVEHNLKLRSVYTDVEDFEGTKDANKEPLVTSYNRKFMGTVDYIWASEDLQTVKVLDTFPKEILKQTIGFPTKPQEDILMVVIHFNRNGEAITSPLPLNWHLENDT >Et_9A_061554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13667846:13668506:-1 gene:Et_9A_061554 transcript:Et_9A_061554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDRLSGLGDDILHRILHFTPSKEAASTSVLSRRWRSSGAVNLVAHELEVAFFLHRDAFVRAAEAALAGAACPVTRLTFRVEGDKEDTVGRFLNLDRESGKRDHDLVGDVVTHAAARCVEELVVAVVQPNDDHGLDIETSIRPNWRTYALGSLPSAETLRVLDLTNCSGLTLPEEPATAFPRLTKLQLRLCTVDPRGA >Et_3A_025811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33052765:33054730:-1 gene:Et_3A_025811 transcript:Et_3A_025811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAKATMLLLAVLVAAADATSPPDAVDHQPAAGGIAGTVRGQAAAGWTAKAKSGSGCRDGGDDGQKDKYFPAGLTQCVTICGTQTTTCLLDCYKPVGGNPVVLPLICLAKCTNNAMVCASGCSNDFASTAAMLLLALLVTAAVVSPFSAADQAAAGGTATKAGAARRHHSRDDMRLALKGLTKCLAGCMTRMNNCVMDDCDSSLVRGDPGALTLCVLSCSNDALTCTTGCANQIGG >Et_10A_001562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4990093:5010348:-1 gene:Et_10A_001562 transcript:Et_10A_001562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQGGGSRSAEMFDLVRASGKKRPASPASSTGDEDDEWMLSDSGSEEDEENQVIFVAETHRPFTVADFPRVTCDHDGQTNLLYRNPETKLRGPRAVRLYNPLKTGKLGFAADYNIADQSERMLVIAQMNAAAAFRWTCFNLSVQILLAMNIPVLDQPRSVVSSQRKTHSIPCATMSTGARFNNCEAVTVKPKTGLARLSLISPARVISFATRVLIEFGLQARSDEGTDGDNGSIIEGCIELYNMDATESFVKKNRVYGERCALDIKYMVLINALEACIEVKVLSLGVTPGGIDMKLCAKSSGFKEVIRLFEGAAPDPGETMSFIVAAENRSVFDLYIEGSARDDQKLLPLSWRCSFTAGYHVMKENAAKLGELATVSVKTDEGGGLTATTPVHEPCRKRPYSPTCPDDDDEDEWFVSDSEDEDEDHVVEGTYSPFTVDDIPRPSCDFKAQKFVVFRNPDARRRGPLPTMLFPAFKSGNHVFEDYNLADKSEISVRDVENCLGECRCCSMNLLQFIDIKISGYRHTHPGRAQLSGFIAAREADEPLRNFVYNREIKNCEAVFVKRKTGVARLTLTSPARAISMPSRVLIEFELYAHDEDQGDHDLIIEGCTEFEDMHESKSFMVHRRLYGEKCALDIKFLRLINAVEARVDVEVLRLGAYPDRINLKVYAKTSRFDEVIRLFRGAAPDPGSAAMSFVVAAERRGGFDLYIEAASPILGQKPKQFSCWQRSFLSAYHGTEEEVAKLGEFATVSVKITWKTYEKFGKSSIDDDNGEWLVSDSEEDEYDHDDKAGTYQPYTVDDIPRSKSETLGIVQTNAAAIRCTCFNSSIFKLLDIDTTILHVLRYTVMWLHGSQPSPCVTMSTSARWKTVKLSIPLLATDKLYFLIYDFFLRGVGRLSLSSPARVISMPTHALIEFELHAQNEDKTDEVGLKKSFAVGVEMYNYLDLHIEGFPLHDPVLVQKLRPSSWWQCRFSSSYHGTVELVAEVGDFAAVSVKVTWKWFVGESEKQPMEIEGGGSGSAETLDLARGKKRPASPSTPGDEVDSPTSATSDQGDQWLLSDSGSEEDDGNQVIFDAEIHRPFTIADFPRVSCDHDEQADLLYENPEIKLRGPPAIMLFHPFKTGKLGHFSDYNLADESEISVRDVGDCSNECRCLPMELLQFVSAEIAGYQHTGSGPAKVFGFVAARDTIEPLRNYVYRREMDKCEAVILKSKTV >Et_4B_038911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:614072:616414:1 gene:Et_4B_038911 transcript:Et_4B_038911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLYQPFQFRTCGKIPTRNATKVFCSMEMPPPSQTTTKVVIIGATKEIGRAAILAVSKARGMELTGAIDSQCIGQDAGEISGMEEPLEIPVLNDLTMVLGSIAQSRATGVVVDFSEPSTVYDNVKQAAAFGLNSIVYVPKLELDTVAQLSAFCEKATVGCLVAPTLSIGSVLLQQAAIQASFHYSNVEIVESRPNPSDLPSQDAIQIANNISDLGQLYNREDLESDSPARGQVLGEDGVRVHSMVLPGLTSSTSINFSGPGEIYTIRHDVTNVQCLMPGLILAIRKVVRLKNLVYGLEKFL >Et_4B_038894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5723380:5724309:-1 gene:Et_4B_038894 transcript:Et_4B_038894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAEGNGAAASFSEEQEALVLKSWAIMKKDSANLGLRFFLKIFEIAPSAKQMFPFLRDSDVPVEKNPKLKPHAMSVFVMTCEAAAQLRRAGKITVRDTTLKRLGATHFKYGVTRFALLETIKEALPADMWSPEMKNAWAEAYNQLVAAIKLEMKPAA >Et_3A_024589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22292318:22294869:-1 gene:Et_3A_024589 transcript:Et_3A_024589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAERRLARVASHLAPSLPLQRLATAPPLVASPAAASSSSSPAGDSYRRVHGDVPSEPPEWRAATDESGKAFVDIIYEKAVGEGIAKITINRPDRRNAFRPLTVKELIRAFNDARDDGSIGVIILTGKGTKAFCSGGDQALRDSDGYVDFDSFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKRAREMWFLSRFYTADEADRMGLVNTVVPLAELEQKTVKWCRMILRNSPMAIRVLKSAINAADDGHAGLQEIGGNATLIFYGTEEAKEGKNAYMERRRPDFSKFPRKP >Et_3A_027093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32548198:32554275:-1 gene:Et_3A_027093 transcript:Et_3A_027093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMALHPHDVTLRLSPPLAARRRRRAVRVVAVASTPSTVSTKVESKKSFAPPREVHVQVTHSLPPQKIEIFKSLDDWARDNILTHLKPVEKCWQPQDFLPDPASDGFHDEVKELRERAKEIPDDYFVCLVGDMITEEALPTYQTMLNTLDGVRDETGASPTAWAVWTRAWTAEENRHGDLLNKYLYLTGRVDMRQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARHAKDYGDLKLAQICGIIASDEKRHETAYTKIVEKLFEIDPDGAVLALADMMKKKISMPAHLMFDGEDEKLFDHFSMVAQRLGVYTARDYADILEFLVYRWKVADLTGLSGEAQKAQDYLCTLASRIRKLDERAQSRAKRMGTMPFSWAAVYMQGIKEMLLYLAARLFSNIDNLVSV >Et_1B_011955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27508810:27509401:1 gene:Et_1B_011955 transcript:Et_1B_011955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAARKTAPVTGGVKKPRRYRPGTVALREIRKYQKGTELLIRKMPFQRLVREIAQLSHNDLRFQSHAILALQEAAEAYLVGLFEDTNLCAVHAKRVTIMSKDVHLARRIRGERP >Et_8A_056134.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:2459296:2459580:-1 gene:Et_8A_056134 transcript:Et_8A_056134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding INGTHCCFFPLGFFSAGNLAGEFQSCCPEPALGEPGFTGDSLSFEDRRLNDSTLSLVLGFFSLVSTFLSARRTFKETWLLSESTITSLSAISSF >Et_7A_050435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15107919:15109316:-1 gene:Et_7A_050435 transcript:Et_7A_050435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRLPAPPQVVALGDAHHHLLARQVLQPRRARRDRVHPRVVHAVRRVGAHVLPQALLLLRHRPAAAAARGAAVLQLPGDGLLAPEPRVDERDALQARGRDVRMHGEVVADVAAGAVAGQEHAAEVAVRGEPRVRAREHPLERREGVLVRGGEQVLRRQAVVDGHRDGGAGRREVVEEAVVHARRGRPDHEAAAVEVDEDGVIASGGLGREVEPREGGGGERHVPGRDARGLVVPRRDERQDEGTLEAAALVDHEERREVVGDLGVVAGSFLGDGAVCGLDVVACSFLLGDDAVVGNVNVAGLFIGGEAVGDLDIDGSFLGDEAVGDHGVAGYLVGDEAVGDLDVARSFPVDEAVGDLDVADSFVLGDETVGDLDVAGSFHGSEAVVDGSFLTEDVGGLDAERSFCSGHGARHGLDAERSFCSGHGARHCLRSLARSLECLLCWIRGSSLLLLVGDL >Et_10B_002388.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:16833641:16833929:1 gene:Et_10B_002388 transcript:Et_10B_002388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERMEAHVYTAVVKNVREKCNVNITKSNIDSRSKTFDKHTTSYLVFCPLAASDGIGTRISSKWIVILYGMITLR >Et_7A_052819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1807354:1809735:1 gene:Et_7A_052819 transcript:Et_7A_052819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKKQKMLRTRSLEAAVAAAAASSSSRNIFCKSLTRIRTTPPTITVLKSASNQHAAALVRTIRKAEKERGTELITCGGAQGPDPQDFEAGALLAPPGHHWEEQQHLSAGRKRRGCQSGSGQPLIKHPDM >Et_3B_030342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32329591:32331447:1 gene:Et_3B_030342 transcript:Et_3B_030342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGTCLTDTLDDLVSSGAVSPELAIQVLVQFDKSMTEALEAQVKSKVTLKGHLHTYRFCDNVWTFILTDATFKSDEISETLSKVKIVACDSKLLQPQP >Et_7A_052869.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2100056:2100349:-1 gene:Et_7A_052869 transcript:Et_7A_052869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGIQSKDGEKAERMCKVALWCVQYQPEARPSMSSVVRMLEGDEEIARPVNPFTYLASLHMITSSSSGGSTAVSGDDSSRESRGTRGSLFDSHGR >Et_4A_034212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30969839:30981648:1 gene:Et_4A_034212 transcript:Et_4A_034212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTTMEEKPSARTAIENGAAEDDGTGVPVFAEYSLDELRDATNGFAPDRIVSEHGEKAPNVVYRGTLFSSGRIVAIKRFGYSAWRTPARDNNPLNWAMRMRAALYVAQALEYCSSKGRALYHDLHAYRDGNPRLSCFGLMKNRRDGKSYSTNSAFTPPELNPRSVLYSFGTILLHLLSGKHIRPSHALDLVKGKNFLVLMDSCLEGHVSNSDGTDLMRLASRCLQDEARDRPILKAVVSGLASLQKDASIPSRTLLGIQHDNEVSDQLALSAIGKVFAQADLNMVHEILQDDGYNEDDMASSEENGASKKWFADDNPPFPVWHDSDNRQWWIDETMVRRYDASMTTKDEFQPQYVFHWNSPYELIATNSAMSDGRHLPGLYAQPLAYGYDSQNSAVGEDTLKHAVEDKGFQYFSGQSNKENLTPVPLYQNASAHGVGTYSQDFEGYAFPVFQSFIQQRTLES >Et_1A_007931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39524945:39526370:-1 gene:Et_1A_007931 transcript:Et_1A_007931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDAAALELQESGWEELRREARKLEGDLDVKLSSYARLAARSSSSASGTASPSADRSSWKSMEFEIQSLLGKLQDVNDAMSRCAASTAATTSVSQKLARHRDILHEFTQEFRRTRGNLSSMREHADLLSSVREDITESKATGGMSPRVHLLRERASIHGSINQIDEVIGQAQSTRVALANQRALFGDVQGKVKQLGEKFPVIRGLLGAIKRKKSKDTIILSAVIAACTIFLIIYWLSK >Et_10B_003759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5611933:5626720:1 gene:Et_10B_003759 transcript:Et_10B_003759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSIAPRTGAGRPRRGVIWASSVSVISIPRDLEWPDRAAIRAGFRLCSFGRLPFATVEDVSDLWLNVKESFERRLPVKKACLNNKARNPVFVDNLPAEFIQTTDSRLRSRFPQEQYLFWFREPYATVVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAHPSNDQATKMSKKVYARLEADFNTKKRERCCKFDLHGPDAEFWDDFDSKMVDCIRNTLDRRVQFYEEEIRRLSEQRFTPIWNFCNFFILKESLAFMFEMSNLHEDSLREYDELELCYSESVNSPGKHREFGGLDAGDDQAALLNPGFKALTQIVQDDVFREFEFRQYIFACQAKLLFKLSRPIEVAARGYAFVVSFSKTLSLHENSLPFCFREVWVITACLGLIKSTSSRYDGESVAIDSDKEFYRLQGDLYSLCRFMRLAYLIGYGAEIEKSPVNSASLSMLPWPKPATWPSIPPDSSAEIMAKEKMILQAKPREKLFNIHRKPLPLEPSLLLREANRRRAFLSVGNISELYDSADGSGLDANSKLSPNRSASTFIERTMSGPATSETSLHRPMRLSEIHVAAEHALKQTISDPDFMTSLSSIEEFEKRYMELTKGAADNYHRSWWKRHGVVLDGEIAALFFKHENYDLAVKSYEKVCALYSAEGWEELLADVLPDLAECQKILNDEAGYLSSCVKLLSLDSSLFSLKERQAFQSEVVRLAHSEMKHLVPLDVSSLITFAGNAGPPLELCDGDPGTLSVAVWSGFPDDITLESLSLRLSASSSADEGIKAIKSSDSHVLVPGRNIISFDIPPQKPGSYVLGALTGQIGKLSFRSHGFSQDGPVETDEFMSFEKPTRPVLKVRKPRALVDITPAVSSALLMNELQWIGLIVKPIDYSLKGGILHIDAGAELKIEESQMIEIENYRSDREHAGSADSTVEPERVEKIPIENGKIKLPDWASDVTTLVWFPVRAIDNTIARGETTASPQKQSIVDGMRMIALKLEFGVFHNQVFERTIAVHFTNPFHVSTRVVDKCNDGTLLLQVILRSEVKASLHVKDVWLDLQSGFEHLGKGDGRPASNLFPLVIAPSSRAGILFIIRLSGTTGLDELENADSILNIKYGISGDRTTGAHCPVPVNPGDSEELLFKIALKLKRPVLDPCLAVGFLPFSTDCLRVGQLVNMRWRVERLKSLEDASISGDEILYQVDANPQNWMVAGRKCGHVSLSNAQGSRMEITVTCVPLVSGYVHPPQLGLPEVGEANISCSPAGPHLVCVLPPALSTSYCIPAA >Et_1B_010427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10390225:10400292:-1 gene:Et_1B_010427 transcript:Et_1B_010427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFELSTAVLHKRIAKAYCCCFCEGLGKYIVCFEPIERFKFTVEPQDLVYDPELKMQNKSQNQPIRRWLCTIRETFEVIEELQKVGFRLGADNPESYVYAKSLSEETGSNDLVIGFVETGLSIAMPIENALKGVFVQFHNMQKKYLVLGLTPQMAKDLTYAFCFYAELLFVQSDDCGTIMSFVQYFKRTHNCDFKHTSLPCLQVGNMENPIYLPMEVCKIVEGQRLSKLLNQKQMSALLEEGRINPQHREYYILQTMNLDGHFEGPCLEEFGIEIEKELLSLKARVLPPPWLKFGVDGMSVKILPPVGQWNLVRKRVFHGGSVINWTCVNFSSDVGDQIVRSFCHELAVMCKTSGIDFAVDSVLPVVTASPDDVECTLKACHHDLMKVLGPQGKELDLLIVILPDNKGSLYGTFSQLCLLLIHSLVILKEYVRQTLVWFLNAVLQKNVLKTIKQLKTSKQYLANVALKINVKVCYALPFVQLLAMHVVGGKNVVLLDALTGRLPCVCDIPTIIFGAHVIHPGKGSSYSIASVVASQDWPEVSKYAALADLQAHGQELIQDLFQAPYDSETGAVAGGMIIEHLVSFKRATGQKPERIIFYSYLEPDYNPSITYMVLEKRHRTRFFTDDYDDELKCSCSGNERWLTQIYAIQISLVFICAAEMGMTRPVHYRIMWDENRFTADTSQCLTNYLCYTYARCTHSVSIVPPVYYARLMASRGRPYMESTPSQNAQASGTSVATSTSDGGGAMVPCLPAVKDNVNIMLGGAAVLGTLPTGFLL >Et_4B_037888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24450454:24451757:1 gene:Et_4B_037888 transcript:Et_4B_037888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAGGGGGRLIRATTKALKKDSHLHSSTSGNSSLNRIPSLKFPFLWEANKTHRISRGAEKKAALITLGAASITPGQKQGIFLQEEVKNVDLLLPLAYEITRRLILRKFGATWLALNRQCWPKIVENMIHRAVISCQSFTLIGVAGSLLGSVPCFVEGCVVVVKTFFMRFQAMSKTEDQGEIMRMLIDALDMFLIGTALLTFAMGLHTMFFAPQSIQKPGQHINTSQLGTFNLKKLKDGAAIRSITQAKTRIGHAILLLLQAGVLEKFKSVPLTSGLDMACFAGAVLASSAGVFLLSKLAVGGRRSKEAFA >Et_10B_004044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9732595:9737000:1 gene:Et_10B_004044 transcript:Et_10B_004044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EIVSIAIVDRTMLKHMLRKDPIGAAENRAMALWNGLGQLATVAQLTGVDAGGLISMILEAVQTAKRNREECRHLARRAMMIGDLLQKLQGWDMMLDPEIRRPLDGLDDALRDAYLLMVSCQDCSLVYRFFMGWKQAEQFREVQRKIDSYIQLYPFISHIDITRRLDKICNSSNPSSSQVQVKGDKLEPCVISHSDRDTGLGMDILKQEAFKKMLSVIYPRSINGQRKMKFCIKKSNFYDKFFSSVTGVLPYRLSVLKAATNNFSPQNLIGRGGFGTVYKGKLHGGPVVAIKRCPTFREVSEQKDLSREFEAEIRVLPKLRHANIVRLLGYCTERGERILVYEYISNGSLVKFIFGTRTSRDWSLRFRIIMGIAQGIVYLHEYCGISILHGDLKPANVLLDYRMNPKIIDFGVSRILGSRSTMNEYGITGTYGYMDPEYIIMGRCSCKSDVYSFGVTLLEIITGRRSMRISSFCEGPLQGGLDYAWEMWTAGRSLELIDPSLHDEPRIAEILRCIQIAILCIEPRQEDRPTMEDVIRMLSSDSVILPSPQRRGYEDAQVGSTSYSKEQTQSGALDFNAQQA >Et_5A_041738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26529691:26532909:-1 gene:Et_5A_041738 transcript:Et_5A_041738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTPSRVIDFEMLIPVGRTMITFDVVGFEDGGGVVYVGTDRGSFATNLKSGRFREVEGHCSIHELLHSRRRYDSNQTLIASSIMAPPPPLPDVIVVEILLRVPPDDPALLVRAGLACRQWCGLVSDPGFRRRFHRRRRPPMLGAVRSSIGDDVVRFIPTFSIRPPRTERRGWWALDSRHGRVVLIQRSSRFEVAVWDPVADRLRQLPALSAPPTDRPPQLSKWSSLNATVVCACAAGSSDCDHLNCTSFLVVFLGSDYSRKFAYLYSSEADAWSEPTCMHAPFSGFIQEQKLVPHVGNSLYFLLMHSTPILEYNLVTRELTAIQPPATRQSSSSVVLSAVEGGDRLGCVMLADYTLVHMGERSRWSQRRDDMGAKQSH >Et_3A_024726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23638908:23645862:-1 gene:Et_3A_024726 transcript:Et_3A_024726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGATEMMVWSGPLFCAGGYAPVNGNHSRKEWEKTGTGSGKSQPKVFKTDENRSVIAIAILVSALHSGELFPAPCSGPRRPSAVSAESLAAPPPSNSGGGHAAPATSPSTSSAAACAASSHRLPAPSPAHPPAAHLPPLGSALRPHPRARAPLPRLLRPPLPLPAQPRRNSLLARSHHRPAPLAAAACFALLLPSSHLLFLSAHPSPASPAVHLRAYALGSAPALARFAPAPLSFKRHVSASGLPLPGLPFGLGVRLAGGVNVVALLSLAARQIWLLGPRLAADGRTVELHKCAVVELEPARPVYSMEVAMGRLLLGEAGGVRVFPLRGLMKGGKEKEGKKEGTVAAGKKSSHKKNGIVNGMAVPIRRGSFAGGGERDAASTCKLTTLKVKQSSGSYCSFSVTVTNGDHTMQGGMELRKSVQAVSIHPFSKNKCLVLDSSGVLHVISLPNTEMGSGAGNKQYSENIHIYHLDYPMKVQLSAVFPSDSTRTQFFWVSDGGHSVHIMSALDADSTDSNNGDGAGDRELTTIKLSAMEAIFTSEKVQDIVPISKDSVLILGQALDGILTKDRCCKINARARLLRNIAKTFSYRTMHQVLESAVDLAADQDWEMVHSLCDPVLGEFASSASLAPGSITLAATEGDEKAWVG >Et_6A_045985.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:15743839:15743952:1 gene:Et_6A_045985 transcript:Et_6A_045985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCWVIWTHRNIILFDGQTISFLRWKRELKDELTLT >Et_4B_038562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29861816:29865312:-1 gene:Et_4B_038562 transcript:Et_4B_038562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRSLLSSPLFASSSPNFRSTTSIPSSPSPSRASVTMIHDATSRASTACHYSPSLVAEEQLHGSKDTLTLKGEKALLEVLLDMALDQHVDGKELISLETEDSDFESYLRVATSQVLNDPAFIEEDNATSASSSTSAANLGGALDLGTPSTTVAKEVALPALEPGSLATHQDAQILHRMDPNLIYEELLSKGQVFIRSKRLPERRSRKRNAPRASSNDVLCSVVNSKKKEKSKKIGRVLDPDEPFKLFLRDRETTEFLTAKEEKHLFSQIQDLMKLEEAQRKLQAQCGRDPTIAEWAQAVGMSCKELQSSIRIGRRCREKMARSNFRLVIHVARKYEGYGLDIQDLVQDGCCGLMKTFEKFNPSKGCRFPTYAYWWIRQSIKKSIFKNSRLIRLPESVYALQRKVGKARMECIMEGEQPSNENVARRAGITIEKLARLRAKTRKPRSMQDRVWSDDGVTFQEITEDPNVEPPELSIDRLMMRQQVLDFLGILSPREKEIIEHRFGIHDGEPKTLHVIGDMYGLSKERIRQVQNKALDKLKRSVSAQGFDAYFDLLT >Et_9A_062493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23530890:23531257:1 gene:Et_9A_062493 transcript:Et_9A_062493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQ >Et_7B_054168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16399004:16418990:1 gene:Et_7B_054168 transcript:Et_7B_054168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGRSGTAVARKHLRVLLPFFRKSLVRATPSAAVAPRKKSPARGTNLPCISQRIPDELAEDIGAAEAHVEIPFGKGKVRRRVEVGRDGDGAFLGRGWKEFAAACGVGAGWLLVLRHRGGGVLTVKAFDDSGCFRELGSPPPAAEVTTSSKDATRRLQFISVLSQDSLTKMVRRLRLPVCLHFYWKCFDISFLFVLQLLPSMFVRQCIPNEGLNTLKVIVFGPLGKVYPIGLEMNQSDLFFAGGWSQFLAFHGITEANVLLLRYEANMVFTVKVFTADGCQMESKHKDIKMQQCDDERQQAISVASWKCKSKNNWPSSEEQKKPTGSMTSLPKSPLLMKSIYEIGPPSWIKKQINPNALAKELALATAFCDAIGLREHCTVTLKTSMDSSESWQVLGSKIKNKSYRLGQGWKKFCLDNNLELGDICTFSVVETTVWHVDVTRSKEKIKQFRYVNPSTSSSKRMSNINRSSRKGKNRPKGSRTYLNKALSKTKCVVEIGPPAWIKKEINTCAINNKLYLAPEFCEAIGIQEPCIITLKTSTSSTKAWQTRVIPYKNSSHHVGGLGWKSFCQENGIKLGDVCTFNIVETTEWHVTITCRAFPFAPRAKTPTREAIPLIVPLQRIPDALAAEIGAGEALVVGPSVGKVNFHRVEVGRDGDGAFLGRGWPEFAAACGVGAGWLLVLRHRGRGVLTVKAFDASCCLKELGTSAPPAATVINKEAPHRLQFISVLRKDSMKKMSIPATVVQHYMPKEQPNNNSTAIFLGPLCKVWHIDLEMNQSDVFFVGRWSQFLAFHGITEANALLLRYKGNMVFKIKVFESDGCQRESKLRDIQIQQPLRDIEEQKRAPSASIQKRKIKDNWPGEKRKKPKCFMISSNKASSQWKTVYEIGPPSWIRKHVNTNTLQNQLALPISFCDAIGLQETCTITLKTSMNSIGSWLVHGRPYENNSYKFARGWRRSSKDNCLGEGDICTFLVVKTTLWHVVITRYHEKINHLCNVSWILLLWLIHYQLESPFVSSRKYKSKNDWRSSEGQKTQEGSMTLNKASLQTKCVFEIGPPSSIKKMTNTTNTLENTLVSMFPYYFEQASYVHDHGAAKAKDLRVLLPFSCERLRIPDGLAREIGSGEALIVGHSGGKAKVWHMEVGQDGDGAFLGRGWPEFAGACGVGAGCRLVLRHRGRGLLTIKAFDDSGCLTEHGAPAPPAAQATTSSTDAIGRPQFISALPPGLMDKMLMPSKFVERYIAKERLNKRMAIVAGSLGKVCPIELEINRSGVFFAAGWSKVLALHGVSKGMRVTWCSQSKCLGLMDAKANPNTRTSRFNNYMSLLSHRVSTLPGVEERQDPLYDFAWKCRSKNDCPSSEGEKKPTGSTTSLPEASFMKKSAYKIGPPSWIMKQINAYALKDQVALAPAFCDGIGLKERCAITLKTSMNSNESWQVHYIPCKSKSRLGQGWPKFSRENSLELGDICTFHVVETTVWHVIVTRFKDKINQL >Et_5A_040879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1382665:1384091:1 gene:Et_5A_040879 transcript:Et_5A_040879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGASGCRVDVGSPMSRMIRPAYNVLEHEVAAHLARYGMQRVAVPGYRLCVIDNPGFREYLPSMALELYGGAQLDIAPQRLFVVVGQYLCLAIVPDEHQTVLGALQQVNTQFIFDLSTNKISFASAQCSERSIHTLQPPEINHGRFIHKHALGPRRVADPSLTGITANMFGGTGCRIDVGIPMSRIIQPAYSVLDQEVAAHLASYGAAQRFAVQGYRLCVLDTPGIRAHLPIMALELYGGAHLDIAPQLLFVVVSNGGNQYLCFTIVPDEHHTVLGALQQVNTQFIFDITGSRISFASAQC >Et_8A_057828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:864474:865435:1 gene:Et_8A_057828 transcript:Et_8A_057828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAAVPATTSFFPSSTTAHQDGGGRSMSLSRLPARVAGAVVRGIITFVFATVGSVLGAITGALIGLATESGLVRGAGVGAISGAVVSMEVVERSMAIWRSHESGIWSVLYVLDVIWSLLTGSLVREKVDPAVQNAVESQMNAANSPFRDMAPTLADMFDTAAGAAAAATGMPAAAVEALPVMRFADDTVVDAGGERLGCSVCLQDFEAGEAARSLPGCAHTFHLPCIDVWLMRHASCPLCRRAV >Et_4B_038047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25787245:25789887:1 gene:Et_4B_038047 transcript:Et_4B_038047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPPTSCKEWSMSDFEIGKYIGEGKFGKVYVAREKKSGYVVALKVMFKAKLRKYRFHAHLRREIEIQNSLDHPNVLRLFAWFHDEERIVLVLEYAARGELYKVLRAAGRFSERTAATYAASLAGALAYCHKKQVIHRDIKPENLLLDIEGRLKIADFGWAAQSNGKRHTLCGTIDYLAPEMIEKKPHDHAVDTWTLGILCYEFLYGNPPFEAAEQNRTLRMILTADLAFPSTPYVSPQAKDLISKLLQKDPNKRLSIEEMLRHPWIVMNAEPSGSCIKQKRLSQKDK >Et_4A_035248.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:14560863:14561219:-1 gene:Et_4A_035248 transcript:Et_4A_035248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGETTLSGFGGAGVDTKVLHAFQTSFVQVQSLLDQNRVLINEINQNHESKVPGDLSRNVGLIRELNNNIRRVVDLYADLSSLFAAAEGGRAASEGGSVGTVRQAGAGHKRIRSGLD >Et_9A_063107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10286110:10289459:1 gene:Et_9A_063107 transcript:Et_9A_063107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPTQQKLAPSDEQQGKINEVRELLGDLPTEMPSFLSNATIRRFLIAKNWSTAQAVKTLEEAATWRRQYQPEKIRWEDIVDSENEAKRAYIADYLDKKGRKVFVTLPATKSKISEKEQIKYLVYILENLVLVDTEDAQEEKVVWISDFQGWTISSTSFSMAKESLHIIQKYYPGMIAVAIVTNAPRIFESFWKVIKHFLEPKMEEKVKFVYNNNLESMRLMAEMFDLDQLGSTFGGKNTAGIDMNKYTNHHG >Et_1A_008511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8300110:8305596:1 gene:Et_1A_008511 transcript:Et_1A_008511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTSKDHVIGIPVNSRAYGIEEPEFPVSRAYGIEEPEFPTEETTPDHGSFVNSFQANHDATNRSTADRPASNHGRKGDKIAQGIKEHVTLGPKLADTVKGKLNLGAKILQAGGVEKVFRQWFSVDKNEKLLRASQCYLSTTAGPIAGMLFVSTARIAFRSDRSLAVSTPEGDKARVPYKVTIPLRKVKAVRPSENKHRPEQKYIRLVTNDGFEFWFMGFVSYNRSLQHLEQAGESVMTKSCGGHVMGVPVTSKAYAIEEAARDRTAAKKDGDRLAVSLTHPSPYTTFGYKHSSKGQVLHWVNKLGRRAQGFRDHVTLGPKLSETVKGKLSLGARILQAGGVERVFRQAFSAEKGERLVKALQCYLYTTGGPIAGMLFVSTRKIAFRSDRSLAVTSPAGEVVARVPYKVVVPLRRIKRVRPSENAEKPEQKYIQVATVDGFEFWFMGFVSYQRCCKYMQQVISEL >Et_1A_009284.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:37214785:37215144:1 gene:Et_1A_009284 transcript:Et_1A_009284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAGRPVTSTALLLVVVLADVLVRRPHSRAGRRREGWRRDVPEQQVPRRTMCMRRRALGHQVLRPRVRTSASNCGACGKRCCAGRCVAVAYDADHCGACGRACAAGVPCTYGMCGYA >Et_1B_014206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35416796:35419468:-1 gene:Et_1B_014206 transcript:Et_1B_014206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDHLLDDDPFFPFPSSASSCPFFSSSSSTSSRYLIDDHPFFPTAPSCPLGFTSPIDTFHLDLDLLLPHQHHLIAPPPFDPFLLDSLSHRVSALERALAPPAPRRKYTYTTEADGRKVKWTAEDKPHGGRNLKWEAELKAPLEDGFDRKWKWESKASAVGTTKVKWAKEIKGKGCLHPWSHAYSVEEDYGDHDSDDEEHDKKKKVKDEKHCSANNKKKSNAVEIVEIDDNTAGCVAIKKGPDYMVRNAKRSMLEELEGMLEIVDPQPPGKPRTLSRRKFDLPEGGAILKEMRNGVKNVIRIVEEGK >Et_1B_013291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6988691:6993672:-1 gene:Et_1B_013291 transcript:Et_1B_013291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVETLAPLPIAYPPRTFLRPLPRRSVVAGGAPPSIRISAVPPRGLGFALVHRRVRRCPPAASANVERDGDGGGANGEASSAGDDDRDASAGQGGDGTSTSSTSAAATPPPQPSSKRGENKWRRRLTKGGGVGRWLWEPIVQGREMGFLLLQLGFAVFALRMLRPEIALPGSEPRPQTTYVSVPYSDFLASIDKDQVKKVEVDGVHIMFRLRPEVEARVVEQPPAPRGTDVVVDSAGVARRIVFTTTRPVDIKTPYEKMVENSVEFGSPDKRSGGMLNSALVALIYVVLIAVVLQRLPISFSQNSAGQLRNRKNSNSGGAKVSESTDIVTFADVAGVDEAKEELEEIVEFLRNPDRYMRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGAARVRDLFARAKKESPSIIFIDEIDAVAKSRDGRYRIVSNDEREQTLNQLLTEMDGFDTNSAVIVLGATNRADVLDPALRRPGRFDRVVMVEAPDRFGRESILKVHVSRKELPLGKDVNLADIAAMTTGFTGADLANLVNEAALLAGRSNKELVEKIDFIRAVERSIAGIEKKHAKLKGNEKAVVARHEVGHALVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTTEDRYLLFVDELRGRLVTLLGGRAAEEIVLGGRVSTGALDDIRRATDMAYKAVAEYGLNQRIGPISVTTLSNGGLDDSGGSPFGRDQGHLVDLVQREVKALLQSALEVALSVVRANPAVLEGLGAYLEENEKVEGEELQEWLKSVVAPKELTSFITGQQEHAVLQLETNS >Et_5A_040445.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:22806938:22807219:-1 gene:Et_5A_040445 transcript:Et_5A_040445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VPYVTNALRTWITYVAAVLIVGRHGSEYFVPSAYTGLFHHMTRPLLCGRYGIGKGSRRMVVAASIQSPHAAEALERKERVGFRCPSFPHVGFS >Et_1B_012364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31151562:31161684:-1 gene:Et_1B_012364 transcript:Et_1B_012364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSATAALLFLCALGVAAAAEKSSYVVYLGDHAHGAALSTYGAEELAARESAAADAHYDLLAGVLGETRRGRPSSTRQPGVVSVFPNRGRQLHTTRSWQFLGLAGPGGVPRGAAWRKARFGADTIIGNFDTGVWPESDSFKDDGMGPIPSQWKGACENGQDDKFFCNRKLIGARYFNKGYASAVGAFNTSMFNTPRDTDGHGTHTLSTAGGSRAPGAAVFGFGNGTASGGSPLARVAAYRVCYPPVNGSECFDADILAAFDAAIHDGVHVLSLSLGGEPGDYFNDGIAIGSFHAVRRGITVVCSAGNSGPGLGTVSNVAPWILTTGASTMDREFPSYLVFGNGQSLSATSLPEMTSYPLIDSVQAAAANATEKDAQLCAEGSLDPEKVKGKIVVCLRGISARVAKGEVVRQAGGVGMVLANDETTGNEIIADAHVLPATHIKYSDGQVVYAYLKSTKTPMGLITKPATILATKPAPFMAAFSSQGPNTVTPEILKPDITAPGVSVIAAWTRANSPTDLSFDKRRVAYNSESGTSMSCPHVSGIVGLLRTLHPDWSPAAIRSAIMTTAMEMDNKHEPILNSSFAPASPFGFGAGHVNPVHAMSPGLVYDLGEADYLDFLCALRYNATVMAMFNGAPYTCPGEAPRSVTDLNYPSITVVNVTAGAGATARRRVKNVGLPGTTYKAFVTEPAGVSVTVTPSVLHFGPKGDEKGFEVTFQVKNPALAKDYSFGSLVWTNGKQFVRSPLVVKSFRTSNVYRRVVLASPVEDLQQELLQKLGPGMLAGIVSLRHTINKNSSYNIQTVEQLTVLHCQQKPADERKVKTTSKSINRKQPNTGWAVQVEEKKTSQATVTIMDKLFKQIFSSKNLQSYAANVNQSSYTLKASKRTYHWREP >Et_4A_035208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12384533:12387451:1 gene:Et_4A_035208 transcript:Et_4A_035208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKADAASLSKEIHGSTMAANTRPFVPSVWGDFFLTYTPPDSHSSEEWMRERAEQLKEEVRQRFDNGKSMSMANTVELVDALERLSIDNHFQAEIDTALRRVHSEEREFGSSKELYITSLRFRLLRQHGYWVSADACHLQAHLDADVFDRFKDDTRSFSTGLSNDPRGLLSLYNAAHMAVPGENVLDNAIAFTRSHLEATKGKLRSPLVKQVSRSLSIPLTRFMGLLETTHYIVEYEQEEAHDATVLELARLESNLMRSVHLKELSAFSSWWRHLYDDVKLTNARDRAVEMYFWAAGVFPGKENYRGRIVVAKMIGLLTLMDDTYDIHATLDECQMLDKAIQRWDENAVSILPEYLRMLYTKILSNFSEFEDLLLPDEKYRVSYLRKASKHYLLEATWSNENYMPRFKEQEEVSVMTSTLPLTIGSLMGAGNVETGDTFEWALGVPDMVRACAEIGRFLNDIASYKRGKNKMDVASTVECYIHEHGTTGEEAVAAITAMSEHAWRRINQACMEIDRALLPAAKLAVVNLARPMETIYHGGNDAVSFSSDLKDIVASLFLKPIAT >Et_6A_047883.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:22830297:22831451:1 gene:Et_6A_047883 transcript:Et_6A_047883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEQQPPRLKPKLRKPKPKPKHKTGPEQKPMPAKPRPEQMRDSVPGGGVPFLQQPFACDAYPLCPPPPPSAPPATVHLHSGRLPTPLIALSASLLAVSALLLLALLVYRLVLRRRRRRNAPPQQEAPRHDDDDEESVLGVAAVAWELGEGEEDEVDSGDGGGVHHVWYIQTKGLDERAIAAIAAVVYDGAKMKKRNGGDGDGGCAVCLAEFRDGETLRLLPRCGHAFHRGCIDTWLRAHVNCPLCRAPVQVAASAAGAAKGGGGEAETNLGAVSDIRTEEAEHGGVPDRAVRRAASMVALPRRAWPDVSLRWPAASSSGREEEMTGLGKIRRLLKLSDAPVVAGVGRSVSFGGAGSCQRLPTRSGPSTAADGVTGDEIELSR >Et_3A_023277.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:17262301:17262510:1 gene:Et_3A_023277 transcript:Et_3A_023277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAWSRSTGSVGAVGGLRRGVQRGRGRRFGLGQRAPAVASRGDEGTASSPYQGVFCKMSRIQTAEKDAL >Et_1A_006943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29282083:29284568:1 gene:Et_1A_006943 transcript:Et_1A_006943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPHHAASSPHPPPPPLLPLALLPPRHCPLAAVLLALLSVLLATGLWLLLVLSPSQGPPPVAAHSAVSDAAGAALADPDAASPLELGHIVFGIAGSAHLWPRRREYVRLWWDPAAMRGHVWLDASAPAAPGPSGPGEDSLPPIRVSEDTSRFRYTNPTGHPSGLRIARIAAEAVRLVGGGGARWVVLVDDDTVLSADNLVAVLSKYDWREMVYVGAPSESHSANTYFSHSMAFGGGGVALSLPLAAALAETLDVCIERYPRLYGSDDRLHACITELGVPLSREYGFHQWDIRGNAHGLLAAHPISPFISIHHLELVDPIYPGLSSLESLELFTKAMKMEPMSFLQRSICYNQREKITFAISLGYVIEVYPNVILPRELERSERTYIAYNRMSQRNEFDFDTRDAQKSLCKKPILFYLKDVWKDRNITRGSYVRSSDKDDLKRKVFCFRSPPLPDIDEIQVSTSPLSKRWHLAPRRLCSALKGSINDDTWKMLSTLHSLNQAFQGALFLPRALDSNQLRIIL >Et_5A_042278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8215875:8216969:-1 gene:Et_5A_042278 transcript:Et_5A_042278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTGLSTGVLPGRRVGRARTAIRASAAAEGETSRRAVLGLVATGIVGGALSKAAHAEPVKTIKIGGAPPPSGGLPGTENSDQARDFGLPLKERFYLQPLPPAEAAARAKQSAQDIINLKPLIDKKAWPYVMNDLRLRASYLRYDLKTVIASKPKDEKKSLKELTGKLFNTIDDLDHAAKIKSTPEAEKYYAETKTVLSDVLAKLG >Et_1B_010053.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2171938:2172696:-1 gene:Et_1B_010053 transcript:Et_1B_010053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQIHLILTPAHHCVSAPRRPQRATRADPTAAAQTTTAAATSFPAAARAQSPAQPVRRRGRRRQGSGPFPHNPRSPFLPPPSPPDPDQISGRINPEQGTRSIWIVCPCCSYIEFYRSCKPPCQHVRTGCGSRSSRRRRWLFHPSPASFCNLVDEAWPLDQFPVADTWTRKIGDAASGLFGAGGGMAMAWPGKVSPGSLHVSRMMHMRLIAGSPGPRRRGQIHGHAVRRRDSSRTLGAVEMAIKPTRAPSLNY >Et_2A_015026.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:26555186:26555374:-1 gene:Et_2A_015026 transcript:Et_2A_015026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMAAANFLDFSIVYRSRECNRVAHALAALGCQCSVGDDSLVDVLPNCIRFLIADDLLAHD >Et_8A_057978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1159503:1161272:1 gene:Et_8A_057978 transcript:Et_8A_057978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQPAGEPPPPAPAPHAEGAAAPAATPAGAAGQRSVPTPFLTKTYQLVEDPAVDDVISWNDDGSAFVVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDCFRRGEKRLLCDIHRRKVTPAAAATGAVTVAAAAAAAIPMALPVGSPVYSGEEQVLSSSSSPEPPSLQPSGSGSAGAASGGDVGEENDRLRRENARLARELAQMKKLCNNILLLMSKYAATQQLDAAKAAVAAGNCSGESAEAAAPPPPPPSILELLPSCRGGGGGGAPAAAAEPEAGTTEEDEEEKMSARLFGVSIGRKRMRADGGETDSGGGSAEEALRHAPEVKPEPMDTQHHHHDEHTSEPQAWPIYRPRPVYHPLRAGTAGSDRSGSDHDGSNSSKLRTRDGFGKQGMWGSYR >Et_1B_011160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18155073:18155701:1 gene:Et_1B_011160 transcript:Et_1B_011160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVGTSPAIPNSGIWKAKSEPKLTADNLEKKDGGKASTSYRGRKLISAEWLQDQTRSMDKYKRSFLTGVILYALWNTWKEKNRRVFELKSKTELHVAM >Et_6A_046929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22494850:22500338:1 gene:Et_6A_046929 transcript:Et_6A_046929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALAGVAVGAVLGAVTKNVATQAVKLAEKRYKLWNDFPNDIDFIKSELRMIAGDEEDQLSGKGDPTAVRSISMEEMRDLAYDIEECLDRILRYAEGEGKPSRLHGLNMLFRSPPYAKEIKDLKDRLKAAHQRKHDYNVNGGQLASTSSVFPAITEATEVEPVGIDMPKGDILELLSDIEGEPELIKVISIVGFSGSGKSTLAKAVYDCPAVIHLFRCRAWVSASEHRGDSKGLLMELLEKLCLSEPARGDQQQIRNNFANSKRYFIVLDDIKEQQWYGIKSVFPARSKIIVTTTSQAVGKVCSHGSGHVYNMRTLNMKHSTELLQAVSKGHSPGLEENSVSLMKKCDGHPLALVSVANYFLRETVLTKRFCGQFYPSLGYHMANNDAFSKLQQVLLTNYRSLPSPIKTCLLYTCVFPNGRTISRKSLMRR >Et_5A_042128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6648620:6651774:1 gene:Et_5A_042128 transcript:Et_5A_042128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHFLVYVVLLLIASAHAKSRRHGPSDDDDDTQYKLFVFGDGFADVGNGVQMQNKDMMSRGWHIPYGMSDKAHDKEPTGRYSNGLVQSDFVAQILGQDESPPAERLRGKNGVDPSGTNFAVVGSGAYAESDSDHVPTFDEQIDSFRRLVKHGIIDHDLKGSVALVAFSGSADYAGDEYRNGEVIDIANNVTDKIADGVQRLLDLGVEKVLVNTLLGSPLLFAVVCAVLLLLNAGPAESRSHGGGGGGAAKTKKQYKLFVFGDSFADNGNYPMADLTAETRAWYYPYGTSDYEHGTSPSGRFSDAMVQPDFLAKILGKEESPPAERQRDQDGVDPFGMNFAVGSAGIVAGSRDAPNFGTQVDSFRRLVRHGIISKDITDSVALVAFSGRRDYARVSGMTTSQIDALARNVTSSLAGGVEQLLKLGVSKVLVDALPSLGCTPWLSRPNGYGGCDGNHQATSLHNGYLFDKVFKYDDVFMLDLRTVFNDFVLTNNPAAGSRAKRFKYKLEPCCDSFDDQTGFCGQMEDGQKQYTLCPKPDKHFYWDEMNPTQAGWKAVMEELEEPIKHFLEISS >Et_3A_023097.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27307617:27310083:-1 gene:Et_3A_023097 transcript:Et_3A_023097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPLLSRSGVLQRMISEYQPPEDGGDGLCTLQLDDIPGGAKAFELAARFCYDVKIELNALNVVCLRCAAEYLRMTDEYAEGNLITQAESFLGDVLGNWKDCIKALETCEGVLPTAEDLHLVSRCITALASKACASSDAAGAIASRASVVDRDALWNGIGSADTPRGGLLASYGGMDWWYEDVSFLSLPMFKRLIQAMEAKGMCDESIAGAIMFYAGRFLPGLKRNTSFSNALAGGGGGDGMSSRNVTPRAANVSAPSEGDQRYFLEEIVALLPTKKGVASTRFLLGMLRTAMLLHASPMCRENLERRIGARLEDASLDDLLVPNLGYTVETLYDIDCVQRILDYFMSSTDGGLGTGYTSPAGVVAAQQGGTPPSSSSLSPITMVAKLMDGYLSEVAPDTNLKLPKFQALAAVVPDYARPVDDGIYRAIDIYLKSHPWLSESEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTSIAGWFFVSDNAAAGDGGGAIVPKGSAVAAGALAETDADPVDADAPAGKEESITDVKARVSDLEKECKCMKQE >Et_4B_039172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8425627:8428160:-1 gene:Et_4B_039172 transcript:Et_4B_039172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDGVDGAAPVADFPATLTHGGKFKQFNIFGNLFEITAKYQPPIMPIGRGAYGIVCSVLDSETGQMVAIKKIANAFDNHMDAKRTLREIKLLRHLDHENVIGFRDVIPPPIPQAFSDVYMATELMDTDLHHIIRSNQHLSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPSSESDMMTEYVVTRWYRAPELLLNSTDYSAAIDVWSVGCILMELINRQPLFPGRDHMHQMRLITEVIGTPTDEELGFIRNEDARKYMRHLPQYPRRPFASLFPRVQPDALDLIERMLTFNPLQRITVEEALDHPYLERLHDIADEPICTEPFSFDFEQQALSEDEMKQLIFNEAIQMNPNFRY >Et_3B_027952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27890346:27891707:-1 gene:Et_3B_027952 transcript:Et_3B_027952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLSLHRSLSDQEVSRLRSDAMRSPGVAYLNSTDQAFLLKLACAELVVSLDAAAASVARLGLRCGIDFAGVYACLKDGAADARLDPLVAKGLKVKAKKMERLVAATSKLCSEMEALDELESEERKLSVRGWSRLSGPMPSKQQPVVALAPPPPLSDGDAPGAESLRQELKTQRLKSQSYEKAVGLMARATCAVFVRVCAIFGSFVPGLPPPLPAAATTDSVQTRLSKLLLLHPRSAAKARASSGPITRPSRVHPPMSSNSCPIIGLRPSSGQKSPTDWRKLLETPPGTVGGAGLDQQYANVIMSAEDLLRMEAEGRQEEAAAERAEMYEMLPAKLRAAVRSKLREWWRDPGPLDAGLAEGWKEAVDRIMAWLGPMARDTAQWQAERNMDRTRRFDGSPRVFALQTLRWADKEKAEAAIVEVLVALSCICWYEERRRGSVRH >Et_1A_007106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30936966:30944227:-1 gene:Et_1A_007106 transcript:Et_1A_007106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GAQGRANQIGHTGLLVQRQQDSDLARIKNPPRPPDHAHTTIAPPHRTGGGGGGGGAAAASQGGSMAEAGVGGGGGARTVPMHRLFAFADRRDAAMMAVGTAAAAANGLAMPFLTFLIGDLVDAFGAADRARVVHVVSKVAVRFVYVAIASGVAGFLQVSCWMVTGERQAARIRGQYLETILRQDISFFDVETSTGEVIERMSSDTVLIQEAIGEKVGKFLQLVSTFLGGFIIAFARGWLLSLVMLSSIPPVVIAAASMSLVISKMTNRSQMAYAEAGKVVDQTIGSIRTVVSFTGERRAIGRYNEFLKTSYRATIHQGIAVGLGIGSLLLIIFCSYALAVWALGNSSPCLSAFASGRIAAYKMFATIYRNPKIDANDKNGLVLENFMGAVELKDVHFSYPARPEQQIFCGFSITIPTGMTMALVGESGSGKSTVISLVERFYDPQSGEVLLDGINLKLLNLSRIRQRIGLVSQEPILFTTTIRENIEYGKKGASEEEIRSAVMLANAAKFIDKLPDGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESEHVVQEALNNIMVNRTTIIVAHRLSTVKNADTISVLHRGQLVEQGSHAELIMDPNGAYSQLIRLQEVNAKKNGGKKVFRRLLHLHKPETPILLLGCTAAVANGAILPVFGLLISNAIKTFYEPPQKLREDSVFWAEMYVMMGVLSMVIIPVQYSMFYMAGGKLIERIRALSFTRVVYQEIGWFDDPMNSSGAIGSRLSADAASIRSIAGDVLSLIVQNISTAIVGIIIAMTANWKLACIVLCFVPCVFAQSFAQARFMRGFSANAKEMYEQASTIASDAIGNIRTVASFCAEEKIVENYRKKCENPVKQGVRQGAISGVGYGFSFALLFCFYAVSFYIGARFIRDGTADVGQVFKVFFALTMMAVGVSQSSSMARDFSKAEDAAVSIFGIIDRKSVIDASSEDGTILGTVEGNIELQHVSFKYPARTDVQIFSDLCLRIPAGKTIALVGESGSGKSTVISLIERFYDPDSGAVFLDGANLKSLKLSWLRQQVGLVGQEPVLFNETIRANIAYGKKDQVSEEEIVAVAKAANAHGFISSLPHGYDTSVGERGVQLSGGQKQRIAIARAILKDPKVLLLDEATSALDAESERTVQEALDSVMVGRTTVIVAHRLSSITGADKIAVIKDGVVAEEGWHEQLLRDSPSGVYASLVALQSSSS >Et_9B_063878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19087690:19088536:-1 gene:Et_9B_063878 transcript:Et_9B_063878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRGQQEPVHEEMGTPPAAAVPRALPPTAAASSSEVRYHECLRNHAAALGGHVVDGCGEFMPGAGAGDDALKCAACGCHRSFHRKDDGQRRQLLLPAPAPPPPCRRRRRTPTPPATPTTTRRRSRTTARPAAAAAPPPSPPARSGARRRRRRRRVGRGSGGSGSGQSSRRSRRSRCWRSRSGWGGGCRSRTRRWWSSSARRSACAARSSRSGCTTTSTSAGGNNRSRSNNPNSSSNRSSSSSHGRRQGSAPPAFA >Et_4B_039506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19622268:19627308:-1 gene:Et_4B_039506 transcript:Et_4B_039506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQQASEPRFDAVVAQDGTGTFPTIAAAIAAAPQKSAKRYTIHVKRGVYDEILRISKDTWNLMLVGDGMGVTVITGSRSIDRYKMPDTATLGVDGAGFMARDLTIRNTAGPDKNQSIALRSTSSRSVLFWCAFEGYQDTLYAQSNTQFYSHCKISGTVDFIYGDATAVFQRCLLVACVPRLGQQNVLTAQGRDTARSTTGFVFQFCNVTGDALLREKGVETYLGRPWKLYSRTVFMECSMDSVVHPMGYLPWNGTDGLNTLYYAEYSNTGPGSDTTGRVKWPGFHVIGAAEAGKFTVASFISGGSWLPSTGVEFTPGL >Et_7B_053571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1025273:1025978:1 gene:Et_7B_053571 transcript:Et_7B_053571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPVLLLLLALFGALCRRAGATAFEVGGDDGWAVPSSKDGGMYNQWASKNRFLVGDTVHFKYKKDSVMVVTEDDYNNCRASHPIFFSNNGDTTVELDRAGLFYFISGVAGHCERGQRMIIKVIGHDAPPPAPQAPPPPPTPPTPPSPSGAAPGTSVLAAGAISVAAAMALSVIVLGV >Et_9B_065995.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19735834:19736241:1 gene:Et_9B_065995 transcript:Et_9B_065995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDTVAVAAREISETAPMSPDAKAPIAAASPARPRGWLRRLIPPEYMPRSRRWKLISPTGGAVGRGPSRLASSLSRSLRWKRLPGFPSLSLRSGSASASAVVDAVTFRVMYIVEAVVLGLGLSCFFLCCGCHL >Et_2A_015700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17022323:17027297:1 gene:Et_2A_015700 transcript:Et_2A_015700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSYYSIVLMALALSAPLAVLAGDPDILTDFVVPANLTATDITGAFFTYTGFRPMFSMNMSMPMPPFMATKASMAEFPALNGQSVSYAMLMFQPDAVNPTHTHPRASELLLVVDGALSVGFVDTAGKVFTQDLDTGDMFVFPKGTVHWQYNKGPKSAAAFSAFGSASAGLVSVPVTVFGTGIDDTVLANLSILAFLLLALSAPLAVVAGDPDILTDFVVPANLGIPFNITGDYFTFHGFGALFNRSAPPHESFNVTKASVAEFPVLNGQSVSYAALSFPPGSVNPTHTHPRASELLLLLRGALAVGFVDTAGKLYTQDLAAGDMFVFPKGTVHWQCNQGSGPAFALSAFGSASAGLVSVPVTVFGTGIDDTVLAKSFKTDVPTVQKLKAALTPSPKAA >Et_7A_051946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3024180:3025403:-1 gene:Et_7A_051946 transcript:Et_7A_051946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ACSSDEAHRQNVPRRVFNALVRKRLLDQYNVRFPINVYGTVIVRDSLDHKCIYLFRCHRRDSQLIKSEVQLPLSFFQPLAILTGPFRGFYLEDFIFLEVDLKIRHDQGTDQQLSTGVFEIDGRVVTRQEVEVKSVSHASWFSTVELHYAAVPKAVEATVEFQALQGDFYGEITAHTTSILNRIVLYDSSAGGKVTCDDNGAIQLLRRVIAACLNEMLIFSIVVRAGNNAATAASTRVVEFAPSVNGADEDMVYCGAVKLRVKVTWSLINRFY >Et_6B_048404.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18791313:18792365:1 gene:Et_6B_048404 transcript:Et_6B_048404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVWAVALFTLLPCIDPVTSYVGLDYKSPLAKMVFQICLYFGYVLLMSISSSSTDIGNKAVCMLCAITLMKGFHRSLALVLPCRLRDRIDSLNDGDGKYHFLTGWPIRTGRALMVDISPDFDASHHEVSMDDILSWCQKQSTSFICTKRENDNDDCWKEEKKGNIELSFQDVSTALALSHLLQRHFVGLSKTNKLGDPIFKLVPYGTIDYKRALKLVEVELAFLYETFFTSNAFLHYYQAKAACLWTFASFIGTCFVGVTVAIPGTMNRGSVTPGPGAGTSIIVDTTRADLIITLIIFVSLALLQLVMLIRCWKANWARVAIACQYARNQDKGDNRPIWWMRRPFWWLN >Et_8A_057328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23752851:23756958:1 gene:Et_8A_057328 transcript:Et_8A_057328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPCREVPRSWERRPGWVRSLEAQEVLQLDVPTDSEASPRRNVPGSVSSPLVNGDKSVFRDQNAGSITRSFCVDDNDLEDGKASKDRDTPSHFLRLPKIQNQALLSGLAYCIASCSMILVNKFVLSAYGFNAPIFLMLYQNIVSVTIVSTLSLSGSVPTEQLTWKLIKVWLPVNIIFVGMLITSMFSLKYINVAMLTILKNVANVLTASGETYFFKKQHGTQVWIALILMIISAVAGGITDLSFHAVGYTWQIINCFLTASYSLTLRHVMDSAKQATKSGNLNELSMVLLNNVLSLPLGIILVLGFNEVKYLLETPLLKMPSFWVVITASGVLGLAISFTSMWFLHQTSATTYSLVGSLNKIPLSIAGIVLFNVRTSMENSLSILFGVFFARAKLRDNSP >Et_4A_032029.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:12368973:12369140:1 gene:Et_4A_032029 transcript:Et_4A_032029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADGPRTLLPAAQLAVVNLARPMEILYRWQRCLSFSIDLKDLVASLFLKPIPAA >Et_3B_029879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28791405:28793792:1 gene:Et_3B_029879 transcript:Et_3B_029879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRAGTAAGGDTSAFFAATLVLWAVWVGFEIGVRGRRELAAVAAGFAFFQAANTAVRAAVSRDPLFVNTAVSLLHSSITSVSVIFILVNQWRNKGLGNLFEHDELFGGSWVGAYSALCFSSGYFAYDQLDMLRYRLYSGWIPGILMHHLILLICFTLALYRNVTINYLILTLVCELHSIFLHTRKVRRMAGFRDFNRKMVKMEWVLNWTTFVTARVICHILITYKLITDAHKFGKGIELPLALFGMAGMNLLNIFLGLDLFKAFVRERNQQRHQD >Et_10B_002457.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:7985983:7986348:1 gene:Et_10B_002457 transcript:Et_10B_002457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGLGHASLEHESLKTPCKEVLSSKSQDIIKLVLTLSKQSIAVHAAEQRLTLKDTAGVLLIQSEQVPGSITDPAQGILDPPQLTLAPQAVLTDQLQLCVKTLLLVRTPGLLECLTICNK >Et_3A_024581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22212050:22220881:-1 gene:Et_3A_024581 transcript:Et_3A_024581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDMGVSQSNVLPNRLILSPFSLMNRRSIAACSDLQYDYQVRVVHRRRLHKLVVGLDVEWRRPTSSSPARSPTALLQLCVGRRCLVLQLLHADYLPDALADFLAEPGYTFVGVGVGEDAKRLSDDWGLEVTNTVDLRELAAEEMDLRGAGLKAIASAVIGVDLEKPQWVSTGPWDASQLSAQQIKYACIDAFVSFEVGRVLLDYYWSSRRGAAADRPSPGGDRMAETKVRVVKFGDDKITTTVTASGEALADWISEVERVHRHRLHKLVVGLDIEWRPTFSASYRSDQNPVATLQLCVGRRCLIFQLLHADYIPGALAEFLGEPAFRFVGVGVDGDVERLSDDHELKVANAVDLRGLAAEGMNLPELRQAGLRAVAAAVMGVNVVKSQRVTMRRWDASCLSYEQIRYACIDAFVSFEIGRKLLTGDH >Et_3A_026926.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:26689048:26689548:-1 gene:Et_3A_026926 transcript:Et_3A_026926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQQPQEMPSSFEQPSPCAKGCGFFGSPATQNMCSVCFTKHLVATSQPAAATSTAAADVAVKTTGDAAVVAGEEEALTEAELRQKAWSERCRAARAENYWGNRCSQCSKKMTLVGRFKCRCGRTYCPTHRHSEAHACAYDYQRAGVISIIRNNPLVEGEKLRDRI >Et_9A_061236.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:23494890:23495384:1 gene:Et_9A_061236 transcript:Et_9A_061236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTPWVLLHDGSFLTLADGHRDLPSSVTAYGVLVKPSSGGGLHRVALPENAAACAVVVVGSTDDWIALQHPKASTFLYNPFSDTPVIRMMMMRRISARTRRRRSCRPQIQSIHQTVATCTTANKKNLLVTRFLQVSTVGSTTTMSYVGYKSSPSGTSSSRTGS >Et_7B_054575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2428973:2439097:-1 gene:Et_7B_054575 transcript:Et_7B_054575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHPHRLHAAHSRCCPRIFKLDFVCYRHRDQSPAFVYRRVSTVSHVFTSDNGSPATTEAAESTTPGDDMAKDSGALPVVDLAPFFAGVDDEGGVARATEAMREACRSHGFFRAVNHGVPPELMARALELSAAFFALPDEEKAKARPAEGSNSPLPAGYARQPAHSADKNEYVLMFDPNLGEAVDECFARLTQLGLLVQEILNECMGLPPGFLKSYNSDRGFDFMVALRYFPATEKESNGLSEHQDGNCITFVFQDGVGGLEVLKDGDWVQAEPVDGSIIVNIGDAIQVALPVVDLAPFFSGDERGVARATEAVREACRSHGFFRAVNHGVPPELLARALELSAAFFALPEEEKAKVRPAEGFPTPIPAGYGRQPAHSADKSEYVLVFNPKLGFNAYPDEPAGFSNKKLKSATHRVVRNQAVHRHSFAFFFGIHGD >Et_3A_024356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:209716:214288:-1 gene:Et_3A_024356 transcript:Et_3A_024356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPSPSSAPGADGCGSGDAWPFDTLTTSLLFSSVSTSPTLPPLPANSSSWLTPPSPLWLFDDRQLIPIEAPAAPEAAVAAAVVEEVQRARSGNSDTTSKRVEQINHKWQLHLSLDGDGTDNSSLFKERLTQALRYFKDSTDQHLLVQVWAPVKNGDRYVLTTSGQPFVLDHQSIGLLQYRAVSMMYMFSVDGDNAGELGLPGRVYKQKVPEWTPNVQYYSSNEYPRLNHAISYNVHGTVALPVFDPSAQSCIAVVELIMTSKKINYACEVDKVCKALEAVNLKSTEIFDHPNVQICNEGRQTALVEILEILTVVCEEHKLPLAQTWVPCKYRSVLAHGGGLKKSCLSFDGSCMGEVCMSTSDVAFHVIDAHMWGFRDACVEHHLQRGQGVPGKAFISHKPCFSKDIRQFCKLEYPLVHYARMFGLAGCLAICLQSCYTGHDDYILEFFLPPDCIDEDDQNALLESILTRMKKCLRSLKVVGDRDLNGVSLQLGNVLKIENEEFKKDVQFDNSEGCLRESPEGDTRGRVHEFDTETKRVSNMPEGHILADDQSQDNGTSATRQNGSGASDSSLLHKTNKPPERRRGKAEKTISLDVLQQYFSGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKQVIESVQGSDAAFNLTSITGPLPIPVGPSSDSLNIEKVTQSKVADLSNPADGDRDSSLQKSLENDGHFGILMAQQGFMDNNNNAQLEADKASHSRSSSGEGSINSRTSEGSCQGSPANQTFVCKPIASTFAEPQINPEEFTKEPFQEPQLPLSRMLIEDSGSSKDLKNLFTSAADQPFFAPPSNLRSMKHSGTVTIKASFKEDIVRFRFPCSGSVTVLKDEVAKRLRMDVGMFDIKYLDDDHEWVKLACNADLEECMEISRHSGTHVIRLLVSDIAAHLGSSCGSSG >Et_10B_003564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3114391:3116741:-1 gene:Et_10B_003564 transcript:Et_10B_003564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRHHLLPLVLFFLLLHATFSLAAGDGGGCDRQCNGAAVPYPFGFSGDCPILLTCNASVSRMPLLLPHSSSSNASSSSAAAAYPILSFNSTASTFLALLDPSCNRTVAAAAASLAGAGYGVSSRTGLFLRGGAACRAPATGSANCTVPADLMARLLRTAQCGGNDTAWTCVASVPPAPGSAAAERGQGQFMQWEMVNASGCEDALTAAVYGDTPQLAGVPSLQFGVAELGWWLDGNCTGGEHRCAANAACRDVETPGGAWGHRCACPDGMAGDGFAAGDGCRHAAASATSEDQ >Et_7A_052257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6188391:6192195:1 gene:Et_7A_052257 transcript:Et_7A_052257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPPPPAYFKAAASQRHDSDYAADDSPKKPSRPSTPRAAAAVATDAHAAPAPPPPAARRGAASAPPDLGSVLGHPTPNLRDLYAVGRKLGQGQFGTTYLCTDLATGADYACKSISKRKLITKEDVEDVRREIQIMHHLSGHRNVVAIKGAYEDQLYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDLSLKAIDFGLSVFFQPGQRFTDVVGSPYYVAPEVLCKNYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISDSAKDLIKRMLNPRPAERLTAHEVLCHPWIRDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFQTMDTDKSGAITYDELKAGLIKYGSTLKDTEIRDLMDAADIDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDSFLDDVINEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAPGAF >Et_4B_037221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16865229:16867863:1 gene:Et_4B_037221 transcript:Et_4B_037221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVATVASPSPASRRLLPRASTSSTSAAPSLLRLPKRSAKRLRLVLAGAAVDEADVLPGPGGEPEVAVAGRLEEQGDAPLGGSQLDIGGLAFQGDMGGGFAGGGGAGGAGSSGGGDGNKMLDRGINTAIVLGASTYALTKLLTVDQDYWHGWTIFEILRYMPEHNWSAYEEALKANPVLAKMMISGVVYSLGDWIAQCYEGKPIFEFDRARMFRSGLVGFTLHGSLSHYYYHFCEALFPFKDWWVVPAKVAFDQTIWSAIWNSIYFVVLGFLRLESPTTIFSELKSTFFPMLTAGWKLWPFAHLITYGVVPVEQRLLWVDCVELIWVTILSTYSNEKSEARNSDGTSAIADSKVRCRVQIWVDSTLPSLFCRFNTTLLISLQDNSR >Et_5B_043609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12556149:12556698:-1 gene:Et_5B_043609 transcript:Et_5B_043609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVQEALNFLDVENDVNLILADYFTPNMTGYNLLTKVKESPKLKHIPVVITSTSDNPDLIKKCMEGGAKGYFLTPLKFEDVPTLLSFI >Et_1B_009760.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25171190:25172383:1 gene:Et_1B_009760 transcript:Et_1B_009760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGAGETKHHTKDGANAAHGAAVYGGSGKAKLSFMYGLLLYVILPVLVLYFVVIAASPFYNPRCSPEGANAMAAHFVVARPANVSSLAALNNVSSSSTSPPSPPPPPVVANNKPRLTAEEAPTGLRHIVFGIGASASLWESRKEYIKLWWRPGRMRGFVWMDKPVGEYYSKSSRTGLPSIMTSSDTSKFPYTHGAGSRSALRISRIVSETFRLGLPGVRWFVMGDDDTVFLPENLVHVLSRYDHTQPYYIGSPSESHIQNLIFSYGMAFGGGGFAISRALAEELAKMQDGCLHRYPALYGSDDRIHACMSELGVPLTRHPGFHQCDLWGDVLGMLGAHPVAPLVTLHHLDFLEPVFPGTPSRAGALRRLFDGPVRLDSAAVAQQ >Et_1B_011410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21902706:21903982:-1 gene:Et_1B_011410 transcript:Et_1B_011410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVDLYLIHHPVSMKPAATEGGPLIVKKDLMALDMEGVWREMEECHRRGLAKAIGVSNFSCKKLERLLSFAKIPPAANQVEVHPYCRQNKLREFCREKGIQLCAYSPLGGKDTPWANDSVMDSPVLNQIAQDRGKTAAQVCLRWVYEQGACVIVKSFNERRMRENLDIFDWELTDEDHSKINEQPESRCNYDFFVHESGPYKTVDELWDGEIIAGRCNQTAFVSSD >Et_4B_037816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23722614:23733690:1 gene:Et_4B_037816 transcript:Et_4B_037816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDDFGDLYTDIIIPTPASTSARANPAPAETQSRPPPNPNPTLAAAAAAVEDDDDWLLGGSDPIPGVDPTGDWADEEDDGAAPAPAPAPPAKREVAAAPAKPASVAGDLDPLMGGGMGDSEPLIPGLSSSAAAGAAGSDEWDSDSEDDIQIVLNETDGRRGLGEDGDDEEGDDLVIVADGPHIPGMEEQDWGEDPTATGAEGERKDGGEPGKAAAAPGGRIGYSGGGQGFHPQHHSMFKVSDLGQEAARCARATPAEGDGIKPVATHYALCCTVLVRYVRPGAPGAPIGGAPGAPGQFRPPGPPGAFPGRGRGDWRPAGGRGINKGFHSGYGMTPWGGSGRGFGGGLDFTLPPHKTIFDIDTDTFEEKPWKYPGADVSDFFNFGLDEEKWKDFCKQLDQLRLESTMQSRIRVYESGRSEQDYDPDLPPELAAATGHPEASADNRNKTDNGHADFSSQGRGPANARAPVMTGRPIQVETGYGERLPSIDTRIPRMRESDSVIEIVCQGQDSTVDDTMDQIEKDSQGENKEANDSEDGKPYQSDKINNTGHNSKFEKTEHRRRLPVSSESDMLSTDVHGRPPPSYKTRGSPRGGRSLKGSSLGQSSSREIESSNEVIPRQTFSKRRHDSRIENPAEGSETKGDSEGSPIATDDAADKLSTDHIDDNDDRIALVDSVEVEGDDATSDPNTPSEANEGDNLVHSGRKKKPISVVEQPTGQNSSEQDELRGLNSDNSKGRSGSSKDQQKRQESGEEVLQARHSKRVNDVRRHHDAEDHNPRRKDEYSRDVKLDVERSHLPPRGREDIHQPYANRDRVDSRGRSYDRVRDAEMWPRREDSVHSRRNKEEDMRLEYNAEVGARHRNKARPIDRNDRDEDLHSRKRLDDGDWRGTRQRERGDVILNRREGLDEPHIKRNKDDENLRRMKPENEDTAHRARDDHNRRKKERDDGTEQKRRDDSGRMREKVDDRHHAKQKDDSWRQREREDRQRPKHENVLNVQREEGRGTGRGGRVMDDKLASGARKKDEPRSSVLSKESQERTRKNEPSRGQGAEENNMQHKGRSDVHPRESNTERNSRQEKLNNNRPSGSSDVRHAGRDRYRENTRKGRSSEPSEHDLHRLNKRRREDHDSHRSGKVETKEASERENSRSHATSKKNQNPQPDTSFMKQVEEDTISDDENHEDSRRGRSKLERWTSNKEIEYNNIENETTEIFLPIKADVQTPANELGKSDVSGTVGNSDAKGSADTGQTSDKIAEDRDRHLDTVERLKRRSERFKLPMPGEKEAPQSKKVDTEVQPPQNESAAVDMEVKPERPARKRRWTGS >Et_8A_056607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13474387:13476575:1 gene:Et_8A_056607 transcript:Et_8A_056607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGGLDRFKKAQTLEPFSVKSGSGTTNAPAAARTAKGPPAPLTLPHNSNFVPGQNHQSPQGVSSRVAGQDAGAPSHVGTQVGGGQSTWQPPDWAIEPRPGVYYLDVLKDGEVIDRINLDKRRHIFGRQVPACDFVLDHQSVSRQHAAVIPHGNGSIYVIDLGSVHGTFVANERLTKENPVELEVGQSLRFAASTRTYILRKNTAALFPTPSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGISKSDIPLGSKGSLRGASGGSDGSQPVERPLKRRKKLRVSFRDQVGGELIQVVGISDGADVETEPGPIGVKEGSLVGKYESLVQVTVIPKGKEQTSPKESTSPSGVTDKLQEVLRKVKSTTRGGIYDGLYGDSVPAPVGSSWAYKSDNQSDKASAVEEKTAGMNSNVNSADDSDDLFGDS >Et_2B_019043.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26992845:26993183:-1 gene:Et_2B_019043 transcript:Et_2B_019043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPSSHCEDSWRYALASLDAVVRARKLSMHPQKHGETLYKCSFCTKTFKNLQARGGHQNAHKKEIAELRRSLKEDMENQPAKRARNNVIASNDQVPPSLGFDSSDAAAGLD >Et_7B_055024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6504439:6514848:-1 gene:Et_7B_055024 transcript:Et_7B_055024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGQADKLRGAGVVKLQYNRVQCNWPGVQLTFVVDAGSNEEYFAVLIKYLNGDGDLSAAELMQTGDGATWTPMQQSWGAVWKFNSGSPLKAPLSIRLTSSSGKQLVASNVIPDGWKPGSAYQSAPEETEKRAMASKSQLLSLVAVALLASLLHPCASIKFHRKLTSSSGQAGGTWYGEANGAGSDGGACGYKGAVYQAPFSSMITAASSAIYNSGKGCGSCFQVKCTGNDACSGNPVTVVVTDESPSLNDPVHFDLSGTAFGAMANPGQAEKLRGAGVVKLQYTRVQCNWSGAQLTFVVDSGSNEEYFAVLIKYLNGDGDLSAVDLMQTGAYGSWTPMQQSWGAVWKFNSGSPLKAPFSIRLTSSSGKQLVASNPMASPVTSRFVLHAVLSMLSFLIGPISCVNPGAVSGKNYTTTSRYTVSPAGGFGWVSGGATWYGSPNGAGSDGGACGYGGTISKRPFSSMIAAAGPLLYKSGNGCGACYQIKCTGNRACSGRPVTVTITDSCLGGVCLNKAGHFDMSGTAFGAMANRGMADRLRAAGILKIQYKRVPCNYNGMGITFKVDAGSNPYYLAVLIQYQNGDGDLAGVDIIQGGAWTPMQHSWGAMWRVSTGKRLLGPFTLRLTSGSGKVLVARNVIPSRWRPGVTYRSNANYGS >Et_5B_043064.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:19181581:19182235:-1 gene:Et_5B_043064 transcript:Et_5B_043064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFLSAIWSDLTSRAISFLMERYHERCSRPTVEERLHRLQKLLLRVRVIVEEAEERRVTNQAMLHQLNMMRKEMYRGYYTLYTLRRRAEEEGDAKDQNQLSQSFTPSQLNSAKRVRLLGSSSSSHEQEKLQQVVACLETAVQDASEMVVLLSGCPRLYRQPYSM >Et_2A_016123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21221815:21230812:1 gene:Et_2A_016123 transcript:Et_2A_016123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVSSVLVALAAVVLVALVAAAHGWLRRAEARREEVRRLAWLAAEEGEATERVEAYSYGPYGGFVMASDLGEAPLMGTAVAPVREEVEAPAPPPAPPAAGKSVCALCGRRTMFRCKRCKSVNTFKCQIAHWRQGHKDECHPPGVGGRQDNTIEMVRVDPGVKAMPSDKESNTAGGHGDHNFRSSQFTGLSESVHCSRLSTSSNSCTIKGANVLENFPHDLPAGLESELEKSNKQVVGLDNLESFRHLPCMSAVDKIPPVHSGAHYSSKVADKLSDPPVRSETASLMPNRLLEKKNTRQQTAPRHYPPELTIFPYKDFVDLYNFDKVELHPFGLHNLGNSCYANAVLQCLTFTRPLAAYLLEGHHSRNCSKKEWCFMCELEKLIVKGKHGKSPLSPTGILSHLHEIGRSFGQGREEDAHEFLRYAIDTMQSASMKEAKKHGVHRLSEETTLVHLIFGGYLQSKIKCTNCQVSSKQFERILDLTVEIDGDIGTLEEALRRCKSYERAKKKLTISEAPNVLTIALKRYQTGKFSKINKVIRFKEYLNLSDYMSAADDYTPVYKLYAVVVHRDVMNATTSGHYVCYVKDPQGKWHEMDDSKVKPVSLEKVLSKCAYMLLYARCSPRAPSFVRKAMVTQGLSHVKKHKQLADSEPTSLGGGSYLSRHQGEQLCKDLIAGDLTHTLDPANGSSYRAQDFSRSDSSSLFSSSDAVSSNTLSSDSADSTMSSTSMEYDYICGGSDPMCLANSGVIPEEQELSYSRQWSSLNPSSSGQDMDQTNGFEKLCRAGRRICEEKGENASFSHTNQCTNQGNSSSTYSLSCSCKLTEQRRVTGVAGHGTREGRDGVLHRRPTRERTGQTICRDKD >Et_10B_004369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4959449:4970353:1 gene:Et_10B_004369 transcript:Et_10B_004369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVGDVGEEGEEGYADGSPMSTGSMKRGATSNTTVTSPRKKTKSPIVKLIKGIKDSVQETNAVAQKAMQGEYVVQSVKDLMRLVVQCGAPAGSAEHFMAGKLFVKPAYRGMASSLSDDLVENSSGSDGEGDSLDSLSGSDDERDSLDSLSGSDDEDETIEIARETLKGNATIACIFGDGTINVEGSPVL >Et_5A_042507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1769819:1770154:-1 gene:Et_5A_042507 transcript:Et_5A_042507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTHTCARLQEVSDTNLVYRNVVDSTLYDDVASDPTLPRTKSVRCAACGHGEAVFFQSSGLTNTIDKMVQTTARDEEGMTLFFVCANPSCGHRCRD >Et_10A_000007.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:12327016:12327279:-1 gene:Et_10A_000007 transcript:Et_10A_000007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DPAHLVHAVFVCRRWCNIVADPGFRCRFVKLHHGARQCSASLFGRRSVFTRSFFPTSTFHPPDACCGNLHPVDARHDRVLFGNIYAE >Et_9A_062159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20172790:20173075:-1 gene:Et_9A_062159 transcript:Et_9A_062159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEDEKARVRKKYTCSLCSSSEHNITNCNKLDVELPPRKKCKAIPKNNATGTNSKESNSAKGSST >Et_5A_040707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11398632:11399064:-1 gene:Et_5A_040707 transcript:Et_5A_040707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METQWSNRNGSHPGVRVHILSLMILIHSRYTSAERSIAQEVARLRVHIFTELELSIRTKGHIFGPLLLHLLFIQTSMQRLKLDLRKNYASPPSFCEYYAN >Et_6A_047411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5366602:5367977:1 gene:Et_6A_047411 transcript:Et_6A_047411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPHTDVETTGSYATADANTLAVARTSTGHPIGVSLCLKSPPAESRVCFHFPQDSRPRRHTNQVIAAHGDSVLIKVDRKGDYRVLPDYFVYNAGTTSDGSPQPPSLSLLPPRRYLTKDSTGILRRGKDEVVVAQLQKVKLKDETPEKNVAEIRLFRSGAWYTARPRVRGLGNAIEEEEFLSSWFSERVIPVGDDMLCWIHMSEGLIFSNVYDERAGLRRNVCVTAGDTVKFVNIFARCCCGGAGGCKCKHSQNAYLVKTWTLRMDRMTWVLDGMMDATELWALDAYQSLPRVQLGFPVVSADEPHIICFMVSDEKAWVIMVDMRSKVLLSVYSYPKEESESKYIYIMENFSCPVFCYLNLYPGGSCKIDIDPQPLPIFDERLTYDASNSGRLQSGSNTSAETGAHASEILAALQEVSSCGLDGDNMRKAISILSRGNGH >Et_10A_000172.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:14510487:14510666:-1 gene:Et_10A_000172 transcript:Et_10A_000172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLLKTGCCGRERGSPNPDIEAPTRSSFGRMKTLEGAQQTHRRVSSPTTGCLGSGNHR >Et_9B_064823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1888481:1890658:1 gene:Et_9B_064823 transcript:Et_9B_064823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAEVMHQAAVPVLEVQYHRCVAKGVEEVVGMSAAAVAAPPEVGVEVEVSIEVPQMGLDQPDAASSVSLEMLQFVPSIRSGSFSDIGPRRYMEDEHIRIDDLSAHLGSLLVCPLPSAFYGVFDGHGGPDAAAYMKRHAMRFLFEDSEFPQASQVDEIFLQSVENSVRRAFLQADLALADNLDISRSSGTTALTALVFGRQLLVANAGDCRAVLCRKGIAMEMSRDHRANYVEECERVAASGGYIEDGYLNGVLSVTRALGDWDMKTPSSSTSPLIAEPEFQQTTLTEDDEFLIMGCDGIWDVMTSQHAVSIVRRGLRQHDDPERCARELVMEAKRLETADNLTVIVICFVSELSAPQQEQPTRPRSCKSLSTEALCNLRSWLETDR >Et_2A_018053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9488603:9497296:-1 gene:Et_2A_018053 transcript:Et_2A_018053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIRVLAALDQARTQYYHFKAIVIAGMGLFTDSYDLFCIAPVMKLIGRVYYDPGGRGAVPGVTPPAVVSATVGVALLGAVVGNLVFGALGDRVGRRRVYGTCLLLMVCSSVGSGFSVCRTRGCVLASLWLFRFVLGVGIGGDYPLSATIMSEFANKRTRGAFIAAVFSMQGFGILASSGVTMAVAAAFDRFTGHRAPLDTPEAADLAWRIILMIGAMPAALTFYWRMAMPETARFTALVEHDVLKAANDMGRILGELDLNGITEEGAAALRRTAMPPAPAYGFFSRRFLKLHGRNLFACASVWFLLDIPYYSSTLFQSQIYRPWFRPAHRVNAFQEAFNVAKFQAIIAVASTIPGYFAAVFLIDRVGRRRLQMAGFLLMAVFLFALAGPYDHYWRDNATAPPYIVLYALTFFSANLGPNTTTFILPAELFPARFRSTCHGISGAAGKLGAVIGSIAFLWASQERDKRKVEAGYMPGIGMMYALVILGAISLVGLAVTYVFTPETMGRSLEENESQRGQSQVGDGEQELQELTGLPKSSVSVVSSQMPAIRVLTALDHARTQYYHFKAIVIAGMGLFTDSYDLFCVAPVMKLIGRVYYAAGEDGQPGVTPPAVVSATVGVALLGAVVGNLVFGALGDRVGRRRVYGTCLLLMVCSSVGSGFSVCRTRGCVLASLWLFRFVLGVGIGGDYPLSATIMSEFSNRRTRGAFIAAVFSMQGFGILASSGVTMAVAAAFDRFTGHRAPLDTPEAADLAWRIILMIGAVPAALTFYWRMAMPETARFTALVEHDVAKATNDIGRVLGGLDLNGTAEDEEEAAALRLRTPPAPSFWPASPRHGLFSRRFMKLHGRNLFACASAWFLLDIPYYSSTLFQSQVYGQWFPPARRVNAFQEAFNVAKFQAIIAVASTIPGYFAAVLLIDRVGRRRLQMAGFLLMAVFLFALAGPYDRYWRGHATEAWYLVLYALTFFSANLGPNTITFILPAELFPARFRSTCHGISGAAGKLGAVVGAIGFLWASQERDRAHVQAGYRPGIGMMYALIILGGICLLGLAVTYLFTPETMGRSLEENESSERGHSLVGNGEQQELHEQEELPKSPASVASSHVSSSPINPHRSSV >Et_7A_052274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6405574:6414857:1 gene:Et_7A_052274 transcript:Et_7A_052274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKRAAAASKKPPASQPSQPAKFGILHFFERQSQASQTVKRQKPDPAPPPPPPPPLLPPTEEEPSEVSPEVTKTLAPKRVRFSPGMLIKQSQDDGGAEVVTWKISPVNDRLRTLKSRHFPGMALNPCSNNEKNSSLEAMKKWHSSPLGISRCTSAGRDSSVSGSGPVACDIVEDSQSPFRTPPSLSYGCSEQLNGGVTSKSGPEQMGAGQHKKALLDLLDQVEDAIMEEELPIDPVNKGVQDTNGHNNDCNVSPVVNVDLTIPSKKSVDAPPFDSFLVLEVSEKHKVDDSSCDRYPVKVLRLLNEHSGQECAVHLCDEWLHSIVGPGDTVSVIGEFNDQGKCIVDHDRNLVVIHPELLISGTRVASSFHCPRRSVLDDRLKGNEYSISALIGTLLHQVFQAGLLEDAPSRQFLEQQAKEVLLKNLDSLYACGASESNTYSTLIEATPKILNWFKCFLKGSKCSNVDFGRTEGRKTIGVTEVMDIEEMAWAPRYGLKGVIDASVRSRVDSCNGGSYDRIMPLEFKTGKGTSGQAAMEHSAQVILYTLLMTERYLNKDIDTGLLYYLHTDQTLGIKVKRSDLIGLIMRRNELATEILKASTSQSFPAMLQAHGGNAATSGLGDLFDNLVNHLTVAHQDFLKHWDRLIDLEARASQVKKKDLFQPHHPNSGSRNSATAYFSLDIKNGYSIDSSGKSKRYVYNFVRQKMQPETADQSEAQLDSVDLSFKCGDSVVLSTQRITVANGSIRDISCSHITVSLPRRLRLPDSNSLSEQEDLTREIWRINKDESSSSFAIMRLNLVQLFAQNPRNSHLRKLIVDLEAPRFDSGGLLSQDPALSYIRSLPSLNNDQQRSLHKILGAKDYALILGMPGTGKTYTMVHAVKSLLIRGESVLLTSYTNSAIDTLLMKLKTEGVDFIRIGREEAVHSDVRAHCLSATEMQSVDAIKTRMEQVQVVGVTCLGIYHPLLAHKKFDTCIMDEAGQITLPVSLGPLMLATKFVLVGDHYQLPPLVQSSEARENGMGISLFWRLSEAHPQAISALRCQYRMSSGIMELSNSLIYESRLSCGSLEIANAKLKFSGREPVHLKLKEILNPDRAVIFANTDQIPALEAKEHRTVNNPTEAHIVSWITRELIRRGVPQEDIGIITPYNAQVNLIQQCTDGLVEVHTIDKYQGRDKECIIVSFVRSSVNSRASGSLLGDWHRINVLLTRAKKKLIMVGSCGTLSTIPLLRLLVEKVAETGGLLDLTNKDAHSFGERKSSR >Et_7A_052266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6295780:6299355:-1 gene:Et_7A_052266 transcript:Et_7A_052266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKRIPEQPAGFNMKFMLHILGIFCCLCSCALGKNISVRPNVVNIGALFSFNSTIGRAAKVAIAAAVNDINNDSSILTNTKLVVQMQDTNCSGFLGIVQALKFMEKDTVAIIGPQSSVIAHVISHVANELQVPLVSFAATDPTLTPLQYPFFVRSTHSDQFQMASVADIVEYYGWKLVTAVFIDDDYGRNGISALGDELAKRKLKILYKAAIKPGAKKSEMAAVLVRAAMMESRVFVLHANVDSGLAVLSLAYNLSMTSGGYVWIATDWLSSFLDSSPHLDMGLMSVMQGVLALRQHTQNTRGKKLLASQWSALVKGDSGGSRFFLNSYGLYTYDSVWIIAHALDAFFNMGGNISFSLDPKLHQAAGGALNFEALSIFDGGRLLLERIHQVNFTGATGPVTFDSDGNLIQPAYDIVNIVGSGLRTIGYWSNYSGLSTLAPGTPNGKSSSQSNTNKKLYTAIWPGETTTRPRGWVFPNNGNELRIGIPNRVSYRQFVSADAQTGTVGGFCIDVFVAAINLLQYPVPYTFVPFGNDRENPSYTQLIDQILTNEFDGVVGDIAIVTNRTKVVDFTQPYIGSGLVILTSVKKQSSSGWAFLQPFTIRMWCVTGVFFLIIGTVVWLLEHRINDDFRGPPAKQAITVIWFSFSTLFFAHREDTRSTLGRFVIIIWLFVVLIIQSSYTASLTSILTVQQLSSPIKGIDSLIASGEPIGFQVGSFAESYLVNELGVSPSRLKSLGTPDEYKDALELGPHKGGVAAIVDERPYVELFLSGHDKFAVVGSEFTKSGWGFAFPRDSPLAVDLSTAILTLSENGDLQRIHDKWLPGGSSASQTDDLEPDRLHVHSFSALFLICGVACVVALSIHACVLCRQYHRHVASSDPAALSAGSSSRSQRSSLRSFLSFADHRETEFRKSSAKDGAAMAAGGSGSGVSFTSSSSVSTSMSR >Et_5B_044500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2958749:2961219:-1 gene:Et_5B_044500 transcript:Et_5B_044500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAAAGTYSPAAEAGGKRREKREELRRHLAEDADWPRADGRSFHDCRPAFLQTGPTTAASGSAYAEFGKTKVIVSVFGPRESKKAMMYSDVGRLNCNVSYTTFATPVRGQGLDNKEYSSMLHKALEGAVMLHTFPKTTVDVFALVLESGGSDLPIIISCASLALADAGIMMYDLVTSVSVSCFGRNIIIDPTSDEEAWQDGSLTVAYMPARKEITQLTLTGEWSDGKITNALELCMDACSKLCGILRDRLKDPATLANE >Et_6A_046882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21910370:21911493:-1 gene:Et_6A_046882 transcript:Et_6A_046882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RLQVTFLKKIKLGKGRIPDGMEISVKRLASHSGQGFTEFKTEIQLVAKLQHTNLAEEKLLIYEFLPNKSLDFFIFGNFLCKSMAQVLGSINETRRGLLDWSKRLTIIEGIAQGLLYLHKLSRLRVIHRDLKASNILLDNEMNPKISDFGLVKIFGANDTAANKNRIVGTYGYMAAEYASEGLFSVKSDVFSFGVLTLEIISGKKNPAFIDMETLSTVLLQLH >Et_1A_009023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25592116:25597288:-1 gene:Et_1A_009023 transcript:Et_1A_009023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFAAASLLPVLSPNPAAAAAAGRLAHGLSSRPARPGFTLRLRRAPGAVGRGVEGGIPDEWGERSPPAPERPSKPDPPIDEDEWGRDADAGNSSPVVADEWGEPGPPEPEPPAAADPPSPGIDDEWGEDQPAAPVTAGVEPQEDEAAERREDLKRCLVDTVYGSELGFRASSEVRGEVVELVTQLEAANPTPAPVEAPDLLDGNWILLYTAYSELLPILAAGATPFVKVKQISQEIDSKSMNIVNASTLSTPFASFSFSATASFEVQSPSRVEVQFKEGSFQPPAISSSVDLPEQVDIFGQKISLGPVQQALNPLQQAFASIAGTISGQPPLKVAIPGNSRGRSWLLTTYLDKDLRISRDYYINLYYRKTKYLNDIKGHKTGCFLC >Et_1A_007194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31891450:31894090:-1 gene:Et_1A_007194 transcript:Et_1A_007194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILVVAMLLAATTIAAEAAHIAWPGCQSRCGDVDIPYPFGTDNCYHRSRSGFQLKCHSRSNGKPDRLWLPTGGGRDAEVLEISVPNSTVRVRSRVWLFGVDNTTETYLHFTHSGKAYVLSQSRNRLVHTGCGFRANSWRRNRSGFVSTCSSSCPAEIATQLILGGSGGCNDDVGFGCCSAPVPKDGLNWFRAQFQWNVTSFIRMNASLVAVESEWWSDKKNVKKLKKNLLQGNASRMPIPAILDWSFDQSTCIEAAQRPDYGCVSKNSECHDSTGSAKGYVCRCSQGYQGNPYLNDGCQRTTGPSTRQPSAGKIFAKGVFIGMSLLLMVIGVIYVIKKLKDRKAKKMREYFFKQNRGLLLQQLVDKDIAERMIFSIEELDKATNKFDEARILGGGGHGTVYKGISQTNMLLLLKSPNLEIDGFINEVAILSQINHRNVVRLFGCCLETEVPLLVYEFISNGTLYAHLHVDTPVSLPWKDRLRIASEVASSLAYLHSEASVSIVHRDIKTSNILLDDRLTAKVSDFGASRGISIDKSSVTTAIQGTFGYLDPEYFYTRRLTEKSDIYSYGVMLVELLTRKKPTIDISDGVSLVTHFIKLFSEDRLSEILDVQVIEEGEEEAKQVAAVAALCLQIKGDDRPTMRQVEIVLQGIQSSNDCLQGNTGMQGMGLSNRTFEGSNVVVHDNNSRRFSMEREMLLSATFP >Et_2A_016807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28322217:28327580:1 gene:Et_2A_016807 transcript:Et_2A_016807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSDAFADEAEASFTIGEYIEGIEAEELEADLVLGGDEGKECTYAGGYLTRQAVFSCLTCVPAGNAGVCTACSLNCHDGHEMVELWTKRKFRCDCGNSKFGGHPCKLCPEKDSENPANSYNHNFKGSYCTCGRPYPDPEAKEQIEMIQCCICEDWFHEDHIGLTSIEEIPQDEEGEPLYEDFICHKCSPVCNFLKLYPDAICASNQQKSTSQADKNDSTVIEGPSDQANNEKKENGALVDDVGTEKTSTENDCAKDIAVPEKANLDSSGSQCKLGTDINTTSADSEKTMPFFMSRGWRDTLCRCENCINFYTRQGVAYLVDKEDSIEEYEKIAKQKRQKKLEQQEGAETNFLNSLGHVQKIEILSGINDMKNELQSFLQSFDSSKPVTSEDVRAVFENLAKKKKQRLS >Et_1B_012091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28908555:28912199:1 gene:Et_1B_012091 transcript:Et_1B_012091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKRWRGIIASNDGGAAFLSLSATLHPPAIAGHYHLDQKTTNFVPSPSPPSVVDCHGGLVLLRDPNGGRFPDLVVCDPIARRHQGILHPPREQWYLSFAGGCLLDGDDDGGISMSNFRVLYRLKQDFRVYLFSTAEAGDWRLLLQDTVGKDLDHFSTVHVAGRVDGSLFLGLKSGVLIVLDKTSLEFTKVDLPSSFMNPLGTTDNLSSFRVVHSSGGAGMEPKIARIVHVRGEELEVFRRVNAGGWVLEHSVPRLSEATRGLPGYRRKKNRFDWIVQAAADGAGFVILSVLDFGRGWLLSVDLETMEMAPVPKRTCQQGPTCSYRLPWPPTFQACCTGQSSRRRRMPSARQRRKNKKAAAAAKPPQPGPASIHDVPDDLLKLILLRLDSCLCLIRAASTCKRWRGIIAAADGFLRLYRALHAPAIVGHYHLAGVRPLAIAASKLKASWEVAESHGGLVLLRDPYGCRSPDLVVCDPIARLHQGILHPPWQQVNWYDVSFFGGACLLEGDGGGGISMSNFRVLYKKGSRVYLFSTAEDGDWSVLPRIARIVHVCGEELEVFRRGVDGAWVLEHSVPRLSEATRGLPGYREMTHWSVEAVAAGAGYVVLSTYRGGQR >Et_3A_026604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10248326:10250488:-1 gene:Et_3A_026604 transcript:Et_3A_026604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHMEASNKIDEIMARFRPIAPKPMLTLAPPPPPSSTLGSAASSSEAHKHGRQEDYYTLPPYHLRPPVWWPVGVGTEVWRRGVSMPNLRPLRTSEDDPLVRLSLVVSSGASSSAPSVAPTRVVSMERDLLSKLKLPKVITPHPSRPLRTTICIDASNIAGDKLVAAAITESKKTMKEVEAKVEQDARPAVVSDCHNRVLLVNDAYKAMVGQPVCLWLDTLPGTGLSTRINGDMVLNVQTFCPASRLPNVGGAFSCMARISWERDGAMASLTVPCAVERLTERKLVVFNEMLQNKTRGPQPHVGPPSYSSPIRFSTLFSMANLQRPARDDPFRPSSTASVPAAAALPRLTAKLGGSAPPKIPFPIGLHTTASPPRQQFAMAPPGLSTAPIDFGRRRCGGGIRGVRVCCFGDPEMKRRRRVAGYKAYAVEGKVKASLRRGLRWFKRKCSSILRL >Et_2B_020187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17862941:17870919:1 gene:Et_2B_020187 transcript:Et_2B_020187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IMMILQGLVWLDPCKLTLSTLSVEFVMETMELMELKGVGISKNIYRSAIQALSSGGYLKEALHCLTLLMEKENNHGTLPFFNIFLNACGSTANLNDVECCLEKMETHLLGKCEITYCELLKVAVLQRNLSAVHDIWKECTRYYSPSIITQRKFLRALTMLGDLQSACHMLQRMVALAAQKCDDLRVTHKRRYRASRLDIPVPALSELEGLKLLSDSKLPLSQENLTTREIDVQPELFQEETKSLENLHVEASVFSAGHNLVDKAEQDSGSVVNTLESASIAIRNVLRWSFNDIMHASVRLNNCELAEQLFLEMRELGLLPSRYTYDGLVKTLIAGKGIAYAIKVIEAMERRGIEPHNDTLVALSVANSKKLHLDLAEDFLERISEIQQKHIRAFNALLVGCEIKNEPERAVRILAKMKRANVKPNRKTYELLFCLFGNVNVPYEEGNVLSHVDVSKRINIIETDMLSNEIRHSFVSMKNLIRAFGAEGMIEEMLRYLNVAENVLWNMDPYKKSDLYCIVLHALVKANETHKAIRTFKIMRSCSLPSNVAVYNTMIECCKLLPCLKSSSALMSLMLRDGFCPTVVTFTSLLKVLLAKEDFLGALDLLDMCNLEGIQPDIKLFNTILKRAYYRGQIHVIEYIVECMHRAKIQPDPTTLWCAFCAYTEQELYNTAIQALQVLSLRMMSKDVSILSEKGIVFEDLIFSEEPDAELRIIRSFEAGKEYLATALLNLRWCATMGATISWSPEESLWARRLASSYDANKRPYIYGEVCA >Et_2A_015077.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28860898:28861368:1 gene:Et_2A_015077 transcript:Et_2A_015077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPGQPLAVDFEALSYISSLVEAFQAFDSDGDGLITAPELRGLLASLGLDKSEAEANDMLAHADADRDGKLSVEELLDVMNAGELGLGALGELLQSALPTLEAAGAALVGADELARTLGAGTSAEDCAAIIECLDGDGDGAISIEEFRLMADLL >Et_10A_001608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5944353:5947947:-1 gene:Et_10A_001608 transcript:Et_10A_001608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLREHLKRLASIDLQILSAQVTQSTDFTELANQEPWLSSMKLVVKPDMLFGKRGKSGLVALNLDLAQVRQFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGCTISFSECGGIEIEENWDKVKTIFLPTDKQMTPDACAPLIATLPLEVRTKIGDFIRGVFSVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVLSPSESFIHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPKEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFSGIIRALREKESKLKAARMNIYVRRGGPNYQTGLAKMRTLGAELGVPIEVYGPEATMTGICKQAIDCIMAA >Et_3A_026787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20618812:20619718:-1 gene:Et_3A_026787 transcript:Et_3A_026787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSARASAGRSSRGTSGSTAVRTDGGREASVEDHSAEAKLVWVTSVTAKPPPPCVTTRRASSATGRTWPAPGLASSTTCVPGAGAASVPISHRANSYACMPESEIEGHKASI >Et_9A_061945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18145961:18150447:-1 gene:Et_9A_061945 transcript:Et_9A_061945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGDQAEDAIVADVPNGHGKDGEPRGALGVEGGGDPEHDGGKFTMKSFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMVSGVLLQVFYGLMGSWTAYLISVLYVEYRTRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRVWSFLGLGMTTYTAWYLTIAAAVHGQVDGVTHSGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLVATLYVFTLTLPSAAAMYWAFGDQLLTHSNAFSLLPRTGWRDAAVVLMLIHQFITFGFACTPLYFVWEKLVGMHDARSVLLRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYVIPALAHMLTYRSASARLNAAEKPPSFLPSWSGMFVVNTFVVAWVLVVGFGLGGWASVTNFVKQIDTFGLFAKCYQCPPKAHAGPPVPAPPHH >Et_9A_061194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21399042:21399905:-1 gene:Et_9A_061194 transcript:Et_9A_061194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGAALRLRLLYRMLRAGEVLALVAFVSWTSTYVPSAVAAALRLAGSLLLNARFVFLLGNAIVLLLFALSRHDLSSSSSSSSADDEHQPAAATATAAAATVPAQQAPPVPTPTTTLPSDTVPGAASFTTTQSPAAMEEAPRRRDQALPLAAFEDKLAQPAVTTTMATARVSKARAPRRLRSEKMGSARRAASPELRRCESENGRRRRSSVTARDAEACWGREDADEFRRTVEAFIARQTRFHREESIKSSTMAVAARHCEAAPAFTGALAVVE >Et_3B_028967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2133621:2135446:1 gene:Et_3B_028967 transcript:Et_3B_028967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSMEAPHPPTIRDVFVGVDDFDDDYIAEEEEEDEWDVSKRMSRLSMEGSDGGDADDESDGYRRRHGVDVDQEEEDDDEFEVRSDVNTYSPWPPYDDDGPAARGDLVPASASLPGTPDRGAQAASQSSPWWPAGAGPSGSKEYASETEARWAAGGGGRRRQRHHRRERMMREVWLERAWRMRKQRRQMQGEAAAVPVVVLGGGGEASRVAVDMEEARACRDLGFDLPCDWTVEIPCYALSGVDTASSGGNSPASGSWRISSPGDDPKDVKARLKVWAQAVALASASRLGS >Et_10B_003746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5487992:5491119:-1 gene:Et_10B_003746 transcript:Et_10B_003746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDRTAPETKYFLITGGVVSGLGKGVTASSVGVVLKACGLRVTCIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFIDVTLTRDNNITTGKIYQSVIEKERKGDYLGKTVQGYISKTAYFA >Et_9B_066180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5950894:5955644:1 gene:Et_9B_066180 transcript:Et_9B_066180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASTGVMSSVIGKLTTLLSAEYQLVKSVKSGIRFLRDELSSMNAVLQRLADIEDDPDRLDPQTKEWRNKVRELSYDIEDCIDRFMLGHSHGDAKANILRNAVSKMKKLWKDRRIAKEIQEFKSLVNEVSERRKRYVDQSLMALPQPVLLDPRAPTLYEQARNPVGIDAQREEIINLIKAEEAQLKVVAIFGIGGLGKTTLATEVYHKIEEPFECRASVSVSRAPDLKKLLRDIFFQVNKSEFDQSERWETENLIQRLREYLTDKRYLIVVDDMWTTSAWEHSLFSESLVLYADVLYSLHVNSLYQRWPPSPTSSGSGASLSRGGGPPRKMTDTRGRALFTITRCRTLRNWPLVRTCRASPTLTKKEFRSAGTDTQLPSRSDISSPDVSLERSTEMICGSVCSPNPVTLPPPCPAPTSCFSSGRGG >Et_7A_052842.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1967971:1968759:1 gene:Et_7A_052842 transcript:Et_7A_052842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARGDMSSPPAAPPPLPPPQDVDVAVIMGVLTSALLALFLFLVYAKHCKRRGPGVARGLGFASSSSSSCERYPRSGLSGSVVGALLALRFGDAGVHRGATECAVCLAAFDDAAEEEEALLRVLPACRHAFHADCVDAWLRAHATCPVCRRRVARKDVSIALPEMEPVTRLSAAGDGGDTARLAGRVVPGRRSAGEAEVLVVVPPPGDEPRQRWSADGLVDRVAYLEAARNRRDLLILGDTSVHGSRGFHSSIGTPGPPAS >Et_9A_062735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:405730:409008:1 gene:Et_9A_062735 transcript:Et_9A_062735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLPAAAGSGAYRNYYPPQPQPYSYSHSHAQAHVRRPPRPAARWVKQWLPQDLASSGGKCSLFKWVRADGHKSSKENPKVLEAESPKPEPTSEILFLCSYENCGKTFVDVSALRKHAHVHGERQYICQEPNCGKKFVDSSKLKRHYLTHTGQKDFICPHPDCGKAFSLDFNLRSHLKTHALENYHICPFPACGKKFTSDFKLKAHVKAHEKTGTPVAVQHTPPPEKPQSTMKSSTPAPASYADRPFVCPYEGCDKAYIHHYKLNLHLKTMHPDHRQEENGKHTAPSGQHAVNEPTYQYNYAEIGDHAPNPKRNKPSSSHKVSSSKVYNVKVSSAMPADITGVKNQWSGKATYEDDSEETEEDPGNNLEGGWQYGNNADDEETPDED >Et_8A_058270.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:3978226:3979935:-1 gene:Et_8A_058270 transcript:Et_8A_058270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAPPRLLPVLLGRLLVAGEIRRSPAHIRRIIPLLPSHPHLASALCSFYFPLFPSSATFLHNLLIRASAASPSPRLSFAAFASLLRSGCLPDLFTLPPLVKSASRLPSFPRTGAQVHCQAVRRGFLADVFVVNTLLAMYAAFRDTASMRNVFDTCAGVVDVVSWNTVIGGYVKCGEIQYARQMFDEMPQRNGVSWSAMVGAYAGAGELDVAREMFDQMPAIGRNVVTWNSMVTGFARHGLLPLARKMFDEMPVRNLVSWNAMIRGYVVNGEMGGAKELFDVMPEKDVVSWTCMISGYAQGGRYAETLELFREMLSGSNIRPNEVTMVSVLSACAHLTALEEGRWVHAFIDKHKMVLDNEFNLGAALIDMYAKCGRTDMAIKIFYSLDHKNVSAWNALITGLAVHGDARQCIDLFEQMRRSGQKPNDITFVSVLTACAHSGLVDEGRECFQSMSSCGVQPELKHYGCMVDLLGRAGLLEEAEKLIQSMPMAPDVMVLGVLLGASRLHKRSDVAETVKNGILSLNTAQSGCHVLISDIYAAAGKWAEALESRGFMEKYGIMKLPGSSSMQ >Et_4A_035338.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:20589822:20591132:1 gene:Et_4A_035338 transcript:Et_4A_035338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSYEIFSLLESKFLFGAGGCLSGPGTPARSAFLDGGRVRVLSVDGCGAGAEDAVLAAAALARLEAGLRKEAGDPDARVAEFFDLAAGAGAGGVLAAMLFLRGADGRPRYSAAEALAFVAASVGKKKDWAGGRRGRWAKLFRCGGGGGDRSLRRVFGDATLRDTVAPLLVPCYDLATAAPFMFSRADAVESDAFDFRLRDVCAATCAADGAPVRSVDGATAIAAASAGVAGMGNPTAAAITHVLHNKHEFPLVTGVEDLLVLSIGGGASSSAATVSGGPNTPMPARSPSPRELARVTAEGVADMVDESVAMAFGHACATNYVRIQAGKAPPTPVHAGTATAAAGAMLAQRNVESVLFRGRRLSERTNAEKVDALAAELVKEQERRRRSPLPNVAIKHVAAPSPRLSSATTVSSLTTTPRTASTMPSPASSDSRR >Et_1A_009043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26861975:26865273:-1 gene:Et_1A_009043 transcript:Et_1A_009043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNSSSSAFPSATVESDPASLICIIDSTPLVWMNPLTHAAPDAAGGAGQAFIAKAWKLLLHLLVVGTAVSYGLFSRKNSAADDGRGHDGGVGAEEEDAKPADAGYVSRMFRDSLGPLDDEDDVETLPQPWPGQEDEGSAPRQGAVVERALHRPDEPVRGRGGQRRNAVDARAARERGW >Et_4B_037826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23826234:23828523:-1 gene:Et_4B_037826 transcript:Et_4B_037826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPATLARTPARSQARKGEGVCPDVRSETRAEAGPPPRRPPAFYSSVFAQIEEIGWERLVSSTGDGGVSCLVFRVVDGQGRVRLLEIKLPMGYPSSPPSIAADIPYIPEIQWSKSSRLKDVFCQFQEHLNSLQEFWSTMDEIDKVLLVVDPTKPTYAMSQRRIALGDDCYILLNVDARKPSSLPECRFLGTDGKLDRIIMNWRKNRKKWDGKKKFHENLSAVLDVALPAPPSVSSSNDDDQADCGICYAKHLPIDDELGTHSGCATDYTCENASCSRAFHSFCLRDWLRSITTTRQSYDVLFGNCPYCSDPVAIKVTDR >Et_8B_059886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3727644:3731478:-1 gene:Et_8B_059886 transcript:Et_8B_059886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGAGVAVQDGSLVALGRKVLTEVRRNVLVTPAAGGGLKNGAFLGVRSAPAGSRSVFPVGKLRDQRFMCTFRFKMWWMTQRMGSSGRDIPFETQFLIVEGADGSQFTGDGTEQSVVYTVFLPVLEGSFRAVLQGNADDELEICLESGDPAVESFEGTHLVFVGAGSDPFEVITSSVKAVERHLQTFSHREKKKMPDMLNWFGWCTWDAFYTNVTADGVKEGLQSFDKGGVTPKFVIIDDGWQSVSMDPVGIASIADNAANFANRLTHIKENHKFQKNGREGHREDDPAKGLAHIVNEIKGKHELKYVYVWHAITGYWGGVRPGGAGMEHYESKMQYPVSSPAVQKNEPCDALNSITTNGLGLVNPEKVFSFYNELHAYLASAGIDGVKVDVQNILETLGAGHGGRVLLARKYHQALEASIARNFPDNGIISCMSHNTDNLYSSKRSAVVRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSNHPMAEYHAAARAVGGCAIYVSDKPGNHDFNLLKKLVLPDGSILRAKLPGRPTRDCLFSDPARDGKSILKIWNLNEHSGVIGAFNCQGAGWCRVGKKNLIHDEQPGTVTGVIRAQDVDYLAKVADHSWNGDVVVYSHIGGEAVYLPKNASLPVTLRSREYEVFTVVPVKHPPNGAAAFAPIGLIGMFNSGGAVREVGYAEDADVELKLRGSGTVGAYSSTRPKSIAVDSEAVEFTYNESCGLVTFELGLPERELYLWSVSVKY >Et_1B_013873.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2200534:2201460:1 gene:Et_1B_013873 transcript:Et_1B_013873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHGIPPPRLNRGHQPSFSAALLDAIYHSLEADADARSSPTGAASPAQRTPGSSTRHRRSNTTTPALSRSGSCSSARSPRLQRPPRPCRVRPDPQPNSLLLPPPPPPIHDATSYRPAEKKKACRKNRRTKAKVAPFACLLNALLCNRKQPATNKSSSIPNTPRAAAAPASAAMEPASARSILSSRASRRESTAATGGILTPARRAVRFSPVAVVVDDEHGRGIGTATRLRDVEMEVKESAAEAERRVEELLRALGVAEERDRAKESSESSSDLFELESFPPAFEDAEPPRPRAVVVGVGLERPRPRV >Et_5A_041336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21289413:21292714:-1 gene:Et_5A_041336 transcript:Et_5A_041336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSTTKREGAANLACVLLLLAAAVTAAGHGVQPLSRIAIHRARVALDASAAVWASPGLLGSQGEDTAWVTVGFVFPHPSDGDWIGVFSPSYFNGSTCPGSHGSGPGPVICSAPIKYQLANYSSDYGKSGKGSLKFLLINQRQDFSFGLFTGGISNPKLIAVSNKIAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDINEAYPFVEWGMKWNPPVRTAAGTVTFDRDSICGEPALTVGWRDPGFIHTAFLTNLWPNKEYYYKIGHLMADGNVVWGKLNSFKAPPFPGQKSLQRVVIFGDMGKAERDGSNEYSNYQPGSLVTTDTLVKDLDNIDIVFHIGDISYANGYLSQWDQFTQQVEGITSRVPYMIASGNHERDWPNSGSFYNGTDSGGECGVLAETMYYTPTENRANYWYSTDYGMFRFCVADSEHDWREGTEQYKFIENCLATVDRKKQPWLIFIAHRVLGYSSGFFYAQTGFEEPMGRESLQKLWQKYRVDMAFYGHVHNYERTCPIYEEQCVNSEKSHYSGTMNGTIHVVVGGGGSHLSNFSAQAPAWSVYREMDYGFVKLTAFNYSSLLYEYKRSSDGKVYDSFTVHREYRDVLACVKDSCPPTTPGA >Et_1A_009049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2740904:2742972:1 gene:Et_1A_009049 transcript:Et_1A_009049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRPAPPPPVESSEETASGSGSEESASDESEEIAYSPPPSAAPKNTALPPQKVQQPEVSDEEEDDEEEEEEEEEEEEGKVNQATPPSTTKNPPPPPPNREESESESEEGEDEETDDEVPASKTAPKQEADGKGGKPPASSEDKKPAGRFIRAWSKDDDVRIVEALAAHRREHGTLPQPDELEAALAGSLDHSDYSRKELLGKLRSMKAAYTRQFNKGEQPSKDIDRRIYNLSKEVWGRGDMPENGTAPRDFGEMCELYPHLGEEIKGIEKTHPGLFKREFGIISDEKGSALNAKIKKQRLMESKVELHRYENFKEVIKTLMDLVEFVWDVAQQHEAMENLEAAPVFDE >Et_1A_004587.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21258371:21259681:-1 gene:Et_1A_004587 transcript:Et_1A_004587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPTVVLIPLCVPGHLPSIFEAGKRLLSSSPRGMSLTVLVTQMTMSAKLTAEVAELIRGEAESGFLDIRFHNLPAVELPSDWQGPEDFICRFMQLHGPHVKAAISGLSCPVAAVVMDYFCTSLFDVTRELALPVYVYFPSPASMLALMLRLPALDAEVRGDLGEVEGGIHVPGMPPLPAAVMPAPLMKKDPNYAWLVYHGNRFLEADGIIVNTVAELEPGVLASIAENTSVPPLHTIGPVLPLKKPRREAEQPLHECVRWLDAQPSASVLLLCFGSMGGSFPEPQVRAIARGLERSGHRFLWVLRGPPPANPPIPTDANLDELLPEGFLERTKDRGLVWPKWAPQKDILAHAAIGGFVTHCGWNSILESLWHGVPLAPWPLFAEQHLNAFELVSVMGVAVAMEVDRKR >Et_2B_019268.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20753117:20753476:1 gene:Et_2B_019268 transcript:Et_2B_019268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFAAMVASRRAAPAPTDAPAEVEEVKEEKPKKKMTKEDEAYLSIQLEEIVIVKNEDIGNPGAAHGGNTQCSAASGRASASPFMEQCASAAAAPPAGRSTAAAARGALTTVARMVGFD >Et_6A_046268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11768752:11770507:-1 gene:Et_6A_046268 transcript:Et_6A_046268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLAAVVSFGLILISTYAIQLINDARRRLPPGPLPLPLIGNLLSIDGGKPHRSLARLAERYGSLMSVRLGIAHAIVVSSSEAADEIYHKHRAVLADRPAIDAPPNARWRALRKFCATELFAPGRLNALRPLRQQKAQELLRCVADRAALGEPVSVGDHAFTAFMNILSGMVFSVDLDHGPSARGLKDLAKESSVLATAPNVSDFFPAVAAADLQSVRRKMARVVAAAYQIIDQQFERRLRGREAGEPNKDDMLDAVLDKEQEWRQDGSMIDRGAIKGMLMDLFFAGAGTSSSTVEWAMAELLQSPQVMKKVKRELRDVLGTKLQVEESDIGHLPYLQAVVKEVLRLHPPIATTFYRAEATVQVQGYTIPEGTTIIMNIWAIHRNTDIWNDPDKIKPERFISSESDFSAKNCKLIPFGGGHRICPGLPLAHRAVHLILASLLHQFNWKIPEDATKNGIDMTEKYGVVVSMAHPLKAVAECDL >Et_2A_018742.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:6393245:6393517:1 gene:Et_2A_018742 transcript:Et_2A_018742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSSFGTSWADQWDYGGDASPRAAARGNGGAKEGGAAGKTKAAAASGLKKVKEGTAQGFQWIKDKCQRKNANGGGGGKKHGSSEVAGY >Et_1A_007614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36502642:36513293:1 gene:Et_1A_007614 transcript:Et_1A_007614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLMKPGNFEGQSEMVKEAKCILQKCNGLPLAITTIGGFLASMPKTAPEWKRFNEHFSYELEKNPSLEMIRTVLTSNCEDLPYHLKLCFLYLSIFPEDQKIRRRRLVRRWIAEGYSSGTHNMNADESGESYFSDLINRSIVQPSESVAGFGPSIDYCHVHNLLHEISVSKSREERFSFVLHNRSSIRAEDTIRHLSIKSCWNRDEGDLKSIGDLSRLRSLTVFGKWESFLLQENMKMLRVLDLEGAYGLKGDHLEQIANLLHLKYLSLRGCDDIYYLPDSLGNLWDLQTLDVRGTNIILFPSSILKLRNIQYLRVGDPVKQDDEIAATLMKLTVKWTLGTTLFAATCVQPQIFDNGSSRKDAFNFYCKHYFHALAWGLDMHGVEVPNGIGNLNSLETLGVVNVGARNTTADELGNLSKLRKLGVTGLKKENSQQFFSAITNLTLVSLSIRSEGKPGLEDCLDGKSSSPPPGLRSLKLYGNLVTLPPWISRLQNLAKLKLRSTRLGQDAIPVLGRLPHLAILRLLCYSIQGEGLHFHFQRGSFPNLVLLQLDGRHDLQSLKFEQGTTPNLELLQVENCTNIGKQGWSGLSFLPSLKEVSLKPGHEYGNKFIQDLRWQLAVIDLTRSLLDLALTMYKAMPPSSSEKEASLKDDLNFISNELEMMGSFLMDAANNRRAHRNNLSKTWVRKVRDLVYDMEDCFQDLIIQHEKPRRSSSKPQPTEMVADKVRTLRKRIEEINQCYNNIKDWCPSSASATGGVYHRQKIPDSFASKQSLLVGREIEKSHGFKKF >Et_7B_055505.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12492409:12494073:1 gene:Et_7B_055505 transcript:Et_7B_055505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTQCHMINNGAAQNGAAAVPVETDTALPSSAHLLDADEFRRQGYQVIDLIADYYAGMGEYPVHPSVTPGFLRNQLPAEAPSRAEPGAFGEALRDVRDLILPGMTHWQSPRHFAHFPASSSVAGALGEALAAGINAVPFTWSASPAATELEMVVVDWLGKALRLPRGLLFAGGGGGTVLGTSCEAILCALVAARDRKLAEVGEGRVGDLVVYCSDQTHFAFRKAAHIAGISRENCRAVATRRDDMFALSPAALRDAMRRDADAGLVPLFLCATIGTTQTAAVDPVRELCAVAAPHGVWVHVDAAYAGSALVCPEFQHLVADADPVDSFSMNAHKWLLANTDCCVLWVKQPALLVAALGTEPEYILRDALANNGDTLDYKDWSVTLTRRFRALKLWLVLRCYGVEGLREHVRAHVRMAAAFEDMVRADPRFEVVATRRFALVCFRLRSPEKFGGEKKANELNRRLLEEVNRNGAYMSSALVDGVYMLRCAIGSTLTEERHVREAWKVVQARAAAMLRKMEVLHSAWLPKINYATTPLGEQQETGNWPKNFVMF >Et_9B_064523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16176955:16180904:1 gene:Et_9B_064523 transcript:Et_9B_064523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTAATTTTTATCSSSSSSATSPGPHRRRQPNDIEHDAGDSCCGGANPDDDDEGGLLHGHGAGGRATLLLLLAARRKHHHHQRAPARAWMRAVVLCLLGLVAVVGFLGSHGGGGGNGGGTGAGGDGVGRLVQRVADGDAMAWTEENLRTLARRPPDPPIPEIWMKPGSEGYNQCIERPRNHRRTNNATVGYILVDANGGLNQMRMGISDMVAVAKIMNASLVIPTLDHRSFWTDPSDFKDIFDVDHFKETLKEDIAIVDSLPPDFKRVKPYVRAPTSWSRASYYRDFGRILKKFKVVRFTHTDSRIVNNGLAPSLQRLRCRANYKALRYTKEIEALGNTLVDRLRNGSNHYIALHLRYEKDMLSFTGCNHNLTLHEAEELRDMRLKVRHWKEKEIDSEEKRLQGGCPMTPREAAVFLKAMGYPSTTKIYIVAGEIYGSRSMEGLKAEYPNIYTHYSLATVDELEPLELYQNRLAAVDYIVALQSDVFVYTYDGNMARAVQGHRRFEGFRKTINPDRLKFVELIDKLDEGSITWSEFQSAVKQHHENRLGGPYERFRGDSPRQEEYFYSNPIPGCLRKGFQRSK >Et_10B_004201.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18618723:18619925:1 gene:Et_10B_004201 transcript:Et_10B_004201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEVAAARRPVVPPGYRFTPTPEELIQFYLNPWVTGQHFFPGVVIAADIYALDPDALTSRFAHARHDGNWYFLCVARWKGGKAGTRMNRCVSGSGGTWHGSSKRKPVGKDGHRQGFEYRAAGDRKTPWIMEEFVTNLKEVTDGEGVRVICKVHRSPRAAPPLPDNGEETTNNKVGSSKKQLHGENDVDQCYYATTTSEAAQGTEEETSNKVGCGSKKRPKQLHEENNVECYYATTFTESGQAVAEEESYVLAGSKRPRLHGEQQHGLAACTVTVAPPAAEVRYYCSYTGKSQGAAAAFSTATTLQQQSVMEQDVGFFYPNSVNGRVGIGDGEPEQSPVEIAGMEAKGLSQMDYSFFMTAEGMDVNEWLVKEILRPDKPTDGVEDGDDPRAYAAETSAGV >Et_7B_055020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6563772:6567769:1 gene:Et_7B_055020 transcript:Et_7B_055020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPDAAWKPHYSFGSGGGGGGGIASPRPARRAPPSPVFLGTALFVLGFVSLFTGHVVTDADWSRIRSRWRSKQFRNNEPIDIWNSKYSSLYHGCSERSTDFRSAVPENSSTGYLLIATSGGLNQQRIGITDAVVVAWILNATLVVPQLDHHSFWKDESDFSDIFDVDWFISYLSRDVSIVKRIPYEVMISMDKLPWTMRAPRKSMPDFYTSEVLPILMRRRVLQLTKFDYRLTGELDEDLQKLRCRVNYHALRFTNSIQAMGQKLVRKLRLMSSRYVAIHLRFEPDMLAFSGCYYGGGEKERKELGEIRKRWDTLPELSAEDERSRGKCPLTPHEVGLMLRALGFGNDTYLYVASGEIYGGEETLKPLKELFPNFYTKEMLAVEYLKPFLPFSSRLAAIDFIVCDESDVFVTNNNGNMAKVLAGRRRYMGHKRTIRPNAKKLNVLFQKRNEMGWDMFSRKVKRVQRGLMGEPDDIRPRQYDFHEFPSSCICVRKPGNTSVTTQRAQLLFYSLSMLTNSSAMAFSSSSSLAESSILERAKSST >Et_6A_047787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16119767:16121413:-1 gene:Et_6A_047787 transcript:Et_6A_047787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGETAFIPYITAGDPDLSTTAEALRRRRRRARRALPRPYADGPVLQASPSAVLEMLKRVTPELSCPVVLFSYFNPIVRRGLADFTGAAKEAGVQGLLVPELPYVAACTLRSEAMKNKLELVLLTTPATPEERMKEITNAAHSLLLINRKMQVGVNGVTGPRENVNLRVQSLIQEIKQVTDKPVAVGFGISKPEHVKQAAGES >Et_10A_000937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19287035:19290482:1 gene:Et_10A_000937 transcript:Et_10A_000937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRRYCPCTSHGSLLLVVSFVLFLFRDAFVAAADASSSPQLNPAQQTIMMNILSVVGNIRSNASQIPCHWNGVNCNSSDSGSWVVRNITWSNHNISNSSIFAFICRLDTLRTLDLSSNSLTDLTSQFVSSSCSMKEGLQFLNLSSNQLSHPISDLSGFKELEILDLSLNRFTSKNLSEDLGAFPKMRSLNLSSNQLNGFVPTSMGASLMELVLSSNYLSGPVPKGVFEYKNLTMLDLSQNDLTGAVPNEFTSLAKLETLLLSENQLNGEIASSLSNVTRLFRFAANQNNFTGRIPNGITNHVRMLDLSYNYLSGTILSDFLSSARLQTVDLTGNMLEGTIPRILSPTLYRLRLGGNKLSGSIPHSICDSTSLTDLELDNNMLMGDIPSELSKCKSLSLLNLASNKLQGIVPYEFSSLDKLVVLKLQMNNLSGPILSNFSASAILSTLNLSHNSFTGVIPRTIFNLQRLSTLDLQGNNIRGTIPSSISSSKSLMELNLGYNALTGTIPTMPTALSTALNLSHNYLSGTIPLNIGSLSDLEIIDLSYNNLSGEVPPLLASLQSLTQVVLSYNNLSGSVPRFGQFMEVNITGNPYLANGTVDNHDTPTSKRRTHTIFIIIFAIAGSFVGLCLLAVFVVISSSNSISQDERLPSKEAIAEINSGCLITMDNVHTSGIELRLVEDNLVGCGGSGHVYRVTYTNRYNGSAGVVAVKQIRNLGRLEEKLEREFESEVNILGNVRHKNIVKLLCCISHAESKLLVYDYMDNGSLDNWLHGHALCAGHSMARMYWPMLSTPLDWPTRLRAAVGAAQGLYYMHHECSPPIIHRDVKTSNILLDSEFQAKVADFGLARMLMREGEPETIKLNEKVDVYSFGAVLLELTTGKKANDGGEHGCLAQWAWHHYRSGATVSDATDKCIRYAGYPGEIETVFRLGVQCTGNSPSSRPTMKDVLRILLKCNEQTDQKTREERALEHEAAPLFLPQRGSRRRWFSNSKGIFIEENI >Et_2A_016559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25999542:26003571:1 gene:Et_2A_016559 transcript:Et_2A_016559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPSQSPYEGGVFKLELFLPEEYPMAPPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLADNVAKHWKANETEAVETAKEWTRVYANGD >Et_6B_048614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11522886:11542433:-1 gene:Et_6B_048614 transcript:Et_6B_048614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FWLWTLELDKERSGTQDAKRFRSSTSKKKVKSKATVVISVIINAAKMPKRKDPCSTFPTPLKRRRAPSLPLQSPAKQPTMASPPPDAAARAAREHVERIRRDRYFIGRGEQNPLAEDMHQAVNYLSQELYSKDVHFLMELVQNAEDNEYPSGVAPSLEFLITSKDITGSGASSTLLIFNNEKGFSSSNVDSICRVGRSTKKGNRHHGYIGEKGIGFKSVFLISNQPHIFSNGYQIKFNEKPCAECNIGYIVPEWVESTPSISDIKDIYGCSKVLPTTTIILPLKCEKVDAVKKQLSSMHPEMLLFLSKIRKLSVREDNYDPKSSTVNDISISSELDYIMRKNMHAESYTLHLSAEESGKGEEECSYYMWRQKFPVKPENSVDKRAEIDEWVITLAFPLIGQRLSRGKQRSPGVYAFLPTEMVTDFPFIIQADFLLASSREAILFDNPWNKGILECVPSAFMNALVTLVKSNAPAMTLPSMFNFLPVNPSLIPLLEPVRSGIKQKVMSESIVPCESYTSQNIFCKPTEAARLKPAFRTIITKARNSQVDLNNLSTHGTYILSAHFDSSTYDSVIAFLEIESVSPEWYAKCIEGSNLIKEVQEDLYLEIIYFVAINWTDCFCSTNMRSIPLLKYLDRNGVLSFWSVSRASQQNDRLCISSEQQYISWLISWNQEFQCATRFFLPPSTQTALNNFSDNITVKNWLQNHARVEVFSVSSYGSTVLGSLGNDRRSTISFAHFLYHSSKKQYIESYYIGGLCSVMPVVDSYGDVIKKRTSIIVPAKGSKWVGLMGTNPWRNAGYIELSAEYKSAGYFAGNYTLEDQLLEFLKEYLHASDVPFINPPDEIFPTVSSRLTVDNAFLLLEWIRNLKSKCVPLPNKFLACVKEGSWLQTSVGNKPPKESFLSSANWGSLLQNGSSFVDIPMIDQQYYQNKLHTYKEELKAIGVRFEFQEASTYIGTRLMSMAASNGLTRENVYALLRLIRFLREKFLSPSDLINSVKGGRWMKSTLGNRLPGECIIYDSEWAVASCISNQPFLDVKFYGEEILAYKSELELLGVIVGFKDNYKLVIDNFKFSSTTVTSEATVLILKCIRNWGSCDDFIRKLNGLKWLKTNMGVRAPNETFLVDPEWECLLKIFDGTPVIDYGFYGREITSFKEELKKTGLITRFDEASKAIAHRFKQLVLNSSLTKASVLALLGCYRQLKTHSPLPVDLFNCMRSEKWLHTSLGFRSPSEAILFDETWDALSPIANIPFIDSGDSCHGLGKEIYIYKDELKELGVTVEAKYGARFVIAGLNIPSDTSVMSKATVLSLLECIKGYLGCRTVLPKGFKDNICKNWLKTSMGYKCPDECILFDANQSSICMEDGPFIDEAFYGPEIASFKDTLAIIGVIVDVRGGHDLVARHLRSHTDKTSISRIYMYLMECKWEPENKNSNWIWIPNCGEWVDSQSCVLHDRNNLFRSKLHVLDKYYDAKLVDVFFAVLDVRRGPGAEDYCELWKTWESTVSELPMSDCSAFWEFVATNWSKTTEKLLSDCAKVPVCTNGNILLSKKEDVFIPDDLLVTELFSNLPQLSIFIWCPPPSRPSMSRAKLNNIYGSIGVKTISKAVMKNDSFTLENGFRKVDSSKVLKVGLLQIILALLANPALDIPAKERHKLVSCLQNVTVDETNEPITVGYSVKLSSGRVVDVKASRKLRWERENSKLYMQRSDGQVSYKEKIEFATYFADEISQGLLFEMQDQVPSLTELIKLGWLLDFQDAAVEFLLRELRHSTTMSSSPSPAAREHVERIRRERYFIGRGEQNPLAEDMHQAVNYLSQELYSKDVHFLMELIQNAEDNDYPSGATPALEFVITSQDITCSGATATLLVFNNEKGFTAANIESICRIGKSTKKGNRSSGYIGEKGIGFKSVFVVSSNPHIFSNGYQIKFSEDPSAECGIGYIVPEWVEQNPSISDIAKIYCCLKRLPTTIMILPLKNEKIDAVKKGAIKQMSVREVNDDLNATNLSQISISSEADALTRKDIDAESYTLHLSAEEDKTGELHCSFYIWKQQFPVKPECRAQKRDGIDQWVVMLAFPHGQRLSRGMGASGVYAFLPTEMSTNFPFIIQADFMLSSSRESILLDSPWNRGILECIPSAFVNAFQALVKSTESAPMFALPPVFKFLPLNQSSVPLMDAVRLSIRKKMIDTDIVPSETCSSVKVFCKPTEARRLNAAFWSIINKAEKLGVNVPNISSYGTHILNSYFDSKEYDDVLGFLGVEYASSDWYANCIQGSHLVELLPHDIYFDLLAFVAQNWVAMFAGTNMERILLIKCAGAGGEMAYRSVSDATIADERLCMLPNEECAQLIISWNSDYFSTASRIIFMPSSMRKALGLFCKKITIMEWLVNYVGMKILTLHEYALTVVKALREKRLVLAFTHFIYHLHTEKYMPDWIVSHICSLLPVVDNLGCVITTRSVVLLPSKGSKWAALLGENPWRTQSYVELGDDYMSGNISGEDICQDEFLSFARTYMQATDVPFLLPPDAGFSAVSSLLTRENALLLLEWIENLSSRGIVLPKKFSSCIMLGNWLKTSVGCWPPSESFLSNAEWASLFHAKLSFVDIPMIDQEYYKNKIADFKETLGSLGVKYEFAEVMAYVGKCFISTVIDSTAGNTVLSLLRFIRFLQKEHMPTHHRIQSIRDGAWLKTCLGFKSPSESVLFSSEWTLPSEISNLCFVDINFYGDEISDYKFELEKLGVHVRFRQAYQVLVDNFKPPTGPVSSGAAILLLKCIRNTASCKTLVKALKKRQWLKTNADSELLGKHFFLTQSGNALSNLLMQFHYLIGLSMEMKFGPTEMN >Et_2A_016996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3061832:3064900:-1 gene:Et_2A_016996 transcript:Et_2A_016996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECFPDGHQVRLRNCVRDLYLHADEDGVGVYLSPVRASLHAAWQVHRVDRDGRGFILLLNAAYGRYLTATHEPPPLGHIGHRVVQGAYDDREQESVLWRPVKAASGDDEVVLTHISDRALRANGRDRRWLTGVTVDDLLHQGRGSMMVWAVEAITPRPEPQEGSELPPERSVSSMSCVPAAAMELFPDGICVRLRNRSCGMYLRADEDGVGVSLSQRRESLDTAWQVRRVGRDSRTTYVLLQYAAHRRYLAISPEEVPPGYRAIQGTLDWEEAVWEAVRVADGAEDILLRHVSTSRFLCANTGVSVDHYSKLSTTMN >Et_3B_030744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6987287:6988705:-1 gene:Et_3B_030744 transcript:Et_3B_030744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLLTCCCTAKQHGDDEDKIKFGGGNVHVVTSKEDWDQKVSEANKDGKIVVANFSATWCGPCRVISPFYAEMSQTYPQFMFLTIDVDELMDFSSSWDIRATPTFFFLKNGKQVDKLVGANKPELEKKVAALAGAGA >Et_9A_063431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24069577:24071499:-1 gene:Et_9A_063431 transcript:Et_9A_063431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEKPFSEGPIDLETVATHEIGHVLGLDHSSSPQAVIPRERKVRLSHDDVEAVQMLYGSNPSFKEDSMAPARSSFLAGLCMQFSIGHNTEKPFAFVFVHGASGQEETAVTVSAAQAVCVPMDLRYAAPPVGVASRECVNGKLVHARLEKIIVSDPSAAVARTFLAPSPGPPISWRSSHAFLSARSVVAPARPVRRCVLLAAACHSASPASSQLVSRPFPSSLQELWDHVTFQWSGESPSMAIVFGLGPHDGGHEMRSRRRSHGKMPARSSQPEQKVVVFLGVSVVGRERGDEGLQEEALLEQEAAGRGRRGVRETRRRMKLVVAARRWRARRRRRR >Et_8B_060021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5108244:5112186:1 gene:Et_8B_060021 transcript:Et_8B_060021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEMTTLYPAGVRVLLVDDDVRFLKAASMLLSLLNFQVSTCQSLSSALRFLTAGDKYKEIDVVLADAKKATTCGFDFRAIVEPDLRVPVLYFLSTKHRSSGEEADALLRILELASFILKKPLEGESVCCLWRNIAWRKLQLMIRASLDLGGGGDAGQGSSSSSNSGGVRMLPRSPWTDRDDDEEERVHYRMVTGESSGRKRRGVFGGAGNPGSSSGSGGAGPAARRGRPAKKQKQEAGAVAGQQETDNVAPKPAPRKYRSRKDSKPMNNGASPSMPVIPETVIDVETYQPTRRRSFEKQPSSQQAKPKKSRFVQNVLKTLPVLPHNPSIFAAAVEPGTSIINFSGAAETSSSIISFSGSGSGSAEPVGSILDFSDAGKTTAAGARAPSPVVNPAPTTPASPAPVNQAPQAPASPALVNQAPQAPPAPPRLPAMQAPPAPAPAGNVAPAAEGTTISVAAVTDKQLCACASASQKKQQQHLDKEASLPLLLRGAFGPFPYQGPPQPPPVMQQQDMFGGAPPCNFAAGNGAQLPFQQPAPIAGAGDPFACMAAGGGAAITGGAANAVHSGNYGNNSDDTTLLQPLPLSLAAAPDNELEAMLAVYATGDQHMAPQAQHVDVAPLNYNNNEAMYNNNNNNNAEPFMAPHQQVLAMVPYGVNDPAMEAGRGGTLDDVVGNANAAANFMAPQGFGAEPNMMNQLVVADAPLAMFDPSVENEDDFSFPLAALLGTDEVPMYDGAGGLAGLQGGAADNNAVAAAVNAAENYLNGEEGGMEDWGLAPADGGAGIFGDMLMDNLLPHYMNNGRE >Et_9A_062180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20454293:20457315:1 gene:Et_9A_062180 transcript:Et_9A_062180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGEWERHSRNIWVGGTFKQIHPMFVLVKLMDIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRAHCASRRSLRDDPNYDALILALYPDVDKYEEEELAISEEERTRNKKIQESIAETFRRQTEALVKKRSTSTAKVPDSASSVKTRGYTRSRRRPRASSPDVVPTDFDDDDDDREENGNDGSKESSSVDDRRSPDVKQKRARRWPVPRRSPAKTVGSIENSTEDNENSGGAGARDILATSPLRGEMLAWGKNGTRSATRHGNASGSSGRMAKGGRVAKLVDQLRNADEFDSKFNMYLALLPLDGESMPKLEKHYLSCQPTLSVQHLCQFVALQLSRQPKEVEIYIRKSSMDECFATKNTSADEIKPDKYTRLERLWEEKSLSELYPSLASGQGDLELLYSLKAQG >Et_6A_047296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3470464:3475150:-1 gene:Et_6A_047296 transcript:Et_6A_047296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAHEVAVYIDRFHNLDLFQQGWYRMKISAVWEDDDGRAPISPARVVQYEALDIGGKGSFGFWKIDDGDNSFYTQPFLVKYARQDIYLSVMVSFYMPNSEDEGPATSSVILKFELLYIPALGNGWAQDQDSSDPYLVPVHEFRLPHKALLGLHSYCPVHFDALHSALVDLTIHIVYLKAGVTKSSLKEQGLGLKTYDIVKALLFSRETLLKEVMKISNVIGITLEDLDDADLTLGKYENVQPSKSGFTNYSKGHGAPTKYARQMTGILRDFLESSDGVGGSTDEIMLYNLSKEELLELFETVSCQVSLIWNAFLNFHRVQRIKILDYLQSVWDDDRKAEWSIWIIHSNIEIPHRYLRGMTDDSSPRHLLRISSSRKFNQDPIQNSISRAELHRKSVAKMKINAGSIQDMHIYADPSCVPVVRIEQHVMVVPQHGSSKDLATDSTEPVDCIVPPQQREECASRISGIVTKSGHILRAVIFVHGFQGNHLDLRLVRNQWLLIDPGAECLLSQINEDRTSGDFKEMGRRLANEVVAFLKRKLDKYNKNGGCKEMKLSFVGHSIGNVILRSALTEPKLQPFLKDLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGMQCMHQLTFSDDQNPQNTFLYKLCKLKTLENFKNIILVSSPQDGYVPYHSARIDLCHGASSDNSKKGQVFTEMLNNCLDQIRAPTSDTRVFMRCDVNFDLSAQGRSLNTMVGRAAHIEFLEDDIYARFIMWSFPEYFR >Et_4B_039014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:734136:736044:1 gene:Et_4B_039014 transcript:Et_4B_039014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGQMGRAAAAAAALVLATVLVGSICLRVTASSHEGQRYSNFRFVRHARDAPLVSYYNYIIVGGGTAGCPLAATLSEHSRVLLLERGGLPYANRNVSSSYHFADALADTSPSSPAQRFVSEDGVVNARARVLGGGSCLNAGFYTRASSGYVRASGWDARLVNASYRWVERALVSRPGVPPWQRALREGLLEAGITPDNGYTLEHVPGTKVGGTIFDRRGRRHTAADFLRKAHPKRLTVFLHATVSRILFGRAGAEGGGPAASGVVFTDPVGVRHHAYLRGGGGARNEVILTAGTLGSPQLLMLSGVGPRAHLEKHGIRAVHDQPLVGQGVADNPMNSVFVPSPVPVTLSLVQVVGVTRFGSFIEGVSGSQFGIPLRDRRRDRNSFGMFSPMTGQLGTVPPKERTPEAMRRAAEAMRRLDRRAFRGGFILEKVLGPVSTGHLELRSTDPHANPAVTFNYFRDPRDVERCARGIEAIQRVVRSRAFARFTYANHTAMEAAFLRRAAYFPVNLMPRHPRDTRPLQQYCRETVMTIWHYHGGCHVGGVVDRDYRVIGVGGLRVIDSSTFKYSPGTNPQATVMMLGRYMGLRILKERSMVRKGNVHDKHH >Et_8A_058425.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:7204717:7204959:-1 gene:Et_8A_058425 transcript:Et_8A_058425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMLEQGTSGVFKVEQEPEVAVHSQVRKIKQEEEKARELLIRLQLLEMRPVTGFREPAARRSSPSPLRRAGQAISVGDC >Et_8A_058313.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4737165:4738133:-1 gene:Et_8A_058313 transcript:Et_8A_058313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQESDESPRRPAVKKNAPAQPWSHVETMHLIDAYEERWTALRRGQLKAQQWEEVAAEVVERCAATPGAAVQRKTGTQCRHKLEKLRKRYRTEGVRPVTSLWPYFRRMERLERGPVPVSTAYPVPADSPSPAAASEEEEEEEEEEEEEEEELVPRNNNTRSINGIIREFGSGLAPRHPQLLQQPQPQPPPPSFTPSTAPPRKRVAYEAFQAKAAAAAAAAAEDDKMKEEEEEMARRPSRSGGANAELSAVLRDFSEGIMRLERRRMEVQWEIERGWKETEARHTRMLQDAQRQLRDTIGVAFAVPPKKARRDHGSNGDGY >Et_6A_047825.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:18725686:18727269:1 gene:Et_6A_047825 transcript:Et_6A_047825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGLALALVLIISRFPSCYLLSTPSSNGFFIQCLTENIPSELIFTPGSSNFTGVLVSTIRNSRFLANTTTVRPICIVTATDASHVQAAVRCGRVHGVRLRVRSGGHDYEGLSYRSTRPEETFAVVDLANLHAITVTTTDDDGEPTAWVDSGATVGELYYAIAKNNSELAFPAGVCTTLGIGGHFSGGGIGSMMRKYGLSVDNVVDAKLVDADGDLLEGRAAMGEDLFWAVRGGGGESFGIVVSWKVRLVKVPSTVTVLRISRTLEQGAVDAVTKWQHVGPTLPDDMNMEVLVQGRQAVFQSLYLGACDKILPTITSRLPELNATTADCNEMTWLESTAFMNFGDTNTTVLLLNRSTDPNFFKNKSDYVRRPVAKGVWLKIFTKWLAVNGSGLLILEPHGGFVGSVPTAATPYPHRSGVLYSIQYVASWSAGDDGSVAMNWLSNFYDFMGQYVTKSPREAYVNFRDLDIGQNTVVNDISTFHNGKVWGEKYFGSNFQRLATVKGKADPTDYFRNEQSIPPLLQTNN >Et_9B_065237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2692068:2698536:1 gene:Et_9B_065237 transcript:Et_9B_065237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAARESMAALLDAGLFGSAQTMVCTAIPASFPPFLHPTLGLSRSLPPRAHCSCLLERSEVSGMLLLIDLQGCFLVSSAGASNEAAMSVKVESLVLHGDALYGEKEFRRALSAYKQAMQYSRSIPRQAASNTRSSVSTTGRSPSPNSSNLLSFNENEVKFKTALCHSALCEHREALQEMEGIPSKVRTLKMNLMLGKLYRISRNSRSAAVCYKECLRQCPYVFEAITALAEMGLSLKEFSLLFSQAPNRGGKPLAPGDSADAQRWWNRYVEAQCCIASHDYRGGLDIYLELMQRFPNNVHILLEIAKSDYTKLNKLVHDMLHIDPARPETCVALAAMWERKDEKKALTYAEKSLRVDDRHITGYIMKGNLHLSLNRPDLAVTDFRGAQELRPDLRSYQGLVCAYLALSKCKEALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESALRLEPGFLGAALALADLHVSEGRNKEAVMLLEKYLRQWADDSLHIKLAQGVDPDAPEEDEENEADDIDADQDDPELL >Et_5A_042877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6119890:6122332:-1 gene:Et_5A_042877 transcript:Et_5A_042877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRIRSITLYALPVLDHLASELPQIESSRLRRPQRRRPLGLQPAEKSTKQGAVIGAKLIGQVVCEIFDASKDGTLWHGEHKMISERMLMLAPIHKWKILLSQQKQQKVDGLMAKLKSWFLSFQARSKEVSTDDQDVWCEGE >Et_3A_026070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:480863:482558:-1 gene:Et_3A_026070 transcript:Et_3A_026070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIIIPRIKGGRTSVVPDLNLASTPARPAGALAAEEGHEIEHDVHGVLCGDAEELGGLVPERGAAAGEVGERADGEEGDAVLVRHERHGGALHVDGRAPELGPEELAVAGVVDEDVGAAPAADAHHPWRAGQARRGVHARRQLHPFQLLGNGEITRARRHPERVLPHLRLAGDGEDLLRLVPDAVELVRRRLPNGVVGEVEEAPLPGEVAGGLQRQLVLRAVAVSLPAPE >Et_2A_015365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11738046:11741340:1 gene:Et_2A_015365 transcript:Et_2A_015365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRPSAPAPAPPRRSYSERASPHAVLPYTVSKKTIPLLGDRVASFSLPSRCSAARHVLSLTNDTDFRRDFIHAAHRQEHDLMRSIFDLQEIASSCFGKACLLSSFILYVLPPSCLAEPCEQEYSLPNMPLLFAIAMVGATVGGLLARQRRGELTRLNDQLRQINAALRRQAKIESYAPTLSYAPVGSKIQESDVIVDPQKERLIAYLRSGKNHLRNQAPDKAFPEFKAALDLAQSLSDHVEEKKAARGLGASLQRQGKYKEAIKYHSMVLNISKMTGEDSGVTEAYGAIADCYTELGELEKAGEFYDKYIARLQND >Et_4A_034624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4937375:4942456:-1 gene:Et_4A_034624 transcript:Et_4A_034624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAAAPSRRSVFDASYIRSEFAAAGISAQFIPLIWKYVLQNPRCGDLDGVPSLPAAAYALLRQKFRPTTSILTATADSKDCTTTKLLIRLQNGESVEAVIMRYDTTLGKHDGKPRSGGVRSTLCVSSQVGCKMGCRFCATGTMGFKSNLSSGEIVEQLVHASRYSQIRNIVFMGMGEPMNNYNALVEAIRVFTESPFQLSPKKITVSTVGIIHGINKFNGDLPNVNLAVSLHAPDQDIRCQIMPAARAFPLEKLMKALQVYQTESKQTIFIEYIMLDGVNDQEQHAHQLGKLLETFKAVVNLIPFNPIGSSSNFKTSSEQNVKKFQKVLRGIYNIRTTVRQEMGQDIAGACGQLVVSLPDERSAGGATLLSDIEDLRI >Et_3B_029678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27007368:27011372:-1 gene:Et_3B_029678 transcript:Et_3B_029678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLVHAVGAPLPTAGQPARAFHPLASAPALRLARSASSSRRRLEVSFKALSAGGHRGLVGRGALRGRRVVAALAGEEERAEVGNDKDNSNVEIQPEEAQEAWKVMLEQFKAEALRMQALSMQAYDVYSKRTREVLLEASKKLKIQADKAQKDLSVIADEVQQEGQEYLRMAAQNSPDSIKDITETFNALGGLSFPSQYKDYHVGIPFGTFLSVGGFLNFMLTGSTSALRFGIVLGLALLALAISSLRSQRDAGRQRRLLLKGQADWLVSKNIHGPAQGQVQWSHQLIGFTGHCDTAPAWELKIRLIKWVVTEEGFFYSVGGMKGSNSRSRRT >Et_5A_040981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1665853:1669691:1 gene:Et_5A_040981 transcript:Et_5A_040981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVDYVLSTGATEPRMLSMTVAMDETTAALIDPPSSCYGLVPVTLHGRLHWLRSVPSCIVVFDTESETFHQMITSNMLVKIFTMGGLLVASDFADDMRIDLWFLEDYDGANNGRWECRHQVAIPPINAQLRAARPASRLIYGMNIVIGTDDGEYIILRATEGLLVVHNVKTKSSRVIDPGNNRAADISLRRVFRKSLVHHEGFNQWPSDGLPLIDFSWTAGDEEGNVILGDNCGGLLVYDTRRMVVREFVVDDRNSMTPVHVTRHVFRGSLGLHPCFSPAADPLLETITNILLCLPADSIRRCRTVCKAWHRITTDLGFLAAHASCCSPEVILYRYRDMMPCTDDSTSHASTDIALYSLPISSNEVSCRRCLIRYPKTYPRIGSCLLISSCNGVLLFKRGEGFHILCNPATKQWAELPRLSTSTTTGYAFYFHQSSCEYRLLCKDGSFRPMWYILATGATEPRYLQMHNGVAATANRIADLLVTTPVDLQGRMHWPPQRTSNTVEATVMVVFETQSETFHVMAGPPATTTTELVKLFCMDDLLFAADFGPKNHIEL >Et_3A_026823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22220064:22221288:1 gene:Et_3A_026823 transcript:Et_3A_026823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAYLICWAESCDASQGPVLTHCGFSRSTPMTALAMALSPAPRRSISSAASSRRSTVFVTSRPQSEPFRVFAHADADEGVAGLGQEVRQRVGEVVGVEELEDEAAAADAELEERGGAARGAGGGGAPPLDVEPDDELVQAAAVDDADLVEPVVHGVAGGGHGLYITFGWAALPRAVDERGL >Et_9B_064903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19766711:19768277:1 gene:Et_9B_064903 transcript:Et_9B_064903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QKRATASFPADSHGATTTVHKRSPKASETRPFCSFPPIPRTARPRPRRHRWGACARLNPGASTTPARNRTKSGAREAEKIPHPPPRAPRRPFHPSAPSPLPPPPPAPPPPRRSAAPNGARVTSAPCIVRVPEERVGMAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRPQIHLRSLTPLNNVERWLRELKDHTDANIVIMLVGNKADLRHLKAVQTEDAKAFAEKENTFFMETSALEAMNVEDAFTEVLTNIYRVVSKKALDIGDDPAAPPKGQTINVGGKDDVSAVKKSACCSS >Et_8B_060329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9686787:9688274:-1 gene:Et_8B_060329 transcript:Et_8B_060329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATLPKYQRFCNINLQPRDRKLLLMALAPVYGEFCMDNTSPTTWGCKRFTNPKPSENYAASTMLQVFSLKFSGDFSDDQQPLPMYGFVAVRDDCEPLRNYIFNRAREDAFNFSPRKGSTCHNDDDVVVDLCIDFRWDRIIRGKKLKSRIECSSGALEMEYKFINGLRQFSRELEGDRVIIIAQSTGFKKILTLYDAVVQAETAKVSYVLVASEGGSLYFGYLAVGARASGCTRIEVAKHGSYKGHVVLALGPNPCQKPRTCVIPFSVTF >Et_3A_026128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4597077:4598579:-1 gene:Et_3A_026128 transcript:Et_3A_026128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLNRYERRNTCLRHRLAPGAAANARTRPATSPAQPPPPPPDPLPDSRTAPPPPLEFALGSSAGDANGAAVKAGGPARYAATKAADSFRRHRVVTMTANPSKKKEALKDIGFGSLLKFHPIRMKRTTILQIVGTFNVKTGEYTIRGHNLKMTLAEVHHILGLPAKGPEIVQLPKRKDDSLLYKLKWGNASNITSNWLKEYLKNTPNADDQFKQMFVMYTIGFYLCPTTQPNVKSDYLGLVENVEQIKDLHWCSLTLNGLNEQLVDYRVNRRANLARNVITLYQFLYQTVTTEFQVMA >Et_7A_050570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25304459:25305841:-1 gene:Et_7A_050570 transcript:Et_7A_050570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYAVASISGDPMTRQCTPPDYSGGRNPCWDITLRFSVPASAAAAGGGWLHVLLRSERALGDRDIGEVVVPLAELLAGADGPAQQPPRLASYQVHTVHRGEPRGVLNVSYRLGPVVAPVKRADQQQFQPQNGGSYYRPQQPFQPQNPQQPFQLQNPQQQYHRPRDAYPPPSPRHYEDRSPRKTTGDGNGPTQVCVGPHTQIILSAPAAAPPPRSNTIPAKKADEPRPKPSYVPPQQSNQHDTQVNRPNVSPTKFAAQEKTDYSRAKSFPQQHDTKVGAQVPNWPNVSPPKLAQEKAHHSTPPKAPPQQDTQAGSYAPNRPIMSPKKPDPSRHEAPRAHQGTQTQVREYASTQSNIHTGKADSRPKEAYAPTRPNNTPGKTDQSGPKVALERMPRMPTAAAR >Et_7B_055052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6898899:6900670:1 gene:Et_7B_055052 transcript:Et_7B_055052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLVTSSSPISPARPSPWRTCGAASAVRCAASSSSTPSPSATAAAGKQVSKVHSYGTVDFERRSPLRWGTLYRRIAVGHGGRPVGRTLGAWDEGERRLDKWELCRIAKELRKFRRFNLAIQVYEWMTERRDRFSLTASDMAIQLDLIAKVRGVPQAEKYFEELPDTMKDKRTYGSLLNVYAQAMLKERTEETFEQMRRKGFASDTLPCNVLMNFYVDVGQPEKVSAIIDEMKERDVAFDVCTYNIWIKSCAAKQDTDGMERVFNQMLTDESVVANWTTYTTLASMYIRLGNSEKAEECLKEAEKRTTGREKKCFHYLITLYSHLGKKEEVYRIWNWYKATFTTIHNQGYQEVLSALVRIGDIEGAEVLYEEWASKSASFDPKTMNILLAWYAKEGFAAKAEQTLNRFVEKGGNPKPNTWEILATAYLKDNKISEALSSMEKAAAVKSASKWRPRPTNVESLLANFKEQNDTESVDRLMSVLTSRGCAENEEYKSLINAYAFAGT >Et_8B_059953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4403074:4411319:1 gene:Et_8B_059953 transcript:Et_8B_059953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAGEASSSALAWLGLALLVLVPALGLLAQSRWRRAAARREEVRRLARMAAEEAELAERESVLAYYSELFPSVVHAAEVPEAPVWGPTPEAAAAAASPAREEVELQQPQAQHPAGAKGVCAVCFRPTTFRCKQCKAVNSFKCQIAHWRQGHKDECHPPNVIRPDDEGDAEHGRASGENVLVGVKPVPVGSETSGATHNLSSLNETSKHSHSEVVCASTELPGDHHSNGKVEISQTARPSVDRSKTASNINSANFVENGSTTKSLDEAQSCKSQASARKTTSHIGNEESFDRRARDARVTEDCSQSQTTCVDNISPQAAASVHILQPKSSRTPIRVEVDKSKTKPVVHDSIQSTKPVPSASTADKLSVLGGYSVAPDPSKRASNIPERSSRTSERAGSTANNLATSLKKMVRQQTAPKVTRHYPSESAIFPYEHFVRLYEKVELRPFGLHNLGNSCYANAVLQCLMFTRPLTSYLLGRFHSKNCSKKEWCFMCEFEKLVVEGKQGKTALSPTGILSHLHDIGSSFGPGKQEDAHEFLRYAIDAMQSVCMKEARKGGAHRLAEETTLLQLIFGGYLRSKIRCSRCHGVSEQSERLLDLTVEIDGDISSLEEALERFTSTEILDGENRYQCSSCKSYERAKKKLTILEAPNVLTIALKRYQSGKFGKISKAIRFPETLNLTRYMSTDDDRFPVYSLYAVVVHHDVMNAAFSGHYVCYVKDTQGKWYKADDSQVKPVSLEKVLSKSAYMLLYARCSPRAPSTVQTPARVKKGKPKVISEGVTLQEGSLSGPHGVQLQMANDVTHTFHEYGSYPPAESPSPSESSSLFSNSDAVSHSTTSTDSSDSTRNSTSTEEYEYLIFGTSEQMYPGGPGATEENDYMTYSRSRSCLNTSSSGREAGDAGRFTEHKLQGTRGGWFEDDEGSSLLYTERSRRHSSSKLTEQYRQLDGTGHDPGETRGSVLLRRSARERTAQTFY >Et_10A_002162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2728731:2729833:-1 gene:Et_10A_002162 transcript:Et_10A_002162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGGGVTTAEPQTPAAEETHHDLPAAEGGVKPVELLEGHKHADLFRWVYNLDDTTTEDLLTQAHFDPLDPLRNYVFNRSRDDPFVIHDLQSDPFIYLSGPKRGVFMQKYVLLEYDMKIKRGDQHAEDDNLPLVHGVAIFSLPMRSDRSAATARHWVTDLNAGNGNGGGRIDLSITALISKVTGEIKVFRGIVDRPCELNRFVFAVGSGSCLFLNFQVPGGSDNYLNLFAFGASAHGFIRDRRELDFADVDVKVTWSSLYDC >Et_3B_029865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28635564:28636855:-1 gene:Et_3B_029865 transcript:Et_3B_029865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEERDRRVLLFACRNCEHQEISDNNCVYRNEVHHSAGERTQLLQDVASDPTLPRTKTVRCGQCGHGEAVFFQATARGEEGMTLFFVCCSPDCGHRWRE >Et_3B_027402.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:12883156:12885384:1 gene:Et_3B_027402 transcript:Et_3B_027402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSENVVAACKLADPYHNKTLPKPFPSGLLYQEIDSNAIKLTGASPNDGSIDASLSFLPQSDQLELLDCCNGLVLCRYESSSTPDMYHYVVCNPVTRQWTTLPETDPEQKDFQYLAKLAFNPSWSPNFYVFNFQQMCSPSAETNGVSAVRIFSSENWTWVVDDQWRPKNDINITSRPHFLLRGMLYVHTADDRVLEMKDFHQTEQTHHRVIELPGYRASCPYDDFLYGCLGQSSGILHYAKPEIDGRKIQVWGLEQDGWDLKHSFNISDAFGTYTFVQYDIEGFLCCDYDIQIVDLERGVIFVRDCIENKLLSYSMSTGMLTKIQDGFNRYMSFVPFYGMSRVESVAADEGTSDD >Et_1A_007185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31815123:31818262:1 gene:Et_1A_007185 transcript:Et_1A_007185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCLVSGSGREEEEEVRIFYQRYGHGATKVLLIIGFAGTHDSWGPQVKGLTGAVDPVDEEAPADDDGGGGAAEEGVEVCCFDNRGVRRSSVPAQKSQYTTAIMANDALALMDHLGWRRAHVFGHSMGSMIASKLAAMAPERVASLALLNTTGGGYQCIPKVDWHTISLACRFLRARTPEQRAILDLEVHYTREYLEEAVGSSTRRQVLYQEYVKGLSSGGMQSRHGFEGQLNACWTHQLSTKELDRIRSAGFLVLVIHGRYDIVAQLYHAKRLAEKLQPAAKLVELHGGHLVSNERPAEVNMSLMELIKASRSNTDLKEWSNIPNKSDGILVGSPGCLTRRNGDSVNYLMLTYNLLGKLQLILIFFFGVFYVILEQMRRIVRILKPVRVSASTL >Et_1A_008416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7495968:7498173:1 gene:Et_1A_008416 transcript:Et_1A_008416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTNSGAAEGGDDLDQLLDSALDDFTSLDLSASAAPKSSGGEASGSASASGSGPKGPVKGLGMGLPDPKAPRRRAAKQPAPPPRGAYASEALEKLTRETREAVRGLETATGGGGIPGLDDDAMMEEFVKQFEEFAGAQDMDSIVETMMQQLLSKEILHEPMKDIVEKYPKWLEDNKDKISKEEYERYNKQLELMTKLIDVYENDSENMTKIFDIMQNMQECGQPPSDLVQDIVPDLDLNKLGQL >Et_5A_041375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21964359:21964674:-1 gene:Et_5A_041375 transcript:Et_5A_041375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDHGKRSAKARNWPEGGGANEGGRKCIFHASMPSIGGFRWNSRNLRRKPGSETRRHHDVQTRAARTRLAGSCGGRRMRTSSTSSSITGGAAVMVVDHERP >Et_1B_011467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22627509:22629711:1 gene:Et_1B_011467 transcript:Et_1B_011467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSNAKKRQQASKPAADPLAHQNAVVKKKENKAEEGKDRPVRVYADGIFDLFHFGHARALEQAKKLFPNTYLLVGCCNDELTYRYKGKTVMTQEERYESLRHCKWVDEVIPDAPWVLTQEFIDKHQIDYVAHDALPYADTSGAANDVYEFVKKIGKFKETKRTDGVSTSDLIMRILKDYNQYVMRNLARGYSRRDLGVSYVKEKQLQVNMKINKLRETVKAQQEKLQTVAKTAGINHDVWLANADRWVAGFLEKFEQQCHVVETAIKDRIQEKLGRQLSKGISGGLVPQPVVA >Et_7B_055565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14671479:14677513:-1 gene:Et_7B_055565 transcript:Et_7B_055565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLALSLTSTEVSMLLAILRMKQLSSELLHPKLAGAVLVCSVPPSGNSGLVWRYLLTKPVAAVKVTLSLAAKAYANSLSLCKETFFSPQMDDELVSRSLVRYAVCRHDEDPAGVDAVADPQTKKARKTGDTAPRPACYLPDELLTEVLLRLPVRSLARFRRAWNAETTTAGFLERHQALAAARHKLAFLPVGRDRHHDAMQRRRCNNKEPARCGGFPRVIGARACNPSTGGTMDLPPLFGEGYGVNVAGIGFHAPTREYKVVAHPNADTDTSHPRLHRWSCDTMPYGVITLGADRCWRYRRVPDGEDESALRSASIDGLQPVFADGRLHWTYTHTSRSDDGGHRETRGILSFSLADESFRQTPQPVFSVADNNRDGEDSCRVVSNWTDAATETPEEGTVLLAELGGSLCMARDVRTVDGARGQLQIWRLDDYNAATRSLDYLVDLPGRMPCKLMWPRLVAPLAYLPPAELLLVSRDDEPGGARLQPVHQHRSDHVVGACVSRQVAGEGRLSQVRAVPGELCSFRGHEIRGRRG >Et_8B_058791.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5830891:5831037:-1 gene:Et_8B_058791 transcript:Et_8B_058791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVAQKVTQEVMFGIRRPRNFGCMEAMGRATKRVHDFQALQPVALA >Et_10B_002591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17493908:17494858:-1 gene:Et_10B_002591 transcript:Et_10B_002591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWTDSMVKLLITAVSYTGEDPGADLGGGRRNCSMMQKKGKWKAISKVMGERGCLVSPQQCEDKFNDLNKRYKRLTDLLGRGTTCKVVEKPELLDGMNNLSDKMKDDARKILNSKHLFYEEMCSYHNNNRISLPEDPALQRSLQLALRCKDEHDAKDHSADTDYEEENDDEHHTAHVNKRSLLMHKRMRCMVDQEDIGFGNSSSSHDYSRRSHPHSITVDTDKSFPDGTNLDIQSAEIEKQRLLIQADALELAKKRLEWEQFCKKDMELEKMRFENEQMKLENKCLELEVRHRELELKLKGNVDPA >Et_2B_021839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:627303:638092:1 gene:Et_2B_021839 transcript:Et_2B_021839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDHLSVGCIAKSVMASMEGCIAQSTMGSAEPWAFGWEPWTPPTLEDLLPELSCEERLRLQGHLREQERILKRLNKISPPLPSLHSEEERDAFIIPHVRHALRHYNTRHPDDEFDAVKPLMEASARFRGQIWYHVNFWARSRKSNKIKRFFAEVHYKPPTLGSACLDRTEVDGTSGSSVTQFPAPIPFVEACTIIEEPLNKYRKSCAFCTGNLDILHPVGSRKFVCGNDKDRFAQQFKPCKERGWLAMPFISLPGSASFNRPEEETKKKNDWVYRSGCARNSLQQRSSEATFGPWFEIGFHSVLCFAYRVCDGDSHVHIWDNYTRTGPKRWYTTKILSSCTPSVWSGCIHLSIWMAALRERDNCITDVARHAIRHYNARHPGDEFDAVKSLMESTVRFRGELWYHINFWARSRSSNKIKRFFAEVHYKQTTSSSVRSNPESEVHDRRFAGSFAFSDQPVAVPEAEILNRPLASSSVRSNPPSNQFQVPEAEVHDRQSASSLACSDHPVPVPEAEMLNRPPASSSNRIPTIEVCTIIVSLSLSLSLSLSLTGSIRLYKITLTCICMQKSRLDATEGAVHSVVATWTFFTPRGAVSLFVETTRIGWCNNSSVGAIMGVGSHANDATV >Et_2B_020548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21221717:21222768:-1 gene:Et_2B_020548 transcript:Et_2B_020548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEENAMAVNNEPTRSKKHAKIHRSFLHFSNALKKLHGGGHANTNDKSSSSSPASSFLSGCMHPRTNSFAFSSRRRRHATSDNGREHDDDEDNDGALAVEFGSLRLGTTAAAVADAGGSSSSTQDFYTDGGSEEREGVPPPAKAVACCGAGGVAVVTLSAAPYEDFRRSMREMVDAARGEAEVAASEDDDDDATRAPAVDWDLMEELLFCYLELNDRAVHKDILRAFTDTVAALRRRRAYNEFGEVILRLIVASKASTAAASDQQTLSPPPQMCFLY >Et_3B_029912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28992528:29006083:1 gene:Et_3B_029912 transcript:Et_3B_029912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVATAAVALLATLLTACVAVPAKGKTWITEEGGGGSNSTASRAVTYDGRALILDGARRMLFSGDMHYPRSTPEMWPNLIAKAKEGGLDVIQTYVFWNVHEPVQGQYNFEGRYDLMRFIKEIHAQGLYVSLRIGPFIESEWKYGGLPFWLRDIANITFRCDNEPFKIHMQNFVTKVVNMIKDERLFYPQGGPIIMSQIENEYKLVEAAFHSKGPPYVRWAAAMALNLQTGVPWVMCKQDDAPDPIINACNGLICGETFLGPNSPNKPALWTENWTSRYPVYGQDPKFRSAADLAFAVALFIARKKGTFVNYYMYHGGTNFGRFASSYVTTSYYDGAPLDEYGLIWQPTWAHLRELHAAVKQSAEPLLWGAYSNYSFGQQEAHIFETDSECVAFLVNFDKHKISNIQLGEDAFQIAPKSISILSQCRKVVFETAKINAQHGLRTAQLVQPLNQVDMWKVFKEPIPLEASKTSNVGNRLFEHLSTTKDETDYLWYLATYNYRAKGNGQLMLNVESHSHILHAFINNDYVGSVHGSHDGPGNIVLKAPISLRKGPNSIALLSVMVGSPDSGAYMERRTFGVWKVSIKRRQQRPRSLNNELWKHQVGLYGEMNKIYTLEGSSHVQWTLVDKSMHLPLTWYKTTFDTPWGNDPVALNLSSMGKGEVWINGESIGRYWVSLKTQSGQPSQSLYHIARYFLKDRDNLLVLIEEMGGDPLQITVNTMSVTRVYSSVSEFSTPSPVLREKHPAVHLRCQNGKHITDVEFASYGNPIDDCRGSGRSCHGSCHAEASEFVVKNACLGRRKCAIPVQADKFGAPPMASSGAAAAASSAALPLLLLLLLLPPLTAAANVTYDHRSLIIDGRRRLLISTSIHYPRSVPAMWPKLVAEAKDGGADCIETYVFWNGHEPAPGKYYFEDRFDLVQFAKVVKDAGLYLMLRIGPFVAAEWNFGGVPVWLHYVPGTVFRTNNEPFKRHMKSFTTYIVDMMKKERLFASQGGNIILAQIENEYGDTEQAYGAGGKPYAMWAASMALAQNTGVPWIMCQQYDAPDPVINTCNSFYCDQFQPNSPTKPKMWTENWPGWFQTFGESNPHRPPEDVAFAVARFFEKGGSVQNYYVYHGGTNFGRTSGGPFITTSYDYDAPIDEYGLRRLPKWAHLRDLHRSIKLCWHTLLYGNTSFLSLGPKQEADVYTDQSGGCVAFLANVDSDNDKVVTFRNRQYDLPAWSVSILPDCKNVVFNTAKVQSQTLMVDMVPERLEASKHHQWSIFREKTGIWGRNDFTRNGFVDHINTTKDSSDYLWYTTSFDVDGSYSSNASHAFLNIDSKGHGVHVFLNNELTGSAFGNGSKSSFSLELPINLRTGKNELALLSMTVGLQNAGPFYEWVGAGLTCVNISGLKNGTLDLSSNNWAYKIGLEGEYYSLFKPDQGSNQRWIPQSEPPKNQPLTWYKVGVDVPQGDDPVGIDMQSMGKGMAWLNGNAIGRYWPRTSSMDNRCTPSCNYRGQFSPNKCRTGCGQPTQRWYHVPRSWFHPSGNTLVIFEEKGGDPTKITFSRRVVKSVCSFVSEHYPTIDLESWDNSTTNGGLAAAKVQLSCPKGKNISSIKFASFGNPSGTCRSYQQESCHYPNSLSVVEKSCLSMNGCTISLSNEGFGKDLCPGVTKTLAIEADCS >Et_4B_036939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12868748:12873659:1 gene:Et_4B_036939 transcript:Et_4B_036939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILQEVAKLAGECIQMERDGRPEMNEVVIRLWMIRRISHQGQRRVDLFSWARKSKSALAAVVSIPINCMHDMIRVMAIRTRSFLSDIWRQFIFSRTKHRYFSFSEMKQATNNFDKSLLVGESALAGVYRGEVDGGRTKVAIRRFKSWCMHYAHEFKAETQMMSKFRHCHLVSLIGYCDEKDEMILVYDYIAPGSLHERMYNTQDPPLTWKERLNICIGVACGLDYLHRGTKHTIIHGKLKLTNILLDENLVAKITDAGLSKPGVPIDLRIFWDCHVLNDPEYARYRPLTVKSDVYSFGISSVGGLSRAMFRVENQQHMWLMFGTMRCGINEKMLVNSLSAGSAELLQSVFLNRVLVVRPWEMCFRNLRIFFGSKDDQTWLRSGCLWIAWTSYQKTTNFIQGADRSKWMVLSNRNIKCFTKGEIEIITNNYETMIGRGGFGEVFKGFLEDTSMDAVKRFIQNVKENFAKELAIHREINHKNVVRIIGYCVEDNALMMVTEYISNENLGDVLHRDVKPANILLHGSFNAKLSDFGISRLVNTDKTLYTENLKGSIGYMDPLFALDGCLTVKSDVTVSEGP >Et_3B_030218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31432223:31435244:1 gene:Et_3B_030218 transcript:Et_3B_030218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVHVNGSVHGANGTEERLDELRRLLGKSDGDLLKIVGIGAGAWGSVFAALLQDAYGHYREKVQIRIWRRPGRTVDRSTAEHLFEVINSREEVLRRLIRRCAYLKYVEARLGERILYADEILKDGFCLNMIETPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEEISKYWKERISVPVIISLAKGIEASLDPIPHIITPTQMISSATGVPTENILYLGGPNIASEIYNKEYANARICGSNKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSIKGKGMIQGISAVGAFFELLSQSSLSVQHPEENMQVAPAELCPILKRLYRILIKRELPVRDILQALRDETMNDPRERIEMAQSHVFYRPSLLGKP >Et_9B_065752.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:10085567:10086292:-1 gene:Et_9B_065752 transcript:Et_9B_065752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHGSSGSAAQQQASGIIRMRKSAWSSEEDAALREVVSRHGPQNWERISAAVPGRNAKSCRLRWRQHLDHAVVQASTPFTADEDALVVKYQRVFPNKWATIAGFLPGRTDNAVKTRWNSVLRKHQQQQQEQAEAEAEHHHPVPRRHDRRTLALFPLKRGDLRMQDREGPVLEYRATPPAERGQSGGACLHFFPLTPGDLVRRSSTAGAAPMDVDAGAGDPLTELKLGQFNAMVVQAVRAP >Et_5A_042521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1809128:1810775:1 gene:Et_5A_042521 transcript:Et_5A_042521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGGGHVTKPRPQARGRRQHQATSLPLDIVLEIAACTDLVTLVRCAATCWDVRRRVADDPAFRRRLRFRHTDRFVSSLLRGHFVGQESSYGLNEKSELYLVDTTAADATKVRKVTGGFPSGPLASRDGLLLLRAGKELRVCDPATGRSQVLPSGPTFPRPFKYVLLPGDSEGGGAGAAIVRPFQLLIAKLALSQHRRHLQIQIFSSEHGTWGPYTEIRIPNFCGSRLLQDLGTAVVVGGAVHWLCMTNSGSYVIKLHVRAAQVTITELPESFPQDRCDTRHLLATTSPGGSPIVLVVDEEKILALSQSKQTMKWKQQPQVVIDDDELCRYTLNMGGVRPAWVTEKVKLHWFAERSGLVLIEIRYGGFIWLDLRTMKMRQQ >Et_2A_017959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8292424:8297004:-1 gene:Et_2A_017959 transcript:Et_2A_017959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEIMPQGVRAVPDIQTPKLYSKNDSSAEISYLNQSSVAVASRLAKPDSSSKPSSEVESKVQEPNSMQNESVEPATSIKISMELSEKKMVLECSVDVKTEVMEHGVHKDEDLANANGSGRLIKRSETAERGISSRYRPSNSSDVSDESSCTSISSINKPHKANNSHWEAIQMVRTRDGILGLSHFKLLKKLGSGDIGSVYLSELSGTKSYFAMKVMDKSALASHKKLLRAQTEKDILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQHGKYFPEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTVIRSSNPDTEALRKNNQASCAQPACVEPSCMMQPSCTAPTTCFGPRLFSKSKKDRKPKPEVADQVRPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGVFLYELLFGKTPFKGSGNRATLFNVIGQTLRFPEYPVVSFQARDLIRGLLVKEPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDVPSSAADTTAATGAAKKDDGGVRLLDLVLLFTGEGDSGGVGSAVATAVTSLPSQIILTSQYSVSICSGLALLLSIIPTISEKKEKMNDSLHRVDINPLEFCSCNFATDPNPKYS >Et_1A_007513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35481451:35482718:1 gene:Et_1A_007513 transcript:Et_1A_007513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYTPKAHTKKVVYRPLPTGQLKGEPELLRKEPHSSGKMEKPPKRSSKSEHRLPTPQSDRGTPDSLPDSGPTDEYRALRRQYLMLEEENFALDRELSMEDEEIKALEAEKSALLDQLVVLEGLVDPSEMQPQLGCDGQKPAQENHRTYGPN >Et_8B_060428.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1517912:1518286:1 gene:Et_8B_060428 transcript:Et_8B_060428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTIRELLYTRASLDPSSRQRWTVQAGSDGWLDPRGGRYFVHLRRRAGGFRAHPRCSNLPEKMLDAALHPHGRLVLRGGGNGRARCLKCGKTTDGRTAAAWSYQCTDHSDIEICLPCVLGKRRR >Et_1B_011217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18979349:18979868:-1 gene:Et_1B_011217 transcript:Et_1B_011217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKLLIPRKRKKNETLTGVTNDLTGSHNTIYMHTQHVLSTLAKKKKRPCDVAFLTTRTGTRRCCWMWNSGWTSGATGVPEGALAVDRDGQRVRQPQGAETAKSTTGRTTSSTTSWAPTGHAYTRSIQLLYGLLAALDIIFEEGPDNIVKEAQLPRSAPPQ >Et_7A_052465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8291191:8292951:-1 gene:Et_7A_052465 transcript:Et_7A_052465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEPMTEYEKQRLSRIRENEARLEALGLRGLAASLLPRNPSPSAAAKRKQKGRSGDEDEEYVPSDGGGGEEDEEEEGSSSENGQDDDVEGRSKSSSSSHAKGKKKKLLNSSKSSKSTVKRVSSSISDFVDDDTALQEAIALSLAASCERSVTTAEAESSSKGMEGNEGTPHKKHSIQDAAKNRKIKKMGKSKIQMTEDDVVAFFFSFDEVGKGYITPWDLERMATINDFIWTDSEISNMIRCFDSDGDGKVCLLF >Et_1A_009392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4617292:4622454:-1 gene:Et_1A_009392 transcript:Et_1A_009392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAEIASFPYLSPSSAASFNPHYHVATDDFLFQYSNFLVPHSTSYQDVAHLVHEASFPVGNKSNSDESDDYQRSLAEERRRRRMISNRESARRSRMRKQKQLSELWAQVVHLRNTNRQLLDQLNHVIRDCDRVLHENSQLRDEQTKLQKQLEKLPEVTTEGSDMGRTLEHKTINIPVTTPIDPVRGEHISTRSKTRRPRALEAAPSMHKHVASG >Et_8B_060720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5509261:5512183:-1 gene:Et_8B_060720 transcript:Et_8B_060720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSARQCTCQQEGGEHYLLSVAVSGICTRDMPPGRTFVHTQSKAKPQDSKAREPPLGKRTRTAEAMRPGAGFNATAAAAAKAVVAPAAAGGAAHSAWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLEGGAGRGEDDGSAADGGKPAASDLPPPIWEEKILVIMAGDVKPTYLATPMSSRASSFGDRSSKGDEEGEKKVQEVAMASIKDAEVNGEHSESRRESEEENNIPEVPFDFWVRWLEWFEIVGEAP >Et_9A_063472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3639639:3644125:1 gene:Et_9A_063472 transcript:Et_9A_063472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEVIEIGGAGLCVGTTLDPLTSKLDPCVHWSGARLMDNDIRISYTQSACDELRYCPASSIEGLDHRSDCMTAGRQGMLDPINPLPFRLAFVTHLQAKGSLLGVARAEKRQRLEMEMVTLEDTDPFNCDVCCHPLKPPIFECENGHPLCSSCRDKLAPVGKCHMCRIFTHGYRRSRAMEQLVEALYVRCPNAAPGCNVKPLYYDLASHSQALRHSLLASLHL >Et_6A_046909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22177247:22181144:1 gene:Et_6A_046909 transcript:Et_6A_046909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLRHAIAAAAALLAIAAVCVDASSTFYSSDPNLGSARVVFQVALELPLLTNHGDIEFGFFPHIAPKTVEHIFKLVRLGCYNTNHFFRVDKGFVAQVAAIVGGRTAPMNEEQKKEAEKTVVGEFSSVKHVRGILSMGRYSDPDSASSSFSILLGDAPHLDGQYAVFGRLTKGDNTLRKLERLPTRREGIFVMPIERIEILSTYYYDIDVESCEAEKSILRRRLSESASEVERWV >Et_5A_040221.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:23760279:23760762:1 gene:Et_5A_040221 transcript:Et_5A_040221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKTSTGRKKVEAKHINDEEAPQICFSKRRQGLFNRANELSILFGAMVGLVVISASGRSYSFGHPTIDVVTNRFLPSVTATSSNGANQDASGLIENVRQLLEQYIELQRLVEEEKKRKESLQEAIDKEKGSRMMQWLNADIGDLGV >Et_7B_054049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14697649:14698712:1 gene:Et_7B_054049 transcript:Et_7B_054049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQILQSIEGAAEDLPIGQGGEAALPNLGASSPCPLLPQDLWRPLCSLTWPWLPAKKKALCPKEEEGMKGGARYLVFESGALQKLEYLEMPFFVSMAKNYNFYLGIKHLQCLKVAEVTFYIRDATPDDIMAAEIAVMSEATAHPGHPR >Et_3A_023482.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30167893:30168096:1 gene:Et_3A_023482 transcript:Et_3A_023482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLVTAGTTPAAAMDTFYDLLLPKVLGDPANTSSCKVVVTCLYAAKATQAFITRLQRGHKVSVSNQ >Et_4A_032909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15562248:15567718:1 gene:Et_4A_032909 transcript:Et_4A_032909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRVDEIHKILRERIEQYNRKLGIENIGRVVQVGDGIARIICLGEIMSGELVEFAEGTRGLIAIDSMIPIGRGQRELIIGDRETGKTAVATDTILNQKGQDVICVYVAIGQRASSVAQVVTTFQEEGAMEYTIVAQAYRQMSLLLRRPPDHEAYPGDVFYLHSRLLERVAKLNSLLGEGSMTALPIVETQSGDVSAYIPTNVISIIDGQIFLSTDLFNAGIRPTTNVGISVSRVGSAAQIKAMKQVAGKSKLELAQFADSRLIPDKANLGFRFPSDGPGRGGTCQVSAWDHVFLGLFWIDFPDQQAPVATDEGPGIKRRLTLDRDGNLRLYSLNSSDGTWMVSWIAVSQPCNLHGLCGPNGICHYLPAPTCSCPPGYEMNNPRNWSQGCRAVADISCGVEQADSVKFVRLPDTDFWGSDQQHADSVSLQECWNICLRDCKCKGFQYLPGSGTCYPKAFLYNGKAYNAPTKWSRIMYLKLPMSVNISGKPIPQTNVPVSRNQHLDCGWTTPTPMNLDVHKASAIFVLEAFFIAFAWFFVLRWELGASEMQAVEKGYKAMNSNFRRYSYKELVKATRKFKHELGRHSWEFWMKVELWLLRCFKM >Et_7A_053192.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:9715726:9716418:-1 gene:Et_7A_053192 transcript:Et_7A_053192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATRHGGRVVHCPPSAPYSSRPGQASLAREDGPGCKACCRCCFCLAAIAAAIAGIVLLTVFLERRFPKEDPVYSAAIAGVAGLDAAVLGAAPLSPVFNLTLRIDNTRNSWDSACVRALSTAVVSYGDAPLARGSVPPFCAKEMREREGEMARAWGEGVVLPPFLREQLAGELAAGEAAVDIKVTMPARCHDCSDVVLSCSKVRVGGGPSRCRVDYVYPRHDAAADSTDD >Et_1A_007445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34630529:34631195:1 gene:Et_1A_007445 transcript:Et_1A_007445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVHQALHRSRDEKAFSGLKTLQKTLSAVCEIALNRYLASSTIGGEGCSSRNLSVGTKREDWWSNLLATKK >Et_2B_019512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23287:29173:1 gene:Et_2B_019512 transcript:Et_2B_019512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACSSSSPGGSVCASSPPPPPPPPRAMKRELAFALQSLSEISASPGRTRSGRPISSLPNPASSVKRRKRSDTPAADLVSPPTPPIDAEPPTLHDVLGPSDVSNPNAAPEVLVLQQAAREAEVVPGPDAPFHDSAAVEDYSAAGPAVPVQDSAAGPDAPAEDSADAAPTQPEPSVAAGSDKCDDSNSNGGNLQMHAADNALAPVPLAAQDTTTPAATTELKPGRRFTRSLLKNKPDEEEATSTECQATPQHSKDASVDSALVPQRRFTRSLLKTKVEASLVGSEDVPDSTSDSPPSVKKMEMKMSKKVACLTKHPGNIRELLNTGLLEGMPVMYIIPHSKKPVLKGVVTGCNIRCFCPSCNGSKAVSAYYFEQHAGSTKKHPADYIYLGNGNSLRDVLRASERSPLEALEKTIRSAVDPAVFRSIANCLNCDEHIPPSSQPECVLCRGCLESKQPQDLPTPSYACKSDFSLTPSSKDYLVKKISSGKKDGSAGKVTNKDTGLHKLVFNVLLDGTEVAYYVDGQRKVDGYIKDQRIFCNHCNKVVSPSAFEAHAGEGSRRKPYDNIFTSNGVSLHELSMKISKDMGRSEHEIDNLCRECGLGGDIFPCKICPRSFHPACIGLSGVPSEWYCDNCRNLVQKEKALAENKNAKAAGRQAGVDSIEQIMKRAIRIVPISDDLGGCALCKQKDFNNAVFDERTVILCDQCEKEYHVGCLRSQWQVDLKELPEGEWFCCNSCSELRASLDKIMSSGAQLLSEPDMDIIRKKHEARDLSMDTITDLKWQLLSGRSTTEDGSVLLSAAVPIFHQSFDPIIEAHTGRDLIPEMVHGRGPKDGMSGQDYSGMYCAVLTLGSTVVSAALLRVMGGDVAELPLVATSKDLQGLGYFQALFSCIERMLVSLKVKHFMLPAAQEAEGIWMKKFGFSRIPQEQLETYLNGAHLTIFYGTSNLYKAVPLS >Et_8A_057689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6052498:6053475:-1 gene:Et_8A_057689 transcript:Et_8A_057689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDETLAGPRPDSGLSKLRKSSSFSRFSWSSSSPVAANDAPPAPAMTRSTTMTALSVDASPRGESYSSSGPDSPASTLDSPFTSATTPKGEGWRNFRRKPKVADGPEPVFAPRSPTVYDWVVISSLDQ >Et_6B_049282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2767376:2778161:1 gene:Et_6B_049282 transcript:Et_6B_049282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVCTIIMHFFLVLVAFTAQFVICIPSYSNETDRLSLLEFRKAISLDPQEALMSWNESTYFCNWEGVICSMRIPPRVISLNLTNRGLVGPISPSLGNLTFLKHLVLSQNAFNGYIPPSLGNHLHRLEYLHLDNNKLEGIIPDFANLSNLLLLHLGRNHLTGQIPVYLPHRLQNLSLTNNNLTGTIPASISNITMLEYLDCSYNNIVGTIPIEFGKLSRMQSLALGVNKLAGNFPNAILNISTLASLALTCNELSGELPSNLGRSLPNLQKLLLAENFFGERIPNSLFMNASHLNHLDMAGNKLTGLVPSSIGKLAKLLLVNLEYNQLKASNKKDWEFMYSLANCTELNYFSIAGNSLEGHVPDSLGNLSVQLQTLYLGLNKLSGGFPYGISNFPNLIEITLHDNRFTGVVPEWLGSLKSLQVINIQDNNFSGIIPSSLSNLSQLGYLVLDNNQFDGHLQASFGNFRMLDTLSISGNLISGIIPKEIFGIPTLRQLVLNLNNLEGELPTEVGNAKQLVNFVISSNKLSGEIPNTLGNSESLEFVHLGWNSFSGSIPVSLGNISNLQVLNLSRNNLTGSIPLSLGNLPLLEYLDLSFNHLRGEVPTHGIFCNVTYLRIHGNPSLCGGAKDLHLQACSTMHLKPMLQKKSVIKKVVMLLGVMMSVAILLSVMLTWCGKERSKSVTPPSFDQKYPKVSYNDLARATDYFSSSMLINKRRFSAVYQGKLFEERIIVAIKVFSLETKGALKSFIAECNALRNVRHRNLVPILTACSSIDSDGNDFKALVYEFMPGGDLHALLYPSRDNRGTSTPAIITLTQRLSIVVDIADALEYLHHNNQRTIVHFDIKPSNILLDENMTAYIGDFGLARFKGDSAISSFANLISTSSIAIKGTIGYVAPECATCGAVSCALDVYSFGIVLLEIFLRKMPTDDMFTDGLNIANFVEMNIPDRISQIVDPELHEYQHDDGILQEASAAVKERTFACLLSVLDIGLHCTKASPSERMDMREVAARLHEVKNTYLRDVHVITCSSIYGNETDRLSLLEFKKGISLDPQQVLMSWNESTHFCNWEGVVCRMKDPRRVTSLDFGNQGLVGNVSPSLGNLTFLKHLSLATNMFTGQIPPSLGQLHRLQTLFLSNNTLLGIIPSFANSSRLKALWLNNNNLAGEFPDLPLGLQQLQLSSNYLTGTIPSSLGNITSLKKFSCAFNSIKGNFPNEIAKLSELQILYVGSNQLAGRFPQAIMNLSNLVSLGLNSNNINGVLPSDLGNSLPNLQLFAIAMNFFHGRLPSSLINASNLNTIDVPNNNFSGVVPSSIGKLAKLSKLNLEMNKFQARTNHDWEFMISLANCTELKGLSVAGNQLEGPVPSSLGNLSTQLQHFYLGQNQLTGAFPSGIENLRSLIILGLDYNQFIGVVPQWLGSLRNLQKLSLSTNMFTGPIPSSLSNLSQLVELLLDTNQFSGHIPTSLGNLQTLATVTISTNNLLGRVPGEIFGIPTIAQIEFSFNNLDGEIPAQVGNAKQLMYFDLSSNKLSGDIPSTLSNCESLEDIELGHNSLSGSIPTSLGNIRTLKVLNLSHNNFTGSIPAPLGNLQLLEQLDLSFNQLNGEVPRKGIFRNATAMRIDGNQELCGGLLELHLTPCSVIPLRSTNHKVSIVLKIVIPVAVVVSVAVAISVLLFLRRKQKTKSIPLPSYGNEFPKVSYSDLVRATEGFSTSKLIGKGRYGSVYQGILFQDGHVVAIKVFSLETRGAQKSFIAECNALRNVRHRNLVPIFTACSGVDSNGNDFKALVYEFMPQGDLHNLLYSTGDNEDSSCLNYISLAQRLSIAVDVADALAYLHHNHQGTIVHCDLKPSNILLDIDMVAHVGDFGLARFKSDSSRPYFVHSNSTSSLAINGTIGYVAPECAGGGQVSAAADVYSFGVVLLEIFIRKKPTDDLFKDGMSIAKFTEINFPDNVLQIVDSQVLQDLDISQDTTMTITDNGVGSLLSVLDIGIRCTKPLPNERINMQEVASKLHGIKDAYLRGH >Et_6B_048723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1341951:1345694:-1 gene:Et_6B_048723 transcript:Et_6B_048723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAEETCSAASALAHPPALLDYSAIHSCLLRGDARLSLPLLALLLALHFRLLAAAAGARFTPAVSRLAARLRLSPSMAAVTLLALGNGAPDAFASAAALAGPGGMPRAGLAAILSAGAFVSAFVVGAVALIAAPFAVPPASFARDVFCYLVAASGLFYVYLSAEIFLWQAVGLVLFYAFFVGLVFYMDLGGEGKAVSAAELEMASGIGRVAMDLPVTVEDHKKQDPTLCAVLSKVTKVWEWPIEFVLKLTIPSTLPSEWSKVYICANICLCPLLLLYSFSSFIPLDTRIVFLLPQIRFPLWSVVLFASFCLAISHFLFEKEAPQTENIASTLISFIMSVIWISTMAGELLNCLAAIGTIMDFPPAILGMTVLAWGNSVGDLVADVALAKAGQPTIAIAGCFAGPMFNMLVGLGTALVVQTARVYPKAYVLEFHVGIVVAFVFLLLSLMGTLLVVTWARFRVPRFWGYCLIGLYILFTIVYPKKCAHASKQQMKY >Et_6A_046816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2126553:2127307:1 gene:Et_6A_046816 transcript:Et_6A_046816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPVYRRVLKAVQKHVGGGASKQHFRDFVAAEFRAPVGSEADARARLRFAGDYAYLLTSVHHHKDLLFSYNIAVDRSDEMKKILNKSAASVGLQLPDVYQP >Et_5A_041305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2090205:2104742:1 gene:Et_5A_041305 transcript:Et_5A_041305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPRAAPRPAVAAAARPPLFRAAPPLLLAGRAAAARRLRARGPSSLAAARRNWAVPARAVLGGVDRREKAAAPQKPTQEATDLNDILSERGACGVGFVANLRNVPSFNIVRDALVALGCMEHRGGCGADNDSGDGAGLMSGIPWDLFDDWANKQGLAPLDRTKTGVGMVFLPKDEKSMAEAKAVTEKIFKDEGLEVLGWRSVPFNESVVGRNAKETMPNIEQVFVKVAKENNADDIERELYISRKLIEQAKKSESWADELYFCSLSSRTIVYKGMLRSEVLGQFYLDLQNELYKSPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMRSRETTLQSPVWRGREHEIRPFGDPKASDSANLDSTAELLLRSGRSPAEALMILVPEAYKNHPTLSIKYPEAIDFYDYYKGQMEAWDGPALLLFSDGKTVGATLDRNGLRPARYWRTSDDFVYVASEVGVIPMDESKVLENTEVKKSVASAYPYGTWLQDRTRSIKPVNFLSSTVMDTETILRHQQAFGYSSEDVQMVIESMASQGKEPTFCMGDDIPLAVLSQKPHMLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRGNILEVGPENADQVALSSPVLNEGELESLLKDTKLNPKVLSTYFDIRRGLDGSLDKAIKALCEEADAAVRSGSQLLVLSDRSEEPEPTRPAIPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHHFACLIGYGASAVCPYLALETCRQWRLSNKTRNLMRNGKMPTVTIEQAQRNFIKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLNELGRETLSFWVKAFSEDTAKRLENFGFIQSRPGGEYHANNPEMTKLLHKAIREKSENAYTVYQQHLASRPVNVLRDLLELKSNRAPIPIGKVEPATSIVERFCTGGMSLGAISRETHEAIAIAMNRVGGKSNSGEGGEDPIRWNPLTDVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLINPKAKVSVKLVAEAGIGTVASGVSKGNADIIQTLIQNGLRERVVLRVDGGFRSGQDVLMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLFVAEEVRATLAQLGFEKLDDIIGRTDLLKPKHVSLVKTQHIDLGYLLANAGLPKLSSSQIRSQDVHTNGPVLDETILADPEIADAIENEKEVSKTFQIYNVDRAVCGRVAGVIAKKYGDTGFAGQLNITFTGSAGQSFGCFLTPGMNVRLVGEANDYVGKGMAGGELVVVPVDNTGFVPEDATIVGNTCLYGATGGRVFVRGKAGERFAVRNSLGQAVVEGTGDHCCEYMTGGCVVVLGKAGRNVAAGMTGGLAYILDEDDTLIPKVNKEIVKMQRVNAPAGQMQLKGLIEAYVEKTGSEKGATILREWEAYLPLFWQLVPPSEEDSPEACAEFERV >Et_5A_041423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22423599:22426388:-1 gene:Et_5A_041423 transcript:Et_5A_041423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGLHTRAARKRCAGSSGGMRRRILLMRSSMSAGGELGWRGGAMARVGRVGDLRIWVSSKCATRRYPRSFGNGPQQQPRWSHPDTEQSTAPEYGYEGTGTCFWSPVMACQTIRRGRCGSRGPTSAAADRHCEDKASVRAAYVVGFVVEEGGVRWNSRCRWRKAGTERRPSQALQTREARMRWAGSSGGMRMRISAMASA >Et_3B_028653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17701129:17703413:-1 gene:Et_3B_028653 transcript:Et_3B_028653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KWNNEGGRKKEPIESRPSRNVSLRNGPPLELRPVRQARQPTEEVDRRGRHWVPEHRVAQVVEAAGDGHHLGRHGRDGDGEADSDEDPAGARVPPADERLQPLALRGDVAHDALHPPGERPEPLVAHAQHVGRRDRVELLLELVAVDRVRLRQPRVHPGGAPGPEPVVPDADHEDVERRRGHGELRVPDEDEVERVHEHGGGRAAPAPHAVEPAREAHGPGQHAHDAEPVGLGPPHAPRVRVGVQHVAHHLGPQRRLGARQPGRHRADGGPAERLLLRDADWTQVVHPRQERGGVGGPRVGQLLRRGEAHGELDLAHLLESGPQEHQERVGRRVGAVGLQRGHAQPHLADAVVLAVDLAAAAAGGREHAPIVEDGDGEHGAGVVDLPRVLLVPPRAEAVGDGACAHPLLAVLGDAVGVRGALGAAAFRLHGKQAARADDAQLQHAHGRPPQLLRRGAVVAAHVRRLVEHVVPALQAEAEVEAPGVEPRRAPVMVGMVVAHAGRTRAFEAEPPGRDDLALVEALLDGADRDGGVAQQGRLLDHGAVLHEHHQRVVERFGRDEHEVLGPRERRAAAAGDRAARARGLEAQLDLDADVEGHARRRRRHAEVLRLDDRRAEVPELGRLAAYGASVFHASGNASSACVPIHTDTITSPVTAPGSPPSSLYHCGASGPPSGWSRTGTSERSKQTPPRNASAGEGAPPSHTEISSVRGWSGFPWLMANVWSHSGLPVPETSRPGRERSWCGPACTFT >Et_7B_053944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13676384:13677595:1 gene:Et_7B_053944 transcript:Et_7B_053944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAITVLFPDPLAPTSAWLCPARIRRLKSLNTITSGRVGYAKSTCRKSISPRTDSTCSASGSSGSMRVRLLRMANTDRMDSPPRTISGARLTVSATELAVMTSTTNTLMTLEKVDTWVRTRCAPNQRMSASDAYSESWESPKSAPEIWLRRRLCICGTRSSLQKRDRILSCALNAATVRMLLTPSPAIMLVLACAFAVSPANPFIDSCCQIIQSEIIASKKPRADERLYGPVCPRRENERLG >Et_6B_049518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:676125:679541:1 gene:Et_6B_049518 transcript:Et_6B_049518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPLLLVCLAASAGAGAESPLPPATALFVLGDSTVSCAATTLPLNLTSPSLSTGPCLFPSTRRLLPALLAAKMGLPPPPLISTLNGTAAAVAWGVNFGGQYGAGGAGGVFRAGAAVGQQLRLAAETLQLLQLEAATSPDAAERTARAVFVVSFGADAYARLLARGPAAADAAAPKHGRRGFGRLLADRIVRTVAVQHCHLFFSRSSQLRFTKHDRFDAQYLAGVQELYEAGVRRVAMMGVAPLGCAPRVMWDGMNVLNGHSCVEEANELIRGYNARVAAQLDDLRARLPDADIVFCDVYKGMMEIISNPGRYGFEEAREACCGLGPFKASMGCFSKEMACRSPERHVWWDLYSPTEAIDALVANSSWSPPLGSDLSICSPITLQQLAGSPA >Et_10A_000837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1842728:1854500:-1 gene:Et_10A_000837 transcript:Et_10A_000837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREATSQGAYQGENPLDYALPLAILQICLVVVVTRGLAYLLRPLRQPRVIAEIIGGILLGPSALGRSSKFLHAVFPPQSMTVLDTLSNLGLLFFLFLVGLELDISTIRRTGKKALAIAIAGISVPFALGIGTSFAFRATIVKGAPQGPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAIALSGSGSPIISLWVLLTAAGFVIAICLFLRPVLAWMARQSPEGEPIKEVYICATLSIVLAAGFVTDTIGIHALFGAFMVGIVVPKDGPFAGVLIEKVEDLISGLFLPLYFVSSGLKTNVATIKGAKSWGLLVLVIANACLGKIGGTIITSLFVKIPIREAVTLGFLMNTKGLVELIVLNIGRDRHVLNDESFAILVLMALFTTFITTPIVMAIYKPARPSAPYKRRTVECTPGDADSELRVLACFHSNRHIPTLLNLVEASRGTGRRRLTMYAMHLVELSERSSAITLVQRARRDGMPFFNSKEQRAEQMVVAFEAFQHLSAVKVRPMTAISDLDTIHRDVIDSAADKRAAIVIMPYHKTLQHDGSFHSLGSAYHAINKRVLREAPCSVAILVDRGLGGHAQVSAKNVSFSVAALFFGGPDDREALAYATRMAEHPGVAVTLARFQPNRPQSDEENHADEEAVQAFKAKVGAVKDGSVHFEEREAYTREEVLETIESLSSFNVFVVGRMPPTAPLVENADELGPVGSYLVSPAFKTSASVLVVKRYDPATNPKSKRFDPEARPPIATEEDVLDEETGSATVVPVSRSP >Et_8A_057295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23282367:23286195:-1 gene:Et_8A_057295 transcript:Et_8A_057295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHKRDRQRQIRVQSESIVSVDDRKVLPRRMFDDADSHAAKIMGCSNTDLPEDIWCHIHSLLPMRDAARVACLSRSFLRSWRCHPVLTLSRKILASKTKARPGEFICKIDRILSNHSGIGIKIFNLRLIGIFDACPYLDTWLQIAVKPGIEELTLELCGRCKIKYNVPCSLLSDGVQNSIRYLRLFMCAFRPTAELGPLRNLTSLSLYFVCVTGKELECFLSNSPTLEQLDIKECNEIVCLKIPCVLQKLSSVKISSCFRLRVIESKAQNLSSFYAMGHRVENISLGETLQMKNFGTHRTDLVYYARTELPACMPNLKALAISSGYERVNTPMLPTKFMFLKCLTIYLASVGSFCPSYDYFSLASFLDASPALETLTLDMPDHHHGCLKTVKITGFSSAKGLVELTCYILKNAESLECLTLDTLCGTNREAPRAVAAIRVYIEDKVPATVKLTVLKPCRRCHANGGFK >Et_6A_046801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20855982:20866158:1 gene:Et_6A_046801 transcript:Et_6A_046801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAVSGHRGLLLPLLVLVIFTLSAQLQRCASESATCLAVYREGGAPAVFQSAHCPRWTLLPAGSEGDGAQRSPRGFHVATDRGRRRSQEDRAVCALGIRIPFIERMRIKEVDVGVVAVFDGHNGAEASEMASKLLLDYFLLHVYFLLDGIYSIMFRKSIGQLTNREIAILNDVFNMYKEDHSNHGEGSCWISPAILDHSYHMEVLKESLKRAVHDIDLTFSKEGRRKRRRNSRDHDESALANYDGPLYHARELTKDHHPDREDERSRVEASGGYVLEWAGVYRVNGELALSRAIGDVPYKRYGVISTPELTGWQFLSPNDSFLIASSDGIFEKMTMQDVCDLMLNVKLHTNQESGSFGTTQPNLADYIVHRALKKGTTDNVASVVVPLGSPSSSSTTFEDWSHLEENPKTSILPLQNVPYQLKDDDGASSAVIDMEYFKQSSTKFQRFLVESKFKKLGCFYLSESLDEDMDYIFRVPEAYQHQGVHDSETILSTDGNLEKYKDRNFCWYLGHQDGEMERCNSPDVFTNYFGLLDSIPHSGSKPSGSHSFGHKITDFRYKLKKRFDRGSYGEVWLAFHWNCSEDVDVHKNPRHFTTIFESGSYNCTSSNTMSSDESDVSDTVDGDLFILKRIMVERGHDAYLSGLREKYFGELFSNASRTLEGLSRTASSYTLFSVDMQFDPYIRLEKNLSATEEPLKHVARFIESFESESREIWLVYRNEGRSLSKLIYTAEETKLVTGSDNERVRHIQVLQPSKWWHWLRTTKDGQKQMQNLLWQLLMGLKACHDRNITHRDIKPENMIICFEDVETGKCLREVPSVSKQNKLNMRLIDFGSAIDDYTLKHLYDSGPTRSEQTFEYTPPEALLNSNWFQGSKNARLKYDTWSVGVVMLELIVGSPHVFQISDRTRVLMDQRLEGWSEQTKELAYKLRSYMELCILVPGISLQHHGSVGPEQGQFGLASWKCSEESFAHQVKIRDPVKLGFPNLWALRLARRLQVWHPVSLLNPAAITPIHVSEPYIFLEEC >Et_7A_053197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9931202:9931839:1 gene:Et_7A_053197 transcript:Et_7A_053197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAEVETIGGGGGDGKAAAPVVVVERVVTVEYLEPSMSRGLLGKFPDSSAFDFDYSQSGIWSPLNKHPRAAPDAGDAAASSTDFLVANPKRKARASGCRIKETFGCGGGGGGKSRWRRRRLRRDGSFLDLHEAGRTKLDFAPPSPSPAKEGWRRVLKAAITKFKARQRRSRKAPLLQMILPMM >Et_5A_041106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18379513:18388869:-1 gene:Et_5A_041106 transcript:Et_5A_041106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARFLAHLLFLLSLLVAAASAARDLPDAAIAAIRDDLDDACDETMFPELCVQALSAFPESRAAATPRRLAELAVQAAVDAGRAAAAAAPGELSGAADDDALYRCLDSCSDDVEEAVAHLSALSREPTDAKFLETRSWLSSALAGAAAYEAAVCADAPAGEAKDAAVARSVEFDKLLRVTLDLITEASGSMRAKVALPPSAKPPTYGAPYGAPYGAPYGAPYGAPKPKPKAPAPAPGYGHRRPRAPPPKPRRAPAPSKPKTPAPTPSYGAAKPKAPAPSPSYGARMPKAPAPSPKYGAAKPKAPAPSPSYGHRRPRAPAPSPSYGASKPRAPAPSPSYGASKPKSPAPAPAPLKSHARPRAPAPSPSYGAKKPQAPVPAPAYGHRRPHPRPRRAPAPSPSYGAARKPRAPAPSPFAAGAPSYGARRPRAPAPAPSTEKGNTKNRVMAMAQALVPLALFFLLATPAPAARTVADTVQELCTKTQFPKVCSGRLAALPDSQKATPRKLAEMFVNIAAEGGSGMAAFVHGKLNSVAKADDSVFKCYDSCSDDVEEAVAHLNGLVREPTDGKFLELKSWLSSTLGGASACEDACKDAPKSGGDVDAVVKYSVDFEKLLRITLDLINEASGSMAADIALPPSDAAAAPAYGAAAFGGGAAAPGPSVWFQEPDASAAPGPSVGFQEPDASAAAAPGPSVAHSEPDASAPAGEAADAPATHAAGGGNSTSTLLEKACSGTEFPGLCVDALSPIPESRAASPRRLAELSFGYLTARGPALQAAAAAKDAAMLDCLREIDENIDRYVVVFGGLSPEKRDADFAEAERRLMGVLDYPSVSGISCSEEQMGMPVPVGIKNYEAMMQVTLDLMMKVMQVPSPLDDDYADAPSSA >Et_3A_023755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11924547:11926210:-1 gene:Et_3A_023755 transcript:Et_3A_023755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASLTLRPPTTAFPFPIGAGGRSLHHWSPPAAPVPLPPAVTPAVPRRLLLPAAAGIWDFVSGGQGGAAASFLAVRRGMELFRQGDVAGSLADFDKAIEMDPQQKQYLWQRGLSLYYLDRFEEGAEQFRLDVAANPNDTEESIWCFLCEAQLYGVGLDSRPVMREAYALFKDGGDPEKLVSNFSSGSGGEVFYSSLYAGLYYESQKNSDMAKSHIVTACKSPYGSRSGDYMASLAFVHCQCRGWILEG >Et_3A_024345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19947795:19948947:1 gene:Et_3A_024345 transcript:Et_3A_024345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALISGEFGIVVVRLVPPPASVFCCSATIHVSSFCKCNLIDIPFSVDALRFPQLRQLALDQVKISEASLHAMISDIPVSELLLLIELIVENAPCLERLINLQPCMDIRISVISAPKLETLGFLSDFSGFMFTARLSSLSTAAVHSVKILAISDQIFDVDTVIDLMKCFPCLEKLYMKITNYVSKASNEWKHRDVVKSLDIRLKTVMLEPYEYMDSHVDVASFFILNAKELELLEMDKRASRRARLEFAVEICPHDYLHIEHVRDLSLGDPFECGS >Et_1B_013820.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:18234036:18234578:-1 gene:Et_1B_013820 transcript:Et_1B_013820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLQLPTTPRQQDGQGLENVTTCYTDASFRHRPDGRPTVAGIGILFCNSSGPIRPAIEVKAVATQVSSPLQAEAMALLLASRMAKALHISSMRYATDSKQLVDTLSKGDYQADPAHWTLRPLLYEFRSNNQGISFTMRKIAREQNTTAHNLAQQVNAMDASALSIHLYSSSSSPTMPH >Et_2B_022620.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2831793:2833367:1 gene:Et_2B_022620 transcript:Et_2B_022620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDLTAVHHPCQDMDTLTILLLALFSLLGYRLISYYYRLESNAVVPTEWPVLGHLITVIANIHRLQEWATSALAAVGHNFEVRGGLTGVRYFATCDPSNVRHIFTSNFANYHKGDHFTEIFGDVLGGGIANADGDSWRRQRTEIQMVLTAPRFRAFAARCSVDKVERSLLPFLADAADAGRPCDLQDVFLRLTFDTACSIVFGVDPGCLAAGLPVVPFARAMDDASETCFLRHAIPAPCWKLMRRLQVGPERAMAEARKTIDSFVAEAISQCRADNRRVDSADMLSSFLCHENMISSDEFLRDMAVNLLLAGRDTTAATLSWFFYLLSENPRVEQRLLNELSTHVIASREAGANNTAADGGFVTFDASEVGNLVYLHTALCECLRLYPPVPIEHKAAVADDVLPSGHGVKAGGNILVFNYAMARMEGVWGEDCMEFRPERWITEEGKLRYEPSYKFIAFNAGPRTCLGKEMAFVQMKTAAAAVLWNFSVEVVPEHVVEPKLSIILHMKKGLAVRVRRRNAVKG >Et_9B_064128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11511110:11545304:1 gene:Et_9B_064128 transcript:Et_9B_064128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALHKHRSLQCPRKMRANDNDEEGESEWKVRPSGGSTSCWATNSEIKGQGAKCPRKTRGDGDDAEGECPRKTRGDDDDAEGECPRKMRGDDDDAEGECPRKTRGDDDDAEGECPRKTRGDDDDAEGECPRKTRGDDDDAEGECPRKTRGDDDDAEGE >Et_7B_054743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:399404:401324:-1 gene:Et_7B_054743 transcript:Et_7B_054743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAARCLIANPIVPRSRPASSSALRLPRITRSSTPPSYSTSQSRLVAFASMDAPPQGYRTNIFSASRLDIPSAWQMPQGGIDEGEDPRAAAFRELREETGVTSAEMVAEAPNWLTYDFPPDVREKLNARWGTDWKGQAQKWFLFKFTGKDDEINLNGDGSEKPEFGEWTWMTPQQVIEKAVDFKKPVYKEALKHFAQYLHSDITSSS >Et_9A_063348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20990712:20992037:1 gene:Et_9A_063348 transcript:Et_9A_063348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGVSDYYAVLGVSRGAFAEEMRTAYLKQAMKWHPDKRGKKEADDGEATARLQQIQQAYKDPAKRAMYDAGLYDPSADKDEDVLSNDFLGELVAMMDNYDEGLQNTRDGPATMEELSRLLADIFVEQEQRKKGEGSSSSSSPTVVRIDITITHGGHQRRQAGRAAAPGLPGCRPPPSRAAPPSSVTDD >Et_5B_044333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22858679:22863769:1 gene:Et_5B_044333 transcript:Et_5B_044333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQGCGPAAVAWLLAVAVAVAVAVDAGDLERAFPIVEPDYSHTKLTLAKEGLEAIQRIKTPIAAVSVIGPYRSGKSFLLNQLLFLSCEKGFGVGHMRDTKTKGIWVWGVPIEVDVDGTKVSVLYLDTEGFESIGKSNVYDDRIFALATVLSSVLIYNLPETGQDVAFEPAKLLWLIQRDFLQGKSVQQMVHEALQRVPNNDGEKYIDEINQIRDSLAVMGDNSTAFSLPQPHLHRTKLCDMEDRELDPLYVERRDQLKQMVASMVKPKIVQGRTLNGKEFVSFSEQILEALNKGEIPSTGSLVEVFNKAILERCLTVYNERMERAGLPVSVDKLYQCHEEAKDEARRLFDKQHFGKHHAAQSILKLNEDIEKVFRNFGQANEYQSSKLCEARFSECEDKMERLQVLKLPSMAKFDAGFLICNQSFQLECVGPSKESYERRLSKMLARSRAHFIKEYNNKLFNWLVIFSLVMVVIGRFVLKFFLLEIAAWVMFAFLETYTRLFWSSESLYYNPVWHMIVSSWEAIVYSPVLDLDRWAIPIVVILSFLAIYWRCLGGRKGIARSLLPLYNGSYRNVNRERTD >Et_9B_064731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18144322:18153075:1 gene:Et_9B_064731 transcript:Et_9B_064731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSRSTREWQKLLQEILGEEEGAAAAASLDREEVVINLDGSEYLGTQKSDVANESRSCSNPGSAPWLQVVNIEKPDSVLHIVAASGDSKGHLECARMIYGKATHLLTTAAANSYGDTPLHCAARAGNSDMIAFLIDLVKDEGGVGDHDKARDLVRMQNKLGETALHEAVRFGDVNMVKALMNADKGLASVDAKDGTSPMYLASTLGHKEMATKLHDSGDEPSYSGPRGQNALHAAVLHDKRGITKSLLKWKNDLVKQRDADGSTPLHFAASAKDPFLQFTVSVFSMNFSISYAGIFYFFPSKWILTKVFKGLGRRAIELMASDSTWAFQPDSHGSFPVHIAASADCMVFLFVLLMRHPACARLRNAEGKTFLHVAVEKRRFIIVQFLCLLWGGEPLFKSVVNLQDNNGDTALHLAVREGDMDLCRLLIGSKDAHINLENREGKTPLDLAMEAVKSGFYFGVTAPRRILSILTFAKAQTGNRRRDLVPKYSSRLEEEKESEKIKDFAQIVGIGSVLVATATFTAALTMPGGVWTPGDSKGNNKLAVAAAAPPPAGTPVLAGSFAFDGFVISNTVAFICSTLATFSLVYCGVAAVDIRQRLGLVTISLALLLCSARSFCAALAFALYMLLAEVAYGTAMASIVMTSLALLDGVLFMLASLNDLIAIIRRKKGILITYAPAFLFFNILYPFWPYLVIGEREKDKGTNARAVGSHRATCSVVAATVLFPIPASSFNHY >Et_10A_002076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21619064:21622370:1 gene:Et_10A_002076 transcript:Et_10A_002076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLIRHWKILRGDNVMIIRGKDKGETGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVVDPVTGKACKVGYKYLEDGTKVRFARGMYASGAVIPRPEILKERRKPRPTSPGPKDTPIELVQEKTYDEKAGLGMPDLCYLLEPWQGLHVEGITHSAAIGEQAAFATVDIQITELCKILKM >Et_6A_047751.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:13871916:13872868:1 gene:Et_6A_047751 transcript:Et_6A_047751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATTTASRRAKRATAPLDAAARARIAVLPGSVDSSGSEHEAAALSSLVNEYLFEVDATVPAAAAALTVDQDSDGEDDADKRTGASTAAADVIEEINGILDPATTSDELCRRLAGDVAEAVKDLGDVRGQQNRPAFRRAVMSRLRDRGHDASLCKLRWDKSSGVAAGNYDYIDVVVVVVSGDEKKQRRYVVDVGFAAEFEVARPTAEFAAVRAALPEVLVAPADDGRRVVRAASAAARRRGGR >Et_2A_015408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12078588:12079327:1 gene:Et_2A_015408 transcript:Et_2A_015408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKIVLKLPLDDERKKRKAFKAAVGMNGVTSATMEGDKIIVIGEGVDPIALTTMLRRGLGYAELLSVTSGDDKKKGDSYGYGGGMAYGGGMGYGGAGGKEGKEGKESGGGKDKDKDHGHGYGGGYHAAAPMPYAAYNQYNAVPSYPVYSYPAYPQQEQDPGCSIM >Et_2B_020916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24876967:24878916:1 gene:Et_2B_020916 transcript:Et_2B_020916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGWREEVVALSLRGYGYGDEENDRPEKPRRYGVTEMRSPFYSFRPAHQALQEILDSIGPFVDGLKFSGGCHSLMGKELVREITDLAHKHDIYVSTGDWAEHLLRQGPSSFKQYVEECKALGFDTVELNAGSLKLPEEALLRLVRLIKSTGLKAKPQFSVKFDSSDIPASGDRAFGAYVPPVKEQSSERVEDVDLLIRRAERCLEAGADLIMIEADDVCPRADSMRADVIAKIVGRLGLEKTMFEASNAKTSEWFVKRYGPRVNLFVDHSDVMNLERLRGFDMRRSNPSSRFASPFFLM >Et_2A_016722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27686278:27689429:1 gene:Et_2A_016722 transcript:Et_2A_016722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGPSHRRPGARTSTAVASTSSRRDPEEDSDTAPDELGDDEEVSSSSGSDSESESDGDDERERELERLLADVPFGELQRARADGSLAARPASAAAAEKKARRASKKRPMEISTKVRPPRLKEVIQVPKKVVRDPRFEPIHGPLDKEGFRKRYNFLFDDEFPAEKEKLQKMIKKSKDPNAVEEMKSRITWIDKQLRSHPQKNTESEILREHIKKEREAAKAGKRPYYLKKSELRQRKLMTKYNELKEAGKLDAFMERRRKKNASKDHRYMPYRRNGGGAQ >Et_3A_025923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34018892:34021152:1 gene:Et_3A_025923 transcript:Et_3A_025923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFYLYILRSCASLPQVAAVHAHIARAHPAASLFLRNSLLAAYCRLGGPLPARRLFDEMCRRNAVSFNLLIDAYSRAGLAELSLGTFARALASRVQVDRFTYASALAACSRACDVRTGKAVHALAVIDGHDKGMFLSNSLISMYARCGKMDEARQVFDVTVEHDDVSWNSLISGYVRAGQYQDMLRVFSLMRRSGMGLNSFALGSVVKCCSCGEAVHGCVVKAGLDRDIFLASAMIDMYAKKGALSDAVALFKSVQDPNVVVFNAMIAGFCRDEAAAGKQVVREALSLYSELQSRGMEPSEFTFSSVLRACNLAGEFEFGKQLHGQVLKHSFQGDDFIGSALIDLYSSSGCLEDGYKCFRHLPKEDIVTWTSMISGCVQNELFEKALRLFQESLCYGLKPDLFTLSSVMNACASLAVARTGEQIQSIATKSGFDRFTVMGNSCIHMYARSGDVDAATQMFQEIESRDVVSWSAVISSHAQHGCARDALRTFDEMMDAKVAPNEITFLGVLTACSHGGLVDEGLRYYEIMSKEYGLRPSIKHCTCVVDLLGRAGRLADADAFIRDSSFYDNPVVWRSLLASCRIHGDIDRGQLVADRIMGLEPSSSPSYVILYNMYLDAGELSLASKTRDLMKERGVKKEPGLSWIELRTGVHSFVAADKSHPESNAIYTKLAQMLSKIEKLEITDNVTQIRMASLSRSKVWWVATARS >Et_4B_037381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19280936:19286667:1 gene:Et_4B_037381 transcript:Et_4B_037381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLCADGSARMLLLHVAALSTAGAVAAAAALARRLLRESRKKARLPAPTMPRLELAESGRLEYLEKFSHYVARQMGFQDINECPQLCKLANNYLKNTKNCIDDIYGFFDNVPNADSLYIKFIEELDKCILGYFAFHWDHATYLISQALTMDSCTKKKLRNMVLEATRKQRFERVTRDLKVTRVFSTLVEEMKAIGITRTGSNSDEEAHCTEVMAPVAHSDRSPVLLLMGGGMGAGKSTVLKEILQEPFWSNAEANAVVVEADAFKETDVIYRAISSMGHHNDMLQTAELVHQSSTDAASSLLVTALNEGRDVILDGTLSWEPFVEQTIAMARAVHRQRYRMGVGYKVDADDGTVTENYWEPDDARSPPSPKERRPYRIEVVGVVCDAYLAVARGIRRAIITRRAVRVKSQLQSHKRFAAAFRRYSQLVDGARLYSTNSMGSAQLIAWKDGNSSLLVEPREIDCLEKVSNLNENATSVHDLYPDCTTTCGSRSIWEDMIVSPARAAIQRELKDAIRSVELASTEPPTL >Et_9A_062737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:439434:445673:1 gene:Et_9A_062737 transcript:Et_9A_062737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEEKVFVALPAEFKAGQSTLSWALSHFAGGGSTIVIAHVHVPSKMIPVMGAKFPASKLSPEQVTLFRWAELGKVNKMLNDYVNQCSKMKVKCEKLVFENDDVVAGLIDLISSHGVTKLVISAAADKHYSRKMDKPKSRTAIEVMQRADPSCQIWFVCKGRLIGTREQQEVEISSAKSLSLIPPERQSWVAFGSERAKPRDDETPVIPIKRRPKTANSQTATRPSNRPSPPPAKMPPSPPESETEPEFVELDPTGRYGRYTDVLGKGAFKTAFDQLEGLEVAWNQIKVGDLLRNNDDLERLRSEVRLLKTLKHKNIIKFYNSWLDKKNNNINFITEVFTSGTLRQYRIKHKKVDIRALKKWSRQILSGLYYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLATILDHARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKVTDGEKPSSLAKIDDPQVKFFIEKCIAKAPQRLSAKDLLLDPFLLDVDDEKIFYPLHQNNNASDTAGNSNPSTSYRYDRVGSSVGRHDHTGSITGSHPSGHYIHDSMDPHAATGRIITVESQRKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWAVEESMDNQGDEAAHSETHSSDSDEGTSELRDEPDAGHNGFVQEQLPSGRKYWSDSPRRDNEIPLQVANPQTGDNVSNGIVKRNDVDDRIDGGVSVGTSPHSSEVVGRHISADVTERLENLLAQQQEELNALQRKHKAEIEAILNSVPTEDREETLTRCRLKVHQKNKANQL >Et_2B_020151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17302795:17306794:-1 gene:Et_2B_020151 transcript:Et_2B_020151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMLAAQVAATPVSLSPSPKPGFRLQLRPSPSASALSLVPRAASPATAVSAKPAAVAPVPSERSVVRIGLPSKGRMAEQTLSLLKSCQLSVKQVNPRQYTADIPLIPNLEVWFQRPKDIVRKLQSGDLDLGIVGFDIVSEYGMGNDDLVVVHDALAFGHCRLSLAVPKEGIFENINSLEDLCKMSEWTEERPLRVVTGFGYLGEKFLKENGFKHVKFLSADGALESYPPMGMADAIVDLVSSGTTLRENNLKEIEGGVVLESQATLVASRRSLHKREGVLEVTHELLERLEAHLRASSELMVTANMRGSSALEVAQRVSQTSICGLQGPTVSPVYSTDGKVDVEYYAINVVVPQKLLYKSIQQLRSIGGSGVLVTKLTYIFDEETPRWRNLLTELGL >Et_8B_059008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12152372:12157332:-1 gene:Et_8B_059008 transcript:Et_8B_059008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRVSIPAGARRTVADIKEIAGGHSDEDVYAMLRECNMDPNETAQRLLLQGWSTSPPSLSRFARLILFRGVKRLPQAGVAKNHLILGGDLLCTDKVERVVAEIILHAIQLTLMILL >Et_4A_034447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32593799:32597559:-1 gene:Et_4A_034447 transcript:Et_4A_034447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVHHHHLLVLLRRPLYALPHHTQLSCSPRSARLCSSFHAPGCLLATHAVALAEPEWKEEEAQFVVVTFYKFIPLEDPRSEVANHLHFLQGRDIHGRIYLNEQGINAQYSGPRKDAMAYADWLRKDPRFCDMLIQTSPAWSGHAFPRLKLRYKPSLLEGGSSHLPLVDPSMRARPLTPSEWKERLEDNKCLDVSSSNASGEISGRKLLVLDVRNDYEWDIGHFQRAKRPNVDCFRSTSFGLSEEADSSDPLNGVDKEKTDILMYCTGGIRCDVYSTILRKKGFRNLYTLEGGVSNYLKMEGSAGWVGNLFVFDGRLSLPPGTFRPSSSAEAVAESRWVGRCYACGSEVVELRHRNCANIDCNRLYLCCGWCAEELGGCCCSECKTAPRLRPLLPGHQRYTKWHVYRDGLQATDLDQIPSYDIL >Et_6B_048579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1142681:1147620:-1 gene:Et_6B_048579 transcript:Et_6B_048579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLCAVQRWSRHVATMLPWLVVPLLFLWGASQLLLPAAYRFEVTSPRLACVSVLLLTLFWYEILIPRLTRWRNRRSARLREERRAHALELHKLRKTATRRCRNCNNPYRDQNPGGGKFMCSYCGHVSKRPVLDLNSAGKVPRRWPCAQDCDSPYWSELRCPVDNSFLGLSRRLLSSFWVTMRWFISKMFRFVSSEDSDSDGKRLTKRGENGGKAEESRVEKAKRKTEEKRLARLEREILEEEERKQREEMAKLVEERRRLRNEKAEAEERSKGATPVGEKDARREADKRRLDRTKKEDKGSSKSNSDCEDMDRRLGREGDRKRDLDRKGDPERRESYKPHHFEANNHSSKVVDSKARYFGRMAGGFLSSSRGFGGGSFFGRSAQAPAPQLNKVSRPVVPATDQSNAVKRDFQPAAPQAAPKSATGGTRNSWTNSSRPVSPNVQPHPTAPKKSWHQLFSRSASVSPCPDVTASAPEMNRKPETKGAQISNAHNFLSHYPPLDSKPNLSQSMQFPGFPPVKGASSNTTLPCFPAGHMPFYDEAEPTVFEESEQFEDPCYDPDAIALLGPVSESLDNFPQDLDCGFTSSDVTKESHPRPSPIEFPLSRSRTVEEKPIKTQNSSVTKGPDGSISPQANSEQGTWQMWGTPLVQESLCLQVPHSQWLGQSTHQFSHGANFLNGGTRSPLGTSLNHSDPWLQKTPIQQLPPDTPSLFLPHDLTGKAVRNDLGFGSPNKSARAHPFGPPGLSWSKEEEPLLNGNGRKESDQFCSPRGAHVGGGFFSANPDVQSVWSFNEKETT >Et_4B_036716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10933731:10937689:-1 gene:Et_4B_036716 transcript:Et_4B_036716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRPGPIRLPSTVVDFVYYRYRSGVETFSVPVETPSISVADLKRLILGTSRHGHGRTRGRGPRENVALSDARTGEEYTDDGALVPRSSTVVVRRVAGPPVETITVQDSDGKSSSSTEDEEDKAISAVIDAAQLTWEGHRPYHGGRCYVGYRGAQEQQRSTPPAGYVCPRPRPLHPALPHQRRPKVRLWKQGVFVHRQSFSFPGADVHNPRRRRGATGAALQEGDGGRGGDRPLLLRQLLRRVHQGATSKCVCGAQARAEDLIPNQTLRTTIANILASGGADNQRSSAGSNASSPTSQSPSASQESRSHVTAACSTTDHSNDGSASSTSNSAAREAKAKRTTAEPAHQSMACGGYPEQFGYGGPFGPACYDPYFGGIMPWAPDPYAYYGVPCGGVYPIVPVQAGYHDGGGHGRKRTMGREDRRVEEPGFKRRCESRSLVAF >Et_4A_034820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6895747:6905947:1 gene:Et_4A_034820 transcript:Et_4A_034820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASGQLDQLSSELDHLNLMDDICDKWTYIWNSENYSTRRAYQAIIGTNVIASHVRWKREWKEELTLTLIKVIIVAAWAIWIHQNNIIFNGGVLSFPRWKQEFRNLFKLTMYRAKHSVEQDMESWLRSL >Et_5B_044298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22350665:22353956:-1 gene:Et_5B_044298 transcript:Et_5B_044298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAEGEAVTAAAVSAGFAELERQQQLLATCTRLYQQLQDHFGSLERGLAARSDALRARRRAFDARTHRALDALHRREASIDSSVSRALDHLGSLSASAGDAVKEAAPSSPSDAPGLADSLRALCARMDSAAFLGFVVARRKEADALRAELPPALKLCVDPAKFVMDAVADVFPVDRREVKNPADLAWACVLIHEAALPALADPDPEIGAARPLVPRAARERARGMAREWKEAAERKGGVEGAKPPDAHAFLQHVATFSIAEREDRPLYRRIVVSFSWRRQMPRLALTLGLEDEMADIIEELIGKRQQLDAVNFAYEAGLQEKFPPVPLLKSYLEDSKKASSTSLDDSSTSSGQSGSNVNKKEQSALRAVIKCVEDRKLEAEFPLEDLRRQLEELEKAKTEKKKAASSASSGGSSGPANKRIRASNGGPMPPAKAGRLANNGCVSSFPAATTFAHSPSHTSYATSSPSHASYTTASPSHASYATASPSHTSYATASPSHASYATTSPSHTSYAVSPYPYDRTAGHGMYQSPQAIREPYVYPVKEVANVGIAMPYPSPPMSYPAPYGGYGNGMGAYNNGMAPAFHQAYYR >Et_4A_031775.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:13427148:13427996:-1 gene:Et_4A_031775 transcript:Et_4A_031775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHNLKKHDQLVFIYITKPDLQFLYRQDLFTVCICCSIAKYNTPSTTQNRHHTSHVPTLTRTEKKELLEAAVSPFKNSETQAAPEKDLCTGLQKPSTVLHRSKKAGVEVAVRPAPAELEQAAVRPVGDADEQRLAVRAEGRAGDLPEQVDLLLGGVPGAGVVHVHEVRRLRRGQEPAVCGEPEPPDGAYPAAEHGERLRQVAHVPQPARRVLVARGDDVAARVPRRRERVVQVAPQGGDLLARLGVGDQAVGAVTQDREQLAVRAHRRVLYIASVSDSAHE >Et_1B_011193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18631391:18638312:1 gene:Et_1B_011193 transcript:Et_1B_011193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRRTQLPLLLLTLAAALAAEARFVVEKNSLMVTSPTSLRGRHDSAIGNFGIPQYGGSMAGAVVYPKDNADACDAFDGKHTFRAKPGALPTFLLVDRGNCLFAKKVWNAQNAGASAVLVVDDKDEPLITMDLPREDDEAAKYIQNITIPSALIDKKFGEQLKKAVKDGEMVNVNLDWREAVPHPDDRVEYELWTNSNDECGPKCDMLMNFLKEFKGAAQLLEKGGYSQFTPHYITWYCPQAFVISKQCKSQCINHGRYCAPDPEQDFSTGYEGKDVVVENLRQLCVFKVANENKKPWVWWDYVTDFHIRCPMKEKKYNKKCAETVIKSLGLDVKKVDKCMGDPNADSDHPLLKLEQDAQIGKGSRGDVTILPTLVVNNRQYRGKLERKAVLKAICAGFEETTEPNVCLSDDIETNECLNDNGGCWQDKVANVTACRDTFRGRVCECPTFNGVQFKGDGYSNCEPAGPGKCLINHGGCWHETRNGKTFSACQESGDGKCKCPTGFRGDGVKKCEDIDECKEKKACQCPECKCRDTWGGYECTCSGDLLYIKEHDTCISKTAVQAKAAWAAVWGILIVLVVVAAGSYVVYKYRLRSYMDSEIRAIMAQYMPLDSQGEVPNHTNDEDRS >Et_1B_011010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16448007:16451571:1 gene:Et_1B_011010 transcript:Et_1B_011010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAMRRAIMNLTRTVPPPGSSTARRALCSGGSPQDGGLEAAVRDVVCSEAGSLDEVGGMLNRLGVAVSSALIRRVIDSCGERGGSGRRLLRFLAWCRSKDPVVLGHEELDRAIAVLARLGDLIAMRIAVGDAEKGGHRMDPETFTAVVEALVKAGREDEAVRLFRGLEQQKLLPQRGTGVGGEGLWSSSLAMVQALCMKGHAREAQGVVWHHKSELSVEPMLSIVQRSLLHGWCVHGNAKEARRVLDDMKSSRVPLGLPSFNDFLNCVCHRNLRFNPSALVPEAMDVLQEMRAYGVAPAASSFNILLSCLGRARRVKEAFRILYMMREGKAGCSPDWVSYYLVVKVLYLTGRIIRGKRLVEDMLASGVLPTVKFFHGLIGVLCGTEQVDHALDMFKLMKSCELVDTRVYDLLIEKLCRNGRFEVGRELWDDAKKCGLVLACSEDLLDPLKTEEQEKDFGVLLKQGAEGRVFVSTFVGRKCVIKERFSKKYRHPLLDSKLTLKRLNAEARCMTKARRLGVPTPVLYAVDPLQHTLTFEYVDGLSVKDILLGFGSNGVNEERLNDIATQIGSAVGKLHDGGLVHGDLTTSNMIIKNNNNQLVLIDFGLSFTSTIPEDKAVDLYVLERALISMHSSCGEVIEKILAAYRKASKQWCATTNKLAQVRQRGRKRAMIG >Et_3A_023190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7912192:7913061:1 gene:Et_3A_023190 transcript:Et_3A_023190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSASCSRLHHGLGVSEVALGPVAAFPRVPELEAHLAGVVVVDLPAAAAVAVNVRDDEPAPPGAGRQGKRRAQLRLPVRERALVQVQALPGRLELIAQLCLVEHLLRRLRDDEVPQPPGPAVTRVSCGFSR >Et_2B_022071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8700202:8701730:-1 gene:Et_2B_022071 transcript:Et_2B_022071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQWRNEAKPGRRRWKHRKAGFAVGGVLLVFLTYLLFSLRKRSGQRINSSSELLAQQRRRKEGTVVCSSEGDFSESCQVDGDVRVNGRALTVVGPTKNGSERLECGLPEAARVAQRSVGGIRKVAVTQVAAAAAPAPACTVLFVIGGHSGRNFFHDYSDVLVPLFAAASNVEWPEWLGKYRHLLRALSRYPAVDLERDDAPVRCFPHVTVGLHIHRLLTVDVPEQVPGGLTTADFTKFQREVYALRRDALVIQRAAAVARAAGFEAVVTELWVWGETDVAGKARTVNSFDAMLGVHGAALTNAVFLPPGAVHIQVVPYGRMEAIARSEFGDPMTDMGLTYLEYVIALEESTLLETLGPEHPAVRAVHRSGWDMVNEFYLKKQDVRVNITRFAPTLAQAFDHLRQQQQQQ >Et_4B_036979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13067433:13067981:1 gene:Et_4B_036979 transcript:Et_4B_036979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLVLLLGSVDGRLLLAHSQRPFNENGRNRDNICHSAVLIPRHVAEEGEVEDGAAVAGVDDGVQRDAGAQRADDGRVDLVVEDDAVVLEVQRAERLVVAVHLVAVVVHLLHAVPREVERQGVAGAGAVHQPPHRSPEVVLGRHLVRVLVVLCHGPRHEIEIPN >Et_8B_060532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20019545:20023368:-1 gene:Et_8B_060532 transcript:Et_8B_060532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSCSSYHHSTCSVVVVLLFALLFSSSTTRRSQAAVPDPLLPICKTVGGGGVGVDFCLSALGSDKRSHGGAHSYRGLSIIAVDLLTSNVSSTTEKIDRLLQNGGGGAAAQTLLSCQALYMEIGGRLPGCTDAIKSGGFKMVQANLRLSASDVEKCEAWFAESHVASPLKVEDDNARKSLALLCLEWLSANQVTVAVLQTFISRPILLEWSSDGLVELFPRGHMTAYDGEVWKCGMGSSPRRRAEPSDVRNAAGACVSVCG >Et_1B_013701.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:13139047:13139751:-1 gene:Et_1B_013701 transcript:Et_1B_013701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWCPELASKAYIDGVRVLADHDGPAEVAELVSAMAGGWNAQLIVEAPDVSDSDQAPSSSSSTTRPPAATSLALAAAARRTGGRYACVLPDAASAAAYDPPQTTTTAADVVVGEADAAMARLEGVDLLVVDARRRDAEAVLRAARPGPRGMVVVRHGGVDAGSRRRGAAAATGAGTRVVRSVYLPIGKGGVEVLHVGVGKGPSLRTRAAAPAGRWIRHVNHHTGEEHVFRRQ >Et_1B_011458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22539277:22546427:-1 gene:Et_1B_011458 transcript:Et_1B_011458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASSSGVVYEGWMVRYGRRKIGRSFIHMRYFVLEPRLLSYYKRKPQHKDKVAGGGKLPIKSLHIDGNCRVEDRGLKMHHGHMLYVLCVYNKREKHHRITMAAFNIQEALIWKEKIEMAIDQQQGVVTADGNRAFTSSQQKAGIENGRKSSSSDHESQYSNEEEEEEEEENQRSLLRRTTIGNGPPESLYDWTRENDMGIVNQGSPEQVFSKRHWRLVRCLRIFEELQDVDYLARSCSRAMKAVGVVEASCEAIFQLVMSMDTTRFEWDCSFQYGSLVEEVDGHTAIIYHRLQLDWFPMFVWPRDLCYVRYWRRNDDGSYVVLFQSREHPNCGPQPGFVRAHIESGGFNISPLKSRNGRIRTQVQHLMQIDLKGWGVGYVPSFQQHCLIHMLNSVAGLREWFSQSDENQVLPRIPVMVNMAPPVPSKKGRKGQDNSMQTSLPMDQSRHSTMLEEESDEDEEFQLPESELEVDELHMLFLDSEIDLSGFSGNLRRDDRDNTRDCWRISDGNNFRVRSKNFVYDKSKVPAGKPLMELVAVDWFKDTKRMDHVSRRKGCAVQVAAEKGLFALAINLQVPGTTNYSMVFYFVTKKLIPNSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDITYIRGANYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQGNTYEELPERLIGAVRVSHIELSSAVVPVLED >Et_3B_030140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30879727:30884005:-1 gene:Et_3B_030140 transcript:Et_3B_030140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LFPLVAAVLLGEDWKREIVVKGPPFQAAITSHGKARTGSSLVTTRGEDPETYPYVFVLFLMDWDAKMPSWDLGTVVGPSSGGALDLKLGGPTSWKAAAVAAATTPPSSSAPAKRPRAGQAQQAVPACSVEGCAADLSKCRDYHRRHKVCEAHSKTPVVTVAGQQQRFCQQCSRFHLLCEFDEVKRSCRKRLDGHNRRRRKPQPDPMNPGALFANHHGMTRFTSYPQLFSAPSMADSKWPATIVKAETDAFQDHYYPAVHLNNGAVSLFNGKDRKHFPFLTPHGGDAAAALGCQPFTITPSSESNSKQSNGNCALSLLSDNSTPAQLMIPTGQPLGAALHYGNVARLPDDGDVSLTGMSYVSMGDKQTSIVATSAGHTATAASPAPATQLQYHGYYHVNGGDQGNSDGASIQALPFSSKGKNRLCRSTRQELLPYFYGSTYIHVNPEDIVVNINLFWARYQYSPPTSFAHS >Et_10B_004259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2205771:2207372:1 gene:Et_10B_004259 transcript:Et_10B_004259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVKSREYATARGKYIFLLNNGYFILEETENFRGPPIEENSETGIVEDLFEDLFKKHGRPRIKQCRGPPIEQYIRGYLDASWSPVTACLALAATRAKQQRNSVFRKVSALADFNAMLQTTYNKEKYVRIHSPQLRRRLRESVSSKKIYAQY >Et_1A_006471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2365121:2367396:1 gene:Et_1A_006471 transcript:Et_1A_006471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLFGCFGLGGEPVAEGGSGSESAGETKKAAMRRSATARLRSLSLDDLSRTLASSGLHAFTLAELRAATRGFSNSHFIGEGGFGPVYKGFLDDRIRPGEFQPQHVAVKYLDADGPQGHREWLAEVVYLGMLSHPHLVKLIGYCCQDEQRMLVYEYMARGSLEHHLFKNLLSSLPWATRLKIAVGAAKGLAFLHEAETPVIYRDFKASNILLDSDYTAKLSDFGLAKEGPVGDDTHVTTRVMGTHGYAAPEYILTGHLTARSDVYSFGVVLLELLSGRRSVDKRRRGREQHLVDVPKSRPTMRDVVDALEPLLSMCSDVPAGPFVYTAPAEVEGKAEKRHVASAVHAVGELRRGNNQRYASSVAGHKSSSPRQSRDRGA >Et_4A_033262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20730304:20735672:1 gene:Et_4A_033262 transcript:Et_4A_033262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLEALLPYVKKMITDMAEEEVGLLLGVHGEMEKLDRNLGNVKAFLADAERRRIKEELVQGWVRMLKGVMYDATDVLELGQLKAEERRESKLGRSMENMPACFQPFLFCLRNPIFAHKIGSRIKELNQRLEEIHKEAAKFNFTANLNSYPEQRTEAEYYSSSHGMTSEFIPSAVVGEQIERDTRLLVHELTLPTDENHDIMKLVSIVGMGGMGKTTLAQKILKDATIEENFKIKIWLSITQQFNEVELLRSAIKHAGGDPGVEQDNTLLTRTLTKTLSAGKFLLVLDDMWNIRVWSSVLGVSVTNASDTQPGSRVLITTRFEDLAPQMHHSFYQHHVSPLDEDDAWFLLKNQFPQLPNQVSTVDHLKDVGMKIIRKCDGLPLAIKILGGLLVTEPRTVHAWEAILNHHAWSLSGLPEELDYRIYLSYEHLSPSLKQCFLYCSLFSKGTSIYHRMVVWMWVSEGFIQPPYGSSWSLEEVANKYYNELIMRNLIEPKNTLTKLECTMHDVVRAFAEFMVREESLVLHDDHVGSIGLLRRLSVGSTKSIPEWSLLQKQEINIKPGDSLTSFSSLRVLSIENADCDRLVDSLCQLRHLRYLGFRGTNISKLPEDIHRLRFLQHLVLRDNTSLEKLPSSIIKLAHLRSFRVDGSHDVIIPKGFGALTNLRSLFGFPVHVETNGGWCSLEETGPLCQLRDLSLCGLENASTSSSWAEKAVLRSKGHLSHLRLNWSSSRYMESMDELEKQQQQKATEEVFEKLCPPTCVKHLWVEGYFGRHLPHWMMTPAISAFKSLWILRMKDLPYCTQLPDGLGQLPSLESLEIEDAPVIKSVGPEFQTSSSSVVVGGGVAPSTSAAFPSLTNLYLDGLCEWEEWEWEEQGEGVTAEAMMAMPALKTLTIDNCKLGRLPPGLASNKRHALRKLYLYKLSHLTCVENFPSVVELDVFEGPELKRISGLAKLQRIRIACCPNLEVLGGVPALDSLVLEDSYMETLPEYLRGVNPRHLDLTCSKKLYESLSSGSFEWDKINHIRTHAIDYWSSDQNARIKFGGIIQINSGDQSAASEAIIHAQQED >Et_2B_019396.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27252545:27252592:-1 gene:Et_2B_019396 transcript:Et_2B_019396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILVFVTARRGVK >Et_4B_039436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15715879:15718310:1 gene:Et_4B_039436 transcript:Et_4B_039436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPELAKLAFSRVQKVEPENAGKILGCILLREPDEEEMVQLAYGTDAELLAKIADAKATLAAIYACCSAHQIGGAHRGAAGYHHHHPAVNAGLRHFSPFGFHVPSAQYWAPDTTAKGEGHYALPPNQVQSHHGLQQDDHHHQYDAGGYYYATTDAFHNGNGGGGPLLQPRVAAARRANGGGALSTSMRRPCHYFFKGVCKNGQNCHYSHHQVYSADEQHHHHSNGATPGALEALESEITELLNSRRGQPVSIASLPTLYGDKYGKGLQSDGYLTESQRHGKAGYSLTKLLSRLNKIRVIERSMMLVSVLFDCSASDYGCRPHGQHSVVLAEDADRYTESRPDKGGDMGSVPASSHQIYLTFPAESIFTEEDVANYFGQYGPVRDVRIPCQERRMFGFVSFQNPETVSTILMRRNPHFICGSRVLVKPYREKSKCIESYPRTYTDNIKPMHCYPTRFFEVDPEFYPEYDASSRLMRKQLAEKRERLIELERKRIAGIRLESFPPQFAYFDCSIEDVHPLNPVTSDSKETDLMNRPLGIPDPFDIVSTSKAPQTQTNNSYTDKESDQIELLPESPFASTAPAGNSISAVI >Et_7A_050363.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:9994728:9995342:1 gene:Et_7A_050363 transcript:Et_7A_050363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTICSDDSDLCWRSIAEDPPFPTESEFPIHFKGSMYWLILEELMKCPPQGFLRLKLEDETFSLIRYPVLSPEMQRPALIDLDGELCLAQCLITGIVIWMLSSDDGHEWAKIHVISFTEASKSMPFFGTAKYGIFIRSGHHLYRHDDANRLPREVVCLDQLRYRNPNAGSVDSDGEDYFFFNMVPYTESLVPVTKARSSTLE >Et_3A_025851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33303559:33314600:-1 gene:Et_3A_025851 transcript:Et_3A_025851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PLLWSPCSPAHPIIPCSSGDCAAASGAPKFYGRHCTCTVRPANPVTGEHAVGDLTLIDVATNATDGKKPTAEVIVHGVLSSCAKESLLRSFPRSATGDVGLGRGRVSLPSQLYSKLSLKRQFAICLPSTVSAPGVAFFGNGPYGLMPPTPFDASTILSYTGLVKNPRNPSAYSIRMRGIAVNQEAIRLPRGALDRGGVTLDTALPYTVLRRDVYRPFVEAFARVTALIPRVPSVRPFEVCFNSSALGFTRVGYAVAPVDLMMTTMARGAGNWTVFGSNSLAQVAPETACLAFVDGGWAAPSAVAVGGFQMENNLLLFDEAASRLGMKMPRPKPLLLLATSLFVLAWPASCAYPVLLPVAKDPATSLYTIPVRDGDNHVIDLAGPLLWSTCAADHLPASFKCQDRECKLANAYRPPGCHAAGQPCKKQCQAYPYNPISGQCAAANLIHTRLIANTTDGKNSVSQVSVRAVGACAPSKLLSRLPKGVTGIAGLAGSGMALPAQVAASQRVANKFLLCLPKNGEGVAIFGGGPFFLPEMPQTDFTSTSNLAITPLHSRKGSPMYYLPVKNIAINEAPVPLSGYALDTGGVVLCTRVPYTLLRPDVYRPFVTAFEKALGRNDAKVPAVAPFELCYRSSMLPNTRIGYGVPNIKLVLEGGKPWTFLGTNSMVNVNDKTACLAFAEMKGAKPGDGMVPSMVIGGFQMEDTVLQFDLEKAQFGFAKLPFFTACRNFNFTKTWPVPCTARSQPRGQPLLKPVTKDPATLLYTIPIRDGADLVVDTAGPLVWSTCADNHLPASFTCKDAVCKLANSYHAPTCHGVVRGCKKQCRAYPYNPITGQCVAANLAHTKIVVNATDGKNPLSQVSIRAVQACAPKKLLASLPSHATGVAGLASSGLGLPAQIAASHNVAKKFMLCLPKRGDGVAIFGGGPLFLLPQSGTNGELTSTLAYTPLKSRKDNPMYYISVQGIAMDHAQVPLPAYALANGGDAVFCTRVPFTLLRPDVYRPVVDKFAKALGRDDARAPAVASFELCYKSSMLGNTRLGYAVPSVVLMLEGGKNWTVGGGNSMVDVNDKTACLAFVEMKGVKAGDSNAPAVLIGGFQMENNLVLFDLEKKQLGFAKLPFFTACSNFNFTRNQESEQPKMAQNKAALLLAVSLCLLVSPCTLAVEGGKPLLTAVTKDPATLLYTSPLKDSRALVLDLQGPLIWTTCDASHPTFECHHHECAHAHRYHPPSCPHTGYGKADEEDRFRCKCTAHPHNPFSGKTATDDLTRTTLSANAADGKNPLYPVSFSAVTTCAPGSLLAKLPAGAVGVAGLASSKLALPAQVSRTQKVAKKFLLCLPRSGLREGDGVAIFGGGPLFTSVSVSPPSEGPDLTLSLTYTSLLTKRHSPAYYLPVKAIAVGKAKLQLPGDALATGGVVFSTRVPYTTLRPDVYRPLVDALDKASGWSAFRVPPVAPFELCYNTSFLPNTRIGHLAPDIDFVLQDGQNYTFGSLDSMIDLDNFRTSCFALVQMKPGKGGYAGVPAVEIGGFQMEDNVLQFDLEKMQLGFARVPIFTACSNFNFTQAEKPKMAQLKGALPLAVSLCISLSLFPCTVATEGGKPLLTAVTKDPATLVYTAPLKDSRALVLDLAGPLIWSTCDASHSTFECHHHECAHAHRYHPPSCPHTGYGKPDEEDRFRARRTRTTPSPARPRRET >Et_3B_028567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16960663:16963241:1 gene:Et_3B_028567 transcript:Et_3B_028567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASVNEELAEIDGQIGDILRALQNGFQKLDKIKDANRRSRQLEELTDKMRDCKRLIKDFDRVTKDEAGHTDPDTAKMLNDRKQSMIKELNSFVALKKQHASENKRVYLFDGPSVEDGFGEENVLLASNMTNQQLMDQGNQLMDETDQAIARSKKTVQETINTEQMSRVINELDSIHFSIKKASQLVKEIGRQVATDRCIMAMLFLIVSGVIAVIIVKIVNPHNKDIPDIPGLAPPVNRRLLSIIE >Et_9A_062626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2523099:2524656:1 gene:Et_9A_062626 transcript:Et_9A_062626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKRLRQKGIDPNTHKPLAEADQSGAAPTISTERTSESSDVNPSSTGALGNLSHLLSETAQSSMLLPVYDKSRAETPNLARPKVPAKELFLEQLAAGHDSPSTCRSSGPTLYFPFQQPLGYSSESGSGDGTNMNSLWFNQSDFSCSTISTVMPPVSPSALSTSMGLNLPPDNTRQGGTGIGSASVDSFYWDGTNPSSSSSTGSRGSNSMGFELQSTSSLLENSVFPWTDIGQEKDTRGHLVEELKWPDLLHGTFAETTTAMQNQSQSLYDDVIKAESQFNMEGICASWFQNQQPPQQLQVAQDMYDKDLHRMQLSFENI >Et_4B_039377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12316826:12317947:-1 gene:Et_4B_039377 transcript:Et_4B_039377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRLAVVVMCALVLASACEGLHVGYYKKTCPRVETIVRDAVKKAVYKNAGIGAGLIRLLFHDCFVQGCDASVLLDPTPKNPKPEKRSPPNFPSLRGFEVIDAAKAAVEKACPGVVSCADIVAFAARDAAYFLSQYKVKINMPAGRLDGRVSSSDEALNNLPPPFFNLTDLIGIFASKGLNAEDMVVLSGAHTVGVSHCSSFVPDRIAVRSDIDAGFASFLRKRCPAKPVPGGNDPTVNQDPITPNKFDNQYYKNVLAKKVLFTSDAALVTSPATAKIVRDNANIPGWWEDKFAKAFVKMAGVGIKTGKNGEIRKNCRVVNSGYARPPPKKGGKGPKY >Et_1A_006105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17914390:17915559:-1 gene:Et_1A_006105 transcript:Et_1A_006105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAFRAFLVACSLLLLHLHGIVGMDPGTEAVGVFDQGRHGVSLTTVREARRCSSARNKEDKLPPKPLLVAAPREAGEYPVLVFLHGYMALNSFYSQLLQHVASHGFVVVGPQLYTVSGPDTTDEINAAAAVIDWLAVGLSSVLPPDVHPNLSKVSVAGHSRGGKVAFALALGHATNKLSLPLAALVAVDPVDGMGVGKQTPPPILTGRARSLRVGAPVMVVGTGLGELPNGPLLPPCAPLGVSHAAFFDECAGSAPSACHLVARDYGHLDMMDDDTPGTRGALTRAVCRSGGARAPMRRFVGGATVAFLRKWVRGDGAALEGIRARPETAPVVLSLDEFVDEYDADLCKPQEMNVADRQG >Et_5B_043100.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:2788387:2788857:-1 gene:Et_5B_043100 transcript:Et_5B_043100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLSLLSVSPVGTSSPHSRRTSSRSLSCQSGCVLRRKEAQVRRCEVVSCPAKKKVLHSSMISSMLSTGLSPCSGAQASSISPSRSLPYPAVSLAASRVLMMSVSMARTCLSSFHVLRFFSVGRNRNPGIWTLNTVEKARNCSSRCARKTCFPSL >Et_7A_050514.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:20934804:20935823:-1 gene:Et_7A_050514 transcript:Et_7A_050514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRDSVPRVSLAVAAAALYARAASTRLRAGLPRLAALAPVVAFLAAVPLAFTASAILRGVAGFFFAWLAAFKVALLAAGRGPLDPALPALAFVFTAALPVKLTRRPSATEATASKAKPAASFLSSAAKVAAIAALLHVYQFKHRLHPYVRLALYGVHMYCFLDLLLPCIAAIGRALGMEMEPQFDRPYLSTSLRDFWGRRWNLMVSAVLRPSVYDPVSARAGKAAGVLAAFLVSGLMHEVLVFYLTMRAPTGEMAAFFLLHGACCVAEDWCARRWRRTPPPPRAVATPLVLAFVAGTAFWLFFPPICRDGGEEVLLEEWAAVASFFVGAGKKLLRHV >Et_3A_023988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15718907:15723759:1 gene:Et_3A_023988 transcript:Et_3A_023988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAKRGGGDLPRNFCNNSRSFKNEGISGDLLRDSKQDVWTGLSDGLKSYMSKSVASIALSNGEKILFSCSGIAMEHQFLTKLLSTATLNATNKYHDDLKIQVRLDSTKLYDGYIGEHDLDNDFAVVNVYHVRDVQVGPFQSALESLPHGVVLAVGRDTSGEIMVETVELRGDSMVSKDHRDLSCKISKVGRFFLWTGIWPGASIGEKSNNHPEVHGDFLNQEQLDLDSMGYPKLPSSMLGAGMILVNSFEDPFGDIHGEGVWRKFSRRASILNRNVVALASFSGFFIEWNGSTMITSASLVRDSGDENKVDENLRIDVLLYNQCKEGKLEHCNLHYNVALVSVKYRALRPLNTSFDFHSSYRVAAVGRCFKSGTLMATNGRLVPWTGTLDCEFLARSTCKITKAGIGGPLVDLDGNVIGMNFYDTRIGTPFLLWGEICKILASFEAKRWPVPKPRWCHPEDVESDDDDKLAFDHMGRLQYSYILGRKVKLLVLTIPISVPIIEAKSTDEPGVDPFAQRKQKIKRVQKLGKNRHENLKKAAKVGALPSHEQLVAKSLPITGTKADLPKKSRKEDHRNGAGMGSATASGGKFDEKLHGKKPPKHPGKHRQLLPVAEGKGMGNLEKQQNDKILKSLLARNSEQLDAITMYKVKKEKQRRKDREMSSKSDKLKPQKKPFKKSSKKKA >Et_7A_052391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7509929:7510333:-1 gene:Et_7A_052391 transcript:Et_7A_052391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQGPDGGETRSWPWWAAASAAQVAVGAAWFRRGRGGAAFAMPFKAFAIASLYVGAGATAVAAGVSAAGIGSVEEMKGVGASIRRWMRAPPRRVGGD >Et_7B_054270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1880669:1883080:1 gene:Et_7B_054270 transcript:Et_7B_054270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRSEDGVLSCKDATAAMASDPSFSDATIACVRAYHVLKIDGYSRTFGKNCGTPSFKSNSFRAGGHTWSMSYHPKGSRFGNPYFISLYVFLEDMVCESVTAKITFSLLDQHQKPAPSYRCATVATFFSAVAGAHGFERFIERQTLERSEYLKDDCFAVRVDIHIVKEEPSSMVVPPSDMHRHLGDLLLSKEHTDVEFHISGETIPAHRLLLEAQWPIFKTDHFFRPTKEGNTANIIRIDNMEADVSRALLSFVYTDEWPLMLAEEESVMSQRLLVAADRYGLERLKFMCEDRLCSGIDISSVATILLLADKHHCSSLKKACFDFLDSSVTFYAVMGTEEFEYLCQTCSSATKKQLKKLSLNVCRRVKKAKKISWKGKSQANVLHPCSAGAKTVPQQGPDSAGQPSRSATQIVCASQHLVLEIKGYSKTLNTGCDHPVFDSSPFRAGGHTWHVSYRPKGSPDCHENHDYISFFLFLDDVVQVPVMAMVNFSLLDQEDEAVPYQGFTTMTYDFSLRSRGFGYEKFIERKELERCEHLKDDCFKIRVQIYIVKETPSVAVPPSTIQRRIGNFLLTGEGADVEFRVGEETFAAHRLVLGARSPVFKAQLYGPMKEGDCRNIVQIDDMEAPVFRALLTFIYTDAWPEMEQEDEAAMAQHLLVAADRYCLQRLKLMCEQKLSNYIGNSSVAIILVLAEKHQCCGLKEACFKFLRSSTTPLAPIDIEGFKYLAQSCPALMNELESRRRKKDPITRLSKLIKSLGFSETRPN >Et_10A_001223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22284611:22288312:1 gene:Et_10A_001223 transcript:Et_10A_001223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGLVLRVGREAIADGPYDEDDRWPPPFDERCPGVARYDLKWKGKQILTFSKPRRRLQSNPSMAAAEQVKELLASVVGEIRSYAGSDPLRPWLRGVRKMEKELPPETLREKLPRFLQKCAEEFQDHARYRDDARYVRVWIQMMDYVKDAKPLLKKMEQRGIGLKRASFYMAYALYYEKNRRFESAEKMYRLGIHNLAEPIGELHKAHEQFVQRMELHKRRKELKEKMSSKTGSNATSTQQAEGESINCKVQKSSTMQKSGSSSNPSLGCYPPLGPAKVSMLSRGMSDVYKNLSRCNSDDTVVVRFVGSALVGRSETEAACHHGLVEPTINTKEAMDAINNKPDSNDPNNVHNNAMMQGHPKFSRQTRGFEIFADEDIPNGNNQNAAQDRNSEKENTKLNQKTGTFEIFVDEYEANGNVKNGMCPESKRPPRPLCDSSRQQGKSEFQKPFVGGFVILPDDEDEQCENMKFNQDASGFEIFVDEHEANGNVKNAMHRKNNMSHLRPTSDSSSQRGNSDFQKPFVGGFAILPDDEDEQCEKNSSSVFCSEQGDLETRYHEGSQPVISGLREDTVIHRFIGSTVVDEPNVENACHHGLVDPTVNLKEAMNDINSMFGKPLNFKGEKAKNRKINALSNKIAAPVSGFSILADDDLEENSTGKANQSSSCNSGAENGLFEPTITTRDVMAEINDMFGMPLDF >Et_9A_061591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14162740:14166674:1 gene:Et_9A_061591 transcript:Et_9A_061591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAPCTCRLVFLLLSLQLLLVAPWQGATAARALNFTRQDFPRDFVFGAGTSAYQYEGATDEDGRSPSIWDTFTHAGRMPDKSTGDLGAGGYHKYKEDVELMGDTGLEAYRFSISWSRLLPRGRGPINPKGLKYYNNLINELVKRGIDIHVTLYHLDFPQILEDEYHGWLSPRVVEDFTAYADVCFREFGDRVRHWTTMDEPNVISIAAYDSGAFPPCRCSAPFGVNCTEGNSTVEPYIVGHNSILAHAAVVKLYREKYQATQKGVVGMNVYSFWNYPFSQSPADLAATQRSLDFMIGWIVNPLVYGDYPEIMKKVVGSRLPSFTKEQSDIIRGSTDFIGINHYTSVFVSDRSNSVDSELRDYNADIAAIFRFSRNDPPSGQFIPFNMPSDPQGLQHMLDYLKDTYNNVPFFNDSIYDNKRVEYLSGYIGSMLTALRNGANVKGYFVWSFLDVFELLAGYYSRFGLYHVDFQDPELPRQPKLSAQWYSKFLRNEVGINIESMINTDATSHAEQ >Et_5B_044029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19144362:19157057:-1 gene:Et_5B_044029 transcript:Et_5B_044029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPRIHLNLGDFNCTSKTWSQCAKGSWNSSMGTVIRIEVMVVLAALNLLFLATFGSQRRRRRNFFIQKGVLGAYALSSSLGSYTLVFNSEEQHVSHLGRIPVYPILLLSMILSSVPDSPVTVLYLHTIIIHKLKHRLLVFKLATKSWNLNKVVADYMYEEHMKSGSSYDPVRLKGYHYLVDWPLHKSILEAQTSYSAQLSAEPAQVIDIEMIWLLNGGSLSPKLKDACLSFSLFHLLRRRFFRFASGESSQQKTHDFVFKGLLAKSEDGTIDYNRVFRVIDLELAFMYDFFYTNYALLYYGSKASTFLSLFAAILVLATAYTVPQPKFYSPDGDVIMSSIITSATSVITVLILVSIGLLELLQLLLYWTTIWGRVTFVCQYIRGQAVNPRASCCIKLKEILTKIGVSASNKHYYGDKLGQYSLIESVRYKDPSPSIADVDNRQRYDICCKFLDSSPFHHNMHKYARRVRDRRHGKPIKVPTEVKQALLQSLERTDGILTNGESSLVSNGAYHLVWACKNDVHSVLGARCSLEKENQAHIILTWHIATCYCEMATLKCLSLKVGGQLKFNFDVATKLSKYCAYLVVTAPKLLPGHHYDTSCMFDAVAAEAAQLLPGDKYEAMRSLPESAEMTIFPMGVKLGKQLQEMEEGTCWKLLADFWAELLLYVAPSDNVKEHIECLAKGGEFLTHLWALLSHAGILDRGQRNVVDIETARADQSCPREESHGDALRFRRASSHPLTCAEKSAAIATCAPNQPAMSRNHLECKYLCFSLLRNRAFWNSPTGTVVRIELMVVLTAINILFMATFGSQRRQSRNFFIQKGVLGAYALSSSLLSYTLGLMQSSTVKSNMFPIWAISLSILFACIDSITAYSLDDNYQYTRLNYQLALDSVYLLMIVQSVPDNPVTVMHLYGIVSYKFTHRLLVFKLVTKSWNLNKMVADYMYEEHMKSGSSYDPVRLKGYHYLVDWPLHKSILEARTSYSAKLSAEPAQVIDIERIWLCNGGLLSPELKDACLSFSLFHLLRRRFYGFSCGESSQLKTHDFVFKGLLAKSEDGTIDYNRVFRVIDVELAFMYDFFFTKYAVLYYGSKASTFWSLAVASIVLVTALVILASIGLLELLQLLLYWTTIWGRVTFVCQYVRGHAVNSRGSCFMRLKEILTKIGVSFASNKYYYRDKLGQYSLVDSVTYDPNPSMAGVDVPVRHSSPFHHNMHQYARWVRNRRPGKPIKVPAEVKQALVQSLERTDGMLTNGESSLVSNGAYHLVWACKNDVHSVLGARCSLEKENRAHIILTWHIATCYCEMATLKCLSPKVGGQLKFNFDVATKLSKYCAYLVVSAPKLLPGHHYDTTCMFDALIAEAAQLLAGDKYEAMRSLHESAEMTIFPMGVKLGKQLQEMEEGSCWKLLADFWAELLLYVAPSDNVKEHIECLAKGGEFLTHLWALLSHAGILDRGQRNVVDIETARADQPCPGEESHGEALRFRHASSHPLTCAEKRITIATCAPNQPAMSRNHV >Et_9B_065550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6684934:6688348:-1 gene:Et_9B_065550 transcript:Et_9B_065550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLAPLEDNLGVKRRNYNQIEPATARLPPVHCHLHLPPLLSYLEILEKGELLDTNLKLNGMTGQKEMLLRHSGARYPAVPLNVVKILLKSLPTNAAIPKSATLGTNDASRMYDAFTATLMQVGQSSSNS >Et_1A_009143.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30812951:30813772:1 gene:Et_1A_009143 transcript:Et_1A_009143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPLLAALLVLVAVVVMLDTGVAAVVEGGTSSQQHHPRLLDCGPAPTTPSKSDDAAFRANLSSALAEAQAAAATVPQAFITIQIGRAFAHGACFLSNASSPAVTRACTACLSAAARDVIGRCGATSVRAGAWRDECFVSYADSDASTPREDAFRGWFYAGATTPAALDGGYCVLDSAADCDRCLHDSARAAPALGWLQRIHGEEVLVVGYNNCLLRVTSSLRRGQTVSPLSPSLRLFRKSFAQEFKERTKILIEICCAKNSTCRGKTAPNGI >Et_8A_056611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13430715:13433620:-1 gene:Et_8A_056611 transcript:Et_8A_056611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLLLFAGALLLCAAKWPSGIILSYIVYLGAHAYGRDASPVEHARATESHHELLGSVLGSKEMAQKSIFYSYTRNINGFAAHIEEEVANQIAKHPDVVTVLESKMLKLHTTRSWDFMDLERDGQILPDSIWKRARFGQDVIVANLDSGVWPESLSFTDEGMGEVPARWKGSCPDTAKYAVPCNKKLIGAKFFNQDMLLSNPAAVDGNWTRDTDGHGTHTLSTAAGRFVPRANLFGYANGTAKGGAPRARAAAYKVCWKGECAAADVLAGFEAAIHDGADVVSVSFGQDAPLAADAAALLQEPVTLGSLHAAVAGVAVVCSAGNSGPYDDTVVNGAPWVTTVAASTVDRDFPNDVTLGNSARMKGMSLESTNLHANQLYPVVDARHAAAKGVSPYDAAGCGSGTLDRAKVEGKIVVCARGGGGGGVVDVAGRVAKGAAVLAAGGAGMILTNGRMDGDDVEADPHVLPATMITYAEAVSLYSYISSTPNPVANISPAKTETGVKHSPSMAAFSSRGPSGTLPYVLKPDVAAPGVDILAAFTEYVGPGGEVPTDARRSDYAILSGTSMACPHVSGVVALLRASRPEWSPAAMRSAIMTTARTQDNTGAPIRDHDGAEANAFAYGAGNVHPNRAVDPGLVYDAGADDYFTFLCAMGLSTKDVEKLSAGKFAACPAKDKQPAMEDLNYPSIVVPALRGNQTVARRLKNVDARGAQYRASWRAPFGVDMDVQPKVLEFAKPGEEKEFKVTFTSHKDKLGMGYVFGRLVWSDGTHYVRSPVVVNALA >Et_9B_065842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14805852:14808141:1 gene:Et_9B_065842 transcript:Et_9B_065842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINLTVRPTSFPISSASSPYRRRSRSTRLAVGAPALGRSHLSRTAWTLALDHPTPWIRERNCYRSIRGNKFLLRVLLQKRRTLQMDSSSGTSSPSSASSDHPPSSASAKTGFLKTCFNGVNALSGIGLLSIPYALSQGGWLSLAIFLAIAIIFYYTGLLLQRCMDASPLVKSYPDIGALAFGRLAVSAFMYLELFLVAVDFLILEADNLQKPSGGVQVGTLGRVSGKQAFALAAALVVLPTTWFSSLGVLAYVAAGSALASVVLVAAVLWVAVFDGVGFHERGRLVHWAGMPSAMSLYSFCFAGHAVFAMIYTGMKKKKKFPISFLMDFLFNMTILNVTTTCPSHVTADPPRTQLLFICFALSTFSYAFMGIIGYLMYGDALESQVTLNLPSGKASSKIAIYTTLVNPLTKYALVVAPIAEAVEDALGLRAAAAAGRARLLRVLVRTALVVATVTVALAVPFFGDVVSLTGALLNCSVSMLLPCLCYLRLRAKVMIVDRSSEKMYRLETAACVAIVAVGTGIAGLGTYNSVKQIIQKL >Et_6A_047798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1677490:1694024:1 gene:Et_6A_047798 transcript:Et_6A_047798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQGSGSNSGSHMDEAASNLSFTTRIRKSQDKRKPKRPQTIPADTISPGSLSQRIVNLVHGEDECAHRSNVSNRASQRRWISIRVKLVVALLLLVAAHCGAASAQYGDSSGATGTGSADDAGYFLGVAAAVLAVAAFVLARIVACDLSHAIEELAVPSFHGNLNVLILNRIAMGKESLNRLQLLPSSESTLPHSNELFVWMCFMGH >Et_1A_009477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6342114:6342643:1 gene:Et_1A_009477 transcript:Et_1A_009477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGHMQMHFLEACFLCQKPLAGNRDIYMYRGDTAFCSEECRSAQMEADEAAERTEIASARRLTHGPSPAREVDGPQECGKVRAGSVLAL >Et_3B_030925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:912490:916556:1 gene:Et_3B_030925 transcript:Et_3B_030925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAHLPMPSSVSHQPAATQAHGMNMIACTAPATKLPFLQQPLYRRHQLAAWRLQCSAPAAETAVYGGAAASRPPQQETAARRVTLATLRGKHRRGEGITMVTAYDYPSAVHVDGAGMDLILVGDSAAMVAHGHDNTLPISLDLMLQHCRAVVRGAQRPLVVGDLPFGSYEASPAQAVESAVRIIKEGGVDAVKMEGGSASRVSAAKAIVEAGIAVMGHIGLTPQSISALGGFRAQGKTVDSALKVVQAALALQEAGCFAVVLECVPAPVAAAATAALQIPTIGIGAGPFCSGQVLVYHDLLGMFQSKNHSKVTPKFCKQFANIGAVINEALTEYRHEVEARSFPGPTYTPYKMPSADVEVFANALQTTGLSGAADAAITASTENERKTVVVHRRAEEIKSSNGNLSAESTVYGGPRPQEAARRVTLTTLRGKHRRGEPITVVTAYDYPSAVHVDSAGIDVCLVGDSAAMVVHGHDTTLPISLDLMLEHCRAVARGAPRPLLVGDLPFGCYESSAAQAVDSAVRVLKEGGMDAIKLEGGAPSRISAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGKTVDSAVKVVETALALQEAGCFSVVLECVPAPVAAAATSALQIPTIGIGAGPFCSGQVTPKFCKQFGNVGDVINKALSEYKQEVETRSFPGPSHTPYKITPTDVDGFANALQKMGLNEAADAAAAAAENSEKDGRPEK >Et_3A_023214.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:11639008:11639157:-1 gene:Et_3A_023214 transcript:Et_3A_023214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYVAPSENVKGHVQAMARGGEFITLVWALLLHAGVTTRPEAPGAAIV >Et_1A_007201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3253586:3254358:-1 gene:Et_1A_007201 transcript:Et_1A_007201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMASKGVPLVRALEKLLAASSAPGASSIVRPVAFAGGLRGYNTGAPLRRYEGGDSDEDSVREYESQRRGRDYAVPSLFSDVFRDPFGATQSLGRLLSLMDDVAAAPSRAAPMRRAWDAKEDEEALHLRVDMPGLGKEHVKVWAEQNSLVIKGEGEKEAGEDEAAAPPRYSGRIELAPEVYKMEKIKAEMKNGVLKVTVPKVKEEQRKDVFQVNVE >Et_3B_028815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19438747:19442977:-1 gene:Et_3B_028815 transcript:Et_3B_028815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAEPPHVILLASPAGAGHVLPMAEFARRLAEHHGFTATIITYSNMSLPPLRDLPATVTTAALPAVPLDDLPADARIETCMLAVVSRALPHLRALLASIVRERGGNVVAFLADMFCAQALPLASGLGVPPYIVFLTNLMFLALLLRLPELDAATAAGCEYRDLPEPVRLPGCVPLRGADLLATIQDRSNPAYGLMVQMARDHLLADGFVVNTFDAVEHETLNAFRELSGEGVCPPVYAVGPFLRPWSAAAAEHGCLRWLDDQPDGSVLYVCYGSGGTLSVEQTAELAAGLEACGQRFLWVVHFPSDKDGSATYFGTEGQGDDPLSFLPEGFIERTKGVGLCVPLWAPQVEVLRHRAVGAFLSHCGWNSTLEAVDAGVPMVAWPLFAEQRMNAVMLEEKVGMALQPAGLSKNGRVVPREDVVAVVRELMVGEKGKAARVMAKAMQKAAAEALKPEGLSSKALAAVVSKWKAAHRGAKNEACPPRLAEQHGFTATLITFSNHSVPTTHDSSLPASVDMVSLPAVPLDDLPADARIETQMLTIVSRALPHSRSEAFLADLFCASALPVAADLGVPPYILFSSGLMTLTLFLHLPELDAATAATSRSPSGFPGACRCAAPTSSNPAYGLMVQMARDHLLAEGFVVNTFDGLEHDTITAFQELSDKGSARFFGWLRWLDHQPDGSVLYVCFGSGGALSAEQTAEVAAGLEACGHRFIWVVRVPSDKDSSAAYFGMAGQVDDPLSYLPQGFVGLCVPLWAPQVEVLHHRAVGGWNSTLEAAHAGVPMVAWPLFAEQRMNAVLLEERVGIALRPAATRENGRVVPREEVEAVARELMEGEKGKEARKGATALQKAAAEALEPEGLSSKALAAIANKWKAAHRGVENEATSP >Et_1B_012446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32054379:32057739:1 gene:Et_1B_012446 transcript:Et_1B_012446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFVLGQESRLRILQQAAARIPGCAYLCAWAPLAGGPVSSSSSAAAAARYALNCSLVMSPVIRPPLFMAFRPHSRACNAGLHACSGRLLCCVEAWLCDGGARGEGGRARALFDAYRGSVRAAVSGCVPGWAYKDGRAYMELPEHDLTASASLPVQQQFYQEAGIKMAAFMGCESGEIEVGVSTPATSSGMSTDLETSLHQVFSEDFFQQSLLEELLHLPPTRPPSSSPSSSLPSASVDSPAAEGASTSLLRTTMSAVTSAASTPFAGREWPVPVTPAPPLPEPFGQPGYVHIPSAEADDAVMARAMLAVISAASSSSSPSSPPPHHRERRWPRRSGTTTAFRAYSAALAPRRQPSGGAPGQRMIKMGISILRRLHMLRLSRERDAGAAVVQRGGQDDELAAAPSSSQLNHMISERRRRERLNESFEALRGLLPPGSKKDKATVLAKTLEYMNILVAQISDLEARNRTLESRAHQRANGGSKELMYHSSSEQRQAVLRQGPSCSSERVQVHVMSDGASTSSSSSSSGRQEVTVRVEARAEGDVAELVTRVLAAIRKTGRFTVVAVDARRPSSEGIIAQATFTLRATAPKLGQSQTDQPLVAAFPNRPKATLQAPQRKLNYPFLAGKPDRSGSRSCMTSPPAYKSNRSPAGEFDEVSLKEVVMKAAEDSAT >Et_1B_011011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16457169:16460291:1 gene:Et_1B_011011 transcript:Et_1B_011011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGSSNSKKSKLSWSKSLVRKWFNIRGKSHDFHADGGDGDWMDGSWTRRDSCTTKKSRTDRASRRSHERSRRSKIDLDAAEATVTLDYRIFAATWNVGGRAPPGSLSLDDWLRTSPPADIYVLGYVRAPRQPRSPSFFLRGAGFIRLIAATASRFQEIVPLNAGNVLGAEDNGPARKWVSLVRRTLNSLPGCGGGGSGSLQTPSPAPYPMAEVDDDFERSRQNNSSFFHRRSFQAGLSRSLRADGDILAGAAQPRLERRYSVNDRVMFGSRPSDYDGNCRWGGGGGGGGPSDDEEDDGGGSPSTVFSPMSYGYGNAPAAEEWNGGSRGHARYCLVASKQMVGLFLMIWARSEIKSDIRNLKVSCVGRGLMGYLGNKGSISISMLLHQTSFCFVCSHLTSGQKYGDEHRRNSDVMEILRKTRFPVVYGQYERSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRSEQRGGRVFPGWNEGRIYFPPTYKYSNNSDKYAGDDMNQKEKKRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSMFSAEVESINHRRIQKMNSWSSQLEIEELLPYSYGYTDINPYGYTDLNFY >Et_5B_043813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16434797:16437297:1 gene:Et_5B_043813 transcript:Et_5B_043813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKPAREAAPEEAPFGWDKSRYWDQEELLRSVHRKAKAFGHVDGWHSGRKLPVEVVDEVRQHDLDGGNSKVYARADPSAGPKWQVEGIVALVVHGRGSCMRDEPLRHELLRFLPVGRVPGKSPVVDDGPCPGRYGVALDVAEGHGEVRHKERCRRVQPERLLDDCLHVRHATASEDVVFGNHVVLFVLLLLPCNSADCRPDLGLELGHDVGVPHQLNHGVFQDDEGRVGAGFEHDDQLRLDMVSGEIVLLLNGEQHVDEVLAVVVRVRRRQGRSRRLVISGLLMVVDEAFEQVVPGPVHLVGFGAQDPLYDAQLHQRREVVLQVEPAEERRVRLHQLPEHLVPAFLPEELPRNGVAHHVVGEGVEQVAQVHHVAGDGVPADGGHDGADLALPGVAVGSDLPVCEEVRGGDLLHLAPVLAVGSEGHVRGAVEQDSPASGPGTRTRGPASAAPPAPRPRRHGAEAEEHHAVAAVLGGEVAEGDVREAANQVKVPDDGEPARRRWKLAQEELHVSFFLAAACACKAPIIVQQDDKDKS >Et_7A_051129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14796063:14801160:1 gene:Et_7A_051129 transcript:Et_7A_051129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRMLRRKDFQTGLVNLALRTDRGGTKHCTNGTIRKLEQFYQSDRPQHAASLMDFNHFTIRNFHAGVCMLAWSRKREDVVGLKAPKKEKRVKKENKTQPPVEAPYVAPKPKIATKSIPDKTVEIFDGMTLLELSKRTGAYISALQDILADLGEKVESEFDSISIDLAELIAMELGVNTRRMHTGVGTMEPRPAVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHIGAFVVEMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKAANVPIVVAINKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVIEISAVAKSGLDKLEEALFLQAEMMDLKARIDGPAQAFVVEARVDRGRGPLATAIVKSGTLVSGQHIVVGAEWGRIRSLRDTAGKITDSAKPAMPIEIEGLRGLPMAGDDVVVVDSEERARMLSQGRKKKQEKDRLRKIDENMEDDIDTPEGTPERVEMPIIVKADVQGSVQAVTDALRSLNSAQVFVNVVHVGVGPISQHDIDLAQACGAYIVGFNIRSPPIAITQAATRANLKLKGRSKSKGPDIKIAGCRITDGHFSKSGTMRLLRSGETVFEGPCASLKREKQDAETVDKGNDCGLVIQDCDDFQVGDVVQCLEQVIRKPKFISTQSGSVRIEC >Et_10A_001048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20634477:20634970:1 gene:Et_10A_001048 transcript:Et_10A_001048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRRRKTRFALVSPLGTVASSASIPNGTGFGGQPHHFGLFLSVNFDQGHSFTCSTFTSPPLSKTNRFKPEVIECWGIQMRGAQEENPKVVKGTVLERFKEDRNMLKMVGLASVSD >Et_2A_015094.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29722446:29722544:-1 gene:Et_2A_015094 transcript:Et_2A_015094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASNRFVSCIGYTPNWLMGAVTRDCNPAIS >Et_9B_065151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21653631:21656797:1 gene:Et_9B_065151 transcript:Et_9B_065151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPVPVLHLPPLLHCQTRPRFPHPHPRRTARDVHRRRSRARSAAAQMDSSGQPLRRDLYAHIEPHDSGFLKVSDIHTIYYEQSGNPQGHPVVFLHGGPGAGTSPGNRRFFDPEFYRIVLFDQRGAGRSTPHACLEENTTWDLVFGGSWGSTLALAYSQTQPDKVTGIVLRGIFLLRKKELDWFYEGGAAAIFPDAWEPFKDFIPEDERDSFITAYLKRLTSSDANVQIEAAKRWTMWEMMTAHLIQNHDNVKRGEDDKFSLAFARIENHYFVNKGFLPSDSYLLDNVDKIRHIKAFIVQGRYDVCCPMMSAWDLHKAWPEAEFKVVPDAGHSANEVGIAAELVAANEKLKDLLRK >Et_4B_039060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7481413:7483746:1 gene:Et_4B_039060 transcript:Et_4B_039060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEGDSGGGERQLGRALSFGIPDTALGLVLGYVEDPWDRDAISLVCRHWCRMDALSRKHVTVAMAYSTTPERLFRRFPCLESLKLKAKPRAAMFNLISDDWGGSASPWIRQLSATFHFLKALHLRRMKASDEDITVLVRAKAHMLVTLKLDRCSGFSTSSLSLVARNCKKLETLFLEESTIDEKDNDEWIRELATNNRVLETLNFFLTELKASPEYLTLLVRNCQRLKTLEINECLMSELTSMFRSAQALQEFGGGTFEDPGQAVDSRNFENYYFPPSLHRLTLLYMGPNEMQILFPYAARLKKLDLQFAFINTEDHCQIVQRCPNLEVLEVRDVIGDRGLAVVAQTCKKLQRLRVERGDDDEGALEDEQGVISQVGVMAIAQGCPELKYWATHVSDITNAALEAIGTFCKNLNDFRLVLLDREAHLTELPLDNGVRALLRGCTKLRRFAFYVRPGVLSDVGLSYIGEFSKSIRYMLLGYVGQSDRGLLQFSQGCPSLQKLELRGCCFTERALAIAALQLKSLRYLWVQGYRASPTGADLMTMIRPFWNIEFIAPDKDEPCPEGKQQILAYYSLAGRRTDCPPSVIPLYPAF >Et_10A_001660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6527522:6531272:-1 gene:Et_10A_001660 transcript:Et_10A_001660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAADGVIDLEDVEASDAEEDEEDDEDERPAAAGDELPCPFCGEELDVLGMYCHIEAQHHVESTAGVCPVCADKVGINLVPHITSEHPTFLKDKWRNQRSSYGSHSSTLALLRKNLRERDRHPLNRGSSRAVSMSTVPDPLLSSFVGNFVEVDLPKDAPQVILNAADVGSDSLEQKVAESAEEPLLPEVKEERTRRSQFVQGLVLSLIFDDVL >Et_9A_063242.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17193594:17195561:-1 gene:Et_9A_063242 transcript:Et_9A_063242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAMLGIVRLVAVLALLARSPAGSAAAGGSGGGNATAEACPMDLGYVRTFPWDPSPCAGGAPNMTACCQTLLSVLAIGLADRLRATGRFRLPSAGASAACLDDFAGNLSGAPLGLRGASLVPACFPSPDQFAITPSYCAGVATAADFRSAVGNDSVEALDSACGPDLAESQVCLRCLNAGIGATARLTTAAANATKSAPCFYLTVLYAAGVSNKNGPTYPPTAACALGLGLASPPPAPSNSSKNAVIYATTIPIAIVLLASILTFFLLWRRRRHANLKKKMTTPRKISEEGSAERRSHPRPNTGSILFDIAELAKATDGFAERNLVGRGGFGAVYRGVLADGSVVAVKKMLDPDMDGGDEEFTNEVEIISHLRHRNLVPLRGCCIYDDDVEEGKQRFLVYDFMPNGALEDFIFRDNKEPAAKTPPLTWAQRRSIIMDVARGLEYLHHGVKPAIYHRDIKATNILLDGEMRARVADFGLARRSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVLVLEIMSARRVLDMTAPAGPVLITDWAWTLVKAGQAREVLDDALATAESPRGGGGAMERFVLVGILCAHVMVALRPTIGEAVRMLEGDMDIPELPDRPLPYGHSLMFSEAGSNFSASPMFSGPFMDNGDMLR >Et_5A_042170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:779110:780885:-1 gene:Et_5A_042170 transcript:Et_5A_042170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METMMMSCSAQAAATSQLPPGFRFHPTDEELILHYLRNRAASLPCPVPIIADVDIYKFDPWDLPCKAVYGEGEWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHDGASGESVGVKKALVFYKGRPPKGTKTNWIMHEYRLAASGTDPLAASSHRSPPVKYRNVSMRLDDWVLCRIYKKSSQASPMVPPLADYDRLDHDEHSAGGSGFDDFCSFAYAPASSGTTTSAASTTTTHQQQQHAFPKIPSFSDLLDDYALAQIFDTAVPAEPDQAPFAMHPSLNQLLAIGGDNSESELIYSSYPAKRKASPDDGCVFGGQPPAKRLNGSCFDAPQPASGLSSSTSPALQGVLNHDMLPQF >Et_8B_058594.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5215971:5216411:-1 gene:Et_8B_058594 transcript:Et_8B_058594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPFLTVLITVIAAAILRRLKHKSHRVYNLPPGPRPWPVIGNFNLIGALPHSSLHELSKKYGPLMHLRFGSFSVVVGSSVDAARFFFRTHDKLFLDRHRTAAGKHTTYDYAGILWSPSGAYWRQARRICAAELLSPRQLASFEHV >Et_8A_057899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:934658:938593:-1 gene:Et_8A_057899 transcript:Et_8A_057899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVALATRMTADGGECFELDGMVFAVTEGNEVAEVLDGGAARGLSSESFFDAARAAARGSTSSTCRVKLRPCCCWCPCARTNAASSPSGGFPA >Et_4A_033446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22886368:22889748:-1 gene:Et_4A_033446 transcript:Et_4A_033446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTAGGCLSEDFHANTCPQLVNIVSMDVYRALLSNINIAAGLIRIFFHDCFTQGCDASILLRGRNSEQALGPNKTLQPKALQLIEQIRFKVHAACGPVVSCADILAVATREAVYFATGGVRYDVPLGSLDSTAPASPRAVGALPDPATRDVSVLLNAFASRGFFHPAELVALSGAHSIGRAHCVSFIDRARSREDPFSSSLMNACARNLAHVQILDLDSQYYWDLLAGQGVFSSDMALVRDGRTAPFVHMFPNNQPAFLGAFTQAMAKLSFFKPLGNYGEIRQFSCFRTNSGWITQDDSEVLSLAAVKWLGGPNYDVALGMLDSVAPATEQEVGAEAARRPARCPSSCPPSRVATPGFGDPTELVALSDAHTIGVVHCDSFRSGTAPSGGRTCSRRCSSSSAPGTPRVLQPLDVLTWNVFDSTTSTWWT >Et_7A_051581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20596908:20602051:-1 gene:Et_7A_051581 transcript:Et_7A_051581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCHNAKRVVLESRRLSSLRYKGGLPRDGSFISVANHAGIAELTVDICEGIDDKTPQQVAAITELIGTYTNLTFLHLALRPAMAHRSSLFTNVLRHLPRLRHLELKGCLRSDHTVGSVAHLLESTPNVEALTLFPLLPDPPNKSMFFVDDLDNELREDGEDDKGVDYGGQVQVPEPLWAAQTCSFERRLRRISLVGYRGRPFERVLAKFLLSKAAALEEFSVSIAPGRSAHRAGITLTTELTSWLVNDRGTRVAFHILSFLGVKEAPLTSVLSRSWRTLWRQADVISLDTRSYWDIGYDGVEAGRRLFRDALAAIHAAGRSPVRRLNCHLESNYQTDYLEGVVATSPGMDAVLAAPAVQRLEELSVDGAQRRRRCNGFFRAPRDATDGAALLDTAPNLTRITLRRVSFPAGALLRCPEALVSVEMTHCHSYTTEGIYLDAPNVRFLHYKGYLEHFPFRSKMTMTSGRPICLQHLVLSFCKPWYCRDQPVGETEPHAIFWESIGIFRRLRVLKLKLPYISHIAVHPEKEDMLLNVVFPNLKFLQLKGSYELDSNGAEVAIANFLYCCPAMQELRLKFQLHGDPYALHYATIMRSDERSDQLDQEKSMESLKRLKSNTTTFPLDLSALKARTFPCLVSHLRRIRLEFELERHNCFEVKIAKFFVQNADILEEMEVHDGNQKVYDHIHHKFAIWRAKSSKRRNKIVGEHNNRGSIQESHKKGKIK >Et_10B_004459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8104371:8106933:1 gene:Et_10B_004459 transcript:Et_10B_004459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRHECCKHGSGGALWLMLPLVLFIVLKTDFLPQVANFPRPDLTNFADAMARKVSPLGLDSTRRQQQQPLDVPKSEAAKDVAPPAATSKLSCNFSNYHSNFCETEGDVRIHGKSAMVYVVSSSTYKKENATILLRPYTRKWEQGTMSRIREFGIRSSPPPSGAAADLLVPPRCTVQHDVPAVVFSVGGCGKNFFHAVSDVLVPLYVTAREYGGRVQLLAADYDARWFAKHQRVVAALSWFPVIDVAADAAVRCFPAARVGLESHRILGIDPARSRNGYDMIGFKDFLRSVFSLPRQWSTPASRRSAAGEQTKKPRVVMVLRRHSRALTNEGDAVAALTELGFEVAAAGPDEAADVARFAGVVNSCDVMVGVHGAGLTNMVFLPGNATVVQIIPWGKMKWASWYDFGEPAPGMGLRYVEYEVTAEETTLKDKYPRDHPVFVDPYSIHRAGGAWRYFLDGQNVTLDIPRFKEAMRQVYLSVTTE >Et_6A_046505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16244224:16248441:-1 gene:Et_6A_046505 transcript:Et_6A_046505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRFFGYDPYDYYYTTSPYHHYPYPYYPRHQQPAGAGGFFPSSRAEPAAVRPTPAANEAPRAKTVSIPVHFVGSNREPAPSAEEATVQLQAAARGFLARKAVRQLRAVAREAEEVAGKVAREADALRGDARARVAVGEELMRLLLRLDAVRGAREYRKKVTRRVLALQDAVDALEPRLEPAAVAEEPEPESEVTEEAADKMAEESEVAPALPDAAENEKAKATAEDEKAAEMEADGDRTSGEPCTAQKTEEALDGANRGEDMSDNANADGDWEMVTGEPEPAAASAAPPCREEPAGDIRKPDKAASGVDTKKVMEMVAALCEQSAQQCAVIGALADRVDALERSLRRVEDAERRRRRAKKLRNEGKGSNRDKISPLLVLPIYPSRRHVPSHHNTIPDRQQQSPTARESQSNRRKLGAATAMASRRFFAYDPSDYYDYPASYYYPDPYYHHQHYQPAPARSAGAGGFFPFAGDADQYYGFQREPSFARSAGGFFPVAGDVDPYYQPGFFPAAGDVEPAAARAVAARPKKSGSSSQPVSVPVSFVGSEYSEPEEIAWPSPVMKKRAPSAEAAAVRVQAAARGFLARRAVRALRAVEREAEEVAGKVAREAEALRVDARARVAVGEALMRLLLRLDAVRGAREFRRRVAKRVLALQDAVDALERRTAPVAEAEEAEAASAEMVAEENAVAPELNSGETEENTVSETAAEMEVDGDRAEAEDTEPAPDSANRGGDKPAADEDAEGEWEMVADEPAAPAAPSLPAAPQQEESAEADVTGRPAAADGGVDANKLMAMVAALCEQSAQQCAVIGALADRVDALERSLRRVEDTERRRRRAKKLRKEGKGKNSNHIRCYSSD >Et_1B_012093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28898768:28901691:1 gene:Et_1B_012093 transcript:Et_1B_012093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKRWHDIIAADGGSAAFLRLSRALHPRPPPTCVTERRTSSPHRRLPSTATASRRSTSLPGYYNTTCQVADCYGGLSPLNLTADHLLDGLLTPEFNYGSCRSRYQFVSYHKKSSRKPSPYLIAKLRKQEALQKRCGPGTASHKEALRRL >Et_5B_043700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14190996:14193304:-1 gene:Et_5B_043700 transcript:Et_5B_043700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPKDQHHLFGSVLARLPVRPAAMKSATSLLLGAALATAFFLLYTSLCRDLGAANGPPPQRQQRWMGGNNTAAVVRSGATDQEARSEKEQEVAKVEVATTTSSSDGGGDRGGAGTEEREKQGIVMPAAEAKQHPEQQQQSPPQQDLADLLRRAATADKTVLMTAINEAWAAPGSFLDLFLESFRHGEGTADLPRHLLVVAMDGKAYQRCRAVHPFCYWFRVDGMDFAAEQTYMKGDYLEMMWRRNRFQQSILELGYSFLFTDVDILWFRSPFPRLPADAQVVMSSDFFVGDPHSPGNYPNGGLLYVRASRATVAFYEHWQASRARFPGKHEQYVFDRIVKEGVPPHVGARVQFLDTAVFGGFCQHGKDLGRVATMHANCCVGLHNKLFDLKNVLEDWKTYRARAAAGNAQGFSWRVPGRCIH >Et_1A_005811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14249035:14259176:1 gene:Et_1A_005811 transcript:Et_1A_005811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNAETAPPIASHAPAAEADDWAERDDFEESRSQPPPAAAAAAAEEETPQAPATQDLNGVQSSLQSLELQTSDDGVKMETEAEQTRKRHLNVVFIGHVDAGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERAKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVLLAKTLGVSKLVVVINKMDDPTVGWSKERYDEIEGKMVPFLKSSGYNVKKDVHFLPISGLLGSNMKTRMDKSICSWWNGPCLFEVLDGIEVPLRDPKGPVRMPIMDRYKDMGTMVMGKIESGTIREGDSLLVMPNKAIVKVISVYCDEDKVRSAAPGENARVKLSGIEEEDITAGFVLSSVTNPVGAVSEFNAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVELIEEIDMKRKKESDPKKKPKRKPLFVKNGAVVVCRIQVNSLICVENFSNFPQLGRFTLRTEGKTVAVGKIVAVPPNGSSTFKEVVA >Et_1B_014191.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:3522034:3522606:-1 gene:Et_1B_014191 transcript:Et_1B_014191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFWGGGRADEVADFDEYDPTPYGGGYDIALTFGRPLPPSEETCYPISTSSSSSHDRPQQQHGYDAGDHRRKPHADESHGSAAGYGGGGGRRPQPHEEETHGSVAASGYGYGRKGHGDDDDEQGYRKPKPAYGDHDEQAYRKPKPAYRDDDDEQGYRKPKPAYGDDRPKYHGDERPSYGRKKQVSARH >Et_4B_036639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1031029:1034121:-1 gene:Et_4B_036639 transcript:Et_4B_036639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAQLDKMQLRQSYRNLWHTDLTNAIQADFPYCCLALWCGPCVSYMLRKRALYNDMSRYVCCAGYMPCSGRCGESRCPEFCLATEVFLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQVACIFSLVAAIVGSEELSEASQILSCMSDMVYWTVCACMQTQHKVEMDKRDGKFGPQPMAVPPVQQMSRIDQPIPPPAGYAPQPAYGQPYGGYPPPPAQGYPPAGYPAQGLPQGGAYPPPGYPPQGSYPAPQGSYPPPQGSYPPQGYYGK >Et_2A_014550.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21898571:21898951:1 gene:Et_2A_014550 transcript:Et_2A_014550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKEKPETNGGPPPPRLDCIKCFDALWFCYSPFHQMQSYYRYGEFDNCFGKWGALVDCLSLKTKRVAEVEEILIAREKAKPHIWTFRTVDEASEHWWRMYKHVVLMSPPLPGAASPPPKSDDLRA >Et_5B_044250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2263101:2263978:1 gene:Et_5B_044250 transcript:Et_5B_044250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRCSHQLQLQYPRRAVGKLADPWRSPASKPRKTLRRRRAAAEARRPRVPVRRGVRFAHRPDADAVQGRGRRPAAALSAASCRARTRECRVTAVTTSRNSLLRRAVSNSFIASRGLAPIHLSVAPRLPFLRLRARRRAPPSGGLPPPRAFQLRILVYDASGGSLSTVPGIHYSASYNSVGDGTIVMAAPAPPDDDGGAGFVLVELLTMFLSAPPQAVLCLWTPGTQAVWKKKKKKKKEAGRLPADVRPPKHVFAADTAFDTGVCCWVDLRHGLRLRRLPR >Et_3A_026922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26536597:26540983:1 gene:Et_3A_026922 transcript:Et_3A_026922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIYSTFISITPLITRKWTEVADLYRKKITRCIIPDPPSSPIDHLNAEQFPFSYISNRRDMWMPAIMERDLLLPRLLFHIYGHN >Et_9B_064535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16285357:16286955:1 gene:Et_9B_064535 transcript:Et_9B_064535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGQGGSGGGGWLLDYGLVEEEIQGSEFIYMVDDPAVSRSRPESSAPPGTKACREKLRRDRLNERFNELCAILEPGKPPKADKVAILSDATRLLSQLRAEAQKLQKSNESLQDSIKSLKAEKSELRDEKTRLKAERERLEQMLKGVGAASATAQFVPHPAAAAPQFHAAAFAHAGKFVPYASYPPPAAFWQWIPPTSLDTSKDPAHWPPVA >Et_2B_020861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24334834:24349640:-1 gene:Et_2B_020861 transcript:Et_2B_020861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFPARRRLLLPVLVAALLCLAAAPGCAAARRSKKTYKAIFSFGDSLSDAGNLIVNGTPKALTTARPPYGMTFFGKPTGRCSNGRLVVDFLAEHFGLPLPPPSQAHNTDFKKGANFAITGATALEYSFFKAHGIDQRIWNTGSINTQIGWLQNMKPSLCKSEKECKDYFSKSLFVVGEFGGNDYNAPLFSGVPFSDVKTYVPLVAKAIANGVEKLIELGATDLLVPGVLPIGCFPLYLTLYNTSKKSDYNARTGCLRRYNRLAYHHNRELKQQLNELQKKYPKTKIMYGDYFKAAMQFVVSPGKFGFSTALQACCGAGGQGNYNFNLKKKCGEQGASVCSNPSAYVSWDGIHMTEAAYRMIASGWALLAVLAAQLILAAVVPAAEAEAAGRYRAVFNFGDSLVDAGNLVTDGIPDYLATARLPYGQTYFGYPTGRCSDGRLVVDFIAQEFGLPLLPPSKAKNATFSQGANFAITGATALDTEFFEKRGLGKTVWNSGSLFTQIQWLRDLKPSLCYSDQECKEFFGKSLFVVGEFGGNDYNAPLFAGKDLKEAYKLMPHVIQGISDGVEQLIAEGAKDLIVPGVMPSGCFPVYLTMYIDPKEEHGPRTGCLKRFNTFSWVHNAMLKRALEKLRAKHPGVRIMYGDYFTPVIQFILQPEKFGFYKQLPRACCGAPGRGPYNFNLTAKCGEPGATPCADPKTHWSWDGIHLTEAAYRHIARGWLHGPFGDQPI >Et_3B_029087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2201800:2204652:-1 gene:Et_3B_029087 transcript:Et_3B_029087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIERIDNRTNRQVTFSKRRSGLMKKARELGILCDADVGLIVFSCTGRLYEFSSTSMKSIIERYQEAREENNCRLLNRIAEAKFWQREVTTLRQQVQNLQLNNRHLLGEELSGLTARDLQFLQSQVEMSLQSIRKKKEQLLAEEIMQLNQKGLVLQKENIELKKEVSIAHRHKMELQKKGKALRVIANKLAEALIKLT >Et_1A_008312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6271071:6271308:1 gene:Et_1A_008312 transcript:Et_1A_008312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACIERVDTFPNLPPTFIDVLKVQYNVVSLTLLYNIRIMLATEILNMTSSMPLWRYCLRTI >Et_4A_033239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20264435:20270199:-1 gene:Et_4A_033239 transcript:Et_4A_033239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSPTNIRRKYSWWWDSHICPKNSKWLKENLSDMDSKIKLMIKIIEEDAESFAKRAEMYYRRRPELMTLLEELYRAYRALAERYDHAAGDLRQAHRKIAEAFPDQVLMDLDDDLPADTASIETDMENPDMNQYFLSFINASDSKRHAKDDQDYEKLHNELASLSQENQDLKNRISSILEQSNKAECEILRLKESLAQQEAEKEAAVSLCQQSTARLQNLKSEILHTQEKFNRLKEEMQSGRQSFNKADELSLLLERDNQDLCLELDNMKVLLNQKQDELNEKQAELEKLNISTEKEHLKCMQAEMAQLSLEKQLLVVQDKLRHLALEKQSDISKIKDIEASKSALQKELEKILEENRKLNDQGHSSSAAIIHLQNEIISVKNVQQRLEEQVYHHVEEKKALQHELANLKEDKSDLERKHISIKEQIQSVNSNVESLQSLAQELRDGNVEMKDIIKNHESIELLHIDSLRRLERMSETNAHLEKSLSAATTEIEGLRANKVSLEESCMDLKSKIHTHQSERAVLVAQIEAISQTMEVLLEKNVFLENSLSDANAELESLRRKLKELKESSEALCNQNSILISEKRTLFCQVDSVTFTLLNLEKQYKELERRHSDLQKEKDMVLDEVIKLQEQIRLERKEHEDLAESSNTRFDALQKKISLLLEQGRNREVQLGEEELKIVKAQIEIFVLQQCLNDISQVNSDITAQLQKKEVICKVQEGKMNNLSQYNQKLTEGINSVVRVLHLDRKYESLDQMKLDIIVQLILSEINCLLNTISDAQDVKQNELVEKSLVVTLLEHFGQEVADLRSERNTLKQDQEAKNEKLLQLQREKEELVKISDEFVEQVEVRNHKVDELKAEAKFLVGRLSELQESRKSLQSEITKLLQANTLISNELNDSIEKHKIFEQDFSNLVTEAVSKDILSVIFRSLHQERTLQLESLHNNFGCLQTAGSELYQEIKMMNKKLGNLEIENNYLGKELNRTMSVYGVPLVQTAAEKGRPWKDLLEVEQHKEVGDADFQESNEMLQEEVHKLQSEVEMLRSKERTVFDIKSCDEEIAKLLANMQTAIMNAALFKEKVLELIITCESFEISAMVQKEVLKEEITRRNSYVDELKDKLNAVEIENRRLKVDLNGDFTVLGSLQTEVNALEKQTLSLANDCLQPNMLQMEEIVLSPQPLKNTMRTGDDDNAMRMVKNMELQKLHGTIKALQKVVTDTGVLLEQERLDFSANLQEAKKQIEVLKLKEMLDDDIMEMNYEKMLKDIQLDLIQTSSGPRTTPFGQEKKSVAPVDEKVVNFRGIVGPSNGRMTDDFRPPQCESFERDNNKQVSFDLTVVKELSIDKQELPRSITSEPHQEWKNKVVERLSSDAQRLNALQSSFQELKTNAETSEELELESIRYQIREAEDIIIQLIDTNGKLSKKAEEFTSADGPDGDNIDLRSRHQRKILERARKMSEKIGRLEVEMQKVQQALQKYEEEQSSRKTSKALQRRSKVQLVEYLYGRRRDSRRQKRSSPCGCIRGKTTDD >Et_8B_060798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7806638:7810644:-1 gene:Et_8B_060798 transcript:Et_8B_060798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVVAGGSVYCFWEDEYQTIEDPNIITSFDLQTEEWTIIEGPQPMDGDGSSDEGSSNDDDEDVESDDGMWSQSTLTELNGYLVLVHKRGQDPQFFDLWFLIDTDNHVWVKQHSIQAPKSVIPDGETVKPLLLLDDGRIAIFLCDKGVLLLYDPKANVFSEVDTRCLDAVGLYTGTFSGELRRRQEVVGCLGRGGWGGGGIWGDPMVVASSPGSGKRRWVDIGVGELERHCLAWRRL >Et_3B_030449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3891913:3896278:1 gene:Et_3B_030449 transcript:Et_3B_030449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCFLLDLRNIPPPLLDRLKQCLLHLANLYAATTSPPHTASAAAAATALPDRLALGYVHHAASSSSSSSSSSSSPELKIGYRPGEKFNLRDFHHAVNNVPLDGFLPEQRGRSLQTRDVSLANLFSNKAIYSWATDDISKKVVAICMSVQNIEALRSSLMDAAEQCVVVEFVMLETEAPFMYDDVSENVSCLENRICDLENCVVRRYSPEPQVLHGLVKRWLEELKDDKEETLQAVLLFKEPIINSVKQIYCNLYASANQIIDGFPYCQTCKCHGHPIDPATNKAKWLCPVTSRQLAASDVADTAVRIGEQTVLFLPNSEGGLNLRRASTSISLDVIERTELASLNEGVIMGTPHIVIPCSNDVEVSLTDESSDQNTQIFYGLCQTLFKLDQGLVCSSSCNTETMKVGTLQCYYLLQPSERGPMLLRRLAGSEEILPLPGASRDYHSNVTEEIKNSIGTSLSKIALKDYNPLQHERGFHSKLICLVKNSLQFGSIDPSCALRDTHNVDSFSEPQVSGCQVPEANFLTQAADKAGSLNDHIHSFSEPQTSSFRIPKEKTPSQSKNGKPSPSISEEWEKLIIIDDLNDDFASPAVPRPAAGKPQRAKPPSSVKQLDENTSRILERLEVPKAKKPRANTSKASTSAAQAPSRVASTQTKKPLLPLDPSASQPLKPTFNRPRRKLPA >Et_4A_033187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19738546:19744880:1 gene:Et_4A_033187 transcript:Et_4A_033187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LPILLHFQQEQTQFSVLSIDLWKLAMASTVTAPDLSLHISPPSPLDVRSSGEGDACLETGHNDEPNLCLGLDTATAMARQDTVHSSLGDTQQQRLHQPGQIQRFKKSSSGSQTLSGGAMRSGNGSGGGKRSSRAPRMRWTTALHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHMYRTVKGTTADRSCAAGHVQMSDMGFLRRGCAVYGFDAFNHSTSNATANTRKLSTAGMPGVQEAPSWCLRPPYAQQTPLPLPCPYLMSSHHNRYLLAQNQGWRRGRQQATAHCIDQDDTWRLHATGPLEMGRRSSWSAGVADRWSSSSMVPYPTMNARSSSGADQARMKQQLSSRMPSLEISLGRQGWQHNLQEQQQQQRSATTTKELTLLKCL >Et_1A_005188.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31284144:31284338:-1 gene:Et_1A_005188 transcript:Et_1A_005188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQSMVRVGDSLEFIHGNRNLQQDAMLLDSKQRFGNKIIREVIIVAAWTIWCQQNSIIFMPTL >Et_4A_032317.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29663530:29663670:1 gene:Et_4A_032317 transcript:Et_4A_032317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGGSDVVIDRTVAGEFTGTDSSAQPSNCTYGNNVGGTCPPSVGH >Et_10B_003508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2277965:2283787:1 gene:Et_10B_003508 transcript:Et_10B_003508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSNPMRKYSWWWDSHISPKNSKWLQENLTDTDSKIKVMIKIIDEDADSFARRAEMYYKRRPELMSLLEELYRAYRALAERYDHAAGELRQANRRMAEAFPDEYQLDLDDDLPAESVSTEPETDSRDMTPFFLSFINTGDSKKRAKDDQEHEKLQKEISSLSKENQDLKKKISSVLEKSDQAESEVLGLKEALAQQEAEKEAALSQSQQSSDRLVNLKSEILHTQEEFKRLKEEMQTGLQSLSTAEERCLQLERANQNLHLELDKLKNASKEKHDELNDKQIELEKLSITIQEEQLKSMQAEMARLSLEKQLAQAQEKLRLLSLEKHGEANKINDIETEKVLLQKELEKIRDENRKLDDQNHSSTSAIIRLQDEIISLKNAQRRLEEEVSRHVEEKKALQHELSHLKDDRGDMDRKHFSIKEQIQVVNFNVESLQSLAQEVRDGNVELKETIKSHEAVKALYVENLLQLERTLEKNAHLERSLSAATTEIQGLKEKKGALEETCKHLNTKISGHQSERAMLIARIEGISHTMEKLSEKNVFLENLLSDNNTEFEILRRKLKDLDESTQTLRNQNSLLRSEKRTLVREVDSLNSALLSLETQYAELEGRHLDLEQDKNKTAVELIKLQELLRLEKEKHKELTNSGMTQFHSIQKQISLLVEEIRRREDQLQEEEHKIVEAQTEIFILHKCLGDMAEANSDVLAKLQKQQEAHKVQEEKVSSLSQNNQKLTEGIGSVMEVLHLDEKYGSLDLMKIDVVVQLVLHEIKCLLNTISDAQDVKQNQILEKSLVVTLLEHFGREVADLRSERSVMKQEWQAKSEELMQLQSERHDLLRISCELRKEMEARNRKVDELKSEAKFLVRQLTELHESRQSLQAEVIKLIEENSSLSSKLYDSREQEKSSEDDISILLGEVVKTDILGVIFRSLHEERTSQLRCLHEDFGSLHAAGNELYQEIKLMNKKLGDLQLENNYLEKELSRTLSICDGSSGELSSARRRAMRRDTKLLKSGRKSQESSMNAEQRKEVDNAGLEKSNEMLREELQKLQSELQVLKSKDQPVIDVKSCDAEITKLLANMQLATANASLFKEKVLELIVACESFEISDMVQKEVLKEEITRRNSYVDELKDKLNAVEIENRRLKVDLNGDFTVLGALQTEVSALEKQTLSLAKDCLPPHMLKEETQMSPQLSKICVKPSEDQNATKMVKDVELQKLHGTIKALQKVVSDTGVVLEQERLDFNSSLQDARKQIEILKLKEILDSDASDTNYDRIMKDIQLDLVQTPSRRAASHRQRKKTSPTHSDDKMLALWSVGRVSSGSHRNDVDLRPPQSEAPMTVIDKVKKRCSSEPVVMKDLSVDKQELLPRPVVTTSEPHREWKKKVIDRLSSEAQRLRDLRSIVQELRSSVEASADAELEAVKAQMVDAEDAIAELIDANGKLLKKAEEFTSAGDGDVDLRSRSQRKILERVRKMSEKAGRLELELQRFQHALLKHEEERAARRAAKATVQVQRRSRVQLVEYLYGRRRDSRRPKQKQRGPSCCMRAKAIDD >Et_10A_001431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3104317:3119841:1 gene:Et_10A_001431 transcript:Et_10A_001431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSACIIALCGTPLAGLFFRESEARAGAVMTVSLPPARTPAILPLAASEKAPFANATAVLAAFAVPPRSDAAARVADTLRGCRAAALRRGQGLRHATVRAASRMLLSQGGAPLCCIWGAASAVPRDGLPRRAYAVEELGGDRHVACHDESFPYAVFQCHMTGRSATKAYMITLQSGLDGNGPAATVAMAALCHRDTSCWNPAHPVFKILGTKPAARRCATSCRTPIWCLARRSATTCCRITHGVPRGGLPTQRYIRRRRSHPARRRPPRRLPRRAVPIRRVHVPRESVASTKAYMLSLRGIDCGGATTAMAMAALCHLDTRTWSPGHPAFEILRTRPGGTPVSGTPAARFWEQALPGSPMPDSIAELVQKGIDRSPLKERNAAPYLLPSACTFSYEYKITCGGPPEAATGLFFHERQARAGVIMTVSLPPAATPAILPLAAAEKTPFANATAVLAAFAVPPRSEEAARVANTLRGCRAPPLAGESKVPCAPPRACCCRRAAAAAVPYEGLPRRAYAVAAVEELAGDRHVACHDEPFPYAVFQCHMTGRSATRAYMITLQSGVHGNGGTATTTAMAALCHRDTSSWNPAHPAFEVLGTKPGGAPVCHFMPYANLVFGQTVAHYLDDNRVCVPTPVARRHASISASSDHGGCRWRRRSAWPPRRSGHAGGTVLGAGPPGIPDAGLHRGTSAERYVDASIRNANYHSFMYVLRCKRPDRTSYNFLEDKKNYIYGTRIDRSPLKERDAALYRLPSACIIALCGTPPAGLFFSESEARAGAVMTVSLPPARTPAILPLAAAEKAPFANATAVLAAFAVPPRSDAAARVADTLRGCRAPPLAGESKACATSLEGTVLAASRMLLSQGGVPCCIWAAASAVPHDGLPRRAYAVAAVEELAGDRHVACHDEPFPYAVFQCHLTGRSATKAYMITLQSGLDGNGPAATVAMAALCHRDTSSWNPAHPVFEILGTKPGGAPVCHFMPYANLVFGQTAHRRHNARSRPSRDHRCRTPSWNSSRKVLRGCISLEDANYHSSRTSFNFLKFIWHSFVTKISLHDAGIDRLIAHRSRSATPYPTCCPAPASATSTRSPAAGKPPAGLFFRESEARAGAVMSHDGLPPASGHAGHPPASLCREDALCRRHGRALRRRRASQTLCAGAARRRSPARARPAPLPSKEPYAAAAAAVTLNGLPRRAYVVAAIEELAGDRHVHATTSASLMSCSSAT >Et_8B_058849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:132626:137863:-1 gene:Et_8B_058849 transcript:Et_8B_058849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSDPEEIRGRVVVLGADADELARPELEAFHLPSPTLEEAGASVGGPAAANPPVVAPLETELPPNAMPSSSSSSNSNSNEQNKEEKKKGVALAPAPLGSLFRFADGLDCVLMSVGTLGALVHGCSLPVFLRFFAELVDSFGSHADDPDTMVRLVARYALYFLVVGAAIWASSWAEISCWMWTGERQSTRMRIRYLESALRQDVSFFDTDVRTSDVIYAINADAVIVQDAISEKLGNLIHYMATFVAGFVVGFTAAWQLALVTLAVVPLIAVIGGLSAAALAKLSSRSQDALAEASNIAEQAVAQIRTVQAFVGEERAMRAYSLALGMAQRIGYRSGFAKGLGLGGTYFTVFCCYALLLWYGGHLVRRHHTNGGLAIATMFSVMIGGLALGQSAPSMAAFAKARVAAAKIFRIIDHLAVVHGDHVQLPSVTGRVEMRGVDFAYPSRPDIPVLRGFSLTVPPGKTIALVGSSGSGKSTVVSLIERFYDPSAGEILLDGHDLKSLNLRWLRQQIGLVSQEPTLFATSIKENLLLGRDSQSATLAEMEEAARVANAHSFIIKLPDGYDTQVGERGLQLSGGQKQRIAIARAMLKNPGILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLHGGAVSEIGTHDELMAKGEDGAYARLIRMQQEQAAAQEVAARRSSARPSSARNSVSSPIMTRNSSYGRSPYSRRLSDFSNADSHHYYHGGELIESNNKAHHQRRRIAFRAGASSFWRLAKMNSPEWGYALVGSLGSMVCGSFSAIFAYALSAVLSVYYAPDPGYMRRQIGKYCYLLMGMSSAALVFNTVQHAFWDTVGENLTKRVRERMFGAVLRNEVAWFDAEENASARVAARLALDAQNVRSAIGDRISVIVQNSALLLVACTAGFVLQWRLALVLLAVFPLVVAATVLQKMFMKGFSGDLEAAHARATQIAGEAVANLRTVAAFNAQAKIAGLFAANLRGPLRRCFWKGQAAGCGYGLAQFLLYASYALGLWYAAWLVKHGVSDFSRAIRVFMVLMVSANGAAETLTLAPDFVKGGRAMRSVFETIDRRTETEPDDPDAAPLPSDAVSVELRHVDFCYPSRPDVRVLQDLSLRARAGKTLALVGPSGCGKSSVLALIQRFYEPTSGRVLLDGRDARKYNLRALRRAIAVVPQEPFLFAATIHDNIAYGREGATEAEVVEAATQANAHKFISALPDGYRTQVGERGVQLSGGQRQRIAVARALVKQAAVLLLDEATSALDAESERSVQQALDRHAKTRSTTTIVVAHRLATVRDAHTIAVIDDGKVVEQGSHSHLLNHHPDGTYARMLHLQRLTAPSTS >Et_6A_047099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25241347:25241991:1 gene:Et_6A_047099 transcript:Et_6A_047099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCFASSSPAGELGLCKLVPCGELGLCELVPCGELSLRRKEGWLGTKSDDGGGGGGRREDEIGERESTGTMRRAVSTTYSWVVAWTRRKIDLAFACSVGDIVSNRFQAMDSIGLKLSHRLRFLAAEVPFHHSVRYKKAIRPFPASVKQSSNSQNK >Et_1A_009476.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6300186:6300701:1 gene:Et_1A_009476 transcript:Et_1A_009476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPVVIILITIAIAPVLAIGTSSVINTTCAAITSQEREYCVGFLSGDPAAMAATDARGLATAAVNMTANKSASTMHVITDLVDELNTCRGYYNAMSESLAAVLVDLRAGRLNNATLEKVHKAVNQPKNCDTLLLEGKAQKNPFSKENGENDSLVSFAAALTSLLASKRIG >Et_8B_059546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19005191:19011646:1 gene:Et_8B_059546 transcript:Et_8B_059546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPADGGGGGDDWFLDCGILDDLPAAACGAFPWDASPSSSNPSVEVGNYVNTTDVFKEPSSNKRPGSSGSGRPTSKACREKQRRDKLNDRFVELGSTLEPGKPAEKDELREEKHKLKVEKESLEHQMKLMTATPAYMHHPTMMPAPFPQAPLAPFHPQGPAAGQKLMMPIVGYPGYPMWQFMPPSEVDTSKDSEACPPAPLAPFHPQGPAAGQKPMMKPMMPIVGYPGYPTWQFMPPSEVDTSKDSEACPPVA >Et_8B_060503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18882277:18886076:-1 gene:Et_8B_060503 transcript:Et_8B_060503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISALLIFVLVEQRGDTRNIGHLNFVHPHLQLDFVDDVIQLRTLFYLAQNFDCHRSGIALCAAACVAKDQGAQIIRHHGQVTVRGVQWPQRRAGLEPVAARVHGGHRLAVLPPGAGEVAACHVGDHAGDLDARRAVAVDAALVSLPLVAGERPAGDQPDSLRHRVVVRHLGEPCHQERVHGHLGSLRRALQLLRAEVVQLGGVACLEACVAPAQHGDEVAGVAAGDERGGEGDEHCMDQRHACFSLHRLMMEVGDLCYVMLAEMGSRQLEEGFITSSVRSMQKLWPA >Et_9A_062652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2826480:2829885:-1 gene:Et_9A_062652 transcript:Et_9A_062652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YKDETGRKRGWERCGREASAYPWEIDCFGSLSLVEEMTKVTPAKASKNIELQKQSVPNETNGQTSTKEVINGECPINGVKSISTWVCKNLACKAVIPSEDSFCKSYLKRQLVIGKDARRVDILCHRIYLTHKLLEGTSRFKELHEIIKDAKAKLESEVGPLDGMSAKMARGIVSRSSAGIDVQKLCSIAIQKADEWLSSPDLHLQDSLPAACRFKFADVTASSLIVILKQTLSSELIKGYKLWYWKSREQPSTEKPVIIPKDERQILVFNLAPCTEYSFRVISFTDGGILGHSESRCYTGSMETSVKRATQNIAGGGSQMQRDRSESFKSNGFKIRNIWKTFQETCAEEGCFEGFCENMHEGSSSRSATEAEQPGNCQIELLSGACRKLRFNSSSVPDLNAEAPMTMDNASKQYYHSKKRLVRSNDSGDSETCAVARNGEPPAVESRPDGKLRQLNIGCEHDDASAICREKQISGTRQLSEDYEHCIKVIRQLECNGHIESDFRKKFLTWYSLRSTDQERRAVTTFIKTLGEEPSGLAGQLVDSFGEIVNCKKPRTGFCNKLWH >Et_2A_016956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29868041:29870119:-1 gene:Et_2A_016956 transcript:Et_2A_016956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLCRLPRLFLAASTSAAPKPRHFPVAVNPSPAPHVPSFSSPAPSLPALSRLFSSSADEKRPAVFYYTAVWCGPCRAMAPVISKLSDKYPTIPVYKVDIDMDGLGSKLAPLKIFSVPTFHFYHKGEKTSEVVGADVKKLEAAMESLHK >Et_4A_034162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30410078:30412470:1 gene:Et_4A_034162 transcript:Et_4A_034162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSASPSPAISASTWSMSSLRAALPTLRPSQSGRLRSSFSSAAAVTAASVGCLGSFSGLAPVSNLLSLGSENSGFEHRLFGIDARGRIVAMRHGKRIPRLNRPPDQRKALLRGLTTQLLKHGRIKTTKPRAKAMRKYVEKMITLAKEGSLHKRRQALAFIYEKHIVHALFAEVADRYGDREGGYTRIIPTFPRRGDNAPMAYIELIIPCDRPFSAESAQPLFQRTCARIVSVTVSVLFLGSMAMARSIAADVEISYSTSFMPCMRQLLCNLFHWPRLRGAKDCVELLI >Et_1A_004873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13270099:13270627:1 gene:Et_1A_004873 transcript:Et_1A_004873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding APSHRRLLLSSPRRSRRLSSALLPASSTRQGRRPRRSRARHSRRPLPPRHHHPLPQCRRRSPLPLPQRRRHPPLSPPRSRPWRRSPGCAAWSTARVRGGAALAPPRGGWPAWGGAAPLTPRAPVLAADPTVVVVVLPDPVSKGSSRGGSSSFESERRIRLCVARI >Et_3B_027770.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18625916:18626122:-1 gene:Et_3B_027770 transcript:Et_3B_027770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPNKDIIEHDRKRQVELRLLELRDALEEQGYTEGEIEERVAEARKAAEAEAAEEGTSSLSGEGYGP >Et_1A_008752.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:11774413:11774676:-1 gene:Et_1A_008752 transcript:Et_1A_008752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCCRDGPEGGTGPLGKNPRKWLALPLSNGFPLNGCMPLVAWQQLGASRSRRTSANHHGCANGRRHRSLLLLLLLAWQQPAIYVEL >Et_7A_050975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13102184:13102705:1 gene:Et_7A_050975 transcript:Et_7A_050975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPLILLALVLVAGAAVLGAPRGATAAGAGECGASRPERLALKLAPCAPAVEDPNVTPSGSCCAAVRDIWKRQTPDCLCAMLLSDPVKHAGIKVELAITIPKRCNIAGRPVGYKCGEYTLPSLQI >Et_1B_011908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27070439:27075700:-1 gene:Et_1B_011908 transcript:Et_1B_011908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQSSGATLWTRYKACVLMATNMCGTVTACRACYNDQIGVPCKLERVPFMSLNCVPKKLESRKRINGYHLDSCLCTNYRQGCNVRCHGSRNSSETKECKSSEDGTDSYRDCDQHSRGSPQFSEDQVASKKNSTYTTQGLAEACKFVYNDAKFVNERAQSDILLLSRGITRLNKRACQDVAVLGLGFLKLDARARKDTQKIDHSVKERAARLNHFARVLKERAESDLKKAADNHWSDGALEADLRRADLVVKRRAMEDAFMALKFVRDIHDMMVTRLYEQLPKDGSSRPNSTRFITLEKNGKTLELFPGDISADQITAIEEAYWSMASAFSEADGVDYTDPEELELLVATLIDLDAMDGKKSVSLIAECSSSPDVNTRKALANALATAPSMWTLGNAGMGALQRLAQDSNHAVAMAASRAIDELKKQWELEEGDSLRFVMNQNLATEETDGGSSTVDDTM >Et_1A_004986.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21311762:21311935:1 gene:Et_1A_004986 transcript:Et_1A_004986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVEIIDAAKNPHLTAWAERFSETTVVKEVLPKPDKAAEYAKKLLTAWAAAAKVRG >Et_4A_032075.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:15491620:15491685:-1 gene:Et_4A_032075 transcript:Et_4A_032075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRTRSFRSALLMLVRAAKK >Et_8B_059416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17756635:17761968:-1 gene:Et_8B_059416 transcript:Et_8B_059416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKAAAAVAAPVPAGKAGKKREAVDEIEKAVSAKKQKAAPPAKAVPPTKEDVKKAKKQPPPKKVESSSSEDDSDSESEEEVKVQTKKAAKPVKQESSDDSSDMSSESDEEPSKKPAAKVPTKVVKQESSSSEDESDDDESDDESDEDEKPAAKKPSAAGAKKEQSDSSESDSDDDSDEEEEPKSKAPAKAAQKEDSSESSESESDSEQENTVKSAQPGKAASKRKEDSSESDSDSGSDEEPPQKKQKVDATTAKAAKDSSSGEEDDEESDEESEDEEPAKTPKKEAPVRNSEKQTATKEPKTPSNKSQQTGSKTLFMANMPFSADFDAVKDFFQDAGEVVDVRIARHEDGAPKGFCHVEFATVEAAQKALEFNNREMMGRQVRLDVAGERGERGAYTPRSGNDSYQKPGIFVRGFDSSLAEDKIRSSLEQHFADCGEITRVAIPTDRETGAIKGMAYIDFKDQESLSKALELSGSDLGGCELYVDEAKPKPPRDGGRGGRSGGRFGDRSGGRFGERSGGRRGGGRSGGGGRFNGRSGGRGGRGFNRQSAGIASAGKKTTFGKRKNNSCHCCSLLELARMDGSRELWEAMGNSQMS >Et_7B_055723.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:2782097:2782369:1 gene:Et_7B_055723 transcript:Et_7B_055723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRHASRILFRAAQAVRVSRQPATRPMPGAVKPGTAPAAHHRPQGAHSSSGGGGLTGVSAEKAVAMETARRRRAVKAEKAVHLACWGPN >Et_8A_057242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22666468:22672114:-1 gene:Et_8A_057242 transcript:Et_8A_057242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPMAAAATEKKKSKGKKKGNNAPAKVAMKARGAAAAAADNPFESIWSRRKFDVLGKKRKGEERRIGRSRSEAIRKRENTLLKEFEASGKSSAFHDRRIGERDDSLPEFDKAILRQQRERLAKLKRESKYNLSDDDDDELNDHDAHMLLGKDDFDEEVPLDDGSDEEGKMVLSKNRISLQSGNHPSETDLPEEAQGPKSKKEVMMEIISKSKYYKAQKAKEREEDEHLVDKLDSDFASLAQTQALLSLTESAKGKVNKNDSSTGLTGKEIFNKAKPDTYEKLVKEMVMDQRARPSDRTKTPEEIAQEEKERLEKLEKERQRRMLGITDSSDEDDDGEDDHHMKRDSSKPLSGSSEKPPVKDGSLPFVIDAPSNLKDLSSLLDGRPETEIIEIISRIRTCNSIRLAAENRRKMQVFYGVLLQYFAVLATQSPVKFKIIDTLVKPLIEMSADTPYFAAICARERLVHSRTRLCDDIKVPGKSSWPNLKTLLLLRLWSLIFPSSDFRHVVATPMLLLMCEYLMRCPIQSGRDVAVGSFLCSMVLVATKESKKFCPEAIVFLQSLLVTSLQGKVGTQLPSQINDQFMELKTMKPWLNIHEQVHEVNPVNVIEIMEMDPDAPYFSSDNFKAGVLVSVAECLRGFVIIHEELCSFPEIFLPISSLLQEILDKSNLPGLLNDIFREVIDLIKKRSDEHHSSREPLRMRKKKPEPIKQLNPKFEENYIKGLDYDPDRERAQLKKLKRRMKDEMKAAGRELRKDNHFLFAVKEKERMKQEQEIAEKRRKDMAFLEQQESAFKSGQLGKGRGMKRRR >Et_2A_015038.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27174959:27175447:-1 gene:Et_2A_015038 transcript:Et_2A_015038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAARALRRLPLHLAPSLARPFCAVSPAAASATPAPAAGSAKVADRIVRLLAIDPDGGRREVVGLSGHTLLRALANAGLIEPASHRLEDIDACSAECEVHIAQEWLEKLPPPSYEEQYVLTRASRNRELNKHARLGCQVVLAPELQGMVVAVPEPKPWDIP >Et_10B_004385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5393935:5394897:1 gene:Et_10B_004385 transcript:Et_10B_004385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMKFCRECNNILYPKEDREKKVLLFACRNCDHQELADNNCVYRNVVHHSAGEFTQDVAADPTLPRTKAVRCASCGHGEAVFLQATARGEEGMTLFFVCCNPSCGNRWRE >Et_8A_056736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16007409:16011943:-1 gene:Et_8A_056736 transcript:Et_8A_056736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVFTRLFSSVFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVTAKEEFHAILEEDELKGAVVLVYANKQDLPGALDDAAITESLELHKIKSRQWAIFKTSAIKGEGLFEGLDCVRASMP >Et_4A_033302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21206905:21209082:1 gene:Et_4A_033302 transcript:Et_4A_033302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKSPLDVAAVVGGGGAVTETTQAMIEKEENFAVEPEEAEDGSGNDGMQLSPVPATPATLQQLVAFVRGVMDKIGMLDVGLMDEVGFFYNRSITGNQSPPVLTWKIMHEGATFKVAVFYLPMGGAMPLHDHPDVTVISKLLVGSSHIEAYDWVSPRVNAAGSGSVLLAKKVMDQHVMAPSGASVKKQDYVHQSFHGQTEWAVCVPQRGCAAQLSSGAALQRLLPGHPVQKK >Et_8B_058618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10628419:10629765:-1 gene:Et_8B_058618 transcript:Et_8B_058618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDSSATQLTRGCPTIIGRVSSTSRGVLETAYVPRHVSIGPYHRNWHPNLVRDDEKSEFLRAILPAASTVEVCLGELARLEDQARSCYSHTVKMSSIQFVRSLLLDGCYLLACFNNDDDDADHRGGEPLVPATDGRDMLEDVVVVSDVFFLAENQIPFFVIDKIHQLTSGGAPTVNPIVGYAHKLLEDRMYSVAKPAVGGASGPGNLLHLLHMHLTPTLPIPSPGGADTGKTVGRWRPATEYYYVAGIKFKSQSLSTDGARCILDVKLDSSGGTLEVPCLKIDAETWRLLRNLMALEQHNPEAAGMHVTAYCIFMSQVACTAADVELLSGKRVIEHGLGNHGDVATFFTNLCKEIVFEPNDPGSNYLRSMNQELEKRFNSRPRRWMAWLVRKYFSNPWLTVGLMAAALGLACAVVQAVFSVLSYRHGTH >Et_5B_044198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21399735:21403394:1 gene:Et_5B_044198 transcript:Et_5B_044198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREGVRCVDFWCNEFGMRARIALREKRVGFEFVEEDLRVRERSDLVRRMNPVHRYIPILIHDGRQVCGSMNIVEYVDEAWRGETRLLPADPVERAHARFWADFVDNKVYPAQTRFFTGRGEAKAAALAELLAHLRQLESVLGDKPFFGGDEFGFLDVAFVPFSAMFYGYEQHGGASMEVECPRLMRWVRRCEERESVRSVLPSGAHNTTMQQAHSPATATTTDMATGVDDGEVTCVDFWANGFGMRARIALRELGVAFRYVEEDLRVRGQRSELVRRMNPVHRSIPILIHAGRPVCGSLNILEYIHDVWGPILPADPLERARARFWADFVDKNVFSTQTRFLKSKGEEKAAPKAELLAQLRLLEAEALGDSPFFAGDEFGFLDAVLIPFSSMFHGYEQHGGFSFDDECPALMRWVRRCMERDSVKSVLPDEKEMYELHKVWYGTE >Et_7B_054942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5871383:5877883:-1 gene:Et_7B_054942 transcript:Et_7B_054942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRSILLPRRPVLPLSRPPKFPTPQFLVLPHSSPPPPPPRSCLRRPSPLPLKTLDPGRHPPAAGGAGAGSCGRRLAAMRRAFEEISDDEWSNHTFKPSRVLKRPNRSAQPPTKPPPPIDSFRYDPKAASTKGSSASTVVLSDDEDDDFDLGAKDRVSRTEKLQTVLKRPQHKPAPRPPPSKGSFRSNPKPSKAAAAVGFSDSEEDEFDLADDDFDIPDSRDITPAPRHWSPADEDEDLDLADDDFDYQDPRPSRSHHTTGRRFVIGDDDDTDGAVAAGAGDGCDSDGINWAELENEFGENHDYNGERSVHVEEDEEDVVGMALRKCSRISADLITELYGSAARNCDSYAEIDASTCRIVTQEEVDAACTSKESGFKPVLKPYQLVGVNFLLLLHRKKIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELRKWCPSFSIIMFHGAGRTAYSKELSSLGKSGCPAPFNVLLVGYSLFERRSAQQKDDRKALKRWPWSCVLMDEAHVLKDKGSFRWKNLMAVARHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDVDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKMQHVKFVIMGSEQSKAYKNAIDEYRAACQARSAKSSVDISSNIVGLIPKRQISNYFMQFRKIANHPLLIRRVYSDKDVDRIGRLMYPKGAFGFECSLERAIQELKNYNDFAIHQLLITYGDMGTKGVLTDEHVLGSSKCQALAELLPSLANDGHRVLIFSQWTTMLDILEWALEVIGVTYRRLDGGTPVTERQTIVDTFNNDRSIFACLLSTRAGGQGLNLIGADTVIIHDMDFNPQMDRQAEDRCHRIGQQKPVTIYRLVTKDSVDENIYEIARRKLVLDAAILQSGAELDDSSDVPDMTMGEILASLLRA >Et_9B_064558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16430598:16432099:-1 gene:Et_9B_064558 transcript:Et_9B_064558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSYSFSPNKKIAGSAGDVLEDDPTGRLKVYVYDLPSKYNTDLVEKDPRCLTHMFATEVFVHRSLLSSAVRTTDPEEADWFFTPVYTTCDLTPSGHPMPFDSPRMMRSAIRLVAERWPYWNRSQGADHFFVTPHDFGACFHFKEEKAIGRGILPLLRRATLVQTFGQRNHACLKDGSITVPPYAPPRRMRDQLLPPGTPRSIFVYFRGLFYDTTNDPEGGYYARGARASVWENFKNNPLFDISTDHPATYYQDLQRAVFCLCPLGWAPWSPRLVEAVVFGCVPVIVADDIVLPFADAIPWEDIGVFVAEDDVPRLDSILTSIPPEVVLRKQRLLASPAMKRAVLFPQPAEPGDAFHQILNGLARKLPHGGDVFVKPGQMVLNWTAGPPGDLKPW >Et_9B_064678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17561280:17578744:-1 gene:Et_9B_064678 transcript:Et_9B_064678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRNAFSTSAEALLLSRHMAYSMTAASQGSVIRPSLMEPNSSCDIRKNSVKTGVPSQERRRIKGAMPMISAKRLVQMAKKWQRMAAVARKRLTSALPEEIDGPSTSMASKGHCVVYSTEGRRFEVPLVYLGMTVLTELLRQSQEEFGFSGDDGRITLPCDATMMEYILCLLKRDGSEEVERALLSSIVRPCNYGNNGFVEAIGLCRQAHGILHDSSITRKHDPPIVHEAKLLLRHPQELGEDRRAEWREISMVLRNAFSTSAEALLLSRHMAYSMTAASQGSVIRPSLMKPNSSWDIRKSSVKTGVLSQERRRKVAMPRISAKRLVQMAKKWQRMAAMASKRLTSTLPEETEGPSTSVASKGHCVAYSADGRRFEVPLEYLGMKVFTELLRQSQEEFGFSGDDGRITLPCDATVMEYMISLLRRDASEEVERAFLSSMVRLATMETMALWKPWGFNRQQAKKGGEAK >Et_1B_012346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30997992:31006110:-1 gene:Et_1B_012346 transcript:Et_1B_012346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPLDPPAAPAPETPPAPAPAPAPLPALLRPRREAFEHGLLPIPKLIFPEGTLAQTLTQLKEKLAADGRVGAAALAEALQIPHEQAALALGTLAAVLPAEDPALADGSGDADLRDMLLFLYIQSYKRLVPRSAHKDSPAVADVWPSTSAFDGYLSQLSPIQLVRSNSRRFMPSQADEEIHQLSYLQKHMANIISLLADSIEGEGDDSLVLTMEAFEHLGFLVQVSEGTSLSQAATFFANSDPDMPAAPVPASQVLDWMSNNIASSLEYSTERSAAKESSQQIDTDVTMTDANTSQPRNSTPSTNPSYYRNTTFVEGFSKTSVVKHAADVKGHSVKVLNCHDTVIYVLAPVKYATVYGCSDATVVLGAVGKVVKVEHCERVHIIAAAKRISIANCRECIFYLGVNHQPLVLGDNHKLQVAPFNTHYPQLREHLMQAGVDPTLNKWDQPFVLGVVDPHDSLSHPAGVSDVKAESATCLDPDLFTTFLIPGWFEAEVQEPTKCNPFPLPEIYGASQRKKHSALEDIQKTVRELQLDDNRKRELTSALHAQFKDWLYDAWRPRSYYARAAIAILPVHFVCLPCLLCGCGAAFSVRGTGGNADRECYGTTETELSAP >Et_1A_008222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5359874:5361939:-1 gene:Et_1A_008222 transcript:Et_1A_008222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGVAVAPAPASSSSCAGGGCKKGKRFEIKKWNAVSLWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >Et_8A_057198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22206335:22206788:-1 gene:Et_8A_057198 transcript:Et_8A_057198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSLSCGRREMLPPPLSARRGMSRRDERRAARDASRAISLSTDAVDAMAAEECEYARSRIGRTFPYTPCLMGEFSGKLEDVLDDD >Et_4A_033251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20564539:20569601:1 gene:Et_4A_033251 transcript:Et_4A_033251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTWTTEVKRKNDAEHSTIVTPDHHEASVHHNNVRILSDARISRRGPSLLLTLFARFFVFLGLVRFFPFHSALPQTNRALAAAFELGAEPRARPMAAATISRISPSIPTYGRRRLPAPRASAGGGFTRRSQRLRCEFFAGGGNGALTGEDDPRFVDRQKALDAAMSDINNSFGKGSVTRLGSAGGAFVETFPSGCLTLDFALGGGLPKGRVVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLMFLNQIRYKPKGDEDVGVKVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCVLDCAELMEVVAKKGSWYSYKDIRLGQGREKALQYLRESPTISDEIEKAVRAMIPEGSRHMSLLAFGQASSSTEEEQAYDEE >Et_4B_039173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8460070:8462045:-1 gene:Et_4B_039173 transcript:Et_4B_039173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMAQQPQPLPLLPPQPAAAFGDTTLTKVFVGGLAWETHKDTLREHFERYGDILEAVIISDKLTGRSKGYGFVTFKEADAAKKACEDATPVINGRRANCNLASLGAKPRAHILRPALPSPHQPALPSPHQPAPAAIAVGSRGMSPVPWYYHPSTTPPPPPPPAPHQQYHGVLPFYPAAATYGYARSSSLLASYNSNICVSKKYSPNYVTDLSYNAKLGQAAAAGTAGSYMQGHFPYPAAQGGMVASNGMMPVYPFYHYQYHGSQGLGVPAAHFFPQVSVAATPAIISKPTVMAPPPKGDGLQLKAPTMTVRGAMKGERKEGGQRCSD >Et_6A_046128.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:26945350:26945517:1 gene:Et_6A_046128 transcript:Et_6A_046128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPDLEEKQSSGAQATVVLGVAPEHKAQFEAAAESGETAHACGCGSGCKCNPCNC >Et_5A_042617.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:22331939:22332475:1 gene:Et_5A_042617 transcript:Et_5A_042617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRVDTGEHLPTVSAKNDNTEGSTVAVKVVAGAAVGAQNRRSPAVREPGGGGGGGGKGQLLVLIRDGVPDRKSIRPEDAAVAGVERAPEAAVGPRRAGGGCRGYEAGGVDAASADFASEVAGEPKRRRNSSTSSSGSSCGAMAAEQRVGSEVAANWIRFWPGSTSVCGALGDCHGGP >Et_4B_037841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2458991:2469043:1 gene:Et_4B_037841 transcript:Et_4B_037841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEETAEAIVIVGAGLAGLATALGLHRKGVRSLVLESSPELRASGFALTAWKNAFRALDALGVGDKIRKQHLQLQALRIMSSATGEIAQEVDLTVQGKGEPNEIRCVRRDLLLQALEEELPKGTIRYSSKIVSIEEDGAVKILQLADGSVLRAKVLIGCDGINSLVARSLGLAKPSYSGREAMRGLAYFPDGHGFEPKFLQFIGRGFRSGMRPCNDTDIYCRRSRPLAPRTSSSLAPDSPGWQQRSDCTGVRSLVLESAPALRASGFAFTTWKNAFRALDALGVGDKIRKQHLQLQSLRVISSSTGETVQEQDLTVQGKRGPHEIRCVRRDLLLQALAEELPSDTIRYSSKIVSVEEESSVKVLQLADGSVLRAKVLIGCDGINSVVAKWLGLAKPSYSGRSAARGLAHFPDGAHGFEPKFLQLIGHGFRAGMLPCNENDIYWFFTWTPSENDKGADESAATMKQFVLSKLRDSNVPAEALAVIETSEMSDVLAAPLRFRSPLSLITASISKGNVCVAGDALHPMTPDLGQGGCSALEDGVTLARCLGEAVLGGGANGAGTENQRIEAGLREYAGVRRWRSVELITTAYVVGFVQQSHNAVMSFLRDRLLSGTVENIVIAGAGLAGLATALGLYRKGVRSLVLESSPTLRASGFAFTTWTNAFRALDALGVGDKIREHHLLYERLVAFSASTGEAAAKVSLKMQGKSGPHEIRCVKRNFLLETLENELPEGTIRYSSKIVAIEEDGNVKVLHLADGSITRAKVLVGCDGVNSTVAKWLGLPKAILSGRAATRGLAEYPGGHGFGPEILQFIGQGFRSGVLPCSDTSVYWNYTWYPSLSDGDAEESVAKMRSHVLAKLRAVKIPAEALDVIERSEMSDVVSSPLRFRSPLSLVRGSISRRGVCVAGDALHPMTPELGQGGCAALEDGVVLARCLGDAFFVAAGGGDGASHAAIDAALERYAAARRWRGIQLITAAYVVGFVQQSNNAVIKFLREKFLSGLMAKVMVAMADYDCGKL >Et_8A_056344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23821433:23822803:1 gene:Et_8A_056344 transcript:Et_8A_056344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAVSTTPLLPPWSDLLPDLLGRVIALLPSPTDRARFRAVCRAWHSAARVHVRQLPWIVHPDCSFSTIGDDGEHFHRIPGLPHENATCLGATDDWLALDCTDDPRPDVKHRHTYLLHNPFSGETVPLRELDSVVGHVAETFEIRKVLMRSSNPGDLVAVIANNWNYNVILCRPGKGKCVVPDLRVFDVAFLGDRLYGITPEEELVAVEIDEDEDGRPTVTKCTRVIKKPLGADGWEDPWSWMYHDNSSDEDDDDSSFDGDDMVPDGEVRIRDEEVPYEPKDYICTIRYLVKSSNAELLLVRHLVQIPPLSSQYTRNVEVFKADISAGNWAPVTSDAIAKGEALFLSRSSSKYTLAYRDIEESLIYFTYQEEVFDVTSRAPWPFMLPNQIRRESPELLTWLFPPELVV >Et_1A_006491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23180381:23181183:1 gene:Et_1A_006491 transcript:Et_1A_006491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPRCLLLLLLLAGAAAAAFEVEEATIDSIHGAFAAGELTSRGLVELYLRRIASLDPALHAVIELDPDGALAAADRADADRLAGSSALPPLHGIPVLLKDNIAVAGALNATAGSLAMVGSRAARDAGVVERLRRAGAVILGTSSLSEWCNFRGPGIPAGWSPRGGQGKNPYVPSATPCASSSGSAIAAAANLVAVTIGTETDGSIMCPSSFNSVVGIKPTVGLPAAQVS >Et_4A_032763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13580692:13585555:-1 gene:Et_4A_032763 transcript:Et_4A_032763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPEIRDTYSLLVLNVSFRITTGDLFPLFDRYGDVVDIHIPRDRRTGDSRGFAFVRYKYRDEAEMAVDKLDGRMVDGRAIMVKFAKYGPNAERIERGRIVEKIPKPRGRSRSRSPRRRYQDDYRDSDYKGRSRSRSRERYERDRYSRGYRQRSRSRSISPDYDRKHSRYSRSPVRRSPSRSRSSSPRRVPSREAAPSRPRDDCAPCSRSPSDKAHTRTDVDPITRNVSQGPARGTTVMEPSKISWIYNKIKKRKHILTWDFNDDASSTAP >Et_3A_025380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29353823:29357043:1 gene:Et_3A_025380 transcript:Et_3A_025380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDSLDGLGLWARELRRGGKGGCIGNEERDRDRCVVGREKGALGSENGMMEMFFGSFLGESGSSQNLFGHPDVERCPFLRNMNGATTFSFTSALPVAVRSASYGLLWLCGTGSSARVGKGPIFEDGPGFESAFKLFHGRDGIVPLSGRSYVPDEKQNESFDVMPEPALPFNPLAARAATISLSAFGPFGFNFFNGKGKRQNKKPNNLDQSHKKAKTPDQSSMKKGSNPPSHEAFSDEWLENGQCPLARSYRAMSGVLPLVAKALQPPAGMKLKCPPAIVAARAALARTTLVKSLRPQPLPAKMIAIGLLGMAANIPLGVWREHTKKFSPQWFAAVHAAVPFIAMLRKSVVMPKTAMAFTIAASIVGQTIGSRAERIRLRALAAKCDGDSSTTGTMYPSMNGNCSDTEGKAWDPLAAKVGGLARGSPAPTPGIYHEFLKVEEARLLTLD >Et_3B_031625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6262429:6266420:-1 gene:Et_3B_031625 transcript:Et_3B_031625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPGVSAFGYSGFPAWALIDTTALIGHCDNETTAHGTTRDGRPIQVSFVVVNPPALSRCVVHCPDLTASSSASPALVTGADGAFLLIRVFFPKRDGKRHFSDVFVYKAGSPSLLRIPEPYPVRFLSACVGVLTCGDAREHCSVVVPECRFDADGWMRYDLHVFSTMTKSWSTKVARVACDSELHYCEFEPSKVFSIGGVSLAWVDLRQGILLCKQVEKDPVMHLIELPALLPTNTARYTLDSDGCGPPLDRIRDVTFSNGWFRFVELEFIDNGTSPSGWRVTVFKRKTESEDWKQICTVDTRLSPSNSCLPYLFPEICDYQEKTLALNNVISFPLLDEFNKNVIYMISRVKAGDTYGWILAINIKDRKLENVVPFFVERMMGDLELQVSAPIDTVKNNIRVAHALFEHCHPECWMHN >Et_1B_011987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27837873:27849396:-1 gene:Et_1B_011987 transcript:Et_1B_011987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGDVVLHPASLRPPTNRGGPGADRANATTRPSWVLLDFSAFVLDRRNSTTATCTFSRGSKKEIQLQVTFVVAHPPGVSYFCAYCHGLAPSDYATEPQILATEAGLVLLRVILGGRSGIWDHSAQEYFVYRAGAGESPPSLEHIPRPGPYLLRGGGDPVGLLDLKRADGEDGGAGGYVIVALGDDSSAVYRRHEDESGGRFVVCLFDSRDRVWTTKPVDAPRGGGVVDRHVTTTVLTIGGTRGTVAFVDLWRGILLYDVLAIADDDDPVLRYVPLPETTFGTPPDYHGDDPQNLRDVAVVDDGRVIRYVETTEGGGLHGRPMMVATYSMPVAVTTSSSSCQPDDEKDDTAAAYCWRQDCVIDSLRIGHPTLSLHDEDTIYFMTKVKPMSKKACVIAVNMREGTVQAVARPSWALLDFSAFVLDLRNASTATCTFTRHREIQLHVTFVVGAHPPDVSYFCVYCHGLAPGAYSKEPQVIATEADLVLIRVVIGGRSARASHSAQEFFVYKAGGPQGQPPPSLEQIPRLRGRFLGIGGGGYPVGLLKLHDDTGYIVAALCDDDESHFEVCLFDSKDKVWTTRPVAVPRGDDDDSCHETTTVITIGGERGTMAFVDLWRGILLYDVLADNDDNPVPRYIPLPETIFVGPHYSVNPLIYRDIAVVNGQFKYIEMGFQVTADKASRGRMVAAYSMPIASCHQEDASWHRDCKIDVSKIRDDKNVLSPELQRMLSYDERMTKPEPTLGTLCTGHPTFSLHDEDTVYFMTMAKPVSSKACVVAVNMKEGTIQAVARIGARTRGINFTYIRSRISSYLKHASRSFPETKDVNAGVLCDKPSGKPLVQIGCLKRQEKKNGGNMILPILAAFQKKPRNLQTR >Et_7A_050762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10795778:10801880:-1 gene:Et_7A_050762 transcript:Et_7A_050762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVRGSSCHVHDRDLGTASGLQLSTSGKLFLASPLDSLGAGSSPSSRRNSFNSISRDLELPSEQGCLSIIVLGASGDLAKKKTFPALYHLFDQGFLQSGEVHIFGYARSNLSDDGLRERIRGYLKGAPEDVSEFLQLIKYVSGSYDSGEGFEKLDKVISKYEASNQSGSYRRLFYLALPPSVYPSVCRMIRTYCMNPSSHPGWTRVIVEKPFGKDLDSAEELSSQLGELFEEHQLYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIDNIQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVNPIKSEEVVLGQYDGYKDDPTVPDDSNTPTFASVVLRVHNERWEGVPFILKAGKALNSRKAEVRVQFKDVPGDIFKCKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQNVKIPEAYERLILDTIRGDQQHFVRRDELKAAWQIFTPLLHDIDDGKLKAVPYQPGSRGPKEADELSAKVGYVQTHGYIWIPPTLA >Et_1A_008428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7586281:7587329:-1 gene:Et_1A_008428 transcript:Et_1A_008428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTKPHPSVAVSSSPLPNVPIANRIAPIATSASRRSGGLRVAVAYVAEAQPSHSPDAAAALSRVDVLSEALPFIQRFKGKTVVVKYGGAAMKSPELQASVIRDLVLLSCVGVRPVLVHGGGPEINSWLARVGVEPQFRNGLRVTDAVTMEVVEMVLVGKVNKQLVSLIGVSGATAVGLCGKDARLLTARPSPDAASLGFVGEVSRVDPTVLRPIIDAGHIPVIATVAADEAGQAYNINADTAAGEIAAAVGAEKLLLLTDVSGILADRDDPASLVKEVDVAGVRRMVADGKVGGGMIPKVECCVRAIAQGVTTASIIDGRVPHSLLLELLTDQGTGTMITG >Et_9B_064932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19897221:19900647:-1 gene:Et_9B_064932 transcript:Et_9B_064932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVREMAMAAAAAASATTGGGGVGGGGAKLPPPNPNLPYREDCWSDGETAALVSAWGSRYIELNRGNLRQKQWQEVADAVNSRRGASARRRPPRTDVQCKNRVDTLKKKYKAELARNAPSGWSFFDELDRLVGPTLSANAARRPSPSTAPQFALPAHPPAIRKPPSPSLSPSPPPPMALPLPNYRRGLPLPAAALIQKEAAAAAAAMSDSENSDDAGGNHNHNSRRSPSRSVSSLSGNSKKRSRHEAGSGGDKGFRELARAIEAFAEMYERVENAKQKHALEMERQRIEFLKQLEVKRMENFVDAHVKLVKAKRTKKTAGSGAAADGATGVDLVATVAALPFAVFGLRPNGATTRLASVVAVARIQTPLPQPRRADVPPHTASSKLPPPVAKSVATICLPHSRPVIGGNVVPCHVVLSSEGLLGIFISSEFVGLLQFVT >Et_4A_034203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30838789:30841046:1 gene:Et_4A_034203 transcript:Et_4A_034203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDGGPDWNGLLKWSLAHGDGTNPPRALSEEDRKWFMEAMQENTLDVVKRMKEITQVMKTPEDVLQSHGVTPENIEDMLDELQEHVESIDMANDLHSIGGLDPLLGYLKNSHAGIRAKAAEVVSTIVQNNPKSQQLVMESNGLEPLLTNFTSDPNTNARTKALGAISSLIRHNQPGISAFRLGNGYAGLKEALGSDDARLQRKALNLIQYLLHNNKTDRSVATELGLPKLMMHLASSDDSLVREAALGGLLELAQDKTSGAGNALPDQDKLKGILRSRIEGISTMDADDLQAAREERQLVDSLWKECYNEPSSLREKGLVVLSGEDAPQPLPPDVAGKMFEPPLRAWAAARPAPKEDSDSDSKKDPPLLLGPGPSSSANSGS >Et_4A_033758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26641141:26644320:1 gene:Et_4A_033758 transcript:Et_4A_033758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAELEAVEGLRWPWHTWPPTPPAAASLVVPTAVLCAPLHAPPAGADLVPVLPYAPLRCGTPSCGAALNPFSRVHHGSARWSCPFCGAGANPFPRHLAPDALPAELFPTHSSVEYALPPDAAGEPGPPAIVFVVDAATAPAELAVLKDEVRRMVQGLPEGVRVALVTFAASVWVHDLGFEGCARVVVMNGERELESNKIQELLGVQRSRYNKLAMPRSTESQRFLLPISECEFNITSAIEDLSSMSASPRGHRPLRATGAAISTAIALLEGCCSPSAGGRIMVFTSGPATVGPGLVVETDLGKAIRSHRDIFKSNAPLADKARDFYKKVAKRLIDHAVVLDLFACSLDQVGAAELRYPIEVSGGLMVHTESFESEQFKSCLRYVFRRDGIDYLNMNFNATIEIVTSKEVKICGALGPCISLRRKNISVSDKEIGEGGTNYWKTSTLNSKTSIAFFFRVDCSQAEPPTVFFIQFMTRYRHGDGSYRLRVTTVARRWAAPRSPEIAAGFDQEAAAAVMARLAVHRAETYHIRDVIRWLDKMLIRFTAKFGNYVPEDPSTFRLSTNFSLYPQFMYYLRRSQFIDVFNSSPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVSSLSPDVILLFDSYFYIVIHYGSKIAHWRKLGYHKDPNHENLQKLLEAPEVDAEALMVDRFPVPKLIKCDQHGSQARFLLARLNPSVTQKTQLSEGSEVIFTDDVSLQVFIEHLQELAVQE >Et_10A_001699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7030363:7032440:1 gene:Et_10A_001699 transcript:Et_10A_001699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPGDASLLPITTIDNVLVSSCSLLAVVCSLLALALLYRTKAPSRINRVWLPPGPVGLPIIGCMHHVLIARRKQPVFRWIHGLLEEMHTSIICLRIGPVHVIVVACPEMAREALRKKDAIFASRPATFASESFSFGYKGSVLSPHGEQWKKMRRVLTSEVLSPALEHRLHGRRTKEADNTVRFVYNQCRTTTDTVNVDVRHVAQHFCGNLIRSLMFSKRNFFELSPGSAAAGPGPDEVEHVSALFTLVDYVFSFRMSDYFPGLIGLDLDGHEKVVRDVLSTLNRLHDPIIEERIHEWSALRRHGDKREVRDFLDVLVSIQDSEGRPLLSLEEIKAQTAEIMFAIVDNPSNAVEWALAEMMTKPEVMKKAINELDTIVGKERLVQESDIPHLNYLKACMRESFRMHPYHAFNPPHVAMEDTTIGGYFVPKGSWVFLSRFGLGRNPDIWEDPLEFRPERHLNTSCVGLTEPDLTFISFSTGRRGCPGVSLGTSTTMMLFARLVQGFTWTKLPTVHKMELKESATNLALAEPLVLQAEPRLPAHLYEST >Et_4B_036463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25423901:25425343:1 gene:Et_4B_036463 transcript:Et_4B_036463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPDIQVVVVDISKPRIEAWNSDTLPIYEPGLDEVVKQCRGKNLFFSTDVEKYVAESDIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGRKAVQTLKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVAGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAQISIYDPQVTEDQIQRDLAPTSPTAVKQVSCVWDAYEAAKGAHGLCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNIVDPEKLREIGFIVYSIGKPLDAWLKDMPAVA >Et_4B_036434.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:23915786:23916145:1 gene:Et_4B_036434 transcript:Et_4B_036434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNGGVGNGNNDQQAFPARAACNGNGNGGKLPQVLQQSFGEVQGILEHNRVLIQEISQNQESGDADGLTRNVALIRELNTNIARVVDLYADLSGSFARSVTTNSANAAGPKRPRSNEA >Et_7A_050355.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:9856219:9858442:1 gene:Et_7A_050355 transcript:Et_7A_050355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAPSSPSKSSTQSGPSSSPRRRSLSAMAPQPPVPTQAAPGSSGGGGGSGSGSGSSSSPDSSYGAPPARDYTHDLPDEILALVLASLSPGDRNACSLACARWKEVDGATRHRLSLDARAALGVWAPSLFARFTAVTKLALRCARGSGADSLSDDGAAAVAAALPSDRLARLKLRGLRQLSDAGLASLAAAAPALRKLSVASCTFGPKAFVAVLQCCPQLEDLSVKRLRGLPDTAGAATAITEDIVFPPASSLRSVCLKDLYSALCFVPLVASSPNLRSLKILRCSGAWDLPLEVITARAPGLVELHLEKLQVGDRGLAALSACRNLEVLFLVKTPECTDTGIITVAEKCHKLRKLHIDGWRTNRIGDFGLMAVARGCPDLQELVLIGVNPTVLSLRMLGEHCRALERLALCGCETVGDAEIICLAERCAALKKLCIKGCPVSDRGMEALNGGCPSLVKVKLKRCRGVSYECVENLKVTRGDSFSISLDIVLEHDAASASENGAQEAGQAQITELTDQMAGMDLPTNAAGAQSSAHTINRMRSVMSAIRRRFGNPPPL >Et_4A_034127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30115873:30117669:1 gene:Et_4A_034127 transcript:Et_4A_034127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPWGVLLLLFLTVSSSSAAATLAVSAPAPAPAPRRAQDAEEAPDAFNLTLILFQDLEGKKRTNLRSWLGVAGLLINGNFETAPRKLNKTVIVGRHSLPGWTVRGHVEYVSGGPQPGGMFFAVPHGVHALRLGNRAAASQNVTVRPGSLYALTFAATRTCAQDESLRVAVSPSLGATADTWAWGFRASSPVAQEDPACGPLLDAVAIKELPTPYPTKDNLIKNPGFEIGPQVFKNSSVGVLLPPKQKDTTSPLPGWIIESLKAVRFIDAAHFSVPAGQFAVELVAGRESAIAQVIRTVPNRAYNLSFVVGDAENGCHGSMLVEAFAGNVTQKVPFESAGKGGVKPASFRFVASSVRTRLTFYSSYYHTKASDGVSLCGPVLDQVKVVPLKA >Et_8A_056610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13422288:13427773:-1 gene:Et_8A_056610 transcript:Et_8A_056610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRRSVLLLLLALTVLSPLALYTSRLPASLSPIQTRDFPGGITNQGRGVKSDKLNALPLETVSSLKEPVGVVFSEAGELAKESPESVSQEFPSRKAGEHKNRVLSEVSTAADVSGLKDGGVIEQVTGHEGQDGGSARSASDEQEKTAGSHQQSSSEGSAKVAVGNSQVAQTDGSIKSIVLPDARIRNIKDQLIKAKVYLSLGSIRANSQYLKDLRQRIREVQKVLGDATKDSDLPKNANEKVKALEQILVKGKQMQDDCSIVVKKLRAMLHSAEEQLNAHKKQTVFLTQLAAKTLPKGLHCLPLRLANEYFSLDPGRQQFPNQQKLVNPKLYHYALFSDNILATAVVVNSTVLNAKHPSDHVFHIVTDRLNYAPMRMWFLSNPPGKATIEVQNIEDFTWLNDSYSPVLKQIGSQSMIDYYFRTNRANSDSNLKYRNPKYLSILNHLRFYLPEIYPKLDKIVFLDDDVVVKKDISGLWSIDMKGKVNGAVETCGESFHRFDRYLNFSNPLIANNFDPHACGWAFGMNVFDLAEWRRQNITQIYHYWQNLNQDRTLWKLGTLPPGLITFWNKTFPLGRSWHVLGLGYNPHVNSRDIERAAVIHYNGNMKPWLEIGLPKFRSYWSKYLDYDQPFLRECNINP >Et_10B_003156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17085349:17092243:-1 gene:Et_10B_003156 transcript:Et_10B_003156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPGAPGAGGGGAAGAGLKAYFKTPEGRYKLQYEKTHPAVLHYTHGGRTVAQLTVAYLKEKPSGQGSAPSTPSSSSGMRSAAARFLGSGNGSRALSFAGNNGVNRAVSGSSRIGGGFGTSTGLGGSQGVPNFDGKGTYIIFNAADTLFISDLNSNDKDPIKSMHFSNSNPLCHAFDPEAKEGHDLIVGMGSGDDHEGVFVVSHSDGNLYVYDKNKDGNTDCTFPAVKDQSQFQVSHAKSSKCNPVARWHICQGSINAISFSPDGAYLATVGRDGYLRVFDFSKEQLLFGGRSYYGALLCCTWRLVELLLIRIGLRQVLMELEKMYIALVLLVSESILTICREGLIKIWARPGESENNQRPNSSEFVLGTVVAKDRAITSSNKASGSSFKKPSLKQ >Et_10B_003023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15554885:15555231:1 gene:Et_10B_003023 transcript:Et_10B_003023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELTVEERRKQQRAEGPATVLAIGTATPTNCVYQADYPDYYFRVTKSEHLNELKEKFKRMSPCMAHHTAVTWKDDIEK >Et_9B_065807.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:13356254:13356835:1 gene:Et_9B_065807 transcript:Et_9B_065807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIELHKTTPASTAGAISKKHTRSRGRAKEPVDPYASVYGVVPFNQLRGRPWTGAYKLDESAVGTRVLVFGTVLYIRLVSKKTRAILVLLDESSTVPCVIDAGAEEGVTTRMVRFAVTLQRGTPVDVEGVVLPCSRQSPIATTQKVEIQVTKLHSIGLKTRASQNVAFRSSSTGVTQNEAERDGGISDGWTSS >Et_1A_006453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22680367:22684872:1 gene:Et_1A_006453 transcript:Et_1A_006453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAVSSAPMLLQNDKPDDLVIGCELPSQPSGGHDKENNDCVVLGGDAESLIWCLLLPRDFIIVVLMAPMVGVVNNEKSDGAIGEDLNGMLSAVPSAPMQLQDQKPSDLAIGPELYSQPSRGHDDEGDDCVVLNGDPDLVITVAKVETSAGDGSSDELQIISEKGPIACRDFPHARHLCSNFPYSSTSHVKHCTKCYCFVCDIPAPCASWGNGASDSDHCHATGMETKWKLLRQTIKIENRSANASSLAVPGILITPRAGRGTDNAHICQSTHSRVISGRVGGFTPDNSYRYPAMPHLSQPVQVTSRSPSFTVDEEGDDCIVLDGDPDMVITIPEGARSAGDSSSDELQIISEKGPIACRDFPHARHLCSNLPYSSTSHVKHCTKCYCFVCDIPAPCASWGNGALDSDHCHANGMEAKWKLLREAINIDNRSANASSLAVPGILFMPRAGRDTDNAQICQNTHSRVIFERVGGVAPDNSYTYPAMPHLSQPVQVASRSPSLTVDEEGDDCIVLDGDPDMVITVRDGARSAGDDGSDELQIISEKGPIACRDFPHARHLCSNLPYSTTSHEKHCAKCYCFVCETPAPCTYWGNRASASDHCHATDMETQWTTKARTQVRKRK >Et_1B_011643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24509828:24513183:1 gene:Et_1B_011643 transcript:Et_1B_011643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQNRRRSSDRLGERVEFRFFNLRAVQVPVVSDRLLLSIISVDTGKTIAKSSKAAARNGICQWPDSILESIWFSQDEESKEFQDCQCKIVVFMGSTKTGILGEIFLNLTNYLSSLDLTAISLPLKKCNSGTVLQTLPTYPLLTLSTVFDVQLKIQCLGTKSNSRSKAVTYLVALTVPFYFDAHSMSIATKQSSTHDEIGNKSESSDSMYGKSARSSSGNHLGGFHWDEARNRDARFSASGPHQTLVSEDSTRDRLNMSPRDDSEGGLFMERQGSASMYNFNASHGYWFLDLMIHVSAL >Et_2B_021584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3320431:3321705:-1 gene:Et_2B_021584 transcript:Et_2B_021584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGGSPGDKWVKLMCSFGSRFALRPRDGALRHIGGQTRLISVPRAASFGELLRKAAEAAAGGDGSLVVVKYQLPGEDLDSLVSVSGPEDYENMMEEYDKLDDAAAAPGGSAKLRVFLFRAAAGRSACRGSGSGSNNLAAAGDESGQNAISCVSAENTRRKSSTGPSARSSEPASEFSSLPLPFLVSECSYYSGGAHPHNSAFPKPVCLSAVPVTAPAMGIPAGNPTFVRTEPAALQPRYQRAVLASPYATTLQPQLATCVPPQPQVSSYLQQMPSYYAQQFTFNFPAVQMSPFEVVNMDRPMAPARPENINGVVRRQET >Et_8B_060622.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3610998:3611657:1 gene:Et_8B_060622 transcript:Et_8B_060622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHEAGGLFPLTDAGLDSGLHAFGGGAPQLPAHDLCGFSPVDMVLTADAGSGVFTCLASARLVCQRRTAVSAMSPRTRSLPPHGAPALLEPHAAPTYVVVGPLRGHYHRVFRRLPTVNRQRERPSGGRRPFRSCWISSCRGRNGTARPTAAPNQSWSPLLLLDAARNNPSSTCGNEAGHGGGGGVVRRRPVPRPRNWQSHRVCSHCERSGWQEAAAFR >Et_2A_015900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18912764:18916088:-1 gene:Et_2A_015900 transcript:Et_2A_015900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFGDGYVGTAQDAVKIRRLEKQREAERRKIEELKNKSADGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIRTKIEEEEKEKLQKLQQEEEELQMQKRKKRRVRGDPRLSFCDDIENGSDEDDFESQEPQKKNGPVKLGKDPTVETSFLPDREREAEEQAERERLKRQWLREQELIKNEPLSITYSYWDGTGHRRNIQVRKGDTIGEFLRAVQQQLAPEFREVRTTSVENLLYVKEDLIIPHQHSFYELIINKARGKSGPLFHFDVHEDVRTIADATKEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >Et_3B_029267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23609118:23611163:1 gene:Et_3B_029267 transcript:Et_3B_029267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRLVCLVAAVGSWALLASVARGDPQVPCYFIFGDSLVDNGNNNYIVSLARANYPPYGIDFAGGPSGRFTNGLTTVDVIAQLLGFDNFIPPFAATSGDQILNGANFASAAAGIRAETGQQLGGRIPFAGQVQNYQTAVQTVVNILGDQDTASDHLSKCIFSVGMGSNDYLNNYFMPAFYNTGSRYTPDQFADALIADYRRYLQVLYNYGARKVVMIGVGQVGCSPNELARYSPDGATCVERIDSAIRIFNRRLVGLVDEFNALPGAHFTYINAYNIFNDILANAASYGFTVTNAGCCGVGRNNGQVTCLPYQAPCANRDQHIFWDAFHPSEAANIIVGRRSYRAESPNDAYPMDISTLASI >Et_7B_054090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15204821:15209069:-1 gene:Et_7B_054090 transcript:Et_7B_054090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSALVQAAAVLLALTIAQHGSLAAAAGPRVIIVGAGMSGISAGKRLSDAGITDLLFLEATDRIGGRMHKKNFAGINVEIGANWVEGVNGDKMNPIWPIVNSTLKLRNFLTDFSVAQNVYKEDGGLYDEGYVQKIIDRADAVEKNGEKLSASLPASGSDDISILAMQRLYDHQPNGPATPVDMVVDYFKYDFEGAEPPRVTSLQTTVPNPTFTDFGEDEYFVADQRGYETVVYYLAGQYLKTDGSGKIVDPRLQLNKVVREISYSSSGVSVRTEDKSVYRADYVMVSTSLGVLQTDLIQFKPKLPAWKILVIYQFDMAVYTKIFLKFPKRFWPVGEGKEFFLYASRRRGYYAAWQELEKQYPGANVLLVTVTDEESRRIEQQSDNHTKAEIMEVLRKMFPGEDVPDATDILVPRWWSDRFYKGTYANWPVGVSRYEVDQLRAPVGRVYFTGEHTSDKYTGYVHGAYLSGIDSADILINCAQKKMCKYHVKGKYD >Et_10A_001924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11309943:11311908:1 gene:Et_10A_001924 transcript:Et_10A_001924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVQALPFGCPASGLWHEATKALKPAYWDAAASSCRAVVRPVDFMSKPQEATKQINSWVATETNNLTDSIVGPDSVREDTRLVLANAAYFNGKWMTAFCESNTREHKYHDGSKVLKMPYWRNVDIFAALRAEAVDAAPRSMMPLYSMCVFLPDACDGLHAVPGGQDGVVQPERASSATDHVTERDVDVGEFRIPKFKLSFSDREREGRARAGCSATPGDPSLTPWASRNRR >Et_8B_060854.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:9223199:9223459:1 gene:Et_8B_060854 transcript:Et_8B_060854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGAQPKGAFTATTYTSAHAAGDGSAQESRQSPRTDLRSGEDEHGMPVRKLEDKVEDAAGKGGPVFGAGKEEGKPDLGVTGTG >Et_8A_056840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17752023:17752787:-1 gene:Et_8A_056840 transcript:Et_8A_056840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQVRAALLYALPLMHRGKASYFSTLRRPACNLQHRRLTLLHAAGFSTLPSSSTPTTATTNSSPGMSDELREFLAAEKKIVTFNVKGEVFHASKLILAMRSPVFGRMLYGSSGEKKPEDIKTIEVDTDPAAFKLLLHYIDTDSSPDADDLDGDDIDDETVKHLLVVADKHLKDACITFINSSDRHAVISSNGYAYLKKECLDIFVQLWEKSVKSRKNN >Et_6A_046869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21762645:21763230:-1 gene:Et_6A_046869 transcript:Et_6A_046869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLYEDRRLRTTTTPRRAHMHFLLSLWNVQVWSKMKIRSSNASRHVQPCFITGVRSQLLDVCWHMYHRIRIVFRPHIQSSDSNPSRTLNKSSIEWSEITGMGNPRMFSSFRPKTVSLVLYASSIITRIEQFLFLTVR >Et_9A_062039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19122712:19125378:1 gene:Et_9A_062039 transcript:Et_9A_062039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVAYAAGSPAVPDWLNKGDNAWQLTAATLVGIQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASSLLVWVLVGFRMAFGERLLPFWGKAGVALSQSYLVGRASLSATAHGDDTPKTEPFYPEATLVMFQFEFAAITLVLLAGSVLGRMNIKAWMAFTPLWLIFSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWMGWAGFNGGAPYAANITASIAVLNTNVSAATSLLTWTCLDVIFFNKPSVIGAVQGMMTGLVCITPGAGLVQTWAAVIIGIFAGSVPWFTMMVLHKKSALLMRVDDTLAVFHTHAVAGLLGGVLTGLLATPELMRMESPVPGLRGAFYGGGAAQLGKQLAGAAFVVAWNVVVTSLILLAIGLVIPLRMPDEQLMIGDDAAHGEEAYALWGDGEKFDATRHDTARVGGGMERDGSVEQRLAGMGARGVTIQL >Et_3B_027643.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:9869717:9870418:-1 gene:Et_3B_027643 transcript:Et_3B_027643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PADDCSDVHVGVGPAGVERREPLRAPDPPRVRGHGRQRRRAVVDAARVLGAVAHHVRHPHGVAPQEIIHLPSRDGAEPVRRDDDASAAGHRLLGEGEVLGVGEEEEGAGGDVLHVAVAELVQEASLRVLRGVRGRAGHHGADEAVGVGLVLVRGAQALHQERLGDEDARARVLVVPTERVVRLPRAEELPVRLDVAQVRRALGRAVQPRRAPEQLVLLEFCFFFLGGHDKKTA >Et_7A_051134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14873753:14875727:1 gene:Et_7A_051134 transcript:Et_7A_051134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGGGGGAYGGVGEGPTTLDELYQINVVPAELHFKFRKELQGLRVGLNLEFYNLEVNDFEAKVVLKPLDYDRKWKFHYKPISGDVQLLSKKIPVTKFLNLQVGIGHNFHLNATGWKWKLSTCLGGDGVSQIRNKSKFSLFPGFDLRVGWKAEYVLPEIHGAVGTGEPAFSMNYGRLQASIDRIEAIVTHSDRY >Et_9A_062696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3318282:3319875:-1 gene:Et_9A_062696 transcript:Et_9A_062696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRSKTKEKKAPLEMANYRNGIDALPDGVLRHILGYLPPRDAVRTSVLARCWRHLWGSTTSLRIIDEGVESIYRLRKFVDHLLLLRGDARIQTCVLCFSNIADGFSDSDVLRVNIWFQHAIKCQARELQLIVRSHNSFFRLHDLPIVSRHLMELLLCGIEVKGNSLNFSSCPALEQLDINNCNLSKAMRISSESLKRLTIIDCSFAKSFRTHIYAPSLVSLQLDDNWGNTPVLESMPLLVDASVRIAHGDVDSCGYCVSSGDCNVCHGFIRANSSCVLLEGLSKAKKLALIAESKTFIFKMDLQLQLCPIFSNLKTLLLILEKLTLQLFSKGHKHDMEMRGTYGSEQRSNAISEHLKVVEVKCEVVDRRVVRVLKLLCSFNICKLSNKTMHSFNISEVYFHLQKHEIK >Et_8B_060799.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:7810901:7811305:-1 gene:Et_8B_060799 transcript:Et_8B_060799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNPARRRQCGGGVLPPDLVFDVLVRLPAKEICRFRAVCRHWRSLTSDPLFIEAHAARHPGPLIVASFSGDDEGGVHLMDLSGRVVKRLPVASGRTCLCSSLLDLVGVVRQSALCSVVNLATGVHGLARYAAR >Et_7B_055107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7314611:7327555:-1 gene:Et_7B_055107 transcript:Et_7B_055107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKACQKPKVQYRKGLWSPEEDQKLRDYIVRYGHGCWSALPAKAGLQRNGKSCRLRWINYLRPGLKHGMFSREEEDTVMSLHAKLGNKWSHIARHLPGRTDNEVKNYWNSYLKKRVEGKCAADAPPPADSDASGSGSPNPSEASLNRAASSDSSSEPVDSFSADDSSCLTVTEPAAAVRPHAPVLPKVMFADWLDMDYGTSLMAPGLDAGVFDVMSGSSTAAQGLSHQGSVESLHGLGDGGICWGFDAAADQDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIIRLYQPVHLLVGTPGRILDLTKKGVCILKDCSMLIMDEADKLLSPEFQPSIEQLIRYLPSNRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDRAIYCQYLTSLRPVLSSPTPQKPSKGRDRSVRKAAGAMGRTPCCDGKGVKKGPWTPEEDKLLVDFVQANGSGNWRLLPKLAGLNRCGKSCRLRWTNYLRPDIKRGPFTTEEHNTILQLHGIVGNKWSMIAAQLPGRTDNEIKNYWNTHLKKQLRQELSVAGEDAARLAASSASSPAARHMAQWETARLEAEARLSLMSSSTTATTSATASSSSSAAGANDLAAAPDIFLRLWNSEVGESFRGAAVHGGHVPDATAAANALSVPALLPGTGDDSSAASTNGAAAADEYQAFLDLAVEEFALLHGRLGTFAVFPAADVLAGASLFTPFE >Et_4B_037294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17756039:17760257:-1 gene:Et_4B_037294 transcript:Et_4B_037294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLSTTRSSRSSSASPSVDARRTPPPPPGGAAIAASPGLRRRRRRVTWRFGGLGATWALDPRARWVREWNRAYLLACAAGLMVDPLFLYAVSVSGPLMCVFLDGWLAAAVTALRCAVDAMHAWNVATQLRVVAPERKRDEDGGGDDEERQQKQQAAAATEEEAGSEEEREGAEALRKLRAYAVSKKGMGLDFFVILPVMQLVVWVAAPAMLRAGSTTSVMTVLLVAFLLEYLPKIYHATRFLRRMQGESGYIFGTMWWGIALNLMAYFVAAHAVGACWYLLGVQRATKCLHEQCLQLLPGCASSSAVACAAPLYYGGSSTASPVVGGVRNRFAWANSTQARSTCLANGDTYQYGAYKWTVMLVANPSRLERMLLPIFWGLMTLSTFGNLESTTEWLEIVFNILTITGGLLLVTMLIGNIKVFLNATTSRKQAMHTRLRALERWMRRKRLPEAFRHRVRRFERQRWAATRGVDEPRLVRDLPEGLRRDIKGHLCLDLVRQVPLFSHVDELVLENICDRVTSLVVPAGETLVREGDAVRRMLFIVRGHLRCSQALRNGDTSCCMLGPGNFSGDELLSWCLRRPFRDRLPPSSATLVTMESTEAFALEAEDVKYVTQHFRYTFANEKVRRSARYYSPGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNQDDDF >Et_4B_036888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12375906:12380559:1 gene:Et_4B_036888 transcript:Et_4B_036888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVARAVAEEVARWGAMRQTGVSLRYMTEFGARPTERTLLIAAQFLHKELPIRIARRALDLESLPFGLSAKPAILKVRDWYLDSFRDIRNFREVRNRDDELEFTEMIKMIRVRHTNVVPTIALGVQQMKKDLGGPKAFPPGIHEIHQFLDRFYMSRIGIRMLIGQHVALHDPDPEPGVIGLINTKLSPLTVARIASEDARAICMREYGSAPDVDIYGDPDFTFPYVTPHLHLMMFELVKNSLRAVQERYMNSDKHAPPVRIIVADGAEDVTIKISDEGGGIPRSGLSRIFTYLYSTAENPPDLDGHNEGVTMAGYGYGIPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP >Et_4A_032604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11835611:11839917:1 gene:Et_4A_032604 transcript:Et_4A_032604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKEKPVNVSGKPRHSLDVNRANDKKGAGGGAGGGSRADDSQDAFEERYASSKLPREEEEDGLRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPMGTRCYHLEKHLRENCKHKHLVFLLNKCDLVPAWATKGWLRTLSRSYPTLAFHASINKSFGKGSLLSVLRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRSKSVCKVAPIPGETKVWQYITLTKKIFLIDCPGVVYQNNDSETDIVLKGVVRVTNLEDAAEHIGEVLRRVKKEHLQRAYKIQDWADDNDFLVQLCRTTGKLLKGGEPDLTTVAKMVLHDWQRGKIPFFVPPPQHSDDGASESAEPVEKSNEDGVSSDRTAAAMKAIAGIISSQQNMNVPCQNESVTNNEDSELAEQSDSELAEQSDNELAEQSE >Et_3B_027659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11290273:11290821:1 gene:Et_3B_027659 transcript:Et_3B_027659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AYADERIQALVKEVELECGDISASQEDLLARSSFLGGDGEEEPELFSTPLTEGQQSQQGQGEEEEDAITMSTLPFTQPSQDQEEDEELADSKMRMKEPRKPRICTRKVRGAKIRTPTPSPSPDRRRDVDPLPSPDRRSDVDPLYKAVLMIPTSHVPTAAGDLLVLARQRGIF >Et_7B_053715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11357970:11358740:-1 gene:Et_7B_053715 transcript:Et_7B_053715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKHHDWYLRRCCGSIAACILTLAALVGFVVLVIYLALHPSKPSFYLQDVQLRSIDLSDPALSLNLQVTIASRNPNDRVGVYYKTLDVFTTYRDEPVTIPVAMPAIYQGHKDSSVWSPVMSGESVPVAGYVADAMKQDIAAGYVLLHVKIDGRVKWKVGSWVSGGYHLFVNCPALLSTAGGPVGGAFAVSAAAGGLVGGNSTVSLKFTHPADCTVDV >Et_4B_038375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28615609:28617974:1 gene:Et_4B_038375 transcript:Et_4B_038375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTQVKQTQVINQKEALLGIGEDASWHARFKDSAYVFVGGIPFDLTEGDLLAVFAQYGEVVDVNLVRDKSTGKSKGFAFLAYEDQRSTVLAVDNLNGAKVLGRIIRVDHVSKYKKKEEEDEEEMQKKREARGVCYAFQKGECNRGASCRYSHDEQRNANTGWGSKEESGARWEHDKHRGPPKSHKNFPGALEEENEEQRQKKREDRGICYAFQKGECNRGDSCRFSHDEQRNTNTRRSSRDGESSRSEQFRDRDSRNKHNDRRSDDRDRYRHDKSPERSRSERHRNEDRYVHRREERSERHKYDAEHDDVDRKRSRYDKDSERRERRG >Et_5A_041315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21044266:21045990:-1 gene:Et_5A_041315 transcript:Et_5A_041315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVGGAATTTPFAVRVLRGRWFMLFASVLIMAASGGANVFGVYSKSIRTSLGYDQKTLNTPSTFKNVGAALGILPGLLNEVAPPWVVLASGAGMNLLGYLMAYLAVSKKISPPPSVPVMCLYVAAGAASQSFATTSAVVSTVTNFPDADRGGVLGLLTGFGGLSGAIFTQLHRCFFAAGAGNGNDDNGAALVLLIACVPSAVSLLAAPVVRRIPRNKTAGSSTRRAVDRFLYVSIVLGAYLLAASVVELKVPRFPKPAYYATAVVLVVLLVSLPLAIVIHQEATSPPTAPIAITTTDDEEPVADHTVLQALFTVDMQLIFLTTICGISGLLTALDNIGQIGESLGHSPATISMLVSLANISNYAGRVVAGFGSEHVVKRHRIPRPLALTAVLLLACAGHALVAAGSRDGLYAASLIAGFCLGAQWPVLFAVVSEVFGLRHFSTLYNLVPLATPLGSYALNVQLAGRLYDDRAARRQTGSAVGTCVGARCFRASFVVIAAVTVVRVVSSLVLAWRTRKFYRGDIYRRFVGCGHRAAADTTAAGNNDDAAVDSMV >Et_3B_030066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30199925:30203192:1 gene:Et_3B_030066 transcript:Et_3B_030066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAGSGMGGISGGPGNLLDAGAQAFYPTVGAPYTMPLQPLPHQLYYGHPCPAVPPPLPPMAMSVPLPPMAMAPQAPAYSVPTTTPVADCPSSRVVVLALVPPHAQDTDVAQAMAPFGAIRSVDASAVASEGVATVHFFDIRAAELAVACVREQHMRQQSRLGQLFAAAAGPPAWPPPPVAPQPWDWPHDDARGLVLGQAVWAHFAVDSGDNRGSLVVVSPLPARVSLADLRQAFQAFGDLKDVRESAQRPSHKFVDYFDTRDAARALAELNGRDLFNCRLAIEFTRPSGPGSRRRGYVLHNRPSAPTPPRHQAAWRPSQAASQPLASSSSSTVTGREGVVLLRRSSARVSAADQSKGGNAGTSQERKCKGGKTKNAASASSSSATPSTASASGKQGEKQVATSSGGGGGNWRGRRSGWDARFLFKEQPEVGGEAGTGTREPESEKETRTTVMIKNIPNKYSQKLLLNMLDNHCIQSNERIAASGEDGEDQPFSSYDFVYLPIDFNNKCNVGYGFVNLTSPEAAVRLYKAFHRQPWEVYNSRKICQVTYARVQGLEALKEHFKNSKFPCDSDEYLPVSFSPPRDGKQITDPVPIVGRSSSASGASSPPKSRATSVDPLALELMPPPSSSGDGASSTTASTHAPSDRADDDNAASDDDNNAAERLAGELRELGYTD >Et_9A_062714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3609459:3612245:1 gene:Et_9A_062714 transcript:Et_9A_062714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRPGESLPVMEDPRPRLVVGRRKPTAQARAGIGKKSKGSGASEPVRVPPSRVRPESSSAAGGVTVEDTDALDCGVYFLPLKAPIFQFTNIPESEIAQDFKSIDMFRRLQLCNVGHVVCSPCRDRLKATSKCHLCGVATSGYRRCDAMECLVESIRFPCLNAAYGYLAMLPYHDREEHSLTCLHRPCHCPGTACDFTGSTKVLADHFSSIHGWSCSSEHLAEKGFSVHLRDGFNFVLAERKDVALRNDDDDCEIDDHEMNDGAATSAWYLFLLNMERKQFGRAISVLCIHPDASGSPSPSKEIKCELVYSGYVSCKDGDQVVSHYQQSEFRVACTDLSNGLPDLSERFQFIVPRFAYRDVEEDAIEVTVWLFIN >Et_4A_034501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3925114:3927767:-1 gene:Et_4A_034501 transcript:Et_4A_034501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQAAENYTVEDLVALNPYNPDILNDLEKFVNEQVSSQTYNLDANLSLLRLYQFEPERLSEQIVARILIKQMKEQFKTLIVLSHYLETARFSQFWDEASKNRNILEVVPGFEQAIQAYAIHVLSLTYQKVPRPVLAEAINIEGLSLDKFLEYHAANAGWVIEKGGQSQVIVLPRNEVNHPELKKNTADTVPFEHITRIFPVLC >Et_3A_025394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29426278:29431429:-1 gene:Et_3A_025394 transcript:Et_3A_025394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGLHSAQAQRRRLAAAAASGCRCSRSCASLRRRDHAGGSPVELWTAASSPGGARRLGSFGGGAALPSPRRTSVKGGAPAKTGAGAGWAGGAARRAARAVLAALLRRQAVFLFAPLLYVAAMLLYMGSISLDSVPRIISRPAPGSMYRSPQLYARLRADMDADNTTDALATVWRHAYKGGVWQPCISNNTNGLPESNGYIYVEANGGLNQQRTSICNAVAVAGFLNATLVIPNFHYHSIWRDPSKFSDIYDEDHFIQRLKNDVRVVDKVPEFIMERFGHNLSNVFNFKIKAWSSIQFYEDVVLPKLIEERLIRISPFANRLSFDAPPAVQRLRCLANFEALKFSKPITSLSDTLVSRMREKSAENNGKYVAVHLRFEEDMVAFSCCIFDGGDNEKKELDAAREIGWRGKFTRPGRVIRPGAIRMNGKCPLTPLEVGLMLRGMGFSNNTAIYLASGRIYKAEKNMAPLLEMFPLLQTKETLASDEELAPFKNFSSRMAAIDYNICVHSEVFVTTQGGNFPHFLIGHRRYLYGGHAKTIKPDKRRLAILFDSPRIGWKALKRQLVIMRVHSDAKGVETKRANESVYTFPCPDCMCRSNKSEHPKSIQAR >Et_2B_020008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16021371:16021847:-1 gene:Et_2B_020008 transcript:Et_2B_020008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNCNIYSNLSYKKKSFSENRPFHVRGGAGACEPRGEIRLGAARGPERGSWTWRRRPDARRGIELPSVPWPHVHAEISAQRYITS >Et_1A_007353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33679326:33682283:-1 gene:Et_1A_007353 transcript:Et_1A_007353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKRGGADGGVSRRWAVLLCVGSFCLGLLFTNRMWTLPEASEIARPNEKVEEGNMPVATECGPKKVQEQQIYKDILHSQDTHHDEQSLDKTIASLETELSAARSLQESLLNGSPVAEEFKISESIGRRKYRMVIGINTAFSSRKRRDSIRYTWMPQGEKRKKLEEEKGIIIRFVIGHSAISGGIVDRAIEAEDRKHQDFMRIDHVEGYLALSGKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGQILSHHALKPRVYIGCMKSGPVLSEKGVRYYEPEHWKFGESGNKYFRHATGQLYAISKDLATYISINKHILHKYINEDVSLGSWFIGLDVEHIDDKRLCCGTPPDCEWKAQAGNICAASFDWRCSGICNSEGRIWEVHNKCAEGEKALWNATF >Et_8B_060525.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:2059437:2060894:1 gene:Et_8B_060525 transcript:Et_8B_060525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASVVDAAAKGARMERGAVLLRRASGAKQLVSASSHLLFRATVLATLALVVLFTLHYPSLISHSFRLSAPAASSSASASQRRSSHRSLLGKPAASYGGAAWEREVRRSATPRRDGGLSVLVTGAAGFVGFHCALALRARGDGVLGLDNFNAYYDPSLKRARQRLLLSSPHNVVVLDADINDSALLEQLFAAVPFTHVLHLAAQAGVRHAMRAPQAYVASNVAGLVSVLEACARHADPQPAVVWASSSSVYGLNSADEGPFSEEHRTDRPASLYAATKKAGEAIAHAYNHIYGLSITGLRFFTVYGPWGRPDMAYFAFARSIVAGEPVTLFRTAGGGADVRRDFTYIDDVVRGCLGALDTAGKSTGSSRSPGKKRGDAPLRVYNLGNTSPVPVTRMVAILEKLLGKKARKRVVTMPGNGDVPFTHANVSHAARDFGYRPTTSLEVGLRHFVDWFVRYYKLDTKGATIAAAKTSKKKSMATMSAAS >Et_6A_046870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21797776:21806305:1 gene:Et_6A_046870 transcript:Et_6A_046870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIGVGNVGNIVQIGLLIKDAVETVQQNKEDCDKIKKLAIQASSIVQQLQERKLQINNSNVSSALEELELTLRNALTLVTACQERHIVCLFCKAWGLSKQLHRVREDIFGHMMMGNFAMNATFLLVGAEHPAPLEPPQVPYYTTVLYLHGIRIEIKRLNTKGLRFARRRSVLHMSMSCAQTEKTYLDLYVLMFYGGSMGKWVREIREAAVASQVSGNSHKLNKFKECIIS >Et_2A_016301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23018785:23021860:1 gene:Et_2A_016301 transcript:Et_2A_016301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTIKFLSISLLIFHNLLLSHGASGEGIAPSTLDNGGNITDGDTLVSAGALFTLGFFSLPGVPPTKRYLGIWFTASGAADAVCWVANRDTPLNNTSGVLVMSTGGSLRLLDGSGQTAWSSNATGASSGASWVLELLDSGNLVVREKIKSSGGRILWQSFDHPSNTLLAGMKFGKSLKTGAEWSLTSWRAKNDLATGEYRRVMDTKGLPDIVTWHGDAKKYRAGPWNGRWFSGVPDMASEFRLFSVQMTDGPDEVTYVLDASASAFSSRVVLDEAGVVQVLVWRPTARVWVPYPWLPRDACDDYASCGAFGLCNSVGAASPSCSCLEGFSPVNRPSRWGCRRDVRLECGNGTETTDRFVVLRGVKLPDTDNATVDKSATLEQCRQRCLANCSCVAYAPADIGGDDGGGSGCVMWKDSIVDVRYIENGTREWTTVAKIMLPVLASLLVLTAAGMFLVWICKRRGKRRSKDILTKAILGYSRAPEELGDDNIELPFVSFGDVAAATNNFSEDNMLGQGGFGKVYKGTLGQNIEVAIKRLGQGSGQGVEEFRNEVVLIAKLQHRNLVRLLACCIHGDEKLLIYEYLPNKSLDFFIFDAGCKYLLDWPTRFKIIKGISRGLLYLHQDSRLTIVHRDLKPSNILLDADMSPKISDFGMARIFGGNQQEATTNRVVGTYGYMSPEYAMDGAFSVKSDTYSFGVILLEVISGLKITLSHYKGFPNLLAYAWSLWIDGKAMDLVDSSLATSCSPTEALRCIQIGLLCVQDNTNYRPLMSSVVTMLENESTPLSVPKQPVYFSYTGNQGTIGENTSSSSNGLTVTTLLEGR >Et_3B_031419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28935883:28938302:1 gene:Et_3B_031419 transcript:Et_3B_031419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPQPAAAAAAAAPAAAEVVVTEAAPAEAEEKAEVAAPAEAEAEAEKKTDEAAVAADDAAIEGTGSFKEESNLVSDLPDPEKKALDEFKQLIAAALAAGEFKLPPPPPPPKAKAEPATEETKAEEPSKEESKAEEPSKEEAKTEEPAKAEAPAEAEAEKPKTEEPKTEAPAEASTEEAKAKAPAEETKAEPAAEEAKPEPEEKTIVVAEGEGATKTVEATEETAVPAAPEAEAQAAVPEPVLIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMSMLKSAVLWRKRFGIDALLEADLALPELENVVFYRGADREGHPVCYNVYGEFQDKDLYEKAFGDEEKRERFLKWRIQLLERGILSKLDFSPSGICSMVQVTDLKNSPPMLGKHRAVTRQAVSLLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKSKFVFASPAKSAETLFRYIAPEQVPVQFGGLYKEDDPEFTTSDAVTELTIKAQSKETIEIPATENSTIVWELRVLGWEVSYGAEFTPDAEGGYTVIVQKTRKVPANEEPIMKGSFKVGEAGKLVLTINNAASKKKKLLYRSKVKSTSE >Et_1B_013686.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:12067798:12069648:1 gene:Et_1B_013686 transcript:Et_1B_013686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSFASRAEQASNNLSYGNDAQGMVGSSIGPTVEPGHSNVFTGASYGTMSVDNKVNENLAPPVSQQASLNSYQDQRFAAPAAASPGNSYGCPEQPLYQQASPVYINRRPAGRNESTPRIIPITALNKFQTTWTIRARVTAKANVRHWGNAKNGKVFSFDLLDAEGGEIRATCFNESVDQFYDLIEVGKVYLISGGKLKPANKKFNHLNHDLEITIYTPMSSIQICPDDYSIPQQQYNFRQISEIENIYNESMVDLLGVVTSVTPSVTIMKKNGTETQKRTLQMVDTSGRSVEVTFWGNFCDVEGQQLQLMCDSGRNPVLSLKGARVSDFGGRSVGTINSTQLIIDPDFPDAERLKQWYMTEGKAAACISLSQGMSNMGQNVVRNTIAQIKDENLGQSDMPDWVTIKASISYVHTESFIYPACPSMFNEKPCNKKATQDTDGMWHCGRCDKDFENCEYSYTVRFQIQDHTGTTYVTAFQEAGEQIFGRPAKELFSIRNVNQDDALFKEIIEGIRWHLYIFKLKVKEESYNDERRVKSSIVKAEKLDPSKESHTLLRLIDNLLQDGLGSSPSVQATVAPHVGFTSVLTSSNAYTMNSCGANQFGQQETIGKRSPMG >Et_8B_058531.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:13613915:13614982:-1 gene:Et_8B_058531 transcript:Et_8B_058531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSHDLLFLLRALPALEVEGRDGGDGEHLDGAEEQHVRDAGGDARGGAARPPPRRERVHGRDRRAERARGEHVERGLRAAAAHEPPRARHRGGAAAVALGGGDDDGHGADDGEVDEEEGSQEDEQRVEEEEEERVVEEDDGEHRQRGDGAGAGGLEDVPEGDGEHGAPEVALRLRHRRAGRGGGAPVAGGEGGDGGEDGGDFYRGAGDDGGEEARAGGEEEAPDVGLQAADEVPVRGAGRVGAADAVGVDKHGGGQRLHGEGVAEEERGGEARGEGEERQEEAAVPRREHGAVAQEGEEARRLPASPGRVVLHGRRLAGRRTELRFTWRDGEEKEREGGRRAAWCARGGEMCSG >Et_3B_028631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17524510:17534538:1 gene:Et_3B_028631 transcript:Et_3B_028631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPREDYDEDVAVMLDHGSMRRYLVNSRGRLLMVVRYVDTEAVTGMLRVFQLTASAPAINGFLPHYTWEELFVLSERMLFLGPGCSRSFEIAHYDGFGEDMMYFLDETFYPVPPVDDDDTGRYTMGVQILDSWPLGRPLRGGRTVPASRQPRISRRAAAAMAAARPWGDVFDNILVTVFRFLPCRADRVHMACVNKHWRAAVTRPPPPPLPPLPNLPPQLPWIAFRGMARPTFYSPLTGRYHPLCGLPDDVRGARWCGSGDGGWLILAFRAPRAHALYNLNTGRRIPLPLGITIPNVNHVIPLVLQSATLSSSPTRSPYYMVAATALVDDRPVAAFWCEGRAAWFCPGDPLPEMPQDVIYFADAFFFVTPGEQLFIFWIVRGPNGDLTLGRAGFYILPRVDFNFDYQTMYLDGRMRRYLVRSRGQLLMAVRHVFNTAGTELIRVYRLSTYGPFWRAAVTGLGRPPPPILPELRALPPQLPWIIFPRAEAEAPTFYSPLARSYHLLHGLPDDVRGARWCGSGDGGWLVLAFDAPRAYALYNLNTRRRIPLPLGIRFPNVNNVFPLVLQSATLSDSPSGGAYYMVAAIALVNERPTAAFWIERCQSWFSMGGLLREMPQDVIYHAGTFFFVTPGEDLIRLEATRGPNGDVTLGPLSFNTRRRVDYDDDVGSMLVAGMMRRYLVNSRGRLLMVVRYVYNVAGTGMFRVFQLSTSAPGINGDHTWEHIVELSGKMLFLGPGCSRSFEVAH >Et_4A_034402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32216068:32219259:-1 gene:Et_4A_034402 transcript:Et_4A_034402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYRQMPVITRSYLTAAVVTTVGCSLEIISPYHLYLNPKRVVQQYEIWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATTLTGIVLVGGMIPYVSETFARILFLSNSLTFMMVYVWSKHNPFIHMSFLGLFTFTAAYLPWVLLGFSILVGSSTWVDLLGMIAGHVYYFLEDVYPRMTGRRPLKTPSFIKALFADDNVVVAPPPNAGIAARLGAGGPHLHEQ >Et_3B_031523.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:3627527:3628672:-1 gene:Et_3B_031523 transcript:Et_3B_031523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLPDDVVREILLRLQHSSSLARASLVCKHWRGLVTDPSFLRRLGGAPFLGVFHNNPPVSPEFIPAGGDDAPDCARASRFPPPAHPWLVRDCRRGLVLLCDVRRFLVWNPASDDRVPLPRPPPALGRYFGAALLRDDDDDGFRVAVAFVDDEGVAVGAVYASDTGAWGGHVTSKAPASGNILWFQKPGAVVGEAAAHWLLDGCRVLRLHAGGQQRPAVLSVLEPTNAPSLDPRDLNVQLTRTPDGGLGLTAVTGTALRQWVLKTDDRATSWMLSRKVSHLDALFPGPRRRRRPGRFREELSLASVIEHDDPESPPCARITGADEDGAMVFLRRMDGLFLLRLQPTPRISKICGPYYVMRKSYDIVFPLHLFFPLVGINV >Et_9B_064081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1175090:1175348:1 gene:Et_9B_064081 transcript:Et_9B_064081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFVVNLNVRSSCACMRHPTHMVVLPHVAEMIENKVEAFGKHNSYSKPQRRGSPAFNHQQRNRCQEGTEHKRVA >Et_6B_049450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:568950:569487:-1 gene:Et_6B_049450 transcript:Et_6B_049450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPRLMEPEPEHSGNYVMLSNFYARLNRWEDAKKARKEMKAMGIDKSPGSSVLDIDGVLHEILMGDETHPASTAVYAIVEHGRRPSTAAMLFDVEEEDKAGALSYHSERLAIAFALIASTPGAPIRIIKNLRVCSDCHETAKLVPLVYRREIVMRDRTRFHHFKDGECSCRDFW >Et_3B_030807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7680146:7683543:1 gene:Et_3B_030807 transcript:Et_3B_030807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDLMDYVIFTNGLLSLFLLQTVPVNPKPFLNNLTGKPVVVKLKWGMEYKGFLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVLYLRGVPEDTEIEDADSHVDLRSSRLFYGLRRTEQETDEMANTIIQNMLCRSD >Et_8B_059152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14519648:14523504:1 gene:Et_8B_059152 transcript:Et_8B_059152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIICPCFPFPRPNSCPSTTPTLTSSCQVLEPWFVPNDDGVAEAGNDSMDIWHSIMLSPPPDCDLFERMASHSSATKPEQGSSKRPGGRTPAGPRQSKQSANHVENERQRRDKMNRRFCELRAAVPTVSRMDKTSLLADATAYINQLRARVAQLEAQARQMAAARRDTSGPAAAASSSSPCTTTGDEMVQVRMAAGAEVAVVRVTSAAQHAPASLMAALRSLELQVRHACVSRVNGITTQDVVVDVPPGASALQDGLGLRAAVLERMQYGENMAAGAEVAVVRTTSAGVALVRHACVSRVNGTTAQDVVVGNGAGVLQDDLCLRAALLERMQYSA >Et_7A_052260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6244166:6248778:1 gene:Et_7A_052260 transcript:Et_7A_052260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVNTTEEEPMLAVVRFTAEFAWADAGPEVARLFLEAQEHILAGRWVDMASLMLASTDLLLKSSRVSDKSISRPLSSFPSNLVAKAGSEAEALQIAKSICSKLTHQPDDKLVLRLKINCGFVSLFHNRTPAFYLDVYWIDGNVLSLQCDLLNMPAVAQLEKDEKYGLVYDLLKIFLTQRLDSYLNFQTANSTLLKDHGLVHEECITKMRLMSLLDLSSRCSGEIPYSSIIDALKINEDEVECWIVKAIALKILDCKVDRLNQTVIVSRHTERIFGMPRWQALRTKLGVWRGNIASAISTIQANKITCAQPMSAIKGCKA >Et_1A_006071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17387455:17415465:1 gene:Et_1A_006071 transcript:Et_1A_006071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKIILVVAVAVLLVVLSKLNSLFAAKPKLNLPPGPRRLLVIGSIHHLGTSPLIYRTMSRLAQNKHGPLMLFHLGEIPFLVVSSPEVAQAVMKTHDTSFADRFVTTTLDTFTYGKADMVFTDYGEMCVLELLSAARVQSFRAIREEEEVAGFINDTFARECVGSRCKYQDGWLHEFHEAVQFTSGLTLSDLFPSSRLMRMLGTAPRKVLACRDRIKRILERIIQERAEALERGETPGHEPILKDGNMPVPLTNETLVAVMFNLFGAGSDTSSIALNWCMTELIRSPAVMAKVQGLQAEVREAFKGKNLLTEDGIAGADLSYLRSVIKETLRLHPPVPLLPRKSREACQLMGYDVPKGTTLFVNVWAIGRDPKYWDDPEEFKPERFENTSTDYKGANFEFLPFGAGRRMCPGINLGLANLDLALTSLVYYFDWKLPNGMEPKDVDVWESVGLIANKRNNLTILAVAVAVLLVVLSKLKSLFAAKPKLNLPPGPWRLPVIGHIHHLGTCPLIYRTMSRLAQKHGPLMLVQLGEVPFLVASSPEAAQAVMKTHDTSFADRFATTTLDTFTFGKTDLAFGPYGERWRQLRKMCVLELLSASRVQSFRAIREEEVARLVGNVAASAAAARAVDVSKEVARFIVDTFARECVGSRCKYQDEWLHEFHEAVELTSGLTLSDLFPSSRVLRMLGTAPRKALACLDRITRILELIIQEKAEALERGEKPGHDILGVLLRLQKDGNMSVPLTNDTLIALMFNLFGAGSDTSSITMNWCMTELIRSPAVMAKVQAEVREAFKGKNLITEDDIAGADLTYLRLVIKETLRLHPPIPFLLPRQTRETCQPMGYDVPKGTAVLVNVWSIGRNPKYWDDPEEFKPERFENSNTDYKGVNFEFLPFGAGRRMCPGINLGLANLELALTSLLYHFDWKLPNGMEPKDVDLWESMGLIANKKNNLILHPITRMAPTMELLSAARVLSFRSIREEEVAQFMDSLVAASAGAATDMSDAIKRFINDASLFLHFLHSAFVRACVGSRCKYQADYLQAFEDSVRLSSGLTLADLFPSSRIMQMLGTAPRKARMQRIFEEIIQEKEDALERGDKEAGGGFVGVLLTLQKESGAPVELTNDTIASLLFDMFGAGSDTASNVMIWCMTELIRSPAVMAKVQAEVREAFKGKSRITEGDLAKVELSYLKLVIKETLRLHVHLPFLFPRQCRETCQIMGYDIPKGTAVLVNAWAIARDPTYWEDGDEFKPERFENSDMDYRGTNSEYIPFGSGRQMCPGINLGLANINLALVSLLYHFDWKLPDGMEPKDVDICEAVGLMATKKTNLILHPVTRIAPANNDNMEDKVLVAVAAGVLLIVLTKLKSLLAAKPKLRLPPGPWTLPVIGSIHHLVTKPPLLYRTLRVLSRTHGPLMMLRVGEVPMLVVSSAEAAEAVLKTHDTSFADRFGSATLAAITYDKTDLGLAPYGERWRQLRKICVLELLSASRVQTFRSIREEEVALFMDSLAASGSSSGGAVVDVSQGIKRLINDAFMRACVGSRCKYQDEYLHAFHEAVRLTSGLTLADLFPSSRLMQMIGTAPRKALALREKMQRILEEIMQETKAAMERGDKVARDSFLGVLLTLQEQGSTSVELNNETISSLLFDLFGAGSDTSSSVMIWCMTELIRNPAVMAKVQAEVREAFKGKSRITEDDLTKVDLSYLKLVLKESMRLHIHLPFLLPRQCRETCQVMGYDIPKGTAVFVNAWAIARDPKYWEDGDEFKPERFLHKDLDFKGTNFEFIPFGSGRRMCPGANLGLANINLALVSLLYHFDWKLPDGMEPKDVDTCEAVGLIAVKKTSLMLHPVTRIAPANDG >Et_2A_015828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18199056:18201568:1 gene:Et_2A_015828 transcript:Et_2A_015828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAIFVFLVVFALQLVDRYLDLTRKRGSQSEEQLKLRQEIKQLLKEANQLSTPSTFAQAAKLKRLAVAKEKELAIIQEQDIKGKQSLYDRYRKFLLVTKVVICVLLILWFWSTPVTTVPQHLLQPFGKMFSWRGVDTATRHVVVGILPWLFLTSRVSKLLCQKFSFVLLRP >Et_1A_008075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4132460:4136266:1 gene:Et_1A_008075 transcript:Et_1A_008075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPLLAILLLAALAVGPLAAAQPQRNIQTSFPPTRTPAFSTPPPPTILPPAASPATAPPSPPGSPAAKRSDIAVAVVSTALSSFAVSGLAFFLFLRHGKKKELTAGDGNGYPNGTQQGAAAFAGKRPERSPKRPPRGVEGGGGGIGMVDENGLDAIYWREFEKEGDGAGRGRKPAASWRPPQPPPPRQQRAERWPEPQESSSPSPPRRSRKNKIDQEPLIPRGSLDSASAVFDDSPRPPSAGTSSPFSVNARPPHQAITVSAVPRPPTPPASAAPPSASPSLPPPPGRESPPPNPFIAAASSAPPPPPPAKAASPPPPPPPKAGPPPPPPPKGPPAPPPPRGGPPPPPPPGGKKGGPPPPPPKGGASSSSRPPTAPGMPSGAGEQQAKLKPLHWDKVNVQATEHSMVWDKITAGSFNLDEGIIEALFGTAAANRKPKSAGSKDASGESSASLGRSDTPEQIFLLEPRKSHNISIILRSLTVGRDEIIDALRDGHTELSAEVLEKLSRLSISKEEESTILKFSGNPDRLASAEAFLLRLLLDVPNPFARVNALLFKMNYGAEVAQLKQSLRTLEMASQELRTKGLFFKLLEAVLKAGNRMNAGTARGNAQAFNLTALRKLSDVKSTDGSTTLLHFVIEEVVRSEGKRLAINRNYSLRRSGSLAKSGHEGGSSSATQGPSRERREEKENEYMNLGLPIVGGLSTEFANVKKAAMVDYDSVVSECAILGSRLADMKRLLETCGDDGFASGLRGFVKAAEQELSALRGEQEKVLDLVQKTTEYYHAGATKDKNAHPLQLFIVVRDFLGMVDQACVDIKKKLQQKKPPPPPPPSSSQPTTAVAAPAVAAAPAAAAAKEATDGQAAPAQKPPEEADSRRKRVMPRFPNLPAHFMKDNADSDSSSDEE >Et_5B_044912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7192044:7196288:-1 gene:Et_5B_044912 transcript:Et_5B_044912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRVHRRRGRRVCHRRVDRLSDLPDDLLHNILVRLPSTTEAIRTSVLSRRWRRVWTRVPALSFTVGEQQPPYASSILDGIDAALDAHAAPTLGRLAISVGSQGDPVARVAPWLRFASQRLAGELSLKFTTAPRRTTTTALINPCPTAREEVELPVCGRATAIDLQLTNQQRLRFPAACAGGEFTALRVLRIECFHLHGGDLECALFAQCPRLNELTLSVTDLDGAVSVCSGSLERLALGGGGTGQISVDAPRLARLEMSTSSMVVGRGVYRVQITAPELAEVIWRDAYLERDQIVVTSQRRQRRLRRLEVELNHHHQARASVSGMASTVLGCVDRLSDLPDELLHDILIHLPSTFEAARTSVLSRRWRRVWTRVPALSFTVDQQPSYASILDGIDAAMDAHAAPTLGRLSISAVSQREPVARAQRWLRLASRRLAGDLVLGFTRPFPMFTLDAGWQPTEGFKMPVFDRATSIDLQLTAEQLLRFPSAGGTFAALRVLRIECSYLHHRGDMERAVST >Et_5A_042235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7908278:7909182:1 gene:Et_5A_042235 transcript:Et_5A_042235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMKFCRQCNNILYPKEDRKQKVLLFACRNCDYQELADNNCVYRNVVHHSAGEFTQVLQDVAADPTLPRTKAVRCAAFGHGEAVFFQATARGEEGMTLFFVCCNPSCGKRWRE >Et_7B_053565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:185185:186334:-1 gene:Et_7B_053565 transcript:Et_7B_053565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCIIYLRESSGGLRKEKENGNLDGPCSRAESRLKLVVIRCLGPPGAPGPQSNAAAVNAWSGTAQPDAAAGGLPRRRIPGPIPIHHPRRSPLRPGMYASESLSVLRRAPLSLNSAVRFSEQELDQGYGLLTLSATLVRSSLVLFYVSLYLIAVAVGADKPCGLAFAADQFDPEERASLFNWWFFCMAVGVRRHGTEAPARPTSLAAVSVAALYAMMGLTDVLAVVGLQELFYDQMPHGLRSLGLSVMGVGGFISSLLIDALTSVTGDSWFADNLNRAHLDYFYWLLAALSAAELLLFLAFARSYVYSNNKIHSCISQKH >Et_4B_038298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2836952:2840721:1 gene:Et_4B_038298 transcript:Et_4B_038298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQATLPFSCSCTLQTLSRTLSRRGPLHLRRGFLHLPSPAALPRFSRAPRPCCRHISASADASNGASAEGEYDFDLFTIGAGSGGVRASRFASTLHGARVAICEMPFATVASEEHGGLGGTCVLRGCVPKKLLVYGSKYSHEFEESHGFGWTYETDPKHDWSTLIANKNTELQRLVGIYKNIINNAGVTLIEGRGKIVDPHTVSVDGKLYTARNILIAVGGRPSMPNVAGIEHAIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQKKVLRGFDEEVRDFLAEQMSLRGITFHTEQTPQAITKSNDGFLSLKTSKETVGGFSHVMFATGRRPNTKNLGLEEVGVKMDKNGAIVVDEYSRTSVDSIWAVGDVTNRINLTPVALMEGGAFVKTVFGNEPTQPDYRAVPSAVFSQPPIGQVGLSEEQAIEEYGDVDVFVANFRPLKATLSGLPDRVLMKIIVCATTGKVLGVHMCGDDAPEIIQGIAIAVKAGLRKQDFDATIGIHPTSAEEFVTMRNATRKIRKNAAAQVESKDEAVTKQ >Et_1A_006313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20531479:20532000:1 gene:Et_1A_006313 transcript:Et_1A_006313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGSLGNDLETWQKRLYLPNFRREFCSLHLGLPLLGCFINESFLERIGPSLPSFCITRDCDPKINAIVKNGDFFLAKVLNISCSETINWNIAGCIDGLLLLMNPVTWKFVVYNPMTRWSSDVFDVFGVVWDNLIGMPRLYSQCGPKLLSCQSSC >Et_1B_013248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6742719:6746517:-1 gene:Et_1B_013248 transcript:Et_1B_013248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAKETYRAELRSAARQLGERCLYSAAKWAAELLVGVEPDAAAAPSAAMDSPSSSSAASGGRLLHLHRSGGSSFRHRPRPGVGSSSEVGTPLGGVSYVSTPIPDDDAFDTGGDKYLLAKTYFDCREYRRAAHVLQNQSGRKAVFLRCYALYMAGEKRKEEEMIELEGSLGKSNAINQELVALERELSTYRRTGSIDSFGLYLYGIVLRDKGCEGLARTVLVESVNSYPWNWSAWSELQSLCTSSDILNNLNLKNHWMKDFFLANVYLELKMHEEALKRYERLMAVFRCSGYIQAQIATVQYNMRDLDEAEMIFEELLRTDPFRVDSMDIYSNLLYAKESMTALSFLAHRVFLTDKYRAESCCIMANYYSLKGQHEKSVLYFRRALKLNRKYLSAWTLMGHEYVELKNTPAAIDAYRRAVDINPRDYRAWYGLGQIYEMMGMPFYALYYFQKSSYLQPNDARLWIAMAQCYESDPLQMIEEAIKCYERAANSNDTEGIALHRLAKLHAMLGQSEEAAFYYKKDLERMEVEERQGPNYVEALLFLAKHYKSIGRFEEAEDYCTRLLDYTGPEKETAKSILQGLKRARSVAGAWQLREPGACTVQR >Et_10A_001644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6379852:6388921:-1 gene:Et_10A_001644 transcript:Et_10A_001644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAAAAAFRSAAARLSRSASSIVAREVTGHHNLTIDGYRATRKLPITWSAASQTFEAAGYPWRVTYHPNNNSWKESIDLYLEPVAVDGDGGRQQLKADDPVEFRFSLLDGAGNPVPEHTQSSKGACFFSSESRSKGFPGFIKWKDLEESGCLKDDRFTVRCDITVVKNWTKSGAGAGDESDASKSAAPAASRVFVPPSDLHEHLKNLLCKMRGADVAVEVGDAAMFDAHGWLLAARSPVFEAELLAAPKEKVPGPGGGVRRRVVIRDMDPKVFVPMLHYMYTDALPPAMLPGEEAEEEEEDAVETARGLLAAAHRYKLERLKLMCEEMLCERIDVGTVAGSLAAAEMHGCRALKDARMEFIARPGVLKEVMETEGFQKMKANCPNVMLELVMKQLAAHTAAAPSIWLSAIRHAMAIAGQMTAAAARRCTRSASAIVRRRVTGFHDLTIDGCAASRKTPILQSAASRAFDAAGHGWRIRYFPNGSWWTGGGYMSLFLELHEEDHGGGEGNASDDPVEFTFTVLDPAGNPKEEFFFTGGASASSRGFQNFVRWKDLEASGCLKDHKFTVRCHIAVRKEWTEDAAADDGGDAAPAAAPHAVVVPPSDLREHLRNLLWTKQGTDVTVYVGAGGEQTAHDVHGWLLAARSPVFEAELLAAAKEKVPGGGVRRHVETQGVDPKVFKAMLHYMYTDALPPEMMMAEEEKKAVAMAQGLLAAAHRYKLERLRLMCEELLCERIDMDMVAGSLVLAKQHGCQTLDAMCVEFISRPRNLRAQLFSSLAVAVGGDGVHVYGCSDDPVEFTFTVLDPAGNPVPKYSRSKEEFFTGGASESSREFPIPQLRQVERSGRVWVPQGRQVHRPVRHRR >Et_8A_057455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3224264:3230936:1 gene:Et_8A_057455 transcript:Et_8A_057455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHVLCSSITLWIPTVAESPNSHIFSRLDLQVDNRSQSAGKRARTDGSRREDDWVCPSCNNVNFAFRTTCNMRNCNQSRPADRTKPMQTPPHYAPSGGYMGPGTPPSMYLGGGAPPYGSPLFNGPAMHRYGIPQFPGGSAYPYGYGGRIPMGSPYGPMHMTGPPPYTGGSMMGAGGMYGMPMDRYGLAIPAGPGAMGTRAGSYSEEASQKKPAGAGRDNDWECPNCQNINFAFRTVCNMRKCNTPRPENQGSKSDGSRGAKPKMPEGSWKCEQCNNINYPFRTKCNRPHCGAEKPSQTNNTNDSGTDQGNQLLSELQLPHEFQVNNEQTQMDLSGHAAGVPTSSHSLRMAALNGGLTPGHQKIIQKCQEKFL >Et_4A_034785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6557004:6561551:1 gene:Et_4A_034785 transcript:Et_4A_034785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAAAALLVLLALAAAGGVAADGSDHRYKAGEPVPLYANKVGPFHNPSETYRYFDLPFCSPEKVREKSEALGEVLNGDRLVDAPYKLDFRVEHDSKPVCSKKLTKEDVAKFRNAVAKDYYFQMYYDDLPLWGFIGKVEKGGKADPSEWKYYLYKHIIFDILYNNDRVIEINVHTDQSALVDLTEDTEVDVEFLYSVKWKETPTPFEKRMEKYSSSSNMPHHLEVHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEESGWKYIHGDVFRFPKNKSLFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYIATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKTEFQAPCRTTKYPREIPPLPWYRRTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGFFVYGYCLYYYYARSDMSGFMQTSFFFGYMACICYAFFLMLGMVGFRAALFFVRHIYKSINAWSDCMATAEPADIPSKARLVEDKL >Et_3B_029098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21987130:21997976:-1 gene:Et_3B_029098 transcript:Et_3B_029098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DKEERGGRKNTIERSERKRPGKANATPNPFLLPLPQAESRREVTNTLGAGAGRASESRDGVAGLALRGDGGGGMATPTPMAGEGTLAAVMPRSPSPTPADAGTTAAESPVLIFLYFHKAIRAELEGLHAAAVRLATERAGDVAALAERCRFFFNIYKHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGESDLFNQLFALLQLDIQNDDGLRRELASCTGAIQTCLTQHMSKEEEQVFPLLTKKFSCEEQADLVWQFLCNIPVNMMVEFLPWLSASVSSDEHQDIRNCLCKVVPEEKLLQQVVFTWIEGKTTKKMAQSFADCNSERNHSGEETLFVDQGENHICSDEEYKVGSKNYAKSDDVQADSHPIDDILHWHNAIRKELHDIAKETRRMQQSGDFSEISSFNERLQFIADVCIFHSIAEDQVVFPAVDSELSFAQEHAEEERRFNNFRCLIQQMQIAGAKSTAVDFYSKLCSHADQILETIEKHFCDEETKVLPQARMLFSPEKQRELSYKSLCVMPLKLLERVLPWLVSTLSDDDASSFLQNMRLAASPSETALVTLFSGWACKVRDKSNSGEYLCLTSGTARCLSDDRGSDAEYIPGTNGNHCSQTADIEVRPCSKKPCCIPGLRVENSNLGIGSLASAKSFRSLSYNSTAPSLYSSLFSWETDASLSCSDGISRPIDTIFKFHKAIRKDLEYLDVESGKLINGDESCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESRETLHNVSHSYTLDHKQEEQLFEDISNVLFELSHLHESQTQNRVKEEEQNCLHSSNATDWARKYEELATKLQGMCKSIRVALTNHVHREELELWPLFDKHFSVEEQDKLVGRIIGSTGAEVLQSMLPWVTSALTQEEQNKMLDTWKQATKNTMFGEWLNEWWKGAPTSSDSSAEASSSPEDSHLQDKLEQNDQMFKPGWKDIFRMNQSELEAEVRKVSRDPTLDPRRKAYLIQNLMTSRWIAAQQKLPEPSSEECSDGASIPGCVPSYRDQEKQIFGCEHYKRNCKLVAACCNKLFTCRFCHDKVSDHTMERKATQEMMCMGCLKVQPVGPICQTPSCNGLSMAKYYCNICKFFDDERTVYHCPFCNLCRLGKGLGVDFFHCMKCNCCLGMKLTEHKCREKGLETNCPICCDFLFTSSAAVRALPCGHFMHSACFQAYTCSHYTCPICCKSLGDMAVYFGMLDALLAAEELPEEYRDRCQDILCNDCERKGRSRFHWLYHKCSSCGSYNTRVIKTDTADCSTPN >Et_9A_063336.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:20582420:20583205:1 gene:Et_9A_063336 transcript:Et_9A_063336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALLLLVVVVFHLPTPAPATATLNPLGDLFAKANHTTNTTTATSASAAAAAPAPSAAVSSGNHDNGNKKLVPAHEAAAAGSPKEEEEREKKELEEIARAKKEAAANGNLVSLSADDTGAYKGMTHEFLEGHNVLRKRYGVPPMKWNPKLARYARRWSNAMRKDCELKHSSGSNYGENVYVSRKSTWNATAKDAIWSWLNEEKLYDRATGNCTAGHHYRDCGHFKNMVNEKLQKVGCARGECYKGGVFMSCNYYVYNHDA >Et_1A_004887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14283191:14283889:-1 gene:Et_1A_004887 transcript:Et_1A_004887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWCPELASKAYIDGVRVLADHEGPAEVAELVSAMAGGWNAQLIVEAPDSSTRPPAATSLALAAAARRTGGRYACVLPDAASAAAYDPTTVVVGEADAAMARLEGVDLLVVDARRRDAEAVLRAARPGPRGMVVLRHGDVAGAGTRRRAASVAATGAGTRVVRSVYLPIGKGGVEVLHVGVGKGPSLRSRAAAAPGRWIRHVNHDTGEEHVFRRQ >Et_2A_017701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5267448:5272763:-1 gene:Et_2A_017701 transcript:Et_2A_017701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRASGPAEKATAPYGSWESPITASAVSAAGRTVEGLAVAGDGRLVWVETRPEEGGRAVLVREAVKPSGEAVDVTPQGFAVRTLAQEYGGGAFAVQGDTVVFSNYDDQRLYRQTIGDNSPQPLTPDYAGSVVRYADGVFDPHFHRFVTVMEDHRHNSSNPITTIAAVTLSDQGVDEPTVLVSGNDFYAFPRVDPSEKRMAWIEWSNPNMSWDKSQLWVGYFSEKGKVQKRICIAGEDSTLVESPTEPKWSSKGELFFITDRRSGFWNIYKWDEQSNLVTPLYSLDAEFSKPMWIFGVSSYDFLGKDDSNHEIVCCYRQNGKSYVGVLDHDSGFFSEVDIPFSSVNNIVSGNGCFYIEGASASLPVSIAKVMLDNKRTTATDFSIVWSSSEDVTKYSSYFSSPEFMEFPTVTPGQLAYAYFYAPCNHIFQGPSDEKPPLLVRTHGGPTDEARGILDLSVQYWTSRGWAFVDVNYGGSSGYGREFRERLLGQWGVVDVNDCCSCATFLVETGRVDGQRLCVTGESAGGFTTLACLAFRQTFKAASLRAGMHKFEAYYIDNLVGDKQAYFERSPINFVDKFTCPVILFQGLDDPVVSPDQATKIYKAIKEKGLPVALIEYEGEQHGFRKV >Et_3A_024364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2070016:2071474:1 gene:Et_3A_024364 transcript:Et_3A_024364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHCGCRRDIKKRSQEIILSVKTVCIIIIAMNELVGSILNGHVYSIKDHGTLEVAATGYEFSTAGVSKSNDCPKCDLVLHDMGSDGGTYGLTVSHTSIPQTEGRLATASSTCINGSPRVQFEVLHNNLVASKRLSVFGLAAAISN >Et_2A_017204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3187046:3191098:1 gene:Et_2A_017204 transcript:Et_2A_017204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVELNPSCPNKMPLNLVNFRMVKAQMKDCLEFGGAVFLCSHLTRKECFEKKIFGLSPSYAGFVEKVKAGTTLFLFDLDQRKLHGVFEATSDGALDIIPDAYISTGQRYPYQAWILCDILRIIFHAILYRASMLYLSLIRFKRIWFCKPLMEGEFQEAIQNSFMKKNKFTFRLSHQQVAKLLNLFSSRKRLQPPQNPRSQDDPFMDFEISSHLKETDMQSSPNSSSCGSFRSPCQTCSSTTLGDDAASLGHRLVDPVSLVQRVLQSDGSDMAKSNSSISSLHTGADTSIITIPSNQEAMCDQSTDDFIPLPQEEDVLDGVDDLFGLLDDENHSGSSDSEDNTSRQACVRKEDGSHPLMVNSKLRSDIERRKSVFSRIVRANEVFNQRKRSKTKAFPQRSAEFFNPLYQTKKQRRAQKKKTFSCWNDGMLDKPSTDRMGGVQPLDNSFFWTDNRRSTKFFVREEDRNKGNVSFKEPLRADNCRKPFFPKGGAKWDKSFDKEVNTPPLISGVQESSDVSAKVERTPSLNFKRRAKVLNVEGGNQEFDIEDVVEVGQRKRPATAPFHQEYPDVTRDTPLILESSRTMDSFGDRKTISNDETGRHVVADHLVTETRIQEKQNPNVSSCDRVVNGDKILSLGNFGSMNFLPNHDQDCGNKRSFQSDGSNRPVTCLLETEMALLQKQTSYIQSCSEGAHDDKVLVPEISEVVPPKVDADCVYKGTSLASDYREEVCHIVSSCHDVVPSDAAPVLESCGPLSNLPTLHGDSAKNNSSLDETSGDVCTGYEDTVMLPQDEHYHSCCGDTSSVLEYTTMDTYTGDGGSENKNSDQKDDEVLCSVTDSKDHVSTRNTSSSDGSRSFAHADDQECSKVLLLKGEQYHNFRSGPELAHEASNSVDSFAVSGEGCGSKSETSADRASVQRITDLLGTNSESRTSFTNDSSRGSAETPSTPALGSENAEAYADQPILQHDPGETTTPL >Et_9B_065387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4540771:4543800:1 gene:Et_9B_065387 transcript:Et_9B_065387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSTAAAAMNGGVRAGLPLASLNHISIVCRAVEASLQFYTDVLGFVPIRRPGSFDFNGAWLFNYGIGIHLLQSEDPDSLPEKREINPKDNHISFQCESMAAVERRLKELGIPYVQRCVEEGGINVDQIFFHDPDGFMIEICNCDNLPVIPLAGDRAPVMGACKRAAAAVKQQQQQQQQISAVPVPPAPAPQCVPSSATAAAIRVGEEAHISCA >Et_5B_044999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8102864:8109116:-1 gene:Et_5B_044999 transcript:Et_5B_044999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GPSSTKRIYTSKNEYKSVFIQLLVYASVFALFAMPQAVGEQDCYDEKLTFNIRLGEGYIHPTESCCRAARKVDMTCVCRIITPEEERTVDVGYVFWVSQDCHNPTPAGNICGSWTIPAPRVPTPSPHNMQHTKRILIRMNTKVFLQLLVYASVFTLFIMPQAMGDQDCYGEKVAFKQKCRLSIKLGSGYIHPTDSCCRTVQKVDMSCVCRIITPEEEHKIDLHYVFWVSQDCQNPVPAGNKCGSWIIPGSGVPPPPHHYMQK >Et_4A_034648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5155574:5155931:1 gene:Et_4A_034648 transcript:Et_4A_034648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYRCVPCGFEVHPVCSRGTRAADRNRRENEGSVQAQCRVVCSSSTSTSPFSETDSECSPITTPESPLVVDLVREFEGRRRLSTDVPVTVAWGHISMASQEKKVPVGGP >Et_3B_030766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7176789:7179887:-1 gene:Et_3B_030766 transcript:Et_3B_030766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLSKTRADLLGDAVAPVSGLKPSRFTLKGKDLAVDGHPFLLDVPANIRLTPASTLVAGAANAGGGSFLGFDAAAAKSRHVVPVGQLRDTRFMSIFRFKVWWTTHWVGDRGRDVENETQMMLLDRSSSPGGRPYVLLLPIIEGPFRACLEAGKVDDYVDLCVESGSSSVTASAFRSSLYLHAGDDPFELVKDAARVVRAHLGTFRLMEEKTPPPVVDKFGWCTWDAFYLKVHPEGVWEGVRGLAAGGCPPGLVLIDDGWQSICHDDDDPASGAEGMNRTSAGEQMPCRLIKFQENHKFREYKNGGGMGGFVREMKAAFPTVEQVYVWHALCGYWGGLRPGAPGLPPAKVVKPELSPGLQRTMEDLAVDKIVNNGVGLVDPKRAHELYEGLHSHLKASGIDGVKVDVIHLLEMLCEEYGGRVELAKAYFSGLTASVRRHFGGNGVIASMEHCNDFMLLGTEAVALGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAAFHAASRAVSGGPVYVSDAVGSHDFDLLRRLALPDGTVLRCEGYALPTRDCLFRDPLHDGETLLKIWNVNRFAGVVGAFNCQGGGWSPEARRNKCFSEFSVPVTGRASPADVEWKNSGVDGVEGAEEFAVYAVEARELRLLRRDDGVDLTLQPFTYELLVVAPVRVVASPAEIKFAPIGLANMLNTAGAVQEFETREDAAGGVTAEVGVKGAGEMVAYSSSKPKLCRVNSEEAEFAYKDGMVTVDVPWSGSSSKLCRVEYVF >Et_3A_025815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33132579:33133114:1 gene:Et_3A_025815 transcript:Et_3A_025815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNKKIALVVFPLAFLLMAYCTEALRMCSKPSENFHGKCASNRNCASVCHLENHFVGGGHCSRFQVRKCIPTDYDADDGADLNKRRRCRWTFSRPCLCDKPCDLPPVADPPPAPEVEPSPGQNQPSSAKTGTSKVLY >Et_7A_052475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8483463:8483933:1 gene:Et_7A_052475 transcript:Et_7A_052475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYPPRTFYYASLNNSYACSQIGEPATKKKGKGAKSDSGSNQLEVIGDQAQEVVAATTKATGKVARKRKPKQKAKKVGLDSPAMGIRSKIKLGEASSPALGTRSKRRLSL >Et_4A_033602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24891947:24907264:-1 gene:Et_4A_033602 transcript:Et_4A_033602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNELCDLVAAHPDLLLADKLAWLSSRCGGAPAAAAPPSRASRAHLHSLLALARLLPAGGAGGDAATPPPLLAFLASHAFLSPAFWPQSFAPGPFLSRLLPLLAAAPASPALSSALSAAVLAALDVADPASAPLARVFLSAAAAAAPLPLLPVDAAPVAARLLLEFPASEEAPAKSKGKGEDTVSEENGGVREVVRRFEEEEVEALERKEVAFRLIVHTMGGEGGLEADQVGKVRNAAARQVRSLTDFLKIRKRDWREQGAQLRARINTKLMCCQAAVLVLVRSISIMGGDSKSSKDMLQQTLAWFIEATKSCILSSWRKLKICEELFCTLLNGISQITVSRGGQLLPVLLIPLKPLVVSTCSQADMAGSSPGALFEAVVKLSCEIIEFGWTKDRALVDTFIMRLAAYVRERNDYEEEDGKEKEAVPVIRLNVVRLLAELCVCLKKWEVVDMILPLFIEHLEEGDASSPSSLRLRLLDAISRVACLGFEKSYRESIVLMTRSYLDKVKAVGASENNTLPSEATTERTETLPAGFLLVASNLTSTKLRSDYRHRLLSLCSDVGLAAESKSGRSGADLMGPLLPAVAEICSDFDPVSTVEPSLLKLFRNLWFYMVLFGLAPPIQSNQAPTKPVSTSLNTMESISTIALQAVAGPYMWNSQWCVAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNEKAAISQRTALSAALGGRVEVTAMSTISGVKATYLLAVAFLEILRFSCNGGVLAAISTLNKSNSAFSCVFEYLLTPNLTPAVTQCLTAVVHRSFEAVLSWLVRFSLEQPFEEDRISDIGEGADIRESVLSVHACFLIKSMSQRDEHVRDVSVKLLTQLKEKFPQVLWNSSCLDLLLISVHNELTSGPVSDPAWIATVRSLYQKIAREWLTSALSYAPCTTQGLIQENFCKPSGVQRTQHTADVVSLLSEIRICSGKNDWNGIRTANVPAVMDSAAAASGAKKEAADFTLEVLSTAVVSATVKCNHAGEIAGMRRLFSTMGGLNMGMSPLGTQSAQPHQSFDEVFVSKFVSLLQNFVVTAEKQPIDNAQFRETCSQATALLLDHMVTDSRANLEGFSQLVRLLCWCPAYISTPEAMETGIYIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFASDMNYCGPDAKLRPHLIAGEPEAPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSIEQLLLLGRMLQGTMKSPTHFSRHPAATGTFFTAMLLGLKFCSCQSQSNLQKCNMGLQLLEDRVYRAALGWFAYAPEWYESPNKTYAQREAQSVSVFVHFLQNERTSGSSDSSLKSQGRESEHNTADQIHPVWGCVDNYAAVRDKRKQLLLMLSQHEADRLEVWAQPINTKDTTTFRGKVSSDKWIDHARTAFAVDPRIALSMTLRFPTNAALQSEITQLVQTRLLELRTIPEALPFFITPKAVDENSTLLQQLPHWAPCSVTQALEFLTPPYKGHPRVMAYVLRVLETYPPETVTFFMPQLVQSLRYDEGKLVEGYLLGAARRSNIFAHILIWHLQGECVPDESGKDSAAPKATAFHSLLPAVRQKIIDSFTQDARDMFEREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKITVPGDDLYLPTATNKLVRGIQLDSGIPLQSAAKVPIMITFNVVDRDGNPNDVKPQACIFKVGDDCRQDVLALQVIALLRDIFQAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRNQMGETTDGGLLEIFQQDYGPVGSPSFETAREMFMISSAGYAVASLLLQPKDRHNGNLLFDSYGRLVHIDFGFILEISPGGNMGFESAHFKLSHEMTQLLDPSGTMKSDTWNQFLRLCVKGYLAARRHMNGILTTVNLMVDSGLPCFSRGDPITNLKKRFHPEMNEREAANFMIRTCVDAYNKWTTAGYDLIQYLQQGIEK >Et_8A_057441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:330832:334006:-1 gene:Et_8A_057441 transcript:Et_8A_057441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAHLFVGLGDAQMQPLPLQQAAPPPAPAAATTPAPKKKRNQPGNPSKYPKLNNSIGRSCNSSVSSVVIQKFISSSVTIYDRSDPDAEVIALSPRTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKEAQRRRVYLCPEPSCVHHDPSRALGDLTGIKKHYCRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARAPALPGGVYAMAASHLQSAFHHDQAHSAGAAQFDHLGSPAFRGAQPTSSSSSPFFLGGADDGNTTSLLHGKPAPFHGLMQLPEQQAGSNGMLNLGFFSGATNAGQDARVVFPDQFNAGAGAGNGRGGDGGEHGNGGGNSESATIFSGNLMGNSMAGGGFSALYNTSSAETVAPPQMSATALLQKAAQMGATTSGGGGGSTVNSLLRGLASGGGALNGRPAGAAGFMAGESSSSRSTSQAAENESQFRDLMNSLAASGNGAGGGAAFGGGGFPGVDDGKLSTRDFLGVGGRNNMNGGAAGLHMRHGAAGVGMGSLEAQK >Et_3A_023163.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33566225:33567242:1 gene:Et_3A_023163 transcript:Et_3A_023163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNSSNEMRPSPFWSTPSIMRRHCATDADSPRPRSTRASSAAEMVPLPSASKTRKAWRRSSSTAAASPATVALRAANSSRLMKPSPSASASAIIRSSSSSEAAWPRLSKSAASSEREIRPSPLASNLRNTRSISSSGVRGGDPPPDTSSPCCCCGGGDLELRPRRKREGTGILILPMARLVFLVGGPWVASARFLRMAADSRFTGRRRIYRAT >Et_9B_063811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15743604:15744263:1 gene:Et_9B_063811 transcript:Et_9B_063811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSCKHPRTQSFRAPSAAAKTIASLFLDSGESSFANSSSAQRASDSLSTTESEPASVAADDMADAVVRGLRSDRLLFEPRAHSSSILEKKPLPPSPEEDEASSSGSFGGGVAVVLESADPYRDFRASMEEMVAAGGGDWEWLERMLAWYLGANGRDTHPAIITAFVDLVVCMAAGAGGSSSRVSSFTLAGSEPAESSNAGGHFSFCRR >Et_2B_019292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21873958:21874389:1 gene:Et_2B_019292 transcript:Et_2B_019292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQLLVVAVVVASVVLNAVDVSSASAYDALVKNNLPPGLFPKGVQAFDLKADGSFEATLPKDCDFVVSIGGQQTKIEFSRKFGGGMGVNVRYAWIPISQIDRAGNQLTLTAQKSRLPVSVGSFAQSPSCS >Et_1B_010681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1386302:1388275:1 gene:Et_1B_010681 transcript:Et_1B_010681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVALLLLLVAAAAPGARGFYLPGSYPHKYNPGEPLNVKVNSLTSIDTEIPFSYYSLPFCTPPDGVKDSAENLGELLMGDRIENSPYRFKMHTNESDVFLCRSAPLAPDTFSLLKKRIDEMYQVNLILDNLPAIRYTKKDDYFLRWTGYPVGIRVGVDYYVFNHLQFTVLVHNAGPSSGWMVVGFEVVPCSIKHNPEDVKSHKMYDRYPSKIKCDPTTVSMSIKENEPIVYTYEVTFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVIAFLAGIVFVILLRTVRRDLTKYEELDSEAQAQMNEELSGWKLVVSDVFRAPSNPTLLCVMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYMILGILAGYAGVRVWKTIKCGDHSGWVGVSWRVACFFPGIAFLILTTLNFLLWGSQSTGAIPFSLFVVLILLWFCISVPLTLVGGFLGAKAPHIEYPVRTNQIPREIPPQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVLLLLVIVCAEVSLVLTYMHLCVEDWKWWWKSFFSSGSVAIYIFLYSINYLVFDLKSLSGPVSATLYIGYSLFMVIAIMLATGTVGFISSFCFVHYLFSSVKAD >Et_9B_064503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15938468:15943950:-1 gene:Et_9B_064503 transcript:Et_9B_064503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRATVSRFPAVPEALEACALQWGVSVTPFAAADELGHAPAKGACGDRVPRCEHCWAYFNSYCDLERWGWGCALCGTLNGFDDDTARRFQRSEACPELNFSFVDLEIPVDDAGDGVQARPVYVAAVDLACSEEFLELIKSALLAALEALIPGSLFGLMTFSHKIGLYDLQGPIPVVKNVFVPPDLEEDGLPVALEDVMPLLSFLAPVDTCKDQIAAALETLRPTSSWERGAASGQEDDTVLLGGRGFGTAMSALIDYLSSEYGSTFALARVFAFLSGAPDYGAGQLDTRRYGEQYASKGVDADLSLLPEQIPFYRDLAAVAVQAGVCIDIFAVTDEYTDLASLKFLSIESGGSLFLYANTDDSTLPQDIYRLLSRPYAFGCVLRLRTSSDFEPGNSYGHFFPDPQYEHVQHIICCDSFATYAYDFDFAHNDGFSRHTDPAVVQIAFQYSVVEPVEETSGKEGQSSASYKFCLKRRLRIRTLQYRPARNISEIYDSVDPEVVLHILVHKVILESLYKGVREGRHQVHAWLALLAARYNQAMSDARTPVSSIDIDFLQCPQLQMIPQLVFALLRSPLLRLHEEGVHPDYRIYLQCLFSALDPSSLAKAIYPLLISYSTPDKQAFPRHTLSRAALIMSESPIFLLDAFTNLVVYYSSSADSSIPFPPPHDCLLRTTINRLKQDRCITPKLTFIHGGKDDSTLFDSYLIEEQDVDGSGFATGSGFVAFRESVRNVAAEIIQEESGS >Et_2A_018086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1027263:1028337:1 gene:Et_2A_018086 transcript:Et_2A_018086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALGAASEVLRDAPVLSAKDIESLSQLLITCGPSSITKNNKQPATRTKKSFSRFMSDCAVRFRENDRVCDKVKAALDAFNEDQEFKYNVHVICGVNEFVSGPEFSLDEEVRGYNPWTPFKYHHSHINFLATCAGSKPALFFAECGNHETDKSWCIPVEPPRPLAEHVRCIYCECEGNRIVHPAVMGFHGRDTEFEKLLRGEALFKGSDKDKYTNNQIITSNPVDWVHSVRDEYIYSFNSVDAPSDGDDDSDKDDPLRNIPMG >Et_1A_007480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3580340:3584121:-1 gene:Et_1A_007480 transcript:Et_1A_007480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLFLRAAGPLAAISLVPARCRIRLTRVAAASATAAATAPVTPFAVEDYLIANCHLTQPQAVKASKSLAHLKSASNADAVVEPNVAFLRQCGLSADDIAKLLVAAPRLVTMHPEYVQDAVRRATQLGVAPGSQMFRHALSTAGCIGQEKVDAKIAVLRKTLGWSQEELNLAVSKAPRILVASEERLRRNAEFLVNEVGLPPQYIARRSVLLMYSLERRLVPRHVVVKALKEKGLVDQDRCFFNAVAPTEDKFLEKFVAPYEESIPGLADAYESALSPPPPAAMLRLEKFLLPFRRSASPIHLSPHRALLSTAAAASSPANFAAEDYLVTTCGLTKEQAAKGRKYVAHWKSPSNANAVLAYLASPPLGLSQADITRLVTRDPRILNCSIEKTLKPRIDGFFSHGFTAAQIRTFVRQAAVALRCLDTDGKLGFWLPFLGSPERFLHLIKRNSYIVTSNLERTVKANIQLLRESGLSDVAKLCVCNARLLTSKPDSVRVILKRAEELGVPRNSLMFRQAVNSTACIGPETMAAKLKFMGEMLGWSEAEVAKAVKMAPVLLRCSREKLQRVSEFLTKVVGIDAKCILSSPAILMYSLERRIAPRHYVMKALKGKGLMHKEKSFFSLVKATDKSFQSRYINPHKDVLPGLADAYAYACKGTTPI >Et_6B_049222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:287469:289250:-1 gene:Et_6B_049222 transcript:Et_6B_049222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDTVAELHGRLRSLVVILLGMSKSKTPVTDAARYDMDALVWQYEKSGSFSTQSFYSVISYRGITLMYVPAVWNVQRFGFFNIVNASVLRGIWLTRNDHVFQRQNWKNILVVVKRTWQLAKSWKPMLKEEMAEKMDQWILSLEAWWKAPLQIQSG >Et_3A_025819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33081532:33085794:-1 gene:Et_3A_025819 transcript:Et_3A_025819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPRRPFDRRCGSIVPYEMDISEFFVFQLGCTGAPDGVTDLPDDILVDVFSRLHHVKALFRCAATCRRWLRLFTDPDFLRRLWPETDHHARLRGLFLNGPDSGRCDCLDCGVAGHAILTPADGDTGPERPPPSTRRHSTAFSHLFIISFHRDDRGLHQHLHSYSGVTGSWCAPTEIRHSSRLRMAGTRSDTAGTDNNSDLNGDHRLPPSRRHVFSQLLFITYPSQSNGDQHLHSYSAYTRRWSTATSWCRTPRDKVVSSAAVHRGVAHWLYTNACTSAGAREEFCILNVELATMRVSFTKIPTVTIGTMPFLCISKEGGLSITSMFGMHVQVWTRQDGDPASWLRARLTTMPDAMMRRRGWYEFNGGAMLVLDYGGEVFALDPEKKVIEKIMVCPERLFDTCCGIIVPYEMDLSEFFSLFSSRTEPAVRSTPTIMADTGAADGGTDRVVTALQEGVLVEVFSRVQNEDTCSDRITSFVLDNHDHERGLLASSRGVILRHIFTYSCCFRSHTGILLSNPITGAVDEFAPPPGCRCLNVCVAGHAILTAADVDGNGERRRRRHSTFSQLLLIGYHQDDQRLHQHLHVYSGVTGRWSASFAIRHRSRLRMTGARAGVVHGGAAHWLYLDETVDASLPRDKRDLYMLAATAATGRVSMTKLPIQAAGEGLPHVCVGRDGRLSVACVHATRVDVWTQQDDDDDGSNPAAAWLFARMFGKNWILSQVIQMPSTAPADENFMSCKWFYCNKGAMMAVYGGNGVFVLDLETKAMEKIMDLSDCAPSYGYYMCVPYEVDLPEFFLGQLVGLAIQGQREVGWRWP >Et_4B_039539.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2127433:2128917:1 gene:Et_4B_039539 transcript:Et_4B_039539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEQELGGGAGHLLLFPFLAQGHIIPFLNLAKRIEGLEQPRRPTTITIVSTPRNVASLRRAAPAGSSIRFAELPFCPSDHGLPADGESTDVIPLMDYPALFFATESLQAPFEKLVSELSGRYGCKNVCVLADFFLGWTAESARKLGVQHRLFVTSGAYASAATFSLWLRKPTFPRPVNPDAEQALVDFPDVRLRHEQLLNVVVTEDDSNPVTQFLRRTLNLHFRHSGGVVVNTAEEIEAKGLEMIGKLSGLPTFAVGPLIGGRAPTADTVRVDGGDACTKFLDSKPPASVLFVSFGSQNTIPASQMMELARGLEASGRPFIWAVRPPVEFDGAAEFRAEWLPDGFEARVAAEGRGVVVRRWAPQVAVLAHASTGAFLSHCGWNSVLESLWHGVPVVGCPLMSDQLFDSRLLVELGVGVEVASGRVVGGLAPDKGWEQVRDVVETVLGDGDKARDMRAKAAELKKLARAAVSADGKVKGSSVLAMERLLDGAFR >Et_7B_053200.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:10817027:10823974:-1 gene:Et_7B_053200 transcript:Et_7B_053200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHIESIVPQIFQNLSTKRYLLIVDNLLETIEPNSFTEKVGLPPPSWKGSIWLLMPTILQGDDDEGGWSEQSQSQPGRVAVAFGKGGVSFTEDEILMLILSSLHQAAKDIYSIIRYKDEQYWHLISLRCFHYAMLFFPQCSPPLDDDEDHQSSNNALVTITSDELIRQWAAQEIFTVIHRGVQEITKETNDFLRKYNDYYDIHQVGRVILEVFRDCSLLELPFSPETKADEATKTAAHFLAHRGLIAERLTTDDFCDDDGPGQENIQWISQGGDQGQGFHISRDWLCQGTSSGPSALILRQCSQQSSLLAKLDHILVNLPYLRVLDLSYTPLGLLPPSICCLQKLQLLSLRGCYNLTSPFSFPKAKVTPSENDTGNKIPLLYLDLSSSNINTFHSGFFHNMPNLQELVLVKCSNLVELPLSIVVLSSLEILEVTETQIKSFHGEGFKNLRSLKLIGNKKLLSLSGPVSDARGLIDQRSKEWESCVQKEITLEGHPTLRSFTLIDAPLIRRLSLCRCRNLDSVDIKEVDALEELDLSGTSIKELPAGIPNLPKLRQLFIMDVPSLRRFPWHELQRLPDVFRLGHSYIINGDNSNHQQVAQVCVSDCRILYGFARSMDSRSREVLKSFYIRVVPCNRGTANMMDAEEDIVSTDKIQVSASAYADVNCHYVSLVSQDVKPPFVETERHMEISAVGGYHPEGLGEALDVTKSIRLAYDDQFPSLNKYIFDLEQLEYCSLLQCHLMVHVFKEWYAPPRSLKSACVSYLRSLSYFDKTFANEQPSWAFNALRHLRLDHCPRLEGLMPCVSTLSSLETLDVLFCYNVKVLFYDNLGQNGPYELPSLRRIRLQELPLLERLRVDDAILTAPVWEELHVRGCWSLRDLPRLRHRSGKVKVSGERTWWAKLRREQDDGEAAPHHSSYEPRLPRAVASMRDRVVIKSYLR >Et_2B_021765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5197994:5198583:-1 gene:Et_2B_021765 transcript:Et_2B_021765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMPWFGEIGGMDINMDPHPSSDLWGRFAGGNRDFDATAVARTNVDWRETDKEHIFTAEIPGVRKEDVKVEVEDGAVLKISGEKAREVAEAEEDQEGGGSWKRMERSYGSFLRRFCLPDGARVDGIRCTLEDGVLRVVVPKDDQSRTRRNVRSIDIS >Et_2A_016615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26656027:26665608:-1 gene:Et_2A_016615 transcript:Et_2A_016615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVQTLLGSVGQLLREEYKQLRRVGGEVAELRDDVATMKALLLMHSEADEGAVDHFIREWMKQLRELAFDAEDCVDDYRLRIKSRPSDGAGAWLRRLFGTLSPRRRLAGEIVLLRARAAAIRERHVPYGVDRKALRRSVWASAASSGSARDLFRAIDPHNHRFKDFIGNQAEDRASPIELIPLHFFVGPSGVGKTTLAIEVCRRLKAHYDYQAMVALSPAFGSNSSLRFIRSRPMEWLFHRPLHWRGGEEAVTSWHLEQFVEGLTDKRYLIVIDDVRSVLAFDAVLSAIPVNNNGSRIIITTQSMVLANKCRATRGGVNISIVSHLDKEDSKRMFLSRAFCSMDDFHSKKPEGSPLEGKEKVEEWFLKGKEKPEGSSLKGKEKLDGSPPRGKEIRTGMEQVIEICDGLPLAIACIAGVLAGYIAQQRPDKFEKACESISSLMDTIHPLERIRHIITLNYNNLPNEVKGCMMYLSIFPRSYVIDKDRLLCRWIAEGLVAQNQEMSMQEVAECYFEELLCRNMIEPVIIGYDGRIKSCKVHDMVLEVVVSKALETYFVAILGGQHKGMSKDRIRRLSIHGDGDSWPCAVDPQQTSGSSIECMNLHRIRSLSIFQLQGHKLLDQLGKFVLLRVLDLENCKGVTIKHVRYACQLHLLKFLNLRGTDIDKVPPEIEKLEHLQTFDLRDTLLDYQLPQVVTNLEKLERLLISKRHERDYMWRLPRGLQKMKALHEVRLAVLRNDVQVAKEVGELQQLEDLYINVDVPKQSKEVLDAFADSLCKLHSLRCLSIGVSGSGNGEQTLDFLHRLPHAAPQMLRRLGIHGGINDLPTWIGLLTDLVEFVITDACLNGDQLFDVLCSLPNLKSIWMQHTKYSDNELVARSHHQFPSLINFIVTSDAEENPRVIQFEERSMPNLETLEVRFADKSIVGTQYLPSLKEVKLTGEKDKPALSLALEQLKGENEKRPPGYRSSQFHVVVSYE >Et_9B_065948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18524589:18525919:-1 gene:Et_9B_065948 transcript:Et_9B_065948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRKTDAAPRLDEADRTLYSTFCSAANSLSQLYSQAIAQQKQSFHAGEVHALEKLHQWILRKYEEESRLTVADIMAHLQVRRAGKYLTCNFDMQHEMDYGGSDANVSTRAPQYPQGQGQFGNSNSQVTGSAFAPRSLNSEQSKSTIFSNALSSPVRRSLQSYQPTQGQGAANGGRNANETNSGAQNGDTNSGGSSDTTMDMVSDY >Et_2B_020178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17697605:17701882:1 gene:Et_2B_020178 transcript:Et_2B_020178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LAPSYKPVGVNDISTQHQQGPPWHAQRYKWQCSELPASSESLLTSKERFERRKMGERLFATEKFGGRAVYRFHAVTVFVGICLVLYYRATHVPSSGAGRAAWLGMLAAELWFSFYWVITQSVRWSPVRRRTFKDRLAARYGERLPCVDIFVCTADPKSEPPSLVIATVLSVMAYNYPAEKLSLYLSDDGGSILTFYALWEASSFAQHWLPFCKRYNIQPRSPAAYFSESEKPHDLHILKEWSFIKGLYEAMTERIDSAAMSGEVPEQIREKHKGFSEWNTGITSKDHQPIVQILIDGNDRNAVDSEANVLPTLVYMAREKRPQYHHNFKAGAMNALIRVSSVISNSPIIMNVDCDMYSNNSDSIRDALCFFLDEEKGQKIGFVQYPQNYNNMTKNNIYGNSLNVINQVEMAGLDSVGGPLYIGTGCFHRREILCGRRFTKGYKEDWDRAIKEKTQECIDQTEEKAKSLATCTYEQNTQWGNEIGVKYGCPVEDVITGLAIHCRGWESVYINPPRAAFIGVGPATLAQTILQHKRWSEGNFSIFLSKYCPFFFGHRKIRLRHQMGYLIYGLWAPNSLPTLYYVTIPSLGLLKGTLLFPENMYSLYEALLSGDTLRGWWNGQRMWLVKRITSYLYGVIDTIRKLLGLSIMGFVVTSKVSDEDESKRYEQEIMEFGTSSPEYVIISTIALLNFVCMVGGLSQIMTGGGHMPLNVFFIQVILCGVLVIINIPIYKAMFLRKDRGRIPFSVTLAATGFVMFAL >Et_5A_041061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17561682:17564378:-1 gene:Et_5A_041061 transcript:Et_5A_041061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALARYLKRWLKYVVIYPPPLQPLPVRMPYMSPVVVADMEPSMEVAREWTSRLHVLRRSAGNTLPLAATGLWRADGGAARAERVRSEPAAVRADGVHVHARRHVLMELVDHILRQWLPLPCSPCWSCLPRGIRGRSHYAETVHRFAIPTALPSLLQTAAVTAAHRPIAIAAKPRSSKAAAKTTALVAMAQAPFRAALTSVVSAAVPATVTTATPPSNSVACSPAASMRTMRQGATPAKTGLANSAITLASATAMITARR >Et_8B_060152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6532718:6534783:1 gene:Et_8B_060152 transcript:Et_8B_060152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSPLCAAALALVMLLIGEASAAGNGGKGLDYRKALHSSLLYFEAQRSGHLPYNQRVRWRGHSGLADGLQEGVDLVGGYYDAGDNVKFGLPMAFTVTMLSWSAIEFGDEIAAAGERRHVLEAIKWGTDYFIKAHAEPDVLWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRDNPGSDLVGETAAAMAAASIVFRRSDPHYSHLLLYHAQQLFEFGDKYRGKYDSSVAEARRYYGSVSGYGDEMLWAALWLHRATGRAEYLDYAVDMADEFGGTGWAITEFSWDLLLEGNHRPEHQATLEQYKSKAEHYLCACLGKNGAAGNVNRTAGGMLFVRQWNNMQYVTNAAFLHTVYARYLSSNSGEPPLLNCPDGPARAGELLALARTQADYVLGANPAGVSYMVGHGRRFPRRVHHRAASIVSHRVDGRFIGCVQGYDHWYRRPGANPNVVVGAIVGGPDHRDRFRDQRGNYEQTEACTYNTAPMVGVFAHLHSEAAAARRLRRK >Et_4B_038053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25794842:25796774:-1 gene:Et_4B_038053 transcript:Et_4B_038053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITMSYSGFVAQNLAASFGLRCTSAAAAGAGATPGAGSRFLQDALSRPFCLFASSRRAPPVNDPPASLAVGLLSVLASGMGSTGGIAGACSLSASPSVSAGFNPATLLPFLQATKWLPCSDIVTSKRSRSSGRPAVAAPSRVGIQALVGSGAISSGSAGIVRNTGATLAGAAGPSRKTSWLSRWVNSCSDDAKTVFAAVTVPLLYKSSLAEPRSIPSKSMFPTFDVGDRILAEKVSYYFREPDVLDIVIFRAPPVLQALGYSSSDVFIKRVVAKGGDTVEVRDGNLLVNGVVQDEDFVLEAADYEMDPLVVPEGYVFVLGDNRNNSFDSHNWGPLPVKNILGRSVLRYWPPSKISDTIYEQDILQCTAGVS >Et_8B_059638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20018700:20019368:-1 gene:Et_8B_059638 transcript:Et_8B_059638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERGLRPYVTDHELRTLVGRVQQPAAEQHVVASIATRSGCSSSTALSTPSNATHDQNGCTSSSTADDRPPTAERRRRRHGDASVVPSCTDAIKSGSFKLAQAHLRLLVAALDARPESHVASPLKAEDDNAVKLAKLAYGLLDRATCPSIMRERKFRSQDNDTGGQALGSHGGDGCLDLCGLPGWRVTSACDT >Et_3A_025689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31921621:31925026:-1 gene:Et_3A_025689 transcript:Et_3A_025689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVIIALKKEQEDDGVVDIDSGDEGAGKVVKRRRKKKECDPHKKRACVDCTKRCARIHGRVSSSSRPTPEVLSFFKVMMGCFSEDMVGILGPFHLQSLHFSQLVLHFRQVLGRMLRLTYYGDIPPPFAKTILDLAGSNIYLEDSFGLRWRVRLCLRDGVLSFGHGWKNFILDHAVSCGEFLVFRQIARSVFTVQMFAPSAIERLYLCERNKRQSRKRKPRQKTNSPATETVRISKNNGESCKRKRLTDNPNDQVPSDCTMSVHVCIDDSDVPDSASELKCSETSEKALEAGPLESQEVSNAFTRHQGNAQRVLDGQIEIVDNCAIFTENPISDATEIDHVQGSSLPPNVDASGPLAMMDLNEVIIDDIYLSADIYEFESGICDTEAFSVDQNKERQIPDGHTSGLNCLEHDLPNQHYSMGVGQGLFMSERLPCIENKQMVDVLETCEGADNVPAHDTDINLLPSKEPTLFGEDRSSPSTDADEVPFRECTSGSCNMDKCSCNSNQAAQKEDSAVIQDKPQDGQVDMQDSKGQHAAEIMSSSPRPQELSRPGKDPLETGNNSGDLQSGSTESGGVLALEANGRKFCVPVPAPGQTWIELPSRLPVLPRTKKQGRKVVILKDPCMRLWPVMYQCAPRFSGFITGWVDISRENNLQEGDICEFEFSDNRELSFQLRSSHCCPAT >Et_4A_032607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11809282:11812519:-1 gene:Et_4A_032607 transcript:Et_4A_032607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GDGGAAAVTGSAAAIGKAAGDPPDSAGGGGSGGGGGDGEASGEEGRGRRRWWCCAVAVLLGAAVLLSALFWLPPFATRRRRQEEAACADPWGGADVVASFRMQKMVSDLSENKSKLEYDIFEEIGISNSTVSVISLDPVAESNLSTITFGLWSYPSNYTISPTELSILRSSLVALVTHQSILQLTPSLFGNSSSFEILRFPGGITIIPPQNAFVPKKPDGLFNFSLNFPVDVVQVKISELKAQMKSGLFLDEHEILYVTLTNLNGSTIVPPTVVQTYVLLAVGADNRSPSSQRLKQLAQTLRNSSSGNLGLNRTVFGRVKQISLDPLPHYLVPAPSPNNYEGPHVNPPSFHAMIPLSPLPAVFFHAVPPSVSRSPNKFTSTSPAASICELYA >Et_1A_004673.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:29979769:29980069:1 gene:Et_1A_004673 transcript:Et_1A_004673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVVAMLLWLPLVIVAMSAGGVSAEDCNPDRLASCLPAIVIGTEPTGGCCSNLSSQQGCFCEYAKNPDYRKFITSPKAHHALDHCGIPIPSC >Et_8A_057499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3668717:3670010:-1 gene:Et_8A_057499 transcript:Et_8A_057499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKGKGGAGRKEEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTNDVRVDVKLNKHIWSSGIRSVPRRVRVRIARRRNDEEDAKEELYSLVTVAEIPAEGLKGLGTKVVDEAD >Et_10B_002719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:97401:99926:-1 gene:Et_10B_002719 transcript:Et_10B_002719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSMTSSVLSANYNTILFEFGVILVTSKILYAVLRNVCQPRVFSDLLLGIVLAQFRVLSLTNAINLVFGKIGGFVFAPYLFALGVEMEPSSLLESPLAGGDAVVAYAGILSTLVVVTVFHTPLMQATSGVVQERSLRAFLGLAAMLGNTASPVLTRLTTDLKIAKTAVGRLAVGAGVASDMLTTMLIAVGSMIWRDAGDESSSAAMMMQPVLTAAVLVVVIVSAFVSRAMAEWVGGRNPEGRRMRGFDLSLVALAAAALCWLSSALRLDVNMAAFLVGLAFPSEGRVSRLLVSKINFVLSSFVLPLYVCHVCLSLRQTTDDIEAAGLRRNEGFRAYVMELPFPWWKVLFVTLMGTAGKLAGSAAAGGLLRGLGWLEALALGMLLNVKGYFHIYCAQAAFDAGIITDKSFMAIIFMVALNVAVTPMVGMGIASWARRSVQWRLMGLQHHDPSTELRLVVGLHGPQNVPALSFLMEALRWGGGELAVYAVDMVQLTDQTAAAIVKGGGFDGVTVVDEEVSEMRKLIGEALDAYQADCGDAVKVRRLLALSSFPDMHSDICICAEDAMAAIVLLPYHKAQRVDGTMDGGHYGFRLVNQKVLQLAPCSVAVVVDRGLKGNQQQIQQQQVLVVFIGGADDREALTLASLMATHKKAVRLTALRVVQNATAQARSKARTSLFETTKASALGQEEAQMQVDDKFFAEFYRKHVAGGGMGYLEKHVGDGAELVAVLHGLQPDYRLLIVGRGRDRNSVLTEGLDEWAECLELGPVGDILASSDFSTTASVLIVQQYDAKKHYKVIDEEFMPL >Et_1A_005858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14820635:14822485:1 gene:Et_1A_005858 transcript:Et_1A_005858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSRPSFSHTALDVSTMLSLQPRRESHSSTTVASTERPFLMLVMRIFLRHRFPLAYHRVDSATRSSRLLWYLPSHSAGGPPSSPRPNPFTVITAGVPPPPPPGCGSVWWWCRATAHGDAWSSRTSVARTATLLALAIGAEENHYTRGQPLATASASLMAVQDDIMLAAIAVSSLVADVALTASGLPLLCATVASGAAAAVSLWAFIVLMIDAAIQLSNKDDQQSLILYHAHSTAGVVHLSAAPLLAAPVQGRQVEAVQGMRRKRRPTAHRMPLGTRRLMMLSGFQRGKNGPSACDGQRHGTREILAGSPWALSTAGWFDHGRRCHLIRVTSADGMHRGDATGVDERDTAHAWLALQRRETRPLQCGEALG >Et_6A_045956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13543998:13545228:-1 gene:Et_6A_045956 transcript:Et_6A_045956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSSALSSMEVMLDALMQRGIGKPEDQKPKEEAPPALPTRPTVRGRLPSLQRPGTAAPWIQRTPLPSLLPPTQDDEEDKTLANLELERRATKAEEEARQKDEEMRQKDDEIAALRQQVQHYESRLSECEDRMKGVEDELQKQITSLQSSELLNVSCPADGSNCRWKERWIDGNLAAPARRILHEAAARSRSHSCCRRRGANGGEPTGRGVPARARGVRARRARGRGGRHGAFSWWRQVSGRAEDAEAAVRRMEEGVRGPVAEDEGRAQEACPCREEPGPRPWQSPAAVWVVEDQGAQIQGPQVLQLQGPQSVFLLLLFPPLLLGLHILWASRCCILCMEANFISDQRDQEAANA >Et_1A_005395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1061461:1065682:-1 gene:Et_1A_005395 transcript:Et_1A_005395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLKDRGGGVGRATATAAASRPLTPNSIPSSSARRTTAAAAGKENSASKPSKPTSAVRWSTSSIPRASRIPSSVDPSKPASTLRASVLPGRASIGKDPLAEAALRRSVSGSIRASSVEKGRRSVSALGSRATEARRASGGAGGDDISRRKEGFSAKAKGFDEISRKRDGVVAKVKQTDEIGRKRESFDARAKQTSGKRDSFGVNMAKQCDEVKGKTEICDATKKKQSEETGVRREGFDAKAKAGDEINRKKEGLNMKLVKEIHQNSADSGEAPAKAAAVPATHKDDEVGSSSVIPVFTVHVVDSSDVPCSVREQPKNNEDCKKQEEKGKLADKIRVFEQAAAIAEGKSAKSVAAVNKYPSKLHEKLAALEGRVQKIATDIKKTKEMLDDNNPEEPKQILSNIQKEINAIEKAISHVKDDNKVQLGTADNSASENSHAEMTAKCTVAKPVGSKDAGKGMNTDELEARFFPHHKLLRDRRSSTSTQPESSSDMKKDCNGKIGPAACDPDNDENIIALEFLASLDGEEDGFFKDRRAKNLEKNMVSEVADATSKTSSQGSTKNPGGSNFKEEIELIATEKLDEFDEQENKPMMMVQDEPEDSSDDQLSGIGNKSSTGGWFVSEGEAVLLAHGDGTCSYYDIANHEFKSEYKPPSMVSNNMWGDCWLIRAPGVDGCSGRYVIAASAGNALEPGFCSWDYYTREVKAFHIEEEASHASAPSSRAVLGALSSVSSSGSSFGLSNVERQQWWYKPCGPLLLSTASKQRMVTAYDIRDGDVVMQWEVSNPVMGMEHSSPLQWRSRGKVVIAGSESIGLWDVNSLNPQPLLSVTSSGKKVYCLHVNNTDAEVGGGVRQRVSSSEVEGNDGVFSTQESVNVFDFRVPAGIGLKIARHGGTANSIFSRGDSVFIGSTEGRLQIKGGLRSRVQQYSLRKGMLVATYELPEFNAHFHHSSITQVWGNSNIVLAACGMGLFAFDTFKEDTQPTYSFDRGNSIGVREAIGPDDLYCPTFDYSSSRVLLVSRDRPAHWRSSSVLVV >Et_10A_001000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2059728:2062752:-1 gene:Et_10A_001000 transcript:Et_10A_001000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARSSEVFLGGRVRARRCLAPLLAAAAFAYLLFVSVKLAGFGGAGPAAAAVGRTAAAGAGEQLRTGVEEPQRSAPSRASAGGGVSGYGRITGEILRRHVAGGEGRRWGKQGNSTELERMAAQAWALGAAAWEAASAFAGDVDTIVSSRRDGGGGGDGAECPGSLALGGDAAAFLPCGMAAVDGFKKCEKWERPDMVNTKEAKTSSWLNRFIGRAKKPEMTWPFPFSEGKMFVLTIQAGVEGYHINVGGRHVASFPHRMGFALEDATGLAVTGGIDVHSIYATALPRSHPSFSLQQVLEMTDRWKARPLPEEPIQLFIGILSATNHFAERMAIRKTWMQFPAIQLGNAVARFFVALSHRKEINAALKKEAEYFGDIVILPFIDRYELVVLKTVAICQYGVQNVTAEYIMKCDDDTFVRLDVVLQQITSYNKSLPLYLGNLNLLHRPLRRGKWAVTYEEWPEAVYPPYANGPGYVISIDIAKDIASRHANHSLRLFKMEDVSMGMWVEDYNATAPVQYIHSWRFCQFGCVNSYFTAHYQSPRQMMCLWDKLSAGRAQCCNYR >Et_10A_000683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15510940:15526203:1 gene:Et_10A_000683 transcript:Et_10A_000683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVAAALEEVCARLSPGIPAADLWPALRGAAEAAGLPLGPPVKRALWARLLALPVVSLVEGGGDGAPVAAGDPAVTDVEEAERRGMRLVASPAIRDNFLGMYERRFAKAELSAVQKAALECVAASRTSGVTQSDLCKNFRMKGNNFHFIVKSLESQRLIVKQSTIIKVKDHGTEGEDGSQSKQITNTNSLYLSRYAKEMNMNSHQRIEIIKPELLGSSEESSVDPFQEDGAFGVNFKNDVSVHDYLPAMKAICDKLDKASGKALVVSDIKIDLDYRMAYGHRAWRNVLHRLKDAGLVTEFNASVDDKVVHCLRLMKKFDPNEFQSKTTTPSYKSGKKGQATDQVMELPLENCIYDMISAQGTKGITLVEIGRRLGYNNSKRLHKRVSSMLQKFNLTWEAEVSDKTSQYRVWTSKNFLLFKAGTAMQSLEELPEDYDKRSDLWSLVPPNGSDSPSSGGDLFVNNKLMLEAATHDEPVGHLIQNTFDSCAGASQSVKEDMLSLGQRKRRRRPPSTSDERRHQRILHTLEKKKFVLKVELHKWLERLEKKNGKLMDRKTLTRTLNKLQQEGTCRCIKVSVPLVTNYTRSRLIDVILHSSVGDLSPELVDQIRIRQRNFDTETRSGAAAKMKRNKNMTSIPGLKISRRVKIKKPLLLEAMNANGFIGAKMVRVKLFHKFLWSYVSSLPNWCNAFESAEEVDVDKNHYQSCILFSMVAAMKEMTLELFLQVVGSAKKIDNMITKCRLGKTLSEIHTKEYNVLMDTHARSRLSRLVNILDKLKLVQLAKEPVEDVSVPSDEVPTHSMELRPYIEEPIPIILPSSQANNRQKIRHDFVLSKPEFVDAYWETLEYCYGLAESSSSFPGCAVPEVSHRKAWSTIRVMSTEQRLELQKRVMNVLRVSYARQSSLKEQTRAPSTQKQQRVSSRLASRKKKRSADEITMKFIKQKAQMSGAAELVSDQSTLAEEVPDRISPSPTDLEAQSDMFVAGCGSPSKYHEAEDMEGTPMISRSTILRKSIMRSKRFLWTFESDRKLLMVYIRFRAMLGARYTRVDWSSLSDLPAPAPTCRRRMALLRKNENIMGALRRICNLMGKRYAGYLENARTWERTRLLHQNSNTIQENSLDTDSEQLNWDNFEDPEIKSALDDVLELIRVEKMDQMKRFGPKNEKSNNNENEIIEDTTCSQEMLVIGGTSKSMAVPENGPYGRLKSRRRSNAVEASGAMVTKISKNKISESDLLKSLAIANALELLKLVFLNTSSGSDVQASLAATLQLYSESEIFTAFLFLKEKNFMVTGNVAKPYALSGTFFFNASHSPFPFGSGKKASEFSKWLVDQQMNAMENGVYLYPDLECGEIVHLFSLVLSGELSISPFMPAEGVGEADDPNSSNHLAEDADVLDDSARKRKRDTMKLKSDKTKKHKPLPKIESDYCYRREKGFPGIQVALNQDRIQSRNHIQLPHSNECLIFTSSGKNVNLHVESHNMPSFPNDSSSYRHLLSASELEDSYHGWPWDAMEKYVKELPSISNNQNESFILSSELFRKAFCAIHQAGEQGVTLLELSHALHPLGMQWVYVIVDTLLSFKLATKVNAYDGVQIIDSLHMSKYHITALAECDHGSCSGAPAYQSVDVVDPKNVLRQKKTMPSNLLGATKVLADGHTVTVINVKGTSSSAQSCSENLGDEGPTTPGEDNKERNCYHAQGRHVYQPILPWLNADGTINSTVYEGLSRRVIGYVMQYPGVVEEDVIRRLDVLNPQTCRTLLDKLTSEKHLNVLVLDEPSPTAPTILRSLFKQDPSHKPSKCKRRYFANPMSTFL >Et_3A_026671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1359793:1361068:1 gene:Et_3A_026671 transcript:Et_3A_026671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPTMAPAWPEFGGGHHHHHQRSPSMGAFLAAPMPPLPPHHHAQQQQQPSWVDEFLDFSAAKRGAHRRTVSDSVAFLDDNAGAGAHDFDRLDDDQLLSMFADDLTPQQAPQQQQQQAQSSSSPSDHNSYNDEKPEKGETEEAQSECNGDGANAGAPAAPVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSALSPRVAFLDHQRSLLTLGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQIYHQQSLENAESPTTHEPPIRGDKDPIPSGEGTPAPCPPS >Et_2B_019646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11739712:11742731:-1 gene:Et_2B_019646 transcript:Et_2B_019646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAALMESTSSKILQLQRAFAELQSQSAVTMNLKWKQLEDHFHGLEQSLKKKFDELKQQEMEFEETVAKSEAMLEQREAAVTAKELTALERLQEKRDAALAMIFGKSKLSLPVPAVNPMDKALSNLGVKLPKPTPEVSVHLQVDNSAVKPRSELVALCEEMNVKGLHKFISDNRKNMTAIREEIPSALKSTSHSYGLVLDSLEDFYAGDNLVLDGKKDGDLLGVRRTCLMLMESLGQMQNDAVTGFMSEGHMLTTNIKERAKKIAVEWKSKLDSLDIDASNGNCLEAHAFLQLLATFGISAEYNDDDLCKLLPSVSRRRQTPELCRLLGLSQKMPGVIGVLVDSGRPIDAINLAFAFELTEQFEPVQLLKAYLRDVKKVSHVKNVKMSPGAQNEMNERELSALKAVIKCIEERKLEEQYPVDPLQKRVLQLEKAKADKRRAVEAAKPQSKRPRANGSVFAPRVTSFTDKNFYPATPERHPYPYERQFVYGAEAHHPPIMSSAPYTMQPAHAPYYGNGYPVQYQVPYIH >Et_2A_016969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29954927:29956967:-1 gene:Et_2A_016969 transcript:Et_2A_016969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSNSKKPSTATSNDRPMCVQGDSGGLVLTTDPKPRLRWTAELHDRFVDAVAQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQQKEFGDHSSKGAMEMQRNVASSSGVMGRSMNDRSVNVNEALRIQMEVQRRLHGELEVQKHLQMRVEAQGKYMQSILEKAYQALGSSDCATWPTGYKSLGSQAVLDIGNSMGFSSLHQDMHFYGGSSSHMDQLLQQMERPMDHNFLTLGESGTGSVSKKDPTNHCSSTSNSSMMWAGEEQAKSGIGHQLQMGSMTTMEGGIDVMDPIANVYDEAKSIMSGDSMGSKGFEGSGSKLEMMSPPSQQAPVGMRG >Et_2A_016075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20878294:20881654:1 gene:Et_2A_016075 transcript:Et_2A_016075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGKFEKARGRLWVARASTVLLWTCVVHYFAALQELWAPSVLMMWPGCVTQPHVVQRPSEAMAVAAAGGERAAAQAMALPPKRIYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKTSFWADPSEFQDIFDVDQFIASLRDEVRILRQLPPRLKRRVEMGFLRSMPPVSWSDISYYHHQILPLIRKYKVLHLNRTDARLANNGLPMEIQKLRCRVNYAALRFTPEIEKLGRRLVQVLRQNGPFIVLHLRYEMDMLAFSGCTHGCSNEEAEELTRMRYAYPWWKEKAIYSDIKRKDGLCPLTPEETAMVLKALGIDRNYQVYIAAGEIYGGQRRMDALTSAYPNVVRKETLLPSDLRLFQNHSSQMAALDYMVSLESDIFIPTYDGNMAKVVEGHRRYLGFKKTVLLDRKLIVGLVDQYKNGKLNWTDFSSAVKTSHAGRMGEPSRRLVIPDKPKDEDYFYANPHECLHQPDDLSVLPEVSLVIKYQYLIKHPKEVPRALPLEAAIVVLKPRNQNSTTYNSKARRHCKELRTCAVISQFAGVRIKNDGPDDPIV >Et_4B_039527.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:20183423:20184033:1 gene:Et_4B_039527 transcript:Et_4B_039527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKQGAERGRGPRRNRSGRDATGAPIRDHSGSRSWAGRHLVCGVYRIIPPDAGLPKPPLHPQFSLPFRIPSCLLVLATRVPAGAHVQDGRVRGLQGGRPMNWARRGSIWPMTFELACYAVEMMHIAAASRYDFDRFGVMFRPRRASPTA >Et_9A_063509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5520969:5522112:1 gene:Et_9A_063509 transcript:Et_9A_063509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGNHAADVVLPVPDAPPLTGDRASGNSVSLQEFQNIRLVLDSVEGKTIEIPLKGQIQIPKNCGSPPQAASGGNATPGQATERDTAQSVDKIAYWDNLRGWLLTVATLFVGMAFQAATQPPAWIPKPKDWFDTLLGKRAAGDITKKQAVTALFVPVLQHGYFLHGADDGGAAVSDEAQNLEWPAKVADIDYDSVTKLELEHWWTMIR >Et_2A_017610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4057313:4059942:-1 gene:Et_2A_017610 transcript:Et_2A_017610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSPDSYFSAWPPSESYGFAAASVQSYAEEGNMPPSSYFMNASSDHSLKVSEHGQNSTLLSNGCLPYSAHADLLSAEILSKDNLTNNLMEVQQVQSNSSQQSDLVNSGVLQHNLTSRIFHPQLDAPGFAELPHTLSSSIDSNGSEVSAFLTDVHAVSSASTLCSTYENGSSFMKPVHLDAFSFGRAQTDVMLNKRGLQNGNISVFDNAALATIHDSKEFISGSLPSFAMIQETNLPASAFKTQKQEQNVMCDFPVPAVAARNQMAVTATQGTLIPPKMPSCTSENKSEGPVSHPSGVQIQANSANGNGSGVKPRVRARRGQATDPHSIAERLRREKISDRMKNLQDLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGATGAVLPLLSESQTEDCGGQPLSATTTAHGLPDVQDSEDTLAFEQEVMKLMESSITSAMQYLQNKGFCIMPVALASAVSNQKGMDTAAIAPQK >Et_2B_019778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13965500:13967377:1 gene:Et_2B_019778 transcript:Et_2B_019778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGCLRGLLQSSSARPVLQPLLCRASASARGLDKRRVQPQASHRPRHGLRAVLVVVVVSVAADAAGTSPRCRTLHLLLQFLSPITRKSDYASLSEEEWKKRLTKEQYYVTRQKGTERAFTGEYWNTKTPGIYHCVCCDTPLFESSTKFDSGTGWPSYYKPIGDNVKSKLDMSIIFMPRTEVLCAVCDAHLGHVFDDGPPPTGKRYCINRYITFMFPFVATLSLFSLGYFCWSSSP >Et_4B_038129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26561640:26564639:-1 gene:Et_4B_038129 transcript:Et_4B_038129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LQINFVLLISRQGKVRLTKWYSPYTQKERTKVIRELSGLILTRGPKLCNFVEWRGYKVVYRRYASLYFCMCIDADDNELEVLEIIHHFVEILDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKNVARLIAAQDSLVEAAKEEASSISNIIAQATK >Et_9B_065675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8986449:8989360:1 gene:Et_9B_065675 transcript:Et_9B_065675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLGFPTSLVRAALVCRQWYRIASHPDFLRRFRELHPPGVLGFYGDLGFSARPQFVPLVEAPELATAVRRASALDGKIVISDCRHGRLLVCCLDGPRAGDRLVIHPLHPTRGVAVVQEPPLLTGHMAGFRAWFFLPEDASEDGAIVVEVFLQIRRFRAEVSTFQSGTWTDLIKVRVQDLGAEASDSVQDVLPPVHGKIYMKTSSPSNFILELDLVAASVSVIQLPDRVNTDNYKISCAEESGLCLVHADGSLLSVWRLRMNDDGSCDWDLVDDAIRVREAYNRQEDVTVFLVDSNLEYVFLGLNATGVLLSMHLKNRTEKVLLYGKIRSPNLSFQRRIVPLMMVWPPIFPALREENMDQGI >Et_5B_043567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1213899:1216316:1 gene:Et_5B_043567 transcript:Et_5B_043567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAKKYETYMKAMEAELPGVGLKRLKKMLKKCRSELASHQDAGDSGCAGHCSVCDGSFFPCLLNEMSAVVGCFNTKAKKLLELHLASGFKKYTLWFTSKCDRNHGTLIKQGKDLIHYSKQGQEFKAQAQSLHIEILQSPWLCELMAFYMNLRRSKKNKAAIELFGDCSLIFEDDRPTITCNLFDSMRIDISLTCSICLDTVFDPVSLSCGHIFCYLCCCSAASVTIVDGLKSAYHKAKCPLCRQEGVFPDAVHLDELNMLLRHSCPEYWEQRLQSERVERVRLAKEHWESQCRAFLGI >Et_6A_045947.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:12855865:12856284:1 gene:Et_6A_045947 transcript:Et_6A_045947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSRHRTTTCRCSAVRSMTPRKASCTNAMHSCATGATSRHARLPPHHRHPPLHAHGPDAPAESGDLSTARAHPERGERPHDARDVRSGRSSRKSDARTTSRPAPSTAARPRPARRPQGSFGSFRFGSGQNQTDSRNE >Et_5B_044202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21412999:21414944:-1 gene:Et_5B_044202 transcript:Et_5B_044202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPKQASPPPASQEVGHDDNGAAIHVAMKMEKKLDHLLEILKISGKEIDDERARIIQEVARIKAEAVNTRSSVLVSPSVRISEMIGVFELRKSLSK >Et_8A_056445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10163081:10163349:1 gene:Et_8A_056445 transcript:Et_8A_056445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAMASNPTIQDTAEIFACTAGQKPSLAKYLLKEGLNVLPTPNPVTLNRLVTFEYQEGSGSWQRSRGEEETVERKEAK >Et_3B_029925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29123513:29126078:1 gene:Et_3B_029925 transcript:Et_3B_029925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGNGMLSCDEDYTMKMRNKQRKSSALNFAAGYRSSVSFVLWSLVGFVLIVCFLSFKHHEDSGENRIYFSHLPSTRELEEVEEEHFRLPPPHKVNPRAVKRRGARKQPKVIEDYLDESSAVHALFFPDQRSAVDPRKGGNDSMYFYPGRVWLDTNGKPIQAHGGGIMYDHKTARFYWYGENKEGPTYQAHSKGAYRVDIVGVSCYSSKDLWSWTHEGVVLPGEPSNVTHDLHKSKVLERPKVIYNDRTGKYVMWMHVDDANYTKASVGVAVSNSPTGPFKYLYSFRPHGFESRDMTIFKDDDGTAYLFYSSRDNTELHVSPLTKDYLHITAAMKRILIRRHREAPAVFKLQGTYYMITSGCSGWAPNSALAHAADSIMGPWETLGNPCVGGNRFFRLTTFLSQSTFVLPLPGLPGTFIFMADRWNPSNLKDSRYVWLPLFIGGLADEPLDYSFGFPSWSRVSIYWHRTWRLPEGWSEGYT >Et_9A_062976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7757621:7762127:-1 gene:Et_9A_062976 transcript:Et_9A_062976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASATGGETRLVDRCIDAAARTAATVEIWRRQRRSLERLPAQLADALLRRVAARRLLFPSLLELFQRSVEEVDLSGFVAVDAEWLAYLGSFRYLRVLKLADCKNVTNAAVWALSGMNTLKELDLSRCSKISDAGIKHIVTIDSLEKLHLSETGLTDNGVMAISSLRNLRLLDLGGIHMTDKALRSLQVLTQLEHLDIWGSETTNEGASILEAFTKLIFLNLSWTYVTRLALPLTMRSLNMSNCTIHSICDGVTEFPVPLETFIGCAASFGNIKEVLSSIQASSLSYLDLCGCHLSNLSFMEPMKNLEYLDLSSSGITDDAIEYVARIGANLKYLSLNDTGITSQALCILAGTVPNLISLSLADTKVDDSALVYISMMPLLRTIDLSRTCVAGFAHTEANVGKTLSLSVFENLRYLESLNLEGTPLTAEVVPPLASITALKYLHLKSDFLSDPALHALSSASKLVHLGFCGNILSSSGLLQFVPPDTLCMLDLSGCWILTADAISVFCKRHPKIEVKHELMKELKSSHAASQLHKPRKSQLGKTKVANSLVGPSRLFDISVVDERIKYTKEELLKLQHIVESDLVMHASDRTAKDRLMTPYPSDLIPQTSICIQVREQDIGGRILWFELYDMVSSNFTNLHVVVNLTGTKKCFPDQGICFTRHFGNEIQSFFNKA >Et_3A_024964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25732900:25737429:1 gene:Et_3A_024964 transcript:Et_3A_024964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVALASPLRRLLRAAQPRGAVPVPYYFFSRGRCSAALAVTAAAARDSSVSASVDRNAAVEVRSILDMAERASQRRDVFHTNFLTPPIIKEAMLAIEKLADIKAVAQGGYPQAERCRISVGHPDSLASNPDVVAALSISGNFRLEPCSHGDFLGAILGTGITREKVGDILLQGERGAQVLVDPELVDYLTSTLEKVGKVGVSCTQIPLLALEYEPPSTKSFKTVESSLRVDALASAGFKISRTKLGALISAGDVRVNWTPVLKNGVTLKSGDIVSVSGMGRLKIGEIVTTKKGKYAAF >Et_8A_056859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1815472:1822195:1 gene:Et_8A_056859 transcript:Et_8A_056859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASSTGHSSCPDHHGRLKRVDGNDAAGVRCAVCRDAVEVSARVRVHRCREPGCSFVLHDGCFSLPATVKRHFAHPGHHLDLGAVAGGGHDCSLCAERLLAHAYAYSCADAAAPAAACATGGFRAAATSRRRCSTRRGTRTAGSNCAGRRRAAETTEGAGAASTVDGRRKQREALLPRVPDDHGEGVVCPDPECMDVEVCIKCAIGGNGNAARCCSVPGCGGVELGCLGVGIGRLLCGIGQGMGLPAMGAAVSGHSSCPDHCGRLMCINANDVSGARCGVCQLAAGVGARVHRCREPGCGFVLHDACFRLPAKVKRHFAHPQHHLALAAVFAAHAHAYRCAAAPAACATGGFRAHPRCCNLPEKMLDAALHPHGRLVLRGGGNANGGGGRARRRRCLKCGKTPDGRTAAWSYQCTDHDDIEICLACVLGNGDGDGDAARCCCGDVDPGRLGEYIGALLCGIGQGMGLPFCFNFHSSRK >Et_8B_060387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12373435:12374931:-1 gene:Et_8B_060387 transcript:Et_8B_060387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARATTLAVVVLLLGLSFVTSGTESTSAAAVNKSCVTGSAGAAVSIGYGGARASAGAGVSLGEDAYRAACPRAEEIVRAAVEKAVSADPRMAASLLRLHFHDCFVNGCDGSVLLDDKPFFIGEKTAVPNANSLRGFEVVDDIKAELERACPETVSCADVLAIAARDSVVASGGPSWQVEVGRKDSRTASLQDANTNLPAPTSGVATLVQKFRNSLQQLCAGSAGSALAHLDLSTPATFDNQYYINLLSGQGLLPSDQALASSGGAFFVPGADDVSSLVATYAFDASVFFQDFVESMLRMGRLAPGGGSAGEVRRNCRLVN >Et_3A_025098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26912822:26913610:1 gene:Et_3A_025098 transcript:Et_3A_025098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAMQQPPPPGKRGGSEPGPARARPEEEEEAPAGGPGAPCGACKFLRRRCVPGCVFAPHFGGSREHGGAAQFAAVHKVFGASNVAKMLSRVPAALRRDAAGTVCYEAQARVADPVYGCVGTILALQHQVALAQAELSIVQTELLNRRLALATVHPSTLLPGASPPTTQMAVNCCALPQTVDFIDIDHSLRGLPPPLQPLQPPQREEEKEGDGQIMDVSWENRNSCN >Et_3B_030864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8044741:8047126:-1 gene:Et_3B_030864 transcript:Et_3B_030864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLRLASPLPLLLHARRVGRIPNAASNGSSGDPSPAAATEPSTDTTTPSNTPPKPVGVKNRLRARNQARRVQMDAPPELVTPKKKASAAAAPRRESQRSPKKWEEMSLPEKALELYVGEKGLLFWLNKFAYASIFIMVGAWILFRFVGPSLGLYQLDAPPLPPTAVHVTFHHKLPLIREEEADLHDHGAVHFTVYGIHKQ >Et_8B_059434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17977909:17980142:-1 gene:Et_8B_059434 transcript:Et_8B_059434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLAILGRLDHIDILMSAELVCRSWRRITMRGHEEIAAKLNRCGMACEAVRRSVGRCEAFCGEYAGDDDSSSTSGAAVKELPVLEELELSLCEENYLVLGCMRLSPKHAHCSSASD >Et_7B_055712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2463735:2466220:1 gene:Et_7B_055712 transcript:Et_7B_055712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSDTGNGVLGGRMNLFVAKVDSKISFHKDATAEYTELKHLIGDIAEEKARMEQEALRMVVAEPPEPVQEQLATAREELAATKEALAARNEELASTKEALAAKNEEFMKIEEVFNARTQKLLATKEELAVTRAALSVATDERDDKDEELKAIVAKVQELEAMKNQAEQQSPSGVVQYQPSKRLAVHHASTDDDLESLRETLIKGLMEIDSSRRLLGVNAMGRLDEKPFHAACAAKLPPKEAKKAASELYTTWEMLLKNPSWKPFKTDAVGDNCEDEVIDADDDMLQELKRKRGEDAHNAVVSALMEMKEYNCLSNRSVSYELWNRKEGRKATMMECIEYMSDQVKQLSSSKRTKTHRDRYIFAPGSTFGKSTFQKRKGIK >Et_2A_017826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6748863:6753381:-1 gene:Et_2A_017826 transcript:Et_2A_017826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGGEEGNAAAPRGPARRRGPVRASLDADEFIALMHGSDPVRVELTRLENELRDKERELGEAQAEIRALRLSERAREKAVEELTDELEKMDEKLKLTESNLENKNLEVKKIIDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKQKEAALLEAERTVEIAMAKAAMVDDLQNKNQELIKQIEICHEENKILDRLHRQKVAEVEKLSQTVRDLEEAVLRGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDSNDKVMPVKQWLEERRFLQGEMLQLRDKLAVAERTARSEAQLKEKYQLRLKVLEDGLRGPPSGSTRPPTEGKIFSNGSSRRLSLGGADNMSKLSPNGPLSRRSPSFHSRSSLSSSSSLVLKHAKGTSKSFDGGTRSLDRGKVHGNGARLLNRSTDAVRDKEANDSWKGSTDEKTNESANGNADDKNNETVNNNTAETVSGFLYDMLQKEVISLRKLCHEKDQSLKDKDDAIEMLAKKVDTLNKAMEVEAKKMRREVAAMEKEVAAMRTDKEQEIRAKRLGNSKGSGSSQMLHGRNAPRSGLTRNFQ >Et_3A_023818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12870816:12874419:-1 gene:Et_3A_023818 transcript:Et_3A_023818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSGGDVTARPAVRSNAGEDRLSALPDDLLVLILLRLDNTAESARISVLSRRWRYIWPLLPELCFPVAPSGYQIREVLTVSEAPSLRRILVSTKDDASGSVAAWLPLAARRLSGELLYSNTVVAEHEEEEGLTIPLPCFGNATSLNLDLGFLRLALNIDASALKKLKLLNCFGQNQPVADISAPQMVCLQWRDSYDPRSVKLGRMKQLRGLCAFFFWVYGQHGNIINRDFLRLLQQFQVIPVLSFCLGYPLYLMDEITSLPHITYLSMYVMNNGHSFGASSFHMLRLCTSIRRLLLTLRPQREETIDGLYPGIDTFHFSDLHFDAIRCCSLSQAQPVCQSGCICDQPSDWNTEELKLNYLKEVRITGLNGTDHEVAFLKRLLNWAAVLEKIRITLDYSISKSKARELCETLSNFCRPEIHMEFYMYQDEDRMSFCLLSLEDEGT >Et_4B_039573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22322365:22324056:1 gene:Et_4B_039573 transcript:Et_4B_039573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSNENQTLHHPTLILPAYLPDMVIPPPARPPAIIKFLKPYVLKMHFTNNFVSAQVIHTPSATVACAASSQEKVLRPNMESTRDVAAAAKIGKLLGERLLFNGIPAVSVSMSRDQKYHGKVKAVIDSLRAAGVKLL >Et_8B_058947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11338114:11339287:-1 gene:Et_8B_058947 transcript:Et_8B_058947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHPALLPSSGSPSLTSLHLHCCKIALDDLQAIILDATTLASLRLEKVRFFDGLCGHPPTNSLHLRCQTATDLVLVFDTNCYCAIVELDMPLLRSFRYAGPLAEEASFWLKSPTPHLMRVDLDLGHVKHGTRFWRFLASIRHCRICSSTQTKKPSSCRCLPTLTGLRHGDHKDVATLLSYLLRCCPIISELKISLAVTPIPVHSKRNREAGLNRDQKARLAFKRSVNKFRTHSSFPRTNCFTKNDDNEIRDFPDLPGWKEYPFTCLQNSLGKLIVQDCFELQLIRFFVENALLLKKVYIRDGDQDLRTHINYKVAKWRAHSSRENTLMKQELKVYDQREREVPSYVYNASKYSSTFESRHVKRQKTSL >Et_6A_046283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1262025:1265850:-1 gene:Et_6A_046283 transcript:Et_6A_046283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPGPSSAATDPSPADEEGDRWVLLPASEVEGADAPKVFHWEDLQQELARLWSLSAALSSTKDRKALLAARLESVLEARRAFLQQDNELAEMRQKLQEHSDHMGDLKMQAKKMSEDIEDRREQLCINIRTLSVATKTLGAASSKLEEANKLLSRESGHRSLKNLEQKLRMRQQHMIKQVAHIYPVRPLDEGSSTVKRGLTSNIIKKSGTESVSPNGSQNRPLAILGLHLSKLSVKKTGYFSDKTEFHKSSSVLGYTAHAASLIASYLNVPLRYPLRFGGSRSYVLDHAPSVELSSIASAVSSVSPNASMRTMEFPLFFDGPETTRSAYAIFLLNKDIEQLLSYIGAESLGPRHVLANLKQLTTTIQSQQYISG >Et_4A_035038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:973555:975440:1 gene:Et_4A_035038 transcript:Et_4A_035038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVPAASLPLPRRASTTSAAARRPATPSFNKRHCAVRPVAAACSARPPRQHENEEAEEGSGRRQVLVAGAAAAATFLSRPNPAAFAAESKKGFLPVIDKKTGYSFLYPFGWQEVAVQGQDKVYKDVIEPLESVSINTIATSKEDIRELGPPDKVAEALIKKVLAPPTQKTKLIEAKENEVDGRAYYTFEFTVQAPNYTRHALGTIAIGNGKFYTLTTGANERRWDKMKDRLHTVVDSFRIENRI >Et_10A_001982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16734571:16738482:1 gene:Et_10A_001982 transcript:Et_10A_001982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPCAKTNLPSSQEPLTAMLLLHEFFLLTFFFLEYSASSVATASVSTPGTSASNTTDHLALMSFKSLIRSDPSQALASWGNQSMPMCQWRGVACGLSGDRRGRVVALDLDELNLLGTITPALGNLSYLRRIYLPSNRFHGILPRELGNLPDLETLQLNFNSIEGQIPPSLSNCSQLVNISLYSNKLQGAIPSEFSLLHNLELLNLGQNRLTGRIPSSIGGLVNLKKLNLQFNNMTGEVPTEIGSLVNLIILGLGSNQFSGTIPGSLGNLSALTFLSIATNNLEGTIPPLQGLLSIEQLILAQNNLEGTIPSWLGNLTSLQNLIGAGSFGAVYKGELRGNGQNVIVAVKVINLTQRGASQSSIAECETLRCARHRNLVKILTVCSSIDFRGLDFKALVYEFLPNGNLDQWLHQNIMEDGEQKALDLTTRLCIAIDVASSLDYLHQHKPMSIIHCDLKPNNVLLDSDMVAHVGDFGLARFLHQDMEKSSGWASMRGSIGYAAPEYGLGNEVSTHGDVYSYGILLLEMFTGKRPTDNEFGETIGLRKYVQMALPDRVDIIVDQQILTGTEDGEASASNSNSTRSMITACVASILRVGISCSEETPADRMPIGEALKELQATRDKLRKHASGVQASSDRRRASSTFALHCDVYRLRDCQASVSERLYLVPNKRKFTRTCSE >Et_7B_054786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4457982:4460719:1 gene:Et_7B_054786 transcript:Et_7B_054786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKPLHLLIAQPQPLTGLLPNHLARHLPTSRLRLRLYGHRPKRLAAVLSRNSPGSHGWEWAPNAAAALVLQLAVCSVLFLFPSRVRANGLPPPATAAAAAVEEVAEEGDEEWEAALQKWKGKTYALSVPLRVVALRGSYPPAWVKDFFQAQGKRLKFSPEFRNSYDGLFSEMSQSLDKGKIQPKSAMAADVVSIGDSWLGYAIRKGLLEPVKNAEEQDWFRSLSGRWKVHLCRNQNGEADPHGSVWGAPYRWGTVVIAYKKNKFKRHNLKPIQDWEDLWSPELAGKISMVDSPREVIGAVLKNLGSSYNTTNMDAEVSGGKEAVLKSFTQLQKQVQLFDSTNYLKSFSVGDAWVAVGWSSDVIPAAKRMSNVAVVVPRSGSSLWADLWVIPCATKFQTDRIGGRIRGPSPLIHQWFDFCLQSARSLPFRQEVIPGASPLFLENPVPEVLQDQNKRKPKLETNLVRGVPPPEILEKCEFLEPLSDKALEDYRWLISRMQRPRLGLFGDALHKMSTILNSLKLRF >Et_10A_000869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18484752:18487690:1 gene:Et_10A_000869 transcript:Et_10A_000869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMKSCCIKAMGHYHVGGGTRRTFASAATPSLSVQLTWKRFLCLRGRFGKPEPAPPWPPSDSLAAAAFRAPFQQPRKVGERGEQRPSHIHSDHWGRQRQQDERQRGRLGREVRREGRGVSPHLGSGSMQWSGE >Et_3B_030968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9479436:9484267:-1 gene:Et_3B_030968 transcript:Et_3B_030968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQIFSKTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSDGFQKLFFGQEEIAIPVHPTIEAACNAHPTADVFINFASFRSAAASSMAALKQPTIRVVAIIAEGVPESDTKQLISYARANNKVIIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVQKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALRDAGAVVPTSYEALESAIKETFEKLVEDGKISPVAEITPPPIPEDLKTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSTIIEQGFGVGDVISLLWFKRSLPRYCTQFIEMCIMLCADHGPCVSGAHNSIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRSLTPYEFVEGMKKKGIRVPGIGHRIKSRDNRDKRVQLLQKYAHTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLSGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTKKREWKMKDDG >Et_2B_021464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29938939:29941018:-1 gene:Et_2B_021464 transcript:Et_2B_021464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAGYLARRAVQKERVRLLYRRALKDTLNWAVHRHLFYQDASDLREKFEANRNVENLDVIDRLIEDAEAQYRNFQHPDPYIVPWAPGGTKFTRNPPPPQGVSMYSIYLTSYRFSSFTCLCENFCTLPLCNAMLIEIVYNYGKED >Et_5A_041506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23772031:23772705:-1 gene:Et_5A_041506 transcript:Et_5A_041506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GCDNILCQGCLEPQKRSWLSYGSTEMKWSSEEKPRRSPICSPPVMRKPSFGDVACHATTMKLLVPGVACFRP >Et_3A_026925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26654761:26658637:-1 gene:Et_3A_026925 transcript:Et_3A_026925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAADMVSGRKNWSGECKTLWRIAGPVILTQIFQFGLGFVTAAFVGHIGKVELAAVSIVNGVIEGLAFGLLLGMGSALETLCGQAVGAGQVQMLGVYMQRSWIICLATSLVLLPLYVFTAPVLRLLRQSPAISAVSGRYARWCAPQLFAYAVNFPIQKFYQAQSRVWVMTAISGAVLAAHALLNWLVVARLGHGLVGAALVGDVSMWVLNAAQLVHVVGGWFPEAWTGFSRKAFVSLGGFIRLSIASAVMLCLEMWYFTAVLILVGLLSNPEIQVGTISICMNYQLWTLMVAVGFNAAVSVRVSNELGANHPKAAKFSVVVATTTSAAIGLIFTAVALAARKQMPRLFSDDDLLVKETAKLGYLLAATIFLNSIQPVLSGVAIGAGWQSLVAFVNIGCYYLVGLPLGAVFGFKLKLSATGIWAGILIGIVLQTTILFVILARTKWQKERRISTHVSGSRPVGSTPI >Et_4B_039812.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3245721:3245978:1 gene:Et_4B_039812 transcript:Et_4B_039812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIALLVTEEFEKRVKRGAPNCAGGEAPGSGGRNFAAVEKVLSSWVESAAAAASGVRVNFAVRLREMEPKTGLGLAAFDGFFSA >Et_3B_028892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20097739:20099712:1 gene:Et_3B_028892 transcript:Et_3B_028892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFVLFLLMPPPPQHLAATASSRRRPRHRGAMACVSSCCRAVAIASLLAVGAATALLTFSLPAAPRVASNTEFTGELSARRSAPPPPPPPSATPATTAPPPPPPPAARRRKRELLRRENVWFRVGHQPSYWRMAPEEALRYAKKEIQDAEPVADDPDLYAPLFKNVSQFKRSYELMERILKVYIYQDGRRPIFHTPPLSGIYASEGWFMKLLKESRRFVVDDASKAHLFYLPYSSQQLRLTLYVADSHNLRPLAVYLRNFVRGLAAKYPFWNRTRGADHFLVACHDWGPYTVTSHRDLRTNTIKALCNADTSEGIFTPGKDVSLPETTIRMPKRPLRNVGGGLPVSRRSILAFFAGNVHGRVRPELLRHWGNGPDDDMRVYALLPNRVSRRMSYIHHMKNSRFCLCPMGYEVNSPRIVEAFYYECVPVIIADNFALPLSDVLNWNAFSVVVAEKDIPNLKTILQGISLRRYVAMHTCVKRLQRHFLWHARPIKYDLFHMILHSIWLSRVNQVELDG >Et_1B_010253.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:32663695:32663829:1 gene:Et_1B_010253 transcript:Et_1B_010253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLWKQIIVMLKNWEVLCPVRHGEAFRQKLMILEELSTRPERL >Et_4A_032634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12055393:12058912:1 gene:Et_4A_032634 transcript:Et_4A_032634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALRSAARTLSGGRWQRRLLGSSSAAETGAEKEREGGERFELSAAREYYDYRKSIYGDVTHRALLVDAVGTLVVPAQPTAQVYKSIGEKYGVKYSEDEILMRYRRAYEQPWGGSRLRYVDDGRPFWQHIVTSSTGCSDAQYFEELYQYFMTEKAWKLCDPEAEHVFKALREAGVKTAVVSNFDTRLRPLLQALKCDQWFDAVAVSAEVAAEKPNPTIFLKACEFLGVKPEEAVHVGDDRRNDLWGARDAGCDAWLWGSDVHSFKEVIVILYTRTFVIFISPKLLPGHISLCFFLCWLNFAIIDEKKELAPKNSPELSSPVTMPPNLGVLGRPLTVG >Et_7A_052863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20914655:20917401:-1 gene:Et_7A_052863 transcript:Et_7A_052863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCHKLLRVTLDASDLWVLEYEDSIPSPSLLTVLEYKDPVPSPSFLTLHEIEVFLLKHSRIASINHVSRNPLMQEDGAVVFEILTDFNAN >Et_5A_040994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16209446:16211832:-1 gene:Et_5A_040994 transcript:Et_5A_040994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSATSLLLGAALATAFFLLYTSLCRDLGAANGPPPQRWMGGNNTAAVVRSAAAGQEARVEKDQEVAKVEEVATTTGSSDGDGGGRGGAGTEERQKQGIVRPAAETKQQQQQQQQQSPPQQEQLADLLRRAANADKTVLMTAINEAWAAPGSFLDLFLESFRHGEGTANLPRHLLVVAMDGKAYDRCRAVHPFCYWFRVDGMDFAAEQTYMKGDYLEMMWRRNRFQQSILELGYSFLFTDVDILWFRSPFPRLPADAQVVMSSDFYVGDPNSPGNYPNGGLLYVRASRATVAFYEHWQASRARFPGKHEQYVFDRIVKEGVPPSVGARVQFLDTAVFGGFCQHGKDLGRVATMHANCCVGLHNKLFDLKNVLEDWKTYRARAAAGNAQGFSWRVPGRCIH >Et_5A_040916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14961893:14966677:1 gene:Et_5A_040916 transcript:Et_5A_040916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFVKQVEDSEAARTKKIVEYKTDSELKPEYVVAGVEAALGLGVVFFVHLEHVPGATDTVPVTQLQNAKAIIV >Et_5A_040592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9994076:9998356:-1 gene:Et_5A_040592 transcript:Et_5A_040592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHILRIFKNHSWWKDYTCSPVGYALATGQHFCVLVHLLLLSCFYRYRSSELSKLPTDSFARWPFPNSSACRCYLEARVDPGGGMKPFHVATTHLESPVPPAAMRCVERADQAEQTVKVDETVDLPFPLLDGWVKAATKLSHGHYYTHDDFWNREPSKAGCRHNNTRVYEKAITQRRSDRFVCKLKDYELKRIDLIGNKCEKKPIMIFDKFVNLGFWNDRNETFHLLPSSHFGVVLSIYLSNDSEIERELTGWKLESDSEPKNGSSARPAMPSCDLRRWKPIYGRATRAAKVTAYEARRASKGGVVAAGVRHSAPERAGERMLLMRRWVPAVTIAAR >Et_4B_038356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28477394:28478949:1 gene:Et_4B_038356 transcript:Et_4B_038356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPPAPSSKAAARRRHVLLFPLPYQGHITLMFRLAGILHARGFAVTIFHTQFNAPDTSRHPEYRFVAVPDGLSGPAPVAVNDVVARILALNGACEAAFRERLKGVLEEYSGEDDVACCLIADVHLLSMVEVAKQLGVPTLTLRTGSAACFTVFLAYPMLCEKGYLPVQGMSLDAVNFFDTLHRSIFSHVPWINIGTFRYADSQLDMPVSELPPHRVRDLVVLDGEDGHVLVQEYLDHAVAAVKASTGLILNTFDALERPELARIRRELDIPVFDIGPLHMFSPAAESSLLREDRSCLEWLDARPPESVIYVSFGSLASMSARELVETAWGIADSGVPFLWVIRPGLVSGDGCAHHQMPEGFEAATRGRGTVVAWAPQEEVLRHRAVAGFWTHNGWNSTTESVCAGVPMLCRPYFGDQMGNARYVEHVWRVGFEVGGELHRGKVKAAIRRLMTEKDGAEMRERAGELKKAAVECTGKAGSSCLAIDKLVTHIMSL >Et_9A_061150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18958055:18958696:1 gene:Et_9A_061150 transcript:Et_9A_061150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSVRIGPNLLHSKSVDPAWAAMGGRLYAMRFDECIQDWLWDLLPSPPFLDMPFESGCNIHSYGAADDKNIWVSTEEKGTYTVGGWALPFEGRVQYIPEYNLCLGFCNQSMNLCSTELTVEAGKQEPPVHRKVYDDNVDDYMASGWQLVRSHLTYLGCGKFCVTRFFDTSRDLYEPRYHVAVMTAD >Et_2B_019091.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:7721580:7722047:1 gene:Et_2B_019091 transcript:Et_2B_019091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALAAACLAQNAPQDFVDLHNAARAADGVGPVAWDAEVANFSQNYAARRAADCALKLSDGPYGENLYWGSAGRAWTAADAVRKWVSQKAFYHYSSNTCDTGKNCGAYTQVVWRNSTRIGCARVVCAAAGGVFIICNYDPPGNFLGERPFTAHP >Et_7B_055753.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3547445:3547672:1 gene:Et_7B_055753 transcript:Et_7B_055753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSLRFRPGGDGRGSAAPLDAPTGGDTKFLSEIHAGVDVDGLITIVADAARTGRPNRATCSAACSTSSKPKIIQ >Et_9B_063983.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:9677336:9677539:1 gene:Et_9B_063983 transcript:Et_9B_063983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKMVISCVLVLMVAQLLLLMATQTAAAAGDDGKARRLLGCRYSGGCNCRTCSIYGWLCCGSCCPV >Et_3A_025122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27029212:27030425:-1 gene:Et_3A_025122 transcript:Et_3A_025122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDTALVYLQDSISWALGFGVCAVTTAAGFVALVAGSRHFRRPVPKGSPFTGLARVIVAAARKATTRDSSVPLGRIQYYHGSSRDTAGTPTETQGHAPSERFRFLNRAAMMAPGDTHPWRLCTVQEVEDLKSLIRVLPLWSSGILVSMTVNPQVSLTVLQALTMDRGAHHLAVLAASITVTVLAAFVLFAALFDHLAAAPRCREIRLVTPLRRVGLGHALNHGGHRACRAEAHPRGPRNGAVAVVSMSSAWLVAQLALTGAEEAIHLPGNTALFYREFPPALRSKATAMPPLFIAAGSYLSTAFVHVVRHATPLVTDDLNRSRLDCVFWTLAFVALVNFAYFLVCATMYRYKDVDDVAQMLDDKY >Et_4B_037716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22783249:22786819:-1 gene:Et_4B_037716 transcript:Et_4B_037716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCNPLTFGFRTRSYPARVSSSRPATSCTPATAWRRAQHPTLNFQVLAADINRDGLLGLQNYCSLSGAWGPVFSSNIDTLRMPSREYRVDPIVCRAQLPNDIARCRCGSMIRLPMQCSMYNYGYHTSIRKMLMLATSGRDRLSLLWTGEASMEVSIWLYAGDHGGGDQGQDSWLLWQSVNIRKLIEDAGLVRCRGWHDHSWNQLEITLEAFCPKSRRVVVWIPYIGLLGLDLESMQIRRAEGNTTASSTSSSTWTPSRRSAAPPCPGTGAAVSRHWRRAVIENAPEIRRHPRRQADRRLLLGLFYREMYPGELGFSRRSSWSSPSAAGAHWSDSLPVPSLLPAAEGTKTKFYAPLACSDGLLLLCRGLAPELCVCNPLTGFHTSIPRPTRLATSTRYVLAADVNRDGLLGLQNYCSESGAWGPVFRPDIDTLRMPYSYDREYRAAPVVCRGVIHWLCSDASDYSSPVKGFCFKKMSRVVAVDLSTSHARMVRLPTRCSMHNQAVSTKKMLVLSGNVDRGW >Et_2B_020563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21364886:21368329:-1 gene:Et_2B_020563 transcript:Et_2B_020563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRWHAVAAVGVAFAAVAAAVAADRGLPLPLAGAAEVPEEMNMLQKVLYLMTMKDGNSYQHVWPEMELGWQIVLGTLIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGASVSTVYYNIKLKHPTLDMPVIDYDLAVLIQPMLMLGISIGVIFNVIFPDWLVTVLLIILFLGTSTKAFLKGVETWKKESIIKREAAKRLEQASEEPEYAPLPTGPGAAAVAKTPSDEATSIIKNIHWKEVGLLSFVWVAFLVLQVTKNCTSTCSVWYWVLNLLQVPVSVGVTMYEGLGLMSGKRVLSSKGSEQTNLKLHQVFIYGLFGIAAGLVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLDRFPVPYALYFVIVAFIAAIIGQHIVRRLINWLGRASLIIFILAFMIFVSAISLGGVGISNMIHKIERHEYMGFEDLCKYDA >Et_4B_036258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13400963:13402000:-1 gene:Et_4B_036258 transcript:Et_4B_036258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLGPVDPDAAQDHRRLGGTSNNNNRLVGLTPNVTVAKDGSGDFASISAALDAIPEAYAGRYVIYVKEGVYDETGDGSNKSIITGSKSILDGVRMWRTATFSVDGDGFTAMRLGIRNTAGVERQQALALRVKGDKAVFFNCRIEGNQDTLFAQAYRQFYRSCVISGTVDFIFGDAAAVFQRCVILVRPPRQGQPAVVTAHARRDHQQTTGFVLHKTSVVADDQLSPSVKTYLGRPWKEFARTVVMESVIEGFVHGEGYMPWEGQGDLGTAFFGEFANTGDGANMTGRKDIKGVHVMAKDKAAQFTVGRFLHGAEWIPDRGTPVTLELSSSR >Et_9B_065357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4078360:4081031:-1 gene:Et_9B_065357 transcript:Et_9B_065357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTCQTCQEGRQHPPLQHGGCILPAVYRGMVLRQDCSVSPPTAPEPSSSSGRSATEARALKIHSEAERRRRERINAHLATLRRMIPDTKQMDKATLLATVVNQLNDLKRKVSESTQPMPIPAEANSITVDCYTGATVVDERTVYIQASISCDDRPGLLTDLAAAFHGLRLRALRADIASLGGRTRCSFVLRKEDGDVSLLMSDGVKALEEAVRQALAMAAFSETVHGCSNSRSKRQRGLESPFVIGSELMNSMGMQAWTSRKAREVLSLGRELLGGNGIHIHKSRVLFDTHGITAAAALQAVQQAAEK >Et_2B_019474.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5828779:5828853:1 gene:Et_2B_019474 transcript:Et_2B_019474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTQGIIMEPWGLYCGMLMEIF >Et_3B_028350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13912406:13917830:-1 gene:Et_3B_028350 transcript:Et_3B_028350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSFIAGPVTHHQIHPHAHPYHQDPPPHPNPRRRRRDHDDPYHPYHAPHAHPYAAAAAAGGAVPYHVPRDPFALSHHQERLFPLPPAAHPAAGPPPPKRARRAPDPWWDPPPPAVPVSAAPERPREEDAPGPLLSRDEIERRSPSRRDGIDSALEARLRASYCAYLHCLGVRLGLPQTTIATAVVYCHRFFFHRSHACHDRFLVATAALFLAAKSEETACLLNTVLRASCEVSQNQEFSLLPYMLRGQDWFQQYRENVIQAEQMILTTLDFELEVAHPYAPLSSALDKLGLSHTVLFNVAWNLINEGLRSSLWLQFKPHHIAAGAAFLAAKFLRYDITFHPNFCHEFKTTPYIVQDVVQQIKELL >Et_7A_052639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1085028:1088088:-1 gene:Et_7A_052639 transcript:Et_7A_052639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQEKLVNMENENHILRQKALSMNNLSAPKTLSEESHEILLRRIKENFGFKEGKPVAACIIYKYLLEWRAFESERTAIFDHVIEAINDVLKGTEADGILPYWLSNTSALLCLLQNNLQSNGLFTAPSRRSVGAQGLGGEIVQTLRSPSKLVGRSDGLPQVDARYPAILFKQQLTACVEKIFEQLRDNLKKEISPLLSVCIQAPKTSGQRGNAFKSPGTRAQAPSNSNWDNIVNFLDLLMDTLRDNHVPSFFIRKLVTQLFSFINIQLFNSLLLRREICTMSNGEYVKAGLSLLEKWISNVTEEEHRGMS >Et_1B_012019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28037142:28047564:-1 gene:Et_1B_012019 transcript:Et_1B_012019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETGKQAKPAQEAASSLLDNDDLLREILLRLDFPTSLVRAAAVSKRWLRHASDPAFLRRFRRLHPPRLLGIYFRALCFNLFKPHPSDYSRGAAFVAMAPLPPEYAAVIRRGSFELGDGPAGVWHCLNGRVAFSRNYGDDRVVFFRPLHPWRGNTALPDHPFPEPVRYGRCGSRRYLLLPEPEDGGSDVACTVVTYMSNAHGICAHISELLQSTGTWSQGRTSDWLELELPQWSNRSLPPLFAHGKIFAVCVAGYVLGLDPGSLSMSFFCIRLPQGVEYCEDNNTKNIALCRAEDAGFFLIHLKGSRMCVWIHTTGCMSGNWQLVDSICLHQVSGYLGDPTFKLSRDAAIRVVAAGDNADFVCLEVESKILYIHIRSRVVEMVFEAPEYMSRFEVQPFMMVWPPTFPSWSNGCDLERKRTTKPTAPRPLASMDATASVFGDDELLRKILLGLEFPTCLVRAAAVSKRWLRHASDPAFLRRFRDLHPPHLLGFYVKTESRGGSQQRLRFVPRPQLPGELAAVVRRGRFNLADDIKSLSDCRNGDLIFLRRNFFPYSPLRPERGTTALPQLPVPGAVARQMLRADAGDRTTVSFVRDYQRSLVLLSDAQAQPEAARATHLIELPQQWRICSVGLLAGGKLYMIGTVGYILGIDLASRSTFEIKLPRGVKFNADENFSLSRADGSAGFYLVHAKNFQIRVWHHRTGCSGTGKWKLVDEICVGQALGDLYDPYWCLMKDVIVYLCAVGDNADFVIFQIRHQVFHLHVKSRAVEMVFESEGSEYPFDVHPFMMVWPPTFPERNDAEPAGAASAAAISVFCNDDLLHEILLRLDFPTCLVRAAAVCKAWLRQASDPAFLRRFRDLHPPRLLGFYFNTGSGFFQRLRFVRMPQPRELAAVVRRGRFD >Et_4B_038511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29510860:29512900:-1 gene:Et_4B_038511 transcript:Et_4B_038511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAYAKRVLLTGAGDAVSRGIASNLAKHGCRLVLVGDEAAFAETAEEVRRCGGGEVPVVGLDFKSCDESAVDAVVDRAWRCFAGLDAVVNCYSYEGEVQDCLSVSEHEYKKTMKVNVITPWCLMKATAKRFRDSGSGGSFVFLTQIIGAERGLYPGAAAYGTSLGAIHQLVRLSAMELGKHKIRVNAVCRGLHLRDKFPMSVGEKAEKATKEVMPLGRWLDPEKDLASTVMYLVGDESRYMTGTTIYVDGAQSIVRPRMRSFM >Et_5A_041934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4416177:4418119:-1 gene:Et_5A_041934 transcript:Et_5A_041934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIINWVQNRLNGKQEKRRSEAAGRSSARDVSARESCRQQDTGREREEKSGGEWPQGILSIGTLGNESPPHAAAAAEGGASSQQDVPDFTIEEVKKLQDALNKLLRRAKSKSSARGSAATDDDRQLPLDRFLNCPSSLEVDRRLSLRHAAGENGEFSPDTQIILSKARDLLVNSNVNGAAIKHKSFKFLLKKMFVCRGGFGPAPTLKDPVESRMEKLFRTMLQKKMSARPSSANAASSRKYYLEDKPSGRMQRDRRLGEEEEDDKGSDSFKWDKTDTDLGSMTLEYYSNVVHLKNMVFLTKHDCMKTYIYLNVHK >Et_9B_065107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21227588:21228622:-1 gene:Et_9B_065107 transcript:Et_9B_065107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPVAHKLALSSPFLPRHRRRPCPAAHGRRRRHGAVVAYMEPNPNSPAAIAGRLVGALPVVGLVARILSDEGGVGGDIIDFAEFRRRVSKKCTVMDSQAFYDFNERRGKPGDPFYVLLCCWLAAVGGGLLKTEEILEGVARLRISNDIEFEEETFIDMMRAAKEKRAKLKAPAPQIPMETRAEKALEAIYVCCFGQDMIEEVDEKLLCTILNAVFPSVGRQAVQRMVSSMVKQVSSGERKRDVKTVSKETVQRQLQDLEFLKQNKLDT >Et_3A_026020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3547138:3550204:-1 gene:Et_3A_026020 transcript:Et_3A_026020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLRFMRGAAPPQPPVAPASVPPAAAAVQQRRPPPPPRAALHAPLLRLWPRGGGSGEKPAAAGSAVRGTEARSPPDEAPVEEEGNKAEAGERGQGNWVLQMLRVQPRWEEAADAEATGGGGGGDREPEEEAEAADAGIGAEECASCGGDEGCAVGYDDAEVFDQASFSRLLKKVPLEEVKEYSKMSYLCNIAYMIPRIQPKCLRRYNLRLVTSSVQEKAKTGSDDKQENSTGKTEPLDQEPEAAENAATATEDKGSGLGINPFGAYRVVSSAASYLHSRAVGILPFGSRNDVDNEIAVMAIMNSENGEGFTMDEASLNSVTSMVAAKEETRQAVADDLNSSRSCPCEWFVCDDDQTNTRHFVVQGSESIASWQANLLFEPVKFEELDVLVHRGIYEAAKGIYDQMLPFVRSHLSERGEAASLRFTGHSLGGSLALLVNLMLLVRGEAPASSLLPVITFGAPCIMCGGDQLLRRLGLPRSHVQSITMHRDIVPRVFSCHYPDHVASILKLANGNFRSLPCLTNQKLLYAPMGEVLILQPDQRFSPHHDLLPPDSGIYHLGEGGASRAQVLSALLAFFNSPHPLEILKDGGAYGPRGSVYRDHDVNSYLRSVRGVARKEARRARDAERERWRLLLWWPFGGFHGVQSVSSSPGGVGSGIVDAVMEGGREVGRRAHLLLVVLVPANLIALGAFLVVRFR >Et_9A_063535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6368136:6370203:-1 gene:Et_9A_063535 transcript:Et_9A_063535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNSIKGRPGKKHFGGGGKGKEQNKKDNEAKLFGRKGKTRPATPRKMHRNPRAVLRAAAAASYLRPAATAARQALPNATPAPALLACGPLPASFSGSRSSPRAFSSAADYGKEVDEVNRKFAEAREEIEAAMDSKETVYFNEEATIARDAANEALGAYDALLARLPPADADSLRRSMGLKMEQLKAELKQLED >Et_8B_060595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:328718:329721:1 gene:Et_8B_060595 transcript:Et_8B_060595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRVPEWLETLLSTRFFLACGAHPASPRNECNMFCLDCRGAPPPAFCYYCRAHRHAGHRVIQSPTKLKIAPLPHSLNRRGQIRRSSYHDVVRVSEVEDVLDITGVQTYVINSARVLFLNERPQPRGAGAAAGKAAASPYNCEICGRALLDPFRFCSLGCKASFLLFFPFFSFNGMEWNGKFLPPPKLTCAFLSSRFLVDTKRSTGHAPATGEGAAANQPEAAGGSKKGRRRKGIPHRAPFWS >Et_1B_009663.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:12470010:12470342:1 gene:Et_1B_009663 transcript:Et_1B_009663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFFETFLVVLVDEEGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHATFALLFFFGHIWH >Et_2A_017978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8614994:8616331:1 gene:Et_2A_017978 transcript:Et_2A_017978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAGKEAGVPGRADQSNGSEAISIERAWFTEVLNDNLETTSECFVSNAKMLKVQYRSNSQGQSLKANVLRPSQLIETSKLHTIKGMASKSLVALVVATAVALAAVVSAQDTAQDIVNLHNEVRAAVGVGPVVWDPALARYAEAFASARVDDCLLQYSNGPYGENLLRAPAGQAWTVADAVRWWAAQKVYYHYDTNSCDSGKLTPCLAYINVVWRRTTRIGCGSVACAGNIGTFIICSYDPPGNFEGQRPYAALS >Et_1B_013702.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:13208275:13210716:1 gene:Et_1B_013702 transcript:Et_1B_013702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAKEEQPPPPPPPPAATAAARPMGGEEAAARAAQKRYEGLLTVRAKAVKGKGAWYWAHLEPVLIPPADTGMPPKAVKLRCGLCSAVFSASNPSRTASEHLKRGTCPNFSAPPPGAASASGSQPPQQQQMLPSNSAASSPIPISSIAPSSPRHHHHSNPHHHHHPQQQQQYHHHHHSGSRKRHSMPPAYTAADPLSHHHHLVVVEPSSVYSPALPALPAPPQHSSALVLSGGKEDLGALAMLEDSVKRLKSPKASPGAMMPKPQADAALALLSDWFLESSGGVSLSAASHPKLRAFLRQVGLPDLPRADLAGPRLDARFAEARADAAARVRDALFFQLAADGWRDQVVTLSVNLPNGTSVFHRAVLMPATAPSDYAGELLLDAVASVSASGSSNDIHRCAGIVSDRFKSKALRDLESKHHWMVNLSCQIHGFTRLVRDFARELPLFRSVAAKSAKLAAYFNSKQAARSLLHKYQIEELGHASLLRVAPVPFNGNGSNFRAAFEMLEDILASAHSLRLAVTAESYKLVCIDDLVARDMGETVQSVDFWTEVEAVHSLVKLIMDMVKEMEADRPLVGQCLPLWEDLRSKVRDWCHKFKIDESSALNVVEKRFRKNYHPAWSAAFILDPLYLVKDASGRYLPPFKCLTPDQEKDVDRLITRMVSREEAHLALMELMKWRSDGLDPLYAQAVQVRQPDPSTGKMKVANKQSSRLVWETCLSELKSLGKVAVRLIFLHATSRGFKCTPSMVRWLSAPGSLGSGIDRAHRLVFVAANSKLERRDFSSDEDKDAELLADEGDDDVVNEQGNVESSSV >Et_3B_027777.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18773711:18774247:-1 gene:Et_3B_027777 transcript:Et_3B_027777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LVVVFVPGVVEHEEPLGRGHAVVDLAVAADEAVGEGEEDAGEDAQVEQRRGEVPVGGEAVAAVGEGRGQRLERQRRARRQQLREVGHARQRLVDGRRRHGTRRRRRERLGLPPLGGVAEGALAYRVRSGGRQRRRAVLRHRDMVLAAARRRPGRGHCMRERASSGVRRSGESERKALV >Et_1B_013598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9874406:9879087:-1 gene:Et_1B_013598 transcript:Et_1B_013598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAATAATTDAPAAAVPQHHPHAHAATPPHGHPHPHHHMPQPRWVVIPYPPPHPMVAAPPPPPPQFVKHFAPPASVTPPPPSGGSGGNGSDENRTIWVGDLQYWMDENYLHSCFGPSGEVVTIKVIRNRHSGVSEGYGFVEFFSHASAEKALQNFSGHVMPNTDRAFKLNWASYSMGEKRSEVASDHSIFVGDLAVDVTDDMLMELFASKYRSVKGAKVIIDANTGRSRGYGFVRFGDDNDKTHAMTEMNGAILARLHLGNLMQTQLTGRADAEEALQGLNGSTIGKQAVRLSWGRSPASKQSRGESGHRRNGMYYGTPFYGGYGYASPVPHPNMYAAAYGAYPYYGNQQLVS >Et_2A_016449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24947919:24954060:1 gene:Et_2A_016449 transcript:Et_2A_016449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPWRIPMLVQEVAAAAHEPPSRYVRREQDTVAVAVDMPEGVPVIDLSRLLSAADGAEEACKLRSALQTSPMFVDTNHGIEASLMDDVMNASKEFFHQPLQEKLKCSNLVDGKHFRVEGYGNDQVKTQDQVLDWSDRLHLRVEPEDERNLNIWPKHPESFRDVLHEYSLKNKRMKNVLLKAMARLLDLDGDYFLHQISEKAPVTVRINHYLPLVPGFKPHSDDGVLATLLVDNDVAALQVLRDGMWYNVPTKPHTLLVNIGDFMEVMSNGIFKSPVHRVVANPAEERISFVMFYGLDPELEINPAADLLHDKQPARYRKLKTKDYMARFYEHFARGTRVIDYIKI >Et_2B_022406.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20650437:20652503:1 gene:Et_2B_022406 transcript:Et_2B_022406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGGRRPPATGPGGPVFPRATSTVLPDPARFFAPGLLNAPLPTNSFFQNYVLKNGDQPEYLHPYPIRSAGGELTVCYPTRNVSPSFIIQTFVEDLTISASSDAAGGDHRVVAFDDLSVTLDVSPSLRAHLVRGCPYVTVTTAQGAGAVDISVASVHAFTEVAACGDAGTKWRLRMNSGQTFLLYASAPIRLAQTDTSQLSATGFSGVIRVAYLPDAFMEPVLDRYSGCFPTAGEAAVNRPFCVEYHWRKAGPGELLMLAHPLHLRLLSHDCGVRVLDDFRYRSIDGDLVGVVGDSWVLRTDPVPATWHSTHGVSENGVDEVVAALRNDVAGLASTPITTTSSYFYGKAIARAARYALIAEEVGCPDVIPAVQSFLKSTVTPWLDGSFKENGFLYDAKWGGLVTRQGLTDTGADFGFGIFNDHHYHLGYFLYAIAVLAKIDPCWGRKYMPQAYSMVADFMTLSRRPGASFTKLRMFDMWKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALLGLSYGDTHLATLGATLTAFEALAAQAWWHVREGEGIYEEEFSKENRVVGVLWANKRDSGLWFAPPEWRECRLGIQLLPVLPISEALFPDVAFAKELVEWTLPALARDGVGEGWKGFVYALEGIYDKETALAKTRALTGHDDGNSLSNLLWWLHSRPAVVGDAGSGRCCWYRQYCH >Et_6B_049456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5023005:5027445:-1 gene:Et_6B_049456 transcript:Et_6B_049456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFVVAVTAERDFSRWRLQIDVTLLAIIYSGFVKITNHCLLVYALMDQGFVVNGISYYLQAWCIEMKGPVFLASWTPLCLLSTIICSSLLGVTVHLGSNMNMTDSVQQEQEQKAFKVEKVGEEQKQEKSASMVEQV >Et_4B_035992.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:11254119:11254676:-1 gene:Et_4B_035992 transcript:Et_4B_035992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding STALINTQVQTAQADRILAPLDGVEVGDGDDGADDGDEHDPAGHDAEQEVLERRRQRGGLRDVWQDQRRRHGRRGSPLLHGLLLLLRRSPDDDGSRPAAPRRGAQRRRPGAGDGERLAEAPGHGQRRRDGGGGHGVLRLRSERVVLVRVVACGCVRVMAGAAGWGFARRICVDWVEEGRRRSWPR >Et_5B_044980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:848697:858713:1 gene:Et_5B_044980 transcript:Et_5B_044980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKSRPVRAAGAGAGAEAEPSAPSPGGRSKRAPAKGEARRDVLVEVDGSTWALPDRDHRDVAEVVLRDVSVSGEGEAALDLEEAYRASRFSLRLRVRDAPEEGFRMGNWPVVPSDCVLLEYVVNGDQEGKHESGVVLSGCFDGPDEGVSGLAHLVNLRFVRLRVQSFRAFPNIGEARIESFRIRVEMMDRAFDSCKSLLEVARHPWRKSLMSMMAWLRPEVMTSAAIYGTDGFVLPIDGDANAAPKSDSQFDLAAFYEAVKPSINAAKLEEELPDLLPRLRPYQLRAANWMVQREKGNAHGQEYVHSAPYCVPIDFIHKNSRMFYNPFNGNVSLQPEPSRPYIPGGILADEMGLGKTVELLACIFAHPKSLSPEFSQSEKKTEINQIKRQKVERVECICGAASESSAYTGLWVQCDICDAWQHADCVGYSPKKDTVFYDSAEDETSKNEKSTMKSGNRRKKRPKFSIVETEETHICALCLELTEAAQTNVFSRATLIVCPAPILTQWHSEITRHTRPGSLKVCIYEGAKNLDSPETCKVDMTEISTADIVLTTYDVLKEDLYPVIPTVLTRIHWWRLCLDEAQMVESSKTSVTEMAMRLNAQHRWCITGTPIQHRLDDLFGLLRFLRTSPYDTYRWWVDIIRDPYERGDMTAMNYVHKFFREIMWRSSKIHVLSELQLPPQEECFSWLIFSSIEEYFYKRQHATCMDHAHQIIRSLRNDADRKESTPDSSASLNVYLSNNDIAKLLVPLLKLRQACCHPQVGSSGLCSLQRNPLSMDEILQVLIGKAKNEGEEELRKIVVALNGLAGIAVIEQRNQEAISLYKEALDLASENIDDFRVDPLLNLHINYNLAELLRNSSEYLQECPLKDQPDELDKTRKRKENSSADSDLRSVKRNKVCMNNASLTANGLDAHEEEDESVTGQTCRIGEVDEENVAGCHSSSECFSDNCLRKTCNAITEKYLSVFTAKLIVVQKDFSAASTEVLNLTREVQNQHMIWWLHALDCIEASNGSAYELIRKIDSSSTKSTTVLGSSGISSGVKTIAGLKYAIQSDIDSLQNSRQQLMDRLLEVDKTMDNPRDEDVEGQRYCPKCYDGTGSLCIQCELDDLFLRYEARLFVVRKSNNDSVIDSVEEAHDLQKRKYELNHFFRNKKTNEGSEPGDNNNNPRSARESTQVYRHPSQTETALRVIRNHSKTLLGRQYDATAKKHLLLFEAMRKEFSQARFLSIAQNQLLRAHDEIKMSISRMKLKERDDEPSAVNIVTREELIPYNVQFTSDKFVSLSSLARIRGQLRYLKGLMQSNEKPLYKQGESTPKARNAVDTATSFPASGQISSDISHGPCPICHETFFDQKMVFQCGHFVCCKCCLAMTEQAATPFGKRKSWIMCPTCRQRTDLENVAFVVEKNMDKADKATEDLAESAISVQGSYGTKIEAVTRRILRITSTDATAKVLVFSSWNDVLDVLEHSLAANNISYVRMKGGRKSQAALSQFKGQASCLNGEEIKRTVLKTEPVQVLLMLVQHGANGLNLLEAQHVILVEPLLNPAAEAQAISRIHRVGQDKSTFVHRFIVKRTIEESIYKLNRGRAVCSTINCKSKNFKDEPVMTLKDVEMLFPMSSPDQLVEEANQDHNDSLRSLPPSVAAGLAAERRLFMQQHDEPAIN >Et_3B_027889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2579002:2579604:-1 gene:Et_3B_027889 transcript:Et_3B_027889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLHGLPILHGAGGVLRSHFHGATSATGTLYGHRRARITVAFHESPGSPPCLLLDIAVPTAKFIQDVSAAGMVRVTLECERQMETPAPARRQLLDEPLWTAEVNGESFGYASRREATEQDDRVMQMLHATSMGAGVLPPEMAHPADGELTYMRAHFDRVVGSKDSETYYMHNPEGSATGPELTIFFIRT >Et_1A_007945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39717781:39723241:1 gene:Et_1A_007945 transcript:Et_1A_007945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGVAPALTLSSGHKMPAVGLGVWRMEKPAIRNLIHSALRIGYRHLDCAADYQNEAEVGDALAEAFESGLVKREDLFITTKLWNSDHGHVVEACKDSLKKLRLDYLDLYLVHFPVATRHTGVGTTSSALGDDGVLDIDTTISLETTWHAMEDLVSMGLVRSIGISNYDIFLTRDCLAYAKIKPAVNQIETHPYFQRDSLVKFCQKHGICVTAHTPLGGSTANTEWFGSVSCLDDPVIKKTAVRGVIHAAIRRGYRHFDCAAKYQNEAEVGDALEEAFQNGLVKREDLFITTKLWNSDHGHVIEACKDSLKKLRLDYLISTLFTSQHTKIGATASVIGDDGVLDIDTTVSLEATWRAMEKLVSMGLVRSIGISNYPIFLTRDCLAYAKIKPAVNQIEMHPYFQRDSLVKFCQKHGICVTAHTPLGGSTANAKLFGSVPCLDDPVIKDLAEKYGKTPAQLVLRWNFQRNAVVIPKTSKVERLRENLEIFDFDISDDDMEEIKAIDRNHRTNQPGKFWGIDVYA >Et_2A_015302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10776531:10777562:-1 gene:Et_2A_015302 transcript:Et_2A_015302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRSTRVDAQPPPPLSSLPPPSSPLPPPPVSGSQPITSAEHVAATSCAATPWWGTEFPGGSVGSPSPAAPTDKQASQVMAVENIFPFNPADFSSPSMAALFSSASSDPQYWSKDPRPAGGFVNFLQNGPRFPFPQQFPHHTPMPQNIQLGSSPLPAHYAPFMPPRPLVSKKIPSPSLNESSSSQQQSGSQSNQVVDVDAQEDGEVRTSKRLI >Et_2A_015289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10575767:10585560:1 gene:Et_2A_015289 transcript:Et_2A_015289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAPLGRRFPVDPNEYKLYEEIGEGVSATVYRALCVSLDVVVAIKVLDLEKCGSDLDGIRREVQTMSLLDHPNLVRAYCSFTNGHQLWVVMPYMAAGSALHIMKTSFPEGFDEPVIATLLREVLKALVYLHSQGHIHRDVKAGNILIDTNGAVKLGDFGVSACMFDTGNRQRARNTMAPEVMQQLHGYDYKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKDLVATCLVKDPRKRPSSEKLLKHSFFKQGRTAEYLARSIVDGLPPLGERFRELKAKEADLLLNNKLGGESKEQLSQKEYIRGISGWNFNLEDLKNAAALIDSSNGTCHYDVRDNKAKDGSQNTYSEPEHIYKEKVNRVASGRPAEDEIQEVDDLNDALSSSFPSRPLEALKSCFDVRDADDANPTATDSSLQPNDGYVHTQQLPKSEHCKSAHCNGESLERSASVPLNLGNSGNHKFPSGSLIPEQILSPYTNADLERDGFRQKNPGIRNRSGPLLFRPIKDSRTHLSVAPEESSDGKVVHRKGRFQVTSDSISQKVASSACTTTTSSRTSLPMGPTRPNLKSSTILPALQFLMQQNTMQKSSGAFVKEKELQSYVVHLQQSVTELTEEVQRLKLRNNQLEQQIGALSKTDERLGLHGALAASPGSLNSLAFDLPLPVPPG >Et_2B_021654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4018723:4021403:1 gene:Et_2B_021654 transcript:Et_2B_021654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPAIARPPPRADEARRRQVALALPGASRPPRPHAPAGGTRAPLAAPTRQGGGGGGSRQGGEAAACGEAAQGEAAASGEAARGEAAARGEGEVAACAGARRKNGSRGKKDIWCQIHSRVPMRDAAKVACVSRVFAHSWRYYPNLVFSEETLGINVNTCGKNEKMRDFTSKVDCILKKHSGIGVKTLRFQVGVVYSAKDCHLYHLDGWLQSAVKPGIEELNLTLSSANAMYNFPCSLLSGGTGDLLQYLVLVSCYFHPTKLVCLKSLTRLRLHKVHILEDELECLLQFLIFGAVETWQLQ >Et_2B_022043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8461171:8463609:1 gene:Et_2B_022043 transcript:Et_2B_022043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGSIVDRNWPEVRLVNGFALFMGYLLGGVKGLGVLVVTWTTVVLLGGYVSVLEKKDFWSLTVVTLVQIIGAVEFYWTERQSNVGFVFRGSRDLIFTTFIDTFQYEMSRETSLTTLTFILWMLQLLLFAIMLGPLLILYVFGEHDYSNVDGGANLKAALQVLYSLAIAQGVLFGYKAIYALVATIKLVKEVGGTYFPDSPNLVSDYIDVTVKGCEEDTRFARGRNLVTYAVDLLMESKSREKYISGVSILGSLVKPEKPDLVNQRMMIKQLMTASESEYSNEIRVHAARVVAHVASDIRLEQFPQGAQRISFLLQTCEEDEHSWAAPDECEPPCLLPEQYELDFLGLLIFWKLADDEDNCRVIINTHGLLSKLTAPLISCRKHSDLPKEWPEIAEMSMELMIRLMAAPGETGTKLRQEISSNEEAIRAVEGILKCDGSGAELKKLAIWILLQLSVDTSSILANEGSSIMFITTLLNLFLFGMIKVHQPPPHFRQKQSQLVGRYSSSSIHWMKKRTDNANFAAERLLAMLSSGNETKNATLILQAAVHDEAVGPLTRATVRTKNNTHRMSAAGILNHLCNNYTKDDEYLKELKKGIADVIPKVLREVLGYGLTRDEIHQVARANRNQFSALKR >Et_4A_032060.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:14645313:14645786:-1 gene:Et_4A_032060 transcript:Et_4A_032060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVLDGSTVRSFVADEAAFARSVDARFADLDANGDGVLSRAELRRALESFRLLDGAGFGSAAPAPVPAEVAALYDAVFEQFDADGSGAVDRAEFRDEMRRIMLAVADGLGSQPLQVAVDDQGGSFLLEAAEHEAAMIAAKVDAERKKAAAAGDDK >Et_2B_021279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28189500:28191176:1 gene:Et_2B_021279 transcript:Et_2B_021279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EMEIPVIKMDELYGEKRTETLSLLHDACAQWGFFWLENHEVNEELMHMMKVLVNKHYEHNMEKSFYNSEMAKTLAYEKAASSVDWECSFMYRHQPKSNIHDIPEVFRATIRDYAEELVKVAEKLAEVMSENLGLDKDYLKRAFSEPSVGIKVAKYPRCSHPNLVMGLREHTDAGGIILLFQDDLVPGLEFMKDGKWVPIPPTKGNRIFVNLGDQIEVMSNGIYKSICHRVLPNENGSRLSIATFYNPGSDAIICPAPKLTYPTQYRFQDYLNFYSTSKFTDKVSRFQTTKMMFKTVLVLSAALSSKPL >Et_6B_049926.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18221511:18222122:1 gene:Et_6B_049926 transcript:Et_6B_049926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERMAIVLYSVEKIVEMVLAITEAVQTVRQNKKECEDIATSVASVSTVLTRLHQSTPMAADPAMGGALGELAASLGRALEVVRKCQRSNKVRRYVGAGDMAKELRRVQDHIDRKVTLASFAANVQTNVTLNNMQYASPPPSPMWTTPYVAPSWSMPSPPVLAPQLTPWHSQTNQWSASHQVAPCQKPPSMAELCCPSNWLG >Et_5A_041036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17078396:17082384:1 gene:Et_5A_041036 transcript:Et_5A_041036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLASVSSFLRLERASDDEHNYDSEPIVTKSISKSSTEDSYKSFEDKDYMLSQLKHFGFSVDKLQTCLNKLIKVKSGYVKLITEKDISQAYRQSKGDSCYKVDSLRDQKAKMLMQLVQELQKLDEKEAREAEFSELEEAESMTFFMSATSESIYPKHGSISSIR >Et_6A_046462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15524936:15525959:-1 gene:Et_6A_046462 transcript:Et_6A_046462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTESVSTESVLCSVIFRPVFVLCYFSSCARVCGCCYVLFDCCSESILACLVVCCCFCVVLNSSIFVVPFFLLCLCGLLVLFNFFCIERLVLSMALAGLRDISPAARNWHVRARVARAWEYRGGRDDGEPLHGDAIYAEIPGKEVAKFKNWVKKGDVHV >Et_1A_008997.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:24366906:24370289:1 gene:Et_1A_008997 transcript:Et_1A_008997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVINLLLLCTICVWSSFSTSVAAAAVDSDADALLRFKASINKDPRGVLSSWQPSQNGNFCGWYGVSCNGEGRATRLDLAGCGLEGRASLAALSTVYGLCHLNLSGNGALFHADVAELVALPRALRTLDFSYGGLAGGLPGGLLATFPNLTDVSLARNNLTGAFPATLLSASGNGVIRSFNVSGNNLSGDISGAFPATLTLLDLSGNRFAGAIPPALSGCTELRTLNLSYNGFTGEIPESVAGIAALEVLDVSSNHLTGRIPETIGNACSSNLQVLKVSSNNITGPIPASLSSCRALRVLDAANNRLSGAIPAAVLGNLTSLETLLLSNNFISGALPATIASCGRLRVADLSSNKISGALPAELCSISSASAQLKELRLPDNLLTGEIPPGLANCSKLKVVDLSINYLRGAIPRELGRLGDLEQLVMWFNGLDGTIPSELGQCRRLRTLILNNNFIGGDIPGELFSCTGLEWVSLTSNRLTGGISPEFGRLSRLAVLQLANNTLEGSIPTELGNCSSLMWLDLNSNRLTGRIPGRLGRRAGSEPTSGILAGNTLAFVRNVGNSCKGVGGLVEFAGIRPERLLQVPTLRSCDFTRLYSGAAVSGWTRYDQTLEYLDLSYNALEGGIPPEFGEMAVLQVLDLARNRLTGEIPAELGRLRALGVFDVSRNRLSGGIPYSFSNLSFLVQIDVSDNNLTGEIPQLGQLSTLPATQYAGNPGLCGMPLLPCGVPPRASVVAEPTTASTSRRALLLGVPVAAGLACAAAAAACLVAARIRQRKAREAMMLSRLQDGTRTATTWKLGPGKAAEKEALSINVATFQRQLRRLTFTQLIEATNGFSAGSLVGSGGFGEVFKATLRDGSCVAVKKLMTSLSYQGDREFTAEMETLGKIKHRNLVPLLGYCKVGEERLLVYEYMTHGSLEDALHGTESLHLPWRRRTRVARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDAHMEARVADFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSLGVVLLELLTGRRPTDKEDFGDTNLVGWVKMKVREGAGKEVVDPELVSAAVDEEEAEMARFLDLALQCVDDFPSKRPNMLQVVATLREIDYAQAPEPPVPTSAAS >Et_8A_058282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4254831:4255593:1 gene:Et_8A_058282 transcript:Et_8A_058282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPMLDTAVPGSLIRTDRTSPLSSLPASRTLCTNNSFGPQYGDRPGSVVRTCLPCAKCGRRPLLVLDCSMSATIFFNVWRPHFAHGKHVLTTDPGRSPYCGPKELFVHRVRDAGRLLSGEVRSVRIRDPGMAVSGIATNSYLHGTFAYVETTEPLSDYRLKRNPPVRRGRPLKQ >Et_2A_016771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2858678:2859200:-1 gene:Et_2A_016771 transcript:Et_2A_016771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPETALRSFSKRIVHLSIVAPLSDHREMMEQTRTFSFEVFEADLACACWRRVDTLGGGQALFVGPSSRSLPAFECGAREDCIYFICDYDRASRHADPFRDSGVFNMRNGTITPLLLPETAAVVRQHKGSAKRARHGFFLLKLCNPRSASVACSASDQWDSLI >Et_10B_003625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:437721:452883:1 gene:Et_10B_003625 transcript:Et_10B_003625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAERHDDENLTTSAAGELDLMPPKLCHRSAALLVWFWYSYELILVQIRLTNPIVLLTILIIAEDDDDEDYEEPGGGNHFVGFMFGNVDDSGDLDADYLDEDAKEHLFALADKLGPSLKDIDLIKSSPAPTDPSEQDYDEKAEDAVDYEDIDEEYDGPEVEAATEEDNVLSKKDYFSSAAAFAPASTAVSVFDDEDYDEDEEPPNDPGDNAVQNLSSVSTELRDMETSRDGLALEKVGSLSHPEESMDFEHESLQDEMGIEEGQQELESAPSLPVLCIEDGSVILKFTEIFGIQEPARKVKTDHHKRSANKELHITNVADIVEEDEELFLRSTTQNVSTLKHIQMNEEFTESDSDESISDVNLRVKDSCLSEQPMKDTEKDTCSVKLPPVCPDFYPLEHDDWENDIIWDNSPATESQPCLKSCVVSEESAGTHCEKQDQDYCQSSGFCDVQSNIHGFPVLKEPVGRTEMPAPANYLSPGNSYFPLTKEDNIECTDPNNLDATVRIDTMRCLSKLSLLNRELLEGAWLDNIIWDPSEDAPKAKLILDLRDDQMLFEILDEKNVDHLRSHARAMIVSQSIKTSTSTVENFDNQAKTLSCRFNISNDKFYSNRKMPQQAKSHTKKRALMGIKVVHSAPAHKLQTMKPILSNKEIANFHRPKAKWYPHENKIAAQLQGAACSHGRMTVIIMTLGGKGLKFVVNAEETPVFVKTKASKKLEFKPSERIKLFCSGKELHDDISLAMQNVRPNSILHVVRTEINLWPKAQMLPGEDKPLRPPGAFRKKTDLSVSDGHVFLMEYCEERPLLLSNAGMGARLCTYYQKTTPADQTAASLRNNNDGLGTVLAIDPADKSPFLGDIRSGSHQSCIETNMYRSPIFPHKVAPADYLLVRSAKGVLSLRRIDKLYAVGQQEPHMEVFSPGTKNVQNYLLNRLLVHVYREFRAREKPNVTPQIRANELPIQSPLTEAIVKKRLKHCADLKKGTKGEIFWTQRSDFRVPSEEELRRLLSPESVCCYESMQAGLYRLKRLGIMKLTQPVGLASAMNQLPDEAIELAAASHIERELLIASWNLTSNFVACTNQDRENIERLEITGVGDPSGRGLGFSYVRVAPKAPASNSALKKKSAAAKGTTVTGTDADLRRLSMDAARELLLKFGVPEEQIDKLTRWHRIAMVRKLSSEQAASGITIDEIPVSKFARGQRMSFLQLQQQTREKCQEIWDRQVQSLSAIDGDDNGSDTEANSDLDSFAGDLENLLDAEEFDDEDTCKGDLRSDKADGMRGLKMRRCPTHAQINEDSEDDEAEASLAKKLLEDDGNDTKRKKQPVELTNTSTYNQGANKMKQSKTGQMIKSSGYIGALTPKEGTPRDAREAGSSFGEVGLPTKLKAKMTYDGNDIILVKKKVPGKDGLKEKRQGARGDTLVCGACGQLGHMRTNKLCPKYGEDPDALELDANSVKSNPPEAASHGQTKTPFKRLITKVSSDVTETEGSGIDKTKSVPVKFKCGAPDKSLERNMSLSGSVVSDKHTVDVSEFRSTGKANKLVIPNKMKSDDYPPDTPKPSVVFRPPAEEKDIPRKKITIKQPKGLEQQRHVELSSGQEPIRKTRKIVELSSFEEKSREDDHWFAGEPSQMNSPNERRLTLEGKGRSKPLMENGQSWRDFEEQREMAQQRLIDARIYASREEDHQKAKKKSKKKKNHEFRDDDVLDHRPYRNDRRVPDRHGGAKRRTPNDVEYTPSAKRRRGGEVELANILEKIVDHLRGRTAISYLFLKPVTRKEAPDYLDYVERPMDLGTIRDKVRKMEYKNRDQFRHDVAQIQLNAHIYNDRRYPAIPPLADALMEMCDHLLEESADLLDEAEYAIED >Et_2A_018085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:176705:179030:-1 gene:Et_2A_018085 transcript:Et_2A_018085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQDGSPKVRLPEFQRMRVTLTIGVIGLCVISYILGAWQGTSNSIKPSFINTATRCDGAVSRASSGTLVRSSGAPLDFHAHHQGGFNESSLAVEIFPPCQLKYGEYTPCQDPRRARKFPKTMMQYRERHCPKKEELFRCLIPAPPGYKNPFKWPQSRDYAWYDNIPHRELSIEKAVQNWIQVEGDHFRFPGGGTMFPHGADAYIDDINALVPLTDGNIRTALDTGCGVASWGAYLMKRNIITMSFAPRDSHEAQVQFALERGVPAMIGVMGTERIPYPARAFDMAHCSRCLIPWNKLDGVYLIEVDRVLRPGGYWILSGPPIHWKRHSKGWERTEEDLKQEQDEIEDLAKRLCWKKVIEKDDLAIWQKPINHIECVDSKKVHETPEICKSNDVDSAWWQLIQMLTFRYKKMDTCISPLPEVNTKGEVAGGTLEKWPKRALVVPPRISRGSVPGLTPEKFQADNKLWSKRVDYYKKLIPPLAKGGYRNAMDMNAGMAGFAVAMMKYPLWVMNVVPAGSAYDTLGVIYERGFIGTYQDWCEAFSTYPRTYDLIHTDRVFSTYQDRCDITYILLEMDRILRPEGTVIIRDTVEVLVKVQALSEGMRWKSQIMDHESGPFNPEKILVAVKTYWTGEPTQKQ >Et_1B_013588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9731244:9738090:-1 gene:Et_1B_013588 transcript:Et_1B_013588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAAAASLVASTSLSVPDHLRLRRFRLHPRPSPQLTRFHCRSRVRLVRAVLEDTAAPPPAEEDAKRYGLNGNGSGLGVALVSVSPGEKETRRKKRVEEIGREDAWFKQSNGQGPLPEVSVAPGGRWNRFKTYSTIQRTLEIWGFVFTFIFKSWLNNQKFTYRGGMTEEKKKMKRKVLAKWLKESILRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAVKIVEEELGASVNEIFDRFDFEPIAAASLGQVHRARLKGQEVVIKVQRPGLKELFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECAAVLYQEIDYTKEAFNAEKFSENFKKLDYVKVPEIYWEYTTPQVLTMEYVPGIKINRIKQLDTLGVDRKRLGRYAVESYLEQILSHGFFHADPGISLLTIISPNIREGLLEAFYGVYEKDPDKVLQAMVQMGVLVPTGDMTAVRRTAQFFLNSFQERLAAQRKEREMATAELGFKQQLSKEEKFEKKKQRLAAIGEDLLAIATDQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIARPYAMELLRFNEAGVEVVVKDAKKRWERQSRAFYNLFRQPDRVEKLAQIIERLEQGDLKLRVRTLESERAFQRVAAVQKTIGYGIAAGSLANLATILYFNSIRTPATIAYSLCAFFGLQVLVGLIKVKKLDRQEKLITGTA >Et_3B_031361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26816075:26818829:1 gene:Et_3B_031361 transcript:Et_3B_031361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTATDKCFSPARGMSPMPIMRPPPSPSPEAAGQYLEELLQEQQKLGPFVQVLPVCGRLLNQEIMKISSMLSHLGVRGNERLPIGSPNHMQPSPRVPNFCGNGFGPWNGMHPERNGFPRGAMGWQGGAQNHPSYIVKKIVRLEVTTDAYPNFNFIGRLLGPRGNSLKRVEATTGCRVFIRGKGSIKDPVKEEQLKGRPGYEHLSDPTHILIEAELPADVIDVKLTQAQEILEELLKPVDESQDNIKRQQLRELAMLNSTYREDSPHQNGSASPFSNGGTKQGKQ >Et_1A_005173.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30875519:30875869:1 gene:Et_1A_005173 transcript:Et_1A_005173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDELVVLCVERTDVDGAMHDMRVVSRNATLRGLMDVNERCSYAPHGAARRVDVVPVRDEHPVRAAGCRDRQGGRAAMCGVRAGARPCRVRDRQVRRRQRVPRPYYVTPLRKRL >Et_1B_013159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:634614:640616:1 gene:Et_1B_013159 transcript:Et_1B_013159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVAIHQFAECITCHAWSPDQSNKWEKLHVLSKHDQIVSGIDWSRSSNKIVTVSHDRNSYVWTQEGSDWVPTLVILKLNRAALCVQWSPKENKFAVGSGAKSVCVCYYEQENNWWISKVIRKRHESSVTSLAWHPNNIYLATTSTDGKCRVFSTFIKGVDTRGSQSSTATDSKFGEQIAQLDLSSTWAFGVRWSPSGKILAYAGHNSMIYFIDDVETSPAAQNLALRDLPLRDVLFVSEHVLIGVGFDCNPMIFAADETGLWSFVRFLDERKAAPSASKASQLSEALGKLYGQSKQGTSSDTVEPSKPRGGAHENCITCIVPIRRGRDSTTKRFSTSEEANASTKHHSFSCHRT >Et_4A_034194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30667126:30668160:-1 gene:Et_4A_034194 transcript:Et_4A_034194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEVAVDGVVFPPVVRPPGSGRSHFLAGAGVRGMEIGGNFIKFTAIGVYVEDAAVTALAKRWAGKTADELAADAAFFRDVVTGEFEKFTQVTMILPLTGEQYSDKVTENCVAYWKATGVYTDAEGAAVEKFKEAFKPETFPPGASILFTHSPAGVLTVAFSKDSSVPEAGVVAIENRPICEAVLESIIGEHGVSPAAKLSIATRVSELLKGTTTTPGDDAPQAEPVAVSS >Et_9A_061328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1008225:1012005:-1 gene:Et_9A_061328 transcript:Et_9A_061328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQSENVSKVNDFRRFDYQPWQMEHSIGVRSKKKQVKLDRTTKGMDAAEVGKETQDVFQNVHDNNLTATNVIYPASILEGSSHRDGAIYKESWEPCYNMDMADRNETASDLKKLTRAFNCHPDQENCVTHVPSGMMQIFSLRLAKAPINSGPLQIYGYMGARDDMDGLLNYVFNRSRDDPVIVQQGSLIEMTGPKRGILMLSDVLFEFDMRIKTGETEEHDIQLIDGLIHYEDRISYDTPFTIRISGDCGAVDMSFTAVEFGVEAIIEVTVSEVQSDFNMSLSSIVHIEEVCKEIQLFDGVAGQMGIKRSAVAVPLDTTMHLKVKIGQKGSDNEAVHYYSFDARLHGCTNRQIKLAMACISMKVTWRPPLY >Et_3A_023517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32218330:32219250:1 gene:Et_3A_023517 transcript:Et_3A_023517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLIAQRVLSADFLDYASFRAVCTHWRSSTTCPRGRGIKDPCFHPQNWMMFPEGHGLHPGNLSLQGYVRFINLATGSFVRIFLPIFRDHCALDSVNGLLLLHRDRDTTIRLLHPFTGDTVDLPQLNTLAAQLHTKFRIGRSNSPLIHTMPFSFQGKLYIMWRQNMSVHILEISQSQQGSVAWRVKLAAICPLDKLCMPLGMAECGGDILVLGFSDESFLRLSVYKLADLMLKRYVPVTSIGDRSIFIGQRSLCVSI >Et_3A_026215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5552665:5555375:-1 gene:Et_3A_026215 transcript:Et_3A_026215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNASGSCWCSRVAVLQQVIGSQAASHGFVGRQLLRIPGMEGDDEVTPPFLFRAFASLNLHLLFAYLLLFLLAKIFARLHARAAGKNSGRDLRHVLLRTCVFCRVVQLVLTFQKILFASAPSWDHGCAETTDTEDVAAADIVGGQRSDTLFWFDEAVLEETTLLGYEGKDLLYAPTTADARCLQVESAFDTEESACISPPSQDHHIDAEQQKELDETKDIAVDAPAVPVQADQRADVPVITSPENVSSIEGKHLYVSHPKEESSREAEDVARCGDADDDRDEHATETEAESVSEGQDDVKLFVNSRAVADTRKLCLLEGVVAGGGGGGGGAKLLQREEEKDRDSSRFGASTLTSESTSKSSVEWRSSTVTKDSETEYPFSSSSRRSSARWESYALFRKYDEDMVYFHRSFRSIKYQPRSMSERIVHTLTPKPSAPIGLRDPYPDLERAYVAQVCLTWEALNWNYTSFRRHNGVDGNIAARCCPARVAQEFQQFQVLLHRFIENEPYEYGRRPEVYARMKNSTPKLLLVPEFREEEDEKDDLISAVQFLHILEDSIRTFMNFLRADKRSHYEMFREMVKRRSSAADQSLVNTLKKANRKKKSRLKDVTRPRRCLKRTKLREEEELSILLGLIDLKVVARVLRMPDLTDQQLQWCEEKMNRVSVDQEGRMHRDPSLLFFPAH >Et_6B_050130.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6916705:6918990:-1 gene:Et_6B_050130 transcript:Et_6B_050130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHNVSEDFYFGSSMPYCRAGNVSAYIYNITSPYTDDTNKASIIGTSVAMFTLTVLFFNLTMFRHIGPTIRLARSTVLSLFLPVMSYLFSEAKNAAGATVSTAVVTEIVHSELAMRARLILTWMLLVELLRKKTEAMSVPMAGYSGNAEQAARIAWLGSLVFSTIQSPGRRAVFGVLWVVAAAKMVQRFVIAQVIRCSFATGRNPEIIGAYTLHSLERSASAENTQGELIRCCEFAVMGEEKVKMKTGPHGYVAATHQHNNVDDGVITVAKIWRDGDELPVEAKKLCLSFSMYKLLRRRLEDARARTSGDDMKITEACRRLIVNEGHDPEELLRVVGDEANFLREYYHSVDPVVLASPFFLLANYILFPVAVGCVCLMTVVACGSGDVLGTFRSIRTDNYAMSPDVVKMVVCLMRKVLVSPPALLSAIDLCITFFLIVAYVYEEVSEFVVFLLSDWLLVSLVCSYVKATRHGCRQVIVSSTRCILRLRRMFTERELILKLKQLDLADTPFRVPMVLQRSPLPIATKVAVIEYLANCISNGGAVTLGNCNAIIRQYARQLSAYEDDSIAQVILIWHVATTLLEARHPQRNGAAVNDRSTVMTLSRYCAYLVAFRPEILPNDWNDTRQVYQTMVRDLKKRLGCRGYYFYPKRSWLEEIEKSVSGDIGKEGVSVVQKGAMLGEAIQSSGSSSEELWKMLADVWVELVVYIAPTSEGTHLQGHYAALVEGGEFITLLWALATHSTLTRQPLASTTGVGAVDSDF >Et_1B_013797.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:1779242:1779760:1 gene:Et_1B_013797 transcript:Et_1B_013797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECTSSTSSWVLLLVGVVLLSCSPGSVAVSRRLLQANPAQDFAVEHAHIRACHFQRPLKYTQELADKAEQWASQYKANCAAASPAPGVNVFVGSAGGTWLPSDAVAAWAAEKDYYNYDSNSCAEGKECGHYKQVVWQGTKELGCAVVDCDSGATLMTCHYEPQGDLEGQKPF >Et_9A_063451.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:2719290:2721338:1 gene:Et_9A_063451 transcript:Et_9A_063451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLHLVLLLLPLFLPCSDRMGVLAADEFTYNGFGGAGLTLDGMSSVAPNGLLVLSNGASDMVGHAFRPAPVRLRDGAGGAVRSFSAAFVFAIVSKFTVLSDTGMAFVVAPSTKLAAFNGGQYLGILNVANNGKDDNHVFFVELDTMLNPEFQDMNSNHVGVNVNSMKSLRNHSAGWYEDDATGGAFNNLSLISRQPMQVWVDYDGATTRLDVTMAPMDVPSKPKKPLVSSPVNLSAVLTDTAYVGFSVATGVIYTRHYVLGWSFAMDGAAAPALDASSLPKLPRFGPKPRSKVLEMVLPVATAAFVLALAVAAFLYARRRIRYAEVREDWELEFGPHRFSYKELYHATKGFKNKQVLGTGGFGSVYKGVLPKSNGLEIAVKRVSHDSKQGMKEFVAEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLFHNTRGVVLDWAQRFRIVTGVASGLLYLHEDWDKVVIHRDIKASNVLLDKDMNARLGDFGLARLYDHGVDHPQTSTHVVGTMGYLSPELVRTGKATPATDVFAFGVFVLEVACGRRPLGRRNVVLLDWVQEHERKGAALDAVDARLRGEYDADEARLLIKLGLMCAHPLPDARPGMRQVVQYLEGDAPMPPEVAPADVSYTVLTLMQNDGLDSFAMSFPSTSTSTPSVYATSRPGQGSSAEGE >Et_4B_037261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17377408:17381480:-1 gene:Et_4B_037261 transcript:Et_4B_037261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNKVLVRAAAAAASSSAPLPPFLLRQLSLPNAVPISALDLDILCCRLSRRHRLACLPQAPADVLLVYQRCQSHEADAAVAEVAAGFPGALVGEEEVLECSGELVAKAVGCELRSLMLEHGWRCLGETIYVCSTFAESEEKTDLCALNVEIRLGRNDDFEFVVSPDAFRFATHKISDAAGLETFQPRNDVVLDNCNHVNVCTTLPALQEGHVVGYSKILPSEQCLDKFMELCSLKHGLDTSCNYYVAVKLGYGTSLEAQWLPSSLVLQGSGLQPALKSVRPSKAMSSLQSFAKLLSAWNFFGQNQLVIKEQLLLNGTAALPTWDKAASNLTIHTAKTDNSRDQSYIGSPNLVATDQSFVLEFRTPKPPVLCSLSVKSLDAKGHKITHSLDDNDDLSATSPIQYSCQSKPPVHKLLSSSLRLAERKQQKEGKWDIHRNRDVDNSNKSSHPDAASSLANHVSSSSASLPMPVTQVWTQGAWPIEKINEVLLYVGSNGCNVVPDSNKKKPYKKRLGRMCRFTIFGHGRP >Et_6B_049059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17242870:17247689:-1 gene:Et_6B_049059 transcript:Et_6B_049059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSREASHRRATLVSINADVGVTAGERNMATILDSLVGSCIKKLHNIITEEVVLLLGVKGELRRLDQVRHFLSDAERRSVEESAVNSWLGRLRDVMYDADDRMSYKLDIVGTGGIGKTTLAQKIYNDHKIKGNFDKLAWVCVSREHSEFSILREREKCIICETLASKLFANTAEEYYYELINRNLLQPDNSIADKRCCRVHDLLWQLACYLSREECFIGDTGSLLGNIRSKTRRISVIGPKDQVLLLSMDKQKYKVRTWRTLDGMPLTVKDTFFRRFPCLRVLDLSNSLLQSVPNCIGSLIHLRLLNLNGTSISCLPESIGSLVNLQTLNLEKCAALRALPLALTELHNLRRLGLENTPINRAPKGICKLKFLNDLYGFPIGDGWNLEELGPLSQLRRLYMINLEGAVPCSSNSLLLNKKYLKVLYLSCTESTDEPCFEVDICYIEKIFEQLIPPHNLEELFIMGLFAKKCPSWLGNTHLSSVKQLHLINYKYCVYLPPIGQLPDLKYLRIQGVTAVTKIGPEFSGSRVYNPVSTERAAFPKLKWLVIEDMPNWEEWTFVFEEEAKVACDKEGEYGANPTKKGEPSSGRLRLLPCLKILELKHCPKLKALPQQLGQEAINLKKLQLRFVGNIKLVENFPFLSDWILIAFCEGLERVLNLPQLRCVERLDNLQQLWLTADMQETSPLWVPGVQQHLQESRAEDLDIYPWTQ >Et_1A_005192.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31665783:31666550:-1 gene:Et_1A_005192 transcript:Et_1A_005192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNAPPYYYSELGIDGYRRPERSGPIQTMNYEVLLHLDEVLNYAPLSDSPEHKSEDSNISGLPSEGLEEEWPKRHEYKWYLGNVDGQLPRSTTREPVHDRLGKPDNRRRDRSPMGGGRAGERGRGDRGYQFGGHIICGALESSKDWHRRMCQDGADSSSRRRAGSCPPIMACLGVRAKRGGVGPAQRSPHSSQKLPEAAFMAWQMSSGPLETEQLRDWDPMLHEAMHFEAAGEKEAGDVFCKQSGKYEHGDADAH >Et_9A_061620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14555189:14557862:-1 gene:Et_9A_061620 transcript:Et_9A_061620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPIAVVIGIAAGGATFLAAAVALALWCAARLRARRKNRNSDTGSSDPSTQVEWSKGGRISSAPPDGARQFSLEELVQATKNFSEANVVGAGSFGLVYMGLLLDGTVVAIKKRVAAPTQEFAEEVSRISEISHRNIVTLIGYCQEGGLQMLVFEYLPNGSVCGHLYDTGKGSTARLEFKQRLSIAIGAAKGLSHLHSLVPPLIHKDFKTSNVLVDENFIAKVADAGLAKLLRGPGDVGPSHGFRSSVYQDPEVYSVEQFSESSDVYSFGVFLLELITGKEAAGLISPESTEPLAHWMEAHFSSDELIDPRLGGSFTSESMKELVGITFQCLNPLSRRRPKMRLIATELDRILETEMSMTTFMGDGTAIVTLGSQLFTS >Et_8A_056087.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17891372:17893101:-1 gene:Et_8A_056087 transcript:Et_8A_056087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYKCCKLHMLRKYGVLGQQPPALPLVGTTTTAATVASSRTNGGVSTSTIGNKQSSRYDVVKDRPVRFSSQQLREFTGDFAEKLGAGGFGTVYKGQIPLPTNYVDVAVKVLHAGMGRRAEEQFMAEIGTIGRTSHVNLVRLYGFCFDADLKALVYEFMPNGSLDGHLFVLDAGGKQQLGFDTLYDDVAVGTAKAIRYLHGECERRIIHYDIKPGNVLLDGAFRPKVADFGLARLCDRERTHLTMTGGGRGTPGYAAPELWMGAPATPKCDVYSYGMLLFEILGRRRNYVEIDTQEEEDGDGEGSWYPRWVWQRLDRGESEAVVKRALAANTTVVGDKYHEEEDGRRRKKVERMCAVALRCVQYRPEDRPSIYDAVRMLEGDEDVPVPAVSPFAHLDSETFSKTFTETATTFGSEA >Et_2B_019616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11048225:11053771:1 gene:Et_2B_019616 transcript:Et_2B_019616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASEEAIKQFAALMEHREFSTSAPAYFKNRKRKVTVICLQNVHQGYPRGTLVRFLKAREWSVPKAHKMLMDCLNWRIQNEIDSVLAKPIVPSDLYKSIRDTLLVGLTGYSKQGQPVYAFGVGLSTMDKASVNYYVQSHIQMNEYRDRVVLPAASKKFGRQINTCLKVMDMTGLKLSALSQVKMLTMITTVDDLNYPEKTETYYIVNAPYVFSACWKVMDYASLPHFCKREGSGSSNDSFDGVDCYSYDHPFHQQLYNYMKQQAVKQNVVVKQDSFHVDLPTPELEEVKMAETIESELHNLRGRNGLSHQFNIIKIEGP >Et_3B_027790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19458990:19459565:-1 gene:Et_3B_027790 transcript:Et_3B_027790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPAYAVGPFVRTCSGHDVVSQHGCLRWLDEHPDRSVLYVCFGSGGTLSTEQTAELAAGLEASGQRFLWVVRFPSGDGHSPISYLPEGFVERTRGRGLTVPLWAPQCGWNSPLEAVAAGVPTLAWPLYAEQRMNAAMLSERVGLALRRYDSHTKSLYVRAL >Et_3A_023889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14018621:14025196:-1 gene:Et_3A_023889 transcript:Et_3A_023889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAPGAGKVVCVTGASGYIASWIVKLLLARGYTVRATVRDTADPKKTLHLHALDGAKDKLHLFKASLLDEGSFDAAIDGCDSVFHTASPFYHNVKDPQAELLDPAIKGTLNVLSSCKKASIKRVVVTSSIAAVAYNGKPRTPDVVVDETWFSNPEICAKNQQWYVVSKTLAEEAAWNFARDNGLAIITINPAMVIGPLLQPTLNTSAEAILKLINGSSSTYNNLSLGWVNVKDVALAHILAYEVPSANGRYCIVERVAHYSEVVSIIRKMYPTIPLPDKCADDKPLVPTYQVSKEKIRSLGIELIPLETSIKETIESLKEKGFVNFDSSNL >Et_1B_010987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16220185:16231811:1 gene:Et_1B_010987 transcript:Et_1B_010987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKYRLLLWRHFRQEIGVHELKKSPKHLHLHVTDFYNRRLVALSHRAEELRLEDRNLKRNVGTLFCCEEIAEMPMQVRWWHIDRRRHNCPILGMKLLDSDIALDREAVVLNNTMLRHSLEHLCFHVLYVYGTVGSTLHHRPKELGLEGRRPCRENAPVNTAHLPTSLEHDVGAVAAREHHPKVPVHVRRWHHHQGSPQQALRATALVDDRDGEPDREAVVPEEPKVRALKVHLPDEVGTPPAFRVDGLKLRAAARCAWHRHTGPLTIVLLRQREGVHHRAGVNAPAQLLLAYELQPLEVEPVRRFKQMLRHCCFLFLAHLPHRVGVHELQHVSQDSWFDVLNVYGAAARTLLHRAEELRLEDRRPRGEHAPVSFEPPPAGLESDVRTNLAGQQLPEVAVHVGRRHRHERGSLYRAEAVEDHDIALDREAVVSPEQPALWFLQVLPLDELEQRPPSLEVRPDGALA >Et_1A_005836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14488075:14490636:-1 gene:Et_1A_005836 transcript:Et_1A_005836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLEGCSGTRSVRRSRRQAPGPGRSRGPLYKTLDALDLQDDFYLNLLDWSSHDLLATGLGNRTYLWNSLLCDLATTVGDTTILHHDIRAQEDYVGKITGQESEVCGLQWSCDSSKLASGGDDNRLFVWDPRSQVCNLVWSKSLNELVSTHGYTQNQINVWRYPTLAKVATLTGHTDRVLYLAISPDGQNVVAGAGGDDEALRFWNLFPPTKSRSSDRFCPGSTSSARSYIR >Et_7B_054535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22928322:22935416:-1 gene:Et_7B_054535 transcript:Et_7B_054535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLLASLYEHASPESLALILVLFLIAVHLATPRSRAEKLLSKLPSPPFRLPVIGHLHLIGSLPHRSLCDLARKHGPDVLLLRLGAVPTLVVSSPRAAKAVLRTHDHHFASRPRSAVANILFDGCTNVAFAPLGDYWRQTRKVITTHVLTPSKAVVGRFPREEGRNKLFREMLETNAKLLGGFNLDDYFPSLARLNLVSAKAVNHKKKWDELFDALIDKHASKPANDEQEEDFIDVLLSVQQQYGLTRKNIKAILMDMFEAGTDTTYIALDYAMAELMRKPQAMAKLQAEVRRCAAKGKELVTEEDLSSMTYLKAVMKESMRLHAPGPLLIPHFSTADCDVEGYTVPSGTRVVLNAWAMGRDPTSWEDAEEFMPERFLEEGIDAASDFQGNDFRFLPFGSGRRICPAINFTIATFEIILANLIYHFNWELPPGSTGVDMTESFGMDVHQEFMPERFLEEGMDAASDFQGNDFRFLPFGSGRRICPAINFTIATFEIILANLIYHFNWELPPGSTDIDMTKSFGMDVHRKENLLL >Et_3A_023351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22110558:22111766:1 gene:Et_3A_023351 transcript:Et_3A_023351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHGSWDSLLVDLVRTPTFNTCVVSEVSNGSKEFSVSLDNVVPDDILESRFLWTHMLPQRPWYFMFTSNDTAAGYAFDPILRKWYDLELPCIDKSSCFVSSSCGLVCFMDNDNRNIVSVSNPITKDCRRLLEPPGVTLPDYSTIAMKVDRLSHNYTITVAKSKQIPEDFVRWDFSVYKYDSWNGTWVAAVSEVFIGWRGGDDSVICNGVLYCLIHSTGVLGNVNPRHGLIMYDLVAGPSNTSLMQTSIPVPCSLTCGRLVNLKEKLVLVGGIAKYNRPDIIKGIGIWELHEKQWHELARMPHKFFQGFGEFDDVFASSGTDDLVYIQSYGATALLVFDMSQKQWRWSVKCPVSKRFPLQLFTGFCFEPRLDITT >Et_5B_045717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8040477:8042095:-1 gene:Et_5B_045717 transcript:Et_5B_045717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDMNYTILLDETHYQEGYKTGYHDGLASGKEEGRQVGLKMGFQVGEELGFYQGCLDVWTSAISIDQDAFSARVRKSIEQLASLVSSYPLSDPENELVQDMMEKIRLKFRVITASLGAKLEYEGRPASSRQDVEDL >Et_1B_012779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35086440:35088302:1 gene:Et_1B_012779 transcript:Et_1B_012779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSNATTCAPARARSHKSPQGEDRLSKLPDDILHRILELLDIRDAARASMLSKQWRQMPTMLNNLVIKVSSFEPPRTDRSNTTLDDLTRANATMHEATKRILSGRNGSQYTLRSLCLQFYLGDESISIVQSVADTMERQMVGSAGFEILTKKEHILCTEDEFVFHGRQLMALFEACPNAFAGLTCLKLENVSLNKPELPNIISLCKRLEFLRLFNCDMGIPSSLEVEHPRLGQLVMEDCQLERVHLKWLPKLTMLTFNYWISQEDPLSFGYVPLLQSVSLANIGLSWHKVLKLSEFLGNATIRTLQLNFKCEKIWIQPEDPEQLLPVFNKLRLVNLVNISEECDLNWTMFILQGAPSLEELQITVRIIFVK >Et_1B_014428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8475851:8478961:1 gene:Et_1B_014428 transcript:Et_1B_014428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAATTSSSTTAAAAENPNPFNLKINLPPWLRGPLTFLSPPPPPPPPPPPPPPPPPEPVVSSARPSRRLPGLRVTAEYDSEEGVFTNKVSCKLAGDLAKLRLSFQSDPQGQGILGDDPQQQLFAAPLVGFITKHFTVLYDVEERNTLLKGDASLPGGAVQLRSSLDVKAQQGEVSVITRLIDPSYKLELSSLVPYNGLPRATFHFPIGEVSVEERRNEDDEKMLSINGIAKSDFLDGVLSAAYNENDLNLRYCYKDKELTFIPSVSLPSNAVSLDLKRRFGPSDKLSYRYNFDTDDWNAVYKHTMGKNFKLKAGYDSVVRLGWASLWVGEENGKAKTAPMKTRLQLMVQVPQDNVQNPAFLFHVKKRWDL >Et_7A_051292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16701537:16703828:-1 gene:Et_7A_051292 transcript:Et_7A_051292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPPALVSLLLPSRAISKNALDPPGISSNTGACQLDAINYVDHAIEDTARVLPSLLWLGIASNIL >Et_9A_063288.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:1963186:1964733:-1 gene:Et_9A_063288 transcript:Et_9A_063288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDHITVHVDWLARRLTQQQEDAAASEQDRATASHRVGRVPAHLRLARRDAYTPGFVAIGPLHADDPRLRPGYRLKLAYLHSLILRGHRDDPAKHREVIGRYVAVVAAREREARAMYAAEDVEGLGAEDFVQMLVLDGCFVIEHLVNVAVGREEPSLHATPFGATQLSVDLVLAENQMPFFVLVELIRHTELPPIPEFEFAAYDKPALLMKLALYYLAGDKGRDMSIEGMLPVSADGVSHLLHLLHATVTAARTRWLPPPAPGVQDVVGTAQEAARLLRRLPLLLLVPLLYPILPEERRWSASYGREDLPSATDLKRMWVRFKRARGRGSKSSSSSSAAIASVLGPVPLAVALEHEDCLRLPRLRIEFRTAPLLLNLMAFEQSQSSSEQRLGAGDVSAYAWLMAKLVQSPEDAGVLVAAEVVVQGSTAGSEGKDDVARFFREMGAASEAAEQVERSYLGETLAKLRERSRHPLFMMWADVARNYFTVPWAVVAEFVAFVTFISTILQTYGSFKH >Et_3A_026051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3802958:3806657:-1 gene:Et_3A_026051 transcript:Et_3A_026051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFVALRSPELEVLGLTTTFGNVHTALATRNALHLLEAVGRTDIPVIEGSHVTVKKATKLRIASFVHGSDGLGNQNFPAPASKPLDQSAAAFLVEQANLYPGQVTVVALGPLTNLALAVELDPSFPKKIGQIIILGGAYSVNGNVNPAAEANIFGDPDAADIVFTCGADILAVGLNVTHQVVLTDADREKLEQSDSKYARYLSKIMGIYFDYHKDAYFIKGVYLHDPTTLIAAVNPSLLTYTEGVVRVQTVGITRGLTLFDNTKKRYAEMTAWSGMPTVKVAVTVDAPAVVELMMQSRDEEEADHRHRPGNRSVLSSVLDRTLSFLSNFLDRRQSR >Et_6A_047343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4264133:4265984:1 gene:Et_6A_047343 transcript:Et_6A_047343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLAKLLGNGGCIAAAATNLKPRSRRGKRRKPHPALKVIIQGFKSYKEEILAEPFSPKVNVVVGTNGSGKSNFFHDKFTHMYNLAMLYDPAIWFVLSDVFQNLRNEDRVALLHEGAGHSVLSGFVEIVFDNSDNRIPVDTEEVHLRRTLVSKKDEYYLDGKPARHVPFSPNWTSSVNMIFNGPV >Et_7A_052114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4801701:4803005:1 gene:Et_7A_052114 transcript:Et_7A_052114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAAAASHGLRVSTTPRPAPLRRRLPSVRCSIAATPSARAPPELVESILSKVKGTDRGVLLPKDGHQEVADVALQLGKHCIDEPVKSPLIFGEWEVVYCSVPTSPGGLYRTPLGRLIFKTDEMVQVVETPDIVRNKVSFSVFGLEGAVSLKGKLNVLDSKWIQVIFEAPELKVGSLGFQYGGESEVKLEITYVDEKIRLGKGSRGSLFVFLRRG >Et_5B_044639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4266685:4270274:1 gene:Et_5B_044639 transcript:Et_5B_044639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDCNGVWVELRTIRRTSLCRVRMSFKVLGLERTGMGNNLVWSRPSLNDSRLRPINNKRKLDDLIEPENNTSCEIAQDISTPKPIHKRRRENELENLPEDLLGTILSKLPQNEVVKTSILSTKLRYLWAVCPKLRFDGVTMCGNMFRGQQCTQKFIANVNAVLQQYQGKVVEELAIKFGFDSMLVDHLNSWVSFAVTSRTKFLAFDLIPAGYKQCDERYIFPFELLDSEIYPVFSTFSLALPPNQFRGFPNLKKLGLHAVRANAKEFQAMLSNCCSLEWLSLVRRLLDDELKVDHPLPCLLYLTVANCRVHKIELDSVKLATFVYEGAMVPIDLSKALELDKVDVHFFRTTLEHVITTFPIALPNMQNMTLRASFKSPKMPCFIENPCKFSQLRHLCLLSLFDRDVDTMSLVSFLRAAPFIEKLDIQYDPLRRFPDSLYNHLKDVTITGFEGSKGQLEFLVHLLESAPALELVTVYAVGFYRGKGLHEKTATYMSLIHRVVRRHVEQIPPKYHSLRDDSSIIAAGLVTTLESSALVA >Et_1A_006050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17108072:17110432:-1 gene:Et_1A_006050 transcript:Et_1A_006050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRNGAVWLRTHQILLPDLQSSTQVVKTFSSQLMKDYSACDDDANMILHLLEEPQDEGDLDEWISVTIVKIETESTRSSPGGTRNFPSKKRGTRKKRISPWNPLFFEQRRRSERVTKVCASRKNNSRWTQEEVELLVKGISEYGIGKWTEMKAKYFSLSIRTSVNLKDKWRNLLKAYDVKLTSKKQVLFFDFRIHATLNEDSLSYISLIIQKKVQKTTLLHLDKKVIKRIREVAEKHRRAEPVLSDSSSSSSKT >Et_7B_053721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11478080:11479249:-1 gene:Et_7B_053721 transcript:Et_7B_053721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVVRNRTPRGWELKYARRSFFPYWRSVLVSKLTCKVIRLENKPTLGDLGNFRNISAAEGSSLIPHVNLGPLARVQQSISQPKRTYFSAAFSLLKSYKRRHLCKQRCSHKKRTGMFHGGTQNYQPQVPANMYV >Et_4B_036558.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29508770:29508937:1 gene:Et_4B_036558 transcript:Et_4B_036558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAKNLTNGESTSSKLLLVDLARSERVAKTDAQGDRLKEAQSINKSVSCFGLHN >Et_4B_036698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10812666:10814583:1 gene:Et_4B_036698 transcript:Et_4B_036698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVTLSLRPSAALAPPRAALPRASAGFAHSARATPSVALSYTPRRLESVRRAVAVDSDKQGSAEPPEQAKKPQTYYFVVANAKFMLDEEEHFQEQLAEKLRLYGERGMERDFWLVVEPKFLDKFPSITKRLKRPAVALVSTDGNWITFMKLRLDRVLADQFDAESMEEALASNPVELKFDKPEKWTAPYPKYESGWWEPFLPPKSSNGTV >Et_9A_062606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24452599:24455805:1 gene:Et_9A_062606 transcript:Et_9A_062606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGTGRSTCQSFGIPNGRYKSRKCLESTVEAPAAADATRRRRPPQPARCRRTNTRRLQVRPTEEKFRQLLQTPSRFTMEPVSTGLLKGLRRLLEQQRKSFDFCRQPRAWSSTVSFSDLDDKDDIGYDDDRTDSKRELEPQTVDPKKGWGFRGVHRAIICGRVGQVPMQKILRSGRTITVFTVGTGGMFDQRVVGPADLPKPAQWHRIAVHNDHLGAYAVQKLVKNAAVYVEGDIETRVYNDSINGQVKNIPEICLRRDGKIRLLKSGDSAASISLDELSHSKHSLKTERRRCRAWQYVDWARASSA >Et_7A_051462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1974734:1977632:1 gene:Et_7A_051462 transcript:Et_7A_051462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWQKSVVVPVKRAWIVVTTRLRRKKDDGRGALVKLHDDIQMCAYEDVQVMWEMLQRSETERLAREPSPRGARAIVWLRRRHKMDPRRRG >Et_1A_004713.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:33078956:33079930:1 gene:Et_1A_004713 transcript:Et_1A_004713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGILPEWIGRKLPSLTHLRLRSNMFSGNIPRHLAQLGDLQLLDLAGNSISGSIPRSLGNMKGMTKEHTPLVLNPLTGYGASGNDRIVDSLPIVTKGQDRGYTSGVIYIVSLDLSDNVLTGDIPEELSSLTGLVNLNLSWNHLTGTIPQNIGDIQKLESLDLSVNALSGAIPSSLSDLTSLGHLNLSYNNLSGSIPSGNQLQALANPAYIYIGNVGLCGPPLSKNCSSGDENNSGKSPLHGDKGLSKMEFMYLGLAVGFVVGLWLVFCSLLFVKTWRFSYFRTIDQVYDVLYVFIAIRLAKCGEKRTTTK >Et_10A_000458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10639883:10642043:-1 gene:Et_10A_000458 transcript:Et_10A_000458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVGSGEKQLEDCTVANALGTWFFSVAGALLAIPVGIKKKSLAPLVFFGTTGTMLDIIMGISQCEREHAERQMKLLEAQNLSANATSEAESSESFGSADK >Et_1B_009716.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:19828909:19830566:-1 gene:Et_1B_009716 transcript:Et_1B_009716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKRMPLDRSSSTSRSARMSEPEDGQVGADAAASVGAVRDALERHHVDEPAARELRQRQPPDDHRGRRLHPDVAHPARAAAVDPDAGLRELHGRREVRERPRVVAVPDVGRRRRPAQVTLVHEVQEVHRTQVVPRCRAVAVEPGLAAVDVEAGEDLGDEVVHADGTQRHERAEHGPGDLVLEQHDVLHDPEHAPVRPRAVLHLVVTGEDRHHGEEEAAVDDHHVGEVALGEAVHGPVVGVAQPGLRHDRPPGTAPRPTPVRSPSPCCGRTRAPRPP >Et_8A_057323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23617224:23621082:-1 gene:Et_8A_057323 transcript:Et_8A_057323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSTVWNMRQNKGKAELPPSITFRRKTKVDSSFSAGAPIATAISTKHKVRQNHTINSCNDKEMSIHRATCLCLSFTHSKLISTAPKGIRTAMDSLAEAMSNKIKKSSSSVAVAPGVKREQVHEGDLNCLELRLGISSDDGCSSPWGVDPWSLAARQEKASLEQAHQRPDGQCDLQRETRPEPPVGWPPVRAFRKNQLSTTTKPAAEEAKKQNGGSMLFVKVNMEGCAVGRKVDLRAHRGYASLSRALQAMFSQAFLHDADDEDDEHEVETNLKKKTYILLYEDNEGDRMLVGDVPWELFVASVKRLYITQDPRTRATS >Et_7A_052374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7342532:7348857:-1 gene:Et_7A_052374 transcript:Et_7A_052374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVTSRFVLYAVLSMLSFLIGPISCYVNPGAVSGQNYSTTSRYTVSPAGGYGWFSGGATWYGSPNGAGSDGGACGYGGTISKRPFSSMIAAAGPLFYKSGNGCGSCFQIKCTGNRACSGRPVTVTITDSCLGGVCLNKAGHFDMSGTAFGAMANRGMADRLRAAGILKIQYKSPSTFRFALVAILSILSLLAIPTSCYEDQPEAAFTDSPQNYTTRRQAWAVSRGSGWLSGGATWYGSPYGAGSDGGACGYQGAVSQRPFSSMIAAGGASLFKSGKGCGACYQIKCTSNGACSGRPVTVTITDSCPDGICNAGPAHFDMSGTAFGAMANRGLADRLRAAGILNIQYKRVPCKYNGARITFKVDAGSNPYYLAVLIAYQEGDGDLSAVHVMESGCKSWTPMQQSWGAVWKLNSNTGKPLRAPFAVRLTSGTGKVLVVRNAIPAGWSAGSTYRSAANY >Et_4B_039948.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:6496739:6497488:-1 gene:Et_4B_039948 transcript:Et_4B_039948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVLLDRRSSSGSFELPRMSGGRCRRGLGGTRAPATPRGAYHRSFPTCPPYMMAQPHKAGWSSAPALPYARSTGIYSSPSLPLLPSNQPPLLPLPPTATKYATFTCLPAQAPPPPPPSRAVMGAAAATATVPAAATAPAPRQRDRRRRPARPPPPTTELTKAEKKKPLERATPLPPAPVVTEALDDLEREVARNFVQDLLHALAPPPSSLPLPRFSLVRGDRAVAPAAPSCNAEAAAAADGIRGLLRL >Et_2A_016815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28512299:28514080:1 gene:Et_2A_016815 transcript:Et_2A_016815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLRSSSRLVAAALLCILLSSAADVGVLRGATALGINYGQVGNNLPSPPQVVQLLSSLRIGKVRIYDVNPQVMSAFANTGIELVVTVPDDLVPSMAASASQALAWVTASVRPYFPATRVTGIAVGNEVFTGDDAQLKASLVPAMRNLHAALAQLGMDAYVRVSTANSLAVLATSYPPSQGVFTQDAAGYMAQLLRFLAETNAPFWINAYPYFAYKDDPTRVSLDYALSNPAHVGAVDPYTRLQYTSMLYAQVDAVTFAAARLGYGNVAVHVSETGWPSKGDPNEAGATVENARAYNRNLLLRQVAGEGTPLRPKLRLEVYLFALFNENMKPGPASERNYGLYQPDGTMVYNVGLVQQATSAASLSLATSPASRTVSSSFLHAVLISI >Et_3A_023409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26036968:26037957:1 gene:Et_3A_023409 transcript:Et_3A_023409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGHLVLLAFVAALAVATPRGADAWGGRFFFSKTTRPDAAVETEKVAAADPNSATAFSRPSTGGNGGRGYGLYGRPEENFPPAYFRRGVHHNAEKLTTTSGSERAEPFVEDNGSGRGRPPWTTTTLQTTTTEETVPVGERAEPFAEDNGSGRGRPPWYYGPDADGRAPEEQRDNNYGMSDTRLFQNGRYYYDVGRGRYGYGRESNPVRTRQEEFGRAGADERRGRYGNAAGYQYGNGNAAGYQYDNGGNEFRNGVMENQSGFQEEGRDGRYIP >Et_8A_057072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20788263:20803795:-1 gene:Et_8A_057072 transcript:Et_8A_057072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRRGRHRRRSHRRRKPMRNWASLPTDSLRLIHCDLITDGSLIAALMAHPLLEELELSLCGNISDYLLFGNVLTNKGLEAILDSCPKLECLDIRHCFNIDMDTTLLSKCAGLKTLRLPDDPTDDYELEIQITVLIYKSEDDSSVWPSDGWALDHIDILMSHDLVCRSWRRAARDEPSLWRRLTMRGHEEIAAQLNRCGMACEAVRRSAGRCEAFCGGYAGDDGFLIYLSEQAPCLKSLRLISCKGVTGEGLVEAAKELPLLEELEVALCHNIGNSSVYEVVGQVCPQLKHFRLRKPRSYMRERSRRARRRKAEKRDWADGLPMDALLAILARLDHIDVLMAAELVSRSWRRAARDEPTLWRRIAMRGHEGIATKLNRCGMACEAVRRSARRCEAFFGEYAGDDGFLIYLSGQDVSYAKDIRAIASMRGLHSLQLFGYPLDNEDLEIILGNCLKLESLDIRHCFNIEMDETLLAKCNRLKILKLPDDPTDDCEFQFGSPLRTRWTNGCQEIEGIASMRGLCSLYLFGNPLTNAGLETILDNCLKLESLDIRRCFNVNMAETLHPKCSRIKTLRLPNDPTDDSDFQVGRHKTPVAVTPTWSPDRHSFCSLCFRNDNSDDDASADSDFDCAPSRFEEDLDKYDRVLPYSMRTFLK >Et_6A_048113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6857194:6859952:-1 gene:Et_6A_048113 transcript:Et_6A_048113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPTMSSDDPDRLSNLPAGLREHILGFLPAQEAARTCLLARSWRDLWKFTRRLVITDSVPRSSMAKLNRMVNRLLLLRRFQRRRMPLDACEIEVNDYDDMDVDRINGWITGFLKCQGLDTVSNILEAEDLVPQRSLFPRTCEVEMKGGFDPERKAAISEHLERVEVVGENYEVSTEGAYTIIVLRNWRLKDNNGSTKTTTKDGSLP >Et_1B_013036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:540556:543297:1 gene:Et_1B_013036 transcript:Et_1B_013036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLPFLHGEIKGEDPVTKSASIRSFSTTSTERDARSGSDFNSMNVSDMSAESIRRTQYPSFTDRPSNLRVFSFSELKNATRNFSRSLMVGEGGFGCVYRGIIKTSEEPTERIEIAGQKEWLTEMNVLGIVEHPNLVKLIGYCAEDDERGVQRLLVYEYMPNGSVDDHLSSRSNSTLSWPMRLKVALDSARGLKYLHEEMDFQVIFRDLKTSNILLDENWNAKLSDFGLARHGPSEGLTHVSTAVVGTLGYAAPEYMQTGRLTAKSDIWSYGVLLYELITGRRPIDKNRPKSEQKLLEWVKPYISDVKRFPIIIDPRLEGHYNLKSMTKLASVANRCLVRMPKSRPKMSDVYEMVQKIVDSIETGTPQPPLHYHGAVSEQGAKRTKKGSLKKRFQEFKFGCRHIVWRGWKPEIIKTF >Et_2A_015476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13531020:13539694:-1 gene:Et_2A_015476 transcript:Et_2A_015476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGPSPTTAAISRSPAPHPAPFFPAAQTLGPTRAPLMWRRLPARRLVSALASSSSSVPRAAADATRPLHRCLPPAASGFLPPPRVLPWGHQLPTLRFASSAAEAVSSEDLDEHNHALEEAGGSDPKQQQQPPQLPSETTGQAPRSRRRRSNRGRLASETAAEHGMTFHKYSSLRRRQIRIETEAWEQAAKEYRELLADMCEQKLAPNLPYVKSLFLGWFEPLRDQIIAEQELVAVPGSRAAHGPYFNMLPADMMAVITMHKLMGLLMTGNADGSVRVIQAACQIGEAIEHEVRIHKFLEKTKKKSNKEVDNEEETADSDIAKEQQRLRKKVTNLMKKQKIRYVRTIVKNQDSSRPWGQDNQAKVGSRLIELFIETAHIQPPASQSSEDGPPDIRPAFRHEMRTVPKEQQKHSRRYGVIKCDPLVRQGLDRTAKHMVIPYMPMLIPPISWSGYDKGAHLFLPSYVMRTHGARQQREAVKKAPAEQLQSVFEALNTLGSTKWRVNKKVLSIVDRIWSSGGRLGDLVDRNDVPLPEKPDTEDEAQLKKWRWHTRSVKKENCERHSQRCDVELKLAVARKMKDEEGFFYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGKSGLRWLKIHLANLYAGGVDKLSYDGRIAFTENHLEEIFDSADRPLEGKRWWLKAEDPFQCLAVCMNLAEALRSSSPETTISHIPVHQDGSCNGLQHYAALGRDKLGALAVNLVAGDKPADVYSEIANRVLEIMRRDAQKDPSTDPDAARARRILNQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERGVICEDAELFGSACYAAKVTLTALGEMFQAARSIMNWLGECAKVIATENEPVKWMTPLGLPVVQPYRKLGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKRQGLNFAGVHDSYWTHACDVDTMNKILREKFVELYDTPILENLLESFEKSFPTVKFPLLPERGDFDLKDVLESPYFFN >Et_1A_009163.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31442123:31443217:1 gene:Et_1A_009163 transcript:Et_1A_009163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHCITFSTIATGAVNGSHLLKISGHSCTKELLENGKYVTSVSSNIGGRNWFIRYYPNGCQKDDYDYIFLYLDLESADEKEVTAKFTFSLLDNDGVSVPSYNLISRIHTFTSKDSSWGYPRFIKKEDLEGSGHLKDDSFTIRCDITFMKPIRCEETKQFVSNLHQHLGELLKNMDGCDVTFELGDDRFPADRCMLAARSSVFKAELLGDMAENSKRLIRIEDIDAQVYKSLIHFLYTDELPEMAAEENNDAQSDVVMAQHLLVAADRYNVDRLKLISEEKLCSYLNPDIVATSLTLADQHNCHGLKEACFEFLSSPSNMKAMLACDSFEHLKTSCPSVLEELIARFFQDDELKSAKEIVLAFL >Et_1B_014260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4597490:4601401:1 gene:Et_1B_014260 transcript:Et_1B_014260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSESEFTSSPSDGVVVEAASSEPISEAASGVILDDPWEWGVLRSKCLQKKWVIAEQCSGAGLEEGGLATSPGSSATILTTHPGLQIQILLQKSTTAPNSIISSDQTVNGTAFLSTSAFRQHREAPRCTRRKDSNSISWSLSGYDSDKKAAISSSETTSLSPRSFFISPGRFLVVTWPASLFRDTNCNHTNTITTD >Et_4B_039842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3962110:3963036:1 gene:Et_4B_039842 transcript:Et_4B_039842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSEWEDRCKRHPEHRMSRGVCPFCLRDRLAHLSASSSSATTTTRASTSPSYSSGGGASPPPHHVALSADVSSVHVFGAGAGASSAGNSFVNVAAFSQPLMPTAALHKKPATRDDVAATKGKGEVKQQKKKSGKKKKIGRFLSRLVGAEKRRHAGDGGELFHSKTMKEKSASKWRSTL >Et_1B_010146.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26046175:26046513:1 gene:Et_1B_010146 transcript:Et_1B_010146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRKVTVDAREAEEIKRRNAELERAVAAAAAREERVRRELEAALARLAVAEEAEERLCVQLGELEAEAMTQAVQYQEHVRALSERLAFADGVLGSTSSGLRSVAAGVAVNR >Et_2A_015563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15031126:15035573:1 gene:Et_2A_015563 transcript:Et_2A_015563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTTSLLKVAAIATICAMLLLSSSPWCTTCKQVYYYKCMNWCTSSCRLDLIHKTITEDMASGATSLLKVAAVAAICAMLILSSSPSPAAAKILCSKCDKECKSSCRGSGSASWCPICSTEPCSRGCKSCKKAYYSKCMNYCPSSCRATCTQG >Et_6A_048023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3739487:3742432:-1 gene:Et_6A_048023 transcript:Et_6A_048023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYEYLRMYLNHNICCPRCLEPFQAKELPISPTQVYEKVRKREEAQAEIRMEESLLRNHNPLKRHSGAGDVASSKKQRTKDNAGIGFSFVMSGHGSNCPTVPGGPSCKTRLPLLSETFTPLHCGIILLEKAKSDLKRKPKVFQSKPSQVAAREKGSKKHMVKQNGGDNETLAANTHMEVDYALSYNVPDPDFFDFDNDRTEESFQSGQIWAIYDDDDGMPQYYAQRNSQFGPSNWVSAGFTKTCGDFRVLKHQNSGILNIFSHQIKWEKVQRGVIKIYPQKGDIWAVYRNWSPDNAVRAYDVIERHVDPNAAKKIPGGEMFRAALTNGSGDLGAHICTLPSQFGLLIT >Et_4B_036326.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:17763937:17764149:-1 gene:Et_4B_036326 transcript:Et_4B_036326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAATGAAGAAGRQPVLQGRARRGARRDVRVRGAGRGSEVPGVHGAQPQRHLLRAVPRPVALRQRRLDRL >Et_10A_001593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5701285:5708412:-1 gene:Et_10A_001593 transcript:Et_10A_001593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSRLNSPRGRPNVFENRQFTYKELKHMASNFKEEIGQGGFGAVFLGYLENGTPVAIKMRSKTSSQGDKEFLAEVCRSGEASAATPLTWHQRLNIALDSAQGLEYLHKSCQPPLIHRDVKTQNILLSSCLKAKIADFGLMKAFADDFRTHVTTQPAGTLGYLDPEYYNTSQLSEKSDLCYWNSSQASHQRSPSVIEVIHIAQWVRQKLSEGNIEAIADPRMGGEYDVNSIWKFVELALQCKEQPSQTRPTMTDVVVELKECLELEMSYAMSYQNSSEAQETIHSRQQAVLELEQVGPSSATHVGPAPR >Et_5B_043581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12053334:12065992:1 gene:Et_5B_043581 transcript:Et_5B_043581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALHTWSDLEDQDLPLVQVLRLQGCANLSTIPQILQQSKTLTRLEVDTRTMLEIKDILKGFADGIVFNVEEQRQLQQASEISMAVTASALGTHVIRSLDDLLKGRLRKASSELRDDAVELRRRLADELPYSVDADNRVLEEEHARPWLAELRQMLYKMDQIILDADAQAERPSILAPVMRRFSTDKSIKQEIKHLLGSMDSISKNRPPMLRRKNMKKNQQCRSCERICHDNLVGTNIELEIPTLVDKLTEDHDPSQHFILFVIFGLGGVGKTTIARKIFDDQRTIRSSATQLLLATTCAAVGDLKGSKTRDELQNLLAEKIRRRRIFLVFNLYNDVPSNEGIGNAEIYGNIIEVLSMFHHAAEGSRVLITTSDENVGNEIKSAQIQRATELYGVQVHRLRQLTVEDGWTLLLKAACLEQAKMTPDLKKIGIGIVQKCNGLPLAIKAVGGVLARKRCGADEWEGIRDSSAFSLKDLTTGTEGGVRSSIYLSYQDALPNLKQCFIYLSLFPPDIEIEKNKIIQLWISEDLIDSRDGQGSEETTGGQNNVWQEESNRQNNTEGITQEQDINLSRDASDGRNIVPLEVIMDDYSIISSEQDRERNARSLQEIVEDHSSGEPPKGTPSLSSDHSSNGWPNMQTYCSREETADRIFEELAGRGLLQQGSNSKGYKMHEQVRKIAYSLTENEAFAGDPKDVAKLSATLHRLSFVNKGLTTIPEDVRRLSNLRTLLLSGNPLGEKDLDIIFKNLELLRVLELSNTEISSIPKTLGNLVHLRHLNLSGTKIRALPESIGNLRRLRFLGLQKCEYLTLLPKNIQKLLKLEYLNLRDSEITAPPKLQNLRCLTFLHGFVVDNRSSSVRPLDELKNMSKLISLQIKIRNTPHRTVERGDVLKKKENLRNLELSCCTISETLSGEEPQRLKTVFTQLHPHKCLESLKIDGYYGSACPDWLSFSELPGLQQLDLVNCKFCKLPSFCQFKELKFLRISKLSMLQRIDMQPRAGTTSFPNLKELHIEDMPNLESWSEFQATDLPLLRKLSLRRCPKLKHIPSGLEHCKMLETMELRNADLLQAIENLAVQELFLESMPSLTTVSNLPLLKVLTVTGCPILGKVSGVNSLRHVHIEDKQVDQLPKWLEQHASRIETLDLVGNAELLGRCERNKKDWYIIREIARVHTYFPGRVSFFSYTRSNDLFFKYQRHKAYAHEDPSAPAVVVDQKGASIPASSEATNDSAGESAGAHSTDRKHVEGKFIWALIVGLIFTFCFTFNLDPSEALYLSILFYIT >Et_3B_028571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16950810:16956234:-1 gene:Et_3B_028571 transcript:Et_3B_028571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NLLLLWHRLAANGHQGSSFRCNHLSSLADNLELPSLPYYIVTKPGQLPVEFLEPSAGQKLVIGFDCEGVDLCRNGALCIMQLAFPDAVYLVDAIEGGKELIEACKPALESDHIIKVIHDCKRDSEALYFQFGIKLHNVMDTQIAYSLIEELEGKKKSFDEYISFVGLLADPRYCGIPYPEKEEVRILLRQDPNFWKIRPLTDMMIRAATDDVRFLLNIHEKMMEKLSKVSLWRLSVRSELYCRCFCINDNQYADWPPLPPVPDDIEPDVYVPEVDILSVLDVPPGKMGRVIGRKGSSIMAVKESCNVEIHIGGSKGPPDRVFIIGPVKEVRKAEAILRGRMLEF >Et_3B_029338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24106637:24111355:1 gene:Et_3B_029338 transcript:Et_3B_029338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWNLLAFCDMCWRLPSVRLPPSLVVKLLLGLNMGYLDEQFCQVEDLQDEASPNFAEEVVTLFFKDSARLISNIEQALEKYPKDFNRWDTYMQQLKGSCSSIGASRMKSECMSFREYCGQGSVDGCMKSFQKVKREHTALRQKLEAYFQLLRQAGPAGAATRPAK >Et_3B_030817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7736476:7739367:1 gene:Et_3B_030817 transcript:Et_3B_030817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRRGGAADEVKLNTGNVFAALESLKKKKKGDKGKSGASSSRKKHGDSSQQQEPPQKEVFWAPAPLTTKSWADVEDDDDDDYFATTAPPRPVWGDGHADEPGKDEQDVEDAVRAALQEDVESEEEDLDDEVDDGAEDEQEHEAEDVPAEPAVKTAAAPPAPPKDTERQLSKKELKKKELAELDAVLAELGLSGNSSNAAEDADKKSGNETVDGEKKDDAPAPSESKSSKKKKNKKDKSLKEAKETQEAADGTEENASAEPEEDTSSIDVKERLKKMASMKKKKSSKETDTAAKIAAAEAAARSARLAAAKKKEKSHYNQQPVRSENVRDGVQDRWNMEE >Et_7A_050988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13232046:13233894:-1 gene:Et_7A_050988 transcript:Et_7A_050988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELELQAGSAVATGRRKVVTNGTPRGWGIEYARKSYFPFWRSVLKQKSPVKVIPAVHVSTHEEPENSRHKLAGQGSPLVHDLNLSLAGRFKQFSNQHKRTFCSVAFPLLKVAQKVGIHDLGS >Et_6B_049460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5011521:5015854:1 gene:Et_6B_049460 transcript:Et_6B_049460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSICVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKK >Et_1A_006957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29493117:29496377:1 gene:Et_1A_006957 transcript:Et_1A_006957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRKQASKFREQVARQQQAVLKQFGGGYGADGVFADEAEAQQHSKLEKLYISTRAAKHFQRDIVRGVEGYIVTGSKQVEIGNKLCEDGKKYGTESTCTSGSTLSKAALSFAKARSLMEKERGNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQMKLRETSGNGDMISRLEAAESKLQELKSNMGVLGKEALAAMTAVEAQQQRLTLQRLIALVESERNYHQRVLQILDQLEREMVSERQRIEGAPPPVVESSMPPPPAYEEVNGIFMRNPTVAELVETVEYFLAEAIQSYRAENETELNLSAGDYIVVRKVSKNGWAEGECRGKAGWFPSDYIEKRERVLASKVAQVF >Et_9A_063541.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:6750233:6750658:1 gene:Et_9A_063541 transcript:Et_9A_063541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTNIFINGGILLSYVWLQLRAGRPSTAPRPCSSPCHRRCAQIGRAGVRRELPYRTSSPVARRILVNVLALFTFQQASGIDALIASCYTYSSPLVFKKAGISSDDRAALAATVAMGRRQLKTLAILVAAFLSDRVGRRPC >Et_2B_021803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5575804:5595561:-1 gene:Et_2B_021803 transcript:Et_2B_021803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCALRRREGGQHHRPRPAGAQPLHRLPEAVRVRRAEEADQGLRLVPRHGVADQGYMFPAHRREERLGVERRQRAPVRLPPPVEVARVGPPVADAVVALLHVAQRAVVTPGVARRPHPLPLRVVAAPPIPRPATKTKHRHKKTCASWEAHKMSMAIVPTPERFSAALFVLCSPCSSSTSSSTPLHLRSSHTPICLRNSKRTKQPRTLLIGVLKLNAFYKLTCALIPDRLPWSAWLQCDYSDGRWVWDHTVTGPRYDSEHCDMKATVKCVINTKPDNGYLHWRWQPARCNLSALDPAEFLRLVRGKRLAFVGDSTARNQAESLVCFLSTAARPETVHRYEESLGRKFWRWAFPAPHGVNVSTYWSPFLVRAEGSSEDYAMKGDTVFLDALTEPWTADLDAMDVVVISAGHWFPHAAVYYEGGEVVGVNRRPDVNKTDIGYLGVYRKVMRRTLELITAKSTGGGDKLVVVTTIAPSHFDPKYSWNHRDACSRPKPFEEGEVEVAAADAELRKVVLEEVTAAEGEAARTRRSWVRFEVLDVTKMATMRPDGHPGPYLFAYSYYNRPVPETVSNDCLHWCAPGPTWEPHKSIMAVFPNPESFSVVPCVLSSPCRSSSSSSTSLHLRSSPTPICLRCFKCIKQPRSLLAHLSKLTCTCTLILPWAARWQCDYGNGRWVWDGTVTGPRYDSEHCDMKATEKCVLNNKPDNGYLHWRWQPARCNLSALDPAVFLRLVRGKRLAFVGDSTARNQAESLVCFLSTVARPETVHRYDESMGRKFWRWAFPAPHGVNVSTYWSPFLVRAEGSSEDYAMKGDTVFLDALTEPWTADLDAMDVVVISAGHWFPHAAVYYEGGEVVGVNRRPDVNKTDIGYLDVYRKVMRRTLELISAKSTGGGDKLVVVATIAPSHFNPKYSWNHRDACSRPKPYEEGEAEVAAADAEHRKVVLEEVTAAEGEAARTRRSWVRFEVLDVTKMATMRPDGHPGPYLFAYSYYNRPVPDIVSNDCLHWCAPGPTWEPHKSTMAVFPNPKRFSVVLCVRSSPCPSFSSSSLRLRPYPAPICLRSFKCIKQPRSLLAHRSKLTCTCNPDLLPWAARWQCDYGDGRWVWDDTVTGLRYDSEHCDMKATEKCVLNTKPHKGYLHWRWQPATCNLLALDPAEFLRLVRGKRLAFVGDSTARNQAESLVCFLSAVARPETVHRYEESLGRKIRRWAFPAPHGVNVSTYWSPFLVHAEGNSEDYALKGDTVFLDALTEPWTADLDAMDVVVVSAGYWFPHAAVYYEGGEVVGVNRRPDVNKTDIGYLGVYRKVMRRTLELISAKSTGGDVKLVVEEGEAEVAAADAELRKVVLEEVTAAEGEAARTRRSWVRFEVLDVTKMATMRADGHPGPYLFAYSYYNRPVLETVSNDCLRCAPGPIDTSGRLQIRRRRYSANGRLVPGEELADEPIVVSEGNVAAEQSTDADISLPSADTSASSTSEETGVQREVGTQGEENLEKCDMSMGKWVSEPRGPVYTNLTCPTLPDFKNCQKYGKDPGHLFWRWQPDGCDLPRFAPARFLDVVRGKRLAFIGDSLARNQMESLLCLLSQAETPTDVYRDAFDKFRTWHFPAHNFTLMAMWTEFYAHADPVMDAEGKPTASFDIHLDRLNANWTSRLPGLDYAVLSGGNWFFRVNYLWEGGRRVGCVNCREANLTDFGIAHAVRRVVRLALDAVSHCRDCNRGLVAFVRTYTPDHFEHGSWFSGGYCNRTRPLEEGEVSAAHIGWELRRVQREEVARARETSEVRFGVLDVTKAMMPRADGHPGGHYDKRWVRNASDCLHWCLPGPVDMWNDVLQKRIAEISPRPPVVR >Et_4A_033947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28224906:28229621:-1 gene:Et_4A_033947 transcript:Et_4A_033947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNAALEASAAAARPAVSATAATAAAVDHYARLLQICQNAANPSSGRAIHAHAVKAGLLLSAYLCNNLLSYYAGAGTGTGARGASFQEAHRLFEEIPAARRNAFTWNTLLSMYAKSGRLGDARAVFAEMPERDAVSWTVMIVGFNRAGRFRDAVSAFLDMVAEGLAPTEFTLTNVLSSCAAAEAGRVVRKVHSFVVKHGLGGFVSVANSVLNMYGKCGDAETARVVFERMRVRSVSSWNAIVSVYARQGRMDLAASMFENMPERSIVSWNAIVSGYNQNGLDDMALKFFSQMLNDSSIVPDKFTVTSVLSACANLRMLTMGKQVHSYIMRTGKPYNGQVINALISMYAKCGNVENARRIMNQAVVTDLNVISFTALLEGYVKIGDMKQAREIFDVMSNRDVVAWTATIVGYEQNGQNDEAMDLFRSMIKGGPEPNSYTLAAVLSVCASLACLDYGKQIHCKAIRSLQEQSVSVSNAIIAMYARSGSLPWARRVFHRISWRKETVTWTSMIMALAQHGLGEEAVGLFEEMLHVGVKPDRITYVAVFSACTHAGFVEKGKGYYEQMQKEHGILPEMSHYACMVDLLARAGLLTEAQEFIQRMPAEPDAIVWGSLLSACRVHKNADLAELAAEKLLSIDPDNSGAYSALANVYSACGRWNDAARIWKLRKDKAVKKETGFSWTHIHNKVHIFGADDVLHPQRDAIYKKAAEMWEEIKKAGFIPDLNSVLHDVDDELKEEMLSRHSEKLTIAFGLISTPEKTTLRIIKNLRVCNDCHTAIKFISKVVDREIIVRDATRFHHFRDGLCSCKDYCFLRTSWEEHKSESNHKKAIASKSHYQRQASVTQLDSTHVHDGSGGFKLSIKLNNGE >Et_10A_002264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6197626:6204408:1 gene:Et_10A_002264 transcript:Et_10A_002264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALPRALSLLAPYPPPLHLRVHSWCLAVGTGVRRRRAVAVGAKKRRGRGEHGEAEERADTHSFAPKDGEATGTFPEAVLLRKVRPFSASCSYVLKTVREDGQVSPEFANADEEKLYEFLNIQLESDLNLKRMRHYEVVYLIHEDRVEEVEEVVSKMQDFVRQKKGRIWRLNNWGLRRLAYKIKKATHANYILMNFEIESRYINDFKTLLDKDERIIRHLVMKRDEAITEDCPPPPEFHALRAQQYMDDEYEEYDEDVEEEQEEVEEDELDARNELESGDFNDDDVEGGDEPEIIFVDEANQGKYEDTRRRNRKLKAKKYAVEKNNLQKEVTTPTLFGAEFVVLERGTDTGEEQGTDPVDKRCTTSLPPLRPLITRQLEP >Et_9B_064349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14244440:14248095:1 gene:Et_9B_064349 transcript:Et_9B_064349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSLLVAALLCFGGGRVAASTDFHFPAVFNFGDSNSDTGGRVAAGFESILPPYGSTFFGTPSGRFCDGRLIIDFLMEAMDMPLLNAYLDSVGEPSFRTGVNFAQAGCSITPANPTSVSPFSFGLQIKQFFAFKDKVTKLVSKGDTYRKYIPEADYFSQGLYMFDIGQNDLAGQLYSKTEDQVAALIPTILLEFETGLKKLYDQGARKFWIHNTGPLGCLPQNIAFFGKDPSQLDSLHCVAKHNRVAKLFNLQLHALCTKLRGEFAGASITYVDIHTIKYSLIANYSRYGFENPTQACCGYGGPPLNYDSRVPCGQTVSLNGNPVTAKGCSDSTEYVNWDGIHYTEAANFHIASQILTGKYSDPPFVDKMPFVLKPKF >Et_4A_034718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5790041:5791041:1 gene:Et_4A_034718 transcript:Et_4A_034718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLDVTAPNLRVLSLEYCFDENVVEKVARVSAKRLEKIGVRDVRGVQLQIDDLKSVRCLDPVRLYMHGQHLGCDRDSGFRLLNNCTGVEHVKVLLEHWEPSNTIVGELVDLLTYGGKPFANAKSMEMEVRAHEFPKGHLVASMSSLLLSFPHLRSLCIKIIQTDRDPRDCLCSRLDTWKNITSDSLEEGAKEDIDLVSLLFASSNSIKRMTISAIKKTVAAFFLARRMAAEENDNGTETVGHKLMNIPSTNQGHWHFEEDVYTWTIENATPVSGGDSVNSI >Et_10A_002245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5393858:5395440:-1 gene:Et_10A_002245 transcript:Et_10A_002245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAAMSVAAALVVTFVVFVLSSISQRKKLKLPPGPRGWPVFGSLGLLAGKLPPHRTLAALAARHGPLMHLRLGSFHVVVASSAETARLVLKTHDASLADRPPTGILHTPYGAYWRMARKLCATELFSARRLDQFEHVREQETRHLVRGVFERAGRGAVEVKAHLAHATMRNILRMAVGEKWSGEEGVAFRASLDEAFEVNGAVNNVGEWVPWLGRLDLQGLIRRMRRVHEQFDRFYEQILDEHEEERRAGADEVAGSKDLVDVLLQLAAAADYEREEGADKSSHAARLTRDGIKGMIQDIIAGGTETTAATLEWALAELLRRPDAMAAAVEELDRVVGRRDRGPRWVTERDLPELSYVGAVAKEAMRLHPAGPLLAPHYAREDTVVGGYDVPAGTRVVVNVWAVARDPASWPDAPDAFRPERFLPGGAAHGVDVRGAHFELLPFGAGRRMCPAYNLGMKEVVGTLANLLLGFAWRLPDGVAPEDLSMEEAVGLSARRVVPLVAVAEPRLPTHLYTAA >Et_7A_052618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9911914:9916509:1 gene:Et_7A_052618 transcript:Et_7A_052618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVAAANGGAAGAVPAVVSPGAVGVAQPLPTTSLYVGDLEGSVTDSQLYELFSQAGQVVSVRVCRDVNSRRSLGYAYVNFSNPLDAARAMEVLNFALLNSKPIRVMYSNRDPSSRRSGSANIFIKNLDKTIDNKTLHDTFSTFGAILSCKVATDEMGQSKGFGFVQYEKEEAAQTAIKSLNGMLINDKPVFVGPFLRKQERDNSVDKTKFSNVFVKNLSESTTKEDLVKIFGEYGTITSAVVMIGTDGKSRCFGFINFENADDAARAVQELNGKKVNDKELYVGRAQKKSERETELKRRFEQSMKDAADKYQGLNLYLKNLDDSIGDDQLGELFSNFGKITSCKVMRDQNGLSKGSGFVAFTTRDEAAQALTEMNGKMISGKPLYVAFAQRKEARKAMLQAQFSQMRPAVPMTPTLAPRLPMYPPMAPQQLFYGQAPPTMMPPQPGFGFQHVPGMRPGGPPMPNYFVPVVQQGQQGPRPGIRRSGAGAQGQQPAQSFQQQMLPRGRMYRYPPGGRNMPEVPTMPGVAGGMIQPYDMSGFPVRDAVLSPAAQIGTLTSALANATPEQQRTILGENLYPLVEQLEPNQAAKVTGMLLEMDQTEVLHLLESPEALKSKVAEAMDVLRNVAQQQNPNTPTSQLAALSLAEGIIS >Et_3B_031024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10463193:10465795:-1 gene:Et_3B_031024 transcript:Et_3B_031024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCCCVADDDEVGRRKKIDDPYVPIPTQGGNYGPRRPQAQPYAMPTVRAQPIAVPAIPLEDLKEITRNFSSDALIGEGSYARVYFGVLKDGKKSAVKRLDSSKQPDNEFLAQVSAVSRMKHENVLQLLGYCAEGNIRVLAYEYAPRGSLHDILHGKKGVKGAQPGPTLSWMQRVRIAVSAARGLEFLHERAEPRVVHRDIKSSNVLLFDNDVAKIGDFDVSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSTKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWSTPRLSEDKVRQCVDPRLEGEYPPKAVAKMAAVAALCVQYEGEFRPNMSIVVKALSPLLHSRSRASTSAAAPAAEGSGL >Et_2A_016412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24419268:24422944:1 gene:Et_2A_016412 transcript:Et_2A_016412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSISGLNALYDAATGGGDVWINERRFRVLRQIGEGGFAFVYLVRELQPPSDAALGRRASHVSEDGTYAMKKVLIQSKEQLELVKEEIRVSSLFNHPNLVPLLDHAVIAVKNQQGDWSHEAYLLFPVYLDGTLFDNANAMLPRKEYYPTADVLQIFRQEWAAEHCSAPYRAPELWDCPSHADIDERTDIWSLGCTLYAIMYNVSPFEYALGESGGSLQLAIVNGQLKWPAGPNPPYPEELRQFVIWMLQPQPAMRPHIDDILLHVDKLITKYFKQENKTNHERKWRADTYQI >Et_2B_019557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10101828:10102586:1 gene:Et_2B_019557 transcript:Et_2B_019557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQYVLDTTVLPNEPECMRELRLLTEKHDRAEMATPPDEAPLLRMLIKLTGARNSIEVGVYTGYSLLATALALPDDGRVVAIDTNPEYYELGRPFLEKAGVAGKVDFRAGRALDRLDELLADEEGNLGRFDFAFVDADKPSYAAYHERLLRLVRVGGVVVYDNTLWDGTVALPPDAPLSDSDQRISAAIRDLNARLAADDRVEVCQLTVADGVTICRRLV >Et_9B_065467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5425590:5430732:1 gene:Et_9B_065467 transcript:Et_9B_065467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDGPPASHRRPPRPRGGDAAAQGFVAALRRRAAAVARANFAADSGDESGPGEPSSSSSTSTRRRDTGGDAPPVSSGGEAGAGRGGEFAAFTFRAAAPVHRKAKESPLSSDAIFKQSHAGLFNLCIVVLVAVNGRLIIENLMKYGLLIRAGFWFNDKSLRDWPLLILSLPAFPLGALAVEKLAFNKIITDSVATCLHVILTISEIVYPVLVILKCDSAVLSGFLLMFIACIVCLKLVSFAHTNHDIRKLVVSGKKVDDELSAADKDNLQPPTLGSLIYFMMAPTLCYQPSYPRTQHIRKGWLVRQIILYLIFTGVQGFIIEQYINPIVVNSQHPLKGGLLDAVETVLKLSLPNVYLWLCMFYCFFHLWLNILAEILRFGDREFYKDWWNAKTIDEPVHKWIVRHVYFPCMRNGISKEVAVFISFFISAVLHELCVAVPCRILKFWAFLGIMLQIPLIILTSYLKNKFRDTMVGNMIFWFFFCIYGQPMCVLLYYHDVMNRIEKSR >Et_8B_060723.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5592442:5593935:-1 gene:Et_8B_060723 transcript:Et_8B_060723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYTMHATINYPAPPPTSPLQLPLPYLPPPPPSQALFLPPLFPPPAAAAAASSDAGFQSRISPSVLLIILILAVIFFVSGLLHLLVRFLLRPPPRSGPGGNNTPHGGGGDAGATAFQGQLQQLFHLHDAGVDQAFIDTLPVFLYGSVVGAGRKDPFDCAVCLCEFDGDDRLRLLPKCSHAFHVDCIDTWLLSHSTCPLCRRSLLADFPTSSSPYGAGGCISPLVFVLESGSEGSVSDRLDASARLSFVMEQEEAGSNRKAAEVAAAEKKDEVVVPVKLGKFRSQAATGGGDPGQDVRRCFSMGTYEYVMDDSSLLRVAVKPPAKKRPSARVPGHRVAMSECGDCHSKRLGSFRGFDAAKPQQQPSFKFVADKRESFSISKIWMRGGPTRMRKDGAAPSCSTSRRASSFRLASALHRTASDVGAASAAVVPKLRADAVSPVTESEYNVSAWDKSASASVVDWDLESACGGNGHVLGSRADEAPSFARRTLLWIRGHL >Et_1B_013310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7204620:7206127:-1 gene:Et_1B_013310 transcript:Et_1B_013310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDRKQGLKKGPWTPEEDKLLVDYIEANGHGSWRLLPKLAGLNRCGKSCRLRWTNYLRPDIKRGPFTPEEEKAIIQLHAIVGNKARIYWNLWCIYATLIICRKPYQQVVLIGFADVCLFCGTLRWSMIAAQLPGRTDNEIKNYWNTHLKKQLRRMGLAEPPPGPAAGSAAARHMAQWETARLEAEARLSLLAAASSSGAGTTTTTTSASSSSTVRAGSDKPADIYLRLWSSDIGDSFRKVAKPGSSALPPASVKKKDTAAVIKQERHGDDSSAASSEMAVALALEEYQMFLDLAGEELGLFHGNARYGDFSLFQPLDVLTEAPLATAFK >Et_8B_059885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3716096:3720416:-1 gene:Et_8B_059885 transcript:Et_8B_059885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVAAALFRRSRDQASAFVAPRLPISPPAPAVPRVGSGSCGGGGHLLPPRLGSAGPVSLPSRFASFNAFRSLARKTLLGQCARKMSTTAAALNSTVANGTANSGLKLLVTKGPQAQKAVGIWLFGCAAWVFCLVILGGITRLTRSGLSMTDWKFTGEIPPMTDEAWLLEFEKYKQSPEYKRVNKGMSLEDFKFIYWMEYGHRMWGRALGFLFAVPFAYFVAKGYVTRQLGLRLSALFALGGGQGLIGWWMVKSGLEEPKSEYVQPRVSPYRLATHLTSAFVIYCGILWTALSVVMPDPPAGSMNWVYGAAKFRKLAIPVSAIVGITAISGAFVAGNDAGHAYNSFPKMGDTWIPEDVFSMEPFIRNFFENTSTVQLNHRILATTTLLSVGALWLAARKIDMHPAVKSLIGSTLGMAALQVTLGISTLLMYVPTSLGSAHQAGALTLLSLMILLTHTLRRPSPALLKSLATAVKSS >Et_3A_025749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32480447:32483530:-1 gene:Et_3A_025749 transcript:Et_3A_025749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGGWLHRSGDTLDADAASSSAASASSCGSASASRASHLRRGIRLRLRRRRQEPTLSAARAGGCNGGAGAGVQDDLALPLGMSFAAVLAQVVNTKNRSGERLQPALLSKICTSAVKESLRNIYGDKLESFIRNFEKSFSSTLTTLHLVNEMPVYKQSPVSQSSSKHPEHVAASKSSTDGPPNPTQEISQNLRSSVESQLVLYAGGNQQLTRHSQSSSGADQHILNTFERSLKEQARSNELKEFEIGLNMRKLQLKQSQLELSSYSHMLKKVKLSFGFEKAAFQGEKFKTQMLDTRHAQILRTLIDFLVSAVIIMSACFGYGTYIYWYQRITDVTAACSVASKGSKSWWMPNTVSNFNSGLLFIRCHLIAATRMCFGIIMILTIVWLAFQRSAVTGTSMPITFNFILLGVICGFAGRLCTNTLGGNGNIWLIYWEVLCSIHLLGNCYPSVLYRILHGPITISHSKDAVWFPYWIRRCIFYTVLGFIIPALTGLLPFASVSDWNNHFTEELKTILAGEKIEA >Et_2A_014537.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20501041:20501152:-1 gene:Et_2A_014537 transcript:Et_2A_014537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FLVFAFLVLAVWLQLMAERDHRVRVIGCDGFALWANP >Et_7A_052524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8893585:8895558:1 gene:Et_7A_052524 transcript:Et_7A_052524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GFGSYLFGLSGKIAQQATEANDPLKSEYQESSSRMDDRVHVPRQFCWAFRSGSFEKGYDCGLQTYLPKRHRNRLPHQWIPYTTRKQVRTLGKYFSLRFIWAFFQWFYTAGDDCGFSSFPTFGLEAFKNRFYFDFSPTYVGVGMICPYIVNVSLLIGGVLSWGIMWPLISQKKGSWYPDSLPDSSLHGLQAYMVFITIAVILGDGLYNFLKELSFDDKRRVELFLKDQIPKTVAFGGYVAVAAITIGCLPLIIPQLKWYYILAAYVLPPVLAFCNAYGCGLTDWSLASTYGKLAIFVFGAWAGSSHGGVLVGLAACGVMMSIVGTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFDKSFDIGVSGGAYPAPYAIMYRNMAIQGVDGFSQLPKNCLTLCYIAFAAAFVINLIKHQVPDKVKKFIPVSMAVAIPFYFGPYFAIDMFLGSVILFYWDSGNGPTRPKQQHLRQQKLQD >Et_10A_001070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20850637:20853088:-1 gene:Et_10A_001070 transcript:Et_10A_001070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGCLGATIPAPPSSRRLRLAAACRRPEPSANQPDLGSAASKRRSDQRRQTPSLRADPTKCCFNRCWGLAAAVSANHAVSGGSLWRRRGREERRCGVAAARPNGALRPPSLTCCKALLYAVDHVPASDTSGACCLCRYMRDKERPKLAATYILCKGKDRRIVKKWSSHAVTKCSTVCRKGRDSPLGVGISADKDHSLEHNSVKAEGIFYIIWAFVMVVLMGLIFWYLWRSKMRSNTGGQGGPQAESKGSPGLRSTTKTKRKFRKF >Et_6B_049049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17030018:17031933:1 gene:Et_6B_049049 transcript:Et_6B_049049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKPISVEVWNPSGKYRVVSTKSMPGTRWIHLLTDNDCRVEICTDPKTILSVDDILALIGNRCDGVIGQLTEDWGEVLFSALKRAGGTAYSNMAVGYNNVDVEAANRNGIAVGNTPGVLTETTAELAASLTLAAARRIVEADQFMRAGLYEGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMIEGFKMNLIYYDLYQATRLEKFFLKANGEQPVTWKRAATMEDVLREADVISLHPLLDKTTYHLINPERLAIMKKEAVLVNASRGPVIDEAALVEHLKKNPMFRVGLDVFEDEPYMKPGLAECKNAVVVPHIASASKWTREGMATLTALNVLGKIKGYPVWGNPNQVEPFLDENATPPPACPSIVNAKQIGLPSAKL >Et_5A_040940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15348886:15361855:1 gene:Et_5A_040940 transcript:Et_5A_040940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGLTAAASKALPLLPNLQRSSAAATFTSSVSSRHSNRRKSRIRSIRDGGDGGLSDSKKHNQSVRQGLAGIIDLPNETALDVDISYGSEDPRGPTATKSSPMNGIINDTLNGRQASLSKVTEFCDALGGKTPIHSILVANNGMAATKFMRSVRTWANDVFGSEKVIQLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERLKVSAVWPGWGHASENPELPDALTAKGIVFLGPPASSMNALGDKVGSALIAQAAGVPTLAWSGSHVEVPLECCLDAIPEEMYRKACVTTTEEAVASCQMIGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMRLASQSRHLEVQLLCDEYGNVAALHSRDCSVQRRHQKIIEEGPVTVAPRETVKALEQAARRLAKAVGYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWRIPGHVFAYGLSRSAAITNMALALKEIQIRGEIHSNVDYTVDLLNASDFRENKIHTGWLDTRIAMRVQSERPPWYISVVGGALYKTVTTNAATVSDYVSYLTKGQIPPKHISLVNSTVNLNIEGSKYTVETERTGHGSYRLKMKDSKVEANVKSLCDGGLLMQLDGNSHVIYAEEEAGGTRLLIDGKTCLLQNDHDPSKLLAETPCKLLRYLVADGAHVDADVPYAEVEVMKMCMPLLSPASGVIHVMMSEGQALQAGDLIARLDLDDPSAVKRAEPFGGSFPKMDPPVAASSHVHKKFAASLNAARMVLAGYEHEINQVVQDLICCLDNPELPFLQWDELMSVLATRLPRKLKSELEDKYEEYKLDFYNGKDKEFPSRLLRDTIEANLLSCSEKEKATNERLVEPLMSLLKSYEGGRESHARLVVKSLFEEYLSVEELFSNGIQSDVIEGLRHQYSKDLQKVVDIVLSHQGVRNKGKLVTALMEKLVYPNPAAYRDLLIRFSSLNHKRYYKLALKASELLEQTKLSELRASIARSLSELGMHKGEPTIMDSMEDLVTAPLPVEDALISLFDYSDPTVQQKVIETYISRLYQPHLVMDSIKLKWKESSAIASWEFSEELVDSRNRQGAKRWGAMVILKSLESVPAAVGAALKDMAHYPSAEGNMMHIALLHSDKESISRNSDESQHRMDELSRILKDGSVTMDLHAAGLKAISCIVQRDEANMPIRHTFVWSDEKRGYSEEKLLRHVEPPLSALLELEKLNVKGYNDTKYTPSRDRQWHIYTLRNTENPKMLHRVFFRTIVRQPNAGNKFTSAQIEESELGGSEESLSYTSNSILRSLMTAIEELELHAIRTGYSHMYLCVLKEQKLLDLIPVSGSTIVDVGQDEATACSLLKEMALKIHELVGSRMHHLSVCQWEVKLKLACDGPANGTWRIVTTNVTSHTCTVDIYREVEDTDSQKLVYHSATSLNGPLHGVPLNNPYQPLSVIDLKRCSARNNRTTYCYDFPLAFETALQKSWHSSASSVTKGSGNSQSYVKATELVFAEKNGSWGTPVIPMERPAGLNDIGMVAWILDMSTPEFPNGRQIIVVANDITFRAGSFGPREDAFFEAVTNLACEKKLPLIYLAANSGARIGIADEVKSCFRVGWSDEGSPERGFQYIYLTEEDYSRIGSSVIAHKLQLDNGEIRWIIDSVVGKEDGLGVENIHGSAAIASAYSRAYEETFTLTFVTGRTVGIGAYLARLGIRCIQRLDQPIILTGYSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSNILRWLSYVPANIGGPLPITKSLDPPDRPVAYIPENTCDPRAAIRGVDDGQGQWLGGMFDKDSFVETFEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQLVPADPGQLDSHERSVPRAGQVWFPDSATKTAQALLDFNREGLPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYNQPAFVYIPMAGELRGGAWVVVDSKINPDRIECYAERTAKGNVLEPQGLIEIKFRSEELQDCMGRLDPELINLKAKLQGAKLENGGVHDIESLQKSIEARTKQLMPLYTQIAIRFAELHDTSLRMAAKGVIKKVVDWDESRSFFYKRLRRRVSEDVLAKEIRGVAGDHFTHQSAVELIKKWYLASQAETGSTEWDDDDAFVAWKENPENYKAYLQELKAQKVSQSLSDLAGSSSDVQAFSEGLATLLDKLIHFLFKNSVASRTPFTYWSFVSYL >Et_3A_025217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2809159:2812725:1 gene:Et_3A_025217 transcript:Et_3A_025217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Et_7A_052042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:454787:467727:1 gene:Et_7A_052042 transcript:Et_7A_052042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRADRKKAKSDLRPDRKQFKKHGKEVAAEQGGDGEEQPQPGSAALLAAVADDGDFPRGGRSLLSKDEVAEVRAEAEADFDKEGRKGKRKRKGGDSSGFHADDDLGTLFGGATTGKLPRFANRITLKNISPNMKLWGVVVEVNQKDVVVSLPGGMRGFVRSEDVCDIALNANRKDSENSICAEVVHVGQLVPCIVLRVDDDKKEGKVNRRIWLSLRLSLLHKGLSLDVLQEGMVLAAQVKSIEDHGYILHFGVSSFSGFMQKSDKENAKVESKQLLQCVVKAIDKTRAIVHLSSDEDLVSKSIIKDLKGLSIDHLIPGMMISARVHSVLENGVMLSFLTYFSGTVDIFNLSNFFPSGSWKDDYSKNKKVNARILYVDPSTRAVGLTLNKHLLRLKMPPINVKAGDIYDNSKVLRIDKRLIHDVSDKDVKNLERKFKEGSTLRIRVLGVRHLEGVAIGTIKDSAFEGSVFTHADVKPGMLVRPKVVTVEPFGAIVQFSSGVKALCPLPHMSELEHVVKPPKKFKIGTELLFRVLGCKSKRITVTFKKSLVKSKLDVLASYADAKIGLVTHGWITKIEKHGCFVKFYNGVQGFVRRSELGLEAGTEAESVYHVGQVIVGVDPSSRKINVSFLISPNRFIQADSPKLGSIVSGVVERLTPAAVVVSVNGFSKGTIVTEHLSDHHGQAVQLKNLLKPGHEFNQLLVIDIEGPNLILSAKHSLINKADDIPSDILQMHAGSLVHGYIFNIIEAGCFVRFLGHLTGFSPKDKAVDRPIGKLSDAFYVGQSVQSHILNVNAESARVKLSLQRSMCSSSDSSFIQGYFLLDQKISALKYSSNDWANTFGIGSLVKGEVGAMEEYGIILNFKDHPDVVGLIEHHHLSDSAIEVGSSVKGLVLDLSDGVVNLSLKPELVSSIKSRATKKKRNRAAVADLELHEEVNAIVETVKESYMILSIPEYNYAIGFAPLMDYNSQLLPHQQYDNGQRISVVVGSVPSSDPSGRLILLPKISAKESGLSSSKKAKRKSDYKVGSLVEAEIIDIKPLELLLKFGVNNHGKVHITEVLEEDSDEHPFRRFKIGQMLSARIVAEAEPSGKSGKNFKWELSVRPSMLKREFEELSARKEELNHSINDIVRTYVVKMDKEWVWLTVSRTVMAHLFILDSSSEPSELKEFQQRYSVGQTMKGRVIGVNREKRLLRLKALDNQGLLQNIHKTQQSVSPAAEHTNQGDVIGGRIKKILPNVGGLIVQIGPHLHGRVHYTEIVDSWVPKPLLGFHEGQFVKCKVLAVSRSSEGSLRVDLSLRQSNLLTDSNCSRLVDDTETDALRIENGYVKNVNPKGCFIMLSRLVEARIILSNLSDEYVENPQKDFPIGKLVHGRVLSTDPSSGKVDVSLKKRAGSKPENLDAVSYGDLHVGDIVDGQVKRVESYGLFVTIQNSELVGLCHISELSDDPVLDINSCYKAGDVVKAKILKIDETRHRISLGMKKSYFDSDMTDGTTDDDECKIIPMDVGHTPHNSEVLPKSEPRPSVLPLQVPLDDSDGSDQEDDKQGHENVHVTEANSKKSDKRLKEKARKQREMEISAFEERALQQDIPRTPDEFDKLIRSSPNSSFLWIKYMAYLLDLADVEKARAVAERALKTISVREEEEKLNVWVAYLNLENEYGSPREDAVKKVFQRALQYCDRKKVHLALLAMYERTEQYELADDLLDRMTKRFKTSCKIWLCRIQLALKQGKDVEYIKSIGNRALLCLPQRKRIKFLSQTAILEFKCGVPEEGRSRFELILREYPKRTDLWSVYLDQEIRLGDPEIVRALFERATCLTLPPKKMQFLFTKYLKYEQSQGDMERESYVKQKAIEYVQTSLPSQSSP >Et_3B_031008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25097:25781:-1 gene:Et_3B_031008 transcript:Et_3B_031008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPISNPGCTDRCGNVVIPYPFGIGDGCFADWPESGSFNITCNQRFSPPKPFSGDFEILDITVETGEMRVVSPVSYICYNSSNTIESDQAGWNISLSSSFLISKRRNTFTAIGCSTIALLEGTEVLTGYYLTDLSHID >Et_6A_048128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7192872:7194445:-1 gene:Et_6A_048128 transcript:Et_6A_048128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFGDDAVGGDGTRDLFPNPDPYSTGASRLDVGRIDLNAEGFAPPGGFVGTGDLAGPSGFAIGSSSGYPPPPPPIFGAPTAAAMVPAYGPFGGGLGGGVTAAGGGVPAYGPFGGGHGGGVAAGGGGVPAYGPLAGGHGSADLPGRDALPAARRRGSRGAGGAPARRGAGPRIGVARGCGAAGRGRGATEEDDEAEDAGSEDEEHVVLPWWTDRGRNLAELQNLKHRGPPYYDKLKEVFQGVVVDGSTAFGGPDDSEEAKEEEEEDDEEEEEEGDEYQQDEVPTALRSPYGSPRGFQSSPATSGSRKRGSSTNTTGASPSKRSSRNPMVNAFNRMSDQQDTRDHAKIEAMKEVEEKKLHS >Et_8A_056910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18846958:18853633:-1 gene:Et_8A_056910 transcript:Et_8A_056910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMLPETNAAAEAEVLLDAWDFKGRPAARSTTGRWGAAAMILVAELNERLTTLGIAVNLVTYLTATMHVGNAEAANVVTNFMGTSFMLCLLGGFVADSFLGRYLTIAIFTAVQASIRLDSIVASCTFKLVAGLPESIFFSERGLVNYMLISTGVTILTISTAAPGLRPAPCSGATGACARATGAQLGVLYLALYLTALGTGGLKSSVSGFGSDQFDETDRGEKQQMMRFFNWFFFFISLGSLLAVTVLVYVQDNLGRPWGYGACAAAIALGLVVFLAGTRRYRFKKLAGSPLTQIAAVVVAAWRKRRLELPADPSMLYDIDVGKVAAAEEGSTKKSKLKQRLPHTDQFRFLDHAAINEDPASEPSKWRLATLTDVEEVKTVVRMLPIWATTIMFWTVYAQMTTFSVSQATTMDRRIGASFQIPAGSLTVFFVGSILLTVPVYDRIVVPVARRLNGNPHGLTPLQRIGVGLALSVVAMAAAALTEIRRLGVARSRNVAAGEVVPMSVFWLIPQFFLVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSALVAAVHKVTGDTKPWIADDLNKGRLDYFYWLLAAICLANMLVYFVAARWYKYKAGRPGADGSVNDDAEPCLH >Et_4B_039441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1629592:1630599:1 gene:Et_4B_039441 transcript:Et_4B_039441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRSLCCFVSPTRGKPSDVKTRRSSAACICCIGPHHKPSAGGASLGPNADLSARSPLISCCGSGDVRGRSTTRTPCTPAARRLCGVRSRTPRRGQVCCFPAAAATPAAPARVAAAPASAAPARTPRTPTAQRACCLRGAPAHGSAKLGRRRSWFRSARQAVVAQQTPRFPGAAGRDSARGGNSGVKVYDARLAEMEASAAVVAAAKEEEETCSNDEYAQLCREGFSREDVAAVTIQAYFRGHLARRASKALKSLVRLQAVARGAYVRRQAEVAIHCMQAMVRLQVRVRARQMLTKPKEEQLLQKS >Et_10B_003802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6285464:6289693:-1 gene:Et_10B_003802 transcript:Et_10B_003802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAAFRVILLSFLLLLLSSATASQGAAVAKEEEFTEELLLRPLPDRKALAHFHFRSSAPPSYAAGRHHHLFPKAISQLVQKFHIIELELSFTQGRWNYEQWGGSDPMSTSYAKPPGVELWATFDLPLAEIDATWKNLTHTLSGLFCASINFLEPSSSFSAPQWGFKFNEGNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHSQKLKLRSSQSLGIILDQTLTVVLQPNTAGGKQLHSTDGQLQPNWSIKHLFNSKLSGKCLVSKSSRIFLDIDKGIADSIADKVNKSGTSISWNNEFFVLSNGPDRVIKELNNLKVQSSTLYEYDVSTYSEEKPFDVGITWKLPLIWSCTPSPYHTSRFLMGSGNERGSIALSFLSTNLHKQISGSSDDCSIKAVIFQVVPWYVKVYYHSLEIFIDGNRKTISEVLDKIHVTPSEDKLLPGTLEMQLRFPCTMQSATLTLDFDKGFLHIDEYPPDANQGFDIPSALVSFPEFSSARSYPEIDPSLVSALLENFQEDGVVKSYTEVLLIPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRIGEEERELKKAATRRGLIPLLIAKLRGQKMDPPESGSSSELAGSKKLLLKVVLVAVAAVVFHYLSNNS >Et_5B_045304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17430109:17437328:1 gene:Et_5B_045304 transcript:Et_5B_045304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHDLTALMAAQLDRHLVFPLLEFLQERQLHLDGEILEAKIRLLSGTNMVDYAMDIHKSLHGTEDVPADMVARRSEVLARLKSLDAAAAPIVAFLQNPQLVQELRPDKQYNIHMLQERFQNFSSPLNQLQNRIWLMHWALFIFFNHENGRNGIIDLFFQDRYLNAIQTNAPHLLRYLATAVVVNKRRRNMLKELIKVIQQEQHSYKDPITEFLECFMLAGKLNMNYDEAESWIMNLVKSSKLDARIDLVSGTLIMTTTPVNVHEQIIESLKGLNMRTYMLAKNIVEPAQAAQQAARELLFVPCDGFDSSMISFDFGDGRTVQFIYIGGKALALFMAIYKIDQLIIPIQTDRACFLFLQTDGARRHGGVHLQSQQAAALLQWKSTLGRSSPALDSWRHGTNPCTSHWLGIACGAMHRGHHTPLVVTSISLPNSGIGGRLGELNFSALLFLQYIDLSYNNLQGEIPPAIASLQELLYLDLSGNWLHGQIPSEIGNMEIASWDFLSTTLPGVFLRPWGT >Et_2B_021922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:726088:727575:1 gene:Et_2B_021922 transcript:Et_2B_021922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGQPGASAMRRITVHYAKSPTRNTGEESLEDLDEDLLQFVLAQEGLHQSILDEAYNHQNHMKGAGPTDNSEAQYCQGESSTGTAASSGVDEQIASDFEYAKQLQADMEDLGIEDDDISCVPSPSDTDDDDDHEHNDEEADRQDDDDEDPDNMTYEQRQALVESVGTEARGLSDELISYLEPWKYKSSGFFSKKTSHEEYVGKFMQLQPVKNFIMLLMFLSLFECSCTICLSTFKHGENLITLPCKHNYHAGCVTRWLKIEKTCPVCKYEVFGPS >Et_2A_016103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21071765:21072787:1 gene:Et_2A_016103 transcript:Et_2A_016103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLKLRRLAATLLFTSGPNSPGSSPSDAHQAVARATAHHPTTSPPSAHHLDALLAFGRGSRLSAAALASALVDRLRASASGQGDPYVALKCLVALRVLLASGAFILRDQLLVALARHPASGRNPLALASFPLGRSFAAGSWVRFAARLLELVLPLPVDASPADDADEYLTALPNPHLVAELAAYAAVADAHSALVWETVRLAEEDRVAAERNIAARVREMGERLDTLSLADAVELVCVLRRVEDSAAASASASPPPEWKWAALDEGVVSAARQLRERAEEVVLRRTREERRLVRRDDGATASARVLAPRRAVRFGSTRWDGAVSAWR >Et_3B_028064.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:8054015:8054032:1 gene:Et_3B_028064 transcript:Et_3B_028064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKA >Et_1A_007136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31154100:31160965:-1 gene:Et_1A_007136 transcript:Et_1A_007136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPKRSVPEEACPSAAAAEKLARYNFSDIEDYEMLGELGEGAFGVVAKARHRLTGDTVAVKWIRGDGNGDGTSNHDAVVREGDCLGKCLGHPSIVQVKDAATDKASGDLFLVMECVDGPSLRNWLTSRGPVSEHLAREFMGQLLSAAATMHAAPMIHRDIKPENILVGAGGELKICDFGMMDLQDGIASMGLNAFDDLGELSTAGRELLAGLLSVNPCERLRATEALKHRWFTVDTEPPALAKAEFPGFVPMFSAV >Et_10B_002484.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:10841686:10841721:1 gene:Et_10B_002484 transcript:Et_10B_002484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLTITNRKD >Et_2B_019017.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24374013:24374327:-1 gene:Et_2B_019017 transcript:Et_2B_019017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMAMVATASLLVCALVASTLEASSAWQTGEVVAVHGHEGGGVGNVQPPLQQLKIFGPRPRLAYFSRVVKGDGGKRLVPSGPNPIHHKSAPRGPQPAAPPHH >Et_8B_059771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2649676:2651179:1 gene:Et_8B_059771 transcript:Et_8B_059771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTAPALKFTVRRQPAVLVAPAAPTPRELKRLSDIDDHDALRFQVPAILFYRRNAYMDAHGRVDPARVIRDAVARALVHYYPLAGRVRELEGRKLAVDCTGEGLLFVEADADVCLEQFGDALHPPFPSFDELLFDVPGSSAILDSPLLLIQVTRLTCGGFVLAVRLNHHWQTDKAVSELARGAAAPTVRPVWARELLEARNPPRPSFAHREYDEGAIVPAALNDNDVELAQAQRSFFFGPREVAAIRAQLPARLRNCATTFELIAASLWKCRTMALSPDAAYDEETRIILVASARGGKAGLRLPDGYYGNTLVGAVAISNAGELCASPVSHAVELVRKAKAQVKNSAEYARSVDLMVLRGRPPLALGRRGFMLSDLTRLGFAGLDYGWGRAVYAPAAKGFETLSFLGPSRNAKGEDGVVVPMCLPGPAMDRFVEEMGKLVRLPVDVAAAQQPAGVFPTKMSAL >Et_4B_037093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14669543:14671632:1 gene:Et_4B_037093 transcript:Et_4B_037093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVLVAAVLLVSSSLVASEFCVCRSDQPTTVLQKAIDFSCGDGADCTAILQGGGCYNPNTVAAHCSWAANSYYQNNKAKGATCDFDGAATISTTDPTVNHSSSTDTSKLTARSIIVYKILYQVDAITLQCASILSAAGITSTTPAGGSTTGTLTPGFGTGANGTMGGSSLGPTGTGNLDAAAPGLLPCVQLATFLAVAILSLLAL >Et_1B_011708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25217377:25222816:-1 gene:Et_1B_011708 transcript:Et_1B_011708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPAQSPPPASSAGGGSQPLVVTLNCIEDPSVEQDALAGAAAVEHAPLSALASGRVEAAAAVLLPSLAFLPRAAQRRLRPWQILLCLGSPDRAADAAAAAELGLRLVHVDANRAEEVADTVMALFLGLLRRTHLLSRHAASSAPAAGWLGSVQPLCRGMRRCRGLVLGIIGVSASARCLATRSLAFRMSVLYFDPQYEATGKVKRPSIVFPSAARRMDTLNDLLAASDLVSLHCALTNETTHILDAERLQHIKPGAFIVNTGSCQLIDDYALKQLLIDGSIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAIAILQSFLYDGVIPNNVISDEDEEISEVGCEGDQLGKQEKEHTLQVCDGEQQTEGSQVTVECDKRRAISQPEEPQASGQSHSIGSRTEGRRSRSGKKGKKRPARRRSEHKIDELSTIEGGSNYSSRRDEDNQVLSSSSRFASPEDYKNKQKCSVESPMEIISENKLPAGLGRKSPENLKEGFVIALKMRDNSGFYVSRERVAGGGWYLDVVPNATKRDPAAQFLVTFKNKDTIGLRSFVAGGKLLQANKTDFVFTNYSFDVSESWMLEGSLSECCKLINCKNSSAVLEVNIETLAAQSEEDGVTSPHAQAKSFLPRLRLLLLDLFRPQHGPVGPGLVDPQQRPFLLRVPARRQRAVGDAERRRAEGEEYGQQRQPRRHLHTLAALERVVQVEQRDARRHRHADQEQDPAEDAVEHWLRQLVIVELLLLAAAAAAATGLGGRRLGERRLHQQLLLPTLRVLHPPEIIQLLLPGLLLGRRRRVGADADAFAIRGRRADGRSSSSSGQWWYCHVKRREATGRGVDRPWVGLCGRERGLVEQGGHALL >Et_7A_051047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13966437:13968617:-1 gene:Et_7A_051047 transcript:Et_7A_051047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAFPPLPLLRLLHLLLLLLLSPLSFLGAAAGEEFPRDGRVIELNESNFEAALGAIDFLFVDFYAPWCGHCKRLAPELDEAALVLAGLSEPIFVAKVNADKYRKLGSKYGVDGFPTLILFIHGAPIEYTGSRKADQLVRNLKKFVAPNVSVLESDSAIKNFIESAGTSFPIFIGFGVNESLIAEYGGKYKKRAWFAAAKDYSEDIMVAYDFDKVPALVAIHPKYKEQSLFYGPFEGNFLEDFVRQSLLPLTVPINTETLKMLNDDERKVVLAILEDDSDEKSAELVKVLRSAANANRDLIFGYVGVKQWEEFVETFDISKSSQLPKLLVWDRNEEYELVDGSERIEEDDQASQISRFLEGYRAGRTTKKKVSGPSFMGFLNSLVSLNSLYILIFVVALLAVMVYFAGQDDTPQPRRVHEE >Et_9A_062844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5746113:5748714:-1 gene:Et_9A_062844 transcript:Et_9A_062844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWTGLRSSAPAPTAAWAGRRPRTARWPRGRLPAARRAVMASAAASDANSSSNTPGRDEEKEEAARREKEEKAAASLLMRSQKYAMLKQQLAVAAQFEDYKEAARLRDSLRSFEEEEPVLRLRRLMKKAIAEERFEDAAKYRDELMILAPHCLLKCSSDATTLGIRVQVRSVYIESRSQPLKGQFFFAYRIRITNNSQRPVQLLRRHWIVTDGNGRTENIWGVGVVGEQPVIFPQTGFEYSSACPLNTPNGRMEGDFEMKHIDKAGSSTFNVAIAPFSLSILGDDNDVLL >Et_7A_052182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5388473:5389027:1 gene:Et_7A_052182 transcript:Et_7A_052182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCGKMVAIIAALVALAVDAGTPPKPRGQEVHLFEVRVRVPDSSTVDLEEYNYRLLATVLGSVEAARSVMHETELGVFSAFLTNNQARRLSKVPGVLEVKRREDPPVRDTDGHL >Et_1A_005600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11905208:11927890:-1 gene:Et_1A_005600 transcript:Et_1A_005600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPASPSSSSSAGANGDVLLLVGWWGLWVVVRAGALAPPPPRRRDHGAGTHPGRPPSRLSPPALAGARVVDVDLAAMIAGTSPPHSRAVLVDRMNRLVEEAEADAAAAAGSVAVLFVDDVHLLLGGSSGAGLLTPALARGRVRSASSAPPRPAGLYAKYVRRDAAFGRRFQTVHVEELSVPATVATELAEALAEQLFGSDKELIRFDMLEFAGAGSATKLMGAHDRFHLLPSSSFFLLSFRFISKSRQPHIVVLMDEAEKAEPAVGVLVALHKLLRDGQLVDFRNTVVVLASDLGAERMAAAMEGDDNSKTAARELDRPRTSLQKSVSTRMCMVIYCTRASVLMIRKAQIQACASGQTHGDRDVAAAEIRGVAVRAGVTVHASDAALDAIVSESSCSQVRVPFLLLYLLLAAPPPRAVMPPPPPPIPAPGSPAPTALSSNSTALGARALGASARSRARNARRHVNDSRSRSAVALPGGSGSAAAPVPKAPPAALETYGRDMTAAAGGMDPVIGRDGEIDRVVCILCRRTKNSAVLVGAPGVGKTAIAEGLAQRVAAGEVPAALSGARVVELDLGAMVAGTKYRGMFEERVKKVIQEAEDAGGKVILFIDEMHMLLGAGRFPDKAIDLMDEACTITRMQTDNQLKANNTEPTPASAANEAIVRPYQVAQVVSRWTGIPVNTLDQNEKEKLMHLADRLQERVVGQEETVNLVAQAVLRSRAGMDEPGQPIGSFLFLGSTGVGKTELAKALAEQLFDSEKMLIRFDMTEFVDTHSVLRLIGAPPSYRGHEDGGQLTEKVRQRPFSVILFDEIEKANQAVVNVLRQLLDDGILTDGKGRTVDFKNTIIIMTSNLGAEYLMEAMNGEKSIEAARDLVIEQAQKHFKPEFLNRLGELVIFEPLSQDKLREIANNQMKGIIARVADRGINLSASDAALDVVLSESHNPPALARGRIRCVGATTLSEYRKYIERDAALERRFQKVLVEEPGLLSTIAILNGLKKKYEEHHSITIQDAAIVAAVRLANRYITGRQFPDKAVDLLDEACATTRMQTGNQLEIQHALGNTVKEAIVCPDQVALVVSRWTGIPVNTLGQDEKDKLMHLVDRLQERVVGQQEAVNLVAQAVLRSRAGLNQPSQPIGSFLFLGSTGVGKTELAKALAEQLFDSEKMLIRFDMTEFVGSHSVLRLVGAPPSYLGHEDGGQLTEKVRQRPYSVILFDEIEKADPAVLNVLLQILDDGLLTDGNGRTVDFKNTIIIMTSNLGADYLVEALIGEKTMDAAHDLVIKEAQMHFKPEFLNRLSDLVVFKPLSHDKLKEVVKIQMRSTIAGLAEKGITLSASDAALDIVLSESENPLYGARPIRRWLQKNVVTKLAEMLVKGEVDADTNIIIDASEDGKELKYEPMAAASKALDTYGRDMTASAGKTDPVIGRDDEIDRVVCILCRRTKNSAVLVGEPGVGKTAIGEGLAQRDAALERRFQKVLVEEPSTQATIAILQGLKHRYEQHHGLKIQDAAIVAAVQLAGRYITGRQFPDKAIDLMDEACSTWMQIYNKMEVKEATVGIDHIAQVGILTDRYTSLKKMILLFHHDVVSRWTGIPVTRLDQGEKEKIMRLPDRLRERVVGQNEHLA >Et_4B_037259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17297447:17301640:-1 gene:Et_4B_037259 transcript:Et_4B_037259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQQQQVPPAVPRWTPSPPRRPAHVAGAADEDDAASELGASARSTGGFPFGSIGRSSFPPPPFPLSSRAPSLEISASLNGGGVSMAREASLRRADEGVVISWEDLWVSAGGGGGVPILCGLNGYARPGEVLAIMGPSGCGKSTLLDALAGRLGSNVSQKGDILINGRRQKLAYGTSAYVTQDDVLMNTLTVREAVRYSAALQLPATMPAAAKRERAEETLREMGLEGAADTRIGGWAHRGISGGQRRRVSVCMEILTRPALLFLDEPTSGLDSAASFHVVGRIARLARREGMTVVAAVHQPSTEVFGLFNGLCLLAYGRTVFFGPAADANQFFALSGFPCPSLMNPSDHFLRTVNKDFDNDIEEGMGGQKMTTAQAIDALVNSYKSSAHLEKVTRQIAHIRETGGEVVKKEWQPSFLTQTLVLTKRSFVNMYRDLGYYWLRFAIYIALCLCVGTIFYDVGHSFGSIQARGSMLNFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVLSFAIANTVSAAPYLALISVVPGAMAYYLVGLQSSFGHFAYFALVLFTAMMVVEGLMMIVASVVPDFLMGIITGAGIQGVMMLNGGFFRLPNDLPKPFWRYPMYYISFHKYANQGFYKNEFTGLTFPNNQAGGAATISGADILRDIWQVQMGYSKWVDLAILIGMAVLYRFLFLAIVKLAEKVKPMVKGFRFRNAAPSVHVAEQGSGSP >Et_7B_054440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21386561:21388781:-1 gene:Et_7B_054440 transcript:Et_7B_054440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQVHNHGVLGVPSSWQLLLLVCSFLSFSHARPCKCPGVDQDQLGNQTISDTFDQIPGYLIHMNTSTVYGVWDSLSSYMETHNPMFNLSDNGWFILSSSISLWETNYDGTDLYEASFSFIFTFSIYTPQNQTHGSSNLVFAIRPDNSVIGSLPPSGIYLNLPNATSRYVGKSRVSAEINIINETILFNRRASSILVQIRLDYVDDSSVVNNYSMRIDYDHVGHHIYAYIDGAAVVYLYFTSKYRRWQKEQDKLAKAMQQLPGVPTQVDYADIRKATKNFHETMKLGKGGFGAVYRCKLPATASRTGEEMEVAVKKFMREVEDRRYDDFLAEVSIINRLRHKNIVPLVVFY >Et_2A_015675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16874508:16876224:-1 gene:Et_2A_015675 transcript:Et_2A_015675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYLPCVAMVTVQCIYAAVALWCKAVFTGGMSIMVFVVYRQAVATIVLVPIAIVVNRRKLKQMISPGMAGFSLVFVSVLVGITLNLFLLYQGINLGSSSMAMALENLLPGITFFMAASVGLESVNIRERRTLAKILGTVVCVGGAMAMAFFKGPKLLSDVNIVLHTSAGSRWVTGALLVVGSTTCWSLWLLLQVPICKWYMDPLTLSTWTCLLSTLQSALIVLFLQPDPNVWKIRSVFELSGCLFVGVAGSGVTFFLQAWCISVRGPLYSAMFNPLCTVITTVLAAIFLSEELHVGSLLGATAVITGLYVVLWGKARDAKGMNAQEEHREDMEAMVAQMESHLDTGNNIEEPLLAGGNQTEK >Et_1A_005657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12475391:12479948:-1 gene:Et_1A_005657 transcript:Et_1A_005657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGEEGVAVKLEDAARLLVEHLVEPVLKSGGLRREASPTPEKQEAVARQVHAAVLLYNYYHRKQFPQLDFADPKRFMVSASLAAGDALLVYLKHGGSDAEASVTDRAFEEACGIAQALVAKADSPQTSMWPISKVAVLLVDPTGKKCLIEHGSVTQGVWSILEKDITAASGKSRSIDLSAPGSRHEVPLNSEPYVLQQTAYSVAESKTGMKRASLRFLEEHLVHSLSKKETTAKLFVLQYEQTVNSDLKEMPIEDLISRMSGPIFRNEAYPETTSVVEYYHILPYKEVLLNLLNREKSLDYSQSVPKEQQLRKVKPSLHSEIDESFKEQEADSKSNVKNTTRNASDPKKNKDIKEVGNSGTNNCSPSKNRKNSNLNCRRKSEALKATPKKENGSLSNPESTRLESGGLVDMETSESYDPYSSPSLLIHHCFCSLLLYDHLISGGQVDKSKSNGGFGINNINDQMYASLQLLQKMRDDIVREHCMLGDRSAQFDMDIQTILTEGKMTPRVISILKKYEENSSNLMRVASSTSSGEGSQKTKMKRKRLTEAELDEICHENNWILPRYTVLPSLIDGLYQATTYLVCPDLELNAYGGMKSTPREARDSAAAAMLHQLQTKAKEKLAELDGSAPAELLDQIPEKIGRKHAEPLKEQQSQH >Et_2A_014653.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32315229:32315491:1 gene:Et_2A_014653 transcript:Et_2A_014653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVARFLRRFTAQPVMDGRIPAFGPRHHQLLLDSGRVLMMLGAVILTHQLDTSAPASGNAENVFLVFIL >Et_5A_041892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3972104:3976905:-1 gene:Et_5A_041892 transcript:Et_5A_041892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFAADKARRAVAASLRAASRSAAPSPTPAPHPHSPAPVAAMAAAMARAMSTASAGSPVVSINSINPKVLKCEYAVRGEIVTHAQETDCDFVYRLQNLQQELQKNPESLPFDEILYCNIGNPQSLGQQPVTFFREVLSLCDHPALLDKSETHALYSSDAIERAWQILDKVPGRATGAYSHSQGIKGLRDEIAAGIAARDGFHASGDNIFLTDGASPAVHMMMQLLISSEKDGILCPIPQYPLYSASIALHGGSLVPYFLDEETGWGLEVDELKKQLEEARSKGITVRALVVINPGNPTGQVLAEENQKKIVEFCKNEGLVLLADEVYQENIYVEDKQFHSFKKIARSLGYTDDDLPLVSFQSVSKGYYGECGKRGGYMEVTGFSPEVREQIYKVASVNLCSNISGQILASLVMNPPKAGDESFESFMVERDGILSSLARRAKALEEAFNSLEGITCNKAEGAMYLFPRLHLPQKAIGAAQAVGTAPDAFYAKRLLEATGILVVPGSGFGQVPGTWHFRCTILPQEDKIPAIISRFKEFHEKFMDEYRD >Et_8B_059377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17262663:17263616:1 gene:Et_8B_059377 transcript:Et_8B_059377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKARAAASKPRARAKPRAKPSPVSLVSAGSSPSAGTASPAADLSFLSPSSSPLKPRTRTTSPLVASPAFAAPVGMSTVGDLRSLAASHLDSLKRRLDALHGASVRDLEASHSRIAKRVKMQTQGCLQLAEEADKEHKKMADQINERAEVVKTSYKKFVAEVQASTSRVCKVTVPEMAKSAERAIDGLRSRYNISGTPA >Et_10A_000862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18287023:18292383:-1 gene:Et_10A_000862 transcript:Et_10A_000862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTYGDPWLSSGLQETVAGTLRRTTVSTVSPGPNPRSTPQSLPSPVVASPSRAARLRNSSRTKSTQAVDMLPYSARTWREARSRSPSRRSSSSIWSRMAGPPGCAAQKMEFQSETPPSGAKASSSTRPMFRAMSPDTSLRRWKVSPSSRRWPSMAPSDSGRTVHGGPQHDAAHGGAQAQHPGHPVVGAGHVHAGGGADDQVRDRGTRLAPLLERRLRRRRAQPGDLGHHHVLPRVQRRRLVRSHVGILLQHRLRQVHVSLPDHRLVTCILVMRIYICQRTAAHASQRKSITFRYHLSMPRPCGDCGSRSSERTHPFELLLKFSEVLALGHPEVIVRVVSLTQLVGVAVPMANTVAGPSARCCFLSSSTVSSGAISGSMGDQQQVYLLSYLARHEAARLTIGWIYCMIDVVKDKARKCETNMWWRFFLLSLVPRLDAASFDADLWDWSPIVLAHHARSASGCVLVSISCPPI >Et_6A_045830.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:17079689:17084912:1 gene:Et_6A_045830 transcript:Et_6A_045830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQELVVHAWKEWGVQALVLVSLALQVALLFLAELRRRVRSGALMFFGWTAYTMADATAIYVLGHMSVSSSRSAEQQLVAFWAPFLLLHLGGQDNMTSYAIEDNRLWGRHLQALAVQATAAAYVLYESSIFTGGQPLIRWATVLMFLVAAVKYGERVRALWCASRSPAGNNYYNPSAAASVVPTVGSPEGRPKPIMELAHEMLDVPERLLKGSRSDITTPHRLNGQSLYKVVEMQLSLMHDVFYTKAEVIHRNWYGIWVRMVSAAATAAALVLLIRFLAQNDGNNRVDVAVTYVLLVGAVVLETLSLLRAAFSSWAVFSSNYEPGLCDFLCYCCCFRCIEPVVRRLRSLVHAEDWRGRGWSASMGQHNLLQLSARRRASRSSAVARRMGLEDPWNTLAYSWSTPVSEFIEDRLMNQLFQQKREELSYSEEVFHQKIKRRGKQAVLDVSEAARGPYLTKEEAEQRQLVWGPKWRPAEELFDSKDPFDQRVPKKLKTWGLYTGGLTRSIEERILAWHIATNIYLAWWYKEEEHANNNIQQPAKKQPSKINKAEAYKVEHSKNPTAEAVEALSNYMVFLLAARPDMVSPTGSRTQYVSICYYFASRGPKPETADEVAGTLLSYGDFLLVNPDRTSNSNAFGFRLIGDETSPSFALLQTGCMLGAMLIRRHSADTLVEVAQVWVETLCHAAQGCSAYAHAKHLSNGGELITVAAILLEYLKMDTIISFD >Et_8B_060079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5758438:5767369:-1 gene:Et_8B_060079 transcript:Et_8B_060079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTGVFAEILDGEVYKYYADGEWRSSSSGKSVAIVNPTTRQTQYRVQACTQEEVNKVMEAAKVAQKAWARTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAITEVVRSGDLISYTAEEGVRILGEGKLLVSDSFPGNERNKYCLSSKIPLGVVLAIPPFNYPVNLAVSKIGPALIAGNALVLKPPTQGAVAALHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMVPLQMELGGKDACIVLEDADLDLVAANIVKGGFSYSGQRCTAVKVVLIMESVADAVVQKVNAKLAKLKVGPPEDNSDITPVVTESSANFIEGLVMDAKEKGATFCQEYRREGNLIWPLLLDHVRPDMRIAWEEPFGPVLPVIRINSAEEGIHHCNASNFGLQGCIFTKDINKAILISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKVKSTVINLPSPSATGSTAACKRPLFFNDKNC >Et_2B_021644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3902884:3905878:-1 gene:Et_2B_021644 transcript:Et_2B_021644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNKVVPNSGDACSVLPSKVTALNPNAAEFVPSFIKSSFGSSTVPDVTKSELRGSSGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFSFEKIEQGPEELSLAGLSLNAPPFYGTTSSRLSREHHELSSSATKGLELDHNSSSNWEQSYMGFANGNQDLHYDAENAAGFPDNFSNEYAAASDGTVDPLEFLASQFPGFSVESLAELYYANGCDFNHTIEILTQLEMQVDAAPNHALNLAQSTPNFSTGDFPALPTAEDHNGFNKGNVDVLNMFNGRSSSGLSSGAGDFVSAVRKLASQNSGHMKFKKAPEYGNGVSALSVPKQYGSSTKQSTGNKFQSVTSARVAPWLETGDAVANMYSESRGEARDYARVRNACFEQARQAYLVGNKALAKELSMKGQAYNAQMKAAHEKAREAIYRQRNPSSQRGSDRLIDLHGLHVNEAIHILKVELGALKSAARATGERMQVMVCVGTGHHTKGSRTARLPIAVEQFLLDEGLHYTQPQPGLLRVVVY >Et_1B_010660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12743852:12756963:-1 gene:Et_1B_010660 transcript:Et_1B_010660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPPEAVAAAAAAEAEKAVAAAAAKGKEKVEVSMPPPPAAMAGASGRFVAYPARVAEHADVVADAALFRAALEKLHAHMGTRLKVPIIGGKDLDLHQLYKEVKAENRWREVTASFIFPATATNASFMLKKYYMSLLYHFEQLYFFKMQGWHQQETDSRPNSSIEVKTEAQASHKRKRGSAYSSDPASSSDNVDVDVIIDGKFEHGYIVTVIMGSKSTKAVLYNCIEEPSLQTQVAPVASNITDLKGGRRRRRRRKKLSTTDPRHPKPNRSGYNFFFQDQHRILKPQYPGQDRLISKMIGERWNNLSPEDKAVYQERGVQDKERYRTQLAAYKEEQRTGQPVSNAVPIQQRLPQTEVTIDEVDTKVSEGNILLSNQGYSSSDGSDNSGHKTVEDEELNTDTSPEMSMETADSPGQPDPSADGDRFELRRRENPKADEKQNGTVYDNIRRASILGHLLKKFHGTVKQSNLAERTNLCGECHLIRMAIVPNHLSHELLGLLPPLSTAQAFQHGVVGVGVRRHGHRGDEAQGISKAAGAAVTVDEGGVGDNVGGAGVLGRLEYALRVGEAAVAAVAGDEGVVGDGVGRARSLQRLEHALGVSEPAADTQFLDEDVMTAVGFRGGLEEPRRKRSAASRSSSWTRRRRVPLAAPGWAGALGFTQMEPDPPRRTDGDLRFVHLDAAAAAGRRWRWGLGHFADSRKGKRRWEATAAGGGGGDDGESILDPLKQLKAESYISYPILGVESSHEDAR >Et_1A_007421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34291337:34293583:-1 gene:Et_1A_007421 transcript:Et_1A_007421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YGKKPPLLPLEVPPPLLYVPAPVQETERSRPAAMGCSSSKKLEEEEAVRTCHDRKSFVKKAIAQRSLLASSHVAYVQSLRRVSLALFYFFAEDEHLYFLQESAAAALVHRPVSPEEIFVVNCLRPGGAPVHPLEQWEPEAIETAAVVDRFFGLDHQFFQPPSIDSASDAPVSPQMTRWDLFWDPFSSPTDHRHRYGNYGVEEVKADQDDEKIPELEEESDDDDGETEEAEEKVEQVKATAPVVVVPRTRREEKGKVDHVNNGLRILASADVEQHGTPGFTVYVDRPPTSLAEAMKDIQSHFMKIVETAGEVSVLLEVVPYQRKFQPPAPTEDGDEQGAVVEIPPEPFELFKSQKESLDRLYEWEKRLYEEVRAGEKVRLAYEKKVALLRSQDANGAEPFAIEKTRAAIRDLRTKLDISITSVDAVSRRIAAVRDDELLPQLTQLVRGLARMWTVITEAHRAMKRTAEEASALLSSSSAAARPDTGADGGGLRGPPPPPGPTRASSAAGALASELRSWRAALEAWSESQRAYAAALWGWARSCVKDGEDMPRLVVGWARAVEYVDVEAAARAVDAVAAEAAGVAAAAKRQRGAEEWFNEEEAKKKVCVGLAAALGAVTEAGEMAVVRYDELVLEIEAMEMERGGVAGRDEEAMQN >Et_9A_063232.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16520104:16521627:1 gene:Et_9A_063232 transcript:Et_9A_063232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNLSTESIFSKKYGLLGKEEAHENAKRIEQECFSSADEHFKKEPDGDGSSAVQLYAKVTSKMMLEVLKRGPRTTEEPEAPVVDTPCEPADTVFDISGGKRAFIEADEAKELLSQLTKPGNSYKRICFSNRSFGIDAANVAGPILESMKNQLTEVDISDFVAGRPEDEALDVMRIFSKALEGSVLRYLNISDNALGEKGVRAFTELLKSQENLEELYVMNDGISEEAAKALSELIPSTEKLKVLHFHNNMTGDEGAMSIAEMVKRSPNIESFRCSATRIGSDGGVALAEALGTCTRLKKLDLRDNLFGVDAGLALSKTLPKLPELVELYLSDLNLENEGTVAIANALKQSAPQLEVLEMAGNEINAKAAPALAECLTAMQSLKKLILAENELKDDGAVIIAKSLEDGHTDMKELDVSTNMLQRVGARCFAKAIADKPGFVKLNMNANFISDEGIDEVKKILKDGKKSLDVLGPLDENDPEGDPDEEEEEEDVEDDDGELGSKLQNL >Et_1B_012794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35177904:35178334:1 gene:Et_1B_012794 transcript:Et_1B_012794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAPHCVPPNQPCIRAVKQSDMVCVCRILETEDEIEISAFKTARLARECHKPVPVGTKCGSKLLVIPFHHHNLHSNVVCSSYYSLEVDDVELWFKRYAYFGLAQGEGWVACSNLRVGRPR >Et_5B_043229.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:19069131:19069487:1 gene:Et_5B_043229 transcript:Et_5B_043229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSIEALDDKASSCAYFADGGAGGAAFSWPLAEKTQSTLTVTGQIHSLCKAINVPDGYAPYLARKTPACKAMPEGYNAISVYADALDAGMRLPLHPFYAAVLLQFGLAPSQLAPNA >Et_9A_062776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4808925:4816568:-1 gene:Et_9A_062776 transcript:Et_9A_062776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFLSAVLGELTTRSVSFFISKHSKPSALDLEDHLRSVLQRAQVIVDEAMGRQITNRAMLKQLKLLREAIHRGYYILDTFLYQSQDEVDAKDHICAKSTYLKEMDYMLDRLSSTILEANELVIFLKSYPRMYRQPYSMHLLLGNCMFGRQMEIEMVISFLLNTQHRRADEFEVLPIVGPGRVGKSSLVAHVCKDGRVRDHFSEVVFLSDHDLRDEKKYVRREGCALEHQNCALNKDGRLLVVVEVAGDLNEDAWNNFCLSKWSAMNAGKIIITSRSEKITKLGTTGALTLKYMSSEEYWYFFKTLAFGSTNPDTHPRMVHLTMEMARILHGSLVGANIAARLLRDNFDIKFWCKVLAYLKGIIQKYVSKFGEHPCDVLNQSGAVNFVRMASASEEITVHHQYQLQSQEEVPKITFQDVMYGSIEPHGKFEPLAWRSQIPPYHSFVYTCEIREIKTTGVKRKRSLREPEMEILLSAVLGEVTTRSVSFFISKHSKPSALDLEDHLRRVLQRAQVIVDEAMGRQITNRAMLKQLELLREAIHRGYYTLDTFMYQSQDDEGGKDHTVSHFSLLSKINSIKNLCLFSAPRSHILEEMNEMLDRLSSMILEANELVIFLKSYPRMYRQPYSMHLLLGNCMFGRQMEREVVISFLLHTEYRRSEGFEVLPIVGPGRVGKSSLVAHVCNDERVQQYLRREGNALEHQNCSWNKDGRLLVVVEVAGDLNEDAWKNFCLSKWSRTSAGKIIITSWSDKITKFGTTGALTLKFLSDEEYWYFFKTLAFGSTNPDTHPRMVYLAMEMARVLHGSLIGANIVVRLLRDNFDIQFWCKVLVYMKRISQKYVSNFGEHDLCDVLNQSGAVHFGGMASGSKEIMIHHQYQVQSQEEVPKIMFQDVMFGSNEPHGKFEALAWRSQIPPYYSFVYTCEIRKQKTTGAKRKRSVTMHAIEE >Et_5A_042091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6237292:6247313:1 gene:Et_5A_042091 transcript:Et_5A_042091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGADRPTPYYLDDVAIALFGAERRGVYITMSAGNAGPGASTVDNSAPWVTTVGASTIDRLFPASLTLGNGVVLAGQSLYTIKTKDTGMLQLVSSERCGTESKSWTPDQVKGKIMVCMDGGDDEEGVALQDAGGAVMVTVDPTEWSQDGAEPFPFTLPGLTLGVDAHEELKAYMASVPNPVASFSFGYDRREEPGAGGGRLLVAGPNPWAPELLKPDVIAPGVNILGAWSGDAPLSDGRSTDYNIISGTSMATPHVAGVAALIKKKHPGWTPAMIRSALMTTARTVDNMDHDILDNGATDGRRDSVRSATPFAAGAGHVNPLLALDPSLVYDAGERDYVDFLCALNYSAEQIRLFAPDFVNCTRTLPGGVAGLNYPSFVVVFDNGTDVRTLTRTVTMVSKKAETYNVAIAAPERVKVTVTPMTLEFTKPNEKKSYTVEFRNLAAGGNATAGWGFGHISWENEEHRVRIPVSSSPCRAATNGQGTSTVSNTAPWMTTVGASTIDRLFPANLTLGNGVVLARHPPKAAWTRRIAGRSTRSWGRSCFAAGAGHVRPLLALDPLVKPPNFASVEHWYGGAVPLRSLPYRRQHPSCHARLRRPAHCRRGDEARRMSTVPGVTGVYEDCVFYLQIMKSPEVMGLNLHDGAWNETDFGDGVIIDSGIWPESASFNDHGLSPVRPSWKGKYCVDADGINASLYNNKLVGAKAFHISHFVWSEDYRREPSPRDIVGHGTHVASTNPGANVPGAGMNMFSRGTVWGVASKTATYKAWLLRSSGADIKDLGGYQLVPGSMTPMIMPSPKSFSFQVPFAGSRSMNPGDLVV >Et_6B_049285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2778988:2782918:-1 gene:Et_6B_049285 transcript:Et_6B_049285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWPDLQHINAERDHAKSELEQCTVDITNAMKQKGSHEKALEKRKKSIGRIHDEIENIESSIALKKDEMGTEIIDDLTIEERDLLSQLNTKITKLNENFLLCKDSRIKACEACSAWEVQDATEDLKHLMNSRRVYINKQEECMKMIKDLGLLPADAFEVYKGKNKKQLQKMLYECNEQLKQFSHVKRMALDLYVNFSQQPKTDQRKDESIERPFKGVARDFREVFSKLVQGGHGYLVMTKKKDDDSGDDKNNGDEIHEQDLEGRVEKTYVGVKVKACLLHLLCSHHRSTVFRTSGIIVVRASCTIFPETNNLMLQVSFTSNEETQSIKQLSGGQKTVVALALIFAIQRCDSAPFYLFDEIDAALDPQYRTAKPETLIVIGFRYFFMSGSFQLPSLSYVTDMIRCLADKDGIRFIATTFRPEIVKLADKIYRVTHQNRVSSINVASKEQALKFIEHDDMYNC >Et_3B_027412.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:13521668:13525864:-1 gene:Et_3B_027412 transcript:Et_3B_027412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRRLCLRLLASPRPKRAPRRGLAANAALQWLDDELASLALPKLDSYACAKLLQRCIARGDARAGRAVHGSIVQRGGMARLDTFCANVLLNLYVKLGPLACARKLFDGLPERNMVSFVTLVQGHALRGEFSEAVELIRRLRREGHEVNQFVLTTVLKLVVAMDEPGIACAVHACACKLGHDRNAFVGSALIDTYSLCGSVGDARRVFNDIEGKDTVTWTAMVSCYSENECPEDAINVFSKMRMAGCKPNPFALTSMLKAAVCLSSVVLGKGIHGCSVKTLYDTEPHVGGALLDMYAKCGDIEDARTVFEIIPHNDVILWSFMISRYAQSYQNEHAFELFLRMIRSSVVPNEFSLSGVLQACANIAFLDLGMQIHNLTVKLGHESDLFVGNALMDFYAKCKKMENSLAIFSSLPDANEVSWNTIIVGYCQSGFGEEALSVFREMHAAQMPLTQVTYSSVLRACASTASIKHAVQLHSLIEKSTFNNDTVVSNSLIDTYAKCGCIQDALKVFASLKECDIISWNALISGYALHGHAAGALELFDRMNKSNIKANDITFVALLSVCSNRGLVNQGLSLFDSMKFDHGIEPSMEHYTCIVRLLGGAGRLNDALKFIRDIPSEPSVMVWRALLSSCIVHKNVSLGRFSAEKVLEIEPNDETTYVLLSNMYAAAGSLNEVALLRKSMRNIGVKKEPGLSWVEIKGEVHAFSVGSADHPDMRVINAMLEWLNLKASREGYVPDINVVLHDVDEAQKARMLWVHSERLALAYGLVMIPQGHPIRIMKNLRSCLDCHTIFKVISKIVQREIIVRDINRFHHFDKGLCSCGDYW >Et_7A_051719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23525696:23526985:1 gene:Et_7A_051719 transcript:Et_7A_051719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NENELNGHIKIQSNRQNSTGGGDLSDLALETSVPGVAYKMACDATGRQRSSSKKSTSSQSHGNDRCLKIFKPDRINYCLFVCSFLMAFKNQESAPRALVVDDVKLDRIILSAMLHKCNFETTVAHNGKEAVDLFLKGKTFDIVFCDKDMPVMTGLEAVAKIRSMGAHEVKIVGVSADSDGMEAFMSAGADVFVAKPMKIVIVDSLLQEVINNKNMRA >Et_1A_004846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11815556:11816470:-1 gene:Et_1A_004846 transcript:Et_1A_004846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASDRSSSSPRSRQLQGPRPPRLAVSKDSHKVRKPPIAPQQRHQLQPATQQHQHHQQQQPRAPVIIYDASPKVHHIKAGDFMALVQRLTGPGAASAAQFDASQHQHQHQHQHHQPPEFQPREFLLSPTAALSPAARLAAIERSVRPLPPAPAPATYYAGAASSSTSAGGADVPGILSPAALPPAASTGLFSPMPFDPSNVSWLADLSPFLPSASARAAGLEPPFAPSPRGHHHLLATPTMPSPGTFSLLEFFGNYPDL >Et_7A_050886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1212112:1214037:-1 gene:Et_7A_050886 transcript:Et_7A_050886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAALAVTDEVALPIRAVGDLAAAADVPREEVAVITQCEALGGKLPFDDASVGAVLAVIKNVESLRDQLVSEISRVLKAGGRVLVQNSAPSSNLKPNTDIERKLLMGGFVEVQASTASTQDTVQSVTVKAKKASWSMGSSFPLKKATKALPKIQIDDDSELIDEDSLLTEEDLKKPQLPVVGDCEVGATRKACKNCVCGRAEAEQKVEKLGLTAEQIDNPQSACGSCGLGDAFRCGTCPYRGLPPFKPGEKVSLSSNFLAADI >Et_8A_056267.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19156810:19156965:1 gene:Et_8A_056267 transcript:Et_8A_056267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKMMLNSAYMNILPFIGHLWYSFWLSRLWRTKATCLCNLPWKLSFGATC >Et_4B_038950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6235363:6238481:-1 gene:Et_4B_038950 transcript:Et_4B_038950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVKSQHQMLASSSTSSSSPSASQQRKKPPAPPPPSSATDQPSPAKRKRRPPGTPDPDAEVVALSPRTLLESDRYVCEICGQGFQREQNLQMHRRRHKVPWRLVKRSPAPGEDGGGGTGGGAARKRVFVCPEPSCLHHDPAHALGDLVGIKKHFRRKHGGRRQWVCARCAKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACNSGRIRGEVVPAVPSTTLPVIRPALPRHPPAPPPPPELQLLPASTAASTSLSSTTTTTTTTTSSHEPHAATKLQLSIGPNITAAATTEADLDEEMRRAVEEKAAADAARERAREEAAAAARALEEARRARQRARAELEKACALRDHAARLLAQVTCHACRQRSLAVSMAVAAGEGHGGAAVACDPVMRGGGVGAGM >Et_8A_056644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14305987:14306568:1 gene:Et_8A_056644 transcript:Et_8A_056644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPGRFGRNNTEITETDPEQLQNKLQNSHFLEFSSGNHPVTSNTSQQVKRSDPREPSNYIKHITTSEKERPPGCFTEITQKLPKWVPNSSRTAPFDQSAAKLNFLRVFAREPSSYMKHIATSEKERSPGRFGRNNTEITETGPEQLQNSAV >Et_2A_014549.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21836829:21837176:1 gene:Et_2A_014549 transcript:Et_2A_014549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVPRPPGVCRRVKLETAFPEMPGWSADTRGAHANSPTGCRTGRDGRIRRRALMSASVAPPSSPSGFSSANGDTTLADAFRRLLSVSAWWSDSAAAREANKDQRPLLARGQNDQ >Et_4B_039385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12832012:12833160:1 gene:Et_4B_039385 transcript:Et_4B_039385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAIEGFRAVSLTTVLQNVKNLAVASFHLNLDMVLDLMKCFPCLDKLYIKLSVTKNLWRRKHMQFIRGYDIRLKTLVLEGYRGI >Et_3A_025399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29496197:29504526:-1 gene:Et_3A_025399 transcript:Et_3A_025399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYFLPCSVLVAALVLFSINAAEAAIREYQFDVSVQSDKRAIDCATNLACNAMQVQMTNVTRLCSSKSIVTVNGQFPGPTVFAHEGDLVVVRVVNHVPYNMSIHWHGIRQLRSGWADGPAYITQCPIQSGQSYVYKFTISGQRGTLWWHAHISWLRATVYGPIVILPKPGVPYPFPAPHKEVPVIFGEWWKADTEAVISQALQTGGGPNVSDAFTINGLPGPLYNCSAKDTFKLKVQPGKTYMLRIINAALNDELFFSIAGHPLTVVDVDAVYVKPFTVETIAITPGQTTNVLLTTKPSYPGATYYMLAAPYSTAASGTFDNTTVAGILEYEEPWSRSGAGFNKNLPAFKPKLPKINDTSFVANYTAKLRSLGTAKYPVDVPREVDQRFFFTVGLGTHPCAVNGTCQGPNNSSRFAASINNVSFVLPTTALLQAHFTGKSKGVYAPNFPVAPLVPFNYTGTPPNNTNVANGTKLVVLPYGTTVELVMQGTSILGAESHPLHLHGFNFFVVGQGFGNFDPAKDPAKYNLVDPVERNTVGVPAAGWVAIRFRADNPGSFLMAALMLLSSIIQAQAITRHYDFNVTMANVTRLCASKSIITVNGQYPGPQLIAREGDRVVIRVTNHVQHNISLHWHGIRQLRTGWADGPAYITQCPIQTGQSYVYNFTITGQRGTLWWHAHISWLRATVYGALVILPKLGVPYPFPAPDKEVPVIFGEWWMADTETIISQATKTGGAPNVSDAYTINGLPGPLYNCSAKDTFKLKVKPGKTYMLRLINAALNGELFFSIANHSLTVVEVDAVYVKPFTVDTLVIAPGQTTNVLLKTKPSYPGANFYMSALPYSTTRPGTFDNTTVAGILEYQCPEEPSSSPSFNKALPLLKPTLPQMNDTNFVGNFTAKLRSLATAQYPAAVPQSVDKQFFFTVGLGTLPCPGNASTCQGPTNRTQFAAAVNNVSFVLPTRALLHSHYTGLSSGVYSSDFPNAPLTPFNYTGTPPNNTNVATGTKLMVVPFNASVELVMQDTSILGTESHPLHLHGFNFFVVGQGYGNYDPVNDPASFNLVDPVERNTVGVPAGGWVAIRFRADNPGVWFMHCHLEVHTTWGLRMAWVVLDGSLPHQKLLPPPSDLPKC >Et_2B_019706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12768961:12772507:-1 gene:Et_2B_019706 transcript:Et_2B_019706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIKCFDYCKLDPSKGDFLNEVGVELASNLSLSVVSVASFNGETRQFACSGIFIEFDLGVSILTSASLVRSADDENKIDHEVQITVYLPNGLHVRGTLQYCNLQYNIAVVNTIVIPNFRVVNIYDPVRAEIGCKVLAVGRIFNSGRLTSTCGIVIGKESNFDCDDLMVSTCKITKDGIGGPVIDFNGNFIGMNFNDKGETLFLPGDVILGLTHLKSGRLMIVDDLAERNQTSCNFTPPASSPPGGGTGGALNQLHRHPSCPPLPSPLPEQGTR >Et_7A_051935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:362782:367789:1 gene:Et_7A_051935 transcript:Et_7A_051935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGGADEELTAQETALYDRQIRVWGVDAQKRLSKAHVLVCGMNGTTIEFCKNIVLAGVGSLSLMDDHTVTEDDLNANFLIRPDEGIYGGRSRADVCCEALKDFNPMVRVSVEKGDPSLIDGEFLDKFDIVVVSCASLKTKLFINDNCRKRSKHIAFYAIDCKDSCGEIFVDLQTHNYIQKKTGGEAEQQELIYPSLQEAISAPWKSLPRKTTKLYFAMRVLENYESSEGRSPGETTLSDMPAVLARRKDMCDRMAINESQIPTSLIERLLAAGKKEHPPVCAILGGILGQEVIKSISCKGDPIKNFFYFDAADGKGVIEDIPAPAS >Et_9A_061536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13378188:13378589:1 gene:Et_9A_061536 transcript:Et_9A_061536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRTTTLMVIMCLVILGLNVNLATAAECSCCVSARAKACCFACIAAGGSDTVCKNTCCFPCVLTDSVAAKMEEMGVLAKMEE >Et_5A_041444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2307344:2313510:1 gene:Et_5A_041444 transcript:Et_5A_041444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EGMVDLMPIGPGEILMAYGYLRLEVYYFTTPPSDEEYSWADLPIKDGWDVCDDEVIEEYTQTMCAGPDRNLEITYLVIPDAIEAEVEVMLKIKDLSFRSRTVYGKIKASATDFGNKNIYLFSCERRRSLSFPTGSTSILPLNLSNIAVPCCRQLELHIEVDLTVVTPCDSQEEEENNLKFSLQFTHGIGISIQKREFDDDEVEVNEGMANLVPVGPGEIFMADGCFCLEIYNFTTPLSDEGSNTAELPIKDGWDVCDDNEIEEYTQTICAGPGRKLEITYLVIPYAIEAEVKVRLKLKDLGSGSHIMYGKIKASATDFANKSVHLFSCEQGRSLSFPSASTSILPLSPSKIAVPYCRQLKLHIEVDLTVITPCDSQEEEEKNFKFSLEFAYGIGIRSQEREVDDDRVEVNEGMVDLVPVGPREIFMAQGGFGLQVYYFATPPSSDEGFDGAHLRIEDEWDVCGDEETEEYTQTICAGSDRKLDITYLVIPDAIQAHVEVRLKLKDLASRSRTLYGKIKATATDFANKSVHLFSCERRRSLSLPSGSTSILPLRPFNIAVPCCRQLELHIEVDLTVITLRDGQEEEEKNLKFNLKFTYGIGISIQEREVDNDRVEVNLTLGE >Et_3A_023329.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2093569:2093838:-1 gene:Et_3A_023329 transcript:Et_3A_023329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HGASASSAAGLGHSHATLLHSKPVLKETIHTYDRSCSSNICPGCTPAQSYSTELLAVFPNWSGIIRDTSTSSSESRGRTAHHRPWHKSH >Et_3B_031210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21143072:21145552:1 gene:Et_3B_031210 transcript:Et_3B_031210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRSQTGPKPLKTVALAPRPSSSPKRKARPKPSGKGELGAPKKPPTAFFYFMEDFRKTYKQENPNVKTMQEVGKACGEKWNTMPFEEKVKYFDIATEKRAEFEKAMAEYNKKKNSGELSDESDDD >Et_10B_004230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19915759:19916500:-1 gene:Et_10B_004230 transcript:Et_10B_004230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSSTGGTSPPCASCKLLRRRCTQECVFAPYFPPEDPHKFAIVHKVFGASNVSKMLQELPAQQRADAVSSLVYEANARMRDPVYGCVGAISYLQQQVSQLQMQLALAKAEILCVQMQQNDGQQGHPTAAPASAKQQLQHQEMDGEAYGSMFMQNGLMMNTLNSSTAHQQQHLLGSLSSSGSTAMMLQEACLKKESLWA >Et_6A_047144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25895048:25897400:-1 gene:Et_6A_047144 transcript:Et_6A_047144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSAHTLRAAARRLALPLLCLFCAVAAGEVVTHNIPAFNAATDDQYYIQLTKSGLTTSVIGGYDTFQSSPVYNTSEGFVLLSNDVDFWRSGVEASFSTSFTLVAGAGPVSFAVRGLSTNIIRAPRGPDPANASAAGLAFVEVGGLNPGCSCLPEAGLNVTVSPAGAGGRAVWVDYRAADEHRLSVYVAGAGEPKPANNLLNISMPYGVEGNWTTATAPVGFFAAKVGDVMVGVRDWNLTVDSNLPADNSQGPKTLVVVLSSVLGSAAAIAISTTAVYFYFNSKYRRWSKEQGKLAKVMRGLPGVPVEIEFSDIKKATKNFHDTMKLGKGGFGAVYRCTLPAAASRTGEAMEVAVKKFTQEVEDQRYDDFLAEVSIINRLRHRNIVPLVGWSYNKGVPVLVYEYMKNGGLDRHDPRDATVWHWGTRYSIVRDIATGLHYVHHEHEPMVLHRDIKASNIMLDSMFRARLGDFGIACTVAANKSYVTGLAGTFGYIGPDYAMSGKATRHTDIYAFGVLILEVVTGQKNMDVHPDDDHITDWVWRLHKQGMLLQALDSMLTGGDRPHEDILIDEAKRLLLLGLACTNPNPSNRPSMVEIVQIINKLAPMPDVPLERPAFVWPPEDWRTCNSMYSTTVSDWESSSRNTMDRDQPSFISTGEQASTRSKGGSLTAAYSRASNGATF >Et_4B_036066.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:20118394:20119397:1 gene:Et_4B_036066 transcript:Et_4B_036066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAASSSPPPPAEPSATSAPLSPPTTEAIAASTPPREAVAPPTTEATDPAAKEAPATDAGADGAAETVVVDVAAESGKEGEGEEEGECGFCLFMKGGGCKEEFVAWEKCVEEAEAAGKDDVVERCHDVTATLRKCMDAHADYYEPILRAERAMAEDLEAAQSREASGSEPAPSSSPEASAPATALEEEGQKKQVDEVPDSNKKSEDPAA >Et_5A_042231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7843725:7844664:-1 gene:Et_5A_042231 transcript:Et_5A_042231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLVLAELQSWKHQVPLGQRVANREVRQNRIVSVAALDEDAPLANVSDVPVLDFVLREVVDDGAVGNGAGGRSAGVGCLNGVETVGHHRIEESKEERRVAGAVAAEEGRVGDEAAEGDGRGGGAGESSGARDPDEDLLKEVVGEGRQLPRRRHGGTWERTWKGATVLCFAEASTTLSNDLEKS >Et_8A_057798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7369660:7371294:-1 gene:Et_8A_057798 transcript:Et_8A_057798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CLELVVPPCQMIWPRSLVSGLQPHDFVSGFVAMEKNAMFEMQNKTVNYRVLAGTWNVGGVSPPDDLELEDLLDTKANSYDIYVLGFQEIVSLNARNVLGPKKRSASIKWNSLIGDALNNRRRRQGGEAMSRCRGDEAGLHQEQGMFRCVMSKQMVGIFVSVWARSALRRHVRHAAVSCVGAGVLGRFGNKGAVSIRFLLHDTSFCFVCCHLASGGEHGDAMLRNADAASVLSRTSFHGHAGHGAAPPPKKILDHDRVVLLGDLNYRIAMDAGEARQLVTAKKWGMLLENDELLLELCKGRQFDGWREGVVTFPPTYKYHRDSDQFYWCVDDDAGSGDKQHRAPAWCDRVLWRGKGMKQIRYERCGGYRLSDHRPVRAVFHAVCEVLEGR >Et_3A_025954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34247189:34256709:1 gene:Et_3A_025954 transcript:Et_3A_025954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQLLQLTERGRNLLSSRRRTIAIVSGAVLAGGTLAYAQSGRRRKYQESNSRGDSNDHTGNKGTVHQNGVDGKLVKPRKKKHGLKSLHFLAAILLKKIGPNGTNYLLGLVITAVLRTAVGHRLAKVQGYLFRAAFLRRVPTFTRLIVENLLLCFLQSTIYQTSKYLTGSLGLRFKKILTDLVHADYFENMVYYKISHVDHRISNPEQRIASDIPKFCSELSDLVQDDLFAVADGLIYVWRLCSYASPKYVLWILAYVIGAGGAIRKFTPAFGKLKSTEQQLEGEYRQLHSRLRTHAESVAFYGGESREASHIMQRFRALVRHLNVVLHENWWFGMVQDFLLKYLGATVGVVLIIEPFFAGNLRPESSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRKLNILSGYANRICELLDISRELGGRDRLTSQNSPAGNYISEANYIEFSGVKVVTPSGNVLVDDLTLRLESGSNLLITGPNGSGKSSLFRVLGGLWPMVSGHIVKPGVGSNLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQETEPLTYNGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCKRVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWNVQDNSNGSSISPELALDVLKSSETDRKSDALAVQRAFSSTKVPKLFDKQGGQLLAVALLVFSRTWISDRIASLNGTSVKYVLEQDKAAFIRLTGISVLQSAANSIKSYFKNCPWMADSHDQSFTSILFEKKCFLQGMNMDADQRITHDVEKLTADLAGLVTGMVKPLVDILWFTWRMKILSGRRGVAILYAYMLLGLGFLRAMIEAKFTTLLDHSRILLRKRWFYGIFDDFVTKQLPHNVTWGLSLLYALEHNGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRIFELEELLQASQSNPVVPSNATNVTAENIISLRDVDIITPSHKLLASRLSCDVSQGKSLLVTGPNGSGKSSIFRVLQGLWPIATGRLTKPSEGIFLVPQRPYTCLGTLRDQIIYPLSLEEAELKMLSDETGDKSTGSKLLDDHLKTILENVRLLYLLEREGWDATPNWEDILSLGEQQRLGMARLFFHCPKYGILDECTNATSVDVEEHLYRLATNMGITVITSSQRPALIPFHSLELKLIDGEGKWELCNIRQ >Et_6B_048727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13018487:13020976:1 gene:Et_6B_048727 transcript:Et_6B_048727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAATAAAPDSSCSPFPTSRRAAPPSSLFLARRATGSSGLSLRCHGLSCHCHCRCWSNEPERRARRSRGRHCRAVASAPDHMDELPGRGRYHPFEEIAETLQLDDGEPAHLTDAESARTIVEVNSKATVMISTLVDDGVHERIILPEFPYLTDENGGTADDALQLRSSGNFAGAHFGVFLLELSSCVAIWPDIYFEVDNDDALLENIMGEDKIATATKSNIDWLDRPPASLVVEGQLRPAFAEESTMVSKHLSSDEPKKDKKESGATFFKVEPKVKIEEYRKAKPDIIAHSAPNIISRLRSGGDKITQALKSLCWRCKAIQVEEAAVIGVDCLGFDLRVCSGTQLQTLRFAFPTKSSSEFGAEKQISELLFPRNTHQEARQKES >Et_5B_045318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18096852:18100127:-1 gene:Et_5B_045318 transcript:Et_5B_045318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFLLLLASSAAAAATSTFSLDFFPGTGAVAQLALSGAANATAGAVSMDAPRAGVRYKNPIAFSAAGFSTYFSFALPPPQPPAAASSLAFFLTPAPASRAPPALAVIFSARHIRVDLAGRAAVRAQSRSPAAARRLHAWIDYNATAAALHVRLSAARLPNPPPALLSYPLDLSPLLRRGPVQVGFQFQASSSGNCSLFSWAFHGAPYRMHSQPLNPADLVTTPPPEHVRRAPDRHYSPWGAAAALLFAAACGAMVTFFVLFLWYSVAARRPVAPVEHPSDVVYEKIVFVGAKDDDAPAPPTGVTTVGTGGITIRIKYWLLNEMK >Et_3B_029409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24854543:24859010:1 gene:Et_3B_029409 transcript:Et_3B_029409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDSEGIAALFSMYNDDDDEDEEADEPQPPSPAPPAAAAPDPAGVSSPPPSEAGGEGANPSQVPPSPSLTEESAGRKTLASPHPSPALPPLPSRRSSSPFAVSSPSPLRPPSAAPPADLPRPPRRGALAIVDYAHDEMAMSPEQEDGEIMNGAHRFGSDVQDAEGNLEEPTLSGSVHIMTQNSQAEISQQSDAPEQNQMGTDMAVDVSRAEMEDAQMEETPDILTSGENDDPLSRFLPPPTPKKCSAALQQKINKFLAYQRAGKSFNAEVRNRKDYRNPDFLQHAVRYQDIDQIGTCFSKDVFDPYGYDKSDYYDEIEADMKREIERKEQEKKKSPKVEFLSGGVQAPVGASILKIPALAGMTAVPVPADGVQKETRSNKRSKWDKVDGDIKNPAVPSGNDNLSATVSAALLTSANVGAGYAAFAQQKRKEAEDMRTADHKSDRRS >Et_5A_041847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3338564:3342887:-1 gene:Et_5A_041847 transcript:Et_5A_041847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRRELLTPTSKGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVAAYEFTTLTCIPGVIMYKGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNVILIVLDAIKPITHKRLIEKELEGFGIRLNKTPPNLTFRKKEKGGINFTSTVTNTHLDLETVKAICSEYRIHNADVSLRYDATADDLIDVIEGSRIYTPCIYVVNKIDQITLEELEILDKLPHYCPISAHLEWNLDGLLEKVWEYLDLVRIYTKPKGLNPDYEDPVIVSSKKKTVEDFCDRIHKDMVKQFKYALVWGSSVKHKPQRVGKEHELEDEDVVQIIKKI >Et_7B_055736.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3072710:3073063:1 gene:Et_7B_055736 transcript:Et_7B_055736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCYVGKATKIFLCLVAAVLVAGLVLGFGLAHRTWGGQKAQPACSWPHCQTDDPAYGGDPLLPAAGVATPPPNPLTQPAVAAFPGVTSSSTAAPPASMPYFGPPNPFAVGLAPTAHA >Et_7A_051815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25027707:25037784:-1 gene:Et_7A_051815 transcript:Et_7A_051815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVILESLRLHPPVAFIEREVGAEGASAVGATIPDHSTMMRFTVITGDIGRDGKAWSTDPNEFRPDRFLAGGEGELVGPIPGPKKEIRMMPFGAGTRHCPGEGLGMMHVRCFLAALVRHFEWAPPGNKAGDVIDMTSRNGAQNAISSCSTVLLVSDRCPRTYIAVLSASSSPVKGSGVDMTELVGFITVMEPPLRARVTMRIMTYNREKMDQNKHVM >Et_1A_006545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24212919:24213286:1 gene:Et_1A_006545 transcript:Et_1A_006545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQ >Et_10A_000148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12415094:12416254:1 gene:Et_10A_000148 transcript:Et_10A_000148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPWATFLSVVLATVLFLVTLRRRSHGTSNTNIPPGPRPWPLSAQYGPLMSLKLGSVRVVVGSSVHAARFFLKTHDLVFLDRPRTASGRYTLYNYSDMVWAPYGPYWRQARKLWQVELFSMSRLKSMQPIRNEEMRAFLRDVSVAATAGRVVKFRESMLMLSLNILSHMVLGKKYGVEGASGSPITPEEFTWMIEELVYLNAQGYIKRMKRLHGKFDNFLDHVLEEHEERQRREGKAFVAADMDLIAGTDSTAVTIEWAMSEEISMEDTYGLSMPRKIPLEVYPQAKLLPHLLYD >Et_2A_015643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16323266:16327903:-1 gene:Et_2A_015643 transcript:Et_2A_015643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGVPAPSHLLLLLCCVAAGTRASPSTDALRRVAPRSVAGGGLCEQLLLPQGYPCTEHTVKTSDGFLLSIQHIPHGKNGVADNSGPPVFLQHGLFQGGDTWFINSPEESLGYILADNGFDVWVGNVRGTHWSKGHSTYSVHDKLFWDWSWQELAEHDLLAMLSYVYTVTQSKILYVGHSQGTIMGLAALTMPEIVKMISSAALLCPISYLNHVSASFVLRAVAMHLDQMLVTMGIHQLNFRSDTGIQILDSLCDDEYLDCNNLLASITGENCCFNSSRIDYYLQYEPHPSSTKNLRHLFQMIRKGTFARYDYGWWGNLRLYGHLHPPPFELSSIPKSLPIWMGYGGRDALADVTDVERTVQELRSTPEMLYIRDYGHIDFIMSVKAKDDVYVDLMKFLRAKEGWHSSY >Et_4B_039069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7531561:7536401:1 gene:Et_4B_039069 transcript:Et_4B_039069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFLRKQPCYLFILIIVHLGAHGAKTLSPDGEALLAFKKAVTNSDGVFLNWREQDADPCNWKGVGCDSHSKRVVNLILAYHKLVGPIPPEIGRLNELQNLSLQENSLYGSLPPELGNCTKLQQLYLQGNYLSGYIPSEFGDLVELEALDLSSNTLSGSIPHSLDKLSKLKSFNVSMNFLTGAIPSSGSLANFNEASFVGNLGLCGKQINSVCKDALQSPSSGSQSPSADGMFNKRSGKNSTRLVISAVATVGALLLVALMCFWGCFLYKNFGKKDMHGFRVELCGGSSVVMFHGDLPYSTKDILKKLDTMDDDSIIGSGGFGTVYKLAMDDGSVFALKRIMKTNEGLDRFFDRELEILGSVKHRYLVNLRGYCNSPSSKLLIYDYLPGGSLDEVLHEKSEQLDWDARINIILGAAKGLSYLHHDCSPRIIHRDIKSSNILLDGNFEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEILSGKRPTDSSFIEKGLNIVGWLNFLAGENREREIVDQNCEGVQIETLDALLSLAKQCVSSVPEERPTMHRVVQMLESDVITPCPSDFYDSE >Et_9B_065319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3714879:3718338:-1 gene:Et_9B_065319 transcript:Et_9B_065319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRCYWGGERRPSGAAGGVVVMFAWLSSLERHVRAYVDLYASLGWACLVCHSEFLTLFFPGKAAMLADMVLGELVKELKVRAVPVVFASFSGGPKGCTYKVLQLIERRCEGHLSVDDYQLVRDCLCGQMYDSSPVDFVSDLGTRFILHPSVLKMSEPPRVLSWMAKGIASGLDTLFISKFEEQRKEYWETLYSSVHVGPILIFCSEDDELAPCSIVQDFGHHLHELGGDVNLVKWRSSPHVGHYKHHPEEYRAAVTELLLKASIFYRSRKQHNTCEAGMSEHNDLPRPVSNHHRTAASSSDRIRRAPIEPTDHFFLPSSMEYHESNEGPKPELFNMPSVESMNPHGVLRQMLYDVCVPNNIEGWDLKPSVSMNGRHMHAVARHHGHFNPMKCIRRSRL >Et_10B_002547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15131099:15132493:-1 gene:Et_10B_002547 transcript:Et_10B_002547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METARREPGSEIRGDHRVGISLLPLKKRALNDHRSSSSPYGNSDDAYSAPPKMRRVDDCVVRAEKKDGAERAPARAAAEKGKALSDAVRWLNKGKWLAPAETTASPVAPRPQPPVAKLMHDGIRSFARANERSSLHQAHQIAAPRPRNVDAPGAESPFGEGVRAQLEQLGATPPRFVHRKRLEKSDVCTNQNRLLVSCKRESMAGCPITGCFSPAEMRRVEDKHVGLQVTALDRGGARHALTCKFLDSNSGYRFISGWGELLKWNGLVLDGRGRWTRDVDVEIWAFRSRALRKQPALRADGTLPKGKDGKPVEGAVEEDDHFHPDGSLGLLLLHREKGRRRVDHDDDDGDEPPVPVAPRREKKSNKQRDNRKRDAAVSTSADREQGEAGATMSKVEMDAKFGVATSNAVIGMMGLRDAMLRERRQNVEVACAT >Et_3A_027376.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:9379404:9380114:-1 gene:Et_3A_027376 transcript:Et_3A_027376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGFAKRLVHVLRAVYHMLRRGLCRKRLMMDLHLLLGRGKLAGKALRNLVAAAHHHHHPHGSATAMVPATVARAGAGTEPASAASSALALQCSVDPRDVEFSCTTTPSYEPPPMRALFPFKIRGRGRRGGAGGLDVAEVARAFEIMNAQEAVAGTSAASSGAETPSPLLALSLGRSPAGVRQLRVTDSPFPVEPEGVDERVDAEADNFIKRFYEQLRMQQAFATPDNSTVRRRRG >Et_2B_019066.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28862593:28864038:1 gene:Et_2B_019066 transcript:Et_2B_019066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDINSSSMHIVMLPWLAFAHILPFTEFAKRIARQGHRVTLLSTPRNTRRLIDIPPDLAGLIRVVDVALPRVEHLPEDAEASIDLPSDDLRPYLRRAYDAAFAAALSGILQGPEELWRPADYAAHWAPEAAARHGVPCAYLSLYSAAVLSFFGPAEALMGRGKYAKASPEQLTEVPDFVPFPTTIAYRGHEARQMFKPAATQDASGVSELYRSGKSIDASQVVGIRSSRELEPEWLQLLGELYRKPVIPVGLFPPSPPAQDIEAGLEATLRWLDRQAPGSVVYAAFGSEAKLTSTQLEAIALGLEASGLPFLWAFRAPAGADTGEEDIQRGLPDGFEARVHGRGIVCRGWVPQVRFLAHGSIGAFLTHAGWNSVTEGLAHGVRLALLPLMFDQGLNARHMVEKKVGVEVERDEEDGSFAPVGIAAALRKVMVEDEEFGVKAKELATVFGDDELNDQYVRDFLKCLSEYSRHQSGQVRAVSD >Et_1A_006394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21963580:21965956:1 gene:Et_1A_006394 transcript:Et_1A_006394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIVCSYNFHTEKPNVASTEFLSFAIMDLLIRYVYPHINTLLLCKFTPLYQFITDNGGEGRICISSAIRVTYEDGSMIPQEIFLNELSKIIQHYGEKYDGDEITSLGLRAYYTGTLDNTATTKIDSTNDIINNIFEKYLRIINIKGSEEETQSKLLVDKKNKYNKYVDYIRNLKPSKMKRPFLVADIETILVDDPEENGDCKSIHRPYAAGFMRASPDKRPDKEDIQLFYSEEYHCMVDNPDDFKGMSCLMLKSFIKSLIKAGKKERRVTVVYFHNFGKFDGFILLKHLARYHKNEVAFIPLMRNGVLYSIDIKNIPKFGKKAKSIIKLQDSLLLLPNKLELLARSFCPELGSKGSIDHHKVSVDNLGINKDEYLDYLKQDIFILGGILLKAQDIYWNLYQIDITPVLTLASMSLSIFRVSYYDESKEEARIYIPDDNTDSYYGGHTDVYIPYGKYLTLADINALYPFIMMKCAMPGGRAKWIKNLRENVKLKDLFGFVKALVVCPKGMNRPFLPSKSKDGTLIFPTGLFIGVFFSEELKFAEKLGYKVYPTLAIQYKKMESPFSKFVIFMGVLVYRPDSTKTEIITEAERIARLGKEDPVFCEPLCDDTYVFSYKNKLRGGDITDDKKDLTISAVQISAAITAYARIHMYPYISREDCYYTDTDSIVISKPLPKSAISDTEIGKFKIEHDNIEEGIFLAPKTRHIARDGKSTEDRVLLKFKGMAQTSADVDWFDKQLENPSLTTTVSTGVREN >Et_9B_065972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18958136:18961387:-1 gene:Et_9B_065972 transcript:Et_9B_065972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRQGSRETNAGAGEGVEDAHGARRAPVHQDQEATGSGGDQEVAEQRKLFMEEAAGAGIEEEVVVAILVELVEYDPLGGVETPVTAGLGVGSGGMPGVANGAEAHVVVEVVGGEEAVGNAVDKVQSTISSVDMATKAERIDGLPHTRKPSPLHTSTRYLNGAATHSPRRRARLPGSISELHLCLLGDGGRDASILLLPIYIHRIN >Et_4A_033579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24731577:24735061:1 gene:Et_4A_033579 transcript:Et_4A_033579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYDHCQTMGRSIHIVNLDPAEHFNYPVDMDIRELISLEDVMEELGMGPNGQIELFTHVPVLRNFVEHLKRKKFNVCVVYLLDSQFITDVTKYISGCMASLSAMIQLELTHINILSKMDLVPNKKDVEEYLDPNAQVLLSQLNRQMGPRFGKLNKCLAELVDDYSMVNFIPLDLRKESSIQYVLSYIDNCIKYGEDADVKVRDFDPEDD >Et_1B_010149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26332573:26332947:-1 gene:Et_1B_010149 transcript:Et_1B_010149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_6B_049628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7570169:7573365:1 gene:Et_6B_049628 transcript:Et_6B_049628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLPRAPCLASLPKPAAVVASSSLRPPAMSSSSAAASAPTAGAEAAARPRKLPVLLFDVMDTIVRDPFYHHIPAFFQMSMKELLESKHPTAWSEFEMGLINENELAEKFFNDGRSFDLEGLKECMVRAYEYIDGVEEILCCLKQNNYEMHAFTNYPVWYQLIEDKLKLSKYLSWTFCSCLAGKRKPSTDFYLQAVDHLNVDPASCIFIDDRMANINAALSVGMVGLHFKNAEVLRKDLCSLGVELSPHVNEDEAQVQ >Et_4B_039751.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28522195:28523637:1 gene:Et_4B_039751 transcript:Et_4B_039751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAVTVGDLIHRVATSCLSNRLPCNYTLRDSGDSDLEDEDDDPFADFADAVSSSEKCRRSTSAAEAEEDEGVEDEEEEKLKIWEEGEEEKRKAAAAEAKGAERARDAETLMAEVFDAVSGVRRAYSALQGAHCPWDPDKMRTADAAVVAELRHLARLRDRFRRSAAAGHIPRPNPSAPPLREAVAPYEAALDDLRRQLQAKQAEVDGLKEKLAAATSRRNGRHHSKQNGAGGGTPTAELFVSCAEQARAATRAFAGQILHLMRAAGLDVAAATRSLTKIPVSSSPQLAKHALEAHVTRVLLGGFEHESFYLDGSLSSLLDPAAFRRERYTQFRDMRGMEPAELLGVLPTCAFGRYAATKFASLLPPKVEEAVLGDGEHRKVVAGGAHPRTPFYGEFLRAAKAVWMLHLLAFALEPPPSHFEAGRGAEFHADYMESVTGAPPHGGAGMVVGFAVTPGFRLGNGAVVRARVYLVPRGGRP >Et_1B_014010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27235701:27238184:1 gene:Et_1B_014010 transcript:Et_1B_014010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPGQWADLPEELLDRIFARLPSFSDRSCCAAVCGHWRFSAMQREHAGPPLLPWLLRPSTAGGSYFHVFSGRTVDEPGVLRHARGARFLGSFPGGWFIVARGQWRGYALLNLVTGERVRLPDGLRGSETPDDLRVGLHPSALLILAATKFPALTADGRYIVAAIASGHHKAVGWSPGMDHWMPLEPPGAGESHWWNMILLEGFEDLIYYSCEQHEGFYFLTSEERLLVFDSEFDEDEGGVPVFGDFTFYSFPGRLMTAPPEAGQSVTGRYLVESAGRLLMVKRFSSPERGTVSFQVFRLRWRNSLPYWQRSAVLIGQLLFIGRGCSRAFQTGRFNPGHIYFLDDAEGFRDSWSIVRTGKQYRCSDAGWCSYFTHAGHRGLHRTARHGFGTFTDRRQCGISAASVAHTLFEEFLTDESKSALKPIMDPTRGGLLRNWDSLSRDSRNATDLRSPRHLLQRGPTLLPPQRLCVALVCVLTAQDEPRHAMPFPGAPRA >Et_3A_024182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18141188:18142960:-1 gene:Et_3A_024182 transcript:Et_3A_024182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTSTSPNRQGTGRHNLTATNGSIDLLYDDIVQEIFLRLPLKYLHRLCAVSRRYNALFLNPDFTARYWCFHGPHLSG >Et_2A_016496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25295841:25301602:1 gene:Et_2A_016496 transcript:Et_2A_016496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRWSAAAVLLVVCGVVATADTRLSPAGQTPVGNSTLMSNGNPYHHLWPPVEFGWRIALGSLIGFFAAAFGSIGSVGGGGIFVPMLTLIIGFDPKSSTAVSKCMIMGAAVSTVYCNLKLKHPTLDMPLIDYDLTLLIQPLLMLGISIGVICNVIFPEWLITALLIIVMLVTSSKAFLRGVDTWKNETITKREREYRTTIPTQQSDAAGTNKASFEATSIMKNVCWKEIGLLVFVWTAFLALQITKNYTASCSTLYWVLNFLQIPVSVSVSMYEVYGLITEKRVLSSKGSQPLQLYVYCLFGIIAGLVGGLLGLGGAYIMAPLFIELGIPPQVASATATFAMMFSSSLSVVEYYLLHRFPVPYAAYFTTVAFLAPLVTQHVARRLINWLGRVSLIIFTLASMIFISALSLGGVGISNIIHDMERKKTMGFENLCTYGEENGVDAKESTSTEYSFFGSVLLGYVLSAPTAYMFSTMSWCNARLDAWTSRCVTKGCLSRCGTLARRVGSLCRHAATNSRSSGDASMYSGTDGASLSHIFSFQSSAFGSSASGTMDGRPMKSSRTMRPRPQTSAAMNRSGLKYGVVPILVSTMMLSPPAMPETMRETLKSLILTSPRALASESIQIRSSSVATSVATLIAIATRRDLHMNMIMDKHCIKIKRKCGYNKSKARFPIEYAKNYRTSPQQSGSS >Et_3B_027992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30355360:30356448:1 gene:Et_3B_027992 transcript:Et_3B_027992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAEGSTGAGLAKRKEALKKKAEELATRCGVDVAVVYEGSAPGDDDFSWPSEEEATAILRRFGALPLERRERRTETRADRLEQWLAEERAKLARVQASGVAGALGSWDGSLEGMGEVELRELLASIDVSLAAARSRGLEGQPQSGGAADRARILPGPARADEVAAETSGPVRARGASKKAPRRRKADAGGGVPALPLEENPKAPNAAPVVADQVTAGNAVYDAAAGDDVQILQTPDAAAADDDDDAEWMRNLVEALKENPQPSNSAPCCSETEYLYMGGYVMERDAYDFIGFDLEMPPPCIGPSSPEPDDGDGEPLKLWSWE >Et_8B_059516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18779834:18783930:1 gene:Et_8B_059516 transcript:Et_8B_059516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAEVRYGIVGVGMMGREHLHNLAHLAAEVEREQSVQVRVTCLADPHPESLLLGLQLAAELGLPAPQTFSGHREMLDSGLCDVVIVSSPNMTHYEILMDIIGHSKPHHILVEKPLCTTVKHCKEVVEAAKQKPNILVQVGLEYRYMPPVAKLIDIVKSGTLGQVRMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLMRLFAAANPVRVMASGAIDVNHKDEVYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSKNEQEISVVGDIGKGEAFVPESVVRFGKRTGGRDGVVTIMSDDERIKYQGLHHGSSYLEHLNFLSAIRAQGASGPSVNLNDGLLSVAIGVAGQLSIEQGRFVTMEEVLGS >Et_2B_018868.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:10692968:10694551:1 gene:Et_2B_018868 transcript:Et_2B_018868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLVRWLFSTNHKDIGTLYFIFGAIAGVMGTCFSVLIRMELARPGDQILGGNHQLYNVLITAHAFLMIFFMVMPAMIGGFGNWFVPILIGAPDMAFPRLNNISFWLLPPSLLLLLSSALVEVGSGTGWTVYPPLSGITSHSGGAVDLAIFSLHLSGVSSILGSINFITTIFNMRGPGMSMHRLPIFVWSVLVTAFLLLLSLPVLAGAITMLLTDRNFNTTFFDPAGGGDPILYQHLFWFFGHPEVYILILPGFGIISHIVSTFSRKPVFGYLGMVYAMISIGVLGFLVWAHHMFTVGLDVDTRAYFTAATMIIAVPTGIKIFSWIATMWGGSIQYKTPMLFAVGFIFLFTIGGLTGIVLANSGLDIALHDTYYVVAHFHYVLSMGAVFALFAGFYYWVGKIFGRTYPETLGQIHFWITFFGVNLTFFPMHFLGLSGMPRHIPDYPDAYAGWNALSSFGSYISVVGIRRFFVVVAITSSSGKNKRCAESPWAVEQNPTTLEWLVQSPPAFHTFGELPTIKETTNKQN >Et_5A_042336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8971808:8973166:-1 gene:Et_5A_042336 transcript:Et_5A_042336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKELLQWNPTLLKEVDDSGSTALHYVASVGNISTLKLLLHRDTSPAYVSDSNGSFPVHIAAKMGYGQLIYELFRFCPDCDELLDDNKKLPTYCYCAQEMESCLAFLWYCRAWKDDQMIVSLVMATKGLLPNIVKNQGLTALDLAVLATDKGVSYTLVNFSSNYILPLHNPQVIIFRCLAWTGAVLSPRRLDHFKDEFSIGKTSGNELKKFTNIAQNLIVGSVLVSTVMFTAVFTLPGAYISDGHPHAGAPILSHRYTFKAFVMANTLAFVGSTLSTIWLTYAGSEHVHPTLRAVYMFLSEISMEQATRSMVVGFALGAYVVLIPANDCIAIVVCLSTFMTLLLRNPSSWARRRLGWRGAFETHLSQETRARLTVGVGSNFARLFLRRMLEMIFTYSL >Et_2A_017517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35054961:35058324:-1 gene:Et_2A_017517 transcript:Et_2A_017517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLDLLCSLILGAWFLEGALRWLFREGVAMEGIKYTSEMATTNRRVLGDIKNIIGGPRHPFVVSKRGLSEKPAAAVDPKDQAVFAGRRPITRKFAATLANQPKSAHLAPIGSERKKRSADTTFHTPTNMGSVKMSDDMPLPMLPETDEVMSSEFKEIEMEDIEEVAPDIDSCDAGNSLAVTEYIDKIYSFYRKNEGSGCVSPNYMSIQTDINEKMRGILIDWLIEVHYKLELLEETLFLTVNIIDRFLACENVVRKKLQLVGVTAMLLACKYEEVSVPIVEDLILICDRAYTRADILEMERMIVNTLKFNMSVPTPYCFMRRFLKTEHSDKKTAQCTMNGFTSWNKCCELHTKYNEEQLMECSRMIVELHQRAPQGKLTGVHRKYSTYKYGCAAKSDPATFLLDAIIL >Et_9A_063539.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:6609074:6610366:1 gene:Et_9A_063539 transcript:Et_9A_063539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPPFYARVAAAAAFLLLLSPAPTSQLGLGAAIGAWINGAPPPPSATPTPASAAGGQESPEYASLQALKAAITEDPHGALSSWQGGNVCAYKGVYCSASPDGGAAATVVAGIDLNRADLRGTLPDAAVAGLAHLTFLHLNSNRLAGGVPDALRELEYLTELDLSNNRLSGAFPAAALLIPSLVYLDLRFNAFSGEVPAELFAKAQLDAVFLNDNQFEGQIPETLWSSPATVITLANNRFTGPLPATYGFAGSGGRVREVLFLNNNLTGCVPEALGFLPSIQVLDLSHNALTGHLPGTLSCLSGIEVLNIAHNQLTGELPDLLCDLRRITNLSVAFNFFSGISQRCDRLVGRSVFDFVGNCVPGRDMQRPQPECDGFPGEGGLSCLRIPGARPVGCAAEAAVSVGVGVGVTVGGLPFASAGGVVTVTVP >Et_8A_056470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10535948:10545165:1 gene:Et_8A_056470 transcript:Et_8A_056470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPPHGEPSPEAPPPSLDCLPSDILDAVVSRLPVRDAVRTSALSRDWRRRWETAPGIRFILSGSDEEPPNAQAAIDAFLARYACPVRHFDYANIHIHAEPHADQVLARLAGRGVEYLSFKFARHNYAEEFHTLHPAVFSCNALTHLFLDRCNLPPAPSSFSGFPNLTSLQLSDVCLPEHGDRDLEAMIQMSPLLTKLLLRYVWNPAVEVGGEWVIRAPNLQSLSIEAIDDCSWQIEELPSLQQAYIEVKFFDTSCDFIRLFAHLKQVTKLEFHMPQTEVNALDGLSCRFQKLKEDTLHTNLCSRSNILSTFSVLKCAPNLEDLKIMPVNDQAQEDEVDMNFFNALWINGLFANLTHVTMINTPLGSNEMHFTEFVLSKARQLRSFYIYQDCRSPYSMPREVVVIKLNEIRRVSPKAKVVIKSAEAFPPHGEPSPEAPPPSLDCLPSDILDMVVSLLPVRDAVRTSALSRAWRRRWQTAPGIRFVLSDSDAEPPKAQAAIDAFLARYACPVRHFVYDTIDEEALPHANQVLALLASRGVESLYFSFAKSFYAGPVELHTLHPAVFSCNALTHLFLDHCTLPPAPSSFSGFPNLTSLQLSDVCLTEHGDRDLEAMIQMSPQLTNLVLRYVWIEADEVVEWVIRAPNLQILSIQSDHDYLWQTEELPSLQQASIKITYFSTARDFLRLFTHLKQVTKLKFHMPPNTKVNALDGLSCCFWKLKEATLCTNFFSISSILYTFSILKSALNLENLKLEIVDNYAEEDEVDMNFFNALSINGLFANLVCVTMIDVPLCSNEMHFTEFVLSKAMQLRSFYIYYQNYWRPNLMPREEVVIKLKEIRRVSPKAKVVIKSTE >Et_9A_062150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19984794:19988044:1 gene:Et_9A_062150 transcript:Et_9A_062150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQGRLQWINEQMEPCTASSSSSACLSSSRVTTTNGDEPEPIYVDCLSNTAFQANLDVLLSSVPASAIASSGFAMNIISAAPDQA >Et_1B_013005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4775153:4776995:1 gene:Et_1B_013005 transcript:Et_1B_013005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVALTGGIASGKSTVSNLFKDAGVPIVDADIVARDVVRKGTGGWKKIIKAFGNDILLENGEINRARLGQIVFSDPEKRQLLNRLLAPHISSAIFWEIAKLWMKGSKVVILDIPLLFETKMDRWTHPVIVVWVDPQIQIERLMSRDGCSEEQAQNRINAQLALDWKKSEADIVINNSGSLDDTKEQFQEVLRKVSEPLTWKERLRSRDGLFSVVPCTAVGVLLAQKNLS >Et_8A_058419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7064737:7067599:-1 gene:Et_8A_058419 transcript:Et_8A_058419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIPPGNVAQNFLPLLFKQKGEKGSQNCEQEERKLQEERVVTRQRSGSRRTGTPCRGRSVSTLTGACRYSSEMPKRKLASMTASTTLASAMAYACPTQLRGPDAKGRKHPDGGRRANRSGLNSCASAPHAASSWWIASTGIHTDAPFGTRSSPETSPELEPEPRVMSSNAIRGSSVAGGYSRSVSLITICSCI >Et_5A_042706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26651062:26652036:1 gene:Et_5A_042706 transcript:Et_5A_042706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATKGEPIWIVPTNGEVLTDRLNQERTFPMYLGPCSSDFKVEANNAIGMVRGSSADIVGILIDLMKTDKKWVVMDVSVGGLLRHDMRDVNLNNSLPILATQTESRLLPSGCLNEDMNNGYCKMLLPASTQVN >Et_2B_022372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19493719:19497209:-1 gene:Et_2B_022372 transcript:Et_2B_022372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRKNSTAAATAANSNSAAAGDVGVRVKPKRTRKSVPRESPSQRSSVYRGVTRHRWTGRFEAHLWDKNSWNESQNKKGKQADAGAYDDEDAAARAYDLAALKYWGPDTILNFPASAYEEELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIKWLRPGADGVAAAAAQNPHPMLGGLAQELQQVDLDNAAFQHEHHRNQGAEAHFPLPPRTSLGHTPTTSALSLLLQSPKFKEMIARTTAAESSTTSSSPPPTPSPPRPSPTPSQELQVEAGKDGGGASPQCSFPDDIQTFFGCEDVAGVGVGVGYTDVDGLFFGDLAAYASPAFHFELDL >Et_2B_019330.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:23902215:23902568:-1 gene:Et_2B_019330 transcript:Et_2B_019330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLDRCRSCRRKPAPEGCFTVCVGEGRERFLVRTECVNHPLFRALLEEAEEAFGYAAAGPLALPCDADAFVRVLEQIEEDEASGEAVVAAASCGLVRSPSAYRLLASGRPVLAGRS >Et_3B_029332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24032895:24034632:-1 gene:Et_3B_029332 transcript:Et_3B_029332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAGISRSLTRRRPLFPLAATAAAAAMSSSSTRSNVSDRPISPDTTRVAWVGTGVMGQSMAGHLLAAGYKLTVFNRTPSKAEGLVSRGASLADSSRAAAAAADVIFLMVGFPSDVRFTTLDPSTGALAGLTPGGVLVDMTTSDPTLAAEIAAAAAAAGCAAVDAPVSGGDRGARNASLSIFAGGDAAVVARLAPLFKLMGNALYMGGPGAGQRAKLGNQIAIASTMVGLVEGMVYAHKAGLDVAKWLEAISTGAAGSKSLDLYGKRILERDMAAGFYVRHFVKDLGICLSECQAMGLSLPGLALAQQLYVSLIAHGEGGLGTQALLLAVERLNNTSLEKKGDPEDLDNGKLRLNISSGII >Et_2A_015666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16747679:16751415:-1 gene:Et_2A_015666 transcript:Et_2A_015666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALAIVAIMAAAVFGMASAASYNVGEPSGSWDLRTDYGTWAASKRFQTGDQIVFKYSPSAHDVLEVNKAAYDSCNTGNAIATHNTGNDVITLDAPGTRYFICGFPTHCTSGMKLQIDVTSGGSSLAPAGAPNSNSPPPPLPATPSSAPATAAAAGFAAMARPISRALAVAAVMAAAVFGMASAATYNVGEPSGSWDLRTDYGTWASSKRFHPGDQIVFKYSPSAHDVLEVNKAAYDSCNTDNPIASHTTGNDVIALDAPGTRYFICGFPSHCTSGMKLQIDVTSGAGTLAPAGAPNSNSPPPPPATPSSAPATVAAAGFGLAAVIIAAGLMA >Et_2A_018097.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:10275836:10276390:-1 gene:Et_2A_018097 transcript:Et_2A_018097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHKDEIESWLHLLAAKGVQDLVFINRPWPLDLPLPAALFRCTSLTRLYLGVWSFPDTRALPRTARFPHLKEIMLSLTVITDRDIAVLLERSPVLETLSILGSFLDRVRLRLVSRSLRCVQVGIAALGEIFVVDAPRLQRLFVWMLVTPKLNRTCSRIKIGHAPNLRMLGYLPPGTHYKNFAL >Et_1B_013000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4676688:4678401:-1 gene:Et_1B_013000 transcript:Et_1B_013000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTVETGDGAKLSVRLFKPSPVEAAAAEDVAVVMVHPFTILGGVQGLLRGMAEGVARRGYNAVTFDMRGAGRSTGRASLTGSVEVGDVVAVCRWVAENFKPRGILLVGSSAGAPIAGSAVDKVDQVIGYVSIGYPFGLMASILFGRHHDAILKSEKPKLFIMGTKDGFTSVKQLQNKLKSAAGRVDTHLIEGAGHFQMEGPAFDAQMVDLIVNFINSLPK >Et_3A_023586.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:863222:863365:-1 gene:Et_3A_023586 transcript:Et_3A_023586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDALAWVCMTREMNPPASSPRAWIEGSSSLSLSLSLSLSLSLSLSF >Et_1B_010480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10973392:10976068:-1 gene:Et_1B_010480 transcript:Et_1B_010480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFAAAAAVSSAPTAAVRPVAASPAPHSVSLPRAAARSLRFAASARSARASRLVARAGGVDDLPLVGNKAPDFEAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRYEEFEKLNTEVLGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLVSDVTKSISKSFGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKGSKEYFAAI >Et_2A_016709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27457562:27460202:1 gene:Et_2A_016709 transcript:Et_2A_016709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDRGDPARRRIVSPPGGQGQGQAPCAPTDLFSQFQRRFSPSLYLPPMAPRLPSAASGSGSAFSYYQGAPALAPAAGAGGSNLSRSLSQPPFFSTDQLSPLPFAGLAAGAGAEQVTPALPLHGAGHRRSRSDFPFGFSHQNNSLLVPPTVDAAALDGVFGSYRVMGALGPAVNGAEERHDNVGGPRSWSPVDSSENEAENWATANFQAGPSNPRHCRSLSVDSFMLGNINFGAMGQESPRLPPPSPGEGTSGGLSRTESGPSSGPSALFATDLSNGEFNEAERKKIMGNDRLAEMALTDPKRVKRILANRVSAAKSKERKVKYMGELERRARVLQMETSRLAAKVAREQRDSDALKTQNNEMKIKLQALEQQTRLKDALNEAMLAEVQRLKHAIGENSDLCAPSGLHQHMSYQMISQQLLPLQKQPSEPQTLQEEPQESDPLKAQQKQWNH >Et_7B_055672.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:20843922:20845211:-1 gene:Et_7B_055672 transcript:Et_7B_055672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGDGVVDTRDVPAVQRVLQDFSLLMVDAGELDSSRMARHINWRWWRRFHGIRQQLTQLLLPLIADSQRRRGSPLRDSRAGGGGGIIRPYVDSLLDLQVPDHNDASIKRALTDDEKVTLVWEFLDAGTETVVSCVEWTLAHLVAQPHVQKKLHCELSGTDNREGLRDLPYLRAVMLESLRMHPPVAFVEREVGTGCAAAIGATVPAHSSVMRFTVITGDVGRDGKAWMNPNEFRPDQFLAGGEGKCVGTIPGPKEIKMMPSGAGTRHCREEGLGMMHVRCFLAALVRHFEWAPSGKAGYVIDLTEQIGFVMHMRTPLRVQITPRTSAS >Et_5A_040954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15668466:15671742:1 gene:Et_5A_040954 transcript:Et_5A_040954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQGKEVHNKDGDLTVANDTTNQQKNDRGDEINALIGLQDQDCFSTKDDDDEDYCPMREMSSKRVKRRHTPKQIQELEAAYQKCTHPDAKTQQALSSKIGLEILQVKFWFQNRRSQIKMKAHVQENNVLREDYDALLADNFSLQEAMLMQSCFKCGAKPTPTKLPLDKQHLLLENERLNNEFLRASAILNMLYCVETPFGRPVSTSLLPVPALSGGEGERDILLRHAQCAMEQFDMLATKGEPIWIVTTDGEVLANRVYQETTFSMYLGPRPSDFKVEATKVTGMVRGSAADIVSILIDSDRWSEMFPGIIAGVISGEVILGSMVAPCDGLIQLMNAELWVQSPRVPNRTMQFLRYTEMKTDKKWVVMDVSVDGLLGQNMNLNNNNNPVLATHTECRLLPSGCLIEDMSNDYCKVTWIVHAEYNNTTVPMMFKPLFFSGQGLGACRWLMSLQRQCESLHARDAPVGINTATMSVKGRRSILEMSQRMLGGFYAAVSGPINQRQKDIREWHSGSSDTNAMGIVAVVRMVTWQNATNMATEPIGLVLSATATFWLPCTTPKCVFDYVCDLKNRGEWDFLINSAKVKELVSITTGHQDSDVVSVLYPDVTYGTNGNMMILQEAYIDESSALVVYSPIDEISIGAIMNGGDHTSVFLLPSGFAILPDGCSKAHRTRVDAPGSSNTLLTTIMPKVAVQTLIPGSQSGNLTTWAFDVVGKVICGTVKKIQTAVKADTVIPA >Et_5A_041693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2608173:2610611:-1 gene:Et_5A_041693 transcript:Et_5A_041693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSLLAPVAQDPFADLPFPDFQAPPVDDNFAFEDFDLDDLDLDVDFDLDLFAPDGQLSSPPPPLTTSSSSAASPERGSSSSGAGADGGLRNEESSESSSRSATGKDSRSTEKGDGPEDEAKRRARLVRNRESAHLSRQRKKQYVEELEGKVKAMQATIADLSARISCVTAENAALKQQLGGAAGGAPPPMPMYPAVYPLPLPMPWVHPAYAMRGSQVPLVPIPKLKPQQPAPAAAEPPAKKARKTKKVASVSLLGLLCLFMLCGCLIPAVNRMSGAVDAGEGAAFGPSHRGRVLAVEGPRNIVGEGIDPKPPQNASETLPALLYLPRNGKHVKINGNLVIKSIVASEKASSHVSSYDGKILGSQGKEETSLAIPGYVAPLKAGEVMESTTELKNKLMALAPGDGKIYRDDDGLLPQWFSEAMSGPMLSSGMCTEVFQFDVSQSSSHSNGIVPVYSNAMSNSSQNFTENLPAGRPQKVNRRILYNEAIPLQGSTSNNTEHLKAHPKNESYARSKPSSSVVVSVLADPREAGDGNNEGRISSKSLSRIFVVVLIDSVKYVTYSCVLPFKNHSPHL >Et_3A_025468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3072599:3075847:-1 gene:Et_3A_025468 transcript:Et_3A_025468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPASAPNPASPAPAPAPQARHMFVFGAGFVGRYVSERLLAQGWLVSGTCTSVAKKRELESLGMCASVFNATESNLDDLPSLQDATHLLVSIPPIPGIGDPLLNSMGDLKRILSLGNLQWLCYLSTTSVYGDCGGALVDEDHTVNPMSESAKLRYTAEKGWLNLIDDPDLSAFVLRLGGIYGPGRSALDTLAKSKSLSQRQKMRESKQYTARIHVADIYQAILASMSLRCARKIFNVVDDDPAPRTEVFAFARSLIERIYPDLISESIETSSTGLDSQIRTIPAEKRVSNVRLKQELGVKLLHPTYRSGLQSILDSWQNEHIVPDMIR >Et_1B_011038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16945485:16947883:1 gene:Et_1B_011038 transcript:Et_1B_011038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRRLLSTAAAAAGAARGPPPIRVALTESSGRGVFATRPVAAGELLHSAEPLVSHPAPSLLHEVCYSCLRRKAAGEGRCSSGEGGYLCSDACREHAKGFHDVGQRVDWSLFDDHCSSRGLKYPYMARRLTCMVISGAATADCLNILQPARLHQGTLIEMEEEFLLLESAFRKAGFQEEVRTFLTKEWYINVLARIRINAFRVELIARSYEDLLSSAAASVSCDASVGNAVYMLPSFYNHDCDPNTHIVWLENANVKLKALRDIEEGEELRICYIDTSMDVHARQKILEDGFGFQCRCLRCLSGD >Et_2B_020398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19813425:19815757:-1 gene:Et_2B_020398 transcript:Et_2B_020398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKASSVDSRTRSTVSLCIVIGMCCFFYILGAWQKSGFGKGDSIALEITKRTDCTSAVLPNLSFDTHHSDGGSSSDLVSPVKKFKPCPDRFTDYTPCQDQNRAMKFPRENMNYRERHCPPQKEKLHCLIPPPKGYAAPFPWPKSRDYVPFANCPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVVPIDNGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANDGMYMMEVDRVLRPGGYWVLSGPPINWKVNYKGWQRTKKDLEAEQNRIEEIANLLCWEKVAEKGEMAIFKKRVNTESCPSRQDESTAQMCESKNPDDVWYKKMKACVTPLPDVKDQSEVAGGAIKPFPGRLNAVPPRIANGLIPGVSSQDFQNDNKMWKKHMKAYSTVNKFLLTGRYRNIMDMNAGFGGFAAAIESPKSWVMNVVPTIAKISTLGAVYERGLIGIYHDWCEAFSTYPRTYDLIHASGLFTLYKNKCSMEDILLEMDRILRPEGAVIMRDDVDVLSKVNRLARGMRWNTKLLDHEDGPLVREKILYAVKQYWVGGNQTAAA >Et_9A_063512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5664482:5669762:-1 gene:Et_9A_063512 transcript:Et_9A_063512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRRFAPGRGKRAAALLLLLPVLLLLCLFLSSLFILLLQDSPEEPQEAAPEEKTAAEGGGGRRRVVEAHAEVEEAPLPPGNTKLAFLFIARNRLPLDLVWDAFFHGDKEGRFSIYVHSRPGFVLTRATTRSHFFYNRQVNNSVQVDWGKASMIVAERVLLSHALKDPMNERFVFVSDSCVPLYNFNYTYDYIMSSPTSFVDSFADTKAGRYNPRMDPIIPVENWRKGSQWAVLIRKHAEVVVYDEVVLPQFQKLCRTRPLPEFWRDWDRPIPAEAWKAHNCIPDEHYVQTLLANIDNIYYETEYRKEWCTSNGKPAPCFLFARKFTRGAGLKLLNSLYSSGY >Et_6B_050011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3239964:3241113:1 gene:Et_6B_050011 transcript:Et_6B_050011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQITPAPATTPSAFATTYFAAWSSCRCSSPRSPRSLLRRNPVAAAAAEETTDYKLPNTSSVVWKVSLLTCAYLYFWVLALSRTTGAAAAFFSRVSYAALLAFAGGALVGPQFGVSVAHLTTAWAAGLAGHALAEHRIHAGFERAADEAAALTPTTQNDDDWIEVCYSVFVSVLVTLGLAAGVAWLAFFPTFGDEDGPFIVNLLSCLVWVGLHLRACLANAFLLRDALVSGDFMERLYFCYMASFVPGLVLFAVSTWLCVYFFGVEMMAMAAFLGYILAVNKHRMEILARERREQPAGMLELEPGDHAEEDKEPASAASTGEDAGTESCHLEENCPLIADHAYCISVN >Et_8A_056674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14968278:14969094:1 gene:Et_8A_056674 transcript:Et_8A_056674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTDYIKVASMSHPGLVTAEDMKEVKCPIEILGDQYDVATAPKKVYQFIHVLRQREIPYFAKIFPGVGHGFACRYNTSDPFAVKTAEQALADMLGWFAKYLK >Et_10B_002666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5460408:5460782:1 gene:Et_10B_002666 transcript:Et_10B_002666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDKEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGSDAFRKGKYPRCTQ >Et_4B_038451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28979750:28988948:-1 gene:Et_4B_038451 transcript:Et_4B_038451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESDVSGLLCVGMHETERGQESYATECSKMNQDTSAMYTDHSNTGAFLEEYHMDGSQPLEELRFGSTQHFELQQQVYIFTYLCIQLIYPVLFSAYLFVNLKSFVLCKTMVADSEEESMPSSPDTSSTTNYDMSGMEQNLQHIYNAYDALVDKDGPVMLSPDYIVVDETTHIEPHITFSSDGIKIEYLDLDLDTMITFDWGLSKIISISCKWARSAGSALITLFAESEAEIGNSGPTRAQFSIDDPQWPRKQENIWHLEGRYIEIWKDIPLTEDFEDIIYPQGDPDAISISKRDAELLLPETFVNDTIIDFYIKHLTTRIGSTEKRRYHFFNSFFFRKLADLDKDQGRAPDPRAAFLRVRKWTRKINLFEKDFVFIPINFSLHWSLIVICYPGEVATSGGMNKLKFYFRPIFEWSFSLHDVLLQMLSAKLPCILHMDSLKGSHSVLKGIIQSYLWEEWKERHPESATDNSDKFLNLRFVSLELPQQDNSFDCGLFLLHYVERFLMDAPSNFNPFKIDHFSSFLTDDWFPPAEASHKRSVIQKLIHEVVTGSLQNHPKLACSNEQLDESHQSRNAEGEPAGEVLAQSLSADQAELVSTIHDDAHGAQRSTSICKPLSASGGMVDSERVSIVAVQNLQESGVCLPENDAVYLSSQDEKNEPLVTELNMTSCVPEDGEVLKGSSCGLSYMEHEESLLVTLDDNQKNSSEAEVKVQDIVVSNHCSVSHNSEEVITYQEYSLQRNTDEVGHECYRPSQDMDSLVLLDSSKDDIEPNPERMTGENDGSDLGEIMGSVTQSDIDKDVAEVKREDSHVDHITVQNDVKDSVEQIDMTADNIHHSEQYVSSELTEENADHGMAGDISQLNVGNTNKVVTCGNAVSSGLNEGNTNQILGGPSTCGTNINVDAEEAYKHLSTSGAVPCKDATDGTVPCEDDTTGTETQDGAVSHEGRTSCTDAEMTLLDSSLKNKTIPETTTSEDNVQVPHPDSLCEEKAITGDECIIKDAGAKRDAEEANKHLATSGAVPCKDATDGAVPCEDGTEAQYGPVPHEGGTSCTDAEMTLLDGSLKNKTIPENTTSEDNVQVPHPDSLLEEKAVMGDECIRKDDAHGTDAKRDAEEAYKHLATSGAVPCEDDTTGTEAQDGTVPHEGGTSCTDAEMTLLDGCTKSKTIPENTTSGDNVQVPRPDSLCEEKAVSGDGCIRKDDAHGTDAKRPLPDGMCEIDTAVAERCIQKDNLHGTEAKKERHYKRRKVLAAESQKERSSSGV >Et_4A_035588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30183733:30186619:-1 gene:Et_4A_035588 transcript:Et_4A_035588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQALPGAANSSSHNDESSSKKEDPPWVMLDCFLSRPGVVVDDGSIGCAALAYGRTSTGDEIGVGFRAAALPTTSRLVLHLRSSSNSSPTTLPYDGSPKLHQAAAVAAHRNAILLRFVFTFALHDPVAEEFFVYLASAPTRLVKLPACSHRSINPEAGKNIGIVCRQNADVGGGGELEFAVAHLTLNQTETLLTPCPVKAKLCCMRSNTCSWRTTKCVPIRHAEGHGDALVWWETDAVVPFGDSTIGWVDYLRGILFCDDVFSDDPELRYVPLPVHPYDGDCDPVMDGRVHQFAYRRLCVTSDGADLKFVDVAPLNAWFSGAPDYSRRPAAISSWTLSGDRRTWVEDGRIDVVDFLALAKRHDLPCVLPEFPIVDVKDSNIIYCVVRDRPRSAREEYFSRESASARGRQVVVVAVDMSSKTLLDTRCDTAYFDHECYEPLLPFFRRAPIDMLTMNELGVCMRKMDIKGNFEQNPLNIFVMP >Et_10B_004169.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17275289:17276626:-1 gene:Et_10B_004169 transcript:Et_10B_004169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAAGGGAEERQGEAPLPCVLGLPVALPLRDAVSRMDAGVPRKARTGRQLPRPQPATWWAFRLPVPPPVKAKKTPAKARPPAAPAGEAGPVLGLMVPSSPPDLGAAAAAAGGGGPPDVEKPAKKARICVQCGAAETPQWRSGPMGRSTLCNACGVRLRAAGALRETQLTPPAAAKKAVEKPPPPPAPAALAPESPASDSSSDSPILQRLAPLEDVYLVRKPSTRERRPPRKDSPPPPSPPASPAVYLVKKKKPSKKKCRPRNTGQMCQHCGTTSTPQWREGPTGRHTLCNACGVRWRQGRLLPEYRPAASPTFDATEHACRHRDVLQLHQQQQTRWQPPSRVQLQPAVDEDVKPESDGDDKSNVFLVRRQQPAVKEYPPTPLHRPLLQPVNGDDDPRVGGNKDSAAGAGRGGGGDDATTGAMDLLLVPSAPLIVDGDFLLR >Et_3B_030642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5936478:5944209:1 gene:Et_3B_030642 transcript:Et_3B_030642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFTRSMAAKRAPLLGLPHDVVVEIAGHIAASSRRPMDDLRSVRASCKAMRAACSDPAVARRVALENETATKWLDDDDAAGNPEACFVAGMALIFAHRNARQGAAALDRAAAAGHRAAAYDLRKLERTTRRILATLHDAEEHWNIREESTRLRLSELKELAENIDGVVKEYEHEASRCKMEALKQSSRYQFTGKRKRHEENETCSGDTGVVQVPYEFLSRVTKLTERFNEIKHFSTRFSLSENDGERLLTPDIGSLQRHTTSFVHKKGIHGRDKDIDIIVEKLLSREGENGGRHVSVMAIVGMGGLGKTTLAQLVCNDPRVRQSFDNHAWVYVSENFDVNTITRDIINSLTKEPCDFTELSDLQEKLADEMKDKRILLVLDDVHNERGDCWDVLCLPMCAARICKIILTSRSEEVARLVQTMPSHRPSCLSFDESWSLFNQVVFPDQAFDAPANLIEIGKNIVKRCQGLPSAIKTLGSMLRYETDEDKWVDVLEYELSHLGKSHYKVYHH >Et_6B_048358.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:15629617:15630225:1 gene:Et_6B_048358 transcript:Et_6B_048358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALVWVPTPRRRRQHDALPNTVVLGVVVQALGQLVVVLRRALVDVEVDAVHRRGAERADHAGAGAAEEGVPEVVGDVCRRFVRREGVLTTMAADGQEHHYTLGLAVLDVVADAGERVAGEVEGVLALAECAKEGDYDSFIQASVAGLAQGALALAPAPVDGDVAGGAGESTCQLQGEKKCQEQAASFTAPDRHLGEIRRCWVI >Et_10B_004093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12038031:12039919:1 gene:Et_10B_004093 transcript:Et_10B_004093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDIDMTPDFIAFPMQVPPLPRRNDVVLPPLDTAAFQHPGIRFAPQPVSMSMPASPGSFGAPTPTAVTTDSADLRRQAFANAAAARGPPHRLAAQDKGSNSFQFAPPERDEMMFRSQPIPRPPPARARSRGTGARRAAAMNWPDRRYDSFKTWSGMLERQINHLAGGHEFDGDEHDDGVDDFAASRRTAATSVPEVDRFYAALEGPELDQLKPSENLVLPSDTLWPFLLRFPISAFGMCMGVSSQAILWKTIATSVPTTFLHVTTKVNLVLWCISVALTCAVSLTYSCKVAFFFEAVRREYYHPIRVNFFFGPWITLLYLAAGVPHSVASTAALPHWLWYLLMAPLLLLGLKIYGQWMSGGQRRLSKVANPSNHLTVIGTFVGAQLGATMGLREGPIFFFAVGLAHYLVLFVTLYQQLPTNETMPRDLHPVFFLFVAGPTVSSMAWAKIAGEFGDASRLAYFVGVFLYASLAVRVNFFRGFRFSLAWWAYTSPMASAAVATIRYSTEVDNGFTKAMCVALSAVATFTVLALFATTMVHAFVLRNLFPNDICIAITERKVKPIMELQETGGGGNDVETAGATPT >Et_9B_065839.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14546350:14547240:-1 gene:Et_9B_065839 transcript:Et_9B_065839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGHTGQSPSETLMAALLAVPAAEFAFLARALAADARRLRCRLAFLLLSPPHFARALARLRAMPLHAKAALLGRVLLRSLLLLVPALCPDVVNGRGASSTHHHLLLPAPDLDAALLLLAMCDSYSPAAAASSPSPVDWRAVIVEDVVASALSVSGLGADPSWAALAPYVDAAAKCRRFADVVSGPGDRDAGKDGKGCSGASYAAVVLAAPLPPASGDDGAPCAICREEMMAATTPAGRGVCALRPCGHRFHWHCALRWLARRNTCPCCRAELPARDAVAETRRLWRAVERVARGG >Et_3A_024590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22340625:22345196:-1 gene:Et_3A_024590 transcript:Et_3A_024590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRIALVILFSAALLQALLPAPAEGLVRITLTKRPPIENEERRRGFLGNSNDAAASKEKAEEAASEGDIVALKNYLNAQYFGEIGVGTPPQRFTVIFDTGSSNLWVPSSKCYLSVACYFHSRYKAGQSNTYKKNGKPASIRYGTGAISGYFSLDSVKIGDVVVKSQDFIEATREPSITFMVAKFDGILGLGFKEISVGNAVPVWYNMVKQGLIEDPVFSFWFNRHAGQGQGGEIVFGGIDPSHHRGNHTYVPVTRKGYWQFDMGDVLIGGKSAGFCAAGCAAIADSGTSLLTGPTAIITQINQKIGAAGVVSQECKAVVSQYGQQILDHLLVETQPTKICSSVGLCAFDGTHDISTGIRRVVDDEVGESNGVFSNAMCNACERAVVWMHNQLAQNQTQDLVLQYLCERIPNPMGESTVDCSRLASMPDIAFTIGGRKFVLKPEQYILKVGEGPATQCISGFTAMDIPPPRGPLWILGDIFMGVYHTVFDYGNMKVGFAEAA >Et_1B_011521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23170376:23172318:1 gene:Et_1B_011521 transcript:Et_1B_011521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAKDLTAGTVGGAANLIVGHPFDTIKVKLQSQPTPAPGQLPKYSGAIDAVKQTLAAEGPRGLYKGMGAPLATVAAFNALLFSVRGQMEAVLRSEPGAPLTVKQQVIAGAGAGVAVSFLACPTELIKCRLQAQSSMAEAAAASGVALPKGPIDVAKHVVRDAGARGLFKGLVPTMGREIPGNAVMFGVYEATKQYLAGGKDTSNLGRGSQIVAGGLAGAAFWLSVYPTDVVKSVIQVDDYKKPRYSGSIDALKKIVAADGVKGLYKGFGPAMARSVPANAATFVAYEITRSALG >Et_3B_028216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1209513:1210773:1 gene:Et_3B_028216 transcript:Et_3B_028216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNTVRVAVGILGNAASMLLYAAPLLTFRRVVKKGNVEEFSCVPYILALFNCLLYTWYGLPVVSSGWENFPVSTINGLGIVLEITFISIYRFALQLGLPVLTLFGFTACFSSFFIHTHRMRKVVVGSVGLVASISMYSSPMVAATQVIRTKSVEFMPFYLSLFSFLSSALWMVYGLLGRDLFIASPNFVGCPMGILQLVLYCMYRRSDENPGKPYDVEQENGLKVVTVHPQEITGRKPEAQK >Et_8A_056719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15876510:15883220:-1 gene:Et_8A_056719 transcript:Et_8A_056719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVSQILEQQVLSVAKAVEDKLDEEIAALERLDPDDIEALRERRIQQMRRAAERRAKWRALGHGEYSEVPEKEFFAAAKASERLVCHFYRDNWPCKVLDKHLSILAKQHVETRFIKVHAEKAPFLTEKLRIVVLPTLAVVKNGKVEDYVVGFDELGGKDDFSTEDLEERLARSEVIFLDGEGPSNPSKHAAATKRSVRQSDTGNSSDSE >Et_3A_026347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7069641:7094974:1 gene:Et_3A_026347 transcript:Et_3A_026347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQIGAGRHAGHERPGELGLRAQPAGEERRAVIYGRGEAVLRGEAVLDGEHDGGEIGGEALAAGVEHRLVVGADAVATTVEAGAVRAERVRQRVIPGGAHRQRHAPELVRCIHRQGHASRRIRLGSHALDAAEERGEEDVPGEAQRTERGLSRGDLDHDVVGQVGAGRHAGHEHSPEIGRLREPWLGVGAERGGLVTQPGEERRAVLNGRREAVLRGEAVLDGEHDGGEFDGETLAAGVEHRLVVGADAVSTSVEVHKHWELLITLFAGGQRRLVQTNLEAARRVVHEILPLNAGDVGERPCGHQDGLVAAGNGAVAEEPDHADQVLNDLRRRRAAGHGVADRSIDAGGLQVQELTIVVVVSIAAGSFRNPYAPCTRAGPTSPPFDAPSGGSVSVAARSTLPKNAEKRKYPTTRTAPSSMCPEATWTTTWWARLAPADTPDTNVRKKSAESVSHGSPPSPAPSESCSLSHEMNVAPSAMAAGRRCSGARRARRRRARRRNAGNRSGPLDGLATSVEVNQHRELLVTFFTGGQRRPVQTKLEAERRVVHDVLPLNAGGVGTRGTALPDQRRFVTADNGAVAEEPNDAEEVLHDLWRRGVAGHHESPTKTKRALTPEHTPTQNQPRPIGLLRLVHKPLQGSDQLHVGLTPLCNGESVFLPLELGELHGLPHLHQPLRVAQYVTEEHVYLGRHDEHRWQLRRLKVGAVRTEWVRQRVVPGRAHRQRHAPGLVGHLHRPGHACRRICLRRGALDAHRSELDLPRRDLNHDVVGEVGAGRHARHERPGEVGRLREPWIGPCSKPCLCAQPREESRAVPYGRWEAVLQGEAVLDGENNGGEVGGEMLAAGVEHRLVHRELTLFVGSHWWPVHANLEAARRVVHDILPLDAGDVRERPYRHEDGFVAADDGAVAYEPDDAEEVLHDMRWRGVAGHGVPGRSIPRRVAQHVAEEHVGLGRDDKHWRQRQSFQGRSFQAERVCQRVSSPRLRQAATCARAGRTSPPPKHGDNRTYVPSDPDRVGIDVP >Et_4B_037136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15098029:15102121:-1 gene:Et_4B_037136 transcript:Et_4B_037136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLTKLWKRFKSNENPPEHLGVSKEYNVDMIPKFMMANGALVRVLIHTSVTKYLNFKAVDGSFVYNNGKIHKVPATDVEALKSNLMGLFEKRRARKFFIYVQDYEEEDPKSHEGLDLHKVTTREVISKYGLEDDTVDFIGHALALHRDDNYLDEPAIHTVKRMKLYAESLARFQSASPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDENGKAYGVTSEGETAKCKKIVCDPSYLPEKVKKVGKVARAICIMKHPIPDTKDSHSVQIILPKKQLKRKSDMYVFCCSYAHNIAPKGKFIAFVSTEAETDKPEIELKPGIDLLGPVEETFFDIYDRYEATNNPEEDSCFVTNSYDATTHFETTVQDVLSMYNKITGKELDLSVDLNAASAAEQEAA >Et_4B_039176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8497099:8500771:1 gene:Et_4B_039176 transcript:Et_4B_039176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSMDHGGAGGRGKKQGGSQLWKKALLHSSLCFVMGFFTGFAPSSVSDWTSAAAAATAGGLGSSHVVRALGGGGAAANRSLLAHGGGLGGLADTAAATPRPLLVVVTTTESASAANGERAAALTRMAHALRLVAPPLLWVVVEAAPDVPATARLLRGTGLMYRHLTYKDNFTAADAAAGKERHHQRNVALGHVERHRLAGVVLFAGLGDVFDLRFFDQLREISAFGAWPVATMSRDEKKVVVRGPACSSSAVTGWFSQDFSNGTAATEASTARPREVDVHGFAFNSSVLWDPERWGRYPTSEPDKSQDSMRFVQQVALEDFSKVKGIPSDCSEIMVWHVDTTVPSSSSHPSTGNNRR >Et_10A_001072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20885394:20889934:1 gene:Et_10A_001072 transcript:Et_10A_001072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETSSSSSHPRQDPCVLAGYGFHGAMGNSTPPANFFDQEGATYFGELEEAFMHQTPTFRRTQQAAGTSTAHHGDTTPFPIAATAMSAARPVPTLDIFPSWPMRSPHTPKEGSNVTAGSTGSESSSKNSSDHSLDQLGAAANMASHLDQVSQQQEQQHKTVRRLAQNREAARKSRLRKKAYIQQLESSKLKLSQMEHDIQQARAQGLLLGGASGANTSSGAAMFDMEYTRWLDDHGRRMAELHRALHAHLQDGELRVIVDDTLTHHDELFRLKALAVKSDVFHLITGVWTSPAERCFLWMGGFRPSDLLKTLLPQLDPLTEQQVIGICNLQQSSQQAEEALSQGLEQLHQSLSDTMAGGSAIDDANTSFMGQMARALGKLSNLEGFVLQADNLRQQTLHQMHRILTVRQAARCFLAIGEYHNRLRALSSLWASRPRE >Et_7A_052843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1916620:1917153:-1 gene:Et_7A_052843 transcript:Et_7A_052843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLCVALVSSLLLVTLAGASSSPPLPSSLSRQQAQIFGRKGRELGQLGYRYQHEQNSEEVVMEVKKPAEKKAGWTDQGDDGKEKGPIYNADYEGVAMHAGSPPKHKHSKP >Et_4A_035312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19262301:19265331:-1 gene:Et_4A_035312 transcript:Et_4A_035312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGEEVIAEFERLTKDATAVQRETLRRILAKNAGVEYLRGCGLGGQTDPDSFRACVPLVTHQDIEPYIQRIADGDTSPLLTAKPISSISLRSVTNVAAAKPISSDPRESSGTTQGKRKYLPFNNELFKSAIHVYRTSFAFRNRAFPVDDGKTLQFIFASREFTTAGGLTATTATTHLYRSPEFKPTTSDVRLRSASPNEVVFGPDFEESLYCHLLCGLLAAGEVRVVSATFAHSIVLAFQTLERVWEDLCADIRRGAPSPARVATPAVRRAVAALMPAPNPARADEVASACAGLSNKSNWRGVIPALWPNARYVCTIVTGSMEHYVRKLRHYAGDDGLPLVAADYGATEGMVGPNVEPGAPPESATFAVLPSIAYFEFIPLKLGGLYRYRLGDMVKVTGFYNSTPKLKFVCRRGLMLSINIDKNSEQDVQLAVDDAAKVLATTATKNNLEVVDYTSYADLSSDPGHYVIFWELNNDANNNDDEVMQRCCDELDRGFADAGYVGSRKTRAIGPLELRVLKKGTIQKVLRHYRSLGFSPNQFKLPRCIARSNFGVLRILSANTAKVFFSTAYN >Et_10B_003741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5417143:5431102:-1 gene:Et_10B_003741 transcript:Et_10B_003741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRRREGGRGDGGNERAAGGGGGEPTPLRSPPSPAQALKRRCRSFDLETRGCTCCRHHQELHQSVQAVHRLETTFGQFKQEVKEVLTSLLNRLPDPRERIQQNLTPTYKLIFVDSLSDKIFTKRDVRAADGKHIKIRMVSSSQEGGNLPCLLSTANVKIVVLDGDFNADNRDIWTSEEFDDYIVRPRDRVGAVLTGKLDIKLENGEACLHDVTFIDNSSFTRSRKFRLGVKLMGDLGDQVVQEGITEPFTVKDRRGEGYKKNDIPSADDELWRLKHIRKNGNFQQALEQSGIYSVKDFLRKYHTDENSLRNILGKASPFVWKTIVDHAKKCDPGSNLYSYFVRDKNIRMYFSSLGQIVGATVAGRYNAFGDLDTPWKSQVEESIKDAYEYVTYHQPDYEMYNSQPRPIDWGTLQGSITPGPKSTEPNDQIMHETDEQHTSKSNGMSGNDSPDCTFKGPGSVQVHTLPTLQEDNETLDSHPEFQYENLDANCNTGSGTLHSTTAAEETIGSAALNQGAMTMHQDNGQMVYTNNAFSIGQWYSEQQVASQYASPFSQCVQGDLPVFSTQHSFNMDQLFEDIPVDDNPQFGIRFMSNLPADVPCGITKLPSCRRWVKFSALVKWKAMRALEEGGGAGGGPPSPSSVLKKRCRSFDLEIRGCRHLQELAARCAQSVQVSVDAAVQSAVARITEDVTKAIMSFLSRAPRTLVDQNQPPRYRLSFMNGLDSEVFTKKVIYATNGEPIKICMVVNDQKGSEETDLHRHILSAKIKVVVLDGDFNKHNQECWTSEEFRNCIILPRDNIGAVLTGVSELRLKNGEALLHGVTLNDNSKFVRSGKFRLGVMVDDNLGERILEGITEPFIVKDRRGEGSKKHDIPLLNDDVWRLKKISKDGVFHKALSSGSIFCVRDFLRWYYKDEQTLRKILTNATKKVWTTIVEHAKKCDPGKELYSFVVQASNTTLFFNSVCQIVGATFGNNYTPFSDLDMHRKELVGQWSKVAYKNMTFHQPDYELDNGKPRPIDQSTLHELFMLEHKVTDLMQGPNEEYVCPTDDHQGTSYINSRHCKLKRLGSVRFTQTDEDSFGFSFCLDPIPEQYCASTSANDITGSVTLQCAATTANEITGSVVLSQAPVTTDHETYNILPLTDNDASVHQSCEENQPVRAHFSHSLSSIRALLDSPIYSRHSSFKDSDCHQAGAVGSGPTL >Et_4A_034300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31560269:31561437:1 gene:Et_4A_034300 transcript:Et_4A_034300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSPDVACQAHQLGILAAALEGYEKAKAAMVYNYKNVKIGVSVRLTPSELEAVKKQRPHVNRVLPSATLQLMSRIQYPMLRCTTTKPEK >Et_1B_013408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8100349:8101439:1 gene:Et_1B_013408 transcript:Et_1B_013408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAAAIITFSIADETFGCIKSLPFLNAVGVHLVELDGCLCAVRVLRNASPALEIWNLQDQTTGVWFLDTRIDVSDDTEPNLLEGGVIGVLGTIGDRRSAGEIIMATSDHTVHVYDFLTKNVQAIVSFAPWETPNNVWKMTDNAFVAGNGNVGFGFNQIKHEHVSVVILYHFKDFESRDYHLICSVWHWRTRSFREGFVLPLPANDTLPAYVDGVLYWMSDTWLGRPCDEHAIVCFDIAKEEFGVIRCPPHTLTSSRLSVAELQEELCVVVAVLAANELVVWTLEFLGYSIRNTFESVAWLSLVSNIVVPLAVDPKDGRILLSTGRRIWLYDQESESMEELYATDEILRSEKMGGARVDQD >Et_6A_047722.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:11627704:11627901:-1 gene:Et_6A_047722 transcript:Et_6A_047722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKGKTRQFKVAEGTAYPGRELHTVQMPKECARVFVGRVIDDKFLKDELDYLDEKVGLRLSKMQ >Et_3B_031529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3598149:3599119:-1 gene:Et_3B_031529 transcript:Et_3B_031529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMPTLWLRNTFCPCLPGAAPAPLRADCFDDDGDCYEELLVSMPPHVPRGLSRDAPPPGAGREVEQQPVIPPQADGGVGAGAWLAWKITYRANPFTDPTPHGVLESAAPRLAPISCRFLRRPEQKHKRRVFICTGCPPGGIELRSMASPPDLASAMSLGTLDIIWQVMQEEKGLFSEMCQRDSFCFLCNRTYCGHYCFCHHVYRFSTS >Et_7B_055106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7356624:7367301:1 gene:Et_7B_055106 transcript:Et_7B_055106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALATLYAENSIGHPRDSTEPASKSFKNLASTSSSLSSNVPVVLPFGNSATATTLLPPSLGVRNPEYMVMSVLTYPGCAQLMRNSGCLFARILEYAFMAALVTLYAENAFGHPRSSTALASKSFRNMSSRSSNLSESAPVGHPAGSSATAAALLPPSSSGVRYPEFQGASSPGPSSEYAFKAALATLYDDKSVGHPRAGLEDVSQERGHQLVQPLLGEMLREGRTCASPPPDPATPSPFETFHAAARAPLASLLARLSAARSRVVVVVAFDILSTFAAYVPCVLLCSVPHCLVS >Et_7B_055471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11263232:11266190:-1 gene:Et_7B_055471 transcript:Et_7B_055471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLGMATPDSPPLSSVRVNKPLAAANRLLAAVHAALAAAAIAPRALLLLAAGGCGAGPLLLHVAMLAADLTLLFIWALSQAPMWRPVTRAAFPDRLLIRRRSSLPGVDVLVVTADPEKELTVEVMDTVVSAMALDYPAGSLSVYLSDDAGSPLTLLAARKAYAFARAWVPFCAKYQVRCPCPSRYFAGDDVRDGGDDDRHGFAEDKLRIKVRAYVSENVRDYMTEELEEAKKQQLGASGTKADHDAYVEIISDEDEAGDDKDEATSSMPLLVYVSREKRRTSPHHFKAGALNVLLRVSALMSNSPYVLVLDCDMNCNSRSSALEAMCFHLDDDLPDKQPLGFVQFPQMFRNLSAKDIYANELRSIFSTRWKGLDGLRGPILSGTGFYARRDALYGATPSSAPQGKISSAPWSPVSWRGASVRSLNDDPAAASSRRRRGHEDEDAVLRLVARCAYETGTAWGHEVGFLYQSVVEDYFTGYRQLFLRGWTSAYCYPAAAPGSSRPPFLGSVPTNLNDVLVQNKRWMSGLLAAGVSPEHCPLRSVSLVDAVSTLQSMSFAYYAFAALYAFPVLCYATLPQLCFFRGGAGVPLFPNTAASVAVLVSSALQHLVEVSVAKRGRVVVALRTWWNEQRFWALNAVTGQLFACASVLLELVGVGTIGFELTSKASDGKLYRDGVFDFTGCSVLLLPATTLSVLNVAALVGGTWNNVVNGNARGGGFPSGDVFPQLFLLCYGAALSYPLLEGMFLRQDAARVPVRITAASVAIAAVMLAMFG >Et_2B_019361.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25453482:25453538:-1 gene:Et_2B_019361 transcript:Et_2B_019361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVRGRRPPRCTVVQA >Et_9A_061141.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:18578358:18579011:1 gene:Et_9A_061141 transcript:Et_9A_061141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKKHKHKQKDKDKDKQSEQPHFKPCADVKGIRFGGQFIVKSFTVRRASPLELLRLLDIPPSCLSECQSLPFPSTTAYMPTSFTILAHQAWHTLTLGLGTKKSKVVLFVFESESMKAAVDQLWPAMIPLGDVNKKLIRGLSGSEMARFKFRKGCLTIYVYAVRRLGAAGFMRADDLRRILQSVVELKDFLDHTAMLAVPSQKSITLQSRVAVAH >Et_6B_048428.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:3368391:3368432:1 gene:Et_6B_048428 transcript:Et_6B_048428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKLNFWRAERD >Et_3A_024800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24372627:24374697:1 gene:Et_3A_024800 transcript:Et_3A_024800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLVALFFILALAAGCASSSSPSPDAAALLAFKSVCSDPSAALRSWTASSDPCAGGWRGVTCQPSSRPRRVRRVVLEGLRLCGHAAALELLAAGLPLLSFLSLKNNTFAGALHGVDFSRLAPHLKLLHLSGNRFSGRFPESVLRLRHLRRLDLSGNRLTGAVPAEIGHRLRALLTLNLARNSFVGPVPTSLEAVAQLAELDVSGNHLEGRIPKRLAAAFPASSFAGNPELCGAPLPRGCNGQQQTTVYSKGGGGQSDDGSLTVKRKNRDRWMVVLIMSAVGAAVASLVLAALCAALWLKNRKPTRQPRATSSPSPVRSAVAREEETVRFDGCRVEFDVAALMRGAAEMLGKGAAATTYRVVMGGDDDGVVEEAKGEVVVVKRMRRREGASRGEERRRRELARQMGTWRHPNVVGLRAFFASAEELLLVFDYVPNGSLHSLLHENRGPARVPLDWQTRLKLAQDAARGLAYLHGASGGNIAHRHLTSSNILVDGDGNARVSDFALLQLLAPAPPPGAALQKQDVHGFGVILLELLTGRADADQLPRWARAAPARPAEWTHDAFDVELPRSKDAADEMVALLQVALLCVADDPRERPRMALVARMIEDIRERGSRRSNRCSASPSQAAARSYESSSPCVSTEDTTRSTPASSS >Et_7B_054376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20605584:20626653:1 gene:Et_7B_054376 transcript:Et_7B_054376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHSSPAPAPFPDDDDLLQEFLLRLPPRPPSLPRASLVCKRWRRLVTDPQFLRRFNSFHCHQEPPLLGFFVDIFDEIQTAPELTPTLDSPDCIPPARLSLPLPRDERWHFIGCRRGLGLLVDLTRLEITVWGPVTGDRRRVTVPPDLFGDDETKIVRNGALLCEDGYSGCSRTKPFKVVLLRTDDWVDPNAQAFASFYDSKSGVWSNLVSTSIKGPLSLIQPGILVGNSFCWLLNGYEKGGILMFDLDRQSLATIKHPAAARVTKYSRFQTLRMEDGGLGLAITSDGTIQLWERKASSVDIAAWMLQKTIELDKLLSLESPMESSDATILGYDEDGHVMFIWTAVGVFMVQLKSMKFRNLFRSNMITTFHPYRSFYTIGMVRGQLAPKTSLAPRSLDSSPVQMSEGSSSSPAAPPPPPLPDSDDILRQIFVRLPPLPSSLLRASLVCERWRRLLSDPQFHRQFSAHHRVPPLLGFFVGGWHNSHFTPTLEPPNRIPSRRFSFALLRDHDWSFECCRHGLVLLLSLKREEIAVWNPMTGDQRRWALPLGFSHSPNHSLQAALVCNDQSKQAFRVVCLRSDSDLTQAEPQVFASVYDSETGVWGDLISTSIRSLLAFKPSILVRNSIFWLLGFTNNFGILQFDMDKQSLAVMEFPKDADITGYSGFQILRMEDGGLGLAISSEENDSIQLWERKADSAGAAKWMLQKEILLPQLLSMRSLSPTLLGYDEDGHVIFVWTIHGVFMIQLKSMQFKNLFETDSLDTYHPYRSFYTSGLHPQTHSNSPVQMSEGLMRRRDSSPAPAPLPDNDDILREILVRLPPLPSSLPRASLVCKRWRRLLSDPKFHRQFSAHHRAPPLLGFFVDNVGNSYSTPTLEPPDRIPSARLSLALPLDEDWSFHCCRHGLILLLSRMRDEIAVWNPITGDRSYVALPPGFSNIGPSVCRHAALVCEHVSKQAFRVVLLRSDCSLTQAESQVSASVYDSKTGVWGDLISTSIMALLALKPSTLVQNSIFWLLLGHKSVGILQFDMDKQSLAVIDIPIDASVTAYSCFQILRMEDGGLGLAISSEENDSIQLWERKANSAGAAKWILQKEIQLPQLLSVTSLSPSLLGYDEDGHVIFVWTIPGVFMIQLKSMQFRKLFKTNCIATYHPYSSFYTAGNNLYVPFRNGIFLFVSGYCNIFHMVSIDSQNV >Et_1B_013759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15613787:15616184:-1 gene:Et_1B_013759 transcript:Et_1B_013759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKCGGHNRSLRDTKKSCRSLTSTSPHAAPAASVVSISSPEQDSIALMEDDSMEIQLLLTGPEDESRLDECLKGVSDHRSHPLIFSDLMMGYDICPLTRDDVTAVEQSVTDCEQEQDDIQPNMLGQIQSFICFINRLRSRGGIHRSRKNNDHWTRDQMTELVKGVTNLGVGRWSAVKGATRLRTSIRTAVHVKVQLQEATELIVQEFKYHILEMEASNNHAKKKKRISSIRNRSRRIN >Et_3B_028937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20609232:20613334:1 gene:Et_3B_028937 transcript:Et_3B_028937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGTSSSSEVVSTRDHTRVPVRGEALAGGADKRVNGAARSARRRRLELQRLGRTASAAAEDDAAKRVRPVSDSSSDSSDSAKVAPEPPPPLPAPEAAAGRVPVCLSHGAVSVIGRRREMEDAFSVAAPFLPAAGFFAVFDGHGGARVAEACRERMHVVLAEEVERLKLGDCEDDQGGARWKEAMAACFARVDGEVGGAEDDDEDAGGEQTVGSTAVVAVVGTRRIVVANCGDSRCVLSRGGVAVPLSDDHKPERPDELERVEAAGGRVINWNGYRVLGVLATSRSIGDYYLKPYVIAEPEVTVTDRTEKDEFLILASDGLWDVVSNEAACKIARNCLSGLAASKYPESVSGSSAADAAALLVELAMSRGSKDNISVVVVELKRLKSRKARRQNGR >Et_9B_065583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7437324:7439341:-1 gene:Et_9B_065583 transcript:Et_9B_065583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGRLRISAEPVPVVFRSGNLSLAAERRSRPAWHHKYAPHPAPAFQRKGVSQTAARRSRREAAIKHTATGGIPAKKKTMGSASPPRDGMADYVETVKERKQEEKLKNGKDLDDWLPITSSRNAKWYYSAFHNVTAMVGAGVLGLPFAMSQLGWGLGVVVIVMSFVITLYTLWQMVEMHEMVPGRRFDRYHELGQYAFGEKLGLWIIVPQQLIVEVGTDIVYMVTGGQSLKKVYELLCTSSSCKDIRLTYWIMVFASIHFPLSLFPNFNSISAVSAAAAVMSLTYSMIAFVTSGVKGAQSTVAVDYALRASTTTGQVFGVLNGLGAVMFSYAGHNVVLEIQATIPSTPEEPSKKPMWRGVVVAYAIVALCYFSVAFSGYYAFGNSVEPNVLMSLEKPRWLIAAANLMVFLHVVGSYQVYAMPVFDMIETVLVKKHKFPPGFWLRFIARSSYVAATMFVGMTFPFFDGLLGFFGGFGFAPTTYFIPCIIWLMLRKPRKYSLTWIINIICIVVGVLLTAISPIGGMRQIILDAKTFKFYA >Et_3A_024196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18307685:18310409:1 gene:Et_3A_024196 transcript:Et_3A_024196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGKPDPAAPPQPPSAAKVMFMRRIFPFLLATNVFIGVYVFAKTYKRDQDKKNAQAAAAAAAAAALSSPTSATAKDANPIPAPVPAPAPKRVLPPVSEEEQRQLYKWMLEEKRKVKPRNAAEKKKINEEKALLKEFIRAESLPRL >Et_1A_009012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25284704:25289026:1 gene:Et_1A_009012 transcript:Et_1A_009012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDALAAHSAANADAKANFFCAAAGVYASTHPPVPLPADPSLSLVPHLLSRLPHARPHAPALLDAATSHAISRADLCRLVSSLAAGLTRRLGLRKGDVVLLALPNSVVFPVAFLAVLAAGGVATTMNPSSAAAEIAARVRETRPAVVLAAPGNAGKVPPLCAPVVLVPESFDHRDGGGAPEFAPFRALLDSGAATAPAAVGQDDAAAVLYSSGTGGPSKGVVLTHRNLIATAELFVRFEASQYAQPACDNVYLAALPMFHVYGLSLFAVGLLSLGSTVVVMKRFDAAAAVEAIGRYRVTHFPLVPPIMAALVAAAKTAALTLNSLVQVSSGAAPLGAKLIADFVKAFPHVDFIQVCAFVYPAHPTPVCHSILSFIRPQRAVKQGGSPASSQTAEVASVPASNIFSIPFLPARNIMFAQGYGMTESAAVGTRGFNTPKQRKYASVGLLAPNMHARIVDQETGACLPPGSCGELWLHGPAIMKGYLNGENTCLRMDGWLQTGDIAYFDSDGYLYIVGRLKDVIKYKGFQIAPADLEAILIEHPEILDVAVTSTDDEEAGEIPVAFVVMKAGSALSCTQVIEYVANQVSTYKKVRKVVFVESIPRSAAGKVLRRLLKDSLRDDVASASSTPGSNCRSRL >Et_10A_000667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1560108:1571281:-1 gene:Et_10A_000667 transcript:Et_10A_000667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELDVAFAVVTPAFPLRACSRMSATPAQRREEHGRRHTVVSVDIEAVLGEGDLDVEVGLDEAAVKAVYGQEAPRLVTTASCAVSWASTPAATCSVWRVLPECTHAFHQLCVDRWLRLRPTCPFCRSPPAVPGHVLIVPGQRSTWSASIVPSPVATPLAKTISQHCHKSMSWGRTVLIAHMRRPAQLGVHCQVGDHDPLRHVFLPARWKRQDPPRRHASSQPVHGSAIPGHPPSENVAARAGISSPCAVQEELGATAHHDTYQFAPRRGQQHARQDDAVVRPMRRDTIPPPKNRSKTLENEGGCGT >Et_1A_008518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8329033:8332188:-1 gene:Et_1A_008518 transcript:Et_1A_008518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRTPPHMRRLLLRACCLAVLLLQQWSSSALSADEVALLSVKAALSDPAGSLASWNASNNLCTTWRGVTCGRRRPPGRVVALRLNSLGLRGRLSPLLGNLSCTGLATLSLGNNRLGGEIPDEVCALKNLAYLNLESNQLSGGIPASVANLTSIQELRLGYNALSGAIPPSLGALPNLSALAVYFNNLSGTLPDTLWNVSSLTKLIVSGNDLTGTIPSDVFDRVPRLRYLSLSINRFHGQIPSSISNASNLVTSQANNNSFTGTVPSQLGGLKRLNFLALYFNSLEAKEPKDWALMASLANCSQLETLELDSNNFEGELPSSVSNLSTSLQWLTLSGNQLSGAIPEQISNLSQWTSPSSLSTIQGLQYLSLAGNNFWGDIQWLGNLTQLNYLYIGACSFNGSIPTTLGNLTSLLEFDLSRNSFTGSIPPSLLKISTLTNYLDISHNLLEGPIPFEIGNLKTVSVFHAESNSAFSIHGDAKLCGGISELHLPSCPSDLVEKEKKKTPVTPIVVPIVATLCILLFIYFLIIRNKKRSTGSPSITPMTGHPQVAYWQLVRATDGFSEANLLGAGTFGSVYKGSLDEDSDETANLVAVKVLKLQIPGAVKSFEAECEAMRNIRHRNLVRIITACSSIDSKGDDFKAIVFEFMPKGSLEEWLHSGNQSGERQLSLIQRVDILFDVANALDYLHFHGASPIVHCDLKPSNVLLDDNMVAHVGDFGLARILAEGCSSYQIATNSMGFRGTIGYAPPVHRSTNIPPLLNATEYGAGNMVSTHGDMYTYGILVLEMLTGRRPTDNAFDVALGLRYYVETALNNNVMDIVNVELLAELENERAPVQGTSSSRGRRVESLISLLKLGLLCSVETPSSRLTTKEIMKELHVIKDELAKSEQGSR >Et_1A_007675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37110007:37114870:1 gene:Et_1A_007675 transcript:Et_1A_007675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQAQEAAESSASGGGAGLPLAVRELVAGGVAGGVAKSAVAPLERTRRAEFHGSGLMGSFRTIFRTEGLLGFYRGNGANVARIVPYAALHYMAYEEYRRWIILGFPNVEQGPILDLVAGSTAGGTAVICTYPLDLVRTKLSYQVKGAVNINFRESKPSEQVYKGILHCVKTIYRQHGLKGLYRGMAPSLYGIFPYSGLKFYFYEKMKTHVPEEHRKDIIAKLGCGSVAGLIGQTITYPLDVVRRQMQAEALSSSSHGTRKGTFGSLVMIAKQQGWRQLFAGLSINYLKVVPSVAIGFTVYDSMKVWLKVPSREEAVPVLTDERSNTAPIHSTMDG >Et_9B_064095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11138260:11141982:-1 gene:Et_9B_064095 transcript:Et_9B_064095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVERRREEHSRRSRSPSRDRERRRSPPARRKDSPARARSPAKASESHRDRERSPPREKAKQRVKSPKHAREQTLSPSPAKRRDSRSPSPRTKRLRRAEAERQTAQVADGDRRKASHREERDSGRHIEHDEGRDATRDRKAEREVAEGDHRKSSHKEERDSGRKKEHDEGRDSSRGRKVEREDGRGSGKDKKPDRDDGRDHSRDRRGSRDDKSGASRETLSSRDDDRHDSRGGRPDREGRKGASSREQRLDHSDIADSARERTTGREERNGGSGRSSRRDRSVSPGEHRHRGRHESRSPPRASRSAARAEDVNSRGDEVSRSGDADSVAKMKAAEEALEAKEKQKPSFELSGKLAEETNRVAGVNMLYSEPPEGRQTDIRWRLYVFKGGEPLNDPIYIHRLSCYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMAKKVRPYLMDLDSTNGTFINEKRIEPRRYYELFEKDTIKFGNSSTTSSNFGLLRVLLLATFSCLH >Et_8A_056278.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19524886:19524897:-1 gene:Et_8A_056278 transcript:Et_8A_056278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSC >Et_4A_034972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8475911:8483204:1 gene:Et_4A_034972 transcript:Et_4A_034972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTPNPSRRSWVGPAPMPFLTPRPERSERRQRELRWADGGSQSSVRRSGVGAGGGERDREVNVQVVLRCRPLSEEEQRSNVQSAISCNDTKREVTVLHSLFKQADKTFTFDKVFGPKSQQRSIYEHAVAPIVHDVLEGYNCTVFAFGQTGTGKTYTMEGEMRQKVGELPDTAGVIPRAVRHIFDVLETRKTDYSMKVSFLELYNEEISDLLALEDQSRFSEDRQKRPISLMEDGKGGAIIRGLEEIVVYSPSDIYSLLERGSARRRTADTALNKQSSRSHAVFSINIHVKETTVGNEELIKCGRLNLVDLAGSENIARSGAREGRAREAGEMNKSLLTLGRVITALVEHSVHVPYRDSKLTRLLRESLGGKAKTCIIATVSPSVHCLEETLVTLDYASRAKSIRNKPEANQKTCKSVMLKDIYQEMERMKQDVKAAREKNGIYIPNERFALEEAEKKRMREKIDHLELSLQKQDKEVEKYKGLYVAEQEHRLDLESQNKELKEMRSTLENASGDITMLLSKLERQSKTEAENEGLLSDFRSGLHHSLGVLQSTVIGSVCEQRKILESMNEQMKSYFSANMQSANQLERRISKAKDMYASGVQCMRELANTLRQRSITDSEQMLLNISAHAVSVDNFLAMMVSEAEQVLNDVLKSTSELKELLSFSAEQQTAGLQRSLTSAQAMSKTSIDFFRDIRIHVSRLIKLMEKNQIERSSQLAEFEEQFKETCTQDEEAALNKIAGILSGLTARKTAMVSEHIGQLKGKYSEEQKHLKLELSNLQQVSDNGKEKAVAYAGMVESQFQEDISIHAKLRDQMEDILQNCLKQGDHSVSYWSHTQSSLHHLCKGSIMEADDFIKETRKDNDSIFHEKLLLSSQNDAGFHSITSDMLTASENSLSLDHETRKIIETVSATFTDNLSLLNEKHSEDTESLRNVASNCLEKNYKANSPVRHHPRELLTDANSLESIEKLRASVSDLVAKFRSENKLDEADKGKQYSNQKTRTPRSPFMPERAVLEEQLYWARLEREKFVAMSAEADETIWNLAALARRTMQERDEARNQARIILADVQARVNVPMSMMMLPGKAHSGAARPADVFTGAGANSQARVPTPPGQHAQTGNGYCVASSSNSGHRNRVSSMDTYTVLPSLHGLASSTQEHFDPDMFLVEGPEMLQDLAPATAGSSGL >Et_9B_064276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13571463:13572794:1 gene:Et_9B_064276 transcript:Et_9B_064276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLVEHMVFRTGAAGVIFPTDTDDFSSSYSIPAAAVRQVQFREILEYYNSSRHPVVSIWNSETLFDVEAPLVAGFSSRGPNLLAPGILKPDISAPGVEILAAWSTYNLVSERMDDERRVLYNIISGTSMATPHVTGPPHTSNPYTRTATPIRSSSSIPEAEFAYGAGQVNPTGAVDPGLVYDATEADYINFLCAQGYNATQIAAVTGTNETCSSPASSVQAELNHPSIAIPVLNYGVYFSETITRTVTNVGPVGSVYRAKIISVPGDITVSVEPEELVFSAAKKKVSFTVTVSGTLLSPAVAVGGGLGASASVVWSR >Et_3B_029512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25592304:25597624:1 gene:Et_3B_029512 transcript:Et_3B_029512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAATSGSGGAADAEELFRTKRIPEIRAAEGATRREISAKEEELRQLVGRSYRDLLDSADSILLIKQSSDSISDNLSRISGSLSSLSPPPEAPVSSAASPSPSGGRARLYSLAARAKYVVDTPEHIWGRLDEGLLLEAAGRYLRAQVVHGRLSRDAVAAARFPLLAHQAQLVEAFRPQIAQRARERLADRRLSVAAHADALAAVAAIDAPSLAPPQALLLFLTSRRAWISQALAGFASDLSSYNSVLCDVARIVRITLGHVGQLFVPALSDLPLFFKTVLEKTPPEQLFGGIPDPDEEARLWKEHMIQIEATMVLLEPDAVARACTVWLKECCGEIFGVIAGGYRLVDTIGSGELLGSVQRLVRDALDGREGLEGSLEQWLKSVFGSEIELPWDQIRGLILKEGKDTFENWMEEAFVQRMKDILHSELDSLGASVNVKESLEAIGANTDPKDTGDFLAYMRKASNGGGFWFSESKIKKGGILAHLKPIADENDFHSCITSYFGPEVSRIRNAIDSKCKNILEDLLCFVESHNSVPRLKELVPYLQEKCYGTISAVLKELEAELRKLSASLGTKKGDNEKPGASIIVEKSLFIGRLLFALRHHSSHVPLILGSPRQWVKEAGGAAFSRQTFDTPRRHAFDSPRSPGRQFSDSPRRQTIAAAASLFGADDSSNPRLDELNKTLQSLCIMAHSVWISWVSTELSHILSYDLNKDDSLSSSTPLRGWEVTVIKQEETAEGPLEMQIALPSMPSLYIISFLYQACLEIHRVGGHILDRVILHNFAWELLQKVIVIYEKFVVSVESGNSLVSEKGVLQVLLDLRFLGDVLSGGKNSSSKANETLTKQDSLPSTVTKTSFRRKQSQTQADSAAIEPVNKLINKLSQRLDPIDWATYEPYLWENEKQSYKRYVVLFGFLVQLNHMYTGTVQKLPTRSNTDSNIMRCSQVPRFKYLPISAPAISSRAHKSSLQSPSGDSTSKSTWKSYSNGERSTAPEFDDNASLVGAAPLLKSFVTQVGSKFGENTSRWGSMLSDGQVGKLSDILPGPAAGFFSSFTSGVRYDS >Et_3A_027219.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:5088005:5088646:1 gene:Et_3A_027219 transcript:Et_3A_027219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTALCLSLAPVTGGELSTSSSSSAAATKVIHADGTVTRLARPVRASELMRDHPGQFVCDSARLAVGCRVPGVAADELLRPRHAYFLLPMDMLYSVLTDDEMAALSEAHAATAAASAWKRIVTGATRGRDHHHHDRSGANRQSKDSNTGNNDGGGAKVYPMLGLLESGDLGAGDDKPERPAAGVGKSRAAAAGMIRRHRPWQPALDTIEEVP >Et_3A_026976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28659578:28662700:1 gene:Et_3A_026976 transcript:Et_3A_026976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEAARAKALAERKMEDKDFVGAKKMIIKAQQLSKEVDSVSQRMLAVCDVHTAAGVKVNGQIDWYGILQVPANADDTLIKKQYKRLALLLHPDKNTLAGAEAAFKLVGEANMTLTDRSKRSVYDMKRNTVLRGSIARPQYQQPRRPAPTGASGTPVNLHNLHQQQQHQASNSAGPDQTFWTMCPSCGMRYQYYHSILKKPLRCQNCLKPFIAHDLKEQAVPSGANQRSAGVWKNAGVPQSAPGSQANVTGQKAWNSATPGVHVNTGSHHANVNRKKEADGKAGGQNKLKPARATGNPVKASSTAGPKRGRRAVVESSISSDSETTSDSEDEVVEQGPAANKDKQTVGTPCGEKTFSGTEQMKKETMQAGENSDDKEKPFHSVSNNGLDPNDGNDSVDDKFVFQDPEFFDFDQLRDESQFKPNQIWAVYDDDGCMPRFYARITRVKTTPNFMVHYVWLEFDPKNQREAAWHNRGLPVACGRFKHGKSETAKETGMFSRTISFEKSKTRNSYEIYPRKGEVWALFKEWDISWNSESKNDRSYQYEVVQVLSDFSTSTSIIVMPLVRIKGYVSLFVQSKEAAPYVIPQGETLRFSHCVLHHSMSGTEREGIPEGSMELDPAGLPNNFEESFPSVTPECSSAKSQECDAHAGSFNRSSPQKGSMSSGERQQATCKNAETAAKTPKEETSKHKTHKETSKHKTHSAEFTDEDEDDICQTEYIYGDPEFHDFSENRLLQKLLVGQIWALYSDIDKFPNYYALIHKVDLKNGKVQVRWLDACPQGEEEKRLLKEGRAIACGSFEVSNIHEMMTYRGTDAFSHPVGARSTGEEGKYEIIPRLGEIWAVYKNWRTGWSALDYEKCEYELVEIFGHTDSSTQVKLLRKVDGYNTVFMPYQGEGSVKTIRKDEYPKFSHQIPCFHLTYEKGGKLRGCLELDFMSLPEEFLITKSR >Et_10B_003359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19065321:19066754:-1 gene:Et_10B_003359 transcript:Et_10B_003359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDAADCRDSAIEDDEEEEMVWEKCSKHRDGSIYRGTAFWHSLYRVADQRDIPGAYDCRPNKRDCETHGVCHMMQIFSLKLVHTTEAIDSPIQLYGFVAVRDLLNPLRVIIFIVEQQSDGSGSYIQMTGPKRGIEMKAQVLIEYDMRIKKGETQEDDLQLIDGAACFSELDRLPSRVCTRRIEGDSDAVDLSLALLHRAVEATVQVRVSQVHGSVIAEPCDLNRFVIAVIMGFTLIIDLIVHQREGSGHAHPCYPFKAKLHGHDIQEFELGFATIVAKVSWSTLVTYRGAHGLL >Et_4A_033928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28043098:28046659:-1 gene:Et_4A_033928 transcript:Et_4A_033928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLTLLLLLLALAAGAAGAAGGDDADALLAAKAALSDPTGALASWNATADHCAWPGVTCAPPRGGGVVVGLDVSGLNLSGALPPALSRLRGLQRLSVAANALYGPIPPSLSRLQLLVHLNLSNNAFNGSFPPALARLRALRVLDLYNNNLTSPLPMEVVQMPALRHLHLGGNFFSGEIPPEYGRWARLQYLAVSGNELSGRIPPELGNLTTLRELYIGYYNSYTGGLPPELGNLTELVRFDAANCGLSGEIPPELGRLQNLDTLFLQVNGLGGSIPSELGYLKSLSSLDLSNNALTGEIPASFSELKNLTLLNLFRNKLRGSIPDFVGDLPSLEVLQLWENNFTGGVPRRLGRNGRLQLLDLSSNRLTGTLPPELCAGGKLQTLIALGNVLFGAIPDSLGKCKSLSRVRLGENYLNGSIPKGLFELPKLTQVELQDNLLTGNFPSVDGVPAPNLGEISLSNNQLTGPLPASIGNFSGVQKLLLDRNTFSGAIPPEIGRLQQLSKADLSSNKFEGGVPPEIGKCRLLTYLDLSQNNLSGKIPTAISGMRILNYLNLSRNHLDGEIPPSIATMQSLTAVDFSYNNLSGLVPGTGQFSYFNATSFVGNPGLCGPYLGPCRPGIADADHSAHGHGGLSNTVKLLIVLGLLVCSIVFSGAAILKARSLKKASESRVWKLTAFQRLDFTSDDVLDCLKEENIIGKGGAGIVYKGAMPSGELVAVKRLPGIGRGSSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNNETNLLVYEYMPNGSLGEMLHGKKGGHLHWETRYKIAIEAAKGLCYLHHDCSPLILHRDVKSNNILLDSSFEAHVADFGLAKFLQDTGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWTKMMTDSNKDQVMKILDPRLSTVPLHEVMHVFYVALLCIEEQSVQRPTMREVVQMLSELPPSPKGEEVSNAGDGSASSPLHPDPSGTNEAPTDAAKDQQPQQTSSQSSPPDLISI >Et_6B_048531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10308599:10312153:-1 gene:Et_6B_048531 transcript:Et_6B_048531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGVNIPKFGEWKSSDGATPYTMYFENASKKRRSNSSITPPPGPSPARMGTVPAGHRTPTRTADAKPVKSTDRANRSRNQGTAGQGGSVPTWGQWNNINNGAGADNYTLIFDELQKGKKSAPPTPTMEPPQRATPTRTTRQDLYYDHVPKLKCMLFELHNNISKNIFISGVHMLGVVWKFNVKSVLFVVIPVQPEFSLPYLRLAASSVFHRRQHDSTLLCSSSSVRSAPPARSEPTKLINDADKVGQSRYRTCMDGRGKYYLAKAPRLGPQLGHRRRRGVSGCPGARRRRTWATTDW >Et_5A_041335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21356921:21358469:1 gene:Et_5A_041335 transcript:Et_5A_041335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EYSGGEAPAILHSMFKLFYNIHALRTHICVGIVIGSSTAVGVILVGFTEIDVPCPSMENVRIKVFSVSDQTTWDDTQILSYEELQNATSNFHPSRMLGSEGMVLSTKAQVRLAGIFFCGKEKVQSIGLHFDTALELFCSTGSGRSCSLCKPKKVLLLGTDYRGVEDMDSRSFDHLSHSRFWMTDDAMLRRWGRR >Et_4A_034936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:865595:866694:1 gene:Et_4A_034936 transcript:Et_4A_034936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVTLPSSGTAFPVATTSSPARCCLLLPTPSPRRALRVVAAAAAEAPPKVTPPPTSPSGIVLVDPAEAQKVHRLKTVYEEKVVPLITEEFGYTNVHQVLDPTPHHRKGSHFLCNLVDSSVPKIEKIVVNCGLGAEAGNNKGLEAAMKDLASITGQWPVKTRAKKSVASFKIREGNTIGIAVTLRGRIMYNFLDRLINLGLPRTMDFLGVNPNSFDGHGNYSVGLRDQGVFPEIPYEVGGKKNGMDVCIVTTAKTDNEAYKLLTLLGMPFSENKSGGPVFRKKRLKRHHFMTKGRGR >Et_1A_005298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38827004:38828278:1 gene:Et_1A_005298 transcript:Et_1A_005298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGQAPDRVVEGFSEEFLESFLALIRRAHRHSRVAATVVYNEYIADRHHVHMNSTRWATLTEFVKFLGREGEEERQERMIARQIERAQKSQAKGSDGDEGSDAEYESESESGSEEEYDKPNVKFGFDEEEDGAREKEKGEGVKKGKDVKASDARKSALDELMKEEEKAKERSNRKDYWLCPGIVVKKGVVKRVRDKYVGDIEMLESKHVLRVDQNELETVIPQIGGLVRIVNGAYRGSNARLLSVDTEKFCAKVQVEKGLYDGKVLRAIEYEDICKIAQ >Et_1B_012323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30909335:30913731:-1 gene:Et_1B_012323 transcript:Et_1B_012323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLSCIHQGSLSRQVSLPAPVRVIAADGSLKEFPARSPVAVSDVLGLGGDAAASSFFVCNSDALYFNERPPALAPGEPLRPGQIYFLLPAAMLGRPLSSAEMAELAVRASAALASSGKARRRGRRHGRSGGKKKVRVMPVLEEQENAGGDVFFNEKLNEQTLGEARSEKKVAAAARSRLKRALSIIREDADLPQTQCFRSMGLKFSCIRRGSSLTRQVSFSPAPATVIASDGSLKEIHGGASVSDVLGCGDAASSSSFFLCNSDALYFNESPPALAPGEPLRPGQMYFVLPAAMLGWPLSSADMAELAVRASAALASSVGKTTRRRRHGRNGKQDKKKVRVMPVSVDDAEGVDFVNEKLNEQTLGQFGVVSPMMSPARRNEKLAAADARSRLKRALSIIREDAM >Et_1B_013164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:629510:632887:-1 gene:Et_1B_013164 transcript:Et_1B_013164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKNLGRSGLRVSQLSYGAWVTFGNQLDVKEAKALLQACRDAGVNFFDNAEVYANGRAEEIMGQAIRDLGWRRSDIVLSTKLFWGGQGPNDKGLSRKHIVEGLKGSLKRLDTDYVDVVYCHRPDASTPIEETVRAMNWVIDQGWAFYWGTSEWSAQQITEAWGVANRLDLVGPIVEQPEYNLFSRHKVESEFMPLYSTYGIGLTTWSPLASGVLSGKYSKGNIPADSRFALDNYKNLANRSLVDETLRKVNGLKPIASELGVSLAQLAIAWCASNPNVSSVITGATKESQIVENMKALEVIPLLTPEVLDKIEAVVQSKPKRTESYR >Et_3B_031429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29242968:29244273:1 gene:Et_3B_031429 transcript:Et_3B_031429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHWHLWWTWWGRPCSSSAARRRPGSSLEITVSYLNAARANEEIETEAKVLGIGRTTGCVTVEVRRKGTGEVIAHGRHTKYLAVSSKL >Et_10B_003587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3558710:3580867:1 gene:Et_10B_003587 transcript:Et_10B_003587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASCWPLNGECTFNFESSTNPFAPSIDALQSLLQAGTDTSSCTIEWAMALLLNNPPVLRKATAEIDAVVGASRLLRESDLDNLPYLRCVILETLRLYPLAPHLVPHEASRDLVVAGHAVARGTMVLVDVYSMQRDHDTWPGEPEKFAPERFMDGGAGGKRGGGEGWMMPFGMGRRRCPGEGLALRTVGVALGVMVQCFEWGRVGEEEVDMSEGSGLTMPMAVPLLAMCRPRPEMEPNSPENPPDFLDVYP >Et_7A_050775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10940922:10942602:-1 gene:Et_7A_050775 transcript:Et_7A_050775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYADLDALRASAADVRIVTSDGQSIAAHSYVLATESPVLAAMIDKARRGWGASAECTVRLPGVSSDAVLAFLHFLYAGRVAPDHEAVLGAHGAALLALAHAHRVGWLKRAAESAAAARLTPGRAVDMLKLAALCDAPRLRLSCARLAAKDLAAVERSDGYRFARRHDPALELDLLRALEDADQRKARWERGRASREAYRQLGDAMDALDRIFADDHHDGFCGSGAPSDGACRGLRLLMRHFATCARKIAPGGCARCKRMLQLFRLHASVCDRPEQEEPCPVPLCSHFKSRMETEKADKTWRLLVKKVTRTRAMASFVNRSKEVPEVVAMSWERYNSSISNRWAQFR >Et_9A_060945.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19951392:19956851:1 gene:Et_9A_060945 transcript:Et_9A_060945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGEAVLSAFMQVLFEKVISAAIGELKFPQDVTEELQKLSSSLSTIQAHVEDAEERQLKDKASRNWLAKLKDVAYQMDDLIDEHAAEALRSKLEGPTNHDHLKKVRSCFCCFGFNNCLYNKKIVQQIREIEEKLDRLIKERQIIGPSMISGTDRQEIKERPKTSSLIDDSSVLGREEDKEIIVEMLMTPNNANHPNLSILPIVGMGGLGKTTLTQLVYNDARVKEYFQLRVWLSVSENFDEMKLTKETIESVASGFSSATTNMNLLQEDLSKKLQGKRFLLVLDDVWNEDSEKWDRYRCALVTGGKGSRILVTTRNENVGRLMGGLNPYHLKQLSDKDCWELFRNHAFVDGNSSAHQKLEMIGKEIVKKLKGLPLAAKAIGSLLCAKETEDDWKNILKSEIWELPSDKNNILPALRLSYSHLPAILKQCFAFCSVFPKDYVFEKRRLVQIWTALGFIQPQGRRKVEEIGSGYFDELLSRSFFQHHKSGYVMHDAMHDLAVSVSTDECLRLDDPPHGSGGARSARHLSFSCDNRSWTQFEMFLGYKRARTLLILNGYKSVTSPIPGDLFLKLKYLHVLELNRRDITMLPDTIGNLKLLRYLNLSGTGITVLPSSIGKLFSLQTLKLQNCHVLDYLPKNITNLVNLRCLEARTELITGIAGIGNLSSLQQLGEFVVRKDKGYRISELKAMKGITGHIAIKNLENVESVGEANEALLSEKACINILDLVWSDGRSLNSEEAAQETEILGHLPPHHELSELSVRAFAGFYFPKWLSSLAHLQTIHLSDCPNCSILPALGVLPLLKFLDIGGFRAITEINKEFTGTSEIKGFPSLKELVFEDMPNLRKWVSAQDPQLLPSLTELVVIDCQQLTEFPFLPSTLVKLKISETGLAILPEIHSPTSQCTISLECLQIHQCPNLVSLEQGLLCQRLLALQQLTITDCPELTHLPVEGFRGLTSLKSIHIYDCPKLAPSQESSLLPSMLEDLRISSCSNLINPLLREINEIFLTNLAITNCDTLHFFPVKLPATLKKLEIFCCSNLGSLPSGLEEASCLTAMTILQCPLIPCLPEQGLPQSLKELYIKECPLLAESCRENGGQDWLKIAHIPTIEIEDDSTMNEWSFRRRLP >Et_1A_004499.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:1041805:1042083:-1 gene:Et_1A_004499 transcript:Et_1A_004499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQWAARPSAALLLLLLCAFLCALLLAMSVARQGDEAVRSPAATSSAGGRRVLLHAAARSRRFRPRRWNSAGFDDSKHEVPSGPNPDSNR >Et_5B_045128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9859573:9862188:1 gene:Et_5B_045128 transcript:Et_5B_045128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPPPFRNSDAAAVARCRMVVVESRARPLSPWAVSMGSPIATAAASDGWLLPSLSVTNFRKYQEVALSIPEKNDYTSISGNPYTKKSENILLLHISFEIEDHSIEFDENRNVNQKFSTVDPWKVAGSQMVGAPVTGERKGLANQTMTFPAQLKPELKTRLARTFLALEITVLGEM >Et_8A_056954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19469929:19471882:1 gene:Et_8A_056954 transcript:Et_8A_056954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRALLRRRRPLLPFAPASFFTSSGSDAIAHPSQTPPPRSPPAPDGLGDEPEQEGSLARRVERAASVSAAMRAWMAGGRAVHRGHVFHAINRLRRHRLHRTALQVMEWIMRERPYKLTELDYSYLLEFTAKVHGISEAESLFLRVPQEYQKELLYNNLVMAALDLGLIKHSYAYMRKMREFSLPISPYVYNRLIILHSSPGRRKTIPKILSQMRGDRVTPHTSTYNILLKIQANEHNIDGVAKVFNDMKRAKIEPNEITYGILAIAHAVARLYTVAHTYVEAIENSMTGANWSTLEILLILYGYLGKEKELKRTWEIMQGLPHIRSKSFTLAIEAFGKVGSIDHAEKIWVEIKSTRKISLTEQFNAILSVYCRHGLVDKAASIFKEMRASGCQPNAITYRHLALGCLTLGLVKEALNTMDMGKKEVVTKKVRSSTPWLETTYMLLEKFAEIGDLENAKKVYEELNESKYCRNSFVYNTLLKAYVKAKVYEPDLLRSMILRGAMPDAETYSLTRLIEQFKI >Et_7A_051250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16096318:16096950:1 gene:Et_7A_051250 transcript:Et_7A_051250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVELVVEAKSPVSKFWAALRDWTELFPRILPGHYKSIETLEGDGKSAGTVRLVKYTERVPTTTTKEKIELLDDANMVLSFRVVEGELVDFYKNMKITVRVAPAAGETTVVNWAMEFDKASAQVPDPDAIRDASANIFRELDDYLLKN >Et_3A_023940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1551067:1554645:1 gene:Et_3A_023940 transcript:Et_3A_023940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPGSDDPMVLDPPAPVAAAAAEAAPAGNEKAMQTIKDEKSEKTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQIMRAMEHPNVICLKHCFFSTTTRDELFLNLVMEYVPETLYRVLKHYSNANQRMPLIYVKLYMYQLFRGLAYIHTVPGITHRDVKPQNVLVDPLTHQVKICDFGSAKVLVPGEPNISYICSRYYRAPELIFGATEYTSSIDIWSAGCVLAELLLGQVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPSLRCSALDACAHPFFDELRAPNARLPNGRPFPPLFNFKHELANASPELINRLVPEHIRRQNGHNFGHAGS >Et_5B_043186.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:16017770:16018036:-1 gene:Et_5B_043186 transcript:Et_5B_043186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAAAGDGGKRTTVRTGAAAHAGHGYSGHSGAAHPNEQQGGAGVVDPRNLAARSHHRSGAATSVIGYYSPSAICVLLGALHLLMVVHV >Et_5A_042699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26206452:26209622:-1 gene:Et_5A_042699 transcript:Et_5A_042699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSFSVDEIPSDPCWTLQPDQVTNGGNGVTCEAAATTADGHGDAATDGSPSDEWSFERFLEEELMLMDNTTPVDSSGSPVLHVDPSVPEPATAATAGMAAGDPVEYNAMLKRKLEEDLAAIAMWRASATGYPEVSQGSYGHVGGTRNLPQKKFRGVGRLRVQNAYASAMLPASSSSMERSPSDDDDMDGEVEVLGFRIPDAEKLRRRKASNRESARRSRSRKAAHMKDLEDQVAHLRVENSLLLTRLDALNQKYKAAAVDKRVLRADMETLRTKVKMAEDTVNRLIATKPLPTTVVPNPPSYSNMPFVVGPPSHATPPDASSVPIQNNNNITNTNFYTTAAATDVMVSNTYMPMTAPLSPLQAEVGVVVNGSSDQIAASHCATAMELLEKRVMSDDMPTTSSGAEAAPLPGAVESSTPF >Et_6A_045906.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:5008812:5009312:1 gene:Et_6A_045906 transcript:Et_6A_045906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVKVAILGLLVFAILSPQLVSATYPTCTGTQKRNILWDCKSHIRMHRPPPRSPLKKDSSCCESVRQVPNRNMHCVIAMLTDVEKDRYSQERILKLENLCAPASPPPPGEAKDSLLVS >Et_7B_054153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16115352:16132439:-1 gene:Et_7B_054153 transcript:Et_7B_054153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRGDPGAKAMAKLLKLLLSPPIERPRISEELAGCFDAADGGRALVVSPFGKIWRVEIEREGEEAFLGRGWADFLAAHGIGVAWFVALRHEGGGALTVKAFDTSLCIREFGAPAADVAKKNSKGISCKPQFIRFLDQDSMEKMMIPAKFVKQYVIREYLNSPMAVVVSPLGKFWRVKVENDERGMFFSGGWSSFLAFHGISEGDILLMRYEGNMVFKFKAFDLHGCLKDFNQQDTRIEQKNVICDFLIYAQQEGHVCCPTRKREGNSENPSCEENKRSKGSTITSKKASSTQKEPDYQTGPPSWIKKEITNSRLKHTMSLSSKFCSYIGFQKSCTITLKTNMDSTRSWKVHGLAYNNARYLGDGWKTFCEDNRLKEGDVCTFSIVKTMLWQVTIMRSSASTDSQMQQKSSPAHSDMECMNRNDSSSIEELKEPNGSGTTLKKASYTRSTGMDKNSYLVARRFHSIRCIDYQYLTEPSHVTHTIAISQYLAQAFFVGLGLQVPCIITLKTFIRSSKSWQVHVIKKMNGSYQLGQDWWRFCQDNRLKEGDICTFKVVETIDRAASKSNNLRLLLPFSHRSLELAQEIGAGGEACLVGPRSRIWHVRVEQDGDGAFLGRGWPEFAAECGGGARWVVLRHRGRGLLTIKTYDARGFLSDQGSSPPHSTADATTRSTIAIHRPPQFVATLTQEFMDRPPIPAEFVEQCISEENMQNNASTMLEPLEIERFPIQLEMNLPCSSLYNGHMVFRVHVFDRDGFRRASKLTETTLEQSMGQEQAVPIQKSNTILSRNEEQENLEGCMASSKKASSRRESSYSTESPFWMRKQLNANKIKKELSLAKLFCDAIKLHGPCTITLMTSTNKNGWLVRGYPRKDGSYVLRRGWPGICRLNNLKEDDTCTFHVIGPKLWHSLAKLFCDAIKLQGPCTIILKTLTSNTGCQVSGYRRKDGSYILRPGWPSFCWLNNLKEDDTCTFHVIKPTLWDVVVTRGDDGDGAFLGLGWPEFVAACGASEGWLVILRHRGRGLLTIMVGVRIWPVAMNPDARRRDPSRAAVARYCIATLLRGGGCGLRQRGAALAHAAAAAAKAQR >Et_3B_027705.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:13483075:13483164:1 gene:Et_3B_027705 transcript:Et_3B_027705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQEYKSHVIGECYTEVTTVEAWIDYTNT >Et_5A_041291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20713270:20715319:-1 gene:Et_5A_041291 transcript:Et_5A_041291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQKTQSSQFDPEELQRLRSEVEKEEEETKLERLQSELEKVSEAVHKAIDSNLRPDTDRPDLEELSKELDKIKLRVTRQDHQDDGKKGGGDEHQLLPQSKREELDTLLGCIRRALRPPRKPESELPLQKKLLSATTGCNPFKTRASSQQNRQQGGGGGQEGKGEDDGDGEEGVSMKLLLRLARRVQEPEEYYEWTTSYVDESRIYGWDKEADDVVEALVGPKGKEEEEFWFRAAAITGVHGSGKTALAQKAFVHDRVKDHFPLRLWVCVGPPDSEDRFGLLYRMLDNLGLDTNKIEDVVVDGANVVKDARKRAEEEVKNMPATEHEKLRSEAARLAAVRERAKNKETGVKDDQSQEEGTVKDAQQGQKPAGEDDVKERIYQQLLKEKVENSDTVRTSKYGVLLYILHVTLSKTGYLIVFDDIRVYGDDGWYNNLTLPPPSAPDKEWGDRLAYGLSKTGKPHKSAVLITCRKEDDAKAMVRTGGVFHPPKLEVDDGWKLFTREYEQAKKQKKEKEGGGRGGEWKEEDDLLFKELKDMKKEIVGKCLGLPIAIIEAAKGFALSGMKPLPDPVVEKPAAETKPLQEEPKPASEDQVGSSNKDTQAEEDD >Et_2A_015557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1546008:1554376:1 gene:Et_2A_015557 transcript:Et_2A_015557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GTRIKEVENASAQEKRREIEIEVYWQERKFEEYACLLAKLDGALGGLPALAGELALLGLLLALELGVQFASLFLVLDLGRQNRFRLVLLRLLVLPSLLPLAIVARRRRHLSRRLLALLLLRHRLRRCRLGRKVTAVCGVEGLASAAAARSPAMFLCRPLAPPRLLAVAPSSSARAPWSYRPPSLSLRVVRCMAKERRVRMVAKQIQRELADMLTRDPILQRAVLPEAALGADRYLSSLTTIADVELSNDLQVCKVYVSVFGDERGKKVAIEGLKAKTKYVRSQIGKRMKLRLTPEIRFIEDESMERGSRILAILDKLKEEREQQEGKDGEEDEEGSNISEEDGDWDADEPDEEDIIYILGRYSKTKLQGSDRINTGRISNSSKKNICTSDNRPKERYSWRIEYLKSVSFFQSP >Et_2A_017541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35277559:35283588:-1 gene:Et_2A_017541 transcript:Et_2A_017541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVVSSSVFPRAAIPSTLSRRRALLLALVSSSSSVPSPASPMAAAPPVAKKVPRELVEHGDVRVDNYYWLRDDSRSDPQVLDHLRAENAYTSAVMSDVKQLEDEIYAEIRGRIKEDDIDAPLRKGQYYYYERTLTGKEYVQHCRRLVPIDGPITVHDVMPTGPDAPREHIILDENIKAEGHDYYSIGAFKVSPNNKLVAYAEDTKGMATQVRDFQLFDNHIAVYERENGLPKVTVYPLPSVGKAIGQLQGGRAIDFVDPTYAVDPEESQFHSSVLRFHYSSMRTPPSVFDYDMDSGVSVLKKINTVLGGFDASNYVTERKWAAAADGTQVPISILYRKDLVKLDGSDPMLLYGYGSYEICIDPSFRGSRFSLVDRGFIYVIAHIRGGGEMGRKWYEDGKLLKKKNTFTDFIACAEHLIENKYCSKEKLCINGRSAGGLLMGAVLNMRPDLFRAAVAGVPFVDVLTTMLDPTIPLTTAEWEEWGDPRKEEYYYYMKSYSPVDNVTAQEYPNILVTAGLNDPRVMYSEPAKYVARLRELKTDENFLLFKCELGAGHFSKSGRFEKLQEDAFTYAFILKALGMTPNKNYKSSI >Et_5A_041480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23409463:23413556:-1 gene:Et_5A_041480 transcript:Et_5A_041480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRLQCLVVLLAVAVAGAAAADTDADALLAAKAALGDPAGALASWNNATGAAAGSVCAWPGVTCNSRAAVIGVDLSGRNLSGGVPAALSRLSHLARLDLAANSLTGPIPASLASLRFLTHLNLSNNVLNGTLPAPLARLRALRVLDLYNNNLTGALPLEVAAMPQLRHLHLGGNFFSGEIPREYGAWGRLQYLAVSGNELSGKIPPELGNLTSLRELYIGYFNSYSGGIPPELGNMTELVRLDAANCGLSGEIPPELGNLANLDTLFLQVNGLAGGIPPELGRLRSLSSLDLSNNALSGEIPGSFAALKNLTLLNLFRNKLRGSIPELVGDLPSLEVLQLWENNFTGGIPRRLGRNGRLQLVDLSSNRLTGTLPPELCAGGKLETLIALGNFLFGSIPESLGKCGSLTRIRLGENYLNGSIPEGLFELPNLTQVELQGNLLSGGFPAVQGTGAPNLGAICLSNNQLAGALPASIGNFSGLQKLLLDHNAFTGAIPPEIGRLQQLSKADLSGNALDGGVPPEIGKCRLLTYLDLSQNNLSGEIPPAISGMRILNYLNLSRNHLAGEIPATIAAMQSLTAVDFSYNNLSGPVPATGQFSYFNATSFVGNPGLCGAYLGPCHAGGAGTEHGEHTHGGLSNTFKLLIVLGLLLCSIVFAAMAILKARSLKKASEARAWRMTAFQRLEFTCDDVLDSLKEENIIGKGGAGIVYKGTMPDGEHVAVKRLSAMSRGSSHDDHGFSAEIQTLGRIRHRYIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGGHLHWDTRYKIAVEAAKGLSYLHHDCSPPILHRDVKSNNILLDSDFEAHVADFGLAKFLQDSGTSQCMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGKKPVGEFGDGVDIVQWVKTMTDSNKEQVIKIMDPRLSTVPVHEVIHVFYVASLCVEEQSVQRPTMREVVQMLSELPKPAERQGDELPSDRDGSASDPPASSESVDAPTNDAKEQQQQQQTSSQTVLYISSFLISVFLLEFRNIAGYNNLQAVTDQVQSAVAGDQSFGVK >Et_2A_016622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26744401:26747668:1 gene:Et_2A_016622 transcript:Et_2A_016622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVIALGPVPEDLAHLPICFDGSRSQHCMSGPQLQDSILIFLAVPGAPPMPMSILGSESIAQVKLRIQRFKGFVVTKQRLVLDGHELARNNCPVKDYGLAEGNVLHLVIRLADLRVINIETATGKKFQFQVDQSRNVKYLKNKIVAEGDEDIGNLEDHKLEYDGEVLEDHQLIADISKRDDAVIHLFIRKPAKVRTQQVDKDTLVTVVNPQEKDNLQKEVKPAKPAAVKPGLVEPIIVNPKVKLSPEVMKMISSTIAGLENGHLPVMSAEGSGGVYFMQDATGQKNVAVFKPIDEEPMAENNPRGLPLSTDGEGMKRGTIVGEGALREVAAYILDHPVGNRESGHSVGFSGVPPTALVRSLHRGKSFKIGSLQMFMKNNGSTEDMGPRAFPVKEVHKIAVLDIRLANADRHAGNILVCKEGEGGSYKLIPIDHGYCLPEKFEDCTFEWLYWPQAREPFNDETIAYIKSLDAEEDIKLLKFHGWDLSPRCARVLRISTMLLKKGAARGLTPYDIGRILCRETVNRDSEIEDIIQEAEDAVLPGTSENMFLETDESQFVTVYIAQEYPINLVPFTDDIDN >Et_4B_036182.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7679833:7680231:1 gene:Et_4B_036182 transcript:Et_4B_036182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGSSSGGAGGGSSIRAANGAAAISAAATAVGSADARFHSHPPQQDRVYTLHDFLFHGACNCSCFGCSFYPSCFRCMDEYQQFPVGLDDLDCHRLCVLSTNGTAQWWLQLATPGIFTPNN >Et_10B_004225.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19795681:19798119:-1 gene:Et_10B_004225 transcript:Et_10B_004225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDVANMSAAETVKPVAAACYDNNLVNSQGMFLGDQPLRFSLPLLLVQVSLILILSAVAQLLLRRLGQSRFVTHMLVGILLGPSVIGRSDAIRGVLFSERGTYILESISLVALILFLFSMGVKTDLSLLRRPSGRAVAVGLTGAVVPLAVTLPVFHALQPSLPEDLKGTSLITELAVRLSLSSFPVIADALSDLDLLNTDLGRIALTASLITDVTSWFLRACFAAAYLITDAKSPAFTAQILVSFFAFVLFVGFVARPAGRYIAYTRTPSGSLLSEGSFVVVVIAALLSALVTDAIGFKYMIGPMMLGLALPGGMPLGATMTERLDKFFIALFLPVYMALSGYRTDLAELTAAEETEKWCALELFVALCVSGKLVGCVAAGLFFAMPFREALVLALMLNVRGIVEVAAINNWGDTMKATAEHYTILTLSMVLITALSTPLIKLLYDPTGHFARAKRRTIESTSSTNADLLACLYTEDHAAPLIDLLEASGSSRDAPLSLVVVHLTELVGRAASVLKPHRKSSSSSSSSSSSAAATSSSSDRIVNAFRYLEQQSAAGAVTISPYVAQAPLSSMHHDVCSLAHSRKANLILLPFHKSSDGARATANNAVRSVNRAVLAHAPCSVAILVDHGIASGSACASSLLHNGRAALYFLGGPDDREALAYAARMADAGSMSLTVVRFKLRNWSGGMGGQDEARDEEVLQNFWTRHRDNDRVVYVEKTVEDGEGTASVVRSMSDKFDLLLVGRRGGVADDDQANGSSSSAAALTSGLSEWSECPELGVLGDMLASAEFASKVSILVIQQQPPKNTGSNSN >Et_2B_020880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24578947:24580610:1 gene:Et_2B_020880 transcript:Et_2B_020880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METVPSASRSLSSRLMCARWSLEQESGSKRICMNLFEVEAALASIINLNMLTLGSGRIMGCIMLNNAPANTTLPKIPNALVQDSGVVIIMLWSQPMADYMEFGDLLANLAQLLFVDLVGLQSAPAAGDGSMCRTARAPTLWIPSSSLHAHLANFSKNGLGKGRRCEAAARRDGVVPMHSARSAREPSQEIESVGVGLHLHPFFGACSSVEEDEDAWAADGVTCSFRSQHLTVPVQTTFQ >Et_2A_016705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27348128:27360401:-1 gene:Et_2A_016705 transcript:Et_2A_016705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSCLQAIEKALQSGENAVELLQRYRRDRQVLLNYILSGNLIKKVVMPPGAISLDDIDIDQVSVDYVLNCAKKGEPLDLGDAIRLFHDSLDYPYVNNTGAVEEFYLLTKPEYSGPAPTREPPPVPATAPSPIMIPPPVVEPSPVTVSSPVAATNLAKSQSLDSPTEKELTIDDIEDFEDDEDKFDSRRASRRHQTDASDLSLRLPLFETGITDDDLRETAYEILVAAAGASGGLIVPQKEKKKEKRHKLMRRLGRSKSESAESHTQRQPGLVGLLENMRAQLEITEAMDIRTRQGLLNAMVGKVGKRMDNLLIPLELLCCISRAEFSDMKAYLRWQKRQLNMLEEGLINHPVVGFGELGRKVNELRNLFRKIEESESLPPSAAEVQRTECLRSLREVATSFSERPARGDLTGEVCHWADGYHLNVALYEKMLGSVFDILDEGKLTEEVEEILELLKSTWRILGITETVHDTCYAWVLFRQFVCTGEQGLLKVVIDHLRKIPLKEQRGPQERLHLKSLRSSVDAEGSYQDFTFFQSFLSPIQKWVDKKLNEYHLHFSEGPSMMSDIVTVAMLTRRILDEENDKGMESPDRDQIDRYITSSVKNAFVKMAHVVEFKADTTHEHVLTSLAEETKKLLKKETTIFTPILSKWHPQAAVISASLIHKLYGNKLRPFLEHAEHLTEDVVSVFPAANELEQYIMSMMASVVGDDGLDSICRQKLTPYQIESKSGTLVLRWVNGQLERIETWVKRAADQEVWDHISPQQRHGNSIVEVYRIVEETADQFFAFKVPMREGELNSLCRGLDKAFQVYTQLVTAPLVDKDDLVPPVPVLTRYKKELGIKAFVKKEVHEVKTVDERKASEITQLTIPKLCVRLNSLYYGVSQLSKLEDSVNERWARKKTENINIRRSTSEKSKGVTPSQKNQFDGSRKEFNSAIDRLCEFTGTKVIFWDLQQPFIDNLYRNSVSQARLDTIMEVLDLVLNQLCDVIVEQLRDRVVTGLLQASLDGLLRVILDGGSIRVFSPNDAPLLEEDLETLKEFFISGGDGLPRGTVENLVSRVRPVINLIKQETRVLIDDLREVTQGSKSKFGTDSKTLLRVLCHRNDSEASHYVKKQFKIPSSAPST >Et_1A_006090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17611090:17614702:-1 gene:Et_1A_006090 transcript:Et_1A_006090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSIAPVCANVIPDAADTAFQIHQLKRSAYASVLRSFCVQSDLLSGAKEECLAQLRKEFNILETEHGNYLVKAKSNMEIKSLSAGSSKGRTCDTKVMKETPDVACATPHRDNVFQIHCLERSAYASVLRAFCAVTNRISWVKLLAKLRNELRILHIEHKEVLVKVISDEHISSLRKFSLANYSILMKMDAAFHAQAVVCDKIASTGQLSTSSTSCISLALAHQSPIPERSSVRNNGSLDSSAGAKEGSCFEPHAVVPAKRLKSVRGAALACLERPLSVKRLTVAVCTVMVKGSTDYKLDREALSCETKAGYAISPISQEKHSQSNAGQVTSCVDHGRQESRKRKTEVPVMRESKSACVTDRMYGIYCQRRTKNDSNSGHGSEVFKIYLTANLLNKVEKLFKQNLDPAKLEIAKTMLKLANNNLDEVPLKSASSIDETPTDTPTRPSANSTGIMPPLQAPPHMQTLPHSSVSPPSASAVLTESSSTEAELRSIMAPTSTPTDDAEMRKTT >Et_1A_005111.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27732543:27733313:-1 gene:Et_1A_005111 transcript:Et_1A_005111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRLLPCRRALSALLHAPTPVPTPRAAAAAADPLGPFLRSFASATRRTGPSSRPRTVDIGARARQLQTRRLWSYALAFSCAAGFVVTVLATFQDQLVFYVTPTDALAKFAADPSKSRVRLGGLVLEGSVAHPTPGSPEMEFIVTDLVTDVLVRYDGALPDLFREGHSVVVEGFLKPFTDDVRRDDGRKVAEKARECSCFLRGTEVLAKHDEKYMPKEVGEAIERNKKRLEAEAAAAASPEGALAVEADGAKASS >Et_4A_033836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27265085:27267555:1 gene:Et_4A_033836 transcript:Et_4A_033836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPAKNRASNRGERRRRRAAAAAATGGGPDVLAAERASPAPGNAAAAASSSRRRNHRRKRQRQRQRDRAAKAAAAEVEALKSPEAPGSASVQEGDLSLQSGCCLEAVETTSHDCLVLNGADDSGLVRMVSEENTSCLRPMTNSVSVDNAKTKGEIVNLDRAIDKRCELGKINLDCTSTERNGLQEQDAAFSEDNSANCLSPSSLAEAYAEKLKNVFSPRQSFIRFPKKKLLILDLNGLLADINQDYHNACMADAKVRGKLVFRRPYCDDFLKFCSWNFDLGIWSSRKKENVASVVDIIMRDFKPRLLFCWDMSKCTFTGHKTLENMHKPLMLKELKKLWNKEEPDLPWKVGDYSPSNTLLVDDSPYKALRNPPHTAIFPRPYSYLNRNDNSLGPGGDLRMYLQNLAAADDVESYVRNNPFGQSFITESDPHWNFYAQIAGNRSAVAHNGASPLTCCA >Et_5B_044159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20966595:20972343:1 gene:Et_5B_044159 transcript:Et_5B_044159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHSENAAENIMSSIMDTIADNLPNKKSVRFDEGSVSEQAQRLFGGKRNVHHVLGGGKPADVLLWRNKKISSSVLAVATAVWIFFEWLDYHFLTIVCFMLVLGMAVQFAWSSFAGMLNGSRSNVPRLELSEELFANIGAEVGKQVNKVLAALQEISCFVCAHTLPVLYEKYQDQVDDFLYNILGLLRNQYQKLDKGVLSKVPKGSVKFRKSE >Et_10A_001992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17419235:17419920:-1 gene:Et_10A_001992 transcript:Et_10A_001992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISVWAQSEGDSSMWTGEPHIEIEYKDTWVGAEGFISHERIRLEWFSDRSGFVLFNAPGHGFFMMDARSKKIVTWSPGHGSVYRNDILELD >Et_2A_017430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34189756:34191322:-1 gene:Et_2A_017430 transcript:Et_2A_017430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRWIIASCGDMRPSRPGRRRDEPPPPPPQRPSKSSPPKLRKVGSEGTLAMPRDVEEFRNMSAYGHLKLFTHDELRHATGDFDPAQIIGEGGFGAVYRGVIDGAVLKGYPPTEVAVKELNPEGFQGDREWLTEVSYLGQYSHQNLVELIGYCCEDDHRLLVYEYMAKGSLENHLFRRSCNLSWTTRVKIARDVARGLAFLHGGGRPIIYRDFKTSNILLDADFNAKLSDFGLAKDGPMGGKTHVSTRVMGTYGYAAPEYMATGHLTTMSDVYGFGVVLLEMLVGRRALEPSRAGARDGSLVDWARPILIRPKKLERIVDRRMGELGAGGQFTARSVERVARLAYDCLSQNPKVRPAMARVVQTLDAVLSAGADDGDDAADAPAR >Et_2B_019032.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25952366:25952989:-1 gene:Et_2B_019032 transcript:Et_2B_019032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSLLAETRRTWARPAATALYIELLTTAMASLLLTLRAFLAAAGAGGATAGLLAASASVAIVGWLGPVLFAHSDIACRMSLVVAAAEEGIGGAAAVRRAEALVAGRRAHGVAIGLLATAIEQAPSWLCGDGGPALVVGSAVLAAKIVACCACAAFYYDCRRRHDKVGASRSMAKCCQVGWDRDVDVTEESEVEEYGGAFEGFRLT >Et_2B_019819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14252453:14257190:-1 gene:Et_2B_019819 transcript:Et_2B_019819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EVIPSTLSWIFFVSSELRRHAECLELEELTAISVNSILLPTLNDLLLEVYTVLRPKPLDYEQRNALVHVFSKMTTKIFGDGICCGVLPIVSARVPILKVIDCGTGIECDISVENKDGMTRSVIFKLISSLDERFQILSYLVKFWAKVQDLNSPRELTMSSMSIISLVAFHLQTRDPPILPPFSALLNDGLDCATVERRIRLFKDFGSRNKESVAQLFVSLMSKLVSAESLWEHGLCASNLEASWISKTWKKGVGKMQMKKISKCLRDCLLNLLDFMRGKIKTSKLKTLLFGHLSPDELASKPRLRHAKRRWELKLSPESRYLAQKRAKHGAHHVEPHSHSNARTASGFQTPAAAKVMPRIRYQCPTQSSAQIVSIPWPRIIPSGFGYGLSVQLPVDPHCGKGILGPPPDMISLSNGIQRLQQRPLLPTPRNVRPA >Et_7A_050502.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:20179137:20179307:1 gene:Et_7A_050502 transcript:Et_7A_050502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARESNVIFSFIHVSRSCNEVAHVLAKSANQISESVWFHVPPDLIWLPLCNDRLS >Et_6B_048780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13616011:13620810:1 gene:Et_6B_048780 transcript:Et_6B_048780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAAVLPTRHRARVHPLRRPPSRAPLHGGLLRPPRRRRRRPLAETFPVSQIRPRPPPLESSSPSPRRFLLHDIVEALDKGGWWSGIVVAGPPAASVAVAFPISREVIQFDPDLVRPPRDYIRGGEWVPSRIVIAVQPKCPVRVYEVEEKVEVERARDLHGGCSWFPATVVKVVDPQSYIVKYIDDDEGEEATTEYLHWKFIRPAVDRPPPSSEFRFRPGAAVEAYCDGSWSPGVVRKVVAEGEYEVTLNGKARELVVKVLELLKPHYKWDGNAWKIVRVSKRHAKLRLQSAVRKRKNGPYVGLTQDSEYLFSATELISSCLPRTYSTFKRISPKCWAETHPMQALQGKNDVPDHAKVTESQTQQQPHKTLEDTLNTDKVRSQELLPEYNIKGIDIHDSLLEEESTATVIGSCESNRNADMLTDRAVTQVPKSNHHIEIYVPSVEHVQQDGGENGIEIRVPHQQHSTRELFSCRQLHTFTIDFKPKC >Et_4A_032979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16278401:16289450:-1 gene:Et_4A_032979 transcript:Et_4A_032979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETEDLPDWLPDGWIMESYLTEDGTINKTTLRLSRTTHSLAEVLEYLFSGMEERIQESEECAQEMTLHKTHQWLPKGWLIEIRAGGENLDKMYKFYVLPRNGVRLLTKQDVLLYLEQSRISMCDTNGQCDTSSNGNIIAKVDLYPNGLPSGWVKELVFRKTKHESGRRDPYYTDPSSGYTFRTLKLALRYLESGKVPKRAIIQKTSVHDIYSFDKCADLILLILQNHARYEHGSLSSRLTVNQKQDRKLTGSLLPEKSSSIDYDGDDTGSSEDGDTSSGSDSSYENMCDKAMFEWDDAGVKNGDMEATEEDPPDWLPDGWIMESYLTDDGTINKYYTSPISDYTFTSQAEVLEYLFSGVDERIQESKERATEMTLQKTHQWLPKGWVIEIRSGGENLDKMYKFYVLPRHGVRVLSKQDVLLYLEKSRISMCDTNGQCDTSSNGNIIAKVELYPNGLPSGWVKELVFRKTKHGSVRRDPYYTDPTSGYTFRTLKLALGYLESGKIPKRAFIQKTSVHDIYSFDKCADMAFFEILLTMPNPAQYE >Et_4B_036305.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:16170199:16170408:1 gene:Et_4B_036305 transcript:Et_4B_036305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAATLKDDTPKLPSSAEVFAAPQEIEGLDEDTKLDLYDVLTADARKFESMMALPVQRRKRWLMKQLRK >Et_2A_017147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31366866:31370540:-1 gene:Et_2A_017147 transcript:Et_2A_017147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKDAAAAGSGIATGPAAPVLLCFDLKPFLAALTVLTLLAAAWQLRPYQSVLASPFAACPRAGTPLPPRPLAVHAKKASAPNSTASMPHQQQQHQQESRGPERREFRAVGSAAALFVQMGAYRGGPYTFAVVGLASKPTHVYGKPWFRCEWEPNATNASSSSPMRAAKTYHMLPDWGYGRVYTVVVVNCTFSREPNADNAGGRLVLYAYHGPPSPPDRRERIVAMEEAPGAYDAAAFRHHHHHPKYDYLYCGSSLYGTLSAARVREWMAYHARFFGPRSHFVFHDAGGVSPAVRAALDPWVRAGRATLQDLRAQAAYDGWYYNQFLVVNDCLHRYRHAAKWTFFFDVDEYIFLPDGRNLEDVLAELEPYTQFTIEQNPMSSRLCVREPNNTDADISNQWGFEKLVFRNSITGVRRDRKYAIQAKNAYAAGVHMSENVIGNTTHKTEHLIRYYHYHNTINVLGEMCREFVSIPPKGGLTWSEKTPWYYDDSMKRVADAVREFERKTIGNVANKFIP >Et_1A_007765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38031341:38032419:1 gene:Et_1A_007765 transcript:Et_1A_007765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSGSPLSVPPGFRFHPTDEELLYYYLRKKVSFEPIDLDVIREIDLNKLEPWDLKDRCRIGTGPQDEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIFLGNAGRRIGLRKTLVFYTGRAPHGKKTDWIMHEYRLDDGDNIEVPPVLEDGWVVCRVFKKKSIQRGFDQQPGMAAASDDEHQSFHSPVGATQVDQKQGLHYQLMHGGFPAFDPSMHLPQLTSPDSSAGPAFMSGTPPFAAMNPPDMGCSSQYMAKLTASAAGGNSGEVPLNSGGDRFGAAADWSILDKLL >Et_3B_030459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3938576:3942878:-1 gene:Et_3B_030459 transcript:Et_3B_030459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEPNGQVLKDQRYIHHQQQGRQCDGKLSRPAAAAMEKAELEIPGECQYAGEPAVVRPSQPTPRHTLYLSNLDDQRFLRFSIKYLYVFDAAAAVAAGDLRAALARVLVDYYPLAGRLRPSDDDDGKLVVDCNAEGALFAEASLPGLTADEFLRGRARPHKSWRKLLYRVEAQSFVAVPPLVVQVSTPIPFKIHFIVTRLGGGGMVLCTAINHCLCDGIGSAQFLHAWARAVRGHGDHDGDEELPPPVHDRRALRPRCPPRVAFTHPEYSAAVAAANGNGNGNGNESLLARLLGQPLAPVSLTFTAAHLRRLKRRCAPSLKCTSFEALAAHVWRAWVRALDPPAALRVKLLFSVNVRRRVKPELPRGYYGNGFVLGCAESTAAQLAAAPADSPATARYGVRLVQEAKECVEDEYVRSMVDLLEERRGARPDLAASLVISAWTRLGLEDLDFGGGGPAHMGPLTSEIYCVFLPVVGGDPDGITVLVSVPQAAADKFQHCCLSFLEDADLDAKLSRA >Et_6A_047984.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:27073886:27074437:-1 gene:Et_6A_047984 transcript:Et_6A_047984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKTAAAEALRVSDDDGARVDALNRAAVAMRRSQKEGEVVPSFFEGFALQGIRLDAISPGRILCSFTVPARLTTADGNHLAPGAVVALVDEIGSAVSVADGKQLKVSVDMSVSLVDLAAAASGDALRITARALGHKGYYSGTHVLIANAATGKVVAEGRHSLFGKMKAAPPPSSSTLIKSNI >Et_3A_025284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28572207:28578555:1 gene:Et_3A_025284 transcript:Et_3A_025284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGPDALVLELMTMGQQSAAHLGALLRAASPASPHQELASEILRCCGRIIAALTANGASGGKKRKAAERNSATAACSPATPPRKRFDPSVSLLLLTRYAWTIVWPRRKQLSRGEEARSVVTSATTTVDGFIWRKYGQKDINGHNHPRCEHPWSWDGDMSSQGGWSSSSTASSEAHTMVDDTARVVEAPTGAAPCHASDPVTEFLDGCFDWESLINDVPFDFGALHHAANASVVYMALANPVARLVLELMTMGLQSAAHLEDLLRAASPASPPQELAAEILRCCGRVIALTASAVSRGKKRKAAEHEDAAAAATATCSPPAMPPRKRFASCCSSYSIGSHNRFSSVAVTICTFRFRRSRVAEARSVVTSATTTVDGFMWRKYGQKDINGRNHPRLYYRCAYNKNQGCGATRRVQRTQEEPAAYEIAYYGEHTCTGAASCQGGAAAPPPPGVVDFGSNARPSAGGLWTGPAACSAHQTWSWDGDMWSQGGWSSSSASSSEAAQAGLEAQTTHDMAPAVEQPSATPCYAPGAVTEFLDGCFDWSSVVNDVPFGFRALLQ >Et_1B_010283.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:34535701:34535985:-1 gene:Et_1B_010283 transcript:Et_1B_010283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVFEAKHGELVAEADGVARDFGVDVVTVAFRPGGDGAGAVVHEFPGARRLVARDVAKMGPAELGQHTARLLALRAAVLRKLQEKEKIEDHRL >Et_2A_018448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28526846:28528210:1 gene:Et_2A_018448 transcript:Et_2A_018448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEGRRAPRASGLTVALVIVLAAAAGLPGASGRRRRLVSAAAAGGCDLFRGRWVADESYPLYDASACPFVPDVFDCRRNGRPDDAYLKFRWSPAGCQLPSFDRLDFLRRWRGKTIMFVGDSLSMNQWVSLACMLHAAAPAPARVTYAPGEPVSSVRFEDYNLLVVLYHTTFLVDVVREDVGRVLKLDSMRNATAWLGAHLLVFNTWHWWTYRGASQVYDGFVLMASSSSGLTDCSSSCSPCASACRWDLVQDGNSTYRDMDRLTAFSKGLSTWARWVDANIDTSHTKVFFQGISPSHYMSKQQDKEVPAQGGSCLKQTRPLQDATDSPAAGASVLPEQAVVRRVIGAMTSPVSLLDITALSQLRIDAHPSVYAGPGRDGGDCTHWCIAGLPDAWNHIMYAMLLHQG >Et_3A_024245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18889940:18890996:-1 gene:Et_3A_024245 transcript:Et_3A_024245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPAAFSSCGSSSRLSQPAGLPRSTGRRLFNFKVTAMAPKKKVNRYDENWSKQWFGAGLFAEGSEDVSVDVFRKLERRKVLSGVEKAGLLSKAEELGVTLSSLERLGLLSKAEDLGLLSLVETAAATSPSVLASVSLPLLVAAVAAVVLVPDDSAALVAAQAVLAAVLAAGAAGLFVGSVVLAGLQESD >Et_10B_004214.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19352422:19353741:-1 gene:Et_10B_004214 transcript:Et_10B_004214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKSVGSLLVGERAKVGALKRLAQGSSKTAGRNSSGRITSFHRGGGAKRLHRNIDIKRGTSSVGVIDRVEYDPNRSSSIALVRWVQGVHFRRRSNKPDHVEGISSSVADSSSTTANVSASFSLAAPFSSAAQPKVASSLLLSSLANNNNNGDDATALTSPLPRIAVAGAKPTFFVAPPQGIISNGKQTFSLSEIHKWATDDALWAQRMKRQAALSWQNDLKKKKPSSLQSSLSSNSNEGPTPKAKAVDGVPVSYILASHQCTPGTTVMNCDPSKPSSKAQRGSSANQYDVIDLNSKVGNCVPLANVRIGTWVHDIECRPGQGGKMVRAAGTFAKVVQEPGAHCVLRLPSGAEKVVDSKCRATIGIVSNPSHAARKLTKAGHSRWLGRRPVVRGVAMNPVDHPHGGGEGRTKGGRPSVSPWGKPTKAGYRSPTVASRKA >Et_8A_057475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3410965:3418896:1 gene:Et_8A_057475 transcript:Et_8A_057475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKQTPPAPMAVAAWMLLSIALLASAAAAVSPSSDAEAISRFQEYLRIDTAQPAPNYAAAVDFLRGQAAAAGLEARTLEFVAGKPLLLLKWPGRRPSLPSILLNSHTDVVPSEPQKWDHPPFSAALDEASGRIYARGSQDMKCVGMQYLEAIRRLRTAGFMPDRNIYITFVPDEEIGGHEGVEAFVESKEFKDLNVGFVLDEGLASPREEYRVFYAERSPWWLTIKAKGAPGHGAKLYDGSAMENLMKSVEAIRMFRTSQFDLVKSGGKAEGDVVSVNFAYLKAGTQTPTGFVMNLQPSEAEVGLDIRMPPDVHIEALERRLVEEWAPSSRNLSFEFKQKGCVLDNFGKPALTPVDSSNPWWSVFEEAVKRAGGKLAKPEVFPASTDARYFRKIGIPALAAWMLLSIALLASAAAAVSPSSDAEAISRFQEYLRIDTAQPAPNYAAAVDFLRGQAAAAGLEARTLEFVAGKPLLLLKWPGRRPSLPSILLNSHTDVVPSEPHKWEHPPFSAALDEASGRIYARGSQDMKCVGMQYLEAIRRLRAAGFIPDRNIYTTFVPDEEIGGHAGVEGFVESKEFKDLNVGFVLDEGLASPGEEYKVFYAERSGWWLTIKAKGAPGHGAKLYDGSAMENLMKTVEAIRMFRASQFDLVKSGEKAEGDVVSVNFAYLKAGTQTRTGFVMNLQPSEAELGLDIRMPPDVHIEALERRLVEKWAPSSRNLSFEFVHKGCVLDNFGKPALTPLDSSNPWWSVFEAAVNRAGGKLAKPEVFPASTDARHFRKIGIPAFGFSPMANTPILLHDHNEFLSKDEYLKGIGIYESIIKALATHKDDAKDDESRAEL >Et_2A_015457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13158539:13163138:-1 gene:Et_2A_015457 transcript:Et_2A_015457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVLGVAKSLVDGTLSKAQSAIEEETKLRSTTQRDLVFIAGEFHMMQSFLSITSEEHVKNGVVSTWVTQVRDLAYDVEDCIEFVVHLDKRPDWWWRFVSCSFCGAKALPLDEAVTDIEQLKARVEDVSRRNARYNQIIGGDPGTRSITVAQKLLPPADTGASSFDMLAEESKQQDVLTKLLTKDGSPELQVISVWATGAGHGTPSTVVKKAYNDPKIWINFRCRAYVKLAYPLNLHEFLRSLLDQFYANSSEEQHGEIPDTDVLKRMKAAAATATDEYLVQEFKKLVNNQQFLVVLQDLSSMAEWDSIRKYFPNRSNGSRVVVSTQEFEVANFSTGPPYIQWFSADHSLCIIFKEVDLIGRTSEMGELVGYLPKARLEDLHVMSVWGIAGAGKSALVRAYYQKHLQKDQLFSKHSWVDVPRPFDLREFCQTLLLGFHSHSFEENDIIYCDSMGVRDHVKECRKLLEDERHIVIIDDLQSKEEWDLIQAALLSRRSKSTIIVITNEASIALHCADRKELVFNVKSLELKAAIDLFKEEVKRINSTFPMDVIDTDVALNQLIPKCGGLPRVIVAAADFLFQILFEWTSRARMMNTEFMHNLESRPEFACLGDLFTWMHSYFRTCPDILRPCIFYLSIFSKSRPIRRRRLVMRWVAEGYSKDSGNCTAEKNGEDLFTKLIELSMIQPPEQTVNTQNRMVKCQVNAFFHEYIISRPNQENISFALEVLTLDGSCRQITGRTGRHLVIEESWVRDRIVFESIDLTRLRSLTVFGKWESFMVSNNMKVLRVLDLENASDVTDKDLEKLVKLLTRLKFLSLRGCSKISRLPKSLDGLRQLQILDARLTSIVTLQTSITKLKKLQYVRAGSTALVGVVPPVQIGKLTLLHTLGVVNAIGKRGETILKELRNLTQLHKLGLSGVNRKNSAEFFSAISSLAQLESLSVWLSTDSPGCLDNTSFMPPNDLQSLKLYGLVGKLPEWIARLDHLRKMHLEITQLSEQYLQPLRDLKELSILRLSFIDGNLDFSVKLNGQEVYCYRAVKVLEISCTSSLDVSFGTRAMRNLELLHLKDCHDDTVLQEMRRRLAELPKNPVLKLL >Et_1A_006761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27251346:27262411:1 gene:Et_1A_006761 transcript:Et_1A_006761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFSSSKMAALVAIFAVLVTYASYAEAGPWLPAKATWYGAPEGAGPDDNGGACGFKHTNQYPYMSMTSCGNEPLFKDGKGCGSCYQIRCLNSSNPACSGKAKRIIITDMNYYPVAKYHFDLSGTAFGAMAKPGLNDKLRHAGIIDMEFRRVPCNYKGLNVNFHVEVGSNPNYLAVLVEYAGKDGAVVQMDLMETNKESGKPTGVWKPMRRSWGAVWRMDSDRPLQAPFSLRIRSDSGKTLVANNAIPANWKPSTNYPSSSAAIVAALLTVLVAHGARAQSSNNGTDYYDGSDGYNNGTDARRSLYSTSWLPARATWYGKPNGAGPDNGGGACSYSHTNLPPFNSMTSCGNIPIFKDGKGCGACYQVRCLSTKNRACSGQAQTITITDVNTNPRISKYYFDMSGTSFGSMAKPGMSNKLRSAGILDIQFRRMASFSAKAVAAVALVAVLSVCVRAQQPDNYTTSAATSYYSGWLPAKATWYGAPNGAGPDDNGGACGFKNTNQYPFSSMTSCGNEPIFKDGKGCGACYQIRCTKKDHPACSGRPQTVMITDMNYYPVARYHFDLSGTAFGSLAMPGFNDKLRHAGIIDMEFRRVLCNLNGLTINFHIEHGSNPNYLAVLVEYANKDGTIVQVDMMENNSGYWVSLRESWGDIWRIDRAQPLIGPFSMRVRSDSGKTLIAKNIIPRGWQPNADYRSYIQFN >Et_8B_059372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17184065:17187057:-1 gene:Et_8B_059372 transcript:Et_8B_059372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAAPAAGDGKEKSACELCGAAARVYCGADEATLCWGCDAQVHGANFLVARHARTLLCRGCARPTPWRAAGARLGPTASLCDRCVHRGGGPGGVVGGAGAAGGDVEMAGEEGDDDEEVVVEEDDDEDEEGEGENQVVPWAEEAEATPPPIASSTSSSSREVAANGGNAVDCAKVRSSIPLVLDLEDIPCSTSAIHGGQNDEATSSRNGGRLLSSRHRKRSPSDFLGSGTVRKRNASEELFQRWHWSKWLYLRQLEVTRNLHKVVIA >Et_1B_012185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29727344:29729075:-1 gene:Et_1B_012185 transcript:Et_1B_012185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVGTPPTAGHYENGPAVDTKSPCPPPCGGGKAKPFVDLRPPPLQDAEPKIQSDAAPSENPRPSSGGGHHRDPLPSARQVRAPLPGPLAWRRITTDPQFLAAHARRRPLEVVLCTLLEQRPSPGDANQYSRDLAFDSVPVTAANPADQRRLIRYPSYITKTQGYLRYFGFGNLPRASCAGVLLFEAEHARHLICNPVTRQWAELPRLPQGYSISQDAFYFHQSSGEYRLLCRLEETYGATAKYYITSTGTAEFRQVSTGDVDEATDEAIWMIGHLFKDYITHVALHDRLHWMLPLSTGDRKILAFDSISETFQPLMPTPPMSSECVDKLFKMNQRLAAANFGKTHIDLWFLEDYDSSGCTGIKSLLHQCSRGWEIRQAMMSH >Et_5A_042469.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:13765357:13766316:-1 gene:Et_5A_042469 transcript:Et_5A_042469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAASRLRSAAPAVKALAVAVLLLGAGAVETLHQNRASVPIEAWTCSIPHRRALCIKPASTNRPRRPRQSLPMPRGVVQHKTNLEMEASLAGNPERLKQQGESKPTKKSLLAVAVGINNKETVNRLVSKFPGDNFLIMLFHYDGTLEQWSDLEWSSHAVHVAAKGPTKWWFAKRFLHPDVVAEYEYIFLWDEDIEVDTFDPLRYLDVVKREGFEVSQPALDRRSEMHHAITARALMPTSDMHRHAQGVRCDKDSMGPPCMGWVEFMVPVFSQEAWRCVWHMVQSDLVHGWGLDYKVGYCAKGDRAHNVGVVDSEYVLH >Et_4A_035090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9354993:9358553:-1 gene:Et_4A_035090 transcript:Et_4A_035090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDVPPNQTIYLRNLNEKLKKEELKRSLYALCSQYGRILDLVALKTPKLRGQAWVVFSEITAATNAFRGLQEFDFYGKKMQVQYAKSKSDCIAKEDGTYAPKEKRKKQEEKAADKKRRADEAQQTGPNAAAAQSNGFGNQASRQGKVPQQEAAPPNNILFIENLPKETTDKMLQLLFQQYPGFREVRMIEAKPGIAFVEFEDDSQSNVAMMALQGFKIAPEYPMAITYAKK >Et_3A_026101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4323964:4362988:1 gene:Et_3A_026101 transcript:Et_3A_026101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAATSRDVEEVIQKLHSDRARTRDVSALLRTNNALRLPQEGVKLLGTWLQGDRAASFCRLLGRNTVRLKPGHLTGAATWPLLILALLNCVKEDISAKKRGTTKSAAARMLRVAVQCAEDVRLSGHSLLLISVARQLFSHIWEVIKDGPGFQLEFSIILRQLLAIKEYRYQMKSRTYSSFVILYMKRIASGFDAKSSNQVSSKDESFRCALTLHVLLENPPGDYPDIMREEILSEFCAIFSHIRQAFWVQLWVSIPCPKEEGKLTRKLMECINTFLLKDGPNLGYKSVEIHNAVQDFVFRSWLRTHDQALKSLFITYAKVQLKLARAIPEVLQKLVDIIIKELDQNVNTGAGFLWCEAPRDEKAGSFRCFQEEWMDLSSTVFYLAYKCTPKIPNREKRLKTEHIMDLIIDGLLKGSLFWTGSICFLIHKYGHRVEKSLIISWFKASCQALRRVLKEFSIVLMLNAKEEAQFCLTKGEMSIVEGCWQDVWNSLIQALPLFSTTTLLADSALSLLGGMIVREQIHTSFLSEDTWSLQIFKQFPSPSALYFIACYFSRIGFQGDLNNSIFVRKNLLRSALELVNSKEFSLLNAQNVVIIPEVIFSLCAGFSSPAINSADASQLVGDYNFSSKMSLEEENLVLKYDLHYSLDALSDLSPKKYGCCGKNKDSLKCDFCFIASIDKVTEASLLGKQVISGKYHRIHLPGTIKQPLLLEVMEFTKGFVARNEQLDKVDLSNLIFVCSFLCNLIHCALLSRAIEEKSPDLQEVLGYITDVLKYIVSIVMKKHNELSHGLTNLSSAVDTMGSSLTSFQSFLASPLFNLPRVDSRVSSVLTKSITNSLDDLLVAISQLFSRLSSAMNNFDGESDFKVLPISCVDSEELNAIADSKSSAADMDLDVMDSGEADSVTASAGGNMGGLLRPLEWKLELVSIISTFFSVLSLHTWEVLYSLVEKESDVKVRQAILLNLCRNIPASSKTVSSLVNLITDMRGRGASSLLDSAECLKHVHALLRTLRSIRDGEQNTDGKSQGCKVAFSEDQDILLDLVHKATEISFADWGFRIKLIDCICFFIYLFPDVAQDLIGSLFDMLHDADYRVRFYLTREIVVLFQTWDGHNEFSNVGVKMVNYSTSSPVKAREVLAVGQQHILVIETALITLAHLAVHSEDTELECAFMISAAAALEPSQRELAYALFDSMSWRLGYASRSKYLDQMMGSILFRWVACEMSLVSLVEMQEMFDYTSAEPKDFIEHCCSWLLSCLILRGDAADLDWTSKILSQPLPVIIKRYFVPIFGLSIAAKCGAGPEKDSAETVLCESLLHLGEISESERDDLIKKHMVSIVAFLLSVSSSAHDPQIPYFSKEVIALSVKMVVDGFVDTMDDDLADTVVIDKINIFRADRVFKFLLAIHQQVADASHPRHMGHRLCAIEVLMDVLGHRVVLESTCFYIISIVGNYIHQKPLQGQCCNILSKLLAAFNGNCSSETVTVLGRQLQVVVPKLVNCCLINEKEGSDTADSSRVLSLLRELTVDSDPLLYDYIRDLEPFPGLECLKDIQDFHASLSASYSSRDQFLKFVSRAPYLPPELFLSSLCMYHKKLLLGEIICRGDLSVADADVVSCWRSDPDVVSAVWILVDLCSSSCVGNEASSVLADFIARVGISDVHQVVLDLPSQKQPLQLHSGSASKEDKLCSDYGISDDVLVELLKLLKTYLSDESVEIIDVTSQTLRGILSTAKGLNALQCLDSLDRSLLMIHSRGVNIQIVEQTTLGMEKYSSVSLEDSDIWKTDGRTYEQWLCTLVSSLIGHCDDIILRSLVFLKAKAAELLLASALVNIAGNFNSNAAICSIISTKVEKIVFSDSNHLMKSIHLFLDALNIIRSFYIAEKTRTIPSNNLKVYWLSVDYLVAARAASRCSCDFATLMYVELWCEEQFNRLALGPPDFSHEESLQPHIDLLVDAFTRINEPDSIYGITLANEITSQIIRYEHEGDWSSALEYYDLLVRSTTQEHRVNLSGTVLTGVTGPSLVPHKAEEKMLNWKMHKGLMRSLQKTGCSHVLDAYCEGLTRQKGCLQQDAEFVDIQYEAAWRAGNWDFSFFIPYSSRSSARTRSYCLFNENLHSCLRALQNGDSEEFDVKLCQTKKDLVLALSNTSKESTKYIHSAILKLQMLDHVTMLGLLDKEWNIIVFQTEHNLDLFEPFLAFRRSLLKALGCEEPLVKYLFKSASALRKGLRFSLAAAALYELKEHCTYNDERTLPNTYFLSREYLRPSVDLAEFDKSTDKRYMSRQCRTLFHLAHYTYSLFKSYEERLSSSEWQAALRLRKYKTRELETLMKRLKNSTKGVKTDYSIKIQELQKQLEIDREEAEKIQDDRDNFLRLALQGYQRSLVVGGKYDLQVVFRLVSLWFSLFSREQVVKSMVKTTKEVTIGISYLNRITNYVQTFKFLPLVYQIASRLGSSKDAQGSTNFQMALTSLLKKMAIDHPYHTIFQLLALANGDRVKDKQRSRSSFVVDMEKKLAAENLLKELSSYHGALICQMKQMVEIYIKLAELETKKEDTNKKIPLPREIRSIRQLELVPVVTATVPVDPSCRYEEGSFPHFSGLADSITIMNGINAPKVIECFGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNTFLQNHRDTSERRLRIRTYKVVPFTPSAGVVEWVNGTVPLGEYLLGSTRSSGAHGRYGTGDWTFLQCREYMTSVGYIVGLGDRHTMNILIDQDTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGITGVEGVFRRCCEKTLSVMRANKEALLTIIEVFIHDPLYKWALSPLKALQRQKELDDTDSCLDDAQEAYEGNKDAARAILRIKQKLDGYEDGEMRSVQGQVQQLIQDAVDVDRLCQMFPGWGPWC >Et_6B_049447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:491776:494794:-1 gene:Et_6B_049447 transcript:Et_6B_049447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEDNEPLPQLDAKPRRLYQAWKGNNIFLCGGRLILGPDAASLLITMFLIICPSIIFCYQMKSKFYHSQEHTTGQQHMHQAAVVIVILTTIMDLIFLFMTSTKDPGIIQRNTRAPPEADELLGSNTPSMDWSSGRTPRMRFRRTKDVMVNGFTVKMKFCETCLRYRPPRSSHCSICNNCVQKFDHHCPWVGQCIGLRNYRYFFLFITTSTFLCIFVFIFAWLSVYNQMENNGGSIWKALRKEAYSFALIIYTSIVVWFVGGLTVFHLYLISTNQTTYENFRYHYDKKENPYRKSIAENFAEVFFTKIPPPMNNFRSRVGEGALEAGFYTPYIGLDVNTPREKIDTGTGNKEVLIGGMQVPTVLQNIDYGSFEDSYDKNRNEGEKSVHFPSSWAQGNEGAGATTARNDETSEGDADEIISSHTSSIRTATESNAASVDENFEDSAKEVKAQIELTTD >Et_8A_056157.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8774719:8776494:1 gene:Et_8A_056157 transcript:Et_8A_056157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDYIIIIITSSLINGANTEKSLVGAEQRVPVDLVGRVLAEHEALVLIPRRLAEVQLPNLRQRRRHGRSHRRRHGLVVRPGAGAGARARALLVGGAALAGSRRVDGHGLAPAALAGLGGRGGDGGADGLQLGVDGGVERRARGGVVGEVEPGRVPAEAERGLVVGERGLLGGVEGAEPEAGAVAREADLRHPLAPVPLPHAAVQLLRTRRVRRRRRGGGAAGSGLHGLRPRAQARVPLQVELGLDELHLGRGQLGRLARAHVVEPVVGGSSAASGERRRHLMRRRRSVQDERLERPHHLLSSTRMIYSSGHLKSITIYLHLFFDFFYEGKRKNNSLVLTKIKEQAD >Et_4A_034006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29008756:29009451:1 gene:Et_4A_034006 transcript:Et_4A_034006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTGRDKVTGENPKKPGAPQNSIASTRYGDNFDGRTASLCVRRAVYYDPETGKEDPSKNGVCSNFLCNSKPGDKIQLTEFYECLPVQ >Et_5A_040594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10115952:10118838:1 gene:Et_5A_040594 transcript:Et_5A_040594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATASISSHSAVLRDVKAARISSVRTQVSAAPSAAAARGQRARAVRPLRAAEPGRQPVSASAASAAPVAPVAEEVPAAAVPAAVDYEALAQELEGASPLEVMDRALAMFGSDIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYQFFDKVEKHYGINIEYMFPDSGEVQALVRSKGLFSFYEDGHQECCRVRKVRPLRRALKGLKAWITGQRKDQSPGTRASIPIVQVDPSFEGLDGGAGSLIKWNPVANVDGKDIWTFLRTMDVPVNPLHAQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNIEKENQAAPKANGNGAGAADIFQSQAVVSLTRTGIENLLRLENRSEPWLVVLYAPWCPFCQAMEDSYVELAEKLAGSGVKVAKFRADGEQKPFAQAELQLQSFPTVLLFPSRTARPIKYPSEKRDVDSLLAFVNSLR >Et_8B_058966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11647997:11649203:-1 gene:Et_8B_058966 transcript:Et_8B_058966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCRQQESGQMVTNPHGVPVYSLALGADADMNLLQDLAMNGGTFNPVPESGGPTGMLAVFSQLMAGLLTPTSPDHDLASGDFNQEADGQSGTLTVKFGDLFSGEVRKVVVDLLLVDATGSDYEADFLEVAVSYPDSKGVRQRFRGQTLHITRSSTATGVKTTPKLVEGNVRRQHAGSITEARSLADGNNLDKARDKLVEAQNALEDANPMVAMLRTELQQLLDRMESPELYRAEGRPYALAAETSHAKQRFASSLFATPRMDAYLEQAKTFAENPDAPLPTADDDAEEEVKANPVAAIAGSLAFYIHAAVEALQAIEKMVNAAAAPANV >Et_10B_003895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7330508:7333739:1 gene:Et_10B_003895 transcript:Et_10B_003895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRVEVRRIENAVSRQVTFSKRRRGLAKKAAELAVLCDADVAMLVFSDKGRLHDFAANASMEQILDRYERYLLSEAGDTMQEHHDLQENMSYDDIKLRSRVEALQKSQRNLMGEQLDTLTLREVQQLEQQIEIALRNIRSRKDNILLNSIRELQKKANILKHLLIEMLNFFFFQFFKCWFSPFVENQGKVTVGTKEQSGEGQDNFLTGALLCSCEKEKAELDASPHKRRTASSTEAASLPNLNICRHSVPSPEPLKLWQKLQHRRHWRAGTGLGATRSSEWRQLAMVDAPAVS >Et_1B_013440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8431542:8436840:1 gene:Et_1B_013440 transcript:Et_1B_013440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KIRIPKKPLTRQPSPPQITLRCPPVAPLLSSGRGSNLNPRAALAALMARVYVGNLDPRVTAREIEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRELDGASAHGIGPQGGGVTAGHRRRLVLAVTAGRRHLLVLEVTAGRRHLVRGVSAGHLRRRLLCGISAGLLLQHEARVDHLRRLLPGVIAGHQHSSHRAWSPHMLMMPKFFAPECWKYPDEVKER >Et_2B_019733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13204493:13211147:1 gene:Et_2B_019733 transcript:Et_2B_019733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGQSAAAAAGSASEGGSPASGAAAAAASAFPATSLYVGDLHESVQDAQLFDVFSQVGAVVSVRVCRDINSRKSLGYAYVNYNNPADAARALELLNFTPINGKPIRIMYSNRDPSSRKSGAANIFIKNLDKSIDNKALYDTFCVFGNILSCKIATDPSGESRGYGFVQFERDESAQAAIDKLNGMLINDKKVYVGPFVRKQDRENVSSNVKFSNVYVKNLSETVTDDELKEMFGKYGTITSAVVMRDSDGKSRCFGFVNFESADDAAVAVQELNGKLINEKELYVGRAQKKSEREMELKEKFEKNLQEVADKYQNTNLYLKNLEDTVDDDKLRELFAEYGTITSCKVMRDSNGVSRGSGFVAFKSAEDASRALAEMNGKMVGSKPLYVALAQRKEDRKAKLQAQFSQMRPVAMAPSVGPRMPMFPPGVPGVGQQLFYGQPPPAFINPQAGFGFQQPLMPGMRPGAPMPNFIMPMVQQGQQPQRPAGRRAGGMQQPMPMGGQQQMFPRGGRGYRYPTGRGMPDPGMHGVGGVMPSPYEMGGGMPLRDAGVSQPVPIGALATALANAPPEQQRLMLGENLYPLVDQLEHEQAAKVTGMLLEMDQTEVLHLLESPDALKAKVAEAMDVLRTAQHLQQTNASPEQQLANLSLNDGVAEEGEIEL >Et_8A_056048.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:12380129:12380419:1 gene:Et_8A_056048 transcript:Et_8A_056048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPKCSRRLHFIYTDSIPGGRRRRGRRDNNATPARLGRPVRDGEAEPAVPGQAQLARGRGHGSRHVRGPRSSASRGSRPPSSSSSRGGRGRSRP >Et_10B_003425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19774505:19777149:1 gene:Et_10B_003425 transcript:Et_10B_003425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWDLLMSWRWTGRRLFTTAGLPGGWAVVRVVLMVFFSLEAPEDVPHEGSEERRNAGVVEADLTFSKKWPGEETERRLDQRPPFRGSTSKVHFPTQESGQLAEVVLNVSALEFTFHVQQLHHVHEQLWPFTIHDFLNVVVVLIDLSPFVLFLDIDNPLIDLFICTRSIQPVVVVRELREYPLLLCFAGLGTT >Et_2A_017767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5988997:5999368:1 gene:Et_2A_017767 transcript:Et_2A_017767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTTDGGIAGAVAGDEEYDPKFVKLRTASKSTAIKTEAMAATSAAKKRRTETDEGRELTTTLWPAPEEKLSLTDASGLTSEPKLAQCQELLPHAERKEEDYVDYINLLPDGVVEDIISLLPTKDAARTRTVATQWCHLWQSAPLNLDCSGGGLPEEEQVQAGLISRILAAHPHPIRRFSVPAVHLQHRLEIVDNWLSSAALDNLQELEFYLGYVMYYFGQVPAVLLPATAFRSSATLRIITISKCHISDVAVEGLRFPQLRHLGLVGVMISESSLQSIIDGSPVLECLLLYCSSGFHSIRINSSSLVSVGLYNHLQSVNVIVEDAPLLKRLLQLEDYMGMHVSVISAPRLETLGCLSDYGFETKYTFGAAILIQYLGVVSFTTAVRTVKTLSISMNRLSLDTVINLMRCFPCLEKLYIQTSCQSGGKNLWRRKHNHLVRSLDIRLKTVVLKNYRGIKSQVSFATFFVLNAKMLELMRFEGRKCNDSQFIAKQHNLLELTKRASKGAQFQFTKSRICIPHIRHVSDLSKTDPFECAFAAKKRRTETHEGRTLTSALLLAPNEPLSLADDSGLTSEPKPTHGQEPLRCAERKKEDDVDCISLLPDEVLKDIISLLPTKDAARTRTFATRWRHLWRSAPLNLDCSSGGLPKEEQVQAGLISRILAAYPRPIRRFSVPALHLQHRLAIVDNWLSSVALNSLQELEFYLEYAMYYFGQVPTVLLPASTFRFSATLRVVTISKCHISDDAIKGLSFPQLRHLGLVSVMISEGSLQSIMDYSPVLESLLLCLYCSSGFHSIRINSPTLVRIS >Et_8A_057841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8156844:8164275:1 gene:Et_8A_057841 transcript:Et_8A_057841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPSKSNSGKKPKPSTSAMDAPAEQKPPRRPKKSKSGASGGGKKAKSGGFESMGLCEEVYRGVRHKGYRVPTPIQRKAMPLILSGFDVAAMARTGSGKTAAFLIPMLQRLRRRDPGAGVRALILSPTRDLAMQTLKFTNQLGKFTDLRTSIIVGGDSMESQFEDLSDCPDIIIATPGRLMHHLNDVKDMTLRSVEYVVFDEADSLFSLGFTKHLHEILRKLSDTRQTLLFSATLPSALKDFAKAGLRDPHVVRLDLDKRISPDLKLVFFTLRQEEKLAALLYLVRERISSEEQTIIFVSTKHHVEFLNILFREEGLEASVSYGAMDQEARMIHISKFRARKTMVLIVTDVAARGLDIPLLDNVVNWDFPAKPKLFVHRVGRVARQGRTGIAYTFVTSEDMPFLLDLHLFLSKPLRPAPTEEELLKDMDGLNMKIDQAIANGESVYGRFPQTVLDLVSDGLKEVISECADLVALEKPCANAFRLYLKTRAMPSKESIRRAKDLPREGLHPIFRDVLRSDELSALAFSERLKSFRPKQTILEAEGEASKGSNQWLDVMKRKREVHEGIINLVHQQSYVDPRPKEEEVDDISNWEKKDVCGKKRKSQSFRDEDYYISSVPQNQHLEAGLSVRDNEGFVENRLDAAVLDLVDDEASGMQTQKSRYHWMKNKFVKLNSGDRVTATGKIKTESGAKLKPGATGIYKKWQQKTHKSINIGGKSGNYYDERPSSSGGYQRGGKKFPGAGRGRRSIPNADVPSEIRNPEQMQKGRQQKAMELLRLKSKSAKDGRGNGRPDGHGKGRGNAKGNGNGFGKGRGGKGKVKGKGGR >Et_6B_049022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16742517:16743667:-1 gene:Et_6B_049022 transcript:Et_6B_049022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVAVPSGWVLDVVKVDLCIENDNSEANNLKVTLELYDVKGCTKTSQTWYHEKGDKFVVTITRDTEVKIINGHLTVVYTIGDELSFTEFIMILRRILADHPDRQDFLDITNLSFYTREHPMLAKQRSGQPARWLHVRLQVANDDGEITSWTTLFMRDDNLCVLGFENQEGDVYGLVDGKYSDGMLPEHVYRHHRLDWTVEYESILGVEDQKQAAYKLLCAHLGRDFAKEAVRVLSRFTPGEKEGDDDDPARLALAGLIVMVRESAGMCPIHDAFAAGWSTGSGFTEELMDIVWKYGEMSGDLRAWKRRNYAEPHPFEQLETIYLVLNDST >Et_5B_043994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18699489:18702719:1 gene:Et_5B_043994 transcript:Et_5B_043994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGDGGGGAGGEWPFSADAYADSSAIFAELGCWAAGLDGSCGELLPPLDPAPEITPPPQGCGAAAEEAVATPAGSVSVDGGASSSSSDDGAAQEDADVKPAAATEAVSKPPAPGKTKGQKRARQPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSNDPSVVITTYEGQHCHHTVTFPRAHLHALAGHMAFSAHHLYDHLPPLQLPPAAMSSSPSSLLRPLHCNQELQAAASMYSLSTTATTAAAGAAVSTQSSTTMMSSPASVVPAVDKGLLDDMVPPAMRHA >Et_1B_012718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34667562:34671381:-1 gene:Et_1B_012718 transcript:Et_1B_012718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDPSTPSKASKASEQDQPPATTSGTTASVYPEWPSFQAYSAMPPHGFFPPPVAANPQAHPYMWGAQPIVPPYGTPPPPYVMYPPGTVYAHPSTPSGMHPFNHYPMPSNGNAEIPGAAPSAPEMNGRSEPGRTSGPSANGITSHSESGSDSESDGSDANSQNDSHSKENGGKEDGSSQNGTSYSASQGMVNQAMSMLPVQPGAMVGVPGSTSNLNIGVDYWAAPGSAAVPAMHGKAPAGSARDQWDERELKKQKRKQSNRESARRSRLRKQAECEELGQRAESLRSENSSLRAELERIRKEYEQLLSQNASLKERLGGTSDSVPDMNEQNDGDGISKKQPDYDAQPGSEP >Et_8B_060452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16808352:16811245:-1 gene:Et_8B_060452 transcript:Et_8B_060452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSELQARARFVQSSAANAGVQFDEDRWLSRVRQTLEKEATDALGAAAKVFDVPRVLRATKPEAYLPQHFALGPYHCHRAELRDMERYKLAAAKRVAKLFAGEQKIDHLVQRLMEAQDRIRAPYHRFLELSDQTLAWMMAIDTCFLLDFLESYHRDEATDMVSSATTWINATVRDAMMLENQLPLFLFAQALALRHASEQAAAEALHGVLDRFIKEVSPIKTAAELVVADVARHAHMLELLYHFLVPAAAVFEEDGREPPPMVPDELTLDMLDPAQQLPDYDKVKQACVSVSSLDVAPVRFIRQNLIARPMSMASSLPGQIMRKVPVLSALVTKLMASTDVEARLKGVNLGSIINSPLAQEIMIPSVAQLAGWGVRFAPAPEGIAGISFDAATATLSLPIITLDANTEVVLRNLVAYEAVAVRGPMVLARYTELMNGIIDTPKDIKILRQSGVVVNQLKSDKEAADMWNAM >Et_9B_065234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2663962:2665025:-1 gene:Et_9B_065234 transcript:Et_9B_065234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGAERAETLAQIANGCEEWGFFQLVNHGIPMELLERVKKVCSECYRLREAGFKASEPVRTLEALVEAERRGEAVTPVDDMDWEDIFYIHDGSQWPSDPPAFKETMREYRAELRKLAERVMEAMDENLGLAKGAIKGFFSGDGCHEPFFGTKVSHYPPCPRPDLVTGLRAHTDAGGVILLFQDDQVGGLEVLKGGVWTDVQPLAGAIVVNTGDQIEVLSNGRYRSAWHRVLPMRDGNRRSIASFYNPSDAATISPAVAAAGDEAYPKYVFGDYMDVYAKQKFQAKEPRFEAVKAPKSSPAA >Et_4A_035614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3156305:3158401:-1 gene:Et_4A_035614 transcript:Et_4A_035614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFSTSTNHRDLTVGGGNMSYHHYNAYSDSTTGGLVPLPATIVSQGHGGDGRDEPAASFMNARDGPGGSGAEMGLQTQLFMANASAAQSQGGLSLSLGTQGVPVSLYQYRAAGGGSLLSPNQSPAASRSAQGNIYVQNSRYLKAARELLDEVVNVKDAIKRKGDKNQGKDSGEGKDGGEKSEEKDHEGNSAPELTASERQDLQNKVSALMALLDQVDRKYRHYHQQMQMVMSSFDAVAGAGAARPYTVLALQTISRHFRSLRDAIGAQVQSLRRSLGEKDTSAQGGGLSRLRYIDQHLRQQRAMQQFGMMQQPQHAWRPQRGLPESAVSVLRAWLFEHFLHPYPKDSEKLMLARQTGLSRGQVSNWFINARVRLWKPMIEEMYKEEFGAEMDSHSSPENAGNKGKDEAISSEDHDEFQSTSIAAKHGGAGGHQINTFKSEAMGGGMDAGVVGLSGLDGGAMGGAYATSLNLSHHHHHHAPGGNSSLLLQDALAHHHHHGHGGDARFVSYGDMAGHGLGGYDGGSVSLTLGLQHCNDGGGGGAVPGEQQGLLYGSAGDFEFINGTAEDRQRFGSSQLLHDFVA >Et_6A_047420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5519932:5523357:1 gene:Et_6A_047420 transcript:Et_6A_047420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKADGDELAVVVDSHDQEEHENGTISRTMDDAGAEEDENRGDPEPFFQCVDRVDEPSGDSGVLLADVDAETTEFPAGDDDEEEGGDDVRVSFATAVGDEEQGEVLELDEEEEEDVSRYDYGMWMAPEAMSIQERRRRLLHGMGLTSSRDLLRSRNARARLIPPDIPRAAPRRQQPAAANGVAGDAPSTAEMAARRQTPNNAAAMTRCRSDSRLAVRGGGTGRKLAAFRRVYSLPHSLHGSPAHKALRAAAARCPLPSAAAKGDGNCGEIKMRNVLNNGKDHPFAVNGGQLGDGRQSGVQMSVDEFERFIGYTPLVKQLMRRSQSQPAQSDVTGGGAKPPAPKKRTRWLKNIKLSASAHAAVPSAAASAGPERLKVHHYGKSSKELTGLYVRQEVRAHEGSIWTIKFSPDGRFLATGGEDRVVRVWRVVDADAAQELSAASWSPLAGAGAAAAEGGRAAPAPGLAAQLSRKVRRGKSSKHVLPEHVVVPESVFALAEQPACAFEGHQDDVLDLSWSKSQQLLSSSMDKTVRLWDMDTRTCLKMFAHNDYVTCVQFNPVDDGYFISGSLDNKVRIWSVPDRQVVDWSDLNDMVTAACYTPDGQVQRRCAVFLQASFRYQNLYVKFESFLYLINSTSVTSKAAIIGSHKGSCRFYKTTDCKLNQEAQIDMSLSKKRKSQAKKITGFQFAPGNPSEILVTSADSQIRVFNGITVLQKFKGFKNTSSQISASYTADGRYVVCASEDSHVYLWRRGAAPGIISGAGGGGGKAGVGVRAKTWLTSRSYEYFFCRDVSAAVPWPGSPTFRPTMSSVHGGGREHQKQRSSEFAGDVDSGIPRRPKSGPMTYSSGRHVPAEGLPSREPSARWHGGAEGGNAWGMVVVTASRGGAIRVYQNFGLPLGVKGQTNLFH >Et_3A_024418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20609870:20611364:1 gene:Et_3A_024418 transcript:Et_3A_024418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSGRKVRGSSPWPSVPKNLCPHASNPAASSAVCSTDSVPPLPTEPSGCSSSHPVLGGRGGPRPEKRTDCVNRRTDALLGQLAERVQGLVLHGVEGVHDEAVGEVVVPRHLDHEAVRGVGAVLYGVQEVGAAQRHAPGQQAERLIREVAVLAGGAGAEPRQAEQQGEEHEVGQEDDVRRHAELRRERERLRAEHVGQERHDVVVAAAAALADDRGEEGAEVRQRPADDGEHAGLDAGVGGEVVERHGRERGRGDGGREVGERGQGHVGVGDDRGREAVVVGEAPRELRHREDVPGPSRAGEQDDVRWFGRGGHGGLVLRTRQVQAPYNLYSLTTHK >Et_5A_041482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23470522:23476300:1 gene:Et_5A_041482 transcript:Et_5A_041482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLAQEVSVSTVAATATATGHHLLKIEGYSRLKNMHGNGSAFVSAEFEVAGHTWAIYCCPNGDREVDAGFMSVFLELTDASAESVVLSAEYEFALVHHHGTSWLSPPYAIRSMATFRKGDCTWGFRKFIATQALEESRFLKDDCVAIRCKISIVEESAVKEKVVQAQDLERMGMLCKDDTSCIPYSVATSINEALARFCRSLCGYAGFFSVFLGLADDAATKTVEITAEYEFELVPHHGSFSLPSPPPYALSSAATFRKGNGWGFPKFIRSQGLERSMFLKDDCLAVRCKITIVEESAVEEAQDLERMGMLCTDETCNAPYSMATSIKEALARKKGTEHNSQEAPRPPRVLLLCSPCMGHLIPFVELARRLVADHGLAATLLFATATPTPSEEYLEAAAAVPKGVDLVALPAPPADALSPSASVRDRIAHAIASGAPRVVQLARSLAASALVIDTCGMPARGVLVEELGVPVFMFFTSPWTVLSLHLHLPELDAAVTGE >Et_2B_021822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5865891:5868616:1 gene:Et_2B_021822 transcript:Et_2B_021822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAGPSSSGSGAGAPQQPPPPGQPIAPQAAVEGAAAGAVARSCRHHAYSRKQKSLGLLCSNFVALYDREDVEAVGLDDAAKRLGVERRRIYDIVNVLESVGILVRRAKNRYAWLGFGGVPAALKELKERALKEMSGAAVSDDEDDDKLDDADEDAESEKLSQSFDNLSDKPDAAPCRLRSDHRKEKSLGLLTQNFVKLFLTMEVETISLDEAARLLLGEGHAESNMRTKVRRLYDIANVLSSLNLIEKTHQADTRKPAFRWLGQPTRKQENTATVPLPLARKTMPNKRAFGTDLTNIDYKRGKMDHATESKGRLFQGGGNIVKVFEKQLGQGSRSDFVYGPFHPASAKKQETNDHAVREKEKKTIQDWEDLAVSFRPQYQNQAINDLFGHYVEAWKTWYKDLTRETS >Et_8A_057984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11072602:11077007:1 gene:Et_8A_057984 transcript:Et_8A_057984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITFPCRLYIVLLITFSTVVAGLSRSNNISEDTDLEALLAFKAQLSDPLGILRGNWTAGTSFCHWMQQAIRSFDAECQVLRMVRHRNLIKILNTCSNMDFRALVLQYMPNGSLETLLHFSEGKSHLSFLKRLDIMLDVSLAMEYLHYEHGEVIVHCALKPNNVLFDGDMTAHVTDFGIAKLLADDSFIISASMPGTLGYMAPEYGSTGKASRKSDVFSYGIMLLEVFTGRRPTDGFFAGGLSLRQWVRQAFPTELAVVVDSQLLQEPSSNCSLNNFLVPVFDLGLFCSCDRAEERMTMREVVLRLEKIKRDYTELTAAKPNTSQLIIRAIFDEE >Et_10A_001741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7536095:7541886:-1 gene:Et_10A_001741 transcript:Et_10A_001741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMAGHVKMASMLNLVMMENHCTTDEVINESSAAQILQKQLFDAHEPNFLDENDMHIFGSKPMTDPLDLVCCSTCKKPVKASQYAVHADKCSSGKVNTNDSMVEDYSGPMKPPKKGRKIKLANQKIHIKVKAKSQSECKDSANGFISENEHYFNVPIQFIPDAPFPLATKMYHSQGNCRLRLELGQLYREYQVEQLGSYTTPNSSQENGLMVSQFSPCANPALLPVAQKNLVHQTKLPASPSESCSGIPQQLTSSRSNYSKQAKAERVDTQASTFKNEISRTRCNRAAPTNSKGKVRRRSNNPMDSNIICQVLSRDSWIGNSA >Et_1A_006836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2858547:2862604:-1 gene:Et_1A_006836 transcript:Et_1A_006836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATASATAATRFSFLAGARLRASSRLPTAVRFQRRGLTTTALLKTVELKPKEQGQPETLDYRVFLVDGGGRKVSPWHDVPLRAGDGAFHFIVEIPKESSAKMEVATDEAFTPIKQDTKKGNLRYYPYNINWNYGLLPQTWEDPTSANAEVEGAFGDNDPASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANRFGLGNKPANKEYALKVIEETNESWEKLVKRNIPAGELSPRSKQETGSAENPTWYHLFRVRM >Et_10A_000640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14264785:14267277:-1 gene:Et_10A_000640 transcript:Et_10A_000640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDSEYYDILEVSADASTAEIKKAYYLKAKLVHPDKNPGNPDAARKFQELGEAYQVLSDPVRKESYDRHGKEGLPADNMIDPTAVFGMLFGSDYFEDYVGQLALASVASVEIEEESTAPEARTRIQEKIKSLRDRLQPYVDGQQDEFGLWANAEAQRLSEAAFGEAMLHTIGYIYVRQAARELGKSRLYMGVPFIAEWVRDKGHHVKSQVNAAAGAIALIQLQEGMKKIEEGENKEEQLMKSIEEKKDAMLNSLWKINVVDIESTLSRVCQAVLKENNISRDVLKLRARGLKKLGTIFQLNSHDSAWIITYLITLMFTIFFTSFFVIRTEERLNALLNKSQY >Et_1B_010001.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17627850:17628155:1 gene:Et_1B_010001 transcript:Et_1B_010001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGERVVVVNDVPEDRVAELVRVASLMPPAGGLADMRVARKESLRRFMEKRRGDRLAARAPYCASRQEPSLLPASKKGKSTAQEADAGSWLGLRIPGGCRR >Et_4A_031799.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:16057260:16059950:-1 gene:Et_4A_031799 transcript:Et_4A_031799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGLLRRAGDLFTVAALVGTAVENEYNLHRFDSEVGKWTLKTVRLETPRKPFPVMIPINALRVNDHVTTTVIALGGKAGTIGWVDLWSGILLYDLFPVDSERAVLRHMQLPLPMHAITSKRKLMELGCPADCRGIASVVKDGKPCLKLADLQILGDHLPHIDIETGTHCYVVENWAVTVWSNNKIGYSDCYKDWQEDFTVWASEIRISDTVRSELLTSGLLQRNPSHDGEGTVELALQNLVLSEPKPSLNGEEAVVYLIARPKPFLPKAWSLAIDMNNKTLLGVAEFCTKKPSRYPGVTTSTISSYMNPPTLQVIISYTWMLKQQIPLCYLNQAASKDVMWMIFIFHILFVVLHCDLVILCCFKCTVSRNRIVIVLVTEKF >Et_7A_051023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13710590:13716102:-1 gene:Et_7A_051023 transcript:Et_7A_051023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSATASTAGGRARGERRTTTSVTTTQRGRGVFAFADGADVLLMALGTLGAVADGCSNNLLLVFLSDVINSLGGLRANAAATTSAQFMHDVEKSCLNFVYLALVILPVAFMEGYCWSKTSERQVRRMRYLYLQTMLRQEAGFFDSGEATTAEIVDSISKDASLIQEVLAEKVPLFLMHATAFVSGLAFSSYFSWRLALVSSPLVLLLIIPGIIYGKYLAHLTDQSRSEYAKANSLVKQALGSIKTVYAFTAEKRIIQRYATVLDKTIKLGIKQGIAKGLAIGCTSIAFAIWAFLCWYGSRLVVYRHESGGSIYAAGLSFVLGGLSLGMALPELEQFTEASIAATRILERINRVPQINTEKMDGLILDKLRGGIAFESVCFVYPSRPEMTVLKDFNLQVPAGQTVALVGSSGSGKSTAIALVQRFYDPSEGTIKIDGIDIKELQLKWMRSKIGLVSQDHALFGTSIKENILFGKPDATMDEIYAAAITANAHSFITGLPEEYETKVGERGTLLSGGQKQRIAIARAVIKNPAILLLDEATSALDLESEKLVQNALHKASTGRTMLVIAHKLSTVINADQIVVIDGGTIIEIGTHDELISKDGPYSRFVKLQKMVNNIDHESEQSRDSPVVGTNISSPSKNREIPMPITPVASMEINVPTSAPSFSRLLRMNAPEWKQAVIGSLSALVYGSVQPIYAITMGGMVAAFFVQDHSEMNANISRYILILCSLSFISILFNLLQHYNFAYMGVHLVRRIRLQVLGKILSFEAAWYDEETNSSGSLCSRISDEASLVKTLVADRISLLLQIASGILIAVTMGLILAWKFAIVMIVVEPSTMICYYAKKVVLSNVSRDLAKAQHHSTQIAIEAVQNHKMVISFGCSSKILQLFEKAQEEPLRKSRNKSWIAGFTTGFSPFFLFLSRALEFWCGGKLSQSGEISAADLFKTYFVLMTTGKLIVEAGSMTSDLAKGANAIASVFQVLDRKSIYRQNSQVGQQNHMEKIQGRIELKNVDFTYPTRPQCHILKDFSLNVKAGTRVGLVGKSGCGKSTIIGLILRFYDVDRGAVRIDGMDVRDMEIFGYRGFTALVSQEPAIFSGSIRDNIAFGKPEATEDEIVEASKAANAHEFISSMEDGYDTDCGEYGIQLSGGQKQRIAIARVILRNPAILLLDEATSSLDAKSEQVVQEALNRIMSGRTTIMVAHRLNTIKNVDSIAFLEKGKVEELGTYPQLMNRKGAFYNLATLQR >Et_9A_061690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15368293:15370522:1 gene:Et_9A_061690 transcript:Et_9A_061690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGAAKAKPGEEQDPQKTDKGKTSAKKSDDAHELIDFMRNHYNEKVEPAKSFDEFYHAIYELIEMFCESRGQLQYRIPSRDELRVQYEKAQPWNRKGQKLSKEEFEKIARGILKVNSFTFGKAAVDMLVVLFGLPVVAVVTKRFIPGLKSVSDDIVIPAATSGAVVYLAKTNKL >Et_8A_058377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6183691:6185205:1 gene:Et_8A_058377 transcript:Et_8A_058377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSARRSMSSSPPGDADADAPLDVLVRTSGDATSPSCRVSIYPSLGLTYAGQTAYLISNTDDFSDGFYKFVPRPVYWPMFVVAMLAAIVVSQSLVSATFSVVKQSGVALDYFPRVRVVHTSERKDGEVYSPETNYLLMLLCVGLVVGFGDGKDIGNAFGVVVILVMLITSSPPCCSPWLCSSSGAPMPCWWRSTWFPSWPWRAPIRERDPPRRVAAVRLVRGAGARHVRVVLHYYGRQRKAEYEAANKVTLERLGELLPCPDVSSVPGLCFFYSNMQDGWLTPVLAHHVKKRAVAAPCHRLRRRLFVTLRCVLVAKVGAKERVAYGYADPLDPEDDDAAEQVVRALRDHIEREAAVSPAAATADEAAKLEEAREAGVVHVRGKTRFHIGRDTGAFDRVLLGFYEFLHGTCLSALKESRRVVTFSIAARSGPRIDADRFFLAGASADGETTAMADDPLILIDLYSRVSLLSLSMLSSSLWRESRD >Et_1B_013892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22666941:22670986:1 gene:Et_1B_013892 transcript:Et_1B_013892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQYVDSQRQARPDLADWYASLADLYQRKLWHQLTLKLDQFLQLQPAQVRPRLLLFLLLGKTGDTIIQLYNNFITDFETKINLLKLAHFAVIASRQYPDKDAAITFLEGAITKLRETRELRINEPILYVKMQIAAINLEKGNQKECKNLLEEGKTTLDSMTDVDPSVHASFYWISSQYHKARQEFAEFYKNALLYLAYTTVESLSESFKLINSLIGTKVEWVYHMLQAFNTGNLALYQELCRVHNAALSAQPALVQNERKLLEKINILCLMEIIFSRPSEDRTIPLSVIAERTKLSISDVEYLLMKSLSVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRDRLDAWVGKVHTTLLSVEAETPDLVAA >Et_5A_042814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4843274:4845363:-1 gene:Et_5A_042814 transcript:Et_5A_042814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQASKPKKFIPEWFEKDDVCVLSSDLCLAPFGVGRRACPGKMLALATTHLWVAQLLYKFDFAPAVAGVETMYHACLSRSF >Et_1B_013196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6363724:6364543:1 gene:Et_1B_013196 transcript:Et_1B_013196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTVEKDAAVAQLLLERSGAITVQGRDRKGRAVVRVVGNHFPARELGGLTEEALKKHLRERVLPAIGDRDFVIVYMHSRVDRGNNFPGVGAIRAAYESLPAGAKERLRAVYFVHPGLQSRLFFATMGRFLFSSGLYEKMRYMSRLEYVWGHMDKSQMEVPDCVREHDEELERRPLMDYGIEATDRRCMFDAASMDTSASLHSLRCIT >Et_6A_047074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24937562:24945417:-1 gene:Et_6A_047074 transcript:Et_6A_047074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGEKKSDSSKCAYAFVVAASIAVIGLGVASSVLMATARQCTVYAAYGAPPRTVTYKDFRPFVYLVVANAIAAFLVAIAVFLSVWKNGKVDKVARKIILPLLGAAVPALLYSSTGAAFAAADGMMTYTSVYGKRVSYCDAGVAGGNFCRQVHLAIFLSLGAAVTITKKSDKCNCAYAIIVAASIAVVGLAVASSVLMATASQCTIYADYNPLPKIITYSEYRPFVYLVWANAISAVLAALAVFLSVWKKGKDKTARKVMPFVCAAVAALLYSSTGAAFAAGDGMMTYSAHGRRVSVCDADIAGGNFCRQVRVAILLSLGAAVMVTVVEVVKGLAFSKKKKKSSCGGSDSDSDSESDACGHGSCTIYIEYGVRPRTVTYSNYGPYVFLVIANSMAAFLGAIAVFLSVWKKGKNKKARVLMPLLGAAVPAMLYSATAAAFVTSNDMNYCSAYGNRVSICAGSAAGGSSNFCRQARVAIFLSLGAAVAWSVAEVVRSLPLSMSFGGGGSDSGSSSSSESGGGVNVWEEDAIQNKAALNVVKPQTYCLKMAQKEGAKLAPLMQ >Et_1B_010611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12007782:12010163:-1 gene:Et_1B_010611 transcript:Et_1B_010611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLDTLTRKSFFDVISRPRSNRTIKCNLFDEFCEERYAIHDLLHDLARSSSVKECIRVDRNFSETIPVTVRHMCIEIINPTLVEQISQAKKLRTLVMHFQEEDQAAQEIILKKVFSVAKGLRVLCLRTNSTCKLPDKFGRLVHLRYLSLEWGRKIMTHFSWFPQSVYKLYHLQIMKFDDPQLAVPVKEETDRFCNLVNLRHLQLSYGILPIIPYVGKLTSLHELYGFCIQQLSGYTIGELKNLKNICHLHISGLEKVKGAEEAAEIMLNQKDNMSAIILSWSSGSSDSCDPSKAEQLLNELEPHSNSCKLKIQGYPGSLSPRWLQSDKLINLTYLYLCDFERLQHLPPIGQLPSLQYLYIVNMKSVEHVDSSFYGSEKPYGLQSLKVLEIEDMARCTEWVGLEDENVFPRLDTLVVRNCKQLRKLPSLPISIRHVEIHHAGFQTMPPFFVDPNTRPIRLPHTVRSITLGLCGDAEPPLLGSLHGLKYLKRLFLDGCALLSLPQEAFTCLAGLTDMMFVSCSMTSLPSAESFTRMTNLENLAIWDCKELVSLNGIQGLSTLSLLTISGCDGLVQDMSVQSMESAQLSGCAMKLGELDIDNPSVLLKDPLRSITTVKKLRISGGPQLTLLPEGWLLRNCQALEEIVVSNASHLQFLPQDMASMTSLQSLQISHANMLQALPNMPASLSKLRMDNCHTELKKRCKKNVGHDWDKIAHVGDVDIC >Et_1A_008802.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:13918075:13919121:-1 gene:Et_1A_008802 transcript:Et_1A_008802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDSSSAPPRSRTYTIGYAMLPTRHDTFIQPSFLDLAARRGMRFAEIDVSRPLAEQGPVDLVVHKIYDPAWRAELEAFAALHPDVPVVDPPAAIDRVLDRFTMLDAVSAGLDGVPDVGVPRQIVVRDAAALAADDTGNEDDVLGGLRFPLIAKPEEVDGTAASHDLRLVYRREGLRGLHVPVVLQEFVNHGGALFKVYVAGDRAACVRRNSLPDVPDERLRDADADAAVPFAYISNRPLAAGAEDRVEMPPAEFINRLARELRRALGLHLINFDLIRGNDGKYFVLDINYCPAYSKVPGYEQILADFFWGMLHDARPVHGRQNGHSPSEARKTELGPCDVEPGRVLA >Et_3A_025067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26537551:26541004:-1 gene:Et_3A_025067 transcript:Et_3A_025067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTPRLVVPVDVKKQPREQKVPLHNRWHPHIPPVADVTEGELFRIEMVDWTGGWVRDDASADDIKFLDLTSTHYLSGPIRIVDCDGIPASPGDLLAVEICNLGPLPGDEWGYTAIFERENGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGVRFPGLTHPGIVGTAPSVELLNIWNEREKRLAETSPETIKLCEIQEGTAEWHNIANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPVGPTPLHVNPIFEIGPVEPRFSDWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSKEQVYLLLSCCPCEGRISGIVDSPNAVATLAIPTAIFDQDIKPKHLSGRPGPKLRRLPDLLRSSNEGQLPVTQDQSGTRVP >Et_4A_034425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32437570:32440440:-1 gene:Et_4A_034425 transcript:Et_4A_034425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLRLRLRLAVVGLLVLASAARADLPPSNKIVFILAGQSNMAGRGGVVGNHWDGMVPYECAPSPSILRLTPDLRWEEAHEPLHQGIDAANHAVGVGPGMSFANALLRSGRAGAPVLGLVPCAVGGTRMAEWAKGSQLYADMLRRARVAVETGGRIGALLWFQGESDTVRWSDANEYGRRMTMLIRQLRADLGMPHLLVIQVGLASGLGQYTEVVREAQKGIKLRNVRFVDAQGLPLQDGHLHLSTQAQVQLGHMLAQSYLNYGHAHSLTPRPRWLQIMLISYHYHGCNPAALL >Et_4B_037548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2131896:2140142:-1 gene:Et_4B_037548 transcript:Et_4B_037548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSGDGASSATEPPGQAEEGRSPREAEAFEDAVEGSSTALASPVACREGDAEVSAEASPSSSSPSPWGSPGHGPAAAEEVESYGSPSASESGRTAMEGEESPSVSEMREEPGKVDAGSNTSPSGHENLMATPEAGSPSREEPKPRVWSAPPSPMFSGTSASSSPLSQIKQQARHVRTGSFQRFRQQMQRAWKWGPIGGGGGGERSPREQLLRTTINIETMANQKRQWYQTHAKAKDHKHFREPTSLFDNFFVVGLHSYANVAVIEDAFAKKKAWESNVARSEIDDLRKIQHHGPVPTMEPQILFKYPPGKRAEIRETDLPSFCFPEGVKARVIERTPSMSDLNEVIFGQEHLCRDDLSFIFSLKVSDNAPLYGVCLHVQEIVQKAPGILGAVSPLNTTSYKPSRFLVSAPRCYCLLTRVPFFELHYEMLNSIIAQERLDRIRQFASEIALAEPVPGSLKEHDGVSEDFVSANGLPYNDWTEQAVPIDTISGLFSSSGVPSERDMSLYLFRSWEPHSPESISASEISEPSCGRELDKEGRQSFQQYDDCISENLESRCDSFGSTSCIYDNDHTSPDHLFSHSPVFRRRERAQSMESLDSSVKGARSDEEDEVSVKNEIIVDDEKVIGWAKAHNNESLQIVCGYHALPLPPRGDEIVFRPLEHLQPVKYSRPGLSVLGFGDSGLDNCLLSAEKDEVNARLAAAEEAHALALWTTATICRSLSLESVLGLFTGVLLEKQIVVICPNLGVLSAIVLSIIPMIRPFQWQSLLLPVLPRKLIDFLDAPVPFIAGVQYKPPDIKMKGSSLVRINVKACALPQLPRYKELVSNLSPIHARLSYENASAKRHPIYKCNEVQAEAAWQFLNVMGAYLESLCSDLHFHTITNVQSNDDRVSLLLKDSFIDSFASKDRPFMKLFVDTQMFSVLSDSRLSRFENEQM >Et_10B_003134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1721112:1726047:1 gene:Et_10B_003134 transcript:Et_10B_003134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSPSKIADASPESEGEQRCLNSELWHACAGPLVSLPVVGSRVVYFPQGHSEQVAASTNKEVDAQIPNYPNLPPQLICQLHNVTMHADAETEEVYAQMTLQPLSPEEQKEPFLPIELGAASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPVQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSIIFIWNDNNQLLLGIRHASRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFIIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDSERWPNSHWRSVKVGWDESTAGEKQPRVSLWEIEPLTTFPMYPTAFPLRLKRPWASGLPSMHGMFNGGKSDDFARYSSLMWLRDGNRGAQSLNFQGLGVSPWLQPRMDYSLLGLKPDTYKQMAAAALQEIRAGDHLKQTSALLPIQQTQNLNGGLNPLYGNPQKIFEGNSGPGFHMHNSTHNFSSNETSYMLSLPRNGQLMASEGWPSKRLAVDPLVSLDAQSVQPKHEKVDHQTNMPHISGALPPLSGRDSSNGEACGASVQSHLLSSPFSIHDGTTVRSGGVGSGTDSITIASLRYNDMNLLTESSMRTSGCLGESGTFNSLDDVCGVNAPQGGTFVKVYKSGSLGRSLDITKFSSYYELRSELERLFGLEGQLEDPVRSGWQLVFVDRENDILLVGDDPWQEFVNSVWCIKILSPQDVQQMVRDGDGLLSAAGGRVLQGNVCDDYSANHDMQNLTGNIAPVVQLDY >Et_4B_036950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12891084:12893068:-1 gene:Et_4B_036950 transcript:Et_4B_036950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEENPPPQAAAGEPAATARAQPITAEQFLSWKQRKDAEESARKAEADQKRAADIASGAVPMNGRELFLHEPWVFDNNIY >Et_3B_027401.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:12426931:12432929:-1 gene:Et_3B_027401 transcript:Et_3B_027401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEALKARDIALKKLENGDFVGAKRIALKAQRIFPEVENVSQVLTVCEVHCAAETKINGMLNFYGILQVEGTADAATIKMQYRKLVLLLHPDKNCYPGAESAFKLVSEAYSTLSDRAKRYAYDVKWRVSSNVPPKQATQPTQAAQPNPATKPKQAAKPKHASKPKRDTQPKQAKEMKQDTQPTHAAESKQTTEPNQTNQPKQATEPKKTTEPVKKNDANRGSTDPSPTDVWAFWTMCIHCKIKSKHCNDVLNQSIQCLNCDKNFIAYMINRQDVSSVFSSKAANGDGPKVGGNTQHGCSRTFSSSEDSKQARPGMNEAKETSAKPGVKEMVNHTETRGKGENEFSTRNLCEASVPTANDIADERMASDTAEPDVGDGQNLGRGVDTSADPGATGIQSPRRSRRKECIDANNIQKPPTKKMRTLKDWFSVAASSSSKTSGDNVAGADGQPSDSHVSNKTNNQEAGTTGGSERNIKDDLTRDTTSEKTCNTGNVSYPDPEFFDFDTFRDVNLFAVDQIWALYDDLDGMPRYYARIRRLDTYNFRVQYTWLEHDAATDEEDKWTDNELPVACGNYVLGKTEISQDRLMFSHIVPWTKGKRRGSYTVYPSKGEVWALYRGWSMQWISDADNHRSYEYEVVEVLSNFTREVGVTVTPLVKVKGFVSLFAKSKDQSSFVIPSSELLRFSHSIPFFRTKGNEEVGVPCGFLELDTASLPTDLDVAFPSVTLESCVPTNKAVNNGFVNLTGNNTTGSRNEPSAQKENQRKGGKQNFLETPTQQHSTQGASGSGYSSQQLCPSPSVYTYPDTVFYNFEEGRSYNKFERGQIWALYSDFDKLPKYYGWVTKVDIDPFGVHLIWLEACPQLEQEKMWLEEDVPVSCGTFKIHNSRYKADTNDPFSHLVEATPVGVKRQFQIHPRVGEIWAIYNNWSPGWVPSSKDACKYAIGEITERTEAGIKILLLTQVDGYTTVFRPDNDRSILEVPTEDYLQFSHQIPSFRLTKEKGGQLCGFFELDPASIPDPFLSGGIH >Et_3B_028615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17346306:17348701:-1 gene:Et_3B_028615 transcript:Et_3B_028615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPLSPERVLSPSAIVHQTASTIVVAVDRDRNSQLAMKWVVDHLLSGASNILLLHVANHYAVNRTFAGTAIYIVHSWHVFLDVAAVKALVPPRTDGFATAEITPAALEADMREIFAPLRGFCSRNGVQVSEVVLEEADVSKAILEYITANKIQSIALGGNSRNAFTKKFKNADVPSVLMKCAPDFCNIYVVAKGKSVNVRLAKCGVPVTGDISSLDSSESLKYSRRGSRGHLPPATPDASIDSRAGTVPELTTRPPFRERSLPSSSSNNKLGRLDEGGSSSRRSNSLCSDLDFSQSGRFSAGEYGGFPDNLSAALASLQEQPMSPSCGDVEGEMRRLRLELKQTMDMYNAACREAINAKKRTKELQALKLEEARRLDEARHAEEAALALADMEKAKCRAAMEAAEAAQRLADLEAQRRRNAEVRARREADEKVRALDAITSHDFRYRKYGIDEIEAATERFSDALKIGEGGYGPVYRASLDHTPVAIKVLRPDAHQGRKQFHQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMDNGSLEDRLFRRGGTAPLPWTQRFRIAAEIATALLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPAVADKVTQYRQTATAGTFCYIDPEYQQTGKLGVKSDIYSLGVLLLQVITARPPMGLTHHVEKAIDAGTFAQMLDVTVKDWPVEEALGYAKLALKCTEMRRRDRPDLATVILPELNRLRNLGYAYEQARASIGESAGGNVQASLPTVECGWRTAES >Et_1B_011490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22890861:22900284:1 gene:Et_1B_011490 transcript:Et_1B_011490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEAVAASDETLAAVFAQLKPHTVALLDLLISRGGSRPSPAAAASSLRSMAAFLRSAPAPALQLCFDYTVFPLLLLLDVAVQCRKDDNSTGQIAVELDITDAVAEGGLACLEVLLTKCRLTSVSQMVAMLKKLTSGVMLSPSEASEEFRGGIIRCFRAMILQLQPCSDRSCSCNQATVLPTTPTITSLEVKTVVRSKHSAQPEECLLAFLRSQNASAAVGHWLSLLLQASDLEASRGHRGSADVRKESLYMLRILIAKIGSADALAFFLPGIVSRLGKVLYTSKTMITGAAGSSLSIEQAILGLTEALMIVLNDKENLSSCNTPTNEICAPSSCDSGSTEHVLQMLRQLPIRNISEQIVHDEVSSDFTSDTNNSSVDRKALHVKRTKKWLEETSSNVDKLLSATFPHLSIHSSEKVRRSVVNGVRGLLSCCGCTLKGSKILLVECLCVMACDDAAAVSESAQDALDYLFKEGYNYITENEISDIFTRLVDRLPQVVLGSEETTALSHARRLLALTFFAGPQFLINHLHRSPVIATRFFDCLGLCISHSSQFSGSMEKLIVSKPLSVGFLYSVADLKSGAYSKDATHSSLHAMSTTAASKVSIIQDNGLTHAVEYELPHIPPWFVHTSSRKLYLALAGTIRLLGLSTVSGEQTAASLSVFVDILLDQFRRLSTELRAKDIYKDDMQRWYVKSEAGQKLRQASSAVCMLNELMYGLSDRSLVMFSQLFKKSDQLRACDQHKGVTNEREIWGFNEQNDTKDNILHCIGSILHEYVSPEVWDLPTEKDTELGLAESNLPLHFFRDTSALHTVMIEGIGVLGVVLGQDFARSGFMHSSLYLLLRELISSSAQIRIASDAVLRALAAAGGYCSVGQFVVANADYIVDSLCRQLRHLDMNPHVPDILASMLGYIGASRDILPFLEEPMRAVSSELQVLGRHDHPHLTVPFLKAVSEIAKACGHESTRLPDEALSFHGKVSSEGQAVQHMIEKRMESSAMSERTDVDAQPDFMNLEYWEDLLCKLNEMRRYRRIVGSLAGSCLSAATPLLSSTKESACLVALDIIENAILSVAKVEEAFKCENRSKTVIEEAIQFLSFDELLDEAEATEDADENRLLPAMNKLWPYLIICLRNKISVPAVRKCTGVLSKAIAISGGDFYVRRFHKDGSSIWRLLALSPFHRKRMSLMDEKAIILPYRDTSLTSEEPMAEISSQKIQIAVLDMIAEVSSNKRSAIALESVLKKVCGLVVGIAYNSLTGLREAAVRALTGLAGIDADLVWLLLADVYYSLNQRDMPVPPSQDLVDISDILPPPMSTREYLFVQYGGEGVRCDVDPSSVNEVFKRMQDAVLT >Et_4B_039225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8969554:8972404:1 gene:Et_4B_039225 transcript:Et_4B_039225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTTDAAAAAATAEKPPDAEKPSYASYNGASAADGGAAARTPRGGVVDSVVARWRREDMLDKSPLALRAAAAVFAFVALVLVATNQHGPRGDWMHFDRYQEYKCAVIRVRRALRFGLIRGLSYLLAIAALAVLYSLLQAGRHLYRMRGGVDPISAPAGRLIDFVADQASDLFELLFRSYITRCLVLVIIILSNRRESAKRDHWMHLQVVAYLLMSALSAAVPITNRMRSAVVNTFTDATAAAISMTFFAFVALALSAVVSGYKLSKQTSHCDESGLQ >Et_9A_061358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10530123:10531740:1 gene:Et_9A_061358 transcript:Et_9A_061358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLTTTSKPLRLHPKLSPKQEHMAGGAPSLVTFPVRRGERELVGPARPTPYEFKALSDIDDQDVLRFYRSGIFFYRGDAAAKAGLDPVRVIRAALSEALVHFYPLAGRFRELRPTRKLVVECTGEGVVFVEADADVRMDELGHSLAPPVPCYDKLLCEPERPTADVVDRPLLYVQICHCMADGTGIVQFLTALTEFARDVPDAPTVRPVWDRELLTSPHPPAITHDHVEYAPLPNPDKDAISATDDAFATHAFFFGPREIAALRSRAPASLRATTSRFDLVGAFMWRCRTAALRFDAGDLVRLHIFVNARVRNRSGRRRPVPRGYYGNAFAFAAASAPAGEICKKPFGHYALKLLLEAKARASEEGYVQSVASFNAAHRRPPFPKARTYLISDVTNAGLMAVDFGWGKPVYGGPATTMLATFHQEGRSEAGEPGILVPMRLPAPAMERLKLMVAKELADDDVVDPPGFAKL >Et_4A_033347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21806450:21809029:1 gene:Et_4A_033347 transcript:Et_4A_033347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNRFAVVAASLVAAVVVGGLPPAAMALGVNWGTMATHQLPPRTVVQMLQDNGIRKVKLFDADAGPLDALAGTGIEVMVAIPNNMLGMMTDYDAAREWVHKNVSAYNFDGGVNIKYVAVGNEPFLSSLNGTFLNVTLPALQNIQHALNEAGHGDAIKATVPLNADVYNSPPDQPYPSSGRFRSDISGLMTSIVQFLNDSGAPFTVNIYPFLSLYGSAGSFPLDYAFFDGTSSPVADLGTGVTYTNVFDANFDTLVSALGAAGFGAMPVVVGEVGWPTDGDVHATAALAQRFYAGLMRKLAANAGTPLRPNRYVEVYLFSLVDEDAKSVAPGNFERHWGITRYDGRPKYAMDLSGGQDGQNSLVGARGVEYLPRRWCVASPNATAAPADLVGDGVTYACTHADCTSLGYGSSCNGLDAAGNASYAFNMYFQVQNQADGSCDFQGLAVETDQDPSANGCDFIVQIATSSAAGRSRSARPAVAAATTAAVLLVSVLLALLVAS >Et_2B_018964.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19686474:19686941:-1 gene:Et_2B_018964 transcript:Et_2B_018964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAALKMSAACLLLLCFASDLARPSLASLPSLDGKDQAAAGRALLQQLMEHELAEELGLAGHRDGDLRDLCSQACQTCLIVCAVTCVLIKEPIACFANCTVNSSCFGKPVASLPVREAVAQRA >Et_9A_061467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12347070:12357849:1 gene:Et_9A_061467 transcript:Et_9A_061467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKKERTRMLLPRSSSQQQQKTLRAAMQEEKATMASRLLAPDAAVSNPSFRVYYGVASAGSVPFLWESAPGTPKNAVSDAKLPPLTPPPSYYNNSSNKAAKMKFVKSQSSKKLLSSSKPASFVQSIIPKQLRRSHTMPSPRSSSSSPPAPSKEGVHGSRRNRFLVSPRSSFSSTSRGDDEEEGGGASSPTSTLCFRGRHSGSGGRRMHGLLASVMGGAPTGEFWSLHFFSGYGKKAGHLLCCEGMPYDTTPFIQDEAVVPEVRAGEERLQVLHFDLNMSEARDSKDTYIWVSLSSSSQWIIIHIGCNPVFKLSLKRSSPSSSSSSGAGAAAGSSSSGSTCGSTSASPSSSEYEDGSVAPRLVVLGGSRSVLNPGDPGVDGGLFSESSCTNTRRQSDPAGRSGARDGERIRQLTIPTAAAEVQGGKGAAGSGDELLGFRGRQERKQGMERRGGVKREAAAVGVAGKFGAKGATASRAQGRSLEGSS >Et_9A_061829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16951939:16962967:-1 gene:Et_9A_061829 transcript:Et_9A_061829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGEEAEAAALEFTPTWIVAAVCSVIVLLSLVAERFLHYLGKTLKKKNQKPLYEALLKVKEELMLLGFISLLLTVFQGVIQRTCIPAGWTDHMLPCQKPDEKVGEAGATTEHFSAAGVLGGIGRRLLSEGSAVAVEHCHKKGKVPLLSLEAIHHLHIFIFVLAITHVIFSVATMLLGGAKIHQWKIWEDRIQKDAPVNGPKRVIHLSQLEFIRKRFKGIGKDSMILTWLHSFGKQFYGSVSKSDYTTMRLGFIMTHCPGNPKFDFHRYMVRVLEADFKKVVGIRPLMDSTPASWGKFALSCQGLVIIQLLCSYSTLPLYAIVTQAGEGADLQFTPTWIVAGVCSIIVVISLAAERGLHHLGKLMLLGFISLLLTVLQETIIKICIPPSWTDYMLPCQRPVDDHAAGLGVTKASFIAAEILGGIRPGRLLSEEADKAQAGICQKEGKVPLLSLEAVHQLHIFIFVLAISHVFFSATTMLLGGAKIRKWKQWEDEIKQNSAENGPTKVTHVHQLEFIKERYKGIGKDSMILCWLTHCRANPKFDFHKYMLRALESDFKKIVGTSWALWVFVVIFLLLNVDGWHTYFWISFVPLVLLLAVGTKLEHVISELAYDVAEKHIAIEGDLVVNPSDEHFWFGQPRIVLHLIHFILFQNAFELAFFFYILITYGFKSCFMDNVTFLVPRLVVGYSTLPLYAIVTQMGSYYKKEIFNEHVQHGVLGWAQKAKGKKCLKECNSI >Et_4A_032902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15475852:15478912:1 gene:Et_4A_032902 transcript:Et_4A_032902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HQVQRGVAFFWIGACPEASPTRTSPFEERGKGQKQANLDHTPKKNLVTLSSGSDASPGNSPSRAGEADHEVDTLRPARRKNDQQAKGKKPKVTESIAAQDQPGDIDTLEHQEVVAEEDMQDEHTDADECDGDSIYLSGDVSCWEASTLNGFTFDSDEECDKLPEPYASQMIRIMKMKINLSRKPNEKLTSQ >Et_5B_043086.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22466847:22467153:1 gene:Et_5B_043086 transcript:Et_5B_043086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRLPREVLMFPQVDMDRPHVVHFLVSDYTYVMKKMWVVSIDMNTRSVESIYQYTNGLEDRGTEDADLTQEKSGCPLSFLPCEFSK >Et_6A_046946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2363097:2365788:1 gene:Et_6A_046946 transcript:Et_6A_046946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAPPPLLLAAAAAVLFAVALKCLHAAWRPKRRGAARLPPGSTGLPLIGETLRLISAYKTPDPEPFIDARVARHGGVFTTHVFGERTVFSADPAFNRLLLAAEGRAVDCSYPSSIATLLGARSLLLTKGAAHKRLHSLTLTRLGRPASPPLLAHIDRLVLATMRQWEPAATVRLMDEAKKITFNLTVKQLVSIEPGPWTESLRREYVKLIDGFFSIPFPFASLLPFTTYGQALKARKKVAGALREVIRKRMDEKLMSDGADGGDEEKREKKDMVEELLEAEGGSFSEEEMVDFCLSLLVAGYETTSVLMTLAVKFLTETPTALAQLKEEHETIRDIKGNKQPLEWGDYKSMPFTQCVINETLRVANLISGVFRRANTDIHFKDYTIPKGCKIFASFRAVHLNTDHYGNARTFDPWRWQNKLQNAVGASLFTPFGGGPRLCPGYELARVVVSVFLHHLVTRFSWEEAEEDRLVFFPTTRTLKGYPINLRRRLDSSF >Et_1A_009190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32656503:32659613:-1 gene:Et_1A_009190 transcript:Et_1A_009190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAVLPNLDIKYMLRICNNLEMTAVIEVHNEEELDRVLNIHGIQLIGINNHNPETFKVDTATTKTLLEKSGDVIRKKEILVVAESGLFTPEDVAYVHNAGAVYFFT >Et_1B_011322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20399585:20403649:1 gene:Et_1B_011322 transcript:Et_1B_011322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSFKEEWGPTICMVLIELFTTGQMLLTKVVVDAGLFVFALLTYRFCLGALLVVPLAMIFERFVPLLRESKPLFSLHTFLLAAVTMEYLVPLQKKHPSASCRCIASEMPSTMKESWPALSMVLIQVFTTGQMLLTKVVVDDGLSVSTLVTYRFFFGAILVVPLAIIFEKFTIPGLYYIGLGDTSPGYAINFYNIIPIATFILAVLFRKEPLNMRSLVGNIKVIGTIVCVGGTLVISLYKGKVLHLWPTNIIGYHPRQAGAAFGHHHMRGTILLVTSCLSLAVWYTVQAQMLKVFPYKYWSTVVTCFVGSIQMAVVGVAMNREKATWQLKWNMNLLTIVYSAILNTAAKFVMISWVVTQRGPTYPSMFCAVSVLFTTVLDSLLLGHDLSVGSILGMLMILAGLYLFLWGKRKELVPENEEKNQGRITISERQ >Et_10A_000716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1623625:1627695:1 gene:Et_10A_000716 transcript:Et_10A_000716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAKWIKTLVGIRKHEKGRNGECSNARSSATQLLHQQKHSVDTEGALAVEELRGQAAPLTGDINTATISNLASSPSIAVQIAPTELDTKEHQAAVVIQSAYRAFLARRALRALKGLVRLQALVRGHAVRKQAAETLQHMQALVRAQARVRARRVRVSLESQVVEKKTPEQNVHSNGVLQIEEDWCGGIGSVEEMQAKALKRQEAAAKRERARAYALTHQWQAGSRKQKTAGMQDQGLAVDKNQWGKDFVDRWMAVRPWENRLLDSNVKESVPVSDNRQVEEDKTKAVNKPKRRVPVSTIQSNGSHSTSHKKSHSDASGSSSGQSASVQPSTSLELSKMKTKPSDEVSDEASSQPSNLPSRSTSNPKERPEQIKAPTKKRLSLPNNATTSGGVGKGRTNNSQTNQTTRSKNGVKVASKPESRDQPKPGNTTVKQVEAQA >Et_7A_052246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6007384:6012594:1 gene:Et_7A_052246 transcript:Et_7A_052246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQLPSTVDRRETLPESYVRPESDRPRLSEVMTDNNIPLIDLASLDKERVIAEIGLACRTYGFFQVTNHGIPKDLLEKVMAVGLQFFRLPAEEKAKLYSDEPSKKIRLSTSFNVRKETVHNWRDYLRLHCHPLEDFLPDWPSNPESFKEIISTYCREVRLLGLRLLGVISLSLGLEEDYIEKVLGEQEQHMAVNYYPQCPEPDLTYGLPKHTDPNAITILLQDPKVAGLQVLKDGDQWIAVNPRPNALVVNLGDQLQALSNGAYKSVWHRAVVNAAQERMSVASFLCPCNSAVISPAPSLVADGDAPVYRSYTYDEYYKKFWSRNLDQEHCLELFQSPQLH >Et_2B_019168.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15447029:15447667:-1 gene:Et_2B_019168 transcript:Et_2B_019168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLIHLGFRFNPTPREVITHHLPRLIAGEPVHPAIRPFLHDADVYACEPGVLAARFRATPSKARGERFFFFTTRKSSSGAVRAAGPGSWHCSKGNATDVRDGAGVKVGEVRRLRYKKGGAFTDWLMDEFSCCSEPDAVVGDSQRVLCKIYVSPRAAPDSAARREAAAAVFAPSEPIAPPSTTCPGTTPLRASAVRQDLNGGASIMLAGCST >Et_5A_041937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4450467:4453900:-1 gene:Et_5A_041937 transcript:Et_5A_041937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKKETKAPAWMSLLGGCLGGGGGAGDKQRKVRPGGGGGGRLSFTDLSGAAEQDLSVSLVGSNLHVFSVSELRDATRGFVSGNFLGEGGFGPVYKGFVGEGVKKGLKPQAIAVKLWDPEGAQGHKEWLAEVIFLGQLRHPNLVKLVGYCCEDEHRLLVYEYMEHGSLENHLFKQIPAILPWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKASNILLDSNYKAKLSDFGLAKDGPEGDETHVSTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLEILTGRRSVDKTRPTREQNLVDFARPCLKDPLRLARIMDPALEGQYSARAAHQAALVAYRCLSSSAKNRPDMSAVVEALEPLLKLTDDVPLGPVGPVVGPVVLFVAPAEEKKQEQREPRKVDGRRRRPMSQKVSPRKRGAAQKEEFWVWHLPAEQKA >Et_7A_051481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19258974:19261627:1 gene:Et_7A_051481 transcript:Et_7A_051481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLLAEVSPQDLLAALAEVQSHLLGYVKSMALKCAVDLGIPEAVHRRGGSATLTDIAANAAVHPAKAADLRRLMDLLTTTGMFTVVTDSGDAAAAVYKLTTACRFLVGWRNLSPTVPFFTSPPVVSSFFSLGDWLRSEPAAAAAGSVFELAHGCSLWDMARKDAAFNAVLNGSMVADSELFLEVIILDKGRIFRGLSSQVDVGGGSGAGARIIAKAYPRLKCTVLDLPHVVAQATTAGDDNLQFVAGDMFKSIPSADAVFLKNILHEWGDKDCIKILQHCKKAIPTKNAGGKMIIIDMVKGSLHGDSKINEIEAIQNMFMMYVNGVERGESEWKKLFSEAGFSDYRIMPILGPL >Et_2B_020798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23711772:23714260:-1 gene:Et_2B_020798 transcript:Et_2B_020798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVAAMAPLYFALALGYGSVRWWKLFTPDQCDAVNRLVAYFAVPFFAFDFAARIDPFALSYRVLAADALAKLAVALALAAWAAAAASSARRASVAGAGKKVDRAVSWCITGFSLAALNNTLVVGVPLLDAMYGKWARDLVVQISVVQIIVYFPLLLLAFEARRAWGAGNKQAAAATVEDGEVGGGETPAARSFWPLVRAVWTKVARNPNVYAGVLGVAWACVTNRWHIETPSIIEGSILIMSKTGVGLAMFSMGLFMALQEKIIVCGAGPTVLGMVLRFVAGPAATAVGAVALGLRGDVLRLAIIQAALPQSITTFVFAREYGLHADVLSTAVIFGTLVSLPVLILYFIVLGLVRC >Et_4B_036132.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26541188:26541849:1 gene:Et_4B_036132 transcript:Et_4B_036132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMLRITRQEEFTQFVRLWCMLRNTTLTAAEDSISWRFTAMVSTRQNRHTPSNSRAPTLTTTGSAYGRARLRTSANSSAGWLYRTSYGRLTESSRREDRLMLSANCAEPHQNLSPTCWRHAPILPGSGRRWLPRPGSTCYPYRHSDTGGYSNGG >Et_5B_044335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22795846:22800989:-1 gene:Et_5B_044335 transcript:Et_5B_044335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAVHPLRRPTPPAASPPPPPSPSPFASLSLPLPSAVLPPKKRRVFQTPRRAATPIPPPPPLPALARLAAGSDSPAVPAPTPPPPAGAAASLPGAKKPPLRPTGKPPLPPRPLPKKPSSPPPPPPAADEKPSTPPLTDVAAESATPRKEEDFPQGRSRLRRRRPQPLPEPCIRGMYANPWIRLVIKMRVGMRWGILGETAGEKPVTGFSAVVACEALLGKKREREGSWAGKTEDCVAPEIGKVMSKSEEGGEEGMSEEQWRMATEVFVGGLDKNAKEADVRAAMAMAGEVTEVRMVMDAWSQKNKGFCFVRYREAEQATKAIEEFGHVKICTSLCRIAAIERNESNYKIFLGNIDKAWNKQDIMKLLQKIGIENINTVILKDDCHKPGYNRGYAHLELESTKDAQMAYKKLSKKGVFGRSLNITVKSVFAEGIPDSWGRAKVAEIFKRYGKAEHVVLPCDMRSATSNDIAYIHYATREAAILLFIDRIFTFLVVNIKVSLARAFRKGKQNKEDHKFSMLSFFPISFSYMITQVVFGNWEMEGGLGIGKCLLDEIDEPYPILHTPAMYSREKGVFSKQGDDSSSFSRHNPRARHESSTYAMSTSRLTADEDDNPDLFGS >Et_4B_037057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14028558:14030951:1 gene:Et_4B_037057 transcript:Et_4B_037057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEEDMAGLPEPVKESLRAIFANGRRLHKLQIEDPAAFELEMQKLEMESSDEEPDEEFYRQQKKEWEEYPARMKALFPDEEAAAPVDHAHDEAKDLVADLPEEAISARPSTPQDAAFTRADAGGPQCGRRKGEAVDRPDEQDTIVKWAQAIDKVYITVQLPDAIDTTVNLEPEGTFTIRGNDIGADGRLYHLNLDLNDKASKTSVGFRSIVCIAEKGEAKWWKKLVRDDQKVNEDIHGTIDVFSSILILILVEVKYRDMQEYSLHFARQEAMKPEAIQKVEEAEKTRGKTQFLNDL >Et_2B_022032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8332604:8336295:1 gene:Et_2B_022032 transcript:Et_2B_022032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVECSVAAARAPRPLPRRVRRRAAAAVLAGRARLRVRSERREQPPAVRDSGPEHGGRSLGQAAAGLAAAAVVSLTGFAGDLSPLPPAPAQAESLTVAFPVSKAREVDRVQRTLVEAWGLIRETFVDPTFNHQDWDQKLQQTMVEMFPLKSEDAAYSKLRGMLSTLGDPFTQIITPKEYQSFRIASDGNVQGVGVFINKEPSSGRLLVMDCIEGGPADRAGVREGDELVEIDGKSVSGLDGEAAAQRLRGRVGTTVKVKLLDGAKNGRNGKIRQKEVQISREVINLSPLSTSIISHRSYNGQECKTGYVRLAAFSQTAAAEMENAIKRMEDEGVQSYILDLRNNPGGLVKAGLDVAQMWLDGDETLVNTVDRNGDVLPINMSEGHSLTHDPLVVLVNEGSASASEILAGALHDNGRAILSVTELDDGSALFITVAKYLSPALHEIDQVGIQPDIQCSPDVLALPRAPSLSENNEASSLETDSCIMVAEQALEIEQTKGSAS >Et_3B_028507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16321192:16324090:1 gene:Et_3B_028507 transcript:Et_3B_028507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTVLAPPLLLFFLAVLLGAGCASAASLSAPANETTTFRPGAELRRYRRVQALIRRLNKPALRTIQARACSSATSFLRICRIVSSFAWCDTDSSPDGDVIDCVATHLQPAFDHPRLQGHRPLEPPARPKGHQRRPNATADAGVQLWAASGEACPDGSVPIRRTTEADVLRASSVRRFGRASPARARRDSVAGGHEVSAPAQLRGISRNQSFTARSTSDVVVSVFPLLTSLVLFSVGECNSGTRADELILFCGTHNNEQCWTGLQHAVGYVAGDEYYGAKASINVWAPQVSTPSEFSLSQIWVIAGSFGNDLNTIEAGWQVSPQLYGDNSPRFFTYWTTDAYQTTGCYNLLCSGFIQTNSRIAMGAAISPTSAYSGGQFDISLLIWKDPNHGNWWLEFGNGELVGYWPSLLFSHLASHASMVQFGGEVVNTRASGSHTATQMGSGHFAGEGFGKASYFRNLEVVDWDNSLVPLAAGFHVTADHPNCYDIQGGVNAVWGNYFYYGGPGRNVRCT >Et_5A_042611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22026317:22028564:1 gene:Et_5A_042611 transcript:Et_5A_042611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLVVWDPITDEQRELPLLPASLDFEPSSVGWNASVLCANNGSCDHLDCNHGAFLVVLVVTVSDLIYVYTYSSEAGTWSKPATNQGLADGPIDWHWRPNSAVVGNALYVKFRYSKLFLKFDLATQGMIVIHPPTSRHYEHTIVLVATEGDGLGVAIVENDKLYLWLENAGPDGHVGWAQSRVIDFRTVLPDRAYSKFDVVDFAHGVAIFFLRVNDEIFTFDLKSCKVHYVCKDNGRMSETFPYLSFYTPGYCGLTR >Et_1B_012487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32442583:32443048:1 gene:Et_1B_012487 transcript:Et_1B_012487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRSFARPAAAAFRRSAASRSPAASLPRSLPPIPRASAVGRQMALARSLQPLHSAVSAARLTSRLGAEVARAVSQGTLCSSYPGV >Et_9A_063023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8402020:8404768:-1 gene:Et_9A_063023 transcript:Et_9A_063023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLLLLLPAPSRTFSKPISAPSTFSLPRRRLLSVSAARKVVVAGASARRDLLRCGMKRSGLVGELEIAKDKQQPSRRPNSIFWILLLNFALYVADHVFQIWQIKALYLYHASPTWYQFVTSTFCHANWNHLSSNLFFVYIFGKLVEEEEGNFALWMSYILTGAGANLISWLVLPTSSVSLGASGAVFGLFTISVLVKMSWDWRKIIEVLILGQFVVDKVMEAARATTIAGQSFQVNNIAHVSGALIGAALVFLVSRIPFSSNDDSPKTTKDSK >Et_3A_025582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3167114:3174406:1 gene:Et_3A_025582 transcript:Et_3A_025582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSFYRWLAEKYPMVVVDVVEEEPVEIEGVKVPVDTSKPNPNGLEFDNLYLDMNGIIHPCFHPEDRPSPTTFSEVFQCMFDYIDRLFIMVRPRKLLFMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFEREGRKLPPKQQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKIMSYIRGQRNLAGFNPNTRHCLYGLDADLIMLALATHEVGHLAANCEGKVKRKAGEFDEKGDPIVPKKPYQFLNVWTLREYLEYEFRMPNPPFQIDFERIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDSCTPDLERVEHFIQAVGSYEDKIFQKRARLHQRQAERVKREKAQAKRGDDLDPHVRDDLIVPVARFQGSRLASGAVPAPYEQNGSRKENKERNKRARKAARVSSSDSSVAAAIVEAENDLEAQERENKEELKSMLKDALREKSDIFNSENPEEDKVKLGEPGWRERYYEEKFGARTPEQIEEIRRDVVLKYTEGLCWIMHYYYEGVCSWQWFYPYHYAPFASDLRGLGQLNIKFELGTPFKPFDQLMGVFPAASAHALPLQYRQLMTDPNSPIIDFYPTDFEVDMNGKRYSWQGIAKLPFIDEVRLLAEIKKVEHTLTPEEARRNSVMFEMLFVNGSHTLSPYIYSLNSKFGHLPEKERNGIKEKIDPSASGGMNGYISLCSGDPCPPVFRSPVDGLEDIMDNQVICSIYKLPDYHKHIARPPAGVIIPKKIVEASDLKPPPVLWHDDSGRRPHDNNNSRQLGEAAHRLVINSLNVQSSGHNNTSSMPYQTIMSGTNQLNVVHHMGNQDMPPPMEQSAGHAGWYVPRGNVPNRGRQQSHPYARGSYHGARDRAPPAYGYQQAGGNIYSSQPPAPPLGPGLFGQSPSAYPGVRGGGYRPPPYGGSQQWQQQPYSSYTGRGPYGGGVPTRTDSRSQQPHNRYGALDRSSNRRPPSGYGR >Et_8A_058213.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:2538916:2539698:1 gene:Et_8A_058213 transcript:Et_8A_058213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQQLAALLVLLLASPAATLLASAACASEKFPAGRTYATCEDLPKLGASLHWTYDAPTSSLSVAFVAAPAGPGGWVAWGLNPTGEGMAGTQALVAVKGSGNAAPAVKTYNISGYVPLGAKSTPIAFPATDLAADSAAGGGKIRMYGKLKLPAGMKAVNQVWQVGSSVTGGAPDKHAFAADNLEAKSKLVLAGKVSASAPTPSPAPEAGGPAAGAGGGDSGAAASLAPSAGKSPSAAVATAGVSAPALLVLALVGLLATV >Et_3B_028936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20596279:20603575:1 gene:Et_3B_028936 transcript:Et_3B_028936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVVEVEPGRPAGDGRPSVGPTYRSAFARDGFPPPVPGLESCYDIFRMAVEKYPNNRMLGHREIVDGKAGEYVWKTYKEVFDIAKKVGNSIRSRGLAKGSRCGIYGANCPEWIITMEACNAHGIYCVPLYDTLGAGAVEFILCHAEVEIAFAEEKKIELLLKTLPKSSEFLKTIVSFGKVTKEQKEEGSKYGLSVFSWDEFMSLAGDQEFDLPAKEKKDICTIMYTSGTTGDPKGVLISNASIICLIAGVDRLLNCVNEELAESDVYMSYLPLAHIFDRVVEELFMFHGASIGFWRGDVKLLVEDIGVLKPTIMCAVPRLQAKISSGGFVKSTLFNLAYKYKQFRMMRGAKHNEAAAICDKVVFSKVKEGLGGRVRVILSGAAPLATHVEEYLRVVTCAHVIQGYGLTETCAGSFVSLPNQMSMIGTVGPPVPNIDVRLESVPEMEYDALASRARGEICIRGETLFSGYYKREDLTKEVLVDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIYGLVSAIDSIWIYGNSFESFLVAVINPNKDALESWAAANGIDGDFEALCQNPKSKAYFLEELSKIGKEKKLKGFEFIKDVYLEPVPFDMDRDLITPTYKKKRPQLLKYYQSVIDNMYKNAK >Et_4A_033957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28366900:28369330:-1 gene:Et_4A_033957 transcript:Et_4A_033957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCLFGCFRVAVADGGGSGREVKGGGGDDGHLVAPTTTHEDGEGKRARPLSRNALSAVFLREDEGSREEQMGSSRADNSEDRKTMEQVQKHETIMQINCGASLVTTNEIQGVLEYPDSVRQKEWDLECISTLSDNVCFMETPNVEDCETPSRIHQNSSVPDAMSSSKENDGMQTPVTSNATDLDDLTEENNTEASTQIEYHVLDPAEDYEKCGVSRLGEDSIQLDQPAEDPNCAKNNNMISMEISISEECSIFQSSEDSVSSFNKISDSTNTASMEKSLTTEVTSLDNRKKVLKNSDSELEFPSLSEWLKPPNPKKSFKDEALTGDRSAKSSDEDRPIIGMVAAHWKDEEPEKFTPKWWDGNGIPNSTNKYKEDQKVSWHAMSFEERLEKALYEEKLLSERNCSSGKTSQFLGMEAEES >Et_1B_010494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11005574:11009588:1 gene:Et_1B_010494 transcript:Et_1B_010494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSNYTTAALAATRRARPRAMAALPTPRGPTSTALFLVGWQRRMRATRSISASRPVTTAGSSCPPAAAAMSRPYDGRAVALGVGVGVMACGVGGAAAVATGEFLAAGALWVGGFGGPGMADGGVGCDWVLYARAHTLQHQQRWGTHRLAPCTTPRLPYDERRPPSLSICLVRLPSPTTAVQDRERLEEVERQIKKGWDNKVQKASERDTDRGHISVNVGGMGQAKTAKEISPCTLSLDRFSSLPNEMKGHVLSFLNVEEAVKTSVLSKAWRNMWTTFVKLVDLALLLHEGPLVSFSIKGSGSYDDEIYRWMYMLSRKKPRDITIKLTSQRDNTIPSSLFNNKDLEHLNLQNCIVSLPKMFEGFKRLKFLKLKWFTSTDSEISSLVSSCPLLNTLVLKDFEDIDCLNIRAQVLRHLEVEGFFEELHLDAPNLVHADLIYDEAEAEDFVPLEPDVKSYFKQAFGNLTCIKTLAVSHTFLMRIRLKGCLSMEIEVVAARTLFNNASNLRQLDILCESPVQGFRPKRIFDEDYSHIEAPTLDHLVTVTLHDFTGLAYEIAFVKLLLGWALVLEEVKVGTTKGMTDQRLAEVMTELLSLRMASAKAKITFI >Et_5A_042841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5368694:5371946:-1 gene:Et_5A_042841 transcript:Et_5A_042841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATGVEQQEPANQVAEAGQAEDNTSTPWLTLGLDAPTRKETKPPEAKPEAAPHRTFSCNYCMRKFFSSQALGGHQNAHKRERCASRKSHSYHHLMTSLPPTATILHPMRVNYHSTILKKHGERAAVVVARFDGGQVSGWKPFAIEEAGGLVWPGSFKANSQESKQTEKNLDQTLRFSESSYNRVGWVGGGSNFSIKYFSVRRRNHWSRLCASLRHTKFQTVRAWQAYVQAPHLSPPSPGVPQQPLQDALLAGAYTAKTKIN >Et_7B_054937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5827889:5829756:1 gene:Et_7B_054937 transcript:Et_7B_054937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVATQQKFRWGELEEEDGGGDLDFLLPPRVVVGPDANGIRKVIEYRFDDEGNKVKVTTTTRTRQLARARLSKSAVERRSWSKFGDAVKEDAGSRLTMVSTEEIALERPRAQGSKTEEPTTSSYPLGVGQGGALMLCRTCGKKEDAREPDLLDLFGRFGAVTRVYVAVDHRTGASRGFGFVSFVKREDGEKAISKLNGYGYDNLILRVEWAAPRAN >Et_1B_012921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4111976:4114216:1 gene:Et_1B_012921 transcript:Et_1B_012921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWDSLLNWLRRRLFWRKYLAPLSDLEPGGVLIWVEVPREEMELSLVGLQNAGKTSLVNAVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAILYVVDAADRDSVPIAKSELHDLLTKQSLAGIPLLVLGNKIDKSEALSKQALVDQLGLEYIKDREVCCYMISCKDSVNIDVVIDWLIKHSKTAK >Et_4B_039166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8402145:8406387:1 gene:Et_4B_039166 transcript:Et_4B_039166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILVCSGRFLSRRPPLALVPRCSRGSPDGGSNDKGDTSTDWDKAWTAFKNKGKRTLFSDFSPDKYVSWNPRRSEYPLSEEVDPIKRTERSNLMLWTSPQFTLVGAIIIILTLLIYTLVVPANWHCIQKAWEFVCPSGMWKHRVEWAYKTRLFLKTVLPVYLSDAYSRLTRDCVIY >Et_8B_060618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3407817:3408368:-1 gene:Et_8B_060618 transcript:Et_8B_060618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPLGVQMTVPNELRAVYPSVPLRGFYQAAANHSFPYSSEACYTRELPHMQNLLKNFGRQKGVSDGHQMNGYTSMHSSSNAESNSQMLNVGARIYPPYNNFAEQLETVRLYHDGVKVANRFPCTYSRRKLHKTSLDIADSVRSSTVVEDTVSPSVLASMASMKATAIEL >Et_5A_042947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7467367:7470262:-1 gene:Et_5A_042947 transcript:Et_5A_042947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPALQIADHQAPALLLTLTDELLEEILIRLPAPTDLVRASTACASFRRIITARSFLRRFRAVHRSPLLGFAAYEGFHPAQPPHPSAPLGDALAGAADFSYSFVPTGRWNTPWHPRDVRQGRVLLECTPDWDPAFDYYDVVFLKDLELAVCDPLFRRYRLLPRIPRELRIQYGALVDFGLFLAPTGEDEEETTFSVVCMSCNGTMLVAFAFSSITGQWQIPACLDLFSLGHIMPNIRFTSACLEYQQCFYWTVPWRNKMLVLDALRLEFSVIGRNLTGYHTRDTGRPLIVEGRDGSPEVFFLADMYGDGPSELIRITMQGDGGSSNEWEFTNTMSLPTEGFLFLRGILHDQDSSSEESSENSAHSVVESPDVEYFTLDVKTSELKKYRWGDIMKT >Et_2B_020328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1983684:1984452:-1 gene:Et_2B_020328 transcript:Et_2B_020328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTALEELNPIPGRPRVGCSCSHGDAIKTMPWRASFLEAIALLPIDDMPELLRCVACGGACFGLLDPVSNIVLSAVSLLDRLERPPPEELARRAARTVQEQQCEESQNRIYDHIDMAFVQRIGLRYVSTRSVNGLAVFLGNYFRYLTEDQAARYIELAGYDLAVAIMLVHQDRFAESSSDDPSCLNNFPDPSSNKTQVALKQAACQARLQSPADDFVLLATSVYPLDLLKKATARLLRKEKLKQSDISG >Et_7B_054699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3687384:3689179:1 gene:Et_7B_054699 transcript:Et_7B_054699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGAAAVERAHELYRGGRHREALELYTEALAAARGPGQRIALHSNRAACYLKLHDFHKAAEECTSVLEFDREHAGALMLRAQTLVTLKDYQSALFDVNRLIEINPASEVYQNLHARLKTQLSLAPIPECEEESMYLEEDKEELPPKQNRRIETSFAKLDQPATEPNLDSKPSNGLIIERKPVVVPQKVEVPSILPSKPQGWETIPKPKGHSGLDYSKWDKVEDDSSDDDKDDEEELPQYKFKVRTVGVRSVK >Et_7B_054664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3239230:3247208:-1 gene:Et_7B_054664 transcript:Et_7B_054664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSPTATSRPPDTSSRCEAETVTATHDFEVSNFSQLNGHIGAGRSVKSAPFNVGGFNWAIEFYPDGSDATLRCCYCIRVASAFVTICDGAVGARARFTLSLVDGHGRASRWWRRSSPPRTFGWPYPTTRGFNHLYLKPFLSRRLAGADGDDRLTIRCEITVLAPLRMENTTPAAAPPPELPCHLRALLVDGTGADVTFAVAGREFRAHRVMLAARSPVFRAELYGVMAENDPGRVIEIVDTSSRCVTASVTAAHNFEVTNFSLLNGMGIDKYVSSSTFSVGGRDWNIKLYPDGWKEEDKAAYVSIFLNLLSGAVGVKAKFSLSLRGKNDQEAELVKDTTHTFSCIGFWGWREFIEKSKLKPLLQLNNDCFTIRCVVTVIQDTHTEEKNTVVVPQSNLPQYFERMLKDGKGKDVTFSVGGQLLHAHRCVLSARSSVRGRALGADEEKTYTMH >Et_10A_000035.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:17979665:17980637:-1 gene:Et_10A_000035 transcript:Et_10A_000035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCFKGDNDMYAVTGSNNYISFSADEDGTIFSIRTNEDGTIGVKSDFLDRFWRRDPNWIKADSDDTTGNDPDTFFRAVKIGDAFAFQSLGDNHSCKRLTTDGTNSCLNAAAPTITKEALLRLEEAVLSRKINGVEYHFNDAKIYRQEVITMATAQAVNCTSGQHKANLTLKYSENKERSWDSSVSVRLN >Et_10A_000616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13951921:13952431:1 gene:Et_10A_000616 transcript:Et_10A_000616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KLTFLRVFTWEPSNYIKHIATSEKEQSPGHFHQNNTEITETYIKHIATREKKRSTGRFDRHNTEITKTGSEQPCLARLRRNSHFLEFSRWNHLLTSKKSQQVKRSDPRVVLTETTQKEPKRVPNSSRTAPFGRSAANLTFL >Et_8B_060604.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3121017:3122165:1 gene:Et_8B_060604 transcript:Et_8B_060604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASRPALALAAVLALAALLAPATAASCSASDRDALLSIRAALSDDAHLGVFSSWTSGTDCCATWYGVGCDPSTGRVADLSLRGEAEDAVMAPAGRPASGVMSGYISDAVCDLDRLSVLVLADWKRITGPVPSCVATSLPNLRVLELPGNRLTGEVPRSIGGLSRLTVLNLADNQLTGEIPGSLTALASLKHLDLASNRLTGGLPSDLGKLAMLSRALLARNRLSGPIPASVASMPRLADLDLSENRLTGSIPDGLGGSSSRGVLASLYLGANRLSGGIPASLLRNSGLGILNLSRNALEGAIPDAFTAQSYFTLLDLSRNRLAGTVPRSLASAAYVGHLDLSHNRLCGTIPAGAPFDHLDAASFASNSCLCGGPLGKCT >Et_3B_028222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1218166:1221765:-1 gene:Et_3B_028222 transcript:Et_3B_028222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYDCMLLVKPLVPKESIAELVGRVARRAYQRNGVITDLKSFGKVQLGYGIKKLDGRHFQGQLMQMTMMVPPSFTKELHYLNKEDRLLRWLVVKHRDAPPEPTHDAALVLVVRIGVAVRVRVVRPLLLAVHLLLRRRVLPVRAAAGHSYPASVCRLGAAPEDEAQQGGSLIMIRLLLLLRHGDRGGLVRLLGVSHLHQLRQQRLYGRLTVDGVGQLRRQVKGPPRRSRGHEEATDGQGEDNGGAGAGHVFRSTTQMEGSGMGGE >Et_8A_056631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1433120:1437170:-1 gene:Et_8A_056631 transcript:Et_8A_056631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDETPPEPSPASASASSPSARLNAAAPEFTPRSAAAAQHHGNNHPHRRGPQHHHHHHHHHHNQHHQQHYQPRHKPAGDDEGDAAAAAEEKGEGAAGHAPRGLPDDLARRVVKQVEFYFSDVNLATTEHLMKFITKDPEGFVPMSVVASFRKIRELVYDRSLLAAALRTSSELVVSDDDKKIRRVHPFTEADVEEVQSRIVVADNLPDDHRYQTLMKIFSVVGSVKSIRTCYPQGNDVAGSAASKTSRIEMLFANKLHAFVEYGSVDDAEKAVAEFSGGRNWRDGIRVRSLLGCLKNGLGQGRKGGDEEYAADEDGPDTTGHPQDYEIDDAVQSSESHLDHQAEDGSHDKGGMRQGRGRGRGGRGRGRGQYYSHNRDAHHPVGTPPSSHGGLGEHPPVVSKPPPGPRMPDGTKGFTMGRGKPQVPSNAA >Et_7B_055572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14898209:14906259:1 gene:Et_7B_055572 transcript:Et_7B_055572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSATSAAPAVSSPAAAVAPSARAAARRGFVTFAGAARSPAALRSSHGFSGESLPPPLSDLRPPGVRTHVAAVEQAIVKDATKLESPVVIVTGASRGIGKATALALGKAGCKVLVNYARSSKEAEDVSKEIEASGGEAITFGGDVSKEADVDSMMKALNLTGVSLCTQAATKVMMKKKKGRIINIASVVGLTGNVGQANYSAAKAGVIGFTKTVAREYASRNINVNAIAPGFIASDMTAELGEELEKKILSTIPLGRYGQPEEVAGLVEFLALNPAASYITGQVLTIDGGMKQQAASTLYACSIAQASAMAGIPVSARKLEGKVAVITGGASGIGERTARLFVEHGARVVVADVQDDAGARLCAELGAAAATYVHCDVTVEADVAAAVDHAVATFGALDVMFNNAGVGEDGDASRGIRDTSRADLDRVLAVNVAGPFLGAKHAARVMVPARRGGVASSVAGMAKHAYTCSKHALVGLTQSLAAELGRHGIRVNCVSPAGVATPLALRYLGLDEAAFKQAMEAQNVLRGVGGGLRRADDIANAVLFLASDDARHISGHNLLIDGGISVAMNPSMGIFKD >Et_1A_009544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7818018:7822163:1 gene:Et_1A_009544 transcript:Et_1A_009544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGTRVSLTPSGARRYKVLAPWRFGYVCPEMKQGVVIEPVQCSGLSVSWSDRVPADEELHSCGDGKVSLGGGIGIEGDCSLDSLGGKATADEGGSMPKDSNFIYSEGLENNAVATEPVGEAQPSKLQGFQCDGTAESNPAGKDFISVGFGAGDSTVPGCRKGRKVVVPWRFKIGYRPKWSLGMCSGDGSNGQTEGPDGSKQYACAMTGNLSGVKISASRNRSYGKVQKGIGSVPKRRKTDKDYNHKAVPKSRISSVRENVLTTLREFRIIYKKLLEDEETKWKDRGHGLRPDLAAFNIYKERFCVEYDEKRYVGSIPGVQIGDIFNSSMELSVVGIHRAQLLPVDYIDKDGTCLAFSIVSYAQPSASSNNLDFLLHVGSMAATSDQKIEGADLALKNSMDTGELLEDQEAQERKSDEYLFAVGNNYYTVPRWEGLCKKIPSLQDGPSEDEEIVFAVDAVNSGNFARVSNMLVKDHNNGTEEQQQKLLPLAYKFQIIGCYAQTELGHGSNAQGLERTALFDPKTDEFGVHSPTLTSRKWFACYRSSNDTNQYACLETKEPLGV >Et_6A_046702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19615871:19618004:-1 gene:Et_6A_046702 transcript:Et_6A_046702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCGGVRRKIRPAARRGLAEVLVWVWGRGREAVGFGGKGENRRALLSSTHPYYSQNCKKGRIAECTTNQPWTGTSFEATKGAARSGPLASSTSCSTTSTSGA >Et_10A_001691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:707853:713362:1 gene:Et_10A_001691 transcript:Et_10A_001691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLPEVGGGDDFRSCCGDEEEWEDTEESFTAGVGKEELDETSVRLFFKGVSSSESEGKKLSGIGVVMERSPGVPVLKVQKKLDFYVEELVAEHLALMDGLLVALQNGIRKIFAFTNSEKLYFQASVFRERVSVSILLIAEAEILEDQLLVALGHRILELVDKLEDFDLILLPSFELESPLRLAKEAIGIRYLSPYEVDTCPICCEEKLGSQMIKTGCSHTFCYSCLTAYVEEKLQTSKLPISCPQLRCKYRISASECKSFLPVSSYDSLERVFAEAGNSCMERFYCPFPNCSVLLDLSQHFSRASSSQSDLSCVECPQCHRDICVNCGVPWHIMMGCDEYQSLPAEERDAGDLSLHRLAQNNRWRRCGHEFCYSCGADYANGVQTCQCVFWDEASIESSSAAQSSQAASEIWAWDTFDCMPTAVEGYSEQERAQLALIQRFLTGGFSLGDNPCQSPPRCADSYIVDTMKDLHQLPWLERFVSVISDSYNDDYIHSIQPTINLSNR >Et_1A_007914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39454511:39456548:1 gene:Et_1A_007914 transcript:Et_1A_007914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSRIVTEVPDSDSGYDGSSEALGSIRLDVDSTRKPWSTALTNVALSSLSGLNDLLECPVCTNSMLPPILQCPNGHTICSSCKVRVENHCPTCRQELGNIRCLALEKVAEKLQLPCRYQSMGCTEVHPYKSKLKHEEFCRFRPYNCPYAGSECLITGDVPMLVSHLINDHKVDLHEGSTFNHRYVKSNPQMENATWMLTVFKCFGQHFCLHFEAFLLGMAPVYMAFLRFMGEESEAQSFGYSLEVGGEGRKLTWQGTPRSIRDSHRKVRDSYDGLIIHRKMALIFSGGNRQELKLRVTGRIWKEDFQAGGTRNFVVNPTCSISGG >Et_1B_014453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9217452:9218278:1 gene:Et_1B_014453 transcript:Et_1B_014453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLQLVSIEDEKKRGLQRDLNLKWIATLIRVCLFKSNPVSVQEVEEGLLEMMETEGYAMWPVIRDHSSLVPLATRPDLFLGLHDHLVNVVVNTNLRGYQTVLGLLQFRPGVEWHAAVRTNRFMDSFYQSSTYNINDTRSEVQAYQLVRFHRNPISHGLEKAVPPGPVDPSTVLTSTPLPPAALPPKFIPRVAAQAGEVPVVQEFMRWDVSLMEHSAEPMFLHSLQRGMHVIGELEGLRVQHLFAYPNY >Et_4A_035714.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:4144443:4145738:1 gene:Et_4A_035714 transcript:Et_4A_035714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATILLLLFTATVASAADLSVYHNVHPPSPSPLESILALARDDDARLLFLSSKAASSGLTSAPVASGQAPPSYVVRAGLGTPVQQLLLALDTSADATWAHCSPCSTCPATSLFVPASSSSYASLPCASAWCPLFQGAPCPSASSHDASSSPAPLPACAFTKPFADASFQASLSSDTLRLGRDAIPNYTFGCVGAVTGPTSNLPKQGLLGLGRGPMALLSQTGTKYGGVFSYCLPSYRSYYFSGSLRLGAAGQPRSARYTPLLSNPHRPSLYYVNVTGLSVGRAAVRAPAGSFAFDPNTGAGTVVDSGTVITRWTAPVYAALREEFRRQVAAPSGYTSLGAFDTCFNTDEVAAGGAPAVTVHMDGGVDLTLPMENTLIHSSAQPLACLAMAEAPQNVNAVVNVLANLQQQNVRVVFDVANARVGFAREACN >Et_10B_003071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16223641:16224029:1 gene:Et_10B_003071 transcript:Et_10B_003071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPASTSTPRASSSSRSGLKEGHLPRQLLQLNNSSCTSSSSSSSSSSQSDEACGPVHGNFEGSYLTMLSSGGLVFDRPTGCSERHHRASVGHAQGVRTCSGLGALALDLPLTAEGAKDLVPAAT >Et_1B_011278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19907990:19917222:-1 gene:Et_1B_011278 transcript:Et_1B_011278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVLTLTRACRLLRPSSHPARVLPAAAAIHLLPFSSSPSATTAPPPMASSTEWPASQVRETFIKFFESKSHTRWPSSPVVPVDDPTLLFANAGMNQFKPVFLGTAAPDSPLGKLRRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKEEAIGWAWELLTTVYKLPTDRIYATYFGGDEKSGLAPDIESKNIWLKYLPKEKVLPFGCKDNFWEMGDTGPCGPCTEIHFDRIGNRDAASLVNNDDPTCIEIWNLVFIQFNREADGTLRPLPAKHVDTGMGFERLTSILQNKMSNYDTDVFMPLFDAIHKLAGDGIQPYSGKVGDDDVGKVDMAYRVVADHIRTLSFAIADGSQPGNEGREYVLRRILRRAVHFGHQKLKTKQKFFSSLVNVFVQLMGDVFPDLKKNEKKIHAIIRDEEESFENTLAKGYEKFKKAADAVKDNGGTVLSGQDAFVLWDTYGYPIDLTEVMAVDYGLTVDKEGFNVSMEEARQKARNARNKSGGKTIAMDANATAQLRNQGLASTDDSPKFMWHKEHGSVVKAIYSGTEYMATASGDEDVGLVLESTSFYAEQGGQIYDTGIIEGSFGTFNVSNVQVFAGYVLHIGSFAEGSKALSVGDSVICKVDYGRRTLIAPNHTCTHMLNFALREVLGDHVDQKGSIVLPEKLRFDFSHGKPVQPEDLRKIESIVNQQIKDELDVYASEIKLADAKRINGLRAVFGEIYPDPVRVVSVGRKVEDLLANPESKEWLSISTELCGGTHISNTRDAKAFALLSEEGIAKGVRRITAVTAGCASQAMELASSIDVDINEASQMEGALLEKKIASIKSKLDAAAIPAATKADLRGKVSKLEDQLRKAKKKMGEQNIQKAVKAALDAAEAALSEKKPYCVTQVDVGLDTTAVREAVLKVMEQKGLPIMLFSTDEASNKAVIYAGVPPNAPNGFKVLDWLTPSIAPLKGRGGGGKNGVAQGQGSDASQLKEAMELANNIASMKLN >Et_8A_057615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5133369:5141414:-1 gene:Et_8A_057615 transcript:Et_8A_057615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPSSSFILPWCGDPSKLGLVSSSLKMSEFLELEAQDGIRMTWNVIPGTKQDAANCVVPVSAIYTPLKPNPSIPVLPYGPLRCRICRSILNPFSIVDFAAKIWVCPFCFQRNHFPQHYSTISENNLPAELFPQYTTVEYLSTTETGPLPPPVFLFVVDTCMIEEEIGYLKSALAQAVELLPDKSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKDQILEQMCFFSGKAKPTTGVIAGSRDGISTESIARFLLPASECEFVLNSIIEELQKDPWPVPADQRASRCAGAALSVAASLLGVCVPGSGARIMTFIGGPSTEGPGSIISKSLSEPIRSHKDLEKGSAPLYSKAVKFYEELSKQLVHQGHVLDLFACALDQVGVAEMKVAMERTGGLVVLAESFGHSVFKDSLRRIFQSSDNDLDLSFNGIFEINCSKDIKIQGIIGPCTSLEKKSPLSSNTVIGQGNTSAWKMCGADRKTSLCLLFDIAKKDGPDAIDQSTSNQFYFQFLTYQHHEGQMRLRATTLSRKWVAGSGGELIAGFDQEAAAVVMARLVTFKMEAEADFDPIRWLDRALISLCSKFGDYQKETPSSFSLSPRMSIFPQFIFNLRRSQFVQVVNNSPDETAYFSMMLNRENVANSVVMIQPSLISYSFQSGPEPVLLDATAISADRILLLDSYFTVVIFHGITIAQWRKTGYQDQEGHEAFAQLLRAPREEADTIMKERFPVPRLVVCDQYGSQARFLLAKLNPSVTHNSDNAPPPGGDVIFTDDVSFQVFMDHLQRLAASILDGVVLTLTWWSPWMKPTKTSISLTAFSLSGLLRKYTWFSPGVSYSSTSPCHPLARSARAYARPRALSMSSPATVTSTREHASRRHSPGAMATGLMTGSSTPYRPAHTNSHVRSRHRRVASSLVSAGTGRFPQK >Et_8A_057683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:675380:679778:-1 gene:Et_8A_057683 transcript:Et_8A_057683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPPPPAEKKKKAPLPKVVTLNKALKLAQTWVDKMSASDPDEPNDKDFEGRPSRLGLGAKVALGVKRAAPTDPVERRLLGKVNAQKRRSMEEENKTAKEANEASDDDSGEPESRTSAFNKKRTLPSVTSKPSGKKAKRTSAWSSWQGCWLHPCPLAFEPSSLNTGNPMRMDPRDRSLLQKEKYTSCHQMDHLLDYLLVELFHGRFPSFYLQFTCLPPSI >Et_1A_009379.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4389121:4389687:-1 gene:Et_1A_009379 transcript:Et_1A_009379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNTGSLASDDEEGPCAGLRSFHFPLSSPATPTAADGCAVPFSSSGAAAATATALSPFPSPAPQSSPVPETRLSMSRKWPSDVGTRMSSLHILHQPPPELRQRRRLHFRRHLHPSASRIKARGLCPSSPASCPSCSCPCPCSSSSRDTPINVPSVVLRSRKKDPVDCQQKLTSDFQDSSHAQEHNG >Et_4A_034491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3738600:3742277:-1 gene:Et_4A_034491 transcript:Et_4A_034491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCSRPHSLNEADAAENARSADIDRRILQETKAEQHIHKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELRSYTSVIHANVYQTVKILYEGANELAQVEPDSSKYVLSPDSQEIGEKLSEISARLDYPFLNKEVVEDVRKLWQDPAIQETYSRGSILQVPDCAQYFMENLDRLAELDYVPTKEDVLHARVRTNGVVETQFSPLGESKRGGEVYRLYDVGGQRNERRKWIHLFEGVDAVIFCAAISEYDQMLFEDETKNRMMETKELFDWVLKQRCFEKTSFMLFLNKFDIFERKIQKVPLSVCEWFKDYQPTAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGA >Et_2A_015777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17785179:17793091:1 gene:Et_2A_015777 transcript:Et_2A_015777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSALRFNGHLLLAGSHHHRLLLMLPPRAPLPLRGRCLPRAVRVSSGEDGSGGGSASALERRVVPEALGQEEQGMTGEEERDGGAKEVAGALELRWPPWEGLAERYKLIGATSLAFVICNMDKVNLSVAIIPMSHQYGWNSSTAGLVQSSFFWGYALSQLPGGWLAKLFGGRKVLKIGVLAWSLATTVIPVVAGSIPLQERSRAVAVVFGGLSFGSVLGLLFAPPIIQNLGWESVFYIFGLLGIIWCLGFESFKEKQPSDNEGRLSDLGQGSVVSDGLISSSMSSSSPDSSLEDLQNSLKDVPWGAFFKSKAVWAMIYAHFCGSWGHYTCLSWLPTFFSEELDLNLTEAAWVSVLPPLGSMIITSIAAPFADNLISTGVDTTKVRKICQTIAFVSPAAFMTLSSVDLGLPPWEIVAFLTSGLALSSFALSGLYCTHQDISREYASILLGITNTVGAVPGIVGVALTGYLLDSTHSWSISLFAPSIFFYLTGTAVWLAFASSEPQEFSKSEQEL >Et_2A_016716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27479657:27483050:-1 gene:Et_2A_016716 transcript:Et_2A_016716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKALCIFLVSLVSLGTLISVLVFAYGDFDPVEVTVEEASLGRLALAKPAGHGKPASLSYNLSLTVAVRNPNWAIRVWRTAALDAELLLSGTPFAVVRLAGAEERELIVPTMSKVYRAAAVAEREPVALGIYGAAELAGWKRVTGLVNLELSVAGEVHYRAHSRRRSFTVRCALRLPLATSPMAVAVARFEHTMPQECAYELGVLCYVCLVVLGVIAVAGLLFLGFSNDIPVELTVAEASVARLALVAPGNGTASVSYNLSVAVAVFNPNTVMHVWRAAPLDAEIRVGGRPFARVRLAGAEPERIGPRRSKVYRVAAAKGAPVALESDAAAAPCAAESAVGVFRLELIVDGEFKTKTRCRLRLPLSTAAKAFARFKRTLPQPCADISIQLLI >Et_1B_013854.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:20691880:20692374:-1 gene:Et_1B_013854 transcript:Et_1B_013854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKLCSLLLAVVAVLAAGPVTLPAEAASAHLHFYMHDVTGGSSPTAVRVVNGPRGYFGNTFVIDDKLTEGTSSSSAAVGRAQGYYMCASVLNLELLVNMNVVLTSGPYAGSSISVVGRDDVSAPVRELSVVGGTGQFRMARGYVLWKTVTPEILDLEIFVNP >Et_8B_059971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4580997:4589415:-1 gene:Et_8B_059971 transcript:Et_8B_059971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAHQHLHLLGRLLAVRLVKKVDVVLAWRLVQLHVAAPPAGPERAAPRAEHVEPGHGDQHASRRHSPGAMATGLMTGSSTPYRPAHTNSHVRSRHRRLASKGPAAPRPGWWSPASPSRSNSSLPARMAMLWAMLPPALSPTRNSRERSAWRDSHGSDGPVDDVRATASSPGHALPRSASTYLRPRSLIESSSATVTIARRHPSAAHSAAGIASGFMNGWSMSYLPALTKAHDRSALARRLVGPAAAASDGRSSRPRKSTIPSTRAPPGRPLRPARTVTLCAMLAPALSPATKIRAASPCSASHGSEAVPLAGGHSRDVPPQPLPRSASTYARCHGPSPSRSDTVISTRVVARRRHAGAGSAVGFTYGWSIPYRPAQMNPHARAADGFTYFPRK >Et_9A_063176.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:1481693:1485724:1 gene:Et_9A_063176 transcript:Et_9A_063176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRAGAGVRLPRLDGGGEGSPDATEDEEESRATPSQESEARGSSGGEEENGGGGCEEPEEDVMEEDAEEEEEEEDSGMGSDELEIMELGEAGAEMCQVGDQSFAVPLELYDLSSLGDVLSLDAWNSLLSEEERLSLAALLPDMDQETFARTLVELLAGENFHFGSPLAALFDRLKGGLCDPRIVLYRRGTRFAERRKHYYWLQSYHNSMVRGLREIKDCWKGREGYSLDERLRMLDAVKAQRRKKKGLGLAGRAVSETDSESRDSGELYLARKPDKMELKKAGKLAKERSKGPLLLGVSKVEDEEYVGGSGRDAAVALSELSHQNPYGYDPVLTHRRRLHRSTDGLYAEDPGYDRDLTRFRPSRPLPKPGKKELGMSYDGNLYGNNHCDNHMGAPYYHGRPMGNQGVTLAAAFDPPYFDTRRNARYPERDWLHGGKGVQSKPLRGDEMHWLPGTNTGSLDEWQEGQAAGDYRSRNAQAGHGLKVKSYKSIEQQVNDAHLRADPRGKLAQEKMKGKSSSRFDEIGQKHYRSVASHAQSEETESDSSEHFEDGPDVKFLGQKSEQYYSGSHRLPSGAKKSKKHAKTIKMNYPAATADFDPSRSKGIKGKVSETAYSHDLHAAMAEHVTDTMKPPSASGERKRKGMATLDMHGYDTSGLHEFNENKESFRSTENERLASRSGRAVEDSNGDVDGNETVKVALLSCSSGSKKPKGRAEVTRLGDHVENAPSGPKVVENSGNSKKKSKKRPENTADAVTVAEPAAATSENNVLPAEPEKPEKTEKLEKPEKPEKPKKKYVPITPTIHTGFSFSVVHLLTAVKKAMINPTEDIQAAAKQPDGEEGKKLSNNEERNKTPQEPGATEQVQPVPEVADATAAAPPSATEQAQQAPEVAIANTAEQTVPSSSPAGPALTVQELVNRIRLNPGDPRILETQEPLQDLVRGVLKVLSSRTAPLGAKGWRALVAYEKSNKSWFWVGSVTSVSFDDPDEETSAEAWGIPHKMLVKLVDAFSNWLKSGQETLKQIGSLPPPPPPNPANLDMKERFKELRAQKSLNTIIPSSDEAKAYFQREEFLRYSIPDRAFCYTAADGEKSIVAPLRRGGGKPTAKARGHPMLLPDRPPHVTILCLVRDAAARLPARTGTRADVCTLLRDSQYLNFEEANKESAINQVVSGALDRLHYERDPCVLYDNDKKLWTYLHRGREEEDFEDDGTSSTKKWKRPRKDSSDPADPGAANDDFEDDGTGTPSTNSVKKQKTDHADPTVSGEAKEGGDHATQNPSTGDISGGLEGNINAKADVSGPSASVDATPGSKVDDKPASLQSHPGGEKTNTATPENNKGFHEVIDIS >Et_1A_006397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21887169:21890056:-1 gene:Et_1A_006397 transcript:Et_1A_006397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPVPPPAWKPEIGPDGIARDSPVIAYTEKVILEEQLQLKKYIQENYSKIRDVEKELENLTLEMKLTAGPKKLALEHLRKKIELSTERIRLAKVKEEQAKKAWEAAAQVVKDEEDAKQKLCDDLNHLVQESAASQYSRLEELKRRLELLNPSRASVDVSSVTQHASINSGPQQPITQNAATANEPPSNAAEPASVGQSQRPSDPEKKRRPNSGRGRGGVMILPKGRGGSASGWTGAGFDVDGST >Et_3B_031177.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:243212:244264:1 gene:Et_3B_031177 transcript:Et_3B_031177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASLVALYITICSVVFIVSKMVISLLLYKRWARKKRIMESRQLGGGGKVVMFRSTAMSSSLSANKSLVGMLMGLSSKDVIGAGGYGTVYRLRVDDKTAFAVKKLSRGETDDRGFERELETMADIKHRNIVPLCGYYAAPHLNLLIYELMPNGSLDDMLHASCPAKLCWAARYKIAVGAARGLSYLHHDCIPHVIHRDIKSSNILLDHNMEARLSDFGLATLMTPTHSHVTTVVAGTFGYLAPEYFDTGRATTKGDVYSYGVVLLELLTGKRPTDESFLENGTRLVTWVKETVEDKREEHVVDKALSPSTSNLPADQVRFLFALADKCLDSDPANRPTMEQVVKMLELHQ >Et_9A_063277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18634061:18636845:-1 gene:Et_9A_063277 transcript:Et_9A_063277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVLLSSYLPSHSIVNTRYQQGPGGLNSIGFAVIRKGCIKLRCYATGDGLGDPGYLDDPFKESSNGSVFQGLHESGASVRTVGAEITQETGGFFVSDAEGDPDKPTDGFSSIDQAITALREGKFVIAVDDENGDNEGDLVMAATLVNSESIAFMIRNGSGIISVGMKEEDLARLMIPMMSPVTEIEDISAAASTVTVDARLGISTGVSAADRTKTILTLASPDSKPSDIRRPGHIFPLKYRNGGVLKRAGHTEASVDLVSLAGLRPVSVLSTVLDPKEGSMAGITVLQQMALEHDIPIISIADLIRYRRKREKLVELIAVSRLPTKWGLFQAYCYQSKLDGTEHIAVVKGDIGDGENVLVRVHSECLTGDILGSARCDCGEQLDLALRLIEKDGRGVVVYLRGHEGRGIGLGQKLRAYNLQDEGADTVQANIELGLAVDAREYGIGAQILRDIGVRTMRLMTNNPAKFIGLKGYGLAVVGRVPVISPITKENEKYLETKRTKMGHVYGSDLPGSSLPEEFIDPEDADHTQN >Et_8A_056563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12350577:12354063:-1 gene:Et_8A_056563 transcript:Et_8A_056563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGQGARRPIMGLLLRTQQQAALGYSSSAFQARTMGAHVPQNAPFFRRFSSEVPASEQMNLIKQLRERTSAPIKDVKASLVTCNWDIEAAQKDLRKRGVVLAAKKSSRTAAEGLLAIAQDEKRAAVVELNCETDFVARNDVFQYLASSLAKKALSAQGPGELFLPFGPEYFENMSINLDHPKLSGETTVQSAVTEVAAMVGENVKLRRGFMLSTSAHGVVSSYMHTCPQPGLGRIAGLVTLEAEDSSALLDAVKKVGSSIAMHIVAAKPLFLSKELVSAAAVENEREILRTQAQSSGKSQMAMDKMVEGRLRKYFEEVVLMEQKYVVNDSTNIKTVLNDLSKEVGCKVTIGNFIRMEVGEGIGRSETADGTEAVAGAA >Et_6B_048940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15870867:15874775:1 gene:Et_6B_048940 transcript:Et_6B_048940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLRHAIAAAAALLAVAAVCVDASSTFYSSDPNLGSARVVFQVTLELPLLLLCILILTFLLRLNLQTNHGDIEFGFFPHIAPKTVEHIFKLVRLGCYNTNHFFRVDKGFVAQVAAVVGGRTAPMNEEQKKEAEKTVVGEFSSVKHVRGILSMGRYSDPDSASSSFSILLGDAPHLDGQYAVFGRLTKGDDTLRKLERLPTRREGIFVMPIERIEILSTYYYDIDVESCEAEKSILRRRLSELASEVERWYIGFITWLRHEYGRHEMEGRNPVSNTHHQNVYMR >Et_1A_008230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5461663:5464344:-1 gene:Et_1A_008230 transcript:Et_1A_008230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGGGSGAHKAASGSAPSPAPPAPNPTAMLSALMSKRAKLQEELRSIERQVYDMETTYLQESNQFGSVLKGFESFLSSSKNTSNLKRSRKFQADERLFSLSSVTSPAVDEHLTGRDDGREYGSGRSKGANTPANGQGKPKKGGGRPGGRDGKRLRPSNDPDLDDEDDY >Et_1B_010211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30202836:30203252:-1 gene:Et_1B_010211 transcript:Et_1B_010211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIIALSLLALACSYWKLSGSLAAGEPDDLESQAGAGSRAAGGKWLEHVVVIMAGDERPTFLAMPASGRAHDDAAARCGGGSEQGRCVECGARARPGGLEGDALGRGEQARQNTGSGSSVMTSGT >Et_6B_049976.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:2372805:2373161:1 gene:Et_6B_049976 transcript:Et_6B_049976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPEQQHQPGLGGAATASSVLSSPPAVRVLETALVRPSSGPASPEETSLPLTFFDAFWVPAPPVQRVFFYRLAPDADDVVGNLRRALPHAVRAFFPLAPGTANRYELHYFCCAAAA >Et_9B_065199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2367073:2368965:1 gene:Et_9B_065199 transcript:Et_9B_065199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWDDDESASAAAEAATTDVELLKRAWRNEKAAPEILRFDKDLVIRVREQIQLLEETLDDFADSGVDDLVVSLYQMDLDRTLFLLRSYLRLRLQKIEKYAMHIFPRSKDLLSPQEQKFAESCKEIMKKHLEQSVLSKLPYGYNGSDGTSVTKQSLSSTEEDMIPEPQLDTFVFCKTKSDVGAFQLDDIGEEVVDLVADDLYVLRYKSIKGLVEGGRIDLI >Et_1A_005253.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35621565:35621783:-1 gene:Et_1A_005253 transcript:Et_1A_005253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAPAMLLLLSPRSKKARTVAVPAGRGLDSQDSTADVETCTVRFTAIPTSMTASLTTRLQLGMPSPRLIRW >Et_1A_007511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35414471:35416006:1 gene:Et_1A_007511 transcript:Et_1A_007511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPHLVIDLNEAPSPPPYDSPPEDAPSPPLEAAPAAHAPPPPQPPPPVPPLPTAPASSSQQLRRQQEALEMACRHHPLPDWSTAPFGAMGSVATGLLPGLPLPLPPLPAPAQFPGEAGWGHPQLPCASCGHPEVMGNTIVCDSCDRGFHPPCVRVWPPLVFTPPPPPGPPGARRPRPVANEDWICPECEMRGARSTLWKLGPVPLDINAAPPEEPPVISRQSLELYLTHIVAIVACLIIEFLNEGGTHLCANLLLELMK >Et_3B_030443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3817343:3819261:-1 gene:Et_3B_030443 transcript:Et_3B_030443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPWPPNQRMEAARMPPNDASPHPRGSAFYLQVLHSPAFRRTPVVTTMAARSPSPRRRCHLLPLVLAVLVLAARGSNAAAARNDRPSPIKNVVVLALENRSFDHMLGWMRRLLGLPIDGLTGAECNPNAAGANSTATTSTICVSADADLVVPDDPGHSFEDVLEQVFGNANASASASMSGFVRSALSVNAQLTSAVMRAFRPALLPSFSALAPSFAVFDRWFSSIPGPTQPNRLFLYSATSRGAVAHDKLDLLLGYPQRTLFDSLAADGRGFNVYFKTIPTVLFYRRLRTLRYAARSFHRYDASFRDHARRGVLPALSVIEPRYFDLTGTPADDDHPAHDVANGQRLVKDVYEALRASPQWNQTLLIITYDEHGGFYDHVATPTAGVPSPDGIRGPPPFFFKFDRLGVRVPTIMVSPWIKKGTVVGRPDGPTETSEFEHSSIPATLKKIFNLSSDFLTRRDAWAGTFEHIFTELDQPRTDCPETLPDIPFERPSPAKENGWLSDFQRELVELASFLNGDYMLTSLAQETRKNMTVKQADAYVRRAITSFLQASKQAKRLGANESAIVTMRSSLTSSSSNP >Et_8B_058758.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20889204:20889479:1 gene:Et_8B_058758 transcript:Et_8B_058758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRPWASARSSKTGAQRRRSESSCGMQRTATREKKPGSATTRLPSSGFWWAQRPSNGPTEWRKRQTAIEDPPAAARQARTWAGEGRRRRH >Et_3B_030000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29703409:29707582:1 gene:Et_3B_030000 transcript:Et_3B_030000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDICRARLLLAVQFGKAAANNSVWSFVLSAPDSGGYISIGFSPTGRMVGSSAVAGWATSSTGAGSARQYYLGGTSSRSCPPDQGKLALARGAAAPTLVSKGSRLYLAFQLAGQPLTDVVYAVGPSGSLPGSNGLLPQHQDMASGTISLSGGSSGGSPATGGGGDGDGDGGEGGERKGKKKRSGEDSSDGGDDGDEGKGEKQRASASTSRASGGGLSAKRRHGVLAAVSWGAMIPIGVALARFLKRLDPFWFYAHVVAQGLGSVLGVVAIVAGFKLDDDDGGAVAAHKAVGVVVLVGACLQLMALLARPAKETKARRYWNCYHHNVGRAAVVLGVANIFYGLSIASERQEWSYVYGVIVGLFAVACLVLEEWRRRHATNTQSPLQQHNATSRGKESDRYPQRNEAEITLLFQQERSTAPVAAVRPLRLYGETTDSCGSSTSLAAVSRLIPFDTSNLTCFDAWTSQGFIIRYGKSAQGTWSFVLSAPDNGGYVSVGFSPDGAMVGSSAVAGWTTSGGVGVAKQYKLDGTSPSRCPPDQGGLALVPGTTLLVAQSSRLYLAFQFTAAQPTPYLIYAVGPSGAQLSGNYLVRHKSYASAAVNYATGAASSAGGSSAADTKKWHGALAGLGWGVLMPVGIVLARYFKRHDPFWFYAHISVQGVGFVLGVAGAAAGFKLDDDVPGADRHQAIGITVLVFGCLQVLAFLARPGKASKVRRYWNWYHHYVGRAAVACAVANVFVGLSVAHEAAARSVLYGIFLAVWVVASVVLEIRLWRTA >Et_1B_013605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9950755:9955051:1 gene:Et_1B_013605 transcript:Et_1B_013605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIWTTLLFSAHYSCPFLILYMELELSLGDSSAPAKGTLTTVVTSEHAGNGAGHELVLQLGVGTVQRDEQLGVDNQKAPMQLEDDEARSQSESSVGLSFHGHLLPAFADSGSVNSDVSVRGFDVNNIPVDEDEEKMRSLSTSSSLQVEITAHHAADQEVAEDDDNGGAGGGVRKKLRLSKEQSAFLEHSFKEHSTLTPEQKSDLARQLNLRPRQVEVWFQNRRARTKLKQTEMDCEYLKRFCESLTQENRRLQREVAELRALRTTYPFYSHLPAGFSAPRGCPSCDNKATHYSTVVTTAISSPVVAPQSSMPVARPHFGPFTIHPVLRRHPSATS >Et_9B_065863.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15750077:15750601:1 gene:Et_9B_065863 transcript:Et_9B_065863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVVLVLLAIAAVAPALAAGTSSSAINATCAAITDQPAGYCVSFLSNDTAAAAATDARGVAVAAVNITARMAASTLGAISSLVYDLTNCRSYYSEMVELLDGVLDDYRAGRFDDAATDKVANASGLPNGCDILLFEGSANKNPFYQENTDNDHIARLATDIANLVANNKRQSKS >Et_9B_066169.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:5514649:5515074:-1 gene:Et_9B_066169 transcript:Et_9B_066169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEVVGKVAERLLEAEDDDRNHEGITEESVAELMRWLELEIKLAAGAAVLALPAAWSGRVTARGNGESCGPALSGPASTVMASVDGRSAAPAPLAVPWPWPAQKAAAPTGEEEQEDGDDEWVLQLLTDGPSAEMLCGSQ >Et_7A_051522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:269811:283648:1 gene:Et_7A_051522 transcript:Et_7A_051522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPPLVFIRANDDFSRGLARQCSRKQNLDVVTPVAFDNRYYVNLRNRQGVLASDQGLADHPRTRNIVNAFAANQAAFFDQFARSMVKMSNIRGAAAAGEHHVQAAFGQDRLVTAGLLRIFFHDCFPQGCDASILLGNELHACERGAALQQGALTLIEQIRKQDARCRESVSCADILALATRDAVFLAGGPEYAVNLGRRDSLAPAQDRQIGLLPKPSDSVETQLQKQGLQRQRPGGPVGWRAHRGPGPLRDVLRQRREQAAAAGRHAAQLRQQKRGVLTSDVELAKDGSPIKWLVDGFARDENWFKWQFGESMKKLGNLDWRSQNDGEIRTRSCFTTNRGTFTYTNTDQDLVDLMPVSSKSNKIINASMGMASGGLARYFYLGSCPQLETLVRSSVESALSQNVRLTAGLLRIFFHDCFPQGCDASVLLDNGERQLPPNAGLQQAALQLVEDIRAKVHAACGPTVSCADILVLATRDAVNLAGGPAYTVSLGRNDSLAPASQNEVLTLPPPSFTADQLLKAFSDKGLDNFDLVALSGAHTVGKARCTNFNAPSTPPTDDLGKCLSGICGDPNQLRDLDFLTPQVFDNMYFTGLRVNKAVMLNSDQVLATHPNTNWLVSGFADNHWWFFQQFATSMVKMSEMKGPQGSAGEIRRNCFRPNSAASGTRRVVALLVSLCLVALMSAEATVTVNEPLVGGLSWSFYDDSCPSVEGIVRWHVVEALRRDVGLAAGLLRIFFHDCFPQGCDASVLLSGTNSEQGLGPNLTLRPSALKLIEDIRAAVHAACGAKVSCADITSLATRDAIVASGGPFFEVPLGRRDGLAPASRELVFTLPGPTSSVARLLGVFKNRSLDTDDLVALSGAHTIGLGHCSSFSDRLPPNQATDIDPAFRAKLAARCASDPAGNTVTQPLDVRTPDAFDNKYYFDLIAKQGLFTSDQGLMSDDATKRKATRFSLNQAAFFDQFSRSMVKMSQMDVLTGNAGEIRLNCAVPNARATIQTDDQGLAADA >Et_4B_037727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22906024:22913469:-1 gene:Et_4B_037727 transcript:Et_4B_037727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRAHTWAGVGKTAQAAAAHAALFCFTLLLALRVDGRTTYSWWIIFIPLWLFHGIVARGRFSMPAPSLPHGRHWAPCHSVVAAPLLIAFELLLCIYLESIRVRNHPSVDLKIVFLPLLAFEAIILIDNFRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFLIAATTFTLLKLSGDVGALGWWDLFINYGIAECFAFLVCTRWFNPMIHKSPTHGEASSSSSAIRYRDWESGLVLPSLEDHEQEKLCGLPDIGGHIMKVPLVAFQVLLCMRLEGTPPSARYIPIFALFSPLFVLQGAGVLFSLARLVEKVVLLLRNGPVNPNYLTASSKVRDCFAFLHHGSRLLGWWSIDEGSKEEQARLFYSESTGRKSFVGFAMRERYAWFYFPAGTELYASLVPISARSVQSAVCQSKSACLGHVAPRNVKSRLKGVGSVFEPDLLRLSWGKESPCLFPPPASGHRTMTRQ >Et_3A_026142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4876458:4878180:1 gene:Et_3A_026142 transcript:Et_3A_026142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDQAAAAVMPEKLKLFMGVLALQFLLAGFHIVTRAALNMGISKIVFIVYRNIISLALLAPFAYFLEKKDRPPLTFSLLVEFFLLALCGITANQGFYLLGLYHLSPTYASAIQNTVPAITFAMAAVLRLEQVDLSKRHGLAKVVGTVVSIGGATVITLYKGLPLFHHNLNIKSLVTLSSSSPILNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVLSLTCVFGLFQFLVIAIFTEEDLSKWKVHSGGELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAVMAAIILGDQLYSGGIIGAVLIVIGLYFVLWGKSSEKKAMNQDVAQGGADITRSLLGQDSKDEEAPIDLA >Et_1B_013692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12497352:12501753:1 gene:Et_1B_013692 transcript:Et_1B_013692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAEEVNMEGEEKCGGGAGGRDGEAASAVSLETLRKRMAEFARERDWEQFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPGWDDNEKEHLGEELADVLLYLVRLSDMCGVDLGKAALRKMEINARKYPVGQCKGSSQKHTCYNSNNNVGANDDTSGLTANKEHNNGGDLIISSPVT >Et_3B_028935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20575205:20580900:-1 gene:Et_3B_028935 transcript:Et_3B_028935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSRHRSHRSHRRGGSVDRSESEGEEVVAAGTREDATAAARVSRDPEPERRRSSSGKDAARSGNGYAEHGRKRKERVEEAVVDVVSDRWNSGVCEDHLVDKRSKSDALGPMDAEKQSDKPKGSGDESKRSSRRAAAASDERIEEVVSKSDSAKRRSEREKDSGRRESTGQYKDDRDRDREREREKEWERQKEREREKSRDREREKEREREKEREREREREREREREKDRDRERERERERQKDRERDKKDYDSKHDRYDDGGSRKSGSKSGRMEEEVYSYRRDTEINETPAKEKYSHSDKQPDKHSRRKDDSEDTDKWAADNRDSDDRKTLSRYEHGKARSSKEQRFDDDKYKEKYKDDYGRDKRQQDDEFLDERVPRDHEVEKADYKSSKDGHRSSESHYRKDAVQDGDHHDDYGSRYKESRGRKRPPEETDDQYDLKPPSTRDRVNLERSSGSGRLDSLIERARPDRSSSPGKLHARSSPSPSMDLKIMEREKCHMTREIFDQEHLLGERGHLPLDFVIEMQKIGLQKDSNRRKITILVTCHWKFLHQHSMIAHLGKTSILHQSSDQRFSGRLAGGRNLDNKGERNSVTKYRDRDGDLSQERSLHQDRTPAKAPFREPTPSGSSVSRGGHFSGASPNHPLPPSVRHRPEDSSFMGSHDDDRRPQGGDRRFHGHQKRSDMNSGRGHAAWNNPPNWPAPVANGFVPIQHGAPGFHPPVHQFPAPHMFNLRPQMKLNQPGVSYPMHDGVDRFSTHMRPFGWPNPMDESCPPHLWNGSAFPGEHYMYGRQEWDQNRTHAGSRGWEMAGEASKGLNEIPDGELPVPKKEPDSAATAISESSGGEHNHQPLIEHKEIDHLTSENYEAKDDLKTSGESLESSPGAPLNTSMLSKNGAVFAKSYLSRISVSRDLVDSELYNRCISLLGNLGVAKDPQAVKDPQARAMALHKKQAGKVFAFAKTEGKMDLPEATQDTEMLDCAPKESVVSNLAPHSNVDIMGEGSPSKQEPGDGTGAASPAVTESAGVEEPPAISQPDEEMEAMALQAITEPDKDIEDVVPPAIEVSADVLEDGVPQVTLEHAADEGDATRPAAMEDVAPSAVGESVDSMEVMSLAVTESCQGKEDASAAASLPDDHVTPPSIMHEVADTGMEDEMGKAIGDNPENGEVNSSSLGPELDVTASDAQDPEALSVESRVNLSRIPNSPESTH >Et_8B_059040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12819678:12823361:1 gene:Et_8B_059040 transcript:Et_8B_059040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNMSGTDPDNEFLSRYRLVSLDKFLRLLGNGPVSWLDPRCRYVSSRIRPISVGMSPVRLQKERSSKERLVRLLITAGIDPKSKGRVPTLCGIGPDNLFFPRKMYLKECELLNRFVGIWPLNSLLSNARIRRVCLRPISEGMAPTRPMFERLSRTRKERLPICGLSSP >Et_3B_029285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23781610:23783486:1 gene:Et_3B_029285 transcript:Et_3B_029285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLAGLLGFLLVASAGSHAARAPEQYWKSALPNTPMPSSLSQLLNTPAGGTTVNVGPGGVLVDAGHGKPGGTTVDVGKGGVGVNVNPGSGKPGGTTVGVGKGGVGVNVKPGYGKPGGTTVGVGKGGVGVNVKPGGGKPGGTTVGVGKGGVGVNVKPGYGKPGGTTVGVGGGGVGVNVKPGYGKPGGTTVGVGKGGVGVNVKPGYGKPGGTTVGVGKGGVGVHVDPRKKPVYFPVGPFQYAYAASETQLHDDPSVALFFQEEDLHPGKKMTLQFTNTTAGAKFLPRKEADAIPFSSEKVPEILARFSVSPDSVEAARMTQTLRDCEAPAASGERKACATSLESMVDFATSSLGTSHVRAVSTVVAREGSPRQEYTMTAVKRAAAANGTGSSLVACHAEPYAYAVFACHMTRATRAYSVSLLGKDGNAVEAVAVCHADTAGWNPKHLAFQVLKVKPGTVPVCHFLPQDHVVWTRSG >Et_1B_012795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35179322:35181218:1 gene:Et_1B_012795 transcript:Et_1B_012795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPLPQICWNERDMLDITTGKRQKGYQIHLPEDTDIEEEYNAHEAFWEDILGVKPRDWTGNTDKVSESNRTFLTSKILTAPRPYEYISEALLHFKSIRFAGDLSCGQTLKVHGFVAVRDDIDRLRNYIFNRSQEHAQEITQDSPDLWLTSPARGITAVCAVLVEYSLKVVCEESGEELIDGSFQFEQGGCQGSLVVLHRVRLFSPVIGPFDIRFNYLRYAVEATVEVKVKRGGPWLATA >Et_4A_033682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25867259:25867923:1 gene:Et_4A_033682 transcript:Et_4A_033682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVILQMNVHCNGCARKIRKTARDVNGVEDVWASPETGVVVVTGSVDAEALRSRIEHKTGRAVAVVSRGGEDQTPEGWRTTRLASPRHAPPAPDSWWTAQHLPSSRYATPQEAGGALGVVSARPAAGAFGASAASVRPVSAAGLPVPWRPARQPPVVISVRTGKASSGDSCFPWNPTFPKAFR >Et_9A_061409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11088749:11090690:-1 gene:Et_9A_061409 transcript:Et_9A_061409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGEEESSACSVSVQREEEEEATSNRVKWNEENLYEIESNKPVRQKITEPKTPYHPMIDDDIDGTYLATCITLWLTMLRSLSPTRPFDKCLDETVQAEAIMTALNGVASSSNSSTLKDDAWASSDDDTDAMEQDNDPEADTERLSFKEHRRAHYDEYRKVKELMRSGSLVEDEADEDERGANKSEGKGAGKRATNDDSKSSPQT >Et_1B_010685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1335156:1337528:-1 gene:Et_1B_010685 transcript:Et_1B_010685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VKLERSVPMKMERVCENTVSVDFKQTKLSNFVPFIRSGDWSDIGFRDNMEDAHVCISDLAKNYGYNSADDEVISFYGVFDGHGGKEAAQYVRDNLPRVIVEDADFPLELEKVVKRSFMQTDSKFAETYSNHTSLTSGTTALTAMIFGRSLLVANAGDCRAVLSRRGIAMEMSKDHRTCCVNERKRVEALGGYVDDGYLNGQLAVTRALGDWHLEGMKELGEPGGPLSAEPELKMTTLTKDDEFLIIGSDGIWDYFSNQNAVDFARRKLQEHNDLRLCCKQIVEEAMRLGSRDNLTAVMVSFHQEAPPQIRVNRIGRVARSISAEGLHRIGVLLEGQ >Et_2A_015016.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:26301788:26301817:1 gene:Et_2A_015016 transcript:Et_2A_015016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHAPLLE >Et_3A_026694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14631498:14632062:1 gene:Et_3A_026694 transcript:Et_3A_026694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILDHFSHMCSITETREALKLRKKRPLQTVNIKVKMDCEGCERRVKNAVKSMRGVTSVVVNPKQSKCTVTGHVEPSKVLARVKSTGKAAEMWPYVPYTLTTYPYVGGAYDKKAPAGFVRSAPQAMAEPSAPEVKYMSMFSDENVEACTIM >Et_3B_031459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30399680:30400840:-1 gene:Et_3B_031459 transcript:Et_3B_031459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAKVPMRLISNARVRVRTFEQRKKGMMKKLKELHILCGVHVAIACAAPGGGAPVVWESKEGVIQRYRALPPEKRQEHTHMNYHKRELGKEKAKLARVRQGGPLALAPHRPEALNDVALEELLASIDAALLATAERRKALGLPDVDDAAADALVPLGQGVPCIGDDVEGMDGWVEELMWDGAQPMAAINSNVPGNAIAQHNDWLNLGMWSADESSHAFAPQSSVYMSTEHCAPCIGDNFTGAPGIGMGGGFVDANVNGYETFSARTPGHFSQGCIQTNPHCFADDLQGPYTSQDFGLNYLSDLADGVHF >Et_3A_026544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9519669:9524189:1 gene:Et_3A_026544 transcript:Et_3A_026544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKPEQRHRHNDHVHEEEEAETREDSMEHGGLSLHHGSSMFGRRRDEDGGVRRSEIREVDFFSRESGARRQDGDGRSVPGGGGRDDVNIGLNLLTTPTTAAGATTSDGDGATAANNQKMEVTAVEAELRRVSEENRRMRGMLEELTRSYSTLYQQLLQVTQQQHPHHHLPGDLMNSRSSLNHTHLQSSGVHNASTRRLLEGRASSTAQQQHADAGGDDDASDGAGDASPSLSNAGNNDIDAKRRMSQDATATPRENGEPAPAEMPGRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVACPVRKQVQRCAEDKSILITTYEGTHNHPLPPAATTMANTTSAAAAMLLSGPATSRDGLLGHPAALFHHSFPYASTMAMLSASAPFPTITLDLTQPPAAAGGGGGLHPRQLPASGVHPGAGAPAMPFTMPSPLAMFLPPQRTPGATSTLPAGLGARQQSVMETVTATIAADPNFTTALAAAISSVMAAGGAPPPQAQTITPRVAGGEGNGGAGAVSSAPPATTGSPPRLATQSCTTSTT >Et_4A_033140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1916854:1920248:-1 gene:Et_4A_033140 transcript:Et_4A_033140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASLVDSAGHWGTARSQASRSRLPQSLPPCHRAVQSLTATSPPPLHNGRRLPAAAMGRRTGGGSRKPPPFLSPSSSFPSLSTKRSRSVRRLPSLPKPPTAPPAPPFIARRKKKVPARLWMRLDRRGECEIFMCDKAFVAERSGVHTRDLRAVGPVLSRHPSILAREKAMVINLEFIRAIVTADEVLLLEPLCREVLPFVDKLRQHFPLKSLEVDVGTKPVDGQDGKHAKSDAECELPFEFQVLELALEAVCMSFNSSVADLNRQAIFVLEDLTKNVSTRNLERVRSLKRNLTSLLAGVHKIRDEVEHLLDDNESMAQLHLSRKKTRGQHHEASNIKFPLETRLVRPNSSIKQSMGAGISVPSDSDAGNLEMLLEAYFAQLDGICNRIVLAQGYIVDTEDYLNIQLDNQRNELIQFHLVMIIVSFGIAINTLVAASFGMNLPRNGDGNTTVGPFWPFVVATSSFCLLVIIMLFGYAWRNRLLGSKKRGDPASGTESKEEEHAGKELWVEIFGLGLLFLYASVIA >Et_4B_037467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20002702:20003695:1 gene:Et_4B_037467 transcript:Et_4B_037467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRDVLYNRFLRNSVNPQQARNAMALLMWLERGHVQAINLVGGYDDNVLMQLAAEANSIMLYLCGEQSFILEIPLLSRLSQGFIHPGFFAIHQDLVVRGITEILESIGVLIFDDHLNWLMTRYQTGLNRPHAGSAPGAVHIQLGHSAGTAAPCLSPSPGGSKLTPRTSSTTSRSNKRGDCIVRINMENTTGGAPPMYGRIIFKRDAFVSMVLNSETLVQVNIGNRKIWLRKAHATFDRHLINLPVVYIMIKLDLVSVDLASLLIYSDMYLSFKSLLFPFIMLYR >Et_3A_024283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19151487:19153992:1 gene:Et_3A_024283 transcript:Et_3A_024283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSHGLALLLLGKKNEEKAVKASPWNQYRLIDREAEHVLHLASGKDDEAPGKCVPFVCFGCPATGLEGASPPKAGSSGALGSSLDESADSANKVTADGSIIGIEIRGCLKSNSKRDSLEHCIVVSEGEEPRESLEEVQTLRASMERRKVQWTDTCGRDLFEIREFETSLWFHSDEGMSDDEGDNEVLQYITQYNGNSGFVTEVRGNKLIRHSHKF >Et_4B_039585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22972386:22973178:1 gene:Et_4B_039585 transcript:Et_4B_039585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGSSGRFRLLAAAVVGVLLVCAPAAALDIGLQSTGDGQNKRQACSRTCESDHCTTAPFLRYGKYCGILYSGCPGEAPCDALDACCMHHDNCVQAKNDYLSTQCNEALLECLGKLREGTDTFEGNKCMIDEVIDVITLVIEAAVVAGRVLHKP >Et_10B_002926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1482736:1485417:-1 gene:Et_10B_002926 transcript:Et_10B_002926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSSTTLRGVGAVASAKPRSAAAPGTLSPNPLLTIRRPLEGGAWPPSPLVAAAADEAKRGEERARGREGSSGIGAGKAGGPQRRCSYVPASSPFFRGVAKADEATAAAGSKSGGHEVLMFEALREALIEEMKLDPTVCVMGEDVGHYGGSYKVTKGLSDMFGDLRVLDTPIAENSFTGMGVGAAMKGLRPVVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLFKAAIRSENPVVLFEHVLLYNLKEKIPDEEYICCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPVMCLSSQDVPTPYAATLEDATVVQPAQIVAAVEQICQ >Et_4A_035150.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:175118:175297:-1 gene:Et_4A_035150 transcript:Et_4A_035150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIELEAPSPARYLVGAAIMMAGVVLPLAYMIFRSKRSPSSAASAAAGPSSSFSSKQT >Et_1B_014351.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6510033:6512033:1 gene:Et_1B_014351 transcript:Et_1B_014351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIRLVRQCLTLLLHSKNSPTPLPPATVARLHALLLTSGHLHDHGLRLLFFSYCACGRPFHAHNLLDGVPHPPPVSYSNNLLRSYTTLGFHREALALYSQMCYFDHLTFPFAAKACAGLRLGHHGRAVHCRALAAGFGGDTYVQNALVSMYMMCGDVATASAVFGAMQNRTVVSWNTAIAGYVKNGCAERALEVFEKMVDGGVGIDRATVVSVLPACAQAKDLRMGRAVHRLVEKRGLGAYAAVKNALIDMYGKCGSLEDARRVFDDDKCDKDVISWTAMIGAYALNYHAKEALALGCEMLMISESWPNGVIMAYLLSACASLLSRKHAKCTHALCIRLGLELDIVVETALVDTYAKCNSLKLMELIVESGSRRTETWNAAISGYTHRRREKKAINLFKRMIVESVRPDSATMASVLPAYAESADLIQAKNIHCCLLILGFLGSTDIATGLIDVYAKVGDLDMACALFQGLPEKDVVAWTTIIAGYGMHGHARTSILLYDRMVESGVKPNSVTIASLMYSCSHAGMIDEGLRLFNDLHNVHGLMPNEEHYSCLVDMLGRAGRIEEAYHLIEDMPFEPSTSLWGALLGACVLHDNVEFGEIAAKHLFELEPENTGNYVLLAKVYAAAGRWQDVQDLRRMMAERGLGKEPGSSAVDVRSESCQTLMQ >Et_1B_012695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34509101:34512441:1 gene:Et_1B_012695 transcript:Et_1B_012695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAATSPSGDHAHRRQWRYTWEALGHLPLLRLYLFPRPALPDCVPSGLRTDLRLDDSLLLLSFSLAGDAVSLRVPVPRVLVDPSAPVECRAAGDHVEVRLALVLPVDHPVVAAAFPPLPNAEPPAPLTLRNEFIVPGRSLVLQSLFSKTDKTTTKVACLTLGSDSIAQEEAIADDANIGNGHTTWKIDLDISEEQANITCIHSETNGAAPQSGTFQLENDVDVNYEKSKNDLCVDIMEKLDKEIDLSLVDPCHGCCASEYSRKAEDNPPPMSLGNQNRKTILETKRDYKLTKTISLGSSFIIKASNLLNDFEWLEFLCAQCSSPLGSYPSQHSLVPADGRVRLFKCYTSTELPVAGPHDVFRGHTLERLFVNLLLEIAEDEISFRTVVRDLETKRPILQLVLLSSKAWLFSGYSYENDMDGLHGAADLQPRVKVLYSNCCDASESDIRIVEDWSSKYRAEELYMMKRQTNELIKCLSSAMDIFPVSCSSLEGMCLSSLGR >Et_9B_064518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16068856:16070819:-1 gene:Et_9B_064518 transcript:Et_9B_064518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAAAASVRGGSLVRARSRVAYGGARGPRRRVVGAGLAAPGRRRVPVAVAALPEPLEPLPSSAQEGAVSLAPEADDEEVEVHGDSAAETSSPCGAPEAGKTVRVRFVLKKQCPFGQSFHLVGDDPALGLWDPSEAVALQWAEGHVWIVEKDLPANKLIEFKFLLRDTSGKLHWQEGPNRSLQTGETINTLVVYEDWGDAKNQKIAEVSNGMEDVVVSDDGESRKDNVIEDEFQMDNNKDVEQDESTVTEDEKSAISIDVSDQGESVKAARPAEPSLHEELKLLDQLHEKEDKKNGNTSCADESYAEKTNDNILSEDGVPVENGLTNGYEHDFLWGWKALQKLLMSLGFKMDTS >Et_2A_018691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5177897:5178838:-1 gene:Et_2A_018691 transcript:Et_2A_018691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYIRKCRGGGAGTATTAPVVVVAGGVRTRSRSAAVAMSAAVEPAPKRPRKAAATRHDDGGAAEAGCYPHLRSRRLFMVAAAEVVASPSPREPVPVPAEEQAQPSSTSVVPPLAGSSHDSTEAVVVAGVSRSSSTASSVDVVMALREPAKPREDDCDVESSVSDSGCCRERETTPSSRPPGDVSDQESSQAADGDQMHHHRRPSAATATADCRTRMMPPPEEIEEFFASAEKAEV >Et_1B_011590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23951613:23958288:1 gene:Et_1B_011590 transcript:Et_1B_011590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQMFDALPPRGSSCSLLYIDDHSQLVAAPLTLDEAFVPVQQQVFFLPGERRLLEPKGVGDDALHLVGHLYAALPPLRVHRLQELHPVLRPGVHEEVDQVEALGDGRGGRGVEHAGARVDRDGGHLGGDLGEQRAEPEEIGFPAGGALRADDDVAARQHRAHAAPVLLAVACQAAQADRREDLQEAAEAVRDGGDGPPERDGDDDRVEGRAVVAGVEPAAAVRGRRGSGADDANLGAGERVDDSGRAPREQDADGDADDGEGDGEGEAYMTSAADGVGVNARRPSWNTIGFGNVPELLFFPGMSEEARFRVRGLAVLLHCAGEVAAPSAELRPDHRVEPDVLLEERLHHHRRESPAHGRHLHVPRQRADALQRAHVRRVAEEVLGEHCAADPRGRVAGQAAEGDRGHGPDARVAGEQEHYGVEREQGEATRVAARLDGDEEGANAADGDGFRSIASTFTTRAFLPMRRAVSVDGRAAVGDAPPSPLMLRWTARWKCSARVAKLLLVVVVVVVSMRFVSPVVRRWWRRRSDRSWK >Et_9B_064356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14346399:14352954:1 gene:Et_9B_064356 transcript:Et_9B_064356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTIIKAARFIDASGNVQFTNYRCVLCFSLKARGNSIYGVGLTNSEDEDSPAAHEGLCAFHLPSISELVAPNLRALRPSLRIQALEALDKFDADALVITNKIARGQRKIAGEQRKLRNKTIENILRITETPHGAEEHENEGQNVKSPSPAAEEHENDDQLVKSPSPAAEEHENDDMTTKAAAEEHENEDETPKGNYPADALRSHILKMKRMLIRLMLIPAKWPHCVFPFPVTEAMDVEAPEMGTGFDNFPVGNEPDIHRDMSGTQTQIASGQIANGTGDDGYSVLLQNNKTNRVSPADQVWDTEAPGPELTYLSPSICFNWDSEGPEAGSTFPNLIPNIDEPLENFGLMNEDACEPNAVATSIVGFSTAPCSETQDVMGRRIMTRPPRASRHEHFVPLQIPNMRRQAGEGVTLRLHRCIIDTDSKYRDGSKYEQHHNPIGQKLMLRMQEALDKVSNGACKTFVNLRQVPMSNHGEAERPQFLDNEVHQFKSLELRADYLHYLLFHGENGAVLPDEFKEYIVPGIYGAKAPNLLVRSLPSVNIYLVRRSSLRRRPIDLAAALRPMTTLDTGSLGWMVGPISG >Et_6A_046149.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4817147:4817407:-1 gene:Et_6A_046149 transcript:Et_6A_046149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFINWAQAKLICQEMNSFVALGWVLCFGLFGPSETTLLFSRSNSMILLLSFIKCALFYRIQRKGKKLSAWNQASAKESQESASAS >Et_4B_036109.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24844233:24844490:1 gene:Et_4B_036109 transcript:Et_4B_036109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLGDPDADPLHKPICELDLLFAGIVVRYIQREYIRPRGEDLLAELIQLGVTALGIWGFSLNLLYLVRDAIDSPRARPWRSGGI >Et_9A_063577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7983125:7987009:1 gene:Et_9A_063577 transcript:Et_9A_063577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWECYGSKDEEVLKHLDLVVVDEKNIKLSNYSEQILELSLWGISVRVQCLPDGQIQSVEKIEIKDEEGTYETMHKSLAELKDLDPFVNLDLPKSCILDDTQLDPMDIETSESSPDNQDLPMAKKQKKKLTTSILPSVLRVAGTTGQPCNSKRARHVFVDVGDSQKRDFDAIIAKIDDKIDDIVIS >Et_3A_024884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25049189:25054877:1 gene:Et_3A_024884 transcript:Et_3A_024884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSCDEARGGFEYVNWDEYRRYFHSYEIEKEYVQYCEELSEKFKWMEDYVLMKPSSRTWRRVFTRGNDQATKIAAGYSKITWRLASNGYHDVMDNMSFDVCWYKELDGVYFEVWQRITKLKKSFRDALEDVYKLNKFPLRQSRMKRALETDCSKMENEVTNQRAQELISEAVRKLKEKPKFYEHYIKKKISVARVIGLIRSPAGRVVPGPGLRLTASASSPLPLPRFPSTHISSTHALLSEYKLKYQVRYDRTDQRTKLAYGKLRKPCALPPCYDPTEEGDPTSVVFDVQDSTADNKRCLAMMTKLHH >Et_5B_044039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19306874:19315563:1 gene:Et_5B_044039 transcript:Et_5B_044039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRGGGEAGRRRCGGGEKRGAALWENERDTTDFGPKTAGLFQQTDQLPLHDEYLTDRHGMNMFVTVTLNVTQDSSQIDGFVVVWCRLVSDAGFRRRFREFHRTPQLLGFVYYTKKTTTFVRTAASCPPIADCGKLLPIDVRHGRVLLQRTTPEWLGEPSTNALLVWNPITKQQWELPLLTMSHDHDGWNASVLCANYSTCDHLDCSCSPFLVVLLATLSNDIWVYIYSSEAGAWSMTATASLPVCYEIGWFWLYDSVLVENALYFKFQIYKSILEFNLGTRGISVVDLPTSCRDARIFLMRTESSGLGFSYWKDTSMYLWSRDANPDGTLVWAQSRVIDFKTLLPATAYTASHAVTIVDCGDIILLRAQHEVFRFDMDTVQVTMVYNFNRCLYYIFPYMSFHTPGTSLISYVQIYINYSSRRYFVSRRTIPALSSAPPSSARTGAASSLLPCSAADFASSIHRTPPILGFLWDHKDDALFVPVTSSSCLPQSSPS >Et_7B_055591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1681165:1684016:-1 gene:Et_7B_055591 transcript:Et_7B_055591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLASVVVRWCGGWRERRARQKQRRRQYNGGTVWLGRRRSCRLAVSRLVRWRIVAQLLRPIRKALMEIAAAGEPQGRRQLVSLPPLNFPFVGTLTLPALFESDGQLT >Et_8B_059493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18437583:18442551:-1 gene:Et_8B_059493 transcript:Et_8B_059493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRSTSPAGSSGERAAASAEQPRLRGVRKRPWGRYAAEIRDPVRKARVWLGTFDTPEEAALAYDAAARKLRGPGAATNYPVSTAPASGGSDAVISEPSSTTSSSSSSRGSLAVAAAPPSLDLSLGLPAMLAASVAPALIQFLPLKSEEEEQSESASSSFFSSATNDDKSAPAVSLGLDLNLTWPAQPSKKPGTQQRAARSLHQSMAPRRSTSPAGSSGSAERSADQPRLRGVRKRPWGRYAAEIRDPVRKARIWLGTFDTPEEAARAYDAAARKLRGPGAATNYPVSTSTAPEAEPKAASTSASRSTTELSESSSSSGSRDSPAVPSLDLSLGLPPPMLLAAAQPACLFFGAASAASVAPALIHFLPLKSEEEQSESGSSSSSVMDDASAPAVSLRLDLNLSWPAAVVA >Et_9A_062445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2372911:2376528:1 gene:Et_9A_062445 transcript:Et_9A_062445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRPLLLVTACLWALSCSLLLHASPDGLLRINLKKNRLSHEALTAAKLERQDSQHLQISSLNSDAVPLVDYLNTQYFGVIGVGTPPQNFTVIFDTGSSNLWVPSAKCYFSIACYLHRRYKSSKSSTYKADGETCKITYGSGSISGFFSKDNVLVGDLVVKSQKFIETTRETSVSFILGKFDGILGLGYPDISVGKAPPIWQSMQEQKLLADNVFSFWLSRDPDASSGGELVFGGVDPKHFKGNHTYVPVSRKGYWQFNMGDLLIDGHSTGFCAKGCAAIVDSGTSLLAGPTAIVAQVNHAIGAEGIISTECKEVVSEYGEMILNLLIAQTEPRKVCSQVGLCLFDGTNSVSNEIESVVGKENLGSDVMCSACQMAVVWIENQLRENKTKELILEYVNQLCERLPSPNGESTVSCHQISKMPNLAFTIANKTFILTPEQYIVKLEQGGQTVCISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGKDRIGFAKSA >Et_2A_016588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26363070:26377452:-1 gene:Et_2A_016588 transcript:Et_2A_016588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIAVEYKQRASGKEEVTSLPQTDTHSPSLIRSVSAAAACVLASFQVSIAVVIVATAHQFLVHDSSQTGFICVGATLASSASCCLLSKRYISGPSRREPDKNIYFPALSVRVRYNWRESFISGGAVATMELGIEAARWVVSKALGLLSSGALEAWAASSELGPNVDALKLELLYAQGMLNNARGRDHHQEIQNPALTELLQELRDLAYRADDVMDELDYFRIQDELDGTYHAADEHDGGCLRNCSLNARHTTRAVVKVLGFTQTSHVDKGPSEDDTSGVSCTRALACLSEHDQDEEEEEASRGVQRCGALWPCGKNASAEPPVPQTNQGHGCITSLASCALGTIHAVGNHLPCHSVSPVQKDANSNIIAPPSSGRAFLCCGRPNKATKTKPAIQTPKLEFHRVVCAKVSTILNLELLDSNRSIAQSISLALHAKFSNKPWQASLHKIAMSRPMTESNFMVKRESQGFELRQIGHVLELRGLLYITNLDKVGSREEAEEAKLMHKKHLHNLILDWGIDRSSKDPAREEQILEGLKPNSNLSMLHIIGHGGTTCPSWLGMYLKDLKSLGIHNVDWETFPPIGKFWLANEKFSRDDMSNKIFDSLRKIELVKLEGVKKWVVDSTCQFYSCLEVLVIGDCSELMELSFSNSASCQQEKNVWFPKLQELVIESCPKLSSLPPVPWTCAPCLICIRNIGWGFEYLDYRKNNDYELSLSVTGGEVAQDTDEFWMALKFGNLTKVKQLSMDRCPPLPLHRLEMLSSLKSLDISRHMNAIWPVEEESRVRFELLVEHISIGTCGATAKEMNHILSYMPKLLSVDVSDCEKITGLGVVKQQTKSSSNGVDGLSSTTSSLHNSMEEEKIAEEGLLLLPPQLQILVITSCPELSLGSDGSVGLQDLRSLRSLTILNCPKFFTLYFASSSSSCCPFPSSLQYLRLSFWFDEPAATDSVEAGKTVSLSNLASLTALQIRGCGDFRGSWRHLPRGSLTRLEVTESRNFFLVDPEPSSVQLQQNHGGLSSPFSILRSLKTDDAAGLLVPPICRILSSSLTELFLSDNEIERFSKEQEEALQLLTSLQELTLSESCAASQQGCAGSPLRTLGISYCVAIRSLPAGGLPDSLHTLRIHTCEAIRSLPKGWLPNSLQQFVIFYCPAIQTLPKDGLPSSLRHLDVRGCGNEELKRHCRKLIGTIPIVQAAGIQAARWGLGKALGLLSSGALEAWAASSELGPNVDALKLELLYAQGMLNNARGRDHHQEIQNPALTELLHKLRDLAYRADDVLDELEYFRIQDELDGTYHAADERDGACLLNCSQNVSHAAAHIAKKLMSQGDQEVGHGCISRLTSSARGTIHAVGKHLPFHHSASCVRCHANSNTASSGLELPTAIQAPKLKFNRVDMSQKMKEIVEHLKPVCAKVSTILNLELLDSNRSIARSISLALDAKFSNKQWQKPLHEHATSRPMTDSKFVEPIFQGRDREEREIVDSITKGIYSDKDLTVLPIVGPGGLGKTTLTQKIYNQEGLNSLFDVKLWVCVSINFNVYRLTQEIADKLRTNESKNTPPDKLIAEKLNNKRFLLVLDDMWNCTNEDEWERFLVPFKNGKTKGGVILVTTRIPQLARMVKTTEQIDLEGLDSEAFEKLFLACIYGSEQPPNDHGKLREIRNQIKGKLKGSPLAAKTVGRLLKKHIDLDHWTRVLESKEWESQNDDNDIMPALKLSFENLPFHLQQCFIYCALFPEDYNFDEEELIHLWIGLDENDEYGHTFYIIHDLLHNLALNVSSQECLSICSSNCRSVEIPPSLRHLSIHIDEKSVEDPKTLRSCKEDFSAFEKRLKIENLRSLLLFGSYQCNFESTIGSLVSNAKALRVFLSENASRSREHLQQKNSNHVHLRYFRTTDVLGSGLFKNITRCYHLRVIDVFGYLRNLPRDMSNLKKLRHFVVRDNSFIPILASFMHSDIFEVGKLKSLQALDKFMVKKESKGFELGQIGQLRELRGSLCIENLGRVESREEAEEAKLMHKKHLHKLILDWGSGQPSEDPRHDEQIIEGLKPNNNLSDISIIGHRGRTCPSWLGSYLEDLKSLGIHNVAWEKFPPIGKFWLVNEKFQSDDMSNKIFHNLRRIELKKLGVKKWVVDNCNELMELSFSHSACCQQQKNIWFPKLRELEIQWCPKLSSLPPVPWTCDPCKINIFQVGLGFDHLSYSEDNDSGSSLSVTGGFGDFENCRNILTQDTDEFWMALKFDNLTNVKNLSMNGCPRLPLDRLEMLSSLKSLGISSWPVEEEGCAQFQFPVEHISIMKSEATAKEINHILSYMPKLLNGLCSLRSLVIINCPKFLSLMASPSSCSPFPTSLQLLRLGLSLYDELATDCVEAGKTVSLSNLASLTTLQIEGCGDLRGSWRHLPRGSLALLEVSNTRNFFLVDPEPSSELQQDHGGLSSPFSVLQWVRTDDAAGFLAPPVCSLLSSCLTDLLLWGDEKIDERFSKEQEEALQLLTSLQELKFCFFPKAALPPSRAAQATPPQDTGDLPL >Et_9B_064727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18056199:18056722:-1 gene:Et_9B_064727 transcript:Et_9B_064727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMSAQETVSGQTVSSRALIRSMASNPRMLWLGGASLSAFFFLDLSMTDPSQPFARTEQIISNPFNRNTVHTAHARRASASAQNTHIVVVHPEQGRRERGVLHQYELHLLEDDVLRDRARPAVEAELKRRGLRPRARQRDDHHHGRREKQRPPPIPPHLED >Et_5B_044013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1904784:1908721:1 gene:Et_5B_044013 transcript:Et_5B_044013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHSLARAWEATVRKVQHPQPVGRRRVSPMSAPDDSETASSSASSSSGGGEDSDHNGYVERGLPNGDFYTGQWRGGAPHGSGKYLWTDGCMYEGEWRHGKATGRGKFSWPSGATYEGEFKDGFMDGSGTYTGAAGDTYRGSWSMNLKHGNGKKSYANGDQYDGEWRAGLQDGHGRYTWRNGTEYSGQWRAGLIHGRGELVWPNGNCYDGGWKDGCPCGQGTFRWADGSRYDGHWTRDSATGIVQQTGNYYPSQEAASPTARDPRDVFARDLPGFTGRGSAPASPRKSRNPSGNRMANGRASSVSGLSNSSGGDRKYDKICIWESDGDITCDIVDGLALGDEVVAARRSVKTEDGGDGWGITPVSPAPHITRWVPPQEVRRQGETIAKGHKHYELMLNLQLGIRHAVGKQGPTVTDLKSSAFDPKEKIWTKFPPEGSKHTPPHNSCDFKWKDYCPQVFRKLRELFKVDAADYMLSLCGNEALRELSSPGKSGSSFYLTNDDRYMIKTMKKHEVKMLLKMLHAYYNHVRKFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSEYSIHRRFDLKGSSLGRTTDKPQTEIDQYTTLKDLDLNFIFRLKKQWFEEFQRQVDRDCEFLEQEKIMDYSLLVGVHFRDDREKLLTEGSMDCDINNVSSHHLSRGRTDQFLAEPHRRPKTKLGANMPARAELTARQSDCELQLIGKPTGAYYDVILYFGIIDILQDYDISKKLEHAYKSFQYDSTSISAVDPKQYSRRFRDFIYKAFQEDKLER >Et_1A_007737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37714630:37717952:-1 gene:Et_1A_007737 transcript:Et_1A_007737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVEAAAAAAVAAEGLIRAEANVAVAPEPEAKVEAKGGVEEEEEEREYKSDMRKLEELMSKLNPCAQEFVPSSRRPAVAPPAKAVLSADAPVFVSAAEYFGTAGVHLPMGGGGGGSRDSSSDGSSNGGGHPLNRRRRNSFNQGRRRVGGRPRRADREDSVRRTVYVSDIDQHVTEQKLAEVFATCGQVVDCRICGDPHSVLRFAFIEFADDAGARAALTLGGTMLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKKVTEDDVKIFFQRVCGKVSRLRLLGDYVHSTCIAFVEFAQAESAILALNFSGMVLGSLPIRVSPSKTPVRPRSPRVMSN >Et_3A_025610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31185799:31188631:-1 gene:Et_3A_025610 transcript:Et_3A_025610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMWALNLKAGGPCLTPRHPLPATSSPFAASGEIGSLAVGLTRLKQQPARWPRLAVSASGRKSKNSREDGDEPKNKASSSGKGDSSPPSGDSSNRTSQNHEIPKSSGAIYIPNNLTYWRDVRASFVIPKLEQTVDANSSPQTAKDGIVYLLPRKWAHPISMPESGCVLIATEELDGNGTFERTVILLLKPGSRDAYDGPFGIILNRPLYTKMKHVNPSFGEQATPFGDCSLLFGGPVDMSVFLMRTNDARPVKGFEEVVPGVCFGFRTDLEKASTLMKNGALKPDDLKFYMGYSAWDHDQLLSEIDAGYWVVTSCSSGLITDAVTTDPSCLWTEILQLMGGQYSELSQKPKQDGM >Et_7B_054510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22256651:22266412:-1 gene:Et_7B_054510 transcript:Et_7B_054510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQNGGGVDRLSELPDRVLGHILSFLPTTAEAGRAAVLSTRWRYVFAHVHTLSFQAVKRYNFWGDTMTFYFDSQERRSTNGNFVDRVNAALLAHRRCAGDATTPLRVFRVAFHAYQHWDEDMVSMWVSHALEQSGDELHLDLRLHTYQRCHRGDVRIFDSVEIDGLDEGRFSSEEENDGCSEDRFSGDEEDDGRSEEKLPSDKEDDGRSDDRFTSDEEKEDVSFGDDERRGRWKGWSFSFPTPLFSSAVLRSLRVSHGRLNPPAAIILPSLETLHLTNVPDSEETIQRLVSSCPRLADLTLESCSKLIRVSFPDKRLRRFALLCCHSVVSVSLDTSELHFLHYRGAVPAGSLFTFHGSLRIPSSTIKLCGPNQSSEEDLSGFRLFLENFEAAKHLHLNFSRLRCSDGRKFLVGFPIFASLHKLELTGSLDSHSITRVLQQTPNLEVLSVFLKRDPEHSPVIIRDAPGVLCLQQRLKEINLVHYRGSLSQRMLAKLLLGNALVLEALCVVFRKKSLQVKTTLMTEIKGWMVSKSPKTSFLVLPDHVLSHILSYLSPVEAGRAAVLSTRWRYMFAHVHTLSFQAEKRYNFWSDTITFYLDSEERRSTNGNFLDTPSSLARRRCAGCLASGNATTPLRVFRVAFHIYKHWDEDMVSMWVYHALQQSEKELHLDLRLHTYRPCGIGDGRSKKRTSGHEEDDYRSEDGSSSDEEDDNESGYMDFGEEEDDGVWKGWSFSFPRPLSTSAVLRSLRLSHCRCLDLPATIALPSLETLHLTDVIDSERAIQRLISGCPRLADLTLESCSKVSKLTRVSVRDKQRLRRLALLCCHSVVSVSLDASELRFLHYRGAVPAVSLFAFHGSPRIHSGIIDFCGPNQSSDKELAGFRLLLESFKTAKHVHLNFGRLGCMDGSKFLMGFPAFHSLYKLKLTGCLDSHSMTRVLQQTPNLQALSLFLEPHPKHSPVTVPDAPPPLLCLEHRLKEIKLVDYQGSEVQRTLAQLLLGSALVLQTLCVVFHKKTLELNTTLMAEIKRWVVSKSPKMNFRQI >Et_1B_012338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3184456:3186611:-1 gene:Et_1B_012338 transcript:Et_1B_012338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQDVVVSEMGIAAGAALPGPNPALLACRGAAAGAMSLRQTYLDLAAAAARSASCSWVDALRASSPPRSRAAADVDEFTAWMRKHPSALGKFEQIAGASKGKKIVMFLDYDGTLSPIVADPDAAYMSDAMRAAVRDVAKHFPTAIVSGRCLDKVCNFVSLSELYYAGSHGMDIKGPSSNPESVLCQPASEFLPVIDEVYKALVEKTKSTPGAKVENNKFCLSVHFRCVDEKRWNALAEQVKAVTKDYPMLKLTQGRKVLEIRPSIMWDKGKALEFLLESLGFANSSDVLPVYIGDDRTDEDAFKVLRKRGQGFGILVSKCPKETNASYSLRDPNEVMEFLVRLVEWKRRSSSPMIRPRV >Et_5A_042031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5417961:5418354:-1 gene:Et_5A_042031 transcript:Et_5A_042031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSISGTLVLLLLVAVVTAAFQDLAMSADGGVPADGACDAKCRLRCSEKVAGRCMDYCMMCCGRCAGCVPSGPRATKDECPCYRDMRSPKSGRPKCP >Et_8B_060173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6784462:6786470:-1 gene:Et_8B_060173 transcript:Et_8B_060173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVWCRSGSRLGTVLKVKDVDLLLGVGEVQTYSQNKDLLVFLNKRPMEGNGKPGEYSCKHCDWALLNHENSCETLYKISWDSQYMFAYDFTISFAAPKGHTDSSEDEDLSRPAKRYHDFTVSFAAPKGHTDSSEDEDLSRATKRYPGIRSGYEIAEDGVAGTSTMP >Et_4B_039644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2528952:2529969:-1 gene:Et_4B_039644 transcript:Et_4B_039644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLATSPRLPTHASPAAASHVLFPPLGFLVEHGRTCDVLDAARNGERGKAASPARVLLPHGGGDGFVLAVAALGFTMATGEYELHVFRSEQGAWTSNKLSLVHRKGDRHRNLSDKGKPHATPGRALRDVVVGADGLIRCVETEESARRVTRMVRKVVKKRRVLDETPDDVSTTDVLGDSNLMPRTVEIEEEVMEEKETLEYTGWRVIAWSRTASCRGWRKEFLVHVDDVVVDNPVHAAFLGELNLRRGGGAPGLKLKDLCIIVPSVIIDDSSKIFLIDLQSESYGPEVVSDHD >Et_10A_000226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18692747:18693538:1 gene:Et_10A_000226 transcript:Et_10A_000226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAPVEEARKAQQQQQWEQDVEGNGGEHKRRPQLEEAFKCPRCESSNTKFCYYNNYSLSQSRFFCKACCRYWTEGGTLRNVPVGGGCRKHKRSSSSSTAMPPSSSSIAEPAFSTGFPNVLPTFTSSGGFKIPNFSMPLVPPLSLSSRAPTPAPVMAPVAATPTKSSFLDMLRSGLLDNQGNDLYGPMITDNINEMDVLLPMSSNFGIMQQHELVGDNHNVNVTEGGGQWPASQHRPNNGGSSAAGSEGGQ >Et_5B_045683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7216163:7220625:1 gene:Et_5B_045683 transcript:Et_5B_045683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METPVRRTQNRPGTSESPRQLLGFTEDLLRVIFLLLASPTDLVRTSAACTSFRRIIADPDFLRRYRSIHPPLFLGFLGQYRFHPTVAPDHNAPAGRALARAAGGFRFDHIPLNGRHPWQIRDVRDGRVLLISANHFTLFPGLAVCDPLSRQYVRLPAIPNHLVASAQVQGLNIECFDVVLVPSGDQEDTAFKVVAIPYYGEETFVAFIFCSSSGVWRVGAPASSDALSLLPLIPGGDTLLVPYHYAYGCFYWQLSSKNKLIKLDVNTMKFSSIHLPPDHDTRRVVIVEAGQEISLGGGRMLVPQGQWQPSRIGAWKKMSYGFLESEFPTLGVSDYIKLQDKFTSKHETTLFLV >Et_10B_004479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8774483:8778386:-1 gene:Et_10B_004479 transcript:Et_10B_004479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGMLGGAFWATRALEVVKRNDGPGLLWKRIKLTTTRKNNAKKRLKRLWQNEAVIRACGQQEAAPTSDTASAAGKQQ >Et_2A_014695.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:522907:523445:1 gene:Et_2A_014695 transcript:Et_2A_014695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGSSEVAPLEVSSSGRSPRSTCTRNTTVFLSPSVSALTSSKTPASPTRSRLSTAKPRHAASSFLTLRSPQ >Et_4B_036063.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:19527204:19527530:-1 gene:Et_4B_036063 transcript:Et_4B_036063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLVLLYKSCEVSQIAFNEDEFPKLNILAVDCSDMAEISFKGGSAPKLEKIVWSSSTSLSGINNLPRLKELEVISDEIRWKKRVKNITTNHISDTPNQTKRFLMQSH >Et_4A_035723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4395141:4398586:1 gene:Et_4A_035723 transcript:Et_4A_035723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDGLAGGELELRADGDDVAEEAADMSGVLCDERVEGMETTLEGREASDGSTGRLEEGVDKMETSLDDSEASDGSTTQDSDTDVETESSGSSTEEQDVEYGAHITRMDQAIQKVARESNTMGVKSSDRMTSVSSSTLVLASGAAMIPHPSKVMTGGEDAYFIAHDGWFGVADGVGQWSFEGINAGLYARELMDGCKRFVESQETSQISTEEVLAKAANEARSPGSSTVLVAHFDGQVLHASNIGDSGFLVIRNGEIYTKSKPMTYGFNFPLQIEKGDDPLKLVQKYAIDLQEDDVIVTATDGLFDNVYEEEVAAVVSKSLEADLKPTEIAEFLAAKAKERGRTGFGSSPFSDAARDAGYLGYSGGKLDDVTVVVSIVRKSEV >Et_2B_020451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20289824:20295070:-1 gene:Et_2B_020451 transcript:Et_2B_020451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIECLILGSGQDVGKSCVVVTIGGKRVMFDCGMHMAYQDQRRYPDFSRILASTGAPDYTSAISCVVITHFHQDHIGALPFFTELCGYHGPIYMTYPTKALAPFMLEDNRKVMVDRRGEEEQYSYEDILKCMKKVTPIDLKQTVEVDKDLIIRAYYAGHVLGAAMIYAKVGDAAMVYTGDYNMTPDRHLGAAQIDRLKLDLLITESTYAKTIRDSRHAREREFLKAVHKCVSAGGKVLIPTFALGRAQELCILLDDYWERMNLKIPIYLSAGLTLQANMYYKMLIGWTSQKIKDSYTVHNPFDFKHVCHFERSYINNPGPCVLFATPGMIFGGFSLEVFKKWAPSEKNLVALPGYCSAGSIGHKLMSGKATGIDYKDTHIDVRCQIHQLSFSPHTDSKGIMDLIEFLSPKHVILVHGEKPSMAFLKERIESELGMPCYFPANHETVSIPATQNLKMSATERFITSYAAEQTKDRLQQGNLICGNSVSEVNGSEKLAEGILLMEKHKKPKILCEDELLEALGVERHSVQFEPMTSARIGAADDSEELQQADADDLESEENK >Et_9A_061271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4255419:4256502:1 gene:Et_9A_061271 transcript:Et_9A_061271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRIHHTSVVSIPTSATPLPLSAFDGVFVSLRPTLHVFLFKSPTPSSAFPTLANSLKLSLAQTLSSFYPFGGELTYLPSSSSVAVVFPENHARVTFTEAETDIDLKGLLEAVELDMEALGLLVPDIRRDTLPAPVMAVQITQVTGGVAVGVASHHAVADGRGLFHFMDCWMAVAVGSSNGSSNPSLLMPLHDRNLVRFDGDEEFNRAVLLHFAPYLPNSTTPKLDSAPESQPHRVVRQTFVFTAAALKHLKRCDTPCHWNSNQCKSNPKRNENSSNSKFKI >Et_7A_052815.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:17449072:17449329:-1 gene:Et_7A_052815 transcript:Et_7A_052815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATFATGSRAGDAAALGRMLSMRLEDGVAPELTVDLNLYRRVLAGREVSYSALNPNKAACLGSCPPPGGPYGRGCEKIYGCHGN >Et_4B_038959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6333586:6337662:-1 gene:Et_4B_038959 transcript:Et_4B_038959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDVTTRSKSQKTSAVQNEQSTPTNPPTAYPDWSQFQAYYNSAGAAPMTPPAFFHSPVAPSPQGHPYMWGPQMMPPYGTPPPYAAMYAQGTPYQQTSMPPGSHPYNPYPGLSPNGTVQTPKSGAGATETDKSSKTKRKTPLKRSKGSLGSLDVVTAKNNKSPAKPSASSSNEGSSQSESGSGSSSEGSSTNSKSGSRVKGGSEHGQSNDAKGTQGSAVEPTQPSSGPVVLNPMMPFWPVHPPMAGPATTVNMGGDYWGAPTSVPMHSKMIAAPTSAPSSNSRDVLSDPAIQDERELKKQKRKQSNRESARRSRLRKQAEWEEVANRADLLKQENSSLKEELKQLQEKVDSLTSENTSLHEKLKELEDEKSNGN >Et_2A_015464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13284938:13285886:-1 gene:Et_2A_015464 transcript:Et_2A_015464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIVWSPGMWSGLALRVSQGNALLLIDVCNVFSLIFIDIYALKYNWDLHRIDNAWKCVLGDWIFGLGAFSAASAAGGLDILMERDVHFCTTYPYLSCSGYRISVILTCMAWSFLATSAASSFFLLSSLF >Et_3B_031018.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:10205688:10206200:-1 gene:Et_3B_031018 transcript:Et_3B_031018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRTHFSGLPDDLVAGILCLLPPREVARVRLVCKRWRALTTDHHFVRASFSTRHASHDRHIAGFLFNHRQWSTTEYFPLDPEADEEAAAGDRLAPDLSFIPGTFPEVRGLGTMKVRGSCGGLLLLCYWPPYCPAIHYVCNPLTKKLVEISLPTDVSYYVILAFDPSKS >Et_5A_040197.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:21811525:21812412:1 gene:Et_5A_040197 transcript:Et_5A_040197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PPDDPARLINAAVVCKPWCHLISGRRFRHRYHEFHHKAPLLGFFYDDTQTVRFIPTSYFRPTHAKDRVVMDARHGRVLDTHVDVDFEVWDPFMDERTALPRPPLSTSWLKIWYAVVLCAANNCNHLDCSGGPILIVFMGSTGLDLNLNQYFVCIYSSEDCLWSEPIYYDVHPPFCTDIVASAFVGKEFYYAFPLSRNIFKYNLDTRQMYEICRPRPAEYRCVTLMTTGDGGIEVSTVKTCKIFLWSSEDGPGGIVRWAHTRVIELMNLLPTSALETSLFCGWGCRWCWCHFFVHQ >Et_4A_032182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22665757:22666860:-1 gene:Et_4A_032182 transcript:Et_4A_032182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLINRPKKKVSARWNASRALDAGGGPDHVVLGPDGVDRARPPRVEPVPGLPLPAPVQQADGAGVEPAAEAAVVVPAEAVLVPAVVDGGDASGEDEQERRQRAELVDAHPLLQLHPLLDPRRVPRSAPPGQVEHHDARVEVARLPGAAARERRRRQRRRRPETRREVGGEVGAAVLGRRHGGVGRQRGGGERGDVVGEHDVGVEVDDAGDAGGQRGRQVGPRVVERPVQRAADGGGDEPRHAGLVRERVHAERQRRERRADRVPGVRGGGDEVEEDGLRAGGVAQDGEHGGHGAAQVRRVQGHGHVHRRVRRARRVGVGGRERRGALRRRRVGEVRRLAETRQGGRGGRARGAED >Et_3A_026516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9039940:9043564:-1 gene:Et_3A_026516 transcript:Et_3A_026516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDSQLTAVAFSDSDSVSVEGAAGGDAAAADAADVQALRRLSDNLAAAFRRPDDFGFLADARLAAAPGAPELRVHRCVLCARSPFLRDFFARRSGGGGDDDKAVELRELVGEEVEVGSEALQLVIEYLYCGRVGDLPKSACVCVDDDGCAHVGCRPAVAFMAQVLFAACTFQVGELTSMFQRHLLDVIDKVEVDNLPLILSVANLCKKSCTKLLEKCLEMVVQSNLDMITLEKALPPDVIKQIVDTRLNLGLVSPEDKGFPNIHVRRIHRALDSDDVELVRMLLKEGKTNLDDAYALHYAVEHCDSKITTELLDLALADVNHRNPRGYTVLHIAAMRREPKLIVSLLTKGARASDLTFDYRKAVQISKRLTKYGDYCGLTEDGQPSPKDKLCIEILEQAERRDPHLGEASVSLALAGDSLRGKLLYLENRVALARIMFPREARVAMDIAQVDGTLEFTLGSGANPPSDIHLNDLNDTPFIMKEEHLARMRALSKTVELGKRFFPRCSKVLDKIMDDETDLVSLGRDTSIEKKRRFNDLQDVLQKAFSEDKEEFDRSNRSSSSSTTMGAVRPKR >Et_8B_059634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20069872:20071453:1 gene:Et_8B_059634 transcript:Et_8B_059634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLDEYEKLVIRMNTPRVVIDNAVCPTATLVQVDSARKRGVLLEAVQVLADLDLSIKKAYISSDGRWFMDVFHVTDRLGRKLTDDSVITYIQQSLGTWNEPERPAALEEGLTALELTGADRTGLLSEVFAVLADMRCSVVEARAWTHRGRLGCIAFLRGDDDRTARILDRLGHLLRGDAGAVAAVPAAAVGHADRRLHQLMAADLDHDRAFPTPAVSVESWAERGYSVVTVQCRDRPKLLFDVVCTLSDMDYVVFHGTVDTTGDQARQEFYIRHADGSPIRSEAESQRVSQCLQAAIERRSLEGVRLELCTPDRPGLLSDVTRTFRENGLLVAQAEVSTKGDEAANVFYVTDAAGNAVDQSAIDAVRERVGMDCLVVKEEPQPQLFQKAGPGDRDGVGGMGLVYLGNLVKRNLYNLGLIKSCS >Et_2A_015768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17753246:17754102:1 gene:Et_2A_015768 transcript:Et_2A_015768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLLRRALSLSAAAHATPRFAAAFASSSSAAVAPLRSPLDERLLRLLRSEISYIAERRPPYPPPSSFKSFTVEDRPGEQWVRLRAARAGAEEVKVEATMFDGAAEPPPEDAPLFQRVESLERGPRLHLSLIVEVTRGNRVLGFICSAWPDELAVGHVLTLRESGSVGSGGRDFVKLESAEREAVTKFLKEREVDEELAGFLHEYMANKEKMELFRWLKTIETFVEK >Et_2B_019713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12922837:12925140:-1 gene:Et_2B_019713 transcript:Et_2B_019713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSFSDLVRWWEESQLRFLVLCSLFLQYFLFIASFLRKYNIPSWLRFSTWLAYIGGDAIAIYALATLFNRHKQGCASQINKHTQEWTVSSIEVVWAPTLLMHLGGQDGMTAYNIEDNELWMRHVLTAFSQVTVAMYVFWKSWAWWPNKEATLWKTTLLVFVPGILKCFEKPWALKNASITSLTAMSKTIENDGGINSLDEFVKAASVWQGPLLLENDIDRKPYALFVDIIYSYDDRLRNLIYMLRNNIDREEVYDLVQSGLSSAFDRLYTKERVFKYKRNWRGPSRLVVNRARLGSGLRAIVAYMSLQAIKVFGTGNYRSYNNNDVMVTYILMCGTTALEYLIANVKPFLECCGIIFKLPWPNQVPQYNLIGYMARNRRHRVLRKLASLLGCKGTLDRLWSMKSCMSSRDITGLVYDHVRQGWEQHILDRDTYISFNNSRGQASRLWRDIRSF >Et_3B_030510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4489356:4490100:1 gene:Et_3B_030510 transcript:Et_3B_030510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLGALVPDEFLTLVLAYTCGSKGFHPCGILDRRPNSKFGSCESVRYAKRRAHEAACPHAPYACPLGGGSGCSYRGLLLYQHLVDDHADAVTHLRTTSVTVRKREPFRVLVQPGTSRVYLLLNGGDVLGGQSLSLVCLGLRQEGDGVEISYKIYIWRCAAASRARSRWRAPRRPCVRNLE >Et_3B_031052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12267682:12268185:1 gene:Et_3B_031052 transcript:Et_3B_031052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILTMALANFFSHDAANFALARTILPVSGEDSSASSDANSTLRASTACVVTTSCATVTSLLLPATTLAGHRRLSRFASDAAMLDAVTEEQWERPMECAPESTTTSSALRPLAPNMETSVERLEDGPGRNMVVSLARDLRPSRRPAGMWKLIFPLLRR >Et_7B_054768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4179361:4180647:-1 gene:Et_7B_054768 transcript:Et_7B_054768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGRTTMASPPSQTYAPAPAAAPRPWGDVPDRVLVRISGFLPCLVDRVHMACVNRHWHTAVTGLQEPPPPLPWLIFPNTETPTFYSALGRHYHRLGRLPPDVRRARFCGSSDGGWLLLALNSRHAYALYNLYSSQRVPLPPEFMSPKGAVFPLVVRAATLSNPPFQNQFMVAAIVLVAGRSTAAFWTMGRDRWFSWGLLDVTPQDLIFFEGGFFFITADEGVVTFYPVESTAGEVTVARLDYDMQQREDYDTDVGFIGGLGVMRRYLVESRGRLLMVVRYIYHGTGTATIRVFNLHVTAPMTNTQLPRATWEHVGDELDGRMLFLGPGCSRSFEVAQYDEFQDQESMIFFLDESFDSVPSADGRRLYSFTDMDRYSMEEMTSVPWPPGDRPTRSDNAPPTWWFN >Et_3B_030758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7010648:7011997:1 gene:Et_3B_030758 transcript:Et_3B_030758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWDDHQSRCIGEFAFRSDVRAVKLGREYIVIVLERKIYVYNFMDLKLLHQIDTISNPKGLCCLSHHSNTSVLACPGVHQGHVRVEHFGLKVTKMITAHDSHISCMALTMDGLLLATASMKGTLIRIFNTMDGSRLQEVRRGLDKAEIYSIALSPNVQWLAVSSDKGTVHIFSLRVRVAGEDASSNEQRTLEGPRMDHQNSSASIDPLIQTNTGANASSSLSFMKGILPKYFSSEWSFAQFHLPEVTRYIVAFGAQNTVMMVGLDG >Et_3B_027541.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26549974:26550354:-1 gene:Et_3B_027541 transcript:Et_3B_027541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GYQSNPSAHGLCLSFNHVCSHQACAEKDCYDEKVFVIRDCTDFLRKYGSTLHPSLVCKMTVEESDLACICCILTDHDEETISAEKLVLLARLEGKVLEAGTKCGSKCLIQFHLKAILAQRAKLLMT >Et_8B_058658.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:14245061:14245831:1 gene:Et_8B_058658 transcript:Et_8B_058658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFSEETLAALKDRARRELLAAGDAAVTRFQALASLIWRSVTRARGLSPEQETSCRAAVNNRGRLRPPLPAEYFGNCVDGARARGGAAAGPRRARARGGGARGRGRPGARGGVGGAAGGVLDADGRRGRRDGVRLAAVRRVRMRLRVGQADGRAERKGRQVRREGVALPGPGRRRRRRGGPDARAHGGAGAGRGALGGRVARRSRACEERVKLLLLVVVAPLPSSTGLSATGSRRWRRQGCVVCLIAIERQQKAD >Et_1A_008515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8367108:8377770:1 gene:Et_1A_008515 transcript:Et_1A_008515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKPEPLRAAAEAVQVRAAAVMLVPFPAQGHVSPMLLLGRALAARGVEATVAVPDFIHRRIVGDSQDGPGVDLASIPSGVPDDDGNGEPPGFAGFAHAMEHHMPARLEEMLTRRASTGRGVACLVVDVLASWAVPVAERCGVPVVGFWPAMLATYRALAAIPELTAKGLISDCGIPVSTKPLANEKVNGDHLSVDRFNILPAELQLSTSELPRIASDAAYQKSRFTAWRQIMVRAKSLQTVLINSFPGEDVDELQQSRDRRILQIGPLLTNGTFDRAAKDGLHESPLKKPSMRKSDETCMDWLDRQEAGSVIYVSFGSWVASIGRDAICELALGLEATGLPFLWSLKDEPSWREGLPDGFAEAVAGRGKIVPWAPQEDVLKHKAVGCFLTHCGWNSTLEAIQHGVRLLCYPVAGDQFINCAYIVKMWKIGIKLGSTKRSVVEDCVKMIMEREDGKRLQEKVDELRERPEPVPVLPAAVVLVPFPAQGHVSPMLLLARALAARGVEATVAVPDFVHRRIVGASSQDDGDGVELASIPSGVPDDGSGEPPGFAGFAHAMEHHMPARLEEMLTQRASTGGRGVACLVVDVLASWAVPVAERCGVPAVGFWTAMLATYRVVAAIPELIAKGLISDCGIPISTRPMANGEAEVNGDHLIADSISILPAELELSTSDLPWLVGDAACQKSRFTLWRQIMARAKTLHPIIVNSFPGEDVGELEHPQDLRILRVGPLPNNGIFDHTAKERLHELPLKKPSMWKSDETCMDWLDRQDVGSVIYVSFGSWVSSIGQDAIRELALGLKATGMPFLWTLKDEPSWRGGLPDGFAETVAGRGKIVPWAPQQDVLKHSAVGCYLTHCGWNSTTEAIQHGVRLLCYPVSGDQFINCKYIVKMWGIGIRLSSTERSVVEDCVKRIMEGEDGKRLQEKVDELRERVMKGKSRRTAKMNLESFRAIIFVPFPAQGHITPMLHLARALAARGDVTATVAVPDFVHRRMGLVDGVSLAAIPSGVPDDGGDEPPGFASIVHAMEHHMPVHLEDILTTTQQGGVACLVVDVLASWALPVAARCGVPAVGFWPVMFASYRVVAAIPELLSKGFISKSGTPTYINYCPSLPWHEGTPLSTEQSNDDEVNERNMIRDLHILPTILELANKDLPWLGGGRASQQSRFAFWLRTMDRARSLRSILVNSFPGEGAGDSDRYDPPPGQQILHVGPLFNNHLLDRPKDIDSPSPCLPCKTTRRLQPPYSSCTDWLDRQSPGSVIYVSFGSWVQAIGPDKIAEFALGLAAAGRPFLWVLKDHPSWRAGLPAGFVDTVVSRGKIVPWAPQEDVLKHESVGCFITHCGWNSALEAIRYGVRMICYPISGDQFINSAYIVRMWEVGIPMEATDRSGVKDCIERVMEGEEGRHLQKKVNELRETVMAGEVRYIANRNLDLFVDEIKKDDTEI >Et_10B_002984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1514751:1527410:-1 gene:Et_10B_002984 transcript:Et_10B_002984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGILLGPSALGRSSTKFLHTVFPPESMTVLDTLANLGLLFCLFLVGLELDLSSIRRTGRKALAISLSGIALPFALGIGTSFAFRATIVKDAPRAPFLVFMGVALSITAFPVLARILTELKLLTTDLGRTALSAAAVDDVMAWILLALAVALSGTDSSPIVSLWVLLTAAGFVAAAFVLLRPALAWMARRCREGEPVREAYVCATLALVLAAGFLTDAIGIHALFGGFVVGVVVPKDGPFAGMLIEKVEDLVSGLFLPLYFVSSGLKTNVATISGAKSWGLLVLVVANACIGKIGGAVATALLVKIPVREAVTLGFLMNTKGLVELVVLNIGRDRKVLNDEAFAIMVLMALFTTFITTPIVMAIYKPARPSAPYKRRTVECELRVLACFHSSRNIPTLLNLVEASRGTGRRRLAMYAMHLVELSERSSAITMVQRARRNGMPFFNSSAGDREEGQNVVVAFEAFERLSAERVRAMTAISDLDTIHRDIIDSAVAKRAALVVLPYHRALNHDGSFTSLGSAYRAVNRRVLREAPCSAAVLVDRGLGGHAQVPAKSVAFSVAALFFGGPDDREALAFASRMAEHPGVAVTLARFRPNLLLHHSHSGDDSERDADEAAVEAFKANHVGGAVKDGSVHFEEREACTKEEVVETIESMSRSSVFVVGRMPAAAPALAEDGANDELGPVGSYLASPAFRTSASVLVIKRYDPATNPKSKRFDPKAGPPPATEEEEQQLDEEMGGGGSAATSQGAYQGENPLDYALPLAILQICLVVVVTRGLAYLLRPLRQPRVIAEIIGGILLGPSALGRSSKFLHTVFPPQSMTVLDTLSNLGLLFFLFLVGLELDISTIRRTGKKALAIAIAGISVPFALGIGTSFAFRATIVKGAPQGPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAIALSGSGSPIISLWVLLTAAGFVIAICLFLRPVLAWMARQSPEGEPVKEVYICATLSIVLAAGFVTDTIGIHALFGAFMVGIVMPKDGPFAGVLIEKVEDLISGLFLPLYFVSSGLKTNVATIKGAKSWGLLVLVIANACLGKIGGTIITSLFVKIPIREAVTLGFLMNTKGLVELIVLNIGRDRHVLNDESFAILVLMALFTTFITTPIVMAIYKPARPSVPYKRRTVECTPGDADSELRVLACFHSNRHIPTLLNLVEASRGTGRRRLTMYAMHLVELSERSSAITLVQRARRDGMPFFNSKEQRAEQMVVAFEAFQQLSAVKVRPMTAISDLDTIHRDVIDSAADKRAAIVIMPYHKTLQHDGSFHSLGSVYHAINKRVLREAPCSVAILVDRGLGGHAQVSAKNVSFSVAALFFGGPDDREALAYATRMAEHPGVAVTLARFQPNRPQSDEQNHADEEAVQAFKAKVGAVKDGSVHFEEREAYTKEEVLETIESLSSFNVFVVGRMPPTAPLVENADELGPVGSFLVSPAFKTSASVLVVKRYDPATNPKSKRFDPEARPPIATEEDVLDEETGSATVVPVSRSP >Et_2A_014861.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1923363:1923614:-1 gene:Et_2A_014861 transcript:Et_2A_014861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSYSVNYAYYEDRHLFIKCYFARNCWQTFLHTRPLQLFEWLKRRLGVHFFAHIIILICWSIWVTRNNWMSIGQGKGTFIS >Et_10B_003376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19260010:19264523:1 gene:Et_10B_003376 transcript:Et_10B_003376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSLRTLRRLPFTGPAASDAVANHSFWSSHVEVIAYWCNKHNTRDFSTSNKVTSGRLYQQKELKSTLPVKDDDSIINRIEESARGFKQGPPGKNLSSTEKRKFLVNTLLNLEDSKEVIYNTLDAWVAFEQDFPLASLKQALTALEKEEQWHRIVQVIKWMLSKGQGTTMRTYEQLVCALEKDNRAEEAHRIWQKKIAHDLQPVPWRFCHLMLGIYYRNNMLDRLVKLFKELEACGRRPPSKDIIRKVEDAYEMLGLQEEKKTLLDKYKVIAASKAWLKLLKISRITLARQKRNRLPPYSQDKMQSSIGIGVIAVCSLDGYSHNETSISGICHYRL >Et_3A_026234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5881296:5891925:1 gene:Et_3A_026234 transcript:Et_3A_026234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGSSGRGETPLIRRSRGLFLCGEFLKILRKILHSICCLFLLTLQLLDGINWIAQTSALNVVTPAMSLSQSCMPVHSMASRAKTVIDISVQPVHQQRTKKLHSSTVVGSSISPPISARSYNSIPGTLDLAFNSSDQSLYLVEHSRHLATTVPTNVNAAPPSASLNSSRIDFGLLKPPEESPLKGCCTPNMVQKRGTRDCHCVYPVKIELFLRNVSLTSNWSNEFLDDLAPQLNLRVTQFEIISFAVVRGSGLNINITMDIAPHTGVSFSADEVANMNYSLNSHTVRINPVLVGDYNLLNLTWFGPFAPAPAPAFTISPKASPSTTSSSLRPKGAPSNDKRPSRITVMIICAAALIGFLLVILAVCYCILGKARKMVSPVETLSGLLRACFFVVLRLFWKRSFTLQRNNRETKENIELILSRYGIRPKRYKYNELKMMTRSFSKKLGGGGYGMVFKGVLRDGRIVAVKLLHNSRGDGEEFVNEVVSIVNTSHINIVCLLGFCLEGSRRGLVYEYMTNGSLERYIYSENPKSALGWEQLYEIAIGIARGLEYLHKGCNRRIIHFDIKPHNILLDENYSPKIADFGLAKLCNPKESIVSMAGARGTIGFIAPEVFSRSFGVVSTKSDIYSFGMMLLEMVGGRRNVQTNAENSSQVYLPQWLHDHLSHGGRLENFEVTSKTEEIARKMAMIGLWCIQMMPEARPSITKVIEMLERSVTEIEVPPMQFFSCPSEPSIHSSTINTTSGEDNSSQVYLPQWLHGHLSHGGTLDNIEVTSTTEEIARKMAMIGLWCIQMMPGSSPFYHKEMLERSVTELEIPLMQFFPCPSEPSVHSINTTSGDVQD >Et_3B_031595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5668809:5671980:-1 gene:Et_3B_031595 transcript:Et_3B_031595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFPAVLPFITVLVLDLVFRNVDTEQLDDTALDCTRISRRFTLLFVHLPVSDEQLPLRPIRRAREDDGVAGAEALLPDESAPSLGEHVALAAVPVRVPEPPHLPLAVLEVEEHALAGGRRRRRLRRAAPEREVLLGVVFGHDEAVQLADVARRDGLLEQPLPRPRRRRLRAAAAAAAAQALAQLVGVGVLAQHVDDLGPRVRGKERELAVGRALRREVHGGVAAAVPERRNVVGARGGDLVGERAEPHPRVAVICAPHRPFGCGTTPLYLDVVEEDWRGGAAAISPALDSWEESAMASKNNIVPVSVVLMDVDGAADCDDRPYIGVE >Et_2A_015388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11944234:11948744:1 gene:Et_2A_015388 transcript:Et_2A_015388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQYNRPPDSSDTDDDFPPTYSNSRGVRGSGRGTGNGRSTAAANSYPREQTDMEAQIHHLEQEAYCSVLRAFKAQSDAITWEKEGLITELRKELRVSDKAHRELLNRVNNDDIIRSIREWRSTGGLQATLSNNPQPLHGTVPSPTTSARKRQKTAQPIPALPGPPSALHSQQLAATTQPSSSAARKGVPPGPKVKKTKPGQKLPTGSTPKSMLPSAGTSGRGPSMNRNFPGGPPEHSQAQSVNPLVGRKLMSRWPEDNSFYEAVITDYSEERDLYALVYDMNTANETWEWVDLKEMGPEDIRWQEDDSGIDPMAYLRSRAALGSGVRKPNRSGPMSGPGRGRGFQKNASKIDFPSPQNGVGKRSSDDIDILHTESLIKEVEKVFSVSNPDPLEVEKAKKALKEQEQSLIDAIARLAEASDGESDGHNRGRRNALHAQANYNDSMPIDGDQADAM >Et_1A_007743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37810887:37814347:1 gene:Et_1A_007743 transcript:Et_1A_007743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPRLLLLLLAVAGAAALAHGRPIPADDDGRGGLLAGSGGTVLRLPSEAAPVERCEKTYGFLPCTETVGGNLFLILAYGFLMFKAATYLSAGSELLLEIVGPGVVGGLLLPVLGSLPDAMLVLVSGLAGTNKVAQSQVLIGMGLLAGSTVMLLTILWGSCVVVGKCDLSENSTAIDSRDTKRFSLFGSGVSTDLQTSYASRIMAISVLPFIIVQIPEILNLHSGQHLTVLLGLITAALLLLAYCLYQIYQPWIQRRRLEYARHKHVMSGLLRHVQTNAFGRIFHDDGTPNIPVIEKLFHKIDLDNDGRIERGELKAFIVGVNFEDVDSDSNQAVDQIMADFDRSQDSSIEKEEFINGISRWLEEARRSVHDSGAHSKKLLNDFHQRTTEQSELPDKDDEGDEAVENSTWTWVKAILLLVLGTGMAAAFADPLVDTVHNFSSATNIPSFFISFIAMPLATNSSEAVSAIIFSSRKKQRTSSLTFSEIYGSVTMNNTLCLTVFLGLVYVRGLTWDFSSEVFIIILICMIMGLFTSFRTTFPLWTSFVAFLMYPLSLIVVYVLDFKFGWS >Et_9A_063165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1340691:1346620:1 gene:Et_9A_063165 transcript:Et_9A_063165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAQKQITLLGVLLLANTLTGGCFPSDGEKTTHIWLPGDGNKYYGMEATLDVYGFALESNQVSEAGIWIINRGDGQPSSMNGIMAGWHSILYFCNVRVPGLIRTARTWHMRCPGFQKTSTSITPDAITRLTAIHRFVEFGSIVGWSRGPQSLWRNARGHRRVKVVDKGVLATIPMAEADEVWEPRRGVGARATGVASLSRPRPAAPLDCWTTAERVSGHTVIDIDADEPVAKVAAPVPYQLSFADLSYGVKKGGALACLPMCVSNRLAPSSDASPPSGNTKTLLDGISGECREGELFAVMGASGSGKSTLLDALAGRIARDSLRGGVTLNGEPLHGRRLRAISAYVMQDDLLYPMLTVSETLQYAAEFRLPRALSPETKRARVDALIDQLGLARAADAIIGDEAHRGVFGGERRRVSIGTDIIHDPILLSLDEPTSDLRPGLRERVHGGAGAPPHRAERECRYHDDSPAQREDPWHPRPPPPPFSRTYRLRKPFFSEFSASIPDNENPAEFALDTIRELERQPDGAAALADFNKKWHIAFADKDKKLIIRAMMPLEMAIAETESVACTTFFAVGLAGGGASFAFYVAIVPASFWAGSGFVTFFSAVVPQVMLGYTVVVAMLAYFLLFSGFFITRDRIPSYWTWFHYLSLVKYPYQAVLQNEFGGGAPRCFSRGVQMFDGSPIGSLPEAVKLRVLGAISSVLGSNITAETCVATGADILAQQAVMDIGKCTCLLITVAWGFFFRFLFYVVLLVGSKNKRH >Et_10B_002637.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19766740:19767237:-1 gene:Et_10B_002637 transcript:Et_10B_002637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPERTGSNETRTLMELDGKLTVTAMQGLTSLDIWVLKDYDRADESWVLCHRVELPPLPQPTTSTRAGQVLPAGRGAILIGDVYDGVVSLCLVNDNRVHTEIDLGDQIASFLVFTQSLVPHAFFRSPRCPDLLPINFNASPTTRRSNVLYARNFRMPTWYTWY >Et_1B_013681.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11939665:11940108:-1 gene:Et_1B_013681 transcript:Et_1B_013681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHQRGERELQLLLLPTTTPRGAAFSAGATRPPPASPSSEHPQLDLTLSISIRPPAPEAVQAEEVQAVKRQAAEQARMASAERAYAERVMELARRELELAEREFARARAIWERARGDVEKVERVKAAAAALEITCHACMHRFHHAC >Et_5A_041392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21961692:21964374:1 gene:Et_5A_041392 transcript:Et_5A_041392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISPSIERNWYKPNHARTDSSPLASSLHRVKKTMPPPRPRTPPPLMDELVEEILLRLPPDKPASLVRAALVSKRWCRLVSDAGFRRRFREFHRTPPILGYLWDLEIIDRITRFLPAPSSCLSLADHREMRLWDARHGCVLLQVCPKYSCCFKYSGFIVWDPITDVQIKLPDAPSLKHVESWAVAVCCAAGRACDHLHCRGGPFMVVVVGTRREKFFSYEPAGELTTTEDGCLGYIDVLGYEVSLWSRRRTNEFYQWELNKVIDLEKLPLHGIKSTEPHLIGVAEGLDVIFLAKSDKLIAVDLKSEKTTMVNGGFRDHPGVVVLPYVNFYTPATELRTTESRSIWPHQQRRSSRLHGLS >Et_4B_038913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:664429:666395:1 gene:Et_4B_038913 transcript:Et_4B_038913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLDGAYKCRVGALISPVPILTSLAASRSSPPRSSALCLRIGVRTGMLPAVRYLLGSAGASGFGSKSTAEEVCPELGSSAPVTAIITGATSGIGAETARVLAKRGARVVIPARSLKAAEDMRARIRHECPAADVLVLPLDLSSLASVRAFAQRFLDLGLPLHLLINNAGKFSHGQLALSEDGVEMTFATNYLGHFLLTKLLLGRMAETAASGVQGRIVNVSSSVHGWFAGDWAEYLHLVTRRKIAYDATQAYAVSKLANVLHTRALAARLQEMGANVTANCVHPGIVRTRLNRDRDGLLTDLVFLLLSKLLKTIPQAAATTCYVAAHPRVAGVTARYFADCNEALPSPAATNAHEAQRLWQMSEAMIDGKTDHEQLLLFPAQSQAGAPDHQT >Et_4A_031835.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21038266:21039600:1 gene:Et_4A_031835 transcript:Et_4A_031835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVGAFWYLLSIERVSDCWRNACNQFPGCRLSYMYCGNDDALSFLEWRTITRQMINETCMIPKNKREGPYPFNYGIYTSAVVSNVIDRDQNNLSKLLFCLWWGLANLSTLGQGLKTSIYTGEALFSIALATFGLILMAMLIGNIQTYLQSLTVRLEEMRVKRRDSEQWMHHRVLPQELRERVRRYDQYKWLNTHGVDEEQLVQNLPKDLRRDIKRHLCLGLVRRVPLFANMDERLLDAICERLKPSLCTEHIYITREGDPVDQMVFIIRGTLESITTDGGRTGFYNRSLLEEGDFCGEELLTWALDPKAGSSLPSSTRTV >Et_8A_057537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4061686:4066778:-1 gene:Et_8A_057537 transcript:Et_8A_057537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRGGVRDGRSTQSSDTSEKSSSSNQPEIPHATTGARALRPNELDSSVSIYSKQIRGEGPSDGPDGSQAKKKRLHRFTSHQSEILEGFFSTVEHPNENERQQLSETTGLSENQVKFWFQNKRTQVKCLKGKEENYRLKAENAILKDENKRLKQAKMTISCPSCSGSSTKPPILQEIERLKSENGWIQQELARLNSGLPMNSNAPRHVFQHGSSSGNVVELQDEQMLAKIARVAVQKFASLASSSSPLWLSVSGDSLETLNKMAYVQAIPWQNSVMGLKIETTRANAVVMMDSQNVVGFLMDAESYGTYFPGIMFGGTATKVYNWPSDRNAGYDGAMELLTAEVVFPSPLIPARKCTFLRCCKKLENGATAVIDIAVQNIAGNFLKYRKVASGLLIQPIKPDSCKVTAIEHVRVDDAGIHDLFKPCLSALLFGARRWVVSMARQCARIRDVYHVTNSPMGAGTKWRQIILKMADTLLANYSGGIAGIPAEAWTIQCGKGMVEDVKVAYRRNDDGSNTAVVCASASFLLPVPMRRAFDLLKNNLLRVKWDVLMEGGSVKEEVHVANGVGSDDPISILHVKHGKAGDTKMILQNSSYDVSGSFLVYSSLDDQLIDKIMTPGGDQEMDNVRLYPAGFFLVPIPYAAQASAVIGEAGSTVMTAGFHMPMKLARGTGLCPRQVSSAIRIMSDRIENVKDMLVNCHPIFYRVEE >Et_2A_014803.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:16152667:16152798:-1 gene:Et_2A_014803 transcript:Et_2A_014803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSSHHTVHAFLRQIQKFWWISGSQAEHHSEIIGTGRNPRA >Et_8B_060533.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20024741:20025037:-1 gene:Et_8B_060533 transcript:Et_8B_060533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLGHLMTRLHLARSRPSPAAADVPRGHMAVYVGERRKRFVVPTACLNHPTFVTLLKRVEDEFGFDHRCGGLTIPCASEGDFADIVGGMDMHHHHH >Et_6A_046833.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21185132:21187136:1 gene:Et_6A_046833 transcript:Et_6A_046833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMLAGSKPARRHALKKVECVWSAAYTVRREIAPPLRRTAASRAATRVTSIASLVVPRMTPPPVPAAPSPPALRFVKVGGRRRSWASQSSASTSISVAAGLACHVKPTVFMAEAMSSPAMAAALLVAGKKAKKFGLAQWVIPGTTASRSSFMVTSNGAEEVGGAAWSMRSR >Et_8A_056438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1035749:1038280:-1 gene:Et_8A_056438 transcript:Et_8A_056438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRRPMGVVLAWVRRQPPKVKAFLAVVAGMAALVFIRFIVHDHDNLFVAAEAAHALGIGVLIYKLTKEKTCAGLSLKTQDLTALFLAVRLYCSFVMEYDIHTVLDTATLAATLFVIYMIRFKLRSTYMLDKDNFNLLYVVVPCAALALLIHPSTSHNIVNRICWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >Et_7B_054371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20496475:20506313:1 gene:Et_7B_054371 transcript:Et_7B_054371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTLFQQVAATLKKILANRRMHAGPCLRRQSPVPSHLSANVICSSLCLFWLVTSRSCNAAREAHQIPLVAFRYIDLQRATKIFSEKLGGGGFDILSDSTTIAVKTLDGTRQGEKQFRAEVSTVGMIQHVNLVKLIGFCSEGDRRMLVYEHMLNRIAKGWSYLHESCQNCIIHCDLKTENILLDELFVPKIADFGMAKLVGRDFSRVLTTMRGTIGNCGDDAVFFPVHAAHKLHERGLFTLVDQQLHAEINMEEVQRACKVACWCIQDNEFDRPTMGDVVQVLMGLVGLHMPPMPRLLKKILGSSAVTEINHECKTSYLGKTSYLGY >Et_6A_047210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26820235:26821008:-1 gene:Et_6A_047210 transcript:Et_6A_047210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVKFTHKTISNIDTALSFHYNFSIPGDAADLTYLNDSYSARDRIELTTNASYSVGRVAYRQPVRLWDSLTGKVANFTTSFVFAIAGNQNNSRGDGMAFFVGPFPPSVPPESFNQFLGLFSDPEKTTKPSPPTVAVEFDTWRNPNLDPPGAGADHLGIDVNSVRSISTKDLPNLGLYGTMWADIAYDAGSKLMTVTLRLADGSTFRDQATVDLKAARLPQDAAIGFSASTGYFSESHQLLSLGPSTPRA >Et_5B_045061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9033850:9041695:1 gene:Et_5B_045061 transcript:Et_5B_045061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSASMAAAIDARFSNRDLIGRGSFGDVYKGFDKELGKEVAIKVIDLEEAEDDIEDIQKEISVLSQCRCPYITDYYGSYLHQTKLWIVMEYMAGGSVADLLQAGPPLDEMSIACILRDLLHAVEYLHSEGKIHRDIKAANILLTESGDVKVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFMIPRENPPQLDEHFSKPMKEFVSLCLRKNPAERPSAKELLKHHFIKNARKTPKLLERIRERPKFAVKNSMDATQNGRTNIEEDDVGTGTIKVDRAKDTSRTLSPGTVRKAAGRDFPDRSEGTGTVRVGSRPPQISSTKDGRFDAPHSPNTPTRTADRENQWRTSWTGSDESLASSLSREAHTERGRLESTEDIDQSVSGSGTVVLRSPRASQLQSAAMNHSSKPPSRFSSYEDTSSSGTVVRSQSEEPETPRSSRSRLGIQEKASSASLEDSAINLAEAKAALQAGFRKGNARERPVINRHEKESHEHRVSGVKSQEVQSENVDMQKSRRTHQLPDGQPASRASSSVASPAISSLIIPCLKEATDDKFERPVVHAFLGSLMDLEHELPGSCEIPVPCSSKDSSLQGLQETAASVFTKKYETPLEPASNKKSANTPPLAAPTVSPLARFLLTRWQNQVSQDLNSV >Et_1B_010481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1101179:1104226:1 gene:Et_1B_010481 transcript:Et_1B_010481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGGGGLNRSTSRGQLPPQELLDDLCSRFLLNVPKEELESFERILFLLEQAHWFYEDNSVENNPNLKSLSFRDFTSLMFKSCTALRPYIAHLDDIYKDFNKYKFRVPVSGAIILDDTYERCLLVKGWKAGASWSFPRGKRNKDEEDHTCAVREVLEETGCDVSTLLNRNDFIEVSIGQQRVRLYIITGVKRDTMFAPQTKKEISEISWHKIDELFPASEDAVSRGVNGLKLYMVAPFLTGLKAWIATHPPLLHQKSEASARGSDVQHIDNRPGRSFRNFRFDTASIMQSMEASFSST >Et_8B_059709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20973788:20980334:1 gene:Et_8B_059709 transcript:Et_8B_059709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGEVVASVTSALAVVLVLLACVELGDAAAAVGVYRLIQYDFAGAPLGSRAAALNHHAAAFPLPAGADLSRSALVAPLLDLPLSFLREYLAEKKHLGGLLILLPAKLGGENGAGDNDDKGKVKSALAELEKLLVHEEVPYPVYFAFHDDSFDNLLSDIRKIASSGQPASATTGGYKLVVSSAEPRKVSSPTISNIQGWLPGLKGEGDTEQLPTIAIVANYDTFGAAPALSVGSDSNGSGVVALLEIARLFSRLYSNPKTRGKYNLLFGLTSGGPYNYNGTNKWLRSFDQRVRESIDYAICLNSVGSWSNDLWMHVSKPPENPYIKQIFEDFSDVSKEMDVSVGIKHKKINVSNPRVAWEHEQFSRFRVTALTLSEMSAPPEFLESTGGLHDTRESADVESVIRTVRLVSESLARHIYGLKGKNIDVFAENSSLAINPHYIQSWLDLLSRTPRVAPFLEKNDPFIAAMKKELSEHATDVHVQNDALDGMFTFYDATKATLNVYQVASVTFDLLFLLVLGSYLIVLFSFLVITTRGVDDLINIFRRPPSRKVKGA >Et_3A_025955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34258023:34265016:1 gene:Et_3A_025955 transcript:Et_3A_025955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERWRKQLSYSSPCVWIRQHIPPENRASKPETKQTPQPLLSSVAAPASFFRPRRDAALLRSPPPQAAAAAVARRLSDSATSFTRDTSLLRPLPGLDLPPALPDLLGRSPTLITTLPNGVRVASEDVPGPSACIGVFVDSGSIYESGVTRGVSHLLEKMAFKDTAHRSHLQIVQELELAGGNVGASAAREQMVYSYDTLKAYMPEAVEILLDCVMNPLFHHDEVERQLVLALEEVQQLQKNPERFLMETLNLVGYSGALANPLIAPEEAIATINSSIIKKFYHENFTADRVILAASGVEHQELLDFSVHLLSDWHKGSPVEKPKSTYVGGDCRHKAESDMTHVALAFEVPGGWLEERDATVMTVVQTLMGGGGSFSSGGPGKGMHSRLYLRVLNEYHSIHSFSAFSNVYDNTGLFGIYLATPSDFVAKAVDVAISELIAIATPGEVTEVELKRAKNSTISSVLMNLESRVIVAEDIGRQLLTYGCRKPIDYFLQCMDEMTVDDITAFARKILSSKPTMASWGDVDKVPPYDFVCKRLQYRSSLQMEEILLSALEIAKILSDETNYLKGDEAVVAEVEALQVLEAAQARHLQTHRTVQTMSNRAEHYTYLERLEWEGGSPLTSERARWERLRTVAPLPSEPASSAAFSAVSRAMGSSPSSAAAAAAG >Et_10B_003517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2387226:2387837:-1 gene:Et_10B_003517 transcript:Et_10B_003517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLNPEQISEFREAFSFFDKDGDGCITVEELATVMGSLQGQRPSAEELREMIRDADADGNGTIEFAEFLSLMARKSAKDGADAADADEELREAFKVFDKDQNGYISATELRHVMINLGEKLTDEEVEQMIREADLDGDGQVNFDEFVRMMMLSDGHAHAPVPPATHQHQ >Et_1A_005043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23188191:23188646:1 gene:Et_1A_005043 transcript:Et_1A_005043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKINVDATVSKNSGVATAAAIARNGAGVFLSASVLVISDLRDPETMEAIACRKGLALAADLTLRRIRLATDCLSAVRAIHGNGLVIAKGSVYRSLGRHVWFLEPQGICNSVPQVNE >Et_7B_054374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20542737:20543033:1 gene:Et_7B_054374 transcript:Et_7B_054374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCWLRIGCDSLTRVESDCANLIDALAKTYLHKSEQGRNGSTRHSCCLLRVTCYLRLPEVVFSCVNTEANQVHYLTPKAVRNKEFVS >Et_1B_012381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31329895:31332014:-1 gene:Et_1B_012381 transcript:Et_1B_012381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEAVNNLNISEGAAAAGAGADGHKKNRIQVSNTKKPLFFYVNLAKRYMQLHDEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDVKDDNRNRPIQKAKIEIVLGKTDKFDELMAAAAEDREAAAEAEEQS >Et_3A_025599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31060233:31061364:-1 gene:Et_3A_025599 transcript:Et_3A_025599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGEGRSTLSWAGLASRPNNAPPAEERNGRQARPNGRTGSSLIRRPYRLPSRHSLNHAASTHTHAVMHHTASIMWSAAAAARAVSSPLPAPYLLSGRRGGAPRRGRATVVAPRSSLLDFVGGDLVKPDLGKWQDDVEEHKALAIYPPHEGGYEGRYLGRLKRNGYYFLDLTARGLGDPETTLTKIHPVCPPSLGRQPVARWYFPPEVDYRLSLLHPDAKGLVVWVIEGKVLSKAELQFLAILPDLRPKVRVVVECGNWRNFVWKPLKQIAGLEPDPDAEE >Et_10B_004081.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:11776925:11777449:-1 gene:Et_10B_004081 transcript:Et_10B_004081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLWYGRFTPAQRAVVADFLLSLSSAPAPAAASSSSSAPSVAQWWATTSRYHPGAARLTLGRQVLDASLSLGRRLSEASLASLASRLFPHRGSVAVVVTAPDVLVDGFCLSRCGLHASAPSAPSAPHAATAAHGRGRFAYAWVGNAAEQCPGECAWPFHQPAYGPPFMLKMST >Et_6A_047320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3958637:3961763:-1 gene:Et_6A_047320 transcript:Et_6A_047320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIGVPAATLAVQLGKVLLVFLLDDLPLELEGCRDEA >Et_3B_029966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29457942:29461622:-1 gene:Et_3B_029966 transcript:Et_3B_029966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGSGAAAYAVRTPEEVFRDYRARRAGMIKALTTDVDKFFKLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLSVAFYFGARFGFDKESRRRLFSMINNLPTIFEVVTGSAKKQTKEKTPNSSSKSNKPTSKVQSKAESRSKAKVLVPKDEEESGDDDGDEEEERDNTLCGTCGLNDGKDEFWICCDNCEKWYHGKCVKITPARAEHIKHYKCPDCTNKRARA >Et_6B_048511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9994180:9999362:1 gene:Et_6B_048511 transcript:Et_6B_048511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPNLDTGKLKIRTLIFFNGMSAQVDSAIFAKLPHLRVLDLIDIKCLIHLRLLNLDRTGIVCIPECIGSLTNLQIFNLQECSALYSLPVGVTRLYNLRRLGLTRTPITQVPKGIGHLTLNDLEGFPVGRYCINDEMQDGWDLRELEHLLLVKQLDIIKLERVIPSESDTIEGVFEELVRPCNLKDLSIIGFYGRRYSSWLTSHLPSLKFLKLVYCLCWEQLPPLGELPNMTFLKIKGAFSVKKEQLPPLGGGLPAVGSPERVAFPKLEVLIIGDIDLEEWSLVEENEEGNKSDGDGATVKQTISIGHKILQFLPCLQTLQLVECPKLGALPPRLADTTNLKQLYIRGTNSLNVVENIPYLSGDLIIHTFAARVRKKKKGN >Et_7B_053981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1431230:1436130:1 gene:Et_7B_053981 transcript:Et_7B_053981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLEKTTSGVLRNAAALLDEMQLMGETQGSKKVMINSELWHACAGPLVSLPQRGSLVYYFPQGHSEQVAATTKKTPNSRIPNYPSLSSQLLCQVHNITLHADKETDEIYAQMTLQPVHSETDVFPIPTLGAYTKSKHPTEYFCKNLTASDTSTHGGFSVPRRAAEKLFPQLDFSMQPPNQELIVRDLHDNMDEKSQLLVGVRRAIRQQPALSSSVLSTDSMHLGVLAAAAHAASSGGSFTIYYNPRTSPSPFVIPLARYNKATYMQPSVGMRFAMMFETEESSKRRCTGTIVGISDYDPIRWPNSKWRNLQVEWDEHGYGERPERVSIWDIETPENTLVFSSPTLNSKRQCLPNYGVPGLQVGPANMSLIPRATGHLYGNLQHMPTIGSELALMLLNQSGQNLGNPLNCHQSSFSSIIQNVKHGYIPPSTFGTSIGSIKQEARPSNETQQQLSTPNIQRSDQESYDVQPGIDSISAQELNVAREQRNADSYSSQSISGQNSKGEPRNKTRRSKKGQSRKTISDKSELSSVPSQICDDQQHDSEAKLEAEHANCGNIEDSSGTLTKGNFAGDLQVQQVEQHELMSAPKLESSKSPDEGKSVSSFPNQGCFSQFFEGLDWMTQSSYYQDSNGIQSVSASENIFDPSADMPSTINGDNMEAFQNSCLSECFPSSIQEFVSTPELNSLTFLSPEMQHLDVQHDGSNLPSTSNSYVQMSFSEESGNQSASLSGLHMEAIHISNSSCSHPMTTEGFDAGMFSKLPNLKESQVLPLQEIHSSSMGTPSCSMDVAEYSMDRSVKPMKPPVRTYTKVQKVGSVGRSIDVTRFRDYHELRSAIASMFGLQGKLEHPGSSDWKLVYVDYENDVLLVGDDPWEEFINCVRCIRILSPSEVQQMSENGVHVLNDCIQIA >Et_3B_028506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16282292:16283238:-1 gene:Et_3B_028506 transcript:Et_3B_028506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAALIGGEEAVGIQEVAVGNVPPILQEGQVINQNLQLGFVQVKYEHEADPVFQTYCTKQSLKKTYNADTIRLWSKHFSPVGAVEGTIKEPPEWIISPLRLECLSLCQTNALFSTAPSCSYMGENSEYDYHTESDGDEVLIDAGMTTPTKQCLLEIGASESTSAMHRQRKRQRQALVVTTDCRRSERLKGMAKGFKRNMIALLVLELHQHYLLSVIKELGATFCKVGPEALSEDALSTRKTRKTAVRKLVIKKGDDNGTSSKDNKDPSNGRKPSQKKGGN >Et_3A_025038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26301087:26304341:1 gene:Et_3A_025038 transcript:Et_3A_025038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLKAEEIGHPPMEQLQGCEYCIDSNPPWGEAIILAFQHYILALGTAVMIPSVLVPMMGGNDGDKVRVVQTLLFVTGINTLLQSLFGTRLPTVIGGSYAFVIPIIAIIQDSSFSGIADGHERFLQTMRAIQGAMIVSSSIQIILGYSQLWGIFSRFFSPVGMAPVVALLGFGLFERGFPVVGRCVEVGVPMLILFVVLSQYLKNVQIKEVPILERFSLFICIALVWAYAQILTSGGAYKHSTQVTQISCRTDRANLISSAPWIKIPYPLQWGAPTFNAGQSFGMVAAVLVSLVESTASYMAAARLASATPPPAHILSRGIGWQGIGILLDGLFGTGTGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSMLGKFGALFASIPFTIFAAVYCVLFGLVAAVGLSFLQFTNMNSMRNLFIAGVSIFLGLSVPEYFFRYSMAAQHGPAHTNAGWFNDYINTIFSSPPTVGLIVAVFLDNTLEVKNAAKDRGMPWWVPFRSFKGDSRNEEFYSLPFNLNRFFPPS >Et_4A_032623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1226842:1233199:1 gene:Et_4A_032623 transcript:Et_4A_032623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQINDPYQFRSQHDRDVGKHLAPGTWYTFDNGFIKLEVIKKALKDQYGFEEARRASNSTSPKGQEIGTVTYYPVISEDNLSMGRLLMKVSKISSEILTKISEIAGFTPCEEIELSEARVKLTVGSISGKYNQELTFDVLCLRLQLCKYYCRSNSSSNRLGFAPMEDSSINGIMSLQSIALHSKSVCCVVFRPFPKLLTLSPGLLNKFLIDGHAHLPLMEIAIGKFPELPELPREILMDIFARSVCTSWNSFYSSVCSFGLYKQQQTPCLLYTSQSDDENVACLYSLAEKRAYKLTLPEPPIHRRHLIGSSHGWLVTVDERSEMHLVNPITCEQIALPSVITIEQLAFARLGDEMWTQISSHTHIQDCIYKDGLLYAVTAFGEIISFNLNGTVVMTKIFMGKAPWGDLLQIWRPEDWIKEQLSGHDHTATFDNKVGKMILYKFCSGEKKLVEIKSLDGHILFLGSNQSLCCRAEEYPQLKPNHVYFTDDIELMAFCCERGYRLNIGVLDFETKTMEEIVFPRPWSNCLAPLLIIPNPRKMDSLHI >Et_1A_008396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7239718:7241230:-1 gene:Et_1A_008396 transcript:Et_1A_008396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCDECKLKFLELKAKRSFRFIVFKINEKVQQVVVDRLGEPGESYDDFTACLPADECRYAVFDFDFVTDENCQKSKIFFISWAPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIIKSRAL >Et_9A_062797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5020620:5022793:1 gene:Et_9A_062797 transcript:Et_9A_062797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSWSELCYRFCLAYSSAQFRSDINIDNEKPFQVVSENYANPITCFFHVLFKAAALAFYILFSLFVKSFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEIDDEGNSVWKFECLDGESLARMNKKDSWLFWWTLYLTAAAWIVLGIFSLIRLEADYLLVVGVCLSLSIANIVGFTKCNKDAKKNIQAFAQNALASRVTSSLQSAFGVI >Et_3A_024129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17699074:17707959:1 gene:Et_3A_024129 transcript:Et_3A_024129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPTKRSRDLNFRMPLSYLGKPDEEFARCSELIQRVYGCGGGDPGLAKWIVQEAPGRRFKLRFCALKSRFYIGFQQPVYESVPDDGEEHVLDVLGADYGCSDFEPHAYEAAEGKLLDGTLFASSREDGIPVTFKLNQGDVMPGIALATASMRPGEKATFTIPPEFATTMSGCPARIPWKIPSNKTLRFDIELVSLVADILGDKGILKKTIKFGTGTEYPRGSDEVLVNYNVCLKDGTSVSKSEELYSALEKTMTEGEEAVLIVKPEYGFGEPGKYPREKEATVPPGAILHVHLHLISLIIVSYIGEKRDILKKTLLRLIGKLQNGTVFDKRGHNGEESFEFTIDQEHVIYGLDESVMTMQKGEVASFTIPPQHAFGVTGSYQYQLAVVPPNSFVTYEIELLDVDNAIQILRWDFEDYKFKERIIAALLKCAECAIRLERYQQAVDRYRQ >Et_4B_036664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10108417:10112689:-1 gene:Et_4B_036664 transcript:Et_4B_036664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSKNEDDKALVLCQERKRFVREALDGRCAFAAAHFAYIQSLRHVGFALRKFVEPEAPTDSSLYTSTSATPEPPTIRHKSTNLSPSLSRHASDSFSPAPSPLSSGRFHVNHMKAGGTSVTIVQEKVPIPVTATLQTSSPVHRPAVRDLDDSSTFEAPPGTPPWDYFGLFQPVESQISFHDEKEELGHDFENADDIKLLREKEGIPELEEEVEKSPAHPDDSRRVRDEKAPDLEKAADLKDVEKSPMNVGEDDLALSEDDFDNPTSESLVRVFKNRNDTPIGNTATSQSPELRPSEKVALEITDSQIERPKDDARVLDISMYESDESPVATPVATSVKEVASSIAAPAMNGKSKEPIRDSRHVVRDLNSWVKEVELLFMKASDSGKEVPRMLEADKVNFRPLLPEEKAPGSTASGFFATLFACCREEVPVPQLYMNAGSHASTLDRLYAWERKLYDEVKASTAVCRQYDEKCRQLRHQESRGESQMSIDKTRAVVKDLHSRILVAIQRIDMISKNIEDLRDKELQPQLEELIGSLTRMWSAMLECHRNQHEIIKLVSKSGNMKVLIRSESQIQAALLLQVELNTLSSNFHKWVASHRSYLHSLNSWLLKCVKSLRRKKKSRKKKEDDIPITNFAVAPIFKTCEDWIKLLDDLSKKESDDHSSKKKELEDAIKGLAADISRSVPGQERRRGNSKLTISLSRSGMLNGEMDGVHRNAPPTDLQSSLEAFLGKLEEFSHASLEMYVNLGEKINKAKEDYAIYG >Et_4B_039319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:31681:32897:1 gene:Et_4B_039319 transcript:Et_4B_039319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATCCRASSCSLAKAKWWPSHQAHHRRRRMRSSHWRCCSGGQLRIFSGSANPRLAQEMACYLGMELGKIMMKRFADGEMYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGAHRVLACDLHSGQSIGYFDIPVDHVYGQPVILDYLASKTAVDMCPEDVVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNQAEVVHLIGDVKGKVAVMVDDMIDTAGTISQGAELLHKEGARAVYACCTHAVFSPPALDRLSSGLFQEVIVTNTVAQHQSFPQLTVLSVANLLGETIWRVHDDCSVSSIFQ >Et_2B_021207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27457173:27462629:1 gene:Et_2B_021207 transcript:Et_2B_021207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRAPAAPFPSPSLAGAAGSVQPTNPDVSQSVTSLARGEGRSGEARARAAPTLRRGSSPPGERNGGAGGRKKRVGRYEVGRTIGQGSFAKVKFAVDSETGTAVAMKVLEKDTILTHRMLHQIKREISIMKIVRHPNIVRLNEVLAGRTKIYIILELITGGELFDRIARQGKLRENEARKYFQQLIDAIDYCHSKGVYHRDLKPENLLLDSRGNLKVSDFGLSTLSQKGGGLLHTTCGTPNYVAPEVLSSDGYDGSAADIWSCGVILYVLLAGYLPFEENDLPTLYDKITAAEYSCPYWFSDGAKSLIQRILDPNPRTRITIEEIRSDPWFKKNYVAIRRGEDENVDLDDVQAVFDNIEDKYVAEEVTQKDGGGPLMMNAFEMITLSQGLDLSALFDRQQEFIKRQTRFVSRKPAKTIVATIEAVAESMGFKVHSQNYKLRLEGVSSNRMSPFAVVLEVYEVAPSLFMVDVRKVAGDTLEYHRFFKDLCSKLDSIIWRPIEVSAKSTLLRTTTC >Et_1B_013215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6461513:6464777:-1 gene:Et_1B_013215 transcript:Et_1B_013215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTEAKDLNNPSIMVELRDERPAVKVRRTVTNVGAARSVYRVEIDAPDGLSVTVSPGELQFSEVNEKASFTVTVERALGSAWVPGVWGAQFAWVSKEHVRLAPPLLSLLRTAFVLLPLLLATTAVAHNDHGMHKNYLIIVRTPYEYDRNVYKTVSSWHASLLSSVCDKAEEELNTDPAAMDRLIYSYRNVVNGFAARVTEEELAEMGKKDWFVTAMPERTYHLMTTHTPQMLGLTTGNNAGGLWNRSNMGEGMIIGVLDDGISPGHPSFDATGMPPPPAKWKGRCDLNSSVCTNKLIGARSFRESAKWKFDDPVLPVEEGSHGTHTSSTAAGAFVPGANVMGNGLGTASGMAPRAHIALYQVCFQDIGCDRDDILAALDDAVEDGVDVLSLSLGDDEAGDFAYDPISIGGYTAIMKGVFVSAAGGNMGPDPATVANEAPWLLTVAAATTDRRFVATVKLGNGVELDGESLFQPKNFLSVPRPLVWDMKDWSCSDETVLTPERIGGKIVICEGGGKLTALKMGALLHKGGAAGMIVTTIELFGSVIQPKAHILPASQVDYAAGQKIKAYMNSTDNPTAELILRGTVLGNRNSPVVAPFSSRGPSKQNQGILKPDLTGPGVNIIAGVAKPAGLMAPPNALATKFDIMSGTSMATPHISGIGAVIKKARPTWSPAAIKSALMTTADTLDRGRKPIADQSGYTANLLALGAGFVNPMRALSPGLVYNLSATDYIPYLCGLGYNDHEINSIIHPLPPVSCKEMPVVEQKDLNYPSITVFLDQEPYVVNVSRAVTNVGRGKAVYVAKVEVPSTLSVTVTPGTLRFKKVNQVRPFTVTIRSVVGKMKPGIFEGQLQWISGRNVVRSPILVSSQKFVRDINSTSSAHVNH >Et_4B_038848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5240652:5245226:-1 gene:Et_4B_038848 transcript:Et_4B_038848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGGSLNPHYRHGAPPPGVTGHFPQQPQPPPPQQQAGVTGPFPQQPVPSPPVPYQQPPGMRPPPGAYPHAMPSHQNPAYPFPHHGQMHHMPMGQQQRSFAPMPMSGQPPQAMYQAPQYPMPGSLPPPPPRPPTPPPPPLPPSSPPPMPPAPSTPVAAQSWNAEVEGKESAPDVGHVAKTEKAETQLIVSDDSDMDMDGDEDSPSRKHLTSDNSSLVTAECTGDVNVPKSLSDVSSLGNDLPPGSTGNAKTANVTVKGGSPVQLIQGYTSNDSEDELAAGVPSMLANEKGNVNTPHVTEQNGEARKYHLKDESISVKQDIDMPGHLVKDDLCGSDELDGVQRSKRHGRSERKRSRSKSPLGRSPSPLGANKFNPLQSSSPGRHRRSPLAKRVHASVECPAGTEKLDSSNKGDNTALDDALGQHGCDDNLTTESSRSMAGSANESDPHKIQRPCPLSESQSDLNVLSSAGNETLASQSSAGISFLSVQATKSSMTCDMPQPHPQSLYPSEHMSSSFAQAHPSSSNMIQPPRPPSLATPEFPQTQFQQKIVAPANEFLQNVSHSRPLNLHDHTLQPVPCHQQAASVPLENAPVPHPDRWSNYSGGIGPPYSCHQPPYGQQQPPGSLDSGTNLVYPSFQRFPSNLPGSSDLGPVSDVGLPKSSIKPHYNPFASTFEQTDPSLGIDPTVSPTAVGSFSTKAVEHMNTLSPFGQSFPGSGSHASAEVVRNKQKQFRPEFPSGAPYDPLIDWIEPSSSSINKVDPGKEKHRSADASKLMNIEVDSNDMHGLGVVAESEVEGLGEVAADTEPGVVENASPEFLGAKDWSSDIPGDIDNDQSLDKSKKAKDSRSMKLFKNAIADFVKEVLKPSWRQGNMSKEAFKTIVKKTVDKVSNSVPSSHIPKTPAKIKQYVQSSQRKVTKLVMMRLKLASIYLHETVFNSK >Et_2B_020069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16548437:16550000:-1 gene:Et_2B_020069 transcript:Et_2B_020069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTTKIVKKRVKQFKRHHSDRYKCLKPSWRRPKGIDSRVRRKFKGCVLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDIAVTNKLARLRSQEDE >Et_3A_023877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1421900:1423899:1 gene:Et_3A_023877 transcript:Et_3A_023877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKGELDQIALPAAHPLPADVRSVDLSAPAGPARAAAARAMVAACEEHGFLRVTGHGVPPELARAAEAASARFFALPQGEKEAAAQLGYGSKRIGGNGDLGWIEYLLLGVVPASSSTSPCAAAATASSSSSTPPCPLRDVLEEYAAAVRRLAHAVLELMAEGLGVASPDALARLVTRGDSDCMLRVNHYPPRPAAAELVTGFGEHTDPQIISVLRSNGTSGLEIALRDGAWASVPPDGDAFFVNVGDTLQVLTNGRFRSVRHRVVVNGDRSRVSMIFFGGPPPGERLAPLPELLGDGGRSRYKEFTWKEFKSSGCRTRLAEDRLSRFENY >Et_5B_044038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19315682:19323312:1 gene:Et_5B_044038 transcript:Et_5B_044038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLALATTSTATADPALWFDLVSTEEKEIFSCVYKTGMWSEPVSAAQCDVRVSRWERSAVMGNTLFFVAAKKKGILKYDLGTQQISVIPYAKENPVCPSFIELTTTEDVGQDLKVTKVYEASCIAVLVEEILLRLSPDDPASLVRAALVCRRWCLLVSDTGFRRRFRMFHRTPPMLGVFHHIYRTKVSTFVPITSCPTISDRCNWQVVDARHGRVLLQGTESVDPRENHLAVWDPITNVQTELPSLPEAKDCHGFNGSVLCANNNTCDHLDCNGGPFLVVLVVTKALYIFVYTYSLETCVWSELASGQQSRCQEVGWYWQPTVPVDNTLYVMSRWCESILQINLSTRKMSVIGLPSSCHYNHIVLTTTEAGEGDGLGLANVKNAKLYLWFGKASPDGHVRWARTRVICSNTLLPANPYEKFHVAGFAHGATIFFLRVNDDIFTFNLKSCKVSYVCKDKGYVYDIFPYMSFYTPGTRRLYWEHPLRTMDQKWSHEVLDKLMGLTKENDNHRTTEEWLRCNSNTCHADSSNEKDELNHGSRELSEHKT >Et_3B_030019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29894579:29896207:-1 gene:Et_3B_030019 transcript:Et_3B_030019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLLYLLPLPSSNLATLAIINTDPHHAITSHIFTSSHLTKHCLAMKMSLTGQPKGHLWLVLTMFLATSVMLSNGATNFSPPSLSLSSTYAPVVKVIGKVYCYRCFNEAHPEESHAKEHLEGAMVKVTCQANDQALVGFGYTKNNGKYSVTLKGLPISNTYGADSCKVELHAAPGGSECNMPIELNVSGLSIYSKSNDEVVLKANQIMAFASKKTSGCSKPHIIPPMHPYNSPPLPYHYPSPPFSYKSPPLPYQYSSPPSNQFSPPVNQFPSPPQSYYPSLPSYHQTVPPNTYAPPPQGLKSPTPPHKFLPPPYYYNTPPPQHHFSPSPNNYVSPPVAYQYPPPPHKSPLLPSSPATPHHCNSPPPYQYLPPPYYHQASPPPAQYSPPPYQYLPPPYYHQSSPPPAQYSPLLPPIVPKHIHPSTPHVKSPPASLASPQTLYQYNSPPPANEGMSATAPPLHPYQPTPVPSHLS >Et_5B_045158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10301920:10302827:-1 gene:Et_5B_045158 transcript:Et_5B_045158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLCCVDGKEVSFLFSWSTKITLAFGSYLCWIDYHCGILFFEVFGEHLQVKYLRLPVEVGHFPTVCMDLYRSVCIVNDDEGRSKMKFVDVRPSRGYELWV >Et_1B_011986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27817416:27820368:-1 gene:Et_1B_011986 transcript:Et_1B_011986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDSIRTAMGVIGNGTALVLFLSPVPTFWRIWKKRSVEQYSPMPYVATLLNCMMWVLYGLPLVHPHSMLVITINGTGTAIELTYITLFLVFSAGATRRKVLLLLAGEVAFVAGVAALVLTLAHTHERRSMIVGVLSVIFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLFNGICWTAYALIRFDPYITIPNGLGVLLAVAQLILYAMYCKSTQRILQERKRKEADHLAMTDVVVDGKPSESNNAAAGGRY >Et_2B_022606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27736735:27738318:-1 gene:Et_2B_022606 transcript:Et_2B_022606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGVAVVVGGSVAGLACAHAAAAAGWEVVVLEKAAAPAAGSGTGAGLGLDAQSMETLARWIPGWGLDDATLPLAVDLVTPPSSPTRPRSPRCDLRSLLKVASCVLLCLLWPQNRATDSETKASRTLARDDGFNFRAAHWGDLHRRLHEALPAAVTVLWGHQFLSFEALDDKGGVVATARVLRTGETVEVTGDLLVAADGCTSSIRRRFLPDLKLRYSGYCAWRGVFDFTGKESSETVTGIRRAYPELGNCLYFDLASKTHAVLYELPGRRLNWLWYINGPEPELTGSSVTLDATDAMVARMRDEADRVWCPELARLIRETARPFVNVIYDADPMPRLSWAGGRVALVGDAAHPSTPHGLRSTNMSVVDARVLGGCLARCEDAEQLPRALAEYEAARLPVVAAQVLHARRLGRIKQGLPVDGEAEGFDARKATAEEALQLQQRSMPFFSGAPTVDDSSL >Et_2B_022588.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2784624:2785367:1 gene:Et_2B_022588 transcript:Et_2B_022588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLFLLGGLCALFSLTSSSRASTKKCDDVKSNGKCCDDGESRTAKNGGEREEEKQVKKPRHDPEADLGIVFSTFDHDGDGFITAVELEESLRRLGIAVSADEAAAMVSRVDANSDGLIDIHEFRELYESIPKKRKQHQPPAEAEKVAAGDGGNAAVAEEEEGEEEEEEERDLREAFDVFDGNKDGLISAEELGTVLGSLGLRRAGSGRPAVADCRDMIRLVDSDGDGMVNFEEFKQMMTVVKA >Et_4A_033148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19121426:19134401:1 gene:Et_4A_033148 transcript:Et_4A_033148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIASCIRASSAAHLRRRLPPPPALPPPPFAAVGLPGGARRRKAVGVAAASASPFDELHARGRPVHGPSKKSMLWNLIQDIEPLDLSVIQKDVAPETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYTLRNAEYRLSFERNLELSGEDAECPKSDIVEDNHPNINLGSPVTIFRLSEEDLPQDPAKTDEELSCENMGEELGNLTPQAEEYISQMQSRLDAMKKELHDLRRKNSALQMQQFVGEEKNDLLDYLRSLTPEKVAELSESSCPGVQEAIHSVVHGLLATLSPQIHSRVPPPMDNAAGGALNLGGEDDDCAELVENASLPFQPLISVPRDYLARLLFWCMLLGHYIRGLEYRLELAQLLRISSDVGSFASDDHEKFNCYHNRVSVHTEEEDRYQDKRAVR >Et_2B_020395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19808311:19812603:1 gene:Et_2B_020395 transcript:Et_2B_020395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLALWQVHCSLFMSLSGMAVAFKANTSSTTHQQWMQHPTGVQCQYGFAHLNQRKCRKRSSVLHVRATSEKLDLDFSDPSWKQKYQEDWDRRFSLPHITDIYDLKPRPTTFSLKKNRTPVGDGDGSSADMWNGYVNKDDRALLKVIKFASPKSAGAECIDPDCSWVEHWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLEIYGVKNIVGIPFGYRGFFEKGLKEMPLSRHVVENINLNGGSFLGVSRGGAQTSDIVDSIQARRIDMLFVIGGNGSHAGANAIHEECRKRKLKVSVVAVPKTIDNDILFMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQIDVCLIPEVSFTLDGEHGVLRHLEHLLNTKGFCVVCVAEGAGQDLLQKSNATDASGNVILSDFGVHMQQKIKKHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSGISNTHYAYLPITEVITTPKHVNPNSRMWHRCLTSTGQPDFH >Et_2B_019981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15819068:15822355:-1 gene:Et_2B_019981 transcript:Et_2B_019981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVEMGDSQHQPAAASTAPSDAPAPTQSTLQHLKEIAALIEAGSLSKEVRRISRAVRLTIALRRRLAARDVTAFLSFALPPSSDAFARLSALVPKEDGSEMEVDTVAPAAQVSIKHGLPEIEIYCYLLVLIFLIDNKKYDEAKVCASTSIARLKNLNRRTVDVLACRLYSYFSYVHELTSTLAEIRGTLLALHRMATLHRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTAALGFRIQCNKWAIIVRLLLGEIPERTIFMQKGMKAALTPYFELTNAVRVGDLELFRAVADKFSSTFSADRTQNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSQNPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKALRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >Et_7A_052169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5225809:5229498:-1 gene:Et_7A_052169 transcript:Et_7A_052169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLSRLLSTAAAAGRASAVRGTSHVRGGSHGFASGGGGDGPAVPRDWLRKLWAEELRNQKEEAKRWGIGGAGGSLGAFASSEETVLQGGRVRTGGAADEAPSRSYQYDDKDLKPAKLAPLLARANLVIARDIEWANIMFAFEQPVGFIREKSNVIVRQLLRSRRPFVAEITDAMGNEIFMVRRPFWWINSSIYAEVGGKEIGVVHRRWHLWRRIYDLYLGNKQFAVVENPGFWNWTFTLLDEDDYVLAQIDRNWRGIGFELFTDAGQYAIRFGDEGQSHRFGLAADEELHVVRQLTLPERAVALALAVSLDCDYFSRRGGWGLPFLIATE >Et_4B_037941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2493726:2496155:-1 gene:Et_4B_037941 transcript:Et_4B_037941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLDDLIVELRKLLAKFLVKKKRKEEQKVTLLVRMDCEGCERRVRKALESMKGVSSVDVDPKECRVTVSGHVEAPAVVRRLWRRAAKKAEPWPFVPHEQVPHPYAPGAYDHKAPPGYVRNVLDDPDAAPLVRASSTEERYTSAFSDENPNSCAVITSRKKRKQFQKVELKVRMDCEGCERKVRKALEDMKGVSSVEVDPKQNKVTLSGYVETAEVVERLHHRTGKKAEPWPYVPYDVVPHPYVPGAYDKKAPPGYVRNVLDDPDVGPLARASSTEEKFTSAFSDENPNSCAVM >Et_1B_012309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30723433:30724500:-1 gene:Et_1B_012309 transcript:Et_1B_012309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GQAAAVPKFGSWDAENIGYTVFFEKVRDNKGPAAPAAAAPKAAPGGYDFDPYEHYENLSRKVPSRPPSSHGGHGSGGHAAPAAPRPHGGGYDYDPYEHYENMSGRNVPSRPPSSHGHGPAPPPAAAAPRPAHGGYDFDPYEHYENLSGRNVPSRPPSSHGHAPAPQHRGGGGNGEQRQHRRVGGQQPRQQVLAAEAVPAPEAPPQHGGHGAAYAHAQYHPLGAPVEYKAYASPSPPRGPPPPLRRPKASAVPRFGVWDEQNAAMAAQGFTVQFEKVKRHREEAKAAAAPPPAVPQTQQRVSPDQYAAAGPAQRYGAKRKANKSFLSKVYRCMFPVVRE >Et_4B_039203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8782804:8785477:-1 gene:Et_4B_039203 transcript:Et_4B_039203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAEHRPAEEEEESAAAAEDEDTGAQVAPIVKLEEVAVTTGEEDEDVLLDMKAKLYRFDKDGNQWKERGTGTVKLLKHKETSKVRLVMRQAKTLKICANHLVVATTKMQEHAGSDKSCVWHALDFADGELKEEMFAIRFGSVENCKKFRETVEEIAEQQGKVEEKESEEASSAAELVEKLTVSESKEEKNAETEEAPAADDKKDAKE >Et_5B_044336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22866806:22869036:-1 gene:Et_5B_044336 transcript:Et_5B_044336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSFSVDEVPSDAFWTAQPDQVTNGGDAVTCEAADTTTAGGDAATDGSPSDEWSFERFLEEELMLMDNTTTPVDSSGSPVLHVDPSVPEPATAATPGMAAGDPVEYNAMLKRKLEEDLAAIAMWRESGIYRRRSSEVVEGFVFKIHIQVRGYLLALPQWNDHRQTMTIWMERKASNRESARRSRSRKAAHMKDLEDQAPHLRVENSLLLTRLDALNQKYKAAAVDKRVLRADMETLRTKVKMAEDTVNRLIATNPLHTTVVPNPPSFSNMPFVVGPPSHATPPEASSVPIQDNNSIINTNVYSTAAATDAMVSNTYMPMTAPLSPLQAEVGVVVNGSSDQIAASHCATAMELLEKRVMSDDMPTTSSGAEAAPLESAVEVANMEMH >Et_1A_007960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39808853:39811918:1 gene:Et_1A_007960 transcript:Et_1A_007960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWRSSNKDMNSKGEAGGSDGIYDDDTVLCSLTAASFNSLISRKRVRTLGKVAQQCDAIDSPVPRKLRSAINKRAGRIVPGSSRHVKKRRHLSAISAQISSVDQKTRFHESSLLTEEEEVADALLSLSQTPSICELTTERTIADSSNINVSSTSYSEGAVKEGDRITLLPNTDDEMANQGACIVERTDSVPHVKPVPGSTNQSNNTNPPLPENAQIQDISLGVVTNLPSQCKDSVNNSVQKQLKVPFDDSKSYTAQKPQPEASLWLVNSNKSDTLTHESVKAKTDGAPEIVPRIQAPLPCTPDGYLIKPSSSRLAVQANTVSEFSKATASGSHDKYSYLQLSLVKNVVPTKTWKRSLTHVYVSHVIQMHLNKEKASQNQVKPEERAHINAPRAPNGSIFHKGNAQDETCYAVHLDVRLPVQQSTGICDMSAGRQKIVSGNFLNLPTSTALPGAQHVQYLHPQITPRGQMPYPFPHLLHSRANATHAATLHQMELLCSPYQGGNRQPQQLRLM >Et_3B_031670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7354883:7355742:-1 gene:Et_3B_031670 transcript:Et_3B_031670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERNNAAGNAGRWEAAANGVIVLPAGSARPPRQPSPSQHLPRHHSQRGKLQETRVTAGPGGLGDFVIPEPPEKVFFKTKLCEKFQSTGWCLYLDECTFAHGQAELRPPLPLPPGARRSRLIVPHEDGGSGSGGGKVHNYNSGKVCFEFRDTGTCSYGDKCNFVHSQAVVQPGAVSLCVAATCVHGRPIAPGSRGSRHESYMYRAFTSRSAAEIRYKPPELVRRSAATPPVCVFSVGSSCGFAPSDPGADGNGKRKITRLEFLSRKKMSGIYGDWPEGN >Et_1B_012310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30743625:30759712:-1 gene:Et_1B_012310 transcript:Et_1B_012310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDGPPPAAAAAKAKNAPVMRAFASVFMHADAADVVLMVLGLLGAMGDGLSTPVMLLITSRIFNDLGSGPDLMTQFSSKINENARNLLFLALASWVMAFLEGYCWARTAERQASRMRARYLRAVLRQDVEYFDLKVGSTSEVVTSVSNDSLVVQDVLSEKVPNFVMNCAMFLGSYAVGFALLWRLTLVALPSVMLLIIPGFMYGRILIGLARKIREQYTRPGAIAEQAISSVRTVYSFVAERSTMARFSAALEESARLGLKQGLAKGIAVGSNGITFTIWAFNVWYGSRLVMYHGYQGGTVFAVSAAIVVGGLALGSGLSNVKYFSEASSAAERVQEVIKRVPKIDSESHAGEELANVAGEVEFKNVEFCYPSRPESPIFVSFNLRVPAGRTVALVGSSGSGKSTVIALLERFYDPSGGEVTLDGVDIRRLRLKWLRSQMGLVSQEPALFATSIKENILFGKEDATDEEVVAAAKAANAHNFISQLPQGYDTQVGERGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDTESERVVQEALDLASVGRTTIVVAHRLSTIRNADIIAVMQSGEVKELGSHDDLIADENGLYSSLIRLQQTRDSREAGEVSGTGNTSGMGQSSSHSMSRRFSAASRSSSARSIDDAAEDENIQKKKLPVPSFRRLLMLNAPEWKQALMGSFSAIVFGGIQPAYAYAMGSMISVYFLTDHNEIKDKTRTYALIFVGLAVLSFLINIGQHYNFGAMGEYLTKRVREQMLEKILTFEIGWFDRDENSSGAICSQLAKDANVVRSLVGDRMALVIQTVSAVLIACTMGLVIAWRLALVMIAVQPLIIVCFYARRVLLKSMSKKSIQSQSESSKLAAEAVSNLRTITAFSSQDRILSLFEQAQDGPRKESIRQSWFAGLGLGTSMSLMTCTWALDFWYGGRLMAEHHITAKALFQTFMILVSTGRVIADAGSMTTDLAKGADAVASVFAVLDRETEIDPDNPEGYKPDKLKGEVDIRGVDFVYPSRPDVIIFKGFTLSIQPGKSTALVGQSGSGKSTIIGLIERFYDPLRGVVKIDGRDIKTYNLRALRRHIGLVSQEPTLFAGTIRENIVYGTETASEAEIENAARSANAHDFISNLKDGYDTCCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMVGRTSVVVAHRLSTIQNCDLITVLDKGIIVEKGTHASLMAKGPSGTYFGLGSPGTAQAKPASALRSFAAVFVHADAVDVALMALGLLGAMGDGMSTPLMLVIGSRIFNDIGTGPNLIQQSSAPG >Et_8B_060825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8445208:8447319:1 gene:Et_8B_060825 transcript:Et_8B_060825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRSLLAWVCMLLVLLLLAFGGAPAEARPAPGHKYHRAAPKRILSATGGDGTSPLNRKLAAESSNPPKQAAPESGGGNINVESLGATGDGRSDDTKALLDAWAKACSSAQPTLLLVPAGKKYLVKKTPFSGPYKSQVTFQIDGTLVAPEDKTDWNKHGNGNPKWISFTNVTELTVTGKGTLDGTGKSSWKNSCRVNPKRPCTIAPAALTFSSCTHLKVQNIKLVNSPQVHLDIQYCRDVTLTSLTISSPSNSPEADGIHFWHSEDIRIIKPVIKCGDDCISIATGVKNIYAYKVECSAGHGISIGSLGNRNSEAKVSNITIDTAHLSGTMYGARIKTWQGGSGYAKDIKFLNMVLDNVKHPIYVDQYYCNQLDPSKPKPCAEQKSAVEISNVTFKNIKGTGTTKDVISLHCSKTFPCRDVVLEDIDLKMKGGGKKKGAMSSCANVMFTKTSNVSPSPCTSVATKNDLVPEESICNQKSIAALFLSNPVLVVASFYTPQDLGIGDPQTRPSSILSSQRGTALTPSMHLLRSHLGELRLGCR >Et_3A_023014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1785068:1785268:1 gene:Et_3A_023014 transcript:Et_3A_023014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRQPTNRCPATSAASHTTPTARRRSRPTHTAAGAPPSRAASATPTD >Et_4B_038937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6128350:6129695:1 gene:Et_4B_038937 transcript:Et_4B_038937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPWTPTLAVVALCALLGLAALGGGARAQELSPAYYDASCPNLYDTARRVIQEARTADPRIPASLLRLHFHDCFGCDGSLLLDETPTMASEKGATPNKNSARGFPVVDDIKAALENACPGVVSCADILALAAEISVELAGGPYWRVMLGRRDGMTANFNGADNLPSPTERLDELKKKFADVGLDDTDFVALQGAHTIGRAQCSYYANIQCNRGLLRSDQAMLSAPEEGAAATAPIVGRFAASQADFFQSFATAMIKMGNIAPLTGGMGEVRRNCRVVNGN >Et_1B_011314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20322667:20328137:1 gene:Et_1B_011314 transcript:Et_1B_011314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKFAVFLACVATMSLLSFINVSGCETGCSSPVPPPPPTPPSGAICRYNITELNACLTLSVITYGDACCSLLQGLGDLEAAACACLCLGPLTVDANVLLKKCGRSSATVYSSRYKDKVQVKQQ >Et_10B_003474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1995830:2004332:1 gene:Et_10B_003474 transcript:Et_10B_003474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRRPRWLHPPRSEHIHRQFPALASKANCGDKHTKPFGFDPPSRCVEQHFEAFLAPAGEEEAETSLRVICIVVSLCKIAAFVYSSVTKKWQWVTSCGIETFMCTRRPTLLWRRCAHNCFFWSGYSEQVMLVLDTREMRFYVVDLPPESKEQDKIIVEPDKGMFGLFVLEECTLHLYTTMTLQDNGVGSNDWRHDKTISLPECYYWGICDGATTQGNNVLLYGLLREQYLIWTNARDKKPCKYYFTVDVKTLLVEQLCVLKVETTANYLYATFPPPLSPMLPDELLEDIFVRLDDAADLARASASCTSFRRVISGRPFLRRFRSLHRPPVLGFIDSSHGGIEFHEAQPPRSSAPAARAVAQSADFTFSFLADPDGRWRACDARDGRILLARSSSTRRYVFEAFVVYDPLHRRHVQIPPIPGDLVASTVNCGKKHSWPLGIDTPSDCVGQHFEAFLAPACEEEAETSPLQMICNVLSACKIAAFIYSSVTGKWQCITTFRIEAFKWMRFPTLLRRSCARNCFFWTEYSETVMLVLHKYEMKFSIIDLPSKSNGRIKAIAEADNLRLGLFIVDGCRLDLYTTDWQGNGMGRKDWRHGNKISLPSSHSWSLFSCAATKDYILLRGISLEEFRIWKFPEKKPDTHYITVDVKTFLVEQLCVLKFETSGDYLYASFPPPFLLLRAKRTQAVQPASSSRSFFHAMPSATALPAAAAPAAHPDLPDELLEDIFVLLDDAADLARASAACTSFCRIISGRRFLRRFRSLHPPPVIGLLSWSSSDRCFYPAQAPHSSAPAAHAFSQAADFAFSFLPNPSSWQVRDVRDGRVLFSRNPTTWLFQFGDLVVCDPLHRRKFQIPPIPAYLVASTGHSGEEKFFFRKTGEEKFDPSSLQQARRRTNHHQSE >Et_1A_005190.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31502896:31503570:-1 gene:Et_1A_005190 transcript:Et_1A_005190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RFKPDDDELVELYLLPRARGQPAVISGVVIEDDATSAAVPPWELITRHGRTTKNNEAFFFERRVVGDDNNPKDGGARQDRRCGSDWTWVSQRRTPDKVLRLPGGEEVSWNRRDLNLHRGRGRSGSTGWVMHEYTVTSPPCAFPVKLCHVAFSGHGQKRQLVPDGVDASSPSSEASTITFADQEELRQDQQEPFLITQENVRRARRTLRGGVASTGSRTRRVARS >Et_1B_013914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23764167:23767829:-1 gene:Et_1B_013914 transcript:Et_1B_013914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASKVEHEDTVRRCKERRRHMKDAVAARQQLASAHAEYLRSLRVTAAALSRFAQGHPSLAVSHHTAPVLLSAAAPPPATAHALPTPAPSTAASSSLPPPTPLSQQPPPPPAPPAAQDAAAPARPTPVRAPRPRRLRVPHILSDSSVASPAQSSFRKQPVVGTPSSSSAWDWENFYPPSPPDSEFFERRKADIEEANRLHELEEEEKARAYLHHLHPQHLKEEEEVDDDDEEDEEEEEEKGRMHGGWEDDEEHYASTTTSETRSEEDGEMGTRSECGFAARSECGYVARSEYGGTAPSEYGAVQLPLRRDERSEAGDSSSTVTAATEMRMVVRHRTLAEIVAAIEEYFVKAADAGNGVSELLEASRAQLDRNFRQLKKTVYHSNSVLSALSSTWTSKPPLAVRYKLDTNALEMESMEGKSHGSTLEQLLAWEKKLYEEVKARETVKIEHEKKLSTLQNLEYRGRDSAKLDKTKASINKLQSLIIVTSQAATTTSSAIVRVRDNELAPQLVELCFALLGMWRSMNHFHEIQNEIVQQVRGLVDNSMAESTSDLHRLATRDLEAAVSAWHSNFNRLIKYQRDYIRSLYGWLKLTLFQVDSSAPQEAHASLISRELTSFCDEWKQALDRLPDAVASEAIKSFVNVVHVIYTKQAEEMKIKKRAETYSKELEKKTNSLRSIEKKYYQSYSVVGLGLPGSGRDGIESVAYDARDPLAEKKSEIALCRRKVEDEMTRHAKAVEVTRSMTLNNIQTGLPGMFQAIAGFSGTVVEALDAVCRRAGSVR >Et_5B_044093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:212506:213543:1 gene:Et_5B_044093 transcript:Et_5B_044093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTVASPGKGGVYVAKPPPPPPPLPGVQKTYDSYNGQAGTAASRRRTTGELQQEGEALVLRAGAAVAAFVAVALVASCRHGDWMEFTRYPEFRYLLGASVAACVYSAAQALRNFRRMRRGATSPAFLDFAGDQVVAYLLITASSAALPITIRMRSAVINIFTDVMTAAISLGFIAFAALAFSAVISGFRLSAHAY >Et_7B_055699.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:22512943:22513176:1 gene:Et_7B_055699 transcript:Et_7B_055699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFGEAQPDDDREEQPRRPVLFSADAQGHLRVVATDLHSLAWHRSLALADIHDLVRKLQLPQLIPAFDSIPFHST >Et_5B_043445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10441778:10444588:-1 gene:Et_5B_043445 transcript:Et_5B_043445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVANGKISAIKLIQKKRKIPNHFPLRPLSTASRLFPKHSLAAPAPPSTPASQRRRRRAAGAARAMDPAGGACPGCRKDQMSSPCCRGAFLRRSTRTRRRVNGADHISALPNDLLLQILARLRCARGAAHTGLLSRRWRGLWTRLPELDFHSICPDRLRAALDQVARPAGSLRISIPWHHPLARAGMLSMLQAVALLAPAKLDVAILPDLGSGGDAHAVELPCFHRNTSVNLSFFVAPLLLAGDFKVLECLSLDSCNTDLGDLLRRCPRLCKLRISSWWCNSITVHSPSLEELHVCAVVQLRCVDIVAPVLKKLRFNASDGINNDFSFSLSAPLLEDFSWSCLPRSATDRFGIIWRMYYLKLETLESTGHVQLTDSSQNTSSLPQEFPRAIVLSLNIGINVRLLSSFSAIICKMNILGDADQSFDQEISQIPVRNFTILKLDIATGGHVYGAMVLDLLGLCTSIQKLTVTLDPYKIIILFVCFSPNNVPKLCSANCSRDQSSNWRSQNISLDDLKEVEIKGFSGEGHEVGLLKVLLRCARMLQRVTVNLSHIVPQSCSAYMEFPGILKAHPSVKFSVYRWCGDQEDQ >Et_3A_024701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23364969:23367407:-1 gene:Et_3A_024701 transcript:Et_3A_024701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNSLFNRSTFGTKWLDFSFPSNRGPLPSSSVVTISPFQFGRILRSANSVVLARSSRLRFSSLDKTCLNLVISRIKLLRNRRELQLINMRKEMAQYLQTGQESIARIRVEHIIREQNILAAYEIVELFCEFVLARVPIVDAQKECPLELREAISSIIFASGRCSDLPELMHLRNLFTTKYGKEFVAGAMELRPDSGVNRTIIEKLSVKAPSAESKLKVLKAIAQEYNIEWDSSNTEAEFNKTYEDLLDGSGSSVHQVHAPIIESSPVASASRDKPPVTISSVQDVGQHQVRESPSSPVGVSRAYAATKANVETQEHQPPSEEISCTTPSSSDVLEKARAAIAAATRASAAARAAAELAKVKITSQ >Et_2B_021061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26117106:26120077:1 gene:Et_2B_021061 transcript:Et_2B_021061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGYDAASELAAFHDTRAGVRGLVESGVTTVPPIFLMPATASPWSSSPARTTAFAIPVVDLSLPRSDTVELVRAAATSFGLFHVTNHGVHPGTVDAAVAAVGAFHEQPAAARSAYYSATSAGAVAYSTIPNPTPQRGQPAAFPVLPWRDSLIVHMESGDDSAEPEDLPPVCRDALLEYHRCMTGFGKEMSALLSEALGLSSERLEQALQVEGLVMVCHYYPPCPEPMRVVGARGHTDASLFTVLAQDDVGGLQVRLDQGDDGEWVDVAPVTGALLVNIGDVLKVVSNDEYKSVEHRVVIKSSQDVRISIAIFFRPAKWGEPDVFFGPLPELVTEGRPAHYRSLTSTELRNYRKELGHCRTSLDRSPRSGAIYAHPSSG >Et_2A_017014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30142458:30145464:1 gene:Et_2A_017014 transcript:Et_2A_017014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAPSSGWSELPADVLATVFEGLTIRDLIRADAVCRWWNAASSYVRGHPRVLSRHQTPCLLYTSASSSSSGTATLYSITDQRSYAVPFTGTITIPGDFWLDASHGWLVTADDRAKLRLVNPVTGRRIDSLPPVSAIEQVRLVHDDDSGAVVADTYQVYPYDWSLRVDELVTSTRPSRLAKYLYIRALISSDPSDGDGDCVVVLIHRPDNQLSFARSGDARWTWIRTPPGNTEYCDCAFDGDGGTTLYAKRHDGAIHAFDLHDRPTLARKVVLCPQVQVKKKTTNYLLHAPWLCSWLQVWRTMEPAEPDAAATNEPYDDVWKTESIKVYQVDLAAQTLIEIKDLGDHALFVGCNYSFSLTANDCTGILPNHCPRDIGVYNVGDGSFHDIQPSCPWSNWPLPSWIMPSLAYKRESVDNMTNKLLMEELDGCISAQIPVLAIEENYEGRSPKSYMNRDNALNAHGSALVVKLQILYF >Et_1A_006601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25125953:25128961:1 gene:Et_1A_006601 transcript:Et_1A_006601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGVQRPALGPVLRELQRGPQGGAADDLHHLRLPGREHQEGCPVRRRQPQRGGVRQALRRRRRHDQRHQRGEHGGHPQGALDIHMPPYYSAVAQGVSTVMVSFSSWNGVKMHAHHGLVTDFLKNKLKFRGFVISDWRGLDKMTTPEHADYVLSVKLGILAGIDMFIDDLTTLVRNGTIPMSRIDDAVRRILRVKFTMGLFENPYPDASLAGELGKQAHRDLARDAVRKSLILLKNGKPGDNPMLPLPKNAGNVLVAGGHADDLGSQCGGWTIAWQGLIGNNLTAGTTILDGIRRAVKPGTNVVYMANPDYHYVVQNKDRFDYVVVVGGETPYAESFGDNLNLTVPAPGPSVIANVCGSVKCVVVLVSGRPLAVEPYLHAVDALVAAWLPGTEGQGVSDVLFGDYGFTGKLARTWFRNVEQLPMNVGDKHYDPLFPFGFGLQTQPSSS >Et_1B_013070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5249935:5253197:1 gene:Et_1B_013070 transcript:Et_1B_013070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACSTKEGGEDGSRAAAATPHGGKSLTSQLKDMVLKLSGSGRQYKAAGSPAYRSNRFHRSSRLAAYPGIIDDSGFTSDGAADGYTTYMRTTTSVAAARPTAWDAAKANRAGFPHIGEEEEDDDEEDEEAVVLEEDRVPREWTAQVEPGVQITFVSIPGGAGNDLKRIRFSREMFNKWEAQRWWGENYDRVVELYNVQTFSRGQGFSTPSSSVDDAAQRDSLYSLAGSTRESPVVTRPPAPAAVCGREQQPIARATSCKAMGAASSAARATCHPSSAAVPDPSDHVWAHHFNLLNASPAPVPPPPFDASRATTSSRDEASVSVSNASDMEATEWVEQDEPGVCITIREFGDGTRELRRVRFSRERFGEDRAKVWWEQNRDRIHAQYL >Et_2A_015048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27632317:27633575:1 gene:Et_2A_015048 transcript:Et_2A_015048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLLFVPPSIDPGDPGPPPESSILLYPFGYIDSRRNATTAGCFTMSGDYILVTFWLAQPPVFRRSPKIVTTEDDLVLLRAALRPRGFDMDDDTNDYFVYQAGARSKQRPSLHMIPRAPVLEFHDGEPVLLRCRDRDMFFVAILHHRLHVGYPSLSLYHDVVYITNKLRPRGRVEDRAWVVAVDMRQHTRQDVGYYCAGRPLGSTSTFIQSGISQHLGISSG >Et_2A_015817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18102720:18107545:1 gene:Et_2A_015817 transcript:Et_2A_015817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLTQSIQFKWFNSGYMAPEYAMEGVFSIKSDVYSFGVLLLEVVTGSRRSDIDNIMGFPNLVVYAWNMWKEGNANDLADSSIMDTCLLDEVLLCVHLALLCFQENPDDRPPMSFVVLSLENGSTTLPTPNHPAYFAQRSNDMDQIRNNIYNSVNNLTVTHLEGRGPWAGYMVNGQISGNTSFIYYMTIVNNDEESYTTYSLSDGAPPARFVLSYTGKYQFLSWRPPSGWAIVWKFFYPKCSTYGYCGSSGYCDNTLPEPTCKCLDGFEPASLEEWNSGRFSQGCRRKEALKCSDGFLAMPGMKLPDKFVRIRNQNIKECAAECTINCSCVAYAYANLSSSTMKGDVTRCLVWTGDLIDTEKLGDFIFSETLYFRIAGLDAGVYLNLPLYPSNKLLCLVRNQDVT >Et_2A_018066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9840852:9843789:-1 gene:Et_2A_018066 transcript:Et_2A_018066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLLPTPAAVAARLPFFRFLACPTPSPGLLPFLSTPFLSQRRGLSISSVSTGRRGRPPGLGPVISEGRDEEDAAVGRPVCPGCGVFMQDAEPNLPGFFKNPSRRSQDEMGEDGALLAADSDGFLEGEEDELISESELDAELEGLDSDIDEFLEEEEEDGGDNESPVKDGFESDIEGFASDWDSDWEEQLEEDDDEKWRKELDGFAPPGVGYGNITEETLERMKKEKLSKSERKRRAKAAKRVEAEQGSAVVCARCHSLRNYGLVKNDKAENLIPDFDFDRFISSRLMKRSAGTPVIVMVVDCSDFDGSFPKRAAKSLFTALEGRGNSKLSETPRLVLVGTKVDLLPWEQMGVRLDRWVRGRAKAFGAPKLDAVFLVSVHKDLAVRNLLSYIKESAGPRSNVWVIGAQNAGKSTLINGFAKKQGVKITRLTEAAVPGTTLGILRITGVLPAKAKMYDTPGLLHPYIMAMRLNNEERKMIEIRKELKPRTFRVKAGQSVHIGGLTRLDVLNSSVQTIYITVWASSNIPLHLGKTENSEELRAKHFGIRLQPPIGPERVAELGPWTERLIEVSGASWDVNSVDITVSGLGWYSLGLKGTATVALWTLEGIHVTERNAMILHRAQFLERPGFWLPTAIANALGEETRKKNEKRKAEQRRREDILLEEDLILEESTE >Et_1B_013815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1828902:1831683:1 gene:Et_1B_013815 transcript:Et_1B_013815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLARALRSLGSTGATREGPLPARLLVAWLSSRPASSSSAPPEYEMPSVTWGVIQGRKERLVSRVLALDFLRSAGVSDPAGELEAVELPSSLDVLQERLDFLLRLGLSTDDLSNYPLLLACSLRKNVIPVLSYLEKLGVTRARLAAFVRAYPACLHASVAVDLSPVVKALRGLDVDRQDIPRVLERYPDILGLKPDGTISTSVAYLVGIVGVAPRDIGPMVTHFPFFLGMRVGTTIKPLCDYITSLGLPMRILARILEKRPYILGYDLEETVKPNVEAMLSFGIRKEALPLVIAHYPSILGLPVKAKLAAQQYFFNLKLQIDPDGFARAVEKLPQLVSLNQNVILKPVEFLRGRGISNEDVARMVVRCPQILLQRIELMKNSLYFFKSEMKRPISELLEYPEYFTYSLESRIKPSDQRFEERMRGDFIEGDALGPSFTMGGKLQMPGSQLVSDDDNEDTDDEVSSRASWGQGLGRLWFWMELFLASAEIRTEISSRPRDKPNPQGCRVRTTGNPYDF >Et_6A_046136.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:3585347:3585652:-1 gene:Et_6A_046136 transcript:Et_6A_046136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGSGGDRLQAAAAQVCRKCVDAEPSQAAWCTVTPSARPHPTREGSSVACTARTAPPAGSGGARGAIAATSRGSSAARLSKSWSPSYSATVKATASPGR >Et_3A_025709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32052147:32055926:1 gene:Et_3A_025709 transcript:Et_3A_025709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKSCEQNEADVVRMEHYKSILSDLLSKKDDSFSNGVEELPSTKTQNQGGYDRDSNREFPLFVEHINGLSKNDKKRLKHTLHEIITFINTDVDEVERDVHAMVGSGEMHQDAVPELSAGLLGKINKLAKSVDDLLTTAASKCRPMTAEEKIELGKRIRKLPEEALNRVVEIISAGKPASQSSDKITMKLGELDDAILWRLYYHVEYVLKENKI >Et_1B_012618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33813164:33813923:-1 gene:Et_1B_012618 transcript:Et_1B_012618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMNTTASLVAGPGLRPKPPAKLSGRRRLPGSSCRAKTASNGFRTYCMKTWNPFTNTRYEAMSYLPPLTEESIAKEVEFIMSKGWVPCLEFDKDGRIHRSNSQMPGYYDGLYWTMWKLPMFGCTDAAQVLREADECRREYPYAYIRLIAFDSSRQCQCMSFVIHKPSPPFPTLQRLRSAHQVMGGNAM >Et_2B_020753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23241055:23241468:-1 gene:Et_2B_020753 transcript:Et_2B_020753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGSWNSKKHQPFLVLKQQRKPHVSVVIRRKRLRLRWRAGAETMEMVNLKLYLENRCIIAENERLREKANALRRENLALRENLSKTVAAELPAAGARAA >Et_1B_010084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2328808:2329527:-1 gene:Et_1B_010084 transcript:Et_1B_010084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VADELLPPEKRAFHRRIEARREHTVRHAEEDAKEQERHPERGLQVHPRHQGGRAQRRLPRRRRRERRGRHPPRHAPQHLLRIEERELPLQLPPPGPLLPLLVAHGRVHHQHRAEQERRDRVDERHPRGHASAGRVAEVPEQRRHPREGERRDGRELPQHAEVGVPAQEVRVDERPKEAVLLAAEDFRLVHDAGVDEVLEEGVENLPEQSPRVGLAPDLAGLAIAVACGV >Et_1A_008523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8373710:8376406:-1 gene:Et_1A_008523 transcript:Et_1A_008523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRWTGMWCSMACTMLAKPGGSSPPSSGTPLGMAARETPSTSPMRRWTKSGTATVAVTSPRAARARARWSIGVMCPCAGNGTKMMARCPLAGPAPMLPSGAKASCVVYLQGSKQPQQISPYNRHHKLSFPNARPSVLIPSTKDSRFILAVRLDLPFMTRSLNSSTFSCKRLPSSPSMILLTQSSTTLLSVLLSLIPIPHILTMYLQLMN >Et_1B_013581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9740914:9743653:1 gene:Et_1B_013581 transcript:Et_1B_013581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPESFFATAPPLRDADAVAARLREFIALNSHASPADGGERRRIVCVTSGGTTVPLEQRCVRYIDNFSSGHRGAASTEYFLKAGYAVIFLHRRGSCQPYSRFLPDDSFLKFFDVNTESKVQVVESHATVVKKAVGEYSKAIQGGSLLKIPFTTIFEYLQLLKMVATSMSSVGLYGMFYLAAAVSDFYVPWDSMAKHKIQSAGGPLDMRLNQVPKMLSVLRNQWAPMAFCISFKLETDSDILVQKADMALNKYKMNIVVANLLATYKEEVIIVTNTERNTIKKRNEDEDLEEHIINLLEKSHSKYIYSSPNGCNTNGYEKLIPFDIKGVA >Et_8A_056883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18469340:18469662:-1 gene:Et_8A_056883 transcript:Et_8A_056883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPLFDRPPLRAAVTLAVCSAWPAHAEQSLRWLFFPLAPPLLLYARLGTMLTRQERAPAASQPRLQSQYITYIYPPIASHRIASIHGAYKRASRWTLKEASQRG >Et_1B_011696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25073652:25076914:-1 gene:Et_1B_011696 transcript:Et_1B_011696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSSTTYRAHQHNDIRASYFGSYVCSLQKTVERYKTYTRENVNNKSVQQDMQQVKADALSLANKLEALENSKRKFLGENLEQCSVEELHSLQVKLEKSLHIIRGKKTQLLEQQIAKLKEKERTLLKENKELREKQHSLQPPLAVPALSCIPPQPRDIPGPSNEDTDVVTELYIGLPGTERSSNGDSG >Et_8B_058684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15963069:15963940:-1 gene:Et_8B_058684 transcript:Et_8B_058684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSSQLDVVLVPLGLAVMLGYHLWLLLRIRRRPETTVIGINAINRRIWVRHIMEEPSGKHAVLAVQTMRNSIMASTVLASVAITLSSLVAALMASGAAHGLFSGSSGGNRQPFVVGAAAILVCFLVAFLLNVQSIRYYSHTGLLVNVPLRAHRRPARAVDYVTGTLNRGFYFWSLGVRAYYFSCPIFLWLFGPIPMCASCVAMVGSLYFLDVYKEWDKDDGCNDDGGDDTGGQERKPAAAGWVMPREVV >Et_10A_002318.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:8804183:8805787:1 gene:Et_10A_002318 transcript:Et_10A_002318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMDKWSGLWSAVASFMFLWTMLQNHVPEGLTHRVATWANKLITYFNPYLEITVSEFGADRFRRSDFFKNVEAYLSDACARRARKLKAEFGKDSKKLQVAVDDYEEVTDVFDGVTLWWYASKTVPKSQVISFYPGEEECRHYKVIFHRRHRDLVVDSYLPHVFDQGRAITIRNRQRRLFTNNPSSNWSSYRGKIWSHVPFEHTATFDTLAMDPVEKEAIVDDLSAFKESKDYYAKVGKAWKRGYLLYGPPGTGKSTMIAAMANFLDYDVYDLELTAVKNNTELRKLFIETTGKSIIVIEDIDCSIDLTGKRKDKKVEKKSDDDGDNNPKMMMQPDKEDSKVTLSGLLNFIDGLWSSCGGERIIIFTTNHKEKLDPALIRRGRMDKHIEMSYCSFETFKVLANNYLDIEEHELFGEIRQLLDETDMTPADVAENLMPMSKKKKRDPNACLAALVEALKKTKEEAAAKAKIEEEAKKKEEEEAKEKAAAEAKKAKEQEEAEAKKSKAESEGKDKSSDSEATKISNGSIKESNEYS >Et_6B_048753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13217369:13218192:-1 gene:Et_6B_048753 transcript:Et_6B_048753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRWLHYGIKRRQTGTYSVYSETARRWCAKTLLLLVASLMIIATYKIYGPFPDVADPPNFTFWDFYAYW >Et_7A_052867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2176654:2184095:1 gene:Et_7A_052867 transcript:Et_7A_052867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVTREVRSLEQLKQVCQTFRPGQVSIVFPPDGSLEAANDINRLLKWGAGEEGFLVVNPEVIRSKSEIFLFVKKRVDKISDAQLAARKKISGMKSFITTLRGKAGLDGGSPPKKARLLMDRAGSSGGSAPTVVEFGTLGDAPPCYVLPPSALGKRGMQDRESFPPPQRKTRGFGSPSAGMILHEQKPSKSPGKIVHEQNPSKSPGKKEQARGVKSDDELKRERERDAESQKSLTLFNLQLLQDDSPSLLRSSQKRPSASRYPPGATPIHRARRLPPSPLASI >Et_4B_039859.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:4268536:4269165:-1 gene:Et_4B_039859 transcript:Et_4B_039859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSWLLLAAALLLASGAAAQNCSSAKFPSGRSFQRCTTLPVLGATLYYTFHPENGTADVAFRAPSSASGWVAWGINTERGGGMGGSSVLIASQDGTGAVSLLQTYLESTAPSLTPGTLKFNVSGAPAAEYADGAYTIYATVALPGNSTVQNTVWQAGPLSNGQIAAHPTSGPNLQRTMRLDFLAGNRTAGAPNSSLPRRNLREFRG >Et_6A_047928.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:24199171:24199467:1 gene:Et_6A_047928 transcript:Et_6A_047928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVKQAYIDRLLKRYPMKPLVPFPEELIQTVSPPRRDHFRDVMAQALACLKACRDEEEAILEQYHAKGYAEVEAEDEEEDDEDVVAVPLAEGNLSRL >Et_6A_047036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24419695:24420381:-1 gene:Et_6A_047036 transcript:Et_6A_047036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSWIQDVRGPRTVQVLLDFFKVLAITSQVELSTAPDQFRWKWTANGFDTLTILVAWRLWGERNNRVHEFRALQPIALAQEIIDNAYLWASARFSKLRMLLVPRL >Et_1B_012489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32482418:32483800:1 gene:Et_1B_012489 transcript:Et_1B_012489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKASTAVTLRTRKFMTNRLLSRKQFVLEVIHPGRANVSKAELKERLAKVYEVKDPNCIFVFKFRTHFGGGKSTGFGLIYDNLEAAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAGKKK >Et_2A_016842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28824360:28824637:1 gene:Et_2A_016842 transcript:Et_2A_016842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQKHLMSTVSRWLECKGHIETNFRVKFLTWFCLRATPHERRTVNVFVDNLIDDPASLAGQLADTFSDAIYSRRPHPAPSRFCMRLWH >Et_7A_051282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16627325:16627840:1 gene:Et_7A_051282 transcript:Et_7A_051282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSEGGRAGKPPASSSSQEKEESPVEVKSGKKKNIVRMPQEQIASYFAYKEPEPAPLPFEGCAPGRFIDSMDKEFLATLPQDVVEDVRRQYLEKGYVEYEVTDDEDEEARSPAAPAPPAHGRRRYRPGVARKSGSTKRIN >Et_5A_042778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4093138:4096209:1 gene:Et_5A_042778 transcript:Et_5A_042778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAAGRRPEPARWLEIAGKLLAARDLIGCKRLTERAVEADPRLPGADELLAVADVLLASQRQLPSGRPDPVAVLLLQPGPDAAAVKRSFSRLSELLSSPRNPHPAAATALRFVQEAFADLPDHLKNAPADPPPAPASGDASAAADVFWTACPYCCHVYQYQRALMGRALRCQSAGCRRAFLATEIPTLPPIVPGTDMYYCAWGFVPMGFPKAADLSTNWKPFCPMFPSNQSSPQPASAPQPTSAPRQESAPQPASAGTGKVDVQHVENNGGPIHANATPANAQPVKNSGVSGNAVGPPRAKVKKTTARKKVGAALKKNASGGVESGIEPPMLGSLPLNSHAGNGQTAVTRGININEVAKPAEGSTILNFGSGEDIGFDLDVDATDAILGNLQHLPFLREDDNNNRRILQQQPLVFAVIFQ >Et_2B_020101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16851980:16864233:-1 gene:Et_2B_020101 transcript:Et_2B_020101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSLSRSPPAPTTPPELGRLAIKVVNLDGSMAKFAGPVTAREALATNNDVRHHRASPRFLCSSDELGFDAPARAMAAEEPLQPGQLYFVLPASMLRRPLSGQDMAALAVKATRALAVEAGLATARRKGGDGESGGGNKLRKSARVAPLVPLSSSSKETASSQGKWNSHGYSAYGGTHKTAGYDGERTVGKTRRGVGHGSGARRRAGVQRLSAIAEGTEWVPARPAPTRRSAPTTTTADFARAVTAKVVDFDGSMAQFAAPVTAHEALLAAAASSSSSRFLCCSDEMRFDSPARAMGAHEALEAGQLYFALPLHMRGRPLSGQDMAALAVKATAALGAAPVVVDVSSRDTTIDAAGKQRQTGRLVPLVVVSGDGSGHAGGERKSWHVYDARKAAVYGGHTIGKARNGAGYIGGTRRLPAGQRLSVIVEGRSDHVQAAVMIYTACHVWNERNRRIFADTTMQPVEVVKLIKEEMALLVRACGVTQFPPLQVESNQQAITSQREEKQDRFLRVPLNNDSRFLARGHGLVDFDGSVAQFSAPAPAHEALLSSSSRFLCCSDELRFDSPVRAMAAHEALQAGQLYFALPLHMLARPLSGQDMAALAVKATAALSAAPVVVDVSSREKAGYDGAAAGAKQRQQRRQTGRVAPLVVVSGDGSGHADGDRNSWHVSGDDLKAAVYGGQTVGKTRNGGGYIGGPRRLSAWQRLSVIVEGRNEGSMEY >Et_1B_010226.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30825760:30826182:-1 gene:Et_1B_010226 transcript:Et_1B_010226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGGASSSVPQQHTAFVYGHGQPPPFWSTPTPYLFIGFAVVMSLIAVALAVLLCSRRKEEEGRGGDGQEVVSVRVLTPLDREDAAVPKVVVVMAGDHAPSFLASAVPFASFAGVDKAAVAAQMPPHVVGGEKDGAGVV >Et_1A_009303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3850071:3850386:1 gene:Et_1A_009303 transcript:Et_1A_009303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVKAPVSEHGETSKAAAVHVVAGKSRAASRGLAVLDLILRVVAVVATVGSAIAMGTTNQTLPFFTQFLRFKAQYNDLPTLTCVFVT >Et_1A_006609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25253898:25256864:1 gene:Et_1A_006609 transcript:Et_1A_006609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWWSAAGGLSRRVLSSSSAASHARRLTPTVISRAPPSAPLPFAFAFRWHHSLAAPLPQGFFHTATAPSFRLPPVHQQQVRHYANKVTNPRSPRTPTKSKVKKYKLKAPSSMKFRFRTMKDGQIRRWRAGKRHNAHLKSKEAKRRLRKPALVHLAYAKVIKKLNFCSCSFSQTLSSSSLG >Et_10B_003756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5570361:5571070:-1 gene:Et_10B_003756 transcript:Et_10B_003756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSFSQEVAVAISADRMWKAAFTTDKQVFSDLLTKACVGFIDAVEIEGDGGPGTITTMKANPAVQDMKLLKSRVLARDDAARVLKTEVIEGGKIKSQLKSEVAEMKVEPTGDGACVVKVTVDYERDGGPLAPEDQAKVTKAYLGLVKKVEEYLVANPNDFA >Et_5B_044313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22558710:22563113:1 gene:Et_5B_044313 transcript:Et_5B_044313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNYIVTNFSNFATCSESLIKIAEPPVVPLYKPLDYVEVLSRLHEELEQCTPCERPGLYLLQSQVFRGLGEVKLRQRSLLSAWRCASTVHEKVIFGAWLRYEKRGEEIISDVLASCRKCCREFGPLDVASEMPARNFGTIGSCDSGYSSQVSSTVTFQIRDGRVTCDRRKIASLSIPFCSMLNGPFTESQLELVDLSENGISLEGMRAVSMFSSSYNLGDLPLEILLEILVFANTFCCDKLKDACDRKLASFVSSRHDAVELMALAFEENAPVLAASCLQMLLQELPDCLSDEVVVSLFLSATAQQQLIMVGHASFLLYCLLSEVAINIDPRTETTACLSEKLVQLAVTPTQKQIAFHQLGCIRLFRKEYNEAEHQFEVAFSAGHVYSVAGLARVASIKGKKALAYEKLSSVITSSVSLGWMYLERSLYSDGDRKLADLDKATELDPTLTYPYMYRASSLMRKKDAKLALEEINRLLGFKLALECLELRIFLYLALEDYKSAICDIHAILTLFPEYRMLEGRVAASKIGTLLGAHVEQWNTAECWLQLYERWSSVDDIGSLSVIYRMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARHHAATEHERLVYEGWLLYDTGHCEEALQKAEESISIQRSFEAFFLKAYVLADSGVDPSYSATVISLLEDALKCPSDRLRKGQALNNLGGVYVDCGKLDSAADCYTSALKIRHTRAHQGLARVHFLRNNREAAYDEMTKLIEKAKNNASAYEKRSEYCEREQTMTDLQIVTQLDPLRVYPYRYRAAVLMDSHKEKEAIDELSRAIAFKADLHLLHLRAAFHEHTGDVPSALRDCRAALSLDPSHQEMLELQKRVNTQEP >Et_3A_025873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33600490:33601925:1 gene:Et_3A_025873 transcript:Et_3A_025873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKPARKKPKDSSVLLDGDGLPAGQARGGSVGMGRAPLPNYMRATSCSGAKAGRASGREAATAPPPPPPSKPTQVREKVVFAGAPPRVDRATCSSSMKAPVAAAAGHVCSYAYCSLKGHAHASVVPLNTFLASRRRLIKTQQSMKLKGASPFRKPNNGGGGEGYFVEIHAGAAEPAAGSDVSCSDLSAEELDAMVRRMEYLTFDHQSCGDDDAEDKVQDSGASVDDSCGSSDVISDGAVESVGRKTKNRGRKEKEILVGDHEDDYFGACKSDISEELGVEHEGNICQDGGGDAPKEYSSDGISSALSGISFEDVSSDCADAASSKLCISRRRTSEQGSKQMRPFKPKAPNFLPVETGPEAEKVDLRHQAVSDRRGAEEWMVDYALRKAVKKLARAQKRKVEMLVQAFETVLPTAASEKKPAQHDDDGNCFTLSRPSQACS >Et_6B_049432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4842420:4848172:-1 gene:Et_6B_049432 transcript:Et_6B_049432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLVCGFIKSIVPKLLSLAEEKYKLHKGVRNDIRFLAKELRMIMGAIDDELSAQTEDHGAVPRLSIEDLRELAHKIEDCIDSLMYRAAWKQHRSFLSRSMRSPMTMLRGDLKVAEEMQRLRKAAEETHQRKQRYTVPGRQTSGAASMQESSSWPLSDPRVLDTDLVGVDGARAELLEQLAEVDGQPKQLKVLAIVGFCGLGKTALAAKVYNTATGDGRFEKHAWVCAAHRPPAELLTDMLRKLEHESVGSSMGASDIGQLCVDLRNQLDKKRYFIVIDDIRTEDQWKSIKSAFPDNDITSRILVTTTIQSVANACISADGYVHEVSRLDEECSKQLFAKKACPEKYLRYKQPDSTEILKKCDGQPLALVTIGEFLKTKGWPTGPTCEDICDQLHYHLENDRTFGAMRQVLIRNYTALPGHALKSCLLYFGIFPSNHPIRIKRLLRRWLAEGFVESHSSHTTLDPANYFDVLMDRNIIEPINVSNNEKAKTCQTYGMMHECILHMAIAQNFVTLFSDDKIEPRYVRRLSLHNSTLTDEDNLDTIDFSLVRSLTIFGKAKEAILDFTKYQLLRVLDLEKCDNLNDDHLKNICSLLLLKYLSLGGNITQLPRDVAKLKDLEVLDLRRTNVNILPIEIFEMPCLIHLFGKFKLPDKVKPKCEVLDFLSKGKSNLQTIAGFVSDGRQGFPHLMGHMKKMRKLKIWCEPSAGADSTNLTDLQEAIQQFIKDRNDANTGPRSLSLHFDNCSEDFLSALEGPCYLSSLKLYGKFSALPEFIISLRGLKELCLSSAKLPKGLLEALSKLSYLKYLKLIADDLEKIIIKDQAFPRLLRLCFVLQRPTFPILEEGSMPFLVTLQLFCKDLDGLSGIKMEYLGHLKEVFLDHRVAQDTRKEWERAAKKHPNKPKVLSTKSTDKAESELTEDSIASDLDTLESTEISVPSRGSVQEIDTQMLINEELKSSAAIKKQNSCAVQSSSADELNSDSSVMGIPEVCPLTEFSELADTRRILPADVYAASELAKTKSQKYSSNGTVQESDTQMSKNQGSESTISQRKQNNFAPQSSSIVELDSASQDMGISEDSPLTKFSETASTVESEHMDHCVALERAKIESLENTVALKESIRQTNTQIPVEQ >Et_8A_056603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13172814:13185904:-1 gene:Et_8A_056603 transcript:Et_8A_056603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILASSSSEEISNIIKGTNGYIDPEYVRSGKYSAKSDVYSFGVLILEIVTRKRCLQLLPNGDMLDLPTCAWELWKAGKSHELLDLPPSNEHQVAQIIKCVHVALLCIQDCPTDRPTMQEVLLMLHSDRASLPVPKSILISYARTMSIFVITLLVICLNLLIIATCNDDQFVYSGFAGAHIILDGVAAVTPDGLVDLTNAHERFIGHMFHPTPLCFRKSPNASVQSFSISFAFGIHPTYQPSQGFAFFIAKSMNFSSTIDLQYFGIFNTDNQGNASNHILAVEMDTLMNPELRDIDANHIGIDINSVISSQSHTAGYYDDKDGAFNNLNLTSGMGMLVWIDYNAESTQINVTMSSLYMPKPERPLISVIYNLSTVMTEVAYTGFSSEAGKDNTRHYILGWSFGVNRPAPTIDINKLPKLPRVGPKPRSKIPEIVLPFATATFVFSIGFIIFILVRRIMKYNELREDWEVEYGAHRFSYKDLYYATQGFKDKNLIGVGGFGRVYKGKLVKSKVEIAVKRTSHDSKQGIKEFVAEVVTVGRLQHRNLVHLFGYCRRKGELLLVYEYMPNGSLDKYNQEEILTLSWAQRFRIIKGVASGLLYLHEEWEKVVVHRDIKTSNILLDNEMNARLGDFGLARLYDHGIDPTTTHVVGTIGYLAPELSRTRKATPFTDVFAFGILILEVVCGQRPIRENEMEIQVMLVDWVLDHFHNGNITDTVDIKLRGDYNANEVCLVLKLGLLCSHPFMDARPTLRQVMQYLDGDMASSDLTLMHRNLEILAKMQNEGKEACLLPWMHLPLPGHRCCSTAIRLLQLQRSKSHPRRVAAIREAGLLQLTNSTDVKGHAFYPTPIYFRQSPNGTVKSFSISFVFAIQCDFVDGGVDGMAFFVAPSMNFSTAFANHFLGLFNDQTDGSPKNHIFAVELDTFQNSELKDIDNNHVGIDIDSLVSKQANSAGFYDDKTTEFNNLTLSSGEAMQLRIDYNEETTQISMTLAPLNIAKPRKLLLQATTNLSDVLKEPSYVGFSGATGPLHTLYSVLAWSFGLNSPPPPINITDLPKLPHSHQKHHSNVLEIVLPIATGIITALLIGRRLRYAELREDWEAEFGPHRFSYKDLYRATEGFKSKCLLGEGGFGKVYKGVLPKSKAEVAIKKVSHDSRQGMKEFISEILLGYCRRKDELILVYDYMKNGSLDKYLHCKEKELSLDWTQRFHIIKGIACGLLYLHEKWEKVVIHRDIKASNVLLDTEMNGRLGDFGLSKLYDHGTNVQTTRVVGTMGYLAPQLVRTGKSSPLTDVFAFGTFLLEVTCGQRPIRHATQDDHIMLVDWVLENWKNGSLMQTVDRRLDGNCNNDEVNMVLKLGLLCAHPLAAARPAMKEVMQYLVGDMPLPEMAPANFCFNMMSIMQNKGFKPSILSDSEIMTSIGTFSSLSGGR >Et_3B_031187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20107760:20111473:1 gene:Et_3B_031187 transcript:Et_3B_031187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPSAGGGGGDAGFVRADQIDLKSLDEQLERHLGRPAERGALAAAALQLPGAGSRRGESARLGAENLQTPLQRCREDWEIDPAKLVIKGVIARGTFGTVHRGVYDGQDVAVKLLDWGEDGHRSEQEIAALRAAFAQEVAVWHKLDHPNVTKFIGAIMGARDLNVQTENGHLGMPSNICCVVVEYLPGGALKNFLIKNRRRKLAFKVVVQIALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPSDMTGETGTLGYMAPEVLNGHPYNRKCDVYSFGICLWEVYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSFANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPKDQTEGCFSCFRQHRGP >Et_7A_051548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20158761:20164172:-1 gene:Et_7A_051548 transcript:Et_7A_051548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFSSQPEFDYFFKLLLIGDSGVGKSSLLLRFTADSFEDLSPTIGVDFKVKMVNIAGKKLNWTGEIRTLTSSYYRGAQGVIMVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERAVTKKEGIEFAREYGCLFLECSAKTKVNVEQCFEELVLKILDTPSLLSDASSGAKKNIFKQKPPEADAAASSCC >Et_1B_013562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9568107:9569698:1 gene:Et_1B_013562 transcript:Et_1B_013562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YLSTQAFSIHFFYSISDSGFINNQMPWQPWSSIPVHGDGPSNPLAGRPMPPPPSQEELVLRCDEFRRIAADNALVLEDILRLRQELAPIEDEVGILINQTIPGILANNETECRDIIQGGLKLEEEMHALEPIRAEVLSHEELSAKVESLYSELKQLQSEHKQIPAVRAELHDLQVEILRARAAYDYEQRAKIELLEQKRAMERKYFNMKMEAEAAGRAGEEGQEAWQVQVLRLWLILKPMDHFNPSSILQKTSVVTDSSMQCNMIDAKARLEIYPVDKMHSSSVTV >Et_2A_016984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:330073:331473:-1 gene:Et_2A_016984 transcript:Et_2A_016984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMDIPEEVTVKVSAKIVTVEGPRGKLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRRTMAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNGNRAIEIRNFLGEKKVRKVDMLDGVTILRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGAINEEQ >Et_2A_017700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5234012:5254900:-1 gene:Et_2A_017700 transcript:Et_2A_017700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNNEFHSTAPPRDLSRRLVHAPDPCSSDGNLTAAMHPHAAGGDEKATAPYGTWASPITAAAVSAAGRTVEGIASPATAGSSGSRSARRKEGTASVLVREAADPGGKAVDVTPQGFAVRTLAQEYGGGSGAFAVQGDTVVFSNYDDQRLYRQTMGDCSPLPLTPDYGGPIVRYADGVFDPHFGRYVTVMEDHRNDSSNPVTTIAAVKISGDIHEPIVLVNGNDFFAFPRIDPSQKRMAWIEWSNPNMPWDKSQLWVGYFSEKGEVQRRTCIAGGNPILDEQSNVVIQLYALDADFSKPMWVFGASSYAFLGKDDASHKIICCYRQNGRSYVGVLDHDLGSFSTLDVPFSSVTNIISGDGCFYIEGASASLPLSIAKYKSYLSLPEFIEFPTVIPRQHAYAIFYAPYNPSFQGPSDEKPPLLVRTHGGPTDEARGVLDLNVQYWTSRGWALVDVNYGGSSGCGREYRERLLGQWGVVDVNDCCSCATFLVETGRVDGQRLCITGESAGGFTTLACLAFRQTFKAGNKQAYFERSPINFVQKFTCPLILFHGLEDTVVPPDQTTKTYKAYRLSTEPKTGVQNSRLRRNELLRKWVCEIKARRTISARDRPRSSSSATRGARILSTSAWSQIGSLATTAAMSAGERPRPAKKARTAPGFEGGLRPGEPAPVRGRSWRRGSSRRRGRGSRRRREGSGPSAAPYGSWRSPITADVFAGAERRLGGIALAGDGRLLWIEGRPEEKGRMVIVKEDDKPVDVIPQEFAARTLAQEYGGGAFAVNKNVAVFSNYKDQRLYKQEIGNGSQPVPLTPDYGAPDVSYADGVFDPHFSRYVTVMEEPKVLISGNDFYASPRIDQTQKRMAWIEWGHPNMPWDKSELWVGYFSESGDLAKRVCVAGGNPMLVESPTEPKWSPKGELFFVTDRGSGFWNIHKWVEQTNEIVPVYALDAEFTKPLWVFGISSYDFLGGGNHIILSYRQRGRSYLGVLDCDSGSVSLLDIPFSDLSNVVAADDYFYIEGASASIPTSIAKVTLNESKTEVTNFSVVWSSSPDVVQYEPFFSTPEFVEFPASTPGQKAYAYFYPPSNPNFHGLPNEKPPLLVKTHGGPTAETRAILDLSVQYWTSRGWAYVDVNYGGSTGYGREYRERLQEKWGVVDVDDCCSCARFLVENGKVDGERLCITGRSAGGYTTLAALAFRDTFKAGACLYGIGDLTLMRAETHKFESYYIDNLVGNERAYYDRSPINFVDQFSCPVILFQGLDDKVVPPNQARKIYKALKEKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGKFEVADDITPIKIENFD >Et_1A_007550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35848910:35850142:1 gene:Et_1A_007550 transcript:Et_1A_007550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGFGGAGCRRPESTTTTEWKEDDDSDVEDYYDDDSERDDGVIRACVIRGSKHGDGSIYRPDAHWMHRLYRLDDTSETPLKPSNLSYLDTATCHPDWTNCTSHTGCAMMQIFSLKLVSHSTTTTPAAPIPLYGFMAVRDLLHPLRNYIFQRTRDDPLVIHHPNSADTASSSPLLIQIDGPKRGIYLQARALIEYDLKIKRPGQAEEDDLELIDGAATFSELTPFHGVYTQRIRGSCGSSVDISLALLPHAVEARIELSIPKLPAHGISFSATCSDSKLPEKIQLFDGVVDKAGGHLSFVVAVVIRTPLLLKFKAKQLVGASGSVQYEHRGFPARAHGCDYCWLQFDFGEIEMKVTWANLMN >Et_6A_047492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6432986:6434807:-1 gene:Et_6A_047492 transcript:Et_6A_047492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELVVAHVFTGIDTNEDSCFCDDRMGCHHVMAVGSRSKRESDKGAVQNDTTKCVLLGGLSEATDLALISDDYMFTHRQSLINDMHATIGFKSPCVNDNLCLLPVQYIFRRDLRWCPTFTKLKTLLLNDYWCYPADCRALACILEHAPVLEKLTILFSDKSKYKVEMKGRLDTKERPVAISEHLQIVEIKYEAVNGRVRDLLRFMSTVNILYHDVAIHTVEWELA >Et_6B_049640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7693885:7694301:-1 gene:Et_6B_049640 transcript:Et_6B_049640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKCALELEIPAAIHNLGGESSLPDLSAAFSLPRTKLPFLLPGKSTATRKIIAEATLNLASWFKEDTAPPLTLPFENLHGMPFFDESTPLLAKS >Et_7B_053843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12766658:12771117:1 gene:Et_7B_053843 transcript:Et_7B_053843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQGRHLERSASKRALNHGGGGEDDDDRVPKRPRVPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALVKLGPAGPPRIQGRSSPKRIEGPDGRNLQLQFRTRLSLPLFTGGKVEGEQGAAIHVVLLDANTGHVITSGPESFAKLDILVLEGDLNKEEDEGWTEEDFENHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVSSGFCEGVRIMEAKTEAFTVKDHRGELYKKHYPPALKDEVWRLEKIGKDGAFHKKLNSNGIYTVEHFLQLLVRDQQKLRSILGSNMSNRMWESLVEHAKTCVLSGKHYIYYSRDTQSVGAIFNNIYEFTGLIADDQFISAENLTENQRVFADTLVKQAYDDWINVVEYDGKTLLRFKQKKKSVTTRSETAKALTEYPASYSSAPSQKQLIGEPVNVDQSSLSNNMREDGTRIASIGNQVARGYSANPQDIAPSIAMQYDMSSLTPETQFNGSSILTALRPTQQQQNLEFPGLGQSTQPSGLNPFDDWSRLQENRGGVDDYLMEEIRARSHEILENDEMQQMLRILGMGGASTSLNNVDGFPSCMPPPAPAFNFEDDRTRPSGKAVVGWLKIKAAMRWGIFVRRKAAERRAQLVELED >Et_8A_057849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8305610:8314643:-1 gene:Et_8A_057849 transcript:Et_8A_057849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGKVDLRGLEPGARGWDEARAAVAASMLAHGCVVVVAGGPAPALWEALFGRVLPEVFALPRDVKLRNAPGEPPYTGYLSRGVLESVRIDHADDAGNVRTFADLLCPGSGNTLLCETVSASAREMQRLGSTVVRVVLESLGLPENVAASPHAGVNHMVGLSHYAARPDATAVDGCLSMAAHYDSSLTTVLMQHDYMELGRVDLRGLEPGAPGWDEVRAAVAASVLAHGCVVVVAGGPAPALRDALFGRVLPELFALPSEVKLRNAPGVPPYTGYISRGVLESVRIDHADDSGNVRAFADLLWPDRGNPILCETVSASAGEMRRLGSVVVRMVLESLGLPEQVAASPHAAMNHTVRLSRYAPAANGELSLAAHYDHGLTSVLMQHDVEGLEVQDRDGRWIAVPPKRDTCAVIAGELLTVLTNGRVPASLHRVRTPSGRERFLALAGTLPAAGVPVVRPLDELIDAAHPRLYRPVDFEAYARFKNSDEGRELGYRTLDAFCGVNDSGDGEKPLMTTAD >Et_1A_007210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32067007:32075154:1 gene:Et_1A_007210 transcript:Et_1A_007210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERACRPPPPPLADAAVAAMSVVLGNDDLLRKILLRLGLPTSLFRAALVCRRWYRLASDPAFLRDFRGLPPRILGVYLNTFGTSLPRFLPVRRLPELAAAARRAGSFFDAFDGSSSAFVHDSRGSRILIRTSEYVYGHSDSTHLLCSPLSPAGDTVVVPPPLTVPPVQLAGSLESVIYQYGEFLPVNGEGDGRSYFCVLIGHGDQQTTVHLYELQDMYWVARASAAAQLPVTPPRLKVMLFDESKFYYILAAISKILVCDFPSSSISAMDLPNGVENDDTCSVTLSRGDGSGIYLIHAKEFLLRIFRCTTDGDNSGNWSLVDNICLREVCANLGMTIWTSVEGHDAGVKLHAVDDSAKFVFLEMFGAIVFLDVASAQAEKVYEIRPEDKELISVRPLMMPSPDEIKRTGAIRTISGGSGGHGRGSRHIVVRSSSASPSPPTSSVPRSPASAGSNPAFLRHFRSVHPPRLLGFYANGGLCRPSEFWPARHPPELASAVRRAASVFDAFPCGRWLYVKRSREDGCLLVSFMERSSSISAVFSPLLYPGRDGVILPSSELAARKSRIHCSCSSLVLLPEHSSDGLQGLKLLQIFRDKNPRATVRINVLQNGEWGLHTSVVTKFCHSFILEAMENGKKVYLTTNENIVVLDLVSTTCYFIDYPDEFHRGFDMGLLWKANDSGVYLIDVIDKSQLRIWLHRTVNGNLGDWVIMDTICLNTVFTGLGIPVGSFKLRYAGENAGFVLLEIERGFFYFNIHSKTVEKLFQMPPRVDRVEPWKDMTYRNEITRCTERVRRPPPPPPAAAAVAVAAAMYSVLGDDDLVREILLHVGLPTSLLRAALVCRRWYRLISDPAFLHSFSDRHPPSLLGAYLNTAGSSRPRFFPVRPLPELAAAARRAGSFFDAFVGSSAFIHDSRGSRLLVTSFEEHYGQVDTTDLVCSPLSPAGNAVVVPPPPPAPQVQLTSPQESIVYHCCEFLPVNGGGDGRFYFCVLIGYSEQRTIVHLYELQDMHWVVRASAATQLPVTPPPRMKFMLFDDSKFYILVTVSKILVCDFPSLSISTMDLPNGVENDDASRSIMLSRGGGSGLFLIHVKEPLLRVFKSDNGGNWFLVRSISLPEVCSSLGMGDWLSLNGHTHGVKIQAVGDNAEFVFLDMFGTIVFLDITSKQAEKVYEMKQEDEALVDVHALMLTWPPIFPQLKEGHDK >Et_9B_064514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15990142:15997533:1 gene:Et_9B_064514 transcript:Et_9B_064514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGKDLWGLLLVLLLGQLVAFSMAVSSFTSSLIANLGVDAPLTQSFLAYLLLTLVYVPIVLRRRQKLRISWYWYLALAFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWAIILTWYALGTRYSFWQFAGAGTCVAGLALVLLSDAKSPEDQDPGKMPLLGDALVIAGTVFFAFSNVGEEYCVKKKDRVEVVAMLGLFGLLVSIVQIFMLERKSLEAVTWSPTMIGLFAGFAMAIFMFYTITPFVLKMSGATLFNLSLLTSDMWAVAIRVLFYHQQINWLYYLAFIVVAIGLIIYSVNESSSDDEIATTREEAAAQYQQLRGDDNSTGCSDSSSQERAQKDEMTRGAWRRLLYSLFLGQLAAFVKAVVSLITSLIANLGAGAPLTQSFASYLLLALVYGTFFLYRRQKLCIPWFWYLSLAFIDVQGGFLAIKAYSYSYITSINLLDCWTILWVMILTRFALGTRYSFWQFVGAGACMAGLALVLLSDSNSPDVQGASKRAFLGDALIIAATFCDAFSDVGQEYCVKKKDRIEFIAMLGIFGALVTGIQISVFERKNLENINWSPTTICLFIGFAVSTFMYYTICSFVLQMSGATMFNLSLLTSDIWAVIIRIFFYHQQVNWLYYIAFAVVAIGLTIYSMNTYGSQAAHLTYEYTLWHVVYEVRPHMMGTLRVQQKQGLGMNNLQVRKWVVPIGTGRKEKRKKTIAP >Et_2A_015063.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28487490:28488038:1 gene:Et_2A_015063 transcript:Et_2A_015063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPQPTHHRTETKAVCIRIFSLTASFKLRKKRSRKEEIERMAMAVQQGSRQQHDGVKVKFIETQFISSDAASFKAVVQRLTGKSSPSPAHSSPAPAPPQPQRPRPCRPAPFAGADAGQQQQQHSGWAAHEQQAAGHSHLFTMPTTPKQEQLRLEDQLYELCDFGDLLYATAAASRRDGFPY >Et_4B_038554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29791913:29792287:-1 gene:Et_4B_038554 transcript:Et_4B_038554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSGAAAMSRAAEKLCRRCKGSYDPSGNTPQSCRFHPSFFVCRRHDDQKRYYELRDGDPPYAAKFYDCCGAEDPDAPGCTTDFHRSYDDADD >Et_3A_026924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26666933:26670766:1 gene:Et_3A_026924 transcript:Et_3A_026924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDDKAAPKLVKFLTKFLTLSSAHSDDEGDSEGTSGDKTPPRASDTAGADAGDPDEQPDTPSRGVKGDISELTDTLTRRFWGVASFLAPPPTEAKEEEEDEAAAPTEAEDEEGVQSPRIAGIRSDLAEIGGRVRTGISMLSNANAVAEFSKIATSFLPFGSQEEEEGEGEAVGVTDEVAVFVSNISKHPETWLDFPLFVNDRLADVLNKQDTEVLSTPQILEAREELLQSSPTKNKISPKGIEGSGLSSEDRIVPSIQPEDKEPSPSSIENKNEISETPSIQEPTSNPIADVEADKHPISTTEAEIIDKPVIQEELVVKNETKSTQAVKSNLYTSEDDDKEVDDWLQDMAYKTGNTTAAGEDEDVSFSDLEDD >Et_2B_019900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1500740:1504018:-1 gene:Et_2B_019900 transcript:Et_2B_019900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQASVHRSYRHATAGKKKLPLPLPTPPELRAMAIHLRAHAFAANPLRGLSASSAVSPSAASEALRSLLDPGAGADAASPHRHLSKILPFRRGRPLARSPDTPPPAAPSWNLAWLPPSRVPGVPPDAFVFLGAHGEGDGKEAAAYWAVDVSEGEASKVGGAGDGSAFVDLRTLMVATDWSDKDAMGELAIAGHARALLEWHSTAKFCGACGAKAVPTEAGRRKQCSDESCKKRIYPRVDPVVIMLVIDKENDRALLSRQSRFVPRMWSCLAGFIEPGESLEEAVRRETLEETGIEVGQVIYHSSQPWPVGPSTMPCQLMVGFFAYAKSLEINVDKQELEDAQWHSREDIKRALTFAEYEKAQRTNAFKVNQICKGAEKGQSLSSDLRAESSEPAPMFVPGPYAIAHHLISSWAFEGAPKVPSSFSNL >Et_7A_053113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:717865:725231:1 gene:Et_7A_053113 transcript:Et_7A_053113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLIIKLRRRRLRLCLRDVFGTRERVREMVKTTPLGKELILRTELRIIKATFFKQIPGIRGWEEYRSQVSAMEPHTATIVAALQRYKARRIERGLFHFVPKPDSVSFWLLSPMGAPLGSARREAMRKWRRWREKRKAVQAALLEKKSLSLSPMNC >Et_9A_060995.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:3196164:3196589:-1 gene:Et_9A_060995 transcript:Et_9A_060995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERASGAAGIAQTWSANVDHTRLMGMVEGAQPKNFSGSRVDRDAFATTNARGSHDMGCCWSQSAGVAAGESGKCYINVKPMLSCSDPFLLRLWPLRSCCSLRAALFLACVPVSSRVACAPPFSFFPDSVWYLWCLSPLPNL >Et_3A_026928.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:26830174:26830620:1 gene:Et_3A_026928 transcript:Et_3A_026928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGHDMGGMTPPPPMKKHYMHMTFYWGWKSEILFSGWPGARGGMYALALIVIFALGILLEFLGSRRLESCLPPAGTRRRAAAGAAVHAVRMGVAYVLMLALMSFNVGVLVVAVAGHAAGYLAFRAGLCGDGRAQVEDGGKEPAACC >Et_7B_053768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11998010:12006767:1 gene:Et_7B_053768 transcript:Et_7B_053768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYAAGSNFHSTGSSSCDAAAADDDASVANEMPDPNDTITSFYLCRYLTSLPRSTGILYSWTEEVGNNSKPLEKTQLPNHNEPSIYRDRTNKIEKPYQQRLRVEAHKGIDNLDVVGHVGDLSRLQGLELDGEDAILCADKDDTGVFRTHSAQACSPLRIGKRKQVFEINDSATHPSGISVDVVRGRLPRPQRNLVTTTQAGQSQPAVLGRHDNDFAELLYARRLDESQDVLFVDTCIEHVIVALQHGRVPVPQEGSRSADEVERVPHKRPRSIKFEVESLGLHVDAGVLTPVPELSGGVPQVVFGVHAGEGDDIGTTAAAAVVASSFAGCADNSCVNRDPVHFIGDLVKVAGDRIPDDETTPRGGVVVVVVEDDAAVAAVEHFTLRSAVGGRNDDVVAGTKWAASLSARVVEEAEHRGSPVVERAVAVGSARMRRHALDTAEDRTMLAGSSGWMRRRISGMMSPGRDCAGGAMLRHEKRKAPLLGLAIHRC >Et_6B_048546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10625413:10626644:1 gene:Et_6B_048546 transcript:Et_6B_048546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIICGMFKVRNCTTLFHTTVVFSYLVDATKTSNEWHFEIFPRGGEIWVIYLNWTPAWVPSRIISCQYVVGEIIKVTKACSKLSFLSKVVGYTIVFQPDKENGIMEIPIHESLRFSHRIRSFHVTKESGGDLLFIYASSDYFYVPIFSPGSLKPSLVLQIDTSIWAKEGTRNFIRPK >Et_2A_016613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26599021:26624986:-1 gene:Et_2A_016613 transcript:Et_2A_016613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRRRGGGGPERVAENWERLVRAALKRDRDHLRAGGAASAAAAGLGLASAVPASLGRTTNIEQILQAADDIEDEDPNVARILCEQAYTMAQNLDPSSEGRGVLQFKTGLASVIKQKLAKKDGASIDRQNDIQVLWNFYLEYKSRRRVDDMQREQERLRESGTYSTEMGARAIEMRKVYATLRALLDVLEILVGPSPTDRLGRQVLEEIKKIKRSDAALRGELMPYNIVPLDAPSSVANIIGFFPEVRAATAAIQNCEDLPRFPYDAPQLRQKDIFDLLQFVFGFQDDNIRNQRENVVLALANAQSRLSLPVGTEPKIDERAVTEVFCKVLDNYIKWCKYLGKRVAWTSLEAVNKNRKIILVALYFLIWGEAANVRFLPECLCYIFHNMAKELDGILDSSEAEPAKSCVTSDGSTSYLEKIITPIYQTMAAEATNSNDGKAAHSAWRNYDDFNEYFWSRSCFQLSWPPAEDSKFLRKPAKRKRTGKTNFVEHRTFLHLYRSFHRLWIFLILMFQCLAIIAFRHGKINIGTIKVLLSAGPAFFILNFIECCLDVILMFGAYKTARGFAISRLVIRKVLEEHNARNSDSTYFRIYVLVLGGYAAVRLVFALMAKIPACHRLSSFSDRSQFFQFFKWIYQERYYIGRGLYESIRDYARYVIFWLVILACKFTFAYFLQIRPLVDPTNIIVQLHDLKYSWHDLVSRGNKNALTILSLWAPVLAIYLMDIHIWYTLLSALVGGVMGARDRLGEDSEITKMYASIFSPFWNEIIKSLREEDYVSNREMDLLMMPSNCGNLMLVQWPLFLLTSKIMLANDYASDCKDSQYELWYRISKDEYMAYAVKECYQSTERILHSLVDGEGQRWVERLFRDLNDSIAQGSLLVTINLKKLQLVQSRLTGLTGLLIRDETAGRAAGVTKALLELYEVITHEFLAPNLREQFDTWQLLLRARHEGRLFSKIFWPKDPEMKEQVKRLHLLLTVKESAANIPKNLEARRRLQFFTNSLFMDMPAAKPVSEMIPFSMSELLVENEDGVSILFYLQKIYPDEWANFLERIGRGESSEDDFKDSPTDTLELRFWVSYRGQTLARTVRGMMYYRRALMLQSYLEKRYLGGIEDANSAAEYIDTQGYELSPDARAQADIKFTYVVSCQIYGAQKQMKKQEAADIALLLQRNEALRVAFIHEEDSVSSEGHPIKEYYSKLVKADVYGKDQEIYSIKLPGNPKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYLEEAMKMRNLLEEFRNVNGNHGIRNPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLAYLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLTFFFTTVGYYVCTMMTVLTVYIFLYGRVYLALSGLDYSISRQARFLGNTALDAALNAQFLVQIGIFTAVPMIMGFILELGLMKAVFSFITMQLQFCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIIYIAYGYTKGGSSSFILLTISSWFLVFSWLFAPYIFNPSGFEWQKTVEDFDDWTNWLLYKGGVGVKGDNSWESWWEEEQGHIKTLRGRILETILSLRFLIFQYGIVYKLKITAHNTSLAVYGFSWIVLLVMVLLFKLFTATPKKSTALPTFIRFLQGLLAIGIIAGIALLIVFTRFTIADLFASALAFIATGWCVLCLAITWKRLVKFVGLWDSVREIARMYDAGMGAIIFVPIVFFSWFPFVSTFQSRILFNQAFSRGLEISLILAGNKANQQT >Et_6B_049234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2133100:2133713:1 gene:Et_6B_049234 transcript:Et_6B_049234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPGPVLLAAPSSCPSYSLRKKVQKPLKKSSKASAEVGSCLQEVRSRKEKVVCEEQQLRALDRILGDLEDGFEFLSSSLIQSRVSVSLLIILSFRRQ >Et_3B_027955.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28119545:28120198:1 gene:Et_3B_027955 transcript:Et_3B_027955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMLGKITVETPKHEVLHTGAGYEIRKYPPCVAAEVTYDPKEMRGDADGGFTVLANYIGALGKPQNTKPEKIAMTAPVVTTTGGEAEPIAMTAPVITTTGEPEPVAMTAPVITDDQQAPAKVTMQFLLPSKYTKAEEAPRPTDERVVIREIPERKFGVVRFSGLTGDKTVREKAEGLKAALEKDGYTIKGPFVLARYNPPWTLPPLRTNEVMFPVE >Et_1A_009301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3792210:3795333:1 gene:Et_1A_009301 transcript:Et_1A_009301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYATALPHVHAAARRLSLAAAAAPAIAQARLPRRLPGRYASLRAVASAAATEAGAPAKEGGEELGFQEMASGTRRKYYMLGGKGGVGKTSCAASLAVRFANNGHPTLVVSTDPAHSLSDSFAQDLSGGALVPVEGPDAPLFALEINPEKAREEFRTASQKNGGTGVKDFMDSMGLGALSEQIGELKLGELLDSPPPGLDEAIAISKVRRHTYGLKVMQFLEAQEYSMFSRIVFDTAPTGHTLRLLSLPDFLDASIGKILTLRNKIASATSAIKSVFGQEVQQQDAANKLEQLRERMVKVRELFRDTESTEFIIVTIPTVMAISESSRLRSSLKKESVPVKRLIVNQVLPPSTSDCKFCAIKRKDQTRALDIIKNDPELMGLNIIQAPLVDMEIRGVPALKFLGDIVWNILGLGLSSSTCVYHGCYFSNVWHGSR >Et_1A_008194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5032381:5034455:-1 gene:Et_1A_008194 transcript:Et_1A_008194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSPMTKTVKGAVTGLAAGTIWGTVVATWYDVPRVERHVALPGLIRTIKMCGTYGATFAAIGGLYIGVEQLVLSQRKKHDYVNGAVGAFVAGATVCGYRGKSIPSALIGGSCLAFTSAVLDIGGNTTRVDNGKEYYPYTTEKKPAH >Et_4B_037453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:229697:234665:-1 gene:Et_4B_037453 transcript:Et_4B_037453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKINKACDLGSISVLPPRRTGGSGGAGPSGSSAAAAVGSQPHHQRSQTLSQQSFSQVGGGSGGGSSLLHSQSQLSQGSLDENLLTLHLASPPRDQRFGLHDGSSKKMPSLPVTSASGVPEESQLQLAKISSNPVHRWNPSLPDSRCQVPNEDVERKFQHLASSVHKMGMVIDSVQNDCMQLNRAMKEASLDSGSIQQKVVLLDNSIQKILKEQGDLKALGVVRAIRSLNGRPAAMQIPTDQSCTTSEKPLMNLQPAANERPLMNLQPAANERTQMSQTPVATLVTQTPANGRPLVTQTPANGRLLVTQTPIANGRSLKSQMPAANAKALMSQTPVENGRPLMYQLPAANGQSQTNQKPIASGRRRTNQVPAPKVNAASLACPTKVADAKLKTEQGKTKALPQKLTGSGTRVIPKQEEVLNRKVTRQGATNKASPVMIIIDSDDDSDGRATCVILKTQTGAGGEEESLEMMKQATEESQQILRRARKRRRREMQAIVPAT >Et_1A_004723.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:3422136:3422678:-1 gene:Et_1A_004723 transcript:Et_1A_004723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCEQDHLTAARTTLSNTSGLEPCAAGRSLQLSASHQPGRADPAGGQQPRRAKKKRAARPPSRRSSTTVVATDVANFQAMVQELTGFPSAAIFRPLPRRVHAVSSNPFVAAAGMSGGDGRGQGSATAKTTAGGSLCPDAPAVLPAMAQSPPQWAPPAVFEGLSDIGSPAFDSWSDLSIE >Et_5B_043750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15319658:15325798:1 gene:Et_5B_043750 transcript:Et_5B_043750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARACFVALVVVASFLFSKGAAAAGCTAGWPVQRRQQVHSLLKRLNKKPVTSIESPDGDIIDCVHISKQPAFDHPFIKNHAIQMRPSFLPEGMSEVAYHQNTKRITQMWHQNGNCPENTIPIRRIKEEDVRRANSVKRYGKKRPRSIPNPMSVDNNKTSILTGHQHAVASSNEDKYHGTNATINLWQPTLERPNDFSLAQLWIMAGSYDNQDLNTIEAGWQRDAYQTTGCYNLKCSGFIQTGNQIAIGGSISPVSIYNGSQYDINIYIRKDPKDGNWWLQLDSYVLGYWPAFIFSHLADNATMVMWGGEVYSPDANQTTTPMGSGHFPEEGLSKASYIKNIQVIDSSNNLKSPSSLGLITERPNCYNVQNSNNSVWGTYIYYGGPGKNPNCP >Et_9B_063622.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:11662649:11663107:1 gene:Et_9B_063622 transcript:Et_9B_063622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKEPQSLVRALREGEAGGWDTVGGGQGGGEHRYEPIAEVHDEVAEHWRTQVVSAEAAEKGGVGQEAAPALADKGGAGEGGRERREAEENVQEEIIVVRQGRRSRRSGTLSHLDGRRCCKRGENSQCCEGISCCKRGEIHNVLRESVIYG >Et_7B_054569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2441168:2446036:1 gene:Et_7B_054569 transcript:Et_7B_054569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDCAQKAQYMDGHREKFMRLDESSPRSSVPSEVERGSILRFSMPRFGYGSFSALRSFLSGVRNGSGRLKSLKQSLTSGAPKTAFAEDLKSLKKTIFDPQDKLLLRINRVFFVSCIFAFAVDPLFLLLPVIKDPIKDSKCIGIDKTLAVPSTIARTVLDFSYLIRMFLQFRTAYVAPSSRVFGTGELVIDPMLITTRYFKSYFLMDFFALLPLPQIVVWRYLNNSNGADVLSTINALFWVVIIQYIPRLLRIIPVTTDLKRTAGVFIETAWAGAAYYLLWFMMAGHNVGTLWYFLTIEREDDCWRQNCYSKDVCDRSFLYCSDHRNSNYNSWLQTNITEFFNKCDGFGDDDFNFGIYQQALVSGILGPGKFVSKVCYCFWWGLQNLSTLGQGLVTSTHTTEVLFSIAICVLGLILFALLIGNMQSYLQSVAIRLEEMRVKKRDAEQWMHHRSLPPEIRQRVRRYERYRWLETRGVDEESLVQTLPKDLRRDIKRHLCLGLVKRVPLFENMDERLLDAICERLRPALYTENEYILREGDPVDEMHFILHGCLESVTTDGGRSGFFNKVQLKEGSFCGDELLTWALDPKSAANFPASSRTVKALTEVEAFALCAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRHCKRKIAEQRRKEEELANRQSSSHASLGATIYASRFAANAMRGVHRLRSKAVPAIVRVPKPPEPDFGVDDAD >Et_3B_030751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:697354:699578:-1 gene:Et_3B_030751 transcript:Et_3B_030751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTRRAQVVGWPPVRSYRKNTMATSQLKISKEEPDAKQGQGFLYVKVSMDGAPYLRKIDLKTYKNYKELSLGLEKMFVGFSIGRSGSNATSGMEGVSESRNDGEFVLTYEDKDGDWMLVGDVPWQMFTDSCRRLRVMKGSDAIGLAPRAGDKSKNKI >Et_3A_024730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23707285:23716432:1 gene:Et_3A_024730 transcript:Et_3A_024730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATARDDDAFGGETTTQQQQGGAPTSVAAEVEKVPFLGMFRYADRADVVLMVVGTVAAVANGMAEPLMTVVFSAVIESFGAGDDSTVLRRVSKVVMYYIYLGIGTAVASFLQVSCWTVTGERQSTRMRSLYLDAVLRQDIAFFDVEMTTAEAASRISADTVLIQDALGEKVGKYIQLLTTFVGGFIIGFVRGWMLALVMLACIPPSIISFATVSRLRAQISGKRQASYGDAGNVVEQTVGAIRTVASFNGENKAIAKYNTLVKRAYNATMMEGLIIGLGIGCIFFVVFGSYSLAFWYGAKLIISKGYTGGQVINVVFAILTGSMAIGNASPSISAIAEGRSAAQKLFKVINRKPQIDITDASGILLEDIKGDVELKDVSFRYPARPEQLILDGLSLQVLSGTTMAIVGESGSGKSTVISLVERFYDPQSGEVLIDGVNIKNLNLQWIRGKISLVSQEPLLFMTSIRDNITYGKEDATLEEIKRAAELANAANFIEKLPNAYETMVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERVVQEALNRIMVGRTTLIVAHRLSTIRNADCISVIHQGKVVERGPHEELINDPDGAYSQLIRLQQAHNEERHEVSNTEVSRTLYKSKSLSLEQSVARDSPRTGRKHSFTKSIGLSVSNELHGHVITESLEQEGSGNSKAPKKAAMGRLFKLNKPEAPVILLAAIAAFVHGLLFPSFSIMMSGGIRTFYYPPHQLRKDSRFWALMCLLFAVISLISIQLEYFLFGVAGGKLIQRVRSLTFQSIVHQEVAWFDDPSNSSGALGARLYIDALNIRRLVGDNLAIIVQCIVTLIAGFSIAFASDWKLTLIIICVIPLIGSQNYFQVKFLKGFSEDAKVMYENASQVVTEAIGSIRTVASFCAEKRVIESYSQKCQASMKQSIRSGVIGGLGFSFSYLVSYLGYALCFYVGAQFVHEGKSTFKDVFRVYFALVFTAFGISQTSGMASDSTKAQESAASILAILDRKSKIDSTSDEGLVLDKVDGNIDFKHVSFKYPFRPDVQVLSDFTLVIPARKTVALVGESGSGKSTIIALLERFYDPDSGMISLDGVELNKLKLSWLRDQMGLVSQEPILFSDTIHANIAYGKQGEVTEEEIITAAKAANAHEFISSLPQGYSTPVGERGTQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERIVQDALDQVMVSRTTIIVAHRLSTIKGVDMIAVIKDGKVAEKGKHESLMAIKGGAYASLVELHSKPA >Et_8B_059604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19920918:19923220:1 gene:Et_8B_059604 transcript:Et_8B_059604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAKERKLSRLGSYKGSPGAGGGGLGSPAARGHRAAAAGPQRRLFAALFAFLCAGVVVLGGVHVIGASFRPVLRTAWPSATLNAISFDAQAHQSGSGADTVLPSVQIRHAVALPDQIILILKDGSVLPPPDQFKCLYSPANSSELRRPPLSAVSLPDGPSLVHCPAGSSSDVAVSLSLSLSPPVAPLQWDRLVYTALIDSRDNSTVVFAKGMNLRPGRLGVASRYECVFGRDLSKPKHVLTSPVISAAQEVFRCVTPVRIRRYLRMTTDTNSKGDSDEKPMLVSIRTKGRGSSTLPSIAQPEPLPRYNRHRRQKAHSMCVCTMLRNQARFLREWIIYHSHIGVQRWFIYDNNSDDDVEQVLNSMDPSRYNVTRYLWPWMKSQEAGFAHCALRARESCEWVGFIDVDEFLHFPGNQTLEDVLRNYSKRPQIGELRTSCHSFGPSGRTKIPKKGVTTGYTCRLAAPERHKSIVRPDLLNPSLINVVHHFHLKERVRYVNIGQGVMLINHYKYQVWEVFKDKFSGRVATYVADWQDEENVGSRDRAPGLGTKPVEPEDWPRRFCEVYDTGLKDFVQKMFTDPETGHLP >Et_4B_038268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27758801:27762360:1 gene:Et_4B_038268 transcript:Et_4B_038268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHDLTAAMAAQLDRHLNPQLVQELRPDKQYNIHMLQERFQIGPDQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSERSVSALWGKLAAEILMQNWDVALEELNRLKEIIDSKNFSSPLNQLQNRIWLMHWSLFIFFNHENGRNGIIDLFFQDRYLNAIQTNAQHLLRYLATAVVVNKRRRNMLKELIKVIQQEQNSYKDPITEFLECLYVNYDFDGAQKKLVECEQKLNMSYDEAELWIMNLIKSSKLDAKIDSVSGTLIMTTTQVNVHEQVIESLKNLNMRTYMLAKNIVEPAQAAQQAAR >Et_6A_047156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26005124:26005599:-1 gene:Et_6A_047156 transcript:Et_6A_047156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKRLHFSEGRSKSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFEMEAEKRREEERKKMKQKEEERRRQYEAE >Et_2A_017626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4274753:4276991:1 gene:Et_2A_017626 transcript:Et_2A_017626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGLSSALLLLRCLLTIALGSSEPLICDEASEATARIYPDGHSRPDSPHTGGESAPLGPDQSTTTAPRGAPATMSLAAIFVFLVVSALQMLDSVLDLARKRGAITGDQLKLRAEIAQLLKEASALSTPSTFAQAAKLKRLAGAKEKELAKIQELNIKGKQSLHDQYGRVLLITKVLIYGVFVLWFWSTPVATVPQHLLQPFGRMFSWRGVDAPTGRVVVGILPWLFLTSRVSKLLSQKLAPIFLHP >Et_5A_040330.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:14535737:14535784:1 gene:Et_5A_040330 transcript:Et_5A_040330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQQKHWRQGMAWR >Et_2B_022440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2231172:2237759:1 gene:Et_2B_022440 transcript:Et_2B_022440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLEEELHHLMVRHAIPIDPTGLFFSLRHLSLESMDDLDDSRPPWNAWPRVLPMLFLVAAASCLLRLFQGIILDKFNMDSYFLITAAFNGADVPAAVRGGVADSSINHRFSDIPILRGNSQITSSPCS >Et_9B_064587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16730250:16731090:-1 gene:Et_9B_064587 transcript:Et_9B_064587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSQRTQFGLATAFFGVLSFVLAVVAELKKPPYGTPITGRDDVVVCRFPPDPTVALGALSALAAACSAGLGALAVFFPYGGRRVPRKVLFGYAPLYVFFHVAVGVTVAGLGMTMWPTVGEAEHLVRNVHRDPEYACPTAKTVVLGGAAFLNLDAMLFWIVCLMLAGNVREDYFDDRDGDGGEAEGGVTCCATSD >Et_3A_026123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4557609:4560547:-1 gene:Et_3A_026123 transcript:Et_3A_026123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPSPGTIKATVPPSTTTPSPASTTPGSSAPVTQPNATPADPSSSPLAAAPPLPSAAVPPPQPASPPPSSPPPPVTVPPPPVVVASPPPAPAAVVPPPSPPVAVPPPPTPAAPPKTSPFVPPVTSSPPPSKLPAPNPPADTTPPTVVQSPPPPGRRRPPRTPTAQPESPPLAPPPSGIPVKPSPTSPSPTSGDPSIPTPTPPGSDPSSVPAPSTIVGPTDPTSPVTTGSPGSNKSSSPTAHGISPPPSSGGMSSGSKAGIGIVVALIVLSLFGAAVWYKKKRRRVHGYHAGFIMPSPASSPSQVLGKTNFSAASPESKESMPEFSMGNCGFFSYEELHQITNGFSAQNLLGEGGFGSVYKGCLADGREVAVKKLKDGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISADQRLLVYDFVPNNTLHYHLHGRGVPVLDWPARVKIAAGSARGLAYLHEDCHPRIIHRDIKSSNILLDNNFEAQVADFGLARLALDACTHVTTRVMGTFGYLAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASKPLGDESLVEWARPLLAQALETGTVGELVDQRLDKNFNEVEMFRMIEAAAACIRHSASRRPKMSQVLRALDSLADVDLTNGVQPGQSELFNVANTAEIRMFQRMAFGSQDFTTDFSQSSWNSQSRGLDTSGSRQL >Et_2A_018637.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3851098:3853467:-1 gene:Et_2A_018637 transcript:Et_2A_018637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLTLPPPADAAGALRRSYLRLIALSSTLRHLDQLLAVTLASGHYTLDPAPATALLLRYASLRAPPAHLLRLFGAFPRPDRFLRNALLRSLPSLRPHLLFPSPDSFTFAFAATALSSSCSRGDTAPPSASTARALHGLAVAAGYAADTFVASALAKLYFKLLRVDDARKVFDAVPSPDTVLWNTLLAGLSGSDAVEAFVRMVQEGTVRPDSTTLASVLPAAVEVADIATGRCIHGFGVKCGLANHEHVVTGLISLYAKYGDMESARRLFDRMGEPDLVAYNALISGYSMNCMVESSVELLKELSASGWRLTSSTLVAAIPVYSPFGHEMLARCLHALVVKYRFDADALVSTALTTLYCRLNDMEAARSIFDAMPEKTMESWNAMISGYAQNGLTEMAVALFQQMQELNVQPNPITISSTLSACAQLGALSLGKWVHKIISKENLELNVYVMTALIDMYAKCGSIAEARSIFDRMDNKNVVSWNAMISGYGLHGQGAEALKLYKDMLDAHILPTSSTFLSVLYACSHGGLVEEGRTAFHVMTNEYRISPGIEHCTCMVDLLGRAGKLQEAFDLISEFPKSAVGPGVWGALLGACMVHKDSDLAKLASQKLFELDPANTGYYVLLSNLYTSKKHYSEAALVRQEAKSRNLVKTPGCTLIEIGNKPHVFMAGDRGHPQSEAIFSYLEKLTAKMVEAGYRPVTEVALYDVEEEEKEHMVKAHSEKLAIAFGLLSTEPGTEIRIIKNLRVCLDCHDATKYISKVTQRLIVVRDASRFHHFRDGVCSCGDYW >Et_4A_035748.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:510705:510983:-1 gene:Et_4A_035748 transcript:Et_4A_035748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMKQVVAVVLVLLVVAAGSGTAASCNAGSLAPCAAAITSGSRPSAACCSNMRAQQGCFCQFAKNPAYGSYINSPTARRTVAACGLSVPRC >Et_5B_043257.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20491513:20491584:1 gene:Et_5B_043257 transcript:Et_5B_043257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPITEVIIIAQLRPRWMRSSLH >Et_5A_041870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3671748:3673911:-1 gene:Et_5A_041870 transcript:Et_5A_041870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVFDVSNRQSFLNTSKWIDEVRTERGGDVVIMLVGNKTDLTEKRQVSTEEGESKAKELNVMFIETSAKAGFNIKQLFRKIAGALPGMETLSSAKQEDMVDVNLKPTSSQSNSEQQSGGCAC >Et_4B_039335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1078539:1080266:-1 gene:Et_4B_039335 transcript:Et_4B_039335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNTVAGRNGYTLHSISSSSLFNPSNWTAPNRSAAEAGHTVTIEDSELPSAMSFYRPCPGGRGSRGPWMEFMRLGQSSSSAADNIIGTDHEAHTIVYDAASRAVCTMPGLHAPKHSPVSLAIGDSLFEALIHGFPPDNLGNKDWHWHSLPIPPYVYEPIDFKEVEDEHPHTIRAYPYAVVGDSRLWYSTVGAGTYCFDTATRAWNKVGDWVMPFRGYAEYDAEHGLWFGLSSDSDENKLCMSNLETKDKPVVLKSWQYLTTFATMFGCNNRYMDNNFAVFTGVEVVATAHGCSWTQHDPAQTLYNSMVVQRFLLVYDKNSTSVKKPKRQEVEDEQKYTELSELGYIYLITNTKWR >Et_2A_015917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19028470:19036751:-1 gene:Et_2A_015917 transcript:Et_2A_015917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNSGDPIQQQEEPGEGGGASVKHEAEGASSSAAQASATTMLHRSSSRPQLDLSGAAIHGTLEDRNPTILLPNQSDDISHLALDIGGSLIKLIYFSRHAEHSTEDKRKVSTKRRLGLFNGGRRSYPILGGRLHFVKFETGKLNECLDFISSKQLHRGGVDSPSWRSGAQPDDIVIKATGGGAYKYADLFKERLGVSLEKEDEMDCLVAGANFLLKAIRHEAFTHMDGQKEYVQIDQNDLFPFLLVNVGSGVSIIKVDGHGKFQRVSGTNVGGGTYWGLGRLMTQCKSFDELLELSQRGDNSTIDMLVGDIYGGLDYSKIGLSASTIASSFGKTISDNKELSDYRPEDISLSLLRMISYNIGQISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVNFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLRIHHLVERFPMGAPYISWMEKFVQKGTQITAPVPMGAPATTGMGGFERPTSKGEILRSDASAALNVGVLHLVPTLDVFPLLEDPKTYEPNTIDLDQDEFKYWFKILSDHLPDLAVASEGGTDDAKRRGDAFAHAFSAHLARLMEEPAAYGKFGLANLLELREECLREFQFVDAYISIKQRENEASLAVLPDLLMELDSMNEIDDFDGFKKRMLTDKKDQPYKRALLFVDNSGADVVLGMLPLARELLRHGTEVVLVANSLPALNDVTANELPEIVAEASKHCGILRKAAEAGGLIVDAMAGIRDDLKDEPVSVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLLILEGMGRSLHTNLNARFKCDTLKLAMVKNQRLAEKLFNGNIYDCICKFEPIS >Et_9A_061062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13097335:13098444:1 gene:Et_9A_061062 transcript:Et_9A_061062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPSYFHKISVEEPKWSYFYVFIAVIFVIEVFFLAFAWFFVLRREMRSSRVWAAEEGYKVMKNHFRMFSYKELVKATEKFKYELGWGGTGVAYKGILDEGRAVVVKMLGNISHSREEFQDELDVIARINHMNLIRIYGFCSERSHRMLVLEYAENGSLADILFKREIPLQWRQRFNIALGVAKGLAYLHHECQEWIIHCNLKPENMLLDQDFEPKITDFGLAKLVSYIAPEWISGSPITAKVDVYSFGVVILELVSGTRIFDLVKGEDEKMHEMLKKFIKMLSYKLNVQDPFWLAEFMDFRLGGELNYLQAKTMIKLAVSCLDEERKKRPTMESIVESLLQVAEINETTIC >Et_6B_049157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18973277:18973954:1 gene:Et_6B_049157 transcript:Et_6B_049157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNMQPDAIIARSEANNCLHFRLSLELRLSHFGSNGQTFMSPHFHPTLKEAQAAAANVTLVLLAQEATLPVPAVPYKNLLQKLAQKERLSLPISDTSSDVPNPGAFTSTVRVHGIIFGGEPGRNKKQAEMNAAKVAFQFLNGGKWL >Et_10B_003702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5016468:5017082:-1 gene:Et_10B_003702 transcript:Et_10B_003702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSLYPGEPPQQPVVKHEARPHSCDDLTTSSADPNFVSAANDNSLPSNYMEDHMNETIHVYAQENRQTSGYSAQDYELLEASLRSRLVEKFGRKPCLNSTRRSNEEERAVGKVAGIKHDKLFNIYGAMNVQ >Et_2B_019405.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27669273:27669569:1 gene:Et_2B_019405 transcript:Et_2B_019405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSSGARVSEGGGVVVVCESALPTYDPQSAAGRREAARVRALGRAVHCIPVVLLLCALVLWLSASTGSPHAHLGKVLCLSNFQLRLKPAANIVFPK >Et_6B_048291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10175604:10177124:1 gene:Et_6B_048291 transcript:Et_6B_048291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPHIAVVAFPFSSHAPKLLAVARALAAAAPSATVSFLSSHDSLARLRGPAAFPTNLKLVKVWPVQWDERTPSWRRIELFLDAAEDGGLAMALDTAASMAGGRKVSCVVGDAFVSMAAEAGVPWVAVWTGGPCALLAHIHGDAIRADIGDVNVHAAGSRGNELLTGYPGLGSFRIRDLPFGDPDTGGDMFRVMSRLLHRMVERLPRAAMATALNFFPGLFPSEISAAIADAIPNSLPIGPYHLIPVSDAAARDGGDKHGCLVWLDGRPACSVAYVSFGTVAALPPEELRELALGLQDAGAPFLWSLREKSWPLLPPEILDRSAAAGFVVPWAPQAAVLRHPAVGAFVTHSGWGAVVEAISGGVPMLCRPFFGDQMMNARAVESMWGFGMVFADIDAPVTRGGVAAAVADLQAMVARAFEPHGGSTINFRKLVDIVCGHV >Et_2A_016584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26328489:26331319:1 gene:Et_2A_016584 transcript:Et_2A_016584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITTVLFPFHAWVVVSTICTTLLVIDVHFTPLEFLFAKMAGYASRGPPNGSVYVCNLPPGTDETMLAEYFGTIGLLKKDKRTRRPKIWIYRDKVTDEPKGDATVTYEDPHAALAAVEWFNNKDFHGSTIQVHIAESKNKDTFDILAPLNVAAVDVGGQDELDNGAGRGRGRGDGAGKAWQQDGDWICPNTRCGNINWAKRMKCNICNTSKPGTNEGGVRGGRGGGYKELDEEELEEVKRRRKEAEEDDGEMYDEFGNLKKKFRAKAQRTESAEPGSGCTGWEVEHHGSAEREGRERSRDRGRNDNGEMEGRERSRDRGRNDNGEMEGRERSRDHGRDDYEKESRDRSRNDNGERERRERSRDHGRDDYEKESRDRSRNDNGERERRERSRDHGRDDYEKESRSRNHGRDDYDKESRNRDRSGHGRERRQSRSQSRDRNRERGRDRSRDHDYERSRDRDRDRRR >Et_10B_003466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:229816:233292:1 gene:Et_10B_003466 transcript:Et_10B_003466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSPSRKALSKIACNRLQKELAEWQVSPPAGFKHKVSDNLQRWVIEVTGAPGTLYAGETYQLQVDFPEHYPMEAPQVIFLNPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKQRPQDNDRYVRNCRNGRSPKETRWWFHDDKV >Et_8A_057183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22050068:22053045:1 gene:Et_8A_057183 transcript:Et_8A_057183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATARSGFRRMFSVSAFVPKAPTPPPKADPSPNLFISGLSKRTSTEGLRDFAAKFGEVLHARVVTDRVTGFSKGFGFVRYSSVEDATKGIEGMDGKFLDGWVIFAEYARPRPPPQQQEMNSQPQQSWGPPSGSWGSQ >Et_3A_026751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1882325:1909714:1 gene:Et_3A_026751 transcript:Et_3A_026751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDRQGRSGKKGLPPKKGSSPRKGKKSRANWTNGQTSFLVSMMKEYADAAKYRGQNGWTKEGWNNMVTRLNAKYDGANFTVQQLKDREQRLKKDHSSVKSVVSKSGFGWNPEKGVPTALDEKWEELPPALQKWRYKSFPYYDDLYEIYEGLFQHDFPCTPSSVRNGADRWASSLPSSISPPLSNPNPRLSPSRPPSRAPRPVAVESAAVTHRWPTAARCLDPKFLPDLEHPRAPFSILSLPSLRRSRFRPMHAAKKQPLGLGDGDWGHDGVVSGVDLVANSEALLGSTAPLAPYPRSATASQSSSPVAAPASPDLAPIFPWPRQ >Et_5B_044425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2400103:2401786:-1 gene:Et_5B_044425 transcript:Et_5B_044425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SQHPYQLKSSKGAPFVPRSIRIFVIALCGFYVCYLSFNQISLENKGEMRSGEQRRENICRQPYLSNEELRYVHFPKPTSYSRGECACTPVRFFVIISMQRSGSGWFETLLNSHPNISSNGEIFNRIDRRENISSILQTLDKLYNLDWVTSAAKNECTAAFGLKWMLNQGISDNRADIVSYLNKKGVSVLFLFRRNTLRRLISVLANDYDRDAKQLNGTHKSHVHSKEEAEILAKFRPELDTSNLIINIRNIEKTIRDSLDYFNSTRHMILYYEDIIGNSNALSQVQEFLGVPVRKLISRQVKIHTRPLPNLVKNWEEVSSKLNGTEYARFLDDRLC >Et_2B_019094.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:8135753:8136019:-1 gene:Et_2B_019094 transcript:Et_2B_019094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASIHNSNACSKEIHRSRINTLKIKAYLQKRLGPERATRYFRLLSKFLSQQVAKIEYNEAMNQIVGRENIPLHNHLIRSILQNAMRP >Et_8B_060658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4142324:4146969:1 gene:Et_8B_060658 transcript:Et_8B_060658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVFVYSVKSSLGAKDVPNPHFMEESDMQEQLKKLQEELTREKQEKMRALDEVEDLKKNKSRTKKLKSYGSQDQLDLADRLQQLEGELDAARDSERKLLLSLEAQTKQLEQTKVSLEEAKLEITSLQDSKKSLEALTTRSPDPSTEPVKNLRRRGVMSFSFANPGEVETWSLQRELKLAVESEEKCKRAMDDLAIALKEQTTEAREAKTKLSSVQAELDNARTEVENLKGSLAITDKKLKMALEEAGRLKVESDELTAASKEKERGLIDCIKMFEGELTRAKEENDKLIESQKVIRDENSRLREMLKHAVGEANVARESLEIARVENSRLNDHISEKESALQSMMQEYESLKVSEAAAQSSIKELKDMVDAMFSSESARTSVEASPRDIKRGVIPESTYANTDIDTKADYRRKSDATPHIELEQAKMAKVYSQPESDEGNCDMYYVPAIIAFQEMTSLTAILSNSLLALSTSPSLQYPVIIAVQATTFFSGIA >Et_1A_006013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16685081:16688200:-1 gene:Et_1A_006013 transcript:Et_1A_006013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIDRQRVLLRHLDPAASPALSVISASACAAGDSAAYHRRPAFADDVVIVATAICKAKRGGFKDTFAEDLLVPVFKALVDKTKLNPSEVGDIVVGTVLAPGSQRAIECRMAALYAGFPDTVPLKTVNRQCSSGLQAVADVAAAIKAGLYDIGIAAGLESMTVNRVALEGQVNPKVELFSQARDCLLPMGFTSENVAHRFGITRLDQDQAAVESHRKAAAAAASGKFKEEIVPVHTKIVDPKTGEEKEIVVSADDGIRADTSLAVLSKLKPAFSKDGSTTAGNASQVSDGAGAVLLMRRDVAMQKGLPVLGVFRSFAAVGVDPAVMGIGPAVAIPAAVKAAGLQIDDIDLFEINEAFASQYVYCCKKLELDPAKVNVNGGAIALGHPLGATGARCVSTLLNEMKRRGKDSRFGVISMCIGSGMGAAAVFERGDAVDELTNARGIPSHNYLSKDVM >Et_10B_003749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5521887:5526028:-1 gene:Et_10B_003749 transcript:Et_10B_003749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLSLPGGSPVTVTVSPTRGKAAGEADAAVVKRGGVLTSPAPRSRHSLGGAAGSSSSTSTATLQVSPVRRSGGSRYLGASRDGLSDSGAEFVHYTVHIPPTPERAAMADSFEAPPGASAAAEGEEEVRPQRSYISGTIFTGGLNQATRGHVLNTSADGAAARSSVAASGNMSCKMRGCDMPAFLGAASAGRGGGRSPCDCGFMICRECYVDCVNGAGNCPGCKEPYNTGGNDSDIDSGGDDDEEAVSSSEERDQMPLTSMARRFSMVHSVKIPSGGNGCAGGVGGKPGEFDHARWLFETKGTYGYGNALWPKDGHGGGGGGSNGFAGFEEPPNFGARCRRPLTRKTSVSQAILSPYRILIAIRLVALGFFLTWRIRHPNPEAVWLWALSVTCEVWFAFSWLLDSLPKLCPVTRAADLDVLAERFERPTARNPKGWSDLPGIDVFVSTADPEKEPPLVTANTVLSILAADYPVEKLACYLSDDGGALLTFEALAETASFARVWVPFCRKHGVEPRSPEAYFGGGAGGQKRDFLKNKVRVDFVRERRKVKREYDEFKVRINSLPEAIRRRSDAYNAGEELRARRRQQEEAMAAAAAGGGGGAAALPEAEAAAVKATWMSDGSQWPGTWLNAAPDHSRGDHAGIIQAMLAPPTSEPVLGGEPAESGGLIDTTGVDVRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYVHSSSALREGMCFMLDRGGDRVCYVQFPQRFEGVDPNDRYANHNLVFFDVAMRAMDGLQGPMYVGTGCVFRRTALYGFSPPRATEHRGWLGTRKIKKLLSRKRTMGKKTDRDNHNDTEMMLPPIEDDGDNGFLRQLDDIESSALLPRRFGGSATFVASIPVAEYQGRLLQDTPGAHQGRPAGSLAVPREPLDAATVAEAIGVISCFYEEKTEWGRRVGWIYGSVTEDVVTGYRMHNRGWRSVYCASLSTRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASPRMKLLQRVAYFNVGMYPFTSVFLLVYCVLPAASLFSGKFIVRSLSVPFLALLLVITLTLCLLALLEIKWSGITLHEWWRNEQFWVIGGTSAHPAAVLQGLLKVVAGVDISFTLTSKPGSSDDGDDDAFAELYEVRWSFLMVPPVTIMMVNAVAIAVASARTLYSEFPQWSKLLGGAFFSFWVLCHLYPFAKGLLGRRGRVPTIVFVWSGLICMTVSLLWVYISPPAGARERIGGGGFSFP >Et_7B_055379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9818577:9821760:1 gene:Et_7B_055379 transcript:Et_7B_055379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSAPLLRRLVSSSAPPPPLPGHGGGARRTVTYMPRPGDGTPRAITLIPGDGIGPLVTGAVRQVMEAMHAPVYFETYDVHGDMPAMPPAVVESIRRNKVCIKGGLATPVGGGVSSLNMQLRKELDLYASLVHCSNMPGLPTRHKDVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVASKYPGIQYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVANTAAGIVGGTGVMPGGNVGQDHAVFEQGASAGNVGNENIVEQQKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGQFRTKDLGGTSTTQEVTDAVIANLD >Et_1B_010592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1224891:1226954:1 gene:Et_1B_010592 transcript:Et_1B_010592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKELVVQRNGPVDIREIAAKATLREVRQNGHTYVELRRAGKRVIFFCTICLTECFSDNVLFDHLKGNLHARRYAEAKVTLFGPMPWPFNDGVLFFNNSQEKDPLLLESSSQNTRELALVPLPKSAGNDTEVTSKLKNGSSSRNGVEGARSVAKGCSKGRNSALSDDLVMSNHSAADGPLVIPGVLLQDVVTNLPVHLLGYGNIAYRICEASQSSRKISKIWCAWVGQESDRPNTYEQSGFAIVNFSYTYDLGRKLSSDDQDLPISSGSFFVIDDAGHRGKRRKKSFSDQEASSEESNGQNSSAHVNSQAIVTGSITGTSANLQVSLVSSKSVRRELRKQKRTAAEKVCDICGRPMLPGKYVATLLNRNTGNLACSSRNSSGVSKFQTSLCFLAFLEDIAYHLFHTSCLLHWTLLCQYEILTDQLASKGKSNRGRKAKNAPKKSKLTSILCPECQGTGIHVDGDELEKPTISLSEMFRFKLKAIEAHKAWLKSPEVLENCSTGLHFPSEHLLNSERCMLEIRSLTPWLQEKVMPLKSLPFYTADA >Et_2B_020741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23125641:23128218:-1 gene:Et_2B_020741 transcript:Et_2B_020741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEPVTARLMATSLLSRSLLRRATSTGAPKPIGLEPSARIPRAPSRTASSTSSGDPGQEPPAPAMDSPIKVVSHIGGGEGAGSGSGVGAIDAGKSARKPLSLWPGMYHSPVTNALWEARSSIFERMMDAGAGAGAEQQQQRAPTELLTKTPAQSRTSIVYKFATDDILREQYRDPWNEVRIGKLLEDLDALAGTIAVKHCSDEDSTTRPLLVVTASVDKMVLKKPIRVDTDLKIAGAVTYVGRSSIDIQIEVTQIDQDGDSQSDPTALTANFTFVARDSLTGKSAPVNRLSPETEREKQLFEEREACDKMRKRKREEQKGGLENGIHKLHAEAERLNMLLAEGRVFSDLPALADRDSILLKDTRLENSLVCQPQQRNLHGRIFGGFLMHRAFELAFSTAYAFLGQRPSFLEVDHVDFLKPVDVGDFLRFKSCVLYTQLDNPEQPLVNVEVVAHVTRPELRKSEVSNTFHFTFTVSSDALKNGLKIRNVVPSTEEEARRILERMDAEGLCN >Et_5B_045209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11982960:11984721:-1 gene:Et_5B_045209 transcript:Et_5B_045209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVFTPSLEGMKHVKSDSGVILTKPFLEVCKHILPVLEKFGSAMSIVKSDIGGNITRLETKYASDPSKFEELHTMVKVEVSAKTAKSSSSCTNGLLWLTRAMDFLVALFHNLVKHPEWQMSQACSDAYAKTLKKWHGWLASSSFSVAIKLAPERKKFMEIISGSGDINADIEKFCTTFSPLLAENHKFLASVGMDDLKAS >Et_9A_061811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16708545:16721976:-1 gene:Et_9A_061811 transcript:Et_9A_061811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYTRRRTANDFCDRLATVGFSTNLISYLTLQLHLPLVEASNTISNFNGTANLTPLIGGLIADSWAGRFWTVTFGSFIYLLGMVFLTLSAVLPSLHPAPCAKDAAALCRRASSHQIAVLYLSLLCTSIGTGGTRPCIMAFGADQLELDAHGGPRATNPKWSFFNLYFFGIELAKLTAVIAVVYVQENVGWGMGLGIPTIIMFAAAIAFVAGYSLYVKIPPGGSPLVRLAQVIAAAFKKRKAVVPDPSLLYQDKELDAGISTTGRLLHTDQLKFLDKAAIATEGDVHPSGAPKLWRLSTVHRVEELKSIMRMLPIWAAGILLVTSGSHGNSFAIQQARTMDRDITARFKIPPASMLIFTNITMLLTLTFYDRVLVHVLRRRTGHPNGITHLQRTGVGMALAVVANAVAAVVERRRRSVAAASGLLDDAKAVLPMSVFWLVPQYAVHGVADAFMDVGRMEFLYDQAPESLRSTAAALYWLTNSMGSYLGTVLVTVVHDKTQRSGQWLQDNLNRGKLDYYYWLVVALQVLNLVYYLVCVKYYTFKPLETIGGDSEVELCCRNGHCTEDGESSGKLAGSNVSPSQDVPKWSFFNLYFFGIELVKLTAVTAVVYVQENVVWGPAGARHPHDHYVRGGDRVRGWVLSVGQDAAGQESIGPVGAGYRCSVQEEESRRAGPESPVRLYQDKELDAGISTTGRLLHTDQLKFLDKAAIVTEGDVQPSGAQKLWRLSTVHRVEELKSIMRMLPVWAAGILLVTSGSHGNSFAIQQARTMDRDITWHFKIPPASMLIFTNVTMLLTLTFYDRILVRVLRRCTGLGNGITYLQRTGVGVALAVVANAVATVVEWRRRSVAAASGLLDDPKATLPMSVFWLVPKYAVHGVADAFMDDGRGEKKDEVVAKKAKRQGGFRTMPFILANDFCDRLATVGFSSNLISYLTLQLHLPLVEASNIISNFHGTANLTPLVGGLIADSFAGRFWTIAFGSVVYQLGMVCLTLSAALPSLRPPPCAKNAANCQRASSLQLAVLYASLLCTSIGTGGTRPCVMAFGADQLELDAHQRRGGGGARRPKWSFFNLYFFGVELAKVTAVTAVVYVQDNVGWGWGLGVPTIAMLVAVVAFVSGYSLYVRMPPGGSPLVRLAQVAAAAFKKRKAVVPDSSFLYEDKQLDAGISTTGRLLHTDQLKFLDKAAIVTDGDVLPSGQPKLWRLSTVHRVEELKSIIRMLPIWAAGILLVTSASHNSSFAIQQARTMDRDITRRFKIPPASMLIFENVAMLLTLTLYDRVLVRLLRRRTGHPNGITHLQRTGVGMTVAMLSNAAAAVVERRRRAVAAASGMLDAPKGAVLPMSVFWLVPQYAIHGVANAFMDVGRMEFLYDQAPESLRSTAAALYWLTMAIGSYLGTLLVTIVHDKTRGSGQWLQDNLNRGKLDNYYWLVVALQMVNLVYYFVCVKYYTFKPLEMVGGEDTEAELIYHGNDSRDEGAKKGENFK >Et_1B_013250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6780146:6781924:-1 gene:Et_1B_013250 transcript:Et_1B_013250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRNEGAATPVVLNVYDLTPANDYFYWLGFGVFHSGIEVHGTEYGFGAHDFPSSGVFEVESKCCPGFIYRRSVWLGTTDMSREEFRSFIEKLAGKYHGNTYHLITKNCNHFTDDVCKSLTGKPIPAWVNRLARVGSVFDCLLPESVQVSPVGRVPTLRPISDDDLNSISSSIKEDSDDEAEDKNLLPASSSDLQSVDVPPKLAKDLL >Et_1B_011033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16881501:16882442:-1 gene:Et_1B_011033 transcript:Et_1B_011033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRCSAQHLLLLALVAALADAFDTWTFLIPCRGVVLLAGPVVPTEGIVFHVANRCPFPVWPASAPNTGHPVLADGGFFLAPGKARRVAAPATWNGRFWARTGCNFTTANGAGCLTGDCEGRLACNGSIGSPPATLVEVSLHEDASKGSSYDVSLVDGYNLPVAVSTKPAAAGGSNKCAIAGCAKDVNAVCPPELQVTSAVAGKTTVVACKSACLAFGLDAFCCRGNVYSRVFKDACPAYYSYAYDTTAATATGCYAQEYVITFCPNRWGAAEEEEADRAAQI >Et_1B_010805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14271361:14273342:-1 gene:Et_1B_010805 transcript:Et_1B_010805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSGMDMDVVAYSVLIHGYCKLGEIERALDVCSAMCDSQRVMPTSLNHLSILLALCKNRMLVEARLYLENVAIKHQPNDVALYNVVIDGYAKMGDISNAVQLYDQIILNGMCPTIVTCNSLLYGYCIIGDLHMAETYFRAILFSDLLPTAVTYTTFMDALSEAGKVQMMQSLFDEMRTKGIKPNAVTYSVVIKGFCKQLRFGDAELVLDDMESKGVDADPITYNTLILGFCEAQNIKKALEMHNRMASRGLKATPVTYNLLINALCSKGRVIHAERLLELLRGNDAELRKFAYTTLIKAQCAKGMPHKAIVWVGKLIDAGFEASVEDFSAAINWLCKRQFTEEALMLIPIMLSVGVYPDVQLYRVLGTALQKRNEVFYLPILQALAIKTGSSICHVWIEGIQDNVGHKQLQDQLSYAKLKLRWQLSRHFLDMEM >Et_2A_018665.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4532473:4532673:-1 gene:Et_2A_018665 transcript:Et_2A_018665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVALGGPDVFRFLHGVAGQSPAVDISVCVSAIAAATAIVLGVMLLARYFRVLRRPAWTTCSRGW >Et_1A_006279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:208476:215689:-1 gene:Et_1A_006279 transcript:Et_1A_006279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGMEVEAAAEDAVQNGDDPIRTSAGKPKTNGRRKQGTGDPAFRSPLIKPGDEARGVAAGNRALRERRPATNFYYERDTDDDVGQILFPSLFKPHFALSRLRGLTDASLQLFRFAYTDDVEALNDLVSPESPKRRKMKGRPRKRKDEQLDSEAQFSKSHGEINGNGKEAADNQVKPETTKKRRRPRKTELEQVDRTSQFPNGKNHSKTSRKNDKETVKKRGRPRKIDVEQVNSKAQLSNSKSHGEMNECCSNFLEREKDVDWERWANVPSLPEEGQRKGGLVYVMRKKEFLRSMHRTMPPKKEISQENQIRYACHIVRSLLPWLRKLRQEQMAEKELEAKVRGIPTNEIKVEQVVNRCRTSIVDFHRSCKQCFYDLCLTCCQELRRGEIPSGEDVENVLPEPRGSSYAFGNISQSITENDKRVSIRKEKDSPHSEACTEIAPAENPINPLLLWKAKGDSIPCPPKEIGGCGGSLLDLKCLFPDKMIVELEDRADKVLKSDTFAKEIVSNSNWCPCFDHSGKIRNGIKSLREAANRKDSSDNFLYCPVATAIQDDDLAHFQMHWAKGEPVVVTDCLQLTSGLSWEPMVMWRALRERTQGKAEDEQFSVKAIDCLDWCEVEINIHKFFSGYTTGRAHPKNNWPEMLKLKDWPPSSSFDNRLPRHGAEFISALPFPEYTDPRYGPLNLAVKLPAGVLKPDIGPKSYVAYGFYKELGRGDSVTKLHCDLSDAVNILTHAAEVPCYHLEQIENIQKDMRAQDLQELYGGVKSGAGLNVLPAPVESTNRSVDETQNISCATENDLDINALPPDDIGDDAQDKQSSHESDSQSEPRQFSDHNNEVKTSNEGRGGAHCISHAQDNLESRGHGKQFSGHPLQAVGVKPQENAGADDEQSSIDIQDTPPVDSTKQHNTGGALWDIFRREDYDILQDYLRKHASEFRHIHCNPVKQVVHPIHDQTFYLTAKHKRELKEEYGIEPWTFEQKLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECVKLSGEFRRLPSWHRVKEDKLEVKKMVVHALNEAVNFLDPCSSDGLKSGNDLPNNSDEAVDERQPKKGGPRRRKRKAR >Et_6A_045891.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:26570680:26571297:-1 gene:Et_6A_045891 transcript:Et_6A_045891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGSPPVVRSLTLTTSRGKVHGPFGSDDEGVPFASPMEGGVVVGFHGRSSWNVVDALGLYVAALRPETLCDVAHHRGLSAYRSVVCSSG >Et_9A_063052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9168599:9173019:1 gene:Et_9A_063052 transcript:Et_9A_063052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWGNVYKVAAAMVPLYVPLLLGFWSVRWWKIFTPEQCETVNRLVAFFAIPFFTFGFTMHTDPFHANYRAIAADVISKVVIVAGIGAWVLFTGRGHDAVNWSITSFSLSTLTSSLVVGVPMARAMYGDWAQQLVVQLSVFQAIVWLTLLLFALEVRKAAIGTQLVPTARVQGSSHQISDGDVEATGDAASAADVEGAVQVAGARPPPSIWALIKVVSYKLGRNPNAHASLGGIIVACIANRLQISLPIIIENSIAIMAQCGNGLAMFSMGLFIAQQDNLIPCGAGLTFLGLVLKFILGPIAMTIGSIAVGLRGDVVRVAIIQAAVPQSITSFIFAKEYGLHPDVLSTAVIIEMLVSVPLIILFYVGLEGL >Et_3B_028956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20892991:20896722:1 gene:Et_3B_028956 transcript:Et_3B_028956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRPTPSALVDNYVVPGDIVLDLSEMTNQTIKIGAGLRQECDTIQATSAGMLRLSKPNKYWVESSQKRYIPSVEDTVLGIVVDTKPDNFLVDIKGPNLAFLPVLAFEGGTRRNIPKYEIGTLIYARVVKANSIMNPELSCMDAMGKTAEFGELKGGYMFDTSTGLARMLLSSPTCPVLEALGKKLSFEIAVGLNGRVYVNAPSPSNVILVSNAIIKSESLSGIKQRAMKTEIIELAEVGCRVNASDAKTILLIHPRCAFTAMEKPLLLHVFFAKSSNGVEMVRA >Et_4B_037194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16305786:16307207:1 gene:Et_4B_037194 transcript:Et_4B_037194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPASSWRRNAATAASSTTPRTLLFLLPVLLLLLIFVLSRAPDLTFSATSTAASLSSNRLPGQVRPFDCYASPQASPVFASLVEGVPHPFFYSLADMGALPDRPHKNIQRLLKGKRFRKPDISETIQELLGGEVGRGGSGGVVVDVGANVGMASFAAAVMGFRVVAFEPVFENLQRICDGVYLNRVQDRIMVYHAAASDRVGNITMHKVIGRLDNSAISATGAKLAFKSNEEIAVDVATIPLDEIIPDSERVRMIKVDVQGWEYHVLRGASKLLSRKKGEAPYLIYEEDERLLQASNSSSQEIRAFLSSVGYNHCTQRGTDAHCTKE >Et_9A_061112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16441941:16442909:1 gene:Et_9A_061112 transcript:Et_9A_061112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPDGTFDRHLAEYLDRRVGPDATPRDGVSSFDHVIDPSVGLEVRIYRGAAAATLPILDFLTGQPSADPFPVVLFFHGGSFAHSSSSTAIYDQLCRVLVKKSRGVVVSVNYRRAPEYRYPCAYDDGWTALKWVMSQPWLRSGADARPRVFLSGDSSGGNIAHHVAVRAADEGIKICGNILLNAMFGGNERTESERRLDGKYFVTLQDRDWYWKAYLPEDADRDHPSCNPFGPKGRRLLGLPFTKSLIIVSGLDLTCDRQLAYAEGLQEDGHDVKLVYREKATIGFYLLPNTDHYHEVMEEIADFLQLNLE >Et_8A_058450.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8102848:8103045:1 gene:Et_8A_058450 transcript:Et_8A_058450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKGTAEQQRVLGLSKVDLRGVEPGGQWWDEARIAVTASMEAFFAVLIVHDVLGPDLRRVAPA >Et_4A_032515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1161324:1164328:1 gene:Et_4A_032515 transcript:Et_4A_032515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTSWAGNVRPLDRKGVAWIELLRRRVVVRRLAVPVSGRRPARVVIRQPAPWILMPPNRKRRAPAMEPAAGGAGQQRQNKASAGKKAKKGGGASGSGGRWPAVKPKKDLQINRLKGTHLLTVPEFFTSAEAKAFVDIAESMGFSHQGSLGPLKGEAYRDNDRISVSDHLLAQTIWEAGINRIFTDISVSGKVATGLNPNIRFYRYTEGQRFGRHIDESVDLGDGSRTYYTLLIYLSGKGSAKDSSGQALVGGETVFYDQRGGVVAEVAPVQGMALLHLHGAKCMLHEARVVKKNAKYVLRSDVMLSIGDNIANFSTEGPKSVFPASQARINTSIESAK >Et_6A_048061.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4691972:4692508:-1 gene:Et_6A_048061 transcript:Et_6A_048061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRFLLLLCAAALALSSSAADDTSGFTTFKVYFHDVVGGTSPTAIRIAQAASTNSSSTFFGAVVAIDDPLTTGPERAGSGTSKEVGRAQGTYTFADQKTFGLLMTMNFVFTAGEHNGSSLAILGRNEVLNDIREMSIVGGSGKFRMARGYVQAHTIDSGATNGETVVQYTVNVKA >Et_3A_023049.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:21437587:21437872:-1 gene:Et_3A_023049 transcript:Et_3A_023049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRPPPSPRSDVPRPARRAQAQAAADHPSSHVATSSSAQRLLGFLALAVVLTALLVLAGVTLTAALAALVVLSPLALLTA >Et_1B_012105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2906000:2908686:-1 gene:Et_1B_012105 transcript:Et_1B_012105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRSSSTSSPPQIPPSQSLTLEPAPTASTTTSSSSASGMRDAAEDDSDSPPSQMSEDDPGGGGGERWEPDLRSGNGGGGRWAPPDQVLENVLESVLEFLTAARDRNAASLVCRSWYRAEAQTRRELFIGNCYAVSPRRAVERFGGVRAVVLKGKPRFADFSLVPYGWGAYVSPWVAALGPAYPRLERICLKRMTVSDNDLALVAKSFPLFKELSLVCCDGFSTLGLADIAERCRHLRVLDLIEDCLEDEEDEMVDWISKFPESNTYLESLVFDCVGVPFNFNALEALVARSPALRRLRVNHHVSVEQLRRLMARAPQLTHLGTGAFRSEAGPDGAPALSVSELAASFAASKSLVCLSGFREFNSEYLPAIYQISSKLTSLNFSFASLTAEELKPIVRNCVNLQTFWVLDTVGDEGLKAVAETCSDLRELRVFPLDATEDSEGSVSDVGLQAISEGCPKLESILYFCQRMTNDAVVAMSKNCPELVVFRLCIMGRHRPDRITGEPMDDGFGAIVMNCKKLTRLSVSGLLTDKAFAYIGKYGKLIKTLSVAFAGNSDMSLQYVFEGCTKLQKLEVRDSPFGDKGLLSGLNYFYNMRFLWMNSCRLTMRGCTEVAQQMQNLVVEVIKDHSDDEGESETVDKLYLYRSLEGPRNDAPSFVNIL >Et_4B_036014.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13682530:13683687:-1 gene:Et_4B_036014 transcript:Et_4B_036014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHKLAPITSSSSKNNNKQEQPHLSGAYIRSLVKQLSSSSTARSKENNTMGSKPLSQPEDQPQAQTAPQQQQPHKKQVRRRLHTSRPYQERLLNMAEARREIVTALKIHRASMRQAKEQQQQQQHQQQLMQLQLQQQQEVHAVQEASQAATRASAPMSYASYSDYLYNSSYAHFSTPSSYSSPPTYHTPVTPTVNSEQDFGHLLPLPAQPLGLNLSFQGFSSTDTKTNTCAFDPPLLEPSPTSSYSVYSSPSVTMTSNDLSAVTMENTSLAVDASLHRVLDDEEMAAIYSIGEQHDIEWSDTMNLVTSAWWSKLLESIEGKDDGTVVAQEAEGAANTIGDPLLDMPDWFSDNLGHQPIKESSSPVLGMQLSEYYHANEDFILPR >Et_1A_005254.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35663050:35663259:1 gene:Et_1A_005254 transcript:Et_1A_005254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLQLISPSLHKPPFLDWWLRLQKRIPKIRCQGIDTFVILVAWRLWKNRNNHIFKFQALQEIIDETMV >Et_1B_013012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4777936:4782772:-1 gene:Et_1B_013012 transcript:Et_1B_013012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYWSYWSRALCWEERHQSLVVRLRRAGPPPSALFRVSKRPLTAAAAKPCRATMDGSAAAPLRTRVCIIGSGPAAHTVAIYAARAELKPVLFEGWMANDIAAGGQLTTTTDVENFPGFPDGIMGAELMDRCRAQSVRFGTNILSETVTAVDFSARPFRVASDTTTVLADAVIVSTGAVARRLHFAGSDAYWNRGISACAVCDGAAPIFRNKPIAVIGGGDSAMEEANFLTKYGSHVYIIHRRNTFRASKIMQARALSNPKIEVIWDSEVIEAYGGANGGPLAGVKVKNLVTGAVSDLQVAGLFFAIGHEPATKFLGGQLELDSDGYVATKPGSTHTSVKGVFAAGDVQDKRYRQAITAAGSGCMAALDAEHYLQEVGAQQGKSD >Et_3B_028522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16466779:16467845:1 gene:Et_3B_028522 transcript:Et_3B_028522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASIRDDDNNYQLPMLPAPALLSADDPFYFDGLRPMPADGAATAGLDDALLKTPFSDIDLDAFGDADEHKAPLADNLVIVPADDYAAAAGRNAGVDGHQKPMAIMPYGEHRHQASSVLALPPPQPPMPRPQVGRRGDRLALAAAGKTRLDHIGFDEIRKYFYMPITKAAREMNVGLTVLKKRCRELGVARWPHRKMKSLRSLILNVQEMGKGMSPAAVQRELEVLETYCALMEEDPSIELTERTKKLRQACFKESYKRRRAASVVNTSVIDHIYNFGHGPHQLTLQETSSGAGHGLHSSDYLGY >Et_8B_059346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1686463:1687691:1 gene:Et_8B_059346 transcript:Et_8B_059346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDNDVRDASPPWAELPADPLGEIAGHLGGAGDFVRFHAVCRPWRQAPHPRTPGSFFPEFDQDQQLHLYSPFSAECTSILTALAGKNLECSDASSGRVLAFQPNNLAVPVLINPLTGDAPSLPAVPQIMRPGYESWSGERPTATVSNGIVMLNTNGNETSFAVVSHRPGETDDWEDVDVTTCLASMHFNYYTQDLDEHTRRALTLSRVLRGSTSAVARIPFSMTRRYVVESHGELLCLGVLLSNDEIEFLLCRRTATDVVISVTILALEVNQNGRARWVKREHGQGFEHVCFFLNGESGSGFTVDALEFTGGEVVGGCAYFVGEHMEQTKEGRIKRGYAVYRYRFKDGTAKVVDVLPDGFGDPRRSVNMRHRYGFSDIRFMWYIPRPTISAVRSPHER >Et_4A_031788.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:15158272:15158949:-1 gene:Et_4A_031788 transcript:Et_4A_031788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCRQWREIAKDDYFWKCICARKWPSICKHPPSDTNYQKLYLTFSKPRKMQHLPVPRLTFSDLVLYIDLWLDESLIFSQAVSGCIFRAGLQSTPSGIPDVLLTHLDSLDCTLMMEVEPKLRIPMGPTITVSVLAHRKDTNKMACIINKSMFDYIDGSAARAMAYEYLRFSPRHPFISDIRAWMSLLFLYKGTNVLEVFGIELDFCDAARSENEILWLLDMLDWK >Et_1B_011099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17595304:17603236:1 gene:Et_1B_011099 transcript:Et_1B_011099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMPGAQLARDATEVQPSPAKAEAAHAQLTIMYGGRVIVFDDVPKDGAEKLVRVASAPAGGLADMRVARKASLRRFMEKRRDRLAARAPYGASRPEALLASNFKKGKAAEEADAGSWLGLAADCRGQRRRFTVASGVLGRHVRADAEAAAALAGPSAVVAPSRTMLLIPGADVTRDASDEPEPEPHAQLTIMYGERVVVVDDVPEDRVAELVRVASAMPQQDAPVGGLADGEEGVAAAVHGEEARGQDRRTGLQEDEDSRRSRRWPLARAGEMAEAADCGGWRRFTVSCGVLSQRVRADAAATPKTSPTMLLVPGADITSDTNEELDPSPAQEPSHAPLTIIYGGRVVIFDDVPVDRAEELVRVASATPRGAPAGWLADMQLARKASLRRFMEKRQDRLAARAPYGASCLEKKEAVVVEEEDAGSWLGLGIPGEYQR >Et_1A_005929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15658716:15662543:-1 gene:Et_1A_005929 transcript:Et_1A_005929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEPMRVLVTGAAGQIGYALVPMIARGIMLGADQPVILHMLDIPPAAESLNGVKMELVDAAFPLLKGVVATTDVVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKAQASALEAHAAPNCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQVSERLSVQVSDVKNVIIWGNHSSTQYPDVNHATVKTSSGEKPVRELVADDEWLNGEFIKTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPEGTFVSMGVYSDGSYGVPAGLIYSFPVTCSGGEWKIVQGLPIDEFSRKKMDDTAQELSEEKTLAYSCLE >Et_8B_059974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4649607:4653695:1 gene:Et_8B_059974 transcript:Et_8B_059974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFFFFSAVGVLLVVTLAVFLLHASRRSRRAAEQAMLPPGSMGLPYVGETLQLYSQNPNVFFATRQKRYGEIFKTNLLGCRCVMLASPEAAHFVLVSQAHLFKPTYPASKEQLIGPNALFFHQGGYHQRLRRLVQRSLGPDALRALVPDVEAAAAATLRAWDGGSVANTFHAMKRLSFDVGVVTIFGRRLDQRFKEELRRNYFVARKGLRATLGEIVAARRAQGDMAASECGLLDTLMQWRDDSGAALTDDQIADNVIGVQFAAQDTTASALTWILKYLHDNPKLLEAVKEEQMEVYKENDGGKEPLTWAQTRGMPLTHRVIMESMRMASIISFTFREAVDDVEYNGFLIPKGWKVMPLFRNIHHSPEYFWDPQTFDPSRFKASPRPGTFLPFGSGVHACPGNELAKLEILVLVHRLVTSYRWEVVGPSDEVAYSPFPVPRHGLKARLWSVAEA >Et_8A_058322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4892008:4893245:1 gene:Et_8A_058322 transcript:Et_8A_058322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAHIADLSNTDDDDDLIDDYDTVTRQGTQPERAPLHNYTATQMGRIANEAGHALHFPQGSAEELGALRAFAQRVRKGCRRLAFKLNCIQQHDIVEGEPAGAARSASRTRSRSSTRTPSTSIGHHAASSSRARSSSSESGEDNEGDNDSSYDEQDIIGGSQLDDAPGPSQPTQRAARTRKEVSRYLTRHD >Et_3A_024395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20409550:20412451:1 gene:Et_3A_024395 transcript:Et_3A_024395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRLHTLAPALRRAAATAAPAAPAASSAARAAPLSSAAAAFRRTSPLLSGDKSVTVEDVMPIATGLEREELEAELQGKKRFDMDPTVGPFGTKEEPAVIESYYNKRIVGCPGGEGEDEHDVVWFWLKKDEPHECPVCSQYFVLKVIGDGGDPDGHDDDDDAHH >Et_7A_052322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6930716:6931781:-1 gene:Et_7A_052322 transcript:Et_7A_052322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPVYSITRAEIEEFWRRKEVEEEELRLAAEKEAARIKVKELEIEDYVLFEQMIREILEEGNKGDGTTKMGRGNTRSNTDARIGIKHWWRRSTYAYLNEPALTSTDENGRNRNAIIYFPQERCTRFCSSTPCQPNTTTFVIF >Et_10B_002582.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17058317:17058601:1 gene:Et_10B_002582 transcript:Et_10B_002582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVAATSGQTAARTSKVSCLQLQASSIVAGDTCSETAQRRWPMVSVAAASVAALCLLPVVLCRCTVAPWPPSGSGGGARRRVVEEKGGGGSR >Et_6A_047129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25683121:25687096:-1 gene:Et_6A_047129 transcript:Et_6A_047129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHFCSAGDLSKQLREVKHDISDQLMDGIFTANVNATIILTNIHDRAEVMNDKKNNVPEVRKSPSAPLPLTKFTFSDLEAATNKFSNENLICRSGFGSVYKGVLHDGIVVAIKNIRNSAVLSGDQIYDEINLVSKLQHKNILKLLGYVHQVIQPAYMPNGNFKELIYGSGLDWCSRFLIIHGIAEGIYYLHEQHIVHSDLKPSNILLDFDMNPRISDFAISRMLDYGTDLITHDINYAWEMQRVGAMKDLFVPFLCDESQLKQITSCMEVGLLCTQFKPVDRPTIADVLDMLKGKKEPPIPKKPRYTKRRALRTLPRKSQSSDRLGAPSLSQQTGPPSRMSLRC >Et_10B_004206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18787425:18789411:-1 gene:Et_10B_004206 transcript:Et_10B_004206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRWWPQEEQRSSTPEVARGEYSEPAIRLDGLAPWTPLAELPASEVHRRINDGNWWSDKLPGRFRRRHWHYSEENSRRPGFDGGEFEMARQQPRSGSPCQADADGNGLIDYDEFVTATVHMKTNLIERSTFTPHSSISIRTPAGYRYITKEELEQALKEQVLYDAEEIKEVISDADSDNGGRIDYSEFVAMMRRGTAGAETTNPKERRDLLEFLKNPSVAEVQDPSGIALSTKLDIA >Et_2B_021011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25662697:25667067:1 gene:Et_2B_021011 transcript:Et_2B_021011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CDAESPGHILPRFPRWLHFLTFSTPVPTPRNQATFASIDSSRDHESASSNLVDLHSQAASPFASFCYGAGCSAPVPEAGDKMTMPESPARPSFSGLRGARWRADLGVLPGSATVSTDELRRAAADSRRRYANLRRRLLIDPHLSKDEEGTPNLVVENPLSQNPESTWGQFFRNAELEKMLDQDLSRLYPELGDFFQTSTCQSMLGRILLVWSLRYPEFGYRQGMHELLAPLLYVLHADVQHFKQVRSFHEDLLGDDFDGQTFPDRSKLNRTDRKNNVEGSTAKIRSLDDLDSDTRELFLINDAYGAEGELGIILSEKFMEHDAYCMFENLMNGAQGVVAITDFYSLSPAPESSMGLTPVREASSAIYYLLANVDSSLHSHLVELGVEPQYFALRWLRVLFGREFSLDNLLFVWDEIFSSPNHPYCTDMSREDYQFKILCSPRGGLILSMAVSMMLHLRSVLLGSEHATSCLVRLLNFPEDIDLKNLIEKAKLLQSFALEANLPSSPLRGKSPLTPPNYWEETWKMLQSSIDQKDGGPFIRMKGRGFLRRSLSNTESNFTKTRASSFENEKLTSRKSTANELYNADVMPIQEQKDHVGQGTEEAIGSDSKNACEADQHDGYCSTSCEIRDPLGAASGYLSRSSSTSLSCGTEYDHDIHQVEEPCVSHDNNVVDEPDPLSVHSSRTDEATSISNQPPGLMDGQSDQQSVLCFADGKSKLRYDQNPIMAGSAKNETMAIRSISNVADKELTRTLRSLGDSMVENIQVIEMVFRPNASLTSVDKLNGTVPGSTEQVKALAALKELRKISDL >Et_4B_039925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6052490:6061055:1 gene:Et_4B_039925 transcript:Et_4B_039925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKTSMDAAVVIFGLLQALLFHLAASQSFIGVNYGTIADNLPPAASTASLLTSTSIGKLRLYEPQPDLVAALAGSNISLLLGVPNGDVPTLASSPAAAASWAAANIPANVPVSAISVGNELVNSGDPTLATQLLPAMQNLLAALPAGSSAAKVSTVHSMAVLASSDPPSSGAFHADLAGALDPVLEFLHQNGAPFMINPYPYFAYASDTRPETLAFCLFQPNAGRVDAVSGLTYTNMFDAQLDAVRAALDAKGYNDVDIVIAETGWPYKGDADEAGATVDNARAYNGNLVAHLKSQVGTPRTPGKTVDTYLFALYDEDLKPGPVSERSFGLYQTDLTANYDIGLAKSGSTPAPAIVTPAPSQVTPQPSKGATPTGFCVTTAGVPGSTQGQQVPQSSSCYIPAGAVSLPADASSRQLLWFAVLLLLGMMFHQQALSYSLKGQHE >Et_9B_066191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6193587:6195417:-1 gene:Et_9B_066191 transcript:Et_9B_066191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METIYNTVAENIASRAISFFIDKCPMMREPSTEDKSEHNLQRLLIRARVIVEEAEGRDITNQAMLHQLNILRKEMYRVTEDDLKDRGLNTSIDSRVIRYQNNASNGNEEMLVVIELPKDIDEDTWKTVYSTYVGRIARGSKIIITSRSSKITEFGTTQALVLNYLTREAFWYFFKVVTFGSADPEEQPKLASMAMEIGMGMNGSFIVISGTWL >Et_8A_057059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20676295:20697632:1 gene:Et_8A_057059 transcript:Et_8A_057059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKWTTSCVRAMASTGGGAPPPALEARDWASLPGEILFDVFRRLGLCEVMMGAEFVCMAWRRVALHEPALWRRIGMDEWCTQGCHIDKENHMKFIAVDRSAGQCEAFKGSLLAVDLLDLTKRAPSLKTLDLTHNNDKDSIEELTGALNKFPLLEDLQLSITYMFFEEENLLGSVFKACHRLKKLVVMYASAFDLMCGEEDFSMEPIYGDIALMPELRSLELYDCDLSFKALHDILDNCPLLESLYAHGHFNKLEMDEDLRLKCKRKPESPASSDEARDRAAGLPSDILVDVFLKLGPCRKIMRGAGRVCTAWLRVAVEEPLLWRRIDFATKKMPPTPRRRAMVRAVVDRSAGQCEAFFGYVDKDSLLYLAERAPLLKSLHISTHCKVDSETLNMALKKLTLLEYLEISTSYSDGNLFKYVCQASPHLEKLRVTLPDDTDFDSDSDSSELNDGGLCGISAIYASWSCFEFELTTEGLTAILDNCPLLESLHVTGSFWEIDEMSEELQVKCAKVKNLILPDDEDECDPYNLDGEPWGWEHRKKPGSPAASEERDWAAGLPRDILVDVFLRLGPCREIMRGAERACTAWRRVAVDEPMMWRRIDIDKESSPTPSRRAMARAAVDRSGGQCEAFSGPIDKDSLIYLVERAPRLKSLHISTDCKVDNETLNMALKKLALLEDLEISTSYNTEDLFKSICQACPLLEKLRVTLPDIVCDGSESSDLEINDGGLYEFSAMCKLCLLELFKFELTGEGLTAILDNCPLLESLHVTGSFCKIEEMDEELEVKCAKVKNLILPDDHDCDCGNHDSYGERWDWEHHGSSSSGHRKKPVSPAAASEARDWAAGLPRDILVDVFLRLGPCCEIMQGAELACTAWRRVAVDEPVQWRRIDIDKERPPTPSRRAMAHAALDRSAGQCEAFSGPVDKESLIYLVERAPLLRSLHISTNEVDNETLHMALKKLTLIEDLEISTSYSLGNLIESVCQACPFLEKLKVKLPDRCYISNDSEYHNGGLCEISAMCKLRILELFEFELTAEGLMAILDNYPLLESQHVTGSFCEIERREVEMLMKVKLTKVKNLILPGDACEHDNYIILGEPSGWEPDWAGLPLDVILAVLGKLDHVDVLAGAGLVCRTWRRAARDEPTLYRRIDIHGDLVDHYLDEETEFYDDLNLTECEAFWGDFPGDDSFLHFLADEAPSLKSLRLRSCDDASNQGFAEAIRKLPLLEDLELSLCSNLCGKKLFQTVGLSCPRLKHFARCHFHSEPQHIDYMDEEAAGIATMTELRSLKITGNQLTKSGLVTILDSCPNLESLDIDCCNITWMTSCERSMLISKR >Et_6B_050081.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:5608680:5611421:-1 gene:Et_6B_050081 transcript:Et_6B_050081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSSLLIFVTALFLVNGVVCGNGDALLRRDAMALVSLKAALNCRPHALPSWSAGNAESVCAWTGVRCAGGRVVAVDIANMNVSTGAPVDAALPPVLDALENVSLAGNGIAGSVSASSLPSLRVVNVSGNQLGGGLEDGVWDFASLPALEVFDAYDNNFSSPLPLGVASLPRLRHLDLGGNYFTGEIPAAYGRMPAIEYLSLNGNSLGGPIPPELGNLTTLKELYLGYYNAFDGGVPPALGRLRGLAVLDISNCGLTGRIPPELGALANLDTLFLHTNQLSGAVPPELGNLTSLTQLDLSNNALTGEVPRSLSSLANLRLLNLFLNRLHGPVPDFVARLPRLETLQLFMNNLTGRVPASLGAGAALRLVDLSSNRLTGVVPETLCNGGELRTVILMNNFFFGPIPSALGACATLTRVRLGHNFLNGSIPPGLLYLPRLTLLELQNNLLSGEVPANPNPSSAIASQLAQLNLSSNQLSGPLPTTLSNLTQLQTLLASNNRLSGAVPAEVVGPLRRLVKLDLSGNALSGAVPDAVARCAELTYLDLSRNNLSGPIPAAVAEIRVLNYLNLSRNALAGAVPAAIGAMGSLTAADFSYNDLAGELPDTGQLRYLNATAFAGNPRLCGSPLSRPCSEEYTNGAGAATGGAKRRAGNGELKLVLALGLLACSVVFAAAAVLRARSFRFGSGDGGGAWRFTAFHKVDFGMAEVIESMKDGNVVGRGGAGVVYLGRTRSGGAIAVKRLSPSSSSSSDHGFRAEVRTLGSIRHRNIVRLLAFCTSRGDQANNVLVYEYMGGGSLGEVLHGKGGGFLLPWDRRYRIAVEAARGLCYLHHDCTPMIVHRDVKSNNILLGDDLEAHVADFGLAKFLRAAGTSECMSAVAGSYGYIAPGTCSVHPPFCLLCLPLFSESTNQTVRQA >Et_1B_010859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14823161:14825239:-1 gene:Et_1B_010859 transcript:Et_1B_010859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERFFSYTSTAPQPHEYAGITLLSGPPCCGKTSLLFQFAVNRAAESGRGVVFICSKAKLETNPPFLSQGVDPSMGMLQRIQIKYVEDGEEIRKYFAAFHLLDNFPAAVIVDDFAEFFSERTCQQRYGNNRARDLAMVRILALCHDAIAHANAKLSPPGSCNLLLSDVHQGDTPRLLFIYKRWTSSIYSIQGDGTGCYILKNIGSAESGTRETRTAKYSIALQYLVLEEISC >Et_3A_025425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29845406:29863873:1 gene:Et_3A_025425 transcript:Et_3A_025425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQCPIRPGGTYTYRFQVAGQEGTLWWHAHSSYMRATVYGALIIRPSRGAGAYPFPTPDGEKTVLLGEWWNADTVLLEQKAFLSGTSIRSADAHTINGNPGDFYDCNATTNRISKFEVRRNSTYLLRIINAALNTQFFFKVAGHTFAVVAADASYTTPYATDVIVIAPGQTVDALMAADASPGCYYMAISSYESAPLPLRPGSFNGNITTVVVEYVDGAAPSDDHQQAPALPAMPELTDTPTAFRFYSNLTALLRPGEQQPTVPLAVGTRMFVTLGLCFSSCQPAQTSCNRSAAVVAATMNNASFALPAAVSMLDARHRNATAGGLPDRPPVEFDYANATGALLGATLLSAGGPPSTKVKSVKFNATVEVVLQNTALVGRESHPMHLHGFNFFVLAQGFGNYDAAEAAEQFNLVNPQERNTVAVPTGGWAVIRFVANNPGMWFMHCHIDAHLSIGLAMVFEVEDGPTPDTKLPPPPRDLPKAAVPVRPWNPLLLAFALLLVGPVADAATAKYTFTVGSMRISQLCKTTDIIAVNGQLPGPAIEVNEGDAVVVNVINASPYNLTIHWHGVLQLLTPWADGPSMVTQCPIQPNSSFTYRFSVPGQEGTLWWHAHSSFLRATVYGAFIVRPRRGNSYPFPAPDKEVPIVLGSEWWNQNVVDVESDAILAGQLPVQSDAFTVNGKTGLLYQCANETFTVDVEPSKTVLLRVINAGLNAHLFFKLAGHNFTVVAVDAGYTSNFNTDTLVLAPGQTVDALVTTNAAPGSYYMAVQAHDTLTPSTMAASDATTASAILRYNGTSATATPAMPTMPNSSDASTANAFYFGLRGLATAAPAVPATVDVSMTIELGLGQIPCDPSQTKCTGNAVAASMNGVSFRLPSEASLLGAHLTGSTGVFTADFPDGPPPSGTAMTVATKVKKIPYNSVVEVVLQNPTAVATENHPIHLHGFNFFVLAQGVGNFTPGVSYNLVDPVSRNTIAVPGGGWAVIRFVANNPGMWFFHCHLDPHVPMGLGMVFHVEDGTAPGTALPSPPAEWVGQCDAQHYAAAALAAAAAPADAPTQAPAQAPGAAAESPAEQPRPMEPPARQAPFPSTRGTWFPPIPRLGRKPLGLTHVSSLCSSSSFKKKVGGMKISQLCKESVIYTANQQMPGPTIEVNEGDTVIVHVVNDSPYPLSLHCFGGVVAACFIRHGIFQLRNGWADGANMITQCPIQPSGTFTYQFNVTGQEGTLWWHAHSSLLRSTIYGALIIKPRNGASGYPFPAPYAEIPILLGTVRVYACTEHTYCDALTFASCTGEWWHKNVDDVEKDAFLTGLGPELSDALTINGLPGDLLTSCNAGAGSTYEVEVEYGKTYLLRIINAAVNAELFFKVAGHAFTVVAADAGYTEPYATDVIVIAPGQTVDALMTASAAPGGRYYMAAHVLETKTVPLPFLNFTATAVVKYAGAPDAVPAAMPSLPVHTDVVTAGRFYWSLKGLVRPGAPAVPRAVDHSLMIGFGLDQAPCGPGQTKCRGFSLVADMNRLSFQFPKGVSLLEALYRGVPGVYAEDFPGSPLPLPMARKTTSVRKVNFNDVVEVVLQSQGYSSVLGTENHPVHLHGFDFFVLAQGLGRFDPSMRSKFNLVNPQVRNTIAVPAGGWAVIRFTANNPGMWFMHCHLDAHLPLGLAMVFEVLNGPAPNLFPPPPADYP >Et_5B_043105.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:3723907:3724367:1 gene:Et_5B_043105 transcript:Et_5B_043105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SILVSEYPRASLNLPVPPTTARSIVPAKLSTGPCTDSVLPAGVEHERDGRQVSRAQLQARADDETLEPAQELGRAVRAGAQLVVEHAHAPERRVGGAERGGPAWARPWRWRRRTSRART >Et_5A_042063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5893503:5909442:1 gene:Et_5A_042063 transcript:Et_5A_042063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRNTTDGRPPDSGKPVRRALNKYAFTTALLSSATPLFLGYDLAVVYSTAVTAEADLKLLACTVALSSLLGAIAAVAAQRLIGDRRTVLLSTAALCAGALARGLAARASFAAFTAGVFVNGVGMGLLLTVVPAYAAELSPSSVHGVLGSHPDGFVYLGCIVGSLCYSTGFSKLPPHFAWWMTVASGTAIPALLCSAVLLMPESPRWLVARNHVSEARRVLARTSATLEEAELRFLEIKDELGATHDVSSPDEPVTMAATRGGWTEEIATTWRELLTRPTEPLRRAVITALVAKVFQQASGIGSLVQYVQRAFLNAGVSSRAQVPRALASFGFVVVVSLSLSLALVELGWQLVRGAALAAIAGGGCRRARRAPCSSSSSRRGGVGSVTRRRQEQLRWARGLSATTLLSLTALAWIALGPARWGEDASSSSSSPRGCPRWLRATAAKVNEAVSSAILACFARVYELSAVYGNLIACPAAAALVWLCFCVCLLGARGRREKKRGTMASAVLLEDAKPRKNSRGVKYAFTCAILASTASILPGYDIGVMSGASLYIEKNLNITDVQLEVLIGVLNLYSLIGSFAAGRTSDWIGRRFTVLFAAAFFFAGALLMGLAGSYATLMAGRLAAGVGVGYVAVIASVYTAEVSPASARGFLTSFSEVFVNVGILLGYVSNYVFAGLPLQVGWRVMLGVGAAPSVLLALMVFRMPESPRWLVMKGRLADAKAVLDKIADTPEEAAERLADIKAAAGIPDVDDLDGDVAVAAVTERRNGGEKQVWKELFLSPSPAVRRVLLAAVGLHFFQQAAGVDSVVLYSPRVFQSAGIANESQLLATTCAMGVTKTLFILVATVLLDRVGRRPLLLGSTAGVAVSLAGLATGLTVVGRNPDATISWAIVLCVVSTLAIVSFFSVGLGPMAGVYTSEIFPLRLRALGFAAGVACNRLISGVISMTFLSLSSAITIGGSFFLYAGMAVLAFVFFFTYLPETRGRTLEEMDQLFGKTDGAPEAEDVPSYSSRLLGSARKKRQTMATAALPEAVEKKGNVKYAFTCAIIASMASIILGYDIGVMSGASLYIKKDLKITDVQLEVLMGILNFYSLIGSFAAGRTSDWIGRRFTVVVAAAFFFAGALLMGLAGGYATLMAGRFVAGVGVGYGLMIAPVYTAEVSPASARGFLTSFSEVFINVGILLGYVSNYAFARLPLRLGWRVMLGIGAAPSVLLALMVFAMPESPRWLVMKGRLADARAVLDKVAGTPAERLADIKAAAGVPDDLDGDVVAVPNKKKNRDREEKQVWKELILSPSPAVRRILFATLGLQFFQQASGVDSVVLYSPRVFQSAGITDDNQLLATTCAVGVTKTLIVLVAAYLLDRVGRRPLMLCSSGGMAVSLVGLGAGLTVVGRHPAGAGPSWAVGLCIASTLAFVSFFSVGLGPMTAVYTSEIFPLRVRALGYAVGVACNRVTSGTVAMTFLSLSSAITIGGSFFLYAGIVALSWVFFFTCLPETRGRTLEEMGELRRGFVFVYMAASNCHGVMASMMLDGRVAIVTGGAGGIGSAISKHLASLGARVAVGYIGDPTPARNLVSDINSTHAKQDGEETRAIAVEADASDAAQVKALFDAAAAAFGGELHILVTAAAVLDFSYPSLEDTSDASFDAMFGTNARGTFLCCREAARRLVRDGRGRIVTFSSSGVASLRPGYSAYAASKAAVEVMTKILARELRGTGITANAVAPGSTGTPMFYNGKTEDEMERYIAEAPLGRLGMPEDIAPLISFIASDAGHWVNAQHKCASVSREQRN >Et_2B_019943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15459190:15475022:1 gene:Et_2B_019943 transcript:Et_2B_019943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQLCKEDARNALVSYHQACHHFPSPGAAAAAAVCVGRPAPPRQAALPGATIVSDGSSFALGFFSPANSTPPAKLYLGIWYNDIPELTVVWVANREALATNSTSSAPVLSLTNTSNLVLSDGDGRVLWTTDIASATSSAAAAIGLAAVLLNTGNLVVRAPNGTALWQSFDYPGDTFLPGMKIRIRYKTRAGERLVSWKSPNDPSPGPFSYGCDPGTFLQILLWNGTRPVMRSAPYTGFMVNSEYQANASSFTYMAIVATEDAIYMTYSLSDDAPHTRYVLTYSGEYQLQMWSRNSSAWVVVRKWPNSECNHHGYCGPYGYCYDTVAARTCKCLDGFEPTSQEERNIGNFSQGCRRKEALQCGDGFLAVPGMKAPDKFVHVFNRTSEECAAECTRNCSCVAYAYADLGQDISKATRDGTRCLVWAGELIDTEKMSDTAGRDTLYIRMAGLHAGARPKTNALKIVLPTVFISGILILAGISLAWLKFKGKKISREGHKKPFLGGSSDELGERNLSQECELPFVKFEDIVAATQNFSESYKIGQGGFGKVYKGVLGGQEVAIKRLSKDSRQGTEEFRNEVILIAKLQHRNLVRLLGCSVEGDEKILIYEHLPNKSLDAIIFDSSRKMLLGWPMRFNIIKGIARGLLYLHQDSRLTIIHKDLKAANVLLDGEMRPKIADFGMARIFSDSQKNANTQRVVGTYGYMAPEYAMEGVFSVKSDIVLVVTGIRRNLINNIMGFPNLIVYAWNMWKEGNTRDLALTDPCTCLLEEVLRCCHIALLCTQENPDDRPLMSSIVLSLENGSATLPMPNRPAYFAHVNSEVEQVEAMQDEGLMKLSACTVLSLLFILLPPCRADDRLVPGKPLTPSDVIVSDAGAFALGFFSPSNSTPARLYLGIWYNGIPERTVVWVANRETPVSITGGRGASSAPTLAVTNTSDLVMFDADGGVVWAAITAGAASDAAGTAATLTNAGNLVLRSPNGTVLWQSFDHPTGTLLPEMKLRMNYGERPGDRLVSWRSPGPPGHVPPDLRLERSRPLWRSGVWTGYRVTSEYVASISAIVYFTVVDVEDDAYVSFSLSNGASRTRYVISYAGKLVLQSWNNASLRWDVLGTMPRAECSSYGFCGPFGYCDHTDGKVPRCKCLDGFEPTSGDEWSNGRFSQGYRRREPLRCGADGDGFLALPAVKAPDRFVLVDNRSFDECAAECSRNCSCVAYAYANLSSSPMGDPTRCLVYMGELIDTEKIGAAAAGSETLYLRLAGLPTGGKMGRKTIKIALPVLASALYSIRHYPHDRRIWKAGKKRKQEKHKTLVLGDFITPEGFGEGSPSGGFEFSVVSFSQITTVTNNFDQSFLIGQGGFGKVYKAELDGREVAIKRLSRDSEQGIAEFRNEVILIAKLQHRNLVRFLGCCIEGDEKLLIFEYMPNKSLDALLFSSTRKTIIDWPTRFNIIKGVAKGLLYLHEDSRMEIIHRDLKASNILLDEEMRPKIADFGMARMFNDNQHNANTKRVVGTYGYMAPEYALRGIFSVKSDVYSFGVLALEVVSGVKISSDNHIMEFENLLDYAWNLWNDGKAKDLVDSSIVDTCVTDEALLCIHLGLLCVQDNPNDRPLMSSAVFILENGSTTIPVPNKPVYFSHKNNEIGQIRGHTQSSKNSVTLSALVGSQLHSGLYLGIWYNDIPQLTVVWVANKETPATNSTSSAPVLSLTNTSNLVLSDAGGRVTGGDPSSTPAAAGLAAVLLNTGNLVIRSPNGTTI >Et_9B_064324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14025809:14032050:1 gene:Et_9B_064324 transcript:Et_9B_064324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGRARAASLAAAVRVVAATARPASSAAAAAGVGAMALIVQGEDTPFGSLEWWAYAGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTDAEKEQAAAILPVVQKQHQLLVTLLLCNAAAMEALPLFLDRMFHPVVAVILSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMVICYPIAYPIGKILDCALGHNESALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVDSKLDWEAIGKILARGHSRVPVYSGNPRNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVRAKPKTAPTPEKSEPDRDAAEAQQLTAPLLSNTEERTDSVVVDIERPHSRQVNGNTTPRSEDIDDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSVRRLTGQKSAGAQNRQGQQQPTGILKKPTEGDSQPSKQVNLVEPLLENKR >Et_6A_046480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15795469:15797305:-1 gene:Et_6A_046480 transcript:Et_6A_046480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVQVKESSFVAPSEATPTKALWLSPLDLLQASNGHTLMVYLYRADADGAAFFDMTRLKEALAKALVAFYPLAGRLGVDEDGRIEISCNSEGALFTVAASDHTADDFDFKPSTELGRIFVPRIKPSSIILAIQVTLLKCGSVVLGTAFHHAAGDGLSAFHFLQTWAALSRNAAAAAVEVEQLPCHDRDLLRPRSPPVVHPDALSVLFPEVAFSKPSGPTAAAAFTISKDQVAALRRRCGGGGRGASSTFSAVSALVWRCACAARRLAPGTRVRLVFPAEVRRKLRPALPDRYFGNAIVRLGVARRVAASAVSEDCSSAAMALASVAGRIACAIAKVDDELVRSGIDYLNLAEATELRVSGWVGRGGGMAETDLRITSWLGMPVNDVDFGWGEPQIMSRAESICAGSVYLMEDAGEGGRGAVRMLVGLEAANMDRFKRLFHAGIAEESEL >Et_2B_020850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24183230:24189067:-1 gene:Et_2B_020850 transcript:Et_2B_020850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSDVFADEAEASFTIGEYIEGIEAEELEADLVLGGDDGKECTYAGGYLTRQAVFSCLTCVPAGNAGVCTACSLNCHDGHEMVELWTKRKFRCDCGNSKFGGHLCKLCPEKDSENPANSYNHNFQGSYCTCGRPYPDPEAKEQTEMIQCCICEDWFHEDHIGLTSTEEIPRDEEGEPLYESFICHKCSPVCNFLKLYPDAICASNQQKSTSQADKNDSTVTEGPSDQANNEKRENGALVDDVGTEKTSTENGCAEDIAVPEKAILDSSGSQCKLGTDINTTSADSEKTMPFFMARGWRDTLCRCEDCINFYRRQGVAYLVDKEDSIEEYEKIAKQKRQKKLEQQEGAATNFLNSLGHVQKIEVLSGINDMKNELQSFLQSFDSSKPVTSEDVRAVFENLAKKRQRLS >Et_3A_025462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3076336:3076811:1 gene:Et_3A_025462 transcript:Et_3A_025462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLEPPHNKETLPLGDGLIALMCLCGTPCKLVKSLVLGDGYGKRLWMCNNYQYELSLQRLYFSDGRPKFLPSLCEFIEYIDTEQTPENIAHVYRVAERARRHWFDMEAAERREEERRKMRQKEEERRREYEAE >Et_7A_052971.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:3701611:3702150:-1 gene:Et_7A_052971 transcript:Et_7A_052971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHATVHQWTRDPRQPNNEDMDNFYSAARDPVFNAHHTNVDRMWYIWNLLRRSNTDIADLDWLSAAFIFYEEDARLVRVRVRDCLSASTPPRCLDVDLPWLNARPSMEAGDTQNEAVWVNLTRPRTSRSRREKEEEVLVVHGIEVPDHFRYAKFDVMVNGRTAPRWASSEPRRGSPSLT >Et_10B_003650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4363588:4367982:1 gene:Et_10B_003650 transcript:Et_10B_003650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIALVLSGDRLLVVPSAAAGGSSEIRNSGEWKGVSVDELKEALRRRGPDSLGCERLQLCADGTILGCEGCNGEGEHVGSTSDGAVAELLFIGATLHLRGTEPVAQPLVATSGNVLVYNGEIYGGIHIADDENDTHSLFASLESCCSCDCHAQDNDTACSCCGSVGKSVPQILSTMDSNIIWFGRDAFGRRSLLVHWPTSDDPRFILSSVSPPSLFARNHYDLDMSDNTTASYWEELPCGIYSINLKGFIKNGKEDCIVEVRKHEWANSSLNKLIKWERKQIVRTVENSSVDEGNHRFSQSPMNPREEEENTNSRVVNRDIPSDFSLSAGNCITQSAHRVLVALRESVMLRTKMNVLLQGGPNKLSDEELAPIAVLFSGGLDSMILAALLDKCIDSRWTIDLLNVSFDGQLAPDRISAIAGQRELQRISPLRRWRLVEIDTALTDLKRESEHVMSLIHPSNTYMDLNIGIALWLAAGGDGWVDGSTCHLQDGARYKYKSTSRVLIVGSGADEQCAGYGRHRTKYRLGGWNALDNEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDENVIQTLLDIPLWEIAKLDEPVGKGDKKILREVAKLLGLQEAALQPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVQIHQHTP >Et_7B_053551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:59437:62227:-1 gene:Et_7B_053551 transcript:Et_7B_053551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHHPGCSQAHQAGALLLIAATFLLTRLFDRLLLLDTSSSWSAASPPTFAAAAGWGADLRIYVYSEDEIDGLRALLRGRDGTVPAATCLKGQWGTQVKIHQLLLKSRFRTFNKDEANLFFVPSYVKCVRMTGALSDKEINQTYVKILSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGTSAFNTWKDIIIPGNVDDSMVKSDARAVPPIPLSKRKYLANFLGRAQGKAGRLQLVELAKQYPDKLESPELKLSGPDKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDEVELPFQNVIDYSEISIKWPSSRIGPELLGYLESIPDERIEEMIGRGREMRCLWVYAVDTEPCSAMAGILWELRKVRQFHQSAETFWLHNRSIVNRDLVEFHSWRTPVPLP >Et_6A_046678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19258001:19264514:1 gene:Et_6A_046678 transcript:Et_6A_046678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHEGVHCCSQNQLMMMLLRAAYRKRDALLSFKKGITNDTNNILASWQRGHVAKIAASGEASNRSGKVIKLDLQGAWPYDQPYLVGQISPSLLSLEQLVYLDLSLNLLQGPSNCLPEVLGSMKNLRHLDLSYIPFSGTVPPLFGNLTKLEYLNLSNTSFFGTLPPMLGNLLNVRHLDLSWMQNSCSTDISWLANLHSLEYIDMSNVNLSTIVDYPLVANMIPTLKHIFLVSCSLQGADQSIPHLNLTTLEDLDLSQNYFGHSVTSCWFWNVTSLRTLTLDSTYLGGPFPDALGTMVHNDRDLKNLCELKTLRIAGSLSSGNVTELAERLPRCSGNKLFDLNSRDNNLTGVLPNAMQHLTAIYTIDFSNNSISGSIPPEVWKLPILDTLLLGSNNFSGQILSPPTRIRTLDTSMNCLSGHLPLEFVAPNLEVLSLSSNYITGKVPESICKSQNMMFLDLSNNLFVGELPRCSSMDNMLFLILSNNSFSGMFPPWIKQSFSSIVTWNITKVDRRLGKLVFSAAKSQYVYGNIPENITNIGSLRYLNLAANNISGLLPLNWSNLVKMTQKYPLDPWDEYYVFFDSERGPRPEIFSLVMKHKVLMYGSHGIVGMVGIDLSLNYLTGKIPHEITTLNGVLDLNLSWNHLTGQIPEKIGDMKSVESLDLSRNNLSGQIPSSLSDLTSLSSLDLSFNNLTGQIPSGRQLDTLYTEDPSIYNGNSGLCGPPLRSSCSGSNSTESGKEMPRENDSETIYIYVGYVWGFTFGFWVVFLVMLFKRKWRISYYRCLDRAYDRVYVFVVLTWGRLARQATVE >Et_3B_031505.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:32474401:32474955:1 gene:Et_3B_031505 transcript:Et_3B_031505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRASMGRQKIAIRRIESEEARQVCFSKRRAGLFKKASELSVLCGADVAAVVFSPAGKAFSFGHPSVEALIDRFFLNDLDVVPHPSRPAADVSELNREYGQMLALLDEEKARRDKLLLESQSPAADQVGAMGPSDLLALRAALAQVQLDVAARADQILRDALLAGQAHRELVGSGSHHLFY >Et_7A_051953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3135615:3139496:-1 gene:Et_7A_051953 transcript:Et_7A_051953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVRIVDVSYVAAPADGALPPEPIKLNAMEAQWVVVPVLQHILFFDGDQLPPFDAVLHSLKSSLAATLEAYRPLAGKLIHLADTGDVAISCSSSDDGVKFVVSESDADVRRLAGDEEHDVLTLERLVQAVDMSVLPAPVLAVQATRLEGGGVALGVTVHHGVADGRSLWRFVEAWAAARSAAGAGVRPLACQATRRRGACPERLAQVRAEFACGTYTLRSPCLLPWPSMITRLFENSTRCKLSGVLIRVRSVLFPQANNTFLTEDRLKFTRRTFTLDAGRIDRLKQRIVRLAESRGAPLQRPPSAFVAVIALTWTCCVRSRPFPDDEDVFQFFFADVRNHLDPPAGADYFGACLTGCHPRLPARELRSDHALAAAASAIQGAIREMADDPVARWDFLKLATEIPTNRFLNVSGSSGFRAYDVADFGWGRPRRTVPVRMNQDGQVALVRARDGEGVQLSVAMLERAHMDAFKSERSAPAMVPVRIVDVNYVAVPAAAALPQESIKLNAMEAQWVLAPVLPYLLFYDGDRLPPFDTVVQSLKSSLEATPAGKLHYLPDTGDVAILCSAASDDGVKFAVAESDADVRRLAGDEDHDVRTFERLVPEIDMSVLPAPGLAVQATSLVGGGGVALGVTVHHGVCDGRSLWRFVEAWAAACRGDASPAPPTFDRSLVRLPGGEELARSVLRKYAPNLPVATMHWLVDGEERLRFTRRTFALDAHQIKCLKQRLVRLSEAQGAPLARRPSSFVAAVALAWTCAARARPFPDDQDVFLFFFADARARLDPPVCAEYFGACLTICSSRLPARELRGDGALAAAASAIQGAVREMEQDPLHGWEFMSLAGKVPMDRLMNISGSSGFRAYEVADFGWGRPRLTAPVRMNQDGQVALVRARDGEGVQLSVAMLQRAHMDAFKSEFLKLIVE >Et_9A_061473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12394251:12403958:1 gene:Et_9A_061473 transcript:Et_9A_061473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITASDSSTDTSATNGWRLCTVRQVEDLKSLLGVLPLWSSGILVSVSIGVMVGLAILQALAMDRSLGPHFKIPAGSITVSMLAAFIAATPLLDRAVFPLWRRATGGAPPTPLQRVGLGHVVNVAGMVAAALGERRRLAVVRAHGGGAGEPLGWVAPMSVLWLVVPLGIVGAGEALHFPGNMAFYYQEFPKTLRSTATAMAPLLIALGFYLSTVFVDVVRRVTAWLPDDINQGRLDNVYWAVAAVAAANFAMEGNGSQEPQSRLHLDVVDHESLQQPKDPRKKKGGWITFPFLGGLSLSLSLSLSLSLSLSLSLVSEMAMLGVGVATSGALSNLVVYLIKEYHVPSVDAAQIANIVNGCLSVAPVAGAIVADAFFGCYPIVAVSMVFSVLLLRLPPQPARINLPCQAMLTNSPHPWSQALVVFALTASLPSLRPPPCQPGSNLCAPATAGQMAALYAGVLLICVTAAGSRFNQATMGADQFESPADRDVLFNWFFIFFYTSSILGATVIVYVQDTVSWTLGFGIAGAASVLGLAGILLGSRYYRRPPVRGSPFTGLARVAVAAARKRKVSVVTSGESSFYHGPRPGGDGDGKAGETNVVAPSDSFSFLNRAALITDGDITVADGSVAKPWRICTVQEVEDFKAVLRILPLWSAAIILSVAIGVQINFTILQALVMDRAVGGFTVPAGSMFVATLAAVVVSLGLLDRVLLPLLRRLAGRTPTPLQRIGAGHVITVASMAASALIERRRAATVRAHGEAGDPAWVSPMSAMWLVLPFVISGAGEALHFPGQVTLYYQEFPPSLKNTATGMVAMIIALGFYLSTALIGVVRRATAWLPDNMNASKLENLYWLLTVLVAVNFGYFLVCARLYKYQNIGK >Et_3A_024456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2135596:2137187:1 gene:Et_3A_024456 transcript:Et_3A_024456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKAPLCSARPCIKFENSCEVGVFARLTNAYCIVPSNGSSNFYSVLEAELAGAVPVVRASIGGTRIVGRMCVGNKRGLLLPHTITDQEFQHLRDSLPDEVEIRRVEERLSALGNCIACNDHETEEVISDVLGVEVFRQTIAGNILVGSYCTFTNKGGLVHPQTSVEDLDELSTLLQVPLVAGTINRGSDVVSAGVAVNDWTAFCGSDTTATEVSVVESVFRLRDPRPGALGSDQRSSMVLDYF >Et_3B_028558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16847143:16855961:1 gene:Et_3B_028558 transcript:Et_3B_028558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPTKRSRDLNFRMPLSYLGKPDEEFARCSELIQRVYGCGGGDPGLAKWIVQEAPGRRFKLRFCALKSRFYIGFQQPVYESVPDDGEEHVLDVLGADYGCSDFEPHAYEAAEGKLLDGTLFASSREDGIPVTFKLNQGDVMPGIALATASMRPGEKATFTIPPEFATTMSGCPARIPWKIPSNKTLRFDIELVSLVADILGDKGILKKTIKFGTGTEYPRGSDEVLVNYNVCLKDGTSVSKSEGVVFSIGKGFFCPAFALAVKTMTEGEEAVLIVKPEYGFGEPGKYPREKEATVPPGAILHVHLHLISLIIVSYIGEKRDILKKTLLRLIGKLQNGTVFDKRGHNGEESFEFTIDQEHVIYGLDEAVMTMQKGEVASFTIPPQHAFGVTGSYQYQLAVVPPSSFVTYEIELLDVDNAIQILRWDFEDYKFKERIIAALLKCAECTIRLERYQQAVDRYRQ >Et_8A_056695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15130023:15134788:1 gene:Et_8A_056695 transcript:Et_8A_056695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTASTGAMELVAALLRGVPPELRVEGAEGRALLATLAAAVLGAALFVLWRRSAGGKKGRKESAAAAEAATQVRAREAKGADEAADDGRKRVAIFFGTQTGTAEGFAKDDYAAEDEEYEDKLKKEKLALFFVATYGDGEPTDNAARFYKWFTEGNERGVWLNDLEYAVFGLGNRQYEHFNKVAKVVDDLLTEQGGKRLVPVGLGDDDQCIEDDFNAWKEALWPELDRLLRDENDVSTGTTYTAAIPEYRVEFVKPEEAAHLERNFSLANGYAVHDAQHPCRANVAVRRELHTPASDRSCTHLEFDIAGTGLTYETGDHVGVYTENCPEVVNEAERLLGYSPETFFTIHADKEDGAPLGGGSLAPPFPSPITVRNALARYADLLNSPKKTALLALATYASDPAEADRLRFLASPAGKDEYAQWVVASQRSLLEVMAEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPSMAPTSIHVTCALVHETTPAGRVHKGICSTWIKNAVPSEESKDCSWAPIFVRQSNFKLPADPSVPIIMIGPGTGLAPFRGFLQERLAQKESGAELGRSVFFFGCRNSKMDFIYEDELNNFLEQGALSELVLAFSRQGPTKEYVQHKMAQKASEIWDMISQGAYIYVCGDAKGMARDVHRVLHTIVQEQGSLDSSKAESFVKSLQTEGRYLRDVW >Et_4B_037220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16794753:16795800:1 gene:Et_4B_037220 transcript:Et_4B_037220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSKFICCKLYISESRNTMAIDAIDRAARTDPQVVVLTKFEDCIYNRVRYTLVSYIVNDSPTGEVIYSPIRKVLLRMMDAAFSNIELRSHSGTHPRMGVNDDLSFHPFGEATMEDAACLAKLVASDIGNDLQVPVFLYAAAHPTGKSVGAIRRELGYYRPNYKENQWAGSMLPEVLPIKPDVGPTNVDPDRGAITVGATPFLEGYNVPILSKDIATVRRITRRLTGRGGGLPTVQAMALLHDDCTEIACLLDPDHVRAFHVQTAVEQTAAEQGLEVESGYFTDVTKDRILDKYLKLACASDYTA >Et_10B_002445.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4740251:4740460:-1 gene:Et_10B_002445 transcript:Et_10B_002445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PEAGKKSGLFASDSRCRSRSRPDRFWIVTFRPQPPSASATGIAAAASKARSSAADNLDIDILTDRTLSLL >Et_2B_022143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9661544:9662347:-1 gene:Et_2B_022143 transcript:Et_2B_022143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTGERSFADIITSIRYWVIHSITIPSYSLRVGYLSVRSSAKRIFHGKPTRNPLITDRFDSLEQLDEFMRWLAVHGLAVPTKMWRKVGEMADTTGRIPLWLIGTVTGILVIGLIGVFFYGSYSGLVHLYSKWRSQIVNMKK >Et_10A_000724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16041160:16055135:1 gene:Et_10A_000724 transcript:Et_10A_000724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSRVLIVGGTGYIGRRLVRASLAQGHPTFVLMRPEIGLDIDKLQMLLSFKAQGARLLEASLDDHAGLVAAVKQVDVVISAMSGVHFRSHNLKLQHKLVEAIKEAGNVKLFIPSEFGMDPSRMGHALEPGRVSFDEKMELRRAIEDANIPHTYISANCFAGYFCPNLCQLGTLLPPKDKVRVYGDGNVKVIFVDEDDVATYTIRSVDDPRTFNKTIYLRPQDNILTQNEVIEKWETLSGKVLEKIDIPADEFLASMKDMDFASQVGVGHFYHIFYEGCLTNFEIGKDGAEATLLYPEIGLDIDKLQILLSFKAQGARLLEASLDDHSGLVAAVKQVDVVISAMSGTYIRSHNLQLQHKVVEAIKEAGNVKRFIPSEFGMDPSRMQHALEPGRVTFDQKMELRRAIEEANIPHTYISANCFAGYCCPNLCQLRTVVPPKEKVHVYGDGNVKVIFVDEDDVATYTIKSIDDPRTLNKTIYLRPQDNTLTQNEVIAKWEMLSGKVLEKIHIPADDFLASMKEIDFAHQVAVGHFYHIFYEGCLTNFDIGEDGAEATKLYPEVRYTRIDEYMKQVLVVHATGFIDRRLVRASLEQGHPTSTFVLMRPEIGLDIDKLQRASWRHPNHDGLVAAVKQADVVISAMSGAHILQLQHKPRVLIVGGTGYIGRRIVKASLAQGHPTFVLMRPEIGLDVDKLQMLLSFKAQGACLLEASINDHAGLVAVVKQVDVVISAMSGSYLRSHNLKLQHKLVEAIKEAGNVKRFLPSEFGMDPSRMAHALEPGRITFDEKMELRRAIEEANIPHTYISANCFAAIFCPNLCQKGTLLPPKEKVRVYGDGNVKVIFVDEDDVATYTIRSVDDPRTLNKTIYLRPKDNILSQNQVIMKWETLSGKVLEKIHIPADEFLASMKGCLTNVDIGEDSSEATLLYPEVKEEANLFTLAESMETKSRVLIVGGTGYIGRRIVRASLAQGHPTFVLMRPEIGLDVDKLQMLLSFKAQGARLLEASLDDHAGLVAAVKQVDVVVSAMSGAHIRSHNLLQQHKLVEAIKEAGNVKRFIPSEFGIDPSKMQEALEPGRVTFDEKMELRRAIEKANIPHTYISANCFAAYFCPNLCQLGTLLPPKEKVHVYGDGNVKVIFVDEDDVATYTIRSIDDPRTLNKTIYIRPKDNILSQNEVIMKWETLSGKVLEKIHIPADEFLASMKDMDFAHQAAVGHFYHIFYEGCLTNFDIGEDGAEATLLYPEVVYTRMDDYMKQY >Et_9B_063790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14331695:14332021:-1 gene:Et_9B_063790 transcript:Et_9B_063790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRNTSDVRPPVSQHSLQVSDADEEDESVKQLNECATIYLSLQDCLVETNRNWKACQARKWYNLAAHICLD >Et_7B_053938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13569878:13570753:-1 gene:Et_7B_053938 transcript:Et_7B_053938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASTSATASAAVLKTPFLGARRALANAASVAGKPAPRRALVVEAKKSWIPAVKSDLEIINPPWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGIPWFEAGADPGAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQSVEWATPWSRTAENFTNFTGDQGYPGGKFFDPLSFAGTIKDGVYIPDQEKLERLKLAEIKHARLAMLAMLIFYFEAGQGKTPLGALGL >Et_9A_060976.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:23351349:23352810:1 gene:Et_9A_060976 transcript:Et_9A_060976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ISHLRPGQRVQIVRGRTVALSLHQAHRWSGCSMPSSSWPAAPSTSCCSWPATMAMAKAGSRYVRQLPLLSQRFKNAAALRVPHGVVAGLAPGSIFYGLIAFLLTFLLSSTTEVLPIFLQERDILANAVVLLPFKLALAVVFAAQVYWLRRTAAAFGYFLLRCVVHPVCTRATRRGVLRGGEHGGARLHGSGSGAASALNRGRQPINLFIKIKKSCRPEF >Et_6A_046531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16675598:16679309:-1 gene:Et_6A_046531 transcript:Et_6A_046531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFPDEVVENIIGFVKSHRDRNAISLVCRTWYHIERLNRCSVLVSNCYAVQPERLHVRFPCMRSLSVKGKPHFADFNLVPAGWGAKADQWVDSCARACPGLEELRLKRMVVTDECLKRVACSFSNFKSLVLVSCEGFSTNGLATIATNCRFLKELDLQESHVRHRGHHWINCFPKPSTSLESLNFACLDGSVNAQALEELVARSPNLKSLRFNRTVPFDVLARILSRTPKLEDLGTGSFVRGYNAGAFVTLFTALGQCSSLKSLSGFWDAPGLLIPAIYSVCKNLTCLNLSYARETRSADLINVICKCTKLRVLWVLDYIGDDGLRAVASSCVELQELRVFPVNTRVEARTAVTEEGLVAISSGCRKLNSVLYFCGQMTNAALITVANNCPRLTSFRLCILEPRSSDAVTGQPLDEGFGAIVQSCKGLRRLSMSGLLTDTVFLYIGMYAESLEMLSVAFAGDTDDGMIYVLNGCKNLKKLEIRDSPFGDAALLAGMDRYESIRSLWMSSCNITLGACKSLAASMPDLNVEVMNEVVSSIYEEDEEANNVKKVDKLYLYRTIAGSRGDAPGFVSIL >Et_4B_039408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14054563:14057448:-1 gene:Et_4B_039408 transcript:Et_4B_039408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFNMKQCGKHDLSEAKAAMRVLVVGVAMDNLEWITSEGVRGKPSGASGMCPHSYFDRLHGLGLIELATRRNMVLLCHAILLVALRDAGLLGTRD >Et_1A_004946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18483260:18483484:-1 gene:Et_1A_004946 transcript:Et_1A_004946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSDHEGGLVRWQKEEEVRQDFAQFRLQECWHPFICHLFSACLLSVCLCNHLHKSSYMFEIKL >Et_4A_034576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4399060:4399888:-1 gene:Et_4A_034576 transcript:Et_4A_034576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAMARSCLLVLSLAAAALMSVARGAGSPAPAPAGVDCMDALVSLAGCLSYVQEGSTVTTPDPTCCSGLKDVVRNEVACLCQAFQGGQDFGVTLNMTKALQLPDACKVNTPPFSKCNISLPGVPGAAPAPAPVSEAPFFGQSPSSSTPSASPAGTGSDFTSSPASAPSPSRSDAVSFSAPTQQIFFAAALVAVTLLADRVL >Et_2A_018007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8973011:8977836:-1 gene:Et_2A_018007 transcript:Et_2A_018007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRCEVDAEDVEREREEASDELFHETETARGEENRVQRRGAEATPAAAAVASSMAAAQSEVLQRGQCAEEQYANGFFATPTIFYSGGDEWVERDMAIPLRAQGREWILVGVISHGNHLWWVDPSWGLLSCSPFDPAPDLLVHYLPPDSLLENFHAGLTNERCVCKSDTMLLFAEITRDRGSEAAAVSVWRRIPDPFGSGETAAWDKLYEMSFAEIWNDDSYKATHLPARVPGIVLVSPSDFRLVYFVIERRTFGVRVPDHQVVEFDVANPAPPPQWVSSISVLPWVLPPTISDGHERCTNLRPVVEFSDKGGSTSSVSLLADASPRSAAVWDEPNLSSFHVVHHLRGRLRHGPNDGVLYGRGRGEGEAGDGEGASRVVLEVEHLVHVEKEALISVLPELEPDERYGDLAQPGEVVARVEAVHRRRRRVVRHGRPERVAERRVRGGLEERERGRRVDGRGVGGVRGGRDREGLAAQADPGELDEVHVGVGRVGHQRRVLHAAAGSFWPGDADGEEAGGGGGRRGERHRQAVGEGRRAGRGEAGRDGTVVPAEVEDAGDGPDGGAVDVEAREREVVDDAGFQTEAEHVLHDRAVPRPERQRADGAKKARGAAVWGAAGDVGERGGLGGVERWLAIPRRARLAPEQRDAQAGLQEHRERLHRRAEPDDRGVVLSAGRRGVGDAVRGDGHAASSSWCCWRRGRG >Et_5A_041410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22250006:22268581:1 gene:Et_5A_041410 transcript:Et_5A_041410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNGGSGAAKRSNLSSGSNDDEAGEDRLSALPDDVLVLILSGLCTPEAAQTSVLSRRWRRVWALLPALCFRVFPAPHRLRDFLAAGEVPLSDLTVEYLDADPESLSIWLPAAARRVSGDLELVNFAMDANGDEVAAARGEGAVELPCFEKATHISLRLGLLCLVVPPAGVFARLSSLYLDGVRFDGPRNELGDAVSSLRCPCLERLTISDTRGLDSLTVDSKSLVRMKLSTVVGLRRLVVVAPALLMWLTVESCFVHASSSEPPVANITAPRLRNLDWSDAYHQSFVQFRNIARLRRLSNLLFYLYMRFKVMECLMITLLYLPDIEDSQYFMDDMTVLPDFTFLYLVFICCLCQAPTTCSPGCICDQSPDWKTEEIMLNRLQEIEIQELQGSEHQFAFVKRLFSWATALKQVTVTFSSMVTEGKIQESIRMFQSFSMPGICMKFKNLRKGGGGGEAAAKRAKGPEEDASAGGEDRLSALPNDALVLILLLLDTATAGRTSVLSRRWRRVWTLLPELRFSIVRDPHLLRESLSTSDVPLRSLGVRVQDASAQSVAVWLPAAARRVSGELIRTNLVDRIATDSQRGTFELPCFKKATSICLGLGFLGLSMPPAGVFARLTDLDLGDVRFHSPGGLEDAVSSPRCPCLEKLTIHNARGLDRITINSESLRGVRQVTVVAPALKDFSLWHCFMTDKNQPVVNISAPQLKFLEWADTYDPSSVHLGTMQHLQKLGSFFCVYGEQELDNLQYMMEDMTMLPDVTYLKLFVVAMGRAFGARSFHVLRICSGIKHLTLVLSTQFDLEEQTACSSGCICDQPTNWKTEEISLNYLQELEIQGFGALEHEVEFLKRLLCWATVLKMITVTFRHSVTKSKAKELIHMCQSFSRPGSNGGGLAAKRSKISAAADASGEDRLSALPDDVLVLILLRLSTLAAARTCVLSRRWPHVWALLPALRFRFFPEPHSFRAALAASEVPLRDLLFTGKDASAESLAVWFPAAARRVSGSFALFNADPRRGVKAEEEIGAQRGAFEMPCFENATSIWLFLGFLGLALQPTGTFARLTLLSLTRVRFVGPCELGDMVSSPRCPCLRKLSVSDTRGEEKLIIHSKSLMEIVLKKLAGLQLLSIVAPALKDLSVTCCLIGSPGVPVATISAPQLVSLNWRDSYDQSFIRLGDMANVRCLGNLLYFVHGHDEFLYNLACLRLLQRFKVVESLALTLVYLPEMDSYQYLMENMTALPDLTFLYLDVVANGHAFGASLFHVFKMCTGLRRLTLAFCDPTESEGHNPCPSGCICDQEPNWKTEELMHSETGPPSERNDPVHGMQRSHNILRTEPPFR >Et_8B_059740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2251920:2260885:1 gene:Et_8B_059740 transcript:Et_8B_059740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDNVTAILRCAELHSCPELKSKCLDFFTEEKNFRKLVLTEGYLHLVQCLEDQMLPSWRHLNVQWRLPMDLSQARQLGTLLHGADGSDVSFGFGGETFPTHRVVLATRRQSSSVPWQRLRCQASGCGTSSQGRLETCCTSCTRTSCQSSKSALQRRRRLDNGFRPALLAVADQYTLDILKLMCAQKLCDIMSVDNVTAILHCAELHSCPELKSKCLKFFTEEKKFKRLDGGVLAAGPEFPFTEIKERIENQDLLQEKESRISGFLNVSIWKLLKAIALDVDN >Et_10B_004322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3875164:3876992:-1 gene:Et_10B_004322 transcript:Et_10B_004322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPKKLARVDTLELRAQLVKRLGHQRADLYFRSLKRFLGCQLGKEEFDKICVAALGKENIRLHNNLIRSILSNACTAVGPPPSNQAATGNSQTSTVSNGTLNGGLLAVGRKPVASRERRFADKPSPLGKSPLGHPGTGSKAPQEVISVEDGEEVDQARGSPVCVQSRSPIRAPLGIATVQNSQPSTSCSLDVCYNSGELPDSQLLSKLLEDKLKSQGLSLPRECADVLNSGLNAYIGQMLKACLGVAKARGNNGKMRLPNGVTSGSVNSGQSQGFPVEAGCSYQASLLDLWTAVESNTRLFGCPRQQYSGLEEQVAG >Et_10A_000909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1944762:1949162:-1 gene:Et_10A_000909 transcript:Et_10A_000909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNEFIMFIQGTLGYLDPETFVSHQLTDKSDVYSFGVVLLELMTRRRAIYFDSSNEKKSLSHTFILMFHQNKLQNMLDSEITDDEVMVVLEKLAELVMHRLSPRGDERPTMKEVAERLQILRRLHMQLFTKTEPNRVPMKEALVLLVILIFPGTVISATSSGLAVSLPGCPDKCGDVSIPYPFGIGAGCAATTLNPNFTVICNNSFQPPRPMIGDPSLAVEVIDISLEHGEIRVYGGVSYNCFTSNSTLSDSSTAAGFSWEGTPFTPSTTHNRFTVIGCNTLGLIGGSMRSSSDELYIAGCYSYCQSINSTLDGAPCAGMGCCETTISPNLNDFEALLLNQSSVWKFNPCFYAMLAEVGWYSFKKQDLVGRLGFIKERASRGVPIVGDWAIRNGSCPENGAKVPKDYACVSSNSYCVSASNGPGYICNCSKGYEGNPYLPNGCQDIDECKLRKQDPKYKELYPCENGICRNTAGDYICKCRMGTRQDGKNSGCRPVLSKGEQVVIGKDHATDFKYKVFFNYIEALNDTELAWCRAQYFCSFVDVYDMLIGHEITKKEAYKGEGGVFQTKWRFEIVR >Et_6B_050172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8767184:8774503:1 gene:Et_6B_050172 transcript:Et_6B_050172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQTKESPVNSSQTNKTYLLSNLPTKEGWQQDQLVLYKNCWVRQQFLGNIMHLQNSFKARRDDTILVSNPKCGTTWLKALAFTITNRSRYDIQRHPLLSCHPQELVSYIEIVSEDKDLTYVETLPSPRILATHMPISLLPESILDSGCRIVYICREPKDAFVSRWLFENKILIRNGKNSIDLEASFNKFCEGVSGFGPFWDHCLGYWRESIAKPDKVLFIKYEDMMCEPVKYVVLLAEFLGVPFTEPEHDHGIPNEVVRLCSFENLSGLHAHQNGVFATSGNFVVEKSVFFRKGKVGDWMNHMTQEMGRKLDQIFEDKLKGSAFG >Et_10B_003487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:20087626:20093715:1 gene:Et_10B_003487 transcript:Et_10B_003487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELPARAPTEQPLNLYLSIGSPGDKENIFEDVDHPMLDKEVAFAAKGRKKPAGFNLRKSIAWNPAFFTEEGVLDNSELSVLTGSELMAHGTPSSGVNGIKSPLRRSGRCGNTSLLKEVAENSRGKLSAKHRCIENQGRRLFSSAKPPQRDERKEPVGCQNRSYARSYQKCIPRKKVPNSTSTTPMSRKIPKKPLPMVPRSTTPETTVLKSNKKLALVKTEPIHRVPGLLPKSKINSGPILEKDMVPSVAAIHEEANGSVKLKNSQISPSSFGGTTSTFAKPSGLRMPSPSVGFFTQENADMSHSIVAQRNVGRFSAVNTSSLVKPPRYKQSEDLKTGIHLSKLLSTNSTTASNLISLPVTTDRNTNTLVGSEKRSLSKIISTYSAKSRDANYQERPEVYCLLAGSAVTTQPLSSEKNDGARNSMPIVYKDSSHVEGRGIIKEIEPTENSLSPKVIDDSCSLKATCSTTRHMAGSNIFSQTSDLSCQSESDSGSVAAIDLESSDVGERDLTVPLSEGDSCALDIDSSRNMGSCDHLNTECAPMEYTICADQMPLCDNSSDGMPALADRSSVLNGSVCDEGNPASPEEPNTDGETELEISTASAITENALLHIGPEKNHRYKGTKCSPVKPEAPMNCVERQHVSSVELNMEDKMVFDSNKLPAQEDASHIEKEKAVGRSRTNTILRDHLKNLVPFTEEWLAVMEACGQEVLEQKSGAVQNSPPDKTAPEPSPWSPVKRKAQDVGPFDCTKYSKSVRTSPELEGLGGALALPAPVLPRLGGVLAGEHPGVHLDVHLLVLGLVGRDASLLHARHDLQRLFEEGRPDAGVGQRLEEVLVGVRAALLDLVPEQQRLPGSARYGDALGQAAVGGRVRVEAALLRLLHGLHELLGRVELAHAAVGLHQHVPGRPVHPHSGVALHLVEHPVRRLGLPRRRERLHERGVAGRGRRDAPRPHLVEQVQRTGGVARGHAAVHEGVVRDHVRRQVHLFHHGLEQLRGLVHPQLVAVALEQDVVGDAVGRDPVGGHVLVQHGARAVDVAGGDERGDDGGVGDVVGPARRGFPAHGPQGGEDVVDAAGVHVPLHQRRVHPGVGGRQGHNLFILFILWWWWRKNVVEQSSALVLVGTCFFFSEEEQQGGGDVVQLAAFPHGLHDGLGGGEVAEADVGGDEGVEGGGAGGDAAEGGEHAREEVLAPRARAHLDEDVLTASGAISSDHSCRNLSQSPASAQALSAALNAAVSGSDDKGASSRGTRRRWRSTASKSRTAFLGVDAETAAVYARRSGKRPWRSMWRMRERARVALPDRAAAAAARDSALASRSDSALRARSRCRRRAAREKEEDLSELKESWARRREAVAGEHGRTSGLPEKSPKAASVSWARSRARRAESADGEAAAGSFFFCRARAEEEEEEEEEEEE >Et_8A_056223.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:16402300:16402356:-1 gene:Et_8A_056223 transcript:Et_8A_056223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGVGSSIEPGFSRSYL >Et_4B_039868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4610166:4615935:1 gene:Et_4B_039868 transcript:Et_4B_039868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPTSGAASPAAASGTNSGESQLVAEVADTLVSASRLSSPPPIDTILAPYLPRLAASHHPRVLALAASSPYLASPETLLAYRRLVSPPSCLPSLLPLLPVLPYRDLFPLLLSFVPLDPLRHLHRHLLSHHPSNTLADAALTAYSRLRLPHLAAQLLHSLRRRGRVRPSLQAANAVLSALARSPFTTPQASLDAFRSLVGLRLHPNHYTFNLLVHTHCSKGTLADAIGTLSTMQGFGLSPDAVTYNTLLNAHCRKGMLGEARALLARMKKEGIAPTRSTYNTLVSAYARLGWIKQATKVVESMTAFGFEPDIWTYNLLAAGLCQAGKVDEAFKLKDEMERLGIVSPDVVTYNTLVDACFKRRCLSDALRLLNEMRDKGVKASLVTHNIVVKGLCKEGKLEEALGRLKMMTEEGLAPDVITYNTLIDAYCKAGDVAKAYALMDEMVGRGLKMDTFTLNTLLYNLCKEKRYEEAEVLLRSPPQRGFIPDEVSYGTVMAAYFKEYNPEPALRLWDEMTERKLTPSTSTYNTLIKGLCRMKKLDEAIDKLNELMEKGLVPDDTTCNIIIHAYCKEGDLETAFQFHNKMVENSFRPDVVTCNTLMNGLCVYGKLDKAIKLFESWLEKGREVDVITYNTLIQAMCKNGDVDTALRFFADMEKRGLQPDAFSYNVVLSALWEAGRSEEAQIMLHKLNESGKLSERFASPLMKSSVVETETGTDHEGKSDTEPCGNAEDNTAEEYKKQERVILEITVSLEARKEPGIGLCCLHPYAGSVGLARPMQGKVL >Et_7A_050827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11375016:11377103:1 gene:Et_7A_050827 transcript:Et_7A_050827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPLRLNPSNRRVEAGASRSEPQNLERTAMLSSAAFLPLRAASTRGGARRGAASGTITMRDRSKNRKPTQRGRYLSTEAIQAVQCLKRAALRSSPAAAVAGEPKLRRLLKADLVAVFRELAAQGEALLALEVFDEIRKEHWYRPRLLWYVDLVTVLASKGLRSEVDKACSYLKREQLEPDTDGFNLLLKVLLDAGFSQLTMDCFRLMKLWDSDPDRTTYRTLIRGLESLGKVDLSADIRLEAENEYGSIDFLDEVEVEKTCISKAF >Et_7A_052978.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:3984190:3984600:-1 gene:Et_7A_052978 transcript:Et_7A_052978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRLLLALCVVALFAVRSESHGYEEFPKMETTPQMQTFFRKPEAAKAAELPEALDASMPAKPEAASAIPTTTATSTNAAATASAPPRRSVSVAAGVACGVAAVAVVGVAAAVAYVVRARRGARRESAVQLGASA >Et_1A_008013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40086624:40088977:-1 gene:Et_1A_008013 transcript:Et_1A_008013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFDDSQEGLSMERVFEIEPIPSLSETITPRSLMVSFILGTALSVVAMKISLNSGFLPSLSVPAGLLGLYLSRAWIHILDCFNVSHLPFTRQENTVIQTCVVACSIITFSAYRTIIKNYALSYCFLSYCVLSYCYLVTELTHFTIYIGGFGTYVLAMGTKAAEGDASGPNNIVDPSIGRLIPFLLLVSFSGLFILMPFRKIMIIRHKLTFPSGMATANLINSFHTPQGAHHARYISDKSHQALFMQQVKMLFRSFGGTMAWSFFQWFYAAAKGCGFKTFPLFGMEAYKLGFYFDFSMTNVGIGMICPPMITVSIVIGAVLSWGVIVPYLCSKEGIWYGSKQNSNSFSGIAGYKVFIGVSMMLADGLFNFLCILIRTLCAMYKQHRQPIQSGGVVAQLPFKCLNAAEQQEVVKSFDDRRRAQVFLRDQIPNWATIGCYVVLSVISIVVIPYLYPQLGSYQLALIYLALPFFALCYVYGLGMTDMNLSSTYGKLAMFIFGSWVGINNGGVITGLVACGVVMGSMSNGGGLMQDMKTGYTTLTSPRAIFISKLIGTALGCIVNPVIFWVFYQEKAGNISLSEVPYAKVYRGIAMLSAGQDEMPAHSLEVSSLFFMLALALSVLKEVARRKQWRVAPYIPCTVAIAVAFFVPPRVVIDMFVGTLVLYLWRKLIDGNSARMFSSAVASGLICGDGFGSLLSSMMTITQARAPMCIKFLSRVDNVKLDAFLATLHTS >Et_2B_021845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:643227:645308:-1 gene:Et_2B_021845 transcript:Et_2B_021845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALVGVGGGLSPCLFAASSCSSTSASASFALRSIRDPRLLSCRLALPQRGVAGDALEPYISKETVEQHWGVHQQIHVDRLNGMIGGSEWEGMSLGQMMLSSFNEGREQPHPPFFHAAQIWNHDFYWRSMKPDGGAKPPERLLKFINRDFGSYDGMIQQFMDAALTQFGSGWVWLSYKGSKLPYVNSKSPIPSDNYGRLVISKTPNAINPLVWGHSPLLAIDVWEDRRADYVSAVLRKLVSWEMVESRLTKAVVRAVERDGPITKRQRKKQLLDRAKDQGSARPRQGKPPVRREGNQEVASSSPLEV >Et_1A_008955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2096212:2100953:1 gene:Et_1A_008955 transcript:Et_1A_008955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLARALRSLGSTGATREGPLPARLLVAWLSSMPASSSSSAPPEYEMPSVTWGVIQGRKERLVSRVLALDFLRSSGVSDPAGELEAVELPSSLDVLQERLDFLLRLGLSTDDLSNYPLLLACSLRKNVIPVLSYLEKLGVTRARLAAFVRAYPACLHASVAVDLSPVVKALRGLDVDRQDIPRVLERYPDILGLKPDGTISTSVAYLVGIVGVAPRDIGPMVTHFPFFLGMRVGTTIKPLCDYITSLGLPMRILARILEKRPYILGYDLEETVKPNVEAMLSFGIRKDALPLVIAHYPSILGLPVKAKLAAQQYFFNLKLQIDPDGFARAVEKLPQLVSLNQNVILKPVEFLRGRGISNEDVARMVVRCPQILLQRIELMKNSLYFFKSEMKRPINDLLEYPEYFTYSLESRIKPSDQRFEERMRGDFIEGDALGPSFTMGGKLQMPGSQLVSDDDKEDSDDELTIAYCLVLLKTSRAKRHI >Et_9A_062589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24263226:24264607:-1 gene:Et_9A_062589 transcript:Et_9A_062589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLAPAKLTQRRLTQQYNDSGLKPRCVTLQEIVQVMMLGHTANAKKASKFEDSDGSGMPRPSLMSGDDRIDNVAPFARSRSVSELPQRPSPRLKASASSEASGGVPRRPVAARGGTAPRSPLHEASIFAKITILYYHHLCVRTVNDVAFVLKKKPVSAGAGSRVAELEAKLEKAHGQLAEMREQLLAAEKARKEARAALVEAKKRFAAKKRDGVATLAGEGEAADVEEVANGVNGGVSMVATDVLDAVVPSEPQDAKVEVVNDLRAKLMAKDMEAYELRAKLMVRDTEMDELRGTLMTKDTELDELRAKLASNDAEINKLAANLMAKDADNAELTKMAEEASEAAKASAARAREARLADLLRASERSREALEAEAQLARVQSEQWRKAAEEAAVVLGGGGATAGAPGITGAASADKWKRKSGGAMRVLSDLWKKKPQK >Et_1B_013257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6803633:6805348:-1 gene:Et_1B_013257 transcript:Et_1B_013257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDRAIAKLCPTGFPADAAGDPKGYEPTGFPAAAGIASILTHGISEIQNKILGCSSNGSTVNQHPAESLPSSCPPTTIILGFSKGGVVVNQLVTELSCWASESMQNSVDVSGPSPSLLTHNLIFPTSSTDVLSSISEYHYVDVGLNCAGAYITDHEIVKEIANYALRTNNNLRFVLHGTPRQWSDPNRLWIRKEKDIMLQLLRDEAHRCEGRLVPSEKMYFDGRPCSLRMHFEILEQMDIS >Et_1A_004504.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:10565873:10566328:-1 gene:Et_1A_004504 transcript:Et_1A_004504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHCHQVAIVVVLVLLAAASEEVLAIRSPGTLGSSPSVSPAEQPRVLVDGNAGASYDAPEKRHTEASSSPPSAVFDLDRIVRVRIELAELIPPTLDGWVYISNTHGPLYGPNTLQHPPQSELTVAAVFKTGHKKEELTPPPAVTTSHWIR >Et_4B_037648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22079676:22086355:-1 gene:Et_4B_037648 transcript:Et_4B_037648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEDGDEFRDAEDGDGTSPPPSSSPASTPTKSKPAATAGGFGRRLLASIGLPTSFSAAIGRPSAPSSPKPPAPPSLGVGLLLHPGTDPSSDASARNLHLPPTADLQKRHEEEEVLEEQIAAAQLVLQHGDGEDQVIGHDVGVGEELAVLVPIEEEGREKEDVADGCSGNRNGSSVQELVKDGEQRKSNDLGAAMEDQAVIEQEVAATYYSAVEDQSNNDAELCTGDEPTAIKHASAMEEKKTMEQEYVVGILGVAEAGIVGGLQEGDMLVEGQGEDVISVIDQHLVVDQRTNDELGAAMVASWVHDQDEVVVELEGVAVYFDAAVEDQSDKAALEQHTSDEWRVIKDGNGVEEKEKAVEQEAAIGILGPAEDGIDVGLQEEDVVVEGQGEDGVSVQGQDKMVEQYCMSDELRAAMGDSAVHDQEVVVVEQAGVVESFDAVMEDQSDNVDMERIGDELREVKGGNVVEEKVEALEQEGATGMLDAAEDDFPVHEEDVVVEGQGEDEISVRDQHKLVEQCMIDELGVAMGDSTIQDDDLVLDQEEVTEYFDVVVEDQNSYADVEQCTHGEFKDLSGGNFVEVKEKSVQQECVIGILGVAKDDVAIELQEKGMSVEGQGDDGIAMQYQFKVVECTSDELEVAICDSTIQDQEVVVEQEGITGSFDAEAEDLSNKASVKQCTSDELREVKDGNIVEIKEKALEQGVFGILSAAGNNVTVGLQEEDNMVVEGHGEDGISVQDQHKVVEQCMSDKLGVTMGDSVVQDHEVAVLEQEGITKCFDAAVKDQSVSSAVGQGTSDKLEVVKDDNFVEERENAVVEEGAIGTQGSTKDDATVGSQEGEVVVVAEQGKDVVYSQHQYKAVEQCASDQVRTTTFVNAAHDQEVVEQEGATFVRVATADGIAKEVNVIVKEQGEDDISVQDQDKVLEQCTSDQWRTTMDAAAAEDKEVRRDKIRLCVGYPQRPGRQNCRAYMSTGRCTYGSSCQLNHPQFKAKPDVPSFLSEQGNREEVAEILELNRIGLPIREKTRNCTYYMRNGACGYGKRCCFNHPEHVLDVQFYKPTGWDDTNLPSSPHSKKSSEHANLDDISSSEILPPNILRMLLPPQTVPPGTEEKEMNLKKLIVPLCKQEHHVDYPERPSRKECPFLLRFGNCKFASSCKYYHPEDKYASRYPRKDPSLAKELMVYPDKPGAPECPFYMKTGACKFGAECKFHHPKDLPSSMQGPTTPKRPLNAKDHPEAKTTLQDHMDQKQNYPERPGQPECRYYMQFGKCKFLSSCIFHHPKDRAPGMPECPFYMKTGTCQFGSACEFYHPVQRGSSKGGEIDDASDCGHDYPERCGESECYHYMKHGYCKFQMNCKYHHPTDRLSKK >Et_4A_033729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26213299:26217358:-1 gene:Et_4A_033729 transcript:Et_4A_033729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRVAAAPAGVRVLGGGVARVPPRPLALRGRKRLSVRMSVATTEAAASAVDAAVSSEEQMLEARNSKTVVAVILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSQSLNRHLSRAYDFSNGVAFGDGFVEVLAATQTSGSEGKRWFQGTADAVRQFDWLFDDARAKDIEDVLILSGDHLYRMDYMDFLQSHRQRDAGISICCLPIDDSRASDFGLMKIDDTGRVVSFSEKPKGDDLKAMQVDTTVLGLSPEEAKKKPYIASMGVYIFKKEILLNLLRWRFPTANDFGSEIIPASAKEINVKAYLFNDYWEDIGTIKSFFEANLALTDQPARFSFYDADKPMFTSRRNLPPSIVNNSKITDSIISHGCFLNDSRIEHSVVGVRSRIGSNVHLKDTVMLGADYYETDVERGELLAEGKVPIGIGENTKIEKCIIDKNARIGKNVTISNSEGVEEADRTSEGFYIRSGITIVLKNSIIADGTVI >Et_7B_054051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14732581:14739897:1 gene:Et_7B_054051 transcript:Et_7B_054051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRASPASPLPSTARGRRANGASPVVAMASTINRVKTVKEPYTPPREVHLQVTHSLPAQKKEIFDSLQPWAKDNLLNLLKPVEKSWQPQDFLPEPSSDGFYDEVKELRERAKEIPDEYFVCLVGDMVTEEALPTYQTMLNTLDGVRDETGASPTTWAVWTRAWTAEENRHGDLLNKYMYLTGRVDMKQIEKTIQYLIGSGMDPGTENNPYLGFLYTSFQERATFISHGNTARHAKEYGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDYTVLAFADMMRKKITMPAHLMYDGKDDNLFEHFSAVAQRLGVYTAKDYADILEFLVQRWKVADLTGLSSEGRRAQDFVCTLAPRIRRLEERAQARAKQGPIIPFSWIYDRKTKSNAEPRIAEQRATFESSFHNEDSSSSIPTSS >Et_7A_052539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9009777:9018790:-1 gene:Et_7A_052539 transcript:Et_7A_052539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSRMVAPVLFLLLLLVASEMGTIQVAEARDCVSQSHRFKGGCVSSRNCHHVCQTEGFPSGQCRLHFFRRKCYCKNTSLIAARGLHIYGLQWDGLGDGQPTALGHINLDAVDALPVEALDQRSKHKSYGSHRQGTRRGSSLWMAYTLTIEQDRAGGLVVAARRPLQSADDRLVRQQRRRARVEAERLADDAVHGGEARHVVGGEQVGDAHGCVDLGQCLPQCLRVVEQEGHGPFKMASTSRRMVASVLFVVLLLLVASEMGTTRVAEARHCLSQSHRFVGACMRERNCRHVCNTEGFAWGDCRWHGLERKCYCKRIC >Et_1A_008844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15852873:15854623:1 gene:Et_1A_008844 transcript:Et_1A_008844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRTTQVGKQIGYSVPELDEPREVDGTEARRPSARPLEAGVVETRGLTDTTLLRSLADKGLAVKQNDVASSSAHHTVRCDAVIVGSGCGGGVATAVLAAAGHKVVVVEKGDYFTAADYSAVEGPSMERLYEKGGIFCTSNVSAILFTGATVGGGSAVNWSASIRTPETVTREWAREHGLPVFGSPGYAEAMDAVCARLGVTDACREEGFQNKVLRRGCEALGLRADAVPRNSSEGHFCGSCHLGCPTGEKRGTDTTWLADAVARGAVILTGCKAERFVFEANTSTGGRGRSKKCVGLLASCASNGITKKLLIEAKVSVSACGALMTPPLLHNSGLKNRHIGRNLHLHPAQLTGKCHEGGTIHRVTDRTILETPALGPGCFASLVPWESGRDMKDRMRRYARTAHAFALVRDSGAGHVDAEGRVRYSPGKDDLGELRDGLRRALRVLVAAGAAEVGTHRSDGQRLRCSRGLRDEDLEAFLEEVTVKKGPMHPGRENWNILCSAHQMGSCRMGASPRDGAVDGSGESWEAEGLYVCDGSVLPTAVGVNPMITIQSVAYCLSKGVADSLAQGKAQ >Et_4A_035111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9578369:9580921:-1 gene:Et_4A_035111 transcript:Et_4A_035111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRVWVNLAAKMLTLGIVAGETSGTTATLVVVDGLTVTVASVGDSRCIVDTQGGQVSLLTVDHRLEENAEERERVTASGGEVSRLNLCGGQEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLPNTGGRLIIASDGIWDAVSSETAAQVCRGLPAELAAKLVVKQALKTSGLKDDTTCVVVDIIPSDHCSTPPPLSPKKNQNKLRSLLLCRRSHSSVGKLGGKSALFGSVEELFEEGSAMLEERHVLSHAFSCFSVSPVEYTFLVMQLGRNLQSNANLPPFRCAICQVDQEPFEDLKTDNVGGCYSTPSTPWGGPYLCSGCRKKKDAME >Et_1B_011959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27509237:27511717:-1 gene:Et_1B_011959 transcript:Et_1B_011959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILCEGREEESAAHTRIMLAPRASKASRSFILPGSPAAEPARTSTAVGWPAARLALTRCCPIIPVPPITRSLAADPPGQMNIFGHDGDTLGMDSAQVGVLEQTHKICFCRLLQSEYRVALET >Et_3B_028032.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:32337696:32337929:1 gene:Et_3B_028032 transcript:Et_3B_028032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTHPLHLHAQRKYVKFQDTRANAVKDEVASGTKEKFGRMKDDKNKDVDSVAAEFIMRKHRAWALQKSTTMYPASS >Et_2A_017522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35115821:35118722:1 gene:Et_2A_017522 transcript:Et_2A_017522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILHPISLNDTLFLEMLIQINMGSYVLKGCSLHIIASVHAFLQSCSPAMFAYCLLLPIFCYQMNHQPSKRSIPHDRMIAQKEMPSQPSVIARLMGIDAIPMPVEPAGIIQAEQTSNLKSPPRSTTTEIKVISARSAPFKQAKCSLLSYRSRAGDSKRCLKKMRITGRPRSRQRHPQEDLLQKIKEDFQSWQASKALESARTATVLGNNSKHLDGRFIQIIAQENLRKEKMARYGYGNNKHVLQNECSMKNVVQTSDWGNATKVAAEAKSEEKKVITELRVNHYAASEKFRDVEVDKEEHNRRTSEKLRSPAQIVLLKPSSDIDVGDRELLFGLSKVKRDNNMEEFLQKVKERLQKELKVKCTSDLSTITWASEPTHAAQNIAVQIKQTVTTDLGKRLSRSEAFRAFRSDRKRNHATTGAKHASPEHMKIKNARSILSRRPKNFTPRTETVSPNKDDEESVDSFPIRTRERVMSLTNVTLTGIGFDEQSWTSECLMKNDNVDSEVPVGTDILSRRKLVRSFSAPESGLSRSRLFSDESVGSRKHGASDIASESGTMTSRSSNFSFRGTANFAELPPSPVSPLEVIGNSSRHFFSDLNYNLPELSPKCPSEFEAPASELSFRTDITVETACNQDKAYIREVLIAAGLYDDGSLENKVNARVDSMASPICDYIFEEVEDIYYYRGKNADHDIGLYNNSGGNATDHRKLFDLANEALHILVQGGKNGSSLRQWVIDSTGVSRGRKLVDDVWQQVQTLRNPQMQEMQTIDSMVAFEVRKSVWAEVLYEDVYVVGRKIERAIFDELIEDLLREAFIT >Et_7B_055841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5629868:5638454:-1 gene:Et_7B_055841 transcript:Et_7B_055841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHARLVDSMRLAKELAVSSTFFAKLSALFASDAAFRRALKPVRGDGGERLRVVAYGLGGTQYSWAPRFRLAVLLLLRDAFPEAIGAVEVVCPIVAPVERRALEELGCVVSASVQQCLLVHDPTLVFMPYADRVFFENLLILNWSAEQLGKIVLLGHSFDSMVNMLELSMEKQEMFGVTEQREKVRRILAIQKYVREIELCSDFEGLLNNPLLGDDLEPFQESVEDSNEAIEDRCDRSTCKCMHCVGYRERQAMITAFPSHFSLAFILLLKEAKIFPVGDIEIYDPALSPADVKACFDLGIRVLLVNEQCRRSVEKPTLFFVPGLPLAANVMVTNFSPKQLNKMIIVSYGFKERGEIISRAVKNRNYGVTCVKGSLAFERNRFIHVASLRMQLEERISRPFREDQCDCKDDDPQFWGQVFRHRLPAMNRMTWSPPPKGWIKLNFHGIGCSEDYPACIGGILHNDNGEVLSYYAAPIGDMDQIGASCKALERGLELMVKHHEPVYKLIIESDNQTMIRWFNNIAQPPERVYHSFVHSLLHIELRRLKEAEIIAPAEAYEECNKGKEEGAGSSDDKEENDDTNGMDEDDDASQGTSSKFFIPPGWAPRECISWHVEEAANQVAIGLAHLGPYLRGCSRYLSSEYDCGYTVDMEKFHLY >Et_1A_005927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15669627:15679105:1 gene:Et_1A_005927 transcript:Et_1A_005927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLRSTLARLSATPARRHGDVIASASAAGNSQFSAGENENPYEILGISPFDGFDQLERAYNMVMMEQLHNRKKGVAYGSIQASKDIKYADNQPIVPWGPRYSRSAKEDLQINVGISTAFIMCTATMAHSWKPLQFLCFAYFYRILEKLKATESAISPIYNEYGEVQGRGIHMAKRVLRSLGLVLGSILATSLGYTGLANLSQFFGHYIPSIVYNFQRKPQASRSARRIRNEAAASRSRPLKMTSFRLFHTRHSSINAMSGQAAPFPVGLGSHGAKLVAEDAYMPRR >Et_4A_035216.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:12741537:12742676:-1 gene:Et_4A_035216 transcript:Et_4A_035216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDPSAAGAGAMVSSSFPDADAYGNGDSDDLDFPADPNSNASFSAAPATAAAASAGGGGGGGSGERRALFQRLWTDEDEIVILRAFAEFTAQRGTAFASHQYDTTPFYEDMRGRLQTGFTKSQLVEKLRRLKRKYRNCVDRLRVAGANFSFRSPHEQAIFEIARTIWRPASDKHGRDSDDEGGNDEALAIDAAAVAAAAAAGARANGESAKSPTSRPRRGRRRRTGDFPAPDAAEALPPAPMPVMTTEDALPSLPQSTVMDGTMAMDPTAGLPASLSAAAGLPAALSAATTGAAENPILGPLFKEMIRAMLNIGASTAPLGLEPPPVIPGVPMEGEKWRQQRILELEVYLRRIALLQDQARAALEELRSGPPAGGMNT >Et_6A_047927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24196704:24198561:1 gene:Et_6A_047927 transcript:Et_6A_047927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGIFRLAADAGASEEGNKANPADTGTTKKTKLVRVEQGYIDGLLKRYPVEPFVGVSEEVIQKLRPEDRESFRTLWAGAVARVKACRDEEEAILEQYRAKGYAEVEVEVREDDDGDEEDVAQEMRGFSRLHGMEDIRSDRDSSSFFVLPRMHRRSRKTRTVMDGAGAAEEGKTSMAKPEGVVKKKKTKLVRVDQSYIDALLKIYPKKPWVGVPEEHVQLLPPEHRDRLRTLMGRAVAFIRYIRGKDEAILEQYRAKGYAEVEIEVRDDDSDEEEDVAQGMRGISRL >Et_1B_012655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34103357:34108937:-1 gene:Et_1B_012655 transcript:Et_1B_012655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPAPAAAGPNSVFVYGTLMADEVVRILLGRVPPSAPALLPNHQRFSIKGRVYPAILPVQGKQVNGKVFKDLTDRELEVFDIFEDEEYVRKTVEVSLPDTSETLLVYAYIWGNPSDPDLYGEWDFEEWRNVHLKVYLEMTQEFIDELEKSKSKPALCTKERLGAYEERSSNGLNLPH >Et_10B_003646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4205046:4206861:-1 gene:Et_10B_003646 transcript:Et_10B_003646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARRARGAARRPFAVLASPSPARNGGGAVTAENVAPAALEKGTPFAQRVRRFGKCAGGKAVAKKLSSSPVSVSRKPVAATAIRRRVSSFSMTTRCSTPVAADHRGRRQELLLGGGEAAASRKRTARSVAMEEAMASLPEPGEGRVKYLVDTFERLLSLAEAGGGGGPEARRITRRRKSEATPAARTSSASSSRTPPPPPPAAEVIDVSYPSSEVSFPAIAGVACILDASDRTRFRTLITRHVWLFYIVSVLDSNLSRSCSRKVISVTSQRPFYLRTEQRGKAKEENFAQRLRKMQLEEEMMRNPLAQGLPYTTDEPENPVKPPTKEPTEPIDLVLHSDVRAVGRAKFDHRVSCALKCSLAVDEFLRAMHRLSSILQV >Et_6A_046228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1039065:1042406:-1 gene:Et_6A_046228 transcript:Et_6A_046228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWPLLAVMLLAAQAASAAPVMAPAFLWAPKNYGFSSDGAKEVVHYQTISPKSLAKSVLQEGGWSSLVCSREEAHKDVDVAIVFIGSKLQSSDISKDKKVDPALADTLKLSFASSEFSMAFPYVATTDDEKLENSLLSGFSENCNSGFEGKHITYTDTCAVSGEDLKKHHNMDSISDLVMARMGNNPSGQTDVIVFCSGGFEGLDQSEGELLSELVAMLKKSGAKYTILYASQPSGLLESPSNIPLGRFLAEKTNTTKAGLGKCEGECLVKSTLLEGTFVGIVLLIILISGLMCMMGIDTPSRFEAPQES >Et_4B_037481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20197073:20201742:1 gene:Et_4B_037481 transcript:Et_4B_037481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMTSASGTAVLSPSPYPLLRLLRRSRSPRRREPPLPPLRRRIPLSVLLTAAMSSSAATTAPESVVADPGALARKVIADFDGTLTRYWYDGARGQTSHGLLRQGNEEYDAKREALYQHYHPIEICPDIPLQEKAKLMEEWWEKTHGLLIEGGLTYEAIKKSVADAAIAFRDGVVDLFEFLEERDIPVLVFSAGLADIIEEVFRQKLHRSFENIKVVSNRMVFNEDGRLVAFKGKTIHVLNKNEHALDMAVPVQDNLGDPNGSIEDYSMVKKRTNVLLLGDHIGDLGMSDGLNYKNRIAVGFLNANVEKSLKDYSEAFDIVYLNDAPMQGVVELVSELCP >Et_1B_012961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4390901:4391959:1 gene:Et_1B_012961 transcript:Et_1B_012961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRFQPSPAAVACKPAINRECYKKICVGGWCRVLIPLLCCALWPHPSALIPGENFAQSITSKGKIFCGLLKKAATHVLKDDTGRTLPKFGEWDVNNPASADGFTVIFGKARDEKKAPPTKGHISNRSADSKDSRTNKMSSYNSRTSASKKWFCCVSPSPTQS >Et_6A_046295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12243378:12249753:1 gene:Et_6A_046295 transcript:Et_6A_046295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETEPAAAASGGIAGEMEVEAYRRLFPLAFLERHLGESVRPDARRLAEARTTTVALGAVTSAHGSALIRLGDTAMLASIKLEVMSPLAESPDEGSLAVEFHMPPICSPLVRPGRPAEATPVISKALEDFWDVKFEGTLFDQWESFLDIYCLNADGSLFDAALISSVAAFTHLEIPLVSVGDDGRVFTVGGNEGKTKFELVNREKRKLTLGDIPFSLTCALHKDSVLADPTSEEESIIETSVTVVVDSSDRLVSIQKLGGTVVSMATIKECISLAKERRRKLREILTDCVEAMESSMLVVCLVPDKEFAENMVSSLQRTENDLSL >Et_10B_004047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9774357:9778439:-1 gene:Et_10B_004047 transcript:Et_10B_004047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSLLPSLMVILMIPTVSASDEAVLLAFKAQIIDGGSGTLASWNSSSSFCSWEGVTCSRRRPTRVLALNLYGSGLSGVLSPAIGNLTFLQTLNLSSNGLYGEIPESLGRLRRLQTLVLSDNSFSGKFPANLSSCISMTVMALHDNNLGGRIPAELGETMTSLEAISLSNNSLTGPIPASLTNLSRLEYLSLASNQLHGSIPPGLGSIQSMLFLDLYANNLSGEIPLSLYNLSSLISFQVGGNLLHGSIPADIGSKFPGMQILNLNNNRFTGIIPSSVSNLSDIKTLLLDGNRLSGYVPTTLGRLQALQLLYLSVNMLEADDKVGWEFISSLVNCSQLQHLVLSQNSFRGHLPGSIANLSSTLQKLYLNDNKISGSIPAEIGNLVGLNSLVILNTDMSGVIPESIGKLENLVELALYSSGLSGLIPPSIGNLTKLTSFLAYDNNLEGPIPESLGNLKNLYTLNLSKNYYLNGSIPKEILKLPSLSYYLDLSYNSLSGPLPSEVGTMTNLNQLILSGNQLSGQIPNSIGNCIVLEKLLLDKNSFEGSIPQSVRNMKGLTVLNLTMNNLSGGIPDAIGNIGALQQLYLAHNNLSGPIPEVLQNLTSLSILDVSFNNLQGKVPDGGAFRNLSYKSVAGNIELCSGAPQLHLAPCSTRPIRKGRKKKFIISLTTTVTVLLSVSMILVWMLRGKLKQKQKERPQSPIACEKFERIPYLALSKGTDGFSESNLLGSGRYGTVYKCVLDNEDKPLAVKVFNLCQAGSSKSFEVECEAMRRIRHRRLLKIITCCSSIDLQGQEFKALVFEFMPNGSLDVWLHPKFQKSSTSNTLSLDQRLDIAADIIAAVEYLHNSCQPPVIHCDLKPSNILLAEDMSARVGDFGISKFLSENARERMRNSSSTTGIRGSIGYVAPEYGEGSAITPSGDIYSLGILLLEIFTGRSPTDDIFRDSLGLHKFTEDALPDKALELVDSTIWLHEEPKDNITRSRVQECLISVFRLGLSCSKQLPRERPSIRDVAVEMHAIRDALLICEEEASKIIQRHNCIPEFIKFSDTYQSTLAMRDQGRSSRLALPLRRKLSPAQEGAGAGPHRLHDSGPTARLPPLPLGVRICLPPLPLAPHGSLALAGAAARAGGMSSGGSACGVEDVPAPGAPHLQEVLLPLPRGLGERAVVVGMDGVHSRWGC >Et_8A_056386.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:6831822:6832019:-1 gene:Et_8A_056386 transcript:Et_8A_056386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWATSEALNPDQWPIAATIGQWFENLAPSASSTAARGLRSLIIRCERNSRIFQKKKEKKMTHG >Et_4A_032300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28958330:28958704:-1 gene:Et_4A_032300 transcript:Et_4A_032300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEPEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_4B_039190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8593328:8593786:1 gene:Et_4B_039190 transcript:Et_4B_039190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGWQKDFDQTHFDTYSPPDFYTKVGIAGVPSDSVMRKTKVVEDSWVPVWDEEFVFPLTVPEIAVLRVEVHEQDVSDDDFGGQTALPVMELRPGIRAVPLFDHKGLKFKNVKLLMRFEFS >Et_1A_004501.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:10242289:10245386:1 gene:Et_1A_004501 transcript:Et_1A_004501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVGAEHCSWISPGSATCAVSGAGFSDDCCSSCSEGLYLLGNPGGCDDVLLSPESLLLESGSPEEDSMSESLEDEPELLLLLLELGLLRRRISTVSGGGNTTGEASPQMSTSRSSPSARSTCFRESGEWRTTPPRASASSRYSLTMSWSCSTRPPAPAAPSFSTGPPILPLASSSSSCCCCCDMEQLTPEASISAARPVSSGANLSSSSFSWTRPPPFTDCMSAPASPPLPFAWRSAASPARRFCRNATARSGSAAASAARRLARRSLSSAGVVWLASSACSPKAKGDDAFFLFASLLQRASTAGAFSSCGSAAETRPTSPEPAAPALPAGSAPGKSSAFRMAPRTTSSSDPRRFRRSRRAAPDIFPTEKTTLFTPNAPPAFSSAPTRRPAGSGAISPARRDGSRKWTSALRTASSR >Et_2A_016542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25847175:25862979:-1 gene:Et_2A_016542 transcript:Et_2A_016542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQAASRRKKQPAISTVHDVPDLILRRIFLLLDTHVTVIRGAAVCARWRALASTRGAFLDGSREEFFRRYPGPHVLGHYHVADPSYSPAQKKKKQCEPAAVFIPAASPPSVNAATSPSTSCPRVPAAGGRGASSTATTASSSSAAARNLFRELLVCDPSTRRFVRIEPMEGMKYRTCLGVLLRAYRYNSYASMSAFMVTCVVYEPSEGTADDVGAARACVYTHLSPRCHRGRNGWSLGGRSRWELRGAASLRFAGRAAGGKMFWTSKDDGAVLAATNECSTFWHIRLPDHSRTTPQDMSKLRFVDSGMAAECQPPWSETSSGSRGGTCSAPTTSGRSSGACAFRRRLAGSMGGASCAYPDYQERQSWLRHWRAPRVQGGVLLRPRREDRDGTQGVRRADAGRGDVSVLRRSQDHAERDHPQNRLSGEVYPYELTMPPQVRAVCVTCKNRHYGACYHICKCGQKETKRRRKEQMPVTRPSTTAHDIPDHLLHSIIVNRLRCHVSVIRAAAVCNRWRHVASTRGKDYPEWDGHDFFTCIGHYHTADPSSSSSGLQRRRHAFVPVSRTINAHHFSLDFLPIGPGGRPWELVDGCGSLLLLAVQRRGFFPDLVVCEPVSQRYVRIHPIPEIKYFRCLGAYLDYRHNVIAMSSFMRPCCGHGGRRECRHGPCLQPPCASESTDMAAERLEHITRGGETGHPRGAESAHFVGRAWGSLFFGVEDDGTVFSLEKRSGKFSHFRLPEHVRGSQHRSTFRFVEGAYVYPNPVMARVVSLFGDELRVFIERKNNDGSIEWTRENSLRLPEATRGLPGHKECYFSRAPKIVTASKGCVVLTPVEETWLFSVELYTMRVEREKFWNGVVGEVFSYELRTRPKVNTCVVQCKRGRGSKDTRRRRKQQTPETRPPITTVHDIPDHLLHDIIVNRLRCHVSIIRAAAACKRWRRIASSRGKDYPDWDRHDFFTCIGHYHAADPITGPQRRRHEFVPASRTIKARHFALDFLPAGPGSRPWELVDGRGSLLLLAVQRRGFFPDLVVCEPITRRYVRIHPVPEMKYFRCLGAFLWHRHNVISMSGFMVTCMLCDHAAGLEMEDGVSVVTARVYNHDAPPNPPSREKNGWDMSRAASLDVHVRGAESAHFAGRLWGWAFLGVEDDGTVISLQRYSARLSHFRLPDHVRESHDKSTFRFVEDVYVHRHPAMYWLVSIFGDDELRVFVERKQNDGSMEWMLEKALRLPEATRGLPGHKECYFGRTAKIVTASRGCVVLTPPEDTWLFSVNLRTMRVERDHIWKNRVPGEVYAYELRTRPKVNACVVDCRRGRGSETRRRRKQQTPVTRPPTTTVHDIPDHLLNDIIVNRLRCHVSHIRAAAVCKRLRRVASTRGNRNYNRHDFFTCIGHYHATGPSSSTSGSAQRRRHAVFVPVSRTINARHFSLDFLPAGPGGRPWELVDGSGSLLLLAVQRRGLFPDLVVCEPVSRRHVRIHPIPEMKYSRCLGAFLGYNWYNVIAMSSFRVTCVLCDPYLAEDMEEDDGVSFVTAHAYNHRAPQNSPTQLKSGWDTSRKATAASRGIHLRSGSAESAHFAGRAWGTVFFGVEDDGSVFSLDLDNSRGKFSHFRLPEHVRASRHRSTFRFAENVYAYPEPAMPRLVSLFGHELRVFLKRQNNDGSIEWMHEKSLRLHEATRDLPGHKECYITTGTAKIVAASVGCVVLTPAEETWLFSVELRTMRVERDHSWKNRVAGEVYPYELQTPPKVNACVVDCRRGRGGDGVSVVTARVYIHRVPPDSPTLRLQMPNGWDVSSKVATRRGIHLRGAESAHFAGRTWLSAFWGVEDDGTVFALEMDSCKFSHFRLPKHVRESHHRSTFRFVEDVPRYRVVIVSLFGDELRVFVKREHNGGNLQWVHEKSLRLPEATRGLPG >Et_7B_053920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13365240:13389654:1 gene:Et_7B_053920 transcript:Et_7B_053920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVVLGAVNLASITLFTFAVDRFGRRALFMAGGVQMIICQVAIAWIMGAQLGKQAGDVAMARPFAVAVLVFTCLHSAGFGWSWGPLAWVVPGEIFPVDIRSLGQAMNVSISMGLAFVQTQSFLAMLCRFKYATFAYYAAWVAVMTVFIATVLESMSTVWVRHWYWRRFVVHDEGKGVGGQVAAALGGGKVHDYSRGVTLSVVLTCLMAASCGLIFGYDVGVSGGVAQMESFLKKFFPEVLSGMKSAKRDAYCKYDNHVLSTFTSSMFIAGMLSSLVASRVTRRIGREATMLSGGAMFLAGSAIGAGAINIAMLIIARILLGFGMGFTAQAAPLCLAETSPAKWRGAFTTAYHFFVVAGTMAASVTNYFTNRNHEWGWRVSLGVAAVPATIIVVGALFVPDTPSSLVLRGKPDEARASLRRIRGADADVEAEFRDIVRAVEEARRNDEGAFARLCKKGYRHYLVMTVAIPVFFNLIGMIVISVFSPLVFRTIGFSSQNAVLGSLILNVVNLVAVVMSSFVVDRCGRRLLFLAGGVAMMILQVSPTTTHTLFTVFLQFRIHAVSNLQ >Et_8B_059704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20902850:20904702:1 gene:Et_8B_059704 transcript:Et_8B_059704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAGSSVVGRAVEEVRSALNEHADVVAELFGRVSTELRTGFAPAVDSFLGFFHAVDWKEPWLISILTVHAILLLATIISRRNVNFQLVLSALTFSGVFLAERLNTFLGQNWKSFSSQNYFDPQGLFISVIWSGPLLLITILILVNTLVTLCMLIVRWKRAELRHRARQARNKQD >Et_3B_030373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32528488:32529061:-1 gene:Et_3B_030373 transcript:Et_3B_030373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEMETDPISPSPDDTTTASGGEAKACADCHTTKTPLWRGGPEGPKSLCNACGIRYRKRRRQALGLDANAEPQDQQQKKKAAAAASSSKEDKKKEDKEEEGDKKKQVTVELRVVGFGKEVMLKQRRRMRRKKCLSEEERAAVLLMSLSSGVIYAS >Et_3B_027930.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27119572:27119766:1 gene:Et_3B_027930 transcript:Et_3B_027930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASVGGSRVVPAAVRVQVRRVQPVLPGARGRAAGRPRDHRVLPGGVAVQVRQPPLHAVSP >Et_10A_001836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:896934:899089:1 gene:Et_10A_001836 transcript:Et_10A_001836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTAALLLLLAVAAASPASSAPAPAAYSAQDAAARCAAAIVSISPCLPHVAAGAPPTAPTDACCVAFLRAVSPSGGGGGEGCLCHLLRDPLLLGFPIDAARLSALLPACAAGNAFAAATVEAATLFADACLGEDPRTLLPSRLLSGRHDSETLRGLRAPSDLLLAAQDAAGDAYRTTVNYEARHCSSCCPWIGVRDDGEGSFRRSLVSVRCRCLRFLQCSPGCIDPDSSRSHDYRAHCCVAYDTNRVSDSEQLDGDNPRNQDEASVGRCGGRCS >Et_7B_055270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8971637:8979446:1 gene:Et_7B_055270 transcript:Et_7B_055270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILRRRKYIVQHINAPILPPSSTSAIKQGKFGEEIEQRSVCLFLGENSPDSSHAKAQHAPSLRKLYGLSNGFMCRPTCLVSLPHYGSGAQNLGFPLGVRCFLQSVRTTSNTTGQPEVTFMGKQNEEEKQKQQKKEASPEECDQAVEGLSTAKAKAKAKLVQEVQKTDQSIIHKVWAKILGIGPALRLVASMSRADWAAKLKHWKDEFISTLQHYWLGTKLLWADVRISSRLLVKLAGGKNLTRRERQQLTRTTADIFRLVPVAVFIIIPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARIEYAKFLQDTAKEMAKEVQTSHSGEMKRTAEDLDEFLNKVRKGGHVSNEEILSFAKLFNDELTLDNMNSIKNDDKMIQAEGVDTLSEYELRQACRERGHLGLLSTEEMRQQLRDWLDLSLNHSMPSSLLILSRAFTVSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERKRKLEFLEMQEELIKEEEKKQQKEEKAKLEELKAVEEDLALKEMVEPTSREAEELKKAKKLDKKEQLYNISQALAVLASASSVTKERQEFLSLVNKEIELYNTMLEKKGTEGEEEARRAYMAAREESDRAAEAAAGEKVSSALIDRVDAMLQKLEKEIDDVDARIGNRWQLLDRDRDGKVTPEEVAAAANYLKDTTGIEGVQELISNLSKDNEGKILVEDIVKLASQTEETKENEEAAR >Et_3B_031367.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:2770816:2771052:1 gene:Et_3B_031367 transcript:Et_3B_031367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLHSLPALHGDGGVLRSHVHGATSATGTLYGHRRVIIRVAFHDSPGNPPCLLLDICVPTANFHPGRQRRQHGARHP >Et_3A_025246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28267803:28268909:1 gene:Et_3A_025246 transcript:Et_3A_025246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRLFAAALVAVALALGMAAAQGPAAAPGPAAGISSECMEPVFNMSDCLTYVTAGSTARRPDKPCCPELAGLLESHPVCLCQLLAGGAASYGVDVDLKRAMALPGICRLTAPPVSACAVFGVPVPAGMVPTAAPISGISPSSSGPEVPANTPAASATPSHAAGRFTAAGLLTLAALPLAVTAAAGMMF >Et_2A_014926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22029537:22029788:-1 gene:Et_2A_014926 transcript:Et_2A_014926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEERREEERRKMRQKEKERRREYEAERKARKAEKERMRERARRARAAGPDAFRKGKYPRFTR >Et_4B_037460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2056053:2073203:1 gene:Et_4B_037460 transcript:Et_4B_037460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVEEAIAALSTFSLEVGNSDPPPTPISRAHLYFAPDLGALGNPTWNAASRTLSNRMVVAPRAARAATRIGVGTSSDEQPDVQGLAVLLSSERYATNSPIEYSDVAAYRLSLGEDTKAINQLNTLIQEGKEMASLLYTYRSCVKALPQHSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERLVNGPTITHFWSMLKLLDVLLQLDHLKNAKASIPNDFSWYKRTFTQVSSQWQDTDTMREELDDLQIFLSTRWAILLNLHAEMFRTNTVEDILQVLIVFCVESLELDFALLFPERHTLLRVLPVLVVLATSSEKESESLYKRVKINRLLNIFKRHYLILNHMGTIRAEHDDFSIRFASAMNQMVTLKSSDGVDNAWSRDIKGNMYDIVVEGFQLLSRWTGRIWEQCAWKFSRPCKEPPFSDSQQNPTTFFDYEKVVHWNYTAEERRALLELIGYIKSIGLMMQHCDTLVSEALWETIHMEVQDFVQDKLDTMLRTTFRKKKDLSRILSDMRTLSADWMANNSKVDPEQHSLHQEAEEMRQNTFYPRPVAPTAAQIHCLQYLICELVSGGNLRKPGGLFGNSGSGIPVEDLKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDYVIESQDAGLLESILIPFDLYNDSAQHALTCLKQRFLYDEIEAEVDLCFDLLAQKLNEIIFTYYKSCAASTLLDSSFTYVCDDGEKYFVKPLRFDAIFKLRRVMVLGRTIDLRSLITQRMNKLFRENIDFLLERFENGDLCGVVELQQLLDILELSHQSISRFLELDSYSLMLGEMQENLSLVSYSSRISSQIWNEMQTDFLPNFILCNTTQRFVRSIKGTHSSQRSSASTGKPYFFCGSHDLTTAYQGLAGLYRDFFGIPHMFAVVKLLGSRSLPAMIRALLDHISSKITGMVPKITALQEALPKSIGLLSFDGGIAGCQKIIHEILTWEAKSEVKTEVLHDLKEIGSLLYKSNLNSGSVLEYTLAFTSAALDRHYSKWSATPKTGFIDITTSKDFYRIFSGLQYSYLEESINNPSKKQEMLGDSVAWAGCTIMYLLGQQQHFELFDFSYQFLNVAEVESATVSHYQSSDRTRSPNFLQGYESILEAMRKARRLNNHVFSMLRARCPLEDKVACAIKPSGAPLHRMKFVNTVSAFETLPQRVT >Et_3B_029167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22615645:22618210:-1 gene:Et_3B_029167 transcript:Et_3B_029167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEETKKKVEATVLEILRGADMESVTEYKVRSAAADRLGIDLSVPDRKLFVRGVVENYLRSLPSEEEEPQQDGASEEGKDKQAEEDEDEEEEEEEEEEKGGKKKREYDDQGDLILCRLSTKRRVTLSEFKGRTLVSIREFYFKDGKEVPSAKGISLTVEQWEAFRNSVPAIEDAIKKIEED >Et_2A_016619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26724846:26727495:1 gene:Et_2A_016619 transcript:Et_2A_016619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSPRFSPARSSCQIAGRLVPAPRHAPAVRICSWRRFAAASSEVSLVPARLLRPPPRRRNALREKAPQPPPPLVVRHQTSLDRDLELENSCAQGASRSVDVASESKLHELDMIEDAEELPFCGTGAGPAFGFYKDPEGNVMQFEADEDKIINWNEATKGEGSGDLESMSSRARAMAMEPESSECVAPNSSHNSSRFHFAAAERMGSPVLNVEVSVAQRNVPPSRSVTWSGFAALCGVCIVFVASKLIRSSSKAQLPRKLFDMHRSEMKEYEFDKGNLNVFRNGQEFPDGLLRKQRLDRKELMNNIKKAQDSRKWFVMRNPFGHKDAATHDDTNIPEIRINGTEVHTPEEGILEKYSTNEKNGIVIEQSIDAIQEEAFASYGSQFDIVAVSDSSEPDGINLSSYKIEESREQTVALKNGAKIMNMSEKDQETIGEVELPEPTYSNERVAYTNDKKLAIYVSEKETQIGSANVHKLDPNNGNAPSSEFASKEQYAEISEKNLDCIQAIEPSVPFISEKLMIHAKDNSQQFSTNVVSEPSNGFSISSSELTKNETPLDSASDSNGIQEIKEPGTSANVAHTACSEESHDTSIGTEACKTPVMAETMNSAPAQGSKEDPAYLRRDNMQPMPELEPPISSGNGKLLSHGTETEHKERKVQYKKRTRTETDHTGIFNNASAPSFGTSQEETVQHTSADVSSSEKKLEKKKPRARLLKNKGKLQKEMYSDKEAETEQSEQGKPGTETVVDPTNHVQKTKRVPKKQQKKVQNEMQRVPAQDDVQCSLVVDQKNNSQHVKKARKKNPKNAFLSQGAQTREEYQDTAHVISSPDNATTDNVKHLDLADSSVETQFQKVTRRNF >Et_4A_031772.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:13224266:13224751:-1 gene:Et_4A_031772 transcript:Et_4A_031772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGFCHAILEDNGLEATLQEVLNSERKNIIKLVLALTKETIAIHATQECLALKDTAGVLLIKGEQLPRCITDAAKGILHTPQLTLASEAILTDQLQLCVKTLLLIRTPWLLECLTICNEAILSEKQHKQPRASTNLQIMRSEEQNTEVFYNFIHPPQLNF >Et_1A_007473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3564264:3567006:1 gene:Et_1A_007473 transcript:Et_1A_007473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVRFRLFDGTDIGPSKYDPSTTVSALKEFILARWPQDKDIAPKSVNDLKLINAGRILENNRTLAESRVPVGEVPGSVITMHVVVRPPQADKNSEKQLANSPKQTRCGCIIL >Et_1A_006874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28505237:28507291:1 gene:Et_1A_006874 transcript:Et_1A_006874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDRGKGAEKGANSISIMTRYIDGIKNEHLLSSLEWRTWRRTKICSWTTFHRQSPSPRYVTCRPIAALYTQLLLPAFQISPALSAISFSALLSLYTSSTILCSIVPSYPFTTSNSRRLSPCLPAGRCSKSAGMSAKKIVVKLVMNDIKDKQKAIKAVSALTASQQQQSTHELFVGIDAISVDMKSQKMTVIGTVDTVKVVSKLRKASFPATIEVVGPAKEPEKPKEEPKKEGDGDKKDEKKDEKKDEKKDGDGKKEGEGEKKEGEKKDGEKKDDAKKDGEEAKKAPPPPTTEQIVAELMNHYRAYNPYMTHPVIPPPHYYVQSMEENPNSCAIC >Et_6B_049171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19038388:19041074:1 gene:Et_6B_049171 transcript:Et_6B_049171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVTGAMGMLPSKLLELLGREYKLQKGVRADIKFLSRELDSMYAALRKVATVPWEQLDDQIKIWASDVREASYDMEDVIDTFIICVNRGTEPSDTDNMVKRLLKKMGRLFNLSKVKARRDIAGAIEGIKKQLQEMSERRDRYRVDDIVAPPSATIDPRLPAFYKQASQLVGIDEPRDELIKMLGLRRTCDKEMKIISVVGFGGLGKTTLAKAVYDKVNLKFGCRAFVSVGQNPDPIKVLRDILIDIEKNRKTSMIFDPDKRRSTVVDLMELDAMQLINKLREYLEGKMSIILNEGTAIMVSLQMIMLFWFTEISILFHIFVNVLIFSTDAPSCVSCIDDIWETSTWEIVKCAFVDGNCGSRIITTTRISHVSKEIGEVYKMAKLSDMNSKKLFYRTTFGGEEKRPCSAELDEVSDKFIRKCHGVPLAIVTIASVLANKPLEEWSTVYTSIGFGPDGDEKVENMKKILSFSYYDLPCYLRTCLLYLSILPEEFTIEKRELILRWIAEGFVQEEKGKDYFEIGDNYVRELINRNMVIPIEEKGSGLLIGCRVHDMVLHLIRDMSSKENFMVVLAGEQQPPAQDTNIRRLAIQMSKVKTSNYKDMPQVRSFNAIICGARLMPPLCSFNVLRVLVLELCIGMEDYPVEHITKLLHLRYLGLSHTPVLKLPKEIGCLKCLQTLLLDDTGIEELPLSVRQLTQLMCLRVDKKMSVPKWIGKLTSLVELEMYHEVPEWIEEQFSVVGPGIYRGVPNKCSTSQFVKELGKLTNLRVLKTGINLQDEGQGRDFLESLSKLSKIQL >Et_1B_014069.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:29572205:29572795:1 gene:Et_1B_014069 transcript:Et_1B_014069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKARKDGIEKEAPRNPATTRKRKDEEEERSQRCDIRPVRRHHPQHLRPPAGARLGGVHGAVQAPPPHDTLPEVQEPPLPRPHVAYIATAMISHGRSIVSAYHSFHVAGGRVAPTRSLAGSRYLNMKYINTCNGILLFADSRRCVFLNPCVAKGDKEVAIPTGNDDCVLGFGYGKRTQTYKLLLSPATIIILEIF >Et_1A_005640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12201367:12206878:-1 gene:Et_1A_005640 transcript:Et_1A_005640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAEGALHAMPGAFVGPSPASNWFLRAGARSRKRNPNSVLGTRALQGHLKIPGLRSVRRQCQRIDDLARVTEGNGNWVKDAVDNVSQVLGDAGVQGHAVGGDGSLNGSSVKPPPQRRKTSSIEDEAWDLLRESVVYYCGSPVGTIAANDPNDSDPVNYDQVFIRDFIPSGIAFLLKGEYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTIPLDGDEDATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGMKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNNRLIALSFHMREYYWVDMNKLNEIYRYKTEEYSYDAVNKFNIYPDQIPSWLVEWIPPKGGYLIGNLQPAHMDFRFFSLGNLWSIVSSLATTHQSHAILDLIECKWSDLVAEMPLKICYPALENQEWKIITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPEIAAKAIEVAERRISTDKWPEYYDTKRARLIGKQARLYQTWSIAGFLVAKLLIEKPDAARILWNDEDAEILNAFSTNRKRGKKVLKKTYIELVVGHPFSQPS >Et_4B_039672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26074399:26078969:1 gene:Et_4B_039672 transcript:Et_4B_039672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFVDLGGGCSSRSTSNASFLQLPLPAAASASASAQAIAPEAQQDRSRLALQQLLVAPSSSVPASRHGHQKDDGAVVQGEISPADAETIKAKIMSHPQYSALLAAYLDCQKVGAPPDVSDRLSAVAAKLDAQRWQDRQRPTRADPELDQFMEAYCNMLVKYQEELARPIQEAAEFFKSVERQLDLITDSAGEGAGSSEDDQDTSCAEDVDPSAEDKELKHQLLRKYGGYLGGLRQEFSKRKKKGKLPKEARQKLLHWWELHYKWPYPSETEKIALAAATGLDQKQINNWFINQRKRHWKPTSEDMPFGTMMEGGGFHVPSAALYLDRPFMADGMYRLGS >Et_8B_058646.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1353083:1353271:-1 gene:Et_8B_058646 transcript:Et_8B_058646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLPRKPWSCVVSTKRIILSPFTKEYRVLATTSSIHQFLEGAFLKGRPQQIKFQNSFVTC >Et_4A_034496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3879534:3882064:1 gene:Et_4A_034496 transcript:Et_4A_034496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IEGISWLEENGISYSWDTIKVCGEYRSILLQVISIVPAITGSELWPDHGFFIKVSDSSHSTYVSLSKEDNELILSNKLQLGQFIYVEKVQSSIPVPVLVGVRPVPGRNPCIGNPKDLMQMSTPSGVLEALDQQRKTSKSSDLSESEKENLQRKVVIKEQKTVVASRYMLGVSSNNGKITNLNSSIDSEKSSGGSSICDANQKSVAPKVKQEPKNQERPSNISPYRAKLVSAKQEVNKDTRKNSGTSPSQNGSATVKKQIPKDSKKESATERRSTPETHRSSPTPGRASPPRQNGTSSPVPPVSSVKRRVVETVSWDSLPASLIKSGKAVVRRKNIALIVAAEAQREATAAASLVKALGIFAEIWESSEVDPHAAVTKFFQLQRLILQQSAVWKAYSLESSKESRPDREKPSRKAPASHNKATPGSTTKNSDDAQTSEKVEWAREDGFKEICRSWITLKKESQSWFLSFLEDALETGFKFEDQTKNTRERVRGQSKGGDGRIAVRLSQLKETSNWLDQLQDETDKSSDVSAECIEQLKQKVYKCLLGTVETAASALEGRTGYC >Et_7B_054380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20632072:20632673:-1 gene:Et_7B_054380 transcript:Et_7B_054380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNKATHRTGEMKMQSEPRGMGGILDYIREDPIGLPLHPPPLTNNDDDDPVSIISWVLLDRTAYIADRRNATTATAFTSYGHAIQATLWAILRVSHLCVHCPLARSRLPISARSPGCSPPATTASSSSEPPSATRHAGLLHLPARRWPHAHPCMVATLRTQDQQHYVIALLDQADSAEEEGGYYRCE >Et_9A_063303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19480529:19483157:-1 gene:Et_9A_063303 transcript:Et_9A_063303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASRSACAASELVAKGRKSAAILQALLGQQPAAADEMQEDLRDLTEQILRCCDRALAALRSGTDTAGDARKRKSEHCLVAGSPATSSKRMRVRGEEKGRRVEKQSTMDDGFIWRKYGQKEISDSKYPRLYFRCTYQDDRGCTAKRQVQRSEADPSVYLITYFGEHTCGSNDDEPPAPFVINFGSSTRDGHQPNVSPWPSYKEDGPAASETSSDLCNSPQEELLTVDVGDFAELIEQSSPVPAPAGMSLPGWDPLDGCLDWELVDDYSSFDIDQFINFDHLADRIGRNQRGWVGWSGKMPC >Et_9A_062708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3555827:3559967:1 gene:Et_9A_062708 transcript:Et_9A_062708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRNLYEAQLDADALDCGVCLQPLKPPIFQCEVGHVVCSSCHDNLASAGKCQVCGVITGYRRCHAMERLVESVRVPCSNAARGCIARLAYYTRHAHRLACPDEPCGFTGSPAELRDHFSGVHGWPCSNHKAVNSRNTEYFDVRLQEGFNVVDLTSADADAGNNRYLFLLNVTRRRPLGLAVSVLWIHRPEVTTAPAKEMECELRYSSTLRRDGTKVTEHHQKSCFRVACTDLSDGLPSPNGCFQFVVPDCVVAEKRSSLSRSQLRLPPSAAVFRIEVSPACSVTTLSLIAGRRPQMEPWKDFDFDDDDVDSGSSGPAAPGGAGPQGAAAVAYVMAEDADALDCGVCYLPLKPPIFQCNVGHVVWSPCRNKLMSTGKCPVCGINVAGGYRRCHAMERLVESIRVPCPNATDGCTAMPAYCNQQSHRQVCPHAPYCCPGKDCGFIGYMNAGLLDHFTGTHGWPCSIKKNRAGKTCRFSVSLNNGFNFLIADLATGGEGSSATITSSKYLFLLNVFRQPLGRAISVLLISRKPSSKAVKCVLTFSHIASEDRKFLGSPLLQSKINVEGSDLSSGVPNPDDCFQFVVPDYLLGEGNRDSAIKIKVSISIDDLE >Et_2A_017399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3431467:3432470:1 gene:Et_2A_017399 transcript:Et_2A_017399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQSAAGVSGISLVGEFARDVVLWRRCQADVSACLLVGTVSSWLLFFGSGSSSYTLLSLASSVLLLLLTVLFLWAKAARLLNRPEPPIPKMRVSQQVVDQVAAQLHSGLNTVFSAFHDIALGRDSVLFCQVFLSLWIISILGSLADFPTVCYACIVAVLTIPALYQKYQECVDRYMRFAYLNLQMYEMVYERFSMKCFVRVRDWGIELLKDP >Et_3B_028949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20805465:20806199:-1 gene:Et_3B_028949 transcript:Et_3B_028949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRNSRLVADALRGRHWIRDIRGPHTVQVILDFFAVLAIVSQVALSTTSDQFRERNKRVHEFQALMPVALAQEIIDDAHLWASARFSKLQKLLVPRL >Et_4B_037729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22957894:22968356:-1 gene:Et_4B_037729 transcript:Et_4B_037729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAAANIAVLLGLGIAGIFLAARRLRRPVRPDHGVFIARLELLPPPQPPPPQARHPLTDLCFAIADALHVSGYITSFGSPEWARTHDAASQTSPVVSALVNGGIDSIGGVRIPGAYCGVLAFRPSHAIVSNSGVIPVAPSLDTVGWFARDPSVLRRVGHLLLRLPYADVRQPRHFYVADDCFELSKIPARKLTQVVTKSVEKLFGRQVTRMNLENYLASKVPSLKNDSNGQENADSKRSALLALCNAMRLLHKCEFKDQHMEWINSVKPAVDARIVSNLSNDADSVIESYQDVRNEARLALNALLKDDGILVIPTALGCPPKLNARELSSESYNSQTLCLSSIASMSGCCQVSIPLGTHDKCPISVSFIARHGGDRFLLDTTQTIYATIQEQVEILAKSNVSSKQAMNEEAAETAKEKGNAAFKEKQWQKAVNFYTEAIKLNGKVATYYSNRAAAFLELASYRQAEADCSSAIDLDPKIVKAYLRRGTAREMLGYYKEAVEDFNHALILEPMNKTAAVAINRLKKLFP >Et_8B_059624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:277241:278695:-1 gene:Et_8B_059624 transcript:Et_8B_059624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPMEGRRVCGMPEKAQLHVAMLALQLGYAGFHVVSRLALNMGISKLVFPVYRNIIALCLLVPFAYFLEKKDRPQLTLNFVVQFFLLALCGITANQGFYLLGLDNTSPTFASAIQNSVPAITFAMAAALRIEKVRLDRRDGVAKVVGTLACVAGASVITLYKGPTIFGPSGSGHKEVVMAVVEESSKNWTLGCVYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGVIQFLIIAAFMERDADAWQFHSGSELFTILYAGFIASGVAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASLTLGEKFYLGGVIGAVLIIAGLYFVLWGKAQERARLAKELTEAAGAAVISRTAGANKASSATQPLLLPSSTENNV >Et_6B_048796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13856845:13861597:1 gene:Et_6B_048796 transcript:Et_6B_048796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGEGKANTGGGGVKGGRKRKYLPHGKPVRKGAYPLRPGVQGFFITCDGGRERDSTREALSLLDSFYEDLVDGKVSDAKPKIIPDKPMNKKIKFDSDSSDDEGEDHAGEEASEDHDVEEANKGQAGEEANNGNDENNGETAPSEPQQEVSGASEIVNKENEEQVDNADESKPKKPRVEDPPVSEETDKKESTDKPKESADKPKESSEKNIDDLIDEDLKELGDRKKRLFASLDTGCNGCIFIQMHKRAGDPGPVEIVQNMMSTAASTRKHMSRFILRFLPAEVACYASEEEITRAIAPLVEKYFPKECPEGHKYAVLYEARSNTGIDRMKIINAVAKCVPQPHKVDLNKPDKTIVVQIAKTICLIGVVEKYKELSKFNLRQLTS >Et_9A_062142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:266672:272977:-1 gene:Et_9A_062142 transcript:Et_9A_062142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKRGGGAGGSGESSGEPSGQRSERTQQHGGGRGWVPQQGGRGGGQYQGRGGYQGRGGPPSQHPGGGPPEYQPREYQGRGGPPSQHPGGGPPEYQPREYQGRGGPRPRGGGMPQPYYGGHRGGGAGQSVPPGPPRSVPELHQAPSVQYQPPVVSRPPSGAGSSSQPVAEVSTGQVQQQFQQLAIRGQSSTSQAIQAPPASSKSVRFPLRPGKGTYGDRCIVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMGELVKMYRQSHLNGRLPAYDGRKSLYTAGPLPFTSRTFEITLQDEEDSLSGAQGGQRRERVFKVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTARYSPVGRSFYSPNLGRRQRLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPVDDRGTVKTVVQYFLETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILQTVHHNAYHEDPYAQEFGIRIDERLASVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVSNWTCINFSRNVQDSAARNFCHELAFMCQISGMDFAPEPVLPPLSARPEHVERALKARYQDAMNILRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPQRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPVPPAYYAHLAAFRARFYMEPDTSDSGSMTTSRGPPGGARTSRVPGSVAVRPLPALKENVKRVMFYC >Et_2A_015447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13110074:13113232:1 gene:Et_2A_015447 transcript:Et_2A_015447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFGQWLPHSENIANICFTTILVPSQISTSIETQTRTSAIVSSEKESALFGNTNGSGTIEVEGARDDAGSILGTGTGAEPTENIDLNKTPAPKSKRKKHRPKVLKEGKPSKTPKSTAPKLFKEKDEKPPSKRKYVRKKTLAGQPPPEQGADSNCRAELNPARRCLNFDGKNNHEDSNPVAQAQGTELSDDPKDYQPSVSSLNQISAQSQSGFHAGSNTSTTANQMDNAQLLPADNMQKKVSIDLNYCVNQMQNEYANFANCHVQDFESGIRETLGKNTLLELNAGMPCKNVPDLNSSVSLLQSMSTNFAEYLLSSPQATLKETQMANQILNCHGMPENLITKTQCFERSCARDTVITDQMPKRYILTDNQNSTANTDGNSVIENLDKHVTMDDYLRTSTSPCSYVGSALMSDISNDSPHSNLMDSRREYNVSNGARAMFGANLHHQNNGLAYVNGCPAITSEASHFPETYKRMRLENHDYSMNGVVDNFSTLSAYFPNSRNANLVSAINSDEFTLADAQRLIAREKSRASEKMISFQSTKNSMVKTGEIVEQHSRSTYRNSTEIPEKWSRILTQGFTQSPKSPNDLHNENVSARLGSHQLQSLGCSMIVGPDMLAELHKHKVSSQDGTQNSTCIPPAELEHFGAPVIGTTTETDNGKLRMEGRLLETSAEIIRVSTDPTNPSISNDVLRAENNQLEVSPPFAAKPSEKRKTRGRPRKELKPGEKPKPRGRPKKERVVHDVLVAKGAPLRNEVISFACGPEGASCARAVISERLVESISKASSPWIDPLDLIIQKITLLDINKSDDTGAAEPCGALVPYEGDFSAIVPIVGNVKRKRSRAKVDLDPVTTLMWKLLMGPDMGDGAEMMDRDKEKWMDEERRIFQGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSRWNRTDSLFEI >Et_1B_013957.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25395258:25396505:1 gene:Et_1B_013957 transcript:Et_1B_013957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTSSLQLPKHLLLSRTRRHAPSSSSSSNSSRPPSFVPAAAKINGAPRVNGHAEKAPPNGKVRINGKAAPVVNGQGKKKGVNGKSPHVNGHGDRIHLSVSAGGGIGAQDGSGLRVAYQGAPGAYSEVAAKTALPGCEPVPCRAFADALAAVERGAADRAILPVESTMEGTALRNYDLLLRHGLVVAQEVNLFVHYCLLAMPGVRAAQVRRVISHPMALAHCGRALAALGVDREPVEDTAGAVEMLRSNMMLDTAAIASPRAADLYGLDVLAHGLQDESWNVTRFLLLSRPPSPVALPADADAKTSMVVAHRGGSMMVVLKVLSAFSSRNINLTKLEVINNDGGGGGARQPVMILDTSARGAPTLRAFPHVLYVDCEGAAHDPRVREAIQEIEKFAVFVRVLGCYAADSTVYDLQ >Et_2A_016955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29858479:29861460:-1 gene:Et_2A_016955 transcript:Et_2A_016955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRPGWVGGLVEESFFVACEAHENCKKNEKNIFCLSCCASICPHCAPAHRHHPLIQVRRYVYHDVVRLDDLERLIDCSFVQPYTINGAKVIFLKQRPQSRPFKGSGNVCLTCDRILQEPFHFCCLCCKVDHVMMQGGDLSNILYAPDLGCGFPRFENLRVDGFDDDDDAGGQVTPNSILEDLMLRGSGSSTGGSRNARRGGDDMPRKKKSSGGGFFPQLVLSLGNRRKGAPHRAPLA >Et_7B_053887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13016635:13022065:1 gene:Et_7B_053887 transcript:Et_7B_053887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLSSLRGLQRFRSLAGSASKAIKAANPRPSSNAGGSKYGSFANLKITAEKLVKEQASVKTDLEMAHTKLKGATEQVNLLEGKLQQAVNENAKLKVKQTEDPKLWQGLDSKVSSTKTLCDQLTETLQQLVCQTERGDRLTVMVTFTHALHTYNSDLTSGNDKLAAEEDKKLFEEKLGKNSTALDEFNCLLHDLSTKLECAKQTIISGKRQMLQIKHEKKEMDQSYKERLCANDTTLKEKDSLIKQLESSVDESKARLICLYSRLQCMEQELKLKDDVCISLKENLASAEGERNSLKLRNQDCSLEIAKLCKNNKDLNDLLSGLVAKVTELDKEHASMSSHVSRLLSSFERFQGMVQEEKMLIARSSKEKIEELQNQYVELMSENNGLKIEIEELKCRIIELQKTQEIVMVQHVEECQVAEDKIRRLESEAEISESNINRLEKLASELQVRIEKLLEESTVAENHQQELLEKISKLESDNQELVGKVQSIADEKFNNAESLQGEIAKRDQQVDTLESQVNQLRDILDEKEQLYSCSLEREKTLEEQKLQKLKMLSLSVHFFESSVKDANSSGIMESPISNMSRKVEIEMESKINGGLKKSRSAVMDRSRRSFHSTAPKANSIKRKARQKMPPEKKPPNKARVKLNPKNWHASGRSLRRGLRKAQAWFKANGHHVDLSDYMGQGMEVVTTAPGGTFHMTFEFRDSELTLYLDGRNLYIKGWKSKNGLFEIQAESGDEKYIPDPSCKVIKTGVNYSRLCTNGKVEFVRIGPEAIMNFLDVLLKCDGTVSCALYDAISGLAVNVSESIRIEEVLKKVIAAFDNYSSEYQRLGPELAVWVKNYDRYSAKIMAAVNCLFDGLPVPVIPDLRDGSSSIPLEELLSIYSVLLRDANKDGKFVQEEKNKTSVFSPATRDVDEEAEEEDVVEEEEHGRAEASE >Et_4A_033813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27036083:27046108:-1 gene:Et_4A_033813 transcript:Et_4A_033813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSAAGEDIVQHLSSNSNPSSSKLAKLEARMAGKAVSAPSSPPHPPMVASSPVVAFMDQEELPESSSSDDDNGEEFLIQKNTLKRPRSPDDDHSLALGSFEGSNEAAKILDVVDQRPSLDNANRKKQGRGRGRAGTGRGRGSKAVDQTRPTSASSTVATNGLLDKLNNKEYRSSIQPGNDDRAALQEELSLLRGKVAFLEEELAKSRQEATEYRQLSDRLAKELKDLKDHDQQLRSKQMKVLSDLLIAVSKAERQEARMRIRQESFRLGNVGVMRAGTIISETWEDGQAIKDLNSHLKSLLETKEAIERHRKSLKKRQSDKGDGSDAETNMSEEDIILQDEICKSRLTSIKREEEQYLRERDRYELEKGRLIREMKRLRDEDGSRFNNFQILHHRYALLNLLGKGGFSEVYKAFDLVEYKYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHPNIVRLWDIFEIDHNTFCTVLEYCSGKDLDAVLKSTPVLPEKEARIIIVQIFQGLVYLNKRSQKIIHYDLKPGNVLFDEIGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFDLSKTPFISSKVDVWSAGVMFYQMLFGRRPFGHDQTQERILREDTIINARRVEFPSKPSVSNEAKDLIRRCLTYNQSDRPDVLTIAQDPYLSYAKRDSGASVAEATDDKGGRHDGYAEQGRRHDEVDDCAWRPEVVSLEHGHVAVEPVVAVLVGVLEEARVVVRRGVEERAPAEAVEERGRGLVVGEHVVEDAAALEHGDVVGRVDERVHEHHSPAPCSSASRILAPALMARRGISTVPPRNVTSNWLPPRRGLLPERHAELLQFRHARDAQRRLGCAVSHHPRARGRAADHARAEAVQAPRPPRTPARQPPGPALLRGRHHPLVLEVEQVVDGETARRRDDLAQGLVKAEEIEGEIEKPLVIGVVGLCCVGVSHREIEQLGDHEERDLVLREEEVVVDVDPHPLHVRVQLRRVVLAVGAPLEQELDDEAAVEQQHLHELVAPDRQRLAVAAPRPGLHRADLAHAGREADGASGATTTTLEEVAEEAPLVALHAAGARAAEVVRAHGDEARLVQALVGRVDVGRQPHDGEGLARVVVVAAGRNPQVADAFLHAAVELVAVGRRAYYYY >Et_4B_038986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6676908:6685369:-1 gene:Et_4B_038986 transcript:Et_4B_038986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPPSPPEADPRLVEAFTPFLEKLIKNASWRNKAHSKLSHTANLSLADSELLLAPVISALGSGSAKLTEAGLELLHRLIAHSYIHGEADPSADPSAQLVASLLDAACNSLGLDDEHIELLLLKTLLSAVTSTSVRLHGDCLLRAVRACYDMYLGSRSAVNQATAKASLVQMLVIVFRRMEADSSTVPVQPIVVADVIEVPDAGPGSSPSADPNVVQGFISKIIGDFDGALTPLARTTSTAGTTVAHDGAFETTAAAEEGANPADLLDSTDKDMLDAKYWEISMYKTAIEGRKDELGVEGAVVGTLEDDSDVRIGNKLRRDAFLVFRALCKLSMKTPPKDAPADPIVMRGKILALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNCASSHMIVFQLSCSIFISLVARFRPGLKAEIGVFFPMIILRVLENIAQPNFQAKMIVLRFLEKLCGDSQILVDIFLNYDCDVHSSNIFERMVNGLLKTAQGPPAGVSTTLVPPQDTTMKSEAMKCLVAILRSMGDWMNKQLRIPDPDSPIVESEKSDNDVGNEVHQTDNNDESSEASDSHSELSNGISEAASLEQRRAYKMELQEGISLFNRKPKKGIEFLVKASKVGESPEEIAAFLKTASGLNKTMIGDYLGEREDLSLKVMHAYVDSFEFQGMEFDEAIRAFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFSSADTAYVLAYSVIMLNTDAHNPMVKNKMSPEDFIRNNRGIDDGKDLPEDFMRSLYERIWKKEIKMKEDELVPHQQQSTSSNKILGLDNILNIVVRKRGSLVETSDDLIKHMQEQFKEKARMSESAFYPATDVVVLKFMVEVCWAPMLAAFSVPLDRSDDEIVISQCLEGFRSAIHVTAAMSMKTQRDAFITSLAKFTSLHSAADIKQKNIEAIKAILLIADEDGNYLQEAWEHILTCVSRFENLHLVGEGAPPDATFFALQQPDLDKSKQTKSSILPVLKKKAPNTGPASKRGSYDSAGVGGKASGVDQMNNEVTNLLEQVGMAEMNRVFVRSQKLNSEGIIDFVKALCKVSMEELRSASDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSEFFVTIGCSENLSIAIFAMDSLRQLAMKFLEREELVNYNFQNEFMKPFVVVMRKSRAVEIRELIIRCVSQMVLARVNHVKSGWKSMFMVFATASYDDHKNIVLLAFEIIEKILREYFPYITETESTTFNDCVNCLIAFTNSRFNKDISLNAIGFLRFCAAKLAEGDIGSSRLKENPTSNSNPPSPHLTNDGKQEGVVLVDKEDHIHFWFPLLAGLSELTFDLRPEIRKSALQVLFDTLRNHGHLFSLPLWEKVFDSVLFPIFDYVRHAIDPSGSSPQGHSVENDAAELDQDAWLYETCTLALQLVVDLFVKFYDTVNPLLRKVLSLLTSFIKRPHQSLAGIGIAAFVRLMSSAGSMFVDEKWQEVVLSLKEAATETLPDFSYIASGAYLENVPTENGGSSDKREDESRPSEDENEETSRSRNLYFAIGCDGAQNTVILFEALHTVATHAHKINSDHDLRSKLQELGSMTQMQDPPLLRLENESYQLCLTILQNIFLDRSPDEGSVEVVEGHLIGLCKEVLEVYLSTARPAQLSSGTQPLGHWLIPVGSSKRRELAARAPLVVSTLQAISGLGDSSFEKNLGQFFPLLAGLISCEHGSGDVQVALSDMFSTWVGPLVLQSC >Et_9B_064327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14074989:14085412:1 gene:Et_9B_064327 transcript:Et_9B_064327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSPKPKSSKKAKKKATALGEDVKDMDALKSDVASFASSLGLVAGAGNPSGFDDSDFRKSGPMKPPKPSKQPDQTSEVPQNTANSQNPKPSKKPHPLELHAPNVSTSPGATTNYPLMKAAALSGQWYTDAEELEVKVLGSGKQMPPAVGLQEMQKLVERKRELAEKLMVQYVREYDTVRRGKGDLKLLEMSAKSGTSADKVSAFTCLVEDNPIANIRALDSLVGMVTSKVGKRYAFTGFDALKELFLMRLLPDRKLKSLIQRPLDRLPETKDGYSLLLFWHWEDCLKQRYEKFVMSLEDAVKDMLPNLKDKAMKTVFILLKSKSEQERRLLTALVNKLGDPERKAASSAAYLLTCLLSTHPNMKMVVIDEVDSFLFRPHVGLRAKYQAVNFLSQILLTHKGDGPKIAKRLVDVYIALFKVLMSSSSVTKGDTNSKHGKKSGENGKTKGRKNKVNGSNPQGSNGVDPSAGSDLEMDSRILSALLTGVNRALPYVASSEVDDIVEVQTPILFRLVHSENFNVGVQALMLLYQISTKNQIASDRFYRALYAKLLSPAAVTSSKPELFLGLLVKAMKNDVMLKRVAAFSKRLLQVALQRPPQYACGCLFILSEVLKAKAIVLQNESVDDSVEHFEDIVEDTEDPSIIDNDKLATQEKHDLDAADTTAKPVEGDRKDNNNTSTEGNADRASWWELTLLASHVHPSVSTMARTLLSGNNIVYSGDPLTDLSLAAFLDKFMEKKPKGNRIAEGKWHGGSQIAPARKIDQNPHLIGEELLELAENEDLLRDDSDVELGDISDDSVSEDGVMEDDDDDVDAILESAVDSDDNISDEEMVDVANGGRSGSGAEKKRKRKHGAKSGASPFASVEDYEHLLSQDSDKPAMKRKHKVAGRVSGEKKSKPRSQKKRSKTSG >Et_9B_065239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2753169:2758340:1 gene:Et_9B_065239 transcript:Et_9B_065239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEEGLEPLRYQTLALKVRIHCEGCKKKVKKVLHSIEGVYKTDIDMQQQKVVVIGNVSADALVKKLLKTGKHAEPWPEPAAPPPPADGAPGGSPGSGRGGGGGGAKKKKKKSKSKNAGNSKPAEPAPAPADGGAPIPLDNAGACDEASDGEHDKPEGGGGGGPPGAGDAHDGGAMTPHGAQRVPPAGNGNGGGGGGKKKGKKGGGGHGNANANGDGAAGAIVEVHPPDGPTKPGDGNAGGPVTVIDAGPYPPPPTMMSYPGYYGGGGHSPAPYVMSYHTVHPSPALRSSAYYHPMVGAAYTTGGGGGGGYFYSTAPASAPPGSYYMFILHGVQHDLQSPMSRKFDKILEEEKATTRRKAMQSLRKRILPIVEPLMIKEARNLSVHDQHYPESGEKASGVRLSKQSP >Et_5B_043703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14248447:14251272:-1 gene:Et_5B_043703 transcript:Et_5B_043703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAQAMGALLAVVVVLAASADVAHGICNLSSDGIRACQPAAAIHNPTDTPSAECCSALAGANLPCLCRYKKSAGAWVRFYRIDINRAMGLPANKLQPLIGHAVGSVLLGAGGSQPAVPLPVQEVRRRVVSAMAKTQALAALLLVVVAHATMEGAHAICGMANNDFKLCQPAASVNNPTDSPSEECCAALGKADLGCICRYKGVAGIWLRIYHIDPTRAMALPGKCGLTMPSNCS >Et_6A_047974.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:26855299:26856249:-1 gene:Et_6A_047974 transcript:Et_6A_047974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFQRRSFPLHILVLPILVVLLLGIVAGPAAAGKTGQLTVFWGRNKDEGSLREACDTGLYTTVIISFLSVFGHGKYTLDISGHPLAFMGADVKHCQQSKNVTVLLSIGGDGDGYSLPTASSAWDVADHLWHAYLGGQRRGVFRPFGDAVLDGVDLYIDHGGSANYDELARRLAGYNSISGSDGTKKTKQAVVLTATVRCMDGQDTSADVALATGLFGRIHVRFYNDTMCSFNADERRPFYGSWLGWTDRYYPKAKLFVGLPAARDAATDGWVDPETLSSRALPLVQDTPGYGGVMLWNRYFDRRDGYGRRIKLMI >Et_2B_022206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13546506:13550022:-1 gene:Et_2B_022206 transcript:Et_2B_022206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHIPRFAMSSRFMLRRPDPALIFRIDPASSSSKLRFQVKVAPHIRVEGTSCGGKCYGR >Et_6B_048425.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:3137136:3137705:-1 gene:Et_6B_048425 transcript:Et_6B_048425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LVADVDVVLDGGVAGADVEPVRAEQRVPGGEAERARAAEDGELADGVERAVPAEQRQRAAVVLAGREHEGHGLQEAQLGLVGAHVQALRPAHGGRILLGPLRQRVVHHVHHPEHGHRRRVRRRHHAHRRRRLAAHRVVEVEVEVRRRHGGGGVRAPPGQVVTAALQLGIGHDEQREEEQKQRYDALGHL >Et_9B_064093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11105197:11109142:-1 gene:Et_9B_064093 transcript:Et_9B_064093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPRRKGALVVGAPSRRVQVAAVFALAALLGVSVLYDSAHIAASLRRHGGGGATRAYAKLSGADDTAATARSVQEEAAALAPPAQGVESAATGPTDRADAPPHQEGDTSTAVTKKPGASAGSSLQDAPLIQEVVEAGGGSGEPQKPGTCDVYRGRWVYDEARAPLYKEHECSFLTEQVTCMRNGRRDDAYQKWRWQPDGCDLPRFEAKALLEKLRNKRLMFVGDSLNRNQWESMICLVQSEAPWDKKSLVKNGSLNVFRLQEYNASIEFYWAPFLVESNSDDPDIHSISTRVIKPTSIVKHAANWEGVDYLIFNTYIWWMNTPQMKTLRSGSFSRKPVKYDEIERVVAYKKVLKTWSRWVEEHIDPNRTTVLFMSVAPVHMENEGWGSPNVIKCFSETQPVTNYTKKLELGTDWDLFATAQRVTKSMKKVPVHFIDITALSEIRKDAHTSVHTLRQGKLLTKEQKANPRKFADCIHWCLPGVPDTWNEFVYGHIVSSPLRQMTEDQPQR >Et_1A_005574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11626117:11628848:-1 gene:Et_1A_005574 transcript:Et_1A_005574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSELSRSTVVFGLRMWVLVGIGVGAAFVLLLVLISVLCLLAFRRRRRRRSNDPDQYLPTTTPPKNPATVKTPKDIQEVPSHAAAAAAKMPLAQALQLPTTSGAVQIATGKEHHITYPEKQRHPSNRSEGPSSHGSGGGSDHAPPGVPEVSHLGWGHWYTLKELEAATEMFSDEKVIGEGGYGIVYHGVLENGTQVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVDNGTLEQWLHGEVGPVSPLTWDHRMKIILGTAKGLMYLHEGLEPKVVHRDVKTSNILLDKNWNAKLSDFGLAKLLGSEHSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLIDWLKTMVSSRNSDAVVDPKIPKKPTSRALKKVLLVALRCVDPDASKRPRMGHVIHMLEVEDFPYRDERRGTRAPGQSRIPERPAIGTSNRETVNGEDNQGTNAEPFRWRNPEA >Et_2A_016670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27029581:27033024:-1 gene:Et_2A_016670 transcript:Et_2A_016670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPPPAAATAALLKCSGDGRGGEPRLEPFSGEVSRSPSRETGVGQEPEDGAGATPGTSRGRAEDEPLRRGLAAARARRKAGRATPSPSWKLEASPPRPEAEEAAAAAEAGRRGAPAASARQLGATLWEIQDVIRVAGAGRRIRRSGRRASPGDEAGADADRVSTLLAASLAPRSNMMTLVFGVLLYNYKAIQTDIHKFFPIFQPRTSGGRGAHRAALLMDHDKLHEERCHSRQPLSPASYTSSVGASTIHLASPTRSLDFNARSRQAGYDLKTSTELLKVLNRIWSLEEQHAADVSAMKGLKHELQHAQACIQELMQERQRYHHDIDSLARQVTEDKMARRNKEQEKMKASLRSLQEELEDEKHLRRHSETLHRKLGKELSEVKSAFVKAVKDLEKEKKATCLLEDLCDEFAKGIRNYEEEVRMLQQKRVTEYGHKFDKSVVHFSEAWLDERMQMLNADVREDSAGSISITERLSSEIQSFLHRAKRPANFKNDNLHVGNEKRDASLCRQSLESVPLNGATSAPRLAEDDDGSSVASDLHCFELNMHGTAIRNHELAGTRRRVTSCMHSPMRRLEYSNCASVEGSPMSNAPPCSKKEKARSSIGRQQVIVSTPEIESCNDAAIAPIDEQNETVMTQVSRRLRDDLLKIKTEAPQHACLWQKSNHPRTNQLCEYTVPQDLCDVRSPSRQLNNQGKLMEYEISESPSRQMLGTKENTLKAKLLQARLEGQQARMSASVYPLISTRRK >Et_4B_037089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14587613:14591259:1 gene:Et_4B_037089 transcript:Et_4B_037089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGDPPPPLCPLCGHPTQAATASTSPVFSALARPPLKRRPPPEAPPAVVRVEIGDEAAALREALTRQQAALADVQAELDAERGAAAGAASEAMTMILRLQREKAEAMMEARQFRRYAEEKMSHDAAELAALEDALAKHDAALQRAVQQQQQQTPRHHYHAASGASTPRQRGPGATLRPPASPSPAASSGAGCYPALRCCIDHPPTASEADALDAQQQQQTPRDQLNRLAHRVHLLERGATPSAPAAATTTTPIIRVAPGSTAFFPRQHHHARAYSDDGSSLDFCDNNGDFFPDDDDDDCGGAGSDRVYTVDAIHGAPPLAVPEGSCYGGSTPVGSDCCYGGGRWPAEDDDVRRLSSRLQSLEADRESMRQAIINMGAEKAQVMLLKEIAQKLCKEASAAPPVPVTAPVAQHSYYKAGNAQPAVTVTVRPPRQPPVIMQRTVVKRQPYGGTSFLAAVVKWVTSIIWWRKKSSPVKYPIGQRGNNVGLLLLLEKAPRAGHGHQRLPKKI >Et_7B_053465.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:21129319:21129570:1 gene:Et_7B_053465 transcript:Et_7B_053465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHIQPVGRCPEAHSVVPAGARCREDVPAGPAMAPSLEVRHDTAHPPSSSGAGAREEHPGIRGPASASPRKLASRRVRDHLA >Et_3A_025935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34114065:34115146:1 gene:Et_3A_025935 transcript:Et_3A_025935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAWGCSFLALALRRLVQLPALLFCEAMAWSLSFLAFPIRMLSAVEKERKLSGLIAEMQSQMDDLVWENKDLEDRLRAALKEQDAMEAVLGEMEEEHEDAFARIDALENQALLINPISRDGVLLAEVVIFLLVLLVRSMAQVKALKQENMRLIEHKGKAEWDEKAKAEAAGRHHGKQDGNKKKLAKSAAVDDAFKFADPAAYQLAVTTPPMSPMSPSSEESLAKAVARRRSLFSLGMSLAVGAVAWSADAPCLPLLAGLFAVVGVSMRSCAALRRAGDAVALLSLNWFLLGVFTSPMLPGVARAVVPRAGRVLGPAIASVAAAVPL >Et_1A_005495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10887640:10889967:1 gene:Et_1A_005495 transcript:Et_1A_005495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMRGALERAKMLVGMEVDEESALPPEEQLYGFAICLAAGLTCTFLSMFVFFHPVKFGVTFTLGNLMALGSTAFLIGPKRQLDMMLDSVRIYATALYIASIIIALFCALYVHSKLLTLLAIILEFGALVWYSLSYIPFARSVVSRVMTSCFDTEF >Et_5B_045760.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8956669:8957706:-1 gene:Et_5B_045760 transcript:Et_5B_045760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGGATAVQQPAAATTGPAAGGRSGAAGAPDPRAEALRCPRCDSANTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRSRTTNSGAVAGRSSAAAASASAAATTTSSSALHNAAAGGASSMQSLTSALGLTGGASLASLLLGGGAGGGGDHLGLFHAAMQSVVSDTTGYELQQQQSQVDHLLGLGYGSGGSQIQLKPWTTTMQQQDAGAGIMDSFYAPLLSGSLVPGLEELHVKAEAAGAGGGGENNQHHNQQKAGAWEMPPSSNIDANIIASDALMAAAASMNNAAAVSSAASTAPTSSFMYWAANGGGGIGGAAAAWPDLANSIATLF >Et_1B_010771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13920820:13928080:-1 gene:Et_1B_010771 transcript:Et_1B_010771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSTAPRAPLPATAAAASSPSSSSSARQLDPNPSGRFHAARLRTVRRLAGAAPSRRAPVVRCAARSSDADAGGEPRRRGWEAMIHDAFQGAVRRWSEYVSNHWPSSPAAKEAGQGKVLESYREEEVMSGDEEDKEEEVDGKWSWERWKRHFALIEESERLVDELQLQLRAAMYREDYRSAHKLKLAIAATAQNDTVGRAISDLNRAIKEERYRDATYIRDHAGAGLLGWWSGVSGNLSDPYGLIIRISAEHGRYVARSFDTRQLASDGPGFPIFEIYFAEANGGYHLQAVHLKPDDSDSDQVPNMLREKLGIDSINISSSSVGFKHEQFDGGVKMDDQDSDDSNIAAGPAGLKNLSSDSTAVPRIKILKVVPMENVNQDYIINIFDQISEEDDDNDDPDVETVSSQDIGDEDNNEGAETVSAEENGNESDDESDVETLISVDFVSENSNYFDSHSSDEAFERMPARLEKRDRFSFSFYTDQYSKKKDAENTQQTSKKTVSLGTSQQADIGFQLDRVKLSGSNKKLSILQLGVKQHNNKVQQKLHGVTHFNRIQMPISSDPLTGLYMTASGFDSEILSLQRKFGQWREDSSSEEQEDLLFYEYVEAVKLTGDNLVPAGQVVFRAKVGERYQLPHRGIIPRELGVVARYKGQRKIAEPGFKNPRWVDGELLILDGKFIRDGPVIAFFYWTSNFHLFEFFRRLRLPD >Et_3B_031065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13870927:13873861:-1 gene:Et_3B_031065 transcript:Et_3B_031065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAALWSSARKLDPLKSLTTVETIALKCTAPLPMQIVKLTTTNKNHDIVLVKKVSTDQRKGLNSMIVIGASLKLLKPRAHRKEFKREYTNRANLSLPSSSTGGGVISTINMDFEQSCYEVT >Et_9A_062131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:227215:229339:1 gene:Et_9A_062131 transcript:Et_9A_062131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCRTTPSPTTDRAALLAIKAGLGHYFDNDTACCEWAGVHASTASPCSFQPDLNGTIHPRTHAVGRLVHLRAARPPVPPPPGPLRPNPAGLSKLSLLIHRLRDQRSRRRWASSRSSPCSTSLSFNALSRRRSAASLTSPASISAATIPPLLFSKYAGDDDNFLDQAGEFAAVNLILAGATPYFTGDHIYVDLSRNAFSFNLTSLRKFNVSSAASYQAAEELKMARFDVFSFLHNKYIL >Et_1B_014149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32814414:32817138:-1 gene:Et_1B_014149 transcript:Et_1B_014149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGIGRGTYGDMRNQANQDKIEGRVGAVLPHQRVLRAAVEELLVRVQQAALDQQVAIVGVVEGQLRRHVERRQVAVAGAHRRRAVAAAQRGEVGVDVGVVVDVVAEVLALREADGVRAGQRRQVARAQALVAERRDELAQAGRRRGEVGVGLALASRRRVATAERHGPAWPTELK >Et_1A_006487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23104679:23109431:1 gene:Et_1A_006487 transcript:Et_1A_006487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADATVNESSLSFNLAKGPVSGYRGILRAFISAFIASYEVSYQTEDSSLDMILSIVCEVYDGEESLCMQFWDKDSFVDGPIRSVLNMVEKEYPFQISELIRFLSAVCHGNWPAQCVYNYLERMNGVTTLYTIPASFSDNLNDTHEIENHHPISVADIEGIRIPSGSHGYILKILEQDAALVRWELPHSGFFLLLVILAQDLHSCNYDEASVIMDLLYRMVSSNKIQKVTSAITSAVIGCFLVHWLECSLLPLRNMEIVLLLQLQACSLQTNYFSFEAAFAFVITVPFFLLFWYNTDLMSIAVLSQCLILPCKFFGKELMLMI >Et_5B_043655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13576194:13578221:-1 gene:Et_5B_043655 transcript:Et_5B_043655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPIKFVFKFQICHCMADGLKNIPDVSELAWDVVAVPTVWLVWEHELLTSPWPPAITHEHLTFFVPDEIATLRSQAPSSLRSVASRFDLVGAFMWRCRTAALRFDAGGLVRLHIFVNARVRNRSRRPLPRGYYGYAFALDAASVPAGEICRKPFGYPLRLPLEAKGVRGGVRAVRGRLQRRAHSRRGPQNEAKQKQKRNQPTS >Et_1B_011542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23434889:23435319:-1 gene:Et_1B_011542 transcript:Et_1B_011542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQQQQALPLCMVFLTALLVLSAMHAVPAESGRALGQAAGPGYGRLIPNPGYKPYVPRGSG >Et_10A_001389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2550838:2559374:-1 gene:Et_10A_001389 transcript:Et_10A_001389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVEQARRRRPLWEAREWRLEPQHADRLPDGRPETPVIVRAPEPDHQHALHLPGVERPVQPGVCRVKDHASIVQLRNSLRQVDGGAVLQNHDGPPAGGDLEEEDAEAVHVGRGAEAARGRALRVHVPRGAGEVSRVRAPAVVNQPGEAEVAELGVEGDVQHHVAGLDVPVHHALVPLLVQHDLVPERPWQERRRAVEVRVEAAVGHELVDQEQVAAAVAPADELHQVAVPEPADDPHLGGILLPPLLRALGHPLDGNGKVVHLLQEPSVHRSKSAFAELALVGEVVRGDGELAVAEPLRPDVFLEVVLHIRTVATGILGGRLGSGASDRSTFTACLIDGLKLRSGCTHQSPTTITVSISFASNSPPRVGGIKNGSPAVGLPHPLNKVDQFFPVGHHWPPAAGDLQEDDAEAVHVRLGVGPAVDHALRVHVPHGAREYRGVRAPAVVDQPGQPEVAELGVECGVEHDVAGLGVPMHHALLALLVQVEKRGPQPQHDLAPARPWQPRRAGAVEVRVEAAVGHELVDEEQLTAVVAPADELDQVAVTEPTDDAHLGGVLLPPLLRLLGHPLDGNGKKPSVHRAEPAFAELALVGEVVCGGGELAVAEPFRPGAFLELVLHLRRLAVEEVVALFHLSPRRRRLQPDAPSPSPAQKKANCQAENGQESCGTSSGQAV >Et_3B_029283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23739322:23744120:1 gene:Et_3B_029283 transcript:Et_3B_029283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHHRLLPRLLLLPSTHSSISTLLGPSRLAHRLSLSTRTSALSHLAAPQPAPQGEVQVKLPLDRLFLPPGASVDSGDKEAVSARVLKGSNIVLGPYARGDAQVINADFVKSSVRPEDCPRDGLPEFALVGRSNVGKSSLLNSIVRRKRLALTSKKPGKTQCINHFKVNDSWYLVDLPGYGYASAPQEARTNWDEFTRNYFLKRETLVSVFLLIDASIPVKKIDLDYASWLGQNKVPMTLVFTKCDKRKKKKNGGRRPEENVETFQSLIREYFEAAPPWIMTSSVTNQGRDEVLLHMSQLRNYWLKH >Et_6A_046992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23877844:23885159:-1 gene:Et_6A_046992 transcript:Et_6A_046992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGNGSGSGRVRQTPVREKKMLRVKYVTRTRRSNLIYRAPPGHPRVGEATRPRTRVTTGRVRVSLASGKHHPPPRPSGAGPDGCPHPRQETDKQGNKISRKIHQDMEREQMKLAILKQEQIFRQQVHELHRLYHVQKQLMQQVQPAAMNLTPAIIDVKPKQRFEIIHQVDVTKTTVRFQNDARRIDEMGQGAWMYQCLSLKTA >Et_9B_065261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2925854:2927561:1 gene:Et_9B_065261 transcript:Et_9B_065261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGPSELRPQETLPYNPTLLIHATLSYPYYMSSLVSRTTVVVICLTAAMAASYTKTEPPLTFLVWDPITAKEHRIPGHDNASN >Et_9A_060920.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17527186:17527482:1 gene:Et_9A_060920 transcript:Et_9A_060920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHGMNSPSHSLRSYSVSSSEEENRCGAVVACLARRVTPAVTSTSVGTSKVTPFPPMVSGQGTDGTPRLQRSRAVSRDLVRDWNFDEVAVAN >Et_1B_012189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29753320:29753951:-1 gene:Et_1B_012189 transcript:Et_1B_012189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFEKLVGSTNLPIQNLSVIEIIKKLISHGHLMACPMGAYIFKKVYIYLDLANLAHDVNCDAWELNLDWEDEIMIFFYLRKEDEIRMIEKNKGCIKKSAVTKTRKFDRCRGCQCQYDPLSQAHNFDLARTTAPRAPTSATPPPRAS >Et_7A_052630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:27203:29411:-1 gene:Et_7A_052630 transcript:Et_7A_052630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLLLLLLPLLPQALPLPHAGDVCIVGAGISGASTAFFLTNYTTSPALQLRVFERRPRVGGRLATVTIAGDHFEAGGSIIHPRNLHARRFADLLGLAVKTGGDDDWLGIWDGTRFVFQTLRPPPPGSSWLRRKLHGLLNSLLLLNRYGLSLLKMDRFVQEMLQRFMLFYNGTDSRPVFGTVEEMLKWTGLYGLTRRTLEEELTNAGLNSRTISELVTVITRVNYGQSVRISGLAGAVSLAGSESGLWAVKGGNWQLPAGLLKTSNATMHLQEGIDSITDAGNYYVLKSNIGNEYNCTVTVVATPLDEVNITFSPPITIPPRKMQHTHTTFVRGLLSHEYFGVSSASDVPELIGTMELPDIPFSSISIMKKYSEDDMTYKVFSCAKLEDGLLDQIFRRREETIRINWGAYPHYEAPEVFAPIVLDGKQLYYVNTFESAASAMETGVVAAENVARLIISRQGGVESAAPSIKPFVGEEEEAESPLKLDL >Et_3A_027018.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29655281:29655538:1 gene:Et_3A_027018 transcript:Et_3A_027018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVFKVIAQYKEEGHVSFDGMISDEPSAASYVLLPGDSDGGYREETNHQQLRSGAARAEAVATCTARAGSLGCSTLRRQI >Et_7B_054016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14264416:14267243:1 gene:Et_7B_054016 transcript:Et_7B_054016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGADKSGSGGGGGSGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLADGA >Et_4A_035005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8761004:8768474:-1 gene:Et_4A_035005 transcript:Et_4A_035005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAADWAWWLGLLLGAVPLLALAAWHCNDAAHRAAFALKRFRRRRGGARLPPDHMGLPFVGESLALLWYFKFARRPDGFVHAKKRRYGDGAGVYRTHLFGSPTVLVCSTAANKFVLQSPDSFGVRWPAPELVGVSCVINVEGSQHARLRGLIVAAVNRPSALRVIAEVVQPRVTTALRSWADKGSITAATEIKKLTFENLCKMFVSMEPSPLTHKIDGWFAGLVAGIRAFPLDLPGTAFRHARSCRKKLDAVFREEVERRRKEPLDGEDLVGGLMQMKDEQGCKLSEDEVVDNIVTLIVAGYESTSNAIMWAAYYLAKSPDALCKLREENMKINRSKNGGFITLDDIPNMKYTAKVVEETIRLANISPMAFRVANKDVEYKGYTIPNGWSVVVWFRSLHTDPEYYDNPLNFNPDRWDKPPKPGTYQVFGGGYRTCAGNMLARLQLTIMLHHLSVGYKWELLNPDAEVIYAPHSKPFRRHGHCRRARLPPGHMGLPFVGESLALLWHFKLARRPDGFVEAKRRRYGGGGVYRTHLFGSPTVLVCSPAANKFVLQSPDSFGIRWPAPELVGVSCVVNVEGAQHARLRGFVLAAINRPSALRVVAEVVQPRVVAALRSWADKGAITAATEIKKVTFENICKMFVSMEPSPLTDMIDGWFTGLVAGLRAFPLDIPGTAFRHARSCRKKLDAVFREEVERRRKETLDREDLMGGLMQMEDERGSKLCDDEVVDNIVSLVVAGYESTSNAIMWATYYLAKSPDALRKLREENMEISRSKNGGFITLDDIPNMKYTAKVVEETIRLANIAPMAFRVAHKDVEYRGYTIPKGWRVVVWLRSLHTDPEYYDDPMNFNPDRWDKPPKPGTYQVFGGGYRICAGNMLARLQLTIMLHHLSVGYKWELLNPDAEVIYVPHSKPVDGAAMSFGKL >Et_9A_062946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7088686:7094808:-1 gene:Et_9A_062946 transcript:Et_9A_062946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHHPKHRHHDDDLLPYRRSDDEAKPRRPYTPTFASSSPASAHRLLLLFSGACLLIAAASLAFAVSSGRRPPAPQPSPVAFRCGRAEDSLRSFLASSSSPHRNYSAGNREKVLAVVGVHTELGSAARRAALRSTWFPPNPEGIVSLEHGTGLSFRFVVTRTNDKEKMEELQKEVDMYHDFLFIDVDEDTKPPLKMLAFFKAAYDMFEAEFYVKADDNIYLRPDRLAALLAKDRPHHRTYVGCMKKGPVVSDPNMKWYESSWELLGNEYFMHASGSLYALSSEVVGALAVAKNDSLRLFDHEDVTVGAWMLAMNAKHEDNRAMCDPTCTPTSIAVWDSKKCSGSCNLADKIKELHNTTLCSKSPTLPPEVEEEDSLAVGTDGVAVGVGYDRRKEYASAPALSVASAKAVPVGHAPASSLVSPTSQVAAGRRGWLND >Et_1B_012311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30780223:30780715:-1 gene:Et_1B_012311 transcript:Et_1B_012311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLNCVSLLLLLSILLAALSPVVATARRDLLAAATGDQGLDQARALNILTETPEAAVKDAEETTRRKRMEERVNYRRFGTRKPPSSQARFGGRIPFTSDYGKIKRHPPKHN >Et_7B_055105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7338843:7340257:1 gene:Et_7B_055105 transcript:Et_7B_055105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMTLPRSSSKITDSWQLCAVQSPPAPYAPAQALVPCPPVSLNNRHELSALRLFTYSPGRGEKRQGFERARAGREGVGTMSFTGTQDKCKACDKTVHFIDLLTADGVIYHKTCFKCSHCKGILSMCSYSSMDGVLYCKTHFEQLFKETGSFSKKFTSGGKSSEKGELARAPSKLSSAFSGTQDKCAACQKTVYPLEKLTLEGEPYHKSCFKCSHGGCILTTSSYAALNGVLFCKIHFQQLFMEKGSYSHMKKKTESQETLPDVVAEEQPEAPPQHEEGQGEKVEDN >Et_8A_056825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17444214:17450453:-1 gene:Et_8A_056825 transcript:Et_8A_056825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKCNAGNESMRLGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGDISISGYPKNQETFARVSGYCEQNDVHSPQVTVYESLLFSAWLRLPNDVDSNTRQIFIKEVMELVELNLLRDALVGLPGVNGLSTEQRKRLTIAVELVVNPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFNEVRLISCRKFLDIDGVSKIKDGYNPATWMLEVTTISQEQILGVDFSDIYKRSELYQSNKELIKELSQPAPGSNDLHFPGKYSRSSFTQCMACLWKQSQSYWRNPPYNTVRFFFTTIIALLLGTIFWDLCGKVQPVPMPIKMSTFIILLTFASSSSETSQDLLNAMGSIYVAVLFIGVLNCQSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIELPYALLQSILYGVIVYAMIGFEWTVAKFFWYLFFAYFTLLYFTFYGMMAVGLTPNYNIAVIVSSAFYTIWNLFSGFIIPRPKMPIWWRWYSWVCPVAWTLYGLVVSQYGDVMTRMSDDRSVKAFVEDDFGFKHSWLGYVATVVVAFGVLFASLFAFAIMKLNYNKR >Et_2A_014859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18910604:18912106:-1 gene:Et_2A_014859 transcript:Et_2A_014859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSPPSYYHYTGWSCFTKLHQTLLYIASKTLPLPLPVSHHISIAMASLAILLLLARISSSIAVSSNYITRTSEQQVIATVAPVIIPDVDGQSAQPFLTSPSGSYAAYLRRAVDSAAGLAGDACYVQVQQAGGGGGDSVWESDCTPVSGADACDLAFSPVGLELFAGGHSLWDTGLDADPGTLSLDDGGDLSIVGKDGVTVWRASGEPWTGQQCGSPLPTSASASPSVEALPPPSTTTSTKLVTPAAAATLAAGTGATDFSFGDELAPPPADTLPAPDLPAPPPPVDTVPEQPLAPPPPADASPDLSLPPPPAYTFPDSPDQPLLAPPPADVSPDLPLLAPPPADVSPLYSSPPPALPPFGIPLAPPPGAGATTPPHGEPAGSQGGVPFSAAPPAGLPHQHGSPHHDLPVGASPPLPGTLAPSGHGAGGAAGLPFGEGQGQAQQGQGVFGQQSQLLDGSGHPLEDSAGGRSSGVAVCAVLAALVTLCFGF >Et_1B_014365.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6840418:6842046:-1 gene:Et_1B_014365 transcript:Et_1B_014365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAAAVSAPTTTAPVHVAPRHHASPRPAPRREQSPLNPATTASLRSSSPPGSSSSSSSDGGARAHIANLDRVLGKPPQVPRPPAGHAAAKQQEAAGEQEPLTVRHGLLNALNLSFFVPMPGMRARTAADEHMSPRSLMHMQQLLSADSPRASPRCTIAPRWRALHGEGGWAGMLDPLDSDLRRELLRYGDFVQAAYQAFHSLPTASARHRGLMLPDRSYRPTRSLFATSALSMPEWAKRPNTPEWLTQQSNWVGYVAVCDSEREVARMGRRDIAIVLRGTATCLEWAENLRASLVPLDDGDSSDGPETGSAEEPKVARGFLSLYKMAGEKVKSLSAEVMHEVRRLMDKYKGEDLSITVVGHSLGAALALLVADEVAATIPDAPPVAVVSFGGPKVGNAAFVDRLTRTGKVNVLRIVNAGDMVTKVPGVAPRLPLKKEQYHHVGAELRIDSKNSPCLRPDAGPACRHDLEAYLHLIDGFTGTGRPFRHDARRSVIRLLQLQRGNVKKEYVNRARELGVDPAAPADVGRSVAYGNCALASPSS >Et_8A_056540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1195942:1197070:1 gene:Et_8A_056540 transcript:Et_8A_056540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGGKEQIRPPLTTTKTMKEQPVAKEEVWEVRPGGMLVQKRSPDAEPPPGGAPVPTIRVKVKFNGVYHEAYINAQASFGELKKLLSEKTGLHPDDQKVVYKDKERDSKAFLDVAGVKDRSKMVMVEDPAAKAKRLLEERRTAKAQRAAKAVARVALDVDKLAAKVSALETTVSKGGKAVDADVVALTEALMTELVKLDAIAADGEVREQRRAQEKRVQKHVEALDAIRAKNNRSKKANASSNKARPPHLPPRPPAPAQHQQRRQFQPPAPTTGTAPAPQTATASWETFDLLSAAVPSTSAAPVTTMAPATTTTPTPRFEWELF >Et_3A_027030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3081880:3082328:-1 gene:Et_3A_027030 transcript:Et_3A_027030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEGHELHVRVVSRRLVKASDSTIKPHVLAVSNLDLVPQPIQMFMLCMYPKPPTGKFSAVITAFESGLPSLLNHFFPLAGRMATADPCSGLPEVHCNNHGAELVVGEASDYIKVKIILRYMFFDAG >Et_6A_046661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1942138:1944114:-1 gene:Et_6A_046661 transcript:Et_6A_046661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEETGARRWLVDITRWRPSPAAFDAATALLPPHEQPAIARFVKEDDRKRALVSRLLQYTLVHQVLGIPFHRITICRTHEGKPYLQNENSTFRNFNFNTSHQGNYVGIASEPFCLVGLDIVSISKPRGETVTDFISNFSSYLTDHEWNCIVRAGSPNEVLTEFYRYWCLKEAYVKAIGAGVGFGLRRLEFRHVDWTNISICIDGQESRRWKFWLFKLDEMHLACIAKGHPEDAVNSNKNTLSNLIIEEEQLHATHESPERGFLLKTVEQLARFHD >Et_1B_011144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1862921:1863761:-1 gene:Et_1B_011144 transcript:Et_1B_011144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FRTQNAWSKEAWTNIVSQLNAKFGTSYTLYQVKQKEQDLKKAYRAVKELTEESGFGWDNERKMVTAPATVWNSFATRKNSSDALHWRDKSFPYFDELHALYDGRYAEGRTRHGMDHYAKRSMNASAPSTQQANATGAYQSQSPAWDAQCESGLQFHFDEDVDERNLDSSAPVQHMQVPPSSTPTPLQAPESGRIKKQKTKSSSPDEGFQEKYLKLKREEIERFVAIEEKKLEDPYNINKCITVLEGFCESYG >Et_2B_020157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17482960:17486014:1 gene:Et_2B_020157 transcript:Et_2B_020157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YQNLSGSKVYPPPTRRGTSLNLDFLHDEAITSAVPMVWEFIAHRFALLGAADKYNVSDLKVAFLDSLLQDIDTDNLIERLQSAHLYRLPELKSCIRLLVDFRKLYEIQDDFNEFIRTADNDLIVEILQYVLHSFPCVG >Et_10A_001822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8807516:8813114:1 gene:Et_10A_001822 transcript:Et_10A_001822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGLCFPVWGALQRPDDGMGRDDAVVMIHSKLTQLRRQIQSGRLAYIKVSAPRHRSCRWKFAEKLGVWADQLRSCILVDLEKVEANRKASLMHTCALFDVATAAGAESNGTEGDNTLSQSRHLGCTREREVVYKQEENLSAGTLVLSSSGCATHKTVVRFVKLPLVERIPPYTTWIFLDKNQRMAEDQSVVGRRRIYYDPVGNEALIWHLFTEGEDQLIWKATQQYGSSQAVVNVLCQFVDATPSEIEERSEILLEQNEKCSGRHDKIESRLSLDKTIDVVLDSFDNLFCRRCLVFDCRLHGCSQNLVFPIEKQPCGFELNENKRPCGDQCYLRRREGFEEMHDDGHASEHTLSHWSALERDLYLKGIEIFGKNSCLIAKILLCGLKTCMEVSTCTYNNGAKMTKRPFMSKLPQEALQRLNKITRTWLPEQEFVVGEAVIVIQLLGIIMVMGSNGTHNTCHVDASKRVAKIAPVWKMELAVRSIAGVQRAAKTKLEAAIVQKVNAEADNAHVLLPAVNVIQMFAGTVGCGDGSLGEPPARGDGYQCGNIKLLLKQRQRILLGRSDVAGWGAFIKNPVHKNDYLGEYTGELISHKQADKRGKIYDRANSSFLFDLNDQYVLDAYRKGGKLKFANHSSNPNCYTKVMLVAGDHRVGIYAKEHIGPSEEIFYDYRYGPDQSPAWARRPKGSKD >Et_5B_043491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11142191:11146555:1 gene:Et_5B_043491 transcript:Et_5B_043491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAAAAAVGGRAPERGDFFLRAAVPATPRAMPVARQPEHVATCANGSKKIAENSRVTFGPFPGRPFYTQQPLLLRRAIESPSEPFHGHAHAVNSHRRRRCRRPSVAAMMNHVRSAFLPGSRTHHLAPAPQQLAAAASFHSTPVLQRKRKTQSQWHHRFNYYAKRRRNRETKRSMLRNMSEYAEYLFQSWREEDEKADASTGPSWFRGHRWVRNSNNNGFRPHDFYYGNFRSRGFEFCTSDEEEPETIFRNAFRQKHTYYWSFSSDDDFHWRNSRHARSENSRNWNDDTDDEDVVSAPSEISLARQALGLSTSGPLKLEDVKSAYRACALRWHPDRHNGSSKATAEEKFKHCSAAYKTLCDNLASA >Et_2B_020855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24197622:24205718:-1 gene:Et_2B_020855 transcript:Et_2B_020855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCFACYLKYGSLCARRWELYRAAGFMEAGGARNDSAAAVHTKGSGDDGSSKPLPPCCVKAKAGVPESEAKCHDTVVSGWFTEPRSRSGKTSKVQYYNNPMWPGEAHSLKVEKILFQGKSPYQEVFVFESATYGNVLVLDGIVQLTDKDECAYQEMVTHLPLCSIPTPKSVLVVGGGDGGVLREIARHDSVETIDICEIDQLVIDVCKDFFPHLYVGFKDPPVDFLKGAPEGKYDAIIVDSSDPIGPAQALVEKPFIQTIARALRPGGVLCNLAESMWLHTHLIQDMLSICRQTFKGAVHYAWTSVPTYPSGTIGFLLCAKEGPSVNFLSPVNPIEKLEGTKGGRELRFYNSEMHRAAFVLPTFVRRELESYSTSTEKVTSRFPSYSFHAALCFVAFGAFWIVKAFEIALGGWTWTKHSV >Et_4B_036891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12310112:12311332:-1 gene:Et_4B_036891 transcript:Et_4B_036891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METKKTKNPYTAGKQQECTLVDEPALLPDLALGTGLHPDTGHLDERLLELLLPQPINESVVLHHLCRGGRGHQRGVRSEEHLLGEDVLVVLVVQRVGCHDVLVHGGVVARGRVGRAPPPQEIGEPGVDVGGHGEAVGDEGGAVGAADGVGAGEHHHVLGVEALGCEAGDQLVEVEDGRRQVVERLGGAGHAPVKAAGGHVDLEREPGEEVGGVAGGEGDDVGARDHAGANLLDGVLGRVDHIEASQAGLLVCACYTVIVSAYLDKAVVEEHADEAGADAGILVDELLHLVPHDGLHTGARLLVVAHLQPLARRRQGKDACHHHGEPE >Et_9B_064872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19441874:19444988:1 gene:Et_9B_064872 transcript:Et_9B_064872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRGGPLVLLLAVFFVVAVAEDTLPTQKNGDRSDAHSSVGETERPDETNLNKEVVHKEGGLNNDTNGNNKKDNSTEGTSVRRDEPIQEPRDKDSKATKSSPATDFLQDPLIMECDPSHRCIIEKNKFIACLKVPGEDSLALSLLMDNKGVNPIDASIMAPDYVTIAEDTVHIEANGHNETQVSVSISDAANDTAIVLKVAKESCTINIHSAIARETGPVMRMRLTSTYTLVPVIILIAVVGVCTKLRKTRKQDGGPAYQKLDTAELPVSIGGKKEADQSDKWDDNWGDDWDDEEAPLTPSKPMANPSSKGLAPRRSTKDGWKD >Et_7A_050778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1098855:1100258:1 gene:Et_7A_050778 transcript:Et_7A_050778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAATKLLLVSTFMLLISHASPLRFHYTSNPHNFTATTRTPSSSGHRSQRNPSIALLHRDAVTGATYPSRRHAVLELVARDSARAAYLTRRLSPEASGALALETLTLGGTAVQGVAIGCGHRNHGLFVGAAGLLGLGWGPMSLVGQLGGAAGGAFSYCLATRDPGSSNAAAGAGSLVLGRTEAVPEGAAPSFYYVGLAGIGVGDERLPLEDGLFRLTDDGDGGVVMDTGTAVTRLPRDAYAALRDAFAEAVGALPRAPAVSLFDTCYDLSTYTSVRVPTVSFYFNEGATLTLPARNLLVEVDGGIYCLAFAPSSSGMSILGNIQQEGIQITVDSANGFVGFGPNTC >Et_1A_006954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29384752:29386097:-1 gene:Et_1A_006954 transcript:Et_1A_006954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLIAEELLRTGGRGSERLQNIYKATVLCVSIKLSLMCLICGICLAVRGSSTDCIVFLQWGLIAIGLVLMATFVTVVKLLRRHKEAAIRLHLLVMFFALLALLSLMIAAFVHINIKLVDDGPRITNDDYEAFVKKPQPVREYSLGDYGGLLRRRVADPRYWARISGCIHQGNACSGMSPLFRDPNTGVFLANRTSNKYPGDPGLSPIESGCCKPPLSCGFTYVNQTTWTVPDVPTNNTDDDCSQWSNDQQNLCFQCDSCKAGVLADVQRAWANTVIFITFFTLLHIILYPFQVKVLCYD >Et_10B_003218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17827552:17833144:-1 gene:Et_10B_003218 transcript:Et_10B_003218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQPNGSKVTPNLAMDTEATRMLNLTVLQRLDPAVEDILITAAHVTLYDFDIDHNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNAAQEVNGIWFYNQKDCEAVASLFGRILNAYAKVPPKPKVPSTKSEFEELEGVPTSAAIDAPLEPPPSTTALVSDAPDESLANYFNGAASIGSVSSAPMAGRAHPSTESTTSSYAPLVVPTAAPAPQIPHPQGVSSAPPLPLHDANAHVSHSTNLLTPAFFAPPSPSSTSVAPPVSSITPTAPPLHPNPAYAQRPPYGTPLLQPFPPPTPPASLTPAHNDGPVISRDKVKDALQRLVQSDEFIDLIYRELQNVHIMTRNPRNAAAPTPEQQRSIMPSRLSMRSFLAFLLLTNSSYLNFAAASAPRPRLAPAIIAAATTASPSRPPTPPPSPSSTHRLTSLTWPCRSSHVTAASRATPVWSTRAKRTTVSPVSHRRSTATGRRLDAFTARPESGSTSTQLSASSAGAIGEDDGAAVKASCTSASTRNGEPRNHGDAASVSTTRDMMRAPPW >Et_2A_018764.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:701849:702220:1 gene:Et_2A_018764 transcript:Et_2A_018764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPGVARIASRRRFSGHPTRPSACSEHPRIRPSPLFAGTPKPPAIIVRKWEKLGTRCSTCLYAKCGDVSAEEERGHVEHDDLGAGPVPVDAGAAARGGRGGERPDDATLAAERTATSSEPRA >Et_2A_017375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33703996:33706018:1 gene:Et_2A_017375 transcript:Et_2A_017375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLALAVLLLLAMLAAQELLAVQADMQCSGLYGELEPCLDYIQGRREKPTDECCRSVKSIIELFNTREEEACDCVTRARALPEKYERKDKISYYRLWQCALVFTS >Et_6A_048056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4608005:4614705:1 gene:Et_6A_048056 transcript:Et_6A_048056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGTVRTRRTTTVSLPTTGGEAPLPAPGPRVPLSPFDTRWVTLPPHYHVFLYPASSPAITFPDIVRSLKSSLAEVLPAFHPLAGELTYSPESRTMAIVCGADAHVAFVEAETDLEFKRLAEDAVHDVAAFQQLVPDILRETLPAPVLAVQVTEFVGGSGGGGVAVGVAMNHVALDGRGFFQFMETWAAVAKGTVSARKQDPPALHDRSLLAFEYDDDQVGVYLQHVAPDLPKMVQDDHPPPLGCRTFTFTASALRQLKQQLATAAAGTKPSTFAALAAHGWVSIAKCRGLTDGAPVFAAFPADCRALLSPPVPDGYTGNCVTTCLVVLSGEELTGPDGLTRAFLSIMKAIEEVKGDPLAHWRKWFVKVEDITRGHPMVILTGSPRLPIFGVDFGFGRPTRSELASIHQDNQVVMFEGRDVGSVQVSVAITADWMPAFQEEIQKNGPFNQR >Et_7A_052444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8127043:8130789:1 gene:Et_7A_052444 transcript:Et_7A_052444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPDMPCSSGTADLSADEIDKIDPDYLYFLRHLRPEGRAYAVEIPSKDGSSPPQLVRYEKPLAALNAEASAASSEAGTRRASPSMGEDSSAAADVPSGAASPPAGGRGEARSGAVPVEADELLSPKEEPAWYDSVSNMDGDFLSFLQHCSKVNDSQILFEMGKISVTIGEESGVNNCEDVEEEDEEESEVEEVEAVSASGDNSVGAEEEEEEKPVVDGSNWPIVKVSEIEAKVKEEVEDIVVKEEFEEEYATGSDLQLVNVMEFETKMEVDEEEEQPFNAPVTRTSAPDPSERGSCSSQGHSAMPRNASDVMILIARTILKRTMRATESGVIWPEHIIERPESDFKRKLVKVLKKPFNQEEYDEMFAMATDRTPVIKERRTRRRVMYYPWKHEMGKSYFDSHPDLADQVKGENSFPNRLALLR >Et_2B_022176.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1112941:1113912:1 gene:Et_2B_022176 transcript:Et_2B_022176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGVSTAPLSRIHTSPAASLLHHPQSVVLLRPRRRALTTAAALPTAADLAPLSVPAAVVGTAALAAAVTFSDPERRRRAQAEAAGGGDKEAVRAYFNSTGFERWRKIYGSATEGVNRVQLDIRDGHAQTVAATLAMLRDSPVELSGATVCDAGCGTGSLAIPLASEGADVLASDISAAMVSEAQRQAQLALATQPAGFRMPRFEVRDLESLDGKYDVVVCLDVLIHYPREEAKAMIRHLASLAEKRLLISFAPKTLYFDLLKRIGELFPGPSKATRAYLHAERDIEDALREAGWRVNNRGFISTQFYFAKLFEAVPVSSSS >Et_1B_013437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8392620:8406631:1 gene:Et_1B_013437 transcript:Et_1B_013437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHSLDVDGDSGGNPGGDAPTLEEHIDEWAVCKMLAGVPAHRCVLPFLTGAPKAVECRLCSKIIYPGEEIKCPVRLCGGLFHLNCVAKDTANFIADRFKCPQHGCMICKQKLFFWRCGRCTVAAHIKCVPWPVIHLKDDQGTAICWRHPSNWLLQNEEAFHRLPLPYVNEDFKIDSAIRQGLNHDLLHEVQIVYEYANKRHGTRSQSGGSKKRKVTTRTPYIKETGLVYPDSRDKQPSVRRREAKQGEKYSISRCFDVLDAMDDVSDEVKILASDIFRDATNREIFLTVYLPPFPDLSADAGGGLVVGRAGDVVADEAAASASASGSGGGGGGGGGDPVTLEECRLNNRVRTSDLAAASEGESARVARRAGGKKPSSAPSPTPTQRPVPPPARTFEDCVSDWAARKVAAGVPVHHCELPFLMGAPKAVECRLCSKIIYAGEEIRCSVSRCRERFHLNCVVKDTVNVTADSFKCPQHACMVCKQKMFFWRCGRCTVAAHTKCAPWPVIHLKDDQGSAICWRHPSDWILQNEEVFCRLPLPYASEDFNIDSTIRDFAEAVYKPPPYTPIRRNVYLIKKKRANVRVDTGCTNCRSDSSCKDDCECRGLYMSCSKNCRCSDLCTNKPFRKDKKIKVVKTNRCGWGAVALEPLEKGDFVIEYVGEVIDDATCEQRLWDMKLRGDKNFYMCEISKDFTIDATFKGNISRFLNHSCEPNCKLEKWQVDGETRVGVFASRSINVGEPLTYDYRFVHFGRKVKCRCGALNCQGYLGSQLKNPTQNALAVAAPNGQLHDSLPTQQEGSASIFKPMTHLLPWTNCIDVSFNLRSKRKLSRLCWGCKRKRTSLVAYSTSTSLQTSVTKASAADI >Et_1B_011075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17199577:17201561:-1 gene:Et_1B_011075 transcript:Et_1B_011075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPCMHANRVGFLFGRVQQVARSGGDSAGHRGGGERGLHLQGELEDLVEADGRRAGGEGPLEGMHEAGHPEADGDEAQVLSRADAAAGAEGREAEVAAADVDVGAALRREEALGGEGLRVGPHGGVVGDGPHVHHRGGAGRDHHAVGEGHVGGGEARPAEQRARRVRAQGLLDDGLQVRHARHVGVGDVAAGAADRRVHLGAQPGLHGGVAHQLRHAPLGQQRRRVEDGDHVVVGEFLVALEGEEHVDEVSIVSGRGGGGVLAVVVDDAADEGLDARDEGRAAARHVAGVVQAREPGEVVAPVERAEELEPLAHHGLELLGLGVAGAVAPAEDAAHDVVERGVLEVCPEHDGSGRGLLAGDGADHGVGVALAAGLVGRHAARGEEYSPEGANPMARANMSSRADCFTGRSANAGWARISLATPGLDDTTAGVSPTEKAMRSWAPAARAMAASARCGRPRDSVKMLPNTGRPRGPLMGVAPRWPRPCAHAGPPNDAAHARSVAETAARRSAPATRAGCAHRVLAPRKAGSAYAHQVASVHAEAVAIAMLREVCSSSYPCCDDVRRMLASELVWRALARECRYL >Et_7B_053702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11240131:11246067:1 gene:Et_7B_053702 transcript:Et_7B_053702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIVLPEPETEPSGGATPQIFAAGGGAAVVRRAVVIGNGCAGAENQCLGLLRALGLADRLTLYRVTRPTGGINKWLRFLPVSFHKLVDQFLRQTFCDTRFAALVQEKKLEQYPDHKSRPLGLSSVLEADTKKIVSMVRDTFEKEGPALVVACGRDTISYASSIKRLAPDNVFVIQIQHPRFRLDRFDLVVTPRHDYYALTAKGQQEIPRLFRRWVTPLEPPGPNVVLTAGALHQADSASLRVAAADWHAELASLPKPLVVVNIGGPTRNCKYDVDLVKKLVSSLHNVLKTCGSVRISFSRRTPGKMSDLILKEFSTHPKIYIWDGEDPNPHLGHLAWADAFIVTADSISMLSEACSSGKPVYVIGTEHCRRKFSDFYNRLHEHGAVRPFTGLEDMSDSWSYPPLSDAIDVAARVREVLAQRGWMG >Et_5B_044409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23920857:23921332:1 gene:Et_5B_044409 transcript:Et_5B_044409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKRLHFSEGRPKSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAE >Et_9A_061470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12350738:12357551:-1 gene:Et_9A_061470 transcript:Et_9A_061470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DDSENNPPSTPGSPGFSTDRLPPNTTSRGATDPSSYSDDDGEAEVDPHVLPEDDDPAAAPAPDEEEEEGEDLFNDNYLDDYRRMEEHDQYESVGLDDSLEDERNIDEIIADRRAAEAELDARDVRTGAAADRKLPRMLDDLDTDEDMNFRRPKRHRASFRPPSGPRTPRSDDDGDGATPSSPGRSQRGGYSGGDVPMTDQTDDDPYEDEFDEEDEMNMYRVQGTLREWVTRDEVRRFIAKKFKEFLLTYVNPKNDQGEFEYVRLINEMVLANKCSLEIDYKQFIYIHPNIAIWLADAPQSVLEVMEEVAKNVVFDLHKNYRNIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCGKCGTVLGPFFQNSYTEVKVGSCPECQSKGPFTTIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVVEANYVAKKQDLFSAYKLTDEDKAEIEKLSKDPRIGERIVKSIAPSIYGHEDIKTAIALAMFGGQEKNVKGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGHRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKTFTQNVELTDPIISRFDVLCVVKDIVDPFTDEMLARFVVDSHARSQPKGANLEDRVASDVDDDPLAAARQADPDVLSQDTLKKYITYAKLNVFPKIHDADLDKISHVYAELRRESSHGQGVPIAVRHIESIIRMSEAHARMHLRSYVSQEDVDMAIRVLLDSFISTQKFGVQKALQKNFRKYMTFKKDYNELLLLLLRTLVKDALHFEEIVSGSTSRLTHVEVKVEDLKNKAQEYEIYDLKPFFSSAHFRDNSFILDEGRGSPSDNDEANVMLHLFATHRNSAIFY >Et_4A_035402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23554801:23559593:1 gene:Et_4A_035402 transcript:Et_4A_035402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRKELDDRRAEVEALTAELRAKSDLADGLKRAAADQAAKLREARAEAERHAREAGARGEEAAAASEQCGQLTARLAEKEQALRQLCAAHEALKGTLRERTEGLEAGKRELLAALEDSEVKRQEQEAALRARDDEVARLRGLLSEKERRCSDAEQRARAPREVMMRDDMLVKLEEEKAAVEGKLKWKAEQFRHLEEALKKVQDDFRAAKREWGTDRSTLVDRIGALETELDSKTRVAEDFRSRLEMCSQALALEEGRRKRVEAEMSELRHMYGNVVSEFEEARSTIESLTTKRDGEIAALRSTLAEKATILKEMEYRKAHLEQENEDLRSLLKEYQEAEIGGADAVVSLKGLREKFRALEQTHRNCTEKLRDKEAEWRMQMEKLGSDLDGCLSQLDSRDTVVSELQNELRRRNKSLELQIVESWEASVLLDVLRSKLHDSCSYVDTIKLNMQHRCENFEKEIASSRKQLGDKNDFVVQLQAEQKHQSEVIAKLHGRIEGLEYMEQEYEKMQLKLNEYKGMLDNRSRDVHCLKDEASGKERSLQEELRKALDALHEANCALADKKNELSKLEIKLDQQKQAVEHLEKLKVDAETEVKVYMDDNCKLKRDLDVALAAKMNAEELLREEKVKLLGALDEANSVLADRKSELSQLEIDLRQQKKDFEHLEKLKVVMETELKGYMDDNCALKRDLDASLAAKIEAEEFLKEENLKLVGALNAANSALSETKSELSQFELSCHQQKQAVEHLEKLKVDMESELKMCMDEKHVLKRDLDVALIAKLEADSSHTEEKVKLCGIISKKEVLIGELQQYISKLEEENLGQKFDLGSLIKLEYERFIQEVNNRYSEIVEVFDKKLLDFERRIGSIEQNFSCREQEIMEMFDQEEADWYTLIADKEIAICDIQQTVESVQLDVKQLLDAATAKVTEVQLEVKQLYGFAETLNSLYIIQEHDSVFKDILIAECERELDSLHADFVLEKEQSANLKNLLEQLKAETTAEMLRKAKEHQKVTTRSSVVCQERNKLVDELTGLTNTIGEVIRQDEDLISNLRLVMQKVNDREHCNDSPSSGKTIFDNETEVDKNEADTSNEIKEIVTDDMDPKVFKAVLHFVYRGNLVDDDEFYDPERELPLAAIGETTDSCGVRGRMQ >Et_2B_018961.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19200575:19200976:1 gene:Et_2B_018961 transcript:Et_2B_018961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSPTAGSATSGAALAAPPKTNNAGAGGSGTSSTTSSSSSPTPSASSSLRPRSNSRKSASKSSSAAFFPSPGHSTSAVTLRGCCCFLFLAAAAPEGRMKPERMAPPHIADKLQWTDHWWWGGRARAAQ >Et_10B_004264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2401350:2406459:-1 gene:Et_10B_004264 transcript:Et_10B_004264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSGDAVVMNLFSLPLFPNRPDRSSPGDMEMMSKAFAFAARRSSMARSGAPSIRPPSRESRLSERGLNQGWCAASASTATRVAGFGSSRRATRRRAGGDTQSGRSYSRRCALRSMSPMLASSKGRRPARRTKRITPQDHASALAPSYALHRSTSGAAYAGVPHAEEIVSAAAAAAVVGGGVRGEERGEPEVGELEVAAFVEEDVLRLDVAVRDAACVAVCERGDELRERAPRRGLGQPATRQRGEAGEEVAAGGELHDEVDPGARGEHLVEAEHVRVPEPAHGGHLAEHARGRHARRRRQDGGLVDHLHRHGLAAAGDGAGEVDLGERAAAQEAAQLVLAEKKALTTALIAAAFLVAIHGAGGHAHESSASSYRRAYVLGCDDGEYGDAFGEAVGLLHERETRERIPPNLLSKSRH >Et_1A_007568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3589163:3593191:1 gene:Et_1A_007568 transcript:Et_1A_007568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVAKVPAVLWLLLGLALTCGVAVSPAQASRANHYDFFIKETNVTRLCHEKTVLTVNGQFPGPTIYARKEDVVVVNVYNQGDKNITIHWYRLIEVHPCLHKTSFVDDCLMGLHSLTLCSFAAPCICRHGVDQPRNPWFDGPEYITQCPIQPGANFTYRIIFSEEEGTLWWHAHSDVDRVTVHGAIVIHPMRGAHYPYKKPHKEIPVILGEWWNEDVNHILEEAKRTGGDFKPPSEANTINGQPGDLFPCSKKDTFKMDVEHGKTYLLRIINAGLTNDMFFGVAGHRLTVVGTDGRYLKPFTVESIMIAAGQTMNALLVADRAMDGSSNSRYYMAARMFVSNTVIPFNNSTATAIVEYSDAPPFAGPPDLPNLPAFEDIGAATAYTAQLRSLVTAEHPVDVPAHVDEHMLVTIAINVVPCPPNQKCEGPNNQSIAASLNNVSFANPTVDVLDAYYRSMPGVFEADFPNKPPVLFNFTKGNVPPAFWVTKKGTKVKVLEYGTVMEVVFQDTDILGTESHPIHLHGFSFYVVGRGFGNFDGNKDPASYNLVDPPYQNTVSVPKAGWAAIRFRAANPGVWLMHCHFDRHAVWGMETVFIVKDGKDPKAKMMPRPSTMPNLEIKIFKHE >Et_1B_013671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11850108:11851373:-1 gene:Et_1B_013671 transcript:Et_1B_013671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAKHSATAVVVLVLFAFLAGQSAAGRYYNDKVEDKVRKEVEKAINENRGIGATLVRLVFHDCWVNGCDGSVLLDHSADGSSPEKNAVNNIGLAGFDVIDRIKDKVRNDNVSCADIVVLAGRDAVAILSGEKITYKVARGRLDGVRSSASDADAALPTSDFDFGRLKSNFASGFRNFSVEELVVLSGAHSIGVAHLSSYAKRLSAADTPDFQIDSSYRAALSSVTPPALLGQNQDPTVPNNVRDETAEFQRQANYDPVALGVSPKKLVLDNSYYHNTLENKVLFKSDWVLRTDGEAAGKLEEYRDKPEDWNSDFADAMAKLSSLPAQGSNLEVRRNCRVTNSYRQY >Et_4A_032028.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:12268569:12269042:1 gene:Et_4A_032028 transcript:Et_4A_032028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVKKETPASVPAGGKPPGFCDRLQRAFHARPAFQPLRRLTVRHQAGAASKPADADAGAAPMAEPAPARKHGGPPVPAPPRPLTPSPSSQSAPVVRLPAVAAARRSNMPTALPVPAPPKDAITGVPVTDQKAGDKAQETKVKNRVGFGVRKALPSK >Et_1B_010811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14343214:14345044:1 gene:Et_1B_010811 transcript:Et_1B_010811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKEGLRKGAWSAEEDQRLVAYIRQHGHPNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTADEEDLIVRLHQELGNRWSAMAAQLPGRTDNEIKNVWHTHLKKRLKNEAVAGSAGRKKQPRKSKPAKNAAQEADVDGDGDAPSSFTAPSPGLSSSVSSGVTCSTATESTAAVSSADNAATTSASKPGVKAEETDSFSTAEFPPIDESFWTSADVMDMDLGAMDEELGLASSASTRDEDMEFWLKMLLESGDMRDLSA >Et_5A_041342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21410034:21412840:1 gene:Et_5A_041342 transcript:Et_5A_041342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGVKTGAQGNIRRNCARKRRARAQDNMAAGSVRLAAALLVLVVTTAGSATVCEAQLRRNYYAGVCPNVEAIVRGAVAKKVQQTLTTVGATVRLFFHDCFVEGCDASVIVASTANNTAEKDHPNNLSLAGDGFDTVIKAKAAVDAMPQCRNKVSCADILTMATRDVIALVRNLQLMLALSVCQQPKFQNVTTTLLVQAGGPSYAVELGRLDGLSSTAGSVNGKLPAPFLNLDQLTAIFATNGLSQADMIALSAGHTVGLAHCRTFAGRISGPSPDPTMNPSYAAQLRSQCPANNGKGLLASDQVLYSDPRSRPIVDAWARNNVAFNRAFVTAMAKLGRVGVKTGAQGNIRRNCAVLN >Et_9A_062399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22552142:22557205:1 gene:Et_9A_062399 transcript:Et_9A_062399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RQPISNPIQATARAPTDAWTRLGGRPHLFSPAPPRPDLASAAPRDPARSRAAAMDNLITLVNKLQQACTALGDHGQESALPTLWDSLPSIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDGKTEYAEFMHLPRKRFTDFAAVRQEIADETDRETGRSKAISPIPIHLSIYSPYVVNLTLVDLPGLTKVAVEGQPDSIVQDIENMVRAFIEKPNCIILAVSPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRAYRLQQPWVGVVNRSQQDINKNVDMIAARRREREYFANTPEYKHLASRMGSEYLAKMLSKHLEQVIKSRIPGLQSLITKTIGELETELKRLGKPIANDAGGKLYTIMEICRMFDGIYKEHLDGIRPGGEKVYHVFDNQFPMAIKRLQFDKQLSMENVKKLITEADGYQPHLIAPEQGYRRLIESCLISIRGPAEAAVDAVHSILKELVRKAINETHELKQFPTLRVEVSNAAFESLDRMRDESKKNTLKLVDMECSYLTVDFFRKLPQDVEKGGNPSHSIFDRYNDSYLRRIVREAKRSLLDHFFTELGAREMKQLSKLLDEDPAVMERRSNLAKRLELYRSAQAEIDAVAWSK >Et_6B_049329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3315868:3316328:1 gene:Et_6B_049329 transcript:Et_6B_049329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPCWITSSKRLNRQLRINFLTKVLLTWENKIKGCISHYFGKIMDSLGVEFSCLTNHASNGVLKSDIMLLAAVENFPYNDESSNVQEMGILNEQPIAKSFPYTPCGMG >Et_8B_060205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7334094:7338853:-1 gene:Et_8B_060205 transcript:Et_8B_060205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGLSDDAAFYSWAAATWSVTATLAVDIARGWARGRRFRVTDVFTPFRVAALLVCALFSQISLRLYAVRVVIPEVRAASASPLAGNSRRRAPAPPRAAAGGARVPLLEGVLFLALGWLCVCTVPILVLSYMAASANGTDAAEAYSRGDIILRDFGS >Et_2A_015092.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29556312:29556653:1 gene:Et_2A_015092 transcript:Et_2A_015092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPIPKHETRDEPAPAAAVAAGGGGGAEGGVGALRQLIEIEDRRLQAATFFLFWGFTVVVYGTALNRSANPEHVLVGFGMFTAGAALALLTLAGAGGGPAAARVEEALRGFF >Et_4B_038015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25491086:25493187:1 gene:Et_4B_038015 transcript:Et_4B_038015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQRARGDAMRQRPFTSAQERVFDGGGSSGGGGSGPAYGGDFDQGSSYMALLSAGVNPQQQPLQWSVEEMTAQPAINLVPQSFSMNQILHYHSLRPLQSMQASYTSSSYQHPASFAGAPPYGSGMQPYAPSYLQPDQPPQPWPPRATMPSGSSLLPSNFSFLPTAPHHHHHHSQQHMQPRAAPLFGGGVAGASLHAPAPAVIEQPAKDGYSWRKYGQKQLKDAESPRSYYKCTRDGCPVKKVVERSFDGFITEITYKGRHNHPRPPQRGADDVVPAGVAEEAAGGGPASDDDDDDVGAGGEGGQRVVKKPKIILQTPSDVDLLDDGYRWRKYGQKVVKGNPRPRSYYKCTADNCNVRKQIERASTDPRCVLTTYTGRHNHDPPGRGPEASAGGSSGDHAAPSAMNAAGASGIFQQTGGNRQLKEES >Et_8B_060255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:830117:832390:-1 gene:Et_8B_060255 transcript:Et_8B_060255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RAAQPDRFPEPRTHPKPKAEGHRKYKLSIVLAGGDCVRMARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTVVLGVEKKSTPKLQDSRSVRKIAKLDDHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPPTEKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETIKLAIRALLEVVESGGKNIEIAVMTQKDGLRELEEAEIDEYVAEIEAEKAAAEAAKKGAAKET >Et_4B_036422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23383218:23383913:1 gene:Et_4B_036422 transcript:Et_4B_036422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQHQQERPREVYRECMRNHAAKLGTYASDGCCEYTPADDGHPSSAMLCAACGCHRNFHRKAFLDAVAGATGAASPHGGHVSPGYGMHMAMAAAGGGMGGDGGHGSPGSRRRTRTKFTDEQKESMLRFAERLGWRMPKREPGRAPGDDEVARFCREIGVTRQVFKVWMHNHKAGGGGSGGVGGAAQTSSSTTRGGGVGGSLSPAMGDDDEEVRGDEMCM >Et_3B_028520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16455268:16457032:1 gene:Et_3B_028520 transcript:Et_3B_028520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPQPEVEEATSPPAKPGSRLRYNSPLAQVSLIGLVCLCCPGMFNALTALGGGGQRDHTTADDANTVVYACFAVFGILGGGAYNILGPRATLLLGALTYPLYAASFLYYNHHAGSNAFPMAAGALLGVGAALLWAAQGAIITSYPPLNRRGSYISLFWCLYNLGGVLGGIFPFAFNYHRGDQPASVNDGTYIAFIAFMLLGAALSVVILPPSKVVRDDGSKATRFVYSSLKIEATEILKLFTNWKMLLVLPAAWDSNFFYTYQFNNVNGVLFTLRTQGLNNIFYWGARMIGSVIVGYLLDFGFFASRRKRGLVGIVVVALLGTVIWGGGLANQLRYKDGKWDNLIDFKDGSPYVGPFLLFFSYGLLDAMFQSLVYWIIGALANDSQVLSRYVGFYNAVQSAGSAVSWQIDRHKTPLITQLIVNWGLMTVSYPLLALLVLFAVKDEDD >Et_6A_046637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18483604:18499825:1 gene:Et_6A_046637 transcript:Et_6A_046637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLLSPLLSSVGKVLGLLRCRPATACPPNGGQSTVSADVQRLLRRRGAGAQVGLNLAPPLLRRIQATLDDAGGREVRDCSVKLWIEELTDVARDAEDVLDDFRYELLRRHVQELQGGGGGAAAAVASTSGKRKHDEEEDGGICERIKKITRRFQISTDRAAVQLGPEENDGDITEQIGEITRRFEEISKDRVALQLIPEDGERIPRRSSQWGSRETSHLLDETLVFGRSNEKECIMKSLLCSSQGTRIHVLPIVGMGGIGKTTMARMVYNDGRVQNRFHLKGWVYISEDFDLRRLTIAITESLTRKPCGFNELSSVHDVLKEEVNEKSVFLVLDDLWNELESCWQELLRPLKFARTVTILVTTRSKEGYIQPTRYKTPEEVAHEYFVELNERSLIETDLVLCNCCRYKRLTRSTAETSSNQLLNTGQNFDVSRVRSLVETFREKTTKLYSAQSSLPVQLFRLHDMTWDLAKSLSRHVLSATANAEGTLNVTNKAQHLFLSRGSGIYGENSNWNHLRTLVLNDWSFYIFYVYTFSYLRALVLDLCLDLYCISEKLVISTLQEFSIKSCNLISLRYLHLSFQFSDWSRFQFSELYNLDTLCLQSCGTITELPSCIGNLVNLRRLQLSNLTNIKRLNHHYFRQQNINNNCELMNTIFPSLEELEFDGLYVLQDWCGVLDSDCPNLLSLSIRNCCKLRKIPYIASVRELIISNIAITDLQLSRLSESLYIGNCPKLIVSRKRKLPYKPHHIFIDDCPGLMEWCDEQKVYYQVPKIVKISYIKRAKECGMDYFPSVEHLSVDICPEKGHELILSPKNRLSSELRLLSFDYKSSSNALSFHEGLSTLGRLEIKGCPKLLALMDLEDLNVLHTLVIEDCPSLYMLPETKFPPQLMSLIVQGCHNLLSLHDFTLPSTLIDLEVSDCQGLVYIGGLRYLCNLESLLLLHCPLLQLQEMLPCIPEFITIFLCPKLKKWCEIQSINGLVSSLLSSASRLLDLLRRPPAAASGAPRSETADLQRLERLLRRVQATLDDAGEREVRDSSVKLWIVELSDLARDAEDVLDDFRYELLRRRVQERQGSAASTSRKRKHEEEEEDAGITQRIGCITRQFEEISRDRAALQLSPEDGKRISERDSKWESRDTSHLLDESLVFGRSEEKECIIKAMGKTWIMMPTVAQLVYNDARVQESFDLMGWVHVSENFDLRRLTIEITNSLTRRPCRFNALSSVHDVLKEGVNAKSVFLVLDDLWNEWQICWQDLLRPLNHSVTATVANDGSFDMAKKDQHLFLSSHDGRFMVNYQWDRLRTFVLKCCTSYNIATYKFTYLRALVLDSSVVFGCISGIGNLKHLRYLHTNNCYLHGYGLGIGKEMGLMPGKNMELLPQTISHLYSLEKLIISTCWQNFSMQSCDLIGLRYLHLSIQFNDWPLQFCQLYNLETLCLQNCHIITHFPSCLGNLMNLRHLQLSQISKINRLNRDSFTCQNNKNNKCQPLKVIFPALEKLEFDGLCNLQDFYGFQASDCPNLHSMVIRNCCKLRRIHYFGSVRKLIISNSALTDLQLSVYNAPSQLHTLDIRDCQNLNSLTGLRNLFSLGSLYIAHCPELTVFRKEKLPYKPHHVLIDDCPGLMEWCFEQKLYYQVPKLVEVSVIKRARQHGSDYFQYCEHLNLDVCPEKGPELVLSPNNWLSSELRLLKFGSKHSGGVPPFHGDLCTLRRLEIKGCPKLAALMNLEELNVLHSLVIADCPLLYILPEMKFPPMLSSLTVQGCHNLLSLHLNLADPSQLLQLEISDCQGLVYIGGVECLSNLESLLLIHCPLLRLQEWLPVTPEYVTIFLCPKLKKWCQIQSIEYQVTFFWY >Et_7A_052490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8549306:8551012:-1 gene:Et_7A_052490 transcript:Et_7A_052490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGTLEVLLVGAKGLENTDYLSNMDPYALLTCRSQEQKSSVASGKGCDPEWNETFVFTVSHSATELIIKLLDSDGGTDDDFVGEATIPLEAVYAEGSIPPAVYNVVKDEEYRGEIKVGLTFTPEEARDEGFSEENFGGWRQS >Et_4B_038753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4623420:4637871:-1 gene:Et_4B_038753 transcript:Et_4B_038753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGQNQGWPFGVSGERQAEEGVCTPCLPEPLDPHYGGGIIRNSDFSSGLQGWSAFGYGVIAEGASAAGNRFAVARNRTRPYQSVSQKVYLQNDTHYSLSAWLQVSDGTADVVAVVKTNGNFIHAGGVDARSGCWTILKGGLTAAAAGPAELYFESNATVDIWVDNVSLQPFSKEEWSAHHDASIKKARKKTVRLRAKDSSGNPVPGAQVRIEHVRNGFPLGSAMSAEILSNPAYQRWFTSRFTVTTFENEMKWYSTEAVPGREDYSVPDAMMRFAKSHGIAVRGHNIFWDDPSTQMGWVKALSGDQLQRATARRMRSVMSRYAGQVIAWDVVNENLHFSFFENRFGWEASAAFYRKAHQMDTAAHMSMNEFNTLEQPGDPRAVPNKYLNKLWQIKAFPGNGNAARMAIGLEGHFGVPNIPYIRAALDTMSKANVPIWLTEIDVAPGPNQAQHLEAVLREVYAHPAVHGIILWTARHPGGCYVMCLTDNNFKNLPTGDVVDKLIWEWKTHSHAGVADADGYYEAELFHGDYNVTVSHPVANSTVMQSLSVHKESDNEFTIHLLIHATEFTKRFKGATMENEMKRYSTEFHEGHEEYEASVNGHDAGTGAAARHLGARPQCVLGRPELPDGVGEQAQRARAQGRQPSPGASIESVVSRYSGKVSHRDVVNENLHFRFGDKLGRRRRIFKEVAKLDDKPVLFMNELGRRRDTAPLPTKYIAKLKQVQVQAVAGKHVKYDIGLDNHFDEPNIPYMRGSLDTLGGGQSARLAHRDRRHQGTQAGGVPGSEVMGEGFAHPGVKGHPWHADGCYVMCLTDNSFKNLPIGEVVDKLFEEWSKVPEKAKTDDKGVFEAELFHGEYNVTVKHKSLKEPIVKTVDLDSKSEATIKVYQAAAPHEIQVQLRAPIKGYNHQPPAPGHVQNKANSHHKPILGNPKHQKATNLTIPGLRLPCSDLRGEGSHQVEHRAPGERAALEGALHGQSRRVLTVEPPVPEAPHGRLRHLGSSSSPPPPLELKP >Et_3A_024320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19527645:19531569:1 gene:Et_3A_024320 transcript:Et_3A_024320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMCAPKVGVDAEIETGSAACCRICLESGSRPGYELISPCMCKGTQQFVHRSCLDHWRSVKEGTAFSHCTTCKAQFHLRVQFLEGSRCRKMKFRLFVARDILLVFLAIQASIAALGGFAYLLDKDGKFRTSFADLSLSKHPIPFYYCIGTYFLTQLQLLLYEDRYYQISNAYQVVVRKIVCWCSLCWLGFLDPYCTAARLPMTTVHAWLDPQAALWIQKEYVVEDLPGGYTPPKMKPEHVLRLRMLKLM >Et_8A_056591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12994074:12998011:1 gene:Et_8A_056591 transcript:Et_8A_056591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQTTTPPVKTRSGSTSRSRPTQAPVATPAAERHPAESSQTPPPPSADHAGGGKAPAKKRGVQKLLMSAFKREGATSGSSSAGGGGVDADFSWSSSSSASGSSGRKGRKGGAGGGDDDGDRSSHDSLEMEGSKNSKALAALRNAKIVSSYEEFPWERKMTDLLQVPNAGRFLSLLLLPRAADESQTKYHNLEDTLARADAWLMSSQRSGVPVVLMNVQTEALLTKISGDTALSTVNMGSLGDLADVATMSLYGFEDYHGIDIGVVRTVRLWFAPVAGELALEIKLQPGDTRLGFAISRTEEGFIYVSSVADESTPGVASTRSGLLELYRRARRASRLLVVSRIGREKVLPWAVSAGGDVRCADTVSISQLLSLHRHALRPVTLSFLMWDRELTAAALLESAAATRPQAAAAVVLPTQTVTDEGSSDEMTFDGDGPEIVLSKDSDDCSFRFQNIGLPDSWL >Et_2B_022858.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6864110:6864727:1 gene:Et_2B_022858 transcript:Et_2B_022858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLGKQHPTISPPWSDLPPELAGLVLCRLSSHVDRLSFMAVCRGWRVAARQAHPLPPALPCLVSFSIGGAMIQRIPDGKVVHHRCASTTCIIMGCVDGWLNCIRIASNKDLTRLLINPYPGASLVLYPRRFGNCVNPHNYVYKIVWSPDMNIVAASFSASRVAFYRPGASAWLIHASEDRRREYVNIAFHRRKLYAVTRSDAL >Et_1A_007863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3917687:3919791:-1 gene:Et_1A_007863 transcript:Et_1A_007863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLNPLAVAHLLQHTLRSLCTHDDSPWVYAVFWRILPRNYPPPKWDLQGGMYDRTRGNRRNWILAWEDGFCNFAASACDHEGAAAYTECAAEQELKGLQPELFFKMSHDIYNYGEGLIGKVAADHGHKWVFQEAQEEPEINLISSWSSPADSHPRTWEAQFKSGIKTIALIGVREGVVQLGSMNKLYDPYGQAAATAAIAPAQMQVVPSMSSLEALLSKLPSVGPAAAKEEEEGNAGDGDEISTGGVAPVVATTATASYYVDVAKSSQGF >Et_2A_018445.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28443518:28443994:1 gene:Et_2A_018445 transcript:Et_2A_018445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQHLLAVALVVASVILSGCSAATTSANTSAADNVGMAYDFLGMNNLPRGLLPKGVQSCTIEQPDGVLHVTLGGECTFPVTAVGGQVFKMRFNSTAGGTVKPTSIHEMFGVSVQVNFGWHGVSAIDRDAYKLIFLVEGASSALAFPIGSFAVSPSCS >Et_3B_031061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1382758:1383101:1 gene:Et_3B_031061 transcript:Et_3B_031061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNRAFFEEDGDQSVTPYNISLSDKLGWFDAMKPSLCNSPQACKEYFAKALFVAGEFGWNDYGFMLLAGKRR >Et_3A_025705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3281704:3284995:-1 gene:Et_3A_025705 transcript:Et_3A_025705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLDCLFRCPQEDVEEEDCDEKEGEQFRINHQVASEDRLKSAESCPLKTQTMIHMEGSQLIGRHDEATIFTFRQLADATKNFRQDCLLGRGGFGCVYKATLSDGQVVAVKQLDLNGLQGNREFLVEVLMLSLLHHPNLVNLYGYCVHGNQRLLVYEYMPLGSLEDHLHDLGPDEEPLDWKTRMKIAAGAAAGLEYLHDKANPPVIYRDIKPSNILLGEGYHAKLSDFGLAKLGPLGDETHVTTRVMGTHGYCAPEYASTGKLTIKSDIYSFGVVFLELITGRRALDSDRPPGEQDLVAWARPLFKDQRKFPKMADPLLKGHFPRRGLYQALAIAAMCLQEKAKNRPPIREVAAALSYLASQTYERNNTAPQRNLAGPSSSRELDDRISQDTKMPNGQGALMPMHAQTNHVVPEVKETCWSGSHRAGRGRVAPNGIDRERALADANVWAEAWRRQEKGSIVR >Et_8B_060819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8247951:8250541:1 gene:Et_8B_060819 transcript:Et_8B_060819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRAELWPYVPYTNPYDRAAGLRQQGPGGARVQGRLRHRVSASGTGPGQKERLAMLFSDYNPNAYSLMKYIYKKSMSLQHPSVSLILASNFSNERSIIHGAFSATKRTCALFLLFFSSLCCHRHPGRHPDPRNDVLHLLDIGKELSGDPPELEKDIVSLTCAREHLNYLQLTSLVVALWENTLPLATPTSVAAASSMDENEHNNTLEKDNMKIFAI >Et_7A_053091.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6463632:6464720:1 gene:Et_7A_053091 transcript:Et_7A_053091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASALTEILLRLPIKSVAHCACVCKEWRTLIESEGFVTSHLLESRQQRRKVMMVTNGRARKNFGFMPVEAWLGARDHPDSLFVDDDKVVCSNPCHGLNLISTSSDDYLCNPCTGSIRCLGVRGKILVVHDKDHLQPGRRNVGLWFDRLTREHVAVEVTHFVGGAPVCMVKTSCAEYWSRAGEPPMPVTDMPPAHVDGTLYWMSSEAEEARERFVVAFDIPTRVFAAFPCQPCSGDGDPFLVELEGALALVLANGEENGLRIWMMREHGTWVNFYNISLDKHPEFSLRCRQVAVPLEVVAGDDSSSRILLDTGRALGYYYYDTRTGAIDVAYSPDQLHHPRRNQLAFPILCEESLSRIQDD >Et_1A_009238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3494765:3496611:1 gene:Et_1A_009238 transcript:Et_1A_009238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTNIGQGKEAALYEERLSKIRKVRDALGQLSGKSALYCSDASIARYLIARNWDVKKATKMLKKTLKWRSEYKPDEICWDDISDEAVTGKIYRTDYFDKSGRSILVMRPGCQNTKNAHGQVKYLVYCMENAILNLPRGQDQMVWLIDFAGFSLPNISLQVTKMTADVLQGHYPERLGIAILFNVPKFFESFWKIASPILEKKTFNKVKFVYPDRPETMQIMEDLFDMDQLECAFGGKNPATFNINDYAVRMREDDKKMPLFWSPENSERASEPYLMSNDKPQENSSGSKTEETEKRGESETESEKKKESETESEKSEEMNTESSAVELTSQPAEGTAQADKNGSASDL >Et_1A_005894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15236957:15239533:1 gene:Et_1A_005894 transcript:Et_1A_005894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITLPMPQAVPSPGTHLVAPAAIPSMPGSDAWCPPCPPCRHCFLFNSKIEDSDLQDLANVFIAGGAGSYGRSKHGCPSSSLFRSAQYDVAFAFRRLLAKLLTLLS >Et_4B_038286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27896193:27898112:1 gene:Et_4B_038286 transcript:Et_4B_038286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTVQLTEIECSVSLICLLPVPVTSIYMRISCVPSCHHTVTPSFCCQIHFVLLISRQGKVRLTKWYTPYQQKQKAKVIKEVGALVLDRGPKMCNFVDWQGYRIVYKRYASLYFCMCIDPTDNELETLQIIHHYVEILDRYFGNVCELDLIFNFHKAYFILDEVLIAGELQESNKKTVLRLVTAQDALVEAAKEEASSLSNIIAQATKFGMFWGNKRGMPSACALFTAWNNFS >Et_2B_019352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25113414:25114736:1 gene:Et_2B_019352 transcript:Et_2B_019352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQTLLPSPAATAAFGGSDRLSQEIFSILESKFLFGAGAPPELGACSSAGRVRVLSIDGGADGGALAAAALVRLERRLQPEAAFRKVFGDLTVRDAAKPLLIPCYDMASAAPFVFSRADAVEADAFDFPLWQVCAAACGVGPAESRATNYIRIQGNGIAPGATAEAALTERGVESVLFRGKKLMPQTNGERLDAVAEQLVREHHRRLESKTPVVLVKPSATPRTSSSSASTLITVSTNSSSESP >Et_6B_048911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15429317:15437138:1 gene:Et_6B_048911 transcript:Et_6B_048911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARFAHSPAEVAKVELVQFGVLSPDEIVTSLPSRRRPPLFGFAIQAGLLGWAGSGFGWVVCTSRAGDELDSARLIELWSTNFRQMSVVQIEHAETMVKGKPRPGGLSDPRMGTIDRKIKCETCMAGMADCPGHFGHLELAKPMFHIGFIKTVLSIMLCAGGDELDVQGQQDTDEPLKKRGGYGAQQPHITVDGMRMIVEFKATKKNDNQEQLPEPVERKQFLSAERVLNVLKRISDEDCILLGLDPKFARPDWMILQVLPIPPPPVRPSVMMNTSSRSEDDLTHQLAMIIRHNENLRRQETNGGPAHIISEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPEIVTPYNIARLRELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVNKSSDRHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGYRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSYETRAEVLELMMVPKCIVSPQSNKPVMGIVQDTLLGCRRITKRDTLIEKHVFMNILMWWEDFDGKIPAPAILKPRPIWTGKQVFNLIIPKQINLTGFSSWHSEEGGLATPSDTMVRIEKGELLSGTLCKRILGTSTGSLIHVIWEEVGPDAARKFLGHTQWLFNYWLLQNGFSIGIGDTIADVATMEKINETISKAKNDVKVLIKQAHDKKLEAEPGRTMMESFENRINQVLNKARDDAGSSAQNSLSECNNLKAMNVEGKRIPFGFTDQTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGNVIQFLYGEDGMDAVWVESQKLESLKMKKAEFDNIYRFELDDEKWRPNYMLPEHVDDLKTIREFRSVLEAEFQKLEADRLQLGTEIATTGENTLPMPVNLKRLICNAQKTFKIDVRKLSPMHPMEIVEAVDKLQERLISIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLNPEVNQDKRLAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIDEDVEFVRSYYEMPDENIDPDKISPWLLRIELNRDMMVDKKLSMAGIAGKISSEFGDDLQCIFSDDNADKLILRLRITNDGAGKGEIQDESSEDDLFLKKIKSNMLTEMTLRGISDINKVFIKEMKVTKFDGTDGFLSNNEWTLDTEGVNLLAVMCHEDVDATRTRSNHLIEVIEVLGIEAARQTLLDELQKVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILFDAAVYAESDYLRGVTENIMLVQLAPIGTGGCELFLNDQMLQQAIELQLPSYGEGLDFCLTPACSPISGTPYHDGMMSPSYLLSPNIRTSPVTDASFSPYVGHMGFSPSPSSGGYSPSPIYGQENGYNQSPIYSTPSSLYNPASPTYSPASPIYTLVSQSYTLGASTYSPTSPIYSPTTPVYTRTSPIYSPTSRSYAPTTLGYNPTSPSYSPLSPSYSPTSTSYSPTSPVYSPSSPVYSPTLPSYSPTSPLYSPTSPSYSPTSTSYNPTATSYSPTSPGYSPTSAGYSPTSPSYSPTSPSYNPSSDNTSPNYSTSSPTYSPTSPSYSEPSPSYSPTRLHLFTVESALFCMMSHSCRFCGFSNALPDLCSPTDDAEEARTDYSPTSPDYSPSGSYCPTSPGYSPPSTGQADLDDDESTERSC >Et_4B_036892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12355722:12360406:-1 gene:Et_4B_036892 transcript:Et_4B_036892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVPMSPELEQIDGEIHDIFRALQNGFQKMDKIKDSNRQAKQLEDLTGKMKECKRLIKEFDRILKDDESNNPPEINKQLNDRKQFMIKELNSYVTLRKTYQSSLGNNNKRVELFDMGAGSSEPAAEDNIQMASEMTNQQLMDAGRNQMDQTDQAIERSKMVVAQTVETGTQTAAALTQQTEQMKRIGNELDSVHFSLKKASQLVKEIGRQVATDKCIMALLVLIVIGVIAVIVVKIVNPHNKSIPDIPGLAPPAQNYQINNRRLLWAEAFRGL >Et_8B_060511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1927529:1928249:-1 gene:Et_8B_060511 transcript:Et_8B_060511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKKKELLRRLTIISIPFVFVAIPSVVIIVGMLSPHAAAPGSGPGSAPAPPGQNHSLSMLSTMTGGQMILSCRADFSGNWEYFHYFILDPYKPRQAFFQPQADPYVIYCKWGYMGNFLQNVVVFNSSAALPRRQRRDGHMFRVTAGKHNATVAPAAMAVAVGAPSPAPMAAAAPLVTPAVTRQRREKTLVGDLVLRECRHVMGVFPAGCWYKSHQHEYVGKIIGRWKWWFNY >Et_2B_020556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21360556:21362597:1 gene:Et_2B_020556 transcript:Et_2B_020556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSTPATKESGAGSSKGTRSRSPSSFAFQAFRAVRIGEFRLRNVRLGSPRAELRDLVVRWDAFLSRAVLGGSGVLGSSWVRYSDYQVKCVDDGINQLLTCRMNSLNYCGCDSFRDSTGSTGHLYMIIYVLYYSDATKKVRKPKPWKHPQPITVAQLRQMRDEFWDTAPHYGGRKEIWDALRVASESEVALAQAIVESAGIIVSNADLTLCYDERGAKYELPKYVLSEPTNLIQDS >Et_6B_048745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13174270:13189459:1 gene:Et_6B_048745 transcript:Et_6B_048745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFTASAALLAAMSLVLLVSVRGCEPNCSSPAPPPPPTSTVTTCRYNTLQLSACLNILSPTSQCCTLLHGVTDLEAAVCACLCVKGITINANTLLNNCGISYLTENRQTIMASKFTASAALLAAMSLVLLVSVRGCEPNCSSPAPPPPPTSTVTTCRYNTLQLSACLNILSPTSQCCTLLHGVTDLEAAVCACLCVKGITINANTLLNNCGISYLTENRQTIMASKFTASAALLAAMSLVLLVSVRGCEPNCSSPAPPPPPTSTVTTCRYNTLQLSACLNILSPTSQCCTLLHGVTDLEAAVCACLCVKGITINANTLLNNCG >Et_6A_046077.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21997849:21997923:1 gene:Et_6A_046077 transcript:Et_6A_046077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVVHWAPILILFAECLPVQSSI >Et_1B_013628.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:1005660:1006406:-1 gene:Et_1B_013628 transcript:Et_1B_013628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHHHHHHHMIPGQDPSAADGAAQDNSFFLGPAASILFSGGGGGASGAGSSSSGAVAFGSSSGAAGGGGPSPSLSRYESQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLKYMDQFGKTKVHTPVCPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGTPEMNPFGARAVRLYLREVRETQARARGISYEKKKRKKPASASAAAAAGPSSDGSPPPGPSGGGGGGADTSASPQFIMP >Et_4B_038494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29462000:29464246:-1 gene:Et_4B_038494 transcript:Et_4B_038494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGLVNSSSPASFSHRRRQRDSPKRHTVVQCDTSLSTTTISESAVVQGCTAPLVHALQSAAAQDVSCFHFPGHNRGKAAPSSLSKLIGSGAFLHDLPELPELDDLFSPKGVILDAQKLAAELFGSSKTWFLVNGTTCGIQASVMATCSPGDYLILPRNCHISVISALVLSGAVPKYIIPEYNSGWDIAGGVTPLQVDEAVKELEEDGKRVGAVLVTSPTYHGVCSNVQGIVSVCQPRGIPVIVDEAHGAHFRFHDSLPSTAIEQGADLAVQSTHKVLCSLTQSSMLHMAGDLVDVDKVSQCLQFLQSSSPSYLLLSSLDAARAQLSKNSNIFDEPLAIASKTTDQLRVIKGVSVLDLTCFSSDFPAIDPLRITLNASDLRLSGYEADDILYEDHQIVSELVGTRAVTFAINLGTRLQDIKKLVKCAEHLSEKYFFANSSKLIKENWVSRPLENISVHLTPREAFFTNKRRMSIEDSLGYICGELICPYPPGIPVLIPGEVVTHDSLSYLMNVRQQGITISGAADAEFNSILVCEL >Et_4B_039985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7169180:7171359:-1 gene:Et_4B_039985 transcript:Et_4B_039985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFGKSTSKQTAKVKSLVKLAAARLAVARRPRLGRRSIARGDVGQLLAIGHLDRALLRVSASRDLCSRVSFLCLLTRSARVVRVAQAEQLIEEDNMLEVLDIIERYCKIIIEQSAQLDNPKECSEEIKEAAAGLMFASARLGELPELLDARAILGDKFGGDFARAAKEGSHDVVDPTLVRKLSGERASVEEKRRLAREIAAENDILLDFPEKKPVEVPQQRAPVQGKQSEQTKNAPVKEFVERSEVKADRHEVQQGRQRFVDADVRPGLAQLSVDEKVSRESKKYFDARMAAEAAFESASFAAMAARAAVELSRSQNQGKGPRAGGGGGYDKVHPHPVVQRQSSAQKSPSPSPSWSDRSTVTSVGSDAAHKGKGVASDQSDEEEEEDVVVWPPRPQPQPQRQPTYRRAASTMSTDGGGAWRGDIRANGAAGTRPLQGAAAPDGNPPHRRRATEFGGGGDARAHGVHDAPAAQRGQYVAPPYRRNPTSAAPRDGAYEGSAAHAHPPYARIVSALERGKNSEHIARHEEVRRMGTDARAMQERVYGAAPVQGRAPLNPERRANSVRTR >Et_10A_001482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3878531:3895282:-1 gene:Et_10A_001482 transcript:Et_10A_001482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAEALRARLLAALRPWLAAEPAELRVEPGLCRSRVVARGVELDVSALNAAAGESLPARFDRAAVAEVELAASPWAAPALRAVVRGVDVALTLRDPAPKKQRPNYKECLSKEKKRVLASLDPQGQMLHETIEGVVNSLEDKFTSVFSSVLLNCGQVRLDDVTVQIRYLDDSHVIVLRATDLQFGPELVFRSSLFRGLVGSFMSSRKKNNLFVKCTELDILLKENDSIDCAASFTGISASLRLDDLQLFAFGIHVPNASWEISPKFIPSLMVILDITSQKEEYSVRNGRELWAIAAQVLDNSVVHQRFSLSKAISCAAFWRRYVHAYVLLLTSVGYPSDKIMVKNCSRMSRNRKIWGTVKSHWDTVIDLEEKIPVEAIARARRAARSKLSVSQQASNQEPSKTLLVSYTFKVLFPLLYLWRFLTFIWWSVWATTGHGNKAHISCAYIFPSAIHDVDTEFQLSIHLGELCITLLPFAADFSGKKRLNKGYKTSHSDLPSAHLVLKSSCLLHSAGCTKKSLFFVIGELQTCLSGVQKLIQKDNGNTPRRNSSFGTADLTDTKIILWSDSASMQPFSGQQANGSFSNSDELSTVQIKSAMDELWSNWMIINNLYKESGVIYPENPSIVIELKSFLIDPHRNTEGFQQCRLTVGRLNLDLDYLCASSTYLLYRQFVRYKQLKELPEEVTNLSTSGGAYVVPTGGVDDKLRSFSRRMKVAMVDAIPENTLQIAALVDGPRIRLSFDKNNLLQSGKYIHKSLASQMKSKSYIVLSLAYIECALWPVAQSSPNHPIANSHTKKSHNTFVSAKELQEPHQNARLSFAGLTLHMDNPETNQQSDVFGPMSADFQLSTNRNYVCSFFGARNSISANLSAGIIGCALFFYMDELLTVCQLCESMYSEASKFALVDFTCSQDFMGRLASFYKKSMMESTVNLGADHIAQEETSEPDIVLNVEMQLDLDSADIICSASRDGALPNPDVLINNALNYISSSPVLEGMATQELIEILALGVGFCIRRSSMKLLLDGECTDFLVSISRIHGVVFENQAQMSIFNGVKQHGTMLNGSLHSKNQLFTSDCVFHLRGGPNKENLTHEKRQEEYRHCYISASLGMCYSIIFEFTEVYVGDYGMQNYLPEITQRSKQKISLLIHDELHLVKCNVQLVFCCKIYFWLLMNLPLRAMSNFVKDSVTPISAGSEYTVTNKYEEREVATVSLDPHLQNESQLAVKCIDVELSQLSFTLVVADESGTYQGLTLEVDASLQLMNLGTKILFEVKRISISTVCGVHKNSHEKIRDVPAPRFRSSKSVAIPSQSEIQEYLPFVEADNMLTYAHDASSSSTSAPGSSTGNTSLEFSSNEYYILRHFSSYLKIEKKKLDEGSSLARLSGYWFGNGSVSGLEVAMSLSNIEMILSLFAPFHGIMSSGSTQKEIQTIGISHQAQLDNVDYTIPDGAIVAIRDLNQQMYVSVKKTGSTYRVVGAYHYSLAGEHALFKVKHHKRWRSNAQCISLVSLCARNDEGNEFSLRNPFKLQVLDESMFLDAPRLTVPHMNFDYNTYLDLEDDESSVMRDGSGGSASSQHIIINVDKIVFTVTHEVFDTDNVFPLLQNCASDIRVVTQIFPSKVRILSSFKVSGQYFNTRRNLWEELISPVTSYTFFRSRFFNPDPVTKYGRMPIRFFFQLKQVDIFINELSVDILLYLAGKLDLMGPYAVKSSAIFPNCCKIENSTRLALVCHFKDNGDAIVPGQQSTSVFLRNLTFDDNRPYDHNVVSISLFKEGVFSTIPIDMSLHESGIFSWRTRVSPVRDSRSFSGPFVVVKVSHNSEEGLSLSVQPLLRIYNKSDLPIELRFQRPSRTNDEAVFVTVRSGDMVDESTGNFMLSIRPEISEHSANISQPALVNWSEDITGEKAIRLSGVIEKLSYNLRKALNVDPMKSSFSSLSCPVFVNDRHVTDLHFLIHTLGRDVPVQPTNGTRLSGRSARAPVPLQLQREIFIYPTVQVYNFLQTDAHVVLTDGQSGNLKEDNFGNIGKEATITSGSSAYFYVNPALFNFSVTLISYGSKSKTVNSSDWVKRMQKQATRAQFLDMELEFSPGKYHSSLRLLRQEKGLLEVALFTRYTLHNTSDYPLLCTASHQKLPPVSEPGGNNVNLPPQHGCVLPSMSMSSWFTKSSKLRIILHSEKGSAAIIDLDAVSGFTEFFLEIEDNILPHRMAAFGVSLQPVLYELPVPSQVVQIVPRYVIVNESGTAVAVRQCFIELEIEGLTVEAKQRATLQTWKPRKKREVNYFDLFVKKHRNVFEDSHIFIQCCPKEPGYSWSGPICVSSIGRFFLKFRRAEGMVTQSIKRDTSYDRKTKQFASVDVVQETTSFVLHFTLPPKVALPYRIENFLSEASIMYFQKDSVESDFLCPQESEQYVWDDLSLPHTPALREIKIDKISPWKPFLKMRQNSRLNLDFSFSNGLGSGKQGFDKSFGRRMFKIGYEVYADGLTRSGEKVQAFSTILTGRLQHVSAELIITDRYKHVSVALHSLNVDEKWEGASFGSILRRNKLQDASFNESILRIIFILNSTNSSVKQIEYCSIILQPVDLKVDEETLMKLVPFWRASLAPSGAPSTQFYFRHFEVHPVKIIASFRPGSRQTTYSSAQEALRALLHSVIKVPEISNSTVELNGVLLNHALVTFRELLLKCAQHYSWYVLRAIYVTKGSSLLPPSFASIFDDSASSVLDVFFDPSDSSLNLPGLTIGMFKFISKNMKSGGFSGTKRYFGDLGKTVKTASSNALFAAVTEISDSVVRGAETDGLNGMVTGFHQGILRLAMEPSLLGQAIMEGGPDRKIKLDHSPGLDELYIEGYLQAMLDVMYKQEYLRVRVIDDQVVLKNLPPNSALMNEIVDNVKSFLVSKALLKGDSSTIRPLRHLRNETEWRIAPTVLTLCEHLFVSFAVRMLHREATKAIAGIMTRVKKPAEVEGEGEPSSSGGVLTNQNRLWTVGRFAVSGLVAYVDGRLCRHIPNPIARRIVSGFLLSFIESKGNQ >Et_6B_049273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2593810:2596000:-1 gene:Et_6B_049273 transcript:Et_6B_049273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VHGWNSRVHVSNSPGGKTGKAHKASSLSLLEICRSDRGRDGLSPVATKRNQASARERSHLTHLPFPGDAATPRPSKQRRRAHRKKKMSSVFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >Et_1A_009073.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28236348:28236788:1 gene:Et_1A_009073 transcript:Et_1A_009073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKCGRVDDARSVFDRMPARDVVSWTAMVERYFDAGRSGEGFMMFVRMLRSGVGPNEYTYAGVLRACAEFTSEKLGTQVHGRMAKSRVGDSCFAESALLHMYAKYGDMVSAMHVFEGMRKPEWAGTDWDLWLCTEWAGGGGIALL >Et_5A_040690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11155564:11157244:1 gene:Et_5A_040690 transcript:Et_5A_040690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGSCDYRREDRRERECCRGGLIRAGASAGHGVHIPDDGEEDCISVLPDDMLLQVLTRLRCARAAARTGLLARRWRGLWARLPELTFHNTEPDLLDAALDRVARPAAPSLLDIDFFDHHEFEPGRVASLLRAAAALAPAELASTIPARRHRDALLRPHHLNQAEGFICRPPAGGFPALESLHLENCNIDLVDLLPRCPRLRKLVIFNCNSDSVIVESPSLQVLSVSANVEIRHINITAPALKVLYLDAYRGISKNDFSLSYSAPAVEDLTWKRNKCEAISDTFGAIWCLFAMSLSTSLQPRPGFDIEGTCLHQRPLFHALWLHLELLDLETDVYSGDASESFEQEISQFLVTNFSVLELDHRPCGHVYGAMVLYLPGLCTSVEKLNIALDFFGVMSRGCPADCPCDQPSNWRSQTILLTDLKEVEIRGFKGKGHEVDLLKVLLRCAAMLERVTLSFSREASPSDIKCMEIHNIFEAYGMQNLSQVGEQ >Et_1B_011035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16908789:16913310:1 gene:Et_1B_011035 transcript:Et_1B_011035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVRVHLPSEIPIVGCEITPYVLVRRPDGSISNDDVPETAPADGQYRIQSDRKVPICSVHPMEQAMIQCLGCLKSKIPVAKSYHCSAKCFSDAWQHHKVLHERASSALNENGAEEEELFGRFSSGGSGVLSTTGSGSLSNFGQSPNNGPVPLYPSGTDKNSGETWFEVGRSRTYTPTADDIGHALKFECVAVDAEKKAPVGLPSSIMTSRVIPAPTPTPRRLIQVNGDVLGHLDLDSQTSSLGTFTVLSYNILADAYATSDAYSYCPTWALSWTYRRQNLLREIIGYHADIICLQEVQLNHFEDFFAPELDKHGYQALYKKRTSEVYSGNPLAIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPAAQKRMALSRLIKDNIALIAVLEAKFGNHGAENPGKRQLLCVANTHINVHQDLKDVKLWEVHTLLKGLEKIAVSADIPMLVCGDFNSIPGSTPHGLLAVGKVDQMHPDLAIDPLGILRPLSKLNHQLPLVSAYSSFARMAGVGYDFDHQRRRMDPSTNEPLFTNCTRDFTGTVDYIFYTADSLTVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRIRR >Et_5A_041051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17302754:17304063:-1 gene:Et_5A_041051 transcript:Et_5A_041051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEPSSAAAESPPLPIRRRARRLVFDRRYGWIFDEWTDPADQALTGGRGMFCVVPMAQSLVDAAASSISFVASSVTGLERSKNIPLPTYTSSLEYHGKQETWFRELEHSRVIAELKLICDDTQ >Et_2B_020521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2101670:2103956:-1 gene:Et_2B_020521 transcript:Et_2B_020521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSIVLFGDSITEEAFGDAHLANHYSRSADVVLRGYSGYNTRWAARVAERAVASIAGPVRAVAVFFGANDAALPDRASKLQHVPVAEYRDNLRAICALLKKRWPSVVVILITPPPVDEDGRLRYPYAHDSSGLPERTNAATGRYARACVDVARRCGVRAIDIWSRMQKFPGWEKTFLRDGLHLTPSGNRVLFEEVVFALKDANLGLEALPADLPLFADIDPENPGKAFEENPRPLLLCFSSHLLLAPQVFTLSDCLFSPSAMHPLGAAALRK >Et_8B_060690.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:503095:504114:1 gene:Et_8B_060690 transcript:Et_8B_060690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARPLRRPSASIRKESPPLFSFGVITDIQYADIPDGRSFLGVPRYYRHSIAVLRRAVQSWNAHGGISFCLNLGDIVDGFCPKDRSLDAVRAVVREFDGFRGGPVYHMLGNHCLYNLPRSDLVSALRMPSPPTSPERAYYDFSPAPGHRFVVLDAYDFSAVGWPRGHPVSTAARRFLDARNPNEDKNSPSGLEGADRRFVMFNGGVGEAQLRWLDAALRRAARRRERVVVCSHLPVHPGAVSPTGLMWNYEEVRDVIHAHGSCVVACLAGHFHTGGYAVDGRGVHHRTLEAALECPPGTDAFGYVEVYHDRLRLVGSGRMKSTEMPLLLNAPSSSSSHL >Et_1B_014437.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:8639139:8640032:1 gene:Et_1B_014437 transcript:Et_1B_014437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLEELVVMEFDYVLEQFGGLPQTRILLFQRATNVEMVVFAAAIKMPAKNAQDRFKERLAAELDALRELVKKAEALSRGNKQSVAAKPRMEQAAPSPKRIKTSPLVEQTDAPRTSPEEGKIEIVDPEEEIDICGGVSPVVVIRDTSPLFPVDSPAPAALRPTETSGLPEPASQTVQSTEPEIDSEESVSSPAPSAVLPMKIDSRAQAPKPAPVIAMKVQGSQPISVLLARAKEAYEIRQQKGNGWEREKVRREVREMEKAVLPDETIHPRDLKELGIMEFGYVLDQLGVFLRPDV >Et_5A_042482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14795993:14799252:1 gene:Et_5A_042482 transcript:Et_5A_042482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSDDLCSSDGPVGQDRMSSLRVRAVHGRLDVTLEKPVSKRRLIRSSEHFFVRWSMSMHESMGKCEESERMEVESEMTEISEVVVPGGEVDALFLKRYTIAAHCYS >Et_10B_003713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5126399:5131402:-1 gene:Et_10B_003713 transcript:Et_10B_003713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARLLRTTLQASEVGSRLLSASRGLVRQAAYSTGGIVDVGQPTPQSHPELLADGEITPGISSEEYISRRKRLLEVMPEKSLAIIASADQQMMTDVVPYPFRQSGDYLYITGCTQPGGVAVLSEETGLCMFMPETDKKDVVWQGQTAGVEAAMDFFKADKSFPLSQLQKILPDMIERSKAVYHNVKTSSSSYKNLDAFRRASLNKKVKDLAQYTDELRWVKSKSEVKLMRESASIVSQSLLQTMLLSRTHREESQLAAKIEYECKMRGAQRMAFHPVVGGGANGSVIHYSRNDRKIKTGDLLLMDVGCEYHGYLSDLTRTWSPSGRFSPAQEELYSLILETNKECIKLCKPGASIREIHDHSVKMLIKGFQELGILEKGKSVQYNYLNPTAIGHSLGMDIHDSVTLPSDKPLEPGVVITIEPGVYIPPSPILNENAPERFRGIGIRIEDEVLVTETGHEVLTASVPKEIPHLTTLMSMGGGDAAASVDARELRAALCSS >Et_1A_009598.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:941923:942105:1 gene:Et_1A_009598 transcript:Et_1A_009598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPANVVVPQDGEAYCEVMVPCNIPKCTLLCLSHELDSELGFCTLKPDLQVYCCCRVPDP >Et_10B_003742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5448807:5451067:-1 gene:Et_10B_003742 transcript:Et_10B_003742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRKRELKETGGEDASGGPLPSSPPVRRRKKKKSRHLDPKTSEGRKTQIVPVEAATPSMLENGLSEVLTKELPKVLTKLLPKVLTKILPKVLPRFLNCSPSTLVNQNHAPRYKLSFMNGLNARIFTRKAIANTNGDPLKIRVISNGQEEIDARILYAKIRVVVLDGDFNSHNQEWWTWEEFSSSIVRPRDKTGAILTGVSELSLTDGEAHLSGFTFVDNSKFVRSGKFRLGVMVIDDFGERVQEAITEPFIVMDRRGEGSKKHEIPLLNDDVWRLKMIAKGGTFHGALKNSGILFVKDFLSLYYKDEQHLREILNIGTKESAWTTIIEHAKKCDAGTELSSFAVEGNNVMLFFNSVCQIVGVKFGDNYTPFDDLEKSKKDLVIQWSKLAYKNMTYDQPDYEMDNGEPRPIDQEMFHECIDPIRGFTVEPGNNHFCTFQQ >Et_3B_030865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8048453:8051060:-1 gene:Et_3B_030865 transcript:Et_3B_030865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVSVSFQPSTAAPAHKPFPRGTGGSSPLNFAKFRRIGRKCGNLAMSCKPDPAPGSKTSGGDDFTVGEKDAVIIVDHGSRGQESNLMLNDFVAMFRARTALAEPTIKDAFGKCVEQGASRVIVSPYFLSPGRHWKQDIPALAADASKEHSNIPYIVTAPLGLQELMVDIMNDRIKYCLRHVAGDVDECTVCSGTGKCRLYS >Et_5A_042625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22817960:22820215:1 gene:Et_5A_042625 transcript:Et_5A_042625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILFGAMVGVVVFSASGRSYSFGNPSIKVVMNHFVALNLPNVPATSSDDANQDGSGMTENVCLLHEQYTELHRLVEEETKNKRSLQEAIDKEMGSRMMRWLNVDISDLGANELQEFYKKLQEIRGTILGKAKEVLTGGVPSLQQYEIGEPSSQPKPPATDVASGSLQYLFGEPSIQPQYPPMVIASSLQYQFGEQSAIPKYRVKLGLLSRFVVTLASRSCVVAIDRSVRRHNVLRAYLRAASSMHDEVMPERKETTC >Et_3B_028519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16432637:16449843:1 gene:Et_3B_028519 transcript:Et_3B_028519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDAPPPLPLALSPPHLIPPAPTRDSRAIAFLPDLGGFPWVAYAAGSFIVVSNLPSPSWGVGRDTDSSGATADESPFFRQVIDLRAPVSAVAWCGSGGGEVAAAAVNSVSVFQPAPSSSAGSFGWLQRWSITETFAVTAVAWTGSGDGIVMVGAGVSMWARAQSSWQLAWRSTPQVPQSLVSTTRFSHGPVATVAAIAPKERVPVLVFLHDSKRGLEQAELAHPLPVCLIQWRPWSLSVRDQSEVRREILMTCCLDGTVRLWSEDEVVRSKKKHSLQIPFSVIAVIEMNNTLNGVLGVDITVRWSMEAGSVMSRDDEGKFELFSGDSRESQVGKCEWIVSVGPGPCVTCWALHCLDDISPPRYPRITLWKQIKLHGWVEPSANLGPSKSIGESFFVEAVISRKLCSGPPTTCSLLHLLPDDSFVWTCLLPDSESGAHVSSDSTKNIPFCSTKTVKQDGHKYGIRQVSVHPYSCEIELAVSMDSSGMLFFWSLSTFSTLISTLHAPTYPLWKLLCKLDLQNISSDAQYSCLCWAPSISHDNRFLVLGGEHGADCFIVNIQKEEVLSCQKIFTIPFLRQGYAEGPPDSIHTIPFASDCSGSFVNNSFLVTCIWRKSFQALSWKVVLHTENQYENGRCLCGFSASSLSTTGQRRHVTCVSGESFSAVVNEGSSVFPSGLEGEYPTCISVMLLNHTVLPFKQHRPSIAVPGYHIATGCSDGSVKLWKMSCTENSSQSEKESHSWELVGMFSAHQGPVSMILLSSCGRVITVGRSAQKNCNTIHIWEAVKHTGDGSFLLEDELIFQDSIVGLDGLSLGDGRFLLAVCLPNELHIYSPTHPSFQNVLNSENSREKHLWSCFALSHSHHNIAGFLWGPKATIILVHEDHLSLFSPWLVIGADKYITQLRTNHIDVHEELPCTKHLNESIFSKLKLSEIHKSSGPHGSNCILLTDQSDSHGSNGLCNLLDTADKMSGPLALYHPRALVQYLYSGEWKRANAALQHLVQSMKESETSKVMVECSSCHKSCHNIPGLPLSKFFADTSSSDISSKGLLWGEDRSNSAFNMLSPANSFTYMDGSLSINTTTSASQKSEIDQLLDNNCSIYAISDTERTQILAVSDLMGDITDLSRSSPYKSLDEAGRRFWVAVKFQHRYALRRSDCSSSTEGCHVDSSSIAWALQSDCQEDLLNSVLPTDPTWPEMRKLGIGFWYTNVSLLRTKMEKLARLQYLKNKDPKDCALLYIALNRLKVLVGLFKISRDEKDKRLYEFLSRNFEDERHKAAALKNAYVLMGRHQWELAIAFFLLGGDTSSAVNVCAKNLQDEQLAIVICRLIEGSGGPLERNLISNVLLPDAVEKGDNWLSSLLEWTLGNYSQSINKLLGCHLKLLIDGSKTYGVKNVFADPAVGQYCAILSTKNSFRNCVGEAVSAKLSKLSVAMAARALNKCGLPLEALECLYSNTSIDGKDSTSLPDGGFQHIFDGILSPLSASSNWLSSSVVSDVESNLKVTMASKYLSRLLRNHSSCSHCNASFSNDKVLNKYTIHQIEELTRDIMAAVSVFEKKFSLNFTDIAEKILIFCSSDGLLFLAYFLLWDSGSPDDASHGLEFRLLHPIEYLFWVSCKESCKFLSRHVVSCCFMHFILNMHLINTTACTTKENHKYIIAGLSNYLNASRLLLRNDNRGNYALDNRSAMVTVIDLLQYTIEFSFSWLYCDIRAFITMINPVLAASINGEPFQVPLDRLMQAMNHRSHDTSSSMEGGRTSVELQERLPEKSDSPNISTDEKWRLIGASLWIRLSSVVKHYLREFVEKERLEHETGGSDSEFKDLVSSVAAKLVDYMHFVSSSLVKLNASFLRKNLLMDSQSDVIFWLGRNSSQRWSDISNYNQLTRISQLADNENTEMFNILWELCANPVDICDAFVSEGVNCFSLSSLNFSRSWKDIKGAVVACENNITKRGGEEHKYNVTSKNNDKGQASAEASVHVESFHETERKESIVQKDFQNPRELLKRSGELLEGLVFFNWSGKHHDKQFTEYVWSRSDWPLDGWAGCESTPTPTYLSSSSGLGRRRGSHPGSGGATIGMGSLAKPGKELTGGGAFGIPGYAGIGASGFGWGEPEEFEDFVDPPATLENIHSRALSRHPLLPLFLVGSSNTHVYLWEFGKDSAMATYGVLPAANIPPPYALASISAVQFDYYGQRFATAALDGTVCTWQLEVAGASANGANVVIWDSLSPPATCQTSIMCHEGGARSISVFDNNIGGGSISPLVVTGGKSGDIALHDFRFISTGKSKHHRISTDHDVKASSMHDTKTGTSGGTTSGTIWHIPKAHLGSVTKVSAIPNTSLFLTGSKDGDVKLWDAKNSQLVFHWPKLHERHTFFQPTSRGFGGVVRAGVTDLKVLSHGFISCGGDGSVKLVQLNNLAAYQMVAQNVNAELALVMLNVHAVKSRRLASETSSKLRSTRLETLKDMDPSVRMEATGRETKKEN >Et_4A_033126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18896098:18898244:1 gene:Et_4A_033126 transcript:Et_4A_033126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNPSILGASSLSAYRVLRGWTFLTGHTSIFVLVLLFGGSKANTITSPHSQLDVNHKKQLQTFRPYNIAHRGSNGELPEETSAAYLRAIDEGADFIETDILASKDGNLICFHDVTLDATTDVANHTEFANRKRTYEVQGENVTGWFVVDFTLKELKSLRVKQRYSFRDQSYNGKYQIITFEEYILIALYADRVVGIYPEIKNPVFINQHVKWSNGKKFEDMFVETLQKYGYKGQYMSEDWLKQPVFIQSFAPTSLIYISNMTNSPKVFLIDDTTIPTQDTNQSYYEITSDAYLAFIRNNVIGIGPWKDTIVPPKDNFLGQPTDLVARAHALNLQVHPYTFRNENAFLHFNFHQDPYLEYEYWLNEIGVDGLFTDFTGSLHKYQAWTTAYQKKGKKAEALLHEIANMLKDDGY >Et_10A_002286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7065342:7067078:-1 gene:Et_10A_002286 transcript:Et_10A_002286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWRREFRERIRAASSLRFSKTSIEILRSIMTELAPGLFVFALLQPAFCQMLRAEVPVLLYPELAEPPLDSHHSFVTEFAEGQGKECFHKQTEEVRDWSLLVANAVGEIADKLLANDVTEYIHLRVVCKDWRSSTADPSFLLPCFFHRNWLMLQDEEFEESDEEEDADEDTVVETEAPAPEVSKSRFINARTGATRSIRRPAVEEYGNVLTAGAEGLLLLHCKRTDRMLLFNPMTTAMSVLPGLADVPVRRRVS >Et_2B_019884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14948432:14950816:1 gene:Et_2B_019884 transcript:Et_2B_019884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSAAVHWWEESQLRVLVLSSLVVQWLLFLLSSRRVRPIQGWCRSMIWLAYLGSDSLAIYALATLFNRHGKNPDAGGGSSVLEAVWAPVLLMHLGGQDGITAYNLEDNELWTRHVLTAVSQITVAIYVFCKSWKGDDIRLLQASILLFFVGVPKCLEKPWALKSASINSLVSSSELARKTTNREAEVEGSVRGTKAFVQEQTEAHERGAFLFNVFCLLYTKHKIFPNFGQQHLQFKDRVHTSREKCSALVRNLRIILPWAAIGLFHHSHREAYSDTDVNITYALLCCTAFLELWTSFVRDILTTREKALPVTVSQYDLIGFFVRNKNHTKILSVVNFFGLKDYLEQHWWIKSCYSSSEIIRLVLRYVKTGWKEDIHDGASYRTFNDHMGQRTLKLKECNHLAWSLNRPLDESVLLWHIATDFCFYSCSCTGHECILSQTEPQCGELIDCKANTCRQMSNYMMYLLFVNPEMLLPGSRRNLFTTAYKELEDILTTIEPPVDEKGLVLRINSEMQSTIGSQEEGFINDAWSLAQGLQASGVEKIWEVIEGVWVEMLCFSAGRCRGYLHAKALGTGGELLTYVWLLLSNMGMETFAERLQRTELSSGDGNTAASPSTSELSNGGSAPLTSVARTGAASSTSAVTSSATPSTSEVPTVADPSTSKVASGAAPSTSEIRFAAEDDM >Et_8A_058023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14449789:14451094:-1 gene:Et_8A_058023 transcript:Et_8A_058023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGNHHPRGRAHPGARVCRDFPAGERSPGFCFPVFPNEATRSFSPCGRRCSRRWAKEDDKGKPHRIEVKEVKADAFKALLHYIYTDTLLIPPEVEDDDNDEYEMMVRDLLVAADRYGVNKLRLFCEDKLCKMFDVDNVANTLAFADDHHLDTLKAACIEFMMTSDKLAKVTASEGS >Et_8A_057104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21166811:21171379:1 gene:Et_8A_057104 transcript:Et_8A_057104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSSCSTTRSGLVRRKSFTGCNDGACSRTRSGLVRRKSFMDSSGASSSGTGSGLVRRKNLMASSDGSCSRTRSGLVRQKGFIKSSECSRTRSGLVRGRPSVKDLIMDGPVRKELPDADGYLIEETPSRTRSGLVRQRPTVRARSKDEPIIKGLADGWLKEDKPLGTRSGLAVTKDESTTKGQSDAWPEEDNPDETQNGHVRGSRTTLSKDESVTEGLPDGWWKEYRPRPGSALKSDPYYIDPVSGYEFRSMKDVHRYIRTGDIRQCAMRPKKGTLYDVCIAENQTQTSSSSQQTRPATADKAIQCEILTSEGIMLSWDWEELFTPCREKNSEHTTLPESESMKAMEGCVDGLETVQQNGAQPFSAQHATRQTDSAKKTDQNVEVQSKKRKISPFATSPRASPRLATLNAQSEAIIEPEDQPISINLVNKVQTVEENNNDQSDMSQSSTMNNINSHEEITFNQLWSSQEDTVNRMQVIQENTTNHSQPSQADTVNQENNSHQLPGHQLPSSLADVPTLTVPGYGADQPRQADIMNHKQTNRENTVNQLQANLANTVNHIEMNQENTARELQSSLEDTVIPIRTIREYTMDQLSQADTMNHIQMRQESTANQLQSSLADTIIPIRCTQEFASDHSQASIVDSMNQIQASQANTTDELQLGQAGTVAQIQMMQNNMTNPSQLSQVNTLNQLHINMENTNNHLDPNYAENPLLQTGFSWAPQENGGALVTDFWRNVENQHSTVSMQIDGVPVASFPANVKFQNAAAAAAAEPVVPTTQATVAVTASDQSELVIPSLFGNAWSDPCIEFAFKTLTGDIPVLDDTAAVTDYFPQQQDLNKGTGPNCSASALDNSGTHTQVDVNLPLPRPSDKLYNGSWFPPK >Et_2A_017142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31309417:31315194:1 gene:Et_2A_017142 transcript:Et_2A_017142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPPVLDPEALAPPGTPPDDKEGKRGGRKRMPWRMTLSLAYQSLGVVYGDLSTSPLYVYKAAFAEDIQHTESNEEILGVLSFVFWTLTLIPLLKYVCVVLRADDNGEGGTFALYSLLCRHARAALLPPGRPAAGDDDPFFEGDAKKALENGNAVTLGGRGGGAAARVRRLLERHKVLQRVLLVLALVGTCMVIGDGVLTPAISVFSAVSGLELSMEKEHHKYVEVPVACIILICLFALQHYGTHRLGFLFAPIVITWLLCISMIGVYNIIHWEPTVYRALSPYYMYKFLRKTQRGGWMSLGGILLCVTGSETMFADLGHFSQLSIQVMKWLLQKSLFSYPILKAIYWIAFTCMVYPALILAYMGQAAYLCKHHTMESDYRIGFYVSVPGKWPVLAIAILAAVVGSQAVITGTFSMIKQCTSLGCFPRVKIIHTSSKVHGQIYIPEINWILMILCLAVTIGFRDTKHLGNASAWVPIVLAFIFMFIMCVWHYGTIKKYEFDVQSKVSINWLLGLSPNLGIVRVRGIGLIHTELETGIPAIFSHFVTNLPAFHQVLIFLCVKNVPIPHVPPEERFLVGRIGPKDYRIYRCIVRYGYHDFHKDDIEFEKELVCSVAEFIRTGSSKANGMSEESEQEERMSVVRWGSIRLLEEDGTVEHTVGPSQAREIQSPSPSPTPAPAVGVKKRVRFVLPAASPKPNAGVQEELQELSDAREAGMAFILGHSHVKAKSGSSFLRRLVINVCYDFLRRNSRGPNYAVTIPHASTLEVGMMYYVFTFSGTCPNFAHSSFVKTDPLYATGAGSPGLIPGLHGKTPRTHLTSASTTRSTEIRKQSFPSSMRSNVGLAPLLRSLCISGDLSTAVRLLCQSPEYPGARTYALLLQEGVNRRDSTLGKRIHARMVTTGFRCSEYITTKLLIFYAKIGDLSTAQKLFDGMPQRSVVAWNAMISGCARGGGAEAWAVELFDAMRAEGLRPDQFTFASVLCACARLAALEHGRRVHGVAVKSDVGGNVFANSALVDMYLKCSSPEDAHRAFAAAPERNVTMWTAVISGHGQHGRVAEALALFDRMVSDGFRPNDVTLLAVLSACAHGGLIDEGLRRFASMTSDYGLTPRGQHYAAVVDMLARVGRLHDAYDFVKNLPDCQEHSVVWGALLGACRKHGGDVQLVELAAQRFFRLQPGNAGKCVVLANTYAAREMWDSVASAHAAMNALGVRKDPAWSAIEVQGKKHTFLAGDSYHDECSAIYEVCNALAHAVTEQSVGAADG >Et_8A_057690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6063798:6064676:-1 gene:Et_8A_057690 transcript:Et_8A_057690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGGSLYAVLGVASDCSDADLRTAYRKLAMKWHPDKCAAAGSSAGVEAAKARFQKIQGAYAVLSDPNKRILYDVGAYDSDDDDGQGEILGDILEAMSKTDNGKGESLEDMQRQFEELFLRPSPSYSSPVRSDLGPQPPSGLSSPFLFPGADETDSLPRRRTYVAVTSSSCTGMA >Et_9A_061752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16049414:16063093:1 gene:Et_9A_061752 transcript:Et_9A_061752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQCCENPPVLNPAGGEGKVVDSFGGLKAYIAGSDGAKSAVILVSDVFGFESPNLRKIADKVASSGYFVVVPDFFHGDPYAPDNAERPIPVWLKSHTPEKGFEEAKPIIAALKEKGILKVGAAGYCWGAKVVVELAKAHEIQAAVLLHPSLVTIDDIKEVKCPISILGAEIDKMSPPEVVKQFEQVLSANSGVSHFVKIFPGVSHGWSVRYSHDDAAAVKSAEEALADMIDCEMASSQCCENPPVLNPAGGEGKVVDSFGGLKAYLAGSDGAKSAVILVSDVFADKVASSGYFVVVPDFFHGDSYAPDNAERPIPVWLKSHTPEKGFEEAKPIIAALKEKGILKVGAAGYCWGAKVVVELAKAHAIQAAVLLHPSFVTVDDIKEVKCPISILGAEIDKMSPPEVVKQFEQVLSANSGVGHFVKIFPGVSHGWSVRYSHDDAAAVKSAEEALADMIDWFIADKVASSGYFVVVPDFFHGDSYVLENAERPLSVWLKSHPPVKAFQNAKAVVAVLKEKGLYTVGASGYCWGAKVVVELAKSYEIQATVLLHPTFVTVDDIKEVKCPISVLGAEIDKISPPELIKQFKEVGHFVKIFPGVRHGWSVRYRHDDETAVKSAEEALTDMIHWFRTNLTLKLKPSM >Et_1A_007569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3604814:3607280:1 gene:Et_1A_007569 transcript:Et_1A_007569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVAKVPVVLWLLLGLALTCGVAVSPAQASRANHYDFFIKETNVTRLCHEKTVLTVNGQFPGPTIYARKGDVVVVNVYNQGDKNITIHWHGVDQPRNPWFDGPEYITQCPIQPGANFTYRIIFSEEEGTLWWHAHSDFDRATVHGAIVIHPMRGAHYPYKKPHKEIPIILGEWWNEDVNHLLEEAKRTGGDFKPSEANTINGQPGDLFPCSKKDTFKMDVEHGKTYLLRIINAGLTNDMFFGVAGHRLTVVGTDGRYLKPFTVESIMIAPGQTMNALLVADRATNGSCNSRYYMAARTFATNTAIPFNNSTATAIVEYSDAPPFAGPPDLPNLPAEHPVDVPAHVDEHMLVTIAVNVVPCPPNQKCGGPLNQSLAASLNNVSFADPTIDVLDAYYRSMPGGTKVKVLEYGTVVEVVFQDTGILGAESHPMHLHGFSFYVVGRGFGNFDGNKDPASYNLVDPPYQNTVSVPKAGWAAIRFRAANPGVWFMHCHFDRHAVWGMDTVFIVKDGKDPEAKMMPRPSTMPKC >Et_2B_020942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2534766:2535689:-1 gene:Et_2B_020942 transcript:Et_2B_020942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLALPEPGPKAARELDGTKRGFGEAFGPGKPTLPLFVRGNDDEDDGGHGDGSSGAHRETSNKRKRLVGWPPVKCAHRRTCGGGSGYVKVKMEGVAIGRKVDVSLHGSYDELHRTLDRMFPPSAGHAAPPEDDVADRRDQGGRRHPYVVTYEDGEGDWLLVGDVPWEAFATSVKRLKILA >Et_10A_000952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19499986:19501805:-1 gene:Et_10A_000952 transcript:Et_10A_000952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQSPLQVAMELHGEEAMGIAANMTLRILYNAMPVPPVSPAAPLASAVASCAPADGTDRISALTDEILKDVVSRLPAKSAARTGALAKRWRGIWRAVPLVLVDTDVFPDSAVPRRMARDEFVSRAVVVDASSALDGHPGPFRCFYLTSVTWSCIPSHEGEIVRWLQILAAKGVEELVFINRPLPFDLPLPAAVFGCTAVTRLHLGLWKFPDTAALPRTAGFPHLQELVLSVLMDDEDLAFMLDRCPVLEFLTIIASQSKLRLRLASRSLRFVQLGMCGVAEIDVVDAPRLERLFLVRNVCRKNVSTVRIGNAPNLRMLGYWQPDRAELGITNNIIQARTRVSESTIVPSVRILALEVELELHNEVQMVPCFLRCFPNVETLYVYSSNSEKATGKPNLKFWQEAGHIACVQSHLKKLVFQEFRGMKSELLFLKFIAEKAQALEKMVIILASEYFSSVIDANVMLRSVKWASKDYKLIVLNSPVAGSGSPSWDFRIAADFSRDPFDHLTARAELYTL >Et_5A_041965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4781131:4782955:1 gene:Et_5A_041965 transcript:Et_5A_041965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRCSVLVVALAAALSVAGAYDPLDPNGNITIKWDIMSWTPDGYVVSTHYIVSMLVNNASASDWLTNKPGRVVLACAQAVVTLNNFQMYRHIMAPGWTLGWTWAKKEVIWSMVGAQATEQGDCSKFKGNIPHCCKRTPAVVDLLPGVPYNQQIANCCRGGGVAAYGQDPAAAVAAFQVSVGQAGTTNRTVKVPKNFTLLGPGPGYTCGPAKIVPSTVFLTADRRRKTQALMTWNVTCTYSQHLASKYPSCCVSFSSFYNDTIVPCRKCACGCEHKTCVQGDSTRLAVTGKQAHGHAAHGLHRGDKEAPLLQCTTHMCPVRVHWHVKLNYKDYWRAKIAITNFNYRMNYTQWTLVAQHPNLENITEVFSFDYKPVVAYGSINDTALFYGLKYFNDQLMEAGPHGNVQSEVLMRKDASSFTFKQGWAFPRKVYFNGDECQMPPPDAYPYLPNSAPGASAAAALVSSLVAAVVAFFAVLLVA >Et_4A_034451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3318697:3320534:1 gene:Et_4A_034451 transcript:Et_4A_034451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGWLRRAAAAAALPRLPSGIPILPTPPPAPLTEAQSLVVPGIGAGVVGAMELMAVPKKKVSKYKKGLRNGPKALKPVPVIVRCRCCGRVKLPHFYCCSGERGNPNDSSS >Et_9B_066222.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:7664168:7664728:-1 gene:Et_9B_066222 transcript:Et_9B_066222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAYRRLVLLAAAALLSAGLLPQALGKGRGRGGGSGGPVNPQVASICAHARFPEVCTSTTGRHASKYPVIDYRAVLNMQVDAFAKKTAQARKHVSITSRGSPLLIPNLHFCDQMYEHTQDAIKAAQRAIPFKDKGTATIMLQLAVQDFESCDRPFISGGIPNPMGKFNTELSQIAQNCMALAQMI >Et_4A_035314.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:19453865:19454602:1 gene:Et_4A_035314 transcript:Et_4A_035314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEDVPRGSPDIHKVTQFVISYIKLLDRKHLLMSRIAYEAAQRRNYVPDIQSIGPLDTLIMEAFSGLEDKLVKLSQSLACDSLGFLFLINNFYFVWQQLHPMFRMEFPMAILNRKIDDYIQSYLQASWGPVMSCLYCDPRPLRLGKYFFSTNREFSWSIMPKQSRPSLGRYSSLLLFESEFQKIYNAQRLWKIPDPELRTRLRNAIIQKITSGFQKFQDESSSVNTPRVTPQKLEMLHDLFEG >Et_3A_023027.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:19367535:19368045:1 gene:Et_3A_023027 transcript:Et_3A_023027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVSRPCLSIKDFIFCAADHRQNIVIGRHEFSVSRIARSYGEKILNQVGNSSNGKMTTASVTLRVSKVEFVFCLQRGCPHNVTCYCCMNQKPEALCYSGRDECRSHCPICEPKCPPTQPTIQEVQ >Et_8B_060089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5944950:5949986:1 gene:Et_8B_060089 transcript:Et_8B_060089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVRTPGGRLVYQYTNKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLPRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKPPPRGLPLPLPPPSLTRVLTGGGDEMVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTNKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLPRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKTAAK >Et_4B_037955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25051743:25053977:1 gene:Et_4B_037955 transcript:Et_4B_037955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPAAPAVACLLVALFLFMSSTPATADGYVKYKDPKQPINERVDDLLSRMTLEEKIGQMSQIERANATTTVIQKYFVGSVLSGGGSVPSDKATASTWQKMVTQMQKAALKTRLGIPIIYGIDAVHGNNNVYNATIFPHNVGLGATRDPNLVKKIGEATAHEARATGIPYTFAPCVAVCRDPRWGRCYESYSEDTRLVQLMTSNMVTGLQGDVPAKHPKGVPFVGGSKKVAGCAKHFVGDGGTTRGLNENNTVLSFHDLMRIHMPPYDNAVIKGISTIMISYSSWNGIKMHENKFLITETLKNKMNFRVWICFLAYSCWSHLFKGQIRVLIITLYLTLMQTNKTQGFVITDWQAVDRITTPPHQHYYHSIQETIHAGIDMVMIPYDYPEFASDLVSQVKKGQIKLDRINDAVSRILRVKFTMGLFEDPLPDPRLAKELGAQEHRAVAREAVRKSLVLLKNGKKGKPVLPLPKKAKKILVAGSHAHNLGYQCGGWTATWQGESGNNVTGVGKTILEAVKSAVDNDTAVEYDEKPDKETIEKAGDYEYAIVAVGEPPYAETAGDNQNLTIPEHGEKVIKDVCGLVKCVVLIVSGRPLVVEPYVDYMDALVAAWLPGTEGQGITDVLFGDYGFTGKLPRTWFKSVDQLPMNYGDKRYDPLFPFGYGLTTKGTTSQQN >Et_4A_034050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29423168:29425366:-1 gene:Et_4A_034050 transcript:Et_4A_034050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSHCESHCAGPFHYIPCLPKSKDVSGGAASAAGVVAAAEEQPPPVQKIEAAVARKDDGEKREDVEKAAAAPTKSSLKKATGGDSKCPAKGKVQWLDLLGKDLTEVKEFEPSECEDSLDDGDGIAACVCVIQ >Et_10A_002116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2387755:2388239:-1 gene:Et_10A_002116 transcript:Et_10A_002116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPYNKETLPLGDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYELPLQRLHFSDGRPKSQPSLCEFIEYIDTEQTPENIAHVYRVAERARRHWFDMEAEERREEERRKMRQKEEERRREYEAERK >Et_9A_062003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18791018:18806293:1 gene:Et_9A_062003 transcript:Et_9A_062003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSQAKAAMSAVPVGGGVRGRVKRKVLSAPPVAAAEAAAEDNLVENPGLEVDADVVMVGGAAEEVPSVESVVQVEGNCGVMEDAVMIDAGTVGGVAEASNFPYETELAGMVDIGGGRDGSGENIGVLNGGGGGKGKMGLEADGLQSKEEAASEIVELSSYSEPHHAEAEPNNNDRLARYSLPHIDNGGFRVSDLVWIKLEGYPGWPGEIFDPSDASELALKHQVKGNYLVALFGDRAFAWSDESRLMPFITNYARMEKQCSSDDFINSVNHALEELSRRILSGMSCSCLPEELSDSGMSYMVENHGLRDGVTCCTVNKAEFLKYFNSENLLHYVKSLALFPGQGGDLLELVAACSQLTSFYRSKGSPELASFQTSSGWDDSAMDTSTMNVTVEENVTNVVHSDHDMPKRGRGRPRKRKPEDSIESMKKKGTSSLINSATYDDFSDSQNMSKGNLDSFEDSVSKSHHSFNLGECTLQAATQLTVHSSEKPDHMQDSYWSELSLLSDPIHSLEIASSSTRPRHKRKSSQENYVPPSHHPWPQTAPKKQIEVMERPIIHADAKIADELKPTALVLSFGRSGALPSEIDLIKTFSRYGPLKETETEVHRDTNTAKVVFKKRVDAERGFSVAGKYGTFGPSLRSYRLVNMPFSLNAYSGAVAASDPGGGEPKLVAGADVEMTDAKEEVVVAPAAGVKVEGKALDAGEGGEAAVAMDVEQGGGDVAAAVSDPLYATESAGMVAEEGSGYELTEGVNGGAGGEEKVHVGAGGLPSEAERKPVPAGDVAAPTVAGQAVEAAGFATPETTVADTATENKEMDNGVAHCDNEVQNHVPGDVEGSSKIYQDDGAPAVDQQDGTSEMLPETVEHVPDSGTNPSSSGAARYRLPPLDSGGFRVSDLVWGKVRSHPWWPGEIFDPSDASDLALKHRKKDSHLVAYFGDSTFAWCDESQLKPFMTNYSQMEKQSSSDPFVGSINNALEELSRRILSGMSCSCLPEELADSGMSYMVENAGLKDGVTCSAVNRFEILKCFSPENLLHYIKELALFPGQGGDLLELVVACSQLTSFYRSKGCSELASFQSGDGWVEDGMDTPSIQNVMVEEAVVSEVQPAQDKPKRGRGRPRKQKPEYDKEVMGKKDASNLNNHNSYHDTVGMEFDDFDTLESKKKRNLDSFEDSEKSSTPTIGSSFKIGECIRRAASQLAGSSSIVKVQNEPTVHKSSAEAENGEFDIVSDDADDELTVLSRAKRRRMYRNHNADPNELLSQLCIAATEPMNGYSFSAMIISYFSDYRNYVVSTATEASIVEKGTSKRGRKRKVLPPPEVETTDHMQDSYWSGLSLHNHPIHNLRNESSNTRPRRRRRSSRQTYVPLSELGGSPKKQIQVAERSIIHVDEKMVDELKPTALVLSFGRAAHVPSEMDLIKMFSRYGPLKETETEVDKDTNTVKVVFKKRSDAERAFSAAGKYGTFGPSLRSYRLVNMPFSLRASQTKNPETHPEGRGPEVSGPSESNVSMDAMQIDQVDKTDKAVGEEPAVEQVETAKQTSQMEAAGKALINQVDMIEKVGLIDAGLTAIVQFGTGAQTESVAEGSSEQDNTVERACIQKESSVEGVSETAHDEAAAGAPNGGMIGEIKLGEAPNQVALDETTNTVQMEAASKAQPEDTVKRVLCADATDEAPEQGHISEVPTTAQVDTEADSVNQVPEGMISIESQSETSKEKPVEQDVVEQKVGSEAPKEVSGEVPCSLKMDAETAAEPAGIGSIKQVEQESQTVVEVSDEQAFGIEQSVQVEAVIETSGEQIEVERQTPEDECMADATMEESVTVEETLESKVEVPVEGNVDNIADKAAQIEEAAGKQTAVEGTDEKIEKNDADEALAGETQEGELVTEVSNEKTENKAAAEASAGKAQEDQTTVEEPKFETENKPIVEPLARENAASENTTEAPDEETRTAKETVENVNALHNKTTTDDKTLENDTPVTPVEKTATAEETVEDAKVETPNDKTPEVEKTVEDAKVETPDDKILEVEKTVEDATVAAPASTSEKTTEDTKVDAPGVQAG >Et_3B_031212.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21286241:21288550:-1 gene:Et_3B_031212 transcript:Et_3B_031212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSHIRAEEHEKIFLRSPDTTFSCGFHELGTNAFTFSIWYTNTKYRTVVWTANPYFRESGYSPVNKYGSRISLNHDGNLILTDTNGTTVWESKTSSGKDTTVTLLNNGNLVINDSSNNIVWQSFHSPTDTLLPGQHLTKDTRLVYGYHNLYFDNDNVLRLLYDGPEITSIYWPSPDYNAEKNGRDRFNSTRIAVLDDMGNFVSSDGFQIQASDLGPEIKRRITMDYDGNFRMYSLNALTGEWVVTGQAVIQMCYVHGLCGKNGLCDYSGRLRCRCPPEYVMVDSTNWNKGCRPSFSISRNGAHEDFTFVKQPHGDFYGFDLSSNKSISFDACWNICLNRSSCLSFTYKGGDGLCYTKGLLYNGQVYPYFPGDNYMKVPKSFNSSTASISKQESLICRPPGFEVMLGSASMYGTKKDNINWTYFYVFAAVLGALELLVIVTGWYIFFEKHDMPKSMEDGYRMITNQFRRFTFRELREATGKFKEELGRGGTGIVYRGLLEDKKIVAVKKLTDVRQGEEEFWAEVTLIGRINHINLVRLWGFCSEGTKRLLVYEYVENESLDKYLFGERSTESLLSWSQRYRIALGTARGLAYLHHECLEWVVHCDVKPENILLNRDFEAKIADFGLAKLAKRDSTSFNFTHMRGTMGYMAPEWAMNLPINAKVDVYSYGVVLLEIVTGIRVSSGIMLEERQVDFLEFVHETKQILATGNVGDIVDARLHGHFNPEQAMAMVSISVSCLEERSKRPTMDEIVKVLMSCDDEDVHPAYSY >Et_7B_054199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1686961:1693010:-1 gene:Et_7B_054199 transcript:Et_7B_054199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVHRNLVRNGPGTVKLLPEEEDDLWHAYNLIAVGDNLQAVTVRKVLREGASGGRDAERVKLKLEIVVESVDYDKEGSVLRARGKNISENEHVKIGQFHTLELELKRPFVLRKALKKFFEHVLQALLKHIDFEVVQCVVIASPGFTKDQFRDYIFLEAARRDLRVIIENKARLVLAHATSGYKHSLKEVLDTPGVMSLIKDTKAAQEVRALQDFFNMLTNDSARACYGPKHVEIAQDRLAIQTLLITDTLFRNADIATRQKYVNLVESVKKYGGTVHIFSSMHVSGDQLAQLTGIAAILRFPLPDLEDIEM >Et_3A_023916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14634918:14645728:1 gene:Et_3A_023916 transcript:Et_3A_023916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREYGSVPLVSSYTQLMKHLFAIDQYEEACGLYEEMLKDKVEPDVETITVLIGGHVRSGHIPEAWDVFKNLNKNGQKPTLKAYRFFIRELYMASRPLDAVELLKQMLESGFRPSEVTFCRIISALREKFYLEEASNVERMRSSFNLRSLRDGLQSSPLHSVDKFQTLFNSNPVDKELASELMGHLSDQNDKISSSSLPNDHQKEQAQDYSDGDVEEIFQILSSSDDWDSMQHALEMRSVHFGPNLVETILKRCKRNSRAALQFFSWVRRRPYYMPTTQTYNTAMKLAGSAKDFKHMRYLYREMIRTGCSPTVDTWNVMVCQYGNAGRSEKTLQTFYNMKRGGFLPDKTTYDHLIMYLTCSNGRKVDDAVKIFQEMCHAGHTIGNHKLLMYFSALCESSKIADARRSVTSLCARGFPVQCAYSIFFRSLCRADRIEEALGLFDCIEKHGCFRDQYMYESIIHALLRRDRFEDAAAKLTEMRNSGMLQSVHIYTSFIVYYFQKRDASKALNVLKEMKENGCEPTVVTYSALIRGHMAMGMVSEAWGVFQQMKLEGPAPNFQTYSMFVSCLCKAGRSEEGLQLIHDMLDCGIIPSTVNFTTVVHGLNMEGKHELVESALRACFYRAPSVPPAVHPPRRRPALSQNVSRPPIPLPRRPPVLRPVAPPRRCGSPLLVHRLTEINANTSLLCYSVRCQPFPGMPRSTAARRLASSPLRVFSSLRHARYLRTVLHPIYRSMVSFSKRTKTQRKPPASAGGGSQEPAGPGSALFQEITNLVTSAANDAALRPGSDSFYVPGDVRCMESVREIASGKVAANSGSITSSISDMGVLSGLPKDGDPRPSDNSVARAAVDMETSCRLEGYTVAGDSDFNNINEAVQRITEVIRSEEPESSMEQRLDSLGAVYTPNVVNMVLKRCFKVRQLGFWFFNWVKRVPGYHHTTGTYNTMLYIAGEARSLGIMEELVVEMDREMCPKDIKTWTIQIASYGKAGQIGKMLSTFDAMRKSRSVAIDSKVYRTILRALCHSDKPELALEFYKDMPKNMEVGSDILRLLMRCLATLDSGVEAVYLVRDDMIKGMKHAEEYCYMEALQSFCISGKLEEAFKIFQQMKNKSMANSSALETLLRGLCRAGRMDEALQITEHMQSRSGICSTAYGFLIYGYLKKGEYTKALDLVRVMREYGITPLVSSYTQLMQHLFAINQYKEACGLYEDMLKNRVEPDVVTITALIGGHVRSGHISEAWDVFKNLNKNGQKPTLKAYTVFIQELCKASRPLDALELLKKMLESDFRPSEVTFCRVISALRDRCYLEC >Et_7A_051846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25531186:25534778:1 gene:Et_7A_051846 transcript:Et_7A_051846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTHLLTPPRLHHPTPSSAARLRASASLAHPLLHSRLRLATRPSPRPRRRAMSTTVRNSLIDPDGGALVEFVAAPDRRAALRAEAEKLPRVRMSLVDLQWAHVLAEGWASPLRGFMREDEYLQSLHFNCIRLPDGGGLVNMSLPIVLAIGDADKEQIGDKPDVALEGPDGGIVAILRRVEVYPHNKEERIARIWGTTAPGLPYVDEAIASSGNWLIGGDLEVLEPIKYNDGLDHYRLSPRELRNEFDKRGADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGFKNPILLLHPLGGFTKADDVPLPVRMEQHSKVLEDGVLDPETTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYNPDHGKKVLSMAPGLEKLNILPFKVAAYDTVAKEMAFFDPSRSQDFLFISGTKMRTFAKNGENPPDGFMCPGGWKVLVDYYNSLQAEEAVPAPV >Et_2A_017529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35182526:35184963:-1 gene:Et_2A_017529 transcript:Et_2A_017529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGNTRELDQTPTWAVASVCGVIVLISILLEKGLHHVGEFFSHRKKKAMVEALEKVKAELMVLGFISLLLDHQNKPAKETAEHLAGVLQVPPYYVHGRLLGEANMKTKCPEGKVSLISINGLHQLHIFIFFLAVFHVTYSAITMALGRAKIRGWKEWEKEAAGQDYEFSNDPTRFRFTHETSFVRQHMNVLNKTPASFYISNFFRQFFRSVRRADYCALRHSFVNGIICRMAIDITERHAVIQGIPLVQVSDSYFWFARPTFVLFLIHFTLFQNGFQIIYFLWILYEYGMDSCFNESKQFVFARLCLGP >Et_2B_019336.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24385084:24385416:-1 gene:Et_2B_019336 transcript:Et_2B_019336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKENEVPVAPSVAVAARRHVVAARRCGVKKRAGGKRLLSRVPLRDITNLVAVSAAAAELELLPGLEDPDQVAKPDLVLPPAMAVRSAVAGAALAKTAARYSLRKEFR >Et_6A_046593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17895140:17898677:-1 gene:Et_6A_046593 transcript:Et_6A_046593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHPVRACYARKRGRPVGSQSVKNKFEQNMALLDSSSSETDKDDDFVPIGHELAIVPAPQQVTVHYGDTDHATKDVIPVEDEELAIVVADVDAVPIEGIPADFYQNHLPKLKTEFMLEDEDGRIHETIYIGDRKGPGLSGGWGHFALFHNIKVDDVVIFQLKESKKFKVYIVREKTLDAIDGAFSQQNLEVPKKRKSSKVGASYDVNSNKDMKATTFDHNVPQSEDSSVISEDPTDGISFSNSGTDFDGMPSFSNFSIVVDNPVDCEFEEHQRRAYYELCCSQKSYLHKNLLRHLNPKLIVGVIEDTISIAERIRACAEEASSREDFMIWKKTLESFELLGMNVSFMVKRVNGLLGLPALSKDLPKYEKYKDLNLEQAHSREKLKALELELSTVKDSLRKIDADLGEMKSSAKKRDLTLQELATAPW >Et_2B_022301.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17295216:17296307:1 gene:Et_2B_022301 transcript:Et_2B_022301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVRELWAESRDLMGLHSSSPDDAACAVLRTDLPPTPLAFLRDHVSPGHPLLISAAATRHWPAASLWPTASYLTDALRSTAVSLHLTPDGRADALAPHPRRPGSSRCFASAHVRTVAFPTAVRLIRGSDPAAGLVAYAQQQDDCLRGEYAAVAGDVDPHVPWASEALGCLPEAVNLWIGNAHSVTSFHKDHYDNIYAVVSGEKHFLLLPPTEHHRLYVRDYPAASYVGAEQGAEGEEHQLRLKLQMEEPERMVPWSSVDPYPASPEEMAAQTSSFPLYFDGPEPIRCTVRAGEMLYLPSMWFHHVSQSPGPNGLTIAVNYWYDMQFDIKYAYFNFLRSLEIDNGTPSKPDALESDSEEKDD >Et_3B_029072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21931911:21936514:1 gene:Et_3B_029072 transcript:Et_3B_029072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSGQPRDAATAYTTGATYTRHFLGPARERIGSLTGERRNSFAAVAGTSRAALQPTRFYSRWRRGPGGDGDGDVAGRERSGAAARLIRSFSAVAQKIKQILEIELRLKVPIMSDCAAKRFSNKEEFQTLSSQSDLGKSSYLKHSLWMAHWTRASSSAEPRSGKSCGPLEEISDVGYLKDCGTSPFELKSRVAERLMLGVSNAGASAGNTQQFSSKTWGVTHNVFQGMECKNIDQVDRSFNSTMMQKNVNLYAADTVVSERFSVHKISDISVNSSHKVLSSENLNSEWNHFPMFEINQKIDSILNPRRAAFITSPDKPFVSQRALKVNMSTSNVMAFSSKEYEFHSHRVTDDNKSKCKSAGGFRSHDDNHIGLSSDHVGTKLKGHSIEESSSCSKNEFNSSGSLRDKESEINFIVNSKGLPHCSENKFMLSASRKENEDVQGSLREKRLGASGGKQPDYERVASHHQVLSSEDLMKSVNPPSRSEMNAVETNHCGPCGIISANILQCERENLTINRVDSAMKLTQSCKLPDTTENTVAVKSNGATQAGGKPPNDKLKNGKKKAPCLFEMLTVPSESHTTCFRDLISLGRTCGDMSSSLLEAQKQSSTKSDILNMRHTPGFAGTSTQKEFDGPKMEQTSTSSIRRASSCIGGNEAFNFSGQNHNSFSKATYASKQEWSSDKWLKRLRHDVSESDPYFLCSKRSRFGDDPSRGGTCTVFGQELGRDIGKTGMISHVKEDQPGIAAMAMMGRVMNKLRPRELERRGPSLVWKTQGKD >Et_6B_049906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17198733:17204242:-1 gene:Et_6B_049906 transcript:Et_6B_049906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSTALAAMNRKFPSMTHPLAPTKETEGLEQAAGHIAANATRVFQVDGTTETEVKSTDSKFEVHNKDFGFSFAMDDLPSSAAPASIVVGGAVRALCTPDQGGLQFLCQ >Et_8A_057436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:344215:347745:1 gene:Et_8A_057436 transcript:Et_8A_057436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAMLRWAAAVAVVALLAAAPAAAFYLPGVAPNDFQKVPFSSPKDSLQVKVNKLTSTKTQLPYSYYSLSFCKPNTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQVVCKTTINEKQAKELKEKIEDEYRVNMILDNLPLVVPIARQDKDAVVYQGGYHVGVKGQYAGNKDEKYFIHNHLTFLVKYHKDETSELSRIVGFEVKPFSVNHQFEGAWNDKNTRLTTCDPHANKAVINSDTPQEVEAGKDIIFTYDVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDIARYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLTWVLMGLFAGYASSRLYKMFKGSEWKSITLKTAFLFPGIAFGIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKQPAIEAPVKTNKIPRQIPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIVTCAEITIVLCYFQLCSEDYDWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKFVSGILYFGYMLLASYAFFVLTGTIGFCACFWFTRLIYSSVKID >Et_2B_019979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15868930:15874003:1 gene:Et_2B_019979 transcript:Et_2B_019979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGGESPAKPVLLHGDLDLWILEARLLPNMDLFSEQVRRCFAACRPPTSCAPKHTRAARRHRKIITSDPYVTLSVAGAVVARTAVIQNSQDPVWEERFAVPLAHRAADLEFQVKDNDTFGAQLIGTVTVPADRVVSGEEVEDWFPVIGTNGKPYKPDTALRLRFRFHPIGTNPAYQHGVPGDPERKGIKDAYFPLRHGGQVTLYQDAHVREGDLPEIELDKGKMFQHNSCWEDICHAILEAHHMIYIVGWSVYDKVKLVREPSPSRPLPEGGDLTLGELLKFKSQEGVRVCLLVWDDKTSHDKLFIKTGGVMATHDEETRKFFKHSSVVGTLFTHHQKCVLVDTQAWGNKRKITAFIGGLDLCDGRYDTPEHRLFKDLDTVFKNDYHNPTFSAGAKGPRQPWHDLHCRIDGPAAYDVLKNFEQRWRKATKWRSRFKSVSHWKDDALIKLERISWILSPSPTVPNDHTSLWVSKEEDPENWHVQVFRSIDSGSLKGFPSNCKEASKQNLVCRKNLIIDKSIHTAYVRAIRSAQHFIYIENQYFLGSSFAWPSYVNAGADNLIPMELALKIASKIRAGERFAVYVVIPMWPEGVPTAASVQEILYFQSQTMEMMYGIIADELKAMNIEDAHPQDYLNFFCLGNREEPLSNSSPESDKSTEKSAAGLATKYRRFMIYVHAKGMIVDDEYVILGSANINQRSLAGSRDTEIAMGAYQPHQSWSTKGRHPHGQIYGYRTSLWAEHLGMVDDRFKDPSSLDCVRLVNQIAEKNWKRFTAEKIKTLQGHLLKYPLKVEVDGKVGPLPDHECFPDVGGKIIGAPTSLPDSLTM >Et_8B_059632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19991104:19995218:1 gene:Et_8B_059632 transcript:Et_8B_059632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSALQGKGRKGRMEAPPVALFDSLKAAKPFFLLAGPNVIESEEHVLKMAKHIKGITTKLGIPLVFKSSFDKANRTSSKSFRGPGLEEGLKILEKVKATYDLPVVTDVHESHQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMVNSAEKIRLAGNPNVMVCERDDLIVDPRNFEWLREADCPVVADVTHALQQPAGRKLDGGGVASGGLRELIPCIARTSVAVGVDGIFMEVHDDPLNAPCDGPTQWPLRNLEELLEELIAIAQVTKGKKQFKIDLTPFKE >Et_4A_034024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29266761:29269401:1 gene:Et_4A_034024 transcript:Et_4A_034024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYISLFSAALPHQASTAVLVVSGLGKMVKLTMIARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYFFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGNQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSEMSSRLTSDTRMYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWVKNKIW >Et_5B_043639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13380287:13380910:1 gene:Et_5B_043639 transcript:Et_5B_043639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSSPSEVQHEVECSETVLCTPHSVRKHADSLKSNVNGPYHDSMKQVAVGVVINDAPGKFLLTAWQVLFKCDSVNEAEALACLEGVHLASRWTYRDMFPECDGSGVVNGRYVLWNMTSSSLLVGREQNKFAHELAQLAIRSHSSCVSFVNFPEWVASIVKAESVNSSCNATT >Et_9A_063350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21084530:21085767:1 gene:Et_9A_063350 transcript:Et_9A_063350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGLLCDDALVEILVRLPAVDVLRCRSVCKGWLRITTDPSFLAAHAAHRPREMIIRSHFEPSSYLPIGRRVAIQQQQAETDDGRRGFDVLYSLDGLLVLMQRPGLYIICNPITRLWTNFPVLAPEPCSDASVCGFYFHSSSGEYRLLCHGAEKDHDSVSDGRRRNRCCYYILTAGATLPRRIGRAPDDPIISSSISVDDFEPPVVYRGFLHWLTAQAEAARNKRVVFYELPVAHRGLLHWFSVHPEAARTGKMLAFDTVAETFRLMSRPPERADDMMRSLLELDGELCVAAMQDLMSLDIWAFEGSESWTLRHRVQVPLSCLYRNNATVTKVISTASRIILIGDPSSYVGRLYDLKRKMILCRDLEFGPATPMFLVFSESLVQHAFFDSPRCPDLKSIKFSI >Et_9A_062987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7923784:7928224:1 gene:Et_9A_062987 transcript:Et_9A_062987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQRDPPTSCSAGPVGEDMFHWQATIMGPSDSPFAGGVFLVSIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKVDRNKYETTARSWTQKYAMG >Et_8B_059574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19366638:19373278:-1 gene:Et_8B_059574 transcript:Et_8B_059574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAFGPMCQWIGASPPRAPTHAPEQTARSSQGAWGSRRGRAAQPSRATVAQPGASRVLQDLHDVPPSRAPHTTRRPPQLRARSATPPRRPSKARFRAPPRPGPDADDLLLSLRRRSDPWDLPTRSSPARAGVHRWRQPHAAPLAPRRPHSAAAAPFASIKRKLQIRVAWLGKATVLTVKFSSGQWSQIFALAAADSLDMHFHRTSACAVPSCSGLLSGKGKLGPGDVSDSGSFSFSDDDDFCDMLDDNIMATFEGVPIDDLINEDASGVVERIGLVEILKRLLLRSPFEVLSESICYIKFHPLPESSRDMRHAGDLCWHEYYRMNDLSETNLPPKRYTDCDPSTGQKCYHEPSQVFQLFDMNLQSGHSDTVSPIEVYGVVAVCDGEDYRRNYLFNRPRDNPLTINATSDLLRLMSPKRAMSMKFNCLIEVDIRVKSMGEEIEDYTLVDGCMEFVEDRVSFDTFFRCSMDGPYGVAIFDLVMYEYGVEATIELEFLKVPETDGFEIQMCGYTLRQKKLYPFIDKNRECNSFVSKVGRFSQHFVAVMQMDDMLCIDFAEGGASSYRTWKPKEAVPFPEWCYRISDCVL >Et_7A_053125.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:7315725:7316699:-1 gene:Et_7A_053125 transcript:Et_7A_053125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTCKLCSRRFASPRALAGHMRAHSIAAAKSQQISSASSASTSVVAGGGDDDADAGNKKPGGQGYVLRGKPKRRVRLAESDFSDRESETTTGFFPSSSSPGAKLGSGGGDGEPVSSVSDGATPEEDVALSLMMLSRDSWLSSPPPPPLQAPFYRVDSDDDDGESGGPIAVAAQKRTRYECPACKKVFRSYQALGGHRASNVRCGKGGCLAPPPPPLSSTPPPSPLLLQPLPPAWETKAQPPPHECPHCFRVFSSGQALGGHKRSHLCSSAAAAAVTDAPPAGAVPPAAMKSLGFIDLNLPATFDDVELSAVSDPFLSSKPGS >Et_8A_057906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9120572:9121675:1 gene:Et_8A_057906 transcript:Et_8A_057906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETKAAGKGGGRGDKKRDAGTAAVAGPQPIVLKVDLHCLGCARKVRKAIKRAPGVESVVTDIAEGKVVVTGPADAAELKERIEARTKKPVQIVSAGAGPPKKEKKAEKEKGGSGDKKKATDKTDNLQVQVHCETCIGRIKRRNSKIKGAASSVPRREIFHLEPRIAQRKVDVVIDAEKDTVKVTGTMDAEALPAYLRDKLRRPAEVVAPGKKDDGGGEDKKEKGAGDGADKKKDKPAVAAAAASVFPTPLGDAGMYQLMPPKYGYTPFALVPGGPPPDYPAFYDNARYSPPSYPYAAHLHAPLTFSDENPDACSVM >Et_10B_002693.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:8477846:8477998:1 gene:Et_10B_002693 transcript:Et_10B_002693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPHPHVPTDEGSNAYCTIMWEVHPAHQKVSGVRHTPPPVPTPIETFIV >Et_3B_028675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17928244:17938280:-1 gene:Et_3B_028675 transcript:Et_3B_028675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYRIRGVDVDFPFDAYDCQITYMDRVLESLQQGKNALLESPTGTGKTLCLLCASLAWRRSFGEFLQGGRGGGGGGGGRGSGVSQLPPYGSQPSSSQQPEESPSQHRSATQQQSPYPVIIYASRTHSQLRQVIKELKATSYRPKMAVLGSREQMCIHEEVRTLRGRAQNNACHYLCKKRQCRHQNLVADYMRNNTDLGSEPFDIEDLVTIARSKGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLNSIPWDNAVLIFDEAHNLESICADAASFDLHPNNLSSCVAEAHECIKLCAAKRSMESAADKQFDPENYAILKALLLSLEKKIGELVIESKELGYTKAGSYIYEFLSELNITSETSKKLIETIDSASLLLEEGNSAETGPGVKTKATVSRLESIRDILEIIFRGGGQSHAKYYRFHVNESQQTSGDALKVLGKSSRTFSWWCFNPGLAMEEFLKLGVRSIILTSGTLSPLDSLAMELNLEFPVRLENPHVISPDQIWVGVVPVGPSGHALNSSYRTRETIQYKQELGSTIVNFARIVPDGLLVFFPSYSMMDKCVEFWKNRNHANSSDENTIWQRICKHKQPVIEPRQSSNFPSAIEDYAAKLRDSSTSGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFATPTDPKVRLKREYLDKQATPSNKNAKRLTGEEWYVQQAARAVNQAVGRVIRHRHDYGAIIYCDERFAWSNYQSQMSYWLRPHIKSYSKYGEVVQGLTWFFRDKASSDPVKLKQTDCNGKYPVDNLVKLLYLFLASIIFARNERPQTTLVTTRNNFMKLAQITPANRSTHSISTSQLFSSKEELSRDNLVVDLTADTSIRGHMKEHTFKALGLKKAKIMDRSKDALSDEGSTKLAQNVESRSVARYLGERSTPQLKKSTAEQTCEKNDTVPGKSEGQESYSGPAFLKLAREKLSGAEYREFVEFMKALKSKTMHIKESLEAIAKLFSSPGRRPLLEGFRVFVPKNHLPLYEQLVQRYRDRKLSKRNRSTSESVAAWSLLSPSAAAGSPSKSSDWVLGNLQALRRRILVMFVGIAELGMWIGGIFLSFLER >Et_3B_028883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2072849:2078627:-1 gene:Et_3B_028883 transcript:Et_3B_028883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARLLSAPSSASAGASSSSLPSAGGCPRRASWNPRLARGTPASTQPPLSLRARASMEPATPEGEGGPPVHGVTNTVVGVLGGGQLGKMLCQAASQMGVKIAILDPLEGCPASSVCSEHVVGSFSDGDTVREFAKRCGVLTVEIEHVDAVTLEKLEKQGVDCEPKASTIMTIQDKYRQKKHFSKFGIPLPDFVEIETLHSIEEAGEIFGYPLMVKSKRLAYDGRGNAVARNKEELSSVVASLGGFEHGLYVEKWTHFVKELSVIVARSRDGSTVCYPVVETIHKDNICHIVESPAEVSDKIKKLATNVAEKAIKSLEGAGVFAVELFLTEDDEILLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKAPAAIMYNILGEDEGEAGFVLAHQVMQRALNIPGASVHWYGKPEIRKQRKMGHITIVGPSKNTVKSHLDMLLQRDAQEPKKASPRVGVIMGSDSDLPTMKDAAAVLKYFNIPFELTIVSAHRTPERMEEYAKSAKDRGLEVIIAGMVASSTALPVIGVPISNKVSGVDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRILATRDPELSEMVIRYQNNLRDTVLEKAKRLEDLGWEEYLK >Et_2A_016220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22100843:22106079:-1 gene:Et_2A_016220 transcript:Et_2A_016220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVTATVVEPPRPKSPPRYPDLCGRRRLQLELQILNREIDFLKDELQSLEGVQPVSRKRRRGTDPAVCFGGSDQNCVHACHGFAAVATACPSAKDQVASIAPTAHAPMSAASQAATHVTSRAVDQTAVRVVMCHAANLIAHPVARSSVVAAIRHAANQPAAGSRSLHAVNPSAAVAARIAAPATSQAAPAATPAADANNAGHVQATAAIASQAAAVPVLSVAPAQNVARALCLSVLTASVASSPSNAPIYFGAPANSASNASHPAARVRLLVASANHRAARDKMAAAVAAADHASAFQSLRALDVLVGVFGPAETVQKDVDVVDAIIPAVLLDAYVEPDFFFLNGTEIE >Et_4B_037479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20157949:20158422:-1 gene:Et_4B_037479 transcript:Et_4B_037479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLLCFLLLTVPPLALAVTDHVVGGSAWCIPPSAGLYEAWAANTTFVAGDNLVFRFEKGFYDVVQVSKREYDDCTAGDPYNTFSASPTVVPLDALGVRYYVCSVGNYCSLGVKFFVTVQNPQ >Et_9A_061954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18319495:18321667:1 gene:Et_9A_061954 transcript:Et_9A_061954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGREQPVIDPDDEDLERGERRRADDFAGGFEEDDEESQYFTDAEDRSWPSHSRQESTAYEDYISPCASARASSCDADADAEAAGEHCRKSSCVSEGSLDEIDLEAGLAEIIKASPEKAELNCRICHLGLESAAAEAGAGITLGCSCKGDLSYAHKQCADTWFKIRGNKICEICSATACNVVALGDPELSDQWSEANNTAAVQAPQAETRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >Et_1B_012994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4585094:4591853:-1 gene:Et_1B_012994 transcript:Et_1B_012994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYTGLADTMKVERDLYMSRGDGERSYATNSRLQEKSILKTRPVLHKAVAAAHASLSPPAGTMVVADLGCSSGPNTLLVVSEVLGAVADQREEDELQGGQRPPVHVQFFLNDLPGNDFNLVFQSLDMFKKLTAKEKGAALPPYYVAGLPGSFYTRLFPDRSVHLFHSSYCLMWRSKVPEELSKGMVLNEGNMYIWETTPLSVVNLYRRQFQEDFLLFLKLRHSELVSGGQMVLTFLGRKNKDVLRGEVSCIYGLLAQALQSLVEQVERDLYMSRGDGERSYATNSRLQEKSILKTRPVLHKAVAAAHASLSPAAGTMVVADLGCSSGPNTLLVVSEVLGAVADQREEDELQGGQRPPVHVQFFLNDLPGNDFNLVFQSLDMFKKLSAKENGAALPPYYVAGLPGSFYTKLFPDRGVHLFHSSYCLMWRSKAPEELSTSVALNEGNIYIWEATAPSVVKLYRKQFQEDFSLFLRLRHSELVSGGQMVLTFLGRKNKDVLRGEVSYMWGLLAQALQSLVQQGRVEKEKLDSFNLPFYAPSVDEVEEVIKKSDMFDINHIELFESNWDPHDDLDGDLVLDSVQSGVNVAKCIRAVVEPLILHHFGEHILDDLFVIYAQNVAKHLQKVKTKYPVIVLSLKAKRTQKYVSSG >Et_7A_050834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11375148:11386974:-1 gene:Et_7A_050834 transcript:Et_7A_050834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRLNEGAARFRGVAFHPSANNLVVWQVYYKVEIAGEEKPPMGYYYNSEDGDENEVKKPATAIHPTIGSSMAQPPQSTTTHAPAPGEPRLCLAELPPEYVFQPKPRELVDCYLIPRALRGRVPDEVIQDGVVDGVDVHAARPEALPFPSCNRKVCYRDGDHTVWGYFFTTRPGDAAAGSSGKYVREVAVGGRWCWCSGTDKGYAGDDGEVYAFRTSFAYYEDGGKLTAWRAKEYRLNEGAACFRGVVFHPGASNLVVWKVYCIVPPIEYYDSSDDGEKEPRKKRIKTGSPKRSRSPCEAGVAVSRAGTCFVCRGREHPAHHILSASSPCPMPQGSQRRPTRSIPMAQPPQDFTDPVFYLDPIEYTADMLPPGMVFDANGRCLIDNYLIPKVLHGRLPDDSHVRDAITEGVDVYAVRPEALPFPNRHRREPRAPEFDPVIWGYFFAKRPAAPAVGLNAAGGGGYSSSGDTRYVAAGGCCRRSGNEKAYVGEDGKVYAFRNKFAFHEPAAEGDGELTPWRMKEFYLDEAAPTFCDITFHPNAKDLVILKIYHEADIPEEEPGVDPVPSVHPSMAHPPGSPTANLPAPGKPRLCLAMIPAGCVFRPKSRELIDCYLIPQALHGRIPDNLIQDGVADGLDVRAARPEALPFPRCNRDRDVDGYGDHPVWGYFFTTRPEDAAAGDSGKYVREVAAGGRWCWCGGHDKGYAGDDGEVYAFRTKFAYYEDGGKLTPWRVKEYRLNEGAACFSGVAFRPGASNLVVWKVYYDELRIAEVKRPMEHNSSDEKSRTKRIKTGPPEIRLPMAHHGSHSTGFQRDKPNSIDSAKYPTQHDSAAYLEGQEGLALRRELAEDGDQVGLQQPPQLGFPRDGGGGAAAEGGALEALDGLDGLGAEVPPALRRLSVLAPVPHRDGPGGGAPASAAAG >Et_6B_049105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17912723:17917327:-1 gene:Et_6B_049105 transcript:Et_6B_049105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLAASAFFPGPGPSPATSVRSSKHSAGELPDNLSVHGIAAKPNSPPRAMQVKAQAQALPKVNGTKVNHKSMGHDNEETVPHSAPKTFYNQLPDWSIILAAVTTIFLAAEKQWTLLDWKPKRPDMLVDTFGFGRIIQDGLVFRQNFLIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGATPEMSKRNLIWVVSKIQLAVEQYPSWGDMVQVDTWVAASGKNGMRRDWHVRDCNSGRTILRATSTWVMMNKKTRRLSKLPEEVRAEIGPYFNSRLAITDEQSEKLGKPGNKIDGGSTKQFIRKGLTPRWSDLDVNQHVNNVKYIGWILESAPISILEKHELASMTLDYRKECGRDSVLQSLTAVTGDCTTDGDQGAVAIQCDHLLQLESGADIVKARTEWRPKGGLRFEAESF >Et_5A_042423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:148351:149680:1 gene:Et_5A_042423 transcript:Et_5A_042423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTGKKKKKKKKKKKKKKKKKKKKKKKKKKKMMMVMMGRRSSVVAGAALLLLCSWSYDLAAAATGAGEGGLVLGYYGKSCPRAEEMVKEQVAQLYEKHGNTAVSWLRAIFHDCMVKSCDASLLLDDDGMTMIKSEKASPRSFGMRNFKYLDVIKAAVERECPGTVSCADVLALAARDGAAMLGGPRVAMRTGRRDSRESHYAEVERFIPNHNDTVSLVLSRFAAVGVDAEGAVALLGAHSVGRVHCFNLVGRLYHHQTTEADMDPGYASYLRGRCPTAEAKEDTRDVQYARNDRDTPMVLDNVYYKHLLQRKGLLLVDQQLAADPRTAPFVAKMAADNAYFHDRFAAALLTMSENNPLTGHRGEVRRHCRFVNKVDA >Et_1A_009632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9898355:9900209:1 gene:Et_1A_009632 transcript:Et_1A_009632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLDFRYLDEGLGGERGKRKRREEAEAEEAAGDSMDLDADGDAPRPSKLRAVPSLSDPSKPASFGQPTYDGVIAGRVSGRRWKEPRTRRASAVVASRKPTPLEQRVREKSLKRAYQARKAELKEEIRANKVAKRKAREEREKKKQENILRTGTKLQRVSNPKTIQKIAKSKKRKQLRLVSDDVLGGKKSEASRRMQIHKMGACKGQGFEYRPSLLPLVCCFS >Et_2B_020271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18566297:18569228:1 gene:Et_2B_020271 transcript:Et_2B_020271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKNGAAAAASERKMLSRLLLLLCAFSFCLGMLFTDRLGSAPDWQSPVVVQRRRHDRELQSLTEEFVAKPKPAEDRDVMGEVAKTHEAIQYLDKSIATLQMELAAKRSTNELLGESADAIRKQKKKAFVVIGINTAFSSRKRRDSVRQTWMPQGEKLKKLEEKGIIIRFTIGHSATSNNVLDKAIDAEDQIHHDFLRLDHVEGYHKLSAKTKIFFSTAVDLWDADFYVKVDDDVHVNLGMLITTLGRHKLKPRVYIGCMKSGPVLSDKNAKYHEPEFWKFGEDGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGAWFIGLDVEHIDDRDMCCGTPPDCEWKAQAGNVCVASFDWKCSGVCNPVERLKYVHSSCSEGDDAIWSASS >Et_3B_030168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30999741:31002595:-1 gene:Et_3B_030168 transcript:Et_3B_030168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVWEEEYRRSESSKSSLSASSDTTASSTASKQQALRFAEDLSLPSVQVVVMSANMGCSHCRQRVANVVSKMNGLLDYMVDFGKKEVTVRGKMHTKKKKHKYRFAGWEKNSPSSSPCHARTLSWFLGCYSS >Et_5A_040125.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:13285917:13286246:1 gene:Et_5A_040125 transcript:Et_5A_040125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLLVVVAAAAIYALVTPTAAILGVWKPIPNINDPYIQELGRWAVMEHVKVSKEGLKVKSVDRADRNMDGLSYRLDIYASRKYREIDMYRAVIAEKSMSKNRTLLYFSK >Et_7A_051408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18074648:18078209:1 gene:Et_7A_051408 transcript:Et_7A_051408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRWGAAAGGAQRRAAAGQGRRAVSHFLLVAEIMNNVRNSKALPHAYSRIPNRTLAQVRNIVALRLTSTRPCKCIFCLPCVTVHVKFVSNKGSQEPEREANFSFPLSSEPFRPHGRAHLVPSRPCAAMAAWRGLGRGGGDLDALRGRAQGAGRQGWRRSRGARRSGERARRREGSTAASPAAVCVSECQASEDASSASVPNQTESTSSPRGNARWGAARGAQAGARRHAACDPARMAECGAAHGMSRDVAGELLRRKRAAEAHGRAAVVRTNRRGARASCAANGSVAGEQRRLAGESRRHGRKRGPPGALRRHGPRPHRLREALPVQPGVKGVDGAVAFGFDPSTGTYMVVLLPPLRRPRPRLRRRRRRGHEYEVLTLGFGGGWEPTEDPPYAVAARRPISTLGAFYYTALVAGADDPRPSELLRFRMRDGAFDVVPNPPCFSSSSGGVISDHDVLTELGGKLCCAQARAPGFAVNFVLPLIVSGDGDELLLSVDRPVLYKFNARKRALDKVVDMQRVPDLYGAPKPELPLLFLHHAVPYVESLLSIHRRNY >Et_3B_029233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23261274:23265729:1 gene:Et_3B_029233 transcript:Et_3B_029233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIISAVLGDLISRSVSFVINNYYQREKSMEERLQRLRSVLLRIQATVEEAEGRHITNQGMLQQLEILREAMYKGCYLLDSFGYRMLQQKRIHDQARHHPFALTKLRPPKRFCFPIRRINMALQSDGLKEVKKMLESLHDIIDGMAEFVVFLKSYSLITRQPYSKYLYLEKCMFGRQAGMEKIISFLLQPEPPGIESLQVLPIIGPPRVGKSTLVEHVCYDERVHNHFSSIMICSKDHIAPEGSDVLKKQIHGSHGRSLLIMELADDFFLDKRQCRKLNSSRSQMPSGSKIIVTSRSESILRLGTSEPIRLNFLSQEAFWYFFKVMAFGSTNPDEHPELASIAMEISADMDGSFSSANFVSRMLRANFHAQFWRKILELQRDYVQRHIVLFGEHPHTLIMKNKPLYLWSMSDVMCIKVHSCDKLYHQTEVPKIKVHEVLTGTAEAQGKFEVTVWKSCIPPYHNFMMSCEAPRHMMAKKKRPYSMFLQSMCTHHSSSGIRNTEEHPQLAFLSMEIAAELNGSFTGANIASALLNLIFFHQNVLNTKEVAHFCDHPHTLVQKNQPVYIWGSPSVSQLFIISTEWHGGETATAAQLLRIQATVEEAERRHITNQTMLQQLENLRETKYFWVPDATTKDERRSVHLSASAPRLTWGLQSDGLKEFQKMLESLHNIIDDMTKFIAFLKSYPPITVSLIACVCFWRSAEMEKIISFLLQPEPPGTEILHVLPILGPPRVGKRTLVEHVCYDERVRNHFSSIMLFNKDPTAPEGSDLLKKQIHDSHGRSLFFFVLER >Et_7A_050487.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:18685929:18686255:-1 gene:Et_7A_050487 transcript:Et_7A_050487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASCGRSVATTCWEGFGPHPTARSLTLGFEATLNAAEFSSYGMTKSVRRREPFVPTTVTSIALLARNEQVKVATLPSSQCIAAPQARSTPAWFVRGSGSAVRVFGSS >Et_1A_005991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16452344:16454470:-1 gene:Et_1A_005991 transcript:Et_1A_005991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERFFSYASTAPLPHERAGITLLSGPPCCGKTSLVFQFAVNRAAESGRGVVFICSKAKLETNPPFLSQGVDPSMGMLQRIQIKYVEDGEEIRKYFAAFHLLDNFPAAVIVDDFAEFFSERTCQQRYGNTRARDLAMVRILALCHDAIAHANAKLGPLGSCNLLLSDVHQGDTPRLLFIYKRWTSSIYSIQGDGTGSYILKNIGSAESRTREARTARYSIALQYLVLEEISC >Et_3A_026937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27030492:27030876:-1 gene:Et_3A_026937 transcript:Et_3A_026937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAPVAGAVVSDAFFGGYPVVAAGVAIYLLSFVLLAPTAAFPSLRPPPCAAASPSCQPPNALQSAVLYAAVFLLAVDNGGTRYTTAAMGAD >Et_5B_044276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22142543:22146605:-1 gene:Et_5B_044276 transcript:Et_5B_044276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >Et_7B_055326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9446442:9447493:1 gene:Et_7B_055326 transcript:Et_7B_055326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGDDGGVPENANEHCPGTQSETAGNTEACAGCPNQQICSTAPKGPDPDLLAIIEQMNTVKHKILVLSGKGGVGKSTFSAQISFALAEMDYQVGLL >Et_6B_049504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5809767:5828725:-1 gene:Et_6B_049504 transcript:Et_6B_049504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRQGRSSRAESRSDAAAGLTAFALRLTKNLLASDGKGAAAAADDNDDSAAKNLVFSPLSIYAALALVAAGESGDTLQELLDALGAGSRGELAAWRSARSRIGRARAGRRSPSRAACGMTHRRRCGRATARPPPRHTRPWCAPSTSGRRYADINSLIRLQPGKAVTEINRWVAAATNNLIDSILEPSSLSPDTSMVVTNAIYFSGKWEEPFTEMSTMVYKFHRLDGTTTDARFMRSTRKLFIAVHDGYKVLKLPYRSQVQPQQGSSQRGMGNRPQHFMCVFLPDRRDGLPDLVDMISSSLEFRRDHLPNKQVPVGHFRLPKFKVFFSGEDLGNTDLLDTAKDSNAASMPLFLCDVLHKAVLEVNEEGTEAAAATVCVQQSMMSKPGSSSPPRIVNFVADHPFVFFVIEESGAIVFIGHILDPTSMARKRRRPTKKETEKPPELNVDRQLTPLALRLAKHLSYKRAATAGGDGANVAFSPLSVYAALSLVAEGAGGRTRREILKALGVRSSRKLAESVRKLAERVLADKSQFGGPKIEVACGLWHDETWPLLPDFQKTVAASYKTEARAVDFRNKQEDAVDDIKTWVEAATDNRIDSIVAPSSTVSPNTRLILASTIYFRGNWDLAFTKAQTAVQKFYRFDGSTVDTPFMRSKKSQMISVHHGYKVLKLQYRSPPAPNETEIGSSEPPHQEEEEEEEPSPTETEKASPPQYSMCIFLPDAPDGLPELIDRITSYGSAFPGDLLPDKRVLVGEFRLPKFNLAFSDSIREVLRDGLGIEAAFDEEEADFSNMADDEGSGTAFPWGRTPQGSDPGERKREHGMRRHHVWDAVSGRRPGREPGQGRQLRSRPPREKNGPLTPSSSHMGPSMRFGIQHNLNEEMKKKDNKINREDSVAEVLHQAL >Et_2A_014825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17094192:17094627:-1 gene:Et_2A_014825 transcript:Et_2A_014825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTILFVLLIGCLALPAECIRRSYPNNHLTAAATSTATVNSSAHGDKLRLIFCKEKPFCSQNPCFCCSRPSKCYDTMVECKAKCPACNPKCYMHHPKVVGVDRN >Et_6A_047796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16831441:16849004:1 gene:Et_6A_047796 transcript:Et_6A_047796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQLVSRVCAPANSEIRIPNENNILVVYQETLPRTGSHKAGIKHEESFQHCIARPFESVDEAVRIYNLLRNCKHPNVISPLGIWECEVGLNLDETKPEVSGEKKAVHSKKENVIKAFVAFPDIFDGALVDISREEMFVVENDSSQSTAYGFTCQGSKLFWGILDVVKYINGLYGASFPLSPLRINSGRIVYKKLAEGVYQVMLWADFFPDLPRNMRRQNRSGRYLVPNTGTTGDGCECVLQRQFTNKKRRLHEAQSGTPLRFKLWIKHCQEVINRSVPELPCLVADRGKFSPNSQQRQSKAPTITIGSF >Et_1A_006382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21645470:21651545:-1 gene:Et_1A_006382 transcript:Et_1A_006382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLQSCYWVSSLLRLPHPAPWPCSAHAQRRRVLRLAPPRRPYAGVVRVVADAEGLGGAGGREEDEEEDLDERERLRRLRISKANKGNTPWNKGRKHSPETLQRIRERTRIAMQDPKVKKKLMNLGHAQSEETRIKISEGVRRGWSLRLQKLMVQDGCFVEWRDMIADAARKGFAGGVSLQWNSYKILTEKMRREWLENLQKKRSMPRPRGNRRAPKTPEQRRKIAEAIAAKWLDKEYRERVCSGIASYHGTSTGTRAPRKPRPAGEPGVKRQTVKKKPMQTEYVGLEDAQGKAIPVKRKKSATPYKDPMAGEKLEMITKIRAQRAALEIEKKEAIRRARSLIVEAERAANALETAAATSPFAQASLIEARKLVTEARASLECVYDVGHAESAPDETSENSATLDLHINDLDNQNQSSMIKQENKHVNGLKLPTSNVNGTGFHFDGSTPSETEKLYQRIEKSMERAFLLPAASSTLKDVNEDFGLIDFQVSQSMVDETERHNCNATKATEDCSLGALEEAASTSAENAETKENCTPEILDEDKGKMRWVRGRLVKLDNEAEHSEA >Et_7A_050649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:63113:65252:-1 gene:Et_7A_050649 transcript:Et_7A_050649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSLPPGFRFHPTDVELTVYYLKRKVLGKHIRCNAIVEIDLYKFAPWELPEKASLESNDLVWYFFCPRDKKYSIGVRTNRTTGAGYWKATGKDRAVIYNSRTVGMKRTLVFHLGKPPQGCRTDWVMYEYRLEEKELAASGVKLDACVLCKIFQKSGPGPKIGAQYGAPFNEADWNDANVEYSSFVPSAIPCAPESSHNGLNSAGQHLAASDDGNVSLGLSPGSNIELAANGVQVRPDRTSPDIPFDIIHLELLAEIINRSSLNRLFTAGEEGSQPGSVSDNDNDDILLLDDNETIFSELDKLASHSVESNSHHCDSCGQHLIQPKLEAVGGEQYLELNDLSFSLADDPNSCSMLLSSDIYVQHPLDHVARFEQDSQDYISNIANASTSLTAGSSPSVPTVDDHRTLIRIMSILSIRTPLVHMILEIFVDMNADPQHA >Et_10A_000031.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:17145193:17145700:1 gene:Et_10A_000031 transcript:Et_10A_000031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAKVTHQLLIFSLVFTICTIQEAWGEQDCYDEKVLVKTDCINTIKLVGDYINPSDSCRRAVRRSDIVCICRILTTEDEFEISVAKLLWLAIQCRKPVPGGTKCGSK >Et_3B_031276.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23872684:23873295:1 gene:Et_3B_031276 transcript:Et_3B_031276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEIVGYYDNGPYIVSFEEHEIDTTLTASGAVAAAWVRETYRLHRGGLVVGLDVEWRPARLPGPVAVLQLCADHRCLVFQILRADYVPYELSRFLADARFTFVGVGVGDDAAKLWAGYGLQVGSVADLRCLAADATGRPELRRAGLLALVWELIGVQMEKPLHVRCSAWDAPQLTDDQFKYACADAFASYEVGRFLYYGNDY >Et_3B_030691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6441989:6463350:1 gene:Et_3B_030691 transcript:Et_3B_030691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVISSVTLLNTFAVTNLAALKEMTVHLGYAKVMHRSILEAFSSSSILHNIGVEILRALLQSKTVLTDVFLGKQGMATAASTPVLRMKLLIDTTTRRVLFAEAGKDVVDFLFSLLALPVATAVALVGKGSAAGSVGNLFASVEALDDAYVVPGADKRALLRPAVAATAKSSLLLLLLPPAPQSSRGRGGGEQSKAFFQCASINSNNTYGYAASGIGAYSGSGRRAVQGLLPVRASINSNSNGNASGKCSRYVTDAYGTACPYCGRSMTKALAPAGSVGVSSGHRRNVHQNAFAGGSKAGFVQGVVTYTVRDDLTVTPMSAISSVTLLNTFAVTNLAALKEVIVHLGYAQGVEILRASLQSKTVLTDMAGYISRGPPNGAVYVCNLPPGTDETMLAEYFGTIGLLKKDKRTGRPKIWIYRDKVTNEPKGDATVTYEDPHAASAAVEWFNNKDFHGSTIQVHIAESKSKDSFDNSAHLNIAEDVGGQDELDNEGGRGRGRGDGPGKAWQQDGDWMCPKTRCGNINWAKRMKCNICNTSKPGTNEGGVRGGRGGGYKELDEEELEEVKRRRKEVEEDDGEMYDEFGNLKKKFRAKAQRTESAEPGSGRAGWQVEHHGSAEREGRDRSRDCVRNDYDEREGGERSRDSGRDSFEKENRNRDRGGHGRERRRSRSRSRDRERERGRDRARDHDYDRSRDRDRDCRRMRLLIDTSARRVVFAEAGQDAVNLLSTLLDTPVGTAPPPPAGLVGSESIFRLVASIDDIESITRSARWPSAQEYVVSDDLTITPATPMSAISSVRVLRDIFGVSDVSALEERTVRLGRAEGMRIIAASMRSQTVLSDAGEDAVAFLSSLLATPLRAAVELRLQEDPPAAAAGCFGNLLSAAGALLLHRTSPSASSSSSSRAPPAAASPVSALRPPTDAGAGIMSRRRLFRCDSLGCLCSDVVSRVPGSPCPCRSCPTCGGGGATRGTEVHFLEACFYRRPVGSAASSARGAGDTFYRCHARDRGRDFIQCRYRVTDERGVECPLCHSLTTVAVKLAKGGHEEGSSARPRPPRAAAAAAPGLGFVVMDDLSVRPMAEGMSRAALLAELGVADPASVREEVVPFGSKQLLVDTTTRRVLFAEAGKDVVDFLFSLLALPVATAVALVGKGTAAGSVGNLYASIEKLDSTYVLPGASKSTLLLPAVASPAVSANSSLLLPAPAPAPEQPKTLYRCTNSYQTMCPAYITDVYGKACLVCGGAMGTAVQYLSSVASGGGSSQVVQGGDAHGFVQGFVTYTVLDNLTVTPMSAISSITMLNTFAVADLSALQEKTVQIGYAEGVEILKASLQSKTVLTDVFLRDKGHADDA >Et_7B_055934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7623086:7623644:1 gene:Et_7B_055934 transcript:Et_7B_055934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPKYTKYETRRRDPNPRAAALLVIDVQGHFASLAAPAMPAIASTVALCRAAGVPVWWPGDRIAAGTPAAELLPGAGREDGDLVVEKSTYSAFAGTGLEEALRGVGAEEVVVAGVMTNLCCETTARDAFVRGFRVFFSADATATASRDLHEATLANLAYGFAYIVDCDRLEAALGKAK >Et_4A_034442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32514547:32518312:-1 gene:Et_4A_034442 transcript:Et_4A_034442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSEQYRSSSSSASSPAARRYYLPRPGRPIAFEDSPDWDDIHLDDSAVHLSATVSASSIHSSAYPSPAPSLPPASGPACRERKVAGATLVWKELTVSVRRRRATHRVVKSSTGYALPATLTVIMGPARSGKSTLLRAIAGRLSAAERMYGEVFVNGAKARLPYGSYGYVDRDDVLIDSLTVREMLYFSALLQLPGFLASKKSIVEDAIAAMSLGDHADKLIGGHFLRKRLPIGERRRVSIARELVMRPHALFIDEPLYNLDSVSALLLMVTLKKLASTGCTVIFTMYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNLQDDQGDFSSVSMDTAVAIRTLEATYKQSADSDAVESMIAKLTEKEGPYIKSKGSACDATRILVLTWRSLLIMSRDWKYYWSRLVLYMFVALSIGTIFTDIGHSLSSVMVRVSAIFAFVSSVILLSVSGVPAHIDEIKTYSHEVTNRHSGTMVFLLGHFLSSIPFLFLVSISSSLVFYFLLGLRNEFSFLMYFVVTIFMCLLANEGVMMIIAYIWPESYECTLTLICLYVIMMLVAGYFRIRESLPYPVWTYPLSFISFHTYVVQGLLENEYVGTSFAVGQIRTIPGVQAVRGSYDISSSSNAKWVNLVALLLMAIGYRILLYILLRLNVRNHARFDNWRSCWPSVHTSSVK >Et_10A_001588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5623488:5627933:1 gene:Et_10A_001588 transcript:Et_10A_001588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNTSVAIFLLLGAVLLAASASPAPAGDGIGIGGGRMVIVRAAAGRSPSARGAGGGAAPDKWLRRLEDEVAPEFPSTAAVGGLLGGGIGYGPLEKNQPQCLNNCAAPSGKPYIRPCTYKNHTMPGNSPLAVLFLFLLLVGALLPASPVAAGSGSFADGGGRMALGLHADMARGDISTTTTWKRRRLEEEVAPEFPSAAIAGQPGISYQTLRPNGQACGGNCAARAQGAAYTRPCYYQNQCRQ >Et_9B_064211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12667835:12671383:1 gene:Et_9B_064211 transcript:Et_9B_064211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRSTAPVPAGRWADLPEDIAIAIASRLQEADVCAFGGCSRSWRSACDSDCVWEGLFRRRWPTAAPDGVSRVQGWKALYINQHNRMAVAISNVVEIVESSLHNGSQEAEYYLKAIAHLAMMTDIGFLDVQFFLFSRNHNAIINLVGLHYAIASLHVPPSEVGKAIQARQLGERMVCVNLLKLGRWFYGFRLPDETESHKFSLSELTMSEGAEVLAILNRGAVHEVFRLWIFSRAGDGVGHAVKEFEGDTKVGNLVV >Et_1B_013853.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:20611384:20611812:-1 gene:Et_1B_013853 transcript:Et_1B_013853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMISRRRVRTSATSLAQLPEEIQAEILLHLPSPHHNLLRASQVSGLWRRLVRNPVFLGTFRERRNGTPPLLGVFHDGSFSSDRRFTPVGEDSTGTGMFRCPSSWRILDCRHGRVLFSTTRPAPVRLPCSSCGIPWPEDSA >Et_7A_051495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19540949:19554662:-1 gene:Et_7A_051495 transcript:Et_7A_051495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCARPPAAVAAAAATAAWWPARQRGEGSAAGPCAGADDSESELDSRAGSAASGRSGFADMWLFWRTRNRFSLEELRYLTDQLQKVHVVNEANKDFVIEALRSIAELMIYGDQHDPSYFEYFMEKQIMGEFARMLRISKLSRVSLQLLQTMDYIFSNEHINFLITYPFDFRIDEMLSYYISFLRAISGKLNKNTISLLVKTKNDEVISFPLYDEALKFAFHEDSMIRVAIRTLTLNVYHVGDESVNRFVSRAPLSDYFSDIVQHFQKQCIDLDKLVVHSSRNTNSSLPMASIEDAIVQIEDTLYYFSDVMSSGIPDLERFITENILEVLVFRLLLPSLQGQSTDLGISVTTSMYLLCCILHIFKNKDMSSTVAASLFHQPDLPARKQETPNGYNSEHDHCTSENECSSASAVEQSTKDKPDCFSSVLNDHLSPRDCCQGNTPREHLLSYITSGDDSQALGSLCLFATLLQTKELDESMLDALGILPQRKQHKKLLLQALVGEDLAERRLFSSSSGVTDDSICSDFDIYVRKLQDKYGLQCCHPRQMTSKVHRYQVLDALVVLFCRSNISADVRLVGGWLFRQLLPHGEDEFTAFHLRRLKDSHKNCSAKLSEESGGCWCDMLLPIVKEAWRNCKKGDSSVAIAERIYETVKGFVLQHQVLLFCLGETFSEQPPILSPMDLPVNTRANAANLDGSVPKPGLEMNLVDAVPCRIAFERGKERHFCFLAISSGTSGWILLLEELPLKQERGIVRVIAPLAGSDPRIDEKHEKWLHLRIRPSTVPFLDPEKYKGKSKKYLIDGRWTLAFGDEQSCKEAETMVLEELKLQQDAIGKQLKPLVELEMPEDGLQNPQTLHETASDDGS >Et_10B_004470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8515544:8517275:1 gene:Et_10B_004470 transcript:Et_10B_004470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRQSSEESEWVADIEEAVRDDGGALAAEAARWKLHSVYRVPACIKDLNRKAYQPQVVSLGPFHHGEPHLRPMDAHKRRSLVHFLRRARRPLADFTAAVGAAAGGELDGAYQGLGDEWRGGGRLVEVAVTDGCFLLEVMRTASGWAANDYAGDDPVFSPHGLLYTVPYIRRDMLMIENQLPLRVLDRLVAVESGKDGNEELINRLVLRFLSPTAWPLATGDGLALHPLDILRRSLLFGPAPVPRAPPQSTPPDDIILSAEELYEAGVRFKRSQTSSLLDISFRNGTLHLPAIVVDDTTEYMLLNLMAFERLHAGAGNEVTAYVFFMDNMVDSARDVALLTRTRVLVNTVGSDKAVAKMFSGLSRDVVLEPQSALDDVHREVNAYCRKRWNRWRANLVHTYFRSPWSFLSLAAAVFLLVMTVMQTVYTVLPYYGDKN >Et_10A_001802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8294669:8295169:1 gene:Et_10A_001802 transcript:Et_10A_001802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQALAISTGLLREVKNKQKSNLVRRLEPADVRSAEAAVWVPHPRTGIYYPRGFEWIMEDVPSGAASFRQSYWLRTGEAETESPLTTSDTTSLDHPFV >Et_1B_011625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24309917:24315345:1 gene:Et_1B_011625 transcript:Et_1B_011625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAAAAAAAAARRAGEVLRRRSLGGLRPLSSLQPSHAAASASDEVLVEGKASARAAVLNRPGHLNALNTTMGYRLNKFYESWEDNPDIGFVMMKGSGRAFSAGGDVVNLRRLICEGKVEECKEFFKTLYMFIYVLGTYLKPHVAILDGVTMGGGGGVSIPGTFRIATERTVFATPEVHIGFHPDAAASFYLSHLTGHVGEYLALTGEKLKAADMIALGLATHYSHSENLDLVDERLATLVTDDPSVIDSSLAQYGDIVYPDKTSIVHRLAVIDKCFSLETVEEIVDALESEAAKLNEEWCTLAVKRLKEASPLALKVSLRSIREGRYQTLDECLVREYRMSVNGISKQFSHEFCEGVRARLVDKDLAPKWDPPALEYVSKDMVDAYFAPLGEFDPELKLPTESREAFI >Et_7A_052468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8344753:8345112:-1 gene:Et_7A_052468 transcript:Et_7A_052468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDGKGVKKGPWTPEEDKLLVDFVQANGSGNWRLLPKLAGKLVHAPRGWVVWLVRCGEVGVRAGLNRCGKSCRLRWTNYLRPDIKRGPFTTEEHNTILQLHGIVGNK >Et_9B_065588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7487334:7488012:-1 gene:Et_9B_065588 transcript:Et_9B_065588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVSEYVENGSLANILFNDKNINLLDWKGRFNIALGVAKGLAYLHHECLEWVIHCDVKPENILLDPNMELKLTDFGLAKLLNRGGPNQNISHVRGTLGYIAPEWVSGLPITAKVDVYSYGVVLFELLSGTRVSELVEGSDKDHMVLRKFVRMLVDKLEGEEQSWVDAFVDTKLSRPFNYIQARTLIKLAVSCLEEDRGKRPTMESIVQTLLSVDD >Et_1A_005330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4425578:4426597:1 gene:Et_1A_005330 transcript:Et_1A_005330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCEKVGLKKGPWTAEEDQKLVTFLLSHGHCCWRLVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEEEEALVIDLHAQLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKHPAQSAEPLTQQDPPPKAREERRGDEPKASSQGPAGAADEDNDDGDAEAAPASSSQPHAAASPASTAPTVSPSCSSSASASVATPGADVAAWPDPIDLFEVDGIMDMDWAGILSGCGDDGTGLSVDLFDHYPGGGFDQQVWM >Et_6A_048146.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7650447:7651559:-1 gene:Et_6A_048146 transcript:Et_6A_048146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSTLLRSLSSRLPTRLAPSPAVAPWPPVRSAYDRWLAAELDELRADPLAPCTSAAWLGRALGLAVSAQRRLVSSSSAAGIDQKTVDECVDDTAELLDACAGLRDRLDMLRSYAFATRVALHWLEGGAGDAAAAAHRRAAAAFAECEAVERRCGAELAKCGSGLRKLGERALLHAGKNPAGAGEDEALSGARGVALLAVGALGAALAFRPRRAVSGVSVSFSGGGGKGAAAQWECALQEVQRHVREEYDRRRKDGVPCMAELDAAAAAARAAKCSVAGGRPCSETVIAAARRRCDELEETVAAFEDKLGELRRALIEVRMVLMEWAQRARGPEPLGLACTPGQWTPVHSETSKCPRILRSQKHDNTIC >Et_8B_058794.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:667143:667400:1 gene:Et_8B_058794 transcript:Et_8B_058794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSNTCNCSSLQPTEFFFWNALEMIELLGIAICLARSCRVDSNREVVMALASLNSLTTLQSSLSEVTFFVVFLKFFFAFFNKRK >Et_7B_053778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12073178:12073775:-1 gene:Et_7B_053778 transcript:Et_7B_053778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGGARGSPPAAESIVWREDAGRFETPDGEAFLEYRLPSPAVMDVVHTYVPRSKRGQGLAARLCDAAFAHARDTACASSPPAPTSPTRTFLAIRR >Et_7B_055085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7149195:7152999:1 gene:Et_7B_055085 transcript:Et_7B_055085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPDKPCSSGTAGLSSDEIDRIDPDYLYFLRHLRPEGRAYAVEIPSKDGSSPPKLVRYEKPLAALNAEASAASSEAGTRSASPPMGEGSSAAADVPSGAASPPAGGRGEARSGAVPVEADELLSPKEEPAWYDSVSNMDGDFLSFLQHCSKVNDSQILFEMGKISVTIGEKSAVNNCEDVEEEDEEESEVEEVEAVSASGDNSVGAEEEEEEKPVVDGSNWPIVKVSEIEAKVKEEVEDIVVKEEFEEEYTIGSDLQLVNVMEFETKMEVDEEEEQPSNVPAMRTSAPDPSERGSCSSQGHSAMPRSASMGTGWVDKPRNSVNNAQGQEAARAAKSDWRIKESGVIWPAHIIERPESDFKRKLVKVLKKPFNQEEYDEMLAMATDRTPVIKERRTRRRVMYYPWKHEMGKSYFDSHPDLADQVKGENSFPNRLALLRGFFFWLE >Et_1A_006422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22008458:22008961:-1 gene:Et_1A_006422 transcript:Et_1A_006422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLNSIFFPTLHMLVKHLPKLWKIHNSSVILDNTKKQDRARYRSVKENRFIRWDIRFNPLFGANGRPLFLLILTHCIPYGIRGEENHSTTPKGPLKKGKLFLEFTLFLFIHFSNPKQ >Et_5A_040935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15140887:15145246:1 gene:Et_5A_040935 transcript:Et_5A_040935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDAARYANSPAHHAVATRDHAALRRVLDALPRARRPEEIRTEEDSIAEEARAEAVSAVIDRRDVPGRETPLHLAVRLGDAAATEMLMAAGADWSLQNEQGWSALQEAICAREEALARVIVRHYQPLAWAKWCRRLPRVVATMRRMRDFYMEITFHFESSVIPFISRIAPSDTYRVWKRGANLRADMTLAGFDGFKIQRSDQTILFLGEGSEDGKVPPGSLCMINHKDKEIMNALEGAGAPASEAEVQQEVTAMLQTNIFRPGIDVTQAVLLPQLTWRRQERTEVVGPWKAKVYDMHHVVVSVKSRRVPGAMTDEEFFSSCNENDTESEGFDEVLTEEEKKQLEAALKMDSPGASGEDQSDSFAGPRHSCFEPREREIPIEDMSISGIGESKHDKKGWFSNWGKKSQVSKPEGMKRMAPPRSSLCVDEKVSDLLAESPSNVQMRPGRHSVDVVRIDENRRVRERDHRRTVAPAENGHRRKESTKESEYKKGLRPVLWLSPNFPLRTDELLPLLDILANKVKAIRRLRDLLTTKLPPGTFPVKVAIPVVPTIRVLVTFTKFEELQPLEEFTTPPSSPDNSKSPAAQSSSSSWIQWIKAPYRQNFSTAPGPSSRVEDIQDPFAIPSDYTWTTPEEKKKKTQENKNKSKKGRNGT >Et_8A_056815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17251526:17262055:-1 gene:Et_8A_056815 transcript:Et_8A_056815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSPATTSPPLASPGHPHSRRPGAATRLVSPLPSCCRSLAGYSATSVSSAAAPKPAPRGLRYRCRAADESPLPARGDAPLKVMISGAPASGKGTQCRMIVEKYGLVHISTGDLLRAEVSSGTEIGKKAKEYMDNGKLVPDQVVTDMVVSRLSQPDVQERGWLLDGYPRSFSQAQSLESMKIRPDIFIVLEVKSCLETYKQNSEAILPTYSDLLNQIDGNRPAEVVFQEIDSLLQKICEYTSANKLTKTNNGKPPGSVGTGSKDEWRGIPTRLNNIPHSREIRKYFYDDVVKATKRAVGDKKTRLQIDINIPELNPEMDVYRIGTLMELVRELSLSFADDGKRVKVCVQGSMGQGAFAGIPLQLAGTRKILEYMDWGDYDAKGTFINFGAVGASEVDKEDDMFVLIAPQNAVGNCIIDDMKAMTDAAGDRPVILVNPRLKDMPASSGIMQTMGRDVRLKYAASFETCYSFRLLFYAGSFYPIMGALRMAYPNKYEIYRRFDEPNGKEKYVLIAEFTEKPTPDDITAAFRGPKE >Et_2B_020458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20430894:20433436:1 gene:Et_2B_020458 transcript:Et_2B_020458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMLRHAAFRQGGDLLVASTATWERIERLLTSKILHCQQLHGHGSVSEVSSVVDETLGKRPLTGPNDTLIYRVFASTWNVGGIAPSDDLDLEGWLDSRDNSYDIYVLGFQEIVPLNARNVLGPKKSCISTKWNSLIAEALNKNRIVEGENSHEATTNNSAMESSAQGEYFGCIRSKQMVGIFTSVWVKNSLKPFIHHLDVSCIGSGIMGCLGNKGSVSIRFMLQETSFCFVCCHLASGGKEGDVLMRNLDAADILARTRFPGGATQKLPEKILDHDQVVLLGDLNYRISLEEAETRSLVKTKNWAILLENDQLLFEFSRGRHFEGWQEGSITFSPTYKYHPNSDQYYWCFDGARGEKKRAPAWCDRILWRGKGLKQVQYETCNYRLSDHRPVRAVFHAECDVSEGTQK >Et_1A_007593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36211976:36218413:1 gene:Et_1A_007593 transcript:Et_1A_007593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVRMGSIAAGGSMRRTASSWRGTSSRRSDVFGRSVREEDDEEALRWAAIEKLPTYDRMRKGILTAAPGAGVEEVDIQALGMQDRKNLIERLIRTAEEDNERFLLKLRDRMERVGIDNPTIEVRFEHLNIDADAYVGNRGVPTFTNFFSNKVMDVLSALHIVSSGKRPISILHDVSGIIKPGRMSLLLGPPGSGKTSLLLALAGKLDASLKVSGKVTYNGHEMDEFVPQRTSAYIGQHDLHIGEMTVRETLAFSARCQGVGTRYDMLTELSRREKEANIKPDPDVDVYMKAISVEGQESVVTDYILKILGLEVCADTMVGDSMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVDSLRQTVHILGGTALIALLQPAPETYELFDDIVLLTEGQIVYQGPREHVLEFFEAMGFKCPERKGVADFLQEVTSRKDQHQYWCRRDEPYRYISVNDFAEAFKGFHVGRKLGSELKVPFDRTRNHPAALTTSKYGISKMELLKACFSREWLLMKRNSFVYIFKVVQLIILGTIAMTVFLRTKMHRRNVEDGVIFLGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLRFYPSWAYALPTWVLKIPISFLECAVWIGMTYYVIGFDPNIERFFRHYLLLVLISQMASGLFRLLAALGREMVVADTFGSFAQLVLLILGGFLIARDKIKKWWVWGYWASPLMYAQNAIAVNEFLGHSWQMVVDGGETLGVQILKARGIFVDANWYWIGVGALLGYIMLFNILFVLFLDWLGPLGKGQAVISEEELREKHVNRTGENVEMLPLGTDSQNSRSDGRGEITRADTRKRGMVLPFTPLSITFDNIKYSVDMPQEMKDKGITEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQDTFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPSEVDAEARKMFVEEVMELVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGRNSCHLIEYFEGIQGVKKIKDGYNPATWMLEVTTLAQEDILGINFAEQHKSYWRNPSYTATRIFFTTVIALIFGTIFLNLGKKIDQRQDLFNALGSMYAAVLFIGIQNGQTVQPIVDVERTVFYREKAAGMYSALPYAFAQVFIEIPHIFLQTVVYGLIVYSLIGFDWTVQKFFWYMFFMFFTFMYFTFYGMMAVAMTPNSDIAAIVSTAFYAIWNIFAGFLIPRPRIPVWWRWYSWACPVAWTLYGLVASQFGDITHVTLEDGEVVQDFINRFFGFRHDYLGYVATAVVGFTFLFAFVFAFSIKVLNFQRR >Et_4A_035786.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:5959618:5961036:-1 gene:Et_4A_035786 transcript:Et_4A_035786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRASLLLLRRLSTRQPHRDHPKLAALLSVLTSPLTSSTPLPHALSRAFPSPADAFPLRTLPRLLSLLPSPVLSLRFLLWRLTHSSPLPSPHALSLLATSLPDLRSSVPLLLTSSPQPLPLSHYAVLLNISAHAGLFPASLAVLRHMRSFGLVPDAACFHHALRAAASAGDISAVLEIMSKSSASPTVPLIVTAVHKLASEGEFESARRLIDKMPEFGCVPNVVVYTALLDGTSGFGDLDAALALVEEMEGGKLGVSCAPNVVTYTCLLKCLCGKGKFEEVLGVLDRMGARGVLPNRVFMRTLVEGICAGQRVADAYAVVERLVGDGSLSSNQCYNVLLVCLWRVGMEAEAEGLAQRMMKKGVQLTPLAGSSMIRDLYGRKRLLDAYHWQVVMDENGVLCDSDVYAGLLLGLCEEGHVLEASALGRKVIERGIYIEASCADRLVELLKQHGDEELASHILALRRCPEEVLL >Et_6B_049044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1745776:1749752:-1 gene:Et_6B_049044 transcript:Et_6B_049044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRESVQLFQNIPFSDPKPHLHPLHETLAACGGALRSDHPSQTPMPPPAPQPSGGKVTPNLAMDAEATRMLNLTVLQRLDPAVEDILITAAHVTLYDFNIDHNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNAAQEVNGIWFYNQHDCEAVASLFGRILNAYAKVPLKPKVPSTKSEFEELEAVPTSAAIDGPLEPTPAPTTVVSDAPDESLANYFNGAASIGSVSNAPLSGRVHPATESVASSHVPLIIPSPAPTHQAPPSVASSAPPLPLHDSNAHTARSTNLVTPAFFAPPSSSSASLAPPGSSVMPTAPPLHPAPAAAPSYGTPLLQPFPPPTPPPSLTPAHNDRPVVTRDRVKDALQRLVQSDEFIDLVYRELQKSLV >Et_9B_066077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2621871:2628856:-1 gene:Et_9B_066077 transcript:Et_9B_066077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPGGLGFASRRGLYAQAAQQHHHHGGSAQTSPGGSPTASSPVHRHARSGSLGGAGAASTAGRRGAASAAAAAGAGSRAHNTAARAAAQRLARVMASSTDVGGGAGGGAGSGSDDDDDDYELSGPPIELSSTPRRASARSPSPSIGRYLADQAPVSRPPSLTSRYTAGKSVPLIPSIKRPATSGMGSESPSMNRREQSNIGYTILRRSVDLGTSLRGRRTSSALHDEINTLQVDNESMYDKLHLAEERSEDGDAKSMHMERQASVMSDASETETNLINRKDAALEQRKIAMRIASRRSSTASYDEIAALRSEAKVDLSSNMEEMVLKRCIHSDIAEEKQEYWSSFAPLALEAVLSIGQKARDGTLSDNADMETKSKMSDINDMAGDGNIESMLSVEKGLRELASLKVEDAIMLALAEHRHIRPLSELSEDEQEDVRFKQAWLTYFWRRAKNHEIEEDIADERLQFWIEQSNHPIGTTDIIEAERGLYELRKLGIESQLWEATRRASDDDFSNRGSPTGSEV >Et_1B_011252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19402957:19404299:1 gene:Et_1B_011252 transcript:Et_1B_011252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAAEKKEEKPAEPQEIVLKVDMHCEGCAKKVEKSLFSFEGVENVKADSRSRTVVVKSWTADPAKVCERVQKKTKRRVELISPVPAPPEEKREEAPPPEETKDEPPKAITVILKVQMHCKLCAQLLQERITKIEGVESAVADLPNGQMIVKGVMDPAVLADSIQSKTRRPAAIAEEEKKPEDDNKSEEGEKKPEEKKPDDEFKRYEFGPPAQNYVEYMYPYAPPQTPFLEEFGDEDPNACAIV >Et_5A_040782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12319380:12321562:-1 gene:Et_5A_040782 transcript:Et_5A_040782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSCPPSGACHGCPDVDGEEDGGDRISGLAEDLLLRVLVGLRCARAAARTSLRGLWRRLPELTFRDLTPDALRAALAQVARTDLSLLDIDVDERHRFSPASISSLLRTAANLTPAELRLIVWGLATDYLIAVEVPCFRRTTSIRLGVWNLRLALPEQPFRVLERLSTDGCKFDFASLISRCPRLRVLEACHNGGLGNVKVHSPTIEDLHVEGVGFGGIDIAAPLLKKFTLSTHTARDLRVSFLEPMVESVDLYVQFFPFLVAIGMRWTVRRLCLQLMERVYQLSLQIEFLDFNLTIPSGDLKQKISQLPNFSILKLSVDTFEHVSGPVLLNVLEACSAVKRLILFLRKLEDRDPCPPNCPCDQTYNWRNQSLSLAALEEVQIEGFVPFDHEVDFLELLIRSAPMLKLITVKLSDKVLPCSSGCKQIYNILKACPSLKWSFSGKRTHTLRPAHRSHLNLFDAD >Et_3B_028527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16476216:16479194:-1 gene:Et_3B_028527 transcript:Et_3B_028527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQALPLASPSWPEQPHPAAVFCAGFSCAIAALGAQGSTVVAGGCDLGATRRGCRWSRARELALPEKASELERKVEELRRLRAEDARANEKVAGIFASHEQRWLAERKALRRQVHAVVAAARAREAKREAEADALRREADERRRRAAEEKDEAVAREAARREAAEERLREAERDAEELRARAREVGAEHAAELRRHKAAFVELASAQRQLEADLARAARLADTAEAELRAALERRDEAAAAAAELSAEAARLRREADHKDKILSAMLRKSKIDMEDREMLVREVKMCKARRKQAELETQRWRKMWESSRAGRRGSSRSSARAADHPGCSDKLDIEAVVRASDTKILFVDHVDGDGKKAPPAKEVTTVECVDRYPSHVEDKPAVEEYQGLQEWFQLETEKYAAMIKHRHSAEIEAFTEQLRLKDEKLEAFRWRAVTMDVEATRLRCRVQELEARLARLAQQEQRGAGLEALLLDRENEKTALKEQLEKLRAQVLGVAICAGEDMDGCTDNRCIPCSPVKETGGADTEEAGRLSSGARHQDGTEVMKSQHPEEAKRDEPVSSPGDHHVDKAFPAEATAACGVLVPVPEPYNVHAAEAATTSMEPEPYDVPARHSFRSEIEEEKEVYTDPGDAHLQQSCSTSSSQEEATSSHLALVVVAPPRPAQKAASACKTDIHALAVSYKIRRLKQQLAVLEKLAEEDAAAAARTAASGSEASGGSSSSNRQQPRSRYQTMMSFLSKHVKRYQSLDDKIDDLCARMEESKRSGGPRERRRGAGEQSAALGHFLEETFQLQRLMVATGQKLLETLSRIAPGLARRGDDGVDMKRLMDVAGALLRDVQRGLEVRIARIIGDLEGTLTFHGILHTAR >Et_10A_001954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1425047:1429442:-1 gene:Et_10A_001954 transcript:Et_10A_001954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGFSGGGGIIPERFPSSMIGVGGSGIIPASRFMRRRAAAEDLGAADVDAFWSAAPPRLYDFSQQQQLQAPAPRPPAPPPAAPLSTTPETEPRDPCLLTLRPQPSPPPASPPPAARRSPSPPPSPEPEPCDDPCLLMLQLPPSPSPPPPPPSPPAARRSPSPSPPPPYVEPPRSPSLLASLRGCLGWGGRRSAKYGRHRARNGGASAALLTLLEAVERPAAAAVEGRGATMKMKRLEEVVVKEEESGRGNNRKRAAAKAEEAARSRRVTRSLALRRRRDARRRARAKKVVPLEAVVEEEEEAVEDDKRRVVGKAEQRRSRKRERSGARGRDRRRDSAKRAKKVLPPVKKEEEDEEGSSGGDKKRKRPYKEIVAAASIRVKREEVGVEVEEVRVYHRRHRNLATLAKNIKRDDADDDEEEKEESKPFAAAAAATALAPTPASPRGKVDRWSAQRYAAGQAALLGILRARGATAAKPARRAELRAEARAHIGDTGLLDHLLLHAADKVPAGSGERIRRRYNVDGALEYFLEPAGLAAVRKKAGVEDPYWVPPPGWKLGDPVSPDAVALAAKKKVEELAAELAVVKRQVKKLNSNLVQVGNEAYILSKGYDCMMKANENLGKELLSLEDKYDNATQANGALKEELLLLKEDMPEIEGGGEQQMLMLESADPCVNEPSKPDIDKQEASSGNVLATGDVAIGASKHTAVDSSISEKRTSRKCRMRICKAQGTFQWPNTSAGEACSPTALPEPLTPGGDLVLTNFDAVIYNLAPSSLEEYLAADGLPTPTSASSTTAFSTRLPRTPGSPLLPPSMTVDRDDTQAVRPCSGGLDSQLPQKNSDEHECDDADPPITSAWPWQDSSSSSSAPCMVEAGKKALVLDGGNVGTELALATPSY >Et_1B_011194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18678640:18680703:1 gene:Et_1B_011194 transcript:Et_1B_011194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEATVEQEQPLLVPHGHDEDAPSPVSTEVKRLLRLAVPLVASFILRNAVQMVSVMFVGHLGELPLAGASLATSLANVTGFSFLAGMAGALDTLCGQAFGAGRHGLLGVYKQRAMLVLALACVPIAAVWACAERVLLVAGQDPDVAAEAGAYARWLIPSLAAFVPLTCHTRFLQAQSVVVPVMASSGVTAATHVVVCYALVYTAGMGSKGAALSTAVSYATNLVILALYVRMSTTCERTWTGFSTEAFSGLREFAKLAVPSAMMVCLEWWSFELLVLLSGILPNPKLETSVLSICINTATLLYMVPLGLGISISTRVSNELGAGQPQAVRLAVRVVLCMTLLEGIVLAITMFLLRNIWGYAYSSEVEVVQYIARMLPILAVSFFVDGLNGCLSGILTGCGKQRTGAHVNLSAFYLVGIPAGVLLAFIFHLNGMGLWLGILCGSTIKLLLLLWITLRTNWESYQGQGKGLGDTRVNKMKGYREKGETREHRADLL >Et_2A_014897.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20796364:20796486:-1 gene:Et_2A_014897 transcript:Et_2A_014897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSEAKGRIRRRNRVWQIAFGSGFKCNSAVWRALRSVNC >Et_2B_020537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21097141:21114647:-1 gene:Et_2B_020537 transcript:Et_2B_020537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRRRGDAALIYGANAKAAAAHPRYAPAPAVVGSPAQFRGQARLPRFAAPRRYDLRLRPDLVACTFTGTVATAVANLAPRKVLFYADDEILVLWFAKELPLGEGVLSMKFNGTLNDQMRGFYRSTYQYKGKMQNMAVTQFEAADARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPVADQTVAGPIKTVRYKESPLMSTYLVAVVVGFFDYIEGATAEGTKVRVYTQVGKSDQGKFALDVGLKSLALYKHYFGTPYPLPKLDMVAIPDFTTGAMENYGLVTFRESSLIFDGHSSSVSGKQNVAISVSHELAHQWFGNLVTMEWWTHLWLNEGFATWMSYLAVNSFFPQWNIWTQLLGDTAAALKLDSLAESHPIEVEIHHASEADEIFDAISYDKGASVIRMLQNYLGPERFQKAMAAYIRKYAYSNAKTEDLWAVLEEKSGEPIKNMMTTWTKQQGYPVINAKLKGNYLELEQAQFLLNGSSGSGMWTVPLTLGCSSYDTQKSFLLQGKSAKLDIKDIAPHCVNTEKGRNFWIKLNINQTGFYRIKYDDELSAALQSALQTSKLSLMDKIGIVDDSLALSMACEETLTSLLRLLYVYHEESDYSVLSHIKTVCKSVIFIDYILLNFILRISISVAKISIDATPGLVGDIKQLLIKLLLSRAEKLGWDPKDGESHLDALLRLDLLEALVQLGHVKTIDEGVRRFRIFVHDRNTSLLPPDTRKAAYLAVMQNVTSSNRSGYDDLLTVYRQSAVAEEKEHVLGTLCSCKDANIVLDSLNFALSNEVRNQDATYVLRGISVEARETAWNWFKGNWDRISKIHGNIMITEFITYIFRLWRRRRKEAGGGAASRPGANVKAAAAPPRRAPVPAPAGSPDQFRGQARLPRFVAPRRYDLRLRPDLVACTFNGTVAIAVAVSAPSRFLVLNAADLSVDRGSIRFRDLAPKNVVIFADDEILVLEFAKELPLGEGVLNMKFNGTLNDQMRGFYRSKYQYKGKMKNMAVTQFESVDARRCFPCWDEPAFKAKFKITLEVPSELIALSNLPVANESVAGPIKSVVYEESPLMSTYLVAVVVGLFDYVEGVTPGGTKIRVYTQVGKSNQGKFALDVGLKSLALYKDYFGTPYPLPKLDMVAIPDFAAGAMENYGLVTFREVALVFDEQSSSESSKQNVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSHLAIDSFFPQWNIWTQFLGDTTAGLKLDSLAESHPIEVEIRHAREIDEIFDAISYDKGASVIRMLQNYLGAERFQKALAAYIRKYAYSNAKTEDLWAVLEEKSGEPVKNLMTTWTKQQGYPVINANLKGDYLELEQSQFLLDGSSGPGMWIVPLTSGCSSYDTQKKSLLKSKSDKLAIKDIASQCGTRDKGGNFWIKLNINQTGFYRVKYDDELAAALQNALQAKKLSLMDKIGIVEDAHALSMACKQTLTSLLRLLYAYREETDYSVLSHINTVSLSVARISFDATPGLAGDIKQVMIKLLLQPAQKLGWDPKDGESHLDAMLRPVLLDSLVRLGHEKTINEGVRRFRIFLNDRSTSLLPPNIRKAAYLAVMQNVTSLNRSGYDDLLKVYRKSAESEEKARVLGALCSCKDKNIVLQSLNFLFSNEVRYQDAYYLLQGVTIETREIAWVWLKNNWDLISKMAGDTQVGGLIRYVVTLFTSNEKAAEFSSFFATRKRPAFERTLKQSLETVRINTRWIQGIRSEPRLAQTVRELLQRP >Et_3A_025655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31644749:31648545:1 gene:Et_3A_025655 transcript:Et_3A_025655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSRVISGPQVCGGGGRQEGDLGKVGKAPALMAAGRTIAVDRRLFHGSSNAVKPSRLSDEEMLPAGSGRAEALPGANRNWIPGKASHRCARAITLLMYSYHIAASRKSEFRTQKLLLRTAEKTKRGELLHFFASAMEKKYACPPPSKGNLITVLSIDGGGVKGIIPATFVAFLESKLQELDGSDAHIADYFDVIAGTSTGGLIAAMLATPIFKNIKEPFYDAEDIMPFYLRHCPRIFPHRTGLFSWFFKILDIIKMTTGPKYDGTYLHKMINNFLGNTRLTETLTNVVIPTFDVKRLKPTIFSTFKARSNTLMDARLADVCIGTSAAPTLLPAHYFKTVDHNTGASRSFNIIDGGIVANNPTLVALSEITKQIGLKNKEFPETKPLDYHRYLVISLGTGLPEQDIKFDACHVANWGIFGWLGGEKTVPLLNMFLHASSDMTDAYAANLFKAIGCSDQLLRVQDHGIPIEAMSPDLSTEENLKRLVEIGEKLLHKPLSKKDRVSNYIEPEPKDGGTITYADLLTRFAKILSDERKLRLRILELDAGHSSTHEA >Et_9B_065530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6202706:6212621:-1 gene:Et_9B_065530 transcript:Et_9B_065530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAFCSATVLLLAVAVVFTSGASSEPLTAVQVTDGAGDGEKSILLPLNQDTSKDEERMKVGEQGDETSEVGSLASTEDEKKGSDWSKAKKLVNGGCAFCMLLYTAPILTFKRVTKEASVGEFSCVPYVLTLFSCLTYTWYGFPVVSCGWENVTVFVISAIGVLFETSFISIYLWFAPREKKCIFDSHNLWRDHVHLNLYNPHPPHAQVISWKYWCARCHVNVQLSACSCETCSQDIKCGVHAFVLVIVFLLNKFNLSGIWNPRIPNAVGCLTGILQLVVYCIYRRCKEAPKILVDTEHANEIEVATGRDDRNGHKMSLKQYAFSPPGVLLLYAFSSYALVRKSSEKGQEAGMYHGHTHKRIVCWTTVLLLLVAVAVSLSGASETLKAAVQSTDTAGTEETSNLLPVHRNGDDKEETTVKPGEAGGCQRHAAGNVASLASTEEGKKVGSDLGKAKKMDDDDDDDKDSDHDSDSDGDDDRDDDNDNSKHGRKKKHAAAGRQGAPGSKHDDELSKVVIK >Et_7A_052827.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:18538246:18538728:-1 gene:Et_7A_052827 transcript:Et_7A_052827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVITLACFLALATSSAMADQCRFEILVKTGSRRNAGTDARVSLQVSSANGPTLVIPNLESWGEMSAGHDYFEKGDLDRFGGTGPCMPSEPCDMTIKSDGSGYKPGWYVDYVQVTQLGIGSISSMTHKWDVDQWLATDEAPRLLSARRNGCGFAATAEP >Et_10A_000940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19351998:19353634:1 gene:Et_10A_000940 transcript:Et_10A_000940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNGVNKHVAAAPMEVSLEAGNAGDGEWRDDDGRPRRTGTVWTASSHIITAVIGSGVLSLAWAIAQLGWVAGPAAMLLFAFVTYYTATLLAECYRTGDPETGKRNYTYMDAVRSNLGGAKVTFCGVIQYANLVGVAIGYTIASSISMRAIRRADCFHTKGHGNPCKSSSNPYMILFGLVQILFSQIPDFDQIWWLSIVAAVMSFTYSSIGLSLGIAQTISNGGFQGSLTGISIGAGVSSTQKIWRTLQAFGDIAFAYSFSNILIEIQDTIKAPPPSEAKVMTKATRLSVATTTIFYMLCGCMGYAAFGDASPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFVQPIFAFVERRANAAWPNSAFIAKELRVGPFALSVFRLTWRTAFVCLTTVVAMLLPFFGNVVGLLGAVSFWPLTVYFPVEMYIKQRRVPRGSAKWICLKTLSFSCLVVSLAAAAGSIADVIDALKVYRPFSG >Et_3A_026037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3768561:3769398:1 gene:Et_3A_026037 transcript:Et_3A_026037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAEEAGRGGGDKYRSFLHGESERDTVWRLGAPPNYDVVNKLFEAERTHAWPEGSLEEKVQRLLKSWEMELVHKPRPEDQKTVNSAKYSASTNGMPPLTRAEVMAIGGYNAFLRTKLPPEHRIYDPDKETLESGMATFLTAFPRGFAIEVLDVYSGPPKIAFKFRHWGYMEGPFKEHPPHGQRVEFFGVCIFHVDEEDKVEKAEYFYERGNFLASFLSPPAAAAGAASGSSGCPVMRGN >Et_6A_046245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1124002:1127286:-1 gene:Et_6A_046245 transcript:Et_6A_046245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRAEEERKVPLLEATPAAEGDGGIVGKVEEDEADDSSLSLGRRAWEENKKLWVVAGPSIFTRFSSFGVTVISQAFIGHIGATELAAYALVSTVLMRFSNGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIILFACAVVLLPVYVFTEPLLIALGQDPKISSVAGTISLWYIPVMFSYVWSFTLQMYLQAQSKNMIITYLAMLNLGLHLVLSWLMTVKFNLGLAGVMGSMVIAMWIPVFGQLAFVFFGGCPLTWTGFSSAALTDLGAIVKLSVSSGVMLCLELWYNTILVLLTGYMKNAEVALDALSICLNINGWEMMISIGFLAATGVRVANELGAGSARRAKFAIVNVVLISFSIGFVLFVLFLFFRGSLAYIFTESREVANAVADLSPLLAFSILLNSVQPVLSGVAVGAGWQSVVAYVNITSYYLIGIPLGAVLGYVAGFHVKGIWIGMLLGTLVQTIVLLFITLKTDWEKQVETAQERLKRWYTEENRRLQASKENA >Et_3A_025075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26719875:26728360:1 gene:Et_3A_025075 transcript:Et_3A_025075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHMSCVPVLPNLLRRPPPPPPPPHNAAPPSRSRFSFGAPQQRGVDEAAAAATRHRHSRAARAAPNEAVGEDERMGRRGRKGKDAAGGSGGGGISEETLVRVNGVLQEFRSSDLDVYTFEPGLSRQERAAIHQMCTKMGMKSKSSGKKDSRRLSVYKCKKKQKPDTEEGPSQLGFSEEARHVLQDLFTHYPPDDAELNGDAVKNSSAKAANIRWKDSAFCRPAMRRPDIEKKVEMLTSKMNESPQLKKVIVEDRSKLPISSFKDVICSTLENHQVVLISGETGCGKTTQVPQYILDHMWGKGESCKIICTQPRRISAISVAERISAERGEPVGDTVGYKIRLESKGGKNSSVMFCTNGVLLRVLIGRGTNTSSNTQNKRSSDDAILGITHIIVDEIHERDRFSDFMLTILRDLLPLHRHLRIVLMSATIDAERFSKYFNGCPVIQVPGFTYPVKTFYLEDVLSILHSAGDNHLDSASSDMKQNSALTDDLKSSLDDSINLALVNDEFDPLLELISAEQNLNIYNYQHSETGVTPLMVFAAKGQLGDVCMLLSFGVDCSAQDQDGKSALDWAQQENQKEVCEVIRKHMECSSAQSTEDNELLNKYLATINPEHIDTVLIERLIGKICVDSSEGAILVFLPGWEDINQTRERLLASSFFRDSSKFFVMSLHSMIPSLEQKKVFKRPPTGVRKIILSTNIAETAVTIDDVVFVIDTGRMKEKSYDPYNNVSTLHASWVSKASARQREGRAGRCQPGTCYHLYSRFRAASLPDYQIPEIKRMPIEELCLQIKLLDPNCGIADFLNKTLDPPVPETVKNAITVLQDLGALTQDEELTELGEKLGSLPVHPSTTKMLLFAIIMNCLDPALTLACAADFRDPFLLPVAPDERKRADTAKVKLASLYGGFSDQLAVVGAFDCWRRAKDRGQESQFCTKYFVSSNIMNMLSNMRKQLQSELSQRGFVPADTSACSLNSKDPGIMRAILMAGAYPMVGRLLPPRKNARKVVVETADGAKVRLHPHSCNFNLSFSKPSGNPLVIYDEITRGDNGMYIKNCSVVGSYPLLLLATEMVVSPPDDSDEEDEDSSEDEAVKNTSVQNKEEIMSSPDNTVSVVVDRWLRFDATALDVAQIYCLRERLASATLFKVKHPQDVLPPALGASMYAIACILSYDGLPAMVPSNDLSSDQASNRNSAEASRFSQGRRVGYIPPDGFLMSRLITLGVLRGTLDQTGLLLVDMISHSVLFAITDLGVQHHGLSKDSAVLLG >Et_4B_036687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10504680:10505450:-1 gene:Et_4B_036687 transcript:Et_4B_036687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMYTIGCYLCPTYQPNVKSDYLGLVENVEQIKDLHWCSLTLNGLNKQLVDYRVNRRANLAGNVALLQIWFWEKLSLSHIYPSLRHNGSEKPLMQYWDQGRATRRSYNLQLEELEEVIQKHITPLSSAMKEGFKELHNLIIDALQQNTVS >Et_2B_020412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:285538:288051:1 gene:Et_2B_020412 transcript:Et_2B_020412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHHPHLLDFSAPPTMEAPPLAFNNDDDGLLNVHVVNGTDMPAGEGVLAADDGTAAWPHQAASLSLFNYSTAGASLDGHHHQPFPAVSVAPNNHQLQSLQPLQLISSSKYLGPVKELLGEFCSLEGDDLLHTLTNKRAPNNKAAGKWDDVEPLSSGLWGSPSMDLLELERRKVRLLSMVEEVDRRYRRYREQMRSVEASFEAVAGGGAAQVYTRLALRAMSRHFRCLRDALVAQLREVRKAMAGGDRDGGAAPAGASKGDTPRLKVLDQCLRQQQQQPLLADNYPWRPQRGLPERAVAVLRAWLFEHFLHPYPNDVDKHILSRQTGLSRSQVSNWFINARVRLWKPMIEEMYTEEVKQQSEVANNNPSGTVVKAERSTMATSIGGESYYQFGAAVGNPNSNSMVSSSIATDGEDQLFHNGYPNLHGNHLSAVSLTLGLQQQSLASLMMQQQQRPAHIFQAGDREQQTVLPYRNLMASQSLHDLAG >Et_9B_063736.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:9203215:9203859:1 gene:Et_9B_063736 transcript:Et_9B_063736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSEIIVDYSPVFRIYKDLRVDRMMSNDTVPAGYDSSTGVISKDVSIDDGDDAGVYVRLYLPDMAARSSDGNKKLPVLVCYHGGGFVTGSAASPMYHAFVNSLTAKAGAVVVSVNYRLATEHPFPIGYEDSFRALQWVLLSASSSGGGDPWLSRHGDLGRVFLAGGNVAHNVAMMAAERLAPDALARIEGVVLIHSAFWGKDQLRSRWHVKK >Et_1B_013242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6674273:6674645:-1 gene:Et_1B_013242 transcript:Et_1B_013242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCFRAAARMASAASRSAAARSAPAAARAAARRAPCVSRMPVELGCCAGLSLLPLHSAVAAARLMSRLSTTSRTCSALSQGILCRTYPGL >Et_2B_022571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26143633:26147442:1 gene:Et_2B_022571 transcript:Et_2B_022571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAGAPRVRSLNIAAPEGEAEARPVLVPGGNKARSGPANVRKPSLKPQRKAETGTPEKAVAAGAKKDEGPKKNAAGEGGGRSGGAAKGASPLPSPRRTPPGPPPRKSEGPKKNAAGEGGGCSGGAAKSASPLPSPRRTPPGPPPRKSDVSPQPSSLSLDVSCSSDASTESTRVLASAGRLERSRSYRPTAPKHGKAVKVVESMAAGAEVVAPATPVTAELEGKRRCAWVTPTTDSCYVSFHDEEWGVPVHDDREIFMDFDPAAVSKINEKKLVAPGSIAISLLSEQKLRAIIENARQILKIVDEFGSFDRYCWGFLNCKPIVNQFRYPRQVPVKSPKADTISKDMVRRGFRGVGPTVIYSFMQAAGLINDHLVSCFRFKECNANPTLRTSDTERVDKKADLKKDEMTTRICCEEIVPGRVAAGCQVHISCIVRVVDCMDHLHQIGQQAGVDLQSCQSKETI >Et_9A_061553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13619292:13630274:-1 gene:Et_9A_061553 transcript:Et_9A_061553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLERLPAERNGHHRYARMPPPDPDRGPEAEDEAAPERRPEVLAATASASFRVPDAARVFDELPRASIIAVSRPDAGDITPMLLSYTIEVHYKQFRWRLHKKASQVLYLHFALKRREFLEEFHEKQEQVKEWLQNLGIGEHMPVVHDEDEADDVNVPPQSDENSIRNRNVPSSAVLPVIRPALGRQQSISDRAKVAMQEYLNHFLGNLNIVNSREVCKFLEVSCLSFLPEYGPKLKEDYVSVGHLPKIRKDHKKNCCSCGLFSCCQSNWQKVWVVLKPGFLALFEDPFDPKLLDVIIFDALPHMDISGEGQISLAKEVKERNPLHFGFQVSSGGRTIKLRTRSSSKVKDWVTAINAARQPPEGWCYPHRFGSFAPPRGLMEDGSMVQWFIDGQAAFEAIASSIEEAKSEIFITGWWLCPELYLRRPFQQHGLSRLDALLEARAKEGVQIYILLYKEVALALKINSLYSKQRLLNIHENVKVLRYPDHFSSGVYLWSHHEKIVIVDNQVCYLGGLDLCFGRYDSPEHKVTDSPPVIWPGKDYYNPRESEPNSWEDTMKDELDRAKYPRMPWHDVQCALYGPPCRDVARHFVQRWNYAKRNKALNEQGIPLLMPHHHMVIPHYKGRSKQTNDEAEGKQDHEDVDGRKPVSTSRASCQDVPLLLTQELEPQELSSRDLRLTDLDINHSDHINKKSFNQPLLNRKAKLDSSRQDLPMRSFVDNFSSLSDSLKYDGHYMEKKWWETQERGDQVASILDIGQVGPRATCRCQVVRSVGQWSSGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEREKKRFKAIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILQNLIDVIGPKAHDYISFYGLRAHGKLYDGGPFVTNQIYVHSKLMIVDDRITLIGSANINDRSLLGSRDSEIAVVIEDKEVVNSKMDGRPWEAGKFSLSLRLSLWAEHLGLHPREISHIMDPIDDSTFKNIWMATAKTNTTIYQDVFSCVPNDLIHSRVQFRQSFAQLRDKIGHTTIDLGVAQEKLETYKDGDLKGTDPMDRLRLVRGHLVSFPLDFMRQEDLRPYFSESEYYTSPQVFH >Et_6B_048955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16018383:16022541:1 gene:Et_6B_048955 transcript:Et_6B_048955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQLASSPLIPPPSEIDLEAGAGTGDQLQCRICLETDGRDFIAPCKCKGTSKYVHRDCLDHWRAVKEGFAFSHCTTCKAPYYLRVHVHTDRKWRTLKFRFFVTRDILFIFALVQFVISALAYLVHFIDGYQQYWLRTAWGFDNEVSFYYICGCFITCYDRRVRNDLAQPCRELCLCCCQPGMCADCHLPGTLCMWTDCTTCFEGCATTAGECGGCLGGAGEAGLPLLFIMGVIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGERTDWCPPPLPAEHINQLKSLGLL >Et_3B_029615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26571119:26573717:1 gene:Et_3B_029615 transcript:Et_3B_029615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVKSESDCEMVPQDQMDSPAADDGGSPNRGPSLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKNTGLSRCGKSCRLRWANHLRPNLKKGAFTPEEERLIIQLHAKMGNKWARMAAHLPGRTDNEIKNYWNTRVKRCQRAGLPIYPASVCNQSSNEDEQVSDDFNCGDNLGSGLLNGNGLFLPDFTSDNFIGNPDALTYAPQLSAVSISNLLGQSFASRSCSFLDHVDQTGILKHSGSVLPSLSDTVDGVLSSVDHFSNDSEKLKQALGFDYLSEATACSKTIAPFGVALSGSHAFLNGTFSASRPTNGPLKMELPSLQDTESDPISWLKYTVAPAMQPTELVDPYLQSPTATPSVKSECASPRNSGLLEELLHEAQVLRSGKNQQLSVRSSSSSADTPCENATAVSAEFDICQEYWEEHPSSFPIEYTPFSGNSFTESTPPVSAASPDIFQFSKISPAQSPSMGSGDPVTEPKYESVGSPHPDNFRPDALFSGNTTDVSIFNDAIAMLLGNGVSADSKPVLGDGTAFNYSTWSNMPHVCEMSEFK >Et_5B_043736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1537012:1538902:1 gene:Et_5B_043736 transcript:Et_5B_043736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGADAPPPCDFCTGLPAVVYCRADSARLCLPCDRHVHGANTVATRHARAPLCAACRAAAAAVRRGGSAGPGGFLCGNCDFEERDRDAAQPAGEPPLHDRAAVEGYSGCPAIGDLAAILGVGGCEKAAAGDGWWPAWEEPQVLRLEDVIVPTTSCHGLQPLVTPSSPKVQSAGGKLDEEVIRQLGELTKSEAAASAAAYAEVEPGDGEQLPSWASSEYAIGHAGFEAFNPEACQEAASMTVPSSCEYRSTGTAVSNRNNNLISSVLTLVLSQHEAWMAATDGNDDVPITGACRALEPHSPAPALAPAISSAEEPSLSSFVEISQICPSMSHGSSSVDIDDNSVVKGDPAATSQAAPTPTPTKKGGYDVAYPDRGTVISRYKEKRKNRRFDKQIRYESRKARADGRLRIKGRFAKANEI >Et_5A_041225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19693297:19698982:1 gene:Et_5A_041225 transcript:Et_5A_041225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTLMDDLVEDILLRFPPEDPASLVRAALVCKGWRRLILNSGFGRRFREFHRTPPMLGFLCNLEYWDEDGSSNDAFVSYFVPTSSSFVPRTQGARSDGWRALDSRHGRVLLHSLAWLTAQIMIVWNPITGEQVELPKLPSEPPPYDSASWNASMLCAAGKGSFTRVFSSEAGGAWSEPAYTRPPPYGFLRVLEPGVLIGDALYSSVGVIVLWTDDGFFTINLRSCQVTRVADDGEEGGSIYNVVPYVSF >Et_2A_016162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21621133:21623203:-1 gene:Et_2A_016162 transcript:Et_2A_016162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRQPLLPSFLYAPASGVNSGGVQAPAPAVVAGAPSEPPFGKIEMFSPAYYAACTFGGAAACGFTHAAVTPLDVIKCNIQIDPAKYMSTSSAFGVVMREQGVRGFFRGWAPTFLGYSAQGAFKYGLYEVFKKQYTDMAGPEYAAKYKTLIYLAGSATAEVFADVALCPMEAVKVRVQTQPGYARGLRDGFPKIVRAEGYSGLYRGLVPLWGRQIPYTMMKFATYENIVEMTYKHLIPTPKDQCSKPLQLGVSFGSGYVAGVFCAAVSHPADNLVSFLNNAKGATVGDAVKNLGLWGLFTRGLPLRILMIGTLTGAQWVIYDSFKVMVGLPTTGGAPAPSAIPVEGPAELKAPA >Et_4B_040102.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9806486:9806995:1 gene:Et_4B_040102 transcript:Et_4B_040102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTGKYLGLDLSAVAGVGGDLRPAFDVLDADRDGRISREDLKSFYAAAGERFDDDDLAAMIAAADADRDGFVAYDEFERLLGRATTAAGGAAGCGSAMEDAFRLMDRDGDGKVGFEDLKAYLGWAGMPAADEEVRAMIRVAGGGDGDGGVGIEALARVLAVDLEGIPL >Et_9A_061903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17678289:17679773:1 gene:Et_9A_061903 transcript:Et_9A_061903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVAGPASRRPMSLMGAPVLSAALAVVIIADRTIAGLQSGCTARSSAATPDACGHAMDVPEMMLNLLYRDCEPTVATLTFSAQDARMSEPGARMSGLSTEGQLPDGPRDEYVATIGAGFVPRIVVLNLIAARGERLLRMYWSSRGPSCGFMMTPGNSNVSESSRNESLERNRPARTLASCTDEMAELSESASPVASQRTTLSRLLARRVELSHAPRKTSPEAASVVGLPGYSDSPMTVVPPSPSVTTPENWRSTVPAATVSVHGMPLWRNPRSGPSLPADVDTNTPRRTAANAPMATGSLCSGTPSRPRESESTSTPSAMAWSMAATMSDGYAPSSHSALYMATRARGATPRAAPGPYPKKDAPATGAPAAVDEVCVPCPSKSRGELSAMVTLVLATSPLLNLRAPTSFRLQALPPSKDVPDSQKPFHRAGTGGSPSSSRKLALSGHIPVSTTPTITSFPPPAALRPRNSGVCVVSRRTVLASSR >Et_1B_013149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5945110:5946649:-1 gene:Et_1B_013149 transcript:Et_1B_013149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGRHQILMYSFRFSHSRADAAMPNTTCCSVVAAGGGGDNQPNFADRSDNSRGESDGDSDGGGPGRRDRHGRLPFSSHALMV >Et_6A_045835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18442865:18443941:1 gene:Et_6A_045835 transcript:Et_6A_045835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PGAAALRGVGRVPLAAAGRAPREVRDERAAPPVVAAAVGARNSAAGFPHRRVPRRRVPQVLPLRVPAPETERRRPRTPLDGLVPRRDAGEPARHVHVLPVPLQVVLRLDAHRVLEPAALPPAQQQVRERRLVAGDVGVLLRELAPQPLPPRGVLRVRGAHPGPLVQEVERPLAVAEEEHAGVDAQPRPPPEEPVGDAVDDEVAAGVPGGRDEREGEVHVGEHGVGVHPPQPLRLRVGQHRRAEGGQLGPRPRHGRLQEVAVVEELDPVEPAVVELVLEEAEQQVVPARAGARLRLRPGHHHHPGGGGEGEAAAGAQPRRALRVPARDAPARGNGVREVRVVVERAAAPVVVG >Et_1A_005551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11318493:11320293:-1 gene:Et_1A_005551 transcript:Et_1A_005551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKGKKRFGGSGGGEPVAKRQAAGDDGPSESSDEGIVVAEISKNRRVAVRNWNGKVFVDIREFYVKDGKTLPTRKGISLQLDQWKILRDNIKAIDEAVKENA >Et_7B_054571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2387157:2393039:-1 gene:Et_7B_054571 transcript:Et_7B_054571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESAVADGKAGANGGGGGGGGDAAGDGKKRVDQAVAFHELFSFADKWDLMLMAAGSLGALAHGAAMPLFFLLFGDLINGFGKNQTDLRTMTDEVAKYALYFVYLGLVVCVSSYAEIACWMYTGERQVIAFRKAYLDAVLRQDVGFFDTDARTGDIVFGVSTDTLLVQDAIGEKVGNFIHYLATFFAGLVVGFVSAWRLALLSVAVIPAIAFAGGLYAYTLTGLTSRSRESYANAGVVAEQAIAQVRTVYSFVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQAFSNLGAFSKGKIAGYKLLEVIRQKPSIVNDCKDGKWLAEVHGNIEFKDVTFSYPSRPDVMIFRDFSLFFPAGKTVAVVGGSGSGKSTVVALIERFYDPNEGQVLLDNVDIKTLQLRWLRDQIGLVNQEPALFATSIRENILYGKPDATIAEVEAAATASNAHSFISLLPNGYNTMVGERGTQLSGGQKQRIAIARAMLKNPKILLLDEATSALDADSENIVQEALDRLMVGRTTVVVAHRLSTIRNVNMIAVIQQGQVVETGTHDELLAKGNSGAYASLIRFQETARNRDFGGASTRRSRSMHLTSSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISNADNDRKYPAPRGYFFKLLKLNAPEWPYAVLGAIGSVLSGFIGPTFAIVMGEMLDVFYYRDPNEMMKKTKLYVFIYIGTGIYAVVAYLVQHYFFSIMGENLTTRVRRMMLSAILRNEVGWFDEEENNSSLVAVRLAVDAADVKSAIAERISVILQNMTSLMTSFVVGFIIEWRVAILILATFPLLVLANFAQQLSMKGFAGDTAKAHAKSSMVAGEGVSNIRTVAAFNAQSKVLSLFSYELRIPEQQILRRSQISGLLFGLSQLCLYSSEALILWYGSHLVRSHGSTFSKVIKVFVVLVVTANSVAETVSLAPEIIRGGESIRSVFGILNRATRIEPDDPESERVTTIRGDIELRHVDFSYPARPDIQIFKDFNLKIQAGRSQALVGGSGSGKSTVIALIQRFYDPTGGKVAIDGKDIRTLNLKSLRLKIGLVQQEPVLFATSILENIAYGKDGATEEEVIEAAKTANVHTFVSQLPDGYKTTVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESECVLQEALERLMKSRTTVLVAHRLSTIRGVDRIAVVQDGRIVEHGSHSELVSRPEGAYSRLLQLQHHRV >Et_1A_007402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34153252:34161125:-1 gene:Et_1A_007402 transcript:Et_1A_007402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCETWRGGRSESSRWRADHGTWPAHRCRCGLRRCGHYWTRYVARTMVQEAQYFTRSNPRLQKVLLRVFDYEPGPASYSDQRLTDATVHGGGRGVGRRPAMAAEATATGLVRVEKVRGRSALTRCFARYPLKLIAPSKVGPASCDAVWLYALTYGGGIVSGDTISCTVSVGDGCTAAITTQASTKVYKAVGSKCSEQFLEARVGEDALLVLIPDPVTCFSTARYYQKQVFQVFANSNLVIVDWFTSGRYESGEKWDFNLYKSVNHIFFGDQPLFIDSVLLEQGSNCSIAERMQEYNVIAMVAKTKAHTRSNARRSKKDDVCSTSPTNIRWKPLCYEITTSTASKASTNRLLQSVWPNGYWHGCSGKRSGHRDCVQLLETSSGSIGTVPWVVYK >Et_4A_035472.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25942586:25943491:-1 gene:Et_4A_035472 transcript:Et_4A_035472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLASTLEKSAGYEEGRSLFGAPYDFRYGLAGPGHPSRVGTAYLQRLRLLVESACAANGGRPAILVAHSLGGLFALQLLARSPPGWRAAHVARLVTLSAPWGGSVQEMLTFASGNTLGVPLVDASLVRDEQRSAESNLWLLPAPRVFGNVTLVVSRRDNRTYSAKNVTQFLRDIGFEEGVEPYRARIRPLVETLPEPGVPVTCLVGTGVDTVESLVFGDAGFEDGPETVVYGDGDGTVNLASLVGPIKAWADSPAQVVEVVELPKVSHSGILNDKTALEQIIRVVDSINLNATTTSHQPS >Et_8B_059680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20681189:20682223:1 gene:Et_8B_059680 transcript:Et_8B_059680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DQDGRRMKTRPPKSPQARAMRSRKSVVAIIVEKGNDGVWLGSGCIIRGGPGGSLVLTCEHVLRNATSIRIRRVLRRGGVKEYPATIIRAHLPTDIAFLSVPNLTKASLALKLVEAPGNVQLNQAAVAIGYASPADGPESVWDGSFLVRLPSISPGCICECDELLDWRVAEEPGKRKLGRMEGIVNEVVFLNCETIGGMSGGPVVDSRGVIGMNIKVSDTGNPVMMVVSSKSIIQLLKVAAGLHINVRGSFLRPYEFSFKISTHVPDSLFPTL >Et_6B_049648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7889579:7894441:1 gene:Et_6B_049648 transcript:Et_6B_049648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETEPAAAAASGGIAGEMEVEAYRRLFPLAFLERHLGESVRPDARRLAEARTPTVALGAVSSAHGSALVRLGDTAMLASIKLEVMSPSAESPDEGSLAVEFHMPPICSPLVRPGRPAEAAPVISKALEDVLISSGMLNLKELCLISGKASWLAYLDIYCLNADGSLFDAALISSVAAFTHLEIPLVSVGDDGRVFTVGGNEGKTKFELVNREKRKLTLGDIPFSLTCALHKDSVLADPTSEEESIIETSVTVVVDSSDRLVSIQKLGGTVASMATIKECISLAKERRQKLREILTDCVESMES >Et_1A_008251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5728484:5731742:1 gene:Et_1A_008251 transcript:Et_1A_008251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACSTKEGGEDGSRAAAATPHGGKSLTSQLKDMVLKFSGSGRQYKATGSPAFRSNRFHRSSRLAAYPGIIDDSGFTSDGAAEGYTTYMRTTTSAAAASRTTAWDAAKANRAGFPHIGEGEEDDDEDEEAVVLEEDRVPREWTAQVEPGVQITFVSIPGGAGNDLKRIRFSREMFNKWEAQRWWGENYDRVVELYNVQTFSRGQGFSTPSSSVDDAATQRDSLYSLAGSTRESPVVMLPPAPAPAVGGRDQQPIARATSCKAMAAASSAARATCHPSSAAVPDPSDYVWAHHFNLLNAAPAAPMPPPPFDASRATTSSRDEASVSVSNASDMEATEWVEQDEPGVCITIREFGDGTRELRRVRFSRERFGEDRAKVWWEQNRDRIHEQYL >Et_4A_035210.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:12321359:12322276:-1 gene:Et_4A_035210 transcript:Et_4A_035210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILLRLRHAGHGSLPRPAAPRRRLRVVAVALRTRPTSLAVPGLPPAPATRPSPAPEPVLLPSPPVAADAAAVLLAAGVPPADLRRAAGMCPELLSVPAETISAALRFLTEEAGVPEEDLPRVLRRRPRLLVSPVAARLRPTLYFLRALGVPDLHRRADLLSFSVEEKLLPRIEFLESLGLPTRAARSMARRFPPLFGYGLDGNMRPKAEYLLGDMGRDADELFMFPEYFSYALATRIAPRHEACAARGVRMPLPAMLRPGDAKFRDTLASCVGSTPPRRRSPLWYAAWVDDDDAGTLVKEAIA >Et_2A_016416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24474887:24479011:-1 gene:Et_2A_016416 transcript:Et_2A_016416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVESSRGTKRRLAAADEDDDGRERKPRFPKGKKAKYRDPTAEGASDASFDIDSVMNPELAAERRARQRHQREKDDSQSTAEVKVFEVRYDDGVNFVDDGIRIEPFNLEQEREEGYFDENGNFVEYAKGNELKDAWLDSVQVDPKFAAKMQNKGKEKEEDFQDLSSNDIGKIKRRIANMLEPGETVLQALKRLKNTSTDKRGKMTEGTKRIFDELTEAAMKLMDSGEYDVYSDDRETFEREAAGYERLARARLGLPEVEEDVVAATAEDNQTTSSILEMDPGPAAANTSTTTTSVNDDDSNFDMFGDDDITDGKGSSDANAVDASGTSGAEGKFLVLAIPFLWKAAVDFPLDAYIDTGFMVSNQYGYYYSSSTGYYYDPSTGYYCSASTGTWYSYDEQTGTYKEIQDEQVGKNDEELVYAAKE >Et_4B_038635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3529190:3535800:-1 gene:Et_4B_038635 transcript:Et_4B_038635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPVSRSSSMPDPAAAADSPGPPAWVLLDTLAYIGRRRNATTATATTSGERAIEVSFELADPPGVSVCYVHCDGTPEGSGVDGDFEVEHEILTSTGPLVLISMDFTFCDGQDNDCFVYRAGPGPPSLHLLPRSYERSINARKHVGILPTGGGRRRALRRGQPRSPDRASRDVLRPPHLLVGDPGDPLVRLIQFPSPPPPLHNVPVDGREVWDATVSDDGVVTFVEVKFHNDGDNGDEEFYDVVADHGWAATIWDRATCSRDWRKRVTVDTADVDPGSFPGSLPAAWGRRGEQAGGARRRH >Et_2B_019315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23072082:23072570:1 gene:Et_2B_019315 transcript:Et_2B_019315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFRIMAYQKSPEDDICYICGAEDSDHEEHFCPYNYIYGRYFDDTCTGGDNDCPRRRHRITSGNRRKFLRRFVGLTNEGCGCTTETRMTFAVVVFKKREDGERGPSMRSMATRRPGGNCEFIRFTLLLCSS >Et_1A_007766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38069634:38073163:1 gene:Et_1A_007766 transcript:Et_1A_007766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIGSLLRALVLRAAAAGAGGRRRGPRILCGRAADVRVIPRHGWRGFRAVAGARGRMMLDSSDSAAAAAAAEVGAGAGSGQLQPQRRAAGAVAQAHDSAYASGGWDREDGKLRCGYSSFRGKRATMEDFYDVKLTEIDGQAVSLFGVFDGHGGSRAAEYLKEHLFENLMKHPEFLTDTKLAISETYQKTDTDFLESEASAFRDDGSTASTAVLVGDHLYVANVGDSRAVISKAGKAVALSDDHKPNRTDERKRIENAGGIVIWAGTWRVGGVLAMSRAFGNRLLKPFVVAEPEIQEAVSLGKSEDMPEPAARKLTEIAYSRGSADNITCIVVQFHHDKTG >Et_2A_017784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6222618:6234438:1 gene:Et_2A_017784 transcript:Et_2A_017784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHFTVDFMSQCSLTYRGPVTARALFKLEMGSYQPLTPNSQKPSSTNRGCFVSKRACPWLVVAFLSLAVLHILCCSPAGTQHAVFSPVLQYINNTYSFVSSVPGDGRSCNYSEGQWVRAPGHARRYNGTLCNVKESHNCIRNGRPDTGYLDWRWQPDGCPLPAFDAKAFLSAMRGKHVALVGDSMARNQAQSLICLLSASYPYRLLHRDPEPNKNNFWRYAFPSHDVTVSYFWAPFLVRANGKPEDDSIRYNYVHLDEPGDRWAAEAETIDVVVLAAGHWLLNGAIYYNGSEVIGAHNAPEFNHTGIGYAWPLRMAYRKSVEWLTSGRPRTVVLATFSPSHFEGRPIDSPTACTKMEPYKKGDKDLEWIYKEVRDIVYDEAKAAKEKLGEDAKARIEVLDVTTLAAMRPDGHPGVYMQRDPFANGVPERINSDCLHFCLPGPVDTFNEVLLQLLKKRRICSSISLKVSTGPGRQKWRQSDPTFPGASCAKGCQCMYTPGCPSGRITASFVTSSTSILTAPSPPFRALAASASSYTMSLTSLSTHPRSFSPSLYGSCLVHAMGLSFEYHSKCAGENVASTTVRARPPEEEARRSSAVRCTIRSGHAYPTPLRAGVVGADHPAAVVVDAPVQQPVTGREHRDVDRVGVGRPLVTRLVEVDVVVAEAVVLVLARGLHEERVPVVGNRHVVRRERVAEEVALAQVGVPVQEAVREIGAEEAHEGLGLVPRHGVADEGHVLPAHRREERPGVERRQGAPARRLPPPVEVARVGPAVADAVVALLHVAQRAVVPPRVARRWHPLPFRVVAAPASYRPATSKTRPYQSHMHKAFSHKGGNPGYFVPKSVCAWLVFGFISLALLHILCCSSSVTQESVLSPFIQYLDDTYSFVSSEPKNCSYSEGKWVYAPGHARRYNGTLCNVKESHNCIRNGRPDTGYLDWRWQPAGCRLPAFNPRAFLSSMRGKHVAFVGDSMARNQVQSLVCLLSSAYPHRVVYRDPDPLKYYFWRWAFPSHNVTVSFYWAPFIARATGKARNDSFGQNMNYVDLETLDERWAADADTMDVVVFSIGHWLLNGAIYYNGTQMIGVHGHPEITNTTSFGYATPMRLAYRKAFERLSSSGRQRNVVLATFSPGHFEGKTLTTMCPRKEPYKEGEKELRPGEEELRALLFEEAEVATRKSGEGGPLSVDVLDITKLAVMRPDGHPGLYMHRDPFANGVKEWMTADCLHFCLPGPVDTFNEILQQMLRKM >Et_9B_064026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10158332:10161977:-1 gene:Et_9B_064026 transcript:Et_9B_064026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVASAFLKSVMGRLFLVLEKEYNKHKGLAQETQSIQQGLRMIAAAMDDQIRALGKHERTAVARLHSEVMRDLAHDIEDSVDRFMHRVRCKQRTGSGASFLVHRVAHELKKVQIRSGFADEVQKLKTRLREAHQRVIHAVPTACGQANGWPSTTACSMKSHVTRNPVGFEKPVEEVQSLLDEVKGEQDQLRVISIVGFGGSGKTTLAKAVYESPETKAKFECRAWVPATGGSPEAREGMRGILRLILQQVLPKDTMEVDSQHESSLKEYLKDKRYLIVIDGIRMDEWSTLCSAFEDNNRSSRIILTTTIRSVANMCSHGNGYVHQMDTLCEEDSKKIAFPGVTSPELDQGSAALLGKCDGLPLALVSVSHYLKSSTEPTGELCQKLCRNLGSYLKEHHGHDTFSELRRMLFDNYDNLSGYALNCLLYLGIFPNNRPIKRKTLMRRWIAEGYARSDSLLCEQDIADENFDKLVDRNIIHPVDTRNNSQVKTCKIHGIMHEFVLHKSLSQRFISTWCTAYPRLGGNANCARHLSIHAGEPTECVASDEDLSRVRSLTAFGDTDGAISYVRKCKMLRVLDLEECHELEDHHLTHICKLWNLKYLSLGGTICELPRCIERLHCIETLDLRRTKINLLPIEAIELPHLAHLFGKFMLDKNDQKNVNKMSKLQKFLSGDKSNLQTLAGFITDNSQGFLQLIGQMKKLRKVKIWCKRATNNYVGALSLAIQELSKVPLERAIDRSLSLDFEGCPENFLSSLDLEPCSEGFKYDLRNLKLQGKFLQLPPFVNFLSGLTDLCISSDTLTRGIISALVRLRNLLYLKLVANQLENFEIKQGTFPSLRRLCFVVQSLTSALPTIEQGALPNLVSLQLICRGLVGLSGINIKHFKYLKEITIHRKVNAETRQDWDHAAMKHPNRPRLLLLEMADPMESKEPESRAMLEKRKRCVAQPNSEDGLDYNFKKMKVSEPSGGGSELNVIIQYSSASTHLGT >Et_9A_061972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18433495:18455785:-1 gene:Et_9A_061972 transcript:Et_9A_061972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHFVLNTGATIPAVGLGTWQADPGVVGDAVYTAVKAGYRHIDCAQAYFNEKEVGFALKKAFDEGIVKREDLFITSKIWCTYHAPEDVPVALERTLTGLQTDYVDLYLIHWPLRMKKGAGFSAENVIPSDIPATWAAMEKLFDAGKARAIGVSNFSSKKLADLLAVARVPPAVDQVECHPVWQQGKLRAFCESNGVHLSAYSPLGSSGTAMVKAGAVLEHPIVVAAAEKLGKTPAQVALRWGVQKGHSVLPKSTNEERIKANLDVYDWSIPDELFAKLGIGTLIVLRLTATRKRIPSVGLGVWQIKPEDVDNVISTAVKAGYRHIDCAAAYRNEKEVGLALKKLFEDGVVKREDLFITSKLWSAYHAPEDVLEAIDTTLRDLQLDYLDLYLMAEYFVLNTGARIPSLGLGTWQIQPGAVYDAIRAAVEAGYRHFDCAAAYRIQKEVGLALKKLFEDGVVKREDLFITSKLWTGNHASDDVLEDISTTLEDLQLDYLDLYLIHGPIRIKKGTAFSPENFLPTDIPATWGAMEKLYDSGKARAIGVSNFSCKKMEDLFAVARVPPAANQVECHPVWQQDKLRKLCQLKGVHLSAYSPFGSPGSPGYSGPSVLSNPIIISVAEKLQKTPAQIALRWGLQMGQSVLPKSTNEERIKENFDIFNWSIPEDLMAELLKIEFAVHPQSGYSSLEDLWDGARIPSVGLGTSQAEPGVVEQAIYAAAKAYSPLGKARSPGFEGLTVLRNPIVISIAEKLDRTPAQVALRWGIQMGQSVLPKSTNEARLKENLNIFDWSIPDDLMAKFSEIQQMAKSFVMNTGTMIPSVGLGTWQISPGAVEEAIRDALKAGYLHIDCSPQYGNQKEVGFALKKLFEEGIVERDNLFITSKLWCTNHDPEDVPEAIDCTLQDLQLEYLDLYLVHGSVRAKKGTRLSVENILKPDIPATWKAMEKLYDSGKARAIGVSNFSCKKLKDLLTVAPVPPAVNQVECHPVWQQDKLRKLCQSKGIHLSAYAPLGSPGSPGNDGPDVLSHPIVVSIANKLQKTPAQVALRWGIQMGQSVLPKSDNQAWTRENIDIFDCCIPEELMAEFTEIRQALFKYEFVTHPTSFYKSVEDFWDGEI >Et_2A_015439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12945395:12948436:1 gene:Et_2A_015439 transcript:Et_2A_015439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKRRYSLNRESWDLPVSLFPLCFQINVAAVEGTEQQEDEEFYESLDRILSSASDDDTDHCRHRRSSHHHHPHPSVSSAYDVWISESKSVEERRRLLFQHLDLTSEPPQRPSPCRSPCSLSPSASPPLSSPLRPRLAAVEPRSSRFRKHRCRQTPALAAGSNAGSGTSADTEFEVGELHEVVLHGLQFPRHSSPDILQYNLRACGSGKEDSPPCDVPGFENCGMKLLRHVSFVDCPVHDILVATMFNGAGIMDGPLLLIAANESCPQPQTSEHLAAVEIIHYHILQNKIDLIQETAAMDQHEAIQKFMQGIIAQGAPVLKYNIDVICEYIMKKIPIRERNFISPKHDCRSLFLCEQTWGVLRVNQRIEVRPGIMMKDESGNIKCTPTYSRIVSLMVGQVLGEVGSLPDVFIKLEVNFFLLRRLLGVRTSGTERASRVSKLPKAEF >Et_3A_024017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16107862:16109812:1 gene:Et_3A_024017 transcript:Et_3A_024017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFAIPALLYNATFRPSTRRALFWVNAAIAVAFSALAVVASVAAVRQIALDANTYKLFADRKHKSLYQLSARVHSLVTISWSQSGI >Et_6A_045909.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:5086339:5086622:-1 gene:Et_6A_045909 transcript:Et_6A_045909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPPSDNQHQLRCQVLIYVVWNIWKERCRRFYNNRAMTVSQVVALIKQDIQNWRIVWDETEL >Et_5B_043253.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20274612:20274959:1 gene:Et_5B_043253 transcript:Et_5B_043253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGHCCGGREAGLGVSVEELEPVEDSEQGRRPVEGAVPAEEGGVGEEAEPGLADEGGAEEVLGLVRREAEEDLGGDVVHQLRLQRHGPAVVSGGGARLSRFGEWIWGRMAANR >Et_9B_065426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4894147:4898976:-1 gene:Et_9B_065426 transcript:Et_9B_065426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSISQIKRLLGRKFSDPELQQDMASFPFRVTEGPDGFPLVHVRFLGEERTFTPTQLLAMVLSNLKGIAEGNLNAAVVDCCIGIPVYFTDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVSIVGYKKGQLKMLSHSYDRSLGGRDFDEALFKHFAAKFKEEYKIDVYQNARACLRLRVACEKLKKVLSANPEAPLNIECLMDEKDVRGFIRREEFEQISAPVLERVKGPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVTEGFPFSIALSWKPDSQNNTPHQTVVFPKGNPIPSVKALTFYRSNTFEVDVLYVDTGDSQIQQKISTYTIGPFQPSKGEKAKLKVKVRLNIHGIVTVDSATMLEEEDVEVPVTSANEVPKDATKMDTDDAPSDPASGTDVNMQDPRSTETAEGAAAAENGAQDTEEKSVPMDTDTKVEPSKKKVKKTNVPVHELVYGALEAAELQKAVEKEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLYDKYSDFVTSEEKEGLVAKLQEVEDWLYEDGEDETKGVYIAKLEELKKIGDPIEVRYKEWTERGSAIDQLVYCINSFREAALSNDQKFDHIDISEKQKVISECSEAENWLREKKQQQDALPKHANPVLLVSDIKKKAETLDRFCKPIMTKPKPAPKPQTPPPPPQAETPAQEPRTPEQPQNGAAAAGEPASEGGAQEPAGEQMETDKPDNSAEAAA >Et_10B_003638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4173270:4183329:1 gene:Et_10B_003638 transcript:Et_10B_003638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRLFYRKPPDRLLEIADRVYVFDCCFSTETMDQYRYKNYLDGIVFQLREQFADSSLMVLNFRDEGKSLVSGIFSLYSITVKDYPCQYLGCPILPLDIIFHFLRLSERWLMLEGQQNILLVHCEKGGWPVLAFMLAGLLLYRKQYKGEQRTLDMVYKQTPKELLQMLTTLNPQPSHLRYLGYICRMDDELGWPTQPIPFTLDCVILRGVPNFDGAGGCRPIVRVYGQDLLKVEKSCNAHSPSSKVKKHIRRYRQADNMPVKLNIGCFVQGDVILECLHVNDAHEDERLMFRVMFNTFFIQSHILLLKFEDIDVHWNADQRFTKNFKAEVLFSEFDAESDASTEVALEDDEDDEMDAGSADEFFEAEEIFSNADSHEGQKDTDMLSLASTDYTPNTEPRKSSPFSNFELDIDIDESQDSQIDSIGLSLETVNDERTYISGESNRMHNNEAAVVKSSLVVAVVGNRDSGISSSSTGRDKKDDCTFEIGSYKQDTRMGSIHNLGQIDNVLVKEVIILETNSPKDIQMIKEVIISEVTTPKQVVEGNTMKNELAEAVNNSESIAFGGVENEQLNIVKQDDGESRDATYDSGKVITREENRNKEKLSMSDTNVLVHEPSGDNNRVELPSSGEPQLQSSITSLDLSSAEKDMEQLHACNSKDPTDKKEGMDTSLTSSRSQPSNIASVKVQSERSTFAASLAPTSMNTNATTNSSRLVLKKKPFVPLSTCSLFAPSSPRRNLLRSASTDLSFLSPLQKESKQTSVASTSGRDALASSSVPPPRQPPISLIPSIKVPSLVHPPLRPIKTVSSLPSSSFEAYMDMSISSWATLHEKHQNHVNPHPPPIPPPRHLHKYMPQEKDLHSSSLTLPASNRYGPHPQRPPPSPPPPPPPRNPFTQSDSKIPTSEREQKRDDDSCSSGPDCRETALDLGDSSLTSPSKSTADTTETPPLPLLPPPSTLPKPITWSTSGLTPLVNSNSYSDCPCTEPIMLPEQESPSPLTSLKGHEAKVALLQSSSAAESSSSEHSEGTVQLMSESIEDTAFVLSPCTPAPAYSPSYDVKNGPSSSTSTEEAPCDLPLDQRDLSIHLEPSEGVDTEIINGVLLSTGDDKDHGGLSMQPPLPPEVPQPREHMKPPSAAPPPPPPLPPPPCHARLVPSPCLSPPSPRDYSENPPPPPSFARECFVPPPVPPPPPLIPYRPTRPRKHVTPPPPPPIPRENEIICPSPPLSPRHTIPSLPLSPRHNIPPPPSPPLTEHRDGHIICLTKGSQSSPLSTTFEGAEQIPTPCVGIVDIPPPPPPPPRGHEGALVSTPKSSQGIPPPPPLIGGLGEIPQSFGFQDRDSSSQKPHGGWGGASPSPPVPPPLPPFSRYRDDQPLPSGGALPPPPPPPLPPPTTNGGAPPPPPPPGGYIGAPPPPPPPVGYIGAPPPPPPPGGFIGAPPPSPPPGVFGGVPPPPPPIGGLGRNPPPPAGFRGGAPSPPPPSGGHGGTPPPPPPRGHGGVGGPPPPPGAPAHPMPPGVPGGPPPPPGGRGLPTPPGGRGHGLARSSGSNLTTAARRSSLKPLHWVKVTRAMQGSLWAELQKQADANSHAEFDVKELESLFTIAPKTKGGPKAEERGKSLGSKTDKIHLVDLRRANNTEIMLTKIKMPLSDMMSAALALDDSVLDADQVENLIKFCPTKEEMELLKNYSGDKETLGKCEQFFLELMKVPRVESKLRIFAFKIQFQSQIRDVRKNLQTVSSACEERTHLQYANQLRSSEKLKVIMKNILYMGNTLNQGTPRGQAVGFRLDSLLKLIETRATNSRMTLMHFLCKSLAEKSPEVLDFHEDLVSLEVASKLQLKALAEEQQAVVKGLEKVEQELTASENDGPVSELFCKTLKEFIDASGADVRSLSALYSEVGRNADSLVIYFGEDPAKFPFEQVASTLLTFVGLFRKAHDENLKQIEAEKKKAQKEAEKEANQDRTPVKSKNGFVDRSPSHFK >Et_10B_004464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8306690:8307678:1 gene:Et_10B_004464 transcript:Et_10B_004464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASRRRRLSELLDQQQEPFSLDLHLLEKGCSPAFLDAAVLDGGGACSTCWPKSRSTGRMLVRSPPASKKDSCTSGLLRHLLCKFLGAKMTAATAKKQQLQLPTIDRRRVHGEEQRTAGDVESSVPHHYPATEASTLKAGEAEVEDDDVSKRRSPVSVLEQSHLEHSPPAHEQKALVIFMELQQAACSPTLLDLLVNAKASSRSNRSKRSGTKTSTATARKKHLEDDTIFQETIAKVIVLISSETARAEKPWPGDAQPDRRDVGADIATAVLDAMVEETVAELMAMGRDRFCG >Et_5A_042399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9545622:9553171:-1 gene:Et_5A_042399 transcript:Et_5A_042399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAGRKAAALAALSSPAPDKSPKGGVDAPIAPLLDVLNAHPDLFTTSSCSGRVSILAQPQESQGPKAKKKARGGGWVYVSHDPADPDAVVELLFGGSRSGGAGDELVFRFEPMIVAVECRDTAAAVALVADAVSAGFRESGITSLQKRAMVAIRCSIRMEVPLGLTGELVVSPEYVRYLVKIANSKMGANKKRMDGFLGLLKTKVLSYFPMGLPGSSGLVNRCNGSSGQCIDHKTPLSPEIKIPFDANDGSDCEIVESSIEAQYLENQDYSNGAKHGFENENDHLFPVALHISGEPTEKLFLWGQSACVFTVGGEQQVLTFGGFGGPGRHARRNHSLLLDHKSGLLTEMNYKDSPSPRMGHTVTAIGNSIYVIGGRGGPAEILDDVWVLQSAEHTWSRLECKGNFFRPRHRHAAAAVASKIYVFGGLSNEGIYSCMNILDTESMQWSVIDAADGWPCARHSHSLVSYGSKLFMFGGHDGQYALKDFYSFDTTTLRWNKETTIGQTPLPRFSHCMFIYKNYLGIIGGYPITENNQEIMLLNLKYRVWFCLFIPSLSQCLCVRSSPVVIEDDLVIVGGGASCYAFGTKFNQPMIIDLHSVESMFKHDDLVNCNTISTVDLSRGDQIGKFGDDMKSNSDAPAVADSASLVLKLEKKYAKLAKDILKKFGWLDLARKVRVSHDNCHVLFPVSAAFQVLYTDEHLKMLRDDLCPFRESFVFTEKNLVGDNLSLQKALEILLCCHGSFSKDELTVNRKPSKSPQAIMKELVSSLLEKKGMPPQLLDQLPARWETLGDLIILPKTCFKDPLWESVSEELWPLVAKALGAQRVARQGKIMPNGTRDSTLERLVGDNGWVTHHENGICYSLDATKCMFSSGNRSEKLRMGQLNCRDEVVVDLFAGIGYFVLPFLVKANAKLVYACEWNPHALVALRRNVSDNHVADRCIILEGDNRITAPKGVADRVCLGLLPSSECSWATAVAALRVEGGILHIHGNVNDSDEMSWLDNVVVSISNISKAHGLSWNVLVEHVERVKWYGPRIRHLVVDVRCRPV >Et_2A_018642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3979676:3982350:1 gene:Et_2A_018642 transcript:Et_2A_018642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGLPTQQPGTPGRPRRRPDLTLPMPQREAVASLAVPLPLPPPSSAPGPAAASGGGGPTPPGSAPPAAATQPPPLAELERVRRVGSGAGGTVWMVRHRATGRAYALKVLYGNHDDAVRRQIAREIAILRTAEHGAIVRCHGMYERGGELQILLEYMDGGSLDGRRIVSEPVLADVARQVLAGIAYLHRRHIVHRDIKPSNLLIDSRHRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGAYDGYAGDIWSFGLSILEFYLGRFPFGENLGRQGDWAALMVAICYSDPPEPPPTASPEFRHFISCCLQKNPAKRLTAAQLLQHPDNSITSGKTQNPSNVSEAKMRGCHCRTQVAQATVHRRFLEEEGFFQASSRQHNTSSPPIIGGGREQGNGVERELLLHR >Et_1B_014139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32119516:32120364:-1 gene:Et_1B_014139 transcript:Et_1B_014139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREESSPWAIFIFLPRMDQMSFVPSRRSTVRSSELGVMVLMEKIGTMHAGSHIWNAELCKEVGIKKFKYIRNRSTPPPSVGSLHQDALFLTRDSTWSAMRNTVVPLYQPARLAGLIPMMQSYVDALVDNIAGSPDQDCIPFCQLSLRMAIDIIGKTAFGIEFGLSMNAADSGSDGETDDDVREFLKEYKRSMEFIKMERANIHASG >Et_8B_058778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3754979:3755695:1 gene:Et_8B_058778 transcript:Et_8B_058778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPYHLQSPRSIMMKLMNMRQQPPALPQPPTPPIILKEHHGTTPAMWCAAIVCFAFSIILIVAGVAILIVFLAVKPRAPAFDAANASLNSVYVDSPAYFNGDMTLVANISNPNQKIDMVFRSATVELLFRDKPMAVQALPPFMQRRGQYQVLNLHMVASRVLLPPEVAMELVNQVRSNRVVYTIRGKFKVEARFWFGHYTYWMNTVCELELTAPPSGVLVARKCRTK >Et_2B_020421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2008307:2010469:1 gene:Et_2B_020421 transcript:Et_2B_020421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEGVGTDAAGAAGSPLLDLPEPLMLHILGFLTDSRSRHRDILPALAAHPTAAAALTELDLGLADATDGFHASELVAIAGSCPNLRKLVAPCLFNPRYVDFIGDDALLSLATSCPRLTVLRLREPFEPATTSQREDAAITVAGLISFFAALPVLEDFTLDLRHNVLETAPAMEALARRCPQIKFLSLGCFQGLCKASWLHLDGVAVCGALQSLCIKNCQDLTDASLAAIGRGCGRLAKFAINGCDLVTSAGIRKLVTALRPTIKEISVLHCRLLDTATCLAALSPIRDRIESLEINCVWKEVEQPVQQESVANGNTICDPEDDELSEESYESASKKCRYMELEDFVSWEMLCSLSLWFPAGEVLSPLISAGLDSCPMLEEILIKVEGDCRTCPRPAARTFFGLSDLAGFPALAKMKLDLSEAVGYALTAPAGQMDLSLWERFYLQGIESLYTLYELDYWPPQDKEVNQRSLTLPAVGLLQRCNGLRKLFIHGTTHEHFLSFFLTMQNLRDMQLREDYYPAPENDMMITEMRAESWLRFEAQLNNKNIAD >Et_9A_062224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20909533:20910977:1 gene:Et_9A_062224 transcript:Et_9A_062224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHGEAVEAKPALNDDVLTEILLRLSSLSVLRSRAVCRAWRALTSTLAFVAAHARRRPLELISQHQMSAASSLYTIPLATLDEEKRRCLDPGHPPDPAAAADARRDEAMGPGHRLIACCCDDDGLLLYEHCPGGYPHYLVCNPVTRQWTAVPAPSSGKLTLPCGFYLHEPTGEHRILFLTNDVESGGIGYSASHFVRSLEAAETRGLGPASEALHVMDPLGWYYRRTFHWLNHPEAKEDAGDGIFLAFDTVAETFRQMRRPTPARTKWDRLFLMEMDGMLAMTAASRDVMDLWVLEDYSKDDAWTYRLRIDLPTTMMTADCAINVEPDVLLLVDFPNRSLGLYHLTEKRVLKEIQLRARARLVFRDSLQRHAFF >Et_3A_026320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6789661:6807081:1 gene:Et_3A_026320 transcript:Et_3A_026320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLSGSIPDALASIGNLQQLYLAHNHLSGLIPKVLQNLTLLSKLDLSFNDLQGEVPKGGVFANATSLSIDGNNELCGGTPQFHLAPCVMPADKKKRQLSKPLKVTLISISALVFLVLVVALILLIRKKQSQRQGKPLIPTMTDEQYERISYHALANGTNGFSGSNLLGQGSYGAVYKCTLHDHGTTAAVKVFNIGNSRSIKSFAAECEALRRVRHRCLIKIITCCSSINSQGHEFKALVFEFMPNGSLNDWLHPVSKIKSLNNTLNLSQRLDIAVDIMEALDYLHNQCQPPIIHCDLKPSNILLAEDMSARVGDFGISKILPDSTSKTPLYGEGGDVSTLGDVYSLGTLLLGMITGRSPTDDMFKDSLDLHKFAEAGLPSRAVEIADPTIWLHEEAREKDAADAASGVWFHSPRSNPRERMPMRDAVVEMRAIRDAYLMVATSLDGNPEKRSRPAHAEEAQKMICLKQLLLLLPLTKTLILGAAEVHEDEASLLAFKAAATSGSYGHTLASWNSSSAGGFCGWEGVTCSRRHQRVVALSLPSCGLTGVLSPTICNLTFLRFLNLSFNSLNGDVPASLSSLSRLQTLELSNNAFSGELPTNLSSCTSLTVMRLDFNQLHGHVPSELGDKLARLEVLKLDNNSLTGAIPVTLANLSSLNALTLPFNYLEGTIPPGLGSILALHDLDLSFNNLSSEPPCSLYNLSSLEWLQLQENSFHGAIPSDIGSKFPSMQYLNFAANQFTGSIPASISNLTSLQMLELSLNRLSGYVPHTLGRLQELETLYLYGNMLEADDRKGWEFITSLSNCSKLCELNIAKNSALTGKMPFSIVNLSTNLQILNLYQTGISGSIPSAISNLVSLSNLDARTTPISGVIPDSIGRLGDLVQLFLYNTYLSGLIPLSIGNLSKLTVLTLDNASVEGAIPASLGKLENLGYLSLSINNLSGLIPSEIFKPLLSISYIDLSQNSLSGPLPSEVGRLGNLNSLNLSGNQLSGEIPDSIGNCTVLQELWLDNNSFKGSIPPSLSNIRGLTALNLSANMLSGTIPEGIATVPNLQCLDVSFNNLQGEVPKGGIFRNLSMFSIIGNNKLCGGIPPLHLAPCQSNAVKNNRKQQLKLLIALSTTGTLLFFASIISFIQLNLIHIEEHYERVSYHALATGTNGFSEANLLGKGSFGAVYKCTFQDDGNNAAVKVFNLEQPGSTRSFVAECEALKRARQRCLIKILTCCSSINRQGQEFKALIFEFMPNGSLNDWLHPKSDLPTPHNTLSLEQRLDIAVDIMDALDYLHNHCKPPISHCDLKPSNIFLAEGMNAKLGDFGMSRILLESASNTLQNSSSTFRIRGTIGYVAPEYGEGSPLSTLGDVYSLGILLLEMFTGRSPTDDMFSGSQDLKKFSEDALPERIWEIADTTMWLHINAYDGTTRSKIEDCLVSVIALGISCSKKQPRERTTIQDAATEMHAIRDSCLMATRTVSFLLLPASIMLISTLVAAEDDGDKAALLAFKAAAVSGCSGDPLASWNGSTGGYCTWEGVRCRGTRRRVVALSLPSRGLTGVLSSAVGNLSSLRVLNLTSNRFSGDIPASLGRLHRLHALNLSLNAFSGEIPANLSSCTSLTILGLQTNQLRGRIPPEFGDKLTRLTTLVLWQNNLVGAIPATLANLSSLGFLSLAFNQLEGAIPPELGSIAGLHHLDIANNLLSGEPPRSMYNLSSMRALQIQGNMLRGKIHPDIGKFPNMHYLNFADNQFAGSIPSSLSNLTTLRFLEVTNNKLTGYVPRTLEKLQALQYANLGNNMPEADDSNGWEFVTSLSNCSQFLQLSLSSNAGLMGQLLNSIVNLSTTVQMLLFDETGISGRIPSDIGNLVNLNGLNVANTFIDGTIPESIGKLANLNMLRLYNNTFLFGQGPIPSTIEKLTNLLALDLSVNHLNGSIPGDIFKLPVISIYLNLSCNSLSGSLPTEVGNLRNLNNLDLSGNQLSGEIPNIIGECKVLQEIRLDSNSF >Et_9A_062488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23448699:23452930:-1 gene:Et_9A_062488 transcript:Et_9A_062488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKSVLFGKKSSSRSGSTRTKDLSKGASNKGYAAVAKDPAFSESSPVISEPVLISSHNNETVPEVTKAENSNSQGEVAAHDVSHDLEKQGTAGSDASNEAERLREEQAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVSTLRATWLIVKFQALVRGSNVRLSRSPMQANLKLGQLNFGGAKPDAWKEKLSSNAFARKLLSSLIVVEALHFQYDEMDPNSAFNWLERWTISRVWKPISQPKRVGVDAKPQSRKASYAMETESAKLKRNARKSSAILSEPTQTNTAIETEKTKRNTRKFTSAPADPVPDAQLTELEKVKRSLRKVTNSMPEASKIPNPATEIPENQQVQSERPLKSAQHVQSRPENEEPQNGNLSDNAKMDTPVTDLQPDVEVVSHPVTNEEKVNEPAIVAPAAEIMPLQDINSKENALVNDVEHRSKEEPLSTESLKSSKRSSFSTKAEYPENGAKNSPSLPSYMAATQSAKAKLRGQASPRLSSDSVEKNGFTRRHSLPSSTNGKMNSQSPRTQRPIHAGGKDTVKSDKSMVSSRDASERPLKAEWRR >Et_8A_058218.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:2740208:2740837:1 gene:Et_8A_058218 transcript:Et_8A_058218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLQQQPGSSSSMAMAGNGGHGNGGNTTLRDGILLHPDVHISAPEENGVMAQREEVTYVPGLLKIFDDVLLHAADTKRRDPAAAMRTSTPRGAASPSNAPAPAASLSPSTPTRHAGNNLRPPPPTNGVKLANVFSTEFVVEIADGPGRRKYKQVFSDNMAKTSYPEVTGYNKHVITRVTFKPDLARFSMTHLDEDDAVTLMRKRTST >Et_6B_049042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1752648:1755237:1 gene:Et_6B_049042 transcript:Et_6B_049042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDSDGQRQCRRGAAVDVHELDAAMALADMAGVGPDQPQPPALAPHQVKKKTLPEFSSLAAPVERKEAWSGLQASATAAEREDEELASTRLSLELGKVGIQSSPCSSSSSGAGHPHPAQHQPPQLAPAAGYGPRPRHTLTEAEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSSQNENMKKVHYFEFTFSSSINGAAINQLELVICLIPPTFSSSFLTSRAFVQEKDMPARCLRRLIARQEFSEAGQMTVADRTVTGVLPWCHPRNYVSDTEWAALHVLTEPWFCLSLQVARTTKKAPATPVSTAMQVAPPSSQHATEAMAAAASPPATPPQPGLLYTAAPPAVPVPYVWGSWPPTAGYEHGSPPVSAPLCLPPCAWYYPVVADPRGSPTAYPPPFQDPRAAAEPTSPAGGGTAEEDTDDDPCSLTLAIDVDKRSAPIAIGSSSATEPRQLLEGERDKAATAAEARKRRKELTKLKHMHAAGRPGAGEQW >Et_9B_063861.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18135710:18136870:-1 gene:Et_9B_063861 transcript:Et_9B_063861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RTEIRGGEAHVEVLAEPREHPEVLEPAGPDDAPAVAGLAADVGPGVRRAGAAAQAPPAALLQAGVVVHHGRRRVGRLGVRQHHEPVGAVAAVERRRPDAAVPAVAQRPRRRGPRREPAEAGADGDGVGVAQAVGPAGGHGVALVPRRVPVERPRRRRGRPRPPLQQPAGDGGRAVERQPREDAGVGHLEAVGDLAPRVVHEAEGEALVGDGVWDARELHAVPWPRAPHLGVPVPDEHLRVAGRVHPGHHGARGRVGRAGPRRQRHVLLVPRGEVHPDPHPGEHRVRRVVEPLPRHAAPRVGHHQVAVVPDPRRARPAARRGSRRRGGRPDHGGVGVGDGERAVAGDRERRAGVVRHGAAHGGGAVGDPDDGARGHPGVPDADVGVV >Et_10A_000009.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:12763645:12763971:-1 gene:Et_10A_000009 transcript:Et_10A_000009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDHTRQLLLQCQSGREVLLQIWREEEDVQLKIITFLWRWWTARNKANAGERMWSLSELCSSVDYHLMDFTKLNKPDKEAMPKSNMRWSPPNDSSYKLNVDASFLDSS >Et_10B_003053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1606996:1611653:1 gene:Et_10B_003053 transcript:Et_10B_003053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVPNGHAGGGGGGEETPPAQPASSSLVFLGTGCSSAVPNARCLIQPPDPPCPVCSQSLSVPPELNPNYRCNTSLLIDYCQDDGAHKYILIDVGKTFREQIILTHEHADAILGLDDVRVVQPFSPTNDIDPTPIYLTQFAMDSISKKFPYLVKKKLKEGEEVRRVAQLDWRVIDGDIQKPFTTSGLEFVPLPVIHGEDYICLGFLFGRKSKVSYISDVSRFPPTTEYAISKSGGGQLDLLILDCLYRTGSHNVHLCWDQTLDAVKRICPKRALLIGMTHEMDHHKDNKTLEEWSEREGIDVQLARDGLRVYIDL >Et_5A_040721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11558526:11560169:-1 gene:Et_5A_040721 transcript:Et_5A_040721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTSCRTCRREQVSSPCCLGGLPRRSRRLRRRIEGVDLISALPDDLLLQILAAHGCARAAAHTSLLARRWRGLWTRLPELTFHNICPDPLDAALAQVSCPVVSFLDIRVWNNHSLAPARIASLLRSAERLLPAELNVILRGETPRSSNAHAIELPCFSRATLIRLGTLFVRFVLPKGNFTALEVLELSSCQIDMADLLPRCSSLRNLRMYHWPHNSIVVHSLSREELHVHTYQRCQRLDIVAPSLKKLRFYADSGISDEFTLSYSAPAVEELSWNCECPSSIVGFGQFWWLCCLKLATPKPLGPREHVGDGENTCLQLQQRPHLRVLYSCEETHDILGAAVQSIGKQLFQSLIASFATMELDIVARGHAYGPMVLHLLRFCTCIQKLEVELYEVENKCSINCPCDQPNSWRSQSVSLTNLKEAEFQGFKGEDHEVELLKVVFRSATMLETLTVKWSNKIVPSGNRGMEIHNILKAYPS >Et_1B_012893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3916486:3921529:-1 gene:Et_1B_012893 transcript:Et_1B_012893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSNALILPCKKKNKAQGKGKDGKKGKAKEDPKMSKTQLKNDAGSQCPQEEKKKKLLQAESIEILRKHKIPDDAYSLLHASGTIGQAETLKEKRRRAVQFSKAGLDVPEELSLFKKSGSQKVPENSDVPEQVCSASFVEPAKCEDHSIDCKNNMKKDSVKDMEHQPIMDAAVSIGEQKAEDPSEDAHMLANHKIQTTTPSCSGAELDAQDKEKEQGKAAVLQCINPPIVVPVSRPHEVEEARRDLPIIMMEQEIMEAIFENSIVILCGETGCGKTTQVPQFLYEAGFGTSDRADRKGIIGITQPRRVAVLATARRVSYELGLKLGKEVGFQVRHDKMIGSKCSIKFMTDGILLREVQSDFLLKRYSVIILDEAHERSLNTDILIGMLSRIIKMRKTLYADQQEKLRSGLIIEPKQILSPLKVVLMSATLQLKDFVSNRRLFDVIPPAVKVPVRQFPVTVHFSKRTHDDYLGQAYKKVLSIHKKLPPGGILVFVTGQREVDYLCQKLRRASKEQTAKKPEKIDADSHGPCPEVDGKEIFEAYDIDRNKSEQQDDMFSAYDEDDMNAEPNFDSFDNEMESEMDTDTDDDDSVTFETTEEDTPVLAFLKDAGNSSVLKASFEALSGTSGVPGSVQKSSDATSEEKYSPPVSCSSQRADNKPASQGRLHVLPLYAMLPASQQLQVFKDIPEGERLAVVATNVAETSLTIPGIKYVVDTGKEKVKNYDPVTGMSSYEIRWISKASASQRAGRAGRTGPGHCYRLYSGAAYGKDDLFPQFAEPEIKKTPVEGIVLMLKFMAIDKVANFPFPTPPDKESLIEAESCLRTLEALDSKGGLTPMGKAMAQYPMSPRHSRLLLTVIKILKSQPGLARSNFVLGYAAAAASALSFTNPFLKPSDECDPNGESKENNSDPEERKRHKKIKAMVKEARGKFSNPSSDALTIAHALQLFELSDNPVEFCRINSLHLKTMEEMSKLRKQLLRLIFHQSKFCEEFAWNLGDSGDVEQAWRSESSKRSLQMNEEELLGQGICAGWADRVARKNHTYHRSSEEDRKVRAVRYQSCALNDTIYLNRSSSVAHIAPELVVYSELLNTKRLYMHGVTAVKPGWLLKYASSLCTFSAPLEDPKPYYDPLHDQVYCYVNPIFSQHNWQLPLHSLPVEDKNSRLKVFAYALLKGDVLPCLRDVKDMLALSPSVVLGPSSQTRVGDLLYKMQKCPKKCPKLIDSRAALRDAWNADPNFLYPEIKVWFQAKFHSQFGVIWKKMHQEVVLEGRELFPKKLKKVKS >Et_3B_029422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24981022:24986043:1 gene:Et_3B_029422 transcript:Et_3B_029422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLYREVALAPSELGNCQFWDDPAWSSYIPLFLLVQLHLAFARPLFPLPSKTENEVKKPLQTFRPYNIAHRGSNGEIPEETAAAYLRAIDEGADFIETDILASKDGALICFHDVTLDDTTDIARHKEFADRRRTYEVEWFNVTGWFVVDFTLEELKTLKVKQRYSFRDQQYNGMYSIITFEEFISIALDAGRTVGIYPEIKDPVFINKHVKWAGGKKFEDKFVDTLLKYGYKGQYMSENWLKQPLFIQSFAPTSLVHVSKLIDSPKVFLIDDTTVRTQDTNQSYWEITSDDYLAYISNYVVGLGPWKDTIVPVARNYLMSPTDLVARAHAHNLQVHPYTYRNENHFLHFNFHQDPYAEYDFWINTVGVDGLFTDFTGTLHRYQELTSPHPKDETANNLLIKIAEMITAYEGH >Et_3B_028175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11349809:11351734:1 gene:Et_3B_028175 transcript:Et_3B_028175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVKAYGAVIAIQLIYTGMFVMSKAAFNQGMNTYIFIFYRQAAGSVLLMPAALILHRKNARPMSRWVLLKLFFCALIGITLGVNLYYVSLKFTSPTVASAADSSLPAVTFFLAVLLRMEDVKLKRSSGIAKVTSVALCLCGVVTIAFFTGPSLSPVNHHQAFASHPSSSNPVVSKGLWITWTFLMFVANIVWSLWMVLEAKVLKEYPDTMIVTVAQCAFSAVQSFIVAVIAERDFSKWKLRFDFSLLAILYTKKGPIFFAAWTPLCFVFTIFCSSFFLEEIVHLGSIVGGILLVGSLYSMMWGQTKECKTDDGIYDIEKDQYKESAESFQDEQEQTDRGRALTSVGHQL >Et_4A_033344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21738215:21742769:1 gene:Et_4A_033344 transcript:Et_4A_033344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALTGVMNSLLCKLAVLVGNEYKSLKGIHKEIKFLESELCSMNALLQRLADEVEIDAQTKEWRDNVRELAYDVEDCIDLFMHRIDPICGKAGFIKKVEWKLKRLQLSHGITQQIQELKARVMEQSERHKRYNLNVFHTNSEADQCTSSSGARIRVDPRLSALYVETARLVGIEAPRNKIIRWLMEKQESISDQLRTLSIVGCGGLGKTTLANQVYLQIKSQFECCAFVTVSQNPNMKLILKKILSDTAGINDGILEDEQYLINKLRGYLHDKRYCIVIDDIWDEHTWRIIQCALVNNNRGSRIITTTRINDIAKSCSCSPGDLVHTTKFLNAVDSKRLFLRRIFGSDESFPAQYKEVSDGILRKCGGLPLAIISISSLLASKSKSGDHWSYVKKYVNSEYENSPDIEIMTWVLSLSYFGLPHHLKTCLLYLSIFPEDYVIRKDRLVSRWIAEGFIHGKQEQCLYEVGESYFNELINRSLIQPADIKDDGQVNACRVHDAILNFIVSRSTEEKFVTLFGASTLTSVQNSKIRRLSLHMNDKESAIIPTNLIKCHVRSLTSFARTGEVPSLFGFSGLRLLDLENCHALSSHHLTNIGRLVQLRYLSLKGTDIRKLPGEIGELQYLETLDIRYTGVTELPSAVARLQGLVRLLFDSSVMLPKGISNMEALEELSCFSVFMYSENFLHELGQLSNLRVLRVIWNHTDIDGDAASYMYNLSSSLSKLGSCHLHSLSLDVHGHEEDEFSLDLWNPDPHRLQKLCTDRWQPITKIPSWMGSLINLEHLNLYVRKIGQEDVNMFESMPALITLILSSKEAPEQKLTISCQGFQCLTYFKIHCDRAGLIFEAESMPKLEHLHIVISALKMKSPDGCFDIGIQHLSCLTKIYVCINCFGLTDEEVEAAENAINFAVDTIPKHPILHIDRRFAPL >Et_1B_010335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9208787:9209557:-1 gene:Et_1B_010335 transcript:Et_1B_010335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMGDGTVVRMDTVLFNGIYSFETLRRVALPDGVEKWRATPLPKPPIGRLARNKGTFSLDTAGDGAGASSWRTEGGWQLPFEGRAVHVPELDAVFGFAAGTGLLCACDVKLQGTPAPVVRRVWPETFPFPWEEVSSDLPSLAYLGNGRFCICRPMRTKKKKDQPTVYGAPDTYDASSFLVVEVKRLPGGELRLTERGKTSYMRPPQRRQCPYIGLI >Et_8A_056655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14557453:14561357:1 gene:Et_8A_056655 transcript:Et_8A_056655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLRLLSRAGRLQLLFPGPRRDFSASTREPLHVCVVGSGPAGFYTADRMLKGHEGAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANGRCSFIGNVTLGRDVSLSELRKAYHVVVLAYGAESDRSLGIPGEDLKGIHSAREFVWWYNGHPDMCNLAPDLTSTDSAVVLGQGNVALDVARILLRCKTDLATTDIADYALDALRGSTIRKVYLVGRRGPVQAACTAKELREILGLNNVRVSIKEADLVTTPADEEEMMNSRIQRRVYELLSKAANVHKDSNSDDQKELHFVFFRRPTRFLPSENGSMVGAVQLEKTFLKDNGVTGKQVAVGTGEFEELKCGLVLKSIGYKSLPVQDLPFDENKGVVPNLRGRVLRSDSVERGLYVVGWLKRGPTGIVATNLHCAEETVASILDDDKKGVFTFPSDLKKQGRTGLLEILEQKNVRFVPFSGWEKIDSKEKMTGQLINKPREKITTWDGLLKAANED >Et_8B_060200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7274306:7276528:1 gene:Et_8B_060200 transcript:Et_8B_060200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KIPQPFCKYLKEEANRPVSMKGPSGNTWQLMLTSVEEGLGFTKGWKEFVGDHSLQQGHFLVFTYDGHSEFSVVVFSKSGTEDELTLYSQPSEVEEGAQDADAAGALEHEASALPSEEGNGKTRKRLRQGMVKSPAPKRHLSVQKQPEKRKPEAFVDTSKAGSSVPDSNKEYCIVGLLTFFGLFKLLHLTDLTCMLDKYSRYNKSWTRPNAVPRVVVSKKFRQPVVISQRCRITEEEKSHALKRATEFKSKNPFTLQVMMASYVYLGLFMNIPSEFVREYLPQTSKKMTLWDPQGKPWEVQYVYHERSVAAFSGGWGKFAVGNNLEEFDVCLFEFLKEDNIKVHIYRVVHQITPLLRSKSM >Et_1A_008164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4965458:4971768:1 gene:Et_1A_008164 transcript:Et_1A_008164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQSSRVGDANSPGTGAGRGEGDGSTTNKVEDIVTEFQQLCCGWSHLMGRRNFIIPEVLASTISKNALRCARAALQGDLLHGRRADPNGRHPYGFTPLHLVAETFSLDMLKLLLRHGASANLRTEGDNVIEGLLPLHVAVENASMHKYLEDHCEDGRPLDNLISLLCLPEMMYLDTVRLIAKHTDNIVDEVWNYINGDGKLVQLAILLLAAQKQLRDPESRSRTSLNGFDILRRLSCEAIGSLHHQIEAMVIQGEKGSALKKLRNKKEALITAETLVEIVYKAGEALERYIQTHSEVPLEEIIEHVSSILNSNGIVPSGKAIDPGSLKGSREAWKALVTNEFPYMLLPILLIALCKQIPRKVPPKGLALRAVRNKFFPYWKSVLSTRLRVKMIPPCEVSMKDQKAAKGLQIANNSRWYVTSMSQTHLAGRYEYKRQICTAVLRSLKLLRRT >Et_10B_004346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4352196:4352873:1 gene:Et_10B_004346 transcript:Et_10B_004346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLTAMAAATTTSPSIGGRRRRLRVAAMAAQKPKPKTTAGTTRRSGTTTVFPVGEPAPTASGGGKGKAPVRLLTNVERLRLLTKAERAGLLSAAERAGLSLSAVERLGLLSKAEELGALSAASDPATPGALLSLAAPLLAAGPAVAYLVPEEHAWQVALQAAVALVSVVGGAAAVAASSFVSRLQGSSSSS >Et_6B_050032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4284059:4286929:1 gene:Et_6B_050032 transcript:Et_6B_050032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPDPAICPMDVVEESTSDQNNVVVSKSKDVSMGRIKENGDLHIPCSKPAVSPEDAPDKHVEKGGDTTECSSSFGDTYSVFDDEADSGEPEVNSKVFAPADGDWSRQLPRRKKVTAEWRNAVRPIMWRCQWLKLRMKELSSQVSKYDKQLAVIKKQKEQHTARKANGSMQKLLPIGKGYSNGIMKRRQRKRCEDVVDTTFYMRKHQILSYYNEKQNKGAETDGLLPDVCTSPVGGNIAGLHAEEVTLNDILSTIHGVQSRVHFLRDRLNKARSKEDKLAFSEENTNLINRPMSLQRTCPSGVHQSHWT >Et_4B_039216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8872927:8877136:-1 gene:Et_4B_039216 transcript:Et_4B_039216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEPSAAGASAGGESASQAVAVEPIRLPTPEEIKGQDLWNNCAVRSVVSGVVGGGLGVMMGLFFGALENPIMQQEMTARQQIVYTAKQMGSRSISNAKTFAVMGLIFSAAECVIEKARARHDTTNTAVAGCVTGGALAVKGGPKAACVGCAGFAAFSVAIEKFFDPTAKIEQKNCQLNLSWQEGLIKEVKSREQVAEPTRGKVGHDLINESTAIGIILLLLLLDLACLVVIRDIVLPIAIGARHGHADLGSHGAQNPGTIRLIPLLFLLALNVVNGVAYGAVLRIAIGTRHGRANPGSHGAQNPTTIRLSPLLFLLGLNVVNGVAHGAVLPIAIGARHGRANLGSHGAQNPTTICFLATLLFLLALPLVTGVTIARLGVSCGTVVPIAIGARHGHADLGGHGAQDPALLASRVWISLFPFQFLCS >Et_9A_061638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14735149:14735953:1 gene:Et_9A_061638 transcript:Et_9A_061638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SKWPIEGHYAEYDRPIHPDIEAPELNQDAQIRQAQRHEHDHPRRAAGGGRADLPVTSRADRSNRQSVLGGGRHNPRVLPPNVRAGALNRGRRRRRRPSARHSYLRNAAELATHLFVDAATNQPNFAGLVIAGSADFKTELGWSGLFDPRLQEAKVVKTIDVPYRGETGFNQATEMAAEVLSETLVLVQERKQADMEDPGKHVLGVQGTMTALEMGAVDTLIVWENFDHHDCPGNGCGGYADRVGEL >Et_3B_031043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1218217:1221357:1 gene:Et_3B_031043 transcript:Et_3B_031043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGAAVVLALAVCCLLVAPAPARRPLDLPAQLPDAVDREAAVEPLLPKLVEVADAEEADQATAIAVPEQEEEPDHDQRSSLLCLVFRCGAEPADAGGVAVARGSSDWENTAAEEEVHGEEQGPYDSDSDGDSDSDDEDEGGIVGISSSMSKA >Et_10A_002079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21610048:21615556:-1 gene:Et_10A_002079 transcript:Et_10A_002079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKGRKKLRAELQRNLSTRAFFSLGFDSPIPNCWGSSGSPRGFPWNFELWRMPRETENAASTNSVESDEPEDRLEFDDDEVDEEEEVEYEEIEEEVEEYEEVEEVDEEEEEHPEETEVVHEADDDEKKKHAELLALPPHGSEVYIGGISNNVSSEDLKKLCESVGEVVEVRMRGKGDNRLYAFVNFRTKDLALKAIQKLNNKDLKGKKIKVSSSQAKNKLFIGNVPRNWTQDDFKKAVEEVGPGVIKVDLLKVKSLYVKNLPKSVTQEQLKKLFEHLGEITKVVLPPAKAGHENRYGFVHFKERSMVMKALKNTERYELNGQLLDCSIAKPPADKKDDTVPVSKAQAGPLLHTPLGYGLAGAYPQPGNGLASAYGVLPARPAQPMLYGPGAPQGSTMVPMLLPDGHNNLQGSSPCLWLRLRPSSVDVAMVAVAVAAVAGPATVEGGSEEMIVVVTVTTAVGADTARQLLDCSIAKPPADKKDDTVSVSKAQGGPLLHTPLGYGLAGAYPQPGNGLASAYDVLPARPAQFLEQS >Et_10B_004352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4654195:4657324:-1 gene:Et_10B_004352 transcript:Et_10B_004352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTKERQSAKASISAMELTAAMSVAWALVVAFIVFVLVSSIAWPLSQRKALKLPPGPRGWPVFGSLGLLAGKLPPHRTLAALAARHGPLMHLRLGSFHVVVASSAETARLVLKTHDAALADRPPTAAGEIMAYGRKGILLTPYGAYWRMARKLCATELFSPRRLDLFERARAEETRALVRALFERCAAGRAGAGGVEVREHLESFGMRNILRMAVGERWSGLYGSEDGAAFRASLREVFAVSGAVNNVGEWVPWLGRLDVQGFARRMRRVRVLFDRFYEQILDEHEEERRRWCAGSGAAAGEVFAGRDLVDVLLQIAEEDKGAAGESESRLTRDGIKGFIQDIIAGGTETTAATMEWALAELLRHPNTMAAAVEELDRVVGRHNRWATERDLPELPYIDAVVKETLRLHPPGPLLVPHHAREDAVVAGFDVPAGTRVLVNVWAVGRDPASWPDAPDAFRPERFLPGGAAHGVDMRGAHFELLPFGSGRRICPGYNLAVKEVAGTLANLLLGFAWRLPDGVAPEDVNMDEFLGLTMRREVPLVAVAEPRLPAHLYTVAAG >Et_10A_002124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23079046:23081864:-1 gene:Et_10A_002124 transcript:Et_10A_002124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDSTTPAVAKISISGADLAALLHRCAAAAGDCDGLLFGRASRLPAPPPSLSDYDDHAAAPPAPALSIAVSGHFSLSHPSSLSDPLGRFQPPSAPAPIGFFSSRRRSALRPSMRELAIAHSLSKSLAPTHPLLFLLVCPSFNATHSTHSYDYRTFLLAGARLVPTKLSVVNVGPGQYHSFSAESPLPGLPPPQPSSPAAGHANHSTVGEQKAVDAMVDGFGLERLQGVIGSAAGQVTEMDEMYAGMLRRLEKLAREVEKSNLRVLEQRIPSWGSNGAFELGEAI >Et_1B_010538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11459886:11465432:1 gene:Et_1B_010538 transcript:Et_1B_010538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKAASGWLRGKVKAVTSGDCLLIMGSTKAEIPPEKSITLSYLMAPRLARRGGVDEPFAWESREFLRKLCIGKEVTFRVDYTAPNIGREFGTVYLGDKNVAYSVVSAGWARVKEQGPKGGEQSPYLSELLRLEEVAKQQGLGRWSKEPGAAEESIRDLPPSAIGEASGFDAKGFAVANKGKSLEAIVEQVRDGSTVRVYLLPSFQFVQIYVAGVQAPSMGRRSSIPNVVAAETDGTADGTNGEDSEGTPAQLTTAQRLVASAAATEVPPDRFGREAKHFTETRVLNRDVRIVVEGTDSYSNIIASVYYPDGETAKDLALELVENGLAKYVEWSANMLDVEVKIKLKNAELQAKKDQLRMWTGFKPPVTNSKPILDQKFTGKVVEVVSGDCIIVADDNAPYGSPSAERRVNLSSIRAPKLGNPRRDDKPQKFAREAKEFLRTRLIGKQVTVEMEYSRRISTMDGQNVTPTTNSADTRVLDYGSVFLGATSKADGDDLSSVPSSASQAGVNIAELLLSRGFAETSKHRDYEERSHYYDALLAAESRAEKAKKGLHSGKESPVMHITDLTTVTAKKAKDFLPFLQRNKRHSAVVEYVFSGHRFKLTIPKETCSIAFSFSGVRCPGKGEPYSDEAIALMRRRVLQRDVEIEVEAVDRTGTFLGSLWESKTNMASVLLDAGLAKLSSFGLDRIPDASILMRAEQSAKQQKLKIWENYVEGEEVSNGSTHESKQKEILKVVVTEILGGGKFYVQTVGDQRVASIQQQLASLKLKDAPVIGAFNPVKGEIVLAQFSLDNSWNRAMIVNGPRGAVESPDDKFEVFYIDYGNQEVVPYNRIRPADPSISAAPALAQLCNLAFIKVPNLEDDFGQESAEYLSECLLSGSKQYRAMIEERDTSGGKSKGQGTGTVLNVTLVDAETETSINATMLEEGLARLERSKRWDTRERKAALQNLEQFQEKAKKERLRIWQYGDVESDEEEQAPAGRKPGGRR >Et_1A_006976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29793922:29796341:-1 gene:Et_1A_006976 transcript:Et_1A_006976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAALRHFQTSPSQPQSPPGTSRLYPNPRRGSRLRRSLAVAAAAATAPLVASSPEDVERRKHELLRTVQETRRGFAAGPDQRAAIEEAIVSVEELGAGEGAPLDLAALDGTWRLCYTSASDVLVLFEAAERLPLLQVGQIYQKFECKDRSDGGIVRNVVRWSIENLLEEQEGATLNVSAKFTILSKRNIFLQFEEVAVENIKISEQLQAIVAPAILPRSFFSLQILQFLKTFRAQVPVSGPERQSPGGLYYLSYLDRDMLLGRSVGGGGVFIFTRAQPLS >Et_8B_060769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6685485:6688473:-1 gene:Et_8B_060769 transcript:Et_8B_060769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVVMAWGISMVIERARLRLALSLIMSGRRDRDSEQERTLSSATLRGVRAPDDRDGDDDELAPEPVHGGRGGAGLETKRGGRGGGGLKPERGGGHGGAGLELKHRGGVSLEPEHRGRGGAGLEPERGGAGLVMERGGRHGGDEDPERGPAPAPMHDGDAPVREQAPVPSSEHEGHGDDDGSGQQHGDGEGQARDGRRALRKAQPEAMLALQPVAC >Et_6A_046616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18242028:18244822:-1 gene:Et_6A_046616 transcript:Et_6A_046616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGFTLLYHHVFSYMKPMALKCAVDLGIPDAIHRRGGAASLGDIAADAGVHAARLPQLRCLMKLLTTSGMFGSTAAAAAAADGIGGEPLYTLTAASRLLVGPRGLRDIVRFQAGAVAVAVAPFFDMPAWLTASPESGGGAPRSLFELTHGRSRWDPANADDAAMHDAARAESRPTLSSTATATGHGSLATAIAARFPGIKCTVMDLPHVVADAPVDAAGGNVRFVAGNMFESIPHADAVLLKYVLHCWSDSDCVRILGHCKDAIPARGDGGKVIIVVMVLGFGSRDRNIAETEEMYSLFATCINGVGREEHEWKKIFHDAGFRDYKISPVIGPISVIEELSLSYQSMITVIDKQFTKSSRGIHMPLHQRLLSGNLLSTCMSYLVT >Et_8A_056992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:194125:196752:1 gene:Et_8A_056992 transcript:Et_8A_056992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLASEERDDSSEVRSLGDAGRMVWAESKRLWGIGTPIAIGTLSMYAVSSITTMFIGHLGNLPLAAASIGLSVFATFALGFLLGMGSALETLCGQAFGAGQVAMLGVYLQRSWIILVGSTLLMTPVFVFAEPLLLLIGQDPVVARAAGKFTLYILPSAFAMAVNFPTAKFLQAQSKVTVLAWIGVAGLVSNLLLNYLLVVVLGWGLPAAAAAYDIAHWVIALGQAGYIIGCCNDGWRGWSTAAFQDIGAFLKLSLESAVMLCLEIWYMSTITVLTGHLDDAQIAVDSLGICMNINGWEGMIFIGLNAAISVRVSNELGSGRPRAAMHAVMVVVGEALLIGLVCMALILVFRDHFSIIFTSDAGLQQAVSKIAGLLGLTMVLNSVQPVLSGVAIGGGWQGLVAYINLGCYYVFGLPLGYLLGYKFNFGVGGIWSGMLCGIALQTLILLVVVWRTDWKAEAAQASSRVEKWGGRGPDEVKPLLE >Et_8B_059692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20772665:20776981:-1 gene:Et_8B_059692 transcript:Et_8B_059692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGTVQINWHDLQPVLSLDFHPASGRLATAGADHDVKIWVIGSDGSDDKLPTATFQSGLAPNGTAHSSAINVIRFSPSGEYLASGADGGGISLWKLHSTDDGEAWKVHKTLLFHHKDVLDLQWSHDSAFLVSASVDNTCIIWDAIKGTVHQKLEGHLHYVQGVAWDPLGQYIASLSSDRTCKIYANKPQGKSKNAEKMNFVCQHTLVKIEFQNHDESKPPVKSHLFHDETLPSFFRRLAWSPDGSFLVLPAGLSKHSSEVINTAYIMPRRDLSRPAIQLPGASKAIVAVRFCPVLFRSRGSNSDGFFKLPYRVVFAVATLNSLYVYDTESVPPILIHAGLHYAAITDIAWSSDAKYLAVSSRDGYCTIIEFDNDELGQPHILSGAKGVAEENITCEKPLSGDSMEVDVDARKLKMEASPVAARVTLPPVLVEDVSIRENSGTGELVEGNVTCENKEQATVESIEVDAGANKVNTAVSPKPVEVTPPPVSTKNNASSKPTKKRITPIAIN >Et_9A_062713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3584566:3588693:1 gene:Et_9A_062713 transcript:Et_9A_062713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAAMLFDDDHVEMWYENKKRKSTRSLSHYFVHNINYLSKMVSITSVRPREPDYRYGHVLDCRHGHVLHQDRTTFNFLIWDPIIAEEHPLAILGLKSSQMHCCTHPRLESVVHERPCTNATTLGWSFTLPWPKTCSFESMMAWTSSTSVKSKNTPQWLRLPLDDPTILIDASLVLMGWGHLLTDLGYLHGHHAFHCTPPLLSFLHNIEYLSKKSDYRYGHMLDCRHGRILHQDRATSDFLVWDPITAEEHHVYLATTMPLIKTSVPRCSALLPTATIRTATAAPSFDMVFRKFEKDGWFNMQYYKIIGKHHEGAQQLDEGVDRLVGGDDAAAEDDRRVVEAQPDEGDERHAVPVRPVFGVQHDDVAVLHALEAGLDVGPNEVLQAPVCVQLKVIEIYRVDLVSLAPWSGTLLCH >Et_4B_038715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4202272:4217135:1 gene:Et_4B_038715 transcript:Et_4B_038715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFTGIALVLALTLAQHASPGAVAAGGPRVIIVGAGMSGISAGKRLSDAGITDLLILEATDHVGGRMHKQNFGGINVEVGANWVEGVNGGKMNPIWPIVNSTLKLRNFRSDFDYLAQNVYKEDGGVYSENYVQKTIDQADNVEESGGKLSGTLQSSGSQDMSILAMQRLNDHQPNGPATPVDMVVDYFKYDYEFAEPPRVTSLQNTVPLPTFNNFGDDVYFVADQRGYESVVYHIAGQYLKADKSGKIVDPRLQLNKVVREISYSASGVTVKTEDNSVYKADYVMVSASLGVLQSDLIQFKPQLPSWKIVAIYQFDMAVYTKIFVKFPKKFWPEGKGREFFLYASSRRGYYGVWQEFEKQYPDANVLLVTVTDEESRRIEQQSDNQTKAEIVEVLRKMFPGKDVPDATDILVLQGTFSNWPIGVNRYEYDQLRAPVGRVYFTGEHTSEHYNGYVHGAYLSGIDSAEILINCAQKKMCKYLEMARGVAAAAKGGAKKKGSVSFVIDCTKPVEDKIMDIASLEKFLQERIKVAGGKAGNLGDSVTVSREKNKVTVTSDGPFSKRYLKYLTKKYLKKHNVRDWLRVIAANKDRNYASLAEAAYSGPRVIIVGAGMSGISAGKRLWDAGIRNLLILEATDCVGGRMHKHNFGGINVEIGANWVEGVGGDKVNPIWPWVNSTLKLRNFRSDFDSVVGNVYKENGGLYDEDYVQQRMDRAYEVEEQGANLTEKMDPSGKDDISIMSMQRLFNHEPNGPATPLDMVLDYFIYDYEFAEPPRVTSLQNTQPTATNADFGDDNNFVADQRGFESIIHYVGSNYLRTDNNGNIADRRVLLNKNNRGAVVRTEDGSSYSADYVMVSTSLGVLQSDLIEFKPQLPFWKTVAIYRFDMGVYTKIFLKFPRRFWPVGEGKQFFVYASSRRGYYGMWQSFEREYPGANVLLVTVTDDESRRIERQSDNQTMAEAVAVLRNMFPDVDVPNATDIYVPRWWSNRFFKGAYSNWPIGVNRYEYDQLRAPVGRVYFTGEHTSEHYNGYVHGAYLAGIDFADIMINKVLKNQEFKVRGKYDAQVAELKTLFGFEWAAKAHASLSLGQLD >Et_10A_002212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4680117:4681094:1 gene:Et_10A_002212 transcript:Et_10A_002212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLACIIGNDLEVLRHARSGVACMVERRVRLPRLLTVNKDRPVMSLCFMDTAEAAAPDRIAISQDTYWMFIVDVGNLKLVSTQTTSGSPSQLVLPYELPWPPIIKACISYRS >Et_1A_007119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30995001:31000054:1 gene:Et_1A_007119 transcript:Et_1A_007119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSDGVSVFWCHSLDRSNRYKACVRMATNMCGTVTACRACYNDQIGVPCKLERVPFMSLNCVPKKLESRKRINGYHLDSWLCTNYRQGCNVRCNGSRNSSETKECKSSEDGTDSYRDCDHLRGNPQFSEDQVASKKNSAYTSQGLAEACKFVYNDAKFVNERAQSDILLLSRGITRLNKRACQDVAVLGLGFLKLDDLRRADLVVKRRAMEDAFMALKFVRDIHDMMVTRLYEQLPKDGSSRPNSTRFITLEKNGKTLELFPGDISADQITAIEEAYWSMASAFSEADGVDYTDPEELELLVATLIDLDAMDGKKSVSLIAECSSSPDVNTRKALANALATAPSMWTLGNAGMGALQRLAQDSNHAVAMAASRAIDELKKQWELEEGDSLRFVMNQNLATEETDGGSSTVDDTM >Et_3A_024061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16920846:16921132:-1 gene:Et_3A_024061 transcript:Et_3A_024061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVRVTAGEPMPMLITYSSDSRVEPRVVGTLLGGANDAVLPAAGGFPLDVANSELVAVENILKCWRRLRGHRRAWAVTVVQRHSGAVR >Et_9A_062089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19653082:19657273:-1 gene:Et_9A_062089 transcript:Et_9A_062089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHDKSKGVAHEYVVVRSYHCSFHLSGDVALFSVRDELGEAEVGDLGFEVVVEEDVGGLDIPVDDRRVGELVKCPIGDVLVHQHLLHLFVAEADQAHQVPVMDPGEQLDLVLELRHALQGSRLCSLYCHNSSILQGEPEEPDLKVDELAELADAVAKRALKVDT >Et_4B_037750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23014230:23014822:-1 gene:Et_4B_037750 transcript:Et_4B_037750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSAARGNGAVVVALVLLCVLLHSELAESAVYTVGDRSGWSFNTAAWTRGKRFRAGDVLVFKYSPAAHNVVAVNAAGYKSCSAPRGAKMYRSGNDRVTLRRGTNYFICSLPGHCQSGMKIAVNAA >Et_2B_021019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25676462:25678638:-1 gene:Et_2B_021019 transcript:Et_2B_021019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKSSRCSKRIYREPPLSRKKEFRSSVIKVVDASWNKSSLPPNSITSNPASEDGFNKRRKMDEEHNSLLANGNMRESTTRSLTTSGFNSSLAQNSSHSGVNNGPQSSTSVMPPYINHTLKGAWERSSPDAYAAKPVMELISARDVCFSILRRDICPTKESELSQKSVLNNNESSPLFECMRCECMEDPTKMLICDSCEGAFHLSCCKPRVKKIPQEEWYCQICSRKKPKRQREKLGPKHELPKAIQRPRRGRGTIQDMLVDAEPYETEVRIGTDFQADVPDWSGPIPSNEDQFVEPSEVEPSETTLMGCLQLFKDKKNSVCNWIQCREVLDTGVVCGKWRRAPLFVVQSSTWDCSCSVVWDPIHADCAVPQELETDEVLKQLKYIN >Et_5A_041146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18736178:18750506:-1 gene:Et_5A_041146 transcript:Et_5A_041146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPVRRTRQKTRELSTTEADATSNDQEAAESENDLLASNEGKFLFGAAHAAMEAIMTESAGDGNASKTPAEVVAQVLPKTTFHRNVGIKTTRVKTGTDAGARVEEPESELEGSKKMVEDLKEQMERMAKQMEEAEAARVKEAEEAQARMCKQAEELETLKKASEDTQSLLQELAKRYK >Et_2A_015980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19926408:19927456:-1 gene:Et_2A_015980 transcript:Et_2A_015980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKADAVVAADGSCNFTTIAAAPLKSASRHVIRVKAGVYKEFVVIGEEKTNIALIGDGMDKTLIIESRCCATTPSSRHRIRPSSVSSRVHGDGFLAQDMALENSASPKMKDCQAVAFLCSGDRCAVYRCSLKSYQDTLCAEWNKQFDRECTISGTVDFIFGDASAVFQKCELLARLPLQKQRNTITAQGRDTASNTGFIFQNCTVAADDDLSHSQTYLGRPWKAFSRTVFVQCFFSGIVHELGWLQWEKDPVPDTLFYAEYKNTGPGADVSHRVKWPGFHVLHEATQVSSFTVENFIKGNVWIPSTGVPFTPSL >Et_2A_018315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22706467:22707223:-1 gene:Et_2A_018315 transcript:Et_2A_018315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGAAHQLVGGGVLSSPGPFFRLLFLALLCLAAGVPGVAGADGGESQEAAAAATGVGARRAVDVGVILDTTTWLGNISWASMELALDDFYADARHANYSTRVRLHLRSTGPDVVDAASAGALIRALFSKWGGVAIGHAADTALITVVALLLLVDQPVLARRRPARARKTGRPR >Et_4A_034176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30488494:30489964:1 gene:Et_4A_034176 transcript:Et_4A_034176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAKVPLLDGRRGASPAQTLGNIVVSIVGTGVLGLPYAFRTAGWLAGALGVAAAGAATFYCMLLLLECRDKLREQETEEENGHERQHGNYTYGDLGEKCFGPMGRHFTEATIILCQTGGTVAYLVFIGQNVSSVFAAGENGWLTPATVVLAFLLPVQAALSFVRSLSSLAASSILADACTVLAVAAVVKEDAQLLAARGVAGWIMFAAGLWGVPFAFGFAVFCFEGFCMTLALEASMADRRKFRSVLFQALAGVTVVYVGFGVCGYLAFGDATKDIITLNLPRNWSTAAVKVVLCVALALTFPVMMHPIHEIVEARLFAPGGWLRKHCVGGGGRLERAALHGSRVAVLVTLSAIACFVPAFGAFASFVGSTVCAMLSFVLPALFHLRVVGGDAGPCRRAVDWGFLLFGLGFAAHGLYTAVFG >Et_5B_044020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19030088:19031590:1 gene:Et_5B_044020 transcript:Et_5B_044020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSIVRLAAAALLVLLTATVCDAQLRRNYYAGVCPNVESIVRDAVTKKFRQTFITVGATVHLFFHDCFVEGCDASVIVASTANNTTEKDNPVNLSLAGDGFDTVIKAKAAVDAVPQCRNRVSCADILAMATRDVIALAGGPSYAVELGRLDGLSSTARSVNGKLAPPSFNLDQLTALFATNGLSQTDMIALSAGHTVGFAHCNTFTGRISGPTPDPTLNSSFAAQLRAWCPPNVDPRIAVTMDMVTPRAFDNQYYKNLQNGMGLLASDQVLHSDPRSRPTVDAWAQSSMAFNKAFVEAITKMGRIGVKTGPQGNIRRNCAVFN >Et_2B_021730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4938377:4939092:-1 gene:Et_2B_021730 transcript:Et_2B_021730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVASKGAPLAGLLRKLLAAPSGAPAVAYALRPDSVAGARRLFSSRGAPLSLSSDGEEESSGSEDDAVDGRRRGHDFSMFPLSDMTDPFGEPAKRPRWWVANADEDAVQLKMPMPGLGKEHVKVWADQNTLVIKGEVSADADDDYDGEYELRYSRRIELPADTFKMDQVRAEMKNGLLKVTVPRVKYEDRKDVIHVAVE >Et_3A_026950.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27771793:27772434:-1 gene:Et_3A_026950 transcript:Et_3A_026950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPPDNADADREGFTCSALLMCLYQPGRSRKKPEEDPEARTTSSRPQVESTGPAPDQPNETEAEQEAPHVPSRLASFEKFECASLSGNNIVFDFVEENHQAAPAAAPVIHGYCPSPCFDLPMELIRAGERYAAAAASDDAPVTAAFVFGDYQGAALKKMASCLAAGVEEARRPHLVRFLSAAGSSAPPRPPATPSRAEPPKGTAVGEDCEQC >Et_5A_042105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6342562:6344937:-1 gene:Et_5A_042105 transcript:Et_5A_042105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSKSCFPCARMGTAALPVETPFVLPGATPSWPASDGGFAKGVIDLGGLEVRQVTTFAKVWSTTQGGADGLGATFFKPSPVPAGFSVLGHYAQPNNRPLFGRVLVARDASGAGAGAGAGAAVLAPPLDYTLVWSSPDGAGFFWLPTAPDGYKPVGAVVTASPAKPSLDEVRCVRADFTDACEDEEPEVWSSGKDDGFGVTTLRPALRGVDARGVHAGTFLARSSVTPASASSTFACLKNISASYTSCMPDLAQVKAALAAYAPQVYVHPNEAYVPSSVPWFFENGALLYQKGGGAPTPVAADGSNLPRGGGNDGAYWLDLPADGNQREKVKRGDLAGATAYAQAKPMLGGTATDLVLWFFFPFNGPARAKVGPLTIPLGKIGEHVGDWEHVTLRVCNFSGELLRVYLSQHSAGAWVEASQLEFGDGGRPVAYASLHGHAFYPRAGTVLQGDARLGVGIRNDCARGGVMDTGGVAGRCEVVSAEYLGAGAGGVVEPAWLGFERGWGPREEYDIGREINRVARILPRSTRERLAKLVEKLFVGEGPTGPKMHGNWRNDEKEASS >Et_1A_008319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6369079:6373128:-1 gene:Et_1A_008319 transcript:Et_1A_008319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCGVTLRSKYFTSFRGGSQRHDTAGYAPVASSSAAATDEPAPRGNGKRALAEHYALGRKLGQGQFGTTYLCTDLATGVDYACKSIAKRKLITREDVEDVRREIQIMHHLAGHRNVVAIKGAFEDPQCVHIVMELCAGGELFDRIIQRGHYSERKAADLTRIVVGVVEACHSLGVMHRDLKPENFLLANKDDDMSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLRKRYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISDSAKDLIRKMLNPKPAERLTAHEVLCHPWICDQGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFTAMDTDNSGAITYDELKEGLRKYGSTLKDTEVRDLMEAADVDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVINEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISITDTPGAL >Et_3A_023702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11046453:11077942:-1 gene:Et_3A_023702 transcript:Et_3A_023702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLFIIGVIGNIISVLVFISPIIHRYMMSDLYARSKTFWRIARKGSTEEFEPTPYVLTLLNGLLWLYYGVTKPDGFLVATVNGFGAIMETLYVVLFLIKTAKLAAAMDIGAFGVVFVVTTFAISELDLRIMVIGMICMFLNVVMYASPLAAMKTVIATRSVEFMPFFLSFFLFLNGGVWAAYAVLDRDVFLGYVSLIPNGIGFFLGSIQLIIYAIYMNRKASQSRKALTFCRVVRRGSTEEFMSSPYVFTLLNALLWFYYGLTKPDGFLVATVNGFGAIMEAIYVVLFVVYAVDHATRVRTAMLAAAVDIVAFGVVFLITTFAISELGLRIMVIGMICMCLNITMYGSPLAATRTVIDTNSVEYMPFFLSFSLFLNGGVWATYAVLDRDIFIGIPNGIGLVLGTIQLIIYAIYRISASQNSNEITDDASQASAPLVISDTGIILKFDEVQTPYIKSLCRLAEKDAAIIDAIATFTGITMEKPTTPCP >Et_3A_023868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13802717:13807326:1 gene:Et_3A_023868 transcript:Et_3A_023868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAGDMELLDFNSDDDDLMDDEAPEANPAPAAPRLRSTIAAGGDSSAAARKTKGRGFREEPSSSRPLSGRGDFDSLGSDDGPGPLRSIEGWIILVTGVHEEAQEDDLHNAFQEFGQVKNLHLNLDRRTGFVKGYALIEYENFEEAQAAIKAMDGTELVTQIINVDWAFSSGPAKRRNVRRRYDFDHNLPTTTGPLGAINFWFGHQSYIEYSIRRTKCSLPLVISFSWKFHLQTHNALTWKKYKFDANKYLCIIAFNFSRRKIKEPSQEK >Et_8B_059569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19351834:19355070:1 gene:Et_8B_059569 transcript:Et_8B_059569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGRRRLPPWTSPRGSGAPPWWSPGASTPAGALGPGYGTPPMGGGGWGTRVTPPTSGGGCDRVTPPATGAGGGRVTPPSTGGCPSRPPRPPASLDSPYVRAKQAQLVEKDPNKAVPLFWAAINSGDRIESALKDMANILKQANRSEEAIEAIRSFRDRCPYEAQESLDNILLDLYKKCGRTEEQIEMLTMKLRIVDEELASGRWKTKLSKSHGRVVYLSLRDEKARLLGNLAWAYMQSENYEEAEMLYRQALAIEADYNKECNLAICLMKTGKLAEAKYVLQAIPYNCDDESHVKSLSRATEMLRELELQSLPSPITQVKCKESRIMLAADAEKLEDLQPPTLSTPLTQLKYEELPISVPAEREKHEDCDSFLPSPITQLKREEPHILATAGVEKNEDCGEYQDLSRLFNDAATPQSILEKLRKRLVKWAPKTSIHDQIQTPNPTEYVPKSEGTTDASESHVQERKLLTKSARKTWADMVDEDEQLGDDETWAGLVREEKMGLSDEKPTVGLGSTEQNESSENGSKLAHKTPASSQGSGTLQSAFTEAHQRSSSAGSWRHSDSKISTDKHINRDLVRTAPIWRHNKVQDHNNRLCHKPNTVHLNEKAPGTKKAPWRSSASQRELFPDCKSKCDRYGHGSAPFHDNEHTQCSGHTETIYHRHNNSSSTGSWRPHNRLRVFQEITNEIKQNVA >Et_1B_012144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29385981:29387690:1 gene:Et_1B_012144 transcript:Et_1B_012144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLDIPFAFKRINTLLFMSSLQEDASSLRDSFFQLELLEAVLKTGNRLNDGTFRGGANAFKLDTLLKLSDVKGGDGKTTLLHFVVQEIVRSEGVREARLAMESEISPRPSTSYDNSNESPQEDGDYYSHRGLKIVSGLSSEMDNVKRVAALDAEVLSSNVSNLRHELMKAKEVLNEIATLEETSGFYRLLEQFVEDADNETKFLLKEEKRLKSLVKKTIRYFHGNDAKDDGFRLSVVVRDFLVMLDKACKEVGASQKKAVNKSQSNGNCDLTSLSNQQLQFSVVLDDHLDRYHRMWKV >Et_9A_062438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22925955:22928945:-1 gene:Et_9A_062438 transcript:Et_9A_062438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VASHVFSHLNSVVAFPCPGRTRQPESRLGEAQVNNRARLLPRVPAPHLRLRRVSYDRANRRSMPPTAPAPTCKAVAAAATAAAAATGSQKPWLFVGLGNPGRMYKGTRHNIGFEMIDAIAEAEGISVSSKQFKAVVGKGLIGDVPVMLAKPQTYMNASGESVGQLVSYFKIPLDQLVVIYDDLDLPFAKLRLLPKGGHGGHNGMRSIIDHFKQSRDFPRLRIGIGRPEEMGVISFVLGSFTKQEREEIDVALHRGLQAVRTIVKEGFNKGAILANTPQQSEMLNR >Et_1A_009605.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:9277718:9280032:-1 gene:Et_1A_009605 transcript:Et_1A_009605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMHAGDVAPVSAIGFEGYEKRLEISFSEAPIFADPSGRGLRALSRAQIDSVLDLARCTIVSELSNEVFDSYVLSESSLFVYPYKVVIKTCGTTKLLLAIPRILELAEELSLPLAAVKYSRGTFIFPDAQPSPHKNFADEVAFLNRYFGGLKSGGNAYVIGDPAKPEQKWHIYYATEHPEEPVVTLEMCMTGLDKKKASFFFKTSADGHVSCAKEMTKRSGISDIIPKMEICDFDFEPCGYSMNAIHGPAFSTIHVTPEDGFSYASYEVMGFDPAAFAYGHLVKRVLRCFGPSEFSVAVTILGGRDSAKTWGKKLDVESYACSNMVEQELPSGGLLIYQSFTATGEAAVGSPRSVIHSFSDDDVDTESRNGSDALLSWEVDAVEGREAKKMKC >Et_1B_014294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5293147:5304668:1 gene:Et_1B_014294 transcript:Et_1B_014294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLEWSDWKLVLSMQDATSLIPSLQYACNPSALTMKESSSLCDSAADGNWGSKYKRKRSKLKVSPSNENEATSPTSDSPMSHGSTKKKFKHDTNIPPSAKKIRGHDGRAPPGKWHCPRCRSKKGSLKLSDNADADTSKHEKTKRMHASTASDSPPSHTKISFKTRSSTQDKSGSNEHGKQSCGGAVKGGDLSMKNNEVEKKKPLILHIKKRSTKELSADAQPLKSEFLGEPSEEKLEKHGSALKVKKHPSIMDMSPSKSQSRRQNSHRYSKRSAAKKVKYSISDDDSVSSTEPSTSLDNSESPPKRKQLDGRTPSSSTKKGKKKVKFVDRKNSEEMDLVGDTITTPHEDQQVDRILGCRLQTSQINPLSHASSNQFESNLQLDDIAPCVSSGPETNHGNSNKFHNGIQFSSNGTVEDVCADESSNHSGDILEDVGIEKGRNNKSCGSKESLKQGVKNVSVCSGDQTAMTKDDQIVEDEKPSDMPAEKDDAKLPVSRLDTVVLTKKAAESKLYGKIEERAGHDNSGIGYEFLVKWVGKSNIHNSWVSESELKILAKRKLENYKAKYGTSLINICKEQWCVPQRVIAVRASVDEVEEAFIKWCGLPYDECTWERLDEPTVMKYSHLVTQFKNFESQALDKDRTGNNAHGRNRQELHVLVDQPKELQGGMLFPHQLEALNWLRKCWYRSKNVILADEMGLGKTHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLSSFEEKFNDLTTAEKVEELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSVQAEYYRAMLTKNYQVLRNIGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPESGSPEFLHEMRIKASAKLALLHSMLKILHKDGHRVLIFSQMTKLLDILEDYLTFEFGPKTFERVDGSVSVAERQAAIARFNQDKTRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSDSVNGKDSNEAIGAVADVDFKHRRRTGGLGDVYEDKCVGSSTKFVWDENAIMKLLDRSDLPSTVAESTDADLDNDMLGTVKSIDWNDELNDDPATNEDIPDMDNDGCDQAPEPKQGAATRAEENEWDKLLRVRWEQYQIEEEASLGRGKRLRKAVSYRETFATLPSEALSEDSDEGDEPKREYTAAGLALKEKYGRLRARQKERIAQRHTIMSYADDKLEEFMKSYDPIAAEHENPLIIVEDPNSSQASGGKRFSESTAEMRQTSKKSKRYAEVPQDSSKHHSKATDASNPGTQDHLLPVLGLYAPNADQMNSYKNSSCGSSMKEQKRASGDVTNKLMSTPADHTSEQRNETESAQDKASFRGASEEALRRLNNSIPDSYFPFNPIPPLSGKGGDHVDNSGLSIASFQGKLGLPSFDLEGNIPLKHMKSIPDIFPNLSLGANKDYAGNSLSELPNSSLLPNFMADFTGNLKQKSLMSGLLPGLGLNPVQPINSAMPENHKKVLDNIMMRAQYASSKFLKKKSKIDYWSEDELDALWIGVRRHGRGNWDAMLRDPKLKFLNNRTSDELASRWILEEQKLIEEPMSTAATRSSNSASLPGISDAMMSRALNGSNFSKMRMEQPKLQSHLTDIQLGSGDILSRFPHTEVAKYMNSGEAGQPQMPWQDFKHRSKYGGDFPGSTFDKLEKPEIGPLPPFMANPFMNETIGSLPINRKRNSSIQQSEIGSSSHENLLHGVSDGQINMFHEMQRRMMLGKQPIEMNLNRTNHSNSLLENSSDFGSSKSNKLPHWLQEAVRVPPSKPPECELPATVSAIAQSVRLILGEQEPAIPPFLIPGPRLSRPKDPRITSKKRILRKVQQQTPQVDHSKITSSQCDGYTTPSMPPFTEASPVPPAVDCSHDGTPSLNLNSPSSSSAASQGQNESHPVVEELHQTVEGQEAIVATCMLKPEAPDCQRTGSSVVDDKASRTYRSPAKDTNPDPDPQGGTLHASDNSALPDFDDARETSSRAALGPAPCVDEDLKQESPLNNEGCPGPREEPIEKPARLEEGRDPGPMEKLASHEGRNLVASPVESRDSEPTEKPDPLENRDSDALHSVSAQVVDEDKVDEISSDEH >Et_7B_053393.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:15011621:15011632:1 gene:Et_7B_053393 transcript:Et_7B_053393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVD >Et_7A_051452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18820809:18824446:1 gene:Et_7A_051452 transcript:Et_7A_051452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASSSSVLPAPSLSLTSPRRLAGQRLTTKSLSLPLAIRCAAAATTVSTPPPRPIPPPKLVRCPALDRQAARASRVRFARKLLTLLLSKPRGFLPVRVLRRCRRFLGLPRDRPLIPMVLRYPALFRLFQAPTSSPFSPTLSTLAVGLTPAADLAALRGAAAVAPALAGKIHRLLLMTPRRSIPVSPFEQLDESAGIDMLERRACAVVREVLAMTVEKRTLVDHLTHFRKDFGLPNRLHALLVRHPEMFYVSVKGVRHSVFLVEAFDDDGRLLVEDEMLVGRDKLEELVREGKRMRRTRKKGVLALDGDSDEDEDDEMGKEASPLEVDDEFGDLFEDGAIGEDWEEVSNGVGGEGDEEYDAESDAIEEFWVKKAVAEGLVESGSEQDICHLKRKHNRTEIKIWNQKSIAGIDMGAVCITPM >Et_6B_050010.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:3213059:3213265:1 gene:Et_6B_050010 transcript:Et_6B_050010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLWPTRKRRTGGAAVRTRRGTSLGRLWRRVAGPRTTTTRPRRTHGKAGLLSRAARVLSCTRRPRAY >Et_3A_026646.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:11863240:11863440:1 gene:Et_3A_026646 transcript:Et_3A_026646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERAELRRGGFKPSVSAVDGLRRRLDDMVSVRKDSRERALQRRLVVGTGSEAASHPPASAL >Et_4B_038220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27269088:27275476:1 gene:Et_4B_038220 transcript:Et_4B_038220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRDRDSESRRRSSSSRRRRSPSPSESDASSESSDSARRRKSRHHRRSRRRRDSPSSSSEVSGSGSEDSGSDSGGRRRRGRSGSRKRRDVTEEQIVEYMAKKAQKKAEKVAKKLKANAVSGYSNDSNPFGDPNLTENFVWRKKIERDVSQGQKVDISVKSEKKKQQERMAEIEKVKKRREERAIEKAQHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDVLLKNLNFSDEFDVELNEPYLVFKGLTVKEMEELRDDIKMHLDLDRESQTNVKYWEALMVVCDWELGEARKRDAIDRARVRGEEPPPEVLAEERGLHASIEGDVKTLLDGKTSTELEDMQSQIESQMRSGTAKVVEYWEAILKRLHIYKAKACLREIHASILRKHLHRLEHPTAAEQDVVSEKEDDNKMEDEMHYEEDDKQYSPEPIPEHPDAHSEEDDGSFSPQLMHGNEDEDAVDPEEDKAELERKREAVVMEHQRKVQEAIKAKARVPDDMEMKAIKTMGAMEEGDAVFGAGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKSKAPTYSIEKDGSTGETCLIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHLYFNFKRYRYRR >Et_10A_000264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20615559:20616671:-1 gene:Et_10A_000264 transcript:Et_10A_000264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGLVTGIFSRLVDSSSSSPGARGGDQASPPWAWPSCGNSPQTTSFRAAAARGAGATAADRLKLRGAVAGEMYKTVNSVYFDPAAADDMFSLDDGGAEREAADGEVLDDDAFSTTTASEEWSEAVIRSLGRSTSTDRFFFDPGPPSNSILPAAAASPAATPPPADTTPKPDDKKQQPCGTASLAEGSVAVAVDSADPYGDFRASMEEMVSAHGLRDWAALEELLSCYLRINGKQHHPLIVGAFVDLLIGLASSASATTTTTSATTSTSTSSGGGKSTKSSSTTSATTSITTATATTTMAAAAGVTEQCGGGANEASCSSSSSSCATPDSQDASAGDDHIRSS >Et_7A_050643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9731606:9732793:1 gene:Et_7A_050643 transcript:Et_7A_050643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTATSVMFREDETDDGWIVLDTGATTTMPKPPGGRAIAAAGSTLGRRRPFDPSPDILVGRYLPLRRALRCDALPPQIHDADVYGAHHPAFLASVYPPAAADDDDGDRSEWFFFVCRARGLGGKRRAGRGAYRLAGEADFGGGDGARNYYRHTFRYHEDAAEASAARETEWRMDEYGDHDGHGHGAGAVDMVVCKVYPARGGALDKSLRAGRRSSAKRAAGARPQVLVQLYLATLSVGDSLRCRMHAAATDVFAAHPAALTGVLPAANDRFEWFFAVRRARGDDDDGAAPRPRRAGQGQYVPVASACRDVRDGKGRELGYRRVFRYWEDDEAVRHASRTVWWMEEYGFRPHFPYGEHGKDEVLLVYKVYLTMARR >Et_10B_004315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3743326:3746192:1 gene:Et_10B_004315 transcript:Et_10B_004315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDVTLLSIPRPFRKYFEKEPNRLVSLKGPSGNTWQVFLTSGSKGHAFTQGWKEFVGDHSLEWGHFLVFTYDGCSEFSVAVLCRSGVDDMSAFDARPFKEIAAQVEVGQRVVNTKAAGKSKKELPALPSLEGNGKIRKRFRQGNDAMVNGSALKRHISAQKKHEKRKLKAIGSTSNKAVRTSVLNSKNLGSNICGSNESDYAFEPESLGTNIYEYPDPDFHSFAEGFSYENFEYGQIWALYSDFDTFPKLYGRIDKVETKPFRVHLNWLDTWTRSEQEKLWIEKKIPISCDAKKTSNRLQFEIFPRVGEIWVIYKNWAPDWVPPIACEFSVGEIIEVTEAFTKLSFLSKVDGYTSVFQPVVGKKPLEIPINESMRISHRIPSFRLTEESGAKLNGLYELDTASIPDAGYSKSNLRAISGIARSVSLVRIIGDDLEVLTIGGLACPTSPRLRKQDLCHGSS >Et_4B_038096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26263881:26264518:1 gene:Et_4B_038096 transcript:Et_4B_038096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIFKIVAMLVAISAIWIALLETSTIPRSYTWMLPIYLVVALGCYGLFMVGFGLMFFPTCPQEAILLQQDIIEAKEFLAKNGVDVGSQ >Et_1A_008875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1706094:1707115:-1 gene:Et_1A_008875 transcript:Et_1A_008875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAGKKKKKKKKKKLPLLALILSCLVFVLLLAQPCAAARPVPETTGTIDGRRSQHLNLKGGPLLRGPESVAFDGDGAGPYSGVSDGRVLKWNGNLRGWTTYAVQPQGLRFHLKSGNLYIADAYKGLMRVGRGGGEAKALVNKVDGVPLRFTNGVDVDQVTGEVFFTDSSTRYQRSQHERVTATGDSTGRLMKYDPQTSKVTVLQSGITYPNGLSLSADRTHLVVALTGPCKLMRHWIKGPNAGKSEPLADLPGYPDNVRTDGKGGYWVALHRDRNESPFGPDDHMLTVRIDGDGNIVQVMRGPKSVRPTEVMERKDGKLYMGSVELPYVEVVSA >Et_5A_042967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8181020:8181603:1 gene:Et_5A_042967 transcript:Et_5A_042967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METDLKELAKCEVLVVTFLMINHYFMPVMVHLLQKCAGIRKLVVRLSYSKEYGYPCKPFGCPCKLMETRTTNRIVLDSLEEVEVTEDGEPDQKLELVRLLTKCSATSKKNVLVTVSEGMQSQYTRMRLRSITRKMARHYRA >Et_10B_004370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4905646:4909837:-1 gene:Et_10B_004370 transcript:Et_10B_004370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAGGPATGLNRTGKSCRLRWVNYLHPGLKRGRMTPNEERLILELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKRTMSPSSSSSSLTYQSGYPDTPSIIGTEGQELHGGNNGCITSILKGTPPDMEGYPMDQIWMEIEAPPEVPSGNFDGDKLQDHAFISLPGLLPPSAAWDYYCPPADTCWKMDDETKMAPQFGFSEGAGPLEYNWICLVDDPRQRTSNQKEPIGIDKSFDQLIELRDCPSF >Et_2A_017233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32222688:32224234:-1 gene:Et_2A_017233 transcript:Et_2A_017233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVTKGVNNLAITEQHKKNRIQVSNTKKPLFFYVNLAKRYMQQHEEVELSASEWIMTSTVDVNDDSRNRPIQKAKIEIVMGKLEKFDELMAAAAAEREEREAAEAEEQG >Et_5B_043932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17985587:17986461:-1 gene:Et_5B_043932 transcript:Et_5B_043932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRVLVLQYMPNGSLDEHLHGDARQCLGFLDRLGIMLDVSMAMEYLHHGYCEVVLHCDLKPSNVLFDEEMTAHVADFGIARLLLGDDNSMWVFEAFPARLIEVVDDKLLQDEATRQCFNQQFDTSLGSCSSCTRNHFVSIFQLGLICSSESPEQRISMKDIVAKLEDIKKDYSTSGHVNALELLNEATYMEKFLISH >Et_1B_012983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4535456:4543773:-1 gene:Et_1B_012983 transcript:Et_1B_012983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQGIKTRLLDWSSVSNYPLFRSYVRSNYGSLVSVYDTMLVFNEAKLKWSKVSYTPAVLGIVEDFILKAVLTEGVDTLKVEFSTDRYLTVGHNGRCMDFEVNGKIVEGKSMPPLIFGGSNGNMIMTDWEEKFKSYRANPTEPSLEQIIYMLSGELEIELKDAAKQAVYKQVLRSKTHQDMKPKIGSYDGRHNWSRVRFKLFGTSTLGLEELIKTRLVEINATIANKVSFEYNGQTLDVKIFLQLVDVYPSMILEKRPDANKRFCIKVGDQIELGIIRSNGAFTQVWGRKHRQVTGGGSDGGGYQMWMVGLGEMIDKQESYYSFINGRRTKDDATNINLVVKRMLSMLMSGMGVLGREHYGVLSLMGKVENVRDSDVKDSMSQIIQNIMNARGLDFETCYKTAYKLRYGRVMLMMNQDADGIRIKALLLNLFGKYWPSLLKTDRFLSDFIIPLIKAKEKDGGAEQIFYTTESFNRSDVKRDIDSWKITRIKGLGSLTTEDAKDYFANVDDHRRYFKWSGEIDSRALEVCFAKDKLNARKIWMLRTSSLQFQSDTEFSRFLIEDLLRSVPSMMDGLKTTQRKVLFAAMEGLKEKKRGGKDHSTAYYLYVELDDITKFIFRDDDKDLLKYLEEDVQPKWYCPAALLLRGPTIAEDSNSISLKPGYRGFKGRVEKSADNEHGIKCTIYGCATEISDTAKLLERMSNDRIRIDNTIMYLEAMDKGEIKQMNRKKLIAKFTEKGYKAIPNDGDSGFDHLINLSCDECDIECVPNLVAEREGLHQRMEEMQKARDTDLWIKDLEELQQKLAEKGVEPRKRKVERTSASSSDKKTRFGP >Et_10B_003830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6531343:6563033:-1 gene:Et_10B_003830 transcript:Et_10B_003830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAQETTTSTEVAGRDLISELSDDLLLQILSFLPAASKVARTTVLSRRWRHLWSNAVSLRFSIGRAPKWYDELDDVDDACRLIDAASAVLERRAAGGGPDVKDVEVSFIYSAEDNEYIEALSYVGYSYYHLHGAYVTSAHLATWLSFGASHVTRRFTLAVPVMVPTTGSFHALTDLLLSHARFNPGGGDDIRLGHLLSSSCSPHLRTLQIGYISGLSTLRLASSSLQELRLLGLHNMKMLEVNAPALRTLTFDETYHRIKEARISAPLLEVLGCGFIGHAEELQFDGAASLRRIDDLHFYSHRLPRDHGADNSAAMWFLRHCTVVDQLDVNLFLSIWPLENIYEEEMADYKDMMVDVPALPNVISLTIKIDSVFGHGHTVGATLAKLIAKCARIEYLSIDIRPEECSDPSCICGHPKGWDRQKMWLQHLRIVEIHDNCLLDSHMRLLKLFLANAPALERMTVTFKPDVSFVKDIGDANFDVLPCCGGRWAPSVWECSEIGFVSPIAYEWTPLTTQRGEQEEEEMADYEDMMMDVPELPSVISLTIRINSVFGHGHTVGATLAKLITKCARIEYLSIDICVMTKECSDPSCICDHPKGWDRQKMWLQHLRHVDIHDNCLLDSHMRFVQLLLANAPTLERMTVTLKPDMIFVKDLGDDVYFDILPCCGGRWAPYVWECTMHGTAATTAPAFFFPAVAADDDMISNLQDDLLLRILSFLPSSADVARTSAVSRRWRHLWRDAVALRFDVGRQPEDYTTEADADGARRLIAAASDAISRRAAGDGPDVEDVELCFVYRCAEEDDDSYSEGPHYHANDITPAHVSAWLLFAARHVTRRFSLALPTALPEEEEEEEEDDDDDEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEVVVEEDEDEDTIEHEENDHEEEEEESSVADDDDAEESAEENNVPFIAELPLSARIAEMSLTLGKAIFSVPPAGAGAFAALTDILLSQVHLNTGGGGDDDDDTRLGHVLSSSCSPQLRRAQLTHIAGLSVLRLAAAATLYELRLLDLKDLHTLDVDTPGLHALSVRQCYGITAARISAPRLRELEFVHNARRLQLDGAASVRRVTALPMWSHLPKRRENDDGEDGNNNLSAVWLLRHCTGMDRLVVRVEEADIEDMMMAIPHMPNVTNLTIQVNADGHTIGASVNEECLDSSCICSQPKEDWDSLKLSLEHLRSVEIIDFLLLDSQIRLVQLLLASASALERMVVAMDMSHLEDGEEADFGIPCYGGHWVPSVWECSELGFIRPTDGLHDDLLLRILSFLPAASDVARTTVLSKRWRHLWHLAVALRFNINGKPKTYKKADDVATARKLIADACAAVATRAAANDGPDVEELEVSLVYRTLMGYLDHDPYDGFGFGHHHAADVTSTHVAAWLGFAASRVTGSFTMAVPSVPDAIAMAAMAAVAAAAAEEEEVDELEEGEAVDQHEFAAEEEEEEEEEEEDEEERFLFAELPSSMRVSAMNLILGQAILKTPDAGTGAFRSLTDVRLSYARLEGDDDHHRLGNLLSSSCSPMLKKLKLSFVSNLAALRLAAARALDELHLLSLRDLRSLSVEEAPNLRVLRIDGCYHVSEARIAAPLLEVLEWDYPCHVKRLRFDGAASVRRIDMVNLYSHHRRSGDDDHDVNSGTVWLLRNCTGARRLVMSVTFPLWMWLKELPKVNNLTVNIWAGGHTIGATVTKLVAKCAEIEYLSIKITSPKQECLYEDCVCDNPKDWEFQKIYLQNLRHIKIRDFLLLDSHKRLVSLLLVSSPVLERMIVALRTCCEGDDEEADFDEVPCYCGRWEPYVWECSELGFIRPIKYEWMPSIERGKETRVDVHETATDAAVDLISGLHDDLLLRILSFLPAASEVARTAVLSRRWRHLWSGAVALRFTVGSEPRDYRYTQADRDDACSLIAAATAVLSRRVTAGEGTTDVEVLDLSFVYSDSERRYIDRPCIGYEHRHYHAVDITSAHVAEWLLFAARHVTRRFKLTVPTVPEKERRPTMPQVEEEEDEEDDDAEEEEEEEEEDDDDDDEEEDYKVEDEEENDNDDEEEEDDKVEEEDDDRWKPLPEYEYDDEHEEERVLRAEFPSTMRAEDVTLTLGHAILKVPTAGTGAFRALTDLLLSHATLNASPGDDHRLSHLFSSSCSPRLRRLRLQHIKGITKLRLDAAATLEELQLESISNMWLLEVDAPCLRVLFVKGCNHISSARISAPRLEVLACHRLGRGERLRFDGAASVQCIEELHVASHRLRQGHNDAEDEDNSAVWILRHCAAVDRLHVQVTTNHYHLSFPLKIAEAEYEDNVVHIPPLPNIINLRIGYSVWPGGHAVGATLAKLIAKCTEIETLSIDMMGMTEDCSYPECISTEDLLAKPNKCRDPDFLPYDGPMGLVRLLLANASSLERMTIAQQTIPVQGRELVDFHIRCYGGRWIPCDWSLDRELGITWATKYEWTRVSTEGGEQGEGIDLHGAGPIAGLDYDDDHILHAPSLRFAVAGNPFRSDGEDTRRLIRAVDAALALRGGAAAAGEGSPDVEALEINFVHYTDTAGTCISAPNLELLACDTMCIPDRLLSFHGAGSVRRLEKVSLWSHIYLAHYNAGAIWLLQNCTAAESLDISLSPAQMKEGLDIDETMSSVPQLDNITNLAIDVHPGRWHNLKVTLADLITKCSRLERLSINIESANDYCAKPLCFCNGKDVEKISMEHLREATITGFHPSMYHQSLVQFIMAGAPALEKVTLELYVGKETHEDIPCDRGRWAPSVIKHSPKGARTTVYQWTADKKREEEKDGTDGLILSRPYGAGTSQEDTDDSRRLIAAVDAALALRLRGGDVDELEINFVYGSPWNKFLYGPSGSGHYICQHGHAGDITSEHVASWLRFAERRVTGRLTLAVPVLPWQATTTKAAPERKQLAAELPASARAEAMSLTLAYASLAVPVAGAGAGFHALGDLTLTQVHIEPGSADERNLSDMLSAAGCPRLRRLRLEHITGLAALRLGPAAAALEEVNLDCLSDVASLELDAPGLRTLRATGCFAMVGDDAAARISAPNLEVLACDTMCLPDRLLSFHGAGSVRRLEKILLYSHVNPDIDEHINAGTIWLLQHCIAADSLDIEISPALPAQEGLDTEDAMSRVPQLDNITNLAIDVGPGWWHKLTSTIVQLLAKCSRVERLSMHISSANDTWSKPCCFSNGKGVEKISMEHLREATITGFHPSKYHQSLVQLIMESAPALEKLTLELFIGKELHEDIPCSRGRWAPCVSEESPKGPRTKVYQWTAEKKREEEGSAGLILSRF >Et_3B_030184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31162364:31165489:-1 gene:Et_3B_030184 transcript:Et_3B_030184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGAPWAERARVVGTQIRNRFRVAPVDRRWLWRRADGRVATEAVRRWSDRVRALVQRDRSVDSSTSPGTSPEAAAKSSSALRFYRKKVGKDVDGIEESVIFRSLQALAVPLIGNACYIFMHGLNSVQAAQIYGAEKLHQALQGRAKGKPLLTVSNHVAAMDDPFVIASLLPPSVMLQAQKLRWTLCATDRCFTNPGMDMALSKLNSGGWVHIFPEGSRSKDGGKTIAPAKRGVGRLVMDADSLPIVVPFVHTGMQDIMPVGKRIPRAGKRVIVVVGDPINFDDLIIDNRDATQHISRGILYDKATERIGQRLQELKAEVDRLAAEQHSEPENHYMQNIKDDVYRLWQQVDWEAFGIGSSMSSEPSSVQEPSQIVKPELNLEVEPSLSPAPSASISYDVAVPHWFGRHVNPSELMGFAARGLIKNSRFLDEGYREFEQQATLNTWWGSHANTAVPRWSTA >Et_7B_053338.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:1124261:1124446:-1 gene:Et_7B_053338 transcript:Et_7B_053338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLELLVTLLPMDLRHLFPALLHKHNKVINQLILKSQVVAVKKKMGGESHAKIGQKRRI >Et_8B_058611.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:9514829:9515479:1 gene:Et_8B_058611 transcript:Et_8B_058611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRQQRPVLAQQQVPGQPRRRIGRAPPQRLVLAGALRHGRRGRRTGRPHLRARPVSGRRRRAAGLPELRRRRLPVRAGVVPVRQGGGRPLRRLLRLLLRPGLPPHHRQRGADLPGQHAAQRNGAARRAAYGTRGRRFATARMYSGSVDAAAATVHADALRADAVHAGPVARRLLALPGVPHREVAGPSARGPWGCAAATDTRTTPSTGGNPCST >Et_1B_013052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5044767:5048955:-1 gene:Et_1B_013052 transcript:Et_1B_013052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSLRLPGRAASAVAAAAVTVASLADVAYADGTFRRPPPPPDAMGDATAFDSDPDTLERMARLNQLMKKQEATRLEALESEQVHMAINQVLRDIERKKKEAEENRNNVQQQLQAKAQALRYEDELTRKRMQTEHEAQRRHDAELVKMQEASALKKEEARRATEQKIFEQMLQAQREKAERDRKTNEAKALAEGEARAHEKILSEDIDRQLLVETLNGEKEKWLAAVNTTFSHIEGGFRELFSDRRKLMMGIGGVTALAAGVYTTREGARVTWGYINRILGQPSLIRESSMPKFPLPASRLLKPSSAPFSGGAGFDNVILHPSLKRRIEHLARATANTKSHDAPFRNMMFYGPPGTGKTLVAREMARKSGLDYAMMTGGDVAPLGSDAVTKIHEIFDWAKKSKRGMLLFIDEADAFLCERNSIHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDAAITDRIDEVIEFPLPGEKERFELLKLYLNQYILKEEGKGSSWGSLFKKQPRKIDVKGVTDDLLLEAARKTDGFSGREIAKLMASVQAAVYGRPDCVLDPQLFLEIVDYKVAEHHQRIKLASEGRSTSPASLS >Et_6A_047371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4649306:4653907:-1 gene:Et_6A_047371 transcript:Et_6A_047371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTLSLLTTIMLLLLPAMSESALDSKCPTKCGDVDIQYPFGIGAGCYRSKGFELFCINNGTKAVPVLQSDTHTIQVASLSVAPEPEAKVNFPVAYRCYNSSGGDVGFFDGHVDVNARGVYRISDSRNVFVVLGCNTGAYTMNSNSSEVGGGGGRYAQRYFMGCFTYCAVAGSATDGRCDSVGCCRVEIAPGLTDNVVKFQSYPHGGMAEYSPCDIAFLVDRDGYKFRAADLLMDVRRTTMPVWLDWAIRDGATSCAAVVAGNRTGYACVSDNSDCVDALNGPGYFCRCKQGFEGNPYLRQGCTNINECTRPEVYPCYGVCKDTEGSYECKCRSGYTTNGGDPKKQQCSPTFPLQAQLALGIPLGFSLLAVALLFTLMVRHKRRMNELFRRNGGSVLENVDTIRIFTMEELKKITKNNSHILGKGGFGHVYQGILEGGTMVAVKASIEVNEARKEDFTNEVIIQSQMIHKNIIKLLGCCLEVDVPMLVYEFAAKGNLYEVLHENRQRFLSLDLRLDIAAESAEGLKYMHMSTNNTIRHGDVKSANILLDENFMPKISDFGTSKLLRRDEDFTMMVVGSMGYMDPVFNQTGLLTQKSDVYSFGVVLLELICRRPTIYEHRSLVIGFQRVYKKDNSGRAMFDKDIAVTEDDMIVLDEIGRLAMECLEEEVEERPDMKEVAERLVMLRRARKHGQWSYGGPHHHYEKDNVGGASISFETNINRTSNSMPLMPSMRKHSDQFNPPSAATCFV >Et_7B_055942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7826623:7831677:-1 gene:Et_7B_055942 transcript:Et_7B_055942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEKSPDGEDEVNPRVEAFLEILGRVPAGEVEAALSSCGIGPTAEVAEQVLKSRVCYSRPKSAVRFFRWAARTVAHTAYAWNLLIDILGKAAMFEPMWDAVRSMKQEGAGDLVSVATFASIFSSYCARGNLKEAAAAFDVMGHYGLKPDAVALNSLLSAMCRTEGRAQDAHDMFERTKATVVPDADTFAILLEAWEKEGNAQRAKSTFGEMVIRVGWDAGNVPAYDSFLSTLVLGGLFEEAFKFLQMMRGKGCFPGVKFFSNAVDIIIRKGDYANAVTIWNLMVSEAGLVPTFSMYNAMIGLCCNVGSMDYALGLLDEMPFNGIFANSVTYNVILDGFIKHRKSREAESFLAEMSKNEQLPTASNCAAAINLFFKEFNPSAAIDVWDCIVEHQITPAEDSARELIAGLLDLGRLTEVNNCADEMIDMGVELPQSIIDNMKRAFAKAGKHQSFEHISRRLKRHKYFGTLETGGLAYILKLLDASGPMMPKSEAK >Et_5B_044765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5576271:5585675:1 gene:Et_5B_044765 transcript:Et_5B_044765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNARLFRHLALAVFLLLAQLTDSALVPKIKNHHELKPHASNTYIVHANHHAKPPSFASLDHWYHSLVAAHSPRPTNTSGRILYTYDTVIHGFAIQLTGDEAQRMSTASGVTAVHKDKTYYPHTTRSPGFMGLDPLNGVWNETDFGDGVIIGIIIGIWPESASFNDRGLGPVRPSWKGKCEDADDFHAALCNNKLVGAKAFDAAARRDGAIPSPRDKDGHGTHVASTAAGAEVPGAGMMSMFSRGTARGMAPKARVAMYMACGGHCFGADIVAAVEAAVKDGVDIISMSLGNDPTPYYKNDFATATFGAERRGIFVAMAAGNKGPYASTVDNSAPWMTTVGASTIDRLFPANLTLGNGVVIAGQSLYTMTAKGTGMIQLVSSESCEEEAGSWTPDQVMGKIMVCMDRATDEEGVALQNAGGAGMINVDTSEFSREGADAFPFALPGLTLSVDAHEKLKAYMASVPNPVASFSFGCETIVGENRAPVVAGFSSRGPNPAAHELLKPDVVAPGSNILAAWAANVPLSNGRRSEYNIMSGTSMATPHVAGVAALIKKKHPDWTPAMIRSALMTTARTVDSMDRDILDNGVTSDRRESVRSATPFAAGAGHVRPLLALDPGLVYDAGERDYVEFLCALNYTTKQIRLFAPDFVKCTRTLPGGVAGLNYPSFVVTLDNGTDVRILTRTVTSVSEKAETYNVTVAAPERVKVTITPATLEFKKPNEKKSYTVEFRSLAGGNATAGWGFGYISWENEDHRVRSPVAFQLFQLALFLLVLTQLTKSVSIPKINNHTERKASNIYIVHANHLAKPPHFDDLEQWYHSLVAAHSPQAANTSGRILYSYDATMHGFAVELTGDEAPRMSSYPGVTGVYEDRVFYPQTTRSPEFMGLNPANGVWPETDFGDGVIIGFVDTGIWPESASFNDSGLGPVRPSWRGMCVDAGDFHATSCNNKLVGAKAFNAAAQASAGRKSTGTVPSPMDKIGHGTHVASTAQRSPTQA >Et_4A_032578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11563900:11568356:1 gene:Et_4A_032578 transcript:Et_4A_032578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRPAGPRATAHGGGGGAGGRGRGTAAASGAAKAPRHVRMIQEQQARARAAAEAAEAAARAEEARRAEEERRAREEEERRAEEERRAKEERRRRRRLEEGRKRAEKRRMDAARRRLGIAVPDAVGVDDGGGGAKKRPVYQARKPKLQPERSDNAQSEAVVGDVEGLELPVEEEQSNASSENNSAVRSTPNSCEEQSTGSSEGSSIDNEDDDWDAKSWDNFDTLLRDKKGVETEENHVTSAIPIKSISLSEEIDEDEISIPQGEDSSNGTGRELRAPICCILGHVDAGKTKLLDCIRRTNVQGGEAGGITQQTGSTYLPVENIRERTSLKAEATIKVPGLLVIDTPGHQSFSNMRLRGSSLCDVAVVVVDITRGLEMQTIESIGLLKCRNVKFVVALNKVDRLYGWKTCPNAPIAKALKSQSDDVQRELKWRVNEVITQFKECGFNSALYYENKKIKEAVNIVPTSAISGEGIPDLLLLLVRWVPEIMTEKLTYVNAVECTVLEVNEVKEFGTTIDVVLINGTLKKGDQIVVCTKQGPVTTSIRHLLTPYPLKVKGSYERHEELKAAQGVKIVARGVQHALAGTSLIVVKPGHDLEQAEAAAMEEISNAISLVNENERGGNEDGTATQEIRRIQTCKEGVYVGTPISVCVPRKDRGADVVHGLGRISSIKTSNGIEIDSAKKGVVSIKIIGENPREKSRLYGRHFNDSNELLSQISRRSIDVLKEYYRDEMSDENWQLIRRLKKQFGIA >Et_1A_009515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7044200:7045502:-1 gene:Et_1A_009515 transcript:Et_1A_009515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGFRRTISFPAPKSSPAGSNTNKSAAYRARSASLPCRFHPLVLQLDDDVADLRATVGRLAGGAATAGSVAAAAEQLARVLVSLSDLLHHPQAQDPLRRLGRSPLAERLLDDFLRLADAHGSFRAATVSLAALQAEARAALRRADPARLASAARALRRSGRDLPRIASSARAVAARAPPAPPADLPADAAAIAAAAVDAAAAVASASAAVFSGVSSLSVAASAARVEVETATPCWMPSPARFATPRTHHVITTRSSSMPRIWWVADLMRWMSRAKRRSAKKQHAEDHCSTSATQPQPHATVVAMDPEDKEREVAFERLDNLERCIADVESSGEQNGASKPVARKGNVQLFFLSASVHPSLQ >Et_1B_012939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4216453:4219514:1 gene:Et_1B_012939 transcript:Et_1B_012939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARSRNTTSSPPIKHETPKTYTLHSAQLVQPCLSFDNDLHTGGLLHRGARALALRDHLSAAERTPAAAAQPRIHALSVDAVLARRQDPEPVAGAERLQAHRALAAIAFLELVARQLGDLLSRQPGAAAGTGFLQMARDAGGGARAVERPDDHDDVDGEHQREADDEEDGGVGSSRHFTNRRAAVDASTP >Et_10B_002656.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:3713306:3713419:-1 gene:Et_10B_002656 transcript:Et_10B_002656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTMAQHHRRRRLPPPLPVSPRGNHRRRLLQRSPFFL >Et_7A_051240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1616592:1620186:-1 gene:Et_7A_051240 transcript:Et_7A_051240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVALTPASPALLPSLRCREHGRVRLSARRPRHAAGRCRATAQTFQGGPAASYAREMERLSAKESLLLAFKDAGGFQALVSGKTTEIQRIDVNERIVGLERLNPTPRPTTSPFLEGRWNFEWFGDSSPGAFAARLLFERSPTTVAHFKGLDVLIKDGYAKISSDLKFLNTIQSKFLLTTQLSVEGPIRMKEEYVEGLIEIPKISEESMPEQLKGLIGQAAGALQQLPSPIRDAASEGLKLPLGGTFQRLFMISYLDEEILIIRDAAGAPDVLTRLEGPQPNPVDGTADAVISEYES >Et_6B_050007.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:3032330:3032842:-1 gene:Et_6B_050007 transcript:Et_6B_050007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHPRRSRNPPTPAVEAARDHRRLGAGDAGKRLSMSPASDPAAERSRVHSASAAANGSAAAEGPGGMARRRWPRRAAEEGGARSGGGRGSGAGGDDAASAWRRVLGMPGWCSQEKGTEVVVVVVVLERVAPPPRLRGDGVGEEAAEEAVESEDEDDDDEEEEKVRWRR >Et_6A_047007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24016798:24018688:1 gene:Et_6A_047007 transcript:Et_6A_047007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASIPSPMLNDTAADGATTAAPLLSTATTTPDVPRWNTFAFVCATLASMTTILMGYNLALMSGAELFIREDLGLSDTQVEILAGSINVFMLVSILAAGWAANLLGRRATIVLDNAFLLAGALATSLGGSYVALMAARFVTSIGCGFSRVVAPMFINLGILLAYVSNYAFAGMPTHLGWRVMFAAGVLPPVLLAAAVLVMPESPRWLVLRGRHDEARAVLARTSSEASHEADLRLEEIKKAANTPDTGGAWSEMLLRPSVSVRRILTCVASLQFFHHASGNEAIVLYSPLVFRQAGMASNNAVLGATIAVGVVKTCFILVAVLLSDRVGRRPLLLASTAGAAVALTSVALALRVRATAVCVASVLAFVASFSVGLGPLVSTYNAEILPLRLRAQGVSLGMVVNRVTASVVSMTFISLSNWITMPGCFSVFAGAMVVACVFVYTQVVETRGRSLENMDVLFAD >Et_7B_053211.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12094470:12095511:-1 gene:Et_7B_053211 transcript:Et_7B_053211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding STSSSGDGDCEEPVSLRITGFGTSADELRQTEARLTRFASGCPNLRFEFEGIVNGPNSRGRHDGMIKTDDDATVVINLVFPDTQNSRSSSREYSTLALVHSLKPSLVLLVEKHEAGAGSYPGGNATSRRSLLPRVADILRYFAAVFDSLHECLPADSAERLAIERNHLGREISNAVASLDQHHHGDDHTATARCSRASWKEMMESVGFEGVKLSSRTVSQAKLLLKMKSGCGGTGGGFRVVEGDGGKAMSLGWRDSALLTATAWRRRRLG >Et_7A_052247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6022225:6024393:1 gene:Et_7A_052247 transcript:Et_7A_052247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTIHGRPPPPMAPLPRPLLLCLVVALLAASAAQAVDPPSDCGGTDRCGDLVIPFPFHLNSSSYNSSSSAGTNSSSFRLSCDANATLTLPLGSTTFRVLAFQPSGSLLLDYAPASPSACDAAYAAFSRPTSPAAALDAAAFLAVTPANVLRLYACEDSSLCRAGCDDVVATCGGGKGAGCWYPLSDGSVWKPGNGLGVFADFGCRGFSSWVKNRTAAAGACGFLRGVEVEWAVPRDSAMAKCADGAALVNATAVHDGVRCVCPVGLVGDGFALGTGCSKGTRSCSSDGQASDGRDCSCQGRFCSKRAIALAGFFVSLFFLAAAVSFWLFLRQPSKDSRWDLDPACIPKILRSACDARQFTYEQLEEATRRFDSDKAVDTTVDDGAVYAGVLDDGSVVAVQRIGYDETQGRLRLVLDRVELLAEVAHPNIARVVGFCLDSGSGRALLLVHEHFAGGTLEDHLRQIKGGRVLSWFHRVSIAIELASALTYLQAHDTAPTFLHDLKSSEIFLDADFTAKIAGYKLTRPATYYSASHDQDVVCNFGHLLIELLTGLRQQMPFDSVAPKVREGRLHELIDPTLLSGKQLPASQDEVRKVFELAVRCLSSAENGLCMLAVAKELMHILRDNNGSSSKIEISLEETFSSSSLLQMISMSPDTLHHHLP >Et_3A_026981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28775496:28778393:-1 gene:Et_3A_026981 transcript:Et_3A_026981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITTAMKRQRNSVLVGDELPSRSQATVQLPPAPYQPGFASGDVVLSAQGEWQPGFAAAVSVEAGAGGYTCALVVLLGDVKVWASDHLEKFVAAALCRLELTGDGQLRLTDGAGTVGWVSGTAGQGVQALQLSRKTGNLVLVDAENRTKWQSFDHPTDTLLRGEQRTLPFYFIVPTAKVNSSAAFYSFELDGDKIAAYVTLGQSKYSYWELAPIANRTMAWARMDGSGLRMLDLQGTAVAQITPPVKKPPLSFLRLERDGNLGMYYYAARHRKFRASYRALGFCELPFSCGVGEVVCSAAGECDDDLSLYADRPARGGSNDSSSSVDICGGDACMGHLKGVTTVLRPTEPPPVADVTLRQCVEQCARDVSCSAALYAMDDVAADHGVCSHYTVTAGAREITGASRRFSYWVKSRGGGGGGGGGDGGGGGGDKDDDDTFRGPVWTIVIVCGAIDVGCALVFVVLVVLYIRRRRRLAAAPPAGEIEGVELEQNN >Et_3B_031376.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27594095:27595438:-1 gene:Et_3B_031376 transcript:Et_3B_031376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAARALLLLLAVAGALLSPAAAEIKQESFKDDSRSSILFEKFGFSHHGWVSVSVHGAKASSTLAKPDPAQLGFFLLSDEALFEAIYEQQPPTDLNPNPESTPGCVLSSPYVTPLFTFAELDGEGHYNKTFPITHPDEYSLFFANCAPQTSVSMEVRTDMYNINPDGNKDYLSVGMAPVPGIYAFFAVCYVAFLAVWLYITLYRNRLSAHRIHHLMSGLLVARMLYCISAAEDQHYIRTAGTPHGWDVMFYMFQLVKGVILFAVIALIGTGWSFLKPFLQDKEKKVLMVVIPLQVAANIAAAVVGETGPFLEGWVTWNQIFLFVDVACCCAVLFPVVWSMRSLRESSKTDGKAARTLAKLTLFRQFYVVVIGYLYFTRIIVYALKTITNYKYRWVSVASEEVATMAFYMFMFYMFRPAERNQYFALDDDEEEAAELALREEEFEL >Et_8B_059080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13573896:13577568:-1 gene:Et_8B_059080 transcript:Et_8B_059080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGLEQWQKDGLFQAAEELEQFERAVSSSNDKYSLEEGTVARRRQFVVAIGDQISLVEKALSGSSIDNGRQGLNWVKLDDEERDDLVAFLSAPAQFYSEVMKTDSSIHIPPRQKNGPIGTNDVVDVALVIKDIPEVPPREIFSVKSEACGLAEQLHGHRTHLSSGDDHWKIDIGKDEMDDDRKLSLNRVDTSSQTTTLSGTRKSVESLTRARWFWYSLWKPKSDDIHPSRYGMSSHLNFRGIYLLAQKFNGLTDRSRNYLSSWNDNSRASGRTSGLHIQGQQQNIQFGRSIRITLLLMLSIFLIGC >Et_2B_021543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30447301:30448982:-1 gene:Et_2B_021543 transcript:Et_2B_021543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILGLIHMFYWSLAWCIIPNLPFTISMMLHLRTCYGPDRVNELIAGVKPLNCHMSGDPKNLELGLHDLHSTSWSVLCSLLLLLPLSFISSLSQYLALLTKHFLSTYLGATARKKKGALGIGLIRMHLFQFRPTYTLFCVAWYPIYRIPDGKFQAAFLTYHSLGHCIHRSSSADEAGRGPVALPVIGLQSYNDKAEWWFQTSTSDSEDVKAAESQAGEASRVLKERLRTLNQAAGMMSRADVLKNGQLSRNRHLDYEFFLSRS >Et_1B_011743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25563358:25564777:-1 gene:Et_1B_011743 transcript:Et_1B_011743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEAQPTCSHRGDHVVDIQLQPIVNVSGDDAERLENVQCHSVNGGSHHPTSNGTADSHIVILEHVDDTSNCCVVCTEPLEWVAIGRCGHRVVCPKCMVRNRFFNGNKRCCVCRTYCFRVVVTKPDSDGTLTSILPLFAFWEGRVGKYWYHRHTAAIFEDEKEYEKAKNACKGLLRPIYKPVFAFIVWHFTCLFYGGFMGSGFVNKTSNMLTRVRAYGLGVSIGLFVGALIWTLMTKCLADPLEEEYFRRRVWTPVPLIFDQTTFVLVLKRSAG >Et_3A_026154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4963383:4965694:-1 gene:Et_3A_026154 transcript:Et_3A_026154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEVKPGRGGLRTWAQRHLNAGFVVGFFLVLLTYFVISRQFAISAPNAVTMKAPRRVADKQVIRAPGDTEGEEKRGEEWQPKAEERLPHQDTSGAVPAEESPKRDDADGKPFGMSKYLSPKQNDSFVRFLTVRADSPSPISTKLIDLCFRRAAETGKVVCSTHGPYSDTCDLDGDVRVNGTGRSVTLVPASGQQSERREWKIQPYPRRGMLGMEYVTVTQLASPPLDAAPAACTVTHDAPAVLFALGGLTGNYWHDFSDVLVPLFVASRRYGGEVVFLVSNVQPWWLGKYEAVVRRLSRHDAVDLDRDTEVRCFRHLTVGLHLHKELSVKPEWAPDGLTMADFTAFLREAYALPRAAPASLLAPGRKPRLLIIHRGHYRRFVNVQEVARAAEAAGFEAVVKDPRGDAPVAELARAVNAFDAILGVHGAGLTNAVFLPAGGVVIQVVPYGNLERMARTDFGEPVADMGLKYLEYSVAKEESTLLEMLGPDHPVIKDPESVHRSGWDKVAEFYLGKQDVRINVTRFAPTLEQAMQHLRQQ >Et_8A_057006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20077672:20086050:1 gene:Et_8A_057006 transcript:Et_8A_057006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGSAQHLLLEPKSLTGPICLRTAQQRPIIFLLSSEPNTPPGLTENNGPSFLYQRPSAADFSVRHLAACCAAVGAEEHRHHGRALSGTPSPPSQNSPRNTSLSPLLRQSLSSAGSVMWLNPSLDIWKDMKPRMLMACNSINAVLLFLSCSISLMHLCASSNRLVPGKPLTPSSTLVSDDETFTLGFFSLSGSTKKYYYVGIWYNNVPEHTAVWVANRATPITGPSAMFVLNNSSNLVLSDGGGHVVWRSNNSIITNYSPATTISVEAILDNTGNFILWTLSNNTILWQSFDHPTDTLLLGMNLRISHKIKPLQNLVSWKSQEDPSPGAFSYGADPDNFLQRFIWNGRKPYRRSPVWASYFLLGNYMDSLHSTIYMAVHRGADDELYMSFGMPVDSSSSLIRMKINYLGKVHILRWDLNMSVWEALYTEPAHECNKYSYCGPYGYCDNNRTSPTCKCLDGFEPKDDKGWVDSRFSQGCLRKKALRCSSGDGFLNLPGMKVPDHFLHVRNRSFDECTSECRSNCSCVAYAYANMSTKGIDGDDTRCLIWTGTLIDMEKSSQGGESLYIRINKLNGNRRRTYTVEIVLPVVSSFLAFICIGSIWTCWFRVDFIHIPVTLSGKQGSREISNRVMLGYMGSGNGLADENVNLPFFSFSEIAITTNNFSESSILGKGGFGTVYKGTLGDKEIAVKRLSKSSDQGVVEFKNEVFLIAKLQHRNLVKLLGCCIHRDEELLVYEYLPNKSLDAFLFDTYMTDKMVHMILSLTDAAKRSLLDWPTRFDIIKGIARGLLYLHQDSRLNIIHRDLKASNVLLDAEMRPKISDFGTARIFDAKEQQSNTNQVVGTFGYMAPEYALEGIISAKSDVYSFGVLLLEIVSGFKISSTGPIIGPATGPPNLIAYAWSLWKDGSMRGLVDSSIVESCSPEEILRCIHIGLLSVQDNPNARPLMSWIVSSLDNEAIELPEPREPMYFVRRNCETVGAGENSVNGITFTTLDLSPDDVSYRKAAPNGVQKSTGVSLATAKVLDIGTKHSPRPVRSPFAAAASLPSLET >Et_8B_059060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1342200:1345015:-1 gene:Et_8B_059060 transcript:Et_8B_059060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWFCCTHIDTPYQEHGDESMNSPDRNSGNGFTSNGDPVKAPPSIDVPALSFDELKEKTDDFGSKALVGEGSYGRVYYAVLENGKHAAVKKLDTSADPEPDNEFLAQVSVVSRLKHENFVEMLGYCIEGDQRILAYEFATMGSLHDILHGRKGVAGAQPGPALDWMQRVKIAVDAAKGLEYLHEKVQPPIVHRDIRSSNVLLFEDYKAKVADFNLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHKMPRGQQSLVTWATPRLGEDKVKQCVDPRLNGEYPAKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLVNAPYQTPAVLDTSSDA >Et_9B_065361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4170710:4172188:-1 gene:Et_9B_065361 transcript:Et_9B_065361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAWRSCSMPAAAGPGAAGAGPGSALNTITPCAACKLLRRRCAQECPFSPYFSPLEPHKFAAVHKVFGASNVSKMLLEVHESQRADAANSLVYEANLRLRDPVYGCMGAILTLQQQVQALEAELAAVRAEIVRHRYRPAAAAASAVATVLPSSHASQLLAASAGRGLPARSAAARTATLAAAAAVGPAASSSSSSTVYAAASNSTDYSSITNENVTYFG >Et_5A_042024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5378998:5381003:1 gene:Et_5A_042024 transcript:Et_5A_042024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMTEASTTPSAAAALRTPASPPATAVVKEADAEKLRFIEEMTSNVDAVQERVLAEILARNADAEYLARCGLAGATDRATFRAKVPIVSYEDLQPYIRRIADGDRSPILSGSGHPVSEFLTSSGTSAGERKLMPTIEDELDRRQLLYSLQMPVMNLYVPGLDKGKALHFLFVKSETRTPGGLTARPVLTSYYKSSHFKNRPFDPCNNYTSPTATILCADAFQSMYAQMVCGLCQRHDVLRVGAVFASGLLRAIRFLQLHWEQLAADVEAGALTPRVTDPAVREAVAAVLVRPDRDLADHIRAECGGKGDWAGIITRIWPNTKYLDVIVTGAMAQYIPTLRYYSGGLPMACTMYASSECYFGLNLRPMCDPSEFRFVRRKNVLLSIESDKTDEAELQAAVERASALLRPHGASVVEYTSQACTASIPGHYVIYWELLAKGSDASDAAKIDKETLDRCCLEMEEALNSVYRQSRVADGSIGPLEIRVVRSGTFEELMDYAISRGASINQYKVPRCVTFPPIIELLDSRVVSTHFSPALPHWAPGHGGGQQRSD >Et_7B_055220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8375873:8377290:-1 gene:Et_7B_055220 transcript:Et_7B_055220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALLAVAALLFVPVARAEIKTTSIVADSRSVILFEEFGFTPRGVASVTVTGVKWRVPEGSQLRSVDRTLMGFILISNSLFYKINNESEYAEATGGAFCPLTSRYVRPLFRFKEVAPDGTARGTLTIDAADQYTVLFSSCQEGVEVTMDVRTEMYNVRGAARDYLPVGLLPLPGVYAAASAVYAAFLAAWAYACVVRHRATAGRIHAVMGALLLFKALKLACAAEDSWYVERTGTPHGWDVAFYVFGFFKGILLFTVIVLIGTGWSFLKPFLQDREKNVLMIIIPLQVIENIASAVIGETGPAGRDWLAWNQIFLLVDVVCCCAVFFPIIWSIRNLREASKTDGKAARNLRKLTLFKKFYLIVVCYLYFTRIAVSAFTAVLSYKYQWVVKVAVEAASLAFYAFVFYNFKPVERNPYLYVGEDEEEAACGQLELESTFEF >Et_4A_033155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19276462:19279563:-1 gene:Et_4A_033155 transcript:Et_4A_033155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDVFQTEGNNIRFVATELATDIVISIGDVKFYLHKFPLLSKSSRLQRLVASSSEEKNDEVDISDIPGGPSAFEVCAKFCYGMTVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLTSSVFRTWKDSIIVLQSTKSLLPWSENLKTINHCIDSIASKASIDPSEVEWSYTYNRKKLPSENGIESHWNGVRKQPIVPSDWWVEDLCELEVDLYKRLIMAIKAKGRIPAIVIGEALRAYAYRRLLGSLEDAVSNGVDCTKRRAALDCILYLLPAEEGSVSCGFLLKLLRAACLLESGESHRSNLIKRIGTQLDGASVSDLLIPETTGENSVYNIDLVMAIVEEFMLQCISNGKAKFQEDEEIVEVENLTTAVSSTSKFAVAKLIDGYLAEIAKDPNLPLYKLIALAEMVSSLPRPTHDGLYRAIDMYLKEHPSLSKSEKKKLCGLMDCKQLSQDACLHAVQNERLPLRVVVQVLFFEQIRASVASAKDDPSSELPSAVRSLLPRENGNSIGSSRSAATTTTEDE >Et_4A_032670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12427505:12428622:1 gene:Et_4A_032670 transcript:Et_4A_032670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRGARAASTAAAGAGAARSVSIRLTPVAALSSSPSSGGRRKKGQRRGQPEPPAPAPPQPVPRQGEAPGKKKPSARPVEGKNNRAAGEARGPPRLDGQAGKGTQQLQLQPPPQEKPKRLVRWKCATGCGACCKLDKGPDFPTPDEIFADHPDHLEVYKSMVGEDGWCINYDKPTRTCHIYQDRPFFCRVEPKVFDEFFGVARNRFDREACSACVDNIKMVYGEESTELKNFKRVIREESNKAEASRNQDKLLELDT >Et_1A_008636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9334522:9336865:-1 gene:Et_1A_008636 transcript:Et_1A_008636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGRSSILVHILVIALCLTAFGFAIAAERRRSTGSIVTDNTNTTYCIYDSDIATGYGVGAFLFLLCGQSLLMCDHICNCGVLLDCWSNEERIPHQVQRYGNWTCQSLRKGVFIAGAVFVVFTMILDVYFYMYYTKATSQAAKNISKTNPSVGMTGYA >Et_9B_063620.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:11617593:11617871:1 gene:Et_9B_063620 transcript:Et_9B_063620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAWSRSTGSIGAVGGLRRGVQRERGRRFGLGQRAPAVASRGDEGTVSSPYPGVFCKMCRIQTTEKDALYMPLASTSTGLAGVRIYCTFAIP >Et_2B_020388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19611561:19615193:-1 gene:Et_2B_020388 transcript:Et_2B_020388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVAGERRRKGDAFPPSSALTPYSFPSGSSPLPAAAAIILALPASRATAAGSPLPSPLLAGEMDSGGEALLVRRSKGKKRPLPAAPHADGREFGGGDRFRALWRDYHDLLQEMDAKKKMRDRMNRRKLSLLAEIKFLRRKYKFFVKCNSQHIHYKLKGQARQIESPVGINEASTFVEHGVEHEVPSTSKNTKFDLNQDSAMKDEGFDDRGHQGHSELANFDQDGVTEDMMTIDANFSVCRNTRSSPSSDDKRTISWQDRLTMDHEENEYNKE >Et_9A_063316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19892489:19893480:1 gene:Et_9A_063316 transcript:Et_9A_063316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISARMIAQLAKKWQRMPALGRKRLSWGVPEEDQCCTSVAGKGHCVVYTADGTRFQLPMVYLSTPVFTELLRMSQEEFGFVSDGRITLPCDAAVMEYAMCLLRRGSLDGRMQKGKID >Et_5B_044207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21523946:21526595:1 gene:Et_5B_044207 transcript:Et_5B_044207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KKNIAVIPDQVTSTTLSTGTDRTEPASSPHQLNRVACTSTTLSTGSTHFPSSASPPMAAVPAAPPRRVVICGGGVVGACTAYFLSTHAAAPTVPTLIEKCAPACAASGKAGGFLALDWCDSTPALSALARASFALHHRLAASLDGATAYGFRPVHTLSICVPTVTKPTSPPHPLLPPWVDPSASAAPPRELGTPDTTAQVHPGLFTKAVLAASGAEVVIGEVERVVVRDGRVAGVAVKGRDGVVDADAVVLALGPWSGRLEMVREVFDVSGLKAHSIVLRPREPEKITPHALFLSYQPAPGAKMLDPEVYPRPTGEVYICGMSKDEDAPDNPATITGEPDSIAMLHKIAGKVSSHLKTEEGAEVVAEQACYLPCTTDGLPIIGEMPGVKGCYVATGHSCWGILNAPATGAALAELILDGKAKTVDLAPFSPASFSSVLLDISQLPGAMLSLNINRLEQEDTILQQVLLRNEIIIIFNMVQGYFASFNNLIQFLLVEPIEFLYFLNTRAPIPVNQKRQFFSISIIYFKKGK >Et_10A_000674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15192855:15205168:1 gene:Et_10A_000674 transcript:Et_10A_000674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWSTVILSRSKEILLVEELDVRAEGSNPLYGDLCIFRSGEWEINQRLPIHHDEGKAEGLSSVVDPRGGPVWVRHWLSYWEEIEMGIPVGDRCTGSTSSAESSSPTCWRRTPTCARPKMYRTVCAIGGGSMVKFVEVAPRCFCGGLGMTSCACSRYGFTITMWTMRMDDMKWEKDGAVDCDELWPLAFTSSGHPIRVSFQFVETPSISRIRVDHPGPPPAEVGFNLQIIVAHRDSVLVELCMFRSGEWDVKRRLPVHHDEGKAVELSYWEETDMIIAVGDRFLYWVNLYRGIIFADASEKDPVLRYVSLPMEPLLRRRNEYEECPEMNRTVCVTGGDSSMVRFVEVAPRCCCGGPGTTSCARSLYAFTVTTWTLRMDGMTWEKDAVVDCEDLWPLPGYQGVPRVRPSRPIVSLEDPDVICFDVCHLQSHEEDKQRIQEGVKHMKKRAREIYTGWVLLDGSARYEDHDDANTVAAAHTSSGHLIHVSFRLMAPPVVSRVRLDHPGLPDGFKIDLRIIATHRDSVLMTIGTACDDWYGGVADYFVYIAGNGAKPSLVQPSLSLLPPCYLSMREEEEDEVVQSQRYMDYRSTAILSRGEEIPLVAELDVRAMDGEPPDGELCMFRSGEWEVKGRLPIHHDQGKGEELSYWEEINMVLPVNLSRGIIFTDVSDKDPVLRYVPLPVKPLLRRRYDTEARPETHRTVCAAGMVVKYIDVAPQCCCGGSGTTSCAHSRYAYNITTWTLRMDDMTWEKDAVVDCDEIWALPGYLELPRVRAGHPVANFEDPHVICVVVHKNEYMCDVDGEDATWVIEVDTRHKKIRSDPIVRATVHTASSAELDIIIGSNQAEPSQMCRSPQKQKRGPN >Et_9B_064249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13229579:13233783:-1 gene:Et_9B_064249 transcript:Et_9B_064249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTTPRFLLSPTSKGLLDAASFPAPPSPTAASSDDPASPLDAFASDPVLSAFLSPSFSPSEFSSAALSSGLAASRAEQLQEAIRLLRRHLRAEVLRRHPLLLSHLLSLRSASSSLSSLPSHLHLLSSHLSLLSSHLSAPRSHLAQSSSSLSSLLSTADLLLHSHRLVRLSSRLLASSPAPDLARQAELHREIRLLYEEKNLAGINAVDEEMRKVDAAASKLRSEASAVIDRGLAESNQNDVWCGLQVYYNLGELKPAVEALVSKYKAAGAKSVAVALDMKAISMAAGGGGGPGGVQRSGTPQIGGSKKAAEALWERMRQCMEELHRAVSAAWQLQTVLTKKRVPFTQMLFLEEVWQEGEPLLTERVWDAIVKAFASQMKSAFTASSFVKEIFTLGYPRLFSMIENLLERISRDTDVKGTLPALTPEGKDHMVSAIEIFQTAFLALCHSRLSDYINSIFPMSNRGTIPSKDQISRLVSRIQEEIEVVRTHGHLLLLVLREIGKILLLLAQRAEYQISTGPEARQVTGTATPAQLKNFALCLHLQEVHTRISSVLSTLPNVASEVLSPSLGVVYGVACDSVTSLFQAMLDRLESCILKMHDQDFAGHGMDAAMDNNASAYMEELQKCAIHFRSEFLSKLLPSSSSRSETICTIMVRRMASRVLIFFIRHASLVRPLSEAGKLRMARDMAELELAIGQNLFPVEQLGAPYRALRAFRPVLFLETSQLEKSPLLADLPPSVILHHLYSRGPDELQSPLQRNKLTPLQYSLWLDSQGEDQIWKGVKATLDDYEMRIRSRGDKEFSPVYPLMLQIGSELSQATT >Et_6A_046055.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21085112:21085363:-1 gene:Et_6A_046055 transcript:Et_6A_046055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKTQNSTKCWWNNGLQLPVGLDNPGMSTRRSCWQLEVLHSCQMKSTTPHLSITMPHGPECIFFPICCIIMCYVPGNLCFMR >Et_7B_053607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10271169:10272941:1 gene:Et_7B_053607 transcript:Et_7B_053607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVMSKLSGAALQVGLTALLALVLPAYYVYKLTTYFLGAVFPEDVAGKVVLITGASSGIGEHLAYEYAKRGAYLALVARREMSLREVGDRALALGSPGVLVLPADVSKPDQCEKFIDDTIRYFGRLDHLVNNASIWQVCKFEEVEDVNNFRELMDINFWGHVYPTQLAIPHLRKTHGRIVGVTSNSSYIFIGRNTFYNASKAAALNFYDTLRMELRGDIRITEVVPGVVESEITKGKILNKEGEMKVDQDERDAILGPTPAERVGDFARTVVRDVCRGARYVFEPRWYMGVYYLRACLPEVLAWNSRLLTVDRVGASRTDTLGKWLVDLPGVRRVVQPPSLRSPEIKEM >Et_2B_022270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16498869:16500800:1 gene:Et_2B_022270 transcript:Et_2B_022270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEDGPEWMMDVGGPGGGKGKGGVDRNKKRFSEEQIKSLESMFATQTKLEPRQKLQLARDLGLQPRQVAIWFQNKRARWKSKQLEREYSALRDDYDALLCSYESLKKEKHALLKQLEKLAEMLQEPGPKYGGNADTGAGADDDLRKGVAGAMKEEFPDARAAPSTYSVEGNGKFAHFADDDAAGLFRPSAGGFTASGPPDHQPFQFSSTCWPASAEQTCSSSQWWEFESLTTDEITHNPHEGHKVQNSSFRDEDAEHEHQIM >Et_8B_060454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16901422:16910770:-1 gene:Et_8B_060454 transcript:Et_8B_060454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQGKDDAEGERQPSADVVGEQSLMDVSQGKDDAEGERQPSADAVGEQSLMDVSQGKDDAEGERRPSADAVGEQSLMDVSQGKDEAEGEGRPSEDANEEHSPMDVSQGKDDAEGEQRTSEDADGEQSPMDVFQGKDDAKGERRPSEDADEEQSPIDVLNRKEDAKGKRRPSEDADEEESPLDVFHGKEDAKGERWPSLDADGQQSPMDISRKEDAKGGQQPSADADEEQSDMDVDLKGITFVVYSLETSDKAKTGKDTYIRKKDILKETKQVNIGMLPVMVKSNLCWLYRLQESDCQFDYGGYFVIKGTEKAFIAEEQRCLSRIWVTDRPSWDACYLSQTKRGRIHVKLVQSKSSENRKVINMSFMGVIMPIWIVLFALGVSSDKEAFDMIDIEDCDASVVNIISSTVKESHEECEGFRRPGRAQQYVDGLIRKTKFPPEESFDEYVCKYMLPDIHGNRSKAIFLCYMVKCLLMAFSGKRKCDNKDAFRNKRLDLAGELLRRELWVHLRHAEKRMVKFMQRHLSAEDNLQDLRCYVDASIVTNGLTRAFSTGSWRHPYKLGNCSGIVATLRRANPLQMMSDLRKTRQWFAYSGTTGDARYPNPSYWGKLCFLSTPDGEKCGFVKNLAVTATISSLGRKPLVDTFVSCGMKKLDDLSIQEICGKDRIFLNGNLLGVCADPGEFVLRLRSMRRTMQIDSQVEIKRDRHNKEVRVFFDAGRILRPLLVVENLRKIMKPKGGLYSFQELIDQNIVEMIGVEEEEDIQCASGVRHLFAGDKDVGSLGYTHCELDPSFLLSLSCSIIPFANHNSARRVLMQSEKLSQQAIGYFSTNSHSRLDALSHQMYYPQRPLFKTVVADCLGRTDHALGRKDDFTRPELFNGQNAIVSISIHQGFNQEDSLVLNRASLERGMFRTLHFKNYKAQVDNNEITKRFKQRENINFGKMQSKRGRVDSLDNDGLPYIGASLQSGDIVIGKVTESGEDHSVKLMHTEKGMVEKVVLSANHDGINIANVTLRRSRSPRIGDKFASMHGQKGVVGLLDSQENFPFTRQGIVPDIVINPHGFPTRQTPGQLLEAAFGKGIALGGTSRYATPFTTPSLDVISEQLHKAGFSRCGGESVLNGQTGERTRSFIFMGPTFYQRLTHMAEDKVKFRNTGPVHPLTRQPVEDKKRFGGVKFGSMERDCMLAHGATANLHERLFVLSDFSQMHICQSCERVANVIMRPVDGGKKLHGPYCLFCRSAENIVRLNVPYGAKLLYQELFSMGICLKFETELNNVVMSLLKTSCSFLFPDTELAPKNILPTLPAM >Et_5A_041301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20875242:20876082:-1 gene:Et_5A_041301 transcript:Et_5A_041301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITYGFAAVISTRVSNELGAGNIAGAKKALTVSLSLSLLLAVAFLLLLGLGHDLWASLFSNSETVVSAFASMTPLLIGSVVLDSTQGVLSGVARGCGWQQMAAWTNLVAFYIVGLPLALLFGFTLGLHIKGLWLGQICGLLCQNCLLLFITLRTNWEKIDLTPLNRENDFFC >Et_4B_039888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5298578:5307993:1 gene:Et_4B_039888 transcript:Et_4B_039888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGSNLVVCVGEPSSRNSLCKLPPALLLRGTKAVKRFQSFFGKQNTSGCTRAALTSHQLSIRQHKGPALELRREKTTHPEIALDLSPTTATETAVQQQKQRSSNI >Et_1A_006465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22850172:22859767:-1 gene:Et_1A_006465 transcript:Et_1A_006465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLPWLPWLMVSLVTIYLLDLLLHARHHNLPPGPRPLPIIGSLHLLGNQPHRSLASLVKTHGPLMSLRLGTVTTVVVSSPEVAREFLQNQDAVFATRSVPNGVISHAKNSVVWLPNSPRWRTLRKILGTELFAPHRLDALKHLRREKVQELVDHIGRLADEGKPVEVGLVVFTTMLNLLSCTIFSRDLTALDDHQSKEFHEVVLEIMEAAGSPNLSDFFPALAAVDLQGRRRLLEKLFAQLQRVFDKEVDQRLHDRNAGKPQKNDFLDLLLNASVADNGAVVLDRDTLRSMFSDLFAAGSDTSSSTVEWAMVELLRNPFAMAIACDELRRVVGLRKNIEESDICNVPYLQAVVKETFRLHPPAPLLLPRQAEKTTKIMGYTIPKGSRVLINIWAMGRDPNIWAEPEKFMPERFLDKTIDFKSGDFELIPFGAGRRICPGMPLAIRMVHLVLGSLLNQFKWKLPDEVERNGVDMTEKFGVTLVKAVPLSWLLVSFLSVHFLSLLKRSRRGLPPGPRPLPIIGSLHLLGDQPHRSLAGLAKAHGAPLMSIQLGAVTTVVASQPDAAREILQRHDAVFSNRSPPDATGLHAKNSSVWLPNAPRWRAMRKLMGVELFAPHRLDALGRLRREKVQELVAHVATLSGQGRPVNVGRVAFVTSLNLLARTIFSRDLTILDDQGASGEFQEVITHIMEAVGCPNVSDFFPAVAALDLQGWRRRLAKLFARLHVIFDQEIDRRVRDRDAGEPRKNDFLDLLLDAAARDDDAAGLDRDTLRSMFTDLFSAGSDTSSSTVEWAMTELLQSPNSMAKACDELARVVGSGKDIEESDIGQLPYLQAVIKETFRLHPAGPLLIPRDTQVDVKIMGYTIPKGSRVFVNVWAMGRDKEVWPEPEKFMPERFMGRTVDLRGGDFDLIPFGGGRRICPGMPLAIRMVHLLLASLLHKFKWKLPADAERNGVDMTEKFGVTLAKAVPLYAIASPQ >Et_3A_023251.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:1409696:1409959:1 gene:Et_3A_023251 transcript:Et_3A_023251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LSFEFVALKWLCHDICAAENVVTTSIVRSVWLLRKDHMFNKHGCKDMRICVENNSKDDKKLDLYIPRLEPSFPVDNEERGYFPRHRV >Et_10B_002950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14550347:14550695:1 gene:Et_10B_002950 transcript:Et_10B_002950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPQVVIENEALFTFAKESGMMQASLGRVQLDWFAERSGVVLIRKSMGKSTLRHSYFWLDLGCKEIIGWSNGHWNVGSKSRVSCKVGCPYEIDLASWVPTLCKTF >Et_4B_039297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9694222:9699518:-1 gene:Et_4B_039297 transcript:Et_4B_039297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLVTPAASPHVFPSPGSKAPACAVAAAASFSLRLRRARAAAVAGAAAAGGPDRDGGRFEGESMGVAFDRGLADIAKKVPLFEPAGDGELAVAAGEKPLPINLELWLYRVKVHTRKFEFPEAEKLLDKCISFWPEDGRPYVALGKLYCKQSRFDKARAVYERGCQATQGENPYIWQCWAVLESKSGNVRRARELFDAATVADANHIAAWHGWAILEIKQGNIKKARNLLAKALKYCGGNEYIYQTLALLEARAERFEQARTLFEQATQSNPKSCASWLAWAQVEMRAGNNTMARKLFEKAVEASPKNRFSWHVWALFEANEGNIDRARKLLKIGHAVNPRDPVILQSLALLEYNYSSANVARVLFRKASQIDPRHQPVWIAWGWMEWKEGNARTARTLYQRALSINSTNECAARCLQAWGVLEQRAGNYTAARRLLRSSLNINSQSEVTWMTWAALEEEQGDPVRAEEIRNLYFQQRTEVVDDASWVMGFLDIIDPALDSVKKLLNLDPQSGPARQNNLKTTTEPGRSTISSSAGEECSGTSTMDSDEAAVTPGSNFDLDGFIKKRLGLDPSELDAVLEGSDPRGVVTQRRKRRLPRKPLPLLPVPKTCAGKNPAVAVSAPGNAVEASAPANAAALADAAEATIAVPADAVEPGAAAREVVVVPEAAQEVAAVLGNAGEVVLAGGGLAGARLAATCWFRAAASPRAHAVTMAVADMARPRTVLGNTRAP >Et_4A_033939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28223904:28227722:1 gene:Et_4A_033939 transcript:Et_4A_033939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAHRRPAGLWRKGKGTCSRHGRAATGGRREPVAHSPHVPRRATVCQPNAPSSGAVGGTFGTASGAEPPPLTGIALALARRKTKPRGSREEESSHSSDSATRTPHGNGVLSNAAPRCRPTTSSPLFRRRNYAIFDFEYPSPCANDLKDLRILREHNSIRKNDISQMKHIFKIVAMLVAISAIWIALLETSTIPRSYTWMLPIYLVVALGCYGLFMVGFGLMFFPTCPQEAILLQQDITEAKEFLAKNEAISKMMKPRRISHYDLPVYDLGDKLDSSVAVIAYPQVLYDPQRRLLWRADETKRDGELLTVAAQHLLLQLIIHIMQNRVEVRDEASLLDLLPHLRSLLVNCIPLWMEYIISSEDVDFVVYMSPAETCLLLHCFVLPQLPDPCRIIPPTAGRIDIGKSRVGAAVVRVN >Et_6B_049637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7669969:7671463:-1 gene:Et_6B_049637 transcript:Et_6B_049637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHPSSGKGPADGEPSPLSTLPKNLPASFLKEITNNFSPDRELGKGAFGTVYKGILPHGEEIAVKQLGENSQVSHEKQFKNEAVNLMAAQHENIVKLVGYCHESLKKVVEHNRKYIIVDVTETFLCYEYLSKGSLENYLFGSCNVIDWPQRGYLAPEYLYRGEISTQSDIYSLGMLLIQISTGEKNKSNAEDKCGAKFIEKVRQEWTDHKITSMYASLHAGHLQEIKRCIEIGLQCVEVDRKKRPPITEIIDKLNGIR >Et_2B_018882.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1365638:1365997:-1 gene:Et_2B_018882 transcript:Et_2B_018882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRAVFARELGVPIIMHDYLTGGFTAITSLSNYCRDNGLLLHIHIDRQKNHGMHFRVLAKALRMSEGDHIHSGTVVGKLEGEREITPAAGSTACWSKWIANGRSGQRRPPPRTLRGHG >Et_10A_000094.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22796795:22797151:-1 gene:Et_10A_000094 transcript:Et_10A_000094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIILAALLCVLLRCVRHCLAVAAPATAAATAAMVIEEASATAGLNRMELLRIPVVEYETKDGTTPGTETECAICLGEFADGEKVRVLPRCHHGFHVRCIDTWLSAHTSCPICRNSLL >Et_3A_026135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4696760:4700239:1 gene:Et_3A_026135 transcript:Et_3A_026135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PEHRSNFVDAVRVAVREATEAAAPAPARVPAPAVARDGVLCLEEVDGRRFSYILDGPGTSVKAKGSASFGPSFKAVPLQSPLPPVEVRPPAFLDQCGFPDSVTPSYVPYMSWRALKHFFCGAMGVFTTRTLPNSVGVAQSRSTSGAVAINWILKDGAGHVGKMLFAHQGKKFDYDLKQLRFSGDLLMELGAGIELATAAFPQLFLPMACIANVVKNVAAVTSTSTRTPIYKAYAKGENIGDVTAKGESVGNIADLQSIAGHFICLPVMRISPQFLSRGHVPSLKEGNSQETVFNPPWRHEPVAIEAFQEPASFVAIRPLFEDERYIVTYNPAKDKVYALLKDQAKPDDILKAAFHAHVLLNFINASHANLNARKRKKSNQSYQYNPLNMDFLPHIEESCKIVMSSYGIFKKKARDQGWIMSESLLNPGRARLCGVVPQ >Et_1A_008248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5675140:5677883:-1 gene:Et_1A_008248 transcript:Et_1A_008248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRNPTGLWKARAFRAVVGFPCPHLLRHRPALPVSAAAVRGGDGWLPGSSFPSRAVVPLGRRHQYICASSNSFVSTAVSPPAASTHLRMARGYRYSWFSAFVWWALAFAGHGVDGTLVDITYVKGAVAKGAVCLDGSAPAYHLARGFGSGVNSWLVHFEGGGWCNNVTTCLERKRTRLGSSKKMATQIAFSGILSDAIEYNPDFYNWNKVKVRYCDGSSFTGDVDEVNPATKLHYRGARIWGAVMEDLLAKGMSNAENALISGCSAGGLTCILHCDRFHDLLPMGAKVKCLSDAGFFINVKDVAGEGYIATFFDNVVATHGSAKNLPSSCTSMFPPDMCFFPQNEVKQIRAPLFILNAAYDSWQVRNILIPGVADRHGKWRTCKHDIDQCSAEQLQILQVGFRDDFLKAVEEQGNSASRGLFINSCFVHCQSEIQELWFASDSPMLGKTTIASAVGDWFFDRSPFQKVDCPYPCDSTCHNRIYEDSSET >Et_1A_008502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8256766:8258705:1 gene:Et_1A_008502 transcript:Et_1A_008502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCCSKKQPPPGGPGATSKKKPGKVAAAAIADDKAKKTVPQPKNSVRTKAAAAGEETKKKRAPQEEAAAPKQLPVVLVPSAPVRTSSCTKEEVDAILIQCGRLSRSSSGTGRAASGETGGEASGHRRRRSGSKRSYDFDQERKAAPGGGGGGDEECDWERQGAVSRPSPHRGSPQRKRSGSRERSGGGGGGSRRASRSPGRRSEGGASSAAMAGSGAGDRARQQQPGKLVSVPAREKGRAPSPAAASGMRCASPRSSSPARIAAAVGNENAGGRPAAALSRSSSRKAEQSPYRRNPMAELDENTLRNNVATNAKPQKKSTEETVIVAHQQAAERGKQPIGTASGRSGKEKPEIAADATVAASEARAHSTTKTSATRTASVAAESLSQRPASCRSRRASRDFDQSSNNSYASQILEDIQSYHEQHQSATPAGAPPATPSFTLPACVAKACSILEAVADLNSSSSSDGRTFDHYEPGRSADDRGSVNALSGAAVRDLRAEAAEPQESAGSNSVSGIPWTPSWEPTSVESSDRTWSTGDEVVDQAVQAGGSHGAPSPMNRPRQQSSKQRPALPEPSGRSRAVSGNGNGNSFSRGRSAHRGSASAVSGRSGVRTVSAAS >Et_1A_006852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28263559:28268938:-1 gene:Et_1A_006852 transcript:Et_1A_006852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLAVEATGTAASALNGAVDWWRDVNESPLWQDRIFHALAVLYGLVSVIALVQLIRIECRVPEYGWTTQKVFHFLNFLVNGVRSIVFVLRRNVQLVEPEIIQHVMLDMPGLAFFTTYALLVLFWAEIYYQARAMSTDGLRPTFYWINAVVYAIQIILWLVLWWKPVRVMVILSKMFFAGVSLFAAFGFLLYGGRLFLMLQRFPVESKGRRKKLQEMCLNAFDKAADLDVLNHPILNFFYYLLVEIVPSALVLFILRKLPPKRGITQYHPIH >Et_4B_038573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:290828:295938:-1 gene:Et_4B_038573 transcript:Et_4B_038573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLQNLLKEPPVVGSRSMRRPTPLNLAMVRGGSRRSNTIRTAPGASTSGAESSAVEAGTEKSDAYSTNMTQAMGAVLTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRKIGVKTVFCLQQDSDLEYFGVDIQAIQDYSLQFNDIEHCRAEIRDFDAFDLRLRLPAVVSKLHKLVSHNGGVTYIHCTAGLGRAPAVALAYMFWILGYSLTEGHQLLQSKRACFPKLEAIKLATADILTGLSRNCVTLKWENGSCSSVEISGLDIGWGQRIPLTYDAEKGAWILEKELPEGRYEYKYIVDGNWLCNQSEPITNPNADGHVNNFIQVSRDGTSDEERELRERLTGPNPDLTDEERLMIKEYLEQYVER >Et_4A_034362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3251697:3254531:-1 gene:Et_4A_034362 transcript:Et_4A_034362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSISYPPDDYLPEDDEDSDRLFVRSLSFDNLSTLETLESPPALLDALTSKRRIVRGSLSFNKGEGDPFQVESTLSTVSLKSDKESCRHKLTTLPKYGPIENLPPDSPVIGMVSPRHQAAAIRIQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLKRSSVSFFEVEKPESALSRWSRARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHYYYQHWLHCESKQPFFYWLDIGEGKEINIEDHCPRWKLLQQCIRYLGPKEREFYEVMVENGKMMYKLSRKIVDTSEGPRDLKWIFVLSTTKVLYIGTKNKGTFQHSSFLAGGATSAAGRLIVENGFLKAVWPHSGHYRPTEANFREFMRYLKKRNVDLTNVKLSPSEGEEDQTESSNTEKQEGSKSQPPRTEDDKATHTPTPATPPSTSGETTTTNGSGGTPVMKRSSSGSRLQRKRPPRLSVSKNRLGKGTAEVGAGAFGDCLDFCKENLFGGGGEEVVVVPQEKILHRINSKMALQSYQLGNQLSFRWTTGVGPRIGCVRDYPPELQFRSLEQVSLSPRGGTGPARFGTPRQSPCAPLSSQTPGGPLGSPLYAAVGTPTSRMQHGAA >Et_6A_047174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26347026:26349561:-1 gene:Et_6A_047174 transcript:Et_6A_047174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEAAVVGLLVLVVVCWLPSSSEAAAQELGIYDWVTQQPAAATGCAEKKDAALSAAERVHINNVIDPSGSDESSYKTIGESVANIPDGSTKRYVLTLMPGVVYREKVLIGKSKPFVTIRSRDPYNPAVIVWNDTAARRFQMILSSLASRDRRAYATSSDMQLTTRYHKFGNVKKIIPTHLLGYYSSSAKRIISLLLEGESKTPIARYEKTKIETDFRPRARRRAASRL >Et_4B_038141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26673521:26674984:-1 gene:Et_4B_038141 transcript:Et_4B_038141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLPITVRISGNCGGAVDMSFSLVQSAVEAVIEVVISEVQSTFDLCLSSMVYVADLCKEVELFHGDACDMGIRRSVVAVSLDTTMHLKFKIGQEGSDSDVVHYCSFGAKLHGCTRRQIKLEMACILMKEAAAVHSLGVGRRRSPSVMTWLRLMSASDVQPLSAMVSSNSSRSIRSRFRTPASPSAASAITTGLPT >Et_4A_033890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27772143:27774804:1 gene:Et_4A_033890 transcript:Et_4A_033890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPPTSCKEWSMSDFEIGKYIGEGKFGKVYVAREKKSGYVVALKVMFKAKLRKYRFHAHLRREIEIQNSLDHPNVLRLFAWFHDEERIVLVLEYAARGELYKVLRAAGRFSERTAATYAMSLGGALAYCHKKQVIHRDIKPENLLLDIEGRLKIADFGWAANSNGKRHTLCGTIDYLAPEMIEKKPHDHAVDTWTLGILCYEFLYGNPPFEAAEQNHTLRMILTEDIAFPSTPYVSPQAKDLISKLLEKDPTKRISIEEMMRHPWIVMNAEPSGSCIKQKRLRQNDK >Et_6A_047331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:419642:420936:-1 gene:Et_6A_047331 transcript:Et_6A_047331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSLFAGCFILLLLLNVGDVECRGRRRDDDDDPDYKLFVFGDAAADNGNYPNTGGLSQGTRAWYYPYGISDDDNDNRPSGRFSDGMVQSDFLARILGYDESPPPYADYRPRRRSRGIDPSGMNFANASAGVWYEVPKVSEQVDQFTNLVNSGAITKQDLADSVALVAVSGCDYARITQSNGNLYTGMYAFLVTEEMVRVVKHLQDAGVGKVLVNTLPPLGCVPATARSGGYGRCDQRVNGLSDEHNRYLNEKLGKKDGVLLLDVNTVVSNLLGNGQFRRQRSRPCCEARDAQNGYCGQPGGSTMCRDPEDYFYWDDRNPTDAGWKAVMQQLQTPVMDFLGIQTRN >Et_9B_064214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12678630:12685349:-1 gene:Et_9B_064214 transcript:Et_9B_064214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCIEVEVKKQSSCSIHLANRSDQYVAFKVKTTSPKKYCVRPNVGVILPRSSCYFTVTMQEQKTAPPNLEIKDKFLVQTTVVPFGTSNEDIVPAFFSKETRRYIEENKLRVVLISATQPQVEQLITGAPDVTATVQANKAEEMLVNMNEVPNVVNEVCRPLKESYPPLRETPAILSEIPSPVKESPILRDFLVPSNEAPFTSTDSAPSLKESPAVSVESHFCSTETSSTLKESPPLEDTPAPRGLAILSDNGPENPENLHLSHVTQDLQSKLNNLEAKLEEAETSIAKLREETRTTIQERDKLRKEMVFLRKAGATQAQAGFPLLFVIYMAFVGMSLGYLLHL >Et_6A_047739.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:12511251:12512021:1 gene:Et_6A_047739 transcript:Et_6A_047739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHGRVAIVTGGAGGIGSAVSKHLASLGARVAVGYIGDPTPARDLVRCINSEHAKEGETPRAIAVEADVSDSAQVKALFDAAAAAFGGELHILVAAAGVLDCSFPSLANTSDASFDATFGANARGTFLCCREAARRLVRDGRGRIVTFSSSSVGSLRTGHAAYTASKAAVEAMTKILARELRGVGITANAVAPGPTGTAMFYTGRTEEEMERCINEAPLGRLGMPEDIAPLVSFIASDAGHWVNAQVLRCNGGTI >Et_1A_006792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27655300:27657448:-1 gene:Et_1A_006792 transcript:Et_1A_006792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PSEHPSAASKQSASRARAELPAPEPQRERERERERESDQSIDTGRAAMGQLAPLPKVVFGSAAFGVFWMLAVFPSVPFLPIGRTAGALLGAVLMIVFHVISPDDAYASIDLPILGLLFSTMVVGGYLKGAGMFKHLGRLLAWRSQGGRDLLCRVCVVTALASALFTNDTCCVVLTEFVLELAAERGLPAKPFLLALATSANIGSSATPIGNPQNLVIAFNSKITFLQFFFGVLPAMLAGMAVNTVMLLCMYWKDLEGAGGADGEVAAAKEVEAVEEGRVVVVRSPSSVLSLKSPAAAGGNGGGDDDDGAESVMSENISTKHRWFMQCSDKRRKLFLKSFAYVVTVGMLVAYMMGLNMSWTAITTAIALVVVDFRDAEPCLDKVSYSLLVFFSGMFVTVSGFNKTGLPGAIWNIMAPYSKINHVSGVTVLSVIILLLSNLASNVPTVLLMGDEVAASAATISPSAVTRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRAPRNAHDLSFWSHVVFGAPSTLVVTAIGIPLIGKIGF >Et_4A_034888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7556839:7557315:-1 gene:Et_4A_034888 transcript:Et_4A_034888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSAARGLALGGLLAVCLLLGAADIAAAATHNVDWTFNADNWSQGKTFRAGDVLVFKYDPAVHNVVAVDAGGYNGCRPSGTSYGSGNDRITLGPGTSYFICSLNGHCGMGMKMAVTAH >Et_4B_038136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26591569:26594296:-1 gene:Et_4B_038136 transcript:Et_4B_038136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQVLTERTNPLLNRSASPSGVTQLHSHADNGGGFYNGSYSFLDTRPSTTRFSSGSVTSEDSPRLLSFKSSSSPDNYSEWPAASRSNRYLFDANAKTRGAEYLDLMRVEVDAQLSRLKGGVTGLESYALPDNGHVIGGAHLGMSLDVMLIEIDERFNALKLLMAAVFRQAREVIGSVNSSMSDQQWEHELQLEVFSVAIGECISGLQEELERKLYEQISVTNTMSMNWKESIAQFAAMREDLGVLSKLLLPSVPEAHVSHGKHESSGNRSNRWKYNFFGKKSKEDLSPRAEGSKSFRKQKSFGSKDVISEKSDFRHLNGMNREEMISYFKSEIGKLKRMHESVLQEKTEELFRFKREKGSQALKNDVEFEPLRKKIPEIVSRMDQIISKNIKIPAVCMTHDELDERCRLATRINALYYENQHLRGMLAEKMKDARELSSQLSESSRELSLQLSSEEELVRQIDKIKDEYEDLRIESDVRDGLYQTVTRRLLDDSARNMDSAALDFNAKLSSLEAAIYEKDKALCLSNEENRKLKEKLAQLEKGCSIQNHQQDQEVIKQESTEIIMRDIEVEPHTSPRRSHVQDLRYDELVKLNSSLGIAPGALKEMDNKNLNCCSSLTKNEQEKQLECILVSIMKLSKEFVDIEQKLSAERIENRSEDLSDHCNHMVRQAVVLTKIGLWYKQMLETRRFELQKAEAKVAILGDQVNAHLSLLQKIYVTLDRYSPTLQQHPALLDTFLKTCKLVADLRTKQKEDDTT >Et_6A_047959.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2666864:2667511:-1 gene:Et_6A_047959 transcript:Et_6A_047959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVARVHLAMAHAALPGLLPTPPKLKMAPPCAIILPKQSPPPHKPSRADAVERWDAFKKNKCSSKPGRADAAERWDARKITPQASAAPSGSSSSNKSGTTSSSSSMSSSSTSSSSSPGSRAAAASSCGRRDGNKRPVSRGSSSNERWDAHKKSRPPQLDDGESSSTGSNDDIRLDDKPQPQLGFYAGPTFLAPPQPSTLPMPSFLVLPRCIVA >Et_1B_010285.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:34627792:34628574:1 gene:Et_1B_010285 transcript:Et_1B_010285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVCTIKTGFEHDALVMSSLISMYSKCGLINEASQVFQSILQRDTVTWNAMIATYAYHGLAADALELFDRMIKDGFSPDHATFLSVLSACAHKGYLYEGCHYFRSMQRDWNLIPRSDHHSCMVDLLGRSGFIHQAYDFTRKIPSDLQINAWETLFSACNAHGDIQLAELIARNVLQYRPSDGGMYTLLSNIYAAKEMWSYAASVRGLMKERGLKKETGCSWIELKGEVVCFSSNDNAHPLIENICQEVVNISVLIEEAT >Et_1B_012155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29495730:29497808:1 gene:Et_1B_012155 transcript:Et_1B_012155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASDPACVDLSGNTSIKKYTYKEIVRSTDNFSPSNKIGEGGFGSVYKGKLRNGTFVAVKVLSTESKQGAKEFQNELMSISDISHDNLVKLYGYCVDRDQRILVYNYYENNSLAKTLLVKICHGIARGLAYLHHSVIPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPYATHISTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNTNTILPYEDQILLEKTWIYYEQGGLDKIVDSSLGDDLDLEEACRFLKIGLLCTQDVTRKRPDMSKVVAMLTGELDVDLEKISKPAMISDFMDLKIRSMRKGNDVATSSNFLSSIAAYSSPMLSNETTQASMSFTEASDRE >Et_9A_061782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16307032:16309782:-1 gene:Et_9A_061782 transcript:Et_9A_061782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGCGLAAANCSFLPVASALRLPSATLSGRSAGCWRSTSKRQLSSARASTDGSGPGDSAGAVAAEASTVEDTLQREYGDSGDGGVSAESSAGKQPPPVNPKIEKELKKAVQKTAATFAPRASTKTKNPAVPGTALYTVFEVQGYASMLVGGALSFNLVFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSNKEKEALNYLFLLIPLVNVIIPFFVKSFAVVWSADTVAFFMMYAWKLGWLQRSE >Et_2A_015521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14462870:14463306:-1 gene:Et_2A_015521 transcript:Et_2A_015521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIATSEKERSSGRFDRNNTEIFETGPKQHSSAKLTFLRVFALEPSNYIKHIATSEKERSPGRFDRNNTEITETGPEQLENCPSSATLTFLRVFAREPSKYMKHIATSEKERSPGRFDRNNTEITETGPEQLENCPV >Et_9B_064497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15849762:15852130:1 gene:Et_9B_064497 transcript:Et_9B_064497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGLQAHNMRLPPTRRLLKRLPLPPSLLTPIPHFPNPLPTSRAPLPSSPQQTLASQPFPMARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRVQLHCMFLMFGAESAFPSLFTGSNSSNAFLRHRTGKWTPPRCAAPAAVRRPRPAPSAATRPAAVRRPDAADDDPDAEEDDDDSGPPRLKLLARPAARHPDAAPPPPPRPIPLAMRRRNRAPCRASSPPRTSPRAGAAPRRSSPPREHAPPSPPREPARLCLPASADAPCRLRASTRRCLLHSSPCAAFPLRSSPPPSPPPMLEHVCSSALGWPPTQRFWRPHIASSLRLDITRLPDPHGRFVDASAPCYARVNAAEAALLCPGCTPPWMRSPAMGSLSAPPWLPLHARAFAVQASAAPAPPRRPLHAQLTRLARGHLRAPPPHRNPQRPRPYVGVRPTAPHKCV >Et_2B_020169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17525163:17526089:-1 gene:Et_2B_020169 transcript:Et_2B_020169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKWLPPPRSNAGRPAPKRSWQTCGGRSRARTTGTACCSRAVVRDEVARYGKLVDACYDALDTYEPLLGAAHVLQARHQGHRAAAGRVRGDPAGASTRRRAWAGAPAGSGTWPCPPTIEMTPARRARRWAANLRSSLKPARLRDGDVRVESGFLSIYTSAGRQKHGELPEAAPPTSGSPASSAPCFSNNKNDQEDMSLLGYDLAELGVLNRRRRARVPVTAFSFKARCDELGVKALRVANVRDPVTMVPGAFVNESHSFTILLLEEKSAHRCWFFPFTCYTIDGKE >Et_7A_050483.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:18595057:18595335:-1 gene:Et_7A_050483 transcript:Et_7A_050483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAPSLGAMAVPEKQQIIVADSEDQEADVLCKRCGEEHGAKDNEECYLRMEKSRCKLCGLIHKGYNGSAWIIDGFDKLIHGTILILCLHI >Et_8A_057251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22796558:22799842:-1 gene:Et_8A_057251 transcript:Et_8A_057251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGRRHSVDIPISRALVAIMRSRSLRDPDTNSLDKFSAKKTIWEGCSLEEDEPEENSYGRHSFSYNAYDHLQRRREEFGDSLRLGRLANSPINIIKANAMAKAALHNQSCCSTISGMSRAAKDRASALVIEGQELGRREASTFQEGSRSLLQKYQPKSFSELVGHDVVAQSLSSAVLKGKLAPIYLFHGPHGVGKTSAARVFAAALNCHSPGGNQPCGHCEECVSIFSGSSNDVVEVDASKLDCKSRVATLLRNACEVPASSHFKVIIVDDCQQMDKEGWYSIYNSLEGIPDSSIFVMITSDVDKLPSNSTGWCQSYRFCKIDDAEIARRLIKICTKEGMEFEAEALELLARKANGSIRDAVQMLDQLTLLGKRISKSVTHELIGDVSDDELLDLLNLAMSSDAATVVRRAREVLNDGTVMLKDESLDTSSHLCSQNKVGSLDMNLGDPDVLETIWMKAVENCSSRSLQNLLRKDGKLSSLYTSQGVAVAELQFCHPEEVPTSESFWKPFCTSLQNLLRCNVDIRINLSPISSNRAGLKDSSVSLVMQSREDQEMQDVSATNCRTVASSRRDCPSPLAGQAKERQSHILGCLHGTTDSEAGDTESKILSYQKISAVPEASTPGNAPLKGGRTAKVDETRACRGCCSSVLPCNACAPRRKSQPREKRRASLFSCCFCKIRPDCKTKAEAG >Et_2A_015470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13459661:13464537:1 gene:Et_2A_015470 transcript:Et_2A_015470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREVAESCVDGVVMEMVAAYCGRFYAEKPELAARRIEAIGFQVGHQLSERYTMERPRFTDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLTRVSLDPSSESMDSNDNDSAPLGDTAAQTTSMLLYFPCGIIRGALTNLGLTCSVSADMSNLPACSFVIRIKT >Et_6B_049507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5937979:5943984:-1 gene:Et_6B_049507 transcript:Et_6B_049507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAVELGSRPPSVVERRDGGETAVREQEGHREREGGARDRGEGLGREGTHPVEGKWRGTGRGRGAVRWGGAERRCDVRKQRLGDGGVEEWSKAMYMVQLAVAHHDCEIGDRDQDKPHLWSMGKLARRSDTRYPLQQRTLNQPPSSRFASRATKSGGCPAVRQTAAAASPVAVAPLLHPVAPPPSPSRLSCTPSRLSCTPSLSRLRLRASPAPRRRACTSDRRLPLLDAAPPLHLDSIQVKETGWMIIIAIEKKRLQMEAEKKEKEEDERILAFNLEVQNDGSLPGDQLWSPVELELDDGAWRPAELELEQDLGGTTAPGALPSWSRTAPRRATVMRIWWASYHRSYDEKIALLLRITRPAPPSKGRTEQGSAGGGGGLRMEEQIQPFIMTRRQGRSSRLGSRSDSTTAYAMGAAVATAKNFVFSALLIYEAGESSDTLQ >Et_7B_053437.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:19449724:19449822:1 gene:Et_7B_053437 transcript:Et_7B_053437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKCNCETTVAHNGKEAVDLFLQGKTFDIVF >Et_2B_020130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16998382:16999050:-1 gene:Et_2B_020130 transcript:Et_2B_020130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQAFDAVAAEHEPELERAEPAGERDGPVLVVHDVNTLRGRVLEDRRDEQRRLLHPQRATVVVGQEPLVSVHAEGVHVLDAGDHVRQLRAYERRPRVGRVHVDPDAVRRRDGAQLPDRVDGRGLGRSHRGAQEERDQPSVRVRLHCGAQLGRREREHVVAARRDLTTVFAGDAGDARGLGEGEVGLVGAVDDEVPNPLAADLRELPVPRGHDGA >Et_7B_055153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7791138:7795523:-1 gene:Et_7B_055153 transcript:Et_7B_055153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSRMVAPVLFLLLLLVASEMGTIQVAEARDCVSQSHRFKGGCVSSRNCHHVCQTEGFPSGECRVHFFRRKCYCKKNGFDLPPHGHVRPLRRPAPPRRLRDGDDEGGGGSALPVPEPQVRGGVHEGAQLPARLPNGGLPLGRLQVARLGTQVLLQEDLLAARLPARKTTYPPCCVSPPLMSSIVAAVVVSL >Et_7A_052601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9774019:9775308:1 gene:Et_7A_052601 transcript:Et_7A_052601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCCSVMAVTLPAPTTSVSVSVPCALRAAPRFSSQPISRKPSLSGNRACRAGVRCSAANKPSASDSEPAAPCSPSFRLAPTNSWLRSSHNHPGVPAVSLASVHACSSMARIRSEVLSPFRSVRMFFYLAFMASGALGGLIALTQLLPSLGNPARAAAAADTLKGLGIDVGAVALFAFLYARESKAKDAQVARLAREERLSRLKLRVGEGRPFPLSELRGTARLVVVAGPAEFVAESFRRSRPLLRELAERAVLAVPFATDGSSPELRLEESGGDNDADDDVVARKSKRLWQLTPVYTAEWAEWLDEQKRLAGVAPDSPVYLSLRMDGRVRGSGVGYPPWQAFVAQLPPAKGMWSGLLDGMDGRV >Et_5B_044514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3027818:3029220:1 gene:Et_5B_044514 transcript:Et_5B_044514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KCEKKSPKKVHKSEREKRKRDKQNDLFEELGKMLEPDRQNNGKACVLSDTTRILKDLVSQVDSLRKENSALKNESHYVALERNELFDENNMIRNEILGLQNELRMRLEGNPIWTHGTTRSNLAVPHPATTLFALQHSPHTPVITTMALPLQQPAVLDQSYAAPRRELQLFPERADTEDNERSQDQGISNHVTRPQARYPTTMVTLPGNVCPALPRMDNEQCSSGTTRSGKEDGPVNA >Et_2B_022208.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:13828803:13829696:-1 gene:Et_2B_022208 transcript:Et_2B_022208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGCHGGVSSGGRFWSEDSESEEVEDLGFTDPYPEPKKHSALQEGLQSTEAEWKTVKRKNHRKKVDRRRPSGITWPWSKVDRNPWKGPLRKARISLMKTIGDLVMPELKRRSAGITTPVIGRSRATPDPEQARFQILIKPALAGPSGPLVGFLMGRRCQGLSALRGRFPTRPSCALPPCCNSHPTLQPSTYLHAAMVGGGVREVSSGGAGGDGGGEKRRTFGFRGNRFMAGRGRDRRSPSPPGGGTWVRVVAAPIVMAAVVAIRPSTHQEVTGMIEQHVEVMTVLRHARMIGLIPM >Et_2B_019905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1559975:1568774:-1 gene:Et_2B_019905 transcript:Et_2B_019905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTALLRAPAARYLVHEESAPLHSRGYHCHTLRYVRCNPTDLRPCQRLVSSSTPRGLNQRVAALPDVDDFFWEKDPTPILDTIDAPIHLKNLSSKELKQLANEVRSEISFIMSRKCQPCGPGRSVVELTIAIHYVFNAPMDKILWDAGQLAYAHKILTGRRSIFHTIKQKNGLSGFTSRFESEYDPFGAGHGCNSLSAGLGMAVARDINGRKNRIVAVINNWTTMAGQVYEAMGHAGFLDSNMVVILNDSCHTLLPKADGGPKMSVNAFSSALSKVQSSKGFRRFREAAKGLAKWFGKGMHEFAAKVDEYARGMIGPHGATLFEELGLYYIGPIDGHNIDDLICVLKEVATLDSTGPVLVHVITGTENDTSGSIKSDIDPNEEEPSSSSHDLLKFLESGLSRTYNDCFVEALTAEAENDKRIVVVHGGMGMDRSLRLFQSRFPDRFFDLGIAEQHAVTFSAGLACGGLKPFCIIPSTFLQRAYDQIVEDVDMQRIPVRFAITNAGLVGSEGPTNSGPFDITFMSCLPNMIVMSPSNEDELVDMVATAATIEDKPICFRYPRGAIVGSNGSIMYGNPLEIGKGEILAEGKEIAFLGFGEVVQRCLIARSLLSNFGIQATVANARFCKPLDIDLIRTLCQHHSFLITVEEGTVGGFGSHVSQFISLDGLLDGRTKWRPIVLPDRYIEHASLAEQLDIAGLTAHHIAATALTLLGRHRDALLLMK >Et_5B_045612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5491100:5492212:-1 gene:Et_5B_045612 transcript:Et_5B_045612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRAHWEPATTAKLIELCKEEKSLRNFNRIGPTHLGWTHIHQGLQAAFPNQFDHKQVSNKIGSLKRSYHKWLKLQRQSGLGHNRSTGGVSADDSFWDEHEEIDEHDETEPSEEDHEAHEPTLPQDRGTPPPFLEDLEFLFRRTPQDRGNLVCAGGVHQGSPSSPLPAPTPRRGHAAGPSRVPDAFPVATTRRGHAAVPSRVSDPSPAGTAAGVPEDVPPSSPVGAAADKSASKRTSVDRSVTSPKKKKSRSSLEESVHVMADTLVQCNIMKAQQHDDDLLRSVSNIMRVDGFMDGSEMFLKAAVLCQHRPTRVQFLDLKDRNARIEFINFVWSNRDARI >Et_1B_011234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19069798:19071251:-1 gene:Et_1B_011234 transcript:Et_1B_011234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSQHSTSFGRGLLSNGEEYDASYAATVAAVAYAIAAREEEKLASQEKPAMEKFASGNKRVTEKVGSQKKPASAEERQKTPSFKSPTKRGESLKISRWFSGKEPMDDDFDDDQGANVSVRRPLKPTQKKPEGTTSGQNIVEKVTDSAPSLKKDPSFTRKPPEKRGSRKFEQDDQAYPIVPRAAPPAKPMTSYSSGGGSRVTASGMATSSEAEVMADAWEKERLAKIKKQYNETMETIAEWEAEKKAKARRQKQLKEESDSERKRAKALDEYNEEMSRINKVAAASRLTAEEKRRNAERKVREKADNIRSTGKLPSTCGCF >Et_4A_033104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18503109:18505825:-1 gene:Et_4A_033104 transcript:Et_4A_033104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVRTLVLRHLRLRAPSSVTVAACGGGGRAAVAPCGFARGMSAPVSQDGGCGGDSDSESAVRARVVELVKKFDKIDADKVTETADFQKDLSLDSLDRVELVMAFEQEFSIEIPDNKADKLSCCADVAQFIISESQSNSSSSSSS >Et_8B_060214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7548118:7550889:-1 gene:Et_8B_060214 transcript:Et_8B_060214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYSNLLDMTCGDGFDFRQPFKSLPRVVTSPGIISDPDWDTRSDGESVGSASSAERKIIVANFLPLNCTKDETGHWSFSMDDDALLMQLKDGFSKEADVVYVGSLKVQVDPSEQDQIAQKLLREYRCIPTFLPSDLQQQFYHGFCKQQLWPLFHYMLPICLDKGELFDRNLFQAYVRANKLFADKVMEAINTDDDCVWVHDYHLMLLPTFLRKRLHRIKLGFFLHSPFPSSEIYRTLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLVGLHYESKRGYIGIEYFGRTVSLKILSVGVHIGRLESVLKLPATVSKVQEIEQKYKGMMLMLGVDDMDIFKGISLKLLGLELLLERNPKLRGKIVLVQIINPARSTGKDVQEAITEAVSVAERINTKYGSSDYKPVILIDHRIPFYEKIAFYAASDCCIVNAVRDGMNLVPYEYTVCRQGNEEIDKLRGVDQKTHHTSTLIVSEFVGCSPSLSGAFRVNPWSVDDVADALYRATDLTESEKRLRHEKHYRYVSTHDVAYWARSFAQDLERACKDHYSRRCWAIGFGLNFRIIALSPGFRKLSSEHFVSSYNKASRRAIFLDYDGTLVAQSSINKAPSAELIAILNTLCADPKNNVFIVSGRGRNSLDEWFSPCEKLGIAAEHGYFIRWNKSAAWESSYSSPHREWKHIAEPVMQVYTETTDGSFIEPKESALVWHYLDADHDFGSCQAKELLDHLERVLSNEPVAVKCGHYIVEVKPQGVSKGLAVDKLIRTLVNNGKAPDFLMCIGNDRSDEDMFESINGMTSSAVISPTVPEVFACSVGQKPSKAKYYVDDTNEVIRLLKTVTRNSSRREDVSHGRVSFRDVLDYVD >Et_2B_019823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14308776:14315508:1 gene:Et_2B_019823 transcript:Et_2B_019823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVQVTPLSGAYGEGPLCYLLAVDGFRFLLDCGWTDLCDPSQLQPLAKVAPTIDAVLLSHPDIMHLGALPYAMKHLGLSAPVYATEPVFRLGLLSMYDHFLSRWQVSDFDLFTLDDVDSAFQNVVRLKYSQNHLLRDKGEGIVIAPHVAGHLLGGTVWKITKDGEDVIYAVDFNHRKEKHLNGTVLGSFVRPAVLITDAYNALNNQGYKRKQDQHFVDALVKVLASGGSVLLPVDTAGRVLELLLILDEYWGQRNLKYPIHFLTNVSTSTIDFVKSFLEWMNDSISKEFEQNRDNPFLLKNVTLIINKEELEKLGDAPKVVLASMASLEVGFSHDIFVEMANDTKNLVLFTEKGQKISAFQFGTLARMLQVDPPPKAVKVTVSKRIPLVGDELKAYEEEQERIKKEEALKASLIKEEELKASHGLNAKASDPMAIDGSSSRKSSNAGSHFGANVDILIDGFVPPSTSVAPMFPFFENTAEWDDFGEVINPDDYMMKQEEMDYSMMLGPGDGLDGKIDEGSARLLLDSAPSKVVSNEMTVQVRCSLAYMDFEGRSDGRSVKSIIAHVAPLKLVLVHGSAEATEHLKMHCAKNSDLHVYAPQVEETIDVTSDLCAYKVQLSEKLMSSIISKKLGEHEIAWLDAEVGKEDEKLILLPPSSPLPSHKSVLVGDLKLADFKQFLENKGWQVEFAGGALRCGEYITVRKIGDSIQKGGAGSQQLVIEGPLCEDYYKIRELLYSQFYLL >Et_5B_043952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18211277:18215504:-1 gene:Et_5B_043952 transcript:Et_5B_043952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVTGAIGSLGPKLLQLLGDEYKLQSGLRSQVKFIAEELESVHAALRKVANVPWDQIDEPVKIWARQVRESSYDMEDVLDTFLVRVDNTKPTDPSKLKRAMKKMTNLFSKGKSRRDIAVAIEDIKKQLRIVAERRARYKVDDIVAKPVEIVDPRLASMYTKVTELVGIGKALADIISVLETTKKVSIVGIGGLGKTTLAKASYEKLSPKYDYKAFVSVGRNPNLAKVFKDILFDLGKGKYENIHNTGRGVHLLIRELQEFLDNKRYLIVIDDVWETSTWDLIETALVMESNCDNRVITTTRIVDIAKKAGDVYNMEPLSEAYSKELFCTRMGIDQTDDQLASKATEKILKKCGGIPLSIITIASLLVDKPVAEWYMVYDSIGFGPEGKYQLVDDMRKILLFSYYDLPPHLKTCFLHLSIYPEDTVIEKDDLIWQWIGEGFINTEDGKVLFRVAETYFNQLINKSMIQPVYSYYDGYVYSCRVHDMVLDLMCILATEENFARKLDRVHQEHCSSPSQRSSAACVRRLALHGGSNQGQNGNLQSAEVAHVRSINAFLSPITSMIPPLSSFQVLRVLSLENCRQGCDLRHLGKLLQLRHLGLSGTPVDELPSDIRNLVHLQSLDVQGTGLKELPPTVGELSNLMHLRLDFGTEVLPWLGKLTSLQVLKYGSYRSGTVAELGKLTELRILWIYFEEVEERDVKALEESLHHLHKIEDVSWVGSFRLRTYCPLAWEPPRHIREFGWDVTYLPRLPVWLNPKRVPHLSYLLLNVKAFEAQDMDILGSLPELRCLFLSLEMGRFVSWTFPGGRLFPNLKVMWLEGIHVENVHLLELKNVRVSHEEGAYYSGDKEEEDDDHDDHVKETSAIDQELQKQQEENDVTKLSLGGY >Et_2A_016172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21715020:21718751:-1 gene:Et_2A_016172 transcript:Et_2A_016172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRASRCALCEGSNHPSYCSTCVNSRLIEYHTKLRMMSSLRDSLHSRIAARLESPSKADRQRTWRVRKAQDIKELKDRLIVLKQKTAIEKTKVEQASSDLKAQKASLNLAFVTLKKRRGDVTMMHTNAMELAQMNLMAATSERLNMQSKAVKQLCRLFPMRRVIKGGKKGDYSGPYDSICGACLPRGLDPHSVPSEELSASLGYMLQLVNIAVRILSAPTLHVSGFGASCSHIWQRNSYWSTRQSQSKIYPLFIPRRNVCTGGEENLMTRNGSGNFGVDSVKKPSLDSKRSNSFNFSATSSHSTERHQDLQRGILLLKTSVISITTYYYNSLGLDVPSNLSTFEAFTKLLHISAEQAQHLNISIWKASSAISSEGSIMDSMHTIIMPSSLDNLLLNSNGSFLFTGQRVKQGGVPDSIFDGWDLVEREVLPPPPSQIEDVAQCERAMSAGAKRK >Et_7B_053743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11725134:11728551:-1 gene:Et_7B_053743 transcript:Et_7B_053743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRACESINMAEDLTGAIAPYVTALHDSFLHSHCSSCFRKLLPQSSCITSCVTCCALRYCCSDCLSSDSPVHVSSGECCFFVNDLRRASLSCVAEGTSDFRAALRLLYVLEARSLVSSDSIDHSSRIGGLSTSGIEQALEEGDEIAKRILEGSLLMSSSRKSRTHASVGFSDGLETLTLWAVITNSVEVQVSEDQAIGIAVYGPSFSWFNHSCFPNASYRFALAPWHDDCTSHKSKSCLVPASRGIAQNAGDEVCISYIDLLQTREARHSDLWLKYKFVCSCKRCTASPESYTDLILNVRMKPCDARDLRKPDVAATELAVEELNDALQLAISEFMSGDDAKACCDMIESILSENMMSDLQHEELSRRIFILHPLHHICLSSYMTLASAYRFRVLSLEPGSLHGEKNGDLFIMAKAAAAYSLMLVGTTHHLFVSERSFMIPLSHFLLSAGQAMLFLVESIKGDMNTNVTEGKFTLPPIPASSTKHDSLQYHEFKSTCEAFGKQMLFLSLHSWPFLVQSLPCLQKIKNPIEFSWLGATIFQSLHLSEEDYADISAHDPAAFKKGQKNCIFRLAICCITYCKYLASICYGPQHYLTNHAKDLLE >Et_5A_041695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2622635:2625184:-1 gene:Et_5A_041695 transcript:Et_5A_041695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDMDAERKAEAALRWKAPAAMVLVQLFITGLILLSKVAIGGGMFIFALLAYRSFFGAAVIFPFALVFERGKWKEMDRRACGWIFFNRFIGYAVPMSFYYYGLRDTTSSYAVIFLNIIPLTGVIAFWDDCLMAEDRRCFALGGTMIISLYKGKVLHLWTSIMHHHRDGPVEVANHQLRGTIFLVGSTITFACWYLIQSKVLKVYPYKYWSSMATCLVGGLQTLLLGIILRRDRSAWKLGWDIQLATIVYSGALATAGRYSLNSWAVAKRGPAYAPMFSPLSVWEGKWLLVPSSSSSSSSSSSSFDNIVLGTTTVIAGLYVFLWAKSKETSC >Et_3A_025597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31047968:31049211:-1 gene:Et_3A_025597 transcript:Et_3A_025597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRISAYLEDQVSKKAEKYQELICRRHVDDLGRVGISVTLKIVSSLSPTKDVIAEEINSSNASWVILDRLFRRDKGHFMKSIACKIAVFDDDKLTLHYLKMIRTCQLSNKRGREATKVHSTTVPFGSSSDRAMGAAESKHAPEPEGST >Et_9B_066096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3400369:3403816:1 gene:Et_9B_066096 transcript:Et_9B_066096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMIDDGVHSREWLTVPRGITGSDIRIQKEGTTGGKPADVTLTGKGSALGREGIDLVAVLDVSGSMRTDPGDKMGKMILAMQFLIKKLSGIDRLSIVVFNHLPFRKFPLGVVTDAYKKSLIDYVSGLYGDGNTNITDRVLDGRRLTGDRTTSGMRTCKALTPLPSKSTNTPCKPLALARITTPRDGADLTVPFSQVVAGLLTTRIRYAYAGNQEVSTST >Et_1B_009912.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10694529:10694555:1 gene:Et_1B_009912 transcript:Et_1B_009912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHWMKAK >Et_4B_036955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1310269:1313478:1 gene:Et_4B_036955 transcript:Et_4B_036955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQCQNGTLGSDYYNRPVSRYADGYVEEDRYSDLKKFDKPWPEVNSFKPTAAGILRRGLDPTSITVLERKTADLREHYIIGHKNVVAIKDVYEDNQAVHIVMELCAGGELFDRIQEKGHYSEQKAAELIRIIVSIVAMCHSLGVMHRDLKPENFLLLDKDDDLSIKAIDFGLSVFFKPGQVFTELVGSPYYVAPEVLHKRYGPEADVWSAGVILYVLLSGVPPFWAETQQGIFDAVLKGHIDFESDPWPKISESAKNLIRKMLCPSPKERLKAHEVLRHPWICENGVATDQALDPSVLSRLRQFSAMNKLKKLALRVIAERLTEEEIAGLREMFNSVDVKNRGVITFGELRDGLRRYGTGLEDNEITDIMEAADKDNNVTIKYEEFIAATVPLNKIEREEHLMAAFTYFDKDGSGYITVDKLQRACGEHNMEDSFLEEIILEVDQNNDGQIDYAEFVAMMQGEKVGLGWQPMETSLNVTLRDAPQVH >Et_2A_017545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35373536:35374610:1 gene:Et_2A_017545 transcript:Et_2A_017545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSQLGSCCLGFLLKLLAFLQAFAAVSALIYAAWILSRWARHHQLHLHDLLPDLWFPSAVMAAGLFYCLLLLVGYLAAEINNGCCLCFYTIPAMAMLLLEAALAAHLLLNQHWIQDLPYDRTGELDNLLSFIHNNLDLCKWAALAIFGTQALSLFLAMILRAMLSSRSVDYESDEDFVVIRRPLLVAQDPPPYLPTTVDARGFRPDLWSSRIRQKVRTVCNHISTI >Et_6B_049799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12677180:12677984:1 gene:Et_6B_049799 transcript:Et_6B_049799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSMASRAAAFRSAAQGYWRQAAAGGVGSGSRSAATATAAHPDGNAAKGKLRGDYVPVYVALGLIVMSVSLGLSTARQQLAHAPNVRVDKKKRAHTVPEVAAPDLALDEGERFVGNSLFRKVAHVQDDRSLAAGVAGDPVAEYPGRKGVTLKDAGVDAPGIPKGKEGVLDKVFHKN >Et_1B_011423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21994975:22012104:1 gene:Et_1B_011423 transcript:Et_1B_011423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GSMEAAADEERPLLIRQLPSQEEGSQYTSDGTVDINNQPALKRSTGNSRACFLIVREKGYSHCMADIMFFRVASFEHVLGVEFAECVAFFAISKNLVTYLTGVLHESNGMLTLTVRAFLPLLMNSSYNSGIHHVVAYVGLYLAALGNGGIKPCTSALGADQFDSADPVERVTKGSFFNWYYFINIGSLLSGTVLVWVQDNVGWDVGFAIPTVVMVSGLAVFVAGRRYKKVEGSPLTRVSQVVISAVRNYNVVVPEDSSDLHEIVNGRLKLGIVFCQRFFDKAAVIVAPGEKGTAAPTSPWRLCTVSQVEELKMLLRMFPIWASMVLFFSATAQMSSTFIEQGAAMDTRVGPFTLPPASLATFDVISVMVCIPVYDRVLVPMARRATGKDRGLSPFQRLGAGLALSVAGMAYAALIEARRLALARAPAAKKMSILWQAPAYAVLGAGEVFAAIGVLEFFYDQSPAGMKSLGTALAQLTVAAGNYVNSALLAGVAAVTARGGRPGWIPDDLNEGHLDCFFWLMAAFGVVNLLHFLHCSVRYRGNNTTGDGAMAATSPTGHDGRPEQRHLDYFWLMAALGVVNLMPFLHCSVRYSGNNATEKMKGGSIDEEESLVLAPLLSGVPSQGYQDHGPYTCNGSVDIKGNPAPKNDTGKWRACYSILGGEFCGAMAYYGVGTNLVSYLTKVQKKSNVVAASNIACWQGLCYLTPLLGAFLADSYWGRHRTIVISFTIFTIGMALLTLSALIPAIIHIFVICLQDALPSLGLFLTALGLGGIWPCVPTFGADQFDETDGFEKAQKELYYNWYYVAVNGGFFVASTALVWVQDNFGWGLGFGIPTLFSAIGIAGFLASMKVYRYQRPGGSALTRICQVIVAATRKANVDVPIDSSVLYEIAGKESTIVGSRKLMHTDGLKFLDRAATITASDEISPDTTNSWKLCTVTQVEEVKILARIMPVLLTSIIFNTAEAIFPLFIEQGQVMDNRILHFLIPPASLTTFTCLCILILATTYSKVLMPIVSRITGLKNGLSEMQRIGVGMFFAILSMVSAALVEMVRLDIAKNRGLVHHRTAVPMNIMWQAPQYLFVGVAKVFSVVGFIEFSYEQSPDAMRSLCQACSLIMVTLGNYLVSIMLNIVDSVTEGSRSHGWIPENLNEGCLDQFFWLMSGLQLLNLLAFMYCVMKYKRKLAA >Et_3B_027837.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21775282:21775428:1 gene:Et_3B_027837 transcript:Et_3B_027837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTRHSGRQNDHITLLRKILLRISIDRLDSSSEQCKKSCPVSTGSET >Et_10A_001198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22057284:22059950:-1 gene:Et_10A_001198 transcript:Et_10A_001198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPALLILLLPFRKPLVHGERRPGHYGDVCNYWTDDGLGIYEFLEKTWELFQCGSSMKGSVTKTEFTPLIQRAHAPSATPSPSPLILYRGWKDLENDGLDNFTNKLRRAAKYGVSNKNVSTFSISYWTCGEYFLLTFADIVDGASNLTKLEEKFVAKGTNRTSRLQNKN >Et_2B_021292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28388128:28389541:1 gene:Et_2B_021292 transcript:Et_2B_021292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTIISLYQPTHLAGLVPTMQRCVERAADAVAASADREHGDVDFSDLSLKLATDVIGQAAFGVDFGLTAASGGGEAAEFIAEHVHSTTSLKMDLSAPLSVALGLVAPALQGPARRLLSRVPGTADWRVARTNARLRARLDAIVAARARGDRGGEAAAERRDFLSAVLDARERSAALRELLTPDHVSALTYEHLLAGSATTAFTLASAVYLVAGHPEVEAKLLDEVDRFGPPGAVPTADDLQSKFPYLDQASVNVIKEAMRFYTVSPLIARVTSQQVEVGGHAFPKGTWLWMAPGALSRDASSFPDPGAFRPERFDPASEEHRRRHPCAHIPFGVGPRACVGQRFALQEVKLSMVHLYRRFVFRRSPRMESPPELQFGMVLSFRRGVMLVAVERQSRMPGS >Et_4B_038151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26812275:26813026:1 gene:Et_4B_038151 transcript:Et_4B_038151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDNTAVAANQGGRCAACKNQRRKCAQDCILAPYFPASDPQRYACVQRIFGASNIARMLQSLPVHDRGKAADTMATEARRRVQDPVYGCAGVIARLQDEIRAVQSELARTEAQIAVHAAAAAGAQPEAGNQLNAQLVQGPMQQQGDSLMVQEPFPGLDGLLDDVHAGATLFDDNI >Et_2A_015364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11705151:11708391:1 gene:Et_2A_015364 transcript:Et_2A_015364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKGSKKTKEVEDVVDQQEKKLPGYLELQRTRVVCKADAPIHTQGFQYSGAFASMGVDNSVSVEKFCKNFKIEINRLTKDDMEFDMIGIDASMANAFRRILIAEVPTMAIEKVFMVDNTSVIADEVLSHRLGLIPLDADPRLFDYISENDIPNERNTIVYKLHVSCGKGSQRITVKSGELEWLPEGSQLSTTSPAQAGDTQKTFTSFSQSQKEISDKPLGVKFNDITIARLGPGQAIELEAHAVKGVGKVHAKWSPVATAWYRMLPEVVFLEDVKGDDADELKKKCPVNVFDIEELGNGVKRAVAARPRACTLCRQCVMGPTGEKIELRRVRDHFIFTIESTGAMPPEVLFTEAVKILEEKCERVISELS >Et_4A_033480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23542551:23545439:1 gene:Et_4A_033480 transcript:Et_4A_033480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEEEVGRLKEEIQRLGQQQPDGSYKVTFGVLFNDDRCANIFEALVGTLRAAKKRKIVTYSGELLLQGVHDNVEITLLPPPTVPHSMFTEDDIAQSTEVEQKAAHAIYFLLLNI >Et_3A_025684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31976358:31980187:1 gene:Et_3A_025684 transcript:Et_3A_025684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAGDEAPSPPAPSAAGESSGGPSTSTPTSASASKPPLRTTKPGVKRLVLTVSVLLSFLLGLPFLLKSTEIHRSPLPSDAIADLARRLHSNPPSFLCGLHAVFLRSSPGSPDASLANHLEQAISAQLQLLPATSTAGNVTVSVTVDSAGACSSSSSNSIGSRWQCGAVTIADLERGDEVFDELLQSALDGSSSRDGMRVYTVVIIESDDVKRMRTVVGKHRHTWMVGKADEAEAVSAIGKIFNKYFMNGGIEESEAGIGKGEFMPVGSDGNVVLSFSLLNADPSDWVYDWEFEKIGERILSPVVEALRPIADITIESQVLYHTPKSSYSYSDDKLGGNVLSMGDIPFFVNSNEWHLDTSISATGRSKVLQFVVYIPSARECPLYLQLPDVGISKTNAFISPMWGGVHIWNPPDCSLGSEKTHGTRKKMSSQELMETLEIFIGQLRQLFGLKPNYLAQDMDRMIKFIVSEKGFTEWELDLLYRHHARSNLLSCLTTLESLSSLVQSLPRMIVMDEIGRQVELSLEAANLAQRNTTLGITSATRARALAEDVFFHPSIMSISYASIEHYFAIYM >Et_3A_026597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10075224:10076573:1 gene:Et_3A_026597 transcript:Et_3A_026597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAIGVVVLASMAMLQGGCDAAGTVVRSIFVNQHGGADFKSIQAAVDSVPFGNDQWIRVHVAAGTYNEKVTIPQNKSFILLEGEGGYQTSIEWADHAVGDSGTADSPTFAVFATDFIARDITFKVRLASCHSRNRISVPIIHAKEFAAQNTYSTGGGGQTAPAVAALVAGDRVSFYRCGFASVQDTLCDLDGRHYYESCRIEGATDFIFGNGRTIFRGCQITTAATPFSPGFITAQGRSSGDDPSGFVFWDCAVGGVTPAYLGRAWRAYARVVFYRTDMSDVVVSQGWDAWSYKGSESTLTMAEAECTGQGANRTGRVPWSKTLTGDELAKFVDLSYVSADGWLAAQPR >Et_6A_047786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16172176:16176197:1 gene:Et_6A_047786 transcript:Et_6A_047786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLTPTRQLALSVDLQAPGSHLEEVVPGILEADGGHARDRLAAVHKVDISVIGTEHCRINLHRCREPSTIESHIPNGAWTLVHHLHFKHRVSARHLGAHVDGLHQDAGRVALLPSRRPGVVRTSCVVLHELPRLVTSSARSSLKGHWRMHLAAAMADLASPKRYYTRQRNLLRCWPESLSDELQTYVNHYVLRDNLKQPLTEKGRAEAEAVLDGERLAAEWARQPVRLEFDCWYLIDVLKGQDATIASWSGVVFAMKFGKNRS >Et_9A_062762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4391418:4394709:1 gene:Et_9A_062762 transcript:Et_9A_062762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSGEAAMSPPSSGSGGGGKRGRDPEEDVYVDNLHSHKRYLSEIMASSLNGLSVGDSLTDNFMESPARSETSSCVRDEILSQYSPMSEDSDDYRCYDTQLNPNASQADPMVSPATSPMSSPHRHQKPQSALLPSNPYPLPSCSLSSVVCAHARRGSDNEGRFPSSPNDMCHGADLRRTALLRSVQMRVQGPHTYDLSFRQEQDHAHEHEDEHEHVHLEGLEETERPSCRKSIDDEVSFRRPDHDFGPPEHEIDYIGNCSSGDSPSNRKFEQDDKNHCKYDTAMDKSR >Et_7B_054607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2751033:2755862:-1 gene:Et_7B_054607 transcript:Et_7B_054607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSQTSEGDGDINTLRVLVATDCHLGYMEKDEIRRFDSFQAFEEICSLAEQNKVDFVLLGGDLFHENKPSRSTLVKTIEILRRYCLNDQPVKFQVVSDQTVNFPNRFGQVNYEDPNFNVGMPVFTIHGNHDDPAGVDNLSAIDILSACNLVNYFGKMDLGGSGVGQIAVYPVLIKKGMTSVALYGLGNIRDERLNRMFQTPHSVQWMRPGTQDADSVSEWFNILVLHQNRIKTNPKSAINEHFLPRFLDLVVWGHEHECLIEPQEVPGMGFHITQPGSSVATSLIDGEAKPKHVLLVEIKGNQYRPTKIPLRSVRPFEYAEVVLKDEADVDPNDQDSVLEHLDKIVRNLIEKSSQPTARRSEPKLPLVRIKVDYSGFSTINPQRFGQKYVGKVANPQDILIFSKSAKKRQATGEHGDDSEKLRPEELNQQTIEALVAESNLKMEILPVDDLDIALHDFVNKDDKMAFYSCLQRNLEETRNKLNSEAEKIKIGEEDIIVKVSECMQERVKERSLQPKEGGRMTLSSPNLVDIGGKSGTAQSSLNSFSDDEDTREMLLGGRKSSGFTRPSKDATDVAKRGASRRGRGRGTSSMKQTTLSFTQSRSTATIRSEEVASSSEEETEANEVVENSEPEESLPQLGRKRAAPRGRGRGRGSTAKRGRKTDIASIQSMMMSKDDDSDDEPPKKAPPRVSRNYGAVKRR >Et_2A_015925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19144378:19152914:-1 gene:Et_2A_015925 transcript:Et_2A_015925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAESPPAMEEAMPTVPDVVLGGDGYTAGESLVGDMDNEALGGEGDKVEEGAERCVDDVVATEPAAIAEDPNQPDVSKADEPEEGAGVEVQTDEVSAALDDLTDADADRTADVDDLAEVGAALVDGDINVVSAGGVLRSDGLTGQEVGSGSLDGVDEAAYIVSDVRDDGACLENDVAVCDDGEHAQNERTMDVATDLLHVVETEILESGNYAAYAGANMNAQVQTEDDNGAKDVDTISAAGADEEGKHVGADTLTRDDNKENDIGPASSIATDEGIQADIVVTTEDYNDRKEVATSDGDCIEEEGMHMHAVTMTGKMNEESNIDGENTADEALDVAVGMVAPQEKVQMDEAGDDVPEEEAAQMDGADLTGTDNEQTEVPKADDDDGEEEDIMEMEATARTKDDDPENGTGGEDKKDEEEVCFICFDGGDLVICDRRGCPKAYHPSCVNRDDDFFKTKGRWNCGWHICSNCQKPARHMCYTCTYSLCKACIKEANFICVRGFKGFCDTCVNTVMMIENKEEATDQMDVDFDDKSSWWYLFKDYWLNLKEKLSLTVEEISGAKSQKGRSFSVIRDNDLDELPEEEANSESSSGRHLESSSPKKRGRKRTKQAAEEGFGGKERTKKSTKRGLSAVRDAEPSTGKKPRKLSRRALGSQAKESESVGTSTSSAEEASWASEELLNFVAHMRNGDKSVLTQFDVQALLLEYIKRKNLRDPRRKSQIICDSLLQSLFAKDRVGHFEMLKLLESHFLMSEVSPIEADDNHGGVVDPDPSQDADGNSEASVVMSSEKRRKSRKYGQKGHQPNLDDYAAIDNHNIGLLYLRRNIMEELISEVDSFDEKVVGSFVRIRIPGTGQRQDIYRLVQIVGTGRADEAYKCGKKTTDITLEILNLDKREVVTIDIISNQEFTEEECKRLRQSIKYGFIPRLTVGEVQEKARVLQAVKVNDWIESEKMRLGHLRDRAIFTLRECVEKLKLLSTAEERARRLNEEPVIHADPTMDPDYESPEEQEQENEKSSFIRSRGSFSRKDGNLASPGKGDGRNVSQQDSKTNWESNRNTWAESSSHMESPLARRSIFASPGESAGSNVGAQTVKMEAAVRCAPQGPSGISSGPKPASQSTINETEKIWQYMDPTNKIQGPFSIVQLRKWNGSGYFPPDLKIWKSSETQDDSILLSDALMGKFEKDLPPWEPPHVTSSQIDKTFLRSNSDVGARPRGDALEESTKTGELTPKPVAPNRSQSFSGRDERQDYGTNNHGSTMIQSPTQGYYGMQNSQAAYASQQSLTGSWNAPSQFGVTVNPMTPTQPAMGVYPVGQNIVVPGNVGNLTPVSAPAPFNTEMVNSGLPSQNTVLSDRSESKLGDNSSHGRLSSSGEGRPVAKISLLQQNSFRAGLLRSSVLIPELQRFIMNVAYLVNSAVFSNLGQLGSQPGPAHMNSQQDIRSSTDASNSVMASQLMSTQSAESVQPLAAAVVNGDSQSSGWSIPQVANTTGQAQVAGNVTWGGALQGDASTGWGMMGQSNMSMPWVGAQVQGATYNMGLANAVPNMGWVANPGNTNMNLMWAAAQGQGTPNAASMMGAQMPGVAMNPWSGIAPGNANPYPGWGNQGGNMNQNAGWGGAMQGNSGQGNNNTNWNSSNGNSNWNNQQRDNGGRHTGHRGGDSGGRSWKPRSGGHGAPRGALGVCWDFLEYGECRRAFCNFKHPPRQHPGNERQYDNHNERNDRQFDRQPSDNERHNDRHDGRPNDRDDNMHDEKQADRSLSREPR >Et_5B_043420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10185315:10186318:1 gene:Et_5B_043420 transcript:Et_5B_043420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAKTTTRLPLVALCFGDTPKICSLPGAVPAPRCSVPAKALAFDSSAASPSKIRIRVRLQAHCYGLQSSRKLVNEDKGYKLILALSMTR >Et_2B_019232.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18938714:18939172:-1 gene:Et_2B_019232 transcript:Et_2B_019232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWGKSATILFDGWRTSTWTGYLLSLLALLLAAAFYQYLEAFRIRVKLAAGGGTKPVSIPPPASSDPARAPLLAPAFAAAGRWPARVAVATLFGVNSGLGYLLMLAVMSFNGGVFIAVIVGLAAGYLAFRSDDGEDLVVVDNPCACA >Et_6A_047087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25019806:25021598:1 gene:Et_6A_047087 transcript:Et_6A_047087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTKLASERAVVVFTKSNCSMCHAVTSLLSDMGVNAAVHELDREPRGREIERELARMLGGRVPAVPALFIGGDLVGGTNRVMALHLAGELVPMLKSAGDALVYVLQSSSARNHGCPHKKPRSQTNCKTDTPNPSQEAVYAYKGYLYIVQLEESYQHGRRHAPSTVRVDG >Et_3B_030031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2992612:2995788:1 gene:Et_3B_030031 transcript:Et_3B_030031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRVEACDSWRPPRSHGAPSVALLRAGPRVPAFASLSVGEGGEAGNAKEVRAEKAKERRLPPAAQLVRHPLALLALVPNSVALFAAGAAAGAAAKTVTAPLDRVKLLMQTHSVRVAGESAKKGVGFLEAIADVGKKDGLKGYWKGNLPQVIRIIPYSAVQLFSYEVYKKIFRTKDGELTVFGRLAAGACAGMTSTLVTYPLDVLRLRLAVQSGHGTMSQVALNMLREEGLSSFYRGLGPSLIAIAPYIAVNFCVFDLMKKSVPEKYKNRTETSLATALLSATFATLMCYPLDTVRRQMQMKGTPYNTIFDAVPGIVERDGLVGLYRGFVPNALKNLPNSSIKLTAFDTVKTLISTGQKELEKLIQENQRKRTRE >Et_8A_058187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23139949:23141877:-1 gene:Et_8A_058187 transcript:Et_8A_058187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLLLLLVLGLASGSPPPEPVSCKRGASDCTVTNAYGSFPDRTICRAANATFPRTEQELVAAVAAAAAAKRKVKVATKHSHSFPKLACPGGQDGTIISTERLNHVVHVDAERRLLTVESGMVLRDLIRVAADAGLALPHSPYWYGITIGGLLATGAHGSSLWGKGSAVHEYVVGLRIVTPAPASQGFAVARELGVGDPDLDAAKVSLGVLGVISQVTLELQPMFKRSVKFVTRDDTDMAQKLSTWGTLHEFGDVAWLPSQGKAVYREDDRVDVSTPGNGLNDFLPFRPQLTPALIAARALEELLEENPGSTDIARCLSARLPPARSKQQAYGFTNDGVNFTGYPVVGFQHRIQASGSCIDGPDDGLLTTCSWDPRVRGLFIYQSTFSVALSKVPAFVADVQRLRDLNPDAFCGLDAKLGIYMRYVKASSAYLGKAEDSLDFDVTHHRSHTDGAPRAHADVVDELEQMALRKYGALPHWGKNRNFAFDGAIAKYPKAAEFLKVKDRFDPDGIFSSEWSDQVLGIKGSRPIVVGKGCAIEGLCVCSDDSHCAPEKGLFCRPGKVYKEARVCTRLVY >Et_4B_038032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25620016:25623048:1 gene:Et_4B_038032 transcript:Et_4B_038032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGLYPVGPICHYWSNLLFLVSGSRLLSPRLPRCPPESRSIDGGNLNRRDPSGSAILRHRHSAPPFRARTRNTATLTWDGRRPHPPVLSPPGRVYSCTSPSASPATDAEVLTATLAMANSLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSIRISLKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMHKHKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >Et_6A_048027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:393059:393889:-1 gene:Et_6A_048027 transcript:Et_6A_048027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAKPAAASGKQDLEEALLQIVHQHHHQSLRHRQQTERAKKDALRSAARVADVLVDTVDGEAHQLFVNEKRIELEARALLSAVTRYRKQTDHWLAATNEINSALKEIGDFENWMKIMDFECKGINAAIRNIHQS >Et_10B_004445.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:7414413:7414820:1 gene:Et_10B_004445 transcript:Et_10B_004445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAMPRKLSLASDAAREALGFGSGPGDDAVPWDVVAARLEELLRFLASALQALVGALQDRAAALGRWAQAAAPNAVAVVAVLLFLCCCCGCCRPRRRRGPDGEEVTAAGDGPVVRYRRGGGWVFSMHPNKPIV >Et_5B_044462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2589763:2602419:-1 gene:Et_5B_044462 transcript:Et_5B_044462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAFWLSCVLLLAATCQGLRYGYYSRSCPPAEFIVRAAVGRAVRRNPGIGAGLIRMAFHDCFVQGCDASVLLDPTPANPRPEKLGGPNFPSLRGFEVIDAAKAALERACPGVVSCADIVQFAGRDASFFLSKGRVNYGLPGGRFDGRVSLENETLAFLPPPSFNLTELIDSFKAKGLNVDDLVVLSGSHTVGRSHCSSFSDRISTPPSDMDPALATVLKRQCPANPNFTNDPTVVLDIVTPTRLDNQYYKNVLRHQVLFTSDAALLTSRQTARKVRQNAFIPGSWEKKFARAMVKMANIEIKTAANGEVRRNCRILLFEIYEASPPAANPVGSPPQPYSCYPVPSPPAASPVGSPQPYSSYPAPSPPTPKEAAAPLPSPGPKLRYGYYRYSCPRAEQIVRDTVRNATSRNPGIGAGLIRMAFHDCFVQGCDASVLLDPTPANPRPEKIGGPNFPSLRGFEVIDAAKSKLEAACPGTVSCADIVQFAARDASFFLSKGRVNYGLPGGRFDGRVSLENETLAFLPPPSFNLTELIDSFKVKGLNIDDLVVLSGSHTVGRSHCSSFSDRISTPPSDMDPALATVLKRQCPANPNITNDPTVVQDIVTPTKLDNQYYKNVLKRKVLFTSDAALLTSRRTARKVVENAVIRGRWERKFARAMVKMANIEIKTAANGEIRRNCRILREMARLAVFVMVALLGAVAVAAQKEAAAAYPIPSPTPQSSPAPSPPRISPAPQVPPTPSPPRASPPPQVPPAPSPRSASPPPQVPPAPSPPSTSPPPPSSPVPRPPSASPPPQVPPTPSPPSASPTPPSSLAPSPPSASPAPKVSPPPSPPTASPPVQAPPPPAPAGLSIDFYKYSCPRAEEIVSEVVRDATSRNPGIGGGLIRLAFHDCFVEGCDASVLLDPTPANPQPEKLGPPNYPSLRGFEVIDAAKARLEAACPGVVSCADIVQFAARDATVLLSGGKVSFRLPGGRRDGLVSLAGRTLAFLPPPFFNLQQLIDNFRAKGLTVDDLVVLSGAHSVGGSHCSSFSGRLASPGSDLNRLLAIKLKRVCPPKPSLGNDPLVAQDFVTPDAMDNQYYRNLLSGNVLFDSDAALMASPVTSQMVFFNAYNTRMWEQKFAAAMVKMAAIEVKTGASGEIRKNCRLVNYPAPSANGLAVGFYSKTCPDAEKIVRGVIEAEVKKNPGIGAGLIRMLFHDCFVEGCDASVLLDPTTANPQPEKLSPPNNPSLRGFEAIDAAKTALEAACPGTVSCADVVAFAGRDASAVLSNGRVSFAMPGGRRDGRVSLSSAARQFLPPPSFNLSELTASFAAKGLDVDDLVVLSGAHTVGRSHCSSFSDRISPGSNSTMNPGLASQLRGQCPASPNATNDPTVDQDVVTPNVLDNQYYKNLLNGSVLFTSDAALLTSARTNASVLLNSLFPGLFEYKFARAMVKMASVEVKTGANGEIRKNCRVVN >Et_4B_036158.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29575874:29576257:-1 gene:Et_4B_036158 transcript:Et_4B_036158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPTPLGDEVILRTMMRSMEPAIQGVREWDEYKQHLASITTSLAAIDAAIRRYKARRIERGFFYLVPMANSISFWIRSPLDHVPPGAARRDAIRKCKLLRDKWKAVAAAAQLPTPMTHVAARCCRG >Et_4A_034771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6438974:6445215:1 gene:Et_4A_034771 transcript:Et_4A_034771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRAFRDSYSLTSTPPPSRSPLPQHLNRRFPDGSHVSSSSRRRSPPHPPRAPRGRRGSDILAESHIESCARDSGAGLSCDKKIVVDLAVPSGEVRKRPGPTPHAPSHTRISFHCTRMHRAFSVSDGGWRVLLQSGGADSLVATVSDVTEEGENATETKIIRDPIVIRINKSAVDMAYALTYLRDVAYKPEEQYVETLKCEPDVGADVVQYCERFHVFEIGTRSLGFTIEVKVKKGSSLSEVVLGPDNQTVVSGDNFVRVNIVGDFAGYESMPTFEDSFLVTPRMGAGSSGPPQAIGDEYSRWMLLKRLYFTENGLERNKIGVGYGAYQNQPNFCSSPMGSCLQSQLWTYMEADKKRVNKSEPPKYLLEGRFQRINQHPVMFPSVSFLFLFSFANDAGVYSLSLGVTDARKTNLLVELSADDIEYVDQRSPGEITGIMVPTFEALSQVGVANVTTKNTGKLEASYSLTFKCLSGISPVEEQYFIMKPGEATTRLFYLHTITDQAENYNCTAILKASNFSEVDKAEVQFSTTSTVLNNGTQIVPPEEHKKNTGLFEEVKAFFRRIWDSVIAFFAGIFCRTKCASLFNLTCHLQNTCHGWLVMSCLATILPAVALLLRVLHKRGYFDHLYGWWKRLFGLVPRDGAHRRGHHHHQHSHPHRHQAHQGHGSGPSHHRHHRVLHNQDEEPPDAAAGDRGYRRHELFLGVQHGGVHKHRRGKEMMAGKGAKEIDLASMMSAP >Et_1A_005486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10835773:10838169:1 gene:Et_1A_005486 transcript:Et_1A_005486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LVINVKNVSAHYSCPFLILYMELELSLGDSSAPAKGTFTTDLTSEHAGNGVGHELVLHLGVGTVKRAEQLEVDNQKTPMQLEDDEARSQNESSVGLSLRGHLLPAFADSGSVNSDVSVRGFDVNNSLMDEDEAKMRSLSTSSSLQVEVPARHAADQEVAEDDENGGASGGVRKKLRLSKEQSAFLEHSFKEHSTLTPEQKSDLARQLNLRPRQVEVWFQNRRARTKLKQTEMDCEYLKRFCESLTQENRRLQREVAELRALRSTYPFYSHLPAGFSATRGCPSCDNKATPYSTVVTTAISSTVVAPPSSMSLARPHFGQFTIHPVQRRHPSATS >Et_1A_007284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33068352:33070411:1 gene:Et_1A_007284 transcript:Et_1A_007284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASATSRRAPLALAATAALMALLLLASAPPRAEAALSCSTVYSTLMPCLGYVRSGGGTVPGACCSGIQSLVAGAQDTADRRAICTCLKNVAAAAAGGPYISRAAGLPGKCGVPLPYQISANMNCNSYVLSLIDFFFFKLPTGSTYTYQPMSRRSPLAVAAALLIVLVLGLTSTPRAEAALSCSTVYNTLMPCLPYVQSGGTVPRACCSGIQRLFSGARDTPDRRAICTCLKDVAAGAAGGPYISRAAGLPGRCGVPLPYKLSPNMNCNSCVLTHRHEASSTISTNY >Et_4B_038510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29583469:29587549:1 gene:Et_4B_038510 transcript:Et_4B_038510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPALKRPKLEKDDYDSTNWPRPSSNGAAPVAPASGAPPQEQDEDDDEDITEEAVLALIAHRERDVERCKLKLLHYQSLLDTAEMKLAEAQARIARFRDRAPDRNPPPPIKREPKTPTPPPPEKKAPPPVPAQQPASKPQLVIPGPNNRPTPRQAAPPEPMPGLKKVAAASSSSSLAPPERQKTEEKKPKKPKRKIEEKEHQNLIPSIKKSSATLLKFPGGSIVPSQHRRKLRCLELCPVNDQLVVTSALDGMVILWEVQPRGPSVAFRGRTDCFSPKHRWPEDIAWHPAGDTIFAVYTADNGDSQVSMTNLISGQRKVTFLPEKPHTKGIINNIRFMPWSDACFVTAGSDHAVILWEDKDDSWKHKKVHKDFHSSAVMGVAGLQHKQTILSVGCDKRIIGFDLSAGRTEFKHLIDSKCMSVLTNPCDFNLYMVQTGTPGRQLRLFDIRLRQTEVHAFGWKQESSESQSALINQSWSPDGWYLSSGSADPVIHIFDIRYHGQNPCQSVQAHQKRVFKAVWHQTFPVLTSISSDLNIGIHRYS >Et_9A_061543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13454274:13465360:1 gene:Et_9A_061543 transcript:Et_9A_061543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPFVYRRSSIDGNSSSTLPSLPSPSFPSAPSYCVSGGEDALLKAALDGDLGRVKGRKPSHNPRASIDHPDSGMGLDLACSRIVNSLSKGNVDRAAILSFNKGGIGLLHMAACQGHLDVCKYLVEELGGDPNMTVPEKCPLKGMTPFMASAQSGDVSTVRYFLDQGGDLMKADEQGRTVLHYAVCTGCSLTIAHIAYAGADVNGKGCLTSPLVFASQHGGCCTDFMRLLLKAGANPNIPDDVSNSEPDATLYSNRSLCKLKMGDGEGALSDAYKCRMMRPDWAKACYRQAAAHMLLKLDPGICYAQEYKQASDALLDAQKLDPGNDEIERELRKAMELMKISSDEDKQ >Et_1A_009183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31993445:31994963:-1 gene:Et_1A_009183 transcript:Et_1A_009183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAEIPYDISPDMHFAPADELLVELYLLRRVHGQPDLFPGLIVDDDAAANTQPWELFDRHGRSDAVPAFFFVNDPKGRRCQGGGTWKSQKRLREDLNHEMVVDGEKIKWSRHNLNFHMDTESGSKGWVMHEYTVTTRPSLKLCRISYSGYGQKRKRVPDGYEPVTQRPRIAAAEESGSGTTTATCDQGSSTTAHASEDPELLHDSYSWSTTTTLSQESGLAQGNEGLEEPLALLSDDDIAKVLDMIADGEPYQQVPLMDKSSCSVPDIGDTDAVHWEGIDFTYSYCFLKENSESEVEYR >Et_4A_033512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2415798:2420414:-1 gene:Et_4A_033512 transcript:Et_4A_033512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRNRHRRRQAKVQEDSHAKTASAFSSRASTSRTAHPQPEGEEDEAWAQSDMRAMRLRLLDIVHRYYIDAISRLPPAELRTTIARGLLVGGHCFGPLHPVHNIIFNSLWYAAAFPPIDTVDEEEVHALLSTDGIVRICHRSLDGLVASLRHCCPSLSTGEALWNLFSAGAEFAAAIALANGTSKSSGLRVMASHGLAAFHLAAKTARHPNPTAFAQFASSVLPTVNAKHNIVQLVLMKHWLSTEDTHDLSNLLVPALPDEPPQSPLILSPQVLDHIASQKKQFQDTGKEVLNVVNMALQDHCLRSGEQLMLHSVCGASLLKEKGLNDFYHINFLAYHKASGSAVCAPVLFFTEAVILASAEIDIRLCVPVDLVIDIGCCFACETNKKIVHPFYDEYLGGREFQVDEVDYGSDFPNPLDVDYIFFDAVRDRTFANYLGWIMAGRRNRSRRRRRLQAKVEEVDIAEKGSSSSSSSSQRPDRRKSPLSNRLGERPDPRRRPRDASAVRPSLLSLIRGYYIDAISQLPADELRTTIARGLLVAGHCYGPLHPVHNIIVNAIWYAAAFPFRATDFDPIVADVISSDGISRLAHRTLDGLVASLRHHCPVLSEDDALWHLCLSGAGLHGAAASARGAVPFGRKEQEAQALLVAAEAARHPKPAAFAHFATSVLPDVEREALSLLAGKCRLSSPDILRLSGILLPCQLLDDSPPLPLPSPSLRQRRQKVIRIMTEKRSCCRRLYRTVLDIADAALLKFAHQTGVRYCLLTTFGHCILPDKDRHLRQYFHVNFLACPKGRPPSATRAPVRFFAEAYNPPIRNCSEEHITLCCMLVDTQPSSSHVGNCYACVIRNQEIDHPNDKEHFGGCLYKKDKADKHRVWQSPTIDVDYRFLDPDRDIDLMESFADKVAHAEAFRLKLRSERAAIRSKLRSEREALIKEAGAPTRPKYHLFGGDDSDDKDEDASDDDVEVFCTRCI >Et_9A_063384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22321747:22325100:1 gene:Et_9A_063384 transcript:Et_9A_063384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFMILIGEDNFFSSKCGRLFVVSPSGTTTTRILRRQRKKKRANEEEPGEHTLTATPPEDQPRPIGTKQAKALRNGKGKLKEATTELEELEKYQEIQLEASKNRSKILEKQERLSAEKLEAARLSHLAALENKEARKHEKESEMWKTYRAMLAQDTSHMSENIKDEYVKALKPSRSKEASSTK >Et_6A_047434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5952925:5957844:1 gene:Et_6A_047434 transcript:Et_6A_047434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAGGEEEASLFETSHVLGALLTSSPLLARAWDRCVAATAAASGFVHGDDGGGGGGTVYVGFSGVQAALSAAGAGAAVAGGGADAFAPVGLGGDAARQMFAPLVAADPDAAAGEPVAVQALALSSFLKLSGSPDFQMLLSQIRGKAVVFTGHSLGGNIAALAALHYLCISSSSSTYAPAPPVLCITFGSPLLGNEALSSAVLRERWGGNFCHVVSQHDIVPRLLFCPMDAIPAHLIVGMQLQQWPAHTSQEGVVTAVTARMADTDQDALQLMIQAHVGAVAMEQKLDAPATPGGSSYRPFGTYVLCSRDGAACVDNPTAAVQMLYATFTSWSSSGMESLEAAHSSYADLMLKIPQHMLRKRYLHVDDAPATSNYDAGVSLALEATGIDAKATEASTVRQWLKTSKRAGRRPSLNCAHLATKLGRITPCRAQIEWYKGLFDGELGYYDAFKQRRSLKKFSKANMCRIKLGQFWDGVLTMLDSGKLPHDFHRRAKWVNAARFYQLLVEPLDIADYHRNNLHRTRGSYVLHGRERRYELFDRWWKEKGCTTTGINVAYTAAPSSSRRRIRRSKNAGLTQDPCFWARVEDAREQTESARSERDPAELAIKLEELQQFECYADDLVASKEVSVDVLAPQSSYTLWVEEWKQLKLRDEK >Et_1B_010530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11300668:11306318:1 gene:Et_1B_010530 transcript:Et_1B_010530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAAKWPPATVGGAPSRRGGPGPSHSAASYTLLATSPPPTSVSNDGCSPHYPPAPAPQRGGVADWLLLQRQSSGSSVGGGDDAAEGSSTVSTLAEYREKGDADRPPPGSNSGKSWAQQAEEAYHLQLALALRLCSEASSAADPNFLVSSSSTDGTRFHPVASPQSLSHRFWVNGSLSYSDKVPDGFYHIQGMDPFIWTLCNDVHDGGRVPSIESLKAVDPAESAIEVVIVDKVADYDLRQLIGMAMDVSRNRADSKEIATRLAAIVSTKMGGSVASTEEHELGPRWRDSVGFLKISSVSVVLPIGRLSVGRCWHRALLFKTLADSINLPCRVVKGCKYCTACGAASCLVRFGHDREYLIDLIGNPGFLSEPDSLLNGLSSISVSSPLRPPKHYSVDIADNFKSLAKQYFHDCQSLNLMFNDPAAGTVIDLDEVTGSNLGPNSSHATNSDFQGSFPHPMASAQPSSREGHFIMQRSFPEDAQSGQSDPFSDMSLDIEDLIIPWSELVLREKIGAGSFGTVHRGDWNGSDVAVKILMEQDFHPERLKEFLREVAIMKSLRHPNIVLLMGAVTQPPNLSIVTEYLSRGSLYRLLHRHGTRENLDERRRLSMAFDVVCDFGLSRLKANTFLSSKTAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELMTLQQPWSNLNPAQVVAAVGFKGRRLDIPSSVDPKVAAVMESCWVREPWRRPSFASIMESLKPLIKILPPHQPEEN >Et_9B_063900.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:20133926:20133985:1 gene:Et_9B_063900 transcript:Et_9B_063900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQSTKAHPRTMRPLHFI >Et_4B_037607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21772885:21777652:-1 gene:Et_4B_037607 transcript:Et_4B_037607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKIIKRGARKGARGDGAEPAPRPAAPSSSTGVAGGGGAGGAAGGAAPVTVNHASRASAPSPSSPTSPHLAPVAFQANQAAVAASQSSQSLLEPLPLLRDVAAADRPNLLLRKLRLVAALFDFSDSLKHPREKEAKRQALLELVDYVQAPAQGAGANAPARLPDHVQEALVAAISANIFRPLPPALHESAAAIDPGAAPDEEEEPYLDPAWPHLQLVYELLLRYVVSPDTDTKVAKRYVDHAFVLRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNVFYRFIFETQRHNGIGELLEILGSIINGFALPMKEEHKLFLSRALIPLHKPKSVAIYHQQLSYCIVQFVEKDYKLADTVIRGLLKYWPVTNCQKEVLFLGELEEVLEATQPAEFQRCMVPLFKQIGRCLNSSHFQVAERALFLWNNDHIVSLIAQNRGVIFPIIFEALERNIQSHWNQAVHGLTANVRKMFLDMDSELFEECQLQYMEKQAKAKEMQEQRESAWRQLEAVVAAKAAGDDM >Et_1B_012375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31270893:31272493:-1 gene:Et_1B_012375 transcript:Et_1B_012375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFTSRRREPELVAPVRATPREIKYLSDIDNQRSLRVYSTIVEFFRGQPAAADAQVPWRDPIVAIRSALARALVHFYPVAGRIRELPPDGRLVVECTAEGVAFVEADVEVSLKELGEPLQPPYPCVGELVCDLDDTKAVVGKPLVFFQVTRFSCGGFAVGVHWCHDMLDGFGICKLMKAVGDLARREPRPTVPPVWEREMLTSHAPPRDVITQHHLLGYEPLGDATEEEDVMLTTPPADMVGQYFLFGAAEISAIRSQVPARLAPYCTAFDLLSAVLWRCRTAALGYRPDQRVRFTFASNARRGWKRDPPIPDGFYGCGLVFPVAETTAGELCGNGGLGHAAELVRGAKLEVDDEYMRATVEMMARRKWPPLVLERTYVVSDITTIGEDAVDFGWGKRVGGGTPMVCDAINKLLTYFMKCKNANGDECTVVSMYLPREAMERFAAQISGWSKNLRDAEILPWLLPGHEKK >Et_1B_011089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17447392:17456378:1 gene:Et_1B_011089 transcript:Et_1B_011089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LGRTKVFLRAGQIAVLDSRRAEILDGAARVIQCHFQTFIARKKFLSTRKACVSLQISCRGYLARNILEVRRQIAAAVSVEKYARRWLCRCAYLHLRSAGLVIQSGIRYILAVKRLLRLKNIKAATVIQAWWRMRKLYDFHQHYRWATVLIQCCWRQKLAKRALRKLKHAAYETGALREAKSKLERSLEDLSLRFTLERRQRLAAEESKTLEISKLLKILESLKLDLEASNEENKHNCTKIASLQNQLEWSTKDLEALRNSLAEMEEVKRENISLKAKNTEMEQELLKAQKCSHENIDKLHDVEKNYLHLRDNLKNLEGKISNLEDENHLLRQKALNLSPRHSRTGPSFTSFLMQSKLLINENLSQESSPVKLTPLPQNMTESRRSRMNSDRHEDYHEVLQQCIKDDMGFKKGKPVAACIIYKCLLHWGVFEAERTTIFDFIIHTINAILKTENENDILPYWLANASALLCMLQRNLRSKGFIMAPSRSSSDTHLSDKANETLRSPLKAFGQRTCISHIDARYPAMLFKQQLTASLEKIFGSIRDNLKKEISPLLSLCIQAPKLARGGTGRRSRSPDVTLQQPISTHWDRIVPSFFIRKLVTQVFSFINVQLFNSMLLRRECCTFSNGEYVKSGLCVLDKWIIDTKEEHAGAAWDELKYIRQAVDFLIIPQKSKRTLEQIKKNICPALSVRQIYRLCTMYWDDKYGTHSVSADEIAEQVPAVDMSNIEMPSSLRHVHSAQFLMQHLQPYTSR >Et_4A_034570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4385477:4388747:-1 gene:Et_4A_034570 transcript:Et_4A_034570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAMIRNKPGMSSVKQMPVVQDGPPPGGFAPVRFARRIPTSGPSATAIFFATFGAFAYGMYQVGQGNKVRRALKEEKIAARSAILPMLQAEEDERFVKEWKKYLEEEARIMKDVPGWKVGESVYNSGKWMPPATGELRPEVVASRVLTCSSESTAGTNENKRDGAVSCVVLYTVRLYRLQESASL >Et_5B_045444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22586784:22588929:-1 gene:Et_5B_045444 transcript:Et_5B_045444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPLMRSGAGPLNASASQSPVLVSVSSFTWALTTMARPRGASAPTRDRPTRTPAAKAREVMTVAAIWKWALRISSKAFAGARDHGGGRGRGHVSGDSRPQMNVGAPRVRDEPGTCARRGEKRGHSELISLSRGDLLVAMHRRGVDDQATVKSVPEKLLPPWLEKWQKIPEQSEGCRTARACLSSWATYVSKNGMDGSSRMRQAWLLALADMIFSLSWRRSSCHDSLV >Et_8A_056441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10030793:10032905:1 gene:Et_8A_056441 transcript:Et_8A_056441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLYLDFARYRLISGALVSASIFFPVGDGIHGWLPVPVVELDLSCLLLASMWSDDEMSQSKEVRYTARSITPPANRNGTSKSPPPARRSNSRSPPPRRRDRSRSRDRSRSRQAYHMFLIYDNSLIIQHYVKSEDDRNPGNNLYVTGLSTRVTEDELEKFFNKEGKVKHCHVVQDPRTKESRGFAFVTMETVDDARRCIKYLHRTVLEGRLVTVEKFNMMLGCFDVNLVGSGCAVFDHGCCQGACS >Et_4A_034554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4243803:4244153:-1 gene:Et_4A_034554 transcript:Et_4A_034554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIIVAWLPTMQSPLNVEAEACRLWLAILDNIFLLNLLNVPLTGWHTFVPSMESNIGLVLFGRTPPQNHLL >Et_1A_008880.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:17079167:17080786:1 gene:Et_1A_008880 transcript:Et_1A_008880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGDNLQVLSALDAAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYYREGAADPGSLPPNIAAAVNGVAFCGTLSGQLFFGWLGDKLGRKSVYGMTLMLMVICSIASGLSFGHTPNGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVTLIVSSAFRAGYPAPAYQDDPKGSTITEADFVWRIILMLGALPALLTYYWRMKMPETARYTALVAKNAKQAAADMSRVLQTEIVDEQEKLEEIVASDRNSFGLFSREFARRHGLHLLGTASTWFLLDIAFYSQNLFQKDIFTSINWIPKARTMSALEEVFRISRAQTLIALCGTVPGYWFTVALIDVVGRFAIQLMGFFMMTVFMLGLAVPYHHWTTKGNHIGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGSFGFLYAAQDKHNPDHGYKPGIGVRNSLFVLAACNMLGFVLTFLVPESKGRSLEEASGEAEDDTEETAGGGAVRPASGAQMA >Et_9A_062194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20624420:20628120:1 gene:Et_9A_062194 transcript:Et_9A_062194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAATESHALSSSLPYWGGGAVAGDERRWRMDPAAAGPLLESGAKEMAVRSLGRTAHNLSSSSLRKKSDVALVRKVPCAALRRFLANLQEVLLGTKLVLLFPAVFLALAARFFSFGQEWVFVLSLIGLIPLAERLSFLTEQVAFYTGPTVGGLLNATFGNVTEVIIALFALHEGKVVVVKCSLLGSILSNLLLVLGTSLCFGGLANLGTEQPFDRMQVDVSTGLLILGVLCHSLPLMLRYAVNAQEHAINSWDAGLVLSRACSIVMLLAYVAYLFFQLKTHRQLFEPQEVCLVCYCELETSRSCSHKMNWMIKTRDPLNEQDEDDGDDSVAQDEAVLGFSSAMIWLGIMTLMTAVLSEFVVSTIEAASKSWELSVSFISIILIPIVGNAAEHAGAVIFAFKNKLDITLGVSLGSATQISMFVVPFSVLVAWIMGVPMDLDFHLLETGSLFLAILVTAFTLQDGSSHYLKGLLLLFCYIVIGIVFFVLRRRGSGNDGVHLGEASNTWRI >Et_5A_042955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7743835:7747042:1 gene:Et_5A_042955 transcript:Et_5A_042955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSAVVMEGVTDPEVAEMLACREGMSLAAGLVIQKFRLASDCSSVIKNIQGAGFEVYGQIIGRSMQERKSSLRWTRRSPNHQRQSSGRSFPYGRVGQLPQLAAGNFLNPLPCRLNPPAVAAFVQLTRSLVGCGGVRGAESEEDVLEELVGEVADPVHGRAAAAAAGCRPARHIASCHPQQT >Et_10B_002536.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:14062472:14062888:-1 gene:Et_10B_002536 transcript:Et_10B_002536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLPLRSSFVTDLDGAIIILPADVAVELRWRERVAKLVRDWGVALREESDTRGWSSAVQERGYALRRRRGPASSTRRGCGLIVGAAPDPAATEEEIGCRREEAATAGPGGAVGVAAAEETAMDDSSYLAFFYISVL >Et_9B_065190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21915320:21916024:-1 gene:Et_9B_065190 transcript:Et_9B_065190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RQIHEDGAAYVVVPGNPPNGCSPAMLTMLPAASIFASPNTTTRCSAAVVGLSQGQPPSSSPTSTNQSAESSNSHSSSPMQTRQSRRIKMVKRWNFGGTVHFNFISNLTEKGKIVASDVRLKACCGAGGGAYNWNASALCGMPGATSCENPAAYVSWDGMHFTEAVNRYVADGWLYGPYADPPIVTVMPPH >Et_1A_006185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18958088:18963841:-1 gene:Et_1A_006185 transcript:Et_1A_006185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLAGTGGRLVVHLRVPGHLDGLPTVHHNGNQLLASATAQTSSQALGHGDMPLNARNESLVGCEEVTEAEEENMMLMETEHDPETQQPAEIGEMQDMEADQSDEDEDLENIDAVAELTRNDPSLLQVARALEAIPEAQSPSRKSKREAAYADMDSLERAEKRKAGKNLEKIATRDVPDVADVSALASWYCDTENYDHPIIIIIDDLEQCSGDVLGELVMMLSEWVIKIPIFFVMGIATTLDAPRKLLSSEALQRLEPCKLTLGSPSDRMNALVEAILVKPCAGFCISHEVAVFLRNYFFRHDGTITSFISALKDFLREKFESLPEAMRQHVSGLPSCTSRKNSSNSSQNVVEGLSELLKVHKDWSAVLLCLYEAGRHDKVQLLDIFCEAVNPDLETQNAPNRDSFSKMTSENLSEVKLGSGTGFIDQAINTVSYLPMETLSRVLDVWSIHLNGMSEISDKLKELQSATVGADSVRITKEKWTRRSTSSIGNGTLPLNEKAAVLLHDVKRKYLVPVECLQFHEIICFKNVAILQSALIGNPRRMVQLDLLKSHSHLKCSCCSRSGAGVSGSLHDTSIMCNLAQEYGDVINLHDWYISFEGIINRTNSKGKRKSHSSPSKKKSKSTPPEGDAMIQARFCRAVTEMQITGLLRMPSKRRPDLVQRITFGP >Et_1A_006552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24316448:24321796:1 gene:Et_1A_006552 transcript:Et_1A_006552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPSPPGPGSRAMAPATRPKMTTTALDTYGRDMTARAAAGTADPVIGRDDEIRSVVRILCRRSKSNALLVGAPGRIAAGAVPPALAGARVVEVDVGALVAGTTLRGMFEERVKRVIQEAEDADGKVILFIDEMHMLVGGGSCLAASVDAANMLKPALARGRVRCVGATTSDEYRKYIEKDAALERRFRKVHVEEPDMDKTVGILQGLKRKFEKHHGVRILDSAIVALVQLAARYITGTVVSRWTGIPVHTLDHEGKEKLIHLAERLHQRVVGQDEAVNSVAQAVLRSRAGLHRSGQPIGSFLFLGSTGVGKTELAKALAEQLFDSEKTLIRFDMSEFVGVGSVRRLIGAPPSYIGHDEGGQLTEKVRKCPYSVILFDELEKADPAVFNVFLQILDDGMLTDSKGRIVDFKNTLIIMTSNLGAEHLADGMAGKITMEAARDLVMKQVHKHFKPEFLNRLTEIVIFEPLSRDKLREVVDIQMKSIIASVADMGISLSPSDAALDVIFSESYNPMYGARPIRRWVQKNVMTKLSEMLIKGEVNKGSTIFIDVADDKKALKYEVVKMTVVPQEEMHTLETDSDCDDEVAPPQEEMHVQCKEKKATPSQRQEKEEMNVQRQEKEEMHALETSSSGLAVVRHMYGARPIRRWVQKKVMTKLSEMLIKGEVNKGSAIFIDATDDKKALIYQVVKMTEVPPEEEMNTLETDSESDDEVVPPQEEMHVHRQEKEEMHVQRPKKEHVHVQRQEKKEMDVQRQEKKQMHILDTASSELVLVRRVSRIDKHECHHGHWYDGLRSPQSFLIGIAIMSFACFKR >Et_3B_029007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21267415:21269282:-1 gene:Et_3B_029007 transcript:Et_3B_029007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FGANFNGDRGGPRCCWWPPVSRSSPSAAPGGLPPPALLPVAAAPKYVSEAAARRAPDLSGSACGRPLLLVPSQAVDPLLPGAPTVHYQSQFIVYFWATMSASTAAISAANINGSHALSLDSHSSQDVRRRTAVVAKKKATPELLAEGGANGISEEKNASKNDLIHTIRGEKDVASAVAAERRKKSPTKQEKAKWETALSVLMKLCLLISAVTWMG >Et_4A_035824.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:6868948:6870924:-1 gene:Et_4A_035824 transcript:Et_4A_035824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGSKAMLAKPIQLADQVAKQAGWQCLRTECTELRSRAERLATLLRQAARADLYERPAARVMADTSLALNKAAGSAARCFQSHSRLRRFFTLNPVSGVPRTLALLDSALEDVAWLIRISKPDGDGDELRGMPNIVQTEPVLGMVWDHIARLQTGALAARADSAATLASLARDGPHFARYIVQEDGVAPLVKLLKEGSDDAREAAAAALGFLGQDQDGVDRLLQSGACSALAAALKEPPLRVQAAAAEAVASLAHHSRKCQDLFAQTHAVRHLVGHLAAGIIQEHVKYSVGGANGSSTRCAPSPPPPMKSLHSVVLAKSHSVRQGGGPGGPSVTDPAANSSSGASNGQQRQARKSQMQSVVHSAIAANTTPNGSVAAPPAARSSHQLRPNGSSGGGNRGSRDAEDPDTKAQMKAMAAKALWKLARGHLGVCTSITESRALLCFARLLDRTDRGGADRELQFYSAMAVMEIARVAEHNLALRQSAFKPSAPAAMAVVSQLLCIVRKEEDDALLRPCVTALGCLSRTFTASDTRVIGPLVQLLDDREVPVTREAVLALTKFACTENHLHLNHCKAVVDAGGARHLVQLVYLGDTLQVEALILLCYVAMHVPESEEVAQAGVLAVLLWASKQAHMVQDTRVEALLPDARARLELFQCRATR >Et_2A_018543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32192522:32194204:1 gene:Et_2A_018543 transcript:Et_2A_018543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANRYVAIRHHVDGAPTEDDFEVREATARWTAESGEVLVRNLYLSIDPYQLNRMKRSSASHHSVDGIMPGERIASYAAGEVVASACDEYKEGDLVAGMLGWEDYTLFRPSPGALMSKVAGGSVFPLSHHMSVLGTSGMTAYAGLFEVCKPVKGEKVFVSAASGSVGSLVGQFAKLAGCYVVGCAGTQAKPDRTLLPCVPTPDDRYFPDGIDIYFENVGGEMLEAALANMNAYGRVAVCGVISEYTDAGRRAVPDLLEVIYKRITLRGFFAWDFLAKFDEFNAVISDWIHEGKVQVLEDVSDGLESVPSAFAALFRGENIGKKLVKLA >Et_3B_030828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7805435:7811939:-1 gene:Et_3B_030828 transcript:Et_3B_030828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYILLSPSPPLALQHPSSSGGAICRRRARRGAAFVVASSASPPDGGPSPSADAFALARRAVLLGAVAIPLVRLREAAAAPAAGDLVTEAKDVSTPDDSSDGSKSAAKLDSPQNEGTEAETSSSEARQPESSSQSVQEQSPGNPVLGLLNTVAVAASGVLAGLYGTSRQENKALQSVISSMEGKLAENEASLSLMRDNYEKRLLEQQAAQKKQSIKFQEQEASLLEQLASTRKTLTSLSEEFRREKKLAEELRDEIQRLESNIAQAGVDKDVLETKLQEKLGEINISQEKISMLSREIDDKEKHIRDLSASLSSKEVDYQKLSSFTNQIKQSLELANSKVQQLEEELRKTKTALTSKISSIDSLNEKLQTLNSEKEEAGKKINLLRQDYTNLKADSEKRESQDSKLLSDRDDQIKQLEEKLSAALAESSKDHEKIAELNNELEATKAMLENELAAMENLKDSIQLSENALKDSRSEVSMLSKELEEANKSNQDLLSQISKLQEEFREMQEDMTNKLGEAESMSKALSDELVSLKEMVQKGQEELDATSSELATVVEARDNLKKELLDVYKNLESTTHELVEEKKIVTTLNRELEALAKQLHADFEARKALEADLDEATKSLDEMTNSALSLSKELQETHSRNDMLEAEKEMLSKALAEQMKITTEAQENTEDAQNLITRLQTEKESFELRSRHLEEELALAKGEILRLRRQISANRSPKPRTLPRTSVPTENNETLKDQPVNDHNQKASGVAAGTSPAVRRTTRRRKGEQKHLRRPVQFRLETPHSCFAPRLCEEPRGYPTCRVLGEVRPAAAGSVK >Et_3A_026674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13344840:13350184:-1 gene:Et_3A_026674 transcript:Et_3A_026674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYSKLDRHFSAWLCNNLEVTAAPALDASSAPAPSLFLTDGAGAKVTVMARDVHEVLGVPLGERPVVGRAPPMTTSEQLGTAATVRQASCGVFTLQVAEAIVARRAKDGNPSPMTQSERDAFAVAFVLLLAKHFLAPPGRGKRVSEDVFLALANPSEVHLYNWAEYAVEGFRQQLFYFDHLDFEAAGYPLARQGLPLVAHYDDESLYQLIDLDRQPQWPESGLKQFGKLQFIRAIPAQNNNFDSPAKGPQHFINSADSSSEGHENTGMLPVMAEIMDNIEQFKQEILSKISRSGMCIKLDYITYNGGINKGATGHGKQGLPDSDDDDSILGKNPSQSGTVECCPANSARRVQERSNPREQFTNLQPELNRKFHTRKKAMVKVKLGYS >Et_3A_023874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1393049:1394209:1 gene:Et_3A_023874 transcript:Et_3A_023874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDINMTGYQSAHVIIQDTWEHNKIRQTRTQDMMPTCSDAGQLQEITNRCTKGSKCSDKDGGSVASERKRVIIHVYSQRSKNIKVPCAKVINLPGSVEELFTIACEYSSSTCQKFAGYCPTKLFNQDFAEIDDISVIRDGDHLFLMEP >Et_10A_001889.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:68851:69288:1 gene:Et_10A_001889 transcript:Et_10A_001889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQRHRRWLVAATLVAVAGVLLVLSGLSLASSLVGLAAAMPLLLLFSPVLLPAALLASLLTAGAAVSGVLAFGALSLLYTRSTRPPDYVEEGKRRVGELATAAGEKTPHAALAVVNTGQSATDHKKPDRYEHYVAGRMVRNAC >Et_2A_015293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10608971:10618868:1 gene:Et_2A_015293 transcript:Et_2A_015293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLTSGASVFRFSRDEVAEMEAVVRRLGGRRVTSAAVYDELARRFTASRELEGKTGVMPKQVKNWFHNRHYHREPWILKGGKEEALDKMPLFSYQQHAAGLSVLANSSADLLVGSSSGNNPKEDRQFNSEAKSKRDGSWYDVDTFLPDKKLKSSDQVTQSAEDTETLSEHDSDVEEISNPNPDQEASAADTELLDEHDSPPPLTHQSPLKESEEPLVTDAKAHEVEPNFDPMSALVLYVPPLSSDVPVLTVANTLEAQLADDANIIQLVNTEVAPGEAEGQLAALQDPAAEPSMHPELREVLLSLRNQLDVPTTTLVNSARSFRAQFESVSHRLSDHLVEYLTRAAYIEFHRFSYLRALRLIEARAEFATRSEALSAVQAQEVKVRISRFGAEEDELVKIRTCVRQCSLRCQGTECTCVVHGDRILCFMESITQALYYFHAHVTGIQRRRHDSRGCRCRFLVRYDHDQSELCRRLETDYRIQALHAARGDHAVSKDTSMMWIHFSHRKLTSGVQEVMVRFSGFKAMKDEWVDLHKCVQWRSLPCRATDCFAVVCGSCSIGKVAPTSTPMLQGVDFAKLRAFPKEANAIFTTTQKSVAEQKEQNKDKTVDVTAKVATMQEEICNVPSPEKEKKSQQSILQLPSKVSGALKEAKATLAIIEIDY >Et_7B_053878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1306056:1307678:-1 gene:Et_7B_053878 transcript:Et_7B_053878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACSLPRYMAAATQPPRLPPRASSQRALPVINLGNLGKDDPASRALVIQDIARACRERGCFQVVNHGVSKPVMKGALEAASEFFESSTGLKEEFASDDIRQPIRYDTSSRDGISLARSFLKHYANPLEDWIQCWPAHPPTYRKKMGEYAVEIQRVSMLLMEAILQGLGLGPSYLEENLENGVQFMALNNYPQFSHQGDKVGLAAHSDYGFLTILLQSSPGLEVMHHDDDAWTAVPVIPEALHVHIGDQLEVLSNGRIKSLVHRAVLNPNEARISIASIHGLSMDEKVQCAEELVDELHPRMYRGSSFHDFLDFLPLNMNNYKRFIESLKIDRA >Et_5B_044633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4200486:4202324:1 gene:Et_5B_044633 transcript:Et_5B_044633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKLQIKLNANRVVIGTLRGFDQFMNLVVDNTVEVNGNEKNDIGMVVIRGNSVVMIEALEPVAKAQ >Et_1A_005266.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:36840944:36841213:1 gene:Et_1A_005266 transcript:Et_1A_005266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTAVVPLKLQSTLPLAWTLSSASMASNALAQASRHSSSICAGDGCGDDPPLPSVDDDGDASLKAGSSSSSAPARSSAFRWLRILKGR >Et_5A_040986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16060309:16061977:-1 gene:Et_5A_040986 transcript:Et_5A_040986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPASQTATRVASGKCRFGIPDKPATKCRSLNLRSCDNGGNGRNGRLVTVEAVNGAVHVNGVAAVSVGHVPAMPPSSVDDGDAFRPGKFVERRLVYRQQFVIRSYEIGPDRTATMETLMNLLQETALNHVMCSGLAGDGFGATRQMSLRKLIWVVTRINIQVDKYSRWGDVVEIDTWVGSSGKNGMRRDWTIRDHKTKNMIARATSNWVMMNRETRRLSKMPNQVRQEVLPFYLERKIITADGNSSCKIEKLTDATAEHIRSGLAPRWSDMDVNQHVNNVKYIGWILESAPLDVLEDYHLTSITLNYRRECRQSQLLESLTSMASSVVADPVLSASNLCSTDLQSTHLIRQQNDKAEIVRARAEWRCKENDRPQSDI >Et_3A_024200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18332916:18349224:1 gene:Et_3A_024200 transcript:Et_3A_024200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGSVDEWVRCHSDDTASWVDIKSSIKYHRNVTSKGYRALVYSGDHDAVVPHLGTQAWVRSLNFSVVDDWRAWHVGGQAAGFTIGYSNNMTFATGGGHTAPEFEPKRCFAMSR >Et_8A_058220.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:2735016:2735450:-1 gene:Et_8A_058220 transcript:Et_8A_058220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAAAAVGDVLPSLPPIRTSATASPPAMDDDAPSSPAAESSSTAPDAESRPSPAAGKWEEEAEKAEPTTPTSEESRLQAPTECPPAPRKPKWAPPPSLAAKRKLASTSAAPSPPPRRAFFPVARDLSTVFRSLPPKKRIRAG >Et_4B_038867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5459023:5460923:-1 gene:Et_4B_038867 transcript:Et_4B_038867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPYLLLLLLLVFGPGAALAGRAPPPSQPIPQPPQPPPPPPPQKVLVWPKPSSISWSSAAYAPLSPSFSIRASPSHPSLRHAIAYYSRLIKAERHVPLVPPGNYTLAVVPIRLLELSVSDPGVPLGPGVDESYTLSVPPNSASADISAATSWGAIRGLETFSQLAWAGGGPAAGGQPMVPSDIEISDHPLFTHRGILLDTARNYYPVPDILHTIRAMAFNKLNVFHWHITDSQSFPIVLPTVPNLANSGAYSPAMRYTGEDVRRIVRYAEAFGIRVIPEIDMPGHTGSWAGAYPEIVTCANKFWAPAGKPALASEPCTGQLNPLNPKTYRVVQDVLRDLAAAFPDPYLHAGADEVNTACWEDDPVVRRFLKDGGTHGRLLELFVNATRPFLVHELNRTSVYWEDVLLGPKVSVRQEVLPRDTTVLQTWNNGPENTKRIVAAGYRAIVSSASYYYLDCGHGGWVGNDSRYDKQEKEREGTPLFNDPGGTGGSWCAPFKTWQRVYDYDILHGLTEDEATLVLGGEVALWSEQSDATVLDGRLWPRAAAAAETLWSGNKGANGRKRYANATGRLNEWRYRMVGRGIRAEPIQPLWCPLHPPMCNLAQ >Et_4B_038203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27088474:27090715:1 gene:Et_4B_038203 transcript:Et_4B_038203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDRSVAPSPEGLRQPGTAGDVSAAGLVDLFREARPEAVTVNLGGAAAMAYSSRDQSPLLSRLFGSVEDVFCLFQGTIENVAVLKQQYGLSKGANEVSIIIEAYRTLRDRGPYPADQVVRDLGGKFAFVLYDCSTKSVFMATDANEGGVPFHWGVDSEDRLVVSDDTEIVKKACGKSFAPFPRGFFFSTSGGLRSYEHPLNEVKPVPRVDSKGEVCGMTYTVDAKAKKDTNIPRVGSAADWSSQY >Et_1A_007056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30472672:30475558:-1 gene:Et_1A_007056 transcript:Et_1A_007056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRPGPAASPLPVASSSSCSSAHGNDCRLASRPDSLPPPRRRSRAVIVGGVRSLPRRVSVAVFRSPAAPQVLAGRWRRDTVVRSDVVVAAAAAAAGDSARAVSDQQVASKIRGVCFYMVTAVAAIFLFVAMVVVHPFVLLFDRYRRRAQHYIAKIWATLTVSMFYKLEVEGMENLPPNSSPAVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMFPIIGWAMYLLGVIPLRRMDSRSQLDCLKRCVDLVGKGASVFFFPEGTRSRDGKLGVFKRGAFSVATKTGAPVIPITLIGTGKLMPSGMEGTLNSGSVKVIIHQPIQGNNAETLCSEARNVIADTLLQHGYGNEERWKKNGL >Et_2B_018994.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22326789:22327394:1 gene:Et_2B_018994 transcript:Et_2B_018994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQGAGRGRGPRRNRSGRGATGAPIRDHSGGRSWAGRHSVCGVYMIIAPDAGLPKPPLPPQFSLPSRISSCLPDLATRAPAGAHVQDGRVRGLQGGRPDELGAPGLDLAHDLWARLLRRQDDACRCVSLRLRPLWCHVSPSPRQSDCMIVAGTLTNKDGAHP >Et_4A_034312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31630223:31634493:-1 gene:Et_4A_034312 transcript:Et_4A_034312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSRKQPPPQEGGSAAAEEEEVDSGKGPTEGEAEGRTVVVGVRADSESRALLTWVLVNVAAAGDRVVAVHVVLASMAEAAAAVDFDAMLGVYEGFCNLKQINLKVKICKDSSVRKALVREASLFEASKVVVGVTKKRRAISSSLSVAKYCAKKLPAKCGVLAVNNGKIVFRRESNAHSGKVSAEVPPCGDDEMYCVVPFQSRQGKRDTLSLDHSTDGAGGDSTHDVGTQNSKPEDAITEEQPISNVDPVELSTDQVQIDTDPSCKAEESTAEPKDEADEGTSDGASIYRSCDSFASTSSRQENDSVNLPAEGDGELYCLLPPRNGHSGRSIGDSKRSTASWKDEKHAKPLPEGDGDLYCQLPRNGRSGRSSGGSNRSSGIKGLIRRSSSFSSDIHLNSETSPIKRDGLVLMATTERTSSTLSTEAEDSPKDTVQNAGTPSDSPMSLRRMIEGRSDRCHLRRRFFSHHRSSSFEWAKISMVQWAMRLPSRYNSVHPDGKSLKSDASPRFNCDSETESTSAIESDSMFSFSIYDVEWPPSELESLREKYSSVCRLFSHEELKLATANYSPDMLIGKGGTSQVYKAQLFDGTFSAVKILKPSVDAIHEFIAEVEIVTTLQHENVVALRGFSFDNYRLVLVYDYMPQGSLDKALHGLNEDNNFLSWEKRNKIAIDIARALEFLHLVAVTQSVIHGDVKSSNILLSEDFGARLCDFGLAKQVSASTPHLTCTDITGTFGYLAPEYFSYGKVNEKIDVYAFGVVLLEIISGRRPITPGSAKGQQSLVGWAKPLLSSGEIKQLVDPLLGSDYDCDEMERMTLAASLCTRTASHSRPEMSLILKLLQGDDETIGWARSQVTASFDGSDEEAATPDSNMQSHLSLALLGVEDDTLSHCSSTERTVDTSADGYWSRSSSFD >Et_3A_024714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23574605:23582448:1 gene:Et_3A_024714 transcript:Et_3A_024714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDEVARRDVEATGIHAAGPLVPRFHQVGVVAVHVLALRLRAVVELHPHDVEPHRVQRRADRRVRVRAPRRRREEHLALGPERVRQVVVVRRHAVLDVEVDAVQHGGAERAGRRVAAQEVVPQVVGHAVGVLAGGEVVAAVTAAAEGEERLDAMRLAELDAGPQVGAVAGAGVAVSPEVEDRGLAVAEVAEVGDDDVGVVTGAAGVTHAALVGLLAPIDRDLDAGTGGSRGVHQKQVRANKLTVAGHCQDMTPLTAMEILLSFCRHSFANPKSEIFGQKS >Et_9B_064803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18705589:18710570:-1 gene:Et_9B_064803 transcript:Et_9B_064803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPKEMKYRRRARVPEPSEYGQCSERNSGALDWGALKQDPVELLRKLDEIRDQITRSCELMGQPPQRHPMSRRAVSLRPSHAEPPPTGREPEYYRSRYAGRYGTSLPPSPYDQLQRQSSGRFRQYPERQSESSGFAQGGRHHSTCQCAQCLQGQRAMAPEEHVLMARYFAGQQGSFRYDRSQPISSELDRRSVASSLYSQHLSMSKRRVEYFRKKAENFCRPMRGATPFVVCSSCCHLLQLPQGKCTSRKKSQVKCGSCSEIVTFKPKEVKVHPLITPSSLPVSKSVRSSNHRDSKNLGWYQHQDEDNFNFYKLQAHDSHRQKKDSADSSSPSSTASFGWTDSKRGSNRSIQLKSMPAGRSRFSDNPKDILCEGDSDSQAAASIHRTVNPQGPILEDKQIDPFSSQRKDYNGGEQIRNKRCERNTVCKANVRDERIDMKSIEKSKGGYAGDLEDEHSNQTQEKIGRQGKTGSPEDEILGNRYKSKINSEVTSSLDYNSSFRGQVVNKEHNKYVGEDSNDTLEDESITKRCEQENTKDGTLLHSDSKKAITSAKNGSFVNGRTNSISRVSSEAEVDETQSSTAKNGDSSFFAGFLKKFSQSVDSAKVSINGHPISERALRKAEKKAGPVGPGSYWYDYRAGFWGVFGRECSGIIPPFIKEFNYPMPKDCAGGNTCVFVNGRELHQKDFDLLVGRGLPRLSGKSYSVEISGSVIDDTTGQKLRGLGKLAPTIEKMKRGFGMHVPEETNGGSDVHLVACSLRTRRQVFQTAARTGEGVSVSFLR >Et_6B_049358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3863801:3875174:1 gene:Et_6B_049358 transcript:Et_6B_049358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWHRHVGPTYATSDKTAYNTVEGVLNIVAMAYYALAPLLCTLMQSELHMHLYINQVFAGPNVGEDQAGVINVNPPIGFGNVVIIDWRVTDGLAANANVVARAQGVAIQSSQIGISAMAYYAIAPLLCTLMQNELYMHLYINQVYAGPNSGQDQVGVISVNPPIGFGNTVIIDWPLTDGLDAKANIVARAQGLVVQSSHTGTTGRFSSFNILFEDTRFNGSSLKVLGTIPQDGEWSIVGGTGDFTMASGVILHKVVQVVGAISRTYELNIHAFYTPMNSPFKSQRKAPIR >Et_9B_063719.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:3684446:3684775:1 gene:Et_9B_063719 transcript:Et_9B_063719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLLHGQDHLHGMVEAPSAHPGTGQEERTQHIMHVDAARELWKEQNARLFRGTERTAAELTQRIKDKATTWARAGAKKVGRLVCEQSAKHVIRHPPIGLIWPSRVCS >Et_5A_042397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9514090:9516635:-1 gene:Et_5A_042397 transcript:Et_5A_042397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSKNEMGGLVGTEDKVMLTICSCSKLQFIWNTIVCVLLFSLVFLILDSPLRIFVNKKPFDFTIISARSLLEISPNSGSVQELEIGQKVEQSSGSILNMTANVSPVWSIVTEVLTFPAGSAPFNSCHASTIVQIDEDNFLVAYFGGSMEGAQDVKIWLQRYSDGCWHPPQVADEQDGVPMWNPVLFQLPSRELLLFYKIGQEVQKWSGAMKRSFDGGITWSEREQLPPVQPFLLEDGRLLCGSSVESWNSWGAWLEITKDAGRTWRKYGPICLEGETLGVIQPVPYQTANGTIRMLLRSFETIGRVCMADSVDEGVTWSYVRETELPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKLAVSSNDGDSWDEVMTLEDTHGMEFSYPAVIQTMDELIHVTYTYNRTQIKHVVVQPSALVKL >Et_2B_019460.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:4394491:4394688:1 gene:Et_2B_019460 transcript:Et_2B_019460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRATRRFVPRRGQVLRSVLASLLSWLPRCSMRLFRRRRATRANGRVAARGRRRAGAGRRGAS >Et_5B_043632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13136432:13139143:-1 gene:Et_5B_043632 transcript:Et_5B_043632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGGVPELLLIAFFAILLGTQGAAAVKFTFRNNCPETVWPATLTAAGRPAFPTSGFALAPGASLSFPGVGATWSGRVWARYRCTGGAGFSCESGDCGTGQLACNGAGGAPPATLAEFTLGGGAGQDTDFYDVSNVDGFNLPVEIQPATAGSSGRCPTASCPADINRVCPSELAARAAPSPASNATAGAAVVGCKSACLAFNTDEYCCRGRFASPATCRPSGYSRLFKAQCPQAYSYAYDDGSSTFTCNATAADYQITFCPGSGGPAQGPGDRPVPLPTSGSNF >Et_10A_000677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15319281:15320291:1 gene:Et_10A_000677 transcript:Et_10A_000677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCRRRAFNLLYASLAVRVNFFRKLRYSLVWWAYAPPMASATVVAIRYSTEVDNGFTKAMRVVLPAVTTFTVPALFATIMVHVFVLRNLFPNYICISIMVWNARSNPSWSCRRPAAATTSRPRAQQQRKVDELDGIPCASVLVRGGRRDRKLLLFGVVNRSFTADSTSIDWRAPEFQQNKN >Et_7A_051050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1391451:1399915:1 gene:Et_7A_051050 transcript:Et_7A_051050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKVLLLCGDYMEDYEVMVPFQALQAYGVAVDAVCPGKKAGDVCRTAVHQGTGHQTYFESRGHNFALNASFDEISVNGYDGLVIPGGRAPEYLAMDEKVVDLVRKFSDAKKPIASVCHGQLILAAAGVVQNRSCTAYPAVKPVLLAAGAKWEEPDTMAKCSADGNLITAATYDSHPEFISLFIKALGGSVAGSNKRILFLCGDYMEDYEVMVPFQSLQALGCHVDAVCPDKGAGDKCPTAIHDFEGDQTYSEKPGHDFTLTASFESVDASSYDALVIPGGRAPEYLALNDKVLSLVKGFMDKGKPIASICHGQQILSAAGVLKGKKCTAYPAVKLNVVLGGGTWLEPNPIDRCFTDGNLVTGAAWPGHPEFVSQLMALLGIKVSF >Et_1A_005431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10313101:10315552:1 gene:Et_1A_005431 transcript:Et_1A_005431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAPRVLVLVRDAAGYGAALADALRPPQGLTRESAPFELPFGKYGLDGEKASGELVNFSDSGGSPQVSIIVLPDYKPPVAACAMNEILELISSEATSTERVLIVPFITRPSSYHHGMVHAKKALPVLHGAEIGATTDFTHLLVDGTAKSPTSLQIRSEPILSLLEIARVLKKPTVLLVASGGQQQIKGSADSDLEVLQCVGEHLARHINLEFSKEAVLEKGIEKSPTVQEPWRELYG >Et_8A_056879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18444842:18448787:1 gene:Et_8A_056879 transcript:Et_8A_056879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATAQCFSYSSSPAAWALRQRGGAGVVRLSPRRRFSVTATGGGFGNENREYVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEPVPPYERPALTKGYLFPPEKKPARLPGFHTCVGSGGQRQTAEWYKENGIEVLYEDPVVAFDGKTQTLKTSSGKILKYGSLIISTGCEASRLPGKIGGNLPGVHYIRNVADADSLVTSLGKAKKIVVIGGGYIGMEVAAAACGWNLDTTIIFPEEHIMPRLFTPSLANKYEELYQQNGVKFMKGALIEKLEAGSDGRVSSAVLKDGSVVEADTVIVGIGAKPAVSPFEAVGVNSQVGGIEVDSLFRTSVPGIFAIGDVAAFPLKMYNRIARVEHVDHARKSAHHCVETLLTSQTKAYDYLPYFYSRVFEYEGSPRKVWWQFYGDNVGETVEVGNFDPKIATFWIDSDSRLKGVFLESGSSEEFTLLPQLAKSQPIVDKAKLKAATSVENALDIARSSL >Et_3B_028580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1772964:1773523:-1 gene:Et_3B_028580 transcript:Et_3B_028580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSVICDVSHSGAKYPEDVLWFHIQMSNSLPAFLMQITQAFGDVSDNPSSCVPIKGGIIMSKPTNLTRLICSIQTFHCNSFFTKLPHVNRPKSSMPKLFSEMLCCYF >Et_2B_022622.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2823420:2823629:-1 gene:Et_2B_022622 transcript:Et_2B_022622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSSVKLAGGALTVCGRTVLSGVPDAVVASSAAAGGAVDGIFLGADFAEPDSRHVVSLGTLRFDLDP >Et_2A_017948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8084125:8100504:-1 gene:Et_2A_017948 transcript:Et_2A_017948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEQLRELGEKLQAAAPAPADALAKLLEEAAGCLHGIEQSPGSSVMEAIQPCLKAVTREELLKHEDEDVKVLLATCFCEITRITAPDAPYNDDVLRDIFYLIVGTFRGLSDMNSRSFGRRVAILETVARYRACVVMLDLECDDLIADMFQTFLEVVSDSHEQNIVKSMQTIMTLIIDESEDIQESLLRVLLSALGQKKTGAAMSARKLAHNVIEHSTGKLEPYIKKFLTSSLAGDGSSSNGQVDHHGIILDVYQCAPKVVKVVVPYITGELLADEVVIRSKAVELLGEIFSLPGTPILESFKSLFAEFLKRLTDRVAEIRVSVVEYLKRCLMSNPSRPEAPEITKALCDRLLDYEENVRKGVVAALCDIACHSPDAITTDTIKVVAERVRDKSLAVKCYTMERLADIYKDYCQKDSSTNSDDFEWIPGKILRCLYDKDFRPESIESILCGSLFPPDFPMKGRVKHWVTAVTHCDKVEIKALEQIFLHKQRLQQEMLKYMALRQLSQEENPDLQKRFLGCFRSMSRLFSDPAKCEENLNMLHQLKDDNIWKIFTSLLDCSTTFEQAWSLRADLLKILGEKHALYEFVGALAMRCSYLLVNKEYAKEILSEASEQKTSGDTKLISSCMNLLMRLCLEGTRRQAKYSVQALAAVTKDDGCIAQIAMPIFETREEEIINFITKKILECNDDMVDDSSRKSEWGDSTQNCLLKIYGIKTLVKSYLPSKDAHARPGIEKLMGILKNILTYGDISPNTISSAVDKAHLKLAAAKAVLRLSRQWDDKVPVDVFYLTVRISQDDFPQVRKLFLGKVNQYIKERTLDAKYACAFLLSIDDYRALHYEEFKHNLIEVVQICQQVKMRQLSVQADMNLLTAYPEYILSYLVHVLAHDPSSPDIEEHENVKVFGPIYWRLHLALSTLLGGEGLQHIIPGMKKESFTTIISIFKSIKCSQDVVDVNKTKTLHAICDLGTLIAKRLSQEQMDVAEPQVVPLPAQLYVPLQDNQNENSVETNEKMWLGCEKVLNHFEALMTANNDEIESPKHKMLIDETDEFGNEVPLGKIVKLLKSQGEKKTGRKQKTPSGSANAGNDDDVLGLVREINLDNQENLGESEKSKPKKHRTNEKENNEKSVDFSTPKRKRSISNNRPHSTKGSKIGDELLAHTPSTDGAKKSLESKLKREKGRNESTDKKLLVSPSSKTPVSKGNKGVKKTYGDNLNSGPKKSADKDNSKRTSDSGSLNGPLKRNKQTPISGLAKCSTHDPSGRDLIGHRIKVWWPLDKKFYEGVVQSYDSSKKKHTVSYDDGDIEVLNLAKEKWKVIESNELSVKKQQKDDSGRNQGRALERNSAGKQTLPNSQKSKKRSAPPKRKGQPKNKRRKTSGGKRSVEDNGGTGVNDSDSPSSLAHSDVDKDVNSDDHMEEEVAVSSAEKDKARKEYKDVETKGEPQQDDHSINDKEESDNETLAYRFENKDKVQNLAT >Et_9B_065144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21562320:21564458:-1 gene:Et_9B_065144 transcript:Et_9B_065144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTLLKVIILGDSGYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPDNFPFVLLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKDGTNVEEAFQCIVKNALKNEPEEELYVPDTVDVVGGNRAQRSSGCC >Et_3B_029242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23401684:23405015:1 gene:Et_3B_029242 transcript:Et_3B_029242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPATLAAVAAVVVAASAAAVVSRRRLREATRWTRADAVLQDLEERCAMPAERLRLVADAMVTEMRAGLAASAEGGSLLKMLVTYVDSLPSGEEKGLFYALDLGGTNFRVLRVQLGGKEQRIIKQESEGVSIPQHLMSRGSDELFDFIAAALAKFVASEGEAFHLPEGMQRKLGFTFSFPVKQTSVASGTLIKWTKGFAIDEMVGKDVVSELSKAIRRQGLDMKVTALVNDTVGTLAAGRYVDSDVIAAVILGTGTNAAYIEHVHEIPKWCGPLPKPDDMVINMEWGNFWSSHLPLTEFDQTLDADSLNPGDQIYEKLISGMYMGEIVRRVLLKMAQEASLFGDNVPEKLEIPHILSTLHMLMMHRDTTLDLNTVSIKLKEILGIESACQKTRKLVVDICEVVAKRGARLAAAGIYGILKKLGRDTTSRGKQRTVIVVDGVYKYYTLFAQFMESTLRDLLGEEVASSVVIKLAEDGSGTGAALMAASYSQCPPGN >Et_10A_000195.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:16706880:16706930:-1 gene:Et_10A_000195 transcript:Et_10A_000195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEQKNVPAEGGTA >Et_7A_051795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24882574:24888705:1 gene:Et_7A_051795 transcript:Et_7A_051795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSTSAGLQSPTDKKTDPNTRFLYLLPPSAAAAAPSPMPAVDSNPLIRTCASRNAAPRTSSLPPPSVCPFQAPPPAAASSAGFIQPLLLQVCLRFLFDKWDQFRAVRGLALRVCLRAGAAVLAYARHLAPACVRRSRSRLLACRSLCACLRCLKAFERLHLVCLHWLPVRNFNFSWESVIMGWELDEVPGNPTPSLEESTVDVVAAKIEPKLANVLIRQLSQICPLENLRHVKRVRRRTSEHGISELSIILCISDGSENCSDGFSEDLQKIVDTYQLSPFTAKVAKYSATSKEEWEEQCKLWPTSYHPAHDLVVIRGFREDELPSIFYFMKIAIMLSKGGNAAIIVDPTSKQIIAKAKDQTSHDTSEEGNKFAEGEAETFSLKGSTEKDGNLFLPSLYLPKCKGLNTDVSCINPWGWTKQCTTRQKPLSSEGCFAWHPLQHAAIVAIENAAERDRMLFPSSATTTESNSNGNLDSYFDNEPAKRLKTDKKDKEQSTDGACFGDFSETTRPYLCTGFDVYLVWEPCPMCAMALVHQRFKRVFYAFPNPVTGALGGVYRLHGEKSLNHHYTVFRITVPEAYLKAEAVSCA >Et_1A_009441.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5435583:5436812:1 gene:Et_1A_009441 transcript:Et_1A_009441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKRSSHVEEEEEEEEEEEDDLEDEEEEEDDEEENSGHHYTTAPAAPVGAPQQQVHSQALAPGSHTAMLEAAAFSRPLLPPNSSLVSPPPLPPGFLQPHRQPHAQPRRERERAGGAQHQQLRRHQEPARNGVHGGAAPPPPAAASTLAVVAVAEPAQWRYRECLRNHAARLGAHVLDGCCEFMPSASEGAGALACAACGCHRSFHRREAVPVVAAAPTTPTTNSSRVVMPLLLAPPHMQSTRPSSTHVPASPSSAPAALTESSSEELRGAAPHPPAAAATHPHPHHAQLAVGGSASAPPAPSKKRFRTKFTAEQKERMREFAHRVGWRIHKPDSDAVDAFCAQVGVPRRVLKVWMHNNKHLAKMPPQSPSSSQQPALPPPPQPQHQNHHDHHYPPPLTQRHQQQQHNA >Et_2A_018756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6808747:6810783:-1 gene:Et_2A_018756 transcript:Et_2A_018756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRLALVAQMVSHCRQRVHDDRAEDIQATSSAVDRWRRRVFQRRRPLVVLAMIYCFLKQLRGNAEAEQGAIGHQDVRHHETEPILPRKEVFVSYGATEEQPESSVNPAEDSCNEKMCKICFDEPQSCFFIPCGHSVTCLTCARRIVEEENKACPICRRLIHRILGHQRSVDCKCRNAVVT >Et_10B_003044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15854860:15861626:-1 gene:Et_10B_003044 transcript:Et_10B_003044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCAPVPSLRPDERADLLSLLAAASRPLSDVVDDFLTRFPRERRLRVGATLCFLLEDKKMLHPAGRLIAFAILHQSYSSQPANPYVSLLLNAACDEQSDKSERAFIQLLLTSASGNNNEVLKQSAVDYINGSVSASQALLPREQLEKPYCSNSVQSQPHVNSFRAATVSCAVPDPDIPQSCAVSSDKPKSASDDRDSALAALLQEKSLGRLGPQWIRPAPPRLPLLDGELQWLNPDNNHELLWDYSMCADTSRGAAIRDLIARALKGPLAPAQQEQVIIELAKDPKLVYHCGMTPPKLPELVEHNPLIAVEVLSKLIHSPDISGYFEVLVHMDMSLHSMEVVNRLTQAVELPTAFVHEYISNCIQSCQNIKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLE >Et_1A_006473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2319006:2321802:-1 gene:Et_1A_006473 transcript:Et_1A_006473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALESGRGLGGGPRFGRVRRCGYAVSPPASAGRGSSSAGRDSDSPAVAAKWEWDGEEVEGGDGEVQSSYKGSPFDTMDALQEALPFRKGVCKFYNGKSGSFGRLTDALTPSPPQKDLPKPETPSPRKRKGLLPFTFKWGKPQHKEEVFPEDVVDSPTNCRRMTLSPACPSSSGSNSGSDDEHHISQKPSRRPHRRPNNAMDVFASPPAPRPPQLHPVHMRSQSMLELQDVTDSTALVTPRDKRMKN >Et_4B_038261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27632998:27633947:-1 gene:Et_4B_038261 transcript:Et_4B_038261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEVKDSRPSRSPSEPNLFLQWGSRKRLRCVKSRDDGSPSPSPSEVLRRAVPRATRPIDMAFRSPRRPSTLHRRKSDSLVNEYKHTIALSPDKDRYYSTRGSPFPFEGNGFDFGGVAEEKGTTALPRFFIALSNKEKEEDFLAMKGCKLPQRPKKRPKLMQKCLLMVSPGSWLSDLSHERYEVREKKSSRKRAARGLKALSMESDSE >Et_3B_027459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19358705:19358881:-1 gene:Et_3B_027459 transcript:Et_3B_027459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLVGNRWELIAGRIPGRTAEEVEIFWYKKHQDK >Et_8A_056309.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:21448287:21448595:-1 gene:Et_8A_056309 transcript:Et_8A_056309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDLRRDRNGRGRWHRRPCHSGAGQRRRRHNRGLRPGVVGHEGPRLRLLRERQHGLLRRPGRSACAAGRAASCFNSGLLDATITPSSCIRRSTKTSPVPE >Et_5B_044162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20944067:20949488:-1 gene:Et_5B_044162 transcript:Et_5B_044162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAIATGPVMFHLLPTATQVPMIGIIFFLIIAFGCAIRYRRCTGETSMTAAVPDSRIRHLTIEKFIWEIRHEKPFRFTPEQIAWFTNNYSTRLGAGGFGAVFKGALPNGLAVAVKVFHPSLDRKSGEEQFMAEVGTIGRTHHVNLVRLFGFCFDDAVRALVYEFMERGALDAFLLRWGRDVGLEALRGIAVGVTRGIRYLHEECQQKIVHYDIKPGNVLLDATLTPKVADFGLARLVNHAATHVTVSRPRGTPGYAAPEVWRQSGVTEKCDVYSFGMLLFEIVGLRRNFDEAAPTESQRWFPKLAWTKYERGELMDLVAGAAPSVAAAEGDVARRKEMVERMFKVAFWCVQELPEARPPIGMVVKMLEGEMDIAPPVNPFQHLMMPSIMMNPWTLTATSDGTRNGASANVISEVFIPNNLLHVILGCLASAPEVTRTAVLSRRWRHVWTGAKNLRFESSDIAGKSDFAGFVDWVFAQRGDDHMESLKIKLTGKGSLASPEQLNAWLGYAARHVVRSVDMDITVDQGLDLYMSTKPDEQVVVELPRDSRAESISLFLPNHMLQLPATTAEARHEALTSLELFCELMDDDGSALCDFVSSCCPRLRRLCICGPGELRHLVLRSEVLEEFIISSALRMQTLDVSAPNLRVFEQSYCFLYRKIHGNSGPYVDGTTNKLLRVVAPKLEEIVNMQYYRGSPVDLDIHDLASVRRLSLLLLDMHGKYIRDMDVVFWLLESCPSVEHVEIQLCHKPREDFTVNELVDLTPEGKAPFPKLRTMAVRASS >Et_9A_061082.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:14597894:14598061:1 gene:Et_9A_061082 transcript:Et_9A_061082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRRNFRFNAQFALRTTIHLHVVCCLVLCLLRFVAAWLALVRGSSKFLIIRLP >Et_2B_020063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16537999:16539380:1 gene:Et_2B_020063 transcript:Et_2B_020063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTTKIVKKRVKQFKRHHSDRYKCLKPSWRRPKGIDSRVRRKFKGCVLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDIAVTNKLARLRSQED >Et_2B_021402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29464631:29471665:1 gene:Et_2B_021402 transcript:Et_2B_021402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADHSPPRCLVFAFYLTGHGFGHATRTIEVVKQLIAAGHEVHVATAVPEFVFTAEVRSPRLRIRRVLLDCGAVQADPLTVDPLATLEKYRETAVVPRESILRAEAEWLSSIKADLVVSDVVPVVCRVAADVEYIMGAGSHHQSIVWQIAEDYSHCDILLRLPGYSPMPAFRDAIDVPLVVRGFRKSRSEVRKELGIEENAKVLLFNFGGQTSLQTSLSLQKMLIHQTLWLHLTACLVVAQILQDTAIGKKCISDKISGARRLQDAIVLGYQLQRAPGRDTGIPDWYSPPKAETNAAPTIKTVETKENTESCFEDFEILHGDLQGLTDTMAFLKSLSELNGNDLKSLEKQPRERTAASVLFDWEEEIYVARAPGRLDVMGGIADYSGSLVLQMPLREACHVAVQRNHPSKQKLWKHTQARQLENAGLVPVVQIVSFGSESSNRAPTFDMDLSDLMDGEKPISYEKARDFFCRDPSQKWAAYIAGTILVLMTELGVQFSDSMSILVSSAVPEGKGVSSSASVEVATMSAIAAAYGLNIAPRDLALLCQKVENHVVGAPCGVMDQMASACGEANKLLAMVCQPAEVKELVSIPNHMRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCAAADLVSESLTSEVPVQPDCYKGNVVDLMKSDSAMEYLCNLPPHRYEAVYAKDIPEIITGDAFLEKYGDHSDTVTVVDPKRSYSVKAPTRHPIYENFRVETFKALLAAGNTNEQLAALGELMYQCHNSYGACGLGSGGTDRLVNLVQEMQHTKTSDDGSPCLFGAKITGGGSGGTVCVIGKNCARSGEQIVEIQQRYKAATGYLPIVFDGSSPGAGKFGYLTIRRRRSSSPIAK >Et_3A_025746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32388686:32405288:-1 gene:Et_3A_025746 transcript:Et_3A_025746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLQAPDYKHITDECLREWKAQSGGSFRLPDPVPMARFLYELCWAIVRGDLLPQKSRVALESVVFVEEAQREEELGSVLADIIAHLGQDVAISGEYRSRLVKMVIYILLSSDNLGITSARQLTIHTSQSLTKSFVESSIIAPRLLQERCEEDFLWEAEQSKSKGQDLKSKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQVGSDLACQNASSVTISIIKSLIGHFDLDPNRVFDIVLECFELYPDSNIFYQLIPLFPKSHASHILGFKFQYYQRLDVNSLVPPGLFKTAALLIKSGFICLDDVYLIDFPNGFHMSYDMVLISCFRYSHLLPNDDEAFEHFDSFIARKIDEANKIGKINLAATGKDLMDDEKQELTIDLYTALEMENDIVGERAPEVEKNQKLGLLLGFLSVHDWYHAQLLFERLANLNPVEHIEICGELFRMIEQTMSSIYDIVCQTYCYIPRKTDVDQIGMSLLSPSSFDVPKEFFQMLTACGPYLHRNVQLLQKVCRVLKAYYLSSKDSTRAANVVSPESRIEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYETRFRLYGEWEKETEQNPIVLAARQTAKLDTRRLLKRLAKENLKPLGRMVAKLAHANPMTVLRTIVQQVEAYRDMITPVVDAFKYLTQLEYDILQYIVIERLAQGGREKLKDDGLNLSDWLQCLASFLGHLCKKHSSVELKSLLQYLVNQLKKGVGVELIVLEELIQQMANVQYTENMTEEQVDAMAGSETLRQQASLFGATRNYKVLSKSTNKLRDSLLPKEEPKLAVPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYVEFLSSAITPTSYAQLMPPLQDLVHKFHIEPEVAFLIYRPVMRLFKSTNGGDTSWPLDDNEEGESVSSNDLILQLDSSQKPVMWLDLLNTVRSILPTKSWNSLSPDLYATFWGLTLYDLHFPKDRYDTEIKKLHDNLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNSESQKHQQHIASVLQRLSREKDKWLNSGPDAIKINMEFLQRCIYPRCVFSMQDAVYCATFVQTLHSLGTPFFNTVNHIDALVCRTLQPMICCCTEFEAGRLGRFLHDTLKMAYYWKSDESVYDRECGNKPGFAVYFRYPDSQRVSYTQFIRVHWKWSSRITKALNQCMESKEYMEIRNALIVLTKISSVFPVLRKSGVNLEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEDFGMGHLDLKPAATRSVPGNQPADSSTAKDHSVRAKSTESRHERSEGAMKPEVPQKKSIASANGSDSQIPSSSAQGKSSGGVRVADEPPKPVSAEGAKVSAKPTSDSEIKGPQKRAAHNAGKVSKHDAAKEDVKSGKFLSRNVNQQASAAPDREVVSQAADAVQETNSTGSNGNFHPANRKQKRSVPVEEQDRSKKLDRAERRRGEDAIDRSTDRISDRRERSIERMQERVTDKAPEKGREDRNKDERNKVKYAEPSVDRTHSSDERFRGQSLPPPPPLPTSFVPQSVGGNRREEDTERRAGSTRHAQRSSPRRDEKERRQSEENVSLFQDDGKHRREEDIRDRKRDDRDVLSNKGDDRDREKGTATKEDIDPSNTSKRRKVKRDQSSLEAGEYTPSVPQAAIHGRGSSPSLDVRERERKGVISQHRTSHADDLPRTHGKDTTSKQSRREADQMHEREWEEEKRPRTETKRKHRKYMRTRPEN >Et_9A_062263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21227388:21236193:-1 gene:Et_9A_062263 transcript:Et_9A_062263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVPQQPVAAVVRLRALVEALLCELHLVTALKRKRKKRNQWEWVGAASARATWTAEYYDALIESKKQDGIRVINSCKHGKGVCANRDFDEGDLVLKDQMLVGAQHSLNKIDCMVCSYCFRFIGSVEFQIGRRLYGQSIGTGNDAAFERHCYGSYAGSSTGYSSATNGNAHTVPQEVIMSLMAGDMQLPFTDQFALPSVVACPGGCKGELYCSQSCADSDWDSYHSLLCTGSKTEPLRRSALQKFVEHANGTNDIFLLAAKAISYTMLRYKNIKRRHASQTDESSFLFLMEAWKPLSMGFKKRWWECVALPEDVDSSEEDSFRQYIREMTLTSLQLLKDAIFDPDDLVVASPVEDYFIYIDDLPDNEKEEAEKVTGPFLNALGEDYSVPCKGMALFPLQSCINYSSCPNSKAFKRDEDKDGHAVIIALKPISKDDEITISYIDEDIPYEERQTQLADYDFSEKQWHNLEQQRRQQHTPQLSNQSGVAGPRVCFLSSDLLQIFTDTTIKSLFSFGNLNSQS >Et_2A_016753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27910033:27911797:1 gene:Et_2A_016753 transcript:Et_2A_016753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PKEYFTIKDGLFHIHPSGLGGPFSRLDDALAALDKALKPPPIYWEEGMEGMKYEFHKIDYQCLIYDGPKIYHHYNFTMNIKLRSKRHREYKSFFAEVKPTSNGKQYFCCPLLDTEEGHCLGCCNSCIKLRHPANGGYEEGDEGAGFPFDLNTGGNRKKKGKTVGGI >Et_6A_047645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:971446:973993:-1 gene:Et_6A_047645 transcript:Et_6A_047645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSLRTLHRLPFTGSAASDTVAARSFWSSHIELIAYWCNKNYIRDFSTSNKATSSRLYQKNELKPTLPVKDNDSIINRIQESTRGLKQGPLGKNLSSTEKRKFLVNTLLNLEDSKEVVYNTLDAWVAFEQDFPLASLKQALTALEKEEQWHRIVQVIKWMLSKGQGTTIRTYEQLVCALEKDNRTEEAHRIWQKKIAHDLQPVPWRFCHLMLAIYYRNNMLDRLIKLFKELEACGLKPPSKDIIRKVEDAYEMLRLQEEKKALLDKYKDLYNKPLQNDRKKGSKSKITKMNKTAG >Et_3A_027124.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33740241:33741473:-1 gene:Et_3A_027124 transcript:Et_3A_027124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESARFRYNRPDHGGGAEEEEEESRGGQLELEEEEEVACLLDMYVHDARNIHNICIYGKQDVYAKLALTSAPDHAPALSTRVAAAAGASPSFDERLPPLRVRRGRLAVDVLKCEVWMRSCAKPLLDDQLLGFALVPLAAVAAADGARLIAREFELSSTDLLHSPAGTIRLSLALLSGLPGDAPERGAEPSITSEVVILHPAPPVDYSRVEFPDLNAVKENHDMAAQYLPFLQLGGEPEEDVEMSTSPRGDGEKPEESSSDGSKNASASTTTTASDDRMVSSSAKATNQKPLPDVDESAAPMSCRSPDTPTSSSNGKAGKEKGDVFTSPLGDIDIGMDAEQSEMQRQIMEMYVKSMQQFSESLARMKMPMELGGGVQKEEKPDSEVKPQQQQQQQVKNDGARVFYGSRAFF >Et_4A_035412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23864513:23866481:1 gene:Et_4A_035412 transcript:Et_4A_035412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVLVVPFPGQGHMNPMVQFARALASKGVATTLVTTRFIARTAPVDAKPATVAAISDGHDDGGFASAASVGEYLEKQTAAASASLAALIEARASSPGDAPPFTCIVYDSYEQWVIPVARRMGLPAVPFSTQSCAVSAVYHYFSQGRLAVPPPADGGDGGVPRSEALDGLPKMERAEFPSFVFGDGPYPVLAELALTQFACEGKDDWVLFNSFEELESEVLAGLKKHMKARAIGPCVPLPAADTDASGRITYGANLLNPEDACIKWLDTKSPCSVAYVSFGSFASLGAAQTEELARGLLAAGTPFLWVVRASEEANLPRGLLDEAEESGAALIVRWSPQLEVLAHPAVGCFVTHCGWNSTLEALSFGMPMVALGLWTDQPMNALNVEEAWAAGVRARRDAAAGMFLRGEVERCVRAVMDDDGEGARAVREAAGKWREKARAAVAPGGSSDRSMDEFVEFVRAGASEKWKALVLEGSEPAGAEM >Et_8A_058418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7054841:7061113:1 gene:Et_8A_058418 transcript:Et_8A_058418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDMLNGLCSKLAHPLEERKLEKTTSSTENLLHDMKGDKSNVLEASNCTYDVPVQSSYSAPDTKPLLATKLTNSDNHDESASAPKVNCSLYSALDSADKAEIASDAKSSSIAMLSDLGNPATSLACSQHGATETKYANATRDSRLHWDLNVAMEAWDTDCGSDDHGGPTVATASNHNDAENDMNKPELPHDHDSIDADDAPDRSANQIHVVDIPKDVNKTDEGDFPVDSSSQPSQHQSSQNLQVLKSESKGKDISEETLNLPDQQKSRFAPAVAHLGSDPEPILITEHFPSTANAEKQEVSHFSAAGCKGLSHISSVSGHVGGNSTETTELGFTVKPLASRLVSEESTNLPTVTAFHGRITDVGWSDNKLEEGSEQSISELKNQELLDDSGTSKMHQSVSKKGEHAENLTHPEDNPGSSVCDVTQHEDDGADAMINSKDCLFTCASSSSAETYYISGAVQTPALSSECTKPVVTDAGSIVDSQAAGHSYQNTCGNELRKIASDTCIEHCYETYAYSINAAGIGKVDAAEDDSQYEDGEVRESGDWAGDAYEEVKCGNWHYQTSDYKNEAITPNMPPLLIDSASKNVGIPVSYRIQSRMADDNVSPALSKHLVSTNCLDAGSGASGKAQCIHSRVTGQTEMYEINPGRETVGSAATVSQSERCNDVLGNDLLSIRTKNTDWDMLSEERRHSRRDSRDRADSSNQRVSSSLDAAGGDGSSRRTGLSDRDVQRLERPRSFDRPLRNEPSRSDDGYASGSKAERAIDSHRSNGAYDVPRRIPAGSREEQWVENSKYAHSTRRKSPEGYNYGPAGPRNAAEATVAKMESNGFVVARDGTLVRAVDAANAGHMARRMINTSSSYRPSSGRGSPVDRDGTCRLSRGPAQAREASSERQFGTNSNCSGRYGPQMEKDHTSHGNLSSVRCSLPSRQRGIPTGRASLNLSRAHSRSPSGSRSRSPHDWASSRNRRKIMANGGSTLRRPSRSPPNRMAKVTVGSMTSPKRQPGYDDRAMRYSLPSRNHNYPHASTWVDGRNNSTVDLSDHTKRYSRRSPQRITSRNDRFDVVESQGRSRAGEFFHPAQGRLPYGYDRGNKHDRNGDDQREYGDRYQSHSVKPYDRNGVLTLTFFYQEKEMPCTLPSLFHKPLIKIAERHQHAILKQTSENARGLKPLMEHPDGFIVANCD >Et_5B_045721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8126795:8129951:-1 gene:Et_5B_045721 transcript:Et_5B_045721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLTDSFERDEKPKRERDIEMGHGNPKNSDYGLENFFEEVKEVEMLLDKMSSIVHKLQEANEESKAVTKASAMKAIKARMEKDIDEVGKIARSVKVKLEQMDRNNLENRKEPGCGKGTSVDRSRMSMTITLKKKLKERMNDFQNLRQTIQQEYREVVERRIFTGTKPSEEVRMAVYYVIDRLIETGSSEQIFEKAIQGTGRGQERHDAVMEIEKRLLELQQIFADMAALVDAQGEILDNIENQVQNAVNHVVTGTEALRTAKSLQKKSRKCMMIAIIILLHFLSSDPGLGNNL >Et_4B_039577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22529449:22532303:1 gene:Et_4B_039577 transcript:Et_4B_039577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METCNNAWFFILANVFLIVSAFTHGPADQSVGESDGRRTYIVRVRPPLGFADYTSPLDLERWYESFLVMEPGSTETGRPLLVHSYRAAMTGFAAKLTEAEAASIAAKDGVLGVFPSYLMPLHTTHSPDFLGLRSAGVNGAWDGVLGRKGEGVVIAVLDTMVNPTHLSFRDDGMSAPPAKWRGECNVFWGGGARAPCNKKIVGGRTIFRRAILKDGHGTHTASTAAGNFVAGAGVLGSGNGTAVGMAPRAHLAVYEVCGDTSCDAADVLAGMDSAISDGADVLSLSLGGSSRPFHNDTIAIAAFSAMAKGVFVSCSAGNEGPDPSSLGNDAPWILTVGAGTMDRRMHAVVKIGNGMSFVGESAYQPDALPPTPLVTPIERSIEDDCKPGLPGLAGKIAVIEDVCLDHVRTGILVKNAGGVGMVLTGDKADGNTAFADAHVLPASYVSYLDGEAIRKYMNSTKDPVAEIAFHGTSFDEAPSSAPAVASISSRGPSLTTPGIVKPDIIGPGINVIAAWPFKDGPEGNNGGGEIRDFSDITFDILSGTSMTAPHLSGIVALIKSEHPDWSPAMIKSAIMTTADTARSDGKPILDEKLNAAAAFAMGAGHVNPSKAVNPGLVYDIDEAQYIAYICGLGYTDDQVEIITHKRGVCGSTRKITGAELNYPSIVARLSAGKITVNRTLTNVDVAQSKYTVEVNVPKGVTVMVSPLVLEFTGQKDKKSFAVRMSWDAVKMKHVEGSLKWVSKTHVVRIPILAEKLMYVLLWRVPNVT >Et_9A_063044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:964537:966731:-1 gene:Et_9A_063044 transcript:Et_9A_063044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVESETRSRRRGIKAAAEEGWRESCRVSPGITAAATAAAAAATVLAKLDALLRDEKCSKSKGIMFLREELQQKQHFLLMVLEWKPDPDGITMYSRKLRDLSYDIEDSVDALVRLPSSRRNPHRSRSFIGRILRAWNFSNKLQGFKSRFKELSDLMRDRYSYSYRGAPPKRRFVPVAADPLIPPVVRDVKLVGVDDPRDYLAELLLKGHKREVDPELVTWHRVLSTVGSAGLGKTTLAKQVFCKIQAHFDCLAWVSMSPSRTMRCIMKDLFCQVEGCSGANIKPDIIGSFKEYLEHKRYLVVLDGIWHPDAWGTVEYALPFNCLGSRVITTTRFDDVAELCSKRWDNHIYYVKPLGIDDSLTMFHGTIFGSEANCPPALVDIADKILDKCTGFPIAVAALSGLLAYKPHTRSAWERVYNSIDASSPWDIEGMKRIISLCYYGLPRHLKVSFLHLSIFPEDYPIDHGRLLRSWIAEGFILEKPGQTVEEIAETYLNELINRHLILPISNDSDDCKIGASLICGMVYDLIKTKAVEENFVTFLGDKRRSDGVHSTVCRLSMMNLKGNHEASMTTSHVRSLYIFGGSGINLSFERFPFLRVLDLEGCKELKNHHVEEIARLLLNLRYLSIRDTPISEIPDQISQLQHLTTLDLRGTEVEELPMSVARLERLAYLLCDKMKFPKWIGQM >Et_6A_047824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18523599:18524533:-1 gene:Et_6A_047824 transcript:Et_6A_047824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGKYCGVSYTGCPGEAPCDALDACCLLHDACVQATDNDYLNMWCNQSLLDCVAAARPSLTAATFAGNQCNVTEVADEITSLVEAAVFAKRILHKP >Et_10A_001393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2657803:2659303:1 gene:Et_10A_001393 transcript:Et_10A_001393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAVALASPASDDRRFWDRLRNRVDAILEDRRVLAPPAASTRGVEESERGKRLREDSLMLVRGLDSVAESLAQLSDTLTAAQMGVSALATCPTQATGRESVADADDEEEPKPKRQCSASSEAADLDDITPAAVNQAGDVIPRQGTGDIQASTEVAQSTNLKRARNLAVSMASRAAALARELKNIKSELHFTQERCGLLEEENKRLREGYDNGVPPEEDDLVRLQLEALLAEKSRLAQENANLTRENQSLMQLVEYHQLTSQDLNESYEEVMQGMQLDFSSPLGRIDSGDEEGECDDGVPVTPTDKLEVLSSPEE >Et_6A_047277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3198040:3199304:1 gene:Et_6A_047277 transcript:Et_6A_047277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSMLRSTGDRVVIVGGGIAGALLAKTLQNHADVVLIDPKDYFEIPWANLRAKVDQFAVERAVIPHSDYLTHAWIVAASATGIDDSVVLTSIGRAVAYDFLVIATGRTCIRPARRSERLEMFTQDKERIERAKTVMIVGGGPIGVELAAEIVLTYPDKRVTLVHGAPQLLTSVMSPKASAKALEWLRYKKVTVLLDQTVDVAAAPPDAKEFTTSAGETVAADCHFLCTGRPLASAWLRETFLGEHVNADGQLCVDEHLRVGGRKNVFAVGDITDVPEAKQGHLAQRQAMVVSRNLKLLVKGGAVKEEKLHRYKPGAKSGMTVMLGRYDALSELPFMSLIGSLPGAVKPRDLFVSRTRRMMGLKSKPYNNMPRLNM >Et_6A_047707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:176908:180254:1 gene:Et_6A_047707 transcript:Et_6A_047707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAFGMSRSAGSISLLLLAISLALLCSVTVAKQQYHEFVIQEASVTRLCNSRKIMTVNGQFPGPALEVNEGDCLAVKVTNKGQYNVTVHWHGVRQMRTGWSDGPEFVTQCPIRPGGSYTYRFTVAGQEGTLWWHAHSSWLRATVYGALIIRPRARVPYPFNNGKPPAREIPIILVCVMRTGEWWNMSPIDVVRIATRTGAAPNISDAITVNGQPGDLYKCSSKDTTTFPVKSGETNLLRFINAAMNTELFVSLAGHTMTVVGADASYTKPYATSVLMIAPGQTTDVLVTFDQPAPAAAGRYYLAARAYASAQGVPFDNTTTTAIFDYGGNASATGSSPAMPTLPAYNDTATATAFTTSLRGLRKAELPSHIDESLFFTVGVGLFNCSGNQQCGGPNNTRFTASMNNVSFVLPSTVSILQAHYHGGAVTGAVFTADFPANPPVQFDYTAQNVSRALWQPEPGTKVYRLKYGAVVQVVLQGTNIFAAENHPIHIHGYDFYILAEGFGNFDAATDTAKFNLHDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGVGVLQSLEAPPPDLPLC >Et_3B_031716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8756136:8761041:-1 gene:Et_3B_031716 transcript:Et_3B_031716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAAELPHRGGGGGRLSPGPLAVAASMLRREHRRRALAGGAVLASALLLVATPRLRHSPALHLFADMRNFLGVPNTLNVLTSYPLLLAGVPGLVLCLCGSGCFGVSLRWEALGWFLFYTGNVAAAFGSAYYHLKPDDDRLIWDRLPLGYMATKIREYEETLIVRTHPRMHRLVRASSPPGSHQQQLHRLLSSFAANQPILPNLSTDSAVPWNRLLRAHISRSRPDLALALYRHMRALAPALPNSYTLPLALRAAPSPRIASTVHAHAVYLGLHAHPDVAGQVLAAYARFGRAAEARHVFDALPVRRTTLSWNTLISAYSIGCDPDSARAAFARMVAGGARPDAVTWTTLLSAHSRCGRHLGVLELFRDMHESGCEGNAEAVAVALSACPYAGGPALAKGRALHAYGVVKGIVHGHLFVTNSLVCMYGKLGEMEEAEKVFRDAEEKNAVTWNTLITSYAAAGLCDGAFDVLVRMEQGGGTVLPNLVSWSAVIGGFASSGNMQRALELFRQMQQRHLSPNVVTLATVLSACSELLALQLGREVHGHTIKAVLDRHSLVENGLINMYGKCGRVSAAQMVFDRMKSRDLISWNSMIAGYGMHDLCHEALSMFSDMARAMVEPDGVTFVAVLSACSHSGRVSEGRRLFDQMVQEYKISPSMEHYTCMVDLLGRAGLLKDASELIETMPMRPDMCVWGALLNSCRIHGNSAMAEATAAKVLQAEAETTGNHMLITNLYAACGMWDESKRVRVMTKEAGLKKSPGQSWIEVANKVFAFTAGSVSLPGSDEIFRLLDDLYREMEDEKHDICEAFASTE >Et_5A_040442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22553473:22554801:-1 gene:Et_5A_040442 transcript:Et_5A_040442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLVKSAFVQDAASRVASYIFGKGETETSTVHNVERLEMAHTELELALERSGKLPITDVSLIRRRKILKRAFEECSDVLHRCKPQAQEDEQTEQIARAAQSSITYLFTNKDGACCSDVRRLEWLADCARKFVTDVETGCSLRHHTFCNPLLRKLLEGKTLRYKRVQESQHRDIYIWPICLEERGIEAELSYQYEDYKMPWRNFHLRIMLRLSESTDIVRIAVKCLQYLASQFKLVAETATGELTLLPDLHDISHSYAPPWVGFQESYTQLSQDCRPDPICCKLNGRPKNIVSSELSETFEKVIYISILCSLHSSIDEACRNDLVDWSPSLLLKVGFVPHGVPAVLLQRYGKRIPASRGDRINDNVKQEIEMVRSKAIDCLIRQPGRTDYITAWLYVHGLAFFQLRTPAFRGDCFHELGDRSKTRRVTKR >Et_7A_050347.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:8277717:8279309:-1 gene:Et_7A_050347 transcript:Et_7A_050347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SNHKSGTGGSPSRERVPQVRTPAAREPDAALFDVVHHLEPLLLEVAQRAGGPASSVAVPGRVPAVHEHEAAHVRPVLALEPHEVVPPRQRHRGVAPEVQRQRRHGRAVRRRVVVVVAGREPRLGLGAVGALEPRRGHRAGERLGHRRVRLVGQHGERGARVHHHPAVPRAVDAPQLAPGADARPAHGHRLQRHRVEVGVLRVVEQRRVHEARLGAEAGRRGVRAAAEDERAGPDESVRAEGVRHEAVGEPSRQRRRQLRGEGQRAAPEPGHPDGLAERGVRAGEGEVAQHGHGRGAAESHAAAAQARHEAAVAVRVVERRARRAGRRAGARLDVVQRAPRRGAEVGRRGRGVRRGAERRRAGHAPRVGGRRERAGVGRRGLALAEHARERGAREPDQVAAGVEEEGHRRRGRWRAHRERKRVVAARGERERELPVAGASVPRAVATVARGRAERGGADEVVHGGVIGWAERGERVGEREEQGVALELLDLCGDEEDEKQAEEAAHGVDAWWRFACEIRRCFALGPWRGWF >Et_7A_050672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:991530:994685:-1 gene:Et_7A_050672 transcript:Et_7A_050672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFFAGDGADDLSRTTSHPFDADDYDPNSASAAGGDDAGGYGGYSSFVDGAVEDEVEEEIAVEGDGVPIRHVSGGYSPSPFSPELEPNGDDSGPILPPPTEMREGILLREWRRKNALYLEDKERKEKELRAQIIAEAEEFKKAFYEKRIQNCETNKVHNREREKIFIAGQEKFHAGADKQYWKSISELIPHEIATIEKRGKKDKDKKPGITIIQGPKPGKPTDLSRMRQILVKLKHAPPPHMLQPPPAPAAKEGAKDGAKEGSKDGAKDGAAAAANGSKKPSESKETPVNGPAEPEKEQPASSE >Et_7B_054581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2530303:2532872:1 gene:Et_7B_054581 transcript:Et_7B_054581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETGYTVQVTNLSSRVSESDLHEFFSFSGPIEHVELIRSGEYGSTAYVTFREPYALETAVLLSGATIVDQPVCITHWGQSEEPFNFWDRPTWHVEEDIEYRNYQACQFNTTPQEALTVAQDVVKTMLARGYVLSKDALAKAKAFDESKGLTAAAAAKAAELSKRIGLTDRVSAGVGALRSVDETYHVSETTKTVATATGRTAVKVVNGIVTSSYFSAGAMLVSDALTRAAKAAADLAAHGRQS >Et_3A_026073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4004528:4007599:1 gene:Et_3A_026073 transcript:Et_3A_026073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNAGIRTHNPRKPKRTLPKQSEASKAKQECECETHHMPHLAATTPASSAAAAAAVPASRPRGAGRVAFPRRPWSAPGSQPSAPRLDLRARGVRRGDGGGVRTATDEEQDQQAQLTFYDLLGISAEGSTEEVRAAYRRMALRYHPDVAPPGAAAENTRRFIEVQEAYETLSDPSRRASYDQALARGVCRLAFSGPRSGRAYHHQDREDKSAWRRSWQDQVMELKRRSMMKDSEDNLSWGARMRRKRAESSSIE >Et_1A_006414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21994380:21994868:1 gene:Et_1A_006414 transcript:Et_1A_006414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVQRRKGPDVVGSFGLLQPLADGLKLILKEPISPSSANFSLFRMAPVATFMLSLVAWAVVPFDYGMVLSDPNIGLLYLFAISSLGVYGIIIAGWSSKTGGAAVRSPMIYGPIGLGLINPFFHSSRGRSLFRAGIEKWKS >Et_8A_056900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18771944:18775387:1 gene:Et_8A_056900 transcript:Et_8A_056900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEGRVGNRGLRALSAKTCNSAGAVADVWVPNHDASPRRRTAASALPLPLPRSPAATTSRLLGTAPPRALSSASASTSSSPPRRNPPACRLRRLCSSSAATAAAVEEARSGRKQLGMTPQLYEYLLANVREHPILRELREETAAMRGSQMQVSPAQAQLLAMLVQILGARRCIEVGVFTGYSSLAVALALPESGRLVACERDERCLEIARKYYERAGVAHKIDVKHALAADSLRSLLDCGEASSYDFAFVDADKRMYGEYFEILLKLVRVGGLIVMDNVLWYGRVADPVVDDQKTISIRNFNKKVLEDKRVDISMVPIGDGMTICRKLVDT >Et_5B_044114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20158024:20161188:1 gene:Et_5B_044114 transcript:Et_5B_044114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFHRVLAFSRRRRRWLLYAGAAAGAYLMYHHPAVAARRRCLARLASALASLADAAAAVASDLAAFLRSDSNEVPQTLKQISKLAASPEASASTSALSGALAAGVLRGYAAASGSGSPFSGGEVALSDRVLEKMLSPSGERLASAVAGSFGRQLVLAFYSTSSQPSTGSSPTSWVDILTTRRCQRAIGSWVEVFTATAVGVFIDKTIHINTYDQLFAAATNPAYDSRLQKLFVALCSTSVETLVKTSHSVLSGASTTGGNANVSGTSGGNGGVGEGWVETVSSALAVPSNCKLALDLTGRATFEAVRSFLEFVLWKLHAGARAGGDATVEAGLRALRYTSERSIVIATICIALCLHILNGTWLAVYLELSESGSELRRTLPKIRVAMSNS >Et_3B_030172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31097754:31101765:1 gene:Et_3B_030172 transcript:Et_3B_030172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKAGKAGKEKDVVRLERESVISIMKPKLIMKLAYLIEHQSDKEEFLKLCKRVEYTIRAWYHLQFDDMMELFALFDPVHGAKKLQQQNLSSEEIDTLEQNFLSYFFQVMEKSNFNIVNDDEVELAHSGQYLLNLPIKVDESKLDNKLLSRYFKEHHHENLPDFSDKYVIFRRGIGLDRTSNFFFMEKVDVIIGRTWRWFLEKTRLQKLFSRKKNGRPKTDSKKNDDIAGEEEDKELYVERIRLETMELSFRNLIGKVTIQEPTFEEVIVLYRRKGPKGQNDRAIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVTLISSLEMPKADFWVVIAILSALVKEVIIAYYILMENGKSTSDDLDVQCEELMQEEFGLQCNFEVMDAVRKLERLGIITRDSIGRICCVPLKRANEIIGATTEELVMKANQS >Et_4B_038928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6004890:6007144:-1 gene:Et_4B_038928 transcript:Et_4B_038928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LQPPEKHQDLVRGVFQLLSARPDSVSNFVGVDAIFGPGTKMVYKHLATLYFVFVFDSSENELAMLDLIQVFVETLDRCFKNVCELDIVFNFNKLHTVLDEMILGGQVIETSSEQIMRSVEEIARLEKQSSTTSLIPKSISERFSR >Et_6B_049913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17704056:17705333:1 gene:Et_6B_049913 transcript:Et_6B_049913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVARLASERAVVVFTKSNCSMCHAVTSLLSDMGVNAAVHELDRDPRGREMERELAKMLGGRVPAVPAVFIGGDLIGGTNRIMALHLCGELVPMLKSAGALWLC >Et_7A_050431.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14912016:14912624:-1 gene:Et_7A_050431 transcript:Et_7A_050431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LVRELARSRQRGRVRRPCLVGALPKVLLVGGVRGQRVDDRHERGGLRQAGQRRQEEAVRVGHQVRVLRVALRRHQAPHQRRPVRDVAAERVLPEVERRVLRRREPQQRREQGCEVGRRRQARPPRRVALVRVPGLHGLRVPRLKLGVVLHGLVGGVVDRHVGVAPPRDRRPEEEAVGGVRVVLDEQPEPVRLGRVLHGHASA >Et_1A_007138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31190755:31191683:1 gene:Et_1A_007138 transcript:Et_1A_007138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTADSDDHPRSAIDHCAVGKPRTSRSPTRQPACQASSRVSVDRALAQPVAPRLEVLAPSAVRIITSVARSCSTAGRHPCIRLEWLTYTRLRLPTAAGAAKYEAPTELTLHAAWFGGEEEEDALGLADFVSTFCPRPRPKGLLHLVLVRTHTLEELRLTRAGDAGRDGSQTASSQPARQHECFHRLTSGRVDKALFRVTARSTSLRSRLRVEMHGDLRGVRRLSDVRQDMRGRHCIDTHHGLWLLENCPCSEHVDPWLDEPDPDPYDDNDDVDGQWAL >Et_3B_030612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5436387:5441421:1 gene:Et_3B_030612 transcript:Et_3B_030612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSGFFTSALGTVTVSTPFSSPAFTWSTFAFSGRRKRLMNLPLLRSTRCHLSFLSSSSLLRSPLMTSTLPSSTSTLTSSFFTPGRSALKTCASGVSFQSMRALAKAAVSRSDDAAAGTRERKTPSKGSQKSAKGSNTLRLTSDIVALGFVCTDARLQLSGIDQAGCFCSVCVFLDAWGLEISIALTSGFFTSAFGTVTVSTPFSRPAFTWSTFAFSGRRNRLMNWPLLRSTRCHLSFLSSFSLLRSPLMTSTLPSSTSTLTSSFFTPGRSALKTCASGVSFQSMRALAKAAVSASDDAEGTIERNMLSKGSQRSREKGSNTLLRLTSDIVDCCELGCVRLCGSGKWEVR >Et_5A_040454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23601770:23602100:1 gene:Et_5A_040454 transcript:Et_5A_040454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLLLRNTAVALDEVEFSDTPLQNYDGTYINIWTDFLCPPSICRNWSLVVSDWAATFLILQAAHNCRFWRSQVATFSARGYYLSP >Et_7B_054762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4133942:4136872:1 gene:Et_7B_054762 transcript:Et_7B_054762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCMALIAAMLLCLFFVRSQCDAGSVAPSTEQEQEIQMLKAKIASLEDEISRKNEETLQLENVARERAAQMAALASELEILQVNVDDESVMKASTHNALLEEQIERLGSDLEDQVRKGESLEVRATEAEKISRELTRKLESVEKTNLEQKKKIGELSRKLQHAQDKLTELEKEGKTKAEELAKVHGMWLPHWLALHIVRCQELASAKWQVHGKPMVDPLMQKCFLCLTKFANVHINSLRKTTGPYVSVMATKTTAAYRVSRDAIKPYTAKAQEFAVYRWQESKKHTQPYINEIVAACEPQLSRARVALEPYSGPVTSLWRRLVSSTSVYHGQVQKGVKHYMEDNELLKPLSDDRLAWFTASALLALPMFSIYKILSSAFWSVNSQFGHHL >Et_1B_013115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5666586:5669612:1 gene:Et_1B_013115 transcript:Et_1B_013115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AEGDIKMRVIVVEDEEMSAPTLKEDKCCEYTLDGSVDIKGRPAVKGKSGGWIAGGLILVNQGLATLAFFGVNVNLVLFLTRVLQQGNGEAANNVSKWTGTVYMFSLIGAFVSDSYWGRYKTCAIFQGIFVLGLALLSVSSRLYLIRPGGCGMEHAPCGPHSGKELGIFYIALYMIAFGNGGYQPNVATFGADQFDEEDPAEAHSKVSFFSYFYLALNLGSLFSNTVLSYVEDKGSWALGFWASTAAAATALALFLCGTFRYRYVQPGGNPIGRVFQVAVAACRNRNAGESPGVVCLYEGDEKDDCSGGRKLVHTQGFSFLDRAAYADTDAKLQGKRDPWKLCTVTQVEEGAAMRRTTPFPGFSVPPSSMSAFDILTVAATIFLYRRAVCPLLARFTGRRTGPTELQRMGFGLVVGAMAMATAGTVELFRKDRAAAGAAAVSSSDLHIMWQAPQYALIGLSEFYFLLAVLAVADFAVYLVYASRYGTAGKAVDGRSNDDDEEVASPEYA >Et_1B_013220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6507327:6509789:1 gene:Et_1B_013220 transcript:Et_1B_013220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLPRFGPFGPLPPIDEFGIKETRPRLAGKRAGGYDLVERMEYLYVRVVKARDLKWSGDFDPFAEIKLGGHSGATRHIEKTTSPEWNDVFAFSRERIQASFLEVVVRGKGFAKDDFVGRARFDLGDAPLRVPPDSALAPQWFHVFDKKGERAGEVMMAVWFGTQADECFPLAVHADAAFAVDAKLAAHIRCKQYTVPRLWYVRVNVIEARDVVFADKTRVGEVFVRSRIASQVHKTKTCVSRLPSYGWNEDHMFVAAEPFEDHLIISVEDRVKVDKEEVIGHVHIPFTDFERRWDARPIRPRWYNLVRPEGAAKIEKFSAKICVRLCLEGGYRVLSEPVHYLSDVRPAARELWHDRPPIGLVELGIHNAFGLSAMRTRDGRGSCDAYCVAKYGVKWFRTQTVIDSLAPRFHQQCLWDVHDHCTVLTVAVFHNCQIGEKGGLVAGDPVKDVLLGKVRIRLSTLETGRIYTHAYPLITLHGGGIRKTGELQLAVRFSTTSTLGLLQTYARPHLPRMHYHYPLSIVHQETLRREAVAIIAHRLGRMDPPLRRECIEHLCEVHSHRWSMRRSKAHFFRLMAALAPLFAALRWFVDVCHWKNPVTTVAVHVIYAMLVCCPNLILPTFFVYKFLVGLWNYRCRPRHPWHVDTKVSHAEMAHLDELDEEFDEFPTARPPQVVRMRYDRLRSLGARIQEMVGDIASHAERARCAMTWRDPRATAMYLLFCLLLAAITFLAPFQAVALLTGFYLMRHPSLRQRLPDVPANFFRRLPCKVDCLL >Et_3B_027667.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11571876:11571962:-1 gene:Et_3B_027667 transcript:Et_3B_027667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNGMVWRIQKERSRCCPPLSERRLKG >Et_7B_053880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1348302:1349528:-1 gene:Et_7B_053880 transcript:Et_7B_053880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATTVEANAVAQQDDGVKNVFCMEGGQGETSYINNSQVQSRNLQMVVHVLKEALGTIQLPHPLEKLLTAADLGCSCGQNTLFVADAVVQHMAELYAARGHEAPEFCFFFSDLPSNDFNTLFRLLTPDDHSSTAGAGDDKGDRRRGCFAAGVPGSFHDRLFPARFVEAFTSTFSLHWLSRVPREAADEFNEGKVFVHGASAVTGAAYKRQFQSDLGRFLRCRAVEMKPGGAMFLLCLGRPSSADPTDQGRVRPLFEDSWADLVREGLTGREKMDSFNEFREVVEMDGAFRINRLDMVTGSAPVVDRPDDPADVGRTVVDKRG >Et_4B_038088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26115376:26118007:-1 gene:Et_4B_038088 transcript:Et_4B_038088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEETSRLFRIRRTVLEMLFDRGYDVVKADIEISKARFMESYGNPPTRDTLVFSRSMKDDKSVQIYVFFPNEAKPGVKTIRGYVEKMKADEVYNAILVVQQALSAFARSAVQDCAHRFHLEVFQEAELLVNIKKHVLVPKHQLLTPEEKKTLLERYTVKETQLPRIQITDPIARYYGMKRGQVVKIIRNSETAGQYVTYRYVV >Et_5B_043302.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:23358096:23358575:1 gene:Et_5B_043302 transcript:Et_5B_043302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEALIIVVLQKLTSALAEAGLKSLTSKLQKQLPDILEVSNRMRLLQSDFSMMQAFINQIDAHRCQDQVLEAWLEQVRQASHEAEDIVDEYVYLVGQMEGANSFLKRALKQTTDANKWRKLAAQAKFLEDRLSKITETKNRFDVSAANSRRDNVSSYSI >Et_10A_000205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17538764:17539169:1 gene:Et_10A_000205 transcript:Et_10A_000205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHNVVSVSEVEDILDISNMQTYVNNSANCKGSVLKALSSSSSSHNCETCYRVLLDDFSFCSLGCDVSCHVFFICYLTTVPHCPSTSPMMTPALTASCLPQFLCGAAVPITSCVHATSHI >Et_2A_015340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11195813:11198991:1 gene:Et_2A_015340 transcript:Et_2A_015340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSKKASLIAIVVQLILTGMSVVSKAAFNEGMSTFVFVFYRQAAGSVLILPIALLLQRNTLSLSLYNVSLKFASATVASATNNSMPVITFCFALIMRMEVVNLRSATGIAKLTGAGLCLAGVLSIAFYSGPALSPVSHHRAFAAHASGGHANHPSKAIWIEGTFLMVLANMAWAISIVWQAALLEEYPNKMLVATALSVFSAVQSFVVAVAAERDFSRWRLRPYVSLLAVLYSGFLVTGVTYYLQAWCVEIKGPVFFAFWTPLCFVLTIFCSSFFLGEIVHLGSIVGGILLVCGLYSVLWGKSKESKLVPRNEVNIGDYEQEKDNNKSCTYKLKESTTASAGELV >Et_10A_000918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19015932:19022462:-1 gene:Et_10A_000918 transcript:Et_10A_000918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NGVEASLTLSTRPPQATQHNFPSTNISLRGSKTKPSPPHSLLPTRNDEHTHSPRTHQQEDMAGVNSSVVGLKPAAAVPQSAAASPVAKRVQVAPEGRRAALLGLAAVFAVTATTGSAKAGVIDEYLEKSKANKELNDKKRLATSGANFARAYTVEFGSCKFPYNFTGCQDLAKQKKVPFITDDLEIECEGKEKYKCGSNVFWKWIQNHKRKKWQASSSPFGGRIGIKETSYLVMSHDDPAAALFIVFGRLNRSHILWANLEVINISIDIPHKQILGDVCGGITRIRHVGKITELLGKVELEAIICALAPKRCTTILPIKNGIGDGGLCKACRYSKT >Et_6A_046075.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21890641:21890757:-1 gene:Et_6A_046075 transcript:Et_6A_046075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPCSFGRQTVSHESRQRPPLVLSLPDQRKAQRRWL >Et_8A_058379.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:6162037:6162828:-1 gene:Et_8A_058379 transcript:Et_8A_058379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRKSGYLTRLTPVLTFVSDITCKSAAVSGKGFIARDVTFANTAGPSKHQAVALRCDSDLSVFYRCAFEGYQDTLYAHSLRQFYRDCAVSGTVDFVFGNAAAVFQNCTLLARLPLPEQKNSVTAQGRLDANMTTGFAFQFCNVSAAADLVQAQAAGNRTTTQTYLGRPWKEYSRVVFMQSYIGDVVRPEGWLAWDGEFALDTLYYGEYMNTGPGAGVGGRVGWPGFHVMTSPAEAGNFTVAQFIEGNMWLPTTGVKFIAGLSS >Et_3B_027565.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27883757:27885314:1 gene:Et_3B_027565 transcript:Et_3B_027565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRGDRPHDVAAPALSPSSKSPRHADRGAGRVREWEDGLPTAGELTPLSHPLIPRALAAAFRIDVAAGASSALLDRDSPTSHLSFRCDDEDDEDDDNDGEDVALGLGGGACRAGKAGKKKKKRMVWTAVLHRRFVEAVAQLGEKAAVPKAIVEVMNVEGITRENVASHLQKYRLYLKRTRTHAAPAPPGAPALPPARGYRDFLSRDGDKVSRD >Et_1A_005280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37921571:37921909:1 gene:Et_1A_005280 transcript:Et_1A_005280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLNLGCGGDSDKENTPPAPARGIAVRKQSMMKRPGCNSKTRKRLPLRDITCLFLAEPRPPTSLAPEGTAALPEAARALAVAPSSGVVVKQARPSLRKGFR >Et_1A_008048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40374455:40376822:-1 gene:Et_1A_008048 transcript:Et_1A_008048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDRDEPAAVRVYTVCDESKYLVVRNVPSLGCGDELAHLFGTYGPVEECKPMDAEDCEPYTDVFFIKFSQVSNARFAKRKLDESVFLGNRLQVSYAPHFESLLDTQEKLEVRRKEVLGRIRSSAGRPEGTIQYPIAQGSSSGNSNRQMNSNKREFVKTIQASHIDDPRFSHVPSNKDYFPSVSMNATVNIVREKLDKIQSSGDNSNAAAAAPKKPRLFGFYFHSVRYSF >Et_9B_066078.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:2650141:2651004:-1 gene:Et_9B_066078 transcript:Et_9B_066078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYQVLEVTLISAKDLKRVTLLSKMRVYAVASISGGDPRLPTHRTYADREGGRNPMWQAPLRFTLPPAPDAGDPSGLALHVLLRAERAFGDRDVGEVFVPVRDLAAAAPQGGEQQRHLSYQVRRPVSGRKRGVLHISYRLADLPPPPPPEAAPCVHHGGQYAHDAAAAKHKGGGSASAVTAYPVAGRRSGGASGPFPLYQHAAGGYVPPYGGGAFPHHHHHQQHYSGYAGAYGYGQGGAAAAGRPGGGVGAGLGLGLLGGAVGGLMIGDMIADAEVDGGFMDGVGF >Et_10B_003671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4697786:4700865:1 gene:Et_10B_003671 transcript:Et_10B_003671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPMSPLAPWYILAMMGLHRLSSSFILSSNSSASASWLLSSHWMAWSMASSIFFLSSAESLDAIFSSLMGVLGLHLLLVLLVLRLVLLGVLHHLLDLLLAQTALVVGDGDLVLLAGGLVLRRHVQDAVGVDVEAHRDLGHTTGSRRDAGQLELAEEVVVAGPRPLTLVHLDQHTRLVVRVENTCSFLVGMVVFLGISTVITPPAVSRPRDRGIHVELLKPGTGDGGVEVNALVQRVNLNGGLGGGRQGPLRPLTSCPQPPKSPRVAGDVLLVLPLELLDEVVHHPVVKVLTTKMGVTSSGLDLKDTLLNGEKGDIKGTTSKVKDEDVLLTNTGSLLVKTIGNSSSGGLIDDTEHIETSNHSSILGGLPLRVVEHKIPESTATDDDSRPDRALESSAGTDLRSEATDNA >Et_7B_053892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13084978:13088860:1 gene:Et_7B_053892 transcript:Et_7B_053892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISESSRNALLPGFLYAAPGAGGSSPFPAAAAATAGVGGRAVAAPSAAAAAAGPAVWARAPSEQRRGIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQINPAKYKSISSGFGQGARGFFRGWVPTLFGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADIALCPMEAVKVRVQTQPGFARGLADGLPKFVKSEGYAGLYKGLVPLWGRQIPYTMMKFASFEAVVENIYKYAIPAPKSECSKSLQLGVSFAGGYIAGVFCAIVSHPADNLLSFLNNAKGATVGDAVKKIGLLGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVAPTPASAGEQLKAKA >Et_10B_002517.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:12711107:12711190:-1 gene:Et_10B_002517 transcript:Et_10B_002517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQRHIAKGKLYHQLLLILAAMGCCN >Et_1B_010653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12638642:12643245:1 gene:Et_1B_010653 transcript:Et_1B_010653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRASSFLLLLLLFALPALAPATGVFEVRRKFPRHAGAEDDEAAADHLAKLRKHDVRRHGRLLGAVDLPLGGIGLPTETGLYYTQIKIGSPAKGYYVQVDTGSDLLWVNCIRCDGCPTRSALGIELTQYDPAGSGTTVGCDQPFCVATYGGVSQTCTATSPCSFQITYGDGSSTTGFYVSDSLQYDQVSGDGRTSPANASVTFGCGAQLGGDLGSSSQALDGILGFGQSNQSVLSQLAAAGKVRKIFAHCLDTVRGGGIFAIGNVVQPAVKTTPLVPGMPHYNVNLKGIAVGDVALQLPTNTLDTNKNTGTIIDSGTTLTYLPEAVYKNLRDVVFNKYQDMNFRNYQDFDCFKFSGSIDDGFPTVTFSFEGDLTLNVYPHEYLFQYGRDVYCVGFLNGGLQTKDGKDMVLLGDLVLSNKLVVYDLENQVIGWTDYNCSSSIKIKDDRTGATYTVDAHNLSSAWRFQWHKSLTLLLVTMMCSYLFL >Et_8A_056918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18898706:18900023:-1 gene:Et_8A_056918 transcript:Et_8A_056918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAEVCIGKRRVVVFANAHFYISSVSWPIRVYCKQMFGIAQLKTLFPEGCGRINGNSLLDSCAAAECFSEAPPDVFLVIHLGLLPAPPAGLARSFPVGVVVRVVVAVAVLVVPAPGVIIGRDVNKMLQLDDGDVAADGEAVVGEQAVPPPLQLLPRDELGVAAGGYGCCGAIAGALEGGRGGGELVRGVAGGVEEAELVLRPHALLPVLAVEAEEEGHGAFRVVRGAGRVQVHVPVAAPDAEHRRADVLAAGEVAGQRVAVDAEHLEPPRSLGHHAGCRAGQRARTGGREERRRRHDGRRT >Et_1A_007855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38954255:38971754:1 gene:Et_1A_007855 transcript:Et_1A_007855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAANNFANSYNESAVRYERTCAKLNRGAHRRDLPRIKKLQEGEQVTEEIALNSLRRAGVLGSCPTVVQLWCPSGERYGFRYWGCMIGLEIIQFSQSYGWFLGFFHFIQPLSYLYGKKFVGPITPTIHAIREEIYNTPYDKVDWSEARSACAKEDILCPRTTLQNVVWTSLYKCVEPILIAATLYLQTMVYDGCQSWETSLILQAYCATDLVNEYGPTLQRAHEFMKQSQVLRNHSGDLNYWHRHISKDSWTLSSADNGWSVSDTTAEALEILSPCEIFPNILTDYPYPECTSSVLQALVVVKELYPGYRTEEIEKCISNAATFIESKQLEDGSWFGSWGVCFTYGAFFSVKGLAAAGRTYENSPSLRKACHFLLSKQLNTGGWGESHVSLRTQVYENIKGDRAHAVNTAWAMLALIYAGQIEIDPTPVHRAAKELVNMQLDTGEFPQQVGSGDPWLRSKNGHVGRQVWEFDTATEPDPDELAAVEVARRGFTERRHHLKHSADLLMRIQFAKENPLELDLPAIKLEEHEDVTEEAVLTSLKRAISRSSTLQAHDGHWPGDYDYCFVCEWITEHCPIVGTSEGDPSNEDGGWGLHIEGPSTMFGSALTYVILRLLGEGPDSGDGAMEKGRNWILDHGGATFITSWGKFWLSVLGVFDWSGNNPVPPEVWLLPYALPFHPGRMWCHCRMVYLPMCYIYGKRFVGRITPLVLELRKELYKDPYNVIDWDKARNQCAKEDLYYPHPFVQDVLWATLHKFVEPVLMHWPGNKLREKALETVMQHVHYEDENTRYICIGPVNKVLNMLACWIEDPNSEAFKLHIPRVYDYLWVAEDGMKMQGYNGSQLWDTAFTVQAIVATNLIEEFAPTLKLAHDYIKNSQVIDNCPGDLDSWYRHISKGAWPFSTADHGWPISDCTAEGLKASLLLSKISPEIVGEPVEVNRLYDSVNCLMSYMNDNGGFATYELTRSYPWLELINPAETFGDIVIDYPYVECTSAAVQALTSFKKLYPGHRRKEVDSCISKAANFIESIQRTDGSWYGSWAVCFTYGTWFGVKGLTAAGRTFQNSPAIRKACDFLLSKELPSGGWGESYLSSQDQVYTNLEGKRPHAVNTGWAMLTLIDAGQAERDPAPLHRAAKVLINLQLDDGEFPQQEIMGVFNKNCMISYSQYRNIFPIWALGEYRCRVLAAGKK >Et_6A_046577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17590823:17598008:-1 gene:Et_6A_046577 transcript:Et_6A_046577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRFPHSQAEVAKVQLVQFGVLSPDEIRQMSVVQIEHAETMGKGKPRPGGLSDPLMGTIDRKIKCETCMARMADCPGHFGHLELAKPMFHIGFIKSVLSIMRCVCFDCSKILADESKKVSVGGDELVVQEHQDTDVPVKKRGGCGAQQPNITVDGMRMIVEFKVSKKKNDDQDQLPEPVERKQFLSAERVLNVLKRISDEDCLLLGLDPKFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIISEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKSKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPEIVTPYNIERLRELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDRHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIQIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSYETRAEVLELMMVPKCIVSPQSNKPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWEDFNGKIPAPAILKPRPIWTGKQVFNLIIPKQINLIRSSSWHSEEETGYATPGDTMVRIEKGELLSGTLCKKTLGTSTGSLIHVIWEDVGPDAACKFLGHTQWLVNYWLLQNSFSIGIGDTIADVATMEKINETISKAKNDVKVLIKQEHDKKLEAEPGGTIMESFENKVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFTDRTLPHFTKNDLGPESRGFVENSYLRGLTPQEFFFHATGGREGLIDTAVKTSENGYIQRRLVKAMEDIMVKYDNTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKDEFDNIYRFELDDENWRPNYMLPEHVDDLKTISEFRSVLEAELQKLEADRLQLGTEIATNGDNTWPMPVNLKRLIGNAQKTFKIDFRKPSQMHPMEIVEAVDKLQERLIVFIGEPATQMTLNTFHFAGVSAKNVTLGVLRLREIINVAKEIKTPSLSVYLKPQVNQTKELAKHVQCSLEYTTLRSVTHATEIWYDPDPLGTIIDEDAEFVRSYYEMPDEDIDLNKISLWLLRIELNRDMIVDKKLSMAGIADKIRREFGDDLRCIFSDDNADKLILRLRITNDDAGKREIQDESSGDDVFLKNIESNMLTEMTLQGIPDINKVFIKEMKVTKFDGIDGFVSDNEWTLDTEGVNLLAVMCHEDVDATRTRSNHLIEVIEVLGIEAARRTLLDELRTVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGGCELYLNDRMLQQAIELQLPSYGEGLDFGMTQACSPISGTPYHDGMMSPSYLLSPNIRTSPVTDASFSPYVGNMGFSPVPSSGGYNPSPIYGQENGYNQSPIYTTPSPIYTPVSPIYTPISPIYTPVSPIYTPAPQSYTSGTYSPTNPIYSPTSLSYNPASPSYNPLSPRYSPTSTSYSPTSPVYSPISPVYTPTLPSYSPTSPLYSPTSPSYSPTSTSYNPMATTYSPTPPSYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPSSNNTFPNYRY >Et_6A_046058.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21075947:21076105:1 gene:Et_6A_046058 transcript:Et_6A_046058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTPSPSPFDSCCDHAARKHDYGVTDSHATRPQSLVPGALFFFQLCKAY >Et_7B_055928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7581528:7582265:1 gene:Et_7B_055928 transcript:Et_7B_055928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKAGHEHVIGIPVSNKAFGIEEPEFPSKAAAYHGDATNPTAVRRVGKLGKAGDRVAQGLKEHVTLGPKLYETVKGKLSLGARILHAGGVEKVFRQWFAVEKGEKLLKASQCYLSTTAGPIAGMLFISTEKIAFRSDRSLALTSPKGDRVRVPYKVAIPLSRVKTAKPSENKHRPEQKYVQVVTDDGFEFWFMGFVRYQVSLQELEKAIARAQ >Et_9A_063358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21475726:21477265:1 gene:Et_9A_063358 transcript:Et_9A_063358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHRRRRRPHNRTTARVSRQPEPPSETEIPQMSRFRKLYGFEPHVSMLDRPRVGDQELPDEDKVEVLHMARCNEFTECDPEDDSYRFCTRFNVFNVAFFDLDEESKVGLGPPLSVLTPAARDSLDTSINVISLKITESDLDYPINVFGSVLARDEVDYKCVYLFRRERDDPQIITSPDDALTLTGPYRGLAVTGVMYFEINLKLRCDDTGDRALSKGVLQRNVGRHSKETINLQLSSWLSTVELKLHINIRDALEATVAVTVLKGPHDFSGKLTAWTTGNEDDQLILFDSEASGTVTAVSDDGSVALSRRVVSVAWDEELVLRISVGDEHNVQAIGHSAEKRFCRIGSYELKVEVNWTGNVASRRKRVFRGLGATLVLV >Et_3A_025841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33256232:33258250:-1 gene:Et_3A_025841 transcript:Et_3A_025841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTAVVAGIAAGAATSLVVAVLVAAWLVARRLRARRDRSSETGSSEAPPALAEWGRFGRTASAPEFHGARQFSLDELAQATKNFAEANLVGAGSFGLVYKGLLLDGTVVAVKRRDGAPRQDFADEVRRLSEIWHRNVVTLIGYCQEGGLQMLVFEYLPNGSVCRHLYDTGKESLTRLEFKQRLSIAIGAAKGLNHLHSLAPPLIHRDFKTSNALVDENFIAKVSDAGIDRLLRGFEDAGSSQSSNGSSAYTDPEAHSSAQLSESSDVYSFGVFLLELITGREAAGLIGHGPESKESLAHWMEARFASNELVDPRLGGSFTSEGMTELVGLAFQCLSPSARRRPKMRLVAAELDRILEKEMTLTTVMGDGTAIVTLGSQLFTS >Et_2A_016546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25967791:25976134:1 gene:Et_2A_016546 transcript:Et_2A_016546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGHHLTEVALLASASADLAAAGAGEREGWLDDPAVLISLGPRARALAVAGAARSVLVVVPVGGGAGVTVKLALGPDEGQISAVEWVPLGGEDGAEGQEGVAVAVGTDAGWLLFYSIAGDLLHKQSIYPAKILKLNFRERKEHAWEDSGSDELSVVFPGVIGRLDGADLQRMLQKSFQDVKSRLWKDKFEEEDADDEGSFGKIPFQIWNISKFGSCADAAVVGLMPPPLLELQSSQRHYCAITVGEDAVVSAYRLSEDRSRSLVGAILSKGVAATFSTISSLSKILWRSEPSPPKKSRPKPQSFAKTSPLTCLKDSPRKGERLTLSPSGTLAAITDSLGRILLLDTRALVAVRLWKGYRDASCLFVEMLLNKGKASSSMPMEHTKSDYCLCLAIHAPRKGIVEVWQMRTGSRLLTIPCPKGSRILQPSTRFSSSPFPPSYSPLEVRFLNTSS >Et_1B_011555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23602374:23632349:1 gene:Et_1B_011555 transcript:Et_1B_011555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDQVAFLLQKYLGNYVRGLNKEALKISVWRGDVELTNMQLKPEALNSLKLPVRVKAGFLGSVKLKVPWSRLGQEPVLVYLDRIFILAEPATQVEGCSEDAVQEAKISRVREMEIKLLERQQQLQSELSSSWLGSFISTIIGNIKLFIGNIHIRYEDGESNPGHPFAAGLVLSKLSAVTVDDYGKETFVTGGALDRVKKSVELEKLALYFDSDSIPWRIDKPWEDLLPAEWSQVFEFREQEGSRSASKKHTYILEPISGKAKYTKIQLTEAKKTGQALQNAAVDLDDVTLSLSKDGYRDILKMADNFSSFNQRLRFAHLRPSLPVKLDPRAWWKYAYKVVTREMKKARMLAHKFVAQSAETYQHDQQNKKQSWWSTGSSKDGEDSKSFTDEDWQRLNQIIGYKENNEYIPGQEDMKLMQFYFEIRMKHNATKLTVDDSVCLADLSCQDFCCNLKMYPEAKLFDLKLGSYKLLSPYGLLAESASVVDSLVGIFSYKPFDEQLDWSLTAKASPCYITYLKDSIDQIIAFFKSSPTISQTLALETAAAVQMTLDEVKRTAQQQMTRVLKDQSRFSLNMDIAAPKITVPTKFRPDDVHETKLLLDLGNLVLRTEEIWDSYTSEDQDLYLNFNVVLSDVSAFLVDGDYNWNETSNEINLLPVIDKCGIALKLQQVESPLYPSMRMAIRVPSLGFHFSPARYHRLMEIFKIFQDSASEDSSSNLEHLWDQADFEGWSSLLTWKGVGNREAAWQRRYLRLVGPFLYVFENPTSTTYKQWTSLRGKQVHKVPMELTSGVQNILAVHDSGQILEDTGALILLFDSDEGRKIWQSRLQGAMYRASGSAALSSFPEAALPSEAHSFKGSFSDVVDTEKLFVAGILDELKICFSCGYESNNKLKKVLLANESSLFEFRAVGGQVELSMKGGNLLIGTILRSLEIEDQYCYPGSPMPRFLARSFISSMQTQEDPSPGRKNTQIVIYDQHSPLYNNLDNRVVVTVATLTFFCHRPTVIAIMEFMNAINLPNVPDTDKDKDTRLNSVEDNTGEEFKSGLEPEPAIKRLLDKGKSRIVFHLTSSMAEAQILLMNENGDRLATLSQNNLSTDIKVFTSSFSIKAALGNLKISDDSLRSSHPYFWVCDMRNPGGRSFVEIDFTSYNVGDDDYCGYDYSLVGQLSEVRIVYLNRFVQEIISYFMGLVPKSSDGVVKLKDDVTNSEKWVSKTDIEGSPALKLDVSFRRPIIVMPRETNSADFLELDVLYITVQNEFQWIGGDKNEMNAVHLDILTVTVRDINLVIGMNMVRGETIIQDVEGLSFELRRSLRDIRHQLPVVEAAIKVEVLKAALSNREYEIISECALSNFSETPRNVPALDDPRYGASTTPSHESTSSESIQDLSQDAETWITNKFTVSVNLVELSLHSGSTRDSPLASVQASGAWLLYKSNTREESFLYATLKGFSVFDDREGTKDELRLAIGKSATVRDTSSIDGYDNLNELDSGERRIQKDLGLEPIPSMLILDAIFRKSVSSVSLCVQRPKFLVALDFLLAIVEFFVPSARSLLSNDEDKDLLHMISPVVLDDQIYYQEQSTFSLSPQKPLIVDNERFDNFVYDGKGGKLYLLDRGGKILSSPSSECFIHVLRGKRLQFRNVTIVNGEYLDSCICLGDDCGYSASENDHVYLVRENDGLPSTPSEETAENNVKNANADISTEFIMELQAIGPELTFYSTSRNADENLALSTKVIHARTDAFCRLVMKGGSMEMNGNILGLKMESNGIRVIEPFDMSVKYSNASGKTNMHLLVSEIYMNFSFSILRLILAVEEEISAFLRMSSEKMSLLCTQFDKVATMQGSENDQVFSFWRPRAPSGYAIFGDYLTPMNDPPTRGVLALNTNFVRVKRPLSYKLVWQSGPRTNGFHQDEEDSKNKLSNVDEFCSVWLPVAPVGYVAMGCVVSTGTAEPPLSSVFCLTASLVSSCNIRDCIALRANTNMIFWRIDNAFGSFLPGDPTSMTVHGNAYDLRHMLLNSEDSSPKTISRGQDISNDASRLERSTLNSGRLFEAVASFKLIWSNSGTSSPNKLSIWRPMLSEGMFYFGDIAVNGYEPPNSAVVLRGTGEDTFLREPEDAGTFLVRSGNRKPPRRLALKLAGPPTSSSSDSIIIDAEVKKFSAVSFDDYGGMMVPLFGVSVDSIGLSYHGGAHHMNATVSMSFAARSYNDKYSSWEPFIEPTDGFLRYQYDMNTPGSPSQLRITSTRDLNLNVSVSNTNMLSQAYASWNNISLGDELYKKETFSPSERPILDVHQRRSYYIVPQNKLGQDLYIRTAERSSSLVTVLPSGDDRSIKVPASRDLLDSHLNGKSVKFYRLMITVILADAEIKVDEGLATGEYLIAVRLFSEDRSISGVQQQSARTCAATGEHSSQNIRKVNWNEMFFFKVESEESYVLELLVLDAGRGRPVGIYSAPLKQVVQKLPPTSSSDGAKFDLTLGDLMSTKTMEHETVKPSGKIRFAVLASGRANVLEGNRANSSRSKTGYIQISPSKEGPWTNMKLNYAVPAACWRFGNCVIASEAAVKEGNRYVSIRSLVSIINTTNIIVDLRLKGRLAQGAQSDEQGENFDREDQILVGMLEPSSTVPVPLSGLSHPAVPYTLQLRPANHEHMNYSWSDVQERRNQTEFRKEEVLDICVSDLYESENLLFCSQINGTSSSCQGLWFCLSIESKEIGKDVRMNPIYDWSIIIKSPLCLSFYLPIAAHYTISSSHLDNEDSSCSRGTLNPGEAVKVHNVDPRNPLYLSIVPHGGWELMHEPVLISHPTEAPSKFINLRSSLSGRIVQVLLEQSNDNDYLMARVIRIYAPYWISFARLPPLTLRLVDISGRKDKRRFLARSHLERSEKLLHDIKHDELVEGYTIASGLNFKGLGVSSSFGRHGGQFGAVKELSPLGDMDGTVDLSAYDDDGKCMHILLCSKPSSYQAVPTKVIQVRPYTTFTNRIGQDLYIKLSPGDEPKVLHAYDWRVSFIYSEGETDKLQVRLADTDWCQPLEILREDTIVIAMRKHDNTQKFVKAEIRGYEEGSRFVIVFRLGPAYGPIRFENRTTSTTISTRQSGVGEDSWIQVEPLSTRKYSWDDPYGQKVIDVNIQRGDITNIVHVDLENPVGSSTNFREHGLNFSIVENIDIKILKFTDYLRKQEEVYGSAGSELIDRQASALERSETETGAGPLELIVELGVVGISLIDHKPRELLYLHLQKVFISYMTGYDSGTTSRFKLILGQLQLDNQLPLSTMPVVLATESRPDSNRPVFKANIAVSNVTSNGIQVYPHVYIRVTDETWRLNIHEPIIWALIDFYNNLRFVSASSSTTVTEVDPEIRIELVDISEVRLKISLETAPAQRPRGVLGIWSPVLSAVGNAFKIQVHLRKVMHRSRFMRKSSIVPAIVNRIKRDLIHNPLHLIFSVDFLGVTKSTLSSLSKGFAELSTDGQFLQLRSKQVWSRRITGVGDGLVQGTEAFAQGLAFGVSGVLRKPVESARQYGVIGIAPGLGRAFVGFIVQPLSGALDFFSLTVDGISASFMKCINILSNKSIPQRIRDPRAIHRDGIVREYDKVEAAGQMALYLAEASRYFACTDLFREPSKYAWSDYYEDHFILPNHRVALITNNRVILLQCSDLDKMDKKPSKILWDVPWEDVLALELAKAGYQRPSHVIIHLKNFRRSENFVRLIKCNVDEEREPQALSLCSSIRKMWRSHQAAMKVVPMKVPSAQRHVYFVSDDDRRESQSLSRPLLSSRRTSSNGEQGFTSHTVNFQKMWSSEPKIRTRCKLVAKQVADDGRVISIWRPLCPSGYVSVGDVAHVGTYPPNLTAVYKNVDGNFAMPIGYDLVWRNCAEDYRSPVSIWLPRPPEGYVALGCVAVPTFEEPPLDSAFCVNERFVEDAEFEEQIIWVSSDAYPWGCYVYQVQSTSLQFIALRLPKEQSGLRPKKISESYAQRALEAS >Et_4B_039841.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3834195:3835490:1 gene:Et_4B_039841 transcript:Et_4B_039841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTVLLLLLVAATVASAADLSVYHNVHPPSPSPLESILALARDDDARLLFLSSKAASSGLTSAPVASGQAPPSYVVRAGLGTPVQQLLLALDTSADATWAHCSPCSTCPATSLFVPASSSSYASLPCASAWCPLFQGAPCPSASSHDASSPLPACAFTKPFADASFQASLSSDTLRLGKDAIPNYTFGCVGSVTGPTSNLPKQGLLGLGRGPMALLSQTGSRYGGVFSYCLPSYRSYYFSGSLRLGAAGQPRSARYTPLLSSPHRPSLYYVNVTGLSVGRAWVRVPAGSFAFDPATGAGTVIDSGTVITRWTAPVYAALREEFRRQVAAPSGYTSLGAFDTCFNTDEVAAGGAPAVTVHMDGGVDLTLPMENTLIHSSAQPLACLAMAEAPQNVNAVVNVLANLQQQNVRVVFDVANARVGFAREACN >Et_9B_064071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10937188:10938929:-1 gene:Et_9B_064071 transcript:Et_9B_064071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRYASRPPSPTSSSTAGAHRITLVPSGGSAAVCASPRGRVGMSSLEMVGVPSARKEAGKALGSASVSRSAEGSVKGTELAAASSAMAVTGMSGVLVPSAPPLPEVEHLILELLACPPPVTKPRNTLCLICNHEFLPTDVPLILTVCSHVFHQPCIITWLRRTTSPCCPSCHASITIPSRDKTSFCSDRYDIESQMLVPATPGDEVAEAVGGSRGWLRSSLDRLSGSLMGCSSNRATAVVVPICSRRTTGSWSLSSSGRLGHDLHCVEEQLAPSVPLGEEVSEATGGSRRWLRSSLAALSGSWSGFSKCSDALVLPVYSKQITETMGSSGHSSTDSRSRRWDLEAATPKPERPSVFDNLR >Et_5B_043077.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:21441521:21443781:-1 gene:Et_5B_043077 transcript:Et_5B_043077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVRVSSHQSPVHKLGDSQMKLTPKFRLASTSALPSPMPSADLDQSSWETALIPGLPDDAALNCLLRLPVEAHEACRLVCRRWHHLLADKARFFMQRKLLGFRTPWLFTLAFHRCTGKIQWKVLDLNYLTWHTIPAMPCRDRACPRGFGCIAIPSDGTLLVCGGLVSDMDCPLHLVLKYDIFMNRWTVMTRMLAARSFFAGGVIDGRVYVAGGYSTNQFELNSAEVLDTDKGIWQPIASMGMNMASSDSAVINGRLYVTEGCAWPFFSSPRGQVYDPKINRWEAMPVGMREGWTGLSVVIDEHLFVISEYERMKVKIYDPETDSWDSVHGPPMPERIMKPFSVSCLDRKIVVVGRGLHVAIGHVEKQPGSNSDTRRSSYAIHWQDVDVPKEFSDLTPSSSQILHA >Et_3B_028549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16728605:16731433:1 gene:Et_3B_028549 transcript:Et_3B_028549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEGAPHGAALDMETLNYDGDDIEMADADSDGEETPAAAGGGGVGQVEKAGQEGKNKRKKKRNKGKKRNKGRQDAPTNIADINRFVLNTCKRLREKKSYLVWNAVGCLGVSAVSDLVREVEAIQKCGGQTVADGSRFRTGGGILWNILKSREPKAYKEIMDKGKELEKQFRYAKGRPQMSRNEDASSQGSALIDDEIEVQETNEALDDPEQLVDAEKAPASDSKVVRKPLADRIRVPVAYDDLFEEGEIHEGEPQS >Et_6A_047659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9207566:9214092:1 gene:Et_6A_047659 transcript:Et_6A_047659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGGMLASVIGGQIKLVIQQLGSVIGGQIKLQWDFSKDLEKMKMTLESVEAVLMDAEKRSIEEAAVRLWLGRLKNAMYDISDMIDEFQTSSRVPTRKHCTQKYRTLVSMIRMSNKMKQMREKLKEITDQHQSFSLTIDLSSNENKVTDIRETSSNIGETVIVGRTREKKEIVSLLSEEMSQKITILPIYGIGGIGKTTFAKLIFNGTEFKDYLTVWVYVSQTYDLRKIDKDLMKKVGKEIAMKCGGVALAAQCLGYMLKSMRSDEWKSLGERYITDLVGMCFLQQSEPPPVSGLQDQHVVLFTMHDLVHDLARSIIMDETLDESKKSKTVGCVYRFAVLTYSKKPELCMTSLARLKALRIMNSGQIGLHDVDFSSAKCLCVLDLSACAIQKLPDSIGKLKQLRYLNAPRIQNEMIPKSITRLGKLNYLKIQGSSTISKLPKSIGEMDCLVHLDLSGCSGIEELPKSFGELKRLVHLNFAKCSLVTGVSEFLGNFTELQYLNLSYCHKIGEAPRALGSLLQLQYLNLAYSSYVHGRSLTYVLGALTKLEYLNLSSANSDIKSALEALCNMTRLKHLYLRGCHEIEELPISLGKLKNLVQLDLSYCGRVNRILEVLSCLTKLQHLNLGYCSFTDGAKFNLKSIQEFMGNITELRYLNLSRCLETIFEYQICSFIECICSLSNLEYLNLSDNSNIRSLPESLCSLRKLHTLDLSSCIQLKSLPESICAMDNLRLIAVKGCNVHLKIPRLGKTSIVLPNFAVQSCDEKGSNLVQLQDVNISNELGINGLENVMYIQEAKAIKLMERQSITELRYEWTRDAKRFVEDMELLTELRPPRTLKCFVIGGYNSVSLPCWLMTISDYLIHLVEIEMMNMPKCKRLPPLGQLQNLKHLRIIGMDSILTIDESFCGGGRAFPQLEDIEIGRMECLEIWNTMYSYGENGVNEFMFPNLKLLMIYDCPRLRLKPHPPKAKNWTISNSDIVISSWEQGMSHTGVFSSFPLVAELSIMSCNLPLYQWKLLHHLPVLGSLTIMRCSNLSSSPEIIRDFSSLDSLSLNCSHLEVLPKWLGELKSLRQLSFGDYWDLEAPLKIFNKLTSLRSLHLCRCECMTTLPEWLGQLSSLQELSIWNWHQLNNLGGSIQHLASLVNLDISYCPKLNDFQESLQHLTSLLSLTLANCESILGLPECLGDLTALKGLEISKCVSIKSLPDSIHKLTSLVRLRIHCCPELEQWCELDENKKKLAHIERKVYPCHSIFMCPFIQSFLSSYKHSSHLYHTKMGSCRCASI >Et_1B_011763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25775941:25777906:-1 gene:Et_1B_011763 transcript:Et_1B_011763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRIWASSAANALKVSGTGARAAAPAYSISRYFATVLDGLKYTTTHEWVKHEGDVATVGITDHAQGHLGEVVFVELPENGAKVSAGESFGNVESVKATSDVNSPISGEVIEINSKLTETPGLINTGPYEDGWMIKVKPSDPAEVNSLLDAAKYTKHCEEEDAH >Et_10B_003780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:605802:608719:-1 gene:Et_10B_003780 transcript:Et_10B_003780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDATGQIICRNDHVTLNNTATTGDERGRALRSLPGRRVVVQRVGGGLTTEHARDVTVVDRSFLHPGMAVAPASDRGGQVGVVTAVATGLDLLLSVDDDGESSSAAAVVARRRRGASPAEVRRVRELCLGDYVVSGPWLGRVFELALDVDVLFDDGAAACRCRVAEAAGSDKLLSAVDKDCLNPNTNCCFYPGQRVVAAAGDDGGFSSVFKASRWLKGYWKPSYVQGTVSKVEIAGVLVHWVESSDSQHHQQQQLVRPSAHQLPDNLTFFCPGDEQCFWSVGDRCFFRDTPADCSSSSSSSTAASVPSRGLMRRRMRRQRRGVDGRRRRAEFARPMTVADTRTTVDVVWQDGTRQRGVPSASLIQSPAQHWHDFFFPGHRVFRRSGDSNAAGRGVGVVRSVNHNDQTVRVSWLDKLQVGDESSTDQTLSAFDLGRMSSDHDVFYGDIVLRRQKPADSAGGGSGEDAPSPTQKTANAPWVGQVVEFSDDLHRVRVKWVDGSTSTVLRDEIAVVRQPGVEDMLQEIGAWVRDDGDASSAAEMAQEDEDTNNAESSDDSDTESDGEDALHRRGRRRYLLPKGQSVSVGEATTADARGSSEPPQFDVVQSPSDHHYLDDKEQGTGGGRKWTKRVQKEWKMLKNDLPGGIYVRVFEGRMDLLRVAMTMLHLLRRPPAGFEDLVREHFRRRGRHVLRACEAYLQEGRLVGTPDARSCSSGCRLALANLVPRLLEAFSIIGAEGCEQFNRLGTPPPSS >Et_3A_027220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5017528:5020344:-1 gene:Et_3A_027220 transcript:Et_3A_027220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAADGSKNQLDSGRNASPEDTRKDETFAGDSGDASVFVASAAAPNPAAVGGEEGEVPAKDGDATGGAAVLPAVASEESANSTQESGFDKYYSWSGALEDEELQVQDAIAIASKRSNGSSGSNGNGGSPPVVPSEPAILPAPVAKIPLPSPEVKPPAEQQIPPVPEIKQADSGTPIREWRPLCDVTSNWRIDWCELDGDVRVLGPNGTVTLVASPGADNRTFREESWRIKPYPRKADPNAMRNIRALTVRSVSGGDAAAPACTERHEVPALVFSDRGYVGNYFHAYTDVILPLFLTARQYAGEVRLLVTDFQMWWLGKFMPVFKAISKYELVNLDSDPRVHCFRRVQVGLTSHDDFSIDPRRAPNGYSMVDFTKFMRTVYGLPRDAAYVVAAGKKGKPPRPRLLVIARVRTRRFVNTEEIVRGAEKVGFEVVVSEGVDEVASFAEIANSCDAMMGVHGAGLTNMVFLPTGGVVIQVLPLGGLEFVASYFRGPSRDMGLRYLEYRITPEESTLIDQYPRDHVIFTNPDGVKSKGWDSLKEAYLDKQDVRLDMKRFRPTLKKAIAHLRKANKANNGGN >Et_10A_000175.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:14609638:14610069:-1 gene:Et_10A_000175 transcript:Et_10A_000175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LELPVCERATEISVSSWSSFVLQLPATGSFNALIHLNLFYVRMDGRELGRLVSVQCPCLRKLSVVGPLLAACDVSISSESLKSIKYNCGYTRKLELTTPRLTKISVSVARETYVIAPKLEKISWNDTYDPSRQQFVGTGRHLR >Et_7A_052065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4197413:4198130:-1 gene:Et_7A_052065 transcript:Et_7A_052065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIVFIVLSFILFFSFLAVLSYVPGCLFILTFRNHRVVDKISGRIPHLPHVTSLTVRFRSYQKHSFGFGLDDILTQCSSLKHLCIQCYVIKPVNDSESYSCDHPNHWQSCTVPLTHLQDVELSGLTGTDCDLWFMQLLLASTTKLKKVNVSFDPEHMQENMRDDSELIPWIGGGVWTFCCDNCWSYKWKHISECDQPES >Et_8B_060585.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:2834514:2834924:-1 gene:Et_8B_060585 transcript:Et_8B_060585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSIASLVPGTALPPGGAVNVARRSPPSVRPWCHDRASRQQRLVAAAVKRRYKGTIRKEAALAELIELKVAEAMEACGEEKDEGCRVAWDEVEEVSQAKADLRRRIAEAPDDPLEPFCAHNPTADDCAVVYVDD >Et_1B_012890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3885764:3890466:-1 gene:Et_1B_012890 transcript:Et_1B_012890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMSKFVLPLMISVVLILLLAASGSPRRLEGDQWAGEAASGDNPIRQFLKHQYLQKLAHSSCGTYDKHNPPKVAVAMLLVLAATSGVATLAAARALQGSHYVAEHPATTGGAPSSHWTGMHRGLLSQEESKLPGPSCGTNDVNIGFCMTTSKFVWPLVLAVLMLLAASGSARRLEGGDKPLAGVAASSGGHLVIQYLKHLYLQQLAHPCPSGMTWDPNISACH >Et_7A_052353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7135865:7138241:1 gene:Et_7A_052353 transcript:Et_7A_052353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRDGSGAHSTEGRGYAADIDSIREAKARIEPYVHKTPVLSSTYIDAIAGKQLFFKCECFQKAGAFKIRGASNSIFALDDEQASKGVVTHSSGNHAAAVALAAKLRGIPAHIVIPRNAPACKVENVKRYGGQIIWSDVSIESRESVCKKVQEETGAVLIHPFNNKYTISGQGTVSLELLEQVPEVDTIIVPISGGGLISGVALAAKAINPSIRILAAEPKGADDSAQSKAAGKIITLPSTNTIADGLRAFLGDLTWPVVRDLVDDIIVVDDNAIVDAMKMCYEILKVAVEPSGAIGLAAVLSDEFKQSSAWHESSKIGIIVSGGNVDLDVLWQSLHKR >Et_9B_065500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5971686:5974282:1 gene:Et_9B_065500 transcript:Et_9B_065500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLTRLFTSTHIAMVSSSSSSPSRRLARLTRHLASSSPSSSGELTSVGAPAAAADAVPARPRPASSKAHAAVLVCLFEDPNGGPRVLLTKRASSLSTHSGEVALPGGKTDEGDADAKATALREAEEEIGLDPALVSVVAVLEPFLSKNGLNVVPVIGMISDKALFKPVLNKAEVEDIFDAPLEMFLKDDNRRTKEMNWMGVDIPVQFFDYQADGKNFVIWGLTAHILTRAAAVMFQRQPSFVELPRPSYASSPADGDNEPKS >Et_3B_028762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18954820:18960778:-1 gene:Et_3B_028762 transcript:Et_3B_028762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAESPSPSPSSSSGSDDFAALLDAELELASAADSAALGDAFAEEDLEEDEEEDEVEVEVEDFEQKGLRLIGRQDENWTGTINTLHSLSQGSTFIQNPRYGKLVHAKCRTKRRRLEEQHHDRVSSVTPNEDTAGSSKNVQVEICPHPGYFGGLCFRCGKPQAEEDVSGVAFGYIHKGLRLGTSEIDRLRGADLKNLLRGRKLVLILDLDHTLINSTRLQDISPAENELGIQTIASKDDPYKSIFTLESMHMLTKLRPFVNKFLKEASSMFEMYIYTMGDKAYAIEIAKLLDPGNIYFSSKVISNSDCTQRHQKGLDVVLGAETVAVILDDTEYVWQKHKENLILMERYHYFASSCRQFGHNVRSMSELMHDERESDGALATILDVLKRVHEIFFDSDVGTDLSSRDVRQVIKAVRKEVLQGCKLVFSRVFPQKSVPQDHFFWKMAERLGAVCCTDVDSTVTHVVALDPGTEKAHWAAANKKFLVHPRWIEAANFRWRRQPEEDFPESNKGKENTVADEKETGQDEDNALAGREEMAEENAVPGQEKAEENAVPGQEKAQETALDTITTGPTDS >Et_7B_053974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13947421:13950586:1 gene:Et_7B_053974 transcript:Et_7B_053974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRVRSDASPPRAYDHVRPIPSLRDVDPSRAPSRTTRDAPDTLRSQPYVGKSTISIDTVPRSNGIASPSAAPPTASVTTEAQITVNGLLNSLGLEKYAVLFQAEEVIRIDFFHVDMAALRQMGENDLKDMGVPMVAQRIQQIAVDR >Et_5A_042151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6831800:6834523:-1 gene:Et_5A_042151 transcript:Et_5A_042151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEFPNLGQHCSVGDCRQIDFLPFTCDRCEHVFCLQHRSYMSHQCPNANVKDVTVLVCPLCAKGVRLNPNEDPNITWDSHVNTDCDPSNYQKVTKKKKCPVPGCRETLTFSNTIRCKDCTKEHCLKHRFGPDHKCPGPRKMDSSFSFSNMLRKSQKAETRSNSSKNSSSWWSSSLLNAASNFKTSAEAGMQKLSTATSQALQKAKDGISPDSSSSSGDLVEQCIHCPARFSTVGALIEHVEKSHQTSSQSSRGRVTVDVCPKCHKAFRDPVLLVEHVERDHGGSSRA >Et_10B_004227.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19844837:19846906:1 gene:Et_10B_004227 transcript:Et_10B_004227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPCFRRLSSLLLVLLLVVMRESSSRAAVGGDGEFIYDGFSGNDLTMDGEASVADGLLRLTSGQAHMQGHAFYTYPLDFTNLAVPNGFSVPSFSTTFVFAIIGPYPDLSGHGLAFVLSSTKELFNALPSQFMGLLSTWSNGNSSNNLLAVELDTIRSTEFMDIDDNHVGVDVNSLVSVNSSTAGYYTSDGEFHPLKLISKIPMQVWVDYDNRTTVLNVTIAPYPLATKPSRPLLSVTYDLSTVLPTTKVYAGFSSATGTVNSKHYILGWSFKLDGEAAALDYSALSLKTIQVLAQQADRPYRQKTTLLCVVLVPVVTVSMIISTIFVKVCMKRRSQERKTEQEWEREYGPPSFTYRDLLTATDGFKDRLLLGKGGFGSVFRGVLPHSKQTVAIKRVSPESKQGMKEFMAEIIILGHLRHRNLVQLLGYCRHKQQLLLVYDYMPNKSLDCYLHGHQVVNTSSSSSSLCWAQRFRIIKGVASGLLYLHEEWEQVIIHRDIKSSNVLLDAEMNARLGDFGLARSHDHGADAHTTRMAGTWGYIAPELARLGKATEATDVFALGVLMMEVVCGRRPIWGNAADGEPVALADWVLAAWRAGSITDAIDPKLLLLVVEEEAELVLKLGLLCSHPVPSARPCMRLVMQYLQRDAPLPADLQPDNLLLSSYASTQEDDQNAISYPLTIITDISKGR >Et_9A_061661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1515986:1519531:-1 gene:Et_9A_061661 transcript:Et_9A_061661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLNVAEGAHEKPASAARSDSGTSDSSVLNAEASTPPPPAVLEFSILRSSASAEGDSDDDATPSPPRPLQLHQPPPPPQLVTRELFPAGAGPPRPVPPHWAELGFFRAEPPPPRTQQPDIRILPHPHAPPPAPPPVQPPAAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEDDMKQMKSLSKEEFVHVLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYEGELLTEVASEGTDVDLNLSISQPASQSPKRDKNSLGLQLHHGSFEGSELKRGKASIDAPSELAGRPHRYPLLTEHPPIWPAQSHPFFSNNEDATRDHNRRPEGGTGAVPSWAWKVTTAPPTLPLPLFSSSSSSAAASSGFSNTATTAALATPSATLRFDPSSLSSRHR >Et_5A_040681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10990065:10994673:-1 gene:Et_5A_040681 transcript:Et_5A_040681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRRTTGRGGGGGGGGAAGDGGAGDANVPPFMGNNRDHNPRELRSWARRTGFFSGESNASSFASVAAPPPPPAPPAASRRPPRAPPGRGPGRNTEDDEDDGLDPAPPIDLERSGRGPGGRPRRHIDLRGELEIPPGFGEAPEPDAARGGGGGRGDARRRNGAVEREAPANVDRNVNGAPADAEARKKAEEAEAKRKAAEEAEARRKKEEERDAELAAYYQEQWANEEDGPPEAAAAETAPLNGASGLRCGVTENPGWALLVFYGIQHYLSIAGSLVFVPLILVPNMGGSDEDTATVISTMLLVSGLTTVLHTFLGSRLPLVQGSSFVYLAPALVIANSEEFRNLSENKFKNIMRELQGAILVGSVFQMILGYSGLMSLFLRLINPVVVAPTIAAVGLAFFSYGFPQAGSCVEISMPLIVLVLLCSLYMRKVSLFGNRIFLVYAVPLSVALVWAYAFFLTAGGAYNFKGCSSNIPSSNILLDSCRRHLETMRRCRTDASTAWRTAAWVRIPYPFQWGPPTFHFKTSIIMIIVSLVASVDSLASYHAASLLVNLSPPTRGVVSRGIGLEGVTTFIAGLWGTGAGSTTLTENIHTLETTKMASRRALQLGAALLVIFSFFGKIGALLASIPVALVASILCFTWALIVALGLSTLRYTQAASSRNMIIVGFTLFISLSIPAYFQQYEPSSNFILPSYLLPYAAASTGPVRTGSSGLNYAVNALLSISVVVALLVALILDNTVPGSKQERGVYIWSDPTSLDMDPATLEPYRLPEKISCWFRWAKCVGI >Et_4A_032415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:170372:174448:1 gene:Et_4A_032415 transcript:Et_4A_032415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRVGPPWPFPASCRRHPRSHYSGRRLHANSGDSAAGQGNFLLPGATAAVLVMLGALHARRMYDDQKVVERKEKGIEPEFSPDIKASFFRLLPLRSMSRLWGSLMELELPVFMRPIIYKAWARAFHSDLNEVALPLEEYPSLQAFFIRSLKQGSRPIDPDPNCLVSPVDGKILRLGELRGPGTMIEQVKGFSYSVSSLLGTNSSLHDAGEEDPSRECIEQNIPENASAKSWWRISVATPKMWDQTQLSSKKGIFYCVIYLHPGDYHRVHSPVDWNILRRRHFSGHLFPQNERAARTIRNLYVENERVILEGRWKEGFAAIAAVGATNVGSIKLFIEPELRTNRPVSQVLHSQAPEERVYEPDGTGVMIRKGEEMAGFNMGSTVVVVFEAPVSKKTGSSSSDFSFCIRTGDRVKVGEAIGRWSK >Et_4B_037277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17636042:17648180:1 gene:Et_4B_037277 transcript:Et_4B_037277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAALLVLLLSAFAAATAQLDEKFYSQSCPSVEDVVRKEMVRALSLAPSLAGPLLRMHFHDCFVRGCDGSVLLDDPTGNKTAEKDATPNQTLRGFDFIERVKAAVEKACPDTVSCADVLALMARDAVWLSKGPFWAVPLGRRDGRVSIANETDQLPPPTANFTLLTQMFANKSLDTKDLVVLSAGHTIGTSHCFSFNDRLFNFTGMDNARDTDPTLDPLYMAKLRIKCPNLDDNMTLGCDGSVMLRSRKKTAERDSPPNHSMRGFDAIERIKARLETVCPLTVSCADIIAIAARDAVYLSHGPWYDAETGRRDGSVSVGEYAENDLAPPDSNIVDVKTFFSVKSLNSKDIAVLFGCHSIGSSHCGPIQKRLYSFTGNMDQDPSLNPDYAAQLKKLCPPPRPGDDDDDGGEGKTKVPLDPGSNNKFGLSYYRHVLATGGLFESDGSLLKDPVTRAYVEKMANASSPDEYHADFKEAMVNMGRTDVLYGDQGEIRATCGLRRLRTAAHLRHMGSADDVDAAGRADARGRSLGRGLALEADHPLGELLGQLPRHLLAARRRTIFGPRYRVHEFISVIIAAAAALAVSHGSRGGGHSRIHQQADCAERGRREAPRRG >Et_4B_039715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27600656:27602423:1 gene:Et_4B_039715 transcript:Et_4B_039715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPGWVVGVARGSAAAWQRVACNPEMLPPDHVLALLCCAPLHLLAHLAAFLCIPFIPHARAPLRLALPRRRNRELLALQRSGLLLRPFVYSDSSDSSSSSSDEDEVGIDIHEHIEAFLRI >Et_7B_053549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:53374:55252:-1 gene:Et_7B_053549 transcript:Et_7B_053549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSSASSSQPEFDYLFKLLLIGDSGVGKSSLLLRFTADSFEDLSPTIGVDFKVKMVNIAGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKETERAVTKKEGIEFAREYGCLFLECSAKTKVNVEQCFEELVLKILDTPSLLADASSGAKKNIFKQKAPEADAAASSCC >Et_4B_036742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11109788:11111594:1 gene:Et_4B_036742 transcript:Et_4B_036742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLKLQKRLAASVLKCGKGKVWLDPNEVSEISMANSRQNIRKLVKDGFIIRKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKVLWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNAFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPREPAAPAAAAPAQTAAAPKKAKK >Et_4A_035537.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28089811:28091175:1 gene:Et_4A_035537 transcript:Et_4A_035537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVWVDYDGATTVLDVTLAPLGAPKPKRPLLSRTVDLDAAVPAGDEAYVGFSSSTGVMACSHYVLGWSFRLDGAAPPLDAARLPDFPRPSNDTSSNSSRRRNKALAIALPVAAAAAVVAVAAAVLLFLRRRTEYAELREDWEAQFGAHRFAYKDLFRATDGFTDRNLVGKGGSGEVYRGVLPRCKTEVAVKRVSSTDGSNRGTKEFVAEVASMGRLRHRNLVPLLGYCRRKGELLLVYRYMPNGSLEKLLYDRGENTLILDWDQRFRIIKDVASGLLYLHEEWEQVIVHRDIKPSNVLLDDEMVGRLGDFGLARLYGQAGTNSYTTRVVGTTGYLAPELISTGKASPATDVFAFGAFVLEVVCGRCPIEQLGTEGETFSLVEHVLGHWHNGSLMEAVDTKLRDKYDDEEVCLALKVGLLCMHPLATARPSVRQVLQYLDGSAALPELVPMNVTM >Et_8A_056093.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19024624:19024902:-1 gene:Et_8A_056093 transcript:Et_8A_056093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWASLHIIWDLSMTRTEMVEDQKRHFTHGCYMEVIILAARTIWIHRNNIIFNGGSLFIPRWKQEFRDLFKLTVHRAKHNVGLDMESWLRSL >Et_7A_051525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:240825:242380:-1 gene:Et_7A_051525 transcript:Et_7A_051525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPIRRCLRPLPVSSSCSSNGKGTGSFGKRRNKTHTLCIRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYMRHVPKRFKSNFREGTEATPKKRAAAN >Et_6A_046124.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:26697431:26697718:1 gene:Et_6A_046124 transcript:Et_6A_046124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQTRNYLYGDAVIGLECLAILPSTAGGGSLLGNLIQAGTHMIYDDITGPNPMLLFESIQQASPPPSHSSKGSPPILFSVLLVSSVYLLYWVAF >Et_1A_006648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25660395:25661656:-1 gene:Et_1A_006648 transcript:Et_1A_006648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGIEEDGGKKAVRAGAGRDGLVGLSFYTFEVDLRSGTVLLSEKGQEALKTITDSTQWKVSANENLKQTAALLRTSLFGCASTDRVPILEVEDGLLKMMEKEGMDTWLVIFHHCSLVPLAHRTTFQTRLHIELVDVLSRINMNDYLWVLRQLDFPIGWHKSVNTNRYNRNSGAHGEEWAVQPGQVDPSTVPTGQVPSKALPRGVNVPKKSSITSGAPALRPDFNDEEIAEMIYSEMQMLLHSFQRAMHSKGYHGRARSYCRYE >Et_1B_010388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10029014:10031604:1 gene:Et_1B_010388 transcript:Et_1B_010388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEATPAGARPRLYSYWRSSCSHRARIALNLKGVDYEYKAVNLLKGEQSDPEFVKLNPMKFVPALVDGDAYLEDKYPDPPLLPQDLKKKALNHQIASIVGSGIQPLHNLTLLRFIEQKVGAGESLSWTQQQIERGFTAIENLIKDTAGKYATGDEVQLADIFLAPQIYAALNRTKIDMSNYPTLARLNAEYEAHPAFQAALPDRQPDAPSSA >Et_2A_018418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27334847:27337277:-1 gene:Et_2A_018418 transcript:Et_2A_018418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCDQNIHVLLLPFPVQGHINPLLQFGKRLASHAGVRCTLAATRFVVRSTKPTPSSVHVAVISDGCDAGGPAELGGIGGPYFERLESSGSATLDALLRREAELGRPVHVVVYDAFVPWAQGVARRRGAAAAAFLTQTCAVDLMYAHAWAGRIPPAPVRPEEVPELDGLPSQLELADLPTFLVDTNYPPCFRDLLTNQFMGLDTVEHVLVNSFYDLEPQEADYLASTWGAKTIGPTVPSAFLDKRLPHDVSYGIHLHTPLTEECKAWLDINPTGSVLYVSFGSMASLGPDQMAEIAEGLYNSGKPFLWVVRATEAHKLPENFADKAKSRGLIVPWCPQLEDIDAPNWERKQQDSNFRVPVHLVCSLLLDTLRRCPHVTFGRCLSSPMTSQASSMSVC >Et_9A_062334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2233729:2234318:1 gene:Et_9A_062334 transcript:Et_9A_062334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCKVPGRRMALGFGTGRGGRGGGWPESRRRVEVATEGEECYGGGGSVGDALMCGIFDPETMKAIVCREGLALASDLVLQKFRHVWFVSLLDGVCIIYNTDN >Et_4B_038921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:674568:676728:-1 gene:Et_4B_038921 transcript:Et_4B_038921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAASALSSPWRALIQRALDANAHLRHSTYFQLATVGAGGRPANRTVVFRGFQEHCDKIQINTDARSSKIGEIRNCPFGEICWYFTDSWEQFRISGSIDVIDSSNADPTKLQHRVNAWFASSVKSRLQYLGSQPGIPVLDDENTKDVQLDPSAGPVDAFCLLVLDPEKVEYLNLKNNQRLIFTRSLNEDGSNDWMAKKVTP >Et_1B_009648.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10708122:10708463:1 gene:Et_1B_009648 transcript:Et_1B_009648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYFLFDGHANLSSGLACGLPRLCLMHWAYLNFLSGPRALPWLAKPRATLLQSLGQ >Et_8B_059202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15173215:15174836:-1 gene:Et_8B_059202 transcript:Et_8B_059202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRAKALIKEKAFNPHPLSKPEVQGEAFFVSDGIIHMAVRPPGPWNLPLIGSLHHLVGSHPQVALRDLANKHGPVMFLRMGQLDTVVISSAEAAKEVLQEKDVIFASRPNLLSFDVFSYGHLDLVAAPYGQYWRMLRKLCMAELLSGKVVRQLAPVRNHETLSMVRKIQAASQRNEPVNLGQLLVTCSSAITAKAAFGQVCSTELLEQFLSAIEVLLKISGGFSYIDLFPSLEFLDVIVGARRRMWETRRELDAVFDKIIALCDAQRGDNLVSILLRIKEEGNTEFPVGLTNIRAIILDMFTGGTETTSSAAEWVMSELMRHPEVMAKAQAEVRHVFDNKRPEDHQGHLDELPYMRMVIKESMRLNPVVPLLVPHFCRETCDICGFEIKEGTRVIVNAFALARNPDYWPDAEKFRPERFEDGMIDYKTTQFEYLPFGAGRRRCPGDTFGLASLELIVARLLYYFDWSLPDGMQPNEFNMETSVGATMRRKDRLQLMASPYTEIPMQS >Et_4B_036462.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:25485993:25486088:-1 gene:Et_4B_036462 transcript:Et_4B_036462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMATKWHFACPCFPVLEVETSTTYKHKLNQ >Et_1B_012975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4488911:4493095:1 gene:Et_1B_012975 transcript:Et_1B_012975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AESNVDGIEISVSNDERRDRENVENSEDEPKHRRMRSLKKKALHASTKLTHSLKKRGKRKVDCRLPRISIEDVRDAEEEQAVISFREVLFARGLLPVRHDDYHMILRFLKARKFDFEKAAQMWADMLQWRKEFGTDTIFEDFEFHELEEVLKYYPHGYHGVDKEGRPVYIELLGKVEPNKLVQITTVERYIKYHVQEFERAFREKFPACTISAKRHIDTTTTILDVQGVGWKNFSKIARDLVRCMQKIDGDYYPETLHQMFIVNAGPGFKLIWSTVKGLLDPKTSSKIHVLGTKYQSRLLEAIDASQLPEYFGGSCTCANHGGCLRSSKGPWSDPLIMKIVHSMESLREVGQVSDIEETITGSLPERISDTSNAESGSDVDDLGSPIAPEDVEYPSLAPVREEAKESGSTTHSGSDGMSHMADRLIESNKRYSPAGNEARQHNTERSLTNGIVRAPGGRVMNDGAGDADDGILRHFSKKFVAVFLKFFIRRRRHLENVPPCTATVPSNQADLQITKEDRVNPCLERLDRLESMFNQLSRKPPELPQDKDRAIQDSFDRIKSIEFDLEKTKKVLHATVIKQMQMAETLEAAKDSDLRRRKFCT >Et_9A_061816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16867977:16872488:1 gene:Et_9A_061816 transcript:Et_9A_061816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEKKVVAGADKAETPTFAELDLCPELVAACDAMRDLIALAQTGSGKTAAFALPIMQALLEHRKPFFACVLSPTRDLAIQIAKQFQDLGSVIGVVCSVVGTPGRLLDHLRDTKGFSLNKIKYLVIDEADKLLNDEFETSLDDILKIIPKERKTFLFSATMTKKVKVCSKYSTVDTLKQEFYVVPAKHKDCYLVHVLDKMRGKMIMIFVRTCESKRLLALMLRNLGFNAISISGQMSQDKRLGALNRFKGKECKIVVCTDVASRGLDIQGVDLVINYDFPLNSKDYVHRVGRTARAGESGRAVSLVNQYEAPWFKLVEEQLGKVICPFVVDKEEIEILENHVANAKSIALRSLKESGGFKKRKRTG >Et_7B_054089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15178966:15185747:-1 gene:Et_7B_054089 transcript:Et_7B_054089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATTAPVKMQAVQYDAYGGGAAGLKHVEVQVPSAKKNELLLKLEAASINPADWKIQQGELRPLLPLKFPFIPVMDVAGVVVDVGPGVNGFQSGDQVVAMLKLQSGGGLAEYAVASESLTVKRPPEVSAANGAGLPVAAGTALQALKDIGAKFDGTGEPMNVLITAASGGVGLYAVQLAKLAGLHVTATCGARNMDLVRSLGADEVLDYKTPEGASLKSPSGKKYDGVVQCAGGISWSTFEPVLSSSGKVIDITPNYFSSILTTALHMVTFTRKRLVPLRLSLNKADLEFLVAMLKDGKQVEVPVPSPKKNELLLKLEATSINPVDWKVQKGMFRPLLPLRLPCIPATDVAGVVAAVGPGVDGFKPGDKVVAMLDILHGGGLAEYAVAPAKLTVKRPPGVSAADGAGLPTAARSALKSLRSLGAKFDGTATQKNVLITAASGGVGHYAVQLAKLAGFHVTASCGAHNADLVRSLGADEVLDYKTPEGASLKSPSGKKYDGVVHCTGVGIAWSAFRPVLAAKAGKVVDITANTSAMLRSVVQRATFARKRLVPLFLWPNDADLDLQLLVGLLEEGKLRTVVDSRFPLSDATKAWEKSIEGHPTGKIIVEMEG >Et_4B_036459.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:25274821:25275003:-1 gene:Et_4B_036459 transcript:Et_4B_036459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EGEGPICIFVFKFRTPSEAASPPDSALSTTTSRPSRSSSPRIASSGYTRLLSFLQHAALR >Et_9B_065008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20393800:20399095:-1 gene:Et_9B_065008 transcript:Et_9B_065008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPCSFVVLLLLLVVLAGVVTLAVADDTRYNAASTCQKSYPCGADVNIHYPFFLPDATTAIDGYAAALSYCGYPGMAVTCDDGRATLRLKDRDYTVLDINYDNHTVTVADADVLGAGGDCPRVTHNVTVPAETWLNLSSTANENLVFFFDCVFTPTIQPPRGVPPINCTGFPGRDGASSFVAAEPDVPPRDDLRRACKAVIAMPVLRSWLLNDEYFPRLNDDGYGKVLKQGFQLTWDPSAGPCYLCEDSGGQCSYNQSGQFLGCLCSDGHVRNLGCAMLPSEQMQHFLQLAPLFFIIFLSSLPPSKPQPNVYFRYSNCTPTPYQCGSLKFDISYPFSVNGVDRPDYCSFPGYRLSCTNGMLLINMNSAPFQITGVDYDNHLLTVVDQSLVEQTCLQPYHNTTIDDAMFVYTDRDLFLTVYINCSAKSSSLPLAYYDLFSCLSGGQSYYRLDNGSVAPDVLGSLGSCSSTLVLPYNSTMAGSLAVGNSSLEDAIKGGFAVRWKEGVGWCRDCKNSGGRCGHNISYPGDHTCFCPDGPSIGSCSSGTSIAAGLLFLLPVGVSYLYIRKRRQYKMTSSSRLLKHTASGGTPRSRWSSDMESGSVHDLQTHHFTYEELEEATEGFSAEREIGDGGFGTVYKGHLRDGRVVAVKRLYNNSYRRVEQFVNEAAILSRLRHPNLVLFYGCTSSLSRELLLVYEFVPNGTVADHLHGPRAAERALPWPLRLSVAVEAAAALAYLHAVEPPIVHRDVKTTNILLDASFRVKVADFGLSRLFPLDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDVTRDRDEINLAGMAINKIQRGQVEQLVDLELGYGSDEATRKTMTMVAELAFRCLQQNGETRPPIREVLDALRRIQEDGFGKKDALLIAPRSPDTVHAPWDSMSTTPSVSQ >Et_1A_009304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3850667:3854158:1 gene:Et_1A_009304 transcript:Et_1A_009304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVTSAASAAAAIVYLAHKGNARANWFAICQQFDSFCERISGSLIGSFVAMALIVIDFTKYIKEDESTRDIDTD >Et_4B_037803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23569035:23575129:1 gene:Et_4B_037803 transcript:Et_4B_037803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KGTDDFHRSHASFVFVTQQNANRGRQFALGHGVTTKTPPVSERRTALRVPNATSPQTHTEKQRKESRAGEKKEGEEQKLGADAARSAPHLRLLSPREEVSEAKPSPRRAMEPKPTPSAHGDAPAEAPRRRGGGGKRKSSGSSFTPSKRQAKERNASYHVPPHLLHSGPLTRAARQSPHKLASTPPESGTASASAAGDGAGGGQGEGDAIRPEGEDTPTEELPLVDEVFEAVRSRGAGVHVVPTFAGWFSWKEIHSVEKQILPSFFNGKSEKRTPELYLRIRNSIMMKFHGNPQLQLESKDLAELSTGEIDTRQEVFDFLDNWGLINFHPFPPAGQEESKLEENQNNPQDEEKVSPIEQLFKFEPVQSYMIPLPNKEDVEAPAPLPSLFPGPALVDDVVTAAEPSVDYHCNSCSVDCSRKRYHCRTQADFDLCCDCYNDGKFDTGMSKTDFILMDSSEVSGGASGTSWTDEETLLLLEGLEIFGGKWAEIAEHVATKTKVQCMLHFLQMQIEDRFREGEDNHQNIPGSTEQASTEKGTAQTPEKMEVEDKAEGKDNVDEKPSETTECNCEEAKPAEDTNAEENKDTKNSGGKDSVASPNTEVPKQSSDVHPSAVVDTSDESLSNIAIDILKSAFEAIGQSPEHEGSFADVGNPVMALAAFLSGLVEDDNATTSCRSSLKAISEVSPSLQLATRHCFILEDPPNDLKDTCDIVSNKNTDGDQTKDEDKIQTSTDTEKKNINEKEDSALSVDKKTNSTVSPNDHQESENKNTSSDDCPLVDSKTNNVQESGDPPSVVDKSASSDTKGSLNSMKDSVTPQNNVNECFLLASEEVVAGSNTVASNLKLGTDKPSAEVKVSDDGSLKVKGELDKTEAAVSTPATAEEQKQRQAFENGKMEELNNNESLVAIEEKGSIATADKSDAITRLKRAAATAISAAAVKAKFLAEHEEFQIRRLTALMIEKLFQKIEVKMSLFSEIEQVVLRTREYTEKTRKKLLMERNAIIAARMGALPSRPNQPGVAGNRLPPGYGNHVVRPPNAMPRPSS >Et_4B_040081.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9117438:9119453:1 gene:Et_4B_040081 transcript:Et_4B_040081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVAPQAMVLGLLLLAGLAAAQNRTAPTPVAPAPAPEPDCNGILLTYNFEGRTKIRPFVSDRNKQPYAFRANATVLNSGTRPLKSWAILVTYAFGEILVGVDGAVLTGGGELPYNTTEDAGNATSFSGYPQTDLLTPIATAGDLSKIQASVGIVGTLFAGPGASFVPLPTGLSLDDPSYQCPKATNRSASILSTCCVLTPEAEANATVIDANATDPTKNFLPRGTGDLVITYDVLQAYPSSYLALVTLENNAKLGRLDNWRLSWEWRRGEFIYNMKGAHTSEVDTSGCIYGAAGQYYKDLDFSQVLNCDKKPVILDLPLSRYNDTQIGKIDHCCRNGTILPKSMDETQSKSAFQMQVFKMPPDLNRTKLFPPANFKIAGASSLNPEYTCGQPMPVSPTAFPDPSGLDSTTLAVATWQVVCNITTTKGAKPKCCVTFSAFYNDSVIPCNTCACGCPANRRGPTCSTTAQSMLLPPEALLVPFDNRTQKALAWAELKHYNVPRPMPCGDFCGVSINWHISTDYNKGWSARVTLFNWEDVDMANWFTAIVMDKAYDGFEKAYSFNGTSVGNNTVFMQGLEGLNYLVKQTNMSGSDYLVPGKQQSVLSFTKKLTPGINVVAGDGFPTKVFFNGDECAMPQRIPMHNGGFRTHLSQVLALVLVLATSAFLLLQQ >Et_3B_029982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29650698:29651804:1 gene:Et_3B_029982 transcript:Et_3B_029982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETFHPIPSTDGIDEISSSIRGSRSPVAATDLMKEIMRTKLLRLRPAFLCATRTGTTTRKPEDAEQGAEVPTAKRAAMRALVKAYAMNPLLLAPILFFFISAVMVFALYKTSSISCFRCLNVCCTDSSTNGWYQDKTSSISCFSGLNVCCTDSPTNGWHQGVPDCPLEHLSIKTETQLAKGRSLSFGDQICSVV >Et_4A_033291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21144710:21150540:1 gene:Et_4A_033291 transcript:Et_4A_033291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINHQPGPRVIQLQSAHHLEMRPMTSPPLLSPSPYPLLRLLRRSRSPRRREPPLPPLRRRIPLSVLLTASMSSSAATTAPEFVVADPGALARKVIADFDGTLTRYWYDGARGQTSHGLLRQGNEEYDAKREALYQHYHPIEICPDIPLQEKAKLMEEWWEKTHGLLIEGGLTYEAIKKSVADAAIAFRDGVVDLFEFLEERDIPVLVFSAGLADIIEEVFRQKLHRSFENIKVVSNRMVFNEDGRLIAFKGKTIHVLNKNEHALDMAVPVQDNLGDPNGSIEDYSMVKKRTNVLLLGDHIGDLGMSDGLNYENRIAVGFLNANVEKSLKDYSEAFDIVYLARHCKPNEFFGQ >Et_6B_048244.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:16819048:16819430:-1 gene:Et_6B_048244 transcript:Et_6B_048244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLPWNNFLRSLLRFVVVRSDHNEGLGRICRLVFLFSRWQKEEEERHKKEEVSPLRLQECWLPFVGLLFSSLLPDVCPCTPSVCLCSLLYEASYRFGVDILDYTRLLMTRLYFCVLVT >Et_8A_058345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5458479:5462458:-1 gene:Et_8A_058345 transcript:Et_8A_058345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRPAAAAAASGSASDAEADAGRHRRRRHSPSPARSRSRSKTPPPEPRSNAAARSPTPASAGADYASDSDADGRVQSPRRRRERSLSPRSDSDAAAAAAAAAAAAAGRVPSPRRNRERSPRLYSDSDSDTDAEAAAAAARGGRVSSPRRSRSRSPRLHSDSDPDNSGASEDRGEGNVSPLPRARRSTRIETSNIKPVSSRLMDEPRRAAAATASSQRRSKRSHRSPALPSAEYHKRPPRVWSPEDEVTILGALIAFRGKKGRLPASIKDTAKLESQIRGQLTAKASTTQLSDKIRRLKHKYKLLAIRAKKGREPDLPTDHEREVYELSKKVWGSISIDGFNEVGALQTYENAGGEESNEEQEIEESDDGMESGWDDRDRTTTKKLKPLRIENGNKNAIAGNGNALATVGKSRTNQGDGAGKDDAVKGKQMYPYLWEAVEELSKDHPSGQIFRKAFGVLEKSKARAIEEKLRKFRMSEIRQQLRRMDLMKETVTMVLDALEAGRLYEIEMRLDHYFSAWPKRILCLSSNAESSFLLACNQKSRK >Et_5B_044341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22952377:22953119:-1 gene:Et_5B_044341 transcript:Et_5B_044341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDAENLSATELRLGLPGTSSSEDRLKKPSPSVGTKRARDDTTSEASGTGSATGDDQDTTTPAKAQVVGWPPVRAYRKNTFQAAAAKKAEQPGGLYVKVSMDGAPYLRKVDLRMYKGYRELREALDALFTKSFSADKDGDHQYAIAYEDKDGDLMLVGDVPWDMFISSCKKLRIMKGSEAR >Et_2A_015232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9735932:9736792:-1 gene:Et_2A_015232 transcript:Et_2A_015232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMALGQSMDKYRLHSRSEEDNKNLVSKRDVVKGVLLQQLVQAAVAAVVFTVRESSSRAEAIVADGERSSSSYLTVARQFAVAMVVLDGWQYGWHRYMHLNRFLYRHIHSWHNRYNHPVEGLFLDTIGGALSFVVSGMSPRASIFFFSLCTVKGVDNHCGLWLPGNVFHLCFWNNTAYHDVVRGNRHYRKTKICRVLQVLPCVFRRAHGEQRVYRRYGGKPRVKRRHTVNVTFAVSRPSSTR >Et_1B_012343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31045714:31050534:1 gene:Et_1B_012343 transcript:Et_1B_012343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHVFYRALGLSLVGGLSTSIGALFVILNPAPNLKMLGLLQGFAAGLMLSISFLDLAHNALNSIGFLKGNLWFFAGVLFFGFVVKFIPEPDFSPKTDPSEKQADDGGTGKDMLRKHRRQVLFSGIITAVGISLHNLPEGMAVFLGSVKGLRVGLNLAIAIALHNIPEGVAVALPLYFATKSKSRAFWTATLSGLAEPAGVIVVALFFPSSLDPEILEGLLGSVGGVMAFLTLHEMLPLAFDYTGQKQAVKAVFVGMACMSASLYFLEVSLPKDVSL >Et_3B_027630.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6621895:6623184:-1 gene:Et_3B_027630 transcript:Et_3B_027630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding STSRTLREEHGELEHGLDAVGLEHGAGGHDPRGPDDGDLGEALGPDELARAADDGEVRLGVGGAVHAEEADGVGEASFPEHGGDGDRLADALLGAGDVEALRAADGRRPQRRRLRQLVVDHRHRPEQELLQRRALREAAAGEHRDVAVVAIAVVVVGGGLDGVGGAAEAREEGDVVVVDGSRRAGVDVDAAVEGQDGAVRAGEGELPRHRGVVDDPGHDARRRVRPAQDVVHEEHHRVLAARRRLRDGAGADRSCRGAAERPCSAGRSSCRGAAGRPSSGRSATVRRGSGRSSCRSRDGVGGRRRRHRCNHGCSSAIVVAVVGSQLAGRPRGHGAGREEEQEEKGREAGCWFLEERHLGASRPSVSCLCTTSINCCLLGCDCDGLSRSMRSCLYIYSDEHGEGLIVEWLVASCCGVAHLLIGGRSTRMG >Et_4B_039886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5006252:5006784:-1 gene:Et_4B_039886 transcript:Et_4B_039886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANINRAAAVSSSSAPKPAPYRQGVANATVNDDPRHAIPRCALHPLTMKNLSISDGDVVLLKSKRRREKRCVAVSDEACPKYKLKISKAVRSDLRVGLSKSDVVFVYPCRDVDAKHGETDGGIARGLFKAIKEYRIICFFGRVFKKHSLACCVVVASA >Et_9B_066189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6093408:6107497:1 gene:Et_9B_066189 transcript:Et_9B_066189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPCDIFSCQVPPSCSIDEASLTDGFGYNQNGRSIPVVALKALISKKPELATECGATIQDVEEGRATSLVFVSERDDGLETLHVALRCNDRVTVLSLADIYGTDTFGGVRMEDSACFGSSLGHNADPSLIYVSTKKMVEMRRPPWTVVYRTNLRTGKTKQLTPDGELTISWGKNAGLYDLSPAVSPSGTRVAVATFRSGKWTGEVEHLKTDIVVMNVDRYAHGGGLDRGEPIIEDGGWPSCGSDNVIFFHRGIDKESPYGKVVATTWGVFRYDLTTKTTERVTPEEFDAMTPAAISETKVAVATIRKRTNENRGQQDNGNAYLPRISSNMQSPLSNVGLVRMPGVSHSISTDGSNLAFIDNEFKAVWVADKKGLRIVWERRMPNSVFSVAWNQNPDKDILYVSVGPSFSSHLPVQIYAIFFTQKLVIMRLTDGDFNNAFPSSNPEGTKIVFRSNRDHGGNFNKNLYIMEDASAGDFEKVTRLTKGDWIDTQCQWSPKGDWIVFSSTRDKPFDAPLIHEHGYFSIYLVNVADPSVVVRVVTGADPDLDASNLPGHVNHPVFSPDGRSIAFTSDLAAVSAEPIFMPMFLFPKRPYGDIFSVDIDPDDICRNMDIDKINRVTHSRYEYYTHAWSLFVPEDPNKQWNTLDKIKRVLV >Et_5A_042223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7763447:7770889:-1 gene:Et_5A_042223 transcript:Et_5A_042223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRILSRRPDRHRPAAPPETEGRVRCRRRRSVDRLSDLHDELLHNILIRLPSTFDAAHTSVLSRRCRRVWTHAPALSFTVEQQPSYATIVGGIDAAMDAHATPALSRLAISAVSTGDPIARVPRWLRFASWRLAGELSLRFMAPWLQPITLNPCPPPTGIELLVCDHAASIDLHLTNNQHLRFPCAATGDQFTALRVLTIHCFDHHRGELERVMSTQCPRLLDLSLSFSCLDGILSVRSGSVERLVLGGGGTGLISVDAPGEKNIADNFLIFPKIGFISGVRYDKYIGQNFKIFEFCANFNKNHGCTGRTLLPPDLVKVIWDDTYSQRDRIVTSQHCLRLGASGVLGWFDAVHELQLHMTSRLWEGSNTNDLWRHALKTTLLHLLSKCGGVRKHVVDYLGYNQPDYPCLSPGCSCQWLERTGKVELDALEKIEVHGPGKADDKVELVRLLCNTNVTYKKRMTNTVLEDRQIEYIRKEILSMYIPNDNLEVIVNDTLLPYSRPPGTDRISNLPDELLHVILGRLRSAEAAARTSILSRLWRRVWTHLPALSFFPDFGRPITPAQAANRVDAALHAHAPETTLNLLDISIAGVTATDRVVPWLSFASQRLAGSLRLSLFPR >Et_8B_060855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9308266:9308892:-1 gene:Et_8B_060855 transcript:Et_8B_060855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCDEVPVFHYRLIDYVGNSFLIGAGGGSVFHLISGLRNPLDGDRVAGAILAVWTNVPRVAGRYGAFGALLCCFQTAVARARRRDEDHWATIVGAAAASALFVARRGAAVAACCALVGATYATGFAAISVCLDRYTAGKRQRNRPLPAQVAVNTAASSTGM >Et_3A_023072.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:23991614:23991754:-1 gene:Et_3A_023072 transcript:Et_3A_023072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRRRMRTDACVQTSFMNVYTYLSASVHSTTCGEVNVSQINSFFFCED >Et_5B_043486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11059071:11063478:-1 gene:Et_5B_043486 transcript:Et_5B_043486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEGSEASVASSPPPPPPSATSSSSTTSSWWRDMQPAAYGAWPPPPATAVATRWPPLTQRTSSSGADDDLSASNATMTSFTNTSGGNHSGISMESSGWVEPSIIDNNAKPANYVVEKQLRCVRGTGGEVGRSMQQAVHDAHDDSENFLELLNSRTLAPELFAEPPACDYLKKMEYGGSGHGGAGGWPDHHHHFTAAAALEKHLSSGGGYGAGALAAAPERLTANLSDLVSNWSIAPPSPSCLGDRADGRAPCDSNAMGHGGGGAKAGGGFFLDSAGVKHEMSGHHGAMLQHEASAAAGQEFLRPVGYSSMLGLGGHRMYGAMDVPWGNNAGAARSLTDLISFGGAPLGKPEPAAKTTPAEYKKQGQEISSPAKTISGGGSRGSSAASEGKKKRSDEQQGSEGNAKKSKSDSSSPTSSLKSSSQLPKVKLGDKITALQQIVSPFGKTDTASVLYEAINYIKWLHEQVQLLSDPYMKTSSSKDYNAWGGLDRKEKTEAEVDLRSRGLCLSHAHRRLTGITTAQIIGRPHIEAAYTDE >Et_4B_037884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24401155:24423293:1 gene:Et_4B_037884 transcript:Et_4B_037884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPHPPHPPAACSAGWVFSLPAAAGAGAGGGVSSDMARGVGVTPVLPDGSNTAGLQCNHQWARDANYLERRRGVCVPCSWGWARHVTTGRLRSSPVNDLFRPIISFRPSLSIHLGLDHESVKGPDGRPKPLETPGRRLLSPSRSRRSTESAMVAAEEAVAPLDPAGGEALADAEAEAVEDAVVETAAEEAAAGYLWPQLRFDHPPRRLYHFARQFRSTAPTGGGGENFLKGVKWSPDGSSFLTNSDDNSLRLFYLPEDAYGAGEHIPEAAVGGEDSYGAFLQVNEGEPVYDFCWYPSMSLNDPSTCVFASTSRDHPIHLWDATTGELRCTYRAYDAMDEITAALSISFNPAGSKLFAGYNKAIRVFDVHRPGRDFDQYSLHKGGEGPTGIISSISFSPHNGMLAVGSYSQTTAVYAESNMEPLYVLHGQLGGVTQVLFSKDGNYLYTGGRKDPYILCWDIRNTVDIVYKLYRSADTTNQRIYFDIEPYGKHLATGGQDGMVHVYDLQGGQWVTAFQAAADTINGFSFHPYLPFAVTSSGHRRFSLQDESEEELNLAGASYAVRRAAVSAYGTLCAVLCSHEAPGGLPDGFVAWALPLLGDPSSAPLVAEGLRELLATGDGPAVERFVPPLLAACRDVLEDERTSLPVLRCLLGLLMLIAAKFPHCFRPQFVDIMDLLLGWAFVPDLADVDRSMIMDSFSKFQWHWLGNLQFALGLLPKFLADMEVLLHDPNLAASHNSGRLRPLFACFSTVLQIMASGVAERNNLRELVAGPLEGLAPQLLRCAAVIASKLGWSERMEEASRCLVLLAEILQERFAEFYVMFVDVLAQSLELASPAQLVAALKTNMQVLSLQNLGLRASSVGTLLDFSSVLSRLRLHPNHTVVANTVATYLFCLQHGSEDVVDQAIASLMRELEMIKSLLEEGQLICPDIQSLSLECKSESQSKLNSHAHSWPRYSEEQLFSLVKFDLNILLATISLDTKKQNERATRLMSFFAETLDPFGTPFCNFLEMQFQIFSMLHKLSNLELSSSIPTYEASERGSGGNKTQLLVAENKLSGECKKKFVRKYGTYIIQGLNASSMSLKLEALDWIDSFEKLVRGIERDVDKVCSYEVFGDATLSNAILFSILDCAYDGEPKVRCHVALSLELLLLCRLINPMNFSVVTQVLLDKLSDPDCTVKNAFLRLFSIALPITTYAFGLLADKHSYQNSTDVVNMNNHCTSWRHVPAVKQQPRKLHWQQLVSILSYLSLRLKLPLSPWVQRLVFSYRGKKDTPSGQTDISGDSYGNELSKGPSLDRTTIDKMYSVNNLAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVTNVLTPEAKEGEVRHIGPADVCLLPMRLLLDFVEALKKYAYNAYEGSFVLATPPKASSVFFRANKRVCEEWFSRICDPMLNAGLAMHCSDAVVHYCSLRLLDLRNLQLTRVVSDSSKHFSWMSGLIFQSQGQYEKAAAHYSHLLQSEEVLSSLKSDGIQYIIERVIECYTSLSDWKCLESWLAELQVLRAVHAGKPYSGALTSAGNELNAIHAMACFDEGDFHSAWGYLDLTPKSSSELSLDPKVALERSEVMLLRAMLQSDSKSDRVREELDKAKLMLGEALSVVPLNGLTEAAACAGQLHCIFAFEEASGLSVRNEPNQSQGVMDSLLKVLHDPIDSIHQDCSMWLKVFKVYRHTQPSSLSTLHLCQKLASLARKQCNFMLASRLNQYLLSHLLNLSDDMNKELLELNIKYEGALLKHQEGNNEEALSDLWSLVCPSVLSTISGSSGIGTSLSLIANACLKLSTWMEQENSTHILNTIIPKVIKDLGDFDGFRNGAETFLAGDSVSVSTSNCYALSQEIIGTARKISCELCPNMGKAWLAYASWCFTHANNSVSGTDLNLQSSISPILQSELSPDRYHLTDNEKSEVEEIIRSIYAESSANHVGCDYPLTKGFYSSAQEDPITSFIEQAICLIETAAGAPGSEAREGEDPSAVLKSDLTVLLCKYDSAKGIAVPLIDKLIGFWWSLRQRRVSLFGHAAHAYFQYLSYSSTELQPSYHRDALKGKTRSYTLRALLYLLHIMLNYSVELSETLERGLSTVPLLPWQDIIPQLFARLSSHPEKIARKLLESILLKLGKLSPCSIVYPTLVDINACEGEPSEELQHILDFLVKLYPNLVNDVKLAIEELGMITVLWEEQWLSTLQDLHSDVLRRINVLKEEAARVATNSSLSAAEKHKINGAKYSAMMTPIIVALERRLASTSREPKTSHEMWFHKEYNAQLKSAITALKTPPGSPAALGEIWRPFDSIAASLATHQRKSCILLSEIAPQLAVLSTSDIPMPGFEKQIHSSEYSFAGNHGTVTVSSFCKEVTILSTKTRPKKLVLQGSDGQRYTYLLKGREDLRLDSRIMQLLEAINSLLYSSSDTRSRNIAVRFYSVTPVSGRAGLIQWVENVSSIYNVYKSWQKRSQLARAQQEGQLSSIGAGNAHNPVPPVPRPSDMFYGKIIPALKEKGIKRVVSRRDWPLDVKRKVLLDLMKETPKQILWQEMWCASEGFRNFNSKILKQCSSHEHVFIWDPLIEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHQDLFITNLPATLSGLKKFSHALDQYEVASAMFYTVEKERSSALQNETSAKSVLADATSVAEKSRTSFERHAHELAESKAVAIDETNKLVVWVEKHGRVLEVIRDNSITRAESCMHLNCKDEALSLISAVVLSGVPLTVVPEPTRAQCYELDMEVSQLISELHGGLSSALDSLSEYALVLQQVLPVNYITTSPITSWAQVLQLCVSSTSQDMLTLAKRQAAEVIAKVQGESINLVQQRYRDLLNQMESYVACMERLARECSELMNSIGSDNEVQSKERILSVIRNSVQLPSQMKDGDNIHLLHSGSVGQGETKGKDDIQGKVFSIFWIAVGQLYSDIRAKVLDPSTNAIGRSKLRTDDAGLQADAGLGLQLFDQQIEKFTLVSGVVDEVHGVIGNKIAEGSVGYAKRQPRHWASTFQAALRSSINIIEQMTEVFLPEFIRSFVSCNSEVMEAIGSISQIRGSVDTAVEKLIEVELDRASLTELEQSYFVKVGRITEQQIALEEAAARGREHLSWEEAEELASQEEVCRAQLEQLQETWNQKDLRISSLMKVETSVMNSLRSSKQYLSTLLDADQESEFHLRRSMLLSCLAKPFTDLESIDNMLSLRGSFLDSVLDLCMCEISSSVEHSFTTNQLYSSLKKKLAIHVEKQVFQYMAERITPVLVQTLDEEINDMLHLNQGRESDQPKRDSAAVGRVTLLLEEYCNAHETARAARTAVSVMKRQLNELTEALRKIILEVVHTSISAEGQLERAMGWACGGSNTSGASGSTAQGSGIPPEFHDHLSKRKKLLRLVQEEASNLVKFCSSVLEFEASRDGLYFNSDDKASEQYTDKGRAWQQTFLNLLTRLDAAYHSFTCAEQEWKLGQLNLEAAGNGLFSANNQVSIISGQTKTALVNLQDTLVAMYESACEVSTLLSGFKHVSQDRTALTSECGSLLEEVLAIADGLHDVYILGKEASAANMILFPLEASLSADVTVMSEAISKEREKNTATMPLIHGKALYQTYSIKVREACKLLEPLVGPFTEDVKELHSMVTKLGRLSSIHAGNLHKALEVHEEAETVKSQDVPSTHPDLLQSDSSSEKDRSSSGSREGGSQDLVMKVDVSLQDGCWISPPEHSYTSSSGCNTELTQISSSENSEKIDAVMDDGAAIEGPQTNSQEEKDSCSNEALIDDVASIQDVETHVVEGKAEFEDNSMVFKQVRGQECDNSDPKSYSDSLTRVNRGKNPFALSILKQVEHKLHGWDIDSTRSLNISEQVDHLIKQSTSIDNLCN >Et_5A_040833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13113869:13114948:-1 gene:Et_5A_040833 transcript:Et_5A_040833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTLEFGGGLELLLENSVKVHKVEVEPKDGDAKVVTMKGLLSWVKSNLIKERPEMFVKGDSVRPGVLVLINDCDWELCGGLDAELEEKDVVVFISTLHG >Et_6A_046781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20457393:20462786:-1 gene:Et_6A_046781 transcript:Et_6A_046781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEDRISGLPDEVLHCILVRLGSARAAARTSMLSRRWRPVWTRLPELHLDGDEAPPLEPSFLDAVDAALAAYSAPTLELLEITIPDTSGLFVPAHCLVPWLRFASQRTVDALILRVPARTRSFFMPELDAEEAPEIDLPPCGAAKTIALSLGGPWRIRLTGRVLCTILVGCSELTAFVCTQCPLQRELILCIALVATSDVSICSDSLHTLHLLVRNTRRLEIVAPKLEVMTMSISTEVHVSALKLAEIALIWWADETYDPHRHHFDNVGQRLRLLDISRESTAVFLMHHFVEVTELKVRISIANGIGGYERFLNETNKLPKCETLRIILLWNHHGLVPSIMHLLRHCSSTRKLSVELSNSCDPLLIDDIALNSLEVVEISSWARSPEELEFVEQLSRCKAAVLKKLVIKDTKSRTTLTKSVCEMVRSVCRPDLKIKFYVLSGETLVRFD >Et_3B_028003.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:30913186:30913638:-1 gene:Et_3B_028003 transcript:Et_3B_028003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVATTTSGKAGARKSLISRTLNRCRSRRGGGAASPVAGCFSVYVGPERERFVVRADRSSHPLFRRLLDDAEREYGYAAQGPLALPGCDVDAFLDVLWQMERDGIDDDGEIPEATASPICGLRSGSKGRAAGYRMLSPRSSPVAGWRRS >Et_8B_060542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20389691:20393626:1 gene:Et_8B_060542 transcript:Et_8B_060542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCDPLAVTVATLGVDLALFITAHEGKARVLRLVNLRLRHRALINLYIVLLNADHLGYSEGCKLADVDGRDYVGGAVERLPGDDDVDGGRGVVGVPEDVAVAVGARRHERQARDLAAVPADPAASAVHHGEPERGRVSQDGPEHPEVVEVGEPGPREVVVEAAGEHGPQVGVEGPHEVVHLRRALARGALGQHAGNAAHGADAGDDELARAGAVEARELGDVLPDQEVGELLRVVAPPRVVVGHQVVVQVVVAAHPHEQDGPCETARRRRRAHRAGDLRHRRLEVADLLVERDPPHLRRFVCQSRAEEQRNRGCGNGWLLTKLPPLSSRMPAAAEA >Et_3B_027910.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26218815:26218994:-1 gene:Et_3B_027910 transcript:Et_3B_027910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDNLRVFLVLVIVQVCLLVAMAASAVQGSRVRPPVIPACCLRHPDCCRLGAEAVAVP >Et_3A_026482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8634288:8640164:1 gene:Et_3A_026482 transcript:Et_3A_026482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACRAVLHWPWMWQADQRCEMRHGWVGWFIRGNRVILPSPCFYCGNPGMLTFLDGGSTTEVHSLFYAVEFKICLMKSIAFGKNPGQFKVHSGGLAWKRQGGGKTIEIDKADVTSVIWMKVPRAYQLGVRIKDGLFYRFIGFREQDVSNLSSFIQKNMGISPDEKQLSVSGHNWGGIDIDGSMLSFMVGSKQAFEVSLADVAQTQMQGKTDVLLEFHVDDTTGASEKDSLMDMSFHVPTSNTQFVGDENRPPAHILWETILKFADVGSSEEPVVTFEGIAILTPRGRYNVELHQSFLRLQGQANDFKIQYSSIVRLFLLPKSNNPHTFVVITLDPPIRKGQTLYPHIVIQFETEAVVERDLALTREVLAEKYKDRLEESYKGLIHEVFTKVLRGLSGAKVTRPGSFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEFVEFERHGAGGANMSSHYFDLLVKLKSDQEHLFRNIQRNEYHNLFNFINGKNMKIMNLGDGQDTTGGVTDVLRDTDDDAVDPHLERIKNQAGDEESDEEDEDFVADKDDSGSPTDDSGDEESDASDSGGEKEKSSKKEASSSKPPQKRKPKGKDEEGSEKKKARKKKDPNAPKRAMTPFMYFSMAERANMKSSNPDLATTEIAKKLGEMWQKMSSDDKQPYVQQAQVDKKRYEKETAVYRGAAPVDVDSGNESD >Et_1B_012737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34842237:34847613:1 gene:Et_1B_012737 transcript:Et_1B_012737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGVAPALTLSSGHKMPAVGLGVWRMEKPAIRNLIHSALRIGYRHLDCAADYQNEAEVGDALAEAFESGLVKREDLFITTKLWNSDHGHVVEACKDSLKKLRLDYLDLYLVHFPVATRHTGVGTTSSALGDDGVLDIDTTISLETTWHAMEDLVSMGLVRSIGISNYDIFLTRDCLAYAKIKPAVNQIETHPYFQRDSLVKFCQKHGICVTAHTPLGGSTANTEWFGSVSCLDDPVIKGLAEKYNKTPAQLVLRWGLQRNTVVIPKTSKEERLRENFEVCDFEISGEDMEKIKAIDRNYRTNQPAKFWGIDLMAAKDVVPAVTLSSGHRMPAVGLGVWRMEKTAVRGVINAAIRRGYRHFDCAAKYQNEAEVGDALEEAFQIGLVKREDLFITTKLWNSDHGHVVEACKDSLRKLRLDYLDLYLIHFPVATRHTEVGATASVIGDDGVLDIDTSISLETTWRAMEKLVSMGLVRSIGMSNYSIFLVRDCLAYAKIKPAVNQIEMHPYFQRDSLVKFCQKHGICVTAHTPLGGSTANAKQFGSVPCLDDPVIKDLAEKYGKTPAQLLLRWNFQRNAVVIPKTSKVERLRENLEIFDFDISDDDMEEIKAIDRNHRTNQPGKFWGIDIYD >Et_4B_037736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2344943:2346808:1 gene:Et_4B_037736 transcript:Et_4B_037736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEPSKSSQALLLSGAQGRIMDLKQQARRGRLGGQGRDWSELQQDLLIRVFSRLDLPDLVYAGAVCTSWYQSYSAVRRFRLCSPDQSPYLVYSSADQDSNTATLHNVCTNKIYQASLPDPPFRSRYIVGSSQGWLVTADELSNLHLLNPISGAQIALPLPQSIKDVTPSFTEDGVLAGYYIMAINNQRAAPEFYPAKEARHYLYDKVVLSSDPSSGECTNISQTSYVLRAPWGDLLMILSTNEARFLYTTDEESGPSSDDEENYVHKVNLAKKKVTQIKNLRDHALFLGFNHAFMLNAYNHANLSPNCVYFSDDDRDHIYCYPFAERHLARLNLEDASLTDLSFSDSLLNWPPPAVQNKYSYIPRLVHAVQAGAEARPNRPSYVSRLFLAALH >Et_7A_052697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1302274:1305656:1 gene:Et_7A_052697 transcript:Et_7A_052697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRLSFHTLEPALAAAAEALFCSRSPPRAVLRRARALHALLVVSSLPSAPTPATFLVNQLLALYCRHSAVPDAVALLRSTPHPSVVSYNTILTALSRAPRHAPHAFQLFRDLHASGLRPTAPSLCAVLRAAGALHHGRAGAAVHSQAVALGFLASDIVPTALLQMYSACGSPSDANQVFDEMTTRDVVAWNCVMHCDVRYGYLDRALWKFCGMVRIGLAPTESTLSSVLSGCAHTGDSCHGRALHGWVVKSDELDPDLPLQNALLDMYCCCGDIESALRVFERIQKPDLVSWNTVIAGFSGVGDGWNAMDAFVKLKALPPGEQLAPDEYTFAAVVSAVATLPAVCSGRPLHAQVIKAGWESSIFVGNTLINMYFTNEEPVSAQILFDMLTVKDVIMWTEMVAGHAALGEGELALNYFSSMLEEGHKVDSFSLSSALNSTADLAGLKQGEMLHAQVVKSGYDGNVCVSGSLVDMYAKNGALGGAYSVFCTIKKPDLKCWNSMIGGYGNHGDSEMAFKLFSDMICGGLKPDHALEQDPDDISTHVLLSNLYASVGKWDNVAAIRKRIRGLMTEKEPGLSWIEIKKMLDQLQHLELWLVPSSKDSVPFEVTIHLLILEFLAGRAIC >Et_3A_024353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:221138:226216:1 gene:Et_3A_024353 transcript:Et_3A_024353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HAKTSLYHVLSKVVYKLNSPLSMAGDMGLMVNAEWSLSEIAMMKSLIVNHNNIINDGDSNAMNNKQRDILDVLQAQFPLKEKHQGINLVNDNFGILVEDLAMDNMKVMHDSSLTIGDMKARTVAQKAPRSERHKERFWSTDEHKLFLHGLCVYGRGDWKNISRHIVKTRTPRQVSSHAQKYFRRLEGTTARKRYSINDVGLYDAEPWMMHNSLGGEALTFNSGGSNSNDYFTHGQAPTPPVMNTLAQVWSPIQLTNRCNGGQTSTSQAAWPGDQHMGYSVVAPAVEAIPSNFVLKGAHLGAFAAQQQMNVYY >Et_1A_007268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32951890:32957987:1 gene:Et_1A_007268 transcript:Et_1A_007268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKLNNSAYKRVPSREAAMDYDLETPVKANDGGGSGPSWRRSLLHVCVATLTSFLFGYHSGVVNEPLESISTDLGFSGNTLAEGLVVSICLGGAFIGCLFSGSVADGIGRRRGFQLSAVPMILGAAISALTNSLEGMLFGRFLVGTGMGLGPPIASLYITEVSPPAVRGTYGSFVQIATCLGIIVSLLIGTPVKAIDRWWRVCFWVAAIPATLLALLMEFCAESPQWLYKCGRTSEAEMQFEKLLGPLHVKSAMAELSRSERGDDGESVKYSELFHGRHFNGSIVAMLLMDKLGRKVLLSGSFLAMIVNFFVSLLFLRLLEQLGPQLLYTIFSSFCVVAAIFVRRNVVETKGKTLQEIEVSLLQTQ >Et_1A_004868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1385615:1386163:1 gene:Et_1A_004868 transcript:Et_1A_004868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTPEQPRCGFSRKVVDILKQEGVQFGSFDVREGIKRFSNWPTFPQLYCKGELLGGCDIVIAMHESGELKDVFKEHNIPLHGSKRARLESLVNSSPVMIFIKGTPEEPKCGFSGKLVHILKQENVPFSIALTFFQMMRSGRVWLLCASVAKVTG >Et_2A_016411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24402399:24406111:1 gene:Et_2A_016411 transcript:Et_2A_016411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTALKAFINSPVGPKTTHFWGPVANWGFVIAGLVDMNKPPELISGNMTAAMCVYSGLFMRFAWMVQPRNYLLLACHASNESVQLYQLSRWARAQGYLEKKEPVAQQ >Et_9A_063482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:429776:430700:-1 gene:Et_9A_063482 transcript:Et_9A_063482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVELETDAINLKTALSSISTDMAANGVLFREVLDVHRDLKEDKEWNARPPARSRAAPCMIFDSQ >Et_2B_020520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2088745:2090190:-1 gene:Et_2B_020520 transcript:Et_2B_020520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPLRVLDTVRLSPPAPARPAAPLPLSGLDADRNVLDVAFRTLRLFPASPLDDDPFAVLPRAFEAALGLFPALAGSIRDGHVVVSDASAVPLVLAASDLSVTEVDTDIPGSPLLDRLAPGDLDGDGEGPALALQATRFACGGVALGMRVAHALCDGAGATKFLAAAARFARGQQVPPEVAPPVWERRDLLGPRRPPRAATPSFDRVLALDDGVATDGCLVRECFHVSDARVEALRARLADEAGVRLTTFEVVAAFIWRARVKANGTSPGEAVKMVYSMNISKLVDPPLPDGYWGNVCVPVHVALPAGDLVARPLAATAALIKQSKREVDDEYVRSYVDFLELHRGKGVTAGAGAAVSAFTDWRRLGHGEVDFGWGGPDVVLPLSWRILGSTEPCFLLPYGARDERRRRGFKVFVAVQSKAMPAFREEMAELLLQQQQGSVGKL >Et_9A_060899.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:14652917:14653644:1 gene:Et_9A_060899 transcript:Et_9A_060899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIVLKLVVLGLVVTMLMHTTIGEKDCYKDKFMVMHICYDTIQIKGPYVPPTNPCMSAVEASDILCICRKFTHADEIIISMIKFFELVSECNLPLPVGTNCGGKYMILLIFLV >Et_2B_019913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15127568:15130543:1 gene:Et_2B_019913 transcript:Et_2B_019913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEREGERVEEEAKEGGGNRIEIRQTQENLTQHASLKLRAAKAQIALSWPNHDVPRARYIEQGALLWQSVEHMARAPLRRAISLSAAAVLTTPRFTTAFASSSAVAPLRSPLDERLVRLLHSKISYIAERRPPYQPPSSFKSFAVEDRPGEQWVRLRAARAGSGAEEVKVEATMFDGAAEPPPEDAPLFQRVESLERGPRLHLSLIVEVTRGDRVLGFICSAWPDELAVGHVLTLRESGTVGSGGRDFVYVKLEAAEREAVIKFLKEREVDEELAGFLHEYMANKEKMELFRWLKTVESFVEKIDNELHSSSSSLALPIAWDQEGRCQPFELFDQALNCSDRVT >Et_1B_014045.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:28726389:28727306:-1 gene:Et_1B_014045 transcript:Et_1B_014045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGERENLAPCAAPHPTRRRFLNVSTGQCIHVDVPELLPNLKVHLPSSAPEAVRPQKKISGLHGPPPTASSSSSAQPPAPPGCSTRSRASPPSAAALASRTTARRGGLRPARRRRAVGAAAARHHHQSTTDHLMPYVFFAGRFYAVTEGAIGVDMNKGVGGDHLAPRLAVVVSTDKLGTSSNNGMGQRTVHLVDNGGELTPVHRTTHRACRGDRSWRTHRYKVYRVELEAGKVTARDDDGVGHSLGARAVFVGRRRALSLSPQAFPSIDTDCIYPGLGFIERGGKHQIGWRLSCQRWKRRILRL >Et_6A_047988.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2867855:2868970:1 gene:Et_6A_047988 transcript:Et_6A_047988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGLAAIFSKPKLASTDGSPSPPWPWPSCANNPQTASFRRQQRHADDETDRPCTTAGRSADAAGGRLLPRKAGAEMYKTVNSVYFDPAAADSSSCLFFDDDSEEDALDDCVSYSTTTASEEWSEAVIRSLGRTSTDRFFFDSGPPPASNSILAASRSPSPSPSPGRRSRTLPPPEPKAPAAAAAGTPDRPEADSDSDEEGSSLPEPAASASSPLVEESVAVALESEDPYGDFRASMEDMVAAHGLRDWASLQEMLLCYLRINAKHNHALIVGAFVDLLVGLAASSSATTSTMTATMTTTTAASTRSSTSSSCSVAGDGAATTCGTTVEEHCGGGGSGTDASCSPVVSSDQEEDEDGPVPDDELALRIRL >Et_6A_047789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16182489:16184564:-1 gene:Et_6A_047789 transcript:Et_6A_047789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRTQIPVLLVIAALALTVSHASAVSSNDTSCKVSDIKVTQVRTGKLVEGQPQYEVRIDNTCICAQWDIHVRCNGLPSVQTVDAGKIARVGDGKLCIVAMGSIIIHDLDPVTFYYAWKTPQSFPVVSAKWKSSIRSDATSSFIELKH >Et_7B_055410.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:1035559:1035765:-1 gene:Et_7B_055410 transcript:Et_7B_055410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAKVASPKASDRKVVPVTAADEVEQEEMHVLAVDDSSVDRAVIAKILRSSKYRGKHRSSANNNTD >Et_3A_025748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32433477:32437907:-1 gene:Et_3A_025748 transcript:Et_3A_025748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAARASAPAAVTVTAAPVPTPPSATAAAAAADTSSPDPAALYEEGLWQQMAMNSGATMQPGPYPERPGEPDCTYYLRTGLCRFGMSCRFNHPPDRNLAIASARMKGEYPERVGQPECQYYLKTGTCKFGPTCKFHHPREKAGIAGRVQLNTLGYPLRPNEKECAYYLKTGHCKYGETCKFHHPEVFNAVASSRGSPIYPSVHSSARAGPHSYTGTIASWAFPRASFIPSPRWQSPSNYTPMIVPQGLVQVPSWNSYPSQLQSPGAQRTYGTSQQGESSAGNQGMLSPYRSSSYPVPQYELQRENVFPERPDQPECQYYMKTGDCKFGVVCKFHHPRVRSLPPPDCVLSPMGLPLRPGEELCKFYSRYGICKFGANCKFDHPMAAPMGVYAYGFSTSASPASASTNVPMARRLLGSASGSGYTS >Et_2A_015991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1985071:1994793:1 gene:Et_2A_015991 transcript:Et_2A_015991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVVRRRSPLSILFYVLAVAAAAPAAARPTAINKTADEEYWAKREQVAGSYNRGAFVSDPIETMNRFNNDVEKSMTKNSTTPGAARRGLRREYKGPCVATNPIDQCWRCRADWAEDRKQLAKCAMGFGHRATGGLAGEIYVVTDPSDDLFNMVSPRPGTLRYGVVQDRPLWITFSHDMIINLVNELIVNSDKTIDGRGAQVHVTGGQITVQNVRNVIIHNLHIHHAVPHFGGLIRDSEHHFGLRATSDGDGISVMGSSNVWIDHVSMFNCADGLIDVTDGSTAITISNGHFTKHDHVMLFGGSNGLEKDKIMQITVAFNHFGKGLVQRMPRCRYGFFHVVNNDYTHWLMYAIGGNMNPTIISQGNRFRAVDDINYKEVTKREYTPYDEYKNWVWKSQDDVFLNGAFFNESGGQNERVFDKLDFISAKSGQHVGELTQFSGALNCRVGKKGHATRIYPATTMAGSVARSHLLILFYVLAVAVAVAAAQTSNATSDEEYWAKCTEEAAARNRAAYVKDPIAAMNRFNKDVLRATTRRSLARYRGPCMATNPIDRCWRCRSDWATDRKRLAKCAMGFGHKTAGGADGKIYVVTDASDDEMIIPKKGTLRYGVIQDRPLWITFARDMVIRLRKELIVNRNKTIDGRGAQVHIVGAQVTVQGVEHVIIHGVHVHHAARHSGGMIRDSKRHYGLRTASDGDGISVMASSNVWVDHVSMYNCSDGLVDVVNGSTAITVSNSHFTKHDHVMLFGASNSNPQDKIMQITVAFNHFGKGLVQRMPRCRFGFFHVVNNDYTHWSMYAIGGNMNPTIISQGNRFIAPDDVHAKEVTKREYTSYSDSKEWVWKSQGDVLLNGAFFNESGGQNERKYSQLDMIPAKHGSFVGQLTKFAGALNCRVGKPC >Et_2B_020436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20055954:20070349:-1 gene:Et_2B_020436 transcript:Et_2B_020436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARKQKGDGATVLHQKLCLSIDMENQLIYGYTEMKVLLGESDTFALHADNIRIRSILVDGETVEFEYSPHWKHDADQPNWSSVSCSKTAADAACSAYISSLNREAVPNLIVSFERSVKSITGQQFEENSEKHEENSERIEEHVGKPVPTVDGQLVNGCNGSAVEEDEKEKEKEKKMQKEKEEQNGIGNEKDKENGIENEKVKNIKLVHIDYILEKAETGIHFIGNVLHSSSQIRRAHCWFPCIDSASQRCPFDLEFTVNTNLVAVSNGDLLYQVLSKEDPSRKTYVYKLNTPVSAQWISLVVGPLEVLPDKNDINVSHICLSPALSKLENTITFFQDAYSCYEDYLATPFPFGLYKQIFLPSEMTVQQTSLGASTCIFSSDILHDEKVIDQIIGTRIKLANALAKQWFGIYTSAEESNDEWLLDGLANFLTDLFIKRYLGNNEARYRRFKANCTVCESDVSGATALSSPDASSDLYGTQTIGSYGKIRSLKAVAVLQMLEKQMGPDSFRKLIVAPNRASRTLSTKEFRHLANKVGNLERPFLKEFFPRWVESCGCPVMRLGISYSKKRNMIELAVSRGCTANSAPDSETHTNGDTREGDAGWPGMMSVRVHETDGAYDHPVLPMAGEALQMVEIQCHSKLAPKRVWKSKKNTKIDGSDDNIDSSAQENRSSIESPLLWIRVDPEMEYLAEIHFHQPVQMWINQLEKDKDVISQSQAIAVLEKLPQLSVSVINALNNFLNDTKAFWRVRVEAAYALAVTSSEATDLTGFLHLLKFYKNRRFDTDIGMPRPNDFHDIPEYFVLEAIPHAVALVRSSDKNSPREAIEFILQLLKYNDNNGNIYSDVYWLSAMVQAIGELEFGQQGLCFLSSLLKRIDRLLQFDNFMPGYNGVLTVSCIRTLARIAGRVSSSVGLDRISELIAPFRNMDKPWKVRIEASRVLIDLELQHKGLDAALWLFLKYVDEEKTLRGATKLAVHVLRICQATVDPHLSDQITLSALVGLLHLLAGIKAYNNIFLRHHVFCILQVAAGRSPTLYGVPKIATVPPVVQDVSSDQLTKADSSIPDQHPKADSSIPQPSRPQEPSTSTPSVREVLPTSGPTKDADNISNCSERRNVVKIRVKRTASSSKASDADRRDHSHGGRNENEAGPCRSMSVDAPMVGAANEPLNVSSHNIEEQNSCHDRESRMSASVSNAKLMDRHEISKELQCTADSRLDALPKDQLSPVINLLEVTDKPGSQLEGVSTSCDGNQAPESMNGLETKERKKDKKDKRRKRDKKDDPEYLEKKRLKKEKKRMEKESARKQREGEGVPSSEKNTPVSREVLLSRPPAPVRSTEPAPVRSAEPQVSSKETAVDTARTAPTPKIRIRVKPLQRKPEGS >Et_3A_026348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7070177:7089672:-1 gene:Et_3A_026348 transcript:Et_3A_026348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNAAPPQVVEDFFGVVRLLSDGSVVRGDESSLVRQGRSPSPDAPGVQWKDVVYDPTLSLKLRLYRPPLAAGEEGNKKLPVLVYFHGGGYCVGAYDHPMVHSGCQRFAAELAAVVLSVQYRLAPEHRLPAAIADGATFISWLSEQDSLGAGDGGDPWLTDSADFFRTFVSGVSAGANLAHHVVVQVASGHIELGAVRVVGYFLFSAFFGSVERAATETDPPEGASNGGDVGPALRLQEMGKAVELAEFKERTHGFWLTLWGGQRGVDPNLEAVCATSEVINPVALWLTMADCPWPPLAVSEECNMKHSALVYFYGGCYCVGAYDQPLLHAACQSVLPPSSPPSGTASPQVVEDLVGVVRLLSDGSVARGDESVLMPAGPFPDVPGVQWKDLVYDATRGLKVRLYKPPLAAGEQGNKKLPVLVYFHGGGYCIGAYDQPMFHSCCQRFAVELPTVVLSVQYRLAPEHRLPAAIEDGATFLSWLSDQAAALGADAEPWLAESADFRRMFVSGVSAGANLAHHVVVQIASGKASLGPLRLAGYILFSAFFGSVERVATESDPPAGVSLTVDTSDQLWRMALPVGATRDHPLANPFGPDSPSLEPVALPPALLVAPETDVLYGHVLRYAKTLQEMGKSVELAKFKGQKHGFSLTQCGEANVELIRILKRFVRQDFFGVIRLLSDGSVVRGDESILRPPGPFPDVPAGVQWKDVVYEATRNLKVRLYRPPLAAGEEGNKNLPVLVYFHGGGYCIGAYDQPLFHAACQRFAAELAAILGEQSALGDAGADPWLVESADFARTFVSGVSAGANLAHHVVVRIASGQMKLGAVRVVGYVLFSAFFVSVERTATETDPPDGVSLTVEMSDQLWRMALPVGATRDHPLANPFGPDSPNLEAMALPPVLVVAPETDVLYGHVLRYAKTLQEMGKAVELVEFKGQTHGFSLTQCGEANVELIQILKRFVQQSLSRRRSI >Et_8B_059990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4846434:4851993:1 gene:Et_8B_059990 transcript:Et_8B_059990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLAVLLLLLAASAASGHSESCPFHDHGGGHGHSDPHDHHEHGHSCGGGGDAGHGHHHHHHHHDEHDHREIQRLLPEEMAEEADLELESFGYDPHDHGHHHHHHDHGHHHHHHGGMEPEMSPMGVWLSAMGCSLLVSMASLVCLVLLPVIFFQGKPSKAMVDSLAVFGEQCLEIRFFINCLMLLVKGTLIHMIMRVTIMLMSMHMHTPWKIFLLACLYFIVRYVEDNSQNGAHGLGHGHHHHHHKRHESSDKARLNQAKADHEHKDVDQVGDESLVDGATGKVNNETCRESESTIRKRTSASSKATDGELANSENDHAADKKSSSEDSSVPNSNLVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFTVSKALFFNFLSALVALAGTALALSLGKDPGHSSLIEGFTAGGFIYIAVAGVLPQMNDQKTTLKSTIAQLISLTMGMVVALGISLLLSIA >Et_3B_029996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29689613:29692911:-1 gene:Et_3B_029996 transcript:Et_3B_029996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHGSDFSELSCALASASLSCSAASLDEQRESLPWLQLQPSPRFGSGEDATATFRLLVSGGRSLRNTFLPVSAVGSGRGSSITADESKRDLSLENVKISIVSRDDEKINVRVQLPGKATQKVFDEALVILARDAPPVPGFRKSKGGKTSNIPSSILLQMLGKSRVTKFVLQEILSMTIGEFVKKENLKVNPEIMTTQSEAEMESAFTPGSAFGFNVMLQLEKSDSDEDSEEQSETSGR >Et_6A_046164.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:6029227:6029403:1 gene:Et_6A_046164 transcript:Et_6A_046164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTASSQLEFARCFRNQNRVAHCLAGTACQEGMTIVWLAHAPPFVLRRLEAKCNPVI >Et_3A_027080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32194415:32202659:1 gene:Et_3A_027080 transcript:Et_3A_027080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGEEAESSAAAGERQRAPFARGGPVFVPFMVGPMSTVPGFMSSALHELQSLEAELGDPSDELDDELSVDELKVLSEEELVERALQEAMEEDWDSGALQLTEEQTSDGGVSENTIPIDQAPTSSPSAERESSGSPTEDIAVEPRESLGCNRTRGRKARIRDRKGTDGTIALDSSVEKESHELPTETSVEPYEPQDSSAVNQMPETPADGMSIVPHDTEGTNELAKCRKGKKRGRHFDREVRAKILYGSYLTKAVKMAEIKAKQDEDKLAARLHSFRGNSAKSKGFKSSEKIEMAKTLKYVSTPWKKKALISEEHRPVAHPEVILCVEVYEKRYASVKSQEFLVLGSQYLTDLRDNIYCITDKLMNLAEQHDHSGYFLIEDTFYTDTRHYSSSDYSKPILDWLQNSSEEVSEKWDAITSGVLKKRQKNLLRGLNISNVPEFKSEKMQRIRFSDLQFRLGAGYLYCHQRRLQKCSVCQIYPATKMTVDDKWALNNPCYFCIKCYYLLHYKEDGSLLYHHTVYNYIQE >Et_3B_027850.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:22632179:22632454:1 gene:Et_3B_027850 transcript:Et_3B_027850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGSKPVADGCGAGEQPKPSAHGVARFERLLSGLGAGPIVDVEPDKVKDEIRRWAKKVAALVRQLSFGAWPEKSDGSAEPKGASDDASAS >Et_9A_061887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17536489:17537783:1 gene:Et_9A_061887 transcript:Et_9A_061887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELQAAVTEHLDQVSGLVQALSSELRRGMGPAADNLRAFVHAVDWTEPWLLCLMVFHVILLITSVGLRRNANLQLFLLFLAYSGVYMAEKMNRYLGEHWQSFASRNYFDRAGVFISVVWSGPLIFVSIISVICSLITLCRMMVKWKRAELRHRAQLARNKQD >Et_7A_050939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12677243:12684327:-1 gene:Et_7A_050939 transcript:Et_7A_050939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAGNAGESPGRCGSRSAMGGYELVRSDDATAIAAAAAGALPSPAPAPTRQRLVSLDVFRGITVLLMIIVDDAGAFLPAMNHSPWDGVTVADFVMPFFLFIVGVALALAYKRVPDKLDATKKAVLRALKLFCLGLVLQGGFFHGVRSLTFGVDLQQIRLMGILQRIAIAYLLTALCEIWLKGDEDVDYGFDLLKRYKYQLLVGSVVAVAYMALLYGTFVPDWEYQISGPGSTEQNLFVKCGVRGDTSPGCNAVGMIDRKILGIQHLYGRPVYARSKQCSIDSPQNGPLPHDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQYGHVIVHFQKHKERIMNWLIPSFSMLVLAFALDFLGMHMNKPLYTVSYTLATAGAAGLLFAGIYALVDLYGYRRPTIAMEWMGTHALMIYVLIACNILPIFIHGFYWKEPKNNLLKFIGIGA >Et_3A_023279.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:17514555:17514899:1 gene:Et_3A_023279 transcript:Et_3A_023279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCAGEALVWVIRRNGSWWPGRILGTDELPDSCGLPRPEGTPIKLLGSSDGTMSVHPPPSSPVETTSGIFRSSLANTVTEDMPVPPSSHFSHFISTFVFLSCWCMRRIDTMTR >Et_4A_032952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1652863:1653600:-1 gene:Et_4A_032952 transcript:Et_4A_032952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKARTATAAALDLCCLGALLLFRPSSAFNSPMWSTNSGAPVWNNDNSLTVGARGNFCSIGDASVSPIPAVLVIE >Et_4B_037319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18228788:18231848:-1 gene:Et_4B_037319 transcript:Et_4B_037319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPVQKNTLYVGGLAEEVDEKILHAAFVPFGEVKDVKTPLDQATQKHRSFGFVTFLEREDAAAAMDNMDGAELFGRVLTVNYAFPERIKGGEQGWAAQPIWADADTWFERQQQEEEMQRLQAEHRAAMQAAEKLHREKLAAEREGEKEEETDAKADPMAAAEAQAVKQSS >Et_2A_014875.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:19958163:19958495:-1 gene:Et_2A_014875 transcript:Et_2A_014875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRPLSGQDMAALAVKATSALGAAPVVDVASRDKTGPAGKQRRRQRETGRVAPLVVSGDGSVHDARVPAVFGGQTVGKTRNAGGGYIGGARRFSAGQKRLSVIVERRSE >Et_7A_052845.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:19717916:19718464:-1 gene:Et_7A_052845 transcript:Et_7A_052845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSMSYLTIMLLLALVSSLCTFSTAADDGSDAGRRARAAATVDELLAVHNDARQAVGLPPLTWSAQIAGYAKSFAQSRRGDCAPRRSSLFQFGENMFVGKGRHWSTKTLASAWVEEGQWYDRASNSCAAPPGTAGCARYTQVVWRNTTQLGCARIVCDSGDTMLVCDYFPPGNYGTGGPY >Et_10B_004343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4359505:4360732:-1 gene:Et_10B_004343 transcript:Et_10B_004343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGCHEEFALAAQMCEDATNDKSKLYINACIRKTKALRKCMVANEAHFKCYIRDMDKGIDDNERRGYGIESEAYEIRISRCPSGGGGSNFSTV >Et_4B_039283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9436643:9438617:-1 gene:Et_4B_039283 transcript:Et_4B_039283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHGVEAATTAEWMERHRQMYERATRHQFTVSIRDGTVDLSAFKRWLSQDYIFVREFVAFIASVLLKCCKQAESSDMEIILGGVASISDELSWFKNEATKWGVDLASVSPLESNLEYCRFLQSFTEPEISYAVAVTTFWIIETVYQDSFGFCIEEGNKTPPELLGTCQRWGSPEFKQYCKSLQKIVDRCLANAPADVIKSAEEAFTRVLELEIGFWEMSSSVLNGNSFSPSPNTCTNA >Et_5B_044640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4274826:4277010:1 gene:Et_5B_044640 transcript:Et_5B_044640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKDNKISTIELKVDLECQRCYKKIRKAICKIQDKMNIKTISYDEKKNLVTISGPFDAEKVCRKLCCQAGRVIKDMNIKGKEAKADGGEKAAGKPADKDAGKADKPKEGKADKEAGKAEKDAGKAEKPKEAKGGEKEAKPDKAEKGGKDDKKKVTFAEGDAKPAAEAKPAKAIPQADLGPLLEKIMAAKGAAAAAEAPCGEPIKPAMAPAAAQGVAVPSIWPAPAASMAGYSYNPSYDPSYYGGGGGYGYGGGYGGCGCGYAPGYCRCGKPTGGYYGVPVYDNQGWYYGGGGRQPYYAQQQPCCEDPNAGQCSVM >Et_7A_052271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6316540:6317893:-1 gene:Et_7A_052271 transcript:Et_7A_052271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALGGSGRTGSGGGGGRDNPYALGGGGASAAAWTRLVSSGVEDELLSVVVAGGARAGGVPPPPPQGHFLEACFLCRKPLASNRDIFMYRGDIPFCTEECRREQIEMDEEMERKESTSKKVASRAPSPKDVESPPRPPKARAGSILAG >Et_7A_050607.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6059026:6059202:1 gene:Et_7A_050607 transcript:Et_7A_050607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVVVLAELLQDYTAKVAGALEQLRNDAPLARRLRFLFLQSLLALPPPQGPGVTTEG >Et_3A_024994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2615595:2619052:1 gene:Et_3A_024994 transcript:Et_3A_024994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAALSAPRVALDARARAIFSPPSTLPGPPSSNLRLTARPRALAAAKPRFLNPQPDPAGDGGRGARDVTAMVVPFLRGTAWEQPPPDLASFLYKNRIVYLGMCLVPSVTELMLAEFLYLQYEDAEKPIYMYINSTGTTKNGEKLGYETEALAVYDAMRYVKVPIFTLCVGNAWGEAALLLAAGAKGNRAALPSSTIMIKQPIGRFQGQATDVDIARKEIRNVKIEMVKLLSRHIGKPIDEIARDIRRPKYFSPSEAVDYGIIDKVLYNEKGHEDAGVVSELKRSNLI >Et_8A_056042.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:111066:111746:-1 gene:Et_8A_056042 transcript:Et_8A_056042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGGRRRALGGRPGGHRGGTLAHGDPPPRPLFAAGAVRAAPLGARRRHVVGAGGGALPLRVRGLRHHRVGAGPAVAARVDGAAGAASAAGPAGVGVPGLGPRGPPPAAGRPTSTRGLFLIRGVGRGPAHAHGGQEDRRDAAGDARRWLLFRDPALRRGGRPALRHQRRPAPRVPGLRLRGHRRRLHLDQAAAAAGRRNHRPQPLPQDARIHLARESLTPSCSASSA >Et_2A_015066.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28498265:28498420:1 gene:Et_2A_015066 transcript:Et_2A_015066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQKTHRKELNTLIILGTWILWKHRNAGVFEGVSPNIQTALTNLKLEAQL >Et_3A_024258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1936204:1939163:-1 gene:Et_3A_024258 transcript:Et_3A_024258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATGAMSPLLDKLGKLLVSELTLDTRVRQDVASLHREMAEMHAALRSVAAVPPDRVDEVTAAWARDVRELSYDMEDAVDAFTVRVDDEDRPDAGDHGLRSRLRGFLDRTTRLFRKGKALHQVADAIRDAKDLAQQLGELRQRYGNLQLHHGGGDGDSFDPRLTAMFAEATDLVGVDGARDELIETLSDRSEVGVKTVSIVGFGGLGKTTLAKAVYDEIKQQFDCDAFVSVSRNPDITRMFKKILYDLDKGRYANINEAVRDEKQLMDELREFLLNKRYLIVIDDLWDEEKWKFIKCAFPMNNCSSRLITTTRKSKVSEASCSSDSDKIYKMKPLSDDDSMMLFCSRIFHRGDGCPPELEQVSRDILKKCGGVPLAIISIASLLASSQRPVKSKDQWHIVLNSIGRGLTEGGNVKDMQRILSLSYYDLPSHLKTCLLYLSIFPEDFDIERDRLVWRWIAEGFIQHENGASLYELGESYFIELVNRSMIQPVAIDLEGRAQACRVHDIMLDLIISLSRKENFDDNEQSTSSSHSLMARRVSLQNTTTRQTATSMSKVRSFTIFNPAVNSMASLSQFQVLRVLDLEGCDLSKCGSHFKLKHVGNLSQLRYLGLRNALIPELPVELGKLKFLQTLDVSGSNRIQELPPTITGLRNLMRLRLDWETKLPEGLRNLTSLEELTGLTVGDDSAAVVTELGQLTGLRVLTLRWEEAELGEALVKSLGNLCKMQSLDVTGRGELMRDWAPLPSLRRFLFRGLTSALSTLPAWMKTSSSLPCVTYLDVWAGRVRPEDLRALGTLPALRGVRLRAAGRIEDDHPPADKRTSSMDAGAFPCARTCAFLHFATAPSMFPRGAMPRVQRLEFSFRAWDVVAGEGGGNGLGLDDLLRMEHLPSLEEVHVELWYRKEDDAGVAKRVAAALRRAAEDHPNRLALRITKRIRCASTPHLQ >Et_1B_012295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30568487:30571832:-1 gene:Et_1B_012295 transcript:Et_1B_012295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASCCKRSDGAEPGTRKKKKDTAWRIFSLKELQSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKSWSNKAEKEFAVEVEVLATVRHKSLLSLRGYCAEGQERLIVYDYMPNLSLHSHLHGQHAAECHLGWERRMKIAIDSAEGIAYLHHYATPHIIHRDVKASNVLLDSNFQARVADFGFAKLIPDGATHVTTKVKGTLGYLAPEYAMLGKASESCDVFSFGIMLLELASGKKPVEKLNPTTKVTITEWALPLARDKKFKEIADPKLKDSFVEDELKRMVLVGLICSQNDKERRPIMSEVVLLLKGESAEKLSSLENDELFKPEQTSSCQGSSGPDSSDCITEDKSSKEDVIDDAVDSSETVPSAR >Et_8A_057738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6594273:6598612:1 gene:Et_8A_057738 transcript:Et_8A_057738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELKRRLRPLFFDGDDNGAGTVNLRSMSSVEYDISELGFQRRRTGKDESNSCDKAYRCSSHEMHIFDPFGSGSSSVVQRAIYIPTHRIMALKKINIFEKEKREQILNELRTLSEASCYPGIVEFHGAFYMPDSGAIYFALEYMDGGSLADIIRIKKFIPEPVLAHMLEKVLPALRYLHEVKHVVHRDIKPANLLLNLKGDIKITDFGVTAGLSDSICTCTAFVGTVTYMSPERIRNKCYSYSADIWSLGLTVLECATGRFPYDINGCLSNLMLQILDDPSPTPPKDGYSPEFCSFISACLQKDAKARPTCAQLLSHPFIKRYKGTDADMSSYVKSIYDPTEILREIAHMLVVHYYLTFDGSDNIWRYMKTFYREESVFSFLGESYIGQSDIFGTLSRIKKILKGNHPRGKIVHVIEKVHCCAHGEEGVAIRVSGSFIVGNDACHVGRFREEFFMEPGNAIGCFVILKQKLYIVEK >Et_10A_001966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15783108:15786940:1 gene:Et_10A_001966 transcript:Et_10A_001966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESQDGHYDSSSQSTDSLRIEPIYESFLCPLTKQVMRDPVTIDSGVTFEHEAILKWFNDCRSNGRRLVCPVTRKKLSSTELSPSIALRNTINEWMNRNEVAKLDVARKSLTSESSESDILQALQYVAEICQRNQSSKHVVRKDGLISMIADLMKNGSTKVRQKALETLCVVAKDDDDNKVEIAAGDNIRTVVKFLSHGQVLEKEQAASLLYELSENSALSEKIGSVPGAILILLGMSSSKVENLLIVDRAEKTLENLENCEKNVRQMAENGRLQPLLRLLLEGSPDTQLSMAAYLGELVLSNDIKVFVAETAGSTLVNIMKKGNKEAREAALKALNQISSFESSAKILIEVGILPPLVTDLFTVGSNQLPMRLKEVSATILANVVASGAHFESIPLDHNRQTLVSEDIVHNLLHLISNTGPAIECKLLQVFVGLTDSPTTVQNIVDAIKSSGAIVSLIQFVEAPQREVRMASIKLLKNISPFMGQELADAFRGNFSQLSSLVRVIADNNGISEEQAAAAGLVADLPMQDSVLTRRLLQDGAFATIISKVTRIRQGEIRGGRFVNPFLGGLVRIVSRITFVLDDDPDIVAVAREYNLTGLFTDLLQMNGLDDVQIVSATALEKLSHESKHLTKIVPAPNPGLCFSIFPCLSQKSVATGVCRVHHGICSARESFCLLEGKAVEKLVACLDNNNEKVVEAALAALSTLMEDGVDIDQGVMVLCDADGINPILEVLCENRNEALRQRAVWAVERILRIDEIAYEISGNQNVGTALVEAFRHGDYRTRQVAERALKHVDKLPNFSGIFSKMGAQ >Et_1A_008772.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12402103:12402672:-1 gene:Et_1A_008772 transcript:Et_1A_008772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHHRRREATRRLRCLLAFAGDYLKYLFMRRRRLMHKVARKALALVHHHGDRKNRPWPRRAIMEYEFSCADSPSPAFLAAKRLLRSRLRSGGAAAAGAVSSCFGSFGTGSSARETIAASESEEEDDEEVETEDGEEEEDQVQLVAEDYGCLRCGELSDDVDDRAEEFINMFYQQLRAQSFAAVFQGSP >Et_6A_047887.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2295909:2298587:-1 gene:Et_6A_047887 transcript:Et_6A_047887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDVDSDSGEQSPPPAAPPPSTAAEAYFLWELRKYVLLLATLAASVTYSAGLSPPGGFWQDNSGARLAGDPVLRVSYARRYDVFFYCNATAFVASIVIVNLLLAHSLSRRRWWLRALQAAMIFVQFGLMGAYAAGSCRELAMSAYILALVGLVSSYVCAHVLLFALLAMRRTRDAAAGEGVAPESVERTRKYLLIFATLAATVTYQAGLSTPGGFLSDSVAGDHLAGDPTLRGHHPSRFMAFFYLNTTAFVASLVVVMLLMSRTVTRHGFRSSALWMCTGAALVGLTGAFSVGCSRSIKTSVYVMALVLAVLFYIGIQILVFLCKPVEEFLHVVQEKMRKFLRLDNLNPQNHHHGEASSQQGSSSDAHQLLQKSRMYLLLLGILAAGVTYQAGLNPPGGFWQSNGSAGPHRYLAGDPVLHITYPRRYLVFFYCNATAFVASLVILILLLSNIFSTQGIKYCALQIAMILDLFGMIGAYAAGSCRQVFKSVYISVLVVPVFLYVSIHVLVFMLEVSPACAAWREMVMGMLDRRVPKWLKELLQLQTVEEDQNMEWKLEKSRKLLLLLAILAASLTYQAGMSPPGGFWQESTSGHVGDPVLNDNYRRRYMAFFYCNATVFVASLAIIMLLVNRKLSARGIQSYALQVCVILVLMGLMGAFAAGSCRKVSTSIYVFVLVLAVLLCIAIQVAMVVSQSVRSLVQKILPFGILEEEASDLLPHKTDNNGKPDLWDKLPKYLLLLAALAAAVTYQAAMNPPGGLWGDDQSSHTPGDPVLRSSYPRRYKVFFYCNATSFMASLVIMVLLLIKRVSKARPALLALHAAMILALFGLMGAYAAGSSRRVRTSAYILALVIGVSAYIVVLVIVSIGAAKWLKGVMNHMTERVAMCFSVNDL >Et_4B_037116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14936755:14938328:1 gene:Et_4B_037116 transcript:Et_4B_037116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRKQGFFSALKGEVLRGLSPARSRGKSPARMLLPRSRKTAAAEAVQQPTPEQLDQYAPEQHVPYSGSLRPGGQFLAPLMEGPDMAEGDIVSEDCSGRRDGFGQWVRGHLSRNPSVAGGGGGGGGSSRRSDLRLLLGVMGAPLAPVPASAGEPLPHLSIKSTPIVLLTPGGSALQESSSAQYILQQYVAASGGAKLLRSVRNAYAMGKVRMVASEFETATRVVKTQTRGSGSGSSAAVEQGGFVLWQMSPDMWYVELAVGGGGGKVRAGCDGRLVWRHTPWLGAHAAKGPVRPLRRALQGLDPLTTARLFAGARCVGEKNVGGEDCFILKLSADAETLRQRSEGPAEIVRHVLFGYFSQRTGLLAHLEDSHLTRIAGAGDAVYWETTISSFLEDYRAVDGVAVAHAGRSAVTLFRFGETAMSHTKTRMEEAWTIDEAAFNVPGLSTDCFIPPADIRRGGSGSVVVGEPCELPQQRAKAGAVHPARVAAVEDPRRDNIHWRMQI >Et_4A_032818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14267107:14271891:1 gene:Et_4A_032818 transcript:Et_4A_032818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGRDGKHLLVNCSYAKEVWWSILTWAGCQCTFHTGQITLLSWWRRVRTPKQPSKRKGELWKERNASSSKAKKKIGASGGGQDQGGGTAMD >Et_3A_025068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26541022:26543342:-1 gene:Et_3A_025068 transcript:Et_3A_025068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLPPPLRPSSAPPPPVATRVLHAINTCTSAAALAPIRDGILREPALLRSTTIVSAFFLACGRLRHHSPALALFASLPRPHVFVFNSLLRSLPASPCSPLPLFRHFLRLGVRPNRYTFPLMLTHLSSLRDLRVVHSQVIRSGFRADLHVRNALLARYAACDPDMAHAEQLFDEMPCLDVVAWTTVITSYRNRGRSFQALATFRQMLASSVAPNRVTMVSALGACAAHGAMDTGIWIHEYVKKQGWELDVVLGTALVDMYGKCGRVVEALRVFSEMAERNVYTWNSIIGAFALAEDGKTALQFFSHMNADGVRPDEVTLVCVLCACAHAGFVEIGRKIFNLLVQGEYGFQPGIKHFGCMVDLLCRSGHLDDAFKVIETMPSQPNAVIWGLLLRGCRAHGDSFLSEHVTKQLVELEPENASHYVLLSHLYAETGRWMEAEEVLKWVKRKGLMKDAGWSLKMLEDSSEDYVSDGELMECAFLTHCHGYATIIKLPKERGF >Et_4B_038523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29660836:29665913:1 gene:Et_4B_038523 transcript:Et_4B_038523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACVKEDGGREDAGRSAAGDTPTCRDPVKSLTSQLKDMVLKLSGGTNRQGTHHRRGGSPPPRGRATSLYRSGYYRPGVVQDDMAVPPATYLGGTGASSASSTPAWDIPARAEGEAREWVAQVEPGVQITFVSLPGGAGNDLKRIRFSREMYDKWQAQKWWGENNERIMELYNVRRFSRQVLPTPPRSDDGERESFYSQSQVGSTRESPAATPFRPMSPPPPSSSNPSERAWHQQQQQRHNGGGKSPPPEAARTTTSSRDDVSISNASELEVTEWVIQDEPGVYITVRELADGSRELRRVSRERFAELNAKLWWEENKERIQAQYL >Et_4A_032390.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:6115098:6115616:-1 gene:Et_4A_032390 transcript:Et_4A_032390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEDIRNTGDDHFCASSGLIPEESPLINLDVDEDDSEDDVDSNDEEEEEVTPPLKDKGKRAATAAANDKVKRPKSSGGIWMQEQVGKILTSVERSAASVQSIAARKVDDTGMSIKEVMAVVKACGAAPGTKQFFIASELFTKKEEREMFTTMDTPQERFEWLIMKHFIKYGH >Et_7B_053431.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:19072405:19072545:1 gene:Et_7B_053431 transcript:Et_7B_053431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTLPAASTSTLLSVSCKATLPSSSIRYGHTSSRTNSMFSDVRAN >Et_4A_034934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:826623:829680:1 gene:Et_4A_034934 transcript:Et_4A_034934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLGVWPSYNPQNFSQVVPADPSSQPSNVTPATYIATHRTDPPPDQVITTESKNILIRHFYQKSDEKLRTKRAAPDNLAPENNNKLPRGPVADVGGQSNARS >Et_9B_066079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2656035:2658686:-1 gene:Et_9B_066079 transcript:Et_9B_066079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLFSKDLRCQTTSKFPIEGQNKFHDSTESTDSRRPLVIQRLPRDEPVPRRRDLVGVVVGIGIEPGHVDQKVPGVEGVGTEGSGVPARAEQPERGTAGNLVDGLGDGGGEAAAGDEALGGLLGEVLLEGHDLVGFEPAVTRVAEEGDEDEPVAVAAVHLRVVGEGDAVDVARPPRVGLHLAPDHVAEAGAAIGPHGAAASGGDDGAGGGGVGHPVEGNRNPRFGRRMGNFWFGFGVRRMGKFWDRALLGGAFSAIELENDLGFLFKRHGEEWCLIYRE >Et_3B_030417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3604514:3605067:-1 gene:Et_3B_030417 transcript:Et_3B_030417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDAEKCTLTVVGDLDPVRIVQKLKKKRFEAQIISVGEDKPAEKKDPCKEACEKLCKEKCDKLCCKECKEKCEEECKEKCEKNCKEWLGCCSCSSGCSTTTSPGIYYTPYAVPSYPYCYGAYGSSGWPYGGVYCYEERSPAGQCTLQEFIQQWQARAADTQVHTLLDKPQQNKVVHI >Et_8A_057710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6318498:6323613:-1 gene:Et_8A_057710 transcript:Et_8A_057710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSAPLASWPWENLGSYKYLLYGPLLAKAVASRAWESGSPDHWCFQLLLLFALRAATYHFWAIFSNMFWLNRRRLIVRDGADFEQIDKEWHWDNFLILQFWMAATALYAFPSLRHLPLWDARGAAVAIVLHVAATEPLFYLLHRAFHRGHLFSSYHSLHHSVKVLQPPTAGFATPLENVATGGLMALPVAAACAAGLGSAALLFGYTLAFDFLKAMTHCNVEIFPGRLFRAVPAARYLIGTPTYHSIHHMNKDSNFCLFMPLFDALGGTLNDKSWELQKKNSAGSNDVPGFVFLVHVVDVMASMHSQMLSRSYASRPCSATAATVLLWPVALAFVPALWAWSKTFVYSFYRLQGRLCQTWIVPRYGFQYFLPFAQDGINSQIELGILRANKMGVKVISLAALNKNEALNGGGALFVKRHPGLRVRVVHGNTLTAAVILREIPEGTAEVFLTGATSKLGRAIALYLCRKGVRVMMLTASEERFKKVQEEAPLEAQEHLVRVSKYQSARRCKTWVVGKWLSPREQLWAPAGTHFHQFVVPPIIRFRRDCTYGKLAAMRLPDHVQGLGTCEYTLDRGVVHACHAGGVVHFLEGYEHHEVGAIDVDRIDVVWEAALRHGLSPA >Et_4B_036524.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2845826:2846041:-1 gene:Et_4B_036524 transcript:Et_4B_036524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAMARAVLVAVVLLQCCNAIVAARPLLETAAATTGGEGGCLGLIMQVLGKGAPSGTGSNPGGWHGGHP >Et_8B_059186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1545296:1558467:1 gene:Et_8B_059186 transcript:Et_8B_059186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SIIVPITIVFYNVPCLENLYLPTFGKEFGSISDYGQDAWSKLNQEVVRNLSDTVVGVASFIVLKIEKLSCAGNKMYFGCTGIVVKSNEGGASILTSASLVRSDDDDRNMKSILMIQVRLPNGEATIGMVAHYDLDYNVAVINIPPFPGLQAAFFDHHMEFRSHSNVVAVGRWFYSGRFMATTGTLTDEPNGDYQEHMSISTCKIPMVMTGGPLIDSGGNFVGMNFFSVERTPLLPSNKIVDFLVLKNDLKPRGYPMPTRLEGGMHLVNTFEEEFVEDTWCKLSKKVASDTSRKDQRFFVCTGVFIDCIESTSRILTSASLIRSSPDENNIAENLKIQVYLPNKLVVTGTLQHYNMEYNVAVIGVKDFRCLRTAEFHNQVKIESQREVVAVGCVFESGKLMATSGIVTGKESKLDCKELMVTTCKITKAGIGGPLINLDGNFLGMNFYGMKETYFLPRNIILGLMKHFEAERSVTGEVICSKAN >Et_1B_011833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26430097:26431348:1 gene:Et_1B_011833 transcript:Et_1B_011833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLSPRMGRWMCSICTKVARHDRVQRSKQSGGILTLPRVRALGAAAGARGALLGGPRRRRARHPRRALHSQGVLGGQGLRCHPVPAYDFALRHPDRTRGVMCLGIPFGPLPKSLDDTMPEGLYVLRWREPGRAEADFGRYDARRVVRTVYVLFSGAEIPTANEGQEVMDLADLSTPLPEWFTEEDLDAYAALYEKSGFRYPVQMPYRSFHRRPNQMSAKFQVPVFMVMGEKDYAFKFPGFETGVRSGAMEHFAPDLKITYIPEGSHFVQEQLPEQVNQLLLGFLKDHPVAA >Et_4B_037059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14050489:14051566:1 gene:Et_4B_037059 transcript:Et_4B_037059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIMMRVDLDCPKCHKKIRKVLCKIQDKVSIRTINYDERSKTVTISGPFDADDVADRLTSDARKVITDIHVVGGSQKHVVKGSKPGKSDGHGHNHGGGGGKADKGHGGQGGGGKQEMMVHGGYGGGGGGKADKGHGGYGGGGGGGKQEKGHGGGGGKQDKKQVKFDFDDTDDDDFDDEPAHHHGGGHGGKPKIITTTSTPIAARLDAPRTGPSMAMSAAAPMRMPPGMGPQMQMQPQPQAMAVPSIWPAPAAPEWGYSAPQYGGYGGPPAGGGYYGHGVPAAAYGHGGYGAPAYGYGRNPYGPPQYYDEEPGAGCSVM >Et_1A_008367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:702247:703355:-1 gene:Et_1A_008367 transcript:Et_1A_008367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINTFQSCSIARGAKINTRTKSSGGRGSPTFQCRASTFVDGSLRLELDENPEAIISGEWPENFSLLSYDDLRAYLESQKTVEVGDQHVAFLREAMSTPVLMATVEQTLEEVECHFEAVSGLPVVDSNFRCVGVIVKKDRMRASHGSKTKVAEVMTTPAITLSSDRTVMDAAALMLKKKIHRLPIVNQDNQVIGIVTRADVLRELEGLLKV >Et_3A_024744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23811049:23811289:-1 gene:Et_3A_024744 transcript:Et_3A_024744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCCVVGLVSAGLGVGYLRAFIYQRRKDGRRTGGGDDPGVIIIGLVKRVFGVRQSSSWHCAKKRKELKLGGGMR >Et_2B_022476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23167978:23169587:-1 gene:Et_2B_022476 transcript:Et_2B_022476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVKRFASVVKGAPAVPVRRHEDESLVLFGELYKHEKEKDVNLLEPMFSVEFEAIQGDGCMFKLPSGKRDYILPDGEKHDYDWLKTPPATPLFPSLEMEANSTQMIFQKELPILQPVRTSRFSSKPDSTSTSPRSESPTSSSSKSVTPTARPSSSSKKSQAKGAPAPSKEQDSAYRIDKRSSYTPLTNRQHNVVPAARTASTTPIKASKKTSGNKPAWPGNTNAVKNVAEPDRALKNITATAPKARSDDSSAGGKDLKADVGTVRRLSRPPAATMGGNGEVQLEGKQGTVATKGRGRAGTGGVQATRKGVGATVAIANGRRRAGGEKEQRPKLGSHAKK >Et_1B_011817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26204053:26216153:-1 gene:Et_1B_011817 transcript:Et_1B_011817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAASVLIFVGPIHFDVVGVCAQPLDVKELERKMKKDQKAKEKEEKKLKAKQKEAARLQAQAASDGDGAKKSDKKQKKKDTASSKPPFVIVLPPPNVTGVLHIGHAITVAIQDAMIRWRRMSGYNTLWVPGMDHAGIATQVVVERKLMRERNLSRHDLGREKFLSEVLKWKDQHGSTILKQLRILGASLDWSHECFTMDEQRSKAVTEAFLRLFKEDLIYRAHRLVNRDCTLKTAISDIEVDHVDITEETLLEVPGYRTPIQFGVLISFAYPLEEGLGEIIVVTTHIETMLGDTAIAVHPQDERYMHLHGKYAIHPFSGRKLKIICDSLLVDPISITPAHDPEDFKVGERHNLEFINIFTDDGNINEMGGPQFEGMPRFTARTAIIDALKEKRHKEKSMKLSLCSRSKDVVEPMLKPQWFVRCDTIAKQALEAVKSKKIEIIPSQYEQDWYRWLENIRDWCISRQLWWGHRIPAWYVTLEDDEERDMGSYSDHWIIARNETDAILEAKQRYPGKKYQLDQDPDVLDTCVLGWPDHTRDLSTFYPTSVLETGSDILFFWVARMVMMGMLLRGDVPFQKVYLHPIIRDAHGRKMAKALGNVIDPVDVINSISLDDLQKKLEYGNLDPKELETAKEGQMKDFPNGIPECGTDALRFSDKINLDIKRVHGYRQWCNKLWNAVRCAMSKLGDQYMPPAAIVVTSLPPICKWILSMLNKAVGKIVLSLEAYRFSEATSSIYSWWQYQLCDVFIEAIKPYFNESEEFESARGASRDTLWVCLDTGLRLLHPFMPFITEELWQRLPQPTGACTKDSIMISEYPAVVQEWTNDRIENEMELVLDIVNKLRSLRPTTYIHERRPAFVLCRCPATAATVHCYQAQITTLASVSHLKILAEHDPIPPDCATHILNKDLSVYLQLRGALNAEAEREKLWKKREEIQRQHDALSQKMNTSGYREKAPLTKQDEDAKKLAALLEELKVIDEAESNLDANN >Et_3B_027506.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23255923:23256764:-1 gene:Et_3B_027506 transcript:Et_3B_027506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVWSMDSPGMTTSSPFFPPISLAGFPTAVHLGGTSMSTTDPAPTFAPAPILTFPRMVAPAPMSTPSPILGCLSPTALPVPPSVTWCRMETLSPTTAVSPMTTPVAWSRSTPFPMAAAGWMSTAKTSATRDWRASASARRLCAHSTCATRCACTARKPL >Et_4B_038253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27597143:27598625:1 gene:Et_4B_038253 transcript:Et_4B_038253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TGPLQSFSFFGPLHSSPLAETSLRSRPETTAIHHLPQKRGGEEEAASRRRAGRGGSPAPPATMSSMLSAFSQWFVNPRRNPLARLHREAISSRLRKYGLRYDDLYDPYFDLHIKEALGRLPTEVVDARIQRLKRAMDLSMKHQYLPEDVQAIQTPFKSYLSDMLA >Et_6A_047596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7969136:7973201:-1 gene:Et_6A_047596 transcript:Et_6A_047596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAASAATAVTRSFGARDLLDEMPPLRKCKQDMGAAAPAASGDGEGIDVLPDGVLAHILGFLPAEEAVRTSVLARRWRYLWMSATGLHVAAADGKFLGTAEKLVEFGDLLLAHREGASLDTCELEVGKWHIDDEVCRRLSIWFRHAVMCRVRFLRFRILCNNDDCFISPCHEFEDLPLVSQHLKRLELSGVELGSSLLDFSSCPALEHLVFTWCGLSMPTEKISLEFPKSLKYLIMTGCAFNFNSRIHIYAPSLLSLCLDNFFGRAPVIGSMPSLVQAFVRITSTCYDFEGNGGASNDCVLLKGLLEARNLTLISEPSRFIFRMDMRCCPTFSKLKNLLLNDYWCVPDDFCTLACILEHSPVLEKLTLQHFSEIYFPFVGPPPHKVQLQGSFNTILRCAAISKHLKTVVIECEVVDQKILKVLKFLRMFNLFHPFCFYIYCFIRNYYQSINLVHGQTLDGGIPRGLQGPAPASVSIFTTSLMVGRPAGSLAVQSTAILSNLSISSLTLADVATRGSRTSSRLSEASLVDSQETMSLPSPK >Et_4B_037101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14744487:14746070:1 gene:Et_4B_037101 transcript:Et_4B_037101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRDVAGRVEDDAIAVITQVWESGGSWQQAGQHLPRSLVTSPARVDMLVSTSFIKDMCSQFDFPLKRFLIIRQSEVVCETCGSGSAPHLIANCARCNAYQHCYCMQVLTFVIPLVWFCYRCQRKANRADRS >Et_3A_026814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21965328:21966002:-1 gene:Et_3A_026814 transcript:Et_3A_026814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQEEAGGTDQARGEEEELVLATWDCGSPLYDSFELASLHHVLESHLMVLPFASTAVSRSRRLDHPAVVAAGPDVTDRVVPRRRRRKRRGSRAAAAIFRAVTCWRIMLATIILDPTRIDPPVLVRLAGQYPTTIHGEQEQAEQEEDGADGEQGCRSDIQSCDVLEGLVALCTGKCMKLSSSQIYYS >Et_1A_004888.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14211927:14212241:1 gene:Et_1A_004888 transcript:Et_1A_004888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRLLLHRASLVPILLVLLLCSSTAARAGATMQTLTPTESSYRRWSRKLLVTEPRVSPETSSAGEKQEMDVGGWRTATPFRRAGASLGRRPVPGSHANPSHN >Et_3B_029727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27440501:27443764:1 gene:Et_3B_029727 transcript:Et_3B_029727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLDSYSSIKEVTYSCGYCGYALNLSSSARNTTGIGSKYGKQIKKGVVPFVAVDESRFTQTDEVTCTPHFRSRRSWGLFRKRSRLLCRKCGGRIGCAYEEEEGRDAGLSDGDGFSDDMRASSGSGSSASSQINYLIKISALQPSSDDSAAYMLIDIALLSAVLSLN >Et_5B_045775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9290520:9292968:1 gene:Et_5B_045775 transcript:Et_5B_045775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKPRVGEGCRPLERRPTIIVRRGDRMLWRQPVIHGVDERPGVRREGGGITVEQLGEGTPGAKRTTMEVDNQREPLASRGCSNIKKEAHGHAGARVDDNVPRCNTGWPWRLRGRHKGAGRQPLYTAILMAREESEDKGVVVLPVSHDNEREILALMRGHLPGGVEVWILLIYPHGDKQKTEVRSTLSHQVGQRSVISTNKPFNFNRPKSTLWRIFRPTNHLNCLGCLTTAK >Et_3B_030485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4133183:4144387:1 gene:Et_3B_030485 transcript:Et_3B_030485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLTAGLAASSLSDPADQGAAASGGGAGAVPSADYLLHVMRAVEGADATIRNQLEENNRLKEELMQKTLQLQRMREGATSQSSIAGAGQDSNSVSNKMDGSKLLDNGSSTNIQNTFIHHQNGGFVSAEPVIQENTKQKYLDSAQANGAFKRSSREHIALDNGGPSQFSTPSSRSLSPTRHRKDGDYDSRLLPVSDVNSHVSWKQELTVKVKENEEEIAQLKKHLADYSIKEAKILDDKYMLEKRIAYMRMAFDQQQRDLIDAASKALSYRQDIIEENIRLTYALQAAQQERSTFISSLLPLLSEYESLQPSVPDAQSIVSNLKILFKHLQEQLFLTEEKLRESRYQITPWHTGLSDNTSPSVPPDPPAGKTVVTASKSNLDIVPQTAYPHVQSPMSSRVQARGEWGVLSNKNHQVIPNEVPTRSAEHDDMGGTSVSSRNQFRMDIPAQVSQRDHAVQFDLETQIHNQPFKGLNRNDVLDGSESAEAQNAQESSVRWGPGDTTNLASGLEDANPSYPYLPTVLEEPGSSFSEAAEDDPLPGIDGLRITGEPFPGRELQASGYPTNGTTTCNFEWVRHLEDGSVNFIEGARQPIYVVTADDVDTLLAIEVQPLDDRKRKGDFIKVYANEQRKITCDPETKELIKRTLEIGHVTYEVQVQLPKTLKKDVLTS >Et_4B_038693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4071817:4073314:1 gene:Et_4B_038693 transcript:Et_4B_038693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSALAKYKLVFLGDQAVGKTAIITRFMYDKFDDTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVADRQSFLNTSKWIEEVHTQRGGDVLIFLVGNKTDLVDKRKVSTEEGEAKAQEHGATFIETSAKAGFNIKPLFRKIATSLPGLDALSSAKQEEMVDINLRPATGSAGAPPEQKSGGCYC >Et_7A_051274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16365977:16368157:-1 gene:Et_7A_051274 transcript:Et_7A_051274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAGSSSGVAGGGSSTWVANGAVAISSMSDYLRFLDSQQHMAPEPSSNSSSSMSRTNIETDNSEDWEFISDESLNYISRMLEEDIDEKVSMYQAESSALHATAKPFYDILGHKFPPSPDHQPIPWSLQSPTESGSSNHAQSLTSTFTPSSIGSAVDSNQRYSEQLETYRGLHGQSSQPLISPSSDSCNSVGVLEDPLITNGRIPEYLFESLPTWDFRRGVEEAQKFLPGSDKLVIDLEAAEVLNSKKNRQSEDLDLLEGRNIKQSALCSDEPDWIEMFDYLLRQTEKKATVLREMMRSEASKNSQVTQTQTKPSGPRSRGRKPTKRDVVDLRTILIHCAQAVAADDRRTANELLKQIRQHSKPNGDGTQRLAHCFADGLEARLAGTGSQLYNKLVAKRTTASDMLKAYHLYLAACPFKRLSHFLSNQTILSMTRNATKVHIIDFGIYFGFQWPCLIRRLSKREGGPPVLRITGIDVPQPGFRPTERIEETGQRLAEYARKFDVPFEYQGIASKWETIRVDDLKVSKDEVVIVNCLYRFRNLIDETVAVDSPRNRVLNTIRQINPAIFIHGIVNGSYSVPFFITRFREALFHFSALFDMLETTVPRDDPQRALIERDLFGREALNVIACEGSDRVERPETYKQWQVRNLRAGFAQAPLNHEIVMKAKDKVKDIYHKDFVIDEDSGWLLQGWKGRIIYAISTWKPNKN >Et_3A_027267.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:6451183:6451371:-1 gene:Et_3A_027267 transcript:Et_3A_027267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLPFLYRAILHLVSGGETPLFRDESPEASPRPSYYVRLAGGNDMPAFLSSAARGYYDRG >Et_3B_027900.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25747230:25749176:1 gene:Et_3B_027900 transcript:Et_3B_027900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKKNLFAAHGWLGLLLLHLGPEAALPGEQAPRPPWLPQPADLLLHALALLLQVRHPRLHVGDALLLPHGRRVGIRVGVGLRQCTGCDGAALGDDGAARVRARAAICRRVVGHEEPSGDGGAEAELLEEEHLDHHPQRQPLVLRRVRARLQRQLLAVHQAAQLLPLRLRQPRVRLEVDVDGAVDAVVDGARVVGHHGGERLELGQLEVGVGRHLGEVPVHELGHHGDGRQRRRRRRAACLSHHALLRHGRRRVPGPEPAVRGRHEVVEDPLHAVDVVERLDGVAEVGHEQVVERRVLQLRPDPALQVLPAQRAGVEEHGRAQHPEQEPGGRHALLGRQQRHDLLQEVPLVPLRRRRHVGGARRHVAAAHPVASAGAAAEAGERVAEAGVALEPREEPPRVEHDGPRDALVAHALGLHRLDQALEHGQAQERHVLVPPVHPAGGRREEPAARRVRRPDAVPERVAIDGDARTVPERRLRRGVGGGGAGLGRERGDAAGDEVQVLGGGEHALAGLQRLDGVLPVAQHVAQERLRLRDQVALRVLVRHAQVLRRAAEAHHVQRVQLDLHVVAETGRQLERLGAARDVVQLQRAHAAAAVLRRLVLADHPLQDPATAQQRELHAYIPQGCRAFQSKLQDQDFNKSACSQLI >Et_9A_061304.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:9559076:9559318:-1 gene:Et_9A_061304 transcript:Et_9A_061304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LDTSNTSHQVKRSDPQVVLVETTHKYLKRVPNSSRTALFDQSAAKLTFLRVFAREPSSYIKHIATSEKERSPGCFGENNT >Et_1B_011827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26344538:26345376:-1 gene:Et_1B_011827 transcript:Et_1B_011827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIPLPLITASSGSTARARAVDSSGAIVASNAKTCTGHAKSPVKTDSSQAEFTHYISQSCTVWKLEQNKNLIVPTRPHALPVTLGTEAQPDPDSDATDDIHTISMPPLGLQAGATQLGEPLCRERVFKLVASNAYWRCGTKHQWCVCLCGARCCLLDIGKDVKDVTI >Et_8B_060075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5684668:5686009:-1 gene:Et_8B_060075 transcript:Et_8B_060075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENDTVQLHRAIFAQYIMMKKLFMELEEEREASATAASAALSLIRKLQKEKDAERMEAWQYKRIAEEKMNHTDGALEVLKEVVEQKESEINYLKNQLQMYKHKLLDAGINVCDIADETIASNIPLSESNKVENPCHSIKRNFSLPSLQLNKLFADTGINKNDGGVQSARSRLDDYTHNVIDNQWEQVPSDHKALEPMKSFSKEINYYEKNRELNSPSSGILQHNQPLDESSCCSSFSVATEDVVDTVHSDQEKDFCLGSGLDETAVHPTSAVCALQIPDRSKTETGPSCTENDIMTEESELPITVAPKERGPHALSRFAATRKVGSMNNVDRHVRISTGSCTPRAVVQRNKSRLKRVQSEKMIELKDPRASKEQIIMLNEVYQQLNMIESHMRPSGSQESPRNDASLDSVMEVLVFF >Et_1B_012072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28697755:28700335:1 gene:Et_1B_012072 transcript:Et_1B_012072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VCYKKSFWPHIRSTKTAYRFQASTLQHLKIVEETVCHNSVGGLPRPRSRARQTHRFLDAHPEYIDRVSVSSFNFATPKIVEETVCHNSAWRATKAKKQGKADAPVHRPHISLSFNFATPKIVEETVCHNSAWRATKAKKRGKADAIDGKK >Et_5B_044180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21042453:21057364:-1 gene:Et_5B_044180 transcript:Et_5B_044180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPPLASADDFVPSKRNRIWLNAQQPAMQNHDRISALPDDILIKILSLLSLRDAAVTHSLSTRWRHLWQNVDNLSLNTCTFGMQVSSNSIYDENPDFRNSEATKFVNKLNWVLRHHSGSGITNFKVQFPLNSTHASDLDRWVAFAIASCAKSLKLWLCADNGFAPIQHAELYNFPLHYFADLRSSKLNLLHLAECSLEAAPANLSGFSYLRHLKLFGVSVVDSAILNIMSSCHALCHLILLRCRQLINMRISNSQLAVLEVIFCKSLISCSIHAKKLRCFLYKGHKIDIGYECAPNLSKLHVCKEFCCVEFNVRANQQSPKELNIGWPKNCNFRRLRTVRIGGFSGESELLGLLFFLLGRSRALKTLVIDTHRSYNRGFYRWKREECEDSERCSYARAVAFEQLAPKAPSTVKLTYDGQLGLDDVARHGLDGEIPEHGRRRGLHLQEREVLAEARPRAGVEGDELVRRLVTQPPPAAAVVDPPLGPELGAVVAPHAFHPGHGVVERQHRVAGLHVVPARQDMAASHQRRGRIGAICNNLFSASRQRRETFCLIFRLCSNLISALPDDILIKILSLTTIREASMTASLSTTWRHLWKNVNCLILNTHGLGIKLLGKSNYHENPDLWNDEATKFVQKVNAVLDHHDGNGIKTFRVQFPLSSAHASELDHWVDFAAASHVQKLHISLCDDHGMAITQYEEPYKFPLKHFADAGDYQLNELYLHKCSLETAPANLSGFSYLESLSLVSVSVVDSVFMNVISWCHVLRNLHLIKCHQLINVRTSHAQLVALEVSICKSMVNISINTAKLHIFSYMGQKVDVNYEYAPVLCKLQCIGSLPRLRTLVVQFPARLQVSRALQHSERFAGLKEMVLCLLSSWGKSIRSVAYLLKAAPVVETLKLEVYGKMAPPHKLKIRWPKNFISERLSIIRIGGFSGESELTLLLLFLLKRSPVLKTLLIDTHPHHYRGLNYYWDKKKPKDPSRSYYARGVALTQVAPKVPSTVKFSIM >Et_2A_015530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14523159:14523762:-1 gene:Et_2A_015530 transcript:Et_2A_015530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIATSENERSPSLFDGSNTEITETGPEQLQNCPVCKTHIFEIFAREPSDYIKHTQQVKRSDPREPSNYMKHMATSEKERSPGCFDRNNTEITETCPGQLENCPL >Et_3B_031002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9963045:9969240:1 gene:Et_3B_031002 transcript:Et_3B_031002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLFTIGVIGNIISVMAFISPIKTFCRVSSPYVFTLLNALLWLYYGLTKPDGFLVATVNGFGAIMEAIYVVLFIVYAVDHATRVRTAMRAAAVVAAIGVLFLITTFAISELGLRIMVIGIICMCLNILMYGSPLAATRTVIDTNSVEYMPFFLSFSLFLNGGVWATYAVLDRDIFIGIPNGIGLVLGTIQLIIYAVYRIRASQSSNEITDDASQASAPLVLSDTV >Et_1A_006286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:274419:276978:-1 gene:Et_1A_006286 transcript:Et_1A_006286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRPLMRGIRPPRVFPARGLRASPYALALTALLVASAFLLALIAFGVFSLPISAPNAATTAGAAGGEAESVDARPARPRPRRDLGEGLGERGAQWTEVISWEPRAFVYHNFLSKEECEYLIGLARPHMVKSTVVDSTTGKSKDSRVRTSSGMFLQRGRDKVIRAIEKRIADYTFIPVEHGEGLQVLHYEVGQKYEPHFDYFLDEYNTKNGGQRMATLLISDVEEGGETIFPDANVNSSSLPWYNELSECAKKGLAIKPKMGDALLFYSMKPDATLDPLSLHGGCPVIRGNKWSSTKWMHIHEYKA >Et_5B_043565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11966806:11971159:-1 gene:Et_5B_043565 transcript:Et_5B_043565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGKRAKSSIKPSAVTDQYFPEKRNLEDLWLSAFSVGTEWENMDKIREFNWNFENLEKALEQGGDLYGKTVYVFGGTEPQQLDVNGGSNNIVLIPVVVAVDCPFPPSDKMGITSVQMEDEEIVPMKAMKMAWVPYVPLDDRLSRIDSLKTQIFTLGCTQRRSALKHLKTERVRKFDYCVPYYMPLNPPEEKDDTVVDIIYPREPLIVCEFDWKFDDYKDFADKIFEEEEVPEDEKEKFKEFVKEKVRERKRELKQAKEARKKAIDDIDPEKKKAFENSNSTNFIL >Et_8B_059959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4428425:4431445:-1 gene:Et_8B_059959 transcript:Et_8B_059959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSCTWWPESSERERVSPLVLSRADNECGHASASELSENKLRHNFRLGDITWAKQGGSSWWPAQLIDEACVGSKPKKAAKHDCLVRLYGTCQYLYIDPWKSNTEFKMMLKQQNKSAMEIFRTQTKVTTGKTSSRKIRKQEGLKQCSYKGVKKSAATENLEDDSENQDQEVGSAATTGTVQKAKRRRGRKSSSSHDAETIDKDSCDNSAESLRGKRPKRVVQSVVKREGLRRSARPTAKEYLDRTVSFTDTDADEDATEDSTVHETSAPHTEIKALVRDILFKEIIDREHDAEMAYVDEVINGICNGTESMTSGATGSTKSGQGIKQNGTGVEGESSNVTQKQRKPDQAAEVTKNNHSNSLKEVIDTTPSREAAMKEPGQLSARQMRQIRIMQSLGLIAPSGSPFGKNTVIAAPRH >Et_10A_000588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13249906:13254306:-1 gene:Et_10A_000588 transcript:Et_10A_000588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASVLNGAAFVALCVMLVLSSLGQEMPRASLCCTNSCASFCNSSCTAAANQSCDIQLPIAVENCYHGCNTTCSNTCPANCTCDTSCRSYCVRLTRHDVGCNMYNFGTLYDWCWPSCNTTCFGKCINGSSPGPAVRIYCTMASTAAILKGAAIAAVCVLFVHSSLGQEQPVASSCCSNSCSSHCNATCSAPDLSCDPEIAKAYEGCYHGCSTSCPKGCGVSCHCTSTCRIYCQHIASRNNPFKKCKSTTTFEECFPSCNTTCYNNCMST >Et_8B_060573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2570920:2573187:-1 gene:Et_8B_060573 transcript:Et_8B_060573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVSPELEGLRCIAPSRFVSFSFPNPLLRDASNPYGDEDGGGGAGEFLRVAVLDSPLPAPSVPCTAAMLVPAGRHRDWIFSTRAGHLHLLLSSQFSRLILVGPELSPPSPRVISCVCRPDPDPAHARLLPLLLALCPSSAFRDNVIPDVPLLSFQDDLLRLAPVKVVAGPVVGEMIVEDVAVDCSPGPVELRRRLRFKRMPCLVQTQVRLVSQSPAAAAAPSLLEALEEGSDGLLQPQASGSLVQPYLQAMAAGLAVIAPSIEESFQSGVRPRCLCAGVGGGSLPMSIRVGLQFNVLSIEADNAVLDVARNYFGLVEDELLTVHVGDAIQMIQDLARQGEPDLKFSAVMVDLDSSDSMCGVSAPPLEVTHESILLAARTILHGHGVLILNVIPSPSDGSFYKSLIGVLHQVFSELSS >Et_5A_042046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5652715:5655122:1 gene:Et_5A_042046 transcript:Et_5A_042046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAATAAVRLKREDCPRTKHDSLFSPWKVLVGPSDWEDHAAGKEGVQRYRTRNLPDNFPGLYELGVAAASDEGLRARRRDSRSVVVVYLGQADSVRARLQQYGRSGSHLDTGNSAGKNEVNALATGTGLFREVFARGYSVVFRCALMDNKQEAEKTEAQLLRVFDYAWNKLQNGACRREEVLLKLEQISHRSSLLSRVRHMKQTIFGEKAGIKIKGGGSVDTPSGIMRSMIPRVRTFVRFRPHLVNSDDGEGETIDIPWNRTYQGNTCGNRQAHGRRSEGYKVKRIDVAKRRTVPIQYSNSVCGVVLEDGSSCLEEPVQGRKRCNLHKGRRIKGKPYCSSSSYPCQVEVSNAESVPQLSENLNNPDQAQESELMSKNLATALKEESRQSSCFEAKEVKTGEAPTEHGTHETAGVAGIYGQKSSHAESQSQEEQPSGRMWFELLKAQTKSVSTHPLRGPRCQTRVADGGATKNDGTFGMVPNAGRKRCEKLCEVNVTSFSRSSGWPCTCGARTSDGSPCMNQPVEGRKRCALHKGQRTPCPLIHLIE >Et_2A_016491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25274124:25274424:1 gene:Et_2A_016491 transcript:Et_2A_016491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLCTKDRKLGIFTSQHIHGLRNRRSLALIVSRAPKACDDHAFELLLVELAVQPPVCRFKNRVLAFTRAAVPFDCVEVGRLPQAISRRTMPKL >Et_1B_011355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2137705:2144994:-1 gene:Et_1B_011355 transcript:Et_1B_011355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRLPVLAALVLLLAAVAVADDGGCPLPSRSSLAEVLLAVVGFALLARTALLEIKKSFRNGDTALHDWSGDGASPVYCSWRGVLCDNVTFAVAALNLSGLNLEGEVSPVIGSLKSVLSVDLKSNGLSGQIPDEIGDCSFLQILDLSSNNLEGDIPFSISKLKHLEKLSLKNNQLVGVIPSTLSQLPNLKILDLAQNKLSGEIPNLIYWNEVLQYFDVKNNSLTGTIPDTIGNCTSFQVLDLSNNQLTGEIPFNIGFLQVATLSLQGNKFSGPIPPVIGLMQALAVLDLSFNELSGAIPSILGNLTYTEKLYLQGNRLTGSIPPELGNMSTLHYLELNDNQLTGFIPPDLGKLTELFDLNLANNNLGGPIPENISSCVNLISLNLSSNHLSGAIPNEVARMRNLDTLFLGNPGLCGYWLGSSCYSSNHTHKLSISKAAILGIAIGGLVILLIILVAACWPHSTPAVKDVSRGKQDTFASASNIVHPKLVILNMNMALHVYDDIMRMTENLSEKYIIGYGASSTVYKCVLKNSKPVAIKKLYAHYPQSLKEFETELETVGSIKHRNLVSLQGYSLSPAGNLLFYDYMENGSLWDVLHGSSKKEKLDWGARLQIALGTAQGLAYLHHECSPRIIHRDVKSKNILLDKDYEAHLADFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDDECNLHHLILSKAADNTVMEMVDPDISDTCKDLGEVKKVFQLALLCSKRQPSDRPTMHEVVRVLDSLVCPDPLPKPAQPPASHQSSTAPSYVSEYVSLRGGSSLSCANSSSASDAELFMKFGEFSRASRASLLNPRGPGHGGLSVSWRVSKIDGVGDAGNNAATCMGPKPSAD >Et_4A_032923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15684810:15690465:1 gene:Et_4A_032923 transcript:Et_4A_032923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPLAADMVLPSPCPAAVAPTPVVAAAWGAARAGSVRCKATQLRMMRTGGPVAGRRRRAPLSVRCDASSRDGRITQQEFTEMAWQSIVSSPEVAKESKHQIVETEHLMKSLLEQRNGLARRIFSKAGVDNTKLLDATDKFIQRQPKVLGEDPGSMLGRDLEALIQRARDFKKEYGDSFVSVEHLVLGFADDKRFGKQLFKDFQINVKALKSAIESIRGKQNVVDQDPEGKYEALEKYGKDLTAMARQGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAIAEGLAQRILIALDMGALIAGAKYRGEFEDRLKAVLKEVTDSDGQTILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVFVDQPTVEDTISILRGLRERYELHHGVRISDSALVAAAVLSDRYISGRFLPDKAIDLVDESAAKLKMEITSKPTALDEIDRAVLKLEMERLSLTNDTDKASRDRLSRIEAELSLLKDKQRELTEQWDREKSVMTKIQSIKEEIDRVNVEIQQAEREYDLNRAAELKYGSLNALQRQLQATEKELDEYQSSGKSMLREEVIQDDIAEIVSRWTGIPVSKLKQSDREKLLHLEEELHKRVVGQDPAVKAVAEAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALASFMFNTEEAVVRIDMSEYMEKHSVSRLIGAPPGYVGYEEGGQLTEAVRRRPYSVILFDEIEKAHSDVFNVFLQILDDGRVTDSQGRKVSFTNTIIIMTSNVGSQYILNMDEEGGSSDSAYENIKRRVMDAARNVFRPEFMNRIDEYIVFKPLEREQINSIVKLQLARVQKRIADRKIKLEVSPGAIEFLGSLGYDPNYGARPVKRVIQQYVENELAKGILRGDFKDEDSIFVDTQVTVPSNGQLPQQKLVFRKVGQESKPAAEDEKFLPAV >Et_3B_031106.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:1654081:1654278:-1 gene:Et_3B_031106 transcript:Et_3B_031106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRFEVRNEVGLGDPDLYGGAAAAAGAGKKGAGAEEEEPKALLEGVAVAGLVGILRQLGDLAE >Et_2B_022293.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17107766:17108353:1 gene:Et_2B_022293 transcript:Et_2B_022293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPCPLAITYAPARRGRAAVRTAPGTSRRSAGRSAGVTVVSHWFESSPTASDTAADRGAVQRSLDRLHDGLVPGPHRARRVPGHHAAMARRDRLPRFTAEQAALVKCSYDFIGINYYTSYYYATSVPPPNGLEQSYTGDIRANTSAFRNAGGEPEFVPISRKSRISHMLRLLSLLTSSAVVEFSDCPEAYVCML >Et_2B_022081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8903589:8911410:-1 gene:Et_2B_022081 transcript:Et_2B_022081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPESYIGSVISLTSKSEIRYEGVLYTINTEESSIGLRNVRSFGTEGRKKDGQQIPSSDKIYEYILFRGSDIKDLQVKSSPPAQPAALHNDPAIIQSHYPRPASVPTSLPSAASTTAADPSSHNAPSGIQMPSPFQGNLPPYQPGSSLPPWNSSPMPSSANATGLTMPPMYWPGYYTPPSGFPHLQPPPFLRPPHGLTVPQAMQPPLQYPGLNGPLPAGFPNMPELPSFLQPGNNNNNLAQSLVLSTSIPASSSATESSESQVLKKLSSASSAVVSVAVSSHASQTASAVVASSKNASSMVPSSQETSSTVPSYQATSSTVPSSQATSTIVPSSQATLSMLSPSENASSSVVRKPLDVRSENKEAKHREWKAKQSAVAQSKKEPLLPAPKPVLQKPGGPSSYVQYNNRSHVQYNNRGRGRGRGRGRGNEQSHPITKFTEDFDFMAMNEKFNKDEVWGHLGKGNDDDANDYEDNVLEDDEISPRKPEAKPVYVKDDFFDSLSCNTIDNGGRNGRIKFSEQRKIDTETFGDSARHRPMGMRGGRGPRGGPRGRGYYGRGYGYMGRGRGYSYPNHHDIK >Et_9B_064807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18869959:18871698:1 gene:Et_9B_064807 transcript:Et_9B_064807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAAGDGGHHGLHGDPTAGFFNPFDNDDADSFFLRRSPCAAGEGGGDELAAYSSSITDYLQGFLQDDDGVVKQEMVERHAVGGHDVVDQLAGASAMPPTSSVLSSSSEAGGGGEPRTGRCEKGTPEEEEEENDDDAEEEGSAADRNCKRSKGEKKRGEKKKARLPRVAFMTKSEVDHLEDGYRWRKYGQKAVKNSSYPRSYYRCTAARCGVKKLVERSQQDPSSVITTYEGQHTHPSPVGLGGRVGGMRAFTQPLLPPLPPPQQQQLGLLRHDDLAARAMMMTGHLGYGDHGARVPGSLLLLPAGNGVRSPMSTHLVHQQERRASSPLVPAYGGAPGFVPNSAAIGDVKAHERC >Et_5B_044777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5646569:5650198:-1 gene:Et_5B_044777 transcript:Et_5B_044777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAAPSPIPVASLTSCRGKATAGPRAAGPCIQQPRFFSRRCGPSARIARRRPKLRVAASGSKAGPVEERVPLAPVPGAPVPTAPSPPVEAQPQVSTGTWKWRGYNIRYQQAGTSGPALVLIHGFGANSDHWRKNITVLAMENRVYAIDLIGYGYSDKPNPREIEANFYTFETWGEQLNDFCAEVIESDAFFICNSIGGVVGLQAAVMEPQKCKGIVLLDISLRMLHIKKQPWFGKPFIKSFQSLLRNTIVGKLFFNAVATPESVKNILCQCYHDTSAVTDELVQIILQPGLDPGAVDVFLEFICYSGGPLPEELLPLVKCPVLVGWGEKDPWEPVELGRAYASFDAVEDFVVLPDVGHCPQDEAPELVNPLVESFVKRQR >Et_5A_042881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6109125:6109807:1 gene:Et_5A_042881 transcript:Et_5A_042881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQIVLKVAVQEVFLSLDVRGGGPATANEASTCKKVSDRRRGRAFFDSSLSFAGRFYCVADGAIIMDTVHLVENNGRELIYAGSPLPLRQPHEEVRTTCACRVDLGAGKSTVPARGLDGRAVFIGQHRAVPVSPSVFPSLAADAVYPSFEFSEKTRKAECNNEAYRLADGSVDPSASRYDASRGLLVARPYTIADFLSLV >Et_4B_037473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20106930:20116182:1 gene:Et_4B_037473 transcript:Et_4B_037473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSVLDCLVCYEPLSPPILQCGVGHVLCSTCCAQLTKCPLCSGTVFQRCFAMERVVESIVVPCSFAKDGCAKEIAYLNKKKHEDTCSHGPCFCPESGCSFTGPATSLLDHFTSHKWPCTPFKYYKQFDLVVRPGPHVLHSQEQESSLFLVNMEPVEPVGHTISIVCVHPNAIPDDRESRFGCSLEFSCFKGHSQTSTLEVMKSSSLLDGLPKDYFCIVPYASDRSSYVMLRTTIDTELMYDEVDDELEDEDEDEDDRSYDEDEDDEASNSDDD >Et_3B_027732.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16616787:16617050:-1 gene:Et_3B_027732 transcript:Et_3B_027732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATCTYASSVWTELAPWTGAPPLPGSAGNFRCFKTWWEQMTGPQGAQQYKQRAQAVIHFVWSDDSLTDGLIHKARHSELAIGVHMH >Et_9B_065213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2519476:2522360:1 gene:Et_9B_065213 transcript:Et_9B_065213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRNLLRASSPSLRRSAGGLAAREGGEAAAAVAASTGLLPADAGGGWRRAMSTSRGRSMRSKVEKRMARETGRTQRELRRAVKLRKKLMTDDEKLIYQLRRAKKKVALLLQKLKKYELPEFPTPRHDPELLTAEQLQAYKKIGFRNRNYVPVGVRGVFGGVVQNMHMHWKFHETVQVCCDNFPKEKIKEMAAMLTRLSGGIVINIHNTKTIIMFRGRNYRQPKNLIPFNTLTKRKALFKARFEQALESQKLNIKKIETQLRRKGINPEDPVAMASIQRVASTFFRAIDEQQGTPYVFRGDEQSSAGTAEIKEQRDHPSEDSDQEELDRFIAEIETAAEKQWEEEEAAEKEESSRMRYWEREESGERRGFSRSYENSDDEDRGRRNRRDDNNNIRTSNARRWDDDSEVEASGEEWDSDDDRDNVLGFNNDVGSPDEHPRRFDSSRNEKTRSSRRHNFVPRGEVGERSFNRRYDNSNEDRGQGRYRTGSNNRKTSDARRWDDKSEIEASGEEWDSGDDEDDVLGFGNHRGVPDEHPRRFKSTRNEKSRTSHRQNSIPQGSRNSSQIPRTSVGAPGSSMFGDSDVDDLGSEDDLWESDYKGKETNSGAPKTNLSNYHSISEDDNIDSWKHGDKTGKVKKNNDESWDSD >Et_3B_028376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14428467:14433693:1 gene:Et_3B_028376 transcript:Et_3B_028376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAMAALAAPPCTSSSPSTLFLTPSASSRRTMPRAAVRASAAAAARPTLSAGWDLSGLSAARPAARKARLEELDTTNMLLRQRIVFLGSPVDDTSADLIISQLLLLDAEDQTKDIKLFINSPGGSITAGMGVYDAMKFCKADVSTVCFGLAASMGAFLLCAGTKGKRYCMPNARIMIHQPSGGVGGKVTEMGLQIREMMYEKIKINKIMSRITGKPEEQIDEDTKFDHFMSPWEAKDYGIVDHIIDEGKPGLVAPLAGAVPPPKSRVWYLWKASGPTRKIIKDLPSEEKFIRNSNGRATGDDEKLKEASAT >Et_7A_050884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1260711:1265241:1 gene:Et_7A_050884 transcript:Et_7A_050884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPTPTAPKTIADFFTRPAKRLRAGAPAPAAPAPAASLSSSSPSSLSPEQRRRADTNLALARARRNLRLAESKAKASGGAAKLEELLVEETWLEALPGELRKPYALELCRFVTHERLHGPLPIYPPQHLVFHALNATPFDQLKAVVIGQDPYHGPGQAMGLSFSVPEGIKIPSSLGNIFKELQKDLGCTVPSHGNLERWAVQGVLMLNTVLTVREHQANSHVKKGWEQFTDAVIKTISEKKSGLVFLLWGNSAQSKIRLIDETKHHILKSAHPSGLSANRGFFGCRHFSKTNQILERLGLSTIDWQL >Et_2B_019038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26455413:26456471:1 gene:Et_2B_019038 transcript:Et_2B_019038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTSKLPLLAAISLLLLLLFVPSTAAARAIDASGAQRLELPDNVFGPESVAFDDHGGGPYVSASDGRVLRWDGEAAGWKTFAYSPSYAKHKQCQPSPNSDLPSEATESLCGRPLGLRFHNVSGDLYIADAYMGLMRVGKDGGEATVLATTADGVPFKFTNGVDVDQVTGDVYFTDSSMQYTRADNKKVTSTRDSTGRILKYSPRTNQVTVLQSGLPYPNGVAISSDRTHLIVALTGPCKLHKFWLTGPKAGKSETFAYLRGFPDNVRQDGNGGYWVALNREKIEWPRNDKHMIAIRIGGQGEKLQEISGPTTVSLSEVVERQDGELYFGSVERSFVGIFTST >Et_3B_029476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25352411:25356809:1 gene:Et_3B_029476 transcript:Et_3B_029476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSRLQELCQQRRWAPPVYEHTREGADHMPVFRATVAVNGAEFRSPEEGARSAKEAQNLAAMAAFESLSAVPAAPAPPRPAPAPPLPGPVEGPPKSQLQIYCQKRGKRLPSYRPIHEGPPHLLKFKSVVTVDGQTFESPEFCYTLKEAENAAAKVALVSLPQEASLPVSTVSSLSYKNLLQELAQKERFSFPLYNTTSDVPNQPGAFKSTVEVQGTIFQGEPGSSKKQAEMNAAKVAFQHFKDINHESHKFGSAIFHPDAEKTKPLDDNPQSAKLKVNNNSSLPKASTEAQVMDSSLVDDPPRQVPSTKVEVMDSSVNADRLPLLVPKTEVEVTDSSLDVDKLPLPEARIKVELTDSTTQAADELRIPELGTEVDVMDSTQQVDEHPPIPEPSTEVEEMDSSPQPQVDKQLSIPEPSTEAEMDSSLELTSTVDGRSNHIASTRTPGFTLPRTTPPASSDGCGCYMLTNRIQVYPRHSDMVIPEGATMLPISDDQWVAVSLPFSNSGVTF >Et_6A_046658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1927659:1933582:1 gene:Et_6A_046658 transcript:Et_6A_046658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGTSPLRKEYHPDCPGCVYDRKKDLQSGIPYKELLQVWMICLTAALPISSLFPFLYIMIRDLHVAKRTEDIGFYAGFVGASFIFGRCLTSTAWGIAADRVGRKPVVVFGIFSVAVFNTLFGLSVSYWVAVATRFLFGALNGLLVPIKAYAIEVCRPEHEALALSLVGTAWGIGLIIGPALGGYLALAPLIDPKEKVDQSGSLENKKSLFRNRPLMSSIVIFCIFSFHDMAYIEVFSLWAESDRKYGVSLLVYQLFIYPRINKILGPIKSSRIAAILCILILFAYPYLTYLSEPGLSILLNIATVIKHNLSVTIITSTLLLQNNAVPQNQRGAASGLSMTVMSLFKMVAPAGAGIVLMGPETPTCLLLSR >Et_1A_005167.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30364893:30365096:1 gene:Et_1A_005167 transcript:Et_1A_005167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHHLSNGGSGRGKKMLKKLRSGFDTLIILIVWKLRRERNNRVHEFRALQSIFLAQEIIDNVYLWGQ >Et_1A_005780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13962552:13970877:-1 gene:Et_1A_005780 transcript:Et_1A_005780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKPSPSAGGAAKPAAAAPPSAAPDTVHSALVTYTSMLSLLSLCPPFVILLWYTMVHADGSVVRTYEYLRDHGVVEGLKSIWPMPTVTAWKIIFGFGLFEAALQLLLPGKRFEGPISPAGNVPVYKANGLQAYAVTLITYLSLWWFGIFNPAIVYDHLGEIYSALVFGSLVFCVLLYIKGHVAPSSSDSGSSGNVIIDFYWGMELYPRIGKHFDIKVFTNCRFGMMSWAVLAVTYCIKQYELNGRVADSMLVNTALMLIYVTKFFWWESGYWCTMDIAHDRAGFYICWGCLVWVPSIYTSPGMYLVNHPVNLGPQIVASYTTTKGETKSSLLLTSGWWGLSRHFHYVPEILSAFFWTVPALFNHFLPYFYMIFLTILLFDRAKRDDDRCSSKYGKYWKMYCNKVPYRELP >Et_2A_015779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17826237:17828336:1 gene:Et_2A_015779 transcript:Et_2A_015779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSAAAELEAAERVAMSHLEDEFRHVLSARALGHEIEALAGGLRSIPDSGDDRSNSDATKAGDDEDFSVSSYVDGSQRSIRLREADLFPADAISDLRAIASRMAAAGHGSACVQAYASVRKTAVESALRRLGVENDDDDVRCLDEWPDALEAKTRRWIRGARAAVRGVFASERRLCLRVFHGLPLLSNSNSNTAAAEAAFAEAVKGEAQQLLGFAEAVSVGRQYCPEKLLFRVAHMHDALADLLPDISVIFAASKATESIYMQAPPHPFPDLDVPDPDGHLPFAVHLAGIIVALEHNLESKASLYKDVALSHLFLMNNVQYIVHKITGSSEFRRLIGDEYLKQLTTKFGQAAIRYQQSGWLKILNYPRHEGDHLHVSSRGKTRFKRRGQSARQKSVARQRSSFLASPLSNGFSKRVSKLVLRKRFKGFSTAFSEARKVQSGWYVPDTQLRDELRISILKKLLLIYQPFLGDHIEKGKCSKYYIKYSIEDLEEALAGFFEGASPS >Et_6B_048827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14171408:14173650:-1 gene:Et_6B_048827 transcript:Et_6B_048827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPPSSSAAAAGGGDVEDPFFDYDIVIPPDYLLELDHDDGVGCAYGTAAEDAQACTGGGEEDERLSLVYKGFSYVFDSVPPQKFLLLDNCKLTCITASLVAVALLTEKNDLTISPGAADRCAQDFDRTAAVSRYREKRKTTLKFDVKADYSIRREIASSCDNCRASSEVTPMMRRGPNGYRTFCNACGLMWAKTKALIPVITNIYIVAIGTFIMDRFHASVIHY >Et_7B_054576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2478312:2480874:-1 gene:Et_7B_054576 transcript:Et_7B_054576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRQAAAAATIALLLAAATLSSAAPLASSRKLLHAVSPFCPWDAVKFGACVGVLGAAGLQAGAQLESACCKIAYGLAAAEAAACFCTTIKETVLGIPTEWTVGVGVLASACKTELPDGFNTHRTALVASVCSAMESAKLSVVLVLAMLALSSAREMAVQPECNSCQTGAPSTGTPSAGTPAGGITLPPVAVPKLPSLPVPSVPLPSLPLPPVSVPKLPSLPLPSVPLPPVLGTPLPVPPVTGLPPVTLPPVTGLVPPVVGLVPPVLGGGGSPKHSSPKARHGGRKACPPPPTPTPSPTPASDTCPIDALKLGACVDLLGNEVHVGDAHVQCCPLVKGVAGLSAAACLCTAIKAKVLDISVYVPIALKLLVNCGCAVPPGYTCA >Et_1A_007639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36711577:36713465:-1 gene:Et_1A_007639 transcript:Et_1A_007639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DIWCHIHSLMPMQDSARAACVSRTFLLSWRHYPSLILTKETLGFKQNTCQKGDIEAFTNKVDQILKNRSGAAVKTLELDVFWCRNLDHRFLNNWLQIGITPGIENVTLNLPIIYKEEYSFPCSLLFDGNGGSIQHLHLTGCALRPTNHLGCLRNLTKLYLCHVSITGEELGCFLSHSPALMDLELRLCSEIICLKIPCMLERLSCLTVVDCSMLQMIENKAPNLSTINLEGDIEELSLGQSLQVKILDMDCSTESNFLSSAITKLPYIVPNVESLTLFSIGEMVNTPMVAATFVHLKFLEVYLDGDLSPGYDYLSLVSFFDSSPVLETFSLRVHQSEMNFESVLDDDTHMRQMPERKHRSLKNVTIFGFCSAKSMVELTCHILENATSLGCITLDSVFDEDDEGDMGRCSVNSDRKAGDCCELSNEMILEANKGLMAIERYIVWKVPSATKLEVRGPCSRCHTIQGAASVIRAQ >Et_1B_011464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22602573:22606153:1 gene:Et_1B_011464 transcript:Et_1B_011464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKEPGELEEEIHVSSGGVRTDDDLENRRPLLSKTPAHAECYSVSAAILPFFFPALGGLLYGYDIGATSGATISLKSATFSGTTWYNLSSVQTGLVVSGSLYGALIGSALAFTIADILGRRKELILASISYLVGALLTATAPNFGIMVVGRFLYGIGIGLAMHAAPMYIAETAASQIRGMLISLKEFFIVLGMLLGYIAGNFYVEVVSGWRYMYATSVPLCLIMGAGMWWLPCSPRWLLLCAIQGKANLTEAKENATQCLCRLRGQASPDLVLDQINLILEELSYVDEERQVGFSEIFQGKCLKAMIIGCGLVFFQQVTGQPSVLYYAATIFQSAGFSGASDATRVSILLGVLKLIMTGVAVLVVDKLGRRPLLIGGVSGILSFGPIGWLMISEVFPLKLRGRGLSIAVLVNFASNALVTFAFSPLEDLIGTGLLFSGFGVIAVASLVFIFFIVPETKGLTLEEIEASL >Et_2B_022260.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15799623:15800042:1 gene:Et_2B_022260 transcript:Et_2B_022260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAPPASGWEIETGLEAGFFTEAELAVADQLVQLSGSCGEAPSPSSSSSSLRSVNTYTAAAAWKEEEEEVVAPASRLGEELDRRARKRYRLLSDLYAATEPETGASAATSGARKRKRHGVADGKAMLPRYGDQSCY >Et_8A_057177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2242649:2247026:-1 gene:Et_8A_057177 transcript:Et_8A_057177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KIAEIGSLRMTGKATGAALPESSCAYLLQELKMIWDEVGQDENERERILEELEQECQEVYRRKVNSANMSRIQLHQALAESEAEFTSLLLSLGERSFPGRPEKMTGTLKEQLNAITPALQEMQMRKEARVKHFMEVQTEIQRIASEIAGHTGNEAVTVNEEDLSLKKLEEYQGELQRLKREKSDRLCKVEEYKVLIHNFAKVMGMDPSKILANVHPRLLDGPNEQQTKNISDDILKKLNMTVQQLKEEKNSRRDKLDSLVKALTNLWNILDTKMEERQPFGQIKIFATTSANSMLGPGSLTLETIQQVESEVNRLDQLKASKMKDLYLKKRAEVDEICKKSHMDLPYQTEMDTILELIMSGDVDHDKLLKTMNEYVYKAKEEAASRKDIMEKVEKWMSSCDEERWLEEYSRDERRYSISRGAHKHLKRAERARIVVNKIPGMVEQLVAKTQFWEQERNKVFYYDELPLLAILKDYMLTLKEKEEEKYRQRENKKIQNKLVKRHADSVMLRPNTSFSRPSSRGLNTSPGTASIWSSKVLTKVQQHGSENSSAEKNVHARKIRSRDMQTTLGSSRNCSIFHEDKSSASTIKQDISPI >Et_9A_061884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17433733:17434904:-1 gene:Et_9A_061884 transcript:Et_9A_061884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAVAAARPSWLPRAPSPPRGRACSLRCAVPPGTGRPLHKPSSAAAPRTAEQALTDVTISFSVPVQKSYCRSLQRQGRQPRCSQQTEVASSVDDDEACELVRGADVVIGEGDDSVRAHLLEAVKNNNGTGVLLLSDVFGFEDSATRDFAYRVACNGYNVLVPDLFRGNPWRKSLPTDGFEQWLGTHSPARVSGDIDACTRWLADELTAAGLSRKLGVIGFCYGGARLVETLARDSSSFRAGVCFYGSRMDAALGDRVRAPVLFVCGDGDPLCPVDTVRELVERRAGRGCSRAAVYAGRGHGFAHRPQSIEEDADAEDAFNAMRAWLHEHLLA >Et_1B_012467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32261232:32264078:1 gene:Et_1B_012467 transcript:Et_1B_012467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSILRSAFRLSRRPSVLPVARGAPRRYPSGFARPDLPFKPIIVLSFAYEPWLIQHSIGVRSEKEQVKLERTVKVMDEANMSNEIKDMSGNVLRMANAVLELKKLSMVYPCHPDQENCIRHGPSELIQVFSLRLAKTPVNSVSVQLYGYMAARDDMDGKLNYVFNRSRDDPLILQKGSLIEMTGPRRGIVMISDVLFEFDMRIRTGEKEEDDIQLTDGVILCTIHMATHPVTRRISGNCGGAVDMSLALVESGVEATIEVVISELQNAFDLSISSFLSEWEHKEFQLFHGTVGETCVKRFVIAAMMDAMMHLKFTVGQKGSNNDVVHDCSFNAKVHGCTTRQIKLEMACISVKVNWSPPMD >Et_5B_045297.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1771634:1772065:1 gene:Et_5B_045297 transcript:Et_5B_045297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMASSSLNPNAPLFIPAAYRQVEDYSPEWWELVKTTAWFRDHWVRQHQLLEAQYDTALPDADALDLLDTDDLFYSPDNHQQPEEADVLRSLSLNPPATTSPRGALWDHHYQQQQQPRQADKPAQQHVGLKAGARRVIHQPR >Et_4A_032645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12119273:12128109:-1 gene:Et_4A_032645 transcript:Et_4A_032645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSPPRSLALLPFLLLLALLPLPTTAAEAAGGPAAWEALRVAAPALRASPAEQETAAAGVLRRLLPNHARSFSFQIDSKGSVCGESSCFRISNIAGNSGKGGAEILIQGTSGVELASGLHWYLKYWCGAHISWDKTGGAQLASVPLPGSLPRVNGAGVKIVRPVPWNYYQNVVTSSYSFVWWDWKRWEKEIDWMALQGINLPLAFTGQEAIWQKVFKSFNVTNRELNNFFGGPAFLAWARMGNLHGWGGPLSQNWLDQQLALQKKILSRMIEVGMVPVLPSFSGNVPAVFKNLFPSANITRLGDWNTVDGDPRWCCTYLLDPSDALFIDVGQAFIRQQIKEYGDVTNIYNCDTFNENTPPTNEPAYISSLGSAIYEAMSRGNKDAVWLMQGWLFYSDASFWKEPQMKALLHSVPIGKMIVLDLFADVKPIWKMSSQFYGVPYIWCMLHNFGGNIEMYGILDSVSSGPIDARTSNNSTMIGVGMCMEGIEHNPVVYELMSEMAFRSKEVEVEDWLKSYSYRRYGHTNAEIDKAWSLLYYTIYNCTDGIADHNKDYIVEFPDISPSAVSSKRRGVSIASKHRGFLLREVSASLPRPHLWYSTKEAIKALEMFINAGNDFSESLTYRYDLVDLTRQCLSKLANEVYLDAMSSYKKKDSNSLNFHTKKFLELIVDIDTLLAADDNFLLGPWLESAKSLAMTEDERKQYEWNARTQVTMWYDNTRTEQSKLHDYANKFWSGLLKSYYLPRASKYFAYLTRSLQENRSFQLEEWRKDWISYSNEWQSGKELYAVTATGDALAISRSLYKKYLS >Et_3B_031162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19442853:19448486:1 gene:Et_3B_031162 transcript:Et_3B_031162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAVKPWCSARRLANSAIGRTCPAPAGLASRMTCGGSAAGMMGWESSTIGQCLRLRTTERTAAPRAKAHTEHFCQLPWGGRLHQSQANRLRASCSWRAPARATIVTYTNFASAEHSSTLASLPPSVDSTAVLPEVPLDDLPADARVETRIFTVVKRALPHLRDLLRSLLASPPGVAAFVADLLSPWALEVARELGVPAYLFSTTNLTALSVMLHVPELDRTTTCEYRDLPEPVRLPGCVPLRGADLLDPIQDRSNPVYPIMVEFGSKHLLADGFIVNTFDAIEHETMAAFKELSDKGVYPPAYAVGPFVRPYSGSEAAKHIYIRWLDEQPDASVLYVCLGSGGTLSTKQTAELAAGLEASGQRFLWVVRFPSDKDNSASFFGTAKHGDDPLSYLPEGFAERTRAVGLCVPLWAPQVEILNHRAVGGFLSHCGGGTQRSRPLRRA >Et_5B_043684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1455453:1461595:1 gene:Et_5B_043684 transcript:Et_5B_043684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAAARARRLLASPATSGLPGIVSVTNSGCASGAEAVLLHRLLDGVFDSPSSPHHVRGFSCFASQSAGKMVLPTMTSQWRREKSLCYHMTTAPFSTEASDNDRPTEAAEEQYQKMMKSVEDETMPPNAWLWLMISSCSNKEDIKLLFQILQKLRRLSNLRISANFNDHLCMKVTEACARVGALDYGLKALWKHNVYGITPTIGSAHYLLQHAKEHNDTKLMESVMQVLRRNSMPSQPGTADIVFSICYNADRWDLLSKYAERFVKAGVKLHRTAFDIWMEFASKVGDSQAIWNINSLRGKSVKHYTLATGFACAKGFLLDRKPESAAAIIKLLNKHLPEQKKPFIKDELQKLIAEWPSEVIKRQKKDDRKALGEALVEDIPKMINCLTNLGLDLPVDLDKLTPQLQAA >Et_4B_039629.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24300262:24300918:1 gene:Et_4B_039629 transcript:Et_4B_039629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILNQPINPGGHPVFPAAKESGFHADARQLMPASVRFDGPSTAAAGRSPRWQAQTLRRASSYVGAEHDGATAAVPAAGPAPPFKPLTLDFLRSFLDRHGCVSSSVAEAEDGVAPPASPATPPPQVLALRVVVTSAVELDARQTELIARKMRRITGFVNLKLENVVDPSLIAGFVVCYGTDDSHVIDLSVKGKLAALKNRVDSIDQRAHAHESPHQLI >Et_8A_056593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12998997:13010608:-1 gene:Et_8A_056593 transcript:Et_8A_056593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELGQQTVDLGAVVRRAAEESYLALRELVEKSRAEAEAEAQGKEGPQRSDTQKKIDLLKFVDRTRQRMLRLHVLAKWCQQVPLVHYCQQLESTLSSHETCFTQTADSLFFMHDGLQQARAPIFDVPFATEVLRTGSYRRLPKCIEEVGNQNTLFQDERRSTLKKLNTLVRGKLLEISLPREISEVSVTDGIANIRVDGEFKVLLTLGYRGHFSLWRILHMELLVGEKTGPIKLEETRRYALGDDIERRMANSDDPFTVLYTVLHELCISLVMDTVIRQANVLRQGRWKDAIKSELISDSSASQSASSASVQLGQDGELELAGFKIPGLKVNYWLDDKSAGSAELDLSPFIRIEAGKDMVIKCLHSSFILDPLTDKEADLSIDLSCIDVEALILKAIACNRHTRLLEIQRELKENVQFSHSPTDVVLKREEAEGLRKKVEEKKFENSCTNELLQALYYGTDRVVKINPPPVSIFAPGTNFVHMSLNNRSGRLLLQASKSVLSPSVLLESEEALNKGSLTASEVFLGLRTRSILQLFAATGNVLGLKVYSQSQVTLKIPKSMLYGSDFVVMGFPQGANAYNLLIQLDNDLKPVFHLLETRADGSNTFNADPTTEAKEAIRFNKIDISHMQIGKNECSMNLFNAEKAPQDIVNYNQTPEGRPLRQRGNGELLSLVPSFSHFFSAVDEVLGYDQSSPTVENELLPPYNLPSTQLSSVQVGLERVNDTVDLPELDGTFMHSDINPLEVTNSACFKSNQPSNLNCSVPRGVSLVSSDCKSSRDQTSLGCQRGNISAAKSGGLSRKRALSEFLLNIPSLQQSGITDEPRKRRKVPEHMKDGVLKSYSSHTQSGKPLTYGNILVDENHCVTSSIYASVLRNVIKHCSLRIKYAQLTAQMDSLGISYAEEVDLQSPSSILWLRLPFLKDDSWKYVRLHLGKAGCMSWDVRVNDSYYGSLLKLHGGSSTTEWGFGVRIADTSEIDSHIILDDDGFVLNYHTVEADSVQRLVSDLQRLSNARSFCRGMRRLIGVKVDEKLDENAASAGTKLHPARRGSRHRLSEQMRKTFRIEAVGAMSLWFSYGAVPMVHFVVEWGAGNGGCTIHVSPDQLWPHTKFLEDFVNMGEVASFLDCIRLTAGPLLALGSAIRPAKMPVTAATGCSSVPKQNNILAQGTTKNDSSSTTMQTAPAPPGPGVAQFSNHNVQSSVLSAAGRGSPGLGPSSSLPFDVSTVLRGPYWIRIIYRKIFSVDVRCFAGDQVWLQPATPPKGGPSVVGSLPCPQFRPFIMELVAQGLNAFEPSMNARHSAAQLKANANTASGSQQPALGPNRFSSTHGVAKSRPTSNIGNQVAASFSRAGGASVASKSALGISGALANLSPGTNVPAHMKGDLSTAFTGLGDDGGYGGAWVPLAALKKVLRGILKYLGVLWLFSQFPELLKEILGSILKDNEGALLNLDQEQPALRFFVGGYVFAVSVQRVQLLLQVLNVKRFHHQQQQQQQQSQNTGQEELATSDINEICDYFSRRIACEPYDASRAASFITLLTLPISVLREFIKLFAWKKSQSQGHGEISNAQSLVQPLNVSGGASWLPYCVSVRLRYNFGDNSHISFLAMEGSHGGKACWVRYEDWEKCKQTVARAVETLNGSPIGDGQGRLRMVAELIHKQLHLSLQQLRDGPRSAGPNIS >Et_5A_040965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15801545:15811277:-1 gene:Et_5A_040965 transcript:Et_5A_040965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGMLMGVVVGVALMAGWSSVMRRRSTKRVAKAADIKVLGSLGRDDLKKLCGDNFPEWVSFPQYEQVKWLNKHLAKLWPFVSQAATAVVKESVEPLLDDYRPPGIKSLKFSKFSLGNVSPKIEGIRIQNLQPGQIIMDIDFRWGGDPSIILAVDAVVASLPIQLKDLQVYTVIRVVFQLSEEIPCISAPEPKIQYTLKAIGGSLTAIPGLSDMIDDTVNSIVSDMLQWPHRLVVPLGVNVDISDLELKPQGRLSVTVMKATSLKNKELIGKSDPYVVLYVRPMFKVRTQVIDDNLNPEWNETFDLIVEDKETQSVILEVYDEDKLQQDKKLGVAKLAVNSLEPDSTREISLKLLHSVDPLKNRDTKDRGTLYLKVKYHSFTKEEQLEALEMEKKAIEERKRLKEAGVIGSTMDAIGGAASLVGSGAGLVGSGIGAVGSGLGKAGKFMGRTVTGHFGMSRKNGSSSTAPQPDQPSA >Et_2A_016698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27275031:27276595:-1 gene:Et_2A_016698 transcript:Et_2A_016698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGFHLWKLEKLFSPFGPLLMWDVPKFINNACCCITIKHMSFGVVVFKNREDGSRAIDELNGYETGGRKLRVDWVYPSYVLLSKKKDKGGAGGDLGATSFWRDPDAAFCSICGDDKEEHLEQMCPYNYLSPASYSPCKARLALWGNYTTTPRYNRKKAERPPVDDETNSRRLGFMRCFVRVNNLPEQCHPEELAALFSKFGPLRMWHVATHRSGICKGFGGIVFQNRDHADEAIETLNCFVLGDRKLRVDWAYPSLNC >Et_2B_022017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8009878:8022576:1 gene:Et_2B_022017 transcript:Et_2B_022017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIEVGVIADNRTMAAIHMGINRDLVGFLLVREMLLKWTSTFMASPLLFVIVLCSYYSIAHGGDKHSFIVVPTSSFEPEAACSTSRVKQGPNRASVFAPLVHRHGPCAPSQSSNKPSFAETLRRNRARANFIVSRASKGNIIRSRKDGNASVPTYLGSFVDSLNYAVTVGIGTPPVSQVLLIDTGSDLSWVQCAPCNATECYHQKDPLFDPRLSSTYAPVPCHTAACRRLIVDRYGQGCTDGGAHCAYFIQYGDGSRTTGVLSRETLTLAPGFVVKGLLFGCGRDQRQQDDRFDGLLGLGGAPESLVVQTSSVYGGAFSYCLPPVSSKPGFLSLGAPANGTAGFVFTPMGRIMDELTSYVVRLIGISVGGKRLRVPATAYRGAMFIDSGQIVTRLQETPYRALQIAFRKAMKAYPLLPPNPDSLDTCYNFTGYSNVTVPKIALTFSGGATVELDVPNGILLNNCLAFEESGPDIGLGIIGNVNQRSLEVLYDVGNSQVGFRTVKQDHNRATVSAPLVHRHGPCAPSQSSNKPSFAETLRRNRARANFIISRASTGNIINSRKYANSSVPVPTYLGSFVDSLEYAVTVGIGTPAVSQVLLVDTGSDVTWVQCAPCNSTSCYRQKDPLFDPRKSSTYAPVPCHTAACRRLIVDRYGQGCTDGGAHCAYFVQYGDGSRSTGVLSRETLTLAPGFAVKSLLFGCGRDQRQQDDRFDGLLALGGAPESLAVQTSSVYGGAFSYCLPPVSSKPGFLALGAPGNGTPGNGTPGFVFTPFGRVGDQETFYVVRLIGISVGGKRLRVPATAYRGAMIIDSGQIVTRLQETPYNALQKAFRKAMKAYPLLPPNPDSLDTCYNFTGYSNVTVPKIALTFSGGATVELNVPNGILLNNCLAFEESGPDIGLGIIGNVNQRTLEVLYDVGRSHVGFRTVPRSNEHNFVVVPTRSLPSEPTCLSISQVTDSEPKRVTVPLMHRHGPCAPSGASVIMPSLAERLRRDRARRNHIINVASGRTNIPTASEDAVDSLQYVVTPGIGTPPVQQTVLIDSGSDLSWGCSASRAMPPKRPLLRPELVSGQSDECKDGYHGDCTNGSTGPSLYQYDITGGGGESTAGLYSTETLTLKPGVIVENFSFGCGVHQFGEAKKYDGILGLGGAPESLVLQTAKQYGGAFSYCLPSGNGTAGFLALGAPSNDTADFVFAPMHRFHGLATFYMPALFANGMIVDSGTTITELLETAYSALRTAFRSAMSAYRLSPPNDLGLDRCYNFTGDSNVTVPKVSLTFSGSATIDLDVPSGVLVEGCLAFSDFPDNDSQFRINGSVNQRTFEVLYDSGHENIGFRPGAC >Et_4B_037437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19876795:19880993:-1 gene:Et_4B_037437 transcript:Et_4B_037437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKLGSKPDAFKRQGQAWFCTTGLPSDVTVEVGDMSFHLHKFPLLSKSALLERLIEESSDQEECIIKLNDIPGGAKSFELVARFCYGVKIELSPANVVYLRCASEHLQMTEEVAEDNLIAQSEVFLNQVVLRNWKDSLTALETCDELLPHAEDLQIVKRCIESLASKATTDPNLFGWPIREHGIMQSPGGSVLWNGISTGARPRNFSADWWYDDASSLSFPMYKRLISTMESRGIRPDIIAGSLMYYAKKYLPGLNRRHSMGAVPLTATLSEVEQKNLLEEIDGLLPVQKGLASTKVLLGLLRTAMILKASPTCISNLEKRIGMQLDQATLEDLLLPNFSYTMETLYNVECVHRILDHFLAMDQANGAASPCLDDVMASPSLTPVTNVAKLIDGYLAEIAPDINLKLPKFQSLASAVPEYARPLDDGLYRAIDIYLKAHSWLSETEREHLCRLMDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCLQFSDNLEGSRPLRSGIATSSDAGGWATAVRENEVLKVGMDNMRMRLAELEKECSNMRHEIEKLGRGKSGWTSRVPKRFSLKMKSQMCSAHEGSVSEQQKSMSAKLDKLQAKVSRQKKQLSADS >Et_6B_049869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15924983:15930699:1 gene:Et_6B_049869 transcript:Et_6B_049869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPSDPNGWLVTIDLKYMTMEELGVYSFEHPDPSKHTFRPCTEVSACVKVAEGWCCANDADSKPIRVDGHISCESRGEQLPKRQDHPVGDIHVDYTTKRSEIIKATRISYATKEPFKAVPKEANT >Et_3A_026654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12128509:12147990:-1 gene:Et_3A_026654 transcript:Et_3A_026654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPVLVDDLRPSKPPPASFYDDITKSTEPAREAAEPDQEAVGFDDDLIAGFGGSTKPATPVEQEAVGFDDGVIPELGGSTNHHDSARDDPITRQESESISFKKMSVSTLEDPFVILDHLDNFSMPPKSENTKLDAPVNTTVQSSDIFAGFPKAMPSFSFTSEEVSDTTGRRSIDSINGMSQSNHVLHGKSVQRASEEATVSILPEKNVPEPSTIHEVHVTTGFHSSNPFAMDDGPPKENQYADDVWLTVSDIVLVTQPTSAPPPSRPPPPLATKQPSMESVTSKSYDHHHNQGYHHSVDSANTYKTSQIDDLEDFFMAKPAKLADGHPQVLNHEGPEQYSFTGTARFMGPADLRHSKGMDQGAFGLDEKANLSAHEMEKTDEERLENERKQREHEERQQRAEREREEELERERENVRQREQEEQQRAQREREEELEREREKVRQREQEERKRREKEREARQAVEKAIREARERAATEARVRAEREARQRAERAAVQKAAAEARERAAVEARERAAKAAAEAKERAEQEARERAAAEAREKAAAESQEKVAAEARAKAEKAAAEARRRAERAAFERVAAEARQRAANEARERAAAEARARENQQRTATAQPDLESFFGMPSRSSSVPRSQTATTNPFNAQPHGNSGSGTVRRTSSGSASPFTQPSSSNLMDDLSSIFGAPSSSAIFQELDGESEERRKARLERHQRTMERAAKAIAEKNERDLQAQREQEERHRIGETLDFEIKRWAAGKEGNLRALLSTLQYILWPECGWRPVSLTDLITATSVKKEYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKEFQNKHFLEGSPKYPEPHWAGSEELERTALADIAFRDTGDRSSENGNGALHDEAVPGDEVSSKSFTSMYLLLRAPAGKMVHLVFIVRAAGSPDLSNNAH >Et_8A_058051.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:16125995:16127578:1 gene:Et_8A_058051 transcript:Et_8A_058051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPRVRVVHLLLTLCVLSGQSTSSLASNATDDFLGCLAVGIPSRLIQTPATPSYSATLLSTARNLRYVVPAGTVRPAVVIAVTEAGHVQTAVRCGRRHGVRVRVRSGGHDYEGLSYAGHREPFAVLDLGALRGIRVDAARAEAWAESGATVGELYYAVGNASRTLAFPAGSCPTMGVGGHLSGGGFGSLARKYGLSADNVLDAVVVDAEGRLLNRSTMGEDLFWALRGGGGESFGVVLSWKVRLVRVPETVTVFTIKRARNQSAVELITQWQEIAPALPRDTYLRVTVQNQQAEFEALFLGRCGRLHRLMTNRFPDLGMTPSDCKEMTWIEARVFLVFGTTKPLELLLDRRGTAYYLKAKSDHVQQPIPRRVWESTWQEWLERPEAALLMLDPYGGRMGSIAPSATPFPHRKGNLYQFQYYSYWYENGTAALDERMRWVRGFYEAMEPYVSKNPRGVYVNYRDLDLGTNELDYGNNVTSYAKAKIWGEKYFKGNFERLAAVKSMVDPHDFFRNEQSIPPLPAPKG >Et_2A_015637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16334199:16338284:1 gene:Et_2A_015637 transcript:Et_2A_015637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLFKIKGQKKEEAASAAGKPPVKKQSAGELRLHKDISELNLPKTTSISFPNGKDDLMNFEIIIRPDEGYYMGGTFIFTFQVSPSYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLNLLFTQPNDEDPLNHEAAVVLRDNPKMFEANVKRAMAGGYVGSSWRVE >Et_6A_046627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18449931:18454759:1 gene:Et_6A_046627 transcript:Et_6A_046627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLTRIAIVSEDKCKPKKCRQECKKSCPVVKTGKLCIEVSAASKLAFISEELCIGCGICVKKCPFDAIEIINLPKDLEKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFKNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLEQKDERDMKSELCVDLELNQVIDRNVGDLSGGELQRFAIAVVAVQNAEIYMFDEPSSYLDVKQRLKAARVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKIAETQESAEEIETYQRYKYPTMSKTQGNFKLSVVEGEFTDSQIVVMLGENGTGKTTFIRMLAGLLKPDTVEGTDIEIPEFNVSYKPQKISPKFQNTVRHLLHQKIRDSYMHPQFVSDVMKPLQIEQLMDQEVINLSGGELQRVAICLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFIVEHDFIMATYLADKVIVYEGRPSIECTANAPQSLVSGMNKFLSHLDITFRRDPTNYRPRINKLESTKDREQKLAGSYYYLDD >Et_3A_023449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28195925:28196614:-1 gene:Et_3A_023449 transcript:Et_3A_023449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVGVTACVLGDMASRPNFGLNELDFVFSTLVVGSILNFVLMYLLAPTAGAFSLGARVTTLLSKGATFAAVGFAAGLAGTALSNGLIAMRKRMDPAFETPNKPPPTLLNAATWAIHMGVSSNLRYQTLNGVEYLLGNVAPAPVFKVAVVALRCINNVLGGMSFVVLARMTGSQKSDKPDATTIAEEKERLIAVGNAAADAISEGKDK >Et_2A_018072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9923078:9954937:1 gene:Et_2A_018072 transcript:Et_2A_018072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVHLVLCLSLAMAALPSAAGLPRDGCPGKCGDVDIEYPFGVGSDCAMKGFELRCNKSEDGSRDLAFFSDFPVLNISLGQGQVRMKVHTASMCGNRSSSGNHGAFADLEGTPFTISERDNMFTVIGANALALLLGSSQSTTLVIGCLSRASPFNNITAEDGSCAGVGCCQVALTGSMSYHNISFLSDDNSTDVVDTEQCKYAMVIEAARFRFRTAYLNTTAFSDEYRDEVPMILDWLVGDQVCNVAKTDAASYACRSSNSDCIDSTSRRGYLCRCSEGYDGNPYLPDGCQDIDECAANNPRPCPGQCINTQGGFYCPSERSSLSGTTKLTLGASLGIVILVIFISGSYLMRERRKLSNIKEKYFRQHGGLLLLEKITSSGQGTGFSIFTEAELMEATAQFDDSNVLGRGGHGTVYKGTLKNGSAVAIKRCTSSVLATNDQRKREFGKEMLILSQVNHRNIVKLLGCCLEVEVPMLVYEFIPNGTLYHFIHGSGDNGCRNHSPFSTRLRIAHESAQALDYLHSCASPPILHGDVKSSNILLADNYAAKVSDFGASIVAPTDEAQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELITGKKALSLDGPESERSLSMSFLCAVKEGRLMDMIDDRIRSDGNVGLLEEVADLARLCLEMVGDNRPAMREVAERLGWLRKAMQHPWMLRQDDDQEEMGSLLGKQSAVAGVENLEMVDTAFFTMEKGVGQGLLESPRKGSGVAQVNCPKSCGDVDIEYPFGIGAGCAMEGFQLNCSKTGVPLFFDEIPVRDILPPQGQVRAMKHVSTKTRGSGEEPDYWGNDLSGTPFTYSGTSNHFMVVGGVNTIAYIADDTFLVGCATQCSPNDHLTAQDGQSYCKCQAKLGGTMSNDDVYFSEVRNDTKRFTNGTAGGSADSYYAVIMEAAAFGFNTSRPNMTAFLEAAASRINKSDPNGGRVPVVLNWVIGNGTCDVATMNKSSYACISNYHVCVDSNSSYGPGYFCNCKEGYSGNPYLSGPDGCKDIDECVDKKPPPCPGRCINIPGDFYCLENPPISYPRIVGLVVDIDWTLLARPTIAGVIVVIAITCTFLVRERKKLANIKQKYFQQHGGMLLLQGISLKQGTAFSVFTEAELTEATNKFEEKSILGRGGHGTVYKGTLKDGSLIAVKRCVSMTSEQQKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFHFIHGDNGCHNIPFSTRIHIALESAQALAYLHSWASPPILHGDVKSSNILLDKNYVAKVSDFGASILAPTDESQFAFKLDGPENERSLSQHFLSTMKEDRLMDIIDNHIKDNIGLLEEVAELARQCLEMVGERRPSMRDVAEKLERLSKVMQHPWVPVQYDPEEMESLLGESSVASLEMIGTGNFSMEKRIVKGLLDVVLLKLITGKKALSLDGPESERSLSISFLCAVKERWLVDMIDDRVRSDGNVGLLEEVAELARQCLIGDERSHGEARMVEEGDAAPVDDDLEEMESLLGKQLAVAGVENLEMVDTAFFTMEKGVGQGLLEFGLTLQFQAQTVALPGPSCPKSCGDVAIEYPFGIEKGCAMDGFWLNCSKTGDGRSILLLAVPSNNDTLIPVLDPSYNDTLIPVLDILLLHGQLRAMKHVSTMTTRPGTNDSYDYWDLDLSDTPFTYSAASNMFVVVGSDTIAYITDDTVSKPCVQQIMDDYMYSLRYYRLAILFDWVCVAVLAQQQPRGTRWLCSCQVALTKKMSNSYATFSELRNRSVGGSADSYGVIMETAAFGVNTSRPNLTAFLKAAASRINKSDPNGGRVPVILNWAIGNETCDVARNKSSYACISDNHICVDSSSSNGTGYICNCTEGYHGNPYLPHGCQDIDECATVPPPCRHCKNTPGSFRCRSSHSGTIVLIVGPSMGLIIMFLAISCTYLVHQRKKLASIKRKYFQQHGGMLLLQEISLKQGTSFSIFTESELIEATNKFDDENIIGRGAHGIVYKGTIKDRGLIAVKRCVSMTSEQQKKEFGKEMLILSQINHKNIVKLLGCCLEVDVPMLVYEFIPNGTLFHFIHGNNGCNIPFWTRLRISLESAQALAYLHSWASPPILHGDVKSSNILLDENYVAKVSDFGASVLAPADEAQFVTLVQGTCGYLDPEYMQTCQLSDKSDVYSFGVVLLELLTCKKAFKLDGPESERSLAQRFLRAMKQDKLMDIVDDRIKSDSSAGLLEEVAELAKQCLEMVGERRPTMRDVAEKLDGLNKVMQHPWVPDPEEMESLLGESYHGALPGSSCKKCGDVEVVYLFGVGPNCAVEGFKIHCNKTEDGRSNITFFGNMPVLNISLFHGQVRAEMYISTMFYNRSSRQVDYDAWSLNLTDTPFTFSEKFNMFTVVGINTLAYMIDNTASRLCMKVMNDDLWLAFYFNGQSPGLDMLFRLLINQMFMFMHYVLGCVSKCLPGNCAAHDGLCTGLGCCQVALTSNMSSYYIDFNDKGNTKDYYTSRSNTDSAEYRGYAVMMETAAFRFRTIYLNTTAFWDEHAGHVSVILNWVVGKETCDSAMLPRRKQLRMLAAAITACASIQAMAQVTSVTAVKTTMAILTFLTDAKILMSVLSKFHLRALDIASTYLGISHAQIKRLQAIGVTIVFIAIACAYLIHERKKLANIKQNKELPFSIFTEAELIEATNNFDDKNILGSSGHGTVYRGTLKDDSLIAIKRCISTSEQQQQEFGKEMLILSQTTGAVRMLLLLLFILTVALKFQAQTVALPGPSCPKKCGDVDIVYPFGIEKGCAMDGFWLNCSKTGDGRSIPLLFNVLPVRDISLNLLLQGQVRVMKHVSTMSLSPGKEPEYDSWGKNLSGTPFTYSATNVLKVVGNNTVAFVTDNAYVFGCASQCSPNYNNHTAQDGLCSCQISLNRNMSSDEVHLSKVRTGGSTDSYAVVMEAAAFGLDNMTAFLEAAASRINKSDPNGGRVPVILNWVAGNETCDVARNKSSYACVSTYHDCVDSSSSNSPGYICNCKEGYKGNPYLPDGCQDINECEDSVPPPCPGHCINAPAGSFSCPNATSEDSVPPPSSSHSGTEVLVVGPSIGFVIVVIAIACTYLIQEEVGQYQAEVFPSTWRDAASAGDKLKARHYIYSLHRSGAIEATNKFEEKNILGRGGHGTVYKGTLKDGTLIAVKRCVSMTSEQQKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFHFIHGDNGCRTIPFSTRLRIALESSQALAYLHSWASPPILHGDVKCSNILLDDNDVAKVSDFGASILAPSDESQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTGKKAFKLDGPEDERSLSQRFLKAIKQDRLMDIIDDRIKSDSDTGLLEEVAELVRQCLEMVGERRPTMRDVAEKLDRLNKVLQQSWVPVEQDFEEMESLLGESSVANLEMISTGNFSMEKSIVEGLLESGR >Et_7B_053295.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:22640203:22642577:1 gene:Et_7B_053295 transcript:Et_7B_053295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEKRPTTAAVAGAPYLPADLIPDIARHLTTLQDFFALRAACRAYRAALPPSRAVLAAQPPHLLVHHHSPSPSRPQLALVHLQRRRLLRFRGPSPGPEAVLASDGARVATFDPLTRELAVTHLLSGERVCVPDAPTLFSQAVLSGDLVFLIAPGWVHYCRLGDGRWQEAYCRLGTSGLLPGLQLDGVCLMFHMRFVNGVLYALLNTCQLAVAELMENKVQLVPLGGEVDQCVRNAWMESSAFNLGECAGDPLLIFKLVYKLSAYKIFRWSHGEGRWLRAMNLGGCALFMSSNGFDAWLGPDSPGVRGDCIYEAMPDAAGWYVYSLVDDTSEFVNIEYQGAPEGDVERSQVWVLPSLF >Et_1B_011184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18487236:18495635:1 gene:Et_1B_011184 transcript:Et_1B_011184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEGQRKGHGGKEGTKNKYAVACSIIGSIISILMGYDTGVMSGAMLFIKEDLKTNDTQVQILAGILNVCALVGSLTAGRVSDWVGRRRTISLAACIFLAGSILMGLSPNFGTLLAGRCVAGVGVGYALMIAPVYAAEIASSEIRGSVTSLPEICISFGILLGYVANYLLAKLPLAYGWRAMLGLGALPSAALAVAVLAMPESPRWLVMRGRADEAAAVLRRVCDAREVDVRLAEIKAAAGDGDASAGKGVWKEMFVHPTPPVRRVLVAAFGVHFFQHLTGIEAVVLYSPRIFKAAGIATTSEVLVATIGVGVTKTVFILTAILLVDRVGRRPLYLSSLSGIIASLACLGLGLTVIERSDPHHAPPRWAVALAIATVFTFIASFSVGVGPITWAYSSEVYPLRLRAQGASVGVAINRVMNAAVSMTFVSLYKAVTIGGAFFLFAGLAVVAAAFFFFLCPETQGRPLEEIEEVFSRGWRDRLKSPAPPAASVELPVSVANDGKAQEAAAAASKPWEKRTKEATMDRRRGERATCSIVGSIISILMGYDTGVMSGAMLFIEEDLKINDTQVQVLAGILNVCAILGALTAGRVSDWFGRRLTISLSACIFFVGSALMGLAPNFATLLAGRCVAGIGVGYALMIAPVYAAEISSAETRGALSSLPDISISLGILLGYVANYGLAKLPLVYGWRAMLGLGALPSAALAVGVFAMPESPRWLVMRGRAEEALAVLRREYGAAGEAEARLAEIKTAAGLADGAAAAAPAASSSGRGVWKELFVHPTPPVRRIVIAALGVHFFNHLTGIEAVLLYSPRIFKAAGIATRNEVLAATVGVGVTKTVFILVAIALIDRIGRRRLYLSSLGGIIASLACLGLGLTVVEHHHHAAAPRWAVALAIATVFTFVASFSLGVGPVTWAYSSEVFPLRLRAQGTSVGVAINRLINATVSMTFVSLYKAMTIGGAFFMFGGLATVAAVFFYLFCPETQGRPLEEIEKAFRAGWRARLSSAAAVELPRFNATDRDSKAPDAN >Et_2B_021725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4888793:4890205:1 gene:Et_2B_021725 transcript:Et_2B_021725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLEAVVFPHDHRRPPCCLSCGTACCVLCGHGVAGDLEGVKSGAVVQQDGEELVSATPHARNASRAWLVANVVGAATTWDGPAAPSSEQRPLHDALVRPRRRRRRRPALKEARSVEDDAESQRLNHIAVERNRRRRMNEYLAELRALMPRSYARRGAQASIVGGAIDFVKELEHHLQSLHAENGEKRRCHAAAVGHRTESFASFFTFPQYSVSAAADIDEASGTRPGVADVEAAVSDGHATVKVLAPRRRPGQQLLLRLLLGLQRHGLAALHLNVTTTSGQMVFYTITLRMGDGCQLSSAGDVAAAVHDIVAEAIKDGGRESVGRGCQVKEIFSSTTVLG >Et_8A_057246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22790645:22795367:1 gene:Et_8A_057246 transcript:Et_8A_057246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRKDGGFLTQDQREKLRIAVQNAETLSLASPRSPTGGTTSALLQQYEQQLEQKRAAAAAAAAARGGGGGGGGGGGVRHVRRSHSGKTIKVKKDGAGGKGTWGKLIDTDVDACLDRNDPNYDSGEEPYELVEAPVSTPLEDYKKAVVPIIEEYFSNGDVKLAASDLKELGYDDFHRYFVKKLVSMAMDRHDKEKEMASVLLSSLYGNIISSTQIRLGFVLLLDAVDDLAVDIPDAVDILALFIARAVVDDILPPAFLSKAKVSLSESSKGLQVVQIAEKSYLSAPHHAELIERKWGGSTQITVEEAKKRITDLLKEYIKNGDTAEACRCIRELAVPFFHHEVVKRALTLGMESPVAENLITKLLKEASEECLISSSQMMKGFSRVAESLDDLSLDIPSAKSGFQLLVAKAISEGWLDSSYGRSDVNGDVEDDEHEKLARYKREAVSIIHEYFLSDDTPELIRSLKELGYLEYNPIFVKKLITIALDRKNREKEMASVLLSSLSMELFSTEDIVKGFVMLLESAEDTALDILDASDELGLFLARAVIDDVLAPLNLDEISSKLPPDCSGVEILNTARSLASARHAGERLLRCWGGGTGWAVEDAKDKITKLLEEYESGGDVGEACNCIRELGMSFFNHEVVKKALVMAMEKKNERILDLLQECFGEGIITINQMTKGFSRVRDGLDDLALDIPDAREKFLAYVDHAKKSGWLVSSFNVAGST >Et_9B_065139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21557963:21560097:1 gene:Et_9B_065139 transcript:Et_9B_065139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSLQVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFDNVGRWLRELRDHTDQSIVVMLIGNKSDLRHLVTVSTEDGKEFAEAESMYFMETSALDATNVDNAFSEVLTQIYQIVSKKTVEAPEEGSALPGKGEKINVKDDVSALKRAGCCSS >Et_6B_048975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16105180:16105937:-1 gene:Et_6B_048975 transcript:Et_6B_048975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSIKIALLYVQETAGPCQMWLQIAMLSSKSMTLSQHKHLSYFHGGGKDESSMAAELCSANDVTISKRKIAPREAIRCSKLVFNIFAKLR >Et_6A_047771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1511118:1513586:-1 gene:Et_6A_047771 transcript:Et_6A_047771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSRPHRLLRPLLRGFHASAQALARAEPHEFSKPSGYLGSWEPAGDPREAWARLERLRKGYARDVRELRRQYAYEVQLMEAERQRKAEARAEAARVANEERKAAKAAAAQTRAAERRAFEQDFRQALVGFPSPSHSLSSLPFLEALHCSNTADNMKERTEKLESWRRKEKLKEQKKAEQKELLRKKSGMWVAEGKLDEKILDAVGLLYEHALTPELISAYRAI >Et_2B_021119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26602981:26604062:-1 gene:Et_2B_021119 transcript:Et_2B_021119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRATVPKFGTWDSDNVGYTVYFDKVRENKGATAPPLQRPFNPNDPEEGPLRAVPPPSSRPVTSGGRPNGPQTHHRRAGSSSSEPGGRGAEQSKFAPPPQYNPRPSPQHPQQQQHGGGGHRHQPPPSGYGGAGAGGHRGQQAPRQHHHQQQAAPAPRARSASPQNNVVPNRQRPSAAVPKFGVWDEQSAASAAQGFTVQFDRVKREKQVARSGVPDVPRPPPPPEPRRSHRDSPFFSKERSTRICDLCRGIGQGLISSTFHMPC >Et_9B_065680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9077721:9078923:-1 gene:Et_9B_065680 transcript:Et_9B_065680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLYDLVITHALEWPSLTVQWLPDRVDPCGKDHSIQKMVLGTHTSDNEPNYQMLAQIQLPMDDAEADAHQYEDGHAKIERFGAASEMVLAKLAQSCLTQPDQKTEVHKSYVLIGGGGAMDQEEFRGKAKEPFINEDYEIWKMYTPFLYKLVIMHALEWPYLTVQWLPDHVDPSGTRTTPSRRYAWHANLRQRAQLSDPRADIASP >Et_3A_025019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26157848:26159438:1 gene:Et_3A_025019 transcript:Et_3A_025019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLANPPVVDQIPLLRSPSPADYFSAVPVVDLSSPGAARAIVDACERFGFFKVVNHGVAAGTMDRAESEAVRFFAQAQADKDRAAPAYPPFGYGSKRIGLNGDMGWLEYLLLAVDDAAISDGCAVPSSAAFRNALNEYVAAVRKVAVRVLDAVTEGLGIAPGDALSAMVAEQGSDQVFRVNHYPPCPALQGLVGCSATGFGEHTDPQLISVLRSNGTSGLQIALRDGGQWVSVPSDRDAFFVNVGDSLQVLTNGRFRSVKHRVVTNSLKSRVSFIYFAGPSLAQRIAPLPQLLGEGEQSLYKEFTWGEYKKAAYKTRLGDNRLSQFEKAS >Et_5B_044827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6305526:6310337:1 gene:Et_5B_044827 transcript:Et_5B_044827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPTASIFSPIANDAKQKTPSLAGSPPVAGGGWLLVTALHSAPLSLLHRRRRPHPLLAALHVRSSLLQESERGEREASKIARRSRMESSSEEELEEEFPGHEWITPQSSIRAAYQSQTEKGIRKICSELLELKDAIENLCGNMQSKYLAFLRISEEVVEAEQELIELQKHVSAQGILVQDLMSGVCRELEIWQKSTKEQDVTEKDFQTELDEILSDDTQDPKLVFLDKIDVLLAEHKLDEALLALEAEEKKYLTADEPGKESNAEISAFKAALFKRKSILEDQLVRYSEQPSISITQLRKSLSGLIKIGKSSLAHQVLLKVYGSRLQKSVEAFLPTCSIYTETYSATLSQLVFSAISKASKETTTLFGDSPMNTNRIIQWAEYEIETFARLVKENSPLPESVSALRSACICVQTSLTHCSYLESHGLKFSKLLMVLLRPYIEEVLELNFRRVRRKIVDSARNDDSLLLTPQEGSPLSGAVAQNVVLTSSGKKFMSIVNDVLDQVTPLTIVHFGGTILNKTLQLFDRYIDSLIKVLPGPSEDDNLVELKEPVEFKAESDAQQLTLIGTAYTVADELLPASVSKFFSMQTEKKGTGGSIEGVGSGSIYSIEYKEWKRHLQHSLDKLRDHFCRQYVLSFIYMEGKSRLDARMYLGQKSNDLLPSLPFQALFGKLQQLASVAGDVLLGKEKIQKVLLSRLTETVVMWLSNEQEFWDVFEDQSIQLQSSGLQQLILDMHFIVEIAVCGRFPHRPVQQLVSAIITRAIAVFSARGVDPQSALHEDEWFLDTAKAAIHKLMLGNSGSESEPDEHIVLHDEISDSEESISASSTTGSEDSFASANNDDLESPVYFTDPET >Et_5B_045152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10155325:10160156:1 gene:Et_5B_045152 transcript:Et_5B_045152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKLGVEILSAHDLLPKEQGTSNAFVEVEFDDQKFRTAIKDRDLNPVWNEHFYFNISDPSRLPELHLEAYVYHVDRASNSKACLGKVRISGTSFVTQPDASPLHYPLEKRTILSRARGELGLRVFLTDDPSIRVSAPGPEFDFISTPTTAQEQAAANSIPNPFHETRPNQVRQFQHLPREQHRPAPMTGQQYYSEGSYADQQQRTFSAVGNKTGAPPPQVQRMYAPGPQQPIDFQLKETSPTLGGGRVIGGRVIPGEKAGAYDLVEKMQYLFVRVVKARDLPNMDITGSLDPYVEVHLGNYKMKTKYFEKNQRPEWDEVFAFPKEVLQSTTLEVVVKDKDVLRDDYVGRVMLDLNEVPLRVPPDSPLAPEWYRLVGKDGMRDRGELMLAVWYGTQADECFPSAIHAGSTPVDSHLHNYIRGKVYPVPRMWYLRVNVIEAHDIIPRENHIPDVFVKVRLGHQLLKTRQVRSPTRNFMWNEELMFVAAEPFEEDLIITIEDRAGPNKDEVIGETIIPLIGLPRRADHKPIKPVWFDLRRPGLIDVNQLKEDKFYAKVHLRICLEGGYHVLDESTQYCSDLRPTMKQLWKPPIGLLELGILSANGLNPTKTRNERGSCDAYCVAKYGQKWVRTRTIVDNLNPRFNEQYTWDVFDHGTVITIGLFDNCHLNGDSNHSSQSHMDRPIGKVRIRLSTLETGRVYTHTYPLLVLHPSGVKKMGELHLAIRFSATSLINVMFTYSRPLLPKMHYAQPLSIVQQEVLRHQAVQLVAQRLGRMEPPVRREVVEYMSDARSHLWSMRRSKANFFRLMQVFSGVIAAGKWFGDVCQWKNPVTTVLVHVLFIMLVFYPDLILPTIFLYMFLIGLWNYRFRPRFPPHMNTRISYADVAHPDELDEEFDTFPTTKSPDLIRMRYDRLRHVAGRIQTVVGDIATQGERLQSLLSWRDPRATAMFLLFCLITAVILYVTPFQVIALCLGFFWMRHPRFRHKSFSMTKFKPQQVAPK >Et_1B_010926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15509555:15513101:-1 gene:Et_1B_010926 transcript:Et_1B_010926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLWMGKIRFCSQIFRAAPQVLKTFSSQLMKDYSACDDDATNVLNLLNEPQDEGDLDEWLSGTIRKVRGAAQEELNPLFFEQRRRSESVTRVCASRKNNSRWTQEEVELLVKGISEYGIGKWTEMKAKYFSQSIRTSVNLKDKWRNLLKAYDVKLTSKKQVLFFDFHIHATLNADSLSYISSIIQKKVQKTTLLHLDKKVIKRIRELAEKHRRAEPILSDSSSSSKTSGTENSQLLQPLPKDSAV >Et_9B_064464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15467247:15472781:1 gene:Et_9B_064464 transcript:Et_9B_064464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VWPDDDYFCETQQVCTFYISILGLISGDRSTSTTCNIDLLPLQEAEKEGERMGITMDKTAIIVTSVVGSLGVLSAIFGFSAEGTKLTPYTILVLDDECIYPSNPALALAVCGIVFLLLAQVTVSAVGGCCKSRAIPSETKRIVAVVCAVVSCELDVSERSSGTSTADRHACGARFRTQMHDETYVRVYKCRIAAVVACVLLGVGASWNANVVRIGPAPFCPYLKDGILAGGGVLTLVATALGITSFLLLRTQPVSTAAAPGIALGHPQFPPASAATKPPTHEQQHPAPAAAMGHPPPLYPPKPQVYPAAAEVAAPPAASHAQGYVHAAPAPQNHQFSPHHPAASQGYGSPNQQLYPPPPQQQQQYSPPQAYAQPPSYSPQYAPQAPQVDVQVPAPPAPPSQQQQGGEEGDGGQSGMDLFKAGAKLFMRVAEHTLSSDNNNNNNGDAATSDSTTQDYGEGEKMEIKIKMDRTEIIVFTVVGSLGLLSAIFGFAAEGAKNTRSYSNPSRMLGICATIWLLSAQVIASVVSCCCKYVAGPSKTKRTVGVVCAVFSWIAAVVAWALFGDRVFAGGAVFALVATALGIASFLMRRRLQPSDTVGPAPDAAAELKPREQQQPSPTVASPYMDGRLGFVMVSPSPSPRDDPEATTVDSTGCDVGVTDYYENAA >Et_3B_027893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25134843:25135322:-1 gene:Et_3B_027893 transcript:Et_3B_027893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFEQPSPCAKGCGFFGSPATQNMCSVCFTKHLVAAGEPAAATSTAAADVAVKKTTGDAAVVAGAGEEALTAARAENYWGNRCSQCSKKMTLVGRFKCRCGRTYCPTHRHSEAHACAYDYQRAGVISIIRNNPLIEGEKLRDRI >Et_2B_022098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9079582:9082922:1 gene:Et_2B_022098 transcript:Et_2B_022098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPSSIRISVAVLVLLSAISSSSPRPANGNGSDTDLAVLLAFKAQFSDPLRVLAGNWTPGTSLCRWVGVTCSRRRRRVSSLSLPGMPLHGAIIPHLGNLSFLTVLDLRNTDLTGSIPEELGKLSRLRHLLLSDNSLSNDVPYLGNLTRLQTIDLHGNKLSGQIPRLHLQNLRKIYLGGNYLSGQIPPHVFNNTPSLVHIDLGNNSLSGPIPHGVASLPMLELLILQNNRLSGPVPQAIFNMSRLQVMALGVNSNLTGPIPDNQSFSLPMLQFISLARNNFDGRFPSGLESCKNLIQIFLHTNSFSDIVPTWLTKLPLIQVISIGTNDLVGSIPAALCNLTSLTVLDLCFGNLEGDIPPEVGQLQNLLLLHLQNNQLSGSVPPTLGNITALNKLILSHNNLEGGMDFLSALSNCRQLMRLTLTDNSLTGSLPNYVGNLSRQLTLLTVSQNMLTGGVPSNISNLIGLEYIDFSYNLLTETIPESITSLENLGWLDISMNEVLGHIPTQLGTLKSLEHMSLNGNKLIGSIPDSIGNIRSLQYIDLSSNQLGSTIPTSLFHLDQLIYLNLSYNLFAGALAVEGVGLKQTDQMDLSSNFLTGGIPESFGQLKMLTYLNMSHNLFEGSIPELLEKLTSLASLDLSSNNISGTIPIFLANLTYLTVLNLSFNDLEGPVPEGGVFSNLTFQSLVGNAGLCGNPRLGFSPCPDKSHSSNTRMLEFLLPSIIIAFSTIAIFLYLSVRKKLKKGVEKSSADPADLEIHQLVSYHELVRATGNFSDDNMLGSGSFGKVYKGQLRSGLVVAIKVLDVQQEQAMRSFDTECRALRMARHRNLIRILNTCSNLDFRALCASVHAQCLETLLHSSQRNTSRHLGFLERLGVMLDVAMALEYLHHEHYVLVLHCDLKPSNVLFDEDMVGHVADFGIARLLLGNDNSMISVSMPGTVGYIAPEYASVGKASRESDVFSYGIMLLEVFTGRRPTDAMFMGELNLREWVRQSFPAELDHVVDSQLLQGSSPTTCILDDGFLAPIFELGLLCSSHSSDQRMTMSEVVVKLKKVILEYNKRIRPTSAV >Et_2B_022087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8977023:8979930:-1 gene:Et_2B_022087 transcript:Et_2B_022087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELSLRSYSLLRNKQSAQGACSKEDELPTQYLKLKISDSFLSSVRLMLAWYRNDSIHIKHSDRSKYAELKTAKNQLNSGKISKVLLTKLKVVPAELPLLTLSIGNQKFIRTDECDIFARFCFLARKVVCQMRIGMLSRRVDIPFSDITSLHVSFDHRGFDTLRIEAKFPFKSFSADNPRPGKLPTWKVDCFPESRFAFLVIEKGLLEKGFAKLLYTEPRIERVVSFSRGTSSDQHTSQGRVNDQMPQTSMFALDRMSSTIVYPRENQECHSARPTGAVATGSKSSRPWRAVGILPNAVDTPPIPPLLPLLDTAPPDLFPCLTAVALPSLFASHDLISVALISVAPAVRRLVPIACHTPLVMVLTEHKVAPGRESRQCNEELHDCRSAARSRTACASVGDISRRRLLHGEDHDLGMTGCSVVPGDHELAHGRDGGR >Et_7B_055557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14194844:14196646:1 gene:Et_7B_055557 transcript:Et_7B_055557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELQFLYLFHNSLTGSIPAGVWGLKKLQMLYAFSNNLSGNLEVDGFAAASLTSLALSGNNLSGTIPEVFGSLENLTLLYLNDNNFSGEIPVSMGRLPSLRVLRLRNNRLNGTLPPELGKHSPSLSDIDADDNELTGAIPKGLCEGDTLNCPPVWEGNGFSVLWFRLTAKLPYVRIHGTSLARFADAPTSVAKQ >Et_1B_013455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8594301:8596455:1 gene:Et_1B_013455 transcript:Et_1B_013455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFQATTCKPHNALIVNRPIAGLGSTSQYPLRSNAVGFHRLQQKVYPRLVLIAASHKKLAPACALSGKGNPGSADDPLMESLKKAISDAKKPRPIQDLLKEQITKLREQGSGGGGGNRNGRGGSGGSGGQEDESFKETLDEIVQVILATVAFILVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRAMQQWRNFSDSFMRKESSEEDRYERPAASKPTWWQQPQKFVHLMGELCRGNWRPHAQES >Et_6B_048894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15142377:15146625:1 gene:Et_6B_048894 transcript:Et_6B_048894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNKKCSKTTTKPPRPSGSAPAPPPTNADWEDSTAAFRKEALRCRSDDVAAARLANRHPESPLAHHVLGHARASAARAGDAVPPLRRAAELAPRCPEIAATLAAALLYARRPHEALDECARALAVDDPTDPALHAACSRGGLTVSNPQARVAAARERLLGVRADAEALAAAATGAGELPPLTPPCCCRHATAQGAAVTNSNDLQGLLTVSVADLAAHCCRRSGSARGLVGAVEFAKATNTWAYWLCPVCDKVFLDAASFTSHVEGEYLHELQELQPLMPKRAAVDANELHISLRWTPFEIGGEDPDRRKVLDKIRDAFSSLCTFKALPAGLVDKLIKYARSRSKRPLPYCIPSCVTSLDDRELKRLMETLDQFCELSYSDWEFIMGLGNQGKSKGRSDIISLVQDASMLCLDAKEIASRSGECSSEVDMVTRFLFSTKLHEESVMSWNSLRQKCVHRGNEILKRIYELSDILLKKSTLKYAPKEKVHKGYFLTEADSIEVEMLLLNNEVDYLKIKLAEVCAFDYTAVVLPLIRTYIWDKLNNNSPGEDLEDGDDMDAADNEDGSGVLLDVSFFDGKIPDIDSYVHCEICGTEECENSLLSLSDSSNSSTLETESASITSEVGSIPHIASVEPHVLSVILRALWHLRQFHDRFLAMPLVLPHFTVEVHCIICLLRKLFNAWDNVKDNRVNTFSSDLRTAFSDILNKRNICGKAGTNIASEVISAIFEMLHDSHKSLHPNSEILEYRGIITSTRTFDFVCLPHNVFGLPVSEQKKCKCLKESCEKKEYTTFFHSVDVSAIQTIEIKSLGQLMRDGDTQFQYGAKTCQCGNKIECSIQSAPPIFATVFCWPVDKEIHLNMSELLINIDTPLQFNVLREVMREDYTLTTAVFCIEDEHLCFARQGEKWIIYGSKTFADTWEILLTRYRHRSLRPQILFFSRVSLQYPSSPLLNIRISPGCGSIHMSRCKS >Et_3A_026741.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:18063386:18064579:1 gene:Et_3A_026741 transcript:Et_3A_026741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLFRHQQPMDVLCDTLVVEEILPRLPPESLVRLGAASRRYDALVHDPGFAARYWPRAGVLVQPLEQPKEAVPAFLTGRQAAEPVMPGADLAFLPGLSAAEKERMLRRADDYDDPDGVVVLHSAAGLVLCCRGRVHTALYYVCNPVTRHHVALPKLVEARCALLTVTADKTSFRVVIVHWPEDLDKTTCLDLSVFSSDAGRWELRKLPLPPDLRDDDIFGWPPILGQSGTAYWTPAHNWANYAVAYNSSSANNPILEIALPPRLPGWEEHRCVGERNHGWGLQFAQTNSSVLEVWKSDLIGGMWSVRLQVTIAELVALNPEAAGFMRDKYGNTIIRPVGFHPTDEDVVFIAMPGAVFAYSVEHGTVITLLQRTNDCLIPKSTHTRIRITRCRFQR >Et_10B_003267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18339245:18341117:-1 gene:Et_10B_003267 transcript:Et_10B_003267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEDVLKVQTCVLKVNIHCDGCEKKVKKILHKIDGVYQSSIDAEQGKVTVSGLVDADTIVKKLNKAGKPAAVWGAKPGVVSQPQKLQLGGGNGGKKGGGGGNEIPVQIKGNANNGGGKNQGGGGGKQNQGGGGGHGNNGGGKNGGGGQQPQHGKGGGAPGGGNQPGQGKKGGGGGGGGGPMGGGVGGPMGGMPQQQPAMMRPGMMGGAGFPGMGQMGGGPMSMPMGHHPHMRGMQQPQQHGGGGAVNGMPAGGGMPGAGFYQGGGGGGMQVGPEMLQAAAAAGNPMAQQQYVAMMQQQQQMMMGGHGGHHGHGGGGYPPMGYGYGRPPMHYPVAYPTPPPTHAEPYNVFSDENPNSCSVM >Et_4A_031876.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25844785:25847801:1 gene:Et_4A_031876 transcript:Et_4A_031876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPVLTLLVEKGPRKGQSLQKRAGAVLRVGRVVKGNDLAVGDAGASQRHLDLALLPPPAARWAATDLGSSNGTLLNGAPLVPTIPAPLSHGDRIKIGESTVLAVSIAADAGPEPAVTRRSTRQAAAAAVVEEEDKAPAVRRGRRKAPVAADSTEAVKEEAVVAPRRGRPKKAPAVADPPEAEKEEPAVVRRRGGRKKAVELPEAEGEDEEEVLPGGPSVALATAAVPPQPQTTRSTRAAARRGEAVGTGQGKGEEGQTGRGRGRATRQSARKAKEVINVEEEEEVIVPEEQRRSPPRVTASKSAKGKDDKVEKGDGTSNASDVKVPAALSEPAEGTRKGRGRVRASSRKAKDPIIKEEDEKEGEEGDVVSARECRENTQRVMVAKGGEGQEDEVTTRNVEVDEASNASVADQVVAGDDDAPLVPRGQTNRASNGRADTYVAAADNGGQDIEEGKESGREEGSCMNQELREWMVPESKPDDDVEEAGDDGKREVSGGNGEERGGKNVNKCPEGCALENMTLREWFVRIEEYILAKNHEAAEKAIAEVEEKHRLFTEYVKTLK >Et_3A_025456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2996976:3000041:1 gene:Et_3A_025456 transcript:Et_3A_025456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQKDPGIKLFGRVIPLATEAEEPARWDQPSELPPPALEDAAAAADEVQHKEKDEEEDSEMKIDAIQEEKDNMKVDAPEEEKETEMKVDAQREKEVEEMKANGPHEMENVKPDKSATLDHEKDDKGQMNNAEDKAEPDQKGDDAKTASDESGQDRTLKKPDKILPCPRCNSMETKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKSKNSSLHYRQLLMAPDCMMGSRVDISKSLHPEALASSSASMIHATSRNETVLKFGPEVPFCESMVSALNIEEQNVTNAGSAPQGPQGESREGNSCASSVIYNGPTENTVPFDKNGAPVYCNGVTPVPQYYLGAPFMYPWNVGWNNVPVMVPGRSMPDSASASEACSTSSAPWMNSPMMPSSRLPGPAFPYPLMPPALWGCLSGWPATTWNIPWIRTNGCLSPPSSSNSNCSGNGSPTLGKHSRDSNPLKEEKEKSLWVPKTLRIDDPDDAAKSSIWATLGIKPGDPGTFKPFQSKVESKDQTKDAAQVLQANPAALSRSQSFQESS >Et_4A_034371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32009274:32011586:-1 gene:Et_4A_034371 transcript:Et_4A_034371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DSPRRHTVVQCDTSLSATTISESAVVQGCPAPLVHALQSAAAQDVSCFHFPGHNRGKAAPSSLPKLIGSGAFLHDLPELPELDDLFSPKGVILDAQKLAAELFGSSKTWFLVNGTTCGIQASVMATCSPGDYRILPRNCHISVISALVLSGAVPKYIIPEYNSGWDIAGGITPLQVDKAVKELEGDGKRVGAVLVTSPTYHGVCSNVQVIVDEAHGAHFRFHDSLPSTAIEQGADLAVQSTHKVLCSLTQSSMLHMAGDLVDSVSQCLQFLQGSSPSYLLLSSLDAARAQLSENPNIFDEPLAIASKATDELRVIKGVSVLDLTCFSSDFPAIDPLRITLNASDLRLSGYEADDILYEDHQIVSELVGTRAVTFAVNLGTRLQDVKKLVKCAEHLSEKYFFANSSKLIKQNWVSRPLENIYVHLTPREAFFTNKRRMSIEDSLGHICGELICPYPPGIPVLIPGEVVTHDSLSYLMNVRQQGITISGAADAELNSILLTALVLFRMEGYFELSVKLKQQIRPADVIDRLHHYLRKLITLRKTGSTSREEVEKLQVENREKEEKSSSEIKKLQQENAKVNEKTKKLKSETEEHEKKASAAEAHVNALQKQPEKK >Et_5A_040948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15516612:15520335:1 gene:Et_5A_040948 transcript:Et_5A_040948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKGSKKAKEVEDVPDQQENKLPDYLELQRTRVVCKADAPIHTQGFQYSGAFAAMGVDNSVSVEKFRKNFKVEINQLTEDDMEFDMIGIDASIANAFRRILIAEVPTMAIEKVFMVNNTSVIADEVLSHRLGLIPLAADPRLFDYISENDVPNERNTIVYKLHVSCGKGSQRITVKSGELEWLPEGSQLSTEAPAQAGEKQKTFTSFSQSQKEILDKPLGVKFNEITIARLGPGQAIELEAHAVKGVGKVHAKWSPVATAWYRMLPEVVLLENIEGDDAEKLEKKCPVKVFDIEDLGKGGKRAVVARPRACTLCRECVMGLSGEKIELRRVRDHFIFTIESTGAMPPELLFTEAVKILEEKCERVISELS >Et_7A_051065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14026807:14032990:-1 gene:Et_7A_051065 transcript:Et_7A_051065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGMDLFGEIGMKEEDIATMLFGKKVSELTEDAFDGSTGERQIFEGAFCLTSTDGLIHQHHDGTGQAETGDAGEAVTKSSTSSRSASNYKMARCRIVESFTAGNLSSYHVFLPGADRQAYGVMPAPGADQQAHGAMPPPDASPSEVELQWTPPSTDKVYTRRAVNRMSERARICSALDVESIDTSNYGRRRDSRGSGVLWNHLRMHAHLLMVDAGWKVEGKERGNKSKVDFVYVAPDKETRLFSLPRAWKCLGQWLLMTSSGTDGNESNDYGKGWLNMQDFLSDLKNTLLCLQYEVQRPKQSLSFLQQWKLLDPFMAVVCIDKKVAALKSGKALKAVNSSVALLSREECKLLSARNASSSLVLNYTSNYKVEHPRPHKSLLPLFSDREPDKEGNSLHDVQPAICGTSNPIEYEADEQSLCMSEISGRSIRSTAHRIVMGLHDATAPLSSRQTCLDRKKKLPCIKSKEDQQAEDKSDPLYFPPTYASGNLVENFNVKDSSPHGYETMEIPDVDNYANIPFDGMFLEDNLLLSHEVDDMLLGVTDDTNNRQHDAALVPEPQGMNKDGKNGPSVAVSLPSEKDTYLRADKDGVDNAHHDAAAVCKHQIGNKGAGDDTSVALSLLSEKSADLETNKMISEDPTKTGRLSSEANGSSVVTSEPQVLFVSPQDGTLSFMNNGAYDREMWSCLNASHDTMGTNMELDIDSSVYEASLIQGFLYLDSEGTPICWTVTNPEPPRQLIRAADLVPNSMMPERYDEMKYENLASTSGYKKISESGSSKKGRKRTNIVDIQEKDTKKKQKVTDSPLSHSMSRNMDDCENGACRVVLNEEEQTLTAITEQVEDHPCEKSTEHSKQLTSEEPLGKDIKRQKKTWSRKCKFDDDDLLMTAVIHKLTARYRNRFHRRLTNKLGFRRLRRSRWESEEKVDRSKFPRGPRTVLNKLLEMGIVCRVNIIQCRGPGGKNVLKDGNITKNGIRCRCCGTTFTMSKFKCHAGLGNESPSLNIFLGKSYSLCQLQAWSIEHKVRKERAKDTMSLQADENDDTCGICGDGGELICCDNCPASYHQACLPSQDIPDGSWYCSSCLCNICGEVIDSKEFRTSLSTLECSQCELQYHVKCISGKISCNWEGRPGSWFCGTTCQQIFTSFRSRVGVPDHMDNGFSCTVLRNNGDQKVMSAAEIALTAECNMKLMIALSIMEECFLPIIDPRTGIDIIPSILYNWRSDIHMDYKGFYTVVLENDDDIVSVASIRLHGALVAEMPLIATSTENRQQGMCRRLMDYIEEILKSLKVEMLLLSAIPHLVDTWTSGFGFREIDDSDKKKLCRFRLASVPGTVLLKKNLYEYSGIDTGELPNPKPFKVYSRMPRNRTGLNITCR >Et_5B_043376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:53675:54899:-1 gene:Et_5B_043376 transcript:Et_5B_043376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADAFLVLEFVAGNSRIPHAVFAALLASLPPPSPRNSPRLRKALALRALDAVLYAEDTASTSLLLLSKAREPQSSSCISARFQGQHTPSSSLRLALGSSPSKPAGGDGLLVGLVGKDHHHDLHVGHNGDAEAACGRIVG >Et_10A_001398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2709736:2713343:1 gene:Et_10A_001398 transcript:Et_10A_001398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLKVPTTQASQRLAAWMERDGAITGSRGMKRKETLSEIDDEEDVKQAAVIDRIGSKHSDGSIYRLEEHHLHRLYRLDDTRETSLEPMRLSLPTKYCYPCFTNCKRHIHATMLQIYSLKLNLPAKAPSSNGHIQIYGFMAARDLLDPLRNYVFNRTRDDPFVIQDINSDPFIYLSGPKRGVYLQCPVVIEYDMWIKRGEEQKDDPSLIDGAFICSELTCIRGTFTNRIEGGFDGASVDISRALFRGAVEATVEVQIIELAERGDADGSGVDLSVSGFVPPIKEEIKLFRGAVDKPCVMNRFVIPVFSDSFLLVFFKVTGAPSDQTGKFAFRAVPHGSIWSRQKFSFATIEVKVVVSSRVNIHEMSTSHLQFISILCRREMCYVETREIGRSAVQDSGLRTQEIVNH >Et_8A_057579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4708753:4712030:-1 gene:Et_8A_057579 transcript:Et_8A_057579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGWRGILGFEYGIVQAPLGPDISGPELAAAVANAGGIGLVRLPDWPAPDHVRELIRRTRSLTTRPFGAAIVLAFPHEENLRVVLEERVTVLQVYWGEFPRERVEEAHRAGVKVLHQIGSIEEAEKAKEAGVDGIIVQGQEAGGHVIGQEGLLPLLPRVADLVADSGIPVIAAGGIVDGRGYVAALALGAQAVCLGTRFLATEESFAHPLYKQRLIEMSCTDYTDVFGRARWPDAPHRVLETPFYAEWKKNLPDHETEENQPIIGHSIIHGVHKDIRRFAGTVPNATTTGDIASMAMYAGQGVGLITEVMPASEVVKKLVDEAKHVMREKLSEFQ >Et_9B_064144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11762790:11766511:-1 gene:Et_9B_064144 transcript:Et_9B_064144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSPPLPSLRLTGDDLRFENLTSPTPKPLFSKMAAAAEGLAAYRAVLRAARRTFAGDRLMLQESAVEIRRRFEDNRALAPGSDEATRALSDAREAAHFITHMIVQAQRAPSGSFVVKPESVHAGATLEVPSEEILSKLK >Et_8B_058727.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1950125:1950151:1 gene:Et_8B_058727 transcript:Et_8B_058727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSVKSL >Et_5B_044618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4051069:4053200:1 gene:Et_5B_044618 transcript:Et_5B_044618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGLMIQEGSFVKATGRIAQIPVSEAYLGRVINALAKPIDGRGEIVASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIRDRQTGKTAVATDTILNQKGQDVICVYVAIGQRASSVAQLVTTFQEEGAMEYTIVAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLNSLLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQSYKPLHNYIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYGKELPIPTEESRPFYRVIRKLQKLGLIKLLSIGNKL >Et_1A_004620.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23558152:23560762:1 gene:Et_1A_004620 transcript:Et_1A_004620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEDLRDGHPVAVKRNNRAATKEEFAKEVITHSQINHKNVVRLLGCCIEGNAQMMVFEHVPKGNLSDHLHRADAIMPLETRLNIAIECAEALWCMHSMYRPVLHGDIKPDNILLDDNFHAKVSDFGLARLLSAGGYTDRATIVKGSIGYMDPTFMEEGCLSPKVDVYSFGVVLVELITKKKPTDNNNLVQRFARFSEKMKTLREFFDPDITNAGSIKVLEGTGKIATECLQEKIDGRPEMKVVAARLWELRATLEQAKEKALKKGGRRDTNNIATRSSHSEPNSKKNTGDITVFTHKEIMKIQQTFKPSKCIKHSSIYLGGLPDNTIVAVKELGTTKELTIHSQLQHSNIVKVVGCCLRYRPILVTEYVPNCSLGEYLLVKERGAGKPTTKRNDGRRLLDLNTCYQIAQGVASAMAYLHEGRQEWVLHCNIKPENILLDNDFRPKVCSFGLSKMTSMHEKVVAASDIRDGLIQKRDDHYRETTLWNTSSGAVGYLAPEWFTESEPITAKADVYSFGMVLLEMVSGRRKLCSLPLDGMFLFQEDAEFEVQSYIDDILDPRAMTAYYSNKANLAIVKRMIKTALRCLQYSAEARPSMGEVTKMLEGTVDITEPVRPTFWGMKSMRAQKYFAETTGLY >Et_10B_003675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4697728:4700846:-1 gene:Et_10B_003675 transcript:Et_10B_003675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SDRRSVPALLSKARSGLESSSVAVDSGILCCLEILRQATKDAGVIAGLNVLRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPTAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQDAEKYKSEDEEHKKKVESKNSLENYAYNMRNTIKDEKIASKLSADDKKKIEDAIDQAIQWLDSNQLAEADEFEDKMKELESLCNPIIAKMYQGASGDMGGAGMDEDTPAASGGAGPKIEEVD >Et_4B_036791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11504599:11513153:-1 gene:Et_4B_036791 transcript:Et_4B_036791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFTTAPLRLSPQNPRSSAAPESPTPPPKKHGRNPPPTPAAMAGVGGNGSGGGDADRSGWAELLHSSTKLLEQAAPTPHFPTLQRNLDHLEALSTKLKAKTIRAEAPSQSLSATRLLAREGINAEQLARDLKSFELKTTFEDVFPSEATTVEEYLQQLHEVAIVSSIQEAQKDNLRSFNNYMMKVLEDDWQKEKRDFLQSLSRLSTLPKRNTNLLTSGLARPALMPPSTSSPHASSGLPSTEVMPIPNKAIIENKSSVYAAVVRDLNDARGRSLSFSPATAFRAAYESLSVDAIGTKSVTMQKVWHLIQALVGEELPQRNASRKMSLVIGARRHLEWGHEKFILETINSHPALAALGGSVGNLQKIRAFLRVRLRDQGVLDFDATDLRRQPPVDTTWQQIYFCLRTGYYDEARQVAQSSRAAYNFAPLLAEWISTNGAVSPDTALAAAEECDKMLRMGDRPGRPGYDRKKLLLYAIICGCRRQIEKLLKDLPTLFNTIEDFLWFKLSALREYTTASSSNALNEGLVPYTLDDLQIYLNKFEPSYYTKNGKDPLIYPYVLLLSIQLLPAILYLSKEVGEDGYHVDAVHISIALADHGVLPDGVGSEQRMGVMDACAEAASIIRQYGSIYLRNGNIGLALEYYAQAAAAMGGGKASWMGEGSSDQQRQRNLMLKQLLTEILLRDGGIQLLLGPSGMGEEGELKKYMMDWRSRQQFLLEAAHRCQEAGLYDKSVEIHKRVGAFAMALQTINKCLSDAVCAMARSMLDGESRAAALIQSGNEILETARYSSEASVQDKDIISEQQTVLRQLEAILHIYRLARLGQTVDALREIIRLPFLHLDPKAPNVTVDIFRNLSPHVQACVPDLLKVALNCIDNVRDTDGTLRAVKSKIANLVASNMSRNWPQDLYQKVAQCI >Et_3B_028669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17941815:17963347:1 gene:Et_3B_028669 transcript:Et_3B_028669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEREAALLARVAANHLFLAQFEPLRATLLSLRRRADPELAAGFLRAVVAAGGRVPGVLWSAPPACPSPSHLAWLAALELAALPSTPNPEALRLKAEFLVLLQPIADDPATGTEARGSLARLLDLGVVRLRREVEGRGEVSAGAEDALVTEEDLRELWGVFLDNALVFDALCVGISRQIGLDAGFGADVLLSLRQNVQLAHLDAVKSLVAVGDLEGAVGHLRFLCLGHGVEEDEYKVVLSELIRMTWVKASNYGGTWLESRDRVIRLFGAGLQSSSPQLVQAIQLILDGILSEEIKDHGAPDADWTPLPFKKFLESLLLKEDTDSDDSALLDAAIISCKKDLYHYSRISGKHFLEVVMETALSLVKREQLEEAVNVVSLFPLLQPLIAVLGWDILKGKTALRRKLMHLFWTSKSQTLRLQEYSNYRSQTDEVLFDVVPEIKFQDAIELVGVQPLPLTTSAWKRIHDIELMHMRYALQSVALALGEMEKSTEDGNECHYHKAVTYLREMQNFMEAINSSPRKVFMVSIVLSLLHMDECAKLSQAVPSESSSTHECHDSNNESEGKNVVTSFVGLLLDILRHNLLLKGADMDHLSSAGLSPAGRQALEWRLKHAQSSIEDLDWRLSVLQRLPPLAERQWSWKEALVLLHAAPSKLLNVCMQRASYDIGEEAVQRFSLPAEDRASLELAEWVAGAYKRTLVEDAVNRATDTTNTTQELDILSFRAQLGSLVTILLCIDVAAASAKSGFMCRYLLDEATSLLSEIFPGSSPKIGPEYWDQIQEVAIISVIKRILQRLHDIVDLEAHPHLQLVFTEMGISLSTESSRAGQKQRPLGLLHQMIDDAFKGKRQFLSGKLHNVARAIVDEDTDSVYLKDGVKLEKKDALSSEKGVVLGYGLRILKQASSDIAASNVLEGSSENKSSANRYLSPVSTKPSTYLSNFIIYIATIGDIVDGTDTTHDFNYFSLVYEWPKDLLTRLVFERGSTDAAAKVADTMGVDFVHEIISACVPPVFPPRTGQGWACIPVLPILSLSDINSENKSCTIPKCLPPSQGWSSHDFSSSSHQEPLYPLQLNLVKHLAQLSPVRAVLACVFGSSILSGDNESSPSYVNDATQAPEIERSFYEFALEQSERYPTLNRWIQMQSNLHRVSESSVTAKTRNELSLHQSKGKFSMKRAREPDSDAESELEDIVISGKTTSSSQESPKHEDSILEPTTFISFDWENEGPYEKAVERLINEGKLTDALAVSDRCLRNGASDRLLQLLIEQKEERSVDSGQSRAYGSRDFGSDTWQYCLRLRDKNLASQLALKHLHSWDLDAANNVLTMCICHLSENDPLRSKVLHMKQSLQRYGHIMSADDHYTRWQEVEVDCEDDPEGLALCLAAKGAVSAALEVAESASLSIDLRRELQGRQLVKLLTTDPLNGGGPASASRFLSSLRDSNDALPVAIGAMKLLPDLRSKQLLVHFFLKRTVGNLSDTEVARLNSWALGLRVLSLLPLPSQQRCSSLHEHPQLILEVLLMMKQLQSASLILKELPSLRDDRLIISYAKKAICVNVSSTPREPRLSISGSRAKSKKPATPVKPNFVQSLGNLQREARKAFSWVPRDIGTKTPPKDVLRKRKSSGSGGDRSWEAMPSVQEDRTPVYPSEGQERLPFVSAPEEWVLTGDPIKDDATRACHRYETSPDITLFKALLSLCSDELVAAKGALELCIAQMKVVLSSRQLPLDASMDNIARAYHATETYVQALSYAKSLLKKNSVSNDLSSGSERSRDIDGSSADTGSSSTGSQYLDELSDLLGQADMWLGRAELLQSLLGSGIIASLDDIADKESSTSLRDRLVSDERYSMAVYTCKKCKIDAFPVWVAWGHALVRTEHYAQSRVKFKQALQQYKGDATHVVIDIINTIEGGPPVDVSSVRSMYEHLAKSAVTIFDDSLSADAYLNVLYMPSTFPRSERFRQSRDSKDNQFASAGSYPEDGPRSNLDSVRYAECIHYLQEYARPQMLAFLFRHGHYAEACSLFFPSGQPTTEGETSLSLIPHSDPLTTDYGTVDDLCDLCLGYGAMSVLENTISSIMQSPTYHETAVIQYMNTVLTRICNYCETHRHFNYLYNFLVLKGDHVASGRCCIQLFMNSMSQEEALRHLGHAKTHFEEALSLRDRTIEATKLVSRTARNKGASEKMTREMIMKFCTRVSYQMDVVKALNNTDGPQWKTSLFGNPTDPETMRRRCMVVETLAEKHFDLAFRMLHEFDLPAADIYAGVAASLAERKKGGQLTEFLKNIRGTIDDDEWDQVLGAAINVYANKHKERPDRLIDMLISNHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >Et_1B_013406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8085611:8088770:-1 gene:Et_1B_013406 transcript:Et_1B_013406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALARLLLSLACLAAAGPATASAARAFFVFGDSLVDNGNNNYLLTTARADAPPYGIDFPTHQATGRFSNGLNIPDIISEHLGAEPALPYLSPELQGEKLLVGANFESAGVGILNDTGVQFRLYELGARRVIVTGTGPLGCVPAELALHSQNGQCAAELMRAVNLFNPQLVDMVRGLNRAIGADVFVTANTYRMNFDYLSNPQNYGFTNVQVACCGQGPYNGIGLCTAASNVCADREGFAFWDAFHPTERANRIIVGQFMHGDTDYMHPMNLSTILAVDREGL >Et_8A_057962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10136888:10140394:-1 gene:Et_8A_057962 transcript:Et_8A_057962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSQELEEITPNDSDPLLGRDNQEAEPLPQLLPPKPATVTPLEIEDEEADGSSAACCRICLEAESEIGDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVETWEDNSWRKMKFRLFVARDVFLVFLAVQLTIAIIGAIAYFLDRDGSFRNSFSDGWDRFLSKHPIPFYYCIGVVVFFVLLGFFGLIVHCSSFNDNQDPCLAGCRNCCYGWGILDCLPASLEACFALVLVFIVVFAILGIAYGFLAATMAVQRIWQRHYHILTKRELTKASSFPQNRSLCHQMEQKYA >Et_2B_019161.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15043203:15044036:1 gene:Et_2B_019161 transcript:Et_2B_019161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSKARAAAVQVFPGKGDPNAEYLVKLAVGTPQQPVSLILDTGSSFVWTQCQPCISCYENDQAPPYYLDASRSSTSSVLPCGSPACRDLPYYSCGTGRESFWGRPGLRVHLRLRVTTGVLGADKFTFSGDVSADQRDLRVRRLQQRGRLFLVQYCSNRHRRVRTRAPVSAVAAQGGQLLPLLHRPDGVQAQHRPARPAREPLQQQQQRRRRQDDCPADPYHYHLSLKAITVGSTRLPVPESAFTPSKVDGAGGALIDSGTSMTSTACSATRLPPR >Et_6A_048017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3531378:3532819:-1 gene:Et_6A_048017 transcript:Et_6A_048017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARACFALHKPSIPPLKMSGDISDIDPAQAGPPPSSSSFSSAISIRLPKHPAFTSINRKQQADAHAHARAPKFASPQRRVRLREAARVMRLKWPWTREPAAAAAHGVRRPPDEEPSGFHLPVEAGGAADADADARSTASSEHDHQLHLPVEEPVHVEEGESAAGSVRGYEVAGSSGSESSSSCCGGSDGEQSAAGSRRGRRRGRRRRGRKRSSGLPAFVAAVGPAAAVMLLALAALVAWKRRQRRGAGAGELDDDARGVP >Et_5A_042468.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:13454845:13456362:1 gene:Et_5A_042468 transcript:Et_5A_042468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNIEEVIVSAIIGELASRSVSFVFETCSKRMAPPSTLEEKLDSLHRLLLRVGAVVEDAEGRNITNQAMLQQLKTMSQVMFRGHFKLDTIRSQGNDSNKVCADHESGHHRSLVLSIFNPAKRLRICSSGTESAGELQRILASLETIIQDTAEFIQLAREYPRLTRQPYNMYLLLDNCMFGRRMEMEHIINFLLQGTQNHGAGHLGVLPIVGPANVGKSTLVEHVCIDERVRNHFSQILPINGGDLSDKDIVTLANGTGVIKYENREGSGGGRVLIIVEADKDINKDSWQRLYSASKARLAPGSKIIVTSRSDKIIGYGTSLPLILQFMAPEAYWYFFKVRTFGSVDVAREHPKLASIAMEMAWEMSGCFMGVNIFGGMLKSSFALSTWNLALSTYRQFKRLNHFLSNAYPTDPWELSRPILLPIVNRKSPEYFVVVNSYQTSSSPGEGISSPKVSVQDVMLGSSSPQGKFSALAWRSHIPAHKNYVFRCEQRMPRKKRIHKIMR >Et_1A_005444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10471711:10472725:1 gene:Et_1A_005444 transcript:Et_1A_005444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDPGSRCFSPTLAVAQLAGRYVRRCDAGRERASRARHHPESHHRIAGPDTRFAFPACLLPAMDPKAYEGAAQAQPPAMGVPVSGAYYQQALPPVTEWSTGLFDCFDDISSCVVASLFPCITIGQIAEFLDQGAPSCFCHGTMYTLIAVITELHCLYSCFYRAKMREQFGLQESPCADLLVHFFCEPCSLCQMHRELTNRGIDLNQGWQAHVERQQLTAASVPPPMHAGMTR >Et_9A_062059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19336354:19339294:1 gene:Et_9A_062059 transcript:Et_9A_062059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQVEYATKAVDNSGTVVGIKCKDGIVLGVEKLITSKMMLEGSNRRIHSVHRHSGLAVAGLAADGRQIVSRAKSEAASYEKVYGEPISVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGVSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKAFELELSWICDESNRQHQKVPNDLLEQAKAAAQAALEEMDAE >Et_1B_010690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12991667:12994166:-1 gene:Et_1B_010690 transcript:Et_1B_010690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRSMEVRILQLGLGLLLVLAAQHAPATALPSLECQKKCGSVDIPYPFGIGMSCSLTKGFNVTCQVQEGISKPFIGDFELMDISLTDSTVRVKNSITTYCYNSSGLMELGGFLGFNLSSSPYRFSDAHNKFTRPHGYTSGCVSTCLNQSDLAEGSCSGIGCCQTAIPKAMDYYEVTFDSGFDKGYNMSQIWRFSRCSYAMLMEATAFNFSTAYITTTMLNDSNFGGVPAIFDWAVRNGTAGCEVAKRNESGNYACVSINSECVDSSNGPGYVCNCSKGYAGNPYLPDGCQDVNECNQSPCPSGGICHNTIGAYRCSCRAGRKLSKQSNTCNPDTGLIIGVTIGFVALIIFSFSGSMILQKRKLDRVKQDYFSQHGGLLLFEKMKSERGLSFTVFTEGELIKATDNYDKNRVIGKGGNGTVYKGMVKGNMPVAIKRCSLIGERQKKEFGQEMLILSQINHKNIVKLVGCCLEVEVPMLVYEFIPNGTLYELIHGKNRALQISFSTLLRIAHEAAEGLNFLHSYASPPIIHGDVKTANILLDDNYMAKVSDFGASILAPSDEEQYVTMVQGTCGYLDPEYMQTCQLTEKSDVYSFGVILLEVLTGQVPLKLDGPEAQRSLSSCFLTAMKENNLDALLMSQMRGQESNELIRGLAELAKQCLDMCGANRPTMKEVVDELGRLRKLSLHPWVLLTDTESESLLDGASSTTGFEIDVTTTGYPMQEGESMPMNPGSSYYAR >Et_4A_035166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10333791:10337545:-1 gene:Et_4A_035166 transcript:Et_4A_035166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPSIQSSGTTDLDVKATAPAKAASCRYKRGGSLQIDPLPPAALVSHLQFSKHRFGGFLVQASMAGSGPGSSLCAWREPILRDRFEARLYAWIACQVRSVEKLNLVQKRSYIDLATGSIHMIYQSAYSQFRSTGSRQDRMLGISYAKSDFGVAIGIGCQMNRFTCLMEWDQPAIQYVVKFWKSYLLKPAKIPNVPRKWSTPDAGRLKINVDGSFVEHSMTVGWGFIIRNHDGLSIGSGARKIDHCEGAMYAELTGVMQALLLAGDAGMDGVVV >Et_4A_035024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8939244:8940950:1 gene:Et_4A_035024 transcript:Et_4A_035024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SAHTISGGCVYSPNKEKLDGAFLGDEFVDPSSYIITNRSHPIQQYNNKDEPHINDVAMAVFIAGRYMNSGSYYGIQVTSDVYTFSINQDEKSGIFIQINNHGDSYSNLNGISVGWHVHPAIYGDSNTHLFVLWTRDGYQTTGCYNLECPGFVPEANVSFVPGVTINAVSDPDGVKRSIIFKVFKDSVGDWLVHIGFDSEPYLIGRFPKSIFTSLGDKANDIRIYGAVRTRTTYMTPMGSGFMSNSNKAASFSNIQLIDQNGQTSLVTQGAPDFIDDKAIYSVSPISPEGRFTYGGPLL >Et_7A_051608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21240103:21257910:1 gene:Et_7A_051608 transcript:Et_7A_051608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAAYKMMHWPTGIDHCAAGFITYSPSDAAAFSAPSSAGGAATPGPDGDIDSAAAKAPRRVGPTPNLVVAAANVLEVYAVRADAVAADDGAGGSQPSSSPGAVLDGLCGARLELVCHYRLHGNIESMAVLSDGTDNRRDSIALAFKDAKITVLEFDDSIYGLRASSMHCFEGPEWQHLKRGRESFAWGPVIKADPQGRCGAALIYEMQMIILKAAQVGHSLVGDDEPARGLSSTAVRIESSYLIDLRVLNVNHIKDFTFVHGYIEPVLVILHEQEPTWAGRISSKHHTCMISAFSISMSLKQHPMIWSTADLPYDAYQLLAVPPPISGVLVICANSIHYRSQSTSCSLALNSFASQPDGSPEIPRTSFHVELDVAKATWLSHDIVMFSSKNGEILLLTVVYDGRAVQRLDLMKSKASALSSGVTTLGSSFFFLGSRLADSLLVQFSCGAPASALQGLNEESADTEGDMPFSKRLKRTPSDVLQDVTSVEELSFHNNMVPNSPDSAQNISFVVRDALINVCCSGHGKNGTISALQQSIRPDLITEVELPSCTGIWTVYYKSSRGNTMEDNEYHAYLIISLESRTMVLQTGDDLGEVTETVDYNVQASTIAAGNLFGRRRVIQVYAKGARVLDGSFMTQELNFSTHTSESSLSSEPLAAYASIADPYVLLKMVDGTIRLLVGDNSNCTISVRNPGIFASSSERITSCTLYSDRGPEPWLRKARTDAWLSSGIADAIDGNDNSSHDQSDIYCIICYESGKLEIFEVPSFKSVFSVENFVSGPALLFDTFARTSTKDTATGSRDATKMSVKKEEANNIKIVELAMHRWSGQFSRPFLFGLLNDGTLLCYHAYCYDGMESNTQCFPMSPQGSTDLGNDSDLRLRNLRFRRISIDITSRDDISSLVRPRITIFSNIGGYEGLFLSGPRPTWVMVCRQRFRVHPQLCDGSIVAFTVLHNINCSRGFIYVTSQGFLKICQLPSAYNYDNHWPVQKVPLHGTPHQVTYYAEQSLYPLIVSVPVIRPLNQVLSSMADQEQGMHIESEVTSGADLQKVYTVDEFEVRIMELEKLSGCWETRFTIPMQPFENTLTVRIVTLQNTTTKENETLMAIGTAYVQGEDVAARGRVLLFSFTKSENSQNLVTEVYSKEIKGAVSAVASLQGHLLIASGPKITLNKWTGSELTAVAFYDAPLHVVSLNIVKNFVLFGDIHKSIYFLSWKEQGSQLSLLAKDFGSLDCFATEFLIDGSTLSLVVSDSDKNVQIFYYAPKMVESWKGQKLLSRAEFHVGAHVSKFLRLQMLPTQGLASEKTNRFALVFATLDGGIGCIAPVDELTFRRLQSLQRRLVDAVPHMCGLNPRSFRQFKSNGKVHRPGPDNIIDFELLSDYEMLSLEEQLEIAQQIGTTRSQILSNFSDFSLGTSFL >Et_2B_022879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7162378:7163702:1 gene:Et_2B_022879 transcript:Et_2B_022879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWIPDPTRLPNRSSPKSPPPHDPRMADSEPLGHRQGHDRQCQIDDEPSADDTHVYVIAAHGDTVLFRRTRVPRPTLQEELRCHRPGYDYFVYKAAAGEPPSLSLLPTCLIPIMERGYEGYINNYPTARELDQSDTGVLRRGKDELLVAQLKVADEAPFNTAELCVLRPGRSEWELKTAVPIVHHRTGKAHDLQMWQDTNVAVPVGDRFLCWVNFDCSTFLLCDMADHEDEDRGPTKIRYVRLPVKAVPPRDDEDYDDEYEGQPSWLYYRTIGAAGPDAARFVSIDNRCCCGAHVIKSSCEHSSSAFMVTMWTLTLTTAGDQPMKWVKESVLDCEEFWSLVACKGLSRDVYLTCPVVSSGNPDVVCFIAREGESLWTVEIDVRRKTLISVNPCPDHPQKCVTYVNNLPAKLHRP >Et_7B_053756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1208275:1215926:1 gene:Et_7B_053756 transcript:Et_7B_053756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPAASRARGCVFAMWLHGLGDCGRANEFIADHFSAAAFANTRWAFPTAPISPVTCNRGTLMPSWFDIHDTPITSKSVKSEEDVLRAVQSVHAMIDREIAAGTDPENIFIFGLSQGASVLLYPKTLGGCAVFSGFLPFSSSFASKVTAEAKKTPVLWTHGGADSLVPIEAGRDGVKFLRGLGMRCEFKVYDTLGHTLAPFELEYCERWASENILKEHGEGLKKSGLSGSCFFGGAPSPSPAGGFLLWLHGSRGSGDESRAQVAPYFATPELAASVRLSFPTASTTTVACYGGEVINAWFGISEVPITAKSVRDEREVLKAVEHVHELLDKEVAAGTDPSNIFVCGLSQGGALAIASVLLYPKTLGGCVVFSGSIPLNKSFAEKVSSEARKTPVLWFHGMADGLVLFEAGHAACAFLEELGMSCEFKAYPALGHSLVDEELQSMDQPSSCLARFLFTLGAAIAAASLLATSLRRRAPPSELPAQLVPTSHMAGRNRSFVLWLHGLGDSGPANEPIRTFFSAPEFRLTKWAFPSAPNAPVSCNHGAVMPSWFDIHELPLSAGSPQDESGVLKAVENVHAMINKEVADGVHPENIFVCGFSQGGALTLASVLLYPKTLGGGAVFSGWVPFGSSVIQRISPETRKTPILWSHGMADPTVLFEAGQAGPPFLQSVGVSCEFKAYPHLGHSISKEELHSLESWIKSRLRASQEKDS >Et_9A_062884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6243850:6246507:1 gene:Et_9A_062884 transcript:Et_9A_062884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVASNGAFQGENPLDFALPLAILQICLVLLVTRGLAYLLRPLRQPRVIAEIIGGILLGPSALGRSTKFLHTVFPPASMTVLDTLANLGLLFFLFLVGLELDLNAIRRTGRKALAISLSGIALPFALGIGTSFAFRATVITDTRQAPFLVFMGVALSITAFPVLARILTELKLLTTDLGRMALSAAAVDDVVAWILLALAIALSGTTSPIISLWVLLTAAGFVASAFLLLRPALAWMARRCREGEPVREVYVCAMLGVVLAAGFVTDAIGIHALFGGFVVGVVVPKDGPFAGMLIEKVEDLVTGIFLPLYFVSSGLKTNVATISGAKSWGLLVLVIANACVGKIGGAVATALLVKIPVREAVTLGFLMNTKGLVELVVLNIGRDRKVLNDEAFAIMVLMALFTTFITTPIVMAIYKPARPSAPYKRRTVADAAGDGGGELRVLACFHTSRNIPTLLNLVEASRGTTGSGRRGGGGVALYAMHLVELSERSSAITMVQRAPFFNSSSGDREGQMVVAFEAFQQLSSVRVRATTAVSDLDTIHRDIIDSAVDKRAALVVLPYHRALQHDGSFASLGSAYHAVNRRVLREAPCSVAVLVDRGLGGHAQVSAKNVAFSVAALFFGGADDREALAYATRMAEHPGVAVTLARFRPNRPHSDEESAADEAAVEAFKANVGAVKDGSVHFEDREDAVTREEVLETIESLSNFNVFVVGRMPPTSPLVENPEHELGPVGSYLVCPEFRTSASVLVVKRYDPATNPKSKRYDPTARPPADTEDDERDVEMGGAAVVPMSWSPPTRETA >Et_1B_013015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4804653:4814767:1 gene:Et_1B_013015 transcript:Et_1B_013015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPKEEAGGELAMEIESSVTAEDWRRALARVVPSVVVLRTTAPRAFDTEVASASYATGFVVDKSRGIILTNRHVVKPGPVVAEAMFVNREEIPVHPLYRDPVHDFGFFRYDPSAIKFLKYDEIALAPEAASVGLEIRVVGNDSGEKVSILAGTLARLDREAPYYKKDGYNDFNTFYMQAASGTKGGSSGSPVVDCQGRAVALNAGSKSSSASAFFLPLERVVRALNLIRDSWDAFGTKPESVYIPRGTLQVTFHHKGFEETRRLGLRSETEQIVRVVSPSGETGMLVVDSVVPEGPAHKHLEPGDVLVRINGEVVTQFLKMETLLDDSVGREIDLEIERGGNPLTVKLEVEDLHSITPNHFLQVSGAVIHPLSYQQARNFRFKCGLVYVAEAGYMLSRASVPRHSIIKKLAGEDIAHLDDLIAVLAKLSRGARVPLEYVKYTDRHRNKSVLVTIDQHEWYAPPQLYTRNDATGLWTAKSAMSPESPFIASACRASHVDTNSNSVLSLSESSPMDLKCQYDSENLADGCIKMQTDDEIVVDGSHSSEDSIVERKRRRVDEEIAADGTLPSYGDPEDVKGSELRHSSNVEDTDLARTVSSNASLAEQVIEPALVMFEATSRKSIVTNPCTAVNIGSADCPRYRAINMEVIELDTDFGSTFSGILTDEQGRVQALWASFSTQLKYGGSSSEDHQFVRGIPIYAISQVLEKIISGTQGPFRLINGMRWPMPFIRLLEVELYPTLLSKARSYGLSDNWVQALAKKDPVRRQVLRVKGCLAGSKAEKLLEQGDMILAINKEPITCFLDIEKACQELDKSVGSDGTLNMTIFRQGKEIDLIVGTDVRDGNGTTRMVNWCGCIIQDPHSAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIIEVNGQPTPDLETFIKVVKGLEHGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELSFEPETATWRRRTIKALEPTTA >Et_4A_033057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17848833:17853205:-1 gene:Et_4A_033057 transcript:Et_4A_033057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCASCLASACAGCACNLCASAASAVPRRSARLAYCGLFAASLILSFLLRQFAAPLLHHIPWINTFDHAPPEEWFQMNAVLRVSLGNFLFFAIFALMMIGVKDQNDRRDAWHHGGWIAKIVVWVVLIVLMFCVPNIVITIYKIVSKFGSGLFLLVQVVMLLDFTNNWNDSWVEKDEPKWEIALLVVTVVCYLSTFAFSGLLFMWFNPSGHDCSLNVFFIVMTLILAFAFAIVALHPQVNGSIMPASVISVYCAYLCYTSLSSEPDGYECNGLHRRSKQVSMSALILGMLTTVLSVVYSAVRAGSSTTFLSPPSSPRSGTKNPLLGDEETGKGDSKENEPRPVSYSYTFFHLIFALASMYSAMLLTGWTSAASESSELMDVGWTTVWVRICTEWVTAALYIWTLVAPLLFPDRDFS >Et_2A_016875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2900223:2903023:-1 gene:Et_2A_016875 transcript:Et_2A_016875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRDGDGDAGATEADIERLPADLLAHVLSLLPSFRDLSMAGGVSWRWRRAVERSLASRRRLSFAGQRTGDDTAARLVRAAVNLRDLDISRSCWGCHITDDGLIKIASADCVKNLTSISLWGLAGITDKGVVHLVSKAYSLQHLNIGGTFITDESLYAVANNCTNLKSIILWSCRHVTEAGLVALVNKCRRLECINVGGMRVPPESFVNLLSLSPALQIRSIPQNLNAGVQVS >Et_4A_033833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27234313:27238286:1 gene:Et_4A_033833 transcript:Et_4A_033833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTRAAKIPSFQQTEINWDNLDKTKLYVVGAGMFSGVTVALYPVSVVKTRMQVASGEAMKRNAMATFKNILKVDGVPGLYRGFVTVITGAVPTRIIFLTALETTKAASLKLVEPFKLSEPVSQKLMVGGYSGHAKYKGGIDVARSIIKTDGLRGLYRGFGLSVMTYAPSSAVWWASYGSSQRIIWSALDHLNDKGDAPSQLKIAGVQATGGIIAGAVTSFVTTPIDTIKTRLQVMDNQNKPKASEVVKRLIAEDGWKGLYRGLGPRFFSSSAWGTSMIVCYEYLKRLCAKVEELVVLDVGGV >Et_5B_043911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17600487:17604527:-1 gene:Et_5B_043911 transcript:Et_5B_043911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALVWAEGHYNGAVKTRKTVQPGGEDDDGGGEAAGSGKASRRRSRQLRELYDSLAGEAAAGGGGAAAHRRPCAALAPEDLAETEWFYLMCASYCFPPGLGLPGKAFATRAHVWLSGANKVDSKVFSRAILAKVEEDIGLIQYARSIFMDQHGIHMMPTLSGHSTSNPITHVDDPPLQIKREKYIVSTNVQPQNLNPEDKRSTEMGEDDGQLDTECASDLETNNEKGSRQPAPLNYLSNEQATPYAGSSGPMHVEVSDRARYGCSSYMDEEIEMQMVCQNTANAQTSLQGQDRPGQWDLLYDNLCSGYLLEPSAAEDQAIPPENAHYAETVLRILRYNMRQQTTANVKGYLAVSRNSPFSKWNTKRPADVESMMVSECAPQRMLKGILFSVNSSQCKPRGEAQSPEPRDGEGMGRLRRGQVQAELSASHVLKERRRREKLNERFVVLRSLVPFVTKMDRASILADTIEYLKQLRRRIQDLESRPRQMVAGNMAAAQPPPATPHETRGYFTRASTGKRAAEASGSGSSSTGTPAEVQVSIIESDALLELRCPHRDGLLLRVMQALHRDLRLEVTSVQASSAGGVLLAELRAKVTEAHGRRSSITEVKRAIHLILSSD >Et_10B_002708.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:9798455:9798637:1 gene:Et_10B_002708 transcript:Et_10B_002708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAVSWKVRVVPYKNSCHHVSGLGWRMFYKDNRIKLGDVCTFTVIECTLWLAFVTPSIT >Et_4A_033703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2606840:2608920:-1 gene:Et_4A_033703 transcript:Et_4A_033703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKEAQAVAAQVMDGEEAIRQQEFRKRQQNVEEARARLESTSTWASIKSRFRAASESAVLRARQMFGEPKVESTVKESAPKDESRIEASAVIISSTTAGLVLGLKLSQGERTKLPTLCELSVFAP >Et_1A_007468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34902262:34903936:-1 gene:Et_1A_007468 transcript:Et_1A_007468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LARADDFSFSFIPSVVTVPCRRKLIDARDGRVLLSLDSNHREFAVCDPLSRRYVVLPKVPHQDPASLRGAARLELYRAAFLVPAAAGEEAETSFKVLWMEEWSHEPPAAIVFSSATGQWSRPMAMDGVPGMPGAPSHSVYAHGSFYWVFTTSGIDLFSDEVNSDKLLALDTRSMEFSTIDLPSGSDYDYGFLDYAIVEAGEERIGMFTLHKSVFDSDAATSIGATDRRLLLDGYPSLSQFQSSVCDGSNLWETSDVDFKFFSVDFKSMQITRVRRSLLLGSAMPYTGFPPSLCLQ >Et_6B_049252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2360800:2361086:1 gene:Et_6B_049252 transcript:Et_6B_049252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTPEKKMHQPAIRILDTFRVHLSPPTDILPLTFFDVFWVSKPPVQRILFYCVAPDAEAVGANLRGSLSHAVRAFFRSLGASVSPPA >Et_1A_005436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10343884:10344379:-1 gene:Et_1A_005436 transcript:Et_1A_005436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSASSTLLVVLLAISLLALLDCPVAHARHLKNPSMATVEQSATKKGMHGTSKPDMANTKKTETVEAKGNGPNFGHGASPDVAGVVVQGFGPRPHPKKHNK >Et_5B_044941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7518588:7522207:1 gene:Et_5B_044941 transcript:Et_5B_044941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKAVTTMTLKDFHGGSIPSELPLPSAPGVTPRPADRPVPSASPVAAAAARPRVPASSAATAAAAVPSIFTNPSRIGRHFDEDERTPFEPAAPRRGPPSPASYAPSPVASPARSGPGNPWGPKREAAPAATSPVGAAPAGGQIWSATRIAQASAVEKVISGRWSSSKPSSPPAPVSAPVVQAHVPLPEVERPRSVGVRDLNGGAERSSAPVRPASHEGRVGDERDKDVSERPKLKLLPRSKPAEAPEPSPTNVEEKQVHQVQATANVMKIEAAHDVSQNATAAKTGEVEADAESRAAERPRLNLKPRTSVTGQSEETAAKERQSLFGGARPREQVLKERGVDVLASDLEKDSPVGRSKSEFAKVEKKVEAMSVNPSVDRAENLSAGHRRPRNADRKDFKRDVERADAYKPTRREDIRRGARDVEKPLEQPRPEPETWRKPVEPPKPEVTTPRFGKMASALELAQAFSKPTSDNVPQSRLTSVPSPRAPPSPGVRDQIGFSRLTADNRSLHSGPSQRRINGY >Et_2A_016500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25284570:25286904:-1 gene:Et_2A_016500 transcript:Et_2A_016500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALPALAPLQESLQQWYFPCTVVFIVLLMPLLSLVPTRIWGSRKARVNLPPGPRRLPIIGNLHQLGAQPHRNLRDLAQRHGPVMLLQLGMVPTLVVSSAEAAREVMKVQDADCCSRPDMPGARRLSYGHKDVAFAPYGEYWREMRKLVVIELLSMRRVQGPLGPLGRPRYGVHHVSTRRLNVDKLISCLSSSQQKPVVLEDLIFRAMDGIIGSMALGNIYGTEQFAYKKHFQDVFNEAFRIKSSFSYENYFPNAFGHLVDRVTGLISLRERVYWELDAFYDVIIDQHLDPSHSTQDNEPDFIDILIGLMKKHQSSLEFTREHIKGLLSDVFLGGVDTSSITMTWAMTEMIRTPWVLKKAQDEIRAVVGNKVRVQPDDMSKVRYLKMVIKETMRLHPPAPFLVPRENLRPVKIFGYDVPAKTRLLGPSKSWDNPDKFDPDRFEGKDVDLTGSHFELVPFGAGRRMCPGMNIGVTTMEFMLANLLYCFNWKLPEGVSEEDISVEEAGQGLTVHKKMPLVLVPTKCERQG >Et_5A_041451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2349987:2352504:-1 gene:Et_5A_041451 transcript:Et_5A_041451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRGEAMLLLAAAHVVLLATLPTAQCYWLNPEIYDTGGLSRRAFPEGFVFGTAASAYQVEGMAKQGGRGASIWDAFIATPGTIPNNATADVTVDEYHRYKEDVNIMKNMGFDAYRFSISWSRIFPNGTGTVNQEGVDYYNRLIDYMVEEGITPYANLYHYDLPLALHEQYLGWLSPKIVEAYADYADFCFQTFGDRVKNWFTFNEPRCVAALGYDNGYHAPGRCSSCAAGGNSTTEPYLVAHHLILSHAAAAKRYRDKYQLYQKGKIGILLDFVWYEPFSNSNADQAAAQRARDFHLGWFLHPIIYGRYPYSMLEIVKDRLPLFSDEESRMVKGSVDYVGINHYTSFYMKDPGTWNLTPVSYQDDWHVGFAYDRNGVPIGAHANSYWLYIVPWGINKAVNYVKETYKNPTMILAENGMDQPGNVSITQGVHDTVRIRYYRDYIIELKKAIDDGARVIGYFAWSLLDNFEWRLGYTSRFGIIYVDYKTLKRYPKDSAFWFKHMLSKKRS >Et_9A_062497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23583123:23587510:1 gene:Et_9A_062497 transcript:Et_9A_062497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKPLSARRLMPALFPLAHGDAASAAASRRERRRDAFVATPPTPKPSARAVRLAEPLPTLAPTRLAFHNRILALLSGPQLDLAEAALLTRHALHSNCRPSSFTCTAVLAALLRARRLDEFFALHRFALQAAVPPTAATHALYLSALAARRLPDDAVHHLRLLARPGSPVPPSPTAYRVVVECLVVDHGRLAEAVEVKDEMLAAGFVGPDPKVYSLLMAGFVGAGDGAKAVELYQELKDKVGGEPVLDGIVYGSLMKAYFLMGMEDKAMDCYNEVLGAESEVRFGVESYNELVDALGQNGRLEDALKLFDRMLGEHDPPLRVAVDVRSFSVMVDAYCAAGRFEDAIAVFRRMGEWKVEPDIRAYNNLIRHLGTNRLLHEVEVLFSEMSECSVAADVETYVVFSDEMRELLEGALRVDGREDDIAKLYEVVEREKAEAEARAAEEKARKEALAREERERRRAEAAAKDAAAARASAAAIEAILAHKRKTENGTEAPAPDANTLDGGFLSKLGLRSSGDHAVQGTQPSTESKQENGQGPCSKAPSSRKILAPHPRLCTGPTDSSSTRTAIIFSFPSLASGICKSKYQSNANSYWQPSNEFRDQAVDDLPIRHLKNE >Et_8A_056153.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:7648891:7649322:1 gene:Et_8A_056153 transcript:Et_8A_056153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAITVPMAAMLALLLVAPTSTAHHGHHAPGPLHHHAPHHHHGHHAPSPSHHPHRHHHAPAPEPALHDGGHHASAPAPVHEKHIAHAPGPHHHGGHHAPAPSPHQHASAPSPHHHGHHHASAPSPHHHGHHGH >Et_2B_019037.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26361591:26362775:-1 gene:Et_2B_019037 transcript:Et_2B_019037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPATMKVLGVAVGLISIVIMGILSEVTIELLVRFSAYSRSLSYGEVVHRALGRPASVVAQLCVIINNAGVLVVYLIIIGDVMSGSLKHMGVMDQLIGHGEWDNRRLLILVVLVIFLAPLCTLEKIDSLSLSSAASVALAVVFVAVSCIIALIKIAEGKISMPRMAPDFSSREAMLDLLVVIPIMTNAYICHFNVQPIYNELKEKTPQNMYKIGRISTVLCVVVYALTAVSGYLLFGEDTESDVLTNFDKNLGIRFSTLLNYIVRIGYVIHLVLVFPVVHFSLRQTVDSLIFGELAASSRKKTLTLTTVLLALIYLGSTMIPNIWMAFKFTGATTGLALGFMFPALVALRLDKEGCRLGHVERILSLGLLGLAIIVSVIGVVGNVYTLKSKSE >Et_1B_011005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16421005:16421496:-1 gene:Et_1B_011005 transcript:Et_1B_011005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKKMNAKQATVLYLLLVIMLRADHASAGSTGQ >Et_7B_054671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3320759:3336949:-1 gene:Et_7B_054671 transcript:Et_7B_054671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAMLINATTMPRGVVVPPRTPCGRLFRTEGARKPPRHLSCAAAGGGRVDRRDVLLSLGGAAAATAGLATSPGGGGALAQPIQPPDLQNCHPPADLPATAPDVNCCPAYGPGAGVVDFVPPPESTPLRVRPAAHMVDKEYLAKYEKAVDLMKELPDNDPRSFAQQWRVHCAYCDGAYDQVGFPNLELQVHNCWLFFPWHRLYLYFHERILGKLIGDDTFALPFWNWDAPAGMTLPPIYANTSSPLYDERRNPVHQPPFLMDLNYNGTDTTIPSDQQIDQNLRIMYRQAKLFFGQPYRQGDAPDPGPGNLENVPHGTIHLWTGDPRQPNGEDMSNFYSAARDPVFFAHHGNVDRMWHVWNGLRGGGGGNFADADWLDAAFLFYDEDARLVRARVRDCLDVSALRYAYQDVDLPWLNAKPAREAGSPAPATAGALPATLNTTVRVAVARPGTWRSREEKDEQEEVLVIDGIEVADHSRFVKFDVFVNASSSQGGDDGAAAAQCAGSVALTPHVIRADKRKAGSVKTVARFGICDLLDDIGADGDADVVVSLVPRSAGDMVTVGGVRVEYVKCGVSATLPARCAAAALSPPPPDVPFTITSNLTYLKWSGISIPSTTSTSSSCSFSLRLLDVRGRVTFTRTVWFSVAGIAPPVAGAGVPASMVGLAFSHGRSTSWYGVGVEAVADADADRAGLLVVEEEGGVEVVRVGDVGVAGAEAGPDVPHAVDVAVVREEHRVPCGRVEVAHVLLVRLPRVAVHNTFTTRRAMEICTTMHRGAAPRTPCNSSLQKLSHRNLLHARSTRKPARYSSCRATGGDRVDRRDILLGLGGAAAAGLATSHRGDGALAQPIQAPDLRDCHPPAGLPATAPDINCCPTYGPGTEIIDFRPPSAYSPLRVRPAAHLVDKEYLAKYERAVALMKKLPEDDPRSFAQQWRVHCAYCDGAYDQVGFPNLELQIHNCWLFFPWHRFYCYFHERILGKLIGDDTFALPFWNWDAPAGMTFPSIYANRRSPLYDPRRNPLHQPNYPLDLDYNDVEPTIPTDQLIDQNLKIINKDETHMSLHQMVSGSKKPLLFFGEPYRQGDLPDPGPGTIQSVPHGPVHRWTGDPRQPNNEDMANFYSAARDPVFYAHHANVDRMWYIWNGLRPGNTDIADPDFLDAAFLFYDEEARLVRVRVRDCLDINALRYTYQDVDLPWLNAKPTMEAGTPAPATGGAMPATLNQTVRMNVTRPRTSRSRREKEEEEEVLVVHGIEIPDHFRYVKFDVFVNGASAQDGGGSAAAAQRAGSVALPPHLVRADSTTMSPVRTTARFGITELMDDIGADGDSSIVVSLVPRSAGEMVTVGGVSIEYMESTGVPRSAPRRMPCSLQTLGRRSLVLPTKKATRARRHFSLKATHDRVDRRDVLLGLGGAAAGLAMTTNNRGALAAPVQAPDLQNCETPDIPATAADPSCCMTYRAGAGIVDFVPAPATSPLRVRPAAHLVDEAYLAKYEKAVALMKALPDDDPRSFVQQARVHCSYCNGAYDQVGFPGLDIQVHNCWFFFPWHRLYTHFHERILGKLIGDDTFALPFWNWDAPGGMTLPAIYTNKSSPLYDERRNPAHQLPSLLDLDFSDTDPNMPRDEQIDQNLKFMYRQASTSTAEHGTSARRPRLTCAHN >Et_1A_008043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40335529:40337886:-1 gene:Et_1A_008043 transcript:Et_1A_008043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARDAPLPYSYKPLPAAADASAEVTRRKRPLCVAALVLSGALLLAVAALAGPPMEAMEAGGMAPEEEAMMMMSRGPDAGVSEKTSEANWARLGAGSDGGNAFPWSNAMLNWQRTGFHFQPEKNWMNDPNGPVYYKGWYHLFYQYNPDGAVWGNKIAWGHAVSRDLVRWRHLPLAMVPDQWYDINGVWTGSATVLPDGRLAMLYTGSTNASVQVQCLAVPSDPSDPLLTNWTKYEGNPVLYPPPAIGPKDFRDPTTAWYDPSDKTWRIVIGSKENSDHAGIAVTYKTKDFITYELMPGVLHRVPGTGMWECIDFYPVGTRGSNGIDMSDAISKNGVVGDVVHVMKASMDDDRHDYYALGRYDAKANTWTPVDPEKDVGIGLRYDWGKFYASKTFYDPAKRRRVLWGWVAETDSERADVAKGWASLQSLPRTVVLDTKTRSNLLQWPVEEVETLRKNATDLSGITIDYGSVFPLNLHRATQLDILAEFEIDRHAVMAINEADVGYNCSTSGGAANRGALGPFGLLVLADKLHLREQTAVYFYVAKGLDGRLTTHFCQDESRSSSANDIVKRVVGSVVPVLRGETLSVRVLVDHSIVESFAQGGRTTATSRVYPTEAIYANAGVYLFNNATAARVTAKTLVVHEMDSSYNQVYMADH >Et_10B_003435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19875115:19875713:1 gene:Et_10B_003435 transcript:Et_10B_003435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSSTSSLVPLLVFHHQPQPHHDDEILMFKSAREDHGARPPSTSRRQQHVLDHTAGLDAPHHQVVFLVVAGFDVAMVDYLEGMNSGINWLVESQGDLFLVCVCFVGFDASNIGDIRAYKMDFSAACWRRVHDIGDFVFLLEDCNMGASCAATPSGLKANRIYFMNNFIKDDASLCIFDLETEARDIAQVHQH >Et_3A_026145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4867083:4869335:-1 gene:Et_3A_026145 transcript:Et_3A_026145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKVDRVDVASARNLFALTLSGLWHTAACREGIPPWENYGMLLRRRLERLHYKLTLQILVTDKKKTASSCAHSSMAMSAVSCCATALQALTVFFVLAVLVADVGGRHHVCHPFSCGVFSNISHPFRRLGDSPHCGPYELVCTDTNATIVNGSATYSVVSINYTGSYFWVVDTNPYAQGSCLLPRWDGHADAYRLFHTLNFRFVSGWATFVNCSQEIDDGWYRPVRCLSTIDSFIYMIIIRDLDFSSYPGYALHFKPSCGYLAMTPLGGADIAVSQDAS >Et_2A_015723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17271767:17272860:1 gene:Et_2A_015723 transcript:Et_2A_015723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAREMPKRADDDVQVWLEKRYVGSQHTSEWFNTAGRSWTWAATILQSSFVAWKYGVSGPFWYAAGATVQVLLFGAIAIEVKRKAPNAHTVCEIVRARWGGGSAHAVFLAFCLAANVAVTAMLLLGGSAVVSALTGVDVRAASFLTPLGVVAYTLAGGLRATFLASYFHSAILHVVLVAFVFLVYVASPRLGSPRAVHGRLAPGPGVRLRPWKLQGVLPHHAQLRRRPPR >Et_5A_040318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13480999:13482516:-1 gene:Et_5A_040318 transcript:Et_5A_040318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVIISAIIGELASRSVSFVIETCSKRWRRHQPEEKLDSLHRLLLRVGAVVEDAEGRCITNQVMLQQLKMMREEMHRGHFMLDTIRCQAHDRDNAPSDHQPSHHSLDLFMFNPAKRLRICSGSTESAENLQRILESLENIIQDASEFIQLSGRYPRLARQPYSMYLLLDNCMFGRQMEMEHIINFLNQGAQDPSAEDLGVLPIIGPGNVGKSTLVEYVCMDERVRDHFSQIVFINGGSGGGKVLIIVEANGDINEESWKKLYSASKTCLSRGSKIIVTSRSEVRTFGSVDVTKEHPKLASIAMEIARELSGCFMGASIFGGMLKSSLSLSTWSLALSTYKEFRRLNQFSSNTNQVDPWEPSRPIFLPTVNRKSPGYFVIVNNYQTSRGDNGASPKVSVQDVMFGTAPLSPGQRKFDALGCRSHIPPHYNYVVKCELRKPVNAVSRKKRSLKIAK >Et_1B_013541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9353137:9361308:-1 gene:Et_1B_013541 transcript:Et_1B_013541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTLLVFALLLATAFLVAAANEQTQAKQEESKAQVQDWRGGGGYPYGGYPGRGGGYPGGGYPGGGYPGRGGGYPGRGGYCRWGCCGRGYYGGCRCCFRPDEIPEPMYRPEVVEALLAFAVLLAAAFLVASANEQTQAKKEEESKASVQDWRGGGGYPYGGYPGGGYRGGGGYPGGGYPGGGRGGYCRWGCCGRGYYGGCRCCFRPDEIPEAMYRPEALLVFAVLLAAAFLVASANEQTQAKEEEESKASVQDWRGGGGYPYGGGYPGGGYPGGGYRGGGGYPYGGYPGGGYRGGGGYPGGGRGGYCRWGCCGRGYYGGCRCCFRPDEIPEAMYRPEVHN >Et_6B_049952.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:285092:286073:1 gene:Et_6B_049952 transcript:Et_6B_049952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAALRERMLQLGFRFNPTPQEAVTYTLPRLIAGEPVHPAVRPYIHDTDIYACEPGVLAAQFQPTPRTGDRFFFTSCKRQPSQKAGKSSRAVRAAGAGSWHSQGNSTEVKDGAGVKIGDVKKLRYKKGGKFTDWLMDEFSCCSQDAVAGDRQRVLCKVYVSPRAGPDSVARQEDAAAAAAVFAPPAPEKPVAAHKRPAPSIAEPPFPKRPRREAMSTPPPCAPRPGMAPSLDYLGGHTMPCFDSGSSARPDSSSSGLDGGASILLAGCGA >Et_1A_008447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7789045:7790280:1 gene:Et_1A_008447 transcript:Et_1A_008447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEELVRLHKGTASSSSSSKGQPATGPEATGVRAARRRQPPVVEAGGEFLSAQDSYSYASSSLHTLERWKKAGPAVGGDRLLPTVREGSARAGKSHGRRVSGCQGWWGGGGTGCRWRLCAVAGRVQWRRQESRVASVGVWVCLGETDPLTLVDEQSLTCGAWGHGSVTGTLVRGSLRVIGWGGSDRCMDGSGREMKYDPGCGLSNEEFEWRCLQHERLSKHTPQLMRI >Et_4A_033784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26937319:26941975:1 gene:Et_4A_033784 transcript:Et_4A_033784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDASSNGGFGAWNRVKRTSELRASRASSPSSILLIRLAENNKAALGEAKAKAATSGRAAAGARRTSATATTKASAKTLASARATAQIRATLAMAEAEAGSSSARRMEEDEAAAVAVEADARAGSRRRGEEEEEDDGESSDYTSEDEGTEDYRRGGYHAVRVGDTFKQGAYVVQSKLGWGHFSTVWLAWDTAHSRYVALKVQKSAQHYTEAAMDEIKILKQIADGDPDDSRCVVKLLDHFKHSGPNGNHVCMVFEFLGDNLLTLIKYTNYRGMPLPMVKEICRYVLIGLDYLHRALSIIHTDLKPENILLESTIDPSKDPRKSGVPLVMPSAKTDEPPPKVSAPSTNGGLTKNQKKKIRRKAKRAGAATSEGSSAVASADTDGSDDRGDLGTANEGSASQDGDKKRAAGDRRGSKGVKKKMAMEADLKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLNKVLIEKYEFTEKNANDMSEFLVPILDFVPEKRPTAAQLLQHPWLNVGPLRQQPKTLPDSSVDGVSEKQRKENEERDAMATELGNIAIDGASSSKASSDSQASTNKANATPSKK >Et_7B_054788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4479503:4480540:1 gene:Et_7B_054788 transcript:Et_7B_054788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAWASLQDKLQGRRWKEKQVRKITDRVFDRLTEDSKKRDKEALTFEEVYIAVLCVYNDINKYLPGPHHDPPSKERLQALMDEYDVNLDGLLDREEFAEFIRKLTKDSLCAISLKLVITLVAAPAMAMATKRATEGVPGVGKVVRRVPNALYASAITLAVVLVQKSSEGID >Et_4B_038541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29762291:29763324:1 gene:Et_4B_038541 transcript:Et_4B_038541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAIAPAAVVTRHSQHASFKKPSSSSACCVRSGFLGRAVATQPLVAGRRRAGGVSVSVRMAWDGPLSSVRLIMQGRNVKLSDRLKEHIEDKVGRAVSKHCHLVREVDVRLSARGGELGRGPKTSRCEITLFSKRHGVLRAEEDSESTYASIDMAADIIKRKLRKIKEKETEVRHMKGTKEWAALDSEDSSTEAEQDDEAEAEQDLVEAVGAEDEETVLTKVVRTKVFEMPPLAVDEALEQLENVNHDFYAFRNEDTGEVNILYKRKEGGYGIIIPKQDGHVQKETISAAAAAEPSFAAAAHGDNN >Et_2B_021965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7396056:7407553:-1 gene:Et_2B_021965 transcript:Et_2B_021965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQQPEVAIDLTHAAATPEPGNDSIVSSNTNGDGIEELVQVNSSTGQESIDSSSTRYHLSDSHESRVTVEFKLLWRLRKYLMLLGILAVSVTYNAGLIPPGGFWTNNTNGHDAHDAGDPVLRAKFFPRHEFFFYFNATAFAASLVLIILLLSKHVTRKELWLRSMQFTMILDLFSLMGAYAAGSCRAVKSSIYIWVLVFVVFLYIVIHILVFMRLVPKFVPLKRFVPHWLKQKAKSVISWIQSKCNAHGRQRSNDQEKDVEEAHKFILMLVTFAATVAYQAGLSPPGGFWAENDQRKDPATSMLRSKNLARYNTFVICNSTSFVTSLVTIILLLSPKLSEHGIRSKAMLVCVVVDLLGLIGAYAAGSCRSMKTSVSVLGIIFVVWILFALLAGTFLHKTVAIWLKKIKPDVIKCIDTIGRIVSFEPSRSRSRTREGDSTIASHQQTELLESAPEPYHHHANGQQVHKQETANADEIVSTSVHALVNDKQSENSNNVVHDLEGQSTAYMSATKDPISKTESYPTIDVMPNSDHLPTENLGVEDTKEQSLLVDGTPNNIFGVHKLEHQSAGNLKDGIRTGNSLSTGHPKPRIMTSDDLSEEIVLASSHNGGSSNLKDENDSSEELLETNEIQIAKTNDDPSIPVQNGNIQNYEGAPRKNSSNGNAGGNLTDKHLKKSRTYLLLLAILAVSLTYQSGLNPPGAFWSKRENNNSAGDSIPKDTHHRLYHLPGDPILEDTHHRRYIAFFYLNAIAFVASLVMIIMLLNRRMSNKAIKRHALQTAMIVDLLALTGSYVMGSSRKTMNSICIFLLLCLVFAYVTAHVLIAIHVIPEGWKKLVEEKLDCLFGPNQGDDPNKDLERRRNLLLMLAVLAATVTYQAGMNPPGGVWSDDKDVSGRPGDPILQHNNLKRYDVFYYSNSVSFVSSVAVTILLVNKKSFEHGIKFYALRVCLVVGLVGLLVAYSAGSCRKMKETVYLIIIAVVVLLSLVIQFLLSSTYRKLGKQTGKFIDHWLDRFLGEKEATQETNSRQQDSSGHQEKKVRKRNSSDHQEEKVRKRHKYLILLAILAASITYQAGLNPPGGFWSDDNEGHVEGNSILNPPGSFWSDNKGHLAGNPILLDINPRRYEIFFFFNSISFMASIVVIMFLLNDSVRKKDLPLDILHGIMIVDLLALMTAFAAGSCRKFRTSVYVYLLVGGVVIYLVIVIFLSSGIAKYLCPRKTSESTSQAGPDPVSTDTPESFEHGIKSYGLRVCLVVGLLGLLITYAAGSCINWKESIFPIIIAVAVLVSLVIHVLLSSTHDTLGRPLAQCIEFLQAWVFNPKKVEREITSDILCFIAILAASITYQAGLNPPGGLWTDDDSKGHVAGNPLHDINHKRYKTFFCFNAISFMTSIFVIMLLLSKSVRKKEVQLEVLHLIMILDLLALKTSFAAGSCQKFWTSVFLFALVAAVLIYLEIVIIVSRQLEKCLIKWKIRGFCSRHPDRI >Et_1B_012860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3603725:3606751:1 gene:Et_1B_012860 transcript:Et_1B_012860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGEEFAIGVVISVKTTLGEEFEGQIVSFDRPSNLLEGVGKAGRGDRRNVRVLKANYIREFSVVSQGEDPLDPAGCILDLAAIHAREEAALRLPVQWDKTDIVVMKEVRVRSPYLPENVSGGTPAANERVRKVVDFERKRLQARVPGQFA >Et_5A_042852.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:5589108:5590142:-1 gene:Et_5A_042852 transcript:Et_5A_042852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDASTSPRRRSTSCYSDSGDSSCSEPFSECGSDDLSFTPAAAAGIHRLLLSCAAEASEDAISSLVAELESPSPSLDSLRRAAMELRLLAKHNPDNRVRIAAAGAVRPLVALLSHPDPLLQEHGVTALLNLSLCDENKAMIVEAGAIRPLVQALKSAASPAARENAACALLRLAQLDGSAASAVGRAGAIPLLVSLLETGGARGKKDAATALYALCSGARENRLRAVEAGAVRPLLDLMADPESGMVDKAAYVLHSLVGSAEGRAAAVEEGGVPVLVEMVEVGTSRQKEIATLSLLQICEDNAVYRTMVAREGAIPPLVALSQSSSARPKLKTKVKKRPHFRS >Et_7B_055056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6946925:6951960:1 gene:Et_7B_055056 transcript:Et_7B_055056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDRGVSAAAGGAGDRKRIGEPMERSSPSTSWGISGGREKDRIAAGKQPDVPRYGGGSTSMSKSKLSDGESETDSEESDVSGSEGEDTSWISWFCSLRGNEFFCEVDDEYIQDDFNLCGLSNQVPYYDYALDLILDVESSHGDMLTEEQNELIESAAEMLYGLIHVRYILTSKGLAAMLDKYKSYDFGRCPRVYCSAQPCLPVGLSDVPRSSTVKIYCPRCEDIYHPRSKYQDNIDGTYFGTTFPHLFLMTYPHLKPQKPSQQYVPRL >Et_3B_028993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21166400:21168268:-1 gene:Et_3B_028993 transcript:Et_3B_028993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAATAPSSSDAPQTQPQSQSSEQQRERRMESLGWLTESAVMPKKHKAIEGVSAGSILDLKAQLYRTQEEARKPGAPDAAAAASGEFRRAKKRSAPADPLGAKNSGVDARAHKDKLELKAVKDGSASYAALEKKAELYEKLSRGELPDEEDKEKYCVDFFQKGFDRVYEPQLPESSGATEAAEPENYHEDSMPNAKPMGLGRTGTTIDRDEHKRFVREVHEEVSEARQKASTVRSRRQEQDAARREKLRQAYLKKRLEKLIAEKQASSTNDDAPAS >Et_5B_043270.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:21153709:21153741:-1 gene:Et_5B_043270 transcript:Et_5B_043270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVEGTKP >Et_10B_002530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13308202:13310307:1 gene:Et_10B_002530 transcript:Et_10B_002530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSITVGVTTTLLLITLSSLSLLLASASGAAAARASLGRGDAIAVEDHATDFLVSPDGTFACGFYNVSPTVFTVSVWFARAAGRTVVWTAAPARPVHSRGARLALDGRGGGALVLTDYDGAVVWNSTSSSGAGAGAAAWLARLDNTGNLVVEDAAGGGNTVLWQSFDSPTDTLLPAQRFTRGRLLAAGYYSLGFSDYAMLSLFYDNHNFSSIYWPNPYNNYVANKRKIYNFTREAALDELGRFLSSDDANFAAADAGRRGVRRRLTLDADGNLRLYSLDSATGTWAVTWMAFGNPCIIHGVCGANAVCLYAPAPACLCAPGHERADAGDWTKGCRPLFRRAAGGGGDDGNCSVAPTKLVALPHTDFWGYDLNNGEILPFDACAKRCRDACACVAFQHKENMECYLKSVLFNGRTFPGLPGTVYIKVPADFVTPEIHVHQWQPLGVGLAIDEDIPHVSSSITSSETGKTVLSYLYGFLSALLFVEAVIIGFGCWLFSKRGLFRPSRVWALEEGYKLITSNFQRYTYSEIKKATRNFTDIIGSGGSGVVYKGILGDDRVVAVKVLRNVSQSEQEFQSELSVIGRIYHMNLVRMWGCCSEGRHRILVYEHIENGSLAKMLFDREASDSVLGWDQRFWIALGVAKGLAYLHSECLEWIIHCDMEAREHIVGPGLGTQDH >Et_8B_058828.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:9874940:9875353:-1 gene:Et_8B_058828 transcript:Et_8B_058828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSTHSWRQPKILHWSRLRPSLESSPSGGRKRSAGMRSGGSMTDLNKGYPNRSSKTPIRGGFRWRARKRRRKPGSVTRRRQRLQTRDARRRDEGCGRRRRRNSQSRSSLSGKAAGAAVRWAISPAAALTSRRSALE >Et_7B_055772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:468519:469294:-1 gene:Et_7B_055772 transcript:Et_7B_055772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEAPAHVIPIDDVARHHGKAPVTDAPPPPAPAAVPAAPVATAAPRKSAGVPFFRRADRGSRCVALIDFVLRIAAFGPALAAAIATATADETLSVFTQYFQFRARFDEFPALVCDELTANECRFFMVANAIAAGYLVLSLPFSATIVLRPQATGLRLLLLICDMIMVALLAAAAAAAAAIVDLAHSGNLRANWVPICMQFHGFCQRTSGSVVASFLAVFVFMLLVILGAVAVRKR >Et_1B_010438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10541631:10542017:-1 gene:Et_1B_010438 transcript:Et_1B_010438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQTGKSTALVEHSGSTESSIMGRHKESISDTISNPNDKTLGWPARNQHYLLANAHKFISNLRMEMQPCVVSEVFTEAGHCNHTCYAEEPCKCTR >Et_6B_048577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1121213:1124389:-1 gene:Et_6B_048577 transcript:Et_6B_048577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATFACFRSGSLANAEYTSKCFDFRPGNGRKTSLVFFNLMSTLSQSLDQMESPRAARSARMTPTTCNVPGLLVGFTKLCKVTKICAAPELDNDEATTKSGYDQRLILIRLLETMATLKPAYIKLQRAHFPYDPTKIAFADEIITSELDSVTALQCLCNSKGGSIGLLINERWALVQELEGEVRRRDSDIVLMKRELEGLQTENARLNKQIKSEMPSDKQHYNKCFSAPKKELGTTTPSAVVELFNVATTSVHEFAGLIASSLASSARCDWNRAPEAEQSRKRYSLEAHLWRTMIGDGTGRRRNGEEVFNAAHHFDRIMRSCDPIDALMQCPSSSFSRFCQTEYLDAVPSEMEAAMFRNLEQRAFVSRGGHPRTWFYRAFATMARSAWALRVAMAKYSASAGQNVSMFYARRGREYQEFMESVNEPAAGRREEKISVAFTVTPGLKIGDTVVACRVFLCDSDFRAQHTDIQKAARSVQHGSMRSVASEPPPVSPPPASQSYQQRKATEARPSLLAKQGARTAATLPPVPPVIVDGHGGGSTLTEDSALNCSPAMKLDDIKPAVVEQRDKPVLDTPVVFTVSSKYLQGISSERLLQC >Et_3B_031528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3596081:3598047:-1 gene:Et_3B_031528 transcript:Et_3B_031528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQDYTSRLARDAFCLHCGKAFPTDVCTHHDDADKGLPTPSCILLMQIDYHASLTNFSLLYKCYNNRPLARDQCQKYQGIHFTSTKSVIPLRVFPSITGRIALSKSCTRIIGRRDNVRRQIFVVGHINSNTGQLWRLGLRVERVSTSCVAVVVVVSVRYGSGDRCPWGRYASRGATITWTRIDLLSSSCRRDYYCIMRCQTTFCSHCYGCHHIHLTGGASLVVKVDLDAGGRPVFPSGSSHGSWTRWPRTTTRRGSSGTPSLPPLRDGLLRRRVLAPRRPRRRGTPRLHRPRRGARRCSELRTVVQACKGTEWWTAHMDEALGDPVEVGEDEQGN >Et_2B_022734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:418614:419450:-1 gene:Et_2B_022734 transcript:Et_2B_022734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKLPDPYTTFMLEAGNKPKLPDPWAELKLEAGIKPKLVPDEPCFSQLKPELGSKPKPHDASTIKVKLESGTEAFLEPKPEPLEEDAPLMPPPDDWEITPVSGGHPFFTTVFSRSQVQKPFQLCLPALLLPGAPVQAIPTRFHRHLPEARVTAVLLCRGQSWPTSYCGDLKVKKLDAAWRGFAVDNRLRVGDAGVFELVGAETEGDNKTVVFRVQVLRGDLPEELTSKGATSDEPLVIVDS >Et_8A_056943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19264626:19266214:-1 gene:Et_8A_056943 transcript:Et_8A_056943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPVPLTPPNGAQSQLVCTGCRNLLMYPAGATSVCCAVCSTVSAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPGAEQKPST >Et_6A_047775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15290747:15309881:1 gene:Et_6A_047775 transcript:Et_6A_047775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGFRGFINRCTDLLTTATFRHRIATDIKVLRARVNEVADRHGRYKIDSVPVAEQSSTSIDPRLLGMYEESTRHVGLRRPREELAEMLMGQEGMRTNQLIVIPIMGVGGLGKTTLANAMYLQLRAQFHCHAFVSVSIKPDLKRILSSILRQVSNQGYTNIETWDISEIINNIRQFLEGKRSMIQPAQIDDHGRVGACLVHDMVLDLITSLSIEENFVTKIDNEQPTCESRRIHRLSLQSADENITPQITMSLPHIRSLIVFPPASGLIPPLSHFEVLRVLDFEGCRDLKKHQIDGIGKLVHLRYLGLKDTNITNIPKEIGNLHCLQTLELRRTSIRELPSTIVRLTQLVNLYIDQEVKLPDGIGKLNSLQNLSSLMYVTVRIDCSGSSFSDVDAADAAMRKAIAMNPNCPKLDVIRHFEEEMIEHYNGHEVNKENTEEEEQQETMPDKVGPWGGDGGRAHDVMVAPHRLRSVKISSCRWIDALGFSYEDRHGKNHTTLLWGGVGGDVQTIHLGPSEILKEVSGTTGKYNSISEVVTSLRLVTNEFEDINY >Et_3A_023544.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33499318:33499461:-1 gene:Et_3A_023544 transcript:Et_3A_023544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRFEALLQPEEVRDHLRGVSASLKVAPLELYVSHGWRFNNRLNPPYK >Et_9A_062340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2238671:2244405:-1 gene:Et_9A_062340 transcript:Et_9A_062340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVGAMGTLAPKLLKLLEDEFVAQMGLRREVESLCRELPMMDAALVEVSKVLPEQLSETDKLWADQVRELSYDMEDAVDEFMVRVDRREPANATNVNIFKKISRKTKAALKKIKDRHQISDKIKDIKDLSKELSELRLKYKFSSAAHAVKTIEVDPRVNKGRELVGIEKAREELVRLLTYPEDHTGLKIVSVVGFGGLGKTTLAKVVHDHLKAQPFHCCAFVSVGRDPRITNTFREMLEKLGKTCSSDMTSWSVERFCEELHKFLNDKRYNIVVDDVWDEEVWKAISCALPDSNCGSKVIMTTRKFHVKAKTNVVYKMKPLSRDKSTELFSKRTSGMNVDNQLVDKIVDKCDGIPLAIIAIASLLADRPLEDWQAAYDSIISGFEGDNTRKILLYSYYDLPSNLKPCLLRHFDDKYTLIWRWIAEGFVHPQKDRRGSLFEIGESYFIELLNRSMIQPAENTRAGIIDGCRVHDIVLDLIPDLSAKQNFVTILDQEQLLSSESVIRKKHVGLHALERKVRRLFIQSSSEQSITEGTIGMEEVVRSLHSINCSIDVLPLSSFQACRVVVAKCRIGDVQHLGKLLHLRYLELRDAYNYQELPKEIGNLKSLQTLVIWDVTYQTEELPSTVTNTIDVPIDRLGNLVCLEELRLEVELKNDDFVVVLGKLTRLRVLRIMFRGSLNETSYNALMQSLNNLQEVRELNLRCFVHRPNRPVSAWESWKPHRKLWSLETSMGLYPQLIDPSLFPSIRYLQLIVDEIMEVDMGKLVLLPELLYLTLQIDGYIQPLIIGAGGFENLRVCAANTKFKFLQGAMPRLESLHFQVRPRDGLDFNLAHLTVNCFLHFRGHVEDIEAGVKHAVEDHPNRPTLQINRECEASLLPGEKIKPDEVVYVLLSARDTTCNSFGWIQLDEDAEIRFYPWLLEITYDIDCEGSTLSKVEQIEEDLRFEAARHPKHPKLMINRINEDKM >Et_9A_062764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4395637:4398771:-1 gene:Et_9A_062764 transcript:Et_9A_062764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQISRKALALLVLLATAVVAPAAADEVVALTASDFEKHVGQDRGALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIAKVDCDEHKDVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRTVEALAEFVNTEAGTNVKIAAVPSSVVILTPETFDSIVLDETKDVLVEFYAPWCGHCKHLAPVYEKLASVFKQDEGVVIANLDADKHSELAEKYGVSGFPTLKFFPKGNKAGEDYDGGRDLDDFVKFINEKCGTSRDSKGQLTSEAGLVASLAPLVKEFLSAADDKRKEVISKMEEDVAKLSGSAAKYGKIYVTAAKKIMDKGSEYSKKETERLQRMLEKSISPSKADEFVIKKNILSIFSS >Et_4A_032576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11486778:11489305:1 gene:Et_4A_032576 transcript:Et_4A_032576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHITRPTLRCRFSTARLRTGDIKGRAATPQGDYIELHQKRHGRRLDYEEKNRKRKAREVKKISKAAQNTLGAKGKRFAKKRYAEKAQLKKTLKMHDESTTRNKVEDVQEGAVPPYLLDRDQTSRAKVLSNTIKQKRKEKAGKWDVPLPKVRPVAEEEMFKVMRTGKRKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLDIISVKKNPNGPMYTSLGVMTRGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >Et_7A_050552.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:24536217:24536294:-1 gene:Et_7A_050552 transcript:Et_7A_050552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSCVGYTPDWMMGIIFKDCKLIKL >Et_10A_000267.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20715916:20715951:-1 gene:Et_10A_000267 transcript:Et_10A_000267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSTSIVFPF >Et_9A_062815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5326865:5330644:1 gene:Et_9A_062815 transcript:Et_9A_062815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGGGNKVGLPALEVARAFPQATPASLFPPAVSDYYQFDDLLTDEEKALRKKVRGIMEKEIAPIMTEFWEKAEFPFHVIPKLATLGLAGGTIKSYGCPGLSLTGSAISIAEVARVDASCSTFILVHSSLAMSTIALCGSEAQKLKYLPSLAQFKTIGCWALTEPDYGSDASSLRTSATKVPGGWHLDGQKRWIGNSTFADVLVILARNADTNQLNGFIVKKGTPGLKVTKIENKIGLRMVQNGDIVLNKVFVPEEDRLTGINSFQDISKVLAISRVMVAWQPIGISMGVFDMCHRYLKERKQFGAPLAAFQLNQEKLVRMLGNIQAMLLIGWRLCKLYESGKMTPGHASLGKAWTSRKAREVVSLGRELLGGNGILADFLVAKAFCDLEPIFSYEGTYDINSLVTGREITGIASFKPAALAKSRL >Et_7B_054729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3970387:3980383:1 gene:Et_7B_054729 transcript:Et_7B_054729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAESSAVAGMRKAPSMEWRWVSTEDDDDGGEDGGGGAASVGAVGRGGSFESEEEEDAGDDEEEEGKQRLIRTVPSVDWFDVEGNEVSVAQQVEDSEEFDFGRTMFLALQTLAVVFGDIGIGPLYTFDVMFNKYPILGEEDVLGALSLVLYTLILIPLVKYVLVVLWANDDGEGGIFALYSLICRSAKVSLIPNQQVQAEKRMSSFRLKLPTAELERSIKVKEKLESSLLLKKMLLGLVLFGTSMFISNGVITPAMSVLSAVSGLKVGISNASQDIVVMISVALLVILYSVQRYATSKVGFAVGPCLLIWFCCLGGIGIYNLSRYGPTAFKAFNPLYIIYYFGRNPFQAWLSLGGCLLCATGSEAIFSNLCYFPVKYVQYMFLLLVFPCLVLAYLGQAAFLIANQNSSEQIFFSSIPSGAFWPVFLVANLAALIASRTMTIAIFQCLKQSIALGCFPRLKIVHTSRKFMAKIYIPVVNWFLLVSSLGFIVLFRSIYDVGNAYAIAELGVMIMATVYVTIIMLLIWETHIVKVMSFVITFLFLEFIFFSSALSSVGDGGWALLIFASILLMIMFIWNYGSKLKYDSEVKKKLSKDLMRKLGPNLGTIRAPGLGLVYSDIVKGVPAIFGHFLTSLPAIHSIIVFVCIRNVPVPVVPQSERFLFQRVCSRGYHMFRCIARYGYKDKKQEHHSVFERLLIEGLEKYIQREAVELSLQSEDDIDSDEEPATPVRIITAPNGSLYTLDVPLLAEYAPSTEVIPESSCSTPQHDPVVDYSQNLELELAFINQAKKSGAVYLIDNPIIKARKDSWFYKKLMINYFFAFLRNNCRRAIMSMSIPHSNMMQVRMTSYV >Et_9B_063813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15756164:15756904:-1 gene:Et_9B_063813 transcript:Et_9B_063813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLLPGGRGGGSRFQLPEPPVVVDVGCSCRTPRLLSSLVASLKSRARGLRGGCKPNKSSTTATAAFTPSANSTTTASSLLDHATSSWGLATYAANNGNTFLYEADAAAAAADDAESWRQERRRRRRRRRRQRSRCGRREEEEEEGGSCGAFGEETAVAVEVESAAPYEAFRESMVAMVTEKEMYAWEDLNALLHQFLALNSPRHHPLILGAFADLWAPRGGLFCPPSPCLLL >Et_10A_001365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23696591:23700348:-1 gene:Et_10A_001365 transcript:Et_10A_001365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVAAARQCLSSPAAVSALDAAVASARRRAHAQTTSLHLISSLLAPTAPPLLRDALARARSAAYSPRLQLKALDLCFAVSLDRLPSSNSSQEEPPPPVSNSLMAAIKRSQANQRRNPDAFHLYQSHPPPQSPTAAVKVDLSHLLVAILDDPLVSRVFADAGFRSADIKLAILRPAPLLGRLPTRARPPPLFLCSFAAADVPSPAAGLAGAGPGEDNSRRIAEILSRGRNPMLVGVGAASAASEFAAAASPYRILHVGPDSINQTDLLAVAAASTSTGGGLIVSIGDLKDLVPDDDQDSSKQRVVSEVTRLLERSPPSSGRVWVMGWSATYETYLAFLSKFPLLDKDWDLQLLPVTAVQHSASTPPASTSLMESFVPFGGISGNPCEANSLTANSCPQALRCQQCNDRYEQEVAAIIKGSGIMFEDNHQGGLPSLLQNGSMMGPNSGFDALKVRDDQMVLNSKILNLQNKWNEYCLRLHQGCKGMNRDPYQLFPHYVGVPAGRKREANASQGLGATAPLREVIRPSVVSISDTNTTAKSISSPSMSNQRNDDLVLKLQVRQSKSDEHLQDRGVQSQHRNSSNCDNHGASPSSIEPVATDLVLGTPRECSSKVLNSAWCKNVKDAERSVNLMPRKADDLNLKPAQPFVPPYTCSRSLMNSGQTSPGALHSAASGGNSAFGQWQRPSPLATRCSDISNYKGLVERLFKAVGRQEEALSAICQSIVRRQSMHRCHGASKRNDIWFSFHGPDSIAKRRVAAALAELVHGSSDNLIYMDLSHQDWGDSNFRGKTGTDCIVEELRKKRRCVIFLDNIDKADCLVQDSLSRAIEIGRFDWKGRMVDLNDSIVVLSTRMTGKCKNASLGMEEDHAFSEEKVMAARGHQLKILVEPDAGSITGGLGGKAVVCSGHSVTRILCSGSVSKRKLNTSDGHEMIQESPSTSKRLNRTSSVPFDLNLPVDEDDAHDANDDSSSNENSPGNPDGSVENLLHSVDESIDFKPFDFGKLCENMLQDFSSIMSKILGSDCRLEIHVSAMEQILAAAWASDSEERPVRTWLEQVFARSLEELKLKCKNKINSTLRLVACEDALLKDDGFGALLPSRIILDC >Et_3A_026182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5144991:5156060:-1 gene:Et_3A_026182 transcript:Et_3A_026182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCTKGHISCSVCCNFGVMHHECLMCRDQETTTRCRAMERVLDGLSVPCAFRQNGCTEMIPYAEKKAHAASCARAPLHCPIPGCGGYNNGRSLLGHIEMDHEDVRRSHVMSDFLTVLEMREGEAARVLWLDSGRAPFLFVVGRGAPSGRTLSMIHLVGEPPPDQEDFEYTVKVAGEAFVFSLTDKAEGVERLTKPYQANVFLFIPNAIWDAAPRDVEVFVELKSLK >Et_3B_031077.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:14360416:14361003:1 gene:Et_3B_031077 transcript:Et_3B_031077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGFDLWGSQRSTNASPHNPVPDAGLDLNTQAPVAEGFPGLDLYGAYLQSGDDDEILHGRDELHPGRVRGSGLPPYRAPRAGSGDGRQPTLGSCTLAVHPRLQLVAEEDTLGSSLAGRRRGQPAVFGGNRTRPSLHRGHRRHAGVPVVALAADNAYRVPVHRGHRAVLAVDTHPAPAFPSTMLMKNWRMRSRS >Et_5A_040383.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:18626023:18626142:1 gene:Et_5A_040383 transcript:Et_5A_040383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENSCIDRCVSKYWQVCLFLSWSIVQYHLVSCSSYIFC >Et_4A_033863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27496635:27499245:1 gene:Et_4A_033863 transcript:Et_4A_033863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAVRHPHLLAPSAGRARHRRAPATVRMALREDGPSVAIVGATGAVGQEFLRVITDRDFPYRSLRLLASERSAGKRLSFEDREYTVQDLAAPGAFDGVDIALFSAGGSVSRKYGPAAVASGAVVVDNSSAFRMDPEVPLVIPEVNPEAMANVRLGKGAIVANPNCSTIICLMAATPLHRHAKVLRMVVSTYQAASGAGAAAMEELKLQTQEVLAGKAPTCNIFSQQYAFNIFSHNAPVLENGYNEEEMKMVKETRKIWNNKDVKVTATCIRVPVMRAHAESVNLQFEKPLDEDTAREILRAAPGVTIIDDRAANRFPTPLEVSDKDDVAVGRIRQDLSQDDNRGLDIFVCGDQIRKGAALNAVQIAEMLLK >Et_4A_033389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22134995:22148167:-1 gene:Et_4A_033389 transcript:Et_4A_033389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRTQLALLPLATFLLLATTPFLAYAQQSDPAADQCSDPTVDGACHNVPKALRLKLIAIPTILVASMIGVCLPLFSRSVPALRPDRNLFVIVKAFASGVILATGYMHVLPDSFNNLTSPCLPQKPWRDFSFTAFVAMLAAVFTLMLDSLLLTFYNRKKSGRGGEGAAVADHESPAHGGHWHGHGHGHGHGHGHGDIVAAADSAAVAKPEDDDASKVQLRRNRVVVQVLELGIVVHSVVIGLGMGASMNVCTIRPLVAAMCFHQLFEGMGLGGCILQAEYGAKMKSALVFFFSTTTPFGIALGLAMTKVYKENSPTALIVVGLLNAASAGLLHYMALVELLAADFMGAKLQGSVRLQLISFLAVLLASSQQQHGACAGPAIGGKCHSVPKALRLKLIAIPTILGASMIGVCLPLFSRSVPALRPDGVLFVVVKAFASGVILGTGYMHVLPDSFRDLTSPCLPARPWAEFPFTAFIAMLAALLTLVVDSLLLSFHSRRNGAAVAGDDHSPPTQQQGHGHGHHHGAGVVVAAPPTPEDKTTLVVADAEAGKARQQLLRNRVIVQVLELGIVVHSVVIGLGMGASQNVCTIRPLVAALCFHQLFEGMGLGGCILQAEYGARMKSALVFFFSTTTPFGVALGLALTKVYSDTSPTALIVVGLLNAASAGLLHYMALVDLLAADFMGPRMQASVGLQLVSFLAVLLGAGGMSVMAKWA >Et_2A_015387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11935341:11942565:1 gene:Et_2A_015387 transcript:Et_2A_015387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKQLMPKEQPAGLEGRNVDVGNVKVHVREAIAEGGFSCVYGGRDMVNPAKQYALKHVIIQDEESLELVRKEITVMKSLKGHPNVVTLIAHTILDMGRSREALLVMEFCKKSLVSVLEGRGVGYFDEEKVALIFRDVCNAVFAMHCQTPPIAHRDLKAENVLLGADGAWKLCDFGSVSTNHKRFDKPQEMGIEEDNIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYLKSAFDGESKLQILNGNYRIPELPKYSASITSLIEDMLNSSPDARPDITQARALLDWPFTSMNLVLISAYAMKVWFRVNELLPLELQKDLPDGSPSGSAFESHTAVDEVPTGLPQRSSPSPSRENGKSTIESSNVKAQGTSNTTENNGSMGAFWSTQHAQELGFVDDKWSAFDKEQATSNKARDKNPNTPAPSAYRKSLSASVDSSPGDYEIRFSPNGSEYGLEKTKTAEPENKTAAQTTGFNAFVAHFDTVNMNLQHNVSTLKVTSKLKEQQLEAEVTLLKEQLKIANLEKEEISLKFDRLSAICSSQRREIQELKKALATPPVKELQENSKLEQSPQCTNLGTPPREKIEGTPPELRQGLFTSSPGTPSLDSKPWSAFPEPEEPKPHVAVKNAHPRSVRTLRASNSTKASTLGQSKTRIGADPFAFGQDNFKADPSGAQLPKVSNLGNTSQPIQNLNNEEKKEDSYQPAGWTGRSGSNYST >Et_2A_014648.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31852851:31854038:1 gene:Et_2A_014648 transcript:Et_2A_014648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNYILVWLFKNGTDVLVHTRPASRTRSEESASSWGEADDLPSEVVEQAVRLLLRVSDDGDVGVLLVGREREARLRHVHHVQDPRRVHVQARAAAEHQRHRGERHAAALGGPRQVVAGVEDGERGGLLVPEPVHAAREHRAQGRVRRRREARDHRAGVHERAGVGEDGLRDGEQAPAHLEPRDRHVVEGGPDVHQHRRQPRVRRRRAAPEVQEPRARRRRGEAVREHAAVLVVRLHRQRRLAPAQAHQPGRLPEQALVLLPAPEPELPDDVAGERERVARVEADGVGAVAVLDGVQAVPARAAAGVEVGAVGGVAGDVGVRGRPGRVEDGLLLPVARRHARLALHPSRVAPRVEHHRVPLRRRAQTDRHHIVACSQRAARRRRQVRRPRGGRHR >Et_7B_055501.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12390425:12392875:-1 gene:Et_7B_055501 transcript:Et_7B_055501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPHSLLLLSVLALLVLFPPLLLASSSFPLPTIAIAAVATNSSSSPHHVACALVATAGAEYELSCASLTNRSAPPQNYPYGASGGTSPFSAVVAGDGYLCLAGPTASPTMSMRWWDLNRRGQEEAPSKRVYSGAALSAVSGGGEHVCGLADGRIKCWRWNSGAAPERVRFSAVATGGGFVCGLVEGTGEVRCYGDGGAVVGREPKGRHALLAAGERHACAVKEKSGEVVCWGEAAAVAAAAPPTKVAGRAVSSVAVGDAVTCVLWGNWTVSCWPEAEAAPPPAVAWQQFVALEAKGKAVCGVLMSDYSLVCWESGGGGKEVSKVLDRVLPGPCAPGASCECGVWSGSAPLCGGGRAAVCYPCGYTPPPMALVPTSNSSSPGSGGGRRERMSDLAIALVSAGVGSGLMAAVAAVLVVYCLRRRRGGGGSGAHDSGRIHAEPNGPSGTPPQRVERRLSSLLSKGPSTTVEQFPLAALRAATAGFSPSHRIGSGSFGTVYRARLPDGREVAIKRAEPRASSSSTSSMAAARRVVDHEAAFVAELALLSRVNHKNLVRLLGFCADGGERVLVYEYMPNGTLHDRLHKRPTLPLSPPLASWPARLRLALGAARGIEYMHTYAVPPIIHRDIKSPNILLDAAWTARVSDFGLSLLNDDLTGNNASSAGFDDDDPGTMTAGTVGYMDPEYYRLQHLTDKSDVYSFGVVLLELLSGCKVIQRFEGSGTPKNVVDVTVPHIEADRVHRVLDARLPLPTPGEMEAVAYVGYLASDCVRPAGRDRPTMSEVVSVLERAVAACEEHEDAAGDQAVLSRSCTDGSTTT >Et_9B_066205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:714774:723302:-1 gene:Et_9B_066205 transcript:Et_9B_066205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPRIKKRPKITWHDLWGILKEATRLYGASWVRNIGPELRPNDYKKEETEDDESSSSNGEKKGKSSSEPSVLEDLAVAARGGAETLKPALRRIYMTRASTYTDAMKNFVEMYQKGLKGQLQEKADEEGRKQHLGDEAKKPPPSPPPTSVRLVAYSNKEAPLEENTQQVLLEIIDMSSPVERAGLDLVAVLDVSGSMFQDRKLDKVKTAMKFVISKLGPNDRLSIVSFSDNAKKWCPLLCMTKASQETLKGIVDNLEADGYTNMEDGLRTGLPPAQSWPRRKHRSHVRWRPGPRRRYGCNHRQRGRLHVLEAIASNSQGGTFYFVKDGESLSEQFSQILAGLLSIVVQDLKLTVWQQRGYSEIDEDKVHAGGYPKTADTAAGSVTVSFGDLYCGEVRKVIVDLRLPAVHREYPPTTVLFALCQYRTQGKDVYYPRDYLRCDMRRARTARPGAMKPEVKAELVRRRHADKLKEVSALEEKDFDLAKRKLEEAKNDLDAEQSSDGRLTLINGRADAAPQPGDVEGVHLLLLPSWTSHHRQRFAARGDVHSVRMFDTPLMGRYVVQAKKFDVNPDVHLPSVEEDIKEEAELVRKRQPPVADHQPRVAPRIWAASSPEHRSSGWAWRALIILCTALAIALIIAGAAVFAVYLIYKPKTPYLVVSDARLVQLQYGQDDGAIQYLQASVTILAVNNNSKAGAAFSRVDLALAFHGADVALLRADPFVVAPETSLPLRYNVVSAGTALDAAGMQAMDEALKAGVVPLDLVGKARTRWKVGVFVKVKFWTRISCRLRFFFPGNGTIMPDDRQRCRSKSP >Et_7B_054660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3270333:3281360:1 gene:Et_7B_054660 transcript:Et_7B_054660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSEIICCLFTLLPILVLLLLAVAGEGTAQTAAAASDRDTLLAIKKDWGNPGMLASWNPASADHCRWRGVTCEAAGGSVGGAVVELSLSWTKLNGSVPHSVCALKNLTRLNLSTNDLAGAFPAAALYAFARLGFLSANGKKMSRSSICCLFPLLPVLVLLLLAGDRSTVQAATTGDRETLLAIKKDRGNPQQLVSWDPATDDHCRWSGVTCETVGGVVELSLASLNLSGSVPASVCSLKNLTRLDLSNNNLTGAFPAATLYACPRLRFLDLSNNRFSGPLPASIDGLSPAMEHLDLSNNHFSGKVPSAVARLRKLKSLLLDTNSFTGAYPAAEISNLTGLGVLTLAANAFAPRPLTRNVTAVNFVCLNLISNQLTGDIPESFGNLKNLASMLLDFNNFTGTIPASIGLLPRLSDILLSYNKLSGELPSELGKHGPLRRLDVGGNNLSGPLPETLCAKGKLHEIFFDSNNFSGALPTNLGNCVLLNTLVLSNNRFSGDFPAKIWWFPNLYMLSIQNNNFTGTLPEEISSSFEIISMRNNMFSGSIPKSATWLQMFYAENNRFTGELPVDMSNLSMLTDLILSGNNISGTIPKSIGLLQNLVRLNLSGNQISGVVPSEGTAQPTSTIDRDTPLAIKKDWGNPRQLASWNSAAADHCRWRGVTCETAGGSEGGVVVELSLASLNLSGSVPAAVCALQSLNRLDLSNNSLAGAFPAAALYVCARLRFLDLSYNFFSGPLPASIDGLSPAMEHLNLSSNHFSGEVPAAVARLRALKSLRLDTNGFTGAYPAAEISERAGLETLTLAYNDFAPGPVPREFAKLTNLSFLWMDNMNLSGEIPEEFASLTKLTRLDMSSNKLTGSIPSWVLQHEKLEKLYLDDNVLSGELARDVTAANLVVLDVSMNKLTGVIPESFGNLKNLAFMYLYINNFTGTVPASIGLLPQLRQLVLFSNQLSGELPPELGKHAPLGNLDVRDNYLSGPLPKTLCSNLKLFRIMVDNNRFSGVLPANLGDCVLLSYLELANNRLSGEFPAKIWSLPQLSFVHIQNNSFTGTLPEEIISSFISEIDIGDNMFSGPVPKSAKGLWMFRAGNNLLTGELPADMSKLANLRELVLPGNRITEPHSARPEWQPDIRRAALEKLRAAADEGVTRESAVTAMRQIALNTNAFAPGPVPREFAKLTNLTCLWMSYMNLTGEIPEAFARLTELTMLVMSRNKLTGSIPEWTLCSNGKLYSIVVSDNSLSGVLPENLGDCALLEILGLEDNSFSGDFPTKIWLLPKLTVVIIQNNSFTGTLPQEIPSTILETDIGDNMFSGPVPKSAKGLWMFRAGNNRLTGEMPADMSKLANLTELVLSGNMITGTIPKSIVLLQNLTKLDLSGNLISGVLP >Et_2A_016260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22699773:22701033:1 gene:Et_2A_016260 transcript:Et_2A_016260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWAAEEDAVLKAYIDEHGTGGNWIQLPHKIGLNRCGKSCRLRWLNYLRPNIRHGGFTEEENRVICSLYISIGSRWSTIAAQLPGRTDNDVKNHWNTKLKRRLFGGGRRPRLEARLLLTDVVASSQRTSLAASALERMQLGMRMHRRHERLESSPAFTLYNYSSLGAPMWPSPSPSPSPSPAVSDSSEAQLPAATSPWHSGFWPRMQSSFSYACAGVQENMDGTCTPPMSTSTGESAAAGMESSSSTSTASSASATFGSMEDEINMLLRQIQSFEEDGGHLGEVDHETLDGSAGSWSSCSTPGVDSVFQDFVPGYNQ >Et_7B_053448.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:20222674:20223042:-1 gene:Et_7B_053448 transcript:Et_7B_053448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVELLAGKESAISQVVCTVPGRQYALSFTVDDASNACRGSLMVEAYAGRESTKVAYESAGKGGVKRAVLPFHAASTRTRLVFFSSFYSTRSDDLSSLCGPVLGVRSAPPPPAAATPSRR >Et_9B_063937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3675505:3675732:1 gene:Et_9B_063937 transcript:Et_9B_063937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSPWNNILRPLLRFVVIRSDHDGGLKDEEGRHKMKKIMLNSAYRNVGRRELGTCSQSVC >Et_3B_031512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3481624:3485616:1 gene:Et_3B_031512 transcript:Et_3B_031512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSAARLGGALPFFSGEPRRRSCGEAHAPLPPIAGPSCVPPPWRGILALYPNPNPLVGFAIAVVVSWVGSPRFRRCDTSERLLDKTRNSQALKRMAGVDASSPAALVNAETLNMFIGRRVRTVVQVQRNEGGIVVGQSTDGHQLTIKGVSDPIYAPNFMEVYGIAEGNQAIRAEVCTDFGVNFDNALHVRHQTMPNCNRKSSVLQDALRAPKPRHPRTRPWRYGSDVVRRAPPPPLGSFFAGAGAALSDDVDRRPDCGAGQGRSAFLDRDGQIAAGQKPDLVTPAPAASARWFSAPASPQPVPVRRSRSEILRRVFLADEDEAEEDDDDEEADAKGSDGSATTLPARGLRGGDADLARRVRGAARASRPSSSSSASAASAWRIGERRPTRMRPATKRSSAELMPPARAANSKSPAPAPKKSPSGAAAAGAVEEGGERRM >Et_4B_039764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2888285:2890341:-1 gene:Et_4B_039764 transcript:Et_4B_039764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFSTSTNHRDLTVGGNMSYHHYNAYSDSTTGGLVPLPATIVSQGHGGDGRDEPAAAFMNSREGPAGSGVEMGLQTQLFMANASAAQSQGGLSLSLGTQGVPVSLYQYRAAAAGSLLSPNQSTAASRSAPGNIYVQNSRYLKAARELLDEVVNVKDAIKRKGDKSQGKDSGEGKDAGGEKSEEKEHEGNSAPELTASERQDLQNKVSALMALLDQVDRKYRHYHQQMQIVMSSFDAVAGAGAARPYTALALQTISRHFRSLRDAIGAQVQSLRRSLGEKDTSAQGGGLSRLRYIDQHLRQQRAMQQFGMMQQPQHAWRPQRGLPESAVSVLRAWLFEHFLHPYPKDSEKLMLARQTGLSRGQVSNWFINARVRLWKPMIEEMYKEEFGAEMDSHSSSENAGNKGKDEAISSEDHDEFQSPSIAAKHGGHQINTFKSEAMGGGMDAGVDLSGLNGGAMGGTYATSLNLSQHYHHHAPGGNSSLLQDALAHHHHGHDARFVSYGDMAGHGLGGYDGGSVSLTLGLQHCNDGGGGGAVPGEQQGLLYGSAGDFEFINGTAEDRQRFGSSQLLHDFVA >Et_8A_058050.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:16134806:16135159:-1 gene:Et_8A_058050 transcript:Et_8A_058050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLHHLVGSLLHVSLGSLAAKHGTDLMLLRLDAMPALVVTSPRAAEAVLRTHDHVFASRPHSLAAEIILYGPSDIGFAPYGEYWRQAKKLVTTHLLSANKVRSLRLVVLGQQKMEG >Et_6A_047430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5740141:5746187:-1 gene:Et_6A_047430 transcript:Et_6A_047430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKALAARSAKSSQQKGVARFGKYRPSSQVTATMNSGSSKSSNSFRGRTFGFSYSFSHDKRPEVVDWVREGGGIIVDNIQSTPVEFIIECHGRSSMPCNFSHSTVISTQWIRSCLEVCVSVINVTPLVFQMMLQIILYSRLCAVVFRCLGLKNSISVFHYMKQKKGAKFSVKAKKGVTHLICKFATSQKYEAYSKRGTPSITAEWLFECVKQGIDDFQPKPLTSQDKEASLCTVSQYSTQAARFDCSESELLTGCQKMTSNPTDNSGIATPNENEETSAPAVNKRRRLSASGKVGDTCENIGRTERQLDTGSIQDVQSPRSIFEPENSVVAQERKDTHSFGISRSWLNMQQKQDHTPGTKVQSLNSSPAPSPVPAPYYPFSETQTESQIVGYEEDLTGRQKIIDRVRSHSINVTPSNEMP >Et_7A_051469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19009040:19011967:-1 gene:Et_7A_051469 transcript:Et_7A_051469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGRGGAVAWIDEEQERGRGEMEAQLLCLRRGEGAAARVDEKARGGGEVGARLLRRGRGAVARVNEEEALLLREGEVGARWLRPARWVESERERTGCAVLSWEGGRMGHGTVKMKFIASLAHHLVISIANHTTMPHKSSIGFSFKLFANHEGPDHTIHHDSDGFLHFQRKVSSSVTNVTTLRLETMHPVHLPQLYEALVIVGSEAGIEQYALKIDAMSSLTWLQCKPCAPSAKQFNPIFDPAESPTFRNVASANPICQHPYDPSGNQCAFHLFHGRRGMSVHGFLATDSFLKDEVHKDFLFGCSHSTENFDSKGRYASVFGMGRMEGSLVIQAVAQGLTQFSYCLFGGSNTKRQGLISFGTNITHNPNSRTTRILPALYTHESEYYVNLVGISLGEHKLDKIHPEMFARYMHGQGGCVVDLGTPLTVMVEEAYHIVEDAIWSDLQHHQAKRVNRHGFNLCVRATQAIMSHIQPLSLHFSEEEAVLVLSPKQLFLMMDEKRGQIMCLAMTPGQQTIIGAFQQVDTRFIYDLKDTKLSFAPESCVKETIEVF >Et_5B_043074.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:21283888:21284178:1 gene:Et_5B_043074 transcript:Et_5B_043074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVILETDASTLGGALNSEEMDRSLLGGLFRKIKNIMYSQFDVCTVSVCPRECNRVADSLASFGAHGPAAGSVFMSQAPEFVTSLVSGDLPGAGV >Et_9B_065909.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17296456:17297103:1 gene:Et_9B_065909 transcript:Et_9B_065909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGDAPPQGNCAHHSLQRRRRRRIFVCLAFAVLALLLLAAAAAIVALVVFRPRDPVTELLSVNATGAVPGVVSLPTVSFQLNLTFLLAVRVRNPNPAAFRHGSAATALLYRGAAVGDGEVPAGAVPSRGAAVVRLNMTVQADRVVAAAAGVAGLVADVLDGEMEFEARTSVPGTVVILGFVKRKVEARSVCRVVVGVADVKVRRQECHNEAKL >Et_2A_017175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31745949:31747467:-1 gene:Et_2A_017175 transcript:Et_2A_017175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTILPVVVALSVLLVHGTDASRSHITSIEMIPMFRAPINKTNSSQEDSGRPLSYFAYHYSATWPDGYYGFIGTMDVYGFPLSKEQGSAAAVWIHDEGDFATTSLRAIMIGWDNDGFTTTGCINTKCPGFQPQKGAAIAPGDVIDHVTFPKQLIKRNLNLKIIKNGSSGDWLVHCGLDREPELIGRFPRSLFTGGFADKAKSIGFGAVAYGPITNPTPMGSGYLPTDPKSAASIGNIQLVDKDGHVWPVTEDLPKFETNGNAYAATPIVSGKFYYGGHELPKP >Et_4B_038304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2805664:2810443:-1 gene:Et_4B_038304 transcript:Et_4B_038304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNESAFSSSFKKQNEAYQEDIGVVAVPKELFDRARKITARFNEIIDFSDRFTLSGNDGDRRFSHDISDWRHTSSFVIQKSILGRDQDKQKIVEKLLSGEGKNVGSCVYVMAIVGMGGLGKTTLAQLVYNSPRVRQSFDKHAWVCVSEPFNVTTITRNIINSLTSGTCEYTELADLHRKLADKIKDKRVLLVLDDVWNERRDCWDSLCMPMSVARICQIILTTRSKAVAKLVQTMDTYHMTCLTSDDSWSLFKQAAFSSQEPNTSKKLKDIGKKIVQKCNGLPLAIKTVGSMLRYETDENIWEDVLENELFELEQTRNEVLPVLQLCYKHIPIYLKPCFIALSLYPKGSSPGKYEIIRLWKFLDFLNCNGSYDKDETGSLYLKELVQRSILQTEEHFNDDKPPYKSSWLSYHMHDLVHDLACFLSDGEFYRLEGDTSVEIPQKVRYISVPSNYGKPIEIPILPHSLRAFIMFDDVEIIIPESLFLSCKNLRALDLRQRSFAKALAGSVGSLKLIRHLALEGGGAEFTSISLLRSYNLQTLEHVLWSAPYNPVPHEIGHLINLRTLGLAISMCGCCGCNIRELRNINKIMDLTISGLGGVSCIKDANEAQMQSKRQLRSLCLDFALDCTSCQHNQRSAHAPVSQDQLLESLQPHHNLTELTIRDYKSHEYPKWSVVDESDFIRLDTLSIHVCNGLKSLPRLPSLVTVNLYICHGIDTIPAWSTLCKLRIDFCNSLSVVPTLPSLLDLYIARCFSLTTVNSQPSLTSMELESINMCSVDFGSLSSLTSLKLTNDLQRFEKQFFGKILKYDLYTLFYDLTSLECLEIYDDPATSLCLKQQSVPSLTKLCLVECRDLQYCDGLADLTSLQHLEVCGCPKLSNVITQLASLVGTSEDRHAIVEEGGALSLSNPVAAGSVSFGVASLGSTSAILNEQQKMELLLCPIMVDLSSHCVGFGPASNGNGGGIQAGLSV >Et_1A_008801.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:13910312:13911931:-1 gene:Et_1A_008801 transcript:Et_1A_008801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLARVAFATAASLAAHWLAKSSFLQSQHPALVLLLPAAVFAVVILAAAGGKKSSATGKAPPGPTAVPVFGNWLQVGNDLNHRFLARLSSVYGGVFRLRLGVRNLVVVSDPKLAAEVLHTQGVEFGSRPRNVVFDIFTADGADMVFTEYGEHWRRMRRVMTLPFFTARVVQRYRAMWEAEMDAVVSDLDANTAAQSSGVVVRRRLQLMLYNIMYRMMFDARFESVDDPMFVEATKFNSERSRLAQSFEYNYGDFIPILRPFLRNYLNKCKDLQTRRLAFFNNNYVEKRRKIMAATTTKDDEKLRCAIDHILEAEKNGEITPENVIYIVENINVAAIETTLWSIEWVLAEVANHPAVQRKVRAEIRDALAADEPVTESNLHKLPYLQAVIKETLRLHSPIPLLVPHMNLEEAQLGGYAIPKGSKVVVNAWWLANNPALWDRPEEFRPERFMGEEKAVDATVGGGKVDFRFLPFGVGRRSCPGIILALPILALVVGKLVRSFEMVPPPGVDKLDVTEKGGQFSLHIANHSVIAFHPVSA >Et_3A_025390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29482714:29484915:1 gene:Et_3A_025390 transcript:Et_3A_025390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NKLDDASRGGEVDRLTEPYVYPLFLLTMNESQIFQRSKTKDAPQLDDSAPYLYDNERSRILIQINNFGDGTTPGQDGISFGWHVSPDLYGDSKTHFYVFWMTDKYQKTGCYNLLCPGYVPEANVKIVPGVAIEAVSDLDGAKRTMIFKVFKDNSGDWLVHIEFDSEPYLVGRFPKSMFTNLANKGNYIRLGGFVITRNTQFAPMGSGFLSNNTKAASFNNFELIDQNGQTSKVQQNQPVSVTDDSKYSVSPISTEGGSNSRSSIQNKRPRPKEMKEE >Et_1B_013323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7379612:7381230:-1 gene:Et_1B_013323 transcript:Et_1B_013323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGREVREYTNLTDPKDRKLGKGKDKIDDEDITFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRLLADTTPAVPVALRVEPKPKSDIRQQDLLKNIVGIKPKRAKVSSPSQPAESNTRKHDNEDSVTKHPSSLNQSPPVVNKESSHGIVKPDEPREAKQQNTTGSLLGLAYESSDEE >Et_1A_006060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17272654:17275179:-1 gene:Et_1A_006060 transcript:Et_1A_006060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAAPRIVGKRAAPGGGREDGDRMVVTPLGAGNEVGRSCVHLSYRGRAVLFDCGVHPGYSGVAALPYFDEIDPAAVDVLLTAFKGRVFMTHATKAIYQLLLLDYVRVSKASVDDMLFDEEDIQRSMDRIETVDFHQAVEVNGIRFWCYAAGHVLGAAMFAVDIAGARVLYTGDYSREEDRHLPAAETPPFSPDVCIIESTYGIQQHQPRDVRERRFTEVVHSTVAQGGRVLIPAYALGRAQELLLILDEYWSSHPELHKVPIYYASSLAKRCMAVYQTHISSMNDNIRNKFGRSSNPFNFKHVESLNSVDSFHDVGPSVVMASPGGLQSGLSRQLFDRWCADGKNACVIPGYVVAGTLAKTIINEPREVTLANGLAAPLRMQVHYISFSAHADFPQTSAFLGEIRPPNIVLVHGEGTEMARLKQRLVSQFDGTNTNVVSPKNCQSVEMCFRSEKMAKAVGRLAEKVPDVGDSVSGLLLTTKGFTYQVMDPDELRVYTRAKLSSADITQRVSVPYSGSFEVIRYRLNQIYESVEESTEESDVPALVVHQRVTVRRDSETRVTLQWCSDPISDMVSDSVVALILNIGRKGPKAVRAEEAGRTKEDTERIAQKVVHALMEGGLVISVDGDVAHLDEKSGDVECENATLKERIETAFRRIQGAVRPIPLSAS >Et_4A_032550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11275700:11279720:1 gene:Et_4A_032550 transcript:Et_4A_032550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASSWLLRRPISCALLLKRASPSLLRTTLCYSSTATATATPPSSPSPHDTSAGDVAGGMRWESTRKKRVVLRIGYVGTEYRGLQKQRELSMESTIESVLESAIFKAGGILESNYGKLQKVGWERSSRTDKGVHSLATMISLKMEIPDRAWENDPDGIALASFINSNLPKNVRVFSILPAQRNFDVRRECLYREYFYLLPAEIIGIKDGCSSEEVQEHLSELNSILKTFEGNHPFHNYTARAKYRKVLPGSRRRAKGRNLTLKPKSSEVGMEERSDGSTTSDHEEEDLSVSSVIDSSGSEYNCMEDVPILPENRVQIQARWLHEPDESDRLNASHFRDIITCSCGELQSSSGIQFVELTICGASFMLHQGVEEFYKAALVPELVKFLEPSMPPWKEWVENLDQFTGIPESQLGEVREAYRVWKDAYDQVKMARKSGAKLPEDQS >Et_10A_000682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15437093:15438689:-1 gene:Et_10A_000682 transcript:Et_10A_000682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSAGTLVFLVLISLPILVTLLSSRSTPSSNKRRPPGPWNLPFVGSLLRFLPGSPLQITLRDLASKYGPVMFLRMGQVDTVVISSPAAAQEVLQEKDVLFASRPSLLYTEVFGYGSLDVAFAPYGAYWRTVRRLCTADLLSAKMVRQIGPIRNSETLSLIRKIQAASQCGKPVNLARMLISCANAITAKAAFGEACSAELQEQFLGAIDVALKLGSAFSFGDSFPSLQFVDALTGARRRMWRARYELDVFFDKIIARCETQRGDDLVSVLLGIRDKGDLEFPMGTTNIKAIILDMYTAGTEMTSSAAEWVMSELMRHPEMMAKAQAEVRRVFDNKNAQDHESHTDQLPYLKMVIKESMRLNPVASLVLPRVCRETCDIAGYQIVEGTRVLINTWAMSRNPEYWPDAEKFRPERFEDGKIDYIGSRFEYLPFGAGRRRCPGDTFSMAMVELIVARLLYYFDWSLPDGLRPDEINMDMSVGATIRRKNQLHLVATPYKVVPMQN >Et_8A_057465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3280782:3281937:-1 gene:Et_8A_057465 transcript:Et_8A_057465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVCEKRVVVSGDGVAGAVDDCEGEGLEPCGAAFGVWGEDDIVWTWLELILKELDTLHSIGREPITLCSTMIGSCIARTSINYPTGHG >Et_2B_021133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26827583:26836750:1 gene:Et_2B_021133 transcript:Et_2B_021133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPCPPVAAAAAAAPPRLAPRHPFAAAAALRRPSVSSRKQARGLGAAALAASSFGSFDAERDGCLSCFPKSRRRGRSGLARFAPCALPHASGLSFRNRLSGAKVRPSHILRAAGPDEPHVASPTWSETSLDSLDQTISLEELEGLLNTPLPSHPKLVRGQLKNGLRYLILPNKVPANRFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTKTKEYGEDLLPSVLDALNEIAFHPKFSSSRVEKERRAILSELQMMNTIEYRLLQHLHSENKLSNRFPIGLEEQIQKWDPDKIRRFHERWYYPANATLYLVGEIDNIPRAVREIEAVFEDTLSENETSSISNASPFGAMASLFAPKLPGGFASNLTGEKSPATDKIKPIKRERQAVRPPVEHKWSLPGVAQDAKPPAIFQHELIQSFSINMFCKIPVNQVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPQNWRSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALGHTVMDQLQGHESLLAVAETVTLEEVNTVGSEVLEFVSDFGKPNAPLPAAIVACVPKKVHVDGVGETEFEIYPEEITDAIKAGLEEPIYPEPELEVPKELITQSQLDELKVQHKPSFVPLTKGQEVIKVFDSETGITQRRLSNGISVNYKITQNEARVGVMRLIVGGGRATEDSESKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRATQLYLSYYRSIPKSLERSTAHKLMLAMLNNDERFVEPSPHSLQKLTLQSVKDAVMNQFVGGNMEVSIVGDFTEEEVESCVLDYLGTVRGTISPTREEHIEKISFRPFPSDLHFQQVYIKDTDERACAYIAGPAPNRWGYAAEGKDLFNAIRSSGGDETSEKIDPTGKRRIDVRSHPLFFGITLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLDLGWYVIAVTSTPSKVHKAVDACKGVLRGLHGNRIVERELDRAKRTLLMKHEAETKTNAYWLGLLAHLQSSSVRRKDVSCIKELTTLYESATIEDLYLAYQHLKVDESSLFACIGIAGADSGEDTNDDEPDMDLNGMVPMGGRGLSTMTRPTT >Et_7A_052759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15628583:15630410:-1 gene:Et_7A_052759 transcript:Et_7A_052759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKFLLGGLSAILVVAVVVGVVATVTRSGTKAGDNFTVPGEATLATSGKSVKSLCAPTLYKESCEKTLTQAASNGTENPKEVFQAVAKTALESVKAAYERSQTIGNDGKSGGDPMTASARDDCKKLLDDSVDDLKGMIEMAGGDIKVLLGRSDDLETWLTGVMTFIDTCADGFTDEKLKADMKLVLRNATELSSNALAITNSLGAIFKKLDLDMFKKDSRRRLLSDVDEKGWPVWMKSPERKLLAAADRNRPQPNAVVAKDGSGQFKTVQDAVNAMPKGHQGRYVIYVKAGLYDEMVMIPKDKVNIFMYGDGPKRSRVTGRKSFADGITTMKTATFCEPHSAGLHAASFSIYFHHATKSNAHTHASVEASGFICKNMGFHNTAGAERHQAVALRVQGDLAAFFNCRFDAFQDTLYVHARRQFFRNCVISGTIDFIFGNSAAVFQNCLIITRRPMDNQQNSVTAHGRTDPNMKSGLVIQNCRLVPDQKLFPDRFKIPSYLGRPWKEFSRLVIMESTIADFIKPEGYMPWDRDFALKTLYYAEYNNRGPGAGTSKRVNWPGFRVIGRQEAEQFTAGPFIDGAQWLRFTGTPHILGFKF >Et_1A_009350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40030172:40036876:-1 gene:Et_1A_009350 transcript:Et_1A_009350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKRKKGSKKKPKKAKEEPLPVEVSPIKGGKSTYAGTDGTKPEWLVKLEKDRLQAIHVAGKIEQMLPDQDVPDILLHPGSEKLKRDMRNCSVLDLDLNPELLIQNFESNVAHQRLWNFIHSEVCFQWDGKEGRRSWVCPPRGTYLHYVKYKKRVLCLVGDASLSWLTGVLTEKVVAEFEKWTPKKGKALKKVRENYINAPGMDRISLKGGGNYSGAEGTHLGPWDLRRAFDSLYHAVAEKGQFLGHAMDSFIIHLWDSTRNDEVLKKALRGFNVKRTKLGLSTEVTRDDEFSSKDKLKFKDGRDVLKTTRTLYNLGADPDNHFDIEDLPLPLGGDGKNLKPTFLSKEEKWVINFYTEDKVPNQREQDGMMPKRSFVGKSSGNGQVYCEKLPEQAGEMETTEVEGKELEFSSSDLVPQNRDDTVTLNESLLETELMKKLGTVIGIDLGTTYSCVGVYKNGHVEMIANDQGNRITPSWVAFTDSESLVGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQQVIKLVPYKIVNKDGKPFIEIKCRDGEDKAFSPENISAMILGKMKDTAEACLGKKITGAFVSVPAYFNGTQKQATIDACLIAGIKLTRIINEPTAAAIAYRLNKKCVEKLNLIVCDLGGGTFDASILTSDDGVFKVLATNTDAHLGGEAFDQKIMEYFIKYIKQNNKKDISKDKCVLGKLRWEAERAKRDLSSKIEVCVEIDSLFDGTNFSVSLSRAKFEELSMRLFRKIMESIKKVMKDAGLMKSQIHEIVLVGGSTRIPEVQRLLKDYFGEVHNKDVNSDEAVAYGAVVLGNGLSGEDGDDAKAPTKGYFWIEQKIIGDGKCMFRALVHATYLRTKGQKPDEKLENFMADKLRVKELIKQEERIKPFAGCTYDRTPIAVYRNDNNQGYKRVDIWGDKDYHDADPINILYNGHTHYDLFEDKEVQRVIKLMPYKIVNKDGKPFIEIKFRDGEDKAFSPEEISAMILGKVKDTAEAYLGKKISGAVSNHSAAYFNDAQRQATKDAGVIAGLNVVRIINGPTAAAIAYGLDKKDSERKNVLVFDLGGGTFSVSILNINNGVFKVLATNCAHLGGEDFDQKIMEYIIWWIKQKNNKDIRNDKCALGKLRREAERAKRDLSNKIEVCVEIGSLFDGTKNSLKLTRNKFEELSKSLFHKTMEPVKKAMKNVDLDNSQIHEIVLVGGSARIPKVRRLLKDYFGKELNKDVSYDEGVARGATVLGSFLSGEGGDETKDIILQDVAYFNLGIKTVDERITLMPRNTVIPAEKTHVFDDELSGILGKFDLSISEVRSYPMGTPQIEVTIEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIDRMVREAEFAEEDKKVKEKIDARNSLETYAYNMKNQIGDKDKLADKLESEEKEKVEEALKEALKWLDDNQSAEKEDYEEKLKEVEAVCNPIISAVYQRSGGAPGGGVDGEGGMDDDHARNFLEAYMCNMKQVCKEGFKEAND >Et_1B_013264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6927389:6929446:1 gene:Et_1B_013264 transcript:Et_1B_013264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYGEELVESGAEDMPRVVAAFAGILERVAERNDAAAAADLSVAPASAFRATTKPGISVRAYTARIARFAGCSPACYVVAYVYLDRFLRRGRRLALAVDSYSVHRLLITSVLAAVKFMDDICYNNAYFAKVGGISLVEMNYLEVDFLFGVGFDLNVTPETFGDYCAVLQSEILFAEAPPAPPAPRLQYCCLPEDDAGASSGCSSQQQLAA >Et_3B_030847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:783526:789806:-1 gene:Et_3B_030847 transcript:Et_3B_030847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDKGKVSKKVGEVSGLPQGPCEGGVSQEPQRQRSLNGAVQTYQGKNWKKIAECFPDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIVQMVNKYGPKKWSTIAQALPGRIGKQCRERFCQRMGETRVAKSSAKSLARPCDGVVGRATNKTCPQFPRNQNALMLNYIIRFRWHNHLNPAINKEAWTQQEEITLIHAHRMYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKVDSYMASGLLAQVPCLPLIECPENCDSSSVMNQQNIEDSDCNAIGEVENASCGSQSSFAEIYCSQVQNANVALSCDLKVNVDDSNRDAQNSSMCQGVSYAPTEAVVSALSGVHYNVSSSNFDPDKHLEEEFDQRMNLQMNTDEVPSNSLFSGNQTHYSSANQEMSLVPIDITQEVHLSVIPNVSGAEQNLHSISNCLESDLWQGISLQSLVSGPDTVAADLQPDMSVSAPLVCSDSLSDTPENRPEPREITDSQAETFPISNNSFGDTEQSVKSGSSDETSMTMECITQCGDQRLADAKEPVVSTEKEQSTKDIENNEDEKKDDGALFYKPPKFPSLDDPFVSCDLVPSGDLQDFSPFGMRHLMRSAMDNVPTPLRLWGCPADDENSDGLLAAESFGCTPSVMKKRHRDILSPTPDKRIEKKSGMEKNRGISDSSHKSVATYSKNATPSCKEIISSKSKPAGLIVEKSSPCINASYEYVNILADTPGIKRGLESPSAWKSPLFMQFQGSYLFSPGDRTFDALGLPEHISVHCATAVAEAHDVLAGGNRNTDEENKENIDAKNEPGTSKLQTKIIAEARVLDFNECNTPASTADRKLSSSLGRSVSSPILSSRNLKIFR >Et_3A_023485.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30290417:30291439:-1 gene:Et_3A_023485 transcript:Et_3A_023485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAAACAGLDRVHRRAIGVEQAVVPLRRRPGLHVLVEQREHEHVDRHIGLERRPLSHGDPLHQVAVVRGRVLHGGAGLVLVALGRPPGLGDPHGDVGVAHGLHGRVHGVDLGVEHVVVVEVGVGVPHARVGLEQHVRQRHAPGVRLVREERVRVDEQRRPGLPVEIQHRLDVLRQVLPEHARRRREAGGEEVHRVRRRDGHLLVQAQVAQRAVDGLHGRDQAGHHAGVVAREHLVAHGDAGDARRRDEGLHVLRHPLPRRAGAGGDRLQVLVADGDDELDAGVGEGRQHLGVRVVKLHLVHVHRPEEPRHLRRVRQVVGDLPVVDAELLLRRHHVHCKTNR >Et_6A_047281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3272759:3273441:1 gene:Et_6A_047281 transcript:Et_6A_047281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NYIIKLSAANMADIQRSTLPSKPYSAEAELEEELQNCKECISYHARPSTGCDGLRMLGYFYNCREEVMCLCLPAKLPSPCPQQKKMVEQSLLMIDLCNSMQKNLSELLHIVRLLSEAREMPISLLESTCITALAKADCPYKCKRLISCIQETP >Et_9A_062882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6169306:6173103:-1 gene:Et_9A_062882 transcript:Et_9A_062882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRKRIDDDREWFEVVYINGYTVFMGYLMMGVRGLGVLVITWTTVVLLGGFVSVLGKKDFWCLTGILLVETAGVFNVLLKEKISDLVPSFCGLFASALAMVDRIAFRKGKGNCATLVLSMFLAFVLAVMQALVLAIILCPVAALYMFGMCISAGISLWRLIEHDFNNAEGAANLEPALEIVYSLAVAQGALFGYKHIHAFGAKMGIVKYVADTCSVNEKLVADYLEDTLVGCDKDPSFANGRNLVTYTVDLMMEAKSNGSFIAGIRALSTVTKRFDQAELAKHLLTMSASSSHMTHRLLEILGPTSQYSRDIREHAAWIVALVAGGIHLEEHPGWIHCISSLLAPLDEYNWVPEEYERDSKVDGKRTDYTVDRNSRESDSNILQDHYLLVCEGFNILNKLAAHEGNCRVIIITEGLLPKITAPLVSDLLHRDHHDQWSITVTEESLELMSLLAAIPGDTRTKLRSEISGSEKAIISTLEVVLQCLECGVWLKRRTVAVLLDLFVDMPSITFNGSSSRIFTWMLLLVFLLADDILAEIFPTAHHQMKKRRDITRLAGQMLMDMLSSNQELSTRLTLQIDERNARGMLQSVRVVLGDLTGSLLDAGNISIRLHAAEILGHLCGNYTKDDEYLKELKKAMVNVMPQVLTEVLGYESTREVDLESGEANAHQNTSSSQQQKDEQPDNKKMRKELVSLCSQVHGVWYETDPDFYEGTTDPDLTRQLDEMAEKVCSEHGKPVKLFQDLLKEARETEK >Et_8B_059863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3409132:3409538:-1 gene:Et_8B_059863 transcript:Et_8B_059863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECQISSMGIVKIVSGEPAVKNDSSHADEDLTRDEIMLLQSFPGHESDECEQAEVGCELAMSKGLICNVPYELYDLPELTEVLSLETWNLCLTEDDRFRLAAYLPGHGPT >Et_8B_058793.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:625263:625385:1 gene:Et_8B_058793 transcript:Et_8B_058793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWCHGNSVFCLPGSKITGGDGNKLSGLICPWLRLEQNQA >Et_1B_010287.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:34963381:34963527:1 gene:Et_1B_010287 transcript:Et_1B_010287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVSKKERRDVATVIIYTVWNLWKERNRRVFQQQCDAAKASTKANQG >Et_1B_010519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11242168:11247715:1 gene:Et_1B_010519 transcript:Et_1B_010519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGPRRRVPPPPPLPSDRSSTALSDRFDQNALARSVSLLPSHGAREGLTPPRGRLCMGAGRRRRVAAGGGHGHTWSLAAATPQLPCLPPLPPAPTSHSPPPPAPIYARRRGHAEALTRRAAVGFSSLGNHGLFKCPLHLTRRSVHETRARAHAAAQEEGKAASKKLKAENTDQAKGQHVPSKNKKSAEGESPTKSKKLKAEESEPNGKEAAAKEFAEFCKALGEHLSVENMRKILQANEQDASGSDDAVVSRCEDMMFYGPLEDCPVCGGQVEFQGWKYKCTGVYSEWARCTFSTNSPSRRSGPIKIPNDIKDDYVHKWVKQHEGKEFPKREIDEEGHIFSGMMIALSGRMSRSHGYFKEQIMKHGGKVNNSVLGVTCVVASPGERDQGGSGGFAEALERGIPVVSENWILDSIEKKKAQPLAAYDIASDVVPEGRGLPLGKLDPSEEAIETLAAEVKLAGKRSVHKDSKLDKDGGSIFEKDGIIYNCAFAVCDLGCEMNQVCIMQLIMVPENRMHLYYKKGPIGHDQMAEERVEDFGSRVNDAIKEFVSLFEEVTGNEFEPWEREKKFEKKSMKMYPLDMDVGVDVRHGGAALRQMGAAALHSKLDPSVCFLVKQLCGQEIYRYALMEMAQDLPDLPIGMLTDLHLKRAEEMLLKWRLDAESVPESGPAADAFWTETSNKWFSLFPTTRPYTMRGFEQIADNVASGLETVRDINDASHLIGDVFESTLDDPLGCSINPVTEDSEDYKMILKYLEKTYEPVKVADVVYGVSVDRIYAVESKAFPSYEKIKNLPNKVLLWCGTRSSNLLRHLHKGFLPAVCHIPVPGYMFGKAIVCSDAAAEAARYGFTAVDRPEGYLVLAVASLGEEITEVTGIPGEEEVEEFEKKKMGVKGVGRKMTDESEHITWRDGVKVPCGKLVPSRNKKGPLEYNEYAVYDPKQVSICFLVGLKYEEQNMEVVDE >Et_3A_023847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13452531:13453736:1 gene:Et_3A_023847 transcript:Et_3A_023847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDCSGKGRGGMSWMEPNPMDKLPDDLLIEILSHVPYRSLRRFACVSRRWRALIADPHNHRKLPQTLAGFFYRDYGRTLPYMPCTGPPSVDPCLSFLPDKVRQGLRVVDGCNGLLLCCCYRFAAPEEFDYLVINLAMEKWVAVPVSRRLSKKVVHMARLGVFSHGMLYVVATSVIGAVDVEGRTWRIIGFPNREKSPCVGTGLGFINLSQGKLHLANSDDTTRDKLVIWVLEDRNSEKWTLKHTVSFNHLVRKYQVRFAFNEFIVVAIHPDRNMVFFVFGRGKKLMSYDMDSREVHMISHLGQNCYGYIPYVPLFSESLADGQ >Et_7A_051635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21832657:21834724:1 gene:Et_7A_051635 transcript:Et_7A_051635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NTSSPNKIKLKNAPDVDVLPTTKSDQDHDQLVRNYKHEQTFFLKKNTSKRAKRGSTLRSHASIIPSSKRPVPHLDAVTMPAAAATGYDRAAELRALDATLAGVGGLVASGVTHVPRIFRLPDPEETLRDAASGGVPRQESPAATVPVIDLGGGDRAAVVDAVRRAAAEWGFFQVTGHGVPEETMAAAVAAVRAFHDAEGGEGSDKARLYSREPGKAVKYHCNFDLYQSPVANWRDTLYLRMAPDPPADEELPEICRDALFEYAKQVKNLGDKLFELLSESLGLNPSYLTDIECNQGQIILSHYYPPCPQPEVAIGTSRHSDSGFMTILLQDDIGGLQILHDDRWVEVAPTPGAFIVNVADLLQLISNDMFRSVEHRVVAKNRGPRVSIACFFSTHFHPASTRMYGPIKELLSEENPPLYRGTLVRDYVAQYYNIGLDGREKTALSNFLL >Et_9A_061033.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:11506988:11507149:1 gene:Et_9A_061033 transcript:Et_9A_061033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQLLLLMATQTAAAVGDDGKARRLLGCRYSGCNCRTCPIYGWLCCGSCCPV >Et_6A_047555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7218005:7220433:-1 gene:Et_6A_047555 transcript:Et_6A_047555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLIPVTSQINSQRELPVVRQEQRKKADCEQETAMAVVPNKKVVLKRYVTGYPVVEDMEVVAGSAELRVPAGVTGVLVKNLYLSCDPWMRGRMSKPAEGGAVSVPAFALVNYGVCKVVESTHPKFNAGDLVWGMSGWEEYTLLTQPEPLLFKITHPELPLSHYSGVIGMTGLTAYSGFVKVGRPKKGDAVFVSAASGAVGQIVGQLAKIAGCYVVGSAGSDEKTETSLAAALRLRFPDGIDIYYDNVGGATLDAALLQMRHGGRIVVCGMISQYNLEEPEGVRNLRVIIPMAVRLEGVNVMDHFHAYASFEEEMAGYIKEGKVKVGEDVVEGIDKAPEALIGLFSGRNVGKKLGGIEKAPEALIGLFSGRNMGKKLVAIASD >Et_7A_050671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1073728:1076850:1 gene:Et_7A_050671 transcript:Et_7A_050671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAPTPIPPLSTTAASVVHRCAQIVGVPVDELLRRFDDEEQAAGPLEYARSVVEYCSYIALRVETRRHGHLGDREFHSLTYDMMLAWEAPDEETDAEFQKTAFSILGDNADDEDGGSIFHSSPTQMAIQVDGRRTVGSEAFAKIAPACPAMAHPITVRNLFDTLTNSTGGRLPFLIYHKYLKNLDQVLSTAIRISGGHKAPPLQLSEGEVILDVYGAATTKPVLQHIGTSTWPGRLTLTTNALYFEAIGVDFSYGEAVVYDLAKDLKQLVKRESTGPWGAPLFDKGVMYKSSSTTEPVFFEFPQFQGCTRRDYWFAIIKEVLNAHKFIRKYNLANLQKAEALSVATLGILRYRTLKESFHVVPSHFKTTLAFNLAEKLPKGDKILNALYGQLQQHCSRFRGGGDFAQSSSRELTLVDPFPLSAYTMVRMGLLTLEEDNTEERDFTVRDVQIGRTSSVQMALDRSFVYSGRVEAARESLDQVKLEDIDTNVAVLKELLFPLIELGKALLALAEWEDQLKSYVFLLCFLYMVSSGWIWFMFPGFLLACTLFMLWHKHYGNGQLIGSFEVTTPPKRRTVEQLLALQEAISQLEGQVQAANIFLLKLRSLLLAAFPQSTNKVVAALVVVATAFTFMPFRSIVLLIFLEAYTRQMPVRKKSSEKLVRRLREWWLRIPAAPVQLLRPQDNTWRSRLRSR >Et_7B_053500.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:2783344:2783604:-1 gene:Et_7B_053500 transcript:Et_7B_053500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRYVSRVGARAAQAMRESTGRSVKEKAQSASSASMARGRAAGSVDSGRVSAAAAARRKAEEEKRRRAEQSLRTVMFLSVWGPNT >Et_8B_060301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:900656:906322:1 gene:Et_8B_060301 transcript:Et_8B_060301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCYGRVSPSTSSPTPAVQSGVEGCGVAVSVASTNPPASLLLTVAQSSFPHVGRQNPCCDKQGVKRGPWTAEEDKKLISFILTHGRCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLTTAEEQIVIDLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPATHQPLANSNKATSQSTVTIESAKSSDSGDAQSLKECSQETMQILTDSYEQSSQAEQSSNNCSHDLDRMVNCRLEVDLPIDEPWLNFTSRSHDELGIVTDPLPWDGPTDWLLDYQDFDI >Et_4B_039927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6085466:6090245:1 gene:Et_4B_039927 transcript:Et_4B_039927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVFPLAGQGSFLLPGSLDPPILPTPVLNAAAASGRAPAWVLLDTVAYIADRKNGTFAETTTRTNQAVGVSFWLADAPAVSHLCIHCGPGMKVTDFLDEPLVVCSGKDIAVVRVSYAHGARPIESVEDMGVIDLDYFVYRAHAGNGSPSLQLLPNPKPLYFRPNEIGFLPSDGGNFVMAVIRPRQALLQYDLHIFSSKTNRWTTRLARLEPPSPRYETEYLVHETDMVVAVEGGLLGWVDLWRGIMLCNVLHSAPVLRYIRFPKPMDRNVDSYLEDPARAVRHVTCSNGFIKLLEIEKSNGLAATGRAEPCPLDGWTAVAWKRKASSENWTKDCKAYVDESSILSVLRQKHPEIPAFESSAVVGPVWSMHDEDVFYLMAKAGLNDKNAMAITVNMSKNRVEDIQEREESRIGRNNTMVLVEGLDSYVTENQLKDILAMFGETRIPSSIKDRREYVVIKVGNLSENRCLSSTVQDLTDVRNVIQVKPSPTPKGHSRRVAGDEGSHKLKQVLDAAWDSELWWKEALGDERVCVKVASLDAGRSALVE >Et_9B_065402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4763306:4767641:1 gene:Et_9B_065402 transcript:Et_9B_065402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPEPPSTPPASKDSNPCRLPRRHPRPSALSWIDMEIQTSGKPIDLLMEKVLCMNILSSDYFKGLFRLKTYHEVIDEIYNEVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYVADPKILWTWYEPYLRDDEKFTPGCSQRETTMGVYVRDLILGQYYFDSLLPRIPLPVTRQVTANLEKMKLPTKISGVTGDSSRMGSEDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRRTINHGDDRRSYSPSCRSGSRDRADRELDRSSRDRDRDRSSRDRDRDRDTRDYHRRERDSRDRDYYRSRHSEERRDDRRDRDSSGHRRSSSRHKSRSRSRSRSPSRSRNEQRSSPFGDANKEKTAAMSSNLAKLKDLYGDVTEKKDDGDAEKLRRDSCAEEEYVHLLIALLSRGSCRPRK >Et_8A_056703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15538775:15539941:-1 gene:Et_8A_056703 transcript:Et_8A_056703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEKLLSRKVFEIPQVEEKQFVRTLHSCAMSTTRVYLIICELYFTAKKKNLIVKGCLQFTELKMKATTNQSIEFFQHLNEIDHRFYDYCEDDFHQVIGTWRSKKDVCSMW >Et_2A_016797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28227331:28230665:1 gene:Et_2A_016797 transcript:Et_2A_016797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAALGLRLRVLRRRRRRPRRGHRRPRAVKEDAGREPVLLVSGMGGSVLHARRRSNPKFDLRVWVRILFADLDFKKYLWSRYNADTGYVESLDDDVEIAVPEDDHGLYAIDILDPSWFVELLHLSMVYHFHDMIDMLVDCGYEKGTTLFGYGYDFRQSNRIDKAIAGLRAKLETAYKTSGGKKVNIISHSMGGLLVRCFMSMNHDVFAKYVNKWICIASPFQGAPGCINDSLLTGLQFVYGFESFFFVSRWTMHQLLVECPSIYEMLPNPDFNWKEKPIIQVWRKNPEKDGVAELVLYEATDCVSLFEDALRNNELTYNGKTIALPFNMSVFKWATETRRILNTAQLPDTVSFYNIYGTSYDTPYDVCYGSKTSPIGDLSEVCHTVPTYTYVDGDCTVPIESAMADGFAAKERVGVKADHRGLLCDENVFKLLKKWLGVSEINARHRFSKSKVVDLSPEGSC >Et_8A_057882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8717818:8720477:-1 gene:Et_8A_057882 transcript:Et_8A_057882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARRTLLLVNLASIMERADEALLPAVYREVGEALRATPAGLGALTLCRSFVQAACYPLAAYAAVRYDRARVIAVGAFLWAAATFLVAVSDNFAQVAVARGMNGIGLALVTPAIQSLVADYTDDSTRGSAFGWLQLTGNMGTLIGGLFSIMLASTTVMGIAGWRIAFHIVALISVLVGILVRLFAVDPHFVNIGKGKQLFCKSAWREMKDLVAEAKAVIKIQSFQIIVAQGITGSFPWSALSFAPMWLELMGFTHTGTGILMITFAVASSLGGVLGGKMGDYLARGSPNSGRIILSQISSASAVPLAAWLLLGLPDDPSTGFVHGLVMFIVGLSISWNAPATNNPIFAEIVPARSRTSIYALDRSFESALASFAPPVVGYLAEHAYGYKPETYGAGVSSVVRDKANAAALAKALYAAIAIPMLLCCFIYSLLYRTYPRDRERARMDMLISTELQHIESERSQGSTHCYQGEGTPVIDVEYGGEEFDADDDMETLMRLEVD >Et_3A_025537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30554645:30557784:-1 gene:Et_3A_025537 transcript:Et_3A_025537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMEEIQRKLSVLAYPRANAPAQSLLFAGVERYRLLEWLFFRLLGDRSPFTQQNWQGDSLDRDEENSRIQHLAEIANFLGITPSVDTEAIQGRGSYEERVELLCLIVDLVEASCYADNPEWSVDEQLAKDVQLVDSIAERQAQIFSEECKLFPADVQIQSIYPLPDIAELELKLSEYTKKMSSLQQMVQELASKYDYNPNEDYAETELKLREHLQSFLETVKSFNTIYTKEIHPWTHMMEVPQLHGFGPAANRLLEAYNTLLKFLGNLRSLRDSYTAMAAGSLSASNEPSSVTKIISDCESALTFLNHSLSILSTSVAREQGETL >Et_4A_033447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22935848:22945141:-1 gene:Et_4A_033447 transcript:Et_4A_033447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPPAGNRQVSLRGSSAREITRDALLQKVSEERQLRNHLRRAAAAALSIQRVWRRYNVIRMVSEQLHEDWKLSINQPNINLTNQWISSNMLRPFLFFTAQPSSWYKAQQSKTLKSIITCFKIVLNSINSVDANKNFCSFAVGMPEERSIWFYQAKMLISLCSRILARCEYSCCKDETMVEVTAIAMRLAVSLTDCKTWKNLKNENASDADVSVEALIQFIGTRHSGTYACVRKYIKCLGLHAASDKKKSATATDDQFLITASAITVALRPFHSKIAKRVSDMNGVSKEYFTLILTIPYLCKRIPPLLLPALKHVSVLQPCLGIVLISKDKIFEEIIKLEKSEASTVSASIIPCSAWALGNIISLATEHDELSNSGHFVQGLDFCQYVDVINCVSQTLLQTFEERTGLSGSVGDAEFHADTSLTEGDNNGNCSVISLLMDLVKPIYQQWHLRKLLMLAKEEVSCGVETNNDPPTLKQIHFRSLKLFDVVCFYYHMLRIFSTLNQSIGALPILNMLAFTPGFLVSLWDELEISIFGEAQKFQESGHKKELATSTSGEQISSMRQKRNAKDTANKWANVLHKITGKSNDSEGVNLTDSMLNSEQSGDDALILWDIEAMRQVSEGIGKDLMCMLHLFCAIYGHLLLVLDDIEFYEKQVPFTLEKQRKITSALNTFVYNSFIQNGGSYSKSLIDVAVRCLNLLYERDSRHKFCPLSLWLAPARNGRIPIAAAARAHEAAFGNFPGSNSSGIPNQSSVLTTLPHVYPFEERVQMFREFVESDKAARKVAGEISGPGPGSIEIVIRRGHVVEDGYRQLNCLRSKLKSCIHVSFVSECGLPEAGLDYGGLSKEFLTDLSKAAFSPEYGLFSQTSASDTSLIPSNSAKLLDNGIDMIEFLGRVVGKALYEGILLDYSFSPVFVQKLLGRYSFLDELSALDPELYRNLMQLKHYEGDVEDLCLDFTVTEELGGKRIVHELRPGGKNISVTNENKLHYVHAMADFKLNRQIFPFANAFYRGLSDLISPSWLSLFNANEFNQLLSGGLQDFDVDDLRNNTKYTGGYTESSRTVKLFWEVIKEFKPTERCLLLKFVTSCSRSPLLGFKYLQPCFTIHKVPCDVTLWASIGGQDVDRLPSASTCYNTLKLPTYRRSSTLRSKLLYAISSNTGFELSVSFEQTDSTKV >Et_5A_040883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1422310:1424804:1 gene:Et_5A_040883 transcript:Et_5A_040883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDLSDEERRALRGSKFAPLPPPPPSSRPQPRMAHPGGPLTTNKAAALAKFLERKLQQPEGLDSLNPDLVKLAVKNAKETIKASKGTVPYHSATIYFVLQNPIYHYTRETSTSGRVVRHVSSFEDGSKDSEDSNDEAEAKGIKRKRINKIAKDSMSQIKEEKVEIPMTP >Et_6B_049479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5417552:5420768:1 gene:Et_6B_049479 transcript:Et_6B_049479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GSVGRPVRAIYSVLALLAAGARGSTLKELLDALGGASRDELSAFVRGLTERALVDQSASGGPAFAFASGMWHDTTWELLPGFREAAAESYKAEGAVSRKQSMHRAHTTMDYCRPDCSGLTALALRLGKHLADAEGRNLVFSPLSVYAALALVATLREVLRALGAESRVEVAALVRDLADRALADRSPSGGPAVAFASGVWHDAAWALQPGFRRRVLQGRGGRRRLRQQATGSSRRDQPLGRRGNKKPHHQLHPRPVIGAAYFKGEWDEPFAASRTKQDKFHRLDGRAVTASFMRSGKRQYVAERDGFKVLALRYRSPPDSQRAASDCFALSQHYFMCVVLPDVRDGLPELVEMVASTPGFLRDNLPEHRVAVGELRLPKFMLSYSGSLTSVLRDGLGINAVFEADRADLSDMARCDTSLPLFVADVRHKAVIEVTEEGTEAAAVSYGYAAPGCALIRPPRVDFVADHPFMFFVIEEVSGAIVFAGHVLDPSASQ >Et_3B_029258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23553909:23555844:1 gene:Et_3B_029258 transcript:Et_3B_029258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSCDEARGGFEYVNWDEYRRYFHSYEIEKEYVQYCEELSEKLKWMEDYVLMKPSSRTWRRVFTRGNDQATKIAAGYSKITWRLASNGYHEVMDNMSFDVCWYKELDGVYFEVWQRITKLKKSFRDALEDVYNLNKFPLRQSRMKRALETDCSKMENEVTNQRAQELISEAVRKLKEKPKFYEHYIKKKISVARGLMNWG >Et_3A_026735.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:17826873:17827292:-1 gene:Et_3A_026735 transcript:Et_3A_026735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSKSYAGGGRMQIEPYYGGGGAGRMQIEPYYGGGAGAGAARPDFRSYSYSAGGGMSSSYSYQYEYGGGGGAAEGGGEVTRSKSSKRRWLALGDPDMERKRRVASYKAYGVEGRVKGSFRKSFKWIKDRYNHLLYGWS >Et_1B_011758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25725387:25728550:1 gene:Et_1B_011758 transcript:Et_1B_011758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQHGGGGGGGGGAAQAQQFGVQQVEMPPPFSPVGQRISLTEAPSPISSRPPAQQFDELGASGASAGGFDAEGLAAAAAGEEGASGGSAGNRWPRQETLALLKIRSDMDAAFRDATLKGPLWEQVSRKLAEQGYNRSAKKCKEKFENVHKYYKRTKESRAGRSDGKTYRFFTQLEALHGTGSSSPAPSPWTSFAPPSTAPLPVRAPAEPPPAVTSAGMPAMGMGNMSFSTSNTEDYSDEEDSDDEGTQELGGGANERGKRKRLSSEGGAAATGGGSGKMMRFFEGLMKQVMERQEAMQQRFLEAIEKREQDRMIREEAWRRQEMARLAREQEILAQERAMAASRDAAVLSFIQKITGQTIPMPSIAAPAINVMPPSHPHPAPVAASASPAPPPPSQPPAASSQAPPPPPQPSPRPQKSPTPATPQQAPPPTQQQQSSSDIVMTPLADTPGYDGSGGGGATSSRWPKAEVHALIQLRSNLDTRYQEAGPKGPLWEEISAGMRRLGYNRSAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYRNKAALGGTPTAGPAVHANASSTQQEAVTVTAAAPISQTAPPPQQASQSQHAAKNGGGRHAGAGNGNGGSGASEHGAGGGGSGGMQQMQATNGSVAAAKKPEDMMKEMMEQRQPQALPGSYNRIDGADSDNMDEDEDYSDDEDDDDVDGNKMQYEIQFQGQQQQQQQQQQQQHHQQHQNVVRPNAGGGNPAATAPPSTAATTTTGSFLGMVQ >Et_1A_005888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15167097:15170165:1 gene:Et_1A_005888 transcript:Et_1A_005888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMDAAAATITHNATTLAAKETLGAGGLMAGLLPEVQTLELLVAVSIFVAIHSLRQRRSQGLPSWPVVGMLPSLLLGLRGDMYEWITGVLKSRGGTFTFRGPWFTNLQCVVTADPRNLEHLLKTRFGSFPKGPYFRDTVRDLLGDGIFGADDEVWRRQRKAASLEFHSAEFRALTATSLVELVHRRLLPVLAAAEAAGEAVDLQDVLLRLTFDNVCMIAFGVDPGCLSPGLPDIPFAQAFEDATEATIVRFVTPTAVWRAMRALGVGHERVLSRSLAGVDAFAYDVIRKRKEEVAAAAEEAGQAKRGSSRSDLLTVFTKMRDERGRPAYSDKFLRDICVNFILAGRDTSSVALAWFFWLLAKNPAVEARILDEIEGIVAARRVPANAGEVEEELVFQPEEVKRMEYLHAALSEALRLYPSVPVDHKEVVEDEVFPDGTVLKKGTKVIYAMYAMGRMESIWGEDCREYKPERWLRDGRFMSESAYKFTAFNGGPRLCLGKDFAYYQMKFAAASILHRYRVDVVEGHPVAPKMALTMYMKYGLKVRLTKRDKSML >Et_3B_028621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17390508:17391573:-1 gene:Et_3B_028621 transcript:Et_3B_028621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPTEIPVIDLGGLNGGEEERARTMAQLHEACKDWGFFWVENHGVDGALMEELKRFVYGHYEEHLEPKFRASELAKRLAAGDDASSLADQADWEATYFIQHRPRNTAADFPESEIPAAARATLDAYIAQMVSLAEALADCVSRNLGLPAAHLRDAFAPPFVGTKFAMYPPCPRPDLAWGLRAHTDAGGIILLLQDDAVGGLEFLRAGREWVPVGPTGGGRLFVNLGDQVEVISGGAYPSVVHRVAAGDRGRRLSVATFYNPGADAVVAPSARGEATYPGPYRFGDYLDYYQGTKFGDKAARFQAVKKLFG >Et_7A_052787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16186837:16190968:-1 gene:Et_7A_052787 transcript:Et_7A_052787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDKMVEQTNQSGNNFMKIECQNGGKTGHPRIEDGSYDKDVVEIKLPDTVRSSDYGDHFVKDVCIDEGVLGDQKTSTAKLVDQKVSPSFDSSVRNKNSNLGEGIRAESPESAHELKSQIVTLPVMCATDGNIEEQDSSCKAHELEDNNIVSEFTNSNDEKLNTKQPLCHANDCQPVVTVISEGRENQEPFLNGEATHQVSSSDCHGIGIASETSNIIHSDVLVESVANELQTVVPEVVVSAELDKGESNHVNHYNPFIAYGSLDDMWEPNYSFPATVEDASISPICPVDKTDSFSDLVNRDLRGFDSVAIAEPIFEENRSDSLEASSSTLDVQTSEGNDDKRENLTNGIRTDVEHGTGMVTSLSTSNAVPSDVSSEGPQKCEIDSTQDVHDFNPRDVEVGTKRSGDNTDIKSSTLVQTESVLQQNGPDSAKVTAQSVLRNPFESSFSGPSITSGPLTPSGHIPYSGNISLRSESSTTSARSFAFPVLQTEWNSSPVKMAKADRRRLRGLSRISSLEAYSNIVQLGLATKA >Et_7A_050391.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:12327695:12327886:1 gene:Et_7A_050391 transcript:Et_7A_050391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLWKLRNNLCFQNGSWKWSQILVLLKNWTVLYPGKYREDYTSKCLQLEARYARPKRLGTVP >Et_1A_004851.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12029902:12030030:1 gene:Et_1A_004851 transcript:Et_1A_004851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYTARRRNIRRRLYCTQYGTSGKKKTGEFFSKLCRGHHMC >Et_2B_020106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16968328:16972168:1 gene:Et_2B_020106 transcript:Et_2B_020106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GEMGILELFITACVPVFNMLLVTGVGSFLASDFAGILTKEARKHLNNMTFYVFNPSLVSIYLAKTITLESFAKLWFMPVNILLVFVSGLIFGWIVIQVTRAPAKLRGLILGCCSAGNWGNIFLIIIPALCKEKRSPFGTPDVCQTYGLAYSSLSMAIGAMFLWTFVYNTVRATSKVTEGDGDAETNQTKVLASGTTVSEEDCSTSNDSTLPLISNNTPPSKYKAPLSEKARNFFSWISETVDLKKLFAPSTIAVIVGFIIGGTPFIRNTIIGENAPLRVRGEASVQPSIIVGVVVVRYIMLPLLGTGLVKGAVRLGLIHPDPLYQFVLLLQYAVPPAMNIGTIMQLFGVGESECSVIFVWVYALASVAVTVWSAFFMWTLSS >Et_1B_014068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29565032:29569939:1 gene:Et_1B_014068 transcript:Et_1B_014068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKACKDDEIVKAAPRNPAMSRKRKRKVKRKRGASTATSGLCDDIIRNIFVRLPARTLVASMALSKHHRRMIISPEFRSLHCSLGPPLPRPHVAYIATAMISHGHSIVSAYHSFHVAGRGRVAPTRSLTGQRYVSMKYINTCNGLLLFADSRRCVFLNPCVANGDRQVTIPTRNGDFVLGFGYGKRAQTYKLLLSRKCEGTSNSSHHKYPRDLLVYSLQHDAGEQPRAHGDVWSSMYRDK >Et_7B_054526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22631635:22632899:1 gene:Et_7B_054526 transcript:Et_7B_054526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHDCTTQANVAARERHLPTESRPEHNSSVNTPPPSKPVELDAERTAAVLLLLLPVPTSPSRAAPAMRIFVLSGQSNMAGRGGVHHQHWDGVAPPECAPDPRILRFSAALQWEEAREPLHADIDTTKTCGVGPGMAFARAVLPRLDAPGPGAAAGLGLVPCAIGGTAIREWARGERLYEQMIARARAAAECGEIQAVLWYQGESDAESDHATAVYRENVEKLITNVREDLGMPQLPFIQVALASGNKRNLEKVRSAQFSVNLLNVVTVDAMGLPTERRSFTS >Et_8A_057184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22066080:22069621:1 gene:Et_8A_057184 transcript:Et_8A_057184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMKGVSEGLIIGTTVGVVIGVLLAVGILLCLRYRRSQAQIRSSSSRRASTVPIRTNGVNACTMLSNSTTGQESPRELEDRAASLWFEGPGRKSMISASGIPKYAYKELQKATSNFTTMLGQGAFGPVYKADMSSGEILAVKVLANNSKQGEKEFQNEVLLLGRLHHRNLVNLVGYCAEKGQHMLFTPLKWDLRVNIALDVARGLEYLHDGAVPPVVHRDIKSPNILLDQSMHARAAINVDGRTGWEEVADSRLEGAFDVEELNDMAAVAYRCVSRVSRKRPAMRDVVQALARVLKHSRSRKHHGKRHPHAREDDESVDLEASEVQSSLSGLQREESVGSISDLPDV >Et_10A_000413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:111369:112328:1 gene:Et_10A_000413 transcript:Et_10A_000413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGYNYGLASMELTLAQLFHSFDWSLPDGINEVDMSEAPGLGVRRKTPFLLDEYGKMRVS >Et_10B_004177.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17680778:17681404:1 gene:Et_10B_004177 transcript:Et_10B_004177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCCDCVCWCCKVICALLGLLFTAGFAILIYWAIFQPHQIRATVDSATLSNLTVSNTSSVVSYNLAVNLSFYNPSVRVNIYYDSIDAQLRFRDAVLSPTANSTASPAEFYQRRKTGDVVKLGFAATSGVEVAGDVAAELEKEVRKNQGAAVELELDVDVRVRYVFRIFKLRQKPRVQCWLSIPVKAEGSGPGVGGVLESGDRCRVKY >Et_5A_040266.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:9168024:9168296:1 gene:Et_5A_040266 transcript:Et_5A_040266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAAALASRPEVGSSMNIIEGLATNSTAIVSRFLCSVDNPLTPGRPTKASRSTSSSTRDITSSTNICIKISFIRKTSACNPKEISIMHKF >Et_10B_003817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6429084:6431255:1 gene:Et_10B_003817 transcript:Et_10B_003817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPKVSLTTCTEALGSESDYNGHGSAVLELELGRTLKKVTLSEEEKEPRRRPPPPTAPWRGVQLVPERQDGRLMLKEAPVKNPFVLHAFRADGRLRLTRVPPFDDLCKVTGEKDFEVSLTTCTEALGNESDHGGLGSSMLEVQGLDMSSLPELEQVSLSEKKEPRRRPPPPPIPWRGMQLVPERQGGRLVLKEVATKNPFALHASRDDGQLRLTRVPPIDGLDEVSSGRNDFEGDESGGNGDKR >Et_4B_036811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11747118:11748633:1 gene:Et_4B_036811 transcript:Et_4B_036811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAVPSPLPSPAADAESLRKAVQGWGTDEKALIEILCRRTAAQRAEIRRAYAGLYRESLLDRLRDELSGDFRNAMVLLATDPAERDARLANAALAGGGKRPLGDQHAWVLVEVACASSPDHLVAVRRAYRSLFGRSLEEDVAACAALADPLRKLLVSLVRSYWCAEEHADEDVARLEAAQLAEAVARRKQPHGDEVIRIVSTRSKHQLSATFRWYKQEHGSDVDEDVTKHSSSQYAKMLRSAVWCLTSPEKHFAEVIRYSILGLGTDEDTLTRAIVSRAEIDMNNIKEEYKIRFKTTVTSDIVGDTTGHYMDFLLTLVGSEK >Et_1B_012413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31846458:31846944:1 gene:Et_1B_012413 transcript:Et_1B_012413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKATLILLTTVVFACLVISAKCEGDGPESPPVGGLPRHPDGEETVRSSKINIVLCWRRSCKNSYNNCYCCGTRNVCPSQQTLWPALPAPEISSAGLQQVVPQGVASHRLNTLQFCDNC >Et_5B_044553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3415914:3421378:1 gene:Et_5B_044553 transcript:Et_5B_044553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VQLQQHPANICDDRHSDTNTHQATRTVSHTSPASEPGKQSFPCEAPVVMAQDTAKPIRCKAAVSKAPELPLVMEEVEVAPPQAHEVRIKIICTSLCHTDITFWRMKDLPAMYPSILGHEAVGVVESVGEHVQEVAVGDTVVPVFLAQCGSCEDCVSDRSNICSELPYRPGMPRDGTTRFSLAATGEPVHNFIAVSSFAEYTVVDVAHVVKVGHALPPEKACLLSCGVATGVGAALKVAAVEAGSTVAVFGLGAVGLAVAQGSKVRGAKRIIGVDLNPDKCDIGKRLGITDFVNPNDSGEKTVSEVIKEMTGGAGADYCFECVGSSAVTAEAFKSSRTGWGKTVVLGVDGRAAPISIPSTEIMRGRSVVGSLLGGIKPKDDIPMLAQKYLDKELELDAFITHQMGFDEINRAFELLTQGKSLRCILWMDGAKQDNGAGGVQGAWAAAGDGGGGGGAAAGARGPRQGHLHLSLPHGHHHLAHEGPVGDHVSEVAVGDTVVPVFLAQCGDCLSRRSNICSTLPPRMTHGMPRDGTTRFTLAATGEPVHHFLSVSSFAEYTVVDVAHVVRVGRAVPPETACLLSCGVSTGTRRPPSNVITDWQNQHWTRMICSPVATETDDADAAFSRAGVGAAWKVAAVEAGSTVAVFGLGTVGLAVTTTDKHDSDVTPGGQFGHELPDARSLLCS >Et_1A_006660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25873426:25880662:1 gene:Et_1A_006660 transcript:Et_1A_006660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTIVRKRLVSSDKSGTCDVCYAPCSSCLHRSLAHRDSNIDCGSSQTCSTRSEIKNNSITLGEKGVRNREDIDDEFSAASSNASYSETGGNKAATRSSVAEDSSEVDMPAKRRRISNQDMKLSRAEYNDDSNSCVTGMPAEGKKLSTSASSRDLTVNDYKDSSIASHGRLRKQHTDEPTEKNGSNVTYPSSSMTKKLMRTQSSVSASKGLSPKRQAHELGEPQENLSRQRCDKALLSNNIIEQPLKSNPGVDAGDNNGMLAGCSADTRNEKKAGFARKDLENNTSCSKGKIQEHAAAQTIDYAKRNGADKQNWDQDSSMDISSDGELNIQDDVMTDCGNSEGLIDVNVCDICGDVGREYLLATCTRCLEGAEHTYCMRVKLDKVPDGEWLCEECRLKDEKNKARCKDGVQMVNISEGKKQNSENQRNPKALRVVLPNLDAPQINCSTPTAEQCVAKNKKLCSESADTQPRQVKVTTAAAERLDVKNKNLLSMANRKKLQVVTSDLEARPHTSGIPTPSSSNKKTHSSEFLLNRKKLRVSTEMESPLSNEGLRSPPISCKRQAENTSSPNPRLFKANSLKKHDVFSRETSFKKSNKGSLSSLDKGPVRIAQAVKSSHTLSRSYSMGSMLNSKTPVPSPRGHLSKQLSFNSSNNVPKVKQLAEGGASKLRPLKHSPRDQGAIKKIMKSGSFKSESSFCKDAGSSKQKQSFRMLQDEKPVTLKPVKEKNMMERRASFGFKKPNIPSSPRPDSSTKPGERKLDQDISRPGPSILKSNKKPGNVEMESSDLSKNDKREITVRQKPTGFVSGKDVYSENIPDPPITSQCVKKDSSNDVEGESFVSVKDDNAMQTEAPVVLPTTSDAMTLESDLQDLVPRVSVLEDSAFKVECCEHKPLESTVNGSCKTVEAAAADDTLPKSPDGLQTIHNQYPTEDKLDKPNLKQEVLLDESTPENLSRDFVIPEQSYIWQGSFEVSRHGNSLEVFDGFQAYLSTCASFKVREVGKQLPHKIQLAEVPRHASWPQQFKEISPTEDNIALFFFAKDAESYERAYGKLLEDMLLGDLSLTANICGTELLIFPSDKLPQRTQRWNGLLFFWGVFYARKARCLPELPVTGTNNCSLGSFTAPVIHHEVGPPKVIQSLGIDLNECPNDDSADPAVSLGSEMEKSGASVDQNVLFDSKHEVIKLNACMMHQEEAAAPRQIVLGHPTAAPCGTHLPTYPTRQGCDMMRDYSSSAKGITGISGNGNIEEEGFCQPKALLSVAQKAGTVGSLSDEIVVKKQAFENSKEVSPWYFNGSNVSDDPNKLIPKSGIDLSDSDSSYKRQKTSHGEYSACSFEDGQLPSKCLSKIHPLPAGQHNAFSDVLYTYRGSSDPSSFKKPIPDHIILSSDDEDSPEPSPSLNNKVSLKAKEGTSPLLSLSLSTAATKHNLAGSNIGEDEPLSLSLGLPGVVEGNRVLEMKQFLPEKPGINT >Et_4A_034190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30681500:30688256:1 gene:Et_4A_034190 transcript:Et_4A_034190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGLCGGYEAALTSKSQNCRIWGDQGQSALEKASICLLNCGPTGTEALKNLVLGGIGSITVVDGSKVEASDLGNNFLLDEGCLGQPRAKSICSFLQELNDAVKAKFVEESPETLIDTNPSFFSQFTVVIATQLRESSLLKLDDICRNADIVLVAARSYGLTGMVRVSVKEHCVIESKPDHFLDDLRLHNPWTELKQFAKSIDICDKDPVVHKHTPYIVILVRLAEKWADAHDGCLPSTRQEKRDFKDLIRAHMLNVDEDNYKEAVESSYKVSVTPGISDEIRHIIDDSSTEVTASSSDFWVLVAALKEFVANEGNGELPLEGTIPDMTSLTEYYVSLQKLYQAKAESDCLAMEHRVKDILKRIGRDPDSISRVYIKTFCKNARKLRVCRYRSIGEEFTSPILSEVQKYFTDEDYSYAVNFYILLRAVDRLAANYNRLPGIFDSEIDEDIPRLKTVAASVLSDMGLNGASLSEDLITEMCRFGGAEIHPVAAFIGGVASQEVIKMVTKQFVPLRGTFIFNGIDLKSQVLTL >Et_3B_027917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26578789:26581128:1 gene:Et_3B_027917 transcript:Et_3B_027917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTGSGRSIDDLSRFRAHVPPISVPRRNPFDLPYDSEEAIPGSAPSILHARKNPFDLPLEQSNDSGTHVHDSSNSGESVTSSSRDMFFRRHESFNYGRTDATQERRFSRLKPYFVPETVEWSAHNFHRQLSDKSESKLSSVTESDVASSVADHEMHKDHDDSDLTDVGSECSDGINSVDVELDNSDMDDREIALQHFVFERSQEREAYLASAKGKGREDDDTLSSDGHSTMSFHPVPDLLSWEDGDDDRVLGAKPSFEPNTEVECSKWVSSSGPVEEGELGSGGLPLYIDTEVASSSNTVVLGARSPSEKDRNLDLMSYPNHEMPSDNLNHGPMELPSEFSNETLPVISRDLHPIPEERVVENFSMQEKHETAIFTDSAAALTGLHVIEEHFDVGSDVSSSEVFSSCPQANDVIQPPLSAKSLEDIDNAFKLVRDGAVSEASTDPQTSHIIGVDVESEPKEAAGQLHVIDAKSEKVESANNMDSSETKTHGDFDAVSKKLHDGSAKSIVLAIDSKKENEESEQQ >Et_7A_053124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7250646:7252130:-1 gene:Et_7A_053124 transcript:Et_7A_053124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAEQHDIVCVNHAGTNAGGIGVNYGTRATTLPAPADVAQFLARDTIVDRVRLLDADPALLRAFADTGLAVDVTVPNGDVPRLTSPAFARRWVRENVQAPHARARTNISRVLVGNEVTTEANRTLLLSLVPAMRNLHAALAATPLLGTIKVSTTHSLGVLAASSPPSAGRFRDGYDMAIIKPLLRFLRATASPFMVNAYPFYALTTTNNNNDTDTLDFALFRAVNAAGVTDDASGLRYGNMLDAQLDAVHSAMKRLGFGDVDIVVAQTGWPSAGEDWEVGVGADLARDYNKNAIRHLGSGVGTPLMPNRTFELSIFSLFDENLKPGPVSERNFGLFRGDMTPVYDAGILAAPETLEPVSAKLTPPGGAAPATMRQWCVPKPAADDLMLQENIDFACGQDGVDCGAIRPGGVCFEPDTAQGHAAYAMNLYFQSKGQHAFDCDFGDTGVVTTADPSYGGCKFTSRE >Et_5A_041760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26870237:26875754:1 gene:Et_5A_041760 transcript:Et_5A_041760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLLPSLSQRWSVPLASAEAGGRRAALRKRPAFACRCCADAAPEGTRRWFASLAAAAAVAGVGVVGGGEEAGAVSTSRRALRASKIPESEFTTLPNGLKYYDIKVGSGAEAVKGSRVAVHYVAKWKGITFMTSRQGMGVGGGTPYGFDVGNSERGNVLKGLDLGVEGMKVGGQRLLIVPPELAYGKKGVQEIPPNATIELDVELLSIKQSPFGKKAEDIKNLLLKIVLPLAFPLAGSFISDLIRNRANSHSYRDSSGSSVTFDPSYGSTTRQEEEEQEMESTRCASRKLAQSENACSTAGRLLIGEFSRQASNAAELMAAQASESPAEVAISEVRQDDPTMAGELAALKRMVCGLEERACSIEAQFHDYCDMKEQESAYQKMQIMCLGMKLELLESQHQRLEAATAEIHAAAEEFAAMRGKLDRLQSKLKKITKRSKKSSDAVDGRILALDGKQAQMERRCEEFELCMEEMKQLTLQLQEQKGANNENVEVAVERSLRKLSNGRDLVDGLQALRDRWAAGMEEMIYLGWITAWLQHDLLLCSDDDDVFGTVVVDGGNVFGTVVVDGGGEDDHNGGSDRHPPEEEHKKGETVVAEVAPSNEVQLCKAASASSSSSTTMSGPPRRSVDGEPSCMGFGGGRDSGGWGIGRPRLLRKLRGWAGGKGRCRIAGPCCPK >Et_2A_016935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29752001:29756959:1 gene:Et_2A_016935 transcript:Et_2A_016935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMSTTPKPLDAAKAAAVAGGGGGVDEGGGGGGKQQRMRGGMVPMAPPPMAVPAPAPAPAGEEARKVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPQKASKNVSQAVSSQQLPLRDQGAVMSMDTSNVIRSANANGAGTSWDNGLVQPFGASQGAVGTNNCSSSIESPSGTWPTSEAVEQENVVPPLRAMPDFARVYSFLGSIFDPDTSGHLQRLKAMDPIDVETVLLLMRNLSTNLTSPDFEEHRRLLSSYSYGGGDHIKSEGIENLGSPQNCHIPFM >Et_4B_039294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9718575:9724867:1 gene:Et_4B_039294 transcript:Et_4B_039294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRATPTRSPSSAARPGAPRHAHHQHHHHSQSSGGSTSRAGGGGGGGAAATESVSKAVAQYTLDARLHAVFEQSGASGRSFDYSQSLRAPPTPSSEQQIAAYLSRIQRGGHIQPFGCTLAVADDSSFRLLAFSENAADLLDLSPHHSVPSLDSSAAPPPVSLGADARLLFAPSSAVLLERAFAAREISLLNPLWIHTRVSSKPFYAILHRIDVGVVIDLEPARTEDPALSVAGAVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYRFHEDEHGEVVAESRRNDLEPYLGLHYPATDIPQASRFLFRQNRVRMIADCHATPVRVIQDPGLSQPLCLVGSTLRSPHGCHAQYMANMGSIASLVMAAFGLQLNMELQLAHQLSEKHILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPTESQIKDIIEWLTVCHGDSTGLSTDSLADAGYHGAAALGDAVCGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDAAEVQVGELELRGINELSSVAREMVRLIETATVPIFAVDVDGCINGWNAKIAELTGLSVEDAMGKSLVNDLIFKESEEIVGKLLSRALRGEEDKNVEIKLKTFGSEESRGPIFVIVNACSSRDYTKNIVGVCFVGQDVTGHKVAMDKFVSIQGDYKAIVHNPNPLIPPIFASDENACCSEWNTAMEKLTGWSRDKVTGKILNGEVFGSCCRLKGPDALTKFTIVLHSAIGGQDSEKLPFSFFDKNGKYVQALLTANTRRKMDGTAIGAFCFLQIASPELQQAFEIQRRQEKKCYARMKELAYICQEIKNPLSGIRFTNSLLQMTDLNDDQRQFLETSSACEKQMSKIIKDASIQSIEDGSLVLEKAEFSLGSVMNAVVSQVMLLLRERDLQLIRDIPDEIKEASAYGDQYRIQQVLSDFLLSMVRFAPTENGWVEIHVRPNVKQNSDGTEAMLFIFRFACPGEGLPPDIVQDMFSNSRWATPEGIGLSICRKILKLMGGEVQYIRESERSFFHIILELPQPGTAAT >Et_8A_057635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5537343:5537577:1 gene:Et_8A_057635 transcript:Et_8A_057635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSVAVTGPGTDAEPVSSTFFASRYVREPLPRVLTTTTPLVATLPVPFTPPRRGAPTPLAVDRARLPFHY >Et_4A_035391.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22917162:22917701:-1 gene:Et_4A_035391 transcript:Et_4A_035391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKLGYDIGYVRVRHLSVDVDNASLTRFELATTSPGTAVAYNLTLTLAVRNRNWAMGATFRSLEADYRFDGQRFDRVAVVASYALPARKTAVFCLVSGDDGALAALGRAGVKEYRKEKRESVFDIEVGLSGEVRYQLHRTWCRLEAKCPLRLQLRQEAGAVVFQKTTCDVLKSSQRGC >Et_6B_048536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10514808:10518408:1 gene:Et_6B_048536 transcript:Et_6B_048536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTGALGSVIPKLALLLKDEYELQKGVRKKITCLSRDLESMHAALRKVAEVPPDQLDDEVKLWVSDVREMSYDAEDILDTFQVCVEGHEAPDPNRFKRAAKKISKLFSKGRARRKIAGMVKIINEQADLVAERHRRYKAEDIVARPAATGVDPRLAAMYKEVTRLVGIEKPSDELISLLSPQGDDESKRKIKVVSVVGTGGLGKTTIAKAVYEKLKVDFECTAFVPIGRSPDLNKVFREVLIDLDKIKYMDPKMEILNTRQLIDEVREYLGSKRYFIVIDDIWETESWELIKLALVQNANGSRVITTTRKLGVAIEAGDVYKLQPLSYDASKKLFDTRIFGAKGKCPANQLDEVSEKILKKCDGVPLAIITMASLLVGKSREEWIEVCNSIAFRNKDNKQWVAEGFIHKKQGTGFFEAGEGYFYELINRSMLQAVEIGENGMVYGCHVHDMKENFVAILNDVDGTLSSRRKLRRLAMQKRMAEQTYQDTYMDLSHVRSFMVFKFDFTTKGLPFLNFGFLRILVLEGYGRLMDFWFGLDHLENLIYLRYLKLSSYNRKLPDKIGALKCLQTLDVQDFNDLPSSIGLLTQLICLRAGSCRTVPGGVMGMLTSLEELQICAHDDSVGQFLKELGKLPELRVLTIDFGFKHWYNESMQPDLVNSLGNLCKIRHLELKDHVGASLDKTLWDVTFRSPHLQSMIAPNFHFSVLPSYINPSDLPNLTHLELCVYNMSRFSKSGGLPQLLHLRLDAGCSVTTVTIHAAGDGFFQKLRSFSLPDSTVQIVLNEDSSVSLTLWSFWAPSFGYETRDECRVAPPIFLPQLQVLQFQMDVKFFFHDNGSCEDLDLGLQYLRSLQKVKVSLCCYDAFTDDVELLEVALRYATEVHPNKPTLQIGFDGKGSMKRFMEKEEALLRHAIEDHPKRPTIHLDIPGKNELMRCVYLLKWIRGDFHLILIDPLILF >Et_5B_043404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9983609:9992972:1 gene:Et_5B_043404 transcript:Et_5B_043404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYYLKNDAVQVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVIIVPPNKTAADGMVGVSELVGKHYPANKKITMARGCFDDTKGSVMVKNLSARDPSALGLDTYCKQHYLCLAAASATIKWIESDKGLFITNHSLSVTFNGSFDHMNIDSTSVQTLEIIDPLHTELWGTSNKKKSLFQMLKTTKTTGGARLLRANLLQPLKDIQTINARLDCLDELVSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKVTDEVLKPANGRKSQMLISDIIVLKTALDAIPFFSKVLKGAKSFLLHNIYQTVCENPKYESMRKRIGDVIDEDVVHSRAKFVACTQQCFAVKAGIDGLLDVARRSFCDTSEAIHSLANKYREEYNMPNLKIPYNNRQGFYFIIPQKDITDRLPNKFIQVVRHGKNVHCSSFELASLNVRNKSAAAECFLRTELCLEGLIDEIREDIGILTLLAEVLCLLDMIVNSFAHTISTKPVDRYTRPEFTDEGPMAINAGRHPILESLHTDFVPNKIFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAHFASIRVVDRIFTRIGNGDNVENNSSTFMTEMKETAFIMQNVSSKSLIVVDELGRATSSSDGLAIAWSCCEYLLSIKAYTVFATHMEGLSELSAMYPNVKIIHFEVDLRNDRLDFKDGVRRVPHYGLRLARVAGLPASVIDTATSITSQITEQEMARMDANSVEFQSLQTAYQVAQRLICLKYSDQGNDYVREALQNLKESIAAGRLT >Et_1B_011612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24126555:24128604:1 gene:Et_1B_011612 transcript:Et_1B_011612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSNVVALAALFSILVTHGSCARPINYTASAVTADPNWEAARATWYGAPTGAGPDDDGGACGFKNVNLPPFSSMTSCGNEPLFKDGKGCGSCYQIRCVNNDACSGTPETVIITDMNYYPVAKYHFDLSGTAFGAMAKPGRSDELRHAGIIDIQFKRVPCNYPGQKVTFHVEEGSNPMYFAVLVEYEDGDGDVVQVDLMEGNSGSWTPMRESWGSIWRLDSNHRLTGPFSLRITNESGKTLVADKVIPANWTPNTYYRSIVHSTDKAEILLISESEIINIWRISKGIV >Et_2B_020185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17718024:17725629:-1 gene:Et_2B_020185 transcript:Et_2B_020185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLFMQVFERRDWVAAQVQQQADSFSQTLACTLLAAGHRPPDWLLPSRPGEPQQELNGKPIVPGLIFTGSQVTTPAANRTFFLPPTIPSTAIRKPEVPIADIQPVNIPSTLVDLDADQHKEPQHEQTSLSKELASTCAEPSMFSRIQRSKSRQRNIEGRSREKDQAANSGSCDVVQDGMKKTKLGTVGLNIATLSSSSRSCGNVANNAETSFACPDQENGFHASQGRSTDFLKCHSDLGSRGKQLDCLPSLASEEKVICSDNNHSIGNLPIVPLPKHTEVSIADTMYHAMPSTHLLVEPKKLQFDGVESACMGTASDQTGQQQESALESDHFGLTDRNLLSEGPYHTSSSQEPHSMGRPSLDNLKSDNSKSIDADVKHNQYGLEHGHHDLSDMHSLNEEPSLNCSAEAPGVLGDPLHRKNTRHVPETSPLGRARSTSSQTQEMVNLNSDKTNCSQISHSGVNPVLEKDTLQTVEDTKQPQSPNSNVSPVQLPTQLADAKFEANASSGRSMNSLSGEDEHVHLSNLLTNGRNSGYYLGMSSADAPLGHPPPDTHNEMLKGNQASELVNCHSGEWGDDVHVNRAHYSSTGSKKDQSLATKVISSVSSGRTRKILETERNVTSSDKCSGILWQEANVTLTYSYMISNLSGIEEETPVENDVPINAEAGIRENVEHIKSSQHSLQYVLRSSVSYEKNNQLQADGRNGRKRSIADGVQVNGGSSSKRKRVKCQDITLFNSSRTNSLSLNHQDGIGSHVVTAENLSGRSRPSGRNLLRSSGFNELMCLKSKAKNAATKSKNSVASDALENGDTFPELKKHSHASDVAICNSSREEALSPNFNHNNSSRSAVERTDFRNSQPRFQNNFDMSAPPALPCCSSITPDMEECHAKEENLCLEGQRLSANISSAEHQDMAIQADEMLYYSGTGSLTILPSHTLDQHVKQASDLVASAHKKLRFESGVKHDTGCETEDSVRFLLSDATMPMQKGDESVDCNNEMPEFERFDVSLPSVSPATEKRTFEALCDSRRFGTLSSDISCKVNTVTGMHQIVAPMSKKATSFSFSGDVRQYSTSSDGSIADIFGACGFGISGSFLPSDDAASCSSNDSDKHMSGENPLTPAVEKHSLGKLSTRVGSVSEHMGSIPELSCFRIDEDSGIDEENEYQDVLPESIGNKGQSDRTALQDITGLCQNTENSALHSLGFMDTANRDLITETRGSKLNQNSGLRNGHNYKKPKEKSVSLVKREGKMSHSLRNRLSKTEVTDNRNQRNTSDANLGRRSKPSNIVANVSSFIPLVKTKQQFTTACVKKDVRVKALEAAEAAKRLEEKKQNEREMRKAAAKLEREKIKQEKESKQKQEQEQKKKRDTDMATRKRQRDEEERREKERKRRCAEEARKQQKQPIERRHADDEKDAHPKASDNKALRKNLVEAVKGEVKPGETIYLGNKATTSNTDMVVDERPSSLGSHGTGNIPNHLDESYVMTPYKDSDDEDEDEEASRRRKKLKPSWVRKQMFGGT >Et_9B_066248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8576453:8577999:1 gene:Et_9B_066248 transcript:Et_9B_066248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVDHEYSYLFKMVLIGDSGVGKSNILSRFTRNHFSLDSKSTIGVEFATKSLQMEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQSFDNVHRWLRELRDHADSSIVIMMVGNKSDLTHLRAVSEDEGKALAEKEGLFFLETSAMEAVNVEEAFQTIITEVYGIVNRKALAAKEAAASAAPLPSQGKTISIDNTAGNTKRACCST >Et_7A_050394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12702850:12704013:-1 gene:Et_7A_050394 transcript:Et_7A_050394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAADAAAAVAAAAADQDAVYCSEHPYPPGAAAAAGAGAGGICAFCLQEKLGMLVSSSKSSPFHPPPPPVSASPSSPPSASAAAASHKAMTLPPSSQKTKSSSSSSAAPAVGLKRSKSVTPRPEEPLPAPAITADSPRKKSFWSFFHLSSSSGSSHHKSASAAAATANGGVGAARRNSVSVASASSASLGGRLEAIAEPESPGRRSEGSSSFGRKVARSRSVGCGSRSFSGDFLERLSTGFGDCALRRVESHREPKPGKAPAGAALGHHHLDEDEREHHRIKCAGFFGGGIGAAQPPSSSYWLSAPDGGASGGGGAGASAKARSHRSWAWALASPMRALRPASSSSSKSIMAAPHGRGVVGNNGMPSMAAVATS >Et_8A_058286.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4228253:4228684:-1 gene:Et_8A_058286 transcript:Et_8A_058286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSTPCLLAFLLLAVAHATVAAAAPDPTGVTAAEAPGYNRHELILSRKKYETLAPAAAGGEAVPSAVAGCVKTVVETSSPCARDVLLTLVFGTLHLSQGCCAVLAGVGQKCVADVVSAVPQLGPTLLPVVNRICGLVATFF >Et_3A_025513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30427930:30432678:1 gene:Et_3A_025513 transcript:Et_3A_025513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRVVGGVVSAGRPNPGSRRGRGRGVASVPSRLRAISVHPAVSLRPPDRKRRRSRGTGAMKITALLVLKPSSSGAGGSSSTSSGGGSGPEALVLANATDVSHFGYFQRSAAREFIVFVARTVAQRTPPGQRQSVQHEEYKVHSYNRNGLCAVAFMDDHYPVRSAFSLLNKVLDEYQKAFGDSWKSATADGTQPWPFLTDALTKFQDPAEADKLMKIQRDLDETKIILHKTIESVLQRGERLDSLVEKSSDLSAASQMFYKQAKKTNSCCTIL >Et_10B_003797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6219265:6223476:-1 gene:Et_10B_003797 transcript:Et_10B_003797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AVKGPMPVGSAGPNGIASEESKAVVAENGKMVDVQDKEVSMEGLCSISAYDQWVPLSVSGQLPRSRYKHGAAVVQQKMYVFGGNHNGRYLGDIQVLDVKSLSWSKLEAKSRSGHSESAGTDSIAACAGHSLISLGNKIICLAGHSRERTESLSVKEFNPQTCTWSTLRTYGKPPSSRGGQSVTRVGDTLVVFGGEGDGRSLLNDLHILDLETMTWDEFETTGTPPSPRSDHAAACYAERYLLVFGGGSHSTCFSDLHLLDMHTMEWSRPEQQGIAPDPRAGHAGVTVGEYWFITGGGNNKKGASDTLILNMSTYVWSVVTSLEGRGPPTSEGSSLVCHTMNGENFLLSFGGYSGRYSNEVYVLKPSVRPTAPSQQIKEHETNGIAPISMAASASRKVIFEIEELQDEQHSNGTDISKTLLQTVKGEREKVEDMLNQERLQRLHLKQELADMEGKNAELELDLVRDQLSVEGARVSKLENEISELRQRLQKMNALEYEFELLRREIDNASEDAALSNNQSARGGGFWRWNG >Et_10A_001605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5975344:5977386:1 gene:Et_10A_001605 transcript:Et_10A_001605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDASGKSPQASTVTTIPRTACWRRSSTKVRSTLRPFPSAGEYPKDRSNSSRSRSDASHGRVAHSGGDSGHENGGGAGGNFEFHREVRAAGAVAPFFRQNDAEKWIAGRHVVHSNPIFSKKLAAAPPPHGRVTPESAASKGGDDQSAAAASAVTELSSPKSSSPSSSVSGPAASRPPPHKKLRAAAAAAAAQSVSMRDVGTETTPIASGAAPPPSLLSPLCSVPSSPRSGGGGGVVLRLRLRTRREIAALGLQLGKMNIASWANMDEGLLLTAQAAAPADVPGYIGDELKTKEFEARAAAWEESQNCKLASRHQKKEVKIQEWESCQNFKFEAKMKQAEVKAEKMRAQAKQDLAKRLSVLSHKVEGKQARADARRSRQAARQVERIRPGSGGAAPGSSDQQLQSLQTRLCSLPLVRSLLQ >Et_1A_004537.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:13894644:13895902:-1 gene:Et_1A_004537 transcript:Et_1A_004537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GLHGFVIKEGFESQENLAASLVKLYAKFGDLLYAKEVFDSFCCKNVVLWTSMLNGFVECGYPEKALATFYDMLRANVEPNRATILAVLSACASMGSANICEKVEEHVIALGLQTDLQVSTGLVDMHCKCGSIQCARRIFDDISSRDLALWSAMINGYACNGEGSEAVALFKEMQNRGVRPDAIVFTHVLTACNHSGLVDEGIHCFQSMTAEYDIEPSIEHYMCMVDLLCKAGHLSSAMKFFRQMPVHLRNQVFAPLISAHNAHGANPSTEFSSEELWNLDPQDSGHCVQMSNILSCLGEWKKARSYRRLINMQGLVKEPGWTCIEQHG >Et_10A_001420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:302790:307369:1 gene:Et_10A_001420 transcript:Et_10A_001420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQRSSLSPREMRLSSQVVEMVGGRRRLSLVAALLLVAAAAATRSDAGVTSAYRRKLEATVDMPLDADVFRVPPGYNAPQQVHITVGDQTGTAMIVSWVTENELGNSTVMYGAAPDKLEMRAHGTHTRYEYFNYTSGFIHHCTLKNLKHSTKYYYAMGFGHTVRTFWFTTPPKPGPDVPIKFGLIGDLGQTFDSNRTLSHYEENGGDAVLFMGDLSYADNYPLHDNKRWDTWARFVERSVAYQPWIWTAGNHELDYAPEIGEETPFKPFTHRYPTPYRASGSTQPFWYSVKLASAHVVVLASYSAYGKYTPQWTWLQQELKLVNRTETPWLVVLMHSPWYNSNGYHYMEGETMRVQFERWLVDAKADLVLAGHVHAYERSHRVSNVAYDIVNGKATPVADKNAPVYVTIGDGGNIEGIANNFTKPQPAYSAFREASFGHATLDIKNRTHAYYAWHRNQDGAKVVADGVWLTNRYWMPTNDDDSS >Et_9B_064293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13662825:13664143:-1 gene:Et_9B_064293 transcript:Et_9B_064293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLCGFDVYVNMVLEDVTEYEYTPEGRRITKLDQILLNGNNIAILVPGGSPPDV >Et_9B_064707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17886095:17893328:-1 gene:Et_9B_064707 transcript:Et_9B_064707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKRAVLVGINYPGTKAELKGCHNDVDRMRRSLVDRFGFDESDIRVLVDADGTGARSLPTGANIRRELARLVGDARPGDLLFFHYSGHGTRLPAETGQDDDTGYDECIVPCDMNLITDQDFTELVQKVPNGCLFTIVSDSCHSGGLLDKTKEQIGHSTKQNKSQHREREEPVPVTGFRSFLKETVRDVFESQGIHILHRGHRQSGHGDYGDEEPRDTGAESNPDVHVKNRSLPLSTLIEMLKDKTGKDDIDVGSIRMTLFHLFGDDASPKIKKFMKVMLGKLQQGQHGGVVGVVGALALEFLKAKLEGDPEEELGKLQPAMNQDVDSDQEAYAGTTARVPSNGVLISGCQTDQTSADATTPKGASFGALSNAIQAILAEQGTVTNKELVLKARKMLSKQGYTQQPGLYCSDEHASHPNHSRRNANRHLILGWALRRPPTNAPIHAAAGIASRGGSEMGRKRAVLVGINYHGTDGEVELKGCHNDVARMRCCLVDRFGFDESDIRVLVDADPAEPQPTGANIRRELERLVTDARPGDALFFHFSGHGYRSPAETGQDDDTGYDECILPSDGNQIEDQYLKELVAKVPDGCLFTIVLDSCHSGGMIEKAKEQIGNSTRQNKIQHPEAQTRPRFGTSLLGMVQGMFESLGIHFRRRGHQQSSQSQSEAPEQDAKAEVIKHASIKNRSLPLSTYIKMLKEKTGEEDVDVGSIRTTLFQHFGDDASPKVKEFVKVMAGQGALKRAVEQAARGVKQVYAGTPASVLVPRNGVLVSGCQTDQIAGDATKDGVSYGLLSDAIQTILAQKQGTGAGARMTSTPMRPSYCGGRRRHLVDACAMGQKRAVLVGINYPGTDGELKGCLNDVARMRRCLVDRFGFDEAGIRVLADADPSTPPPTGANIRLELERLVADARPGDVLFFHYSGHGLQLPAETGEDDDTGYDECIVPCDLNLIKDQDFTELVAKVPDGCLFTMVSDSCHSGGLIDKTKEQIGNSTKQNKIQLREREMRPQSGAGLCSSFLRIVRGVFESLGIHLSRRANKQSSSNAQSWGQEPDTKTKAGITNRSLPLSTFIKMLRDKTGKHDVGVGSIRTTLFHHFGDEASPKIKRFVKVMVSKLRHDGKLDGKEAVHPHALREAPEQDAQSVQEVYAARATVPRNGVLISGCQTDETSADATTPDGTSYGALSNAIQSILGGRKRGAAVSNRELVIKARELLSKQGYTQHPGLYCRDEHASMPFIC >Et_4A_035545.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28414233:28414943:-1 gene:Et_4A_035545 transcript:Et_4A_035545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAASSTAAFAASKPRLPRARLSVVCSASGSDNNANTSSSNSLSFPSSIKTFSAALALSSVLLSSAATSPPPAAADIAGLTPCKESKAFAKREKNSIKKLTQSLNKYAPDSAPALAINATIEKTKRRFENYGKFGLLCGSDGLPHLIVNGDQRHWGEFITPGLLFLYIAGWIGWVGRSYLIAIRDEKKPAMREIIIDVELATRLLPRGFIWPVAAYRELINGELVVDDADIGYY >Et_3B_029836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28393881:28401744:1 gene:Et_3B_029836 transcript:Et_3B_029836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEEVRGRERGELRARRFEATARARRAGLLTLSNRKEFATPHHGAVNSLQVDLTEGRYLLSGASDGSAAVFDVWNATEYEAGFIAKHRNILLVDKQHENGHKFAVSAAIWYPVDTGLFVTASYDQFVKVWDTNSTQVVMDFKMPGKVYTAAMSPVATTHMLIATGSADVQVRLCDIASGAFTHTLSGHRDGVMSLEWSASSEWILMSGGCDGAIRFWDIRRAGCFRVLDQSRSQLGRRPPLLERTTEKDRMDSVGPSPSARSYSAQRRTGKAKHLPTTRKNQNPTHGQMQQRLHPGLSSSQNRATAHYGAVTGLRTTKDGMYLLSSGSDSRLRLWDIDSGCNTLVNYEAMRLQTGKPLQLAVTDDPSVVFIPCMASIKAYNLWSGTSFRTFRGHYELVNCCYYSAQDQELYTGSNDRQILVWSPSTPAFTEMEDDDKRHGLSAVDEDNWRLLLHDSTPDITEQESELEIGSFLFFFLPLSPFDIPSQVAVDEDVIGNVASVATILRLASDTVQAVWSTG >Et_2B_021713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4758583:4763012:-1 gene:Et_2B_021713 transcript:Et_2B_021713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNEVIPLLTPHKMGQFQLSHRVVLAPLTRCRSYGNVPQPHAAVYYSQRATKGGLLIAEATDISPTAQGYPETPGICTDKQIAPDAVSGMVYSKPRRLRTDEIPGIVDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSTNDRDDEYGGSLENRCRFAVEVIDAVVREVGAQRVGIRLSPFVDFMDCVDSDPVALGNYMIQQLNKHEGFLYCHMVEPRMAIVDGRRQIPHRLLPFRKAFNGTFIAAGGYDREEGNKVVAEGYTDLVAYGRLFLANPDLPRRFELDAPLNKYDRSTFYTQDPPENEPLDQSGRGRWSIRRWYKMGQFELSHWVVLAPVTRCRSYGNVPQPHAAVYYSQRATRGGLLISEATGVSATAQGYPETPGIWTQEQVEAWKPIVDAVHRKGGVFFCQIWHVGGVSTNDLQPDGQAPISSTDKQITPDAESGMVYSKPRRLRTDEIPGIVDDFRRAARNATEAGFDGVEIHGAHGYLLEQFMKDSANDRDDEYGGSLENRCRFAVEVIDAVVREVGAHRVGIRLSPFVDYMDCVDSDPLSLGNYMVQQLNRHEGFLYCHMVEPRMAIVDGRRQIPHRLLPFRKAFKGTFIAAGGYGREEGNKVVAEGYADLVAYGRLFLANPDLPRRFELGAPLNKYDRSTFYTQDPVVGYTNYPFLKDDSKDSNTHA >Et_3B_031053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12666146:12675129:1 gene:Et_3B_031053 transcript:Et_3B_031053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAPEVPLSLSLLPADIQTEILSRVGDAVSVVRCAATCKAWRCLIKEPSFLSLLSRHRRVRGFDASALLGFFFRDASQSLPRRRLYRRRPTCFLLLGNSRQQPSAPTVLPLSHFLTTVGDLDSFAPVAFGGGGLVALCRFPCTSNQSVRICIFNPLAGTFTLLPPLPPYDFPEKIVFLEADNSSFRLLAVMNGQGTLSMRVFSSPPSENGDWETLVSPEIPLDMVLHIGSPAVVHHGAVHWICGTLTLPLAVHAVAVRLTDAGTSLSRFELPPRAGVHCLLAASTAMRLFSSAQGTLSLLLVDELVLSIWYLDDYNADIKRWSCRKAVYLMPMLPQIVSGRQVKLSIQGLCERSGFLFFQVEGEGLFKLNLEEKKLVKNVSLFRLEFHAGKERVAPFVLLNAFTRGQKPTLSAPFTSLALDSHMTTSTPALHQPPLPLKKPRGQPLQRSVACLLDRAHRRHSYEYINTRGKREYVLAQRQLGQRGFDINFSQVSFHV >Et_7A_050882.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1253445:1255706:1 gene:Et_7A_050882 transcript:Et_7A_050882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAKAKHAWWQL >Et_2B_022749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4237460:4240583:-1 gene:Et_2B_022749 transcript:Et_2B_022749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAGNAGAHSSDDLVVFIPPDDPQPRPTRQPQQQPWPNPAAEAHKPFQNPERHPASSPSRPPPSPALLRRRSSISRPKSRFVEPPTPPRSSAATAASPAHPAAAPAASAFASQTQTPRCAAVSTPHTPADPEDDEDLFRRAGAPAYASAARCRRRARVGLELAVLVLFLAVLVVSLVVRPLKGRVVWGLEIWKWCVMVITVFSGHLVSQWLVTFLVFLIERNFLLRTKVLYFVFGLKKSFQVVLWLALVLIAWSQLFDREVGRPPKTARILNYVSRFLASLLIGSVIWLAKTCLMKLIASTFHRKTFFDRIQESVFHQYVLQMLSGPPLMELAEHVGRESSGLARVSLTRTKEEKCVPEIIDVGKLRRMSQEKVSAWTMRGLITAIRSSRLSTISNTIESFNDVDGMEQKDKEINSEWEAKAAAFAIFKNVARPGYKYIEEVDLLRFFDKEEVDLVLPMFEGASETGKIKKSALRNWVVKAYLERKSLAHSLNDTKTAVMQLHNLISVIVVIIIVIITLLLMGIATTKILVVISSQLLVVVFIFGNACKTVFEALIFVFIMHPFDVGDRCVIDGIQNDNEKIYYPNSVLSTKPISNFYRSPDMYETIDFAIDVSTSVESIGALKSRIKGYLESKPTYWHPIHTVNLKDILDVNKINMALCVQHTMSFQNIREKNIRRSELVMELKKMFEEMSIQYHLLPQKVELSYVGPSPIPMANAQTR >Et_3B_030049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30029010:30031766:-1 gene:Et_3B_030049 transcript:Et_3B_030049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDFDVLAMYTGEDQEDLAAVAAKEEKQKQKEKRRQNFKFEEPPPPPLSPEEIANRQMLDKLREYDPKLGGSFYTRLWFLDFTKFDIDEETRYGPMRFTNSLIKEGHKLTDSLNVLCMKIISSDVGFPINVYGTVIIRDGLDMKCNYIFRRDRDNCQLIKSQIDLKIREGTEKDDRKFNKAFVDVDGQKIYDLFQRQIVESWLSEVELVFAYVKEGLEGTIEIKILSGPGSFVGKITACTTDLPNDEMLLYDSSVGGAVTLGADRIIQLFRRVVAVSANKMLVFKIRDGTDTRTSKFTPLINGADRDVVSCGLFKLGIEVVWSTLAL >Et_7A_051624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21569271:21569631:1 gene:Et_7A_051624 transcript:Et_7A_051624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAAAPVVFLLLLVIITAEMASVGASTHCRHLSGNFQGLCTNMFYRCTNTCLAESPNNIHGECHDFIPRCYCITECSP >Et_2A_018743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6520673:6523610:1 gene:Et_2A_018743 transcript:Et_2A_018743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAAGPSSSSGAGAPAQPPPQPPPLAPQEAVPGTGGADAGVARSCRHHAYSRKQKSLGLLCSKSVELSLSASTGFVALYDREDVEAVGLDDAAKRLGVERRRIYDIVNVLESVGILVRRAKNRYAWLGFGGVPAALKELKERALKEMSGAAVSQPLESSSTANRWLCELHVADRMLSGSVTAQVSDDEDDDKLDDADEDAESEKLSQSVDNLSDKPDAAPCTLRSDHRKEKSLGLLTQNFVKLFLTMEVETISLDEAARLLLGEGHAESNMRTKVRRLYDIANVLSSLNLIEKTHQADTRKPAFRWLGQPKRKQENCATIPLPLARKTLPNKRAFGTDLTNIDYKRGKMDYATESKGKLLQGGGNIVKAFERKLGQGSRSDFVYGPFHPASAKKQETDDRAIREKEKKTIQDWEDLAVSFRPQYQNQAINDLFGHYVEAWKTWYKDLTRETS >Et_5A_041672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25686663:25693578:-1 gene:Et_5A_041672 transcript:Et_5A_041672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGAAQSLVNNVGQLLAAEYQQLSGVGGDVAELRDDLAAMNALLRMQSEARDGTVDPFVQEIMKQVRELAYDSEDRIDLYRLRIKCRPGDGVFARLKHLLQTLSSRRRLAGDVRALRARAIAISERHARYGVNREALGWSPASSAAPMLTAQLLGRANDDAGHRQVVGMEDQIDALAERLKASAGAERQRKVFSIVGFGGVGKTTLAAEVCRLLEADFPYQAFVSVSQAFEPDRDLNALLKRVLAQIVKPKEKGIVEETSLEGIDGLDDHQLAKKLEEGLKDKRYLIVIDDVWLIRAWEATQSALPENNRDSRIIVTTRMEAVAKACTTASVGEDLIHHMQPLKIEESKRLFLSRAFGSTNATYPMELEQVMDKIIKKCGGLPLAIVSIASVLAGFKSPDHTNKWETICRSIGSQMESNPTLEGMRQIVTLSFNHLPHELKGCMMYFSNFPEDYAVRKDRLLYRWIAEGLISEKRGLTMMEVAESYLDELLSRNLIEEDRTDLLETCIRSYRVHDMLLEVMVSRSLEANFVSLQGGPYHGLSYERIRRLSIHGSVNGPYSPPNKRKVAGDRGAMEVNVQHVRSLSMFDPKGHKFLDQLGKFTLLRVLDLEDCKAVTNKHVSYACQLYLLKFLSFRGTNISMVPPQVENLKHLQTLDVSRTLLDDLPKTVTKLERLECLLLVGRDERNKWILPQDLSNMKALRELYEACLGNDIGVARDVGELEQLQRLGLIIKTSRVDVLQQLALSLSKRYSLRYLSIDRIEGENKGEPKVLNFLHDLPAPPRLLRVLEIRGDIDGLPSWVGSLAYLTDVNISSGRLDVDHLFSVLYQLPNLKTLDVWLEENSNDVVAAHTSQKFPVLSHFEFSGSLANVIRFEEGSMEMLEQIVLCIDNDEFETTIIGMEHLTSLKKVTIEGNKDSHAMNHVLGQLKAWKDGLPKRLQVAVKYY >Et_4A_031822.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:18937098:18938731:1 gene:Et_4A_031822 transcript:Et_4A_031822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAAVPGDPPATTVLDSLGEDITRIVSPVSACMLIVVLLVSLLSSPSSPSPLSASFTAATGSAAGEGGGDDIPTALITAVTFVVAVTAATFLLALLFYLRCTPCLRAYLGFSSLAVLFLLGGQVALLLISRLRFPIDAVSFAVLLPNAAGALALAALAPASVPIALHQAALVVVAVLTAFWFTLLPEWTTWTLLIAMAIYDLAAVLLPGGPLRVLLELAIERNEEIPALVYEARPVDPRHGRNWRLWREGRQSDENLDSSATVEVIGEALERNLGANSGNISPSRVDEASILPGIVNNSRPTTTLVPAASSDSPAEQAGQVSGLPEHRVAVAEMRVPLIQLQPERSGEEQEDEDGIGLSSSGAIKLGLGDFIFYSVLVGRAAMYDYMTVYACYLAIIAGLGVTLLLLAFFRRALPALPVSIALGVVFYVLTRTLLETFVMQCSTNLLMF >Et_4B_037915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24809909:24811392:1 gene:Et_4B_037915 transcript:Et_4B_037915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILNRIPPSVWPSSCGHLKPFQRSVSVSHSKSGCIAVYNSVEGQHAQKQHIIHSHKVSFTRVSHHLCKSLNERTTRHWLQRVHVNASSDGDFHSSRNIAISLFKRYKNVIDRGGGDNLKEFVSAGVNAYALGCTDEGLRKELMNIKDSGVEIEGLGSYGGGTSLKFKIHSFEVRECILWLSIVFITILCTPQPTVIRWSPTPPVSADILHQWKGFCALIANAYYVKGMAWLPVKTLQLEQMAVTGISEEPSVVASRMQLVFSTLEVVSPQWPRV >Et_4A_032641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12130965:12139104:1 gene:Et_4A_032641 transcript:Et_4A_032641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNGLEPQRFHHDQISDCGFAWDVLWPCLTDSCSMSNAKAVLEPAFQGAGNKPGTEIWRIEDFKPVLLPKSDYGKFYCGDSYIVLQTTCNKGGAYLLDIHFWIGKDSSQDEAGTAAIKTVELDTMLGGRAVQHREPQGYESDKFLSYFKPCIIPLEGGFASGFKKPEEEKFETRLYICKGKRAIRVKEVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEVIQHLKEKYHDGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKAVNDDDVVLETTPPKLYSINNGQLKSEDIVLTKSILENTKCFLLDCGAELFVWVGRVTQIEDRKTASAAVEKFIIKQNRPKTTRITQVIQGYENHTFKSKFESWPVSNVAGNASVEEGRGKVAALLKQKGDVKGASKGSAPVNDDVPPLLESGGKLEVWCINGSGKTALEKEELGKFYSGDCYVILYTYHSGDKREEFYLTYWIGKDSVSEDQETALQTSNTTWNSLKGRPVLGRIYQGKEPPQFVALFQPMVILKGGISSGYKKFVEQKGLTDETYSADGIALVRISGTSVHNNKTLQVDSVSTSLSSTECFVLQSGKLMFTWIGNSSSFEQQQWATKVAEFLKPGVAVKHCKEGTESSAFWSAIGGKQNYTSKNVAQDVFIRDPHLYTFSVTEVFNFSQDDLLTEDIMILDTLSEIFIWMGQCVETKEKQKAFEIGQKYVEHAVAFEGISTDVPLYKVIEGNEPCFFRTYFSWDNTRSVIHGNSFEKKLSVLFGMRSEGGSKSSGDGGPTQRASALAALSSALNPSSQGKQSNERPTSSGDGGPTQRASAMAALTSALNPSSKPSSPQPHSRPGQGSQRAAAVAALSNVLTAEGSSQSPSSSRTSPTEDAEKTEVAPQFEAEESPDTFTDQDAPGEGRTEPDVSQEQTANENGGETTFSYDRLISKSTNPVSGIDYKRRETYLSDSEFQTVFGMTKEEFYAQPRWKQELQKKKADLF >Et_7A_050861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11732324:11734178:-1 gene:Et_7A_050861 transcript:Et_7A_050861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKISAFFKRQANEADPNSGDERHGQRRAGAAEEKPGPKNSSGGEIRSKKRTHAQFHLELGQSDFLLHTCSVCGMVYACGNDDDEKVHKAYHKSYFEGVPFKGWRNETVIAKSEGGDRVILVTDENSHMRNSKVQEVIQVMEQELGFGEGQLLHKLCRVYLYISCQRIVGCLVTEPIKTTHKVIPCSSEESSSDFPANIKSEEMDHTLEFGKISFKSEILRKHYHDIKSKEECRDPGVIICEEEAVPAICGFRAIWVVPSRRRKRIGSKLMDVARKSFCEGQTLGLSQLAFTPPTSSGKALACRYCKTSAFLVYRNGDTYCYTSSPL >Et_9A_063040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:937356:938975:1 gene:Et_9A_063040 transcript:Et_9A_063040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FPLTLSSLSFQRPDKGSDQRKQHAEHEEQRAREKKKKESTSRMAMEPLPLFVGDGTDAALFSSLWSFQDDLQQHQQHQQESVAELKQSLVATTLELDAAKEELKKKEQSIAKLAELVRQVAKERDDARDQLQHLLLAAASTAAPAPRVTSSVTDSDCSLVSSPVDPFFDPVTSADKRHHRKPTSPAATPPTPPPPPQPARQPSSSLAGAADAVLDMLASKRPLPQKGRLLSAVMEAGPLLQNLLVAGQLPRWRNPPTVHAPDALALGARAAGYVAGGGAHALGFGNACMKRPVSMLPLTPMAANCSPGFVAKRVRLH >Et_2A_017874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7351823:7352729:-1 gene:Et_2A_017874 transcript:Et_2A_017874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAYSVALLGGARLPAVPRSGLLPRRSSVCTLRLQDAPRLSLLRTKAASSEDSSASADELIEDLKAKWDAIEDKPTVLLYGGGAIVALWLTSVVVGAINSVPLLPKILELVGLGYTGWFVYRYLLFKESRKELATDIESLKKKIAGTE >Et_3B_029788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27974297:27976678:-1 gene:Et_3B_029788 transcript:Et_3B_029788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYLFNLRELCKQVIWNRPIKNIKVLFYPFFIHTLWKYAVTHLNVPAKGYLCRSFPKLVEGRNEKNLENAARGVGRRGMGGGSGCRADLDCGVDSTADDAGVGLPGAEPHRGDLGAGVEHEVPRH >Et_4B_037528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20933151:20936817:1 gene:Et_4B_037528 transcript:Et_4B_037528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEVAVMVAGGRASAAAAPCAACKLLRRRCASGCVFAPYFPPAEPHKFANVHKVFGASNVSKLLQSSFLAMTETAAVVADGARAGVQGAGGGRATPCAACKMYRRRCAEDCVFAPYFTSSKAAQDFADVHRVFGAGRVRKLLQEIPVQHRGDAVSSLVYEANARVRDPVYGCVAAISSLQQQVETLQAQLALAQAEMVRLNMNNAYMAHRLKATRGCGGSSTNTGSPSSMSSPKTEQPEAHCKATPELLDMVVDQPYSMDDSQLWSY >Et_4A_035134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9890898:9899032:1 gene:Et_4A_035134 transcript:Et_4A_035134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KKSEISFCPSHCPKAGHLPVYSNAIPDPFTFVFKEASLGKIMSSISILHRTCLRFALLPFPPLRARAATLRPPPPPRRYLHSPRRGAMSSAASRLSHIAAAAGGGATGESNEPPPPASATAKDDDLSSGDLGYRLPPKEIQDIVDAPPLPVLSFSPNKDKILFLKRRALPPLSDLAKPEEKLAGVRIDGNSNTRSRMSFYTGIGIHKLLNDGTLSPEKEVHGYPEGARINFVTWSQDGRHLAFSVRVDEVEDNKSGKLRIWVADVESGEARPLFKSPEIYLNAIFDSFVWVNNCTLLVCTIPVTRGAPPQKPSVPSGPKIQSNETKNVVQVRTFQDLLKDEYDADLFDYYTTSQLMLASLDGTARPIGPPAVYTSIDPSPDDKYLMISSIHRPYSYIVPCGRFPKKVELWTVDGKFIRELCDLPLAEDIPITTSSVRKGKRSIYWRPDKPSTLYWVETQDGGDAKVEVSPRDIVYMENAEPINGEQPEILHKLDLRYAGTSWCDESLALVYESWYKTRKTRTWVVSPDKKDISPRILFDRSSEDVYSDPGSPMMRRTDMGTYVIAKIKKQDGSTYVLLNGMGATPEGNVPFLDLFDIDTGNKERIWESDKEKYYESVVALMSDKTDGELALDELKILTSKESKTENTQYYLQTWPDKKQVKITNFPHPYPQLASLYKEMIRYKRKDGVQLTANLYLPPGYDPSKDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFPGIGATSPLLWLARGFAILSGPTIPIIGEGDEEANDRYVEQLVASAEAAVEEVVRRGVAHPDKIAIGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATSTYVEMSPFMSANKIKKPILLIHGEQDNNSGTLTMQSDRFFNALKGHGAQSRLVILPFESHGYSARESIMHVLWETDRWLENYCVNVTSKVDTDSAAVSESKTVSAGGGGAAHESLSLEGHSYVPRSLLWELSTLHGSIFTSKGR >Et_8B_059708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20931081:20937353:1 gene:Et_8B_059708 transcript:Et_8B_059708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSPPPPPPRVGEEWEEATGESSPSSRSPCVASQQELHELQGRIHERLRLTGRHEQTLAAPSFKRRLYRHLQRLPQRYLADHDVDGKAEDVLLHWGILDECADPDKRPVFHARYLESITVRADYDGSYQELDEPCQKLEDLSLERRKAGDANDSSLSISSRGDLKTLLLHEIIFSSLDRPKLLSRLTALLSEVDLNIREAHVYSTNDGFCLDVFLVDGWETEETDELIEVIKETLTQKNASQSDSTNSSTPEKVLDLQQKIGDSEIDMSKLTKGEKIASGSSADLSVNHENILQFYGACTRRPNYCIVTEYMPGGNLYDFLHKQHNFLDLLTILKIAMSISKGMDYLHQNNIIHRDLKTANLLIGYDQVVKIADFGVARLGNQEGQMTAETGTYRWMAPEVPYDNMTPLQAALGVRQGLRLDIPASVHPRLSKLIQRCWNEDPCSRPPFAEIIVELENILQHVQKTPKGASRRSRAKVQKKSDATARMD >Et_8A_057180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22033611:22036667:1 gene:Et_8A_057180 transcript:Et_8A_057180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTCDGVTLASHSQPFAPSPWGDFFLNHRPCPPSQVLLSMKEKAHATKEKVRKIILEADDSYDLIRKLELVDTLQRIGVDYHFKEEIDVLLRHVYDDGDGGSDDLYATSLQFYLLRKHGYTVSADVFLKFGDEQGNVSSNDVKCLMMLYDAAYMRTHGEHILDNVITFNKSRLQSLMETHLEPDLEEEVWFTLETPRFRRVKRIEARRYITVYEKKAGRDETVLELAKLDYNILQGLYCKELKELTIWWKDFQIQANISSYTRDRMVEMHFWMLGLFFEPQYSYSRKMLTQLFMIVSVLDDLYDNCCTTEDGGAFAAALQRWDEAAAEQCPAYLRTLYINILTTVKAIEEDLKLQDNKNAKLVKRLMIDMAKCYHAETEWHDKKYVPATVEEHLKISARSCGCMHVTGQGFISMGDVATAEAIEWAFAYPKIIRAVCIIARLANDIMSHKREQESKNIVTTVQACAKEHGFTIEEAIEKLRELIDEAWMDIVEECVRQPQPMALLETAVNLARTMDFLYKDVDGYTDSHSIKDTLGSLYMNCPLIPSTPAREVLKTEPNNLSTTAFAMRFSSTTVSQR >Et_2A_018387.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:2617271:2618038:1 gene:Et_2A_018387 transcript:Et_2A_018387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGMVKNMVALQSLVHVLVKDQSLVLREIGLLRKLRKLNVLFRNVDANWKAFAESLGKLSSSLRSLSIHILDEKEYSSSLDILAPVESPPLLLTNFSLTGKLESLPPWITSLRSVSRLTLRSTGLHARAIEILGDLPNLLFLKLYHKSYADDSIVLPLGKFAKLSLLVIDNLENIDRVHFEEGSVLNLERLTLSFLREPKDGISGLNNLPKLKEIEFFGNIILSIVHKVVSCVKTHPNHPRVVGDKWSIVTKYA >Et_2B_019867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14759550:14762412:-1 gene:Et_2B_019867 transcript:Et_2B_019867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILPRTTRRTAAALLPRSATPRNAPLLARFLGGVSADCSTSAGIASVEFVPWHNGGGILHRSASVDPTAVVEAGAVVHSGAVLGREVVVGSGAVVGPSVSVEQSTRIGYNVVLTNCSVGEFCTIHNGACIGQDGFGFFVDEEGHVKKKPQMLYARIGDHVEIGANTCIDRGSWRETVIGDHTKIDNLVQIGHNVVSGKCCIICGQVGIAGSVTLGDYVTLGGRVAIRDHVSIASKVRLAANSSVTKDIQKPGDYGGFPAVPINEWRRQTANLRLFSKKDGEGR >Et_10B_002395.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17187058:17190418:-1 gene:Et_10B_002395 transcript:Et_10B_002395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNQNNSRGQSANLSSNPLYFQFGSDNPLLGMGMQQPFPPFTSPFGASSSTNIPQMDWNPGTMLDNLTFIEEKIRQVKDVIRTMVDNSGQLPYRPGELAQQQQAVNADLTCLIVQLISTAGSLLPSLKNSSFLSHPPAGHMDMANHVGSSSSMVPNTTVHSEGINEDLCSPDEYEELFKGFTDGSVEGTEIDNVHVEKQDTNDGDEGGDAGMDGDNLPPGSYELLQLEKDEILAPHTHFCSICGKGFKRDANLRMHMRGHGDEYKSPAALAKPPRDASAEHAPVKRYSCPFIGCKRNKLHKNFQPLKTILCVKNHYKRSHCEKSYTCSRCHTKKFSVMADLKTHEKHCGRDKWLCSCGTSFSRKDKLFAHVALFQGHTPALPSEEPKDSSYQVGLLGIHQEPAKLGSFMWGNSSGDDSVLDVKGLDSCSDDFLSTANFGSFNFNFGQLDEFVGNPSDGSFAVLASDGFQSAQKKGEN >Et_9A_061106.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16137736:16138104:-1 gene:Et_9A_061106 transcript:Et_9A_061106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALARFAVLRKTFDIYSSLVVELGKCGRCLELGLLPRPWRNSGISIYWDRRWAAKERNQQSLPQSCGTYGSAAMRRSSKIICKSCPCFFAARLMISSYGLTGQIRTAQRRLSTLGRIILSI >Et_4B_037312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1787057:1790582:1 gene:Et_4B_037312 transcript:Et_4B_037312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLGCFGGAKERRRRRKRSPAQSPNGRARAAPRISPKRIDLDGDTVSAAAPLLGTLLELRDSTDDLCLAVVKKKVTFDPNEEACESLFLLSIGKASKESSDLETEPGVSAPEGNRSDDNSVLSSVENLTQWKEPKSRAIPAPKSSDKENVMLGHENRMGLLTEPAIAAKKEERPMVSDYSYSPSTPSKREASVDASLSTWLGSTGTPESNSVWSYSPISREDRPILGALTVEDIKISSANSSPRRSRSPSPSPDDMPILGTVGAYWNSSAKGDDAVTRGGFMRTRTRFGQLPPLGKLKCAFQEVAGDLVVSVAEHANDGVWSLSVGTRPRGLARCNAELLHELLRRLSHERDDAFRRLGLGLDHVLGHQALAARAEGALQASEVLLDHGVVLDREAPVAAAGEQDDQERLERGLHHLAHGHRLRAVDEDGDEQRHVLLQRPERRADARVGDAPGVEPVVEGVVRVQEDEAVRGDLGDAADGGLCRGPGVVEVGGRREAAVGRGRRRAGAPAAGEEGERLVERLDVAEQVVEGVEADVEALRAAARGRARVVPARGREALAVGHAAARAHGRPARRRCAFPEPDDLGVLVAPRQGWQ >Et_3A_025566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30847672:30855358:1 gene:Et_3A_025566 transcript:Et_3A_025566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVGGVAGRSPVSDRRMVLYDRPNALVPSGAPGEPLDDMVCTYHCRQMVKSEFMVALSTAEKQVQEYQAKLGALEEQLSKNEDERVQFQDKLNYVEQELAATKGRESALQERLLKELGDYQERYRDQVKKINELEAQLNKEIESRISAESSASSAKESIKDLERNLQQLSESSEREKKSLKKEFSYLKDDLTLSVSKLTAELERTRLRAENSESEAKLLNEQLVDLKKQLDECLREKNEMEHKLLKSSASSVLRDPTDDQKLIKLLQEELRNFENEVCEARRLKSSHTNAELLKEKLLEEQGRRERAELELSKLQEIEAKAHKLELELASYTALLSSIPDVSSIGDIPQKIADLQKEALTNLNKVGEVRSQLKELEVALEFADLSKQHAEGEATLAKERAESATREVKRLEHMLAVVSEERDRLRKDQATPTKQKPVDDTPFKNTGSGLSGMDKLIKELESTIHDQKEVINHNHAELNIMNERLNLEARKVKSLEREGDQLRSQVALLESKLGHGDYSASSTKVLRMVNTLAVEGEAKQTIEALQAELKKTKERLQAVEELKGQADAGTVVDANIAEKLAQLKNQIATLEKREERYKAVFAERISVFRKACCSLFGYKIVMNDQQQSNGIPVTRFILQSVYAQSDDEKLEFDYESGSTNIVVNDYTSQQEIAQQVEIFIRKMNSIPAFTANLTMESFNKRSIC >Et_2B_021575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3268075:3269007:-1 gene:Et_2B_021575 transcript:Et_2B_021575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVFRHVPRNMLHLLEANRSAFTIASTMQPMTNKDIFYIFRGIEQHRSGTFSFARRKSKTCKERRAVGQVSRAARAPTSVGVASYQRRAG >Et_9A_062997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:820115:820619:1 gene:Et_9A_062997 transcript:Et_9A_062997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ANAASGMAVDDECMLKFLELKAKRTHRFIIYKIDEKKKMVVVDKVGEPVLNYDDFAASLPANECRYAIFDYDFVTEENCQKSKIFFIAWSPDTSRVRSKMIYASSKERFKRELDGIQVELQATDQGEVGLDVIKGRAN >Et_2B_021238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27798230:27800097:1 gene:Et_2B_021238 transcript:Et_2B_021238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFTVTKLSEGPVRPSAATPSETLPLAWVDRYPTHRGLVESTHVYRAAAVVVAANHQLPAPEKAQPKSPAAVVRGALADALVHYYPFAGRIVDDVPGRPAVRCSGEGVYFVEAAANCTLADVNFLERPLLLAKEQLVPYPTPDQWQVEPHNSLAMIQVTTFTCGGFVIGLRTNHAVADGTGAAQFLNAVGDLARGLPEPRVKPVWARDRFPDPDIKPGPLPELPALALEYIAFDFPVAYLDRVKAQYAEFTGGKLCSGFDIVIAKLWQCRTRAIDARAPSTDVKLCFFASARHVLKLEPGYYGNAIFPVKVSAPAEKVAASSVTEIVGMVREAKRRMADECLSWAQGRTGGRDPFQMTFNYESVYVSDWSKLGFNDVDYGYGTPMAAGPLVNCDLIASVIVMRAPAPLAGTRLLASCVTKEHADDFASRMREDLV >Et_6A_047521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6956044:6957964:1 gene:Et_6A_047521 transcript:Et_6A_047521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSVQPLELRFNFKTPHVLGFSLMHLTNNTDDAVAFRIVTKGREKYFPGPVGGLVLPRSMYAFRVTMLLPPEDDNRFTIKLESTIAGNQYKRPEVEGSGSADDYNCLFTEAKNMGRKVQQAKLLFTVAHEDCFDASESIATMGL >Et_2B_022409.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20671214:20672695:-1 gene:Et_2B_022409 transcript:Et_2B_022409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSAAVSRETFRAHEPPHVAVFPLMAMGHTMPLLDLACLLRRRGLADVTFFTTPGNAAFVRAGLARGGADDAAVVELPFPGGHAPAATGAAGESAEGVASASSFAAFAEATSLLRPRFEEALRAMRPPARVLVADGFLYWAHASAAALGVPSVSFLGTSAFAHVVREACVRDRPGAAAPPRGRGGDCGVSAAPEAATYTVPEFPHLQFPLLDLVPPPAQMIELDAKMAAAVAASHGMVLNTFHDLEGRYIEHWKRHMGLRVWPVGPLCSVRQPSSVAEADIKPIWMRWLDEKAAAGRPVLYIALGTLASIPEVQLKEVAEGLEQAGVDFLWAVRPNNVDLGIGYEERVKGRGMVVRDWVDQCEILRHHSVKGFLSHCGWNSVLESVTAGVALAAWPIGFEQPMNAKFVVDELKVGVRVHTRDGTLGGLVKSEEVARAVRELMYGEAGAVMAENVARIAAQARLAVSDGGSSWKAVEEMINELCRTNIAGKQ >Et_5A_042954.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:7672303:7672839:-1 gene:Et_5A_042954 transcript:Et_5A_042954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDPSMWWKLLFFCPDTISSTRMPKLYTSDRTEKRPSMAYSGDMYPSVPTTRFVFTCPFCSPPKIRAKPKSEILGFMSASRRMLLALRSRCTTRSRESRCRYMRPRAMPSMMWNLVFQSNSSRPAGSKRKASRLLLGMNSYTKIFSSPWRQHPSSLTRLRCCSFAISITSFFNSCRP >Et_4A_034123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30023414:30027767:-1 gene:Et_4A_034123 transcript:Et_4A_034123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAKGNLWEIQARDVEAAGLATADAAAFLAALRSAAGGAADEAAAWAAVVAAGVLRPEHPHTLHQLVYYSVYAGWDRAARGPPPFWFPSPVDCKQTNLGRLMEANGPKLLGPAYKDPISSFNLFHKFSVENQEVYWSMVIKELSVKFQQKPKSILDTSDRSKKGGTWFPGAVLNIAECCLLPWPSQNKTDDSTAIVWRDEGLDDYPVNRMTVANALDTMFQKGDRIAIDMPMTCDAVIIYLAIILGGFVVVSIADSFAPQEIGSRMGISEAAAIFTQDFIIRGGKKVQLYSRVVQGTSSKAIVIPATGGSLGVTLRSGDMSWEDFLSRVAGRSSLYSPVYQSADALTNILFSSGTTGEPKAIPWTQLAPIRCGSDTWAHLDVRPQDIGCWPTNLGWVMGPIILYSCFLTGATLALYHGSPLGRGFCKFVQDAGVTILGSVPSLVKSWKAGNCAKGLDWTKIRVLGTTGEASDIDDNLWLSSRASYKPIVECCGGTELASSYIQGSLLRPQAFGTFSGASMSTGFVILDEQGNPYPDDLPCAGEVGLFPIYFGATDRLLNADNNKVYFDGMPMYKGRQLRRHGDIIQRTVGGYYIVQGRADDTMNLGGIKTSSVEIERVCNRADEGLLETAAVSIKPAGGGPEQLAILAVLKDKSASYDVNLLKSKFQRAIQKNLNPLFKVRYVKIVPEFPRTASNKLLRRVLRDQLKQELSSRSKL >Et_1A_007203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31999196:32002067:1 gene:Et_1A_007203 transcript:Et_1A_007203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRPCNDGLCGEYCKFQQYDDDLAASQTELCGYPPQCRQHLIMYLHQPCNDGLCGELCKFQQYDDGKCSDNGCCCTMIPCNRKPSASSAQEANKESINKSFMAGATVSAEDVPPEQRVDPAGCEALGASEARRLREVARHAEVGGDASQPDAAQVPAAAVLRHVLGVEHPAAEELPHLRHERVPRLRQRPAVGEHLDEVREVVGAAERRPRQLLPLRRQHGEELGLERRRGHAVRARQVEVDALRPQERGGLGHVAVAARVEVGEVDLPRELDRAAGKVHAAGQVPTRVAEGHAELHDGELVHVRLHQRVPLVGGELALALSGGRAVDRARVLRVHDDDREAREEGADQLQLRREVGRRDGPHLDVHHRGRRRRQRADSGAAVVRDGPPRRRRRKPADRRRDERDGAVRQRRRRVRERRRRVPEHGLQGFLLLDAKLRTTSRSRRHLCSS >Et_3A_025755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32572752:32574451:-1 gene:Et_3A_025755 transcript:Et_3A_025755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCRRSSINRPPTPSADEDGEKEPSLRQIIDIKLVESGEKEKLMELLRERLVECGWRDDMKALCRAYARKKGRNNVTVDDLIHVITPKGRASVPDSVKAELLQRIRSFLMSSALR >Et_2A_016601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26491794:26498408:1 gene:Et_2A_016601 transcript:Et_2A_016601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TCHQELCFKVQGESEHIYMETTALSVGKSVLNGALGYAKSAFAEEVALQLGIQRDHAFIADELEMMRSFMMTAHGERDDNKVNKTWVKQVRDTAYDVEDSLQDFAVRLDKTSRWNILCTLLERRRVAKQMKELRAKVEDVSQRSFRYRLINGSGSKAAVAEQSSSIAAAMFGIDNARRAAKQDNQRVHLVQLISEEDKDPKVIAVWGTSGNVGQASIIREAYKHPDVQSKFPVRAWVRVMDPFSLKGFIQSLVNQFHARSAKGIEDLLKAEKIEQDLDQIFNGYINDDRVGPDCNLLPKYQERKPNHSVNSARPEGQASELNQLSADQTLYAFYDKGYKNGKDSVETMSSSHATTSSTNDHSMAEIIEDQSEDADEKNVKKSFKCIKTNAGALQESQLIGREKEIAEINELISSKDGQQVRVISVYGMGGLGKTTLVDVVYQIQKISDRFERCVFVTIVRPFDLSELLRSLVVRLQEASSKKDELMDRVVMKKTWAMMGVEELTKEFARLIGTKSCLIVLDDLSFITEWDLIRPVVLAMEKTSRIIVTTRHEDIAKHCSGEHGKIHNMKVLEHKEAMFLFNEKVFGKAKDLAKGNPELVKEAEQILKKCGGLSLAIVSIGGFLANQPKTPVEWRKLNENISAELEMNPELGMIKAVLEKSYDGLPYHLKSCFLYLSIFPEDHTICHRRLVRRWTAEGYSAEMRGKSSNEIADGYFTELKNRSMILPSQKSVDSRKPADSCKVHDLIREIAISKSMEENLVFRLEEGCSLNTHGAIRHLAVSSNWMGDQSEFESIVDLSRIRSLSVFGKWRPFYISDKMRFLRVLDLEGVKDLVAHHLEHIGKLLHLKYLSLRNCDEIFGLPYSLGNLRQLETLDIRDTAIMTLPKTIIKLQKLQYIHAGRKSTYVKEKRTSLRARCSDFLCLCPGLCALCCAPSILDIAAINRRDTCTFACNIAFPFLMAGIDNDVMVPRGMRKLKDLHTLRLVNVGRGSAVLQDIERLTGLRKLGVTGINNKNGQAFCSALSSLSRLESLLVWLVGKPDMCGCLDGLSLPPMNLQSLKLYGNLETLPEWIKKLKHLVKLKLVATRLLEHDVAMELLGSLPKLDILGLVGRAFQSEELHFQSRQTEIAFGSLRVLMLSDERHIKSVKFEKGAMPKLEQLLLRCMESELGFSGLEFLPSISEVQLTVSFDLDCDRIFEGSDSRTQSKIHEEELQESRRKESEYKKKLRDQLAGISRQPILTVV >Et_9A_062599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24317733:24322026:-1 gene:Et_9A_062599 transcript:Et_9A_062599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALLLRRLLPGAALPVSPSSRAVSCSRRASHSAATSNAAAAAQPEAAGAAETAAGAGEQGASAPPPAAGGRWGLLKFGALAAVSAALGGVGYVSYAYSLDEVEEKTREFRKKTAPTVPEDASEFEKFKAKAYSTAMKVPVSAIELYLDVRSTIEDHVQGFTEPTSDKLLPDLLPEERHVFTLVLDLNETLVYSDWQRERGWRTFKRPGVDAFVEHMAQFYEVVVFSDQPPMYVDPVIDRLDTKGYIRYRLSRPATKYKDGKHFRDLSKLNRNPAQVIYISAHCYDSCLQPENCVQVKPWKLENEDTQLVDLIPFLEFLAVARPPDVRNVLASYQGHDVAKEFLERSKEHQRRLNDQQRHGRFFRR >Et_5B_044955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7674108:7676019:-1 gene:Et_5B_044955 transcript:Et_5B_044955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRAHLARLLLHLRRRSPKIQLPFAAASSSSSPHGVLPGSSLWPPPSPGGVWRRAFHDGRPRGPLWRSKKLIGKEALFAIQGLKRFKGDEEKLGDFVKRHVARLLKADKLAVLGELERQEEVDLAVKMFRIIQKEDWYKPDMYMYKDLIIALAKCKKMEEAMVIWGNMRDENIFPDSQTYAEVIRGFLRYGSPSDAMNIYEDMKKSPDPPEELPFRVLLKGLLPHPLLRNRVKQDFEELFPERHIYDPPEEIFGMQ >Et_1B_011782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25968012:25972860:-1 gene:Et_1B_011782 transcript:Et_1B_011782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSATAHLVAVAALLVLLARSGEPVGLWLPPPESGSGDLGAAAHRYLTQDEHWMSQTLDHFNPTDHRQFKQRYYEFLDYFRAPNGPVFLYICGEASCNGIGNNYLAVMAKKFGAALVSPEHRYYGKSSPFESLSTENLKFLSSKQALFDLAVFRQYYQETLNAKYNRSGADNYWFVFGGSYAGALSAWFRLKFPHLTCGSLASSGVVLAVYNFTDFDKQIGDSAGPECKEALQEVTKLVDGQLQSGRNSVKQLFGAPMLENDGDFLYLLADAAAIAFQYGNPDVLCSPLVEAKKNGTDLVETFANYVKDYYIGKFGASVASYDQQYLKNTTPAESSSRLWWYQVCSEVAFFQVAPKNDSIRSAKIDARYHLDLCRNVFGEGVYPDVFMTNLYYGGTRIAGSKIVFANGSQDPWRHASKQKSSEEMPSYLIECKNCGHCSDLSGCPQAPSNIEGDSSKCSSPEALNKVRKQIVDHIDLWLSECQDQGHDKEPSLGGRWSIATL >Et_7A_053139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7906462:7909071:-1 gene:Et_7A_053139 transcript:Et_7A_053139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRCMQALVRVQARVRDQRRPLSEDSMSLLSGAAGAGPCGSSKSSYSVDTSMFWDSKYTHTQDYADRRSVDRSRDGSSFAADDWDDRPRTIEEIQAMLQTRKDAALKRERALSYAFSHQAERPSVDGTPSHFLCFSSRTIKKGD >Et_8A_056739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16093308:16104336:1 gene:Et_8A_056739 transcript:Et_8A_056739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGRTRGETGQGARAVERARGDGSSTHGGLGLRLFSVSLRLRRRSCRGAARGLVRAELALCFGVVIEESETPSPRAVVVSDLNLKSAVAVSISQASRAAVVETPFASQPPAAIQRPHPRSAMASASRLLSPAPPPAYPLRRSPINRVAVPRLRCRASAVASSATGGAALLEREGAAAVAVREFVTLGELRAAVNLRIRTFYEYAVETCGAEDHRTALADREYQALQDRISGKMINFQRVSCINGTVPLSPSIMSTEDLCSKCKFMEDGAERVVVGSLDLNQCLWLPDELTGQRPGVNEDYHTRAYLSNVCVAKELQRNGLGYALVDKSKTLAREWGITDLYVHVAINNVAGQKLYQKCGFVYESEEPAWKARFLGRPRRLLLWLDMKKGPL >Et_2A_017997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8821737:8826412:-1 gene:Et_2A_017997 transcript:Et_2A_017997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCIVLLLPIALLLLAGSSPVVAQLVEGYYSKTCPSVEAIVREEMEKIIAEPCWPAPQTSFPRLFRQGKSSCFTFIIVTFLGCDASVLLNSTEGSLAEKDAKPNKSLRGFGSVERVKAKLEAACPNTVSCADVLTLMARDAVVLAKGPFWPVALGRRDGRVSSATEAADQLPPASGDIPQLTKIFSSKGLDLKDLVSCVMLLLPVALLLVAGSSPAVAQLEIGYYSKTCPNAEAIVREEMEKIISAAPSLAGPLLRLHFHDCFVRGCDASVLLNATGGMLAEKDAKPNHSLRGFSSVERVKAKLETACPNTVSCADVLTLMARDAVVLAKGPFWSVALGRRDGTVSSATEAANELPPAFGDIPLLTKIFASKGLDLKDLVVLSGAHTLGTAHCPSYAGRLYNFSSADAADPSLDSEYADKLRTRCKSVDDKAMLSEMDPGSYKTFDTSYYRHVAKRRGLFQSDAALLTDDTTKAYVQRIATGKFDTEFFKDFSESMFKMGNVGVLTGAEGEIRKKCYVAN >Et_10B_002775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1122122:1126170:-1 gene:Et_10B_002775 transcript:Et_10B_002775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAAAAATRGCPLPADLLLEIFARSDAATLFRCSATCKQLRRDIRRPAFIHRVCHDGAAVPARLLGFLRHDFGRVPMPPASFSLAHPATHAAASLSETHLAPSLRRRRRPPRRLRRPVVARRTFSPSDSDGGAWSPVAFASHARPTLYANKQPCDNVAVLRGGFIHWLIRGDKHDYYIFTYNVLTAASGWIELPAEVPAECREVGKLHLTSSQGGGRLSLLVADKFKISVWLRLSGDDGDGSCWALQAVIDPVSSVVYERHSPYWPTGVYIVGSGARTGAVILLWHSMYLTKFEDAEKGLAVLDLETKEMHVISKKKHAFKIVSSHAERYPRPITVDIVGSGARVGAVILLPRPMYAWLEFEKVEKKLTVLDLETKEMRVVSKKQHAFLYEIDMASRACKARTRTMPPHKRARGEFAAAKATPGCPLPAELLLEIVARSDAATCKSLRRDIRRPAFIHRVCHDGAAVPARLLGFLGLGSRPRVQDAAVLLPGAPGDARGGVPLRDAPRAVPAPPLRRQPRRLRGPVVARRAHDDVRVRPHGTFFPGPPDATFVRDSLDWDGSEYDRYVLLTPADGVGGGICSSFLLLAADFSGLQEDGSRAVTVQTFSPSDSDDGGGGAWSPATFASPYSRQTWYATREPHDSVAVLRRGGLIHFLIPESYIFTYNVVTAAAGWIELPAEVPAECRAYRKLHLTSSPCGRRLSLLVADKFEVSVWMLLSGGDGDGGACCWARHAVIDTERTNAELKWHNPPWPTGVDIVGSGARSGAVILLPRSKDTWFWLKFDECAQEGLIVLDLETKEMHVVSKKNHTFVYEVDMASRLSVMENF >Et_1A_005816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14227354:14227685:-1 gene:Et_1A_005816 transcript:Et_1A_005816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMSVAVIFKRWLCGSICSVNMDTMFHQVTYMCTYITPTDVLAKFYDKCGTFAVKNPSDLLNLYNAAYFRTNGEIILDEAISFTNL >Et_5A_040840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13230330:13232381:1 gene:Et_5A_040840 transcript:Et_5A_040840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEYDPSINEFRNRPGVETRVPNFGSTKAFGHKNPNKSDWCLGKLKDALGKMGYRDEDTMYGAPYDLRYAPPVPGLTSKVYFDYFKQFMELVETATKKKNKKAIILGHSFGGKVALEFVRNTPMAWRKKYIKHLILVAPTLSEGFMQPVSNIATGSEILPIPTTTLLSTRPLWRSFEIALMNFPSPIVYGHRPLVITKQRNYSAYEMDDFLTAIGLDEGVQPFKRRAIPHMRYYKAPMVPMTSINGVGNETPLQIIYWDGDFDVSPGAVYGDGDGHINLIGMLAFDKEMRRQPSQNNMFKSVKINKAQHATIVTDDFALERVIQEILEADQNSS >Et_1B_012205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29927409:29929437:-1 gene:Et_1B_012205 transcript:Et_1B_012205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAATGLVRVEKVRGRSALTRCFARYPLKLIAPSKVGPASCDAVWLYALTYGGGIVSGDTISCTVSVGDGCTAAITTQASTKVYKAVGSKCSEQFLEAIVGQDALLVLIPDPVTCFSTARYYQKQVFQVFANSNLVIVDWFTSGRYESGEKWDFNFYKSVNHIFTGDQPIFIDSVLLEQGLNCSIAERMQECNVIAMVVLLGPKLKHIQDQMQDEVRKMMSAQLRPPTSGGSRYAMRSQPQQPQRPPLIASCSPFGRTGTGMVARVSAVNTETVYNFLRHHLAALEPILGASPYSSS >Et_6A_047850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2121635:2126273:1 gene:Et_6A_047850 transcript:Et_6A_047850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSPDRVSVGSAPKKSSSSSRGRQRNFSSSTCKDFLREFVDNELLTSSLEDWFSGHSEDCGFRKPAFDVPFDLTELQNFDYALEGVTFQQLVRMPNALHASTSEVFEATAYLALEDFLHAGIKGLWETFWGPDETMPFSVACVHSTSSKFYPAEKAISNGKLDGVCATAVLRKNSKHTQGRWDHIVVLALLRPDIGMVSAEGDQEPSPAVLGEALFFALRVLLSRSLSRSSTVLRNSDCVYLLLVDSQFGGVVKVQGDLNKLDFNLNNVYNCAADWIKNHAKITVSSVDRVWNKLGNANWGDVGTLHVLLAIFHSMIQFCGEPKYSLDELATEHSSRLQSRRSERHLDRQANGNGLFRFQQRSHSPEIVEVQEEATVDVKPQETLKLEIGSVVLMEDAYCQKGFQINDILADSDPPIYNCTPVEEPTKTYLLFQPFSFGAGMGGYEFLVPSTKADKASAGIRHGDIRPENLIRVSNGSRHPYFILNGWGHAILEDRDRPVMNLFFSSTFALQEGKLCAASDAESLIYLLYFSCGGVCPELDSVESALEWRETSWSRRVIQQKLGDVSAVLKAFADYVDSLCGTPYPMDYEIWLRRLRRTINEDHGKEIDTSKLKGKTEVHQRAAYNLHTNMNAVMH >Et_1A_008255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5695001:5699751:-1 gene:Et_1A_008255 transcript:Et_1A_008255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPALLHSHSAFLPSTSPARRASPPASLSARPCRGLVMAASSTAQATPAPAGLKEGIAGLYDESSGVWESIWGDHMHHGFYDSGEAASMADHRRAQIRMIEEALAFAAVPDDPAKKPKTIVDVGCGIGGSSRYLANKYGAQCSGITLSPVQAERGNALAAAQGLSDKVSLQVADALEQPFPDGQFDLVWSMESGEHMPDKRKFVSELARVAAPGATIIIVTWCHRNLEETETSLKSDELNLLKKICDAYYLPDWCSPSDYVNIAKSLSLEDIKTADWSENVAPFWPAVIRSALTWKGLTSLLRSGWKTIRGALVMPLMIDGYKKGLIKFTIITCRKPVA >Et_9A_062628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2531367:2532958:1 gene:Et_9A_062628 transcript:Et_9A_062628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATEERIGHVDEEEERQEQHDGLVDRGHGGVMARDQAVSKRVSRLAVEGGGGASNNKDGDAAHGRRAGGGGAGRTMPPPHAWLAIDDLKKHKHRDSNGDPEPEAEQWARLLRGGGDGARSMQQQQRRRSSFCVVRRERAAREAWLDRAWEAKRSWHQRNGGAPDADTPVVVVVGKAHAHGSSPDQAASAEHHHQQQHNHHHHQAGAVGGGGVAMDVEEVRACRDLGLELPSDCTVEIQCYGLSGASSPTDAASGSGPDSPCGVISSPGADPMDVKARLKVWAQAVALASTTHLGS >Et_1A_008272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5954998:5961487:1 gene:Et_1A_008272 transcript:Et_1A_008272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIAADERGLAAGKVGGLSVDGGGVVADEEEASPVEEVRLTVPSTDDPTLPVWTFRMWSIGLVSCALLSFLNQFFSYRTEPLSVTQITVQVASLPVGHFMARVLPRRKFRAPAMLGGGEWSLNPGPFNIKEHVLISIFANAGFAFGNGNAYAVGIIDIIRAFYQRSISFFTAWLLVITTQVLGYGWAGLMRKYVVEPAHMWWPSTLVQVSLFRALHEKEEFPAGSRQISRSKFFVVALICSFAWYVVPGYLFPTITSISWVCWIFSKSVTAQQLGSGMKGLGIGAFTLDWATVSAFLLSPLISPFFATVNIFFGYILFVYVVIPSAYWGFNLHNAKTFPIFSSHLFMSNGTEYDIKAIVNDQFELNTDAYNNLGKVNLSVFFSLTYGLSFAAIAATITHVGLFYGKEIYYRFRASQKEQPDIHTKLMKRYDDIPGWWFYSLMALSMTASLLLCTVLKHEVQLPWWGLLFACGMAFIFTLPTPGLNVITEYAMGLIRPGYPIANVCFKVYGYMSMSQAVAFLSDFKLGHYMKIPPKSMFLVQFVGTIVAGTVNTGVAWWLLGSIKDICSDNLPADSPWTCPGDRVFFDASVIWGLVGPRRIFGPEGNYGAINWFFLIGAAGPVLVYALHRTFPGQRWIPLINLPVVFGATAYMPPATAVNYNSWIIIGTIFNFFVFRYRKKWWMRYNYVLSAALDAGVAFMGVLLYFTLTMENRSIDWWGTAGEHCPLASCPTAKGVNLGGDSVCPVF >Et_1A_008725.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:10851151:10851483:1 gene:Et_1A_008725 transcript:Et_1A_008725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAPCNVEPRRRLRWRGLGRRRRKLPAVRLGGRGGGGGGRSGKAGARARGLLRRWLTARWLRRAVRRLAAIYMAALTGPPAPPGASAPWIGVDPCFATPFMVSTRPCSW >Et_3B_028163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11161076:11167385:1 gene:Et_3B_028163 transcript:Et_3B_028163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPQPARGRINIEAYARPIAVDNRIRLPYYFRIAGSLLRQANIYRNEKNLLDLYVILLRYSSLLCETIPKHRDYYAFRSKENEFFTKLIDVIKELESLKPDVQRQIAEYNRGGTVVTNNLNGTYATTCKVEKHTTSLYATQTHTGSTNGSPQNSLGGRHETSLLPNVQPDRQFRKQLMYLPYPKEETLARHSILGPNGLHGQWSGPVAGIKVQYPSNVELTQSVITSLVPADSNQDSLHGSSTPPPPADSSTDDNDDMKAVLSLDDGRWSVQTEERIPMHSVTLEEELSQLNIKQPSPPPVLAEVQRPLSPSRVADPTPEIPSSEIVRFQNVHVPVKLMECFLRVAEANTKRSLETCGVLAGTLKKRNFYVTTLIIPKQKSTSNTCEATNEEELFEVQDMGSLFTLGWIHTHPTQSCFLSSIDLHNHYSYQVMLPEAIAIVMAPTDTSRKHGIFHLTDPGGMGVIHDCEERGFHPHKAPSDGSPIYEHCSHVVRT >Et_1B_010999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16255297:16257994:-1 gene:Et_1B_010999 transcript:Et_1B_010999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSSVEEKKLVSPIDGKAEVIEAWYMDDSQEDQRLPHHREPKEFIPLDKLSELGIVSWRLNPDNWENDDNLKKIREARGYSYMDICDVCPEKLPNYETKIKNFFEEHLHTDEEIRYCLEGSGYFDVRDKNDQWIRVAVKKGGMIVLPAGMYHRFTLDSNNYIKAMRLFVGEPVWTPYNRPHDHLPERKEYLDKLLKTEIGNQPVEAS >Et_3A_023265.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:15867223:15867342:1 gene:Et_3A_023265 transcript:Et_3A_023265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVGGQRRQSGFGKALKQQRARLYIIQRCVVMLLRWHD >Et_3B_029953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29338422:29340933:-1 gene:Et_3B_029953 transcript:Et_3B_029953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRCTSHATKKESNSILFLSFRPQNSKSPSNSKQTDSFSFQPRESPPPFPTRHGTPLLFASESHPLRSRTLAKEALLEMDEDWELLLASPKAAVAAEPYAGGGEDDAGAIKHDYFDLGSDAKYPRRASLSKRGDEVEEDVEELLVDSGNASWVEPDPDDLAFPGRDRAALWSDSSSDGERPEVEAPEPLERTREEEEEEETAASEAEAEGAVAKGGGAVQWWKLPLDALRVWALRVARSVWSVPFAIALLGFAVLGRRLYRMRRQSKAVARVRLVLDEKKASHFKGQATRLKESIMVRRAPMVKPMLPANGVTPWPVLGHIFPVPQVVVPEWLSGMTRNHVGFARA >Et_6A_047670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9325909:9332318:-1 gene:Et_6A_047670 transcript:Et_6A_047670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKPPNIRCKGTSAAGGVVPIGDRLYAAMFTLAVATCFGDVVADDDARLVEAMRVAQQEFFRIVPRFRVFETFQKVARLLCPDKWMQMADIRRRQEEMYISLIRACQERRRTAPMSSYVDTARPRGPDGRSRRAAEAPGRRHASASPFILSFAALIFAVLCRLMSRESVLRFLGIHLGDVPETVVRDGSVAVDALVRRAALFSDTAAGAPSLILTGGRFPNISSTPYGPRWVALRRNLASEAFHPARGLARAAPHRARVAAAPVADVASRRSDMVPVGDCLYSAMFALAVATCFGDVGDDDARLVEAMRVAQQEFFRVVARLLYADRWKQMADIRRRQEEMYISLIRACQERRRRTTTAASSYVDTLLDLEVSTEGAGRRKLQEGDMVSLLSEYLGGATGNVASSLEWALANLVRRPDIQSRLRREVEAAAGGEACPAYLRAVVMETLRRHPPQSAVQRHVSSDVLVGTTPVAGDTVVSFSLQHISTDAKMWTSPEEFIPDRFMPGGEGADVRLTVGNKEATKAKMMPFGAGRRICPGMEYAIINTQYFLAKLVTAFEWHPPIQGEDVDLTPDPAAFFSTMKHPLRARVVPRRQ >Et_6B_048337.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:13549750:13549842:-1 gene:Et_6B_048337 transcript:Et_6B_048337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSMKHLFSLKSSTKTSLSSWAVAWKTIF >Et_3B_031331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2659496:2660262:-1 gene:Et_3B_031331 transcript:Et_3B_031331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGENNDVQASNVPAASAPAAGAKPAAAGGARGAEGQSVMRRLQSELMALMMGGDPGVSAFPEGDNIFNWVGTIAGSAGTAYEGTSYRLALAFTADYPYKPPKVRFDTPCFHPNVDVHGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNNDSPLNTQAAALWANQEEFRKMVEKIYKPAA >Et_1B_010243.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31785481:31785957:-1 gene:Et_1B_010243 transcript:Et_1B_010243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHQHVLATIALSVVLVFYVSISSVYYVRVCAELVPWSALGRCLRWCRRQGRLLPVAGAGGVVALPREPPARRGGARVRDEDGDDIFPAYEQPDGESKCAICLGEVEKGETAKRLPACLHAFHERCIDLWLHQHGHSTCPLCRCNAFAAPLPAQMV >Et_8B_060310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9107615:9109321:-1 gene:Et_8B_060310 transcript:Et_8B_060310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPNHWTLSSSSPMALICLLCLFTIPLAVLYLKQLIARTRHHLPPGPRPLPVVGNLLDIGSDLPHRAFSRLARRHGPVMSVRLGTTPVIVASSASAAREILQSTFPPATGRTRYGANSMFSFQPRHKRRALRRLGAETLFSARRLDDLLQLRRDAVREFLRDVSEHAAAGSTPVSVGRAAFAATVTMLWRAMFSAELDGALSREIQDGVHEAVVLVSAPNVSDFFPAVAGADLQGLRRRMARLIARLYQVLDRTIEQRVRSRDQGVRTQDLIDGMLDMAQKEPGDGEATMDRDVMRAFCVVSQMDPVQPYLPNIVWPNFQDMLAGASDTTSNTIEWALAELLKNPQTMRKLQDELKLVLGSKIMVEDSDISQLPYPQAVIKETLRLHSVVPLIVCRAETTIEVQGYSIPKGSYMAVNVWAIHHDADVWSDPDMFIPERFLDRNFDFVGRDFNFIPFGSGRQICLGLPLANRMLPILLGSLIHQFEWTLPKGVTRDKISMTEKFGLVLSMANPIHAIAKKK >Et_7A_052761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15702133:15703320:1 gene:Et_7A_052761 transcript:Et_7A_052761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQDLHQPMDLPPGFRFHPTDEELITHYLARKVADARFTALAVSEADLNKTEPWDLPSLAKMGEKEWYFFCLKDRKYPTGLRTNRATEAGYWKATGKDKDIFRGKALVGSKKTLVFYTGRAPKGEKSGWVMHEYRLHGRLHGVPRIASKNEWVLCRVFKKSLVGLVPAKKGAGEMVDMGTCHLPPLMDMSGAAVNPAAAHVTCFSNALEGQFFNQTPPQAAGAGVGSITDHLSLPSSSQFLANFAQYGQLHHQGMSLVQLLQSNGYAGLVDAGKQPCKQGG >Et_3B_029440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24987496:24988102:1 gene:Et_3B_029440 transcript:Et_3B_029440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PPLLDVLVYFSGFSHAPTTPAAILSAKLHLSPPTQPGRRSLLQPRSRKATFSVGAVRSFSIASLKRPPRTRDHGTQVCEARRRADIQSDTYVLMEPGMDEEFVSREELEARLKRWLENWPGEDGLPPDLARFDTVDEAVSYLVRSVCELEVDGDVGSLQWYQVELE >Et_3B_028173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11276097:11287031:1 gene:Et_3B_028173 transcript:Et_3B_028173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERAELRRGGFKPSVSAVDGLRRRLDDMVGVRKDSRERALQRRRVVGTGSEAASHPPASALQKKLEILPELVQGLRSGDSVMQLEATREFRKLLSIEKNPPIQEVINSGVVPCFVQFLSREDCYQLQFEAAWALTNIASGTSENTKVVVDQGAVPIFVKLLSSPNEDVVWALGNVAGDSRIGRDIVLAHGALLPLIQLLTGNSRLSVLRNATWALSNFVRGKPSPDFEYVKPALLVLRQLIHSDDEEVLSDACWALSYLSTSFDGEDKLQAMLEAGICPRLVELLTHPSPSVIIPFLRVVGNIASGNDQQTQFIVDHQALPCLLSILISNKNKGIKKEACWTISNITAGTKEQIQAVINGNIIGPLVHLMGAAEFYVSKEAAWAISNATSGGTYDQIKYLVSQGCIKAFCDFLRHSDTEMLKACLDGLENILKVGKAEKSSGACDGNMYAQMIEDAGGLDKIEELQNHENIEIYELVVHLLETYWLEDEDDAMMLSEDTPQTGIHNGCDQREHNWCLGLADTLVGAAEEHSHLCGVNIYAQMIDDADGSETAARLL >Et_8A_057261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22925263:22928406:-1 gene:Et_8A_057261 transcript:Et_8A_057261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKPGDASAAPAAPMDATAEAAAPTEVTMSFQSPAPAPAAVAAAAEKGSSSGVLVSPPTGTATVGPAVGGAVAPVVMKVAKKRGRPRKYGPDGSLIRPLNATPISASGPMASAMAVGQYTPASAVGAAMKRGRGRPIDFAAAAAKPYHHHHHQQPQQFGFHFDAIGDLVACSAGANFTPHIITVAPGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTENSGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQIVVGSFLPSYQLEQKNKKPRVEVTPTPQTPPAVPLSSTETHSSEQGQHSSAAPRTTNIVTSAYSADQSWASPAQPMPESSRTPSGDLKVTASGS >Et_3A_024047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16653581:16655725:-1 gene:Et_3A_024047 transcript:Et_3A_024047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTAAATLYCHLFRLPSARRPPQNCSLVRCSAAHSPDAVDKEYADLNLRALYTNRGQHLRIRQHVNPLSSSFSEPTEPPDWKDVFEDPMLPLMVDIGCGSGRFLIWHAKNSEEKRNYLGLEIREKCPDPHFKKRHHKRRVLQPSLADSITKNLSIGGRVFVQSDVFEVAADMRQRFDEYPDVLEHVDCVDKDLQCDKEGWLLDNPLGIRTEREIHAELEGATIYRRMYQKNREVYH >Et_5B_043718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14600831:14612590:-1 gene:Et_5B_043718 transcript:Et_5B_043718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDARLVLALNGQRYEVAGCDIDPSTTLLEFIRTRTPFRGPKLGCGEGGCGACVVLVAKYNPATDEVTPFSANSCLTLIYSLNFCSVITTEGLGNARDGFHSIHKRMSGFHASQCGFCTPGMCMSIFSSLVTADNSERQKPTDGFSKLTVSEAENAFSGNLCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDKKPDITSKKGWYRPTSITQYFKLINSIQSSDTSVKVVVGNTSAGVYKDQDFYNKYIDLGRIPELSSIIRKPEGIQIGAATTISRCIETLVQESKSKCSPNGSDIFRKLAEHLSKVASPFVRNTASLGGNIVLAQKYQFPSDVATILLGAGSTVCLQSVVERQHITLEEFLEQPPLNTTTLLISIFVPHWISDSHTETSLVFQTYRAASRPLGNAVSYVNSAFLGHVFFEGSSGKFVLSNLHVAFGAYGTEHAIRARKVEKFLIGKSLTASSVLGAIQLLRETIVPMKGTLHAEYRVSVAVGFLFSFLSSFVKGMAVPGKPVSISSTYSIDTHDNSELSLSSHQETISDDEHKPIGEPTKKYGVELQASGEAAYVDDIPAPKNCLHGEFIYSTQPLAYVKNIKFKSSLASEKIITVVSAKDIPRNGQNIGSMTRFGDEPLFGDPIAEYAGQALGVIIAETQRYADMAAKQIVVEYDMADLNTPILTMEQAVQNKSYFDVPAVLYPKQIGDFSKGMAEADHKILSTEVKLASQYYFYMETQTALAIPDENDTMVVYSSSQYPELAQTVIARCLGVPFGNVRVITRRVGGGFGGKGYRSFPVATAAALCAYKLKCPVKMYLNRNTDMLMVGGRHPMKAHYSVGFKSDGTITGLHLDLLIDAGISVDLSPIIPSSVISGLKKYNWGALSFDIKLCKTNNTSKSTMRAPGETQGSLIAETIIEHVASVLSVDANYVREKNFHTYDSLVLYFPGSAGEASTYTLHSIFDRLTLTSSYLNRVDSVQQFNSCNKWLKRGISCVPLIYSVAPRPAPGRVSVLNDGSIVVDVGGIEIGQGLWTKVQQMTAFALGQLWPEGCEGLLERVRIVQADTLNLIQGGVTGGSSSSESSCAATLQACKMLISRLNPVMNKLRLESGTVSWDNLIAQASKENVNLSASVYWVPDNGSNSYLTYGAGLSEVEIDLLTGAITIVRSDLVYDCGKSLNPAVDLGQIEGSFIQGIGFFIHEEHQTNSDGLVVSNSTWDYKIPSVDTIPKQFNVELLNTGYHKNRVLSSKASGEPAVVLASSVHCALREAIGAARKDFADSTRQKSSPLTFQLNVPSPMTLVKELCGFDIVEKYLENLLTHEFANEA >Et_3A_023120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29216798:29217109:1 gene:Et_3A_023120 transcript:Et_3A_023120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENTVTAMDVVYALKRQGRTLYGFGG >Et_4A_032306.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29133335:29133523:-1 gene:Et_4A_032306 transcript:Et_4A_032306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAESATYAVFDLTLHECDEESMLSLIYSLCYNICEQKQDLSKVTRRRLICTVILFYIFFEE >Et_6A_047015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23969530:23969964:1 gene:Et_6A_047015 transcript:Et_6A_047015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASMSTVLMGYNLDVMSGAELFIREDLGLSDAQVEVLAGSMNVYILGRRRALELANAFFLAGALGVSTGRTYAALMAALFVTGVGVGFAVVVAPVYTAEVSPASYMGWRFM >Et_2B_022940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8948632:8951839:1 gene:Et_2B_022940 transcript:Et_2B_022940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKSGAKKKHASVGYDVENTGGQSVAPSKASRASDRNKRIVHRWAADACPAPMHDHLAALLRGGGGGAHPQAIHGAAVRLGCIASTFLCNKLLLAYLRRPVLADARMLFDEMPSRNLASWYILISSSARLGALAEAFSLFSGILRGAGRGSCDRPDSFTLGALATGCARAKDIVAGAQVHACAIKFGVDEDESVAGALVDMYAKCGRVDSAWRAFALAPQRSVVFDVPELLPVGMQVHGCLLKMGTQIDPALGSALMTMYGRCGGVDGMASRMGLVKEGCEYFKQMTAKYNLEPKMEHYTCMVDLYGRAGKFSDAVDFIDTMPCRPDQMVWQSLLASCKVHGNVQLGRVAAKKILEITPEDPSPYIILSNIHASVDMWDEKAWNRNVLDAQRARKDIGSSWVMVVQ >Et_2A_017930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:877313:878463:-1 gene:Et_2A_017930 transcript:Et_2A_017930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASKPAAAAAVADPSFPPFPLLEDEEGRYEQKQEQLPLGGELELPVVDLEAPGDALAAACRRLGVFRVANHDVPAELSARLFALARDLLGRTPFQEKQAQPGYFWGTPALTLRVKDVNWVEGFHFALGQKGSADAAVDPRFSEFRDVASEYGEHMARVARKLFDAMAAALGLDADQTATYLAEHDGALRVYRYPPCPGSGHLGMEPHTDSSVLSIINQDLVGGLQVVHDGSWRDVEPAPADGTLLVNLGDMAQAISGDAYHSVRHRVAASRDRERLSLCYFAFPQDDAVLTCRATRYRPFSYAQFREQVQADIKAFGSKIGLQRFLRRN >Et_7A_052668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11846689:11850390:1 gene:Et_7A_052668 transcript:Et_7A_052668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNNETVTIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEDTPRVKPKKPTAEIKFRFGEQDN >Et_4B_037558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21034570:21036456:-1 gene:Et_4B_037558 transcript:Et_4B_037558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTVAFLLLGTLLCVSSSREWEREREQEQGRHERRGEQEHRRPYVFGRRSFRRTAGGEHGSIRALRPFHEASELLRGIRDYRVTVLEANPRSFVVPAHTDADSIGYVVQGEGVVTTIENGEKRSYAIREGHVIVLPAGAVTYFANTDGRRKLVIAKILHTISVPGHYQFFFGPGGRNPESIVSSFSKSVQRAAYKTSSDRLEKLFGRQDKGVIVRASEQQVRELRRHASEGGSHGQHWPLPPFGESHGPYSLLDQRPRISNRHGQLYEADERSFRDLAEHDVRVSLVNITAGSMSAPFYNTRSVKIAYVVEGEGHAEIVCPHLSQRGRRWSERQGRSESEESEEERWREKGSESEEESEEEEEQQQQAGQGYQTIRARLSRGTVFVVPVGHPVVQVASRDSNLQIVCFEVQAHQNERVYLAGQNNVLRKLDSAAKELAFAASAREVDEVLDAQRDQGFFAGPEQSGGSQEHEREEEREGKRGRGRREDVAETLLRMATGRL >Et_2A_017297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32983328:32988620:-1 gene:Et_2A_017297 transcript:Et_2A_017297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGRRRDRLRWSKLYTFSCFRPHGADDAAGPSATGVGGPGFTRVVHCNNPALHRRKPLKYVLNHISTTKYNVLTFFPKAIFEQFRRVANLYFLLTAVLSLTPVCPFSPVSMIAPLAFVVGLSMIKEGVEDWRRFIQDMKVNNRKVSVHRGDGQFEYRHWQDLCVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLEDDESFKDFRGVIRCEDPNPSLYTFVGNFEYERQVYAVDPFQILLRDSKLRNTAFIYGVVIFTGHDSKVMQNSTESPSKRSRIERKMDLIIYILFTVLVLISVISSIGFAVRIKLDLPHWWYLQPQNSNKLDDPRRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDIHMFDEETGNTAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIGGVSYGVGSSEVELAAAKQMASGADDHDIPVQDVWEENNDDEIQMVEGVNFSVGNNRKASIKGFSFEDDRLMQGNWTKEPNSSTILMFFRILALCHTAIPEINEETGAITYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVRESHTSSTGITEREFKILNLLEFNSKRKRMTVILKDEDGQILLFCKGADSIIFERLAKNGRMYEPDTNRQLNEYGEAGLRTLALSYRVLDEEEYSSWNAEFLKAKTAIGPDRELQLERVSELIERELILVGATAVEDKLQKGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQICLSIPTGDQVAHDAKKALLSSLTTEQAAKESLMLQIANGSQMVKLEKDPDAAFALVIDGKALAFVLEDDMKHMFLNLAIECASVICCRVSPKQKALVTRLVKEGIGQTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPKNLFFDWYRILGWMGNGLYSSLAIFFLNLLIFYDQAIRAGGQTADMAAVGTTMFTCIIWAVNMQIALTMSHFTWIQHLFVWGSITTWYLFILAYGMTLNSRDNYKILLEVLGPAPVYWAATLLVTAACNIPYLIHISYQRSCNPLDHHVIQEIKYLRKDVEDQTMWKRERSKARQKTKIGFTARVDAKIKQIRGRLHKKGPALTIHTVS >Et_10B_003682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4814527:4815170:-1 gene:Et_10B_003682 transcript:Et_10B_003682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTDPYGCEDTNILLSSCLKAKIADFGLMKAFADDFRTHVTTQPAGTLGYLDPEYYNTSQLSEKSDIYSFGVVLLELITGQPPAVPISDTEVIHIAQWVRQKLSEGNIEAIADPGWEESLTSTLSGKQPSQTRPAMTDVVVELKECLELEVSHALSYQTSVKNNAINFGATGVDLHSEAQETGHLRQQAVLKLEQVGTSSATH >Et_5A_040261.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:7539957:7544019:1 gene:Et_5A_040261 transcript:Et_5A_040261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFFLIQLLLLSEILLSTAVDTINSSTPLSGAQKIISKGNKFALGFYSPPQGNTTASSSNPSNNYIAIWYNNIPQVTTVWTANSDVPVSDPTTATLTIGSDGNLVLLDQSKNRQLWSTNVSIASKTTMAVLQDSGSLDLIDATNSSMVYWRSIDHPTNTWLPGGKLGLNKTTNVSQRLVPWKNNANPSPGLFSLELDPNRTTQYFIQWNKSITYWSSGPWNGNIFSLVPEMTAGFNYDFSFVNNTTESYFIYSMKDNSIISRFIIDVTGQIKQLTWVDASQQWILFWSQPRTPCEVYAVCGAYGSCSLNALPFCSCVKGFSQKIQSDWDLQDYTGGCKRNVPLQCQTNSSSAQKQEDKFYTMPGVRLPDNAQSAVAGSSQDCQAACLNNCSCNACTYNSSGCFVWHGDLINLQEQYNGNGEGTLFLRLAASELQDSQKSKKVIIGAVVGGVAAILIIIAIVLFILFQKCRRQRTLRISKTTGGTLIAFRYSDLQHVTNNFSERLGGGAFGSVFKGKLPDSTAIAVKRLDGVHQGEKQFRAEVSTIGTIQHVNLVRLLGFCSEGSRRLLVYVFMPKGSLEQQLFPGETTALSWATRYQIALGTARGLNYLHEKCRDCIIHCDVKPENILLDESFVPKVADFGLAKLLGRDFSRVLTTMRGTRGYLAPEWISGVPITAKADVFSYGMMLFELISGRRNADHGEDGKSSFFPTLAASKLHEGDVQSLLDPRLNGDASADELTRACKVACWCIQDDETARPTTGQIVQILEGFLDVNMPPVPRALRVLGESPDAINFFSDISSSQASQMQNSTTTSQTHSSMSGGP >Et_1B_012706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34603366:34606128:1 gene:Et_1B_012706 transcript:Et_1B_012706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGVLALQGSYNEHIAALRRIGVRGVEVRKPEQLVGVDSLIIPGGESTTMAKLANYHNLFPALRDFVGGGKPVWGTCAGLIFLANKAVGQKSGGQELVGGLDCTVHRNFFGSQLQSFETELLVPKLAEKEGGSDTCRGVFIRAPAILEVGSDVEILADCPVPTDRPSITISSPDAAEEEVYSKDRVIVAVRQGNILATAFHPELTSDSRWHRFFLDMDRESQTKAFSALSLSTSSRDAEEMPKNKPLDLPIFE >Et_8B_059188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1489368:1489868:-1 gene:Et_8B_059188 transcript:Et_8B_059188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQVSCRKRVTLTLLRLNNNSDALKPQYEELAPVTTPAHRAAPPATTTAPPPRPAEQQRQPRPRYRGVRQRPWGKWAAEIRDPVKAARVWLGTFDTAEDAARAYDDAAVRFKGAKAKLNFPARHPGVQLPHRHQQQHPAAASTSAPRRHATAP >Et_5A_041343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21402512:21403924:-1 gene:Et_5A_041343 transcript:Et_5A_041343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTGIRDFSNLLKLEIRRSQPEPELKVHRFTKHQAMKFTATKKQVPKAREEEDGNRSRNDKLPTYVASMKRIGLLMVRDNIRQGIVKEADYGQRWLDECHRELHAVADRADCATRLAFRG >Et_2B_022733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:467412:471605:1 gene:Et_2B_022733 transcript:Et_2B_022733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAAGVADWEETVRGMFPPGTTIPENLDYSIALEYDGPPVSYELPRINPVDIPAIPTAEAASGPLRLGNGAVPVAPVIGPHRANPPAFQAAHRAAQVRSGSESPNSASQEEDYSDDESHPDSYEPPRSGQGQRAARHAAPEGRRAPVVTFGIADDSKYESKDFDEVSEQYVAVTRKENKGRTCCRCGKGKWESKESCIVCDARYCSYCVLRAMGSMPEGRKCVTCIGQPIDECKRSKLGKGSRILSKLLSPLEVRQILKVEKECQANQLRPEQLIVNGFPLQHEEMADLLSCQRPPGNLKPGRYWYDKESGMWGKEGEKPDRVVSTNLNFNGKLQPDASNGNTKVYFNGREITKVELRVLKIAQVQCPRDTHFWVYHDGGYEEEGQNNIKGKIWESPLARIACALFSLPVPPANSDGPKDESPYSARTVPNYLDQRIEKLLILGSPGAGTSTIFKQAKLLYSTKFTPEELESIKLMIQSNLFKYLGILLEGRERFEEEALENSPCSRDENSQQENECTSSNSCIYSINAKLKTFSDWLLDIIAMGDLDAFFPAATREYAPLVDELWKDPAIQATYKRKDELHFLPDAAEYFLSRAIEVSSNEYEPSEKDVIYAEGVTQGNGLSFIDFTLDDRSPMSETFGDNHEAYSQPVNKYQLIRVSVKGMNEGCKWVEMFEDVRMVIFSIALSDYNQLAANASGGSKSLVNKMIQSRDLFETTIRQPCFQDTPFVLVLNKYDLFEEKIGRAPLSECEWFSDFCPLRTSHNNQSLAQQAFYYVAMKFKNLYAAQTGGRKLFVWQARARDRPTVDEAFRYIREVLRWEDERDDGGYCPEESFYSTTELSSSRLIAAAEG >Et_4A_032039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13261559:13262284:-1 gene:Et_4A_032039 transcript:Et_4A_032039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EFSCSRHPSTKWAQRSDKVYLTIELPDAKDVKLNLKPEGHFDFSAKGADDLPYELDLELFDAVNVEESKAAVAPRTICYLIKKAESKWWPRLLKKEGKPPVFLKVDWDKWQDEDDEDIGRKSFTLTNLQKLDMGGADDDDMEDDEEDVAESANKGTQVSL >Et_4A_035406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23561442:23562857:-1 gene:Et_4A_035406 transcript:Et_4A_035406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEASHAVDDSRMKQKKKKKQFCIFGTLPRVWTNLSCSHGGRLVPCGPDGALRYVGGETRVISVPRSASFRDLAARLSEMAAGAERSGTASRTRGLEDVIVSVTCDEELAHMRDEYDRLRATRPAARFRVFVVATSGGSNPRRAASGLPPLAPIMRRVQSEKALAARAQLQRRRLPAYPAPPIRRVQSAQELAGASFYHHQYCRDVRAPVSPSTSAARPTYIVPYITSTVPAAKTTARRLLHFVTFDQQSRQS >Et_7A_050874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11941443:11945732:-1 gene:Et_7A_050874 transcript:Et_7A_050874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPASSPPPAHPSLASPLLLPGPTTYAPPPPWREQLTLRGVAVAAVLGSLLCVVIHRLNLTVRVIPALNVASGLLAFFLATAWRATAERLGFGPGRPFTRQENTVIQTCAIACAGLAFSGCSASYIFAMDKKTYELVGPDYPGNRGEDVRDPSLSWMISFLVLIALLGPFSIVMLRKVMVIDYKLTFPGGTATALMINSLHGKTESDLAGKKVHCLVKYMGISFGWSFFKCFFSGVGDSCGFDNFPAFGLEAFKGTFYFNFSLSYVGFGLISPHIVNCSVFLGSVISWGLLWPFISSQAGHWYPDNLGNSDFRGLYGYQVFIAISIILGDGLYNLVKIFVVIGRELYKMQPKQSDIPIQAVEDDERLEQLTDEKLQTEVFLKDSIPSWFAGSGYILLAALSTATVPLIFPQVKWYLVLFCYFLAPAIAFCNSYGMGLTNLNLAPTYGKIALFAFASLVGSDGGVIAGLAACGIIMSIACSTADIMQDFKSGYLTLSSPRSMFVAQLIGLVLGCIIAPLTLWLFWTAFDIGDPDGEYKAPFVIMFREMAILGIEGFSALPLHCLEICSAAFFLALATCLLKDMTPANVSKFIPIPMAMATPFYVGAYFGVDMFIGTVILFIWQKLNREEADGYAVAVASGLICGDGVWSIPSAVLSIMGINPPICMTFKPSSASR >Et_6B_048264.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:3436552:3436949:1 gene:Et_6B_048264 transcript:Et_6B_048264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANESCTGSTCKKFIPQMTCPYDYVDSPCYYTYMYGGGRANTTGYLATDTFWFDSDPVGVELTFGCGLYNVGDFGGASGIIGLGWGDLSLVSQLNVSRFSYYFAPEEDDDSSGGAQQQESFIVFGSD >Et_10A_002297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7632441:7632939:-1 gene:Et_10A_002297 transcript:Et_10A_002297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGCLGLAVGVLVRISPPMHNSPFVAPSQPVSLDVSSCCSSPEEKMALSAMDKAMVINGYNSFVLGDESALLDAVNQQPVSVGIFSQCTAFERYTGGVMVPNDECSGTSPTHWVTVVGYGTHLPTGMDYWVIKNSWGENWGMKGYMYLQRGVNALGIADWAS >Et_2A_016844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28825398:28826734:1 gene:Et_2A_016844 transcript:Et_2A_016844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPPQHQTKPMNQMMKGEDDQIGELPDDEDDRVSKLPDDILVRVLSLLRYRDAVRTASVSRRWEHVVCTQLPDLNFSMSLLGYRTSKGTPSEQRVQSMERTLRRRCCHHSVRTLGLVYRKDEPMECRYADEFIALANARRLTLDIQCARGLPDEDAGAWCVGLPLATAELHVLPYWYAVRPPHIRGPGAGALRSLTLKGLTVLRQEFLDTDLPSLEDLRIGECTIAASISIASDAMPRLKHLDIADVAVMTNDTKAGIDVLADELRTLRVSCFWGSKTEPASDEVEMFRLPARFRASFTAYSSFRLRAPKLRVFDWRCCHPDEVRVESVGRLSEVVVELAAGRKPRTNDEELSYVTVDQRDKLMTDILQGLMPGLQPLTWRKVKRKCVQRDDRWVCFEITNAMRLHS >Et_8B_058799.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:6685107:6685346:-1 gene:Et_8B_058799 transcript:Et_8B_058799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRLRWPAPTPAQHRDTLTLLCLWQLWKHRNEVVFREAVPCLSRLLHNCRDEAALWRCRLKRDEAAISSSWCSLFNPM >Et_1B_012039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28375347:28381006:1 gene:Et_1B_012039 transcript:Et_1B_012039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPTSEVPPSHTSCSGSMVPYMMNIFHLLTQREVSPRTKHQAKRIWSNPPKCDGGSIELRFADTDAKQDIFSWAESQSLHHWSAKYCPLVPPPRSTIAAAFSPDGKTLASTHGDHTVKVIDCHTGKCLKVLSGHRRTPWVVRYHPLHSDILASGSLDHEVRLWDANTSDCIGSQDFNRPIASIAFHAWGEILAVASGHKLYIWNYNKRRESSAPSIILRTRRSLRAVHFHPLDLHPSLVNNNVLEELSLGGSAEINNMTRESQHMHNSGHPGTSSSIPVTAGSTRGSYRRYALRSLPGVGSSLVRPQIDEAELHNTASLGVGSELATSLFAVGSTELPCTVKLRIWRHDIKDPCVTLGPEACRLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDRGSQMPVQYDSTGAGSSPTRHPLPSHRVIYELRVYSLEEATFGDILASRAIRAAHCLTSIQFSPTSEHILLAYGRRHGSLLRSFVMDEDSNGIPVYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSPGGGIVYGTKEGKLRILQYSGADVTGMGLNCFIEENMLEVLLVRLFITGHISFSFIFKGDTVPVHSDL >Et_10A_000563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12787506:12790182:-1 gene:Et_10A_000563 transcript:Et_10A_000563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTRAAAARSAVATAAERYARRSWNEMLPDILILVLELLPCVADRQSFAATCVGWNKAAREDEAGELPAQLPMAVLPSSTPGAAAAVFSVVSGSMRRIRVPRGLMGAQLCGSHPGLWVAFTGHLPISGKKVIAVIKHLTAMVIPLPVKMLVGNAPFQQGYYRDMEHIQAVSFSTNPRSPNCVSAVITGGQYPGIALAMREQLITICGPMGRKCFHAITDREDLVAASIGGVGPAVQINFFTYNVHREVDDVLHDMPTSTRVVRYLVESRGKLLMVRRYYRCSPSNNGQRKTLFFRVFQWEATGGVEPSAWLELVGLDGRVIFLARGCSRSFDHLPHNSDIPPGSMYFLDDTTRLSDGCQSGNGQNNTDMGVYYMGVQGQNISMTPTLCSRIYGPRHYVFMVTTSQGNIVIKFFERLEQLETYARKKSTADRTGITPKGSVWRFIQEPQSNSCSLPPICIIIWRCQMPMDSYMYHRSILLCSVKYEQYNYWMLSNAQGLLYFQL >Et_6A_046569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17130040:17146912:-1 gene:Et_6A_046569 transcript:Et_6A_046569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVIMAYSDADYSVNSNEDSDYECEPAHVNGAGNVVSKVLPHVALFMFLMLNVVEANQLLLKPTAGAIKTYFMYVIVALNDHQKYVVSENGFGYLLDFDGCPVPRRFAQWITDHVDVKCQDIHVNGEVIPLIALAVHNVLGTPLGGNDISETGDSGKIEFLELFVSVFFSCIVHFCYLIQYSFDQLCSQRVVLVFYLDHLKFGPNITISNAIPRITVWKGSMVKKYSLIDCVTKNVFGKRPIKKFEETCYANVPVTGDQYHVLKNALGERFAYLPENIKDGICGLYEGFFLYGFTAPDYSPDQLVLAIFQYLQESMDVAGNAENGNLHDEVKEDSKNGNYVENENQEGPRHSMNIDEGNEDSVTEKVESDGDQQQSVGNNAAEVKSFGNSKRKNNSSSPDSTNSVASRTRLRLALNLAKSPLSQPSKASSNVNPGSEGSKENPFLVDEDVSSANGSILEKSIKEAFDEVAKKHEDAAICFRKCMPVEDVNEITKTEFNKTNVNDKISSKAHGVWSASKPPVASFVKSSEVGKAGPDPKVDCHAKSVAYVHEKALYFNSPSVPPFRLLDDEDDLGNYVEDESLRRPSQGREEYNDVTIIGERSAKEKLSAMCHDSDQYYDNSIGTSDRVAVSGSSLGKLANHRARRVHVKRRNDGGVFILKFLEVFEPNVNLYQKFSQADIPNLRKKYVNEMIFAMKNEMVDSVELVKTYKPEVHAKYFAKMSRKPVSLYEGGGSSGGPVRKDRIEYKLGPYVPKGKKLRISRTLAENFGIIEGPQAWLVYAWNHVLRLSSSSTSLKDLNLAMDPGNKMIIKCYSSYHAGRLTNLTLQTNNPATATNFTRADEVEFFSLDHDPSRCLDINVFPSDAVEDNLPELQLMSRVGIDEGNKVFVKCRNEDIADILCSSYAIVDQNKILRFNKVKVLGFPDLAHDFGHLVQVDRPTDRAFLINEHNRRIEACSAEAANPEPSHDGPSHGSNSGSDEEDGSDGASTTSIYYSRMTCKASPAVAPSVAYKSLLVSQPPAGQPSAMSNAGGKGDASGSGSKKGKRKQINAKPSTRRSPALPLCDLYVLDSADPIQDYFVLDIEEVSERLLFVTVEKIKKELAANKMYVVTSVTQLLLNKNDFKVSCTKCGNIYVLTATEHDATKLDGQVFNVLGGFVRFKKSDTVNAEIVDVMTPKAASFKKLQFAQKQHFVLLQFAQKQDF >Et_2A_016222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22116775:22119719:-1 gene:Et_2A_016222 transcript:Et_2A_016222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSRIKVRRQLWRNDGLERELARRGQTEYLLHAQLLLGHADGEPPREAVQQVGEAQLDHLQPEDVAGAHPAAGPKRQQLEVVPLHIDLAPDEPLRPELLRRVPQRGVVADRPHVDEHDGARGDVVAVDGHGLARQAWGEHGRDYVQAHGLLDDGLEVGKVGDVLLRDPAVAADDTVQLFRGLGQGLRILEEL >Et_2B_022912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7933921:7937189:1 gene:Et_2B_022912 transcript:Et_2B_022912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLASQGINGGVKPPAPPVVDKTLSCACDIIKLLPTGTVLTFHALAPSFSNHGACGSASRYLTLALVGVCAASCVLLSFTDSLVGHGGRLYYGVATPRGFYPFNFDGTDDERRARFGDMPRMKIRALDFVHALVSAFLFIVVALGNTGIQGCLFPGVGPDVREVLANLPVGLGFLASMLFMIFPTTRKSIGYTDLMPRQQEGNGKGACNGWKF >Et_2B_020954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25138270:25142634:1 gene:Et_2B_020954 transcript:Et_2B_020954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTMQGSSQPQFMTSVGRNNRSNGPGTPLIESIDVDQIVIPEKNSWKNLFSYAGPGFLVSIAYIDPGNFETDLQAGAQYKYELLWIILIASCAALVIQSLAARLGVVTGKHLAEHCRAEYPKVPNFVLWILAELAVVACDIPEVTGTAFALNMLFRIPVWCGVLITGLSTLMLLLLQQYGVRKLEFLIAFLVFLIATCFLVELGYSKPNASEVVRGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVHGIKEACRFYMIESAFALTVAFLINISIISVSGAVCSSGNLNPEDQANCSDLDLNKASFLLKNVLGNWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRMTPWIQNLLTRSLAIVPSLIVSLIGGSSAAGELIIIASMILSFELPFALIPLLKFTSSKTKMGQHTNSIFTSVLTWLIGSFIVVINTYFLITSFVKLLLHSGMSTVSQVFAGIFGFLGMLIYIATILYLVFRKNRKSTMPLLESDPEVSVAGYGTGAGTEGSLGHLPREDISSMQLPQQRAASDLD >Et_7A_050488.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:18937769:18937936:1 gene:Et_7A_050488 transcript:Et_7A_050488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNCVRLTWPMFLVTKTSYASLTVTAAQPNRVARRRGQQPMRRATQARRRVQVP >Et_8B_059642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20125090:20129237:1 gene:Et_8B_059642 transcript:Et_8B_059642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRESARGLSLHLVHGRLFLSRNGEVDGCLSLRTGLLEKKGSKEMKKRGKMNKLSLKITEEQGIYVVDRVQVALGYTALAALQACSKQSSHPSDIGGAFPFPFERGIRGGRAQASSMMAAARPYKVPPARVPTRCVAALCAACFLLGVCVVNRYWAVPEHPGCPNKAGSRDVLNQVSQTREVIMALDKTISEIEMRLAAARAAQATMEGVSPSDSEGDPGSMRPRISYVMGIFTTFANRKRRDSIRQTWMPQGDRLRSLEKEKGIVIRFVIGRSDNPNPNNEVDRAIDAEDKEYNDILRLNHVEGSEGLPMKIQMFLSTALTMWDADFYVKADDDVHVNIGITRSILARHRSKPRVYIGCMKSGPVVTKNDSKYYEPNHWKFGTEGNNYFRHATRQLYAVTRDLATYISANRHILHKYSNEDVSFGSWLIGLDVEHVDERSLCCGTPPDCEWKAQAGNPCAASFDWNCTGICNPVERMTEVHQRCWEGSATEGLTVLTADGWHLL >Et_2B_021796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5522991:5527422:-1 gene:Et_2B_021796 transcript:Et_2B_021796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCELLKSDGIFRRTMLIYKLGKGSREKVQQFMTITGASEKVALQALKASDWHLEGAFDFFYSQPQISAANTKRLEELYNKYKEPDADMIMVEGVSQLCSDLRVDPQDIVMLVISWHMKAATMCEFTRQEFIGGLQSIGVDSIEKFREKLPSLRAELKDDHKFREIYIFTFNWAREKGQKSLSLETAIGMWQLLFAERQWPLIDHWCEFLQVRHNKTISRDTWTQLLEFVKTIDPQLSNYDEEGAWPYLIDEFVEYLTENGFVQRRK >Et_2A_015139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32026081:32026455:-1 gene:Et_2A_015139 transcript:Et_2A_015139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSPPFLCEFIEYIDTEQTPENIAHVYRVAERARRPWFDMEAEERREEERRKMRQKEEERRREYEAERKAREAERERMRERARRARAAGPDAFRKRKYPRCTQ >Et_3A_023095.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27277117:27277992:1 gene:Et_3A_023095 transcript:Et_3A_023095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSIDTSGFSNDSFPSTSRPPWTSLPPPTLAFGTLTSLDTVTASSSGCEDGGGDGGKTTGGGGGGGGSACARRGETRPDGLTGTGGFRCTGLAARRCSDDGRFPAGDGDLRADRSRVTCRGGGDGEPRALRERMPYEAATARSRRAVPRSAEETGRLRLAGLPVGEGSGPVTELVATEDSDAASETSSVASESDTQLPRSLTAASGVACFFAAFSTSSSSGAATLATCLGSGLGLALGVSERTSLTVSSSGDGGLRSSGSQRWAAPAARLLRFLLKQQPISPTYWRARTH >Et_4B_036957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1327736:1331325:1 gene:Et_4B_036957 transcript:Et_4B_036957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEGAQISASTAAAAHALCFAGLAAAHFLAGRGALISDPERTLRLLVVCEAPVVITVYWIATTYWSLLMSLFTFVPAACVYGASKIDWQNVLSHSIYLLPIDSANYMISAPCHGAVLGAWIGAWPMPLDWERPWQEWPICVTYGAVAGHLIGMVASLVLIVGHKRRVRAKAD >Et_5A_041377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2194270:2199766:1 gene:Et_5A_041377 transcript:Et_5A_041377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARSKDGQGGCVVDLGTSLTMMAQEAYYIVEKAVWSDLQSHGAERVKRPGFNLCFRATKAIMGHLQPLSLHFPEEKAVMVLSPEQLFLMMDDKQGQTACLAMTPGHRTVIGTLQQMDTRFVYDLKDFTLSFVSESCTEDTMKIPSSLAIITALLHHLLPMVAPADNTIVPNTSSAGFSIPLVTSYESLDHTIHRSGDGFLYLQHKLRTEPSSGASTAVANVTALSPEMVAPFRAVHSLSVRLGTVPYHYILKVDTSTTLSWLQCEPCAPQAPQYNKIFDPFKSHSFKNITGTDPDCEPPYTPVFSGRLCNFQIHGPGGMHVEGFLAEETISINDRVIRKDFLIGCSHSTENFPSEGRYAGVAAMGADLIRNADRGRRCDTVLILPLRGQHGKSPGILSALGSHEFHVSLVSISLGTHKLDKIHPEMFSRSKDGQGGCVVDLGTSLTMMAQEAYYIVEEAVWSDLQSHGAERVKRPGFNLCFRATKVIVGHLQSLSLHFPEEEAVMVLSPEKLFLMIDDKEGQIACLAIKPGHRTVIGTLQQVDTRFIYDLKDFTLSFVSEACTEDTMKVPIATLALITALLHHFLPIVTPADHTTVPNTRSTGFSIPLVTSYEGLDHTIHRGSDDFLHLQHKLRTEPSSCASSTVANVTALSPEVITPINMPNSLSIRMGDNPYHAKLARPQAPQYNDIFDPARSHTYTNVTGTDLYCREPFVPVFSGRLCNFRIAGPRGMLVEGFLGQEEVSIVGSTAKHPDFLIGCSHSTHNFASEGRYAGVAAISTAPTSLVMQIAARGVTRFSYCLSGGRKANRHGFFRFGADIPRNPHYKTTRILAAPDSHEFHVSLVGISLGAHKLDKIHPEMFVRSKDGQGGCVVDLGTSLTMMAQEAYYIAEEAVWSDLQGHRAEQVNLPGFNLCFRATEAIMGHLPSLSLHFSEEAVMVLSQEQLFLKMDDKEGQITCLAMKPGHRTVIGTLQQVDTRFVYDLKDLTVSFVSESCTEDTSEIE >Et_1A_005742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13334879:13343393:1 gene:Et_1A_005742 transcript:Et_1A_005742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQHQQHGAEARHQAPQLAVLGGGGVDWVGFAGRVDLQAPADAASPASFLLPRAPPLDDRAAAQSEHKPSKTGQHAGAVDEERHMALAHQNYRSGKYREALEHGNVVYEKNPRRTDNLLLLGAIYYQIRDYDMCIAKNEEALTIDPKFAECYGNMANAWKEKGDIDLAIRYYLTAIQLRPNFCDAWSNLASAYTRKGRLNEAAQCCRQALAINPRLVDAHSNLGNLMKAQGFTQEAYSCYIEALRIDPHFAIAWSNLAGLFMEAGDLDKALIYYKEAVKLKPSFADAYLNQGNVYKAMGMHQDAIMCYQRALQARPDYAMAYGNLATIYYEKGQLDMAIRCYNQAIVCDPQFVEAYNNMGNALKDAGRVEEAINCYRSCLALQANHPQALTNLGNIYMEWNLISAAASFYKAAISVTSGLSSPLNNLAVIYKQQGNYADAITCYTEVLRIDPTAADALVNRGNTFKEIGRVNEAIQDYIQAATIRPNMAEAHANLASAYKDSGHVERAIVSYKQALRLRPDFPEATCNLLHTLQMQVLPSVQPFHAIAYPIDPMLALEISRKYAAHCSLIASRFGLPPFAHPPPVSVKAEGKHCRLRVGYVSSDFGNHPLSHLMGSVFGMHDRDNIEVFCYALSQNDGTEWRQRIQSETEHFVDVSAMTSDMIARLINQDKIQILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGATYIDYLVTDEFVSPTCYSHIYSEKLVHLPHCYFVNDYKQKNQDCLGPVCPHKRSDYGLPEDKFIFACFNQLYKMDPEIFDTWCNILKRVPNSALWLLRFPAAGETRVRAHAAARGVRPDQIIFTDVAMKNEHIRRSALADLFLDTPLCNAHTTGTDILWAGLPMITLPLEKMATRVAGSLCVATGLGEEMIVSSMKEYEDRAVDLAQNPAKLQALTNKLKEVRMTCPLFDTARWVRNLERAYYKMWNIYCSGRHPEPFKVKEDDTEFPFDR >Et_1B_013014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4800532:4802787:1 gene:Et_1B_013014 transcript:Et_1B_013014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSSRKDGGGGSGGGASFAIPCVDIKSFVASLAFLTLFVAFWQFQPYGSLLTASRTSASPACSLLATTAAANLPSSNSTAVSATSTDQPAASAVPVRVERVARPARPEDPNKRVLRPFGSAAALFVQFGAYRGGPRTFAVVGLASKPTHVFGTPYFKCEWVPNPTAGDPSPRPVRTKAYKMLPDWGYGRVYTVVVVNCTFPSNPNAGNAGGKLLVHAYYSTASRRYERFVALEEAPGAYDEARYTPPFQYDYLYCGSSLYGNISAGRMREWVAYHARFFGPRSHFVLHDAGGVSPEVKAVLDPWVRAGRVTVQDIRAQAEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPNGQPLQEVLGKLEGYAQFTIEQNPMSSKLCVQDPSEDYSREWGFEKLVFRNAITKVRRDRKYAIQARNAYSAGVHMSQNVKGRTTHKTESLIRYYHYHNSINVMGEPCREFVPMPVNGSKIMFEGSPYAYDDSMKRLAGEIKRFEKEAIGSART >Et_7B_053560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:106172:108585:-1 gene:Et_7B_053560 transcript:Et_7B_053560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAAAAGALRPTAATLLLFSPPQLRHGRRPIQHLPFARRRRHSSTATVAAPTLDDGAQGKTAAPAPDEGKAARRRRARESPEGLLRHQLDMCSRHADLTTALHLYDAALSPASPVPLSLHHYNCLLYLCSNAAASASDPDAAQRGFDIFARMEADGVQPNEATLTSVARLAAATRDPAMAFSVVRRMAQAGIPPRLRSYGPALFAYCDAKDADGARQVETHMEASDVVPEEPELAALLRVNADNARADEVYRLLHRTRALVRQVCETTAQVVEAWFQSNAAAEAGVDKWDSSKVKEGVLKGGGGWHGQGWLGRGQWSVGRSHMDKDGTCQRCRERLVCIDIDPSETENFANSLVELASKREVKEDFLTFQVNSVVNAIQRITKSKKLPLIILHRNRVNNGPAKAPHNQKIIESWRNAGALYATPPGSNDDWYWLYAAVSCRSLLVTNDEMRDHLFQLLGTSFFPRWKEKHQVRLTFSGRGPTLHLPPPYSIVIQESEDGSWHVPTTSGDDIEQPREWICANRKTSKQALVGRVSLH >Et_2B_020976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25391595:25395741:1 gene:Et_2B_020976 transcript:Et_2B_020976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSMSLAAKAFSALPSSGVAQRPVSVTASLEHKTSDARRKFLKLALGNLGVALPTLLGAKKALADEQGVSSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAISPELGNRVQRVRVQLPGLSQELLQKLREKNIDFAAHNNQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRAQGGLGGPNGPGFPLGFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVSVDVPDVRGRTEILKVHGSNKKFDSDVSLDVIAMRTPGFSGADLANLLNEAAILAGRRGRTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLVPRGQARGLTWFIPMDDPTLISRQQLFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSEIGPWSLMEGGAQSGDVIMRMMARNSMSEKLAEDIDSAVKRLSDEAYDIALSHIRNNREAIDKIVEVLLEKETINGDEFRAILSEFVEIPVENRYQIGISSGFDHP >Et_6A_046220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:93344:96150:-1 gene:Et_6A_046220 transcript:Et_6A_046220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASNSAHPPSSVTHDEDDDEFEDDFDEDNEEDDDYDDDNGEPSSASPYDEARLEALLRRLTAEEVRIRVHDVAIRGCSRTRHAAVEAAVGPDLARAATVRDLVRAAAAARDRLRGLSAFDAVSITLDVAPPGIPGSAGAVVVLVDVSEARGRAAGELGVFGNTQTRSCSLEGSLKLKNLFGYCDTWDASGALQLDQTAELSAGVEIPRIGSLSTPLITRISFLSEDWLKSSLKEHLMSVSVGLLSTTNHNLAYSLTWRTLTDLARMSSDSIQEQLGHRFMSSIKYTYKVDQRDSSIRPTSGFAFLSSSQVGGLVPGSKYPQFLRQEFDLRVALPLGVMNAALNTGVAAGVIHQLAKEPTESISPLPERFYLGGNRSLVCRLGGPSSLSGFKSRGLGATDLGTSGSDNSISGASTSDKNGLGGDIAVAAFADLSFDIPLKPLRDLGIHGHAFVSAGNLARLTDRNLWKFSMTDFLQTFRSSVGFGVIVPTRLFRIEMNYCHILKQFDHDKGKTGIQLNLSSP >Et_8B_060447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16499420:16502951:-1 gene:Et_8B_060447 transcript:Et_8B_060447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEAPETGTGFDNFPVGNEPDIHRDMSGTQTQIASGQIASGTGDDVVSYCKTIRQIECLLQIKSGIQRRLYGETLFASGDFIRQSFDGGQCTEYEFINAFVQCVNADDKRLRPALHEERLIINPAALVSANSEELPQLGPNRDFVPENLVRVLKETLPDKVNWKKLKVILMPVFHHEHYSLYVVNYAQRRIDVIDSINYEDRGSKYEQHHDPIGQKLMLRMQEALDKVRFPCPTMVKPNDCSFLTMRFIEYYTADDGCLENVVNPFKSLELRADYLHYLLFHGENGAVLPDEFKEYIVPGCRIRSLGLLRLILDS >Et_1B_010362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:98348:101045:1 gene:Et_1B_010362 transcript:Et_1B_010362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTTSRRGPGAGRNMDDENLTFETSPGVEVVSSFDQMGIKDDLLRGIYGYGFEKPSAIQQRAVLPIINGRDVIAQAQSGTGKTSMISLTVCQIVDTAVREVQALILSPTRELASQTERVMLSIGDFLNIQVHSCIGGKSIGEDIRRLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDEADEMLSRGFKDQIYDVYRYLPPELQVDWLTERMRSNNFTVSAMHGDMPQQERDAIMAEFRSGATRVLITTDVWARGLDVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVRKDDIRILRDIEQYYSTQIDEMPMNVADLI >Et_4A_035067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9198390:9201817:1 gene:Et_4A_035067 transcript:Et_4A_035067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNFLTTIKSLKLIEGCKAAQFYAFSSVGAASTSGSGGDAGAGSSGSSRPPPPPPPKSFSMRSGSSLYYPHAAPSSASAAEAHLPCGLPVAEALEPRLDACLRPVDLVGALGASFRRVSAAAADGDEDGLCDAYLEQHALLASAGDARLLRRALRCARAHADDPHRRVVLSAWLRYERREDEFDPAPPPLAPCTVTTPFLECPRAAVFASAGAGAVDAAAVCPCRRPPPPPSTPPPHRLRRNASSVAGAGDQVEEEAEAADQTSSDLWFVIGEEEVACERSCIAALSKPLNTLLYGGFAEAQRDRIDFSRDGISPRGMRAVAAYSRHGRVDGFPPNTIAELLGFANKFCCEGLKSACDDRLAAMVRGVDDALALVDLGLEEASHLLVAACLQAFLRELPKSLANPDVARLLCTPEGRECLDVAGNASFALYYFLSSVAMEQDMRSNATVMLLERLCESADLPWQKQLALHQLGCVMLERGEFEDAQGWFEEAVAHGHVYSLAGVARAKFRRGHKYAAYKLMNSVVADHDDSAAGWMYQERSLYCVGKEKMPDLQTATELDPTLTYPYKYRAVALLEEDNVESAVAEISRVIGFKMATDCLELRAWLYLVLEEYELAVRDVRAILTLDPGYMMFHGKMHGEQLIELLRGHVQQWDMADCWMQLYDRWSSVDDIGSLAVVQQMLTREPGNSSLRFRQSLLLLRLNCQKAAMRSLRLARNGSAHEHERLVYEGWILYDSGHREEALAKTEQSIRLQRSFEAFFLKAYALGDSSLDTESALSVVQLLEHANSCASDNLRKGQAYNNMGSIYVDCDMLDEAAECYGIALNIKHTRAHQGIARVHYLRNRKQVAFEEMTKLVNIATNNASAYEKRSEYGERDAAKSDLNTATLLDPTRTYPYRYRAAVLMDENKEDEAIAELSQAIAFKPDLQLLHLRAAFFDSMGDNANTLRDCEAALCLDPTHGDTLELYSKASAKAEQQS >Et_1A_005035.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22882925:22883515:1 gene:Et_1A_005035 transcript:Et_1A_005035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKLLAISLVVLLSFGIANAARVARFSNAQGTGTGGGYGGASEDGSGSGFGRAYGTGLADHGAHARSESGGSGGGVSQPGGSAYGDGSGVGSSTASRSYGLYGAGSYSSAGGAGGGGGGGNAVGGSGSSGFGNGGGSGIGSSDANLYSGKEYNWGGPSTTSAKADGNGGGSGGGQVGGTGGGEGGGSAYADTHP >Et_3A_023746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11783617:11786344:1 gene:Et_3A_023746 transcript:Et_3A_023746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VDVRAYLQRHATQRRAPQRQERDSDPPARVLLPSSVSSPTTHPPDPVDLGGRAWGRSQGRKSGSSRSARAQQQQEGDKMFLWDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDDSLANVPFLILGNKIDIPYAASEEELRYHLGLSNFTTGKGKVNLGDSNVRPLEVFMCSVVRKMGYGDGFKWVSQYIK >Et_1B_013791.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:16823274:16825643:1 gene:Et_1B_013791 transcript:Et_1B_013791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQAKKRGAVGNGDGSMGGSLAAVIANNEDVGPIVRHAFESGKPETLLNSLNNIVKKKEVEIEEICRIHYEEFILAVDELRRVLVDADELKGTLSGENQLLQEVASALLLKLDELLELFAVNKNVREALAALKICVRVTSLCRICNMDITEGRHHSAMKTLDLIEKNYLQNIPLKLLKEVIQKQIPMIKLFIEKKVCSEFNEWLVYIRRIATEIGQGAMGQASLARQKDEEMRARQKEAEDCSRIGFDEHAYALDFEHMDEDAILEFDLAPLYKAHHIHILLGLGEKFREYYYNNRLMQLNLDMQISTSQPFVESYQPLLAQVAGFFIVEDRVLRTADGLLSETQVESMWETANSKITAILEEQFSQMNAANHHLLVKNHVNLLCAAMKKYGYQPTSLIQVLDKSRDKYLGLLLSDFRKQLGDIFSKDSYERMVIKKENEYEMNVAAFQLEPADKVPDLPYVAPFSSSVPSACRIVRSFIEDVVSYLSYGDSINFYDLVKSCLDKFLIEALNDGFLKLIHGGSLEFSQMVQIAGNISILEQSCDMFLWHAAQLCAVPRRLLEKPHSGLTARAVLKASGNAAYNVSISLVNTKIDEFMMMLTSINWTVEEAPEHANDYMNEVIIYLHAVVSSGQHILPRDALHKVVSGALSHISDSIVTVLLSDRVKRFNANAVAGIDIDLKLLEGFADDTFRSTGLSDLRMETSFKDCLLEIRQLINLLLSNQPESFANPIIKEKNYGSLDHKKVAIICDKFKDAPDSLFGSLSSRNTVQNARKKSLDVLKRRLKDFS >Et_1B_011066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17113535:17116872:-1 gene:Et_1B_011066 transcript:Et_1B_011066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDMEISAAGGGGGSRKPPQMSIVGLFMACMVAGGVQYGWALQLSLLTPYVQTLGIPHALVAVMWLCGPIAGLVVQPCVGLYSDKCTSKLGRRRPFILTGCIIICLSVIVIGFSSDIGYALGDTTEDCKVYKGRRLRAALFFVMGFWLLDFSNNTVQGPARALMADLAGRHGPSAANAIFVSWMAIGNILGYSSGSTDKWHEWFPFLQTRACCEACANLKAAFLIAVVFLGLSTVVTMIVAKEAPLEPSSASQSGDGEPSGPFAVFSGMKNLPPGMPQVLIVTGLTWLSWFPFILFDTDWMGREMYHGRPDGSPAEVAAFQEGVRQGAFGLLLNSVVLGVSSFLIAPMCQKLTAKVVWVMSCVMVCVVMALVTILSAWSLGDIGGSVQDAATEKGLRGAALALFVFLGFPFAVLCSVPFAVTAQLAESKGGGQGLCTGVLNISIVVPQMIIAVGSGPWDELFAKGNIPAFGAASVFAFTAAVAGMVMLPKMPKTNFRSVSMGGGH >Et_9A_063245.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17337802:17338089:1 gene:Et_9A_063245 transcript:Et_9A_063245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSCLASLGLLPLLAAHCGVLLALAGAAHGHAPVAGDALRSAFYDESCPSAHDIVRRVIQNARVTDPRIPAGQPDPPPLPRLLRSGLRRLASA >Et_1B_012558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33080683:33103630:-1 gene:Et_1B_012558 transcript:Et_1B_012558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVQVGVGGGAGRVGSFCARRVGSKKRAVLGCLRFLGRIIPGSSSLSLGDPDVLLGNVTASPRSQNLQLGGIALPNPPPRDERMMTRGRRRSPAAASPLENDDILTEIVIRLPPSPSSLPRASLVCKRWRRLISDPVFLRRFRARHRRNAPLLGFFSHAWSEPSFTPTMDPPNSIPAGRFSLELDEGSEILCCRHGLVLILNQKQLHVLVWDPVAGEVRRVALPPEFSDGGRAIVTHGAVLRATRDVPDWDDHSIPFIVVLVANGEGEGASVCVYSSVTGLWGDIISTACPSMIPMPISSALVGGSLYWMLSKDRGRSGILEFDMDKQCLGVIDVPPGILFYYHCHHWIMRTECSSLGFLCVSGYTAQLWMRKTGYDGVARWVPGRTIELDKLLSLDTDKDGGLQRGGASLRRGRGWVLEPLPACRGLTQAIASVYSSKTGEWGDLISTTCPSMVPSLHSGSLVGGSLYWFLRGRSSIGILEFDLERQCLAVIDMPQVQHHTHDWIGQFRIMPTEGGGLGFLFLSSFRLQLWKRNTDGDAGWVLRKSVELDKLLSLNLEVTKALSVYGYVEENNVLFVTSRATMFVIQLDSMQLKKSFGTPRVYCGNNRNIIPLNTLHTASPLENEDILSEILLRLSPAPSSLSRASVVCKRWRRLVSDPAFLRRFRTRHCRNAPLLGFFSKFQNELVFTPTLDPPDGIPPVYFSLQFDNAPPWRILCCRHGLVLLHFQTRRQLLVWDPVTGDQHHFAVPPKFHSNKDMLVINGAVLRAASDVDSVGHSRNFKVMLLGRDARISRCYAWAYSSDTGEWGDPCPSSFPWSVIPSTLVAGSLYWVLVSYSPSVRALEYDLDRHCLSVIEMPLEGPNLRPLLPLGSDEGLFLTMSICGFVEDKNVLLVRTRAGALMIQLDSMQSNGTCSPRNIMVPHCSNANPRSLRYLNPFRSEHLLSCFSATAAGAPCRAASGTPCDGNAAGSTAADETDSAAARKRPEMSRRGGRLRSAALLPHGLFITLCFLTAMPRAADGGMFSLSLLQPAPRTAICDGMGSYGAGAAGRRERRCAGIAGVEMQMSRRWRWYL >Et_6A_047429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5684306:5684852:1 gene:Et_6A_047429 transcript:Et_6A_047429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRSQYIPEEARSTIMNFFRILLNLFVCVVNALPITVMFGMCSSFLFMAPILQRRLMVVSDLHRSRNKFHAEAVEMTAEDEPLNP >Et_1A_009249.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35228648:35229811:1 gene:Et_1A_009249 transcript:Et_1A_009249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVHYLPIILPWLLYIIHSGEPALAKVPALFVFGDSTVDTGNNNFISTVIKSDFAPYGRDLRGSSSNGQPTGRFSNGRLAVDFISEAFGLPPLVSPYLDPNVSMSSLATGACFASAGAGYDNATSDLFVSHPTHIVHATSDDGLTMPRQSRRLLCLQSVLSLWEELDYFKEYAAKLRSFLGDDKARETLSEALYIVSMGTNDFLENYYAVPQGHAAQSATASEYGAYLLGVAESFVRALHALGARKVDLNGLPPMGCLPMERRAVSGACTEAYNSVARNFNAGVRDLVARLDAELGEGGARVVYGDVYSGVADVLADPAAYGFEDVGVGCCGTTGRFEMGYMCNQVGLLTCADAGKYAFWDAIHPTEHLHRVLAEKKMNTTLYIFQ >Et_9A_061450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12129107:12141953:1 gene:Et_9A_061450 transcript:Et_9A_061450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCADDTDAWMPEGFAELVAARDHQRGLIFRGWAPQTQILNHPAVGGFMTHCGWNSVLETVSAGVPMVTWPRYADQFYNEKLVVEVLEVSVSLGSAEYASKLEVRDSVIRGETIAEAIGRLMGEDEAAEARRRKVGELGAKARRATEEGGSSYQDLKSAQLRHGAKELRDAFIEVVGVDAFVNLVSDVGIPSVNVLLERSRYFKIGGNNPAMAADDEQQQPLHILLFPYIAPGQLIPVADMAALFASCGVKGSILTTTANATVIPRRPPARQRHPGLPHRVALRQSQLMVMDRDKHRFEWEYYELVDAADARSYGEVFNSFADLEPCCFEHYTAALGRCVWLAGPLAHARTDDIAGAGMLCPDVVALPGLPKVQNVPPCQGAAQVAPQPHHVGVPFTLRPTLSRPRTSRATRGSLHSAMAADDEQKKPLHILLFPYIAPGHLIPVADMAALFASRGVKCTILTTPANAAVIRSAVDRANAAAASPEIDMNTVPFPDVGLLPGAESVTDVSSEADMFKMLKGTDLLREPFELFLAQRRPDAVVADSFFPWAADAAAAHGVPRLSFLGTSMLARACTHALVAHNPLELAPEDGGDADVVALPGLPHRVALRRSQLLDPAAKPKHRFEWDFYARVDDADARSYGEVFNSFAELEPRCVGHYRADLGRRVWLLGPLAHARTDDDDAISGDGERWCLRWLDGKPPGSVAYLSFGTLAHFSPAERRELARGLQQLSGKINFLWVTNSAADTDNTSPEWMPEGFADLIASGERGLIFRGWAPQTRVLNHPAVGAFVTHCGWNSVLEALSAGVPMVTWPRYADQFYNEKLVVEVLEIGVSLGSAEYASKLEARDSVISGETIAQAVRGVMGDDEAAEARRRKVKELGAKARRATEKGGSSYEDVGRLIQELMARRSSVARA >Et_8B_060522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:191146:194650:1 gene:Et_8B_060522 transcript:Et_8B_060522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLRRTGREMKLGGRKEEDRIISKLANSRWWDEPGRLGQLPEEPSSQEPQQQEEEEMSKSKSKVPLGSGDEESNGEPKEGAVVTGNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVAESIAHFARRRQRGVCVLSGAGTVADVALRQPAAPGAVVALRGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGTLTAAGPVMVIASTFANATYERLPLLDDDLQAEDEAPQMQLHQHQQQLPDPSSLIMLLPPSSLMPGASSGLHLGHDGPLIASAAWPHHARPPPY >Et_2B_021183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27212781:27214246:-1 gene:Et_2B_021183 transcript:Et_2B_021183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWFPVVADSGPVFNVTDFGAVAGGHTDNSKAFLMAWMKACATPGRPAVVVPKAEYLLHPLVFRGPCRGYMELHVAGVLRAPDGLGAFRGWREWIHFAGIDGLLVTGGGTFDGRGATAWPLNECPRKRDCTLLPTSIKLGRVRNATITGVTSMDSKSFHITVAGGHDVRISGVTIRAPRDSPNTDGVHIQGSSGVRVTDSAIGTGDDCVSVGPGASDVVVSGVRCGPGHGISVGSLGRSPGEEDVRRLRVANCTIAGTANGVRIKTWRGGTRPTAVAGLVFEDIVMKRVRNPIIIDQEYCPYTTCRESKMRPSAVSISDVKFKNIRGVSATRVAVKLSCSEASPCQGLELRDIDLRYVRRGLATQSRCAHVAGGVVGGTLVPPSCI >Et_7A_052115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4803744:4805372:1 gene:Et_7A_052115 transcript:Et_7A_052115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSPWRCICGPQQSLSKNRGELAPSSPGRRSKYSGQPVRVMPVRLLTVGKKRSRGTQLLVEEYKEKLGYYCNFEDTLIRSNPKLTSDVKVQVEAEDTAMMQQLKTEDFVVVLDENGKDVTSEQIADLIGDAGNTGSSRLTFCIGGPYGLGVQVRERADATVRLSSLILNHQVALIVLMEQLYRAWTIIKGQKYHH >Et_10B_002581.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17064482:17064574:-1 gene:Et_10B_002581 transcript:Et_10B_002581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGKKHACGDAACGVMKRRLVRRGVACLI >Et_3B_031642.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6663339:6663998:-1 gene:Et_3B_031642 transcript:Et_3B_031642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETDPWEKQIGEGVAELSACCRHVRDLLLERVPEHVYHPRMFRTSEAEFGAELLALAENKLGDASISLAEAVAGMDAAEILALRSGGSSPASPLSSIDALLLADRDNTPLWRGLGSLQKARALAEDLFGAMERCRGRLGAAAVLLDHPAVPGVDDCMEAEREAAESELAAAEQGAVEIEDLVSAACQFFGVSGLSESMEVPLDSDCDSEDLWKSSDE >Et_4B_038938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6143033:6146745:1 gene:Et_4B_038938 transcript:Et_4B_038938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LHNPPPLPAAKPYPASPSPPRSPPPVYGVGVIGLAAPQLRLCPQHLMFLSRIVLRDLDSIDSPSSMASSKKVVTRDEWERKLRDVKIRKEDMNRLVMNFLVTEGYVDAADKFRVESGTQPDIDLATITDRMEVKRAVQSGNVQEAIEKINDLNPTILDTNPQLYFHLQQQKLIELIRAGKINEALEFAQEELAPRGEENQAFLEEIEKTVALLVFEDVKNCPYGELLDVSQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWTQNQLDEKAAYPRINNFSTAELEDPTI >Et_9B_065836.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14568361:14569884:1 gene:Et_9B_065836 transcript:Et_9B_065836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNLSTESIFSKKYGLLGKEEAHENAKRIEQECFSSADEHFKKEPDGDGSSAVQLYAKETSKMMLDVLKRGPRTTAEPEAPVVDTPFEPADTVFDISGGKRAFIEADEAKELLGQLTKPGNSYKRICFSNRSFGIDAANVAGPILESMKNQLTEVDISDFVAGRPEDEALDVMRIFSKALEGSVLRYLNISDNALGEKGVRAFTELLKSQENLEELYVMNDGISEEAAKALSELIPSTEKLKVLHFHNNMTGDEGAMSIAEMVKRSPNIESFRCSATRIGSDGGVALAEALGTCTHLKKLDLRDNLFGVDAGIALSKTLPKLPELVELYLSDLNLENEGTVAIANALKQSAPQLEVLEMAGNEINAKAAPALAECLTAMQSLKKLILAENELKDDGAVIIAKSLEDGHTDMKELDVSTNMLQRVGARCFAKAIADKPGFVKLNMNANFISDEGIDEVKKILKDSKKSLDVLGPLDENDPEGDPDEEEEEEDAEDDDGELGSKLHNL >Et_5A_042108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6393673:6397419:1 gene:Et_5A_042108 transcript:Et_5A_042108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSNLGGGDGMGRSGLLGGDGIGGSGEAVEAEASLRIQLAVPAAAQTGRWDAAHLAAKDQRRPEVPASAMLLLAATLRAATRSASTGGSSRGRRNAAETTTTTKMNFWTTLFPGMRQHDQTRVQAASIQVEEETNAFLKLKAFANRIGQLKALYGFIKQLHSDTGLGINPETGWPEATDDWWNAHTQGKSEWKKYKYQGPEYLPMLQQVFDGVAVDGSTGWEPGEEQSTMFFDQGLSSDDELVAMITDDDEVEEQGLLLLVIRMTYAFSRDGAMPFSSVWHKVNKQEVPINAVWLSAFISLCMALPSLGSLVAVQAMASVSTNALCIAYALPTLFRITVARKCFVPGPFNLGRYGVVVGWIAVLWVATITVLYQTTRYVPYSSTIVLHRIFNLNYQWK >Et_4B_037673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22385220:22390339:1 gene:Et_4B_037673 transcript:Et_4B_037673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLMHLEGNVYSCKHCKTHLGLAGDIISKAFHCKHGKAYLFNKVVNVTNGVKEDRLMMTGMHTVSDIFCVGCGSIVGWKYVAAHEKSQRYKEGKFILERYKVSGPDGSSYWATHEAHLGGSDADDGNAATAADAVAEMAEQLTIGTVTDNASTGGPVDLGAEGDVYSCKDCRTHLGLASDIVSKAFLCKKGKAYLFDKVVNVTAGVKEDRMMMTGLHTVSDIFCGGCGAIVGWKYEAAHERNQKYKEGKYILER >Et_1A_008256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5732610:5736353:-1 gene:Et_1A_008256 transcript:Et_1A_008256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EKLVEDSQDTRTMDRFKLIKEVGDGTFGSVWRAINKQNSEVVAVKKMKKKYYSFEECMSLREVKSLRRMNHPNIVKLKEVVRENDILYFIMEYMECNLYQLMKDRVKPFPESEVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDIIKLADFGLAREVSSVPPYTEYVSTRWYRAPEVLLQSSIYDSAVDMWAMGAIMAELLTLHPLFPGTSEPDEILKICNVIGSPDEQSWPEGLSLAATMKYKFPQIKGNQLSVVMKSASSEAIDLISGCTYVPPPVRPKAGGLPKTPPCVGAKGISENNVARRFSTGTLSTMKSSASVKSNALSKTGVQRKLQMDRQAPLKSTRSTDSRNPVLRHSRSLPETGRAMHKVSAITERLSNMSVTTRTRSTVKPPAVMMKAGHGKSDFIGKSDEIPPAKRLTRKLVS >Et_2A_018213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18320739:18323828:1 gene:Et_2A_018213 transcript:Et_2A_018213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMMDAGESRSPARALRRLAGAAVSAVLLRRTFSASKCKTEARMATARMKLLRNRREAQVRQMRRDIAALLRDRQEDTARIRVEHVIREQNTMAANEIIELFCELIVTRLPIIAKQKECPADLKEGICSLIFAAPRCSELPELARMRDLFEKKYGKDFVSAAVDLRPDAAVNNLLIEKLSVQKPSGQIKLKILKEIAKEHQIDWDTAESEQELLKPPQELIQGANSFVEATNMPVKTTLKPHVVQPNPAKFSSGYSDDECDNGGTMQFEDAHDEYDNGGTMQFKDAASAARAAAESAKRAASFAKAAADFANKNSHTFDEAEDCEVSASESTQPRKRQSMSNSSRSSKKDVDAADDLKYHGRKCSSTGSFSGLNHVEDNDTSPADLDTRKMCKRNSRSTRKVHSEIKFDDSEGLCSESEDENDVEIQSLERPTPPTRKPFSENRHSEEDEPNHDFPELPKANLHSRVHPNMPLDYETLTARFEALKSSKLP >Et_1A_004855.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12406823:12406840:1 gene:Et_1A_004855 transcript:Et_1A_004855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHN >Et_7A_050238.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14585511:14588217:1 gene:Et_7A_050238 transcript:Et_7A_050238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARHSSLTRASYAAAYAPRNAHRALHAAPAPDHSHHTATSALHGARGAGQEHLGWMLGSSLRSGALELAAAVKSAAALPDGGALGRCLHGLAVKAGRAGSAAVAKAVMDAYGRSGALADARLVFDEMAHPDAVCWNIMITACSRAGRFDDAFGLFRSMLVCGMDESMPTAVTVAVVVPVCAKLRHLWAGRSVHGYVVKTGLESDTLCGNALVSMYAKCGGSRALDDAHRAFSSIQCKDVVSWNSVIAGYIENGLVEEALALFGQMVSQEFLPNYSTVANILPVCSFMEYGSYYGKEVHGFVVRNGLEMDISVCNALMIHYSKVSEMKVAEFIFTSMHRKDLVTWNTIISGYVMNGYHRRAIDLFQGLLTTGVAPDSVSFISLLTACAQVGDVKTGMRVHGYIFRRPVLVQETSLMNALVTFYSQCDRFDDAFRAFTDIWNKDLISWNAILSACAIREQHIEMFFTLLGEMCRQGNQWDPVTILNVIRVSTLCGIKMVREVHGYSMRVGYTGEASVVNAILDAYAKCGYPQYASILLKNLAGRNIVTENTMISCYLRSNFVEDAEVIFNQMAEKDLTSWNLMIQLYSQNDMDGQAFNLFYHLQSEGLKPDIVSITSILEACIHLCSVQLVRQCHAYMLRMSLEDIHLEGALLDAYSKCGNITNAYKLFQVSLNKDLVTFTAMIGCYAMHGMAEEALQMFSKMLELNIRPDHVVLTTLLSACSHAGLVDPGIKIFKSIREIHGVEPTAEHYTCMVDLLARGGRIQDAYSFALDMPPHAVNANAWGSLLSACKVHGEIEIGQLAADNLFSMDEGDIGNYVIMSNIYAADEKWDGVEHVRKLMKSRDMKKPAGCSWIEVEKTRHLFIASDVKHQDRSRIYNVLGSLYQQIKDSQAQSQL >Et_8A_057065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20780931:20786707:1 gene:Et_8A_057065 transcript:Et_8A_057065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHKLPMLENLEIYFTYVINRDVKMLRSVCQACPNLKKLVLMYAGPCDLECNKDDFDKEPIDGEIPKMHELRTLELYECDLTGYGLTSILNSCPLLESLHISGYFNKHHINDEELRVKYAGVKKLTLPTRSKPSDRCYSMTSPQRKRLKPTSSTVRDWAALPRNALFVVFLKLGPSEIMLGAEHACKAWRRVALEEPALWRRIG >Et_2A_015216.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8323648:8323773:1 gene:Et_2A_015216 transcript:Et_2A_015216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDRGPDSMTSQEEQSPMVKAKEESSYTRLAAMWELTSHI >Et_3A_027158.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3653391:3653768:1 gene:Et_3A_027158 transcript:Et_3A_027158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGKMVQVAAALLVLVAVAAEARNLKTAEPAKDDAVVQPQTFPPFDRLGGGASPAFGGLPGAGSSIPGFGSMPGGSSIPAFSGMPGVGSIGSMPLFGSPGLGGFGGMPGSPAAGSVGEQAKKP >Et_2A_016596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26411443:26415311:-1 gene:Et_2A_016596 transcript:Et_2A_016596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKSQQGIMAQWRPRQYVLTGAVVILAISTVTIVISILLRPAHIYFSVADLSYNKDLSDQLRKEGRTMAIGYAFNLTAHNPSRRAGVVYRYVLVSMRMGSHGSLVVRPAWVPARVTDDESSLHQGRNSNRTMAVQAVLDNDFLGFYSTKPYAMISVFAQAQFKVGLARSRVYNLRLKCAPIDFASVSTKSGTAGLLGSLDKTVAAADR >Et_10A_002059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20948089:20950303:-1 gene:Et_10A_002059 transcript:Et_10A_002059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDGFEIYKLDLEQQCGVELQTLGVDALLIGHSYTCCLSTNDYPKLLPDHVYFTDDSEYWLMERKNIRRDVGNMNLRRQKKRTREQEMNVFSSHIHKRQIPE >Et_5A_040193.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20858378:20859196:-1 gene:Et_5A_040193 transcript:Et_5A_040193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RELTWPASPLDARESLCRPAWAKRAAGRAPEKALEPRKRSRSVAAQGGGEDGSVPARRLAERSSVRRAGAPAQRSGASAPSSELLLASRTARPWSAARSGSAPPSRLEERLAARSAPPPPAAAERSGARVPVSALRLRSRSSRRARPASAAAGMGPARPRPGSRSAATRPSAASHSTPSQAQHARPAEDHAARRAAAPPPVRFPAKARSARRSSGWHGAAGTAARAEVSRAAESARKKRNRSGCAPLVAMDLGGAQAHDERDMGGASARLLG >Et_6B_048777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13590367:13592269:1 gene:Et_6B_048777 transcript:Et_6B_048777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADDVAPPTASAYLDPTYWDERFGKEEHYEWFKDFSHFRHLLGPLLSPSISVLEVGCGNSRLGEELLREGVAGGITCVDLSPVAVQRMRVEVVVADMLDLPFESESFDLVIEKGTMDVLFVDSGDPWNPNPTTVDNVMKMLEGIHRVLKPDGVFVSITFGQPHFRRRFFEDPGFTWSVEYSTFGDGFHYFLYTLKKGKRSLESNSCQHTLPAAPKLNMFHEELEGEDYIFRTNVDEL >Et_7B_054709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3769920:3779507:-1 gene:Et_7B_054709 transcript:Et_7B_054709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HCEKKASNLLKYVTGSGCFQGLADSLPAASVRHFSSVPSSQTDSTEENGFKGHGMLAPFTAGWQSNDLHPLIIERSEGSYVYDVDGNKYLDSLAGLWCTALGGSEPRLVKAATEQLNKLPFYHSFWNRTTKPSLELAEEILSMFTARKMGKVKLVWYYNNALGRPNKKKFIARSKAYHGSTLISASLTGLPALHQKFDLPAPFVLHTDCPHYWRFHLPGETEEEFATRLANNLENLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFDKVQAVVKKYDILFIADEVITAFGRLGTMFGSDMFNIKPDLVSLAKDGVRAFADSPIIGEIRGLGMILGTEFTDNKSPNDPFPVEWGVGTIFGQECQKRGMLVRVAGDAIMMSPTLIMTPGEVDELVGIYGEALKATEERVAELKSKQK >Et_3B_027927.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26989945:26990823:-1 gene:Et_3B_027927 transcript:Et_3B_027927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNAANTCVRSLRSRVDITEGVPRHAAVVYCPSCSSYLQPPRSWLRAAPESPELMQILLRRVHRPLAHLGVTLSAAKFIFTEPHLKRLRLRLRLRHEVLHGVTMEQAHVVEFTVHDRLCDGCGRAQADQDQWAAVVQVRQRASHRRTLLHLEQQLVRHGAAAAALAWTPQPAGSTSSSRRAPTPRVAPRGLRLLRGARPRRHGEAARVARHQEQHVRLQAHVRRGALPRRPRLPPPVGVLRPRRTRAPGPLRQGHQRDRAARHLQRARRRARRQGVRPVQVRAAAHQPPAR >Et_3B_031672.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:7468989:7470590:-1 gene:Et_3B_031672 transcript:Et_3B_031672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLHFVLVPLVAQGHIIPMVDLARLLAARGARATVVTTPVNAARNRATVDAARAAGLDVELVEIPFPGPEHGLPAGLENVDQMAEKEMFLPFFKAQWQMEPALDEYVRSLISLGRRPDCVVADSCCPWAAPVCERHALPRLVLHCPSAYYLLAERNLSTHGVYARVADELEEFEVPDFPVRAVGNAATFRGFFQWPGVEAERRDVVEAEASADGLILNTFRDNFEGVFVDGYAAALGGKRTWAVGPTCASSIVMDSTAAKAGRGNRADVDAGAVVAWLDARPTASVLYVSFGSIAQLPAKQLIELARGLEASNRPFIWAVKEAKHNAEVQNWLAGDGFEERVSDRGLLIRGWAPQVTILSHRATGGFLTHCGWNAALEAVAHGVPALTWPNFADQFSSERLLVDVLGVGVRSGVKVPVMNVPAEAEGVQVTSADVERAVAELMDEGAEGTARRERARELAAKARAAVEEGGSSYEDLSDMIRYVAELSRKKSQERDATARAAQLLPSAPELGGGSDKSGKIEAEAARLSVQS >Et_7B_054500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21993843:22005972:-1 gene:Et_7B_054500 transcript:Et_7B_054500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSDSSSSSSFGGADHRSFRQITRDRLLIEMLRSTRKSSKSTWKVLIMDKLTVKIMSFSCKMADITEEGVSLVEDLYKRRQPLPSMDAIYFMQPTKEKAYVFFSSPVQRELVAHIKKDSTVLTRIGALSEMNLEYFAIDSQGFLTDNDKALEELFSESAEGSHKYNACLNTMATRIATVFASMREFPRVHYRVARTIDASTLTTLRDLVPTKLAASVWNCLARYKSAIPEFPQTETCELLIVDRSIDQVAPIIHEWTYDAMCHDLLGIDGNKYVQEVPSKNGSANEKKEVLLEDHDPVWLELRHAHIADVNERLHEKMTNFVSKNKAAQLQQARSGGELSTKQLQKMVQALPQYNDQIDKLTLHVEIAGKLNNIIKEQHLKDVGQLEQDLVFGDAGTKELINFLRTRLDVSRENKLRLLMIYASINPEKFESERGLSAHDMIAVNNMRCLRGPDIKKSSSVAGFSLKFDLHKKKHGIRKDRIGEESTWMLSRFYPILEDLIEKLSKGELPKDEYHCMNDPSPSFRGIPGSTSARTSPAHQPAQSMRSRRMGGTWARPRNSDDGYSSDSVLKHASSDFRKLGQRLFVFVIGGATRSELRAAHKLTGKLKREIILGSTSLDDPPQFITKLKMLSTEELSLDDLQI >Et_10B_003619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3846016:3858336:-1 gene:Et_10B_003619 transcript:Et_10B_003619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEQSRRHRRRRLTAAGADRSQGGARRGSLLELILLRVRSHRCLVRAAAACRPWRRLIADNGFLRRFRSVHAPLVVGYFYAGATTAFVPAPTQPGKAVAAGGVSSIVSLDFLVRNYGDISNLFLSDCRGGLLAFIRSDSSVVVCDPWTKQNRVLVPPLMPGLRWFCYDAFLLDADEIGTARVLCVCFSFDYDKQNRRMRAQVSVFSGTDDHCWLLLDSTVIVENLIFMAVLCLGESAWTLSKVCVGRVGGSIFWSVRGFYVFQVNETTGAFSFFYMPPGPVIVNQKNLGYERQKLRVVGRDARAARVVRIVGEELQVLQLVDGGGMCVEEKRVDLSQLCNIEVRPDRSWHFLELSEAVAPGCVVLSPDDEKCTWMFYVNVESMEVERVNRRNCHDRPLFPYEQPWTPTIKACLRRRLIAAGRECIRRGATRASVPTGATNIDDLADELLELVLLRVSSPLSLVRAAAACKPWRRVIAADGFLGRFRSLHGPFVLGHFYAGADTAFVPAPTPPGEDATAGDVGSHVSLGFLRNFATTSDLFLTDCHGGLLAFVRWDPKDIVSSRWTRDPSVVVCDPSTRQHRVVPWPTPGQALVCLGAFLLDAEEAGTMPHMSNFRLLRAYLVRDTQNIVRIYAQVSMFSAKEDRWVLLASPIDNVVNVATFISGPNTSKVCVGRAKGSLFWSFRGRYVIHVDESTGGLSSFLIPFSPYWSGFNWDTYDRWKLRVVDNDAREVRVVRIVGEENLEVFRLVHGREVCEEEKRFNLSLLCNVKAGPDISWHFLELSESVEPGCVMLSPSKNYTWMFSIDVESVEVKRVEKRNWHARPLIPYELTWPPKIKACLQRRRRRRRPERSRVASPEYSRVGETSTAYRLTTIDDLTDDLLELVLLRIRSPFCLVRGGHMQAVAAGDRRHLLPPPLPLPPRAARAGPLHVCDKIVFVPSPVPPGEVPAVDDVRSRVSLDFLRSQPRQLADSRDGLLAVVEFSNIVVCDPWTRQEREIHRWFPWRNGMISRSMHILGVFFLDADDDEPAHLENFRVLCVHVVEDYGFGGVSKTAGTSVYSAQADGGCWRLLSSTAIVGDNLMSKLLGSHWSFVFVGRGGGSLCWSVEGNNVLHVDESTGEFKAFSLPPTPDVNGYGRWNLRVVGTRRLVRVVGDALEVLTWMHGCAECVVESRYKLSQLANVQASPEWPWFFLDTAAAETAGHVVLLPHDEYMWMFVVDVETMELERVQKRNWHADRVFPYELPWPPTIKACIGWAASSMDALPDHLLEIVLLRLNSPVCLVRAAATCRLWRRLITEAGFLRRVRHLIPPPVLGYYLTSEISGAIFFVDLAGVLHQTADDSDDDNYSLPNHLTDDSDDDDYSRPTDDRAVKLHKTADSNDNDAPPPTKDQDSWFPFSLDFLPPYVHKMVLADSHGGLLAFTDKFDLIVVCDPKTREYREIDLPPLDPEGDKDLWSDYVSVFLLDADDFDETDPSSLRMWCFRVLCVHVIRHFRDDVAQCAQVYVFSAMDDRWMLLSTTVADDILLALVALSKHVGHNKIDSHGYFVGRAGGSLFWGVPLGNDVLTLDECTGEFSVLVLPEPVGSDPTHRMQYHRGNLRAISGDAYTVRLVRIARNYLEVLTLVRDSGTCVVDRRVLIPGNVTTLSWNFLDTAPAAGPGCVVLSRRDCMNMLMFSIETMRMERWSERIKNVRVFPLELPWPPTIKARLHVNYWRDMGMACQRKTRWQCRRQRPTTASVHIHDLADELLELVLLHVSSPRCLVRVAAVCRPWRRLIADGSFLRRFRSLHAPLFLGHFHLGAKTIFVPSAVTPPGKALAGFLNSSANYMGSDLDLIDCRRGLLAFFATIYRLVVEGSWLWLSSGDVGDLLMARADDLYNYIGKILYLDVTTQQFSSFTLPEPVANSTGSWNLHVVAKGTHAAIRLVCILGGSDLEVLKLAHGCGQCVVERKVSLNQLSGMDTRPAPCRCCSWRVLDKAGVATGGHVELLQDQEGCGLMFFLDVEAMVLKRQQKIDCLANRVFSYEMPGPPTLNECV >Et_3B_031227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2224973:2227410:1 gene:Et_3B_031227 transcript:Et_3B_031227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEALHDE >Et_2B_021800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5640931:5642240:1 gene:Et_2B_021800 transcript:Et_2B_021800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTNGSSAASAPGKLPRKRFYRARAHSNPLSDSHFPVPVSPDEFDLSQHYPRYFPADKGDGEVAAAAAPRIRFADVGCGFGGLLVGLSPLFPDTLMIGMELRDKVTEYVKERILALRASNPGQFDNISVVRTNSMKYIPNYFRKAQLTKMFFLFPDPHFKEKNHRRRVISMQLLDEYAYVMEVGGIIYTITDVEELGEWMRSCLEKHPLFEAVSDEEIKADPVVNLLSSATEEGQKVARNGGQTFQAIFRRVSLQEE >Et_10B_004304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3195577:3197921:-1 gene:Et_10B_004304 transcript:Et_10B_004304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAQRGRWTAAVSWGTGRARAGRSDSWGAAARGAERAQGGTAHGVQGGAARGKHGGACCCFWHGTSSACCCFWHGLNSMPPLPLVPRALRSSPSSSPGRRAPRARHSLDGTPPVMDAVAASRCRPTSGRHARPRDPGAARPTQSLICLSIQSIRCQKSKTINPFAMAQSKESSTKNTSESFDDLISKLPSREGWTEPLFLYKNYWFRRCLLERVMLAQESFKARHDDTILATNPKCGTTWLKALAFTITNRSQHDFNNHPLLTRHPQEVAKTIEVEILCNGDLTCIEELPSPRLLATHIPLSLIPRMHLCHYGTF >Et_1A_004740.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35876999:35878213:1 gene:Et_1A_004740 transcript:Et_1A_004740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKTDDLDEQNEASEAEVNVPQDAQGIILSFLPGRVVVKIRGVCKFWRDCVEEPSFVDRHLSNAFRFHQSIACFTSLDHGLARMYTFDPTTMNFKSVDHVFSNRFHMSGPCNGLVCAYDFKGNAEVLNPITRKHLNLPVSALKSKSLYSEYFLGFVHSTKEYKVVSIRHRVQFLTFEVSTIGTRSWRTVRESAELLKTTKAVIVNGAMYWLLLHDASSVLCREILMLDLTDETFSKIALPDAVKEHDLALVEGEGRLHLLSTPTDGSSNSVSAIWVADSRQVWMQLETVTRQVPVGMSLFFLYKMKIFCGCEDKLFCRDLLDNMVSCINIPPGESLLSCGTFVESFAPALEGLLNSTASYSSYGSCLTESSSAHTGPSSCGAGPEQSLERAKRTLNMEWKKIK >Et_1A_004574.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:19415660:19416037:-1 gene:Et_1A_004574 transcript:Et_1A_004574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSGECPFCTQNEDIEHLFLRCPRAISIWHALGLPTPHSDCTVEDLWQNLGVLNSTKPKIRNAVLTTILWNIWKCRNAKIFRHEDESNYSVVARCIEDLTLWSNRCNQAIDRALMMAWCERLSP >Et_3A_023017.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:17531234:17531707:-1 gene:Et_3A_023017 transcript:Et_3A_023017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTTGRSTRGASRGWSSASGRGRSGTWIPGGSRARSGSGPGRSWPTRARSASARRGPGRRLPRQPRRPYRRPSQASAGRSLLRRKSFKHYDVAKHRSCHIVAPEFDSFYFLFFPLFDFFHSIHYLSLLLKE >Et_2A_017117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31078945:31083842:1 gene:Et_2A_017117 transcript:Et_2A_017117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAVVLRTAVGWAARDAGGHLSPYTYNLRKTGPEDVLVKVLYCGICHTDIHQAKNHLGMSNYPMVPGHEVVGEVEEVGDEVTKYRAGDIVGVGLIVGCCRNCHPCKSSNEQYCNKKIWSYNDKYTDGMPTHGGFSSAMVVDQKFVVKIPSGLAPEQAAPLLCAGVTVYSPLKKFGLTSPGLRGAILGLGGVGHMGVKIAKAMGHHVTVISSSDRKRAEAVDDLCADAYLVSSDAEAMATAADSLDYVIDTVPAHHALEPYLALLKLDGKLVIMGVIGQPLSFLSPMLMLGRKSITGSFVGSMEETEETLAFCEAKGVTSQIEVVKMGYVNEALERLERNDVRYRFVVDVAGSKLDDDTSEASERTVVGWAATDAGGHLSPYTYTLRKTGPEDVVVTVLYCGVCHTDIHQVKNHLGRSNYPMVPGHEVVGEVEEVGAEVTKYRAGDVVGVGIVIGCCRQCYPCKSSNEQYCNKKIWTYNDVYTDGKPTHGGFASAMVVDQKFVVKIPAGLAPEQAAPLLCAGVTVYSPLKRFGLTSPGLRAGILGLGGVGHMGVKIAKAMGHHVTVISSSDRKRGEAMDHLGADAYLVSADGEAMAAATDTLDYVIDTIPVHHPLEPYLALLKLDGKLVIMGVIAQPLSFLSPLLGIMRKSITGSFVGSVEETEETLAFCEAKGLTSQIEVVKMEQVNEALERLERNDVRYRFVVDVAGSNLNGA >Et_5B_043862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16979644:16981148:1 gene:Et_5B_043862 transcript:Et_5B_043862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SGRHASVPAAAGHRDDVGDGKKVYIVFTKRQPAASSKVLESDVGATIESFHHGLLNDALEDTSSSSAPERVVYHYTRSMHGFAARLTEQEKKNLAVYRPQTTRSWDFLGLPLPQHNRSLPFEQDVIIGILDTGISPDSESFSDEGLSPPPAKWKGRCSQYAKCNNKIIGVWPYDQGLPDADLFAADSEGHGTHVAAAGRVVRDASLHGLAGGATPGARLAIYKGGASRRTSWPPWTTPSVISASIASDRVLEHSDDALAIGAFHAARRGVVTTVPAGNCGPTLGTVTNVAPWMITTAGTTTNRKIVSKVVLGNGKQYSLNIITLIFTLD >Et_3B_029509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25577121:25580355:-1 gene:Et_3B_029509 transcript:Et_3B_029509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRVGSAALLLLLLLLLLLGVECPGARAGQTSKYRRQLGSAVDMPLDADVFRPPPGDNAPEQVHITQGNHDGTAMIISWVTTTEPGSSTGISEDNLNYSANGKHTQYTFYNYTSGYIHHCTIKKLEFDTKYYYAVGIGQTVRKFWFWTPPKSGPDVPYTFGLIGDLGQSFDSNVTLTHYESNSKAQVVLFVGDLSYADNYPYHDNVRWDTWARFVEGNVAYQPWIWTAGNHEIDFVPELGETKPFKPYTNRYPTPYKASGSTAPYWYSIKRASAYIIVLASYSSYGKYTPQYKWLEAEFPKVNRSETPWLIVLMHAPWYNSYNYHYMEGESMRVMYEPWFVKYKVDVVFAGHVHAYERTHRVSNVAYNVVNGLCTPIPDQSAPVYITIGDGGNQEGLATNMSQPQPSYSAFREARFGHAILDIKNRTHAYYTCHRNQDGSAVAADSLWFTNRYWQPTDDSADQ >Et_1A_006136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18392483:18397040:1 gene:Et_1A_006136 transcript:Et_1A_006136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSMDDGEISASAYDTAWVALVPKLDGGEGPQFPATVRWIVDNQLPDGSWGDPALFSAYDRMTNTLACVVALTKWSLEPEKCKTGLSFLHENMWRLAEEEQESMPIGFEIAFPSLLQTARSLGIEFPYDHPALQSIYTNREIKLKRIPKDMMHRVPTSILHSLEGMPELDWGKLLQLQSSNGSFLYSPSATAYALIQTGDKKCFDYIDGIVKKFDGGVPNVYPVDLFEHIWVVDRLERLGISHYFQREIKQCMDYVNRHWTEEGICWARSSNVKDVDDTAMAFRLLRLHGYNVSPGVFKNFEKDGEFFCFVGQSTQAVTGMYNLNRASQISFQGEDILQRARIFSYEFLRQRESQGMLRDKWIIAKDLAGEVQYTLDFPWYASLPRVEARTYLDQYGGNDDVWIGKTLYRMPLVNNNVYLELARNDFNRCQVLHQLECQSLQMWCIENGLESFAVTSEDVSRAYFLAAACIFEPNRAAERLAWARAALLANTIAVHLHNNLQDKTRLGRFARCLYEEHDAPWPKRNLKDAILTRALWRLTDLLAQEAQPIHGGQNYIHKLLRLTWTQWMMQKINKEEETYSESNLKEPQYMAHDGQTCLLLFQIIEICAGRISEASSVIKNKDNDRHIQLSCSVCDNINHKVILSQEAESNEAAINSIDKEIEWDMQELARSLLRSDEKTSNRLTKEALWNIVRSSYYATHCPSYVIDMHVSKVIYEPV >Et_8A_056637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14150545:14151391:1 gene:Et_8A_056637 transcript:Et_8A_056637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGCVHYASHVICDVRTNTCLLGNLVVPGFLDSGKRDQAVNVFREKIFDETNCKDTISLNMIISSYGYSAFPHQAVTLFHQMQAQGYKPTRVTFIAVLGACSHAGLVDEALRYLEAMHRDYNVHADEAHYACIVDCFGRAGQLQNAYDFIKGMPLVPNVCVWGALLSSCRIHGNIHLAEIAAKKLTELDPQHSGYWILLKDIYEKAMRWNDGIKKCPGYSCIEVGDSELHRFLTADKLHRQHNQIYETLEGLTKQLIDEEYDPGILIEYS >Et_10B_003546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:348657:352009:1 gene:Et_10B_003546 transcript:Et_10B_003546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGDDFRLPAESLFLGFDCSTQSLKATVLDARLAVVAADCVHFDSELPHYGTDGGVRRDPAERGRIVAPPLMWAEALDLLLGKLAPLADLRRVAAVSGSAQQHGSVYWARGAGALLAALDPAEALAPQLAAAFAAAESPVWMDSSSTAQCREVEAAMGSAMRLAALTGCRAHERCTGPQIRKMHQTRPGVYDDTERVSLVSSFMASLLLGAYACIDETDSAGMNLMDIATRQLRKDALEATAPYLEDKIGRLAPAHAVAGRISSYFVQRYHFASSCLVIQWSGDNPNSLAGLTLSSPGDLAISLGTSDTVFGVTDSPEPSLEGNILPNPVDPKTFMVMLCYKNGSLTREDIRNRYAERSWDVFNRLLEETAPLNGGKLGFYYKEHEILPPLPVGYHRYVVKNLTSGPLDETVEHEIAEFDHPSEVRAIIEGQFLSMRGHAERCGLPVPPKRIIATGGASSNTLILKTMASIFGCPVYTSQRSDSASLGAALRAAHGWLCNQQGKFVPFSRVYSGKLDRTSLSMKLAVPFGDCEGNIELLNNYTLFVKKRLKIEQKLIEKLDRQE >Et_10A_000802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17504907:17505279:-1 gene:Et_10A_000802 transcript:Et_10A_000802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTGTDNYKTMHYCWDPVMLFNFCTSNMLDINSKEFTGVTLPPTCENRNLKFVEAEEGTLPDLEVPKCIIFSRALSIAHSANSLVCPAVR >Et_10B_004290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:340753:341769:1 gene:Et_10B_004290 transcript:Et_10B_004290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRRLVLLFLIVFLATTHVAGHGQAAAITQQGSMGVGSMMRTMVGSRPPICAGRCWWCGGRRCEAVQVPVTPQELQRINKNGRRHGSISSASAGGRGPSRSHSSLHPSSYDDHSNYKPLSWRCKCGQQWETIKQYIVR >Et_10B_004026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9450825:9456842:1 gene:Et_10B_004026 transcript:Et_10B_004026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPAAAAASSGAPTNWRKEADERLRRLHSLLFGADAALESGDAAAAQALALRLLGFLDTQTLAADAGPDAAFVAPIRAAASARLAAASRARAADSDRAAFELAKKDIGCVFAKLGDNSIEKVKSSRYFEAFLQKSKANVADQLSATCQEFASQGVPHNDESPTDTENEKLSIRASKLMIQTKLTSLCSSRSLKASGVPDSNMFKSAVSILKGCVDTDNSTNQNINKHPAYLMAEEDEKPRGSYQNAKRKHTGFRSPICEVVNSPSSNDEADAPINTFTTARAMMGRDVQKHGQNGPQGASVSPHCDNNYSTRNYGVRPSWNSRRGPRGSFVPPIRNNGGSGTTTSRVPGKSDDTMEDSTRKCIEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDRDPNVRWDDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIIRNLLEKDGLFMLSEEETSTICKLTEGYSGSDMKNLVKDASMGPLREALQRGTEITKLNKEDMRPVMLKDFENALQEVRPSVSSSELGIYEQWNRQFGSLSI >Et_9A_062447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2316251:2318807:-1 gene:Et_9A_062447 transcript:Et_9A_062447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHLRRCGGGALAALRRLRHFPAAAPASSPFAWRRPSLLLRPYSTAETSPELPANLVAIMEQRMKLIEQKSAYLQDQINQPAASPEEYSRANKEFHKLEGTMEMIKELRSKQKEIEGLKSMVTNTREDKDMREMAAEELLEAVEEEKQLQHELFRSLLPKDEADERDCILEVRAGTGGEEASLFAMDIFKMYEKYAQKNGWKFDVIDVMESTMKGYKEASGTISGPGAYGKLKFESGIHRVQRVPVTEKSGRVHTSAVSVAVLPQADEVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHIPTGTVVAIQDERSQHMNKAKALKVLRARLYEMERHRLHMDRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIADVMEGENLDVFIDALLLQEEMDAIASFAA >Et_1B_013567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9569958:9572763:-1 gene:Et_1B_013567 transcript:Et_1B_013567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRSDSSAGGEDPRTPAAADTVGGGSSEPSKKQRTEEPSASSSGAGECSSSSLPAPSQPEQAPPGGDEQVRVPDLGEDLVFEVLLRAEARTLASAACVSRAWRQLARDERLWEAACVREWVSLGYSEQMLRRVVLSLGGFRRLHELYIRPLQRPGAGVRRRQGPARMGRDQVQVSLSLLSTSFFQNMPTLNVPPDQKKKDKDHDKNGVKMSQPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKAVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNEDRVTLIKDYRSKIETELTKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGSERKDAAENTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDITEDTAEEIKEAPKGESGDGQ >Et_3A_026466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8439450:8451601:1 gene:Et_3A_026466 transcript:Et_3A_026466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHASLVAARHSANIWSPIYIRRAEVDHLDRNIIMPMTSTIDQKCLDLSYIGLETIQDNLMNLLSQSPNILTLEIKKAVFFFYVVHYPELAASPLDSHHSFITEFAEGQGEGFCVDDSEVILNVCITREFTGGTIYFRGIRCSDHSNFEIDNEEMVNYSQTLGQTMLYCGVHKHGALPQHLEPEFEYRCRHKDASFFVCNSFARSALK >Et_10A_000984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19889051:19891863:1 gene:Et_10A_000984 transcript:Et_10A_000984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLLPLRLRPLPASQPTASRPAPRPRLPFPSRRRMRLLAPQCRAGPAGPPAPRWHAALSAAAGLYPAYVTAGAAVAVARPEAFRWFVALAPGSYTCALGFIMLAMGLTLRLGDFVALLRDRPLAILFGCAAQYTVMPALATIISRALGLPPSLSAGLILLGCCPGGTASNVVTLVAHGDVPLSVVMTVCSTLAAVFLTPLLTKVLAGAYIPVDAVKLSLSTLQVVVAPIILGSSIQSAFPSVAKFVTPFAPLLAVLASSLLACSVFSENFVRLRSTIADASGNGNFFSGDIGVVMLSVFLLHFAGFFIGYAAAAIGGVKERQRRAISIEVGMQNSSLGVVLATAHFSSPLVALPPALSAVIMNIMGSALGLVWQCIIPSVSENETTDMPADA >Et_8A_056991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19974107:19979315:-1 gene:Et_8A_056991 transcript:Et_8A_056991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVVVGVSTGVLKLLITKLSKLLEDESAKLKGKEEKIKALRDELHAMSTAHGMLADAEDLNPVMTDWKNKLRELSYDIEDFVDSLPLRADRVKLMGFDGIIPKVMKLKQRHDTSSEIEKLMERATAVSERHKRYNFAELSSNSTTSASNLRLPALYEGIDRLVGIDGPKKQIIEELEVEKNGLWASMALRSKSSAKLKVVSIAGCGGLGKTALAKQVFHTIKGQFRCTAFVPISRRPDMRQKLRLIADGVGARHIKQDDEEQQLIDKLREHLQNKRYLIVIDDVWDTKHWETTKLALLNNNRGSRIITTTRSAEVASSCCSQGGYVYKMKPLSSDDSKRLFFRRAFDSENSHHPELEDVQDQILKKCGGLPLAIITLSSMLAHQSAKAEWNRVLNAIGSGLANKPEAENMTSILSLSYFDIPQHLKTCLLYLSVFPEDYIIEKYCLINRWIAEGFIPVEQGRSAFETGERYFNDLINRSLIRPVDVKYGEAKSCQVHDVILDFIKCKAADENFVTSLDATTEHQYSSEYKVRRLCVCNDNEENGALLTGLILSHVRSLTIFGHPVQNSLSDFTALRVLDLRECEDIEDRYLRSIEKLLHLRYLSLPLDSVTMLPEGVGELQYLQILDVRGSRIKKLPPTIGKLQQLTHLYVSNGTIIPDGTIGQLHSLEVLMEYGVQSYQQETSLQEFSMLTKLRTLTIRRNSDTDDSERMSADVFNSHVGTLLASCNLHDLHIFDSSSDVQPQCLDSWHPAASCRLWGLSFKSYPIYKVPNWMGTLRNLEVLKLQIVCVRSEDVEILGALPRLLFLELATAGGTRGRIVVYGSNGFRCLKHFSLEIHYCGTAVKFEAGSMPKLEYIELRFPLHKMECLNGASDLGLGIQHLSALKNVEVKAGSLRMVDDQGAHERVFRAMETAVETFPNHPTFNYHFVHKDCKHFEDDETSEEEEEEQHEDDDADSRQGWAGYVFGRL >Et_1A_008669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9735389:9737842:-1 gene:Et_1A_008669 transcript:Et_1A_008669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGEDGKQERHLVLAHKLFLLSHPDVDDLSKVSLRAEVLDAVKSDDMAPLLESLVAAGVLEPDAALLAEMRGRIDEEIRKLDEKIADAEENLGESEVREAHLAKSLYFVRVGEKEKALEQLKVTEGKTVAVGQKMDLVFYTLQIGLFHMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEALYFMATRNFKKAASLFLDSTSTFTTYELFPYDTFVFYTVLTSIISLDRVSLKQKVVDSPEILAVICKVPHLSEFLNSLYNCQYKSFFAAFSGLTEQIKLDRYLQPHFRYYMREVRIVVYSQFLESYKSVTMEAMAAAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQATIKQGDFLLNRIQKLSRVIDL >Et_10A_001678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6755681:6757193:1 gene:Et_10A_001678 transcript:Et_10A_001678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLLEIAGSRSQHSKGFRKDAMNIVEMVAGSIHLEHYPGWIQYLSSLLNAIEELIWVLEDYEQRNFLPMVYVRELEEQEKGRDTFIKILHDDIRQGMSILQSLAYNGEDNCRVIINTKVLLRKITAPIISDQLHRNHHDVWTRVAELSLDPMNDLALISGGMGIKLWSEISGSQKAIISKLECLECELLMKRRIVESLLYLSVDTSFIMASGSSGRIFTWILLIVFLFTDDSVDEIRLPPHQKKSSDISRLAREKLLDLVSSKCPEYVTIRIVLGDLTRAHLDTGNISNRVHAARILEHLCANYHKDDEYLKELKNAIANVMPESGAHDAAGLKSDLNRLFE >Et_2A_017004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30039673:30046685:1 gene:Et_2A_017004 transcript:Et_2A_017004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGDRITTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDQQWEFEPKKLAVGDAITGGDLYATVFENTLMQHHVALPPGSMGKISYIAPAGQYSLQDTVLELEFQGIKKQFTMLQTWPVRSPRPVSSKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSEAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGSPDRTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKALESFYEKFDPDFIEIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFNTLANQAVERAANADGQKITYSVIKQRLGDLFYRLVSQKFEDPAEGEEALVAKFQKLYDDLTAGFRNLEDEAR >Et_4A_032512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1089863:1095250:1 gene:Et_4A_032512 transcript:Et_4A_032512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CSIRWILSIQKVAQGNPISLNVISAFLLIGVLFVPIGLASLSASKEIVELIDRYDTSCVPVADRVGFIQNSETDKTCIRTLTVPKHMKSPIHIYYQIGDFYQNHRRYVKSRSDKQLRYKKAVHLTKDCEPEGSADGAPIVPCGLIAWSLFNDTYRISVNKKAVEVNKKNIAWPSDKNNKFGSDVYPSNFQKGNLIGGGKLNESIPLSEQEDLIVWMRTAALPTFRKLYGRIETDVMENDQLTVVIQNNYNTYSFGGSKALVLSTTSWIGGKNNFIGIAYLTIGALCLFLAAVFIVLYMVKPRYIMLLLATILRHVFNFNPHHPKSISEGSHACLIAHLKE >Et_4B_038406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28874303:28879067:1 gene:Et_4B_038406 transcript:Et_4B_038406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAVAAAAAAAASGGAILLYLLLTCRPQPAPDAEREEERAPLLSGSGAAQGRDAGSEREEEPWPDRAPVGCCEATTVAARTARRTWELTVGRWGLHGIAFGIKRHMKRQGDLQHEYSGNDCRQLKGPEACSEVSSLLEYLKVCMFFSKKTFSAFLKFGGYNQEDILIYKARARLMQPSFALVCDKRTKSFLLFIRGAISTKERLTAATAAEVPFHHIILSDGQISDVVLGYAHCGMLVAARWIAALVIPHLQSKVQEFPDFQIKVIGHSMGAGIGAILTYILRERHEFSSCTCLAFAPPACMTWELAESGKDFVTSLVNRNDVVPAFSKVSSESLRSEVLVSSKLDDAQDQSLFATISKRVAFIKSHVLSVSHSTGKIADHDLSITEPLLKDAADVLQPAANGYNTDCSQHSDERVILVSKEDVMIGKSAASGFPLQEGSDNNAGLETQQKPLPANGEVVPKQNGTSTDKQKEPLSASGSRQFFPPGRTIHMVALPRPGSDPGEGTSSNEIIGIYETPRDLYGKIRLAPNMIKEHYMPSYISTMESLLEQLQKDDNAVCTASNEL >Et_7A_051351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17196787:17200374:1 gene:Et_7A_051351 transcript:Et_7A_051351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSEDDFAEEDLPALRRWKARQRSPPPAAPASGEEEGSSSPPLPWVSAGSRSPSPRRGGGRGASGSGSGSRSPSPRRTAIMATRLASSVRTTKRASPPRPAPLAAAAAADDQESDEEDGAMEDILASLRDGSARPRLIPPRDGDAAGGSASVGGGAPGDDDEEAAQGFSFPPLQGPPVIDATEDEEDGDLPAFSFPQLQTRPSVDGAEVLDAFAASEDARKAKAAAEFLEATMGANTGPRTEAIKKELCVNGRVLDIEGLERWLRRAEAADELAWFADLCADEGKPAPPLDLFESAFRALERASSAELHRGAEARRRWIGSVPVPEFFVCPFSNKLMENPVVIASGKTVDRSALEKWWKNNQRICPVTGEVLAHCVFIPNILIMLCISLWRKVNSIADVAAVTDPPAVSLQEEALFKEVTLMAHSPRCSKEVYDALFRLHELVDNESSIVHLLGRIPGAIAKLASVLPETCLDPDPELDDIILGIMAKAASYGPNKVAFGDDKYAIPVLIARAWLGPVPTRAKCAQILGLLADDYYNKIKIGELGGFAVLIELLLVGDIGVKKTVARAIASLCEARENWSRFVKEGVPDAAISLLRNDGLVVEAQAILLQAEGFDLAMTQIMEKFQALGNDEMCEKMRKRLWHSFMVQKPGRRCPDVPSARASIKAWETSSSSSSFDGGGEGSSDRDDQAKEDVKAIVSWLQKRCYYPRTYRYRD >Et_3A_026789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20731825:20734825:1 gene:Et_3A_026789 transcript:Et_3A_026789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFAPFLLVLCSVSTPQRFLGWNTTENAVGAQTALHLSPQHILVFLLQTQALKMKRGAMARAEGSGNPLLTLGLGCSPSSSDNSKLSSATASALSPSLLKETDEESSVDLGLNLGFNLSSDIAHCQQSHGCVENMLLTNSPMLDLQLCLSTGSPESDVIDANMVSPDGCEMPMANLSPTIGGKESVPHNWGFEHSIVSSPCTSEATYAFPFSKITNKGNAAVPAPVRSSTMFTILLKEVILSNASITLKAVSSQDV >Et_5A_042394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9568959:9576559:1 gene:Et_5A_042394 transcript:Et_5A_042394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GWFTLESSRPAPTETDPLRSQNGPNDPNRPTLSCSISPSPSPSRRAGVHLPRDMPSAAALRSRAAMAATCFAVLAAAAVLLHRRRHRNRAPASPRRLGGRRGRPRRACEEEEKPQSRFKRVLADNSYSAFKHLRRQGGQPGGEAPPTPQEESSQKVHPFEEETTSLLNKPPGFNSFMLKCPEMRTSYIWVDTEAQLEDLARLLSEEKAFAVDTEQHSIRSFLGYTALMQISTQKEDYLIDTIALHDTMGILRPVFADPSIYKIFHGSDNDVLWLQRDFHIYVVNMFDTAKACEVLSKPQKSLAYLLEVYCGVTTDKTMQREDWRLRPLTTEMIEYARTDAHYLLYIANCLVLELQAKACDSSNNKISFLLDASHRSNMVCMQLYTKEIECPPGASTAASVLSRNLQTHGLDSKRSSEVKNFVWKLCAWRDLMARMHDESLRYVMSDQAIAALAVSVPKSSREVLAVIAETDLSTSSTFSLLSSPSPVVVAHVEELRYLLEDTTVSMEDIFKSVLEKYKDPSGLCRLSVYNYNLISQLSLKQTSIFVFTSSGEKLLAAAPNKKSSRDLFIKKFSCKSPVYHNCRIYASDGRLLCYCDRKKYTQRNLAKLIEDNPPAIMLLFEPKGRPEDEDNEFYIQSKKNICVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHAAAEKYKRRVAQEFGIPLFVQKIVNSGNISLIADSSESEDKLNRTGVSPLQLRTAAMALLRHGSNMPSKRCEELMQIVKSYYGGRDVTPEDLEMALLVGMSPHERKRLEKKKGYSFRTQAQNIIRKSSNVTTLENSVHDSDNNHALSEPFPEDLTESNGLQEFDEAEIQNQPEGSALDHNTVTLKIDTDQHASGAHIPIPTNGHLDRDPSICGDTNQAISKNAEKKVSLLGHGHHGKQVVELLLFNGGEEAINQFCQRWRQVFVEAVHPRYLPSGWTIKHSGRRDFGDFSVYKPLKKDPQP >Et_5A_041097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18296391:18299462:1 gene:Et_5A_041097 transcript:Et_5A_041097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARPVVLVFLLLVLVITSQFEWKQQIGDAADADPAAARRRQQLLGRDDAVKEKIILSQEKNIQQLNQLIESLQRQLLHCRGTNNTVHTTTVAATEVSEVEGHEIIDDDNL >Et_1B_012880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3798342:3805834:-1 gene:Et_1B_012880 transcript:Et_1B_012880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWTMRAAVKAAEEVDERKDSAARRRESEEYKSRRVAKLVAEVREFGEEVIDYNELAGIYDFPVDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFRDFRNTFGDHNVGLLTGDSAINKDAQILIMTTEILRNMLYQSVGMTTSEGRMFQVDVIVLDEVHYLSDISRGTVWEETVIYCPKEVQLICLSATVANPDELAGWISQIHGKTELITSNKRPVPLTWHFSKKFALLPLLDGKGKKMNRKLRMSHLQNLSSAKSEFYYVKGKRKLRGNKNEQGNRSPLDISKQVQLSKHELSNMRRSQVPLIRDTLSQLWENDMLPAIWFIFSRRGCDAAVEYLEDCRLLHDFEASEVELELRKFKMQYPDAVRESAVKGLLRGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAVISSLSKRIDAGRQLLTTNELFQMAGRAGRRGIDTVGHAVLVQTPYEGPEECCDIIFAGLEPLVSQFTASYGMVLNLLAGSKVTHQKESDYAKVKRSGRTLEEARKLVEQSFGNYVGSNVMVAAKEELERIEKEIKHLSSEITDESIDRKCREELSEEDYSEISLLQKRLKEEKQIRNELKRRMELERMAAWKNRLEEFESGHLPFMCLQYKDKDSVQHTIPAVFIGNLNSFADQKIANMVEDGSFGSGKEKFDGGEQMYCPSYYVALSSDNSWYLFTEKWIKTVYKTGLPDVPSVEGGPLPRETLKQLLLREEMMWDKLAKSEYGSLLSMDGSLDTWSWSLNVPVLNNLSEDDEVERFSEEHQDAVECYKQQRRKVSQLKKTIKCTKGFKEFQKIIDMRNFTKEKIERLEARSRRLTRRLKQIEPTGWKEFLQISKVIQEARALDINTQVIYPLGETAAAIRGENELWLAMVLRNKVLLGLKPSQLAAVCGSLVSEGIKLRPWKNSSYVYEPSSVVTGVISYLEEQRHSLIDLQERHGVKVPCEIDAQFAGMVEAWASGLTWREIMMDSAMDDGDLARLLRRTIDLLAQTLTRFSRRTHKWLVASWTEYRLAS >Et_3A_025524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30441303:30443201:-1 gene:Et_3A_025524 transcript:Et_3A_025524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADEEIAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEVVTTVEIDDETYEEIVRTTKRNIAFLFVRGDGVILVSPPLRTA >Et_9A_063529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6110902:6112330:1 gene:Et_9A_063529 transcript:Et_9A_063529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGTGNKLPRTPAISCFAVLLMLLLLPCAEARPSPTPAATIDGSRREHLTLRGPLLRGPESVAFDGHGAGPYSGVSDGRILKWNGKALGWTTYTYGPGYTKKTCTASRLRPAELTESACGRPLGLRFNLKTGDLYIADAYKGLMRVGPGGGKATVLVNKADGVPLRFTNGVDVDQVTGDVYFTDSSMNYPRSQHERVTATGDSSGRLMKYDPRTNHVTVLQSGITYPNGLAVSADRTHLVISLTGPCKLLRYWIKGPKADTSEVLADLPGYPDNVRSDGKGGFWVALHREKSESPFGPDSHLLAVRIGADGKVTQVMKGPKSVRATEVVEREGGKLYMGSVELPYVAVITATIYFQTCYTAMSSKPVSIAEEYKQP >Et_7B_054800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4534440:4535776:1 gene:Et_7B_054800 transcript:Et_7B_054800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLHLQHLAVSLRPSCLHGRAVAPPRPSPSKLPSLRRSPTPPLLTSARSRFSQALRASAAESPRPDPQDEPRPKGGFWTKWMADGAEARARVAKLGLAAVLAYGLFDAVTYTTFFVLAFLGYEKSTGKNPAANLKALLGIVILMWTGNNVTRPFRVAGAAALAPVIDRGLKRIQEKLNLPSQMYAFALVVGSVAAVCFTVAGILILSKWGK >Et_2A_015871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18646344:18649842:1 gene:Et_2A_015871 transcript:Et_2A_015871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVEMGDSQHQPAAASAAPSDAPAPTQSTLQHLKEIAALIEAGSLSKEVRRISRAVRLTIGLRRRLAARDVTAFLSFALPPSSDAFARLSALVPKEDGGEMEVDTVAPAAQVSIKHGLPEIEIYCYLLVLIFLIDNKKYDEAKVCASTSIARLKNLNRRTVDVLACRLYSYFSYVHELTSTLAEIRGTLLALHRMATLHRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQAVRVGDLELFRAVADKFSSTFSADRTQNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSQNPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKALRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >Et_2B_022698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3178451:3184367:-1 gene:Et_2B_022698 transcript:Et_2B_022698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METKKRKHKNLSDPAIPSSSRRLRDYRRGEDPRTPLLPPATRDWAALPDDVQLAIFSRLQQADVLRGVGRTCTSWRWTAVKEPILWRHIDMAIVDEERVRRWPIEEDVGLAIFNWSFHEERVRRAIAMARVAVECSAGRCESYRGPADCDFLAYLATRAPSLRNLHITSYFRLHGKEMIPKLPMLERFVISSGEVTPGMLKIMIIVSINPETNNALHVSELEFMATSSGGYMVAFAGKKYAARSFPPVFVLEFQKGRLQNLYWSTKGCGKCPGQSGELACVEDSCAIKTTSCSGRGGKVDCSPGIQLAFSSTDKHDAVLNSWYEVSKLQQYSLFGLFSNLKDSLTSQFSSFF >Et_9B_063649.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:1688875:1689480:-1 gene:Et_9B_063649 transcript:Et_9B_063649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQPELTDELVGEIFLRLPPDDPACLLRASLVCKRRRRILADPAFRRRHSALHRTPPVVGFIGMVNRAVPFASCFVPNSPASGRPVARELPGSLMLDCRHGRALFATPTPSPGTHLTYGLVVWDPLTNEQQLLPRQLCCCPALCGHRGRLRPPRLPQGALPCGLHLVSPPRSIPSRCHFCTCVLIGDGGVEPVNLCSAP >Et_3A_026935.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2713467:2714120:1 gene:Et_3A_026935 transcript:Et_3A_026935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHFLLRCRWSSRPGRLIRSVRAAFRSFPIIPAPSCISMPTLHGLPILHGAGGVLRSHFHGVTSATGTLYGHRRARASRWRSTRAPAARRAFCWTSACPPATAPSSSRTSAPPAWRASRSSATSSYHAAAEAPPPPRRPLLDEPLWTAEVNGESAGYAARREATEQDDRVMQMLHATSMGAGVLPPDTAHPADGGLMYMRAHFNRVMGSKDSRRPTC >Et_2B_018889.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:13931681:13933716:1 gene:Et_2B_018889 transcript:Et_2B_018889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRALQVTRLKCGGFIFGQRICHCVVDAQGCVQFEKAICELARGATSPSVAPSWGREMFMARQPPRPAYPHLEYREPTPGSGGVTTDRMLTTPPSDMARATFFFGPREIAALRQRAPPRMRVSCSRFDLVAACVWRSRAAALGYAPGDEVRLSFIVNARGRVPALPEGFYGNAFAYTVAATTAGELCCGGTNDLGHALGLVKEAKAAVTYDYLRSTADLMVLAGRPLFALTRTYIVSDLSHAGFRSMDFGWGEAVYGGPAKGGEGPFPGVANYFSRCKNDKGEEGTVVPICLPKDAMHKFQLEVEALTTPMEF >Et_4A_033697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2583151:2585868:1 gene:Et_4A_033697 transcript:Et_4A_033697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQEQQQLQVQVLSALDSAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYTDPTKPDPGSLPPNVAAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGMTLLLMVICSIASGLSFSHTPNSVMATLCFFRFWLGFGIGGVYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVTLAISSADAAASTVMQADYVWRIILMLGAVPAMLTYYWRMKMPETARYTALVAKNATQAAADMSKVLQVEIEAEEKKLDDITRNKDYGLFSSRFLKRHGLHLLGTATTWFLVDVAYYSQNLFQKDIFTSIHWIPKARTMSAMEETLIALFGTVPGYWFTVFLIDVVGRFAIQLLGFAMMTVFMLGLAVPYHHWTTPGNHVGFAVMYGFTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQSQDKAHVDAGYRPGIGVQNALYVLAACNMLGFLCTFLVPESKGKSLEEMSGEADNEDAPGDSANNAVQPSGVEMKICINMFMLGLAILYHHWTTPGNHVDFAIMYGFTFFFANFGSNVPMFIVPPEIFPARLRSTCDSISAAGGKADAITGPSGSFTRRSRRTRRTWMLGTGQNALFVLATCNMLGFFCTFLVPESKGKSLEKMSGKADNEEASAMAPITPSSLPELR >Et_4A_035442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2554788:2559592:1 gene:Et_4A_035442 transcript:Et_4A_035442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPKSLRISFLSRPLLHRRPPLPLVHPPPLHPRPRFLPFSTQTLTPAAPPDAAPTDKPAGLAFLEAAEVQESAGDHQQALNLALKALVPLQESHGGWSLPVARALRLTGAAASRTGRISDGLESLGAAAEIVDYLWGARRGNKDVAAVGAAVHEQLARAKTTEGRRWDAVGDLRRALELKAVFLEAGSGELGDTYRDVAEAYAGVLDFDKALPLCLKALEIAEGRSGHDSTEAAKVRRILAVVYTGLGRNEDALEQTELARMVYEKLGLDVELSQVEIDGANVRVLLGKSEEAMNYLKRVLQRSGKDSEERALAYVTMAKILSLQDRCDDSRRCLEIARGIIDAKDSMSPGRVAEAYAEIAMLYESMTEFETCLTLMNKTLALLEGAPETQHFVGSISARMGWLLLLTKRVGEAVPYLESAVDKLKNCFGPKHFGLGFAYKHLGQAYLEMDQHQSAVKFLVLAKDIIVATFGPVHEDSIDTYQSLANAYGVMGSYKLAMDFQEQVRDAYKSCGTDSLEELKEAERLLEQLKKKAQGSRAAVASVAYIGDDPC >Et_5A_042880.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6107791:6108396:1 gene:Et_5A_042880 transcript:Et_5A_042880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAASCSSRAELPSHGADASSGAKSARTALHATPTQRRDWANLADGPAGLIAERVLADDVADHVRFRAVCRPWRRCAADPRVHSALDRRFHPRRWLFMLRDDDRQDPPHRRRFMNVSTGQLVVMDLPELLHTRAFGPTAEGLLVLIDERTLAVRVLNPFTRRRHTSTVPLSASTAAAGGRDQLTALSAAHSIELEFCAIDL >Et_5B_043182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15712517:15713203:1 gene:Et_5B_043182 transcript:Et_5B_043182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRCGIPHHLIGGFPSTAGDFPVRGHMPIVVGGSNSLIHGLLVDHFDPFLVNPFEKSNYLPSSRFESCLLWIHANEVILNEYLKNRVDCMVDAGLVEEVKEYFDMCNKLVAHTGLGKAIGRKSRYDAIAEIKANTQALAKAQNAKIRHMVDVWGWSFCSLDATEAIRAHLHGPDHTAEAIAWERDVSKPGINAISEFYNKY >Et_9A_062572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24114321:24117447:1 gene:Et_9A_062572 transcript:Et_9A_062572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSYTNLLDLAAGNFAAALGPSGSGRRRSGSFGMKRMSRVMTVPGTLAELDDEDDEAAATSSVASDVPSSAVGERIIVVANQLPVVARRRTDGRGWVFSWDEDSLLLRLRDGVPDDMEVLFVGTLRADVPPSEQDEVSQTLIDGFRCAPVFLPSELYERFYHHFCKRYLWPLFHYMLPFPSCLPGTESGPSVEGGRFDRAAWEAYVLANKHFYEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRTLPVREEVLRTLLNCDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYFGRTVGIKIMPMGIHMGQLQSVLRLLERERMVAELRQQFEGKVVLLGVDDMDIFKGIDLKLLAFENMLRTHPKWQGRAVLVQIANPPRGKGKQVEVIQAEIRESYERINREFGQPGYSPVVFIDRNVTSVERLAYYTVAECVVVTAVRDGMNLTPYEYIVCRQGIPGSESELEVSGPKKSVLVVSEFIGCSPSLSGAIRVNPWNIEATAEALNEAISMSEQEKQLGHGKHYRYVSTHDVAYWSRSFVQDLERACKDHFRKPCWGIGLGFGFRVVALDQHFTKLNLDTIVMSYERAKSRVILLDYDGTLVPQTLINKEPSAEIVRIINTLCSDSNNTVFIVSGRSRDSLRSLFASCPKLGIAAEHGYFLRWSRDEEWQTNAQTTDFEWMQMAEPVMNLYTEATDGSYIETKETALVWHHQDADPGFGSAQAKDMLDHLESVLTNEPVSVKSGQFIVEVKPQGVSKGLVAENILTSMKEKGRQADFVLCIGDDRSDEDMFENIAAVMKRSIVAPKSPLFACTVGQKPSKARFYLDDTYEVVTMLSLLADASEPELVEELDDLVTSVSSIDISDESLHLGNK >Et_7A_051327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1724981:1726988:-1 gene:Et_7A_051327 transcript:Et_7A_051327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPDLVTSRNPRLVVLCNRNLRPLVQYATPSDDNTYMDLHATCDGLLLVSNASDGYERRCFYICNPATRCCNALMQISETGFDPSTMKIDVVGFYGYKSGEYRVLYCVQMEGTVDIHEYYIWTVGSNKPRSIGDGAPTDEISVEVRSGLLAQQWHSCINPPVIYRKCLHWNITGTLVLFNTEEETFRGMYWPAPEFGLMHVWSYLMEMNDTLGLCIINIECADAPFVEFWTYEAELWDFKYRINLSEVAAHPLQDVTENLRATLISEHEVLIQINATRENMTRQQSGQQVSKSSDARLHSIILQEIWGWWRVVGQRLRVQERTRMHGDDDGIHSARR >Et_3B_029052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21687030:21689885:1 gene:Et_3B_029052 transcript:Et_3B_029052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPQYQILVRFLDGRTRCLRFPTPTVSGAALLDAVSALSRVPAVTLRLVTGHLEVSPSSVLASCPDGQFPSASALLRLRGGKGGFGSLLRGAASKAGQKKTSNFDACRDINGRRLRHVNAERRLEEWKAEAGDRQLEKLAEDFLKKKAKEVGRRRGASEAEVNKYLEKYRKDAEICVNAVEESVRASLGKRKTGTKPPAGSDAKKLKIWLGKKKVEDDESDSDSDEDIDADEGTDTRSVVLDDGNCSNGSSKSELDIGSVSGSHSEGESSGEKSQHSDSDENGTSVQETTEMMAGSGAECGEFADNSVEPEGGMVEQPTSMNGTIVVASEEAPKSDDHKENADYTASVPSNQDKPEVPQVEESVDGNESSYSGPLDLSEYSSASDLEALGLEKLKLELQSRGLKCGGTLQERAARLFLLKTTPLDKLPKKLLAKPTAAGK >Et_7A_052737.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14834781:14835368:1 gene:Et_7A_052737 transcript:Et_7A_052737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLSPQHLLLLLLLVLAAGSRAALGLGAVEDTCTKATAGGKRADLAPFCVSSLQAAPGSDGADARGLAAIATNLTLANYTAAVAAVTALQLRGGWSAAERAALATCRARYIEALNVVHSAVHALATGKAQDYAADMAVVQSAATDCERAFAGAGAGAGGTAEAAGESSPMRKVDEDAVNLTTVAMLIVASLE >Et_6B_049921.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1816953:1817402:-1 gene:Et_6B_049921 transcript:Et_6B_049921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAVVESPSRQRHRRRTSLGNGDFELRHWRPVKRASGGMRGRWAPPEIEIPKGGGGGVRGYTSLRDIMSSPEYAAAAAKAGSPGEAGATASCGDVHMIRHPLVKHAAYAYLQLTPSAREEARRRRRRRGPLCRLLMGCLGFVGAFFGR >Et_9B_065454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5091649:5094686:-1 gene:Et_9B_065454 transcript:Et_9B_065454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRHEPTNGSGPSSVELTGYTLLRDPRHNKGLAFSEAERDAHYLRGLLPPALASQELQEKKLMHNLRQYEVPLQRYIAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIYRRPQGLYISLKDKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQCLPITIDVGTNNESLLNDEFYIGLRQRRATGEEYHELLEEFMTAVKKNYGEKVLIQFEDFANHNAFDLLAKYSKSHLVFNDDIQGTASVVLAGLLAALKVVGGTLADHTYLFLGAGEAGTGIADLIALEMSKHTELPIDECRKKIWLVDSKGLIVESRKESLQHFKKPWAHDHEPLKTLLEAVESIKPTVLIGTSGVGRTFTKEVIEAMATNNEKPVIFSLSNPTSHSECTAEEAYTWTQGRAVFASGSPFDPVEYEGKVYVPGQSNNAYIFPGFGLGVVISGAIRVHDDMLLAASEALAEQVSEEHFDKGLIFPPFTNIRAISARIAAKVAAKAYELGLASRLPRPDDLVKYAESCMYTPAYRSYR >Et_8B_059722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20997937:20998812:1 gene:Et_8B_059722 transcript:Et_8B_059722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAVDARTVVVHVLAASAVVLVRPLPRRPRPSVAAGEEEQAAAHLQRPSRGSPESGIPNLCSPIAGERRPQPPLAPLLDRHRHRLPLRAPVARRLPRLLLPRRVARPLAVPWHAILLAVGTVQLGFLEKLTFLQGPPLRLPKYGPEALLVNFTAVVVRRHQLVGFEVLSELYCLQYVYIGDASTSRIPVVALAQQSVIKARKRIPVEAYQIPFRPGS >Et_4A_032980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16305585:16305804:1 gene:Et_4A_032980 transcript:Et_4A_032980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMACPRVTGAAAYVKSMHPAIVLSAIVTTATPVTDCHTRGRVCARRCPSEPARHSVPEDDASKADNASLL >Et_7B_055433.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:10419207:10419962:-1 gene:Et_7B_055433 transcript:Et_7B_055433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASTTTEPAAAGWVNRAAERRRRSYAMLDATDPARDRAVRICEFALDFRRTGDVEEARALLRDALGCGAHRPTIYRAWIAMEEELRAPAEGVRELFEGWRASYDADRRLRSDGGGGGAPAAAAAVDEDEGAFWCRYIAFELRHGGGGAARVRAVAERALAACPRDPAVHARYARAEMRLGCPNRARAVLESAIDACAADAEAREWLRKQAAACGESVGEGGWKRLRGLLPFCRGRRSGSAEAYKRVGVA >Et_8B_058741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19905246:19905752:-1 gene:Et_8B_058741 transcript:Et_8B_058741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCRSAAAAVRSAALRSRSPVASPFQAMRSPVAPPRIRRSHPIRSFVAAALASVESLMPLHSAVAGARLRSCIAVDSACWSSLSQGDPFASFSLYFCDCNCMWKSRLLSYIYVSDSVLFDAEIILPCSH >Et_4A_033001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16920325:16923006:1 gene:Et_4A_033001 transcript:Et_4A_033001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASNKLVNRDEITDATVSIPEKYIRTDEVLDGLVVSGNETYELPVVDMGSLLDPESSKLETAKLGSACRHWGFFQLTNHGIDEEVIQNMKENTAQFFSLPLDSKKTVAVRGDGFEGYGHHYTRSSATAGDKLDWAESVILVTQPVQDRNKPLWPANPPTFRNALETYSLEITNLTRQLLSFMAADLGVEPDALLDAFSWKRQSVAIHHYPPCRHPEKVMGNTPHTDGLGLTLLLHVDDTPGLQIKRDGRWFPVRPVPGAFVVNVGDILHIVTNGTYKSVEHRVLVDARRARTTAVIFQDASVGGMVTPLPELITKDGRWFPVQTLPGAFVVNIADILDVLTNGTYRSVEHRVIPVANRGRTTVVIFQRRSLEGWWRLCRSCSMEVMRRAIKPSDLRSIYIRGNFKALADGIRFIESLKL >Et_2A_014548.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21754732:21756708:-1 gene:Et_2A_014548 transcript:Et_2A_014548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRPAAAAAASGSASEASAAEADASHHHPSSPSPSKTPPPSNPNPKPAPAAAAAPAPAAESSAAAGSDSGAAYDSDADHRSSPPKPAASPPRRAARRSPKPRSRSPTPEVNSDSDGSAAPAASDADPAVGDGADSDDGNASPLPPPRLPRADAAAIKPLSSRPMDPPRRSVPSFSEPRSKRPRSAAVPAAVELLKRPARLWSPGDELVILRGLAAYRAKRGVLPGSMHDIGKLHGQIRDELSVKVATTQLSDKVRRLKQKYHGLATRTKNGREPDFPTAQDHSVYELGKKVWGASAGGGNAIVEYENAGGGDSEEEHQSGESDGDMDSGRDDRDRKKRRLKPVAMANGNRAQVGAMTACSRGKAEFEKGKDAYPYLWETVEDLSRQHPNGVAFKKAFEMIEGPKARGMEEKLRKFRVTEVRHQLRRMELMKETVKMVLDALEG >Et_2B_022884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7222689:7223442:1 gene:Et_2B_022884 transcript:Et_2B_022884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTEGDVYSFGVFLLEMLTIKRSTDESFQDGLNLHKFVYSAYPERINEILDPTLLQVDYKANDTLNTHV >Et_10A_000902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18979976:18983781:-1 gene:Et_10A_000902 transcript:Et_10A_000902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLREREAVAMEAEEGMARSEEKVEWLRSQLIGNDVEFDTPFGRRALTYADHTASGRSLRYIEEYIVSEVLPFYGNTHTEDSHVGSRTTRLARRAARYVKRCLGAGAGADDVALLFCGAGATAAIKRLQEVMGVAAPPSAELRRRLAPRLRADERWVVFVGPYEHHSNLLSWRRSLADVVEIGVDAGGLIDVAELRRALGSPEYADRPMMGSFSACSNVTGIVTDTREIARVLHEHGAFACFDFAASGPYVKIDMKSGEIDGYDAVFLSPHKFVGGPGTPGILLMNKALYRLNSQPPSTCGGGTVAYVNGFNEEDTLYYDDLEEREDAGTPPIIQKIRASLAFWVKEYIGYENMSLREQVYSVMAMKKLVGNPNVRVLGNTNVERLPILSFLIYPPLVDSLRGAVTDDPGSDIPLNDVRHRRLPLHGRFVTKLLNDLFGIQARGGCACAAPYGHTLLNIGNELSLRIRSAILKGYNGLKPGWTRLSFAYYLSKEEFRFILAAIEFIAQYGHRFLPLYQFDWITGDWIFRKQAIKYHIMKDELAVAVNVFHLNEMVSTKVADTRKRNLVANQMRFERYLESAKLIALSLSDTSQKVVGVPKGIDTDMILFHI >Et_4A_033711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26050327:26053582:-1 gene:Et_4A_033711 transcript:Et_4A_033711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTPLRAALLSPASRSSRRVGGRRRAPSSVRCDASPPAASSSSAASLDPDFDKKAFRHNLTRSDNYNRKGFGHKKETLELMSQEFTSDVIKTLKDNGNTHTWGPVTVKLAEAYGFCWGVERAVQIAYEARKQFPDERIWLTNEIIHNPTVNKRLDEMGVDIIPVDAGIKDFNVVDQGDVVVLPAFGAAVEEMYMLNQKKVQIVDTTCPWVSKVWNMVEKHKKSEYTSIIHGKYAHEETVATASFAGKYIVVKNIAETMYVCDYILGGQLDGSSSTKEEFLEKFKNAISPGFDPDVDLEKVGIANQTTMLKGETEEIGKLVEKTMMRKYGVENVTDHFMAFNTICDATQERQDAMYQLVKEKVDLILVVGGWNSSNTSHLQEIGELAGIPSYWIDSEQRIGPGNRISYKLNHGELVEKENWLPEGPITIGVTSGASTPDKVVEDALQKVFEIKRQEVLQAA >Et_2A_014623.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29437327:29437704:1 gene:Et_2A_014623 transcript:Et_2A_014623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQSALSQALPISIEAYGNSITTPADAGGGSSSSPAVSKLRKLLFRRMLIGVKDGRYFLGLFHCIDKQGNIILQDAVEYRSARASQPPTEQRCLGLILIPAACRSSCQVDCSVEEKMSLLCLDD >Et_9B_064521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16126822:16132905:1 gene:Et_9B_064521 transcript:Et_9B_064521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAMPLYRDASAPVEVRVRDLLGRMTLREKAAQMAQIERTVASPRALAELGAGSVLNAGGSAPRDRASPADWAAMVDGMQRLALSSRLGVPILYGTDAVHGHNNVYGATVFPHNVGLGASRDAELVRKIGAATALEVRATGIHWTFAPCVAVCRDPRWGRCYESYSEDPEIVRSLTSIVTGLQGQPPADHPGYPFLGSVRENVLACAKHFVGDGGTDKGVNEGNAICSYEDLERIHMSPYPDCIAQGVATIMASYSKWNGEPLHSSRYLLTDLLKGKLGFKVAELMGFLVSDWEGIDRLCEPQQARGSDYRYCIAQSVNAGMDMIMIPHRFEKFLEDLVFLVETGEIPMSRIDDAVERILRVKFISGVFEHPFSDPSQLDIVGCKEHRLLAREAVRKSLVLLKNGNNLTEPFLPLDKNAKRILVAGTHADDIGYQCGGWTIAWHGNSGKITPGTSILEAIQETVGPQTDVVYEKCPNEATIETGEFSYAVVVVGEVPYAEWTGDRTDLSIPFNGTDLITRVASKIPTLVIVISGRPLVIESQVLEKINALVAAWLPGSEGMGITDCLFGDHDFVGTLPVTWYRSVDQLPINAGNANYNPLFPVGYGLKMFQSARLKLRDVVVKALTTAFERHARLYLLPGKKY >Et_8B_060227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7741972:7743021:1 gene:Et_8B_060227 transcript:Et_8B_060227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNTGYDYLGVNNKLGKRKSTEPGEALSSGLTPDSVLHSPVCKAQDGSKTQAIDIGTEESNHSNCSKGVHEVIDLDPSDDDEDLHAPECVTVHPPRAMNGADLHIERPASASHAQGAMNGVHTGQHEPAQGESLWHYIDPQGDSQGPFTLNQLRDWENDGFFDEGFKVWRTGETKEHAILLTDAFRMNL >Et_5A_041269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20413506:20417432:-1 gene:Et_5A_041269 transcript:Et_5A_041269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLFLLLLASSAAAAVATSTFSLDFFPGTGATAQLALSGTANATSGAVSMAAPRAAVRFKNPIAFSAAGFSTYFSFALPPQPPAAASLAFFLTPASASRAAPALAVVFSARHIRVDLAGRTAVRAQSRSPAAARRLHAWIDYNATAAALHVRLSAARLPNPPPALLSYPLDLPPLLRRGPVQVGFQFQAPSSSSGNCSLFSWAFHGAPYRMHSQPLNPADLVTTPPPERVRRAPNRHYSPWGAAAALLFAAACGAMVTFFVLFLWYSVAARRPVAPVEHPSDVVYEKIVFVGAKDDDAPAPPTGGNNNKYSRSNDSLISDESVNHQ >Et_3B_030896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8442903:8444415:-1 gene:Et_3B_030896 transcript:Et_3B_030896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKLLVDSSAEAAAAAPPLSRVATSAAHGEDSPYFAGWKAYDENPYDAVSNPGGVIQMGLAENQVSFDLLEGYLRAHPEAAGWAGGAAGSGVASFRDNALFQDYHGLKAFRKAMASFMEKIRGGKARFDPDRIVLTAGATAANELLTFILANPGDALLIPTPYYPGFDRDLRWRTGVNIVPVHCDSSNGFQVTASALEAAHESASAAGMRVAGVLLTNPSNPLGTTVTRAALEDVLDFVARRNVHLISDEIYSGSVFSAPDLVSVAELRARRGGDDSSIAQRVHVVYSLSKDLGLPGFRVGVVYSYNDAVVTTARRMSSFTLVSSQTQKTLAAMLADAEFADAYIRTNRERLRERHDLIVDGLARAGVPCLRSNAGLFVWMDMRRLLARDATVAGELRLWDRMLRELRLNISPGSSCHCSEPGWFRVCFANMSLDTLDVALARISRFMDRWNKEEAESL >Et_2A_016137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21325284:21327627:-1 gene:Et_2A_016137 transcript:Et_2A_016137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LFILVSYTNCAIVTILGGIQFVEPALEESWNMEVERHIQPPTYGNLVTILSIDGGGIRGIIPAVVLAFLESELQKLDGEDARLADYFDVMAGTSTGGLVTAMLATPNKKNRPLFAAKDIEAFYINHAPKIFPQQRGAFGRMMRIFRSLSGPSYDGKYLHEVVRKKLGITRLHQTLTNIVIPTFDIKRLQPIIFSSYENNKNTTMDALLSDVCISTSAAPTYLPSHYFKTEDCHGNIKEFHLIDGGVAANNPALVGIGEVTKQIFKENPDFFPIKPMDYGRFLVISLGTGSSKFEANYSAQKAKSWGVLGWLFGNGSTPLVDVFTQASAVMVDIHIAAVFKALHSEKNYLRIQDDTLQGTLSSVDVATKENLEKLSDIGKMLLKKPVSQANLETGHMVPACDTTNMTNEEALKRFAKLLSDERRIRHARSPK >Et_2A_018340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23790664:23795242:1 gene:Et_2A_018340 transcript:Et_2A_018340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKDKMKDFMKKVTSSSPSTFKGTSHVLGSGASPSSSRPANPTPNPRPAPKQAPPPPRPPTSTEFTPFAPLISSSASRRPDANGDASAATVTCPSCGDAFPSELSVSEHLDGCLASAGGARARAAAYLAADPPAAAVEVVKRLLGNLLREPGNDKFRRVRLGNPRIKEALADREGGLELLEAVGFRVGDEGGEMFAVMDETPSEARLGGIRRAVLLLERAHPSAPLQAEAEPKESCHNAVVEQEEVKKTIDRQTRVFFSVPGSAIAENDLPDSFYNLTGEEIRNEAKMRRERLERSRLLIPKSYKEKQALAARQKYKQAVIRVQFPDGVILQGVFLPGEVTGSLYEFVASALKQPGLEFELICPAIPKPRVVPHFPKPGEQARTLLEENLVASALLKFKAKETDSLMFTGLLDDLLAASEPLNAASS >Et_9A_061055.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:12878573:12878752:-1 gene:Et_9A_061055 transcript:Et_9A_061055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPLMIRGRQ >Et_2A_018341.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23907343:23907744:1 gene:Et_2A_018341 transcript:Et_2A_018341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAEVVHSGGCHCRRVRWRAEAPASVVATTSNCSDCSMRGNANFGVPAAKFRLDPGADEFLTTYAFGTHTAKHTFCKVCGITSFYVPRSNPGRVAVTVACVDPGTLAHAEYRHVDGRNWEDWFARQQQHRIE >Et_3B_030410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3575515:3583187:-1 gene:Et_3B_030410 transcript:Et_3B_030410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAELVRLLCLRAPLRPLPAQSRSRPPSRPRCLLGVLPRRPALSLRCRALDASRPAAVEEDGLEDEEESYFSVTSSGLSEVDYLGESTRGDLNVRRKHLEALVMHSPRGIFCTRTLNLRSISVIGYDMDYTLIHYNVKAWEGRAYDYGMENLKSMGFPVDDLEFDPDLVIRGLVMDKEKGNLVKADRFGYIKRAMHGTQMLSTRAVSEIYGRELVDLRNESRWEFLNTLFSVSEAVMFTQMVDKLDQGLVPTELGPLDYKGLYKAVYRALFRAHVEGQLKSEIMAEPERFVEPDPELPLALLDQKEAGKKLLLITNSDYHYTNKMMNHAFNRFLPNDMGWRDLFDMVIVSARKPEFFQLSHPLYEVVTNDGLMRPCFKATSGGLYSGGSAQMVEKSLDIHGDEILYVGDHIFTDFDALVKSHAQKEELVTLIQQKEIVGDLFNQLRLALQRRTNSRPAQTLAATCMDDQELAESMQKLLIVMQRLDEKIGPMLESDGELFNKRWGWLSRAGLWDKSHLTRQIEKYADIYTSRVSNFLHYTPFMYFRSQEQDFAPNVRWD >Et_8B_059563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19187564:19190775:-1 gene:Et_8B_059563 transcript:Et_8B_059563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGESRRHRSKWDTTEGAPDVVEISEDESPEKKDIHTDGVNKDIKEIQSKASSERSQPPKLADEHDNKGWGKAGLEKPHGNQGINRYADDRRRGDGWGTSLNRGYSSRMPSDPDPWRPRSRSPSPRGVWNRPRRNRSRSRSRSRNRSRSRSRSRGRGRGRSRSPYFADRGPEWRIERGRTSGGPLPCRDFVAGRCRRGVNCRFPHEDGGHRPFEEHHPAGPRERYGYPSKEFTDSREQNDFLQSRQPRGRYDDETWERSEPRRDYRSAEQCYNFVKGRCNRGASCRFAHDDSAPQGGWRDETRQSAYDRVGPDSSYGNRTEHRRVNKNPCKFFASGQCRRGANCPYLHEEAPQSQMGLSAPDEPSDYSNVRTGRGNFSNWGDQNNAVHATSQIMSRDDRENPVSQNIGRNGSSYEYENRHPKDAGKSQYQIITQDDFGSQVHKKENATSQQSQLFTSVQTNVDSMNNDKVSGMDGQSAPGTAGNLSMHTGMHASNVTENLAPILQRQDAIPEPPTLPVTTHLQNNTSSLSSNSHMQQSNFSVHPQEQFLVTQAAANNSTHNVQGQAVAPHMGHSHVGYGLGAQALPNLSAHNGHNFSIPGQVPQDLPTSVHTGQNQAAIERLGQDSGAQAQSIQNMQNFQPVAPNVQTQSLQGLPIVPTSSSADIIGGSVSHNAAKSEDIQRVTASLAQYFGNAGLSAGTVGLPSSDPNMNSSLMVTSSAAPPAVQPNAWPWPQQQAGMVQSAPAIPSEQHQQAPQTFQVPIAVGSNNGYSMPLPHAGASTGQAAASVNETMPKEKKKGETKDTDAEVDEDGDNKKGKDSKALKMFKIALADFVKEALKPTWKEGQMSREIHKTIVKKVVDKVTSTVENTPPTKEKIDIYMSFSKEKLNKLVQAYVGKYATKTT >Et_2B_022039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8306783:8308988:-1 gene:Et_2B_022039 transcript:Et_2B_022039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEAEGAASSCSPREELPLQQPPQEQSERERAEKSGGAAPSEEEHVAAVERQLSEASLCGADTEAGEEEDDDDDDEEEKAAEAIELGPRVSIKEQLEKDKDDESLRRWKEQLLGSVDLNSVGERLEPDVKITSLSILSPGRPDIVLPLPAEPWASKGPWFTLKEGSAYRLKFTFSVSDNIVSGLRYTNTVWKTGIKVDSTKEMLGTFSPQTEPYTYLTPEDNTPSGLFARGSYSAKTKFLDDDRKCYLEMNYSFDIRREWPSS >Et_1A_006359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21237219:21238581:1 gene:Et_1A_006359 transcript:Et_1A_006359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMMVKGKGPVVVTGASGFIGSWLVMKLLQAGYTVRATVRDPGMLSIMRACKDAGTVRRIVFTSSAGAVNVEERQRPVYDHNNWTDADFCRRVKMTGWMYFVSKFLAEKAALEYAAEHGMDLISIIPTLVVGPFLSTSMPPSLITALALITGNQPHYSILKQIQFVHIDDLCDAEIYLFEHPDAAGRYVCSSDDATIHSLAAMLRDRYPEYHIPDKFPGIDDDLPLVHFSSKKLLDLGFRFRYTVEDMFDAAIKTCKDKGLLPLATAAGGHETKGAALGKDNPAATCA >Et_4A_033320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21441652:21442762:1 gene:Et_4A_033320 transcript:Et_4A_033320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPSLLNGGFLGAANKISKHLSSIEADYTKNLEDAVGVRRQAAEEVESCGRHVAAEPRVAEVVEAHPEQHHLERHGVEHQRREQARDGEQHGGARVAPRQQRLEPLPLRGDVAEQRLRARGDGAEPVVAHPHDVGGAGRRDAVELLVQLVGADAVDVGEARVHACGAARAGPVAPEQDEEEVEADGGEDHLRAEHDGDEQRVDEHGGGRVRPGAHPVRPSDRGGDVGEAADDAEPVGLAPAHAPRVRVRVQQVLHHLGPQRRLRPRQPPRHDAHRRVPEHVLLRGAQRLHCIRGSSGLPYACISVSHDGQVKRMASSSSPILRTPTGCTAVSANVLAYTRLMSSVDSRMRSFPMRESLGSCFPWSASTCL >Et_4B_037015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13554664:13556176:-1 gene:Et_4B_037015 transcript:Et_4B_037015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYKSSSIISKATLMVVLLVASQVTLSHGTPQIVNRRNLLAATTTSTAKGMIEGAVTPTESGSFPGTTEDARPTEKGHSPGVGHAFTNNKMGRKLLTLGLLTRF >Et_3A_025444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29978736:29979706:-1 gene:Et_3A_025444 transcript:Et_3A_025444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAWTQVLLPTPGPCFSGQSCWPWPDRRVGGGSSGDTWTLEENKLFEKALAQIDGNAPDRWERVAAMLPQKTVADVMNHYNDLENDVGFIEAGLVPFPHYSSSPPSSGFTLDHWDGSDAAFRRGCCLKRGRGPDQERKKGVPWTEDEHKLFLMGLKKYGRGDWRNISRNFVTTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLPDDDRGNASPSPPSTLTTASNPAGADQFGALADVKPFMPSFPGAAHPYGNVKIEPKSSLVSGLGFDESVFLQMQCSQL >Et_10A_000452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10598493:10600886:1 gene:Et_10A_000452 transcript:Et_10A_000452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREFLAVAARAVIQWAVATLLLANGAAFCLIAAVSERLRLGPPCILCARVHRLLCSSAAGGEGRDALRLLLCDAHLAAVADAGPEQSRRNFPERSGAEGKEAPDADDRDRVSGLETHRVVSIGSEICEQDQDTNGISNTERAGSADDGSGQLVSLFELAPIVALPRDDSDRTLHKATRPPPELLGVEGGERLTVGQLLSLRAQRRELDALREELDAERRARVAAEEYQQQLEEHQRELEEQGELDREAARLAMELVHETEREKQDLQRQLNACRVDAQIHGGQGPCDSNNYQSLVDCLPGTLYSSSPDLANLLKLYSEPGNGDIRQREGYAPAVPVVDEEGEEEDVRVAVTAGTESESSVNVSASAVIVGDSLHEKKHNLSR >Et_3B_030483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4091025:4091575:1 gene:Et_3B_030483 transcript:Et_3B_030483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAARGCTLSASPCSPPPPSSGSTALIECQLHRDPTIPISAPREEDEEEEEDLSPLIPVTHHRYERTGIRAEHLRRGAVTVKQAQHCVQEFLLSGEQPRKVQTSRGRANLLVGHGLNHDLDALGMDYVPGVPEAVDTAAATYPPLMKTSKLNDSLKFLTHTYLGYDIQTAH >Et_6A_046087.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:23282598:23282786:-1 gene:Et_6A_046087 transcript:Et_6A_046087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLENCGKTWEFFNSIKPKFRSVVLTKILWNIWKCRDAKIFRHDESNSSFVAHYVRDKIVWSG >Et_2A_014922.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21980973:21980990:1 gene:Et_2A_014922 transcript:Et_2A_014922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRS >Et_5B_044852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6580056:6580760:1 gene:Et_5B_044852 transcript:Et_5B_044852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGQACTRKGALTTCLSFPSTRSSTRILGRKRVAVSPAPASPGPHSPVRVLRKQRSTKLHMDDAVSLLESLPEDVLIKVLCKVNHSDLKQLLLVSKPVSEATIVAKELHFAFATPSSKVCFRNEECSEDDERHWAPKQRRVAGGSRLSGKDMAGVAVNLAAAFDSLLSEA >Et_1A_006479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2368263:2371037:-1 gene:Et_1A_006479 transcript:Et_1A_006479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASARLASSAASKRVIGSVSITTTRSCYRASRGKAHAAPLSSQEPPPKGQKRISKQERRVMIEEYVEKYRTSNEGKFPSITHVRQQIGGGHYTVREILQELEYNHANPSVASVKKAQVQGTTKHSRSADESKAAQVQGTVPSFPENSWPKDDSGKNPVNLEACKSGQQDDGLLSLKDATAGTATIEKTETGIPMGSDHAVETEAVKSDFNISDSIKNGDDPTLSEQTESDNMKDLRNEPAVSLEVEAKSDPGKQERKTEANKIDLNSRINSLNTSKSTVSDQSGSDKVIKGNMSDSEHGPKHELKESTETGLLGSLKSFASGIRNFWKSL >Et_1A_006029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16834150:16836670:-1 gene:Et_1A_006029 transcript:Et_1A_006029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSVGGRIAETTDIAPAVGFLVSDAAAWVNGQVVKSDLIGYHVLQPRYSAVSRIPRKSTKHISRERIGQCVSIGDSNTDDLEQLEEEGDGIADGQEQLEEGDGTTDDQEQLEEKKEVPRCTSYVYRLTTFSLGYDNDGDLTTGESCRVQCYKAKGSNLEIAKVAKGLRQFG >Et_9A_063072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9622473:9627111:-1 gene:Et_9A_063072 transcript:Et_9A_063072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYSCITCLETIIYKLQTRITEVSTQESAFSMLSSLVEHVSFEFLDPHMVSIWKILFGRLQALVRSRWEDTFASYLMRFISLFLVNYGADNFYESIIKSTQEKQFTDFLRHFWVHNLNLTKWACEPNLIAVASTKLICEDKLLREKQTLWCAFLHCTIVLLHEMDTCGPQKVQSAVSAVCLQYASYSSFDPSRNIIAKEYFVASFSTLLEHCSAVFVPIIEKHISAGNKSFLLQKLASYNVPLVHSEQSNHAACLLVAWAPAAWQANESQPQAHKIVRLGALPGG >Et_8A_056107.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:20556319:20556582:-1 gene:Et_8A_056107 transcript:Et_8A_056107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNHRGGRFFLLELRTLKTTVIDAATLRVCAKLPPPPGGVRDVDAAYLAPASGDEALMLLHRAGDRRGTLVTEAFRARLKRKPRWAR >Et_8B_059918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3999539:3999891:1 gene:Et_8B_059918 transcript:Et_8B_059918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHDHTDNCCEAAYKNEPESTEAKRVVDILYETTLISRGYTVICLLENGQPESPAELGSKIYEMSVAPDGDGGLTEAIAGVR >Et_9A_061257.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:2538581:2538733:-1 gene:Et_9A_061257 transcript:Et_9A_061257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSNKTLIASFAWKLNPLASSFASTLVLSASSYRSSVKEGVRTGRQSRR >Et_9B_064979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20101184:20103651:-1 gene:Et_9B_064979 transcript:Et_9B_064979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMARAAALVAALVLVGLASAATAQMQSCNEELPPMLVGNYSGLACQPVWNNFVLRYHQDKNNVLRIVLSTMYSTGWVGMGFSRDGLMIGSSAMVGWMGKKGLPHIRQFSLRGKTSSKVVVDRGFLVSNDHDHTVGVQQAKIYLAFQLKFSYRLTNQHIILAFGPGIPTKNKLSKHQDKTSFTFDFTTGKAVADGSFPYGLRRAHGALNLFAWGILMPIGAIVARYFRRSDPLWFYLHVGLQFVGFIIGLAGVVAGVALYNKIQADIPAHRGLGIFVLFLGILQVLAFFLRPNADSKYRKYWNWYHHWAGRLALFFAAVNIVLGIHVGGGHTSWKVGYGFNLAVILVAVVALEFLLWTRWSKDSAPTPTY >Et_1A_006867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28440456:28443087:1 gene:Et_1A_006867 transcript:Et_1A_006867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTELSSIGKHERFTALVVSENRDMLVVVGGDDDLFYVTGRASYCSSFIGIVCGRPVVQTKLVQHEFFQRQDCVHVEQPRFFRGLYKDTCSTRCRPILPFELVVWEILVRLQAKMLLRCHAVCRSWRHLTSGVEFLLAHHQRQPSLPLITFLGETSSPIYDFVDAVVDAFDLRGDPAAGKRHPVLRFDDYNNRRNFMIHASCDGLLVVSLSNSRFYICNPATRQWAALPNQLIRGVAAALYKHNSSGEYRILYWKGSFFVAGYYVLTVGSCLEPRYLGRFTGSVTPPVLLHNCLHWVLTQENKLLVFDTVLESFRSMSSPATDSLGNWAHVFEMNGMLGISCIRKTRTNVDIWVLEDYQMEFWSSKY >Et_5B_045563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4483349:4486647:1 gene:Et_5B_045563 transcript:Et_5B_045563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFRPTAESARRDLVRLPRQDFSTFSYLGAVPQQGALGRHAHQLDPVAPPQLALLQPPHADGVPAPTQPRSAASDLEPAIEVFLQRHIPLAEYKAHCTGAKPVNGHTTTGLAGVGQRDGEVPEGAKVVTNNAFTGQEDDKEQHLERFGMAQIMLEAALERPVAHPRRAAGAGS >Et_7A_052301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6727411:6733945:-1 gene:Et_7A_052301 transcript:Et_7A_052301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METASERGKFLRTPEKAVRGLFGFRAARFSHSPGRRNSQHPNSPVLPIPRRRRRRRRLASLLSPLPFPAPSKPLDPSRHPPAAGGAAAGSCGRRLAAMRRAFEEISDDEWSNHTFKPSRVLKRPNRSAQPPTKPPPPIESFRYDPKAASTKGSSSSTVVLSDDEDDDFDLGAKDCVHRTEKLQTVRRTEKLQTVLKRPQHKPASRAPPSKASFRSNPKPSKAAAAVGFSDSEEDEFDLADDDFDIPDSRDITPAPRHWSPVDEDLDLADDDFDYQDPRQSRSRHTTGRRFVIGDDDDSDGAVAARAEDGTDSDGINWAELENEFGENQDYNGERSMHVEEDEEDVVGMALRKCSRISADLIKELYGSAARNCDSYAEIDASTCRIVTQEEVDAACTSKESGFKPVLKPYQLVGVNFLLLLHRKKIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELRKWCPSFSIIMFHGAGRTAYSKELSSLGKSGCPAPFNVLLVGYSLFERRSAQQKDDRKALKRWPWSCVLMDEAHVLKDKGSFRWKNLMAVARHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDVDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKMQHVKFVIMGSEQSKAYKNAIDEYRAACQARSAKSSVDISSNVVGLIPKRQISNYFMQFRKIANHPLLIRRVYSDKDVDRIGRLMYPKGAFGFECSLERAIQELKNYNDFAIHQLLTSYGDAGTKGVLTDEHVLGSAKCQALAELLPSLANDGHRVLIFSQWTTVLDILEWALEVIGVTYRRLDGGTPVTERQTIVDTFNNDRSIFACLLSTRAGGQGLNLIGADTVIIHDMDFNPQMDRQAEDRCHRIGQQKPVTIYRLVTKDSVDENIYEIARRKLVLDAAILQSGAELDDSSDVPDMTMGEILASLLRA >Et_7B_053906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13193158:13195302:1 gene:Et_7B_053906 transcript:Et_7B_053906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLRAAARATRSSALRSILARRGTFSTESTEPLPIVVTLCPMPEGDDDEGRAEEDDDLRSRIFRLGLAKRSATAALEKWAGEGRDAPAGELRRIARDLSRARRFKHALEVADWMKTHHESELSESDYGMRIDLITKVFGATAAEDFFEKLPPAAKSLEAYTALLHSYARSKMTDRAERLFGRMKDADLSMNVLVYNEMMTLYMSVGELDKVPIVAEELKRKNISPDLFTYNLWISAAAASMDIEGFKGILDEMSKDPNSNEGWVLYRNLAAIYVDAGQLVGSSNSMVEAEAKISQREWITYDFLVFLHTGLGSRERIKDIWKSMQMTSQRMTSRNYICVLSSYLMCGQLKDAGEIIDQWQRSKAPEFDISACNRLFDALLKAGFTDTADSFRELMLQESCILTSRASVAE >Et_2B_020224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17986277:17987458:-1 gene:Et_2B_020224 transcript:Et_2B_020224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKREQTYTLSYRHAEAAPSHRVDPNRTTSPAPARRGARTRADAVAPASLRTSRLSSLVLRSTTSSARSRSCRAAHTTPSSSAAHFHSGGGDDDAAPSSTRRSTQTSSTASARDSVSRRSPISRTRAAMLRSEATRSSSASRTVSQMRALCCGAVEGGGAPRTASATAAAARYSSASSAGEASAGSGRTSSRRRAANRTHDAVAKERPSGNDASASGLRPDAGCRASATRSWSRRMNAPLASSTLSATRHLSATYGSPLPVAEARRRSTSAEASAAAERREPRPKARSASRWCADGRDVEGWCAVARATAWYASEGEEPGEFGPEVKSSVAASRRSLRPPMPSAEETDGEAKWLGIGSKALPGFHGAGGIRGNRWGDLGP >Et_1B_010172.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27836459:27836560:1 gene:Et_1B_010172 transcript:Et_1B_010172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFPSLLIRRTGCDFSLPISRSSILFVFLCVCS >Et_5B_043818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16392443:16393294:-1 gene:Et_5B_043818 transcript:Et_5B_043818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEASSAGQDRDYRFMEKAVDEAYRATECGDGWPFGAVVVRDGEVVVSCHNMVRRNTDPSAHAEVTAIREACKKLGKINLSTCELYASCEPCPMCLGTIYYSKIKNVVYGAKAEVAVAAGINASVPDGFVEYYQISGIKIRQAEGDARRIAEKVFEKAEGESS >Et_3B_027671.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11638609:11638911:1 gene:Et_3B_027671 transcript:Et_3B_027671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVTTVALWTIWNLCNVMCFQGQVWTGEGSMEEDGEHNQIVDENGQGRGAAKPGGNLDKMVAKMMETLRLQCGITSHATGASLDVRSCTTTCSENERAT >Et_4A_034322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31733974:31739120:-1 gene:Et_4A_034322 transcript:Et_4A_034322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PARPGPKHGIAFASKQSRRAKHPNQAFPVSASLSAAPFHSPFASAPLVVSRNPRSLAMAESPENAAPAPAPEPEPAPAPAPSSPSPKSGVPPRYDLDAKWDACLDLSIRRVAYSSLAGAFTGLLLFRSPTTRWASVALGAGVGIGAAYTECSYLFNGAPPKLSPKVSTVPSAHSESLELVRRFGPLASPLALADTVRAELPSLLEIAAQAYLVGRNGGERVRGEEHAVGHADECGVAAEAGDGKQPPPGDVHLQHLDAAGDAVHHEHLLLAETVVVPPPSAPPTRSEKMRWQRRGSTAADGEEGVEEDVAGKVVHPDGRLAGGHQRPVSIPGLGEDDVARPTPEAEHERGRGRGVVDRRAVDVERVDASRVPEHRRDVGRVFGRRGGDDAGDGGGLDDGVAERVEEADGPGEPVHEDEEAVGGAQVAVGGERREVHADGGRGGQVEEAAGRRGLGVEVEQRPVARPEAAVQDPRVARVGGDVAPRLARRAEAEVLGHVVGEEAAGDVVDEVGNQIRPVTPFIWTVDGRIVICPLVRFREEEEALVSSSRLEIRRHWIPQEQGNLPSWSSSCRRG >Et_1A_004616.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23205570:23209371:1 gene:Et_1A_004616 transcript:Et_1A_004616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PVRSWAHNSFLPCSTRSARARITPTRRFCRHHRRRHGHPSLSPSSLEITRPPKKAAASTEEGSQLKLAPMSRAAILLLVTLAASIAPPSAASHEGGGEAAVLHSFLASLPPASQRVLRPSWKAGAAAASGNGSTGHCAFLGVECTTAGAVAAVNLSGAGLSGALVASAPRLCALPALAALDLTRNRFTGAVPAALAECSGVTTLLLGGNLLTGAVPTELLSSRRLRKVDLSVNALAGEIPVSGTPVLEYLDLSVNALSGAVPPELVALPRLSYLDLSSNNLSGPVPEFLPHCRLTYLSLYSNQLAGELPQSLSSCGNLTTLYLSFNGISGKVPNFFASMPGLQKLYLDDNQFVGQLPESIGELVRLEELVVSDNGFSGPVPEAIGQCRSLTMLYLNGNQFTGSIPAFVGNFSRLQKFSMADNGISGRIPPEIGKCQELVELQLQNNSLSGTVPPEIGNLAQLQRLYLFNNLLHGHVPSKLWQLPEMMEFCLDNNSLNGEVPTEITQMRKLRVISLFNNNFTGVLPQALGLNTTPGLLRVDLTGNHFHGEIPLFLCTGGQLSILDLGDNYFSGGLPIKIAECQSLWRFIVKNNRISGNIPANLGTNIGLSYLDMSGNLLEGMIPSALGSWQNLTVIDFSGNKLFGPIPRELGALSKLGTLRISSNKLTGSIPHELGNCTNLLCLDLGNNLLTGSIPAEITTLGRLQNLLLGGNNLNGTIPDSFTSTQDLIELHLGDNCLEGVIPRSLGNLQYMSKALNLSDNRLSNQIPSSLGNLHDLEVLDLSTNSLSGPIPSQLRNMISLSVVNISFNDLSGQLPASWAKLATQSPEGFLGNPQLCIEPANAQCFKTLSKRNRYRKRRIIVALALSVLSIMVAGLCVIHYLVKKSQLLSRRRGSSRSLDTTEELPEDLTYEDILRATDNWSEKYVIGRGRHGTVYRTECKLGKQWAVKTVDLSQCKFPIEMKILNTIKHRNIIRMDGYCIRGSIGLILYEYMPNGTLFDLLHEKKPQVDLDWTARHQIALGIAQGLSYLHHDCVPMIVHRDVKSSNILMDVDLVPKLTDFGMGKIVDDKDADATVSVIVGTLGYIAPGSLFQISVLCAHRHHVLNFRINMYFPLYSEHGYSTRLSEKSDVYSYGVVLLEILFRKMPVDPSFGDGVDIVAWMRSNLKQEDLHSIKRHMDEEIMYWPEDEQTMALELLDLAVLCTQVAAQSRPSMREVVNILMRIVK >Et_1A_006349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20986649:20991571:-1 gene:Et_1A_006349 transcript:Et_1A_006349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKPYILSVIIVFIYTGMYVISKAAFDKGMNSFVFVFYRQAAASLLMLPVAFVVERNTFGPNLCNESLKLTSATVASAAGNSTSVITFCFALLFRMEMVNLKSSSGRAKVAGIAFCFAGALVIAFYTGPSLRPVSHHHHVFHAGGARVFKAPGRGLWILGTFLMVLSNVTWSLWMVLQAALLKEYPNKLLVTTAQCLFSMVQSFVIAVVAERDFSRWKLHLDVSLLAVVYTGFVVTGVAYYLQAWCVLIKGPVFLSVWNPLVFVLTMFCSSFFIGEIVHLGSILGGIMLVGGLYSMLWGKSKERNGVQNPQEENNPQEKVLDKDEKEEATSASAVERVKYILAIAILVIYTGMYVISKAGLNHGHLCLHLLPAGSCISQCSCSLAA >Et_7B_053368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13811768:13812489:1 gene:Et_7B_053368 transcript:Et_7B_053368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALVSCVAALLLLLASLGGVRPVPQQASTSSCTADLIRLFPCLPFVNGIAATPSDMCCTSLGSMVHDEPQCLCQALSQPGSSPVSVNMSRVLGMPRLCRLDIPSAAEACTVFSSLAGLVPQGPALPPPPTVTAPRPNANSTAPSTLSPATPKTPRVTPSPLVSGRTTGYSGGSKVIVDRLSVALSFGALVSVIAF >Et_5B_043699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14222353:14225765:1 gene:Et_5B_043699 transcript:Et_5B_043699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSSLLFIALAAALFVGSHAGNIAIYWGQNQGEGSLAETCATGNYKFVNIAFLAAFGNGQPPVFNLAGHCDPTNGGCADQSVDIKACQSRGVKVMLSIGGGAGSYYLNSSDDARNVATYLWNNFLGGQSSSRPLGDAVLDGIDFDIEGGTNLHWDDLARYLKGYSNSGRRVYLTAAPQCPFPDAWVGGALSTGLFDYVWVQFYNNPPCQFSADSTTNLADAWKQWLTVPAKQIFLGLPASPQAAGSGFIPADDLKSQVLPLIKDSGKYGGIMLWSKYYDDQDGYSSSVKSNITPHMATKSSLAQLLLIAVAITQIAATQAGGIAIYWGQNGGEGSLADTCATGNYKFVNLAFLTTFGNGQAPVLNLAGHCDPTNGGCASQSADIKSCQNRGVKVMLSIGGASGSYYLSSSEDAKNVATYLWNNFLGGQSSSRPLGDAVLDGIDFDIEGGTNQHWDDLARYLKGYSNSGRRVYLTAAPQCPFPDAWVGGALNTGLFDYVWVQFYNNPPCHGFIPADDLKSQVLPLIKSSGKYGGIMLWSKYYDDQDDYSSSVKSKV >Et_9B_065889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16789406:16791763:-1 gene:Et_9B_065889 transcript:Et_9B_065889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLNPAAVLQRSLSRRDPGSLKPWRENAWKETREKQCQACTVTSPKQLLLVQVYGQSNAAIPNCDMYGACVQWVNSTNLWVSSSDDLP >Et_1B_010175.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27983891:27984313:1 gene:Et_1B_010175 transcript:Et_1B_010175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HSTYESCVPGSARLGARGQKTSFGKIYSTSNLRPPSLAPPTCQSSGSRPPPSRRSCAASATSTCLISTASTSPPSTPRRRASCRCRKARNCRRSSPPSFAPRQLRLGARRGVVTIFSCRGGHVVTGAADEKGTPFSFCGQ >Et_10B_003709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5117392:5118937:1 gene:Et_10B_003709 transcript:Et_10B_003709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSSRSSGGTAPDEEGAAREPELRRGPWTVDEDLALVNYITDHGEGRWNSLAQAAGLKRTGKSCRLRWLNYLRPDVKRGNFTADEQLLILDLHSRYGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLNCDANSRRFKDAMRYLWMPHLVDVDAAHHRSLLHAAGDQADAYAAAIDAHALSGMGLTSSSSTDSFATTTTPEYSYDAVPMMKKWETTTPYDGEGINIYADVRAGEMLVQETNQGYQAAGMMWCDQKAQVHNGGGQIVEDPELSGWVQSFSEGATENFWTLEDIWTMQ >Et_8B_060504.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:18940881:18941555:-1 gene:Et_8B_060504 transcript:Et_8B_060504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFNAWVHVDAACICPEFRHHLNGIEKVDSISMSPHKWLLTCLDCTCLWVRDKRLLTNSLETNPEYLKNDASNSGAVTDLKDMQVGVGHRFRGLKLWMVMRTYGAAKLQQHIRSDVAMAKMFEEWVRTDDRFEVVVPRKFALVCFRIRPRHHGEEDADENNRELMARLNMTGKLYLAHTVVGGSFVLRFAVGSSMQEERHVRSAWDLIQKTASEILQHDGEN >Et_2A_018149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14285330:14285769:1 gene:Et_2A_018149 transcript:Et_2A_018149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMAKQMEEAEAARVKEAEEAQARMLKQSQELETLKKASEDTQTLLQILVWRHKVCLCWIRYHHGF >Et_3A_024624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22680756:22700329:-1 gene:Et_3A_024624 transcript:Et_3A_024624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTTCVVFLISLLPLALCSSSASAPPVQHTLGTGASLAVEDHARPFLVSPDATFSCGFRPAGENAFSFSVWYTAAADKTAVWTASPGAPVNGRASRLSFRRDGVLALDDARAGGARPSPSSTPATSSGVAAWQSFESPTDTLVPTQPLTKATKLAAGYFSLYFDSDSVLRLLYDGPATSTIYWPNPDHDAFGNGRTLYNSSRVAVLDDAGVFLTSYNLRVTASDAGRPGVIKRRLTLVFAAANCSSGRGSAAPARRFKFVRMEHTDFYGYDLGYNQSVTLAYCKRVCLDMCLCSGFSYRLDGQGRCYPKFVLFNGYTSTAFPGNFYLKVPFDLNVSAPPPVAAARSLRCDPDGPPRIVRSGSPDTLVTPGRNGTRWSYFFAFAAAIGVLDLLFIATGWWFLSSKQSISDSSSLEAAGYRMVTGQFRRFTYRELRDATGNFKEELGRGGSGVVYRGVLDAGKKVVAVKKLTNAAVARGGQQLGDEEFWAEMTVIGRINHMNLVWVWGFCSEGKHKLLVYEFVDNESLDRHLFNGGGGRPPLPWRERFRLALGTARGLAYLHHECLEWVIHCDVKPENILVTREFDAKIADFGLAKLSARRRDGGAGAGVQLSHMRGTTGYMAPEWATNVPVTAKVDVYSFGVVLLEMVIGSRLSDQQAAPDREPVEVAQIAQALRQVVASGDVSPLVDARLQGRFHPRQALEMVRISLSCLEERSSNRPTMDDVAKALTSCDDEDQHPAYKSFRKRTMAALFYFVILPLLSFQFCSCASPWQIMTTGSHIRAEEHDKIFLRSPDTTFSCGFHELGTNAFTFSIWYTNTRNRTVVWTANPYSRESGYSPVNKYGSRISLNHDGNLILTDTNGTMVWESKTSSGKDTTLTLLDNGNLVMKDSSNNIVWQSFHSPTDTLLPGQHLTKDTRLVYGYHNLYFDNDNVLRLLYDGPEITSIYWPSPDYNPEKNGRDRFNSTRIAVLDDMGNFVSSDGFQIQASDLGPGIKRRITMDYDGNFRMYSLNASTGEWVVTGQAVVQMCYVHGLCGKNGLCDYSGHLTCRCPPDYVMVDPTNWNKGCRPSFSISRNGAHEDFTFVKQPHGDFYGFDLSSNKSISFEACWNICLNRSSCLSFTYKGGDGLCYTKGLLYNGQVYPYFPGDNYMKVPKSFNSSTASISKQESLTCRPPGFEVMLGSASMYGTKKDNINWTYFYVFAAILGALELLVIVTGWYLFFKKHDMPKSMEDGYRMITNQFRRFTFRELREATGKFKEELGRGGAGIVYRGLLEDKKIVAVKKLTDVRQGEEEFWAEVTLIGRINHINLVRLWGFCSEGTKRLLVYEYVENESLDKYLFGERSTESLLSWSQRYRIALGTARGLAYLHHECLEWVVHCDVKPENILLNRDFEAKIADFGLAKLAKRDSTSFNFTHMRGTMGYMAPEWAMNLPINAKVDVYSYGVVLLEIVTGIRVSSGIMLEERQVDFLEFVHETKQILATGNVGDIIDARLHDHFNPEQAMAMLSIAVSCLEERSKRPTMDEIVKVLMSCDDEDPQPRKMADNMHRFLYPIFISFLSVLLCSRASPWQAISTGSSLQVDHGKTFLMSPDTTFSCGFYSSGEGTNAYYFSIWFTHAADKTVVWTTNPGSPVNGHGSKISLNRDGNLLLTDVNGSTVWESKTSGGKHTTVALLNSGNLVVRTSTDDVVWQSFESPTDTLLPSQRLTREMRLVSQSGYHRLYFDNDNVLRLLYNGQDITSIYWPSPDYNALQNGRTRFNSSKIAVLDDEGNFLSSDGFRMTASDSGFGIKRRITMDYDGNFRMYSLNASNGNWTVTGEAVLQMCYVHGLCGKNGICEYSHGFRCTCPPGYEMTDPKNWNEGCRPTFNVNCRNPREEFTFIKIPHGDFYGFDLTSNKSISLEECMQICLEKCLCLSFTYKAGEGLCYTKDHLYNGQVYPYFPGDNYIKLPKKVTSTSSASKHSGLTCSTNNTKIMLVSKDAYMKNSDSTDWIYLYVFAAILGAVELLFIMTGWYILFKMHDIPKSMEEGYKMITSQFRRFTYRELVEATGKFKEELGKGGSGTVYRGILKDKKVVAIKKLKDVGQGEEEFWAEVTLIGRINHINLVRMWGFCSEGKHRLLVYEYVQNESLDKYLFGDKGSDSLLSWSQRFKIALGTARGLAYLHHECLEWVVHCDVKPENILLTREFEAKIADFGLSKLSKRDRSSFNFTHMRGTMGYIAPEWALNLPIDAKVDVYSYGVVLLEIVTGSRVSTGILLDEEEMDFMQFIQEVKRKLALGADIDIVDPRLKGRFNQEQATVMVKIAVSCLEERSKRPTMDQIAKDLMAYDDEDNHPAYF >Et_3A_025784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32921257:32927436:1 gene:Et_3A_025784 transcript:Et_3A_025784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGGAAGEGEWLKVAELRAMVEAQEPQAKEVDNLTLRRFLRARDHNVDKASAMFLKFLRWRKEVAPRGCVPEELVRRELSQDKACMGGFDRTGRPILVAFPARHYSANRDMAEFKNFVVYFFDKICARIPRGQEKFLCIVDFKGWGYSNCDVRAYIAAIEIMQNYYPERLGKALMIHVPYMFVKAWKMVYPFIDNNTRDKFVFIDDKILKEMLQQEIEDSQIPEFLGGKMPLIPLKDHVQQPQSLQPQLQPAKQLDYVSMDIIAYFYQFGDLALDILRLRGRDITLTIMLSFEVRQSKARELRRSGKDGCT >Et_10B_003990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8999967:9003112:1 gene:Et_10B_003990 transcript:Et_10B_003990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSFDDADDDPPAASASGGDKRKREGDGTSDAADGGGPRKARILAVGGGGLDGSAAVSKNTAGGSGGWRMVEAVGGDADGGISVRIDPEVLDCSICFEPFQPPLYQCQNGHVACVSCWSKLSNKCHICCHEANFVRNIALEKIVESVKSSCSYANWGCSKLVSYSLKDAHEKSCLFAPSVCPIPGCGYSGFTGWWSGHFFINHSGHGLRFLYDQCFEVELEMSLPFLVLLAEDDHLFLLLNKNVVSFGHALSVICLRKGSLNWNFLYEIEAASSVNTENNLQLKASVTNTREWGCVHPTEAFLLVPYAFCKSNILTLQLSIERIANVRVNM >Et_4A_032621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1189533:1190846:1 gene:Et_4A_032621 transcript:Et_4A_032621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQASPERGAGSLWVPGMSPGLMDAGSARAQEIARRREEMLGMLHDLPESEYELSLTDLVEKAATEAGKEPEQAGRSASGRQQQQQQPTPARPERRASTRRRDSASGGSSFRSSSDGVLLNFYMPRSLTRSFTAPRHSRTPSISGGRTPSVASDCNKRDEDAGAVKCWSLPWDRPWRKSSRRDPAAPPNDNDSTVRIAILKAAKHSASPAKA >Et_5A_042899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6488050:6490777:-1 gene:Et_5A_042899 transcript:Et_5A_042899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMYGPGKGMSSSVVPYSRAAPSWVKTTAPEVEEAIVRSAKKGMLPSQIGTVLRDTHGVPLVRGVTGGKILRLLRARGLAPEVPEDLYFLIKKAVAIRKHLDRNRSDVDAKFRLILVESRVHRLARYYRRAKKIPASWRFESTTASTLVAQEFPDAAKCTINKDVSGTLAMVFNWTPHLAPE >Et_1A_008864.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:16498919:16499224:-1 gene:Et_1A_008864 transcript:Et_1A_008864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPTCAALADELRDLRAGVASRREYEQTGRAMVLEGMSSHRMQRASVWAVREYHREGGPERHRDRERDVSRLQPYSTPAMEGMVWRSRSARNAGTKERR >Et_8B_059482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18319631:18321196:-1 gene:Et_8B_059482 transcript:Et_8B_059482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSAKTSVFIGALSCCISVAIVLFPAHLYHSTTSVTYLAAPSHPLHFLTNHSISNDHRRCKYNPLCDDFPPDFPPPDKPEVSIFCVDPNGCCDFTTVQEAVDAVPNFSKKRNVVWINKGIYFEKVNVPASKPNITFQGQGFELTAIAWNDTAKSAHGTFNSASVSVFAAGFVAKNISFMNVAPIPRPGAVNAQAVALRINGDQAAFWGCGFFGAQDTLHDDRGRHYFKECFIQGSIDFIFGDARSLYENCRLISIADPVPAGQRYITGSVAAQARESEDDNTGYSFVHCSIGGTGQIWLGRAWRPYSRIVFAYTSMSDIISPEGWNDWNDPSRDKTVFYGEYKCTGEGANLASRVPYAQKLTDVQALPYMKTSFIDGDLWLKPYSDSLISA >Et_10A_002117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23010023:23012726:1 gene:Et_10A_002117 transcript:Et_10A_002117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGAEVQRLEPVTAASWRDDLMPTDIAALSFAGCKQPVQLELESREKSSAKKIVSQQRKEKESSIQGFLAFLKRVAWAKQPTNAALLVWLAFVAGGVVFVFLLMTGALNSAVPDASRRRRWTEVANQALFTVITRASAPNDVAELRAVYCKNANAGDGLWNERLHVAVVVALLHATCLAQYAYCALFWFYGPLGTRKAVVELLPDGVVHVFTFALLCAAPVLVFAVAALNIHDATLGYLVGATGALLSVLGLLYGGCGADRSAIICGGRPSPSAADYGKWLQEVRTANLLYDVEDGRLYYLRQGDQMSPEEETPPAMAPLQRERPLTADKVHAPPPVVVPVIIDKMNRSCVRQ >Et_1B_012694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34506846:34508563:1 gene:Et_1B_012694 transcript:Et_1B_012694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWRAPETGERDESELAGEGYVARASLVHEQPLEGKGRGGRMRSLAKGMDIAGLPTDILVEVTASIATRSATPLIDIVNLRRSCKVFRDATTARKVGWCMAVHREWNLHWWDKARFLSVLRRCAASGNPEASYILGLEEFCNRRRKKSGLQYLRRAMEHGHAAAAYMIGMITLHDSRSPDGTEQVLERLEWLSAPSSTGPRTRRRIASIRGEAVSVMRRLTMRRWRVAEPPSPCTNRWCGKVETKTAEAWDDGDDEERRFCSRTCRWKREYCKFIERI >Et_3A_024506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21449579:21452526:1 gene:Et_3A_024506 transcript:Et_3A_024506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSPSGLELTMAVPGLSSSSGSEGFGCNNSNGNGNNNVMRVDLDMNQPASGGEEEEFPMGSVEEDEEERGAGGPHRPKKLRLSKEQSRLLEESFRLNHTLTPKQKEALAVKLKLRPRQVEVWFQNRRARTKLKQTEMECEYLKRCFGSLTEENRRLQREVEELRAMRVAPPTVLTPHSRQPLPASALIMCPRCERVTAAPAARTARPPAAASPFHPRRPSAAF >Et_7B_053501.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:2695962:2696186:1 gene:Et_7B_053501 transcript:Et_7B_053501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLKLFMHVHITLRLSFSLFGVRMRCRNGRGCGCLIPSSPCLPFCSIGLRPSFPGHPFAPLFGVHHVLYDFW >Et_4B_036475.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:25991339:25991881:-1 gene:Et_4B_036475 transcript:Et_4B_036475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRLSQKRSSRFGLPLFCGQSDVASPRAVTRSSSSSFGRGSSTSATSSGSSSSRQCELQRIFQHFDRDNDGKISGAELRAFFASMGDDDMPGGDGYALDFAGFVALMEREGGQEDDLRRAFEVFNAVESAGRITARGLQRVLAQLGDRRSVADCEAMIRAYDVDGDGGLDFHEFQRMMS >Et_2B_020453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20322530:20325386:-1 gene:Et_2B_020453 transcript:Et_2B_020453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLELASGGEPLPSLGRRRIPRVVTASGIVPDLDCSDDDAASAASDHSSHAPRERAIIVANQLPIRATRRGGDGGGWEFSWDDDSILRQLKDSLHAHHGRADMEFVYVGGLRDEVPPAEHDEVAHQLFEGFGCVPTFLPPDLRSRFYHGFCKQQLWPLFHYMLPLSPELGGRFDRLLWQAYVSVNKIFADKILEVISPDEDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPVREELLRSLLNADLIGFHTFDYARHFLSCCSRMLGLKYESQRGYIALEYYGRTVTIKILPVGVHLEQLQSVLNLPKTGDKVAELLKQFSHRNRLLLLGVDDMDIFKGISLKLLAFEQLLMQHPEWRGRVVLVQIANPARGKGKDVKEVQEESDAMVTRINAAFGQPDYQPVILIDKPLEFYERMAYYVVADCCLVTAVRDGMNLIPYEYIIARQGNEQIDRILGVGQSSRKKSMLVVSEFIGCSPSLSGAIRVNPWNIDSVADAMDSALEMPEGEKVLRHEKHHRYVSTHEVGYWANSFLQDLERTCHEHNRRRCWGIGFGLKFRVVALDPNFKKLAVENLVSAYRRTTTRIILLDYDGTLMPQTSFGKSPSSKTIDMLNSLCRDKNNMVFLVSTKSRATLNEWFSPCENLGLAAEHGYFLRLRRDAEWETCVQVADCSWKQIADPVMQTYTETTDGSTIEDKETAIVWNYEDADPDFGSCQAKELHDHLESVLANEPVSVKAGMNHVEVKPQGVSKGLVVKRLLSTLQERGDLPDFILCIGDDRSDDDMFEVITTAVNGPSLKPEAEVFACTVGRKPSKAKYYLDDPADIVRLIQGLANVSDQMLSDQTDGASPAATDTALR >Et_1B_013749.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:1544292:1545140:-1 gene:Et_1B_013749 transcript:Et_1B_013749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTHVAGAGVGVVAEPFRVFVGYDSREDIAYRVCRRSLLRRASIPVEVIPIVQQDLRDAGLYWRERGPTESTEFSFTRFLTPYLAGYRGWALFVDCDFLYLADVAELARLADPRRAVLCVHHDYKPTEATKMDGAVQTVYPRKNWSSMVLFNCAHPKNVAALTPEAVSKQTGAYLHRFMWLDDDDVGEVPFVWNFLVGHNRVDPADLAATAPRALHYTSGGPWFERYRDCEFADLWIQERDACEAEEKGEEEEEEAEDVKAAADAAIQAAPAAVVSVDA >Et_1A_009553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:877362:879576:1 gene:Et_1A_009553 transcript:Et_1A_009553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDPSTSLALTPVEAAPHSPTKNKKKPANPPKRFIHTPIPPSILSDPTLAAASTSLLPANYNFELHKTAHRIRSAGARRVALQLPEGLLLFSLPLSHLLAPYLQPDPANDVLVLADATYGACCLADRQAKALDADILVHYGHSCLVPVTSSLLPVLYVFVEIRVDATRLAAAVRNAFPDPAAAPRLAIAGTVQFISAVHAAREMLTKEGYRDIMVPQAKPLSAGEILGCTAPSLKKSEGVGAVVFVADGRFHLEAFMIANPGVKAYRFDPFLGVLVLEEYDHLGMKQARKAAVLAARKAKSWGVVLGTLGRQGSVKVLDRVVEHLEEKGFEYTVVLMSELSPARMELFGDSVDAWVQIACPRLSIDWGEGFKKPVLTTFEFDVALGYVPGWWEKGRRECGVKGDNGCCSGSETCETCDCSSADCSGGDFGGEYPMDYYSQDGGDWNSCYMKKKPSTDERKPRVRLGNGIRVEEKR >Et_7A_051965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3219449:3221293:-1 gene:Et_7A_051965 transcript:Et_7A_051965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSMMASRKGNGLGVSFVQAMVLGLVLASAAPVAHSWSKEGHMLTCQIAQDLLEPDAAHAVKNLLPEDVNGDLSALCVWPDQVRHWYKYMWSSPLHFIDTPDKACTFVYSRDCHGPDGAKDMCVAGAIANFTSQLMHYRHGSADRRYNLTEALLFLSHFMGDVHQPMHVGFTSDQGGNSIDLRWFRHKSNLHHVWDREIILTAIDKLYGKDMDIFRKQLEHNFTKGTWSDDVSSWADCEDLHSCPTKYATESIGLACKWAYNSVHDGETLSDDYFDSRLPIVSRRIAQGGVRLAMFLNRIFGKHNREVAPPT >Et_5B_044492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2918749:2920499:1 gene:Et_5B_044492 transcript:Et_5B_044492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEQDARRNYDRRVQMNNQGGFDEAAPPIETPSKTAGSLSVAPSSAPSTAPSTSITPAFQPAPRRPVKLPAVMPSPFVDDNAKQNFKCNTIVNKVYDAVIASSTRTSRRATRSSQSSQEEEVKIINYNGDHVSLEHLVQSVRPRGELHNTVAEIGISAMSYDDKVKRILPLRVATFLQSGQLKRNDVRRCFSRTKNHLDRLQLEWQVDGLNEKVGHYFLLCLNLREQRFEVLDSMRTLADRNMKRCCNVPILAIKELWKQYYPESKKKIDKYDLIQIEVQKQSTKYDCEFHMLMNCKYWDEREYWDGHSVPKFREEDMPNIRKLLTYKWLTHKDNTSDWKEKLNIK >Et_5A_041341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21387909:21388771:-1 gene:Et_5A_041341 transcript:Et_5A_041341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRKIEELGEQIVSTVVGDHIFSAAVGEHISPCRRRSATWSVVGKLEEIADVECLDTLVTMVRSAVEAAEGVHIRNMSLRRWIWKLREAACEGADAVRSFRQRAAEETADCDSAGSGTSWWARLLRTAKSLFVGRGGGTSAVPRLEKVVSGFGDFLKLLEMESRTVPLHRSPPAAARTRLDSELWDVDTVWDLPPRDISQWGERTAANTFLTSSDGDVLHDDKVMDGCITCDQVGTELFRQTTGTSLGVVLHITASTADVRQT >Et_3A_025350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29077826:29079316:-1 gene:Et_3A_025350 transcript:Et_3A_025350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGRAAAACTALILPSTAAAATPLPSTVSVNPRARQRSKSATTTTTMAVAAPHANAGGAVLEPPTFDQSQLDTLPPALEGGDPGRLKDRKGSGSGDSYKVLLVDDARHTETLVAKALPQVVPSVTAEAARQLFHESRLKGVAVVIVAVKEHAEFYAHMMVRQGLRSAIEPDSDLAS >Et_3A_027266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6423605:6429509:1 gene:Et_3A_027266 transcript:Et_3A_027266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQRNNLQDFLQMHGRVVLQRVDNNNNNMRYFTENEIQHITEGYSTLLGKGAFGEVYKGKLDDQLPVAVKRYIYGAQKEEFAKEVIVHSQINHRNVVRLLGCCTEENALMIVMEFIPGGNLDLILHNSANGRVPFPLDKRLEIAIQVSEVLWCMHSMYNPVLHGDIKPANILLDGNLTPKVSDFGIARLLCANGSQHTRNIIGSIGYIDPAYCETGILTPKSDVYSFGVVLLEIITRKKAVDGTVILAQSFNGTLEKGKNVMHMFDQEINNKKNMKFLKEVGELAAKCLRREVNMRPGMIEVATSLRMIRNSLQGEQGNPSQRDTGVPNYSISSKELGSGAHQFGNLNQVHAASTNSESGPCTAPNPVNSATKTLPTVLPTISLDELNQMRNFSNNVLITKGLLNKVFFGVLKNGQKCVVKELDNHYDDILAQVGKMSRMYKHDNVVQLLGYFIDERTHILAYEYAPKGSLQDILHGINGGKGSQTPLSWMQRVKIAVSAAKGLEFLHEKVEPPIIHTNIKSSNILLFDDDIAKLSDVGLPDQLTMLSIKDNYYGYDRIYTQYDSGYVAPPEYEQRTNLLLPETQALPRLSEDMVKHCVDPRLGGHYPPKSVAKCKDVCRPELSTVVKALSELLDVHHCLHQEEPSRRQRDGWTVMASSDASHSIAMFERADR >Et_4A_032907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15567775:15568479:1 gene:Et_4A_032907 transcript:Et_4A_032907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVRIWGFFSESSYRLLVTEYIENGSLASILFKDYILLEWKQRFVIALGVAKGLAYLHHECLEWVIHCNVKPENILLDHNLEPKIADFGLAKLLNRGGCNQNVSQVRGTIGYIAPEWISSLQITAKVDVYSYGVVLELVMGNRVLDLAIGADEEVHKVLRKLVEFLQHILNREDPLSGQFNYIQARTLIKLVVSCLDEDKNKRPTMESIVQMILLAEETCTMH >Et_9B_066046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21353949:21357113:-1 gene:Et_9B_066046 transcript:Et_9B_066046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSALPSSISLASENCFRRLPPRTWMSGAASYSATFSSKVFWYPCSHRRSICNTSFIVGRVDGWAPVHMMPSLNTASTSSPYPASLMHLSAASAMLFPDCKYRHAQSASEPCSPPSLASLPVVSSKRTTPKLYTSTFSFTFLVIRERQEARTGSHVAERSRDGGHGVGLAGLRQHPGEPEVGDLGVELRVEQDVAGLDVAVHDGRRALMVHVAQTPCGADGHPDPRRPVERRSPHNRWRPRHGRLCGGGHPLVVSVQPLVEASEVHTSSLVSARERQHMSLTMLVWRMWPRMFTSDSSSRSSFPPVQMRFTATVPPGPPLTRLAYATRYTRPDPPLPIRFSSASPRTTAASPNSSGCSGIPAASPAGMSPENALFAAWNFWSRTADDGSGPLNRLLSMRSLVRFQNSFSGRVPEQSDELRLRPQLRRNLAGQLVVLELKADERRARGEARRDGAVQAVVGGGDNPQLAVVADALRYGTRKIVVVDLDVPQHRRVLPELGRKRSGEVVGEELQYHQRPELRNARRYLAGEVVLVQVEDPEAHQASEAFWDGPAQLVRRNVELDQTCRSDHRAADDDVAGEVVVVQVLQLGEVPYRRRYAPREPVGRQVEPLHVRHLREVARELAGEVALRQPDSLELRQVLERLRQRAGAERVHLELEGLEARQLAQLRRDRAVEFSLRARYVRFLSLDNAAGISPVKLLWSRARYVRPAQLKRDAGRPPPTLVLLRSSVASAVSRPTASGTTAPAGSVTLGSASDATRPDASQDTCAQPQRDAALPAVHDASAGGSPHARLISRSTCPSTSTVAAWPAAWRGKRQAAMATQEKKQSRTRVDGAMA >Et_4A_034805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6756487:6759904:1 gene:Et_4A_034805 transcript:Et_4A_034805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKDSSGRSSSGSSREQNGVGPFGQIRVLIVGDSGVGKSSLVHLILKGSAIARPSQTVGCTVGIKHVTYGSAGGSSNNISDAERNFFVELWDVSGHERYKACRSIFYSQINGVIFVYDLAQRKTKTNLNKWAVEIAETGTFSAPLGSGGPGGLPVPYLVIANKVDIVPRDGTRVSSGNLVDLARQWAEKQGLLRSSEELPLTESFPGNSGLVSAAKQARYDKEAMIKFFRMLIRRRYFSNEPPAPSPWSLTPRPDTILPVEALGVEADSFQRKSYGDESFLYNGVVTPLPAQRNLAPPPTLNPQPPVFSLDNYRYHRFSSSSLPDVGSNRTSREDSNV >Et_6B_049454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5075584:5076768:1 gene:Et_6B_049454 transcript:Et_6B_049454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCSALSLQAGRPLGGARDDGEQVVAEWVAEVLREVLDGALAGDVGLDEEGEHGEHGQPAVLDLLHLEQRRLVGVAGQAQWVEGATRVQLVLELQPVDDPVVLGATDEDDLRDHGHHQVDGDAVAEVAQGVAVQEQGADGLRTAVGTELVACLRDDGAGGTEHGPPGMDQLVGLISYFV >Et_5B_045722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8124972:8130123:1 gene:Et_5B_045722 transcript:Et_5B_045722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEERRFDAEVAEVESWWSTDRFRLTKRPYAARDVVLLRGTLRQSYASGEMARKLWRTLKAHQAAGTASRTFGALDPVQVTMMAKHLDTVYVSGWQCSSTHTTTNEPGPDLADYPYDTVPNKVEHLFCAQLYHDRKQREARMSLPSRADRARSPPPVDFLKPIVADGDTGFGGATATMKLCKLFVERGAAGVHLEDQSSVTKKCGHMAGKVLVAVSEHVNRLVAARLQFDVMGVETVLVARTDAVAATLIQTNVDARDHRFILGATNPRLRSRSLAAVLAEGTAAGKTGRELQAAEDEWLAAAGLKTFSDCVRDAIAGLGDITEHEKQRRLREWDDATGSGVDSKCVSHAQARDAAARLGVADVFWDWDLPRTREGFYRFRGSVDAAVVRGRAFAPHADVLWMETSSPDVAECTAFASGVKAAFPEAMLAYNLSPSFNWDASGMTDADMAEFIPRVARLGYVWQFITLAGFHADALVTDTFARDFARRGMLAYVERIQREERINGVETLEHQKWSGANFYDRVLKTVQGGISSTAAMGKGKMLLPELLKSSSRTQGLELEAAVAYNLTTGTLIVTNGTEASNCHGLHHVGPFEISSANFRITTGMIIIQNKEAQVHRNLEREYTVRRLFILSSKEKETAERSFGLLARRPGENCERPWRTEGFGEAVLLRIITERAEDDLGSAGQH >Et_9A_062504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23578942:23580829:-1 gene:Et_9A_062504 transcript:Et_9A_062504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSSSRFSRVLPNILGPVSRVLKPPLLRRRAGQALASAHTVDAAPSTSPASPPPLLLSRWLAAALRGGRAGGELPDLAAAATGGAGIGTLLMSTTAAAVTKARESPYLLALAANPTFVSGLVAWAVAQTAKAVLTSFVERRWDLRMLFSSGGMPSSHTALCTALTAAVALCHGVSDALFPVCLGFTLIVMYDATGVRRHAGMQAEVLNKIVEDLFQGHPISERKLKELLGHTPSQVFAGAILGVLVAWYCCQGCIVPI >Et_7B_053648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10765654:10778655:1 gene:Et_7B_053648 transcript:Et_7B_053648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GNWRSLEFDTPYTPTSGEGLHGARRCPESTIKASQMSLEVENPTSTESANRLSLKRHDSLFGDAEKVSGGKYHGSEASWARTLHLAFQSVGIIYGDIGTSPLYVYSSTFPDGIKNNDDLLGVLSLIIYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRLIPNQQAEDAMVSNYSIETPNSQMRRAQWLKQKLESSKTAKIILFTLTILGTSMVMGDGTLTPSISVLSAVSGIREKAPSLNQTQVVWISVAILFMLFSVQRFGTDKVGYTFAPVISVWFLLIAGIGMYNLVVHDVGVLRAFNPWYIVQYFKRNGKDGWVSLGGVILCVTGTEGMFADLGHFNIRAVQISFNGILFPSVALCYIGQAAYLRKFPENVGDTFYKSIPAPLFWPTFIVAIFAAIIASQAMLSGAFAILSKALSLGCLPRVQVIHTSKKYEGQVYIPEVNFMMGLASIIVTIAFRTTTSIGNAYGICVVTTFSITTHLMTVVMLLIWKKHIVFVLLFYVVFGLTEIIYLSSILSKFIDGGYLPFCFALVLMTLMATWHYVHVKRYWYELDHVVPTNEMTTLMEKNDVRRIPGVGLLYTELIQGIPPVFPRLIKKIPSVHSIFVFMSIKHLPIPHVIPAERFLFRQVGPREQRMFRCVARYGYSDRLEEPKEFAGFLVDMLKMFIQEESAFALNEAAAEDDEPSRNEVSEAQTRPVRSTQSVVHSEEAIQPRVSSHSGRITVHANQTVEEEKQLIDREVERGVVYLMGEANVSAAPNSSILKKVVVNYIYTFLRKNLTEGHKALSIPKDQLLKDPTDTKEVGGKLQRHDSLYGDAEKVSGAQHHGSQDNWIRTLRLAFQCIGVIYGDIGTSPLYVYASTFSSGIGNVDDLYGVLSLILYSIILLPMIKYVFIVLYANDNGDGGTFALYSLISRHAKVSLMPNQQAEDAMVSSYTMDTVSAPMRRAQWMKKRLESSKVAKVAIFLLTILGTSMVISDGVLTPAISVLSAVSGLQEKAPQLKQGHIVLISVAILILLFSVQRFGSDKVGYSFAPIILLWFLSIGGIGFYNLIKYDVSVLRAFYPKYIVDYFKRNGKDAWISLGGILLCFTGTEAMFADLGHFNVRAVQLSFSFALFPAVSLAYIGQAAFLRKHPEHVFDTFYRSIPGPLFWPTFIIAVAAAIIASQAMISGAFSIIQQSQTLSCFPRVKVLHTSKFYEGQVYIPEVNFVLGLLCVIITLAFQTTTDIGHAYGICVTTVMIITTILLVIVMLLIWRVSIWLIIPFCLVYGFIELIYLSSVLYKFTDGGYLPIVIATVLVIMMTVWHYVHVKKYWYELDHIVKNEDMRQLIQASDVKKISGVGFLYTELVQGISPIFPHLIEKIPFVHSVLMFVSIKHLPVPHVEISERFLFRNVESKTSRMFRCVARYGYNDKLEGAKEFAASLIEGLELYIEEGHLITNMKIQETEGPVTSITESYTRPHNVGSSMVYIEEALTPSETADLTQPWISSYSAHSSGRINEDQARTIAEEKQFIQRELEKGVVYILGETEIKAGPNSSFVKKIVVNYMYSFLRKNFRQGEKTFAIPRQQVLKVGMVCEI >Et_7B_054770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4263684:4269692:1 gene:Et_7B_054770 transcript:Et_7B_054770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSSSSSGPWRYLNPAYYLKRPKRLALLFFVFVAATFAFWDRQSLVREYESEISRLDDEINRLHDQLRKAGVHLEENPISDKMSRKDIVEIDPINNERREKVKEAMLHAWNSYVKYAWGMDELQPQSRNGVNSFGGLGATLVDSLDTLYIMGLKDEFQKAREVVGGLLSAYDLSGDKIFLDKAKDIADRLLPAWETTSGIPFNRINLAHGRAHNPGWTNGDSILADSGTEQLEFIALSQRTGDPKYQQKAENVITQLQKIYPSDGLLPIYINPHSGTASYSTITFGAMGDSFYEYLLKVWIQGNKTEHVKHYRQMWEASMEGLISLIRKTTPSNYHYICEKNGGSLSDKMDELACFAPGMLALGASGYGSEKSEQIMNLAKELARTCYNFYQTTPTKLAGENYFFHSGQDMSVGTSWNILRPETVESLMYLWRLTRNKTYQDWGWDIFQAFEKNSRIESGYVGLRDVNTGEKDNMMQSFFLAETLKYLYLLFSPPSVISFDEWVFNTEAHPLRIVPIHDNKAHASGFETPVVRPFGRKQGKPE >Et_2A_015309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10915987:10919981:1 gene:Et_2A_015309 transcript:Et_2A_015309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGGGSAALLPARRKKAHKWDVEYARYFATPRRGPSTPPPPGLRYVSRGKLRHQGTWLPASTTAALCVSQPSLPSAVSILTVSIGGVTFEEHFVSILNFSWLQVTCVTQCPIRGSRVIQKFAVRFPQLSDAESFLNCVKECSVETMDIIPSGSDYMCEDSSTSEYIASNGLQLRADDASSYEEPASDPIIEVPALSFHEEPDHPVPGPILDSNVDTIYSGFPPSFSQMLSICSTENEKDAEGPYPVTTTKSSPREVYAPGTSHDVAVVSTETTADKGKDAAEGTDASNATSDLMARIKDGIRSRLLVDTVPASRAGVAVLPPAEVCPMVLLLELREETVLLGTNSGR >Et_2B_022610.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27842153:27843607:1 gene:Et_2B_022610 transcript:Et_2B_022610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLDDAVLARSRPTASRRRQQQQLLQQHFLFVTDPMQSHINPARRLATRVAAALPNARVTFSTAVSGHRQMFPHLTSPDGEVIQGLVSYIPYSDGFDGGFSPGKEDARGAGAYRARARAVGAETLAAVFARLAARGRPVTRVVYTALVGWVPGVARAHGVPAALYWVKPATVFAVYYHYFHGHGEALHHSVATNNDPDDASVHLPGLPALKPDALPAFASMASPGSRQYLTLDMLRDIFFALDEHMPTVLVDTFDALEHEALRALPQFDLVAVGPVVVEEPCRPCVDVFQPNDAKAYVDWLDKKPARSVVFVSLGSVLSVSKRQDEELRRGLEATGRPYLLVAPKSNSRGDGGQGMVVEWCNQMRVLAHPAVGCFVTHCRWDSTLESITCGVPMVAVPRWADQPTVAALVETSACVGVRALVDGEGVLTRGELQRCVEMVMGDTHSAAAVRTRAERWGQSAKEAAAAGGTSDKSLRAFATEL >Et_5A_040151.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:16015548:16016969:1 gene:Et_5A_040151 transcript:Et_5A_040151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYVSLPDGAPKTYSAEKTLPPIVVNANSYGLIQLVDHIADHFMWGSKQYINLYRACEDDVWVPIKTDEQLLEWFKMNLEKGVVHIDAFIDDFDGPLQWAPAPCVDPTQLTNDTSIKGRATSMSIKEIDASTFIQKGKNLKRKAHHEDSVGVDEEGMYSHNNSFLALSQRTRSSSLEHAHGEGSNDPIAPNASK >Et_2B_020769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23447321:23448628:-1 gene:Et_2B_020769 transcript:Et_2B_020769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSSVGGVRRGGGTVLGDLPENCVAEVLLRLDPPEICRMARLNRTFRGAASGDGLWESKLPRNYARLFAVAAAGCEEGPKVAAAALEAEVLPKKDVYARLCRRNRFDGGRKEFWLDKGGGGVCMSISSRALSITGIDDRRYWNFIPNDESSFHTVAYLSQIWWFEIRGEAEFCFPEGTYSLFFRVHLGRPFKRLGRRLYSSEHVHGWDIKPVRFQMSTSDGQHARSKCYLTDPGVWINHHVGDFVVKDSNEPITIRFAMVQIDCTHTKGGLCVDSVVVKPQYIAQKKAPRNYL >Et_1A_008843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15783141:15784830:-1 gene:Et_1A_008843 transcript:Et_1A_008843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPIWAVSLYALFGCVDPVNAYNAALTTRALYQRRTKDIDYKWTWELIEIELGFLYDVFFTGNSFLDYYQAKSASIWALASLVGICFVGVAAAIPGTRSSSAAPGAGPRTNVVVVDTTSADLAVTLVILASVAVPQLVQLIRCWTSNWARVALACKVAREQKRMDQRVISLSWWMRLREFMVTAKIFTSNWFGRRHLWQDKLGQYSLVSEAITSDRHYGDFFGHKFARMLGLDYIWEVLRDLLGSETTKKGAPFRLDDDVKASIGRFVDQLYAQYWDDDGKQTNTNHLWSCFSADTTLRSVLDLHLAVTFLAVADLYTFWVMVWHVVTCYCKLAEQEKADEPRMEEGGGGGGGGERERNRRVAIALSKYCAYLVVSVPELLSGAATETPGKSTMCLRSMRGNSRRAGGKISRFLINAL >Et_2A_018716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5839276:5842721:-1 gene:Et_2A_018716 transcript:Et_2A_018716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPSSAAAAAAAQQLESLLPRLTTLSHYKQFHARLLTSDHLHCNPTLRARFLDRLALSSHPAALPHALLLLRSLPSPATNDLNAALRGLAASPHPARSLLLLAGRLLPPPAPPRPRLDALSLSFALKATARCSDADATLQLHAIVFRLGVVADVRLMTTLVDSYAKYGDLESARKVFDEMSVRDVASWNALLAGLAQGTEPNLALALFHRLAGSFRELPAREQPNELTIVAALSACAQLGTLQDGMAVHEFARTLGMEQHVRVRNTLIDMYSKCGSMARALEVFHSIKLEDRTLVSYNAAIQALSMHGHGADALKLFDEMPTWIEPDEVTYIAVLCGCNHAGLVDDGRRVFDSMRIPPNIKHYGTIVDLLGRSGRLAEAYNTIMSMPFPADIVLWQTLLGAAKMHGNVELAELSATKLAELGSNVDGDYVLLSNVYASKARWSDVGRVRDTMRSKDVKKVPGFSYIEINGVMHKFINGDKEHQRWREIYRALDDIRSRIGELGYEPETDNVLHDIAEEEKQYALCYHSEKLAIAFGLISTPPGETLRVIKNLRICGDCHVVAKLISKAYGRVIIIRDRARFHQFEDGQCSCRDYWLGAEEVRLEEE >Et_3B_029209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23063775:23064636:1 gene:Et_3B_029209 transcript:Et_3B_029209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLYEKPSETYAKKRPRYPKEWFSMLAGLTAGHHRAWDAGCGSGQAAISMAEYYESVVATDVSEGQLRHAIAHPKVRYVHTPEHLSEDELVALVGGEGSLDLVVVATSIHWFDVPLFYAAASRALRKPGGVLAVWGYNYDIHPFGELLQGRLYGALRPHMDPRTRLAMERYRDLPFPFEPVGVGAEGAPADMDMEVEMTLEDLAGFVMTGSVVTTARENELEAVVTDVMKRVEQEWGDAPTVPRKLVFKAFMLAGKPKC >Et_3B_027914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26297331:26298368:1 gene:Et_3B_027914 transcript:Et_3B_027914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRATHWCYACRRPVRVRGQDIVCPNCNDGFIQEISETGGMLNTFGLVEPDFDEFLGRRFGMMEAMSNLMRQRRAEMSRDRVSDIHGRQETRAEHGDSTNIDVVFRRGRRINTDLPIPNFTGLLRPSLEALFEQLLLQSNRQGPAPAPQSSIDSMPMVKINRRHLIDDPQCPVCKDKFEMGSEAREMPCKHLYHADCIIPWLVQHNSCPVCRHPLPSQEAPESAPRNYDSGNQDTHSSYPFLWPFGTSNSSSSSYENEGNVGEPAPAVYDDPGELAYSEWHYDPEIF >Et_7B_055926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7525342:7527378:1 gene:Et_7B_055926 transcript:Et_7B_055926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSKKQHAKRREGQPSGDAKRSGARKAGRGTAVVAEAKKTPQAKAPTPAPGKKAVARPEEPAADKRTVFVVKAAAAAAAAEVAAAANGDNRVDVKRAAPDEEAKPVAVGRAPVRTSSCTKEEVDAILIQCGRLSRSSSASGKAPSGEHGRGHRRYAGSKRSYDFDRERRGGAGDDECDWGREGAAASRPSPRRRTPERKRSASHDGRTGGGSGSGSRRVSRSPGRRADGGPAAASSGTGERAARQQPGKIVSVPARDKGRAPSPVKASASGKRYPSPRSNSPARGAGNENAGAQPAHGPSLSRSSSRKAEQSPYRRNPMSELDENALGNHHNTGNISKPQKKSTESTVAVPQKAAERPKEPLPSSRASKEKTEIAEEAAASDTKAPSTRMNATHSVSIVAETAVNPRAGPGSRSSRRSSRDFDHNGNSYASLLLEDIQNYHQQNTSTGTAAAPAFSLPACVSKACSILEAVADLNSSSSENKSFELERSVNDKESVNGRYGGKAAAAGTRVVESEVVVKDDLMEPSLHKYVSVRDIRGATETEPQESAGSNSFAGNAWTCSWEPNSVDSTDRTRSVSLSNNGEEVEQRTEESSWQSKQKLSSQEATRRGSTSNAQVQRGRLAQRGSGSGVSGRTDARGVSASSSTV >Et_3A_026804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21370961:21374834:1 gene:Et_3A_026804 transcript:Et_3A_026804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPSAGGGGGDAGFVRADQIDLKSLDEQLERHLGRPAERGALAAAALQLPGAGSRRGESARLGAENLTPLQRCREDWEIDPAKLVIKGVIARGTFGTVHRGVYDGQDVAVKLLDWGEDGHRSEQEIAALRAAFAQEVAVWHKLDHPNVTKFIGAIMGARDLNVQTETGHLGMPSNICCVVVEYLPGGALKNFLIKNRRRKLAFKVVVQIALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPSDMTGETGTLGYMAPEVLNGHPYNRKCDVYSFGICLWEVYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSFANVMKRCWDANPDKRPEMGEVVSMLEAIDTSKGGGMIPKDQTEGCFSCFRQHRGP >Et_6A_045939.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:12006893:12006946:1 gene:Et_6A_045939 transcript:Et_6A_045939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYTGNKHGPVHVWSN >Et_4A_035767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5357232:5365316:-1 gene:Et_4A_035767 transcript:Et_4A_035767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRNSRECAPGSVASASRDLVSRTASGSPGTRNGSASDAAPLCGLWRSRERCTDSAKQPVPVNAYRGTPSSGAAVAAHGRTPSNTTASAGCRRRRSAKSGARRSTATAKGPASSRFGRLSALSWPPVSPAASAGSVSAWMRMRWPAAAARATGPPSLAALARVRTSVTSAPRDAKRRARSDIGSACPGVGKGMKYMRSAASSPIDGWMVHVGTRRAATSMVIVRVEFGHTVHCVRQMERTMLYVVAVLLKRKDDALPRHVLEPGVKGLPDGVNNEANLPHLLRIDDIAAIEQERRLLHAVIDLLVVKCFELVPLDTMRTLGGFIRIPGHRDLLHSGWAHWLQVDWVIPVEFVHCKVTLNLILGHLRVIDANLGLVSKKTLADINGSGFPCITGILLECKAKHCNLLSRDSIEHGGHDTVHKSALLVIIDLDNLLPVVCDLRQSIALTDVDKVEDILLEA >Et_8A_058303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4605281:4606745:1 gene:Et_8A_058303 transcript:Et_8A_058303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLPPQACAGSAGTAAHVLLVPYPAQGHLLPLLDLAALLASRGLAVTVAVTAGNAPLLAPLAAAHPSVATLALPFPAAGTSRLFRPFMASLAALRAPLLAWCEHQPSGRRVTAVVSDFFTGWTRPLAAELGVPHVTFSPSAALHLAMSHSLWRHLPRRRGQENDADEAVTFTEIPGSPRFPWSQLSWLYRQHVPGDEVSEAIRQLFLWNLDSTCFVVNTFAALEPRYVARPLPDLMSKRMLAVGPLADAAATARSSSSSGDRGGKPAVAAASLAAWLDAFPDGSVVYVSFGTQQALSPAQAACVADALARSGAPFVWAAARAAVPLPEGFEPATASRGLVVRGWAPQVEVLRHRAVGAFLTHCGWNSALEAAAAGVAMLAWPMSADQFTDARLLAEAGVAVPVAEGADAVPDAGRVVSAIAAAVGGEEGRHVRERAAELGRKAAAAVAEGGSSRGDLDELVRMLTHGGRLTVVQGSTH >Et_9B_065035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20778275:20782090:1 gene:Et_9B_065035 transcript:Et_9B_065035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLADNAPKSMKEQKFESYFGRKIAVDASMSIYQFLIVVGRTGMETLTNEAGEVTSHLQGMFNRTIRLLEAGIKPVYSKREDATKDLTEAVEEGDKDAIEKLSKRTVKVTRQHNDDCKRLLRLMGVPVVEAPCEAEAQCAALCINDKVYAVASEDMDSLTFGAPRFLRHLMDPSSKKIPVMEFEVAKVLEELELTMDQFIDLCILCGCDYCDSIKGIGGSTALKLIRQHGSIENILENINKDRYQIPEDWPYEEARRLFKEPNVTLDIPELKWTAPDEEGLISFLVKDNGFNEDRVTKAIEKIKSAKNKSSQGRLESFFKPVVSTSAPLKRKETSEKPTKAAANKKTKAGGKKK >Et_1A_009346.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4079843:4080106:1 gene:Et_1A_009346 transcript:Et_1A_009346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSNSFGTSWADQWDYGGDPSPRARGRPDGGAGKKQGGVEKTKAAAATGLRKVKEGTASGFQWIKDKCQKKNGGGKKQEGSGIVGY >Et_2B_021664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4165150:4177984:-1 gene:Et_2B_021664 transcript:Et_2B_021664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTSPPKLQFLSFPMEVIRTSILSSKWRSICTFYPKLRFDGITMCSSRSIPGSEQYTKEFIQNVDAVLKEHNGEFVEDFELKFELTDELVTHLDKWVRFAVASQAKNLSFDLVPAEFHGRSDRFLIPNELLDGGRAHRLQNIQLGFVSIKLPSQFSGFPKLRKLDLHFVDITANDLENMLSSCSNLEWLSLVRCHLDDELKVDLPLSHLQYLCVAHCRITRIKLIAVKLETFVVGGSLYPFDLTQSLDLKDAHFYVYDSLTLDYALVTLPIVLPSVENLCLHASAPMKTPALLESAFKFSRLKCLQLELFVTYEDAGNILSLASYLRAAPLMEKFEIHFSVCSVAHSDLDSEPLKSLPRCPYNYLKTLYITGFTACTGQLEFLLHAVENAPMLEVLTLDPACKFDQGLTYEGQAFVFSGVRETGQFPVQFRQESVRRFFVLRLLDLVFGLGGGAGLDLAEVSSGTLPVGAGDVGGRGVVGVDEGIIGGAGGGAALALPDFSSGTLPVGAGDVGGRGVVGVEEGIIGGAGGGAALDLAKCAATVLGVRLEERLILRTQEQESVSGRYIGLWWRRIGFLRPSPPRRSFLGSTWPPSPAYPRGRGRSVREVRVLCPVEEPPWRCVNAESFTEGSCGEGPVQSAGSSSGDPPLPAGGGEPPLEQKRRSFRTLPRRRPTPAAPRRRTARRHFSGELLLLFRRGWRKGDWGRVLDFLTG >Et_1B_011875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26804798:26812385:1 gene:Et_1B_011875 transcript:Et_1B_011875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLASAKPSPRAAGACPPLFFSPLKPFPLLRFPPRRAAAGAARLRLRLRPRASASDEGAAPAGEEVFGARRELTGVQPLVEALPPAARTAAELAVAAAAVAAGYGIGLRAGGGSRAAAYAGAAVLGAASVAAAAGVNSVVPEVAAVGLHNHVAGHDDPTNLESGEVEAIAAKYGVSTQDAAFKAELCDLYARFVYSVLPPGDEDLTGTEVPAIVKFKNALGLDDVDAANMHMEIGRRIYRERLESGDRDADMEQRRAFQKLIYVSNLVFGDASTFLLPWKRLFGVTDSQIDIAMRDNAKNLYSSQLKAIGRGLDVGTLIDVRRAQLAYKLSDEIAAEMFREHAKKLVQENISSAVDVLKSRKPDSLTEAVDEVKSVLEFNRLLTTLSKHPQEDQFARGLGPISLGGEYDHDRRADDLKLLFRAYAAEVISDGIVDDEKLAPLNELRNIFGLGKREAEGILTDVKALIYRRTLAKVFNTELASVPSKAAYLQILCEKLQYDPELASKMHEDIYRQKLQQFVADGELSKEEVEALMAFQVRLCIPQETVDAVHAEICGKLFEKVVKEAIASVDGYDANRREAVRKAAQSLNLKKEAAMAIFSKAVRKLFLNYIQRAKAAGNRIETAKELKKLISFNTVVVSELLADIKGELSPTVETEPSSATSESEGEDDEPEWESLETLRKTRPDKELREKLRKSSQIEITLKDDIPLRERAELYETYLMFCITGETTNVSFGTAISTKKDDSEFLMLKQLGDILGLSRKEAQDVHIKFAEKAFVQQAEVILADGKLTEAKADQLAKIQKQVGLPTEHAQKIIKSITTTKLSSAIQASVARGQIGIQQVRGLKEANFQLESLIEEPLRESIYRKAVEEIFSSGTGDFDEEEVYVKYPADLIISPEKAKKMVQDIAKVRLENSLVQAIALLRQKKREDVVSSLNDLLACDAAVPASQPLSWPTPGELDDLYGIYLKSIPKPEKLSRLQFLLGISKEKANQIRDAASEGTLAVSSQEEEEELAF >Et_6B_049883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16262109:16263991:-1 gene:Et_6B_049883 transcript:Et_6B_049883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATVRRRRCSGGGKKSADGATAAEDTGEVWTPGSLMKLPRDYVDWILSQKRHVLEEDPDEYYERMINDPDRLEIFTPEFLEEERQLMRDMAEQYRISGDRFEKFQAWVRVQLETRGCVLVDETYLAQRPTDVGKNHAAYDLSIKPSPRDK >Et_4A_035189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11011009:11012124:-1 gene:Et_4A_035189 transcript:Et_4A_035189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTTPVFRRQLTLLLMLVLPHLFLVDAVGARQCFWPIPTPEEAGCLSWRVMVEANNARGWRTVPPQCVGYVRGYMTRGQYLRDLAGVMEQASAYVEEVAAAVGDDGLDAWVLDVDDTCLSNLFYYETKQFGYARNAPPTTCPSFSLSIRNQRHDDLFGDRRAYDPSAFKDWASRRACPGIPPVLQLFTTLLDKGFKVFLLSGRDEETLGACTAENLEAEGFSGYERLIMRTPEYRGQSSSLFKSAMRKQLVEEGYRIRGNVGDQWTDLQGDCVGDRGFKIPNPMYFVP >Et_2A_015797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1797967:1801453:-1 gene:Et_2A_015797 transcript:Et_2A_015797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLRAHAFAANPLRGLSASSAVSPSAASEALRSLLDPGAGADAASPHRHLSKILPFRRGRPLGRSPDTTPPAAPAWSLAWLPPSRVPGVPPDAFVFLGAHGEGDGKEAAAYWAVDVSEGEASRVGVAGDGAAFVDLRTLMVATDWSDKDAMGELAVAGHARALLEWHSTAKFCGACGAKAVPTEAGRRKQCSDESCKKRIYPRVDPVVIMLVIDKENDRALLSRQSRFVPRMWSCLAGFIEPGESLEEAVRRETLEETGIEVGQVIYHSSQPWPVGPSTMPCQLMVGFFAYAKSLEIHVDKQELEDAQWHSREDIKRALTFAEYEKAQRTNAFKVNQICKGAEKGQSLFSDLRAESSESAPMFVPGPYAIAHHLISSWAFEGAPKICNDAQI >Et_1B_013803.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17303792:17304103:1 gene:Et_1B_013803 transcript:Et_1B_013803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAADLSPPAGYNTKEDENSYFIKQVGTFAVNVYKIAHMCQMYYKYTVQCWSMPAGGSANYYWMVLTATNSTGTAGQYVSKVWGVPGSESKTWKLLSFNCTS >Et_9A_061809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16742593:16746271:1 gene:Et_9A_061809 transcript:Et_9A_061809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAAASPPPAADDGRATETVAATTAADERVASHVDPFLVEALDNPRHRLMVLRMELDIQKFMQNPQLQEFEFPNFPTSYLRCAAHRVAQHYGLETIVADSLVDGSVSRIVARKTPESRYPAIALSEVPSKQARSDQESAEKLKFVIYQRPKASQNGGADAGNKNGASKTVEERLDEYNKARARIFSGSISEDTDATSDPVTPSTGRDEPVKVEPSVDENKVSIMNSRSRVAVFKDTEKDRSDPDYDRNYKRYVRGPVPDFNMSPGAFNFVVPQFMQYGVGYMQSAGMSANQPAIYFGQPDLSMGSSSGAAVYPHWPTPAVMYPHCYDNTGPMMSQVSLLLGSGIVYPLQVKGFKKGC >Et_3B_031042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11916805:11920455:1 gene:Et_3B_031042 transcript:Et_3B_031042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLSTHSQATALWRPWRRHGSFQRISYQFNHAGRLKASYRGLEALYDDGYQKVKDLDYYYQAIGELVEHDSGPPRWFCPVDAHPPIEDAPIMLYLPGVDGMGMGLFMHHQALGRIFELRCMHVPFHDRTPFEELLTMVEDVVTKEHASSPNKPIYLLGNSFGGCLALAVAARNPHIDLILVLVNPATSFENSDIQQLLSFVSLFSDQASMAITALLNYNIDNEVEMAVSSMINGKHPLAALNRLTSNMSSFVKHSNILDKIPKDTLQWKMKLIKRAASYANYRLQSVQAEVLLLVSCADRLLPSKAEANRLQKLLPQCKVYFFERHGHSLLLEYGVHVSSIIKCTDLYRHSRRYHRVFDFIPPSATELKEVDKASCDLRFRTCPVMYSTMEDGTVVRGLAGVPQDGPVLLVGNHMLLGIELISLAAEFLRHKGIVVRGIAHPLLFPNKMRAWSQGHDFFDFLNLWGGVPLKYKYIYELLAAGEFVLLYPGGHREALHCKGEAHRLFWPAQTEFVRMAAQFNATIVPFGVVGEDDLLEILCTFDDIRNAPFGKEMIQAYSNHLKLREVDHEVFFPGLYLKMPGRFYYRFGKPIPTRGRQDVLTDKQAANDLYLHVKSEVESIISYLLEKRVEDKYRSLIPRMMYQAARGPNCEVPSFDP >Et_3A_025471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30032403:30033996:1 gene:Et_3A_025471 transcript:Et_3A_025471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQVAAVAPPPCSSPCSTSPASPSSSSAIATSPRPAVLGVRLARSQSSLAGLGRRRGGQHAISRALSASIDSVGGRDDEEFLKRIQELAAGQHPGASGGCGWPASVERSASSVGLPLSLRMLKRRKQQQARWDERLIDCAGESAVGRAFSSMVLMIRELQSFTLQMREALLYEDLQGVLARVHAEMHASFVWLFQHIFSGTPALMVSLMLLLANFTVYSMGASAATLPPSPQPAVAAVAMMVDTHHQPEQSSSQRFDTAELKSTFSIGRTASVGGNSGGGGKVRPVAGATGDGQSDESSYRQTGTVLPQEATPMGAGSEASVSDSMAAGEETRAQDELFLYLVQHDHDRAEHFFKRAVRAEPADAEALERYATFLWKARDDLAAAEETYQEAIAAEPGNSHHAAAYAHFLWNTGGEDTCFPLD >Et_7B_054309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19761259:19762007:-1 gene:Et_7B_054309 transcript:Et_7B_054309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYDMVPDHSLFEALATCLIALTPKDHYKMLEEGSIVLKKSKTFSFCKEGVLVEGQSSPIESDLVIFGTGFKGDDKIKDMFTSKYFQSIAIGSTSSTVPLYRQCIHPKIPQLAVIGYSESIANLYTSEMRAKWLAHLLDGGFKLPNVAAMQKDVLEWEKCMKRYSGRYFRRSSIGLLHIWYNDQLCHDMGCNPRRKKGFFSDLFDVYGPGDYVDLDPKKK >Et_1A_005170.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30691365:30691529:1 gene:Et_1A_005170 transcript:Et_1A_005170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQFAKFEKSKERRLATELGYGFPIRDPWITDALSPHGLSPLKASFLLNARASI >Et_1A_004813.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:9898355:9898969:-1 gene:Et_1A_004813 transcript:Et_1A_004813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SGFEPRHLRGLPEGGRLRGVRQGGHGAELRRPGRVAVGVEVHGVPGRLLGLGLLAALALAALAAEPLVEVAEVEVGRH >Et_3B_029361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24355108:24361550:-1 gene:Et_3B_029361 transcript:Et_3B_029361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSEVSPSKRYPLRSTHSSGRVLRSASNKNNTACSKTLNDSATAQPALRKRKSGISSGSTNNSVRVLRSASKNKDDVCNESLNDSIAGEPAANKRTGIGPSKAASPISSVRVLRSAAKNKDDACNESLNDSIAGEPATNKKTGIGPSKATSPINNVRVLRSASKIEDEAPLNGSTAGEQAAHERKFVTPLKVGHPNNSVRVLRSASKNKNDVCNESLNDSTVAQPSAKKRKTGSPLKVGSPPSSARVLRSNSKGKNETFDEPVNDSPAAQPATRKRKSDTPPNEGSQKLGVRVLRSASKKENYACFEPQNVSTSGQPAVRKRQGVRSSQERSPKKAYLKVCQRVRSILNRMNYEQSLIQAYASEGWKGQSLEKIRPEKELERAKAEILRCKIKIREAFQNMDSLLSEGKLEESLFDSAGEIFSEDIFCAICGSKDVTLQNDIILCDGACDRGFHQNCLNPPLLNEDIPPGDEGWFCPACVCKIDCIDALNELQGTKLSIHDSWEKVFPEAASPANGSKQLDASDPPVGEENDYNPALAEAHMGNEVRSDAGIDGTVDDLGLPSEDSEDDDFDPAGPDSSEDEKNESHSEESDFTSDSDDFCTEIAKSSGQGEVSSSPLSNVNEESCNDAFMEMDLDQDVVLPVSGRRQVERLDYKKLYDEAYGEESSSYSDDEEWSGKENLEDNETDGSVRPGKRRTRRASAGHSVNEHTPQDERHYGSVNEHNADVLRSNGSNSTARRFGPIIKQKLKNHFERDPYPSRATKENLAQELGLTFTQEAHGKESSDQSDDDKDWSGTSTSQTGLEDIEIDSLAASNRAKQLSRRAPVRQQNHEHTPLSERRHGSVEQHTETPCSDRSSGKARKYHFGPIVTQKLKAHFEKDPYPSRSTVQNLAQELGLTFIQVRRWFSSTRHYSSVSSAKKGKDPGNQTTEKNDGTTVDSIQGMESSNLMENPTADRNDMASEKLMLQINLNEGNNDDIILNQYPSCEETVVTTPTAISREVGPPGYGPGENQGNGALWNTAFEQGLNTTPSTVSIEVGPPGYGLGENQGYGASWNTISEQRILTTPTATTMSMSHEVGPPGYGPGENQASAASWNTSFKQQEIMTPNTSSREAGPPGYGSREGQVNGASWNTSSEQRVGTAPSTTISRQVGLPGYGPGENQVSGASWNTSTEQRVVMTPSTIPREVGPPGYWPGEIQGNSASWNKSCEKSVLMTPSTTSNKGSHLGYWPRENQGNGASRNVESPKGRSSEKLELDDEARKKAIARELRRMKKFR >Et_1A_006619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25249437:25252094:-1 gene:Et_1A_006619 transcript:Et_1A_006619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAESGSAHLPLLHHPQDSSSNQHYKKPFNWKAPAIVLAFEFLESIAYSGIALNLVVYLGTVLHGSTASNAANVDAWNGATFLTPVLGAFLADTYWGKYKTISISIIFYLIGLLIITASAVIPSLRPASCQGTSCPPATGFQYFVFFASLYLVSVGTGGVKSALLPFGADQYNDSNLEESKQKQSFFSLFFIVINLGVFISGTVVVWIQQNVAWSLGFGISSICLVIATIAFFIGTPTYRVQLPSGSPLKSIIMVFVASFKKRKVEVPADSSLLFEGDNADLSNAVPNKLAHTDGFRCLDKAAVILEDQEIKDTHRPWLLCTVTQVEEVKILIRMLPIWFTCVFYSAAMCQTATTFVQQGNAMDTKIGSFSVPAASLNSAEVIFMMIWVAFQDSIVIPIARKYTGNPGGLTLLQRMGVGRFLAIPALGAAALVEMWRLRSVKAGHNLSIGWQLPQFVILACSDVFCGIAQLEFFYSEAPTSMRSLCSAFQFLAMSLAYYVNTLVISIVAAVTKAWGGKGWLPADLNDGHLDYYFWMWTGISVVNYIVYTAFAKNYTIKKVVPQ >Et_1B_012186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29736574:29738850:-1 gene:Et_1B_012186 transcript:Et_1B_012186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARERTAEDTSSISQEDERVLGSQLVAMGRGKIEIKRIENSTNRQVTFSKRRGGLLKKANELAVLCDARVGVVIFSSTGKMFEYCSPTSSLRELIEQYQHTTNNHFEEINHDQQIFLEMTRMKNEVDQLETGLRRFTGDDLSSLTLTDINDLEQQLELSVNKVRTRKHELLTQQLDNLRRKEHILEDQNSILCRMINDNQQDVSRGDVKLGEMTPLAMLPPAAFGHAAAAYYGGESSSSTALQLMSAAPQLHLGGGSGFRLQPTQPNLQDLHHGHGLQLWPHI >Et_3A_026065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:436525:440306:-1 gene:Et_3A_026065 transcript:Et_3A_026065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCFIKVLLWPLQGSVGQNKFTYLVMEGAQGASNNVAKHMKRKSPVQRWRPVSTEATSRKEDVNEIPNSGREQVIESSITASESLASDGTTNIVIEVSTNDVSSSENNKSLGSSSTKVLIEDNVEVSGFDKGLYEPDISEKYSSSIEVDAPLMRFVKGKGGSVQKQIEEDTGVKIIFPSSKEETSVVLEGKSAESVRKASEKIASVLQEAVQSRMLDYSHFISLPLAIHSNLVDKLYHFQRSILGASSNEDSDKDETLSEGSVDEMDHDHKQEDSSSVSIKLQVQEEESVRVKIDTKGSLSGFGIDKSIFIKPKTFHLTVLMLKLWNKNRIAKASDVLQSISSEVNEALGNRPISIQLRGLTCMKGSPAKARVVYAPVLEVGGEGRLVQIITDAFVKSGLVLERDAKQELKLHATIMNVRHRKSKKRNRWNDSFDARDIFRKFEKEEWGEYHIPEVHLSQRFKFDESGYYHCCSSIPLPAEVQKE >Et_7B_054948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5974705:5977969:1 gene:Et_7B_054948 transcript:Et_7B_054948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTAADENRRPVAMGRPVPSVREMGGNRRALREIKNLVGAAPYPCAIAKKHTLQKGGRGEQKSALASCRPMTRQLAASLASKKQSEHQGTATDAVPVVDLDPPKEPIYIDLDEDLYESADDIDMDEDKVIVNDESVMDIDSADSKNPLAATEYVEELYKFYRENEAKSCVKHDYMSRQEDINAKMRAILIDWLIEVHYKFELLDETLYLTVNIIDRFLERQVIPRKKLQLVGVTAMLLACKYEEVSVPVVEDLVLISDRAYTKGQILEMETLILNTLQFNMSVPTPYVFMRRFLKAADSDKPLELVSFFMLELCLVEYQMLKYSPSLLAASAVYTAQCAINRCQNWTKTCEFHSRYSCDQLLECSRTMVDFHQKAGTGRLTGVHRKYSTYKFGCAAKVEPALFLLESGGAST >Et_10A_000508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11807540:11811235:1 gene:Et_10A_000508 transcript:Et_10A_000508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRFLVVLLTGVSLFAGGFVLAVGQTNTSLLPYCSTTGKYSAGDQYHLNLFILTDNLWKDAVANDGFYYATEGETPEDRVFGLTMCYADRNWTDCQRCLKSAASGFYQVCPRSREMKAWYDACVLRYSNFSFFTIADLDYVAYKTTVADTVANATAMNVARSALMSWLVPEAASSSLRFANDSKEYRDSEGNSEVMYGLAQCTRDLNASECGRCLSYFISLLSTNHPNSTYGTVKGSSCYLAYQIGKDLGVNLLPPAMAALTVWPPAPAPSPLSDTPRPYYDKESSPQAGRLAALVAGITVGTFALVVCTAILVWTFRRKAREQKLDALNDEPIEDEFEKGTGPKRFSYSELAVATRFFSDAEKLGEGGFGSVYHGYLRDLDLHVAIKRVSKASKQGWKEYISEVKIISRLRHRNLVQLIGWCHDEGNLLLVYELMPNGSLDMHIHNENNVLSWRLRHEIILGLGSAILYLHQDWEQCVLHRDIKPSNVMLDASFSAKLGDFGLARLVDHGRRSHTTVLAGTLGYMGPECIVTGSASKESDVYRFGVVILETCSGRHPIMVVEGLESATMHLVQWVWEFYGQGRILDATDDLLNGEFDREEMERMLVTALWCAHPDRTMRPSIRQAVTVLRLEAPLPSLPSKMPVAMFMPPVDGFMSESSAATGSSSSGNAITCVRA >Et_2A_018230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19156667:19164292:-1 gene:Et_2A_018230 transcript:Et_2A_018230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCSASCPSSPPNIHHHLCVRVLLVSFVVALSFFAAGAGASAATRPRHDYRDALSKCILFFEGQRSGRLPAEQRASWRGDSGVSDGAAAGEGVDLEGGYYDAGDNVKFGFPMAFTATMLAWSVVEFGEHMPPGERRHAAGAVRWATDYLLKTLAHPGVIFMQASQIDHPHCTATFSFDHVAAASEKAESLRVGDPWKDHECWERPEDMDTARTVYNVSAARPGSEVAGETAAALAAASMVFRDDDPEYAETLLASVRSAFEFADTYKGAYSDDPDELLWGAAWLRRASKDDTFLQYIQNNGKTLGAEDNTNEFGWDNKHAGLNEFIEGEALFLQSFKDYADSSLIPESSSPHITYTPGGMIYRPGGSNMQHVTAISFLLLTYAKYLSKSSHTVNCGDISVGPETLQMLAKKQIDYLLGDNPMKMSYMVGYGDRYPQRIHHRASSLPSIRDHPELIACKEGTPYFQSSGSNPNPLIGAVVGGPGEDDAYEDDRADFRKSEPTTYINAPLVGVLAYFVGNPDPGNSRH >Et_5A_040743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11939212:11951343:1 gene:Et_5A_040743 transcript:Et_5A_040743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRLPSPLPCLALTYGTFVSLPNDGCFHFPHIARYHSSYGQWLVFSCDDGTCYLKNPFSKVTLKLPDMSCVCPIEEPVEIINGRSYTLFEADAKVSVVKMLIVKVIVCSNLLIAAIVSFGCVQTVALCKPGCESWFLSDLMRTGMLMEMTFYEGKLYVVNAHKDLSVINVVGDNDTGKLSISRLIETPYVTRAIWDGLLIGEFLVESQGALLLADFPSSQWVGLTSVGDDQALFVSRSYSQPQSFYVSQYKLKGNRMFILNDSCRTFDSKISSYTIYNMSDGRIYLPDFSGSLERGKTPVTWLFPQNGGADTGGQAEAAVVVVGPPVGPGRPGAPPPPGLRGPRPLRRRVPPVARCRKAAPPAPVLPLLALPDGTFYSLPYGKAFSFPGFGCAGYKTAACDSWLSFVRDDGCFLVDPFARATVKLPPLSCVRLRPPNAVATYVEEGGTRIGHPFVTWMHITETKKPIIKKLIVCSPNLIAAFIGTGFISQIVMCQPGASSWSVRAYDQCKGFEDMAFYQGKLYTLDDNESLCIVNISQDPSTGDPQVSRVGQVIKGDPDPLFEAIMPDNTAGTKKLYLVESHGSLLMVRRKVCCTLVNGAIMAGLQNEFQLLEADLEHSQWVNVSTLGDDQVLFLGRPCSRVVSASQYGMVPDQIFFLDDVTENVVQYAYDEENTSVGVYDMRTGKISSPLPMRPKHPTSWYDLPTELAGLVLCRLPAYSDRVCFGAVCRHWNYSLNQHRLPPPLPCLMFSDGTLVSLPDGESFQFCDSTDYHSSCGEWLVFSRHGTCSVMNPFSKISLTLPNLSSVRFIDEPVEIINGHATQNGDISQESLYMDAELTVKKVIVGSVLLVAAIVDIEPLSHTVALCRPGADSWLVSEFGSKRLLMDMMFVEGKLYGIDEFMDFWAIDVGQDSDSGKLIISRIEYLIDSPSFTVRLIQNGVTSISYFLVESHGAQLLVRRTIFGRPSDNDRGGMSIEPLRIEFKVFEADFNSSQWLGVTSIGDDRALFLGKSYSKSVCVSQYNLKEGGILRGNCIIFLDDGTCDWFWKGMTGSHAIHDMSDGRTYSIKILRTKERTSNRAFPSKHIPSGKMAQAENPRSWSDLPTELAGLVLCCLPAYSDRVHFGAVCRHWSFSAKEHCLPPPFPCLAFSDGTFNCLPHSESFQFRDNPFSKTTVTLPNLSCVCSIDEPVEIINGLVNPEEEMPQESLNLDAEMLVDKVIVCSELLVAAIVEIGPLNTIALCQPGGASWFVSGLGRKRYFIDMVFYKGRLYIIDKFRDLLAIDVGENNGSGKLSISRIECLIEGPPLTFCMIPGSLSIFHHYLVESNGALLLVCRSTIGIPSDNGMEGASYKPIGIDFEVFEADLSSLQWMGVTSVGDDQALFVCRTCSQSVCVSQYKLKGDMLKGNRILFLDDGTCKWFWKGMNGFHAIYDLNDGKTYGPSGSFEGKKGQEMWLFPQ >Et_9B_065440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5059076:5073368:1 gene:Et_9B_065440 transcript:Et_9B_065440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTPWPPTFPLPAAGFLLLLAVLAVASGAPNPGGLDRGEAGGDDPYSILMFHDYTPPPPPALPPPPPAPTATCAGDLGGVGDFNTRCVVPVSVRLGSGGVNIIGNGSLVLRPGVAVTCERPGCVVSANLSGNIFLEKGARLVAGWVSLSAANITLDDDAVVNTTALAGDPPDKTSGVPTGTYGDGGGHGGRGASCYVKKGQAQEDSWGGDFYKWGELKTPNSYGSKGGSTSAEKDYGGGGGGVVWLFSKEIVMNGTVLADGGDGGTKGGGGSGGSIYLKSAAMRGGGKISACGGNGLAGGGGGRVSIDVFSRHDNAQIFVHGGKSSGCLDNAGAAGTLYEEVPKSITVSNNNLSTQTDTIFLDPPYDPLWTNVFITDHAKVSLPLRWSRIQAQGQISLSSGATLTFGLTHYPYSEFELLAEELLMSDSTIKVFGALRMSVKMLLMLNSRMTLDGGQESGITTSLLEGSNLIALKGASLIHSNANLGIHGQGVMNLSGPGDTIEAQRLILSLFYQILVGPGAVLRGPLINESHDDMAPKLNCEDESCPMEIFHPPEDCNLNSSLSFTLQICRVEDIDVSGLVQGTVINFNRARSVNVLTSGTISATGLGCRGGIGRGKMLSSGLSGGGGHGGKGGDGICSSSHATGGRAYGNADLPCELGSGSGNDSASSTAGGGIIVMGSLEQSLPNLSLSGSIQANGGTFSAAVSHAANGGPGGGSGGTILLFVQTLLLTKEATLSSAGGVGSNGSGGGGGGRIHFHWSDIPTGDDYIPFATVKGSIIASDGTVTGKDCPKGLYGTFCKECPLGTYKNITGSSKLFCLPCPPNELPHRAVYVRIRGGVAETPCPYKCVSDRYRMPHCFTALEELIYTFGGPWLFGLLLSGLLILLALVLSIARMKFVGTDELPGPAPSQHTSQIDHSFPFLESLNEVLETNRAEESHCHVHRMYFMGPNTFSEPWHLPHTPPEHISEIVYEDAFNKFVDEINALAAYQWWEGSIFSILCILSYPLAWSWQQWRRRKKLQKLREFVAATPDLMLGYLDFFLGGDEKRPDLPPRLHQRFPMSLIFGGDGSYMAPFSLHSDTVVTSLLSQAVPPSIWHRLVAGLNAQLRLVRRGSLKATFLPVLKWLETHANPALNTYRVRIDLAWFQVTALGYCQFGLVIHSSGGEVATEIQDGSEIRTDQHSLNQNTNVDSQLVHLRNNDALTHKRIVGTILDVDNLRMLKDSRNLLYPLSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMANVLLVLFILPLGILAPFPAGINALFSHGPRRSASLARVYALWNITSLVVAFICGLLHYKSSLRKRPSMQPWNLGGDETSWWLFPTGLVLCKCIQARLVDWHVSILEIQDRAVYSFGKW >Et_3B_029978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29604864:29613257:1 gene:Et_3B_029978 transcript:Et_3B_029978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISELSPEYRQPPPHAGLLTDLNRVVADVEAFDTSDTPAEKLAADLHRLLSNIASADSASSPGLSEAFRLKVWNIGFRLWNASVDRANSNALPRGPAARVAEAEIRQAAPELLLLAGLPDGVPSAAAKAAALFHRAGMVWLDLGRSDLASACFQKGTPLVSAADTEDDQAVLLGLNLARARAACGAGDHALAVALLGRSKPLAAASPEGIKALAEEYLFIGKAALSIEPPDPALDASSLLTEALDLCEKAAASPSCATPTTPGSTPTTPNFHELRNQCIRFLAIERLQAKDYEGTLRCIRVSRTSLGLGEEHPSIGVTAMRACIGSGNLAEAERELERLIANSEAPDCVCVSAAEQYLASAGPEAALKVLIALAARCRVEGAAAAAVRVVKKVVDGAGGATARAKMIAELVSDERVVALFDGPANTHDRGTMHMLLWNCGAEHFQAKNYETGADLIERSMLYVSRDDESKSRRADCFRVLSLFHMALRHLDRAQEFINEAEKVEPNIHCAFLKFKIHLQKKEEDEAIKLMKTMVGYVDFNPEFLTLAVHEALACKSRRVAVASLTFLLGLYSAGKSMPMNEAAVLRNLIALLLREPGSEAEILKYSRRANLRMAELGLEAFFGKGTVGLHQLHWFASNTWSMALMVLKEKKYDYSAEFFELAAEFFNLSIGEDDANRLMVCKSLIMCVTSMLYAEELNISPLSDSDLKKGVEMLSRAGKLLPLTGPSAPVTSGHLEDNNLLFVHTVKFYQILDRMGTSTHPQQLQLVKNFAASKSCAPGHLFVLGKIASEGTQPNLQVAEFVLNASITTALASHSPNYVVISAALRKLVCITGSQDFNGTSDAAYDVFRQAYQIVVGLRDGEYPSEEGKWLATVAWNKSNLAIRLRQQSVARKWIKMGLDLARRFESMKNHISSMEECFERFQKILGKKPDECSQHDGAPSTSMSGSVSQPVLSFCVPWDTDFGIGHKSMLSAAMHDPIARNARSNPRAGAIFAKLTGLISSKEPAKHGVRKESMSCEFC >Et_5B_045454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23268314:23270443:-1 gene:Et_5B_045454 transcript:Et_5B_045454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGALQQVQQSNGDGAFSDPGYVEVDPTGRYGRYNEVLGKGSSKTVYRAFDEQLGMEVAWNQVQLHDFLRSPGDLERLYGEIHLLKSLRHRGVMRLHASWVDAPRRAVNFVTELFTSGTLRQYRLRHRRVSAAAVRSWCRQVLDGLAYLHARGVIHRDLKCDNIFVNGSQGQVKIGDLGLAAVVRSGRGCGGGASRCVVGTPEFMAPEVYAEAYDERADVYSFGMCVLEMVTLEYPYAECAHPVQIYKKVTSGIKPAALHKVSDPAVRRFIERCLAPATRRPSAIDLLNDPFLQLEDDGFGYYGDGDYNASLYNYLHQPALIDDRHAGSNGGSSSATNDDDDEVPPPEERWDCDEDVDDDDSRFQGIAMLFDEHEDDHAAGSDTALSVAAEMVGELDITDHEVTHIAEMIDGQVAALLPHWRPGPGMNDDEEEEEESATPRGASCQNCRSSASSGGSLDDYMSAAARRGGCRCAELHGRFEEITVQADEEQVQCQSSGCSSDD >Et_1A_005082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25616689:25618070:-1 gene:Et_1A_005082 transcript:Et_1A_005082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PPTTSSASSPTAAVTSRCRSLRLGTVTAVVASSPDAARDVLQRHDAAFSARAVPDGAHVFAHYTHSMGWLPSTSPRWRALRKVCTAELFAPHRLDAHGSLRQEKLFSAGTDTTSATVEWAMAELLLNPSSMAKARAELAQVLGSKPEVEESDISELKYLQAVVKETFRLHPPAPFLLPHLADATTQVRGRARPGQRPEKFMPERFLVGENKEVDFRGRDFELLPFGSGRMVHLILASLLHHFHWSLPADVGKNGLDMGERLGINLSMATPLQALATPV >Et_9B_066163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5327909:5329163:-1 gene:Et_9B_066163 transcript:Et_9B_066163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPSQLLCSPSPAPSSSPGLTIVASTIYTSAATRSWRTGSGRQGAAECEAWMGVARSHEAVAAGLRATLDQLLQSPCAIVAEGECEAEDTQSCCFEALAAADVNNDDGVASGTRAASSSSCKACGGDEACVLLSRAGTSACAVRARRGRMPRLLERQERLVPRPALVKAYCTKLLDTITTTGGRTTIALQKGLVCCKSSNQVTNPP >Et_5A_042685.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:25811351:25811533:-1 gene:Et_5A_042685 transcript:Et_5A_042685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAASASSWAMLERFVFCRDADDDASSFPDDTAAPLRASPSPSASPLPRAPPGLPRP >Et_9A_062076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19485718:19486635:-1 gene:Et_9A_062076 transcript:Et_9A_062076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGEIKKRFGRCPYCRAMVYKNPDATVFHCSKCETPIRGKNSKLTDQAGRSLNRIDDGDQPPLFNSSASCSYFNVNSQGVVAPAPSCLSSPYGGFSSARRVHPLNHGAHRSARFYGGLVDSNEHGELRLLSRRTRQPWSSDSSVLRHGVFTTTEPHHFVERGVAGSSWPSIGGGRRERRRKGHCRPVLGGAPFVVCGGCFELLQAPATTTVPSRRRGARLRCGGCGEVLELTAPTTMSSSAHRTTRPCGAGAPKLPLHVALGYSSPDPLLQSRRH >Et_1B_013863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21084870:21086148:-1 gene:Et_1B_013863 transcript:Et_1B_013863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLLGVCAVLIAVSIANAASGDAASVVIGQAKCADCTRKNMNAETVFKGLQVAIKCKNGNGEYESKAVGKLDGSGAFSVPLAADLHGSDCHAQLHTAAGIPCPGQEPSAIVPHSEGTFMAVPGKTNYPSAECASATICGPIKKHFIDHFHKKIDHFHKKPVPPKPKPEPKPEYHPPTPEYHPPTPTHGGSPTPIYHPPALSAAATFTGKIKKHIIDHFHKKPVPPKPKPEPKPEYHPPTPDYHPPTPTYGGSPTPIYHPPALSASATFCGKIKKHIIDHFHKKPVPPKPKPEPKPEYHPPTPEYHPPTPTYGGSPTPIYHPPALSASATFTGKIKKHIIDHFHKKPVPSKPKPEPKPEYHPPTPTYHTPTPSYGGSPTPIYHPPAKH >Et_7B_055206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8195519:8200498:-1 gene:Et_7B_055206 transcript:Et_7B_055206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPAATLSATRCVPFPAPSPRRGFYAAAPSSVRRLPRFAAWSSGGGGTRPEHKPGGDESKAVLDAFFLGKAFAEALTERVESVVGEVFSVVGQWQAEQQKQVQDFQEEVVQRAQKAKERAATEVTNDKEPKTLREPSTTIVTSAPTSPPTTPTQKEKALQKSI >Et_7A_050193.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:10673352:10673816:1 gene:Et_7A_050193 transcript:Et_7A_050193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTQSIATGLASYGLYAESSVVSRSFKNSPRKSSYKYLRIRAVQGNDGRRKLVDIIRIIPELSRDYFRSRSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIASVVCVLLAEYVTKFYYSRPKVTFPVALLNNFKMGFTYGLFIDAFKLAS >Et_5A_042893.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6289863:6290549:1 gene:Et_5A_042893 transcript:Et_5A_042893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVMISFGVALALLAVAGEAAVFTVVNQCPYTVWAAAVPVGGGRQLNRGESWSINVPAGTTAARIWARTGCQFDGSGRGSCRTGDCGGVLRCTGYGRAPNTLAEFALNQFNNLDFFDISLIDGFNVPMTFLPDGGSGCSRGPRCAVDVTARCPAELRQDGVCNNACPVFKRDEYCCVGSAANTCGPTNYSRFFKGLCPDAYSYPKDDATSTFTCPGGTNYRVVFCP >Et_7B_055888.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6844646:6844921:1 gene:Et_7B_055888 transcript:Et_7B_055888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDTLRALLLAVLLAVVAPASAKDYAVGDSSGWKPGVDYTAWAKGKTFNVGDSICKRTKTRPVCIYPHVNNNAACPRELVAFHEPGMNTN >Et_4A_034914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7866233:7872488:1 gene:Et_4A_034914 transcript:Et_4A_034914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGQGGGMDTALLDDILRRLLEVRTARPGKQVQLSESEIRQLCTVSRDIFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPDANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTECFNCLPVAALIDDKILCMHGGLSPDLKHLEEIKNLPRPTDVPDQGLLCDLLWSDPGKDTQGWGMNDRGVSFTFGADRVTDFLNTHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAERKTKFMASNKIFEGSNARLFFGKFMLLLLPQQRFFSKFVLLLLP >Et_3A_024966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25712798:25714744:-1 gene:Et_3A_024966 transcript:Et_3A_024966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSGGLGLYHVLGVASCVALVYFSLGEMGIHPFSFSFSFSLPSVVPGSSSYAAPTAPFVERRGARLFLDGHPFYVNGWNSYWLMDQAVEMGTRHRVSRMFRDAAEMGLTVCRTWAFNDGSYNALQLAPGHFDERVFKALDRVVAEAGRHGVRLILSLANNLEAFGGKTQYVRWAWEEGVGLSASNDSFFFDPAIRDYFKVYLKTLLTRKNHLTGVEYKDDPTILAWELMNEPRCTTDPSGDTLQRWIEEMAAYVKSIDSKHLLTVGSEGFYGPTSPQRKKTVNPGDWQNSYGMDFIRNFNVSDIDFGSIHLYPDKWLHDAKLEEKVKFATRWINAHVEDAERELGKPVLTTEFGVSHTAKGFDHSHRDLLYRAVFDTVYQSAKRRGAGAGAFVWQLAVERMEEYLDDFAVVPSEKPSLHRLIKAQSCRLAKLAHGGKGGDARTTLSACAGLS >Et_1A_008311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6269519:6271066:1 gene:Et_1A_008311 transcript:Et_1A_008311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LNMVKILTLVGVLTCNGLEACIINSSSYDEDSGISATTVADGCVTTDSHDNEVSIKMFVVLPSLRTAFRSSKQDDEHSLSELGTPSSVHLLPLKGKTPITYTLSASDVENMKEKFAKLLLGDDSSGGARGVCTALALSNAITNLSVAIYVASFFFPVLIMITKDMSWQPLFLCEEKKIRWRKEMDWLLSHTTYMVELVPTKQSGADGCTFEIMTPKARSDVNVNLPALQKLDSMFIQSLYLRKVYATKYAGSDGLYDRHIVLESGSRTDGWGKKNKGPRKSKKWWLPSPRVPETGLVFQAKLVLQILKAAKSINEQVLFQMPIPGAVTHVLSGRASLGEDLYHAITMEYIPIEEIFVWLSLKTEHSVLETINRLEGAVFAWNQRVSDETNKRSPGLHSWNFMKDSIRI >Et_3B_029318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2453098:2457352:1 gene:Et_3B_029318 transcript:Et_3B_029318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALGETAAAATQRRPKTKIVCTLGPASRSVDMISRLLRAGMSVARFNFSHGSHEYHQETLDNLRAAMDRTGILCAVMLDTKGPEIRTGFLKDGKPIQLRKGQEITISTDYTIKGDEKMISMSYKKLAVDLKPGSNILCADGTITLTVLHCDKEQGLVRCRCENTSMLGERKNVNLPGVIVDLPTLTEKDKEDILKWGVPNKIDMIALSFVRKGSDLVEVRKVLGEHAKSIMLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFFAQKVMIFKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICVQAESCVDHAAVFKSIMASAPVPMSPLESLASSAVRTANAAKATLILVLTRGGTTARLVAKYRPSMPILSVVVPELKTDFFDWTCSDEGPARHSLIVRGVIPMLSAATAKAFDSEATDEAVESAIQNAKAMGLCNTGESVVALHRIGTASVIKLLT >Et_1A_005407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10102756:10108340:1 gene:Et_1A_005407 transcript:Et_1A_005407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGCGGRGDSSPAIKPINKAVVHRICSGQVIFDLSSAVKELVENSLDAGATSVEVNLKAYGEEWFKVTDNGCGISPANFQALALKHHTSKISDFSDLNSVVTFGFRGEALSSLCALGKLTVETRTKDEPVGTHLEFEHSGVVSNESKTARQVGTTVTVEKLFSTLPVRSKEFSRNIRKEYGKVISLLNAYALIAKGVRLLCTNTTGKNSKTVVLKTQGSSSVKDNIITVFGLPTFRCLEPFSVTISDDCRIEGFLSKPGPGTGRNSGDRQFFYVNGRPVDMPKVTKLLNELYRSSNAKQYPVAILDFCIPTTSYDVNVAPDKRKIFFSSESVILQSLREAVENLYSPRQCSFSINPIEDPVKEEDPVIDGHNEDTNLIEGNVSSPDDDDDKEETDGEDQVSPDNGKESSSETRVAIEAISRDVSPLSRHPATQVDRSTWVPSFSYEHPKRLPKEGKSYASGANRFRSGSAAKSSHSSTVQSSLMNFVSRNKRKHEDDSNLISEVPETSSLQHNSPRSLISERRTAVSLHHSKPPNIAEQLDPCLPNSGAPHKFSEVELQNKSTNTPLPDYNIGTAACSTSVKCPVMQFTVAELRRRRKNSFVLSHTNKSYRLEKTTRPLRLDLSPEEEVIVSMNMDTIRKNGFVLSEDLHASPGSHYLLKAVPFSKNITFGVQDVKELISMLADSQGDCSIISSYKLDRTDSVCPSRVRAMLASRACRMSTMIGDPLTKTEMKKILRNLTGLRSPWNCPHGRPTMRHLVDLHTIKTKVRVYSPLTPSIICTYLFVYAPKKSGSDGFPKTRVVHNFSQSFNHTCCNLF >Et_2A_016410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24379957:24382887:-1 gene:Et_2A_016410 transcript:Et_2A_016410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKCSPATLLLLSTSLSLLLLLHAPSTATCHEEMKSIYVGQQVLPIRIGRPAFGPESLAFDHRGGGPYTGVSNGRVLRWRGARRGWAEFAHNYKHATVAECAAKKKLVVPESACGRPLGLQFHRASGDLYYADAYLGLMRVGRRGGLAEAVATEAGGAPLNFVNGVDVDQETGHVYFTDSSAAYQRRYYSVNACQTDRASALLVCSDYMMIILTGDATGRLLRYDPSTGNATVLASGLAFPNGVALSADGTHVVVAETASCRLLRHWLRGPRAGTTERFADLPGYPDNVRRDDGRGGYWVALNRDKSWAAEGTTPRSVAAVRVRADDGAAAEALRGLGNATVSEVLEREGALWLGSVDTPYVGLFRISEL >Et_3A_027048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30880823:30885482:1 gene:Et_3A_027048 transcript:Et_3A_027048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPGLAKEFQNLDWPGLLILESLPLDRDALLDIKGHLKDPQNYLSNWDESYSPCQFYGVVCDPNSGDIIGISLSNISLSGTISSSFSLLSQLHTLELSANSITGIVPAALTNCTNLQVLNLSMNSLTGQLPDLSALVNLEVLDLSTNNFNGAFPVWVSKLSSLSELGLGENNFDEGVIPERIGDLKKLTWLFLGQCNLRGDIPASVFDLLSLGTLDFSRNQITGVFPKAISKLKNLWKIELYQNNLTGEIPREVATLTLLSEFDVSRNQLTGMLPKEIAGLKKLKIFHIYHNNFFGELPEGLGDLQYLESFSTYENQFSGRFPSNLGQFSPLNTIDISENYFSGEFPRFLCQNNKLQFLLALNNNFSGEFPGSYSSCKTLQRFRISQNQFNGSIPSGMWGLPNAVIIDVADNGFTGGISSDIGFSTTLNQLYVQNNNFFGEFPEELGRLFKLQKLVAFNNRFSGHIPKQIGNLKQLTYLHLEHNALEGQIPSDIGMCNSLVDLNLADNSLTGDIPDTVASLFTLNSLNLSHNMISGEIPEKLQSLKLSYIDFSHNELSGPVPPQLLMIAGDDAFAENAGLCVAGISEGWRQSVTSLRPCQWTDRRHIFSIRRLFLLLGILISLVVLLSGLACLSYKNYKLEFNRNKDIESGYGTDSKWVLQSFHPPELDPNEVCNLDGENLIGCGGTGKVYRVELSKGRGTVAVKELWKRDDEKILKAEINTLGKIRHRNILKLNAFLTGGATNFLVYEYVVNGNLYDAIRGEYKGQPELVWDKRYQIAVGAAKGIMYLHHDCSPAIIHRDIKSTNILLDEEYEAKLADFGIAKLVEGSPLSCFAGTHGYMAPELAYSLKATEKSDVYSFGVVLLELLTGRSPTDQQFDGEVDIVSWVSFHLANQNPAAVIDSKVSYGVSDGMIKTLNIAILCTAQLPSDRPTMREVVKMLTDIYPNSPTGRAKNKNDKK >Et_1B_011465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22608324:22614415:1 gene:Et_1B_011465 transcript:Et_1B_011465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQDLGVSAFINILGALVFLILFAVLRIQPINDRVYFPKLYLAGKRAPAHDSRSAFRKFVNLNLCTYVRFLSWVPGALRMTESELVAHAGLDSAVYLRIYTLGLKIFLPITVAALLVLVPVNVSGGTLLSLKKEVVFSDIDKLSISNVSPGSNRFFIHLLMAYVFTFWTCFMLYKEYSNVAFMRLHFLASQKRCADQFTVIVRNIPHVSSHSTSETVDEFFRRNHPDHYLGQQAVYNANRYAKLVKKRERLQNWLDYYQLKYERHPDRRPTGRTGCLGFCGREVDQIDYYRARIGELEKRMASERQKVLSDPKAVMPVSFVTFDSRWGAAVCAQTQQSKNPTQWLTDWAPEPRDVYWQNLAIPFFSLSIRRFLISIAVFALIFFYMIPIAFVQSLANLEGLEKVAPFLRPVIEARVVKSFLQGFLPGLALKLFLYILPTVLMIMSKVEGYVSLSSLERRTASKYYYFMLVNVFLGSIIAGTAFEQLYSFLHQPPSQIPRTIGVGIPMKATFFMTYIMVDGWAGIANEILRVKPLVIYHLKNMFIVKTERDREKAMNPGSIGLGENLPSLQLYFLLGLVYAVVTPILLPFIVIFFAFAFLVYRHQIINVYNQEYESAATFWPQVHSRIIASLLISHVTLFGLLGTKKAAFSTPLLIFLPMLTIWFHKYCKSRFEPAFRKYPLEEAMEKDTMERASEPSLNLKTYLANAYLHPIFHIFEEVDKEEKIEVRIDKAQQPHQHHTESHVRSSSQYHEETHLRSTHETTYYHEESHVRSTQYHEGIHVRSDTDSPSPPHFYTMRMSGPQSSPSPPHVYSESLLWLMRMHKCMLLVNLNH >Et_2B_022094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:952382:955020:-1 gene:Et_2B_022094 transcript:Et_2B_022094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASARAQCLLGFRRRAPLPRLPFPIPRAANRRCGVRMASSSESAPPSTTVEVPGAAGPVLVVAAPGLPEADFRNAVDSALFKQWLKNLQTEKGVLTYGRLNLTRILIQGVDMFGKRVGFLKFKADVVDEETKTKVPGIVFARGPAVAVLILLESQGQTYAVLTEQVRVPVGKFILELPAGMLDDEKGDFVGTAVREVEEETGIKLNLGDMIDLTGLLDPATGQRMFPSPGGCDEEIGLFLYRGSVDEEMISSLQGKETGLRDHGELIKLRVVPYNQLWRSTADAKALCAIALYEMAKRDGLLPSPSSNL >Et_10B_004221.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19564436:19564810:-1 gene:Et_10B_004221 transcript:Et_10B_004221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADVFFMVLLKELVASVHIVFTLIGAAVCCFGIYDEIIRWCRRRAARRRRRRRQRQRSKMMRSITEVQYGQAVERCRVVKDPCVICRAEYQAGDKCSVLPRCTHLFHKACITAWLRHHTTCPI >Et_8A_058247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3431416:3435697:1 gene:Et_8A_058247 transcript:Et_8A_058247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAASGKATKMTSGPDEAKSRARRNLLLKNESEVQQFWEENKIFEACPGNEPPGPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFAAAYHRLRGSNVLLPFAFHCTGMPIKASADKLAREMQQYGNPPVFPAAKEDSGSAMTDDSQSDQGADVIPDKFKGKKSKATAKAGAQKYQWEIMKSFGLKDEEIAKFQDPYHWLTYFPPLAKDVLKKFGLGCDWRRSFITTDMNPFYDAFVKWQMRKLKKLGKVVKDMRYTIYSPLDGQPCADHDRATGEGVQPQEYVLIKMEVIPPFPTKLKVLEGRKVYLAAATLRPETMYGQTNCWVLPDGVYGAFEINETDVFIITARAAVNLAYQHLSRIPEKPTCLCELSGNDLIGLPLKSPLAFNGTIYALPMLTILTDKGTGIVTSVPSDSPDDFMALQDLLAKPALRDKYGVRDEWVPKDIVPVIHIPEFGLKSAEKVCHDLKIKSQNDREKLAEAKRMTYLKGFTDGTMIIGEFSGRKVQEAKPLIKHKLLKEGTAVLYSEPEKKVMSRSGDECVVALTDQWYITYGEAEWKQQAARCLDGMNTYSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTIAHLLQKGDMYGRAMSAIRVEEMTDEVWDYVFCDGPAPKSNIPPALLNQMKQEFEYWYPFDLRVSGKDLIQNHLTFCIYNHAALLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFLTLQEAITEYSSDATRFALADAGDGMDDANFVTETANSAIMRLTKEISWMEDVIHNESSLRAGPGTTYADRVFANEINIAIKETEKSYNAFMFRDALKSGFYDLQLARDEYRLSCGAAGMNRDLLWRFMDIQTRLITPICPHYAEHVWQNILKKEGFAIRAGWPAADTPDPTLRMANKYLQDSIVSMRKLLQKQESGTKKLKKGAAPSPSVENKMSVGLIYVNENYCGWKEQCLRVLQSKFDSDQRSFAPDQEIIDALKNCSIGQEMNFKQVQKLCMPFIRFKKDEAREVGPQALHLKLPFGEMDVLQENLELIRKQLGLEHVEVLSACDEATHAKAGETVKLLNQNPPSPGDPVTIFLTRQQFEGEK >Et_3B_028248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12207930:12211045:-1 gene:Et_3B_028248 transcript:Et_3B_028248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKLYGWVVSPWMGRVRVCLEEAGAEYEIVPMSMPDGDHRRPEHLARNPFGEIPVLEDGDLTLYQSRAIARYVLRKYKPELLRDGDLARSAAVDVWVDVEAHQLEPVLRPIVVNCLVNHLCNIPIASRLVKFLGCYNLIGLDRDQAAVDENLAKLEKVLEAYEARLSASKYLAGDNLTAAELCHFGFMRYFMATEYAGVMHAFPRVKAWWEALVARPTVQKVMADMLPDFGVRSVP >Et_2B_019187.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:16332283:16332624:-1 gene:Et_2B_019187 transcript:Et_2B_019187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IPVFHHGHYTLYAVRFNENENSVYVLDTIDYEQRGSKLDDHHKDVYPRMIMRINTLLQKKSKGALREFTGFRIVRFPCPYMTRPNDCSFLSFKYVEHFTGEPGCLDNVVDPVC >Et_7A_051235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1593053:1602034:1 gene:Et_7A_051235 transcript:Et_7A_051235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGVGPAQHQAPNGASGGVSNGAATPAHSSAASAVNGAADGYDSDGYSFAPPTPSTLSMSIPPELAGAIPLIDRFQVEGFLKVMQKQIHSAGKRGFFSKKSVGPQAREKFTLEDMLCFQKDPIPTSLLKISSDLVSRSIKLFHVILKYMGIDSPAIISMDERIELVAKLYKHTLKRSELRDELFAQISKQTRNNPDRGWSIRAWELMYLCASSMPPSKDIGAYLSEYVHYIAHGATTDSDVRVLALNTLNALKRSVKAGPRVTIPAREEIEALLTSRKLTTIVFFLDETFEEITYDMATTVADAVEELAGIIKLSVYSSFSLFECRKVVNGSKSSEVGNEEYIGLDDNKYIGDLLSEFKAAKDRNKGEILHCKLVFKKRLFRESDEAVTDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLSALQILVEIGFIDNPESCVEWISLLERFLPRQVAITRAKRDWELDIISRYQLMEHLSKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSATTATSQNDVTQTYKPQNVEIYEKRVQELTKAVDESQKKADRLWEDLQSKTKQETKMHEELEGLRDTLQSERQNFVEVKSELDKLKSLCAEKESALQAALMEKSRLETRLINGQAEVSGSRRERDTLTTVGSINNDIELLNKLKEELKSCQKELDISKEVSKKLTMEKNLLDQKVQRLERVKSEEKSTMEKVYAEECRKLKSQITELEQKLEVATRSLNVAESNLSVRNAEVDTLQNNLRELDELREFKADVDRKNQQTAEILKRQGAQLVELENLYKQEQVLRKRYYNTIEEEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSENNPGLTPRATSELFRVIKRDGNKYSFSLKAYMVELYQDNLVDLLLPKNSKPLKLEIKKDSKGVVTVENATVVSISSIEELRAIISRGSERRHTAGTNMNDESSRSHLILSIIIESTNLQTQSYARGKLSFVDLAGSERVKKSGSAGKQLKEAQSINKSLSALADVIGALSSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLEETYNSLSYASRVRCIVNDTSKHVAPKEIMRLKKLIAYWKEQAGKRSEGDELEEIQEERISKEKADNR >Et_7B_055809.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5168632:5168940:1 gene:Et_7B_055809 transcript:Et_7B_055809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAGPAKPDRPGASPARRAPWSCVSATAASLVAVGLGGAALLVWWALAFHPAHARLWMVPAGLVLLGTPILAWLSLFASDPCGGRQRASPPPAAPATSA >Et_8B_059314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16605719:16609142:1 gene:Et_8B_059314 transcript:Et_8B_059314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARRLLPTLLKTVAPGGARGLSTEKAVGAASVVGSHTAKWMQDTSKKSPMELINEVPPIKVDGRIAVCEGASESPALGHPIEYICLDLEAPNVCKYCGLRYVQAH >Et_1B_013648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10674665:10679251:-1 gene:Et_1B_013648 transcript:Et_1B_013648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYELLKDIGSGNFGVARLMRNKETKELVAMKYIPRGLKVRRRRRRIRIDENVAREIINHRSLRHPNIIRFKEQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIVSIQYQIPEYVHISQDCRQLLSRIFVANPAKRITIREIRNHPWFLKNLPRELTEAVQAKYYKKDNSAPTYSDQTVETIMKIVEEARTPPKSSTPVAGFGWAEEEEQEDGKKPDDEEHDEEDEEYDGEDEYDKQVKQLRGTVRQKC >Et_3B_029120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22259402:22261173:1 gene:Et_3B_029120 transcript:Et_3B_029120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAALASSAALFISSSVASSLPYIMLSLMEVINSRGSWLTRPIFPLIQLRSVSLDILETAWPVVSSVSKKAISCLRTALRYKERMRADCLCPAIVQHDTSETSERGALLLLAFQLYKWV >Et_3B_027934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27312074:27312903:1 gene:Et_3B_027934 transcript:Et_3B_027934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPWVKTRPSSSPSSATSTCSSSSTSLAAVSPRVSFSSPSRRPPRRALLHLAPCHPQHVRELPRRARHPARAPRLRRRARPRDGPALPAGTRRAPPARGTPRDPPPGLRRRPPPRRRRGGPPPPRGRRAPLRRGRLQYRTPLRLRPVWRRAVRALRQLRRQPQAVQRQGRRRLPHLRRLQREWPRPVPRLHPAGRLMIQIQIKGPNLITARCLVFKDDEN >Et_7B_053926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13425337:13428689:-1 gene:Et_7B_053926 transcript:Et_7B_053926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNKRSKAIIGEFTLKEKTTLKTTLASRGRLRTLLNGLLKLRSSSPAISLLSGPHSSLTPRPDASGAHRHQGQRDLAAGQLSLPASLTNLLQYQCLAHADRMASSGTPFVSGRNRATKMVMARSQAAKKRKTAYFMAQSSAMNTCSSTKAMQSVMEMLMACPADLTSTG >Et_1B_012732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34780804:34784491:-1 gene:Et_1B_012732 transcript:Et_1B_012732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETTEDSPAAAAQPEPETTTPASSQPAPAAAPTAAVAPVATTAVMAPAGAGRGEGKRKRGRPRKYGPDGSLLRPLKATPISASVPDDAGGGQYTPAAAVGAVMKRGRGRPVGFVSRAPPVAVAVTAAAPTAAVVVSAPAPQTQLGPLGELLACASGSNFTPHIINVAAGEDVNMKIISFSQQGPGAICILSANGVISNVTLRQPDSLGGTVTYEGRFELLSLSGSFTPTDSGGTRSRSGGMSVSLAAADGRVIGGGVAGLLVAASPVQVVVGSFLPSYQLDQNAKKPVIEITTVPPSQPPPASIGFTISTGGDMEESYNGRPRSKTAATGNSVSAFRVENWTAPPEQPAPDQARKTPPPPAPEAKVPVPGG >Et_3A_025225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2878823:2881360:-1 gene:Et_3A_025225 transcript:Et_3A_025225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQRIFGASGMGQPPTDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >Et_6B_048680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12554333:12556213:-1 gene:Et_6B_048680 transcript:Et_6B_048680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAAAARRLLLPLRPTPRPRSGAVSACPRRRSGPGRRCRAAAPARASLDRAAVLLDAAAVAGGTGYSQASYYTSLGLFVLSVPGLWSLIKRSVKSKIVQKTFVKEDGQSMAPNQVAGEILSFFTRNNFTVADRGEVITFEGTMVPSRGQAALLTFCTCISLGSVGLVLSIAVPEGGNNWFWLMTLSPLAGVYYWTKASRKEEIKVKMILSDDGSLSEVLVRGDDVQVEQMRKELKFSEKGMIYVKGIFET >Et_4B_036723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1147099:1150260:1 gene:Et_4B_036723 transcript:Et_4B_036723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDESLKTYKGSRDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLNVTMKSDEKELMGKALMKRVMQTWLPASTALLEMMIFHLPSPATAQKYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLSEFEDKL >Et_7A_051320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1702683:1705909:1 gene:Et_7A_051320 transcript:Et_7A_051320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLVTTKTQHTKTSAAEEGSSVSLAESVSSVPPPIRYPPYPKSGTSRDVVRWSLQCRKIGEKVKKDPRFNLPTMRKPKDPENTNAVRCQEDRKIVMDAARSIVCVSSIGHDGVKIQQCSGIVICQRECKVIIATCSIVVCDMDELLDPLPKVNSQSLIALFDRCHVLLEVCPNSLKKKSLSKAMTIIHVLHLYIRLADADRTVLEGTLAFFNYHFDLVLLEIEVPFPVHFASIGSCPQYDQEIYALARGEESELMVRHGKIDCLGESDHLGRDYYVFLSCEIPQEGTGGPVVDHKGITIGMAFSLSPNPAVISITTIKICTDMWLQFGRIARPLHGLSLRSLELLDLELQEEISRCYGIRTGFIVDKVSYDSAAESLGIEYGDVICSFDDQHVRSPTLPQLEDYLLNLGRTFLENPNSTFELKLWGVRSPMAMLLIGWAPVVLDVRGMDNALGAELLIRSLLILEGGNCYVMGPSERPSTLG >Et_3A_026873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24602988:24604373:-1 gene:Et_3A_026873 transcript:Et_3A_026873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTMSKPSGHAGSRLGGALIRDEKVAKRAYEYLQNSIMGASRESGTPSCRSRYKCMSCV >Et_1B_009994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17295437:17296951:1 gene:Et_1B_009994 transcript:Et_1B_009994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPLDAAAVRPLDPEALAHDSRAVLGFLGEYYGDVDKYPVRAADLEPGRLRALLPDAAPESGEPMERVLEDVRRDILPGLTHWQSPSFFAYFPMNASAAGFAGEMLSAGLNVVPFVWAASPAAAELEGVVVDWMGKLLGLPRRLLFSGGGGGVLQGSTCEAVVCTLAAARDRALGEGGHEAISRLVVYASDQTHVTFQKGARLVGIPPSNFRVVATSPASGHGLTGDAVLAAVERDVARGLVPMYLCATVGTTGIGAVDPVRELGEVARRHGMWLHVDAAYAGSAAVCPEFRSHLDGAELADSVSMNPHKWFLTNTDCCCLWVASPSDLTSALSTDPEYLKNVDGTAKPAAIDYKDWQIALSRRFRAIKLWVVLRRYGAAGLRAHIRRHVAAAKWFERAVESDERFEVVAPRKFSLVCFRLPGDDDAANDVNRDLLVAVNASGRAFMTHFVLEGKFVIRLAVGGAATEMRHVRDVWELLQRMADDVLQRYQS >Et_3B_029981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29642823:29644701:1 gene:Et_3B_029981 transcript:Et_3B_029981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSMECLELDSSQFSVNTDVIDYPLDIHLEFSSFTGAKAIPDNSRRSESHCPNVPDPPPLPGTSYGGQRNSRTDKRIRTRSAKAYRRVPETVLDTWDKLFSEGYQADLCVSADDGSEILAHSCVLGVESPVLRTMLEDSKLENGFRYIRITGAPPKAVHMFIRFLYSSRFEKEQMKKHVLHLFVLSHVFSVPYLKTVCIDELERNFLAPDNVVDMLQLSRLCDAPRLSLICTRRIIGDFKNISLSDGWKVMKQTNPSLEQELLESLVEADTKRQERAKRMEEKKVYLQLYEAMEALVHICREGCRTIGPRDQELKGSRAAVCKFRACKGIEQLVRHFSVCRVRVPGGCANCKRMWQLLELHSRMCFTPDTCKVPLCRHFKDKMQHLGRKEETKWNLLVCKVLESRGTMSCISGRRKN >Et_7A_050998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13470811:13472459:1 gene:Et_7A_050998 transcript:Et_7A_050998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGVVDGAKPAAAMVLVEFIFSALQIFIKLALDDGMDERILVAYRLMFAAAFLLPLAFLAERITINQNLLVLAMKLTNSTTIVTALSNLTPQSTFIVAILSRLETVKLGKASGRAKLAGTLVGLGGAMVVTFYKGPELGFLRRLSRTRLGDEQHQAARAPSTSGSAGVVGSFLAMSSCFSYAVWLSIQAKVGEVYPCHYSIAALVSACTGTPRTGGSGSTLYSSAYAGLVASGCAFPLMSWCLRERGPLYVAMFGPLIIVFVAVLSSIFLDETLHLGIALGAVLIVGGLYMVLWGKAREAKEKEAGGVAKDEERGKESTPVEAADGETK >Et_1A_009578.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:8566117:8569269:1 gene:Et_1A_009578 transcript:Et_1A_009578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFLMKRTTTWPLRFFLCSPILLLFVAPANSLTQSSCDQRDLDALKGFSKGLAGGVSGWTFPNSSSDRASCCAWPGVTCDDGGRVIGLDLKGMRLGGELSPSLAQLDQLQWLDLSDNNFRGAIPAPVLQLQRLQRLDLSYNDLTGKFPANISLPLIEVFNISYNFFNGSHPTLRGSPQLQVFDAGYNLFAGQIDTSICESSGVIRVMRFTSNLFAGDFPAGFGNCTKLEELCLDINSISGRLPDDLFRMASLKNMSLQENQLSGRMSPRFSNLSSLAKLDISFNSFSGYIPNIFGSLGKLEYFSAQSNFFKGPLPSSLSHSPSLKMLYLRNNSLNGEINLNCSAMTQLMSLDLGTNKFIGTIDVLSDCHHLRSLNLATNNLSGEVPAGFRKLQLLAYISLSNNSFTNVPSALSVLQDCSSLTSLVLTKNFHDGKALPTTGIHGFHNIQVFVIANSHLSGWVPPWLANFTQLKVLDLSWNQLAGNIPPWIGDLEFLFYLDLSNNSLTGELPNSLSSMKGLITWNISQQSTETDYFPFFIKRNKTGKGLQYNQVSSFPPSLVLSHNKLTGPILPGFGSLRNLHVLDLSNNNISGTIPSELSGMSSLESLDLSHNNLTGGIPFSLTKLNFLSSFSVAYNNLNGTIPLGGQFSTFTSSAYEGNPKLCGIRLGLAQCHSTNAPAMVARNNRKSKGIILGIAIGIALGAALVLSAAVVLVLKGSFRRSDHLVKSVADTKGALELAPASLVLLFQNNDDKALTIGDILKSTNNFDQANIIGCGGFGLVYKATLPDGVTIAIKRLSGDFGQMEREFKAEVETLSKAQHPNLVLLQGYCRVGNDRLLIYSFMENGSLDYWLHENPDGPSKLSWERRLQIAKGAARGLAYLHLSCQPHILHRDIKSSNILLNKNFEAHLADFGLARLICPYATHVTTDLVGTLGYIPPEYGQSSVATFKGDVYSFGIVLLELLTGKRPVDMCKPKGARELVSWVIHMKEQNREVDVLDRAMYDTKFQTQMMQMIDIACLCVSDSPKLRPLTHQLVQWLDDIGARSEAPK >Et_9A_061042.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:12211355:12211621:-1 gene:Et_9A_061042 transcript:Et_9A_061042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRSDNATANGSSIDEKIILRFCKQDWCRGQICYCCQVVGRCFWTSNACTDRCPTCAPACPPSPSPGMATKFHEPRNARRNTLYKRMVG >Et_5A_040276.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:9592807:9593349:1 gene:Et_5A_040276 transcript:Et_5A_040276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LQIGRWKESHIFYLILIYSIPAIDSNSLFLNCTLKAKVKKDHINYSPNCRISQRIQMEDRKRRQFKPNGQTLRIQDRKTYSHKRITRERKQLLYWPASLIYYPPAKEASLKTGFSDLPPDPGRSRIDKPTVWILYMGMVGTLQLQSPECVSEVLSMREREVANLGSDGMLVLLIRLGLAN >Et_5A_041751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26755848:26757529:1 gene:Et_5A_041751 transcript:Et_5A_041751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKDKPTAGIQEVDCAKSWHLPQEGERRVDKRRRSPQQIYEMPMVSRIPYKNPSSVWESFLQRSRSAMRSRQGLETHLDRQRNLAGRHARIPARASSGRPATALLVGQSMTSCKTEDRDRGLRGSRQEKETKRG >Et_3B_030920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8906398:8911413:1 gene:Et_3B_030920 transcript:Et_3B_030920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CSGKLELKVWKRSRDSQRREGPFVSPEARSPGAATRPRGHGFWHGSLMREARPSSQCSLPLRSPGYDVRAVGDRGAGRCSTKCFPPPETPWLDAAGHEPHKSWADLPVDILGVVAGRLPRLEDRSRLRSVCRAWRAAARLHRLAPPPLPLLVLADFTFASFCADGAMAGTRRIPLPVGEPAAARDVRCVGSFDGWLVGVQLNKSRYLAMAEVVRLPPPSTDTHFIDAYNRSLPIVNGSGVVHCTVNAAQYVMSFCKAILCVSPESGSKCVVAAVSVHRSTAKLALWRPGMTSWCVCHGGCISKFSDIALYQGKLYMFSKVTTNLFVFEISEDDSGLMISRVERLWTEEEHSRVAWEIVADCHIVAEGWHNICKIGAFELDLSTSPFKFTEINSLNGDCIFISPCSSKSFRACQYDEVEGDLIYIIDGGLHPAKHAPPFDKFFYNVRDGTMRPFAAEISEDKLRAVDVMLMNPTCSMILPLKSPSKREFSEVSLIERCVTELSEVKSGRSLRTRVVPWDIVPLAIDHIVLASMPSFYDRCRLRCVSLRWRDATRMNPPLPAPLRPLPLVVNTEFSLMNCFADGLKSAARPIKLPAMHGLRIACVGTLDNWIVCVCPRDWDARQSMRLSDLNCFVLDPSSQHLIKLPDPPAYSLERLICDGSVHVKNSSVIMSYTQPMCAHEISLTKVVLSNSSDMSICKFASVSEIRGRGHLAVCALGMPCWILYSANFISLGTDLEFFEGKLYLLENDRADLYVLDFGTDLCWFPVVLHAERCMPGLQFTSDDSSSRVQLTPVQRKAVAGDEAIP >Et_10A_001416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2984556:2986898:1 gene:Et_10A_001416 transcript:Et_10A_001416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTQYNRVMHPSLLLLVLMAACATGVHGHPSAAGSPAAQFWEEALPGTPMPEAIADLVQEGIDHSPLVEHYPVPNKVGVGNRNYNKVGASAIANINYACTGGCPDESAVLPGYFFQTQVHVGSNMTVFFPPESVPPILPLKVAEKSCTTSLEATVQSAMRMLGTTHGVWASASALTGATGLPQHAYVVEAVATLDGDRHVGCHAISYPYAVYMCHMTGRPTKAYKMTLRGLSGGPAVDMAAVCHLDTSNWSPSHPAMKILQTQPGAAPVCHFMAPVNLVFGKKASNA >Et_6A_046673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19183230:19186011:-1 gene:Et_6A_046673 transcript:Et_6A_046673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDNGVGVKKGPWTPEEDIVLVSYIQQHGPGNWRSVPENTGLMRCSKSCRLRWTNYLRPGIKRGNFTPHEEGIIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKVKRLQTQPADSFQTTPSNPVASPNYYSSGSTQHNLQGMQSINNYPNTTNSSAPISSDATATTVSNLVQTWMMRPSPATTSNYKITMKEYQEDQAAAAVICKDQTLFNDDKSSSSGGMMAPVMMGPSTATFSLLEDWLLDDMPGQAMDGLMGITGGCCADPIIREQRFCLREEVHTMVLQRSSKFKRKGDMGGMVQWIK >Et_3A_024005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1681350:1688833:1 gene:Et_3A_024005 transcript:Et_3A_024005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRGGEEAKFQGSEDGSATSNRCRGNEMISVQFMQKIIAEVLGTYFMIFAGCGSVVVNLSTKGTVTFPGICAVWGLVVMVLVYSVGHISGAHFNPAVTFAFATCGRFPWKQASSSSILTYHYLDGIILEGYLKTTSRPRHLYLSFSISVPSYVVAQVLGSTLASLTLRVVFGGATAHEHFFGTAPAGSDAQAVVLEFVISFYLMFVVSGVATDNRAIGELAGLAVGATVLLNVLFAGPITGASMNPARSLGPAIVAVRYRSIWVYVVGPVSGMVAGAWAYNLIRFTDKPLREITKSGSFLRSARRS >Et_7A_051704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23288889:23300050:-1 gene:Et_7A_051704 transcript:Et_7A_051704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLSFLLLLSSTSVQAQQNISLGSWLTPQGPNSSWLSPSGDFAFGFRQIEGNSSFYLLAVWFATIGDKTVAWYAKTSSPVPGPVQVSSGSRLQLTSRGVLSLQDPTGAEVWNPKVVGASYASMLDTGNFVLAAADGSTKWGSFDSPADTILPTQVLTPGMKLRSRIIPTDYSDGRFLLYILNDYIVLYPVAVPSGYINNAYWWIAGNITDLVFDSTGTIYVAMDNGTQINMTSAGIGSMASSYYRATLDTDGVFRQYSYLKVSSQWSPASQWTVADFQPPDICTAMTDIGSGTCGFNSYCNFDRTMSQPNCSCPPRYSFLDDDRKYKGCKPDFQPQSCDLDEESAMMQYELISTNYADWPLADYAQYAPITENGCQKLCLIDCFCAVAVYHDNDKTCWKKKLPLSNGKMNGDVQRKLFLKVPKNNRSNSEHVHSSKWKTDKKYWILGSSLFLAISILVNLLLISALFLGTRSIITRKKFPSSQSPNNLGSPLKSFTYAELEKATCGFQEVLGTGASGIVYWGQLQDELLTSIAVKKIHKLEQEAEKEFTIEVQAIGRTHHKNLVRLLGFCNEGKERLLVYEFQTNGSLNEFLFGDIALGVARGLLYLHEECSTQIIHCDIKPQNILLDDNFTAKISDFGLAKLLRINQTQTNTGVRGTRGYVAPEWFKSISITAKVDVYSFGVILLELICCRRNPLLVSMSFILLISPSVQAQQNITLGSSLAPHGPNSSWLSPSGDFAFGFQPLAHGVARGLQYLHEECSTQIIHCDIKPQNILLDESFTAKISDFGLAKLLRTKQTQTNTGIRGTKGYVAPEWFKSIGITAKVDVYSFGVIILELICCRRNVEPEVAEEDKKILTYWAMDCYRCGRVDFLVEGDDEAILNLKKVERFVEAALWCLQEDPAIRPTMRKVTQMLDGAVAIPSPPDPSSFGNISAYLLAVWFHKISNETVAWYAKTTGDDPEPVQVSSGSRLQLTSSGALSLLDATGIEVWNPKVVGAAYANMLDTGNFVLAGADGSTKWGTFNSPADTILLTQVLTPGMMLRSRIIPTDYSNGRFLLNMTTDSVTLYSVAVPSGYEYASYWSINGNITNLVFDSTGRIYIDNGTQINITSADIGSMADYYHRATLDPDGVFRHYKLPKKVSNQLSQAWSVVGMEPQNICQALIHLGSGSGTCGFNSYCMLDDRNNQTTCFCPEQYSFIDEERKYKGCKPDFLPQSCDLDEEDATTQFHFIPMVNVDWPFADYEQQAPISKNDCQSLCLTDCFCAVAVYRDNDNTCWKKKMPLSNGRMGESVQRTVFIKVPKNNSSQSEFPDSSKWKKDKKYWILGSSLFLGSSVFVNLLLISLLFFGTYCTITRKRVPSLQSASNLGLPLKAFTYAELKDATNGFQEVLGTGASGIVYKGQLQDELRTFIAVKKIDKLEHETEKEFTIEVQTIGRTHHKNLVRLLGFCNEGKERLLVYEFMTNGSLNRFLFGDLALGVARGLLYLHEECSTQIIHCDIKPQNILLDENFIAKISDFGLAKLLRTKQTQTNTGIRGTRGYVAPEWFKNIGITSKVDVYSFGVILLELICCRRNVELEVAEEDQKILTYWAMDCYRGGRVDFLVEGDDEAILNLEKVERFVKVALWCLQEDPTIRPTMLKVTQMLDGATEIPTPADPSSFVSSHP >Et_7B_054516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22420823:22424227:1 gene:Et_7B_054516 transcript:Et_7B_054516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVLLRAAARATRSSRGAGPSPPNRPLPIVVTLCPFPEGDDDEGWAEEDDDLPSRIFRLGLAKRSATAALDKWAGEGHDAPAGELRRNKHALEVADWMKTHHESELSESDYGMHINLITKTYDGTSVQKFGNRVLEGFSREEQWISKMLAVV >Et_1B_013730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14625640:14629057:-1 gene:Et_1B_013730 transcript:Et_1B_013730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHQRSRSASASASATRSDIADLDFAAADLDCPFGSVDAFGPVELRETAYEIFFMSCRSSGGTGAGGRGGVVEGEVSSPVAGAGPRGGSGGSVMGSRIKKALGLRPRRLSSGTQPMMGRTLSQTSGPASPGRARRPMTSAEIMRQQMRVTDQSDARLRRTLMRAVVGQVGRRAETIILPLELLRQLKPAEFADAEEYHQWQFRQIKLLEAGLILHPSLPLDRLHSAVLRFREVMRATEIRAIDTGKNSDAMRNLTNAVHALAWRPSSAADACHWADGYPLNVLLYVSLLQTIFDLRESTVVLDEVDELLELIRKTWPTLGVNRVVHNVCFAWALFQQYVVTGQIEPDLAAAALAVLVDVAADAKQQGGRDPVYARVLVSALGAMQGWSEKRLLDYHGCHEKGFSGNATEGMEVLLSLALAAGKIIADREGAAVEGGNFAGDRVDCYIRCSMKSAFTNILENGMDEVDSVILDRDHDPGVVLMQLARDAEQLAIFERRSFSPVLRRWHPAPVAVAAVTLHGCFGVVLRQYLAKVNILTEELVRVLHAASRLEKALAQMTAEDAADCDDGRAKAVVGDMDPYEVEAVVMGLLKTWMDDKLMLGRDCILRAKDTEVTIDDGEQSEVFVASPVHELTDSWIPKSKAEPFAGSAMELMKLARATVDEFAEIPASAKDEVVQDLVDGLEPIFQDYISFVASCGSKQSYLPPLPPLTRCNQDSGFFRLWKRAALPSCQAPEVGSPSGGGGGSHHIPRPSISRGTQRLYVRLNTLHYVLTHLQALGTSLSARFDRARGAAQSAVSAVAEVAAHRLVFLDSRHSFYQGLYYGSVSDARVRPALRLLKQNLSFLVSVLADRAQPAAVREVMRAAFEAFLMVLLAGGNERSFARADHATVEEDFGSLKRAFCTCGEGLVPEDVVAREAARVEAVVDLMARSTEYLIDAFGVATCEAIGAGGGGDDDGDRGMSVPPTTRQWNPADPNTILRVLCHRDDEAANQFLKRTFQLARRR >Et_1B_013777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16105901:16108210:-1 gene:Et_1B_013777 transcript:Et_1B_013777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGVMLIGDGKPPPASTSAITVTDCGYLLLVVDGYSRIKEDTPNGEYVESRCFTVGGYRWRVKYYPNGMCREHADCISLGLFLDSDVAAETVKVQFEFSFVDKVQKLVPSYIHGSKVHSYNDKSRCWVYGSFMKREALEKTAHLKGDSFTIKCDIVIVKDVSTRAATAPIVVVPASDMHQHLTGLLQSGEGTDVTFDVGGDIFVAHRVVLASRSKVFKAEISDLTKNGKAAGVISINDMDAGACPIVMKELVAKLVSPKIDANSEQPSATAVPFVVVPASDMHLDFTSLLISGDATDVVFQVGSETFNAHRCVLAARSAVFRAELFRSFGDIMHDPLACVLGSPGRGMESNSYPTEKARTVLKKSELRARRRRVHHRRHRCADKLDAS >Et_8B_059373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17194080:17200888:1 gene:Et_8B_059373 transcript:Et_8B_059373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSRVPVAAGAELPANLVSLALPSAGTKLMRHKEAKDANGFLMHLCASSNRLVPGKPLTPSSTLVSYDETFALGFFSVSDSTKNYYYVGIWYNNVPEHTVVWIANRATPITDPSPMLALNNSSHLVLSDGGGHVVWRSNNSIIINSSPATTISAEAILDSTGNFILRSLSNNTILWQSFDHPTDTLLLGMNLRISHKMKPLQNLVSWKSQEDPSPGTFSYGADPDNLLQRFIWNGRKPYRRSPVWASYFLVGNYMDSLHSTIYMAVHRGADDEVYMSFGMPVDSSSSLIRMKINYLGKVNILRWDRNMSVWEALYTEPAHECNEYSFCGPYGYCDNNGTSSTCKCLDGFEPKDDKGWVDGRFSQGCLRKKALRCSSGDGFLNLPGMKVPDHFLHIRNRSFDECTSECRSNCSCVAYAYANMSTKGIDGDDTRCLIWTGKLIDMEKSSQGGESLYIRINKLNGNGRRTYTVEIVLPVISSFLAFICIGSIWSCWFKDVIHIPVTLSGKQGSREISNRVMLGNMGSDNELADENVNLPFFSFSEIAITTNNFSESSILGKGGFGTVYKVLKLLLGTLGDKEIAVKRLSKSSGQGVVEFKNEVFLIAKLQHRNLVKLLGCCIQRDEKLLIYECLPNKSLDAFLFDTYMTDKMIHMILSLTDAAKKSLLDWPTRFDIIKGIARGLLYLHQDSRLNIIHRDLKASNVLLDAEMRPKISDFGTARIFDAKEQQSNTNQVVGTFGYMAPEYALEGIISAKSDVYSFGVLLLEIVSGFKISSTGPIIGPATGPPNLIAYAWSLWKEGSMRGLVDSSIVESCSPEEILRCIHIGLLSVQDNPNARPLMSWIVSSLDNEAIELPEPREPIYFARRNCDTVGAGENSVNGMTFTTLDLSPDDVSYRKAAPNGVQKSTGVSLATAKVLDIGTKHSPRPVRSPFAAAASLPSLET >Et_6A_046838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21249153:21251966:1 gene:Et_6A_046838 transcript:Et_6A_046838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWRLFAWFSAAIIIFLSTTPRAMAIVEHTFVVSQMNRTHLCKDTLVTVVNGQLPGPAIELREGDSVAVHIVNKSPHNITIHWHGVRQWLNCWYDGVPMITQRPIQPNSEFTYRFNVSGQEGTLWWHAHVPFFRVTLHGALIIRPRNGPGSYPFPKPDKEVPIIIAEWWNKNLARVARNMTNGFYDDYSSASTINGKLGDLFNCSGVPEDNHVLDVEPGKTYLLRIINAALFSEYYLRIAGHKFTVVSADANYVNPYTTDTIAIAPGETVDALVVADAAPGRYYMVALPNQAPPPDTQTPEYTTRGIVQYRSNSSRDHVPVAPEMPSNHDTITSFYFHGNLTSLPHRQTSQVPTEADEHLFIVLGLGSICRNGGLFCDRRDEDATILVANMNNVTFHLPAAMATPMLEGHHYHNDTDDVLLELADRPPVMFNFTDRELIPIGPKEMRLEPTLRATLVRRFRYGATVDMVFQGTSILQGDSNPMHLHGHDMYVLAHGLGNYDAARDVAKYNLVNPPRRNTVLVPNLGWIAVRFIANNPGVWYMHCHYEFHLAMGMSAVFIVEDGPTKDTSLPPPPAEFSTYGFYNNLMQNELCPQTKNCEVKGSA >Et_6A_046851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21383906:21390287:-1 gene:Et_6A_046851 transcript:Et_6A_046851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKPYVIAIVIQIIYTGMFVVSKAAFNHGMNTFVFIFYRQAASSLLLLPIAILLERKNAPPVSFQLLLKLFLCAMIGSTFTLNAINASMKFTSATVASASYNGVPVVTFCIALLFRKEVVKLRSPSGIAKITGVVLCLAGVFLIAFYTGPAMSPVNHHRAFAIHASNTPGRMAWIKGTFLMVLAMLSFSLWVVIQAELLKEYPNKMLVTLSQCVFSTVQSFVVAIVVERDFSEWKLRLDFSLLAILYNGFVVNGVSYYLQAWCVEKKGPVFLTIWNPLCLIFTIVSSTFFLGDIVHMGSIVSGILLVGGLYCVLWGKDKENKVAWCNEVSRILDEQNDMDKERKGAMEGEEKPTLELAEFTVTTHRRLVILVQKPPSQHYKVISEIQPGDFNFVITGCKLADDSCGSTYV >Et_8A_058272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:392672:395311:1 gene:Et_8A_058272 transcript:Et_8A_058272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLLDRHVKIIDEVSEVIAERGGSPSWITHESIIQGIPAGLRWQEREAAIQRATEEALQRFRGEIEAPAEAVLRERKASRPSAVAKVRVRYVTDAAYYREALDGVELDFANRARGGGGAPPPPPPGVASMALRVSWPRASALRSFPPGAFISSAHNNVLALYVGPYRPGHDARGFYLVYDADGNRVSVIPRIPTRGAVSVTMFSHCGMGAGVNVLRRALGTYMLAELLLRKDEDTGRTSNVATLFRWYSSGEDRWIQTEVFLPLPSEPDEHTSEVTYTFYVDTMFAVGNTCLCWVDLLQGILLCEKVHKFEPRFRFIPLPQGCSIKVDPWDDRGLPDRFRSMSCIKRGRDQIITFVSMDGHGQGRHISNVELTTWTLKNPFDRSATWTKGAASFRIQDLWSDPIYKDDLLLGPLTPTCPLLSMMQDDIVYVSVDQNRLEFVEGFGTEPLIERHILCLDLQNRKVLSFFKNPPGTRVTPHLNIMACKFTMNLSKGVGLNESMREKGKDEASDTYDWRKGKVGLIVRESYSTAEMRRKQMFHMHIALNGSSNQANVAYNGDQNDHIKALQV >Et_4B_037722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22788628:22790594:-1 gene:Et_4B_037722 transcript:Et_4B_037722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATHVRPGHHNAIVKGLRKEDEDGGGDQGALDQRAKRELLKHTPKLVETGKKALILHGTKTSAVLNSVLSDIYHLKRDNAVKYTKKNDNIRPFESGGETSLEFFSLKTDCSLIVYGSHSKKRPNNLVLGRTYDHHIYDLVEVGVEKYKSMESFVYDKKLAPKLVPKPFFAFIGEHFESSEELKHLKEVLLDLFRGEVVENLNLAGVDRIYVFTSISPTTVYMMHCALRLKRSGTSIPRMELVEVGPSMDLVVRRHRLPAEGLKKDAMKTAEPAKKMKNVMKDPVHGRLGKVYMPDQERSKRSTLS >Et_2B_020816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23942990:23952751:-1 gene:Et_2B_020816 transcript:Et_2B_020816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDASKFSPKRKAAACDELTHGGVKHRPAAAAAVAEERDWTSLHRDITKLIADRLLEEDVTEYIVFRAVRRVHLWELQGQRIVGFTDGLILLLDTGTAVIRVLHPFTRVIVQLPHLASFFHGVLSKQAWFKMDSFVWLNAAVCVASPSSIAVVVWFPHMPVVICAEPSSKDWVVLHINIQFTNTLAFNGQLYGVTRVGRQLVQMYPRYKNIDPVVAEVPKDLGLPQSCCYYLVESTEGMLLAVQHQVSRGCCNAFALFKVDLYKKELVRVPNLGDRALFLSDDRNCIYFTLPSNCKPIMVHSLSDGSFESLMKFCLEHDQAPLTRTSVRPFTLADHLITYCHHREWTRGLMFHEFYFIPSCWDKLRKRIAVQDSELVVPRLRGSVDHLKMFLVNITKLIADRLLAEDVTEYIVFRAVCAHWRASTPSPRDPTLADRKFHPRGWVALCDGTGVRPGQRIVGLTDGLLLLLDTGSAVVRVLHPFTRVVVQLPSLAAFFHNVLSKQAWFKMEYFVWLSAAVCVSTSSIAVVVRFPDMPVVICAEPSSEGWAVLHTHILLTNTLPFDGRLYGVTRVGRQLVQVYPVHKHVDAVVGELPKDLGHPMSCRYYLVESMGSMLVAVLHNVPGKPPGAFTLFEVDLRSHKLTRVPSLGDRALFLADDRCLSVSAKDLPSVSSNSIYFATPKSHKPTVHSLNDGSFESFIPTICLLEQGGKPVTRWRHVRPFTLAEHLLTYCHHREWTRGLMFPVNGFSDRLKKWFDDQDSEVVVPRLREPVSQLNKVVIHDLLSIASAWARSRLIG >Et_10B_003159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17130457:17136676:-1 gene:Et_10B_003159 transcript:Et_10B_003159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTQHNNLGYGSLIRKDKIWNSARPTDIVLVKKIIKKRKPMQGYQPLHWLKEVAGAPQATRRSAARVRLIGRLKVWPPRRPQTQATAAGSLSPSFTSVQHVSGAWLNGLPGVTYCRQSATLASSAVHPPAAGAGAGACCDSALAARVRMNTAKTSMLAAPVPRSMSIGDWGIGSGTTARREDNLMISRREEEQSSQEYTKALRFVLEGQGVARRGREISSRDTERIQLVAHLAAHISVAHKMDAAAVSGCFKDKSILITGSTGFLGKILLEKILRVQPDVKKVFLLVRAADASAAEKRVSNEILENELFAALREKFGSYFHSFTKEKISPLAGDIIDENLGLESSKIWELSEEIDIIVNGAATTNFYERYDIALASNVLGTKYVCKFAKKCHNLKMLLHVSTAYVAGEQQGLLLEKPFQFGETLKQGCHLDIDAELKLVDSIKAELARSSGSSEQLERKTMKKLGLKRTIDTIITAYAKQSIPCFIGNGDVILDVIPGDMVVNAMMVAMAVHWNEKGQVVIHVTSSLQNPLSTSTTLDMMYRYFSSNPQIGKNGRVIKAKRLHLTNKFASFRTYMFLKYKLPLEMLHLVNPLFGGSFSRYYNKFNRSYRYFILLAKLYAPYGFFNARFNDTNLARLQNATSKDKHEAHVFNCDPKSIDWEQYFYNIHIPGVLKYAQKK >Et_5A_042375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9307030:9309723:1 gene:Et_5A_042375 transcript:Et_5A_042375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPCTFQLSARTPTSRRSPWLAAQGQGEGGGAGGGLTTPLLGASGDVHTICSPLLQHSDAGKAKKKANLHRVELVDVIAKCSNPLSSRLRKLSKIFLLPTCTYLHSKKPIEEEEDMAYIPCTVDLSTRKTTSKRSPRPALQGHGGGGGGGLMTPLLRASSGDVHTISALILLSDGVVVDRSSNAGHAKKKGKLNRDDEVVDVDVKCSHLTSRRLSSLTKLGLPKLWEIFA >Et_1A_004805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7242017:7243364:1 gene:Et_1A_004805 transcript:Et_1A_004805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSNLPKLNIIVQDAGTKQSTLQRGTGLEWHVLYELICFLNPPSTSKHMSTRQCSALGIRPLTLRRSLNSRRPSSIMPAWAAWLQEYINEAMVTEFASPPISTILSYSRMAVRACPCVPYPAMIIVQATTSFSGKPWKRTHATSKSLALAYTSNQCVLHIGIGPQAPFLNQLVHSSPHSQVLGLRTSRQH >Et_5B_045791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9958312:9964378:-1 gene:Et_5B_045791 transcript:Et_5B_045791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDSNPHVKLDSILHDGNSKPGTVKSLIHKFQQQDSRGALQFPGKAKSLGFCNQNFSRIESDSRPHDKLESILQDGSSKPCSFQLEDLRMMTDDFSNDRLIGEGGFGKVYKGVLQNGEAIAVKKLAQSMPLVQQKQFENEVHHLIRLRHPNIVRFVGYCYESQHEYVELKESCFAFAEVPKRLLCLEYLPRGSLDKYISDASSGLDWNTRYKTIKGICYGLHYLHEECKSDIKGSIIHLDLKPANILLDENMVPKIADFGLSRLFDDKKTETCTINLAGSLGYMAPEYIRGTITSKADIYSLGVMIIEIIEGSKDMIDPFNSDETACQVFVGRVLQNWKNRMNKAPSQEDYEQIETCLRIISGHKNLLQVTPLEICFYLERGMSHRCTIHLTNQTVQLVAFDFGVLQSRCFYYIEPSQGYVWPRTTTYVTITMKERPIPAKGWRCYDEFYVRSVRVSNSEPVPITLDIFDNRDKVENTVIRALVESREVGSYFLAELQSELCDEDDGSLYCTCLDMRCTCGAGR >Et_3B_028314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13235489:13236555:1 gene:Et_3B_028314 transcript:Et_3B_028314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKEIAPSWLELLLVTQFFSTCTIHLRSSRNECNLFCIDCEEQPIAFCYYCKSCHHSTHRVNQIRRSSYHDVVSRLRMSLILAMCRLYVINGAKVVFLNERPQVRGCSASIGKALSSSSHKCETCCRAFLDEFRFCSLGCNLRGMRKDTEMPNLCDNGPSDQAEIQDVTGSGNTSSNAKSKKENSGDNNEEEPPAKRVARRHRRKGIPHHAPFF >Et_2A_016520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25493779:25502997:-1 gene:Et_2A_016520 transcript:Et_2A_016520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSIWNSKPLKFKSTMEEKLENDCNNPSACHISRLCRRAFTTDPRKLATNGAPLKLLLRRVVPINDVFDVVSQRLDLAEPVPQIGPLAPEGVVLRILEQVFQVHQGVLDALEVIAEAAAQLHVALRDADNVVDLSGHLVHRRGYQHLVADHGCPWGLERREEEATGATQDVA >Et_3A_024430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20770562:20775252:1 gene:Et_3A_024430 transcript:Et_3A_024430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRGSRHHRQPVDQQAAPPAQPKPQASPPPPVQQQPKPKPKPQPPAPAPAAAAAAAAGDVGRVLGRPMEDVRATYTFGRELGRGQFGVTYLVTHRETGQRFACKSIATRKLVHRDDIEDVRREVQIMHHLTGHRNIVELRGAYEDRHSVNLVMELCEGGELFDRIIARGHYTERAAAALCREIVAVVHSCHSMGVFHRDLKPENFLFLNNKEDSPLKATDFGLSVFFKPGETFKDLVGSAYYVAPEVLKRHYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLRGHIDFTSDPWPSISSGAKDLVKKMLRQDPKERLTAAEILSHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKVVAENLSDEEITGLKEMFRSLDTDNSGTITLDELRSGLPKLGTKISESEIRQIMEAADVDGNGTIDYAEFISATMHLNRLEKEDHILKAFEYFDKDHSGYITVDELEEALKKYDMGDDKTIKEIIAEVDTDHDGKINYQEFVAMMRNNSPEIVPNRRRMFTYRLARGLALFGSH >Et_8A_057013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20112421:20123548:1 gene:Et_8A_057013 transcript:Et_8A_057013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGVACAVRAVEGFGSGALVKRGGGEAMPDKGERGHGHHHHHQHRKSHQLATAVELEEGELLNGEPDTNGLPERSMPPKKWRKVLVASTAAAEVEPGEIVAAQAMPSRKTWRNGELGRGDSVSEKQRKDQSGKTGRKSSKDEVEPGEITPLDRKQDGKSQRGDDHSRRPSSSSQKGSLRDSDEEPGEIKPDSSSSGSVRKGRAAESQGIKHQADTSDHSGSKIRRKGEGKSSSAGRHFLGRNREASPSIWDRHDRRERSPGILGRFPHDRIRHDRHDRSPGRLDRSPRERARHYDSRDRSPYISPRHRPRQFHYRDSTPSRVDNSPRGRAYHDDFRDRSPLRHDRSPSERHRSTDAHEAIKKSRSVKLETSNPEKPQLKSKSAKQSSKTKSGSNGKIEEKISKEKATESTKHTELPPPPPLPPPPPPPPPPPPPLPPVVPPPLPPPPEPELNGVLEEDACMEEDMDICDTPPHPTISPEPIEPTEPISDMGKWYYLDQFGIELGPSKLVDLKKLVEDGYLLSDHLIKHADSDRWVTVENAASPLVPSDFPSVYSDASAQLILSLLTGKDGVTLKGHAEGDDRVNRGTELLSNRPTDTYGFDSVEKNSFLHHAESSEWFSGRWSCKGGDWKRNDELSQDKPFRKKLVLNEGFPLCQMPKGSHEDPRWQCKDELYYPVRTKKLDLPLWAFSSIEEDTDSVNDASKTVVMPGRPVQIRQPPRGVKGTMLPVVGINARVVKDQSSVEPRTKHRGTDRTLSRSSRSHSVGTDWNAVHEGLSHSKKHHEHDSQGLHKSKSVPDIPKDHVCTIGELSVSRGDWYYLDGTGHEHGPFSYSELQELVKKGTIIENSSVFRKSDNTWLPVMDLKPDSAVRSVARSSNSTSDHMPSDQYNVSMDHGSDSFHELHPQFVGYTRGKLHELVMKYFKSRELTLAINEVLDPWISAKQPKKEIDTYFSHNSASRSFLSEDGGSAKRAKLLPDQNDEDTHISDDILASQKEDICFEELCHGSASVDDNFVDCGAENEHWGLLNSHVLARIFHFLRTDVKSLIYSAATCRSWHAAAKYYRSMCRFVDLSSVGPLCSDPVFRGCSHLGDLKNTFHHVKWICSSLNPEEAYQKMKSVKQTGDGNNPSKLARSLTNQLGGSDELDGYFADISNRENANLSFGQGFYKRSKLLDARKSSAVLSRDAQMRRLMQRQAENSYRKMEEFIINRLREIMKGNRFDFFIPKVAKIEGRLKNGYYARHGFSTIKHDIRSMCQDALRYKDGSDLGDIKQIVVSFIQLAKRLGNPSYISERNGAATQKDSLDISQYSSDAKLKKKQNKSRGANSVAAGVDNSSRAFDREIKRSLSKLKKRDVDSGSETSDDDDRYSEGDETESETTVSDTESDFDVNSGAWDLKGNGLKSPELGESVTDDRILGARMTKASLVPPVTRKYEVIEEYLVVADEQEVKRKMKVALPDDYSEKLLSQKNGTENLELPEVKDYQPRKVPGNEILEQEVYGIDPYTHNLLFDLMPADLDWSVADKHVFIEELLLNTLNKQVRDFTGTGNTPMVYPLKPVIEDIQKSSEESGDRRISKMCLGMLRAMKNRPEHNCGLGVVCNKKGGFGADDFVVEFFGEVYPSWRWYEKQDGIKHIQNNSQDQAPEFYNIMLERPKGDRDGYDLVFVDAMHKANYASRICHSCNPNCEAKVTAVDGKYQIGIYTLRPIAEGEEVTFDYNSVTESKEEHEASVCLCGSQICRGSYLNFSGEGAFEKVLMEFHGVLDRHSLLLQACEANCVSQQDLIDLGRAGLGTCLLAGLPGWLVAYTAHLVRFIYLERQKLPDEILKHNVEEKRQFLIEINMESEKNDAEVQAEGVLNSRLQNIVHTLDKVRYVMRCIFGEAKNAPPPLVRLTGKNLVSAIWKGDSSIVAELLQSMEPHVEEEVLSDLKAKIRAHDPSDSEDVEAAIRNSLLWLRDELRTLSCTYKCRHDAAADLIHMYAYTKCFFRVRDYKTVKSPPVHISPLDLGPKYADKLGPGFQEYCKTYPENYCLAQLIYWYSQNAEPESRLTRARKGCMSLPDVSSFYVKSVKSAQDRVYGNRTVRFMLSRMEKQAQRPWPKDRIWVFKSDPRFFGSPMMDAVLNSSPLDKEMVHWLKTRPNVFLG >Et_2B_019225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18443379:18444557:1 gene:Et_2B_019225 transcript:Et_2B_019225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPAAHAAGLRRLSTRAAAGHASASASPRHGLHSFHGVAGAVLSHLRAAGVAVLPGLSDAEFARAEAEFGFTFPPDLRAVLALGLPSGPGFPDWRGRAGLRAAFDLPLAAASLQTARGALWPRCWGPRPADPLLVPLFDRCYLPCRPCLAGNPVFFVTDDRVLCCGLDVLHFFTREASFHPTAEVSSSPLASPVVSGGASASCTRRSLDAVCGGQAPRWIEFWSDASSDRRRRDSSSSEASTASSSSSTPRWVDNYLDNLGSVLKKGGWRDREVDEMVEVAASGLFDDEEAPAPDTEAVLDALVLKTDRCSDSLRRAGWTSEDVSDALGLDFRRPGKERPRPAVRVPPEIAAKVQRLAQALARP >Et_7A_052054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4107546:4108686:1 gene:Et_7A_052054 transcript:Et_7A_052054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAADVSSVARLLRGEAGKKKDGPEIVTMDLLGGGGGDGAAEDEVVDLEVSVPAGWERRLDLLSGKTFLTPRHPSVEEAAGQDLNLPPPASATTPAPAAPTSSSPFCTLDMVRAALERAEARLLAASSATSSTSSASTTSSSSSARKRNRSPAPAVAPQPATPAMSVAACTSCLTYVLIVVADPRCPRCSAKVPPLPAPTDVPPPFVKPDVGGRVKKPRIDLNAAADETE >Et_5A_042258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8016500:8017342:-1 gene:Et_5A_042258 transcript:Et_5A_042258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARKVMKHRGYLPWTDDMDQALLDVFVEHYHKGDRTPTGWKPNVYTAAVRNVREKCQMDITKDHVLSRCKTFDKHCNIVSRILAHDGFEWDQDRNRLMIRDGNAWSRYIERNRAAAGYQHKVIKNWDAISLVFSREHAATSEDVSTGAENGQEVASKGAEDVHEVSNSPSTSAPRSSNQGHGGPQALTQPKQIGSRKRLRTKEALFCMSGEIKNSFQISISSDELPKEPQNTPPKEIFAALQEIPNLMIC >Et_1A_008749.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:11639667:11640905:1 gene:Et_1A_008749 transcript:Et_1A_008749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSVPVALGLDTAGLQVPWYFRCPISLELMRDPVTVSTGQTYDRASIESWVATGNTTCPVTRAPLADFTLIPNHTLRRLIQEWCVAHRSMGVERIPTPKQPADPDLVSSLVAQGPVLPALRRLRALARESDKNRLVMATHETRAALVEVAFGGCCGSSEEVEAEAMAVLALVGLGEAEAVEVVGREDRVARLGKALASSAASPEARVNAGAVVEAAASASGTEARAVLGAAEGVMPGLVALVEEKAHARAVRVGIRALFALCLAKDNRARAVAAGAASALARRVAEGGAAAAGEPERALAAVERLCRAEGGRDAVVAGAGGGAAAVRALVRAMSGRAAEHAAGALVAVVGGAEALQVEAVRAGAMSQLLLMVQGGCSERAKRKAQHLLKLLRSAWPANDCIANPDDFLQPY >Et_2B_021128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26704478:26709522:-1 gene:Et_2B_021128 transcript:Et_2B_021128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLNCISFLLGLAILSATLGPFVTIAHRELLTVTGSKRGVEIKLELSVEKTSTGEEIRSNVLTGRKLAFGNAVKEQKDTKQSGSKTSSGEIKNYSANSRTPSNLKDSSSSRMQAGPSMDRAKLEGSTSKLALNIPNPQHIRTFSSKHSSRNSNEGSINEDWKQKMLEASDEILKFLNKDYNSRPHKRIPDRREHSFLRKPMPLGLSGYIVINFFVHGDSKAPVWNPDFVRYEPES >Et_3A_022973.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:10249282:10250663:1 gene:Et_3A_022973 transcript:Et_3A_022973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRSHEILAMHEKAPPTFGRREAGQNVWTLSTMSPLIRVDRPVPGRVSSHRHTGCPTIALYASFTRRTRLWQSDTTAGRASCSTLASTSFIVFLDSVIAAATNLSPAMLLASMQMVVRRGRDGWGVMTLGNLSFERRSRSMETTLVGATDGADDEAPLDTTNDSLTRGSSSDVRSGRRLGMDTPLLQTSVPTPTGHQTGGRR >Et_1B_010963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1605027:1605762:1 gene:Et_1B_010963 transcript:Et_1B_010963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSGRPGRYHPAVSDVLSTLANATTALADVQRRLDLEFRASYPDHANPAKLVARVKRIQEEVAALKELCRDLLAQKQELIDRMQTSLTARRSATRRLLTASGLPPVPEADAAAHNSLNEVIDEWTAHVMPIKGEDRVEDTNQILFSTMV >Et_8B_059126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14125342:14138072:1 gene:Et_8B_059126 transcript:Et_8B_059126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGDSDSKRQPRQPTSGPHKRTHGHSSPSPTRSEASRAGATTTEARADGGGEEAAAEEGEPMPVAGTRRSASVFMTKAPKPPLQDQIDPATWVLRSGKRLAADRICWDDKDAVTFHVDKHDDCRHHQQQLQPEKEAPKPKLPPREKDFRFVYRRKRRRQRQPAEEFVSRTGYLDAHFSALVEDVAPRAGAVTLVVLVDTSCSMSSQRLMCLLLRWMRRCRQGTVQSLASFVSALSVAPVIALQGLHFIKLQRHRGSALLQGTLVHCGWCVLNGAKKSGPLLSVNFSALPSYFQRLHSAVAFGSMYLPAVIRESRLLVGAPEHMHPHIPLDVNSGSQCTGIAGPTADLGSDKTHKVVQDFVPLEQVAGVVVDGLSLKKHQRKRSSMRHPRNRRRPTAKLSDSAIGMKMGTVLTQREVKLPSTWQATMEPVQPKPTLKISLSLIESLDESDVSTPMGSTRKQKRSSLKSPDDRINEKLSLAEVRQNIDCVRCKANLLVLRDDRFWREEGAEVMLELSDANEWCIVVKIQGTTRYTFKPSDTRFNVINRYTHAYMWAIDDAWKLEFSDKRDWLLFRELHIQGRERNSQGKTIPIPCVHDVPDDMEGVITNTFSRPVPDYIRMVGDEIERALSKESFYDMDSEDERWLIELNSADSYQNNCQRISYEDFEKIITAFEKDAYSNPEKPHDVGQLLSRNPSLVKDDSMLAVYKYWTNKRSKRGAPLIRIFQGVPLREGQLSQQSSVKRKRSFKRPRIQAGRGKSEAMLQDNAEKEVASQRLAQAEAAAKQAVEKAARLRSRAHSLMANAELATYKSVMALRIAEAARISEPMPAAGARRSTRVFMPKAPKPPLEDQVDAATRVLPGKRLAADRIRCDDKDAVFLHVDDHDLDLDLDDVHGQHQQQQLQPEKEAPKPELPPLEKDFRFVYSRKRRPQRQPAEHLPEKKVRFGIVYTRRGKRPKVAPIRQEPEPAAPSELAAAIPCSSSQEFASRTGFLDAHFSALVKDVAPHAGAVTLVILVDASCSRSSHQLKDLLLSVLRWMGRSRRQGKVRSLTSCVSSLSVASEFASQGLHFVKLQHRLDGTGFPKPTADLGSGENRRVVQDNVPLEQVAGVVVHGQRLKKHQRKRSSMRHPRNRWRPTTRLSESTIGRKLATVLTQTEATVDTVYPKPSLEISLDLLENLDESDVSTPMGSTTKQKRSSLKSPVDRMNERLALAEVRQNIDSVRCKANLLVIRDDRCWREEGAEVMLELSDTNEWCIVVKIQGVTRYSLKPSDPRLNGINRYTHASMWAIDDAWKLEFSDKWDWLLFRELHIQGRERNSQGKTIPIPGVHDVPDVMEGVITNSFSRPVPDYIRMVDDEIKRALSKESIYDMDSEDERWLIEFNSAGSVHNNCQRISYDDFEKIMTTFEKDAYSNPETTHDAGQPSSRNPSLGKDDNVLAVYEYWTNKRSKRGAPLIRIFQGVQQRQGQLSQKTSVKRKRSFKRPRIQAGRGKPEFILQDNAEEEVALQRVAQAEAAAKQAVEKATRLRSRAQSLMENAELATYKSVMALRIAEAARISGSSRDLVSTILD >Et_4A_032951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1617154:1620860:-1 gene:Et_4A_032951 transcript:Et_4A_032951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSRCLLVTGPPGVGKTTLVMRVFETLRASHPHLNIRGFYTREVRESGERVGFEVVTLDGRSGPLASSKVSSPESVRWPTVGKYKVDIASLESLAIPELQVKEETDLFIIDEVGKMELFSSAFFPAVMRVIESNIPVLATIPVPKHGRDIPGVARLRNHPGATVFTLNSGNRDIMRETICNQLCGLLQKSLAKRNDGRACMPAI >Et_1B_011125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17833311:17835952:-1 gene:Et_1B_011125 transcript:Et_1B_011125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQVAEHHVRHGRARLVRAAPHMRREDDVLERHERLGHIGLVRVHVEPRAAEAAADERRDELGLVDVPATADNEREDRNETDPSGEGVIPFILPLSSPEVAVGLRYPAERGDGEADGEVGDVVGQDAGRVGDPDPTLATPCQIDAVDADGVTGDDLETGQGVDERSVGAGDPAGDDGADGRGVGAEELFPTVGRRVPEAEEAETAAELLLQ >Et_1B_013528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9268835:9270093:1 gene:Et_1B_013528 transcript:Et_1B_013528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRLIHYYGAASKSNPISAGIFSERDSDDSAGVENAAFVAIPETTRVYVKSIQEIRGTISQCKAQSKDKQLRMFEVPVIDLRLLAGSRPEEEESARLLDAARRLGCFRVTGHGVPSALQADMGAAARALHELPGDTDDVTPGSGYMAPTARNPLFESLGAYDAAAPADVDAFCALLDAPPSIRETIAAYTGKMRELVLDVAAKLAASLGVADEDGGVPPFRDWPCQFRVNRYNYTEETVGSPGVQAHTDSGFATVLQEDDSIGRLEVADKVTGEFAPVDAPVPGSLLVNIGDIATAWSNGALHNARHRVRCVAAVPRFTIAMFLLGPEDGEEVRAPEALVDERRPRKFRAFSYDEYRRLRRSNLGSACEALAPFEL >Et_7A_052954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3254052:3254826:-1 gene:Et_7A_052954 transcript:Et_7A_052954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHIISACKPHHSQPAAALLPSARPLPRRAAAAAFPGLPVPGRERPHNQINVLPLTACALAGRKGCRELKGTCATSRLVVRRRCQEEGKQEQQQQGGEEEGQRRTFLTLEEAGLVEMSGLSTHERFLCRLTISSLNLLRVISEQEGVPIEELNAGRVCDWFVKDKLKREQNLGTAVLQWDDPGF >Et_5A_040486.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:25260104:25260325:1 gene:Et_5A_040486 transcript:Et_5A_040486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLHEMSNRAKHIGRGYMITIMPTGHLFRIGPKTLLSIDGSETSKGEREERCTAKSLQGGYCRFGGGQGKRK >Et_3A_023854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13489204:13495133:1 gene:Et_3A_023854 transcript:Et_3A_023854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPNMGWKRKIHHGGLSQHEQVGPIHSAEVFAIDEEVSHLTRIKSEPSQRTRASFYTERKKPISTFKLLAGRESNCSGMGRFSSADCSYALRKHLPVKGPWCVDDMDNEVYVSQFSTDGSLLVGGFRGSHIRIYNAEKKWKIHKDITCKRLRWTVSDIALSPDQQYLAYSSLSPTVHIVNVQNAVRESDANITDIHEGLEFSDDDDGFPFGIFSVKFSKDGRELVVGNNNESICIYDLGANKVTERIHAHVADVNVVTFADETNDILYSGSDDGLCKVWDRRCHRRQKPVGVLTGHLDGITFIDSRGDGQYFISNCKDQTIKLWDLRRMSSSAKDCTPKAYEWDYRWNTYPSEARYLKHPYDQSLATFRGHSVLRTLIRCYFSPMHSTGQRYIYTGSSDQCVYIYDVATGNVVEKLKWHGSIIRDCSWHPYLPTLVSSSWDGYLVRWEATEDDKDLSMLKTGKQRMHPEGYTLSFVL >Et_10A_001279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22897906:22903329:-1 gene:Et_10A_001279 transcript:Et_10A_001279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCAVQRWSRRVATMLPWLVVPLLFLWGASQLLLPAAYRFEVTSPRLACVSVLLLTLFWYEILIPRLTRWRNRRSARLREERRAHALELHKLRKTATRRCRNCNNPYRDQNPGGGKFMCSYCGHVSKRPVLDLNSAGKVHRRWPCAQDCDSPYWSELRCPGDNSFLGLSRRLFSSFWVTMRWFISKMFRFASSEDSDSDGKRLAKRGENGGKAEESRVEKAKRKAEEKRLARLEREILEEEERKQREEMAKLVEERRRLRDEKAEAEERSKGATPVGEKDARREADKRRLDRTKKEDKGSSKSNSDCEDMDRRLGREGDRKRDLDRKGDPERREGYKPHHFEANNHSSKVVDSKARYFGRMAGGFLSSSRGFGGGSFFGRSAQAPAPQVNKVSRPVVPATDQSNAVKRDFQPAAPQAAPKSATGEIRNSWTNSNRPVSPNVQPHPTAPKKSWHQLFSRSASVSPCPDVTASPHEMNRKPEPKGAQINNAHNFLSHYPPLDSKPNLSQSIQFPGFPPVKGASSNTTLPRFPAGHMPFYDEAELTVFEESEQFEDPCYDPDAIALLGPVSESLDNFPQDMDCGFTSNDVTKESHPRPSPIESPLSRSRTVEEKPIKPQNSSVTKGPDGSISPQANSEQGTWQMWGTPLVQESLCLQVPQSQWLGPRTHQFSHGPNFLNGGTRSPLGTSLNHSDPWLQKTPIQQLPPDTPSLFLPHDLTGKAVRNDLGFGSPNKSARAHPFGPPGLSWSKEEELLLNGNGLKESDQFCSPRGAHVGGGFFSANPDVQSVWSFNEKEAT >Et_10A_001696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:744962:751162:-1 gene:Et_10A_001696 transcript:Et_10A_001696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVEQPELCFTAQVWDRYGAAPSGIEHLSGLMEVFVRIGDGGATARESRRAAEDSRKSEVMEAAVVSVSQGTMGSLLGKLGDLLTDKYRLLKGVKGEIMFLKAELEHMHAFLKKISDTEEPDEQDKCWAKDVRELSYDMEDSINEFMLRIECKPESKPCGLFRGFIDRSMNLLTIIYTRYEVAKEFHGLKRRVVEVSERRTRYRIDVDPAVSKLNSTTIDRRVLAIHAEKMGLVGIDGPRDELIQLIHEEGVTSDQLKVLSIVGFGGLGKTTLANEIYRKLKSQQILRTILSKAGFEGNNMETREESDLISALRNFLLDKRYFIIIDDIWESSAWGPIQCSLPENRNGSIIITTTRIQSVARVCCSNNSEYVYMMKPLNDEDSRRLFFKRIFDSDSEITCPPYLKEVSADILKNCGGLPLAIITISSLLASQPNKLKVPSWWQYIRELFGLHP >Et_5A_041516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23951458:23954206:-1 gene:Et_5A_041516 transcript:Et_5A_041516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSRGFASQVAKPTEKKIKVPEALYGGTGNYASALFLTAAKANVLDKVESEINTIVEASKQSPMFSQFIKDLSVPKETRVKAITEIFAEAGFSDVTKNFLAVLADNGRLKYIERVSERFVDLTRAHKGEVKVVVRTVIPIPEKEEKELKETLQDILGKDKTILVEQKIDYSIMGGLVIEFGQKVFDMSIKTRAKQMEMFLRQPLEF >Et_3B_030871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8097123:8103801:-1 gene:Et_3B_030871 transcript:Et_3B_030871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEMADAPQPLAAVAEEGDGEAVGSTLTMERVAAAKKFIENHYRAQMKNLQDRKERRFKLERQLASSQVPKEQQINLIKDLERKETEYIRLKRHKICVDDFELLTIIGRGAFGEVRLCREKTSGNIFAMKKLKKSDMVVRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDIMTLLMREDTLTEHVARFYIAETILAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEEEPMGEENLTESMDVDSSVSDTTNGRRWKSQHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYADDPITTCRKIVHWRSHLKFPEDARLSHEARDLICRLLCDVDHRIGSAGADQIKAHPWFRGVAWDKLYEMEAAFKPQVNDELDTQNFMKFEELENPPTRTGSGPSRKTMLNSKDLSFVGYTYKNFDAVKGIKRSDLQRNSSLPRRSIGSIFGPPGMDSPVEPNGRDTHMHTVSSGDPMVP >Et_1B_012211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:323000:324288:1 gene:Et_1B_012211 transcript:Et_1B_012211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLVCVFCLIPLFLIPVVNALPYLFDLILSKVYGLFGWEYRRPERVPPACPYKPAAKKNDEGASESTPLVNPHAAAAGDKKVE >Et_4B_036613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:33232:35917:-1 gene:Et_4B_036613 transcript:Et_4B_036613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQRSRRRRIAGEPPPGLFPARGDLLRLLSVLSIAAAAATVCSLLNRRPQPFCDSLQSLDASDYNDDQDSCKPCPQNGRCVGGELECIQGFKRHGNKCVEDGLLSRTANKISELLQRRICVQHARALCGKPAKVLMHDISDMVDELLSNYSAGLTDDGITLVKSRVLQSLQGLLDTALTVDKAEAFKCPELVVEFHMPLICRIRQWVIRNIVFAATSFVLLAALLCTLWSIYQKRALSNRAEQIYEQVCEILEDNATNGKIGNSECDPWVVASWLRDHLLVPRERKNAFLWKKVEELILEDSRIDQYPKVIKGESKVVYEWQVRKDKTNA >Et_4A_035435.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24715642:24716790:1 gene:Et_4A_035435 transcript:Et_4A_035435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWTGLHEDLLLLVVGCLPALDLLRFRAVCQAWRGAAAAFVARRGQPRPDRPWLLLPTDVAADHDQGRLIACRDSEVPVVTLPARLGRVNPRRFVPLGSARGAIVAADERGKMHLLDLVTGARKHLPPVATLPLVARVETGPAGLQVHRRGGGVGPIDHLILKAVPVPTPGGGVLVLAIYRQHRNQWATARPGDSAWKSVAPTSIPAVVDVVVHRGQLYANTRYGMMYVFPELRGLGRASPEIIPSVTRRPSAYVERSFLVESPRGELMQVELLRPVAAAGGEGFVVRVLDECGETWEETEDIGDAAVLVDATGAVAASTAECPALRPNTVYFAVDLAGETRVWAYSLAGKHKRIEVVEALPTAEGYKPPCFWFAPVYSQP >Et_10B_003459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19988696:19989057:-1 gene:Et_10B_003459 transcript:Et_10B_003459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMGVLALIVVTSVIYDIFNGIDAVLNSEVELGVVGAQVVRHLPCSLEIRGALKADTEGVQLVAPVLGSLGLCQVADGDGCHKRGVEAAREQNTEGDVSHKPLGDSLLECFPESKR >Et_2B_020648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22313818:22315339:-1 gene:Et_2B_020648 transcript:Et_2B_020648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYLDDPSAAKDTPPEGSGFLVVVDEEYKERAASCCGLSWDRRVKGLPFPQSRQLALKHSHSTSSLEAVETGVEFLSAVLECALNASGGGGGGGSGGRASAPTDWVVFVPVVGHSLSSGRYYVVQADGKHTGKVFACSKEEDKSSCCCCTFVSDVKPRPFDSGDAYQQMAVEPTYGGKKRTFRADAVATDGIPPKFLRKKGWSVSISGYKYELADDAQGVDDALRRRTPPNLDDVVVVGKWYVPSIFVRADGALPRIKDQAKKSMFYEMTLEQSWEKIFYQVGNDADGNKKEVAVTATVRRRTASLGGTGLQAGSPRVEGGTVWFRPASSAARAAVGLDKVVWERMEWEAAREGWRVPAGAADGEERIQRVEKHCDAGGMGKWSQFACYVLLERFVLRRMDRSVALACEFRHTNKIRTNWA >Et_9A_062959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7556948:7563311:1 gene:Et_9A_062959 transcript:Et_9A_062959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLVAPLPQSGEGLAQPPPRRHQGRRIAVELDGHIDDRFQHRESHLLLDSFTEVDRMIDASSMHFHPVREGVSAMEFDKKGVYLASVTFSGCLTVHDFETLYCSIYGSSCSLPDDSSNYLLHIPNATPLNTVRWNPGNQDEVACTSSQSEKVFLFDIGYVSSVPTEILHKGKSKFPVLYSESHKSLTDLAFASDDKSRVFASGLDGAVYMWDRRLSKTHCLELAASSESQFNSMKLNTDNRTVFVASKNGTIYAWDLRKGNTSAAFQSHNEVRPLLSVKISTLLEKIASLKEQANIVSSPILSIDFNPSCSYHLAFHLDDGWSGVLNVNNLIVSHLHCPPPAWLDSTDLALQKHVSKPTWLPTSSIYAVGSSSNDGLYLLDFHPDTSSACHVDYSEERRGSEDKQLAENKFIPLSQRVISCAADPLNHTIIAGTQKEVQSRVETPVLQTEVEKDT >Et_8A_057848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8283771:8291891:-1 gene:Et_8A_057848 transcript:Et_8A_057848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRVDLRGLEPGSPGWDEARAAVAASVLAHGCVVVVAGGPAPALREVLFGRVLPELFALPREVKLRNAPGAPPYTGYVSRGVQESVRIDHADDAVNVRAFADLLWPGRDNRLFCEAVSASAREMQRLGSAVVRMVLESLGLPENVAASPHAAVNHMVRLTHYAANGGGGLSLAAHYDPSLTTVLMQHDVEGLELGKVDLRGLEPGAPGWDAARSAVAASMSAHGCVVATAGGPAPALREALFGRVLPDLFALPHDVKLRNAPGAPHTGYFRRGVQESVRIDRADDAAAVHAFADLLWPGRGNPLFCEAVSAAARELLGLESVVVRMVLESLGLPEDVAASPHAAEKHVRLFHYDAQPDATTAATGGGLSVAALEVQAKDGRWIAVPPDRDTYAIIAGELFTVYIYVHDIIHSFMRSIDGQLNFLAHWPVLTNGRVPACLLRVRTPSGRERYLALTSTLPAAGGPVVRPLDGLVDAAHPPLYRPVDFEAYARFKYSDEGLQLGNGTLDAFCRVKDGGDNGEEPQTTTAG >Et_4A_032259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26804431:26805213:-1 gene:Et_4A_032259 transcript:Et_4A_032259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPAGDLDRISALPDDLLHIILTFLGDAPAVTRTALLSRRWRHVWTGAASLTFKDSDVNLQINKSRFADFVDWVLARRGDADMESLKISIRDTQKVPCPSPEQLNEWLRYAARHVVASTDIDRQAVELPSHGGRASSISLFLANYRLRLPAAAVARYDALTELGLFCLHFDEDGGGSNLGDFVASCPRLRLLHISDAKMLRQLVIRSEVLEEFDICFAAELRTLDVPTPKLRVFNLSLCFGSRWIQDD >Et_8B_059468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18205202:18207837:-1 gene:Et_8B_059468 transcript:Et_8B_059468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQPKPGKRLGGMAEALAIAADLGFPAPPPQDDQSSMDKSDDLVKVLRELTVVQRNIANLQVELQGRKDDKNIAHLTHVSEMEKKCESLARITAILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTTSVGDFQWSQNFRESPAVWGEMLRPIPAALASCTRFFEAMSAMRESFSTLQKLRVGHSSLSMTPGGSSDDSKFLTPPPQWREGAMLDSWKQVDDINPESDGLNGIDHRRLSWPSSLKGDP >Et_3A_024603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22574737:22583089:1 gene:Et_3A_024603 transcript:Et_3A_024603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVSVAAEWDLLSDRFYRRITLYSPLPWSAPAIGATSSFSGGGGAVIGRLDLSTHIVAAAPFGGPIAAVRDDSKIVQLHSEPSRRRLLLFTSSGHPIASAPWPPLLPRLHSLSFSSSLSLVALLSDGSLLRFRLPDLQPTPSSSPVPLLPPASGGVADAVFWGGGVAVLTEDNRVVVATDIEAADPHPRVLADPGVGDEEQVLCMAVVEPQFVMSGSPEAYDNYQLISSSLPEAIEACIDAAGHEFDASRQRTLLRAATYGLAFCSRFPHERFQEMCKTLRVLNAVRDPEIGMPLTIQQYKLLTAPVLIGRLINANKHLLALRISEYLNLNPEVVIMHWACEKITASNTIPDTVLLEGLLDKLRFCKGISYAAVAAHADNSGRRRLAAMLIPLLLSIDEQEKALSKAIESGDTDLVYLVLFHIWQKVAIEKNAPLDFFGLINARPLARELFMAYARHSKHEALKDFFLSTGRLQESRELEKNPMASKGSPLHGPQIRLIEQAHRLFAETKEHVFESKASEEHAKLLRSQHDLEVSTKQAIFVGSSVSDTIKTCIAMGNERAALKVKSEFKVPDKRWYWLKTCYKPFVEACIDAGQKTEALKYIPKLADPRERSEAYARMGMAKEAADAASQAKDSDELFGRLKLTLAQNSTATSIFDTLRDRLSFQGAY >Et_3B_028777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19036836:19043155:1 gene:Et_3B_028777 transcript:Et_3B_028777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPEMDALLQIADMHSSPSPPSSPVLPSAHENSPTTAPTPEPAHPDHTTPTPPPPPQHYTPESSDLGESSLPTDGGDLAPSLPPSVAAPSASPALEAASPEKPASPPFCPPDAPVELFPDVSASAALPPPSPQIGEEPSEDAALLLSPPPTPPATTSPRAALESSTTEIAAMASQEAAPPPAASELDHNSAPAPLTPPLESGPEGLSLQQHRRPAGPEMALQVCENMEPAQPPPPQSGSTGVLSDTAADDAVAVASAEAAVSLPTLEAMDGEKDVVPDDVPPDLESEAEGVFPQQQLQPPHPEMAPPGWQNSEPAESQMPPAPAESTYCSLDAVVNEVTSVASEEAAGSPAALDSTGGEVALESQPEGPLQEPMQPSSSPKMEAEPSSPDMAPPGFENFKSKWLPLPAPTLPVESAHFSIEASATDTASGVPEGSAGSLPAMEALAMEMDISPGQLPLSKSAEEGLLYKPLKVSSSLPIEAAPCSPDMPPPGFENFKLSWVPQPTISCSAETTSLPDVLTTKEALVEKSCSMPAQETTDMETDTARNLLPTFERRAGGPQPTIPHSAETTYSLDVAANEELLEKACSMPAQETTDMETDAARNLLPLLESGAGGLLQVLLPTSPSPKMQTAPCSPHMPPGFENLTLPLPAMEALAMEMEISPGQLPSSKSAEEGPLHQPLKVSSSLPIEAAPCSPDTPPPGFENFKLSWVPKSTIPCSAETTYSPDVPTTKEALVKKACSIPAQETTDKETDTARNLLRTFERGAEDPQPDVPTYSPDVPTTKDELVEKACSMPTQDTTDMETDTTQNLLPALESGAGGLLQVPLPTSSSPKMQTAPCSPHMPPGFENLTLPQLQIPSPLAQTSHISQDSAATGAVCLTSEDTPQPSSALEAVDVEMDCAPSLPTSLENGAQESTQQEQSRLPSFSVQVTTCSLEMVPSGSDNLKSLADGPDALAGEVITTVTVESMSHPLSVAEETGDTKGHILPPVLENGCEGPLPCLELKVSSPVAQADPSSPEVAHTDFESLETSQPTSPCLAERIDSTSLVPVTKSLTVKPEKTAKPLSPSQATDTDMENATVLHSPLNSEEKSLPQPMHQPSPSGKDVPCSPDIAPPGYENLDSSEELPPPPPLCPNFGQHPFVCLHFFYKINSVCVDLICFSSHLSCYGLARLGLNISVSGCQWIIPFLENGSQMKEMGQMVCGCCRDLIAYPRGATHVQCFGCWTINLVLEAHQVGKVYCGQCETLLMYPFGAPAVRCSTCLFVTEIGERNVRSRMSTEQGTLPPPQELGQATQA >Et_1A_006656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25821159:25821842:1 gene:Et_1A_006656 transcript:Et_1A_006656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKGNLCCARRVCRRPSHASVRAAACIEYTEKQPGRVLGLCSIPQKQSTDPCIFAVVSGCKPRAPKRLPPQLPASEAPSRRR >Et_3B_029548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25951124:25956225:-1 gene:Et_3B_029548 transcript:Et_3B_029548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAQPVPLLVATIVSVSFSTAAAFNSSTSSHPSHHPNLLDEVSRWRERYMAVADQASGPGGGAAHGVGLNTVAAWVLSFFAAAVSSAGGVGGGSLFLPILNIVAGLSLKRATTYSSFMVTGGAASNVLYNLIRTGGVGRLIDYDIALLFQPCLLLGVSIGVVCNLMFPEWLITALFSLFLAFCTYKTWRAGVKIWRAEGGGGGGNKEPLISRDGSLVESSLGDGAGIKPCGVAYWLITLSQLPFAVAFTWYIIYAKSKKQAVHDQEDAKENLMDTGVETLPSLTFPLAAFVTGALSGLFGIGGGLLLNPVLLQIGIPPQWVDMAVLVVVWLCFFLVHLVVGGKGAKGVADIKPCGVAYWLVTVAQVPIAVAFTACIVYQKRKSSHTKNGLLIVDEAVSVKKSRLHALPAYVFPVAALLTGVMSGLFGIGGGLLLNPVFFHIGTSSATTMFMILFSSSMSTVQFIILGVSGIVNAVVYATVCFVASVAGLVVIEGAIRRSGRVSLIVFAVATIMALSAAVIACSGAARVWAQYTSGQDMGFKLPC >Et_4B_038074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25988065:25991099:1 gene:Et_4B_038074 transcript:Et_4B_038074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIKVGNLDARATKIRNVPIAVTPEGFWCCPSQAVLHKTVKNQNQQAKPKGVASPPVSKASSIQRAPTISSERRTHSTPSRSKINSEEQRCVLAENAPTNPPKAANEKPQKQHKISVGFGQLEMSDLKVLLYGKDGVAVKMSVHKNILAENSTFFADKLARQSPISSIEVPDCEDVETFVETVGLMYCSDVKQRLIKQSVSRVLRILKAAESLGFRSCIMSCLDYLEAVPWIGEEEENVVLSVRNLHTENYGVSPVLKRVASDLTISPNDTFAQIIELVLKSNEDRGRREMKSMVLRLLKENSASCTSNSSDLCAETLYRSCRNCLESLSAMFRQASDNDFAEQSPATKEPVFRQIALEADNLLWLTEMLADRNAADEFASMWASQRELAELHAKLPVKSRHLVSCVTARLLVAIGKGEMLPSKDTRQLLLDVWLQPLMDDYNWLQHGCRSFDRKVVEEGIGRTILTLPLEDQQTILLSWLGSFLKVGDSCPNLQKAFEVWWRRTFIRPYAAEKQGNQSQSDEL >Et_10B_004477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8820907:8823599:1 gene:Et_10B_004477 transcript:Et_10B_004477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLQHPWAFAFGLLGNVISFMTFLAPIPTFYRIYKTKSTEGFQSVPYVVALFSAMLWIFYALIKTGEGFLITINAAGCVIESIYIIMYFVYAPKKGKLFTAKIMALLNVGVFGLILLTTLLLFKGDKRVVMLGWICVGFSVSVFVAPLSIIKRVIQTRSVEYMPFSLSLSLTLSAVVWFLYGLLIKDKYVALPNVLGFTFGIVQMGLYMFYMNKTPLIAEGKEGSKLSAAKVEHIVVNVAKLSPALPEKSCEVHPVTEMSIPRKSCATDAAPANRDPFVTHGPGVTSSIVPRRICAAAEVEQANRDVADLFDTHSLTVLQKLMRKEKEVVPCQQCNVGMISNSLVCTPKAATTVHGNRSPVAGADDIIT >Et_9A_063349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21041406:21046065:1 gene:Et_9A_063349 transcript:Et_9A_063349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDGAVKDQESSRDPEASAAVSEAPSAAAAAEAVVRARPERPAHDKRLGVRHPLKHRRFRAGGKMMVEPGGVLSADAVVEGEEEEETSEVEEEEEETTSAETEMSSADVEVPSSPAPVVPVVEEMEVEGGEVELSPEAALIVGESELEAQPDEDDEASSMAGAQGESKQEPTPAASVPVSEPPKKDEDKEREEKRKKHREILARRERVDEVGYMSGGWKSPDGSLSCGYSSFRGKRASMEDFYDIKSSKIDDKQISLFGIFDGHGGSRAAEYLKEHLFENLMKHPEFMTDTKLAISETYNKTDSEFLDAERTSHRDDGSTASTAVLMGDHLYVANVGDSRAVISKAGKAIALSEDHKPNRSDERQRIESAGGIVMWAGTWRVGGVLAMSRAFGNRLLKQFVIADPEIQEQEINDELEFLIIASDGLWDVVPNEDAVSLVRMEEEPEAAARKLTETAFSRGSGDNITCIVVKFHHDKMDSDSPPLGDKS >Et_5B_044283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22287171:22288768:1 gene:Et_5B_044283 transcript:Et_5B_044283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFARAKAVRLKSHHGKFLYADEDEEKVTQDRDGASPNARWTVEPVPHSSPPGAVRLRSRYGRYLAASNEPFLRGVAGHKVRQTAPPAPARLPDSSVEWEPVRVDGSRARLRTRYGNFLRANGGLPPWRNSVTHDEPPRVNTQDVLWEVEIVEVRTPGPLERAEPAAPPPEVSGPSKPCAATKPPGQEALSKLESSNSFSAPLHKVEGRAIHYQIADDLGNVEDDKEGSSFTFNGSSLEELTHKLQELTGLDDIIICTRSPINGKLIPLRLHLPPNNAAMRIVLVRESSEGKLII >Et_8A_056083.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17763078:17764643:1 gene:Et_8A_056083 transcript:Et_8A_056083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKRSKAAAAGFPDDPLVEILSRVPAKPCVSKAWCDLIVSRLRGNRSPQTLEGFFFGSGGGDDNFGSFASLSGRPAPFVDPSFSFLKKIPGIEGLVLWSSHSWLLLFGHLRDHGTYGYIVCNPATEQWVAVPSSGWTVSPPAKKQWDYQEDFTRDAHHFLVFDPAISSHFHLVQVGHHRVSMVVEAVVIYSSETGVWTNNTHAWMQYGETWTGAVDVVKSKLGSAYLNGRLHVIIYSCYSFQRVKDQIVAVDLRRQPCKKIRWPETKEFSVPAFIGQSRGLLHCISGHRKIEEGRYYITGLLIWVLEDYDAKQWVLKQSVSCFQLFGEMSCRIDELDVVAIHPGRSLVFIVHGYNQRLISYDMDTMEVCSLQALGQNCRSVVSYVPYFAKLPTLVPRHTQDPLVKLELGDTSSWCWKEGLLLSTTYAS >Et_9A_061992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18678353:18680478:1 gene:Et_9A_061992 transcript:Et_9A_061992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSYSLCRRQLSGETMEKETRAATARVLVLLSQQHRLHGGVGSSVQRGGRLFQCKTCGRRFPTFQALGGHRASHRRPGGPYDLGRRKLEAECAAGPRTHGCPVCGVEFAVGQALGGHMRRHRAAAARGSRAVTPEACISESTASAKADDDGGDCVDGICLELNLTPSASCAKCQNRAGLGATEPGAHNAPRPGIYEPIDVRDNFVMGISEDEMQAFLCKIHKIYGGLITMLGEEEEEEEEELLLNNGSFCFGVMDPATNILVNSGLTNGMQGVSPPSWGNNAEETVLDMSKRSFDGLIAFLARLFPYLPAAEAVRFLDAADADPLVASCFIVSRRGMMRDFDVCSSATAAAVEAALLCAAVAAKHPDPEELVRRWKMISPELDKIESRIAFTGTTRTRFELSKPWLSARRRHGTLVNRMHPAVDDALPPSRGAVKRMLLARIHGFYLQVLARLPTGDPSRYYRSMLMGGFCYGPLDPVTNIIINTVWFELNFPVSSNPTPPHIMISTDSLWRAASRSLYGLISFLCTRYPRLTP >Et_4A_035161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10141680:10144180:1 gene:Et_4A_035161 transcript:Et_4A_035161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVSLLDAHLSRCRSARHLLQIHAQFLASGLLADAFAASRLLLFTTSPAAARLLPRPLHHSLRLLRLVREPNAFACNTLIRAALRQGQPHLCLPLYASMPAAPDAYTHPLLAAACAARGDAGEGRQVHGRAFRHGFGDNLYVVNSLVHMYSACGCVEDARRVFDAGPVWDAVSWNTILAAYVRDGDVDQAVEVFSRMPERSAVAVSSMVAMFGRKGMVDEARRVFARAEFRDAFTWTAMISCLERNDMFVEALGVFSDMRGEGWPVDEALMVSVVAACAGSEVIQNGKVCHGLIIRAGLVSRLNVQNALIHMYSCCLDVVAARKLFDSGDCLDHFSWNSMIAGYLKNGRVEDAKVLFSAMPDKDTVSWSTMISGCVQNNQSLEALTVFDSMRAHGIKPDEVTLVSVISACTNLSALEKGKSVHEYIRQHQYNITVVLGTSLIDMYMKCGCLDAALKVFNMVEEKGAPCWNAVIVGLAMNGLVTKSLDMFSEMEASGTAVPNEITFTGVLSACRHAGLVEEGRHFFKLMQNKYQIVPNIRHYGCMVDLLGRAGYVREAEDLIESMPMSPDVPAWGALLGACWKHGDSEVGERVGRKLVELDPHHDGFQTMLSNIYASEGMWQCVKDQLGLPLGRDKVADWPGPPNFGGLQFATH >Et_3B_030152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3089627:3092271:1 gene:Et_3B_030152 transcript:Et_3B_030152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKIILAAICIASFYLSASLAFPGPHEGAYPVGNSGLSPDYYKHTCPQADEIVVSILKKAIVKEQRVAASLLRLLFHDCFVQGCDASVLLDDTEEVVSEKNAIPNKNSIRGFEVIDEIKAALEEACPHTVSCADTIALAARASTVLSGGPYWELPLGRRDSKTANMKLANKNLPPPNATLHRLVKFFQRQGLDKVDLVALSGSHTIGMARCVSFKQRLYNQHRDNKPDITLEKGFYYTLASTCPRTGGDNNLRPLEFVSPSKFDNSYYKLILEGKGLLNSDQVLWTGRDPEIADLVRNYAENESLFFEHYVNSIIKMGNTSPLVGSNGEIRKNCRRSSILTASRKADKMAIPGSASKPY >Et_10A_002236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5103922:5109697:-1 gene:Et_10A_002236 transcript:Et_10A_002236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTDTFAEDNAAESCRMRALKVQYSSDSCNGGDATSGSIGRLSELFWPIQVHTSGLIVQALECGRWSESVELELDRLHVDLDPFVVNRVLRGLSDSETAVQFYWWAESRPGFDHTQFAIAYILSLLFMDGNFALLSEFLERVRSQGLALHRSIYRILLSGYVRAEKFDSVIQTFDEMVTSGCREFGVDYNRRFAEAVGLWDEMVGRGIKPDTVACGALIFGLCKNGKVDEAFELTSRMLSLNLELNVSIYNALISGFWRAGSIDKAFKIVSFMQQNGCEPDVITYNILLNHYCETGMVEKAEKLIRKMEMSGVNPDRYSYNQLLKGLCKAHRLDKAFAFVSDHMEVGGFCDVVSCNILIDAFCKAKKVTSALELFKEMGYKGIQADNVTYGTLINGLYTVGYSNLAEELFEKMLKSQIVPNVNLYNIMLHNLCKAGHFKQAQRIFCQMIEKEVLPDIITFNTLIYWLGKSSRAIEALDLFRDMRARGIEPDNLTFRYLISGLLEEGKATMAYEAWEYMMENGIVLDRDVSERLISMLKSKNRRLHQPQAATIREIDAVTGLDQNKNQKSIVAKERAPVHLKIGIVKKPIAASLNSGALDLRDDPSFLKEEAKDDRKRRALMGCQVRVPVFEACAGTRLASSVE >Et_1B_010531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11311310:11313920:1 gene:Et_1B_010531 transcript:Et_1B_010531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDRARSDANAPGAAAYPAASASMEAALLLEPKLEDEAVALPLSSPGPFVPLDQLMPGPEPPPRPLEALLQGPQLPPFLSKTYDLVCEPALDGVISWGAAGNSFVVWDPSTFARDVLPHHFKHNNFSSFVRQLNTYGFRKVHADRWEFAHEDFLRDSKHLLKRIVRRRSSPTQQSSIQPASSSGESGLDPELQTLRREKSALLQEVARLKQEHRQTIEHMSTLNERLESAEDRQKQMISFLAKLLQSPTFVRQLKLHRDQKGIDSTRVKRKFLKHVPHGSIESGDSSSQHTGESASHFPASSPMAVQDDIADLQNFLLEEDDLNFGVNPENIGLEGVEASEDIGALVQGFNTQNELAFGSGAELLEMPPSSAPLGQDPTIGRHKGKNVLCPGLDATSSEANCLGSLSENMGVLSGTMLETAADDEQMWGIDASAALQSSCSGSSQQAYSSLASDPYLMDIANRPKKFWDLEFQALDEGDLQLDKCAIDDPTLQQQQQQRNMKKP >Et_1B_010452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10764743:10767561:-1 gene:Et_1B_010452 transcript:Et_1B_010452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEGSQGHQGSDASNDHGSALPPAASTDTVVSPGENDSNNSGSLTGGGIPVADKDDAAGKGKDVVDVTIVKKEPAAGSGSAGTGSSSHSGSGQSKGTRSAAEVVAADDTDDGTSKQGGSKRKAAGALRDVVAQNGGEEQASPHIITERERRKRMKNMYADLHRLLVPRIPEKVKIDMARIADKATIVGEAVSFIKLLQGTVAQLEKRKQERALARHVAAAASSSAAAPQNAALGRAAAVLPPDLPQGWSWLPKRPQQPASAAAAAPAPPARFQTWSGMNVVLSVSNDDAYISVCAPRRPGVLLLVFSVLAKHRIDVVTAQVASDAGRSMFSIHTRVSSCSIDPPTLLLGFVPRCLHAASTCLIYVQESLSTEGTKSRCDESQSERAIFSTSYHANTLKFSSCKKQVNAEAIQQLGGEAPASEDIYKLAVSEIMVWLSS >Et_2B_020724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2328994:2334865:-1 gene:Et_2B_020724 transcript:Et_2B_020724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPAVGAACLLAPGHPGRKADRSRASSSATASARLPRRVVRGRCVAELSREGPAPRPPAPAQLAPPVVPGVLAPPRTEPAREPASTPPPIPDDLGLQPEGIAEDPISSTVVVASEDEAENLVVEEQTKAEVTHNIVFVTGEASPYAKSGGLGDVCGSLPVALAARGHRVMVVMPRYLNGTNDKNYANAFYTAKHIKIPCFGGEHEVTFFHEYRDSVDWVFVDHPSYHRPGNLYGDKFGAFGDNQFRYTLLCYAACEAPLILELGGYIYGQNCMFVVNDWHASLVPVLLAAKYRPYGVYKDARSILVIHNLAHQGVEPASTYPDLGLPPEWYGALEWVFPEWARRHALDKGEAVNFLKGAVVTADRIVTVSKGYSWEVTTAEGGQGLDELLSSRKSVLNGIVNGIDINDWNPATDKFIPCHYSVDDLSGKAECKAALQKELGLPIRPDVPLIGFIGRLDYQKGIDLIKQIIPDLMHDDVQFVMLGSGDPDFEAWMRSTESYFKDKFRGWVGFSVPVSHRITAGCDILLMPSRFEPCGLNQLYAMHYGTVPVVHGTGGLRDTVENFNPFGDKGEQGTGWAFAPLTTENMLWTLRTAISTYREHKSSWEGLMKRGMTKDFTWDHAAEQYEQIFQWAFIDKPYVK >Et_4A_033362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21977708:21978554:-1 gene:Et_4A_033362 transcript:Et_4A_033362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEPTVIKLFRDATYSLATAPGRIDKWFNGTSIGDAFPALTQAVDPKVQRTRKVSEGLPDRRWMQDITGGLTTQWRRSISQQQRRGFDSLLENWRALSAFNSPLIGVVYILGSLVVPTSLVADFDHTYSNRSPCYL >Et_9A_062258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21123615:21126297:-1 gene:Et_9A_062258 transcript:Et_9A_062258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICSCEETINEFEVLTRDAGRVQRDTLKKILELNADAEYLKHFGLGGRTDVESYKSCIPLCVHSDLEPYIQRIVDGDRSPVLTGKPITSLSLSSGTTQGKPKFLPFNDELLETTLQIFRTSYAFRNREYPIGKGKALQFIYGSKQMVTKGGILATTATTNLYRSQRYKEGMKGIQSQCCSPDEVILGPDFHQALYCHLLCGLICSNEVHHVFSTFAHSLVHAFHTFEEVWEDICADIRDGVLSKRITVPSIREAVSKMLKPNPELADSIYKKCMGLSNWYGVIPALWPNAKYVYGIMTGSMEPYLKKLRHYAGHLPLISADYGASEGWVGANINPTMPPEQVTYAVLPHTESEPVGLTEVEVDKIYEVVISTFGGLYRYRLGDIVKIAGFHNSTPELQFICRRSLVLSINIDKNTEKDLQLAVEEAAKLLEGEKLEIVDFTSYVEKSSDPGRYVIFWELSSDASDEVLSNCANCLDLAFVDAGYMGSRKIKTIGPLELRILKKGTFKEILDHFLSLGGAVSQFKAPRFVNSSNIKVLEILSRNTTNSYFSTAYGL >Et_10A_001815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8690423:8694422:-1 gene:Et_10A_001815 transcript:Et_10A_001815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGVHPPRAAESEVQVAESEVQVAESDLAEHGVAGVVEVAALERPPGALQHRRRPRVAPRRHHVRRHHLLPVLVVRIITGLPAAPPGHTGADCLGVGAGVTALTGTATAACSPPSARSEDRPKLCGTVCARRLARGGGALATGGAAQPSSSLPPAGHTTSRSSSSNDGAPGNDSGCFRRPRNRSVPGDYGIAIGTRSIDEAMPSAPRPGRWGEGGGAAASRLGREAARRRWLRGFQRRRSEMGDGDRATINEEDGRGKRPHTQRTLVAAVVQLMLCSHFTFFGPNRIYLSSRSDEVVTSCEKLHQLQGPFVTLVVEYGLKRCTMVQ >Et_5A_042849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5560746:5563354:-1 gene:Et_5A_042849 transcript:Et_5A_042849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGRPEIRRSTEKLQATLLQTITAVLLGQRKDVVPVRGDEQLLVHVDVVLLFLLHLRQRVRVSEAQQRPEHRRLDALHAHSRGGVAVAGAPGQELRADDGGSGGQDAPVRAERLAAGLEHHVGAPPALEKIAEMLVQLRRRNGDQVSLGRRRLRAELVEDDDVAPDRQNFGHPRKPWLSKVTTLLIVEIAFFFLLMKNMSLFSTVRDDGGGGPLRLAAARSERHGEPCPTPARLARCRGLCLDEGFVQSGVSVWKNWGSGRGEDGGRRVVGWRLDFASEMGLGSLCMGLRPWASALGLSGQFLLEFTAAQDNFLLAKRMRI >Et_7A_050869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11886206:11888349:1 gene:Et_7A_050869 transcript:Et_7A_050869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GTMNRLASTALRGLVRSCRAPTTAAVSRPAFQQLMNYSSGHGNDPNANADRGASRMAADPDTHQDFEPKSKTSDMSLHDIVAQDIKENPVLIYMKGFPESPMCGFSALAVKVLKQYDVPISSRDILGDLKLKESVKAHTNWPTFPQIFIKGERVNLRIYSVMLYKKMDKMQANES >Et_1B_011993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27931672:27947235:1 gene:Et_1B_011993 transcript:Et_1B_011993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLALSCSVFGGAFASPLDRASQFAVKMANTSRGSEGKALVQYHDRIVDADASKYRFQILLPNGLSIKLALLNPAEEMPVREFLLLVKKELSNARISGAGPAGRPREINWGDRIYLTDLLDRRIKNKIKFSNYDTKSSNILRLHNMWDLTPQTDLLQELPAEYSTESALVDLIDNALQALWSNEEKERKLIRITVDKEKIVIFDTGRGMDGSDGNSISKWGTMGSSNHRAFRDKGIGGRAPYLLPFFGLFGYGGTIASMHLGRMAIVSSKTKESRKVFTLNLSREALLKKPSSNNSKTSWKTAGGVRDPTEEEILLSPHQSFTQYDEDNKSMNTRNPVEFEVNGLNLAEIQEGEVTITNLHSSNGPDFILQLKLSQTTSASCQAHARLKCVYFPIIKGKESINIILEKLRNDGYETKEDFDNFSRVSVRRLGRLLPDARWGPLPFMEPKYRKGQKAEFFKRCCKRVKCFVETDAGFYPTLSKTDLAQHNPFTKALRCLGSSYIDNSSAREVKVEVCDKNGKLLSNNQLEKQYYDWIKKMHEKYDVEMDGGNDEPTFIINPSCKERLGISKNVEVIRVHTSISRKGKTWKRGDRLKIFSGAFGQTKSKFCSMKNNFYGTLEYIVVEGLEEDVKFCKLSRPMDCADSQGCVLDESEGYPKISLQESVSFPCEIIDDDFWNQTLKRKKEKAPAWIEVIRNLEGNAHGIGGDIPFEGAVVAGYQPPHDIIAVMRPGNFTTRSTGLEQKYIVKDDELEMVMEILTRSKDCPAELIDRRVNKPSFHNGLNGLYIFPLREASRIFTRSGVYVIGWPVRCLSVKSVDTHGNRIPFLGTSSVIITILHGDDVIAHVDGAKVKLSSDSLTLNIMEFLVKTSKLDVLRPVYEAQLKISSYDNEFSAICPCKVKPGHPSTIKLDLSLCSEESVIPGQVIDNALLEVFDHCGNHVEEGIELNVHVDGFSFLDKLGPVRKVNGEGFINLCGALTVVGSFGSQACMTISHNEKKIFSNIFQIAMRELKAVFPECCPAGSVLENIVFMVSDSDGLVDESIDGPLHTLKIISDGLPLLEGAQYAIEHGKCVVSHVQLPNKPGTVTILACHTHYPDLQTTIQLQLSSVDLALMSLTDEHEPILSHPISFIANSDIFPPSPPSHIVTYIQGVVEKTSNEIQDTCSKIGSTEKALKTLYSRKESLEEELSTLKDEIGSKVGSFVDAKELIRHKIMETMGTAAYVLCSSERFMHDVIGIVALLGTVADNKISRMLGTYLGKDGMLAVVCKTLDAANCIEKYKTNGTVDLGFGIHHEAASLGAPIKRRFPIICLDAIEPYKGDLVWNSPQKELALALPFPDSKQPNGYIGFAVNMINLSVEDLSITTSGYGLRETLFYSLFGELQVYETRNDMLQAIPYLKGGAISLDGGVIKGKGKGKLLLGYGLVFLCLSVPTAFFFVIKFLLYTITQYHLTSRNKISLWIVLSFLSEPKITFPVVTPSPDTLDVSDAPRGMVNTIRQIDAKEKLLAEIRKTITKKEKDRQELLEKHEKKKRKFDQISDVIQPCSALLDQCTPVQAREKWTSVQTPQKFDCKQERDDMDMGTSSSC >Et_4A_033797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2721453:2725186:1 gene:Et_4A_033797 transcript:Et_4A_033797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSADSHVAVAGAGTPPSDSPGAGKKVGGAAVWNLPGSAAVPVVVEAGNPIMDADSWPALPGLASPSPPPAAAAKASPKAAPPPSTGAVMSPVSLGNPGALDANPDNEAPVRSPVVRRVLVMPAGDALEKSAPSPEQSPVYVPNARSNGSDHHHNGRFNSHPHGRGGSYGGGNRRGNGGGGGRRGHDHHGGFDGQRRGGGRRDGHHQQRGHQSTYIRAPPPLAVVAGAPPPPPPFVGPATPQTPPYGPPIGFPDIPQHVYYFPAPPTEGIQGLPFVHHPASPQAILIDPVRKELLEQIEYYFSDDNLCKDIFLRQHMDDQGWVPLSLIAGFRQVKKLTNNIQFVLETIMLSTTVEVQGDKMRRRGTWENWLLPKYSSGTSDSTSPVASSINSLASHFQYTRLEGAYYTNLQALPHEALLTRSTTSGSLDYALHNDGSGSFFVPKSAKSLLRSDTF >Et_8B_060721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5550492:5553532:-1 gene:Et_8B_060721 transcript:Et_8B_060721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYEKIPDDDHRLIHYAGDGFLIGGSAGSAYHFIRAFRRGGGLAAGVRAVGANGPRIGGAFAAYHAVFCAFETAISRARGGDDFWGSVAAGAACVSTIHCRGGVPNVARNAVAGCAIGAFVHGGVWAISELHSKMMMYRDSSRRRKANPTVEVCRPFPAVVSEQFALLFSHCPCPMVQGCMWLALFLTGDYLNGVLVYEFIDHVS >Et_4B_038591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3154810:3158126:1 gene:Et_4B_038591 transcript:Et_4B_038591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSPSGSMCAAAAAAAPAEQKKTLWVWTENRQVMTAAVERGWSTFLFGSKDLGKDWSSTARINPLFIDGLGIFDGENKKVATISQVSSPRELELVQPDNVEADNIVIDFRGGWQVIPAENIVAAFQGCRGAVLAVLTNSAEAQVFLEALEQGLDGVVLKVDDMDDIIKLKDYFDRRNEAKSQLQLTKATVSKVEVVGIGDRVCVDLCSIMRPGEGLLVGSYARGMFLVHSECLETNYIASRPFRVNAGPVHAYVTVPGGKTSYLSELRSGKEVVVVDQNGLWRTAIVGRVKIESRPLILVEAKDNSGDDTYSIFLQNAETVALITPGRGSSGRTAIPVTSLNVGDEVLVQKQGGARHTGIEIQEFIVEK >Et_10A_001908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10791567:10795641:1 gene:Et_10A_001908 transcript:Et_10A_001908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTALGAMAKPGQADRLLNAGPIQVQYTRVQCHWHGAHIALVVDGGSNANYLVVAVEFEAGDGDLRAVELKQSGGAGSAWQPMQQSWGAVWKYNSVGGRLRAPISIRLTSSSGRTLVANNVIPADEARRRVPIGHCLNWSNRWLLVPSNTVVQSDEARSAITFECSTTRA >Et_4A_034578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4454328:4458193:-1 gene:Et_4A_034578 transcript:Et_4A_034578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRDSAAAAAAMSIPAPSVPDAIASLHTYSTALAAFTAAWRAVESDAVSIDSTLASRLAGYSEFELLCSVMDGAGLRAYLIEHRDELKEPAQALDPALLVAPDPGLLVLSAATGFCRAPPEESKSEGDVKVSCRLLIALLDRLRAIGVKPSPEARAEAKAVAADWKRGKRIGTEVMFKQETFAFLLLVGVFGLVEDVGGAGEMLDLVVSISSRERAVDAFVGLGLDLDQHMPVLIQKMIHKSKQLEAVKFIQALNLVHKYPLLPVLRSYISAAALAGKMIRIRGDDPASQNAADAKERTLLGTLQKFIEEHNLEELPILEEANKRLAQLEQQNTERKRAAAAAAAAAQKVSENIQQQQKLQQLMQPAKRPKPDNVVRASSGQSIHTAGGPNQYQTALTQNVVPAVAQIPQLLVGSHRPIGTHSQAPVVPVVRTQYGGLADFYGMTPSRPYGSSSLTPGPRAQNVQNARTSSRSKLYSGDPLAAVSRSSDKKGSSYSYSLSNMSTYDPK >Et_1B_013683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1201163:1202748:1 gene:Et_1B_013683 transcript:Et_1B_013683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDPLFFSFSARVIWLLRIAVLRFGSLQVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEKGQRIT >Et_3B_028861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19945332:19948134:-1 gene:Et_3B_028861 transcript:Et_3B_028861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHYFVVYLNSVQAKALAEKNMRDMLAQREQAERHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYILGADSGWQSVPLTDLITAAGVKKAYRRATLCVHPDKVQQRGATIRQKYICEKVFDLLKAPISAPATRFTESQNSKLLLLCACLSADRRVVLPESRSRRLARRTHGAVASSRGERDQALLPAGPDGGVEHVLHGVPKPEPVVEELLGREVHRGEVVVDPVELLGDVVLGVPVPEHGGEAVDGGVVGGADVAAGGVRVLEEVDLGVAEVVEVHELHLLEDRVVGLVPRDERQRGDEAGRHGRAEERADHERRDDADEVQAVLRRVRHGRVLCQGLGHEVHLRRLS >Et_2A_016434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24756738:24760478:-1 gene:Et_2A_016434 transcript:Et_2A_016434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPPAPPRILLAGDVHGRLHQLFKRVKSVNQSTGPFHALLCVGQFFSPDADADGDVADYIEGRAAVPIPTYFTGDYGPAAPRLLSKAAAAARGFSPDGIEICPNLFWLRGSNRFTLHGLSVVYLSGKKEPGGPGCYSQDDVDALRALAEEPAGVVNGADTSNVPNQVLDPHGYDPVVAELVVEIKPRYHIAGTKGVFYSREPYLNDSAAHVTRFIGLANVGNKEKQKFIHAISPTPASTMSSADINARPPNGTLSPYIAPVKSVPSEEATKRPAENNDAQYWRYDVKRQRQGEAEESRLCFKFTSTGSCPRGSKCHFRHDEEAMEHYSRNVCFDFLNKGKCERGPECKFAHDLSGESAAKDARPRSERRRVESSCWFCLSSPDVESHLVISIGEGYYCALAKGPLVPNHVLMIPVEHCPNTLMMAPEAEAELGRYKNALGKYFEKQGNTAVYFEWVSQQTRHANLQAVPVPSSKADAVKKIFHLAAKRLGFEFSVVNPDGDATQGRELLRSQCEGKSSLFYVELPEGRILLHKIDGTEKFPAQFGREVLAGLLSMADRADWRNCKLSKEEEIQMVDDFKRGFCEFDPAE >Et_3A_026232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5859686:5863063:-1 gene:Et_3A_026232 transcript:Et_3A_026232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAASAVGWLLSPLLQSLSDRLRSYADDLLRYLPEGSASADLDRLSGHLLCLHAASSSIERARRHPCDPTLLAWLNRLHDSAHDADDLLDEIHYGRLADAVNGPRPDLGRILDTSRVICNRLVFSDQPLNRLPAVLDKLATALADYAWIAPIVERDAAESPKRGNMLARHSSSIVPADDAFFGRERELALLIERLVGCDGSAPVGNHGVPIVAIVGDGGIGKTKLVQMAFNHSIIQGHFDPLMWVCVSSHVDDVKLTREILQAATDWKVDYDGAIDFNGLQNMLVSAVEGRRFLLILDDVWDDKEGSRWENTERWRKLLVPLQKGKQESRIVVTTRMGMVANMLGVRSPMMLGGLGDEEHWLLLKKCALGSDNSRQYPHLQEIGKKIALKLKGSPLGARVIGGILCSTRSARDNSLPQLKKQCDFKRLRTLVVYKDASISSSTIPEDLFAEVKNVRTLDLSGCLISELPESIGQLIHLRYMALPGSIKMLPESVSMLLHLQTLDIPKKCQLDKFPEGMHQLVSLRHLSVDSQYISRIRGIGSLVKLQGSLKYHVKKEEGQTLEELKDMNDLHGLLHIKNLENVQCKEEACNAQLSNKRYLKILKLEWNSAGFAFGPNLDAEVLECLQPNKNLEELHIRRYKGASSPSWLEMKVLSQLKSIYLTNCRRWELLPPLGRLPFLSVLHLKEMCSVTEIGLKFYGGATVTFPSLKDLELDDMPNLVSWIGENGDSFFPHLQKLKILNCPKLIELPLLPPTTKSVTIERSKNVSNLKLFPHCSSKSGKFVLEISSATLLSEGFLHQQHLEATEVLDIRGCCGIVLAKGFQLLASLNKLRLSQCNMDGEQLSLCLQHLTGLASFDLVDCQSITSFHLPPGSRHFQTLQHLCFQDCHMLSSLTVLESFIFLKSLTIESCIRVTAEPLPAELKGMISLNKLIISHCPQFQSLPNNIPLSLEFLHLIGCHPVLTKGLLERQGPEWEKISFISQITILG >Et_3A_026122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4524152:4525501:-1 gene:Et_3A_026122 transcript:Et_3A_026122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TPCFLRISILKMALMVVICVSCAPQGRNMSRANQISRADSRMMVVFGAFASKPETLTFEESLRFVKKVKARDYMLYSSLFDILGRMELSQLEAYQELQLLFRNHPDLREGLERFRPPVPTKHATNNIWPWVFVCAVPLVAVSLMPALGNPVLWLVQQTIGEKMTA >Et_6B_049575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6778168:6791206:1 gene:Et_6B_049575 transcript:Et_6B_049575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGLRGLSFGPEESPREITPAGGDAGPPVGSSGWLVRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLPGLEAYLFQVCYMLVHKPSPSLDRFVIDTCAKSLRIALKVHWLLAAELELEDADDLDGIDKVQEQCQEAATVKGEWPPLVRPAPPSPAASPRGKPMLSRIRSSKQRLLSLASSPSLGLSPPAGGGNVASAEDAVGSGANQPVTPSSEDNKLLRRLSIGPKMRDALLFRRSGEKDDEQDRDGFFKRLLRDSRDKEEEDGDREGFFKRLLKDSRDKENEEEGEKDGFFRRLLRDSKEEDMELTPSSEGLLKRLFRDKEDRPGEEDEKEGFFRRVFKDKNEDRRDSSTHARLGDEERVGKSLEDDDKEGFFRKIFKDKNDERKDGGHHKHDEREKTAANIEDDKRDGFFRQLFKEKNDEKKEVSTPSKKEDEEKGHKNPDDDNFFRRLFKDKNEEKKGAAHDKNEDDKCEEGDKENFFRKLFKDKHEERRNEGFDRNDDDGKGTSGIEEEDNSEFLSFRRLFRVHPEDAKGGHIESSQPNNLSEGSPGSESFFRRLFRDREDSELFGTKLMKDKHPGGTGTNEKQNGKPPLPNNAIAELRKGSYFASLELVQSLCDTSYGLVDIFPVEDRKIALRESLTEINSQIALAEKNGGVCFPMGKGIYRVVHIPEDESVLLNSREKAPFLICLEVLKAEAPSHSKGASDVHKLSKGGIPLANGDVQLPKPPPWAYPLWSRHEAQNYETDRMLRSTSQVIDQAMAQLWEAKVKFVNVSFSIEKLGRSRSLAISDTGRRLRHATTDSHDPPEDSLAIGDQPIEWVKVTLSAVPGVSMDDVDDNEPTRKKDHRRVPSTIAIEEVKAAALKGEAPPGLPLKGVGQSTQKLDPKGTDNGDPKPTDALAGELWADKKERIRRSSVHGKSPGWDLRSIIVKSGDDCRQEHLAVQLVAHFYDIYQEAGLPLWLRPYEVIVTSAYTALIETIPDTASIHSIKSRFPNIISLRDYYVAKYEENSQNFKLAQRNFVESMAGYSILCYLLQVKDRHNGNLLIDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGTPSEFFDYFKDSGFPCFKGGLRTIQNLRKRFHLSLTEEQCVSLVLSLISSSMDAWRTRQYDYYQRNSVHTGLRTMMDALAPWFARSYAISIPELPQPTTSTFFPE >Et_5A_040800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12749186:12751600:-1 gene:Et_5A_040800 transcript:Et_5A_040800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPRLITMPLHHVILGLHLLLSLHMASRCAATDAVSPGNGLAGSDRLVSNNSKFALGFFKMDRKSSHTYLGIWFHKDPKITPVWSANGESPVVDPTSPELTISGDGNLVILDQATRKVIWSAPANVTTNNTIAVLMNNGNFVLQSSTNSSIIFWQSFDYPTDTLLAGAKLGWDKVTGLNRRLVSRKNLIDQAPGLYSLELGQDRIGHLMWNSTVGFWTSGGWNGQYFNLAPEMIGARTPNFTFFNNENESYFMYTLRDETAIVRCAIDVYGQGLVGAWLEPIDNWLINYRQPILQCDVFATCGPFTICAENEDPLCSCMKGFSLTSPKDWNLGDRQGGCRRNTPLDCESVGNKTGLADKFYPVQSVRLPHDGMKVQSATSRDGCEQVCLDDCSCTAYSYGKGGCSVWHEKLYNVKQQSDASSDGNGDILYIRLAASELPASVAMKKNSGINIGAVVGGSTSALVLMILGLMIWWKKGRCFTGRLESAQDGVGIIAFRYADLQRATKNFSERLGGGSFGSVFKGYLSDSVTLAVKRLDGAYQGEKQFRAEVNSVGIIKHINLVKLIGFCCEGDNRLLVYEYMPNQSLDVHLFKANDSVLDWNLRYQIAIGVARGLADMHTGCRDCIIHCDIKPENILLDASFLLSGEIGSLVDSNLHGDINLKEIERVCKVACWCIQDNEFDRPTMAEVVQFLEGVTEVDMPPVPRLLNAITAEAGSPSS >Et_3B_028251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12288845:12291171:1 gene:Et_3B_028251 transcript:Et_3B_028251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIQFENNCEVGVFSKLTNAYCLVAIGGSENFYSAFEAELADVIPVVKTSIGGTRIIGRLCVGNKKGLLLPHTTTDQELQHLRNCLPDQVVVQRIDERLSALGNCIACNDHVALTHPDLDKETEELIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREGQPTAIVDDMRKSLIDSYV >Et_1B_013506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9008174:9012138:-1 gene:Et_1B_013506 transcript:Et_1B_013506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASRPAVVIDNGTGYTKMGFAGNVEPCFITPTVVAVNDSFSSSAQAPAPTRGAAKGNWLAQHSAGVMADLDFYIGEEALARSRASSAYSLSYPIRNGQVENWDTMERFWQQCIFNYLRCDPEDHYFLLTESPLTAPETREYTGEIMFETFNVPGLYIAVQPVLALAAGYTTTKCEMTGVVVDVGDGATHIVPVADGYVIGSSIRSIPITGKDVTQFIQQLLKERGEHIPQEESFDVARRIKEMYCYTSSDIVKEFNKHDREPSKYIKHWTGIKPKTGTKYTCDIGYERFLGPEIFFNPEIYNNDFTMPLQVVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVRSSNARVSGDAKAQPIEVHVVSHPIQRYAVWFGGSVLASTAEFYEACHTKAEYEEYGASICRSNPVFKGMY >Et_2A_017388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33934689:33937769:1 gene:Et_2A_017388 transcript:Et_2A_017388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCIPAGLRLDLEMVKAAAAGAAPPRPAHSAASSTLSEASNASSSSTSSLSLKRPRTPRKRPNQTYNEAAALLASMYPSVFPVAKCPETAPPRLLGLASALADDPGCSDLLPPFPVLGHAAFLLRDLPPPSAPPAPWSPVPTKSCPSPAAVSSFFSEFRDPMPSPATPDAAAPDEPGELDFDDDGDFDADSFLGVDDGAAEGIDAIMGKLTMESNAAAAASVISDLPSSGIHPYVRNLMMLGLGFRHGQLNKNLQALKRHNVDTEWWMCPAIPVKDIAPAPPAPVAMPEVSENKKSKKKVAGREVKVESGQCRKAEDVIPDSCNGYSGTVALPEMGLGLRLNTEEVLKAWCGRGSAFANGNDPDLPRSSADVLVKRANKDLFKENGGSGVIREGNILKMQHKQKQCTPLVSNKSRQAPEQTPEDMNYAEGTHIVPPNITCFAQYMKLFCFGRFVSKAYLLQQAAEKEKEKESSRRRRSENVARSYYYSSIQRFCSPSIREAFCSPSIREAK >Et_4A_032099.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:17141516:17141653:-1 gene:Et_4A_032099 transcript:Et_4A_032099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRATYLLSRKWSLLCKDSELEEMMRWCQYLEAMIQEPLRICAA >Et_3A_023266.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:15887901:15888020:1 gene:Et_3A_023266 transcript:Et_3A_023266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVGNSKRTGGMGRALKEHKARLYIIRRCVVMLLRWDD >Et_5A_040234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25836508:25837152:-1 gene:Et_5A_040234 transcript:Et_5A_040234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAEEVEAVACREGLALASKWTPGRMVLESDCSFMHVRREQNLVAHELAQLAKRLCHSAVWRFRVPVCVEHLVAHDCNDTLRN >Et_6B_050082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5639807:5648925:1 gene:Et_6B_050082 transcript:Et_6B_050082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSATVTSARRHPSAAHSDAGVASGLMNGWSNPYLPAHTTAQIRLEFRRRLRSVPSDGTRSSLRKYGWRSAIPSMRAPPGRLVSDVAAGAVAGDEQPRGVAVVGEPRLLTGSRVCRRRDGPGERGPAVVVGGRDRVLRREAVLDGDGDDAGGGDEGVEERRVRPGEGGVDEEDAAVEVDEDGQLCGCAEDVRREEQPRGEAAGVSIFLMAMIASPSTSYGVALPFWCHGSSDSLTDITASEPPILRAARHIHTDAEPCAAKERGVEVLVSLERQQHEPAAGDEALDHGAEPGVVDEAADAPVAQDVLLLHPPSAHDASPLHPLPESRWDPRVELGLGVQGFREVEHGEERAVSRLQAQEELRRGRGGRNVSLPKDAKTTASSGKEENQLAHGSSSSPCGGSGTTGPARSTGLPPNWAET >Et_6A_048026.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:3948849:3950573:-1 gene:Et_6A_048026 transcript:Et_6A_048026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLPSPILPLLLLLIISIGNAAADAGEPWQEVVSTSPVPPSPPSGEWQLLHANIGVSAMHMQLLPGDFVLMFDRTDTGPSNLSLSALSPCASSTSGGAAADCTAHSVLLDLRSNALHPYPLATNPWCSSGALLPNGTLLQTGGFGADGDRVARLFTPATGWTELPSFLAARRWYATDAILPDGRVLVLGGRRQFNFEFFPHVNGEPPAVTFFPFLEETTEVDAEDNLYPFLHLLPDGTVFVFANDRAVVFDPYNRAPLRRLPPVPGGVPRNYPSSGSSVLLPFRAADATTTSVEVLVCGGAPRGAYRLALRNATFLPADRTCARVAPAEPDPAWALEEMPTARVMGDMVLLPTGDVLIVNGAGAGTAGWELGREPVTRPVLYRPGAPLGSRFEALAATATPRMYHSAATLDTYGRVLVGGSNPHVGYAFGANVTFPTDLSLQAFLPPYLDPRLDPARPRILAAPAEVGYGEATAVRFEVLGGVSGVEGEGTGEVRVAAVAPGFATHSLGMNQRVVELAVGRVAQLAVGVYEAEVAAPPTPGVAPPGYYLWFVVHAGVPSSAAWVRVRPLGPAT >Et_1A_007052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30424908:30426583:-1 gene:Et_1A_007052 transcript:Et_1A_007052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEQQIEHSHLPIRGLNLHVAQAGKGERGTVMFLHGFPEIWYSWRHQMLAAAAAGYRAIAPDWRGYGLSDQPPEAEEVSSDDLLDDLLGILDALSVSKTFLVAKDFGAVAAYDFALRHPDRVFGVMCLGIPFTPFSVSFTNMPEGFYMSRWQEPERAEADFGRYDIKRVVRTIYILFSSSEIPIAEKDQEITDLADLSTPLPEWFTEKDLAVYTTLYENSGFRYPLKMPYRSVDKRKTIEDPKFQVPVFVVMGQKDYVYKLPGFESVLKDGIMAMFAPDLKIAYIEDGSHFVQEQFPDRVNELLIGFLKDHPVSNAI >Et_2B_019656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11856752:11862042:-1 gene:Et_2B_019656 transcript:Et_2B_019656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKCLEGPMEVQATPRQHIQVDKVPTRSVTFILSMGQSQFQDEDLAIVVADNDAKEGIPADFYQNHLPKLKTEDEDGQNHQTTYIGDRKGPGLSGGCGHFAICHDIKVDVDVVIFQLVESRKCKIHQMEDSVSGIWKRTRKGSHQIYSPDEIRFSDLDNDFDGVTSFSNFSIVVDNSAINCKFQERAYYEVCIPQKSYLNLTLAAGVITNTINIAEHIRACAEEASFREDFMI >Et_5A_042571.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20601660:20601983:-1 gene:Et_5A_042571 transcript:Et_5A_042571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFFLPGTPIDQPTRHHSSTPSSAREQPWRRSAASTSSPPSAPPPPHARRTTAPAAPATPFKFNVHAPEFVPMSPPAASPMASPMSAPAGGYYSPFMQMQPGLGPD >Et_8B_060334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9813000:9823085:1 gene:Et_8B_060334 transcript:Et_8B_060334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEARTRLARRLSDPPNPRYAGASQAMPEQSSPETAEMDQEPAPPAPAAALASDAPVPEDPPSQLVTHMFVYCTADDFKNRVQLLCDSDKADFDAWVSLIRSAEETSSDDIDVISLVYHSFLLEFPLCYGYWIKYAAHKARLCTNEHVVEVYEQAVQAVPHSVDLWVSYCDFGVCSYEDPAAIRSLFERALSLVGKDYLCYHLWDKYIEFENSQKQLVQLATIYINTLKFPTKKLHEYYESFRKLVTLLEQEVKHCIAERLSESIHTSEVADAENSELDITTVISDLFDQIDGQLRPEALKQYLSVGERLYQRSSKFYKDICCFEASIKRRFFHFKPLDDAQLVNWHQYLDYVEKNGDFDWAVKLYERCLIPCANYSEFWIRYAEYVDAKGGREIANYALGRASSCFVKGVPTFCMYYAMFKEQIGDASSARSLFVKARSNFTSGYYANINRLANMEKRMGNTKAAYEIYETAIEDAMQRQNIEVLPDLHSNFAQFVYVVSRSIREAKEVFDKGIKRAPCKPLIKGLIQFMSTHGGPTEIPLLDSVISNAVTPGSDIYTTLSPEDREDISLLFLEFVDLYGGVEELKKAWARHSKLFPQSTRNVPQQYCSTGNSLQENNKRRKTEPMMVAHDHSLEDIRKLEQSSKPDNYSLIVDKDVDSQGDRNIADSGIGHKDAEEKKALGNVDLHHEASRTAHECTEMVHGSHVPDNFGMQNQMNSHAKEVADQDLGSCKQNGEKTNFHQDQGEEAPVAESGGRDSPSIAIACSEKINSQEKVIEVSTSSHPEILCSKSDSTSGASIPKEGRPSDPPQVSPELEERQPVKVQVEVDMKNDLSVNNAETERFDDGPNQTQYAHESQDHIQSSQPQQLPVCAKSSSSELAKTEMSTLHCEAQPKQEMANSQAPQFNNHSLAGQNMQQQWLSYTMPQNGRRNSQMEHGQQSETSQSDGSKRRSGEQSELSYPSTPQSQRSIH >Et_7A_053037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5305232:5305891:1 gene:Et_7A_053037 transcript:Et_7A_053037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQLDKLDELIYVESRISNLSTNFYGEVTQQMLKHADFPGSNNGTGLFQTIFGVRVEDKKTTSGRPVLSSATNSAYKSVGWASHLTSPTPGLARFTDLRRSVPFALLSPHSPSAPQLRPRLLDLPPTRSPPLAGLDSRETSFGERRYTGSTAAA >Et_7A_050806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11125425:11125901:-1 gene:Et_7A_050806 transcript:Et_7A_050806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLGSKYDLVREPDLPRLHYLKLGVPASAPAGAAARAAGDPTGTEPCTVGGHVIPTRTRVLVNASAIGRDPAAWGSRHGVHLALVPFGVGRRSCPGVHFATRVVELLRANLLFAFEWRAALGGEVDVEEENGLTVHRKNSLLVLVAERIRMQ >Et_1A_007461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34851199:34855524:-1 gene:Et_1A_007461 transcript:Et_1A_007461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGDPAASRAPPAALSASARALDSVHFSSGNPRIEEARGVVVLHPDPPAASTSSSDLPPGRKPRVCVLAVPNHMTYADFCRFCGAFVPHTLEMRIVRIDDTEDQYSVLIKFDTQKSTDSFYKHFNGKQFSSLEVDVCQVRFVEDVHYTQLIEHAHSSVTSSAEQPTCPNGLTRTLEAFSLQSAIILFTARAYRNGQTLHVRSVDTVSSNPRSPFVLFAELRRIFGFVYKGGHAIEHWKETQHCYSLELETQKVWDYAGDNYVHRLIQSKTDGKLVEYNCHGGHAADSTCWLCSGDAGISEALLNSKVEAIVEEYNDLLTSQLEKQRNYYESLLLEAKEENEREISAAIEKAVSAKAQKLQAKLDKCLEEKRFLDEINGNLVKDQEMCKESIRKVLEREKSAIRLKDEKIQKLEEELTDLIAHFECQDAIAQAPESISSDIQGGTILPVASSSSSSSGGSPVRGTRNKKPK >Et_3B_029304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23876499:23881196:-1 gene:Et_3B_029304 transcript:Et_3B_029304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLSLGTGAARPQRARSRSRRAAQQGKANTSREGAPPVPTAAPMDSSRQPTPPTGGDLAADHEAEELPRLTVTQVEQMKVESKVGDIYRVLFGAAPNTRSVMLELWRDQHIEYLTKGLRHLGPNFHVLDANRPWLCYWMVHPLALLDEVLDDDIEDDIVDFLARCQDKDGGYGGGPGQLPHLATTYAAVNTLVTIGSERALSSINRHNLRNFLLRVKDSSGAFRMHECGEIDVRSCYTAISVASLVNILDDEVAKDVGDYIARCQTYEGGIAGEPYAEAHGGYTFCGLTALILLNEAEKLDLPSLIEWVVFRQGVECGFQGRTNKLVDGCYSFWQGAAIALTQKLMTVVDEQLKLKSCSSKQPSGKDALDYAKVGFDFVMRSNQIGPLFHNIALQQYILLCAQVLEGGLRDKPGKNRDYYHSCYCLSGLSVSQYSAMTDSDSCPLPQHVLGPYSNLLEPIHPLYNVVLDKYHTAYEFFSKE >Et_5B_045610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5482599:5485878:1 gene:Et_5B_045610 transcript:Et_5B_045610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASSNTHRSATVTPRSPVVPLTTFSSSRVHVNLNPACVPSPAEVKKEAADRQAARQQSYRSRDEEKPRRQSPPIRRRRRDGDVSPERKRRRESVSYHRDDVRRGSSSGRPYRLYRDDAYRAAKKKDSDRAREDMIRKSVSGASRSEQRARSTGRPSAVQQHQFPAAVDDRKPTPEKVETAEDKAEIKRRMEIQRQREEARRAMDKMVKTVEFNDPFISPIENELYMEPWSIGGWRYKE >Et_4A_033425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22726590:22733834:1 gene:Et_4A_033425 transcript:Et_4A_033425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPSRGGVDKYEFYHWLGYMILAIGDSTEGEFLLAVQDGDVRRLKELVSSMEEKDRAKIAEIHFEGTGLLQLAVSLGKIEVCRYFVEELGFDVDCPSIFGGTTPLASAALFGEVSVARYLLDRGASPNKTDDTGLVALHSAAKNGNEEIIRLLLCSGASVDIAVVHGTPLHLAASYGRTSAVKILLENHADPNSYAEVPDTPLVTALHSTEHGVSESEALECMKLLVKAGANVNSGNPCPPLAVATRNGLTNCINYLLEAGANPNIPNNQDVTHNKLEDRKADLKKQGEAAVKRNDYLSASKLYAEALLDAEFCIRNQPNWVRGYHMKGVALMSLKEYEKAYDVFIDALEMDPSNAEIEKLMWEADAAMGDGHISDE >Et_3B_029377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24559296:24567480:1 gene:Et_3B_029377 transcript:Et_3B_029377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLLRGLRSASSRARTVPFSHGSSPSPVGSSLLRRLYSSAAASTASSSTLAPGGVTDPSRIRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTSVSWKENELNMVDTPGHADFGGEVERVVGMVEGAVLVVDAGEGPLAQTKFVLSKALKYGLSPILLLNKVDRPSVSEETCNEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASLTFTKSPPDNAKNMSALLDSILQHVPSPNADLEAPFQMLVSMMERDYYLGRILTGRVRSGVVRVGDKVHGIRSTDDGVQRIEDGKVVKLMKKKGTTMVTIDAAGAGDIVSMAGLAAPAIGHTVANSEVWTALPTVELDPPTISMTFGVNDSPLAGRDGTHLTGAKIGNRLMAEAETNLAINVLPGPLSESYEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTERGERLEPIEEVTVEVDEEHVGFVLETLTHRRGEVVDMGPVPGTTGRTRIFMTCPSRGLVGVKGIFSSFTRGTGFMHRAFQAYAKYRGQLGTVRKGVLISVGRGVITSHALMSLEARGILFVSPGMETYEGMIVGEHSRDSDLEVNPVRTKELTNIRAPGKDENVRLSPPRLVTPKVIRLRKKYLDSTKRKMMKNKVHCFILNSQAS >Et_8B_060598.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3005668:3006798:1 gene:Et_8B_060598 transcript:Et_8B_060598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKFRDDDRPLMRAKVPIGVLGLPFQSGLSAGGDPRELRLDLSTAFASGPALRLSYRPNDPGLPFALSVRAGLGPLGSPARAPFALAAEFNLLSSDPSSPAFFLRLKPRLGDFSLAHTLRSSAVPASSSPAPRKIGEVSDGDGRLEHEANYKAFSFSGNGFAADVAAAGRSGGGLGALLSGMRLTTRSVLPLWGRASLRFNWGLRVPPELQPGALADGRKGARAPVSKMPLLVMSKICIEQSPRADGDKKGGRAEAAAADGSGHDCGDEVAFSLVRRQLEALNVDNMMLRRTVENLRAEIRSSRAPPPPSPRVEVRRAAPPPQPQPYHSFQAKPDRRGNGNWKEPVAENGGKAAVAADDVGEELKKALEARRR >Et_1B_013013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4792075:4795265:1 gene:Et_1B_013013 transcript:Et_1B_013013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRRGHRATASLAQLLVLVLCACSFPAARSQATTSTSTVGGRDFTNFFFPKFDRNLLLLPGNLTFSANASVSQNALQITPDTSNNATRFLINQAGRVFYATPFVLWEKTSSSASTKSNATATPANDGRRVASFSTAFKVNLYRVNASVKGEGFSFLVASGNDEPPVGSHGGYLGLTNATTDGQDGNGFAAVELDTVKQPYDPDDNHVGLDVNGVRHAPAAAVLDASLDISRVLRGNKAYFGFSASTGVQYQLNCVLMWNMTVERLHDDVVAAKKKLTGWKLGVVIGAPCVAALALGLLAGLYIMKKRKKVGDDPSSVSNNAIDFRSIPGVPKEYDYKELRKGTGNFDEKMKLGQGGYGVVYRATVPGENGQSMEVAVKQFSGTNTKGQEDFLAELSIINLLRHKNLVKLVGWCHQNGVLLLVYDYMPNGSLDRHLFGGQDAPTLDWKQRYNVVAGVASALNYLHHEFDQTVIHRDIKPSNIMLDSSFNARLGDFGLARALESDKTSYTDLIGVPGTLGYIAPECFHTGRATRESDVFGFGAVVLEVVCGRRVSCANPAGSSQLLEWVWKLHGAGRVLEAVDPRLGGEFDEEDAERLLLLGLACSHPNPGERPKAQAILQNLMRSVPPPAVPASKPVFMWPVPCAGDDDTPLTSTSTAVTSSSYYASSSGWTQNYQVSREDHEYATGKDVSAV >Et_2B_022021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8137798:8142161:1 gene:Et_2B_022021 transcript:Et_2B_022021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAEPAKGLLPYLQRADELQKHEPLVAYYCRLYAMEKGLRIPQKERTKTTNSILVSLMNQLEKDKKSLTLGPDDHLHLEGFALNVFAKADKQDRAGRADINTAKTFYAASIFFEILNQFGELQPDIEQKQKYAIWKAAEIRKAIKEGRKPEAGPPGGDKDENSYSTSTISQDMGRSQSFTSMPHGSEAPSQPVDKDFSRRDSFSAVQPGNNMPRQSTDFNDHSSVQSPYSSPPPPQSQHPSPSQSYSSPSYQATDYPSSDVHKPPPSTSSPYSSTEYPKAEVHKPPSNYTPPPYTRTDYPSNDGYNPHGNDKPDVLGYPQTYQPPPYTIEPQHTSQDYYSTEAPAAPYNYPNFQSYPSFQDSTSPSVQTHQSPFYSASDAPVVSSYSPSASNPSVPTHYNSSADSGHRVAPLAAPPASQYKYDSNYQPSVEKIADAHKAARFAVGALAFDDVSIAVDHLKRALDLLTNPSAETH >Et_3A_027195.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:4624984:4625532:1 gene:Et_3A_027195 transcript:Et_3A_027195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAASHSTFLVVALLCAAAASVLPRSSADASFIAQTCHRTPQESLCVSVLQSINGTSAAATVQDLAVAALLGARRAALRAKLRSLDLGKNGPEKGTPVGELLAQCDAIYSDCLRAGSQVVGMVSSGMYGGAADAAGALRLCPAKCEGLFQARRVASPLEAVDREMAEKMEVAYQIVRLLP >Et_10B_002683.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:7710312:7710545:-1 gene:Et_10B_002683 transcript:Et_10B_002683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALLVKQALTSDAFDSSAVGTLIKEIKDLLRLNCLNVRITHVPRECNSVAHGLAALGVSDAVVLRRRTLLWRRSHLVF >Et_7B_054435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21303119:21307841:-1 gene:Et_7B_054435 transcript:Et_7B_054435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSTNHHSPLLLSPRRENGRLLPSSPSSPPPPPPPMKPAARRRLLLLAALAAAALLSFLLLAPPFTGRLSLASLLLLPFPVSPYAGKPKLLFLLAGQSNMAGRGVAPSPLPPPFRPHPRVLRLAASRRWVTAAPPLHADIDTHKACGLGPAMPFARRLLDAASPDLVLGLVPSAVGGTRIWMWDRGQPLYDAAVARTRAAVDAGGGTLGAVLWFQGESDTIELADATAYGGRMQRLVNDFRADLGVPNLLVIQVGLASGEGNYTDIVREAQRNIKLPNVILVDAMGLPLRDDQLHLSTEAQLRLGDMLAQAYLKFNSSTDSRQ >Et_9B_065743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1003592:1004387:-1 gene:Et_9B_065743 transcript:Et_9B_065743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKISLKLLADKEFVDFVFSLLTLPIGAVAKLISAGTMQGSIGRLYQSVDHISASYLQPGTDKSDLLQPKVLHPDARELLLLQGGAGGDGDGKSPPPLAKFRLYTCAGQCATATVETKATCPQCRQAMSTEVAFVLPSAGGGSTGQGSSENESGGYVKGLVTYMVTDGLEVTPMSAISSITLINKFSANKEVQLAEKYVAVGADEGLGLLRAALRSDTVLSDVFLARKK >Et_1A_007019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30101248:30105846:1 gene:Et_1A_007019 transcript:Et_1A_007019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGGGASPGPAPLATRLVRVPTSATVAMPPIRLAHFRRLLFPLRSASPHPSAPTPGRPLPLLRPPLLLLLPRAMAGAAHAGVAAAGSAEYEEVLGCLASLITQKVRADTGNRGNQWQLMDKYVQILELEEPIAQLKVVHVAGTKGKGSTCAFVESILRACGFRTGLFTSPHLMDVRERFRLDGLDISEEKFIRYFWWCWNRLKDKTGDDIPMPPYFRFLALLAFKIFSDEQVDVAVLEVGLGGKYDATNVVRAPVVCGISSLGYDHMEILGNTLGEIAGEKAGILKKGVPAYTVPQPEEAMSVLMHRASELSVSLEVVQPLDPQRLEDRPLGLHGEHQYMNAGLAVALANTWLERQGHLDRVQAKHSGTLPDQFIKGLSTVCLHGRAQIIPDPQVKSENDKDSNSKLVFYLDGAHSPESMEICARWFAHVTNTEGDQPDSSGQPHTGRNVRKILLFNCMSVRDPQRLLPCLLDTCAQNGLHFEQALFVPNQSQYNKLGSLASPPSEREKIDLSWQLSLQRLWESLPHSNQGLNDANSSRTSSVFESLPLAIKWLRETAQQNRPTQFQVKAYAAVSVMFSQMFHNCSTTTSYHVIWSVVYMVHSTVTTLSWTCSIHGQFISHADAVVTIIV >Et_3B_030001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29788869:29790735:1 gene:Et_3B_030001 transcript:Et_3B_030001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFVYLILQAGAFVKTQKTHAYFKRFQVKYKRRRAGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDIVAQIVSATITGDMVLAAAYSHELPRYGLEVGLTNYSAAYCTGLLLARRVLKIRDLDQEYEGNVEGALDGGLDIPHGEKRFAGFKKDDKQLDAEIHRKYIYGGHVADYMRTLADEEPEKYQSHFSEYIKKGIDADGMEALYKKVHAAIRADPTMAKSTKAPPKEHKRYNLKKLTYEQRKAKLVERLNALNSSGGADDDDDEEDDE >Et_4B_038162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26932591:26933527:1 gene:Et_4B_038162 transcript:Et_4B_038162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAAPHRYRRLRKASDLSSGTTASLPSKRMRAGDKYTSHDDRISSLPDELLISIIDKLDMRTTITTIILSKRWRNLPRPTFTSYNLAVDDMLPPRYHRLKCHNMEAKAAYEAEKNANELTCIYAIKARHERWMMTIRPLTAILERYERRAMRRYVRQV >Et_8A_058491.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:9238339:9239772:1 gene:Et_8A_058491 transcript:Et_8A_058491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRSLLAWVCLILVLLLLAFGGAPAEARPAPGHKYHRAAPKRILSATGGDGTPPFHRKLAAESSNPPKQAAPESSGGGGNINVESFGATGNGRSDDTKALLDAWAKACSSAQPTLLLVPAGKKYLVKETPFSGPCKSQVTVQIDGTLVAPEDKSDWNKHGNGNPKWISFTNVTELTVTGKGTLDGTGKSSWKNSCRVNPKRPCTIAPAALTFSSCTHLKVQNIKLVNSPQVHLDIQYCRDVVLTSLTISSPSNSPEADGIHFWHSEDIRIIKPVIKCGDDCISIATGVKNLYAYKVECSAGHGISIGSLGNRNSEAKVSNITIDTAHLSGTMYGARIKTWQGGSGYAKDIKFLNLVLDNVKHPIYVDQYYCNQLDPSKPKPCAEQKSAVEISNITFKNIKGTGTTKDVISLHCSKTPCRDVVLEDVDLKMKGGGKKKGAMSSCANVMFTKTSNVSPSPCTSVSTKNDLVPEESSD >Et_8B_058958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11486708:11489250:-1 gene:Et_8B_058958 transcript:Et_8B_058958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNNIKKSTSWTISWKQPPIQYPGSNYQYRYEQQHHVNSSSNDCYNSRRWGSTQSAFPPGFDAGRPLQYPRGPEHGTTAWQSPSFYPRNHGYFTSRWHNPPCYPRRPEPGDHTMNLNNFPNHSVYHAGGNDPAARHSLQTNHNGPYPPSTDTSIYGHGVAYGGYNSEHGGRSNYTFGLGPRTPIVGSVTDSMENVDKYICVMGQNIK >Et_10A_000374.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:6062686:6062706:-1 gene:Et_10A_000374 transcript:Et_10A_000374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVPF >Et_5A_042695.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:25991576:25992112:-1 gene:Et_5A_042695 transcript:Et_5A_042695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLVAPAGLRAGRLPTQAALPLRRRALQAVRAQQSPKPPADPTEEDMTVDTTPSSSPANNTKPGLLDALAFSGPGPERINGRLAMVGFVSALAVEASRGVGLLSQAGDGAGLTWFAYTAVVLSAASLAPLLQGESVEGRSGGFWNADAELWNGRLAMVGLVALAATEYLTGSPLISA >Et_2B_021978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7610580:7611854:1 gene:Et_2B_021978 transcript:Et_2B_021978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIMVDEHDAEPIPPLIEELEEEEEEVMPEWLHVLLRTRFWKPCSRGHKDENRAEKCIFCLQCYKVFCPHCTHDEPGHRLLKICRYVYRSVVLVKDMHELNIDVSRIQTYNTNGQKGVLLRPMRRSPHFRPKEGAPQCETCTCWLHSTPDLFCSLTCKGKAGVSQEDFSGPEAERRYKKIQTNTAQLHEDDNDIELLVEASPLVISPKPVEEPSVEIPLEPIEAPPVVTLSEPVEAPPVVISPEPVEGHPMVIPPRMNHKRLRKQANPQRAPFF >Et_4A_033987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28801157:28811916:1 gene:Et_4A_033987 transcript:Et_4A_033987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLRSYLGRLLLEEITPVVMVLTTPLAEAACRKNGLSFVDMLSPFSLFKKIDVPVRTASDQPYRLQMFKIRMVYASDVRKQDYEVADERIEPVVSEVSENALQDLLADPPKLEDVLGKPEADLCPLWIKKFNRELMRTLSFSEHETFDHPVACLLVVSSMDKEPISKFVDLFNTNQLPSLLNEGIMDPQILKHYLVLHDQQEGPQEIAVNVLAEMRSTLGLNDCKLLCINSSTKADASNAEDSLLPYKALGLNYNDGTCFLNMDDLNEIKDFMQDFASNHIIPYMEQKIRVLNQQIRVLGDFAFMLRDYELALSNYKLLSTDYKLDKAWKRFAGVQEMSGLCYFMLDQSRKDAEYCMENAFSTYLRIGSSGQRNATRCGLWWAEMLKTRGQYRDASSVYFRISNEEPSLHSAVLLEQAACCYLLSSPPMLRKYGFHLILAGNSYYISDQKQHAIRVYRNALFVYKQYPWSYINDHVHFNVGRWYGVLGIFDVAIKHLLEVISCSHQSVNTQSVFLNDFFHFVQSMGKKFDVYKLQLPVFNMSSLRVTHEDHRTYASDADVDVRESTWQELEEEMIPSSSIVRTNWLDSQPKASALKKHKDLSVCVAGEPVKLTVELKNPLQISVAVSGISLICQLSTNLDDLSSEVSALTLDAGEEKAITEPSVSMFETDENKFTVSKLDIVLGGGESRKVQLDVTPKVEGILKLVGIRWTLSDSVVGYQYFEIDRRKKIKKGKRGHRRSLNNNLIVIKGLPKLTGYIDHMPTRAFAGDLQLLRLNLKNHSDHAVKGIKMKISNPRFVIPSDSPDIDLEFPCCLRKHAQSESNVISPKISKEKNKGLLFEFPQDIEIQGGGALSWPIWFHAATPGNVSLYVSLYYEIEGSSDIKYRTLRMHYNIEASPSLDVSFAISMCSSRLQEYIVRMDVTNRTPSESFVLHQLSCVGSKWAVSALPSCNSITSVETVFANQSVSCFFKIKDFGTASCKEGESDSYRTDMALCSGGSTDLFDIARSPLADFHCQEKYKQVKSAEGPTSLLDFVLISMAVAGPQTVSHDFSKSCCEVNIQLVIHNSAGQEISVSVVTFDVMPEIRKSVHPQDSTSALGGWYDVSLENDIKVISSAKGTHYEKKSSESIPPYVWCSLSSAQIELQPDSSARVPLRVCIFAPGTYNLSNYELHWKVHPSKGSQVDENGRWSSSGDQGHPFYVTVLQNAQ >Et_5B_043865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1681513:1683301:1 gene:Et_5B_043865 transcript:Et_5B_043865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRRPYKTWSMYSANNHLLMHVASWIAARSDPVTLVRCAVTCRAVRHRIADDDPTAFRRRLRLRHADRFVPSLLRGHLTMAYTDRSFRNVELQFVDTTTADATARLVTADDGFLPRTDGKTSMRQQEHVASRDGLLLVRSSNNRPPYDPNLCVCDPATRRSQALPAEPMFRNEAAPTIGNHTFSSSATISDGVGRPFQVLKTKLSVSPNRHLLQVREAKVIVTALPETFPRPPTYHRMDYLLVTTKPCGSLMVLLASRRKISAWVQESQTAKWEQKAHDVVKNDDPPSEKTHHHTFDVRLHWFAERSGIVLIYMNGMVSSGWIFGQWR >Et_5B_043905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17548074:17552443:1 gene:Et_5B_043905 transcript:Et_5B_043905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAPSKEDAEGGAVSRGSTCCARPWRRGTRWRRAHAGHAAALRNVGAALSDYAAGDPDAAAAIARSASAPGPAAFAAAQALPPPPPLEAVLPPPPPLPLGPADAAPLVRSMSAPDLPMGQTIRKKPSGEAPIIEEEEGDAADGDGDGARRGRAVDDDPPPPSRSPPPLPAPPPGNSWDELIFGPRDADGIPTPPPTLDPTAAEASHRHRRPRTRWPRGRSRRRSPWRAGRRRRRPCGRGRARRAGPSSWRRRSRRGSATLDDHFLKASESAHEVSKMLEAARMHYHSNFAATRGFVDHSARVMQAITWNRSFKGIPPPENFKNELDDDEWETHATVLDKLLAWEKKLSHEVKEFEVIKMTYQRKLAVLNKKKQRGASSSSVEKTKAVVSHLHTKYIVDLQTMESTVAEINRLRDKQLYPKLLELVEGMWHMWDAMYACHKEQLRIISELKSSDISIAVRETSEQHHERTVQLWNIVQDWHVQFGNFMAYQKEYVGSLYSWIKLNVIPIDTNLKPNSSQPHEATPPIKRLLHAWHDILGKLPDESTRKAINTFAEVVKTIIVHQEEELQLRIKIEETRREHERKRRQFEDWARKNWDKGAGVNNPDGSLAAPVVERKAAVERLEQALKDLEGKYIDQCKVVRDKSLNLLRSNLPALFRDVSDFSLQSAGMFKGLWSIAHTNDQLDEE >Et_8B_060797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7812808:7814341:1 gene:Et_8B_060797 transcript:Et_8B_060797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGWRPDLAETVFALGRVDSTGEYRVLRMSAMDPIYYNPGAAPSPAFSVLTVNGSGRRTRWKSVESPRFNVDKDSAVVVGRSVCFFWSNKHPDDLDMEFFGSDIHPHCIASFDLETEQWTTFPGPFLVDDDDDGEEGISMLRYVTMWSLSTLAELNGYLVLVHKRDYDTSFMDIWFLTDTENRVWAKEYRLRPPESVIPASCKRVKPLLVLDDGRVVIFLHDKGVVLLYDPTTDVYSEMDTRRLDAVGLYTGSLLNLQNVPTASSPVMANHPGVVSGHGEALPPDVLFDVLLRLPAKDICRFRAVCRHWRSMTSDPLFTKAHAARHRCPHIVASFNGDSDNVHLMDLSGRVVKRLPVSQAQGLNTGAGRASPTSSASPTTRTAGSP >Et_3A_027051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30871612:30872248:-1 gene:Et_3A_027051 transcript:Et_3A_027051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFGQRSRPWPGDLTSTPSEPVAAAADARGEESTLKNFGTSMDAISFGFAATAILISLFLLMAIFEHLIKPRAFPPDSSDGTAHRAHHHRHGRSPGKLRSPPMVEAVLQAADLSVLMPGQRYPTYLAQPAPLLPACPREGVHWPPHDHRHSSYYMPP >Et_9A_061526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13174400:13177635:1 gene:Et_9A_061526 transcript:Et_9A_061526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTPYSPCLTSRGRATDSLVLVHNTMRLPHLFLTVVLSSIAISVCRGQGGGGAGGAAGGAIVPGTQDAIQIVAQAALCFDQRQVINGCLQQMGINVNGNGNGNGNGNGNGNGNGSGNGNGNGSTSSSTAAPPALAPAAGPSAAGAGTNNGQAASMCQGPCFGQMMLMMTCVNGILGNIQGYSPGLMQGVQAVFQMSCGNVGNGQGGAGGGGAGGGRAGGAGGGAGGGGGVGGGGAGGGAGGGAGGGGGTGSAAVAAGSHVAVNNLGEPTSSATGSTTRLERGFPLMLITWSCIWLLL >Et_1B_013484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8973629:8980546:-1 gene:Et_1B_013484 transcript:Et_1B_013484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGFWTSVWSFFKFLPFFFGLLLLGIIKGVLFGPWAWLVMTVGISVLILGLWPLHVIWTYYCIIRTKVVGPVVKMLLLIAVTVLLVLWLIVGIVGSILAGLAYGFLAPVMATFDAVGEGKEKPLVHCFLDGTWSTITGSCTVVRDVKDMLLHSYFSIMYEIRLHTPPAGKPYEIRLLHIPGAIFAAVCGLIVDLVMFTLIALYKFPVMLFKGWKRLIEDLVGREGPFLETACVPFAGLAIILWPFAVLGAFVASIICSIPFGAYAAVVAYQESSLFLGLSYVISSVSIFDEYTNDVLDMAPGSCFPRFKYRKDEALSHGGSLSRPTSFKEKPDVKKAPQRVTSFKSSFDEFNPFKLLDHLFAECKHHGKDLVAEGIITAKDIEATKAGKVSSGLLNVGLPAYVILKALLRSAKANCDGLILSAGSVITSENRPKSKIFDWFFDPLMVIKEQIKAENFTEEEEAYLGKHVLLISDPKRLKENLPHLPSLNEQKQAEIDAFARRLQGITKSISRYPTAKRRFDALVKALSEELARTMGGSQSANGSQVQKMRSGIVRMLSQRSLGKTTTIRGDDQEAQLTGDLRLLSISGETKLSADQDKFVWERKQSRNSRAGRRGNSRFGGGVDRVERIRRHDRGGAGADVDDGAALPLDHAGQHGVGDPGDALDVDPDQAAHELLIHLMEVARVRVRHAGVVDEDADVEAPDGLLERLDARGEPLAGEVEHEGAHLGDLGVLGLDLAGHRGELVRVAAHEDEAEPGGGEAEGDGAADAVGGARDDGPGAVAAAQGLAGAEERGVHPEREADGGAGGDEEAHRRERQRPRGLRLEELDVGARARHRRIRELGRGAGARDVPN >Et_1B_010488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1183478:1188071:1 gene:Et_1B_010488 transcript:Et_1B_010488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGRTEAIMREIAALRAERDELDSRIRFFESQLRAGDAAPSTIPPCLSAKLDAMGLHAGIAGGLSPDMIRRYSRHLLLPDFGIEGQRRLSRSSVLVVGAGGLGSAVAMYLAACGVGSLGIVDGDDVELGNLHRQTMHVEAYVGQPKVKSAAAACRGISSLVKVFEYHLKLKAKNALDVVRQYDIVVDATDSRPSRYMLSDCCVLLKKPLISGSAFGLEGQLAVYNHNGSPCYRCLFPNPPCQSSSDTGILGVGVIGCLQALEAIKVATGVGEPLCGRMLLFDALSSRFKNVKIHRRSTACTTCGENPVLNEHTFTMIDYDSFIQSSKSSTPTASLNPLPENARVTCREYKRLLDSGKPHLLLDVRPAHHFQIASIPNSLNIPLQELEERLPRLRDALNEVADTLRGKQRPLYFICRRGDDSQVAVRMLRENGFLYASYIIGGLESWVREVDHSFPVYW >Et_1B_013393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:886069:887703:-1 gene:Et_1B_013393 transcript:Et_1B_013393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAGVEAEAEIYRLPEECVAYAISLTTPGDACHSSAVSPAFKAAAESDAVWARFLPEDGDAILARADEPVLLECESKKELFTRLCDSPVLLDGATMSFGLERRSGAKCFMLSARALNIVWGDDPTCWIRTPSLPGSRFPEVAELVDVCWLEISGKLQLSLLTPGTTYAAYLVYAIADDSYGLECNVGMLPPKATLTIAVDATGGSTTTEHAVCLQHMHGEEETAMHRRKQQYMRLRKGYGGRKMMLTREADPDIRCPRRRADGWAEVELGVFAVAGDEDGVVAVGLKEIDSQRWKRGLIVQGIEIRPKHSMS >Et_10B_003464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:211962:213003:1 gene:Et_10B_003464 transcript:Et_10B_003464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGRSSGGGRSSFRSAPRAKAPAPAAAPKAAPAPAVQSGGGGGSILGNLGSTIVDAWVWGSTMSMAHRAMDAIMGPRTFQVDHTTSQLPPPPPPHHRMRATSTAWPSKIASTTTGATSASASSTLISSTIAAAVDKPPSLRPSDEIAPGALDYSISTPIIMQSLFIHLKWQLLLGHLLQYFSVWYWIEPPFVY >Et_3A_024806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24462356:24464882:1 gene:Et_3A_024806 transcript:Et_3A_024806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNKGKGRRSVYLTECVPVWGSASARGRRVEMEDAFVEVGHDAAEALRLPAHLFGVYDGHGGAEVANYCRDRIHIKDHWEKVFSDCFQRVDNEVSGEASRFADDGVNEQLQRKPVADGWVGSTAAVALVCASHVIIANCGDSRVVLSRGKEPHAMSMDHRPDRKDECARIEAAGGMVVKWDVPRVSGDRCAKPYLISKPEVIVVPRAKDDDYLILASDGMWDVMSNEYACRAARSQILLWYKQNGNGADSDEGGEPTVKPADRTALLKGSTDNITVTVIDLKPRRKIEVKS >Et_2A_015937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19416542:19418815:1 gene:Et_2A_015937 transcript:Et_2A_015937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQLLLCLAFATAAMLLVATAKKSGDVTELQIGVKHKPESCSIQAHKGDRVKVHYRGKLTDGTVFDSSFERGDPIEFELGTGQVIKGWDQGLLGMCVGEKRKLKIPANLGYGPQGSPPTIPGGATLIFDTELVAVNGEPASKSEEDDAGSEL >Et_1B_012617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33874200:33878679:1 gene:Et_1B_012617 transcript:Et_1B_012617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCDAPGALLIDRKQADKTHPSRKDSAAAGQSRASMGKRRSTSQAPIRCSRFEKNTGAAAHRGSARDWSDLGDGPAALVAECVIADDVVAYVRFRAVCRSWRRCCDDPRTRGGNGVLEDPRLRPRQWIMLLGQGEKLSPAAAPHRCRRQFLNVSTGQCVQVDVPELQDHGVIPSTAAEGLLILLCKATGAIRLLNPLTRQAAELPPVTSLRKFEPGYSTSGLADDRTVLLDSCGEMAFAKPGDERWVLLDNNNNTHMLMANVFFAGRFYGIYDHAIMTVDIDRGRDQLPPRLVVVAKLDLTLHGNGMEETTAHLVDNGGGKLMLVHRKIRIRARGKKMYKVYRVDLEAGTVTTRGGGGLSLGGRAVFVGRRRALPVSPRVFPSIEANAIYPGFCFSERGGTQQIGAYRIRDGGIESFGYHNGSTLRRPWSIADCLAVYPSPDPLSLLTAREERARRGSGSDNARDWADLGDGPAGLIAERVLADDVVGYTLFRAVRCCDDPLTRGGGVLEDPRFRPREWIMLLGEKEKLDAAGAPHRCRRQFLNVSTGKCVQVEVPELQDHGVIRSTTAEGLLFLHRKATGGVRLLNPLTRQMAELPPITILRKIFSGYARAGLADDHTAYLYSFGEMAFIKPGDERWVLLENNKHMPMPNVFFAGRLYCITSSAIMTVDMNRGQVVVVAKLDNRFDGDGRVDKSVHLVDNGGSLVLVHRTTRRSWGQRSYKVYRVDLEAGKVTTRGGVGLSLGGRAIFLARWHSISVSPQAFPSIEANTVYPGLRLSERGGSEQIGSYRIRDGSTKSFGYDSRNPLPHPWSIADCLAAYVSAYDA >Et_3B_029227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23217421:23219428:1 gene:Et_3B_029227 transcript:Et_3B_029227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLGLDRVLDCFSLSLCTNECVCIHSAEEEDEDEENERRALVSRQLQELVKLKDFVDGAAKTLAFHLEPKTVELKVSMHCYGCAKKVQKHIAKMDGVTSFEVDLEKKKVVVVGDVTPYEVLESVSKVMKFAELWVAPNPKQQQQQQQQQQAD >Et_6A_047843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20296426:20301359:1 gene:Et_6A_047843 transcript:Et_6A_047843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPLLRQELDNLDKDADSRRAAMKALKSYAKHLDSKSIPHFLAEVSDAKAGTAGAVGPGLPSGEFTISLYEVLARVHGRNIVPQIGNIMATIMCTLSSSGGSFPLHQACSKVVPAIARYGIDPSTPDQEKAAIIASLSRPLCNALLGGQDGASSGAALCLKTLVESSNWRYASGETVNEVCLKVAGAMHDRATRSNAHMGLAMALVKHNGLIAEAYARSIVRSGLQILDGETAETSSQKRLSAIQMINFFMKFVDPRCISSELGRVIDVMEQCQNDRMPFVRGAAFEASQSAKSIAGQKGSRHEVGTSPMVGSNFHKRRVKSPYRNQWSAKGSPASSSVTASPYQFRSPESQVVDSSIMNGSTVTESPVSVGQSSCNFDQSRRTNRRLWSNEGVDVSLKDGLFIKLCSESKYFDDDLGEVCDSEVTDANFECTDTFAGFVSPSHNDAVSREKTPSPKASDRSITIDDVKVYTTPRKLLRSLQNPYNSDSSSHGEHSTANCSSTSSPDRQQKELVESSEEMQQSLHSNMKAEEKDEDRSIDLQSSSARTVTEALSNEDKFTFSSTEVDNTCKASPEVQCKETDVCVASSRGKVRKHRNLSLGRVQDVPREHDDARPLLHYQEGERMISDELYDVRWWHATAAQDPGKSAAGAYHTIGVLVCAPEVSDLRRERQGEPHLAKGAFEFCPIESYLELGQLEFNELLLDLVQDAVHECRRRGDHVHHGSDAVTRVREEDEHGVLRVSGNLHLPPWLVGKLEDLVPIHARDVQLGGFPHEIRLGATVIQAVKGAPAREVEVERPQPLAPEVDSVGEDQVRLGVDVVRGDDGVDENPVSGSGEPGKRTQRGTGTRWPQRTAATRGAAWWVAARGGALRAGRGGPGRRGVAWGAGAAAARGGVLAAEGGGDEQGTGAAVTRGPPRRAATAAARRAPGRTEVEEAQGQNSPKKSDGRDSVATAETRLGKICFPIQSAKQTTTGNVQEYLLGEVHGSRLRLVGLDGDVAERVVDPHAAAEEHARPAGVQPVGELLGRGRAEEGEELRRRGAARARGGQRQRRVHGHRREHEGARCLARRSASAVCPSRAAVATADAMMDEALWERV >Et_8A_057048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20493469:20497882:1 gene:Et_8A_057048 transcript:Et_8A_057048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWVAKLVVKKPIQQGETETRQQPSGEREFGSIDSRGVVPSSSKRAILLGGAAMASTGGKPDERVLSHGDVVLVRSDLGILRGPRFINDRIIAFYFAHLSSVFAAGGDDDDLLLLPPSIPYLLSNLPDPASVAAVAEPLRLGSRRLALLPVNDNPDVSLPEGGSHWTLLVLDNTDPSSAPRFVHHDSLRGAPNLPVAERLAEALSPLLVDAPRRVPLVEGPTPRQTNSYDCGVYVMAIARAICGWWIGRGEDGSSSDWFEAVRREVDAASVKAMRTEILDLINQLIQEKANHEKTAALKPVASRPFSTSRSFSKRLQDFSATDSRPVTIPEETSIIRPKITRLTPLPSDLPTEISATIDAGSGNILEEMEVDTEQVVSCDPLTTCDAVRKPVGSVKNNLSYDGYNWRKYGQKKVKGSEFPRSYYKCTHPSCPVKRKVETTIEGQIAEIVYSGEHNHPKLHPPRKPSSSTSTEVAVADLHDTSNVEAEIQIVGHNQFPDITVTTSGGSSNCYDKFGKNSEVSDKNKRYVYVSKHSAIESLLFLPYRACASGTILVTMEESEVLVEVSSMVGEFMEDASKDMRR >Et_1A_008444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7719171:7726088:-1 gene:Et_1A_008444 transcript:Et_1A_008444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTRGLLAISGHLAGRHATATPTSTTTQYSLVARTFQTLAQPEPLRLQKLSAPDSGIVELRLERPEVKNAINWDVMRRLRSAIEKIEADTTAKVVLVASSVPGAFCAGADLKERRLMSASEVREYANSLRSTLSSFEAIPIPTIAVIEGAALGGGLELALSCDLRICGENAKLGLPETGLAIIPGAGGTQRLPRIVGRSRAKELIFTGRRCDATEAVMMGLANYCVPAGEAYQKALDIAREITQKGPLGIRMAKKAIDKGAAVADMPSAMAVEGECYEQLLHTQDRLEGLSAFAEKRKPVYTGNMRCLRSLLAVSGHLAGRYAPGTTTTAAASPYSALFVRTLQILSQAGPVRLQKLSAPDSGIVELRLERPETKNAIGKELLHGLRSAIEEVKADTTANVVLVASSVPKVFCAGADLKERRSMNPTQVREFVNSLRSTFSSFEALSIPTIAVVEGVAFGGGLELALSCDLRICGEDAKFSLPETGLAIIPGAGGTQRLPRIVGRSRAKELIFTGRRFDALEAVTMGVVNYRVPAGEAYQKALELAREINQKGPVAIRMAKKAINQGIEVDMSSALAIEEECYEQVLHTQDRLEGLAAFAEKRKPVYAGK >Et_4A_033486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23607122:23607719:1 gene:Et_4A_033486 transcript:Et_4A_033486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERHVVHRDIKPENILVDEDQGVVKLCDFGHRRTSRPRCSWTRRAQVHRLRDCVMATIVRGGLCSRVAAMRASSVPSSTSTCMLGVPDDETWPWVLVHGIRHRCAVQRAARVLPRGDAIYPIPRNGSVEVLSGSLLTCNPDDKRLTAAAALELSWFSNVDVLELPRKQVSSALPKTKKKRLPAASPDFA >Et_9B_065964.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18872218:18873027:-1 gene:Et_9B_065964 transcript:Et_9B_065964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVRSRVEALLRASRVLLYQGIRDLRDGVVSTDALHVEARHSRATVAGQAGWAARPAYRARLCTGRPRVNNDITALRWIPSLVHAYGANEFLTTHATGRSAAAWRLMLQAYAARLTPDVPRHVCSVVPPGSMGGASQPSLAQALKPEADVDAGRRRRQAGHGGRPAWRNVVKAICPSASHIGGVRGRSSRDDDVELARRCLPALSAPHAHRGVVGAPPVHGRCLQRQPVAYQHGERTRLPSMRARSGVVSSLRHRALCTIGARRPRGR >Et_4A_035737.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:4644343:4645209:-1 gene:Et_4A_035737 transcript:Et_4A_035737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLFHSCRSPSAAAAVTPTTTLLASDQPHVVPAPAGVACCPRRVPRRRPQPPATCGSAGSAVDYGADDLPPARGTPAYRWLKSSRWHVIEANAYATAAAADDGGGDAPRLKIDARRRVRRSRRRRRALVHRRAAPAASWSSDDSGWFSTDDDEEQRAYGAESSTLVASTSTSSSTGASGNSGTREEAAGVAVAGGFAVVKRSEDPRADFRRSMAEMVVGRGIYDADGLERLLRCFLALNDQRHRRDIVAAFGDVWEAVFANPPCDPHGAAVTSHASSGKDETVSHP >Et_8B_060291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8719100:8721648:-1 gene:Et_8B_060291 transcript:Et_8B_060291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSPPPPAKSASPPVPTTILALTDDLLREIFLRLPSLPSLIRAALACRAFRNAVRSSPAFRRRFRELHPHPLLGFFDSDGSKIPCFTPVRRRSDPDLAAAVRRADVFLTRVPCHDDAFPGWQIKDCRGGCLLLRNWRTEQIAVYDPLSGALDLLPSPPQKKISHAHRGKLIPMDYFLLSSGDEARRGSSFRVVSLCHDKSRLRAAVFSSATKEWQILPWSDPMPKQPASGKYWLLSGRQVNGLMCWSHDKHSYMVLLDSSTWQFSCIDLPETLKGQGHLYRAGGTKDGKLCIAAAIEFTLLVWFCRPDAANGAERWMLEAIIPLEDAVLQATEGTREDHGALHVLGILDGFVYLSTWETFRDSTLPCWYLSFCNVGNFLFLHQNSKYYEILTICTKHNRRKETMEKGLG >Et_10A_001293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22984278:22996517:1 gene:Et_10A_001293 transcript:Et_10A_001293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRASVARCIALLLLLALAGKSSAQLSTSFYSKSCPGVYDAVKSVMKSAIAKEKRMGASIVRLFFHDCFVQGCDASLLLDDTSSFQGEKMATPNNGSVRGFEVIDAIKSAVEKACPGVVSCADILAIAARDSVVILGGPNWNVKVGRRDSTTASFSGANNNIPPPTSGLANLTSLFAAQGLSQKDMVALSGAHTIGLARCTNFRAHGCDASLLLDDTPTFQGEKMATPNNRSVRGFEVIDAIKAAVEKVCPGVVSCADILAIAVRDSVVILGGPSWDVKVGRRDSTTASFNGSNNNIPPPSSGLANLTSHFAAQGLSQKDMVALSGCHTIGLARCTSFRAHIYNDTNIDRSLAGTRQSVCPRTSGSGDDNLAPLDLQTPTIFENDYYKNLVCKKGLLHSDQELFNGGATDAQVQSYVTGKCILCGLCDRHDQNGRHNATDRL >Et_1A_006488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23109467:23121332:1 gene:Et_1A_006488 transcript:Et_1A_006488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHINWKYKKCSRWKITLRVFELVKSCIQVKPFSSKLGGIIWEILLYDSSVHNVLWRILATSTQLLEHSCNSYYHGLNDTEDIQLVLCSGLNIIHFTLLNLPEDLMPNPPFVTMVLSSSLKPFSFITALISLMSSQNSDIQGAATRALSILCFTAYKAEPQLMENASFTGDVSEIQRLQASITCILDEEEKTNGCLAVAVFNLLTSTARYQPALLASLVEKKNDRLADQILSYAVRATELMDSCPSVLQSVLDLLKALWESGIQFIYILEKLRSSTKFWDSLSHCIRASPDSSPVDGIDAVDEKFSLRSNCQGKIFEIMSHELFLQGKLHGETCNPDPDGSKGHKEPTHAPCPSSVILKWFDDAVLHDVINHLSSNAYKKEVLHRAKVAACLCIIHLIAKLSTSDPGGLSFSMVKKIQTLSMKLSQHHAFLALLSQYSLHGYSGEQELNNLVINDLYYHIHGELEGRPITSGSFKELLNFLLEFKVFEDSPLKQVHTTYQEANYNLFFDVQHISDELGVEYWNHSDWKTSKEVAEKMLHNMHRANMAKCYADSKLSTLRSLLTFLSIHTGASSNKNLNLPDGTISISTIRSMVRCACKSFDITVDSLLPQEDTNEVLFPLLCGQVELLLTLARILFHRSKQNTKSNNLYPDIILLMKTSVAATSFLVDLMPSSRALKQPVKALLVLLLSSYEFIYSKAGIKDEPDDVKLFGELSLLSMSLLPVLCKLAENRECCDVAVASMDLILKGFVPSNLWLPILLKHFRLQAILHKCQNGDLLSTQVILNFLLTLGRTKDGAKFLQSANIFAFLKLLLSQLSLDDSSLRNSLSVEMKDVNLWSLGLAIVASLNHCSDDDISRNNVANSTISFLSGQVPLMSSYLSAQSVTAHQNKKRTLLQKSQTSLSALSLTENILTLLCILAKSHFPRDTGMKEVDSELREIIIHLLAFISKGSVKTSDSSNWNLSFFCPAFTKEEVELNEKPPLIRSKYGWFKFSASSTSSTAGVPISSSTVSSLVIRDRSSGDSDSLRQTRFTEMMAVQIYRIAFLVMKFLCSQAKEAVKRAEELEFLDLAHFPELPMPDILHGLQDQVVSVVTEVFVGNGNGSSTLNPETQRVCHLLLVILETSLYMELCVSQSCGIRPVLGRFEDFCKGIKSMLQAIEKHSSFKPLARSLTQITTLLYPGIWQSNILM >Et_2B_019849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14569291:14571701:-1 gene:Et_2B_019849 transcript:Et_2B_019849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKNKSLLLFNSLLILLCWLLHAATGSSDADAVVDLARSLVNPPSTWSTGSAKNVCSSFEGITCSSSGRVTAIDLAGKGLRGTLPSSLSMLTELRWLKLEDNALSGAVPSLGGGAQSSLVHISLGGNNFTSLPDDFLHHGMPALQHLSMSHLPLPPWPFPDAVITGAPSLRNFSASNASITGRFPAAALAASLTSINILALSYNKLTGTLPASLGNMASLKMVLLADNYFQGPMPVFAKGVMTDVFAGNSFCLDLPGPCDAPVTTLLQVAEGFGYPLLLAQSWTGNDPCARGWLGVACVSGHVFVINLAGYNLSGTISPAIANLTGLEKLVLSGNLLTGAIPGALTTLPKLKVLDVRNNGLTGQVPKFRASMRVWADGNRFGEASGCAAAGPGQGNPNGTTSSSSGTNASPLSKWNAAIVLGIVATSPTLDKQYCTWV >Et_3A_023288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17928247:17929068:-1 gene:Et_3A_023288 transcript:Et_3A_023288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIASSPLLLHAAWITGAVAVCLALCTIHSRKLSSVLPSRRRGTSSSSSARSCASTRESSAAALAGVATATAAKVSPTPSETPKANGGDDSNGGGPVTVIDVGTHGPIAAVFPAPDPIPPRRSLSLSAKHIRFAERLGSLGRIRSMRRGPGEASAAAAEDDVFVVGARVRGGGGAADDDDDRTLWTKTIILGERCRVPAGRGDKVDGAGDGDDDDVMVPWKSYRPRQPRSVPVTRSNSFAGVGACRRAADARY >Et_5A_042361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9131186:9134270:-1 gene:Et_5A_042361 transcript:Et_5A_042361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTMNVAASATQLCAAGRRRKSTARLDLRWARLLRLAVVSRVLRLVRDQLLACSSCGGCGGGGGKGRYRRLGPPVAHGAGAVPSPLARDGGDDTDDATTNGEDVVSLKVSLLGDCQIGKTSFMVKYVGNGEEQNGLQMTGLNLMDKTLAVRGARIAYSIWDVAGDSQFVDHIPIACKDAVAILYMFDLTSRCTLNNVIDWYERARKWNKTAIPILIGTKFDDFAQLPLEMQWAIVNEARAYARAMKATLFFSSATHNINVNKIFKFITAKLFNLPWTVERNLTIGEPIIDF >Et_2B_019513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:37754:38613:1 gene:Et_2B_019513 transcript:Et_2B_019513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDAMQELFGFALTSFRPEVASPHEVKEMGHIADPAGAVVVGCKVLPIFNERGIMDGAMKKVVHRIDGKKAVTRVKELLKRAAQARPHRATVGGKKWKVLSFQVASDSAKGGQQQQHEQTSDDASCSSSKLSFKWDVGSCSSVSASSALYSPLSLASAPAKAADQMLTPSRKHYCMSRLSSMSQHSVSMLEPAIASMVYSSSSSPKSIKSMEEEEETCRMGQWITTDSDCEFCITIT >Et_10B_002914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13908892:13909432:-1 gene:Et_10B_002914 transcript:Et_10B_002914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGYDDQSGDGRWLYDPFPLPDDAEDILNMINIPDGGDNIDFPGGLYQPPAAPMQNGGDLIDGTAVPGNGNSSAMDVGPPTSAHHQDVGASGHQNVLDCTGCQILREILHSNGFETAKLCIHGAAGVFYHATIDLYQTSSESLVLAPTHRYYIE >Et_7B_054392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20863248:20879908:-1 gene:Et_7B_054392 transcript:Et_7B_054392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPEALTQQLNTMNQQSSLVSSAPQTEASMGSAGEVDWREEMFQQMKALKDAYLSELVTLDQIIVFPKITKVTVQEEFEALSKDKIEQYKFMVYMKKRVRTMLNFLQLQKTNIPEQLRGQLPTFAKAIQDVIEYYRRRKDQRADMDKGRQSEISHKNPQINNLTCNPPPSSGCSRLPTKEPEQAGAFHLTQNTATRIKAASQENHSSILLGLASSCVAMKPQESVQSLPSNKLQSNRAQPSVTKTSPVKVASPSASAKSIFSSPTANPGAVMHVSSSVSSPIAKPASSPDHEPSSLFDTGEVEFNAEHGAKRQKSQNARKAMLDEIESANRMLMDTIINIADENSSSRGTLIKLSYTAVSLAPDLKLLFATSEM >Et_1B_010474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10957671:10958823:1 gene:Et_1B_010474 transcript:Et_1B_010474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKEKHHPHEHRLRRCCGCLASFLLVLILVVAFITFVVYLALRPTKPSFFLQDIQLRHPISLGDPSLTASAQVTLASRNPNDRVGIFYKRLDVFVTYRDEPVTVPVSLPPLYQGHRDVSVWSPVLSAESVPVAGYVADALKQDVAVGFVALTVKVDGRVKWKVGSWVSGSYHLFVSCPAVLTAAGGDPTVTSLKFAQPAQCSVEGSYLMPSDSFSVEVSHHVAFMGLIDVTQNIQQSKV >Et_4A_033901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27887453:27889936:1 gene:Et_4A_033901 transcript:Et_4A_033901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRKKNAREMARDREQPQQPASSKGQAWFCTTGLPSDVVIEVGDMTFHLHKFPLMSKSKKLHDLITNRESREASLRERGEQDEEGEGEIREPQEEEIVVEEDEEADALRIRLPEFPGGAEAFELAAKFCYGVKLDLTPSTAAPLRCAAERLGMVDDHADDNLASRADRFIAQAVVRNPRDAIRALKSCEGPLLFPLADELGLVSRCVDALAAKAAASTPTALFGWPIPDEGRTAGGGERHRRKNNGGAGASWFDDLAGLSLATFTRVIAAMKERGVGPDVVEGALIAYAKRSIPGLSRSGRHVGGGGGAAAAAAPASSDGEQKALLETVIANLPEETITSTAHTGTALGATTASVLFGLLRTSSILHASKASRDALERRVAARLPDAAVDDLLIPTYSYLAETLYDVDCVDRVVRYFLEGRDPVDAHPGLTEEEKEKVSGVVDGRKLTLEACTHAAQNERLPLRTVVQVLFFEQLQLRRAIARTIMANEDGGGAAGSGEEGGGGLSDGGSWRVAARGNQMLRLDMDSMRSRVQELERECTSMRKAIDKMDRRGGGVAAAVDRGGTPSSAEGRWGSMVTKRFGCKFPAQVCQSQQRTVVARPRRPRIEQSP >Et_7A_051716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23497676:23502580:1 gene:Et_7A_051716 transcript:Et_7A_051716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSTAVRWPSGIHGSCGAAAASRARPLEARLPRRVVAEPERKAATVRFARCRLLSWLKPMRHDTRVQMSNANVGAGTYEGDEARRHGEQLDNSATGNANKPVKRLSGSRYLQSIGAVLLLCALASAFFVFFKGEPSAVVAMLAKSGFTAAFSLIFVSEIGDKTFFIAALLAMQYQRALVLLGSVAALSLMTIVSVVIGRIFQSVPAQFQTTLPIGEYAAVALLAFFGLKSIKDALALPDTTNGNVQGNSEGGEFAEAEELVKEKVVKKLTSPLEVLWKSFSLVFVAEWGDRSMLATIALGAAQSPWGVASGAIAGHLIATALAILGGAFLANYLSEKLVFSVVFYDPSSYFYF >Et_3B_029520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25669189:25692062:1 gene:Et_3B_029520 transcript:Et_3B_029520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGFEDGREGASRTRHDATYGILAEGESDSDDNDGSRRRKRRKGASEPHLSKPVLFVSAGNAMPSQGPEIASRSDPGSAPSGAGANEEEEEDMEPLPTAFGRTISDGARARREEKERERAAAARRHQAAGNPAPAVGSLGANATVANIMRGMGYEQGKGLGKDGQGNPEPVEIVMRPKNAGLGSVEGFKRPKPVTVPAKENLPPWPPASTKEQQQPRWSKKASARRAPLLTKNEALATRAAQEQDEQPTVVQKLIDMRGPQPRVLTTLERLKDVPMQEMAVADDDAPMPELQYNVRLLVDGAEADVVRMDGRLRREREAAASLAREKEKLSSHEAGRQMQVMEAIAAALDHVRVDEAAGALTLDGLLHTFRDLKARFREEFKIACQFAHPLLVHAFHGWQPLEDPSFGLGVMSSWKDLLEADQTYDFSHGAASMAPYAQLVGEVILPAVRTAGTNSWDARDPDPMLRLLVTWEQVLPPAVLQSVMEHVVMPKLSAAVDSWDPRREQVPVHVWVHPWLDMLAPKSMQALCHSVRYKMSSALQAWQAHDKSAQALLSPWKSVFGPAIWNDLTARCIVPKLRTALQEFQINPADQKLNRFNSVMVWASDIPLQLMVRALEADFFSKWQYVLYHWLCSPNPDFNEIINWYEGWKGLFPLELLANERIQTQLFSKNLLPSFDFKILIPYPCCIGTSLQKHLASKDHPYHKFSTGSWETLETSPKERGLDIRLELLKFYENYSANLMHLVVYGKESLDCIQSMVERLFCDIKNSDRKNVKCQSQPLSEDHLQLLVKTVPIEEGDYLSIIWPVTPSIHFYKEAPCHYLSHLIGHEGMGSIFHIIKELGWATNLESGEGTDSTQYSFFSVSMRLTDAGHEHMEDIIGLIFKYILLLKENGVHEWIFNELVAINEMEFHYQDKLFPPEEWLVGASLPSKYTPQRINMILDELLPERVRIFWVSKKFEGSTNSVEPWYSTSYSVENVTSSMIKRWIQNTPTEKLHLPKPNIFIPKDFSLKEVHEKVTFPIVLRKTPLSRLWYKPNMLFSTPKVNIIVDFHCPLSTQSPEAVISTGLFVNLLVDYLNSYAYDAQIAGLFYSLHTTSFGFQVCVGGYNDKLRLLLNAILKQIANFEVKANRFSTLKETFVEDYQNFSFSQPYSLASYYVSLILEDKEWPVDEELEALSKLDSDSLSKFVPHLLSKAFLECYIQGNIEPSEAKSIVQEIEDTAFNTPNSLFKSMTPSQYLTKRVIMLENELKCYYQIEGLNKRNENSSVVQYIQVHQDDAISNVKLELFSLIASQPAFNQLRTIEQLGYIASLSLRSDYGVCALHVVIQSTVKDPSYLDARVDEFFKMFESTIHELSDKDFKRNVKSLIDSKLEKCCGRNLSSTGEKLRLELSSLTESSQRLLRELKKEEFIEFFDQYIKVDAPQRRTISVQVFGCNHSEEFNKAIAEADPPKTYRITDIFGFKRSRPLYSSLKGGNVEFIRARSDKREYRRVVLPNALECLLISDPDTDKAAACMEVEVGSFSDPEGLEGLAHFLEHMLFYASEKYPGEHEYTKYIMEPLMSEDAVLREIKAVDSEHKKNLLSDGWRISQLQKHLASKDHPYHKFSTGSWETLETKPKERGLDIRLELLKFYENYSANLMHLVVYGKESLDCIQGMVERLFRDIKNSDRKNFKCPSHPLSEDHLQLLVKTVPIEEGDYLRIIWPVTPSIHFYKEAPCHYLSHLIGHEGEGSIFHIIKELGWAMNLVAGEGTDSTQYSFFSVSMRLTDAGHEHMEDIIGLIFKYILLLKEDGVHEWIFNELVAISEMEFHYQDKVHPSSYVTNTVSTMRMFPPEEWLVGASLPSKYTPQRINMILDELSPERVRIFWESKKFEGSTNSIEPWYSTSYSVDNVTPSMIQQWIQNAPTEKLHLPKPNIFIPKDLSLKEVREKVTFPIVLRKTPLSRLWYKPDMLFSTPKVNIIVDFHCPLSTQSPEAVISTAYDAQIAGLFYSIYTTSSGFQVCVGGYNDKMRVLLNAILKQIANFEVKSNRFSALKETSVKDYQNFSFSQPCSQASYYVSLILEDKKWPVVEKLEALSKLDSDSLSKFVPHLLSKTFLECYIQGNIEPSEAESIVQEIENTAFNTPNSLFKSMTPSQYLTKRVIMLENELKCYYQIEGLNKKNENSSVVQYIQVHQDDAISNVKLELFSLIASQPAFNQLRTIEQLGYITSLSLRSDYGVCALHVVIQSTVKDPSYLDARVDEFFKMFESTIHELSDKDFKRNVKSLIDSKLEKFKNLWEESQFYWGEIEAGTLKFDRVESEVALLRELKKEEFIEFFDQYIKVDAPQRRTISVQVFGCNHSEEFNKAIAEVDPPKTYRITDIFGFKRSRPLYSSLKGGPGRITMD >Et_2A_017473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34707271:34708701:-1 gene:Et_2A_017473 transcript:Et_2A_017473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRILLVGVVLSVLLLVACYGTNASRSFLTTSQVLDQKFHINETNLMQGLIVSHGVSSSNNPTYFATHRGEMTPDGSYGCIGTLDVYGFSLKHGQGSAGGIWMVDSGDGSRSSAREIGVGWNDDGYLKTGCMNTKCPGFQPEKGATIAPGDVIEHVSFPKQLRKQNINIKIVKDGASGDWFVHCGINREAELIGRFPRSLFTGGFAEKAAAVTFGGMVTAAVSDPAPMGSGYLPKDPSSAASVSDIQLVDQNGHASPLTQDLPFIQSKPDTYTVGPIVNGKFYYGGPQQPMA >Et_4A_034431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32534904:32536939:1 gene:Et_4A_034431 transcript:Et_4A_034431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQRRLALEQEVAELKQQLSNEETVHRILERALHQPNSVVLNSIPAFIPGKAKELLAELLLVEQEIARLEAQIHQMKGGLAAMRQQQPKQELPAAPATSSTPSSSSKDDTIKSMFFITQAMDGEYLDKERRGQQDHYGIFAIQDAIVRDVGPYKNLVRFTSSSFDLRGFSTSPLLTKLRGMLEALQQVDVRYLSHQQKLAFWLNVYNTCIMHGILQHGLPSNSEKLLALKNKATINVSGQKFNALVIENFILRQPSSVKEEFWKCDVDVEEQEVRGLYGLSTSEPNILFALCCGIRSSPALRIYKADRVLVDLEKAKLEYLQASLVVTSARRLMIPSLIHSNMHDFAKDMESLVRWICDQLPTSWSLRKSMVDCLRGQAHSKVDDVVDVIPFDYEFQYLLPM >Et_2B_022772.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:4871510:4872817:-1 gene:Et_2B_022772 transcript:Et_2B_022772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPRRHDAAPPVLLAVLPPVLLLLLMAQAASAQQDPSRDKDNGGGLAPGGGATQPPGFSAPMVVLLVALIAAFFFIGFFSVYMRRCGRGGSSGGPAIPAAALLALSRQQERDRRQQRGLDPDVVASFPVMRYAEARELRVGGKDAALECAVCLSEFEDDEELRLLPTCSHAFHPDCIGEWLAGDVTCPVCRCNLDPEAEVAAADQTNTSGSGEVTAEHQDHVVAVDVDGREGAVEEEEAEERRREAMELDRIGSQRRAVRSRSGRPLPRSHSTGHSLAPRLDGGDLERFTLRLPDHVRRGMLAESLRRTGPAGRADRDGRARSARLGRSDRWPSFIARTFSARVPFWAASRRTPDADAAAAGTEAPAATARAKRGDKPAAAAADGAVHSRSVRFDCLGGGGGGGGAVTRARVGAAAGDSETEEEDEEKAIVRQA >Et_1B_010284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34682372:34683599:-1 gene:Et_1B_010284 transcript:Et_1B_010284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSPAATVLVLAAAVSVAVGLPAINVTTISFEEGYTPLFGQDNILRSADDRTVSLLLDRTTGSGFISSSMYQHGFFSASIKLPSDYTAGVVVAFYVSIERGRVREASRRAGLRVPGQHPWQAVARADERVRQRQRPPRSGGAVRAPLRPHHRVPPLLHPLDARRRRLLRRRRPGARAPAIAGHGRRLPLQAHVALRHGLGRIQLGHVRRPVQGQLPPRARRMPRRPSHGRRQWRDPDGDVDARVRRGGGGAAGVGAGGDDGGEAAGDAPVPGAEHGLLLLLRHAALPRALPGVRRRRLRATEVQGLGTPPVRAPARAAAPAAASPPADY >Et_1A_005417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10155969:10161664:-1 gene:Et_1A_005417 transcript:Et_1A_005417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVQKYKMPEVRSATRPSLADLSGGGFFIRRVASPGAVMVKGDVMPLARRAQTPSSNKENVPPAGAVMAAPKRRSPLPDWYPRTPLRDITSIVKALERKRLRDDAASRQQIQWTEDSSQSLEPTTQNPSSTQGVATPATSSVKDKLTMSFSPSNCSLQTPSRPVDPALSDLLEKELSSSIEQIEKMVRRNLKQTPKAAQPSKRKPASVLSTKCILQALERRRSRLEDAPALPQIQWTEYPTTPVQVEQNVPQTAPQAHETLVVVASGPGSTQVVANPTTLSAEGKLNASSSPSDCSLQAAPLKPNDPALADLEKELSGSIEQIEKIVSRNLKKAPKAAQPSKMTAQRRTLMSMR >Et_1B_010402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10145368:10150110:1 gene:Et_1B_010402 transcript:Et_1B_010402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSGALQLFRPLAALVPSVRRADRTVPFRQRALYTGLSVSVFLVCSHLPLYGTNLAAASGADPLYWVRSILASNRGTLMELGVAPVVTAGTVMQLLAGSKLLRVDHGVREDRELVDAARKALAMAIAVGEATAHVALGMYGAVGALNGVLIVLQLVSASAVVVFLEDLLDKGYGLRGASAISLLSATNTCGKVVWQALSPVVINGEFEGLLLAVVHRAFVGRAGKVAATLLRRHLPNMMNLLATGVVMLAAVFLEGFRVLLPLHHRDGRRGRGATATFPIKLLYTSTMPIVLHSALVSFLYMLSQLLHYSRYGAGVVARLLGSWKETSYAAVPVGGLAYYITPPAGLAHAPPHFYSALLLASCALLSQAWVEASGSSAKDVARQLADQRLAMPGARDGAVYSQLKRYIPTAAALGGLCVGALTILADITGAIGSGTGIMLAATVVYNLVDNFKTAGEY >Et_10A_001669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6585754:6592571:-1 gene:Et_10A_001669 transcript:Et_10A_001669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSFSEEVAVAISADKMWKAAFTTDKKSDLLTKACVGFIDAVEIEGDGGPGTITTMKANPAVPDMKLLKSRVLARDDAARVLKTEVLEGGKIKSQLKSEIAEMKVEPTGDGACVVKVTVEYERDGGLLSPEDQAKVTKAYLGLVKKVEAYLVANPGDFSDEVTVAISADRLWKAAFTNTNSDLLTKACVGFIDAVHFDGNGGPGTVATMTVNPAVPDVKVMKSRVLARDDAARMMKTEVLEGGKVSSQLKSETSEVKVEPAGEGACVVKVTVEYERDGGPLAPEDEAMITKAFIGLMKKMEAYLVANPNECA >Et_4B_036928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12753962:12756581:1 gene:Et_4B_036928 transcript:Et_4B_036928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLTENRTRNTLLVVVVFGLCSFFYLLGLWRRSGSGGGDRIQTWVNEQTKCVHLPNLNFETHHSASDLPNGTSSSEIKAFEPCDEEYTDYTPCQEQKRAMTFPRDNMIYRERHCPPENEKPHCLIPAPKGYVAPFTWPKSRDFVPYANVPHKSLTVEKAIQNWVHYEGNVFRFPGGGTQFPQGADKYIDHLASVIPIADGKVRTALDTGCGVASLGAYLLKKNVLTMSFAPRDNHEAQVQFALERGVPAYIGVLGSIKLPFPSRVFDMAHCSRCLIPWSGNDGMYMMEVDRVLRPGGYWVLSGPPIGWKIHYKGWQRTKEDLRNEQRKIEQFAELLCWKKISERDGIAIWRKRLNDKSCSLKRDHPEVGKCEVTNDNDVWYKKMSVTSASEVAGGQLEPFPKRLNAIPPRVALGSVPGFSVQSYEEDNKLWQKHVIAYKKTNNLLDTGRYRNIMDMNAGLGSFAAALESPKLWVMNVVPTIADTSTLGVIYERGLIGMYHDWCEGFSTYPRTYDLIHANAIFTLYQNKCKFEDLLLEMDRILRPEGAVIIRDKVDVLVKVEQIAKAMRWDTRLADHEGGPHVPEKILFAVKKYWAITEKSS >Et_6A_046779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20436730:20438208:-1 gene:Et_6A_046779 transcript:Et_6A_046779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLSVLMMLACAAALASSAAGLRVELKRVHSDDPGATASELMRRDMSQSQSGRNLGSLGDTLTAATRKDMVGGGSYVMTLSIGSPPVEFPATIDTGSSSIMTHCGSSTSFTELPCSSSLRPELCNAASAKNTPPGCPCTVNQTYADGWGSGPVGVENFTFGSAPTGQGQAVAVVPDIAFGCINESSPGWDASSGMVGLGRRNQSLVSQQGRRQILLLPHPVRGRQQQEHALPRAVRRGIIIDSGWTTTSLVDVAYQEVRAAILNQVNNLPRTNGSRGLDLCFQLPPETSTTPPAMPDMTFHFDGADMVLPPDNYMSMSGSGVWCLRMHNATARDGSVLGNYQQQNMHILYDVENEMLSFAQAYCSTL >Et_3A_023098.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27406438:27406719:-1 gene:Et_3A_023098 transcript:Et_3A_023098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLGLEGLMQELCKGFQLLMDPRTGKITFQSLKRNAARLGLGELRDDKLSEMMTEGDLDGDGVLDQMEFCILMVRLSPELMEEESYRIFQY >Et_10A_001769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:811686:812521:1 gene:Et_10A_001769 transcript:Et_10A_001769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALITCCIYIMERIPSMAEVVADSVADQSKKADDPYWIKLMNYCHPSFRVVGRQSVRADILSNGKKWRHFTAFFKNSVTPLKCFQLVDSPLLTSS >Et_3B_031289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24363845:24364667:-1 gene:Et_3B_031289 transcript:Et_3B_031289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARISMAWSPAACWWLVLVVGLTAVVSSSEAYVFYAGGRDGWVVDPTESYNHWAERNRFQVNDTIVFTYDEAANSVLLVTEPDFDACNTRSPVRRLEAAGAGGSVFRFDRSGPFFFISGDEDRCQKGQKLYIIVMAPRPMSWAPAVAPAPAGHSQEMAFPPPVFTAPPPFWASAPEYAQAPGPGIGGEATSLSSSMGAPPPTAAATRLDEAIAGAVFGVLGALVLCCAL >Et_9A_061504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12850230:12850705:1 gene:Et_9A_061504 transcript:Et_9A_061504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQEKRELDRKAHEGETVVPGGTGGKSLEAQENLARGRSKGGQTRKEQLGQEGYSEMGKKGGLSSTDESGGDRAAREGVDIDESKFTNKQS >Et_1B_013287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7018919:7021877:1 gene:Et_1B_013287 transcript:Et_1B_013287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHHGGAGSPYPAPTTPDAAQFSLPPPPTGPLSFTDMPRPPTQLQPAPGASAFVELPPAGSSGGGGVAANIHDDDMPADVVVSGTGAGASGSGSTRWPREETLALIRIRSEMDAAFRNAPLKAPLWEDVARKLAALGYSRSAKKCKEKFENVDKYYRRTKESRAGRQDGKSYRFFSELEALHAAAPQRQPQAAGMATVQAADPQPSATMPWTTGAPMAAPLGTPVPSLPALSFSSMSGSDSDSEFDDDDAEDGDLGKGEVECSKEMMALFEGMMRQVTEKQDAMQRAFLETMEKWEAERTAREEAWRLQEVARMNLEREQLARERAAAAARDAALIAFLHRQSARLSPAGAIAVAAPTPTPMPASPRHDVAALGVVPAAPAKPEDAWAWAGGSGEHLGSGSSTPSSSRWPKEEVQALIQLRTEKDEQDHDAGSKGPLWEDIAAGMRRIGYNRSAKRCKEKWENINKYFKKVKESNKRRPEDSKTCPYFHQLDAMYRKKRFAGSTGSGTSSGALVTAVTASGQASPSQRELEGKISNDVGNQNIAGVANVKMAPTTTAAADGTKSQWQRTCTNRDGCGSNEFSGFSPNQQHLPCRSVDGNAAGPVPADQQHLCISVFFGS >Et_2B_020167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17539859:17542298:1 gene:Et_2B_020167 transcript:Et_2B_020167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSWCHLIESSVARLSVLSNLTELRLTRAYTRHQLNFQANCFPKLKKIILWDLPQVNQICIQEGALFTLEYIHIYGLKELRDVPTGIGFLSSVKEAYFTGMHSDFVRNLKMRKLNHMPKVYWSTQGKISNKVVSLMKQSRSWDLVDL >Et_1B_013655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1146454:1155746:1 gene:Et_1B_013655 transcript:Et_1B_013655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEKIVEDFASDLAMSAFSSGMRLRDMIRAIRSCKTAAEERAVVRRECAAIRAAISENDQGLRHRNMAKLMFIHMLGYPTHFGQMECLKLIADAGFPEKRVGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLAPEVERLMRSRDVNTKKKEKHHGVLISAIQLCTELCKASKDALEYLRKNCIEGLVRILRDVSNSSYAPEYDVSGISDPFLHIRVLKLMRVLGHGDADCSEYMNDILAQVATKTESNKNAGNAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAITVDAQAVQRHRATILECVKDADASIRKRALELIFLLVNDTNAKPLTKELVDYLCVADPDFKGDLTAKICSILEKFSQDKLWYLDQMFKVLSQAGSHVKDDVWHALIVVLSNASELQGYSVRSLYTALQAHGEQGSLVRVAVWCIGEYGEMLVNNVGMLEGEETIMVTESDAVDTVEVALNRYSADMTTRAMCLVALLKLSSRFPSTSEPSLLERMPVLDEASYLVKRATITQATISADKLAPPVNPGGLKLPNGVPKPTAAPLADLLDLSSDGAPATTVASTTATAPNDFLQDLLGIGGANSSVAGVSSSANTDILMDLLSIGTSPSQNGLPAQDTKPVPAAPEVIDLLGSLSSSTSASGLENTALPSITAFQSATLKAAVPKFIQLRLDPASGNTVPASGNGSVTQGLNITNNQHGQKPLAMRIRLSYKVNGEDRLEQGQISNFPSGVQQEGRESPAIKSLWYCRHSGLLTPENVGI >Et_2B_022673.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:366588:366911:1 gene:Et_2B_022673 transcript:Et_2B_022673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVKTKVQDTVSAGKAKAKEKKAAAEGKAEKAVARTHAEKELAQEREKAKIAAAKMELHQEKAQHRAEAIDHKLRKHGAITTAYGGHHSTTVPQPAGPMHPPLNRY >Et_2A_014777.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:14296862:14296954:-1 gene:Et_2A_014777 transcript:Et_2A_014777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIMIAAWVIWTQRNNIIFNGGALSFLLW >Et_5B_045215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1278581:1279546:1 gene:Et_5B_045215 transcript:Et_5B_045215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGKMVDLYVPRKCSATNRIITAKDHASVQINIGHVDENGLYNGQFSTFALSGFVRAQGDADGSLDRLWQKRRAENKQ >Et_3A_027010.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29463395:29465821:1 gene:Et_3A_027010 transcript:Et_3A_027010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGMHELCTLLPGSKHDGHLPIYPQIGSAAVNGFTSEELEVLFMPPDGIASAAGGGGGYLNVAPTSVVPPASTNRASPQRDASATTTAAAGQPDDSEAFSDIVLGYINRILMAEDIDEKFEHYPEHGALLAAEKPFLEILADQPASSGGSAVESPDGSSITNSCNSLGSCTCGAAASNGFGAVRMPALDFPSAPFLQPPQLYRDPSPDSSVVETGEAWPYDPTEVYQLQTNPVPQTLLSQSSSFASSNGSGVTVSDGFESLLSSPGFMPDVGFPEFAVQNQQAMQFCRGFEEASRFLPDESKLVIDLEKPTSVPSLPRSVEEEKKIVEVKTEKADVESGTHRGRKHFYGDDLDAEEGRCTKHSAPAIDTDHLVREMMDKVLLCNGEMCSKGVKELREALQNEKHPHGGHGRGSGHGKGRGKKQPKKEVVDLETLLIHCAQSVATDDRRSATELLKQIRHHASPNGDGDQRLAHCFANGLEARLAGTGSQIYKSFTMTRFACTDLLKAYQLYLAACPFKKISHFFANQTIVNAVEKAKKVHIVDFGIYYGFQWPCLIQRLSTRPGGPPRLRITGIDTPQPGFRPTARIEETGRYLKDYAHTFNVPFEFQAIASRFEAVQIEDLHIEKDELLIVNCMFKFKTLMDESVVAESPRNMVLNTIRKMNPHVFIHGIINGSYNAPFFVSRFREALFHYSAIFDMLETNIPRDNEQRLLIESALFGREAINVISCEGLERLERPETYKQWQVRNQRAGFKQLPVNQDIMKRAREKVRNYHKDFIIDEDNRWLLQGWKGRILMALSTWKPDHRSS >Et_10A_000846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18118307:18121589:1 gene:Et_10A_000846 transcript:Et_10A_000846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVGPLLMSVAGWLDPSGLHFFGWLITAGSFGLAALIYALLRLQREASLYWTKASREKRAAWKALRCPSSSHTWTEDQFRGGQPSTCCVCLSSLGSAQGAGAEADVVHRCSVCGVAAHSYCSGAAEKDCKCVAQAGTSPLLHHWSERWVELDDNPEISSFCYYCDEPCGVPFLGVSPIWRCLWCQRQIHVDCHAKLLKETGNTCDLGLLRRLIVPPQSVKEVGQAPAISGVLNSIKEGLVSSSVKSRVRRSRSKKRMNNLPGGKTNPISKDNSIFDSVLEGFAKLQGMNGKYTLAKPKLSENPLKQTYESGIPNGSKRKYELVDLPHDSRPLLVFINGKSGGRNGPSLRRRLNMLLNPIQIFELSASQGPEDGLQLFHNVKHFRVLVCGGDGTVAWVLDAIEKQNYESPPPVAILPLGTGNDLSRVMRWGGGLSSVERQGGICSLLNDVDHAAVTVLDRWNVSIKEKNGTEGQCTKQVKYMTNYLGIGCDAKVAYDFHTTREERPDKFCSQFVNKLIYAREGAKDMMDRSCSDLPWHVSLEVDGKNIEIPEDAEGVIVMNIASYMGGVDLWQNDTEHDDDFSLQSMHDKILEVVCISGTWHLGKLQVGLSRAHRLAQGKVIRLHLHSSFPVQVDGEPWIQPPGCLEISHRGQMFMLRRTSEEPTGHAAAIMSEVLVNAECNGVIDAAQKRLLLHEIALRLSS >Et_5A_042265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8144348:8148041:-1 gene:Et_5A_042265 transcript:Et_5A_042265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKAVTTMTLKDFHGGSIPSELPLPSAPGVTPRPADRPAPSASPVAAAAARPRVPAASSTAAAAAVPSIFTNPSRIGRHFDEDERTPFEPAAPRRGPPSPASYAPSPVASPARSGPGNPWGPKREVAPAATSPVAAAPAGGQIWLATRIAQASAVEKVISGRWSSSKPSSPPAAPVSAPVVQSHVPLPEMERPRSVGVRDLNGGAERGSAPVRPASHEGRVVDERDKEVSERPKLKLLPRSKPVESPEPSPTNVEEKQVHQVQATANVMKIEVAHDVPQNATAPKTGEVGADAESRAAERPRLNLKPRTNATGQSEETAAKERQSLFGGARPREQVLKERGVDVLASDVEKDSPVGRSKSEFAKAEKKVEATSINPSVERVENVSAGHRGPRNADRKDYKRDTDRADAYKPTRREDIRRGARDVEKPLEQPRSEPETWRKPVEPPKPEVTTPRFGKMASALELAQAFSKPTSDNVPQSRLTSVPSPRAPPSPGVRDQVGFSRLTDNRSLHSGPSQRRINGY >Et_5A_041488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23538505:23540338:1 gene:Et_5A_041488 transcript:Et_5A_041488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPSIQYVRIYVIWRTGVSEEEVTKLKEEVKHAADVHPNQPKMDVYDMWITHMGVVNAISLIQPVQPISCMYSHKGIVACRVHDMILDLICSLSKEENFVTTSANIEQVMSSKSKKLGRFSLKNTAWPKEDVSQVRSLAIFQPSIDSLPSFSCFDVLRVLDLEGCSLEDCQDSMIYVGNIIHLRYLGLRATGLKHVPGVALHDGGSPM >Et_3A_025013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26066574:26070350:-1 gene:Et_3A_025013 transcript:Et_3A_025013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPSPALSASFFNSLITSRARAGRVADALELLVRMLAAGVAPTPFTFAPILSAPSLSARCAALLHARVVKSGMLRGDPYSGTALLGFFAHSGRFDDALKMFAETTARSVVTWNCLIASFVQFGRTDDAVFWFRELVRSGDELSDGSLVAVLPAFGSPEQVHGLINKLGMDSFAAVANSLLNSYCACCSLNTAEKLFDELIVRDVVSWNTMITSFARSNVPERALEIFLRMQLQGVLPSETTFTSVLYACTSMNGHEHGNSIHAKAMKHGLNTSVFVSTALVDFYANCIGRRSAHKILQEVPKNSTTCWNALISAHSDCDDPSSLVFLRDMLRSGIKPNEVSFSSSLKDPSLLDLRQIHSLVTRLGHDGNDYVSSAIISSYASHGIVSDALAYGVALDPDSCSVSMNILAGIYNRTRMYNETKELLLHQQSNNTVSWSILITACARNGDYVEAFGFFKQMRILGHHFDKYVFVSLLTICTKNNSLDLGKLIHGLIIKTNSSSSDTYVGNMLLDMYAKCGRMEDCLKVFEEMGDRNLISWTAVISGLGLNGFSHKALVWFKAMEKDGFKPDKVAILAVLSACRHGRLVQEGMEIFRNMKADYSIEAEMEHYICVVDMLCRCGHLKEAEVVIRGMPIRPSTVIWRTFLQGCKTYGAIEAQLQEQVDSYDINIMIRIGLFPQNTTSIHSQEYEKEAKGRRVIVWNLLRGTALGEGSLFGTCSGALLMVDGEVKQNGYNPWCDAVLLNGGCTLVPVVSSSLEDSDHKRAGKPRW >Et_1A_007439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34502491:34505228:-1 gene:Et_1A_007439 transcript:Et_1A_007439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVECIGKYRLGRTIGEGTFAKVKLATDIDVGATVAVKIINKEMVIKNNLMYQVKREISVMKLLDHPNIVKIHEVIATKTKICLVMEYVPGGQLADKLSYLKRLDDREAKKYFHQLIDAVDYCHRRGPENLLLDNQGNLKVSDFGLSVLTKPGQLLSTSCGSPCYIAPEVIQHKSYDGAAADVWSCGVILFELLAGHLRFKTAAWQPCIEGFPQWFTPLQKKLITRILNPSPIKVSEAEELKAERKVFVNAFQLIATCSYLDLSGLFHEQETVEKIRIAAQNVSLTVLRMDSSVVKFQHSMLLARCTSHLTLLAEVIELTPAHCLIEVSRSTGDLRAYEEKPIKLVEWRAATWQFMWLNQ >Et_4A_034256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31252306:31254802:1 gene:Et_4A_034256 transcript:Et_4A_034256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGEEKAAAAAGSGAPEGEAAVDSKDLQQQSKALDKLTDHVEDRQLDSSRVQSAMAALASSKEADWNAMRLREKELAAVKINPTDVEIIANELELDKKIAERTLREHKGDAVAAVRSLLH >Et_1B_013929.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:24438449:24441505:1 gene:Et_1B_013929 transcript:Et_1B_013929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGVVVGEERPVEDRAGENGGAPEEDGAGDVEVSVKPSTAEDDGREVVVESGDEGDARAGVKRPPGAVAEQEGGGEDVGGKNGGEGPVGSELNGEGSGGDAVEMDAAGTEQPVVVDYHALATPKTRVALENGRLAAVEWDEDSDSSGADDDANPDSLEEKAMAKVIQGYVTEAVLTGHQKELPTQSGSKDQSRKSGEEIASNSAQSGAEPAVVIEELDDLSSTDDENTATSAPPAQTSSGSSSSPAPSSSAASSRSNGPSLPSRPAGLGSSSSLSQPPARGVQRVRSNGPVTLDRGSQQATESAEDDGDENDEIHEKLQMIRVKFLRLAHRFGQTPQNMVVSQVLYRLGLAEQLRRSTGHGTFGYDQARETAERLEAVGNEPLDFSCTILVLGKTGVGKSATINSIFDDTKLDTNAFDSSTTRIQEVIGTVQGIKVKVIDTPGLSCSSLEQHHNQKVLNSVKRLIGKNPPDIVLYFDRLDMQNRDNGDVPLLQTITRVFGASVWFNAIVVLTHAASAPPDGLNGIPLSYEMFVTQRSHVVQQAIRLAAGDVRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPQLLLLCFASKVLAEANVLLKLQDSPIGKPSRTRIPPLPFLLSSLLQSRAPLKLPEEQFGDDDDLEDDLADDYDSDDGSDYDDLPPFKRLTKAQLAKLNKAQRKAYLEELDYREKLFYKKQLKEERMRRRMMKKMAAEASARANDFSNINLEEDSNTPTNVSVPMPDMVLPSSFDSDYPSHRYRFLDTPSEWLVRPVLETQGWDHDVGYEGLNVERLFAVKGKVPLSVSGQLSKDKKDCSLQMEVASSVKHGEGKTTSLGLDLQSVGKDMAYTVRGESRFKNFRRNNTVAGVSATLLGDSLSAGVKIEDKLIVNKQLRLLVSGGAMSGRGDVAYGGRLEATLRDRDYPIGRMLSTLALSVVDWHGDLAVGCNFQSQIPAGRASMLVGHANLSNKGTGQVGIRLNSSEHLQIALIALVPIFKNIRKLLQSYSESI >Et_2A_015569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15132087:15133273:1 gene:Et_2A_015569 transcript:Et_2A_015569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSKKHASESGDLPSSLVIRRAEALAAYKAELQRRVFKCFYCGLEYRSFQALGGHISSHKGRPCSVQNVGSNNYMPHFESKAEEPALTTRYLWTGYADKNTKRRHLLYLDFVEDVRLNDLPWVLLPCVPSRLPSFLSVPP >Et_7B_055689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2260905:2262204:-1 gene:Et_7B_055689 transcript:Et_7B_055689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTDGMSRLAVAVTVTVALSLAVFLTILVLLLADLFCAHLRRKRLRAAASWPNKLGMSAASPAHFADDASVATTTTTTTKANDALASTPPFYYAQGVMHAPNNTKDLLLAIPRLEAAVWKWSPARRSSPSRSSASSSSADASRLMYISNPVYELQAGQAAAPPGDGDAPSPFGITEEGADDEEAGGFSPPLSAMRKLPSLGVVACPPPAAMGYCDGRSSLKVTDPNRASSSSSNFTGHFFSSWSSNALAVVHRSENRYIGDPLRSARRLIWVQHVKVSKPRKQLPKMGFHFATQMFSKHCQAIDYTHHPFVVNLSRRKCTFHS >Et_9B_064173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12140124:12140647:-1 gene:Et_9B_064173 transcript:Et_9B_064173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGRKEAELAISWDSFQSEIIACLQGVQAAIDLSIGHAHRKGPRGFSFLTDALLFQQAATCDLFNLCVFGGLIKELSRIARTSLHSVIKLTVLLQYWGTNVHRRNL >Et_8A_057419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2914154:2914669:1 gene:Et_8A_057419 transcript:Et_8A_057419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRQKSVLYSRFSNVYYYSVIRKVAKAKGKLRHGRVPEILSHGSRTHGRSLSRGGNSSTAALVKGRRR >Et_3A_027284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6902515:6905689:1 gene:Et_3A_027284 transcript:Et_3A_027284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPASKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKSAGKEGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTNYTPKMFAGKPKRGVIELKIVGWA >Et_1B_010489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1091941:1095909:-1 gene:Et_1B_010489 transcript:Et_1B_010489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPALSLSRSPSSSVSSSPLDRGRRSAVAIRRRGPSFTFKPAAGVCYASQAVELLPSLCPEIVVRDARLEDCWEVADTHCSSFFPDYKFPLDLVLRIDRYIALLSGFSVPLGCMRTCLVAVNSNSLNNSFSIECGDPRDAGFQKYNLSRGSVAGILTVDTVADYLPRRGPMKQRRTGIAYIANVAVRKEKRRKGIAKMLVQEAEARARSWGCRTMALHCDVNNLAALRLYKNQGFKCIRVPEGAKWPEPKIAKGVQYNFMMKLVPKI >Et_2A_015255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:157267:159834:-1 gene:Et_2A_015255 transcript:Et_2A_015255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGSRRRPPPPQRRRAMPPAPLSLSTPTALPPTPPYLRRPVVIAAAAALAALLLFAAAYATWLADSVPTALLRRQEVTPRFYSFELVREYPHDPDAFTQGLLYGGNDTLFESTGLYHRVLVQHEMDGNMFGEGLTLLGNKLFQVTWLKNDGFIYDRHNFSKRESFTHKMRDGWGLATDGKVLFGSDGTSKLYQLDPKSLEVTKIVTVKYHDNEIPYINELEYIDGQVWANVWQTDCIARVSPEDGLVVSWIFLHELRYTVVHIEPLTVFSIQNIDVLNGIAWDEEKKRLFVTGKLWPKLYEIKLRPVDGPADGSVEKLCPKASFYR >Et_10B_003772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5798112:5800311:1 gene:Et_10B_003772 transcript:Et_10B_003772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPLSHLRLNWFKTLITIHQHRDISNTRRVLAYVLSVIQASVFATILLLVEVLYLFGRVISTGVSLWRLKEHDFGNADGSRNLKPALEILYSSAVAQGLFYIFVAVYGIRTRFRFAQAVKKRYSMDKRLVYGYIDDTIEGCQKDLSFAAGRNLVTYAVGLLMEAKTNDGFISGVRVLGAVIQHDYALGQKLLAKDLLTSSTSFTHTIQRLLETLGPRRPYSREIREHAARIVALVASDISLEQFPRGIQCLFSLLDPSEEHSWVPEEYKRDPWAPKEYEQDWLLEEYERDYLSFLGRRYSPFVIMSRNRGSHFLEDNERLVPQVLFVLQKLVVREENCRVISDTKGLLRKIQAPLISNQLHRDHCDLWSRIAEASMGLMIRLMATPGKTGRKLQRKITGNNEAFISTLESILKCRSCGVLLKRQAMQILLYLSFVSQSFKIDSGSSRGCTVPYTWIVLHIFLLPVVSDSMYGSIHWNKKLSDIRRLAGEKLSDLFFPYIELSAMLLLQSGGSITSMLLSARIVVGDLTEALKVAGSISNRVHAAEILQHLCFHYTKDDEYLREMKKAMVDVMPQVLKEILGYGSTRKAVSAVTEANNVQSSSQDIIDLESGGFPDGNAQENTSSSQQQQGEQPEEKKLQEALVHLCKTIRRNWYGEDTELARQLDEIAKKVCSEQGKPVKNFRDLVS >Et_2A_015605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15968702:15977874:-1 gene:Et_2A_015605 transcript:Et_2A_015605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KCPAVEEAAWELGDAQRCDLDKRQHLGLGRRVGARRGANVKVRITMSVSSGLGSGVVLQVRERWPRRSRRVQELAAPHGGATAGAARRPRASSPTAERNSAMPAASQRMWLRRSARTKPLQSSRVTWTTSSALLSHGRHTKSHRRLSIQNDSGPYARKGYKGSVERRGTGKLYRIALQVCIFFYSTLFASRGTAAGEVSLPIFYPLFLSPEESSDLHRIFYSTAFEASVAAPKPMSHSDGDPRPNCRSMWLRRQRTKTNYGSRASIATIRVPPCQPDDIYEAAQISMPVQLDKLPEDILHHIHSLVPLRDAARAACVSHRFLRSWRCFPNLTFNQESLGLNGHGDTSYERAKKIIDRIGHIVQNHSGIGVRTLKLQVCSCHKVITSDHVDTWLQAAVKSGIVELTIELPRDHRPKYNLSHSLLACAGSSLESLSLFTCDFRLTSRTDCYKSLKSVCLKFVDITGEELGSLLSSTNSLETLEVSRCDEITFLNIPSHLQQLRMLKVFMCKSLHMVEVYAPKLSTFSFRGPPMEILIRDSSQLKNTVMHGVFYSGMIQYARTKLHSIASSLETLTLSSSKEDFNTRVMPEKFLHLRHLNIYFSGFGFQSYDYFSLLSFLEACPALETFVLSAGEYSDVRQDTFIEESNTDSSHIRRIQEFRHPKLKKVSINRFCSQKSLIELTCQIIENMSSLRCLVLDTTRGFGPRGTCTDMNKEDVMKALNAVGAIKRHIAGKVPTSVKFKVWEPCSRVSFVVEQKE >Et_2B_021771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5279727:5284971:-1 gene:Et_2B_021771 transcript:Et_2B_021771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKPNGVAKAKGPPAGAGSSAAVPSKVNASSPGSVRTSRIIKKRIVKVKREKATTVAAAATEELASVGAGTAGGDALASVSTPQLAALDEASPVEEDRGRKGKGNKAVGKKEARGDNKGAGFIFMCNAQTKQECYQNRLFGLPSGKLGMVKKIRPGAKLFLYDFDLKLLYGVYKAASNGGLNLVQEAFNGKFPSQIKFKIDRDCLPLRESSFKNAIKENYRSRSKFDPELSSKQVHRLIELFEPANVPLPRSVPDNRLEERRHYEDRRQPYHFEERRSSLPIEEVRQPRIEEPRHPAVHVPVEDPYMAPRFAPLPAESRLGRSLANVQDDHHIYYQPAHLVPEPRHIPLALESRHAPLVLERQHVYSVPELRHVPSAYYHSVAPSDDSYYRAVADLGPERYADRTMTERSARDPVITRDHTTLPGESARTERLEELYRSGGISRGAHMEELYRPRERAVRADRAGISTRADHLEELYHSEQLVTRAVDLPRHSTYITSAYESNPAYAEPSQRSVSARANASGVPVSSLYSFSGGPIYRDWTDGLSVSH >Et_4B_038474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29264305:29270148:-1 gene:Et_4B_038474 transcript:Et_4B_038474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRKQLDVLMGANRNGDVEEVSRNYYDRDVCRLFLAGLCPHDLFQLTKMDLGPCSKVHSLQLRKDYEEAKSKGSESFDRELEDMIERLIVECDRKIQRALKRLADEDAKAAIAISVSEVTLTDEILQLSKEIKQKMKEADEFDFEGKTDDKIKTLEVVEELRSKRADMQATLLLDAFNKDRASLPQPAPAPQITPVPPPAPPDARTQEMINEKLKKAEELGEQGMVDEAQKALEEAEALKRLAPRPEPTSESSKYTDVRITDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKQKELQEEKNKKRKDRSEDDRRSREHSKDHNGRASRDRDAERKDRVESREGRRDHDRDRDRRHDRDRRHDRDRDRDYDRSRGHDSRRRDRSRSRERSKHSKHFYNANTRINTISSLNNGKAAKVYIY >Et_8B_059962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4501343:4502894:1 gene:Et_8B_059962 transcript:Et_8B_059962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVQVPELVTNGSSGLILNPAQRLLSRKGSLLSTPKTPSPAYGSVVTVLSIDGGGVRGIIPGTILAFLEEKLQELDGPDARIADYFDVVSGTSTGGLVTAMLTAPNDKGRPLFAAKDINKFYLEHCPKIFPSHSGGPLGLIKSMMTGPKYDGEYLHSIVRKLLGETKVSQALRNIVIPTFDIKLLQPTVFSKYDAQTDVSKDALLSDVCISTSAAPTYLPGHQFETKYKDGSTRAFNLIDGGVAANNPALLAMTHVSKQILLGSKDFSPIKPADYGKFLVLSLGTGTAKVEEKYDAVQSGKWGVLGWLYNKGNTPLIDSFSQASSDLVDIHISVLFQALRCDKGYLRIQDDELTGETASVDVSTEENLNRLVGVGKALLKKPACKMNVETGKNEPDVHRGTNEDELTRFAEMLSRERRARLLKQGQNLL >Et_2A_017836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:689561:698868:1 gene:Et_2A_017836 transcript:Et_2A_017836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSMMLITVLAFFCASAFFVDGRHVECHEPNKGDLVTQACVNASQHYIRPSHYISQEFCESALRSDTRSLKATHPRDLALIVLDLVQRGAADADAKLVGVMKQLPGYENDTVTLMRYCRVDYMVVASVLPMCRAMVQEYNPGSPRQPGDIGPEVQYFICANKLRDAANDCGDAFYNDEVQRSDGGHPSDLTRQSHDGANARTLSTMAPSSMTLITVLAFFSASAFFVDGRQHVDNNNGGGDLVTQACVNVSQHCARFAHYISQEFCESALRSDKRSATATHPQDLALIVLDLVQRGAADADTKIAGAMKQPIHDKELLNYMRYCRVDYIVVANVIPMCREMVQEYKPRGSPPQEDKFDAFMDAAPYFTCADKLRDAASDCFPDLFENDRLVSKQVKEVWHLTSLAKAMIEQMLGVLDTNK >Et_9B_065250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2870830:2871508:1 gene:Et_9B_065250 transcript:Et_9B_065250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYTCPGGPTSSELHRNDHLLMLLSSPWVILNRRFIEYCILGWENLPRILLMYFNNVVLPQEGYFHSVICNSLDFRNFTVNNDLRFMVRDGPSQSEPPFLGWEHYGKMVDNGAPFARPFRENDRLLDKIDGNVLKRWSHGPVPGAWCSGRKRWFGDPCSQWGDVNVVRPGPQAVKLHQYINQTLEEAKSRSNSCR >Et_10A_000130.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:9473512:9474063:1 gene:Et_10A_000130 transcript:Et_10A_000130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASNRLCIERQNWPQRSSQTYALSRNNFSPITQDMEGFLHNVVNMGFVDRLKLAWKIIFPAPVIMENSNANIAKQRLKMILFSDRCEVSDEAKKKIVENVIKALSEFVEIESRDNVQVDISTDAGLGTVYSVTVPVRRVKPEYQESEEQYRGKIVGVDFKDTGESSGNVDVTFDFFVPNENC >Et_5B_043359.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:7736472:7736582:-1 gene:Et_5B_043359 transcript:Et_5B_043359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHDLEAPHRIHLQSRTSLALAPLTSVQGRGVSSA >Et_6A_047030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24437555:24442337:1 gene:Et_6A_047030 transcript:Et_6A_047030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGADLINLALPDELLDDVLRRVGAGPDGAVSKRDLDACALVCRRWRRLERASRRRAKLAASGARADEVVRLVAERFPALADVSVDERLTVGNASAGAGGAGACVSRSRSRRSAQSSTPFRRRRRLPHVTGLAFQTSPFPLDQPAGDDGTERNCLTDAGLAHLAKGCKRLEKLSLIWCSAITSTGLVSIAENCKKLTSLDLQACYIGDQGLVAVGEGCKLLNYLNLRFVEGTTDEGLIGLVKNCGKSLVSLAIATCVWLTDASLHAVGSHCPNLEILSLESDRIQNQGVVSVAKGCRLLKTLKLQCIGAGDEALDAIGSFCFLLEILSLNNFERFTDRSLSSIAKGCKNLTDLILNDCQLLTDRSLEFVARSCKKLSRVKINGCQSMDTAALEHIGRWCPGLLELSLIYCPRIQNSAFLEIGRGCSLLRSLYLVDCSRISDDALCHIAQGCKNLTELSIRRGYEIGDKALISIAENCKSLRELTLQFCEKVSDVGVMAIAESCCSLRKLNFCGCQLITDSGLTAIARGCPDLVSLDISVLRVIGDMALAEIGEGCPKLKDIALSHCPAVTNVGLSHLVRGCQQLESCQMVYCKQISCAGVATVVSSCSKLKKLLVEEWKVSERTQRRAGSILTFLCTGL >Et_4A_033275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20932954:20938066:-1 gene:Et_4A_033275 transcript:Et_4A_033275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALVRRGAAVAAALARRRGMCSASAAAPAAALSSEELIRMEQDCSAHNYHPIPMVFSKGEGSHIVDPEGNKYIDFLSAYSAVNQGHCHPKVLRALIDQAERLTLSSRAFYNDKFPIFAEYLTTMFGYEMMLPMNTGAEGVETAIKLARKWGYEKKKIPNNEALLVSCCGCFHGRTLGVISMSCDNDATRGFGPLVPGHLKVDFGDVDSLRKIFEEHGDQICGFLFEPIQGEAGVVIPPDGYLKAVRDLCSKHNILMIADEIQTGIARTGKMLACDWEDIRPDMVILGKALGAGVVPVSAVLADKEVMLCIKPGEHGSTFGGNPLASAVAVASLKVVRDEGLVERATKLGQEFRDQLKKVQQKFPQIIRVVRGRGLLNAVDLNNDALSPASAYDICIKLKERGILAKPTHDTIIRLAPPLTISPEELAEASKALSDVLEHDLPQMQKQIKKPKSEAQKPVCDRCGRDLCG >Et_6A_046763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20336330:20336806:1 gene:Et_6A_046763 transcript:Et_6A_046763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGWLSNWLVKHEDNPRITSVFWIWRSADFQERESYDMVGISYDNHTRLKRILMPESWIGWPLRKDYITPNFYEIQDAH >Et_6A_046568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17140281:17147282:1 gene:Et_6A_046568 transcript:Et_6A_046568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRKKDSQKSFIPEIEVGTSSQLRYNILCTRFCGISSDGAKAKIKFKFLLDEADNLDKKLAEMNDEDEVTDQLDNSAQESQANPIQNPDFATKNGRPPKPKRMVGTMEEKRSKQRYLCTNDIQGSSKSINKKPPAKRQRKKIPIDKEDNCKDNLTKYKAMFI >Et_4B_038752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4619567:4623203:-1 gene:Et_4B_038752 transcript:Et_4B_038752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACAKVAGERLPLVRPPTGQLLTRRLSSQHEKRSAVSCSVRLSEHIAHRIEATAENIFPGTKDHVAKAISAISRGQVIAVPTDTIYGFACDACSAGAVNRIYEIKGRIQTRPLAICVADVSDISRFALVDHLPHGLLDNLLPGPVTVVLKRGENSILERSLNPGLDSIGVRVPDLDFIRSIARGAGSALALTSANLSGRPSSVSVKDFADLWPHCSYVFDGGMLPSGRAGSTIVDLITPGVYKILRDGSSREETTAVLGKFGFVEACLYPDVDEVFAVRAELDLDAPGDGGVERRVADGDLVLAVRELGLKVTLLVGGGAPRRRAPLGDKPVDDVPLRQVVEAVVGEAHDVAPLGVPRRPEHDPLHRRVRVRLPEHLLQVLRLRRPRGDVHLGEPHGDSRLRERVERGAHWPSSPMAIPAPFSGYAATISRSRVRYLSGSAAMSPGCSSVLYSFMNMSADPASRSLAAA >Et_3B_029674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27062665:27065790:1 gene:Et_3B_029674 transcript:Et_3B_029674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEAARAKALAERKMEDKDFVGAKKMIIKAQQLSKDVDSVSQRMLAVCDVHTAAGVKVNGQIDWYGILQVPANADDTLIKKQYKRLALLLHPDKNTLAGAEAAFKLVGEANMTLTDRSKRSVYDMKRNTVVRGSIARPQYQQPRRPAPTGASGTPVNLHSLHQQQQHQASNSAGPEQTFWTMCPSCGMRYQYYHSILKKPLRCQNCLKPFIAHDLKEQAVPSGANQRSAGVWKNAGVPQSAPGSQANVTGQKAWNSSTPGVHVNTGSHHANVNRKKEADGKAGGQNKMKPARATGNPVKASSTAGPKRGRRAVVESSISSDSETTSDSEDEVVEQDIAGHNGPTNGVNGCSNAEGKQTVGTPCGEKTFSVTEQMKKETMQAGESSDDKEKPFHSVSNNGLDPNDGNDSVDDKFVFQDPEFFDFDQLRDESQFKPNQIWAVYDDDGCMPRFYARITRVKTTPNFMVHYVWLEFDPKNQREAAWHNRGLPVACGRFKHGKSETAKETGMFSRTISFEKSKTRNSYEIYPRKGEVWALFKEWDISWTSDAKNDRSYQYEVVQVLSDFTTSTSIIVMPLVRIKGYVSLFIQSKEAAPYVIPQGETLRFSHCVLHHSMSGTEREGIPEGSMELDPAGLPNKFEESFPSVTPECSSAKSQECDAHASSFNRSSPMKGSMSAGERQQTTCTNAETAAKTPKEETSKHKTHKETSKHKTHSAEITDEDEDDICQTEYIYGDPEFHDFSENRLLQKLLVGQIWALYSDIDKFPNYYALIHKVDLKSGKVQVRWLDSCPQGEEEKRLLQEGRAIACGSFEVSNIHEMMTYRGTDAFSHPVGATSTGKKGKYEIMPRLGEIWAVYKNWRTGWSALDYEKCEYELVEIFGHTDSSTQVKLLRKVDGYNTVFMPYQGEGSVKTIRKDEYPTFSHQIPCFHLTYEKGGKLRGCLELDFMSLPEEFLITKSR >Et_6A_046561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1724867:1733201:-1 gene:Et_6A_046561 transcript:Et_6A_046561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKRSYLVLEMAALRERMLQLGFRFNPTAQEAVTYTLPRLIAGEPLHPAVRPYIHDTDIYACEPGVLAAQFEPTPRTGDRFFFTTCKRQPSHKAGKSSRAVRAAGAGSWHSQGNSTEVQDGAGVKIGEVKKLRYKKGGKLTDWLMDEFSCCSEDAVVGDRQRVLCKIYVSPRAGPDSAARQEDAAAAAAFAPPVPEESIAAPKRPAPSIAEQPCPKRPRREAMSTPPPCPPRTGMAPSSTTSAATRSPASALAPVLALTRPVQASTVAQAACSPVAARDPFCLESPAAAQDDEDDDFLSLLEGTLETEQAEEDEARDDTDWFAAFKPANQMIFATLEEEVAPEMEEASGKMFGEKIGFLSMAVEVRSDKEAK >Et_1A_005016.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22010140:22010268:1 gene:Et_1A_005016 transcript:Et_1A_005016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaJ MRDIKTYLSVAPVLSTLWFGALAGLLIEINRLFPDALSFPFF >Et_9A_061436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11904123:11907241:1 gene:Et_9A_061436 transcript:Et_9A_061436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCWFLFLCLIFVVFQILQQTITQELYKQREPNGRSFAMLHCWQMLKHNEKWINRDKDPHPLKKRGSSDLDFGDYGADDDESGRSTTPSSDWPANNRPSGRKISKERMKRGGGEGDVFQSTVQDIIVTKKELEASRKEDKHAKMEADNQWREYIKSVEERKMAIEQERLRVQKEEAIARKEEASARKEEANAKKMKQQMNIMFMNISGLDDQQKAWVLATRGDMAREAQKVSSESDNGNDGSVEEEKKQGRDIGRQLLGDCRSCSSLNPENPIGNLPIKATDGCLHWKERSLSLVKDIVSDPWYGAINVSAVLQKWNQALCIGRVCLEP >Et_2B_020685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22778089:22780312:1 gene:Et_2B_020685 transcript:Et_2B_020685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPPMVVVEAAAQRAWIAETAAVRRRPGAQDAEVAVPVCVAVDPPAVQPDLHRNSRRRGYVPHLHHGNGEISRERLAVLAVHLYQTVQRAAAAVERLVRREQAAPRDEVLVVGVVEPHGALLVERRLGVVPAGFAGLTAELREGRVDLAVAALAIIAVDTGPVVVAPRLAHGVRAGERHHVARVEALGLERGNEGGDVVVRAGEVGLGGGFVGGAGVHAAQRHGPCRPAEQGHGVAGGEREDVGAGDGARAGALEVRLDLVDELEAGEGLVHLGVLVALLVARAVQEHGRWKYQQGDYNRPRESGSRWPCTREKRRRSVAPTKGSSCWTPPWLGHE >Et_1B_011261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19694569:19696900:-1 gene:Et_1B_011261 transcript:Et_1B_011261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVSKSWAESRLLWHVAFPAILTEVFQFSIGFVTTGFVGHIGEVELAAVTVVENIMEGFAFGVLFGMGSALDTLCGQAVGAGQLGMLGVYTQQSWVVCGATAAALAPAYAFTAPILRSLLRQPADVAAAAGPYARWAIPRLFAHAANYPLLKFFQTQSKVWAVAAISGASLAVHVALTYVAVRRLGCGLRGAAIAGDVSHWLIVVAQFIYMVRGPFPDAWKGFSVRAFHNIGAFVKLSLVSAVMICINYEFWTMMVALGFSTAISVRVSNELGANRPKEAKFSVAVAVSTAAFIGVIFMAIFFIWRTGLPKFFSDSKEVIHGASRLGYLLAVTVFLSSIWPLLSGVAVGSGLQVLVAFINVGCYYLVGIPLGVLFGFRLKLGALGIWMGMLTGTLLQIIILLLIIIRMKWERQAMVAAARITEWGGKNENQEMSASIHI >Et_3B_031426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29072288:29075299:1 gene:Et_3B_031426 transcript:Et_3B_031426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNGRPLKRARVRVEARDFAGFPAVGDGGATGTFREAVRGFLAKHARLLPLPSIFSPAAAAAPPHLLIWRVSLTVGEEGEDGGGGRVELNVVEEDVLRSRSVYCDQCRVVGWSGHPVCGKRYHFIFENDSNQLSGCRRTCCLRCGTPMVAGESRCLLCNFDMEGEEFEECAYLHLDESSHLLHAVVHANGYGHLLRVNGREGGSRFLTGHDIMSFWDRLCKVLHVRKVTVMDISKKHGMDYRLLHAVTNGHPWYGEWGYKFGAGSFALTSDTYQNAVDMLSSIHLAMYFSRRSPIRTQLQNTIALYWTLADRQLVTLRDLFRFVVHLLHQAKKMSKPSTDKHKELTSNALCMWTKEDIDRAEAAMLKVLRAVHTGQWVSWRALRGAASKAVDSQELLDHSLRELGGKQLDDGHFVAVRCNAETSAIEYRLESSSIQPPSNATAFEPSIDHLLHDLRFLYDALLNPESMLSSQPEVASRAFQETYLMFQSFQAEQLPDFPNFSDTTPVKHVLGSGQLVRVRGRCTGDYRRIVQFRMERGLENWTVDCSCGAKDDDGERMLACDMCGVWQHTRCSGISDFEEVPDNFICKKCASPRKGKGRGGGGGNGGRMEVSAAGRCKDEMPIGGAGKFGRMATVG >Et_1A_008225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5401994:5411031:1 gene:Et_1A_008225 transcript:Et_1A_008225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFVYVILGGGVAAGYAALEFARRGGYSRGELCIISEEAVAPYERPALSKGYLLPEGAARLPGFHTCVGANDELLTTKWYKEQGIELVLGTKVISADVRRKTLLTATGETISYKTLIIATGARALKLEEFGIQGSDAANICYLRNIDDADKLVNAMKSCSGGNAVVIGGGYIGMECAAALVTNKIRVTMVFPEKHCMGRLFTPKIAEYYENYYTSKGVTFVKGTVLTSFEKDTTGKVTAVILKDGKHLPADMVVVGIGIRANTGLFEDQLVMSMENGGIKVNGQMQTSDSSVYAVGDVAAFPIKLFDGDVRRLEHVDSARRTARHAVVAILEPSKTRDIDYLPFFYSRVFTLSWQFYGDNVGEVVHFGDFTSSNPRFGAYWVSKGRITGAFLEGGSREEYEAVSVAVRRKSKVADMAELGKQGLEFAIQESQKQMPDGGLAVGEKPTYAWHATAGVVAAVSIAAIADLMARSFEYVILGGGVAAGYAALEFVRRKGDAAAGELCIISDEDEVPASRHFIHVLVPMMNYSRHNGTKKMLILGTRVVSADVRRQTLLTSSGETISYKTLIVATGARAMKLEEFRVSGSDAENVCYLRNIKDADKLVSIMKSCPDGNAVVVGGGYIGMECTAALVANNINVTMVFPEKHCMARLFTPKIAEFYENYYMSKGVTFIKGTAVSSLEVSSGKVTTAILRDGRRLPADMVVVGIGARARTDLFEGQLVMERGGIKVNGQMQTSDASVYAVGDVAAFPVKLLGGDVRRLEHVDCARRTARHAVAAALNPAAAGDIDYLPFFYSRVFALSWRFYGDNAGEAVHFGDFSSSPPKFGAFWVSAGRIAGAFLEGGSLEEYEAIADAVRRGAAVPDVAELARLSLAFVVREGRSGGSRGGGLCGSMPTHAWHTTVGVAAAVSIAALAYWYIWKAPYLAKRDF >Et_4A_032964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16114533:16115737:1 gene:Et_4A_032964 transcript:Et_4A_032964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSATIPCASILFLLLLPPCASDDRILPGKPLSPGATIVSEDGSFAMGFFSPSKSSPEKLYLGIWYNDIPEFTVVCQPRNPRNNKQQHVPCANALPASQHLRPRLVRRQRPRCLDDQHRQHRPCVTGGLVVRSPNGTTLWQSFEHPSDTLLPGMKLRVRYATRSGERLVSWKSPDDPSPGSFSFGADPDRIIQLVLLLLRNGSTRGPVTVMRSAPWTGYMVASQYQPASAANSSSSPVYVVFVNTEDEIYLTCSLADGAPRATRYVLTHAGEYQLQTWNRSGAWPGTGLCCRYGPCDGTGAVPACRCLGGFQPASLQEWMPANGDAAVQQRRIRGVAGDEDTRRVRARREHERRGVRRWNCSCVAYAYANLRLSSSASTGDVTRCLVWAGELIKHKFS >Et_4A_032596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11738225:11741185:-1 gene:Et_4A_032596 transcript:Et_4A_032596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDWIDGEARRGFGRMGFGCKHYRRRCRIRAPCCNDVFHCRHCHNESAKDGHELDRHAIESVICLVCDTEQPVAQECCHCGVCMGEYFCSSCKFFDDDLDKEHYHCKDCGICRVGGKENFFHCNKCGSCYSTTLREKHCCIENSMKNNCPICYEYMFDSLKETSVLRCGHTMHLQCFHEMLKHDKFTCPICSTSIFDMDKFLRALDAEMEESYYYMGKGWIVCNDCRDTTQVYSGVAGHKCCHCQSYNTCRVAPPVLP >Et_10A_002285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7044264:7056048:-1 gene:Et_10A_002285 transcript:Et_10A_002285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLELWEILKEAIMAYPAVFFTAMAVGVALYHVVSGLFAPPQREPVPLPPPVQLGELRDVKALRLFYGSDGPYALFAGKDASRALAKMSFEPQDLTGDISGLGPFELDALQDWEYQFMRKYVKVGTIKKNMPVQDDYTARTSPATNEASPAANETTVDTEAENPPATQEKPKAAVAEEVNEKEAPAHKEPAAGEGAKRVRAYRMPNDRRKKRAMVYNVENF >Et_1A_006408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2201405:2207928:-1 gene:Et_1A_006408 transcript:Et_1A_006408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDMGELPQTPRSTAGYDDFSLLEDDGDLAAAILSRLGSSRREDDQHLCATAAAMAQAVRDQGVPATPVAYFAAAAAALAPLARAGAGGADRNVAGALLAFLSAGLPSLPAAVVRARGRKVADDVVRVLEFPSTPDSGVRAGLRCIAHFITKGERASWESVEPLYAVILRLATDHRPKVRKQSHSCLRDVLVSFQRQTVLVPASQGITMCFQRFVLLAGGSKAVNTVGATEVLYILDALKCCIPLMASTPSNTILKDFKGLLALHEPNLTRSILDVLHAVGDSPTLQLKSDVLLDLLCSFGLSVSSERKSGDEMASIARLLLVGTKKVYTQNKNICIVKLPLIFTSLGDILSSEFEESRFSAAASLKGLIDSCIDETLVSQGIAQIKARHQGSKSDPTVIEKICAIIAGLLDVRYSDVWDKSFHVISVAFDKLGEFSSDLLTEAVKNLADMQNLSDDDFPFRKQLNACLGSAVAAMGPKNVLEILEIQSICDENEWILPILEKHIVGASLQFFLKDILGIVRAVEKSIPKLLKGDKLFSAKRAEGYVYSLWSLLPSCCNYPCDTSSNFRGLQNVLCDALQNQPDLHGIVCSSIQVLIKQNKEALSLSREEEIPAEDEISKSERRARERYTKELAEENLKAIRAFSSKLLEVLCSIFLASSKDAIGLLQDSDSLIQKRAYKVLSMLLKDAEFIEKNLDALLELMISSLPCQFPSKRYRLECLYHLIIYIMKDSSNVRKREIVSSFITEILLALKEANKKTRNRAYDLLIEIARACEDTGSDERDSLHQFFGMVAGGLYGQTPCAISAAVTGLARLTYEFSELIGVAYKLLPQTFLLMQRNNREIVKANLGFVKALVAKSKADVLHEHLKGVVEGLLGWQSDTKNSFKAKVKSLVEILVKKCGLDAVKAVMPEEHMKLLTNIRKINERKMRKAGSSEDGDAMSMTSRATRKSRWNHTQMFSDFGSDDVESEDPFSTRHTIASRTGSKASTRFSKKRQADKNLLEKFIDQSTGEPLDLLDQKTVRLALKSAGGRKRAAPDDDDEDFETDPEGRIIVRDERDKRKKKPVSRDHEADDRTSVRSQSVKKRKTSSSGWAYTGHEYTSKKAGGDLTKKDKMEPYAYWPLDRKLLNRRSDRKASARKGMASVMKVAKRFEGKSASAALSTKRTAKMKHKKNK >Et_3A_026105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4375477:4379064:-1 gene:Et_3A_026105 transcript:Et_3A_026105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSLCPSPPPEDGSSFKSLDNLVLQSPQPMREDYIQNAVNFLSHPKVKGSPVFQRRSFLEKKGLTTEELDEAFRRVPDPQPNSSDAAAIGSQQANNPNSSSAMQPYTAVQSPQAADGSLAAGPIVPHTKAQFSWVHALLGAGLFLGIGASALKSWTRRVVAEGDENVNDELNSKIYEEVKEAVKVSASAFSDIARTNEEVLASKDEGSECSLKFIIFGLLSILIKLSEALDAQANVFKSLSETLIISERIGSHNTICWKNMFSLHHGMTNMYSASPNSGFDSGRHSFMTSPAEPTYGSFSGSYTEQRLQRPGYGFQPQTNNDRSNLGAQGSYQGGSSYHLQAGNAVASPAAVAPESPSQRRWVPPQPPGVIMPEAAAAIRQPRSPLPRQQSQPSDGRLSTDVPRASEPAMAREQVNGGAPGRESPSDASTVMASAGSSGNEEQQQVDA >Et_9B_064210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12662236:12666905:1 gene:Et_9B_064210 transcript:Et_9B_064210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHERGCRPLHQLWQCASSDKNAQEQHISGDGKDNTQIAVKARPIFPHNDAESRIYGGSTLNKQRRKISFYICKAALPEEPLKLNDPKESSMAIKVFKLVVLGLVVIMLTTRTTIGEEDCQKEKSLVKHVCVDSIKIRGPYVPPTAPCRRAVELSNMLCICRKFTHVDEITVSMTKFVQLSSDCDKPLPAGTKCASKYMMLLAFMVGKCAHHHRQECNNNGRANLHIWHYLYPNSSFATGEKDCYKEKFMVMHLCYDTIQIKGPYVPPTNPCMSVVEASDILCICRKFTHADEIIISMTKFFQLVSECNLPLPVGTNCGSKLESATTTAITKSILTMGVN >Et_1A_007308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33172234:33175984:-1 gene:Et_1A_007308 transcript:Et_1A_007308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSASPATVASHRVSPSPQPFSSLSQRSPVPSLIGAVGFRSCSRRASPAASVRAATDSNQAEYEDMNAERLNAFQESGARNQNYMAMYSSIFGGITTDPSAMVIPIDDHMVHRGHGVFDTAAIMDGHLYELEQHLDRFLRSALMAKIPLPFDRSTIRNILIQTVRASKCPQGSLRYWLSAGPGDFLLSSSGCANSAFYAVVIESQSLQAPAGCKVVTSSIPIKSPQFAVMKSVNYLPNALTKMEGEENGAFTGIWLDDEGFVAEGSNMNVGFVTKSKELLMPHFDKILSGCTAKRVLTLAEQLVADGRLSGIISRNVSVQEGKTVDEMMLIGSGILVKPVVQWDDQIIGSGKEGPVAQALYDLIVEDMKYGPPSVRIPVPY >Et_3B_030727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6868276:6871044:1 gene:Et_3B_030727 transcript:Et_3B_030727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKSTGPDSIAETIKRWKEHNQKLQEVNGPRKAPAKGSKKGCMAGKGGPENVNCAYRGVRQRTWGKWVAEIREPNRGKRLWLGSFPTAVEAAHAYDEAARAMYGPTARVNFSESSADANSGCTSALSLLASNVPPAAQRSDDKDEVESVETEVHDVKIEVNDDLRSIHVERKTLEVFRSEETVLRKEGDISYDYFHVEDVLEMIIIEMNADKKIEVHEEYQDGDDGFNLPPDTVSILVTCKYDTPLLCERAHDTRWEMLFLRAISAFCHESLRDQRVHITPVMNDKGSSLPMAMAMTGQYSCTFQSPLADRAYENRGDDTPNDWFEDRSSTIQLVTARFIVCIHWSSRTEITRSLVDANQEGQGQESYVEHVLEAALRLCPRKPEG >Et_1B_012802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35144418:35151332:-1 gene:Et_1B_012802 transcript:Et_1B_012802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHETGGQNKGTAGVALVLAAQIAISTTRPPLLSSPLTLLKDSLLSYPSFRMAGELRLRRPPASEGEGAAAASSSNGKAGTSSGGEGSRKKEALGWLEWGRGWLAVVGEFFFQRIAASHLANPLELPPLEGVSIIVTGATSGIGLEIARQLALVGAHVVMAVRRPKVAQELIQKWQNSENERPLNAEVMELDLLSLDSVVKFADTWNARMAPLHVLINNAGIFAIGEPQRFSKDGHEEHMQVNHLAPALLAMLLMPSLLRGSPSRIVNMHTVGYVDAEDMNLRSGKQKYKSWLGYSNSKLAQIKFSSMLHKRIPAEAGINVICVSPGIVDTNVARDLPKIVVAAYHLIPYFIFDAQEGSRSTLFAASDPQVPEYCEMLKSEDWPVCACISYDCNPMNASEEAHNLETTQLVWEKTLELIGLPSDALEKLIEGESVQCQRTIRIDIAVPCIGGPRWHVVAEDGEISVVSKKEIVDRVPGATGTVHHRGGRWNLRSGLGFLRGWGEREVESELGTARFAEGTLGVLALLNTSLFEPQEKGVSRI >Et_9B_064330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14056462:14059714:-1 gene:Et_9B_064330 transcript:Et_9B_064330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGSSSRRRRDDYYPPTPPPPPAPHHYPSSYPPPPPAPAPHHHHHPPPPHHHRHPAPPPPPPAPSSYYYHHPPPPPHAYHGPWHPAPMPPPQLQQQPPPQLLGPPPEFVEHQQARKVKNAVNLHKDTIRLVPDAADPDRLLVAFTFDAITDGSVTIYYFAKEEKDCSYASIYPELQIPTKIPFQKGLAQRFIQPSGSGVDLGFFSLDELSNPSEEVFPLVVYAEAFPSPEEGDELGNSTRAQITLAVIDKHNDDLRVKVVKQILWIDGVRYELQEIFGMDNSTETNVPSADDDDTGKECVICLTEPRDTAVMPCRHLCMCSECAKALRFQSNKCPICRQPVEKLMEIKGLHIEEVFWFGMIHGDKML >Et_10B_003106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16631216:16641939:1 gene:Et_10B_003106 transcript:Et_10B_003106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRRCSPYTRHGFLLLVSLSFLSLFHNESVAAADIPSSLPLDKRQADIMATLASVVGKNRWNTTLNPCDSWSGVGCSSVGSGSSVISLNLRGFGISNSAVFTSICPLDTLEVLDLSKNSISSLPNQSFHCTMSARLRSLNLSSNRLSGLLANFSVFPKLEILDLSFNNVSGRMSTELISLPKLIGLNLSSNNLEGDVPRPTSISLVLEELVLSRNQFTGHIPKDLFQCSTLTMLDLSQNYLTGEIDSDFGKLHKLQVLVLSGNNLSGLIPATLTTLKNLSWFPANQNNFIGPIPIGITENLRMLDLSYNNLNGTIPSELLSPSSLETVDLTSNQLEGNITGNISSSLYRLRLSSNNISGVIPESIGDALALAYLELDSNNLVGNIPSQLGSCKSLTLLNLANNMLEGSVPEFGGLRKLEVLKLQENNLSGPIPTVFSGLTNLGILNLSQNFFIGEVPPDLLNLVNLTNLNLQGNNISGELPSTISSTSLIELNIANNALIGPIPKMPISLKTALNLSHNQLDGPIPPSIGLLNDLEILDLSYNNLSGQVPSSLESLQSLTILVLSYNQLSGSLPRFRPYVSVISNGNPGLTNVTQDNKDAPTTSRRHTNLIIIFALAGTSVGLIVLAAVAKCSQSKRIYRVEDEGVLVESDPQLMNGRLITMNNTPAIEFMKTKQGNWQITPFQALDFEVVTILQGLLEENLIGRGGSGHVYRITYTRQYNGSTGVVAVKQIQSAGWLDEKLERQFESEANILGNIRHNNIVKLQCCISCADSKLLVYDYMDNGSLDNWLHDHALVAGHSMTSRLSVPCAPLDWPTRLRVAVGAAQGLYYMHHECSPPIIHRDVKTSNILLDVEFRAKVADFGLARILLRAGEPYTMSVVAGSLGYMAPEYAYTRKVSEKVDVYSFGIVLLELTTSKKANDGGEHGCLAQWAWHHYQSGASVSDATDKCIRYAGYPGEIEAVFRLGVQCTGSSPSSRPTMKDVLQILLKCSKQTDQKTREERAVEREAAPLFLPQRGSRRRWFSSNNREIYEACTSQRSWNGQRKSQPLLSLN >Et_1B_012314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30789851:30791881:-1 gene:Et_1B_012314 transcript:Et_1B_012314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSEPPPTPGQRDELVESLAELFTNVSLMVRGELQGTNNQLSLLEKMNDRVAQEYSNYGDVAAGLRVFVEKLNEKNQGFDEYISQIDTIDQQVTEFEAVVSMLDKHVSLLEKKVKSAYHTASNP >Et_5A_041476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23335180:23339013:1 gene:Et_5A_041476 transcript:Et_5A_041476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDTKPSAPWLLLVLSSFFLGLSLASNNNGDSTFIYTGFTGANLTLDGMATVMPRGLLRLTEGNGQDKGHALHPEPLHFRKAPGSAVQSFSVSFVFAILSTYPNLSSQGMAFLICPSTNLSDTGARGLLGLFRHQNSDNASNHIFAVELDTIQNTEFQDINNNHIGIDVNSIISVQSYYTGYYDDNRGDFQNMTLNSHEAMQVWVEYDSDTTQIGVTIAPLKVSKPIRPLLSTIYNLSTVLADQAYVGFSASTGNIESEHYVLAWSFGINQPAPAIDVNKLPNLPHEGPKNSSKVMEILLPIATAIFALLVGFAILQFIQRRSRYAELREDWEVEFGPHRFSYKDLFSATQGFKNNHLLGAGGFGSVYRGVLQSSKLEIAVKRVSHDSRQGIKEFIAEVVSIGRLRHRNLVQLLGYYRRRGELLLVYEYMSNGSLDKYLYGLDGKPLLNWVNRFHIIKGIASGTLYLHEEWEQVVIHRDIKASNVLLDSEMNGRLGDFGLAKLYDHGMDPQTTHVVGTMGYLAPELARTGRASPPTDVFAFGVFLLEVTCGRRPVEQNRQSNGVLMLVDWVLEQWHKGLILISVDPRLQDEFDPHQASLVLKLGLLCSHPVPDARPSMRQVMQYLDGDMKLPEAMPESLSLGMQALMRIEGFDSYILSQASTVSDGPLTGLSGWWGASLIHAADIAHAASPQNGRSQGLYFAAVSLVCYVTKNSDIALEHHGKKLSPISSRLSIAQQRDFTSTHKYTQHTKISNQITRSTEHATSARRPTAKKAWTKDQLNNTSNRSSIAVSLGRPVDDPGMEEHRPEERDQLAAPGDGLRVPFQIVGRRDVEDHLRPESGQQLPRRLLAFHQLRELLPKLHATLEHLIIRGFTQQCHELPVLVLRRRSPRRSAARVEETPCFILDVVVQALAP >Et_9A_062341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2284069:2287795:-1 gene:Et_9A_062341 transcript:Et_9A_062341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTRSGGADASGTGTTSAQELSHSLPITRCTSMALRIDGSPAANKPLPMGGVLVGSHLLRRLAFPGKRLFRSQLGSAIVAFNNIVASSAIGVKLYCAIEDAFGISPSPNLGDRKRDELGLPRLPDGGVELFDAGHPRVVEQSLVGGEHDLVREHVLEVLVVEARRRDGVERHHGGVHLVVEGRPRAPPLELHRVGRVRGPHFAGEVVEALREGGAVGPADGVGPRERDHLVGGEPLAPETGDELADLVGGARDVVVEHLALDGDVAVAAARGDLVVDAAGEEGAVAGGEGDDVGVEDGARQCSETRPSRTALASSMMSKPRMLRLFAGESFLALFPGVELMRMEASHPQTKQSWKCIRIRPALTPGSRARPRRTALRTISSACGHECL >Et_2B_019400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27405167:27406069:-1 gene:Et_2B_019400 transcript:Et_2B_019400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQSPLRRWKRFFATFDAVDAAITAPGQRAGDEVLRQAKADVVQLLCDETEDNEAEELCRILDDVMADYLVALIKSAPMTPRALASTGLAKAVGALRELESERIRGLARDIVRGWRESVESEPVEARARVEELTKLSAEALAPKTTRPSVITSGADVDSEKKNKQVEDAPCTTKTACVKSAPVVGADQASMDKMMEATKRKLREGYQEAEDAKRRRKIVVIEAPPKMVQQRKMHPIIRERSQARSTTTDVRKMAQRKTHPIIRERNLATCAGSTAAARRSLMSSFCRI >Et_5A_040754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1210763:1213631:-1 gene:Et_5A_040754 transcript:Et_5A_040754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSSSAKYSAGKARYVMGEQRLLFALVGMAIASVLFLLLQAPHDSSTSSSAASSSSSGSRSVAHLAAVGLASRPMMTTGRVPLGLKRKGLRVVVTGGAGFVGSHLVDRLLARGDSVIVVDNLFTGRKENVLHHTTNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQTEAYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVSSPFRFSFHAPKASQFIALVTALAVEGLMKLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRANTADDPHKRKPDISRAKELLGWEPKVSLQNGLPLMVQDFRNRIFGDQKANANDGDN >Et_9B_065818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13706514:13708339:1 gene:Et_9B_065818 transcript:Et_9B_065818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGWGGVPADVFTAILLRIRLIHWRWLRLVCRHCRDVVDERTPEPRAHAKVLAFYTDGDRSRAFVVDGLPGGAQRGAEPADQQGAHDRHLQRPALPVERRPNFGDQPGHREMITVDAPATPEWREVAAPAGSSCDLRFGFVSVHGVTYWVTEDAKQIMSFDLKDDASAAAEGSL >Et_7B_055212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8359356:8364527:1 gene:Et_7B_055212 transcript:Et_7B_055212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAWRKVRKALGLRLCAHAPVVSHRRGTSDASGGCGRDTAAASAGESGSSMPAGALRRSKSGNRSASSSSKGKCAICFASMRSGHGQALFTAECSHMFHFHCISSNVKHGNYVCPVCRAKWKEIPCRSLSSNSSHGRIGANQSRSPQQNPHMALHQQVRNHRRDVRRLHTSEAVDYNDDEPLQHKDAFDNLDCGPCKTAQISSYPEFQGVPQSSSLHEFDILIHLKAPTATFIGNLVDESSVRPPSRAPVDLVTVLDVSGSMAGTKLALLKQAMGFVVQHLGSSDRLSVIAFSSTARRLFPLRQMSHCGKQQALQAINSLGAGGGTNIADALKKAMKVIDDRRYKNSVCSIILLSDGQDTYNISSNVQGISAGHRSLVPSSILNDESHRVPLHAFGFGADHDSDTLHSIADASGGTFSFIEDEGVIQDAFAQCIGGLLSVVVQEMRLTVECVHSGVQLCSIKCGSYPSKLASEGRHGSVDIGQLYADEERDVLLSVTIPKSREQTSLIRVACAYRDPVTNEVIKIQGDEVKIMRPTSGIPESVSIEVDRERNRIQAANSIESARAAADRGALSEAVTILEDCRRVLAQSFASQSGDRLCVALDAELREMQERMANRQLYESSGRAYMLSGLSSHSWQRATARGDSTGSSTLVYSYQTPSMVQMLQNSQSHCPSSNGQRPQVRSARPFPEQPRARVYLRNSGDHLLRPARAHAVASITIRCHGDGHVHRRLAPRQLRGQPIAELPRHGNVQAEHSGGDLALRRGPRAAGRRHEAAAERDAAVGHGRARVPAHAGLEVLVWVSDVDGDVEHRGDDDLGDAARSDVEAADAGDGYRVLRLRRRREKEYYVKADAEHRGEDEAHRYDGDVRA >Et_7A_050903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12105698:12108093:-1 gene:Et_7A_050903 transcript:Et_7A_050903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFRKLGRPYNHRLSMLRTMVSQLVKHERIETTVAKAKEVRRKADQMVQLGKEGTLDAARRAAEFVRGDDVVHKLFTELAYRYKDRAGGYTRLLRTRIRVGDAAPMAYIEFVDRENELREAKPATPPPPQRVPLDPWSKSRASQQWAGPKVSKDSETDGL >Et_1B_014030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2850897:2853176:-1 gene:Et_1B_014030 transcript:Et_1B_014030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDSVVIRLPDPRAIRVVARSVLLAVALLSLPWLRAAEAPARSRTAIDACGAAAVHAELLLRDLRREGLLLPGARAVVLGADGDCDGPTPKLHDQDSPMRPLSLRRMLMIGDSSVDFLLDFGYFDEDRDRFGFADRVLKNGGILVAPIGSLSVLSLPQNYRVIYIRQFAETFVGIKKIVHASDNGDTNMDLSSPGALKEELISSQPTETANGELKVMGRKLLRSDITGPSSVLHPQFRIRVDSAELILNSLCH >Et_8B_059486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18402233:18404362:1 gene:Et_8B_059486 transcript:Et_8B_059486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLTEVAQMFARFKAAYARNDLDACVTLLSQLKVQLTKFPSLPPLFQQTPNAVEELKLARDIYEHAVVLSVKLEDQDAFERDFCQLKPYYIDTCGIIPPSPEEYPILGLNLLRLLVQNRIAEFHTELELLPVKALEHPCIKHAVELEQSFMEGAYNRVLSARQAVPHETYVYFMDLLAKTVRDEIAGCSEKGYDSLSISDAKQMLMFSSDQELHQYITEEHPEWEIKNGFVFFRKAKESQPCKEIPSLQLINQTLSYARELERIV >Et_7A_050369.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:10217863:10218360:-1 gene:Et_7A_050369 transcript:Et_7A_050369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TPRTRATSTTRRPAGSPKPTASTKAALAGRRTATSWLAGGAPPAAAASSPSCLLRRTLFFFELVFRGGDHAVVTCTPLLDEPVTEAYSVHGYYLWWSRRRSGSLDCVCKTCRRRMDVTHPDLMRTFACGHREVERVCKMCYLRSPVLHPPPGEFAFGYHDRKRTC >Et_1B_009906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10226725:10227840:-1 gene:Et_1B_009906 transcript:Et_1B_009906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLAGSKLLRVDHGVREDRELVDAARKALAMAIAVGEATAHVALGMYGAVGALNGVLIVLQLVSASAVVVFLEDLLDKGYGLRGASAISLLSATNTCGKVVWQALSPVNMMNLLATGVVMLAAVFLEGFRVLLPLHHRDGRRGRGATATFPIKLLYTSTMPIVLHSALVSFLYMLSQLLHYSRYGAGVVARLLGSWKETSYAAVPVGGLAYYVTPPAGLAHVAADPLHALFYAALLLTSCALLSQAWVERLAMPGARDGAVYSQLKRYIPTAAALGGLCVGALTILADITGAIGSGTGILLAATVVYNLVDNFKTAGEY >Et_2A_016921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29442139:29445512:-1 gene:Et_2A_016921 transcript:Et_2A_016921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNADGPPPESGDRAVRFPPSLFEHPNLPDLFRSTKLDLTYSMLVVDCIAYLTVQAPDQDGTDQTASGHNPRRPNLSLQIPARTLDNQMPTSTRINIPASPSSTRAGLPPRPNSTRTKSSIKNIVPQNSFRARSSAPEGDRVILLNPGTPSEGQQENLNTARSFSFRKVINSLAAKRTHSLPVTPMGTTEKVSSPGNQIENLPTTSNQEVQAKIRRSLSVPGNRKNKSLRRAESLGVIRVIPTTPRPVPVDTITSNDGIQETADVPEDEGEDIPEEEAVCRICFVELNEGGETLKMECSCKGELALAHKDCAVKWFSIKGNKICDVCKQEVMNLPVTLLRIPTQTANRRMANAAQQRAAAQQYRFWQDIPILVMVSMLAYFCFLEQLLVTDLQSRALAISLPFSCVLGLLSSMIASTMVSKSYLWAYASFQFAIVILFAHIFYNVLRVNPVLAVLLSSFTGFGIAISTNSLLVEYLRWRSRRNQRLPQRASNDAQRPESENNHENENNGDRQQGHNPESGNNAV >Et_1B_011284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:223108:224821:1 gene:Et_1B_011284 transcript:Et_1B_011284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKKRSRHRKAVKFYSTCFGFREPYKVLVDGTFVYHLLTQGLLPADEALRDLLSASRTPVLSTSKCVLAELRRLGKSHAHCFDAASLLATTQCEHEKVVSAVNCVLSLIGDQNPEHFFVATQDADLREKLREIPGVPVIYGLKNSLFIEQPSVQQRKFAQLDEEKRLNMDISEYKKLLKAASEGKTADDEIESGGEQHKRSISSLVKNALGVADKSKFKRNRAKGPNPLSCKKKKPKPQSSGAQDQGPKADGESKRKRVRKRKKGHKDNKQAETAN >Et_1B_013809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17529838:17532565:-1 gene:Et_1B_013809 transcript:Et_1B_013809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVDLGRSYGIIPSTVHGQNKRKNIIDVECGRAIFRQTRRQCSNDFRGPGMHGICLAHILLLLTHKPPRCRALAVTCENCEKMKFGKRLKKQVMESLPEWRDKFLAYKRLKRLVRLVSANNSSTRRAAAEAAFVRLLDGEVDRFNAFFLEQEEEFVIRHRVRPLHRSPDKHVMRLRARCCEGHGVMQELQEMVKKVAAGPCGAAEIRRVRKEIVDLHGEMVLLLTTAPSTTQLSLVGELSLSNGCPVNLLAGLAKIVKKYDKRTGRLLRLPFIEKVLGQPFFATELISRLVRECEATMEDVFEAQRHHAGAGTMPVASELQGIFRNTVAALVTMGELRSGSSTYGFFSLPPMAAPDSPQSDVRRYIQVADPVPI >Et_6A_047924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24082734:24083602:-1 gene:Et_6A_047924 transcript:Et_6A_047924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQDLQDRRATLGHTNAFLMAGAVAMSLGGSHATLMAARLITTLGSGFARVVALRADRSSSTSAPSLATRTTLAGPWCSLASRPNSRQPCSAASTRLSSLLCPLHCRGAEVAPTATASVVALPDGTFFSYSSPQRSGSPSLRATTAATTGSSCTARAGLRVNRVISGAHAIPHDGVDIMTLHYLVASRTGDGQLMVRREHTRRSGSPWFRRCPRGSAATRCSSGARAPLVRPWRSS >Et_4A_034976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8443878:8448724:-1 gene:Et_4A_034976 transcript:Et_4A_034976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHQRGGGCCYTWRRRTVGVIAHVPGQTARDAGYCAPATRPRQAAGWDGHRAISGDQPHTRLGAQQRSLMALVADFAAGRDGGYCSRSRWPCTPTGWGNRMKQGNAARMEDAGGVRWPEFFTANTEVVASSLANTTPGRACDLGFLGLAINRLTALQIQAVHHTNGYDLQSRQVAIFHRTLQEKWRKLDERRRNPRKGRRPKSVDDLVAGELGDGLGALGDGVLGELAGEDEADGGLDLAGGDGGLLVVARELGRLAGELLEDVVDEGVHDGHGLGGDADVGVDLLEHLEDILYVSTLFLAFFLPFFSPPSLPADLDAGSLFSALGFFPAGAFSAFSAEGSSSAGFLAAGFFSALGAIDRACERAREGMGVGIDWLVSEGFPWRVVDAGWTTVIIEALGGTQLAEKVGRRIDEMA >Et_6A_045982.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:15486137:15486491:1 gene:Et_6A_045982 transcript:Et_6A_045982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKARYVILVLQFATTRLSLLGVEFHTALMVTWHQRCIKQKSSCTFESDMWSLGAMMYEVITGSPLIKGRDPAGMITCMQEQVWK >Et_3B_029197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2317573:2320688:1 gene:Et_3B_029197 transcript:Et_3B_029197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKANAPATKRQFVLGSVTGIRSSSKSTLARRQDQQQKNEQLECRKLSQLDCVDMSNGRMDSQVKREKQ >Et_2A_018721.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5974749:5976224:-1 gene:Et_2A_018721 transcript:Et_2A_018721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTTTSRLPICRAQDVSKHSPPQKRSPPSAKVAPPPPSAPDAVSSRRKLLQSAGLGLGLGLAAATKKPARARAESAAAAPDEVTSNRMSYSRFLDYLNAGAVRKVDFFENGTVAVVELDDPALSRVHRVKVQLPGTPPELVRKLRDKGVDFAAHPVEPNMGLMLLDVLLNFGFPLLFLVSLFVRSRMNNPGAGGGPGLPFGLGKSKAKFQMEPNTGITFDDVAGVDEAKQDFQEIVQFLKSPEKFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFDRAKAAAPCLVFVDEIDAVGRQRGAGIGGGNDEREQTLNQLLTEMDGFAGDNSGVIVIAATNRPDILDAALLRPGRFDRQVAVGLPDVRGREEILKVHCANKKLDPDVSLGVVAMRTPGFSGADLANLMNEAAILAGRRGKDRITVKEIDDSIDRIVAGLEGTSMTDGKTKLLVAYHEIGHALCA >Et_2A_015886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18794654:18797409:1 gene:Et_2A_015886 transcript:Et_2A_015886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSSLLAAASSSCAAISPRLPRAAPAAASVPSPSRPSCPTLRASSGSSHRSRFVASAAPTMQPPTESRVSTVVDVDLGDRSYPIYIGSGLLNEPDLLQRHVHGKRVLVVTNTTVAPLYLEKVTWALTHNNPNVSVESVILPDGEKYKDMDTLMKVFDKAVESRLDRRSTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTLMAQVDSSVGGKTGINHPLGKNMIGAFYQPQCVLVDTDTLNTLPDRELASGVAEVVKYGLIRDASFFEWQEKNMSALLARDPSALAYAIKRSCENKAEVVAQDEKESGLRATLNLGHTFGHVMAADMSHRLGWIDDSLYKRVVEILEKAKLPIAPPETMTVETFKNIMAVDKKVADGLLRLILLKGPLGSCVFTGDYDRKVLDETLHAFCDS >Et_1A_006860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28308652:28311025:-1 gene:Et_1A_006860 transcript:Et_1A_006860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLSTTVHDTVPGHYVRPESQRPRLGEVVAGARIPVVDLACRDRAALVSAVGDACRSHGFFQVQNHGIDAGLIAGVMAVARDFFRLPPEEKAKLYSDDPARKMRLSTSFNVRKETVHNWRDYLRLHCHPLDQFVPDWPSNPPDFKETMSTYCKEVRALGFRLYEAISESLGLEAGYMGNTLGDQEQHMAVNFYPPCPSPELTYGLPAHTDPNALTILLMDDEVAGLQVLNDGKWVAVNPQPGALVVNIGDQLQALSNGEYRSVWHRAVVNSDRERVSVASFLCPCSGVELGPARKLVTEETPAVYRNYTYDEYYKKFWSRNLDQEHCLELFRT >Et_2B_020626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22065329:22076192:-1 gene:Et_2B_020626 transcript:Et_2B_020626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERQLVVAVEGTAALGPYWSTIVADYKLAGAPPELALVVFHTHGPYSAFGVQRSGWTKDMDAFLSWLSGISFSGGGFSEAATCEGLAEALTILQGSLTTTQNHQNLEAQKHCILVAASNPYPLPTPVYCLPIQSADHKESNESTKEPSIADAETVAKSFAQCSVSLSVISPKQLPTLKALYNAGKRNPRAADPSVDQAKNPHFLVLLSENFMEARTALSRSLHGNLAPNQTITKIDSAPAVTMPVPTSNANPSVNGTMMGRQPVGVGGITTASVKVEPATIPAMASAPAFSHITPISNIASQGVSALQSSSPSIISQEASIVNDSVHEQKPNIGVNQQPVRPGGHGSFLNNLSQASRLINSTSLGGAAAPMGLPNIGATPIQVHMSNMISSGMTSAPSVMPSISGSGQPISTQQMVQSASLGSFGANTSSISGNSNIAVSSSLPNTQSSLGMGQSVPPMAQGGLMASSQSGQGGIGANPNVMSGLGSTAMSSAPAMMPTPGMAQQTGVSSLGVTNSSAMNMPVGQHPNAQQPQPSKYVKIWEGTLSGQRQGQPVFICKLEGYRSGTAPETLAADWPETMQIVRLIAQEHMNNKQYVGKADFLVFRTLNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKAGRLIGMLFPGPLTTEEQGSVQVVFHGAGKMPRKPK >Et_4A_034514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:437122:439585:-1 gene:Et_4A_034514 transcript:Et_4A_034514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMDDDLLDFGNQLIHGDDHHDQTGGAEDMVDLYRLFEDDSSGTEQLTADSSETNHVQVEADLSELGHLLQTEDASWEQSQVGDEPSPPALPAHGAIQPAFADEPAADVLTTEPDHEMDFAEMLRVAAECGEDQDARLVWSEEEHKQLLHCLGLYVLASEAVFCQQFLLVFAIPSRDVMFNLILRYFSLYAEYDAAIRCFKIAFHLPKKTALDVALRCRWLQDKKNAAQKNKDVRKVNKVKGTKGAKTSNKIYPLSKEALDSKSTKELIRDNNMFLKRLEENLKTGQVSLLLCYTLAYLFTSLYCLLESNSVPAPFFWQLENTADHFYYLKTNMDAIEKRVRELGISIVMPLVDEQGLKEILRSRRLDPSVTS >Et_8A_058014.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1296244:1297308:1 gene:Et_8A_058014 transcript:Et_8A_058014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAQEDGVARQHAAAAAAAGGGGMAIPMHGFIVPKPEPVEYFGGMAMVRKPPPRNRDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQQSEPAIIAATGTGTVPAIATTVDGVLRIPTQSSAASSASSLAVVDGGGDESSAKRRRKLQPTRAAAGASPLATASPAAAAYYPVLADPLLQGTGGAAISVPSGLAPMTASGAPQGLVPVFAVPATGSPGAAAGNRMIPQATAVWMVPQPGAAAGAGNQPAQFWAIQSAPQFVNLAGAQTIPAGAMFQTSLNVADFQQQQQISHNSNSAQQHPGSGGSHDQQQRGGSGGGVDHPEEEDDDDDEEPVSDSSPEE >Et_4B_037378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19176932:19183945:1 gene:Et_4B_037378 transcript:Et_4B_037378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LCFPEFKDAITYLVFPKLGKNDLHHPIIKMGWLSKIFKGSVNRVSRGHYNGNSHDGYSPQHTKSYGTHGSDDEDMDHAIALSLSEEDQRKKGKAIDIDDRLDEDEQLARALQENTGHQLDEDEQLARALQESMNDGPPPRRNIPIDDGPPRDVPNKDIQSESASTSILPPYIFPSSGLRVCAGCKTPIGQGRFLSCMDSVWHPQCFRCYACDQPISEYEFAVHDDHAYHRSCYRELFHPKCDVCKNFIPTNKNGLIEYRAHPFWMQKYCPSHENDGTPRCCSCERMEPKDSQYITLDDGRRLCLECLHTAVMDTEECQPLYIDIQEFYEGLNMKVEQQIPLLLVERQALNEAMEAEKIGHHLPETRGLCLSEEQIVRTILRRPIIGPGNKIIEMITGPYRLVRRCEVTAILILYGLPRLLTGSILAHEMMHAYLRLKGYRTLSPEVEEGICQVLAHLWLESEITSGSGSSIATSSASSSSSSAPPSSKKGAKTDFEKKLGEFFKHQIETDPSVAYGDGFRAGIRAVERYGLRSTLDHIKLTDSVEKVPGF >Et_4A_032657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12212259:12219752:-1 gene:Et_4A_032657 transcript:Et_4A_032657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRLFDSSAAVRWLCSRKRNSGGFVVGFRIRRGSTYVITDVPAVQLHDRADYSPNDDLLEQEFMLKGRWFQRKDLEVINGQGKKLQCSHYMPAVIPEGKNLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSEGQHVTLGWNEKEDLKTVVNYLREDGHVSCIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSNLVDLMMELVDTYKYPLPKFTVKFAIQHMRKIVKKKANFDIMDLDTIQVARRCFVPALFGHAPATTTEDAIAQLRSRRLMSRMEVPSAATTEDTAERTEGMDSDVGPSSSVSSATPPNGRNGRLLTPTSEDGDYVEYSFDSLSDMPYTVEDEDRASTQITSVKPAPVESQKLTQNVNGEDGTRATLVVQKSRTGGLIDGLTQKWGSFFKNND >Et_3B_027424.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:15646255:15647166:1 gene:Et_3B_027424 transcript:Et_3B_027424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFSDFPEPLSAALTWRMPLASTSKVTSIRGAPRGAGGMPARSNVPRRRLSLVMHAPLALEHLDAHRGLPVLVRGEHPRPLGRHHRVARDQPGHDAAGGLEAQGERRDVEEDGRLDGGAIRDGLFGVDALVGLLAVEVVLEQLPHLGDPGAAADQHDLVDVALGEPGVREGLLHGGHGLAEQVGVELLEARPGERLREVLDAVGEGHSTTSTRTWCCELSARLARSHSRRSFPSARASRDTSLPCLRLTSLMKCSMTRWSKSSPPRWVSPLVARTLTSNVPPPRSNTSTFFSPPPSFWSSRP >Et_3B_029591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26256167:26261195:1 gene:Et_3B_029591 transcript:Et_3B_029591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSKQGQESVSTNTVSQPVPSSNVQSNQPQQASMFYSSLPGDWGAQPMFSTGASVPVSSYYIVPMSQQSVQTGASRPVASRPLGLQPLLSRVSLRPPQQVLNIQTSLPTMVGSQPSPSTAGKRSQQAVASPKVQMLKSTPLQSSNKRSAQKEMLTKVQPQQLDSVRSKFRESLAAALKTDSDQQNRSQASEDVQPHGSAEKMKPAEGDAAQDLMTTTSKDVSTTSSFQGTTVDAKKCEGDEKLNSDLVPNTIMSVNSGMQHQSNHGSSEDELGQCMVAADELLQGHGLSWVSDFNEFESKKIKSTIEAAIDKDIISQKAESLAFRIEEELFKLFGGVNKKYKERGRSLLFNLKDKSNPELRERVLSGDIAPERLCAMTAEELASKELSQWRLAKAEELAQMVVLPNTEVDVRRLVRKTHKGEYQVEVEEPDGMSVEVELGGNLNNIPSKAVEEQMSKDKTSPEDKVDVQEKSKTSDSSSQDEDGGTGNNDLSGGLDYIDGEKANLMQDLILDDTKEPENLPPIPSLDEFMQGLDSEPPFVDLSVGTPQQEDNDLEEPDTALVSEEQPETEDKGSAPEKAVSELDKPSPQIKSEPNLESPGHEEGRNSDLTEAREGDDAIKFSPKKDEFKQTNDNVINPDSVLHSKAATLPLIRESIWEGAIQLTLSSLTNVVAIFKSGEKPSLKEWRSFVEIKGRVKLGAFEQFVEQLPKSRSRAIMIMELCWKEGSPESGRQHLLQTIDSYISDERVGLAEPADGIELYLCPSQGKTVEILSRHLPKEHLESLAVEGSSFIGVVVWRRPNVPRVPSHHRHDVSKRQSILRKPQANKSIPRPSLPVTSYGAPPGFPNQHQQHEEDVTDDVPPGFGPGVARDEDDLPEFNFVNSSNPAPNVTAHAYKGRLHVPSARPAEQMRELVQKYGKRSSVQARSWDDDDDDDIPEWNPNQATHQPIRQPLLPPAPQQQPLPPPSVQQMHPYHQQQQYISPNALQPQVPMSPALSQAYLRTQQLPQQQQPAQAWQQSNAWWPTQGVAAATTANTVPHPQYGGVPGGSSVQGYDSGSVGGMAWRPK >Et_9A_061466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12269304:12269777:-1 gene:Et_9A_061466 transcript:Et_9A_061466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIEFLMLQEEIHYMARRTVMKDLSGGGGGAGAGGGGGGSIERYVTDYEPCTLPPPFTEAELAEM >Et_5A_041202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19367269:19367590:-1 gene:Et_5A_041202 transcript:Et_5A_041202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWEKAAGMGQFLFISSAGIYKPTDEPSTSKGRALDTWVWRSSSFRPQNMIGSGNNKDCEEWFFDSN >Et_4B_038063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25936385:25937141:1 gene:Et_4B_038063 transcript:Et_4B_038063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGGGRAGAGAVGSAGSLGLRVGQAVFSSASLLFMSVGVEFFSYTAFCFLVTIMGLVIPWGCTLAMIDVYSIFMGCPLRVPGVMFIVVVGDCVLSILSFAAACSSAAVIDLLLQFHGSHCSPRFCGRYQLSAMMAFLSWFLTAASALFNFWFLASR >Et_9B_065929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18019385:18020607:1 gene:Et_9B_065929 transcript:Et_9B_065929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGFLRFCIKTNEIKALSSVPIKLQQPSFMSDLDVQLPSAFDPFAEANAEDSGAGPGTKDYVHVRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIAKKENIKIHGF >Et_4A_031942.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31842152:31842583:1 gene:Et_4A_031942 transcript:Et_4A_031942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGMGTGLGFLMRTSTTRSARWMLMWGMWMPETSAVGLPKPMVSSIFSPMSWMKSFTLSMKPPPPSFLSPSSLWSLSLPLPRSVTVMFSDEDMLALSLLTPQERESEIRDRSNRDEIWIEWGEEDLEEEKWSTWLRARASR >Et_10B_003030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15628427:15631785:1 gene:Et_10B_003030 transcript:Et_10B_003030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDASPATGGEEYGGRVTTFVVLSCVVACSGGFLFGYDLGVSGGVTSMNSFLKRFFPEVYRQKQNSKVSHYCQFNSELLTLFTSSLYIAGLLATLVASSVTRRFGRRTSMLIGGVLFIIGSAFGVIPFLETMGNCINICIQKLYFQTIPMYLAEMAPPRYRGAINSGFELSLSFGILVANIVNYFVLKIKAGWGWRISLSMAAVPAVFLTISAIFLPETPSFMIQRDGNTNQARVLLQKLRGTTSVQKELDDLVCASNISRTSRHPFRNIFKRKYRPQLAIAIMTPFANQVSGINVINFYAPVMFRTIGLKESASLLSAMVTRLSATCANILAMIVVDRTGRRKLLLTGGVLMILSQFTICAILAAKFKDHEDLGKDYAYLVLIVMCVFVAGYGWSWGPLTYLIPAEVCPLEIRSVGQSIVIAVNFLMTFVIGQTFLAILCHIKSATFVIFAVLICLMTLFVYFFLPETKNVPIEQMQQVWRRHWFWKNIVRGEEEETEKQSKTITSLSS >Et_6A_047133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25770968:25771593:-1 gene:Et_6A_047133 transcript:Et_6A_047133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICREPAKEEDDAWRSMEWPPSRWRCHELSSRTGRWQEKVFVREGTLRSLDGDSLHIGKKLSTYIATVNMSEDVLVRCNAPSYQIPIDHSECYNDVRSFLGRSEKGVYFAAIYRLQLRVWILNEAPDPTEWILKPDDWWEVVHRGDYFQIKLDGPWVLDENEDKG >Et_7A_052535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9005250:9007254:1 gene:Et_7A_052535 transcript:Et_7A_052535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFPEGASEPPLAESYLALLRRGERDDGIAPPCSAALLDERELPVIDLTGDRAACADAMARAAAEWGFFQVTGHGVSPALLEEMRREQKRLFRLPFETKANGGLLNGSYRWGTPTAASLRHLSWSEAFHVQLASISGKDCDYGDLTALRGVMQEVADAMSRVARTVAVALAERLIGHDDPSFPAGCDETTCFLRLNRYPACPFAADTFGLVPHTDSDFLTVLCQDQVGGLQLMKGNRWVAVKPRPDALIVNIGDLFQAWSNNRYKSVEHKVVANAKAERFSVAYFLCPSYDSPVGTCGEPSPYRAFTFGEYRNKVQDDVKRTGRKIGLPNFLKHPPVGGGPE >Et_2B_020441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20086924:20095141:-1 gene:Et_2B_020441 transcript:Et_2B_020441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLSNGRSPLVRKQSQITAFFSTSPSPSPSPSGADNKASKPSPSPSPLNPTEAHPRRGLFPLPSQAAPPSPPPQPLQEEKKGEKGKKVEQDAATAVAVAAPAAEAVGRRLRVYWPLDDAWYKGRVESYDAGSCKHRVKYEDGDEEEVDLGKEKFEWAASEESTPPSQPARKLRRLRRMSDTAVAKSPAAIEDEEDGAGDSTEDEDWKKDAVAEDDSEEVELDDEDDDEVVPVWSRKGKQRTSLRMSGSGQSTPGSGLTLASGSTMSKKRKTVDVGSLGCAKKFSFEAFNSNGKVESEVPMSCDRRKQTTEKGPTALTGEAAERFGQRDAEKFKFLGEGRKDAKGRRPGNPDYDPRTLLLPSQFLTSLTGGQRQWWEFKAQHMDKVLFFKGEQPHCGFPEKNLSVNLEKLAKKGYRVLVVEQTETPGQLELRRKEMGIKDKVVRREICAVVTKGTLTEGESLLTNPDLSYLLSVTESYQHCSTKDQEACTIGVCIVDVSTSKFIVGQFQDDPERHALCSLLSEMRPVEIIKPAKMLSPETEKALKNNTRSPLINELLPSLEFWDAEKTIHEINQYYNSSDKLNSVEDSVSCLPNLLNELIGAGDKAYALSALGGSLFYLRQTLLDEKILPCAEFEPLACSGLTNNIQKHMIFDAAALENLEILENMRTGGLSGTLFGQLNHCVTGFGKRLLKRWIARPLYDRKAILQRQNAIATFKGVGNDASVQFRKDLFRLPDMERLLARLFSSCDENRRSSSVVLYEDASKRLLQQFTAALRGCQQMFQACSSCLLITADGSRLNELLSPGKALPNVSSIFEYFRDAFDWSEADRNGRIIPREGCDPEYDATCAAVEEIESSLKEYLKEQRKLLRYASANYVNVGKDTYFIEVPESLGGSVPEDYELQSTKKGFYRYWTPELKELISELSKAEAEKESILKGILQKLIQRFVEHHSEWRQLVSVVAELDVLISLAIASNYFEGPSCRPTIKESNGPDDNPTFHARNLGHPILRSDSLGKGSFVPNDVKIGGPGNASFIVLTGPNMGGKSTLLRQVCLTIILAQIGADVPAESLELSLVDRIFVRMGARDHIMAGQSTFLVELMETASVLSSATKNSLVALDELGRGTSTSDGQAIAASVLEYLVHHVQCLGLFSTHYHRLAVEHKDTKVSLCHMACEIGVGEGGLEEVTFLYRLTPGACPKSYGVNVARLAGIPASVLQRANEKSSDFEANYGKRHGLTKDKHVTAESEDNFSAFRDLFRIVKAWRPNEGAASLSMLREVQKRAKVQAVEG >Et_10B_003472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2004344:2012599:1 gene:Et_10B_003472 transcript:Et_10B_003472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRNLGTFLFSSVTGEWRFVTSISISDRIWWMKYPNMSRRCYAYNCLYWICRLHTVKVMFVLDMRRMQFSVIDLPLRTKRGIKTIAELAEGKLGLFTLCESTLELNTLEHNAIGSKDWQLDHVVPLPNCCCFFSITIAEGYVLVQGFPRDYLECRENRPDLHYFTVEIKTLSVERLCTLKFEAGPLQPILPDEVLEDIFLHLDDAADLARASATCACFRRVVSGFRFLRRYRSLHPPPVLGLLDYSSSNNSNRGFQQAHPPRRYAPAARAFAEAPTSTSPSSPATPGAGKSATSATAAGQDKGEPSIQLICNVMFNLTIRTFVFSSTTGKWRYVTVTPFSIANYWWMECPVMLTRCYARNCFYWMENRYSSKPVLVLDIREMKFSTIHLPSKSKGRDKIIVEAAGGRLCLFILDGNTLSRYYCKPLHDNAISAKDWGLDRKITLPVYNFLIISKAEAEGHILLLRISNSPAQSLEIS >Et_8B_060410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1396403:1400092:1 gene:Et_8B_060410 transcript:Et_8B_060410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTALSVGKFVLDGALVYAKSALAEELALQLGVQSDHAFIRDELQMMQAFLLAAHDEDDKHQVRTAWVKQVRDVAYDAEDCLQDFSIHLKKPSWWRLPHTLQQRRRIAKQMSELRARVEDVSQRNLRYQLVKSSSSKSSTFAELSSISAGTVFGIDEARRAARKEESKEDLASLINKQGENLRVIAMWGTSGDLGLTTIINQAYENPDIKKKFSCRAWIRILHPFNPNDFIRSLVKQFRSAEGVDVLLEKEKTGRDLAEEFTGYVNEKSYLVVLNDLSSFEEWNVIKTCFPPSSNKGSRIVVCASQVEVASLCTGQENQVVELKQLSTDQTIYAFFEKDPHVPSSMSSSNETTTSTNRPMVLTNDGLANQSEGSNERKVVTKSFTRTKTLTTAFEESLLIGREKEKSDMVKLLSDQPSQKPIVISVWGMGGLGKTTLVKDVYQSQALINMFEKRTFVTVFRPFILKEHIKSLVMQLTVESSERKETIDLEHGTRRKVAAMEIDSLIVELSRLIKGKTCFIVLDDLSSTTEWDRIMQSFPTLDGACQILVTTREESIAKHCSGKQENMCQLKVLEDKDALNLFTRKVFKDEAIDFDRHPELIEEAKLILKKCNGLPLAIVTIGGFLANQPKIAVEWRKLIEHISAELEMNPELEVTTRLAVDV >Et_6A_047835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19965748:19969264:1 gene:Et_6A_047835 transcript:Et_6A_047835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYSRDGVMSLGCLCRLVLRALPNIFTSLPGLLTKTFQSDILLNPPANVGNGSTELPQEILMDIFVLLEVPDLMRAGSVCSSWHAAYTSLCNTGPFRLHQTPCLLYTFESSSASMAGLYSLAEKKAYTLTLPDPPIRSRYIMGSSFGWIITVDDMCEMHLVNPITSEQIPLPSVTTIEQVKPIFDDAGSIREYEYSWYTGKEIIAVSPSIFVPSKLRDYLFYKAFLSSDPSTGDCFVVLIHNPHSQISFARAGDDKWIWLPPHQWYEDCLFQGRYLYASTSYGEIHAFDLGVPTDEPKIVLGAMKDYLSERIYIMKAPCGDLLQIWRSSASPQGDEDDEYDSDLELELDDEPRISTRTSTIKVHKVDLTLKKLVKISGLGEHVLFFGLNQSHCYLAEDYPLLKANHAYFTDDNNLDITLFKNDKRDIGVFNMENNIKEEIVSPQLWTNYPAPF >Et_4A_032405.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9475737:9475892:1 gene:Et_4A_032405 transcript:Et_4A_032405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKGIERATFLGMQKIILETDAAVLGNSLNSDEMDRAHMVVCSIMLKLY >Et_3B_031275.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23845462:23846208:1 gene:Et_3B_031275 transcript:Et_3B_031275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGPHDAAATAEGKYEPTRPLAVPSPAVHPAAAADDAVDAEAATAGRWRSMQYLRRRRCALWCCGCCATTVVILGIVALVLALTVFRVKDPVLTMNRVTLEGVDGDLGTARHPLSVNATLNADISIENPNVASFRFARSETDFYYAGETVGVAYAPDGEVGADRTVRMNVTLDVLADRISPNVNATDLIFGQDYNITSYTEITGRVNVLGIYKRNLDIKMNCSITLEVGAFSTVQSKSTDCVANVS >Et_2B_022259.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15700780:15701193:-1 gene:Et_2B_022259 transcript:Et_2B_022259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKKLAQFARKWQRVKTDTRDDNECCTTSPVADKGHCAVYTADGKRFEVPLSYLGTMIFGEMLRMSQEEFGFTGDDRITLPFGADVVDYVMCLLRRNASEEVEKAFLSSLEMPCNHSSYIAPPVVLHQQFAVCSS >Et_5A_041818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3109756:3112875:1 gene:Et_5A_041818 transcript:Et_5A_041818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGEAQPFRLFSKRTKREPKPKPEAVPVADDGESKPATTMVTETGQSDEAATAAAAAATFAELGLSQWLVDSCHALGIRRPTAVQRRCIPRALAGEDILGIAETGSGKTAAFALPILHRLGEDPYGVAALALAPTRELAAQLAEQFRALGAPLGIRCLAAIGGFDSLAQAKGLARRPHVVIATPGRIATLVKNDPDLAKVFARTKFLVLDEADRVLDANFEEDLRVIFGCLPKKRQTFLFSATMSDNLRSLLELSGNNSYFFEAYEGFKTVETLKQQYIHMPRDGKELHLLHLLPKPKQKEDPDQTTGDSIRSAIVFVSTGETCQYLDFLLQELGRPAVSLHSYKSQSQRLSALSRFKSGQVPVLIATDVGSRGLDIQTVDLVINYDLPRFPRDYIHRVGRTARASRGGLAISFVTQKDICLLHEIEDVIGKQLDARECDDREVNKDVTKVFKARRLTVMKINDEGFKEKVQARKEQKKRDIARKRKHED >Et_7A_050450.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15972715:15972825:1 gene:Et_7A_050450 transcript:Et_7A_050450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLPTRTPPSRRPWSTSSATPPKPRPCSGGATS >Et_10A_001606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5930185:5937858:-1 gene:Et_10A_001606 transcript:Et_10A_001606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGWERCGGNGAVEIQLCWGFQDGGLALEITVLQIGSAAASTAGKHLEAGAQVPPSTFLGKGSNTLSLSSIMAHKTAGPGYKKMMRVNQGQFKPGTNRSLTWQKPVSSDNLLITFSDDDSGPDSEKAKQDRVRDTKASSEGTQKTGNSMQTRITREEASWQKTHNAKIGSTNFPAFPLSLRNAGAGRGSGATFIRKELPLRQVTPLKAKQKDGNGGGVNSADHRLESLRHKIAARENELKGQKRPVVPAATKNADICNDQARLPSEKKGLEASNSGECSHLDNLLGHDTRPNKRLKLNQQHSYTQVHSELVTVAPVNSSSGVNNVKSSEVLNHFDNGTHMNCNADETEHRVTTEPSDQIQQVDATKNLPSAKIHHKSTEGAGNHDEVNLHGRLAAAPFTSDQSIPADTSALVPVTSSQVRQRVPPVGTSTVSSRRPHLEPGEKNADPFKCNGQIGVEGRNSRMFSLLEMEELQERELEEAQEHRRKCEVEEREALRAYRRAQRALLEANERCAILRRKREIRSAQVHGLLAENSSLVQSLSIQNAEEGLAMPSLLSSHIHADSQMLENQGGRYSLYPEEAPQQPVDKHEARPHSRDDLTTSSADPNFVRAANDNNLPSNYMEDCLFPARLARSECAMDIENRRDETIHVYAQENRQTSGDSAQDYELLEASLRSRLVERFGKKPCLNSTRESNEERAVGKVGGTERDKGHAHIGLQLQEAEQMRTLEGTMELGSDGADCTEKTGDLSNSSSGLSMGNCEPEDNISSLRELYMSSPNFPSSAPQNAARHMKWAFPGFSKELSPYGNDPLTNDATSEAMECVQERVRENVNMLPATQTENAMTHSGIDPFWPFCMFELRGKCNDEECQWQHAVHHSWRKSKHTKHATTSVPGRIPYGLLQHILPVPAYRVGSNLIKADLNLMQSVLANSLWQYWQRGFCASFPLPLSVQRVLPSGAPFLQAGDGWIADSDSNRQLLNFRMLDSRKNKILQGAVDVELFLEAALVEYCGKAHKPDRVKALLLLARSIEADPSTVILWVFYLHIYYQKDEGLGKDDMFSHAVQHNVNSYELWLMYINSRLRFDDRLDAYNDALSMLSQMTADNDNDNDLKERSASILDIFLQMIYFLCMSGNVEKAISRIFGILPTATHDNIGDKLLADVISCLTMSDRCIFWISCLYVSIYRKLPEEITNQLECQKTLPHALVWPPIESSVDNRSQIIDLLTYAADKMALDISESVKNGDPSYLMLSQFLTVNHISCLAALEGFKSSADMLVKYMEEYPICPQILLFSARLDRKYATCPGLKGFDELLSDWPREVQGIQYLWNQYIENVLSDNIELAEKLLACWYEKYGDDHSVQSNAAVGAVEVSIEASGYPSLASSEEVGSGPSTSDDEVYRLLNLSLYKILENNLKEALVAVDKALKSAHEECYEHCLREHAAIHILEKSSAVDAFSFIIGYLADHRNLPTRELLSRRFCENVKKNSLKQLIDDTIGPPSVDSSLINSVLEVCFGPSLLPEKIGKVKYLVDFVESVMEVLPANYRLTLAVGRFVAKHYTGADPTSMGTRFWAGSVLINSIFRAVPVAPESVWLEAADLLEKLHAAEMVKRFHQQATSVYPFSFKLWHADLKSCKASGSNTESIMESARQRGIELNLNS >Et_2B_019669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1195738:1204515:-1 gene:Et_2B_019669 transcript:Et_2B_019669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRDCWVFTGCRPTLCPSSQPTKWLAAPQASPGSHAASRAATPARAIVDRGRDGGWLRFNLAADDRDHGRCGYFSSSTDSNRLGIGNGEGFRSGLWPAHVYIRARDRTVKYQHTYTYVPGNESEKNLVASLAESTSATDTKEPDVTSSEELLMSRRTRVPHGTPNRSQPSASGRCYSASSGPTIWVRSPPDWHFMFCIRMDRQGSFHLYPDQLGGPFQSLQEAEDAIDHYVNGLPRPARCDGQDKVTSIESQIRRIVFYPDVTPKSGPSSPEEKNPNYRERRLVQVVLDQYNDDHKLFGDRAHELNGDVKFHWFDEDDRSYYHFNFMTKTGKLFFAELLEMQICGDSVVSCCCIIGRNDRGILPYSLSVNYISFSIIMYDVSHTHGLCYGCTRDGSTEVQHPKNTDAYTGGRVDCRYLTFGGDPFSDSDDDEDTQVAKLWIKLKVCEEACGCSILPSLHLHLNSSRTRRYILGGVSHESANESAAWHLQTLSAITRIKALLFCIFRANHLGSKPSGLGSFHMYPNDLGGPFRSLQEADDAINQYVNGLPRPARCDGQDKVPSIENQIRRFVFYPDGTPKVVPIHHRRGIQIIESVDRGYELNGDVKFNRFDEDDRSYYHFNFMTKTGNLFFAELLELQRRGDSVVSCFCIIGRNNNKEGICYGCNRYYESTEVKHPKNTDAYTGGLVDCNYFGFGGDPCIDDDDEETQVAKLWIKFKLCSRVLG >Et_7B_055097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7267291:7269586:1 gene:Et_7B_055097 transcript:Et_7B_055097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSKELLEIEPLELRFPFEINKQISCPMQLTNRTDHYIAFKVKTTSPKKYCVRPNSGVVLPRSTSHVIVSMQVQREAPPDMQCKDKFLVQSVIVEEGTSAKDITGEMFTKQAGNGVDEVKLKVAYVPPPKPPSPVHEGSEEGSSPRASLSEGSYLNYQEATRESDELSFSAVKSEKDQQDSSYEASVLISRLKEERNSAIQQNNKLREELDLARREFSQQNGGFSFVFVVAVALLGILLGFIMKR >Et_1B_012552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33005768:33056826:-1 gene:Et_1B_012552 transcript:Et_1B_012552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPYFYGPSGAVSPADASGDAAGSYRVCDTVVLVCLACASSVIILTVAICFRRAFADGYAAAAVGASGAGAAANGARRCGGLASSALAALPKLAYQRVAGAGLAQCSICIAVVRDDETVRLLPACGHLFHVDCIDLWLRSHATCPLCRRDVGEAPPAEKCFCCRRRRGGAGGTVVYVAARPFFVHDGGATVDGGGGGAGLSPSAVAALPSLVYHRGLAAGGGGGDGSSRGGWAQCAVCLSLVQEGEVVRRLPACMHLFHVCCIDMWLRSHSTCPLCRATVEPTKAADDDGDGYGVFGGPVMDGCVTIVTFFVLAASVVIWEACAFAAMAALLVGAIWCLVPKRRVSTGAPAAVAASSVVDDGLTDAFIEDALPASPHERRRVDDDTAGGVTCSVCLEEVRGGEMVRSLPECRHLFHVGCIDVWLHLHATCPLCRSDLSPRRRVTAAALPQQLPSACAFAAMAALLVGAIWRASTYAPDAAPASAVSDGLTDEDIYDALPASPYERRRVDGDPAGGVTCSVCLEDVRGGEMVRSLPECRHVFHVGCIDALAFSALAALLLSVAGCFAPKRWFRRRLTSTTELVVTVTATGAARPRAPGCALELANAPPGFAYECPLEQGRGGDQPASTASCVVCSVCLEDVRGGEMVRQVPACRHIFHVECIDMWLHSHWTCPMCRCMISPPPKGSPKAAAAEASDHASAEELPPVSRSNAGRGGDDDEAAGEIRNHGYYGIAASAVTVLLFCALSAAVSIWMAFLCAGLALVAFSVGSYLAPESWRVRPNDDAANAETQAAADRAEAALAQQRRFGLKKAVIDALPTFPYAQKDGADGGDLEAGGDDMCSVCLDDVQAGDMVRQLPACKHLFHVECIDMWLHSHRTCPVCRMSTLSAASSAGGGDEDDDVEYRACYGVFVACVSLLLFSVLAGTAGVIKACAVTGFAVVFFGVFGRLTVPGGTPGGRGATSTPHGGGNDAASASALRRAGRAIGLVSAAAVHVPPAFAYVDCPADAESGGKAGASPLCAVCLEDVQRGETVRRLPACGHMFHKECVDMWLHSHTTCPLCRCDLSPRNRSAAKTMLNHLRRRPPPPPRPPADDGYLACYGIVVACASLLLLTILAATVSITKACALAGAIGVSFGLVGCLARWCADDGAAVGVPVPPPTARPCRVAEAAIDVLPAFAYTRSTDASAEGGSKSGRRALCPVCLEDVQGGEMVRQLPACRHLFHVGCIDMWLHSHSTCPLCRCDVSAQRVDAKPTPEADSPDNALPPVTAPSDCAVCLGGVEKGEMVRRLPACLRMFHKHCIDQWLDGHSTCPVCRDCLFRFIALVLVNTACIGGTGYLAYSLVRCVQKPHRTSDVVVLSFSLAGMVALSACVYPIFCGADGLFPWKALGRLLLRCLTCRSAPARIPRPDAAGGDDAADALPLQRQADRVEALPREPPARVATLGRVLGLIVLNLVCFGVAGIFIKSLVRHAERKPRDGGEMVVVSIFFFLWLCIVAAVYPFFYRRIFPRSAPRNRARQWNSEADATSALPRSAVQSRQGHSMVVLPREPPVRDGAWTIDTIPSYEQQDSAGQHSISECTICLGEVEKGEMVKRLPMCLHMFHQQCVDQWLRDHSTCPICRCNAFVLAPTEMMTTCRPALPPSPAPCRDESSVTRPALPCIRFDGPPSPHSRPAPPPPSRARRRTWTSPDSSTELKDVEGTRGACWCWCPQGEEVDEDGGSAGAEDGGAARGEDRRLAVGDAVAEEAVPAVVEAGAAAAEGEDGLPLGPQQREARRRGGEGESSAEEKEDRERCRFCVLAVTHTVYLGIAALIILELVRRARGRHGAGSIALLSLVLIVWVVGGICIPWSLFDPFRKALPWRRSGSAVGDGNTGPAPPQFTADHDQGQQALPREQPVLAGAEQPDGGGGAGGEAATTVSAHVPSAVPIINGVFFGGAGFLLYTIGRVARSHHTGRAIGVSVALVLWVGFCSIFYCAFCSGLGVRNMARDRPVAPPDPTVPPITLPPSFRPPRRQRRPSVSDDGGGADYRWWLQPEVDDVDSPRHVDMGALPREPPVRGWGGAGVIAVHIPEYKQPAEAARPDDGASECAVCLSEVVEGEVVKRLPLCLHLFHQKCIDPWLRDNPTCPICRSGVFAPLPDGMVLAVQAITNTLFFGAAAILVTYTLVRLALDAHRHRKLVIAAVTIFLVIWFTAGCTVYLAFCRILYRRSTERRRGDEAGFLQPADDVQGQGYGIDLLPRHQPVRVGVQAVGWRAARECTVFRAEVQQRKMVRRFPVCLHTFSVSVASTGRCVHIRLTRVSGLAVATTLCIGGTALLVWWVVDLAREHNKGGALGALCVVLVFWVGVSACMFPAFCAVFFPWSALGPYLEPLLRPLRCCLDGAGRLLSSLCGDVGAWLRRHASGGASGALPQFVVRAQVHLMNVLHREPPVRGRARVVAVDDIPAYEQRDATRPDGASECCVCLGEVEKGEMVKRLPVCLHMFHQRCIDQWLRDHSTCPVCRCNVFAPLPEQIVSARKPVSIALFVLFLAYVVLFYVCWCGSVLFRGAPVSRWPALSRDALARWFRGVGHSGDRGAEEELRALPREPPCMPVAAAVGAYEHGHGGGGGSAALECAVCLGEVEKGQMVRRLPVCLHVFHHECVGRWLRHHTTCPVCRCSALQPPDDLDEQQALPREPPSMAVAAVDVGAYEHGLGGGGGGEAFECAVCLGEVEAGGQTAKRLPRCLHVFHHPREDTCCRWSRDFVIAHAVFASGFVTAPVAVLHLVKRPHSGRALFFAVFAAFCTTVSLVLCCRFYAELKRPPWLSSSASRGERQQRDGAGGQGSSPARDETSHELRHPELPVMVRVEMQAALAAGRVPSYEHRDGAAAFECAVCLGEVEKGETVRRMPACQHVFHRECIDMWLRAHATCPVCRRGVLSALPEQRPPEVVVTIHAASGPLSPTMSMGLPG >Et_1B_011702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25187492:25189974:1 gene:Et_1B_011702 transcript:Et_1B_011702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CIAGTVYQWLVLFAVWLCSSQHVLSQKTTLEPKDKFLLSEPPIGLFDPIDISPSVLPRNANPVEPLSPMYPNYTSYDPILTGKCHVNFSALSNIMDKTASDCSIPLAPLVADVICCPQVNSLMHIFQAAYGRGNDTLVLNQASANACFSDVMSILASKGANTNIPELCTLRPSNLTDASCPLKDISSFEKIVNVSKLLDACSSVDPLKECCRPVCQPAIAEAAIHISSGGANMFGSSSITGSAAGIDVVSDCKGVVHSWLSAKLPSEEVNSAFRVLSGCKVNKVCPLEFDEPSSVVKACGKASLSTSTCCAALHSYIGARQKQIFVTNLQAINCATMFGSMLQKAGVVDDIYELCDIDLKDFSLQGCLLRSLPTDISFDNTTGISFTCDLSDNIAAPWPSSSSVQSLSLCAPEMSLPALPVSPTSGSSAY >Et_5A_042122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6482356:6485839:-1 gene:Et_5A_042122 transcript:Et_5A_042122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGENACRKHVQASRHIASNYSAALVGLDKALTMSSTVPKSSNIFWHDCPVGKSDRQKLLKQKGCVVWITGLSGSGKSTLACTLGRELHTRGKLVYVLDGDNLRHGLNKDLGFKAEDRVENIRRVGEVAKLFADAGLVCIASLISPYRRDRESCRALLSDNSFIEVFLNMSLELCEARDPKGLYKLARAGKIKGFTGIDDPYEPPLNCEIEIKEVDGVCPSPSDMAGQVVTYLEEKGFLHE >Et_2A_016027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20325630:20332283:1 gene:Et_2A_016027 transcript:Et_2A_016027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAFTCHRRPSKRRRDAPDHALPSSKQPLMAHPDPGGASSSASAAALGGDGASSSSAADAASSSPPASARRVHFFVRATDSKTIAMHAAQDDTIGAVLDHLTACGYGRDLRLLYAGRQLNPETTLAALRLPSDSTLHLAARLRSTLHPHAWQLATHIAATASAAESEAATAATSACSLDELVKEFILCAHRANVGRLRSDRGAEADPRPTADLASEYLDIFLQASAAVALVRLYLSKSSFFRSYAERAIRCFLATDPSSLPPDVLQVTAPVLLEFCRLLSVAAGKKDLLYRACRYSLASVLCSQPLLPPSMDSPTRLIDHILPFACETIELVLDGLASVSMMVSPLDLDEFSNFFKVLCSQARLWIGNDGLMPKNLYDRESEHGDTWIWRLQTMSMDILKSVDECLKRLEMDLSLSSESIGVMESQTIWAARSHILTVLTQLNSISAIYEEVAHNLLLVLLAHKAPLNALVRCSKRNEHLYWLAKHKNLLCFEARRNLVFMMLPEGKDDFGELHEMLIDRSHLLDESFEYITQARNSELRGGLFMEFKNEEATGPGVLREWFCLVCQALFSPKQVLFSPCPEDKRRFYLNETSAVDPLHLKYFVFAGRIIGLALMHKMQVGVTLDRTLFLHLAGRSITLEDIAVADPVKYASCKKILEMDASEIDSLYLTFSRGDHQLGSQKIIDLCPGGQDISVNVQNREQYIDLLIKNTFVDSISDQLDNFTKGFSDILVNPSRRKEFFEFLDPEDMDQLLGGSNNTINVQDWRSHTQYNGYKDKDRQITWFWKVVERMPIEQQRQLLFFWTSVKYLPADGFSGLSSKLYIYKTSDSTDRLPSSQTCFYRLCLPPYASLTAMETQLQKITQEHVSCSFVCFDHALIGKATKGEVRYLCVWLKRPAPSFQSRWWHRFQPVRRASVVASQARRGILVPTARQCDACARTKAAEPGMCTDINLAIGTPVLQKII >Et_10A_001196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22012952:22016522:1 gene:Et_10A_001196 transcript:Et_10A_001196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGACTICEINRDLVAADALSDDRAKDAYGNVLGMVFSPIPFQPDALLPNRQPPAADQAEPAADAETAPAAGLVSTVSEFFKKMIFPPLDPNLLEEFDTQKVSWNPHKHCLAFVSGKNQVTVHDFEDSDSKEPCILASDHQKDVKAVEWRPNSGKMIAVGCRGGICLWSASYPGNVPFMKSGVTSSSFSSFPRGSGGQWILVDVLRGSSAELVTALWLGTPIRRGLSSISLVRWSPSGDYLLAAKFDGTFHFWETNTWTSEPWSSSNGYVSGASWDPEGRVALLSFSNSTTLGSIHFSSKPPSLDAHLLPVELPEISSLIVSRGIEKLAWDASGERLAVSFKDGNEMYRGLVAVYDVRRSPLVSLSLVGFIRGPGEEAKPLAFSFHNKFKQGPLLSVWLVLYISTNTAFSLNPSLK >Et_3B_030505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4408548:4411161:1 gene:Et_3B_030505 transcript:Et_3B_030505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVDPLVVGRVIGEVVDLFVPSISMTVAYGSSKDISNGCLLKPSATAAPPLVRISGRRNDLYTLIMTDPDAPIPSDPTMREYLHWIVTNIPGGTDASEEVVSYMGPKPAVGIHRYVLVLFEQKTRVHVGAEAPEERANFNTRAFAARHELGLPTAVVYFNAQREPSGSNRRR >Et_7A_051842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25439725:25442710:-1 gene:Et_7A_051842 transcript:Et_7A_051842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATLLLPSSSASSATNKAAVAGDSRRHEHHHQHGSKRKKKPPLSPQPSLPPSTPRTPSGAGSRRAMAAGAPSSRKVTATVKNPQYQQQQHRVPSKKAASAASSSSWEQLKGLLSCRNATAAARVHDPAAPSALARLRGGGAGACGASLCAMRDVVDAASSAASSAAATDRDTAPLTRRARAHRAASSSSSVVGAGHSSLRGLSGCYECRAINVEPMSRRYPRPRELCACSQCGEVFTKAESLDHHQAIRHAVSELGPEDSGRNIVEIIFKSSWQKRDRPICQIERILKVHNAPRTVARFEAYRDAVRARCRAVAARAAADGNELLRFHSAPLACALGHNGDTSLCVSSSGAGNAATNTASSSSSAAPPPPANACGVCTAIRHGFAPWVGAHPLGVRTTASSGRAHDCGAAPPAASANGGCRAMLVCRVIAGRVRRDGDGASAPEKLEEEGSFDSVAGEDAASSSVYGNLEELFVANPRAILPCFVVVYRVLES >Et_8B_059697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20788521:20792237:-1 gene:Et_8B_059697 transcript:Et_8B_059697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLVQPVGQKRLTNVAVVRLRKHGQRFEIACFPNKVLSWRSRVEKDLDEVLQSHTVYSNVSKGVLAKSKELIKAFGTDDQTKICIEILEKGELQVSGKEREAQLSSQFRDIATIVMEKTINPETRRPYTITMIERLMHEIHFAVDPNLTSKEQALKVIKKLMEHFPIKRAPLRVRFTAPKPKFAGLMDKVVEWNAMVISKDESGNPPSVVCEIEPSILHSCEERLKDVQGRVEVLSVSAHAEGGSSVDQHDNVEESSQTVPAKEADVVAQISETMQKQSISTESQDGQGKQQRRCKECDVLVEDKLYREHCKSGWHKHNYTRHKNGLPPLSQEECMVEMELADSKRDLKDYDF >Et_1B_011894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2731453:2753089:1 gene:Et_1B_011894 transcript:Et_1B_011894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSREPILKFLNCLIGKSGGSNHEVSGNGSQKRSAVPWSPSRSKTLFHGSMDTSLPHGGSGTSVMFSGLYITQGVMPPSPCATYPNVDQPLVTSASQRLSKYISAHALSSCDLAPNFWYSSWLGWCTLTSSPVAVARSQYIPLMRSYEVISTVVDLATAPGVGAVCSSAVARSSGSSFSFHTQEDVRRLGLPVVEGAHLDAESQLRPGQVRAVVARDEAPHAVRRVEQVPAQVVEHGVALREERVKLLLERRDQEQPRDRVQVGPHHQPRHVRQRPLVVGAEEHLVLVAVVVVEVDVRERRQLLVQEHLVLEHVAVALGGVDAEVGVAREGKVPREQPRRAGERLAEQLVHLVAGVDEEDALGEHRVEERRHGGEDAVAEPAVRDGDQTLLPDRSCWMARLYASVFGPHRLWSSSCFRSFAISLMETRFNGHSAAARWVWCDVVLRPEHDPWLKAGKPLSCVPECGKATGDQHICHAQQIYLSKCFHVVLLIPGPLVLLVARLVHFDSGAINCSVLIVLLPDLIAVPRAQRSSQDGDSAFHSEHMKGSDSKCVGTGHFFPWHILQKLLVVLTIKKFVMETVVTIKVEIHVGRSSTSQRPICTAAENFILHHVAIALWTTLSKLPFRGKSDGTNHEVSGKGSQKRSAISLYRSTSKTRVMPSKGRSLKNMAQHGSKDGGGRHPQSQGLADVDGEHGSTEPNLLDQSGFGWDNERNMVHAPESVWASFAARKDNEAALSWRTKSFPYYNDLFKLYDGRYAEGRTRHGMDHYASKSKNASVPSAQTASVPDTPSPTLNGLDEPALQFSFDEEVEEGNLEFSQRSAGTHVHQMEVPPNSTQRPLEVLESRRGKKQKGKSASPDDGFHERYLKLKKEEIDRFAAIEERKLEDPYSINKCITVLEDMNSLQLGDLLMASDIFESKDKREFFLSFKSDALRLAWQHPRADAGEPVRDVPERGHRRRDDAVAEAQQIYLGQRPLVVGARLRVLLPVMARLVHLHVLPGRRRVHEVHATDALVVVRQERRHQNRGELLLPAPGRRGRQVTAVPRAVLTHDNLRQQAVLVRAADDLAVHVLERHGHLREDAHLEADALVGPGEVGLVEPAHDGPHVVGRVEEVPRDVVEHGLLLRREQPRQLALVRRHEEQHGREVHVGLEHHPVELGEHALVGVAEARVRERLDEVQEHERRQPLGADVAVVAAYRPGLPHVLVARWRHHGENAGEVGDREVRGQGPGAAGEGLAEETLEFRRLVHEQDTVREERVEEGGGEREHAVAESVQRRPDDVLALRVRRVASEAEIFDRFLEERRAGAAPPVPGLVPPEAIHEARLDGRGPHARDLGDLLVPVRPEQDPRLHAGEAVRDVPERGPRAHGDLVGVAEQVDLRERLLVVLVGGVLLLLLVARLVHRHDLAGLGRVLVVHATDLLVVPRVQGRDQNGLHLPRISRRRVRRRLAELRQQLLLPDAPHELVIHVLELDRLLREDPDLKPDLLVHSGKVRLVVPPYDAPDSVRRVEEVPAEVMEHGLLLREHPRELLLEHGRQKERRREVAVGLEYHPVELAQEHLVLVAEHVVGQLQPELLDVEVHVRRQRRRQHVGVVVADDAVLEHVGVALGRADVERERVVVREVSGQHPRAAWERLAEEALLLRRDVHQEHTVGEQRVQERGRDGEHPVAQAVAGEPHHVLALGVVHVAPGLEMLDGPAVAFRPRPALLVVRLVLPQLLHQ >Et_6A_046734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:224713:227172:-1 gene:Et_6A_046734 transcript:Et_6A_046734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEEENVGPFRRTSVRTRRMATRMASALASSDNRAQAALARLEALESDNAGVEVVDLNDDEYGSTDEEDPVLMQKKQSKNMKRKTRQGKAMEKRAARSFMDVVHEANLESLPPHVPTYLRAAVGPPSTSSRRHYCSVCGNSANYTCVRCGTRFCSCRCQVEWPAS >Et_2A_014665.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33221564:33222982:1 gene:Et_2A_014665 transcript:Et_2A_014665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLGSIGDLPLAAGSLAIAFGNITGYSVLSGLSLGMDPLCSQAFGANQPRLLGLTLYRAVLFLLCCSLPLSALWLNMSKILVFLGQDREITALAQEYLLFSLPDLFTFSLVHPLRVFLRSQGVTRPLAAAAAAAVLFHVPANYVLVGRLGLGARGVAAAASASNLVLLAVLLAYVFVARRDPALRAAGAKPSAEWLAGWGPIARLAAPSCVSVCLEWWWYEVMILLCGLLPDPKPAVASMGVLMQTTALVYVFPSSLGLGVSTRVGNELGANRPARARASAHVAVAGAACMGLAAMSFAAGVRHAWGRIFTADADILRLVAAALPVVGLCELGNCPQTVGCGVLRGSARPARAAHVNLGAFYLVGMPVAVLLAFGLGVGFVGLWVGLLAAQVCCAGLMLCVVGSTDWDAQARRAQELTSASPPPTELEMPPGAHASAAQGASPEKGEQRQDDVEGRPLIPPNGDQDAQQETV >Et_10A_001433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3119868:3121814:1 gene:Et_10A_001433 transcript:Et_10A_001433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVLTSGNGATSTTVAMAALCHRDTSSWNPARPALELHSHAEANILSLLLQHLLRLLFNTARTNRPCQPGPETAQMERPGCRFPYAVFQCHDESFPYAVFQCHMTGRSATKGYMITLNSGNGPTATTVAMAALCRRDTSSWNPARPAFELHSLAEANKLCVTLSQVH >Et_1A_007339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33541176:33544992:1 gene:Et_1A_007339 transcript:Et_1A_007339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDALLNSKFYNKCKHAIKCTRTRLDLLRRKKQAMVKFLKKDVADLLFNGLESHAFGRMEGLIVEMNQASCYDMIEMYCEFIAKQLNNMQKESECPQEALEAVSTLIFAAARFPDLPELCDLRHIFTEKYGSSIESFVNQEFVQKLQNQTFTNEEKLQVMKSVAEEFSVPFDGKALEWKITCAPHQKHDLAKKGSHKRLEVEASSRNGQKVGRHAMHERNYKATPEGYEQKQETKMKTKDIRVVPDGIDQIGEKIRKNYSEKTDEKRHMDDYVPPLDMKGRSSRKEAKKYDKKDDPHRRGQMNAELDLNGIKKQERGAVKPTGGPDHSIGADDDINNARAFHRIPSERRKHKSRRNGSTSGSDYNGANDGHESGDDDANTAIDFGNLLPRAHSSHRKHRSRSADPRKGGRDDEERMMDKLLMHYSKKGLDREERKERDREERKERVKSRIPRPRSDQPANGVREHSNKEGTPAQRPERAASLPPESGSPKAKPKAPVRSMSMQPEMSRGNVHPRLPDFDELAERIRALKNA >Et_3A_024545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21909907:21915136:1 gene:Et_3A_024545 transcript:Et_3A_024545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAAAAVAACPSPVGLTRPLRRAHAHPRGQRRFRLEASSSVPSTAAADEGAAAGPCPVVRFDMDDFAVADRVSVGLHGRSDEMIFEATVRDTSSELYGSTVVLRQLKSSQAKRRGRRALEVLKKLARRQMMYDSYALQVHGYITSDNATEEDNSPFILVHGYHGSYSLRHWLQLSDWLPTLEATLALDEEQVRRVGDDSVGGSAVTRQLRLIRILMRDLLIGVNYLHSHGLAHTELRLENVHVSPIDKHVKVGILGNAADFHDGDPTSSTISSNSERRKMMIAFDMRCVGFIMAKMVLKELLDPSTFFKFKSFLTKGNDPSCLREFLLPILCQNSPSGNVGLQILDRQWGAGWNLLSLLLATKPEKRISCVEALRHPFLCGPKWRINPSVNIIRWGLGSTAVRMAEDYIYGRHQNWLQYLPGRWRLLYCTGRHIGLTLRQPTPRILISDVFLTFAQTPESVEPIFLLKSDIGFRIMPESNWPHDKSGTEGTLSATASARITAGRIYINEQDSKSRIASSRPSRRYLRGKWKKVSEMKELPASLPTVSIAMDDIDVSMSCNSTLNVSSAQNVLQEIRTQTPPEMFDLSKIVCGTYIDARLMILRGVNGSALLFVRSNPTSDS >Et_4B_038197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27041160:27044267:-1 gene:Et_4B_038197 transcript:Et_4B_038197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRSSTAASLFLVLATFTGPLLASAQPAPSMPPPSPPAAATNNSRLEKAYVALQALKRAITDDPKKLTHNWCGPDVCNYFGVYCAPAPDDPCQRTVAGVDLNHGDLAGTLPEELGLLSDLAVFHLNSNRFSGSLPESLRSLHLLHEIDVSNNQLSGGFPSQFLCLPNLQYVDIRFNNFCGEVPAAIFDKKIDALFINNNHFEFSLPKSFTNSTASVIVLANLPRLGGCLPSNIGDMAGTLNELILLNSGISSCIPPEIGKLDKLTVLDLSFNNLAGTLPDTIGNMRALEQLDVAHNMLAGEIPESICELPHLKNFTYSHNFFCGEQHRCLEVPRIDDRQNCIAGRPDQRSGEECIAFLHRPPVHCDAHGCIAPPPPAYAPPPPNYRIIIPHWKALWYKNLVPTIYQ >Et_1A_007410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34268887:34270109:1 gene:Et_1A_007410 transcript:Et_1A_007410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSSEPADEQKAPPARGGSVAKLTTASSSFANLLSVFIGASTPEPRPRKSFDAGGVGLGIVAAMSSACLTVAEPIAIGPAARRRAREEAELSESYTCVITHMDRKDGAGRSVRKRVYFGFDDAGGSWLVEADEPPAPAADFLSRCCLCDKRLDGLDIYMYRGEKAFCSSECRCQQMLMDDHAENCGSEALRTSNHSASPCSAPMAFSPSVAAA >Et_8A_057017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20098534:20101744:-1 gene:Et_8A_057017 transcript:Et_8A_057017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRPRDDPSSSAYGSAPKRQYGAGGYGPQQGYSEERNSARRVADHYSARSNQTLEERENSPIIHLKKLNNWIKSVLIQLHARPGHCVLDLACGKGGDLIKWDKAKVGYYVGVDIAEGSIKDCMTRYNGDTDQQRRKKFSFPARLICTDCYEARLDEYLSEDAPFDICSCQFALHYSWSTEARARQALANVSALLRPGGIFVGTMPDANVIIKRLREAEGLEFGNSVYWISFGEEYAEKKFPASRPFGIKYKFHLEDAVDCPEWVVPFHLFKLLAEEYGLELILVKNFHEFVHEYLQKPEFTELMRRLGALGDGRQDQSTLSQDEWEVSYLYLAFVLRKRGETPTESRASNANRGKTFLTEGDIEYLEI >Et_5B_045622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5659028:5660896:1 gene:Et_5B_045622 transcript:Et_5B_045622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLHLLLFVVAIGSPVLLPTMADTSFPVNVWPKPVSMSWAEPHTAVPVSPSFSIVVPPGNPYLASAAARYARLVLAERYRPIVRPAVNVTAGVLLVKLAVTVSDTEAPLQHGVDESYALVVPAAGGAATLTAATAWGAMRGMETFSQLAWRRGSGNLLVVAAGVHIDDRPLYPHRGLMLDTGRTYIPVADILRTIDAMGANKMNVFHWHITDSQSFPIELPSAPELAEKGAYGEDMRYTVEDVTRIVEFAMSRGVRVVPEIDSPGHTASWAGAYPEAVSCAGKFWLPDGDWNSRLAAEPGAGQLNPLSPKTYEVIANVVNDLTSLFPDNFYHAGADEVTPGCWLTDPSIKAYLDGGRGTLSQLLERYVRAVHPLVASKNRTAVFWEDVLLDAAVNVSAAAIPPATTVLQTWNDGPNNTKRIVAAGYRAIVSSASFYYLDCGHGDFVGNNSIYDNPNSDFEEEGGSWCGPYKTWQRVYDYDVAYGLTADEARLVLGGEVALWTEQVDATVLDGRVWPRASAMAEALWSGNRDAAGRKRYAEATDRLFDWRHRMVGRGVRAEPIQPLWCRTRPGMCNLVQ >Et_5B_044228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21784643:21803976:1 gene:Et_5B_044228 transcript:Et_5B_044228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTHRHPAEQYHQAMALNATPEHEQPRHQNQETAKVEVPAAASWEQQEMKMRAMREDELYYHPNSSQLQMVHAQQRAAAGDVAWEQEMMLRQAAAASVELTGSHNMLSMMQQDAAVELSRDQNVMTMLQQAVGGHGGHQQTVQQQLSAAAGLVVREKQDLMMVQQQAAMNLAAFQPGDPLDAEAFLGQRQPLLQPLLAELPRQQENDGADDDGQLSDVTAYFGIPAAVRGVRALQVQVESGVRVSLYRCTVRSPLPAVHKAKLHVACTPDCVFAPFFMPDDAPSRLGAVNDVFGHKSAASVLGGLSPDMRRVAADALVHSALARRHGPVQGCVGEISALTSRINKQVYEELAASKAELAGYEEEAAAATATSRRRCTAAGGRVGGDEAADHGGAAGRGRRARLAHGQQLRRDGGVRAAVPASRGVPGPAGGAAYGASAAAGRLHGVLPPESAEAALFQKEEASKAMSSSGKGKEVDSRCAACQHFQRPYCVPGCVIALYFPPGDERFAAVRRAFDLDKLTEFLRGKEEKHPDEATRAQVAQNLVDEARRLLAALAQQQQQPSAAAGGSVVPPPRPWGGVREPRAALFLPVDDSARFAAVRHAQQQLAVAQQPSAAAGGAPGAIMPPPPPPPRGSQLCVACKHAHHGCGPGCELAPYFPPDSDSARFEAVRKVYGIKNFTAMLRNVSPEQRGDAAATLIYEAQCRSVDPVRGITGNISALEEELRQVHQTNAAAKLLAERYRMEAESARFFAELRLQQQLPAAGDSMAGVANFGTPMNVDQQWAGGVIWPFLEQPAAADVPAFLMQQPPPQAPTAAADVPRSSTGVTAAFPEAAFLMEQPPPQAPTAAADVPGSSTGVTAAFPEPAFLMQQPPPQAPTAAADVKDTGLPDLNSSAGTELFLLFALSVLSRLSSVFDCLVAFLIAETTNQFQKEEALKAMSSSGSGKEADSRCRACQHLDQLCLPGCVFAPHFPPDDNWTSFAAVADLFDADIVARVLLRQEPSQRPKFVEAFVVETAAYAMRLLNKPAAMRFIPALRPPHKVSDRHHWLQAAMDQAAMEPVKWADGVIGALPEEQPAADSLAGSSTVVTVPDPEPAATAPTAAAAGFVRDITRPRRPSTSHAQLVDVPRRTTASIYGGDTGRIARLAVLVHPALRACPPRLAVFSFAVRPRALLAEAEAQMPSCMLY >Et_2B_019937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15372502:15387640:-1 gene:Et_2B_019937 transcript:Et_2B_019937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQPPRRGSASAGPDWSSGLPDAIVESIGKRLPSGHDAASFRSVCKPWRAAVPFDSFAPRLLLPFGPDSQSVTFYSVTKTKEKVTSVPLPAELRGRVPCGASRWWLALMDAAASVTLLNPFTGARVELPPADERVAVAGSVVKLEEMRDVFFREIVLSAPPYPGRECVAMAVLGSSTDVAFCRVGVDHAWTLLGTNLEVSVRSVVRCQGKFLAVGFFGDISICNCGYAGGAPTATRLLSFSPPAELCNRSYLECNGELHLVGAMASSIHETQYLTYNTEVYKCSFLDGRPTWSKVNHVGDQTLLVSKYFSENFSGASISKYKANSVYFSKKLYGYQSHMAHRYEIIVIATGTSEVVPYLEKKDPPEALCWFRPNIWMQDQQPPRSGSGDREVRDWSSGLPDDAIVESIGKRLPSGHDAASFRSVCKPWRAAVPFDSFAPLLLLLPFGPDSESLTFYSVTKTKEKVTSVPLPAELRGRVPCGASRGWLALMDAAASVTLLNTFTGARVELPPADARVAAASSTHASKVDGRWVLHDEHSHGIAGAGTVVELEDMRDVFFREIVLSAPPYGAGRECVAMAVLGSSTEVAFCRVGVDSAWTLLETGLECSVRSVVRCQGKFLAVDFLGDISICNCGDAAAAAAPSATRLPSLAPPEDRDLCNRSYLESDGELHLYFDYNIEVYKCDFLGESPAWSRVDFVGNRTLLVSKHFNENFSGASVSKYRANSVYFSKKLYGDRTHMAHRYEIIDIATGASEVVPYLEKTDPPEALCWFQPNIWMQGHPSPRALVGSSGEEGRLSMVVVCRRVVEADSWENRVGLRMTTTTEGGGRKEAGCDDGIVASVSDLRNLQTLNQVPCLSVVPEDE >Et_4B_037965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25094296:25096866:-1 gene:Et_4B_037965 transcript:Et_4B_037965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALESFPCIWWSSDTCSAWLADRLMKYYIQTANCWLSPNDGAAAMLLAVWHGLTMELRNAFSTSSDASLLSKHITYSITVPSHGSVILPSSPANPNSSSDMARSSPKTAQTTKLPLPATDDVLHGDPSASFSGVDGMSLFLARAAILCHFLAI >Et_2B_020440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20153199:20159819:1 gene:Et_2B_020440 transcript:Et_2B_020440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLEFAVEKARSLAAGAGAADAERSPRHPQKAELARIETRRRREACGSGGSTPRARAPGSPVEPPTPPRQPPEARSATRGDAAKCSSPSCCDIRRMDGEEADEPRAQLLAPGTDFLHDFSDTDSSVSVSNSMYRSMTPSPAESPNCAVRQNDASDHDATSMTDSDDALSIADGREGVTVSCRIVDFGDDIWCPPPPEDERDDVESRLFGLDDEDEGVASEPSGFGANKIVGADGASAGAYKEGVQNDLLKHFQALVAQLLKGEGISLDKGNDSKSWLEIVSSLAWQAANYVKPDTKKGGSMDPGDYVKIKCIASGSPSDSNFVRGIVCSKNVRHKRMVSEHRNVKLLFLGGALEYQKVTNKLASIGAILEQEKEHLRTIVGKIEGRQPNVLLVEKSASSFAQELLAKDISLVLNVKRPLLDRIARCTGGQVASSIDNIASTMLGQCDLFKVEKVTESTERADKGSTKTLMFFEGCLKRLGCTILLKGIGREELKKIKRVMQLAVFAAYHLSLETSFLADEGATLPRIPSISLIGAPEQQSDGANASAMPADHCTPDSLGAAEEKYALNATISQIFEDISASSTLLPSEGVNQGTVLECDASEFPVEHVNSQDLSHSCDSSASCNGQSVSPCSLGDYMRTTCAVTQYDDSCQSLRSPVPADVCHDGAITKISTEMCGSENCRSCPSVDDFQGGDVDDRGNLSAGYLSGTDNNQSILVSFSSTCIPKSLACERSRLFRIKFYGSFDKPLGRYLREELFDQAYCCPSCKEPSESHVRCYMHQHGSLTISVRRHLSQKLPGEHDGRIWMWHRCMRCKIKDGMPPATHRVIMSDAAWGLSFGKFLELSFSNHMTANRIASCGHSLQRDCLRFYGYGNMVAAFQYSPMITLSVYLPPPVLDFNFHGTQVWVKNEADKVFRMMKSLHEEVSDFLHNIERSVITMDDSVKTTIQRQITEMKDLLNMERNEYEALLLPIVRGSVHALKSKIDILELNRMRRGLVLDAYTWDCRLRNIDLLKNGDHVSRTDPLNQEKLQVISEGRPEMLQAVTRHSDTYQELCPGRSSGSPRKSLLSTEGHSEDNKSVMVEKDMSIELLDGVACDAGGLDLVFSKFSEYEGHHLPKDHIKTEPVERLPSVASILADKIDMAWSGSGELHYSLPHDLTKSDENRSLSLLGNPSYKKATAPVRIHSFDAVLRLHQREQNGLVPASLHSALKSSDSFRDLTSRVKDPMTNMRRAFSQVSPRTRGNLDAFLTRAPKYITWTSDMVKDGARFLPNISCEGSIIVTVYDDEPTSIVSYAMTSQEYVEHVTHKLNSSSSLSDFAKVSSNGLDGSLSSHDGFSDSKGTHFRFSFDDEASFADYTKFSVTCYFAKQFAALRKKCCLSDIDYIRSLSRCKRWSAQGGKSNVYFAKTMDDRFIIKQVTKTELDSFVDFAPQYFRHLTESLTSRSPTCLAKIIGLYQVSIKSLKGGREVKMDLMVMENVFFQRTISRMYDLKGSLRSRYNSDSAGHNKVLLDSNLIEASHTKPIFLGSKAKRNLERAVWNDTSFLASLDVMDYSLLVGIDEEKKELVVGIIDFLRQYTWDKQLETWVKASGILGGPKNESPTVISPIQYKRRFRKAMSRYFLAVPDKWTS >Et_6A_045832.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:17810428:17811045:1 gene:Et_6A_045832 transcript:Et_6A_045832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PSSPTSSAWTRSTLRATPVCRGGACSSPPTSTCSRSCSTSRSSDASLSGEFRDLPEPLRLPGCVPIPGADVLSPLQDRSKDCYAWLLHHAKRYREADAFLVNSFDAVEPEAAAALICQKQQEPAPGHPPVYNIGPLILTSNGSSSADESLSTPACLEWLDRQPARSVIFVSFGSGGALPSEQMRELALGLAQWAALPVGGAEPQR >Et_3A_026252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5987107:5987522:1 gene:Et_3A_026252 transcript:Et_3A_026252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IDSLIRRDQQHEVVSCAAARCRDLSTSYPFSLSARRAAAGVRLPGLRADVQRWPSLPQRTFDQGAPSTFTGNDTCPFFNFKVSSSLALFPLNISNTNKNLIFLYSCVFPRSVRLPDMC >Et_4A_032290.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28555740:28555889:-1 gene:Et_4A_032290 transcript:Et_4A_032290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSSEHRPRHSLTFSSPARKLLENGDAGCPRTTHKLFTHGVNPLVRFL >Et_1A_005537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11203372:11207723:1 gene:Et_1A_005537 transcript:Et_1A_005537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRNERYESDVKLVLVRTETAAGVSGHALKDEVPSGPSRRVNEVHNLSSKVVPPVAFLEDFLRCEKRCETSTFCQTCTLKTNYFVANLLKNQVYKYDVCISPEDGPLGVNRAVIAELVSQHRQSDLGGCFPAYDGRKGLYTSGPLPFISWRTFEIELKDEEDSIGQTPRSQKGARLFTVVIKYAACIALQPGGQEYEKQAALRALDIILRETFIGRCYSLPESSQLGEGLEIRIFYKTLQLTKKGLLLNIDISPIVPIRPIPVIDYIAQLLDRVILDRPLSDDDHTKIKKALEGVIVKVTCESIVCKKYRVFGLTVKATREIHVQDNGTNLTHYFQETYGYKIKNTCLPCLEVGNEKRPIYLPMEVCIITEGQQLSQKQKSPPLEVSRLNPTCREHLILEALNHYNEDPHLKEFGIKIETQLLSVNARVLPPPWLKFHGTGKTKEFLPEIGIWSMVRKGDLMIAIMTCLKISFLRILFLQRMLNGAKVSNWTCLNFCWDVTEKIISGFCHELAIMCQASGMVDFPIDPVLPVLSAAPEDVELALKSCLHDVTQEGRKLDLLIKRYLANVALKINVKVGGTNAALLDALNKRLPCVGDKPTIIFGAHVMHPGKNSGPSIAAVVASQDWPGVTNYAALASAQSHHQEFIEHLHHKTGDIARGMIIEHLVSFKIANG >Et_4B_039053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7393352:7395591:1 gene:Et_4B_039053 transcript:Et_4B_039053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDKVGCSPAALPLERLLAALAANAEQLGRRWEAAVRGRCGNGAGAAGGEVEVKGQAIEMHTPLFYATCALGGMLSTGLTHLAVTPLDLVKCNMQVDPSKYKDISTSFGVMLQEQGLGGFFKGWMATLVGYSCQGACKFGFYEFFKKCYSDIAGPDHAEKLRTLIYLAASASAEVIADVALCPMEAVKIRVQTQPGFARGLTDGLPKLVQSEGAFGLYKGLLPLWGRQVPYTMMKFACFETIVEMVYKHAVPKPKDQCSKPLQLAVSFAGGYIAGVFCAAISHPADNLVSFLNNAQGATVADAVRTLGMWGLFTRGLPLRIIMVGTLTGAQWAAYDAFKVFIGLPTSGGVNPSCAAAAALHQMNHKKQN >Et_2B_022348.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18858976:18859839:-1 gene:Et_2B_022348 transcript:Et_2B_022348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFGKRIATASSDNTIKIVGVSGTTHQQLATLSGHQGPVWQVAWAHPKFGSMLASCSYDGRVIIWKEGSKPEEWVQAHTFAEHKSSVNSIAWAPHELGLCLACGSSDGNISVFTARADGIWDTTRIDQAHPVGVTSVSWSPAMAPGALITTGPSGQFEYVQKLASGGCDNTVKVWKLTNGSWRMDCFPALQMHKDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTEAKEGEQWEGRILHDFKTPVWRLSWSLTGNILAVSDGNNNVTLWKEAVDGEWQQVTTVEP >Et_6B_049528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6016579:6022486:-1 gene:Et_6B_049528 transcript:Et_6B_049528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVLGVAKSLVEGTLTKAQAAIEEESKLRQSTQRDLVFIVGEFQMMQSFLRATTEEHIRNNIVSTWVTQVRDLAYDVEDCIEFVVHLDPKSKWRRRMIPPFMRQDLPLDEAVDMIEQLKARVEDVSQRNARYNLIGDSGPKPVVQVKKTLAPAGELSALKQQDSEVLTEMLTKKASSRQVISVWAMGDDLEATTTMRKAYENPKVWGNFICRAWVKIEHPFNLHKIIRSMLDQFYANFPEEKPEKILDVDSFKTRQATKAEDNILQEFMQQVHSQTYLVVLEEVSTLVEWDAIRKYLPDKGNGSFIVVSTHQFEVASFCTGLPYYQRFSADHSLCVFSKEEGPPTDRGTNMVTNSPIPGDQSDLIGRKMEIDELHKCPALARVNAWQVMSVWGIAGSGKSSLVRNVYHEKLTGNGEYIRHGWVGVSYPFNLRNFCQSLLLQLHADSLQEKEAIYCETAGIRDPVQECHTILKNNRCLVIIDDLHSPEEWDLIQAALVSRPSESIFIVVTNEARIAIHCADRKELVFNVKCLEAWAAVKLFKNEVTKRNQTFPVNIVDKDDELQALISKCGGIPKVIVTLADFFAPKIYSWKKTVTQMNENRKFMHNLETKQEFARLQDLFGWMHSYFRSCPDYLRPCIFYLSIFPGYCDIRRRRLVMRWVAEGYSKDNESITAEETGEELFSQLVELSMVQPPPQTTITGTRMVLCRVSAFFHEYIISRPMEENITFALEVFTLEGCCRKTTRRTGRHLIIEKSWDRDIIVYESIDFSRLRSLTVFGEWRTFFISKGMKVLRVLDLEDASGVTNEDLDQMLKLLPRLKFLSLRNCSDISHIPNSLGDLRQLQTLDIRDTSIKIFPQAVTKLQKLQYIRAGKSTKAELGTHHQVSGVEFPAGIDNLTALHTLGVVNVGIAKGKSILKEAGKLSQLRKLGVSGVSKDNSTEFCSAISGHAHLESLSLWINKDSQNCFDGIFSKPPENLGSLKLYGLVDKLPESISMLRKLTKLDLDITMPTKGDMKVIGGLQELCILRLCLRSCTDGQLDFRITNVGVEERSYQKVKILEIASCSTLSISFGSGAMKNLEHLVAHCATTGLTLQYEDLMHLTKLKKVQLIGLRNIELKQHLEKQLRIHPNKPAFEVSVATQ >Et_2B_021186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27251799:27252055:-1 gene:Et_2B_021186 transcript:Et_2B_021186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGRAAQQRIGFEGGGCRRLDEIAQCAGSMPLCRRERDGNCTEDARARAFAAAPERKVTMWPAVISGHGQHGRVAETLALF >Et_4A_035583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30118652:30120179:1 gene:Et_4A_035583 transcript:Et_4A_035583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGERGGERGGFGRGFGRGGRGDRGGRRGGRRGPRQEEEKWVPVTKLGRLVKENKFRTIEEIYLHSLPVKEHQIVETLVPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDNNGHVGLGVKCAKEVATAIRGAIILAKLSIVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLAKTYGFLTPEFWRDTKFTKSPFQEFTDLLAKPTKGLILDAPTETVEA >Et_1A_004709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32966725:32967133:1 gene:Et_1A_004709 transcript:Et_1A_004709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YTIFGVGPGFPLSPGGGLHKNVHWHPTLLHSPLPLCWLKQLLWHVLLLHLPREMTLWQAYSGGNTTPGRRRADPCRKKSDDSITTRSTTARSSAPWQFL >Et_5B_045381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20277883:20278520:-1 gene:Et_5B_045381 transcript:Et_5B_045381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDRTAVGAAKKLAAARGCTHGCCSGAARTSPCGAGPFGTGRARQHATTRCRSPDAVPRPILGFLRNNINWFTGSRWKGRTCSLSPVAAANSLPLLNPDLHCPGGWALDSRHGRVLMDREGGESHVLAVFGTPSLVHVLEKPGGEDDAFHHSVHSAMVHSGNCDHCDCSGGPFLVVFVT >Et_1A_008537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8475226:8492430:-1 gene:Et_1A_008537 transcript:Et_1A_008537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTKLEDSPMFRKQVPPPILVSARDGSLIGSLRGHRFMYYQAGNSLENSGSGILIRENVNSLEQLTDELKERCLTLNKGCKRFMGSLDEGYAGDLTFADALQAFGAGLDDPISVAIGGPVMSKFTTAFRELGTYKELLRSQVEHMLSERLLQFINVDLNGVKAREKFVSVRKGTRPEVVTGLEEDLHNAKSAFERCRFNLVHALANIEAKKKYEFLESISAVLTYAQQSKEMAVNEQDKLAKRIQEFRTQEEIANVRMASNVDTSTSGDGIHVVGLQSYKNIEALMQSTANGEVQVIKQGYLFKRPQNLRGEWKRRFFVLNSHGTLYYYGNKGNKQSQGAASHQTAGEGSGVFGRFRFLNQRASSQSEGSLSSHTIDLRTSTIKIDADENDLRFCFRIISPIKTYTLQAESAADQKDWIQKITGVIASLLNSPFPQQLSYGNLAAENHRPSGSGDTVSHEDNGSSEGHDDIPNLLRNIPGNDSCAECRSPDPDWASLNLGILICIECSGAHRNLGVHVSKVRSLRLDVKVWEPAIMDLFRGLGNDFANSIWEALLPKEDEGTEESNGAILFIEKPKPSDAFSIKERYIQSKYVDRLLLARDTNQITIDILEAIRTNDVRAAYHILVTANVSPNMTYDDLSKDVHHDPSVPGRMLLDPASCEITESGKLEGCLQGCSLLHLACQYGHPVMVELLLLFGADINMQDFHGRTPLHRCVQKKNDDLTKHLLKRGARTTIKDGGGLTALERRMELGAITDEELFILFVRYDQQGFYSIALLIFLRQIDAVQMIVKTPNVATRDDYVGSVASFHIRGAPCS >Et_4B_039719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27654486:27656286:1 gene:Et_4B_039719 transcript:Et_4B_039719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPWGVLLLLFLTVSSSSAAATLAVSAPAPAPVPRRAQDAEGLLINGNFETAPRKLNKTLIVGRHSLPGWTVRGHVEYVSGGPQPGGMFFAVPHGVHALRLGNRASASQNVSVRPGSLYALTFAATRTCAQDESLRVAVSPSLSAPADVAVRTLYSGATADTWAWGFRASSPVAQVSFANPGVQEDPACGPLLDAVAIKELPTPYPTKDNLIKNPGFEIGPQVFKNSSVGVLLPPKQKDTTSPLPGWIIESLKAVRFIDAAHFSVPAGQYAVELVAGRESAIAQVIRTVPNRAYNLSFVVGDAENGCHGSMLVEAFAGNVTQKVPFESAGKGGVKPASFRFVASSLRTRLTFYSSYYHTKASDGVSLCGPVLDQVKVVPLKA >Et_1A_008501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8248649:8251520:1 gene:Et_1A_008501 transcript:Et_1A_008501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQPSPMLSVPEKKTAAAVLFRDRHFFNSAFFNEIRDLRAALSTGSPDSPASRRTTLIRYHHLLFSARDDPCAFDETLSFTWHDAFKAHLKHSSASLRFEKAALVFNLGAVASNIAAAVDRAAGDGVKEACAQFQRAAGAFRAVGEMMEGQGESTVDMSPQAAAMLERLMLAQAQECCFERALAAGTSPSACSKVAMQAGLYYKEAYDALLSPPLHNHFERSWISQIQSKAALFNAEACYRYAMELHEKTEIDRNECNCGCKKCEGLDRDLVQSFEKAVSENHRIYLMRVPPGTSLAPLPAASLVRPASLSEILDTKKVTLSRHKLIIRYEVASIGQNSMLRAVKS >Et_10B_002669.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:5836381:5836779:1 gene:Et_10B_002669 transcript:Et_10B_002669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGTGKDKDGKPERIRDLQRRRFASIELVDDQGIALDSVRRDGQLAVEKILQEMIDAAGKIQIVDGDDESDRNVEEKAKKLTTERLAAKDAEVQAARAALDGKLVAVGNATHESVPVSDEEAKDAIFASGD >Et_4B_039872.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:4865039:4867006:1 gene:Et_4B_039872 transcript:Et_4B_039872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTRSKQSSNSKGKAKAMAKIVEEEEEEEADYDLSSGSCDCFLCAMKQPDARLRRASLAAFFRDLPYCEDDDGRNGRSCSAAVGAVWRAAMAAPDDPELPSLGAIRCMSLLLARALADDEWRRRGGNARVPYYAAHAIGSYTIRSSAHAELAVAAGAVRPLLRLLGGAMTWVERHAAARALGHLASYDATFPAVARHAAEAVPLAVRAASSGVADVYESFVSLPRSRRPQYQRDLLSEAARGGGGNVAGEEEEDRKAEEWASQLQCWSLYLLSCLASRDASPHAAICSDAAFLGELPRMWGGLANGDSPAGIGLLRLLCRSDVGRGAVAACPDALRGLCDLARSSDDWQYMAVDCLLLLLLEDRDTWHAVADATASCLVDLVELRQLGPRRRLGDAIASALLLLDASDYVVVRGHELGSEAKQAIASLRKMKVERKEMEEAMSRDELMNREQLAKEKKRQGNNRFMDGDANKAAELYTEALDLCPLSRRRERLVLYSNRAQCRLAQRDADAAASDATRALALARPANAHARSLWRRAQAYDIKGMARESLLDCLAFAAAWLGRRTRRPAARGVMNPKLPYCVARMIGKQMSVTGLFAGMTTVNDVVGQVGGGGDRMLRCNEDGDGDDEDDPNDDGGSEEFSDDDGLKVCTSGQ >Et_1A_007824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38634206:38639612:1 gene:Et_1A_007824 transcript:Et_1A_007824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCVAVLLFCILQCTNGQPDSRGFISIDCGIPENSSYQDLRSSIIYVSDHGFISSGANRNISPEYIRPSLAQRNYNVRFFPDGRRNCYTLRSSVTRYKYFVRADFYYGNYDGLNKLPVFDLYLGASYWHEVMFSDASAVNWMDIIVVAPADYLQVCLVNKGMGTPFISGLDLRPLKSNLYPEANASQSLVLINSNRFNMGPTDNSIVRYPLDPHDRIWSTYDTIPSWTEISATSVVENYLTDAYDVPSAVMQTAATPINGSRIDLLWDPSDPSVNISSRYFFVFYFAELQNLPSNALRQFDIIVNNNTWNTQPYTPRFLFADSLSGTVQGQAKYSFSLVATENATLPPILNAMEMYLIKPTSDIATDPGDATAMMAIQEHFSVKKNWMGDPCAPKYFAWLGLNCTYPSSGVSRIKALNLSSSGLAGSIATYFGDLKALQYLDLSHNNLSGPIPNFLGQLPILVFLDLSSNDLSGPIPYNLLHKSQNGTLLLRVGNNVNLCGDGTACGSGKKKNEGPLIAAIIMPIVAVIALFVTLVLLLLRTHKEKAETRTTGPKDETALLENREFSYRELKNITNNFSQEIGTGGFGAVFLGHLENGNPVAVKVQSNSSSRGGKEFLAEIQHLTRIHHKNLVSLIGYCKDKNHLALVYEYMPEGNLQDYLRGLGTCSSKPLTWEQRLRIALDAAQGLEYLHVTCKPALIHRDVKSRNILLTTNHGSKIADFCLTKAFSDSKTHITTEPAGTLGYLDPEYYRSYQISEKSDVYSFGVVLLELITGHSPVVPINESVSIHIGEWVHQNLNHGTIESVIDSRMGGDYDINSVWKIADLALRCKQEVSRERPTMTDVVAQIKENIELEIHRDRRRNLVSGSDDLSYLGEENTFEIQGHVGEISGAAAGPAMR >Et_10A_001943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13479707:13481924:1 gene:Et_10A_001943 transcript:Et_10A_001943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLRLHLAAPPPLPLPHHRRLHSRPRLPRSPAFPLLLNPARAPPLPRLPLPVPRRLLLCSNARPVRAVGGGAEEGAAGPERGEDGAGGGLVGEDSAAFRLGDQSLASWAYFGVILGTVLVALNVLWIDPGTGVGTRFLDAVASVSDSHEVYFINHRYDGTQLWQVQGIFGVHELVWLSSFISFFFLYPSTFNLLEVAAVDKPKLHMWETGIMRITRHPQMVGQIIWCLAHTLWIGNSVAVAASVGLVGHHLFGAWNGDRRLASRYGEAFEVLKKRTSVFPFAAIIDGRQKLPKDYYKEFIRLPYVAITALTLGAYFAHPLMQASSYQLPW >Et_8A_056907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18803597:18807588:1 gene:Et_8A_056907 transcript:Et_8A_056907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVLAKMAHVITKQKNCNGPQPTYPMLRPKGPLVGRQLFWADTGMRHSFVPSSLVAAPTRRAAAPFPTPSPAPSPATSTHQVPLYVVGSSVQLGYLNLFFSYFKELVGKEVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVLLPPDGVDIDILHDATRREARGG >Et_4A_034790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6583939:6587546:1 gene:Et_4A_034790 transcript:Et_4A_034790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVVFPDHTFPLDATAFAQVAPNSWLLDLSTLSLAAAPRSAVVFLLPAAAAALPPGKAVAVYFQAAGNRPFAFLGALGPTRPSASFQLPEAGDEPEPPVGPAKLGVAVEDAAALPPPPDEQRAERVALRVGENLFNFMQSFCGADGGKLIVPTDILDRWFRKFQERAKKDPTLFRNRVQIHARRQKNLMGSEPLIEAKEDMLSSLEAVEKFSGAGLLYRPEYERGVRSSGVALPAETRRGTGVMNPAPFPFGWWLLVLLLKLL >Et_1A_004628.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23944849:23945214:1 gene:Et_1A_004628 transcript:Et_1A_004628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMVIGSGGGHGGPNGGSNTGGGGRGVERGGGLCVACKFLRRKCVNDCIFAPFFSSEEGMENFVVVHKVFGASNTSKLLHQVPLDKRRDAALTICYEAKERISNPVYGCASYIMALQEQV >Et_8A_057095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21002128:21009024:1 gene:Et_8A_057095 transcript:Et_8A_057095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSSNGHGQLVWLLLLACSWGSAAQVQQAPRTDPIEGVLDNPFTIPLHPPTGLEEELHRPGGSLLGATDTQKNKMAALNTILGRWGLRASPAWNISGEPCSGVAVDDTINVDNNPNINPAIKCVCSYNASTVCHITKLKVYALNVVGQIPAELQNLTYLNNLNLMQNYLTGPLPSFIGKFTAMQYLSVAINPLSGSLPKELGNLSNLISLGISLNNFTGELPEELGNLAKLEQIYFDSSGFSGPFPSTFSKLTNLRIMWASDNDFTGKIPNYIGSLTKLEDLRFQGNSFQGPIPASLSNLTKLTSLRIGDLVNGSSSLDFISNLTSLNVLILRNCKISDNLATVNFSNLAGLTLLDLSFNNITGRIPESILNLEKLGFLFLGNNSLSGSLPDAKSPSLNNLDFSYNQLSGSFPSWATENNLQLNLVANNFVLSSNTNSILPSGLNCLQQDTPCFRDYSFAVDCGSNRSIRGSDNTMYEIDPTNLGAASYYVTGQTRWGVSNVGKFNEAPDGSYIIYSSQQFQNASDSELFQTARMSPSSLRYYGIGLENGNYTVDLQFAEFAYPDSQTWQSTGRRVFDIYVQGDLKEKNFDIRKTAGGKSYTAVYKKYNATVSRNFLEIHLFWAGKGTCCIPTQGYYGPMISALSVTPKFTPTVRNGVPKKKSKAGAISGIVIGALVFGLASLAGIFMLVQKRRRLALQQEELYNMVGRPNVFSSAELKLATDNFSAQNILGEGGYGPVYKGKLPDGSVVAVKQLSQTSHQGKSQFVTEVATISAVQHRNLVKLYGCCIDSNTPLLVYEYLENRSLDRALFGDSGLSLDWSKRFEIILGIARGLTYLHEESSIRIVHRDIKASNVLLDADLTPKISDFGLAKLYDEKKTHVSTKIAGTFGYLAPEYAMRGHLTEKADVFAFGVVTLETVAGRSNTDNSLEEDKIYLFEWAWGLYEREQALGIVDPRLEEFERAEALRAIHVALLCTQGSPHQRPSMSRVVGLLTGDIEVTEVVTKPSYINEWQLRGGNTSYVTTDYSGDTTGEFSTQRNTVALLTPSPAATAVIDGGR >Et_8B_059282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16094726:16104081:1 gene:Et_8B_059282 transcript:Et_8B_059282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVAEGGGPWLRSTNGFVGRAVWEFDPDLGTPEERADVERVRREFTQRRFERRESADLLMRMQCAKQNMGGHRRELPRIKLQEGEQVTEEITLNSLRRAMDQFSSLQACDGHWPGDFSGVMYIMAGLNEDGGWSDSVAWGKSNMFATCVNYIILRLLGEDLDDKKSTLADGRAWILSHGGATLVPQWGKIWLSILGVYDWSGNNPIFPELWLAPWFLPFHPGKFWCLTRMLYLPMAYLYGKKFVGPITPTILAIRQEIYNTPYDKIDWSEARRACAKEDILCPRTSLQNVVWTSLYKCVEPVLSSWPINKLRERALGQLMEHIHYEDENTQYVCICAMNKSLNMVCCWVEDPNSDAFKRHLARIPDFFWLSEDGMKSQVYDGCQSWETSLILQAYCSTDLVNEYGPTVQRAHEFMKRSQVLRNHPGDQYYWHRHISKGSWTLSSADNGWAVSDTTAEALEALLLLSKISSNLVGNPIHRERVYDAVDILLSLVNKDGTFSTYECKRTSTWIEILSPCENFLNIFADYPYPECTSSVLVALATVKELYPGYRTEEIENCISNAATFIESKQLEDGSWFGTWGVCFTYGAFFSVRGLVAAGRTYENSSSLRKACHFLLSKQLNTGGWGESQFSIRTLVYENIKGERAHAVNTAWAMLALINAGQFEIDPTPVRRAAKELVNMQLDTGEFPQQEHVGSSNCAIVINYPNYRNLFPIWALGEYRRRLRANKIDATR >Et_1B_010379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1055673:1059095:1 gene:Et_1B_010379 transcript:Et_1B_010379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRWRKAKMSLGLNLCVYVPRTLEGDEPNTASSTAALVSPVASSSSAATSANTTPTAASEEQTHGKVKGGAGALMPTTPTPTSAGLRLSKSGSKSFKKTCAICLTTMKPGQGHALFTAECSHTFHFHCISANVKHGSSSCPVCRIKWKELPFRGPLAADLPQGNTRIAPVNGYQNGGHMTILRPLPRARSSGRLHHLTALMPDNDPSTFNDDEPLDLLCEATEDSQQGCSRTVEIKTYPEFTEVPENVSERSFTVLIHLKAPLAQNLQQPSNLGDGNGLNTSRAPVDLITVLDVSGSMAGTKLALLKRAMGFVIQNLGSSDRLSVIAFSSNARRLFPLRRMTESGRQQSLLAVNSLTSNGGTNIAEGLRKGSKVIEDRQAKNPVCSIILLSDGQDTYTVSPTAGVHKGAPEYCALLPSTNSNQQVPVHVFGFGADHDSVSLHTISQTSGGTFSFIETEAAIQDAFAQCIGGLLSVVAQGLHVKVESLHPDVHFGSIRSGSYSSRIADDKRNGSIDVGDLYAEEERDFLVSVNVPPGYGETALLKVGCVYKDPLMKETVNMADVQVKISRPAFVSVQSVSVEVDRQKNRLHAAEVMAEARFTAERGDLTNAVSLLEDCRRMIMGSVSGQSGDRLCQALDAELKEMQDRMANRQRYEASGRAYVLSGLSSHSWQRATARGDSTDSESLIQAYQTSSMVDMLLRSQTMNRSSTPRGTPQMRHAKSFPARPQP >Et_3B_029038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21585608:21588545:-1 gene:Et_3B_029038 transcript:Et_3B_029038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCGDAASASTELAGVVICESAMFPPLPGRDSGIAAEMEGKDAEKKKSKKDNTARINKWGLREYSKIVSKKVEAKGRTSYNEVADEIFTELTVMLNSQELDEKNIRRRVYDAFNVLIALRVIAKDKKEIKWMGLSNFRYEKIKTLEEAHKELITRIKNKKKLLEEIEKQFDDLQHIKFRNQVLQKPAESANGIRLPFLLVKASRKARVEIEISEDSKFACFDFNWYVSVCYQKLAFKIDALFPIIMSYSQLNAFYFPFSVLHSPCMMMSQSLMGSSVTA >Et_9A_061169.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:197632:197844:1 gene:Et_9A_061169 transcript:Et_9A_061169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVVIAVVLFVLLSPGLLVQLPGRHHFVEFGNLHTSAVAIVVHAIIFFALITLFVIVIGVHITTDS >Et_1B_009981.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:16594953:16595615:-1 gene:Et_1B_009981 transcript:Et_1B_009981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAVSSHDSPEVSRSAEEIKACLLQPLLWCCSASVKMYPTLATSMYLHNFSSQMIMSLSILYLSAATSRCWYRASSSSFSVSGNESVYKKQSSALNTGASMSSTRTTTPDVVALSFIAPKNSALNTGDRAASTHRCAGNVSRPTSNTTSAPFSVRRTSPRCRCRSDGGTKVVGAATSSAVPSVWSSLTTTISQQIVRESSLITPVSKTLLLRNFEDVQQ >Et_7A_051726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23594109:23594862:-1 gene:Et_7A_051726 transcript:Et_7A_051726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYDMVPDHSLFEALATCLVAIQPKDYYKRLDEGSIVLKKSKVFSFCKEGVLVEGESSPIKSDIVIFGTGFRGDQKIEDMFTSEYFRNVAVGTASTTVPLYRECIHPKIPQLAVLGYSESIANLYTAELRAKWLAHFLDGGFRLPNVTFMQKDVLEWEKYMKRYAGRYFRRSCVGVLHIWYNDQLCKDMGCNPRRKKGFIEELFGVYGPTDYANLHPQIEE >Et_6B_049578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6790155:6791712:-1 gene:Et_6B_049578 transcript:Et_6B_049578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETVVLIVGAGPAGLATAACLTRLSIPHVIVEREDCSASLWRTRAYDRLKLHLSKEFCELPHMAYPSDAPTYIPKDQFVKYLDEYIERFDIKPNYRTSIESCKYDEGRKCWFGVASDLATSTVVKYKFRFLVVASGENSAENIPVIPVLDSFPGEVIHSARYKSGTTYSGKNVLVVGCGNSGMEIAYDLANHGANASIIVRSPLHVMTKEIMRLGMTLVKYMPVNVVDALLVMMSNVVFGDLSRHGIVRPKFGPLFLKSKTGRSAVIDVGTTRLIREGTIKVFGNISKIKGHIVEFEGSKNRKFDAIVFATGYRSTANIWLKNGESLLNDDGLPKNRFPNHWKGANSLYCAGLAKMGLAGIAMDAKKIANDIFSSIGSR >Et_3A_026476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8555374:8557289:1 gene:Et_3A_026476 transcript:Et_3A_026476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDPPPREEAAAPSPPSIPALKRSSSIDRIPEDARRILYRLAGELWGSDVDPDALTVSQLKGAMTNEVFRITWPGGEGDPRKVIVRIYGQGVEVFFDRTDEVRTFECMSRHGQGPRLLGRFANGRVEEFINARTLSAADLRDPEISALIAKKLREFNDLDMPGPKNVSLWQRLRRWLEEALGRCSEEESREFQLDKLGHEIDALESTLSGVNQAVGFCHNDLQYGNIMIYEETRQVTLIDYEYASFNPVAFDIANHFCEMAADYHTATPHVLDFTKYPGIDEQRRFVQNYLSSSGEQPSDEEVERLLNLIAKYSLASHLFWGLWGIISAHVNKNIDFEYKEYARQRFDQYWQTKPTILGR >Et_10A_001821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8821849:8822188:1 gene:Et_10A_001821 transcript:Et_10A_001821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIAAAASIVTIYCKLVQLRRRIQSYYRYDLLQVRAARQKVEANRKVLLRHTCALFDVTAATCGSGVKGYGG >Et_9A_063100.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:10250312:10250710:1 gene:Et_9A_063100 transcript:Et_9A_063100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMWSSYLVESRDELFLVVVFFQGENVHKVAEVAVYKMDFSAPASWRKVDGGIGDDRVFLLGGHDIGISSFGASCNASACGLIGNRIYFLNHVGESFLHIFDLKKMTEEVQRPFDDFVCPLRLPFWFLPTDQ >Et_4B_039275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9442706:9451118:1 gene:Et_4B_039275 transcript:Et_4B_039275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KTSKISFCPSHCPKAGHLPVYSNAIPDPFTFVYKEASLGKIMSSISILHRTCLRFALLPLPPLRARAATLRPPPPPRRYLHSPRRGAMSSAASRLSHIAAAAGGGGGAAGESNEPPPPASATAKDDDLSSGDLGYRLPPKEIQDIVDAPPLPVLSFSPNKDKILFLKRRALPPLSDLAKPEEKLAGVRIDGNSNTRSRMSFYTGIGIHKLLNDGTLSPEKEVHGYPEGARINFVTWSQDGRHLAFSVRVDEVEDNKSGKLRVWVADVESGEARPLFKSPEIYLNAIFDSFVWVNNCTLLVCTIPVTRGAPPQKPSVPSGPKIQSNETKNVVQVRTFQDLLKDEYDADLFDYYTTSQLMLASLDGTARPIGPPAVYTSIDPSPDDKYLMISSIHRPYSYIVPCGRFPKKVELWTVDGKFIRELCDLPLAEDIPITTSSVRKGKRSIYWRPDKPSTLYWVETQDGGDAKVEVSPRDILYMENAEPINGEQPEILHKLDLRYAGTSWCDDSLALVYESWYKTRKTRTWVVSPDKKDISPRILFDRSSEDVYSDPGSPMMRRTDMGTYVIAKIKKQDGSTCVLLNGMGATPEGNVPFLDLFDIDTGSKERIWESDKEKYYESVVALMSDKTDGELALDELKILTSKESKTENTQYYLQTWPDKEQVKITNFPHPYPQLASLYKEMIRYKRKDGVQLTANLYLPPGYDPSKDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFPGIGATSPLLWLARGFAILSGPTIPIIGEGDEEANDRYVEQLVASAEAAVEEVVRRGVAHPDKIAIGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATSTYVEMSPFMSANKIKKPILLIHGEQDNNSGTLTMQSDRFFNALKGHGALSRLVILPFESHGYSARESIMHVLWETDRWLENYCVNVTSKVDTDSAAVSENNTVSAGGGGAAHESFSPEGHSYIPRSLLWCTSTTALLSRPAR >Et_10B_002875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1296643:1299839:1 gene:Et_10B_002875 transcript:Et_10B_002875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFRSHDGLGQLASAAAAAANCNGASPPWWAGAPHQLLYREPLGHGRPPAMSPEEPCRESRFQVVPGCQALLEPPVPPPKAVQQEREFPDVMKFSMAAQGKGEKGSDHSTTVALQSPFTLYSGRFELGLGQSMVSSKNPYADQHYGLLAPYGAGAPPGGRMLIPLNMPADAPIFVNAKQYEGILRRRRARAKAERENRLVKARKPYLHESRHLHALRRARGSGGRFLNTKKEASGKDAGARGKTTVSNPLVRQATSPSSEIQQSELGNPSSVSSLSGSEVTSMYDHEDVDHYHSFDHLRTPFFTPLPSVMDGEHGVGNPFKWAVASEGCCDLLNA >Et_9A_063379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22038047:22043406:1 gene:Et_9A_063379 transcript:Et_9A_063379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVSRSTSAPIVKKPPAKPLTTAAVPPPAPVPVLAPPALPALSSPGEIEAALLHLHAADPLLSAVISSTKAPTFASSPSLPAFHSLARSILYQQLAPSAADAIYARFLALLPSAAAAAAAANAVTPAGVLALAATDLRAIGVSGRKASYLHDLAGKFSAGELSDSAVAAMDEAALLAELTKVKGVGEWTVHMFMIFSLHRPDVLPCGDLGVRKGVQELYKLKALPKPEEMAALCERWRPYRSVGAWYMWRLMESKGLAAKKKKKGNASSYEPHKGGAFCFERKKPVDSGPIVVWPFLQGVLNYVLPQICEATGSMIHRTYSKGDKQPVNAHGDQRNISMRRCLVLAVLLTLKFRTSTSEL >Et_3A_023828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1362289:1363916:1 gene:Et_3A_023828 transcript:Et_3A_023828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYGGPFVYAAARRGFVLMKVAETSLIRPQRARDPRKPQERKLLQERIEGAVAELLSGFLDDIRAVAPFLPGGLAWRHQCRESDRDGGNEGSGSGSASTNVPETLDVAPRWPHWSTWRPAVEKGARWKPGAQPVPPRARTRRITERLLMETAAAMLPPPSSSICCCSMAAHPASPALTWAGHRPRARAARRALLREHRPPDPATRRATGTRWRRARQGVGSGLLPGHVRGVHLRHRADPPRAGQRPPRRVPRRRPPVRKEDLGQAQPHLHACSARTTGTCAARARSPPTSAAGSTTGTARRRFRYEAQDASTSSLCWTVSALDAHPDALARVRAEVAALWSPDSGGEPIAPEQMAEMRYTQAVAREVIRYRPPATMVPHIANEAFRLTEWYTVPKGATVFPSVYESSFQGFQDPEAFDPDRFFSDARREDVSCKRNFLAFGAGTHQCVGQRYSLNHAWCSSCGAVRVVGVDFRRDRKKGVR >Et_3B_031132.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:17327182:17327607:1 gene:Et_3B_031132 transcript:Et_3B_031132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVEALEGKRVAGYGAGVLLGIDTEDELSVVLVNPLTGESTALPRLPDSFLDTYTYVFVLVYNLPTVQARSNVALWRPGTGAGGWATIRSERFGMDMPWHRDRLAAHGPQLLQQFEQAAVTANGHAPARWLGSSAWRACT >Et_2A_016614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26626333:26639950:-1 gene:Et_2A_016614 transcript:Et_2A_016614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKLKTLPAITSVGLFSVAVGCKSLSALHLICCDKVGSMEWLEYLGRIGSLEELVVKNCRKISQFDVLMLGPGWMKLHKFDFKIEDLCYSYDPRDPSYVAHNQYDYDFSCENLKDLTLARIITRHEVGLRCLLSKCKALENLCLHFVHGLHDDDMITLANKCSNLKSMSLGLRPEHCEVYRTALTDESLKALALRCSMLQSFELTFFGCVIDYPEIGFTQEGLVTLIQSCPIRDLVLGGVDILDDKGMKAISCALFLESLELTRSIFVTDAGLCHLACSPRLINLTLGLCDGITDYGVGEVVRRRKLESLIIEQCAKVSREAVKGSAKSVQYRDNCPSFRKWVDFCVYGNKITKLAQLDCPMEDLPVTLFGEIVKRLTKSSDLNSLSLVSKRLYTVEAELRDAIYVRSIYPEKVTLASLCSRFPNLFKVEFDYSSCTRRHGMQLDNEGLHVLSSCCPSLTDLALSSCTYVDDSGLGFLACFKKLAYLKLNALPAITSSGLLSVAVGCVNLATLHLISCKKVGDMAWLEYLGSSGSLEELVVKYCERISQFDLLKFGPGWMKLQKFEFQSKGVPSILHSLDPLCVEHPQYKYDFCCENSDMITLSHNCNNLRSISLRLTPQFNEGRVFRTSLTDDSLKALALRCPMLQSFELIFWACDEFYPEIGFTQEGLVTLIQSCPIRNLVLSGAHIFNDDGMKALSCAQFLETLELMDCIQITDAGMRFLAHTPRLVNLILRQCDGFTDDGLGEVIHARKLDSLIVEGCSHVSRKATEGAAKLVHYNEDYPGLFNLDR >Et_1B_012885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3922176:3927454:1 gene:Et_1B_012885 transcript:Et_1B_012885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSGAPLLAAIFCVTLAFFAPRAAVACANALYLEDAFARRVACYDFFCGSLVFPDACINRRLLSAFPFVGDVATLESVPDLVKAMYTNIESFPCVRLLNLSGEIGCSKLHHKVAGVLVESNGVKDTLPQFSPDRKFPQEAFAPYSNLSHNWNPAGSGIMWNRYDFPVFLLSEESTLTLQKVAEKNEKANNGYQPNVAEFDLVMQTTKSQTHDSASCLKEQTCLPLGGHSVCASLPPIKNASAEQQKPVILTTASQDAASFFRDRSLGADSPVSGLIALLTAVDALSRLDDLGNLKKQLVFAAFNGEAWGYLGSRKFLQELDEGADSINGISSSMIDQVLEIGSVGKGIIEEHPSFYAHAAGNSSASNKILDALQRASDSLGSDNVKVKQAASSNPGVPPSSLMSFMRKNSSTSGVVLEDFDSQFSNKFYHSYLDSPANINSSSIAAAAALVARSLYILASAESPVNLMTLNSIRVNVSLVQEMVGCLLTCDPGLSCGLVKRFISPSKSCPSHYVGVYLDDPSGTQFPSYADDTSRFVWNFLADRTSASVSNGSSCTGKCNAEGEVCVGAEVEGGGKCVVSTTRYIPAYSTRLKFENSVWHVLSANSSDPMNAADPVWTESFWNTIGLRVYAVQSTSYDWLVLLAGVSITAASYLAVIIGRTYISKAIKRD >Et_3A_024063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16962448:16971273:-1 gene:Et_3A_024063 transcript:Et_3A_024063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SAIMGKIAPYVVSFLLRFMYGVLNILTKVAFSEGTSPSVLVFYRHLIATVLLLPIAFALERKTTPALSLKVSLKLFVHALYGMSASMYISCVGLSYASATSASAVQNLLPVLTFFLAVLFGMESLKIRRFHGLVKVSGIVLCLVGVVVLALYQGPELKSFIHYRRFRHTSHDGTNSSRKWILGTLLQSLAAVMWALWAVRQGRLSREYPSKLFNITLQIAFATAQSFFMTLAIERDFSRWKLGLDVGLVAIVYCAVTFAISCYLQIWLIDKMGPVFLNMTVPLTLVFTILLTFLLGEAVSLGSVISGVLMVGGLYNVLWGKRIEQVATSMQEDHRQKSACSDLEEQENAPPIVTKDAFNEGMSTYVFVFYRNATAILFWVPISFVLERISGAINIYSLGLSYASATASSAIFNLLPVVAFFLAVLFGMESLNLKRFHGIAKVFGVVFCIAGVIVLAFYHGPELKSFNHHHLFHHISNSSVGAISHPTRSWILGIFLTTLSTTFWALWTVLQSFFIALAIERDFLRWKLRLDVGLIAVLYSGILVSGVAYYMQVWVIDKSGPVFLSMTMPITLLVTIMLSSFLLGEAVTLGSIIGGVIMVGGLYCVLWAKQSEQVGEKKLQVTAPVQATEA >Et_5B_045214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1246364:1247645:1 gene:Et_5B_045214 transcript:Et_5B_045214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSADLLQHIDELTYRESSGPSPRVCSNRDDSRGDHATDNGLHGQNISLHLADGKVIQTARRGTRAEVMVHGDVAVHSLPTEPQLPIVVFVPERHRWRHVVHDRRRVPRQEAVSLGCRIVDEKSWSARGACRVISPSNPRYQRTADEGDDGCGVAAPARRGLAPTPTAFADAPMSSERRGEGGGAWIVQDVRAAK >Et_10A_002298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7586223:7590158:1 gene:Et_10A_002298 transcript:Et_10A_002298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRASPASRSNRNRVGDGGLRAPERTAAVVAGYKGRTTATRSRRLPSHRSSPTTSDRAPARRPSPACSFTLNYHEVYKYIAHGLHDVPRRRAAARARPEGASVRRGAAANAAPRGGRRQAVPRAREAARDVADRRCALAAVAGVLRRFADAALAPPPSSSSPAALPPSPVAAAAAAAAPATVSPILPSSPSPVASSSSVSDEEDLLDAPRPNASSGGEKAAAAASSTTTTTRHFMKNASTVPAVVYGNPYAPNRYAEGEAIHGHVDGEEAAPPSPPGITPWDFFDPFADDYFSRRREQAAGGSPYDDSAELRRTEGVPELEEDTDSAATDALSKPSASSRAAAAGDQNAKAKTPAVPENVASNSDPSGGGDKLQTTTTKGPEPDPNGTGAAGKAVSDKDAAPSNGISEKSKPEGEEEEEEKKKSPATLKDTGDGGGDLHHHLATADEKRRGGDGRGVLAVQRGGQPRRGGREGAGKGEEEEQGRRPLQLQQGQAQSSHCRADVVVLPPKTTAGQEVDKQEEEYLIVVLIWVWEKKLCREIEDKKKLRKQYKKKYEKLKALGKRGAESSTIYSTQASLNVLRSKISVSISTANVLKVMIQKVRDDELYPQLADLAFRFRALWKAVMECHEKQLSAIQDTEMHRLKAVTLSQSSVASIASDELERELVNWRRCFDKWTGSQKAFVQALNRWMVEPLPEAHEETRPTQQPEPLALVFSKNWLQATETVSPAEVLRSTDHFCKLVHEFRKSQEGEQRRKQKADRASRDYVTRREDLKAAYGLTTGQDVAAVMENPCYKRDECVRNLVKARRRRDDERARHDEILQHAHVAASATLPLGLFHGFKMRMTISTMTRRRIIP >Et_2A_016117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21074162:21075299:-1 gene:Et_2A_016117 transcript:Et_2A_016117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAELAVDALSIWCTNLTFLFCLQSMSLTGWEMMIHLGFLAGTGVNSNQCTIILLSRVRVANELGASNGKGARFATIVSVTTSFLISIFISLLALIFHDKLAIIFSSSKAVIDAVDDICVLLALTILLNGIQPVLSGVAVGSGWQALVAYVNIGSYYLIGVPFGILLAWGFHYGVLGIWVGMIGGTTMQTLILACIILQCDWDNEALKACNRVRKWSSSK >Et_2B_019164.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15082232:15082309:-1 gene:Et_2B_019164 transcript:Et_2B_019164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRKPFNPLPQFTFSVTRCPHARR >Et_4A_032334.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30292742:30292888:1 gene:Et_4A_032334 transcript:Et_4A_032334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPWPVAVSVVDMVWAVLAAWVSTCLSAATAVARAARTGEIAHLHIG >Et_3A_023905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14434626:14438412:1 gene:Et_3A_023905 transcript:Et_3A_023905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGALLAYLPPQLQLGGVLLRHGRGALLRPHTLPVLSFAAAAARPGAQRGNCRRLRCAAADGGGGPGEPGSPPPVPQKEESPSSGVGAALEDPPTPVENGSFGGLSEEEEQSTLYNFLYPSKELLPDDKEMSIFDHLEELRERIFISVLSVGAAIVVCFAFSKDLIKLLEAPVSVQGVRFLQLSPGEFFFTTLKVSGYCGLLLGSPVILYEIIAFVLPGLTRDERRFLGPIVLGSSVLFYLGVFFSYTVLSPAALNFFVNYADGAVESLWSIDQYFEFVLVLLFSTGLSFQVPVIQLLLGQVGLVSGDQMLSIWRYVVVGAVVAAAVLTPSTDPLTQMLLAGPLLGLYLGGAWMVKLIGR >Et_9A_060884.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:10932080:10932355:-1 gene:Et_9A_060884 transcript:Et_9A_060884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVKKSSPVMVKPQESVATGGAIKLSLLDQVHLNIPVTMLLVFEHPINEAPSAEIIQRALSQSLVHYYPISGRIPAGAADE >Et_9B_065260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2897836:2915508:1 gene:Et_9B_065260 transcript:Et_9B_065260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVRMSVSLRIHSRCAGIRVDPKHRDGTANWLAHHVEQKQELGASEGALIVGDVAVKEEEVETVAEADVDSIPSQQIDYSRPFLTAFQVLVVARPAVDAGEVVPERQRRADEAAGLAAGAVARRVRAGLTVAVLVVVRRPRGAAVGGAKNLKRGVSGGHKEMEPEDRLPKRRLVLPADSSESPVVVQKEKSSSSSGAAYVAEEDTDALDCGVCFLPLKPPIFQCEVGHVVCSSCRDSLAAAGKCHVCGVATTGGYRRCLAMERLVESVRVPCPNAAHGCVARPAYYDQQCHRQTCAHAPCHCPGGEASCGFVGSTAALWDHISGVHGWPCATFVLPGRTRHRVRSGRIELWVPIPDAFFDVRLHDGFNFVLVLHSTDEGATASAAKYLFLLNVVRQPVGRTVSVHWIHPEAAASSDDNDGEATKRRRRRQRQKVRSISRSSSKTDSTSSTSLTLMPTATVGNLFLFKRDAPAAWPRHASPCSGSTATQRRRPTGTEMECELRYSSYLRRDGAKVTEHHQKSCFRVACTDLSEGLPGPDACLQFVVPDCVVGDKEKETITVTAQIAIRRPDARRPQMEPWKDFDFDDDDDVDSGSSGPAAPAAAGLQGAAAVAYVMAEDADALDCGVCYLPLKPPIFQCNVGHVVCSPCRNKLTSTGKCPVCGVNVAGGYRRCHAMERLVESIRVPCPNATAGCTAMPAYCHQQNHRQVCPHAPYCCPGKDCGFSGYMNAGLLDHFTGTHGWPCSIKKNRAGKTCRFSVSLNNGFNFLIADLATGGEGSSATITSSKYLFLLNVFRQPLGRAISVLLISRKPSSKAVKCVLTFSHIASEDRKFLGSHLLQSKINVECSDLSSGVPNPDDCFQFVVPDYLLGEGNRDSAIKIKPPKYQRPQLAMRHI >Et_3A_025630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31384867:31393140:1 gene:Et_3A_025630 transcript:Et_3A_025630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISELSPEYRQPPPHAGLLTDLNRVVADVEAFDTSDTPAEKLAADLRRLLANLATADAATSPGLNEAFRLKVWNIGFRLWNASVDRANSDALPRGPASRVAEAEIRQAAPELLLLAGLPDGVPSAAAKAAALFHRAGMVWLDLGRSDLASACFEKGTPLVSAADTEEDQAVLLGLNLARARAACGAGDHALAVALLGRSKPLAAASPEGIKSLAEEHLFIGRAALSISPPDPALDASNLLTEALDLCEKAAASPSCATPTTPGSTPTTPNLHGLRIQCIRFLAVERLQANDYEGTLRCIRVSRTSLGLGEEHPSIGFTAMRACIGSGNLAEAERELERLIANSEAPDCVCVSAAEKYLASAGPEAALKVLLALAARCRAEGAAAAAALRIVKKVVEGAGGGTARAKMVAELVSDEKVVALFDGPANTHDRGTMHMLLWSCGAEHFQAKNYETAADLIERSMLYVSRDEESRSRRADCFRVLSLCHMALRHLDRAQEFINEAEKVQPNILCAFLKFKIHLQKKEDDEAIKLMKIMVGYVDFNPEFLTLSVHEALACKSGRVAVASLIFLLGLYSAGKPMPMTEAVVLHNLIALLLREPGSEAEILKYSRRAKLRMAELGLEVFFGKGTVGLHELHWFAVSAWNMALMVVKEKKYDYSAEFFELAADFFDSSTGEDNANRCMVCKSLIMCVSSMLYAEELNISPLSDSDLKKGVEMLSRAGKLLPVTWPSTPVTSDQLEDNNLLFLYTVNFYQILDRMGTSTHPQQLQLVKSYAASKSCTPIHLLKLGKIASESNQPNLQVAEFVLNASITTALASHSPNYEVISAALRKLVCLAGSQDYNGMSDAAYDVFRQAYQIVVGLRDGEYPSEEGKWLATVAWNKSFLAVRLRQPSIARKWIKMGLDLARNFESMEKSIPFMEKYFECFQKISGQEPDECSQHDGAPSTSMSGSVSQPVLSFCVPWDTDFGIGHKSMLSEAMHDPIARNARSSPKAGAIFAKFTETAKHGVRKESMSCEFC >Et_7A_052523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8885756:8886725:-1 gene:Et_7A_052523 transcript:Et_7A_052523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLAVQALSATSLSPAEAAASPNKPPSTTSQQHPPISSSTTTSSSRRRLVAIATASAALQLLSPAAARGVGAFDGAFDLRIILPEESSEEAEAVVRTHARNLLRVKRLIDGGAWRELQAELRASASNLKQDLYAIIQATEPSRRAELRRLYSDLFNSVTSLDYAARDKDVPQVKEHYGNIVTALDQIFAKIMRQRFKNEGIEGGSIKACSRLITVTFTHREQQTVTGKKAFIFCYVIASFLGNYVATTSSCELPLLHLFTLATI >Et_8A_057448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3095448:3100966:-1 gene:Et_8A_057448 transcript:Et_8A_057448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALSPTMATLRNGENRKETRYLAKILAPEGSKDVQVGQPIAVTVEDLEDIKSIPADTSFGGEQKEEQSTESAPQNNVANVSEQSSVVSRISPAAKILIKEHGLDASSLKASGPRGTLLKGDVLAALKSGASSSSSKEKKAPATPSSRPVHDSQAQPATTEKTDSYEDIPNSQIRKVIAKRLLESKQTTPHLYLSKDVVLDPLLAFRNQLKEQHGIKVSVNDIIIKAVAIALRNVPEANAYWNTEKEETQKCDSVDISIAVATEKSGILAVGRGNKVVEPVVDSDGIEKAAAVTKMSLTLSADHRVFDGQVGGTDILLFTLYLFSPLFPYLVNLFVLHVGTGKFFTELTSNFSDIRRLLL >Et_3B_030910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8730577:8731304:1 gene:Et_3B_030910 transcript:Et_3B_030910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATREPSSPAAEPRRPRVLLAASGSVAAIKFEVLCRGVAEWADVRAVATQPALHFIDRSALPAGVALYTDDDEWSRWKRIGDEVLHIELRKWADAMVIAPLSANTLAKVAGGLCDNLLTCVVRAWDFRKPVYVAPAMNTFMWDNPFTARHLDAARELGMTLIPPVTKRLACGDYGNGAMAEPAEICRTVKLFFGPQVSLNAT >Et_3A_026410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7877099:7882769:1 gene:Et_3A_026410 transcript:Et_3A_026410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTAAPPYSAAAGEEGPLAFLLRPLFLHGVDGAAHLLVALAVAGHLVFRRCGGGLSGKDGEARRRRRHAYRGGGGGFRCYGVAACATWAVAAAAVLFAAYACYLGLCSGGGSARWSRDDVAGLADAAARAAAWLLLAAHLQFEFGRRREEGFPAPLRVWWALFLLLSVLAVAAHVATSLAGLPPVPAPSWALDAVAVVAAVALLSAGFLGRREGGGPALEEPLLNGGAREAANDSGSSSAAAGASLFTGAGFLSVLSFSWMGPLLAAGHKKTLGLDDVPGLDPGDSVASLFPTFKANLEALTTGDVSGSGSGRKVVTAFTLTKALVRTVWWHVTVTAFYALVYNVATYVGPYLIDSLVQYLNGDKRYATRGQLLVLTFIVAKAFECVSQRHWYFRLQQAGIRARSALVAVVYQKGLALSSRSRQSRTSGEMINIISSAWRCSSCTPHWGSPRSPRLPPQCSSCLPMCLLGECRKGSSRS >Et_8B_059931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4175440:4176409:1 gene:Et_8B_059931 transcript:Et_8B_059931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ANPCNAFQSDVVAFGGTTTDMAYSVGEFPTDIDTDRPTAGFSSIPEAIEDIRQGKYIIVVDDEDRENEGDLIMAASKVTPEAIAFIVKHSTGILCVSMKEDDLERLQLPLMVPANKNEEKLQVAFTISVDVKEGTTTGVSAKDRTKTILALASPDSKPEDFNRPGHIFPLMYRKGGVLRRPGHTEASLDLATLAGYLLLQSFIVDDDDGSMALLPKLQEFARRENLKIITIEDLIRFALKWQLVFV >Et_3A_023121.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29360517:29361065:-1 gene:Et_3A_023121 transcript:Et_3A_023121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIARGLILIVLHRGEVEVRHGLLRLLLLRGGGGVDHGRRRLPPVPAHVAAERLAHGELEPADGALVHARPVPLAAGPAARRRRVAEHPRLQPRVAGAVPAQRLERRELLPARLALEHALRRHRPPAARRRRRRRRRRRRQREQRVGVHPQALHLLALLRFLPHRERH >Et_2B_019860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14743202:14743478:1 gene:Et_2B_019860 transcript:Et_2B_019860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLRSKRFVGIHTQTDSTVVNQDGFSVQPACLAQSPFLYMQMATSEIKCVFEIGPVFMLEKSNCELHRTWALKWRLRNTILR >Et_9A_063308.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19639308:19640783:1 gene:Et_9A_063308 transcript:Et_9A_063308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGRGSSDMQDYLGFVQFHDQYLCGGSAGGAHLPLQHQFLHHHQQGFLHASERFAAGKDPVPDFAANPAADQASDQDLAACKEASEGGDDAAEAPAAARVDDEEEARGVRMLTLLMECAVAVSVGNLGDANGMLLELSQMASPYAPSCGERLVAYFARAMAARLMSSWVGVSGAPAAAPPRWAEVNAAFRAFYNVAPLARCAHLACNQAVLEAFHGKRLAHIVDLDVVAGGALQWLSLLPALAARPGGPPVLRVTGFGASASLLRDTGNQLAALASKLGVPFEFHAVARRPGDAAVNNADVLGKRHGEAVAVHWLRHAMYDAAGDDDGAVARLVRWLEPKVLTLVEPADQRGGAGAGAGHFLDRFVSALHHYSALFDAMGASRPAEDDASRHLVEHGVLGREIANVLAVGGPSRSGRPEKVGCWQTELARHGFLRAGGGGRAQMVAGACPAGLGYTVADDHDGTLRLGWKGTPLYAVSTWTWCPSPHAQR >Et_1A_004613.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22988402:22989495:1 gene:Et_1A_004613 transcript:Et_1A_004613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQASMIWLVAEQRKKQSGMPHHCGLGGAGDAVVAVFLRTIAVAIVAAATAVGVVLGQSVCELVRRRAVGLEEPVVSLRRRPRLQVLVEEREQVDAQRHGGLERRALPLRHDPHHVAVVPGGVLRRGARLVGVAGGRPARLGHAHLHGARGRGVGGGDGVVHGVHLRGEHVGVVQPGHGVGDAWVPRLEQRVLEVDSSGLLGVGEVRVRVDEEGSAGAHVEVEDGAERREEVLAEGRRRRRERGRQHAEVVRCGDGHLLPEAGLVERVVEALHRREQVPREGGAVGAGEDLVADGEVGDLGGRERGLDGGADPVGGAGGGGHRGEALVGDAHHQLDARVGERAEGGRVRIVDADAAHAQGGHD >Et_1A_004705.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:32587333:32588336:-1 gene:Et_1A_004705 transcript:Et_1A_004705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAFRSVGRAVLLRQAWLLTARRRRRRPRTRRLEFLRRRRSVHRLLHLLCPELPGGLCARHLCNHLGCECDLLIRRSVRRAEEALIERGRRRSGTRSIYCVDARALSHGFIVVVVVAVGDALPLLPVSGEGDAAQLEGRVRRDGVLVHHPPAAAALQFLHVQVEVVLAPLDPLAVDDHLVAWLLLLALLALPRPAALAAAIWARGSVCVDKEEGLGRVGPAVALEELPWLGVGRRVVVDDQAREEVRVAADAPEEVELDDLLVGGCELDARREVVED >Et_1A_005217.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:33515741:33515836:1 gene:Et_1A_005217 transcript:Et_1A_005217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYNVKVQYVSLMLQPYGRPRQRGSKKILHG >Et_2B_019087.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5766717:5767064:1 gene:Et_2B_019087 transcript:Et_2B_019087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTRNLLWRKRFQVPTLDCVLCTGAAEQKKKQLSISFLAAHFHRFAGLLYTYGTYHYQELRWWRIRRGSSLTVARWKSSLWLLGHSKRIATTSFLMEAHSRSLGGRRTLEICLS >Et_1A_005743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13356115:13361527:1 gene:Et_1A_005743 transcript:Et_1A_005743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQVQRSFVIPPPPSEPEEEVPFTVFDLLAPPYHYTAIYAFSPPNPTNDALLAGLAAVLPRFPLLTARLVRDAATGRPFFVTGRGGAGALVVEAAVAGTAALADHLPLAPSPERVRLHAPLPEPEEVEHMLQVQVNRFACGGLVLGMSAHHYAADGYSTISFLRAWAGTVRAGGGAPPLDRPPPPYGPRALVPRSPPRCEFQHRGAEFLPLSANGGDEPPRATPAVVVPASEIANMVLHFTGEFVERLKAAVGHKYTRFETVSAHVWRKITLARGRVHDDGGRTAIHVTVNGRPRLGPDALPKGFFGNVVLTAHAGASARDLVADGGLSLADAAAMVRGAVRAVDGAYFQSFIDFGALHGGEELEPVSLGEDNELSPDVAVDSWMHLEMHRLDFGGGGRQAGILPTHVPGDGVVMLIPSFREEGGIDVFVALWEKHAKVERTFVIPPSSEPEEVPFTVFDLVAKPSHMTVLYAFSAPNPSNEALLAALAAVLPRFPLLSARIDRDPVTGRPFFVTGRGAGGGAGVLVAEATVAGAALADHLPLLPSPELARLHVPLADGARHMLKVQVNRFAACGGVVVAPSAHHQAADGPSMRTFLQAWADAVRAGAGPGAPPPPPYGPRNLMPRCPPRCEFEHRGAEFLLTLPPSPASSEDKEDSSSSGSDDDHHQLTQQNAPSSDVELRVDPSEITNVLLHYTKEFIAELKSRTQGGNKYTTFETLSAHVWKKITAARGLQLSATPTCIYVAVNGRWRVPDSDAIPRDFFGNAILAASAETTAKNLVGGGLADAAAMVRAAARAVDERYLRSFIDFGAVQAGESLEPAVPDEDNLLSPDVDVNSWLHLGLHTLDFGRGGKLVGILPAKIQLEGEVYLMPSLQKEGGVDVLVALWERHAKRLQSIAYTMD >Et_3A_024413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20537145:20541717:-1 gene:Et_3A_024413 transcript:Et_3A_024413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGQPQFRYTQPPSKVIHVRNLPWDCTPEELVELGTPFGKVVNTKCNVGANRNQAFIEFADQNQAIAMVSYYASSAEPAQVRGKNVYLQYSNRQEIVNNKSTGEAAGNVLLVGMEGVAPDSVSIDVLHLVFSAFGFVHKIATFEKASGYQALIQFSDAQTATSAKDALDGRCIPSYLLPELEGACNLRISYSAHSVLNVKYQSHRSRDFTNPYLPVLDSGSDGSGPDGKKQEAESNILLASVENMQYVVTIDVLHEVFSAFGFVQKIAIFEKNGFQALIQYPDIQTAVAAKEALEGHSIYEGGYCKLHLTFSRHTELNVKVNNERGRDYTKRNITPGSDQPSILGPQPTQNTGAIPPPHNNAPSAATNALMPPGVPIPTTGEPSVVQPHPSSVPRSQTADAPSGGLPQYPLGAVPPQFPGYGTPQFTQGSIQAPMMQPSGQGSHQISSNVNYQQPSGSPQFMYLGNGSHPPPNAPGPQAMPFPGLGGQQLPPGPPMMQAPGYGGLAFPQGLGQPMPQFPMFGSQQFPPGMEPQMMRFLEQGGQQLPFGPPRHPYNR >Et_2A_017643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4598440:4602323:1 gene:Et_2A_017643 transcript:Et_2A_017643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKKFETQLVYRIAGHFSVMKPGQLICSNLDHDRGYMYKLPSEFPVSHDLGLTLFSHVGTLVGTSVRHHRQIRSSGNLMVQGAFNRLNKFSRAVFFWLSRPSDPKIFHWLSAIAGSSSRFCQSHVKQVSSHMHNLTKLQFGTLVREEHTVQLLLAGLASATIGRFEHQSACSLLTLAGASVIIPPLENISPKMLAESIALRNLDRGISKPVDRPYENGKCLNCSSVSVPNTIFKDDAIEPKTGIKFPAFLEDNTSSSATVLVGIGFKGMRIMRVKNLNLYAFDMQPNSVCEKLGPKYASVPTTKLMDSPDFYNDLLRENLHMRVRLVVNYNGLSIGAVRDVFEQSLGLRLQKMNPNTDYQCLKTFGSYFTKDIPIPAGTKIDFCQTSDGQLITEKAFFDMYIGDSPVSLEAKKNVAQNIAGLMGRC >Et_7B_053315.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5688630:5689103:1 gene:Et_7B_053315 transcript:Et_7B_053315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGILRFLVFALVFATFPTNQVCGEDDCEADKILIKRKCHMTIAQSTPYIKPGKRCCEAIAESDVPCVCRIITKEDETKIHVLRLVWAADDCGKPVPPGTKCGTCKCTRAFHFLRKHTFYAFISSLSSEFLLYSWLGCSNTRSAASAKFIKGSQP >Et_4B_038441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2962178:2965068:-1 gene:Et_4B_038441 transcript:Et_4B_038441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSISYPPDDNLPEDDEDSDRLFVRSLSFDSLSTLETLESPPALLDALTSKRRIVRGSLSFNKGEGDLFQVESTLSTVSLKSDKESCRHKLTTLPKYGPIENLPPDSPVIGMVSPRHQAAAIRIQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLKRSSVSFFEVEKPESALSRWSRARTRAAKIDPRHRYGHNLHYYYQYWLHCESKQPFFYWLDIGEGKEMDIEDHCPRWKLLQQCIRYLGPKEREFYEVMVEDGKMMYKLSRKIVDTSEGPRDLKWIFVLSTTKVLYIGTKNKGTFQHSSFLAGGATSAAGRLVVENGFLKAVWPHSGHYRPTEANFREFMRYLKKSNVDLTNVKLSPSEGEEEEWPRPKDQKAAHTPSPATTPSTSGETTTTTAAAGTPVMKRSSSGSRLQRKRPPRLSVSKNRLGRGTAEVGAGAFGDCLDFCKENLFGGGGEEVVVVPQEKILHRINSKMALQSYQLGNQLSFRWTTGVGPRIGCVRDYPPELQFRSLEQVSLSPRDGAGPARFGTTPRQSPCAPLSSPTPGGLGTPLYAAVGTPTSRLQHGAA >Et_5B_043650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13503457:13513048:1 gene:Et_5B_043650 transcript:Et_5B_043650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGMLMGVVVGVALMAGWSSVMRRRSTKRVAKAADIKVLGSLGRDDLKKLCGDNFPEWVSFPQYEQVKWLNKHLGKLWPFVAQAATAVVKESVEPLLDDYRPPGIKSLKFSKFSLGNVSPKIEGIRIQNLQPGQIIMDIDFRWGGDPSIILGVDAVVASLPIQLKDLQVYTVIRVVFQLSEEIPCISAVPEPKIQYTLKAIGGSLTAIPGLSDMIDDTVNSIVSDMLQWPHRLVIPLGVNVDTSDLELKPQGRLSVTVMKATSLKNKELIGKSDPYVVLYVRPMFKVKTQVIDDNLNPEWNETFDLIVEDKETQSVIFEVYDEDKLQQDKKLGVAKLAVNSLEPESTREISLKLLHSVDPLKNRDTKDRGTLYLKVKYHPFTKEEQLEALEMEKKAIEERKRLKEAGVIGSTMDAIGGAASLVGSGVGLVGSGIGAVGSGLGKAGKFMGKTVTGHFSMSRKNGSSSTAPQPDQPSA >Et_4B_038293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27920031:27921435:-1 gene:Et_4B_038293 transcript:Et_4B_038293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRASVKRLCSFCKVVKRRGIVFIHCKANAKHKQRQGYSTISEATASCLHLPPPPPPATGSVSAAAFAEASKVARQEMSVKFNWPLGLAALMKNGGK >Et_10A_000504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11547343:11555042:-1 gene:Et_10A_000504 transcript:Et_10A_000504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGRGYDYGTSESSAGGKIRRRPASRAAAASPYARPPSAPAPAVATATNQEGGWFSRLISAGASRLLPSLFPKPPPQPTTPPPPPEPLDATHSRPEGSLEPLLLRHDDDLPEGEENGGADANNLVTKNSAKDGEEDMLRNSDEHSLMNLEDLLKQRTFKRQVLQSEFEYLAELLWSRTIGSDSSKPDNVGIAKMHVSEKETGSRSSNLPVDFSIHTNSVADLAASPAEIAKAYMGSKSTNGSPLRLRLYDPSSLAIKSTEPNTTQQAKPTTMPLQGPRLHTLKISDRFKSNSSTPSRSAIYKMSSSPYFKSASSSEDLFSTVSSPYQTPSSVHTFGRQVLKRKSTAVNKEVVSVGPIRKMRQKYNRMSPLLETRPGYRGYLGSHGNKLNEDSEHSAQTQKRICLSKVGDHVLGGLDNKARATMFGLAPVQSSEMAAKILKQLDTLVPSQKQGTPEIQQKHGNFMDVETHVSHKKEMSVQSNISEPSISGVSVQGNDKFTSAALTEKIVDATSNTSASLAAASNSQISSLKEKPATFSLRSHPPNLVLSSEIDPNKISSTSNGFTFPVPAVFGAHSQAPPTPTMTSPPVLPIEKRQPSVASSASVTSVESGPRISKAVLEGSIAQKCDKLNSDVELMSSKSSGQVPSFTSNHVFKFANSKFATLSNGLQHTSNSMASDPLPANGSIRSASPLSSGSFTISSNSALVSAQNGSTGGSFTIPSFGTSSFSAVAGATAQSFATPSLASSGAGSSSSAPLSFFPKFGMASSSTTQEESKAESSSACFNFSQQFGTASSFAALDKSKTASADPTFSSGNKGTQNSAISLSFKPSENPMSSNFQSLANLPVVSAPLSSPFSASSLFSSAAGSGSTTGSSSAFSASPVFGSKLTANSTAFGLPNNGSATSPLSSVPSAVFSFTAATPSVPNSSPTTPIFGGISPTSSTTGAVQMNGGNMITDRKESPFSTTSPFGIPSNSPSSPIFSSPATQFTATTSASPETFKFGEQNASSGGFSVGPSGGSERSGRKFIRVKRKK >Et_5B_045584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4944965:4945578:-1 gene:Et_5B_045584 transcript:Et_5B_045584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDAAAAAAAEARAEAVERLLTSVRSYSILLYVGTMVACLAPRVALKHAPHAVARAASFVAGGYNVSVTAAVFAAATVLLQGRLARVLERRPAPPAPSPRLTPLAAWPLAVCTWGFISMCFVGLLHLGEEGRLVGYGDWAVAGVASAANLAMGVRTVARHLA >Et_2A_017580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3773423:3784025:-1 gene:Et_2A_017580 transcript:Et_2A_017580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKCVTKFCACKSAGDRQNESGKNEYDRVEDAQKMYEKTEPWQFMYCWKMLRNEAKWNDKMLELRSTPTVAKGATAPASNPEHGNDSASLERPEGRDSAKRRRANKEDTGSSNAAVGVLQQMHERTYVRGRVILQLETSHLVGEHLPKLRHALWLQRQRQRDGAFLPGGLHHVHEAAVVHERRAHEHRRAPAEVGVVQRHAHPADGAEVRARDAAPGQVHGVYALQVVHQRPRVVVALARRRQSSPSLVADGVGDNLADGGKRRRVDDRVAKGRHLRLGQRDDGARVVGGRDRVHGLRLRLVLRVVIQHRARRPRGEQPVVEAGWERRVTGGAARDARPGLREVRRAPGFDDGMPEHDAAAAQVGHLHERQRARRVRRRRRGHEQVAHLVARHELVQEVVEHVVGPRGDEHRALGGAVDLDAPGVVEPEAAGERVERHQRSGEAVLNGLGLEEIRVAVAPVEVDRGNVTPARGQVEVGEPDGARRRLLGWDDQLRRQQLHRGHRRVKRPALEHCGFALCSCASVLHCFVKSA >Et_2A_016756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27950828:27952456:1 gene:Et_2A_016756 transcript:Et_2A_016756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVTAMAPLYFALTLGYGSVRWWKLFTPDQCGAINRLVRVPFLRLRPRGPLRLVRHELQRPGGRRRHQSWHRGGHRRLRGRGPRVLLLVVHHRLLARRAKQRDAHGLMGVPLLDAMYGGWAHDVAVQMAMMQIIVWFPLLLVEAPVSNVGEPDVGRKTTGWPFWATLLRTVGLKVAYNPNVYSSLLGVAWSAIANRWHLELPGIINGSVLIMSKTGIGLGMFSMGLFIALQDKFIMCGARVTVLSLVLRFVAGPAAAAVGAAVIGLRGDLLRFAIVQAALPQSVATFNFAREYDLHADIYSALRKQNCLFSIGISFYNEHNTYLHRLDYSRDIGVVARVNGLLCCSGTNMIDQVHQDLVVRYALCGDTDGKL >Et_9A_061771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16236187:16246053:-1 gene:Et_9A_061771 transcript:Et_9A_061771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFEVNGKSVQGVDLLRRRYWASRLDFWPFLALYTLWLLLAVPALDFTDALIVLAALSVAHILAFLFTAWSVDFRAFVKDIRAANACKVTPAKFSGSKEIVPLHIQRTVASSSAAGETEEIYFDFRKQRFIYSAEKDNFFKLRYPTKELLGHYSKGTGYGTEAKINTAVDKWGRNIFEYPQPTFQKLMKEQCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFLFESTMAKSRLKTLTELRRVKVDNQIVLTYRCGKWVKIPGTELLPGDIVSIGRSASGDDRSVPADMLLLAGSAIVNEAILTGESTPQWKVSIVGRGPEEILSVKRDKNHILFGGTKILQHTADKSVNLRAPDGGCVAFVLRTGFETSQGKLMRTILFSTERVTANNKESGLFILFLLFFAIIASGYVLIKVDICCFDKTGTLTSDDMEFQGVVTLEGDEELISDTNKVPLRTQQVLSSCHALVFVDNKLVGDPLEKAAIKGIDWIYTSDEKAMSKRPGGQPVQIVHRYHFASHLKRMSVVVRIQEKFYAFIKGAPETIQERLVDLPAAYVETYKKYTRQGSRVLALAYKLLPEMPVSEARNLERDEVESGLTFAGFAVFNCPIRNDSGTVLRELEQSSHDLVMITGDQALTACHVASQVHISSKPVLILTRMKIGEFEWISPDETDRAPYSAEEVAALSESHDLCINGDCFEMLQRTQAVLQVIPYVKVFARVAPEQKELVLTTFKTVGRMTLMCGDGTNDVGALKQAHIGIALLNAEPAQKTDSKSQSSKTDNKSGKLKKQKPATETSSQQAPPANSSAKASSSRPLTAAERQREKLQKMLDEMNDESDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQTLSAERPHPNIFCAYVLLSILGQFAMHLFFLMSAVNEASKHMPEECIEPDSDFQPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSISENKPFKYALYGAVAFFTVITSDMFRDLNDYMKLEPLPEGMRGKLMLWAILMFCGCYGWERILRWAFPGKMPAWEKRQKQAVANMEKKRD >Et_1B_012192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29825456:29826384:1 gene:Et_1B_012192 transcript:Et_1B_012192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKISATLLLLPVLVLIFAAASSMACKATALGASALIILSLVFMSFDSGVEAWCLSYPSPDSGACREKGGLKNCAKKCEAVHYDGGQCDTFNDCLCVKCVDQGPPAQHTLS >Et_8B_058548.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:15601935:15602372:1 gene:Et_8B_058548 transcript:Et_8B_058548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WRRLVKLEEEKANKRTKVSASGAYTSSSNQETEIESPRKEKRPEGQKKAKARLKGKGKAVPSSPLGDQSTESLVLFNDAVKTRAEALLKSAEATTKLAEAKKEQTRLQKWQTYLKLEEKDTSGYSQSRLKRHEAMVEKLASELAE >Et_2B_022200.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1294512:1295519:-1 gene:Et_2B_022200 transcript:Et_2B_022200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAAAASHGGGHHFGPQAPFLPFHFPGQHAAAFPHFAAQHQHRMAGGGAPAKQEHVDDTKNAINGAGSNNGSGEAGAGADQQQQQQVEAAAAARVEEQPPPPMVMRRPRGRPAGSKNKPKPPVIIHRDSAAALRAHVLEVAAGCDVVESVALFARRRQLGVCVLSGSGAVANVAIRHAGAVVNLAGTFEILSLNGSFLPPPAPPSATGLTVYLSGGQGQVVGGAVAGPLVASTPIVIVAACFGNAGYERLPVVDDVEEPHPLAPPPHQGMAGHSSSSPPPPLPLSAHPRQQPPPLADHLQHHLLNGLPVPGGGDGYGYGWAGPGGSAGRVAPY >Et_3B_028302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13050633:13053001:-1 gene:Et_3B_028302 transcript:Et_3B_028302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RQPCPTVPNGYGYGYAYPYGPYGEVVAEGIRPEETAPRPPPSPPTAETSPWDFFDPFTHYDQFVEDYSRGNLPTNSPNYAELRRMEGIPELEDEAELEPDACKPSTSRAADQNAKGKGPIPENDPSGGAKLQRKGSEPPPGRARMTGCRRAASTTLKATAAGDIDGTSSSGKKKSVAFDEEDAIRPAEGGGGESLEKSVQSAVSSESFSPLHRGTRDVVEAMDEIKEQFDEAVNCGAEVSKLLEVGKLPPRATPRVLRYLSSRVVDPLGLTVSTSSCLPRSHGRKSRASSSKASTSASSSTARVNGAGNLSSTLEKLCFWEKKLYQEIKDEEKLRMEYEKKHKRLKSMDERGAESSTIDSTRLLVRLLRSKISISIRTANAFSSKIQKIRDKELYPQLVDLIQRLRGLWKAVLECHKKQLLAIQDSRIHRLKAMTISQSSVASTASKDLERELTKWYLCFNKWISTQRSYVEALNGWLKKWIPEVQEEVTADGVPPFSPGRLGCPPACVISNDWFQAMERVSKSEVLRAMDHFSKLLREFKKSQEDEQRQKRKVDHASRDYNKKREDLRGELELSTSPDVVAVMENPRYSHDDRVMDLVKARRRRDDERTRHHKVLRHCHVVASATLPIGLVPVLQQIISFFQGNVQVHMQIRIQVP >Et_4A_032020.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:12018172:12018768:1 gene:Et_4A_032020 transcript:Et_4A_032020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVSGGAGSKNKKPRHLARSLTYHHHPYQGQGRHQPPSPALDPQRATQAVVLYTTSLRGVRRTFADCCAVRAALRGLRVAVDERDVSMDAALRRELQAILAVRGLGFSLPQLLVGGRLVGGADEVRRLLEAGELRRVLDGAAGQDPAFVCGACGGFRFVPCPTCDGSRKVFVEMEGRARRCIECNENGLVRCPGCCS >Et_1B_013321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7375062:7377062:1 gene:Et_1B_013321 transcript:Et_1B_013321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCCSKKQPPPGATSKKKPGKVAAAAIADDKAKKTAPQPKNSVRTKAAAAVTAAGEETKKKRAPQEATAPKQLPVVLVPSAPVRTSSCTKEEVDAILIQCGRLSRSSSGTGRAASGETGGEGSGHRRRRSGSKRSYDFDQERKAAAAGGGGDEECDWERQGVPVSRPSPHHGSPQRKRSGSRERSGGGGGSRRASRSPGRRSEGGVSSAAMAGSGGGDRARQQQQPGKLVSVPAREKGRAPSPAVTSGMRCASPRSSSPARMAAVGNENAGGRSAAAAGPTPALSRSSSRKAEQSPYRRNPMAELDENTLRNNVSANAKPQKKSTEDTVAAAHQKAADSGKEPTGTASGRSGKEKPEVAESATVVAPEARPHSTTKTSATRTASVVADSLSQRPATCRSHRASRDFDQSSNNSYASQILEDIQSYHEQHQSATSAGAPPATPSFTLPACVAKACSILEAVADLNSSSSSSDNRTFDYAPGRSADDRGSVNALSGAADTRGFEPSARGRGQQHVLPAVRDLRAEAAEPQESAGSNSVSGMPWTPSWEPTSVESSDRTWSAGDEVVDQAVQAGGSHGAPRPMNRPRQSSKQRPAQPEPSGRSRAGSGNGNSLSRGRSAHRGSASAASGRSGVRTVSAAS >Et_10A_001503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4141652:4149924:-1 gene:Et_10A_001503 transcript:Et_10A_001503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EVGILTNYPHTNIVNYYGHSLTPDGRLHSIYLEYVPTGSIDKILAEFECFKEPVICKYTRQILSDIKGANILVTPNGDVKLADFGTAKRIQSSAQNHTAIGTPHWMAPEVVNNKKYTLSADIWSLGCTIIEMATKSPPWNEFDEGTIRDIAKSDKIPAFPKTLSPDGKEFLKLCFQRDPKSRPSAAELLKHSFLKKHPA >Et_7B_054028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14349508:14351031:-1 gene:Et_7B_054028 transcript:Et_7B_054028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWAPVVVGVVLFVVLSPGLLFELPGLHRWVDFGSLRVTGRAATIHTILFFSIFTIIVVVCDVHIYSMALFLLLSPGLLFELPGTHRWVDFGSLRVTGMSATVHTLLFFVLFTFIFVACDFHIYSGV >Et_3A_025843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33279134:33281857:-1 gene:Et_3A_025843 transcript:Et_3A_025843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGLLKAAVLLCLLALCSGRELTIKHQPSTTVYNSTLAKILVEYASAIYTADLTKLFSWTCARCRDMTKGFKMMAIIVDVENCLEAYVGFASDINAVVVVFRGTQENSIQNWIEDLLWKQLDLDYPGMPEAMVHRGFYSAYHNTTLRDGVVSGIQKTRKLYGDVPIMITGHSMGGAMASFCALDLVVNYGLDGVKLMTFGQPRIGNAAFASYFKKYLPHAIRVTHAHDIVPHLPPYFSFFPQKTYHHFPREVWIHNIGLGSLVFYVEKICDDSGEDPTCSRSVSGYSVQDHINYLGVSMHAEDWSSCRIVMDYSKLQYQMDLKGNLVLSKQPDLSNDRGFSAQ >Et_6B_048620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11763279:11765348:1 gene:Et_6B_048620 transcript:Et_6B_048620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSRSKVLEMGEKKAYVIAIIIQMIYAGLIIVSKAAFNKGMNTFVYIFYKKCATGVILAAVKALPMCLTQLITYQLTYEIPLISSNTFSLNVYNVSMKFTSATVASAAYNTHACGHLLHGAAIQEVVKLRSPSGIAKLAGVALCLAGVFVIAFYSGPALRPVNPHRAFAVQASGAPRRAEWIKGTFLMVIACTAWSLWVVLQAGLLQEYPNKMLVTMSQCVFSTVQSFVVAVVAEWDFSKWKLRLDVSLIAILYGGFMLNGAGYYLQAWCVQEKGPVFQTAWNPLCLVFTMFCSTFFLGEIVHLGSILSGILLIGGLYSVLWGKSMENKVAPSNKVSVADDAHDELDHNNLEQKKECEEEEDATTTFEQV >Et_1A_004535.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:13658534:13662097:-1 gene:Et_1A_004535 transcript:Et_1A_004535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSPPPPPAAVASHRRFPFRLSPKCPKPHRHRLPAAAAASRWTNRRSPSVRRGGAGSIWVNPSAPARPGAANGTLRRLVQLEDLDAALRFLLGSSKPPLEPTAVITCNILIKKLCVCRRLADAERVLEALKASGTADAVSHNTLVAGYCRDGRLADAERILEAAKDSGAANVVTYTALIDGYCRSGRLADALRLIASMPVAPDTYTYNTVLKGLCGAKRWEEAEELMAEMIRNNCHPNEVTFATQIRAFCQNGLLDRAVELLEQMPKYGCTPDVVIYSTLVNGFSEHRRVDDVLHLLNTMLCKPNTVCYNAALKGLCIAGRWEEVGEMVVEMVRKDCPPNDATFSTLINSLCHNGLVEYAIEVLEQMQKYGCMPDVVSYNTIISCFSEQGCVNDALRLLNSMICKPDIISFNAVLKGLCRAERWCDATELMAKMFKEDFPLIEMTFNILIDSFCQKGLVHDAIEVFEKMPNYGCTPDIVTYSSLINGLSEQGLEEVAFDLFRSMPCKADIFSYNAVLKGLCMAARWEDAGELIADMVSKDCAPNEVTFNILINSLCQKGLVDRAIEVSEQMSNYGIVPDIFTYNSLINGFSEEGRLDDALKLLSRMSCEPDTISYNSILKGLCKAERWEDADKLVSEMLIKNCRPNEVTFKYANQMCMTNRNE >Et_7B_055173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:849320:855678:-1 gene:Et_7B_055173 transcript:Et_7B_055173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSHLNGHSPLARRRPRLSAASPPAEAGTASAAAPLEEHDRIYFQSYSHIGIHEAMIKDRVRTDAYRTAIMNHQKFIEGKVVMDVGCGTGILSVFCARAGAKRVYAVDASEIAIQAREIVKANNLSDKIVVVHGRVEDVDVEDKVDVIVSEWMGYMLLYESMLPSVLFARDKWLKPGGLILPSHATLFMAPVTNPERYEGCVDFWSDVYGINMSALVPLAKKFTSEEPSIETIGGENVISWPSVVKHINCYTFTIEEFKSITAKFKVSSMMSAPLHGFGLWFEVEFNGPAESSHNIPSSLNPLDIIQKKRRRGSDDAILLSTAPEDEPTHWQQTILYFPDPVEVKQDQIIEGSITVSQSDENPRFLNINLECFPCQLAVLHRPTDSAMDVVIEHTASAQVPWLHCLVYLSELEINGVFLLVLTSH >Et_4B_036625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:126260:130889:-1 gene:Et_4B_036625 transcript:Et_4B_036625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQQEDQAAAASSSHPDPDAASSAATLPSRNATSKYDFVKVKVWLGENADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQTDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVILVCGTACTGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFNSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEEKGVDNSRMEKKVADLENPGISAEMKTEHQPKNGLAENKINAMEENESSFKSPEFLPKEGSSISESPPYANSHSSAHSKEKSPKDEGADRHKDVEQQKNNAAKKDKPAAEPIVVPIVLRMSDFDHKALLEEWIATRASRDNSLPQDHRKLINNLKLIQDYLCSFESQGLTIVDISANSFPQTLDWLHSYLLQCIERGLLAACSESCKQGGS >Et_8A_056625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13864424:13865856:-1 gene:Et_8A_056625 transcript:Et_8A_056625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARATVLAVVVLLLALSFVASATESSSAAVNKSCVTGSAGAAVSIGYGGARASAGAGVSLGEDAYRAACPRAEEIVRAAVEKAVAADPRMAASLLRLHFHDCFVNGCDGSVLLDDKPFFIGEKTAVPNANSLRGFEVVDTIKAELERACPETVSCADVLAIAARDSVVASGGPSWQVEVGRKDSRTASLQGANTNLPAPTSGVATLVQKFRNVGLSAKDMVALSGAHTIGKARCTSFSARLAGVGVSAGGAASKDLPFLQSLQQLCAGSAGSALAHLDLSTPATFDNQYYINLLSGQGLLPSDQALALVATYAFDASVFFQDFVESMLRMGRLAPGGGGAGEVRRNCRLVN >Et_8A_056554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12165159:12171208:-1 gene:Et_8A_056554 transcript:Et_8A_056554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASACPSPATTPSSAHSPWPTQERASSPSIYFSWRSTWHAHLPICDPSTAAASGDDDVCYVELTHMDYTEGYYIRCPAEDQIRAAIFQDESNHATCAVYPYRSNTGAVDRARPVPGLQPKMCQLPLCLSFAGDDATNQRTLSVANAERHGRWNDTLRVFCAVHSLERASSPSVFFPWRSTWRAHLPVTDPDAAAASGIAHMDYTEGYYIRCPAGDGSARLSCTEFPEEAVASAVWEHRKRTYSDTIRPLCDSYVFGTDGPLLRRYHSDL >Et_1B_009659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12000573:12001697:1 gene:Et_1B_009659 transcript:Et_1B_009659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EVHRPITHSLPPQKREIFESLDAWAADNILVLLKPVEASWQPQDYLPDAAAEGFDDEVRELRARARELPDDCLVCLVGDMVTEEALPTYQTMLNTLDGGVRDETGASATSWAVWTRAWTAEENRHGDLMNKYLFLTGRVDMRQVEKTIQYLIGSGMDPKTESNPYMGFIYTSFQERATFISHGNTARHAKRHGDAKLAQICGTIAADEKRHENAYARIVAKLFEVDPDYTVRAFADMMRKKVAMPAHLMYDGADDHLFARFSAVAQRIGVYTARDYADILEFLVRRWGVADLVGLSGEGRRAQEFVCSLGPRFRKLEERAAEAAKAKDPEFAPFSWIYGRQAQL >Et_2B_022413.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20946752:20947108:-1 gene:Et_2B_022413 transcript:Et_2B_022413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTMTTIPMAKCVGRVRNNASAAFGRRRIHCKVKAVAARDTVSSGSKKDYYTVLSLEHAAAVGTDEIKRAYRRLALRYHPDVCPPSRRAESTELFIEVQRAYETLADPGTGPVTTPS >Et_9A_061754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15988392:15993990:-1 gene:Et_9A_061754 transcript:Et_9A_061754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLALAHSLSLPFALPPRRALSTSSSRGLAPNSSLLRAHRPLLIRAPQRPLLTPRHFSFVTRASASGADPVNGEGGGGAGTGRKAAGYRNRFLDLARLGAVAEGAAEAFFRSEIRRRLTITAVLIVLSRVGYFIPLPGFDRRLIPDSYLSFAPLPADDLGDFSSELKLSFFQLGISHQISASIVVQVLSHVLPSLEKLRKEGLDGHEKIKSYIWWLSLGFASVAAFTVSCYSLQYSIYAASFRVKHVMITSLLLVLGAMSMTWICDTISESGFGHGSSLIICVGILTGYTGNFSKYWPYICGVAGVFIMVTMGAVLITEGCRKIKLQYYGFKLASGAGNESTPATEVEPYIPFNINPTGMQPLLTTSYLLAFPSIMASIFGSRFWENLKEILNPNTSAGGSPWIYYLTYAFLVFVFNIFDIANLPKEISDYLNKMSARVPRIKPGRATVDYLTKIQTSTRFWGGLLLSLLATSSLLLDRYLRQINEGFSIGFTSVLIIVGSIIELRRSYQAYNVMPALSKVLKRYGA >Et_3B_030562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:527108:531461:1 gene:Et_3B_030562 transcript:Et_3B_030562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNATSRRSSSATATAKRPAVAESAGGPKAAGHGAAQAKKRVALGNITNVAAGGGRAAANGKVVGPPGSTKLNSATSAAPVKKGSLASARNVSSTRGSAVKSVSTKPAPAVSRHDRTTQKHNVPPPKVPTIFHVSNAVPALVPCSNFVSPGRDSVSIDETMSTCDSMKSPDFEYIDNGDSMLASLQRRANEHLHISEDRNFEENKWIKNTPAPMEIDNICDVDNDLEDPQLCATLASDIYMHLREAETRKRPSTDFMETIQKDVNPSMRAILIDWLVEVSEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVACMLIAAKYEEICAPQVEEFCYITDNTYFRDEVLEMEASVLNYLKFEMTAPTAKCFLRRFVRAAQVCDEEPALHLEFLANYISELSLLEYNLLSYPPSLIAASAVFLAKFILQPTKRPWNSTLAHYTQYKPSELCDCVKALHRLSSVGPGSNLPAIREKYSQHKLTCGTKEHEATDKSM >Et_7B_054833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4770440:4775167:1 gene:Et_7B_054833 transcript:Et_7B_054833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQTLKKNYRCDRSLQQFYTGGPFAVGRSPGGDGEGGVEEFLACACGGEVRLVSSDDASAIGEPIDGDSEAITALALSPDSRILFAAGHSRLVRVWDLASRSCIRSWKGHDGPIMAMACHASGGLLATAGADKKVCVWDVDGGFCTHFFRGHTGVVTTVMFHKDPKRLLLFSGSEDGTVRVWNLENKKCVAVLKEHFSTITSLALSEDGQTLLSAGRDKVVNVWDIRKYSSKKTIPTYEMIEAVSFIGWGSEFLTCLGVEPANMHGKTDGYFLTVGERGIVRVWSLESAVCIFEQQTSDVTVNSENEETRRGFTSAIMLPNNHGLLCVTADQQFLFYCPARTDEGTFQLNLYRRLIGYNDEILDLKFVGEDEQYLAVATNLEQVRVYDVASMSCSYVLAGHTEIVVCLDTCVSSSGKTLVVTGSKDNTVRLWDTERRRCIGVGKGHLGAIGSVAFSKKSKNFFVSGSSDRTIKIWSWGDTLVDNDDEIPLKAKAVVAAHDKDINSLAVSPNDGLVCSGSEDRTACIWKLPNLVSSVVLKGHKRGIWSVEFSPIEQCVITSSGDRTIKLWAVADGSCLKTFEGHTSSVLRASFLSRGSQFVSCGSDGLVKLWTIKTNECIGTYDKHDGKIWALAVGRKAEMLATGGTDSEEEVLRGQELENAVSDSDYTRAIQIAFELRRPHRLLELFSQLCRRADPEDPIEKAIVGLPRDGLRVLLEYIREWNTKPKFCHVAQFVLFLVLRSLPPTDILEIKGISELLEGLIPYSQRHFSRVDRLVRSTFLLDYTLTRMSVLDPDIDVGTTKADMNDSSMENGEISEAKSALPAAETEKSTKKRKSGKSSKKGKEKKVKIAPSGLSNDVSVEA >Et_1B_012422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31946386:31950582:1 gene:Et_1B_012422 transcript:Et_1B_012422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGRSPAVAVAVRPGGSGSRLAARWVAAAAQCDGSATAVAVVHVIPPLSFVPSPTGEQVPVAQVAREAAEAYARDRRTRAEEELLPFRCLLGRANVTVSARRHERKLAILAWRGCRRCFAQVETAVVEGDGVAETLLRYAQESGVRSLVLGSASFRWFRKLLSIPNVPSTILETMPNSCNVFVVSKRKLIMRLAGYPPSRESNANLRIESVNLEAFSQTHMSLLFDNFTDDEAYSDSCSQDCSSHSASNTVPSSESCEQVILGYSSSNTTGTEENKNYGALSSVGEAPYSASNSSEECQYIDEVDKLRKELRDTLVTYDKACEGLVHAKKKVLSNVCSEEARKVEYALEWEEALKRVVAGEKLKQLEAVNEVEQATQEAHSKIELATDNFSEARKIGEGGYGYVYRCILDHTEVAVKVIQQDSTDKTDEFLKEIEILSELHHPNLVLLLGFCPEIGCLVYEYLKNGSLEDQLFNNKGQQPLHWFLRFQIIFDVCCGLAFLHARSPEPIVHRDLKPGNILLDKNYVGKIGDVGFAKLMYDLAPDWQTEYKDTVVAGTLYYMDPEYQQTGTVRPKSDVFALGVIILQLLTGRRPNGLILSVEKALRNGRLHDILDQSQADWPVAEAEVFAKLGLRCTALKCRDRPDLESEVLPKLDEILHRITCTSNLGNPKLSVPSHFICPITQELMEDPHVAADGHTYEHYAIKAWLKRHKTSPVTRRKLPNHSIIPNHSLRAAIQQWKSQLSSAQTIA >Et_5A_042298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8572391:8574457:1 gene:Et_5A_042298 transcript:Et_5A_042298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAVLAAACWVFPVLVSLLAIRLVYVLGRSGRPRTNPYVAGARCLIVLGSGGHTAEMMNIITELQKDRFTPRYYVAALTDNMSLQKAEVYEQSLVQGNGTKVMENAHFMKVYRSREVGQSYITSIATTLLATLHAMWLVIRIRPQVIFCNGPGTCIPLCVSAFLLKVFLFPCGSGEESPASAMHSSGSHLDG >Et_9B_066286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9973144:9974872:1 gene:Et_9B_066286 transcript:Et_9B_066286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTKGNQFIVGDWLFYFNGFNSYALMVLAADSSTRGHVTQVFEQAAAVGLTVCRTWGFRDGFSVALQKSPSVYDENVFKALDFVISEARNYKIRVILPLVDNWSDGYGGKAQYVKWARDAGINVTSNKDGDDFFSDQTIKGYYKNHIKNMLTRVNTYTNVMYKDDPTIFAWELINEPQCRSDPTGNTVQAWIEEMALHVKSIDPDHLLEVGTEGYYGPSSPTRLQDNANIYSGQFGADFIRNHRVHGIDFASVHMYPELWLPNGTSLEVQLQLVQSWLQAHIADAEGVLGMPVVFTEFGYGEI >Et_7A_052297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6744929:6747345:1 gene:Et_7A_052297 transcript:Et_7A_052297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIPYHPRKPRVEVESASCGAHLQKRPQSVNLVHSVASSSSPAPCARLRYCSAADRHSSTVYFMAQEAGEAAGRRGSCVSTASTGVWESSQAPMHAPFPSWSTHAACAFVGAADPASASQLCAAADSRVPSHRVPGDAWIQSIPGGGGMHGFLSLLEARNVTPEMFEDVPAEADDYLTGLGAASGTAGNMAGSVAAATGYGLAGVDCRYSTGASRASLVKPETATSPLTCLEARAAQASMGSMACYDHELERGNQRRDFGPAPVAAFLQEMKMIPSRVEKQGGLGYSAIVGAERLMESYVGSSSFSDYRSTEEFVSNNRQQQDITPVMASGSGGNGTTRRKSEERAGGSSKKTKKEASTTSPPKPQASNPKLGEKITALQQIVSPFGKTDRASVLSETIKYIEFLHEQIQLFSEPYMTKNAYKGRIQFGVGEEKAGTQHSLRRSGLCLVPVSWTSRVVYRDESDDTLPDCWTPAYRSCMYQ >Et_9B_064671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17500183:17504983:1 gene:Et_9B_064671 transcript:Et_9B_064671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFLQKLKRLDAYPKVNEDFYKRTLSGGVVTLVAAVVMLLLFISETRSYFHSATETKLIVDTSRGERLRVNFDITFPSIPCTLLSVDTTDISGEQHHDIRHDIEKRRLDSHGNVIEARKEGIGGAKIERPLQKHGGRLDKGEQYCGTCYGAEESDEQCCNSCEEVREAYKKKGWALTNPDLIDQCTREDFVERVKTQQGEGCSVHGFLDVSKVAGNFHFAPGKGFYESNVDVPELSVLNGGFNITHKINKLSFGTEFPGVVNPLDGAQWAQPASDGTYQYFIKVVPTIYTDIRGNKIHSNQFSVTEHFRDGNVRPKPQPGVFFFYDFSPIKVIFTEENRSLLHYLTNLCAIVGGVFTVSGIIDSFIYHGQKALKKKMELGKYR >Et_7B_054991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6184963:6207815:1 gene:Et_7B_054991 transcript:Et_7B_054991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METFKLPLLTLFPFLLLATVANVVSGDELRTFIVHVQPNDDLVFATAADRRSWYEGFLPEDGRLSHAYHHVASGFAARLTQRELDEVSAMPGFVSAVPDRTYALLTTHTPQFLGLNAGPPQCTAVNDSSFHGAGVIVGLLDTGVYPHHQSFSDRGMPPPPARWKGRCDFNGTACNNKLIGARDFTTGNATAAAPPVDDVGHGTHTASTAAGAVVPGAQVLGQATGVAAGMAPRAHLAVYKVCDDTGCAGSDILAGIDAAVADGCDVISMSLGGPSVPFHLDPIAVGTFGAIEKGVFVSMAAGNAGPEESSLSNEAPWMLTVAASTMDRSIRATVRLGNGLFFRGESSYQPTNSVGFLPLVYAGASGKPSAQFCVPGSLDGFDVKGKIVVCELGGGVARVFKGAVVQKAGGAGMILVNQITQGYNTLADAHVLPASHVGYDAGEAIKSYINSTTNATAGIVFGGTVLGVSPAPSMVFFSSRGPNLQDAGILKPDVTGPGVNVLAAWPFPVGPNSTSPPLPGTTYNIISGTSMSTPHLSGIAAFIKSKHPDWSAAAIKSAIMTTADVNDRSGNPLLDEQHAPASFFATGAGHVNPVKAANPGLVYDIAPSDYIGYLCSLYKSQEVSVIARRAVNCSAIAVIPGRLLNYPSISVTLPAASNSTKRPVLVTRTVKNVGEVSASYYAAVDMANSTVGVVVDPDRLDFTEANQQRSFRVIVFPHQITGPGVVEGALRWVSDSHTVRSPISVTFAKAAMESFKLSALCLVSFLLLVAVAEVAGNEFTTYIVHVQPKQNHVFANADDRKAWYKSFLPEDGRLVHSYHHVASGFAARLTRRELDEVSAMPGFVSALPDLMYELQTTHTPLFLGLNAGQQGNRSLGSERGAGVIIGVLDTGVFPEHPSFSGDGMPPPPAKWKGRCDFNGSVCNNKLIGARSFISIANATNGSSSNDSRAPPVDDVGHGTHTASTAAGALVPGAQVLGQGMGDAIGMAPRAHLAIYKVCIETGCAASDILAGIDAAVADGCDVISMSLGGESIPFYQDPIAIGTFGAAEKGVFVSMAAGNSGPNASTLSNEAPWMLTVAASTMDRSIRATVRLGNGLFFRGQSLYQPVTSRSTFYPLVYAGASGKPFAELCGNGSLDGVDVKGKIVLCVLGSGPGHNISRILKGAVVASAGGAGMILMNTFPQGYNTFSEAHVLPASHVDYEAASAIMSYLNSTAEPVGQILFGGTRLGTSPAPSIVFFSSRGPSPQNPGILKPDITGPGVNVLAAWPVQVGPPSAPVLPGPTFNVISGTSMSTPHLSGIAAFIKSQHPDWSPAAIKSAIMTTADVTDRSGNPILNEQHVPADFFATGAGHVNPERAADPGLVYDMNPSDYIGYLCGLYSGQDVSVIARRQVDCSAVAVIPESMLNYPSISVTFQQSWNWSTPIIVQRTVKNVGEVPSAYYAAVDLLDDDVIVGVYPHELVFTEANQEQSFKVIVWPRQNGGKVVQGALRWVSDMHTSNPIMESFKLITLLPILFFAIAAAVAGDELQTFIVHVQPHESHVFSTADDRTTWYNSFLPEDGRLVHSYHHVASGFAARLTQQELDALSAMPGFVTAVPSQVYKLLTTHTPQFLGLGVPQAGRRNSSSGFGEGVIIGVLDSGVYPYHPSFSGDGMPPPPAKWKGRCDFNSSACNNKLIGARSFESDPSPLDQDGHGTHTSSTAAGAVVPGAQVLGQGYGTASGIAPRAHVAMYKVCGDECTNADILAGIDAAVGDSCDVISMSLGGPTAPFYQDSLAVGTFGAVEKGVFVSMAAGNDGPGPVTLTNDAPWMLTVAASTMDRLISAQVRLGNGLSFDGESVFQPNVSTPGSYPLVYAGASSTPDANFCGNGSLDGFDVKGKIVLCDRGNNVARLDKGAEVKRAGGFGMIMANEFTDGYSTLADAHVLPASHVSYAAGVAIKKYINSTANPMAQILFKGTVLGVTPAPAITSFSSRGPSPQNPGILKPDITGPGVSVLAAWPVPVGPPSAPVSSGPTFNFESGTSMSTPHLSGIAALIKSKHPDWSPAAIKSAIMTTADPTDKSGNLIVDEQHVTANLFATGAGHVNPDKALDPGLVYDITPAEYIGFLCSMYTSKEVSVIARRSVDCSAVTVIPDRLLNYPSISVTLPATTVPTAPVVVTRTVKNVGEAPAVYYPKVNLPGVVQVKVSPSSLQFTAANQVQNFTVSVWRGQSTTANLCATKSEYLIHVNPDKAPDPGLVYHIAPAEYIGFLCSMYTSKEVSVIARRSVDCSALTVIPDRLLNYPSISVTLPATTVPTAPVVVTRRVKNVGEATAYYPRVNLPGVVKVKVTPCSLKFTAAGAELHRVRVPGAEHYSEVRAGIATVSICQTLASFNKLDFSIFKSKIVNVVEH >Et_10B_003694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:507225:511522:1 gene:Et_10B_003694 transcript:Et_10B_003694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVARCLLVRASPAAPASPETTKPAASIPASSSSAASVPLRAAAGPSSGRRLSPAPLRCSLSPSEGNSSPELAVLLEVEGVLADVYRFGNRQAFNVAFQSLGLDCANWTEPIYADLVRKSCGDEERMLVLFFDRIGWPTSLPTSEKGSFIKSVFREKLKALEEFSASDSLPLRPGVEKFIDDAITEGVPVAILATYGRNGETISRSVIEKLGPERTSKIKIVGKEEVEGSLYGQLVLGKGVASSLDEQLVKEAQKAEKQRIAEKVASILKLSVNIKASESSEKIIATLRAGSEYVGCDVQNCILVAGSQSGVLAAERIGMPCVVVRSSFTARAEFPSAKAVMDGFGGTDLSVSKLQSKKWA >Et_1A_008526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8411292:8425528:1 gene:Et_1A_008526 transcript:Et_1A_008526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLNEDDHEWYAPLPFPPPTISKPLPRSNPHLDAEAVPAPSYRSLAAPVSKPVDKFALLPAFLKVRGLVKEHIDSFNYFVTKGIKNIIEANNTLTYMLARHPYKWITKLKISHHTFAALLIARKQHELKRKKNFIIGYTPIMLRSRPCILYGKDETELARHGECPLDPGGYFIVKGTEKVILIQEQLSKNRIIIDTDNKGRVNASVTSSTHEIKSKTVIVMDKEKIYLSLNQFSKLIPIIVVMKAMGMESDQEIVQMVGRDPRYGDLLFPSIQECASERIYTQQQALQYMDDKEGRSNSILRDVFIAHVPVNNGNFRSKCIYTAVMLRRMMDAIVNADTFDDKYILQDTITTGLERAVSTGNWDIKRFRMHRKGSFEAIFHGIFRLHDTDNTTWGMLCPCDTPEGEACGLTKNLALLSHVTTDQEEGPLMNLCYSLGVEDLSLLSGEEIHAPGSFLVMFNGLILGKHRQPQRFANAMRNLRRSGKIGEFVSIFVNEKQDGIRSFDDFLRDGLIEYLDVNEENNALLFRADSLLYLLVYAQRPLLTTKTIELAGYDKLGAGQNATVAVMGYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVLKDNYGDGVSDRIAKPQRDKDGALLKQNMRALDEDGIAAPGQIIRNHDIYVYKQTPRNAGKRTGAPLTDRDYKDSPAFYKGVEGETTVVDRVMLCSDTNDKLSIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPSGNADKVEDISSTLVKHGFSYNGKDFLYSGILGQPLEAYIFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLLSSDPYQVQVCRKCGLLGYYNHKLKTSYCSMCKNGESMAKMRLPYACKLLFQELQAMNVVPRLKLTEG >Et_3A_027215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:517850:523802:-1 gene:Et_3A_027215 transcript:Et_3A_027215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMVDRATSDHLIGPDWAKNMEICDICNRDPGYAPCPFPPLIHHLPRPRIRSLLPRPTARFGAAQKMVGGACRRQSKDVVKALKKRISHKNPKVQLLALTLLETVIKNCGDILHMHVAERDVLHEMVKIVKKKSDQRVKEKVLVLIDTWQEALGGPRARYPQYYAAYHELVRAGAEFPKRAERPAPLFNGQAQAAKNMRSPDQQDEAESSAANDFPALSVSEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVELVDQCRTYKQRVVQLVNSTSDEELMSLGLALNDDLQRVLAKHDAIAAGIAVRVEKKPKSLQALVDTEDSATANQETKKEEALVDIEDPTGQDSKQEANQSTSDQSPFEQLALPAPPVPNGTATSAPKADSGIDLLSWDDTPTTSENPLALVPVTDPLADSTSSNHNALAIVDMFSQNNTTHGNTAPADLFGLNSSSVMPGSQPYNTPVQQPLQSQQPQQATPYANGTAVNHGASYDHASRFNNTSSGWNGQVANPMAPVPQQAVNYDDQSGNLPPPPWEAQSAPGNEVPNGHLGGMQPLTAPSGQMGGMQPPQPQVNHMGVPQTQPMYNNQPGVSLPQMMQPGQTAGTQMQPGFGNQMGMQPSMAGTQMQPGFGSQFGSLQPNSTAGMPYAGMQPPQMLGAQQAVMMYPQQMPGAQYGGMAQQQQMYAGRLAGYMQHPAVAAAHYYNQGTATYGGYPGTNDLSQKMYGLSMQDSSYMGMNSSYQQNQTAPSPSPSMGQPIKPTKPEDKLFGDLLSIAKKR >Et_6B_049367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:455329:458322:1 gene:Et_6B_049367 transcript:Et_6B_049367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVEQILSKEQIAEFREAFSLFDKDRDGTITSKELGTVMRSLGQSPTEAELQDMVDEVDADGSGAIDFHEFLTLLARKMRDAGADDELREAFRVFDQDQNGFISRDELRHVLQNLGERLSDDELAEMLREADVDGDGQINYNEFAKVMIAKRRNQMMEDEGVPVLWHTVIGFGSRILVNNLLAGNDVQGGNVNSAFDICKE >Et_1B_013061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5112119:5114654:1 gene:Et_1B_013061 transcript:Et_1B_013061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITHYVLDPFLETGSQVQTQKATSKPPPVPPYKATEKPKPIPVPYAPPVRTQTSPALYATPESTSLPESPSSFPGTWSPYLINHKRRGASLAKTLSLGDCGSESSQPKLPVTLPPLPKRSEPIEVHEPEFAFQQAANGQAERHSDLEEVLDRENDMLQDYVTTVNEQNLPEFEFQHGSLDALVKPVNVAKPLNGGTARNCESDAFFELQDSQSVASNTETDDAGAHERWWKPSSPLGTSVGTPGAEFYDAFEEISSDGGTRSSRCVDDDLREMRLSLLMEIDRRKQAEEALESWQNEWKKLSHHLSLIALSLPSPSIAENTSDSNMEPGAELCQQITVSQLVSAAIARGFARAEVESEMETVIAAKNFEIARLSDRVQYYEAANREMSQRNQEAIEMSRQQRKNRKKKQKWFWGSVGLAVTLGATAIAWSYLPSSQPQVAESNSISSD >Et_4B_038222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27271257:27285250:-1 gene:Et_4B_038222 transcript:Et_4B_038222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGGGRGGRGDRGDQRGLPYNQGRGGGGGRASGFVWPPPASTPRPVPGQYQAAPMGFRAPVPHQGAYGHPAPIVYRPAAPPAPQVVFTPPPAPVPVTIRAPPPAPSPAPAAATHQPANAPAPASSAPSAAALAKEVEKKLFVSETALAPAAAAASAAAATQEGKDAAADDAPEVDLAPVSKKGMAHPARPGVGTVGKKVMIRANHFLVDVADNNLFHYDVSINPESKSRQTNREVLNELIKLHGQTTLGGKLPAYDGRKSLYTAGSLPFESEEFLVTLVDPEKKEKERAEREYRITIRIAGRTDMYHLTQFLRGRQRDMPQETIQVLDVVLRESPSWNYVTVSRSFFSTSFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVSVIKFVEEFLNIRDTSRPLSDRDRVKIKKALRGVRIETSHQQDQIRRYKITGITPIPMSQLIFPVDEQGTRKTVVQYFWDKYDYRLKYASWPCLQAGSDSRPVYLPMEVCKIVEGQRYSKKLNDKQVTNILRATCKRPQEREQSIRDMVLHNKYAEDRFAQEFGIQVCNDLVSVPARVLPPPLLKYHESGREKVCAPSVGQWNMINKKMINGGTVNNWTCLNFSRMHPEEVKRFCIDLIHMCNATGMAVNPRPFIDVMSASPNQIESTLRDLLIVILPDVSGSYGKIKRVCETDIGIVSQCCLPKHASRPNKQYLENVALKINVKVGGRNTVLERAFVRNGIPFVSEVPTIIFGADVTHPPPGEDSASSIAAVVASMDWPEITKYRGLVSAQPHRQEIIEDLFTVSKDPQKGNNVNGGMIRELLIAFRRKTNRRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEFHGRRDMTDRSGNILPGTVVDQKICHPTEFDFYLCSHAGIQGTSRPTHYHVLYDENHFTADALQSLTNNLCYTYARCTRAVSVVPPAYYAHLAAFRARYYVEGESSDGGSTPGSSGQAVAREGPVEVRQLPKIKDNVKDMVNIVDQI >Et_6A_046118.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:26158977:26159090:1 gene:Et_6A_046118 transcript:Et_6A_046118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLTMIASCTSVAGSSGSIETRSFSSPRKHPFLDY >Et_2B_022752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4307496:4322786:1 gene:Et_2B_022752 transcript:Et_2B_022752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGYYYNSPYYQPAPYYYNYSAQQQRARRGWLSSVPVVIFLATVTLLLATALISWCESAVESLVYQLRGFLILSPVLVVVAVQLWVASGGGGGGGLMSLFSELVTGDQSGQYYSPYQYHGGAGSTSPWGVALALALVLFLVSYQSSIWLEAASRDKAQSY >Et_2A_015763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17592660:17601650:-1 gene:Et_2A_015763 transcript:Et_2A_015763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPWRPLPLPPLVGLAILLSVAATTVAKTDQSDVTALNVMFNSMNKPSKLSGWKESGGDPCGDDDSWKGIECSGSSVTEINLSGLGLSGTLGYQLSSLKSVTKFDVSKNNLNGEIPYQLPPNVVQLNLYGNSFTGGVPYSISQMVDLETLNLGKNRLNGQLTDMFSQVPKLSTLDLSFNRFSGNLPQSFRNLINLKTLNLESNQFSGHIDVLAKLPLEDLNLQNNKFNGWIPSKLKDVDNLQIGGNSWSSGSAPPGTEKGSSAGGSSGGGNGSGITGFVVGGIVIAVLLAALILLSVLKRNKSSTVSSHYYTDESGHNRSSTPLVDDFKGHKESSTINMKSMEQSSSIGSRTPSAVPRKSISDNEFENKLNYSRRSSEPISLMTYVSSDLEAATGNFHSSRLLGQGTIGQVYKAKYADGQVLVVKKFDPLSFSGSSDFMDLVNGISKLRHANIAELVGYCSDPGHYMLVYDYHMNGSLYDFLHLSDDYSKPLTWDTRVRIALGTAHALEYLHEVCSPPVIHKNIKASNVLLDADLNPQLSDCGFSYFYEDTNESLGPGYNPPECTRSSGYVLKSDVYCFGVVMLQLLTGRKPYDSSKPRMEQSLVNYVTPQLHDIDALGALADPALRGLYPPKALSRFADVLSRCVQSDPEFRPSMSEVVQSLLQCVQRATSNKRMGGLRSVSQRSDDSDWLSHEVWILEQLNNTDKHLRKNRSREVTAFIYDLGQAQC >Et_6B_048963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15992726:15997469:-1 gene:Et_6B_048963 transcript:Et_6B_048963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEVVGLVLRCLPTHVDRIRFAAVCCKWRHAAQKVKLPPPLPLLMIPDGTVYSLPPSKPFRISSCSGYTDVCGKWLVFSSEDGDFLKDPMSNITMTLPPTSRVRARHVNNNDLMDGMSLPKMYISKLIFGSSSLIVASVYFGQSFRIAVCQPGAASWWSVYMGKKFVDMGLYQGTLYALGIHDKGLFAIDINVDHDTGDPWVSRIQNVINGTPTCPLSSADNPHSLIGMKDYLVESCGKLLMVRITVMRHGKLAVATEHPQTKVEVFEAIFEQSQWNKMTSIGEDQVLFLRQSCCRSVCISQYNNMLGDQIVFFENDNEASFKLAKRQSCCSIYDMRDGQISTYWWSVHVDDGSLVFVDIICDQGRIYALDTHKDGLFDNDISIKHGTSHPWVSQIRRVINGIPHISGHNEFDVFEADVNGSQWTKVTTLEMTSWCYFYVECAPNMFAFLSMKCRGIENDDDDDDDDDDIQQ >Et_8A_058309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4761561:4764203:1 gene:Et_8A_058309 transcript:Et_8A_058309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGTREEMVYMAKLAEQAERYEEMVEFMEKVVTAAGTGELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGAADHAAAARGYRARVEGELSNICAGILRLLDDRLVPAAAAEDAKVFYLKMKGDYHRYLAEFKTGAERKDAADATLAAYQAAQVALLPPTLLSLFFPPFSLSLLPQDIATSSLPPTHPIRLGLALNFSVFYYEILNSPDRACQLAKQAFDDAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQVDSDNNFWWHAGDIDGD >Et_1A_006542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24130806:24134214:1 gene:Et_1A_006542 transcript:Et_1A_006542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLLLHLLLYLLCLQLHALRHGGISLESQKTALLHWKSTLWNSPEQLNNWQRDTSPCNWTGIMCSAVRHGKRMPSVVTNISLPGADIHGQLGELNFLALPFLAHMDLSYNNFYGTIPTSISSLSTLSCLNLTTNFLSGKIPHEIADLRSLTKLDLSSNNLTGQIPTTLGNSTRLNTLYLYNNGLSGPIPHEVGTIHNLTKLSLSFNYLNGHIPESLGNLTQLTLLYLNDNELSGPIPNCMGSLQNLALLGLSSNNLTGHIPISIGNQTRLNGLYLFNNQLFGPIPHELGALRKLTILALSSNNLTGHIPTSLGNLTQLNTLNLDSNAVSGSIPTDLGILSNLQNLDLSTNNLTGPLPRELGVLSNLLVLLLSGNQISGSIPASLGNLTNLQLLAMGENRLSGSIPKTFSKLQSIQELTAQSNQLSGSLPRDFENVTTIVYFDLTNNSLSGPLPNICMGGRLQHLLVSSNEFDGPIPRSLKTCTTVSHLRLEENNLTGDISEIFGVYPELVSLRLASNKLSGQISPNWGASLNLEALHLEENMITGQIPRSLSKLSNLVILKLNSNNLSGEIPPDIGNLANLYVLNLSMNLLSGHMPSHLGKLSNLGYLDISDNNLSGSIPDKLEGCIRLQFLKINNNNFSGDLPGAIGNLVSLQIMLDVSNNKLSGVLPQQLGKLEMLEVLNLSHNQFSGSIPHSFDSLVSLSTLDVSYNNLDGPVPDGHQFQNASVSWFLHNKCLCGNLSGLPSCYSTHVSSHQKTRLRSLLLIIVSFVGFIILSTIVVITIYARNKRKPQESVTTNGRDIFSVWNFDGRIAFEDIIRATENFDDKYIVGTGGYGKVYKAQLQGGQLVAVKKLHPTEDVNDERRFSCEMEILLQIRQRSIVKFYGFCSHPEYKFLVYDYIERGTLHMTLENEESAKELDWKKRSTVIKDVAQAISYLHHECNQPIIHRDITSNNILLDRSFEACVSDFGTARILKPDSSNWKLSYTSTVTEKCDVYSFGVVLLEVVMGKHPRDILEHLASSRRQHLPLTEMIDQRPLQPTTEEEKDIVLLVKVALSCLQASPQARPTMHEACQTLTQHQPSISRHFNATMLDDL >Et_4B_038604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3282590:3285335:1 gene:Et_4B_038604 transcript:Et_4B_038604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGRQMELHYINTGFPYTVTESFMDFFEGLTYAHGDFALTDARYIEQANPYWSMMHTNSYKYGYSGAGNYYSYGHVYDVDEYMHRSDVGRRTWDNTTPVNNTDSANVVPQGGETPRTSANSTTEECIQQAHQNPGSPQVVWQDNIDPDNMTYEELLDLGEAVGTQSRGLSQEHISLLPVTKYKCGFFSRKKTRRERCVICQMEYRRGNLQMTLPCKHVYHASCVTRWLSINKVCPVCFAEVPGEEPNRK >Et_3A_026470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8396023:8397493:-1 gene:Et_3A_026470 transcript:Et_3A_026470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRMVSDPAPDSSGPRVVGGGAALFAVPRLFVGFAPKRAADGESSRSPTSPLDPKALLLRSPRSPRTWDAEPVGRGLVDALAGDAKNCLLSPRLRLKSYTSLPKDCGGGGGGHSQPELGKTMSCPVPDNAAAAGAGMSVPSSRFFFGDLKSGPEAAQSDGAHLNAARHSFDLGKLPAPGSLPASIAAGARRFIASVSASEIEQSEDYTRIIARGPNPKTTHIYGDCILEPLPVGEADEAAMEVQEGAAAAESYWVVKCAEEAAPREDFLSSCFTCRKKLEGNDIYIYRGEKAFCSANCRDQEILLEEEAENTTSTMDSPRSTCSSFHDDIFMAGMVVAT >Et_3B_028612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17306323:17310477:1 gene:Et_3B_028612 transcript:Et_3B_028612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIYVLEPPTKGKVVVHTTAGPLDIELWPKEAPKAARNFVQLCLEGYYDGTLFHRVIKNFLVQGGDPTGSGTGGESIYGAPFADEFHSRLRFNHRGLVACANAGTPHSNGSQFFITLDRCDWLDKKNTIFGKVTGDSIFNLLTLGDIETDKDDRPVYEQKILSVEVLWDPFEDIVPRQLKKPDSAAKVDAGAKPKKRAVKQLNVLSFGDEVEEEENEAASSVKDKIKSIHDVLDDPRFLKGEPEDVQLSKEQEEKKKDTVLSVREALISKKVDSREPEHAPETDDYPEDENEEDFDNRMRSQILRKRRELGDVRHRETSQTDKPRRKDKELPDRASNVEHKSDNDDEDDQEHLQKSKKLSLKKKGVGSEASAERMSKADANLQLLNPAEQERHLKKQKRRRVQGREDETLAKLQKFKASFLSKNTATDNMEKKADDEEDYTGWHSNRLSFLPDSSKDGMARKDDPDDYVVVDPLLEKGKQKFNKMQAKLKRREREWAGRSLT >Et_4B_038035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25671477:25676195:1 gene:Et_4B_038035 transcript:Et_4B_038035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGAAAAAPRLNPSPHRRRAASALSPAKSNANGNSAANAAADGRPKPKSKAVSSRYLLGPSSKSTSTSTSTSTSTTTTSSSNSTSTSASTPSRRFASPLPRRSVSVDRPRPASNAAVEEAGGPNAGTTTTTRSLSVAFQGRSFSFETSKAKPATSPSPVRRPVAAAAGATTPERRRPGLSALPERGRGLEGGHSQHRWPMSARMSQGFEGNPLAKSYDCSLHKRDAAVLAAVRSLRQSMVFEEGVRRSSFDGGDYLMSSDTESVSSGSNSGSQDAGIGISHRARPSSKGMSVPARFLQDAAASRSHRFADPGTPYLTHNSGLASSPRTAPIKKSLLNGFVSSPLNRPMRQPSPSKLTGNTSRRMSSPSRTRNSVGSSTSTWDQHGRGSSGYGLDGEVKRRWLGGSKVDCEHLLRILSNRHLQWRCVNAQADAALAAQKLTAEKYLCDAWITTLGIRKSVALKRFQLQLFRNNWKLMTVLKGQMAYLEEWSLLDRDYADSLAGIVEALTATILCLPVSDGAKADIQDVKNAVGSAVDIMQTIGSSICALLSKLAGTSVLVSDLAKVATEERTLMEQSRELLSTLATMHNVGYANPKSTQKYMAWTHVRPSIRFVNCTPESRSGQVGT >Et_3A_025731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32246202:32253132:-1 gene:Et_3A_025731 transcript:Et_3A_025731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALLCRLRLAPLLRDHHLRRFLSASTPAPDESTPGAPTPPSNSRLFVAGTPLPSRRHAPMSHLHSPYYFLAFSDERTGLSWSTDERSLVDAFSSFGTVTEDGVPSRCSKKCGEGHERLERAQPRELFGEMPGRDVVACSSAIYRHARRGAFHEAVAQFIGMMRVGVCPNSFTLVGVLLAVAGLGDSLLAECIHGWAIKSRLESNIFVATALVDAYSKCGCPMKALTFFGKIRHPNSVSWNAVISGFVHNNLFEEALLMFKRFCCYFGPVCNAITMVSVAKAYAGCDDIRMCKSAHAYAVKIGLDLNISVTNSILGMYLSFGDLEIGREIFRKIAVSDVVTWTMMMNFLLEKACAGEVIHAFVQMRSNGIVPDRVAMVSLVQACSLLGDGRRGKLVHNQMIVRGFGSEIPAVNSLITMYSKCDDLSSARILFDGTREKSLVSWTAMVSGYVGSGKPLEGMQLFGKMRREDMFVIDAVTLVSLLVGCYEIAKFELCVQLHGYIYKSVYGKCGYVSLARKVFDEMIPRDVVSWNTMILSYGINGQGDQAIALFDAMPESGEERDSVTYLNALLACSHSGLVDDGLAIFRKMITEKLINPCQEHIGCLVDMLARAGRLDKATEVVSLTHKEGANAWMALMGGGHLHSDMELTKVAAEKVLKMDSFDYGHVVLLSNAYASAGKYNAAESIRSCYSKLTKKKTLGFIRIMYDRNSGRSRGFGFVHFSNDHEAKCAKDAMDGKVMLGRPLRISFALDKVRGAQVIVPRLSTLK >Et_4A_035103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9485490:9499704:1 gene:Et_4A_035103 transcript:Et_4A_035103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGHHGVVLVCSICGFLFTVLGPLSFWVLWAVNWRPWRLYSWIYARKWPTYVQGPQLSTLCSFLTLSAWLVVISPIAVLLVWGSILIALLERNIIGLAIIMAGTALLLSFYSIMLWWRTQWQSSRAVAYLLLLAVGLLCAYEFCAIYVTAGASSSELNSPSGFFFGVSAISLAINMLFICKILFNVSGFDVDEYVRRSYKFAYSDCVEVAPVSCSPDPPDPSELYMTKSSRVLHLGLLYICSLLVLVAYSILYGLTSKEGRWLGALTSIAVVILDWNLGLCSFRYLGHCISYAFVASVLLSGAVSCWLSISNPSVARIDALRSTVIKLREGFRRKGQNSSSNSSEGCGSSVKRSSGSVEAVQHGNAADSMYRSNSQSDGVNWNSIPFDRSNSCQEGRSSDKNIDSGRASLAHRSNSCLSAVQDSETAITSTDRHGDPTPSLVICSSSGLESHGCESSGSATASGNQQLLDLNLAAIFQDRLNDPRISSMLKKNGGLGDVELANLLQDKGLDPNFSYMLKDKVMDPRILALLQRSSLDADREHQDDVDVTVTDSERLDTTIANQISLSEELRRSGLENWLNLSRLIFHQLAGSPFRAFIVFTLMFILETVTVAVHRPNPIKVINATHEQYEFGFSILLLSPVVCSIMAFIWSLRAEEMMMTSKPRKYGFIAWLLSTCVGLFVSFLSKSSVILGLSLTVPLMVACLSFAIPIWMRNGYRFWISGREFDSRENISQAPGKKERALFAISIAVFVASVIGLGAIVSAKPLDALGYKGWDADKKSFYSPYATSMYLGWALSSAIAVLVTGLIPIVAWFATYRFSPSSAICVGLSTTVLVSFCGASYWGVVNSRGDGVPLKADFLAALLPLLCIPAVFSLFTGLYKWKDDDWKISRGVYLFVGMGMLLLFGAVAAVIVIIRPWTVGVAGLLAILFLVFVIGVIHYWTSNNFYLTRAQMLLVCSIAFLLALAAFLMGLFHEKPFVGASIGYFSFMFLLTGRALTVLLSPPIIVYSPRVLPVYVYDAHADSAKNVSYAFLILYGIALATEVWGVIASLILNPPFVGAGVSATTLVIAFSFAVSRPCLTLKMMEEAVHFLSKDTVVQAMSRSANKTRNAISGTYSAPQRSASSAALLVGDPALTVDRAGNFVLPRADVMKLRDRLRNEETTAGSFFDCLMICPQSLANVDYRRNMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAEQIQDEVRLRLFLDSIGLSDLSAKEIKKWMPEDRRQFELIQESYIREKEMEEEALMQRREEEGKGRERRRALLEKEERKWKELEISLLSSIPNAGSRDAAAMAAAVRAVGGDSALEDSFARDRVSSIANHIRKAQLARRAEQTGIPGAVCILDDEPRSIGRHCGELDSCLCRSQKVTLSIAVMVQPVSGPVCLFGSELQKKVCWEILVAGSEQGMEAGQVGLRLVTKGERMTTVAKEWNIGASSIADGRWHLVTVTIDADLGEATSFIDGIYDGYQNGLPLPTNNGIWEPGTDIWVGARPPTDLDAFGRSDSEGSDSKMQIMDAFIWGRCLSEDEVAGLHTTVGPAEYALFDLATGDAWHGSYSSRVDDWESEAAFELYDQEDVEWDGQYSSGRKRLGHDAVAIDMDSFARRPRKPRFESREEVNQRMLSVERAVRDALIAKGERNFTDQEFPPDDRSLYVDPMNPPLKLQVVSEWMRPSDIAKEISISSQPCLFSGSVNSSDVCQGRLGDCWFLSAVAVLTEMSRISEVIITPEYNEEGIYTVRFCIQGDWVAVVVDDWIPCESPGKPAFATSRKENELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQLLHFKQEGFLLGAGSPSGSDAHISSSGIVQGHAYSILQVREVDGHKLVQIRNPWANEVEWNGPWSDSSPEWTERMKHKLMHVPQSKNGVFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGFSAGGCQDYDSWHQNPQYRLRVTGRDALYPVHVFITLTQGVGFSRKTNGFRNYQSSHDSSMFYIGMRILKTQGCRAAYNIYMHESAGGTDYVNSREISCELVLEPYPKGYTIVPTTIHPGEEAPFVLSVFSKAPIKLEAVQQLTVAGSNASAAQLFNLNCGFKEVL >Et_1A_009364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40505042:40506551:1 gene:Et_1A_009364 transcript:Et_1A_009364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEGGDKKMITLKSSDGEEFEVEEAVAMESQTIRHMIEDDCADNSIPLPNVNSKILSKVIEYCNKHVHAAAAAAKSDDNNDSAPANASASASASGGDDLKNWDADFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRTTFNIKNDFSPEEEEEIRRENQWAFE >Et_1B_013789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16602868:16605256:1 gene:Et_1B_013789 transcript:Et_1B_013789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHLLFAVDRYDIQSLKLMCEHKLCKWSTLAPVTVAAIPALAERHHCQRLKKACLSFLSSPANLKAPSDRQGSPNRRDYLKLVAGNTMLGVLLVEHLIRALVVVLNV >Et_1B_010769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13877065:13894661:-1 gene:Et_1B_010769 transcript:Et_1B_010769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADMAEDPPPPPPPPELPPSRRPHRQLQPRGYQVDVFAAALRGNTIAVLDTGSGKTMVAVMLAREHARRVRAGEAPRRIVVFLAPTVHLVHQQFEVIGEYTDLDAVECHGASGVGEWTAEDWKEQIGSKEIVVMTPQIMLDALRHAFLTIRMVSLLIFDECHRACGNHPYSRIMKEFYFGSEWRPAVFGMTASPVATKGAYTIPDCEAQVYIVEDRSELESFSPAAAIVNKYYDAYLVDFEDLKSKLQILFEEFDAMLVSLQESSPNKFEDTDSILDMSRKSLSRYHGKILYGLNTLGLIITLEVVKIYNENIKELGDSEDCVFLKASLNLHMSYFKEALCLIEEILPLGYEELVKSESGSAELTKRGYISSKVETLINIFKSFGSSERVLCMIFVERIMTAKAVERFMRGIVSFSRFSISYLTGGSTSKDALSPAVQRSTLDLFRAGKVNLLFTTDVTEEGIDVPNCSCVIRFDLPRLFVAMFNLGAVPEKAAQIMGNLVQQEHIFNIIRTEYYVKNFALRKYTNTSSLDLPLEEKYTYHVASTGATVTADCCVNLIYKYCEKLPKDRYYMPKPSFEMALKDRLYQCTLTLPPNAAFRNIVGPSSSTCNLAKQLVSLEACKKLHQLGELNDHLVPLTEESMNIGTDITDEICLSGRGTTKRKELHGTINVHALVGSWIHEGETVTLSTYKFDFRCDQEGENYAGFVLLMESVLDDDVACSEMDLFLIPNKMVYTTITPCGKIQLNREQLRKGKQFQEFFFNGIFGRIFHGSRTSGLQREFIFRKGYEIQWSSDSMYLLLPLRHPSHVQNDLDIHWEAVESCSDAVEDLRGLYLEDGNLNYGNLILQMKNKGEDIIHFANKSLPFSSVKDSVVLSVHTGRIYSVLDLIFDTAADNSFDEMYNGKASPFASFADYYHQKYGIVIQHPRQPLLLLKQSHNAHNLLFSKLKYQDISTGKPLLVEKEQVHARVPPELVIHVNVTTDVLKSFYLLPSVMHRLQSLMLASQLRTDIGYTQCIPSSLILEAITTLRCCETFSLERLELLGDSVLKYVIGCDLFLRNPMKHEGQLSDMRSKAVCNATLHKHGIWRSLQGYIRDSAFDPRRWVAPGQISLRPFPCNCGIETTFVPVHGRYITDDPSFVVGKPCDRGHRWMCSKTISDCVEALVGAYYVGGGITAAVWVMGWFGIDIRCDMKLVQEVKSNASHLCYLSKLNLIEELEAKLKYNFSVKGLLLEAITHPSLQELGIDYCYQRLEFLGDSVLDLLITQRLFLDYTDVDPGELTDLRSALVSNENFAQAVIRNKIHDHLQHGSGILLEQITEYVRSTLECNGKEDDFLQHAPCKVPKVLRDIMESITGAIFIDTNFNIDLVWKIVEPLLSPMITPDNLALPPYRELLELCSHLGCFINSKSTSKGEELVVEMTVQLRDELLIAQGHDRNKKGANAKAAARILADLKKRGLSIKQCFSKSKQLDTVSSELQSQLTAVSFYRVFRQMSYQLESQLDYPDVTHSLDFKGLSSVREAVTLPLKMDKGGPRTALFRLCKSLQWPMPEFEFVEQRFRTAIVLDGVTTTNFNSFVATITLHIPGVTVITLQGDRRTDKKSSQDSASLIMLQKLQELKVCICKTSCDHLPKRDDGLLPGLSSNPAMSTFFLNSSVAPNSAPFVGTGTPMGSANRRLLPPIGSPNRRLLLAPATPQLLASAARARTKRTPYRHEHRKNTAVTRPKSTITPSVVAAVHRPPARSG >Et_9B_065015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20496922:20500930:1 gene:Et_9B_065015 transcript:Et_9B_065015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAAPVVAVLNGIGEVERKVQKSYWEEHSKDLTVESMMLDSRAADLDKEERPEVLSILPSYKGKTVLELGAGIGRFTGELAKEAEHVLALDFIESVIKKNESINGHFKNITFMCADVTSPDLKIENNSIDLIFSNWLLMYLSDKEVEKLVAKMVKWVKVGGHIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKECHSYDQDGNSYELSLVTSKCIGAYVKNKKNQNQLCWLWEKVVSTEDRGFQRFLDNVQYKTSGILRYERVFGEGFVSTGGVETTKEFVDMLNLKPGQKVLDVGCGIGGGDFYMAENYDVHVLGIDLSINMVSFAIERAIGRKCSVEFEVADCTTKDYPENSFDVIYSRDTILHIQDKPALFRSFFKWLKPGGKVLISDYCKNPGKPSEEFAAYIKQRGYDLHDVKSYGQMLENAGFHDVIAEDRTEQFLRVLRRELAEVEKNKDAFLADFSQEDYDDIVNGWNAKLKRSSAGEQRWGLFVATK >Et_2A_014469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10791554:10791888:1 gene:Et_2A_014469 transcript:Et_2A_014469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRRSSACLFSKRCSVNSRRTLSTSARSSGVPRSGSNSQTALPRKLFVDLSHGPPPIGDLQPPHYGVAAISEWQSSRTPREHIEQTIFVVTHSTVFVGAQSRHD >Et_3A_026244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:602036:606260:-1 gene:Et_3A_026244 transcript:Et_3A_026244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAVVHLSVHGRLRRSPDLPSTSSCRPSLLRCRAFKQEADRDREPLPASPEARKRRKGPLYKLKAAIQGLAGSRAAAAEVYGGEEYQRVVEKAEEIFFSVATQLGRYAITMMSTGVVVGVGFQLSGGDSQMNELIWYSWLGGVIIGTMLGANSVLEEHCKAGPRNVVITGSTRGLGKALAREFLLSGDRSSESVLQTIEELKENIQEGLSVAKKKEKKILSHAKVVGTSCDVCKPEDVKKLVNFAIDELGSVDIWISNAGTNKGFRPLINFSDEDITQIVSTNLVGSFLCTREAMIESRRSKVGVHTASPGMVLTDLLLSGSSLRNKQMFNLICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWVRRGRWFDEEGRAVYAAEADRIRNWAESRAQFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSSSGPLPGT >Et_7A_052828.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:18620584:18622065:1 gene:Et_7A_052828 transcript:Et_7A_052828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPPWESRSGSGGHPRPHVVMIPYPAQGHVTPMLQLAKLLHARGFHVTFVNNEFNHRRHLRARGPRALDGAPGFRFAAIDDGLPPSDADATQDIPALCRSTMTTCLPRFKDLVARLNDEADESSPPVSCVVADSTMTFALRAARELGLRCATLWTASACGFLGYYHFRHLVDRGVVPLPVDAGVDHLDATVVDWVPCAPLRLRDFPSFVRTTDPDDVMLNFFIHETAGMSQASAVVINTFDELDAPLLAAMADLLPSPIYTVGPLPLTVRNNVPQDSPVATGVASNLWKEDDAPLRWLHNRAPRSVVYVNFGSITVMTSEQLLEFAWGLANTGYPFLWNVRPDLVKDSDSGGGLPPEFMAAVDGRSLLTTWCPQEAVLAHEAVGVFLTHSGWNSTLEAICGGVPMLCWPFFAEQQTNCRYKCNEWGIGMEIPDQARRDHVEALIREAMDGEKGREMRRRVADLRDGAVAAARPGGRSMRNIDRLIDEVLLA >Et_1B_013825.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:18606011:18606439:-1 gene:Et_1B_013825 transcript:Et_1B_013825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVEGEDAADALALPRHVLPRGGATVNFLVGKMSRDPAVWAEPMAFRPERFMPGGEGERVDLTGTRGIKMMPFGAGMALAMFHLGYFVANLVREFEWLEEIVDDDKEAVDLAEFHGFPFTAMKHPLRARLVPLLNRPACEK >Et_4B_037325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18346543:18349854:-1 gene:Et_4B_037325 transcript:Et_4B_037325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSSCPMPMSCQWRFAGLKDQPGLRRINLDGLRWRVFDAKGQVLGRLASQIAVVLQGKDKPTYAPHVENGDMCIVLNAKDISVTGRKMTDKIYYWHTGYIGHLKERRLKDQMERDPTEVIRKAVLRMLPRNRLRDDRDRKLRIFSGSEHPFHDRPLEPFVMPPRQVREMRPRARRALIRAQKKEQANRAAAESAKVEEDGKMTEAGSSDLVASLVGGVRITPRARQHPQVRLSLTPDSGCLGEGAN >Et_9A_062636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2622501:2625113:-1 gene:Et_9A_062636 transcript:Et_9A_062636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSLFVCLQDEKGCDICGVEITDDAKPVTAHPFRGSTAFLFGNEVGWVLRLSVKSLNIWSKLSLGTGLSQKECEICDFFVYIPQYGGGTASLNVTVAASIVLHHFGVWAGFPERSREGNKFIVAEKPQGHSRGLYSGDSIEAVIEERKMRKENACDILEENRSSHLQESNGLDLMFTD >Et_7A_051307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16794882:16795285:1 gene:Et_7A_051307 transcript:Et_7A_051307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VISHGENGSYWGLIVIKSYWAMLHKETCSHIITKTTKALYFTNQNHSKAFHYRMAFDRAKTTTTEKSKLKNLISVPFKPVSHAIPTGDGYHELFCLDLHHS >Et_3B_030076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30241553:30245254:-1 gene:Et_3B_030076 transcript:Et_3B_030076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPSRSSPKNGSGNGRYARSGSFPQQPPQYGGGYYGQDSQAGYYGYGAPPQGGGYATPYAAYQAPAAAPPPQPTGAAKPRLDRRYSRIADDYHSVEQVTDALAQAGLESSNLIVGIDFTKSNEWTGKFSFHGRSLHHISNTPNPYEQAISIIGRTLSKFDEDNMIPCFGFGDGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTASGQLSSQEQRTVDAIVKASELPLSIVLVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFSEIMSKNIQQSRKEATFALSALMEIPQQYKATVELGILGRHSFKSPNRVPLPPPTGSHDAYSSYSSKSFSKPTYPQSSSSSSPYPHYETPHTATPAAPSSTYDNQVCPICLVNPKDMAFGCGHQTCCDCGQSLESCPICRTPITTRIKLY >Et_2B_022193.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:12352391:12353830:1 gene:Et_2B_022193 transcript:Et_2B_022193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATTSTAVRPWQDLPPDVVREISDHLHTAIDLVRFHAVCKPWRSTFDRDRASRHPPSLLPWLVTPPAAAGDLECRCVFSRTRYRVPGIYVREDRRVACADGTAAWLVRGKDEDWLVNPLTATRLPISLGDSRRTNGWLDRTHRVVSGDGTFLVYGGLARCPRQRALHGLILKPGHEEWRPVELHTGSDSRRAVAYHDNVVVHCTLDYCYIVQPFRFQEAVVRLPNDPADKFCWRRYLVEFNGELMLVSVMYVMALVHGRVTCIWSLSLHALDLKAESSPAACVRDCDAVSLLRDHVLFLGFPSSFAIEAARFGREMSGGTAYFVAEPRVGQCCVYKHDFRVGKTTMMQELPRRWGDESCMWFLPQPDIAPIVTRWQQLTIYVGNLPPSMGHSRLREMFSKHGKVASAMIAYDKKGRSRGFGIVTMVTQKGFDRAMAALAVEEPEQGPRRGGTAGFSKLVVTIMILVFLSFAYYAFGRR >Et_7B_054454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21544567:21550816:1 gene:Et_7B_054454 transcript:Et_7B_054454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRAAPPNSSPHSKRRAPPPPQPEAPSSKAGSDDESGADGSDSDDSESEEAAIPEKNTGSSSSDDDDYSGSEDSDSEEPAAPKKIKAPPPTAADPKKNKSPPPTAADPKNKAPPPKKTQQPAASNEEEEEGEVEEDEEQPGLEEGEGEGEPDLEEGEEADVEATEGKGVKLPASSKGKKPARHIIRKWSSSDGLRILEALAAHQRVHGKLPTPEELEAALTGTLDYRDHDTNELMLKVKNLKAAHSRYVKGQQSRNDKVYNLSKEVWGIDDAPANPRDFGVMCELYPHLAVEMEEVEKKYPSIFKKHFGMISDEKAKALDTKVEKRMMLQSKVDQQQSKVDQLRKDTFNELIKVLTLTNQDVDALKRNEGSDGFFQLSAFRQVSSRNLEPCLQGIVSIQRRCHGTIAHVLC >Et_7B_053867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12957143:12975038:1 gene:Et_7B_053867 transcript:Et_7B_053867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGRNSVHSTKIPEFPAGPDGRPVPALGVGTMSYPFVPGDVIGAAVLAALELGYRHLDTAALYGSERAVGEAVAEAARRGIVASRQEVFVTTKVWCTQCHPDLVLPSLRESLQNLQMDYVDLYLVHWPLATKPSKPHFPIKREDIMPMDLIGVWRAMEECHRLGLARMIGVSNFTTKKLRELLFVAKIPPAVNQVEMNPTWQQKKLIEFCKEKGIHVTAYSPLGGQSISKINRVLQSEVLEEIAKARGKSVAQISLRWIYEQGVSMVVKSLKSERLKENMEIFNWELSNEDRAKFGQIRQHKKVTVLGLLSPDGISSVDLSELDIVATYRRAADRKCGRAPSMASKGTAVPEVALQSGNARPMPAVGMGTAAFPMVHENTKNAVLAAIEVGYRHFDTASMYRTEKPLGDAVAEAVRRGLVQSREELFVTSKLWGSQCHPDLVLPSLRETLQVQIVFTWCPVLHHPLVRNLSNLFPFASCGLRSRRNLQMEYVDLYLIHWPVCLKAGPPAFPNKREDALPFNFEGVWRAMEECQRLGLAKAIGVSNFTTKHLEKVLAVATIPPAVNQVELNPVWQQRTLRAYCDAKGIRVAAYSPLGGQNWDGKGNSVMESPVLAEIAKARGKTVAQVSLRWIYEQGVTSIVKSYNKDRLKQNLEIFDWELTEEDRHKISQIPQKKLIRAESLFTPEGEFTSVDPADMDIPKIMAAKGTTVPEVALQSGNARPMPAVGMGTVAASSVDNKNAKDAILAAIEVGYRHFDTAAVYGTEKPLGDAVAEAVRRGLVQSREELFVTSKLWCTQCHPDLVLPSLRETLQNLQMEYVDLYLIHWPVCLKPGPLGFPNKREDAVPFYFEGVWRAMEECQRLGLARAIGVSNFATKHLEKVLAVATIPPAVNQVELNPVWQQRTLRDYCAAKGIRVAAYSPLGGQNWDGQGNSVLESPVLAEIAKARGKTVAQVSLRWIYEQGVTSIVKSYNKDRLKQNIEIFDWELTEEDRLKISQITQRKVVQAEIMFFPEGEFTSVDPADMDIPKIMAAKGTAVPEVVLQSGNARPMPAVGMGTLAGLALDENAKDAIRAAIEVGYRHFDTAALYGTEKPLGDAVAEAVRRGLVQSREEVFVTSKLWCAQAHPDLVLPSLRETLHLYIHVSRSFIIPMCCDLRSRRNLQMEYVDLYLIHWPVCLKPGPLGFPNKREDALPLDFEGVWRAMEECQRLGLARAIGVSNFTTRHLEKVLAIATIPPAVNQVELNPVWQQRTLRDYCAAKGIRVAAYSPLGGQNWDGQGNSVLESPVLAEIAKARGKTVAQVSLRWIYEQGVTSIVKSYNKERLKQNLEIFDWELTEEDRLKISQITQRKIVHLAEIMFFPEGEFTSVDPADMDIVEE >Et_5B_044879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6905541:6912488:1 gene:Et_5B_044879 transcript:Et_5B_044879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAPHRRQPPRLAMATPAWEALPFWRPTDDIIAEILIRLPALADLGCACAACPAFHRVITGRSFLRGLLALHSASLLGFHTFSGGFQPVDSPHPSAPAARALAAAADFTFSFLPSLGSWMVRDARDGRFLLDCDEGRNGTFTTIVVCDPLFRRYILVPPIPRELTAAVRQPHLVSGLNGERRCDVFLAPYGENEEAAAGSSESFKVIWMAQFRTELVAFVFASASREWRAIASPCWRDLNPAMPSETVRRSLHCRSYAFGCFYWLLSNFPRPSKLIRLDMSRMEFTPVNFQAGYRVAEFAILELEERKLGMFASDYIEGSVLKLFRANMKNHSEGDSKWELHGEVSLDPMYKYYMLGVDDGQLLLQRTPNTGIATEFGCFSLDFKTLCFQRIRGMLKSGYRPLPALYTGRVAVAAPFAMSRLEEAWEALTRTDDLVADILIRLPTLADLGRAAAAYAAFRRVVTSPFFHRRVRELHRPALLGVHALCTGFHPVEPPHRSAAAARDLAASADFGLSFLPALGSGWMVRDVRGGRFLVDCDSGNGHAFTTVARRYVLLPPIPLDLAAAVQEPHLANAERRCAVFLVPCSDEEAAAAAAVGSPELFRVIWMAQCPTKLVAFVFSSARGQWQAIASPSWPELNPEMPAATDMRSLFWRNYGYGCFYWLLSNFPKDCKLLALNTSTMEFSVTKSPFGSWDKEFAIVELKESKLGMFVTEVDSSTLELHCANRQNNGEGTYEWEWKYQNYVSLPRSYKYDMLGVFAGKLFVQARRTAGSTRELGCFSVDFKTSKRRTVRGVLGDEFRPLSALYTGYPPVTILFLENSTGALYSSITTMFEAGRR >Et_7A_052489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8540971:8546813:-1 gene:Et_7A_052489 transcript:Et_7A_052489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRDKLVLAPMVRVGTLPFRMLAAEYGADITYGEEIIDHKFLKCERVINESLGTTDFLEKGTDSVVFRTCPEERDRVVFQMGTSNAVRALKAAEIVCNDVAAIDINMGCPKSFSLSGGMGAALLSKPELIHDILTTLRRNLDTTVTCKIRLLNAPKDTVELARRIEKTGVPALAVHGRKIKDRPRDPAKWDEITDVVSALSIPVIANGDIFEYEDFKKLKDATGAASVMVARGAMWNASIFCSKGKTPWEDVKKEYVRKSILWDNDIKSTKHTLKEMIMHHSCLELPEGKAVYKCDTSADVARLYGEEDYYNFVISNRKLPTYSPDPVEAQHCSRLTLRRNTETLVE >Et_3B_029766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27742823:27743700:-1 gene:Et_3B_029766 transcript:Et_3B_029766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPKLNVGTELPVMAQEEGWPLGLGALNVRAGVLRGVDLSGSASFSTDFTSSRCTSSLPSTDFDTESAWSLSRGGGGITLANLIGLVDAMESRRRPSARASRGGKLRALLLSLCLRSHMENGRSAPSLGQFLEMERRASGASGHIHGL >Et_4A_035373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22015955:22016580:1 gene:Et_4A_035373 transcript:Et_4A_035373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKHVSNCGMGPRLTRTGGRVLPSTGWYDTNQFTLEVIFHARMRRVMRLFKGAAFCLQPPGDSYTRRSAFDAMLAGCVPVFFHPGSAYAQYAWHLPADHTTYSVFVPGDGVRNGSVILEDVLRRFSRAEVAAMREQVVRLIPRIVYRDPRQAGGDGGGFRDAVDVAVDRVIDRVARIKRGLPPLEEDKEAAHRWDAYFHRR >Et_5B_043175.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:14869554:14869628:1 gene:Et_5B_043175 transcript:Et_5B_043175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLTTNMFPFRIGNENSRRRWP >Et_5A_041586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24748689:24751870:1 gene:Et_5A_041586 transcript:Et_5A_041586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETRKLHCRLHYTTRHGTAFQRDEGSALPSLREMAHLLSFVLVALLLVFLPSNSVAINNSEIDRQALLSFRAGISADPLGVLRSWRSDSLNFCGWRRISCGKAFPPRVVSIELNSVQLAGQLSPSLANLTFLTRLDLRINSFSGRILDELGTLPKLRFLMLATNNFSGSIPASLGSRNRSLAYVNFADNVLRGGIPRLLATSSSLTVLNLTRNGLSGVIPASLFNGKSKLAVFDLGLNLFSGPIPNFHMMVNLKILVLSENNISGSIPPSLGNVSSLSEIWLDSNNLSGSIPETLNQIQNLTVLNLGSNNLSGQVPSLFYNMSSLIYLDLNQNSLKGRIPSGIWLPNLQLLYMSYNWLEGPLPASLGNASQLQFLDLGSNLLSGPIPFDIGNLARLEFLDLGQNKITNTIPISMGYLSRLQTLVLNQNFISGGVPPIIANLSSLVILSLSKNKLSGQIPAAIGELSMLNKLYLDNNNFSGNIATSLGNCTQLVMLNLSANNLNGSIPSQLLSITSLSLGLDLSNNYLTGSIPPQIGKLIHLVFLDISFNNFSGQLPSLLGQCVSLLSLNMARNMLNGTLPQSLGNLKSVSWMDLSQNNFDGQIPEIFSSFNMLTHLDLSNNHFEGPIPTGGVFQNVTATNLYGNLGLCANATTSFVFDEFPICPQILARGTKKIAHLLVLVIPPITIALFSFLCFMVTLLKKGAQTTPCYKQTMKKVSYGDILKATNWFSPINKISSSHTSSIYLGRFELDTDLVAIKLFHLNEHGSLNSFHMECEVLKNSRHRNLMKAVTLCSTVDSENNEFKAIVFDFMANGSLDMWVHPKLYQTTPTRRLSLGQRIRIAMDVASALDYMHNQLTPPLVHCDLKPANVLLDYDMTARIGDFGSAKFLTSGLDSPEGIVVGTGGTIGYIAPEYGMGYKISTGCDVYSFGVLLLEMFTGKRPTDTLFIDGMDLHRFVSSAYPDRLAEVLDPFMSQEGSHASADLSMQSYQMRMLEVGLSCSVESPKDRPVMQDVCAKIFAIKEAFLDSW >Et_8B_059029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12579883:12588972:-1 gene:Et_8B_059029 transcript:Et_8B_059029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSSEKWMAFPSSDPDTTGSFSFPVLPREEEEIVEEPPSSSAPANRQPSFQRGGRESKSSGESLPRVSQELKDALSGLQQTFVVSDATRPDCPIIYASEGFFTMTGYSVKEVVGRNCRFLQGPETDQDEVAKIRDAVKTGRSFCGRLLNYRKDGTPFWNMLTVTPIRDDDGKVIKFIGMQVEVSKYTEGLSDKRMRPNELPVSLIRYDERQKETAMSSITEVVQTVKHRRSRSEGDKEPMEPPPPKSPMWDLNKEEDARLSRRMSGRASLMGGTKNRRKSSVGSKEPERRKSWAREDRERDIRQGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDMGTVDKIREAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLTNRLSENSELQSAKLVKATAENVDEAVRELPDPNLRPEDLWAVHSMPVSPKPHKRVHLVELQGSGELFAMKAMDKSLMLNRNKVHRVCVEREIYSLLDHPFLPTLYTSFETPTHVCLITDFCPGGELFALLDRQPMKIFREESARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQEDGHIVLTDFDLSFLTPSKPHVIKHSTSRRRKSKEYLAPTFVSEPATPSNSFVGTEERSLLEQDIQVPLIGGLLVSLAAKQLIHGLLQRDPSSRFGSTAGANDIKQHPFFQDIYWPLIRCMSPPELDVPIELTAKEAQTILKPEEDSIGTF >Et_9B_064065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10933421:10934526:1 gene:Et_9B_064065 transcript:Et_9B_064065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TQQKKQAAADVLSHYSQFVMVCIGEDVRPTDLRLHLMKEVSGMPTSLKKEPPQAAASPDSSGEPSSSGTMKGDKIESS >Et_5B_043208.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:17509315:17509539:-1 gene:Et_5B_043208 transcript:Et_5B_043208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RQAVLWVRDTAFDLWQILHPKKDTKRTVSNTRWNLPEEGWIKCNTDGASKTGHNNGAGRGVAYNPNLRAWSIGG >Et_4B_037313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1850069:1854436:1 gene:Et_4B_037313 transcript:Et_4B_037313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPSLSLSLLENLLRMEENSTLAFVSENPYTFWTPEEDGLWMVVNKNPLNYHAWMVLIDVVEKAFKPDLSKIRQVYKAFLRRFPLCVEQWNNLAHHEGHVDSNAAMKVYEEAIPVYSVKLWHHYCQFAISIGKDSSFIRRLFERGLELVGTDYHSNLLWDSYIKYEETKLAWNNVANIYTRLFNHPIQDLDLFFSRFKELAELNALALLLHEDDADLDGSDKDSGVKCSSEKDTLAKYISARQVLYNKAKEYESKIVIFEQSITRSSYELSHVEDLEARTWHNYLEFIEREDPAKATKLYERCLIPCATRADIWMRYIEFLEERNMLEEANRALCRALCFVEKSAKSEICIFSARYKERVGDIFGAREQYRDIYSKICPGSHEALEAHANMERRLGNNECASSVYEAAIAAERQKRPLGLPALLVQYAEFTYFAVIDLESMFPGRRCVELLDSLVQKFLLPDSTDGLIPTETEKREVSDIYLEKNDCNHKANSAGMLILRTRQEVNFIQLVHDFNELRYSSDVESPANSLSPLMLPHRAVNEARSSQATLARLER >Et_3B_028103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10213169:10216916:1 gene:Et_3B_028103 transcript:Et_3B_028103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPVFKAELYGPMRVDTSTQNSTVQDMEPDVFKVLLCYIYTDSLPSMDGLEGGDKEEMVKHLLVAADRYGIERMKLMCENILCESLDVERVASTLALADQHHCTSLKDACIEFMSSSGRMDGVVATQGYKDLKRSCPAMLVDVLERANKSRKIYTQNITVEDMEPDVFKVLLIYIYTDSLPSMDDLEGSDNKEMAKHLFVAADRYGIERMKVMCERTLCKSLDVECVAATLALADQHHCSNLKDACIEFMNSSSRMDEVMATQGYKDLKRSCPAIFVDVLEKANKSRKI >Et_1B_010966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1633532:1636103:1 gene:Et_1B_010966 transcript:Et_1B_010966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSASQIICASFNQDNSLFSVGTKDGFMIFDARNGRLCYKKNLGGFNIVEMLFGTNLLAIVGTGEQPAMSPRRLCLFNTKTGASMKELNFKTSILAVRLSRKRLVVVLQHKTFIYDLNSITILEEIETVTNTKGLCAFAPNSDGCFLALPASISKGSALVYKASEPELICQIDAHQSPLAAMVFCSKGMYLATASEKGTIVRVHLVSHATKSHSFRRGTYPSTIYSLSFSPSTDLPDVLAATSSSGSLHMFFLGAARNGRSQANKLLSSVIPGSVTDALDPANHHVIHNVVPAEIKSCLAVHSVENSQNSSKLPALKTVIFIVTHDGYFREYIISTTKSNESSWTLEREFSLLDSGSSSFKQNEHQID >Et_4B_036612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:65381:79448:1 gene:Et_4B_036612 transcript:Et_4B_036612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAVAALQSCFRTLKPDAVPAVVDCVLASSSATSPSQLFHALLNSSLSKEHQEQDLHAAISHAAALCHLLARFDSPLKAKDALHLLLWRVFLPLLRDGIQPNHHALLHQVVALMCDAVSNTGSWDLLGDTIVSFCIRSSASAMGLSTGHDSMLYHNTMEVDFAGDNVPHFLSLSKASSVLASLLGDTLKRRRTILSSELLASQEGATDLDVLVQNLTWDLATLALKMFAHDQEYRSCASHILLQPVLISLADVSCITVMFGTVQHKLSRCVFLEQIWNSCISLFSLGRGERLDAYTILSLYFSTLKLGHQNAVLGADEVLEFDLKNDSKFWDELRRGLVDKDSLLRKHAFFVLKISLSIFTTSPVDGTQHSSSRSSAALPSQAKSSIGATKRERWANKEASSLGVREMDHSGEHCSSGHDRWKVFLLLYEMLQEYGTHLVEAAWAHQVVVLFESTPQSNYLSHTSHGAFHAQMESFEGILHWMAVLWERGFNHDNPQVRCLVMQSFLDIAWERYNICAQILPRGFVLGSLLRGLNDVVHHKDFGIGGVYHSKTIKGAESFFSTYAQNLTRRGRIYLVWSLASAAKQDSFGRAGLMTLAFCVASCAWQSDTNDAPCATSGKEVAKCDGDAPTTVSTVDLLDVLCILSEKSKQHFNPKYRLKVCEQVIKVATSLIDANEIPLNQLLHFISTIPREFTDYFGQLRVTVNKWLVQNDCSTDNTLLNKLIDFPTAFVKDKQEEVSNLFDDEDLGVWEAEARRWSRTLLLVKLEERYLKRIFVFMETYGYKLSEQCSRECIPIKFFIIVLSLIEELEVRQQKLFCQNNTILKVGSDRANGLGHHALNEKLAESLSLVLENMVTFSKTSCSVFWLRNMDNMDLPYSVKGKLGGPSQRRLATSITSSVLQGIWSMRCISSVSSWCSRYSSDDSLSSTFSFLWDFCWKVIQHSTKTTETGAELHLAAYEALAYVLVALSSAQSSQFMDLVEWNQKYHASTFSLDILVTTFLNNINYLLTNGILTRSRRAVLMNWKWLCVDSLLSISCCCGANVTQLKRSAPLFSDSTLQCVFHDVTESLENAGENSVLSILRCVRSVLRLLHLNMGFRNSTSLGISYEMMMQLVKSSWILHLSCNKRRVAPIAALLSAVLHPAIFPNMEMHQTNKKGPGPLKWFIGNLLNEGSKSPRTIRLAALHLSGLWLIYPKTLRFYLEELKLLSLYGSVAFDEDFEAELSENHEARFEVSMLAQSPDREFTEVFINTELYARVSVAVLFHQLWKRIGQQTRLKTEDALQCGKLFLLELLESAVNDKDLSKELYKKYSSVHRRKVRVWQMICVLSNYVDDDIVREVTSSIHICLYRNNLPAVRQYLETFTILIYLKFPMLAEEQLIPIFHDKGMRQQALSSYVFIAANVILHSRDQATQLNHLNQLLPPILPFLTSHHHSLRGFTQLLVHSVLSRMWPTFQLETSEDVVFERRCFQELKSYLAGNSDCVRLRVSIEGFLDVFDPDASGTPMGIFCARPEASDFECVPVSVMERVIDFLNDVREDLRHSMAKDSVTIKNEGLTMDRHSEFKTDESPPEFLQLSHNVLNFQKKITPHEQPSSTRGHPTVSDDDVSKIISEMEEDDQLFNLALEARKDAVETIKRSQEELIVVASLVDRIPNLAGLTRTCEVFKAAGLVVADKSVVEDKQFRLISVTAEKWLPIMEVPVNSVKVYLEKKSAEGYSVIGLEQTANSKSLDQFAFPRKAVLVLGREKEGIPADIIHVLDACVEIPQLGVVRSLNVHVSGAIAVWEYTRQHSGASRNHVSDAAC >Et_10B_003254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18212377:18214958:-1 gene:Et_10B_003254 transcript:Et_10B_003254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAPAPPPSPAAAGRRDLGRAGTTCIRCSSVRELESSPSSRPGASLPPLREAKRVVLVRHGQSTWNAEDRIQGSSDISVLTPKGESQAETSRLMLLSDSFDACFTSPLARSRRTAEIIWEGRGDDLIPDSDLREIDLYSFQGLLKHEGKERYGVLYQQWQKNAANFSIDGHYPVRELWDRAQNCWERILAHEGNSVLVVAHNAVNQALVATSLGLGVEYFRILLQSNCGASVLDFTPQTGGGPPSVCLNRLNQTPNSPVAAGSSAGRKTSKRIILACQGATQNSPEFGLGGMGYAPLNMLGTIQSQKTAELLLDLKVNGILCSPQVAAVDTATVICEVQEAADCLGADCVPRYVEMKKLLELEIDDAFQMTQKSFGDMIQSGWMGSMEYRKLELLWAQSKDAWQALLNELPDDSTSDRVLVAVGHPAILLALICRCLDLPMDYISSFHLDDGSISVIDFPDGPKGRGVVRCTNYTAHLGRWSIPITRPTENDEEF >Et_4A_031977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8899050:8899468:-1 gene:Et_4A_031977 transcript:Et_4A_031977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATFVEVLLAIFLPPVGVFLRYGCGVEFWIDLLLTVLGYIPGIIYALYVLVG >Et_10B_002821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1262432:1266447:1 gene:Et_10B_002821 transcript:Et_10B_002821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAKWIKTLVGIRKHEKGRNAESSDARSSTTQLLHQQKHSVDTDGTPAVEELRGQSEPLTGDINTATISNLASSSSTAVQIAPTELDTKEQQAAIVIQSAYRAFLARRALRALKGLVRLQALVRGHAVRKQAAETLQHMQALVRAQARVRARRGRVSLESQVVEKKTPEQNVHSDRALQIEEDWCGSIGSVEEMQAKALKRQEAAAKRERARAYALTHQWQAGSRKQKAAGIQDQGLAVDENQWGKDFVDRWMAVRPWENRLLDSNVKESVPVSDDRQVEEDKTKAVNKPKRRVPVSTIQSNGSHSTSHKKSHSDASGSSSGQSASVQPSTSLELSKMKTKPSDEVSDEASSQPSNLASRSTSNPKERPEQMKAATEKRLSLPNNATTSGGVGKGRTNNSQTNQTTRSKNAVKGASKSESRDQPKPGNTTVKHVEAQA >Et_7B_054420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21145527:21150526:-1 gene:Et_7B_054420 transcript:Et_7B_054420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LEVIKKTVQQSSVSFVTRKKGAARYAAADHANPRIRPSRKEIQSAAAEKKVTDLITSSSKKQKPIASTSKKHSKGGRKLSVVCDTTETENEAPQVASGIPPDNEHRSDGHADDRPNNSIFSPTYHHPKECCLNSKEEQMTHDTMEATLKSGTSHNSGCTNLSFNTRDGFGDHSCALNLQPTGEDTILEVNEFSELGNLSSEVSAIYLAMQHSKLECNDEQSQDSTSTEGYAEGEEAEEYDEFDPYAFIKDLPDLSMVVPKFRPVLLPKQTRSCPRTTLVLDLDETLVHSTLEHCPDANFTFPVHFNFREHTIYVRCRPHLMEFLERVASMFETIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRESCVYVEGNYLKDLTVLGRDLTRVMIVDNSPQAFGFQLDNGIPIESWFDDPNDTELLKLLPFLETLAGVEDVRPYIARKFNLREKVATAPSLAVDMQM >Et_1A_005686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12816241:12817723:1 gene:Et_1A_005686 transcript:Et_1A_005686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDWGPVVVAVALFIVLLFQIPARTRLVELGNMCTSGISVLVHAVLFFAVLTILDAVFPVHVRSGRAKAMADWGPVVVGVVLFVLLSPGLLCELPGSHRHVDFGGFRTNGKAIFVHTLIFFAAFTILTLALHVHIYTG >Et_9A_063579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:863483:865765:-1 gene:Et_9A_063579 transcript:Et_9A_063579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLMLMSHGQDQPLRPLPVVSGHGDHAAPERVFVCKTCNRAFPSFQALGGHRDSHKKPRLDGDGDLSLAKPKLHGCSICGLEFAIGQALGGHMRRHRAMTGGMPPAIVVDKKPDAGIIDDGVKRGLWLDLNHPPCDDGEAAECGHNVATGITFHQFLDTGTMPKNSTVGSQFSLAEQICTS >Et_4A_031887.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:26430411:26430680:1 gene:Et_4A_031887 transcript:Et_4A_031887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIGDAEANSLAKVLVDLQYLTGCIAVCFLERKYSLVRGGQDVNPLFGLVELGVVFFGSMGFGASMLYLYYDAIDSPNARLPLRPRRI >Et_5A_040995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16239770:16243235:-1 gene:Et_5A_040995 transcript:Et_5A_040995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQFQFPWLKSSTSTTTTAAASTSNPSPNPGNSNPFAPIQAHLTSFLSSLPRALPPSPPWARIPSPSSVQTKAPPSSASALPASEIEERLAGVPVYALANAAQEFVLVSSTRAEEREGGEGSRPPPALGLLCFRREDADALLAQMEGDMRAGSSVVPVALNKVIQLKSDGVAFRFLPDSSQVANALKLMQDEGQYAREGFPGVPIFQSRSLVLMSDNKRYRPAFFRKEDLDNSLHRTSRDQQKPNPAIRIGDTQVSSLEDIIKSMKDNSSSKWDDVVFIPPGFDLATGSKP >Et_1A_005633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12210408:12218949:1 gene:Et_1A_005633 transcript:Et_1A_005633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPAFAVVSGAALGGSARAPRPTAGGGERRRGAEPPSRALVLGRRAPCFASRAVRAGSSGMRASAASGEVMVPEGESSGLTSSDEPAQFQSDELEVPVIDDESNEGVGAEGKIGSSEATLGSGEADVEALNKIPTESAAEERPRDVPQPSNGQKIYDLDPMLQGYKYHLEYRYSLYRRLRSDIDQYEGGLEAFSRSYEKFGFNRSAEGITYREWAPGAQSAALVGDFNNWDPNADRMNKNEFGVWEIFLPNNADGSPAIAHGSRVKVRMDTPSGIKDSIPAWIKYSLQAPGEIPYNGIYYDPPEEEKYVFKHPQPKRPKSLRIYETHVGMSSPEPKINTYVNFRDEVLPRIKKLGYNAVQIMAIQEHSYYGSFGYHVTNFFAPSSRFGTPEELKSLIDRAHELGLLVLMDVVHSHASSNTLDGLNGFDGTDTHYFHSGTRGYHWMWDSRLFNYGHWEVLRFLLSNARWWLEEYKFDGYRFDGVTSMMYTHHGLQVSFTGNYNEYFGFATDVDAVVYLMLVNDLIHGLYPEAVTIGEDVSGMPTFALPLQDGGMGFDYRMHMAVADKWIELLKMSDESWKMSDIVHSLTNRRWLEKCVTYSESHDQALVGDKTIAFWLMDKDMYDFMALDRPATPTIDRGIALHKMIRLITMGLGGEAYLNFMGNEFGHPEWIDFPRGPQLLPSGKFIPGNNNSYDKCRRRFDLGDADYLRYHGLQEFDQAMQHLEEKYGFMTSEHQYVSRKHEGDKVIVFEKGDLVFVFNFHWSNSFFDYRVGCLKPGKYKVVLDSDAGLFGGFGRIHHDAEHFTTDCHHDNRPHSFSVYTPSRTCVVYAPVE >Et_1A_005619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12078742:12083207:1 gene:Et_1A_005619 transcript:Et_1A_005619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILIFTVVSIFLLTGVTGIVETTSKEAADSDKRVDYNFCGLAQITAFAGSNNADDAVDVDIKALLAKPGTGGRMREALEKCQKWYASVSLMFLEASDDIKRRNYAAGKKKVANTTPLAQLCKDAFVKGTVRSPLTKRKDDSTPLPIQIEYKSMGTALIFAVVGAIFLMTGVTGTVETTCKEAADSDKRVDYNFCLCEDMLVKGGVRSPLTKRRDDSVQLAIICTAITNLIK >Et_3B_031040.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11539562:11540023:1 gene:Et_3B_031040 transcript:Et_3B_031040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESNTIDRMSLTTVVTKEILFDVYGYTATKVMAGSGEHFQSERFTVGGHDRAFRYYPKGKGCGPYISVCLVLLSRPKDGGQVGVMFACEMLNSSGAPTGVRRVSSFVFSAYGEWQGFVRFGLRDDLEEDYLVDDCFSLKCMISVLKKPCSDN >Et_7A_051168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15056066:15059929:1 gene:Et_7A_051168 transcript:Et_7A_051168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISETSRNALLPGFHYAAPAAGGSSPFPAAAAATAGVGGRAVAVPSAAAAGPSVWARAPSEQRREIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQINPAKYKSISSGFGVLLQEQGARGFFRGWVPTLFGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADIALCPMEAVKPGFARGLSDGLPKFVKSEGYAGLYKGIVPLWGRQIPYTMMKFASFEAVVENIYKYAIPAPKSECSKSLQLGVSFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKIGLLGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVAPTPASAGEQLKAKA >Et_4A_033581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24695809:24706165:-1 gene:Et_4A_033581 transcript:Et_4A_033581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAAANIAVLLGLGIAGIFLAARRLRRPARPDHGAFIARLELLPPPQPPPPQARHPLTDLCFAIADALHVSGYITSFGSPEWARSHDAASQTSPVVSALVNGGAICVGKTVIDEMAYRIPGAYCGVLAFRPSHAIVSNSGVIPVAPSLDTVGWFARDPSVLRRVGHLLLRLPYADVRQPRHFYIADDCFELSKIPARKLTQVVTKSVEKIFGRQVTRMNLENYLASKVPSLKNYSNGQENADSKRSALLALCNAMRLLHKCEFRDQHMEWINSVKSAVDARIVSNLSNDADSIINSCQDARNEARLALNALLKDDGILVIPTALGCPPKLNARELSSESYNSQTLCLTSIASMSGCCQVSIPLGTHDKCPISVSFIARHGGDRFLLDTTQTMYAIIQEQVEILAKSNVSSKQAMNEEAAETAKEKGNAAFKEKQWQKAVNFYTEAIKLNGKVATYYSNRAAAFLELASYRQAEADCSSAIDLDPKIVKAYLRRGTAREMLGYYKEAVEDFNHALVLEPMNKTAAVAINRLKKLFP >Et_9B_064174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12170961:12187873:-1 gene:Et_9B_064174 transcript:Et_9B_064174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGCFLLLFVLVTPWQVGTASRAVLNFTRRDFPRDFVFGASTSAYQYEGAVDEDGRSPSIWDTFTHAGRMPDNSTGDLGAHGYYKYKEDVKLMSDTGLEAYRFSISWSRLIPRGRGPVNPKGLEYYNNVINELVKRGIEMHVTLNHLDFPQILEDEYHGWLSSRVVDDFTAYADVCFREFGDRVKHWTTTDEPNAVAGAAYDSGSLPPGRCSAPFGLHCTAGNSTVEPYIVAHNIILAHASAAKLYRDKYKDAQKGVVGVNVYAVWGKAFSPSPADMAAFVSVPTMPIDPQGLQSLLEYLRDTYTNIPVYVHENGYPQLSNETICDHKRVEYLSRYIGSTLAAVRNGANVKGYFVWSFLDVYEFLRGYSMRYGLHHVDFQEPEMPRRPKLSAQWYSKFLRNEVGLNMETPISTDDSQAELCILLLFVLVTPWQVGTASRAALNFTRRDFPTDFVFGAGTSAYQYEGAVDKDGRSPSIWDTFTHAGRMPDNSTGDLGADGYRKYKEDVELMSDTGLEAYRFSISWSRLVPRGRGPVNPKGLEYYNNVINELVKRGVEIHVTLYHLDFPQILEDEYQGWLSPRVVEDFRTFADVCFREFGDRVKHWTTMDEPNVVSAAAYDVGTFPPARCSAPFGLHCTVGNSTVEPYIVAHNFILVHASAAKLYRDKYKDAQKGVVGMNVYTQGLLAEPCGQGGGSEIMRLLDRIINPLVYGDYPEIMKEKAGSRLPSFSKEQSEMVRGSADFIAVNHYTFTYLSDGWNSSRTGLQDLYADELFAYLGLHSMGFDNLTYTNHAERSSGAASLLEYFRDTYMNIPVYAMHRSRTNRSICDHKRVEYLSRYIGSTLAAVRNGANVKGYFVWSFMDVYELLRGYSMRYGLHHVDFQDPEMPRRPKLSAPQWYSKFLRNEVGINMESSISPLSSSHAEFTQISSHAEQVVSIHQGIYDPTQRNASSPSESIDLSCHKITESSLATAACARMELCPTMYGSTVELPSVQLTPNGAEQRHGGKAPLS >Et_1A_006882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28605827:28611665:-1 gene:Et_1A_006882 transcript:Et_1A_006882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARGDQLKLLGVWDSPYVNRVQIVLNLKGISYEYVEEDLLNKSEFLLKSNPVHKKVPVLIHDGKPVAESQVIVQYIDEVFAGTGPSVLPSDAYQRATARFWAAYVDDKVGSPWYTILFAPEAEKKVDAAAQIVAALETLEGAFKDCSGGTAPFFGGDGIGIVDVVLGSYLGWFKVIEKMVGARVLDAQKTPLLAAWGERFGAADPVKGVLPEDVDKLFCVALLQVIRSKLEQLLPMAGGDDLKVLGVWTSPYVIRVRIVLNLKGLPYEYVEEDLGNKSALLLGSNPVHKSVPVLLHGGRPINESQVIVQYIDEVWAAGDGRPAVLPSDPYERAVARFWAAYVDDKVRPAWHGMLFTCRNEEQRAEAVARAEAALETLEGGFRECSKGKPFFGGDGIGFVDVVLGGYLGWFGVVDRIIGRRLIDPARMPLLAAWEERFRAADAAKGVVPDDIDKMLVFLEKLPSDRSRRSIRLKLSVLQQEPSHLMAAEGDEVTVLGVWPSPFAIAVRVALNIKGVRHAYVEEDLVDRSELLLTSNPAYSKVPVLIHNGRPVCDSRVILQYIDEAWPDDAAGPPILPRDPGGRARARFWAGYVHDEVDPAWRAFARARTDETRARAVDTLETAFRDLPEGTEERQRPFFGGDTVGYVDAVLGGFLPWFGAIEEMVGRGVRLVDAARTPLLAAWAERFRGADAARGVVPCDAGTVVEYFRALQARWPGYSRSESNKICSESSKTSSIRTRPVSVKRNYREKKTMAAKEDLKLLSLDVSPFAIRVQMALIMKGVNFEYAEEDLSNKSELLLSSNPVHKKVPVLIHNGKPISESLIIVQYIDELFAGAGVPSILPTDPYDRAIARFWSAYIDDKLYHGWVGILLVLTEEERAEKVKETFAAIEPLEEAFAKSSEGKAFFGGDSMGYLDLVLGSCLFWFEALRRMYNVEIISSSKTPLLAAWAERFAESEAAKQVVPDADKAIQYAKKLQAAAAAASK >Et_8A_056382.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:6310493:6310729:1 gene:Et_8A_056382 transcript:Et_8A_056382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLGKSSKISRGMVSLRRRRPFQLMVLRRLRELKKIVPAGARRKTKVYVLLRQTAEYICMLELKVAVLQRLSDIYGA >Et_9A_063090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1051793:1053217:-1 gene:Et_9A_063090 transcript:Et_9A_063090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIAEGGQEVQEVATLAASCINLTSDDRPTMRQVELILEGLQKSKKSVNGNMVPAEFGNEDSFASRNIGA >Et_3B_029511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25665436:25665779:-1 gene:Et_3B_029511 transcript:Et_3B_029511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGRRRMRLRMGWLRSLLSPLRKLWCRVNAVQRKKRRGIYILYDDVKSCQCEDVHVLWSILVESYGLPPPTPPVLRLKR >Et_5B_043057.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:18231534:18232388:-1 gene:Et_5B_043057 transcript:Et_5B_043057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVTTSSAAGDPSPRASLALLSSTTPATTRRPRRLAARHRRNVVNGRFTGTAHMPRASCWNSAASSRRTEAWSRPLPTRPKRTEHMTSNVSDFMDGITATARRPRQSASRWRRTSPSMPATYRLTASARRNRAIMALTRRWSSPATSRIERRPTIAANDACLVGAIAALVKRNLFAAGPTRNAVGLPKRNSRETGPCAAARRSSQSSAVLARRARRKLSVCPTTGRPKEPGGRGRPWFLSPAMEERRRRSSGSEATIAARMATAAANIVGAGPASIASSRQDRS >Et_9B_065365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4273657:4276408:-1 gene:Et_9B_065365 transcript:Et_9B_065365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFATTLTSWHLLVTFCSLHVALWMKFFEHKPFDSRTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRSIQMSLSVLLLGVGVATVTDLQLNVVGSVLSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQSLTLFLIGPFLDGFLTNQNVFAFDYTSQVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNILGILIAVVGMVLYSYFCTVETQQKGVEISQQQVKETESAPLISDTLSKVENGGGVADDEPLK >Et_1A_008817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14682533:14684916:-1 gene:Et_1A_008817 transcript:Et_1A_008817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARRSSFFAPKKKAAANPFDSDSDDGEKQQRPVRASSVPPPADQRGASPYGGGEGGGLFSSSSSAYAAPTSRHYRNDFRDAGGLESQSVQELEGYAAYKAEETTRRTQGCLRIAEEMRDTASKTLVTVHQQGQQIHRTHMMAIDIDQDLSRSEKLLGDLGGLFSKKWKPKKNGAIKGPMLTRDDSFIRKGSHLEQRQKLGLVDPPRSNARQFRSEPTSALEKVEVEKAKQDDALSDLSDILTELKGMAVDMGSEIERQTKAMGDAEKDYDELNYRIC >Et_3B_029716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27327101:27330038:-1 gene:Et_3B_029716 transcript:Et_3B_029716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSLVPTCALASKLGVGRPSYGESRRRVAAPRRGRLSVVAVQTGPQKPSPSAVEDESDALQKLLKREYKYGFVSDFESFSIPKGLSEATIRRISELKAEPAWMLDFRLAAYRRFLTMVEPTWSDNDYAPVDLQSICYYSAPKTKPKLNSLEEVDPELLNTFDRLGIPLSEQKRLANVAVDAVIDSTSIATTHREALMAKGVIFCSISEAIREYPDLVKRYLGSVVPPGDNYYAALNSAVFSDGSFCYVPKDTVCPMEISTYFRINDKETGQFERTLIVADDRSTVSYLEGCTAPAYDSNQLHAAVVELVCEEGAEIKYSTVQNWYSGDEQGNGGIYNFVTKRGRCKGRGSKISWTQVETGSAITWKYPSVELVGDDTVGEFYSVALTKDCQQADTGTKMIHKGKNSRSRIISKGISAGKSRNCYRGLVQMNSGAENAYNSSQCDSLLIGDNAAANTYPTIQVGCTSGRVEHEASTSKIGEDQLFYFQQRGIDHEKAVAAMIGGFCRAVFEHLPYEFAQEVDALMNLKLEGSVG >Et_7B_053823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12475116:12476304:-1 gene:Et_7B_053823 transcript:Et_7B_053823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARQVVQTCVLSTRWTHLWRSVPSLDLDMKEFKTEAENSDTSDDSSDSDQDDEEWEKFEDFTDHLLIPNNISISLLDSFRLHVTYKYGKQAAKWIRYGMKYNAQVPGIPGKGLSSSCWRVKRLHLSHVHLDSRFTDHVSSGCQLLEYLELNGCQCFFHEIASHSLKHLILRKCGYMLLSEIKSSTLKNLVIEWSTGVRLIVSAPAVADLFLALNSYYAANISYSGMPSLINASIRLSYSRGNQFSKNLINVLGGLCNVASLHLLGFQTMVLSQESTAFPNFEMLKTLLLIRCDLSDDFKTLEHFMKSSPNLEKLTLRCCKFPKYLNKRKGKAKSKMVPSSKR >Et_7A_051385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17770167:17782707:-1 gene:Et_7A_051385 transcript:Et_7A_051385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGDDSPASAPPQPCGAGHRASYSLPTSAGGRVCLSCAAALLSSAASAPSHHVAHALASLSLALADPAFLAPLRAAHPRLLAAPLAEALVGAAARRDAALAAQACDLAADLAAAVGAPAASELVARLARVLSSGSLVKHLHTLHCLGLLPNSTKDAARYIVDKLSLFLNLVNDLRLPSDEVRGEILFVLYKLSILNATPWDDIFDNGDMNLSVIGRSLLQLSLEVLLKTQNDAVRLNCVALLLTLAKKGPFDVLLLSDQNSVNCVEAEESLHTDYMSLNASLVLFAEAIKGSLLSTNLEVQTGTLDLIFHFLSSDGNNCALLQTLINENVADYVFEVLRLSGNNDLLIISSIQVLSLLARSEDKFKEKLAIGFSTLLPILHYVAEIPFHPVQSQVLSLVWICMVNCSGIFSLTQEEQIASALTEILRRNGKGELGMSSETFILVCLILTEILKSPCAHDIEKLPSFIEEASKHAISSTLSHEYDSLLLVPHSLLLMKEALIFCLEGNKDHIFGKKDLEDSIIATCGTLLLPWLESAVVDGNDEETLVGILQIFQIILSKSSDNKPFKFAELLASSSWFSLSYGFMGLFPTDHVKSAVYLVTSLIVDRVLGCDYGEAIRDAYIYLPSDPTELMYLLGQCSSEDFNLASCQCGILVILYACSFYNERLAADNQILASLEQYILLNGGNFPYEINCSVMLTLLVHLYAFVRGISYGRSVPHSAEAENTLLHVMTRKEWELLVIRVHPVAIKWLFQKQELMESLTFQMLTFCKTFCKDKMVIISNRSQFVDIQMVADLVLSGETNITFLLVSLLTQVLKEGTEDEVISVFNVISEILMTFPCTSYQFISCGVVNALHGIYCSSYSSRMKIVCSYLIFNILYSASALTFSQEDEWLALTVKLLEFIHSGIDYTSKDHEHKILIGILCLILHHSADKKIIEPAKAIILNSSLVSLTDVIVHEACAKGPSLFQHNQETAFGEFLILILLLVFLALRSLHAILDASIDWQDFFQDSNDVQSFSVLGITCHDLCRLMYFGPSPVKLIASQCLRELLTRISDQMISLNAELRCSMKYLKSVIAVIEGLVFSEDSKVAGNCGACLSLILGWEKCGRQENVAVRESKWFRLIMEEFAVALTAPGLTSKSFTNQQKFAAKLAVSLLKLNQVPDWLTSLFDNDLISGVVANLSARNVTPEIVNLFRELMARKFLSQDHIVVLHNLFQVCRRQAYEGSSKVQLSEQKVTKMAVSTNDMLALLHDLMLNQCADAGNTQMEQQKLLREIDFFIQESNRREEH >Et_5B_043036.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:16049005:16049259:-1 gene:Et_5B_043036 transcript:Et_5B_043036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGPERGDGFMNSHNGAGVQNEPTLAGNAGLVAALVAITDSCRGEGAGAVDMNTILRRAVQVPVKILVVCCSFSLFSFIIRLS >Et_1B_012899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:481128:484227:1 gene:Et_1B_012899 transcript:Et_1B_012899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPATVASSAFEPSPYMEHFLCERLLDAKQPIAERFRALFSLRNLRGDAPRCALLQAARDSSNLLAHEAAFALGQMQDAEAIPALEAVLKDLSLHPIVRHEKNASSAESTTISPFLSVDPALPAKQGLSVDQLRDLLLNEQESMYERYASLFALRNDGGDAAVSAIVAALSVKSALLRHEVAYVLGQLQNKAASDALSNVLKNGCEHPMVRHEAAEALGSIADQESIALLEEFAKDPEPIVSQSCEVALSMLEYERSGKSFETPHVQQES >Et_4B_038662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3812848:3819800:1 gene:Et_4B_038662 transcript:Et_4B_038662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTLKSRPPHVSFAMTGRRHRSSPGATAVSFRPSRSISSARGALVALCCLCLVLALPVSAAAEDGAVDSDGDGGCLGFRDGCADPASFCFSPSAAQALLSSEDGTEEADLEVSRDWGPSSRPLGFPMSGGSVVTCSSVDTMITGARDGLVREGDAGVRHNVASCQAPLVPDNWMRASAGVPLELDGTASAVEPSAHHSSLSMNVAISPPVLDWGRSDLYAASTATLTVVNLNNDSTLRLYEPFSTDPQFYVYGYEDLVLQPGDNASVTFVFLPKLLGVSSAHLVLQTNLGGFIIQAKGMAVSSPYQIMPMTGIDVVVGDRLERNLSIYNPYDDALCVKEVAVWMSSLESTRQSSHIVCQLGPSDGALELSSLNSNWYTASSAESGRPMIYIRPSEQWEVLPSKSNIVVELNLQALSEGKMFGAISLKLHNCTAGTMDTFLIPIELEVHTRTYYDSSGLISVSFERTSSCGASGSTFSLYLRNDASKILRIVSVIENNKKGPMIFQVKYLNGLILFPDSVTHIALVRHTSSVPNDISYNGCNIVVETNSTLGSSIIIPCEDLVQIAANAKTRTLGSMLRVEGLHDVKPMIMKAVKADDTVLQQWKSHGTSNGSSVLMDQDLMFPVVQIGSQFSQWIKVHNPSLEHAAMQLVMNSEEIIGQCKTVNDACERAFSSRSPEIDSTETRFGFSLSEAAITETCVGPLETALLGPIVFRPSNRCMWSSTALIRNNLSGLEMLPLQAYGGWQSIVFLEGSEPAWKLEFNLGANVQNKSTMTKSEAPGPLCSQQLSKEVHVKNSGDLPLEVTKVKISGADCGVDGFTVDNCKGFSLAPNESIRMLISFQADFSSAMVQRDLEFSMPTGIFIIPVTANVPVGMLNQCRKSYIRSTHWKLLVLFLGAATLLVLIFFRYIPHSLTVGSPDHYIKIDDRKSTVFEENRKSTVSKTVKPTFLQHSSKKSRLIKEHKRTEEAPTEKFSDSVLVNSKRADNNNNPGEQVNTVSTEPISPVNHVEDKASREAPQTNENLTIKISRNKGKRRKRKVGGAGLTGKFEVSSSHSGNSTPSSPLSSSSTPKSSWSFSGAPSELKHENKLVSEFDVEASTTSTGTSHGKKSWSETVQEQPRSPSATPDNTMPSATVLTEAWHSPMLAISSPIAPHARAPGSNLVKAKVMKSDEGAAPKKELTYDIWGHNFSGHLLGKAREVAPYKMFDASEGGSCSFFAREPQALMMKQSSSPPVSRGRGSPPSDVSAALMVSGSRNSANGVLPLHNGRELLDVQPVKLVSSHLPAGMLMTVLDWLIRRI >Et_10B_002963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14714193:14726775:-1 gene:Et_10B_002963 transcript:Et_10B_002963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGEIHRVGSLRLDGSAGSVWRRGDAVFSGSSWSRSREDGAEDDEEALRWAALEKLPTFARLQHAVLPRPPDDDDTAGGELPRPAARVVDVRGLGPHERRALVERLVRVAEEDNERFLRKLKDRIDRVGLDLPTVEVRFEHLEAEAEVRVGSSALPTVLNSITNKLEEAANALRILPSRKRTTPILHDVSGIIKPHRMTLLLGPPGSGKTTLLLALAGRLDKDLKVSGRVTYNGHGFEEFVPERTAAYISQHDLHIGQMTVRETLAFSARCQGASAIEGEEANVVTDYVLKILGLEICADTLVGDEMLRGISGGQRKRVTTGEMLVGGARAFFMDEISTGLDSSTTFQIVNSIRQYIHILGGTAVISLLQPAPETYDLFDDIILLADGQIVYQGPREDVLEFFESMGFKCPERKGIADFLQEVTSRKDQKQYWSGDDQSYKYVPVKQFSCSFQSFRTGTVVANELSVPFDKSKNHPASLTTSRYGVSAKELLKANIDREILLMKRNSFVYIFRTFQLILLSIITMTLFIRTNMHRDSVTDGGIYMGVLVIGVLMIMFNGMSEIGLTIFRLPVFFKQRDLLFYPAWAYSLPSWILKIPISFIEVGGFVFVAYYVIGLDPNVSRFFKQYLLLLAVNQMAASLFRFIGGVARNMIVANVLGTFMLLLIMTLGGFLLSRDNVKKWWIWGYWVSPLMYAQNAIAVNEFLGHSWEKVVNNSISREALGVQVLVSRGFFPEARWYWIGFGALLGYTLLFNTLFTLALRLLEPYGKSPPSISEELLKEKYANMNGEVSANNLLVSGSTNQTEVGNRESDSATDEANSGPMKRGMVLPFVPLSLTFDSIRYFVNMPQEMKAHGIVEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLLDVLAGRKTGGYIEGNIRISGFPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPTDVDSEKRKMFIEEVMDLVELKSLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSSELIKYFERIQGVSKIRDGYNPATWMLEVTTASQEQTLDVDFGDIYKNSELYRKNKALIEELNKPLPGSSDLYFPTKYSQSFLKQCLVCLWKQNLSYWRNPSYNAVRFFFTTAIALLFGTIFWDLGKVKKPQDLFNAMGSMYGAVLFIGILNASSVQPVVSVERTVFYRERAAGMYSAFPYAFGQVVIELPYGLVQAIIYGIIVYAMMGFEWTAVKFFWYLFFMYFTLLYFTFYGMMTVGLTPNYFVAVIVSNSFYFIWNLFSGLFVPRPKIPIWWRWYYWICPVSWTLYGLIASQFGDITMPMDDGTPVNVFVEDYFGFKHSWVGLVAVVVVAFTVIFAVAFAFAIMKLNFQKR >Et_2A_014813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16687430:16688284:-1 gene:Et_2A_014813 transcript:Et_2A_014813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPRPSSSALQSIRRELQRRKHKAALAPTRPATKKTSAPPLREGARDQVFRETRPLPKREVDPSSTISRPAGSAATRAPPPRRPRPSSAASATPAPPSRSVSSSVAGHLKPGTRVLVRTRSQMVIDGKTLVLWLPAAVVSAADGGYEVIYEGKLPRDDPFSTVRVPLHHVREVKPSTPSDAEPPKNQETQSAPRPTTAEKKPAPRPTTAGKSISIIRRIFPEKELHALDAYCLGY >Et_1A_005505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10957069:10960967:-1 gene:Et_1A_005505 transcript:Et_1A_005505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPGRGLQRSASKRGLDPTGGGDDDDHAAKRPRVPALASVIVEALKMDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIQGRSSPKRIEGPDGRNLQLQFRSRLALPIFTGGKVEGEQGAAIHVVLLDANTGCVVTSGPESFAKLDVLVLEGDFNKEEDEDWTEEEFENNIVKEREGKRPLLTGDLQVTLKEGVGTIGELTFTDNSSWIRSRKFRLGLKVAPGFCEGIRVREAKTEAFPVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNASGIHTVEDFLQLLVRDQQRLRSILGSGMSNKMWESLVEHAKTCVLSGKHYVYYASDTRNVGAIFNNIYEFTGLIADDQFISAENLTDNQKVYADALVKKAYEDWMHVLEYDGKALLSFKQKKKSVTTRSDTAAASTSDPTSYGSSNSQKQLSLPAKAGQPSTAGTMNEDGTRNAYNGNQAARYTANSQTIPANINTQYDRSLLSSEGQFSGSSLQAQSSRASNMLALGPPQQQHQSFEFPALGQSMQPTGMNPFDEWSQPQENRGGVDDYLMEEIRMRSHEILENEEMQQMLRILSMGGASTNLTEDGFTFPSYPSPSPNMSFEDDRTRAPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELDD >Et_10A_001137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21478619:21479166:-1 gene:Et_10A_001137 transcript:Et_10A_001137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSASSASSFDVLARNSSSSWTTVSRRCGGPSGRPWNSCRSSIHWRGCAAGPAKSMTWTFWIGTIMSILVNTKVHNLLVLHGFDDGAVADRVRHLGERAELEQAAASRPNAPPVDALRRVDERVGDGRDGPEDEARQAVGHDHGQPMQRRAGRRGGETRGARKAAGRFL >Et_7A_050857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11699356:11705859:-1 gene:Et_7A_050857 transcript:Et_7A_050857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFGAWSDLPPELLRIVVDSPGLPLQSLSAIRGVCTAWRAALPPPSFPLLLAVSGFDDAQLEAGARVSALPLLLLLPRAARKYELSTFPVGGEFVGSCHGWIAVNTVWPGIFLVNNIVFAPRPAPDDCTAVAICVYWINERAEPMVFDMDARTSTRHPSSAMVLSPACRPLCWYFLQEKTMSFGPWADLPPELLLLISNGFGLPLESYCRVRGVCNTWRSALPPPAPMLITLPATTTASTLPRRTEPPLPPVTALLLPAEISFHLTTLPLGSQCVGSSNGWMAVTFDNHRSWRVFLWNPLAAGAKQIPLPRLWNDRNPVSKVVFAPNPTPEDHVAVAITGPRTLAYAKAGDAEWTTIDDVGDHLVDLANDANAGAAYCVTVYGDVRVLRVPRQGPVVVEPLQIERAGAYAPPYDTASKFTGFKRIFFVGGSLYQLWRNTTSAVSWTMPGGARFRMARDDGGSATEYDPGRRPCWDAATDLGGCAAFVGKNNPVVLRPDDAPGVRANCVYWIDENSRNAPMVFDTATGASMLHPSAAKVLSPSSRSSVCWYFLNDKIMSVVEDNGRKRPLSGDDCGHLLLLISNGFGLPLASYCRVRLVCTSWRSALPRPPPMIITLHPTTDSNMIRILTEHIQPVTAFFLPAAESSFDLTTLPYYGSKCVGSSNGWMAVALDTALWSRVFLLNPLAGGDKKVPLPQLRNVSKIVFAPNPTREDHVAVAISGPGTLAYAKAGDTKWTAIDDVATMERTRRRDPFEDVAYDADADKVYCVTVCGDVCVVRMRRRPPPLRQTIVEPAFDAATVYAPPYDAASKSMRFKRIFFIVLAVAEHHHQRRLVDDAERCSVPHGRMASGDDVFVLRYDPGRRPCWDAATDLGGCAVFIGKNNPVVRRPDDAPGVRANCVYWIDEQWRNAPMVFDMATGASTLHPSAAKVLSRWLSSVCWYFLNDKVMSVKDNGRKRRRSGGDRGLVDKSRKVRKHVKLELRTP >Et_10B_004251.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:2117673:2119328:1 gene:Et_10B_004251 transcript:Et_10B_004251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGTSRVTAKTRNGVAGRPASAMASRLLVIVVSLCLTAVGQATQDDNLLHPRWPSCSAADNYTAGSQFKKNLDQLLGAMPAAARANGWFYNGSAGAVGTANQVFGLIMCYADNNATQCLDCLARGPAGITTVCPGSRRVSAAYDACLLRYSDTPFFTEANSSEAFYAYWPAVAGIDESALDAARSGLMNQLARTAAQSPLLLANGSAPYGGAPGAMQGLAQCTRDLTPEQCTSCFKTHIDKLRGRFPNNTGGAIKGYSCYVRYNIGAFQVTLPPQVAAPPPMPPPPPPSPSVSIGLVVGLSVAGSATFLAVLGLAFCLLLRRRRQRRVRLQMAAAERELEEGDDFFDGEPEMEDEFEKGTGPKRFRYAELAAATDNFSVERKLREGGFGSVYRGLLKDMNLAVAIKRVSKESKQGKKEYAAEVRIISRLRHRNLVQLIGWCHRGDNDLLLVYELMPNGSLDAHLYGVGAPPLPWPRRREIVLGLGSALLYLHEEWEQCVVHRDIKPSNVMLDAAFNAKLGDFGLARLVDHGRGSHTTVLAGTMGYMDPE >Et_1B_010563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11649721:11650452:-1 gene:Et_1B_010563 transcript:Et_1B_010563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ANFHPLMFLANGLYTIDIGQNDFTSNLGSLGVEKVFRQLSTKSPGQYRQDLYNIGARNFMVFNMAPIGCYPAFLTELPHSTNDLDEYGCMKSYNSGVIYYNELLKNSLAEVRKKLHDTSIMYVHKHSVIIELLRRPNAHGLLWKQQSTEWPNRNRSSFLRPTKLCELGWHPYH >Et_9A_062381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22328743:22331550:-1 gene:Et_9A_062381 transcript:Et_9A_062381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKALLSAPNPDDPLSDNIAKHWKSNESEAVETAKEWTRMYASGA >Et_3A_024029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16361961:16377522:-1 gene:Et_3A_024029 transcript:Et_3A_024029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAPSAQLRQSPSGRQQRVLSRAPTRAFTMRPDGFSGEDGGDGPQMEESELVPSSLAPIVPILRAANEIEEENPRVAYLCRFTAFEKAHTMDPNSSGRGVRQFKTYLLHRLEKDEQETKRKLASTDAREIQKFYEQYCRKYLEEGHDAKKPEEMARRYQIASVLYDVLKTVTPEKYHAEFDKYAKGLEKEKASFSQYNILPLNSAASSRQPVMEIPEIKAAVDLLRKLDGLPMPRMEVSQRSDGTMVPDDMDRPHDLLDWLWQSFGFQKGNVDNQKEHLILLLANIDMRRGGNVHHTERHLHVIHSTTVIYLMEKIFQNYNSWCRYLHLESNIRIPRDASTQQPELLYIGLYLLIWGEASNVRFMPECLCYIFHHMARDLYDIISDRRQDYFDPPFRREGSDDAFLQLVIQPIYNVMLKETIRSKRGTVSHSKWRNYDDLNEYFWSKKCFKKLGWPMNPTSDFFADPTAPKNETEQHGHAVTRRRISKTNFVEVRTFLHLFRSFDRMWAFFILAFQAMAIIAWSPNKTLSSIFQPEVFKNVLTIFITAAFLNFLQATLELILNWKAWRSLECSQMIRYILKFIVAAAWLIILPVTYASSIQNPTGLVKFFSNWIGNLQNESIYNFAIAIYMLPNIFSALFFIFLPIRRALERSNSRIIRFLLWWNQPKLYVARGMYEDTCSLLKYTSFWILLLICKLTFSFYAEISPLVEPTKTIMFLGVGTYEWHEFFPSLQHNLGVVITVWAPVVMVYFMDTQIWYAIFSTICGGVNGAFSRLGEIRTLGMLRSRFEAIPIEFGKKLLPSHGSRYKGHEEDKNAHIDKFADTWNAFINSLREEDLLSNREKNLLIVPSSGSQTSVFQWPPFLLASKVPIALDMAKGVKKKDEELIKRIKQDPYTEYAVIECYETLLQILDSLIVEESDKKVVDRIHASVEDSIRRRSLVKEFRLDELPQLTAKFDKLLQLLKTDYDDSDPVKTQVANLLQDIMEIITQDIMKHGQGILKDEGSQKQLFANINLESLKDDEWREKCIRLQLLLTTKESAIYVPTNLEARRRITFFANSLFMNMPRAPPVRSMMSFSVLTPYFKEEVLFSPEDLYKKNEDGISILFYLRKIFPDEWKNFLERIDFKPKDEDALKERMFDICPWASYRGQTLTRTVRGMMYYRRALELQCIQDTSDLAKLDRDRTVTSYQESDAVREMAQAIADIKFTYVYGMQKISKDAKDKSCYLNILNLMIMNPSLRVSFIDEVEAPTGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGKPTEIGEGKPENQNHAIIFTRGEALQAIDMNQDNYIEEAFKMRNVLEEFESRKYGKSKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMRGGNVTHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLEKTILLDPRIQENIKPLENALASQSVFQLGLLLVLPMIMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFAENYRMYSRSHFVKGLELLILLVVYLAYGRSYRSSKLYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWIGEQEHLRKTSIRALLLEILLSLRFLIYQYGIVYHLNISQGNKSIMIYGLSWVVMLLVLIVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVANLTISDCFASILGFLPTGWCILLIGQACSPLIRRTIIWDSIMELGRAYENMMGLILFLPIGFLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEFE >Et_4B_036589.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:6966025:6966267:-1 gene:Et_4B_036589 transcript:Et_4B_036589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKELHMFSEWQMDEYPGGVEQDHSHDKGVEFALSTESYRNFRPPTLYTTTADKKTREAQLERCFLTRCEAPEHQEKDQ >Et_1A_007809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38482719:38487072:-1 gene:Et_1A_007809 transcript:Et_1A_007809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDAKPSVLIPMPSRDRDRDLLVPPAAVATHASPSVRAGTGAELDDDETKPSSASAASAAAQTGREAFHKVVHSWASKKFMTGCVILFPIAVTFYITWWFFRFVDGFFSPIYAHLGINILGLGFVTSIAFIFVVGVFMSSWLGTSILGLGEWFIKRMPFVRHIYNASKQISAAISPDQNKHAFKEVVIIRHPRIGEYAFGFITSEVLLQGYSSEEQMCCVYVPTNHLYIGDIFLVNSNDVIRPNLSVREGIEIVVSGGMSMPQVLSILETEPNQLNRIRSTRS >Et_1B_011541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23389605:23392282:-1 gene:Et_1B_011541 transcript:Et_1B_011541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACRGFFECLLKLLNFFLTCAGLAMVGYGIYLLVEWMKLSGGGANSPAGVLVFGRPLLTAVPLGDAGDIFDHLPKAWFIYLFIGVGAIVFLVSLFGCIGAGTRNTCCLCFYAFLVILLILAEAGAAAFIFFDHSWKDVIPVDKTHNFNVMYDFLKKNWEIARWVALGVVVFEALLFLLALVVRAMNKPAEYDSDDELIMGRNTGRSMSIRQPLVHHSQNVPATGVPVPQLDQRASRNDAWSQRMREKYGLDTSQFTYNPSDTSRYQQNGAPLAEERSRCTIIVVYEIESAVSTNSCKVLPDHSILLRLS >Et_1A_005543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11247911:11269498:-1 gene:Et_1A_005543 transcript:Et_1A_005543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATNLPPGFHFFPSDEDLVVHFLRRKVANLPCRPDIIPTILLQRCDPWELNGKALQAANQWYFFSHAAQGRTSPSGYWNPVGADETITSSGCTIGLKKTLIFCTGEPFKGFKTNWVMHEYHLLDGGYNVCGSSTSSSSNSSRKSQRKRLHSSTVSSNWVICRVFESSCGAQVSFHDEGTELSCLDEVFLSLDDYDETKARNCCGIWYTAIN >Et_8B_059158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14501801:14505898:-1 gene:Et_8B_059158 transcript:Et_8B_059158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVQVPPSNLSDNFVKFLETEEEVDVTFTVKGEAFRAHRLVLAMRSPVFKAELCGAMKHKGMESITIEEMEPAVFKALLHFIYTDSLPDDLDGDDIVKHLLVAADRYGMERMKLMCESILCRNIDVESVASTLALADQHHCNKLKDVCIEFINSCDRIVDVVASKGYEHLKRACPTVFMDVWERSVKTVEFSTPNFTPVLRTVKEQKIIVQAGNMSDGYRWHKYGQKIVKGNPNPRSYYRCTHGGCPVRKHVEKAPDDDNNIVVTYEGKHNHDEPFRRDMSISVIPQSVITAEQPNTSTSTSLITPSATTTEQPSASTSASDKKLPTSTQKVAVVECVKDTALKLGGEKAIESAETLLSVSTNSDEMKNSVLKETSPAVPVQNSPLPAYARLMSNGGDPARCYTGVNAKLGAGSKSFTAFFTASTSMSISFPVADEMTVQLALSSTHGRNSLAFSAEENSATLDEKESKDRARHGMRNTAAKTELTLCDVTSLFSYHGMSRALASWTAAVLVATTPAESLMRQVSFAVREGRVRTLSLNLI >Et_6A_046553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1723551:1727342:1 gene:Et_6A_046553 transcript:Et_6A_046553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLIRHWKILRGDNVMIIRGKDKGETGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVVDPVTGKACKVGYKYLEDGTKVRFARGMYASGAVIPRPEILKERRKPRPTSPGPKDTPIELVQEKTYDEKAGLGGTFWSRGTATCRRDHEAALATVDIQIT >Et_3A_024793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24245367:24248348:1 gene:Et_3A_024793 transcript:Et_3A_024793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSGKRNPLPAALVSNLQSVLAARRPAPAEDVGTTAAAEAEVPAAEAPDVPEGDGAPERPIVLLTCAEGIRSPGLAALVDALVAGGRCDVHVCAPESDKPACGHSITIRETITATSYEFTGAKAFEISGTPVDCISLALSGRLFSWSAPALVISGISTGSNCGYEMFHSSAIAAAREALMYGVPSIAISLNWKKDESKDSDFKDAAQVCLPLINAALADIEKGTFLRGCLLNIGVPSSPSTNKGFKLTKQSVNSPAQSWQAVSSSRPSSAAHFMGMHQSLGIQLAQLGKDASAAGAARRVNAQRKLVEVESVASAGKQEVREVVKKLFRAEHIEKEQKGLDEDIDLRALENGFISITPVNLIGHLDPEIGATASDWLSAVVSPDKENPPAVGDQQDAPPADEENEVPSAT >Et_2A_015290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10486853:10497150:-1 gene:Et_2A_015290 transcript:Et_2A_015290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVELHCLADQASLVPVSRVRMRTLLQAPVDAGATVGGAGNTLATPGTDLTPPSSSSSPSPSAGSTPPPPDFSSSTPPPSTSSEPPPPSSPTPPPPSPSTPPPSPPDLSPPPPSPVDPPPPVAPAAPPPAASSPPPPSPASSPPPPPPPPPDVAPPPPDNTAPPPSPTPPPPPSAPAPRSNAPPPSSSPPLPASPAAQPTASPVAATPPPPVDYSPPPPARNSTAATHAPPMPAVASTAPSPPSGGGMSSGATAAVAVVVVLAFLGLAGAFVCMSKRRKRRQADRYYAGFGAPVYAPQHLSGEAPFLRAPSAPGSANFSMGGGMPGGGIASIGSQGPARSVAPSSGELSVGNSKAFSFDELYEITGGFSRDNVLGEGGFGCVFKGTLADGKVVAVKQLKGGGGQGEREFQAEVEIISRVHHRHLVTLVGYCIAEDHRLLVYDFVSNDTLHHHLHGRGRTAMDWPTRVRIAAGSARGLAYLHEDCHPRIIHRDIKSSNILLDDQFEAQVADFGLARLAENDVTHVSTRVMGTFGYLAPEYASTGKLTEKSDVFSFGVVLLELITGRKPVDSSRPLGDESLVEWARPLLNRAIEDQEFDGLVDPRLEGNFDDVEMFRVIEAAAACIRHSAARRPKMGQVVRVLDSLTDIDLSNGVQPGKSQMFNVANTADIRQFQRMAFGSQDFSSDYTQSRSSISSRRDYYSCTNP >Et_2B_019122.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:11439507:11439740:1 gene:Et_2B_019122 transcript:Et_2B_019122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYVTKSPREAYVSYRDLDIAENLVVNDVSTFDSGKVWGEKYFGANFQRLAAVKGVVDPTDYFRNEQSIPPLLQGN >Et_10B_003593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3582396:3588662:-1 gene:Et_10B_003593 transcript:Et_10B_003593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVSPTPSSMSTSSSPTTSHSKHCTSAATVRVTSRIARPSPGHRLRPSPNGSIGAAAPPTPAPSMNRSGLKSSGASQSFGSRMRSTNSRGRQTAASGVAIGIVSPTPSSMSTSSSTSHSKHCTSAATVRVTSRMARPSPGHRLRPSPNGSMGAAPPAPPASMNRSGRNSSGASQTFGSRWIAHALTSTIVPRRTTATSPPTVMRTSSMASCAGITGPTGRRRRVSFTTHCR >Et_2A_017542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35305997:35307470:1 gene:Et_2A_017542 transcript:Et_2A_017542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFDSPTSSPAAPAPFHDDAFLSFDGSAADVHVSGDAFPASPGPYAFRSDAPSPFGMPEANGGLHDDPFAADAAASGPVLPPPTEMGREEGFLLREWRRQNAIHLEEKEKKEKELRSQIILDAEEFKKAFVEKRKLNVETSKGQNREREKLFLANQEKFHAGADKQYWKAISELIPHEIANIEKRGARKDKEKEKKPGIVVVQGPKPGKPTDMSRMRQILLKLKHTPPPHMKPPPPPPAAAAAGKDGAAAAGKDGAKAAAAPANGTVPEMEKAAAAPAAAAEPIAAA >Et_4A_032938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15955691:15960833:1 gene:Et_4A_032938 transcript:Et_4A_032938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSADVIASLDGRRLMNISSVIHVNLNEQKTWAWLGPIYDDLNISVVKIKPFPGFGLPCLQHHLQSRSNGNVIAIWRCFKSGKLVRSTGVITKKSVECGIGGPLIDYDGNFVGMNMSSDDGGTLFLPEGTFRHEREKMKRSLSSTSDSESELPHEFTKALIDDLNSRGYPLPETLDAGMYLRGNFEEEFSEDTKLTGEIATNTSLSVVALESFRETFKVRSDNIIASKLKITVRLPNDRKVPGKLQHYCLKYNIAVVSIRKFRCPQKANLCVECPAETVNRAGIGGPLVDFHGNFIGMNFYDLKTTPFLPGNIILEQLKHFDMKGIGVLCAATVLPRAFEYLLPLANDLTCHPGADPEI >Et_2B_019858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14712184:14715066:1 gene:Et_2B_019858 transcript:Et_2B_019858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAADCPFSHCLCRWINYCSPRIPRIASDGEYISTPVHASDSPAWSLLAGILSVSDDGDRSLRLQRFRVAYSGRVLGRSCGALETLGDDCYKAKNASSRIRASTATRGPDGRSLSLFLFAWEFDLLDLKRNEPARPLELRLELGTAERSEITLSSLPRLPERVKPHMPICPISAAGHLWAPYLTERYGPCILVMQRFNKDTNEWVEVSSIDSRRPRTSARMQEDAIRPLQGSVVIGDTILLSLSPYHLFFTFDCSTNTWAEVVTTKTERLYYVPIHERGVYVKEDDTIYFLYSGIVYAYKLFKDQNGYQKLAPPISIDCIDPFYNEDSGYLAHLGGGVMCAVWISANLHCNCDTKHVRITTFRVKGGSHQQQFVPKGIEVLHSTHRMLDMSPSKPSKSHLDFIFLQEYDELNHEYATPPAKRKRGDTTAPSMLVEATKIPSSTYVVEYSKTLACCRQFFNESQLINVAMCEGSAIKNNNTLYMICQAANRSTVCKINILNGRLMCHDETLTPHFTLDTFYPIDEDDMMDQPPSWHFFDSIEDLIYAVPSTEDDNFHACDMSTEFNQLYTFTRPTGSVFHLVFRVGLKVFALSDNLEGVYRLDPDIYHWKFYETLAPACIEKKVCFSEYVVLDAETFIVSDVESNCFMLKFHEHDSEFLGYYGEWKLVMPYAEYEISSQDQTPLLEGLLNGSVLNGRSFFIGGFIYTCSDGGLAAYELIEHCGSHYRGDQIDLQLSWLKCWEEERMCLVHVAEDTSSGVIMFCVLQVVFSTLLDDYISQAGYENKQPVLITTVQVKTERLHNGNLKPMKIEHVDMAMSSVELDGKVHIRNCWAR >Et_6A_046776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20389432:20396738:-1 gene:Et_6A_046776 transcript:Et_6A_046776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAADDGGMGDECHAAQVVSADGEMDSAAMERFAAAAGLPGLGLSYAVVSILGPQGSGKSTLLNHLFGTKFREMDALRGRQQTTKGIWIAKAVGIEPFTVVLDLEGTDGRERGQDDTAFEKQSALFALAVSDIVMINLWCHDIGREHAANRPLLRTVLMRLFSPRKTTLLLVIRDKTKTPLEYLAQALKEDLQKIWDSVEKPEVYKEAELSEFFNVEVTALSSYEEKEELFKEQVGQLRQRFCHSISPGGLAADRRGVVPASGFCLSALQIWKVIRENKDLNLPAHKVMVATVRCEEIANEKLRHFVSDKSWLELEAAVKSGSVPSFGIKLSAILDFYLSEYDTETMYFDESVRIAKRQQLESSMLNHTYPALEMVIENLHLVVLNKFKSDLKQSLRRREGFAESVRRCAQASMAELDAGLRDAAVKYVGWDTSKYKNNLQQHIQDHVAYIRNTKLAELTANYKKKLSDKLAGPVQSILENGEKDAWASVRRLYRLETENAALELSDSLLEFELDQATSNKMVSDLREHARSVVEMKAREAAGNVLMRMKERFSTVLNRDRDSMPRTWTGDEDIRAITREARLEALRLLSVMAAIRLDDKPDKIERALVTALLDGGALSQKRSIEFGYDPLASSTWGEVSPMETLITPVQCKSIWRQFKAETEYAVVQAISMQEAHRLSKNWLPPAWTILLLAMLGFNEFMFLLRNPLYLLGLLVALVLSYALWLQYDITAYFRHGTLSSLLTIVSRLLPTIMDFVTAIVNMGHNQKHSTHPSHRPPPLHTQSFRNQTRRQAQVQYESPDSPSSSSSVNSNDS >Et_1A_008784.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12825872:12826081:1 gene:Et_1A_008784 transcript:Et_1A_008784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVIATVLFVLLTPGLLFQLPAHGRIVGFGTMHTSGVAILVHAVLYFALITIFLIAIGVHIYAG >Et_3A_027062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31201927:31206019:1 gene:Et_3A_027062 transcript:Et_3A_027062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERIPPPSLLQFSPSPVHSSPHPLNSMRYSASERERYLAELLAERQKLAPFVQVLPFCTRLLNQEILRASSIAPNHNFVDPERIEHGSPLRLPGHPVNGQPMDLEGWSGMQPEHMGVLQASSMGWSGAPTLSGTPVVKKVVRLDVPVDKYPNEDKLRDKPGYEHLNEPLHVLVEAEFPADIIDSRLNQAVSILEDLLKPIDESMDYYKKQQLRELAILNGTLREESPSPHLSPSVSPFNSTGMKRAKTGRYT >Et_1B_009892.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9102714:9103328:-1 gene:Et_1B_009892 transcript:Et_1B_009892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLASDFLPPKTSSETSLSCLRFLDLAIFWIVLGLETRCSLVPVRRMFSCFFFSRSSRAFRLATLFARISSLSSALRAWYARFSDFSRTRCSSGVGFRDATTAEARRVRGSFQRRPDTRPAITPS >Et_8B_060451.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:16800169:16800729:-1 gene:Et_8B_060451 transcript:Et_8B_060451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRLGRGRNASRRRGCEDGRTRAASARRRWSPPSTAAMRTSGLAIDGRRAAPSPLGNGAVAAATDGRRAACALCRAQRKEPGCVVGEHEHALFRGGAGTAGCAKRRTGGATVGGRGRELALRAAAAAAAEAFAAAAMVLGGRRVGVAALAIIQNSEADELELGEERSGGDSNLVEVLRRGCGQT >Et_2B_022956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9306437:9307555:-1 gene:Et_2B_022956 transcript:Et_2B_022956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTSLWLANAEQPTGSGKTKLSIDMAQELNGEVLYAGLNITTSKVQLHGRLDRRPPPHPRLGRGSNSLIHALLARRFDPASPKDPCCLLWVHVDDALLAEYLDWRVDNMHGRGAPGELRVQRLDSSATVHVRLDSAGPATESASWERDVLGPGLAAIRSFLADRTPPRDINANDDGEMEAEEEEVHHGTNAPKPMWPLCKFGPSFASVLKQQLQEWGRDTSRIGTAILVVPNFPNMNILPGHLTGSRGWSVPMPDREVN >Et_3A_023686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10960529:10965541:-1 gene:Et_3A_023686 transcript:Et_3A_023686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILWSANLWFFVLYATRDQPFEGACGVVETMSRRNSRTIYVGNLPGDIREREVEDLFYKYGRILDIDLKIPPRPPGYAFVEFEDPRDADDAIYGRDGYNFDGYRLRVELAHGGRGQSYSSDRPSSYSSVRRGGVARRSDYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREAGETIGIVDYTNYDDMKYSIRKLDDSQFRNAFSRAYIRIA >Et_7A_050972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12985829:12994153:-1 gene:Et_7A_050972 transcript:Et_7A_050972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALVSLAPAQGAEEVRRDASAIRRYRALPLPPIRLPGAGSLGRVEELSLKWRQGRWEEETPAAGAESDAAKGLCSMYEAAGEAMDEWDSISVAIPSGDLGKLGAYFDWLRTKVSVVEMNWKASDEVAVPAETAVKDTIQLDVVGIEELESSSRRLDSKDLGHFKASPIVELPESIDSFGNQSIVYKDYQYKELKLGRQVEESEMDLKLLQNMEREVVSSPNFGWPIQQIQHQVIVNTLRRSLVNNNDNSRHSFEYFDKEETIVAHLVHGIDLSIKTPSDWPLSSYGLRLTSICNSGTHPTNITSSLLEKTKVNLSDKNESNIPLSNHTRFGVSSKEAEHTQGNTTTLLVHKDVIQRLINCTVEIRGRGRAGRLGDHVSDLKRKREVLDAESEKNAVAVENPVEARTQASHTSIAIVVGKEYCDAEERVLTVRKTSLFSMATASRTAHGRPRLPRRHLQHTGGLALLDPTGEPLFTVDPLLLRVDGSFPRR >Et_3B_030308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32171435:32172346:1 gene:Et_3B_030308 transcript:Et_3B_030308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRYGGFSVLCLLCAGILAWVPVECVHHSSHLQHLSIAQWHPNPARAGSISSKKASSSLHGTPARHHALPPLSAPPPTAGADLPPSRAHFGFPLQPTFGFAAPPPVGPSGGEGYPFIGSNPTVPLPTGMTDTASVLPLPDTGAATDTKGVGYATSVQVQITMIGLAVVFSTLFLS >Et_4A_034712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5747110:5749512:1 gene:Et_4A_034712 transcript:Et_4A_034712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPATAAAMGTVEELVEKAGGCAVIDGGFATQLEALGADINDPLWSAACLISKPHLVKEVHMQYLEAGADVIISSSYQATIPGFLARGMSLEEAEGLLRTSVNLALEARDEFWKSALRQSKPIYNHALVAASIGSYGAYLADGSEYSGSYGADITIEKLKDFHRRRLQVLASAGPDLIAFEAIPNKMEAQALVELLEEENIHVPSWICFSSVDGKHLCSGESFADCLQIINASNKVAVVGVNCTPPQFIEGIITEFKKQTKKAVAVYPNSGEVWDGRAKRWLPAECFGHMSFDALAKRWQEAGASLIGGCCRTTPTTIRAVSKILKGKAGH >Et_2B_021675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4376277:4376556:1 gene:Et_2B_021675 transcript:Et_2B_021675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRPQASLHQASDPSTFSSPQTPQNAARDRECGGEEARLHANADLGGQKSVVARRLELPQVASRIAFVEEETTTTRSS >Et_1A_007205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32029994:32031252:1 gene:Et_1A_007205 transcript:Et_1A_007205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQQGCSSSVNFMFPRASSGASVSAVHGDAGMGYCLVKKNKNGLLLLEWAVTSARIGPILN >Et_2B_020356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19252235:19253176:-1 gene:Et_2B_020356 transcript:Et_2B_020356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYGHKHLSSLKELQLLDMTTNFVVWFNAQKSYTATLNEWLKKGIETLRSTPVFTICNWSTNMARISHMEAISMMHTFACINFMKQWRPSL >Et_2B_018998.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22463944:22467183:1 gene:Et_2B_018998 transcript:Et_2B_018998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVIALGPVPEDLAHLPICFDGSRSQHCMPGSQLQDSILIFLAVPGAPPMPMSILGSESIAQVKLRIQRFKGFVVTKQRLVLDGHELARNNCPVKDYGLAEGNVLHLVIRLADLRVINIETATGKKFQFQVDQSRNVKYLKNKIVAEGDEDIGNLEDHKLEYDGEVLEDHQLIADISKRDDAVIHLFIRKPAKVRTQQVDKDTLVTVVNPQEKDNLQKEVKPAKPAGVKPGLVEPIIVNPKVKLSPEVMKMISSTIAGLENGHLPVMSAEGSGGVYFMQDATGQKNVAVFKPIDEEPMAENNPRGLPLSTDGEGMKRGTIVGEGALREVAAYILDHPVGNHKSGHSVGFSGVPPTALVRSLHRGKSFKIGSLQMFMKNNGSTEDMGPRAFPVKEVHKIAVLDIRLANADRHAGNILVCKEGEGGSYKLIPIDHGYCLPEKVTTFPCYLAHYFAS >Et_2A_014594.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:26392387:26392686:-1 gene:Et_2A_014594 transcript:Et_2A_014594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYTTTNSSYSSLSAACGWSNSSDTFHNLTKSYNQQLNDTSSLSASLIMFVVTALFFALNLFSRLSDGSAILDPKVRVGLTSGLSVFLLVMSYLFSE >Et_5B_043641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13363782:13374187:-1 gene:Et_5B_043641 transcript:Et_5B_043641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPAPWKAEYAKSGRASCKTCRSPIAKDQLRLGKMVQATQFDGFMPMWNHANCIFSKKNQIKSADDVDGIDALRWDDQERIRNYVGSASAATSSMPAVPDKCTIEVAPSARSSCRRCNEKITKGTVRVSAKLEGQGSKGVPWYHVNCFLDLAPSATIEKFSGWETLSNEDKGTVLHLVNKNDGNKEQNKGSKRKNGESDMHGGKALKLDGSISQGTVGNKGKVVEDDSNSSSADLQQKLKEQSDLLWKLKDELKKHLSTAELRNMLEANEQDSSGPERHLLDLLMECYLEHWLLVRSALAPSTIIMYQCSGNVSEWSKCTYSTTEPVRNRKKWQIPDVTENDFLTKWFKSQKVKKPVRVLPPMSPEKSGSKATQRTRLLSSDGLDKLRFSIVGQSKEVVDEWIQKLKQSGASFHARVSKDINCLVSCGELDNENAEVRKARRLKIPIVREDYIGECVRKNRMLPFDLYKVESILESSKGGTVTVKVKGRSAVHESSGLQDTAHILEDGKSIYNTTLNIYYILQIIEEDDGSECYVFRKWGRVGNEQIGSQKLEEMSKTDAIHEFKRLFLEKTGNPWEAWEQKTNFHKQPGRFYPLDIDYGVKQGPKRKDISEMESSLAPQLLELMMMLFNAETYRAAMMEFEINMSEMPLGKLSKENIHKGFEALTEIQNLLKNTGDQELAVRESFIVAASNRFFTLIPSIHPHIIRDEDDLMMKVKMLEALQDIEIASKLVGFDSDNDESLDDKYKKLRCNITPIPHDSEDYKLVERYLLNTHAPTHKDWSLELEEVFALYRDGELNNYSKYKNNLHNKMLLWHGSRLTNFVGILSQGLRIAPPEAPVSGYMFGKGLYFADLVSKSAQYCNVDRKNPVGLMLLSEVALGDMHELKKATYIDKPPRGKHSTKGLGKTVPLESEFVKWRDDVVVPCGKPVPSSVRASELLYNEYIVYNTSQVKMQFLLKVRFHHKR >Et_5B_044390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23707346:23710137:1 gene:Et_5B_044390 transcript:Et_5B_044390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPTEQLLLLSLFLVLVAADVANVVAETTLPVPTRWPEQFHAMLLTNMTESGGRLELIELYYDWPRGRNLNVVRGQLSAAGEPLYNVEWVNGSSYLFDAASCVATWHPVGILPPGWVDGAAYLGRDAVDGFDCHVWSYLPFFVAYYEDVATGRPVYWNFAGALRHVMSFETGGVPDVSSPKWQAPAHCFNSNAGNVAADGELLLLPLLLLATVAAANAKTTPPSVAGVAPTAPTPWPEQFHAVMFTNLTESGGRLQLIDLYYDWPRGRNLNLIRNQLSGDPLYDVEWTNGTSYIFDSATCRTIRFPVGILPPDWLAGATYLGRESIDGFDCHLWTKVDFVWYYEDVATGRPVRWNFFNGMQQHVMSFEVGGVLEDSKWQAPAHCFNSDNADTANNVADGAGGGVDVMNSMIRFAGAPAAAAVASASFNQ >Et_9B_064264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13447245:13453844:1 gene:Et_9B_064264 transcript:Et_9B_064264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSGNKKGKDKVVLPPELPDIDDDELVVSDEDVEFYSSDKRGADLVRKFDQKSIDRYVRRVTGKDEGEVERLYEERERKRKATDALRPRGEQDEDMEVDPVDALPIKTLQGELVYNNAKKAKPEGNFSGIKSKGKEESAGAKPVQKDERKGKSKNQKGDSKPQHVQSQTEVPKGKLHSEVLEEVKEELSAEELFEKKKGQLAELGMAMLEDPESNIRSLNDMLSISNDKDQKVVKLGLMSLLAVFKDIIPSYRIRQLTEKELAVEVSKEVKKTRYYEYTLIRCYKAYLQKLISLEKQTNYYSVAVRCMCALLDTAPHFNFRESLLASVVKNLSSSDDVVRKMCCEAIRSLFINEGKHRGEATIEAVRLIADHVKLNDCQLHPDSIEVFLSLRFDEDLGKDDEEEIEKPKKNKRWQNKEVSKQLPASDKKKTRQELISKAREEVHADLRAVSFTLNPKERRRIQQETLSALFETYFRILKHTLNTSVSRSKGNNVSVSHPLLGPCLEGLGKFAHLIDLDFMGELIACLKKLSGYTDYQDKSPRVDSLSVSERLQCCIVAFKVWRNNLEALNVDLQDFFVQLYSLILEYRPDRDRGEVLADALKTLLWEGKQQDMLRAAAFIKRLATFALSFGSAEAIAALITLKHLLQKNSKCRNMLENDSGGGSLSSLVAKYDPEAKDPYLSGGLASVLWELSLLEKHYDISVSSMASNILSMANLNPTQNPVPILNVNPLEAYKDLSIERELSKPPGKVLTLNCKRKRRGKEFVALSPDVLKAEILVDEDDLKEKLESHFAVLRGISENERLRAELNHTMSSINMYKEYKKQKKKNMKSKTGRKKVAKP >Et_7B_055543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13869312:13874647:-1 gene:Et_7B_055543 transcript:Et_7B_055543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSDAASPASAAAARDAKKKRGNRTAAKLKQSKLETRRGQWLSQVQGKDGKDAKIAPSPTGAGSNAGSPILASPHPPLPRRRADARSRGSDPDDREETGATGLEVGSSDLDSPISSPVSNNSQGGGCPLRKGCSGNDGGPSLSSGSSVWSSSRSVSDAEDDDTGCPDDDSGVLDDWEAVADALSVDDSHCHQSPGPTMPPAPPTNATPADAARPELIRSKTRAWAPDDVFRPQSLPSISKQASFPASIGNCWAGIGLSGQQAILSLPLSCPICCEDLDPTDSSFLPCPCGFHLCLFCHKRILEADGRCPGCRKQYNNVSAAGGGNAKATAVGIGREMANYFANLHILKMTVDKKGYHIGSGHAWRCAHVVASFVNSPNKLRLHGIRTEKHNSLMGARTANIYTTLEPNNILQ >Et_10B_003534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2739191:2740713:1 gene:Et_10B_003534 transcript:Et_10B_003534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISSIHLCNEAVFALESANLRGLCMLSLILGSNITDADVACIVRSCTSLELLDLSGVQAAAAPLPLLRLMDCGKSLCVKPQPEAGRSYFGDITGGIKFCSKLAIQKKQQPTYEKLINKHNNLKNLSLWGCSAIDALYVNCPELVDLNLNACTTSSSIHRYVICSNLEKPCAERLLLHCPHLKDVHVSGCHDMLIGAIRNQVLLSQPCWRRVDKFPLLLIGNGLLELNHHESSLIMNHQLWISFWQVLNEFSMAEPQMSCKLAHRSKRVPSPSLHAITGQKYATLPKPLTCKNQPLRSCLLSFVQSCRCQHLEEN >Et_1A_008083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4199031:4199483:-1 gene:Et_1A_008083 transcript:Et_1A_008083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRKFVLPVIMAVMLLSVAVVGAARPLAGEKWAGEGTAGESVIRVLRQRLSGPGVRSLIKDGLVQNFRRKEYSRQKLQLCDWP >Et_7A_053104.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6615879:6616127:1 gene:Et_7A_053104 transcript:Et_7A_053104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKESSKLTPGATKQPEWLEQSLEILLVGEAEMMQLLPLGEEDVTMVHFGSKPRDSDSEHFSDGSSGSPFAAATLKLLAGPR >Et_6B_049679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8532376:8535204:-1 gene:Et_6B_049679 transcript:Et_6B_049679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYEEEDVKWPQERTAVGRRRREQQDPTAKVRAARVAGASVLAGDAIFRREEIINGLGNHHGSGQATITGIGKALPENVFEQKCFPDYYFDLTGSNLMLDLKARFAKICEKTTTEKRHFYMSKEWLESNPSATAYKSPSLTLRQEITDEGVPRLGAEAARVAIRDWGKKATDITHLVVATTSSGCLPGADWELVKLLGLPYTTKRLMMYQAGCHSGGSALRVAKDLAENNPGARVLVVCCEVYALSLRGPSESHIGNLVGQAIFGDAAGAVMVGAHPAADERAVFELVSTSQEIIPGTGEAIVSKLRDEGIVFTLHPDVPKHRALRKTTTAPLDLNDEVFWVLHAGGRQILDKVETTLGLRAEMLAASRHVIRQYGNTRSSSVILVMEETRRRSKEQGLATAGEGLEWGLLVGFGPGITVETILLRAPPHN >Et_2B_020432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20029799:20031470:1 gene:Et_2B_020432 transcript:Et_2B_020432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATVSCRLLSPASSAPPRAVQLPKRQTTFAASPRHSASSKFRVLSLLHDKPVPPTAEKESSQLQRLASVLQWGAVWAAVNAPAALAVTGEEDLDLLAILPPVAAFGFLYLFVAPPLIMNWMRTRWFKRRFVETYLQFMFTYIFYPGLMLWAPFVNFRKFPRDPTMKYPWSKPKEGTPLFKDRYPPIQTYK >Et_10B_003408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19495209:19497322:-1 gene:Et_10B_003408 transcript:Et_10B_003408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSSGLLHRRIDRWTREHIPCLSSPEQPRAREEEEAMAALRHLAGPTYIHHPHGVSAATSSLQLRRASSSCCLRPLRSRFTRVYALSSNDIRVGTNVVVDGAPWKVLEFLHVKPGKGAAFVRTKMRNYVTGNTVEKTFRAGSSLEEASLSKEIKQFTYKDGSQFVFMDLTTFEESRLNESDVGDKQKWLKEGMDCILLYWNGRIIDFDLPITVRLTVTDCDPEQSDSAQGGSKPATLETGAVVTVPSFVKVGDDILVDSRTGQYMNRA >Et_1A_006516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23540628:23546716:-1 gene:Et_1A_006516 transcript:Et_1A_006516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IGVHSLSSTGAFNPRPNSSPPSRAQRRRPLLLFAGSSARRSAVCFHRSSFRPVERRSDWFLVLRQQNLEEHEPLSSAFDGSSLASGIVAVDTNLDTSTPDTYRAPPAPLPYDVVLAVPDNPVLEKSDVKSKINDQQESKDDQESLKADESCKKGVLEEKPDEEDVCPICLEEYDEENPCSVTKCEHHFHLCCILEWMERSDTCPVCDQLMINLNQNKTSTCRLDLGDFQSFQRMSAGEQPSGK >Et_4A_035640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3240192:3241035:1 gene:Et_4A_035640 transcript:Et_4A_035640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSRFSMDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >Et_5B_044544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3354063:3357792:1 gene:Et_5B_044544 transcript:Et_5B_044544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGFDETGLDKSDSLVQQAIEFRESWNSKWHDLYGRFDDVSVILPMRYTYIPAPPFARPCDALQIYSAKVATNRGGLQWPLHVFGMVAVRDSVDRSRNLVFYRRRDDCQTLTEKFPTSFGLDVVKRRCMQDPYLVLTGPSRPVAVTDPASDPVIIEFDLKVKGAVESDDKYLSFLAVPLTCSSGYGSCSFEYTSKHSTLELTVGEIGSSVEATIFIQIVAGSWPNGFHGQFCARTDNIGHRKIVLLDFGDHKVPLVNADGYVRLSRQVVSVEVCGELKVSVEAWKDGCKVVEEEVAFTPEKAGRSYGALCLGSSKMKVLVAWSLISPEPNPHGDGKWKKGAEQERLGDLKRLLWKKKSAEIQEVARKRRMKSDFEETGLDESDSLVQQAIEFRQTWKSKWHGLYDRFEDVTVILPMRYTYQHAPLLARPCNSLQVYSAKVAAIRGTFQWPLHVFGRVAIRDSVDRNRNLVFYRRRENCQTLTEKVRDVSSITYQLSGCSAFIIIFSYRLLDPYLVLTGPTRTVVVTDPASDPVIIEFELKVKGAVESDDRYLSYLAVPLTCSSGYGSSSFEYISKHSTLEFTVGEVGSAMEATIFIQVVAGSWPDGFHGQFAASTRGIDHRKVVLLEFGDHKVSAIDKQGYVDLSRQVVSVEVCGELKVSVEAWKDGCKVVEEEVVFTPEKAGRSYGDLRLGSCKLQVLVAWSLVLPEPHPDVPDSFEGYLDDS >Et_9B_065643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8526009:8537955:-1 gene:Et_9B_065643 transcript:Et_9B_065643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPNLDNAPLNLAALREQSQKDLLGILKSIRGKKCLVIDPKLAGTLSFVVPTSLLKEYGAELRLLSSDPLQTECQKIVYLVRSQLSFMKSIASQIKSDEPKGLQREYFLYLVPRRTVACEKVLEEEKVHQKLTIGEYPLYLVPLDEDVLSFELENSLQECLIEGDTSSIWHVAKAIHKLEFAFGVIPNIRAKGAASTKAAELLNSMQLEDPVNMEDMGIPEINTVILLDREVDMVTPMCSQLTYEGLLDEMLQINNGSVEVDSSIMGTQQDGKKVKVPLNSSDKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVKRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEICFEYIEDMIHKQEAIENVLRLLVLLSLTNAGLPKKNFDYLRREILHSYGFEHIPLLYNLEKAGLLKRQESRGNWAGITRALQLIVDVNDTANPTDISYIFSGYAPLSIRLVQHAVRSGWRSIEELLKLLPGPHVDLKRGGLKVNSSLEALPHSVSQQSIDRLGHRSLVLVVFIGGVTFAEIAALRFLSAQEGMGYDFLVATTKVVNGNTILRPIIANSKQGMM >Et_5B_045043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8824220:8832987:-1 gene:Et_5B_045043 transcript:Et_5B_045043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNAGAAARLLVLLGALLLVAGDDPYRFFTWTVTYGDIYPLGVKQKGILINNQFPGPQIEAVTNDNLILNVFNNLTEPFLLSWSGLQQRRNSFEDGVAGTTCPIPPGGNFTYILQAKDQIGTYYYFPSLAFHKAAGGFGGIRVLSRPMIPVPFPPPAADYTVLIGDWYKANHTDLKYVLDSGKALGFPDGLLINGRSWNGYTFTVQPGKTYRFRITNVGLATSLNIRFQGHTMKLVEVEGSHTMQSTYSSLDVHLGQSYSVLVTADQPGFDYAIVVSTRFTTKIISTTAVLHYTNSAGKAPGPLPGGPTTQIDWSLNQARSIRWNLTASGPRPNPQGSYHYGLVPVQRTIRLANSAPIIKGKQRYAVNGVSYVNPDTPLKLADYYKIPGVFTVGTISDNPRSGGAYLQTSVMGANYRDYIEIVFQNDENEVQSWHIDGYAFWVVGMDGGKWSPASRQGYNLRDGVSRYTVQVYPNAWTAIYMPLDNVGMWNVRSENWARQYLGQQFYLRVWTPSTSWRDEYPIPKNALLCGRAAGRRTRPL >Et_2B_019018.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24565698:24565997:-1 gene:Et_2B_019018 transcript:Et_2B_019018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSRACRPVVLPLLLMLLLVLSSSSPAWCMELSGASAAGDSNRRPARQLLVSPPSTSREKAEQQMRVAGAKKPFKQAAASLGRRRIPRSGWNPIQNRR >Et_2B_020498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20885821:20888362:1 gene:Et_2B_020498 transcript:Et_2B_020498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAAFNGVGLALQIPAIHAFVAESVDGANRGMAFGWLAVAGTAGNIAGASVGLLLAPTTLLGFPGWRVAFLLLGVLGAAVGVTIRAIAAGDVARSRHQASIKPVRQELLEFAREARSVLRIPSFQVIIAQGLTGSFPWSALKFTAMWLELVGFSHGETAALMTLFKVATSIGALFGGKMGDVLSRRFKNAGRIVLSQISSGTSIPLAGFLLLGLPNDAATAAKHGAALFVLGIMASWNGSATNSPILAEIVPPRAMTTVFALDRTFEAVLASFAPPVVGILAEHMYGYKMSRSADDGGDNAAAAVKMERHNATSLAKALFTAFAFPMALCCLIYTFLYHTYPKDREIARAEAARDGGEGSDAEDGQEDETKLLPLGSSASGAANAMAEQGRRQRRSRRAMLLLAFAAQAMERADAALLPAVFREIGDAMAVTAAFNGVGLALQIPAIHAFVAESVDGAGRVMVFGWLAVAAKAGTVAGTSVGLLMAPTSFRGGGSPPPARRG >Et_8B_058534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13942318:13942908:-1 gene:Et_8B_058534 transcript:Et_8B_058534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGRRLTQLQVAGVAGQRGALLREPPRGVELVVGGGALGVEEAVHAGETLEGQQLEGRPQVAAAALHGEARAAGEGRCGAEPAQREPQVRLGGLRRCRRGAAECGELGRRHEGLRDGEVAGRVHEQVLRDEGPDAINWCVGGAHRLREAPRRDGEQPAGVAAVAGCLRCPVPDARPP >Et_7A_052823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18085086:18090879:-1 gene:Et_7A_052823 transcript:Et_7A_052823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDGGTSKSTNLRLLLPFTQSSLCVPDELAQEIDTSGEACLHVKLEQDGDGAFLGYGWPEFVAACGVGEGWLVVLRRRTLNDNSPQSGSSRAVTARGSRGQGRDARGRARRIAGAVGTSLLNQSPFGAACYNGTSWWSANRACWCLRPRRSSFVPADCRLSTFTSSPVVVVESLAKSFCDAVKLQGTCTIKLRASRNNTE >Et_8B_060061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5533178:5536726:-1 gene:Et_8B_060061 transcript:Et_8B_060061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LEGQAGKIRFASLPPALPSSSRRRPRGCQIPRPHTIPSHENPTKLPNPCALQAAAMASSSGSGDKRSPYPAVRRRIVVTHRLPLLAVPNPEAPHGFDFSLDTDALQLQLARDLPQPVVFVGTLPSSAASIPASEELAADLLERFSCSPVFLAADLHDKFYRVFCKRYMWPMFHYLTPQAPSSASFSTELYHAYLAANTQFADRVFQLLNPDKDLVFIHDYHLMALPTLLRHKSLHARISFFLHSPFPTSDIFRTIPCREDLLRALLNADIVGFQTYDYARHFLSSCLRILGLTSRSNRGHIAIDYFGRKVVVKILAVGVDAGHLRSVLALPETAAKAREIAAKYKDLTMFLGVDDIDVFKGIRLKLWAMEKLLIAKPELRGTVVLVQIINPAQSPGSDIDAIRADVQATRDRINGTFGSPEYDPIVVIEDPLQMHEKLAFYTSASICVVTAVRDGLNRAPYIYTVCRQEGPIANLLEGEPREGAIIVSEFVGCSLSLSGAIRINPWNEDEVAEAMSSALKLASQDRQMQQEKHYRYVISHDIKNWASSFSNDLEEVCEGHPSMNVVSLGLAMDFRVVVLGPNFKKLLPEDIHAVYHRTVNRLILLDYDGTVMPREANPKAPSQEVIDVLNRLCSDPKNIVFLVSGRGKDELAGWFAPCERLGIAAEHGYFTRWSKDSPWEEPSSLVANVDWKAIVERIMQIYTGTTDGSYIESKETALVWHYEEADPDYGLFQSKELKDHLQDVLAKNYPVSVKSGKQIVEVNPQWVGKGITVRNLIATLRTSGNIPDFILCIGDDGSDEDMFKAINSNPTVQDTAEIFTCTVGQKPSSAKYFLEEPSDVLKMLQGLTISPTPNSVPSNRPVTFE >Et_3B_029410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24862041:24865175:1 gene:Et_3B_029410 transcript:Et_3B_029410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLKAEEIGHPPMEQLQGCEYCIDSNPPWGEAIILAFQHYILALGTAVMIPSVLVPMMGGNDGDKVRVVQTLLFVTGINTLLQSLFGTRLPTVIGGSYAFVIPIIAIIQDSSFSGIADGHERFLQTMRAIQGAMIVSSSIQIILGYSQLWGIFSRFFSPVGMAPVVALLGFGLFERGFPVVGRCVEVGVPMLILFVVLSQYLKNVQIKEVPILERFSLFICIALVWAYAQILTSGGAYKHSTVVTQISCRTDRANLISSAPWIKIPYPLQWGAPTFNAGQSFGMVAAVLVSLVESTASYMAAARLASATPPPAHILSRGIGWQGIGILLDGLFGTGTGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSMLGKFGALFASIPFTIFAAVYCVLFGLVAAVGLSFLQFTNMNSMRNLFIVGVSIFLGLSVPEYFFRYSIAAQRGPAHTNAGWFNDYINTIFSSPPTVGLIVAVFLDNTLEVKNAAKDRGMPWWVPFRSFKGDSRNEEFYSLPFNLNRFFPPS >Et_2A_015411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12174878:12177993:1 gene:Et_2A_015411 transcript:Et_2A_015411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRGVFDALLLLLQFRSVMKNPRKPSSPHFGPMLPSRLKVTRVSLVRKPRKHKSRFVSPIMKKIDEEVKKKQNIMRTISALNQANMVRSISTTMSEHMGPSSRKVSKSNKSPSMSSKVGSHSKYSPIMNVSSGKLDKATTSRPSPKLNGKLGPLELSPQTRMNQGSVHIKISHLKAMRGLVHNKKGRSNLDHGATMGIQNKGGLGGAKDKNMRCEPMIELKILGDGTQIERGLGGTKDKNMRHESSPEPEIPGDRMQSEGAFGGTKDRNMRCEPLPEPNIDPNIARKRRKNREINDEDDRGTQGHQSDKDDSTRLPSDYVNLKDCHAKVSTSFVSNCVAQRCDCCSMPIDKPAWSGILKMPNKDYISLAGHLSTKSGEKVWNLSKSLRKVVAVTKVCRSDVWPKRWEASGPTSDNIGLYFFSYQMRCVACTFISKFSCEIRPDKYLDQLVKEAMENDLALRGEMIAGDGFFGSQHDNRTAP >Et_4B_039624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24206612:24206944:1 gene:Et_4B_039624 transcript:Et_4B_039624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKLAVSMVLLLCGFMVITCYNQGTEAKESKICPMYCLDNATYMTCPPNDFKRLEPVCNCCLADKNGCTIYLGNGGVEKCP >Et_4B_039467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17731958:17734497:1 gene:Et_4B_039467 transcript:Et_4B_039467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHPASPTAGAGGDKSPSGPPPVRLSAAQAVAAIQPTSPRYFFSSPAAASAAASSPHRRIGIAINELRRSS >Et_1A_008591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:900315:901581:-1 gene:Et_1A_008591 transcript:Et_1A_008591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAKPPQQLPLQLRQPPPPPSLIRHRSRLNRIAASQDPLTALARALWGRALPPAPLVLAVRHSWTTAWRVLMRQLAPSDPETGAFTRSASRFPTVVGTPSSRLHLYVGLPCPWAHRALLVRALLGLEDRLPVSVAVPGDDGAWSFTPDSPDALYGKRKLREVYAVRSGGFEGRASVPMLWDVQRREVVCNESIEIAKFLCGLAEGGDGLDLWPPELRQEIDRWYGVIYPSVNNGVYRCGFAQSQEAYDAAASELFGALDKLEAHLAGSRYLCGDRLTLADVCLFTTLIRFDAVYNPLFRCTRRKLPEYPSLHAYTRDIYQMPKVADTCDMEAIMEGYFKTLFPINPGGIQPLAPASCDRETLLRPHGREALSSAAGRQIEAAGVS >Et_1A_005501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10890993:10896524:-1 gene:Et_1A_005501 transcript:Et_1A_005501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLRWRRLAGAATRAASTLTAAECSPAALAPLSPPHRLLQERRKWEGSSSSSSGGSSSSSTDEPEPRRIRAEAHCPRCSKHMDILFSHRTPPPTAPAGAGGYQALNLCPNCRTAYFFRPHVLAPLQGTFVEIGRVRADLLDPTARARDPSFWEAIRASSSSRDDGDGSGVAVHVPPGPPFHPNLNVVRVAGGGGGGGGAGGSGEEGAAKEGWGGSNLGRDLPTPKEICKGLDKYVIGQDRAKKVLSVAVYNHYKRIYHQSIQKGSGADLGAFDGEADDDDNVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFAGYVGEDVESILYKLLTVADFNVQAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPVRANMRAGGISSAQVTSSLLESVESGDLIAYGLIPEFIGRFPILVSLAALNEDQLVQVLTEPKNALGKQFRKLFSMNNVKLHFTDGALRIIAKKAMSKNTGARGLRTILENILMDSMYEIPDAKSGEKRIDAVVVDEEAVGSVDQPGCGAKILYGDDALDRYLSQSKALGDGAGSEVDGEAERAIGM >Et_10B_003626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:465181:468456:1 gene:Et_10B_003626 transcript:Et_10B_003626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVSAAHGAMASLLRNLGDLLTEKYKLLKGVKGEVMFLKAELESMHAFLKKMSDVEDLDEEAKCWVKEIRELSYDIDDSVNEFLHLAGCDSGSSKPRGFKGFINRGMSLLTTINTRNKVAKEFRGLKSRVMEVSERHKRYKIDDAVTRIKNTNIDLRLMALYAETAGLVGIDKPRDELILWMDGEGVSANQLKMLSIVGFGGLGKTTLANQIYRKLQETKHQEDYENYTIKVGVAVLEDPNREICEESYLITVLREFLWSNRTFTELVSCILLLLMRYRTLLHENRSGSRVLTTTRIESVARACCTDHCGYVYKMQPLSEQNSRRLFFKRVFGPEGACPPYLEELSLAILKKCGGLPLAIITTSSFLATQPNNLKEQWEYVRNSLGSNFEGNPSLEGMRHILNLSYINLPHYLKSCMLHLGIYPEDYRIHKNDVARQWVAEGFISKFHGTDPEDVAKSYFNELINRSMIQPIVTDYNGEVMFCKVHDMMLDLVLHKSRQDNFTTVIDDIGDMARQHHKIRRLSLHLDVTTNEGVVGPVQLSQIRTLARFVELGFCFSGKILDFTGICHLFQLRYLKILGNVVPVMLPSKIGGLLQLETFHIETEVTSYNGISLRELPSDIVDMSQLLHMIVPEWKMFPDGIGNMKSLRTLGCIDLGMISPGNIKGLGELTNLTNLEIGRYYDDENSISDDQVAERAREVLRTCLEKLCNLKYLDMASCPFSACLDVLIPMPASCGNLRRFHAYFSWFSRVPGWIGKLVHLSDLMLHVKEVLKDDVGILAQLPSLTHLNLHIRGALKDKIIIRGSGFPALQQFELVCSRISYLMFEPGAMAKLESLYLVFSAQGWDRNGAPPAGIELLSGLKEISVDIECAGVDESDRRAAESALRNAVDMHPGRPTANIVCQAYGWFVFDDVEDECKGQEHGASAST >Et_2B_021150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2732489:2736764:1 gene:Et_2B_021150 transcript:Et_2B_021150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGGRSREAVEGELERLRAEREELDGRIRLLESQLEARFAGEGGTTAGPEAGDGACGGGGACQRRVGNGFAQDGGLPADMIYRYSRHLLLPDFGVEGQRKLSQSSILVVGAGGLGSPVALYLAACGVGCLGIVDGDDVELNNLHRQIIHKEAYVGQPKVKSAADACREINSSINVVEHHHTLKPCNALEIVRKYDIVVDATDNLPTRYMISDCCVLLNKPLVSGAALGLEGQLTVYHHNGSPCYRCLFPSPPPVAACQRCSDSGVLGVVPGVIGCIQALEAIKVAAAVGEPLCGRMLLFDALSSRIKIVKIRGRSPLCSICGENSVFTQEDFKKFDYENFTQSPLSDKAVPGVNLLPESARVTCRDYKKVIDSGEPHLLLDVRPTHHFQITSISQSLNIPLSVLEEKLPILETSLKETTEASGLGKQASLYVVCRRGNDSQIAVQLLREKGFISAKDIIGGLQSWALDVDPDFPAY >Et_9B_063782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1486879:1487161:-1 gene:Et_9B_063782 transcript:Et_9B_063782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETFIEILLAILLPPVGVFLRYGCVQVEFWICLLLTILGYIPGIIYAVYVLVA >Et_9B_065673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:921517:924320:-1 gene:Et_9B_065673 transcript:Et_9B_065673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEGAKKLNYFGHARIDILVQLREILFVAPAYDMLTNPVVSMAPAVEAVKKSTGSFQKVPALNERILSSMSRRSVAAHPWHDLEIGPDAPTIFNCVIEIPRGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDSDPLDVLVIMQEPVIPGCFLRAKAIGVMPMIDQGEADDKIIAVCADDPEYKHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPAKEAFEVIQHSMDLYATYIVEGLRR >Et_3B_031406.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28489445:28489921:1 gene:Et_3B_031406 transcript:Et_3B_031406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAPTAYYVPALGAGQRCSNFAPPIKTTPCATVASPTRSPGLASGARPRLTSRFRASAAVHKVKLVGPDGAENELEVPEDTYILDAAEGAGLDLPFSCRAGSCSTCAGKLESGEVDQSEGSFLDDEQMAAGYVLTCIAYPKADCVIHTHKEEEVH >Et_10A_000549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12537241:12540809:-1 gene:Et_10A_000549 transcript:Et_10A_000549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSGEVAAKRTKLSSSVADACEDCLSALHDDILVLILLRLGTIAEAVRTSILSRRWRRTWALLPELAFCSAPENRHVLQVLAAPDAPALRRILVVTKHDAPDSVGAWLPLAARRLSGDLKYHNSVEGREEEEGNGTIALPCFGKATKVVLNLGYFALTLPSSGTFTVLTDLSLERVRFRGTCELGEIVSSPRCPCLRKLSIQRAWGVARLTVQSESLLQMDLLYLNRLQQLNIDAPVLNEFNLHNCFGLNQQKPIANISAPRLMSLLWRDVYDPSYVHIGNLGQQQRLSPSIIMVYGGHHHKRYNREVLQLLKHFQVIQSLNIVLGYPQEDIGNFQYLMEDITYLPHVMFLSLYVMNGRHAFGTGSFHVLRLCTGIRRLSVVLHTNRNLEAESTCPSGCICDQPTNWKIDKLLLNCLEEVAITNLKGAEYEFAFLERLISWAGALEKLRITFDYSVSKSKAKELHQRLSCIPRSETQMEYYMYRDMASQLRGLALARR >Et_4B_039765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2924559:2926382:1 gene:Et_4B_039765 transcript:Et_4B_039765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQRKLVYSFVARGAAVLADHAEASGNFASVAAECLQKLPASNNRHSYNCDGHTFNYHVHDGFTYCVVATEAAGRQLPVGFIERVKEDFSKKYSGGKARSATANSLKREYGPKLKEHMKYCDQHPEEIDKLAKVKAQVTEVKGVMMQNIEKVLDRGEKIELLVDKTEDLRSHAQDFKKQGVKIRRKMWWENMKMKLIVFGIVVAIILLIILTICRDFKCW >Et_4B_037345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18739695:18742470:-1 gene:Et_4B_037345 transcript:Et_4B_037345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLLAGAAVTRSPGPALRRMLHIGGSGREGSGEADSVAYRMSMLRPPSSVRKKGLVSNSCSLIGRLAAPVRQHYNSCNENPRAYTFLSVTPSSPASSSSSSGFTVTLQLKGELANVSLKHLKYNDLVYVSGSLNSYQKVGASGQQHIFYKIFVNELNFVLDPSKRPQSAADSLGPSSTTFVTSQMQKENAYIDRLRLWQVFFANPYEWWDNRRSKPYVNYPDFKHKDTREKIWLNPEDPPWVQKQLELHDLEIAENGHKGNGHSLKNHNWKTQDFDYSDDDDEVQHSAEA >Et_2A_017761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:612876:613752:1 gene:Et_2A_017761 transcript:Et_2A_017761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSTTLATVSITCSSSYDDHVVCLAALQQLFYSSYSVLYFCSRYSVRSYFQSHHGRSPPLAIVRTVAFSTMIARPEKSCAGELQKSE >Et_7A_053050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5727255:5730339:-1 gene:Et_7A_053050 transcript:Et_7A_053050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKRLHFSEGRPKTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAERKQREEAERRRKQEEDRLAHEAREAERERMSERARRAREAGRLGAYIRKIENRRLGDEGTSRPTVGRQGDKGAVRGVWGPVARPSGDKGSNNPLNERQGARGPCGGPSRPTVGRLAPTPINGRISASDRPRTKEEKGKKRREEKRKKERRGEERGGGCYPGVLQLRYTRKGQAAGTVWWSRMEPWVLQWDQALQDVVQETRPFTSEAYEEYLHWYIRRTRTRVTHTPTVPQSHLPTLRDTYPTHRDQSSSLARIAVESGSILQRMRQGIQLTAHEISTAMKRIYDTAADIVKLTSCSSCRAHTDAVRHPSQSAHRAERPTFQQPTYTPMAAPPRPRPTFATGSSGWRPSVDAAGPSSQMPSMAPGSWIDDYDYEEEDEASRHPDRYSRRSPTPFDFDAYMAEGQQDTLPASQLAGAPLQTQPTQDHQDTPPAAVLRSTRQVVPPSPLTYLVGHVRAGRKAPKPGTVRGIPPKRGRR >Et_9B_064749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18323966:18328281:1 gene:Et_9B_064749 transcript:Et_9B_064749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTANPGVFVANSACGVEYGSSSILYHLKTGVYADIMCEFRAGKMSLQGTRVVPDTRKGLVRIGRGEEGLAHFQWLDRGQNIVEDDQIIFPDEAVFEKVSESSGRVYILKFRHDDRKFFFWMQEPNADGDSQICIQVNAYINRPLDGDADLPVPIEAEMSEDTADDDISSRAGNLVDQSMTADLAGEVTSAAGPVRLEDLQRILSNIQPSGAAADPDAGLGLGDILKPELVLPLIETLPIEQLTLHLPEGSWTPGDILELLQSPPLRQQVDAFTHVLRTGQIDLAQFGVDPNKFTVASFLEALEDSVAKDSAAGDKDAQSQRGEGSDPMDQS >Et_2B_019314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23011993:23012481:1 gene:Et_2B_019314 transcript:Et_2B_019314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFRIMAYQKSPEDDICYICGAEDSDHEEHFCPYNYIYGRYFDDTCTGGDNDCPRRRHRITSGNRRKFLRRFVGLTNEGCGCTTETRMTFAVVVFKKREDGERGPSMRSMATRRPGGNCEFIRFTLLLCSS >Et_7A_051544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20154150:20158116:1 gene:Et_7A_051544 transcript:Et_7A_051544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTMAAVFGEAQPDDDREEQQRRPFLFRADAQGHLRVVATDLHSLAWHRSLALADIHDLQDDVGIAGSLSDFLDYLYSSLSSGHVRILYSSSGSADSAKLMATKAKGLPRITISLDRVAPSSLSNVVAEFSLALYAAYKTKHEQAAAEQEQVRQLKKSLATERVGYLYQLKDSLFIQHNLCVRVSNRQEHEELCCKILKKMDSASETSHLTK >Et_1A_006107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17953909:17954652:-1 gene:Et_1A_006107 transcript:Et_1A_006107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICFLFLVCGRSRSSRRRVHMRRGGLRAGHVHGEVGLDPGHHLLQVRVRPRLDAGHQEHRLLPMQRPQVYVLIRSVPAVSDRPVRRRRHAKSMTLYVCCFSIACAGSFNSSCLDLSLLLPRGIPFSDRESITAFLSPESVFQLVCSDEPTQQMRGDPDLVSRACVAVNCGAGTCKKDEGFHYHCECEPGNVNMLNNTKYPCVDHNCKPFAPTTYDDAV >Et_2B_021738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:560575:564511:-1 gene:Et_2B_021738 transcript:Et_2B_021738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASMASTFGATSTRRSNFRVKAAKELYFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVQITRGIEKTAKALVEELRKLSKEVEDSELADVAAVSAGNNYEVGNMIAEAMSKVGRKGVVTLEEGRSSENNLYVVEGMQFDRGYISPYFVTDSEKMTAEYENCKLLLVDKKITNARDLINVLEEAIRGSYPILIIAEDIEQEALATLVVNKLRGSLKIAAIKAPGFGERKTQYLDDIAILTGATVIRDEVGLSLDKADKSVLGTAAKVVLTKETTTIVGDGSTQEEVTKRVAQIKNLIEAAEQEYEKEKLNERIAKLAGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAAKVDAIKDALENDEQKVGAEIVRRALSYPLKLIAKNAGVNGSVVTEKVLSNDDFKFGYNAATGQYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLTSDVVVVEIKEPEAAPVPNPMDNSGKFHGSREMHYMVTVVASPLHLANDMLFFPFAGYGY >Et_3B_029570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26010621:26013344:-1 gene:Et_3B_029570 transcript:Et_3B_029570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVVSIVDTVFRPLKDYFARTYGYEYNLDDIQRIIGDRLGVSWENRTPKERAGVLYRVLSKMNFVLLLDDVWEPLNFRMLGIPVPKQNSMSMIVLTTRIEDVCDRMDVRRKLKMECLPWAPAWELFREKVGDHIMSATPEIRQQAQALAMKCGGLPLALITVGRAMASKRTAKEWKHAITVLKIAPWQLLGMETDVLVPLKNSYDNLPSDKLRLCLLYCSLFPEEFSISKDWIIGYCIGEGFIDELYTEMDEIYNKGHDLLGDLKIASLLEKGDDEDHIKMHPMVRAMALWIASEFGTKETKWLVRAGVMLKEAPGAEKWTDAERISFMRNNILELYERPNCPSLKTLMLQGNPALDKICDGFFQYMPSLRVLDLSHTSISELPSGFSSLVELQYLDLYNTNIRSLPRELGSLATLRFLLLSHMPLELIPGGVISSLTRLQVLYMDLSYGDWKVGETGNGVDFQELECLRRLKALDITLQSLEALERLSRSFRLAGSTRYILIKTCSSLTKIELPGSNLWKNMTGLKRVWIASCSNLAEVIIDGSQEAVRSNALPQSILKARPVLVDDEQPILPNLHDVILQGLHKVKIIYKGGFVQNLSSLFIWYCHGLEELVTLSDEEQEMAASGGEQAAAFRIITPFPNLKELYLHGLAKIRRLSSSTCTLRFPSLESLKIVECPSLKKLKLSAGGLNVIQCRREWWDGLEWDDEEVKASYEQLFRPMH >Et_9B_065854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15151087:15155387:-1 gene:Et_9B_065854 transcript:Et_9B_065854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSSRLDAADVSPAAALCRERRDLLRAAAERRAHLAAAHAAYFRALPRVADALARFASSHHAATPPGSPVLTLPPSDPDGEPPKKRRSGSASTTPHTDSGHSHIHFCSDDASEEGSDPAAESPDACAGPGGCGGGGHGEIPRPTPVEPYGYGSYDTNMSHMGYSYGYSNPMYGVQMPPDGDRPADNRAGREPPAAPPPMPVPETSPWDFFNPFDAYEQQLPPEYIGRGYRSNGSSTSSPNSSEVRAREGIPELEEETELESMRESAKARKAVESTASNRMDNADVAAKVKESMERKDCEIESVGSASVIDSGEESVCSCDCDHGNATTSGAAPVGDDQGNVKKVSSEDHSSMVVREDVQLHENIGTRDVADVVEEIKEQFNSVASCGEDVAKILEVGRMRYRSRNRVLRLVFSRMIGTFALLFSSISEPPVKNSEQSAINASKRSQNSSKRFDFASDIELNTLSATMDRLYVWEKRLHKEIMEEEKLRITYDREWKRLKELDATGAEPYKIDATRATIRTLLTRINIAIRSAKDISRRIHILRDDELRPHLVTLIQGNWILSQKAYIETLNGWLIKWLPQEKEETADGVAPFSPGRLGAPAVFITANDWCQAMKRIPEGTVVDTMEAFAVNVHILWERQDEEHQEKLKAEYLSRDFAKRLKSLQKEHGLQGHIEADKAVLPIADNGRAVDSRMVALDTLHKRLDEQRAKHEQTVKQIREASATDLKAGLAPIFEALESFTQETLRGYENVRIPTETSGA >Et_1A_007344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33555069:33558520:-1 gene:Et_1A_007344 transcript:Et_1A_007344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRASQLHALLSRALSPSLPPSRARSLAQIAGPGAPRFEAAFLWRARFFSSDAAAAAQGGSKPQAAAAAAGAGKGGGSDGQSGKSEQGDAGKPVRGGPVSWLSFLLLLITGGGIIVYYDKEKKRHIEELKNRTSAVKPGQSVGTAAIGGPFKLLNHDGKPVTDKDFLGKWTLLYFGFTHCPDICPDELQKMAAAIDKIKEKAKLDIVPVFITVDPERDTVEQVRDYVKEFHPDLIGLTGTADEIRQVARAYRVYYMKTEEEGSDYLVDHSIVMYLMNPKMEFVKFYGKNYDTDSLAEGIIKEIRGHA >Et_6A_046438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1498329:1502981:-1 gene:Et_6A_046438 transcript:Et_6A_046438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTNLLLEEPIRMASILEPSKTSFFPAMTKIVGTLGPKSRSVDTISSCLKAGMSVARFDFSWGDAAYHQETLENLKLAIKSTKKLCAVMLDTVGPELQVVNKSETPISLEENGTVVLTPHKGQEASNSLLPINFSGLAKALKPGATIFVGQYLFTGSETTSVWLEVSEIKGDDVVCVIKNTATLAGSLFTLHCSQIHIDLPTLSDEDKDVIKKWGAPNKIDFLSLSYTRHAEDVRQAREYLSTLGDLSQTQIFAKIENVEGLNNFDEILEEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETITTVGRICAEAEKVFNQDLYYKQTVKYVGEPMTHLESIASSAVSSAVKVKASVIICFTSSGRAARLIAKYRPSMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDSSVVKIIELDD >Et_1A_005087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25798068:25798595:-1 gene:Et_1A_005087 transcript:Et_1A_005087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGGYGFFEQHADAEAERDADGRVVPWWPIRRGFDVSREFGNYKDVPATLPVGDGTVVVRMDTILFDGIYSFEMLRRLPKDGSWCAVPLPKPPRVWISVTRNKGTFSLDVESGEWRVEGAWQLPFQGRALHVPDLDAVITQSEEKREKLQVRLE >Et_3B_027805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20044066:20045631:-1 gene:Et_3B_027805 transcript:Et_3B_027805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSWLPLSEIDRAPTCHQLVHQPPHIIVRRPALLHGAPCLVTELPGPLPDRLRLLSVAHHLPDGLRDDLAGDHAAGLHLRRVVGGPDADPDLEQLHDELLVEELVGVPRPRHHGHAGADGLQRRVPPAVRHEAAHGRVVQDQHLRRPAPDDAPALAARHQVREPVGHPLRVRGVRAAAADDPDEALAGHVEAARELPQLGRREAGQRAERDVHDRAGRLRVEPRQAAVRVGRQLLGGAPRRHVLACERDGADEPRAAAERGGVVPEVVRLQLVEAVEQLAEAPLVRGVRALEEGPVLRPLPRVHHLAPPQLDAVRQPRQRHGGVGVVLGGGPHRVVAQHAVVAGTREHAAAQERQPRDAALRGGVRGPLEEAVGHDGVGAALLDEPVERRAERRPALDARREPDVGEGHDGEVDRRRGVRAAEPVVSTVDRRPDDGGVHGGGEDRALDAAGGEERGHVRDRDEVARRQEGEEEDVHRPLLIFHGHGCVPAPAFLGNTSSICGQK >Et_9A_062130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:218559:224891:1 gene:Et_9A_062130 transcript:Et_9A_062130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQPPASRAALEPLATLDPAALAGLPPSSPLTVRAAALSGHLLYLGTGGGKLLLFSLQDDAPEFLRLLPIGATLPVSAILSLPSVARILVLAQGILLLADPLLSRPVRRLGSLRNVTAVAAHATADPSSPSCPLAVAVGKKLLRVDLTLRDGDELDVQTHEIATPVVGVKAVAWVGDSVFVATATGYSLFSSSAGQGVDIFTLPESSDQPRVKPLSGGEEVMLLVDNVGVVVDRFGQPVRSSLVFNTTPHCIAEVFPYVIVAGKSKVDVYRRRNGAHLQTIPFAMSGPDVLIVASDDDGRGKELLVVATAYKVFCYRKVSAVEQIKASLRRKNYKEAITLLEEFESDGEISKDMISFVHAQLGFLLFFDLRFEDAVNHFLLAETLQPSEIFPFIMRDPNRWSDLVPRKRYWGLHPPPKPLEEVIDDGLVTVQQALFLKKAGVDTVVDEDFLSNPPSRADLLELAIRNIIRFLCASRMKNLSSAEMEGVDTLLMYLYRALNLVDDMEKLASSQNSCVVDELESLLDDSGHLRTLAFLYGSKGMCSKALAIWRILARNYGAGLWKDVSENGSHETSVDKKSGEEIAAIEASKILKTSSDEELILEHLGWVADTDQELAIAVLTSDTREKPLSPEKVIAAIDSEKVVIHQRYLQWLIEDQGCDDSHYHTSYALLLAKSAMEAAHMESKYGGKDDKEIDSDVRFIYSLRERLQLFLQASDLYDPEDVLDVIAESELWLEKAILYRKMGQENIVLQILALKLEDSEAAEQYCAEIGRDDAYIQLLGLYLDPQNGKEPMFTAAVRLLHNHGKSLDPLQVLERLSPDMPLQLASDTILRMLRARVHHHRQGQIVHNLSRATNLDARLTRLEERSRHVQLTDESICDSCRARLGTKLFVMYPDDSVVCYRCYRNQGDSSSGRGRNSRKNVIFKQSWLVSR >Et_6B_048201.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18152:18568:1 gene:Et_6B_048201 transcript:Et_6B_048201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNSSKERTPSPLRSNLHIMAVHSSMASSCDPSRLSIRLRLAGVMHSPSAAAYNPNASRRQALLPSSSAPSLYAASRASLKNSSRSSRPSAKMAAASSSLRAMDMQPRSSEAETLPSPSLSKAANKDDATMPTIPYF >Et_1B_012761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34924925:34927554:-1 gene:Et_1B_012761 transcript:Et_1B_012761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPVRIFSSSSSIEPLVLPASAAAGNGRQSRLGSTIRSSAGSSKTLLPSGIDLQEGLTNVQTILHQCRKSGREMMAAVDNLKRLCIDHYFEEEIEVAMAACTHLVHSDDLLDATLAFRLMREAGHGVSADDILRKFTDGTGEFSLALTKDIRGLLSLHDMSDLNIGGEASLYKAKEFSSKHLASAIGYLEPSLARYVRQSLDHPYHLSLKQYKARHHLSYLQSLPNRNIAMEELAIAEFQLNKLLHQNEMQEIKRWWANLGLAQELPVVRDQVLKWYMWSMTVLQGSSYSRYRVEITKIISFIYVVDDIFDLVGTEEELSRFTDAIKIWDLAAVDSLPIYMRSCYKGLYTVINEIADMVEKEHGLNPINHLKKAWAMLFDGFMVETKWLAADHVPMAEDYLRNGVVTSGVPLLFGHILFLLGHDHASSNEAVKLIDHIPPAISCPAKILRLYDDMGSAKDEDQEGLDGSYRDFYLMENPGCAVGDAEKHMLSLIATEWEELNRECFRRMSFPFTFTQVCLNAARMTSVMYGYNDEQKLPVLEDYMRTLIL >Et_1A_008895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17852701:17853850:-1 gene:Et_1A_008895 transcript:Et_1A_008895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSALSTGGSGGSSRSSSITLAMISGHHLLKIDNYSRTKMVPRGNHIRSGTFQAAGYNWHINYFPNGGGMLLQVQDQVSRHLTNGWDDVVKQTLDYVSLQVVPDGGAIAEDLQVRFSLCLVNHARVPVPGTARSSAQFHLVHPEGWWCANFIRKVDLERPDLGLLKNDSFTVRFTVVVVDKRRCAEGLLRAPFVVVPPPDMHRHLGEMLSSGHGADVVLEVGGEKFSVHRVPATFPYTKDMEAQVFKAMLQFIYTDSFPEMEKQEEATMSQHLLEAADRFHLQRLKLICEHKLCMLVDTSSVVTNLELAERHNCQGLKEACFQFLKTPTNLTAAMATDAFDHLASSYPNVLKELMSKLALH >Et_1A_005499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10966771:10970585:1 gene:Et_1A_005499 transcript:Et_1A_005499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDPDQGAGAMDSSSWPKSAAAAASPPAAPAADGGGLTVAAIATVTGILFLFLVFAIGLVSLQYCINSLDRRRRAAGDSPSGRRRGRRAGAVVGRGVDPELLRSLPVTVYRASSRPTKDDAVECAVCLAELEDGEAARFLPRCGHGFHAECVDTWLASHTTCPLCRLTVAKPNAPALALALPPVAPEPANYGSGSLPANVLLGVPDHHQGPYVDRVATTGMLVIEIPESAAPTPRDAAKSPPGSARLRSIRRLWSFGRQGAGPSSSCSCVRPDVEQGTGIAVAEARLPSEACTAGGTRGAGTSGATARRLPGAFSVESMPFLLRVRPGYILRATLRVFPPPAHFRLTRQPAGVRSNMTQHSGQGAGAGAAAMDSSTLPSAAATSPPPAAPVVDGPRLTVGAIVGMISVLLLFVVFSIGLVSLWYYINSYDRRRNRAARGVDPELLRSLPVTVYRAAAAANGSSDDTAKCAVCLSGLEDGEAARFLPRCGHGFHAGCVDTWLASHTTCPLCRLTVAKPDAPALALALPPVAPEPANYDSSTLPASVLLGVPDHHQGAVSAGTPSTDRVPTTAMLAIEIPESGAPAPRDAAKSPPGSARLRSIRRLWSFGRQGAGPSSSCSCERPDVEQGTGMQAHASGRWSPRSRHMTTESYPNFSKLSSDT >Et_4A_034583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4543883:4551428:1 gene:Et_4A_034583 transcript:Et_4A_034583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFMSVPIHCSAGSRAHPRPAVDQAAPTMPRTVSDVGDDADIGSRTSSHRRMDSTQAHHGYTVGFPPNKNVLTEFSDAIKETFFADDPLRQYKDQPRSKKIWLGLQQVFPVLDWGRGYNLGKFRGDLISGLTIASLCIPQSLLFTECLTIYLTPPRIADSSFVPPLIYALMGSSRDIAIGPVAVVSLLLGTLLQAELDPVKDREEYRRLAFTATFFAGVTEAALGFLRLGFIIEFLSHAAIIGFMAGAAITIALQQLKGFLGIVKFTKKTDIVSVMESVWGSVHHGWNWQTILIGASFLTFLLVAKYIGKKNKKLFWVAAIAPLTSVIISTFFVYITRADKQGVAIVKNIHKGVNPPSAGLIYFSGPNLMKGFRIGVIAGMIGLTEAIAIGRTFAAMKDYQIDGNKEMVALGAMNIVGSMTSCYVATGSFSRSAVNYMAGCKTAVSNVVMSIVVLLTLLLITPLFKYTPNAILSAIIISAVIGLIDYESAYLIWKVDKLDFLACLGAFFGVVFASVEYGLLIAVIISLLKILLQVTRPRTALLGNLPRTTIYRNVEQYPDATKVPGVLIIRVDSAIYFTNSNYIKERILRWLRDEEDQLQDQKLPKIEFLIVELSPVIDIDTSGIHALEELFKALEKRKIQLVLANPGPVVIQKLRASEFTDLIGEDKIFLTVGDAVKKFAPKAVENV >Et_1A_005786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1450577:1452737:1 gene:Et_1A_005786 transcript:Et_1A_005786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASSMLTQYDIEEVQEHCNYLFSQQEIVSLYERFCQLDRSAKGFISEDEFLSIPEFSLNPLSKRLLRMVDGLNFKDFVAFLSTFSVKASLRQKIELIFKVYDIDGKGRVTFKDLLEVLRDLTGSFMSEEQREQVLTKVLEEAGYSKDCTLSLEDFIQIIDHPGLKMEVEVPID >Et_7A_051034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13892700:13893279:1 gene:Et_7A_051034 transcript:Et_7A_051034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVTLSSESDTHCMELPAQPSLSKAWDLGWPSATPNKHRDRLAFLCYWQLWKHRNEVVFRKAAPTLSLPRLLLTCREEAKL >Et_2B_020599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21723667:21726113:-1 gene:Et_2B_020599 transcript:Et_2B_020599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKARMTVPNPALAPTFFPAPGSLLGTTDRALTSFVADDDGAFNYADPLASRCGSVLMRLVPSSMDFDAVSKTGLLFGICNPATGERHIAPPVEGTSYNSNVVGYAIITAADRDLDREWPPLTSRRHEFSQLLLISRPKKDSDLQLHLYSAATRRWSEPMVCLTHLNVSLLGRRGDLAPSTGAWRIGTTMAQEEMYTLSVEMATMRVSFTKVPVTFGQTPFLCISREGKLSITSVYGTYVQVWTQQDGDDGDPTSATWLRTRLIQIPIAVLGQRPPAMLKRREWFEFNGRAMLMLCEGGDAFIFVLDLEKKVIEKLMDCSPCLFGKRCESIVPYEMDLSELFVFRLGCVARASREGRPEEGVWRNAVPKGWLL >Et_7A_052748.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15118867:15119421:1 gene:Et_7A_052748 transcript:Et_7A_052748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSSPHEENAGVAAVCCMCGDHGLAHELFRCRACRVRLQHRYCSDLYPRATAYRRCNWCLRDPPAQAQGGGAAGHAAAAVANNGKPAVQSKRKPAPATTASDEERQRQLRHDEGFSWRRPPVELGCPVKKRRKADEKAPGDDAGKGRCHAAGESKELMRAGKPRVRVKVRRYKLLAEVISC >Et_1A_007561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35907676:35909463:-1 gene:Et_1A_007561 transcript:Et_1A_007561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAARNVPRTPRAARCSNARPRPRHRAFLLRSLPLLLLLPSLAASSPRHRNMALMAPRRLLLLLCLCCALAVPCHGAVTTNVSRASHHKATQSFIGTYGINYGRIADNLPEPREVVRLLKLAKIRNVKIYDAEHSVLDAFRNSGLNLVVAIPNGLLKDMAANPSKAMDWLNENVQPYYPSTRIVGITVGNEVLGGADPGLAEALVGAVANVHDALVMLRLADKIELSTPHSEAVFANSYPPSACVFKDDLMVYLRPLLDFFSRTGAPFYVNAYPFLAYMSDPEHIDVNYALFKPNQGIIDQKTGLHYNNMFEAQVDAAYFALEAAGYPNMEVRVAETGWASAGDATEAGAKMENAVTYNRNLRKRLFLRKGTPHRPDKVVKAYIFALFNENLKPGPTSERHYGLFNPDGSVSIDLGFKGLSSSASSLTPFKRIRAWGWIFDFSAALLLCTLIF >Et_8B_060250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:835059:838566:1 gene:Et_8B_060250 transcript:Et_8B_060250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPVAHTDRISALPGDLLHEILSFVGDARDVTRTAVLSGDLLHEILSFVGDARDVTRTAVLSRRWRLVWIHAKNLSFDDRHLNRPIAWPGSRRFRGYQLVAGFVDWALAQRGDADMDSLRINIAKQGQVLTQAISKWLRYATQHVVKSVIVHLTACAMQQMADVVLPIHGRMTSISLALSKHRLQLPSVAAASSASNRCHSRETPPSVTLYPPAALGFVDSHIDQPNGLLQLVLRSEALEDIEIVWACDLRTLDVTAPNLRVVRLELCFQYPRAEDNMVARIVAPRLQELGISFLHQGPRPDLDIHDLASVRRLDDLQLDMHGKYCCDSAVGLSLLAKCPGVEHVEVSLHHYRADDNGDLFDITVEAVAAQFSKVRSIFIWTYAFPERHLVASIASLLSRCSSLTSLCLGIGDKRSGPRDCFCDDLEDEQVKIALEMMEDVIITGFTGGDEEMQLVSLLFESSNSIKCMALSETKNKIDITALTLKIGKEVDSNNTVLQKLMKMPCTDPGHWYFVEQIGCSSSTENCVCENLGTPATGSGSTTPCTCCSSRTETKSKSRLASAAPHIHAVVPRAGGERLEEALAEQHGGEASCRRPLDRAPHEGPIRDTIAAVRAARRCMAASVSSFCLSLGGHGEFEQDPS >Et_5A_040957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15666568:15667287:-1 gene:Et_5A_040957 transcript:Et_5A_040957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAWRLADNNGLGTMENRKRRNMESMATCCDHAVVSCTKARAQQEAVAIMLWPACRERYVDCVLVLSKIMYGMSNSWPGESSLTVHRLNWQKQLPFRKNYSWQQN >Et_3B_031083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14563730:14570706:-1 gene:Et_3B_031083 transcript:Et_3B_031083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLPDGAVKEAKRWISTPMDVAKEISSGLAANFIIAQVDGTLWDMTRPLEGDCELKLFKFDSNEGRDTFWHSSAHILGESLERLYGCKLCIGPCTTRGEGFYYDAFYNDLNLNEKHFVVIESQAKKAVAEKQPFERIEVEIFNELPEDKTITVYRRHPVTGEEKETARACKEFMEYLSLILNVSSPGSCFFLPHGVRIYNKLMDFMRQQYRDRGYKEVLSPNIYNMQLWETSGHGANYRENMFFFEDDAHIFCRENQIKDKVKGVLEFINYIYRIFGFKYELELSTRPESYLGDIETWNKAEQQLTEASNEFGMPWQLDFQLPLRFNLTYSAEDESKKESPVMIHRAILGSVERMFAILLEHYGGKWPLWLSPRQAIILPISFKSQEYAKQVHAKLHEAEFHVDIDMTGRTIDKKVSVRERDKADVSAMSIDDVIARFREEIAAFR >Et_3A_026569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9798376:9801507:1 gene:Et_3A_026569 transcript:Et_3A_026569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RWELGRCRELVASPKTRERTLNLPAHGDPRGSQPTTSAAMWRRAASHLLRATASATGVPRRLPPASPSLPLAPRIASSSYYFYATQAAAASAAPPKAARALRTVGSLLRLNDLRDNPGATKQKTRKGRGIGSGKGKTAGRGHKGQKARGKAMFGFEGGQTPLRRRLPRRGFKNKFSMTFQPCGLGKIAKLINAGKIDSSELITMKTLKETGAIGKQIKDGIRLMGRGAEEIKWPIHLEVSRATARAKAAVEAAGGTVRLVYYNKLGFRALLKPEWFEKKGRLLPKAARPPPKQRDKVDSIGRLPAPTKPLPFTPEELEFAAKREAARVTMA >Et_3B_029890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28807954:28812532:-1 gene:Et_3B_029890 transcript:Et_3B_029890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDGGEAASPSPGPRAGSGATPEPRPPRPQLTKSRSSISGSAAASVAAADRGGGGGAGGVRDSILVRRSSTAPLPPAAAEQAPRRLIVAVDDPSYAAPNGGVLDRDWCYPSFLGPHASRPRPLRQQQQTPTSDRRSTNPTLPARVAVSQREEEKNLASVVKRTTLLEERRPLPPLPPLPRAPRFDLSPYLPLLLVMTVTSSTLAIWQWIKVMGLQEKIRSCYDGNDANTSGPAKMSWLDGENDSAFINSGNWNLAPTSTIVAFALPLFLFKYIDQLRRRQTNSVRVGSSEEEVPLKKRIAYKVDVFFSGHPYAKLLALLLATIILIASGGIALYVVSGSGFLEALWLSWTFVADSGNHADQVGLGPRIVSVSISSGGMLVFATMLGLVSDAISEKVDSWRKGKSEVIEINHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFGDVLISFPDAVPCGVKVASRGGKILINPDNDYILREGDEVLVIAEDDDTYAPASLPEVNKGFLPNIPTPPKYPEKILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVPEKEREIKLTDGGLDIGGLTNIKLVHKEGNAVIRRHLESLPLETFDSILILADESVEDSIVHSDSRSLATLLLIRDIQSKRLPFKELKSPLRYTSGFCHSSWIREMQHASDKSIIISEILDSRTRNLVSVSKISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIRSAEFYLYEQEELSFFDIMVRAREREEIVIGYRRANTDQAIINPEHKSEIRKWSLDDVFVVISKGD >Et_3B_028123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10565142:10566229:-1 gene:Et_3B_028123 transcript:Et_3B_028123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GIFKIGQKYVSLSGHLSTKYGEKVWKLSRSLMPIVEVTKISRSKAWPKGWEASKPKNNIGLYFFPNEMRTNEELDQLVKEVTDNDLVLQAIIGDVEMLIFSSILLPKQYKTFQGKNYLWGVFKPGKHEGVAAVEPLNVNWCCAHGVEKEAQHFSCHQYEVQHRDQDQGTNVAKSAASFVNQDFPRNNKNSYEALATALEGTPNKGFEPKAPEEGRQGDVLHHGMCINEAMEGGTLPVNHGEVDRSRGVPSGSSIFGFVAGHTPRLVQLIREMEREGGVVIAMHGETIGAGPWQRNITTSL >Et_5A_040756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1237582:1238900:-1 gene:Et_5A_040756 transcript:Et_5A_040756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSSCHGRKRQRREVGDPCCYCAKRKHLYLALDDERGGNPGYAIHKLDADNMEMEDHLPEPVLRVAAPGRGPMAFTAAGTSIFVDTDPDCDRPSSTTRGPGPSPSGPAFPIAFSRAAPWRSGTGLRRGGKDDDGEELIPSSYVGLQVLSWAPALCQLDPWAWSWRQVLSTPPPMSTTLGGAVITSYALHPDGHTVFVCTRHDTHALDTSDRDGVWKELGDWVLPFQGQAYFDAELDAWVGLHRKEDGYVCCCPVVSRRGTTRTRPPECTMLREKLFRSRDEKHPNVQHMKATLTYLGDNRYCLAENVLDRDNVFQGALRVTLFGLKYGRKGRELQTKVRCTTRSYPLSNALELIHTFKTPRGLLEVSRLVCGGPPRASGGMPAAASAHLGRCGARRGCPHPALLAALIMAVVVATRRPARRSRQLLQMRR >Et_5B_044763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5586952:5589115:-1 gene:Et_5B_044763 transcript:Et_5B_044763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSILLFVAGAIAIYLITTPMTARPVDINDPKVQKQGSWAVMQHVKQANDGIKFIKVVSSNETKEGPFSIYYHLIIDALNKDGNDGKVAGVTVTFTRSGAATVTLYVSAFSDTAVTVRVSVRTSVPLSKTTTNDG >Et_7B_054037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14556862:14560137:-1 gene:Et_7B_054037 transcript:Et_7B_054037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRDADEGAAGDEAAAVRLARKIRKRRELSSSGASNPSAAARRRLRSRRPAVLLPTRRRTSGGRGESPRSGGDMSESSRSRHCRLASDGTRPSAAARRLVGAFWQADKDMLFEGDAEPAVRRGVVPWSGAATEVSGAGLSYSTVSKSSSRSTRSKILEADSKGSRRNGHRRCLSVDMLSNGSAMEVGTYSQDDVSRCPAEKTVNLQDLYNSLIASKELVKVLAHIWGPGELNPSTVSLISALRSELDVARSHVRRLIKEQNSDAHAMKGFKKQLTEEMESWKAKQKDKVANALQFIVTELDSEKKSRKRAERINKKLSTALANTEASLQAATKELERERKSKGRVEKICSELIRGIDEDKAEVEALKKETVKAQEELHKEREMLQLADEWREQRVQMKLLEARLQFEEKNAAVNQLRDELQAYLDTKKEEEPVNDPMQSSHASENGAAAHDAVANRNRNGECRDDSEDGASEGSEMHSIELNVDGNSKTFTWSYTPSSKGRQRHESFSDRGMDGADSCRFEQNFQGMDEELEGEWAEGCSNGMLNFDHDEERYQAIKNLREQMLAGSGFVLSQGRENAEREY >Et_9A_063179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14011398:14014890:1 gene:Et_9A_063179 transcript:Et_9A_063179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEYHEEYVTNSRGLQLFTCGWKPVSSSPKALVFLCHGYGGECSVFMRECGIKLATAGYSVFGIDYEGHGKSMGTRCYIQKFDNLIADCDRFFKSICDMEEYRNKSRFLYGESMGGAVTLLLHRKDPTFWDGAVLVAPMCKISEKVKPHPVLVSLLTQVEDIIPKWKIVPTKDVIDSTFKDPVKREMFFCNHEQIRKNKLIYQDKPRLKTALELLRTSMDVEDNLSEVRMPFFILHGEADIVTDPEVSRALYERATSVDKSIKLYPGMWHGLTTGEPDENVELVFSDIVSWLDERSRHWKPKERARALPEAEEHHQAAATKIGRVCSSVGGMESPDQPQRRSSFLCGLGSRPNQQQRRM >Et_3A_023555.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:34481401:34481499:-1 gene:Et_3A_023555 transcript:Et_3A_023555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQISSIGNGLWTVASPRLVLTVLSLLGSRRS >Et_8A_056289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19710207:19711301:-1 gene:Et_8A_056289 transcript:Et_8A_056289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGRSDDVQYAYTRLPSEEPATVKGSAIVPTAAAEEELHGEGAAVEEEVVSAGVVDGAAPAAASWTAAPSPPPPVHAAAPDLDAAILPGLRQIVVGSSPSHPALLYPETKTTVEEACDVVDMGTPAARDIPEVTGIVRARVGELHEQMEKKKRDKAADVIEDDDDIVTTPNVATTPRSRAARSGKPAVVLYFTSLRGVRRTFEDGRAVRAILRCHGVRRDERDVSMHAAFWSELRDLLGDGFEGPALPRVFVGGGVDLGGADDVRALHEAGELARALAGCEAAPAGRVAACAACGDARFAPCETCHGSCKVFVDDEGCRFAGFFRRCPDCNENGLIRCPVCCY >Et_7B_055647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19519909:19527024:-1 gene:Et_7B_055647 transcript:Et_7B_055647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLVQLLLTLTLAGVLALFFSFLRRRTPAGNPIVPIVEVTDAAFARHILINRADAFSSRAMAPFPVDLSCVPRRAYTIETVPFGPLWRVLRSNLTANALHASRHGILAPLRCEAVGALVASLTAHKGDDEVVLRDSLHNALFMLVARLCFGEGVDARDVRSMERELQDFFKNFNEFLALQQSRIRRLLHWQRWQQLTGSLDRVSKLLLPQIVARRQRSSSSNNGGPYVDTLIDLHVPDFDGQHDGHALTDEEIVALVWEFLGSSTESAVSCAEWALAHLINEPEVQNKLYHEVASDQWNGVISDEGLRGLPYLHAIVLETLRLHPPVPITEREVVASEAAKVADGMSPPILASDSTHVRFRINLAEMGRDPKAWKDPDEFRPERFLAGGDTEGASFLRGPNETKMMPFGAGRRVCPAAEQGVMHTKMFLATLVREFEWVLPADGGNIDLAEYDEFFKVMKTPLRARIKPRWPISHISGLIYNSLP >Et_8B_059763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2498082:2503748:1 gene:Et_8B_059763 transcript:Et_8B_059763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESAVRLIGGTGTRDWTKGFGAFDSSVGNLSGEDLGFVDSGTGVYGGWRESVPNRSGSAPPSMEGSLSALGHLIGQQSGGFETSLTDLDNVTDNSKLEEQLRSDPAYFEYYGSKVNLNPRLPPPLISRESRRLMNRVGKTKEWRVVSQDNSSKGSLFVPRSTLSTHREEPEDDRSAGLDSSSVEDAQTVSSQSAANFESQDFVLESSASLSENSASDPSNINTGDARAVHSDIKRSLSLDVVKSSDLNTWTPKPSSPLKSTMSNDTLASPLSSSPCSGNKTSMPTSQQDKLNIDTKAGNGVPISGAVVTDLGTVESNMKNLKLNLDGHTSSYVKPNWQDSGLQQYGSSLPAQCDPVQMSPQGTHLSHVPHVNNFSHTQLKLPGGDMQQFLQQPGMTAPFYTPNSFGSPYYPNLHPANVFPTSFGNGGYALSGSVLPPIMASYAPQGSLATLDSPMTPSFSGRPSVFSSASNLAGGADFMQPYKMYGQLGVSMQPSIPDPNTMPFFQHPSLLQYPGGNQYSTMSPRVTLVGNPADSFDPLKMVPQAPYPSDQRLQLPRTGFPNSPSARSGGAVPNYQGISPYVGAPMNYPTSPVFQTQTLPGVFSPGRRNDSLRFQSPSRNMTASSGIHGQREKIDETKGCSFLEELKSNRARRVELADITGRIVEYSADQHGSRFIQQKLENCTAEEKASVFAEVLPHASSLMTDVFGNYVIQKFFEYGTREQRRDLATKLVGHVLPLSLQMYGCRVIQKALEVMELDQKIDLVHELDGHVMRCVRDQNGNHVIQKCIECVPTEHIGFVVSAFQGQVASLSMHPYGCRVIQRVLEHCGSDSLGQCIIDEILQSACILAQDQYGNYVTQHVLERGKAHERSQIISKLAGQVVTMSQNKFASNVIEKCFQHGDIAERDLLIRQIVEQTEGNDNLLSMMKDQYANYVVQKILETCTESQRELLLSRVKDHFQALRKYTYGKHIVSRVEQLCGDGKFCHPLVFIRVFSDIISATAPFSDVFNFATPSGAAESDS >Et_9B_065043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20818164:20824608:1 gene:Et_9B_065043 transcript:Et_9B_065043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRAVQDLPLAFAMCLALASAAAVGGEASRRFWIENDTFLKDGAPFQIVGGDVHYFRIVPEYWKDRLLRAKALGLNAIQTYVPWNLHEPEPQKWEFTGFADIESYLRLAQELEMLVMLRVGPYICGEWDLGGFPPWLLTIEPALKLRSSDSTYLSLVERWWRVLLTKVAPLLYNNGGPIIMIENEFGSFGDDKNYLHYLVELARRYLGNEIILYTTDGGAIGNLKNGSIPQDNVFAAVDFETGSNPWPIFRLQKKYNLPGKSAPLSSEFYTGWLTHWGESIATTDATSTAKALKAILCHNGSAVLYMAHGGTNFGFYNGANTALRRVIHECTGTPLLPLPSDIPKANFGLVKLQKVASLFDIIDNISDPLKVTVSEHPLHMELIGQMFGFLLYMSEYQGKQPYNIVSIPKVHDRAQVFVSCSHDGVRNPVYAGVIQRWSSKTLEIPTLSCSSNISLYILVENMGRVNYGPYIFDRKGILSPVEIDGIALHHWKMYPLSFNALDNLPKLQLISQMPNVRASKVSIHGDSEKKLSLYSNESISGGPEFYEGHFYIDSESEIKDTFISFRGWNKGVAFVNNFNIGRFWPAFGPQCALYVPAPILRPGDNIVIFELHSPNPELTINFVEDPDFTCGTKQ >Et_4B_037141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15334442:15335660:1 gene:Et_4B_037141 transcript:Et_4B_037141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLDETPLVVVSSKDMARQVLKMHDANFATPPPKLHSGEIVLYGSADITFSPSGEYWRKLWQLCAFEILRAKCVLTYRHIREQDDVPRLGEQNRSTGIIWERAEERQGVPICAQGWVILTSGFKIPNLFLTWRPVLAAVTGMRRALEDVQRTVDSTLEEIIEERKKVRDEKARCDNVVTEDNLVDVLIGLRERGGHGFDLNKNSIKAVIFDMFSAGIGTLSWGVSLMINKSHGQAAGRVQGGVPWQGDGHEADLQESSLPYLRLVIKEIL >Et_2A_017507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3518572:3534724:-1 gene:Et_2A_017507 transcript:Et_2A_017507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEDRARDRGAVVVEAEMTAPRGGGDEPPPPPPPSSSAAAHAMASEPLTPTSALASGQQGLNRRGSRGAGMATFSMEVFDNEVVPSTLSSIAPILRVAAEMEPERPRVAYLCRFYAFEKAHRLDQNSIGRGVRQFKTALLQRLEKDNSPSLAKRVKKSDAREIESFYQQYYENYVRALDKGEQADRAQLGKAYQTAGVLFEVLCAVNKNEKIIRLHKDVQEKKDIYTPFNILPLDAASASQSIMQLEEIKAAVAALRNTRGLTWPSTFEPQRQKGGDLDLLDWLRAMFGFQQDSVRNQREHLVLLLANVHIRLEPKADPLSKLDDRAVDVVMTKLFSNYRKWCKFLSRKHSLRFPQGAQPQEIQQRKILYLGLFLLIWGEAANIRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIRPSYGGDEEAFLKKVVTPIYRVIRKEAGKSKHGKTPHSAWSNYDDLNEYFWTSDCFTLGWPMRDDGDFFKSVHDSRPVTMAGSTPQKGTTKSTGKTNFVETRTFWHIFRSFDRMWTFYILALQALLIFAWSDYSVTQILRKDLLYSLSSIFVTAAFLQFVQSILDFVLNFPGRHRCKFLDVMRNILKIIVSAAWAVILPFFYISTASKVNLPLKELENWFQHVKGVPPLYLLAVAVYLIPNILSAALFLFPMFRRWIESSDWHIVRLLLWWSQKRIYVGRGMHESQISLFKYTSFWILLLCCKFSFSYFVQIKPLIKPTKDIMGVHNIRYEWHEFFPNASYNVGAILSLWAPVLLVYLMDTQIWYAIFSTIFGGMTGALGRLGEVSPNKRTEAAKFAQLWNEVICSFREEDFISDKEMDLLVVPYSSDPSLKLMQWPLFLLASKIPIALDMAAQIRPRDSDLWKRICADEYMKCAVLECYESFKLVLNILVVGDNEKRIIGIIIKEIEANIAKNTLLANFRMSALPVLCKKFVELVSTLKERDASKLDNVVLLLQDMLEVITRDMMVNEIRELAEFGSNKDSVPRRQLFAGSGTKPAIVFPPPVSAQWEEQIKRLHLLLTVKESAMDVPTNLEARRRIAFFTNSLFMDMPRAPRVRKMLSFSVMTPYYSEETVYSKGDLDLENEDGVSIIFYLQKIFPDEWNNFMERINCKRESEVWGNEENVLQLRHWASLRGQTLCRTVRGMMYYRRALKLQAFLDMASESEILEGYKAVADPAEEEKKSQRSLSSQLEAIADMKFTYVATCQIYGNQKQSGDRRATDILNLMVNYPGLRVAYIDEVEERDGDKVQKVFYSVLVKALDNHDQEIYRIKLPGPAKLGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKMRNLLEEFNENHGVRQPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRIFHITRGGISKASCGINLSEDIFAGFNSTLRHGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQVLSRDIYRLGHRFDFFRMLSCYFTTVGFYISSMMVVIIVYVFLYGRLYLALSGLEFAIMKQARMRGNRALQAAMGSQSIVQLGLLMALPMFMEIGLERGFRSALGDFVIMQLQLCSVFFTFSLGTKSHYFGRTVLHGGAKYRATGRGFVVRHVRFAENYRMYSRSHFVKGLELMLLLVVYQMYGDVATDSMAYVLLTSSMWFLVITWLFAPFLFNPSGFEWQKVVDDWDDWTKWISCRGGIGVPANKAWESWWEEEQDHLQSTGILGRFWEIILSFRFFIFQYGIMYHLNISAGNKSISVYGLSWLVIVAVVMVLKVVSMGRKKFSADYQLMFRLLKLFLFMGSVGTLAMLFIFLHLTVSDIFASFLAFAPTGWAILQISQASKPVVKAFGLWGSVKALSRGYEYLMGIVIFVPVAVLAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQR >Et_8B_058824.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:9595294:9595320:1 gene:Et_8B_058824 transcript:Et_8B_058824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCQGHC >Et_4B_038331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28108873:28111100:-1 gene:Et_4B_038331 transcript:Et_4B_038331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Et_3A_024591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22349314:22356267:-1 gene:Et_3A_024591 transcript:Et_3A_024591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGGGGARGAAGPVPASARKLVQGLKEIVNRPDAEIYAALRECDMNPDEAVSRLLSQDTFQEVKSKRDKKKEAKETPEPRSRGTSNNRANRGGAERTGRSSSAQSGSGGTDYTASRSSILGPAVPATNSSQKQTVPSLPANKDVAPNGSVGAPQSSSGFQNGWCSVPGQMSMADIVKMGRPQIRSSSKPIATTDSSYAGQSQNSKQTASTALSTTFDQRFPALPDPVPQTVNSSHASAENHRAHENDWFPQEEPAPGTQSTGIETSGDPSLLAVSATDSQENSHAEENSPSEEVLEENNQFNDDLRGSSMYQPEVHSYVDGEVDVSNVDAESASTKFQHLSIQSEDTKSAEEVPAVILPDHLQVANADCAHLSFGSFGSGAFSGLLSSNVPQSNLEEAPAPDESPSVNQVDVRNQDYYDQSALSSSANEDVETRNRANMENIDVPSVSEPDVLRQGALDVPGLQYDLPSVSSHAYANTIQPSTIDDSQGNTQAQHLSHLSSLLQANSLPNNLLGSNLTPLRDFDFSQLIQTQSATKYNPPVAANNLPAISMQETLKQGGSPNAQSTQNLPSTSMPSGLPLPQQLPVHPYPQPTVPLGPFASLVGYPYLHQNYYLPFQQAYSSNGPFHQSAAPAVPGAGMKYSMPQYKSSPPSASLPQPSSLSGYGGFGTASNIPGNFSLNQGASVSTTMGFDDPLGTQFKDPNHYAALQQSDNSAMWLHGAAGSRSVSAVPPSNFYGFQGQSQQGGFRQVQQPSQYGSVGYPSFYQSQAGLPQEHPQNPNEGGLNNPQTAPSQPSHQLWQHGY >Et_7A_050745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10721393:10723580:1 gene:Et_7A_050745 transcript:Et_7A_050745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKSPQNPGEQAEEQGDEKMTTAEAEKKAKEAAEKSRLFRVRRTVLEMLFDRGYDVGEEKDIKITKDEFLEKYGETVSAIRDNLFSSYFLKKKESPEKKEEDKSPGKKEEDESTGEKEEHKPVQVRPLPRSIPFLSSLAAARRRVKPRFPSLQIYVFFLNEAKPGMKTIRGYVDKMKAGNVSNAILVVQQALSPFARTEVQNNSSDCNIEVFQESELLVNIKNHALMPKHELLTPKEKADLLERYTVKETQLPRIQITDPMARYYGMKRGQVVKITRKSETAGEYITYRYVV >Et_5A_041358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21762659:21767995:1 gene:Et_5A_041358 transcript:Et_5A_041358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLCPARSVSTPPKKSIPSYPTRTMLPPQGPSPALMEELIEDILLRFPPDDPAPLFRAALVCKAWCRLISGAAFRRQFLKIHRTPPLLGFICRSASTSKHTDFWIQKTHFTPTSTFRLPSASISNWDAVDVLHGHILFWDRWSSEFVVWSSISGEIRRLPMPGRRWFGWNAALLCAMAGCEHLDCGSGTFAVAFVGNDNISGFTYAYVYYSEQLVWSEPISIQDRSVRVTRGYSAAQVGTTVSCQCVREDTSMLLAYELLKQQLSFLSLPSVGRLGDYYSSALTKADEGKLGRQTLYVVEESCPDGDAEWTQQRVFELDKMLPSRVGWFHYSLFDAPSSNDVVVIKVDGLLFTIDLKSGGATELLLEGRRADKDIYHHASTKPPAAGADGGARGRDPPALLAVRTRVPLPRHPRLQALAPPPSAAGSASTTGGPPCSVSSATFKTASDKFDKDDTTFVPTTSFRFPPNAVVNPRWRRVVDALHGRVLFVDESKDSCEFPDFLVWNSMDGKVRKLPVPMYTHMWRAALACAAPGCDHLHCDDDGAFL >Et_5B_045277.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:16304331:16305992:-1 gene:Et_5B_045277 transcript:Et_5B_045277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARSLAHLLFLLVAAASAARDLPDANAVAAVNDDLDDACDETMFPELCVETLAAFPESRAASPRRLAELAVQAAVDAGRATAAAAHGELSGAADDALYRCLDSCSDDVEEAVAHLSALSHEPTDANFLEARSWLSSALAGSAAYEAAVCADAPAGEAKDAAVARSVEFDKLLRVTLDLITEASGSMRAAKVALPPTAKPPTYGAPYGAPYGAPYGAPYGAPAPGYGHRRPRAPPPKPRRAPAPSKPKTPAPSPSYGAAKPKAPAPSPSYGATKPKAPAPSPSYGAAKPKAPAPSPSFGAARKPRAPAPSPSYGAAKPKAPAPSPSYGHRRPRAPAPSPSYSASKPKAPAPSPSYGASKPKAPAPSPSYGASKPKAPAPSPSYGASKPKAPAPSPPYGASKPKAPAPSPSYGASKPRAPAPSPSYGARVPKAPAPAPSPFKSRGHRVPKAPAPAPGYGHRRPHAPLPKPRAPAPSPSYGARKPSAPAPSPSYGARKPRAPAPSPFAAGAPSYGARRPRAPAPSPSYGGRRPRAPAPAPFVAHANVFETEETE >Et_4B_039832.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3549295:3551220:-1 gene:Et_4B_039832 transcript:Et_4B_039832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASQARPPRRVRPLAAASLILFLLLLYSRSDGDSARSPRLLGSSLRPVYRRFLSDADPAGPAPGGANLSEVAGLNGEQPEDPSVACAGITRHEGFGSQCEFLRAHPQCSSGGFVDYLGFFYCKCQRFRLLGYAVLGLCLAALFYMLGNTAADYFCCSLEKMSALLRLPPTVAGVTLLPFGNGAPDVFASIAAFMGSGAGDVGLNSVLGGAVFVTCVVVGAVSLCVAEKNVQIDRRCFVRDVGFFLVTLVALSVVLIVGKVTVWGAMMFVSIYVLYAFVVAANEVLRKHARMLKFDVVTPLLPVRGSIFEQGTEEDESVYSSLLEEDTDGEVAQISTSLPQWMWASHVAIYSNQGNRVGSPDSSRPLWGWSEGEADTSIFSISKLFLFMELPLTIPRKLTIPIVEEDRWSKEYAVASACLAPVLLAFLWSSQDGVSTKATIAAYVVAVIFGAVLAALAFQFTSSERPPRRFLFPWVFGGFVMSIIWFYIIANELVALLVALGVILGINPSILGLTVLAWGNSMGDLMSNVALAMNGGDGVQIAMSGCYAGPMFNTLAGLGISMLLGAWSTAPSSYVLPQDRSLIYTISFLVAGLIWALVMLPRGDMRPNKILGVGLIALYSVFLFIRVSNAMGILPLPGLS >Et_1B_014346.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6401452:6401829:1 gene:Et_1B_014346 transcript:Et_1B_014346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCFFPREARQQAKAAAEALEQLHNGGRVLSREEVGGAVRVKIVVSKRELKQMVAALGDGAGAVAAAAAGERHRQRAAGAGGPSAEQRLQSLRRRSMRRAAEAARQMQGEWEPGLQSIPEEVY >Et_4A_034628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:529712:540355:1 gene:Et_4A_034628 transcript:Et_4A_034628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDIRNCHNKEQERLRVDKELGNIRTRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMETVSLISAPKYPEKQVGYIVTSCLLNENNDFLRMVINTVRNDIIGRNETFQCLALTMVGNIGGKEFSESLAPDVQKLLISSSCRPVVRKKAALCLLRLYRKNPDVVNIDGWADRMAQLLDERDLGVLTSVMSLFVSLVSNNAEAYWNCLPKCVRILERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNARRALFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMARMLLVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKEIVEELLQYLNTAEFAMREELALKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWYRVVQFVTNNEDLQPYAAAKAREYLDKPALHETMVKVSAYLLGEYGHLLARRPGCSPKELFAIINEKFPTVSTSTVAILLSSYAKILMHTQPPDVGLQQQILTIFKKYESYIDVEIQQRSVEYFELIRKGSALADVLAEMPKFPERESALLKKAEDAEVDTAEQSAIKLRSQQHTSSALVVADHPPANGSAPVSNHLTLVKMPSQTISDIQESTPTYEEAPKPPVETPKENGAPLDVESKATSVPEIKIESKVEHPSTSHSASPADLLADLLGPLAIEGPPPVEQHPAQVSEANQNSVGDLALATLDDQSNSVQPIVNVEEKFHILCTKDSGVLYEDPHIQIGLKAEWRAHHGRLVLFMGNKNTSPLMSVRALILPPSHFKMELASVPDTIPPRAQVQVPLEVANLRASRDVAVLDFSYTFGTALVDVKLRLPVVLNKFLQPITLSPEEFFPQWKALTVHSLKVQEVIKGVKPMPLPEMANLFMSLHLAVSPGLDNNPNNLVACTTFFSEATRAMLCLIRVETDPQDRTQLRLTVASGDQNLTFELKEFIKEHIIDMPRTQAAPPPTPVQQQLPSAAPATYNDPGAMLAGLL >Et_1A_005892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15218178:15226566:1 gene:Et_1A_005892 transcript:Et_1A_005892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPVITDAADQPAEGFPQAEAAPAAEPEGTEEHASSAVVSEEASNPSAVAAAAVTARALPGDAATSAPAPAPDPTSTSSSSAPPPSVSPATQAAPGPPRPQFAGSPAYLAPPAPSPSFSYNVLPRAPPPQQVGRGAAPHQPASSPALMAPLMPASALQPPAPGQYYGNRPSFSYNVVSHVNARLPTGQQFQLDTTPVPGQLARPNAAFPGATAPNSSSTTASSSGSTSTHIQMPANQPAAPRPEVFGAVGQSVPGQPSAAFSNPSLLGRPIVPLASPLPQTTQSVATPGVIPQNPQPPFYPSYPSIPGVIPPQPLWGHPHPQQHTGFQQAPFQSYPAGPVGFVRPVFGASVVTTSVPTGHGGQVNDELEDKRSFGAQDSDAWSAHKTETGAVYYYNALTGESTYHRPPGYKGEPDKVATQPVPVSWDKLAGTDWSIVTTSDGKKYYYDNKQKVSSWQLPPEVAEIIKLAESGSLKESSTSLQDAGTIENKGVMCIDTTPAIQSGGRDSLPLRQTVAPASPSALDLIKKKLQDAGASSAPSPLATPSAASELNGFKPADAAPKGQQASNNGEKSKDNSGDGNMSDSSSDSDDEEHGPSKEDCIRQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSHSTRRAIFDHYVRTRAEEERKEKRAAQKAAIETYKQLLEEASEDINSKTDYQEFKRKWGADPRFEALDRKEREALFIEKVKAVQEKILSTRKAIFADFKSMLRESKDITSISRWTKVKENFRSDPRYKAVTHEERETIFNEYIAELKSAEQEAEQAAKAKVDEQAKLRERERETRKRKAREEQEMERVKLKIRRKEAVSSYQALLVEMIKDPKRCVRDFRALLSEVITQEVAARATGEGKTAVNSWSEAKGLLRSDPRYNKLASKDRESIWRRYADDLTRKLKQSDTEDKSDTSARQRRSSDPPRRR >Et_9B_066172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5750341:5754845:1 gene:Et_9B_066172 transcript:Et_9B_066172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIRSMHTGNVLLNPKPKDHQELRRSFSECLYNGKDQLVVAGSDGGGGGSDAAETVRCACCNMPEDCTAAYIRGVRAAHCGSWVCGLCAEAVGERLRREPGAGVEAALRWHTAVCRDFNATTRLNPKLSLAGSMRDIARKSFNHRTTSTCHDELRAGKTMARTVKLYDDM >Et_7B_055776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4151093:4154439:1 gene:Et_7B_055776 transcript:Et_7B_055776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLARSLALARPAAAARLRPTRALSSKVEFIEIDLPEESPASTGGGGSVGDAAAPTRMRRLEDAIQGVLVRRAAPDWLPFVPGRSYWVPPLPRPLGVGDLLGPAVYTSRGASMLTEEEARSFTTVRGWPSAAYFVEGVRFDQLHGGIVAAAPYHLRPSATSNLKMCAEDKLKHLHLNQT >Et_6A_046258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11650495:11664237:1 gene:Et_6A_046258 transcript:Et_6A_046258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATRGEVRFAEAAYLSGPSARAFRQAHCSSRALAMAAALCNRSIVGINNAGGMAAAAAILLTVTALVQGAAAGEPPFSCGASSAELAQGYAFCDASLPAARRAADLVSRLTAAEKAAQLGDVAPGVPRLGVPAYKWWNEALHGLATSGKGLHFDGGAVRAATSFPQVLLTAAAFDDDLWFRIGQAIGREARALYNVGQAEGLTIWSPNVNIFRDPRWGRGQETPGEDPAVASRYAVAFVRGLQGSASLAGAPAPPSPLQASACCKHATAYDLEDWKGAARYSFDAKVTAQDLEDTFNPPFRSCVVDAGASCVMCAYTEINGVPACANAGLLTDTVRGDWGLDGYIASDCDAVAIMRDAQRYAPTPEDAVAASLKAGLDIDCGSYVQQHAPAAIQQGKVTEQDLDKALTNLFAVRMRLGHFDGDPRTAASTAPYAALGAADICTPEHRALALEAAQDGIVLLKNDAGLLPLNRAAVASAAVIGPNANDGLALIANYFGPPCESVTPLKGIQTYVADVRFVAGCANSAACDAAADQAVAAASAAEYTFLFMGLSQRQESEGRDRTSLLLPVQQQSLITAVADAAKRPVILVLLSGGPVDVTFAQSNPKIGAILWAGYPGQAGGLAVARVLFGDHNPGGRLPVTWYPDEFTTVPMTDMRMRADPATGYPGRSYRFYTGRAVYKFGYGLSYSAFSRRLVSKPTSPPHAKNVLASLAVTETEEGAASYYHVDDIGADGCERLKFPAVVEVQNHGPMDGKHSVLVFLRWPNATEGRPASQLVGFRKQHLKAGEKANFRFDVSPCEHLSRVREDGTKVIDKGSHFLVVDDHEMEISIMIASTAFHRNAGAMEALMLLTVIIIPALLSNAATAAGPAFSCGPSSAAKAFPFCNRKLPAAQRAADLVSRMTAAEKVAQLGDIAPGVPRLDVPSYKWWNEALHGVAISGKGIHLVNGGAVHAATSFPQVLLTAASFNDNLWFRIGQATGKEARALYNVGQEEGLTMWSPNVNIFRDPRWGRGQETPGEDPAAASRYAAAFVRGLQGNAANTKSAPPPTLQTSACCKHATAYDLEDWKGVSRYSFAARVTAQDLADTFNPPFRSCVVDGGASCVMCAYTSVNGVPSCANADLLTKTFRGSWGLDGYVAADCDAVAIMRNSQFYRPTAEETVAVSLKAGLDIDCGTYVQQYATSALQKGKLTQQDVDKAVKNLFAIRMRLGHFDGDPKANTYGALGASHICTPEHKSLALEAALDGIVLLKNAAGALPLHKGSISSTAVIGPNANDVLALLGNYWGPPCEPTTPLQGIQAYVKNAKFLAACSNGAACGGAATDQAVALAKSVDTVILFMGLSQTQESEGRDRTSLLLPGQQQNLITAVANAAKKPVVLVLLTGGPVDVTFAQNNPKVGAILWAGYPGQAGGLAIAKVLFGDKNPSGKLPVTWYPEEFTKLPMTDMRMRADPASGYPGRSYRFYNGKTVYKFGYGLSYSKFSHRIVAGARNQAAASNTSLLLAGVAPLSEDKASYHIDDVSTDVCDQLRFPAVVEVQNHGPMDGKHSVLMFLRWPNATAGRPVSQLIGFRSEHLKVGEKANLRFDISPCEHFSRVREDGRKVIDKGSHFLRAHATAPNGKQRK >Et_5A_042797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4541810:4545772:-1 gene:Et_5A_042797 transcript:Et_5A_042797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVPLTFTQDAQASQLRGPRRPLGKPMRCECEKGWMRSYKFGSSSPRACHIRVSKSPLPPNQNTTLFDQGYMASERSHFADPHTLLRTNYNSTAAHICDICRSKLAGLVGYRCNACDFDVHEACADYFQETVSFFAHPWHKLTLSRMPSSCVGWVCDVCKEPCPPGNFVYRCIGCMFDVHPLCTMLPQTIRSPLHPKHDLHMVPSDGWCRACHEDLPIWHYVCGGSCRFRLHIQCVNGASKAGEQGSAGGGQHQNNGSSGQPGTTAGQSNTSSNLVISGSRDGQTSTIVTGAAQPQQGSASSQTTGSSQSVVVRRSRSTRVGKFLLKQAFIMAIDLATGGMASPVLEVLAASMD >Et_9A_061233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23115377:23116549:-1 gene:Et_9A_061233 transcript:Et_9A_061233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSCSGGGGGGVQPKLRKGLWSPEEDEKLYNHIIRHGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSQQEEDLILALHEILGNRWSQIASHLPGRTDNEIKNFWNSCLKKKLRQRGIDPATHKAIAGAAAEALLPDNDDDAARDDEDHKPAAAADGVAAQKQAVFDPFPVTDFGGGFDLAAGVGVAALYGGQYDAGKAAAFVADYSSVLDVSENLGYGESSSNSSNWNCAAEMGNALDSDVLDWASNAAKPEPHGGGGYGDDDALEHKFALPCHEQSLAHFDFSLEYF >Et_3A_025285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28497352:28499439:-1 gene:Et_3A_025285 transcript:Et_3A_025285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKTVDPFAKKDWYDIKAPSVFSVRNIGKTLVSRTQGTKIASEGLKHRVFEVSLADLQSDEDQAYRKIRLRAEDVQGKNVLTNFWGMDFTTDKLRSLVKKWQTLIEAHVDVKTTDGYMLRLFCIGFTKRRPNQVKRTCYAQSSQIRQIRRKMVEIMANQATTCDLKELVSKFIPEVIGKEIEKATSGIFPLQNVFIRKVKILKAPKFDLGKLMEVHGDYKEDVGVKLERPAEGDEAMAGQEVAAAE >Et_1A_006712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26632970:26636500:-1 gene:Et_1A_006712 transcript:Et_1A_006712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRIKDALERHLERSSPSTSKGAAKERERLAAGKLPGSLGKAGKVSDGEEFETDSEDSDVSGSEGEDTSWISWFCSLRGNEFFCEIDDDYIQDDFNLCGLSNQVPYYDYALDLILDIESSNGDVFTEEQNELIESSAEMLYGLIHARYILTSKGLAAMLEKFKNYDFGRCPRVYCCGQPCLPAGQSDIPRSSTVKIYCPKCEELHYPRSKYQGNIDGAYYGTTFPHLFLMTYPHLKPQKPSQQYVPRVFGFKLHKQS >Et_1A_008743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11443368:11443807:-1 gene:Et_1A_008743 transcript:Et_1A_008743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQKQRIAIARAIPMSPKILLLDEATSALDTESEHVVQEALDLASMGRTSITVAHRLSTIRNADLIVVMKSGEVKELGSHDELIANENGLYSSLVRLQTIKDSVQADEQPQHEQEILFGDQVMLSMVNG >Et_3A_023253.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:14293998:14294192:1 gene:Et_3A_023253 transcript:Et_3A_023253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KRVPNTFRTASFDPSTAKRVFVREQSSYSKHIATSEKELSSGRFDRNNTEITETGPEQLRNCPV >Et_3B_031296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24806252:24810729:1 gene:Et_3B_031296 transcript:Et_3B_031296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDAEPDVPLLPSAPNPSSQQPLRATKSTAFKREERRRRKERKRQERLALALEQWEPLGAPTPRPAAAAARIPAPDTPWSCDPAPPQDPVGASSWGWGPPADPPPKPTVAAAASSPHPQVAAVRSCRAFFEEHADEDDEEEEGDQEEGNAARFFGDLLGNDAALRGFYESEREKGQFLCLVCEGTGARVGKRFAGCSALVQHAGSVARTKRRLAHRAFADAVGGLLGWAPGRTALPSKIVFIRHFSNFSITSGNMRARLLAYNPGTGSALWRLSTFMSRLPVINSELRSHQNRYAGRIACAESILDSRIQNSCTVRARSRLTCFSDEGKVIPPPSSGGRTRATAASRHRRQTQAQDTTSRRRGHLSAPACTRRMISLSSSGNSADHLPSSAAFSASAEISGETLALPPASGRAGSEKATAAAEPEEARRHGLIGGRLGTEWDYGRVERTDGSRMPSES >Et_4B_037764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23082666:23098526:-1 gene:Et_4B_037764 transcript:Et_4B_037764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNELCDLVAAHPDLLLADKLAWLSSRCGGAPAAAAPPSRASRAHLHSLLALARLLPAGGAGGDAATPPPLLAFLASHAFLSPAFWPQSFAPGPFLSRLLPLLAAAPASPALSSALSAAVLAALDVADPASAPLARAFLSAAAAAAPLPLLPVDAAPLAARLLLEFPASEEAPAKSKGKGEDTVSEENGGVREVVRRFEEEEVEALERKEVAFRLIVHTMGGEGGLEAEQVGKVRNAAARQVRSLTDFLKVRKRDWREQGAQLRARINTKLMCCQAAVVVLVRSISIMGGDSKSSKDMLQQTLAWFIEATKSCILSSWRKLKICEELFCTLLNGISQITVSRGGQLLPADMAGSSPGALFEAVVKLSCEIIEFGWTKDRALVDTFIMRLAAYVRERNDYEEEDGKEKEAVPVIRLNVVRLLAELCVCLKKWEVVDMILPLFIEHLEEGDASSPSSLRLRLLDAISRVACLGFEKSYRESIVLMTRSYLDKVKAVGASENNTLPSEATTERTETLPAGFLLVASNLTSTKLRSDYRHRLLSLCSDVGLAAESKSGRSGADLMGPLLPAVAEICSDFDPVSTVEPSLLKLFRNLWFYIVLFGLAPPIQSNQAPTKQVSTALNTMESISAIALQAVAGPYMWNSQWCVAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNEKAAIAQRTALSAALGGRVEVAAMSTISGVKATYLLAVAFLEILRFSCNGGVLAATSTLNKSNSAFSCVFEYLLTPNLTPAVTQCLTAVVHRSFEAVLSWLEDRISDIGEGADIRESVLSVHACFLIKSMSQRDEHVRDVSVKLLTQLKEKFPQVLWNSSCLDLLLISVHNELTSGPVSDPAWVATVRSLYQKIAREWLTSALSYAPCTTQGLIQENFCKPSGVQRTQHTADVVSLLSEIRICSGKNDWNGIRTANVPAVMDSAAAASGAKKEAADFTLEVLSTAVVSATVKCNHAGEIAGMRRLFSTMGGLNMGMSPLGTQSAQPHQSFDEVFVSKFVSLLQNFVVTAEKQPIDNAQFRETCSQATALLLDHMVSDSRANLEGFPQLVRLLCWCPAYISTPEAMETGIYIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFASDMNYCGPDAKLRPHLIAGEPEAPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSIEQLLLLGRMLQGTMKSPTHFSRHPAATGTFFTAMLLGLKFCSCQSQSNLQKCNTGLQLLEDRVYRAALGWFAYAPEWYESPNKTYAQREAQSVSVFVHFLQNERTSGSSDSSSKSQGRESEHNTADQIHPVWGCIDNYAAVRDKRKQLLLMLSQHEADRLEVWAQPINTKDTTTFRGKVSSDKWIDHARTAFAVDPRIALSMTLRFPTNAALQSEITQLVQTRLLELRTIPEALPFFITPKAVDENSALLQQLPHWAPCSVTQALEFLTPPYKGHPRVMAYVLRVLETYPPETVTFFMPQLVQSLRYDEGKLVEGYLLGAARRSNIFAHILIWHLQGECVPDESGKDSAAPKATAFHSLLPAVRQKIIDSFTQDARDMFEREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKITVPGDDLYLPTATNKLVRGIQLDSGIPLQSAAKVPIMITFNVVDRDGNPNDVKPQACIFKVGDDCRQDVLALQVIALLRDIFQAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRNQMGETTDGGLLEIFQQDYGPVGSPSFETAREMFMISSAGYAVASLLLQPKDRHNGNLLFDSYGRLVHIDFGFILEISPGGNMGFESAHFKLSHEMTQLLDPSGTMKSDTWNQFLRLCVKGYLAARRHMNGILTTVNLMVDSGLPCFSRGDPIGNLKKRFHPEMNEREAANFMVRTCVDAYNKWTTAGYDLIQYLQQGIEK >Et_5B_045645.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6164911:6166179:-1 gene:Et_5B_045645 transcript:Et_5B_045645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRIRDPRARPTTRTAPSCCGCGAKRRAASQARTSSRLAKRRRTEHDPEACHESLFLTWGAKRARSALPLLPAQPPRFESDTVPPGLQRDWTDLGDGPTGLIAERLLADDVADYVSFRAACRPWRLCCPTDPRAHGVLDRRFHPRHWIMLRKQTGGAPQPYQRRFMNVNTGCCRDVRIPLLRGHDAFPTTEGLLVLLDRSTTVVRLLNPFTRHAAELPPATTLLTQRELEGGTTPIITLLKVSGAGLADESTVAVHFGSIETVAVAKPGDAGWTVVERDAWIRPAMSFAGRFYCATDDAVMVVETGADHPPRLALAAELARPLSRMMMDTVHLVDIEGKLMLVDRRFVSNRSTQFVNGHGSRTYTVYRVDLDARKIVPVRGLGGHAVFIGLELAVAVSPWCSPPSAPTQSTWDLTVCSQVG >Et_3B_030975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9590603:9591385:1 gene:Et_3B_030975 transcript:Et_3B_030975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFPAPAPAAAGRRIAVAVDEGEESMHALSWCLANVVSPDAGDTVVLLHARRPRPVYAAMDSYGTYDISFVDRMYISDRGSRVHQSFTMNCRMIDAGYMLTSDVMASVERHANAVSAAAVDKAKHICAEYPHVKVETLVESGDPRDVICEATDKIGADLLVMGSHGYGFIQRAFLGSVSNHCAQNCKCPVLIVKRPKE >Et_2B_022451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22405212:22413600:1 gene:Et_2B_022451 transcript:Et_2B_022451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADDGMRRLTQLSLVSKVCTELEAHLGVADRVLAEFVVNLGRAAASAADFRASLRDHGADLPDGIARSLYAVIRAIPDHAPAPRNPAAAVPASSRGASSARRQNKAYEEESDGEPELHQVRRGMVTRVYDAGCFVRLGGREGVVHASQMPGRRVAVTHGQEVFVKVVSVQGAKQLELSMRDIDQATGRDLLPLRSGANPPAGRSRPPGKSTRIAGVFGPDDDEAGPAPRRPVRRMSSPERWEVKQLIASGVLDAKDYPLFDEDDDQEEDLEEDLEIELNEDEPAFLRGQGRSSVDMSPVKVSKNPDGSMSRAAVLQTALIKEQRDIRNQEERGMMDAIPKDLNRSWEDPMSGGRYLMQELRGTGLPAQSVPEWKAAYEKAGTYGQRSSLSIQEQRQSLPIFRLKKELIKAVHENRVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIACTQPRRVAAESVAKRVADEFGCRLGEEVGYSIRFDDRTGPETVIKYMTDGMLLREILVDRDLSSYSVVMLDEAHERTIFTDILFGLLKQLIRRRSDLRLIVTSATLDAEKFSGYFFDCNIFTIPGRTFPVEILYTKQPESDYMDAALITVLQIHLTEPEGDILLFLTGQEEIEHACECLHERMKAFGDDIPELIICPVYSALPTEMQSKIFEPAPAGKRKVVVATNIAEASITIDGIYYVVDPGFAKLNVYNPKLGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYHNEMPPTTTPEIQRANLGWTVLNMKAMGINDLLSFDFMDPPASQALLSAMEQLYSLGALDEEGLLTKLGRKMAEFPQEPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQPQADRKRSNFFQPEGDHITLLTVYEAWKAKGFSGPWCFENFIQVTSLRRAQDVRKQLLEIMDKYKLDVVSSGNDSTKIGKALAAGFFFHAARKDPKGGYRTLADNQHVYIHPSSALFHQQPEWVIYHEIVMTIKEYMREVTAVDPRWLVELAPRFYRSVDSAKISKRKRQERIEPLYDRHSEPNSWRLSKRRWRTRREVRASSKISNEHSTASACFSNSNALRSGRICNSHRRPAAAAAVQLLVGVRERLVDCPVLLVLHVFEIALQTPLESNREDIGALGADAAEAHLLAGGGLHLEQLADDCGHRGRERRGGAPALPLLVAYRARVASGGGDGECLLLRFCLLLVRGLGG >Et_5A_042303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8523789:8524499:-1 gene:Et_5A_042303 transcript:Et_5A_042303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAPLIVLAALLSTLAAAADTEECVFYPVPELTPGHVCDDWQGTYAPGSAYEATLRHLAATITAKVSASSCNSSYGNIAGDSPNMVVASAVCYWSPDASSPDCGACVALAFREAQRLCPYHRMAEAIVDGGICKAYFHDYDLMEEYQHGPIPGFDFFMVKGLRFGLFVLIAKVVRT >Et_3B_027766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18242020:18243477:1 gene:Et_3B_027766 transcript:Et_3B_027766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAALLLLLLAAASHAAERVEYHSFVATPFSPDAYTAPAAGAEDVFGGSLAAAEEDASSTTAQFRVVHRDAFAVGATPAELLKHRLKRDRKRAARISKAAAKGGAAANGTYGGGVSAPVVSGLAQGSGEYFTKIGVGTPATPALMVLDTGSDVVWMQCAPCRRCYDQSGPVFDPRRSSSYGAVDCSSPLCRRLDSGGCDLRRRACMYQVAYGDGSVTSGDFATETLTFHGGARVARVALGCGHDNEGLFVAAAGLLGLGRGSLSFPTQISRRTSSSPGTARSSTVTFGSDAAVGASASASFTPMVRNPLMETFYYVQLVGISVGGARVPGVLASDLRLDPSTGRGGVIVDSGTSVTRLARPAYAALRDAFRGAAAGLRLSPGGFSLFDTCYDLAGQKVVKVPTVSMHFAGGGEAALPPENYLIPVDTKGTFCFAFAGTDGGVSIIGNIQQQGFRVVFDGDGQRVGFAPKGC >Et_5B_045650.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6211002:6212018:1 gene:Et_5B_045650 transcript:Et_5B_045650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATSFLLVLLVAAAATAAPALSSPPPRRCTPGDLHALLAVKQSLGNPKTLSTWSASSPDCCAWDHLRCDDAGRVNNVFIDGADDVHGQIPSAVSGLTALMSLSLFRLPGLTGTIPPCLTALSNLQFLTISHTSVSGPIPEHLARIRSLDSVDLSNNRLEGRIPASFADLPNLRSLDLRHNQLTGPIPAGLVQGQFRSLVLSYNRLSGPIPRDDAQDEINTVDLSHNQLTGDASHLFVPGRPIGKVDLSWNDLDFDLSKAVFPPELTYLDLSHNRIHGTVPPSLGRLSTLQKLDLSWNHLCGPLPRYHGVMKHGCQPYEHNQCLRGSPIETCRRLS >Et_7B_055072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:736529:737772:-1 gene:Et_7B_055072 transcript:Et_7B_055072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAALLPSALSVPKKGGSLGAAVVKDTAFLSVSQKKVSSLSVRAHVATAPAATPGSSVDKKKKTLRQGVVVITGASSGLGLAAAKALAETGKWHVVMACRDFLKAAKAAKSAGMADGSYTILHLDLASLGSVRQFVDNFRRSGMPLDALVCNAAIYRPTARTPTFTADGYEMSVGVNHLGHFLLSRLLLDDLKKSDHPSRRLVILGSITGNTNTLAGNVPPKAGLGDLRGLAGGLSGNGSAMIDGAEEFDGAKAYKDSKICNMLTMQELHRRFHEDTGITFASLYPGCIATTGLFREHIPLFRMLFPPFQKFVTKGFVSEAESGKRLAQVVSDPSLTKSGVYWSWNKDSASFENQLSQEASDPEKARKLWEISEKLVGLA >Et_8A_058374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6093949:6096960:-1 gene:Et_8A_058374 transcript:Et_8A_058374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPEFEMKKIKNHGEWGRRRLAKPYKDRDDFEAEFQAFLKACEEMDESHDEFMALSPWEDDLKGVLEASKEKCTLTSAKNENRGEWGQRRLRKPYKDGGNFEAQFQAFSMYDKIDENHDKFMALRRWEDDLRGVLEGSKEKDTFTSAENDAINNHKAIDGDEVTIVMPKRKRMNPYRGIRRRPWGKWAAEIRDPRKGVRVWLGTYETPEDAAKAYDAEARKIRGKKAKVNFPDETPPLVLLNNTPKQIAMARPMMLLPTEELNINQSVGFYDSNEDLFSVVNFNGNKSTFMPSGDFNSLTMTKPHEISRMGAFPTQNGFPSRSLLPCAEMLIGGPTVDGLSTMIERNEGAAIAPVLSSAMSNLTSVVHGVEAGARIDHPILKEIATEYIPPILQGDVSEVVASGINILIFEKGKPAVHE >Et_5B_043236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19573432:19574911:-1 gene:Et_5B_043236 transcript:Et_5B_043236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFLSAILSEMTSRSINFFIGKSSKQMAQDVENRLCRALLRAQVIVDEAMGRRITNQAMIKLLGMLTDAMHRGYYMLDTFRCQSYGEEDPKDLSSLILDVKELAVFLMSYPRRYRQPYSMHLLLDNCMFGHQMEAEHAINFFPGKVGKSTFVAHVCKDERVRGHFTEILFLRGCGFRDDELATFREGCATRHLNHVSNSNKDERLLVAVELDEDLSEHGWNKLYSVKRYLPNGSKIIVTSRSDKTFKFGTTQGLTLKHPSCEAYWYFFKTLAFGSTDPEMHPGLVYLAMEIARVLDGTLFRASITACLLRDNFDIRFWRKVLAFLKGISQKHDSGFCEHPFNLADQNRPARFKVVTRSEDFLIYHQYHQRSSEEEVPKIRIQDVIYGNLKPHGKFEALAWRSRLPPYQSYVYTCEIREQKLTGAKRKRQMRDEVTLC >Et_3A_025289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28564339:28566168:-1 gene:Et_3A_025289 transcript:Et_3A_025289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQSRFAVSGSSSSSVSADELEAVIRELTRGHELTAQLRAEALRSLRGNGEAEAAAAGILQEVSQTFAVCLSIMSSPARGPPTPPEMPEPGRRTSGRDENVPRRQVFTYSPYSDGHQWRKYGQKRITNTIFPRCYYRCRYHRERRCPATKLVQKHRHGDPPEYVVIYVNEHTCNTAAWEPVPSPPASAGPSTNPLLMDLSGLARQQVGGGLLGVQEEHERQALVSSLACVLGAQSPSSGSATAFGQEPPSRTRDAPASASVVDQASGGGELPRLDVDAGLDVMDYDVTDGALYITDFSDSYGLPHDGLPF >Et_10B_002776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1132200:1136888:-1 gene:Et_10B_002776 transcript:Et_10B_002776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAVESALNGDSLIGRQSVLPRMKRKTPSELRGEQLKRRANEKPPNDQLSSSAALDRSSNGLRNPEQQKLSKYISTRVTEVFPVRKPRNLGKENLKDVLQNNEKVVKPADATTASNFASSTLPWYSRGDSAKLDSAVPSITEAAKPGFKKVEKCSENALRSVSELHIGDEKRTGSDKFDMEKVLKGFGSRDASVASGFSVPNKQVGDAALKSSDMCPSKVTIPGKKAPLDFTLKTTLQFVSSSSVKWCHKLNTSFGRSGIPGAIAQSSRRGCQNFECPRPENKKEFLFSRALQSWVYPQSLLPASIISVMLSSSARGENDFLLKRHQDWEDSFQNLYYMLRKNMVNMFYVYTAQFVALFIAGSCSEKKQSCSAYLSQSTRGLRSLLRKHGVCFSMPLCNAEVEQATEDDLIELSEIQKLNVGQALHIDASSDVDNTTQSLLQFTGNDNVHGLYDVLLNYKCLLNSLSAADVPVLYSPQPFQNGCLHIPEVKCREMRRADMGLFSSGGFDTELGSALASSTGNICYSMEIKDAVLPPWVVSGICSAMSSDAKSFDLTIATEPSSMGLNVALKSMSMDAQPEARAPSDGCESLGIPDAVLVPSLHSASIRRLSYSDGGYVAYTTV >Et_10A_001216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22236569:22241373:1 gene:Et_10A_001216 transcript:Et_10A_001216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFKSTTRRSLHGSSASRSDQPPCPRRSRSVSAAPRGRDLQEDYANTRTNPLFDSTASPQQRSAGTATSTSSGGGGDVARRERDRGREPVKGGGRGAGGRARSPIKGGGRGGGGGRARSVSVAPQRRRTDSAPSGDSAAAVGGRRSSRARSVANDARSYRGSETDAESRDMTRKFQSRRSRDSVLENKHRLNVTSASCSSKGSTAGVKCQQMDETARSLSSASVSSPGDHLEHVIWRPNHSTVPADPVLEIPPEFDPDSAEFISDISDYASEYRKEEVVDIPLDADTDAAELTVDITHDAANHNLGQMKIPHEFDPDAVEELSELRQYSRKQQWEQIEIPLEFDPETSELTTDITEYTIKLKQSHERARKLRADLAVEEQREQELSRMLKGIVTVPNFTETHKKRPRRKSSIERLKVSRHLAEEAMNYFEECVSISTMDSTDFSSPEDPQSNSLVSVPPKNNSRFSHNGGSSFQEPRPTTDEHGLREESENQTQCSISINGSDTSDNVFFSHVNPSGLKIRSNSSDDIDGFDTPRSRSSCFSFTREPAKTVQNCDFQHYIGNFGRGSNKALRGMRSSYSADDYALQKENLDLLTDIMTFQNRIESSLPTNEVTDVCEQKLTRELLK >Et_5B_043025.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:15055257:15056096:-1 gene:Et_5B_043025 transcript:Et_5B_043025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HCRHRRFRRLSLLSPPSSPAPKRVCGGGATSPSTRTASPLPAPALSSAAPTPSSSLAAVHVAPKDGAYSPSRSSSLAVALGTTKHEIEFDKQKFETTVTKSLEAVDSFIKDVVGEHHEHLVIGLDTEWRKIWWMSKRRRNKTAIIQLCVNCCCLVFQVYRAGDVVPVRLKNFLERPHCKFIGADVQEDILRLANDYGIFVKNSVDLQKIAEKKMGFKKKTSLKDLTRALLGTNIDKSKKYLHETWDREELTMEHMTYAAIDAITSVELAKPMEIMPVIV >Et_3A_024761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2462843:2468286:1 gene:Et_3A_024761 transcript:Et_3A_024761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLAPFVAALDDSSDRGCLLVRQSFFHDCPDDFIKLGGGVVGCPGYHSSFRPTQSGLSLNIDLSTTMIVKPGPVIDFLLSNQNISDRRLIDWSKAERALKNLRIKTTHTKSEFKIYGLTKEFCYAQKFSMKQRNGDGSGTVEVTVYDYYLQHWGIKLKESANYPCINVGKPSRPTYIPIELCDLVSLQRYKKTPTVLQRSSLVQNSRNNPSERKSLLSSALRHTNYNSDDMLKKCGVLIAPEFAQVDARILQTPKLKAGGGQDISVNNGRWNFNQNSFFGATTLKQWAVVNFSAPCNVQDLAQRIIRCGKAKGLQINREDAVIQEQHEMIRAPAPSRVDAMFKQIMACFPTKRQNFYYAFFLKRRTPTFMWNCLVKYGIRTQCLAPPNKNINDQYLTNVLLKINAKLGGLNSILQMEDTRSIPQCRGLFWHYFLTRCCITHQSGALHFTVVSSLGWPRVSKYRASVCAQKSRQEMIGSLFKLEGNTGHGIVRDVVSEGQFTQVLNDELAQIIEACKFIEDSWSPKFTVIVAQKNHHTRFFLPKGNRDDNNVANVPAVRPTHYHVLHDEIGFSPDQLQELVHSLSYVYQRSTSAISVVAPVYYAHLAAAQARQFFRLDEMSETASSGSSGPAPVPELRRLHESVRSSMFFC >Et_10A_001245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22562534:22564721:-1 gene:Et_10A_001245 transcript:Et_10A_001245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRHSYVIICLALLLLTSLLKAQGARTTEEYLAGGGEQKQKQGRSRRSPSPEAKYVPVPTVVYRRFVNTGREPFQTCSGCRCCAASNSSNCINTQCCFNINCNLRGKAFGTCAYVVLISSLLSHACQESRSIGSFLIHQGGGSKEEQLAGGEQRQRQGSWRRSPTTEERYVPVRSVVYRSAVALPAEAYQPFEVCDGCRCCAESNSSMCVDTSCCYGIDCDIPGKPYGVCAFTPLSCGCGTNNCSQPS >Et_8B_058787.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5060200:5060463:-1 gene:Et_8B_058787 transcript:Et_8B_058787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFCSVLTYTWFRSALTKPATISPSRTKSSSVAPGWVSSGTTPPGRTSKRVAEMPSPFTAGNWGASAEASFVLKGGMSRPGWARPW >Et_8B_059317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16665685:16671125:1 gene:Et_8B_059317 transcript:Et_8B_059317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPDAADAPPPPSSLGRDLKHLVLQHLREIGYKETAHSLERETGLYLDAEHLQDLVRRGAWDEAERYLGGFTGVEEDPCAAKVVFALRKQKYLEALDRRITAEEGEVLMNDLKALAPYDEEVAKMVAMLENFRQRERLDTASARDAVALEIKQLIEVNPLLQDKLKFPSFADSRLHTLVNQRCSVGLNYALLFILYVTPSCNLMDAAICHPFQPVITPSPNAVADCLTNATPSLPHAVVAEETPSLAQLPSTAAFLKHPATNNIVPSIGYAVQRIYSGRPDEVVSLLYTKNGISLLALSSDAIHRLWKWQCSDTNPNEKSITSVPQLWQPENEIVMKNDTSYGNPEEATACIALSKSGSFVVSASGGKVSVFNMMTFQVVSTFMAPPPAVTFILFHPQYSNIIAFGMEDSTIQIYNYLIGQIKNVLVSSGADAQICVWNIDVWRKEYSRYLVQDPNQTVALDGDTTVQFHKDCKNLLVVNKSQLAIYDWRLQFLCSWSPRNEWPRISSAVYSCDGCLVYAGFCDGTINIFEADLKFRVRVRPSGYVRPISSAGSVYPMVVAAHPSEPNQIALGMSNGTVIVLISKLTNMDEQLETSPPQDNITQQSTSATPAPSEIWEE >Et_4A_032585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11615558:11625345:1 gene:Et_4A_032585 transcript:Et_4A_032585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTLAKIRKKLKKRKKGKEDTDVDAVAECGTQGEVLAQQEDVQAVGDADEGVPDEKSSHEGVKVGDGVQGLDDLGLQDSLSVLFTRSARKSWQVSEIEAEGVVDLACQHDEEALVKESALALDTTSKGTKRRRRRTKEEMKNAAAHDRKVSLPRKAKAKANACDSMGRFKVDACQAVRELASVSPEPEKKYGEEEKAMDDELCHPSFVEGLLQDAEAKLRDGSGNSLDGTTHHFEVSAQASNQPRLKPCSGMLPVKASCTAANETTCGASDAHTCFHILGKDSSDDIDCSQGKSLTSIIKRKAGLKPKQIPRKPVRKKEALSSVDAKPADTAKDDTLSKRRVKSDPKDGEVELNAAVHTEETLDKLAPAKDVDMVDVATPSDFEDMENASKLKRVLRSSKKRKHGDMAYEGDVDWETLMQEQALFSNSSAVDHSVKVKDKNRISEIHESVGAAAVRAGLKAKAVTPIEKLKFKEVLKRKGGLQEYLECRNVILGLWSKDVKHVLDLAECGVSDVPLKDESPREALIRDVYFFLDQNGYINAGIASGKLVKGLDTCSDVVEVPALKPNESHELESGSLQDGIVASPLQNNGSGYDKCIECCSNGSAKNVVCALTEGSNETNRPTVECEALELLPQLKPDEQPIEEKNIDVSNDGRDIYCRSDVDNLAGKVESLCKPEATGVENCRNNCAKSDKVESGMYHKTVIIVGAGPAGLTAARHLQRQGFSVTILEARDRIGGRVYTDRTSLSVPVDLGASIITGIEADIATERRADPSSLICSQLGLELTVLNSACPLYDVVTGDKVPDDLDDDLQAEYNGLIDEMALLFEQNGESAMGLSLEDGLEYALRKHRSAQPMASVEQHDQLRSVANSDVSRSDSTRKEIVTCGEDGKMDVLSPLERRVMNWHFAHLEYGCAATLKSVSLPYWNQDDVYGGFGGAHCMIKGGYDTVLQSLAKGLDIRLNHAVTEVLYGSEESGARFADGKRVKVSTSNGSEFAGDAVLITVPLGCLKAQTIKFFPSLPDWKLSSINRLGFGLLNKIVLEFPEVFWDDTVDYFGATAEETDLRGCCFMFWNLRKTVGAPVLVSLVAGKAAIDGQSISSADHVNNAMVVLRKLFRDASVPDPVASVVTNWGLDPFSRGAYSYVAVGASGRDYDILGRPVANCLFFAGEATCKEHPDTVGGAILSGLREAVRIIDLVNTGNDYVAEVEALQTYQMQSDSERNEVRDMSNRLEAYELSTALSKNSSDMICPIVSKESLLQEMFFSAKTTSGRLHLAKELLKLPADVLKSFAGSKEGLTTLNSWILDSLGKNATQLLRHCVRLLVIVSTDLVAVRLSGIGRTVKEKVCMHTSRDIRAIARQLVSIWIEVFRKEKASNGGLKLLRRIPSIESSKSKSKDLQSGKPSFHVPNETLDDHKVASQRQHGMPASSHSRPKANIKSENKDMKLETVTAIRVNESPVSQKKHHDIRPHVEHGIPISEEEAAAFAAAEVARAAAIAAAKALASVEADISVPRQLPKIPSFNTFARRDHHLDQSDARKKALKDNFGRLECISEVDSRNGKAKDSSADDTNCADADSSKMTGDNCTQRSHSIEKACLTNIRDHSTDSCAVDSLFTRAWVDTDTICIDGVKDPLAIERWQAQAMEADKEFYSRICIPDEEDSNSQKQTCRSSASQVAESKPASEGHSRGVELKQGLVNFITSLLMPLYRGKKIDREGYKTIMRKAVNKIIETCTAGEKLMTSHEYLDAKRKSKELYKVGPEGKPEHFIIYNVTNHISHQKIACTVLQNTTRGRGEVEKHKRIRRNMKATIDPPRHEKTYLQFTTIIILS >Et_2B_020267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18547284:18552102:1 gene:Et_2B_020267 transcript:Et_2B_020267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFGSPAPQNPFQTPAPAPAPAASSSASPFQFNFQQQQQQQQPQPQPQQQAAQPQKQQLTLCMKDGKPAGYNTKWEELHADTQKALLQIEDKIREYRDESERLDQCSRLYDSSISNVNFELDASRIAQELGGTTTVMEREKASIQELMTVVNEMMWNTEFAIRSYMMLRPRFTRPGGGVANGGSSNPSAGTASNQPVAVAPTIDFYSGVPKRPSLFMQQTVNRFECYLAECCKWIDELEQLVRMENNKRSSDSLESLPKVMSNVHDYFIFVASKVENLHQYVESMKTGYLNEQRRMGNGNDPFLEANRREAAKQEAAARRVHPTLHLPAPAQTTTQVAAPATSQPQQSSFPSVATSSSAFSAFATPASAPSSSSLFSTPVTPAPSGNLFGSSGQAQLTTPFGTASTPTLGSTPSPFASTSALGGTSLFSTPFGGGATASGSSFASVSKLFIGLCGLIFKLVVTCLRQLGQCGSCSEATR >Et_1A_005792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1456611:1463400:-1 gene:Et_1A_005792 transcript:Et_1A_005792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLLKMVGFCVWALFWLGGQSATVSPRAGEAFAGGEAVVVDARSAIAVTDEDFVCATLDWWPPDKCDYGTCSWGLATLLNMDLSNKILLNAVKAFSPLKLRLGGSLQDMLIYDTGDTQQPCTPFVKNSSAMFGFSQGCLPLHRWDELNAFFKESGANIIFGLNALNGRVPMPDGSLGGPWNYTNAASFIRYTVNKGYDIRGWELGNELSGSGVGARIDADQYAADVITLKQIIDSTYQGSSKPLVLAPGGFFDAAWFTELVGKTKPNQLDAITHHIYNLGPGVDDHLIEKILNPSYLDGMTSTFSNLQGILKSAGTSTVAWVGEAGGAYNSGHHLVTDAFVFSFWYLDQLGMSSKYDTKSYCRQTLVGGNYGLLNTTTFEPNPDYYSALLWHRLMGTKVLSTTFNGTNMIRAYAHCAGNSDGITLLLINLSGTNTDHIYVTSEGTQTQGSRKEGRGFSHIPGLGKAAVLTREEYHLTPKDGKLQSQQVLLNGNVLATDANGDIPKLEPVQVEGTQPITVAPYSIVFAHIPSFYAPACRDYPAPDQPQWHQYTQGSRKEGRRFSHIPEFGKAAVLTREEYHLTPKEGKFQSQQVMLNCNVLATDARHS >Et_5B_043903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17444794:17446085:-1 gene:Et_5B_043903 transcript:Et_5B_043903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETENRLASLLLEEARRLQLEADREGVHAYLRKPNVRHRPNSRFLTATVRGVQQANRVVEVNEMWHAREKELELESKMNRRIKDRDDSRGEKRGSDSRNQSSSSRTYQERTNYNSSNSEQEDGLGDDEIEKFLHSRVKRGRGAIGSRMDEPGPFLDASRCHDTEPSPDIRVEEKWERRVQGPEKPLFLRSKSPDDHWRREASDEKPSSSEPHRKKEKKRGTEKNDKRDKKKKKEKKSKDRHHHRHKSRRDRRD >Et_7B_054431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21198271:21200907:-1 gene:Et_7B_054431 transcript:Et_7B_054431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTALPPAHPAHRRARRSATSGLDSASDPGVLPRCDAQEKQRGKVVQGADGGLDLEEGEDELEEFRLPMSHRPTENLDTEGLEQASVHTHLSASNVGFKLLQKMGWKTGTGLGKNQQGILEPIRADIRDAKLGVGKQEEDDFFTSEENVQRKKLNIELEETEEHIKKREVIAEREHKIRSEVEEIRKVFFCNLCNKQYKLAHEFESHLSSYDHNHRKRFKEMREMQSSSGNRDDRQKREQQREEKELARIAQLFRADAHRKQQQQKQKEDKSDTPLETVAPKTVAAPVKQDQRQTLKFGFTRMAPSKAPVGSASKKPKVATKVSSVFGNESDED >Et_1A_007404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34181069:34184524:-1 gene:Et_1A_007404 transcript:Et_1A_007404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKAEAERPQSFSQEEEEDWKEAEGDVEVDRAASNGAGEGEVPKDRPIRVYADGIYDLFHFGHARSLEQAKKLFPNTYLLVGCCNDELTHQYKGRTVMTEDERYESLRHCKWVDEVIPDAPWVVNEEFLNKHNIDFVAHDSLPYADASGAGKDVYEHVKKLGKFKETQRTDGISTSDIIMRIVKDYNEYVMRNLARGYTRKDLGVSYVKEKRLRVNMGLKNLSDKVKQHQEKVGEKWSTVAKLQEEWVENADRWVAGFLAKFEEGCHSMGTAIKERIQERLKAQSRDFSLLQYDEYEDDDEEDEKFQDVKD >Et_6B_048921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15534927:15536727:-1 gene:Et_6B_048921 transcript:Et_6B_048921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGDCKFGVVCKFHHPKDRTVPVPNCALSSTELPLCLGEPICTFYSRNGMCMFGSKCKFNNPIQAVIYITASSPASEVPTARRMLAHVPCYSHCVSLTYLVQLVSHPQTTNNGRLEVINIILAHDGVKQLAWDGTIPVGLYAVQRENVFLDRLECRFYMKTRDCKFGVICKFHHPKDRTVHVTNCVLSSIELPLHLGEPIRTF >Et_4A_034983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8492116:8493939:1 gene:Et_4A_034983 transcript:Et_4A_034983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQSLICSVSKQLAAPKSTVAARSNGGLPTVVSASSSEASFDGNNITKRKLALLGAGALATGLLKKSSAFAEEVPKNYRSYVDSKDGYSYLYPADWRDFDFLGHDSAFKDRNVALQSVRVAFVPTEKTDIRDLGPMDEAIFNLVNNVYAAPNQVPMIYDMQERRWSRLRNKLKVVADSFKISDLTA >Et_2A_016475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25103198:25106962:1 gene:Et_2A_016475 transcript:Et_2A_016475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSTVRFAPSEGLVCEANDAQMIARMENLAADRKEQVLDDSFCRKLAEEFNRSAGRAGSRALQAAQVQGWFLNKFPQVTTKPACLPTAPEGKASEEKVLGSEVNVSISEEKALASEVNGSVSEEKPAASEERLLALDTSVSNNLDEVSPDSPKESKDKVPDLEELEFEAKSAKDSAWYDIAIFLAHRTNKSGEVEVRVRFEGFGADEDEWVNVKKSVRQRSIPLESSQCRSISEGDLVLCFREGNEEALHFDAHVVEIQRKQHDIRGCRCVFLVEYDHDSSQERVSLRRLSRRPKYF >Et_3B_031466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30494211:30500914:-1 gene:Et_3B_031466 transcript:Et_3B_031466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRTIGRELAMGSQGGWGQSKEFLDLVKSIGEARSKAEEDRIIARELEHLKRRLADPDVPRRKMKELLLRLVYAEMLGHDASFGHIHAVKMTHDEALPLKRTGYLAVALFIDERHDLVILVVNTIQKDLRSDNYLVVCAALTAACRLIGEEAMPAVLPQVVELLAHPKEAVRKKAVMALHRFYQRSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLYDLILEDPNSYKDLVVSFVNILKQVAERRLPTSYDYHQMPAPFIQIKLLKILAVLGSGDKQASGHMYTVLGDIFRKGDTASNIGNAILYECICCISSIFPNPKMLEAAAETTSKFLKSDSHNLKYMGIDALGRLIKINPDIAEEHQLAVIDCLETMNKVFEHAGDLVNIRVAHNLMRLIAEGFGEDDEGADSQLRSSAVDSYLRIVGEPKLPSSFLQIICWVLGEYGTADGKYSASYIIGKLCDVAEAHLTDDTVKCQTLVDELSASHSTDLQQRAYELQALLGLDKHAVESVMPSDASCEDIEVDRNLSFLNSYVQQALEKGAAPYIPESERSGVISVGSYRSQEQHETSAHSLRFEAYELPKPSLPVATAQGSMSMPTTDLVPVTEPGYYKEDHQASRSQPSGDALSGEFGVKLRLDGVQKKWGRPTYSSSSTPSSSTSSQQAANGGSHSDGGGPTSSQTRESSYSSKRQQGTEVSAEKQRLAASLFGSSAAKADRKAQASRKTAKDIPSADKGAVNNTAPQPVKEQVIPAAPPPDLLDLGEPVSSSPPSADPFSQLEGLLGPASASPLVSETPATSSSKTPDLMSIFSDDVQTGPNSGSTESSLGDASLKNSLIGATAVAAKKGPSLQDALQKDATARQVGVTPTGNNPNLFKDLLG >Et_3A_023390.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24872380:24872439:1 gene:Et_3A_023390 transcript:Et_3A_023390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPRPAKRRCCSSALSPA >Et_1B_013923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2445798:2447973:1 gene:Et_1B_013923 transcript:Et_1B_013923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRSAPPPPVESSEETASGSGSEESGSEESEEIAYSPPPSAAPKNTAPPPQKVQQPESSDDEEEDEEEEEEEVGGKVNQATPPSTTKNPPPPPPPNREESESESEEGEDEETDDEVPESKAAPNQEAEGKGGKPPASSEDKKPAGRFIRVWSKDDDVRILEALAAHRREHGILPQPDELEAALAGSLDHSDYSRKELLGKLRSMKAAYTRQFNKGEQPSKDIDRRIYNLSKEVWGRGDMPENGTAPRDFGEMCELYPYFGEEIKGIEKTHPGLFKREFGIISDEKASALNAKIKKQRLMESKVELHRYENFKEVIKTLMDLVEFGWDVAQQHEVMENLEAVFDDYRQAWPDRVNLVNVSQSMISTSAKFVE >Et_3A_026806.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:21465014:21465404:1 gene:Et_3A_026806 transcript:Et_3A_026806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRASILALAVLAAAVAAASAAAGAEEKCGGCTPCVGPDCPGVYPTPVPPPPPPYYYYSPPPPAYYPSGGGCPPPPGAYIQIGSTPPGRGPLYPQDPGFMPSAAPRAAAVPVAVAAAFAALAGAWAFL >Et_1A_009286.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:37521240:37523432:1 gene:Et_1A_009286 transcript:Et_1A_009286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASASSPVEFLLRRPPPRRRRPPLAGAFFAPTGLAGGPLLRALASLAASLLAAPRPPSQRRNLDALMRRLALLSSLLDSVLLLLNEEGEASFSDAVNLCFRELYVVLFRADLLVSYVTSAGRAWALLRGPHLAASFRDLDAELAVVLDVLPAASLRLSRDAAEHLAFLRACCRRRAPGQYHDPAEAALRDRLLDALRQFERSQTPNHSKLQSLLADIGISDAASCRAEIEYLEEQILCQEEDTDLLLIGGVVALLRYCLFSLLDAGNKNTLRIWLSAGNRQRLLSWSCGDDSSFSVPKEFSCPISLDLMRDPVVVSTGQTYDRPSIIQWIEEGHSTCPNSGQALADNRLVPNRALRSLISQWCGVHGFQYDSPESNEGMIECVSVSCSSKAAIEANKATARILVRILMEGSDSAKPVAAREIRLLAKAGKPNRAFIAELGAIPLLCSLLLSPDWMAQENAVTALLNLSIYEPNKTRIMEQEGCLQLVVSVLKNGWTTEAKENAAATLFSLSVVHEYKKMIMNEPAAVEELASMLTKGTPRGKKDAVMALFNLSTHPESSRRMLDSSAVVALIESLRNDTVSEEAAGALALLMKQPSIVHLVGSSETVIASLVGLMRRGTPKGKENAVSALYEICRRGGSTLAQRVARMPGLNTVIQNITLTGTKRAKKKANLIVKMCQRSQMPSAMALGSTLTVVDHSLVGNSTLRRAASFGSGELSNPMSISVPVP >Et_2A_017270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32652116:32655996:-1 gene:Et_2A_017270 transcript:Et_2A_017270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSLVVLRAVFAALGALMVGTLVYTCATDGSPFRPELLTPWMVATLIDFYVNVVAISAWVIYKEANWISSAIWVVLLVCFGSAATCAYIVKKLFEVTPTGPSQDPLDLLLLRQGNLSQRKCSCVITGRIIFSILGIFMAAVVTYTVITDGLPFRKELLTPWMAATLIDFYINIFAISAWVAHKESSWISTAIWICLLICFGSITTCGYIVLQLFKVSYQDPIYHVLLNSHSKYGIVTSS >Et_8A_057217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22397297:22401865:-1 gene:Et_8A_057217 transcript:Et_8A_057217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSLALPCLPLPPGLLVHEENDVLPGLANEDDDLQQPWLPDEEDDVLSLPDDGGLAEDVVRFFEQLGPMNHEERLKLMKPSFGIPSEPRCCIDAHLLPECTRDLRHVGERVWHEYYRMDKVSETRPLEVPQGTFCIQMSLYIIIGKNFMPSSTKYCECNRRVFEGDEKEKSKLLSWPRRRSITIIWHGGWRLMCDDHMPCASLPAYVSIVQYAGRY >Et_9B_065196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2260628:2282103:-1 gene:Et_9B_065196 transcript:Et_9B_065196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEERAQKTIPAMTPPPLTFAVRRSEAVLVCPAAPTPRETKRLSDIDDQEVLRAHVPFVFFYRGGKGARVADDPAGVIRRAVEEALVPYCPLAGRMREVEERKLVVECTGEGVLFIEADADVRLAEMEAAGLRAPFPCLDQLLFDVQGSSGVLHCPLLLVQVTRLLCGGFVLAIRLNHTICDAIGLAQFLSAVAELARGIPSPTGSPPAWSRDLLEARTPPKQPKFPHREFDAVPMPPPPPGDMVMRTFTFGPTDIAAIKNGLPPHLRDRATSFEALTAALWRARTAALELPPDEEVRLATIVNFRSLPELALPAGYYGNACVPPAVVTTAGSLLAGSLGDAVEMVRAAKASVTAEYVRSTLDLMVLRGRPCVALANLFLVSDNRHAGFHRVDFGWGAPVYGGPADALFAASFLVAVKNNGGGEDAVAVPVVLPRPAMDRFASENGLPPHLRDTATSFEALTAALWRARTAALELPPGAEVRLAIIVNFRGLPELALPAGYYGNACVPPATVTTAGALLAGSLGDAVEMVRAAKAAVTAEYVRSTLDLMVLRGRPCVALANLFLVSDNRRAGFHRVDFGWGAPAVAPRTRCSRRASSSPSRTATGRTPSRCRSCCRGRPWTVYAGPSDDSFTMSHIIAVKDCAGEDAVTVRWCCHGQPWTGYMDPCMTTFAVHRKEPFLVGPAAPTPRETKRLSDIDDLESVRFHERLVFFYRGGPPAGDRRDPAAVIRRALGEALVPYYPMAGRLHEVEERKLVVECTGEGVLFVEADADVRLAELEAADHGLRPPFPCMDQLLFDVEGSSGVFLSAVAELARGGLPAPTVAPAWSRHLLEARRLPPRPPALAHSELISAAVPPPPPPGDIVTRTFTFGSADVTAIKKSLPPHLQNVATSFEALAAALWRARTAALGLPPDELVRLVVVINLRKFPELMLPTGYYGNACVSSVLPTTAGGAVTAEYEPPCVTLLNLGLFLVSDIRHVGFHRLDFGWGEPVYYGPTDGTLIGSYIIAMKNANDGEDVVAVPVALPRPAMDRFSLEIENLLKKATEHIHRPRPQGPSMTTLPTFAVHRKAPLLVGPAAPTPRDTKRLSDIDDLELIRNHVTFVFFYRPAAAGDRDPPSVIRRALGEALVPYYPLAGRMREVEGGKKLVVDCTGEGVMFVEADADVRLEEMEAAGHGLRPPFPCMEQLLFDVEGSGGVVDCPLLLIQVTRLLCGGFVFALRFNHTICDATGLAQFLSAVADHARGGLQAPTVVVAPPWSRHLLKARTPPKPTFPHPEFHYVPVPPPPPPPGDMVTRTFTFGQADVTAIKKKCLRRTNAATTTTTSLEALTAAIWRARTAALELPPDQEVRLVVIVNLRKLPELALPAGYYGNATVASIVMTTAGALLAGSLGDAVELVRKAKAQVTAEYARSTIDFVVLGGQGYLKVGDDVFGVSDLRHVGISRVDFGWGEPVYAGITDDKFVVSHIIAVKDGAGEDAVAVPVVLPRPAMDRFASEVETLLKSD >Et_9B_064813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18877628:18885175:-1 gene:Et_9B_064813 transcript:Et_9B_064813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTPWRPPPVFLLLAFVLTAAAVSLSAGRAEVFPKEALPTRSGYLPIPPTNASLFFAFYEATRPITPLVLWLEGGPGYSALLSAFLQIGPYSVHRSTVSVSLSPNPFPWNRRFGLLFIDSPLGTGFSAAPSPADIPTNQSVIAAHVLAALQSFLDLDPSLRKRPLFLAGESYAGKFVPATGARILEANSAMPARRRVNLRGAAIGNALVHPVTPVATNADAAYFRGLVGAKQRRELEAMQAEAVALARAERWREASDARAWLLVRMQNVTGLATLFDVETQQELDFAALEQLLNRPEAKAAPGARADGAAWEVSSDAVAAALHEDVMKSAKREVEALLRAGRTRVLLYEGIRDLRDGVAAAEAWLREVDWDGLAAFRDAERVVWRTSSGDGEDAGDGEVVAGYVQSHGALAHVVVRGAGHFVPAGNGRAAQEMIEYWVLEAGLAMMGGWGVSDGYEGSKRPRMMMESNPYFAVNAGSPLDASKRARMMESGPPYFGGMGSSAGGAGGSFYQPFSGNLPGAGVGNGIQSFPCVRLRGLPFDCNEIDICKFFVGLDIVDCLLVHKNGRFTGEAFVVFPAAMQAEFALHRNRQNMGRRYVEVFRCKKQEYYNAIASEVNQGGFFDSEYRHSPPPPRPKKPAEDKSSMEYTEVLKLRGLPYSATVEDIIKFFVEYELTEENVHIGYRSDGKATGEAFVEFPTAEVAKTAMCKDKMTIGTRYVELFPSTPEEANRAKSRGRQWKKGRDGKDLSALAAANPISDIVAQLQASLRSCGCTAILSGCNAVLHVRAEQAALLNRSAYGQPVAPASATGEAAHFQVGPEETFYLLHALQCITMVKPERKEKKPMSVDEVWAHLSSARPVFPELYKAYAHLRRRHWVVQSGLQYGADFVAYRHHPALIHSETPSTPSSWPATGEAGWRRGPTCSARSGRPAASPRRCSFSPSPAATAVAVIWTWTARSACGRWRSMRGWSFGIWCYLLFLFAGGGSILAVPVAHGNARVMVSH >Et_3A_024634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22820253:22823624:-1 gene:Et_3A_024634 transcript:Et_3A_024634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QSRVIASAYAYIRENAPHRLLHRSPPPTDGSGLLIHLPRPRTIPEAPPAPQLRRGGSPRRGPNPMANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSDNIAKHWKSNEVEAVETAVALL >Et_3A_025205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27967446:27975489:1 gene:Et_3A_025205 transcript:Et_3A_025205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PDLPWKLNETFWENEAADAVSAAIARRAAAAGYSYPYARWRISGRCPRRTINGVMGAVTSRCYAEELRQLEESAKPPPPESPEDEAQAPWILRVGTVTVDFWPETIHPWNDAFWAHETDEVSASIAERVVAAGCTYGQARWWLSRRCPRRGMNGTLDRITRRCYARGLRSLEEMARPQPPPPPPPPPPPPQQEESPEDKARRRVIQILNTGGGIIHMYRWPEKIANPVIKSRLRRSPPLLQFLIYNGYQLYPTGHEFKPIRQSQSKNTVPRNLNATPVPRQHQATMDERESIVSPENDEEDVKASDAETAAESEAEADEVEEDETKELRRNGEAEAPIGIALQGRETPPIAAAEILPEWRERDAIGEASASAMVGAVRSAAG >Et_4B_036858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12029563:12032465:-1 gene:Et_4B_036858 transcript:Et_4B_036858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKGTDAIVGTGDVVKLLLSIFASSEETELGREAGEALAMLALESPSGCAAILKRADVIDILVSAPQAGDARRLNAARVLRNLCAYSGPEHRDRLRAATKAFPAVLNATMTESDKILEVSVGLMNEICKFVDGDRFGAELRASAVEERAYVERLASVLRHFKSPEIRVPRMRRFVVQQLIWLMTSGSAAGRYVELLSSAASGAGQPATPLGPPAPQPAHGPFRRPLDQSGRSGAARGTWRRRKRRKLSARAPPVPRLPHLRRALYCGSRRRRRRAVGPRCRRCGLCRRGHDLLRPRPLLVRKPLKIHSAKRQASTAAASLTGASKLQCRHLLPLG >Et_2A_017285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32856646:32860599:1 gene:Et_2A_017285 transcript:Et_2A_017285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLVAAIPAGGAAAAAAVGGLVAAAALADWAGLVRPKNRLNVPPERRRDGIRFLQSPPPLPTKLLLVPATVPGLPLVGNLLQLKEKKPHQTFTKWAEEYGPIYTIRTGASSVVVLNSTDVAKEAMIAKFSSISTRKLSRALTVLTRDKTMVATSDYGDFHKMVKRYVMTSMLGTSGQKQFRDTRNRMVDSMLSTFQSMLTDDPNTPLNFREVFKNELFRLSLIQALGEDVSSVYVEEFGKVLSKEEIYQATVVDMMMCAIEVDWRDFFPYLRWIPNRSFETRVLTTEARRTAVMRALISEQKKRIERGEARISYLDFLLAENTLTDEQLVMLVWESVIEAADTTLVTTEWAMYEIAKHPEKQDRLFQEIQEVCGNETVTEDHLPRLVYLNAVFHETLRRHAPVPLVPPRFIHENTTLAGYDVPAGTEMIINLYGCNMNKNDWDEPEEWKPERFLDGRFEAADMYKTMAFGAGRRACAGSMQAMNISCMSIARFVQEFEWRLKEGDEDKVDTIQLTSYKLFPLYVYLTPRGKK >Et_5A_040526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5451829:5452203:1 gene:Et_5A_040526 transcript:Et_5A_040526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIVHVYCVAQRARRHWLHMKAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_6A_046654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18807873:18810430:1 gene:Et_6A_046654 transcript:Et_6A_046654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRDAFLGLARAAPPPPSCSFLGSAATSSGGPQMPSFSSNGGAGLGLSSGASNMQGVLSRVRGPFTPTQWMELEHQALIYKYFVANAPVPSSLLLPIKRSLSSWSTFGSSSVGWAQLRPGSADAEPGRCRRTDGKKWRCSRDAVGDQRYCERHINRGRYRSRKHVEGHKATPTIAGPAMAVSAGVSSHSHTVAWQQQMKGSAANAANLFQTESTRKLPDKQNLHDHLSVSTSTDFFDFSITHSSPNRDKVAFSAGELQHDYDQMYSVHEACSSSAKSNESQESRLLVFRETIDDGPLGEVFKSKTCQPASVGIIMEEGSQITSCIL >Et_3A_024355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:202137:202786:-1 gene:Et_3A_024355 transcript:Et_3A_024355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQRVVAIVLRVVTAAAAGVAAVVMGTSHETTNLFGLQLEAKFQYTASLVFFVAANAVACVYKLLILVVPPASPAARFVLMADVMVGMALTGAIAAAGTISDLGKNGNSHAAWQPICGQFETFCDHIRGALISGFVAVVLCFLTLMYSIYTLTRPL >Et_10B_003243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18164422:18168205:1 gene:Et_10B_003243 transcript:Et_10B_003243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAATPPASMDAMDSSRCPVAGSGDGVDGAATKLQKVYRSYRTRRKLADSAVVVEELWWQALDFARLSYSTISFFDEPRPKTTASLWSRVSLKASKVGQGLSRDGKALKLAFEYWIEAIDPRHRSGHNLHFYYHVWCQSQAAQPFFYWLDNGAGKDIDLPECPRTLLKKQCIKYLGPQEREFYEYIINDGKIFHKHSGEPLDTSHGPEGANKWIFVMSTSKRLYAGKKEKGVFQHSSFLAGGAIIAAGRLIAENGLIKSIWSYSGHYKPNLENLSNFMNFLEDNGVDVKQVEVGSFTKEYDDSEPPGAQNLTSASAIHPPQVILPRNESECWDNPAKQTKPTYQRTLSGGLQSPKATDVPQNAILERMKSKSESKSYQLGHKLSLKWSSGVGPRIGCVNDYPVELRREALEMVDLSPRASTPSSNVAACTNSGLPLPAKGVQSQAD >Et_10B_002823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1228671:1229506:-1 gene:Et_10B_002823 transcript:Et_10B_002823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVWNAVLEHAEHTESVVQNEHGVILRNERGETLAAEGRKYENLADALTAEALAARNGLLLAVALGYNKVILELDNQSLANSLNSVEDDRSTISVFGKESKSWADLFLSFCISFVHRESNLAAHCSAKMPTVSETMWSSFGYAPDWLLGVVTKDCDSAVNQ >Et_5B_043944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18143814:18144691:-1 gene:Et_5B_043944 transcript:Et_5B_043944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKQQHRPTGSSFVDELFGPKDRRDAKPAPGGGFFSTVFPPPSATTWNDGSRGVHGGEGKAAQGNGSNSGYAATGTTSESPYFGPSSVHYGSRDYVYVDGHGQQDHRSHSQTTPPPKKKNDDNKQGPDGSAATRGDWWQVQLSSSSRYPNMQTCMNVSVLSITNRATTNMQLIDVSIHLSIKLNYIQHI >Et_6B_049352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3668267:3676609:1 gene:Et_6B_049352 transcript:Et_6B_049352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSHTSGPVGSAAARRAATFAGASVFLSRNLVAPEVFDAVHDALRLNGAEVFLCADPGRTGPLDFHVISSSSHEKFADLKAKGCKLLGPQCVLSCAKERRFLPKQSYTCCLAMDGVKILCSGFEKAEKVKIEELVTAMGGILETNRSMDVNFVIVKDVMAVKYKWAVNTLKKPVVTINWLEQCWIEHRVVPHEPYRILPFTGLNICVTKLNQDERKKLEKLILQNGGQFSPSDKYVVAHRWGNIHIVTLRWAEQCVARRACVDENSYLVYQNSSALSGIKSSLKDQHNPEISSASASFQPVTTTSVDDSVSTSQFVPTSVGDAGQNSNTDIVSASCFQETNAMHVSHVAEDSEAENDDLYLSNCRISLVGFDEKEMLRLVILIRNGGGSRHILLSEKLTHIVLGTPSEDEKREVRRLAAWGIINVVKVTWLEDCSKAKKEVKVSPIHVATDLLLKEFSHVSMEKSADTRETKVAKSSCGIFHVPTVNDSHGKELEKDAPPVRKPARGKHDNMDKALAARSAKSSQQKGVASLGEHRPSSQVTVTMNLGSSKSSNIFRGRTFGFSYSFSLDKRPEVVDWVREGGGIIVDNIQSTPVEFIIECHGRSSMPCDFSRSTVVSTQWIRSCLEEGCLQDVTNHPIFSPLRCRIPLPGFENFHFCISLYEEKERCLLKNLCFTLGAKFSVKARKGVTHLICKFAFGQKYEAYSKRGTPSVTAEWLFECIAAPNEETSAPTVNKRMRLSASGKVGDTCENIGRTERQLDSSSVPDVAYAIEIFEPDNSAVAQEQKDTHSFGISRSWLNMQQKQDNTPVAKVQSLKSSPAPSPVPAPYYPFSETQTESQIVGYEEDLTGRQKIIDRVRSQSINATPSNEMP >Et_9A_061221.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22617128:22617292:1 gene:Et_9A_061221 transcript:Et_9A_061221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AQSELFFRLLLKNTVCSPQLAAYANFLRALSLIRRVPTIQFKSLSCAIVACTFR >Et_9A_062998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:874585:876880:1 gene:Et_9A_062998 transcript:Et_9A_062998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAAAETAARWAEGYPWREKLAKFKGELGKGVWGYWELGAWKPLGISARKRARLRKEVLLAGENWTYDPPRGEMRTKRKGHKCDRISAEKRANTVELMKKMPQMLLDYKKRRWEKKMKEEESRKS >Et_3B_030618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5526925:5552714:1 gene:Et_3B_030618 transcript:Et_3B_030618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGRTSHVHIVRLFGFCLKGSKRALIYEYMPNGFLDKYIYSENPKAILGWERLYAIAVGIARGLEYLHHSCNTRIVHFDIKPQNILLDHNFCPKIADFGLTKLCHTKESKLSVTGARGTIGFIAPEVHSRTFGVVSTKSDVYSYGMMLLEMVGSRKNMKSLVEKSSQKYFPEWIYDHFVQDEGLQACEVTNEVEEIAKNMVLIGLWCVQILPMHRPTITKVTEMSEKSSDELHMPPKQNFSQILFNLKFECRKHTQQFFKNTSLYRTMGAYISDSWHAESNPPHWVAKNCSSVSVPVRAIIQEEKPARDYVRLISAGFLLQGPASGDCHACTRRGGDCSCVELAFQCMRVCPVPASAVLCPAMLLLLTLLADPTIAAVAMNTTAPCAPASCGSLTIAYPFWLAGTHPPECGYQAFQVACDKQGSASLVNSFWSYQIMDIFYNNSSFRIRNFQLLDGTCNTELTVNASSDLGLSPYRISTRNQELFFLYNCSRQARQLPGSWAPVSCSNDSINSFAWLDGRYRPDAKLTPLPGNCTVSVMPVLGYEGAKGADYRRLMEGGFLLEYSVEDCEACTESGGRCRIDDSADGFECQCSDGTYPRTCGDPNNVLMAAGASSVFPFIYVLIWHKKGERLRLLLCKSTSSSNERNIEALISSHGSIAPKRYKYSETKQIMSCFNNKLGEGGYGVVFKGRLNDGRLVAVKFLHDSKGKGEEFVNEVMSIGSTSHVNIVSLFGFCLEGSKRALIYDYMPNGSLDKYIYSEKPKTILGWDKLYKIAIGIARGLEYLHHSCNTRIVHFDIKPQNILLDQDFCPKIADFGLAKLCHTKESRLSVTGARGTIGFIAPEVHSRTFGVVSTKSDVYSYGMMLLEMIGGRRNVKSVVEKSSEKYFPEWIYDHFAQDGLRACEVTEEVEEIAKKMSLIGLWCIQILPMHRPTITKVLEMFEKGSDELDMPPKQNFSQILEDSAYNLTSESRSSGSNTNTEAYSEVLNLLTKDCGNLTISEPFGFVSEQATDTKCGRLGFEVHCNNSIPYLGYYRRKYRFRILDIFYNNSSLLVADIHKLEDFSGSDSKGCHVLTTNTSSKVGLPFFISPVNLNLIFYSCNKTPEFPLQDRGLVETKCASNSLVRVGGHYDDTSNYKQYRVAGCSTTLVPVLGMSGKENASSYEQLISDGFLLTWQPLSASLLPLAAAATNGTNYTICAPARCGDLSISYPFILAGVQPLECGFPAFELTCDAGRAYLTGSFRERLYRVYNISYDTNSLVVAVEATFSGDVKCPVPDFNMSSGLALFPVNISQSNKNLTFVFNCLVPPHIQLFPRCANHTIGAYMTERGEPPPWVPTNCSSVSVPVRATIQEAEPTRDYVQLINDGFLLEWPASGECDACTQLGGECRFRQLAFQCVCPQGLICSQAYCVLPIKLDTHSCSVSVSLSAAASLILTSLVWIMYRRKEKQNFFIQKYKGKQSNIEEILKGYDSLVPKRYRYSELKKITGCFKCKLGEGGYGTVFKGNLEDGRTVAVKILKGSKGDGEEFLNEAVSIRRTSHVNVVNLLGFCLQGSKRALIYEYMANGSLDKYIYSEESKMAIGWENLQHIAIGIARGLEYLHRGCNTRIIHFDIKPHNVLLDEDFCPKIADFGLAKLCHLKDSSALSIAEARGTIGFIAPEVFSRGFGVVSTKSDVYSYGMMLLEMVGGRKNVKEFTNSSSEAYFPNWIYDRLVKDLQSHEVTCETEEIARQMALVGLWCIQTNPENRPSMSRPRLLTILTCLALLQTAPTPATAAATSCAPRTCGNLTIAYPFWIPRDQPSNSSSSTAAAAPCGPPAFQVDCRGDGRASLALSFRGGYKILRVSYNNRTVVVANDNIQTDALSGCPVPRVDVSASLSLAPFTASRANAQLVFLFNCSTTPPAGFVGVTCPGTPAVVRLDPVYNTTAARAVAAGCDYSVVPVLPTPGASAGEYPRLLRGGYLLEWRASAGNCTACNASGGTCGYDSDTDAFACVCADGSSHQARCVSSLVSSGVLLAFLILIFIFRRQIRSSRLFSVMNRSSRDTANVEKLLQKHGALAPKRYRYSELKAITKSFKHKLGEGGYGAVFRGALNDGDRRAVAVKVLHNSRPDNGEEEFLNEVISIGRTSHVNVVTLLGFCLEGSARALVYEYMPNGSLDRYIYSSKKNSGGESSAPALLGWEALHEVAVGVARGLEYLHEGCNTRIVHFDIKPHNVLLDSDFRPKIADFGMAKLCRPKESVLSMADARGTVGFIAPEVFSRGFGVVSTKSDVYSYGMLLLEMVGGGRSNVRAYAEKTSSGDLFFPLWVYDSMLEDGAGVLREDEVARRMALVGLWCIQTVPASRPSMSRVLEMLERSVHELAMPPRPYHPSLSNSPSPSHPSSYPSITSASDITQRYLEIAYPGEYT >Et_4B_036901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12428523:12429968:-1 gene:Et_4B_036901 transcript:Et_4B_036901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEKALILNNSGLGDEDRERLKFVQVLVLHTYSHQNYEGRRRPALSAHLKTVYVSISAQGDPTWPYLAPSVAEGPDAGGFSSAATAAGGGGEVAGVEAMDHELPASCDRTLLRLKYSGGTTLFLVNIILVLIACIQPPKNNRKTKLRSQHKQIRGGMLIVRRNSE >Et_8A_057913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9291491:9295309:1 gene:Et_8A_057913 transcript:Et_8A_057913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EITEEKEEGKYKESEESGRKGKPREGCLSFASSLGSSWAAMGLISRGVVFRTMVVIFFLRSSQGREHFTKLNLPPLLKASRFSAVQHETYDYIIVGGGTAGCPLAATLSQKFKVLLLERGGSPYGNRNITYLENFHICLADNSPESPSQGFISTDGVINARANVLGGGTCINAGFYSRAKQRIVHWPNIAPWQVALRDGLLEAGVSPYNGYTYDHLYGTKIGGTIFDETGYRHTAADLLAAGNPNNLRVLLHASVNKIVFSTKQGHRKPRAIGVQFSDENGRHHQAFLNQKRGSEIIVSAGAIGSPQLLMLSGVGPRNELKKHNISVVLRNEHVGKGMSDNPMNSIFIPTKDQPRQSLIETVGITDAGVFIEASSGFSQSPDSIHCHHGIMSAEIGQLSTIPPKQRSLDAVRKYVQNKHNLPKEVFHGGFILEKIDGPLSTGNLALTDTNINSNPNVTFNYFQHPQDLSRCVYGIKTIERILNTNRFINLTANGAGYPMEKLLNMSVTANINLIPKHTNDTTSLEQFCRDTVTTIWHYHGGCHVGKVVDQQYRAIGISGLRVIDGSTFFRSPGTNPQATVLMMGRYMGVKILRERLGRAAGL >Et_7A_051335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16985044:16985946:-1 gene:Et_7A_051335 transcript:Et_7A_051335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKSAIAVFLVLAVISNTSISCALAALLPSQNHRLRVSMLDPHRTPVRLKDARAYASTTNTIASQPTVKVFLQGSAAAQMNECNESVSALYN >Et_7A_051775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24471207:24473585:-1 gene:Et_7A_051775 transcript:Et_7A_051775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FCKKFGEGNLDPVFDGSALFVWASWPAPGAASPAMQTKGALPHRLLREKRREPHPKFTRSRERQNGGFAGSLPRPHTHVSKVPLPLSLSAELRPHLFFAIASVRVPKTQIDGSNGITLSPRETGERLHLDASRWEQRRWEEDKLVNVAGAETMVIPPPERAARVTRFLKPYLLRMNFSNKYVSAQVIHTPTATVACSASSQEKLLKSNMESTRDVATAAKIGKLLGERLLLKGIPAVSIHMKREQKYHGKVKAVIDSVREAGVKL >Et_3B_029690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27159534:27161447:-1 gene:Et_3B_029690 transcript:Et_3B_029690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPSSAHWLSLVGSIWLQTINGPNSDFPVYSSQLKDLKHISQVQLNFLAFASDAGKLFGWFSGVAALYLPLWLVAFVGAAFGLVGYGVQYLFLDSAGLRYWHLFLLTSLAGNGICWINTVCYLLCIRNFGPSSRVAVSLATSYLGLSAKVYTSLADSIPGLANSKAKAYLLLNAVVPMLVTVVVAPSLRVVDLKSSASTDAAFLVMFAVTLATGACAVVGSIGSTSNGLSSREHMISLSVLLATPVLIPLALRVRESMNRIRETKRENRIHDLGTDELADTMGAVVAIDIAPDAESSKEGDSVAEKPREEVGGLRLLRKLDFWLYFFSYMFSGTLGLVFLNNLGQIAESRRLAQTSTLVSLSSSFGFFGRLLPSFLDYYSAKSGYSISRTGSMASLMAPMAGAFFLLLNPSDFFLYLSTAIIGTCTGAITSVAVSATSELFGTKNFGVNHNVVVSNIPVGSLCFGYFAAFLYQRGARGSHRCIGAACYQETFVVWGATCAVGTLLCAVLYARSRSFAGRLPEAVVRIPCLARLANLVGCNKAPEVSDI >Et_6B_049730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9709547:9711508:-1 gene:Et_6B_049730 transcript:Et_6B_049730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKVQVKESSFIAPSEATPTNGLWLSSIDLLFRDHTPVVYFYRAAADVNGNVGAFFDVARLKKSLAKALVAFYPLAGRLGVEADGRIEIKCNSEELTVDDFDVKPSPELRRMLLPCIEPSSIIMAIQIIEG >Et_1A_005616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12055735:12058500:1 gene:Et_1A_005616 transcript:Et_1A_005616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLAAPPAAPPAAALRVFLAVAPSSSRCRGTAHCAVWVRGAGGGGSVSGRRQVVLRRCSSIGEPRAAGDGGVSSFCIIEGPETIEDFAQMQSQEIQENIKIRRNKIFLLMEEVRRLRVQQRIRTAENKGASSEENEMPEIPSTIPFMPHASPKTMKQLYMTSFSVISGIIIFGGLIAPILELKLGLGGTSYEDFIRNIHLPLQLSQVDPIVASFSGGAVGVISALMLVEVRNVRQQEKKRCTYCHGTGYLPCARCSASGMSLITKHFSISSANGHNMWSTSERCQNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD >Et_3B_027987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:342495:342976:-1 gene:Et_3B_027987 transcript:Et_3B_027987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPNCHVRANVSVAETEQNYGRIFHKCSVFQASGCQYYQWDDEIDAAIVPSAQPVLMQVQAPPQAPAMIQVPAGAPPQAPTMIQVPAPPQPQGGRVQDAPGNLQVLMVKINWLEKLVLACLVLSVYIAFWK >Et_3A_027361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8965867:8966401:-1 gene:Et_3A_027361 transcript:Et_3A_027361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDEGGSDDDFMDNHATQQLKRTQPSVNIRKRKNVGGGAYATSNRPRAAPMRPSRLKKQSGADPKKSSFQCTQRLSPIAEDQPPLSHEATEVPQRQRNGVKGLPTQCEV >Et_1B_010299.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:35596489:35596737:-1 gene:Et_1B_010299 transcript:Et_1B_010299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVHRRDRARFLTRNASPPPPAVLLLPNLNDYPPPIPDQKLNLELGVGLVLMNSTGTATTTTARPPEDADGLDLELRLGVSS >Et_2A_015573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15176692:15183203:-1 gene:Et_2A_015573 transcript:Et_2A_015573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTPKKKKLSESGSRTSLSSSRNSRCSGELPDEDDTVFVGLAAELKEEGTRLFQKRDYEGAAFKFDKAIKLLTKGHADIAFLHCNIAACYMHMNPEEYHRAIDECNSALEAAPTYTKALLKRARCFEALDRLDLACMDVEKVLSLEPNNVTALELHESIREVMEEEVLLDKQIASPEEPATNFAKEKIQRRVSRKFRNSIVEEEVWEMIHEEEDHVNDEEKCSDEYHMDNNCEENDTREIQGKNHQENHKKYTEEVEMKNPHNSEQEKNTKEKYERNPNANQEKCSLERNIGRCEDILEKQITIKTENHGTDKHQKHHRENHEYVSEGVKKGFKFVLGDDIRVVLIPENCSLLQLMDIARYKYNPHLKSFLLKFMDKEGDLVTITSTEDLRWVEELYAQVPVRLYIKEVGPEREITRDLIMSASSFHLQERNQYSTSKCGSSRKEDEKNCCIDDWMMQFARLFRNHAGFDSDACSDLRDIGMRLYYDAMEDTITSEEAQEIFQAAEAKFQEMAALALFNWGNVHMSRARKRLFLPDDASKESILSKLKDAYERACTEYVKARKKFEDSIDIKPDFYEGLIALGQQQFEQAKLSWRYADTCKVEMGTEVLELFNHAEDNMEKGMEMWEGIEYLRVKGLAKSRKGKNIVLDKMGLNEHGKDLSPDEAFEKASNMRSQLNISWGTILYERSVVEYKLGLPSWEESLQEAIEKFKTGGASVADISVMVKNHCVNQNTEEGLSFKIDEIVQAWNEMYDAKKLKNGTSSFRLEPLFRRRPSKLQNILEHIHYWREC >Et_9B_065114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21262999:21296597:-1 gene:Et_9B_065114 transcript:Et_9B_065114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAATPLNASNRAFMFYWNSVPLPNNPSPRYIAIMHFAELQVLPQNVMRQFYVAVQDKNINISPLRPEYLRSNATYNMEPYLDSSQYNVIVLDNSTLPPMPYINAAEVFSIISTKTVGTDSQDGTNLSFSGLSGDITSSFAKLKAVQYLDLSHNNLTGSIPDTLSQLSSLTVLDVTGNQLNGSIPSGLLKRIQDGSLTLKYGNNPNICTNADSCDTTTRRNRKLSIYYVAIPVVLVVVIVLVVVLLCRILRRQKQGDASAVRLTIPPSQFQNTKLTLFLYHCHSGPTNNVVKPLNDTPMSRVSTGDAAYEQSSLNLENRRFTYKELETITNNFRHVLGRGGFGFVYDGFLEDGTQVAVKLRSDSSNQGVKEFLAEAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGTLQEHIAASQHCMVRYCNTPICQNDVPLTGNDRNRRLLTWRQRLRIALESAQGLEYLHKGCNPPLIHRDVKATNILLNFKLEAKIADFGLSKAFNRDNDTHISTNTLVGTPGYVDPEYVHLIVIHYICNGNIEDVVDPRMHGDHDVNSVWKVADIALKCTAMKSMQRPTMTEVVAQLQECLELEEGCAGSGGMNDGFHTHSNSGPYSYNSYATDGQSTDLSQSSTVFEMGQHFGRVPTINQRRGQWRREGPGCCFSASPLPLPTYSKLVPRRIASVRMLSITPAGFRKISSNEAFFGVAAGFISIDCGLPGTASYLGDTVNVTYAPDAAFIDAGTNRNISAAYVTPTISKLYLTVRSFPNGTRNCYTLPTANSGHKFLIRAMFKYGNYDGLNQPPVFDLYVGVNWWTTVNVTNADTLVMSEAIVVVPDDFVQVCLVNTGTGTPFISVLELRPLKSTLYPQANETLGQGLVLVGRLSFGQTGIVRYPDDIHDRIWLPWVNATKWDNISTTQRVNLYDGDNIFEAPSKVMQTAITPRNASNNIEFYWDSEPQPKDPTSRYIANLYFADLQNNAVRQFYINLNGKLWYTKAYTPPYLTADYIYNENPLRDKPRYNISLEATANSTLPPIINAVEVFSVISTANVATDPDDVSAITAIKAAYRVQKNWAGDPCVPKNFAWDGLTCSFTSSSRPRITGVNMSYSGLNGNISSSFANLKAVQYLDLSHNNLSGTIPDSLSQLSSLTVLDLTGNQLSGSIPSGLLKRTQDGSLSIRYGENPNLCTNPDSCQITKGKSKLAIYIAIPVVLLVVIASVVVLLFCILKRKKKGSTNTTVQPQNEMPMSNVTTNNSVPEQSSLSSLQLENRRFTYKELEMITNNFQRVLGQGGFGYVYHGLLEDGTQVAVKLRSHSSNQGVKEFLAEAQILTRIHHKNLVSMIGYCKDGEYLALVYEYVPEGTLQEHIAGNGRNGILLTWRQRLKIALESAQGLEYLHRGCNPPLIHRDVKATNILLTSKLEAKIADFGLSKAFNLDNDTHISTNSLVGTPGYVDPEYQATMQPTTKSDVYSFGIVLLELVTGKPAILRDPAPISIIHWSRQRLARGNIENVVDQRMRGDHDINGVWKVADLALKCTAMASAQRPTMTDVVAQLQECLELEEARGGEMNNNFYNDSGSSGPYKGYNAYTSHDQYTDVSQNSSAYDMENNFGRLPTMAASSWQLLLILAAAAVGGVPRVCGQDIPDSTGFISIDCGLPEGSSYVDPATNLTYVSDTGFTDAGVNRNVSAEYIDPSYAARNHNVRSFPGAAPRSCYTLGSLNPGSKYLVRAKFMYGNYDGLNKLPAFDLYLDVNFCITMNITHIDWTELAEVIAVVPDDSVQVCLVNTGAGTPFISGLDLRPLKNTLYPLANATQALVLYARRNLGPSLSERPVVRYPDDRYDRAWTQWMEPDKWSEISTTEKMQSSASLYFDVPSAVMQTAVTPLGASRSTYFVVYAEPNHVYPAPGYVRAALKLAPQQRTYVFILYFAELQVLPSNATRQFYIAINEKLWYPDPYTPHYLLSDAIYSKGPQYGFSQYNITLTATANSTLPPIINAVEAFSVISTANVGTDAQDVKADLMMKCTGAQALTTSELLSLSAITAIKAKYQVKKNWMGDPCVPKNLAWDGLSCSYAVPDPPKITAINLSSSGLSGDISFYFSKLTSIEYLIFRTGLITELECIWDRVISFSFRDLSHNKLTGTIPDVLSQLSSLKVIDLTDNQLNGSIPSGLLKRTQDGSLKLRYGDNPNLCSNGNACQIKKKMSNTLLAVYIAVPIVACVVAGTVVLLLFVIRRNKETAKQKGLEPQDGNGHSQMQLENRQFTYRELEVITNNFQRVLGRGGFGSVYDGILKDGTQVAVKLQSDSSNQGDTEFLAEVQTLTKIHHKNLVSLIGYCKDGNHLALIYEHMSEGSLEDKLRGMLSFVTEFKVSSLLLTQTNFSAGNDQNDGSLTWRQRLHIALENSFPESHQSAGLEYLHKACSPPFVHRDVKTANILLSANLEAKIGDFGLLKAFKRDGDTHVSTARLATHIVQWVRQRLAQGDIEDVVDKRMRDDYNVNVVWKAMDVALKCTAQEPAQRPTMTVVVARLQECIKIEEGLQQSMPRTMAAARPWVLLLCLAGAGAVLQAHAQPDNNGFISIDCGLPGTASYVGATNLTYVPDADFIDTGSNRNISVEYITPKLGKFYHNVRSFPDGARNCYTLRSLVAGLKYLVRGIFYYGNYDGLRRLPVFDLYVGVNWWTTVNITDADTPLIKEAIVVVPDDFVEVCLVNTGTGTPFISSLDLRPLKSTLYPQVNATQGLVLLGRLNFGPTEITSETIVRYPDDPHDRFWFPFVNTADWATISTTKRVQYPDFEIFEPPSKVMQTAITPRNASQNIEFSWDPEPQSKDPTPGYIGILHFSEVELLPSNASRQFFVNVNGFPWYPKAYTPPYLLADATYNSNPLRGFNHYNISLNATANSTLPPIINAVEVFSVISTTEVGTDPQDVSAITAIKEKYKVKKNWMGDPCVPKTLAWDGLTCSYAISGPPSITGVNMSSFGLNGDISSSFANLKALQYLDLSHNNLSGSIPDSLSQLSSLTILDLTGNALSGSIPSGLRKRIQDGSLNLNYGNNPNLCTNIDSCQTTETSKGKSKLAIYIAIPVVLVVVIVSAAVLLCCILRRQKQGPSTNSVKPQNETPMNHVPTGDSSHAQSLRQLENRRFTYKELETITNNFQRVLGRGGFGYVYDGFLEDGTQVAVKLRSESSNQGVKEFLAEAQILTKIHHKNLVSMIGYCKDGEYMALVYEYMPEGTLQEHISGNGRNRGFLSWRQRLKIAMESAQGLEYLHKGCNPPLVHRDVKAANILLNAKLEARIADFGLTKAFNRDNDTHVSTNTLVGTPGYVDPEYHATMQPTTKSDVYSFGVVLLEVITGRPAILRDPEPTSIIQWARQRLARGNIEGVVDSRMRGDHDVNSVWKAADIALKCTAHASAQRPTMTDVVAQLLECLELEDARAGSGDVNSGFYTGDSSDPYSGYNEYAADGQFTDVRFISIDCGIPEGSSYVDDTTKLTYFSDVGFTDAGVNRNISAEYINPAFSKRNRNVRSFPGEAPCSCYTLRSLTPGSKYLLRAGFLYGNYDGLGRLPAFDLHLGVNFWTTVNITEPDVTVLVEAITAVTADFVQVCLVNTGAGTPFVSGLDLRPLASELYPQANTTQGLVLYTRRNFGTTDPRQVVRYPDDRYDRAWTPWINPEEWSEISTTEKVGGSVMAAPSAVMQTAITPRKAASKNIEFTWYPDPNHVYPEPRYIGIVHFAELQRLAGNATRQFYITVNGRLWYDLPVTPDYLFANSIYDTEANSATFDQYNVSLNATANSTLPPIINAVEIFSVISTADIGTDAQDVSAIAAIKEKYQVNKSWMGNLSSSGLSGVSFYFAKLDRIEYLDLSHNNLTGSIPDALSQLPSLKVIDLTGNQLNGSVPSGLLKRSQDGSLKLKYGDNPSLCSNDNSFQISKKKSNAIYIAVPIVAFAVVGTLVVLFFLMRKKKEQQWTQPASTGESPIHLQGARGHHEQIQASPWERRVRVCLRWLLGGWNSGGSQASVPIFQPRCQGFLTETLTKIHHKNLVSLTGYCEDGQYLALVYEHMSEGTLEDKLRGRCGSARSLTWRQRLRIALESAQGLEYLHKACSPPFVHRDVKTSNILLTANFEAKIADFGLLKAFQKDGDTHVSTERVVGTHGYLAPEYAASLQLTEKSDVYSFGVVLLEVITGQPPILRCPDPMNIVQWARQRLARGEIDNVVDPHIQGDYDVNTVWKAADIALKCTAQAPAQRPTMTDVVVQLQECLEIEKCHV >Et_10A_000263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20611100:20611825:1 gene:Et_10A_000263 transcript:Et_10A_000263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFKARRGGSRQFPVGRWRHVAVVDAGCGCRPRRATSRLLSQLPSFLRPSPKPPASAGPARSSSRSSSGFFPSSASTASSSAATFTTTHSSSSYSTPPPPSCRTKLTTTEAASSTKRQQQQPGARRKKRHSSSEDSDDVGVAVEKESSDPRADFRESMVQMVVEMGMCGWDDLRCMLRRLLALNAPRHHAAILAAFAEVCAQLTAPPPPPPQPAYQYQAYDDYHYHY >Et_3A_023160.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33328543:33329010:-1 gene:Et_3A_023160 transcript:Et_3A_023160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVLDELETVGLRVTLHEAHEPVPLSGRAHHVLGLDPRRLPIHELGADIHRLQHALLVDHVEPRDVSQLRRRVEHRRLLEREDGHAVGAVPGLGQPHVRDRLLVRALDELDGRLRHHHHLHRRPRAAAWPWSGGWPALQGHAVEGRVQALLAA >Et_4B_037766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23128004:23128769:-1 gene:Et_4B_037766 transcript:Et_4B_037766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSGDDSPHGSSSDLMIVAAIASLAEENGSSQAAIARRIEADARNDLPASHPALVAAHLTRMSAVGELVAFAGGKYALPPPPPPPPAPESPAEEDDDFADEEVTEPEPVAPPAKRGRGRPPKVRPPGFPAGPVGAPGAVASPPAVPRRRGRPPKPRDPHAPPKIPRPRGRPRKNPLPEGMAPRPRPSAPTTAKDRPQFAEVGFV >Et_7A_052503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8675826:8677729:-1 gene:Et_7A_052503 transcript:Et_7A_052503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKHEISFSPRGPVRHRQLNVWPTTYVPLRESEATKEASRPERSRTPAPQRGLQFWCAVLLSMATSAAAALLLPGRRAPAALAESIPRRAVAGWTTRSRKGAAGVVRACFNPLGDERILREAMKEPVAFMGGVFAGLLRLDLNEDPLKEWLTRTVEASGITEENSEESSEGGQNDAPQQIEIE >Et_7A_050726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10468586:10471291:-1 gene:Et_7A_050726 transcript:Et_7A_050726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPSLLVQCFPGLVPSKTASCVPIVSERDLHLPSPAVEIIPSKSAHPYKYAGEKVDVQGLDIFKGKISVADMIAFSPSEVASTKYDGTLKYWESSITLVNIIKNEIRDGQLSFRGKRVLELGCGSGLSGIFACLKGASTVHFQDTSAETIRCRTIPNVLANIEQARDRQNRPSESPVTPSRQLLDPNVHFYAGEWDELPTILSVVQPPPAPTNLSFSEDDFMDGCSSHDGSSIVGHDYLPRRSRKLSGSRAWERASETDQADGGYDVILISDVPYSVNSLKKLYALISKCLRPPYGVLYVASKKNLVGSNGGARQLRALMEEEGVLSGHFLTEVSDREIWKFFFK >Et_7A_050576.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:25860576:25861175:1 gene:Et_7A_050576 transcript:Et_7A_050576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVLPCCVCVFLLLLLVDVSAGASPPATASAVSPVVAADFVRRSCRSTRYPRVCESTLVPCAPAVGRSPRRLARAALVVGADRASNCSSYLKRGGGKGGAMKDCAELSRDAEERLRQSAAEMDRMGRAGSPRFAWRLSNVQTWASAALTDTSTCLDSLAADNKDDAAAVRRRVTAVAQATSNALALVNKLDPEPHKL >Et_4B_036284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15080520:15081356:1 gene:Et_4B_036284 transcript:Et_4B_036284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAADEVFSGGKLLPLRLSSAAFPDAFGSRSTSRSASSSSSSSSVSRSTSSSSSASSSDPAASLGSSSHKSAASASVDAVDAPRRRSTSGSVFYAHPSPSPRPPRRSSSVARRSTGTAPPAAWGIFRLGVVGAPEVYPRRAPADSRKVVAATAVRGGGSQSARFVEVNAVDNKKLGLGLFGAGLVCSCSPDAVAPARRRRKKAEDKKKRAAKQSTSRQSRILDWLEEHSPKPRTKNVV >Et_8A_058229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:293044:294376:-1 gene:Et_8A_058229 transcript:Et_8A_058229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLLRPSQVVLMVIEGEEVTLVFWNVLETKDHLLELGIAICFDRRDGRDSSMAIAISLASASCLTTLQLSWSADVDFLLIFLNCF >Et_7B_055493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1252479:1257370:-1 gene:Et_7B_055493 transcript:Et_7B_055493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPRPPRPTSPSRPPKAIRSTKPRGLDEDTAAPPAFPKVLAASGSSSPTCSGAAAALLLHADVPMDARVWAGLPDDLLLEVLARVPPFLFFRLRPVSRRWDAILRDPAFLAAHAAVPSHGPCLLTFTRGGGGGGGGGAPHYSPPQCSVLSLPLRARYKLPFGFLPAWDLWLVGSSGGLVCFSGFDGAAFRTLVCNPLTQAWRVLPDMHYNQQRQLVLTVDKSRQSFKVIAASDVYGDKTLPTEVYDSKQDRWSVHQMMPAANLCSSKMAFCESRLYLETLSPLGLMMYRVDAGCWEHIPAKFPRSLLDGYLVAGARTRLFLVGRIGLYSTLQSMRIWELDHGRTVWVEISRMPPRYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDKKSWSWIAGCASQLCNSQISTVRKVFNQRHPMPLSRREHIYGLKNYGDRNQANTSTSKIPNRRQAIHISFSAPLLPYSKLARSAQPLLHPLTCSYPRTLVQRFLQQLLELFQEEAPLIHTHV >Et_5A_042401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9593843:9594472:1 gene:Et_5A_042401 transcript:Et_5A_042401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTQDENGSNMFPMVTGFMSYGQQTIRAARYIGQSFIITLSHTNRLPKTIHYPYEKSITSERFRGRIHFEFDKCIACEVCVRVCPIDLPLVDWRFEKDVKRKQLLNSNYSMDFGVCIFCGNCVEYCPKNILSMTEEYELSTYDRHKFN >Et_9B_064258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13347255:13348390:-1 gene:Et_9B_064258 transcript:Et_9B_064258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNTAPYCVLNTNSHNSITRRDMNTSTHKHKDEQSHMALDGRAVACVLVVELGPEHDAAVFGRALDQRQHLRRELGGRHEPCSGGVLGGRQAQEDLPGRHRLPPLPRGQERAVARADLARPVVVELHPHQVEQALVDRAAVPLVREWALRRRAKQHRLAALLALAHVLQVPARQSVSAELGAALLDVEVDAVKDGVAEWPGRRRAAEVVVPEVRGHLPRVRLRREAVAPDAAADGEQHLDAAALARPDVLAQDLAVARRRDAVAGQVQRRRLAHAELEDEGEDHELVVAGRACVGQGSLAAVLAPVDGDAAGVACGEERQQQEGGNEMDGKARPAYCHG >Et_4A_032016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1242096:1243268:-1 gene:Et_4A_032016 transcript:Et_4A_032016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLDQFITKNATLTNEKEVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLSEFEDKL >Et_1A_004836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10897645:10899384:1 gene:Et_1A_004836 transcript:Et_1A_004836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPAPPLSSLHSLSSQYFLLPAHFLPPGGGGRPLRRGDLVIRMGGGPRTFPGGVSKWQWKRMQAKKARQLLKARLARERQLFEMRKRAELRDAVAHLERPWDAGSAAAVDAAPNLLSVAADDQLKALADRFHRPGGVDLWNDRDGPRVFESPATGASSARFFPKNAVHSLQPYALRGALGVLLALGDGGQGARENAAQEEAYVNDDRVPAVELKERDGTWEPLHALGDDSIAADWSSDDDHDDVTYESEGTGDVGSWRERQAVVSRSGRNAVVRLEAANSVAVGRDDDRDWSGDSSSLEAEGARQGHLEQRWQQRSRGTRNHAGRRWNALNTAVSSGTSDRDRAAAGSISDSEMIRSGSEPKWRTRSKYDTRNGAERRNVPREDWIGDGFNSNSDSARGSKLEPKWGAQNKLNGRGNFRGRMKPKYNGEINDGEAPRRHMRANNGDEHGSANNGFAEDLEVPTWKPRRMNRARSSNGGREDNLGGTFRKGDNGAARRLQENPRNTNNRNTNNEGGRSLHRMGGNGGRRFRGDDSSLRPTSEQHSSGKERGSDEL >Et_3B_027543.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26589416:26591537:1 gene:Et_3B_027543 transcript:Et_3B_027543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKARIHADPALEFDQFDCLPDSLVLLILNKLEDVRSLGRCSAVSRRFSGLVPLVHDVCVKIDHVIAVDGDSEDGLNLSSPKPRNIVSHFLKLMLFAIIKPFHDIRNPNGTGRSLFPQLAHHSPVQVLKNFSHVRDLRVELPSGDVGVEEGVLLKWRAEYGSSLQNCVILGGTLVNRKPIGTEHDDNGCMPESFYTNGGLKLRVVWTISCLIAASTRHFLLRSIIKDHPTLRSLVLADADGQGTLCMGTEQLKEFRENQLSASACSNRTQVPACNMKLKYSPYLELPGGLALQGATLLVIKPANDGSSGGHGSRKETEAFVSGAFDGPLKFAVKALMKRRTYLLEMNGF >Et_1B_013026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4933650:4935144:1 gene:Et_1B_013026 transcript:Et_1B_013026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPRLPLLLKITAAAAAGVLAIIAVMRLRRDDAVATLRREIREVLSSLVTEEEDGDAGHAEGEEEDATARPPSVLITGFRAHGKSSLVNTACRALAGEDGPLLLRAEASPPGGGTDGPRRRKRVKATVSGGDGEMGADDAVVELLDAPPLPEAARLTRADIDEAISGGDPECVVLVLRCDAPTKERNAAVKRLPEISAAVRAKGLNLIIVLTFKKSMRSIRQAEELLREVSFRARTDCVYFIENYTWSNNGPNLRHPPVIKNDFETHFTVLTIIRQCLEFIKLNRSQSKDKKDGKQQVKPAEAILNKIPPVEPKAVLKPS >Et_10B_003258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18299559:18300149:1 gene:Et_10B_003258 transcript:Et_10B_003258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSGGGSRKRGSLQMDASSGASEEPDNYGAFFFQVRRSAPRPQERYEIVPGDDEDEETILPLPRFLLRPQDDEDDGLYDGGVEHVLPGYDEAEEQRNIIYKRPRVAASSKAIQGLQEARAGDAGLPAECAVCLQDFGADDKLRAMPCSHAFHQHCIFDWLRRNGVCRLCRYALPGQRQDDEEEY >Et_4A_035910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8415123:8419417:1 gene:Et_4A_035910 transcript:Et_4A_035910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGHRDGRATGQARTVSRARRGLLRIKGGSRPPVASLAPRSRGFCRKKPPCLSPQALLMAEAPANAPAAAAEQEDQSAPAEPAAAAVPALYVGDLHEDVADHDLFEAFSKVGTVTSVRVCRDNATNRSLRYGYVNYYSSADATSALERLNHSLVLGKPIRVMWSNRDPDARRSGIGNVFVKNLSDYVDNASLQELFSKFGDVISCKIIRNEDGTSRGYGFVQFASQEPADAAIENLNNSYFGDRQLHVATFIKKSERSANSDDSYTNLYMKNLDDDMTEELIKLKFSQFGSIVSVKIMRGEDGSSQGFGFVSFQNPESAKKAKEAMNGLPLGSKNLYVARAQKKEERKQYLQRLHEEKRNEIITKSNGSNVYIKNIHDEVDDNALRARFDEFGNITSAKIMRDDKGVSRGFGSVCYSTPEEAKSAVSGMRGVMFYGKPLYVSLAQRKEDRQAILQQHFTHLARMVGSANPMIPTGYPHVYFAHPSGHLPQGPPRHGFMYPPISLTHEWRPNMFPSPPNLQQMHSPMRFGHPKYFSNEVGLAIHHGDLVPPINEDAQMDPQKEVLGNKIFPFVQRQQYALSVAQPDLAKKITGMLIAQQNCDVLALLSSPEVLYDKIEECAQLLQATKTKAEDQETQETLHPGFILNSASVNAN >Et_6A_047027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24345258:24345987:-1 gene:Et_6A_047027 transcript:Et_6A_047027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGFRIPIDALVEILLRLPTRVPGGGSGSVLSASYIWRDVIDERTQVKNKILAFFAESDSSRALFDDKDGRRRHAWTYRSTKNRHHGYVDMIGTCNGLLCLYDGFLSKETILVTNPITGKKLEPRWHHGNKSIPEAPVSTALGTIQRTGQYKVVHITRVSLREQGPGSGQRSWRQVPLVNPSKDLKNTNIISVEGTTYCLTKGCHKLTALHLEDESVMAPLFLTSLFVLFLVPPVP >Et_5B_045143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10017701:10021593:1 gene:Et_5B_045143 transcript:Et_5B_045143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKGVDSRWIHAMRIAFPMRAAASSNTADSSLVLTGPTRAVAAGDPCSAVAVKGSWESEDKILSFLVIEHNSIGARHTPTILHNGICVRSAKGWSGGNS >Et_1B_013021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4882392:4883583:-1 gene:Et_1B_013021 transcript:Et_1B_013021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVREHTAYGGGFDGADSSPFDALLGADAAALFGGYSSLDPASFTGGNVWGAGAGAAASVLAFDRAAAAVSGDEDDSDAWIDAMDQNYGAAAAAAPRQAPVTSVGFDATTGCFTLTERAASSGGAGRAFGLLFPSTSSGSGGSPDRAAPVRASQKRSYPSCKSIYKLFRWLWECNSRRIPHAFCVRVQGVERQQDVSPKKPCGAGRKTSKPKPAPAVPTKDPQSLAAKTRRERISERLRTLQELVPNGTKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPAQGGKAPEISQVKEALDAILSSSSSQRGQLN >Et_1B_011416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2221645:2221985:1 gene:Et_1B_011416 transcript:Et_1B_011416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSRLLLVAVVFLIASDKMATVSARCPDPVSISLFPGKPCKPQACRTACAKKYHDGVGTCLYPDRCDCEYCLDSSSPSTQNRMM >Et_4A_034487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3759379:3763917:1 gene:Et_4A_034487 transcript:Et_4A_034487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAWDALFGCFSSSSHGGSRKKKGGKSKSKKKKVAAASGSSSGKQPRSLQSRMSFSELSGMVSPEDLSLSLAGSNLHVFSIADLRAVTRDFSMTNFIGEGGFGPVYKGYVDDKVKPGLTAQPVAVKLLDLEGGQGHTEWLTEVFFLGQLRHPHLVKLIGYCYEDEHRLLVYEFMTRGSLEKHLFKKYAASLPWSTRLKIAIGAAKGLAFLHEAEKPVIYRDFKTSNILLDSDYKAKLSDFGLAKDGPEEDETHVSTRVMGTQGYAAPDDVYGFGVVLLELLSGRRSVDKSRPPREQNLVEWARPYLTDARRLDRVMDPNLAGQYSSRAAHKAAAVAHQCVSLNPKSRPHMSAVVEALEPLLALDDGIVGTFVYVAPPDDDSNGGDHGKQGGSSSGRRSRMRKSEGAAAAAAEPEARPE >Et_3A_025549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30661892:30668421:-1 gene:Et_3A_025549 transcript:Et_3A_025549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPGELQCVGRLEVAAPPPARYLRVGSLPVPTDDSASLPALLPSPSPTGAPRYQMLPLETDLNTLPMIPNPPEKGYPNDAKSTEGSRYDNVFINQNLSRKCEALAVSGLAEYGDEIDVIAPADIMKQIFKIPYSKAQVSIAVNRIGDTLILNTGPDIDEGEKIFRRQNNQPKGSDPSMFLNFAMHSVRAEACDCPPSHQPSQEKQTASTVLRGPFGCREGSLDSPSSSSFGKSQYMDQNVCKSRKSSHGAHESLYLGTRENKKKVKGSDPINKTNHVGDKTRGEVQESEKSKRVGNNGFRKVCFWQFHNFNMLLGSDLLIFSNEKYIAVSLHLWDVSRQVTPLNWLEAWLDNVMASVPELAICYHQNGVVQGYELLKNDDIFLLKGVSDDGTPAFHPQVVQQNGLAVLRFLQDNCKQDPGAYWLYKGAEDDVIQLYDLSILPKKHPTGDHRSPCGPMSSLMNKQRRESLLSLGTLLYRVAHRMSLSKVPSNRAKCAKFFKKCLDFLSEQDHLVVRACAHEQFARLILKCYEELELTSETFMIESEVTLTDLDDDSPELSLENLPSKKNDVLPNIDDTETASLDNISECLQSESSGTTNGLVDQIHVDSGSSSSVTRENVSVESLVMCQADTSQISKTIGDAISSKLAAIHHISQAIKSLRWNRQLQNTQDGCVDGADNLWERSVDFSLCRCGDVDCIEVCDIREWLPKSKMDHKLWKLVLLLGESYLALGEAYKNDGQLQRTLKVVELACLVYGSMPGHLDGDEFISSMSDSSLSHEDVGLKTKLVLDEPDYCVNTKCFDYKVSAHKSPPNYLFWAKAWMLVGDVYAEDHRLNGHQAHVVQKQKSHGEVRMSNEVAMEVKRLKKKLGKDKQNCGTCSLINCSCQSDRASSGSSASSSRPEPSTFYGRKKNKKSLGRNIYSLSRDNENPSAQEATQGAEKVPVSNANVDYNHANLSKNPDGVPVKSHEGISSVRSGGIFKFLGGPRPGDVEYNLSCAVKCYDAAKRAPFVFPVHSAETSTILKKKGWAFNELGRWRLEGGNLNSAEMAFEDAITVFQEVFDHTNVILINCNLGHGRRSLAEEFVSKIDEFQKYDLPEGTYMQSIKSAKSEYFQAINYYSAAKRQLTYVTNEVDKVLYNEVHTQYAHTHLRLGMLLARESFLTESYEGGLANESSNRTVLEISASDAFREALSTYESLGEHRKQETAFGHFQLACYQRDLCLKFLDLVDKEIKQKYEEKYRQKSKWYGSLAEKNWQKALEFYGPKTHPIMFLNILMAQCALSSNLSDSFHSSTMLETALTHLLEGRHVVEANEDHSSEVDLDIKPKFWSQLQGLLKSMLAASLAGSTGRLASVSQASNRGDAAKLKEMYRLSLKSTSLGQLHALHKLWVS >Et_9A_061616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14490245:14496932:-1 gene:Et_9A_061616 transcript:Et_9A_061616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSQGILLLLLIIRFSAAQNTTTYRPEEFHVGVILDLGSLVGKVSRTSVSLAMRDFYSVHQNYSTKLVIHFRDSKGSNVQAASAAIELLEKYKVQAIIGPQKSSEAAFISNLGNVTQVPIVSFTATSPSLTSASVPYFVRATLNDSVQVDSIVSLIKAYGWREVVLVYDDNDYGRGILPYLIDALQQIDTRIPYHSAIPLSATSHDIMIELYKLMTMEPRVFIVHMSMTRASLLFTKAKEAGMINKGFVWIVTMGVANIIDSLSPSVVEAMNGVIGVRFHVPKSQELDTSFLIRWNKMYERDNPNEFALNKLSIIGLWGYDTVWALAQAAENVGVPSNETSKRLQSSKSYKFLESLAISTKGPELLTEIVQNKFRGLSGNFDLTDRQLQVSSLQIINVVGGRWRHIGFWTLGIGLSRQLNQNGLKIAGSSSKLDLNPVIWPGESTEIPRGWELSASGKKLRVGVHRSAYPEFSRTLRDPVTNATSASGLSIEVFEEAVKRLPFALNYEYQVFGTVDDTGSSGSNDDFIYQVRNCGSSLLHRYDIAIGDITIRYNRTLYVDFTVPYTQSGVAMIVPVKKKNVNNNMWIFLKPLSKGMWFGTIVFFIYTGVVIWMLERINGNGYLHEEKLEFFLSRVVLLVWMFVLLVVTSSYTATFASMLTVQQLSPTLTDIHELQKQGGYVGFCRGTYIESVLQDIGFERSKIRPYDTPDEFHSALSIGSDNGGVAAMVLEVPYIKLFLSKYCKGYTMVGPFYKSAGFAFALPKRSPLLAEISRAILNITGGDTIIQIERKWIDRQNRCETEETTYGSDTITFGSFGGLFLLTGCVTTCSLCVALLMNSHKKDQPKAGTKLDDPNQHDHGRQGQSNGDTQDGDQSNEENGGCKDIENQPTQISVPHSLTNSDLLQDCTKNVMAAASTHSGSQVIHRVNKVNMPPNSSSSTLVQASRIDVTSEVPADSLVDPGSNRNLLQK >Et_8B_060112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5999733:6010595:1 gene:Et_8B_060112 transcript:Et_8B_060112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTLATTSTSGGVAAVEEAVRLWLSELPVRVDLAAVAAQCAWLWTQVRAFVLVPAIWLLVVVSLALTMMIMFEKLFICAVFIVVKVFRLSPERRYRAKYPMVLVQIPMYNEREVYKLSIGAACGLNWPSDRFVIQVLDDSTDPDVKDMVKMEVQRWQSKGVNIKYEIRADRKGYKAGALKQGMKHAYVKECEYIAMFDADFQPESDFLLRTIPFLVHNPELALVQARWRFVNSDECLLTRFQEMSMDYHFKFEQEAGSSAYKFFGFNGTAGVWRISAIEDAGGWKDRTTVEDMDLAVRATLQGWKFVYVGDVQVKSELPSTFKAFRFQQHRWSCGPANLLRKMVREVTQSTKLSWWGKFHLWYDFFFVGKVACHTTTFIYYCFAIPVSVLFPEIQIPLWGVVYVPSVITFLKALGSLSSFHLIILWVLFENVMSLHRLKASVSGLLDVGGRVNEWVVTEKLGASNKTNPNTIRSDAVKVVDVKVIESDVKLMEPLIPKIAKKRTRFWDRFNFSELFVGFFMILCGCYDVLYAKKGYHIYLFLQGMAFLVGMDATTATSGVTAARLWWAAELPVRVDWAAVAAQCAWVWARVRAFLLVPAVRLLVVLSLTLTVMILVEKLFVCAVFVAVKAFRLRPERRYRWEPIAASAGAGNDDEEVAGGNSAKYPMVLVQIPMYNEREVYKLSIGAACALEWPPERFVIQVLDDSTDPVVKDLVETECSRWKSKGANIKYEVRGNRKGYKAGALKEGLKHDYVKDCEYIAMFDADFQPESDFLLRTIPFLVHNPELALVQARWRFVNSDECLLTRFQEMSLDYHFKFEQEAGSSVYSFFGFNGTAGVWRISAIDDAGGWKDRTTVEDMDLAVRATLQGWKFVYVGDVQVKSELPSTFKAYRFQQHRWSCGPANLFKKMMGEMVHNKKLSLWSKIHLWYDFFFVGKVACHTVTFIYYCFAIPLSVLFPEIQIPLWGVVYVPTVITLLKSLGTPSSFHLVILWVLFENVMSWHRLKAAVSGLLDAGGRVNEWVVTEKLGDATKTKPNTNGSDAVKVIDVKVIETDANLTEPLIPKLVKKQTRFWERYHRSELCVGTCIILCGCYDVLYAKKGYYIYLFLQGVAFLVAGFGYIGTLPPRSE >Et_10B_003458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19978370:19982751:-1 gene:Et_10B_003458 transcript:Et_10B_003458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRSLYKSEAVFAAVDSGLASDVRTIAELSIDDYLYPDADLYNVSGLPPLLLPPPTCDLYHGRWVFDNTSAPAYREKECTFLTAQISCLKNGRPDDTWQYWKWQPNDCSLPTFDARRFMEAMRGKRLMFVGDSLNRNQWESLVCLVQPILSKGRKKVVKRGNFITFHAKEYRATLEFYWAPFLVESNSDNPNFHSIDTRIIRPDRIQAHAKNWKDVDYLIFNTYIWWMNTADMKVRRPDSRYWSEHDEVPRIEAYGRVLKTWSDWLENNVDPARTSVFFMTISPPHLNPERWGNPNGIKCVNETLPYMNYTQPLDLYHDMRMFDLVAKVANSMKRVPVTLIDITKMSDYRKDAHTSLYTIRQGKLLTPKQKANPDKFADCIHWCAGRVESDTIYKNPIEIFHNSPTTLAPSSVSPSPLMRSRGSVLTPHRKMCNFLKRIMDVEFS >Et_8A_056822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17433073:17434943:1 gene:Et_8A_056822 transcript:Et_8A_056822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDRERGPMEVTAKPLVDDDGRPLRTGTLWTASAHIITAVIGSGVLSLAWGVAQLGWVAGPAVMVLFAAVICYTSTLLAECYRSGDPVFGRRNRTYIDAVRATLGGSKERLCSAMQLSNLFGIGIGVTIAASVSMRAIKRAGCFHWRGHQDPCHASTSPYIAIFGVMQIVFSQIPDLDKVWWLSTVASIMSFSYSTIGICLGVAQIIVNGGIKGTVTGVIGVGAGVTVMQKVWRSLQAFGNIAFAYGFSIILLEIQASIVSFVAALSGLCQQSTIIAALDTIKSPPPSEATVMKKATAVSVAVTTVIYLLCGCLGYAAFGADAPDNLLTGFGFYEPFWLLDVANAAVVAHLVGTYQVMSQPVFAYVERRAAAAWPGSALVRETKVRVGPVAFAVSPIRLVWRTTYACVTTAVAMLLPFFGSVVGLIGAVSFWPLTVYFPVEMYIAQRGVPRWSARWVLMQALSAGCFLVSASAAAGSIAGVVDAFKAQSPFC >Et_9B_064826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1934847:1936879:1 gene:Et_9B_064826 transcript:Et_9B_064826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSDQMLLSSGGNTADLVKDNAANPVAWMARFAPALVKMGNIEVLTGAAGEDTVLKDLKSSC >Et_1A_005128.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:28258767:28258805:1 gene:Et_1A_005128 transcript:Et_1A_005128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLAGVVQMC >Et_3A_025739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32375566:32378526:1 gene:Et_3A_025739 transcript:Et_3A_025739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAAVEVVAPAPAPANGNGACCHAPKGPGYATPLDAMKKGPREKLIYVTCVYNGTGINKPDYLATVDLDPNSPTYSQVIHRLPTTHIGDELHHSGWNSCSSCHGDPSASRRYLILPSLLSGRVYVVDTATDPRAPSLHKVVQAEDIAEKTGLGFPHTSHCLASGEIMISCLGDKEGNASGNGFLLLDSEFNVKGRWEKPGHNPLFGYDFWYQPRHKTMISSSWGAPAAFRTGFDLQHVQDGLYGRHLHVYDWPGGELKQTLDLGETGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFKTADGSWSHEVAISIKPLKVRNWILPEMPGLITDFVLSLDDRYLYLVNWLHGDIRQYNIEDPAKPVLAGQVWVGGLLQKGSDVVYVTDDDQEEQYNVPQVKGHRLRGGPQMIQLSLDGKRIYVTNSLFSRWDEQFYGPDLIKKGSHMLQIDVDTENGGLTINPNFFVDFGTEPDGPALAHEMRYPGGDCTSDIWI >Et_2A_016026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20286211:20286915:-1 gene:Et_2A_016026 transcript:Et_2A_016026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLTPPLTHCSRLRPVNKFSLPPCGSRRKVSLRVRIRATEAGGSAPAATEEQKERKRCLRCGGMYLDEENSPNACAFHGHVTGEKGLFSLSPPHQGIDGEWSDKSGIIVYRWNDQGSRPNTGRDNWKKRWSCCQERDEHAPPCQRGWHVSYDDGYTLF >Et_3B_030368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32455217:32465573:-1 gene:Et_3B_030368 transcript:Et_3B_030368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRDQGPPSKRVSSARHYAPGAVAGLPPHRPPRSVSAAASMSTTSVRKPPEPLRRAVADCLSPPAPHTHGPPAAAASAAAEASRTLRDYIANPSTIDMAYNVLIDHALAESDRSPAVVPRCVALLKRYLIRYIPRVQTLRQIDLFCANTIAKCEPMPNRAASVAQNNVSTAALSNSSSLAPPISNFASASLVKSLNYVRSLVARHIPKLSFQPVVPSVASKQPLPSLSSFLNRSLVSQLTPEVISNREHLEPKETHSPSDLMSSASEKVDGGEPVDDSKYISFDILSWRWHVCGDRQASTSAKENNEFVGLQDFHTHGFLEVGAAALLVGDMEAKINDQQWKYSVIQEFPDIDLLQPSPSTPNTFASSQSHLKAITTSKRMKSGPNQVWMNIPANTFQPRARPLFQYRHYSEQQPLRLNPAEISEVIAEVCSETTSNANPSIAPSRLTTQSRQPSADVAFSVLIKLVIDMYMMDSRTAAPLTLYMLEGMLSSQKSSARTKALDLILNLGVHAHLLEPVVVEDAQLMDKGETVNHSYLSNEYGSGIEEARAPESEEEQKISPAIDQFESWILKILYEVLLLLVQMEERQEIVWASALSCLFYFVCDGGKIIRSRLGGLDIRVVKTLLEISVEHSWAKVVHSKLICMLTNMLYQVSDIQNDVFSTHFIPERIELLGGIDYICLEYSRANSREEKRDLFFLIFDYVVHQINEACLAGGISTYNYDDAQPLASLLAFADAPEAFYISVKHGVEGVGDMLKKAISAALSQSAEYDQLNMLLDKVIRKLDATVSTFSRIDNEFAYMIQVTKSCKCFGSIKDGCDDADVALRARLCWATLHSLLHSQISSYRHHGYIWLVELLLSEISEETDGSIWSKIQKLQEEIEVAGSQDLSCSEVSLPVCMLCGLLKSKHNFIRWGFLYVLDKFLMRCKLLLDDSDMQDHTDADHSKNRLDKAFAVIDIMNSALLLVVQNNETDHINILKMCDMLFSQLCLRIPSTNVVYLGGLQSLGQLFGCTTKNIERNLETLASHQNVGNKNFRRSETLQDISINESAQSTLLCEASMAALLLRGLAIAPMQLVARVPTSLFFWPLIQLEGAASDDIALGIAVGSTGRGNLPGATSDIRAALLLLLIGKCTADQEALKEVEGNEFFRGLLDDTDSRVAYYSAAFLLKRMMTEEPESYQRMLQSLISRAQQCNNEKLLENPYLQMRGILQLSNDLGVQ >Et_3A_023497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31121389:31123013:1 gene:Et_3A_023497 transcript:Et_3A_023497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPVIVSSGQTYERVFIDHWFDMGYNVCPKTRQILGHTNLIPNYTVKQLIEDWSEIHGIALPDPVELLSKSFPISLNMKNGRASDKAPSTDEAPMAKRSDSSDDSRNLMHHSMENRVAIGSCGAIPLLVNLLHTADPSTQENAVTALMNLSLNDNNKIAIASAEAIEPVIYVLQTGNPEAKANSAATLYSLSVIEDNKAKIGRAGAIKPLVDLLADGSAQGKKDATTALFNLSIFHENKARIVEAGAVKHLVELMDPAAGMVDKAVAVLANLATLQEGRNDIAQAGGIPLLVEVVELGSARAKEHAAAALPQICTNCTKFCRLVLQEGAVPPLVALSQSGTARGREKVLLIKLS >Et_4B_037148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15421709:15429544:1 gene:Et_4B_037148 transcript:Et_4B_037148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLTSQLFLRVATTDRFSHRNKFRSPETRSSLPLSSTSFLSVAGRQDCCRHNVLESNDWPMLYTPHRYRSSCFRSFALPVSLREIPLVKSTSLALTRSCDALLANPATSLVVPAIGIIVFALWGFLPLMRDIRSRFDHGGNWKKSPTYLISTSYLQPLLLWTGATLICRGLDPVVFPSAASQAVKVRLVQKFLVDMRNPNDTRNMGLDFITKALYTGVWIAAVSLFMELLGFNTQKWITAGGFGTVLLTLAGREIFTNFLSSVMINATRPFVVNEWINAKIDGVEVSGIVEHVGWWSPTIIRGDDREAIYIPNHKFTVSILRNNTQRTHWRIKTYLAISHMDAGKIGIIVADMRKVLAKNPHIEQQKLHRRVFFEKIDPKNQALMIYISCFVKTSRFEEYLNVQEAVMLDLLRIVGHHKARLATQIRTVQKSYGNADFDNIPFGEDMYSRVRGRPLLIDTSARVTDDKSKPRTSSSHEEHKVKASGSVETKSAPPESTSLSNSEKQEHKKLVPEDGRTKNNKNGNVPPVAPSSDHTTSTSKTGKTNEPENTERQVDGSVSVANAKKESRPAFEDNIVLGVALEGSKRTLPIDEGSNPYLSLSETEQDTVEAASSLKDKKGQSSKVSGQEKVDQRNVDR >Et_7A_050690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10164760:10167462:1 gene:Et_7A_050690 transcript:Et_7A_050690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLRGAVCSMLVLSALLALAASQSPSPALSQSRPPTARATKPSSRPTAAPAPRVSRPPAPAAKPSSPRPAPTPRAGPTARPSPSPSTRPAPKPSPSAAPAPNHSSPPAPRPVAKPSPPAAPAPRPSSPPPASPATPPAPRPSPKPSPSAEPAPKTTPTPPPPASPAPTAPPQAPKPSPAPVSPSTSSSLGQLSPSYYAMSCPGVELAVRDVVRSASTLDPTIPGKLLRLVFHDCFVEGCDASVLIQGNGTERTDPANLSLGGFDVIEESKRLLEVICPATVSCSDIVVLAARDAVIFTGGPAVPVTLGRRDGLVSLASNVRANIIDTGFSVDAMAASFAKKGLSLDDLVTLSGGHTIGLAHCNTFRERFQVANGSMTPVDGSMNTDYASELIRACSVNGTVSSGTAVECDSGSAGVFDNRYYGNLLDGRGLLRTDAVLVQNATTRAQVAAFAQSQDAFFSSWADSFARLTSLGVKTGADGEIRRTCSSVNG >Et_5B_043747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15209821:15216168:1 gene:Et_5B_043747 transcript:Et_5B_043747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSANKHGAGQETTVRKVVHLVVPGFGSVPPNEELDFPASDAYPCEASHLLQEVSEFKSAPLQEEPQPLPVSADIHTRAGAPRPAAPVVRGALLAAASIGGGALECETPGMQQPKENVPALEANTELEDAPDSPDSSTALSPRALAELDEFRAKVLGAPNTPKLGDIPEAMLGASLRRSRRRVASSDEVNSDRAVKLKPARNLDGDFAKGISIGSGSASTIDSINHLKSVEQERLNANEIHDVKILSGGSGSVLYLAVTLATTSSMAASSFTSRSNIIKAFVLVTLIFAAAEVAGEPPSVVPPACEDAYAVGNNSFTVDFCLSTLTGHSVGATDYADLVPFAVNLTTANATATKAKLDELVAGAFGRGPLFYGLRSCQDRYDAVVRVYQPICHTAASDRRFSDVRACLGRTLEAAEVCDLWFRQRKVTSPIAKENDDLVKLANLGIAITKLRL >Et_1A_005988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16467252:16468490:1 gene:Et_1A_005988 transcript:Et_1A_005988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARGFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVNGRIIRKRIHVRVEHVQPSRCTEEFRLRKIKNDQLKAEAKSRGEVISTKRQPEGPKPGFMVVEGAMLETVTPIPYDVVNDLKGGY >Et_1B_011044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16977019:16988948:-1 gene:Et_1B_011044 transcript:Et_1B_011044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSVSASQPAATPAAEDGEGSAPAPELPGAGGPEIAFFDVETSVPQRARQGYALLEFGAILVCPRRLVEVAAYATLVRPADPVSAISAASVRCNGITRDAVSGAPSFRDVADSVYGLLHGRVWAGHNIVRFDSARIREAFAEISRPPPEPKGMIDTLPLLTQRFGRRAGDMKMASLANYFGLGKQRHRSLDDVRMNLEVLKYCATVLFLRKNSTVESAVLEEDNQGTGSPATSRESVELVSHIEEMKLDATTQMDASSSVMATTASASAADGTEIAFLDLETSAPERRALLEFGAILVCPRSLVEVSFYATLVRPDDFDAVVPATGRCNGITRDAIAGAPPFRDVADKVYAVLHGRVWAGHNILWFDAMIIRKAFAEIGRAPPKPKGVIDTLPLLARRFGVRAGDMKMASLANYFGLGKQKHSCTGLEVLFGVNAKFLDNAGRPKLNILVAIPENLRKVLEFCDDLAKTSSPEACGTSEWIPLIKINANANHPTVRLKIPTVISGETATYSTDICKKERNGIIQKLVFSKVDEAELDSLLRWNKVDAFFSLEVYDYQQNAGIRLVAKRLVVHSR >Et_7A_051466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1979386:1980872:-1 gene:Et_7A_051466 transcript:Et_7A_051466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAWPVGAPRQRQLQQQTAAPQQQQQPPQQQQHQNGRIDLRELKSQMEKRLGPDRSRRYFSYLNGYLSQRLSKSDFDKLCLLTLGRENLQLHNRLIRSILYNAYQAKGPPPPAAGKPVGALAKNVSQATELFGTCNGDAGLLQVQGSRSMGTAQIHPLKDQMNNMGPNGRVGAAVNHTQVVNGVSAALENGTVSSLELKRPVHFQHCEPAEPLAKHPRVEHLPPDNMLLQRISMSGAAARSVELSKSPVRAPLGIPFCSASVGGARKLLPPPTSAGEDHFTSCLEHGRLFNTELLHRRMEKTAERLGLAGVTMDCAELLNSGLDKYLKNLIRSSVELIGGRVQRDARKGTPYKQQAYGKQINGVWLPNQVHMQSGSGPSGATSDIRSNHLISINEFKVAMQLDPRQLGEDWPVLLEKICTSQKMSHLGPRQNSAIAFRSQTCSERKPFFFPDK >Et_6A_046160.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:5418842:5419048:-1 gene:Et_6A_046160 transcript:Et_6A_046160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIGGVRWKMLNLRRKPASEKRRHHRLHTRAARMRLAGSSGGRRRRTSSTSSSISCGGSCAMVGFRV >Et_2B_019109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10454047:10454517:1 gene:Et_2B_019109 transcript:Et_2B_019109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVTSATMEGDKIIVVGEGVDPIALTRMLRRGLGYAELLSITGDDKKKGDGYDGGMGYGGGKDGSGKNKDHSHGYSGHHMVAPAPCAAYHQYATPSYPVYSYPAYPQHRHDPGCSIM >Et_6A_046910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22140653:22141103:-1 gene:Et_6A_046910 transcript:Et_6A_046910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSCGSNCNCGSSCKCGKMSPDLEEKSTGAQATNGMAPAEQKTHSEVAAESGEAPRACPCEPCNPSCQCYPDCKC >Et_2B_020849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24154378:24157954:-1 gene:Et_2B_020849 transcript:Et_2B_020849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAATLRGLVGIAATGGHRVRACGQRWDAGWRGFRAGATGSGGRGRGKAAPGSSSTSSSARRQAQVRRGASAAAGNRAGWVSGGFESEDGKLACGYSSYIGMRPNMEDCYDIKLTEIDGQRVNLFGVFDGHGGNFAAEYLKENLFKNLMKHPEFLKNTKLAIRKTYLETNKEILEILSNSYRDDGSTALTAVLMGQRLYVANVGDSRAIAIKAGKAVALSKDHKPNNKDERKRIEDAGGFVVQDDTWRVGGILAMSRAFGNRLLKKYVKPEPDIQELEVNSDLKYLVLATDGLWDAVHNQDAISILKSKDKPQAAAVKLTEVAYTRKSTDNITCIVVQFHHDK >Et_1A_004977.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:20937014:20937613:-1 gene:Et_1A_004977 transcript:Et_1A_004977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TFFRRLPLLPPRLALGDDLVQQPVHLPVHPPQAAPRADEGVNEPQRREEVGHAEAGAVAQHHGHGRQEPLLALVAAPVAERRHAGDLVRARHQQPAQVHRLATVAGRFADLPNKRHDLGVPDGRQLPDLARAEELERAELAQRAPVRAVGREADVQVVVGDDLRDEQAGPGREAQVLGVEHLLRRGRRRDHHVVHLPKP >Et_10B_003110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16618496:16622403:-1 gene:Et_10B_003110 transcript:Et_10B_003110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLLAASLPVLGGRMLPSLRHHYCVVGSHHPFSWRSILQTYGRKENRIRSWSAQCSGNYDGASVSEEINAPKKLTLPDNSLLDARILYCTSPALGHHKDAHPESNKRVPAIVDALDKLELSPKHRGPQVLEIQNFKPASVDDVARVHSRSYITGLKNAMSRASDEGLILIEGTGPTYATDTTFKESLLSAGAGITLVDSVVAASKLGPNPPLGFALIRPPGHHAVPEGPMGFCVFGNIAVAARYAQHQHGLKRVMIIDFDVHHGNGTCDAFYDDPNIFFLSTHQLGSYPGTGKIDQVGQGDGEGTTLNLPLPGGAGDYAVRCAFDEVIAPSAQRFKPDIILFTTGTFYMLSSGIKQLAKELCGGRCIFFLEGGYNLQTLSSSVADTFRAFLDEPSLAAQFDDPAMLFEEPTRKIKEAIENSKIIHSL >Et_7A_051102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14499976:14506600:1 gene:Et_7A_051102 transcript:Et_7A_051102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNKGARYLQDVVKVCGDRIVLFDNRTGDAQNKEDQRKKLLDAVDSVVSSHGGLPFSNQMFNQIKEAHERQKEVDADGYSAKEILVSKKELYEGYLKHITTMLLEEQNARQKAENEVARAMLRSEEEIRRLRESLERTQQESNKAREENKKFMELENARIEMDKKTKKEIKNLKNDLKNVQLESERHRKMYENKCIIILAMGGGGGGGDDEEWLLHCPCPADVTIVLVGKVGSGKSATANSILGSKVFISKHSYSSVTKMCQMSRTTRRDACAEPRTINVIDTPGLCDMSTTTEDTRKEIAKCMEMSKNGIHAMLLVFSADSRFTREDADTIEYIKLFFGASIVNHMILVFTRGDLIGEVTLKDMLADNDARYLQDVVKQCSDRTILFDNKTIDAQHQEEQLNKLLDAVDSVIYNNNGIPFSNKMFTQIKEEHDRQNEIGVQGYSAEQTSMPRNDIYDGYLMLITKMMTSFAITLCRHLTRGRCVSAIRTGIVPLGAQQVAEELRTLSAASI >Et_1B_013330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7480493:7482992:1 gene:Et_1B_013330 transcript:Et_1B_013330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPTSAVGECSELQIGGISDCCFRKVGGDCLRDIVVISRLLSPASRARLFKEYKEVQREKSADPEIQLICDDSNIFKWTALIKGPSETAYEGGVFQLAFTIPEQYTGEICLDILKNAWSPAWTLQSVCRALIALMAHPEPDSPLNCDSGNLLRSGDIRGYQSMARMYTRLAATPRKG >Et_10B_003963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8535796:8538699:-1 gene:Et_10B_003963 transcript:Et_10B_003963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWGAASEGTRVLPPPPRRRRSVLASCARGAVLGPREWEVERRQLLLSGLVSSVVLVLPISESYAVMETEEDVKMATQVDEINAYSFLYPVELNYYSFILGGVKKIKALFLCSATI >Et_10A_002019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18894890:18895326:1 gene:Et_10A_002019 transcript:Et_10A_002019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPITQPCDDTIILDVERGCPGSGGFLRGLLLLLAYQLIICMPDPRPAPRRGRHGVQGRAANVPLGGGCLEGLEAVRAAVATTMKTVVGARCTSVREYLCFEVDKVVGWEVKAVRGRYVGILSYIY >Et_4A_035220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12945937:12948412:-1 gene:Et_4A_035220 transcript:Et_4A_035220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLFSCPVDDYDPLEEDAPATSSSSASGGGAREPPLLKALGSGKLLIEGSLSFKRQQAASGSGALQVETKISIRTSADAAPLPREVARARFADAGAESPKHEAAALKLQKVYKSFRTRRQLADCAVLVEQSWWKLLDFALLKRSSVSFFDIEKQETAMSKWSRARTRVAKVGKGLLKDENAQKLALQHWLEAIDPRHRYGHNLHYYYDCWLHCESKQPFFYWLDVGEGRDINLEGKCSRSKLLSQCIKYLGPKEREDYEVVIEDGKFVYKKTGQILDTSCGPRDAKWIFVLSTSKTLYVGQKKKGTFQHSSFLAGGATSAAGRLVVENGTLKAIWPHSGHYRPTEENFQEFQSFLKDNLVDLTDVKMSPAEEDEEFWGSLRRIPSESEKTEDTTAAPEETGPGQIPEAVSTASAEVEETAETGPESSEDTEDQEEAEKAPVPRAKILERINSKKELKSYQLGKQLSFKWTTGAGPRIGCVRDYPSELQLQALEQVNLSPRCGTATGGGSRFASPQRRSFNHSTVARGCEASSTPREAFRSPLQHGVVAVAAAAD >Et_3B_027709.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:13708746:13709072:-1 gene:Et_3B_027709 transcript:Et_3B_027709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IEALACRDGLLLAVRRSVTRVHLETDCQELVRLWDLKEAQRSSVMGLLKEIYDLSCNLSAFKFSFASRGCTRVAHELSKQAMVASQVGEWHDAPSCMLGLLASDCNPE >Et_9B_064846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19090231:19092339:-1 gene:Et_9B_064846 transcript:Et_9B_064846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAQRFPSTRAASNATMCTENYDPCYPDQPVVDRYLPIWARLPAFSAKPAFIWADDDAGSGGATSRTALTYSELNFAVERMARNLLGVDGQLRRGDTVLVLASPGLRLVRILFACQRAGLTAVPVIPPDPAKQFGPAHAHLLRAVSQTKPSAAVADGHYIEAVTTATAYSAVFAGGAPVRLAAMLRSLRWLAVDELLERGERSSGDDGPGAAAAGGGYAGCGPEDVYLIQYTSGATGVPKPMMVTAGSAAHNVRATRAAYDLGPGSVVVSWLPQYHDCGLMFLLLTVVAGATCVLASPDAFVRRPRLWLELVYEFGATCTPVPSFALPLVLRRGRGRSGPHGRRPSLELGSLRNLILINEPIYKSCVDEFVEAFARDGLRAASVSPSYGLAENCTFVSTAWRSGGSDDDVLPSYKKLLPSARLSRPTSSVINQAPEIEIAVVDEDTGEPVPDGVEGEVWVSSPSNASGYLGHPSATREAFRARVPGRAGACFVRTGDRGVVRGAERYLAPERLRGGCVAAFFTAAAFASSSTSPLHAGGVAVVAELQKGSRGDGGDHKGLCEGIRAAVWREEGVTISRVVLVEAGAVPKTTSGKLRRAAARQMLLAGKLGVVFEARYDDDANGLVPVVGDEEEDMALKSAASWVAGESGESDVATAFGSASRRIRLQSFL >Et_4B_037072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14241728:14244047:-1 gene:Et_4B_037072 transcript:Et_4B_037072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAFTAAPALGVLLLFGGLLGAATANVGDSCSTSSDCGAGQWCFNCEPELSGSHCVRAAATNTFQLINNSLPFNKYAYLTTHNSYAIVGEPSHTGIPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSSGGKCNDFTAFEPALDTFKEIEAFLSANPSEIVTIILEDYVHAPNGLTNVFNASGLLKYWFPVSKMPQNGQDWPLVSDMVSSNQRLLVFTSIRSKQSTEGIAYQWNFMVENNYGDDGMDAGKCSNRAESAPLDDKTKSLVLMNSFPSVPVKLTACLQHSQSLTDMVNTCYSAAGNRWANFLAVDYYKRSDGGGVFQSMDLLNGRLLCGCQDVKACVQGSSVVCSS >Et_5A_041832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3270499:3271773:1 gene:Et_5A_041832 transcript:Et_5A_041832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPCCDKEGVKKGPWTPEEDLVLVSYIQEHGPGNWRAVPTRTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKRKLQSGGDAAAKPPAHRPPSSSKGQWERRLQTDINMARRALREALTPLDDIKLPLLPPPTQQQQADAADAATGGGDSPASSSSGASQSSPSAASGPYVLTADNISRMLDGWAATGKARRGGGGGSGPGTPGGAESASGCSDASEVSYGGAPAPAARGALFEYETKPAVPAPQTQQLSAIESWLFEDDGNFHHHVQSGGLLDVAMDYPF >Et_3B_030249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31681680:31684400:-1 gene:Et_3B_030249 transcript:Et_3B_030249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAVKLIGTFSSPFAHRVEAALRLKGVPYELIPEDLNNKSELLLKLNPVHKKVPVLIHGDRAISESLVIAEYVDEVFDGPPLLPADPYDRAMARFWAHFMDNKCAKPFWQSMWSEGEEQKGYVKEMKENLALLEAQIKGKRLFVAGDSIGYLNIVVCGLAHWISMVQEATGANVLGVDEYPALRQWTKVYTSNEIVKQCLPDRDQLVAFYAANLENFAKITATERFKIKQIEMSPSGIKLIGAFGSPFAHRAEVALRLKGVPYELTLEDLLNKKSDLLLKHNPIHKKVPVLLHGDKTICESLVIVEYIDETFDGPPIMPTDPYERATARFWAHFLDNKCAKPFWLSMWSEGEAQKGFVKEAKENLALLEAQLKGKRFFAGDSVGYLDIVCCGLAHWLNVIEEATGVKLVDDDEYPALQHWAKDYTSNDIVKECLPDREQLLAFYTETLPTYKMMAKAMLQQ >Et_8A_057453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3162677:3168858:-1 gene:Et_8A_057453 transcript:Et_8A_057453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPCVSAARPLLAVPPSRGRWRGSGGVRRPNAAGRRVAAGVRAATGPVVAAVEAKVDDEDDDEEEEPEVERYALGGACQVLAGMPAPLGATALAGGVNFAVYSAGASAASLCLFTPDDLKADRVTEEVPLDPRRNRTGNVWHVFIQGEKLHTMLYGYRFDGVFAPERGQYYDVSNIVVDPYAKAVISRGQYGVPAPGGDCWPQMAGMIPLPYSTFDWQGDLPLKYHQKDLVVYEMHLRGFTKHDSSNTQHPGTYIGAVSKLDYLKELGVNCVELMPCHEFNELEYFSSSSKMNFWGYSTINFFSPMTRYSSGGMNNCGHDAINEFKTFVREAHKRGIEVVMDVVFNHTAEGNEKGPILSFRGIDNSIYYMLAPKGEFYNYSGCGNTFNCNHPVVREFIVDCLRYWVTEMHVDGFRFDLASIMTRGCSLWDPVNVYGSPLEGDMITTGTPLVSPPLIDMISNDPILGGVKLIAEAWDAGGLYQVGQFPHWNIWSEWNGKYRDTVRQFIKGTDGFAGAFAECLCGSPQLYQAGGRKPWHSVNFVCAHDGFTLADLVTYNKKYNLSNGEGNRDGENHNLSWNCGEEGEFASLSVRRLRKRQMRNFFVCLMVSQGVPMFYMGDEYGHTKGGNNNTYCHDHYVNYFRWDKKEEQSSDLYRFCRLMTKFRKECESLGLEDFPTAERLQWHGHQPGKPDWSEGSRFVAFSMKDETKDEIYVAFNTSHMPVVVGLPERPGYRWEPVVDTGKPAPYDFLTDDLPDRDLTVHQFSHFLTSNLYPMLSYSSIILVLRPDV >Et_2A_014929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22173970:22175262:-1 gene:Et_2A_014929 transcript:Et_2A_014929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLPEDPFVEILSRLPAKSICRFKCVSKAWLRLITDPLHRKRLPQTLAGFFYEDISWYNDPDFIDLLGRSVSLVDPSFSFLTNVHDENIGLLHSCNGLFLFGHGPDKHDPLSYIVCNPATEQWVALEEEEDGYDDDDDGETLHTYLFFDPAVSSHFHLVLFVLKRGSISREAAVYTYSSETGVWIDRTDEQMQEISLITLTSSAFFSGFLHLAVCHIQKDTEAIVAVDREGKTHKVMCLPEKPGRHLSDAVFIGQSQGRLHCITKHQDGKFFEIMIWVLEDYDKEEWVLKHSVSSSYLFGMNYSAYFNYKVVAIHPDRNLIYIALHWGRELVSYDMDNKKIHTICSLGLIYECITPYVPYFAESSVLTIVTDSAVPGPSGEQMGERRDAEELMGRGKRLRKTVERLDL >Et_4A_033054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17799148:17807064:1 gene:Et_4A_033054 transcript:Et_4A_033054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLAPRLLRCRLILSASSASGSGDLLHGGARSSPAVARSLSGPELETTGRRWWWWFRQPVRCGSRAVTLNTDGGFARFAVGDKGARQKAGQRQAPPAKKKMSRKAKVNQLNWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKRGVFAGVVLNMHLHWKKHETMKVVCEPLRPGQVYEYAEELTRLSKGTVIDIKPDNTIIFYRGKNYVQPKVMSPADTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHIALFKKRDGATSEKIINKDTTVDDHRRRPKSGGMDGHGIGRGKHLTAHLEVQVRENQALLHFFDTMVNRLHSVLNAMTVVVTTLRRDHELLEDGNA >Et_6A_045990.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:16082943:16083230:-1 gene:Et_6A_045990 transcript:Et_6A_045990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKTESFGVPRVVKSRSSHELDQIQLGLPAAQVLRAQVLSSLGCCGSDVFTYALYWSCTLVELKPVRVVWVSVVPMFFLYFFENDVFSICACVM >Et_8B_059784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2759004:2761777:-1 gene:Et_8B_059784 transcript:Et_8B_059784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELASVPYLASSSSSSFYSGCSPFACRCRRPSKTVVATSAAGPSRAADSACSGIFEQQNVDVHSGPRSSWCFRRRDLVSAILLPFVLPHINISRAAEPYDGSIFQNGVRKFLTKGKAAGVLRLVFHDAGTFDIRDKSGGMNGSIIYEVDRPENTGLNKSIKILGKAKGEIDSVQKVSWADLIAVAGAEAVALCGGPEIPVRLGRLDSSTADPAGKLPEETLDAAALKTSFSRKGFSTQEMVVLSGAHTIGGKGFGSPIVFDNTYFKVLLEKPQTSSSGMAGMVGLRTDWALAEDDECLSWIKIYAEDQAKFFDDFRDTYIKLVNSGASWRTA >Et_1B_013388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:850587:851845:1 gene:Et_1B_013388 transcript:Et_1B_013388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAGAFFRQQCWRGPEWVLLEKAGHTGRRNDATTARTMTKEHGAIEVSLAIADPPARSRCVVHFPDLTAADDDAAAILHTCVIGAGGAFLLIAARLIDPGDRYAVTDHFLYKAGPVAPSLHLLPEPYPIGIHAIHVGVLPLPRRGSPITQLVGGVTLYKSWSKKKAARMAPDMDERHLFFEHDKVFAVGDDALAWVDFRHGILMCQGILGDDPVMRFIHLPPPMPTNDTVNSDGSLPPLDTVRDVTFSHGSFRFVEMESHYLDSRIKDTQLRWTITVSKRMIGSESWDMQCTGLDSANLTPASSCFPCLFPEIWDSRENKLTLNKMISFFSTLDQYHENVVYIISMLPAHHQRHGCYWVLALDTKGRKLDKAVPFSAEPFFTPSFLQCAFSNYLSSAPVSRNPGSSVRHFNYG >Et_6B_049741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9894361:9896849:-1 gene:Et_6B_049741 transcript:Et_6B_049741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHDSTTAAEVRGKPATKRCAKEPRAKESTVVHAPDSNHLKLLQEADDAIRRLNELGLGEDISPDQYMECFKKLPRNPVLNIYLKLDSEQMISLHIRHARHCIRYYKVRQNCAVDLLEKEDFSDEFLREMGYFEKFEKDGTFDWSFHPDLCKIPDLDDYQRLVPHDSGDFGTYVDWDNYRRTFHSYETELEYLSYCSELSEKLKWMEDYVLIEAPSVKWGKISTRGAFQAMKLATTFSKITPSLAETAYYECVRNMRWNALWLKNMVALYFEIWLFVTKQKAPAPYLLIVFMSMFPSTDAFFPNNAQKNFRFAMEEVYKSNKVPIMVFKLPNALEYDCSEMETEVERPKFYQHYIRKKIDIAQSIGLIAV >Et_3A_027301.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7185886:7186440:-1 gene:Et_3A_027301 transcript:Et_3A_027301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPWRELVRWWAVDAEIRCANAHGGLAGVAALLASPAHVAGEQGWRYWRQRVEFDLRAASFDLAVASAVTAACEIFALHAGGGDPTNPLPSIHDLPDAHHSVRLALGMLQSARICAVDACDSLERCCVRLRTAYYLLGRPGLPGMYGLVEEERRAARGFLEAARGLASSAAELAAVAVPLVI >Et_7A_052074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4414406:4418261:1 gene:Et_7A_052074 transcript:Et_7A_052074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSESEATNRGSMWELEQNIDQPMDEEAGRLRNMYREKKFSSILILRLAFQSLGVVFGDLGTSPLYVFYNIFPRGVDDDEDVIGALSLIIYTLTLIPLLKYVFVVLRANDNGQGGTFALYSLLCRHAKINTIPNQHRTDEELTTYSRQTYEENSVAAKIKRWLEAHAYKRNSLLILVLIGTCTAIGDGILTPAISVLSASGGIKVQNQNMSTDVVVLVAVVILVGLFSMQHYGTDKVGWLFAPIVLLWFILIGGVGAVNIHKYGNSVLKAYNPVYIYLFLRRRGSSDVWTSLGGIMLSITGTEALFADLCHFPVLAIQIAFAVIVFPCLLLAYTGQAAYIISNKNHVADAFYRSIPGTAVVIVMLVTTFLMAPIMLLVWKSHWVLVATFIVLSLMVEVPYFVACILKIDQGGWVPLVIAAAFFAIMYVWHYCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPTEERFLVRRIGPKNFHMFRCVARYGYKDLHKRDEDFERMLFDCVQFFVRLESMMDGYSDSDEFSVPEAPAPLADHQEKTTCGTMCSNGELSSCYSSSQDSIGPAAQSPAVRGNGGGMMMQYSLGQGSSCTVGDELEFLNRCKDAGVVHILGNTIVRARRDSGLVKKIAVDYMYAFMRRMCRENSVIFNVPHESLLNVGQIYYI >Et_3A_024697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23362988:23363355:1 gene:Et_3A_024697 transcript:Et_3A_024697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQ >Et_8B_059814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:342905:347339:-1 gene:Et_8B_059814 transcript:Et_8B_059814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPNIGLYAALNRHAEKREMESESFVDPALLPTLEDLLQEVYASLRPEPVDYENRQVMIDVFNKIAEQIFGKKNGLPIVEPFGSFTMDLFTAKSDLDLSVNFNNDINNQFPRKDKIAAIKRLAKVLHEHQRNGRCYGVLPIVTAIVPVLKVTDQGTGVECDISVENKDGMSRSMIFKFISSIDERFRILCYLMKFWAKSHDINSPKDRTISSMAIISLVAFHLQTRRPPILPAFSAILKDGSDFANIGRNVSLFEGFGSRNKESIADLFVSLMSKLVSVEGLWEQGLCASNFEGSWISKNWERGVGNLSVEDFMDRSQNFARSVGREEMQKICGCLRVTVSNLKNFFLGKIDAPSLETLLFRPLIQDKPVTNDKPITPTIEKDAKKITLSADLLNSHVQQKKVKHTVHSVHAVNTPAAGSRPPTGFIPPQMHGAVPSQPIIQFPHVPQRPSLLGLPPQLHPGYHPHQGLLSQLQGNFVNFNHQIQLPFQGQALLPPLLAHHPMDGFHPNAINGPQQMQHNRLVQRPPYGIGPSFWR >Et_3A_023272.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:16438230:16438604:-1 gene:Et_3A_023272 transcript:Et_3A_023272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVNIDSAFVKETRHGAWGFVVRNEDGAPLLAEDGKMSVVYDALATEASKNRNVAPQSAPLTRVTSSLVSAPSDPDASGRRGGDAWRGVFCGQTSRGLLGFPIDTLLQSSRSNFMRPGLRVLT >Et_9B_065344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:456142:471686:-1 gene:Et_9B_065344 transcript:Et_9B_065344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSKIDQKEKSTHQKERQKGTKIEIRSNPSFLFFLLPLGGGGGGELMAECTVLLADAIGNSDFGVDSYVEECGDLEPFFFDEEAAVAEHAAAEEKRRNKEQEEAREKERIEQRWARHLAALDRIREFDPKLNCFYFTRFYMADLSELDLDEESPLGPMRYTDTYMEISTNSYGTICNQGGSWFKPSDSANFLSVKIVSSSVGFPVNVYGTVIARDSLDQKCVYLFRCNRDHCQLISSVDEPLMLTGPKRGLALTSSIIFEADLKIKGDQEQDDKEFSKGFLKLDGIPRRTWDKMVVESDSLDTKRSTLEVMFAVVKRAVEATIAIEVLHGEFNGIITAHTTSIPDSLVLFDSQVASAWTGDKKGTIQLLRPVIAVSVQEMLTVNIVGVTGSGETEQATLEFKPAVNGGDKAEIGCGSCLMAVKITWGFVSSSDGEEFAGLEPFFFDEAVVVAEHAAAEEKRRKKEQEEDLKKERMMQKAIAYQSVLDKITEYDPKLAPLGPMRHTETHTEKSTGDYGTMCRQGRKWFCPNDSANVISVNIISSDVGFPLYVYGTVIARDSLDWKCLYLFNRGRDTCQLINSKDDQLILTGPKRGLALIDAIYFEMDLKIKGDQGQQDKELSKGVLRLDGIRFFDTMIVESDSLETKLSTVQVMYAVVQRAVEATVAVEIVQGNFDGTITACTTSIQQHLVLYNSKLACVMTGSDDNKSIQLLRPVVAVCMEEMLEVTIAQGKTESKILFMPDANGGDNAEYTCGPLTMLVKETMGDYKQQPRSMEDSREEWAELKPFFFDEAEAIVQGDHESSNDALSVKAAAASDVISEKMFVPCNSANFLSVKIASSDVGFPIQVYGTDEELILTSPKRGLALTNDIHIEIDLKIKGKGKRKNDKQLSKGYLTLSGVSRHFGEEMEVEPRTVVVTCAVEKHAVEATIAVEVVQGKFYGEITACTTNILDGIVLHDSKL >Et_1A_007696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37377786:37378306:1 gene:Et_1A_007696 transcript:Et_1A_007696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGERRRRHRVMPGGVGVAYEAGGLLHDIVDAAIRDRAAFVQDVVAVFILGVPAVVDEAAAPARPHRQRARMAAMTATQARSVGKKGDRPVTPSAVFWNGDEEENGSFMAHRKKLHGFFACLGSDRQRPNAIPGAQSKPD >Et_1A_005513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1167577:1170778:1 gene:Et_1A_005513 transcript:Et_1A_005513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPRKAAALIMLCSIVCAYQASSEETVCTDKQKEAILTACEEILTRGSVHIIIPRRGSECCRKVRDVPDRDMKCIVKRLTYQEGMNYVDTRILELEQQCNEELVCTEEQKKAILTACEVILTRGSVRIIIPERTSPYCRRVRDVPDRDMKCIVKILTNQEGRDYVDTRILNLEQQCKELTFLPSGYEFDCVLVKYISIVERFITMYLC >Et_4A_035641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3245292:3246525:1 gene:Et_4A_035641 transcript:Et_4A_035641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPHLRPRAWVALCDGDAVRPDEAGEIAFFHTRTARSLRVRLPELRRYRIVGFTDGLVILLHERTTAVRVLHPFTRVAVDLPPLAPVYHQVVKHRNALLQMNAAVCTASPVSIAVLVWFPWVPGVLGTEPGRPGWEVIHRDMELENALPFQGRLYGFVRLSRQIVQVYPPSPLGSSVLHVRANIGNPIFCDYYLVESGQRMLFVAQHGNVKREGREPWQRFDFEIFEVLVNGFWGLFPVSSLGDQALFLWKDRCLSISGKDLPSIRSNSIYFAAAVFSMAHPNCVILYSLSGRSFERPTMFCQVHDMKERIVPSVRPFTIADHLLTYCSHREWARGLMFHEFRVIPGCFEDLSHKIRKQDSRLRIPRIRGGSWKGQGVLP >Et_10B_002770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10869225:10878111:-1 gene:Et_10B_002770 transcript:Et_10B_002770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VDRSILAINTFFSNRLASIRSSTFLAPVIASRVLPAACVVSRKRQSMEKARRRHVPAFGEWNSYSSSPDEPEPPRAGGAAVDWWYVPEPEACSDVWFRYSPPARKPAPKKARRPAAGGGAAVPAPGEKTYSIEGGKKGSAPATARARVAERGAVAARMPAKGGGRRTVRPVDEDLYQVPPPDFTSRRPRRTRAMEKARRRRHVPAFGEWNYYSSSSSPDEPQLPPSGAAAGWSWYAPEPEACSDVWFRYSPPPRRPAPKKARRPAVAPEKMVYYSGGKGSVVAPAAAPRARGSGYVAAARTPARGARRVVRPVDEDLYQVPPPDSGRSRRVRDRAERLQKSHVSFFDMIFSGSCKARRRRRVPAFGEWNYYYYSGEISTPAPAAAEWYAPASEAAACSSDVWFKYSPPSRKPPPTSSRKQARRQPGTTDKSCNGGKRRVQAAATPARASDAGGARKAARPTPAKAAAATSRVVRRSVDADLYQVPPPDFEPDQPRRRVRACAKVNSRTQGGVQEERVDGLLGVQLLRRLNKLKPIYQPTGHVG >Et_8B_059385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17468440:17470158:1 gene:Et_8B_059385 transcript:Et_8B_059385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DFLLCTTHLAMAYKMKGVFKGLKVISQIFVVKEHQMEIGFPTDVKHVAHIGWDSPTGSAASPSWMNDMNGSPDFTSQSNIGPSAGSSWASQDFDEPRDISPFGIFPENANQEANPCPDIPKPPRKSRRKKSGNGSPRASARSSRSSRSRSKSSFSSTTNNMGSSDKAT >Et_4A_032135.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:20256808:20256927:1 gene:Et_4A_032135 transcript:Et_4A_032135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQNCKRTFIKEMKLVQIRAKKRHQERIEQWIDSWNDT >Et_7A_051180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15163858:15169696:1 gene:Et_7A_051180 transcript:Et_7A_051180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVSWYGPLIDLAAAASHVGGFVQLLGSVRSVTHHQEQNATTGRTYDKTIFEVGDDTRSSFSVSVWSSKHTSTIIAGDILLLQNIKIVEFRNGLEGRGSQISAVQVLLNSEALTNLEGIGELVSSCKVGDATRSKLRRVAEWTLRTKCALKESHHQVISKNWKEATEKESTDAWSISELLCQSKLCNINVYASIGKMVLANSPTSHFKGQMSVLDKHSLKEHNDIVRDFITTGCKLCGLPLYQRNINGENTSAIDCPNNPKYLHALGQIYKPFMTFVKLSDHYMQMYIYDQSGQVPLLVRNKAAEILFANIVADDVSECFKSHQCMLLETCESGNVSPSEKIDCTGNNGIATRRKTEQKPNFHLIWLIMIECLLGKNSPFCFQISVNPEKHVEDGRFELLSLTMNTAVSASAQLRRSLFCLTMNSSGMNNAFPIKGATNTQIPAVGPGPANPSGGNLPIPNMPSWAKWVIGAAIIAIPIYRRMRTLEDKIEKTAEVAIEVIDTVAEATEKVAGDVADAFPGNKDLKEAASKIKTITDAIEEDAEKAEALIHKVDEIKKEVDSIVDPIIDKIEKEES >Et_9B_066182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5968102:5971687:-1 gene:Et_9B_066182 transcript:Et_9B_066182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVIPSQRRRDQGGEVWTLEESPSSPQIVTCNCQRHWLKDDDGATVTTSPPAYSCQLGWPWRSNVSSLLTPRAPALPSLSPHSGATACSPEALQKLHTRMHRLLLTPAMPSRPPSTSSSSPPLPPSSPGAAAAAMPSGARNATAGGRAGCLPADQSCFALSAGAPYSSRRDAAALACCTTTSYLVVLGISFGSLLAILLVLCAIRWFLVRRSASREAAEAAAAAIAADGALGGAGKKRAAGLDADAIAALPEFVYAAARDGGGEERECAVCLGAMAEGEAARRLPRCLHVFHRGCVDVWLREHSTCPVCRAEVVVRPAGEGCAEKETAEEGGGGASRASRSAASRQAPQETLAEDGERDLEAQQ >Et_4B_036571.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3933170:3933610:1 gene:Et_4B_036571 transcript:Et_4B_036571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPHVVIDNAVCPTTTLVQVSDAIFQPAGTSSWRGWRSCSDSSPPRCVCWSGSSRIGRPRWAVGTSVGSKRRGGGSCSALPLLLLRVLLEAGEDMAGRGGDRPRQRARAGAAGGGGGEGRTGRRPGVLQLVAEGHGGVRRVQTRR >Et_3A_024537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21855528:21862128:1 gene:Et_3A_024537 transcript:Et_3A_024537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKSCGLRVTTIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEADLDLGNYERFLDIKLTRDNNITTGKIYQAVINKERRGDYLGKTVQVVPHITDEIQDWIERVAMNPVDGNEGTPDVCVIELGGTIGDKIFSPHNDSGILDAGDIESMPFIEALGQFSYRVGSGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLAPDVLACRSTEPLEENVKAKLSQFCHVPMSNIVNLHDVTNIWHIPLLLRDQKAHEAILKVLDLQYAGKVPREPKLAEWTKRATKFDNLKTPVKIAMVGKYTGLSDSYLSVLKALLHASVFMERKLVVEWVPSCDLEDLAAKETPESHEKAWTLLKGADGILVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLRGANSTEFDPATISPCVIFMPEGSKTQMGATMRLGSRRTYFQVNGCKSAKLYGNAHYVDERHRHRYEVNPEMVPDFEKAGLSFVGKDESGSRMEIIELPSHKFFIGAQFHPEFKSRPGKPSPLFLGLIAAASGQLESLLRSRSVINPNSTKACTNGKVSSKLKLYPNGHLKNPLNSLVNGYYANGNGIPI >Et_3B_030364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32404621:32406204:-1 gene:Et_3B_030364 transcript:Et_3B_030364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKSSSSAAAGRQSHPQNGHVLPSKLAKYLDPEASWDKDQLLDAVHWIRQVLGLVCGLLWGAIPLAGAVWIALFLTISTGVVYWYYTYLLKIDEEDFGGHGALLQEGLFASFTLFLLSWILVYSLAHF >Et_1A_005775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13959287:13970512:1 gene:Et_1A_005775 transcript:Et_1A_005775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NPITLEGRIEMSKLANMFSALRLDADHDGEADIIEVPQASSSTEETTTSKADKNGQDNIVTVNYDEGTIVTSSGDYKMPLVWIDLEMTGLDITKDRILEIACIITDGKLTKQIEGPDLVISQSKDCLDNMGEWCKTHHAASGLTERVMQSELSELDAETQVIDFVRRHVGTATPLLAGNSVYVDLLFLKKYMPQLAAIFSHVIVDVSSIMALCIRWYPKERKQTPRKQKTHRAMDDIKESIAELKYYKDNIFKPHKSKSCVGSNNLKSNNRKTGTFPAGEIGPSNRLPGSSSCRAASKSPKPKMIFHAVTVGMGQMDLSPSTTPWSRRYS >Et_3A_023108.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28147361:28151531:1 gene:Et_3A_023108 transcript:Et_3A_023108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPSNFMPTPDLDVLDIKPLRTLAPMFPAPLGVNTFNQSTTPPLVVVTPAGQCQGNFGAWNNSAAVSFFAFSAQDACGGKANTFCDQNAGDGKASTLSDQEGAGGQNTDIHDQDAVGGHSSPNWTSDVIANANGPIDATPISAYKSTHPNVISLDDDDDDEPYTPNQTSASGRKIKRPSRLSGYKISDGLESDSFNVPRSKRSKSSHKKSVADNELACLPPSGDPREIVEVILMTFEALRRRHLQLDKTQDTSKRADLRAGTIMLARNLRANTGKRIGGVPGVEVGDIFYFRMELCVIGLHAPSMAGIDYMTTKFGDEDDSVAICIVAAGGYDNSDDDTDVLIYSGSGGNGKNSEEMRDQKLERGNLALERSLSRKNVIRVVRGYKDPGCLTGKVYIYDGLYRIHESWREKTKSGITCFKYKLLREPGQSDGVAIWKMTQKWVENPTTRGSVLHPDLSSGMENLPVVLVNDVDSEKRPGHFTYTTEVKYLKPLSSMKQLQGCRCLSVCLPGDTNCGCAQHNGGNLPYSSSGLLVCRKPMVYECGESCQCSLNCRNRVTQKGVRIHFEVFKTGNRGWGLRSWDPIRAGSFICEYVGEVIDDAKFLNDNEDDYLFQTLCPGEKTLKWNHGPELIGEKSTDISPDTFEPLPIKISAKKMGNISRFMNHSCAPNVFWQPVQFDHGDDHHPHIMFFALKHIPPMTELTYDYGDIGASSSGVHSPNAKNCLCGSSNCRSFFI >Et_1A_005118.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27985384:27985788:-1 gene:Et_1A_005118 transcript:Et_1A_005118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQRRLGYPPDLQALCNYEGSSRITMYHDSAGTLADYEVKNGSTLTLSLNLRAAIAADVEERKIKKAKQIAAGIEDQKISKAKVVAATKEDQKLQVNQVEETARVKPLMSLTTRLLLPRSSRPSRMRSPARP >Et_2B_020179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17710538:17712204:1 gene:Et_2B_020179 transcript:Et_2B_020179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIEMARDKEAELGQFSLDLQVLLAGRWRPINKLGTSRQTVHTRREEFEYRAAAGPRHCLQPGRASCLSPSPRHGAPARSSCSSGGPRPHARELPVPEPIALLVAASHVSHLLAGGAYGRVYALALPSGDVVGSFRAHRIVVVSCSALNVDDGSILVSGSQDGILAVFLLLDSSSGKPKSDQR >Et_1A_008938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:233795:236722:1 gene:Et_1A_008938 transcript:Et_1A_008938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFNSKPNDAGAIRRRPGSIGEVAVFIPGLRVPENLELPQPLGDGLPTRRLTERLTGLRSRIVIMSIHEALSVMRPRKRTFTQHGGSTSADLLQVLEDYLPVLLGLVKEGTDLEDKMQFSWMNQEDDAEETALPSAWYEVLSVLHMMAMLRLSQANSLLLPKTSLDGYHAKVSEENKRASVEIFLKASGYLECAIQHVLPRISPENRKGLPVDLAEGVLKAICMQALGQAIDVQLGLAIDSPKATLAVKRRLACEMVKCWKQAHEIMEDLPLIDGWGEKHKLFVKWKYIEAKAAAYYYHGLILDEGNTEKSHRTAVAALQSAEEFLRESKAVCEAFHAASPVSRSPPVCGSMKYLHEKIQKDSSCKVRINKDLYSNDSIQEAVPALPDFAVALKPEEYRLPLTDATNDSRDQ >Et_4A_034038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29365202:29365937:-1 gene:Et_4A_034038 transcript:Et_4A_034038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRATALPVACLLAAVVAAALAGSASAQSGGCTTTLISMYPCLNYISGNVSTPPASCCSVLGSVVQSSPQCLCAALSGDSSSLGGITIDKNRALQLPKACNVQTPPVSKCNSAGGGNAPAAATPAAPTTPSGTSTGAAETGSGSKTTPTAPYMTSGGASLRGAVSLVLAFAAVALYAVSAV >Et_5B_044555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3429063:3440174:1 gene:Et_5B_044555 transcript:Et_5B_044555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFQDRRPFRPPDWDPPPPPPHHRDQHYHHYNQHQPQPQRCRPAQPPTLQFAVILLRPGPDLSAPTATEVEALVSGLRCPAPASLSVRSSGRAAARLVFRSLQAAADAARELWALRLEGLHFLTPQLPEAALASHASPLIAALFADHASRLLDSGLVNLSAARSAELAAAIQDVKQASRSLRDFHQLCLQKETLEAEKALVDAKIAEYKAAMSSIQRAMLCVPVDDEEGVDVFGIVKEGEFDFARVHKMLLRECRRLKEGMPIYACRRKILNHIFSNQVMILIGETGCGKSTQIVQFLADSGLAADGSIVCTQPRKIAAISLAHRVDEETDGCYGDNSVLFCSTFSKSQDLGSKIIFTTDSCLLHHCMSDLGLDGISYIIVDEAHERSLNTDLLLALIKTQLLDRLDLRLIIMSATADADRLAEYFYGCQTFHVKGRTFPVEVKYVPDISAEPSWNTVPTISHAARATASYVSDVVRMVSVIHKNEGEGAILAFLTSQLEVERACETFSAPDAVVLPMHGKLSQVEQSLVFKSYPGKRKIIFCTNIAETSLTIKEVKYVVDSGLAKECRFVPSSGLNVLKVNWISQSSANQRAGRAGRTGAGKCYRLYSQSDFGMMELHQEPEIRKVHLGTAVLRILALGVRDVQNFEFVDAPDPESINMAVNNLEQIGAIKYKCNGFELTDTGRHLVKLGIEPRLGKIMLDCFNVGLKKEGLVLAAVMANSSSIFCRVGTDEEKYKADRLKVPFCHTNGDLFTLLAVYKQWEDEHENKNVWCWQNSFNAKTLRRCQETISELENCLKHELNIIVPSYWQWNPEEPTVHDTSLKRILLSSLRSNLAMFSGHERFGYQVISADQHVQLHPSCSLLIYDSKPEWVVFSEILSVPNQYLVCVTAVDHDAVYTVHPMPFIKQLEKNKLHMKVITGIGDISLRRFCGKSDQNLQKIVSLLKEGCRDDSIVVERDFRNSEVLLFAKEHDMEMVFRVVSDALELEAKMLRNECLERSVYPGRLGSSPLALFGSGAEIKHLEVGKRDLTVEVTHQNARDIDDKELINLVDSLVPGMAHYHKIGNETKWGKFTFLKPENTEEAVSKLNGMEFHGSLLNVVPVRSYETRGFPFPAVRAKVSWPRKPSKGVALVKCVSGEAEFIVKDCFALAVGGRYVNCEVSKKYENCVFVTRVPLHVTEPELYDAFRGTTTRRILDIHLLRGPPTASPSTSECEEALRREISLFMPNRNFRGQNFCVEVFPPEEKASMMRAAITFDGSLHREAARALDHLEGRTLPCFLPWQIIQCQSVFTSTISCPVRVYNVINQAVASLLESFRSQKGVSYNLEKNESGNFRVKLTANATKTIADLRRPLELLMKGKTISHPDLTSSVVQLLLSCDGMALLKSVEKDTGTYIWYDRLSMNIKVFGQPDQVAAAEEKMVHALLQLHEKKPLEIRLCGRNLPPNLMKEVIKQFGADLEGFKKEVSVVELQLNTRRHTLYVRGSKEDKQRVEGMISNLIASMDHNALVQLSSENSCPICFCELEDPFKLESCGHLFCKACLVDQCESAMKSQDGFPLCCLKDGCKKLFLLVDLRLLLSSEQLEELIRSSLNAFVASSAGLYRFCPTPDCTSIYQVATEDAEGTPFVCGACSVEICTKCHLEYHPFISCEAYKEYKEDPDATLLEWRKGKENVKNCPSCGYTIEKSEGCNHVECRCGSHICWACLEKFKSSEECYGHLRFKCTRVYPRGHSPKPQGFKDFRCTPMIKVIVKLGDKVAKEQGNRHPFQGETVKKNKDDKT >Et_2A_014546.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21502686:21503573:1 gene:Et_2A_014546 transcript:Et_2A_014546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSIELIQLYKLTNPYLCIVQYSYCTKNVSQSSPKLHLAFCATALKHLACCTRKWREPEVCLVHLHQILKAVPQFISLSVRATTTQLPLPRRALLGAGAARRLRRERRHRSPGVRRGGRVAAAAAAAWQRLLLLAVRKVEPRARAAELERRAVVGPGGLLRGVERAEPLARRAPRVADLRRPLAPRPLPHAAVRVLLVPPPPPPLLRSGCPSWRRRRRRAILRFRGPARRLMTVRKEVAAEAAVNAAERARVAARHRDHLRRRRGVSGEDVLQGRDDDAVFLLLRDHHPSIRVI >Et_3A_024567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22077760:22080611:-1 gene:Et_3A_024567 transcript:Et_3A_024567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDEAVVTQISGQAPSPAKDQPTAFPYLDWSAIQSYYGPGILPPAFFNPGHVPPPFMWAPQNMPTAAFGKPYAAIYPHAGGFVHPFMPLMVNPLNAEPAKSVNSKDNSLTKKLKEADGTAVSTGSGNSEKTSGDCSLEGSSDGNNQKACGTPKKRSLDDMTTSGAETCGASAPNDRTKESGRLATLANVRIQDTAIKPCVSTGSDFRVSGTPSTDWPAKDDKESKRERRKQSNRESARRSRLRKQAETEELARKVELLTAENTSLRSEINKLTESSQKLRMENSALMEKLTESAPEEAKEEVHADQTAAAPPPARVVKNFLSMMDGTGAPRSSRHMDHGAPRLRQLLGSGPLAADAVAAS >Et_8B_059301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16318258:16322587:-1 gene:Et_8B_059301 transcript:Et_8B_059301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMELKKLPLGFRFHPTDEELVRHYLKGKITGQINSEVEVIPEIDVCKCEPWDLPDKSLIRSDDPEWFFFAPKDRKYPNGSRSNRATEAGYWKATGKDRVIKSKGDKRKQHIIGMKKTLVFHRGRAPKGERTGWIMHEYRTTEPEFESGEQGGYVLYRLFHKTVEKTERPSPEEMDRSGDSPTPSRSSPDNMEPNEEAHTPLNKESPESALLDSPINLPASVEAHAVPMTMWLADRTDNLAPNAANVSQMPSNGHLDGVAKVDPSAGSFPLIDSKVQHGDPNHLVPGSASIIPHEGNGFFADFHQGVSGFDGNTNAHDELDVILNQIIDPEEHSSTTSKVQYDSDTGIMPFEFENHGVMQGELLDDQSWWADLSFMPDEPNPQLSGLYENTPLLPYDTTDQDVLSMDSGAESLHDLFNNMEDSSGKKDVWSNEPALQGTGITVMPRHLQSSMQPNSFLSHQGIAPRRLRLQECLSTNVQSGESVTNVDCEDETSCIINSKHLDEAVEESTADKGVPSDEDDAESTGIIIRSRRPALSSSAAGLITQQGTAGEDDAESTGIIIKSRHPDQSSSAAGLFTQQGTATRRLRLQSDLKTGPCSTTDDSSSCIIDETESQHNARTSEIEEDDAGTNFAESVDLFGSSHNDEIKNMPEHDDLKSVPEAKSVLRLRKSPEKSDKDIKQEDGVDSLVRAPGPKGGFRSHIMVTVLVALLLLLGVGIYGWTSIKPLVSQNRDQPKPEYKQ >Et_7B_054116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15703043:15707906:1 gene:Et_7B_054116 transcript:Et_7B_054116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRSRVRLSSIFAARPATTPPSTAPLRRAPHLVLAAATERARSGTLGPDEAHHLLDELLDQATPVPERALNDFLAALARAPPSAACSDGPALAIALFNRMSGVGGGGPRVPTACTYAILIDCCGRARRPDLALAFFGRLLRTGLGVDLVTFGNLVKCLCDAGRTDQAADVVLCRMTEFACVPNAVMYSRLLKCFCDDRSLRALELLRSMAQGRAGCSPDVVAYSTVIHGLFKDGNVTEACDLFYEMVGQGIPPNVVTYNSIIDALCKTRAVDKAEVLLGQMVHKGVRPDNKTYNSLINGYSTLGQWKEAVRMFKQMTGSDLQPNIVTWNSFMASLCKHGRNKEVREIFDSISAKGQKPDAISYSILLHSYANEGMMDDAMLIFKEMRHKGLKPNVVTYSTVIAAFCRMGQLDYAMDMFNQMINHGVQPNEAVYHCLIQAFCCHGDLVKGKELVSEMINKGIHPDNVFFGSIINSLCREGKAMDAQDIFDFIIRIGLRPNVIMFSSLIHGYCLVSKMDEAMRVLDAMMSAGLQPNVVVYGTLVHGYCKSGRIDDALSLFGQMSLKGIKPTNLMYKIILGELFQVGRVVAAKEKFFEMIDNRIPVSAATYNTVLSGLCRNNCSEEAIMLFKKLHSTNVKIDIITLNIMVTEMFKTRRIEEAMDLFASISANGPIPSVETYRIMMTYLIKEGLLDEAYMLLDKGGIVTAGSYLSKIVETNLSLEASSIGFIFTLVGLRRYNDEN >Et_1B_013689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12123750:12132883:-1 gene:Et_1B_013689 transcript:Et_1B_013689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASRKRPCVGGGSGTATGDRLSDLPDALLHAILAFLKARQVVQTSVLSKRWIHLWCSVPCLNIDQGEVSELDDHEKFEDFVDNLQSHRNGSLLDTFQLRISGESVEERVDISRWIRRGLKCSLKELCFYDHTIVFGPMPKLDSNACSLRKLHLYGVRLYDSFSEQISSTCALLEALTLKTCRLSFREVTLPALKKLIIENCSIKFSEDAEAIDDELCIIAPHLSHMRVILEVGHQKFSVSAMPSLIEATIMLEDNYYEKMQYNQWQVNAPDLEELTVRCCKLTRTLCECDANSSQKGVNLIDPQCTKLKSTKIMYKDGDDSRELLKSSSTNGFSRGFPLRLRPRSNRERCARRRHAPLPEGASEPCDRLSALPESVLHAILSFLPSWQAVQTSVLATSWRSLWRCVPCVAIDAREFRVGASRRDQPNWGRFERFATNLLARRCSSLSLDSFRLFAHVRARRARGRLHPPRRRVLPHTVLEIATPFRASSPAPPPSIVFPHLGSSPIRYIKRLRLEKVESDDTSTDLLRSCPALVDLELVSCDNCFWQLASDTLERTGMRVVGNSSKCELPSTSISSEDFIWRSIYHDSYSNHQQTS >Et_4A_035434.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24621999:24623291:1 gene:Et_4A_035434 transcript:Et_4A_035434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVCRGPTMPSFEAPCWLKKPADQPPYKPAEAAVQQRPAARVDIWNAIQADVDAKKQQQSSPPKPYVHPLVRRSSSLLMSQKSLEVCTESLGNETGSGDFTASLDMAGLFDRSPLPAEAEESFWEREFEEEEEEEEEDCERKTGLAAVNYHRCSSSVTRRAFPPPLPSMSRRDGPCLQMRPRREDGRLVVEAVPVRPRGYLHARRHNGRLCLSFVECSAREQSPTPTEGNSKVAAAEAPFFPAVEPRNEQGEEEEVEMEEEEEEEVEVVDRGTVVEVKVSTQPQTLAAGKVHRSRLVINKFVGGTPLTAADQLPRCHSHSEAASDEEEGEEAVVSPKPTTTLRRVPSSTTTLAAAVAVASTGTDHEPAPEGDDERDDVSASAAAEPKQLLLFTSRRGDKQDLLQSVRRCRQLRQKPLFILEPYCIATS >Et_4B_036315.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:17126661:17126858:1 gene:Et_4B_036315 transcript:Et_4B_036315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVGGEEAFEERIMVPVQMLKEPCMASLLDMAAQRFGYGQPGVIRIPCDAKRLHQMVGMACIQS >Et_2A_016262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22737289:22751876:1 gene:Et_2A_016262 transcript:Et_2A_016262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMERATQTIMFLLLIIHFGAPQNATKTGTYEFPVGVILDSDTLVGKITRTSIRMALEDFYAVHKSYNTKIVLHIRDSHSNNIQAATAGNYSAVRQGSHRADFLAYFSRWFSGSRKLPRMHKSSTKSVFVQLTALDLLDNHNVQVIIGPQKFSQASFVSDLGTKTQVPVISFSATSPSLYSGDLPYFVRATLNDSAQVNSIASLIKAYGWRQVVPVYEDTDYGRGIIPYLIDALQHIDAHVPYRSVISQSAHSEQVTQELYKLMTMQTRVFLVHMSSDLASKLFIKAKEIGMMNKGFVWIMTDGITNLIDSLNPSVVEAMNGVLGIKFYIPKSEELDSFTMRWNRKIQIENPNDPPLKLSVFGLWGYDTTWAVAQAVEKIGLNNRTSFQKPTAPRTSTSLDILETSATKFRGLSGYFDLSDRQLKASTFQIINVVGKGWREVGFWTAENGIARKLNHGKSMKQYSESVSDLNNVIWPGNSAEIPRGWESPVSGKKLQVGVHKSMYTQFMTNEKDPITGITKASGFSVAVFEEAVKRLPYALPYEYVAFDDKNNNGRAGYNDFVYQVYLKKYDIAIGDITISSNRTSYVDFTLPYTESGVAMVVPYKNSSNKNTLVFLRPLSSDLWFTSIILFIYTGIVVWLLEFLGNKNASHRPVAGKLGIASFLSLLGDKDRVECFLTRIVLIVWIFCFLLLGSSYTATLTSMLTLQQLNSNVTDLHELRNSGEYIGYRNGSYVAGLLEGLGFNKSNTRSYHTIDELKVALSMGSKNGGISAYVHELPYIKLFLAKYGQEYTMLGPFYKTAGFGFALPKGSPLLGDISKSILDIIEGDTINQIAKNWIGYQDKQNNVLTTSVPDPLTMDRFRPLFMLTAVVSTSSLSIAVMIYLYGKNNGQNTNMQGVKNGLVGANDRTQDGNGRGSAQGNNPIEAGRDENDQQQEETGSAATFRSERTLISRVAPISSSAPSIAVCQNATRREPQEVHVGVILDLGSLVGKIAVTSISLAMEDFYAAHQNYSTKLVLHIRDSMGDDVRAAAQALDLLENYNVETIIGPEKASQAIFISDLGNKSHVPIISMATSSTLSPSSLPYFTRATLNDSAQLNCIASIIKAYGWRMVVPIYEDTDYGRGVIPSLVDALQEINAHVPYRSVIPLSATSQQITKELYKLMTMQPRVYIVHMSSGLASVLFIKAKELGMMNRGYAWIITDGVTNLIDSLHPSVIESMNGALGVQFYVPKSTKLNNFTTRWNMRYQVDNPTDPPLKLNIFGLWSYDTIWSVALAAEKVGLVNAKFRKPTAAKNLTNLEALKTSNNGPDFLKTITQHKFIGLSGKFDLSDKQLVASTFQLINLVGRGWREIGFWTEKRGISRTLNESQPATMYSSSLPDLNPVIWPGESIDIPRGFEIPVSGKKLKVGVRTSGYPEFIKVEKDQTSAAKASGLSVDVFEEAVKMLPYAVPYEYVLFGSPDDTSSGSYDDFVYQVHLKMYDIVIGDITIRYNRTFYADFTLPYTESGIAMVVPVRERVYKNTWIFLKPLTPGMWFGSIIFFIYTGVVVLLLEFLGNNENVRGPIPRQLGIMIFFSIFEEKEIVERFLSRLVLIVWLCFLLVLTSSYTANLTSMLTVQQLQPTVNDIQELLRSGEHVGYHRGSYVKGLLEELGFDRSKIKPYDTPDDFHNALSRGSGSGGIAALVHEVPYIKLFLANHCSGYTMVGPIYKAAGFGYALAKGSPLLGDISKAILNVTGGDAMIHIEKKWIGYQNDCQNVGPVTGSSSLTFDNFRGLFILTGAASTSSLLIALIMYVYKKKHMSTQIMRDDNKLLEQNRINAENNEPHEGNQGTEEQVHLRADIEANDQTHEQNGSEQASDRNLRTRTVMCDDASAAIHGGEPSTVLQTEYN >Et_10A_000310.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22321143:22321391:-1 gene:Et_10A_000310 transcript:Et_10A_000310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGTAARKETKVVVHYRECQRNHAASIGGYAVDGCREFMALGAEGTAEALVCAACDCHRSFHRREVADPDGDCSSTTTTSD >Et_1B_010122.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25085469:25085720:-1 gene:Et_1B_010122 transcript:Et_1B_010122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTEMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASAPRCVPFRPLPPRPPAFSRGLAQY >Et_2A_016811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28448669:28450881:1 gene:Et_2A_016811 transcript:Et_2A_016811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSSRPTPILVLFCLSYFVFSIHVRHTSALSFNLSFSDPQSPNLATLIKRTGDAYFTPNTLELTRNSRDENSTHSTGRAQYAQTVPLWDRATGEMASFTTTFSFQITPDPSTNQVGDGLAFFLGDPRSSAIPPNSGGRWLGLLTAYTNGTGTGTGQIVAAEFDTFLNTANADISSNHIGIDINSINSMASRDTSSPSKNLTSGYPMVATVRYENATKLLVVDLRINDTAYNVSATVDLQSYLPADVAMGFSAATGNAGEQHQILSWSFSSTLEPKAATTMEPAPAPQGSVTSKHGRKVGTTIIVVLVPLLVLVACAAVGLLLWLPHKKRKSNEETSDDSSYRVEFARGVSACGPRIYTYDELVAATGGFAKHAELGRGAFGSVFRGKLADDRPVAVKRLFGQGRKDFEAEVSIISKLKHRNLVQLLGYCDSRNHGLLVVYGLVAQGSLDKHLYRSDRLLTWQERYQIILGLGSALRYLHQEGEQCVVHGDIKPSNIMLDETLGAKLGDFGLARLGDHGGAGWHTTKNVMGSLWYIDPEFVNTRRPSTRSDIYSFGIVLLELACGRPPVILRKGGEPPFLLLRWVWTLYGQNEILDAVDERLTKGDAGDEQRVEMERVLVVGLWCAHPDSGERPSIAQAMHVLQSQDAKLPTLPPNMYRSVSDLAVLASEYSSTFSVESYAGDSSKPSSESSSTALLGRSKDLA >Et_2A_016494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25218601:25220499:-1 gene:Et_2A_016494 transcript:Et_2A_016494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASPLSYGLAFLCIHYCTLVLCITVVPASAFSFAFNFSTAPTSPCGNDLVCRNDTSFANNMVELTRNDISTGSGNSRGRVWYARPVPLWDAATGELASFNTTFSFKITPDSNYKNPDGSYNTGDGMAFFLAPYSTDVLSGGGGGNLGLFNGSNQNATGSGQNVVAVEFDTYCNWEWDKSDQHVGIDVNSISSVAYTNTSGKNNLTSGITMTGTIYYDNRTKLLAVDLDTNGSWYHVNYTVNLKSFLPEKVAAGFTATAGASAELHRISSWSFNSTLEEKAMPPTPGLQPSTKLLLKVLVPILVVSVCATVGVLVWLWQKLRRNAQRHVALVDSESDEGQHSEEADFERGVAGPRRYHYRELATATGDFNDENKLGRGGFGSVYQGSLRSDCGDRQVEVAVKKFSSDKSSQGRKEFEAEVKIISRLRHRNLVQLLGWCDSTKGLLLELVPGGSLDKHIHNNPRLLTWSERYVYKFQFVLLSTSTESLEGNF >Et_6B_048623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11710666:11712726:-1 gene:Et_6B_048623 transcript:Et_6B_048623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLLGARGTTAASHMAPATMAPQTRRSSGQAVAADAAQGPATDLAMNEGQEQVAAETIPGGRQQQRRGRGVTINEKLAKLRARGTRIEIHFASQFGKVCGRHASVFKSEVTVCIRQEAPLRVMKWREMDNASISAMWNFLKVSDFFSQVIPYNKFPEISPEDEQIVMRQVERQYNVRRHRLYKTYRTTGQRPSDVAPEDWQWLIDNLWSNEKFLNRSRQNSQNRAQQEMKSLVGTKSIVQIAYDLRNPATGEWPSAMDVWKAMYQKTDGTWSVPNGEEILTNLHAVAETEQERIASAAVPLAEHFALVLGRKPNHSRGVGVAAVNQGAQERHSCMHKLRSPENMLTMPVNKLLLWKKKFKG >Et_9A_061813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16778376:16779303:-1 gene:Et_9A_061813 transcript:Et_9A_061813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAGENASAPAAASLKLLGSWASSYTHRVQLALRLKGLEFEYKEEDLGSKSEELLRLNPVHKKVPVLVHGGRALPESVVILQYLDDAWPETRPLLPADAFDRAVARFWCHFADDKLGPAVGAVFASTGEEQEAAVRQVHENLALIEAELRDGAFKGRRFFGGDEAGLLDVVLGCGSYWLAVFEEVTGVRLVDADAFPLFHAWLRGFEALDEVRETIPAFDRLLDYARGVRHMLLGLANGAGAGGGGAADPPVAPPAAVAPQAAADIAVDI >Et_9A_060900.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:14754144:14754551:1 gene:Et_9A_060900 transcript:Et_9A_060900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALEMGALDTLVVRENLDVNRVCAEEQRHGRTDGEVPEHNDQEADQKNFDVIISATLDVIDKVLLSVWFAENYRQFGCTLEYVTDKPQQRVHILPRYWRDRRRPPVLTDSEDFATYGKDSDLSDDKEEEDSEYY >Et_2A_016780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28037659:28046596:-1 gene:Et_2A_016780 transcript:Et_2A_016780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRVLILARLWSVPTAAAASYRTVRPLAAAGSLLPSPPGAVTARRFATRPSSPAPEDISRRSRKGRPLKATAVLDGTDSQVDSAAESKTSSDSSDPDETIASDSDGCNAVEETTVLLDGCDFKHWLVVMDQPPGDPDNPDTPRDDIIDSYIKTLARSSEVRTKQGRRSIQCQLGITLLLEPLYLKNYHINSKSCPRSAGLRPIPAAVASSCRLLRPLSASRIPLPALPCLSPNVTVGAAAVTIRGFASQIGKPLDTSDRPPRVSNPHCCGDPEHQIVSSDGSHHENITADEDGSDEDFSYEEMPRVRETRVMPGCDFNHWLVVMDPPPGDPSNPDIPRDEIIYGYVKTLAQVVGIEEEARQKIYSVSTRHYFAFGALVSEELSLKLKELPRVRWVLADSYLHAEDEDYGGEPFINGKAVPYDPKYHEEWSLLPAAPRPSPTHARFLSTQPARSSLRDSSTNWNNRPPKETILLDGCDFEHWLVVMEPPPGNPGNPDIPREEIIGGYIKTLAHVVGSEEEARQKIYSVSTRHYFAFGALVSEELSYKLKELPNVRWVLPDSYLDVKNKDYGGEPFIDGQAVPYDPKYHEEWVRNNDRANIPKRRDKPHNIDRSRNIERRRENMQNFENRDATPGRGFSNPPPPPGQQGMPPRDAPPMHHAQPNMPLPPPNAGAPPYQAGYAPGSGQNYQQGGAPSYQGVPPGYQGSNQGYQGSPGGNMHGGPGPTYQSNPRFQRPGAGYQSDSPPPPPFQGGSQPPFQSGNPPPYQGGNPSYGTGRNYQGPPGNQSYHKAGVPPYEGIGPGRNYQ >Et_1A_008778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12658679:12659581:-1 gene:Et_1A_008778 transcript:Et_1A_008778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEESLWNLYKVWVAHHKVARGPGEMLSRFAAFTPPPITSKETARLRQRLLLCERRHDRGGGAPQLHHHPAPRLESPAPHRLGLHGRPCLTPVGNQAGCGSCWAFAATAAIEAHEAIVRVGTKIWWVDCDAASHGCLGGLATRAFDYTLKDGLASSDDYPYKATGGVCKDADLGLTGYQRVPALDEYSLLDSLTTYGPVVVGIAVGENNTKFRDYAGDIYNPFATTRCCSSLWGDQGYLYIKRDFAKNTPGICGILSYGSSYPVVVDHD >Et_1B_010849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14795817:14803783:1 gene:Et_1B_010849 transcript:Et_1B_010849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPSASHLALSRNPSSPIPYLRALTRLVVKPRPLQAASIPGLLGMFQNEWDALMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARTLLTQAERQIPASVAGAAPAPIPPTLAPIDAVERYTQISSHPLHKTNKPGILSMDIHPSKDIVATGGIDTNAVLFDRTSGQILCTLTGHSKKITTLKFVSRDELFVTGSADKTVRIWQGSEDGNYNCIHTLREHTAEVEAVTVHATQKYFVSASKDNSWCFYDMTTGSCLAQVGEASGQQGYTSASFHPDGLILGTGTTDAVVKIWDVKTQSNVATFEGHAGQVTAMSFSENGYFLATAAHDGVKLWDLRKLRNFRTFSPYDSDTPTNAVDFDFSGNYLAIGGSDIRVYQVANVKSEWNLIKTLPDLSGTGKVTAVKFGADAKYIAVGSMDRNLRIFGLPGDEQMEESKSAE >Et_5B_045225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1290758:1292015:-1 gene:Et_5B_045225 transcript:Et_5B_045225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEAEPLQYTTTVLRVSIHCEGCKKKVKKVLHSIEGVYKVTIDAAQHKVIVTGSVGADALVRRLQKAGKQAALWPVPAPAAEVAKKPEEVAAAPSAAGDGDKDANDKAEAKPKEVAKDKGSGKQAEAEGSVKKPEKEKGSDKKQEKGAEKNPEKEKGADKKQEKAEVSKPKDGEAPEPKEKGSPEPPAKEAAAEEASGEEGSAKKGKKKKNKQKDGGDGGEAAAAEKPPPQPAMPAPAPPQLLGPAERPHGGFPYYAAQPVMSYNVTHPSASVSYYAPTPAMPMPPPPPPPAHHVPYGYPPMMPMPEYMYGPPGMRSSPPHGSYNMFNEENANSCSLM >Et_6B_049823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1484743:1488110:1 gene:Et_6B_049823 transcript:Et_6B_049823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSLVCNASPNNHRPRNSDTSRGGSSRGRIKPYQDKDDSENTDEFDSDIMFSKNGPPISLASNSRPQATSAPGEREKEIVELFKRVQAQLRARGKGREDKKAEPAKVQGERGSVDSLLKLLRKHSVDQKRKGSDDKEQNFDLARRSSDSVSRESSTMFGSKNESQEEQKKPPPASFRRPASNFRRRSPVPGVKFQPVINADKETDATNIAINVADIVQEAKVTRDERAAKDEPDTVSPYEPDSELPPENMSLDDFDNISDDESDTDEPNEYIETSLENSDVTESDESHDNSAARSSDLSSLKVAELRELAKSQGIKGCSKMKKVDVLGSSKNRGVCLCYGHLQAVEPGVELESRLLGLERVVRAVGDPADLGALERAVLESVEPEHLVVGEVDGALHAAEAPVQRVVLVPAAGLAPNKVRDEGPPVVAEARVVLLHHLLVLVHQPRPEAVQVELRLDVHLVHPFLPLGQQHVDERRLVVGVERRPAGEVGGEELARLDADGALGPHLHPRVEQVERPLAVAEQEDAGVERDPRSLLEQVRVPVDHEVLAVVARQGELEGHVGEDGVAVDPPDPLDLRVGQHEAPGQRDLGPVPRQLRVQVRRVVHDLHAVEPAVVDLVLDRFEQIVVAGRVVAGPRRRAGDEEDLGLALTVAGRELRVPGHPLLPRGVPIGDRRAQLVPLPRRRRRRRRRRGVVAVGGGVGRRDGDDDVVVELGVGADLPENALDVLGDLGVGGLAVGDAAVDEEVEPDGAAEDEHGDEAAEEGQLDVVQRLLPLLVHGGPRHPPGRPPTAGQPHHEQIFSGNLALSIDAFRGERLASDVCGVE >Et_1A_004819.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:10374411:10374632:-1 gene:Et_1A_004819 transcript:Et_1A_004819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMSSFFCPFFSFLRKSRRYEDDMSDWDGRTGYVRKVRSSDDDYGSWWVGERDVDRKASDFINNFHQKKVAV >Et_9B_065985.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19204652:19205689:1 gene:Et_9B_065985 transcript:Et_9B_065985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWHDLNTVLCAVVPLYVAMLLAYGSVRWWGVLTPDQCSGINRFVAVFAVPLLSFHVISTSDPYAMNLRFIAADTLQKALVLAALAAWSCFPSSSWAPLDWSITLFSLSSLPNTLIMGLPLLVAMYGRYSGDLMVQVVVLQCIVWYTLLLVLFELRAARALIAGLQCPPDAASIAAVHVDPDVVSLEGSQAEEVMAPDGRMRLLVHRPAPSASRRSQLLQTATPRPSNLTGVEIYSVSSSRNATPRGSTSFAHSIAVDVAAVAAPQLHSASLRMSSFGAADLFSLHSSRQHSPRPSKIGRRGGAQRPRRPQRQGRALVRVELRRVRGVGAERLAGVPQRRSQPC >Et_1B_013593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9861154:9864434:1 gene:Et_1B_013593 transcript:Et_1B_013593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESESESYVGERGLVPVGGSSGRHDALKNDGFVRREQSWYVNSDIPSDLLVKVGDVSFHLHKYPMISRSGRMSRVIYETASSASAQHADPDTAVVDLGDVPGGADSFELAARFCYGMAVDLTASNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEGLSPWAENLQIVRRCSESIAWKACANPRGVRWAYTGAGGGAGGGGRPPRPGGTASPRWNLGGSGGGDSKESSPSRQAVPPADWWFEDVSVLRIDHFVRVVTAIKVKGMRFDLIGAAITHYASKWLPGLTKDGPHGGAPDEPWAQASAGGGGGGLHMIISGGSGTGVGGGRDDVAGSAPAREQRMVVESLISIIPPQRDSVSCGFLLRLLRLAVMLKAAPALVTELEKRVGMQLEQAAPADLLVPSYGRADTAYDVDLVQRLVEHFLVQEQTEMMMMASATSPGAGVGEPQMQQQGEYYGGAGRTAGGAAAAASGLNAKARVARLLDSYLSEVSRDRNLSLTKFQVLAESLPESARTCDDGLYRAVDSYLKAHPTLTEHERKRLCRVMDCQKLSFDACVHAAQNERLPLRVVVQVLFSEQVKISNALANTSSSSSALKQVTTPPVFTDVFGGAMPPTRRQLLDGTPQSFQEGWAAAKKDINTLKFELESMKAKYLELQHDMDALQKQVERAAPSPAPGHKQGVGGKHAQGPSAWSSGWKKLGRLAKMTGADAAGPEGQVPGEPGQAARKGPRRWRNSIS >Et_2B_019241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19771419:19771814:-1 gene:Et_2B_019241 transcript:Et_2B_019241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVVLNSDVRWVTFHSGYDFGLHGGLNKLAELLDVARVGICHQAGSDSLLTALSFKKLKEAYFNGITEKYAGVLYGLGFEGGETTSAH >Et_10A_000851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18211880:18214448:1 gene:Et_10A_000851 transcript:Et_10A_000851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVASLMAMETAQLVKDQQPRRLRSPHAVFPRQNVARGHEQSVPSPTNGLGIKAFLVLACVAVSLIVLPLVLPPLPPPPPLLLLVPVCLLLLLAALATFVPSDARRPASSYLSFMHPWNLEDTPQLRGKAIKNKEPTKQTIIRGDSTNVIDEVNAT >Et_1A_009618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9683307:9687495:-1 gene:Et_1A_009618 transcript:Et_1A_009618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSLRRLRRCRDAGNTLLSLPICRLSSLSSTRPNQASSSSALSAKEEAAAARIKSALFKARKGSVEDLVRSLGAECSEIRVTSSIADSLMCRFGDDWKSALGFFQWAQSRGGGYAHTPYACNRMIDLLGKMKQFDRMWDLLSEMHRRGLVTVETVAKSIRRLAGARKWKDAIVVFDKLEDMGLERNTETMNVLLDALCKEKKVELAREVFVVLSPHIPPDAYSFNIFVHGWCSMGRTDEAMWTVEEMKKWGFPPTVITYTAVLEAYCKQRNFRRAYEVLNSMSSQGCHPNVITYTMIMTSLAKCERFEEALNVSHRMKSSCCKPDTLFYNSLLNLLGKAGHLFEASQVFRVEMPMNGVPRNLSTYNTMISILCQYGRDDDAVNVLKEMEAQSIKPDLQTYQPLLRLLLSRRGQSEAIDNVLNELISKSGLGLDLDTYSLLIHGLCRISETDWAYRLYDEMRLGRSYVRDQGSVGFFAKEQSSKYIKPSSAGPSLH >Et_2B_022814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5813215:5816389:1 gene:Et_2B_022814 transcript:Et_2B_022814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKALRKEKAMVEIKPVLKNVTREIYTDLLSRRMVIVDLGCSSGPNTLNFISEVISIISCHCDELGQSQDNLELQFFLNDLPGNDFNNLFRLFEQFRKLTARKRMGETLPPYYISGSPGSYYTRLFPRQSVHLFHSLFCLQWRSQAPKGVEGTRNTHTDGGDIYITSTSSPSTVKLFQKQFQEDFSLFLKLRHEELVFGGQMVLTFIGRKKEDVHSGEPNQFYGLLAQSLQSLVDEGLVEMEKLESFYIPIYSPSVHEVEEIVKQNGSFNVNHIQLFELNWDPYDDSESDVLHDSVQSGANVAKCLRAVMEPLLASHFGDSIIDTLFTEYARRVTKHLEEKTKHAVIVLSMKKVFFVEEIQHKVIFSYANGGSYVD >Et_1B_012848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35538124:35544844:-1 gene:Et_1B_012848 transcript:Et_1B_012848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSPALTELLRPPASSFRRGGAARGMARRPGGDCFAPVRSGGDRAPTEMAPLFPVCDYEHWLIVMDKPGGEGATKQQMIDRYVQMLAKVLGSEEEAKRKIYNVSCERYFGFGCEIDEETSNKLEGLSGVLFVLPDSYVDPEHKDYGAELFVNVEIVQRPPERQRRVEPVPQRAADRPRYNDGTRYARLRENHR >Et_2B_022298.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17228087:17228371:1 gene:Et_2B_022298 transcript:Et_2B_022298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAPSTSVRSTQSTTDDAADARRRRRNGSGGARPAMARTARSWPDPASKPRDSVCTSRMWDSGAARAAGSVNLRHAAAVTRCQGCRYTCSCV >Et_3B_029984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29681431:29683644:1 gene:Et_3B_029984 transcript:Et_3B_029984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLPVAAVVAVALICCSVLGSGERLGARECEELGFTGLALCSDCNALAEFVKDQELVGDCHKCCTEDSDDSISKLTFSGAIIEVCMRKLVFYPEVVGFLEEDKDDFPYIEARYSYGSPPKLIMLDDKGEEKETIRQREHIRQFLKEKVKPVKSDS >Et_2B_018890.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:13934369:13936132:1 gene:Et_2B_018890 transcript:Et_2B_018890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPGSHLYTADSSDVDELVVSMTKDRTYWVSLVDGNGTRCSLIYKVLQHIRASDPNAYEPRVLSIGPYHHGAPALFQIEKEKWFCLNYILQLNCSRSLQDYLAAITGLEREARICYSEDNIINSRTFVEMLLLDSCFILVCLNGIEGMRIQAEAHKSHGQDLLTEIVDGQNNASKKGKEAMSKSIPTLSDTTNEDFVVQMGNDQDDSHQQSEQANNMDTYLDHSQENIDPSEQWYNSSAVYDLLLLENQVPFFVVSKIFDLLVNDESMARRLLTDNLAKFIEGILLHLPLAIQDTNRPQNFDHLLHLCYMYFKPSRSTQHNHQKKKKASYFSNLFCWGIRYLSSNIGSQENEENPLSNSYSVKQLKRWRRAEEYHEAGVEFTEMVFDEHNPHSLL >Et_6B_050090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5896404:5896660:1 gene:Et_6B_050090 transcript:Et_6B_050090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLNSKPPSLVTPTQDRLEEVSWGLANSGYHFLWVIRNDQQALPDGFLAETAGRGRVTSWCPQEAVLRHDASARS >Et_4A_035917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8533334:8535461:1 gene:Et_4A_035917 transcript:Et_4A_035917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRRPWSGSIAVERERRLSHAIRPASASTSVDLPDPLAPMTASSSPTRDSPEMPSRSVFVVGSGFSPGCPMAV >Et_4B_038110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26327536:26328695:1 gene:Et_4B_038110 transcript:Et_4B_038110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPWQLLPLDILIEIAVRSDDGATVIRCAATCKPLRRAILDPAFRRRLEDIVAAKGGRIPALVMAASYLVRPNPIAPFTVRTSRPGLRFDTSILQTLQPVCSRGGLLLLLRRDYTFDSVEFYVCDTLTGDVISVSSPDRWGTRSSYYRPALFTLDGAGRSFDLLVLADPDLLPLPIGPLGLERYPLHQIAPRSPPMTTEEESLTAPVVIGRTVHWLCNGGNRLGPDENTDYENIIIIALHADTAQATVTKLPTEIRITGTMNPFRILILAATGDGRLSVVAAGALAITMWTLSPEENTWGRQLVIDRQLTPGLVPYRAVRFQWFGERTGTVLVVVDQVGLVQIDLGTKEATVLHGSRGIVSAACLHEIDVVSLLQRMEPF >Et_4A_033975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28679441:28682188:-1 gene:Et_4A_033975 transcript:Et_4A_033975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLTERANPLPNRSASPSGATQLQSHGDNGAGFYKGSYSFLDTRPSTTRFSSGSVTSEDSPRLLSFKSSSSPDNYSEWPAASRSNRYLFDANAKTRGAEYLDLMRVEVDAQLSRLKGGVTGLESYALPDNGHVIGGAHLGMSLDVMLIEIDERFNALKLLMAAVFRQAREVLGSVNLSMSDQQWEHELQLEVFGVAITECIGALQEELERKLYEQISVTNTMSMNWKESIAQFAAMREDLGVLSKLLLPSVPEAHVSHGKHESSGNRSNRWKYNFFGKKSKEDLSPRAEGSKSFRKQKSFGSKDVISEKSNFLHLNGMDREEVISYFKSEIGKLKRMHESALQEKTEELFRFKREKGSQALKNDVEFEPLRKKIPEIVSRMDQIISKNIKIPAVCMTRDELDERCRLATRINALYYENQHLRGMLAEKMKDARELSSQLSESSRELSLQLSSEEELVRQIDKIKDEYEDLRIESDVRDGLYQTVTRRLRDDSVSNMDSAALDFNAKVSSLEAVIYEKDKALCLSNEENQKLKEKLAQLEKGCLIQNHQQDQEVIKQESTEVIMRDIEVEPHTSPRRSHVRDLRYDELVKLNSSLGIAPCVLKEMDNKNLNRCSSLTKNEQEKQLECILVSIMKLSKEFVDIEQKLSAERTENRSEDLSDHCNHMVRQAVVLTKIGLWYKQMLETRRFELQKAEAKVAILGDQVNAHLSLLQKIYATLDRYSPTLQHHPALLDTFLKTCKLVADLRTKQKEDDTT >Et_1A_006210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19239931:19276306:1 gene:Et_1A_006210 transcript:Et_1A_006210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGNNRFAVTCGLLRQYMMREHKHQPSQPQMGGLTGSSQQLPPLREVTEDDAEDTDARTMQLFPTHGGTSEQPDEQTRAPLTIFYEGRMLVFEDFPAGRAEELIQLCHTTSGSPVVSKKPAANKPSAGPSDIPIARKESLKRFLHKRKHSEMAAAADCRDRRQFTLACGVLSQRVRADAATAKTSRAMLLMPGADIANEERRSLLTLYGGRVVVFDDVPEDRAKALVRVASAMPQQDAPAGGPADIRMARKASLRRFMEKRQDRLAARAPYGASCRKKGNAVVEEEDAGSWLGLAAADCGGRRRFTVACDLLSRHVRADAETAKMAGAALGCPSSTVAASPTMLLLMPLTIMYGGRTWCSTTCLSPEDRALELMRVPARPQDVPEDRAAEHMRVVPWRRRRRFTVACGVLKQRVRSEAKAARTVVEALSGASTAAARPTMLLMPGAHLARDATEVPSSPAQAEASDDHVRRARGGVRRRGGGPGRGARVRRLRDAAGRAGRWARGHADGAEGVAAAVHGEEARIAARAPSGASRQEVLPFSNSNMGKAAKDADAGSWLGLDIPGGCEPSEMAAAADCRGQRRFTVACGVLSRHVRADAEAAKTRASPPTTMLLMPGADIANEEELEPAHAPLTIVYGGRVVVFDDVPEDRAEKLVRVASVPAGGLADMRMARKASLRRFMEKRRDRIAARAPYAGGPSLTESSSPASNSNKGKAAVEADAGSWLGLDIAGGSAAAVRNTSASHAHPTAASSTRCFLCRGQTSPPTSRQEAPPTVMYGRRCRVVFDDVPGDIPVARKASLRLFMEKRRDRLRVLPPYSTSRLDSLPATEKRKAQKSDAGSSWLRLGMATAGSIKGRTRFASACGVLSRYVKAAAARPVALPLMPGADLSSYEQQEQEASPAPAAQLTIFYGGRMLVLDDVPADKAGKLFRLAASSAAAPAKVVLPAADDDLPVARKASLQRFMEKRKGRVAARAAPGMATAGSIKGRARFAAACDVLSRYVKAAEKAAAATEATPRPAAAVVALPLMPGADVSSSAQEEQQPAAQLTIFYGGRVVVLDDVPAEKAAQVFRLAVAAKEAPRHAVLPVERRASLQRFMEKRRSRVAARAAPYSRPDGDDACPDRLALSL >Et_8B_059602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19873158:19874851:-1 gene:Et_8B_059602 transcript:Et_8B_059602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAAEMLHDQEQQQQQGENEGGAASAQALSMDEQLETLLRAAAAAGWWLTTTEREAAMSAAAAAITSALPGDAFEEILRRVPPRGLAACQSVCKPWRAAVNASELLRPCLLPLSVAGILIHFHVHEYTEMFARPPISSDWAVSGRLNFLPSGDGGAWTPVCDHCNGLLLLEHYVVNPATRRWDPLPRPPPEGPFESLCYFDIGEKQQQQQQHSIRGLEWPPSPFFLQVFSSRTRRWEERPFSRQGEAVGTFTDVEMYGAGGHAAFWRGKLYVHCNNNFFLRISLSTDEYQVIQPPMGVDKSSRVSSLYLGKSKKGVYLASLNHNELRVWILDESSPDRTEWVLKHHNDIGNVRPRLGGAYDDDPWILEDVNYNDALENHFYSCNNPLFNGEDRVLRKKSSDPRVQQSCCQRTTKCYVKNLQILGFHPYKETLFLSGSSSSALSYRLNGSELRYLGSIYPTCYGEKIAAGRGSIETSFPYTPCWIEEIPANK >Et_2A_017763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:660045:662989:-1 gene:Et_2A_017763 transcript:Et_2A_017763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVFVSSAPRRPVARPRDVQASAKKMHLCSIARSSSISIPQGWADLPQELLESIILLLRSPQEILAFAATCHPWYAAFSSCLHALSLLDLFPPLLLRPTVRDSDSNPSVCFIDPSNAAAPQKCRVLWRNVYNMSYSYVGSSYGNIIYFHTKKCHLFDAFTGDEVKSPRLSIDKHDHPLFGALTAPLASPDSNLLVQVGVFLFQWKVGSKSWIKNRLPFWKKWLKRSPLDIPVLHVAIFKGEIFAVDSYMNLYRGCFSPGFRMWNVNAVWEDTFKGRAFCHTWLVVCGDMLLLIARAERQLIDLSSSPRWVKVERLENWAVFLPRRANSPAFACKNPEKWGGRSNCVYFCKDSSDLQTDYLKTCNADKAWGVVQLGEESRSEIFTQFRDHKTGSLATAFQWTVFSPSIHQITGHFTVNKSLSSNIQMQFWSIVHRI >Et_1B_009896.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9656107:9657036:1 gene:Et_1B_009896 transcript:Et_1B_009896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LAAEAAAVPVAPTPPGSVPRWGTRSYVRERFFEPGLTPEEAAARIRQTAEGMRTLRPMLETMSWKYVLFYVRLKSKYLDLDLTTAMAGVPEARRPDYVRVANELVDNMTEVRALPSSSHGLCYCSIRQFDSLLLKTAISDTLSFFFPFCDVCAAQFDYFVRTPKVYESYLYYEKTLKSLDDVAEFLA >Et_9A_062080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19541301:19544100:-1 gene:Et_9A_062080 transcript:Et_9A_062080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EPWNGKPLFSVSSNSKFKNRGPIQLETGFSVSLLSPPGFLPLEKHSKSPIRLLPCPTEREKGRSNRGKGREESLHRSGAMATTASGDASGTAYEEQRRKRVLENLKHLEELGIKEMSKSLLQASRLQNKNKGGARASPKPRKKLDASEVRRSSRAKATVSYKEDVSSLRTGNSKSAEHGREYTGRVSSYQQQERAFKRAEKLQYSLDPENPSFVKTMVRSHVSSCFWLGLPSSFCKKHLPPREFKMVLEDEEGVEFDAVYIGNRTGLSGGWRGFAMHHDLEDGDSLVFELVEPDRFKIYIMKAIDDDVAEEAESDDNAGGDTKDEPQDDSPAAEPPKGAKRRKLRGRR >Et_9A_062490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23483158:23492774:1 gene:Et_9A_062490 transcript:Et_9A_062490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPLGSLQTPLMADHEGNRFLNLHVALYANTATNAPRLIRQTSEIVRSQHIDVKELRICVGTWNVGGICPPTALDIQEWLDMEEPADIYVLGFQEIIPLEAGYMIGSEDNRPIAVWEHIIGESLNKKCPDKPRFKYFSAPPSPSSLSPSDYAHVMDDEFLGKSNNDNDGELHPLIELDTNIVINDGTAQAETCKNPTSTSNKQKDKDFSRVSSKYTFDHSQETCLENLRHNLDESNNLKRSTKVLSHSERLAMIWPEQQLDMFTRHLQDSAKSSDSDCHLQPLDLTCNNINNRIKRKRQQFVQIISKQMVGIFLSIWVQKSLRKHIQNLRLSILGVGKTPYIGNKASAELKLLSFIGSVSVSMSIHQTHFCFVCCHLAAGEKDGDELKRNAHVEAIHRRTVFNPVPTVSTPQRIHDHERIIWLGDLNYRINLSYEKTLELICKQDWDGLFEKDQLKRELGKGCTFDGWVEGLISFPPTYKYEFNSQKYVSDETASGRRTPSWCDRILSYGKGIKLLSYNRAELTFSDHRPVTAVYTVETEEAAMAASLSASQGCRIGGVEQKQRWAEMVSCCGVDWGCVPQLWNRVVLRKWLNIGGGLGDSDFSADECGTSDGEIDPADVRGWEHKFSDEERILGGQGASTTGNQMKDVTCNLKSYKSAIFRSQYTDVKELRICVGTWNVGGRFPPSDLDIQEWLDTEEPADIYVFGFQEIVPLNVGNIFGAEDTRPVAVWEHIIRETLNKTSPEKSRFPHHSDPPSPSSFDPSDYVLAMEDDLHSESDNDSDGEHHPLIKKDTNANDRIKRKRPQFARIISKQMVGIFHSIWVRRSLRKHIQNLRVSTVGVGAMGYIGNKASGSISVSMSIHQTSFCFVCCHLAAGEKDGDDLKRNSNVEEIIRRTVFNPVPVLGMPMRIHDHERIIWLGDLNYRINLSYEKAHELISIQDWEGLFEKDQLKKELGEGCTFHGWVEGVISFPPTYKYEFDSENYVSDGSKSGRRTPAWYAVCSSKLRHLFCTRFVLFHSVVLNTRTAKMWTRISKHPLLVQVRSHSLVRERNQAAFLQEGNFEGRTKQKPLYSETCNFLAQAPSEAMQWQCLLKV >Et_2B_021682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4471035:4471491:1 gene:Et_2B_021682 transcript:Et_2B_021682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVLQLRFTKHKVFFWLLMKDRLRGLLRRKHMALDDCNREMCILQKEESSRHLFLNAILQRLPSKKNAKALLAIKTTDNSSNDLNSERKAQIQLLYGHHHFNVTEHLKLSMVIHRASAKKADRIKLWIEANY >Et_2A_017577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3867133:3873395:1 gene:Et_2A_017577 transcript:Et_2A_017577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKYQNCSANGRQTGRTLTPSLPSVTVHFKSTFPPSSAFLPVAGERPRMDLSATPSRSKSKPKSSPAKPVAASAEAQMDLCTPSKATPKRKSKSVTSPPPMTPATHSTVRRSRRLLDTPTKATPEAPVKATLTPTSKGKRAAPSPKTPAQREPKRQRRQPKKRSNYRKVVYDGGEFEVGDDVYVKRREDAESDAEDPEAEECRVCFRSGGGVMVECDMCLGGFHLRCVRPPLRRVPEGDWSCQYCEAERSGKAVERPKPPEGKRIARTAKEKLLSSDLWAARIESLWREPDGTFWAKVRWYIIPEETAAGRQPHNLRRELYRTNDLGDIEMETILRHCFVMNPKEFKDANDAGDDVFYCEYEYDIHWHNFKRLADVDDESETKEDPSDEPYNANTDYNSDTDEDSEYDEEEEPTSCSLARRNQSHELAANARKGRIYGLQKIGIRKIPEHVRCHQKTELEKAKATLLLATLPKSLPCRDKEMEEISTFVKDAICNDQCLGRCLYIHGVPGTGKTMSVLAVMRRLRSEFDSGTLRPYCFIEINGLKLASPENIYKVIYEQLSGHRVGWKKALHYLTEHFSGGTRIGKQANQPIVLLIDELDLLLTRNQSVLYNILDWPTKPNSNLVVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNFRQLQEIIISRLKGINAFEEQAIEFASRKVAAMSGDARRALEICRRAAEFADYRTKQSGQASLSGNRGDGVVCMGDIEAAIQEVFQAPHIQVMKNCPKFGKVILVAMVHELYKSGLGEVMFDKLASTVLSWCHVNRELLPGYDTLLKICCKLGESKVILCEEGSKHKLQKLQLNYPSDDVTFALKESPDLPWLSKYL >Et_4A_033755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26557606:26559851:-1 gene:Et_4A_033755 transcript:Et_4A_033755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHSLSLSGQSGRTEIDRGILFWFRGKLGAGFGLGDRGRSLDEGQRMKYSHNRNMKRNKKECLNGAITKRRVPQPPLCNLPVDVLSHILSQLPINDAIRTSVLARKWKNIWRHHTNLTFDSATMRKHYFRTPSGYGFVNDKEYIERVDTVLHQHSGVGVERMEVNYSLHKKHADHIDRWVSFAVAAKAKELIIDLSGGYKVLSFRNLSNDRYRIRDEPCDLPSQLFSTFSYLKRLELTSVSLQLSADFIGFLNLKHLTLVDVSMTDEDAQRMLSGCQLLEFLKIAYCRMVTSIKMPHPMDQLKHLVVDNCPLLQEIELNCSPTTLEYTGTEVPFVLASTSKLKSMLIKFMMPYHAALWYIVTRFPSTLRSLESLTLHVIELQRPILPGSPLKFTYLRCLRLELVLGGISNEERKNDALDYAYLLEVAPFIEKLELLMFMTCRHQPYHKKDGKLRNRTPHQHAHLKFVRISGFFGHKDQVELALHILRSSVVLEKMDITPKIEIGYSPDFARQCFERTGYVDGYNIATEFVCKEHHRNVVEAIRASFP >Et_6B_049768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10522873:10524454:-1 gene:Et_6B_049768 transcript:Et_6B_049768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSVSYPLILFIIVIFGDVLLLRRRRPAATRRCRSTAATGTSPTMFNGSGGGKADKDPHAGVVLCAHDAWLGHLP >Et_4B_036934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12772686:12774972:-1 gene:Et_4B_036934 transcript:Et_4B_036934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLRRALRLPIPATQSATCTSSSGVRQLSSHRRAPPSAVTGDDEWNDAWETAWLPGDSPTTSPASAAPWESPASSSASTAAAVPAITAEVDPDTKAFVADMDERWAERRVASRRGPPQRAARATEGGAVSKKKAEADEYRTRKQRVHAALWVKEIEKMEEARLGGGGGGADDIDRLLDSCSDIFDSGNTDFGNSKIPSTSEIKTKPDGWETTSRGQDGNIWEISQREEDILLQEFERRIAFSKQQIASFIKTHIFSRRRPIDGWKYMIEEIGPNARKGKGSVQRLPSVADPATQPYREDTAAIPSSSSFRGNRP >Et_3A_025677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31842406:31845948:-1 gene:Et_3A_025677 transcript:Et_3A_025677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPAAATFLHHHLPPASLRPKPHLRPRLRRLAASVNPSPPDETPAADPPVLPSISIKNTEPEEVARRRSWVEHGWAPWEEIMTPEVAFARHSLNEGEEVPLQSPESLEAFRMLTPAYRKKVEAEPGYMERLFATRDTPEPLETTWAGRLPLRLVPPRDWPPPGWEVDPDELEFIREAHREASERLDMEAAAAAGVTNVEKLEDAPNDLALERYKMFLKQYAEWVEANRDRLEKESYQFDQDYYPGRRKRGKDYKEDMLELPFYYPGQICFGQVTTVHLHQGAFVDIGCVHEGWVPIKGNDWYWLRHHIKPGMKVYVEILAKRDPYRFRFPLEMRFIYPNIDHLIFNRFDFPPIFHRKEDTNPEQLWREGGRPPIPRKKPLEDMEKEPLVSDHPFVETLWEWHNAEQMILDYEEQNPEKFKDTTYESTVGTTSSFDEENRVEYTEGYFKETLLKKKVVKINIEELGLEAARAERQLIKQLKKEAEERGEEYKVGKLRRNKEMDEYDLMQWRRSFEEREALIRDICCRKALGLPIEEPGRYDVDETEVFGKDYYDPEKPMYRYDYWGEPKNTEKTKLERDVERHNQQVVGDAKKWCEMSYDDYVRKKTRLEAAEARERQKAASEQETEEEYDDEMDLDLKKMTDPRAPHNRYYITK >Et_2B_022693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3117430:3120467:1 gene:Et_2B_022693 transcript:Et_2B_022693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGRTGDEFGRAVARAAVAQALEASGFDCAHRSAVDAFVDVVLRYITHLGRSAAFHANLAGRVLANECDIIQALEEVGADTDGFAGAAATGRCLVGSGVVRDLMSFVDSRDEVPFARPLPRFPIPRAQQQPTASFAVAGRETGMRHVPEWLPVFPDPHTYVRTEVWVEPPPTKDRVDKVEQVRQRRKAEKSLLSLQQRLALAGADGFRPAVSHDTEESGKEIQAAGSKRNPFLEPALPPGEKDVLEVDMPPEKKQLSILEAFAPAIQAATVREIDAGTGLDQINNQKSILPKERAPVHLKIGIDKKPLAAVFNSGALDLREDPSFLKEEAKDDRKRRAGMILRASDQSPNI >Et_4A_033781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26912455:26921527:1 gene:Et_4A_033781 transcript:Et_4A_033781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGTPVNIIVGSHVWLEDPDEAWVDGVVTEIKGGNATIATTNGKTVVASLGSIYPKDTEAPPAGVDDMTKLAYLHEPGVLHNLACRYGLNEIYTYTGNILIAVNPFQRLPHLYDVHMMEQYKGATFGELSPHLFAIADACYRALINDHGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDVKRFKVGDPRSFHYLNQTNCYEVANVDDAREYIETRNAMDIVGIDQEEQDAIFRVVAAILHLGNINFTKGQEIDSSKLRDDKSVFHLKTVAELLMCDEKALEDSLCQRVIVTPDGNITKPLDPDSAALSRDALAKTVYSRLFDWIVDKINNSIGQDPDAKNIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYVEFVDNQDVLDLIERKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHKRFSKPKLARTAFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLNSSRCHFVANLFPPLPEETSKQSKFSSIGTRFKQQLQSLMETLSTTEPHYIRCVKPNAVLKPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFIERFGMLAPELVDSSDEKAACSAICDRMGLKGYQIGKTKVFLRAGQMAELDARRAEVLANAARLVQMRIKTYLMRKEFINLRKATVQSQKFWRARLARKLFEHMRRDAASIRIQKYARTHSARKAYLQVYESAKIIQTGLRAMSARNEHRFRRETKAAIIIQTRWRQHKAYVDYKQQKRASLILQCLWRARIARKELRKLRMEARDNGALKEAKDKLEKRVEELTWRLDVEKRLRVDLEEAKGQEIATLQSALQQMQEKLEEAHAAIVHEKEAAKLAIEQTPPKIVEVPVVDKAKVEQLTSQNKELENELGTFKRKAEDLEQKLLEVQKHSDELSQEAQERDSKISQLQEMIERLETSLLNMESETQVLRQQSLVASADEEKLKQIEGLESKIATLESEIQLLRRNSTLVVQAVVTPQENQASVTEVLDDGHQLEEVKLVDEQVVVPPVKNLSKQKSLTNRQQENHDALIKSLAEDRRFDGKISAAACIVYKSLLHWHSFEAEKTNIFDRIIQTIRSSVEAAESSGELAYWLSTSSTLLYLLQNTLKASSSASKGSNRSRTTTGSLFSRMVQNARTSSGITSGYSGLVGRPDTASMVEAKYPALRFKQLLTAYVEKIYGIIRDNLKKEISPFLTMCIQAPRAIRVRPSRGSLKSIHSNALSRQASSVHWQSIVKCLDHTLETMNNNYVPPMIIRKTFSQVFAFMNVQLFNSLLLRRECCSFSNGEFLKAGLQELEHWCSRTTEEYAGTSWDELQTIRQAVGFLVLHQKSHKTLEEITNELCPVLSITQIYRIATMFWDDKYGAQGLSQEVIGKMREMTTDGSITTPNSSFLLDDDSSVPISLDDIARLMLDVDPSNVEPPPLLRQNSQFHFLLQQHID >Et_1B_013158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:625319:627827:1 gene:Et_1B_013158 transcript:Et_1B_013158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGPGPTAAAFASGEPPPAGAAVAEEVEENSGGEEVEEQDDDELELGLCLGSKKQQPPSPAPCRILTARDLQPGAVSPDSSVSSSSPAAGATATAASKRAKAEAAPTATASPGTVASGHPQSGFGVVGWPPIRTFRMNSLFNQAKDNASDTGIKKAGDESDVQEDKEETEKKGRAVGWVKVNMDGDVIGRKVDLNAHRSYKTLASALELMFMKPSIGLCTSSSAKSLKLLDNSSEYQLTYEDRDGDWMLVGDVPWEMFAGSVKRLRIMRTTDTNGLAARYRAYISGLRADVNRDICVP >Et_8A_056390.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:7310522:7310722:1 gene:Et_8A_056390 transcript:Et_8A_056390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFMRKMVHLQWVIQDTTVHPWLMAWRILFYCRDAEEAEITACLEGIWLASCWLDCAFILKSDCV >Et_5B_045229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1300213:1301302:-1 gene:Et_5B_045229 transcript:Et_5B_045229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETTGTPPASAPPALAPRQRLSGFEQMDERIKVLPESQGALVLVTDLVVEFQELTSSQAELLERIQKLKQEVQNWRSNVETQVKTCQNELQGLNKGLNTEVEQLKMVCLREKPIISCYLPTLFTSEITNVKELKEIRSGIQEEKDNLSTHFTNSYQSNDEKKQALQTQEGDEEQTATQA >Et_5A_040737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11818566:11822173:1 gene:Et_5A_040737 transcript:Et_5A_040737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVDLPVDRGGPRVFRRPRRRRLYPVSDLPAQRTSHHTRNLVRQACVEHGFFYVYNHGVDGSLLEAVFAQSRKFFKQWMEKIAMRRDSNHRAPRDVHTALHREGRRCIRIRRRRDAHKQSEDGVARLCQMAVGETILSLIALSLDLDAELFQKTGVRVVCRQVAGVRVVFE >Et_7A_053062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:644986:647137:1 gene:Et_7A_053062 transcript:Et_7A_053062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLQGKYNWFRAYPGWVLEIRAGGPNMSKMFKFYANLRTGLRIASKQDFMRYVHYGILPQLADECDTSGEDKIIAHLKFHIKGLPPGWIKEIKFSKNGTRRDRFYTDPVTQKVYRSKILAVEYFNTGTAACHNPRESVTDMYMFDSSTDLLPSLANRLKIKGAEDHQSEEASQSSCRQAEVPFITDADT >Et_3A_027227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5312869:5314058:1 gene:Et_3A_027227 transcript:Et_3A_027227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRKCLEGHFTCSSCYKKLPALKKCHHCSLVSSIYHRCNGIEKVVESIQIPCSNTKYGCTVKTSYHQKEDHEGKCPHAPCFYPETGCSFAGSTEILLNHLTTKHHCPFTEFKYGLLFHVKIQDGVRVLSCEDGRLFLLNVSPEQSGSGISVYCVQPHDVGPKFCCDLKLSIRKESSVHSQTSDFLVPSTNLSDGIPRNHFECIVPKSYLDKDSKIRLTVNKA >Et_1A_005651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12437956:12443572:1 gene:Et_1A_005651 transcript:Et_1A_005651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTEESLKTYKGSRDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLNVTMKSDEKELMGKALMKRVMQTWLPASTALLEMMIFHLPSPATAQKYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLSEFEDKLVQEQKIHERKDMKNQMCNGYHFLVDMAKRQ >Et_8A_056291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19858822:19860372:1 gene:Et_8A_056291 transcript:Et_8A_056291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNRESPGPSDILEKSKSPELKSVRSPHGKQASICSKDHAKIAAVKKEHQTESEEITKQSDLHSRDSVLGDDSDKASSSQANSECGKVKSASEMSEYDKLKPDSCRTSSLQNERTGQFVGYPYSFSVFLFYRNERWERFMESEREKNKGDHHGGTHPSDMINQRRTDHRYGGRGGGSHSHHPRSFRGPRMSNESEMTFPNEHISGRRRPFEDDLGHSQRIPHRRQRGCLMRDRDIDDFSGREIPGRRLIARGQIGDLPDDMIEDRFFVPHSRQQHAPGDHGFVRRERSHSPAQRRGAPVHFHRGRSPEVMHRSPSLGRTERAYMHHRRPTRRHGSPPDRVGHNERGSHQDLEEDAFEPPLHPAHLAEFHADEELVHRRRCGNRRAYLRSLEGGPVGDEEDMLSYHSEDGDMEFAEGDGPREHDGCFSNRFGHRARGEQQDGYRQHRGPPNGSRPKKRRY >Et_7A_051241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1635071:1638225:-1 gene:Et_7A_051241 transcript:Et_7A_051241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQEIASCVESVIRGSAGGPGEVSLAAVLQQAEAKLGMDLSHKAQFIRDQMDLFFGPRLQAPPPKAQTPPPQVPVLQAQPQGQTMPQLQAVVPGATVPAVSSPPAVPAMAFYPPPPLAFRYTTGLGGTATGGTVSFQQPDPGAGSTASPTAPVQAAADNKESGSKRKRGGPGGLNKVCAISPELQTIVGETAMSRTQIVKQLWAYIRQNNLQDPDDKRKIICNDELRVVFGTDTTDMFKMNKLLAKHITPLDPKDQIHEVKRMKAPTMAPQPGPPINQPSVVISDALAKFIGTEGTVPQDDALKYLWDYIKANQLEDVINDSILCDSKLQELFGCESIPISGLSEMLSHHFIKQT >Et_2B_021384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29236283:29240037:1 gene:Et_2B_021384 transcript:Et_2B_021384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARYLAAFSSSATDRADRPRLPRSAAAPSRIAFAAGELDSARRLVAEFDPAVPLASAVTPPSGWYTDQEFLRLELDRVFLRGWQAVGHIGQVKNPNNFFTGRLGNVEFVICRDANGKLHAFHNVCRHHASLLACGSGQKTCFQCPYHGWTYGLDGTLLKATRISGIKNFNKNDFGLIPVEVATWGPFVLARFDESTEDNVDDIIGDEWLGSASDLLSRNGIDTSLPHICRREYIINCNWKVFCDNYLDGGYHVPYAHGALASGLQLQSYETLTYERVSAQRCESAPAELDDFDRLGTKALYAFVYPNFMINRYGPWMDTNLAVPLDSTRCKVVFDYFLDKSLLDDQNFIEKSLKDSEQVQLEDIALCEGVQRGLESPAYSVGRYAPSVEMAMHHFHCLLHANLSG >Et_9B_064905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19701235:19705263:-1 gene:Et_9B_064905 transcript:Et_9B_064905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASASASTSAPLFLSLPVRLSCFLGRFPASFSDLPRRRVALSSARPCAALLSSLSNAREQEEELEYYEDGEEEEEYEEEYDDEGVEEQEYDDGEEEELVEVGYVSGAHGVRGDVLITPRTDFPELRFATPGKRWLRARAAGKQQVKEFELVRGRAHTGKKAWIVSFDGVDDLDEARQIVGSAVLVKAGDRPQMEDDEFYSLDLVGMRVIVKESGKLVGTVAQVFNFGGGDLLQVMIGSAEDTAMEPNSEHQDSTSREYVWIPFAEDIVPDVDMEKREMWITPPKGLLELNSRSDKRSKKERRAMEWKDRKRLQRRVIAAKKVLSEMDQGHVLEGLLSGDKVQKAALGEQIGGIDFQLFRHAVQCVSKQTESSSRKPLTNSFLSRKKAMKIPYKTFNSYGEKAEHVFSNEHRKGLEVLLKSKAAIVLVRNGYDSDAEFLSLLNSFNELMKAIENRVSPPFVIVSPAGHVESVRNCLIENDYFGLDTQKVWVLEELDLPIISISSEVNGKKILMKSPWEIIKSPAGSGGVFSLLSSNKILETLNEMGVQYTQICSVSNRPAIGHPLLFGAVASRGAEVGIKLSKAGETEDDFDLILSIDQLNKMCRDVTQLRFASRLEQNAHVELVDGQWVTVQPEAVNSHRLHADVTSVLNSCSADKVCVMEIVEQ >Et_8A_057167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21948775:21953442:1 gene:Et_8A_057167 transcript:Et_8A_057167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWAAFLLDGASRASGNRGGISNLVLVVAAASARCRRRLLRFSRRLALAGTRWPSLPLPCPCCGIRRNSASLLVLVPTFISDFQSSPDLGLNVRSDLDGRLIGAERTKSSEKSFSISGGLVAYADSRSDDVEKPQLPPRKKVVVLGTGWGGTTFLRNLDSTQYDVQVISPRNYFAFTPLLPSVTSGTVEPRSIVEPIRRILSKKGGDIKFWEAECFKIDPSNKKIHCRSNVGTNLDGNGEFSVDYDYLVVAVGARTNTFNTPGVVENCHFLKEVEDAQKIRRSVMDCFERASLPYLNEEERKKNLHFVVVGGGPTGVEFAASLHDFVTEDLSKLYPSVQHLVKISLIEAADHILTMFDKRITNFAEDKFGRDGIDVKTGYKVVKVSKDAITMQNPAVGDIAVPYGMAVWSTGIGTRPFMVEFMKQIGQTNRRVLATDEWLRVRECDGVYAIGDCATINQRKVMEDISEIFRVADKDKSGTLTVKEVQDILEDIYERYPQVQLYLKSKQMNGIADLLRTAKGDAEKESMELNIEEFKKALSLVDSQVKFLPATAQVASQQGQYLARCFNKMKDAEEHPEGPIRIRGEGRHRFRPFRYRHLGQFAPLGGEQTAAQLPGDWISIGHSSQWLWYSVYATKQISWRTRALVISDWTRRFIFGRDSSCI >Et_1A_006418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22027047:22027374:1 gene:Et_1A_006418 transcript:Et_1A_006418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSPIHEGLGPVPVDSDRHFSESMVLASLSPPSLELVHSLGDPLLSRSSVCSSFRPVSDIFAQFSHLRGSSHLGSTRTLVPRTALPAL >Et_8B_059718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2145278:2147098:1 gene:Et_8B_059718 transcript:Et_8B_059718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRAAGGGDYIASLLSSAPRLDFGMLGGVPAMDGAAGDGGDALEKFCGDPGFAERAARLSSFSGQRFAGAGGLFGLPPPALAASNGDFGGSREASSVSDPASAMRDANAKKRKAPAAAKGKGKETPCQAGEQNDSDAKRCKTDGGDKREESPVKPKAEQAGSDISVEDSGQKPGKGKNAKPVEPPKDYVHVRARRGQATDSHSLAERVRRERISQRMKVLQDLVPGCNKVIGKALMLDEIINYVQSLQRQVEFLSMKLATVNPVDFSNLPALLQKDMFQACGPSASSVFSLESSNSGFPFSDQGDVFQSFVPNGLENQCALNPLDLALSQATSAQYAFQDGTPSSNLQQRNFWEEDLPSVFQIDNGQSQENGVSAQSFHGQVQAGHMKMEF >Et_5A_042384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9409649:9413015:1 gene:Et_5A_042384 transcript:Et_5A_042384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPQRRGAAKQSRLREADEIRLLNEWIEAGKPLPGTMPPPPSKSAGARPAAPAGEHPAYGACTRFDELPLSQKTKDGLRKAGFTVMSDIQRAALPHALCGRDVLGAAKTGSGKTLAFVIPAIEKLYRERWGPEDGVGCIVLSPTTDLAAQIFEVFRAVGKCHSLSAGSIVGGRKQGHKGLEEEKACVNNLNILVCTPGRLVQHFNETPNFDCSQLQMLVLDEADQILEHGFKSQVDAILSQIPKVRQTLLFSATQTKKVTDLARVSLKDPQYISVHEEARIATPDNLEQYAMVVPLEQKLNMLWSFIKRHLNSKTMVFLSSVKQVKFVFEVFKKLRPGIPLRCMHGRMKHEVQQAIVADFNESTSVLFSTDICSRGLDIENVDWVVQVDCPESIDNYIHRVGRTARYNKKGKALILGRPSKKKKLKINVHRPVGTRVKYDDEGNIIPPLASVAEEVASEAVVHEDKISQRYAELYKEMQEHDKEDKLEHKKSLREKKLQKKLKLKRKRQEAEARSESDSGSESDRGHNATNKSKKYFNSDDEGDVAAKDADALAQQEELALKLLSKMHS >Et_2B_020509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20882512:20884554:-1 gene:Et_2B_020509 transcript:Et_2B_020509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQMIIGPQMAVYNALASDAAFSRKVSETATRKVAAAQRKAPSAMTWARLCRDAAYAASG >Et_9A_062208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20708991:20711308:1 gene:Et_9A_062208 transcript:Et_9A_062208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVALPAPPVSSSAAAGLTLFAAVADLMEEAIGIGAAALAPAPPPLRAPAAGDDDSSAPPSPCSVASDCSSVATADFEGFPDAGAALALDDLVAVTSDTADSHRVAAAAARSVFAVECVPRWGLESICGRRPEMEDAAVIVPTFFHVPLWMVAGDAAIDGLDRASFRLPAHFFGVYDGHGGVQVANYCRDRIHSVLIEELHRAEEAVHGTDLNGLEAKKQWEKAFVDCFTRVDAEVGGNATTGSQPVAPDTVGSTAVVAVVCSSHIIVANCGDSRAVLCRGKQPLALSMDHKPNREDEYARIEAQGGKVIQWNGYRVLGVLAMSRSIGDRYLKPYIIPVPEVTVVARAKDDDCLILASDGLWDVLSNEEVCDAARKRILLWHKKNSDASSSSQRSDDVPDQAAQAAAEYLSKLALQKGSKDNITVVVVDLKSHRKLKSKT >Et_8B_059665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20392790:20394768:-1 gene:Et_8B_059665 transcript:Et_8B_059665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGELRLRLLLVVGAMLTLTAVASVGGERAAVPALFVFGDSLIDNGNNNNLASLAKANYFPYGIDFADGPTGRFCNGYTIVDELAGLLGLPLVPPYSQASTVQEVLQGVNYASAAAGILDDSGGNFVGRIPFNEQISNFESTVSQIAGAMGAAAASRRLARSILFVGMGSNDYLNNYLMPNYDTRRRYNPQQFADLLVRQYVAQLARLYSAGARKFVVAGVGAMGCIPSVLAQSATGQCSPEVDDLVRPFNANLRAMLAGGLDDHLPGARFTYLDNFRVFRAILGNPAAFGFAVVDRGCCGIGRNGGQITCLPFMPPCADRDRYVFWDAYHPTAAVNVIIARQAFHGAADVVAPINVRQLATL >Et_3A_024965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25785863:25787903:1 gene:Et_3A_024965 transcript:Et_3A_024965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATMPRLSATLLLVVLATVVVAVRAAPQVPCYFVFGDSLVDNGNNNDIASLARANYPPYGIDFAGGPTGRFSNGLTTVDAISRLLGFDDFIPPYAGANSDQLLSGVNFASAAAGIRDETGQQLGQRISFGGQLQNYQNAVQQLVSILGDEDSAANHLSQCIFTVGMGSNDYLNNYFMPTIYTTSQQYTPEQYADALIDQYSQQLRVLYNNGARKVALMGVGQVGCSPNELAQHSPDGATCVGQINSAIDIFNQKLVALVDQFNSLPGAHFTYINNYGIFEDILRSPGAHGLTVTNRGCCGVGRNNGQVTCLPFQTPCANRNEYLFWDAFHPSEAANILVGRRAYSAALPSDVHPVDLRTLAQL >Et_3B_031013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10136880:10138390:1 gene:Et_3B_031013 transcript:Et_3B_031013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAKPEVTEAAKMDLLEDDDEFEEFEIDQEWDEKEEGNEAVQQWEDDWDDDDVNDDFSLQLRKELESNAAKN >Et_1A_005804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14173983:14176521:1 gene:Et_1A_005804 transcript:Et_1A_005804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKLDLAFEKMVKKVMRWKSYSRWKHLPTTNSYRKQKLLDFFSGYDATRDIFAFLRSVAAILICSHWEEYEPRVSDLKEDYTLRDVSIKSSLCSIIYSELTQDLFFSLKAVVLSRSYTREDLHGQYSDDIMTALDYPHRRSIKRPPGRYSVKIRCSERYADRYTSWRTSFKKMVKTSTVAKDPEDNMPESTCWPCRCCCLLPPDRVVLRVRARSQMAITTSSNPTVVTSPPPSIDESDTGETRLGERHCHWSEFKSTDCPGNEFKS >Et_1B_010393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10009508:10016808:-1 gene:Et_1B_010393 transcript:Et_1B_010393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLRWRRLAGAATRAASTLTASECSPAALAPLSPPHRLLQERRKWEGSSSSSSGGSSSSSTDEPEPRRIRAEAHCPRCSKHMDILFSHRTPPPAAPAGAGGYQALNLCPNCRTAYFFRPHVLAPLQGTFVEIGRVRADLLDPTARARDPSFWEAIRASSSSRDDGDGSGVAVHVPPGPPFHPNLNVVRVAGGGGGGGGAGGSGEEGAGKEGWGGSNLGKDLPTPKEICKGLDKYVIGQDRAKKVLSVAVYNHYKRIYHQSIQKGSGADLGAIDGEADDDDNVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFAGYVGEDVESILYKLLTVADFNVQAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPVRANMRAGGISSAQVESGDLIAYGLIPEFIGRFPILVSLAALNEDQLVQVLTEPKNALGKQFRKLFSMNNVKLHFTDGALRIIAKKAMSKNTGARGLRTILENILMDSMYEIPDAKSGEKRIDAVVVDEEAVGSVDQPGCGAKILYGDGALDRYLSQSKALGDGAGSEADGEAERAIGM >Et_10B_003973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8708167:8710361:1 gene:Et_10B_003973 transcript:Et_10B_003973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKDGKHDAAAAAATGAPQPAEGAAQQPVQQAGAPQPAAPAEAARWGTRQMGPPAAPGAHPENQAAARWTAARGDQELPPYVIMGEQPAAAQPQPHHRRAGEKGDSPMEHILDFFNTWSRKAEELASNIWFNLKTAPSMSDAAMGKLSLGAKALSEGGFDKLYKQTFSSSPDEHLKKTFACYLSTATGPVAGTLYLTNMNVAFCSDRPLSFTAPSGQTAWSYYKVMIPLNKIATIEPVTMKESPPEKYVHIVTVDSHDFWFMGFVSYDKAVHNLVGAVSQRGEPATTAGQP >Et_5A_040611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10265086:10268033:1 gene:Et_5A_040611 transcript:Et_5A_040611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METVIAKLAEMIGDKSTNLIDFTMDTAFLRDELCTVNALLMKLEDEDELDPQVKDWRNQVRELGYDIEDCIDDFTHRVGSADANAGFIQKISHFVDTMRARLETAKQIKVLKTRLWEVNERRKRYKLERSISGSSSVVVVDPRLPALYREPANLVGIDGPRDELIRWVTDEKEERKVVSIIGSGGSGKTTLANEVYRTIKGHFACHVFVSVSQRPDMTRLLHSIRLKLGQKESSYTCEVKDLIDDIRTFLEHKRYFIIVDDLWDISTWDILRCAFPENNFRSRSIVTTRIENVAMACCTHRRCLYRLRPLSDQDSERLLFGRTLDSEYACSSEIKEVSTEILRKCGGLPLAIITVASIIASRPTRKKEDWEKILNSLGSEPGTNWMRQILNLSYKDLPRHLKACFLYIGMYPEDHIIQRVDLVRQWIAEGLVNNSHRQGVEDVANNYFDDLVSRNLIEPEETDYNGKVISCRVHDMMLDLIISKCIAENFISVVYDSRGIRELHNTKVRRFTLKLNGPKGGAITFDRAISSQPQVRSFALFGNIGRISECAALFPESKFLRVLILDLQEIDGRDRHEEVRIDLTSLSQLFLLRYLKIHARNSIVKLPTRIQGLHHLETLEIYCRLITYPSDMFYLPGLLHLIVTSHSQFPDGISDAKFLQTLQYFDLLENSLENIHGLGELMNLRILTIRCFPKFHLETDTGRSAMDAFHSSLGKLGSRNLKYLSVVRYPEICADTMSSISPPHHLETLDLLAWWFSRIPKWLAELHNLCSLDLCVREVMEEDVSILGALPSLMHLQFQVQEVPKEKIIIRGNSAHFFPVLRNFQFKCERRLSLQLLKFEAGAMPKLRRLQIETTEKLLEWYGGMPAGMEHLLGLKEICLYILRGQGTESERVAAESAFRSITQMHPSRPTITII >Et_3B_031196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20383459:20386666:1 gene:Et_3B_031196 transcript:Et_3B_031196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQRDSSVDPPPRPRGCCRACASSLCKIAKGFLHFGIVVTIFILICLGLNEDFSFGAKIVLESVSFPAIVCFYIYNSSNRKERIVSGVFLGVLAVVIVIAAPSAKGGAYIAIFVCNILAVLAYCVWKFYTLVQACCWGRHRVRSGSAAAAPPLLPVQLSEEAGGRGSPVQAQHEAFRIEELPQEFSHDEIRAVTGDFGSMVGRGGSAEVFRGVLDDGTAVAVKRIVNNKAVVGEADFLREVSIVANVHHRSLVRLLGYCLLRGGDGGEGQGQGQQYLVYPFFENGSLDWWLFNGEERRRLLPWPTRRRIAVDVARALAYLHNECHRQILHLDIKPANILLDGGLRAHVSDFGISMSIAWDLTSVDTCGRGTPGYMAPEIWANALSAKSDVYSYGVTLLELVAGRRCFDHPGGGVSAFEKPDLFPSVVWEKTARGELMEVADAAMARVDDAEVEAVVKVALCCVQPRKEKRPSMLAVVDMLEGRVAVDLPPESRLSVVNFRGQNS >Et_8A_057064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20766021:20767248:1 gene:Et_8A_057064 transcript:Et_8A_057064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASPEFQQAHVELCNLALSYLKPMALQCAIELGIPNAIHRLGGAASLADLLDTVPVPERRRPNLPRLMRYLSATGILALDPPSATGSGEAMYSLTPLSRLLVDDVLVNGCTSLGSFVLSQTTKYGVTAAMHLSEWFIKSDDDDGAADEMPFRMAHGGMDFWEAMREDPQTNGVFYAGMGSSSKLVLDFVVTNCGEVFDGISSLIDVGGGTGSAARAIARAFPHVKCSVLDLPNVISGIPPGDHDGTVQYIAGDMMSYIPPTDAVLLKYVMHDWNDEDCVKILTQCKKAIRSGESSRGKVVVIDTVVGSPEKDMFEAQVSFDMLMMVLTTGKERDEKEWSEIFANAGFKHYKMKPVLGFLSIIELYPELEI >Et_1A_005725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13097179:13110367:1 gene:Et_1A_005725 transcript:Et_1A_005725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKMLIKGIRSFDPDNKNVITFFRPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAVLENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTFKLKLENLQTVKDQAYKLHDHIAQDQKKSEASKAQMEQLKAKIQGIENEISHMETSLNELRRLQGQISTKATERSTLFTLQQQQYAALDEENEDTDDELKEWQTKFEERIALLETKISKLGREMDDARTNSSLRSKEKDAIAHDIVKLQAEADAHIFMKQERDSDIKKIFNKHNLGPIPESPFANDVAMNLTNRIKARLSDLENDLHDKKKSNDEQLEFLWQRYLKVNAQYSEVDGQIRSKSESLSGLSKRAKDKERERYEAEVEFSKFNMSRIDEREKHLQIEVERKSRAFGEKDYDAIIIQKRTEIYSLDQKLKTLQRDRDNINRDADDRGKASISKGYQKRAQSSLSEYNDLKSKSQEAEQQFKLAQMKVSDTRETLSTLRKNLDAKRRFLDSKIQSISQISADIESFPQILQDALKKRDVLKSKQIRINNIQEIYPSYEKLARERHACPCCERGFTPDEEDQFIKKQREKGANTVVGAQSLAIESAEAENLVQQLNRLNAVYEDYVKLRDESIPLAEKNLNKLLADESQKAQMFDDIVGVLAQVKMDRDAVEVLLPTADTIDRHVQEIQHLEKEVENLEYSLNSSGQGGKSLEEIQLELNSVQRARDTLSIEVDDLRDQQRMLNDDLSSAQVRWHTVREEKVKAESVVNIFRKVEAELVALDEEKEKVTMEKKVLEETLDPLSKEKDSLLQEYNASKQRFDQEYHSLAERKRNFQQELDALERLNMKIKAYLDSKKVEKLSALQEKLSLKKIELQNYEARKQEISAELNKSKELLQSQGQLKRNIDDNLKYRNTKAEVERLTREIEVLEERVLSIGSLSTIEADLKRHSQEKERLNSEFNRFQGTLSVYQSNISKHKQELKQAQYKDIEKRYTNQLLQLKTMEMANKDLDRYYNALDKALMRFHTMKMEEINKIIKELWQQTYRGQDIDCISINSDSEGAGTRSYSYRVVMHTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLATALLRIMESRKGQENFQLIVITHDERFAHLIGQRQLTEKYYRVSKDENQHSIIEAQEIFD >Et_3A_024546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21916132:21918985:1 gene:Et_3A_024546 transcript:Et_3A_024546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETRRQLLADGGDLDHGPPQDDENDRLWNILIVVSSLALACVVGLIAFEMVLVHEDALTPEPRLFMRLVAAEGLGVDDDDPWEPPVFELAVDVDTIPEETLLRGPRDVGGGDAMLRVSYRGVILAWGSVPRFTIDGKRQGRYAPGVVTVLAKAEGSVVRESMRRNLIRAELQALGSAEFVVDGELQGFGDHINCCKTYLCEGAACLHVDLGETDGLDPCAAEPRSPVFRLAVEADGVTERKRRGPCAGGGAGDDAMLLRVSYRGVILAWGRVPEFCVDGRSMQGSSSATVARVVALSEGSVLRAEMRNMIHAETKAFGNAEFDVDGEIPGLGHLCCKIYLYADESAADALPPCTYGLQLALVEEDAVPPEPRLFMTLVAVEGLGADDVDDDPWEPPVFELAVDVDTILEETLLRGPRDVGGGEAMLRVSYRGVILAWGSVPRFTIDGKRQGRYALGVATVLAKAAGSVVREVMRKLIRAELQALGSAEFVVDGELRGFGRHISCRTYLFEGDPTLGLPPCSVQRK >Et_1A_005017.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22010772:22010972:1 gene:Et_1A_005017 transcript:Et_1A_005017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl33 MGKGKDVRIRVILECISCVRKGTNKESTGISRYSTQKNRHNTPGQLELRKFCRYCRKHTTHNEIKK >Et_2B_022800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5454173:5456157:-1 gene:Et_2B_022800 transcript:Et_2B_022800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAYWESSRPRGGDADEPDDFDEFDPTPYGGGYDLFVAFGRPLPPSEETCYPCSAPSTSYEAPHYSASEPSPYGHHAKPQPAYGFRPQQEQQPSYGGGYGSRPPPAAEEESGGYGSGYGFGYGRKKPQEEEQSYGSGYGSGYGRKPQAEESYGSGGYGSGYGGQARPDESYGSAGYGSGYGSRPQQEESYGSGYGRKPQVEESYGSGYGRKPQVEESYGSEYSSGYGRKPQVEESYGSGYGSRPQGGEEYGSGGYGRKTQEESYGSSGYGYGKKTEEEGYGGSGYGYGRKPSEEDEGAYGSGGYRKPKPYGEEETQGSYGYGEKPSYQSGGYERPSYGGGEEYQGSYGRKKHDDDNSDDEKKQRHQKHHHHRRHDYDD >Et_2B_020017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16125336:16129250:1 gene:Et_2B_020017 transcript:Et_2B_020017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ESKMGVISTVLGFSGFGFGFSAGIVIGYFIFIYVQPSDVKDVKVRPLVEYDSKSLEGILPEIPMWVKNPDYDRIDWLNRFVELMWPFLDKAICRMAQDIAKPIIAENTEKYKIDSVEFESLTLGSLPPTFQGMKVYVTEEQELIMEPSVKWAANPNVTVVVKAYGLKATVQIVDLQVFASPRITLKPLVSTFPCFAKILVSLMEKPYVDFGLKLLGADLMAIPGLYRFVQETIKKQVASMYLWPKTLEVAIMDPSKASKKPVGILLVKVVRAQNLRKKDLLGKSDPYVKLKMSDDKLPSKKTTVKRSNLNPEWNEDFKFVVTDPENQALEINVGKHERMGMNRVPLKELPPDETKVTTINLLKTMDPNDVQNEKSRGQLTVELTYKPFKEEDMEQEGTEGVDTIEKAPDGTPAGGGLLYVIVHEAQDLEGKHHTNPYAKIIFKGEEKKTKVIKKNRDPRWEDEFEFVCEEPPVNDKLHVEVLSKAPKKGLIHGKETLGYIDVSLADVISNKRINEKYHLIDSKNGQIQIELQWRTS >Et_1A_008267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5855141:5857208:-1 gene:Et_1A_008267 transcript:Et_1A_008267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGNSEMRVVMLGLDAAGKTTILYKLHIGEIYVVDSLDRERIGKARAEFQKGAMTPMEVCEGLGLYDLKNRIWHIQGSCALKGDGLYEGLDWLASTLKELQASGRLPSGGTSLF >Et_6A_046712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19736521:19738520:-1 gene:Et_6A_046712 transcript:Et_6A_046712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPPSSSAAAAGGGDVEDPFFDYDIVIPPDYLLELDHDDGVGCAYGTAAEDAQACTGGGEEDERLSLVYKGFSYVFDSVPPQKVETILSFLNGFDITPQSTRPQLTHLVQPIVVPQDFDRTAAVSRYREKRKTTLKFDVKADYSIRREIASSCDNCRASSEVTPMMRRGPNGYRTFCNACGLMWAKTVSAPLIPVITNIYIVAIGTFIMDRFHASVIHY >Et_6B_049735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9786339:9803142:-1 gene:Et_6B_049735 transcript:Et_6B_049735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQQQHGHATTGVDAYGNPVAPVHGVGHAPAVGGGAPVAGTGAQVQPVAEQRSRGILHRSSSSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGRKGNQQQPAATTGGAYGHQGHAGVTDTYGQQGHAGTYGQPHTGVTDTYGHTGTTGTYGQPHTGTTGTYGQPAHAGVTGPMEHQGQPGHATARVDENGNPVVAGHGTTGGEQMQPMRDDHKTDGVLRRSGSSSSSSSSEDDGQGGRRKKGIKEKIKEKLPGGNKDNQQHATATGTTGTGTHGTTAGTTGEKKGVGQPGQATASADEYWHGTTGATGGEQMQPMRDDHKTGGVLRRSGSSSSSSSSEDDGQGGRRKKGIKEKIKEKLPGGNEDNQQHATATGASHAGTGAHTTTADGAGGEKKGRVSEMAHFQQHGHEQASRVDEYGNPVPGGILGQTGGIGRHHDARGAGGYGRQAGYGPTGTGVHDTAGYGGSGQPAYGATGAGIHDAGGVGGYGPTGAGPHATAGLTGAGHTGAMHGTHGAGGLGTGGHTGGGVLGGMGTHGARGTDHTGLLGATGIHGPGHTGGMHGGAATGLGTGIAATHGAEHKAGGGILRRSGSSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGRKDQTAATGGYAQDSAYTGTGGYAQQGAGEKKGMVEKIKEKLPGGGHKDEYGAGQQHTTATGGYAPGRTGTTDTYGAEGTHEKKGIMEKIKEKLPGSH >Et_4A_035705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:417250:420227:1 gene:Et_4A_035705 transcript:Et_4A_035705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHASRFKKICVFCGSSSGKKTSYRDAAIELAKELVSRDIDLVYGGGSIGLMGLVSQAVYHGGRHVIGVIPKTLMTNEVTGETVGEVRPVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHRKPVGLLNVDGYYNSLLTFIDQAVEEGFVSPSARRIIISAPTAQELIDKLEEYVPYYDRVASGLNWETRIIYDAADDAGSSVSA >Et_6A_047119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25536295:25541946:1 gene:Et_6A_047119 transcript:Et_6A_047119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEHEVAVAAAVVEDVMRLHGEGGSGAGVGGGETVGAWRNIDIAWRKSEEAAIRRYEAANWLRRIVGVVCAKDLAEEPSEEEFRLGLRNGIILCNALNKVQHGTVPKVVEVPSDSTVPTDGAALCAYQHFENVRNFVMGLQDLGLPTFEASDLEKGGKGVRVVDCVLALKSFSEAKQGGKQSSSFKHGGTVKPLSGKYFVRKNTEPFMKAMIRSHSAELLRDGISQEQIGLDFSLESTETAAESIRMLVQTVLTDKKPEEIPSIVESLLCKVIHEFEQRIPSQNDSACFVKVGKEDDNVVHMKEDVNTATLNDDSPEKLVQPKLETNFDQQQKQIQDLKNNISTIKSGMEDFKLLYSEDLTKLGNHLRVISHAASGYHKLVEENRKLYNQIQDLRGNIRVYCRVRPFLPGKNGPKVLTDEGLGVNYRALNDLFNIQKQRKDTFRYEISVQMIEIYNEQVRDLLQNVPDANIVTVTSTSDVIELMDLGQKNRAVCSTAMNDRSSRSHSCLTVHVQGRDLTSGTVLRGCMHLVDLAGSERVDKSEVVGDRLKEAQHINKSLAALGDVIASLAHKNTHVPYRNSKLTQFLQDSLGGQAKTLMFVHIAPEPDAVSESISTLKFAERVATVELGAAKSNKEGAEVKELKEQIACLRAALARKDGESDHIRSTQSSPDVYKMRMSNASHASRHSADEDGNVEKESALGDWADHSHFGSSNSLPELGPDGTQDLPFYQRSSPEQQWSWSGSVATEDSDDFEIATSCSSEQDCVRPASAPKASGAANGGASAGRKGQPKSLKNSDIRGVNPAKKTSLQKKLSGPSPTPIKKGSVEGKRTPNGKVTAKK >Et_5A_041415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22323451:22327158:1 gene:Et_5A_041415 transcript:Et_5A_041415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCGYSPEKRYGKIHCGSQGGHRRRCGRREPPLLLLTRDGVPDHKSILVTRTDPVPPADEDAAMARVKRAPVAAVGLRRAEGGRGNESGDVVEMAEAPEVAEESQHGGRAVELTESAAEAGLGDEAAPPLADEGGLDEARRLVRGEAEEDLLDQLVQQRRRRHAGGAKGWVAARGELDKAPWCCWVLGFGPACGVRRVSARGKTELKVATDFLGILPNGTDGRQGSLDRKGEMKSELVEQGSNRSRSSPTKEEETQLAYLHRLRKKTQLAYIYREHSHKSSKIIHGQQLRGKPDEYGTS >Et_8B_060792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7659110:7663138:-1 gene:Et_8B_060792 transcript:Et_8B_060792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEDVAEQQRIPGLLKVDLRGVESTSRTLATPSNREHFSVLFGSRTGAAVRALDELDEPGRPPLVYNPSSPDEYSVFRLFTEGSKGRRRRDHHDREVTRAGDAEEPTAPHKGSRGRGSTAQLELSRVTSPIGALDLDSVPFRHRYGHGKSGEEYELVLTISFGVDGCSVDDGGEERGECCKVSSRNRSSAPLEHLPPCSSIKKKLQLSPPLLPPKSGAALPSSKTRAVLLPRKPAPPCSQRPTPPLFEQKAFNVSLSLRPAPAPKRKSTYSLKLQGLYCRGWSPSTSSSSGLTAKPSLGIDTNCTDSRSRLLGVLTRWTQAGTLPFVTTYTQDKILLYRSGQQHGALNTDAFARLKREIQIKIKGNFTVSISPATTATVSGSGGTGTQLPFSVCTSRPSTWCCTTTVSTVQSLCTCIETSVAPIDGSLP >Et_8B_059585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19487222:19490246:-1 gene:Et_8B_059585 transcript:Et_8B_059585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFDARRKPHNVGKVIAALVLTALCVFILKQSPGFGGNSVFSRREPGVTHVLVTGGAGYIGSHAALRLLKDNYRVTIVDNLSRGNLGAVKVLQELFPQPGRLQFIYADLGDQKSVNKIFAENAFDAVMHFAAVAYVGESTMEPLRYYHNITSNTLLVLEAMSSHGVKTLIYSSTCATYGEPEKMPITEATPQFPINPYGKAKKMAEDIILDFSKSADMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGVIPGLKVKGTDYPTADGTCIRDYIDVTDLVDAHVKALNKAEPGKVGIYNVGTGRGRSVKEFVDACKKATGIDIKIEYLSRRPGDYAEVYSDPTKINKELNWTAQYTDLKESLSVAWRWQKSHPHGYGTK >Et_3B_029973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29534882:29538052:-1 gene:Et_3B_029973 transcript:Et_3B_029973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVNALRYLSKEDFRVLTAVEMGMRNHEIVPAELVDRIAGLKHGGTYKVLRNLLKNKLVHHDAAKYDGYRLTYLGYDFLAIKTLVNRGVFASVGRQIGVGKESDIFEVATEDGTVLAMKLHRLGRTSFRAVKSKRDYLVHRRSFNWLYLSRLAALKEFAFMKALGDHGFPVPTAVDCNRHCVIMSLVQGYPLVQVKELQNPDDVFDTILGLIVRLAEHGLIHCDFNEFNIMIDDDEKVTVIDFPQMVSVSHRNAQMFFDRDIECIYKFFNKRFNLTSEKNEEQNGFESDGEESARPSFLSVKKVAGSLDKELAASGFTRKEQVEMDKFIEEDTEEHNSSSDDDSTSDKDIDEIGDAVPIDSLKIEDQDKGKGTMNSKIQRQACKW >Et_3A_027297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7126136:7126911:1 gene:Et_3A_027297 transcript:Et_3A_027297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTAAAAAALSLTLFIDAKAPRVLFAEAGKDVVDFICSLLVLPVGVAGKLLGKESMGGIGSVHASVDDGDFVLPGVAKYGVLQPTVVSPASAILLPPAPASEQPKRYRCANSCKSRSSRFIFSVDVLGISCPICGGQMATPLQPAGAGSRICRERVRAGRDDLTVKPMSALSGVTISAISRWRMCCSAATRCLGDSLKLSMTPCKTVLSDVFLGKKAPENRA >Et_1B_012177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29730790:29732928:1 gene:Et_1B_012177 transcript:Et_1B_012177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KRPRHHQTTRRTNPAKRRRRAINPSSTPPPPPPIMAMAASSRVLWASRAAAYLRISTFPRAFSTVLKDLKYADTHEWVKVEGESATVGITDHAQDHLGDVVYVELPEVGSSVSQGNNFGAVESVKATSDINSPVSGEVVEVNQKLSEEPGLVNASPYDKGWIIKVKLSDSGELNSLMDDEKYSKFCEEEDSH >Et_8A_056138.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:24033566:24034171:1 gene:Et_8A_056138 transcript:Et_8A_056138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAMRMSTTKTTTARKEATTAPLVRWQKPWNCMQMGTQLARRFPQCATYTMAAAAAPPAVSSSIITTSHTSRNSRTPPALGRRMHTAEKGSDSTRYPAAMALATMKKVKAGKSSNLAWNWKNCVNTDSLSSDVPVEMAAASVGPNAATLSKKSITARHRRNPSAPDRRSNGSPAVLRGAVALVPAA >Et_2B_020568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21433406:21435787:1 gene:Et_2B_020568 transcript:Et_2B_020568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YTVVCLQPVEFGWRITLGSLMGFFAAAFGSIGAVGGGGIFVPMLSLIIGFDPKSSTAVSKCMIMGAAVSTVYCNLKLKHPTLDMPLIDYDLTLLIQPLLMLGISIGVICNVIFPEWLITALLIIVMLVTSTKAFLRGVDTWKNETITKRPKLFLSTFVNISGQEPEYRTTIPTQKSDAADTNKASYEATSIMKNVCWKEIGLLVFVWTAFLALQITKNYTASCSTLYWVLNFLQIPVSLGVSMYEVYGLVTEKRVLSSKGSQPLQLYVYCLFGIIAGLVGGLLGLGGAYIMAPLFIELGIPPQVASATATFAMMFSSSLSVVEYYLLHRFPVPYAAYFTTVAFIAPLVTQHVARRLINWLGRVSLIIFVLASMIFISALSLGGVGISNIIHNVERKKIMGFENLCTYGV >Et_5A_041101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18342078:18344844:-1 gene:Et_5A_041101 transcript:Et_5A_041101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPGGEDGGGKGEWLIYAFVARGTAVLAEYTEFTGNFPAIAAQCLQRLPAGSSGSGAPARFSYACDRHTFNFLLHRGYAYCVVAKESVPKNVSVAFLERLKDDFMKKYGGGKADTALAKSLNKEYGPVIKQHIQYVLDHSDEIDKTLKVQAQVSEVKNIMLENIEKTLGRGEKLSELQDKTSDLRTHAQEFKKQGVKIRRKTWLQNMKIKLVILGILLLLVLIVWVSVCQGFDCTKHET >Et_2B_019886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14887768:14895011:-1 gene:Et_2B_019886 transcript:Et_2B_019886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVASFLSSLLAGGDGGGSTEPAATVKSIFVYPIKSCRGISVPQAPITDTGFRWDRQWMLINSKGRALTQRVEARLALVQVEMPTEAFAEDWQPTPEDHMVIKAPGMDPLRIPLVAHRTTVYDVSVWEWSGSAYDEGVEAAEWFTTFFGNPTRLVRFKEVSETRLTDPDYARGYKTMFSDGFPFLIASQGSLDALNKILEEPVPINRFRPNILVDGCRPYSEDLWKTVKINKLTFLGVKLCGRCKVPTVDQDNGIPHPTEPTETLQTFRSGVLWAKSSMQGISVGKWQWKDHQVPMEKAVSFLSSVLGGGGGGSPEPAATVKSILIYPIKSCRGFAVPQAPITSTGFRWDRQWLVVNSKGRAYTQRVEPKMALVEVEMPPEAFTEDWQPTADAHLVIRAPGMDPLKIPLAAELSTIDDVSVWEWSGSAYDEGAEAAEWFSTYFGKPSRLVRFKEVSEIRPTDPNYAQGYKIMFSDCFPFLIASQGSLDALNEILKEPVPMNRFRPNILVDGCHPYSEDLWKTVKINNLTFQGVKLCNRCKVPTINQDNGIPGTEPTETLLTFRSDEVLRPSHKNTRQVYFGQNLVCKESLSAKGKGKIIKVGDPVYVLQSFPSSNQAPA >Et_3B_030051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30071738:30076094:-1 gene:Et_3B_030051 transcript:Et_3B_030051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLAPLQDLRDILSDRLRPWSRSAEFWVRAADIYTSYKVCQLRAGFVKDENEREALWEQQHEIGAEKMYSLCSELGGLFLKAAQILGKPDLAPMAWVKRLVTLCDQAPATPFDVVRDVVEKQFGQNFDDIFECFDVEPVGSASIAQVHRARLKSSNTDVAVKVQHPGAERLMMVDIRNMQAFAMFLQKYDINFDLYSPTKEMEKQICYEFDFVREAKAMDRIREFLRVTNKKPPVMVPRVIPGMVSREVLVMEFIEGTPIMNLGHEMAKRGIDPGGKVALLDYGQVKEMPEDLRIGYANLVVAMADDDFLRAKESFRELGIKTWAIADNELDELFQLSLRMFDTRLPPGVTVMSPFADDSSLTKVGVQSFPEELFSVLRTIQLLRGLTVGMGLSFSCAQQWRPIAEEALLKAGRLRAAESRKPRSCFIRRLFW >Et_2A_016489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25259593:25261007:1 gene:Et_2A_016489 transcript:Et_2A_016489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKEHIISVSLAFLGILCTIIVGLVLWCTRKRLKRVPQTDLWLLVPPRRCSRVELTMVEEIGKGGFGPVYRGYLSGHDCHVAIKMHSGESSEQGRREFWAEVRTMTSLRHRNIVQLLGWCNDPGGDLMLVYEFMSNGSLDKHLHDPERLLTWPDSYKILLGLGSAVLYLHTECEQCVVHGDIKPANIMLDPSGNAKLGDFGQARLLDHGADSLTTQVITGTAGYIDPEFINSQRPSLESDVYSFGVVLLEIACGKRPTSAVIQSNDGASLLLLSWVRHMYRRDMILGTADRRLDGEFDKRQMMRVLITGLWCVQGDRSRRPSIAQAMDLLRRDDGVLPVVDLAMDSHTHESVRSLEERAYRDLSAEDSSASADSPNETAYYYTSKDSTFLAVDW >Et_1A_007751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37890369:37906205:-1 gene:Et_1A_007751 transcript:Et_1A_007751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIFGDPAADACLAIAMFCVLAASVVIWEACAFAAMAALLVGAIWCLVPKRRASTVVRAAATAASVGDGLTDAFIEGALPASPHERRRVDDPAGGVTCSVCLEDVRGGETVRSLPECRHLFHVGCIDVWLHLHATCPLCRSDLSPRGRALAFSALAALLLSVAGCFAPKRWFRRRRTSSTELVVTVTATGAARPRALANGPPAFAYQCPLEQGRGGGGEPAATASCVVCSVCLEDVRGGEMVRQVPACRHIFHVECIDMWLHSHRTCPMCRCMVSPPPKGSPKAEEAAASDHASAEELPPVYSDRGGDDEAGDICRNHGYYGIAASAVTVLLFCALAAAVSIWMAFLCAGLALVAFSVGSYLAPESWRVRPNDDAGNSEAQAAADRAEVALAQQRQFGLKKAVIDALPTFPYAQKDGADGGDLDLEAGGDETCSVCLDDVQAGDMVRQMPACKHLFHVECIDMWLHSHRTCPVCRASMSTLSAASSASGDEDDDVEYRACYGVFVACVSLLLFSVLAGTAGVIKACAVTGFAVVFFGVFGWITVPGGTPHGGDNDAASASALRRAGRAIGLVSAAAVHVPPAFAYVDCPADGESGKAGAIPLCAVCLEDVQRGETVRRLPACGHLFHKECVDMWLHSHTTCPLCRCDLSPRNNRAAKTVTAAAAAAQTSSTDVLPPMLNQLRRRPPPPSPPADDGYLACYGLVVVCASLLLLTILAATVSITKACALAGAIGVSFGLVGCLARWCADDGAAAVGVPVPPPTARPCRVAEAAIDVLPAFAYTRSTDAAEGGGGGSSKSGRRALCAVCLEDVQGGEMVRQLPACRHLFHVGCIDMWLHSHSTCPLCRCDVWARQGDAKPTPEADPPDNALPPVVGRIVVLSLFLVLWLSISACVYPAFCGELFPWSALRRCLAAPRRAVLRCLRARPERDRELTRSNSGGRRESVLPEHLVVHGQGGHGLHALPREGPVALAGRVRAAADNYPAYEQPDGGGVSSDCAVCLGGVEKGEMVRRLPACLHVFHRHCIDQWLDGHSTCPVCRSDVFAPVPGQPAV >Et_2B_021741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:582534:583126:-1 gene:Et_2B_021741 transcript:Et_2B_021741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ADGRLAAGTADNNNIRHDDLVAKACANASWYYSEKHMSQEFCESTLRSDKRSVHAEIPDDLAAIALDLLEHAAADAAARVAGQGMIPEIYLEYCRIDYIVLAQTIPCAGYGGGKGFVVQDPNFYFLCSDKLRNAANDCREVISLVMTTIPGMPEDLAKQVEEVSHRASLAKAMVEQMVGVIDDPWLEKYRRSI >Et_3B_028650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17732709:17735296:1 gene:Et_3B_028650 transcript:Et_3B_028650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMDRPEENTNVPSCDAYFEAIQSKKKLPLSLQESLTAAFAQIPVSSFPDVPAGRVIEIPGDTSILDAVRILSEHNIRAAPVRSIVESYRWSPFVPVTLDSSMLTVLLLLSKYRLRNVPVIEPDKPVIRNFITQAGVVKGLQQCKGRDWFDYISALPLSDLGLPFMSIDEVITVNSDDLILEAFKCMKDNKIGGVPVVEGPNRKLVGSVSIRDIRFLLLRPDLFSNFRQLTIIEFMKTLGSTLPDSGENCLVKPPPTCGPDASLGSVIDSIASRITHRIYVVDGDLEVIGVVTLRDVISCFIHEPPGYCDSYLASAMEKIDGKSSVSVEKS >Et_4B_039904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5672557:5676348:-1 gene:Et_4B_039904 transcript:Et_4B_039904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPVPTRPRSNLFRRRRGAAPLLVDQAAAVAAKRPAESSTSASSCFYSEVISTSSTSLAAYQRPEKRPRIQGDDEARPAGSECSEVIDGARARPAEVEVSESSCLGSVIESDLTCPEKLADEAEATEYSSAGDALTPLEHDDEALSGPYRSSDYSLSPLFDLDSPITDDEDDDTAPSVTFSIFLAFAKQFVPCVHPEAHAAADSPPDLLLPVSVYAMGKRFEDLDDEQSYERFRRRERRGVLAFDYTEVYSSMPGSYCPLVVEQRVVMVNWIIEHSHVMKLQPETVFMGVGLMDRFLTRGYVKGLRNLQLLGIACITLATRIEENQPYNCVLQKTFKVGFNTYTRSEVVAMEWLVQEVLNFQCFVTTTHHFLWFYLKAAKADDKVEDLAKYLALLSLMDHKQLSFWPSTVAAAVVALACLATGKESSCHLVMETHMRTKNDDLPECLMSLDWLINYAS >Et_2B_020120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1754104:1758243:-1 gene:Et_2B_020120 transcript:Et_2B_020120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRYRAYPPPSSHGRDPRSDYPGYLPPESTLPSYYPSRAPIVPGDYASRSPILPGDYASRAPILPGDYASRAPILPGDYASRAPLLPDDYTLRAPVLAGGPDILRNDVPSHSRAYGVDGPAVVTNPALPGVSELAAGARAPGHLEDPPLPGRSGAPAKAPVEDSSLVRRSSSLGKGASIPDVEHHSPLPNLDGPSEDESNILFVDGLPSDCTRREVAHLFRPFVGFKDIRVVHKEPRRSGDKAYVLCFVEFENAKYAFTAMEALQDYRFDDRKPDAPVLKIHFARFPFRLPNAEDDKKRRIVH >Et_4B_036150.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28950720:28951600:1 gene:Et_4B_036150 transcript:Et_4B_036150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCECDECCGCFGVYRPFALGFCIGVAILAAVAVIVVLLLGYGRVRHLSIDVEDASLARFELATTSPATAVAYNLTLTLAVRNRNWAMGATFRSLEADYRFDGQRFDRVAVVASYALPARKTAVFRLVSGDDGALVELGSAGVKEYRKEKREGVFDIEVGLSGEVRYQLHRTWCRLEAKCPLRLQLRPEDGAEVFQKTTCDVLKSSQRGC >Et_7A_052236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5928402:5929966:-1 gene:Et_7A_052236 transcript:Et_7A_052236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYVWLDRAVRESNMSTARTGLPAIRISSDTSGFPYTHRRGHRSAIRISRIVSETFRLGLPGVRWFVMGDDDTVFFPDNLLAVLNKFDHRQPYYIGSLSESHLQNIYFSYGMAYGGGGFAISRPLAEALARMQDGCLRRYPALYGSDDRIQACMAELGVPLTKHPGFHQYDVYGDLLGLLASHPVAPLVTLHHLDVVKPLFPGARSRPAAVRRLFDGPVKLDSAGVMQQSICYDAANRWTVSVAWGFAVLVARGIMSPREMEMPARTFLNWYRRADYTAYAFNTRPLARSPCQKPAVYYLSTARRADGRGGETTVTRYERWRHPNETRPACRWDIADPDAHLDHVVVLKKPDPGLWDRSPRRNCCRVLSSPKEGEKGEKTMTIDVGVCREGEFSQVAGV >Et_4B_040033.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:838165:838443:-1 gene:Et_4B_040033 transcript:Et_4B_040033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSAAMDHRAAPSLSSEEKRRIERVARCVARDRDGDLTEKLLLRLLAITRNGRRWGFLAPDHPLHPYYLQQKVSERCRILRPRPTAAADR >Et_1A_005323.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:40435277:40435447:-1 gene:Et_1A_005323 transcript:Et_1A_005323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVWKERNARVFESTLSTTAALMDTCKAEGKLWISAGAKRLGEIVSTTEQRICRG >Et_3B_029475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25350829:25351615:1 gene:Et_3B_029475 transcript:Et_3B_029475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPLPGKRGGSEPGPARARSEEEEAPAGPGAPCGACKFLRRRCVPGCVFAPHFGGSREHGGAAQFAAVHKVFGASNVAKMLSRVPVALRRDAAGTVCYEAQARVADPVYGCVGTILALQHQVALAQAELSIVQTELLNRRLALATVHPSTLPGASPATSQMAVNFGALPQTVDFIDIDHSLRGLPPPLLPLQPPQQEEEKEGDGQIMNVSWENMNSCD >Et_10A_001518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4507501:4511329:1 gene:Et_10A_001518 transcript:Et_10A_001518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAGAGDAAALAPGPASASGADEEEERALLPASSPDDGDDGDEDLEERAYEAAEKVIVSISDGPDLEDGGGDAALYSATGAAPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAVAGDTLLWLLMWATAMGLLVQLLAARLGVATGRHLAELCRDEYPDWARRALWLMAEVAMVGADIQEVIGSAIAIKILSRGYLPLWAGVVITALDCFIFLSLENYGVRKLEGVFAFLIATMAISFAWMFTDTKPNGKDLLIGILVPKLSSRTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDQNKEYQVREALRYYSIESTVALAVSFMINLFVTTVFAKGFYGSKIAGNIGLENAGQYLQEKFGGGGFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWIRALITRSFAILPTIIVALYFNASDSALDVLNEWLNVLQSIQIPFALIPLITLVSKEQVMGVFKIGPKTQAVTWTVATLLITINGYLLMDFFSSEIRGLLSGSLLCIGVLIYVSFVAYLILRGTELSEKILKTVRKSFS >Et_5A_042402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9617809:9618525:-1 gene:Et_5A_042402 transcript:Et_5A_042402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMTVPSAAMRRLRRELDAGRMRASLAPARAITKKPSLPPLCPAPTASPAPGHARPSPKGAHVRVRTPVGTLCTGQRLMLWLSAVVVSAAEEEDGYLGVMEKEMRSKSDAILASWQLAVYRDCDIAITGHLI >Et_3B_027720.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:15476363:15476470:1 gene:Et_3B_027720 transcript:Et_3B_027720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHAPLLARLIDEPARSPGLLAPVLSRCITHQQQ >Et_2A_018144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13948827:13955419:1 gene:Et_2A_018144 transcript:Et_2A_018144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAMVNAVGGKDYPGKLTLFVFFTSFIAATGGLIFGYDIGISGGVTSMDPFLEKFFPEVFRKKQMADKSNQYCKYDNQLLQTFTSSLYLAALVASFFAATVTRVLGRKWSMFGGGLTFLIGAALNGAAENVTMLIIGRILLGVGIGFANQSVPVYLSEMAPARLRGMLNIGFQLMITIGILTAELINYGTSKMKSGHGWRISLALAAVPAAVITLGGLFLPDTPNSLLERGHADEALRMLRHIRGGTEVDVGEEYADLVAASEAARQVRHPWRNILRRRYRAQLTMAVAIPFFQQLTGINVINFYAPVLFDTLGFGGDASLVSAVITGLTMVLASLVSMFTVDRLGRRVGFLQGGVQMVVCQVVIGTLIAVRFGTSGIGEIPRGYGVAVVVFICAYVAGFAWSWGALGWLVPSEIFPLEIRPAGQSINVSVNMLFTFVIAQAFLTMLCRLKFGLFYFLAGWVVIMTVFVALFLPETKNVPIEDMILVWKSHWFWGKFITDDDDIRVKTADA >Et_4B_039125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7995591:7996478:-1 gene:Et_4B_039125 transcript:Et_4B_039125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSGVTRCLLLVSLALLPALSIGADSIGSYCAGNSHAGNNKAVASINYVLADLVATASTGGFATSSAGKGDRAIYGLAQCRRDVSAGDCAACLADAAKQLPSACSYSAHARIWYDYCFVRYENADFIGQTDTDAGVILVNTQAMDNAKAFEKAVGKTVGKATAQASAAGSAGLGRDKEQYTPFVSLYALAQCTQDLAPLACAQCLSTAVSQFGQYCGAQQGCQINYSSCRVRYEIYPFYFPLAAGARTAATDMTKYNKIVVHP >Et_1A_006762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27295083:27296511:1 gene:Et_1A_006762 transcript:Et_1A_006762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKAMTQAPLVRTGAGTDAASLPRIQPRRRLRAAVLRLLHPLRGPPPSSPHLCYRTRGGGFSLGVDLGGTRTGLAIVRGVTLPRPLTVLKLRGQKLEMMLLDMAEQQEADELIIGLPVSADGSDTQQSNKVRSVVGRLAVQAAERGLRVYLQDEYGTSVDALELMISRGVKKSARDVKSDAYAAVMILDRYFASSGQGANIVLPRQPELQDKLIARSEIDAEVY >Et_1B_011172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18288218:18289519:1 gene:Et_1B_011172 transcript:Et_1B_011172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNAAFELCYEFCRFWVPKWKIEKTKVKVVFRLQFHATNIPSAGWDKLFLSFISADTGKVTAKTNKANVRNGSCKWPDPIYEATRLLQDPRTKTYDDKLYKLVVAMVRSSNYSLFLISFDISLHVL >Et_3A_027278.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:6782807:6783145:1 gene:Et_3A_027278 transcript:Et_3A_027278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAADGPSSLSSSSHTPTAVVNQTAPATTTTANTSGMMTSGGVRPNVPAGRQTTSHGGPSSGRPTLVGSPPSEHARDSGVPGPRAHTNASSGANAATATAVVATAVHVAA >Et_7B_053633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10566429:10569040:1 gene:Et_7B_053633 transcript:Et_7B_053633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGGGGQEAFEARVKRLFGSGLFDAVPGSSFPAASWSVASGDVERQRWARPSEARDEEEDAAAAAADRGDTPCASAFYDANGCLRGRRRRRRQDFEDDLGELGEDDDDEEEDEEEERRKTAEEDEEEGVRVSIGLDPTLDREEEEDKYDRAAFGREDATDRVYMKDIMDDGINMSINSIVPDLLDDSIEEVYRFSKDPRADIRAASARLREDDGSAKDGDSRTIGMEAKKAEEDANVKPILKRKEEQIDSKPRKRVRFDASVKDPESEISINYGDSSMVPQSMDVVTEKENTSTASESPGVPDFVKNPTKYTRYTLDAADCNDESNRRALADLHDLLGRSDPNKTRHEAPVEIPSSVTFIPRKKSVDAMVVDEGPKTSDSNSSVTGMMAGGGDETDQCEMDEDDVKASAPAPMHAKTSSRRYRASRIDNDDNYLLSSSLVKWRLQLISNSVQGIRHLAKHPKQGARLVLPLLPVQLAVDVLRLQLRCHHPAAPVQDLDQLALQVSGGSSRGLIAAALPLMSTL >Et_1A_008769.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12224921:12226480:-1 gene:Et_1A_008769 transcript:Et_1A_008769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTGRSHHQLVLALCVAAAALIVGAAAGDAPVAQELRRGFSAAHDPSYSQFQPVLGDPTGAFALGFLRVNTTMLDLAVLHVPSSFPLWRAIPDRPAPWSAAAALSFDGGGLVLTEKAANKVLWSSTAVSGAAGGGDRAVLLNTSNLQVIQSGGGVVWQSFDHPSESIVQGQNLTSAAALHSFDRRFSMRLGTNYFALYIEPLPPTRGIAAAMYWRHTALEAKAQIVAGGGPTYARVEPDGFLAMYQKEGPPADVLSFDTFNRGVRAPRRMTLEPDGNLRAYYWDGARWALDYTAITEPCELPTTCGAYSVCAPPNGRCACLLPNATDGSGASGCAAAGVGVSSSSAAGLCGATGGEVGGLYTAVRRNGVEPANKELLDFEHAATAADCEARCDRNCTCWGAVYSNGTGYCYLMDYPAQVLVATDDRKVGYFKVRTPEDDAARGGRGASRAKAALLGVGVAAVVAAAAFGAYRLWESRRRTAADARGQMSAAADGLSPGPYKNLGSFSSVELTNSFRR >Et_10B_003395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19446944:19447905:1 gene:Et_10B_003395 transcript:Et_10B_003395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAELYPVPQGRGFVGGREDKPLIKKKKKREDKPCQNHHNSSAPIRFSPRSDSIGLDPNNTDLRPRRHHAAARLVRVHFLDADATDDDQRRRPDRRCVQEIDLLPSSAHPLSSPASQKRRKSTKRGGEREQGRRFRGVRWRPWGKWSAEIRDPGLGTKRWLGTFDTAEEAAAVYDSAADQPPSPTSSIPRHLHGCPSSSSTSGAVPEAESSTASPPSPGSSVVDADEEVTGMWFQDEPPLGFMDFGLPEEGGLRGPAPAACEFGDLGDLDDLLFSPELLAV >Et_3A_027309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7331072:7335931:1 gene:Et_3A_027309 transcript:Et_3A_027309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGLSMGVPKQRWTAEEEAALRAGVARHGVGNWRTILKDPEFSSTLCYRSNVDLKDKWRNMNVIVTASSSREKGRTGVKKTRPPPKNNDQSMTISTITSDVDDEIVDAKPIASVSSEAWNSSNPKKSNSRLDNVILEAIKNLKEPTGSHRTTIANYIEEQYWPPSDFDHLLSAKLKDLTTSGKLIKMGQA >Et_4B_039415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14654488:14657580:-1 gene:Et_4B_039415 transcript:Et_4B_039415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFFSILQVLSLLSLLSTATSRDILHLRSSLAVEEHETDVLQSPDGTFSCGFYSIYNDTHAFTFSIWYTHSADKTVVWTANRDRPVHARGAAVTLRKGGALVLTDYDGTEVWRADGSAAGVRYAQLLNSGNLVLKNSSGLIVWQSFDSPTDTLLPNQRITADTKLVSSTGLYVPGHYMLHFTDTSILSLIYENIDVHEVYWPNPDYSEYQNNRNRYNSTRLGYLDDLGNFVSSDFADQQALVASDEGPGIKRRLTLDLDGNLRLYSLNSSDGKWLVSWIAVSQPCNLHGLCGPNGICHYLPAPTCSCPPGYEMINPRNWTQGCRAKADITCGAEQAHAVKFVELLDTDFWGSDQKHADPVSLQDCQNICLNDCTCKGFQYQPGSGACYTKAFLYNGKAYNTPTKWSRIMYLKLPVSFNISGIPIPQTNVPISTNQRPDCGWTNPTPMELGVHKANQGDTKWSYFYGFAGAIFVLEVFFITFVWFFVLRRELGASEMQAVEKGYKAMTSNFRRYSYKELLKATRKFKHELGRGGSGIVYKGILDENRAVAVKMLENVRQCEEEFQAELRIIGRINHMNLVRIWGFCSESSYRMLVTEYIENGSLANILFKDNILLEWKQRFVIALGVAKGLAYLHHECLEWVIHCDVKPENILLDHNLEPKIADFGLAKLLNRGGSNQNVSRVRGTIGYIAPEWISSLQITAKVDVYSYGVVLLELIMGKRVLDLAIGADEEVHTVLRKLVESVQHILNREEQSLIHEVVDCSNMSSRTNCKHPSSGLMRFDNI >Et_6B_048567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10968230:10973318:1 gene:Et_6B_048567 transcript:Et_6B_048567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEALVNAFLSCFMHVGLALVLLVYLPLAFVGRLLARIFVRPFAGGEDLRGKVVLVTGASSGIGEHLVYEYARKGACVALVARTEVALRAVAKTARDLGAPDVLVVPADITKVDEAKRAVEETVAHFGKLNHLVANAGIWSSCFFEEITNITAFHNVIDLNFWGAVYPTYFALPYLKASRGNIVVTSSVAGRVPTARMSFYNASKGAVIRFYETLRAELGSHVRVTILMPGYVVSNLTKGKGLQKDGHVGIDEEARDINVGPLPVGKTESLAKVVVASVRRGDYYVTWPGWYWPFHMVMCAAPELVDWFSQAFYVSKSGEQDGDGAALSKKILEAVGGKKFLYPKTIRSQAAMAAN >Et_4B_039926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6024084:6026031:-1 gene:Et_4B_039926 transcript:Et_4B_039926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTLENKPRSYSANIRGVISQVFRLAVPGRVDNPKLEDPSNSLLISLAILHTGWFWMIAQLGEVLRGMLVQGPTIPDGRWFNSTKIL >Et_5B_043354.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6785706:6785789:-1 gene:Et_5B_043354 transcript:Et_5B_043354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSRTAARISAAIPYCTRHPGSSPPS >Et_1A_008343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6731660:6736092:-1 gene:Et_1A_008343 transcript:Et_1A_008343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEADGRFGNKRVHNRLGPGSGGPPSSTSGKVCNYWRQGRCNRFPCPFLHSELPEAAAPNKRSSGPGGNVWRNPNVGGGRGGGGGHNRWGRGPGGGSGGVSQKPPDRPCKYFLAGDCTFGERCRFPHSYHISDSITMLTPLKGHEKVITGIALPTGSDKLYTGSKDGTVRMWDCQTGQCAGVINMGREVGCMISEGPWLFVGIPDAVKVWNMQTAAEMNLTGPTGQVYALAVANELLFAAIQDGRILAWRFSAATNCFEPAASLVGHQLAVVSLVVGGMRLYSASMDKTIKVWDLATLQCIQTLSDHTDVVMSVLCWDQFLLSCSLDRSIKVWAATESGNLEVTYTHTEEQGALALSGMPDAQSKPVLLSSLNDNTVRLYDLPSFSDRGRIFSKQEIRAIQMGPGGLFFTGDGAGELKFIFKSGSETYLNCLAVDVRVH >Et_5A_041390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22029163:22034183:1 gene:Et_5A_041390 transcript:Et_5A_041390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELVEEVLLRLPPDNPASLVRAALVCKRWCRLVSDPAFRCRIREFHRSPPMLGFLCNLLEDNALTTRFVPVPSSSSCLPNAGHCYFQVWNSRHGRVLLYYLRYPYFHLVVWDPIMDKRQELPKPPLPQHTLYPYGWPPQFYVPHMMEDATTSTVIMDPSSSPLLATPASIYFSKTGEWSKSSCAELPGGGVSDKRSSVLVGNALYFLFARNKEILLKYDLHTGEMSILELSCDRYSQLVTLTTMDDGGLAFATMDEQYRICLWSKDHQGLGWSLSKVVELEKKIPVDESVVLTTTPYLVGSTESLASYFLKVKRDLFTIDLRTGRVTKEILLRLPPDSPGSLVRAALVCKRWCRLVADPAFHRRIREFHRSPPMLGFLCGLLQYDPVLSTQEYILLRVDRGCQYFHAVVWDPITDNWQELPKPLMPRSPISPHRWVPEVLCADTGTCNHVNCHHGPFMVVLVGNTGMWGKPTYFEHVDLISGSRSVLVGNTLHFMFQTSRSILRYDLCTREMSILQLPRRKLAGLIRLTTMEDGRLALAGLEERSRLCLWSKGHEGFGWSLSKVIELDKRLPIDGSLVNTPFLVGSTETFGIIFLKVHHDLFTIDLKSSKVTMVLVKGLMIIFVSAQPDGYLWSRT >Et_10A_001894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:141948:142527:-1 gene:Et_10A_001894 transcript:Et_10A_001894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLVDDDLYVPGHGLAFRWLDAWPVAASILVTWLTVRRHIPFDVEDHIAAAVRAVFSRVSPYVTVTVDERDADTFGRREAYRAAESYLSATCAVADGGGERVSLAVGDNEEVTDEFRGATMWWRKSKTSHYTNFWWDPREEERRAYHLSFHRRHRGLVRDAYLSSPTTPAAAEGTISRTAACGAT >Et_7B_054716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3854601:3856813:1 gene:Et_7B_054716 transcript:Et_7B_054716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVKHTLQVLPWLLLLSQLAAASTSNCTNATDGADSQGAMKLKLIAIASILTAGAAGVLVPVLGRSMVALHPDGDIFFAVKAFAAGVILATGMVHILPAAFDGLTSPCLHKGGREKNGFPFAGLVAMSAAMATMVIDSLAAGYYRRSHFSKARPIDNLEVHEQPGDEEGRTGRHVHMHTHATHGHQHREADVISSPEEASIADKIRHRVVSQVLELGILVHSVIIGVSLGASVRPSTIRPLVGALSFHQFFEGIGLGGCIVQADFKLRATVMMAMFFSLTAPVGIALGIAISSSYNVHSSTAFIVEGVFNSASAGILIYMSLVDLLATDFNKPKLQTNTKLQLMTYLALFVGAGLMSMLAIWA >Et_3B_029277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23611741:23617894:-1 gene:Et_3B_029277 transcript:Et_3B_029277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAIAGEPFSIRYATRVCIAVEACSRPRRLSHFRMALFGTSCGVSEGFAARMRSVDAAKCNPFGGGCRDGEGEPPPPLPPMEPPPRSRWWAHELAAARARLSACAKGREAAAAGGGVSRKGTKRKGSRSSSAAERAKKRRRVLQFRSLFKNKVWLCFMGAACAFAWWASREKTSKPQSTFRLHQHLLHMGLLRKQITSIHSRRELALRKKLEEARNHISPRENSLTHDIRERMDPSNDMHCSPFSMKEANSLVNEQSIKVGGSINYPVNSSCEVVKHTAGPKDDIFGDLPLLESSKVIFQTGNDELPTVIEESFITDQSDPDAEPETVPLKLINVYDITAQTSLDDLVKIEDTPDEEPICIAHNDAARSYPLTAGIDCLPNSTNYEDALVIAKKSTDVSGPVVAPSNQLTFQGNVLPCAVSQGVIDSRTTKNHLSFQGSVLPSAVSQGVANARAYADTMTSCRSMPASTSSASPATMRTRNGVTNYKDVPVLFKKSTAVPGPVVTVSNQFSGIVSVLPSAASKGVVDTRTTKNHLSSQGIVLPSGVVNTTTKNHLPSQSIVLPSAVSQGVVNTITTENHLSSQVSQGVGNPRTTKNHLSFQGTVLPSAVSQEVGSARTDAEEMIFSRSMPAKECIPTSRSSGNVASNVCRESRKPVDACAPFSTDKEDSWYSKVHPSRSPTSIGLAFMKLPGLERMEISNCNVKTGENKSKNEQSMNTVRYQKQQLVSSTADVMQGQNNIGVSNSQAGKTVLDGYVAEEVYHPQQPTVRLMGKTVSVCKRSKDHSASIMGKVCSDNVTIEATPLSAIPCQFPQKRSFPCQDFATPRAHPNDSSNFVAKIQNNTLLGQKNTFNGVHNQRQQPVNGVSSTVKDCTWNFGSQFACQAELNKASMVSANSETRHVELHQQPHMTSMPRNQQSQFSTHASCRSGDDRNSVGLARTQCPSPQGFLKASMKEKYQKSTLLSYDDPSSVPIHQPHQPGTKLPSASMISFFDYGSNNSMSRNSTIGLCPSRTNSLANKSVAASGRTCTGNPTITDGRMGAGFANEINSRPTCADNVSQQPAKRQLVTDRHDFTSTGPSMTSRSLGWSLDDAVGPRILDFSNRVAGEAVHIPRNENNNSRVTSGSVPTVETRWRGGLVSEARPTLKPGQNRNDHFKLLYPATFSVDNGVNSVVYSGKQGEIIRCPHSNYRSRNFFKYKSMRASLVIYTAVKREGDQETSEQRTCKAATCNDEPWVFLVPLLDIWMPLYASMRAIESPSSLDDQQWLTYWVLYSLITLFELSCWKVLQWIPLWPYLKLLFCCWLVLPIFNGAAYIYETHVRRYFKIGNYVSPVYNERQRRVLQMMSLDARKSVERFIETHGPDALDKIIRAAEEEAKRT >Et_8A_056400.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8025131:8025289:-1 gene:Et_8A_056400 transcript:Et_8A_056400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIINLGCWVIWTTRNYWIFSYEDPSVQKFRRKLKHVLTLFLHRAKQDTIEE >Et_7A_050420.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14370631:14370957:-1 gene:Et_7A_050420 transcript:Et_7A_050420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVVCHGIRGHFQYLLWVLVCAVLLERHQHRADARHIRAHAVAPAMTGINAGTTQRHTNPPLLVAGKYGSLGTKWCSEMLLRISPASFMTAGKKRVYGDAVCGKMNR >Et_4A_032076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15683163:15683786:1 gene:Et_4A_032076 transcript:Et_4A_032076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMLRSDGDMREARLLLRAALACGGGIVHHPTVYRAWTAMEADHAGDAAAARALYEEWRARDDDDDAGGFWCRYIAFELASGGGGGAARARAVAERAVAACPRDPAVHARYAKAELRLGRADRARAVLDRALECCLVDDGDREWLVDEVRRYGGYVRRRRRFRRLCGFITRFFRGGCWSRPPGGYERLDGATW >Et_5B_045328.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:18460498:18461079:1 gene:Et_5B_045328 transcript:Et_5B_045328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRHRLVVVVAALLQLAAVVACTSTPAAPAAGGGGSASPAMHHLHFYMHDGYTGPSPTAVLIVNGTGAPLTPGVRFGDTVVMDDVLTEGPTRSSRQVGRAQGVYVTASQKPGAPAMMLTMNVVLAGYPGYESGSSVTVVGRNEVTAAVRELAVVGGTGRFRMATGYVLWRTASWKGKNAVLELDVFVRSTAW >Et_3B_031078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14361266:14361972:1 gene:Et_3B_031078 transcript:Et_3B_031078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRNPDGSVDADSEFWITNTLKKPYLRKLQYGPPANEELLDQLFKGFTLDGSTTFVAGDDYDDNLEEEDEEEYQGTPTSSNSQRIKRNKRASTSTTKSTCTSPIKRSKSPMVKIVKDIATTYKESVAVSTKQLQQRVTEKAAFSVKRCQELAFECSVEKTVDSVYAMSKLFESEYQREFFCGQLTPDLRLGYFKKWCRDNNLE >Et_5A_041974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4761590:4764666:-1 gene:Et_5A_041974 transcript:Et_5A_041974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHSHAPPLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPTKTIRVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYRDGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNNRYVDAILTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVITDHLGLGVKTGLPYIWHSKASNPFVNLKKEYNGIFWQEELIPFFQSTSLPKEANTVQKCYLELAKQVRAKLGKVDGYFNKLADSMVTWIEAWDQLNPPKGAGSIAPANGALKK >Et_2B_022185.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:11389918:11397714:-1 gene:Et_2B_022185 transcript:Et_2B_022185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVNKSVGKSSIRSASLTSKLFAFSQGWGGKEGGLGLIACCLPSVSDPVSTEIGSTLHFEFYRSADISEKSQSLDKEQRLEVIHLSNVNTYKETDLEILDKLVKDYNVPPALRFSLLTRLRFARAFDSLTCRRQYICIRLYAFIVLVQAGHDSEGLSSFLNNEPEFIDELLSLLSYEDEIPEKIRILGILSLVALCQDRSHQPTVLSSVTSGGHRGILPSLMQKAVDSIISGSMKWSIIFAEALLSLVSMLVSSTPGSLALQEAGFIPTVLPLLKDTDAQHLHLVSTAVHVIESFLDYHNPSSALFRELGGLDDTIARLKIEVSLVEAGSKKSEESQCISKGKEVESCSPVLDMQPSCSEALVSYNRKNLMKVLLRTISLATYVPGSSARVDGAEENVLPACLCTIFRRAKDFGGGVFSLAANVMSDLIHKDPTCYAVLDAAGLPQAFLDAIMGGVLYNSDAVSCIPQCLDALCLNNSGLQLVKDSNALRCFVKIFTSRSYLKALSGDTTGALSSGLDELMRHASSLRSCGVDMFIEILNSISKVGCGGDSSLCTETEKSSEAVPMDTDAEGAMSSNGGVYSEAGSSERVVDAPRDVTPSSSIESFLPECICNVARLLETVLQNTDTCRLFIEKKGIEAVLQLFKLPSIPPSVSIGQSITIAFKNFSPQHSVSLARAVCSFFRDHLKWTNELLSSISGTKLVDNEHAKQSTLLKSLSTLEGILSLANFLLKGTTIMVSELAFADADILKELGKVYVEVTWQLSLLSDSKVDKQEEDQEDVPGDASVSNASERDSDDDTITASVTRYMNPVSTRSSLSPWSMEQDFVSAVRSAANLHRHGRHSLSRIRGRLSAALDAAHTDMEGPFSPAESSRSHDTLRKSPDVVVSELLTKLGYTMRSFFSTLVKGLPARRRVDSNLSPASRSLVTALAQLFLCALGYSGHSTAGFDMSLSVKCRYLGKVVEDIAALTFDSRRRSCSSALVNSFYVNGTFKEVLTTFEATSQLLWTLPFATPASGTDQVSTISDKMSHNSWLLDTLQSYCKLLEYYVNSAFILSPSSSHNQLLVQPVVSELSINLFPVPSEPESFVRMLQSQVLEAVLPVWNHTMFPECSPALVSSLVSIMNHISSGVGDLKQSRSNAGVANPRVTTPPLDESAIATIVEMGFSRVRAEEALRSVRTNSVEMATDWLFSHPEEIVQEDVQLAQALALSLGSSTEAPKEDGSNKNDSAVAEEKGAVVLPLDDILTVSTKLFCSTDSMAFPLTDLLVTLCNRNKGENRQRVLLYLFEQLKRFSTDPSADMGALYSVTRLLALLLSEDSAIREIGAESGVVPHVLNILENLKSKTDQTDLTWNSVSALLLVLDSMLQFNPKLSMEAADGTSKSASDASSADSKTNPALTAEKKTETVDSANDASANVFENILGKSTGYLTDKESQRALTFCCEFIRQHVPATVMQAVLQLCARLTKTHALAAQFFENGSLASLLNLPRSCMFPEFETLASAIVRHLIEDPQTLQSAMELEIRQSLSNRGSRTPRSFLTNMAPLISRDPVIFMRAVTSVCQLDSSGGRTNVVLLKDKEKDREKHKVASTESGVPCNEPVRLTADKSADAPNRYSRNHKKVPASLSQVIDQLLEIIMSYPSPNKEHGLHGSSLLAPMDVDESNTKGKTKVDDGQEVDGNALSERSALLSKLAFVLKLMSEILLMFVHAVGIILRRDSEISQSQSCGQGTGHGGLLHHIFHLLLPLSSCKTADVSDDLFGKLSERASCFLVALCCRSAEGRRRVISEIVKAFCSFIDSASSTSRGSLVPDKNVLAFSELVNSILSRNSQSNLPVLGGSPDIAKPMIDGGMVQSLSGLLKVIDLDHPDAPKVVNLILKALDSLTRTAYASDQVLKSERYNRNRLPGSHEQTHEADETVIREQHRDTGHHQTDDTILSTSQQAQELPHFDGSENTNQDQPVEQERRADLAGDSSGGNHQMNDVEFMHEETMEGNVMGASNDVGLAFPVQQQVDDEMGEEDEDLGEEGEDEEDEDEDDEEIADEGAGLMSIADTDIEDQENTAIGDDYNDDLMDEEDDDFLENRVIEVRWRESLTGMDHQLRFSRGRADSNGFIDISSESFQGVGTDDPFHMHRPFGLERRRQSGGRSFLDRPRSDGNAFLHPLLVRPAQSREGSGSAWPSGGTSSRDFHTLSFGNPDIPLYMLDAGFPPDTAPPVFGERAVSTAPPPLIDFSLGMDSLRIRRGPGENLWTDDGQPQAGNHAAAVAQALEDQFVSQLTVANNSNNAPQMQLGDDLNPQLPSSDTNKAETVAASSPTQPVGSHQQICTVNQEPAPSNDDPCTTNVQEPVDAVHDNHVEELAQQTAIVDPNTVQSDGIIYISDGQLDGRPARDSLSGNQSSDHIMHNDIEVPQQVQLSNDFREAQSDLESSCQALLTSASAAPELSDAHVDSATINADVEMNSVAIAENEVENLAPGSGGNNLSSRRDEEALQEPSQTEQLNANNEASSANEIDPTFLEALPEDLRAEVLASQQNRSAPTASYAPPAAEEIDPEFLAALPPDIQAEVLAQQQAQRIAHSQSVAQPVDMDNASIIATFPPDLREEVCFY >Et_3B_027754.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:17546725:17547438:1 gene:Et_3B_027754 transcript:Et_3B_027754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAIVLFANRSTAAFWTMDRDRWFSEGMIDMTPEDLIYYCGGFFFITSNERLVTFWPWQPPDGDQVIVARMDYDMQQRDDYDDDVGFVQGNGTMRRYLVQSRGRLLMVVRYIYHDTGTEMIRVFRLHITTPLGDAQVPPRAHWEDLGDELDGRMLFLGPGCSRSFEVARYDGFQDQESMIFFLDESFYSVPSADGGRLYSFTDMGRYSMEEMTSVPWPPGDRPTTSDNAPPTWWLH >Et_10B_003875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6995859:6997194:-1 gene:Et_10B_003875 transcript:Et_10B_003875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVRWAQHAAAGALWAVQGRNRGLDDDEEWMGAVLLALRHARSVLEPDNRMSPYVKERIQRQGTGSSERTAVEPSHLSSFLSMRKHPRIHPV >Et_1A_009323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3891076:3896282:-1 gene:Et_1A_009323 transcript:Et_1A_009323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVVSSRSSFTPLSPGSPSIHGVIRDSPPYSTQSPPQVQAAGGGGGGGGGGNGRISPAVLFIIVILAVIFFISGLLHLLVRLLMKKQHHRGATRGESAASPHRSGARDAAMDRQLQQLFHLHDSGLDQAFIDALPVFAYREVIGGSKEPFDCAVCLCEFDGEDKLRLLPVCGHAFHLQCIDTWLLCNSTCPLCRATLFVPGMTIENLMFDFDERLEEEALTDECEDGFQISRQKPSDEEQPAAEKRVFPVRLGKFKNVGNQGAVDGGVGNGNSAGIVSREAGESSSSSLDARRCFSMGTYQYVLGDSELRVALQPGRGRNGASNRFRGGAAGLSSVNAEIMEGKRICAKNKGESFSVSKIWQWSSVKGKLPAVLEDFVKCFQQVDTGKSGNTRTRNPMAAASVWSSGLERLHARWRANQRNRRNAAISHTIHHHARGIESTEKAVAFPRALTILQPFLLRSDDRCDWAGRGQALPAPSCAGGGGLKSGGASRPPRSMDDLADSGGATKRAEKSR >Et_5A_042087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6164439:6177541:1 gene:Et_5A_042087 transcript:Et_5A_042087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASFASRSLTASSAMALMITTRPLLLLTLFLFLAQLTHSVLVPLREIKSNPEVKAPVSTTAYIVHVDPQAKPNQFATPDRWYTSMVAPDSGRVFHVYDTVMHGFAAELTADQARRLASTPGVTGVYKADKVVPLHTTRSPGFLGLDTEFGAWPETDFGDGVVIGFVDSGIWPESASFNDSGLGPVRPSWKGKCVDADGFHAATSCNNKLVGARSFLDSTQARRLNGINLQSPRDGDGHGTHVASTAAGAEVRDAGLFSFARGTARGVAPKARVAMYKACSNGCDLPGIVAGIDAAVKDGVDVLSVSLGGPEQDFYDNPMSIALFGAVRAGVFVACSAGNSGPAESSLSNVAPWITTVGAATVDRVFPVSVTLGDGQVLTGQSLYPEKANKTEMVGCCSATAATTTWCRTGSWARSSCAKAAGASGLISLDTDDWRMDGMDVQAFPLPPPYIFCLDPPLLTAYIGSTPHPVASFCFTCRTVTGENRAPVVASFSSRGPNHVVPEILKPDVIAPGTGILAAWPDELPLTHGSQFADPRRSRFNIISGTSMACPHVAGVAALLRHKHREWTPAMIRSAIMTTATMLDGHGRAITDNGLDGGLSATPFSAGAGLVRPQLAMDPGLVYDVTEQDYVDFLCTLNYTTAQIRLFVLGFAGCTSTLPGGVGGLNYPSFVVTFGNGTDVRMLERTVIKVSEGPETYTVRVEAPDQLVAVTVTPRTLEFGKLNEKKTYKVEFRSKNSSSSAAKSMTRFGNIVWENDVHQVRSQVVFITSFASRSLTASLAMAFTITMSPLLHLTLFLLLVQLAHPVLVPERKDHTEVIKPPLSTTYIVHADPMAKPNHFATHGHWYTSMVATATPATKTNSGRVFYVYDTVMHGFAAELTDDQARRLASTPGVAGLYRDRVVPLHTTRSPGFLGLDTDFGVWPETDFGDGIIIGFIDTGIWPESASFNDSGLGPVRNSWKGRCAYGERFNASMCNNKLVGARSFLGSTGTRKRALQSPRDGDGHGTHVASTAAGSEVLDANLFSFARGTARGVAPKARVAMYKACAPRNCRLAGIVAAIDAAVKDGVDILSVSLGHLYHSFYDDLMSVALFGAVRAGVFVTCSAGNGGPTESSVINDAPWITTVGAASVDRVFPVTVTLGDGQVLTGQSLYAEKANKTDMVGLSLPSSCDDGDLVPDRIMGKIVVCSGFYASDGFAVQRAGASGLISLAWFDWRMDGIDVQAFNLPAVSLGNVDSRRLEEYILSVPYPVASFRFTCRTVTGENRAPKVDSFSSRGPSRIAREILKPDVMAPGISILAAWPDELPLTDTLADPRRSSFNMISGTSMACPHVAGVAALLRHKHRDWTPAMIRSAIMTTATMLDNHGRAITDNAGPIRGASSATPFSAGAGLVRPQLALDPGLVYDATEQEYVAFLCTLNYTTAQIRLFVPGFASCARTLPGGIGGLNYPSFVVAFGNGPNVVRVLERTVTKVSEGPETYTVRVEAPELLVAVTVMPGTLEFGSKNQKKSYKVVFTSKSSSAAAGARGFGNIVWENDVHQVRSPVLL >Et_1B_010959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15890872:15891563:-1 gene:Et_1B_010959 transcript:Et_1B_010959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVRRPRVLAEIDPHSEWVPGREFDTLVVDVTGFTKDHLKVLVEPSGSLKVSGERAVNGGGRQWCHFLKRFDLPSGCDAAAIKVQLDKGMLYVQVPRPGVGAAADSGEPYPPAEIHEGAFQGGDEIGSDGWIGRAVARRDEHPVRRLARGLSKHRHVVLNVVLAVVLLWLVAFAKDKPSGGEHVKRH >Et_9B_064434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15098009:15099685:1 gene:Et_9B_064434 transcript:Et_9B_064434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSTNRQVTFSKRRAGIVKKAREIGVLCDAEVGVVIFSSAGKLYDYCTPRTTLSRILEKYQTNSGKILWDEKHKSLSAEIDRVKKENDNMQIELRLVHLKGEDLNSLQPRELIAIEEALQNGQTNLREKQMDHWRLHKRNGKMLEDEHKLLTLRAPQQDVELSGDMRDLDIGYQYHQVHHDRDFTSQMPFTFRVQPSHPNLQEDEDE >Et_2A_015853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18400033:18407057:1 gene:Et_2A_015853 transcript:Et_2A_015853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSASEAAPVVNTTNPAMVLYHVFPEVRGVPCSTFHLLVVFIRLVLLAELLSIVQVKFEYDERDVVLYALAVGACSADAADEEELQLVYQRDGRSSVKVLPTFVSLFTAKNNNKLVMDLPGLHFEPKFLLLGQQYIEIYGPIPSQANVTNKIKVAGLHDRGKAAVLELETLAYLEDSREVLCMNRSTFYLRGAGGFSDSPRPFSYATYPANEVSRVTFPDSTPSAVFSRPILPGLGALGFAIRAVMRTFCNMESANVKSILCRFLHHVYPGETIVTEMWLQGQRVYYKTKVKKRGRVVLSGYVKCTVYTLGTK >Et_4B_038765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4724483:4726001:-1 gene:Et_4B_038765 transcript:Et_4B_038765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKHSSDISKHLDKQNQALMETYRAMSHELHKLQVEEETIMRKLYELMSAEGLLPKVKFTLLVLMFGPFFGAIFSDDEYSARKRGNQSN >Et_7A_051592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20921856:20930229:-1 gene:Et_7A_051592 transcript:Et_7A_051592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVNRSEMAAASGDGGGDRLSGLPDATLTAILCHLPTDDAVRTSVLSRRWRHVFATLPVVHLVDRRTKHGYYERDQVCFEQQVSAALLARQASAPIRAFRVRTPEPSSTLLDQWVLLALCSGAEEMEVDLEARDDRRLCPFAPKEDSSADFRNYVVLNSLPRQLFSAGSSLRSLRLCNCKIDLPSGDIQLTKLETLVLRRVGAAEDDVQRVISSCPRLADLTLDQCPSATEITVPNALLRTFAIVCCHNATRVKLETPCLRSLTYRGSCTEHFLVDSCTEIKSISMDICEDFAAGRTSAKAASFTGLIRRCTELTSLHLSLRPSMAYNSWVFVNTLTWLRGLCLLELKGCLADDHSIRAVVSVLQSVQNLRELSLFLLSPKQAKKQNHYSRRDTDSGKIDYNCKVDVPKGLWNSRIDCLKHTLGRISVMDYNGRPAERTLLKLLLAKAKVLKELEVTFGAECASHQDELRSEISSWQQDQHIKTMATASAGDRLSGVPDAALTAILCHLPTDDAVRTSVLSRRWRRVFATLPVVHLVDRRKHDSYDNESNQVCFAQQVSGALLARDAAAPIRLLRVSAVSASSLLLDQWVLLAMSAGAEEVDVDLESRARYSSRLCPFGPEEDSSADFSSYKVRVLHSLPRQLFSAGSSLRRLRLCDCKLDLPGGGVSLGSLETLVLKRLAAPEGDVQRVISSCPRLADLTLDQCPSVIEISVPSALLRNFAILCCHYAKRVNLSTRCLRSLTYRGSLLDESFFLLESCKIKSISIDICEDLAEGKTAAKAAKVMELVGRCTALTFLHLSLRPSMAYYSRMLVSTLGWLHGLRRLELKGCLTSVRTIHAVVDVLQSTNNLEELSLFPLDPEPAKKQDYYFDTDSEKEKIDYSCKLYYVPKGIWTSRIRCLQHRLRRIEFASYCKGQKLVQSESKRI >Et_2B_021212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27508385:27512832:1 gene:Et_2B_021212 transcript:Et_2B_021212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDKRLRDYVRANGEGNWNQVRINAGLNRCGKSCRLRWSNHLRPDLKKGPFDDEEVEKILRMHMAWGNKWAKMAALLPGRTDNEIKNYWNTRLKRHQRAGLPIYPEYLVSQASNQDMNFETPEELCGVKRPNESSQGSVLEIHDLMYDYFDHETFIKLMSNGDLPSSSLVDPNGLPVDAVNHLKWRISTDSIASDYSLPFLQIPDESENAGSSTSFNYGMTENHLAPLGAAIISGHPIFNGNPSTSWTTQRPVKMELPSVQYSNYGHNNAWVCDGPLGSPIEQANTLESPGSLKSESISPQNAGLDAIVHMGDDPVRSQGTFDVSQSVAYAMRHSFSSAPGDDEIDGCPLGVIHSKSPSSSDAIFAEGNCYPDTIFTGKYLPPWTNNGAVDASVEAGAFNELFSMDQSIYNSHIDGDSCEEAEQSNAGCGLFSWKSMPGACNMSNSSNR >Et_1B_012138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29345808:29346914:1 gene:Et_1B_012138 transcript:Et_1B_012138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSALSSLEAMLFSLMRGSGGGGGEEGGGGDDNTPVDDDTLASPPPPPLPARPTPRGRHPSRYPVVRAAAGSSTTSPPPASPSKDDESATADGESTTADDSLLVELERKAVEVEAQLRQKEEENAALKRRIESYHFMWLKYEIKIKSLEEACQEQKAALQMVQDAAARTDEMARDRRESLESQMKMSEDPSSARLRHGRDRVVAAGARRSSVSRLSSEFRRQSQALDHGAAALVVAEPPRPWQPAAPSADSVDDLRKLKAQFRAWKKDYKARLRMAKAELDRDRRHRVGCWI >Et_8A_056874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18374113:18380206:-1 gene:Et_8A_056874 transcript:Et_8A_056874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHKSSMRAAVVTVPPMQTSESNKISIIISPRTASSKIMPFELVNAGSVSSRPHADPAESSGAHATHHQRWNQGFPKINAVPLIKKVIAEFLGTFILIFTVLSTIIMNEQHDGVESLLGIATSAGLAVTVLVLSLIHISGCHLNPAVSTAMAVFGHLPLAHLLPYITAQVLGSTAASFIAKCIFHPVNPGIATVPKVGTVEAFFIEFITTFILLFIITALATDPHAVKELIAVAVGATIMMNALVAGPSTGASMNPARTIGPAIATGRYTQIWIYMVATPLGAIAGTGAYPKSIDTALVTVPPMQTGESNKISIIISPRAASSKIMPFEVVSAGSVSSRPYADPAESSSAHANHHQRWNQGFPTIKAVPLIKKVIAEFLGTLILIFTVLSTIIMNEQHDGVESLLGIATSAGLAVTVLVLSLIHISGCHLNPAVSIAMAVFGHLPYAHLLPYMTAQVLGSFVASVIAKGIYHPVNPGIATVPKVGTVEAFFIEFITTFILLFIITALATDPSAVKELIAVAVGATIMMNALVAGPSTGASMNPARTIGPAIATGRYTQIWIYMVARPLGAIAGGEKMPNSAITTISNEYQMHFDTVRKCNIH >Et_8A_058267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3809200:3810800:1 gene:Et_8A_058267 transcript:Et_8A_058267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKASILTVAMLAIVLSSPAFAQKPKSPPAPAAPILPPSPAPAPGPHHVDLADLLSVAGPFHTFLDYLQKTNVLETFQNQANNTKEGITLFVPKDSAFAALKETTFANLTKDQLKSLILYHALPKFYSLAEFNKLSSQNPVPTFAGSQYTLNLTDNMGSIHVKSMWSNPKISSSVLSTYPVAVYEVDKVLLPMQIFKSEPPLVPAPAPAPADAKASDVAPSPMSAKSTSAKAKGEAKNSSHQKSFLNAARWQEKNSKWWPWPPEKAFNWGLFEERGG >Et_9A_062278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21442193:21442786:-1 gene:Et_9A_062278 transcript:Et_9A_062278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVCCLSLLLVQLLGLAAAVSGEDVVGTRWAVLVAGSNGYYNYRHQARTALCFISTTSRSFNPPAPTLRCSLCQAADVCHAYQIMKKGGLKDENIIVFMYDDIASNPDNPRPGVIINHPNGTDVYAGVPKDYTGKDVNVNNFLAVLLGDESNLTGGSGKVINSGPDDHIFVYYADHGAPGFIG >Et_6A_047377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4762288:4763502:-1 gene:Et_6A_047377 transcript:Et_6A_047377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVCGLGHGARAPADINPSRGAGFGPGASVGRGGGGGRSSWLFTTALLVGIGREEGAAAAAARGGASEKVPREAVGRSCAPWFFSLPLFVSSRVHARTAHVSYNGIQAQAHSPRWSTTPTACVRCCWARSSRGGLGCGTDDCGKTSKFSLGLGRARASAAVVEDGRVRRHAVVFYAVPFRAVVTVARMYDRDEASGVEKEGSVAVVQLLPPAADKCRRDAVT >Et_2B_022136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9668733:9670270:1 gene:Et_2B_022136 transcript:Et_2B_022136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYRVHTIILNDPGRLLSVHIMHTALVYGWAGSMALYELAVFDPSDPVLDPMWRQGMFVILFMTRLGITNSWGGWSISGGTITNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLYGPGIWVSDPYGLTGKVQAVNPAWGAEGFDPFVPGGIGSHHIAAAFVVAGIMWYGSATTPIELFGPTRYHWDQGYFQQEIYRRVSDGLAENLSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPVFRDKEGRELFVRRMPTFFETFPIVLVDEEGIVRADVPFRRAKSKYSVEQVGVTVEFYGSELNGVSYSDPTTVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHATFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGTFQKVGDPTTRRQTV >Et_5B_044406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23860866:23872358:-1 gene:Et_5B_044406 transcript:Et_5B_044406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLWSSVKGEEGRGGRVVVAMEAVDYSGKLFVGGISWETDEDRLHDYFGRFGEVTEAVIMRDRSTGRARGFGFVVFSDAAVAERVTMDKHMIDGRMVEAKKAVPRDDQSIVSKSNASSIGSPGPGRTRKIFVGGLPSNVTEAEFRRYFEQFGVITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKNFHELNGKMVEVKRAVPKEQSPSPVTRSPAGGQNYGITRAHSFLNGFNQGYNPSPIGGYGMRVDGRYGLLSGARNGFSSFGPGFGMGMNLESGMGASFGANSGFISSSNGRQTGSYYNGGSNRLASPIGYLGLNDDSGSMLSSMARNVWGNGNLNCAGNPTNTNAFASPRSGGQGSITGDTWGGLSTAHGMGNISSLGSGNLGRGDGDNNFGLTSGSYGRGNSTGTIGEPFPTSGNTYEVNNSETYGSNSIYGSTAWRFASSEIDMPAFGHDLGNGDPNVKSDISTNYMGNYTVSNNQPSRGIPVHDWIGDIRDVALLFECQK >Et_1A_005351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8545267:8545641:-1 gene:Et_1A_005351 transcript:Et_1A_005351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSSPSLCEFIEYIDTEQTPENIAHVYRVAERARRHWFDIEAEGTREEKRRKMRQKEKKRRREYEAERKAREAERERMCERARRARAAGPDPFRKEKYPRCTQ >Et_9B_065779.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:11492606:11493178:-1 gene:Et_9B_065779 transcript:Et_9B_065779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEDRLSALGDDILRHILYFTPAKEAASTSVLSRRWGSLWRSTGAVNLAVHIPDDGPRNYNHYEEAEEAFFGHRDAFVRAAEAAHAAGAAARISRLTIHLEAQNEDLFDKFLHRTRDWKEKRDVIGALLSHPPALRVEELRLSFTDYWPMESEVYHYHSRQVYALGSLPSSETLREMDLTRCGNLNSP >Et_3A_023898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14144783:14149374:-1 gene:Et_3A_023898 transcript:Et_3A_023898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMICSREEGIKINLFKVGDAIWAEFNESEDHIVPYPKGAEDSTLVSIEDHKNNDEAAASIAGITEHSAAAQTELPGLEKQPANQTSAHFSATRLDMESWPDLPSLNPSLDRNYSDDNIASTYLDFSAEPTLQKVTGNTTVQLDGEPEVFGNDHEEKSNSFLDCDWGNIGDFDDFDRLFSSSDSIFGTEMVANGSDFLSTSSDLMDNTVQSISTSLVPLDEQPSSDCGRSTLLINEISAGITKQENKVADANAKSGEQAESKNHLTCEYSGKPNQFSREGDVQKKQGRSRRKLDERGKSKISTNASGFSQSKTQNPPAGLQAPVQPVQSPQYALFHDGKNMGQVQHANQFMFPGYGYPAYPFPTIPLVSNVQAEGHQNKPAATSYRTSTDSPKHSSSVEKSQDIPSRPVTMTPQEKIDKLRRRQQMQALIAIQQQQQQFGQEGSGSDTMLPQTYSPRNKNPDSLGSSIVIDENANKVFSPELTPSIHEELDTRTRLCIRDSLLRLAHSATERHIAGDRSSTNKTNRDEDEASENDTSSRRKRSPAKEVETNTNPIDRIVAHLLFHRHYSKVATPTKEEIISSTPSTLEPDSKLPSETPKAPLEDQRIEQEMMLQPSQ >Et_1B_014358.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6754841:6755806:1 gene:Et_1B_014358 transcript:Et_1B_014358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASSSPAPPQTSHVSAPAARPSFPPGLAPVAFVLAAAVGLLSLLPSLAQAVWEVPHLVLLGLVISYGVFAQRNTDAVDKTGDGNGAKDGALAWRNARYRPDEPLIVVADHAAMSDGGGHEGAMERPLSLPVRRLKQPPATQEPDAAGEASDGGVGEDETDSSASSSSAFWAGARTAPSPPSVLDADLGLSPPCSSQPQSAPFFAHDASATKPTSFSAYQPCVPRDEPSSEDDGEVTDWDENADDDVSDEMTVSSERSAPGDDFTACTNDHSCSDGDTSVDEELLKLASKAAASEGEDEVDRKADEFIAKFREQIRLQRL >Et_2B_021897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6659295:6660259:-1 gene:Et_2B_021897 transcript:Et_2B_021897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGYGFLRRTNSRPTLPQQHKCTWQQNSAQCVSRIKLLHNTKLDTLLYHLAQRINNMSGEWSVGLFGCFGDVGTCCLTCWCPCVTFGRIAQIVDKGSTSCCMNGTLYVLLLSVGCQWLYTCSKRSSMRAQYNLQESPCLDCCVHFCCDTCALCQEYKELEKRGFNMAKGWEGSNKMVGCVQGMRPPRKQSMCF >Et_1A_008785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12806016:12809818:-1 gene:Et_1A_008785 transcript:Et_1A_008785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEALRAKDAAERKFHARNIKGARRSAMKAQNLCPSLEGISQMVATLDVHHASEWKIDGESDWYRILSLTASADEEEVKKQYKKLALLLHPDKNKSVGGETAFKLVSEAWSVLSDKSKKMLYDQKVRDHSVMNGANGANGLYTYDKKANKRARKNAAAASAAAEHTIRPPGADNTFWTSCNGCRMQYEYLRIYLNHNLLCPNCHHAFMAVETGYPSNGASSSFSWSSKPQKQKNHTTVDHSYNSASRTSSIPGTGHGVYQQDSTYEAYNNQSFQFNQYNKTTGAATYGTQNLEKAKRRHEENHMYSYFSNANEYQSSRGRHSNRRRNINNGYAAVGSTGETAAATTGTTVIADAGRVNGIDADGVSAERYRSAVSGRKANVLREIFLLDTRGLLIDKAKAAIREKLQDLNIFKSTHFAEKWEAERKEKHAENNCKINGILSDNPIKKRKIYTTKDADVEIHATVENPEEKRIPVSIDVPDPDFHDFDQDRTERAFGNDQVWATYDSEDGMPRLYAMVQKVISLKPFRIKMSFLNSKSNSELAPISWIASGFTKTCGDFRVGRYQTAETVNIFSHRVNWIKGPRGIIKITPKKGDTWALYRNWSSDWNELTPDDVIYKYEIVEVIDDFTEEQGVNVIPLLKVAGFKAVFHRLTGPDVVRRIPKEELFRFSHRVPSRLLTGEERNNAPKGCHELDPAATPVDLLKSLTKGLCYAKDTLSLLPLILIANV >Et_1A_006586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24988022:24989494:-1 gene:Et_1A_006586 transcript:Et_1A_006586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHVLLFPWPAQGHTNPMLHLASALLDAGLRVTLVHTEHSLRRLAAGAPRHQHHPRLRVLSVPDGLPDEHPRSVSGLMELFESMRTAGCAAFRALLRAEKEAVTCVVADGTMPFAVAAAQEAGVPSLAFRTESACGFLCYLSVPRLLELGELVPASDGDEPVRGVPGMEGFLRRRDLPRVAPSSSDDPVPVLLAVAGTAARCADEPRAVILNTAASMEADAVARIASRVRGEVFAVGPLHTMHPHHHSPAGEDGDDGGCAAWLDGHADRSVVYLNLGSLTVVSAEQLAELLRGVAAAGYPFLCVLRPDMASSSTEEVLRAAAAGGERSRSLVLEWAAHRDVHRALRHRAVGCFVTHAGWNSVLEAAVAGVPMVCWPYFADQQTVSRFVGAVWKTGLDIKDDVIDAAVVARSVREAMEDPGIRAAAQDMARRLRMDVAPGGLSANDMERLVRFIKDLSAGQKDPSSRDDVHNSSSPAA >Et_6A_047014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24019933:24021787:-1 gene:Et_6A_047014 transcript:Et_6A_047014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRQVYVCRIFTSIAELQFFHHASGIETRVGRRPRRRAGPSRPRHGGVRCRLSGLVRCGVLGGARASGVGVIGDNVGALHVTTFACAVCHTPQQGASRAGRPYLVLHRERRRGSLRRQALPTASLVMRLISHKPYDNDNLRISRRNQCKMHGTAATLHGAVDTRISSVAICICDPADGTSVPRRILSCPGTAAHATSHVFAALTCSDCRGLRARGSQEETSLAGLQARRNAEAGTRSTPGARRPGFLLRVAGVPLASARCQDRVDPSTCQKLRRAHDRSVRLVTSIGCGFSRIVTPVYNAEVSPASMLLRPPALARGARCFSGRRRWRVERDASPAVGERAPDLRLRRRAAVTPRVGHRGRRAYSPLVSNHGSVVLRLRLGHQRISLGRGCRAWMRVMRSSESSQQGSPARGSILQQEDKGPLSHGTAAHKPRRVKRRDAFVCTYARPSRHGLHTLGFSDPRAMLTWSRAVHHARRVAGGSPCWWSPRAAAAMDGHDAEAFAVISLLRPATNLSSQLTTVKSKRDWHNETIEAVVIWDDASCSVQACIYSRSAAPCLGGCHLHRQASSAAASIRVLRLCPCPWRFAIRLVRHAPPKP >Et_2B_021118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26591834:26593419:-1 gene:Et_2B_021118 transcript:Et_2B_021118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSSSRAGTASNARGGGGAAVVRRGSSASSSLRRRLRRHAVVGVVRFLISLHMLSCFRLVRPAAGGDADAAAASPRRPSLPFAASLFAASPSTSGRGKQPWPSSEADDVMERKRWDSMESWSMLLDTAMGPGGEAGASRDGSGRREEWMADLSQLFIGNKFASGANSRIYRGIYRQRAVAVKMVRIPERDEARRAVLEDQFNSEVAFLSRLYHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKDPYSLSPETILKLALDISRGMEYLHAQGVIHRDLKSQNLLLNDEMRVKVADFGTSCLETRCQASKGNKGTYRWMAPEMTKEKPYTRKVDVYSFGIVLWELTTCLLPFQGMTPVQAAYAASEKNLRPPLSSSCPPVLNNLIKRCWSANPARRPEFSYIVSVLEKYDHCVKEGMPVMVHQELRIWRSFAKIFRMGCITNNLSIPVHA >Et_3A_025007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26003621:26007110:-1 gene:Et_3A_025007 transcript:Et_3A_025007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKGKPTGRRNFSTPEEIAEEEEQEEEEIEESEEEESEDEDDKPKHKGTEGLIQIENPNLVKAKNIKAKEVDLGKTTELSRRERYSLQTFIVVVQTKFQASEVYMINLLHFYSERLALIRQQRADAAKKREEEKAAKEQRKAEAR >Et_3A_023148.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:31994237:31995445:-1 gene:Et_3A_023148 transcript:Et_3A_023148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLLGGGAPGDERAPGRGRRVARVLDWEGRLHGDAAEAAVADAALHPDVPVLAPRGAPRVLDDPVRRAVVADAVADRRDAVVQVGAALAGEDALGVELERLAPRVDGHGHGLVRHGLGERVLAACGNHLVAVDHHHGRAARPGVVARAVRRAVRVGGLGGDAAVGLDELEGVLHEPALAPVLRGVAVDQLLLRQGHQPPRPDGVDALHGHHRRERPAAAALALVLHGGDRAALAPVHGLRDVEGAVVHEARHGRGAGLLVAELADAGVGERGAELLAAHVAEVVQAEAVRVLAVLVVPVDEVHVLLEQLEAPALLAVVAVHLVVHLPPLVQVPKRLVRRQVALVERDGAAQLHGRHRRHRHHQGRQEKLPSTSHGDRAGEFPELLGMCCEGRSRLITRLGV >Et_5B_044396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23814975:23815475:1 gene:Et_5B_044396 transcript:Et_5B_044396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRYGYGYGYPAQGYYNQGPYQGPPVMAPPQYQYAQPPPPRSPGFLEGCLAALCCCCLLDECCCDPSIIFVT >Et_7A_051985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3479228:3479791:1 gene:Et_7A_051985 transcript:Et_7A_051985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIDLSSDGEDIGGEDIIDLSSESESSIDLWSDTDDDLDSDGPSNRFHQNQVGRPTTVNEDWFLSDQPASFLRLLENKPVYKVTANSKVQSFSRPGPSNTSVIDMEADGCIENVESGLFGCDEKAVYEEALKTLRSISVRKNERKICLKVFCQYHYLSI >Et_9B_063629.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:13394569:13395604:-1 gene:Et_9B_063629 transcript:Et_9B_063629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVPLRRLVDADQWDADDLAGRLGIVAHAAFLRAGFVPYGDGPSSGHLLKQVDETGPSAPSLCRRYTAAQLVRRREGAPVPAEVAVQELSARGNGDFTFRAFLLTKDGDRSFLCDAVLDAAALAPFVSGRLDDAARALEESGSAGAQLWDALAEWVFPVLPDELRLRNATGFASLPDDAKVEIFKRLNDWKDLARVECTSRQLRRVVAERDAELWKPMYESMKLLPPEAAEGLGSWKERSVNAWRWCYEQWVAQRRRERMAELQHIFDR >Et_5A_041730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26443145:26446121:-1 gene:Et_5A_041730 transcript:Et_5A_041730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYAFVARGTVVLAEYTEFTGNFTTIAAQCLQKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQIPIAFLDRVKEDFTKRYGGGKAATAAANSLNREFRSNLKEHMQYCVDHPEEVSKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCH >Et_7A_051386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17671866:17691409:1 gene:Et_7A_051386 transcript:Et_7A_051386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRSRVRLSSIFAARPATTPPSTTPSRCAPHLVLAAATERARSGTLGPDEAHHLLDELLGQATPVPERALNDFLAALARAPPSAACSDDPALAIALFNRMSRVGGGSPRVAPPTACTYAIIIDCCGRARRPDLGLAFFGRLLRTGLGVDLVTFGNLVKCLCDARRTDQAADVLLRRMPESACVPNAVMYSRLLKCFCDDRRSVRALELLRLMAQGRTGCLPDVVAYSMVIHGLFKDGKVTEACDLFYEMVGQGIPPNVVTYNSIVDALCKTRAVDKAEVLLGQMVHKGVRSDNKTYNSLINGYSTLGQWKEAVRMFKQMTGSDLQPNIVTWNSFMASLCKHGRNKEVREIFDSISAKGQRPDAISYSILLHSYANEGMMDDAMLIFKEMRHKGVKPNVVTYSTAIAAFCRMGKLDYAMDMFNQMINHGVQPNEAVYHCLIQAFCCHGDLVKGKELIYEMINKGIHPDNVFFGSIINSLCREGKAMDAQDIFDFIIHIGLRPNVIMFSSLIHGYCLVSKMDEAMRVLDAMISAGLQPNVVVYGTLVHGYCKSGRIDDALGLFGQMSLKGIKPTNLVYKITLGELFQVGRVVAAKEKFFEMIENRIPVSAATYNTVLSGLCRNNCSEEAILLFKKLHSTNVKIDIMTLNIMVTEMFKTRRIEEAMDLFASISAKEPIPSVETYLSSQQWRRLAVLLDKGGIVRAGSCLSKIDEKNLSLEASSIEFLVSLFSKWTCPKHFELIPAKLLKCFCDDRRSVRALELLRLMAQGRTGCLPDVVAYSMVIHGLFKDGKVTEACDLFYEMVGQGIPPNVVTYNSIVDALELFFILYCFCSINPASSPVPSARAPHVALAAATERVRSGTLRPADAHKLFDEWLRQGTPVPERALNGFLAALARAPPSAACSDAPALAIGLFSRLVRSARPCVLPLTGHTYCTILVCCCHARRLDLPLAIFGRLLRTGLGINVVTFNNFLKGLNAAKRTDEAMDLLLHKMPKLGCVPDVISYSILLKGLCDDKRSQRALELLRTMAKEGGVSSPNVVAYNTVIHGFFKEGEVVKACDLFHEMMQQGIQPDVVTYSSTIDALCKVRAMVEAEEFFRQMVGKGVLPDTTTYTSLIHGYCTLRQWTDANRVFKEMIKRDVLPNAATWNVFVDSLSRHGRIKEARDIFDSIAMKGQKPGIISYAIMLQGYAKEGLFSSMIDLFNMMLQDSIVPNQYVFSIMIKAYGDRGLMDEAMLLFEEMRKLGLMPDEVTYGTLIDALCKLGRLDDATEKFRQMVDLGVSSNIAIYCCLVQGFCNHGDLGKAKEWISGAIKEGFCFDNKFLNSVINRLCKEGRVTEAQDLFDSIISIGQLPNVITYSSLMDGYCLVGKMEDAMRVFDNMGSAGLEPDVVTYGTLINGYCKIGKIDDGLSFFMRMSLKGVKPTTFIYNIILDGLFQARRPVAAKVKFNEMIENGVPVGIDTYSIVLTGLCKNNCIDEAITLIQKLCAMNLKLNVITVNVMISALFKARKIEEAKELFSTLSANGPKGEIVSAISYLFKIDEQKFSLEASTTELLISHFSKGTCQKHRELIPAKTGLGVDLITFSNLLKCLCDAKQTEVAVEVLLRRMPQLGCMPDVISFSIVLKSSCNDRRSQQALELLRTMVENGRTVCSPDVVAHNTVINGFFKEGEIAKACGLFHEMMQQGVLLNVYTYTSIICPLCKARAMDKAEVVIEQMVAKGVLPDNVTYTSLINGYSTLALWKEATRVFKEMTSRGILPDTITLNSLMASLCKHQRSKEARDIFDTMAMKGQRPDIVSYSILLDVYATDGSLVDISDLFNLMVEQGMVPNCNSFNILINAYAKRGMVAEAMHIFEDMAQQGVKPDAISYATVIAAFCRMGRMDDAMDIFNQMTDHGIQPNKAVYQCLIQVCVLTLRSLVRVINSLSKEGRVLEAHDIFDFIIGIGLHPNVIVYTSLMDGYCLVRRMEEALKVFDAMVSAGIEPNVIVYGTLVDGYCKSGRVDDGLILFREMLRKGVKPTTVVYNILVDGLFRARRTVAAMKMFHEMTENGISVGINTYNIVLGGLCKNNCYAQAVELFKKLRALNVKVSIITINIMLGAMFKARRIEEARDLFVSISANGLAPSIETYNIMMKNLIKEGFLEEAENIFSSMAKACCEPDSLLLNSLVRALLQRHEIVRAGTYLSKLDEKNFKLDDSTISLLIFLFSMKGKFHKDIKVLPEKYQFLVDRHAPIRMCGEFTPALRLYRWSSCGNGIVYL >Et_1A_004808.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:949452:950855:-1 gene:Et_1A_004808 transcript:Et_1A_004808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AVPPRAGVVEVPDDLPVAAVALEPGAEGDHEHPVPSVEPPLGLHVGEHVPEAARGGVPPSVQRHPGRLDVVGREAQALLDGLDHRRAAGVQAEVVHARLEVDLGARGGKLLLAEEELAEEEPGGEARELAGGEDARGQALQVFGEGAHGGLGQRLAEADAEAAVGVLAVHGGGVRVVVGRDVGPHQAAQLHLGPRPERRVVGEQGRGAAAAEEAVAQHHGLVGARVPVGRARLRRHHQRHGRPRRSGEHHVPGQVHGHEPRAAPHPGEVVGGHVLPHPEPLHQPGHERRRRGEGADVEDDDVDVARRHARAAEHGGHGVGEEVVHLVQRVAVGGRLLAPFQDVARAVGVLADAGVDDHLEQEAVLAQAQTLVALDHRARRLRRHLPVVGGLVARVVQQVAPRTPAAGHGHPRHARRRVRQKQGRHQGKQLHRDLIYYELIGLPGTGSSLSLSQQQVTELADAGWVPP >Et_1A_006217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19303916:19304324:1 gene:Et_1A_006217 transcript:Et_1A_006217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFHKRVKQDLSSKSVSIIWSLYPTWRIAEANESQATHRKHMFKDSHHHSKYQNRNNSHQQPKCPNIEMQFYSRRDKSSGPFPTQCRIRVQGTQDSIKYFQMSLLRSKHPITHSSPPIVKTTMMM >Et_1B_012991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4647661:4650556:1 gene:Et_1B_012991 transcript:Et_1B_012991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSGRRHGVVVAIECVAGGSRAEEWGPGSSETVQTGDVVEELLIGVGGRGGPSSHAAPFKGGRAALQKLLHAAYKRGDTSVEVRVRRPAQGQGQQLVVGDGGGGGGGELQQVATEARMQACIVPHESVGGGIGRSRQYVLRSIRDPNYAVGLVDRMESECIAIRGSRSSRVVCALSKAHLQDGYVSYPWEKKMREALPIPNSSSFLSMLILPTALDRAGSRYNTVEDTLARANAWILSSQASGVPVMFLNVQTEALLTKISGEMASATVNAGSLADLPNLANASLYGFEDYHGVDIGVVKAVRVWYTAAAGEMPVEITLEQGDVKLGFAISRTEEGFIYISSVMEDDGDHQAPSTRSGLRNLYREAKRASKLLVISRVSGHKVLPWMVSSSGAIRCFDTVSLSQKLSLHRHALRPILLHVLMWDGMPDAPARPVRPPSPAPQMMPVYAELPRQNSFAYAEQPGRTEGEAGIMQERDTAGDASFRFHNFSLPNNWV >Et_4A_035162.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:10124263:10124556:-1 gene:Et_4A_035162 transcript:Et_4A_035162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLVSMLRWPPDLGLPSLAALLPSPPALLRLQGWWQQQQLPRPEQLSAAARRWPELVRDVPLLLDAALWGVVTAIESVALVSMMCCFFLFCGCTL >Et_2B_022839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6303589:6304363:1 gene:Et_2B_022839 transcript:Et_2B_022839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDADMIPTSPSADSSPSSSDLDTESTGSFFPDRSTTLGTLMGVSAFGGGGGQRRMPGATAAAGEEAQRAAREEEEDEEGARSRRGGGVWRRRRRSRRRRRQRGRSLGGSWWRLCRDDDGGGAPTSLGEFLDMERQLAGADFLCDGAGGSGRDPVAAASVAATALFEDGRVRPPPQQQQQPAAAAEERGRWRLPRAPERSSSSSSLARLPVLLTGICSGGAG >Et_4A_035006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8774258:8776184:-1 gene:Et_4A_035006 transcript:Et_4A_035006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTTQCRVSGGGEGGGSMKTVECLRGRLLAERVASKAAKEEADQLAERLDELEKKLSDEVKVRNKAERRLRKAIKKLESLKILDVGLSDGSIGSLSSNGRSGLQAPELDERDNPGSLSSIDSARSRSPGDGASSAASCTQVNSSQDGSSCSVVSEQSRAGSCMDLGDNNNNNCSSGASAGDHDPDRQQPAAPHCSAESEQDSRDSDDDRLALVLVDPQLVVVASGDSRPEGNKAQTAELHAAGAHEEEAREVENKLALVLVHPQPQPAAAAKPQSDVESVLLALRQVKE >Et_1A_008018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40110111:40112281:1 gene:Et_1A_008018 transcript:Et_1A_008018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPLPQICWNERYMLDITTGKRQKGYQIHLPEDTDIEEEYNAHEAFWEDILGVKPRHWTGNTDKVSGSNRSLLDEALKNEAKYEKDTEDYLKRIEELNGTDSDSDSEGFTEVTMLPRSPSPRCFHVWHQWFRMTNTTPTFLTSKILTAPRPYKYISEALLQFKSMRFAGDLPRGQTLKVHGFVAVRDDIDRLRNYIFNRSQEHAQEITQDSPDLWLTSPAQGITAGCPLLVEYSLKVVKISEEGSEGEEEVLVDGCFKFEQMGGQGSLVVLHTVRLFNPVIGPFDIRFNFLRYAMEATVKVKVKRAVPGYSLTSVTAATCGCGSKEEIALLMSAPAVPPLGRVAALSSSMVAVTSSVVAVELGCQLKLKFEITTTTTPSSVTREGSGGGGRRSSSSQHELLFTSRKHHHTKGAVVMGRMFKVEAKVTWSTMGTVYIPFLHRERSLLGDPDNPCSFSCDLLQM >Et_9A_062867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:620006:620433:-1 gene:Et_9A_062867 transcript:Et_9A_062867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFLFDSFSSVSALSRREPDRAHSYYEKGSFEPMEIRYSVYIEIPTSLHCVIRPAFDISILSLFGYHMCLFGLLLNREIGLCPTDNANRSYLMSDSGLYDRSIEILQDSTFVIYSIYHIR >Et_10B_003029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15604964:15610050:1 gene:Et_10B_003029 transcript:Et_10B_003029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMVGGCVGPSELHLRKELTALQKARSLQDPETCSTWRSPLNSRSLVAGSSIMTNNGIACNSVPKPIESPSASSKSHKKRKKVYLYNWRQNSNKSTGSGIKLDQDGGQVSGELRLDSPCNSNGVNSKDDAFLNAPANIYNIESSTSCTPVKRIARRRKGFLSTNGTVRNPAVSKLSDYHVNSGEQSQDTENYNSESHELFQGGSFSRPTSPLFAACGCVSSSNPSKLLRVGRREGSSFSCTPVSASSYYRHDRRKISTFGSWDARTATSFDGDESNQSAWLRSERSHVPSYSSKRRKHRGSEGSYYSPSLSAILRRKGSSLLCGSQTMHRKKRSFGSLKWVHSKKSARGMLLLGNSCDFGSSSFDSSSDELSTNIGELDMEASSRLDGKRWSSCKSQDGIDLAVHGADLATLEHRSLSQKYRPMSFSELVGQNIVAQSLSNAVTRDRVAPAYLFQGPRGTGKTCTARIFSAALCCIATGDKKPCGICKDCTDFFSGNGSNLIELDASNRKGISRIKHLLQNAPPSAAPSRYKVIVVDECHMVSSKVWSAFLKFLDEPLPRVVFIFVTVDPDNLPRAVLSRCQKYVFSKIKDIDIVCRLRRICVKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPALVNDLVGVVSEEKLLDLLEIAMSADTTETVKRSRELMDSGIDPMALMSQLAGLIMDIIAGTYKLADLISCSGSAVGGRSLTEVELERLQQALKILSDAERQIRLSSERPTWFTAALLQLGFQLNDNCAEQWALTNGNSYNLAHIWTRCIENCHSKTLKQLLLDHGKLVSIRQLEGYVIAFIAFEDRGIKSRAEGFLSSITNSVETVLKCNVEVKMGSLSELINEGLTLEAVHKVRRVDSDVLSCSSNNDRLKETLNTSGRGISKVEGQEVPVNMSEIDKNEEQRFESAWLQGVDKQTPGVMTQARHNMHHVISQVVDCQYQRKSSMSPVVPSSHADEALAHEIEALKIVDSYGPHKHQNRRSENWHAMSPSKLHGNDDLANCDKDSV >Et_8A_057266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2341116:2342733:1 gene:Et_8A_057266 transcript:Et_8A_057266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAWGDIYKVVEAMAPLYFALVLGYGSVRWWRFFTPEQCGAINTLVVHFSMPFFTFDFAARTDPYSMNYRVLAADAVSKALAIIFVAAWARGAKGGAWSLSITGFSLAALNNTLVVGAPLLDAMYGKWAVDLVVQLAVVQGLVWFPLLLLAFELRNAWIGIESSTSADIESSASSPAEKDGGAGDDVETDAEGADAPNRIRLWPIVKTVGVKLARNPNVYASVVGVAWACVAYRWHLSMPGIVTGSLQVMSRTGTAMSMFSMGLFMAQQERMVACGAGLAALGMALRFVAGPVATLVGAVALGLRGDVLRLAIIQAALPQSITTFVFAKEYGLHADVLSTAVIFGTLVSLPVLLAYYAVLGIV >Et_2A_015426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12536143:12540434:-1 gene:Et_2A_015426 transcript:Et_2A_015426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKVTNWRPSQSKRKASTESTRDRAASAVRSPQGRLGSPLVMASAFFPFRGRFVRRSYFANWNGMRTKCEYVFLPSVPPCKRLMTVLPLFRRQFLAVDGPDPGQGTVCQETEHFAGSRRRLRFLPKTPTHIPSLPTKPSHKSLTHLLSSASFSLLLSPLPSFPCPAPLSSPPPRNSRPALCTFTSAATREPESGEEGYQRPRLRRRRRRGGRGRGLGRGRKGGMAAARVSIPASARRMIQDIKEIAGGHADEEVYAVLRECDMDPNEAAQRLLNRGTASLSTPPSFLRIGFARLRFPVEQSRRVTRVVGRSGSWVGEEKMRHGLCLY >Et_4B_036681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10328606:10330280:-1 gene:Et_4B_036681 transcript:Et_4B_036681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFGHHGHHGGQNPPAYPPAAGGGHGVPHGGPQQPTFKIFCKADEGYCLTVRDGNVVLAPTNPRDQHQHWYKDMRFSAQVKDEEGNPAFALVNAATGLAIKHSLGQSHPVKLVPFNPEVLDESVLWTESGDVGKGFRCIRMVNNTRLNFDAFHGDKAHGGVHDGTTVVLWEWAKGDNQSWKILPWGDEAYSAAGGSRGGGEPTVRIYCKADDGFSVTVRSGTVCLAPTNPRDDYQHWIKDMRHSNSIKDEEGYPAFALVNRVTGEAIKHSTGEGQPVKLVPYNPNHQDESVLWTESKDVGHGFRCIRMVNNIYLNFDAFHGDKDHGGVRDGTNIVLWKWCEGDNQRWKIKCGVVELHRSSPCSGEICRVWHAAVLLE >Et_9A_061724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15822937:15830647:1 gene:Et_9A_061724 transcript:Et_9A_061724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGDDDSGGKLVVDRYRKREVLGEGTYGVVFKATDTKTGNTVAIKKIWLGKYTEGVNFTALREIKLLKELKDPNIIELIDCFPYKGNLHLVFEFMETDLEAVIRDRNIVLSPADTKSYVQMMLKGLAFCHKKWVLHRDMKPNNLLIGADGNLKLADFGLARLFGSPGRNFTHQVFARWYRAPELLFGSKQYGSAVDIWAAGCIFAELLLRRPFLQGSSDIDQLGKIFATFGTPKSSQWPDMVYLPDYVEYQFVAAPPLRSLFPMASDDALDLLSKMFAYDPKARITAQQALEHRYFSSVPAPTKPSQLPRPPPKGDSGNNKIPDLNLQDGPVVLSPPRKLRRVTAHEGMDGNIQRPDKAEDHPSGARHTDGMSSQSSRIPMSVDVGAVFGTRPAPRPTLNSADKSRLKRKLDMDPDFGYAELHPNPDVWTNGFKLASKLSFFLINLFDS >Et_7B_054504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22160084:22168784:1 gene:Et_7B_054504 transcript:Et_7B_054504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAAGDGGGDRLSSLPDAVLTAIPCHLPTDDAVRTSVLSRRWRHVFATVPVVHLVDRRTQHGYYERDQVCFEQQVSGALLARHASAPIRAFRVRTPEPSSTLLDQWVLLALCSGAEKMDVDLEGRSDRRLCPFASKKDSSADFSSYVVLNSLPRRLFSGGSSLCSLRLCNCKIDLPRGDISLTTQETLVLKRVAAAEGDVQRVISSCPRLADLTLDQCPSATEITVPNSLLQKFAMACCHNATRVKLETPCLLSLTYRGSCIEHFLLDSCTEIKSISIDICEDFAAGRTAAKASSFTGLIRRCTELTSLHLSLRPSMAYNSWHTLGRIGVVDYNGRPAERSLLKLLLSKAKVLKELEVTFGVECTSHQDELRSEISSWQQNQHIKLASISGPPETMATANGGGDRLSGLPDAALTAILCHLPTDEAVRTSVISRRWRHVFATLPVVHLVDRRKQDGYLDRNPVCFEQQVSGALLARDAAAPIRALRVSAVSPSSVLLDQWILLALSTGAGAEEIDVDLEGSYSSRLCPFGPKEEAPSADFDIYNVLCSLPRRLFTGGSSLRHLHLCNCYLDLPRGDMSLGSLETLVLKRVAAPEGDVQRLIGRFTALTSLHLSLRPSMAHYSRMLVSTLRWLQGLRRLELKGCLTSVRTIHAVVDVLRSTNNLEELSLFPLAPEPAKKQDYSCKVYYVPKGIWTSRIRCLKHRLRRIGVGNYHGLPMERTLVKLLLSKAEVLKDLAVTFAAQCAPHQDELGSETAADVR >Et_1B_009746.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2366878:2367315:-1 gene:Et_1B_009746 transcript:Et_1B_009746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVPPVLILVRLTTALPDRPRPRAVTAGPHANMPTGCRTGLAGLLRILRLTSLSVVMQPSSSPSGFTTANGYNTPAPVFFPARDSKTSQHPPNKRDSESGLFGWISAQETKGYCTNKPKTALEQQSKAWHTLLQACNCSCNNCL >Et_1A_005608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1246519:1247828:1 gene:Et_1A_005608 transcript:Et_1A_005608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRGGGAGRRQERQALMVAFGVALLMGTVVYFRIWTRQSSDPSFTADDREELRRQFERANLEAMDESAEWRMKYDTEVGESRRLQDELSKIKASWADSTRRFELLQKEYAILKRQTESLRQQCNCTVPLTIRQA >Et_10A_001797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8272843:8275364:1 gene:Et_10A_001797 transcript:Et_10A_001797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGGEGSSPSRKGKEREEDGAGGSSRREERVEVLELEVDMGDLYGSSSGWVEARTSCPHLHSMPAAGADDLARVPPPDSPCSRCHHPAENWLCLICKDVLCSRFINRHMLCHYQEAGHCLALSFSDLSVWCFACDSYLDVQAILELRPIYEVAHLLKFGERPPFRVLDELNLTSREDGSSSRA >Et_10A_000599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13414516:13420546:-1 gene:Et_10A_000599 transcript:Et_10A_000599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDEFTARIMGIALANEQRKQAFQAQLQAIAEQQQNHQGQFYAPAAMGRNHGLRINVRHSDNRISRNTDNRLIRPSIILSYLWVDINGRGWRGGEWNRGGMGIEMLRSMNMTSTNLYADNSFSKYPQKQEQVPSTEGIIHSTHGKVHQVQSHGPIYVNEVSSSMSNGHLQTNNYDQRHNQGTSPQAQKGKNKVEQVNYPKQKFQDVEYEVEFSTSNNNHEVSIMSDIDNIRVYDESQLRKITNDYNTLLGNGGFANGNLHDKLHGNNKIPISLGVRLQIALECAEALAYIHSSTDSCILHGDVKSGNILLDANMMAKVSDFGLSRLLSVGGNTMHTMNVRCSLGYGDPMFIKEGILTQKSDVYSFGVVLVELITRRKPRDEQENYLAQSFRSCFSKGKRAVNDMIDEEIAKREDRVFVQDIAKLAFECLSTKIEDRPFMKKVAQQLSQIAERRRQGQQSYKINPLHKITSMFTSFS >Et_1B_010364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:111098:111778:1 gene:Et_1B_010364 transcript:Et_1B_010364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVPEPHVLAVDDSIVDRAVISRLLRSSKYRVTTVDSGKRALEVLSLDRNVQMIITDYCMPEMTGYDLLKRVKESAELREIPVVIMSSENSPTRIRQCLEEGAEDFLIKPVRASDVSRLCTRVKLL >Et_5B_043346.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6183638:6183814:-1 gene:Et_5B_043346 transcript:Et_5B_043346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKTRQPKVPKLSIECRIKHHVAGFDITVHNALLPLLVEVQEGGRNASGDLEPQWPY >Et_7B_055894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6786710:6791359:-1 gene:Et_7B_055894 transcript:Et_7B_055894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVRLKWVKNRGLDYLIARTTSIRASCLLLDHLARLPAASPVPARSLARLQKPLGLTVPVLRFLRRHPTLFAEQPHPRFPTLPSFSLTPASHTLLARLADASARDAHLRLARLLLLTRSRSLPLASVLPLRFDLGLPFNFATAFPSSHPGTFAVANNRISLRSASGLPDDIAVSSLQRRHAAAIDAATYRALSRPPSSSSAPLAFPMRFPRGYGGMKKVKAWMDEFHRLPYISPYDDASGIDPDSDIYEKRNVGLLHELLGLTVHKMVRRNAIRLLREELGLPHRFTRLFTRYPGVFYLSLKCKTTTVVLREGYERGRLVEQHPLAAVRDKVHYVMRTGVLYRGKGLSKLVLDDDGAEEDGALDGEGEFHGEGMDEDADVECFGMEIVDDDGPAEYEEDEGESDVLITHRLFVLFLQHGICTVISAGV >Et_3B_031613.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6028555:6028899:1 gene:Et_3B_031613 transcript:Et_3B_031613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAACGPHVEAGGGAPKLRRVAACSEASLLGLAAASTAITLAVSMPPPPGLDTNSCFVALSGVFFAGVTQVTASVWAADDARRLTAGRKLVRASLVVPLVAAVGMSVASLLH >Et_1A_007436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34564968:34568929:1 gene:Et_1A_007436 transcript:Et_1A_007436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFTEKGKKAGPSTPKGRKREFPIRASDYELLEPIGDGATAVVRRARCLPLDGEVVAIKIMNMSQRTESDVINASEEVKTMIMTDHPNLLSAYCSFTEGETLWIVMPYMAGGSCYHLMKSSYPKGFMDENFIAFVLREALKGLEYLHENGHIHRDVKAGNILLDQDQGVKLSDFGVTASLYDSIINRHGKRKTLVGTPCWMAPEVMEQKDYDFKADIWSFGITAVELAIGHAPFSSQPPAKVFLMTLTHAPPSLNNTKEKKFTESFKSMIAACLIKDPTKRPSATNLLKHPFFRKAKSEHNAVKRMINKLPSLGERMQSIKEKETKMQAERKPHDDLKEKASQEYRRGVSEWNFDIEDLRAQAAAYPDDNEAEDFLHFLFEIDTVDETTSLQDIRAQHSSTGDAKMNICDENSGQSDSTSPMSLSQSVNQVDKGSPNGLKCNEPFEVHCIAPANKLTRAVSTCKDVDEYLEKTAFQKGRFKVIHDYNKLEGATQREKELLERINSLEKMLLATQDEVERLKAKGKYTSKGDRVLPMTTIQVELEV >Et_8B_060762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6290160:6291181:-1 gene:Et_8B_060762 transcript:Et_8B_060762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKHALRATYLKTEEEITATTEFLKKTFQWSDAEVRLALSREPYLLNAEYKARVVAAFLISEVGLDPEYIARRPKLITYSLPCRLKPRHYVLKFLKANGLLKHDRDYFTAASRTEKVGSSAILTV >Et_1B_013124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5758214:5759640:1 gene:Et_1B_013124 transcript:Et_1B_013124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNLFFHDRSEPERKEMDMSRPPQIAGVSPATVYFSSGGASVNRRKRAREATAMAPPPPAKEEFVNLFTLQPTQQSTTSLFANNAAHFQSQNRVSSSPSPAAATALVSTGLRLAFDEQQQQQQLQQQECNNKQMNALRYSPSPSLFASVSDELAAQVKQHDEEIDRFIREQGEQLRRAMADRLRRHNRAILVTADQSAARRLREKAAEAEREARRGAELEERLVRLRGEAAAWQAKALAEQAAAVTLHAQLQQAAAAARSAEEELLAVACRGGDAGPAESSSSAHVDPRRAAGGGGQQRASMCDRACLGCRLRPASVVLLPCRHLPLCGECFAAGDADAAMACPVCLCVRTGSVEAILC >Et_2A_015825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18094901:18095534:-1 gene:Et_2A_015825 transcript:Et_2A_015825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLICGNLSFHEMTFAIKTVKCSGYMAPEYAMEGVFSVKSDVYSFGVLILEVVTGIRRNSINNTMGFPNLIVYAWNMWKEGKTRDLALADPSIIDTCLLDEILLCSHVALLCTQENPDDRPLMSSIVLALENGSTTLPMPNKPAYSAHANGEMEQIRGIIIEDSINSCTLTNIEGR >Et_2A_015560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1499429:1508832:-1 gene:Et_2A_015560 transcript:Et_2A_015560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGARNGGGGAGPRMSAKLDRQSSNATPKAAAGKQRLSSASAAGAYRRTSSGPLPAAGGGRASSDSGVSGRVRVAVRLRPRNAEELVADADFGDCVELQPEVKRLKLRKNNWESDTYEFDEVLTEFSSQKRVYEVVAKPVVESVLEGYNGTVMAYGQTGTGKTFTLGRLGEEDTAARGIMVRALEDILADITPETDTVSVSYLQLYMEMIQDLLDPVNDNIAIVEDPRTGDVSLPGATVVEVRDQKSFMELLRVGEAHRTAANTKLNTESSRSHAILMVNVRRAVKGRNEMEVSISGENGHSSSMMGSFRPPIVRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSPHVPVRDSKLTRLLKDSFGGTARTSLVVTIGPSPRHRGETTSTIMFGQRAMKVENMVKLKEEFDYKSLCRRLGIELDKLIAENERQRKEFDDEVERISADAQHRIAEAERECKIALENEKMKYHQEYLDSIRILEEKWKVHQQSPKKQIKEDESTSSDAGDVHNLLQNEKMLRQSAEEEANDLKNQVSHWKKMEATATAEVVKLRKMLDTEASRKDKLEEEIGVLRSQLLQMSLEADETRRSLDRGDGPGKIFPGLDSLVSQTRAPQPKEQSNGPKQPIAQLFEQVGLQKILSLLESEEPEVRVHAVKVVANLAAEEANQEKIVEAGGLTSLLMLLRTSEDETIRRVAAGAIANLAMNETNQDLIMAQGGVTLLSMTASDAEDPQTLRMVAGAIANLCGNDKLQTRLRGEGGIKALLGMVRCGHPDVLAQVARGIANFAKCESRAATQGNKVGKSLLIDDGALPWIVKNANNEAAPIRRHIELALCHLAQHEVNAKNIISEGALWELVRIARECSREDIRMLAYRTLTSSPTLEAEMKRLGIKL >Et_4A_034746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:683583:685500:-1 gene:Et_4A_034746 transcript:Et_4A_034746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRRLNFPRPHPPSRSLTSRSSPPRSWAGSRRMLPAVRYLLGSPGANGFGSKSTAEEVCPELGSSSVTAIITGATSGIGAETARVLAKRGARVVIPARSLKAAEDMRARIRHECPDADVLVLPLDLSSLASVRAFADRFLQLGLPLHLLINNAGKFSHGQLALSEDGVEMTFATNYLGHFLLTKLLLGRMAETAATSGVQGRIVNVSSSVHGWFAGDWAEYLHLVTRRKIAYDATQAYAVSKLANVLHTRALAARLQEMGANVTANCVHPGIVRTRLNRDREGLLTDLVFLLLSKLLKTIPQAAATTCYVAAHPRVAGVTARYFADCNEALPSPAATNAHEAHRLWQMSEAMIDGRTDLEELLLFPAQSQAGASDQRT >Et_1B_010405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10139254:10140912:-1 gene:Et_1B_010405 transcript:Et_1B_010405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSLLPAASPFVLSIATVIIAVLCNLVRQRGASDKRPWRAAVTRDSVLRLLGIRLGDVPTFVVRDGAAAVDALVRRADAFSDSSGGPSTTLSGGRFPNITSMPYGPRWVALRRNLHSEAFHPVRGLARAAPQRARVAAALVDDIAARGSGGVVPVRECLYAALFALNVATCFGDGVVSGEQVEVMRVAQQEFLSLVPSFRVFKTFHKVARLLYADRWKQMVQCRRRQEELYIPLIRACQERRRRRTPGAATTSYVDTLLDVEVPTTTEGAHRRRTKLCEGEMVSLVSEYLGAATGNVEAALEWALANLVRRPDIQSRLRREVEAAGGEAAACAYLRAVVMESLRRHPPQSAVQRHVSSDVTVGGTAVAAGTMVVFSLEDIGRDAKIWTCPEEFIPDRFMPGGEGADVRLNIGNKEATKAKMMPFGAGRRICPGMEYAILNLEYFLAKLVTAFEWHPIKGEKVDLTPEHAFFTTMKHPLRARVVVRRTTVPETL >Et_2A_015429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12596789:12598460:1 gene:Et_2A_015429 transcript:Et_2A_015429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDLRVHFKNTRETAFALRKLPLTKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKNRQPNGQGRWPAKSARFILDLLKNAESNAEVKGLDVDTLYISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEAESQIATRKA >Et_10B_004145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15677788:15680966:-1 gene:Et_10B_004145 transcript:Et_10B_004145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNSVRATIERYKKAHACGSSSGAPLLEINAQQYYQQESAKLRNQIQMLQNTNILVFWLICLTCERHLVGDSVGNLSLKELKQLESRLEKSISKIRARKSELLATEINYMVKRETELQNDNMDLRTKMRMLIKLLLVHVADNLFHGSSAQIAEGEQQLQQVTVARSATISMEQMNPFAALDTKCFFPAAPFAALDMKCFLPGTLQLLEAQNQMLVTELNLGYQLAPPGTDITHHQF >Et_5A_041939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4490510:4505481:1 gene:Et_5A_041939 transcript:Et_5A_041939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDTISHFSHPGHELVKRHHVGPSYLCDMCWEHLTGPAYGCSAGCDFAIHDSCAAHPQTLYSPVHHAHELVLTQIQRHGFVCDICVGQCAPNSFLYHCAPCGFDMHPRCAQLPQTAVHSTWHSGHDLTLVIAEGRCAACYNGAPGGARGWFYRCSACNVNLHVSCASDGGQAVSNADPEGKYEALEKYGKDLTAMARRGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAIAEGLAQRIVQGDVPQALTNRQLIALDMGALIAGTQYRGEFEDRLKAILKEVTDSNGQIILFIDEIHTVVGAGATDKSAMDASNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPAVEDTISILRGLRERYELHHGVRISDSALVAAAVLSDRYISGRFLPDKAIDLVDESAAKLKMEITSKPTALDEIDRAVLKLEMERLSLTNDTDKASKDRLSRIEAELSVLKIKQRQLTEQWDHEKSVMKKIQSIKEKIDRVNVEIQQAERGYHLNRAAELKYGSLNALQLHLQATEKDLDEYQSSGKSMLREEVTQDDIAEIVSRWTGIPVSKLKQSDREKLLYLEQELHKSVVGQDPAVKAVAEAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKTLASFMFNTTEAIVRIDMSEYMEKLSVSRLIGAPPGYVGYEEGGQLTEAVRRTPYSIVLFDEIEKAHSDVFNIFLQILDDGRVTDSQGRKVSFTNTIIIMTSNVGSEYILNMDVESGSSDSAYENIKRRVMDAARNVFRPEFMNRIDEYIVFKPLEREQINYIVKLQLARVQKRITDCKIKLEVSPGAVEFLGSLGYDPNYGARPVKRVIQQYVENELAKGILRGHFKDQDNIFVDTLVTVPTNGQRLQQKLVFRKLGEESKPAAEDEKFFQLEHYFRDQLAPVQQHLNPYWVKHELVKQNYTWSFGCDFCLEDLSGSGYGCRSGCNFDIHESCAGHPLTLSFAPLHAHELMLVQIQRGELVCDICIGQCVPGSYLYRCLPCGFDLHPRCTALPLAAVRSSLHPEHGLTLVVADGSSCAACHKGTPGHGPRGWFYSCSACNVDLHVSCASGGGHFRSMREQQANLQNASDHVSPPAMARQGKIDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAIAEGLAQRIVQGDVPQALSNRQLIALDMGALIAGTKYRGEFEDKLKAVLKEVTDSDGQIILFIDEIHIIVRAGAVEHGAMDASNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTISILRGLRERYELHHGVRISDSALVAAAILSDRYISGRFLPDKAIDLVDESAAKLKMEITSKPTALDEIDRAVLKLEMERLSLTNDTDKESKDRLSRIEAELSLLKIKQRQLTEQWDHEKSVMKKIQSIKEKTNRVGFVRQLTYMYLLQQIDRVNVEIQQAEREYHLNRAAELKYGSLNALQRQLQATEKELDEYQSSGKSMLREEVTQEDISEIVSRWTRIPVSKLKQSDKEKLLYLEKDLHKRVVGQDPAVKAVAEAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALASFMFNTEEALVRIDMSEYLEKHSVSRLIGAPPGYFGYEEGGQLTETVRRIPYSIVLFDEIEKAHSDVFNVFLQILDDGRVTDSQGRKVSFTNTIIIMTSNVGSQYILNMDEEGRSSDSPYENIKRRVMDAARNVFRPEFMNRVDEYIVFKPLQREQINNIVKLQLARVQKRITDCKIKLDVSPGAVEFLGSLGYDPNYGARPVKRVIQQYVENELAKGILRGDFKDEDNIFLDTQVTLPSNGHLQQQKLVFRKIGEESKPTDEDEKFFPFDHYFRDQLAPVQKHLLDE >Et_9B_064406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14971721:14973502:1 gene:Et_9B_064406 transcript:Et_9B_064406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSEAPPGNPKAGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTAGYSYSAANKNMAVIWEENTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKEATSS >Et_1A_008462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7966311:7971149:1 gene:Et_1A_008462 transcript:Et_1A_008462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGDELVEWDKMAGHEAVNGGGGGPAKLDRIQVLVRLRPLSEKEIARGEPAEWECINDTTVMFRSTFPDRPTAPTAYTFDRVFHADCSTKEVYEEGVKEVALSVVSGINSSIFAYGQTSSGKTYTMTGVTEHTVADIYDYINKHEERAFVLKFSAIEIYNEVVRDLLSAENTPLRLWDDAEKGTYVENLTEVVLRDWNHLKGLISVCEAQRRTGETFLNEKSSRSHQILRLTVESSAREFLGKDKSTTLVASANFVDLAGSERASQAMSAGTRLKEGCHINKSLLALGTVIRKLSMGSNAHIPYRDSKLTRILQPSLGGNARTAIICTLSPATSHIEQSRNTLLFGSCAKEVVTNAQVNVVMSDKTLVKHLQKEVARLESELRRPASNSTLEAQVKEKDIQIKKMEKEIKELKSQRDLAHSRLQNLLRTVGDRSKHSGSGKRSARSPPTELPSEISRDDSSQISNDDSDLYKEVRCIETNGTGGNEQLDVSAGESSSPQGSNMNSGVRGSGSNTSVNSTRSRLLGETPITLEQHLENIRRPFVSLTRDIGSSTRNSSGSRVLGRSRSCRSLTGSTIFDALEVDDGTPLHRSTVDFAGRLEGYHRRGSALNNDAESETLSRAGSLLSEVSTSKGATKANGAGDAEFTGIGEFVAELKEMAQNTNGDEDGTIKSIGLDPIVDALQSPSRWPMEFEKKQQQIIELWHACSISLVHRTYFFLLFKGDQADSIYMEVEHRRLSFLRDTYSRGSTPSNAVVGSLNSSPVASAKKLQRERDMLARQMQKQLTAGEREHLYTKWGVSLDSKKRKLQVARRLWTETKDLEHVRESASLVARLIGLQEPGQVLKEMFGLSFAPQQQPPPRRRSSNGWRYGIPSFS >Et_1A_009171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31738844:31741997:1 gene:Et_1A_009171 transcript:Et_1A_009171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLTSFCFVYVAGNGTALVLFLSPVPTFGRIWKKKTVEQYSPIPYVATLLNCLMWVLYGLPLVHPHSMLVITINGTGTVIELTYITLFLLYSVGATRRKVLLLLAGEVAFVGAVAALVLTLAHTHERRSMVVGILCVLFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLVNGICWTAYALIKFDLYITIPNGLGVMFAVAQLVLYAIYYKSTQQIMEARKRKADQIAMTGVVVDGKPAATATNGNGAAAAAGGHY >Et_7A_051467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1983102:1987064:-1 gene:Et_7A_051467 transcript:Et_7A_051467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASASSAGDEKGGAAPPQPQEPAPVEQCKGANGLDKVVLREVRGCSAEVYLYGGHVTSWKDEHGEELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGNLEQHGFARNRTWTIDTDPPPFPVPTSNRAYVDLILKPTEEDLKIWPHSFEYRLRVALGPGGDLMLTSRIRNTNADGKPFSFTFAYHTYFSISDISEVRVEGLETLDYLDNLQNRARFTEQGDAIVFESELDRIYLGTPSKIAIIDHEKKRTFVVRKGGLPDAVVWNPWDKKAKAMSDFGDDEYKRMVCVEAAAIEKQITLKPGEEWTGKLELSAVPSSYYSGQLDPDRVIQDSNVPEDSIS >Et_2B_020254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18469788:18470166:1 gene:Et_2B_020254 transcript:Et_2B_020254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHDIELRRKLKQSMLKEWTDMMDMLGSFSLNVEMDQVSWAYEKSRTPNRGAVKKEKNGWVTETVFSTMQQKPVTVLFLTACYP >Et_8A_057146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21562735:21564825:-1 gene:Et_8A_057146 transcript:Et_8A_057146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMTVSRSAAACRAAPALPASRSTARPLALPRRALSGAPARRLVAAAAGDKVETAQEAVPIEKRFPPFPSVMDINQIREILPHRFPFLLVDRVIEYKGGEYAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRDNFFFAGIDKVRFRKPVIAGDTLIMRMTLTKYQKRFGLAKMEGKAYVGGDLVCEGEFLLVSATE >Et_7B_054003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14127374:14128047:1 gene:Et_7B_054003 transcript:Et_7B_054003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGTVREHNSSLSRCLKGTYRGGIMRSYGISEPRRTRQQGFSGYAKLRMFNRALDIFREMQQNGVEPTGLTIVSVLGACAEMGELEQEREIHNYIWSKGIAAYGYNGNALVDMYAKCGNLQLAQQVFDSMSIRDVTCWNAMIVGLSLHGYSHDGLVDEGRAYFNSMIEDYKFCQCEALWLYDRYVKLLWESP >Et_5B_045450.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22892428:22893315:1 gene:Et_5B_045450 transcript:Et_5B_045450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARAAASLLAVAAVLVAVLAVPAMATKTGQVTVFWGRNKTEGSLREACDTGLYTMVIISFLNVYGHGKYSLDLSGHPLAGIGADIKHCQSMGVPVSLSIGGFGSGYSLPSKNAALDLSDHLWNAYLGGSRPGVRRPFGDARLDGVDLFLEHGTAADRYDVLARELAKGNNVRVAGKKPLHLTATPRCTFPPARYLRRAIATGVFERIHIRIYDDDKCGAYWQLAWDKWTAAYPATRFYVGMTASMMTSGWIHPKNVYYDTAPYSQKAANYGGFMVWNRYYDKQYGYSSYLKSYA >Et_1A_006261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19833010:19834870:1 gene:Et_1A_006261 transcript:Et_1A_006261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVQVLPQDADDGATVLKCRLCRVDAASSGDILSKEFQGRYGRAYLFHHVVNITLGPNENRNFTTGLHTVKDVYCNCCQQLLGWRYEKAYEESEKYKEGKFILEKAMIGKEA >Et_3B_031102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15771486:15775522:-1 gene:Et_3B_031102 transcript:Et_3B_031102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVLKDDAVEEKGERARMASFIGAMAIADLVKTTLGPKGMDKILQSTGRGRNVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIAGYRMAAECARNALLQKTMDNKENTDKFRSDLMNIAMTTLSSKILSQDKEYFAELAVDAVLRLKGSTNLEAIQILKKPGGSLKDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMSKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGEIASTFDNPESVKLGHCKVIEEIMIGEDKLIHFSGVELGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVVFGGGWPEMVMAKEVDELARKTPGKKSHAIDAFSRALQAIPTIIADNAGLDSAELISQLRAEHHKENSTAGIDVITGSSLKLVISRDAQVGDMQQRGISESFKVKQAILLSATEAAEMILRVDEIITCAPRRREDRM >Et_4A_032539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11165299:11174660:1 gene:Et_4A_032539 transcript:Et_4A_032539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVSRDDELVIKSPNDQRFYRLLRLANGLCALLVHDPEIYADGYPDPHAPKPHKDEDMGEDDEGDEDEDDDDDEEEYSDEEGEDEGDEGDEEEDEEEEGSEPKRRKEKGGADALVKKAAAAMCVGMGSFADPANAQGLAHFLEHMLFMGSSEFPDENEYDSYLSKHGGASNAFTETEYTCYHFEVKREYLKGALDRFSQFFVSPLVKAEAMDREILAVDSEFNQVLQSDSCRLYQLQSHTCSQGHPLNRFTWGNKKSLVDAMGSGVNLREEILQMYMTNYHGGAMKLVIIGGEPLDILEGWTLELFRKVKAGPSLDISPKTDIPFWKSGKLYKLDAVRDIHSLCLSWTLPCLHKEYMKKPEDYLAHLLGHEGKGSLLYFLKAKGWANSLSAGVGTGGSQRSSYAYIFEMSVRLTDSGLKNLVEVIGAVYQYIKLLKQSEPQEWIFKELQDIGYMEFRFAEEQPPDDYAVDLAENMLFYSEKHIVCGEYIYEDWDPELIKHALSFFNPDNMRVDILSKSFDKQSQAIQCEPWFGSQYIEEDIPSSVIDSWRHPVEIDADLHLPRKNEFIPGDFTLRNANSPKTSNEDNPRCIVDEPFIKLWYKMDMTFNVPRANTYFFISIKDGCSSLENSVLTDLFVNLLKDELNEVLYQAYVAKLETSLSVVGSKLELKLYGYNDKLPILLSNILSASQSFSPKEDRFEVIKEDLERAYKNTNMKPMSHSTYLRLQVLREVFWDVDEKLEVLMKLSFSDLVAFVPKLLSQLHIEGLCHGNMSEEEAMNISKIFRNTLSAQTLPEDARHGERVICIPNGANFVRSVRVKNDLEENSVVEVYFPIEQDIGKEATRLRAITDLFSSIIEEPCFDQLRTKEQLGYTVDSSPRMTYRMLAYCFRVMSSKYSPVYLQSRIDNFINGVSTLLDELDEETFEHHRSGLIADKLEKDPSLSYQTGDFWSQIVDKRYMFDMSKLEAEELMTVRKDDVITWYNTYIRSASPKRRRLAVHVYGCNSDIAEAVKLQEQSWTAIDDVKSLKVSSQFYSSLC >Et_6A_045873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22327035:22327835:1 gene:Et_6A_045873 transcript:Et_6A_045873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVCITKWACGSAIDDMLNSRNPRITSVVVHIDVNGKEALIMNSKSVQCSLMESHINELVTHIYRPPGGCPRLAHCDPEALSKTDRCNYSLIWDHEQWQRWWKFHLLGGVPPLAAHSSKADTINMGR >Et_4B_038403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28831139:28831901:1 gene:Et_4B_038403 transcript:Et_4B_038403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDAGRQSLLGSFWIFSRMQRATLRSKVWMLMPSTFRTFK >Et_1B_013195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6346558:6351421:1 gene:Et_1B_013195 transcript:Et_1B_013195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPARALPALLLLAVVVVAAEAASSAGSGKVPAIYVFGDSTADVGNNNYLPGSAVPRANFPHNGVDFPTSRPTGRFSNGYNGVDFLALNMGFKRSPPPFLAVANKTNKQILSGLVGVNFASAGSGILDSTVSHSRRKPSQGYSVLFLARTLTSASITFFVQGNFIIPLSKQVEQFATVQRNISARIGQGAADTVLSRSLFLMSTGGNDLFAFFSRNSTPTAAEKKQFVSNLVALYQNHVKALYVLGARKFAVIDVPPIGCCPYPRSLHPLGACIDVLNELARGFNKGVKDAMHGLSASMPGLKYSVGSSHAVVQSIMKHPQRLGFKEVTTACCGSGKFNGASSCTPNATLCDNRHEYLFWDLLHPTHATSKIAAAAIYNGSLHFAAPINFRQLVRTYETFSEPCWTNLCVVDDLTGLYLGMLLYAQFLGFPMSPPAYLSLTGRTLRSQMYKGINFASGGSGLGDNTGRLLFGEVIPMSLQLDYFASIVEHMTKLSGSRKTASLLCKSVFFISVGSNDMFEYSVTRGDDTEFLRSLVAGYKRSITVEKNVLKFCRARTATMQALYKMGARKFSVISVPPLGCIPSQRLRRLNETGTQGCYDHLNELSLRSYPMLAAMMQDLGHELPGMSYSLGDAFSMVSFVLANPHTKDWKFTELEAACCGGGPFGAAFPCNKSAPLCVRRDEYLFWDANHPTEAASAIAAQTLFAGNRSFVNPINVKELALL >Et_9B_064970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19988489:19990272:-1 gene:Et_9B_064970 transcript:Et_9B_064970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDENGIELSLGLSLGGGSSGKSKVREAPLEPKPEPQVEESSSKGGSQTPDAPFGKYYQKSADNQEHNSKQSHGSAAPSLGKFWEQPGCSSTPCGNNSEEQKPVTSNHKLPSEESFQKKHNTAADQPDAFSKSSDGGAKNAPISISTDDGSTGENEDVAESEAEGSNSWLVAQREDSAKGSVVNKGSDRKRSADDTTVGFQGKRQPSFSGSESSSGKLPPGNPLSMQASSVVAVPYQVPAQVSGPPTITNAPNFHTVCTVQLRPPTNGGPTVHTTSVASQFAFGYPAVQLPTLETSSSWAFGAPLQAQSSFTVKDRAEQTGAKQADDGKKLQDAGASSAARTEDEKKADRAFPLMGSAIRPGIAPNVKFGGSGSYPDLPWVSTTGAGPNGRTISGVTYKFGRNEVKVVCACHGTHMSPEEFMRHASADAPGQENSGTLPQFSVGNPAASAEN >Et_1A_009309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38167127:38169004:-1 gene:Et_1A_009309 transcript:Et_1A_009309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >Et_1A_009356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40319098:40321232:1 gene:Et_1A_009356 transcript:Et_1A_009356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAMALAILFFCLLILSSAAIAFLLIRYCLAAAAHRRQPHGAVDPEAPLTPQPEPEPEPELSSLLAPAGGNKEEPRRLTWREVEALTGGFDEAAVVGRGGSSVVYLARLRHGAPVAVKVHRWCGGERRLRAFRQELDLLRRIRHPHIVALLAYSDDHEEGGALVLEYLAGGTLADRLHGDAAAPLPWAHRMRILHDVACALEHLHDASSGAPPVVHGDVSASNVLLDGGSGSVARLCDLGSSCEGFSAAVAPSRAAVGSPGYADPFFLRTGIVSKKSDVYSFGVLLLEAITGLPAAASGPDGTANLTARVLPRVRKQGVEGLVDGGLGDDYDVEEAGDLAMIAVECVAAQPGLRPTMEQVRAAIAEKAARSIARADYGHHIQLSKLLELT >Et_7A_051999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3555711:3556653:1 gene:Et_7A_051999 transcript:Et_7A_051999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGIFKGLRVVSQIFTAKEREMEIGRPTDVKHVAHIGWGTSTGNASPRWMNDIVASPDLSSFRNFAASTGTSWASQDFDLQPRDASSSHEVSEDARHRHDAAPCPDVPRPPRKTRRRKPKDGAPTRDSISSAPIAAAAPDAADGTQ >Et_3A_023100.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27518766:27519787:-1 gene:Et_3A_023100 transcript:Et_3A_023100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKRYFICGAPGHCQAGMKMEVEVADRPAPTTPTSPPPLPPPPPPAGYARRQQPAAPAPTTVQLTPAPMPSRSLSPAPAPAPAVAALPPSRRVGHKKKHKKRYCPPETAPAKAPNAVQSVEADFPVAAFAPMSTPPPPPTSGGSAVPRATRGEVAVALAAVAGFMLVAP >Et_1A_006654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25807787:25809094:1 gene:Et_1A_006654 transcript:Et_1A_006654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNAQRQVERTGRYGTSRDQYLQDLVTQFQSATDEESKEKIVANLANFAYDPFNYAFMRQLNVLELFLDCITEPNERLVEFGVGGICNSCVDPANASVIIQCGGIPLVIQCLSSPVRNTVTYALGALYYLCNPSTKKDILKPDVVRIIREYAAAGSVNVSFSNLANAFLEKHVGS >Et_8B_058639.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:12199755:12199922:1 gene:Et_8B_058639 transcript:Et_8B_058639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASLVAFTGSVVGPLSLLFFRAAPFLNFVRRLAGCLQNPQPGRRAVRAPWKRD >Et_7B_055051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6893905:6896711:1 gene:Et_7B_055051 transcript:Et_7B_055051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAETGTPLWRNGPPEKPVLCNACGSRFRTKGSLANYTPMNRRDDIDDEEPRISKLKPPTSKPKAQKAKKKPPTIVENGPFSSQNFRRMADADPSNRSSSGSAVSYSESCAPYGSADASEMTGSAQSHAWESLVPSRKRSCVNRPRPSPVEKLAKDLHSIMHEEQLYYLSGSSEEDLLYHSETPLGSVEMGSGSVLLRHPNSKSLEEESEASSIPAENKSYITSESYSGSASFVVHSGSKAASNLNAAIERPKRSLLQIEDNVRRDTLHYENPHILESADSPLVSIDLEDIISYTNFVKYLTKEDQQQLLKLLPLVDSSNPPESLRSMFSSIQFADAIHNYQRLLGEGILDPFFSTNGEWNVVKRLALTNLTNCKWLECYKQLKAKEFKETGEEENISGLKGVTTPAMKQLKRPRDTHFHTSAELKVAMRSPKRVLKPGDLAAQCRSSSLPKSGYADFACTGRALNLFSLPPEKLSSLISPQYTDNYSDQDLLLDIPVNARHPEAELLYQPSQLSSVTRISTCMGGVAEGEGRLKQQ >Et_9A_063294.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19156342:19157991:1 gene:Et_9A_063294 transcript:Et_9A_063294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDAARSRRRMDLNLYLGLPRAPRARRPDLGSDLVLGTPMLSSSSPSSSAASADAPPLEVDPVHPPYSPSRADLVRPPTPAHEPYYPSAPDAHPPYVPPPLPVPGVTPVLADELEFGLSDVQLGLVGRMDRPSSSTASSSFRPERAERFRRLMCLSSRQSRYFRPRRFRSDLPPLSSETPSLDDVIAVAAQPPEPEEPVHDTVEENKVAVDGAIVSVSEDEGTEHGKNAAMFECNICFEMATEPVVTSCGHLFCWPCLYQWLHVHSSHKECPVCKGEVTAGNITPIYGRGNSGSDTEKKVAEDSNTSGPKIPPRPHGNRLESFRQQFHHLRPVSRRLGEAHGILSTWRRILDQHLVNSMSRVEGPPESTVQESRIGRVTRLRARRLQREVENPNFVASSASGSGPPISTTFDSPPRHTSSPLSSEGIDILRHIAFSGLEDSERLATALGDLRRIARPSQFGASTSSNPPNPEPLDGTNVTIPLAADQASNSSTMAVIQEDAAFTESAGEPSNAGSSRSLRRSRRIDALASLDVDGGDLHRNKRRRLN >Et_9A_061449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12122035:12122347:1 gene:Et_9A_061449 transcript:Et_9A_061449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGILPTKLFDDMPNFCILSIKANDASKAPESQFMPPKPRRLPENPRALNCVMEPRNCRPPPLKLLALTRS >Et_3B_031664.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:7233021:7233254:1 gene:Et_3B_031664 transcript:Et_3B_031664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAHKVATHMGKTTGKRPPSVIREIVYGMSLGLFAGFLWKMHHWSNQRRTREFYSLLDQGRISVVVDDPSSGAED >Et_6A_047336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4100786:4101704:1 gene:Et_6A_047336 transcript:Et_6A_047336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGKSKRCFNFLCHGFVQTSSKIALGTSFINGGSSITYDGVPYVAMSIHKVPGQQQWWVSVNDTVIGYFPHTLFPTFFPESYINQLGGIVRNSRPNGVHTDTTMGNGRMPESGGSAVIKAYLAVAANGMDKKDRPVTLGATAPKCYDATVLGENLQVPGYDIAYGGPGGSGCDQ >Et_3A_025433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29964101:29967110:1 gene:Et_3A_025433 transcript:Et_3A_025433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVPEPRRLSRALSSGCGGVPEEALHLVLGYVDDPRDREAASLVCRRWHRIDALTRKHVTVGFCYAAEPARLCARFPRLESLAIKGKPRAAMYGLIPEDWGAYAAPWLAEIAAPLECLKAVHLRRMTVTDDDIAVLVRARGHMLQVLKLDKCSGFSTDALRVVARSCRSLRTLFLEECNIANNGSEWLHELAVSNSVLVTLNFYMTDLIVEPDDLELLAKNCKSLISLKISECDLSDLIGFFQTAKALEEFAGGAFNEVGEYTKYEKVKFPPRLCLLGLTFMGTNEMSVIFPFSATLKKLDLQYTFLTTEDHCQLIAKCPNLVVLEVRNVIGDRGLEVVGDTCKKLRRLRIERGEDDPGQEEQGGVSQLGLTAVAVGCRELEYIAAYVSDITNGALESIGTFCKNLYDFRVVLLDREREITDLPLDNGVRALLRNCTKLRRFALYLRPGGLSDVGLGYIGQYSGNIQYMLLGNVGESDQGLINFAMGCTNLRKLELRSCCFSERALALAVLHMPSLRYIWVQGYRASQTGRDLLLMARPFWNIEFLTADGLPSVDSQAQVLAYYSLAGRRSDCPQWLVPLHPA >Et_2A_015395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1185507:1191503:1 gene:Et_2A_015395 transcript:Et_2A_015395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLPWRWAVALVLLAAAAAAEAGGGGGGSDGDGKALMAVKAGFGNAANALADWDGDRDHCAWRGVACDSASFSVVGLNLSNLNLGGEISPAIGDLKSLQSVDLKGNKLTGQIPDEIGDCVSLKYLDLSFNLLYGDIPFSISKLKQLEELILKNNQLTGPIPSTLSQIPNLKILDLAQNKLTGDIPRLIYWNEVLQYLGLRGNSLTGTLSPDMCQLTGLWYFDVRGNNLTGTIPEGIGNCTSFEILDISYNQISGEIPYNIGYLQVATLSLQGNRLTGKIPEVIGLMQALAVLDLSENELVGPIPPILGNLSYTGKLYLHGNKLTGYIPPELGNMSKLSYLQLNDNELVGTIPSELGKLTELFELNLANNNLEGNIPANISSCAALNKFNVYGNKLNGSIPAGFQKLESLTFLNLSSNNFKGQIPSELGHIINLDTLDLSYNEFSGPVPPTIGDLEHLLELNLSKNHLTGSMPAELGNLRSVQVIDISNNNLSGHLPEELGQLQNLDSLILNNNNLVGEIPAQLANCFSLNTLNLSNNNFSGRVPSAKNFSKFPMDSFMGNPMLHVYCKDSTCGHSHGTKVISRTAVACIILGFIIFLCLMLLAIYKTNRPQPLEKGSEKPVQGPPKLVSLQMDMAIHTYEDIMRLTENLSEKYIIGYGASSTVYKCVLKSGKAIAVKRLYSQYNHSLREFETELETIGSIRHRNLVSLNGFSLSPHGNLLFYDYMENGSLWDLLHGPSKKVKLDWDTRLKIAVGAAQGLAYLHHDCNPRIVHRDVKSSNILLDENFEAHLSDFGIAKCVPAAKSHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGMKAVDNESNLHQLILSKADDNTVMEAVDSEVSVTCTDMSLVRKAFQLALLCTKRHPSDRPTMHEVARVLLSLLPAPAVKPPSSKTVDYTRLLATTTADMTHDVSDIGDNSSSDERWFVKFGEVISKHTIS >Et_7B_053584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10043149:10046592:1 gene:Et_7B_053584 transcript:Et_7B_053584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASEGGGGNGSNPAEVWRAEEAIAGNRKALEALRELVAYPFLYARESRLLGLKWPRGLLLHGPPGTGKTSLVQAIVRECNAHLTMINPYSVHKAHVGEGEKFLRDAFSEAYSQAARGKPALIFIDELDSICPRRNNRRENESRIVGQLLTLMDGNRKSLKMLPHIVVVASTNRVDAIDPALRRPGRFDSEIEVTVPTVEERLQILKLYTKNLLLDENVDLQIIAASCNGYVGADLQALCREAAKLAYHRMSNFSGGDKVLKLLVEDWESARSMVRPSMTRGVAKEISTVSWDDIGGLKDLKKKLQQAVEWPIKHSAAFARLGISPVRGVLLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSKYVGEGEALLRRTFQKARLASPSIIFFDEADAIAPKRTGPGGNSGGNATVGERLLSTMLTEMDGLELATGIIVLAATNRPNAIDAALLRPGRFDMVLYVPPPDVEGRYDILRIHTRKMKLGEDVDLWKVAECTELCTGADLEGLCREAGMAALREDLSANLIRNTHFQAARNSLNPSLTKAVVAEYSNAAINNSSTRRTN >Et_6A_047736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12270499:12275689:-1 gene:Et_6A_047736 transcript:Et_6A_047736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGQVHKKAVPTSAGDLLGTLPDALLQHVLSFLPTQQAVRTCVLARRWQHLWEDMTGLRISAPNSPDVPCVPDDLALVKEIREFVDHLLLLRGRASIDRCEFMFNVPADCDDDVPHVNLWIRHVIQCNVRRLHLSISREDHDSGLYFFVDNLPIVSRHLTRLELTDTGLNDSFLDFSGCPVLEDLVIFNGNFVHVKKLHSKSLKNLSLLDCTNNNQLRTYIDVPNLLSLRLEDPSDRTPVLGSMPSLVTAFVRYSVQTWPYDRCYNSELGDCGDDRCEACNGMKDDTERNKSVLLKGLSKAESLVLIDCCQTGPEYKVKIKGIYSAIEGSAAISEYLKTVEIKCDMVDDRQSPPSPSLFPDQPPLPHGGDGQLSPNDMMLPYISRMLMEDDVEDKVCDHPALLQVQEPFAQILFAPSFGVNWGNNTNTANRDGTKDLLQDGSCDQSTIDSAFLKGADAVGSLLKGMEEAKTFLPKNDNFKRNELVNQRFGENSNHCGPKEMYSRDAHLEEARIAEKSVTVMEPEGVDARKMLDEVMVHGYEKCISDMKKLRITIANEVKKNSRKSGVNPVKHVVDLPTLLIYWAQAVAANNHKNTWELLKQIKQHAAATGNPTQRLAQCFAKGLEARLVGTGTKLWELLMVERPSVMEFLEAYQLYVAACSFDNIALSFSVMTIMDKMVGKRKLHIVDYGLHYGFQWAGLLRLLAEREGVPPQVKITAIGHLHPSSYAADDQIEETGHRLYRLALELGLPSFKFHAIRTKWEDICIKDLNTDSNEVLVVNDHFNLSTLMDESVCFDDPNPRDTVLGNIRKMRPDVFVQSIVNCTYGTSFLSRFKGALFHYMALFDMLDATIPRESKPRMVLEQGLFGRYAMNAIACEGLDLVHYPEKYTQWQARNQRAGLRLMPLKPDIVMGLENKAKKHHKDFLLSEDGHWVLQGWMGRILFAHSAWVVEDTPSE >Et_2B_020974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25363146:25370518:-1 gene:Et_2B_020974 transcript:Et_2B_020974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSKAEFLEQFGADYGYPDAPRGIDEMRATEFKRLEGTVYLDHAGATLYSEAQMADVVKDLTSSVYGNPHSQSDSSMASSDLVTSARHQVLKYFNASPRDYKCVFTSGATSALKLVGEYFPWSRDSCYVYTMENHNSVLGIREYALGKGANVLAVDVEEVGDLPKNHGSDTVFKISSHSIRRRGNDALFHNHQNGCLTASSGNHMNLFAFPSECNFSGHKFNLSLVKHIKEGKFIGFPSQKQGQWMVLIDAAKGCTTEPPNLAVCPADFVVCSFYKIFGYPTGLGALIVKNEAASLLNKTYFSGGTVAASIADIDFVRRRKAIEQVLEDGTVSFLSISSLRYGFNIIGTLTISAIARHTASLATYVRTKMLDLKHSNEKNVCTIYGQQASKVNDLKMGPTITFNLKREDGTWFGYREVEKLASLSGIHLRTGCFCNPGACAKYLGLSHSDLVSNFEAGHVCWDDNDIINGKPTGAVRISFGYMSTYEDAEEFLNFLRSSFVSKAIALNNGHMLNINALELIDDWSSQAVSDIHLKSITIYPVKSCQGFSAQSWPLTTGGLKYDREWLLQGPGGEILTQKKMPELSSIHTLIDLELGKLFIESPKCKDKLQISLEQLTHLTAELDVYGQRYEVQSYCDTINTWFSEAIGRPCSFMRCSSSKYRSCTIAGGRDNLCRDARSKLSFVNEGQLLLISEESISDLNSRLHSSNANGKQQVVIDAMRFRPNIVTSGSTPYNEDNWKRLRIGDAYFTSMGGCNRCQMINLYQNSGQVVKAKEPLATLASYRRQKGRILFGVLLNYEDGMDGEDDTVVERWLQVGQEVYPYTD >Et_7A_051773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24463325:24465258:1 gene:Et_7A_051773 transcript:Et_7A_051773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QIGATSTKKAVQEIIPYLEDTSYSAHKAIYFHGWDGLGTSAVLRTIAEKPPSSLKERFNRILHIDCSRWKSRRVLQRTITEQLQLPPWVMADLKRQDDEDDFRGVDEASRAEVVDVTRETFQALKSKSCLLLFQNGSYDMVDFPELNWFSSNVLLSTSRRMPEFNQRFTTKVDSSHMFLYAKSWPIPSTILAEEAREIVLRTQYKEIITTETAAECWSYLLFFKRRDHSFMNNNWETQASNYWVCDGIIQDQFDNPWEVSAALYQELRKDWSPPLFDCPDPNKHWRLDNYYRPGFVEKIKKKENIYAPLPSDMCQKSERLRVLRLSGCTFSFYSPPFCCCRHLRFLGLDRCKDLQQEEDEEEMKQGRPAMEFFQSLWVLDINQTDWEVDLSPDVVEKMAKNIRDVHIRNVRIWGNNLAWRQLQNIHKLRVIKPSSSWETGNKDEFTDMVKLELLDLSENITIRALPNLSGATSLKTLVLDGCLNLEHVGPEELPQSLESFSFDARYISIFSDPTKLFKISLAGCVHLKSFLLRGALPFLEELNLSGTSIKKLDLMEVPRIKKISLLGCKQLRAILWWTEGNPPEVLHIDTLRTNEDTDPPYFVSSSPPSIQHKNYDACGIQGSFSH >Et_2A_017426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34231588:34235836:1 gene:Et_2A_017426 transcript:Et_2A_017426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQELCRNFQRGRDPSAQTKQPEAAPAAHTSCTDPESCRQQIVEDFKNETPLWKLTCYAHLRSGPCDIKGDFSFEELRARAYEEGRQGHPLQSIVERERNLQNAKLMEFTNFLNNPRVSQTASFPNVASVPEVKSNSPFGVSQNNGPPVFNSFSQIGASTNFGPGPRMTTGVPTSNLFGQSSQTSNQATFGVSEMKFGVSGPFGSQTKQLSGSLQSSSSSSFGFPKPPAGYQQSPAFSNHHRNIDKQSLDLLGGMMAPASATSQTPVEDDENENQDDSIWLKDKWSIGEIPLSEPPKRHISH >Et_4B_039478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1794223:1801443:-1 gene:Et_4B_039478 transcript:Et_4B_039478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAPVQQQEQPENTREALDQRKQSSRALAVAGARPQVAGEKGPAAASIPPPTTEEAEAPLIPLATAAAAIPSTRISPDLLSIGICSSSLCAQAPYPIFTPPPHTQSNYWAVEPVQMDWYLGRISSSLSSSVITWSSEEGRLREAVKKNPSDYHSWMVLIHAVEADSTQDLKTRKVYEEFLNFFPLCTSYWKKLADIVKGTSKDDALKVYDRATKTYAVYSVEFWENYCEFAQSFQKDPIAIRRLFNKALDYVGPHYTSHRLWMSYICYEERMQDKKALARIYAKIFENTVQDLELFFERFRKSAAEMSGSDLIVWHERLPLDPSDFDNKPVSMPEVLEAREKSYIKAKEYEEEVLSFEQAISRSSSTHDLHCRYPVDDADVAIWHSYIDHAERHGATTKVTALYQRCLTICPHRADLWIRYIEHLETNKLIEEATSALSSALLFIHEGNDKAACLVYKNAIEMPRDLSVQVLLVLYARYSIMVLQDMPKWKEILNQLMQFDLTKPIVNALIDLQYMCRDSKQHIILVSKIVKKYLSSDPTHGHSQDDKFEISSRFLKNSSSRASKKVKKEVECNGEGICDVIVLRLDEFLQRYQDHFIAEIAMHLGGLDGKKRRKIEPLAQMLLRALYFGMGKRHVRNLSFAGNFTAICPHEENRQTSAKASKYVKLFRHLDAHGIDFLEEGNKRRAAKPYDIISTLKRIARLIIEERSCHSKKRKRQRKNGKPHPLHLGWFSRLVPMSPALATIRRLQASNR >Et_8A_058122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20873979:20875247:1 gene:Et_8A_058122 transcript:Et_8A_058122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSMISSSALAAPKGLPSLGRTGSSSFVVCSGGKKIKTDKPFGSCYQHGCELKMNSDDLAGIGGGLTVDVDASGRKVKGKGVYQFVDKYGANVDGYSPIYKEEDWSPSGDVYVGGTTGLLIWAVTLAGLLGGGALLVYNTSALAS >Et_10A_002011.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18369673:18370389:-1 gene:Et_10A_002011 transcript:Et_10A_002011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAGHAVQLLVSAVALLLVAWAAPSAASGGLDFTEFDLASNESLGALYERWCEHYSVRRDPGEKARRFAVFAANARYIHEFNSRGNESYTLALNRFGDMADDEIASGDAAGGCYIPQPAAEEDYTNSSSSLSNISSAAYARGGGELPTDVDWRMRRNGGRPGCVTDVMDQGRTQCGSCWAFAAAAALEGLHSILTDKLVPLSARQLLDCSPGAGGCRGGGAAATFDYVRETQSLLPG >Et_3A_026939.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27086334:27088958:-1 gene:Et_3A_026939 transcript:Et_3A_026939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPILASLIHGIASLLTIGVADQGRRIITTGRDVRWLRDELHSMQLFLHEMEASSSEGSMAREAWIHQMRDIMLESEDVVDVFDASQVRGCSVLANMGTRREVGARIRRIRTQLSDISRRRLDYATQKPADSSDKWIDGLKYSPLIHDKDIVGMDRNLDELLQHVLAGGSELSITSLVGMGGVGKTTLAKKLYNHPSVKKHFDCSSWVYVSNTMELRGVLHEMAKGLMRIPSAEASSLSEKQLQELLLSGLDGLRFLLVLDDVWDKGYWDVIKLVLPTNSSRSQVLVTTRNTTVAESVVEVASHVHRLQPLAFKDSYDLFCKRAFMKDGICPDDLMETATNIVKKCAGLPLAIIAAGSMMSRKETTDTEWRRVLESIQKDLRNGEMGVQKALLVSYRDLPHPLKPCFLLLSVMPYDSEISRKKLVRLWIAEGFVQEKDDETLETTAETYLMELINRSMIEVSIASNNGRVKVCRVHDLLHDLAISMSENERFSIIFRDKGTSHNARRISLQTSHVSFSKEHKKRLRSVFMFNSGAPDVLKSNIVAKIFKLVRILDLEDGNAGKLPKEIGGLLHLRYLGLRGTKLKKLPRTLHKLYHLQTLDIRRTQIKIITFQIRCLRNLRHLEMRQDDQSIHIPMGLAQLDKLQVLTGLQASPTVVQEIAILSQLKKLSIENLNIEDAENLCSSVNNLKELSYLSISGADTRPLDLATLKPSSCLQKLHLAGTLQTLPDWFGQLRNLTKLRLSFSQLKDDPLPVLSLLPDLLFLQLNNAYQGRLMRCCCPGFLKLKIFIITELEELEEWDVDQGAMPAVQEVWIMSCKKLATVPAGLQSLATLQRLRLVGMPNSFTGRLGELGEDFIRVKHISSIQIIPQFG >Et_9B_064371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14516253:14525779:1 gene:Et_9B_064371 transcript:Et_9B_064371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADAAGGGVDAGEPVWDWGNLLDFVVQDDDALVLPWDDAAGIGAADPTQAGASLLATPPPQPVEVDPEPEPELEPEPGPVPPPPPPPPPPLQVQGIGRRVRKRDPRLVCPNYLAGMVPCACPEVDEMAAAAEVEDVAAELLAGARKKPKTAGRRGKAGAAGPAGGGRVAAASGGAGGTGGAAAVEMRCQVPGCEADIRELKGYHRRHRVCLRCAHAAAVMLDGVQKRYCQQCGKFHILVDFDEDKRSCRRKLERHNKRRRRKPGSKGPFEKEMDEHFDLSADISGDGELREENIEGTTSEMLETVLSSKFLDTETPVGSEDVLSSPTCTEPTLQNEQSKSIVTFAASVEACIGAKQENVKLNSSPVHDTKSAYSSSCPTGRISFKLYDWNPAEFPRRLRHQIFEWLASMPVELEGYIRPGCTILTVFIAMPQHMWDKLSDDAANLLRNLVNSPTSLLLGKGTFFIHTDNMIFQVLKDGVTLMSTRIEMQAPRIHYVHPTWFEAGKPVELHLCGSSLDHPKFRSLVSFDGDYLKHDCFRLASLDAFDCVEKGDLTLDSQHEIFRISISRSRSDTHGPAFVEVENMFGLSNFVPILFGSKQLCSELEKIQAVLCGSCKNNNIVGEFPGASFDPCERQKFRSAAMSEFLVDIGWLIRKPTPEEFKNLLSLTNIQRWVNMLKFLIRNDFFNVLEIIVKSVDNIIGSEILSNLERGRLEDHVTTFLGYVDHARSIIDHRAIHSGGTQLESRLATGNFLKQPSLGTSALLDKGNTGPCGDNYLQSTSAACEEEVTVPLMTKDASHRQCCHPEINARWLKPSLVITFPGGATRMRLLTTVVVAAVLCFTACVVLFHPHRAGVLAAPFVVLTPEETSTRRGKGACVLASVSA >Et_4B_037168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15749414:15749994:-1 gene:Et_4B_037168 transcript:Et_4B_037168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATLGVGEGKEYPGRLTLYVFLTCAVAATAGGLIVGYDIGISGKRSSHFSHHICLGHLILFKLH >Et_8A_057497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3622165:3624537:-1 gene:Et_8A_057497 transcript:Et_8A_057497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLHSSGICLRRSASCNMHSFSNQFQGGLTQSMALWKCSHSQSRIYHVKSPEPQSLKYFVSLMGQQFRCGVSTKEGSLSIKLDVPSREKLSRIGWNWRGMHQKVRGAAGGLCFGFSVTELASAEIPVSRSNDNAETSSSNTSSSHGKKVYTDYSVTGIPGDGRCLFRSVVHGACIRTGKPIPNEDLQRKLADELRAMVAEEFVKRREETEWFVEGDFDTYVSHIRKPHVWGGEPELFIASHVLQMPITVYMHDEDEGGLIAIAEYGQQYGKEDPIQASNAVNWLDH >Et_1A_008856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16193589:16194817:-1 gene:Et_1A_008856 transcript:Et_1A_008856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYGISSNLVLYLTTKLHQGTVPSANNVTNWVGTIWMTPILGAYIADAHLGRYRTFMIASVIYFLFVDCGVLVAQGMSLLTLSVSLPALRPPKCGAGTSDPDCLQKASSAQLGVFFLALYILAVGTGGTKPNISTIGADQFDDTHPRERRHKLSFFNWWMFSIFFGTLFANTVLVYIQDNVGWTVGYALPTLGLAVSIAIFTAGTPLYRHKPTSESPFAKMARVIVDGESRDVVAKGSKSGRWQCNRWQQWPQSGSVLLSLLLDMKLCMRIA >Et_8A_056754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16367972:16368335:1 gene:Et_8A_056754 transcript:Et_8A_056754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYQHSVLARKSPLGTVWIAAHLERRIKKPQIDGIDVPSYAESIMFPEVPIALRLSAVVELYFHL >Et_5A_041142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18703525:18707717:1 gene:Et_5A_041142 transcript:Et_5A_041142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGDVAAGGGGGGGSGGGGGGGTSIHITALDGIVNVNSLFTLAAFIGLAWRPSSDGPGLADGADRTGNPCAAGDRAESDLVSFHVLAFACFLFSSLVALCLKQLVRTYPPGHHRRGGAVARTARINRGALRVGIVASAVGSVAGCGFLMMALVNVVQVKLGRLGCGAGGSAAWAAVVPLVTLVPAAMLIYIGISTVFHSPPGTSPPPPTRQARTMIRNPMVGDVATGGGGGNGAWGTSIHITALDGIINVNSLFTLAAFLGLAWRPSSDGPGFVGADGAVDPCAAGDRALSDLVSFHVLAFACFLFSSLVALCLKQIVRTYTHHRRRSPAAVVVARTARINRAALRVGIVASAVGSVAGCGCLMMALVNVVQVKLGRLGCGDGWSAAWVAVVPLVTLIPAAMLIYITTVFYAFTR >Et_7A_050710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10314184:10317464:1 gene:Et_7A_050710 transcript:Et_7A_050710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPPADPASTSTAGTAAASRDVAAMLPDSPPRRGAGHRRAQSEILLGGALPDDLTFDADLGVVGEVGGGGADEYEEDYDEDEEEGAGGSGAGGSRMFEMFLENSGGLAEPAEPSQQQQQPSAPPARPRHQHSMSMDGSTSLGSAAAGMAGRSGADAKKAISDAKLAELALVDPKRAKRILANRQSAARSKERKMRYIAELERKVQTLQTDATTLSTQLAMLQRDTSGLTSENSDLKMRVQTMEQQVRLQDALNDRLRDEIQQLKIATGQVNANIGKMGNFGLSSYGGNPTSYQRTQIQSLLAAQQQQQQQTHLQQQHLSTAQQHQLLQEALPFPGDLKMKGLATTSHTQNTGVSDGHSEA >Et_4B_036344.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:18997724:18997966:1 gene:Et_4B_036344 transcript:Et_4B_036344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDNPAAVADPGAAALAGGDWRAQLQPDERSRIVDKMYASLSLSLSLSLSLSLSLPRRVPPLSLRRVQVPFLLPSPPPL >Et_9B_065068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2176154:2182703:1 gene:Et_9B_065068 transcript:Et_9B_065068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLVVKKDDDLDEEEYYSPFLGIEKGAVLQEARCFHDPQLDARRCCQVITKLLYLLNQGDTFTKVEATEVFFATTKLFQSKDAGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDSTLLTQIERYLKQAIVDKNPVVASAALVSGIYLLQTSPEVVKRWSNEVQEAVQSRSALVQFHALALLHQIRQNDRLAVSKLVTSLTRGSVRSPLAQCLLIRYTSQVIRESGVSQGGDRPFFDFLESCLRNKAEMVILEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVASTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQMTNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIIILIRDIPDAKESGLFHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRASAVSTLAKFGALVDSLKPRIFVLLRRCLFDGDDEVRDRATLYLKLLGGEATVGETEKDVNDFLFGALDIPLVNLETSLRNYEPSDAPFDISSVPKETKSQPIAEKKATGKKATGPSAVSGPIPSVDASYEKLLSSIPEFADFGKLFKSSAPVELTEAETEYSVNVVKHIYDGHVVVHVDASEADEFLEVVSKPLRSLPCDSPGQTFVAFEKPEGVPATGKFSNILRFIVKEVDPSTGEAEDDGVDDEYQLEDLEIVSADYMLKVGVSNFRNAWESMDPESERVDEYGLGVRESLAEAVSAVISILGMQPCEGTDVVPSNSRSHTCLLSGVFIGNVKVLVRLSFGISAPKEVAMKLAVRSDDPEISDKIHEIVANG >Et_1A_006499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23345084:23349034:-1 gene:Et_1A_006499 transcript:Et_1A_006499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTGGIADEKAPEAFGLSRHALEAEEEEEHHGGESSGVKSMISGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFAQLGMLSGILFQLFYGLLGSWTAYLISILYLEYRTRREREKKADFRNHVIQWFEVLDGLLGRHWRNAGLAFNCTFLLFGSVIQLIGCASNIYYVNDRLDKRTWTYIFGACCATTVFIPSFHNYRVWSFLGLVMTTYTAWYIAVASLAHGQVEGVTHSGPTRIVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKSIYLLATLYVLTLTLPSASAAYWAFGDALLTHSNALALLPRTPWRDAAVVLMLIHQFITFGFACTPLYFVWEKLIGLHDCKSLCKRAAARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMVTFRSPQSRENAVEPPPKFAGGWTGAYVINSFVVAWVLVVGFGFGGWASITNFVQQVNTFGLFAKCYQCPPRQPTAPLAPLSMAPAPSMPIMNATGFFSPASSPAPAPSPLMQFFLRHHHHRHHRHGL >Et_4A_035425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24361691:24363207:1 gene:Et_4A_035425 transcript:Et_4A_035425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGAGSSRSPMHIVIFPWLAFGHLLPGLELARRLASRGHRVSFVSTPRNLARLPPLPPTFAPSVDLVPLPFPRVDGLPDGAESTNDLPPDAFGRHRKAFDGLAAPFAAFLDAMCGQEETKPDWVFVDTFQHWAAAAAAERKVPCAMLLHCPASMVAAFAGQPQPEQAGTRRHLATAPAPRFETEQQSRMFGTEDDSGISVAKRLFLTLQCSSLIAMRTCVELEPDALARLPTLFGKPIVPFGLLPRSPDDGAYAAGAEGDDAVMSWLDAQPANSVVYVALGSEVPLRVEQVHELALGLELAGTRFLWALRKPSGVLDADILPAGFEERTRGRGLVAMGWVPQISILAHGAVGAFLTHCGWSSTIEGILSGHPLIMLPIYGDQGSNARLMEARQIGVQIQRDENDGSFDPHGVVRAVRAVMLEEESRMVFQANAKKLQETVADRECHERCVDEFVQCLRRWREGLSD >Et_10B_002676.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:6685201:6685545:-1 gene:Et_10B_002676 transcript:Et_10B_002676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDACCKNCRVSTVASTRRTPAFVATSVHAPPPPPPFTTSSISRRRCSASSAVSDVLSSTAFASSRRPFMASHRGDSGMVGTPAARNTVGAAPAANITRHYRCAGRPEKTKLET >Et_3B_030064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30144758:30153925:-1 gene:Et_3B_030064 transcript:Et_3B_030064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSALEYINQMFPTEASLSGVEPLMQKIQSEIRRVDASILAAVRQQSNSGTKAKEELAAATNAVQELMHKIHEIKTKAEQSETMVQEICRDIKKLDCAKRHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDVPKITELREKFKNIRKILKSHVFSDFSSLGTGKETEDSMLLQQLSDACLVVDALEPSVREELVKNFCSKELTSYRQIFEGAELAKLDKTERRYAWIKRRLRSNEDTWKIFPPSWHVDYLLCIQFCKITRAQLVDILNNLKEKPDVATLLLAFQRTLEFEEELAEKFSGGTAGARNKESGSDDEDEGMDRNKIVSDIRKKYEKKLAVPNDETEQDKDKHKDLSVPGAGFNFHGIISSCFEPYMSVYIELEEKSLSDQLDKLVQEERWEIEEGSQTNILSSSMQVFLVIRKSLKRCSALTKNQTLYNLFQVFQKILKAYANKLYARLPKGGTGIVAAATGTDGQIRTSDRDERMICYIVNTAEYCHQTAGELAENVAKMINPQFADKVDMSEVQDEFSAVITKALMTLVHGLETKFDGEMVAMTRVPWSTLESVGDQSEYVNGISSILSSSIPVLGSLLSPTYFQYFLDKLAASLGPRFYLNIYKCKHISETGAQQMLLDTQAVKTILLDIPALGKQGTVAASYSKFVSREMSKAEALLKVILSPVDSVANTYRALLPEGTPLEFQRILDLKGLKKADQQTILEDFNKHAPAIKHPTVAPTVAPPVATPSVQIAPVPTPAVSITPSMATLTGALANREDVLARAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNP >Et_10B_004072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10188880:10190805:-1 gene:Et_10B_004072 transcript:Et_10B_004072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPVHHGMAAVFGRILRISYNIQTFTIHKKEVDGEWFVCHSASHSTPNLSPVPRLSPHLLSLPCEAMITNDTFICRTAAEVHVHPIKAV >Et_4B_037885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24426017:24430264:1 gene:Et_4B_037885 transcript:Et_4B_037885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAALRKLSSKAVRGQPLSRVTPLYYMASLPATEERSGVTWLKQLNSPLEEVDPEVADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAETLCQKRALEAFHLDPEKWGVNVQPLSGSPANFHVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISATSIFFETMPYRLDESTGLIDYDQICNKQKAVLLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGKEVKYDFEDKINAAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVMSNSAKFAQSLVAKGYELVSGGTDNHLVLVNLKNKGIDGSRVEKVLENVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDEAVKLAVKIKAATTGGTKLKDFVATLQSDSSIQAEIAKLRHAVEEYAKQFPTVGFEKETMKYKN >Et_4A_032877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1527680:1529656:-1 gene:Et_4A_032877 transcript:Et_4A_032877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPAPQPPTTRAGEGAPEKHGAGAEARRAVKALLFLAAVALPCLVLYRAVAPGDFLVRPPWPLTAPGNDVDQDSDDARLERTLRAAAMANDTVILTTLNSAWSEPGSVVDVFLESFRIGENTRALLDHLVIVSLDKAAHARCKRIHRHCFALGTDGVDFSGQKNFMTDGYLKMMWRRIDFLGQVLEKGYSFVFTDTDIVWFRNPLPHFYPDGDFQIACDRFNGNPDDLGNNPNGGFTYVRSNTETIEFYKFWYAAREKNPGLHDQDVLNIIKRDPFVAELGVRIKFLSTELFGGLCEPSRNMSRVCTMHANCCIGLSRKVSDLNAMLHDWRRFMALPHKDKQTVVWSVPQNCSLQKLDR >Et_3B_027942.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27653588:27653839:-1 gene:Et_3B_027942 transcript:Et_3B_027942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRQGRHQRRASQSVFVLPENFALEDVPAAVAEGGVEQRKPADASEQATRQAGRHRRAMSMAVASRDLEMISEDIGSYKYGA >Et_5B_044074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19765434:19772098:1 gene:Et_5B_044074 transcript:Et_5B_044074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVSSAIVQETVNQIISGLVQKYEEKYESATNRNLERLEMAHIKLEAALETSYKWQITDASLLRWRRKLKCVARECRDTLHKGKKRILEDKQKEWVVSNSSLPNRIAYATKSFVFSIFNGNDSELRSSIVQRFEWFAAGASEFLRFIEVGGTPRRHIPFQSLVSNLFAGMELYHTIVRGDEYPLFRLVLVPKSTAEYGMLAFLILIQSDGNRPEGNIYFSLILQLSESTDIVGIAIKCLHLLAPHFKCTVENIRKELTRLYTQNFSWAPSVYSCQKEHRYNLCSLEGQMFRPDPLCCKQHDQYELRHISNPDIVALSEVSLEPVVEATFHTFLSKDITCLHDGPHLRAGISFAPHGSLKGILPANRSSAIVAVAGEQQYCLHTEITMEQLEEIMLPMAIDYYRQNSDATEYQMIWKSEHGYALIRFERASINAWRTFEGARKRKLLHEQNQGLRSLTHIISHLLELWGAHMPVKLRSFFLDWMQKEKEVFSEQGRDATDALIVMAEKVSSAIVQETVNQVLSGLVQKYEEKYESNTNRNLERLEMAHIKLEAALETSYKWQITDASLMRWRKKLKHAAQECDDVMHKCKQRIIEEEQNEQEVRNSPLANRISHATKSFFLSVFNGKNNKLSSSTVQRFEWFADGASEFLRFIELGGTPRRHISFHSLVKNLFEGKELHHKILRRNGFPLFHLWLVPNIAEHGMEVTLVLIQKDSNGPVITTYFSIVLQVSESTDIIGIAVKCLDMFAPHFKCAIDDIRQELTELHTQNSSWVPSFYSGQKDYCYLNSLESQCFRPNPLCCKQDDLRELRRVRNSDIVRLSDVSMDPVIEVSFQTFLSEDIISLQDAAYLKAGILFVPHCSLKGILPANKSSAIVALVGEEQNFTHKEITFEQMKEIMLPKAVDHFRHNANATVYQMIWKSEHGSAVIHFEKSNMNTRTICMGAQRTFGGVRKRKLWQGMEDEHRSRTLITPHVLHLWGAHIPAKLQSFFKDWKQKEKEAQFAAQQLRTPEIPFVWMIRGTGEE >Et_5A_042478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14315496:14316735:-1 gene:Et_5A_042478 transcript:Et_5A_042478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTCTILLVGALVALALLVSPIDCSRKHKTKPISKKPASPPAYKVNPKPAPPAKVNTKPAPPVKAPSNHTATPSPSAVTSGSGGWLSGAGATYYGDPNGDGSDGGACGYQTAVGKRPFNSMIAAGSMPLYNGGEGCGACYEVKCSTNAACSGKPVTIVITDESPGGLFAGEVAHFDMSGTAMGAMAKPGMSDKLRAGGVLRIQYRRVECKYPGVNIAFKVDQGANPFYFDVLIEYEDDDGDLKAVDLMEAGSGTWAPMAHNWGATWRLNNGRALKAPFALQLTSDSGRVLVAPNAIPAAWKPGKTYRSLVNYP >Et_1B_009699.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17023143:17024249:1 gene:Et_1B_009699 transcript:Et_1B_009699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AKGNTHQDNSDPPPQPEVVDAEDPKSSLESQQPGDDEANQKEKLKKPDKVLPCPRCNSMDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKNKNAVAASHFLQRVRAALPTNDPLKTNGTVLSFGHGAPALDLAEQASHLKEKVHIPIGSTGSEGSINRNDKTLGGIKEKVTVEKPANGVQHPGTMNGATMWPPYSCAPPQAYYPPGIAIPIYPAVPGYWGCMVPGGWSLPWPVQSPTQGLSSPTTAPSVSSGADSLTLGKHAREGDEGRSHESGKVWMPKTIRIDDADEVAKSSILSLIGIKGDKQGTDMDGRKHGTVFELKHDAKTAKQAMITSSPLLHANPVALTRSLTFQEGS >Et_4A_033885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27659332:27662878:-1 gene:Et_4A_033885 transcript:Et_4A_033885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAEELGGKPSEEDPLQPQNEQQTMLLEGSSSVVNQQGKGRTGGSIASHPKVRDDCQGGETEIHSEPSLPEGVWCHIHSLMPMRGAARAACVSRAFLRSWRCHPNLTFSNKIFRLDKNACGNDEIDRDFCSKVDCILKKHSGIGLKTLKIHMLKDFSANDSCYLDSWLQIGVTPGIEQLTLMLPMGAKYNFPCSILSNGSGDSIQHLHLAYCSFRPTAEFGLLRRLTRLQLQCVRISGDDLGCLLSNSSVLERLEVRYCWIVYVKIPCMLQRLGYLEVIGCSGLKVIESNAPNISSLYFSGDHRVKLSLGKALQMKSLRVSFSGAVRYARVALPSAMPNLETATIYSSREADTPMLHSRYLHLKNLTIMISAATFPATYDYFSLVSFLDACPSLEALALDVTQRFMEHVSIFSDPSNLRLMREQHHDKVKSVKILGFTSAKSLVELTCHVVQNITSLECLTLETHQSSFRCSAPDNNSGKCSPLPMGVLMEARQALLAISTYIEPKVPSKVKLHVVETCRRCHAVEH >Et_2B_020627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22078426:22079021:1 gene:Et_2B_020627 transcript:Et_2B_020627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCHLGLAIAGAGAVRASRLTVFASASASSAGEPVRRIIRRRAPTGKNGSAAPPPPAQPSVAEVRRAIGVADDAPSAAASREAKNSAFMDMVAGTPIGQPESEPERRLREAAEWIVDTTETRACEAQKTILVLCMMTFPAWFLLLFVALGAIKLPFDIPNLDNLL >Et_10B_002730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9993447:10004394:-1 gene:Et_10B_002730 transcript:Et_10B_002730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIALHARAKLVLLRKTAAIGVGALSLYAVVGFVWGSAAMCWFAWRFLCSVLPEAEADQVIGGGGGLSQEDVDGAIPAFEYRRRKEDAAAEAEEEQCAVCISAVRDGETARRMPGCGHAFHAFCIDRWLRERATCPVCRAEVRVVAGGVGGGGVVAQASSAGDGVQRRVPFYSEEKYTCTAARHGASMDMTQAPPVDDPRAQEPVKLVLLWAAAIGVALSLYVVAGYAWASAAAAVLLAAMCWFTWRSLRSAPALSTEAGQALHGGGGGGLSQEEIDAILPAFEFRRKDAAAEQCAVCINVVKDGETMRRMPACRHAFHAPCVDGWLRSRATCPMCRAEVSVDVPGQTPAGAEMHSTASMDTAQEPPVDDPRTESRVKLVMLLAAIWFTWRFLGSVPPAIPEAGQAIGGGGLSQEEIDGAIPAFEYRWKDAAAAAEQCAVCISAVRDGDTVRRLPACGHAFHAQCVDGWLRKCATCPVCRAEVNVAGESPAGTEV >Et_4B_039264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9239507:9240520:-1 gene:Et_4B_039264 transcript:Et_4B_039264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRARHSRRRWCGHVAAVLCLCVTFCKPEPNAVVPSDPLPNLPARSLQCFEDGQVYSCCKDALRLSPWGLIPVPAYAVDDYCGGACVVETEDVLNCVASALDGFTFYNGASVEDVRYALRRGCSHTIRRGDFNDLEPHVGDYPDIYGDDDDGSHGSKVAAPAKLLLLTLLGGAWLQLVLP >Et_5A_040663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10904989:10910652:-1 gene:Et_5A_040663 transcript:Et_5A_040663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIHLPLLFLFIATASLRCGAAAGEGFCSVESSSECSGDQPLYWKATHPTLAPAHLQDLPGFTRSVFKRDHALITPESHVFSPLPDWINTLGAYLISPATGAHFTMYLAIMQDGSKSALPPKDVERLVFVLQGSISLSVGTGTTHSLLVDSYAYLPANMKHSMMSDELTTVVVFERRYNAIEDYHPDLIVGSTDKQALLETPGEIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDTIWMAPFVPQWYAALGKTKTRYLLYKDVNRNPLI >Et_5A_042700.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:26255987:26256903:-1 gene:Et_5A_042700 transcript:Et_5A_042700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAATLLALVAIFAAVLAGPAMATKTGQVTVFWGRNKDEGSLREACDTGLYNMVIMSFLNVYGHGKYNLDLSGHSLAGIGDDIKHCQYVGVPVSLSIGGFGSGYSLPSKKAALDLFDYLWNAYFGGSKPGVHRPFGDAWLDGVDLFLEHGTAADRYDVLALELAKHNIRGGPGRPLHLTATPRCTFPPASYLKRAVDTGIFERIHIRIYDDSDCEAYWHLNWDKWTAAYPATKFYVGMTASMMTNGWIHPKNVYYDTAPFSQKAANYGGFMIWDRYYDKLSNYTSDVKGYA >Et_5A_042726.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:3048838:3049128:1 gene:Et_5A_042726 transcript:Et_5A_042726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLEPSRVAAKATPPRDGDHRHPQGADDDDEEEAAAAGYRTPSRAKQTAPGDASCPPAPRKPRPPPSVAAVAQAQRRGFYAGADLEAFFAAHIV >Et_6A_047267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3175558:3179328:1 gene:Et_6A_047267 transcript:Et_6A_047267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLQQDKHTNIMFPPSDDESDSSSPISCVPINNSLSASRLVLVVVSVCSRCMQFVMAPKRDFPICKNCEHPTLFDPLYRIGVGGVVGDKKRGPREDQSLGEHPTQLLLFDVFLLVFTSQLDKEMQELKIMQIACGDSHCLAVTIDGCWVRNQNGQLGLGTTEDLLLPQKSQAFEKAISSNPIRSIGLREPRDGARMWSRLVSLLRWRTTGEATTNHREEQGMNSGGVKRPLPSPLITVVSMRDLRFALLASLCVTNPLNVTNLRLGSGWVNPGRPHEMKLDKAASLGSSRTATSGRQTYL >Et_6B_048930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15599363:15602196:-1 gene:Et_6B_048930 transcript:Et_6B_048930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYCYRKSSLYGWAWKLTVYHGDQRIIAQEYVQQINEKIFERVGPQEIIRTVVSKVAHMKNKTG >Et_7A_050892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12070809:12072186:1 gene:Et_7A_050892 transcript:Et_7A_050892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GTLGNGKEVAVKRLKDSKRNLKELEREISIVANLRHKNLLRFLGYCFEENGGFSSMSMYQIIVWRNFGTKGRIYYFAISAYFDYQKLQWATWFNIITGVARGLRYLHNKGIIHRNLKPHNVLVDENFDPKIADFYLMRVYNKEKTHESTEKVAGTFGYMAPECTSGPKLQLSIKSDVYSYGVLVLEIITGRKINTFEGGNSEDLVEYVRDSASSCCYILLLYVQADRKRRPAMGHVTAMLNSEATELPEPSLPGYIHRKSEHSMFELVATS >Et_2B_020210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1823422:1825445:1 gene:Et_2B_020210 transcript:Et_2B_020210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALRGIRAKLTEHREKVISGLLLGSFVALGWRSSEQQREIDDLEAEKNSLRAANSSMSSAMWAWREELFALAAAPSPPISASRLRHIYGEEEPALPAPKQPGGYLAPSGRFSDVMKKCRITPEDGRFLRILFFCQSQ >Et_4A_034185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30554181:30556673:-1 gene:Et_4A_034185 transcript:Et_4A_034185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKARNNEIEAAPRKPATRKRKSDDIDQVAAPRKPAMSRKRKRKGGASRPSTAASGMCDDVFRSIFARVPARTAVASTALSTHHRRMILCPEFRNLHCRLGPPLPQPHIAYIATAKIKDSCGKYLVSGFHGFHVAGVGHSGNTPMRSLTGPTYLNMRYVNTCNGIVLFADLSRHSTCVLWIPAVADGEKQVTVPVSASEKCVILGLGYGRRSQTYKLLVLRSGRYTTTSGWNARDLLAYTLQAGAGGEQQRLRTVLGEETFSRTDGEIFNKSLYIDGTIYLLHNIKGLVLAFNVDDETVTSINLPKGYVIEPSLEMSRLVEMCGRPCIETGDYICSCRTLWLLTVDNKWEPRCVIRRDSNSYHLQSEFDRSSIAGVWDCGGVILLYVQRGRGRSDHHNKLYLFDPSTNKMYKRNLPWNLMPELSTYAFCWGYKPTLVSPRSIVGEDQGRCSDHEKDKMDIIEPLNEREKRKGRKATMTTVCFMKFLVGIMKKLPENMQDLSLR >Et_2A_017208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3203004:3204290:-1 gene:Et_2A_017208 transcript:Et_2A_017208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHVGPMCNEEWSPSDIDEMKSLIVKHNNVISEGDPDGMNNKHGDIVDVLQARFPWKEKHQVTDLYLDIMVEMMQCQEKSSTEPAVRTIDLVNNNIGIGGSIHGQHGDDAALSPPRTTGLGRLFLRGLHVYGRGDWKNISRNFVKTRTPVQVASHAQKYFRRLEGTTARQCYSINDVSLYDVDPWMARNSVVWEVPAFTDGSYKSNNYITSGQASIQPAMNNLAQAWSPFEYNNDQASNSHTTLSGDQQMGYSAAGPPMEGTDENVIPRDQQGAFAPQQ >Et_6B_049068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17388776:17417232:1 gene:Et_6B_049068 transcript:Et_6B_049068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWSLSAPGSAAIIFLFFSTMSLSAAAVVHQMNMTHLCKETLITVVNGQLPGPTIELREGDSVAVHIVNKSPYNITIHWHGLRQWLNCWYDGVPMITQRPILPNTEFTYHFNVSGQEGTLWWHAHVPFLRVTLHGALIIRPRDGAGSYPFPKPHREIPIIIGPLLNQHFILSYVHYTNQNTSWFEHEICQILDFARCKLLLHLHISCSAGVNVRGMVGDGSCTGEKDNEERVRQGAVEDRYVLDVEAGKTYLLRVINSALFSEFYLKIAGHKFTVVSVDANYVSPYTTDVIAIAPGETVDALLVADAPHGKYYMVALPNQPSLPDPQIPEHVVRGTVQYSSNHSPGNGTEAVSSLGAKGNGGEIPSGDVPVTPEMPDQHDTMTSFYFHGNLTSLRHRRHSPQVPVQVDERLFIVLGLGSVCKNGSQNCKRGGINEISLVATMNNVSFHDPTVATPLLEAHSSHTSMGMVQELPYRPPRAFNFTDPSLIPFGGKEMQLEAASRATVVRRFRHGATVEVVFQGTAILQSDSNPMHLHGHDMFVLAHGIGNYDAARDVARYNLVNPPMRNTVLVPNLGWTAVRFVANNPGVWYIHCHYEFHLSMGMAAVFIVEDGPTADTSLPSPPADFSTYGFDTSVMRNELYLQTNKNGASHVKGLMKPLIIPTAAAAVAALTTLLSASTIESAATADVEHTFVVSQMNMTHLCNEMSVTVVNGQLPGPVIEVTEGDSVAVHIFNLSPYNITIHWHGVRQWRNCWNDGVPMMTQRPILPNQNFTYRFDVTGQEGTLWWHAHEAFLRATLHGAVIIRSRGWPDSYPFPTPDKEIPIIIAEWWEKNLAGVDRNMANGFFDDYSSASTINGKLGDLFNCSGVAEDGYVLDVEPGKTYLLRIINAALFSEYYIKIAGHKFTVVSADANYVNPFTTDTIAIAPGETMDALVVADAPPGRYYMVALPNQAPPPDTQTPEYVTRGMLQYSTVLLNSSRDDVPEMPDNHDTITSFHFHGNLTSLLHRQNSPSVPKEADERLFIVLSLGSICRDGGHSCKRGDSKEIILVANMNNVSFHLPLETATPILEAHYYHHNGVDHTLQELADRPPVTFNFTDRELIPFRPKEMQLEPTSRAKLVRRFRRQGSTVDVVFQSTGMLQGDSIPMHLHGHDMFVLARGLGNYNAARDVVTYNLVNPPRKNTVLVPNLGWAAVRFVADNPGAWFMHYHYEFHLSMGMSALSMAAPILCMALAALAAAAIGEAAVVEHTFVVHEMNVTHLCNTTKIYVVNGQFPGPQIDVTDGDTVVVHVVNRLDHGLTLHWHGVRQMRSAWADGAGFVTECPIPPGGDHTYRFNVTGQVGTLWWHAHVTCLRATINGAFVIRPKDGRYPFPAPARDVPIIIGEWWQLDLVELDRRMADGNFDDNPLSATINGKLGDLSNCSGRPEESFVLDVEPGKSYLLRIVNTALFSEYYFKVAGHTFTVVGADGNYLTPYRTDMVVLAPGEAIDALMFADAPPALYHMVALANQPPEPDPQIPLFVSRGLVRYPGVRADNNGLPVAAPLMPDQHNTMPSFYFHNNLTGLAHPTRHRVPMHVDERFFITLGLGSICRDGSTKCKRRRSNETIVVATMNNVSFAHPTKTSLLQAYYDGAAEGLYTEDFPDQPPRPYNYTNRDYIPPGPLEEVLEPTFKATKLRRFKYNTSVEIVFQSTALLQSDSNPMHLHGYDFFVLAQGIGNFDPKRDTKKFNYHNPQLRNTVQVPRTGWAAIRFVTDNPGVWYLHCHFEFHIVMGMATAFIVENGPTPETSLPPPPPEYKRCGPRNGLMQP >Et_6B_048907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15302277:15306463:-1 gene:Et_6B_048907 transcript:Et_6B_048907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKPYLIAIVIQMIYTGMFVVSKAAFDHGMNTFVFIFYRQAASSLLLLPIAILLERKNAPPVSFQLLLKLFLCAIIGSTFTLNAINASMKFTSATVASASYNGVPVVTFCIALLFRKEGVKLRSPSGIAKLTGVMLCIAGVFVIAFYTGPALNPVNHHRAFALHASNTPGRMAWIKGTFLMVLAMLSFSLWIVIQAGLLKEYPNKMLVTLSQCVFSTVQSFVVAIVVERDFSEWKLRLDFSLLAILYNGFVVSGVSYYLQAWCVEKKGPVFLTIWNPLCLIFTIVCSTFFLGDIVHMGSIVSGILLVGGLYSVLWGKDKENKLARCNEVSRILDEQNDMDTEERKGAMEGEEKATVELAAYNHRGHTFSLSLSLSLSNQREV >Et_1B_010971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1679182:1682589:-1 gene:Et_1B_010971 transcript:Et_1B_010971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSCHNLLDLAAADEAPLPSPTPLRLPRVMSVASPASPTSPSPDAPPRRVIVAHRLPLRAARDPAAPFGFAFSIDAGTVAYQLRSGLPANAPVLHIGTLPPDAEEAASDELSNYLVANFSCLPVYLPTELHHRFYHGFCKHYLWPLLHYLLPLTPSSLGGLPFDRSLYHSFLSANRAFADRLTEVLSPDDDFVWIHDYHLLALPTFLRKRFPRAKVGFFLHSPFPSSEIFRTIPVREDLVRALLNADLVGFHTFDYARHFLSACSRLLGLDYQSKRGYIGIEYYGRTVTVKILPVGIDMGQLRSVVSAPETGDVARRVAETYKGRRLMVGVDDVDLFKGIGLKFLAMEQLLVEHPELRGRAVLVQIANPARSEGRDVQGVQSEARAISARVNERFGSPGYTPIVLMDGPVSAQEKAAYYASAECCVLSAVRDGLNRIPYIYTVCRQEGDDAPKRSVIVLSEFVGCSPSLSGAIRVNPWSVESVAEAMNAALRMSEAEQRLRHEKHYKYVSTHDVAYWARSFDQDLQRACKDHFSRRHWGIGFGMSFKVVALGPNFRRLSVEHIVASYRRTDNRLILLDYDGTVMPENSIDKTPSSEVISVLNRLCEDPKNRVFIVSGRGKDELSKWFAPCEKLGIAAEHGYFTRWSRDSPWDTSCLAADFDWKKTAEPVMQLYTEATDGSYIEHKESAIVWHHQEADPDFGSCQAKELLDHLENVLANEPVVVKRGQQIVEVNPQGISKGVVVDSLLASMVKTGKAPDFVLCIGDDRSDEDMFESIVCPSNSSVKLPAASEVFACTVGKKPSMAKYYLDDTVDVIKMLEGLASAPSQRSRSTVQLRVSFEGSL >Et_2A_014766.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:13421399:13421632:1 gene:Et_2A_014766 transcript:Et_2A_014766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLRDALSQHVVVKEFDYHTKKSDRDRFTACCKALGCPWRLHAKTQPDKSVKVSEASYSNSCMCLIVCNSYCVSDL >Et_5A_041785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2884944:2889647:1 gene:Et_5A_041785 transcript:Et_5A_041785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRGGRSSALVTDPLRPLLLAGDPWSEIVASGGARHQIGVVYGRRAAREASSRRNLDTRGSFVGEQRKSFVPSKRTSWNRSLSIRGRESIFVAPGTNLQPQQKPSRALKRPPKPGNRVKKTFGGPPDLRKEKAYFEEVDAFELIEESPSPKNFGTWVRGMEQNHIDHDLPAILERWKISKLARRASEPLFDIMETPILPSVLSNISTCYSYRTPEKNRGSVTHSTSRTIPAECTNSLKSVTEETSITSSFGKLKIKEEPIEVCGEALTAFEQLLMVCRQSAPITLAEVFSAYCELGSIKKLGEGTYGEAYRAGRTVCKVVPFDGDLLVNGETQKRSEEVLEEVLLSLTLNNLRSDRGDSEKEHSCDGFIETKDFRVCRGPYDPYLIRAWEAYDAERVSENDHPKDFTSEQCYIVFVLADGGSDLESFALVDYNDARSLLVQVTASLAVAESACEFEHRDLHWGNVLLAQDETSSDTNRTVNYTLQGKRMHARTFGLNVSIIDFTLSRINTGDAILFLDLSADPALFQGPKGDKQAETYRRMKEITDECWEGSFPKTNVVWIIYLVDMVLHKMKFLRLGTKVDRELRSFKKRLASYESAGDCLADPFFSDLLLDEDAQLSLMLPL >Et_4B_039194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8613451:8617867:-1 gene:Et_4B_039194 transcript:Et_4B_039194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFIPGPYVQMRPYITCHAWVSSLPGLVSTPYRREPSQLSSPAHMSNSCFNGGAGWPEPVVRVQAVSDTCGDTVPDRYVKPPPERPSLISPAADSDDGLTNINIPVVDLSMPDAEETCRAVAAACREWGFFQAVNHGVRPELLRAARASWRGFFRQPAEVRERYANSPATYEGYGSRLGTAKGGPLDWGDYYFLHLLPPALKSHDKWPAVPPTLRETTEEYTGEVLELARRVMRLLSSGLGLEAGRLQEAFGEGACMRVNFYPRCPQPELTLGVAAHSDPGGMTMLLVDDHVRGLQVRSPADGAWITVDPVPDAFIVNIGDQIQVLSNAMYRSVEHRVTVSAAEDRLSLAFFYNPLGDLPIAPMPELVTADRPALYPEMTFNEYRVFIRQRGLAGKAQLESLQATANKAASSCSGSPVVIAPPPACPAADSSSS >Et_5B_044372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23444958:23448981:1 gene:Et_5B_044372 transcript:Et_5B_044372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSVELDASAAAGGGKVACAAWIRRREEKGPARVFAAYGRAGRSPSIEVLGFDSKECTLNPVPLVRKRPTLLQQVRFFFFPLLVFGCEFDLGALLRSVALQAKTVLGEGPADAPRGIAVHPAGDELVCATAKGCRLFKLIFEEFTVRIIPRDASPLESVGPQKCLTFSTDGTKFAIGGEDGHLRIFRWPSMNVLLDEPKAHRSFLDMDISLDSEFLVSTSLDGSARIWKIDEGAPLVNLTRLPEEKIEHCRFSRDGMKPFLFCTVAKDTKVVTVVWDISDWKRIGYKKLLGKPISTLSVSMDGKYLALGSHDGDFCAVDVKKMEVCHWSKKVHLGSPVTSIEFCPTERAVISTSRQWGAELTKLDVPADWKEWQLWLVLLALFLASAVLFYIFYERSDSFWKLPSGQHQASKPWSVLKESPPVPEDQTPW >Et_7B_055413.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:10011375:10013825:-1 gene:Et_7B_055413 transcript:Et_7B_055413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPYVFRAQAPPVRGEDLKLKQPLPVPQAREQWPVGGSGSARPPSARAGWFGGLGSGERLASAHDLVETMHYLYVRVVKARGLPQGSVVEVRAGNHRGTTRHCEKAASPEWNQVFAFSRERVVEAAALEVLVRDKGAESSAGDRVIGRVVFDVGEAPVRVPPDCPLAPQWYRLDGGGEVMLAVWVGTQADEAFPDAWHGGDGVAAVQSTRAKVYVTPKLWYLRIGVLEAQDVVPPGVFGAGDKLGRHAAEVVAKVQVGGMVLRTRPCAARTPTSLTWNEELVFAVAEPFDEPAVLIVEARAHPGKKDEIVGRAVLPLAIFEKRLDDRRSPVPSQWFTLEPFGRPESAVFAAGRVHLRACLEGAYRVMDEPAMYASDTRPTARQLWRPPIGVLEVGVLGARGLAPMKTAAADDGRGATDAYCVAKYGHKWVRTRTVVGSCSPRWNEQYTWEVYDFCTVLTLAVFDNCHLGNPVPAGNGNGNGAVTRDQRIGKVRIRLSTLEMDKVHTSTHPLMVLHPSTGLRRNGELRLAVRLTCLSLGSVARLYGQPLLPKAHYLRPFTVPQLDALRRQAASLVAARLARAEPPLRREVVEYMLDADSHAWSIRRSRANFLRVTALLSAAAGAARRLADVCRWKSPATTVLAHALFVTLACFPDLTPSTLFLYMAFAGLWNRRRRPRGPPPMDARLSCAADDAAAHRDELDEEGDTFPTSRPNAVVRVRYDRLRSVAGRVQAVVGDVATQGERVRALLAWRDPRATALFTAFCLAAAVVLYVTPPRVVALVAGLYVLRHPRFRGPMPSAAGNFFKRLPSRADTML >Et_4A_035437.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24830534:24831277:1 gene:Et_4A_035437 transcript:Et_4A_035437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQPLGRCLRLALALLLLSLAALTGAADHLADDARALLAFRDAVGRHLPWSASVHTGPGPCSWVGVSCESGRVTALRLPGARLSGQVPAGTLGNLTALRTLSLRRNALSGGLPSDLASAALRNVFLDGNRLSGEFPRAVLALRGLARLALGGNRLSGHIPAALGNLTRLRTLLLENNRFDGEIPEMTLPELQQFNVSFNPRLSGSIPVEMSSMPRSAFLGTRLCGRPLGPCPAGKQSPSSATGQPA >Et_8A_057369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:24046701:24051187:1 gene:Et_8A_057369 transcript:Et_8A_057369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKNLWDILDSCKKKLPLQHLQNKKVCVDLSCWLVQLCSANRSPAFLKDKVYLKNLFHRIRALLALNCSLIFVTDGAIPSVKLATYRRRLGSTAEAAREEANLQPLTSLRRNKSSEFSRMIKEAKHLGTALGIPCLDGVEEAEAQCALLNLASLCDGCFTSDSDAFLFGARTVYRDVFIGEGGYVICYEMEDIENKLGFGRNSLISLAVLLGSDYSDGVHGFGPESACRLVKSTGDDVILDQILSDGVKARRKCKGKNIGINEGKAGGICPKASTCEVGMSKDSGAQFRHVVNAYLEPKCHSPDSEAVQRACSQRPFLRSQLQQICEEFFEWSAEKTDEYILPKIAERELRKFSNLRSTSSALGMKPLPSEIPVPCPVLAIVKQRKVHGSEYYEVLWRNIDGLQVSVVPGDLIRSACPEKITEFLEKKDDHKKLKRRARPKKSSQAAVKHVDVQLQELLLDIESESGAFPSTVNGPQTGDISTMVPIKDIVDLSSPSPPLRACKIARSRKFTASVTITMDGIDLPCRSLLPGTIGSQDSGAVLSDAQCLTQDSCSIDLSSHLASAAHKFQTARDDVEAERGALADISNVPEKGSTPVAASCYKHEPGENRTENNAVSEADMIDLSSPSPVRSNKNKHDADVIDIGESDNDQSPEHDRKARELRLFLDSIRNELN >Et_1B_012153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29421688:29423027:-1 gene:Et_1B_012153 transcript:Et_1B_012153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLYPRLLALLHMSRRKTREPKEGTVTLGPTVREGEHVFGVAHIFASFSDTFIVSAVVFLLFTMSCALVRVVSSLRMLASTCSMSLIFPWFTGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKIRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >Et_8B_058920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1088852:1090567:-1 gene:Et_8B_058920 transcript:Et_8B_058920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGKGTEAAPAAGEEENMAAWLVAKNTLKIMPFKLPPLGPHDVRVRMKAVGICGSDVHYLREMRIAHFVVKEPMVIGHECAGVIEEVGSGVTHLVAGDRVALEPGISCWRCRHCKGGRYNLCDDMKFFATPPVHGSLAHQVVHPADLCFKLPDNVSLEEGAMCEPLSVGVHACRRAGVGPETAVLVLGAGPIGLVALLAAKAFGAPRVAVVDVDEHRLAVAASLGADAAVRVSADAADVGEEAARIQAAIGAEIDVSLDCAGFSKTVATALEATRPGGRVCLVGLGHNEMTVPLTSAAIREVDVVGIFRYKDTWPLCIEFLRSGKVDVKPLITHRFGFTQKDVEEAFDVSARGRDAIKVMFNL >Et_8B_060766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6518445:6519795:-1 gene:Et_8B_060766 transcript:Et_8B_060766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTVPPVPTWARQDAIDLHRAFKGFGCDSTTVINILAHRDATQRALIQQEYRAIFNQDLSRRIASELSGHHKARRDRFFRAMLLWILDPASRDATILKQALTGDVTDLRAATEVICSRTPSQLQILRQTYRARFGCYVEHDVTERTSSGDHQRLLLAYLAIPRYEGPEADPSLAALDARELYKAGERRLGTDERAFIRVFSERGWAHMAAVARAYHHMYHRPLEAAVKGETSGNFGFGLLTILRCAESPAHYFAKVLHKAMKGLGTSDSTLIRVVVTRAEVDMQYIKAEYHKTYKRSLADAIHSETSGHYRTFLLSLVGRDR >Et_4B_039557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2229314:2231630:-1 gene:Et_4B_039557 transcript:Et_4B_039557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTSKVVLGCIAFGIFWVMAVFPSVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAFASALFTNDTTCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMMVGVLTNAAILLLYFWKYLSVEKDQEGGQATGPEVVADDEVTSHRFTPARMSHVSNMNPDDEDCISEPIIRSDSMRISVNDNLRSRSVNSESDIQLAIKSMRASSMSHEMVEVSTVPDMKDMGGSSRKFTRTASQQRSVIIEDLPPSQEINGEEKKEAEVEEKRWKILVWKTAVYLITLSMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAVISHDSQRKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIVVTAIGLLIVVSY >Et_1A_005283.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:38018037:38018414:-1 gene:Et_1A_005283 transcript:Et_1A_005283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNCGSGVKVTYIETQFVTSDAAGFKDLVQRLTGKGAPVPAAAASPPHRPRPCRAGAELAAAARARPQGYNSYTAQSAAPAGGVRQVSLLEELNQLADFDDLFYVGGSERRRGPHNGGYNDFQY >Et_6B_048527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10336256:10337141:1 gene:Et_6B_048527 transcript:Et_6B_048527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LMAESKAKRGSRVYLTWTAEMDSTLLAVLVEHHNNGDHAQNGWKPHVYNAAIKHVFEKYSVIITKDNISSRCKTFDKHYEVISKILSQSGFGWDWDNNKLQIDSEEVWTKYVEANKAAACYKTKVVRNWDAISTIYSKDHATGEGALTGAESAEEPAVEGNEPSPDLPQKRQRTGEAILCMLGDMKTSFHDAMKSSEPLQLPQVTPPAEILATLDMIPDLARRDKLRSYGKLILSERLFQALMELPMELRKEWLLMLE >Et_5B_044704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4919742:4921747:1 gene:Et_5B_044704 transcript:Et_5B_044704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMTEVSTTSAAAALRTPASSAPAMAVVVEADAVKLRFIEEMTSNVDAVQESVLAEILSRNADAEYLVNCGLAGATDRATFRAKVPIVSYEDVQPYIRRIADGDRSPILSGSGHPVSEFLTSSGTSAGERKLMPTIEDELNRRQLLYSLQMPVMNLYVSGLDKGKALHFLFVKSETRTPGGLTARPVLTSYYKSNHFKNRPFDAYNNYTSPTATILCADAFQSMYAQMVCGLCQRHDVLRVGAVFASGLLRAIRFLQLHWEELAADVEAGALTPRVTDPAVREAVAGVLVRPDRDLADHIRAECGRGDWAGIITRIWPNTKYLDVIVTGAMAQYIPTLKYYSGGLPMACTMYASSECYFGLNLRPMCDPSEVSYTIMPNMGYFEFLPVDAAASGGDARQQLVDLARVEVGREYELVITTYAGLNRYRVGDVLRVTGFHNAAPQFRFVRRKNVLLSIESDKTDEAELQAAVERASALLRPHGASVVEYTSQACTASIPGHYVIYWELLAKGSGSDDAASAVDRETLDRCCLEMEEALNSVYRQSRVADGSIGPLEIRVVRSGTFEELMDYAISRGASINQYKVPRCVTFPPIIELLDSRVVSTHFSPALPHWAPGHGGGQRSD >Et_10B_002673.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:6383067:6383225:-1 gene:Et_10B_002673 transcript:Et_10B_002673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPEMHQKSFFPSSEKKPPNKTTVLQVTSRILSPLTVIAKYPINTAWLLIS >Et_3A_023605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:101689:105763:1 gene:Et_3A_023605 transcript:Et_3A_023605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADLPFLCHIALVLAALWAAAAVGWRHSFLFLLAFLYLYMVNARCAMRLRKRIQHEEMKSAYQRRSKASIQELYMGRNPPIFTSMRVLPETTDDDHLVLELGMNFLSAEDMSAVLAMQLHKSVGLGMTANMHLTSMHILVGVKFVRGWPFLGRIRLCFVEPPYFQMTVKPLINHGLDVTEFPGISGWLDKLMDTAFGQTLVEPNMLVINVEKFVSTPSENNWFSIEERPPIAIVKLEILEGTDMKPSDINGLADPYVKGRLGPFKFQTQIQRKTLSPKWFEEFRIPITSWEASNELVVEVRDKDPMFDDLLGECTIDVHELKGGQRHDKWLSLKNVKKGRIHLAVTVEDIPEDKLVSGFEESLQKADPELPSSTPVDSKLNAGELPKEKDVLMDEVEHINIDGQEQAGGLYVHRPGTGVPKTWESRKGRARSPDTQIYQEVDKTKETPTPKSSGQGGLFNLGSFFRKNSRKGGSKDLDPSLPTTPASQSVRELDPKLPQTPRPNLKELGEKRTSIKIVVNEDANPAGKVQDAEKSTEDVAKVIEKNTGEPGRSLTGTLSRKVSRKKVEDRLSDIPEQVEAHRPVSEGPTSAEGKPIDGHHLSTEHGSEESTTEEAVEAKS >Et_10A_002308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8318099:8322263:1 gene:Et_10A_002308 transcript:Et_10A_002308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAKIMRTALHAFFRHYHPASSAASLLALPFSAAALLSRSHPALLAPSGLLSRRLRRVLVAAGFPPASQLLFLLSHRLSQRACAFLAALPFSLSFLLLAKACAVHFCCSIPPPSQRRRRPPAIAVVREVMRSSYPAMARTQAVNCLALLLANAAVFAALLAAFNAAEALHLLGPPVPASATASASFSGSGGSRAVLALSAAGVIVYSVALANAAAVCNLATVVAAAEGGRGGGARALLKALLMLLRAGDAATAVAASLPASLAAAAAEALFQLRVTRPYYSTAAGGGGRVTAAMVLEGLLVAYVHAMICVLDTVVTTMVYQSCKASRSCELVELELEEGKEEDMIRNPYSYPIQALRSGGNGFYLQPLAPCRFQVPQCYNSFAPAETTWTLGSIGSQKVVPGTSSNQYNADMWNPWALAREFPDSKVVMTAFGSDEALSMCFLTLQPANVHL >Et_7B_053965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13812800:13814275:-1 gene:Et_7B_053965 transcript:Et_7B_053965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPVPVLCSAVAAHLASPAFTRRAAARPVVLPRGARAPWRLVARRRAPVPSAAKRGRGEVAAEEEGGSGALFQAALWGAEAAYILWLFLLPYAPGDPVWAISQATISDLIGLSLNFFFVLPLLNSAFLIPYMAIRLNDTDADRSPAQRSQLGSVMVKGAPAVGAIGGLVCVLSIVWALVGRGDAGFGGIAERWQFAQSYVFSERLAYAFLWDILLYSIFQPWLIGDNIQNVKADATGFVNAVRFVPVVGLVAYLFCLEGQD >Et_1B_013928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24434199:24436841:1 gene:Et_1B_013928 transcript:Et_1B_013928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASEKVFVALPAEFKAGQSTLSWALSHFGGGGATIVITHVHVPSQMIPWEANFMQAKKMDKPKSRTAIEIMQRADPSCKIWDKEVEVGQGFPPRLVSPVLPDCAQHPLQLSAHSEEIYVHEEGDFVLELGLYAEIDEACKAAESLMRRALNESSRRQKADEELVSALQKGKECRELYLEEVSKREELEAALARANREIAQLRQANNQFKDEQDRTTTDELHDDEMAETSSFVEGHDVDTNTDLGLGTAGGQRLATTMTQEEYVQIKIGHDNGATELRALLEQSKVDVFSPSSVIQSPYDEDCTPSYFLCPILQEVMRDPLVAADGFTYEAGAIRGWLEAGREVSPVTGQPLAHRELAPNFALRGVIQEFLIRRRQHRF >Et_5B_043066.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:19557047:19557799:-1 gene:Et_5B_043066 transcript:Et_5B_043066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ACAWSTPATAVSFSAAISLIFRRRVLSLCGIQSPINTWSCPSCHGDSSCLGTHPCFVPLASTAPETMSTAIMVTSSFSWWAPGMRNLPTSIRRKRTLGPRRCLLTSNGRTRLSVPIGGLVSLQGMPSTSCFSGSGANVILKCDLPTREISLLPSPPTFLPRDIVLMATEDGRLGFGKVVDSKLYFWSRTDDDDPRGYQGWKQTRVIGLEKLLPVGADDLKTIDVDVVAVADGAAIVFLGTCHGIFALDPK >Et_1B_011270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19815280:19820748:-1 gene:Et_1B_011270 transcript:Et_1B_011270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGGGGGGGGPHPSASSPAASLPSASPSSLDGGFLLRLLQNPPPQPRGQTPTPPPGAPPGPPHHFFVDPAVAAMGPLYPASQQVQGGGFAWPSSSAPQQQMQPQQQLRFPDPRFAPPVDPYAAGGAGGFGAADVLRAERPRPGAPPPGFGKLSNHAAGNREPPNAFGGMPNREQNLHGPRGFGSRVLNKELQTTETASQGTFGILGQNPHKDPYTTTTAGRDAGGMMYREQRQDTFLSRTPPEMNAIRQFGRMPYGESHTLPSAGGRTLHGDQHTPTTGARMLPNGQLQLDPRLEHMPQRGPWWQGHRDGKGHASQKLPHADVRDAYGKAPMQGMQHRTLPTGNLVPVDVTGDRVNDLDKGGIRELGLEHGTNGEVIAETRKFEVSNQKSEIRLVGQDDEGDDDGREHDVMIEQLTESLVISDNGEAKGMELQKSTLRSKDFRSDFSRGHHVSSQRIRLQRRNRPCRYDIDRFTPGFLSIFESLVPSEEEIAKQRQLFTTLSRLTNKEWPNSRLFVYGSCANSFGFSNSDIDLCLSIDDKEMSKVDIILKLADILEAGNLQNIQALTRARVPIVKLMDPETGLSCDICVNNLLAVVNTKLLKDYSQIDRRLRQLAFIVKHWAKSRRVNETYQGTLSSYAYVIMCIHLLQLRRILPCLQEMEATYRVTVDENNCAYFDQVDKLSNYGARSNDTVSRLLWAFFHYWAYEHDYTRDVISIRSGRIISKERKDWTRRVGNDRHLICIEDPFETSHDLGRVVDKFTIKILREEFERAANILQFDPNPSVTLFEPYVPPPPLPSLMQEQTVSTTELAL >Et_8B_060015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5039995:5042629:1 gene:Et_8B_060015 transcript:Et_8B_060015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAYMDRAAAAAEPEDAGEPVTVAAADDEAARDFGGLVSFRPAAVVRPASADDVASAIRAAALRPGLTVAARGNGHSVAGQAMAEGGLVLDMRALALPRRMQLVRSGEGGGERAVALYADVPGGALWEEVLHWGVKNHGLAPASWTDYLRLTVGGTLSNGGVSGQSFRYGPQVSNVAELEVVTGDGECRVCSPSSHPDLFFAVLGGLGQFGVITRARIPLHPAPQTVRWTRVVYASFADYTADAEWLVTRPPDAAFDYVEGFAFVNSDDPVNGWPLVPFPGGARFDPALIPAGAGPVLYCLEVALYQHQQHRADDDEKKKKKKNKAVSQMLAPLKYVRGLEFAAEVGYVEFLSRVNRVEEEARRNGSWAAPHPWLNLFVSARDIQAFDAAVIKGMLADGIDGPMLVYPMLKSKWDPSTSVALPEGEIFYLVALLRFSRGGGPAVDELVAQNKAILDACGRNGYDFKTYFPSYRGEAEWARHFGAARWKRFVDRKARYDPLAILAPGQKIFPRAPVAPGGIV >Et_5B_045480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2853357:2855272:1 gene:Et_5B_045480 transcript:Et_5B_045480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVPPAAPGAHATPAASTARERDEQASTSMRESASWLSSTAAGMRGGAGGEGPPRGAGGEEHAWLVPTMPPPPAAMPMAPAVGVPVAVPVTVQTRPWARPRSSIPWLRLVVGLMLLVLLGYAFIKWGLPFVSEKVIMPIIRWEAKSFGRPVLALVIIASLALFPVVFLPSGPSMWLTGIVFGYGLGFLIIMAGITIGMSIPYWIGSLFRERLNRWLEKKWPRQIALIKLAGEGTWFQQFRVIALLRISPFPYAMLNYAVTVTEMKFGPYLCGSVAGMIPDVFVNIYSGRLIRTLAELKYHKHRMSRVEIVYNIVSVVVAVLFMIGFTIYARRALDNMESLEGIYPEPVAAPTVTAEFRNNHQGFSTARSVPIDVV >Et_6B_049163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1935251:1936522:-1 gene:Et_6B_049163 transcript:Et_6B_049163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLARHVYLQHTSDIRCYWKDSKKGPSSKALVRRKGLAAVSVTRFIITTTILFREARRSFPFLYRLILLVVGNLSSLTKSIPAYLYSQIRQCTYHNVVCVSDVRHLVDIQGVQVYRNNNTPVLYIRTRLQLRRVHHHGHVRYAKLDTQYRRCANCARRLLDPVSWCSIQCKVR >Et_4B_036618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:100189:107821:1 gene:Et_4B_036618 transcript:Et_4B_036618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYERRLLAAADLVLSADDRDQGAPSPSDLGVTADLKPHQLHGVDWLIRRYRLGVNMGLGKTLQAISLLSYLKIRCIAPGPFLVLCPLSVTDGWLSEFSKFCPSLRYAGDKMHRRDLRRIMYEDVQKPSATSDSDELSFDVLMTTYDIALMDQDFLSQIPWHYAVIDEAQRLKNPSSVLYNVLEQRFIMPRRLLLTGTPIQNNLSELWALMHFCLPSIFGKLEEFLSTFKEAGGSLAGAEANRANREFKILKHILRAFMLRRTKALLIEGGILALPPLTELTVVVPLTQLQKKLYLSVLRKELQTLLSLTGGSSRNQSLQNIVIQLRKACSHPYLFSGIEPEPYVEGEHLVQASGKLIMLDLVLKKLHELGHRVLLFAQMTQTLDVLQDFLELRNYTYERLDGSVRAEERFAAIRKFSSQSTKGLMRDDNQSGAFVFMISTRAGGVGLNLIGADTVIFYEQDWNPQADKQALQRAHRIGQLNHVLSINLVSERTIEEVIMRRAERKLKLSHNIIGEDDATVGKGEDLGNEVSDMRSIIFGLHLFDPTDTAVETINDDSSAETISLETLDKLKTMSEKIIMMRSHVTSDKDERTFEVNSNLIDSDGTVMRRASDSLAVDPGLSEAAYLSWVKKFKEASHSIEDATVQLGRQRAAPEEKFLKREANKKKAEEKRIAKWEALGYQTLAVKDPDIIAGQNFPDSGSVQLVYGDCTDPSKVCPGKPAIILSCMDDSGTWGHGGMFNALTSLSTCIPDAYHRASEFDDLHVGDLHLIQLDEANCSRSLDAALWVALAIVQSYNPRRKVPRSEISMPDLELCLSKTAFTAAQHSASIHMPRIGYQGGSQRSEWYTIERLLRKYSSLHGINIFVYYFQRSSRQQ >Et_3A_023261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15644245:15644671:1 gene:Et_3A_023261 transcript:Et_3A_023261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSPIYLLCSRIQLAAKSLPIKGTQADLPKKSRKEDFQNGAGMGFAKASGGKFDEKLPGDKPPMHPGKLSKFLPVAEGKGMGNLTPHVTDFRNRANPTEENLKSRQTQNSNFKI >Et_6B_048829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14221552:14222981:1 gene:Et_6B_048829 transcript:Et_6B_048829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCNNPSIYKVPQWIKQLTRCEAYSPQLVSFGPFHHGEPNLQAMDGRKEQAMMRMVHRSGKGIHEFFDAIKLVVEQLKSAYDDLDVIWREDPNDHFVMMMVKDGCFLLEMGRAALLALGRDVYPPNAPNEQGDPRLLRMIRNIRTDMFIVDNQLPMLAIWTLEAVHRSSPPGNIEINNMVLALLGRLGVEEIKVLPLHPLDLLHMTFCGPPADRNNRERPKKLHSWMPPASELHYAGISFSKSNNRRVSNITFRDGMLSMPLLRVHGDTEICFLNMLAYERLHPDAGDDLMSYVFFTSNITKSAADVALLRFKGVIKQTLGSDEAVAQLFSTLSKGTVMSPLSKIHNAQCDATAYHNRRWNQWRASFVNTHVGDPLVLGSFIAAIVLLLSSLLQTIYAVMSYHTSR >Et_4B_039774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29054364:29057243:-1 gene:Et_4B_039774 transcript:Et_4B_039774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVKPVFVAKAERERLALELLQAAVSDQRRSDVLCPPRPPPPSSKSPCRESFSSERHLKRDRDLDQDYSFRRDRKRGRDRDCECVRKRQRDDRNRNRQEKELEAIKEQYLGSKKPKKQVIKPSAKSRFSFDWESADDTSGRDMNVLYQSPHAAGLLFGRGFLAGIDRREQKKAAAAVHEKEILSKQKKAAILPEENVVDKEEATTVPSRKEEAATAAAGTYDDMRVGGRHWSEKGLEEMTERDWRVFRDHFEISYKGGSRVPRPMRKWGESNLGAELLRAVEKAGYRHPSPIQMAAIPLGLHQRDTIGVAETGSGKTVAFVLPMLSYITRLPPMTEQNKDQGPYALVLAPTRELAQQIEAETMKLATCLGIQVMTIVGGGGESTVHEQGAVLAKQGCEVMIATPGRLLDLLHSRYVVLNQCNYVVLDEADRMVDSTFEQQVVGVLDAIPSSNLKPEDEELYEKRFYRTTVMFSATMPPVVERLARKYLRNPVVVTIGTAGMATALVTQNVVMVKESEKMTRLKNILRRLGVDKKAIVFCNTRNAVDMRGKDLDEAGFRVTTLHGGKSQEQREMSLDGFRNRRFSVLVATDLAARGIDVPDVAHVINFEMPGSIEPYTHRIGRTGRADEMFYMVGTIPASVGTIKRQDL >Et_1B_011325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20473508:20474161:-1 gene:Et_1B_011325 transcript:Et_1B_011325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAGPNHFTNTSLTSRYLHPQISRFDQASKNKLCIMRGSKAVRAVTAACMPRLVRGGGGRRASTARPAASVSLLERIRDVVLRLIMLSAVSRASVQRTTSGGRAKAASSSSSSPRAGRRRDSDASVAAVACRRDDSIRNEAVEDCIEFLKRSSAEGDAAKLSSATAAEVDAAAVAKTSSSSSMAGASAKLSSSATGAEDINETAVFVVVNVEA >Et_1A_006599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25037746:25050208:-1 gene:Et_1A_006599 transcript:Et_1A_006599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAYIINSWSFIFLIFVFSQKRMSFLHQSCIFVADEWENFSERIRCAKPLQYDFNLELRFWVSYRGQTLARTVRGMMYYRRALMLQSYLEKRYIGGVEDGNSSAEYIDTLGYESSPDARAQADIKFTYVVSCQIYGQQKQDKKSKAADIALLLQRNEALRVAFIHEVDSVSSDGQVTKEYYSKLVKIAHGKEQEIYSVKLPGKPLLGEGKPENQNHAIIFTRGDAIQTIDMNQAMKMRNLLEEFRNIHGYHGIRNPTILGVREHVFTGSVSSLASFMSNQEASFVTLGQRVLAYLKARMHYGHPDVFDRIFHITRGGISKASKVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIAIFEGKVAGGNGEQVLSCDVYRLGQSFDFFRMLTFFFTTIGYYFCTMMTVLTVYVFLYGRVYLALSGLDFAIPRQARFLGNTAFDAALNAQFLVQIGIFTAVPMIMGFILELGLMKAIFSFITMQLQFCSVFFTFSLGTRTHYFGRTILHGGAKYQSTGRGFVVEHIKFEVGLLLIIYIAYGYVKGGSSYFILITISSWFLVISWLFAPYIFNPSGFEWQKAVEDFDDWTSWLFYKGGVGVRPMNSWESWWDEEQAHIRTLRGRILETILSLRFLIFQYGIVYKLKITGHNTSIAVYGVSWIVLLAVVILFKLLTATPKKSIALPPFARFLQGLLALGILAGITVLIVLKKFTIAELFAIALAFSNWLLAVTWKELVKTLLLWDSVREIARMYDAVMGGVIFMPIVFLSWFPFVSTYQSRVLFNQAFSRGLEISIILAGNKANQQAQKI >Et_10B_002526.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:13185311:13185379:-1 gene:Et_10B_002526 transcript:Et_10B_002526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNRASIRDELPHLPAEEVAP >Et_6B_050141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6997084:7006808:1 gene:Et_6B_050141 transcript:Et_6B_050141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGEATGQVWVRRDGPEEALLESLVGGRRRGVCEQDGHKYVPGGSVEDGQRDFRAVEWVRGGIHRLQHLAALDLHGSLLRSRLCSLVRKPSLLVAALGADPEYMLKHHDAERIDVVDYKERSVVLTHQFRTLKLWLVLHCYGVEGLRDPIRAHVCMATLFKSMARLDLRFKVVTPRQFALLYSSIFYNMF >Et_1B_010247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31930412:31931167:1 gene:Et_1B_010247 transcript:Et_1B_010247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYTTLILLHGLITPSYKTDSQRTKQNADIKVPPHPHYSLIASSASKVYLPCRSPPPPTVTWTTCGFLGSGGGFWSTVTVSTPSLYSARTLSRSALSGSGKRRMNLPIRRSILRYLTPSSAGRLRSPLMVSTLPSSTWTFTSAGLTPGMSTATTMAPEGSSLTSMGVLAMARRSRTYARVGGFSCRSSSSGRSISCCNADENSGSPKPPNENSIDLLACCWLSCVGQQVVGI >Et_3B_030495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4199686:4201115:-1 gene:Et_3B_030495 transcript:Et_3B_030495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRANQMSRADSRMMVVFGAFASKPETLTFEESLKFVKKVKARDYMLYWSLFDILGRMELSQLEAYQELQLLFRNHPDLREGLERFRPPVPTKHATNNIWPWVFVCVVPLVAVSLMPALGSPVLWLVQQTIGEKMTA >Et_3A_025158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27436435:27438639:1 gene:Et_3A_025158 transcript:Et_3A_025158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGRDQDLTVAVAVEDPSSVTTRDSGTVITKPARRYPLALWIAILGLIMLVGMYIFSLSLRQNGMLFGVLQTNMIEKEREKPCHNPSIPDTEIPYVHYPTPNTYDRKECACNAVRFFAILSMQRSGSGWFETLLNSHENISSNGEIFSVKERRSNVTTITKTLDKLYNLDWYSSAAKNECTAAVGLKWMLNQGLLKNHQEIVEYFNRRGVSVIFLLRRNLLQRYVSILANAHDSAMKQLNGTHKAHVHSRDEADVLAKYKPTIDKKLLIPELKRADKLAADGLANFKNTRHIVLYYEDVVKNHTKLMDVLDFLKLPKTKLSSRHVKIHTKRLRDHIENWPDVNNTLKGTRYESFLNGRR >Et_2A_016266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22806528:22829516:1 gene:Et_2A_016266 transcript:Et_2A_016266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMLILLFVLAYMPSAAMLTTQCIYSTVALWAKVVFTGGMSPMIFVVYRQAVAAIVLVPIAAVVNRKKMKKIICPGMAGFSLAFLASLVGATLNLTMYYQGVHLASSSLATAMSNLIPATTFVMAASLGLERVDVRQPRSLAKIFGTIVCVGGAMLMAFLRGPKLLSGKNTLLPSSTGSTWVMGALFLFGSSSCWALWLILQVPICKWYLDPLTLSAWMCLLSTLQTAALVPFLVPGPNAWKIPSLFELSCCIFAGAVGSGVTFYLQAWCVSVRGPLYSAAFSPLATVITTAFSAVVLREDLRVGSLLGAIAVITGLYIVLWGKAGDIKSETAPDHLDDPEVTVAPLPSDAQLEDGYGIAEPPLAGGKPAETKPSVAMMATQCIYAVMTLWAKAAFGRGTSPFVFVVYRQGFATLVLVPIAITAKRKRLKDMSLGVTGFFAVFVVALIGSSHSESVPVLPRAGPGVAVTVDGLGQPGAGDDLCHRSNRWVSKFHTHWKPEKKGEKKKKLACTFEFLCYSIFVTLNSVILLRLIHLGQMVFCPNELIKIADVFGTIVCVGGAATMAFFKGPKLMNHSRGDSSAMPLYTSHRNWVMGALFQVGSCLCWSVWLILQGPICKAYADPLTLSAWTCLLSTLQSAMVAFFLLPDQSAWKLHSLFEISSCFFAGAFGSGVSFCLQAWCISVRGPLYSAMFSPLCAVITAMLAAIVLHEVLHIGSVLGAVAIIAGLYIVLWGKAKDAKRGSLTAQSKDLDNATAGSIAQLEAGGTLTDPPAEQYKPCAAMVAVQCIFAVSTLWVKAAFGRGMNPMILVVYRQGIATLVLAPLAVMANRARLSEMRLGVTGFFLVFMAALFGQEQNHAHGASNREYEPVLRRAAPGVVISSNGHDKLLAITFLMAAAVGQERVNIREVGSIAKISGTAVCVGGAITMAFFKGSKLLNYTFNDLFMLLHSLSNSWAIGALLLVGGRSCWSLWLVMQKKKIKVKSNSNSSRELNGPKVCTRLIWKNGVAMGANMQVVHGSFDLIGLDLLLFHLTICSACFLPAAGPGCLEDPLPLRALMLHLRGECCCFLLK >Et_3A_024483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21198427:21200627:1 gene:Et_3A_024483 transcript:Et_3A_024483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAREAAAGKLAAGSGGGGASSTAGEYWSEALKAFLDHIPVSSVPGALHPSASPAVEVKLDDCVRDAIDAMYGSNAAGAVIVDDVRSSFGKFVDRDIGVVELSSLLLWALEELGKGDCDSNDNTSDFLSTLKQQPQIAETKIVWLAKLFLWEPFFPVRSGDTLFHAMLLFSKHHRLNVVPVVESMNSNVIGFANGRKPVSVYSDQTLADTLHILSKEKMGIAVIDRKTSSLIGSVQCNDLYLLLDDSSLFKNRKTTTLEEFVKLKNKSDNGTAVSSSASACEGQNILALRRTGHRGSNLPVTNNKSDTLKQVMEKLVTSSSSCSFIVDDHGHVEGAVTSRDIISVFSPPCMDSRIDGGTFFTGALEQAGCRVENGQMIQN >Et_2A_016006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19990876:19993326:-1 gene:Et_2A_016006 transcript:Et_2A_016006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPPTKKPRRVEEPTAAAAAVSQELLPPTGDDRISSLPDAILASIISLLPTDDGDRTQALATRWRHLWRSARLNLCDEDLHARGVNLTDIISGILSAHPGPVRRFSIGWRSRNKNLDIDAWLRFPKLDDLQELELWYGFSPIAMPPAAFRFTSSLRALTLSAGGSVTGGGEFVQFPSEDVDKFHFPHLKQLTVQCITIDESSIHTLLSKCPVLESLVLSQNEGFHCLRISSPTLRSFAVSSDCEELMQTERLKQVIVDDAPLLERFAIRHLEGEEDGLLVRISGTPKLEFMGSLTYGITKLEIGSTVFVEMTAISLTTVVRTMKTLVVRLAPPTIDDAISLLKCFPCLQNLYVVVVPNERSSRMKLHDPRDYIECLDLHLKKFVLINYRGVKKDVEFAKFFLLNARVLKMMELASRRQSCDAKYLNKQRTLLDLKNRASQDTQFAISIHSYRDDEMHISHIHDLSISDPFDSSNNCTAVVLCFCTRKWMKLKSN >Et_3A_024622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22749705:22762664:1 gene:Et_3A_024622 transcript:Et_3A_024622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGSGSGSGDEDAAGKAPEVEPPAETTPPPPAPAPAPAAGPSSASGAGAGASGSGEKPVKRMMKTPYQLEVLESTYRVPLASSDLMMGTPVPFEEPLHPIHLRRGAGRSSAMARISMPDIGRRYYEPPPVLFPPPTASVHLTQSELRQIRSVESQLGEPLREDGPVLGNAFDPLPPGAFGAPIVPEQQKQPVRSYDAKIFSRHDPKLLKASAFLPTMEPPFVPTSFVGKRKSTVGNPTSVQPHVGSRAVHEYQFLPEQPSDTYERASQSHYYDTPVEVSNSRISPLTSGSQLLHGSDEAAPSFAYQGQMSGSGILPQSDRSQTFSAVPGSYEMAQSSGNLNSVPVEGHFGISEVAGFENSLISSERGVYDEEETSRVDRKRKHNEEAKIAKEVEAHERRIRKELEKQDMLNRKRDEQRRKEMEKLDRERRKEEERLLRERQREEERFQREQRREHERMEKFLLQQSRRAEKQRQKEEIRKQKEAARQKAANERATARRIAREYLELVEDERLELMELAAQSKGLPSMLHLDSNTLQQLDSFRGMLSQFPPQTVRLKVPFSIKPWSQSEDYVAKLLMVWKFLITFTDILGLSPVTLDEFVQSLHDYDSRLLGELHIALLKSIIKDIEDVARTPSVALGVNPEGGHPQIVEGAYAWGFNIRSWQRHLNLLTWPEILRQFALSAGFGPQLKKRKVEEAYYRNDNEGHDGEDVISTLRSGSAAVNAAALMKERGYTHRRRSRHRLTPGTVKFAAFHVLSLEGSDGLTILEVAEKIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVKSPYRKDPTDSEAVLSAAREKIRAFQNVLSDSEAEKEADDAERDEDSEADDADEDIDGDDVTTVVGGDKDPLLAVKAQDGVPSTATAGGIKAEANSVGDVLNSQSSFTKSATAAPLSTACTSGDSPLGASSKNHEAIPGDSEDTQIDESNQVEPWLQALAEGDYCELSVEERLNALFALVGVATEGNSIRAVLEERLELANALKKQMWAEAQLDKRRFKEEFANRMQCNSYMSLKADINHENNAADGTKTPGFNADKENDGNVGAINNYEMLGQHSQGNDGSVSYERNGASQEISATPDASAIQHAYADKTRSQLKLYIGHRAEQLYVYRSLPLGQDRRRNRYWQFTTSASSNDPGSGRIFFESIDGHWRVIDSEEAFDSLVSSLDTRGSRESQLHSMLQMIEATFKEAVKRSAAIEQSFGRNIKNGATDMIRANFCSEFGSPSSASDSTKVYSDCFKIELGRNDFEKIAISKRADGFLKWMWRECHDQELTCAAKYGKKRCSALIHSCKCCYQIYLAEEGHCSSCHKTFKSIHSFSEHTAQCEEKRRTDPNWKMQISDYSVPIGVVLLKLQLAFIEASIPSEALQSFWTDVCRKSWGVKLYSTKSVGEMFQLLTLLESAIRRDFLSSDFETTSECLNTNSQDTASQNPVGLAGSATVLPWIPDTTAAVMLRMLDLDAAILYIQNQKMERDGGDFMKLPSRYTVGKNTQEPAPLERTGFDLYDGRWLPSSGRRGRGRGSRGGGRGGRGRSRGGRVPRGISSSSRIEFKDDTVMHEKVPRKNARRGRTRGRGRGRGRRTVRPRQPSESRGRSIPKANLLGSFSMLSSSKPATVEPPRSSGADEWGLETRIPYIEGDENCSGSESDRSEDNEENGQPMDIKEMVID >Et_2B_022595.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27249896:27250120:-1 gene:Et_2B_022595 transcript:Et_2B_022595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIYPPATSTPWSGRGALPGVYKKHGGDVWLVEPATRRFFRLQPGNAKHTYAAREMWDGVGSAYEAMDIMRSA >Et_10A_001657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6563146:6569212:1 gene:Et_10A_001657 transcript:Et_10A_001657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLSLPGGSPVTVTVSPTRGKAAGEDDAAVVKRGGVLTSPAPRSRHSLGGAAGSSSSTSTATLQVSPVRRSGGNRYLGASRDGLGDSGAEFVHYTVHIPPTPERTASASMADSMEAPPGAAAAGEEEEVRPQRSYISGTIFTGGLNQATRGHVLNTSADGAARSVAASGNMSCKMRGCDMPAFLGAAAGIAGGRLPCDCGFMICRECYVDCVNGSGNCPGCKEPYNTGGSDDSDSGGDDDDEEAVSSSEERDQMPLTSMARRFSMVHSVKIPSGGNGGAGAGVGGGKPGEFDHARWLFETKGTYGYGNALWPKDGHGGGGGGTGFAGFEEPPNFGTRCRRPLTRKKSVSQAILSPYRILIAIRLVALGFFLTWRIRHPNPEAVWLWALSVTCEVWFAFSWLLDSLPKLCPVTRAADLDVLAERFERPTARNPKGRSDLPGIDVFVSTADPEKEPPLVTANTVLSILAAEYPVEKLACYLSDDGGALLTFEALAETASFARVWVPFCRKHGVEPRSPEAYFAAGQKRDFLKNKVRVDFVRERRKVKREYDEFKVRVNSLPEAIRRRSDAYNAGEELRARRRQQEEAMAAAAAGGGGGAAAVPEAEAAAVKATWMSDGSQWPGTWLNAAPDHSRSDHAGIIQAMLAPPTSEPVLGGEPAESGGLIDTTGVDVRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYVHSSSALREGMCFMLDRGGDRVCYVQFPQRFEGVDPNDRYANHNLVFFDVAMRAMDGLQGPMYVGTGCVFRRTALYGFSPPRATEHRGWLGTRKIKKLLSRKRTMGKKTDRDNYNDTEMMLPPIEDDGDNGFLRQLDDIESSALLPRRFGGSATFVASIPVAEYQGRLLQDTPGALHGRPAGALAVPREPLDAATVAEAIGVISCFYEEKTEWGRRVGWIYGSVTEDVVTGYRMHNRGWRSVYCASLSTRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASPRMKLLQRVAYFNVGMYPFTSVFLLVYCVLPAASLFSGKFIVPSLSVPFLALLLVITLTLCLLALLEIKWSGITLHEWWRNEQFWVIGGTSAHPAAVLQGLLKVVAGVDISFTLTSKPGSSDDGDDDAFAELYEVRWSFLMVPPVTIMMVNAVAIAVASARTLYSEFPQWSKLLGGAFFSFWVLCHLYPFAKGLLGRRGRVPTIVFVWSGLICMTVSLLWVYISPPAGARERIGGGGFSFPGSSPQFITRNKQTHTLITLALSIHSGELVGIREEVRLHLLHEAEVALRELGLVPVALAAVAGGFHLISDVYDLSCELTLPPSSTLVFTSRAASCVCLNF >Et_4B_036531.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28559958:28560098:-1 gene:Et_4B_036531 transcript:Et_4B_036531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINCEGSFGQEDGKGGWGFVIRDSDGDLVCAGRDTVNYAIDPLHT >Et_3B_031386.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27863597:27863932:1 gene:Et_3B_031386 transcript:Et_3B_031386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLAAEEKSCRLFRKRSGGKGAIGERADGGSGKSSRSFSGRCARLVKEQRARFYIMRRCVTMLVCWREYA >Et_7B_055687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21919403:21926490:1 gene:Et_7B_055687 transcript:Et_7B_055687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHASAGSAARSEPQIPSPPTRGPAALKEVRKSTGARFEGGGGRIGTGTRRSPKRAGASRKVKEKALEWRRTSAAQGRRAAARNSRSRRGLIAGTCEELIFRFLAFLSLCFFGSDPLFTCFRQRIDLRRMPHYETIFCDVLGDIATQHYNSSSCNRNAMAGAAAAAMPLRRPFFLSLKPTRLLSSLAPPSPGLRYPRALRPAGPLPPDAEAANDTDAGDGAAVPFKKSRNELKREARRAVQWGMDLAKFTPPQIKRILRAASLESEVFDALMLVKKFGPDVREGKRRQYNYIGSLLRSAQPELMDTLIQASKDGDDSTLQAFLSERTLVEEEDLPEEEESDEEYMKIADRWFEGLLCKDISVTNEVYALHNVEFDRQELRKLVRRVHMVQESTQNKDGLEKSNTKLLKAKKPLLRFLRCLAKEAYVECEPAQTGCLDSKNVQ >Et_8B_058974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11834096:11847246:-1 gene:Et_8B_058974 transcript:Et_8B_058974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELGQQTVDLGAVVRRAAEESYLALRELVEKSRVEAEAEAQGKEGPQRSDTQKKIDLLKFVDRTRQRMLRLHVLAKWCQQVPLVHYCQQLASTLSSHETCFTQTADSLFFMHEGLQQARAPIFDVPFATEVLRTGSYRRLPKCIEEVGNQNTLFQDERRSTLKKLNTLVRGKLLEISLPREISEVSVTDGIANIRVDGEFKVLLTLGYRGHFSLWRILHMELLVGEKTGPIKLEETRRYALGDDIERRMANSDDPFTVLYTVLHELCISLVMDTVIRQANVLRQGRWKDAIKSELISDSSASQSASSASVQLGQDGELELAGFKIPGLKVNYWLDDKSAGSAELDLSPFIKIEAGKDMVIKCLHSSFILDPLTDKEADLSIDLSCIDVEALILKAIACNRHTRLLEIQRELKENVQFSHSPTDVVLKQEEVEGLRKKVEEKKFENSCTNELLQVRAYGQSYIHLGINIRSGTNFVHISLNNRSGRLLLQASKSVLPPSVLLESEEALNKGSLTASEVFLGLRTRSILQLFAATGNILGLKVYSQSQVTIKIPKSVLYGSDFVVMGFPQGATAYNLLIQLDNDLKPVFHLLETRADGSNTFSADPTTEAKEAIRFNKIDISHMQIGKNECSMNLFNAEKAPQDTVNYNQAPEGRPLRQRGNGELLSLVPSFSHFFSAVDEVLGYDQSSPTVENELFPPYNLPSTQLSSVQVGLERFNGTVDLPELDGTFMHSDINPLEVTNSAYFKSNQPNNLNCPIPPGVSLVLSDCKSSRDQTSLRSLGRQRGNISAAKSGGPSRKRALSEFLLNIPSLQQSGITDEPRKQRKVPEHMKDGVLKSNSSHTQSGKPLTYGNILVNENHCVTSSIYASVLRHVIQHCSLRIKYAQLTAQMDSLGIYYAEEVDLQSPSSILWLRLPFLKADSWKYVRLNLGKAGCMSWDVRVNDSYYGSLLKLHGCSTTTEWGFGVRIADTSEIDSHITFDDDGFVLNYHTVEADSVQRLVSDLQRLSNARSFSCGMRRLIGVKVDEKLDENSASAGTKLHPARRGSRHRLSEQMRKTFRIEAVGAMSLWFSYGAVPMVHFVVEWRAGSDGCTMHVSPDQLWPHTKFLEDFVNMGEVASFLDCIRLTAGPLLALGSAIRPAKMPVTAATGCSSVPKQNNILAQGTTKNDSSSTTMQTAPAPMGPGVAHFSNHNVQSSVLSAAGRGSPGLGPSSSLPFDVSIVLRGPYWIRIIYRKIFSVDVRCFAGDQVWLQPATPPKGGTSVGGSLPCPQFRPLIMELVAQGLNAFEPSMNARHSAAQLKANANTASGSQQPALGPNRFSGTHGVANSRPTSNVGNQVAAAASFSRAGGASVASKSALGISGALANLSPGTNVPAHMKGDLSTAFTGLGDDGGYGGAWVPLAALKKVLRGILKYLGVLWLFSQFPELLKEILGSILKENEGALLNLDQEQPALRFFVGAASFITLLTLPISVLREFIKLFAWKKSQSQGHGEISNAQRSRVELCLEKHQRPVSSDHSESSSPSKSNITHDRANRSVDFGLTFIPDHSLVQPLNVSGGASWLPYCVSVRLRYSFGDNSHISFLAMEGSHGGKACWPRYEDWEKCKQTVARAVETLNGSPIGDSGQGRLRMVAELIHKQLHLSLQQLRDGPRSAGPN >Et_1A_004989.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21625259:21625360:-1 gene:Et_1A_004989 transcript:Et_1A_004989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEKENSSGRFDRNNIEITDTGPEQLQNSPV >Et_5B_043082.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22087992:22088528:-1 gene:Et_5B_043082 transcript:Et_5B_043082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSANSTLLGESKIAVVFCTQEECKFEGGGDWKKCFCCMASKLCYETLEQCRVMCPHCDPKCTRPPPPPSQQLMNDQVVEVMNA >Et_2B_019721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1333213:1337640:-1 gene:Et_2B_019721 transcript:Et_2B_019721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEKKRKQPPADQAPSTGDDVERKERRKDKKPKKDKAEAILPQVPRTIENTREPDETVCRPDDQELFAGNDADEFNAVLKQHITPKVLITTCRFNSGRGPAFIEELMQVIPNSHYVKRGTYELKKIVEYAKNRDFTSLIVVHTNRREPDALMIIGLPDGPTAHFKLSKLVLRKDIKNHGNPTSHKPELVLNNFTTRLGHRIGRMIQSLFPQDPNFRGRRVVTFHNQRDYIFFRHHRYIFDTKESKVAPKDKKTKKSESISQTEKQVICRLQECGPRFTLKLLTLQHGTFDTKSGEYEWVHKPDMDTSRRRFFL >Et_10B_002948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14556802:14557999:1 gene:Et_10B_002948 transcript:Et_10B_002948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGKNRNPLAPWSLSDLAIAQESRDMSVLETAAPSSTLCKDRQNPSSPAALPADLLIEVLSRCDHDTLVRCAVSSKLLCRCMRDNPDAFLLRRRASSGFASSLFLGVFFFSGATRFAESPLSPPVIPRDPIRFFLLDKARFLGTFHDLSACGGGGLVVLRRRMSYSSVDLCVCDPVTGHYCLLRSPLVEEDWAISVLNGGENNAAAGGSSSPFRLLAARMVFVRSHSPPELRSHLFSSRRSLAAPVRPGGSWSPARKAVLPYRYMYFNLAGHPVVIGHLGHWLLSDDVVALHADDGRAELITGPRRKTVGSPALLASTADRRLSMILAVADHRSISVLVLSSEVSKNCWEQHATVVREGILGRTVR >Et_3B_028449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15564573:15567537:1 gene:Et_3B_028449 transcript:Et_3B_028449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFGAVKKVFSPESKEKKEEKLRKRLAASNPSPLDLTPSPSLEVNVSVPPAPVIPSVHQTEDIKVPEAEPEQSLDATLEPVPAAPAQTSVLPSGVSREEIAAVKIQTAFRGYLARRALRALRGLVRLKSLVEGNSIKRQAASTLRCMQTLARVQSQIRSRRLKMSEENQALQRQLLLKQELENFRMGEHWDDSTQSKEQIEASLISRQEAAVRRERALAYAFSHQWKSTSRSANPMFVDPNNLQWGWSWLERWMAAKPWEGRNGTDKESNIDHGSVKSMSLKIGEGEITKAFNRRDSKPDKPSPTTPKLTRPASRQSPSTPSSKVTPILARKKSATPKNSLSQQDDDVSSVLSVQSERPRRHSLATSTVRDNGSLSISPSVPSYMVPTESARAKTRLQGSASTTGAETPEKVSPAGPAKKRLSFQTGMASPSPMRRHSGPPKVDDGEEHC >Et_2A_014769.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:13486324:13486425:1 gene:Et_2A_014769 transcript:Et_2A_014769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKTPLWILELKERLRGIKNPNSRYLELIQFY >Et_4A_035484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26455969:26459498:1 gene:Et_4A_035484 transcript:Et_4A_035484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVKLVPRDQALSSQKGRGGADSDSDGSDRKRRAKRGKKGRDKEEKKRRRGRRRRSRYSSDSAEEGSDSDDSIGEEEEEDVSRSKRRGKHRRRRRHNFSDDEDDSSSESEKEKASGKGKGKQRGDDEDVDDEEMEGDGLRASEVVRREMGLEWMLKPASSSRAEGNRALKADDEEKDEATKEEVIRANPKELNPYLRDNGSGYPEESTPSSAGSQLLASSVVGDGGASWRLKALKRAKEQAAREGRKLEEVVEERWGSLGHLAVSVSASRAAPSRAHLHAIRGRKSGKADDSEEHAKGTPEGHQGGGSGSREYLRDVSSQHHAMRKPKPDSVPWKKRRQNISSEDQALISSAVASINKFSDDGSFMEKINNLESKNANVSTSTADEKRENDQKLLKESSSKAPLRSTQKLNANQLAAKILQLRMKGKHEEAEELSREMEALLASQDTAVEEPIHERERSSIRHTIKPTAADRRKREEDADRHLANKIMHNKQYNMSKSIEDEYDFGDAPSKKDKRKNKEVHQEKRGAHRPMFTQKERCLYCFENPSRPKHLIVAIGNFAYLMLPQFEPVVPGHCIILPLQHESAMRTVDQNVWGEIRNFKKCLLQMFAQQDKDVVFMETVITLSRQQRHCMIECIPVPCEVSNNAPMYFKKAIDEAEEEWSQHEMKKLITTSASRNLRQAIPENFAYFHVEFGLDRGFVHVIDDESNFNAGFGLNVIRGMLRLPEEDMHRRRRHESMDKQKQAVASFMKDWEPFDWTKQLD >Et_6A_047817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18014819:18018646:1 gene:Et_6A_047817 transcript:Et_6A_047817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERRSYGYGAATAARSITDGNCFFNDTADDFFGVPGQSSQPWNHPSSDPATWGTNATPPGGFTNVMQPQLSQNFSFGGEPSQYAPFRPPRTTQENESEEGFSTPISAKDNNKYVNVDSGEEAPRTEKRIFWTQDEDVRMMSSWLINSTDSTCGADRKNEQYWADVEVTYNETTPSHRARNAKQIKDRFHKLHLTTNNGAEHPWVALDR >Et_4A_032915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15654898:15658789:1 gene:Et_4A_032915 transcript:Et_4A_032915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFQDQFEMHQLRWYSRSPTPREFWISAAACFSVALVGLWTLLYFFSLWRRKISLSWMKMIARSKRRNFKRNHKVPTAEHVWSTESLIRTKGLKCCVCLESISPAQPLGQMMTSENMVHRCNICGAAAHIICSSNSQKDCKCVSMFGSKHVVHQWTVLWTDVADQSEEGQYCCYCEETCSESFLGGPPIYCCMWCQRLVHVDCQSAMATETGDICDLGPFKRLILSPLFIRTMSKPGGILSSITHGANEFASTVRGHLRSRSKRQKDHDRLPPNSALGDTNDDSSSDTTLNSNQRAKDIKATGGKPRRHKNNLPGGAKLKYALSDLPADARPLLVFINKRSGAQRGDSLKHRLHFLLNPVQVFELSSSQGPEIGLFLFRKVPHFRILVCGGDGTVGWVLDAIDRQNYESPPPVAILPAGTGNDLSRVLSWGGGLGAVEKQGGLCTVLHDIDHAAVTILDRWKVTVEDKKSKNVLLVKHMNNYLGIGCDAKVALDIHNLREENPEKFYSQFLNKVLYAREGAKSIIDRTFVDLPWQVRLEVDGTEIEIPEDSEGVLVANIPSYMGGVDLWQNEDETHDNFDPQSIHDKMLEVVSITGTWHLGTLQVGLSRARRIAQGQSIKIQIFAPFPVQVDGEPWAQQPCTLQISHHGQAFMLRRAIEEPLGHAAAIVTEVLEHAESTQLITASQKRALLQEVALRLS >Et_8A_058030.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1507890:1508348:1 gene:Et_8A_058030 transcript:Et_8A_058030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRLDWRDCHPGADGPGWSCCSLAVDEPGASVRCSSPCLRWVTTAPAPAAMEAQSPSGTPPAAYPRWVMLEKFGARPNSAGDAKTLAAARTTAGHKVKASLLLAAPPAASSVYVQVLACGVKHKYSLVLAAHADSVLIKIVLEEDHAYAW >Et_9B_064067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10920610:10924023:-1 gene:Et_9B_064067 transcript:Et_9B_064067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGKVAMDITQILLAAQSPDANLRTVAEGNLTQFQEQNLPNFLLSLSVELSNEEKPPESRRLAGIILKNSLDAKDSAKKELLLQQWVSVDPSIKLQIKESLLSTLASSVHDARHTSSQVIAKVASIEIPRREWQDLIARLLGNMTASGASAPLKQATLETLGYVCEEVSPQHVEQDQVNAILTAVVQGMSQTEQSSEVRLAAVRALYNALDFAESNFANEMERTYIMKMVCESATSKEVDIRQSAFECLVAIASTYYVHLDPYMQTIFNLTANAVKGDEEPVALQAVEFWSTICDEEIGLQDEYEGADDGNSSVHFRFIEKALPSLVPMLLETLLKQEEDQDQDDNVWNISMSGGTCLGLIARTVGDAIVPLVMPFVEANITKPDWHCREAATFAFGSILEGPSVEKLAPLVQSGLDFLLKTMNDPNSQVKDTTAWTLGRVFELLHSPASTNPVINNANLPRIMAVLIESSKDVPNVAEKVCGAIYFLAQGYEDAEPMSSVLTPYLPNVIAALLSAADRAETTHFRLRASAYEALNEIVRVSNISETSSIISQLLQEIMRRLNLTFDIQILSSGDKEKQSDLQALLCGVLQVIIQKLSSSDAKIIIAQAADQLMHLFLRVFACHSSTVHEEAMLAIGALAYATGQDFVKYMPNFFTYLEAGLQNYEEYQVCSISVGVVGDICRALEDKVLPFCDQIMTVLLKDLSNSMLNRSVKPPIFSCFGDIALAIGENFEKYLPYAIPMLQGAAGLLGTLDQSDDDMVDYGNQLRRGIFEAYSGILQGIKGPKAQLMIPYGAHLLQFTEAVFKDRSRDESVTKAAVAVIGDLADTLGLSSKDLFQSNLFHVEFLRECLDSDDEIGETALWAQGMINQAMA >Et_1A_008919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1974351:1978985:-1 gene:Et_1A_008919 transcript:Et_1A_008919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDVRLPRAAISFYQPCMSSGAFADLHFTSLGRNSNDIISMDQDGNTVLYDAAARAVRVMPAPHAPKHSPVSVTVGDGLYLLDRNPEIEYHPFEALVHNGIDNPYEEKWYWRSLPLPPYAKDDDEDRSAEDKEKNGRQCYQMSGINPYGIRAYTVVGDSQIWISTVGGGTFSFDTTCSVWSKAGDWALPFYGPAEYAPEHGLWFGFSSQGRHFVASDLNAVSATRPPVVVQEWDELAQPLPKQWVPIMAYLLPLGSGKFYIARIFDMAEEGWCLLKQGMDYKCVDTFVVFTGVEVHRASRGVLKMIKHKSRRYSLDCLMAELGTNEELDTLIANIKERTR >Et_4A_032034.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:12945119:12945262:1 gene:Et_4A_032034 transcript:Et_4A_032034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RARSCAVSTKRTILSPFTKEYRVLAALSSIRLFLEGSFSLRRIPTIQ >Et_1B_011026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16772830:16776861:-1 gene:Et_1B_011026 transcript:Et_1B_011026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMTSEEYASQSKLLQQFTNVPSIENAWVFKTSSDDRSTAMFSISQPNLLANSNRKHILCSHITKTDATSLHFQWSPFPIEMTGVSVIVPSPSGSKLLIVRNGEKGAPTKLEIVYQSHVEKDIHVDQHIHGPLYTDEWFQGISWNQEETLIAYIAEAPPQSKPAFNGSGYRQEGSSEKDCNSWKGQGDWEEDWGERYSKKGRPSLFVLNIASGEVRAAQGIARSLSVGQVVWAPPSSGSQRYLVFVGWLERNGFQNTARKLGIKYCSNRPCALYAIRSPFEEPEAKDTLVSDGKSDPAVAVRNLTASISSAFFPRFSRDGKLLVFLSAKQAVDTGSHNATDSLYMTSWPSEWNMNEQVDVTELVPVVMCPEDGSFPGLYCASMLSDPWLSDGCTMILTSAWRSTEVIISVDVFSGKVTRLTPEDSYYSWSALAIDAEYVLAVSSSPVDPPQIMYGCQVTPEGQARTWTWDAVASPLTTASNAVKSLLSHHNVKILKIPVDNPSDDLSDGGKLPFEAIFVPYKDSSHSPTVVILHGGPHSISVSSYSRSSAFLASLGFNLLIVNYRGTPGFGEEALQSLPGKVGSQDVQDCLTALDHLIKDELIDASKIAVVGISHGGFLTTHLIGQAPDRFVVAAARNPVCNLALMVGTTDIPDWCHMVACGTEAKQYASESPSPDLLHLFYQKSPVAHISKVKAPLLMLLGGADLRVPSSNGLQYARALRERGGEVKIMFFPEDIHEINLPRSDFESFLNIGVWFKMHMG >Et_2B_022746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4104547:4105562:1 gene:Et_2B_022746 transcript:Et_2B_022746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGMPSLPGLEAERPRYGTEGRCLLGRRLCTTPAGRSTPTTPSSRTGGGSMCPCLAVVTSCRWSYVGDEMEIMSSALKPHRDLAPEEELVDDETRPARYKKLKAKTFGDGFWDAFALGERRRLPQGEDRQAGRGFQHLKPHTSCRDEPNHSHNYASIPLHPPSTG >Et_8A_057743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6756095:6768493:1 gene:Et_8A_057743 transcript:Et_8A_057743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRMLATTSTSGGVVAVQEAARLWLSELPVRVDLAAVAAQCAWLWAQVRAFVLVPAIWLLVIVSLALTVMIMFEKLFILGVFIFVKVFRLSPERRYRAKYPMVLVQIPMYNEREVYKLSIGAACGLNWPSDRFVIQVLDDSTDPDVKDMVKMEVQKWQSKGVNIKYEIRADRKGYKAGALKQGMKHSYVKECEYIAMFDADFQPESDFLLRTIPFLVHNPELALVQARWRFVNSDECLLTRFQEMSMDYHFKFEQEAGSSAYKFFGFNGTAGVWRISAIEDAGGWKDRTTVEDMDLAVRATLQGWKFVYVGDVQVKSELPSTFKAFRFQQHRWSCGPANLLRKMMREVTQSTKLSWWGKFHLWYDFFFVGKIACHTTTFIYYCFAIPVSVLFPEIQIPLWGVVYVPSVITFLKALGSLSSFHLIILWVLFENVMSLHRLKASVSGLLDVGGRVNEWIVTEKLGASNKTDPNTIRSDVVKVIDVKVIESDVKLMEPLVQKLIKKRTRFWDSGHGAGDAAAARLWWAAELPVRVDWAAVAAQCAWVWARARAFLLVPAVRLLVFLSLTLTVMILVEKLFVCAVFVAVKAFRLRPERRYRWEPITAGAGDDDEEATGGNRAKYPMVLVQIPMYNEREVYKLSIGAACALEWPPERFVIQVLDDSTDPVVKDLVETECNRWKSKGANIKYEVRGNRKGYKAGALKEGLKHDYVKDCEYIAMFDADFQPESDFLLRTIPFLVHNPELALVQARWRFVNSDECLLTRFQEMSLDYHFKFEQEAGSSVYSFFGFNGTAGVWRISAIDDAGGWKDRTTVEDMDLAVRATLQGWKFVYVGDVQVKSELPSTFKAYRFQQHRWSCGPANLFKKMMGEMVHNKKLSLWSKIHLWYDFFFVGKVACHTVTFIYYCFAIPLSVLFPEIQIPLWGVVYVPTVITLLKSLGSPSSFHLVILWVLFENVMSWHRLKAAVSGLLDAGGRVNEWVVTEKLGDATKTKPNTNGSDAVKVIDVKVIETDANLTEPLIPKLVKKRTRFWERYHRSELCVGTCIILCGCYDVLYAKKGYYIYLFLQGVAFLVAGFGYIGTLPPRSE >Et_1A_007868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39040311:39049465:1 gene:Et_1A_007868 transcript:Et_1A_007868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACPWRRVVLEGEVFDGMPQTDSLGRGGSWGLGSDVQASVLIDLCLVWSFWGEAVAPRMQGSTKLSSGPSCGDKAPRVNWPHHANAVQSSSSKDDFLSTSFLFSLPTQRPNPEANREGMLSLRIQGSERLQVPWIEKAWRSLCNTQVACKSYLSPGLPAKVKDCERGQAHSFGGGSNYINKVVTAPANRILSQENLHQSTERGCPESNSNHQSAGIDSCTRIYQSNNLIQSDINKATNHCTFTRTDAQIHRTAPSSDNMCTDNKLDAMDDDDDILASIDVDRIVMEHYQATNTPRGSASHNMSTPPANKCNSSGLEENNLPQELSELCSHQCKLAFCPQAMDHLQEMKDKLIAVANELLDGDGKLSPQYYEELRQKRVHLNKQIQLLTEHMVSSAQDEERQRSHSMASTTSIFGHHPPMTPQSTLVMDNDRFQSQLYNRNGPGDSNLFYSPAPCSYTDNLSTPLNSVSRDYAPKVIDVNYTEGSKDKRWNSKNFPWTMDLENKNKSKFGNHSFRPNQREIINATMSGNDVFVLMPTGGGKSLTYQLPALIGEGITLVVCPLVSLIQDQIMHLSQANIPATYLSANMDWSQQQEILRDLVVCHYKLLYVTPEKIARSDTLLRLLENVYSRGHLSRIVIDEAHCVSQWGHDFRPDYKNLGLLKQKFPKTPVLALTATATARVKEDVVQALGLENCIVFRQSFNRPNLRYFLRPKTKKCLEDIDSFIRTNHFKECGIIYCLSRMDCEKVAEKLRECGHMVAHYHGSLDPVDRARVQRQWSKDKINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGKPSSCLLYYQYSDYIRVRHMLTQGVAEQSATPRGGYSSSYEQALKTHKENLLRMVSYCENDVDCRRLLQLIHFGEMFDPSLCAKTCDNCLKELTWVERDVTDIARQLVELVTMTGQSCSSCHILEVFRGSLSQNVKKQRHENLALHGAGKQLPKGDASRILRYLVTEGILVEDIKKSDNIYGSVSSVLKANHLKVNDLRSGKQRIVLKFPIPENGPKMGKLDESSFPQINKSVQQQSEVDEKLASMLFDALVFLRERIMDECSEGCQPYHIFKTDTLREMSIHVPRTKEELLEINGVGKVKVKKYGDRVLATIEDFLSKHPNPRRNSSGSGSGSNEHTEAAKKRRGCTSGAVSSNGDDFEDRTVQSKKRAAKTRSTPKQVPSDAASMVHGDRCMDADLDGFETLDDELCSVQKPVASGRVLPKWKPAKAKLAKGGVPASNLFQEFGYVK >Et_1A_009027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2596782:2599678:-1 gene:Et_1A_009027 transcript:Et_1A_009027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSTRSRGSAVPATPLDDDDLLGQILLRLPPSPSSLPRASLVCKRWRRVISGPYFLRSFRAHHRKAPLLGFLFQNHIGADVGFAPALDPPDRIAEARFTLQVRGYCLLGCRHGRVLAVDWNNKHNILVWDPVTGYQLCLAAPPAPRAVDTPGDRMNGAVICAATDKGHTHGACHSSPFQVVMVDARWNGGLSACIYSSETSSWVDAMSMSWQSLFPKENNMRPFIPISLSSTMVGNQICWLLLGLTGAILQFDSRVQSFAVIEVPPGSYDHIAFSHGQCQFLVTRTDAGGIGFLVLSGFSVRLWKRNVNSDGFAGWVLGNTIELSSLLLLSLDVYTKHPMIVGYAEDDNVIVLRTDDGVFMLQLETLQFNKLARMDQHWPPYLPFKSFYPADLLDAPRQDDPSQLRYPPKYPEMNFI >Et_2B_019550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1066631:1074520:-1 gene:Et_2B_019550 transcript:Et_2B_019550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPSNPRSISTGICFFCSGTRILRVCASQLVFPALSEEEKTNRLVCPIAMSGLRFCSSTAGRGASTSSHASSRGPPQLFGATLEAFKEQLRSGMLGPEDARNLFDEIAAPSPPRLGSRAEWPSRQAVLLHPKPATTALRSPCLSSSECPRAPYIIMDCCRRARRPELAFAFFGRLLRTGLGVDVISYSILLKSFCDARRSQHALELLRTMAQQGPAYSPDLVSYNTVIHGFFKEGDVPKACDLFYEMIQQGVPPDVVTYNSIIHALCKIGAMDKAEVVFRQMVDKGSRADNRIYTSLIYGYSTLGQWKEALRVFKEMTRQGFVPDVVVWNSCITSLCKHGRRKDAREIFDSMAIKGQKPNIVSYCIMLQGYTAEGSFVEMTHFFNLMVRDGVVPNLRIFNILMNGYGKCGMLDKAMNLFEDMKQQGVKPDVFTYSTVIAALCRKGRMGDALKLFNEMKDEEIRPDVAVYNCLIQGFCTHGCLVKAKELVTEMMNKGMHPGIEFFRSIINSLCKDGRVVDAQDISKFIVSIGMPPTVIMFNTLMDGYCLVDKMEEASRVFDDIVSAGLEPNVVSYNTLVNGYCKHGRIDDGLNLFREMLLRGVKPTTITYNTIMHGLFQAGRTCAAKEKFIEMIDSGITVRTETYNTVLFGLCRNNCHDEAIMIFKKLHATNVKINIITVNIMIYSMFRSRKIKEANDLFAALPAYGLVPSVDTYRIMVTNFITQGLLEEADNIFSLMEKNGCVPNSQLLNLVVRLLLEKKEIMRAASYLSRIDEKKSSLEASTIKLLISLFSAKGSCQQHINLLPASRSRLSPVFSTAMSRLRCSTAGRGASTSSRVSSRGPPRSFGAALEAFKERIRSGTLGPEDARNLFDELVRRAHPDSAFTLNGLLIDLARAPPSEACSDGPALAVTLFKRMSESAVRPTAHTYSILMDCCRRARRPELAFAFFGRLLRTGLGIDVISYSILLKSFCDARRSQHALELLRTMAQQGAAYSPDLVSYNTVIHGFFKEGDVPKACDLFYEMIQQGVPPDVVTYSSIIHALCKVGAMDKAEVVFRQMVDKGSRPNNRTYTSLIYGYSTLGQWKEAFRVFKEMTRQGFVPDVFVWTSIITSLCKHGRSKDAREIFDSMTMKGQKPNLVSYRIMLQGYAAEGSFVEMTHFFNLMVFRDGVVPNLRIFNILMNGYGKCGMLDKAMNLFEDMKQQGVKPDVVTYSTVIAALCRNGRMGDALRIFNEMIDEEIRPDVAVYCCLIQGFCTHGCLVKAKELVSEMMNKGMHPGIAFFSSIINSLCKEGRVVDAQDIFDFIVSTGLRPDPIMFNNLMDGYCLVDKMEEALRVFDDMVSAGLEPDVVSYGTLVNGYCKHGRIDDGLNLFREMLLKGLKPTTITYNIILHGLFQAGRTGAAKEKFNEMIQSGIPLGTKTYNIVLFGLCRNNCSGEAIMLINKLHATNVKIDIITFNIMLYSMFESRKIEDAKDLFASLPAYGMAPSVYTYCIMATNLIRQGLLEEADNILSSMEKNGCAPNSQLLNLVVRVLLAKGEITRAGTYLSRIDEKNFLLEALTVKLLISLFSAEGTCQQYINLLPSRYQILVGARRS >Et_4B_038180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2761662:2763815:1 gene:Et_4B_038180 transcript:Et_4B_038180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQQADHSDTSSKQSPSCCVVHGPIIVGAGPSGLAVAATLRRLGVPFTVLERSDGIADLWTNRTYDRLRLHLPKVFCELPHVGFPPDFPTYPTKHDFLGYLRSYAARFAVSPLFGRAVTRARFDAAASLWRVTAVSAADGSGVATEYVSPWLVVASGENAEVVVPKVKGRERFEGEVLHSSEYRSGERFKGKSVLVVGCGNSGMEMCLDLCEHGATPFMSVRSGVHVLPREMFGSSTFGIAMKLLKWLPIKLVDRFLLLVAKMVLGDIEKYGLKRPKLGPLEIKNVTGKSPVLDVGAWSLIKSGNIKIVPEVESFSCSGARFVDGNEMAFDAVIFATGYRSNVPSWLKDGEMFTEEGKPKTQHPSNWRGPNGLYCVGFSGRGLLGAGADALRAAADIAGRWQEVAAAAAAGAKISSV >Et_3A_025147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27334106:27337167:1 gene:Et_3A_025147 transcript:Et_3A_025147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLWNDKRERELLESYADLYAIIRATEKLERAYVRDLVSAADYEAECLKLISQFNSLSSSLAGVVTIPRFVQAYRLDCPAALNRLLQSGVPATVELRAAASASSSGPASTAASATAIAQCVQSFITAMDAVKLNMLANDQVRPLLQELSTSMARLGPMLPPDFEGKVKVNEWLAKLHKMGAADELTEQQARQLNFDLDSAYAAFMAALPSAGLGGSHRRWGG >Et_3A_023842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13313799:13314243:1 gene:Et_3A_023842 transcript:Et_3A_023842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGLVLSRDHPHFPHTGCTAIVEMGEVAQEGVQAITSGLRHPSRFGRLEVLDRAQLEGLQNLNPPTGKKQESIQIPSISTSGFSSGNHRRSQPHSDDRFLQAKEASFVETVFVALG >Et_4A_032102.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:17538836:17539120:-1 gene:Et_4A_032102 transcript:Et_4A_032102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPAEGAPRSRSQRRRAQPAECCSCRGCARRQRWPILSPAGPECAAGEGEVPASGGGRTVTSSRCSKSRRAMINSRMYTLFVILHQPGEELCS >Et_7B_055230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8485837:8489876:1 gene:Et_7B_055230 transcript:Et_7B_055230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEGGVAVEPRGSGGGGAYEARRRQALRARYAYGIVFFATNLLAWFVRDYGARALRGLHHVPVCGAGDSKCFQSGGVLRIFFWVMFATTFGTRKLYEVRNSWHSGCWILKFLVYVVSIGIPFVIPNIFIQLYGEIARLGAGIFLLLQLISMLHFISWCNKHWMPDPGSNQCGVFGLFLSTISFIASFAGIIVLYLLYVPNSSCAFNIFTITWTAILVMIMMAVSLHSKVNEGLLSSGIMGSYIVFLCWSALHSEPQTGKCHSQMKIAMDGDWATIVSFIIAICSIVMATFSTGIDTRSFQFRNDEVQMEEDTPYSYEIFHTVFAMGAMYFAMLFISWELNHPTKKWSIDVGWASTWVKIINEWFAASIYIWRLISPVVLRSQRVNDEEIVAHRPAV >Et_3B_027801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20048330:20048857:1 gene:Et_3B_027801 transcript:Et_3B_027801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRPYRFPALPEEEEQATRCTTQSCGTCSASALASCVALCCCPCAVVSCLTLTLVKAPYMAGRRCLVRLARTRLRKPRRVRDVDDDERLQGELIKAAAALPRRSREWGELARAAAVGANGRARKVWMEMYQVGLWGFGRLSLSPPTAWGGGDSEMNGANAAQ >Et_8B_060435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15368885:15373197:-1 gene:Et_8B_060435 transcript:Et_8B_060435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLEGVSSGELPAFLQRHDDARNRRHNEVGDSAHMAITLAYQCLPPPPVCLAAHLSSAAAASAPSDGADRLSSLPDGVFRDVVSRLPAKDAARTAALASRWRGLWRSVPLVLVDSHLLGVFVTTQRITVAGEDDAGSRAVAAAASAALAAHPGPFRCVRLTWSHMASHRREMARWMQLLAARGVQELDFINRPWPLDLPLPAALFKCASLTRLHLGVWRFPDTAALPRATAFPHLRELFLTMVLMTEQDLAFLLSCSPVLEILTIISNRFSVRLRLVSHSLRRIGGKRSARIKIGNAPNLCMLGHWRPGEHQLGIGNAVIEAGTKVSPSTIAPSVKILSLEMQFEVRNEVKTLPSFLKCFPNVEALHLILDSYLFLEKEFVIEC >Et_10B_002426.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19421046:19423236:1 gene:Et_10B_002426 transcript:Et_10B_002426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILICAFLLQLLLLGSSRVAAQSQPLSPARMLDATLQDYAFRAFVRPRTGIVFNATLPANLTGIAVSALRLRSGSLRRKGFAGYSEFDIPSGVTVQPYVERVVLVYHNLGNWSHYYYPIPGYTYLAPVLGLLVYDAANLQAVGLQEQNIIVTGNPISVTFSNVREVPAEGPVPRCVYFDLNGVPQFSDLNSSNVCSTYRQGHFSIVVNSSAVPPAPSPGPSGAIAPPIPPPGSHGKKGNSKAWKIAVSAVGGAIALGLLASLLICLVRHKRHKKLEVMERNAEVGETLRMAHVGRTQAPVALVTRTQPVIENDYVA >Et_2B_020227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18109167:18111463:1 gene:Et_2B_020227 transcript:Et_2B_020227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAARAALVRVLPPLPSPTSRPKQQLKQGLGRRGGASLAVRAKDADDYGALLSEKPAPPAPAKREGWEGFGRDVSSAEKDAEMEEEVQGEPDSWGVLNQIGVELDSDNTYSALVYGTSAVVAIWISSIVVSALDSVPLVPQVMEVVGLGFTIWFTSRYLIFKENRDELITRVTSIKKQILGSRD >Et_5A_041204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19404644:19412295:1 gene:Et_5A_041204 transcript:Et_5A_041204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGIRPRSKRVFAEQQTTRTMRQKTSIAPSNSCDVNLTPQDTHVSVAADGPVQLDENTHMDNGGDAVAQSDGLNSMANEDDGLVQLDGGMANGAEHGEDIHMANQENKISAPTEGEEHISVTQVVAEVLAEKTKKSQFLQNVGIQVTRPRSSSEDLEAQLEAEKREKNELARQVKNKEELETERRCQKSKMIWKQNLSFCSLRCNRLRVWRFTSSGGTRLHSTIQGYRP >Et_4B_037600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21590597:21590895:-1 gene:Et_4B_037600 transcript:Et_4B_037600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNMHPYAFEIELVDYIAAIRYNEADIIDSCRERLPLVTWRPKTVVFQDLPKTSTGKTHEFLLRDKAPAMGSLHHKDCS >Et_2B_019797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14025531:14040720:1 gene:Et_2B_019797 transcript:Et_2B_019797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCSLYLLTPRPPPLLVDATPRTPRAGGAVLPTSHRPRLLGRRRSFTQRTQRPGGRGDAVDPVGILTKLGISDRVFAQFLRDRHKALKDRRWELCSRFIDLKEASSGFELLGMHRHRQHRLDFMEWAPGARYCSLVGDFNQWSPTENCAREGHLGHDDFGYWFIILEDKLREGQEQDEYFFQEYNYVDDYDKGDNGVDPEEIMRRAREEYWEPGEVRSRKSQLEMVVKLYEQMFGPNGPQTEEELGEIPDAQTRYNEWKASQKADSTSLSPSYDIIDNGQPFDIFNVVTDRASFEKFQAKKPPLAYWVEMRKGRKAWLEKYVSTISHKDKYRVYFNTPDGALERVPAWATYVLPDAEGKQSYAVHWEPPPEEIYKWRFGRPKVKSSLRIYECHIGISGSEQKVSSFAEFTSKVLPHIKNAGYNAIQLIGAVEHKDYSSVGYKVTNYFAVSSRFGTPDDFKKLVDEAHGLGLVVLLDIVHSYASADELVGLSLFDGSNDCYFHSGKRGHHKYWGTRMFRYDDVDVLHFLLSNLNWWVTEYRIDGFHFHSLSSMLYTHNGFSTFTGSMEEYCNQYVDKDALIYLILANEMLHDLYPDIITIAEDATFYPGLCEATTQGGLGFDYWVNLSIPEMWLWHLENVPEKEWSMNKIMKVLASNNHNMLSYVENHNQSISGRKSFAEIILNTGRYSAGSVDDVLIRTSSLLKIIKLITFTTSGGAYLNFMGNEFAHPKRVEFPMSSNDYSFRLANRQWELLNKGLHKHQFNFDKDVMSLDENERIISRGSPNVHHCSDTSMLVLNTDETKYGGCGELKSNQYMRRTSDKRVDGCRNSLELTLPCRSAQVYKLARILRI >Et_8A_058487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9038048:9040279:-1 gene:Et_8A_058487 transcript:Et_8A_058487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILPSASAATYDEAGGCIGMSNFRVLCEFYRGCVAHATVFFFFTAGAGTAAGSSCSWTERTIDHVVPDLNGSRLLGRDGGSWYFFVRDRTRRSSPVRQDGGPAPPTCRAAPPRYDQYWLRQQPVLRNKRNGPVHSRKTNHVARTCIQLWLA >Et_6B_049706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9266215:9272679:1 gene:Et_6B_049706 transcript:Et_6B_049706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAEQPPSDMEVDAAPEDKPTVRFSINVLELMREAQMQHGLRQSDYTRYRRYCSARLRRLYKSLKFLHGRGRYNKRNISESTITDVRYLHIVFYMAERAWSHAMEKKTAVPNAKQRIYMLGRFRKAVKWATLFSQLCSVKGDSRTSLEAEAYASYMKGNLLFEQEKNIEAAMINFKNTRAVYEELGKYGSIENQLLCRQRVEEVEPMIGFCSRKLGGSSLQEAELLDMENEGPANDLLKAKMEAVLSETRSQQAASMTDFYWLGRRFPISNAKTRVSILKAQQLERDLKGAASESIAADKKLAIFDKIFSAYHDAQSCIRNDLASAGNAENIRDDLNGLDKAVSAVLGLRTIERNQLLVSIAKSKFTKHRDEKNEKITKPEELVRLYDLLIQNTTDLTDLVDSGRNKNEEENSFVHEYELKGLAFRAERCFFLAKSYSSAGKRAEAYALFCHARSLADSALQQLANSPDKALIQDMKSLSDNCRSSSCIEHATGIMEEESVPLKLSKGVSTMSLADDKSKPNTKAQHRITQFPPPFQAVPCNPIVLDMAYNAIEFPSLENRMKKEKKGLLSRFW >Et_6A_046161.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:5588542:5588598:-1 gene:Et_6A_046161 transcript:Et_6A_046161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWPRFFWWERTSTKPAN >Et_1A_005462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10651924:10654853:1 gene:Et_1A_005462 transcript:Et_1A_005462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRGNPSGRVAGGGGSPDGRAGGGRGAGGSEEPSDPRHAHQHGGYVSNGGGGRGGAGTYNVQRVGYPYWYVSGAGGGGSMDSFNLHGSYNAGYGAVDEHGFAVDQHAAGGMSLGGAYYGGGDGGWYWNSGGTSAADSRDTTGYGTDYFYENGGGSGAVDWQDPGYGADHFFYENGGGGGNGSASSTLPLQQNMYLPQHAQTGYYWPTGGNHSAVEQHVWNGSSSSSLPLQRIPTGGAYYEPRHARTEDHWRAGAVGRQRFDDVFHGNGDSRRGVMTFPSPRNGGAFNHAPRYHYAPNGARRSRSRSHIDDFISNGLRSLTIGDAGGNIVVDRRHNRGRFSEFRNQGRALLDAGDQLDALSPGRGLQLNPNQLYDGMRLEDVRGRMCSVASSLPGSHFLARMVDDGGAAAARQVFEEVAGEVVRFMGHQAGHVLVETLAKFWTDEEAITRVLGALAAAKPVQIFITDVVVKVPHRVCLDRHACHVFSRCIDMAGDEQTRASLVRAVCRDGLALAEHGAGNYVVQHVIEVAAPWAKDCLHRAFRGRYVSLARQKASSHVVQRCLQFFSREQVDEIVRELLSCDWQCTFRDLISDPYANYVLQTAMERTEVRAPRSSPLFTGNDSSCVMSKCQKKNGQMQRTLLSAIARYKDALGEDRIAKQ >Et_2B_022322.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1796821:1798215:1 gene:Et_2B_022322 transcript:Et_2B_022322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVERFDVAVASRELVRASDPPPGFPAVLAASNLDLILGSFHIYFITVYPAPAAGLPAVLAAVRAALPAFLSRLFPFAGRVVTNASTGVPEIACNNAGAELVVADAAAKLADVDFADADRSLGRVVVPFQQGLALSLQLLRFACGGFAMSWGANHLLVDGHGLTSLPNAWAELLRTGGISWEPHHERASLFRPRSPPRYSPSLDAEFTRYAPASLPNSLLVATLVRRMYVVSATDLNRLRAAASTPALRATRLEALSAHVWKLLAAAVGASDTHCRLAWLVDGRPRLDPSKYDRDALRRYLGNVVTYASREAAVEAVSSSSPADVAATAGAAIAGVFRSERFQELVDWMEAHKGVFREGGKWTETVGVGTGSPALVVSALLPFRVEGDFGFGKPRLVMPWVRPGRLGSASMIVARNPAEDGSWVVSARLWPRLADAVDADPEAVLRPATAARLGFGAAPQASRL >Et_2A_014536.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20556234:20556725:-1 gene:Et_2A_014536 transcript:Et_2A_014536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDLMRPFCRKIKRDCRIIFFRHGISEDHISHICHQEVLAIKQACAHLKVGLEPSVTYVVVGGNAAQEDSRKDDKLEFLCNHGFVEGTKPARYRVILDENNMTFLDLQSINIKLCLLRNRWAYPDISMVPPAYSAWLAASRTTDELKNGGAATGRQQLGMAI >Et_6A_048116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:696659:699641:-1 gene:Et_6A_048116 transcript:Et_6A_048116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSQLDCVLLAVNACVGELRNDTTASTTTSNHDKIEVSLCPAQPPLPSKLYVHCPDLTLTDLPRLIGAAEDLLLLRVVVGCSPDSASSIDDSDYFVYRAGNRRQASLQRLLRPHPFFQDNDVGLLSRGVNYTVAALVATGTPVYDLHILHSENPLEWIYRKVSVTEPQRSFPMLIPKNCGRLLYHETSTVISIGGEAGTMGWVDLWRGILLCDVLRDDPTLRGVPLPVPLDLVSCDNGLGAELGSPIPFRGIAFIKRGGENPEDCIKLVHLEHKTALVPDSYDVETESPLYQMHDWTIVTYTKTAITNSWKDWRRDFRIQASDVAIDTKIKSELLQSGLLGSTSVPALQNLLVSYPAPDISAADHEGIVYLMARKNYQDPKGWMLALDMRKKTLLGAAEFGVEWPICASAMYCTSSIAKHNKPSTEPCIIGLFIWGWGLDVAAEVCWLTVPQGCACEQQGVLPALWPSQPAVAQCPWLVGRCGMEPCRRAELSFEGKGWSSLGTPGCSDDSGPSDYLRPGYVVLRAYAACVG >Et_9A_063372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21813276:21814568:-1 gene:Et_9A_063372 transcript:Et_9A_063372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHGGLGNTAERWRELHGENDWAGLLHPVDLDLRRTLIRYGEMAQATYDAFNHERLSPHAGLCRFGKARFFESVQLPGQAATYRVTKFLYVTSSVPVPDAFIVRRSGAGRCRESNWIGYVAVATDEGVAGLGRRDVVVAWRGTMRSLEWVDDMEFALVKPKGLVRDHEGCEPMVHRGWLSMYSSSNPMSSHNKDSARDQVLCEVRRLVDMYKDEELSITVTGHSLGAALATLNAFDIAVNGYNAAPGTTAFFPVTAFAFASPRVGGSGFKRRFDAAAPGLRLLRVRNARDVVPKYPAVFYHDVGAELAIDTRRSPYLRSPGHEQVWHNLENYLHGVAGTQGAHGEFKLVVERDVALVNKSYDSLRDELGVPAGWWVPLNKGMVKGADGHWSLMDCEEEDGAE >Et_5A_041740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26606164:26609714:1 gene:Et_5A_041740 transcript:Et_5A_041740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRPPKKILESYTIKGSDKVIKPGDCVLMKAADASKPPYVARVETIEATGARGTNARVRVRWYYRPEESMGGRRPFHGSKEVFLSDHYDVQSADTIEGKCNVHSFRSYTKLDSVSAEDFFCRFEYKSATGCFVPDRIAVFCKCEMPYNPDDLMIQCEECSDWFHPSCIGMTIKEAKRLEHFFCQSCTAENGKMAENSHEATAQSEEKVYYDAL >Et_10B_003908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7428119:7430182:-1 gene:Et_10B_003908 transcript:Et_10B_003908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVIGTTLNYFLDGRINKAEVLFPGVGVFLIAAILGSLVHSSNAADNKEKLAKSLVNHTNNARSKDLEGAIPNATLAHIQAQVKVEEGTTEFLVALEERRSKIFYEHIQRPRLGISYLLKFIPQVLGSHALLGISIVVFAGVCFALFLPAFNLATNDHWHTLPDGVPRLTVYTAYFYFSLSCFAVSVALNVRFLYRPMAGVAASSPAAYLADCGEGRALAMLAAMMCGLGNAFTFMAGQAAGYAAADSVQALPLVSTFWGVVLFGEYRRSSRRTHAQLASMLLMFVVAMALLMVPSAHRK >Et_3B_027713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14207397:14207812:1 gene:Et_3B_027713 transcript:Et_3B_027713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMDLPIHISEGKKRPEVPMQAAKLATEADMVLRRHIPVLPHWNNLLHEWDHLSNYIKKVSVQFSMDTTNKPVILACADLLKSGQRQMRYKLKK >Et_2B_020914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24829236:24836039:1 gene:Et_2B_020914 transcript:Et_2B_020914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAADGLPVEPQSLKKLSQRLLKRSLDLFAPAHSLLFAPDAESKRIRTGSKVRAEYGAVKDLRLEQGRGGQGKATAAPSTSTALALPGTQDTKDAHREGTSNAIVPAPLMLPKAPESTIPGKNTTLSIPGSSDRFSTSALMERIPSRWPRPVWHAPWKNYRVISGHLGWVRSIAFDPGNEWFCTGSADRTIKIWDLASGTLKLTLTGHIEQIRGLAVSQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDVLLTGGRDSVCRVWDIRTKAHVSALTGHDNTVCSVFARPTDPQVVTGSHDTTIKFWDLVAGRTMCTLTHHKKSVRAMALHPKEKSFASASADNIKKFSLPKGEFLHNMLSQQKTIINAMAVNEDGVMATGGDNGSLWFWDWKSGHNFQQDQTIVQPGSLESEACIYALSYDVSGSRLVTCEADKTIKMWKEDLTATPETHPINFKPPKDIRRY >Et_6A_047135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25772217:25793829:-1 gene:Et_6A_047135 transcript:Et_6A_047135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TTYRWSRVLGHCNGLVLYTDDDLDDGSFFVCNPVTQRWKRLPWCTGGDEWMWHLHAFLVFSPTVSKHYRVLLVSRKPKKEVDGARRSMEWPPSQWRCHEFSSRTGRWRERVFVREGEAAGTVADLIMDSLLPYSMEPRWRFSAYWQGNLYIHCHGEYVARMSLSDATYRVIKSPIDRTECNNNVKSFLGRSEKGVYFAAIYKWQLRVWILHTGSSPYRTEWVLKHDRNEKRKTRADVDWSSDDDDVIQTVDWYENSNDDEDMYPHTFHSLGFHPYKLVIFLSAYGVAVAYHLNSSKVQFLGILTPSEHNHGVTDSFKAAALHDDALAAVLGRLPARSLATMRRVCKAWRAVVDDRRLLLRLRNLPPHAVRGFFVNHQDHGEPHFFARPTAAGSRIDGQFNFIEDQYMSRWSRVLDQHNGLVLYRDHYDGSFFVCDPDTRWWKRLPRCTGGSDWRWHLHAFLVFDPAVSKHYRVLLVPREPKKEVDVARRSTKWPPSRWRCHQLSSRTGRWRERVFVREGEAEGTVAELLMDSLPYSWEPRWRFSAYWQGALYVHCHGEYVARMSLSDATYRVIKSPIDRTACYNDVKSFLGRSEKGVYFAAIYKWQLRVWILAESSPDRTEWVMKHDRVLKADDWRLVSRDYHRVKLDGPWILDDYEQRKTRADVDWNSDDDDVIQTVDWYENSNDDEDMYPHTFHVLGFHPYKEVIFLTTYGVAVAYHLNSTKVQFLGILSPIDYNRGFIDSFAAAALHDDALAAVLARLPARSLAASRGVCKAWRAVVDDRRLLLPHLLPQAWPPSRWRCRELSSRTGRWRERVFVREGEAAGTIADLLMDSLPYSKEPRWRFAAYWKEALYVHCHGEYVARMSLSDATFRVIKSPIDGAECYNGVKSFLGKSEKGIQFAAINRSQLRVWILNEALGRTEWILKHDKALNSDDWWEFVRLGNYHEIELNGPWILDENEKSKTRDDAEWNSDDDDVMQTGDWEENIYSDTFHVLGFHPYKEVIFLTNVGLAVAYHLNSSKVQFLGILNPRDHNYGVTDSWVPT >Et_6A_048050.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4517874:4518794:1 gene:Et_6A_048050 transcript:Et_6A_048050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRFGFADDLPPAFKFDPTDSDIVTHYLLPRALGLQSEHEHAIIDDDPGKCPPWELMRRHGHAGSDHAFFFAPPRNPVKMERATRAVPPGEEDGVGGVWRGQKGQVGTLFLTRGGEEEEEIEIKYKRFNLTYYRDGEEKKTSGWVMHEYQIVQPPLQPGAVLARVKITDAAKKGYRQQQRNAAEAAQMFVPHQVQPVPGPNGDYYHAAAMAGAGAGAGTSGGAQAGAVDQGDDAGGVVIGEEPTFSYSDLINACQGDYYDGVDNSYFTGDMSNHFNFQGADAGGSNYGSTSGKYPYYNGGELAT >Et_3B_028881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2002905:2008351:-1 gene:Et_3B_028881 transcript:Et_3B_028881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KLNLRNEVLPSRCLLLRVPLLLPFHFHRATVSSPPPLAPPSPPPLSAAAAGVAAVRREEEARKLQLQQQQHATRMGSRREELLERRRAMMAMAAACQVRSPVPRAVEGEQVAAGWPPWLVAVAPEAVRGWVPRRAESFEKLDKIGQGTYSNVYRARDLEKEKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNIIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASFPGVKFTESQVKCYMQQLLRGLEHCHSRHILHRDIKGSNLLIDNRGILKIADFGLASFFDPEQRHPLTSRVVTLWYRPPELLLGSTNYGVAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWRKSKLPHATIFKPQQPYARRVSETFKDFPSPALVLVDVLLSVDPADRGTASSALQSEFFTTKPYACNPSSLPRYPPSKEFDAKRQGATGGKHHKHDPERRSRESRAVPAPDANAELVSSLQKRQAQANTRSRSEMFNPNKEDSASGFRIEPPRQTPVIESSEDSQRVYSTRTFHSGPLVNQNNSSKPGRGKSGELQVPGVANVPVVVSTRASLRADNSNRTIITQAESFAHGRRLSESINEHFSNSGKYDQAFQQKDERNGRADGAIGYGSKGNKIHHSGPLTCPSGNVDEMLKENDRQIQEVFRRTRVEKSRARNGHHHPSDFGAIPVYPSSRSSYQAVQQ >Et_8A_057488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3506027:3510014:-1 gene:Et_8A_057488 transcript:Et_8A_057488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIVLDNSGESRLVDQFVLCLMMPLAAWKPCSGTDDDAPPTYQNGGPRVLFSGNGRSLAGAYSQSRSRSKLDSDIHQIEQSAYTSVLRAFKMQSDDITWEKESLITELRRELKVSDEEHRVLLNKVNEEEAVHRIRKLRQGGGVIVNNHGPFKRQKTFHYAHSLPVGHQSPISSLNAAAGDKMAPEDTRWSSANQILPNHGARRAADGVMLSAGRKSGRFHMNEDYDLPNGFGVMDFSCIGIPDTRSIVKEVHIALDFEVERVLSHPDMYEIVKAKKLLRDHEQSLLDAIARLDEASDGESGELAWEQFMS >Et_7A_051409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18083299:18083594:1 gene:Et_7A_051409 transcript:Et_7A_051409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAPDLYDAPRPLLPLRFLHHTVPYVGSLVSIDDSKLRHNKIGMTSAVVRSTPRIISPCSTFDELTWHPVTQDIAIRLAR >Et_1B_011578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23882726:23884714:1 gene:Et_1B_011578 transcript:Et_1B_011578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQTKVKLGRSVVPTEVTFQVSNRQIPSPGAAGDMDLPASRGRWRRRSARSHLPLVVAALLLLIPASLLFSSAYSSLLRSLFPFFASGGGGPPRCGASRELEGERFLWYAPHSGFSNQVGELRNAAVVAALLNRTLIVPPVLDHHAVVLGSCPKFRVSDPTALRAAVWDHVMQLLREQRYVSMGDVVDLSPIKSVVRTIDFRVFVSLWCGVDMTKTCFSGVCCTVSGGSSLSDDYNRCRSMLTGLGGSVKGCVYPVQDDCRTTVWTYQENHDAALDQFQPDEELKRRKKISYVRRRRDIYKEKLNAIELEMKGNKASGPVHIFVMTDLPQVNWTNTYLADVAKRDRRYKLYTLKESDELVLQTAESLMTAEHGIQSGFLPKITESAKKDCDPVQLPDILLYIEESVCSCASLGFVGTAGSTIAGSIETRMKNNACK >Et_1B_010009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17917193:17919307:1 gene:Et_1B_010009 transcript:Et_1B_010009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKLDLLENKLRGFIQHTEKGKWRCNNNHNIKYFTEDEIKGITSNYDTKLGNGAFGEVYRGFLEDGRSVAVKRYIHVNSEEEFAKEVIVHSQINHKNVVRLIGCCIEKNAEMMVFEHIINGSLNDHLHSGNTLIFLKTRLNIAIECAEAIGCMHSMYNPVLHGDIKPSNILLDENFHAKISDFGTSRLLSIDKDESDVYSFGVVLVELFTKTRPSDNEKKIVERFTRFSSKEKAVKELFDADIANVGNMKVLLGIGKIAKECLNENVDKRPEMNDVAGRLRALRTIAEQGKGKENFSMLLGKGRYSEVYLGTLDDNTRVAVKRSIKTNECFREMAVNELTIHTQMHHRNILRILGCCPEMDVPILVYEYAPKGSLSKYLSMNAENIEDSSPDWLDNRKVLDLNTRYRIALGVARTMVYLHEERREWVLHCDIKPENILLDAHFCPKVSDFGLSKMTNREETMTFNSVHGSCGYMAPVWFIHRAPITAKADVYSFGMVLLEIISRRRNYEFLQETVASDEWYFPKWVYEKFYVERRVEDILDEQIIQEEAYGDAKSMAMVGRMVATAIWCLQDCADMRPSMSKVIKMLEGTVDITEPAKPIIFCIEEI >Et_1A_005553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11459958:11486933:1 gene:Et_1A_005553 transcript:Et_1A_005553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNCACSQPQPQLVGRAGREAAQLPDERLPVRQLLHRGARAHVAAHAAGAAVRAPARHPRVHVRAGRVVGSHLLRRAQGVGIKQGLAKGLVLGSNGISYAISAFTFWYSSQLVMYHGYPGAGGLPVCRLRSRRLRQHVSNTALAVKKMRSNLWLTYAVCRSLGSTLSNVKYLSEATAAAERVLEMIRRVPKIASGSDAGEELANASGGVQEHRINFFLLFPRVVSTGRVIAEGSSMTTNLAKGADAIASEPTLFAGTIRENILYGTEIATRTANAHDFISGLKDGHDTYGAVSKLSGGQKQCLAIARAILKNPYVLLLDEATSALDSERAVQDQVMVGRTSVVRVSTIQSCDLIAVLERGVLVEDGTHASLMAKGSSGTYFGLLLASRNPGMGGEATTKRDAPAQGGWSFRSVLVHGDAADVALMVLGLVGAVGDGMSTPLRLFLASRIVNVVGNGPGHHPQFRSRVNENVRNILVLACASWVTAFLAGYCWARTAERQASRMRARYLQAVLRQDVEFFDLNAGAMSEVVTSVSNDSLVVQDALGEKLPNFLTNVSLFVGCCAGGLALMWQLTLAALPSVLLLLVVPGSCTRASSRHSRAGSGSSTRARERWPRRPCRRRAPSTPADRVLEMIRRVPKIDSGSDAGEELANVAGEVEFKNVEFSYPSRPESPIFLGFSLRVPAGHTVALVGSSGSGKSTVIALLERFYDPSAGLVTLDGIDIRQLRLKWLRTQMGLVSQEPALFATSVRENILFGKEDANEEEVVAAAKAANAHSFISQLPQGYDTQVGERGIQISGGQKQRIAIARAIVKSPKILLLEEATSALDTESERTVQEALERASVGRSTIVIAHRLSTIRNVDFIAVMQSGEIKELGSHDELIADENGLYSSLVRLQQMGVLECGEATMVGGTGDTFVDLAQYNSHSMSRSWRAPSARSIFDARDDDNTRDKKPKPPTPSFKRLLMLNAPEWKSALIGSFSAALFGGIQPVFAYGMGSMFSVYFLTDHVEIKAQTRIYALLFVVLAVASFLLNLGQHYNFGVMGEYLTKRVREQMLTKILTFEIGWFDRVDNSSGAISSQLANDASVVRSLVGDRMALVIQTVSAVLISCTMGLVISWRLVLVMIATQPLVIICFYSRRVLLKSMSKESIQAQSESSKLAAEAVSNLRTVTAFSSQDRILRLFYEAQDGPHRESIRQSWFAGLVLGTATCLMSCSWAFDFWYGGKLIAEHHITAKALFQSFMILVRTGRVIADAGSMTTDLAKGADAVASVFAVLDRETKIDPDSPDGYKPKKLNCNIEISGVDFAYPSRPDVLIFKGFSLSIQQGKSTAIIGQSGSGKSTIIALIERFYDPLRGVVMIDNRDIKIYNLRALRQHIGLVNQEPTLFAGTIRENIMYGTEVASEAEMENAARTANAHDFISGLKDGYDTWCGEQGVQLSGGQKQRIAIARAILKNPSILLLDEATSALDSQSERAVQEALERVMVGRTSVVVAHRLSTIQSCDLIVVLERGVIVEEGTHTSLMAKGSSGTYSGLPRRYGSILREMGGEPTAKWDAPAPAQGWSFMSVYLVHANVVLMVLGIVGDGMSQPQWLLLASRIANDVGSAPGHHPQLGSRTNEVRMRSTSVLTLSTSTSIEVGRRTSEVITSVSSDSLVVQDALGKKLPSFLENVSLFVSPYVVGFALMWQLITLAVLLLLVVPGLLYARVLTRAMTAQAVASERIVYSFAAERSGLAKGLVLAAHQRLLLRHHGLRLLVRTVCGVVIVGGKCLGSALSEAIAAAERIDSGSDAGEELANVAGEVEFFYPSRPERRAPSSYVSFSLRVPAGRMVGSSGSGTSTAPAKKEARRPALMSVFMHADAVDVVLMLLGVLGAMGDGMAMPAVRLLVYSRIADNIGRGPDAVPQFSSKINESARIVVFLGCAAWVMAFLGHIHTPEGYCWARTAERQASRMRARYLRAVLRQDLEYFDLTAAGTATSEVVTSVSSDCLAVQDALGNKLPHLVMNAAAFVGAHVVAFALMWRLTLVNLPLTLLLLVPGFVYARVLTTLARRIREQYARPGAIAEQAVSSVRTAYSSVAERSGAARFSAALEESARLGVKEGLAKGVALGSNGITFAIWAFNLWYGSRLVMYHGYPGGTVLAVSITVVNGGLCVVTTLSTPFLDDDHASATYKQTMALGSALSNVKYFSEATAAAARISEVIRRVPKIDSASDAGQELVDVAGEVEFKNVHFSYPSRPESPVLVGFSLHVPAGSTVALVGSSGSGKSTVIALLERFYDPSAGHISLDGADVRRLRLKWLRAQMGLVSQEPALFATSIHENILFGKEDATAEEVVAAAKAANAHGFISQLPRGYDTHVGERGVQMSGGQKQRIAIARAILRSPKILLLDEATSALDTKSERAVQRALDLASAGRTTIVVAHRLSTVRNADTIAVMQSGQVKELGCHDELVADENGLYSSLVRLGQSSGDSGEADHDQVAGTGSSTAATHNLSRTSSSCSRSSSAGSVAGDAAGKDDHSTKNNPKPPVPSFRRLLMLNAPEWKHALIGSLSSILVGGIQPVFEYAVGTMFSVYFVADHAEIKRKTRLYAFVILALAALSFLLNVGQHYNFGVMGEYLTKRIREQMLAKILTFEVGWFDREENSTGAICSQLARDPDVVKSLVGDRMALLLQAFAAVFIACTMALVIAWRMALVMIAVQPLLILCYYSNLVLLKSLTKRAMRAHSVGNKLAADAVSNIRTITAFSSESRIMRLFSQAQDAPRRESIRQSWVAGLLLGNSIFIVACSWVLSFWYGAKLIAEHHITAAAIFQTFNILAGTGRVIANAGTMTADLAKGADAISSTFAVLHRDTEIDPDNPEGCKPQKPKGEVRIRGVDFAYPSRPKVTIFKGFSLRIQPGKSTALVGHSGSGKSTIISLIERFYDPLRGVVEIDGRDIKTYNLRALRRHIGLVSQEPTLFASTIRENILYGTENASEAEMEEAARLANAHDFISNLSDGYDTLCGERGFQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKTVQEALDRVMVGRTSVVVAHRLSTIQNCDVIVVLEGGAVVEEGTHASLMAKGPSGIYFGLVVEWTSKRKIKQQQQLHKN >Et_9B_065513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:662577:664400:1 gene:Et_9B_065513 transcript:Et_9B_065513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRVARLATPCFSPGGGRARGHHVDGSAADAYYNGEGDDGGHGVVGHILSFDGRRDGPAKGIGAIHGILLPSNQSTVGSSSGGGGGGGGSVLTDQMSFSGSSSFDSSNSFSFRQLQPGPYYSGPLESSPSTSTSGRSASRHGQQRTDEQILADLYATRHRRRHQCRASAGSPLLGGLRRAVSSVLRGSPCVSPGPARAMNGEPVAAAVVGGDSGGEGIVGGGAIKEDDDVAAAASRVQWARGKAGEDRVHVVVSEEHGWMFVGIYDGFNGPDATDYLVAHLYDAVCRELQTTTAEEEEEDDDHHHQEILEALARALRATEAGYFADAEARAAECPELAMMGSCVLVVLVRGAHVYVMNVGDSRAVLAQQQPGVVMPCDHQQPDALQLSMDHSTSVYKEVRRIQSEHLDDPACIVHGRVKGSLKVTRAFGAGNLKEPRWNRALLEVFRVDYVGTAPYITCRPHLRHHRLGARDKFMILSSDGLYEYFTNQEVVAQVEAFTASYPGEDPAKFLSHEILRRAANQAGMEIHELLEVQQGDRRRYHDDVSIIIISLEGKIWTS >Et_7B_055530.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:13196114:13197256:1 gene:Et_7B_055530 transcript:Et_7B_055530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREMLLVLCLLLAAGMAVGDAQSSSPPPAPPPPPQQTPFGRTMSTVITVSISVFFFLLFLCAYINQCRLRDPGAYGPAAGAGGGGPSRRGKRGLDPAVVATFPIVRYREIVEHKIGKGVLECAVCLTAFEDADDLRLLPHCSHAFHPECIDPWLQARVTCPLCRANLEKPPPSPPPKAVAPPSPEQRQPSSSPPTPEAVAIPVAADDTDDSDEDDRKEEAIELEMLRSARRAARMPRSHSTGHSLFAAAAAAAEEGDHERFTLRLPAHVRQEVLTSRRLRHATSLINLSDMSNEGSSRGGRRAGGGGGGFGYGNNGNGGGSSHGGRRWQAFLARTVSWARGGGDGSVRKGWDGSTRRGRDDGESSRKGAASPMAAGRP >Et_2B_021047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2659299:2663412:-1 gene:Et_2B_021047 transcript:Et_2B_021047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TLNINSISFSGLRKDNVAFPLQPVSVMVGSTWSPPGEVVIDNPKVDTLVCSVKWDSKGLAVAIAQNVDTGAILMQGFANKEALEKMISTRKATFFSRSRSSLWTKGETSMNFINMHDIFLDCDRDSEQKPVIILQFTMLCKVRRPLRKGWLPQLYTRLKIQLEGSGKPSWTKKLLLDNQLLCSKVREEAGELIQTLLEKEDKSRTASEMADLLYHAMVLLRVKGVNMEDVFEVLRKRFSQSGIEEKSGRNFA >Et_4B_039451.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:16522563:16523111:-1 gene:Et_4B_039451 transcript:Et_4B_039451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQLRALQELMAAVDSGQVVDSNSSDKLFAPRRSTHGRGRQVIKKPAAAAASSDDSSFEFSAFVSNSSASPASMVFSDGQLRAHQYPAVRSSATSSQAASPLLTSSSSSMGSTKAAGTMGSKKRVSFAMDGGGKAAAASKAGGGQGKKSGGLLGCMGCACGSSRNEVADPAKNVNRKVVAV >Et_8B_060513.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:19163744:19164046:1 gene:Et_8B_060513 transcript:Et_8B_060513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGDLVPMPGKDVTEKDVESDEAVWALYELWCKAYNKERDLPEMARRFNRFKKSAKMVLEWNDIYKNDEHILGIFADGRDEEDAMEIGPPVGAARQCKV >Et_2A_018266.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20744873:20745808:-1 gene:Et_2A_018266 transcript:Et_2A_018266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPSIFNFLKEGALLPTHNRRLFAAVFVLAAASTSLLLLGNDLAVQPLADKLSLDAKALNGTDPGSPAFAHLVQEVQDDTRRLLLVGAAYLVSTVVVLTVVRVIVLFAAVTTYSGEPHTFGSLLGRAKAHLKGPLVTLAFVYALEVAYAALVAALAALLAFLVARGYRLLLLAEALVLLVAGLFLVYLSFVCSLSVVVAVAEPGRQGPGAVGRAWRLMKKGTMRRVVLFFFVVGTLAAVFSPVHALAKAFALRNVASGLLLGVVYTVLMAAVELFAVCAMTAFYYESKGSTEASATDYVQVPDQEQTNA >Et_3A_024052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16782359:16783790:1 gene:Et_3A_024052 transcript:Et_3A_024052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWANPSLMSSVADSSYRDLCCYGAGIAGNVFAFVLFVSPLPTFKRIVRNGSTEQFSAMPYIYSLLNCLICMWYGLPFVSYGVVLVATVNSIGAAFQLAYTAVFIAFAADAKQRLKVSGLLAGVFAVFGLIMYVSLELFDHRTRQTFVGYLSVASLIFMFASPLSIINLVVKTKSVEYMPFYLSLSMFLMSVSFFAYGLLLHDFFIYIPNGIGTVLGIVQLLLYAYFSKRSKEEARLPLLVTHT >Et_3B_028628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17572415:17576451:1 gene:Et_3B_028628 transcript:Et_3B_028628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVEDEAAAAGAPLVTNGAADVRRRREKAKAILSKQAVKIATKAEQHERFIFKVTHLLGVLGFGGFCYLLGARPQDVPYVYCLFYVIFVPLRWIYYRYKKWHYYLLDFCYYANTFLLVMILFYPKDEKLFMVCFSFAEGPLAWALIVWRCSLVFSSFDKLVSVLIHLLPGIVLFTIRWWNPQTFAAMHPEGRAARVTWPYVENKSYLWTWLFVVPLAAYTLWQLMYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNIWWRLSGLLGDKNRQIMYILLQALFTVATMALTVPIFLSYRMHVVFQILKVCAATWNGGSFILEVMPRQVVQKEKKLKMKPIEETNSTQHAEDDTLGNQQHINEEQNQ >Et_10B_002743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10219074:10225347:-1 gene:Et_10B_002743 transcript:Et_10B_002743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVELSSLLFVNEAGVSTTEVLHTNKYLQRGVGIINTMVMNEAMLGKWIWRLYNIDESDICCELLQNLCSVRILGWRNLECGTQKKYKYGTDCRMD >Et_2B_022578.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26456686:26457048:-1 gene:Et_2B_022578 transcript:Et_2B_022578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVNAWAIGRDAATWGPDAEEFRPERFEGGSADRAAVDFRGTDFEFVPFWRGEKDLPRSHARPGRHGARSRQSLLFHFDWDLPGGASPDELDMAEAPGVTARRKSDLRLHATVRVPINS >Et_9B_063939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3930642:3931016:-1 gene:Et_9B_063939 transcript:Et_9B_063939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWMLDLLEAAPIPSVEIFDIEIWEHPCEMDYERRRLTFERASPSWKMAEFTSSKEWLLKEVHIIGFSPMEQLLKFIKAVMDRAPKLHSLVLKDHQPFDYCEKMGALPRSSF >Et_2A_016915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29398574:29403259:1 gene:Et_2A_016915 transcript:Et_2A_016915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRWALARRVAALSAGGAVQPQRLLASSSSGAGAFLGRQHHHLPHASQIRTEVVGCRGAAFVSSRWLHDAQYQDRQDGDSRPEERQDPFELVADELSLLANRLRSMVAAEVPKLASAAEYFFKVGAEGKRFRPTVLLLMASALKFPMSEPTEGGVLSILANKLRTRQQNIAEITEMIHVASLLHDDVLDDADTRRGVRSLNLIMGNKLSVLAGDFLLSRACVALAALGNTEVVALMATAVEHLVTGETMQISTSREQRRSMEYYLQKTYYKTASLISNSCKAVAILAGHTADVSLLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPMLYAMEEFPELHDVVDRGFENDANVELALDYLRKSRGIERTKELAREHANRAIKAIEALPDSDDEDVLTSRRALIDITERVITRTK >Et_4A_034655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5137969:5141677:-1 gene:Et_4A_034655 transcript:Et_4A_034655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLAESLLPGGGGGDASPSAWHDEYEERAYDSDDKVSISISDSDEDDDGAAPSRPPFSWRKLWRFTGPGFLMCIAFLDPGNLEGDLQAGAAAGYQLLWLLLWSTVMGALVQLLSARLGVATGKHLAELCREEYPPWATRALWVMTELALVGADIQEVIGSAIAIKILSGGTVPLWGGVVITAFDCFIFLFLENYGVRKLEAFFGVLIATMAISFAVMFGETKPSGKELLIGLVVPKLSSKTIKQAVGIVGCIIMPHNVFLHSALVQSRKIDTNKKSRVQEAVYYYNIESILALIVSFFINICVTTVFAKGFYGTEQADNIGLENAGQYLQEKYGTAFFPILYIWAIGLLASGQSSTITGTYAGQFVMGGFLNLRLKKWLRALITRSFAIIPTMIVALFFDTEDPTMDVLNEALNVLQSIQIPFALIPLITLVSKEQVMGSFVVGPITKVISWIVTVFLMLINGYLILSFYITDIRGALLRSSLCVVLAVYVAFIIYLIVRNTSLYSRLCSSTSKSS >Et_7A_051820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25174213:25178599:-1 gene:Et_7A_051820 transcript:Et_7A_051820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALMSAVASDLAGRVVSFLIAKYHEASSTDDDDTAVGLQRALLRARVVVEEAEGRQIANEAMLLQLRQLRQALCRAAYALDAFRWHDADPNRRRSLATVRQSRSRLEAALRDMRELVVLLGGCPRVTRQPYSVHLFMERCMFGRQMEKEQIIAFCLSKPCRQDVDVLPIIGPHEVGKRTLVEHVCLDERVRERFPKIHRLRSDQLVDHEHHWSPPVDAATARTLMVIDMVDGEDVVAEDSWRRFRSAVRWRTHQGSKMIVISRVEAHSSLLGTVPPVRLLPPRPEELWYFFRALAFGAADPGDRPELARIAMALCDGITDLALYAAANMVAASLRADLSARSWRRVQKLFAEATSVQLGEGFEAGQHYYLCWPVKDAPADHPYVFSNRRKLIGLTRSELPVVTKLDLLAGTSLPPVRETRFDVLVWQSHIPPFGSYVATCDMERAWQVVAAGEKRVRKRRRDIHDHTRTSGHQSSESAAASSIASLSRKPMDRRSPTERCCCSCAAPAAYAHACRWRGVPSIPTARSHRAPFLRSCCTRRAGRDRGPRRSPDACSRTLYATASISDFALYAAVNTITASLRADLRARVRNLGAHDVGEGNCYHLCSAMPVKDAPGAPCLFNVHRQAHADLVWHGATSCPWRRLTCLTCSPGSVPPAWATRLDVLVWQSRIAPYGSLRATWRLERARQVVAREKRARKRRRDRHDRQRMKHTPTSV >Et_3B_029001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21206115:21209085:-1 gene:Et_3B_029001 transcript:Et_3B_029001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPFRLIPRVAAAARLPVAASCAPRPVLRRASLSPVLAMAAAYSAGSAVDRRLLFRQLFEKESSTYTYLLADVVDPDKPAVLIDPVDRTVDRDLNLIKELGLKLVYAMNTHVHADHVTGTGLIKTKIPGVRSVISKTSGAKADHTVEHGDKIYFGNLFLEVRATPGHTAGCVTYVTGDADDQPSPRMAFTGDALIIRACGRTDFQIFTLPKDTLLYPAHDYKGFTVSTVEEEIAYNARLSKDKETFKSIMENLNLSYPKMMDVAVPANLVCGIQDPPPKI >Et_8A_058244.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:3342821:3343228:-1 gene:Et_8A_058244 transcript:Et_8A_058244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSIAFLTPATALPPAGSINSTRRSPSSARMWCDDGARRQQRLVAAAKRRYKGTVRKEAALAELIELKVAEAMEACGEEKDEGCRVAWDEVEEVSQAKANLRRRIAEAPDDPLEPFCAHNPTADDCAVVYVDD >Et_8A_057201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22282189:22285683:-1 gene:Et_8A_057201 transcript:Et_8A_057201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNGGKPSTTAGWKDGAVTYFHLLFYIAISVGVILERDQLPLSSGTNSTAHGLLIRSVLCNYKSFQVIPIGAMFAMTLWLGNSAYLYISVAFAQMLKAIMPVAVFLLGAAFGLEEMSYKMLAIMSVISVGVIVASVGEITISWVGVVYQMGGVVAEALRLIFIEIFLKKKGVKLNLISMMYYVSPCSALCLFIPWLFLEKPKMDDSISWNFPPFTLFLNCLCTFILNMSVFLVISRTSALTARVTGVVRDWSVVLLSAAIFADTKLTFINIVGYAIAIAGVVAYNNHKLKVKPQMSQQQGEENKVNPGSPRDVEISMNSTKEAS >Et_3A_023661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10509776:10512894:-1 gene:Et_3A_023661 transcript:Et_3A_023661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTAGVGAASETKSRVEDIWQKMNSGLPNKMPKPAMTKLSTAAKEKKNKPNNNWMTVLGLSPSKASTVDQGPQNGQQQRQHEMSEDAKKLAANALAAAKDASAAALGRGKVEITEVRDFAGKDIEIKKLVDADSKDAIEKAKAAGASQSALDNILEQIKKKQKLSVLDKTKKDWGEYKEENKGVEDELDAYKKSSNQYLDKVSFLQRTDYREFERERDARLSMMAKRKASDMREGDM >Et_2B_022807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5652738:5659128:-1 gene:Et_2B_022807 transcript:Et_2B_022807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAWGGTTQKCSSCGQTVYPVEELAADGRVYHRPCFRCQHCKSTLQFSNYNSIEGVLYCKPHYDQILKSTGSLDKSFEGVTRSAKSEKSNGPKVQQNSRFSSMFVGTQEKCVVCNKTVYPLEKVGLNGSSYHKSCFRCTHGGCTLSPSNHIMQEGKLYCNTHHSQLFMVKGNFSQFENAGNAERATDKQLGTEEANKNPGQSDDKQSESEPAAEKSSENDVAAEKQLQTNIGVTIPSESTTTLKSSAESERVVKSEPKSHVVSNKPSESSVEKPLQNNVVDVKPSGSSIRKPWQRNLHTDKALPSSTTDKPLPSSAAIEKLSSSNGVDAKQAESTTVTKRPWQRSVPTENPPQSSLPSDKPASTSADDAKPSESSQGVNKPWQRTVATERQIQNSGSTEKSKNSVPIEKTSPTTDKKPSESTTEVKRPWERRVFNQKTPKSNVDTEKSSASSLVDVKPAETSTVGPDQQQHTKTLEQPSEASADDTKSTDETKNADDTKASENTAPVVKKSWQRNIGTEKQPQSSAVDSKTTGNSGPVKRLWQRNIATEKPSQSDAKVVTASQSSVDVPKPFQSNMAGRKSWQRSVAPEKQPESDTASNNKPLQSKPFQSKTVVKSGHESNTNTVVMNMVQSSVPTKEQQQVTMATETDTKKIAHTSEGTSDKPSATSDTAKELSLATNKGNVSTETTPQTVMATLTPTQIPDTTEKPSENIDKIEKSSQSDSAAERLPQSSITEKIESVVATLKPSQRDGSYQRISVSSVATEEPSEQPLQTEEAVEKPFQSEEIAKATEETETSLERSPLNEPESDASPCKSTKDPSEHEGLSPQSQSVPDAQPSECQKDAPMEQPQEPQHEDPEKPLEHVGDSIVKGSSEPESDIAAEKHAELQNDADQPASQSSEPQPVEQPEVHQSSIASDEPSGLQKKAAAEKLSSPASKSESNASGNMPSESVIDATTMEPPESQDEVSVEKPLTTESDVVSEKLSESSSATAAMPVQDVISNETPRQSSSPSETPSQSNIAVEDSTQEETSGKPSQESEPLDKPSEEEVSAKLSEDSINSEPPLEEGKVNAEPSEGNAPLGTSLNTDEVSAKPSEIIASIEQPLEERETIAEPSEDNSVVGKPLEKDDASAKAPEDILDPEKLLEEDPEKLLEESEATAKASEDNDALEKPKDEDEVNAKPSEDIVTSEKSLEEEGNASVEPSEKGAALEEPNEEDEVSAKPLDDGIALENQEEAKGSAEPLEDSASHGKPLEEDTAIAKQSEDSAAPETPLEENDDSVKPSEGAVVLEKPATDKPSEEEGVASDKPSHDDAAVEAPLQDTATEKPSAAEDTAETA >Et_2B_019317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23209123:23209548:-1 gene:Et_2B_019317 transcript:Et_2B_019317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASLLADAAAYIAELRARVARLEAESRAASAASSSYGGATAGGGVVDEAVEVHMVAPDAAAVRATSSAPHAAARLMGALRALDLHVQHACVSRVHGVTVQDVFVDVPAELQDDDCLRTSLVQMLQDGGS >Et_8A_058239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3217067:3219649:-1 gene:Et_8A_058239 transcript:Et_8A_058239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDASSLSSRPRHPRVRARVAPESSLSSTLLRLSLPSHIDLPLSPLRASHTTARATNRAGCTPAGHITRRRQALAPAGWLPGAARDMAGAPPPPPSLARLPLLLFLLAVLHLAADAARPLPASLAPTADALLQLKSGIKDGGGALGTWAAGTSPCDWAGVMCNKDGVHGLQLENLGLSGKLDLRALKSIRGLRTLSFNDNEFAGAMPDVKDLGGLRAIFLAGNNFSGTIPADAFAGMGSLKKVVLSKNGFSGPIPASLADVPKLLELQLNDNKFQGRIPDLPQKELKEVNLANNELEGAVPASLKSIERAMFAGNKKLCGAPLDAKCEPPPKEATPPSPPEPDDTDEESPDDLAESPAEAPTERSAAPGVLGAFLGTLAIVAIAFVALQRRRENSAKNNFGPAASTTAASKVEPHASPPPANNNAGSSSVAPRGCGAGVGGDEDPGRSSRAGGAGGTARKAVEQGRLTYVRDDGRGRFFELQDLLKATAEVLGAANLGVCYRATLTSGPSVVVKRFKEMNRVGREDFEEHMRRLGRLSHPNLLPLVAYYYRKEEKLLIHDYVPNRSLANLLHGERPPSRKSIMIHSFAYICSYSIIRVDAGEGRGLKKAVVHWAARLKIVKGVARALSYLYDELCMLTVPHGHLKASNILLDDRFDPLLTDYALVPVMNQSHAAQLMVAFKAPERKQFGRSSKKSDVWCLGLLILEILTGRPASYDPPPKPAAAADQPMPATAGGDNDVLSALSATPEGEWVGSVVDADLRGEDDENKEEMLKLIRIGMACCELNVDSRWELKDAIDRIEELKAKEHANEDYSFYSSVNDDDVANDA >Et_3B_027740.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16832585:16833115:1 gene:Et_3B_027740 transcript:Et_3B_027740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVAFALRGSARDRYDVAFDGKRGVMEIPAVAVDDMDRPLLVNLIAFEQTQGAEETRLLTSYVALMSMLVRTAPDVELLRRRGILDNLLADDDEAARFFSHLGDGGAMNYDNQVFAELCDDVRRYCGSWWHRNRAALRRDYFGSPWSAISFVVAGLVVALTATQTYFTVFPRK >Et_3B_030089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30381806:30385574:-1 gene:Et_3B_030089 transcript:Et_3B_030089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGVCPNSFTLVGMLLAVAGLGDSLLAECIHGWAIKSRLESNIFVATALVDAYSKCGRPMKALAFFGEIRDPNSVSWNAVISGFVHNNLYEEALLMFKRLFCYFGPVCNAVTMVSVAKAYAGCGDIRMCKSAHAYAVKVGLDLNISVTNSILGMYLSFGVLEIGREIFRKIAVSDVVTWTMMMNFLLEKACAGEVIRAFVQMRSNGIVPDRVAMVSLVQACALLGDGRRGKLVHDQMIVRGFGSELPAINSLITMYSKCDDLSSARILFDGTREKSLVSWTAMVSGYVGSGKPLEGMQLFGKMRREDVFVIDAVTLVSLLIGCYEIAKFELCVQLHGYIYKSGLCLYRIVLNTLMAVYGKCGYVSLARKVFDEMIPRDVVSWNTMILSYGINGQGDQAIALFDAMAESGEDRDSVTYLNALLACSHSGLVDDGLTIFRKMITEKLISPCQEHIGCLVDMLARAGRLDKAAEVVSLTHKEGANAWMALMGGGHLHSDMELTKVAAEKVLKMDSYDYGHVVLLSNAYASAGKYSAAESIRSCYSKLTTKKTLGFIRIMYDRNSGRSRGFGFVHFSNDHEAKCAKEAMDGKVMLGRPLRISFALDKVRGAPVIVPRLSTSLHIIWSLLPEFTS >Et_4A_034687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5412661:5419095:1 gene:Et_4A_034687 transcript:Et_4A_034687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFHPTQHAGDGDFQMWQQQMMYKQLQEFQRQQQQLQQPDHGARMQPSFGQFHAPAKPLSEDQFLTMSNQMLNNEATSSAWPPNFVSRDPSLTSNSPMLNNGSTNWDQLVGSSGMGNFINGSMFTNAPNQSVRPMGLPTHQMDQSFYPMHGASSRGPGNQYSQFLGVPADSQSAMARVGPDQAEKTSRPFNSSMNEHSLHLQGASMQNFGKGGFLNNNAMQSQGDHIKAGSPVTMNHLQLGFQPQDFHGRPNQVDFQVGVQEKPSVQVGPASGRASLDPTEEKILFGNDEDSNWGALLRGDDDHGNSLDNDNLGGAGAYSSLQSGSWSALMQEALQSTTSEKNSPKEQWSGLSFQKNEQVVANNSTMPGRDENKLAALSGTNIENARPSTVTSYGDGTMNNPNLASFQHTMRTPYERRDQMPHESPSATANSHQSPSEVNNGYFQQSLKQNQSDRRQEQVHLANGLWAHQKSEQLRNNSHSTSSHATPASAHGFWMSQQNSANHSINRETSNNQNDWKTNNALGQDINNTPNVFNSSENSWRSTGGNVNSVQRLQQMKSDISTAQLSNESSDGKNMNMVGSSMPMATQDHYQMITSRSGEQAGMNRNMGHRVPETSESPGNSTDQRSSDCNQEYLNANPNERQAHLFNHGQLTTSDSAARRHSTFAGKDSQNLGQSGQQAMGSYMLQNRAMGSSGMSFSHSPGNSVPNSLFPPQSHQMRNNLQHHFGTNSHVSSNTPSVNEKMLMAQEQLQSRHGLSNSSSAFGGTDAALPQNRVVQNSQHMLQLLHKVDNSRNSNATADMPNNTPGIVSGQQQPSQPTMQGYGLRLAPPSQRQSSSGHLWSSQTNADGKQSDNSGQEDERTQLPSTTSQSMTPPHPNSQSSQFHTSETDNTGQPLGRFPQLSSGQQYPAAEARSGPASMQQQPQQGSSATVFKNVWTNISAQRLAGMQANKITPNILQSMMFPNNAGASNLWSSQKTDDQGQKAATPPDVTTSSANSQSQDTKQAVDSDSGLAPSQKANFDSTAATVSGGNGSVQKPSSGGNYANHASSFAQLRQQGIMSAKQGENPGATFQAMSASHNTAHNGGGIVLHGSPAPSNIQQQNYSLLHQVQAMRQTDIDPGNAVGKTINPEIGSDASSVDWKSGQRFAHVSTNSTKLSADNMGSPGVPGSFPSDMKMLSFASRSEERNPSIPSQLPSGERQSHVMVTAQNDHQNQAQPIGMNSASNSIERPRINPQMAPSWFGHYGNYRNGQNLAMLNAQKTTPLPYNFPKASWNIDNNSAEHRVESGQPVRPGHYPPSTKVDALVSSNVKATSMMRRPKKRKSMESALVSWHKIIEGPQKMRNISTSEMDWAWATNRLIEKDEAETLDDGPLNYLPRKRLILSTQLIQQLLPAIPATILRAQAVSAYESATYTLSMLTLRDACSMASSSLYNSCSHVDNENNPSEQTMSVKKMEDKLSKAVEVFIGRLRKMENDFMSLNKRASMLDVQLECQDLERISIVNRLGRFHGRNHAAAVEGSAGSEMIPRRIFPERHVMSFAVPGNLPDGAYCLSL >Et_3A_027091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32535506:32538129:1 gene:Et_3A_027091 transcript:Et_3A_027091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRDLLCGLISNDASQKRFFSIAPPAAVIFFVLVFLAGAIVTLDHKELPPKELLATEEVRAPAVNELPVEPMEEPNICENRCRPSGSEALPRGIVQDKSNLEMESLGGNPERKEDGGRPPKSLLAIPVGIKQKAVVDKLVSKFPAANFTVMLFHYDGVVERWGDLKWSEHAIHVAARNQTKWWFGKRFLHPDLVSEYEYVFLWDEDIEVDSFDPLSYLRVVRREGLEISQPALDHRSQIHHRLTARARRGAGDVHRRFYKSSGHGQRCYGNSTGPPCAGWVEMMVPVFSRAAWRCAWHMIQNDLIYAWGLDFKLGYCAQGDRWRNVGVVDSQFVLHRGIPTLGDGGKARPSRSASATDRYAVRQRSYDELQVFNRRWRKAVEEDACWTDPYPKPATKS >Et_2A_018833.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8677590:8678102:1 gene:Et_2A_018833 transcript:Et_2A_018833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATSEVAFALAMATALLVATASAQNAPRDFVRLHNQARAADGVGPVAWDTVVARYAQAYAARRAGDCRLLLSGGPYGENLYWGSAGRAWTAADAVRRWVGQKAYYHYDTNTCDPGMRCGAYTQVAWSNSTRIGCARVVCAARQGVFIICSYDPPGNFKGQRPFTIARP >Et_7B_054399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2140626:2143905:1 gene:Et_7B_054399 transcript:Et_7B_054399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSSTTSLAAAALMLLLSLAAAADMSILSFGERSEEETRRMHVEWMARHGKTYNAIGEEEKRYQVFKDNLRYIDEHNAAADAGVHSFRLGLNRFADLTNDEYRAAYLGVRTKPQRERKVSARYNAGENEALPDSVDWRTKGAVAEVKDQGSCGSCWAFSAIAAVEGINQIVTGDLISLSEQELVDCDTSYNQGCNGGLMDYAFEFIINNGGIDTEEDYPYKGLDNRCDVNRKNAKVVTIDSYEDVTPNSEKSLQKAVANQPVSVAIEAGGRAFQLYSSGIFTGTCGTALDHGVTAVGYGSENGKDYWIVKNSWGASWGESGYVRMERNIKASSGKCGIAVEPSYPLKKGENPPNPGPTPPSPTPPPTVCDSYYTCPESTTCCCIYEYGKYCFAWGCCPLEGATCCDDHYSCCPHDYPVCNVQQGTCLTSKGSPLSVKALKRTLAKPHWAFLGSNEAEGRKSSA >Et_10B_002939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14094975:14099610:-1 gene:Et_10B_002939 transcript:Et_10B_002939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRTKTKKDSSFHVDFNILIQEISPWPPSESLKSLRSVILFWENGERNSGKTNTVAPSIGSGSANGKVEFNEFISIQAVFQKEGSSKSGKWQKNLLEMNLYEPRRDKLKGQHLGTATLDLAEHAMFHEDTSVPVPLNSKRSFKNNAQPMVYLRIQPLDGDNSSVSSRDTFSKEASVDKDSKEMVSATMSEEYTEDTEFASFTDDDEVEAPYQYRSGGNVRTGSDRSQESLKGKDVRMSSNEGTSSSLASQREVASSSTKVSSEEVEKYPIQVQKTNGHSGNTSSLSSLHGEHVSSIPPHSAFRSGRKMSFAYGMTDSNQRHFGERTYSTLTTDRARNMRFSMRVPDVNGGIINKKVDPQKEEVKEVYSQDIMIAHENRASADDGLHVQEPIRISNNRNDSKVRELELKVELLEAELREAAAAEIGLYSVIAEHGSSVNKVHTPARRLSRYFIHALKNCSRNKMGSAARSATSGLVLVVKACGYDIARLSFWLSNCVVLRAMVTETSMQSGTANGINGSDPKTTYKKNSASMWESLNRKKGKILSPEFDNWEDVDTFIAALKKIESWIFSRIVETLWWQTFTPHMQSAHIASDLKPTPNAKKSYGRITVVGNQQQATISMDIWKKALKEASEKLCPVRAAGHECGCLPMLAKLVMEQCIARLDVAMFNAILRESDDEIPTDPMSDPITDPKVLPIPSGKFSFGAGVQLKNAIGSWSRCLTDLFGMDMDDYPEVANRDGENGFAESGKPFYLLNALSDLLMLPKDVLMDTSTRKELCPTFSSSIIRNVLDGFVPDEFCPDPVQDSLLQALELEHLEGNKGIRSIPCSASPILYNTPASGAILSVIGDPRKSGSAILRKSNTSDDELDELSSPLTFISNTSSNPLAKLKRISNSSTRYRLLHEVWKLDDQ >Et_2A_015104.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3022414:3022635:1 gene:Et_2A_015104 transcript:Et_2A_015104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPMLYDFMDNNRDVRFTVTKVPRNLNTGAHNLARHAASSDPAMQCQYTCKHLAHRGQCPVIEALKIVSKQG >Et_5A_042975.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:8429527:8430585:1 gene:Et_5A_042975 transcript:Et_5A_042975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAPTGYDRLRELRAFDDTKAGVKGLVDAGISAVPRIFHLPAAPCVPESEEPPPHDRVPVIDLADTERPHLVSQVKVAAETVGFFQVLNHGVPGDLLAETLASVRKFHEEPAEAKRTYYTRDPARRVRYLSNFDLFQSPAANWRDTLIVDTSPAAPEETETEMPPPCRGVVSEYTRHVQRLGVALLELLSDALGLDRDLYGIAFVAGHYYPPCPEPHLTMGTTCHSDPSFLTVLLQDGVGGLQVLIGGRWVDVPPVPGALVVNVGNLLQLISNDRFKSVKHRVLATDAGPRVSVACFFRTSYGDRRVYDPIIAGDERPQYRSITMHEFLRYYEDKGPDSPSVLDHLRL >Et_1B_013043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:567235:572027:-1 gene:Et_1B_013043 transcript:Et_1B_013043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPAVAGPTFEDLERDLQAVLMDQNHTSSPDELSMFRSGSAPPTVEGSRTAIGTLFSGPPAHVNNLVGGSSRGTSVDMLTEEEIRSHPAYLSYYYSNEHLNPRLPPPMVSKEDWRAAQRFQAVSGGIGDRRRRPSEVGSGNSLFSVQPGARDTGGERGESNGIVRQQSSEWLGRGNDGLIGLSDVSGIGSRRKSFADALQENSSRPAATAGHLSRSNSRNALESPNPIRSSDSSRAQLQNRSESMNGLRSGSTSPSLVRVQSLGSSMSHNFASAVGSSISRSTTPDPQLIRRTPSPCLPPVGVRMGNSDKRVEVAAVASLGHDSADIAATLSNLSLSGNKMPNVETEVQNHVYQNFGEQTDALFNLPKEHRQFSQQNLTQNIDEGSLNNPEYSVFPNGGNNFNNQHISKMTSPSNSNFPVQSAHSNAHKKGSLMSPSGSVPHYQNMNGDSPSIDISGRHSKANAGGFPPSKLNNQLNYDAEYGNLLSNQGGSSFQGQPTETMYAQYLQGNPDSPLGATGSMSPFHRRAFAGSGHLDSPGYQKAYLGSLIAQQKLQYGIPYMGKSGALNPNIYCNDPSFGMGMTYITSPTSSPFISSPQGHVRQGERLTRVPSMARSTAGGSMGSWNADNGLMDNGYGSSLLEEFKTNKTRSFELLDIVGHVVEFSSDQYGSRFIQQKLETASTEEKDMIFPEILPQARTLMTDVFGNYVIQKFFEYGTEPQKKQLASLLKGYVLQLSLQMYGCRALEVVDVEQQTQMSLELDGSIMRCVRDQNGNHVIQKCIECIPQERIRFIISAFYGHVVELSMHPYGCRVIQRVLEHCDDENTQTVMMEEIMKSVVTLTEDQYGNYVIQHVLQHGKPEERSSIITQLSGQIVKMSLQKFASNVIEKCLTFGSPEERQILISEILGTNNENEPLEAMMKDQFANYVVQKVLETCDDQSRELILSRIKVHLSALKKYTYGKHIVARVEKLITAGERRIGASSS >Et_4B_037622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21925209:21927293:1 gene:Et_4B_037622 transcript:Et_4B_037622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPAATTARVGAGRAPCLWRTEATSASPLPRRAARDTRALASELQQAPPLPAGAPVATHKVTVHDRERGVVHEFVVPEDQYILHTAEAQDIRLPFACRHGCCTSCAVRIKSGQIRQPEALGISAELKDKVYWLQFGRYFARGPIERDDYALELAMGDE >Et_10A_002304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8005167:8007695:-1 gene:Et_10A_002304 transcript:Et_10A_002304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARPHASHFIADLFSYGLRIGRLTLGRTIVRSQLHPASPSREQSSPVPINPPPYLPSRSSRLGSKRNRIGGPPHPVEQERRHPAKPRRSFALATPSTSAKLLLGSMAAMNPDNNTETRAGTVPSSHAAAAEPKDRISSLPNSVLCCILTLLTIRDAARTTALSKRWRPLYASAEYTPDSIDDADLQIGRFDAITTALATHGGTIRSFVVRHARPPADTLSAWLRTLSERGVVEELVLETPPVLPRLRLPRFILACGSLRSLSLRNCAWPDPVNNNAWTLPCLEELTLTSMTMAPAEVEDLLARCPALLSLAICKFRASSRLERLAIRCENLVSLTVVERNAFFDLDTWRVPRLERLLYWWAAKVWAVRSTFQESLPAVHTIGIFDDFDLACNRVAVEQVRNAALCLRVDECHRVVSMLRRLPNLETLHLKLEDMPSWMNTEANRTNFEETTPDSLIECLESSLKTIVLRIPNFGTKKLAFANFLLGAAKVLKVMRIGCGSKAGYEMLPPERRGSADAQVVFHRSCCLELTSLSASNYKLADPFMPRDLDDVLD >Et_7B_053945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13588576:13593376:-1 gene:Et_7B_053945 transcript:Et_7B_053945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAVAPGRSWCWWWWAVVLSVAVVGVNRVVGNSEGDALYSLRQSLKDNNNVLQSWDPTLVNPCTWFHVTCNIENSVIRVDLGNAQLSGELVPQLGELKNLQYLELYSNNISGSIPDELGNLTNLVSLDLYLNNFTGYIPESLGQLLKLRFLRLNNNSLTGEIPKSLTKITTLQVLDLSNNNLTGEVPSTGSFSLFTPISFANNPNLCGPGTTKPCPGAPPFSPPPPYNPPTPTPTKGASSTGAIAGGAAAGAALLFAIPAIGFALWRRRKPEEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFTNRNVLGRGGFGKVYKGRLTDGSLVAVKRLKEERTPGGELQFQTEVELISMAVHKNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERQPDDPPLDWQTRARIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEPLVDPDLKDGYVDHEVEALIQVALLCTQGSPMDRPKMSEVVRMLEGDGLAERWEEWQKVEVTRQQVELVPARPNEWFVDSTYNLRAVELSGPR >Et_3B_031245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22558330:22560744:-1 gene:Et_3B_031245 transcript:Et_3B_031245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHHHNGRNGGHRNGETVIDMAPPPEKPRFGFTGGLEFTSLTYTVVKKQRGAGGEWEKKDVDLLHDITGYAPKGCVTAVMGPSGAGKSTFLDALAGRIASLDGRVALDGVEMSPSLIKRSSAYVMQDDRLFPMLTVYETLMFAADFRLGSTVSASDKKLRVENLIEQLGLTSSRNTYIGDEGTRGVSGGERRRVSIGVDIIHGPALLFLDEPTSGLDSTSAHSVIEKVHDIACAGSTVVLTIHQPSSRILLLLDHLIILARGQLMYSGGPKEVAAHLGRMGRRVPKGENSIEHLLDVIQEYDKSEFGVNALAEFCLTGLKPPKLNGTDGLSTVSSVPATPISGVGGEDFDHSLRSQHSKSPWSGVQLTPSRRPRHKDHHQHGGRGASHNNPPGHGHHRYAPEIVMGTPTPLSSAYTVTEGDFLTPTGAPAVGINALGHRGKFANSYAGEVWVLMRRNFTNIWRTPELFLSRLMVLTVMGFLMATMFTRPKDNAQGITNRLSFFIFTVCVFFFSSNDAVPAFIQERFIFIRETSHNAYRASAYVVAGLITYLPFLLLQSATYAAIVWFALKLHGQFLYFLVMLYASLLSTNSFVVFISSVVPNFILGYAAVIAFTALFFLFCGYFLNSHSIPVAWKWMNTVSTMKYPYEGLLMNEFRGDRVFSTAPLLTGDAILQQLAISTKEDRKWWMVLYLLGWAVFYRILFYLVLRFASKNKRK >Et_6A_045951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13262142:13262330:1 gene:Et_6A_045951 transcript:Et_6A_045951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSSWNKILWLFLHFIMIRSDHNGGLVRRQKEEKGRCKKKEDYAQFSLQEC >Et_3A_024408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20499236:20509094:1 gene:Et_3A_024408 transcript:Et_3A_024408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERESVDRADTAAGDRHDEREEEKKQKVMARVSVCLMSLPLVGLMALMVCSHGESPLWLVSNHIIAEIAPESLTSKSIPCGQLIHSSIHVGTFTIHARTRPMPHDKSRVGSHLLSLVSVNRKIASWEATNSLSRLQSSSTQAASLGDTGCPGIADVAIDQADVRCPYRQYLLERISCKRDFLLPTQ >Et_2B_022540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25604328:25604654:1 gene:Et_2B_022540 transcript:Et_2B_022540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFFSSCACRVLFTAVIIVAVLSSSAAARYVCEGKCSDFRDCNNWCVTVGNYPKGGQCVPPFYQYCCCIE >Et_9A_061124.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17253226:17253939:-1 gene:Et_9A_061124 transcript:Et_9A_061124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRREGRRQLNQRVMERTPCTVGVLVERRFFEEAADRVAVQKVAAVFLGGADDREAVAYAARLAAHPWVAVTVCRFLPAASPCPTTVEDEATADEAFMADLRARFVSPGHVSYTEKVVGNGAEMVNTLNAMAPNFSLFVVGKGSGGGAGAEAMTCGMGDWDKECPELGPIGELLASDDVVGGGSVLVLQQHSVHASNKMRTWKQDAAAGGASGTDAVVDINRDPPRLFTSDQPHQS >Et_1B_013068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5190867:5198484:1 gene:Et_1B_013068 transcript:Et_1B_013068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRREADAAGPSWAPSKSTAFRAFAAATGERAEASPSAPGNGVASRSSNLRAVRKRPFVARLTTGIVQTFEKCNPEFKYSESLNPKRFLTNPAVPVHNNGHDNANSDLILYVNLELVNRKSDRRYVVQEMLGQGTFGQVAKCWDAETNNFVAVKVIKNQPAFYQQAIMEVSLLSLLNEKFDPDDQHHIVRMLDFFLWQNHLCITFEMLGHNLYELLKRNSLRGLKMKFVRTFSRQILDALVVMKDAGVIHCDLKPENILITPAVKTAAGVKVIDFGSACMEGKTIYSYIQSRYYRSPEVLLGYPYTTAIDMWSFGCIVAELYIGLPLFPGASECDVLWRMIEILGVQPPDDMLREAKHTGRFFKYIGSNYPGTESRNGSGSAYRLLTQEEIEARESKKPKMGKWYFPRRSLEKLVLTYPWQSSNTEKADCLALVDFLRGLLEFDPNKRWSPLQASHHPFITSEPFTGPYEPVQETPRIPVARAAMVDHNPGGGHLLGAGLSPQLRQFSYGSYDFNSVNIYNSPMDPSGFHLRSQAGGSFLGSTPDFRRRPHLSYGGGIRLSPGGPGPMSLGASPSQFTPPTSQMQIPSSATGKYGTSPARSGHGSLGKAAAVGQYNRRRNLGYPPMPVPPHEHASQKIQGHQADVMNAARFDAYGRGNSGYMHNALPDSGHFSWRPQRGVSSVLSSDPSSHGSFPPTRYNGVPPLHPSNISADMFPSTSSVPDPADWDPNYSDESLLQEDNSLPAELSSLQLRDTNGQTNRSSRLAPIQSHDLASSNPSLLNQRSDHRFHASSIGDNTHPTGLIPVDGYNHGSYPHQSFPIRHGQPFQQYNMTSSSIRPIRTQHNGPPVWNNYSSMESPPTNVGDGMPWGKILCLKFLGLCNQYASSWFQSL >Et_3B_030027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:385580:386051:1 gene:Et_3B_030027 transcript:Et_3B_030027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAYTNKQYYHSHSRCSSFFPIPGQYKLQHSLVDWTPELHRRFVQAVEQLGIDKAVPSRILEIMGIECLTRHNIASHLQV >Et_8A_057145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21560473:21561411:-1 gene:Et_8A_057145 transcript:Et_8A_057145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVVAVNMKRKDAEVTSHGFSIFLDPKRVKLQDAEIPVMMEEEEWIAEADAPPTSVQPTMFMPSPVLPTQEQEVLNDSTMNIASGSKCSEATFGADQAAPMDVEVDLQQCQALEQPQAGQHAPFFSG >Et_1B_010749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13614508:13617262:-1 gene:Et_1B_010749 transcript:Et_1B_010749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGPAVGVKEEETRKGWDPRCEVMSTELKEGTKHSSKGDQEEQYEMDEFWRRRQEDIEKIVDFEKHVLPMSCMKKIIHTEMDDMRMSSDAPPIFTKACELFIQELSFRACKCAKSHDRCIILESDITQAVASSEPYSFLKHGEPSMTSIERNSMTTSGADVVTLQQQNKEDPDDENHGIEKMGK >Et_5A_040396.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:19266332:19266478:1 gene:Et_5A_040396 transcript:Et_5A_040396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPWGVAVCVVDMVWAVLTGWVSMCLVVANEIARAMRSGEIGPFVVG >Et_9B_065735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9947457:9951379:-1 gene:Et_9B_065735 transcript:Et_9B_065735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGTLREHLYDSKKSPLPWKQRLEICIGAAKGLHYLHDGAKQTIIHRDVKTANILSDDKMVAKVSDFGLSKASLNIKDTHVSTAVKGTFGYLDPEYFRRKQLTQKSDVYSFGVVLFEVLCSRPAVNTELPDEQVCLRDWALYCQKKGVLNEIIDPHLQGNITLECFRTFAETAEHCVADCSMDRPSMGVVLQNLQIALQLQERTMDNRSYAEATASLAKKWVASANPSTDSTMSVAGQGAVFSDIAYTETKALTWHGAGHMSIAAWDLSRERVRRCGACLRARRHGWVL >Et_1B_010047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20570363:20571163:-1 gene:Et_1B_010047 transcript:Et_1B_010047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIFEVISTMPFDWTAWDQDNLNGAVVCAAIDDDEGRHVLIARRAPRALVSVYSSVAREWSETIWYDGLPMWADVCPRPCVVIGTTLYQPLRGSHTLSFDLETRNFDVVPHPPQTRWADVQIMKLDGTTLGLVVADNAAFSLHLWAWEEAGDHWVLRQTVNLDTPRTGSSRSVELLGACEYGNVIFLKTRLVTCLFYLDSMQLKKLSSANPIPLGALYPYESFFVRQVNTISFLFPVRVPLRTT >Et_10B_003599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3760936:3766287:1 gene:Et_10B_003599 transcript:Et_10B_003599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGPTSIDDATGDLLELILLQLRSPVCLIHAAATCRLWRRVIAGAGFLRRFRSLHGPHVLGCYHIKHALCNENIFTPAAAPPGIDGRRRLSLSLDFLPYRTDRLRLTDSRGGLLAFANDTKNCIVVCNPCKREHKEVRLPLIEENVVFRWRCSYISAFLLDDDETDTRPLMSRFRMLYVRVQDTYINGLAQVYMFSKRDDRWVLLSSTAAGDIISAVEGLYTSDTRNAASYTYFLGRTGSSIFWGIPEVKSTVLILDECTGGFSVSALPMPAGRRMLEYRRMNLRVISGDARTVRFVRIIGDDLEVLTAVRDVVGTCVVESKVVGLSQLANIKAARNLLLFMHLYVESTGCISVPDSAQLFAKK >Et_2A_016368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2430443:2433629:1 gene:Et_2A_016368 transcript:Et_2A_016368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRVGGRRRRPAVEERYTRPQGLYPHPDIDLKKLRRLILEAKLAPCYPGADDPRADLDECPICFLYYPSLNRSKCCAKGICTECFLQMKSPTSCRPTQCPYCKMLNYAVEYRGVKTKEEKGMEQIEEQRVIEAQIRMRQQELQEDAERMKNKQIAASTDTVAAAQVECCDTGVTSTAVASSELDKDTPSSQVQQSELLLRNPEALKQMRGGTFDMDLEEVMLMEAIWLSIRDQEALGNQGCVGIASPTNPSRAFGGSVTTTAEATASGGFACAVAALAEQQHMHGESSSVATCQTTRFDVLSRSDRSSTEDLSIVGSSSSDNRAEEPSSSRTYQTIEGSEYSNDRWSEVAEAGTSCAGSDVTVESGGANLAGSVGSNIGSGNVPDSFEEQMMLAMALSLVDARARVSTQGLTWR >Et_2A_017500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34954591:34966779:-1 gene:Et_2A_017500 transcript:Et_2A_017500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFTLITELRELISASSSTASASAPASVHLEVKLREVLPNLLRDYVVPSPNVLAASDREVTAVLKLVAYTALKFPGVFYQGRAADVISVIGRILPFLAEPNFRSRHELIFNAVWNLLSVLRTGDREAYRQFFLDAMVAVEDVLDVASMHDESPNGVPPGRLLVKCLCGSFLDILDSPGNFSELPASCQPKNGPGVLVDLTGHARWRPFATSLIKLVNKCLTDGTLYVDGLVNMSFVYATCSILCYGDASLHKVCFDFARIVATVMTVDILPVVDLIRSITCILSQDVTGLSDFRDEDYDFSMGACLRALHSSCPDYIVESTAADIVNVFERAVNTSKSAELQVAMYTAYEKIIELCSPQVWKPEILLKLLCLPKPCNKLIECIRVVFDKFGQNFFTLDDGDGQSSPLAKSEEFDLPKVGQKRTVENEKNIFSKRQKINELRFSSGIGRELEKDSGYALRLSLNSLIKGLSPDIHEARPLNPETAIDVLSLLCISLRFYPKTVLFTRISKQVLSWLPWIHTQTAKRNLLSFDVSLYLEALHTVVLLQFYLPGQTKLYEDEWIRDSKDFLNPVYADLISLLKLMWDDGHVSHTCSDHNIKCLLIQVVSKIGNRLHAGSDLEVLDLAIHSGTAKIQNESLMSLPIIAMYSGPRMLGAMFNKLESVCALGHENVRKSIAFSLGFLSCLNGTTDCTDSMGNHCKLFLDKCSKQPVSTLDLLLRGFWCPQCDFSNRTLHSEEQISIVDIAPLQVENVDFNINISRAHSLFFKLLYAEISEECIVSIVQVLPRILRHSSRQVLLEMRIQWVRCVDFLLLHEMKAVREAFSGVVCCFLEKNVMDILFSDGHGNGGTKELKFMDKVKVAFTEAEDPHIVLTLLESVGTIMKVSDVHGEVFFSSFILLIGQIDSHNSIIRMTTLRLIQRCCTYCFKGGLDLFLSKYLHVRDDLYNYLSSRLVTRPIMIKEFAEDVVGIKTEELIKRMVPSVIPKLIVSHPKNEEAVIILRELASHLNTELVSLIVNLLPKVLCFALFYEDGKHLPSVLQFYKNETGTDSKEIFAAALPTLLDEIVCFPVESDQTETDARTARIAPTIQNIAKILTGNATLPEFLRNDFVRLLNSIDKKMLHSDDIKIQKQALQRIRKLVEMMGPYLSTHAPKIMVLLIFAIDKESLQMDGLDVLHFFIKQLAEVSPNSIKYVMSQVVAAFIPSLERCRGCPSLHLSKIVEILEELVVKNSSLLKQHIRELPVLPSLPSLSEVNKVIQEARGLMTLQDHLKDAVGGLNHESLNVRYMVACELSKLFNARREDITTLIVGEDIADLDVISSLIMALLKGCAEQSRTVVGQRLKLVCADCLGALGAVDPAKFKVVSSERFKIECSDDDLIFELIHKHLARAFRAASDPTVQDSAALAIQELLKLAGCQSSLNEGNMKGQKLWGRFSNYVKEIIAPCLTSRFHLPNTTDSTLVSPIYRPTMSFRRWIYYWIRKLTSHATGPRSDVFSACRGIVRHDMPTAIYLLPYMVLNVVCYGIPEARQSITEEILCVLNAAASESSGAAVHGITGGQSEVCIQAIFTLLDNLGQWVDDLKQEIALSQSNYAMAGKHGGKLKDETYSGYGQDQMLVQCSNVAELLAAIPKVTLARASLRCQAHARALMYFESHVREKSGSSNPAAECSGDFLDDDISFLMEIYGGLDEPDGLLGLANLRKSSCLQDQLIINEKAGNWAEVLTLCEQALQMEPTSVHRHSNALNCLLNMCHLQAMIAHVDGLVCSIPQYKKTWCMQGVQAAWRLGRWDLMDEYLTGTEKGLAFIGSDNNASFDMDLAKIFKAMTIKDQFMVAEKIAQSKQSLLVPLAAAGMDSYMRAYPYVVKLHMLRELEDFNSLLGDESFLDKSFSADDPMFLKLTKDWDNRLRCTQPSLWTREPLLALRRMVFSQSHMNGQVGNCWLHYAKLCRLAGHYETAHLAILEADASGAPNAHMEKAKYLWNIRKFDSAIAELQQTLLNMPAEVLGTDVLSSLCSLSLALPNAPISATQASTENPDVSKTLLLYTRWIHYTGQKQSAAIKLLYKRVTDLRPKWEKGLFCMAKFLDDLLVDARKRQEDEKFAGGVGPVHPSSSSSVSRAAEGKENPWWELIPFVIKAYAKALHKGHKNLFQALPRMLTLWFEFGSMYIREGSSDKPMKDTHAKVSGIMRGCLKDLPTYQWLTVLSQLISRICHGNADVVRIVKGIVTYILREYPQQALWMMAAVSKSTVPARRDAAAEILQAAKKGCRRGSENSALFVQFPSLIEHLIKLCFHPGQPKARTVNISTEFSSLKRMMPLGVILPVQQALTVSLPSYDRNMVDQSGFHPFSVSEHPTIAGIADDAEILSSLQKPKKVVFLGSDGVARPFLCKPKDDLRKDSRMMEFNAMINRLLSKVPESRRRKLYIRTFAVVPLTEDCGMIEWVPNTRGLRHILQDIYITCGKFDKMKTNPLIKKIYDVYHGKMPDDEMLKTKILPMFPPVFHKWFLTTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDRGLLLDKPEVVPFRLTQNMIDGLGITGYEGVFLKVCEITLSVLRTHKETLMTVLETFIHDPLVEWTKSHKSSGGEVQNPHAKRAITNIEARLQGVLVGVKASPSLPLSVEGQARRLIAEAVSLSNLGKMYIWWMPWF >Et_6A_047211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26825437:26826362:-1 gene:Et_6A_047211 transcript:Et_6A_047211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVRERKGPWTEQEDMQLLCTVRLFGDRRWDFIAQVSGLNRTGKSCRLRWVNYLHPGLKHGRMSPQEEHLIIELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQDRKMSMSPSCSSSSLTYQSFLLDTTPTTGITGDAHNGNSCITSALESTQSITDGYPMDQIWKEIEAPHAPAMLGIDDPKEKAYGSLPCPPMTTAIWDYKCPELSWKMEDDGIRMLSPQFAYGN >Et_8B_058874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10274936:10276173:1 gene:Et_8B_058874 transcript:Et_8B_058874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLPSSWDELPPDILGLVLRRLPSLADRVHFRAVCRPWRAGALPQRQSLPPPLPWLAFRDGSLVDLQGAPVRCAPILRKGVDFGYLAFDNLAFLVDGDGKCSLMNPISGVRLPLPKLAPAMLRAIGGSGAYGRSYIQKGYVKAIISSPLDLSPDPHVAALILEGYSLAISACKQQDAISISMPDPERPDGRLRIRDIAFLDGKLYAINSGEGLYVIKFDSGRLSELKSSSCFHQCIPEDPDQQEVYYSNPKRTNNSIPGRNQWKAADDSEVDEHSPRARLGDHDRTLRFEVFVADLTTVPGRWMKVDSLAGHALFIGSECSKSVPASHCAGGIEEDCIYFMHRAFDNPCTEHFGPCVDPLGDSGVYNMRNGEIKPLVPDSVMSELKSKRQFLTWFFPAHA >Et_4B_039642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2552189:2554910:1 gene:Et_4B_039642 transcript:Et_4B_039642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANARTYVDFEPQHRLEEEPDKVVLNVDLTGFKKEQLRVQIDNFGRLRISGERPLRADSNRWRRFSKDFQVPDGCDAGAIRARLEKGGVAEADADRRKGGCCRRKTSRKATPAHSKEKQQLRPLLKLENRTRRMRDLDLHLHPRLTTVAQQQLELLLSRLMQVTLTEEPDMRYDQYQQADLGRQEQEDIPRH >Et_8A_058375.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:6158404:6159633:1 gene:Et_8A_058375 transcript:Et_8A_058375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAFSDFGPLTERRRAERRQQRKRVMVATGGASIVLILIVMGGAAVAYNASTKDNDGSSKSSPSSSTPSPPGGGGGGLISVSKSIKAMCAQTDYRDACEKSLSKSANASAASSPKDLVRAAVAAIGDAIGAAFDRSSLIVSDDPRVRAAVADCKEIYGHARIDLDRTLAGIDVAGGLVAGGHQLRVWLSAVIAHQETCIDGFPDGDIRKKMTDAMEAGKELTSNALAIIEKAASFLGAFGGLAASHRRRMLLAHNATAMEEEIEEQPMVNHTLGGDPPLHRKERHLRGFQNAPKPNVVVAKDGSGQFKTINDALKAMPKTYTGRYVIYVEEGVYEEYVTIAKEMPNLTMYGDGAQKTIITGNRNFADGLTTFKTATFSKTTRDLASFSDCFCARTWPACSPPTDKTRT >Et_9A_061576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1455933:1457181:-1 gene:Et_9A_061576 transcript:Et_9A_061576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQALQLAPGEPGFWRDFLVGMLKPLAATAVVAMAVALSFTQRLGLEREMLYGVARAFLQLSVIGFVLQFIFAQKSAVVCCFVAYLFMVTVAGCTAGQRARQVPRGKYIACVSILVGSAITMFLLLVLDVFPLTPRYIIPVAGMLVGSAMTVTGVTMKKLRDDVKMDRILVETALALGATPRQATLQQVKRTLVIALSPIIDRVKTVGLITLPGAMTGIIMGGASPLEAIRLQIVVENMLIAASTVSSILCTYLCWSTFFTKAAQLQAKVLAD >Et_2A_015812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18005035:18005367:-1 gene:Et_2A_015812 transcript:Et_2A_015812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVEKGTQAGCEGRESRKKELKVAPSDRPKSHDCVACYDPTAADARDRERKKNLLIRGVAAGKGIDPPQRCSGQREEEQARCLWPHSARSGIVVAPAARLPRSSSS >Et_5A_040530.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:5985118:5985687:-1 gene:Et_5A_040530 transcript:Et_5A_040530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRSSWTSDMVCTISTAAAGGIAQASRPPARPQAARHNAGRTRFPPASSAYRIASWSAAGGSPAGMAASRARFTAAARSPMYALKSNSVVAEAAAVATMAEKRRRCAAAGAAGQGRSGARWTREAASGWNAMAMGGETALGWPGFSGEGGEGRVVEIGGGPLRLRSPYLEVKGSGSGAGWAVVHPRLS >Et_6A_045940.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:12170178:12170249:1 gene:Et_6A_045940 transcript:Et_6A_045940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMWFRRGGKKLIILWTLSKLKS >Et_8B_060315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9202752:9204703:-1 gene:Et_8B_060315 transcript:Et_8B_060315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYTARSITPPANRNGTSKSPPPARRSNSRSPPRRRDRSRSRDRSRSRQAYHMSEDDRNPGNNLYVTGLSTRVTEDELEKFFSKEGKVKHCHVVQDPRTKESRGFAFVTMDTVDDARRCIKYLHRTVLEGRLVTVEKFNMMLGCFDVNLVGSGCAVFDHGCCRGVCS >Et_4B_036222.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:11367510:11367950:-1 gene:Et_4B_036222 transcript:Et_4B_036222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAICRPSLDCGRVEAVGDRMAADGGLARLHRTAASAWRSALFRINCRASRKKKKRKTQSTIQIGISHALILPAPAIHGVHDLVRWRGTRRRSSTPSHRRLALPPPGRRRRRLVLPPPGHGQRRWLLASWSLSAATQVPSSPGRGP >Et_3A_024756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23921106:23923611:-1 gene:Et_3A_024756 transcript:Et_3A_024756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMINGAVLPRYAASATGLLTSDARVSGHLQLLGRVRLRRRACGVQADCEDGVARRFFGSPPQRHGRWDWGWPVCCSFGSSSDGDGAAAANFDASGEEFVNSSVIEAVELRSVSDGFMIKMRDGKHIRCVQNNPRVLRLRDSAPHHAIVLKMEDGSNLLLPIIVMETPSIMLLAALRNIRIPRPTIYNVVKEMTETMGYKVQMVRITEMVHDAYYSRLYLAKIGNEEETISFDLKPSDAINIAFRCKVPIQVNRHIAYNNGLKVVQPKSADSYVGSDDIQITGLDRPDDLPSSEAQEFDLVRNMLIAAVEERYKDAAQYRDKLSTLRSKKKNAI >Et_10B_003585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3537351:3539430:1 gene:Et_10B_003585 transcript:Et_10B_003585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FVTTDLATDIVITVGDVKFYLHKFPLLSKSSRLQTLVASTNEESNDEIDISDIPGGPAAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLSASIFRTWKDSIIVLQSTKSLQAWTENLKVINHCVDSIASKASIDPSEVDWSYTYNRRKLPSENGLDSHWNGVRKQVTVPRDWWVEDLCDLETCLYKKVILAIKAKGRTSSEVIGEALRAYACRRLFSSLDNVVSNGLDCTKHCAALETIISLLPAERGSVSCGLLLKLLRAACLLGSGDTYRDDLVKRIGAELDRASASDLLIPASSSDDGMHNVDLIAAMLEEFLLQHTDGSELKLQEDDESLDAAENLVTSKLAAVAKLIDGCLAEIAKDPGLPVAKFEHPGLSKSEKKRLCALMDCKKLSPDASAHAVQNERLPLRVVVQVLFFEQLRQSAAVAAVPTAAPRSILLPREDGTSCGSSMSAATTATTEDEQWAGVAPTSTSGGGGDASSLRSVSVAGSKKPKGRKVAPAPARRVLGKLWSGKGNGGDNSGSDTSESPAGSVNLEETKSTPSRVTRHSVS >Et_7A_052334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:711452:714095:-1 gene:Et_7A_052334 transcript:Et_7A_052334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRQDSVCKTMLPKCIAIQHGSCYLRSVHDEGNGVVIELGDGGSDGAINPRCRFYLEASKEHDDGGGLMHVRCCHNNKYWVPQQRGQDDGWIIGTADEPEEDLSKPSCTLFKIILATAENEQHSIRLGKCVVVLPHSGTPSSRPYLHVAHGEADVVDAFTFLDLSQQKRLPKYLAFKGDNGKYLGVQDIRVSTRKELDDDLFLMNSKLLEFKFEDIGDPKVVRTSFTNDDGTVRIKSNCHGKFWRLKATFDTTWIRPDSDDNTCDDSDTLFEICKRLPTHPSLYLEKRDCLSASDDSITQSARLQVEEPIRSRLIYGVKFHLSEARVYTKEVVNVDTRSAVNNSTSTNTIKLTFTVTDTVTSTWNSSVSVKAGTKTTFKTGIPKIVNGKVEVSAETTYSHSWGGIVTETRTRATEYTARVPAHTKVTVRAVASKGACDIPYSYMQKDVLLLDGKEVTQEFHDGIFSGVSTDDIKFQVTEEKLL >Et_1A_009029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2685756:2688774:-1 gene:Et_1A_009029 transcript:Et_1A_009029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDDVMEGSDGQRRKKRYHRHTPRQIQQLEAMFKECPHPDENQRMQLSRELGLEPRQIKFWFQNRRTQMKAQHERADNCFLRAENDKIRCENIAMREALRNVICPTCGGPPVADDYFDEQKLRMENARLKEEASRLSHSPCCALLLMDRLMIRFPHCVLLDRVSSLTSKYLGRPITQLPPVQPLSMSSLDLSVGGLGSPALGPSLDLDLLSGASSGLPPRWNGLRDVHWWLCFVQSKWMEFFPAIVCKARTIDVLVNGMAGRSESLVLMYEELHVMSPVVPTREFCFLRYCRQIEHGLWAIADISVDIPPRDARFGAPPPRSCRLPSGCLIADMANGYSKRACDRYACLVAAGMPHREVAGVTPEGKRSMMKLSQRMVSSFCGSLSASQLHRWTTLSGPNDVGVRVMVHRSTDPGQPSGVVLSAATSIWLPVPCERVFAFVRDENTRSQWDVLSHGNPVQEVSRIPNGSHPGNCISLLRGLNASQNSMLILQESCTDASGSLVVYAPIDIPAANVVMSGEDPSAIPLLPSGFTILPDGRPGASTSAASPSAGGSLVTVAFQILVSSLPSSKLNAESVATVNSLISTTVEQIKAALNCASH >Et_1B_011734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25538627:25542104:1 gene:Et_1B_011734 transcript:Et_1B_011734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLPETVPRLLTPETLRTAAKQSQGIHLVPLSLRRAIKRYLRDQDKAHMNRKVLLLSASFDRAKGTGAELAAAATRGALLDDPNAPAGAEQRAARWKVRSAYGDIGLRYREDETVAYVASRMPAIYAACHRVLREVRRRLPEFAPAKVLDFGAGPSSALWAMRAVWPKSIEKVNLVEPSKEMQRAGQSLLDSLKGLPLIHSYDSIQDLNRDIEKHERGHDLVISSYALGEIPSLNDRITIVRQLWDLTSDVLVLLEPGTPQGAKLISQMRSYILWMEKRKCRKLEKSSSRPPTNMKSIVAHESLLKNGAFVVAPCPHDGRCPLENSDKYCHFVQRLERTSSQRAYKRSKGVPLRGFEDEKFCYVALRRGKRPEGAWPLDGMKFETLKERHARRKPEDLIIDYDDQFPSEEDEEVPADGGDSLVPYASDTHELSLFHESEGEEVEEETIRADLGGGWGRIIYSPIRRGKQVQMDVCRSTKRDASEGAFERIVVTQSKNPTLHFQARRAGFEAAKKCLMTLIWDRVVGKSLLKFSAFDVPEFDFGQAVAWHTLQPLM >Et_8A_057611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5073635:5076393:-1 gene:Et_8A_057611 transcript:Et_8A_057611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWPLIPTTTLLPPLTAPPTASRVSLAVLLPTPPSAFAAAPVRLAPVTFPKIRLSGDPRARLAMSSASSAEGEATGEFTEVVIVRHGETSWNAERIIQGHLDAELNDIGRQQAVAVAHRLSKEDKAAAIYSSDLKRAAETAQTIARVCNLPNVVFDPALRERHIGDLQGMKLQVAATERPEAYKAFMSHKRNQKIPGGGESLDQLSERCVSCLYDIVEKHKGERVIVVSHGGTIRELYKHASPMKSLHGKIHNTSVSIILVSGSTGRCIVKMVGDISHLQETAVLENAFGGDKNSA >Et_5A_040621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10482247:10489442:1 gene:Et_5A_040621 transcript:Et_5A_040621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAGASRSGAVMRAAAVGVAVAVVALALAPPLAAALRPLRERVATVGDAASAGSWVDEHAFFKRDENDMSPYSWNITGTYKGSWSFAGASNGSSRFLEFVKSKGDSVLELLSTPTKISGVHYVQGSITFHDVIDNAHDRGVAQIRLEGVYIWPFRQLRMVANSGADGEPLQEEDYFLSNPYHLLRIFSSQVFQESSEEKNRRKNSLTYDMEKHCNTEIAAKVVRVSSNLNEGEHEKYRLEGLLESPAVNDDGECFPPILLNATSLNVEVYYNKAVNYTLMGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPLNSGEGWEIMRRELSVLYNGILLGGILLMYELHNFLRPLLFLMYSFWVPQIITNVIRDTRKPLHPQYIIGMTVTRLAIPLYIFGCPNNFMRIEPDKNWCIAVTVFMGIQVAVLLLQHYLGSRCFIPRQILPEKYCYHRKVDDSTNQPIDCVICMTTIDVTQRTNEYMVAPCEHIFHSGCLQRWMDIKMECPTCRQPLFLAWNTQLHEVEHEHPSCFGKSEAAGEGAYDSPRLS >Et_3B_030949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9282888:9295071:1 gene:Et_3B_030949 transcript:Et_3B_030949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRAAKARMSAQDTVPGHAVSSCRLASSITSTPRRPPFAGDAFSGFGLTGLASIRTEPSQPWHVKDHEHRLSCCDRVNLCEQNANCSRTLTKQSWKCSRMSPAPTPRSAETYCWTTLITVASTSTEQLCKEQRSVSGTAGLPDLTGAPRRHGQLAQLDERLGEVEVPERPVVRVLVDVLQRRVVADEHPVGVEQHQVIEVVVVVAVVQQVAAFRRDDVAGQVPQRRRALVANVVLHQRVGWPGAAELSEDAVAEVSVDLARRRGEAVAEGGAVRAADGVRAGEDDHLLGRESFCGEVADELGDLEGGAREVGLGVRGRRDDAVRAPRGHREVDAAVAETDASRAANATMSAQETVLGQAASRLALIVSITSKPRRPMLAPDVFSGYGFEYVGSRRTEASQPYTAMAYPDEAVMEQKPDEGSSLGNVGVKSSPHGLSDDILHAAVALVIVVPDIEAVVDDVALLPDLALASGGHGQLAELEQRVGEVLVPERGVVGVLVDVAERLLVGEQRPVGVEGDDLGEGVVVEAVVEEVGDLAHPADEPGHEPGHDVVVLVADVVVDDGVGALGALVVEQVAVGRGDLGRRLGEAAAEGGAVALADGVRAGEDDQLLHREVLLGEGLDQLLHVVGGVGKLSLGLVGLGHEPVEAARGDLEVDVAVAQDAGRVAGRVDEDVGAGHHAGAPVLHGGLDLLEEVEGGQADVHGRLLLRVRVLVGLVQEHGAVATLHHRESIAHIGVRNVVVTHPDEAVMEEQADEGGAGAAVRLERPDDVPLDDVLNATALLAVVPDLQLAGLRRRHGGEEHRRLDRHQGAEERRREPHV >Et_1B_011051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1691884:1695046:-1 gene:Et_1B_011051 transcript:Et_1B_011051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRFVLLGEKKKINNFHSPPRRSNRPRRPRRVERVASAARTDHPVPSPTSPRPAAYLYPAHCISDLTFPSSITLSAHTSHSTPHRCSRFPRRIPLRSNPATAMGGSHSREDLDLTSSDEEEEYDEDFDARSPISEPAASAGQRDDDLLRTATPSSLEALDAKLRSLDLKYQRPTAAKLYLHVGGASSSARWVPAERRATYAFVDKASASDSSSSSPRWFLEIGPGKRVSAPVGSELQLKTNPAQRRADFAASGSVWALRLPTDAEFRRFREEYERCLFENTYGVEATDEGRKQVFGADFAAWARPGEADDAIWADAEDSLTPSVTTPARDLMEEFEEEAGDSGSIQSLALGALDNSFLVGGSGIQVVKNFRHGVHGKGTSVRITGGRGGSGSAYSTPKKALLMRGETNMLLMSPGEAGALHSNGVHHVDIETGKVVTEWRFEKDGTDITMRDIANDSKGAQLEPSGSTFLGLDDNRLCRWDMRDARGRVQTIGSSSESPVLHWSQGHQFSRGTNFQCFASTGDGSIVVGSVDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFKDKDGKEKTGFSGRMGNRIAAPRLLKLTPLDSILAGTDNKFHGGQFSWVTENGKQERHLVATVGKFSVIWNFQQVKDSNHECYRDQQGLKSCYCYKVVLKDESIVDSRFMHENFATSDSPEAPLVVATPMKVSSFSIANRR >Et_8A_056436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1022655:1024062:-1 gene:Et_8A_056436 transcript:Et_8A_056436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRLLRSPASIAFVILSFFQGSVCGITFTFTNRCTDTVWPGILSGSGTPPLETTGFALSPGQSRSLYAPQGWSGRFWARSGCSFDSSGKGSCATGDCGSGEVECHGAGASPPATLAEFTLDGAGGKDFYDVSLVDGYNLPMLVQAAVPDCPDTGCLVDLNERCPDELRADDGRACRSACEAFGSPEYCCNGAYGNPDTCHPSQYSQLFKSACPKSYSYAYDDATSTFTCNHTDYTITFCPKSTPSGGNSKNSPKRPSHEQLEDDVWLASLKTSDAGAPTAASWSATSAFHSALAIAVVMTLAVFDHPLLNLL >Et_7B_054437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21327369:21335887:-1 gene:Et_7B_054437 transcript:Et_7B_054437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KCVRVEERLVQSTFLKGDWFKVLLLLCLLPVIIAWICSEILEYRKSSSHQKVDPGAQLDNQTIEEYDKAALLGGLSKSPYTKFQNMSTKANLIRFVKLDKSFLLENHAVLRAMAEFGLILVYFYICDRTNIFRESKKSYSRDLFLFLYILVIIASTITSLKKHHGNSTLSGKPILYLNRHQTDEWRGWMQVLFLMYHYFAASEIYNAIRVFIAAYVWMTGYGNFSYYYNKKDFTIARFAQMMWRLNFFVAFCCIVLDNSYMLYYISPMSTLFTLMVYGSLFLFKKYNEIPSVMAIKITCCFLTVILIWEIPGVFEAFWAPFTFLLGYRNPEPTKANLPLLHEWHFRSGLDRYIWIISMIYAYFKPNVERWIEKLEESETKIRLSVKVSIVTISLVAGYLWYQYIYKLDKLTYNKYHPYTSWIPITWLGKLTMETYISQIHIWLRSNVPNGQPKMLLSFIPDYPLLNFMLTTMIFILISYRVFTLTNLLKEAFIPTRDNKRRYQNFLAGIAISLCLYCCSKRLTSSNFNGILKLNGTDEEMV >Et_8B_060445.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:16129027:16129329:1 gene:Et_8B_060445 transcript:Et_8B_060445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYKYGLTFLAGTGFGAAMANIRRDACPLRKQHCRHRRRRHDDDVAGDDDDMPGATRERYNEHDHRQEKEKDMGTSRRPKKGSGKEKKRDASYAIDDSE >Et_3B_030088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30373139:30373779:-1 gene:Et_3B_030088 transcript:Et_3B_030088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVWTLILVVAAAAGRASAAATATAEEAVHAVLAKNQLPRGLLPSGIAAFAHDAGSGRFEAVLETACTARSEVGLRYNVTVTGFVSEGRIAGISGVDAKDLFMWFPVRGIHVDIPSTGVIYFDVGVVFKHFPLSVFDAPPPCTPDPVLRTATQRLEDGNIDGLVAGYAVATQ >Et_9A_062760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4270010:4272829:1 gene:Et_9A_062760 transcript:Et_9A_062760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GFDSDDRPVFVGFFADAGQRSCPLQEDQFYVGNCIIFCTVGLKGSELAAPDGLTRAISAIGEEVKKVKADPLKNKERCIAHHQCDRTFLVSGSPWFPVYEVNLGFGRPARVERLCLGGKSEAYFTAGRDPGSVQALVAMAAEKMPAFRQEFMVDGVNGRPTAVITKRRMPHCISA >Et_1A_008525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8395046:8407752:1 gene:Et_1A_008525 transcript:Et_1A_008525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVIPGTVREIDRLIPDALRLAFGIRRRASPTPLPGQHDEVAAFAAALLPPRVVGDPMDCDDDDIIVAAPRGGLRMDAASCYLRDHAEDAHFVHANTNVIGVADGVGGYRKEGVDASAFARWLMYNALSEVAAAAPGAHVCPRTLLDRAYRKTVASRTPAASTAVILSLTGRTLRWAYIGDSGFAVFRDGKLLRRSQAQQYYFNCPFQLNAASDSARVSQAAQRYFNCPVQLKASKGSATAADAEVGEVPAKEGDVVVVGTDGLFDNVSNDEMERIVRMGTAMGFSPKNMADVIAGFAYEAARCSYRDTPYSVQRRRERGTAFTGGKPDDITVIVAFISMTCRRRRQRSVVTVHGKKPMTCTAETVCPEHEATPQALRMDVVSCYVPDHDEDAHFVHADTNVIGVADGGVDAGAFARALMANASAAANRTKPDTPIWPHTLLRTAYDATSRSRTPGASTAIILSLDGATLRWAYVGDSGFAVLRGGEMVHRSLHHRNCPYQLRARKSGDSTSKAEVGDMPVRDGDVVVVGTDGLFDNVSGEVLELVARRGAELGWSPRFMSKVIAGAARMIAKKTDDITVVVSFIEDVQQALREVDERVFNALLDELDALDRADHCSGEELADAPAPALKDGAARAQLRMDIASCYVPDHDEDAHFFLPDAGVVGVADGVGGYRKKGVADAGAFARALMDNAFASASRAAAKQPGAPVCPYTLLRKAYVKAVRSRTPGASTAVIVSLHGATLRWAYVGDSGFAVLRGGKIARRSAPQQHQFNCPFQLRATKGGDRVADAAVGEMAAAAGDVVVVGTDGLFDNVFDEELERVVRNGTRLGLSPQEMADNVAATACEMARRRRAHSPFSIESWRHGMEGTKRFYGGKVDDITVVVAYIMELRMQQIMIEVDERAANSMGNAHERAILAEMMGDGRSPGEEEEEEVDDTPVPAPQDGGGDRSRKLHAAPQDGGGGRSRKLQAAPQARALRLDFASCYLPDHDEDAHFGHAKAGFVGVADGVGGFREHGVDAGSFSRALMKHALTGAKRAGTKHGPRGSKPLDPYNLLQKAHLKAARAGTPGASTAVILSLRGATLRWAYVGDSGFAMLRGGKIIHRSAPQQHHFNCPYQLSARGAGGDSVARAAVGKMAVAEGDVVVVGTDGLFDNVFDAELERVVRAGTELGLSPQSMADKIAAVARKMSRSWWMPSPFSVESARDVRDGRERFLGGKVDDITVVVAYIVSMTTMEKLDQIQQTLSEIDVRVPEALRVALLIGYPAYPVPAPVASFAASLLPPPPTNDAAVVVPGELDDTSLAQEGDGDAVESSDATPALGIRYGSCYAPLHDHDAHFGHADAGVFGVADGVGGYMRPGVDAGAFSRGLMANALAAVAAGARVCPHALLEAAHARTAASGAYGGSTAVILSLAGRALKWSYIGDSGFAVLRGGRIVCRSKPQQRVSKRRVPGPFYLCADRASSNDVAEARRGQIAARDGDVVVAGTDGLFDNVRDAQLERVVRMGTALGFSAKNMADVIAGTAYEVSRTIKGKWDDITVVVAFVVQSDS >Et_2A_018535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3287199:3289079:1 gene:Et_2A_018535 transcript:Et_2A_018535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTVRMKAVVYALSPFQQKVMPGLWKDITAKIHHKVSENWISATLLLTPVIGTYQYAMYYKEQEKLHHRF >Et_9B_064076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1095629:1097401:1 gene:Et_9B_064076 transcript:Et_9B_064076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELAAQSVDSISALNSTPRPRAWRSRGKNGPGYTCSCLEHYHGNPYIPNGCQVMKFQKGTAPILMSVRFAISILNFRKDYPCSSGGICVNRIGGYDCPCKRGMKGDGKTRTSTEQFPLLAKVAVGAIGGLFVVAIVVFLMLVRREKRRMKEYFDKNVYKGLLGDQQVAVKKPITVGVAQKEQFANEVIIQSRVIHKNIVMLIGCCLEVDVPMLVYEFISKGSLDDILHGNNKKVVSLGQRLSIAAEAAEGLAYMHSKANVTIMHGDVKPANILLDDGCVPKISDFGISRLIAIDKAQHTNYVIGDRSYMDPVYLQTGLLTKKNFGVVLLELISRKKATYVDNNKLITSFVDAHKTKSTTTELFDKESTTATKDIEVLECLAGIAVEYLQLDVDQRPEMTDVAERLHMLKRSYAN >Et_4B_036685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10383775:10387094:-1 gene:Et_4B_036685 transcript:Et_4B_036685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQRASPAAADRRRIGGPGLLRWAARVTFSAVAWTLLLHLCPFLGIPRTPLHITRPSCLGGRNNSTATSAAASVAAAGGVAHLAPPALPPRRLYKSNGYLLVSCNGGLNQMRAAICDMVAVARYLNLTMVVPELDKQSFWADPSDFGDIFDVHHFINSLRNEVKIIRELPQKFSGQVPLSMQPISWSSEKYYFRQVLPLVRKHKVIRFSKTDTRLANNGLPLKLQKLRCHVNYRALRFTSFIEALGNKMISILRNSGSFVVLHLRYEMDMLAFSGCTHGCSDEETEELTRMRYSYPWWKEKEIDSEKKRLEGLCPLTPGETTLVLKALGFPRNTRIYIASGEIYGGEKRLAALKAEFPNIVRKEMLLSGDELRLFQKHSTQMAALDYLVSVASDVFIPSNDGNMAKVVEGHRRFTGFHKTVQLDRKKLVELIDLFEDQELSWDEFSVAVKELHWGRMSQPTRRRVIPGQPKEEDYFYANPHECLGPAVKRRERLKHIKI >Et_1B_010600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1242216:1250447:-1 gene:Et_1B_010600 transcript:Et_1B_010600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSSAAAAAPPAIPTCSDQGVWADASPLLDAACRDLEDGELVHGENFSLFAAMSALEIMDPKMDSGSERSGYNSIEEAIEDGVAPIPLSSDRTLDVQRSIDVMDHLFSCEATWHKGHTLAQTVFTCIYLMRMERTSSHAVLNSFCRVLRATCNAVICVVSTARTHEEEDLFTMSFGLPLKDEWDEKCLSILNSVEEAISHQLRACKAQALSKKKTLEGLESLQDNPDLEEGYCRALLCRLRFRKHFHHVVTCMRKLHGRGLELARKHIASCLTELSLMLKSQAFLKSQSNITLQGDENCTTASGCQPVGFDAISYFEKLLHDLDVICASSVGPVLENVLHFIVQFQKSVPDLVPRAFLQTLLVQDGKLYGQYLFSDVISRALSLPDIIGDKEFQMNEFVVQLGQLELALKREFGETRNVIHHENICVRVSKQLIIWTQEHAYWVASRFLILGFELDLYSPGEYCMVYWYMYVVFTKLIEKIQLRVLAISENSRRKGKKKKDHSKDSTRDRDTAFSSSCLLLQCYVLLSEGLSMMLAVLRNESRSFQLPSIFNTERERFMQHFDLLLKAQIPEHVSYYSFKESASRACITDLVKYNFFKETQKIIPSLRGSFANEPEKLAELRRIEQVAEHNRIALNIISQVGAGDPSLKVSFEFTHHPQFAVAVVKRS >Et_2A_016564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26028494:26032232:-1 gene:Et_2A_016564 transcript:Et_2A_016564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSGFDDTSLLKDVRKLDQLMSETRIVLASDDFKNIMDRSLRKIANIVVEDLAAQIGTPIPPSGLPLAKLLARVAQLSLPLLEEPNKNKHIRIIRNMPDVELFYTFLYANMPPET >Et_1A_006561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24500484:24504272:1 gene:Et_1A_006561 transcript:Et_1A_006561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCACDSDQMAETSGSPRSAAWCVPGTGCMIDALSDDVLLHVISFLGARQAVRTCVLSRRWRDLWRSACPINVSSEEVGGYTYFSRFVSRLLMLRDPVDLDEFRLSYGIPQHYLDSFELKVASAYANVWISHVLRRNARSIQVDGFGDKLHLEPAVFTSKCLTSLQLSDVTLLPGFFRKLQAGCTKLEHLLLEKSVIDDAEISSQTLKVLNIESCHFAFDGLVSISAPSLVSFNFSGYHKIPLPSSLESLVTAWVFIGTHGDLVHDIRQFLTSISGVVNLEVYYEGDTLKTENNLQWCPKFNNLVVLTLDESCLRANFYPLRVFLQNSPNLVKLTLQLEIGFRTYPKFTGEIEEIPFTCKHLEIVEIICIKDNGKEHLGLELLGGYNRPYYIKRATHPAAMTHIQF >Et_4B_038166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26959317:26960960:1 gene:Et_4B_038166 transcript:Et_4B_038166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMKKLSTSSPLVAAAVLLCMCLSLTLASYVDVLEAREEGRSGSGSGSGRPYHFGEESFRHWARSRQGRFRVLDRFDHELLEGAVGSYRVAVLEAAPRAFLQPSHYDADEVMYVSEGEGVVVLLRGGKRESFCVREGDVMVIPAGAVVYSANTHRSDWFRVVMLLSPVSTPGRFEEFFPVGSERPESFFSVFSDDLIQAAFNTRREEWEQVFEKQRKGEITTASDEQIRELSKSCSRGGHGGSGSSKWEIKPSSLTGQKPRHSNNHGKHYEISGEECPHLRVLDMEVGLANITRGSMMAPSYSTRATKIAVVVQGSGYFEMACPHLSGSGRSSQQRRGREHEHGRRREEWGREEEEEEGHEQEEREQKSRGYKKVRSRIKEGSVIVVPAGHPTSLVAGEGENLAVLCFGVHAGFDEKVFLAGRNSALRQMDAHAKALAFGAAAREVDRVLGAQEESVFFRGPQSHGRRSSA >Et_3B_027849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22609426:22610943:1 gene:Et_3B_027849 transcript:Et_3B_027849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSETDAALFAAVLGRDAAHHLATTPPAVDGPATAAALAPELQARLHDLVERGGGPWTYAIFWQESRAASGSGSGGRAVLGWGDGHCRDGGAAPQHDDDGGAAAEGGVAERRSVALYGGGDDADDDEDGADYALRLDWVTGAEMYFLASMYFSFPEGAGGPGRALASRRPAWWAGAADPNQRQRGGGGGPGWFVRASLAQSAGLRTVVFLPCKGGVLELGSVVPVRETPEALRAIHAAFAAVAPRAAPETEGMRIFGKDLSRCGKMPPTGANRPPVQLGGRTRTSPDKKEAVEENASPKSIDLTQRPEQPNGLQQAEERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPKISKMDKASLLSDAIAYIQELETRLHGAQTGPPSSPAVEVKAMQNEVVLRVTTPLCVHPASRVFRAIRSAQISVVASDLAVVDDAVTHTLMLRSPGPERLTPEAVLAAVSRGTTSDGTPSP >Et_1B_014391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7565971:7569595:1 gene:Et_1B_014391 transcript:Et_1B_014391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPAAAAADHESFPATMPADLLAEADCGGVHGHSLFFDALVQLIPPRFYLQADDEDRPWYQGLSKAAKAAMKAQSRANIKAARRARLDPSAPPSSTLDLLKKSVADQAAEEEEEEDEKKSEGESEKSGDEASSDDEEDGEMEEDEDGEDEEEGMQVAPGSVVSEDRSVTYEELRERLHRRIAELRGNRCTRPEFLNKPKKEKGKKAKGKNAKGKDVGKKRKRADGAEDDSKDGKKANKEAEEKPDIMYANVFVDPKEARRRKKRRVKNKKKELEQAKRMQDAKKDPEKANKIAWDTARRRAAGEKVHDDPKLIKESLKKDQKRQQKHAAQWKDRQKTVDKQRQEKQKTRTENIRERAHQKKMRKIEKREKKLMRPGFEGRKDGYVNEEASTVLQSRECAQGKPPSQIKLNKDDNIQLSRTLRHFLTSGCFKLRGFIIGRRGFF >Et_3A_024149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17873847:17879479:-1 gene:Et_3A_024149 transcript:Et_3A_024149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMRSLLVPLLLTALLLISSTANVDDEVTAEVSPLYYRCREDGGRYTSNSTYLSNLKALYYGELIAKATNFVPGRSGTFGQAPDAVYGIVLCRGDYTGASCADSLLRAFQSAVDKGFLCPLYKDVTIYYDQHMLRFSGDDFRRSRNATMAWVAWNMNHVTGADGRRYGEKVRRLADAIVDVAARSPDRYATGEAWFEEGKKDDASTVYGLAQCRPDLTADDCRSCLAGLVALMPAWFGNDSTGDHRVGGRIVDVRSYPATIDARAQNELEEWTKLLASEIGSSFTLFTLSEIRKATDNFSEAKRLGEGAFGPVYRGQLSSGVVAIKRLASYSRQGLAEFRNEISLIANLQHINLVKLLGCCMQHDENILVYEYMPNRSLDDIFSDVGKWASLTWLIRQNIIDGIAQGLLYIHSYLQSDRCIVHRDLKASNVLLDSQMKPKISDFGTARMFSSSLTELCTTRLMGTRGYMAPEYFFGNTFSVKSDVFSFGVLVLEIVSGRKVATSFRRYKRSDNLMAYAWRLWEDKNCRELIDNSLSIEEQDQEVEIIRCIQIALLCVQANPEDRPDMKEVVRMLSNKDIHLDNPKQPSYFNEPIMSVAVAPSNNQTHTQYHTAMHSHHPA >Et_1A_006636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25533453:25536873:1 gene:Et_1A_006636 transcript:Et_1A_006636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQKEVRSLDDDSWMFAAPRSRINLVSRPGKNTEPVTWPFTSNRIGHNFLCFPLGAYVYQVVTFPSNKGSSWNQFKHLRRQGTSKEAYSMRINEGKMGSVVSRMSAREACAVDTIIPASLDGRARSGRSIRIVFFLLCLDEQKDDAASSLRRRGTVAAAWEGSGRAASGGPLPRHTSSPPPSLAELGHDLEHGDVVVEAVDGAGRVDDGDDREVLSWERLRDEGLSDEEGSAAAFRIAAQEGGTATRKERGLSLFFFPA >Et_3B_030927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:955237:957068:1 gene:Et_3B_030927 transcript:Et_3B_030927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRPKPTPANAPAEVIFDPSATGSRKSRRPGAPAASSEWHNFMGSSLSDMYRKPVVEKSADTSDGELTLTSRSCASTYKERKKIENRRVVELGGKAVKKHRTPLSVAKPALKNQKKRELRKTEEEKLLGIFRKRDNKNNKPQKTRPEDRVLRATEGHFKNGILNVKHLMGPPKSSNRDAPEPKMRKGGKKGKGKQKGARRKRR >Et_2B_019617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11068112:11074220:1 gene:Et_2B_019617 transcript:Et_2B_019617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASDNNSDMSLLQRIATSDVPLLKEYGLHGVIVALLVAIVIPVLLSSIFGKKTKTRAVQADVGGEPGLAMRNSRFSSLVQVPWEGATTLAALFEMASKKYSRQKCLGTRKLINREFVEAADGRKFEKMHLGEYEWDTYAEAYNRACNFASGLIKMGHNLDSRAAIFSDTRAEWIIAAQGCFRQNLAVVTIYASLGEDALVHSLNETQVSTLICDSKQLKKLPAISSQLQSVRNIIYIEDEPVEAETLNKIKHWTTLSFSEVEELGKTSHIDARLPSSSDTAVIMYTSGSTGLPKGVMITHGNMVATTAAVMTIVPKLGMEDVYLAYLPLAHVFELAAETVMLASGAAIGYGSALTMTDTSNKIKKGTKGDVSVLKPTLMISVPAILDRIRDAVFKKVGEKGGLSKKLFDIAYKRNLAAIEGSWFGSWAPERMLWASIIFKPVRSILGGRIRFVLCGGAPLSSDTQRFMNICLGVPVGQGYGLTETCAGAAFSEWDDTSVGRVGPPLPCCYVKLVSWEEGGYTISDSPMPRGEVVVGGHSITKGYFNNEAKTNEVYKVDERGMRWFYTGDIGQFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVESALTTSSYVESIMVYADPFHNYCVALVVPARQALEKWAQNSGINYKDFGELCRHDQAVKEVQQSLSKAAKAARLEKFEVPAKILLLPEQWTPESGLVTAALKLKREQIKTKYKDDLNKLYH >Et_1A_006622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25294195:25301951:1 gene:Et_1A_006622 transcript:Et_1A_006622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAVIGIPAFPRGHRPFPARLRATSAACRGRQVFTCSSSASPPRGVSPGSTNFMGPAARNAAASDLRHGLIAPCSSSGTGGASTSSGLLPTILGAVHLAVSLGVVLAADKFLKQAFVAASIKFPSALFGMFCVFSVLFVLDIFVPALAKAVMDFFEPATLFIQRWLPLFYVPSLVVLPLAVRDIPAASGLKILVIAFGGWFASLAVAGYTALAVRKLVKTQVIPAEPTTKPSPFSTSEVWAWAAIFVASFGVACFNPTALGTTARTCLPFMLASTVLGYMVGSGLPAGVKKVLHPIISCALSAYGAAAAYGYLSGSGIDAAIGDYLTKAPSNPGAGDVLMSFLGSVIISFAFAMFKQRKLVKRHAAEIFTSIALASAFSLYSTAVIGRLIGLEPTLTISILPRCITLALALSIVSFFEGVNSSLTAAVVVLTGLIGANFVQVALDKLGLNDPIARGIGTASSAHGFGTAALSAKEPEALPFCAIAYGLTGIFGSLFCSVPAVRQSLIFIAGRNAALQRPSSDLRHGLIAPCSGAGTGSASTSSGPLSTIVGAVQLVVSLGIVLAADKFLKQAFAAASIKFPSALFGMFCVFSVLVVFDTFVPALAKAFMDFFEPATLFIQRWLPLFYVPSLVVLPLAVRDVPAASGLKILVITFAGWFASLAVAGYTALAVRKLVKTQMIPAEPMSKPSPFSTFETWAWAAIFVASFGVAYFNPTALGTTTRTCLPFLLSSTVLGYMVGSGLPAGVKKVLHPIISCALSAELAAVAYGYLSGSGLDAVLGDYLTKVPSNPGAGDILMGFLGSVIISFAFSMFKQRKLVKRHAAEIFTSIALASAFSLYSTAVIGRLIGLEPSLTISILPRCITVALALSIVSFFEGVNSSLTAAVVVLTGLIGANFVQAAMDKLGLNDPIARGIGTASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSIICSVPAVRQSLIFIAG >Et_5A_041954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4660178:4662313:1 gene:Et_5A_041954 transcript:Et_5A_041954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKDNKISTIVLKVDLECQRCYKKIRKAICKIQDKMNIKTISYDEKKNSVTISGPFDADKVCKKLCCQAGRAIKDMKIEGKEAKADGGEKAAAKPAEKDAGKADKPKEGKADKEGGKGEKDAGKAEKKEAKGAEKEAKPEKGGKDDKKKVTFADGDAKAVKAIPQADLGPLLEKIMAAKGAAAAPEAPCGEPIKPAMAPAAAQGVAVPSIWPAPAASMSGYSYNPSYDPSYYGGGGGGYGYGGCGCGYAPGYCRCGKPAGGYYGVPVYDNQGWYYGGGGSRQPYYAQQQPCCEDPNAGQCSVM >Et_2A_018259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20475527:20476654:1 gene:Et_2A_018259 transcript:Et_2A_018259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGAAEGKQAAAGSSHDSKTLLKSDSLYQYILESTVYPREPDCLRELRLATATHPQAYLAASPDQVQLFGLLVEMLGAKNAVEVGVFTGYSLLATALALPDDGKIVAIDVNRESYDKMGAPIIKKAGVAHKIDFREELALPVLDQLLAEEGNKGRFDFAFVDADKVNYANYHERLLQLVRVGGLVAYDNTLWGGAVAVARDDPRFAARDPAAAVATQEFNAVIADDCRVHVCQLSIADGVTLCRRVA >Et_3A_026921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26534519:26536549:1 gene:Et_3A_026921 transcript:Et_3A_026921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESPNAAKSCICGLRPGASGAWLRYPATCWRYVPGLDKGKGLYFLFIKSETKTAGGLPARPVLTSYYKSDIFRHRPYDPFNVYTSPTAAILCTDSFQSMYAQMLCGLLARTEVLRVGAVFASGLLRAIRFLQLHWKELAHDIRTGAMSARVTEPSIRDAVAEVLIKPNAELADFVEAECGKDSWERIITRVWPNTKYLDVIVTGAMAQYIPTLKYYSGGLPMACTMYASSECYFGLNLRPMCDPSEVTYTIMPNMGYFELLPHDPADAKPLSKDDPPPPLVDLADAEVGKEYELVITTYAGLCRYRVGDILLVTGFHNAAPQFRFVRRKNVLLSIDSDKTDEAELQAAVERASRLLAPYGAGIAEYTSEADATTIPGHYVVYWELMVREGGGAWPEAGVFERCCLEMEEALNAVYRQGRNGDAIGPLEIRVVRGGTFEEVMDYAISRGASINQYKAPRCVSFGPIIELLNSRVLSKHFSPACPTYSPHKK >Et_8B_059705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20914376:20918421:1 gene:Et_8B_059705 transcript:Et_8B_059705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLLLLAAVAALLIAAAAAAQVGDTCSSDAACGAGLHCSACGDGGAKICTRAKPIDPATHGTGLPFNNYSWLTTHNSFALTGAPSATGVTLISPTNQEDTVTAQLKNGVRGLMLDTYDFNNDVWLCHSFGGNCYNFTAFQPAINVFKEIQAFLEANPSEVITIFLEDYTALGSLPKVFKASGLTKYWFPVAKMPKSGGNWPLLKDMISQNQRLVVFTSKKSKEASEDIAYEWNYVVENQYGNVGMVEGKCPNRQESPAMNSKSQSLRSDGGGAPLATDVANGHMVCGCDNIAYCKANSTFGTCVIPPPPPPSPSKRGGSGSRAGGDSSAAVVRSHLPVQWRFFLGLASVVLSLLS >Et_5A_040484.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:25110852:25111064:-1 gene:Et_5A_040484 transcript:Et_5A_040484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PSTTTALRSLTSFYAAELRLYVNGARRGVQLSPAPAPTSHQYASFLGAHQRRMHEEVVAHAMIALTGISY >Et_3A_026240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5913252:5930518:-1 gene:Et_3A_026240 transcript:Et_3A_026240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVDRTLRDGSVVAVKRLYKNSHKGVEQFLNEVDILSRLRHPNLVTLYGCTSQSQSSSSRDMLLVYEFVPNGTLADHLHGARAHQQQQQAHFLPWRTRLGVAVETASALDHLHSVEPQVVHRDVKTNNILLDEAFHVKVADFGLSRLFPADATHVSTAPQGTPGYVDPMYHQCYQLTDKSDVYSFGVVLVELISSRPAVDMARRAGGGDVNLANMAVHMIQCYEIDQLVDPRLGYRTDAETKRTVDLVAEAAFRLVAASATTERISNATAPLSCPSYRCGHAVDIRYPFWIDDDDATSGLGNASSSSSSQSHCGYPSLRLLCRRDTPVLPLPSGDYAVTHVLYSDRTVSLFDLGVFSLSNTCPLVGRNLSLPAGSPLSLTARDANLTFFVHCSFVMGVPSHLVACLEGDGRHHSYVFRDGDELAPPYGYAGLCRDVIGMPVLRRSLLGGAGPLDAVVPALNMGFELRWSPAADGECGQCERAGGMCGRRRKEVHDAWTFACFQTAATSAWIASRSPANPTTAAIAVNTTATCAPASCGGLTIAYPFWLADTHPPECGYQAFQVACDKQGSGSLVNSFWTYQIMDIFYNNSSFRIRNFQLMDGTCNTELMVNASSDLGLSPYRISPRNQELFFLYNCSRQAQLPGSWAPVSCAKDSINSFAWLDGRYRPDAKLTPLPGNCTVSVMPVLGHDGATGADYQRLMERGFLLEYSVEDCEECTESGGRCRIDDSVQVFECQCSDGTYPEIWALLLVVLLPLLATAAQDATACAPAACGNMSIRYPFWMRGRQPSYCGHPSFGVACDDDNDAAAPASLSGSYLRVLDIHYGNSSVVAFHARLADDATACRATRFNMSASLALSLLAVSRANWELLLAANCSSRSTPPAGSVPVTCPGTGNWSVHLSRVYEPGGPAAVQGTAPAGCNYSVVRRGFLLEWTVPGDCAACNASGGQCRYDADANAFRCLCPDGRRRPATCPRGELITEISIPTLLVVVQLVVASLLLLHDAAHADSCEPATCGGVTVKYPFWLGGGNQSSSPCGHPAFQVWCVDGGRVASLSGSALHVRSVDYGNNSFVAVHTRVASGDDGVCRTDFNISVSIALSPFVFSRRNRALCFLYGCNGTEPRGSEYVNATSNCSAPIYAYLGGGYDRNVPPAIATGRCTYTYMPVLESDAATMTAANYTRLLKDGFVLEWQKVGAGDCPACVASGGQCRYDNAAAAFACLCPGDGNKLRAVPTCAGDLLLLVVSSAWTALSLPLMLGVAADGKVGGRCPPVLCGNVNISFPFRIVPEQATECGLLGFQVHCSDNTPYLGFYGAEYWFQILNIFYGYGSMLVTDVHKLHDFNISDPKGCHAPTSNSSNKLGDPFSISPANKNLIIYNCTTAPPTADRERLAETVCHNNTFFRVADSFDKSGGYSSYFLAGCDAVFVPVLGGSGKVNATRYQELIRDGFLLTWQIPSPASSKFTPGANNSAAAAAATSPRQPSSCLPKKCGSLNISYPFWLDEPGRPPCGPPSFQLKCNSSGAFLSRSMFQSYRVVRIFVQNSSFHVVDGNLPLATGCPAPFFNISLGIGLGPFVISKTNKELLFLSRCKDLLPAAPPGFRPLPCDNHSFVRVGGEGEFGGHHVLGGIPPVCTFSVVPILGAPDGDNFVSSMRNGFLLEWAGFSRDCHSCMASGGECMYSDNGLGFACNCSDGIHHEKCGVCGVGAGGLLVACFLFFVWHKRKRKQGRNSSDLMRSGSSLQSYSKDLEVGGSPHIFTYEELEEATNGFSASRELGDGGFGTVYKGKLRDGRVVAVKRLYKNNYKRVEQFLNEVDILSGLLHQNLVTLYGCTSKSSRDLLLVYEYIPNGTVADHLHGPRAAERGLTWPLRMSIAIETAEALAYLHAVEIIHRDVKTNNILLDDGFHVKVADFGLSRLFPLEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLVELVSSKPAVDMSRSHSEINLANMALNRIQNHEVEQLVDPELGYETDSETKRMVDLVAELAFQCLQMDRDLRPSIKEVVEILNCIKSGECPAKRNSSPNEDTHLLKNSIHYSPDSVIHRFHSQSTNHSGASNASG >Et_3A_024786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24149173:24153383:-1 gene:Et_3A_024786 transcript:Et_3A_024786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSQGSAAVAAARVNFCPLDLAPVFAKEMIAGGAAGAFSKTAIAPLERVKILLQTRTNEFGSLGVLNSLKKVRQHDGIMGFYKGNGASVLRIVPYAALHYMAYERYRCWILNNCPSLGTGPLVDLLAGSASGGTAVLCTYPLDLARTKLAFQVNGSDQPNRALKMTSAQPTYGGIRDVFRCVYAEGGVRALYRGVGPTLMGILPYAGLKFYIYEGLKAHVPEDYKNSVTLRLSCGAAAGLFGQTLTYPLDVVRRQMQVQSNQQHDQSGSPRIRGTFQGLKIIKQTQGWRQLFAGLSLNYIKVVPSVAIGFTAYDTMKHLLKIPPREKKTSGSGS >Et_1B_013607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9904378:9908802:-1 gene:Et_1B_013607 transcript:Et_1B_013607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDEHAFKPQWLRQDAVKAAGTARIFAAPSPHADYQGKGGSSRNNASGRDRHPSSRKSSGSNGSRRADRDEMGKSRGYANFGRNREKNREKDFDSRDRESRPIAPDRDGFQSFSTCRPERDRLSRARLKAETRNKGVVSLNNDGTSRSNADVSSSKSNDVVSSSESSAVVSTPISTAAVSTSASSAAAVSTSASSAAGVSTSGSSAGVKAMLLSVHLEAILLSVPLEAMLLSAPLEAMLLSAPLETMLLNKAAGMDFEREFPQLSLEDKNGKQGISRVPSPGISTPIQNVPLINASDGWNSVLADLPLLKDVKKSLAASSVLQTAPSKPTEAVSNSGTALSMAETVMQAPLRISIGPQLSIEAQKIEERTLRQYTLRPLTPPASKSSVLASSKTKSARTGDPIGPSKTAQQFKMHSANGSVRAPVKIDISKLSQSGSFQVLNREQNGTVHTSRDCPSIPVSPPAPSVSVEPQKKPVVSQKFKIASHELSLPLQAPCGDRKSNARDKHKFFESLRTKSANGSSTTLETGCQQFPSSLIEVKHDSSLDHGNDQSVFPTGMKCMANGKCSCEEENSSEGSQRHLSDNEDNSSSTKTDVADGESRELLEDKKEADSASEPADTGDEEFQLSLSDSAEGSSFSAPADSEDGFNRSQTGNEEGSLSSEATEPEDEEYPAEPPPEDKPFLISLGWREDEVVQPLGLEEIADSVKGCAELEKKLWSMESNANVRIILLSILGGAK >Et_2A_018148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14282477:14285266:1 gene:Et_2A_018148 transcript:Et_2A_018148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGQKALLQKAPGKPTAAEVNPYVVQSDKLMLGNSEALVRPGIVRVVELLKSSAPKKTKKKAHAPGHSGSCYDPEDDGINQGAVDMITIASPSTMKGYNSKRVVAPIEQQMEQPVRRTRQKTRELSMIETDATSNDQEAAESENDLHASNEGKLLFGAAHKMEKYKDGVPTAVDLFKDYHLMAMQQKLLLKLLLKSCMLKTMFLRNVGIKTKGIKTGTAAGA >Et_1A_006773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27477129:27478737:-1 gene:Et_1A_006773 transcript:Et_1A_006773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVNIEQAEHGDVGSWRWKEVDGMGTSASSRGDWSSVEAGMVVKAIIIELDGNVWSGARWVATFCRWPSLGFLKQACDRNRRLRLQSRYEQKRSQQFRSRPNLLALEVFGAVLAAVVEDEAEVDGQVEVDAEHVCLDGGAEADGGVEVHEPVQQRAARLVARQAHLDEAQHVGAHVQLQRVAGALAAAVPRRRRRRLGRRRRRAVGGARHGGEDKGHGKEERGSRCHIAN >Et_4A_035871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7589364:7591830:-1 gene:Et_4A_035871 transcript:Et_4A_035871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLEEARENHVKKKVEEALRSKMKQKALKECDFYCSKYAECARGRTFSVKWKQETKSDNPLKIKFASSSS >Et_7A_050581.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:25947558:25947824:1 gene:Et_7A_050581 transcript:Et_7A_050581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSRAGGSRPRRGALRRRRSRATAREPPRGWAPATLGGHVVDGCGEFMPGVGAGDDALKCAACGCHRSFHRKDDGQQLLPTPASQALAP >Et_4A_032629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1269393:1271597:-1 gene:Et_4A_032629 transcript:Et_4A_032629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGGYGWALAAGFNAALAAISAKFFAPPLLKYGMVILFNVTMWGCYVNSLKALSSLQATVTNFAANFISSGLAGYFLFDEPLPSKQIKYRGETELRLGGSFCQMHSRRFKAETNQAVI >Et_8B_060616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3415583:3420427:1 gene:Et_8B_060616 transcript:Et_8B_060616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWTGPPSAQTSKQEDHTNQMIQKAQHCKHQNPTATVCGNSRQLPFHSVLHRVASIPLAAMGSCLSSEAPAAGAGPAWRKRRLGVREGAAAGGAFSSGGKKLPGGGGEMTEDELARVAGRMCANGSSAAACLHTQQGRKGTNQDAMIVWESFNSNDSVFCGVFDGHGPYGHFVAKKVRDSLPVKLLTQWKTSANGNTSPHQNGSIAASINSEETAPAVDDEWIESFDGDESEKIPEMFLPLKQSYYKAFKSMDKELKLHPTVDCFCSGSTAVTLVKQGWDLVIGNLGDSRAIMGTRDASNNLTAVQLTVDLKPNLPKEAARIQQCKGRVFALQDEPEVSRVWLPNNDSPGLAMARAFGDFCLKDYGLISVPEISYRRLTEKDEFIILATDGVWDVLSNKEAVDIVASAPSRATAARALVDCAVRSWRLKFPTSKSDDCAVVCLFLDHEKSPDLVEESKPEEMPQPSREEVLTTDASSEVDEDNADVKVHISSEQKITEPTLEHSNTLRDFDEIVPVDEPPISKEPERCGSARSLADCISTNEEEEWSALEGVTRVNSLLNLPRMLSGDKRSSSWRKRR >Et_1A_005691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12946413:12948519:1 gene:Et_1A_005691 transcript:Et_1A_005691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPRCSKSASPLNLVCTRDSDLVVLIFFLVSCPGFDEEAAVRKQVCEARTVREAKALVTMARDGYYTGLLKEACAVYKAEDKEKRSFSFMHCWTMLRFEPKWHEKMNQLAANKASQKKQKASEDPIHDLTGNGIDDILDASPDGDAPKRPMGRKKAKQLQRQGGGDACIIAFDNMWEKKEVADANKEERKDARFNKSLEIEKERLQIDQVRAAAEQERAATEKSRAAAEQEKVQLKRMLEEERIMTMDISAMDADTQLYYKSVRREILICRGVISC >Et_9B_064787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18628723:18633093:1 gene:Et_9B_064787 transcript:Et_9B_064787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRESGTKVHQANIQAAKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILRELDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAQAFIDKNYHPTVICRAYTKALDDAIAVLDKIAMPVDVNDRGAMLGLVKSSIGTKFTGQFGDLIAGMREVDIKKYIKVEKVPGGQLEDSRVLKGVMFNKDVVAPGKMRRKIVNPRIILLDCPVEYKKGENQTNAELMKEEDWQVLLEMEEEYIKNLCAQILKFKPDLVITEKGLSDLAMHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTGAGLFEVKKIGDEFFAFIVDCKDPKACTVLLRGASKDVLNEVERNLQDAMSVARNILKNPKLLPGGGATELTVSATLKQKSSSVEGVEKWPYEAAALAFEAIPRTLAQNCGLNVIRTMTQLQGKHANGENAWVGLDGRSGDIVDMKERKVWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASAPKQPQIETEGDADNEQMIPE >Et_5A_042169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:763912:775451:-1 gene:Et_5A_042169 transcript:Et_5A_042169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHGHHHHGGHHQPPPPAPAAASYPPSSMAPQEPTFKIMCRADEGYCLTVRHDAVVLAPTNPRDESQHWYKDMRHSTRVKDEEGHPAFALVNKATGLAIKHSLGQSHPVKLLPYNPEYLDESVLWTESRDVGKGFRCIRMVNNIHLNFDAFHGDKHHGGVHDGTEVVLWEWCKGENQSWKILPWGDEAYAPPPAYGYPSGGGSQQQPGGAYYPPPPQEPGYGYPPPPAGNFPGYVGYSSNLPRALASESTVRIYSKADDSYSLTVRNGTVCLAPTNPRDEFQHWVKDMRHSTSIKDEEGYPAFALVNKVTGEAIKHSLGKSHPVGLVPYNPDYVDESVMWTESRDVGHGFRCIRMVNNIYLNFDAFHGDKDHGGVHDGTTVVLWDWAKGDNQRWKIVPWCKASPRTTVQQLSESSHSTQERRRKMSWFGHHHNQPAPAASGPNQVFKIFCKANENYCLAVRDGNVVLVPANAKDAHQHWYKDMRFSTKVKDAEGMPAFALVNKATGLAIKHSLGQSHPVKLSHFNPEEEDASVLWTESKDHGKGFRCIRMVNNTRLGFDAFHGDKDHGGVHDGTTVVLWEWCKGDNQSWKILPWGPEADSPAAGPGNFSFAGVPVHTVRVFCKAGEDFSLTVRNGTACLAPTNPRDDYQHWVKDMRHSTKIRDEEGYPAFALVNKVTGEALKHSTGQGHPVKLVPYNPNGLDESLLWTESRDVGDGFRCIRMVNNIYLNFDALHGDKAHGGVHDGTEVVLWEWAKGDNQRWKILPWSSAPRTMAYYGRESYGGYGGAIATPGYAPPAPYGMSQVNIEGNGCGRPLPPQPTVKVYCRANPNYAMSIRNGKHWIKDMRWSTTIKDEEGYPAFALVNKATGEAIKHSLGQSHPVRLVPYNPDYLDESVLWTESRDVGNGFRCVRMVNNIYLNFDALHGDKWHGGVRDGTEIVLWKWCEGDNQRWKIQPYY >Et_1B_014342.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6370621:6372177:1 gene:Et_1B_014342 transcript:Et_1B_014342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYPWFVEAVPDKSYKLMSVDTPPEPRLSGLDRVRDGVWSKGNAGEGMIIGVVDAGADAGRVLFIPEPEGMLPPPAKWKGRCDHGQTCNKSLIGVRSFVDTSNCHELKTQTMGGAGGSSGAGGSIQRASAPGIDYATAFAVAPKAHLAIYHVCNERRCDPEAVTAGMAAAVDDGVDVISLFIGNEHDAVFHDEAVTVPSYRALAQGVLVCTPAGSSGPDMYKVESSAPWLLAVAVSDTDRRVVTNVELGNGILKPDVSAPGLSAVAALPPHGDDVEDSDARLRAAASTAAAHVSGVAAMIKKAHNEWSPAAVKSALVTTAHPLRPADALTGGGASYFVTGAGEVDPADAMDPGLVYDLTAEDFVPCLCGMKLSEDELNKIVEPANASCAETGVIPAKDLNYPSIMIVMDDDVQQVEAKRTVTNVGEPVETYVVETIAPGVEVVVRPTTLAFTDLGQKMEFVVTVKREANTPAKAVIEGELKLVSEKHVVRSPMVVVVGETAASSVSDSNTDVASTES >Et_1A_008417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7500029:7500360:1 gene:Et_1A_008417 transcript:Et_1A_008417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RGDKFVVMVRITQIKGVRTLIQYFIETLPERKLVLEAMATAVCLDKDYRPTRVYREMSEMLEFFSGPRNDPGELP >Et_3B_028288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12958068:12958761:-1 gene:Et_3B_028288 transcript:Et_3B_028288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAIEATTFILGQREDIRLHIVNLLFSCGAGARNKAKRNAEYSCADIRSSILYHAEEMKKLQAQAEKKNTGAQERWQPPAEDIYKINCDGAVVKETKRRGWGCVIRNNHGGFLAGAEAMVAEQGGMQRVILETDAVNLVSAITGSSFDRSELGVMFSDSEIRGNMRMDFTDCRVYKCPRSCNSVADCLAVFGMSLGVADHSLWLDQEPDFVIPFVSGDLPGAGH >Et_4A_032652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12233436:12235525:1 gene:Et_4A_032652 transcript:Et_4A_032652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSDCQYYNQENGFGPDSDESSGTPVRDVGMVEEDVQKKSTGDKIDIDRAIDLMSFVFKEGMAFLDNGSGISLLERRVATQDWPADHAKANQRSLLTEQGFYSGKDSHWPSIQAKDCETLQGGHIASEPFASSTSPRAHTQDSRVNSSSSEQQLKAPEHQPEAHRPAQDTSLDIVKGKLGANLASTMVTLSVSVSRKK >Et_2B_022074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8778695:8779946:-1 gene:Et_2B_022074 transcript:Et_2B_022074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VNYVMGAQVYREDVDIVPPPTTQKATPPTTRKDKEKKVSHRGGGFTKEEDEVLCSAFLNVAKDAIIGANQPRGGYYKRLHDYYNAFKPEGSNRSQLAIQNRWCAIQRSVSKFCGFKSSVDRRNESGKNEQDEGDNENSMPARPEGRDSAKKKRATDTSSSNTAAEVLQRIHDNREKCQQKEDEQMVQILIRKDEKLSLQRELLEVKKLQREDNNQQREENLILRKQEAENVAKQAEAQLLSAEAQIMAVDVDKVAPHLKNYYVGMQLQIAERRGFASSAPNGSDP >Et_1B_013503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9047155:9049938:1 gene:Et_1B_013503 transcript:Et_1B_013503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLGLTSRVAGIFTTPAVEHSCSRRSRFRPCLLPSKRWNGVVRMGAVVGGGQEGEDEEMRQAKEQAAARRRWEALIREQKIKTLTPREAGYTFKLTDKALLDVRPSNERQKAWVKGSTWIPVFDVDTSADFSGLSKKATNFVMGGWWSGSSTLSFNKNFVQQVEEKFSKDTDIILVCQKGLRSLAACEQLYNAGFENLFWVQGGLEAAEEEDFEREGPQPFKLAAIGGVSEFFGWTDQQRAQAAKEGLGYRLLFTGRLVGALVLLDALFFGAQRIGPLLQELQSH >Et_9A_063497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:507614:511160:-1 gene:Et_9A_063497 transcript:Et_9A_063497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSVSMPRGLFRGSDDVKKRFSSSVVQPDVAAGDGDDEAENLLLRYMREMERYRQEHQTDLQWELQGRLRDFDPKQGGPYYNRYHYVDLRKFDLDEEFYKDKDDYELCAGINIYSVKIARDSIDHKCVYLFRSERDHCQIINSEDDSLVLTGPKRELAKMDDVHVETDLKIRDHQGQDRELSKGVLTLGRIGSLKKLKVKIVSLATRLSTVDLLYGYMVDAVESTIVIEVIRGYFDGQITAHTTSTPNRLVLYDRELYGASTGNGKGAIQLTRPIVCVSLKDMLVIAAKTRDGKPECTVTFAPRVNGGDEDDIIDEPLLLTGPKRGLSLTSSIIFEADLKIKGDQEQDDKEFSKGFLKLDGIPRRTWDKMVVESDSLDTKLSTLEVMFAVVKRAVEATIAIEVLHGEFNGNITAHTTSIQNRLVLYDSQVAGAWIGDRKGTIQLLRPVIAVSVQEMLTVNIVGETEQATVEFKPAVNGGVKAEVACGSCLMALKITWSIIDQEYLGSRR >Et_1B_013530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9283656:9285181:1 gene:Et_1B_013530 transcript:Et_1B_013530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSKLSLGIKRASRSHAYHRRGLWAIKAKHGGAFPKAEKPAAAAEPKFYPADDVKPRAPSTRKPKQTKLRSAITPGTVLILLAGRFMGKRVVFLKQLKSGLLLVTGPFKINGVPIRRVNQTYVIATSTKVDISGVDVSKYDDKYFARDKKKKVKKTEGELFDTEKEAAKSLPDFKKDDQKAVDAALIKAIEAVPDLKTYLGARFSLRDGDKPHEMVF >Et_1B_012931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4146210:4146556:-1 gene:Et_1B_012931 transcript:Et_1B_012931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEMVVTDKPPVADLKYFLDFQHHKMWAPVHGELPLGPCSNRQGSKLSAQHDNLTQKWHDGHYKNKMQVIVPELPVSGMRLHLEGKNNNR >Et_2B_018984.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:21471880:21472353:1 gene:Et_2B_018984 transcript:Et_2B_018984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPFSATSHIGPFTNLATAKPGAVEPTVAVNPANVPVVTAALGRHGSAANEGLVNIATYPLPVVAGLPPGVENISAAAARDKVSRIGAAAVDEALTRPAQEALVREMSPDAVVTDVHFFWIGAVAAELARRAVPVRHVQCYRPAPSRRSPCPCVTS >Et_4A_032011.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:11870178:11870735:-1 gene:Et_4A_032011 transcript:Et_4A_032011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLRYQIEYEKKRDMQTPIVKSMEEHESLVQELKGLQERESNATIAEVDRISKLMEDLKTEAERFKSNSDECEKVIDELKEKNNSIAYTLEKLDRQVKSKEGQLMQLISRQGKIYEKCEIEQLKLPTMNDPMDTGSSSEEPVLDYSHLSKTYLQDMGLSERDKHEAQFKQKTCELVAQIELLQI >Et_2A_015575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15252958:15259925:1 gene:Et_2A_015575 transcript:Et_2A_015575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHYGAISVSRAITSISHHGSVAFKSILCPDEKVKMKCTSCTEYAFEEFSQPNKFNDKQLNAIKRIAFKFMCPHINATELLWGPPDSGKTQVAIALIHLLHSSFRILVCVLREKDIPRAQELYCCLYVWMGWLKELESILQMEPYCQADCDHEDRMCTKTDLAVFSFESFRTKICELMSDLSVCSNSLISNFSGNSLSDNDVGNINKLLSEFSDFEAHIMNDNINSSSVQKTFGFPSVSDVATCSIAESLSKIRMNILESLETLIKSVVLPQLTDTDALEDFCIERSRIIICTPSSSSRLLGLKPTCFDILLVDDAAQIRESDLLIPVSVAPRHVVLLGDHHHRQPMMMKSKVCQEAGYASSLFERLIDLSSENDILTKQYMMDPQISQFVHEHFYETKVENGCSIKSQDSCKQLPAYVFFLFDIMDDDKLRVKGRTFVENATAIYLLKKLCKGLRNADGKLNVAIVYLCSSRLDSARILLTSRFGSHDKINLEVNSFYKLQKTWYDVVILLVCDDTSKLLESNMMNTTLTMARSFLWIVGDASVLLASGGAWKDLINNAKERKCLGRMNSNLLADVMKSLKVNDPNISTAATTALLENIVKQLAHEVHGKEDPTIRKTFWIHFEIKRTLVISKRGKHRLETQLDIARDQGILGRNKAKPEEPERLFKIKSHERVDGKETEEIGSLLDSGDIMIGTFRVSRDYFRLRPGEPYVYQKNIPYIHPKSGLPASHSAMVIGHGKQPLPLEITSTEHLRLHHVNIQNSEGKRFGVNGFGRVTRGSLRGLYRITLPPPTDQISRGKLHSLSKHACRYQALQHTYRSATIIQL >Et_4A_033102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18569924:18574086:1 gene:Et_4A_033102 transcript:Et_4A_033102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQQQQQVPPAVPRWTPSPPRRPAHAADAVDEDAASELGASARSTGGFPFGSIGRSSFPPPPFPLSLEISASLNGGGVSMAREASLRRADEGVVISWEDLWVSSAGGGKQGGAGGRVPILCGLNGYARPGEVLAIMGPSGCGKSTLLDALAGRLGSNVSQKGDILINGRRQKLAYGTSAYVTQDDVLMNTLTVREAVRYSAALQLPSTMPAAAKRERAEETLREMGLEAAGDTRIGGWAHRGISGGQRRRVSVCMEILTRPALLFLDEPTSGLDSAASFHVVGRIARLARREGMTVVAAVHQPSTEVFGLFHGLCLLAYGRTVFFGPAADANQFFALSGFPCPSLMNPSDHFLRTVNKDFDNDIEEGMGGQKMTTAQAIDALVNSYKSSAHLEKVTRQIAHIRETGGEVVKKEWQPSFLTQTLVLTKRSFVNMYRDLGYYWLRFAIYIALCLCVGTIFYDMGHSFGSIQARGSMLNFVAAFLTFMAIGGFPSFVEDMKVQLAHSSMHCCNIFGRERLNGHYGVLSFAIANTVSAAPYLALISVVPGAMAYYLVGLQSSFGHFAYFALVLFTAMMVVEGLMMIVASVVPDFLMGIITGAGIQGVMMLNGGFFRLPNDLPKPFWRYPMYYISFHKYANQGFYKNEFTGLTFPNNQAGGAATISGANILRDIWQVQMGYSKWVDLAILIGMAVLYRFLFLAIVKLAEKLKPMVKGFRFRNAAPSVHVAEQGSSSP >Et_1B_014323.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:599975:600511:-1 gene:Et_1B_014323 transcript:Et_1B_014323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSGGGESSGAKAFVLKVATHCHCDGCTDKIRGAVKELTRLEGIQSWDQSALESKGELRLLATADPEKLRHRLHKATRKNVDLIFPKPAAADKENKANDKHAAAQTALLLSALQQQQQHSAWANQLLAGGGYGAAAAQAYPYAAYSGAYPAGAWGAYAYPPPPQHGYGGAWHGHGY >Et_3A_023840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13229162:13232305:-1 gene:Et_3A_023840 transcript:Et_3A_023840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALESGKSSTKIVVDGAWDYKGRPSLRASSGGWSAAAMILGVELNERLTTLGIAVNLVTYLTSTMHLGSAASANAVTNFLGTSFLLCLLGGFLADTYLGRYLTIAIFTAVQAAGVIVLTISTAAPGLRPQLPGEPPNGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDELEESERTLMARFFSWFFFLISLGSLLAVTVLVYVQDKVGRPWGYGVCVAAILAGLVVFLAGTAKYRFKKLVGSPLAQIAAVTSAAWRKRAMPMPSDPAMLYDVHDAAAAGEDVKGKQKLPHSKHCRFLERAAIVEEGDEEGMRRRWASCTLTDVEEVKQVVRMLPTWATTVLFWTVYAQMTTFSVSQAQIMDRRIGGFEIPAGSLTVFFVGSILITVPVYDRLFVPLARRCCNKHGGGLSPLQRIFVGLLLALLAMVAAALTERHRRMRMTSSSQANKYSSSSVFLLVPQFFLVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLTTLSLGFFLSSALVSLVHALTSGWLADDLNQGSLDCFYWLLAAISALNLIAFVAAAKGYVYNHKRLGLAAEDDHDQVPVHG >Et_9A_061307.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:9611658:9611777:1 gene:Et_9A_061307 transcript:Et_9A_061307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FSYIKHIATSEKERSPGRFGGKNTEITETGPEQLQNSPV >Et_5A_040505.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:26796012:26796488:-1 gene:Et_5A_040505 transcript:Et_5A_040505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGEDLVREREVPVGEALHEVVAQRGDKNQAVTVGDALQAVARSSAGKKPVDFADAAALQAAVDGDVVAAALRAAETNVKPADERSGGVSTVRLRDVLGAGDNAAAAADKAVTQEDAEKVAAAARSNAGKRGAGTGKAVVEAMAAAADMNQQRMMQQ >Et_5A_040588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1016780:1021102:-1 gene:Et_5A_040588 transcript:Et_5A_040588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRLPLPLLCVLLLVSPAPRWPGASPAAAARAAPRIAPLPTAALRRLYDTSNYGKLQLNNGLALTPQMGWNSWNFFACNINETVIQETADALVSTGLAALGYNYDQLLPDPKTFPSGIKALADYVHGKGLKLGIYSDAGVATCQVRPGSLYHEKDDAALFASWGVDYLKYDNCYNLGIKPEKRYPPMRDALNSTGRQIFYSLCEWGQDDPALWAGKVGNSWRTTDDIVDTWKSMTDIADKNNKWASYAGPGGWNDPDMLEVGNGGMTFAEYRSHFSIWALMKAPLLIGCDVRNMTSETLEILSNKEVIQVNQDPLGVQGRRILGQGKYGCREVWAGPLSGNRLAVALWNRCSETANITMKLPEVGLDGSSAYSVRDLWKQETLSENVIGTFGAQVDVHDCKMYIFTPAVSISSI >Et_2B_019936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15355944:15367518:-1 gene:Et_2B_019936 transcript:Et_2B_019936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGLPPGFRFHPTDEELVNYYLKRKIHGLKIELDIIPEVDLYKCEPWELADKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVLHHGGRAIGMKKTLVYYRGRAPQGVRTDWVMHEYRLDDKDAEDTLPIQDTYALCRVFKKNAICTEVDDLQLQAQCGMALLEGACQQLLPSGSQEYETPSPDVPVGSTSGGADEDTDKDDSWMQFISDDAWCSSTADGTEESTSCELVNYYLKRKVHGLSIELDIIPEVDLYKCEPWELAEKSFLPSRDSEWYFFGPRDRKYPNGCRTNRATREGYWKSTGKDRRVNYQNRSIGMKKTLVYYKGRAPQGLRTSWVMHEYRIEESECDNTMGIQDSYALCRVFKKTVAFSEFEKQGECSTSEGKGNQEKPTNFGDAGQSSGSNEQGKDNSWMQFIADDLWCNKTK >Et_5B_043745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15095793:15099105:-1 gene:Et_5B_043745 transcript:Et_5B_043745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding REQYDQIKLFKVTASIFIDLENLLLPSQQVFQHEQKGAVGSGAASDEQGRGSAYTNSSNSSRNFDNKVEEEQSVVMSSSALNFFDNKVYAFDNFSTLKHRTTLTKNFIDINIIYNDYTCINLSEIMTEQCIAKIGANDPALTVAHHRTEALEDIIALFYDEDMSEDNWQKHGPVHMIGFTSQNQSVECVRRPVGSRIVSGPPNVGLRRFKSGAQDHCAVTLTGTPRCCGMPSESRTRRHL >Et_1A_006409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2210673:2215867:-1 gene:Et_1A_006409 transcript:Et_1A_006409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDGGADEVADLWAMAAELERQFAGYKQRLAERNGRSEHDDGAGVCVVVGNSGGEEEEVAEPGGGDVRGRMYEAYVRRRDERLRQGWRERMERKEAEVKAFWAQLELSGRAGGNGTGERTSAGGGGPKTTDAGAAVAGDEERKIERNDDDRRRSSDAALAPRRISGKKHARTRSFSSSNNTGRTSPDPGRRRAVSQEPPPSEPQAAGDGSKDHPARPAGAGGAAATTKATSRPKTTSLRRKKSVKGHGFAKQTGPKLPRSLPRRPSSGGLEDLGREVAVSNADAAGTTSLACRSSEHALHGQATKTSPPMQFTGDGTGVGNAQAASPDSERSEVVDDAVTDGEPEVNNAEVAKRGDKEVDTSRDDKLGNPNGEITSDSDVESSYVYINKDDVVEKQAVSVPGSLPLPDAVPEFRAKTSEQNADTTTAPPVDSTAADVATTNSNAGEAPARESSDDSSFSGRLGRSAPNSAAPSCSSRDHSIERLLEADAALLRKKREARAEKSALGKTPGSAGSRVSSTGRSPNSKETPRGFKRFLSFGKKNRTREVTVIDCASPSVSSVADDDSGGWQSAGSIKPRMGSSDAASDDTDHGHATSPRVCSLQSLVAASPAKSELAEIVPQLIGLFSHFDRSTVDGADSRQEYGRSIDPPADTGPAIRM >Et_4A_032355.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31499402:31499440:-1 gene:Et_4A_032355 transcript:Et_4A_032355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETAECLPITP >Et_2A_018199.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:17888582:17889559:-1 gene:Et_2A_018199 transcript:Et_2A_018199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSESKHGGPEEEESLMSTLPMREGWWQPFSNMQGYWFTCTTLKSIKLVQDQFQPRPDDVILATYPKCGTTWLKALAFTVINRSYHPVAGDNHPLLTNNPHGLVPYLELPLRQLYPITELEALPSPRLLGTHIPLALLPSGTLTLGCQVVYLCREPKDVFVSFWYHIQKITNPGLVEFDKAFEFFCEGFSFYGPIWEHYLGYWKQSLIEPNKVLFLKYDEMMAAPAKHVKMLAEFLRIPFTEEEERDGVVEEVVKLCSFERLKSLPVNSNGVVHRINEEEIKNSIFFRNAKVGDWVNYLTEEMAQKLNDIMEEKLKGSGLTF >Et_1B_011725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25385699:25386982:-1 gene:Et_1B_011725 transcript:Et_1B_011725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGWSCLPVDLLRQVSSLLTSEQDVLHFRQVCTHWRAFTPLPVAPYRPWVVARRARPIVVGPLGDYSLWLPRGLQRVHVAGPPGLPYCCGTPRGWLALADDEQSPTRIVLWEPRSGTEITLPCLSRVVQIFLSDDPLTTSSTFGWMAVATRVKNEICQNVFFWRPGDAAWSAADEVLSWDRLHSVAFLGGKMYCIDYSQRLAIYDLNLGTKSPPMLLQRTCTARARAAHFVTCNDELLVVVLFNPRHPSFVEVYKPELTPAQCMDLTPDLSLGLGERVTDLDGYSLFLGHGDGFALSAQDSPAIKRNCVKDWVYVFDLESNVLETFPFPQEHKDPANEWWPVHKEDPTKELNWP >Et_7A_052415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7842647:7845605:1 gene:Et_7A_052415 transcript:Et_7A_052415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRDVLLSIAQTPHRLRRRALVTWTPAQEMNEVRDRSGARMRRRLEWYDLVGLGVGGMLGAGVFVTTGRVARDTAGPAVFASYVIAGVSALLSSFCYAEFAVRVPVAGGAFSYLRVTFGEFVGFFGGANILMEYVLSNAAVARSFTDYLASTFGVTEPNAWRIVVNGIAEGYNALDVPAVALIFLLTLCLCYSTKESSMLNMVMTVFHLLFFVFIIGAGLWNGSARNLVRPHGLAPYGVRGVLDGAAIVYFSYIGYDSASTMAEEIRDPARALPVGVAGSVLVVSALYCLMSVALCVMLPYTKISETAPFSSAFRTKVGWRWASSIVGVGACLGIVASLLVAMMGQARYLCVIARARLVPSWLAKVHSSTGTPMNATIFLGFCTASIALFTELQIVFEMISIGTLLVFYLVANALIYHRYVKLGTSRPLHVILFLFLLTLSSLGFSLSRKIDEWCRWGMPLFGALSMAITTVFHCTAQQDIAAPTAEWSVPAMPWPAAASVFLNVFLITTLKARSYQRFGIWSLVITVFYVCYGVHSTYTAEENGIVNAMIHHSNMDIS >Et_7A_053019.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:575785:576156:1 gene:Et_7A_053019 transcript:Et_7A_053019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQARPYSGMFCGGVSARTGPHALPLARIKKIMKRSAGETADGGARMISGEAPVVFSKACELFIAELTRRAWAATLEGKRRTVHKEDVADAVRKTDLFDFLVDVVTGDDAPAGYDDDDHGALD >Et_10B_002957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14664385:14665505:-1 gene:Et_10B_002957 transcript:Et_10B_002957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSWGALTRPPSSAALPPARSFRRHIADPAFHACLRLRRSKCFIPSLLRGYLVEDRDEDLYLVDSTSADATKLPSIGKSSPITSRGGLLLLRRYDPLPRHLPPEPMFSGIYVLLVQDGVGGAVGRPFKVLVVSSIFISARPSSLQIQIFSSDQGTWGPLTDVPTPLTYGPTGLRRQSKHLVIGDTVHWLCYSDKKHCVLKLHGKTKRDHEEATAEFPSRLQFPHCEAGTDPPCDGYSGRKPDRARFEQPKDIELGTVEVHGEVVIENEALLPFANESGLMQGSQLGRLQLEWFGERSGIVLIRTNLRVIGWEGLVRSRLRVSYFWLDLGSKKIIGWSNGSWNVGSNSGVSCNVGCPYETDLTSWVPTLCKTF >Et_8B_060423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14583467:14584808:-1 gene:Et_8B_060423 transcript:Et_8B_060423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDNTAGNTSSGHGHTVCVTGAAGFIASWLVKLLLHKGYTVRGTVRNPDDNVKNAHLRALDGAAERLTLVRADLLDKQSLDAAFRGCEGVFHTASPVTDNPEEMIEPAVNGTMNVINAAADAGTVRRVVFTSSIGAVYMDPRHGPGAEVDETCWSDLEFCKDTKLTQCHMADVQNWYCYGKTVAEQAAWELAKQRRLDLVVVNPSLVLGPMLQSSVNASTWHILKYLDGSVQTYADAAQAYVHVRDVAAAHARVYEAPDARGRYLCAGLTMHRGEVCRVLAKLFPEYPVPRQCKGGAGETVRGCRFSSRRLTEELGVEVTPASLCLYDTVTSLQDKGMLPRPAAAEVDPCNVQ >Et_3A_024178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18118380:18120708:-1 gene:Et_3A_024178 transcript:Et_3A_024178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AVAVATLLILLAASYFLLLSPSSPRPTPAVFTSPSATTFFLGSLDRFLPGRPVPLRLAPPPRLLETSTTRRPGSTGRLGEPSPPRRGRSGCTCRVLVAEQVFLRLAEAVQGLVPRDGQPQVQWEGGSPVHRLIEQHSVDYWLWADLIAPESRTLLKSVARALRQEEADIFYVPFFTTISYFLLEKQECKPLYRIWEHISSFKVGDRSACLATFRMQRSCHSGSLPMVFKSVWRLVKKAIWLLHDMDSTGNWYKPGQVYLEKDVILPYVPKVDLCDSKNSVQQKHIAIFLRKTEEKCSKIHSKLVTELKGVEDIVIEEGSAGGSGKSSSSEWHAQVSLLLESSWGYPIFCSSV >Et_7A_050421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14583212:14584834:1 gene:Et_7A_050421 transcript:Et_7A_050421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADGGAGVLALPDDVQVDILRRLRAHSLARCRCVCAPWRGLVDARGLLPLLLRTHAPPRAFPGFFANTVRRAEPWKPGPAFLPPPTSRAGPAAVDDRLAFLRPHLSSRGGEGVVVRDQCDGLLLCFQPCGGRSGEDAEGFVCNPTTERWARLPPPPTPWPRGRDGLFLAFDPAVSPEYEVFLLPVPPPRRQREEDHVTLGMFVPESFGKQREAEPEPEDEKKMLPLLVFSSANRRWRRRLFAPGRHAPARLYDKVTRRRRRGRTGSSEPDAWPRTWRSAVYRRGTLYALCERRVVVVLRRAESTYDMVRLPADATERFAAEHVLSSLPGDAIFSSTGDDHDAGVMLRYASVDAFRVKVWALREPAADDGDGPLSWALTHDTDLAAHARMLPLLHDAPSNRARCPEEDGGAGTGGKCVWFPDEDAAGGDAHGRRWNWDDAGLFDMEVGEGELLGDAACSPSPFAVLGCHPSPEVVYLASGAFHVVACHLGCPGKVRYMGRVLSLDDGDRLDGVFAYRPCIVDALPPYSW >Et_7B_053951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13717618:13722001:1 gene:Et_7B_053951 transcript:Et_7B_053951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLNPTARRLAVAAYRRLLIPAPAEAPLSCWSTRERYSSSLVDVNGGFDDMLANASTQRFYVVGGKGGVGKTSIAASLAVKFANQGEPTLIASMDPTHSLGDSFEQSMMNDGKIVRINGFDSLFATEIGHVIKKDPSEARSWIHNTLGKMGLGIPADPVINSKMDEILNRLPPGFEEEYAISELIRYVELQGGDKFRRIVLDTASTGHTLKLLSATNWMDKGLSVATTSASARILELLEKLRQQIARVREVLYNPESTEFITVTIPTMMAVTESSRFNASLKKDGAHTRRLVVNQVLPPSTSDCRFCAAKRREEARAFKAIRDDPELGGLKIIQAPLLDLELKGVPALRFLGDSVWK >Et_5B_045485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2910710:2911612:-1 gene:Et_5B_045485 transcript:Et_5B_045485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEQEKSMEVAVEDRAAFIKRATEDQVAWYKSVLEEDYSRLYLTEDLIPYYPYGTEAARALNEFVHRKVKEFKDHAAASIKEYEEKGALVGFPPAGLLPHQKNKHKMPFK >Et_9A_061639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14771973:14774472:1 gene:Et_9A_061639 transcript:Et_9A_061639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILVSASTGAMSSLLRKLAAMLSDEYKLLKDVRDDIKFLKDELEAMHAFLIRMADVEEPDEQAKLRVRMVRELSYDIEDNIDKFMLLVLRESSCKTHGFKKLIDKSKNLINDIKTRHHVAKEVKDIKKQVKEVGERYARYKIDESTSKPRNSVVDARVHAIFKDASDLVGIEGPRDEIVNWLTKDDGASTHKLKSLGKTTIANQVFHKIRASFDCQAFVSISRNPDMTKVLSSILSQISNQENVNFGAGDQELIIKIREFLEDKRRVMTTTRINGVARSCCSSHDLVYQIKPLSAVDSKKLFFKRLFPCEERYPSDFSEASQEILKKCDGLPLAIIAVSGMLATRPTKDQWYRVGRSIGFVFDENSEVEGMRRILSLSYFELPHHLRSCLLYLALFPEDYEIQWQRFVSQDLVELGEIYFHDLINRSLIQPVDIGYDGKARACRVHDTILDFLIYKSKEENFCTLLSNHSLDTHLLDTKARRLSLMSNVDIKLNVSHIRSLSVFGCVEQLPSLSNFKALRILDLHGYRNLENHYLSNVQRLSQLRYLNISDTAINEFPRNIGDLQNLETLDAKFIYFGKLPQTITRLQ >Et_1A_006355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21099508:21107487:-1 gene:Et_1A_006355 transcript:Et_1A_006355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAAAASHTSAAAPAADPHHAHYPHPYAGYPYPYDPYYHQPAPATDASATGAVAGSSSYYYPVAAATPASAAASYEVYSAYHHYYAPPAGAAGAAAGGAGLAGYYFTAGEASYQAAPAPGTQQAATAATGKDAGKHFGFDPQRYAQAAAARASNGMAQPVSTPTMHHAQWNAHFGHPLPKNVLRKNIKKKPKVSQQPAPCEVCKIQCDTLEVLLLHKQGKKHKKNLEKLQDSITPKPIKPPSNTIGPTVAPSAVANGMVPVPAVQPKKKKLSSAATPEDLEVKKRRVLEAGAAQGEVKICNVCNVVVNSQKVYEFHIAGQKHKAMVQKQQAIQFVA >Et_1B_012190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29817848:29818381:1 gene:Et_1B_012190 transcript:Et_1B_012190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNMSATLLLSHSSGAQADASEFPPPVARRAGPVPTPSPPPPAILSAEKDNCPKIRVPAVVDACRSGGLARCATQCIVYKYRGGHCDMMSDHRPADCTCLNCIGEQE >Et_1A_004584.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:20805620:20805667:-1 gene:Et_1A_004584 transcript:Et_1A_004584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CSVWHFPVFINLSGRA >Et_2A_015369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11709079:11715153:-1 gene:Et_2A_015369 transcript:Et_2A_015369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GKRNRGKVSLPPAETLGPHTPRPLLGRPARTRPSSRLPPSPPNPRIFEKHRARGVLPEASGRREAAAAAMGHSDLDRQIEQLKRCEPLTEAEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNQHYPWIRHMTSDGLSFGLSALIENKIFSVHGGLSPTITTLDQANYSLGPIIFIRVIDRKQEVPHDGAMCDLLWSDPEDAVDGWGLSPRGAGFLFGGNVVSSFNHSNNIDYICRAHQLVMEGYKWMFNNKIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFEAAPHESRGVPAKRPAPDYFLSMNCKKVDVGIQLFRESLPFELKKHSAEGFYGCELNCQNGGFCLDNKADAL >Et_1B_011939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27349756:27352435:-1 gene:Et_1B_011939 transcript:Et_1B_011939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSDHAAGSAAAAAAAAAAQSHGSDFDSIDPLFHVIRLLPFSFLRAPRTRLKLPSNLALPSPMTVFSLILLTYFAVVSGLVYDVIVEPPGIGSAQDPATGAVRPVVFLPGRVNGQYIIEGLSSGFMFVLGGVGIILLDLAADRNRPRSVRVSYGGAGAVAVVIAYAMAMLFIRIKIPGYLWSQIHQKDITGSAGGLRARVTIDVP >Et_8B_059505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18641350:18645753:1 gene:Et_8B_059505 transcript:Et_8B_059505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFMSCSTLSSNGIALRLLIEERFRCRGVCAYEMLPLGSPRRRVRHPVVFAKKRRRPKRWQQPWWKPFFSDWNDDEESLAGWREDDELLKEIGSYNEMTENEKFQAWKRKAEAIVELREAQQDAVNAEEQSWEDWISGASTSGGGDWGGDVSMSDQITDDPAEIIRDKSSIETFRDSIDEDYDDMLFEDRVFMYASTNSAKFLALLIVVPWVIDFLVHDYVMMPFLERYVQKVPLAAELLDVRRSQKLHMVKDLKIEKARYRYEVEIGKSPPLSDEEVWSELREKAIELRDEWRLENRKSFANIWSDMVYGIVLFLLICFNQSKVAVLKFTGYKLLNNISDSGKAFLIILVSDILLGYHSEPGWHTLTEVILEHYGFEVDQAAVTFFVCLVPVALDVFIKFWVYKYLPRLSPSVGNILDEYSVFLVDLFDTPVHDSMT >Et_1A_006195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1961615:1966902:-1 gene:Et_1A_006195 transcript:Et_1A_006195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHSSPSSRFASSFLLRLALVLLVWCHASLVATAQQNAPARVRVGVILNKAWLGWPGRQLGIQMALDDYYAARPGSTARVELRFRDSGGDAFGAASAAVDLIKNDQVQAIIGPPTSAEAEFVAYIGNRTHVPVLSYSATSPELSPAQTPFFVRTAVNDSVQAAPIAAVLAEFRWHAAALVYEDSPYGTGILPALSDALQGVGARILDRAAMPVDASDDRLDAALYRFMSMPTRVFVVHMNPVLAARFFRRARRAGMMSKEYAWIATDGVGSFADGLDRDVVDAMEGVVSLRQYVEMTEQVRNFSARFRARLRREHPDADDVTHHDPTVMMLWAYDTAWAIAMAADAAGVSSPAFQTPQGIAAPTDLARLGVSATGEALLDAVRATTFRGLAGNFTLVDGQLQLPAYEIVNIVGKGARTVGFWTPESAGVLSQALDANAAPGLKQILWPGDSSTSPKGWVVSPNGAQLRVYVPVKNGFKQFVDVGNDSTTGTPNVTGYCIEVFDAVMRGMPYPVSYQYVPYNESSESYENIVSQVVNRKADIVVGDVTITASRMAEVDFTMPFTESGWSMLVAVKKDTSTSMWIFLQPLTASLWLASLAFFCFTGFVVWVIEHRINPEFRGTPWQQFGLIFYFAFSTLVFSHKEKLESNLSRFVVIIWVFVVLILTSSYTASLTSMLTVQKLQPTVTDIRELQRRGDFIGYQDGSFIKGTLKKWGFDEAKMRNYSTADQYAEALSKGPANGGVAAVFDEIPYLKLFLSQYCDGYAMAGPVYKTDGFGFVFPMGSPMTPDVSRAVLTLAEGEQMAQIEKKWFGEPGVCPSQGGSAAVGSSNLSFQSFGGLFLITGVVSGLMLLIYLTTFFYRERDELRAAEEAVGSGSGSPSLRRLRAWMRHYDQKDMRSPTFKTWNDESVRTAGHHTQRWMDDTIRGGRDANGVAVQEAREEDAIGMSPFSISTGSEMNASSSPASELGTSFEQRMEAAAAGPVDVQRST >Et_7A_051945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3058220:3060465:1 gene:Et_7A_051945 transcript:Et_7A_051945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAALFAPTANSAVHQRRWRAAAASSSAASGVDLKALQSAIDKKSSDDVKQALDQLRELGWAKRWSSQPYVSRRTTSLRELTTLGIKNAENLAIPSVRNDAAFLFTVVGTTGFLAVLAGQLPGDWGFFVPYLIGSISLIVLAVGSTAPGLLQAGIGAFSTVFPDYQERISRHEAAHFLGLPILGYSLDIGKEHVNLLDERLQKLIYSGQLDQKELDRLAVVSMAGLAAEGLEYDKVVGQSADLFSLQRFINRTKPPLSKDQQQNLTRWAVLFAASLLKNNKAAHEALMSAMSQKASVFECIEAIENVS >Et_8A_056096.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19376868:19378451:1 gene:Et_8A_056096 transcript:Et_8A_056096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLVRWLFSTNHKDIGTLYFIFGAIAGVMGTCFSVLIRMELARPGDQILGGNHQLYNVLITAHAFLMIFFMVMPAMIGGFGNWFVPILIGAPDMAFPRLNNISFWLLPPSLLLLLSSALVEVGSGTGWTVYPPLSGITSHSGGAVDLAIFSLHLSGVSSILGSINFITTIFNMRGPGMTMHRLPLFVWSVLVTAFLLLLSLPVLAGAITMLLTDRNFNTTFFDPAGGGDPILYQHLFWFFGHPEVYILILPGFGIISHIVSTFSRKPVFGYLGMVYAMISIGVLGFLVWAHHMFTVGLDVDTRAYFTAATMIIAVPTGIKIFSWIATMWGGSIQYKTPMLFAVGFIFLFTIGGLTGIVLANSGLDIALHDTYYVVAHFHYVLSMGAVFALFAGFYYWVGKIFGRTYPETLGQIHFWITFFGVNLTFFPMHFLGLSGMPRRIPDYPDAYAGWNALSSFGSYISVVGIRRFFVVVAITSSSGKNKRCAESPWAVEQNPTTLEWLVQSPPAFHTFGELPTIKETTNKQN >Et_1A_008721.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:10699733:10701058:-1 gene:Et_1A_008721 transcript:Et_1A_008721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLQSSGGGGGLMEEEVAEWEETTEPQSAGDAAADARVRILEREVAVSKHTEMKMLESLIHQTKELEQAKIALEEAKLEVATLRHQQGDRSAAAEPAAPAAQTQWSVMDLMFGGVDEEINGLKAKLRASVLAEERTRKAADDLTAALSAVTMEAKQVKAWLSDAQAEAERASAEAARLKGMLQAAEAELWATTEQIDAVTCEWKDAAAAWRAREKALLSRARAAEALESENGNLRRALERAVEEASAAGESLELATAENARLQDAVAEKESALEAARRENEALKASEAAALARAKELDGKLQLAISSAAAAAGRKASDGGAGGKKAVTTTTGLPVLEKWNAGEGKLGASFLDSSRLVAAGRKDRMFASLSNLTELKSAAAAAALDDYGYEFDHFDDVGQYGDPDHAVKHKKRRSMLRKFGDLFRRRSLYKSNLAPVLHHY >Et_2B_019135.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:13010997:13011569:1 gene:Et_2B_019135 transcript:Et_2B_019135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEDGGWRRRIWRIREGGGSCLPSPADLGSLPPLQSACPLEKSPELGQPARDTEVQVLILRLRRQCGRRRPGGRGRPDGRRSQATAAVVGAGGGDDRRVHLGRGRRGRVQHLVTGAPLRRAHRREGPPQQRAPPQCVDTLLHVRHPHIVRLLAFCDQQGVCSALLFSVLFSCALLRLASARATLVCTS >Et_10B_004366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4924573:4925088:1 gene:Et_10B_004366 transcript:Et_10B_004366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLLLVGTLVSPWQTVAAARCQTECGGVEIPYPFGIGRGCYRRNPGFGDDQQDFKVNCSNHDADGVPLRKQPTPMVYGFEVLRIDADRGKLIVRSPCYNATSRSMAGEPDATFYDSTSFRVSGADNVLAVVGCNALASLGSRGQGDADGFSDRRTASREPTTA >Et_8B_060350.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:103666:104439:1 gene:Et_8B_060350 transcript:Et_8B_060350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQQQMMVSQNHHQNQMMNSKESNKVGGSRKCCPLRRSRKGCMKGKGGPENQQCPFRGVRQRTWGKWVAEIREPNRGARLWLGTFATALEAARAYDAAARALYGDCARLNLGAQPRLVAHQINIPPDPSPTAALSSCCSGDDSNSNSNSNSSASPTPPPPQFPASDYYGGGADAMALQQMVDDMVDRAEAEEDFEQYVTRLPKAEDFGLEGFPPEVFDDAGDGGGGIWDVNYAAAAWPEATICTMQTTMPQVVPRV >Et_4A_032273.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27820071:27820421:1 gene:Et_4A_032273 transcript:Et_4A_032273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAVATIPSLAAPASAKKRSGVTCVEGMNAYHGLKGLNKVTMLGVRKTADYSFAKIVASLSPAGRQSRGSAFGAQMNAAAEIFRIAVTMNGLVLVGVAVGFVLLRVEAAVEESD >Et_5B_044965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7810816:7827985:-1 gene:Et_5B_044965 transcript:Et_5B_044965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAAQLLTYLAAVCLLAFAFAPLAAGDPLSQFCGTSGNYTANSTYQANINLLSTTLPKNASASRTLFAKGSVGSVPNIVYALALCGGDTNASACEPCVAGAFLDAQQICAYNKDVTIYYDPCLLRYSNQNFLDSTGNGEDKLLILMNTQNVSAPFKVFDAAVGVLMNATADYAATNSSSRFGTAVEAFQTYDSQNPKLYGLAQCTPDLTPTDCRTCLGGIIQMGPKYFSGKQGGRILGLRCNYRYEQYSFFTSSPLLQLPEPSVVAPAPAPAPAANVTPPTTGGGSTGNKTGKILAIALPIVAAVLAIGILCSCIWRRKRKAPRKPLLPDTTNPEDIHSIDSLIIDLSTLRAATENFDEGNKLGEGGFGAVYKGILPGDQEIAVKRLSQSSRQGIEELKNELVLVAKLQHKNLVRLVGVCLEDHERLLVYEYMPNKSLDTILFNPEKRSELDWAKRFKIVNGISRGLQYLHEDSQVKIIHRDLKASNVLLDYDYNPKISDFGLARLFGSDQTQDITNRVVGTYGYMAPEYAMRGHYSIKSDVFSFGVLILEIVTGRRNGGSYSSEDESADLLSLVWEHWTTGTLMETMDPSLSSLAPRDQMLKCVHIGLLCVQDDPVDRPMMSAVNIMLGSSTVTLQAPSKPAFCIRKYGANSDMYSEVYGASQSASRSPMSLNDVSITELEPSGDDFTQNSTYQSNLGLLSATLPRNASSSPSLFAAGKVGSPPDTVYALTLCRGDANASVCAACAAVAFQDAQQLCAYSKTVTIYYDLCYLRFSNRNFLAGADNDERYFPKISSCMSVNWQINVFCIINSPYIILVSCFCGTDPGRRDILDWEQKYNIILGIAKGILGYMAPEYAFSGNVSPKVDIFSYGILVLEIITGRRNGNSDESNKAVNLLTDVSRTFIPTEIKKTEGTWKLEVEYLMTNMEQVWNCWTMGKALQLINQSSIDGHSRIKVLRCIHIGLLCVQEHPDDRPNISSIVIMLTRSRMKLQPPRQPAFFFGSDSSSVLENVHGNCLYDGPDVMVEDNFSVNDVTNTDPFPSTMGTGARLFLLVLIAALLAPPRADAQPVVSYCGESGNYTANSAYHANIQRLAATLPENTSTSQDLFATATLGAFPDVVYALALCRGDVANATACGSCVAAAFDDARRLCAGSRDAAAYRDACYARYSNINFLATTGNDDLQNYESGQDPPWQVCGTSGNYTANSTYQANIKLLSATLPNNASSSVNLFAKASIGAVPDVVYALALCRGDANATACASCVATAFQDAQQLCAYDKDAAVFYDPCYLRFSNANFLSGTGNGDAITLMNTQNVSSPFPAFDAAVARLLNATTDYAARNSTRRFATGEEGFDVNYPTIYGLTQCTPDMSPADCRSCLADIFGMVPRYLSGKQGGRVIGVRCNFRYEVYSFFSGSPSLRLAAPPAPPPPAPVVNATPTVTPQGRPNNKTGVTLAIALPIVAAVLAVTLVCLCFLRSKRRPSREHEPSYSTNPEDIETIDSLLLDISTLRAATDNFAETNRLGEGGFGAVYKGALPDGQEIAVKRLSQSSGQGIQELKNELVLVAKLQHKNLVRLAGVCLQEHEKLLVYEYLPNRSIDTILFDTERNKQIDWGKRLKIISGTARGLQYLHEDSQLKIIHRDLKASNVLLDSDYTPKISDFGLARLFGGDQSREVTNRVVGTYGYMAPEYAMRGHYSIKSDVFSFGVLILEILTGRRSSGSFSMEQSVDLLSLVWEHWTTGAIVEIIDSSLRGNAPVEQMLKCVHIGLLCVQDNPADRPMMSTVNVMFSSSTVSLPAPLKPLFFIPKSGTYSTVYSESYPTATQSTGQSVAVSPNEVSMTELEPR >Et_2B_022713.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3544112:3544417:-1 gene:Et_2B_022713 transcript:Et_2B_022713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRSRTSKLLAQPRKQPKLTTAFTCPFCNHFGSVECSIDRKHGIAEASCFICKEFYATTAHALTQPVDVYSDWIDACEKENEGVRRRVSSYDDDEGSYLG >Et_9B_064382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14673568:14675569:1 gene:Et_9B_064382 transcript:Et_9B_064382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDADGQSHSTDNAGDRRKQGGVKTMPFILANDFCDRFATIGFNANLITYLTKELHLPLVEASNTLTNFHGTANLTPIVGGLIADSFAGRFWTIAAGSLVYQLGMVSLTVSALLPSLRPPPCSGEASCPRASAWTLLVLHLSLLCTSVGTGGTRPCVMAFGADQFELDRPRHGGAEAAAKTTWSFFNLYFFGVELAKLTAVTAVVYIQENVGWGWGLGVPTIAMLVAVAAFVSGYPLYVKIAPGGSPFTRLAQVAVAAYRKRNVAAPKDPNLLYQDKELDAGISTAGRLLHTNQLTFFDRAATVTDGDLTSSGAPRPWRLSTVHRVEELKTIIRMLPIWAAGILLVTSASHNHSFAIQQARTMDRRVFTARLEIPPASMLIFSNIAMLATLALYDRALVPRLRRLTGHPAGITHLQRTGVGLTISMLSNIVSAVVEGKRKRAAARHGLLDSPGATVPMSVFWMAPQYAIHGVADAFMDVGRMEFLYDQAPESMRSSAAALYWLTMSAGSYMGTLLVTAVHEMTKGDGEWLQDNLNRGKLDYYYWLVVTLQVINVVYFVICAKMYTYKKLEVVGQESSPGEIDEKEGDEKDIELQPLLPSGHTH >Et_1B_012456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32132033:32132335:1 gene:Et_1B_012456 transcript:Et_1B_012456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMARRLAREEGLLVSISLGANAAASRGENKGKMIVKMFPSGRERYLSSELFAQVEECVNMNNAF >Et_4B_039424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1525584:1526975:1 gene:Et_4B_039424 transcript:Et_4B_039424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPRPSAAASSPPRAPRCTAAASAAEPASRSVARTRSCAPRRAPGSSSRTAPGPTAPTSSSAARRSRPWRAPAWPRGSPSSEPSTSSTRALRMCRVPCEYKDKNLSVRVEEKSRAPNELSIRFLYQGGQTDIVAVDVARVGSSNWKFMTRDYGPAWSTSQAPPGPLQFRVVVTGGYDGKWVWADREVLPQRWRAGEVYDTGVQISDIAQEGCFPCDTHDWK >Et_7A_051427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18295206:18295788:-1 gene:Et_7A_051427 transcript:Et_7A_051427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSDDHGAISRHLKVLLPSSHKLRISDEFARQLGGAGGTAMVVSPVGSKVWRVEVGRDGGGAFLGRGWPEFVAAHDIGVGWFLVFRHEGGGMLTVKVFDTSFCIRHFSRPLNGACVYIYHSHSVTEAQLHYTCKSNLVQLRRTGGAGLVGRSSSSRVCLAS >Et_9B_064784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18607565:18612235:1 gene:Et_9B_064784 transcript:Et_9B_064784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSEEPGGDSDRLERALETHSIETVKFLIRAKEELGDPAYKDKLVKTAKEIVKRSANPDDGITIEKSKEILSEVFVGRTRLLNSFHHFLQGRDPFHGEVAHDPDHFLAKVKASPYISDEDYIDLLYTLRNFNKTRTMTVEDIYQKAKRIMRHCPEFIEIFRTYLPPHLSGPLPIEKPCGSPKHSPLGKELLSFITPDPNNCLDAIRMKATNISTNVSQLEYPGDQNCEGIEYPLGKKHTQRIPDSFQMPLKEGDGKLLVAEEYEGDKIDPLPDWSPSKENELPPKVDLSICTPCTPSYCLLLEDRLTLQSSYRTELGKSIFNDTMVSVASGAEDCFKFRTKNQYEENIIKCEDDMFESDMLLQRFRATAEFIGNLQDQVDSDMKIQEHLTPLHRRCIEQLYDDHGLDVLDALSETQNISASLAVLHYRLNQKIEDLSVARLSLHKTCKSIIADNYYRSLDYRSSSFKQLDAKRMSPKALLAEAKGNNMKRLSAGVKHFSSSCNHQSRLASDDAHNSTDVHIQEDIKSIVAYAYHKKYSSEHKPVMIWTKLVQPFVSANCRLPDLNGTVAPTEACERCGLSKTFLKSILSAFLAKNFPLSSKTGECLGNKSTSIDDGCKVEIEEGEFIPCSPNVQMDVMLGPLNRAAYDVAAPSGGGLGFQLPKSSVCDRDNKADVREESREGSNVEMGSLACSKRVTEGCDVKGAKTCCSLVMLCRLHQRLLVSKVLSREASAKALLRDFLTYDLYEEFKGELLKLLNGSTDSSNFEKYCLHFLGPGSYVLFTLDKLIGQVISQLRVICDDDNLLLQFHDKMRGPKLSQDLLHHHNARSSPDCASNGSLEQDPDEEGKSSKLHGTTDKPKQNLFHRRKKRKLDNSPARLSQPGIDDSNS >Et_4B_036572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4150845:4151219:-1 gene:Et_4B_036572 transcript:Et_4B_036572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_8A_056800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1754268:1755888:-1 gene:Et_8A_056800 transcript:Et_8A_056800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAVLLILLVTFLSAYAPLQSEALNVRGRLLKTKTFLSTPFSLHPGSVSNKFYYDIDFPRGHLALKSFNAEVVDENGVPVPLHETYLHHWVVEPYYAAKSSAAADAQGLPKRILNRNSGVCKTTLGQYYGLGSETRHTATWVPDPYGIEIGNPPEGYDEKWLLNVHAIDTRGVKDKLGCTECRCDLYNVTVDEYGRAIGKNYTGGLYCCYDQTQCKVREGFNGELRKLFLRYTVTWLDWSDAIVPVKIYIFDVTDRALLEGNSEPACKVEYQVRECSSENRAKNDCVDVMATKQVLPRGGDIVFGVAHQHSGGIGASLHGQDGRLLCASMATYGKGQEAGNEAGYIVGMSTCYPEPGTVKVSDGEVLTVVSNYSSERQHTGVMGLFYILVAEHEQQQLPVAKKTALCFSFPGVYRHGYPATCEDSIVSV >Et_2A_016525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25572554:25572894:-1 gene:Et_2A_016525 transcript:Et_2A_016525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDSPAAAHIQKLFVEFTVALIPDCIHNHPWLPLAAEYPSLFSHATRPHISVRSVVHGNGLHLALRNRLTTAASLELEALELRLQDLLIH >Et_6A_046191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9079447:9079929:-1 gene:Et_6A_046191 transcript:Et_6A_046191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDDYTGPRPTAARVVTGRSLLPLSPSGDNNAAAGSTPPPSEGPRGDSARVGTAQGFVVRVSEGGVVSHLTLHLVLDTGEHRGSSVTANGRIDMDAKVRESVLVGGTGRFRFARGYMLTRNYDYDLARGGIIEIDVYLQH >Et_5B_044107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2034382:2038532:-1 gene:Et_5B_044107 transcript:Et_5B_044107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRHRGLGPQEEDVTSKTYVIVEEHVTSKAEFEEEYTGLVGRSQKDQDVMGNLKKQRARMTNVLKRFRAELVRAHQYLVAGKRTKLPRASVSPACLRTARPFSVPSISSRTAAATSSDRPGARANPTLSDSTAAFIRCSACSTCGARAATASPRFIRRALIHGSIDRGLPSAVNCALLGN >Et_4B_038700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4041744:4045647:-1 gene:Et_4B_038700 transcript:Et_4B_038700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VQQILKEAQHRWLRPAEICEILKNYRNFRIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKRDGKTVKEAHERLKSGSIDVIHCYYAHGEENENFQRRSYWMLEEDFMHIVLVHYLEVKGGKSSSRIRGHDDMLQAARTDSPLSHLPSQTTEGESSLSGQASEYEETESDIYSGGAGYHPFSWAQQHENGGGPLIGASIMSSYIPAPSVANHQGLPATTANTGFYSHGEDNLPVYHDESGVVAFNGADSQLNFSSLDGVMKPDNRIHQMSLPQVSTPSEQFSLTEGPGIESFTFDEIYSNGLSIKDADGAGTDEGSLWQLSGALGGSFATEDSFQQNERSLEEAINYPLLKTQSSNLSDILKDSFKKSDSFTRWMSKELGEVVDSQITSSSGVNWNSEDADNIIEATSRDPLDQFTVGPVVAQDQLFSILDFAPSWTYAGSKTRVLITGRFLNPNEVTRCKWSCMFGEVEVAADIVADGTLRCYSPSHKPGRVPFYVTCSNRLACSEVREFEFRPSNPQYMDAPSPHGATNKTYLQTRLDKLLSLGQDVKSACAPLVGFGVLYDNMIKGLIFFVSIWTG >Et_8B_058826.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:9723244:9723345:1 gene:Et_8B_058826 transcript:Et_8B_058826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMVASWHIWVHINKIIFDYGVPINNAMEKRF >Et_4B_037939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2534787:2540050:1 gene:Et_4B_037939 transcript:Et_4B_037939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GLAAGRALNPSPSTPPRRRRLRLLVAARCRPLATSESGAQALPPARTYFAARAQLVPRQERHDGLADARGPLADIVGVLLERLGFSMEEPEGVTRREEAPAAAAAPEEAAGDGFQLVAYGKKKKKKAGGQEGGSSTGSDAVRGSGSVRALTKDKAAAPGMKAKVLFHDPSIPRPQEVYKIIVDNYKPFKHVWLEHSEDGARPVHPLEKLPVEQFIDRNVSESEPIKPADLEDTPFTLVEDPQGLKELSKKLKSVTEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIYIGLYLQEHFKDPTKRKVMHGADRDIMWLQRDFHIYVCNLFDTGQASRVLQMERNSLEHLLHHYCGVTAKKEYQSADWRARPLPDEMIKYAREDTHYLLYIYDLMRQRLQRESTSENDLLLEVYKRSNEICLQFYEKEVLTDRSYLHIYGLQEQELNAKQLAVVAALHNWRDGIARQEDESTGYILPNKALLEIAKQMPTDAGHLKRIVKSKYPFVESHVNDIVYTIKKALEYSHLYEGVPEQLKKERLEQSASRYVKASDEMPPLDTDRPADRSLASPSSADVNVASGGGAGIMSEAALFGSLHLEDKTRTISSSETKTSQTLAGMIRPMTKEFLSNNIYQQELKRPTVGALAGNSASGGLSEGFGGFSNEQGGSNVENLNASALPFQHFSGGMLHSANSVPEESLYPISGMHSDDFWIQSTQMGEIMQLGNTAYYPQFAGYSEIESHYEPESMQMSGYLPGFEPGFESINQRGTGTGQTPGSIKETSFQNPLRRQSFPPPSNRYD >Et_5B_043919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17806969:17807727:-1 gene:Et_5B_043919 transcript:Et_5B_043919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEHCTHVKVARLSITAPGTSPNTDGIHITQQKCTGHGLRNRNRARLHYQHRQHGSEARVTNVNVDTAMRHGTTNGARIKTWQGGRGCAKNIVFQNMIVDNVLKPIIIDQNYCDSATPCKKKRSAVEVSSVLFKNIRGTSASKEAIKLRCSTSVPCHGIALHNVNLTLEGDDEDAKSSCVNVE >Et_6A_046543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16819317:16822750:-1 gene:Et_6A_046543 transcript:Et_6A_046543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAHSSHSSVSTADEDGDDDDPSTAAAASIASTARLPAPAPQLPPVRAPPASASKVLEQEPEVLPCRAADSPLSPQPSAAGTPRLLGGPGIKVWDPCHVLLPPPPPSQSPHTQQQPPAVEVVVVSHGECASAMRPDLVGGRWPAAALTARGERQARALAVFLRSRGARLASAYASPLDRARATAALVCRELDFPEEQIQLSDALTEMSQGQWEGCPKSEIYTPEMVNLMESTQPDFSAPSGESLRQVQFRMMQFLNRTILRLPEKVAMGDTLSQQNEPKGFSRQSSSNSVQDGPPWDVLYRLNRHSLQRKKSGKSRLQFVTSVDNETEDDFSPREINHRHVLHEGSLGSSVTTTIAIFSHATPIRCLIAGLLDCNPTTSQRLCIDDSSVTVLEHSLRTGWQIKRMNDTRISGFFRCKMLLCSGRTSRSVYQCTVKMLRFPAGTRPPSC >Et_8B_060260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7996562:8000179:-1 gene:Et_8B_060260 transcript:Et_8B_060260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TLATRADTFVLPGDERSPSSSPSRHPHRTPQDYAAPATGGSSPGTIAAIVTSVGGGPAAVGIVRLSGPDAVAVAGRVFRPVRRAPAPWRPRSHFVEYGLALDADGGVIDEVLVVPMLAPRSYTREDVVELQCHGNDLCLRRVLGACLEAGARLADPGEFTLRAFLNGRLDLAQAENVSRLISAKSAAAADSALAGIQGGFSTLVKSLRSRCIELLTEIEARLDFEDEMPPLDPKMLVSKINSMKLEVQDALDTSNYDKLLQSGLQIAIIGRPNVGKSSLLNAWSRSERAIVTEIAGTTRDVVEANVSIHGIPVTLLDTAGIRETDDIVEKIGVQRSEAAALGADLIIMAISSVDGWTNDDTKLIEHVLINKVDCAPFVSGKQFEQFSGLFRKHVHTCAVTGKGISELESAVIEVRGLEPVPSGGRRWTVNQRQFEQLLRTQEAFKRLESSINEQLPMDFWTIDLREAALALATISGEDISEEVLSSIFSKFCIGK >Et_3B_029772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27886642:27887640:1 gene:Et_3B_029772 transcript:Et_3B_029772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEMASAERQRHFILVHGNCHGAWCWYRVATALSSVGHRVTALDMAACGASPGRAEEVASFEEYSRPLLDAVAALPPGEKAVIVGHSFGGLSLALAMERYPDKVSVAAFVSAAMPAAGKPMTLVLEQFTQGTGPDFYMDCTTGTSNDPENPVETFLLGPEYMARRLYQLSPPEDLTLAKAIVRPSRWFLNDAVMKENVLTADRYGAVRRVYVVAEDDATWSAEFQRRMASWNPGTEVRGLQGADHMPMFSKPREFSELLIDIAENCS >Et_4B_039374.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12213151:12213333:1 gene:Et_4B_039374 transcript:Et_4B_039374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSNNSGHADGCLRWLNDFAEHHNHVLRRMLLHRITTKDPMVTIIYGDYYSAIQEINYP >Et_4B_036210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10970604:10972259:1 gene:Et_4B_036210 transcript:Et_4B_036210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYHHSSVPLSPSHHLAAAETTRTPPPASRLSVPPSCHHAGSAAIPRAAACHCKPTQSRDHDADLLRALQSNGNGSLHREHAPPQALDSCSDDGGRRSSRLRARDCAERIMGLPVEERVKVLDLLQRDDAALTVSDYNDVLSALARAGDHATAVSLFRAMREPDAYSFATAVQCLCRQGAPDEAKRALDEMVARGFRPSVATFSAVVGCLCKRGRVTKAMEVFDAMRALGCEPTIRTYNSLVGGLCYVGRLEEALDLLNKLKGSPKAPDIYTFTIVLDGFCKVGRTEEAIAIFHDAVGMGLSPTIFTYNALLNGHCKEGNPLRAYGLLMEMCANANGNSDGADCPAPDKISFGIVLPALLRAGEVAAAWQTYKRMERAGFEADGRALDTLARGLCRRCAADASALGDAREVFARLVASGHEPVSYTYCLMAQALARGGEVDAAVALLEEMARKGYALRKRAYTDVVRAGRWPDAMAVYAAAVKRGVVVSWKHVGKEALAPAEPLQLGVPQ >Et_2A_017915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7891334:7906170:-1 gene:Et_2A_017915 transcript:Et_2A_017915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRRLLPQGCAHRAVTHALSPRPAPAMLQFGVSQRYSCASLPFSPFRPCDMCGAAFRSNSARQMSSRVSSLVALQLKSSAFFTTGLLGKRSSICFGAADSCGITLKTSNIGNSRSFTTACSKKLRFMVKNKSSFGNSNMRREDASFAHSLFHRSEKRQSTLAACSIVTDEASTSTSNTSTSVADTKETTKRKSRKGSKKEVTEDVKEKDASTKKKRPLSRTRKAAAKTTEEIGTDQENKKVGTSKSKKAVDSSKEKKMNDKSKSKTKASTAPAKAEICMKASDDGSGNDRKPLVPLYPPTAKSVVVVESLTKAKVIQKYLGDMYEVLPSYGHVRDLAGRSRSVRPDDDFSMVWEVPAAAWTHLKSIKVALKGAENLILASDPDREGEAIAWHIKEMLEQQDALGSGVTVARVVFHEITEDAIKTALMSPRYIDMNLVNAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQSAALALVCDRETEIEMFKPQEYWSVQTDFKTPNAERSNGTCIPSRIKHLNSKTLDQLSIASQEEAQAIEKKIHSSQFEVIGVKRSKFHKNPPMPYITSSLQQDAASKLHFTAGHTMKVAQKLYEGISLSSEEATGLITYIRTDGFNISDGAAEDIRSLVNERYGQEYASENIRKYSKKVKNAQEAHEAIRPTSIRRLPSSLMGILDDDSLKLYTLIWKRTMACQMESSRTEMIQVDIGTPEGDMIFHSSASRPDFMGYRAVYEDTEASPSDDAEGDSVHEHNFETLSKLKVKDLVSPVRVHLAQHFTKPPPRYSEGALIKKLEELGIGRPSTYASIMKVLKDRNYVTIKNRAMHPEFRGRMVSAFLLHHFSEVADTSFTANMETEGLMKDYWERFSKYCADASQLDGRKIERMLEEKFGSILFPDLESDSRICPSCSEGTLRFKVSRYGEGYFIGCDRHPKCKYIARTLSEDDDDTEPSEETQRSFTPRLLGAMPDSDEKVFLKQGPYGYYVQVGEDRKGLYPKRASLSDVKDVDSVSIEDAIDLLQYPKNLGKHPDDDHPVLITHSKAGYNVRHRRTLAPVPKNTDPKKMTLEHALKLLSGKNVKKFGRPKGKSVKKEPMEWH >Et_10B_002684.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:7862724:7862924:1 gene:Et_10B_002684 transcript:Et_10B_002684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLLWIGGCGRERECRRQDAKVFDTFVFLVTWRLWKERSKRIFGFQALQPKALAQESSTKQWSGE >Et_1B_012949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4271376:4274760:-1 gene:Et_1B_012949 transcript:Et_1B_012949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKDKKPTREAKEEKKLALRVKRKQLKRKKDRCLDCAVECEAAVKNGAKEDKELAMRKKMTLKKQMKKTKHAKVKSDHAMADGVVELLSDSKDDAAPKLKKKKTKKKAMENSSPVKVHESSFVNDDPETSKLKKRKKKKVKEGNSSSGLNDAEEILHENQDEETQREKVKKSGKAKKTDKHAAPKENNLEMHVEVSTAKVDEIASVDEDCSKGMKKWILEYKQKRPGLKILQERIDEFIVAHEEQQEQERKEREARAAEDGWTVVVHHKGRKKTTDAETGTAVGSVSLAAMQEKMANKKPKEVAPNFYRFQKREAHLSELAMLQSKFEQDKKRIQQLRAQRKFKPY >Et_8B_060376.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:11340061:11340588:-1 gene:Et_8B_060376 transcript:Et_8B_060376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASDDRILELYDLLAHVLSFVPAKEAASTAVLSRRWRVRLLWLQSGTLNLDTQSYDRSYGARDDDDISPDSAAFLAAERRIPAKRWAFSYGACQVLDALHVHHADASKDRHDRGGVDVPALLAASRVEELRVACVPNVHPYSVQAVEASRRRMYYQLCPGSCSVPGRFGCLT >Et_9A_061876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17364409:17366656:-1 gene:Et_9A_061876 transcript:Et_9A_061876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKPPEQSSYHEILRVGRDASPQRVRAAYRSLARQWHPDKHPPATRPLAEAKFKAITEAYEALLDQQENRAVLAAREGVRNKPAEKDRGGGGGGHAAVAKAVRSDKATGAAAAATAPRTPPRPEAAKNVYSAGSSVVRGGGGIGRRAFAEFSSHVVRKAPPLERRLECTLEELCAGCKKEVRYTRDVVTKNGLIAKEEVKKTIRVKPGWRKGTKVTLAGMGDERPGCLAGDAVFVVSEKRHKRFKRLGDDLVLRAQVPLVSALTGWVKLDVVFPEHLTVEQRKGLAEILRGCD >Et_5A_042805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4784444:4786306:1 gene:Et_5A_042805 transcript:Et_5A_042805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSENTPHNASVQEYALSSRLRSIAAAQLRNWYTRSSTPAAAAVKLVRKCFPHTRLKVSFTSMRVRLWAIMCDLFPDLDALREEQRDVLRVRDAMAAILPTTYRDMSGNNVVWQPQVVEDMLHFFKEKIQAEGRQLVFCEVHHEQCAQQINAKYHTNFTQRQVYHKFHKLKGQWKDKDPRAKFINVPIRWYDEMEFIFQDKHATGEFTVLQTPFDHPSTQDETLIGEKNANHSDVDPGLQYDSDCLREKDDGISGSFLASALLVEKLINGRGSSVTMVQFMK >Et_10B_003795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6193764:6197312:-1 gene:Et_10B_003795 transcript:Et_10B_003795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPEAASPSAAPPAAAAVEEAAPAESSPSQAASTRKEELLPVGEKISELNQSQSELLGRLRGLKEDLQSWRSNLDTQELSDIKSVLNNEIEQLRSDFQELRTTLKKQQEDVTLSLKSLGLQDTTENDGSKGSGEEKGLSANLGNLKLDDSSENHEGGKDAKEDNTEATTEDGAADKATKEGSASDE >Et_10A_001765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7890775:7897077:-1 gene:Et_10A_001765 transcript:Et_10A_001765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGAHETPTSTEVAGRDLISELSDDLLLQILSFLPAASEVARTTVLSRRWRHLWPNAVSLRFAVGREPKRYDELDDVDDACALIDAASAVLERRAAGGGPDVEDVEVSFVYSAEDNEYVEALDSVGYDYYHLHGAYVTSAHLATWLSFGASHVTRRFTLAVPVMRKPRGQHVDEEGEVVVAEEAAGLEGQGTALFAELPGSARVHEMSLTLGKAILNVPTTGTFYTLTDLLLSHARLNAGDGDDLRLGHLLSSSCSPRLRRVHLSYISGLSTLRLTASSLWELHLLGLRNLKTLEVNAPGLLALTVEECYHGIKAARISAPLLELLGCGHIGHVPKLQFDGAASLRRIDDLHFYSHRLPRDHDVDNSAALWFLRHCTAVNQLDVDLCLSIWPLENINEEEEMADYEDMMMDVPELPNVISLTIRINSVFGHGHTVGATLAKLITNKHADAYVDTHHKQPQLAPQ >Et_8B_060787.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:7512382:7512798:1 gene:Et_8B_060787 transcript:Et_8B_060787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGVDGSVGMTVVTCGANDCRRDVLSKFRGNGHAVPVAGDRIPHKETAPLPVDAEDAAVAAVEYSDALVVESQREALGGDAIRWIQGGGELELPGDGVEAEISDEGRPPVVGAEAAEEIGVGDEAVPPLADEGGAG >Et_2B_019392.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26793749:26794342:1 gene:Et_2B_019392 transcript:Et_2B_019392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITLLFVAAITCTSFAPSVVVAQQSSCASYTFSSNQVYASCASLPRLGATLHYNYTAATSTVSVAFRAPLGSGNKSGWVAWGLNPNGTGMVGTQAVVAFQHSNGSLVAYPTLLDSYAPSMAPADPKQLGFPVTEVAAEHVAGKKEMVVYATVALPGKGSKFNHVWQQGSAVVGDVPAAHPTSGDNILSTGTVDFSK >Et_6A_046927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22412993:22417805:-1 gene:Et_6A_046927 transcript:Et_6A_046927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVREEVLLHITVKMFGTNTLSVLQFLKNNKMTKESMKLLSLVLVLLGCLQPFVAADFQVEALYEMRMQLSDSRGILENWKDNQMSPCYWININCQDNNVISITLSSSGLSGILSPSIAKLTTLQQLLLDGNSITGKIPEELGNLSSLTTLKLGRNRLSGSIPGSLGRLSNLQNLDLSQNYLSGNIPSSLSNIPSLNDINLADNSLSGEIPKQLLKVAQYNYTGNHLNCGQHLSSCDGGSTRTGGSRNSKLNVVLGSIGGVVTLLVIGILFLLWWQRMRYRPEIYIDVSGQNDHRLEFGQIKRFSLRELQVATDNFSEQNVLGKGGFGEVYKGILPGPDSTKVAVKRLLKVDSPEGEMAFLREVELISIAVHKNILRLIGFCTTPTERLLVYPFMENLSVASRLRDIKLNEPVMDWPTRMNIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMVDIERNTVTTGLRGTMGHIAPEYIKTGRPSVKTDIFGYGVMLLEIVTGERAIAFFPERMEDAGEIMLIDQVKLWMEEGRLHDIVDRNLGGVYNLEELEKITQIALLCTQLEPDRRPTMSEVVQMLEGDFVPEERWEEWQLAELNRRQQHEMRQQRKLFSFSEESLNIQEAIELSAGR >Et_3A_023671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10732838:10737076:1 gene:Et_3A_023671 transcript:Et_3A_023671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETPPRQQQRQPQPAHASPPFPAAPFTPPPRVFTPAAARGTPSPGSGPGPGPAHLSTPPGPPVFSSPLRPAAVPFRTTPASPHPSPFAAGYPSSSSSSVTTASLPTSSAPHFLNGAFTPQGGLASAPPPPQLQGDGLDSPYVQFSAHKVLKQKKLLNAPSLGFGALVSPGREVSPGPEVVERDARRCLNCGAYVNMYCDMMIDSGQWQCVICKKLNGSEGEFRVSSKQDLLQWPELASTTVDYVQVGNRRPGFVPVTDSRVSGPIFILIDECLDEANLQHLQGSLHAFVDSLPSMAKIGIITYGRTVSVYDFSEGAAVSADVLPGNKSPTHESLKELIYGTGVYLSPIHASLPVAHTIFSSLRPYQLSVPEVSRDRCIGAAVEVALGIIQGPSVELARGIIKRSGGNCRILVCAGGPSTFGPGSVPHSVQHPNYAYLEKTAMKWMESLGHEAQRHSTVVDILCAGTCPVRVPVLQPLAKCSGGVLLLHDDFGEAFGVNLQRAATRAAGSHGLFEIRCSDTMLVTQVIGPGEEASPDSHESFKHDSSFCIQMHSVEETQSFSVSMETKSDIKNNFVYFQFAVRYSNIYQAEITRVITMRLQTVDGLSAYLASVQEDVASVIIGKRTVLRARTASGAIDMRLSIDERVKDIAFKFGSQAPKSKLYRFPKELASLPECLFHLKRGPLLGSIIGHEDERSVLRNLFLNASFDLSLRMMAPRCIMHREGGTFEELPAYDLAMQSNAAVVLDHGTDIFIWLGAELAAQEGQSAAAVAACRTLAEELSEQRFPAPRILSFKEGSSQARYFVSRLIPAHKDPTYEQESRFPQLRTLTPEQRARLKSSFIHFDDHSFCEWMRSLKLVPPEPS >Et_6A_046130.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:27021241:27021282:1 gene:Et_6A_046130 transcript:Et_6A_046130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCVSMLVRWKD >Et_9A_060894.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:13422219:13422515:1 gene:Et_9A_060894 transcript:Et_9A_060894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRATALMVIMCLVILGLNVNLATAAECSCCLSARAKACCFACIAASGSDTVCKNTCCFPCTLTNSGEFTKLILFNAFVIVLSIRDIVVGIQLVVA >Et_1B_013154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5986132:5988940:-1 gene:Et_1B_013154 transcript:Et_1B_013154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEGEEVVVSSPLMMPAAGSTRGAAAGMQIGVPTDVRHVSHVTFDRFSGFLGLPADLEPEVPCPVPSASVSVFGVSPTSMQCSYDRRGNSVPTILLTMQRKLYSLGGLQAEGIFRINADNSQELYVRDQLNRGVVPEGVDLHCLAGLIKAWFRELPSGVLDSLTPEQVMHCNTEEECGRLASMLPPVEAALLDWAINLMADVVVNENFNKMNARNIAMVFAPNMTKMADPLTALIHAVQVMNFLKTLILKTVNEREEATAAARAFQSDSDSPSDKDEPHTIQHLDVPFICSSQRNADSPVIDGAKLDQFLFRIEEGLHHDMQGGTGGPKNYDSIRGYEKSNGGVSSLDTDLGTQIHSSANEFSNDNEDGLFDKFKFRKGVGRLCRHPVLQLSRSMKKSDETGQACVPLLESGGSAADPAYKKLL >Et_3B_029077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21968331:21972468:-1 gene:Et_3B_029077 transcript:Et_3B_029077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKPSASAAADGGGGASTSRSAQTARSTPLQVVHILGNFMRIWSVYSLYNHLSSGGDSIVGFIFSCLVPASIIFLVLQKPWKGRPLHNSQVIPSVVNGGILALYFVLWGKGLLACGPLVAVLAEYAGAVLGVLSAALYGRKIGGLAAMLVAYYLLSNGWATRTYSPLYSFGSEQENTAKTIGMKEMVVPISAGILSALRRVLARRVSLKTQLKRRLHAITVASATCFLFPFAMWDTILGSASDSIVKLQFPSWAYLSSVLFGMVLIFYVDNFAEEKLHLVFSSPRHLMVSTGCIIVLEILYKMDFSLLGFLLCSVILGFGIFEATSLERSKKSPLEAHELSNGGFHNELPVSALAS >Et_10A_001896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1027826:1030252:-1 gene:Et_10A_001896 transcript:Et_10A_001896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILNYSGVIVVFTPSAYRLRSGHYRRGVTETSALDHACASLGDPYVTQDLCTSVLCADPSEPCRTARDAPAVAAHAARLAVRNATATKGCVEATLAAHAGNATVAKGIASCVQLYAGAVATLQWVAKFVAEGRYRDAMDVLHAKPVIGVPGMCDGTMAAGDMEALPRENDAFIYMAMVAYAIIADMVGHQ >Et_3B_031731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9183709:9188040:-1 gene:Et_3B_031731 transcript:Et_3B_031731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVLRSDESRSSGFARTMDISAVTTQQGTEQMVRGVVAVSEDIPKSVIDGLGKDGFTMVYMFMQCLILNLWRGEHVAAVLLPETLIDKRRSLFFNSRSPMNPSSRTKSAFHQFHGCDGDGCLSPIETWPLHLVLRHGARTRLCCSCLLLFHCAYYCCYILLLVPDAMPSLPLLRC >Et_6B_050092.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:620224:621063:1 gene:Et_6B_050092 transcript:Et_6B_050092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPTPPARPDQEAAAAAATETTPLQQQHPSFNRPPAPPPGTYIVHVPKDQVLRVPPPDRARQYRKLAARPARRRRLRRACCLSCGALLVLLLLAAALAGAAYLVFRPRAPSFSVASLTIRGLDNNLTQSSSSFSPEIAAAVRADNGANKKVAVAYRGGGELAVAYSGVRLAAGPWPAFRQAPRNVTVFSASLRGEGVRLTEEQRRQLAAEQAAGAVPMAVEARVPVRLRFGKTVLRTWTVDVKATCDVAVDRLAGPATATNRGCRVRVKPLWWWW >Et_8B_059614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:186868:189820:1 gene:Et_8B_059614 transcript:Et_8B_059614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRDFRSHRAALFDGIEEGGIRAPAYSSCEIHEHENDQAIDSLHDRVSVLKRLTGDIHEEVENHNRMLDRMGNDMDASRGFLSGTVDKFKMVFETKSSRRMASMVASFIAVFLLIYYLTK >Et_9B_064344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14196244:14197971:1 gene:Et_9B_064344 transcript:Et_9B_064344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKTEAGRVHRLELGTARNLQAHGLKNTNNTATALDESKLYLIFCEESECQDPIRGCYCCWNQQPEPLCYDDMDTCRTACPVWNAFASTGIVPQQLPPNTYRRLKQWWTDMIGPAGTQNAQAIEQAVAYIAWNIWKERCRRVYDNKSMTVTQLVPTIKHDIQAWHTTHFIWEE >Et_9B_064899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19665128:19665438:-1 gene:Et_9B_064899 transcript:Et_9B_064899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFIEKWLKNGAHNCSLKLFFYLGWGLWRIRNEMAIQKKFCRDPANILRKIFSFMQKWAILLKGLEKEALESRMAKLKRWFQTQRSSRPRSRIPEEDFM >Et_9A_062551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2406660:2407003:1 gene:Et_9A_062551 transcript:Et_9A_062551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWVRTITTPFRKMLNPQREQHGKKGSRHRRQSSGIPGGDLERTTSSQLYGEVMACTYEDVQVMWNMLDKARICSAAAS >Et_1A_008902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1797491:1800396:-1 gene:Et_1A_008902 transcript:Et_1A_008902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPPGSRKRRAEPAAAVRVPPPPAAPVKEELEEGFIDGNGPLLKRVKAVPQQPQPPLDMTCDVLDEPSPLGLRLKKSSSFLDLVQMALSQEKSAAGLCVVDNSISETFKKKEVKSAAIISGERLKASNFPANVLKIGTWEYISHYEGDLVAKCYFAKHKLVWEVLDDGLKSKIEIQWSDITALKIICPENEQGTLDLVLARPPLFFKETDPQPRKHTLWQAASDFTNGQASINRRHTLQCPSTLLSKNLEKLIQCDRRLYELSQQPEIILETPYFEPRHPIFENPNEYKDCHGFNNLKYEREKSLPKFSNHVSPCAFSSPSNEVGQPEFPVHRVNIGSCAADLQASIPQEPNDPNWQNQLKKPGLRASLYVDDLADCMVEQRATSNLALANNEEQSNEVLEDLVQYLFSDTQGLPASDAKFFSRVNSFYSLLEDNSVSSTMTKPEGKASTNIGVVELDSDSSDEELKSPARKPTKLTEPPIISRNDSFGDMLLNLPRIASIPQFLFDIPEDFDK >Et_10B_003689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4976246:4983941:-1 gene:Et_10B_003689 transcript:Et_10B_003689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGWERCGGNGATEIQRRWGFQFQDGRLEITICGVCRLPCSLNFCKQVLQIRSAAASTAGKHVEAGAQVPPSTFLGKGSNTLSLSSIMAHKTTGPSYKKTMRVNQGQFKPGTNRSLTWQKPVSSDNLVITFSDDDSGPDSEKAKQDRVGDMKASSEGTQKTGNSMQTRITREEASRQKTHNAKIGSTNFPAFPLSLRNAGAGRGSGATFIRKELPLRQVTPLKAKQKDGNGGVLNSADHRLESLRHKIAARENELKGQKRPMVPAATKNADICNDQARLLSEKKGLEASNSGECSHLDNLLDHDTRPHKRLKLNQQHSYTQVHSELVTVAPVNSSSGVNNMKSSEVLNHFDNGIHMNCNSDETERRVTTETSDQIQQGDATKTLSSAKIHQKSTEGAGNHDEVNLHGRPAAAPFASGQSIPADTSALVPVTSSQVRQRVPPVVTSTVSNQRPHLEPGEENADPSKCNGQIGVEGRNSRLFSLLEMEELQERELEEAQEHRRKCEVEEREALRAYRRAQRALLEANERCAILCRKRENCSAQVHGLLAGNSSLVQSLSIQNAGEGLAMPSLLSSHIHADSQMLENQGGRYSLYPEEPPQQPVDKHEAWPHSHDDLTTCSADPNFVSAANDNNLPSNYMEDYLFPARQARSECAMDVENHRDETIHVYAQENRQTSGDSAQDYELLEASLRSRLVERFGKKPCLKSTGESNEERAVGKVPGTERDKGHANIGLQLQEAEQMTTLEGTVDPGSDGADCTEKSGDLSNSSSGLSMGNCEPEDNISSLRELYMSLSMSSPNFPSSAPQNAARHIKWAFPGFSKEFSHYGNDCLTDNATFEATECVQDSVRENVNMLSATQTDNATTHSGIDPFWPFCMFELRGKCNDEECQWQHAVQHSWRKSKHTKHATTSVPGRIPYGLLQHILPVPAYRVGSNLIKADLNLMQSVLANSLWQYWQRGFCASFPLPLSVQRVLPSGAPFLQAGDGWIADSDSNRQLLNFRMLDSRKNKILQGAVDVELFLEAALVEYSGKAQKPDRVKALLLLARSIEADPSTVILWVFYLHIYYQKDEGLGKDDMFSHAVQHNVYSYELWLMYINSRLRFDDRLDAYNDALSMLSQMTADTDNDLKERSASILDIFLQMIYFLCMSGNVEKAISRIFGILPTATHDNTGDKLLADVISCLTMSDRCIFWVSCLYVSIYRKLPEEITNQLECQKVLPHALVWSPIEPSLDNRSQIIDLLTYAADKMALDISESVKNGDPSYLMLSQFLTVNHISCLAVLEGFKSSADMLVKYMEEYPMCPQILLFSARLDRKYVTCPGLKGFDELLSDWPREVQGIQYLWNQYIEHVLSDNIELAEKLLACWYEKYGEDHNVQSNAAVGAVEVSTEVSEYTSLASADEVGSDPSTSDDQVYGLLNLSLYKILENNIKEALVAVDKALKSAHEECYEHCLREHAAIHILEKSSAVDAFSFIIGYLADHRNLPTRELLSRRFCENVKKNSLKQLIDDTIGPPSVDTSLINSVLEVCFGPSLLPEKIGKVKYLVDFVESVMEVLPANYRLTLAVGRFVAKHYTGADPTSMGTRFWAGSVLINSIFRAVPVAPELVWLEAADLLEKLHAAEMVKRFHQQATSVYPFSFKLWHADLKSCKASGSNTESIMESARQRGIELNLNS >Et_6A_045878.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:23230861:23231175:1 gene:Et_6A_045878 transcript:Et_6A_045878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVGYDDLPRYLKACLLYLSAFPENYPTNCDRAVRCWIAEGLIWGNHGRTLEELGERYIKELLNRGMIKPACGSSYEGEPGDFIINDTILDATGLFYFVMRIL >Et_9B_066130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4565484:4566459:1 gene:Et_9B_066130 transcript:Et_9B_066130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGKVGANENTTVHSIHHPIPAAYDDAWAAIRWAASLSDEWLALYADPARVFLAGESAGANIVHNVAARAAAAGVDVVAGDGIGIDIAGMIVLHPLLWGSERMPSEANWRGGRGFPPERVDRLWPFLTAGAAGNDDPRINPPPAVVASLPSWRALVAVADVDVMG >Et_5B_044345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2343179:2346855:1 gene:Et_5B_044345 transcript:Et_5B_044345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVLDCLHPRRRRRHGGAGAAPSKPRVTVRRLGSRDKAAAPCSSGSTNGAGGGKAVTIRVATFNAAMFSMAPAVSSETAAGGGAGAERGGGGVGALPPGSPGGVAARRPKGILKAQASLARSPSKARVCINVQDNEISLERGRLWRGPGKKPPQPQSPSRRQTLRAEAADGALRGRRSVEEVLREAGADIIGLQNVRAEEERGMRPLSDLAEGLGMRYVFAESWAPEYGNAVLSRWPIKRWKVHRVADPSDFRNVLRATIEVPEAGEINFHCTHLDHLDEGWRMKQVDAILRSSDGPHILAGGLNALDGTDYSAERWADIVKYYEEIGKPTPKVEVMKYLKGKQYVDAKDFAGECEAVVVVAKGQDVQGTCKYGTRVDYILASPNSPYKFMPGSYTVISSKGTSDHHIVRVDVTICPIKETDAEMGNRKQRVVKMNKKGSRKGIWGTR >Et_2A_016375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2485233:2487346:-1 gene:Et_2A_016375 transcript:Et_2A_016375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSIVLFGDSITEEAFGEGGWGSHLANHYSRSADVVLRGYSGYNTRWAARVAERAVASIPGPVRAVTVFFGANDAALPDRASKLQHVPVAEYRENLRAICALLKKRWPSVVVILISPPPVDEDGRLRYPYAHDSSGLPERTNATTGRYARACVDVARRCGLRAIDIWSRMQKFPGWEKTFLRDGLHLTPSGNRVLFEEVVFALKDANLGLEALPADLPLFADIDPENPGKAFEESD >Et_4A_034664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5249579:5251040:-1 gene:Et_4A_034664 transcript:Et_4A_034664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILLLLVAIAAVVSTVAAIPGGWGPISDINDPHIQELGSWAVRYYMEHINDAMITFVKVVSGEEQLVSGMNYRLVINAVDAAGKYFMYNAVVYEQSWTKTRKLFIFGTNFYQSNLSPPTPAMRTTILFLAIAAVVSTVVAIPGGWGPIPAAEISDLHIQELGSWAVAEHLKVANERLRFVRVVSGEAQVVAGMNYRLVIDALNLLAGKDFMYNAVVYEQSWTNTRELVNFTQATF >Et_8B_059810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:349113:350912:1 gene:Et_8B_059810 transcript:Et_8B_059810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPMVTMQQITSSLHAVHGILEDILSRIYTERAVRIGEGLNSDAKDGLKFLAFEAHTIHELAREVDFKLSGCMEMQRKEKSRMESRVSSLVKENQDTHSMLKIAIAEKEATENSLRILKGDSEQGRSAILQIAEKGLQKVGFGFIMEVINGEPVSDEMSTSHVSATSSGKETEEVVSLASFVENTIKTLYHDISDLRQTLDESRSVCDHFQLLATERAQKMVKYESHIKDLEERERVLVHSVEELTLRMKAVEQDADRWREACELEVEAGKSSMKELNQEITLLREELRRVKAELKAANSKLQLKEKLTASAMAAQAAADACLKLADSRSAGLQQRIEELTRQIEREDLNGRKEKESTRRRVRYVCWPWQRLQVISASSRAKTWFIDQNGRLLPRTEALLQTRI >Et_1A_008614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9141022:9143767:-1 gene:Et_1A_008614 transcript:Et_1A_008614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTGKLQRMASTACFVIVSKNDIPIYDAEVGSAPKKEELAYQRQFILHAALDVVQDLAWTTNTMFLKSVDRFNDLVVSVYVTAVICFLNSASHTRFMLLHDSRSEDGIKSFFQEVHELYIKIFLNPLYLPGSRITSSHFDTKVRALARRYL >Et_1B_011506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2341905:2347043:-1 gene:Et_1B_011506 transcript:Et_1B_011506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTRTVGIGMDYSPSSKAAARWAADHLLKDGDRVVLVHVLSKGADASHKELWKNTGSPLIPLSEFMEMNAQARYGVNPDKEVLEILQAEAKSKKVEVLAKIYWGDAREKLCEAVDDLKVDTFVLGCRGLGPLKRALLGSVSNYVVNNATCPVTVVAIKIEILSSHCRRTTMVALNTTNGDNAIRTLRHGIRHQKLQLPDLVPAQLHAG >Et_8B_059132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14140473:14152582:-1 gene:Et_8B_059132 transcript:Et_8B_059132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRECELRDERATAATQEWRARVRDRALEAADLCRRANEQLTVAAGCLAQPMRAAEAPGDRVRAVAAEDLLVDASSNLTVAASLMAAAELVALRGVAPTPTEPLRSIKQIHVTTLNALSTAMGQLRPARIRADNACLAMERGRGHLWTAYRLLDFERLPGVDDLLDAQRAAAHRELSNAQRLAAECASLARTAYHRLPSNHSKTQSQGEREMAAEEEWRARVRDRASEAADRFGLANLRLVFAAGHIAPPMHAAAAVRIGAPITDALIVDGSSNLAVAASLMVAAKLVALRGAAATPTEPLRSIDEISLQAEPDLRTALGRLRIATTRASDACLAVERGRGHLWTALQLLDFELLPGVDGFLDAERAAALHELNAAQALTVECATLARGAYHLLRTAQRSSNHSKTQSQSEREMAAAEEWRARVRDRASEAAGLCDHARGLLVVAAGRLAQPMRAADAPVDRVRARVTEDLLVDASGNLAVAASLTAAAKLVALRGAAATPAQPLRSIEEINLQAEPNLRLAMDMLRAATTRAGRACLALERGRGHLWTAFRLLDFERLPGVDGFLDAKRAAAHHELDTARTLAWESVTLARAAHQLLASERAREREREREMAATEEWRSCVRDRVLEADGCCGHARGLLTVAVGRLVQPMRAAEAPFDLLRARVTEDLLVDASSNLALAASLMEAAKLVALRGVAVNPVDPLVRLQQISNLDEPDLRLALGRLRGATTRAGNACLAVERGRGHLLTASQLLDFEHLPGVDGFLDAERAAAHHELDAAREVAVECATLTRAAYNRLR >Et_2B_021512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30145478:30147254:-1 gene:Et_2B_021512 transcript:Et_2B_021512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAAAAIATTSLTFPLPFSSTHVHRPRRTSFLPVAASKRRNDDEEEPTSLAPYGLSISPLSKNAAMGLVLGAATGSGWTTGSGMEGPPAASKAGGTDGPQVSTLPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNIFHKLVDNLNLFHEMKCYVGPDFRYEGDAPFNYFDNNEDEDGGNIFRP >Et_1A_008931.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:19824273:19825229:1 gene:Et_1A_008931 transcript:Et_1A_008931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDSVVEYDFPPFLRQFKSGRVERYCGVGGGGTVPAGADPAGTGVSSRDAVINPSTGLWARLFLPRGDVRLPVVVYYHGGAFVVGSTAWRTMHVYLTRLAADANVLVVSPEYRLAPEHPLPAAFDDAWEALQWVASHAAAAAATTSKDRDPWLAEHGDLSRVFLGGASAGATIAHNMAARAGAVRHQLPSFEGLLVVHPFFAGEARISGAEPEDRAKAGAFWRFRCPGTPGLDDPLCNPFAEWRGVACGRVLVCVAGEDALRDRGVWYARGLAASGYPGEVALHESAGVGHVFYYADPDCEQARAMHARVLDFLRHA >Et_6B_048460.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:7177029:7177295:-1 gene:Et_6B_048460 transcript:Et_6B_048460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILPPATARRWAFRWPPPTAPLDALPLRRGRASHPRLRKTVRQGRPRRGADDLGGPVPAPGAVPRRRPVSRGRGLPVRRPRRVRPQF >Et_3A_024015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16031205:16040220:-1 gene:Et_3A_024015 transcript:Et_3A_024015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVHKAKSSCTFESDMWSLGAVMYEVITGSPLIKGRDPAGMITCMRSLFGTLSNEASTNLEVADGPQANPKWATHGALIRCQFSPSFGSVVKGIHRSTGVCVALKSLHDNCLSRFWHEVIIAVQCPGSSGIVQFFGVAYDINRNTLYMVMELGGTSLEDAIRTGHAHGKAPLEYDHEKGIIHRDLKPSNILIDSDSDLVVGKICDFSLATYYDEAVTTCCGIPHGTYGYMAPEVYELKSSCTFESYMWSLGAVIRDSTGTITRMRSLFGFPGKGADLETTAHDEPNPADEEKNLVQTTVDSSDEETKTHADREREIRHAIFHHRFSIQCSKLVAGLLRFDPFERLSASEALDMDWLANHRIA >Et_5B_044203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21431611:21433776:-1 gene:Et_5B_044203 transcript:Et_5B_044203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRERDHVTGAAPRSSGDESSGIELSLRLTTGSGSPPPPPPPAAAAAEQQEAAARRSMTIFYNGRVCAVVVTDIQAREIISMANQQLRRDEGHLQDRAAGAGATARRGVQVMRPAAAASPAGCQRQGLATTAAPAPAPTDQAGLSMKRSLQMFLQKREARRAAAVAPPYAGGGRQAQAMRH >Et_7B_055418.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:10119581:10121230:-1 gene:Et_7B_055418 transcript:Et_7B_055418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRAYYFQAAEAAAASVHADDDDDDDVTEVTPKAVLLKLELQAGMAVDAPASRKGSGLSPFGVGNAEDGEIVAAEVSGGRDLTCPECGKTFLSGRAMYGHLRSHPERGYKGATRPATAGAGAAVDGDRRPRKVPRKEQLAESPADGPWSKWPVTAKRGRASCTPSGVGSASVPAAAPSSSSWCSEEEEAAMILLEMAAGSCGATAASEAQHPRRLVRTTRDAGHQMPDVEPPMLLDDDDNVAQNQTPPELLQLMPPDHVARAVAAHQTPEDDVSQQIVRLELSPQVIVETQTSPEVKELLEIPTEAVLVVVHGKSTPASQQAIVAAGSGAGAAKKHKKRRVLPDPERTPPPPGTAASPDAAADAKPRVVRRIPSPASDKKHECPTCRKSFPTHQALGGHMSSHAKDAKHAARRDDDHPAVVVQAMRNILAHHKQKGGGASASAECGVAGAGKDCTVVLDDAGQEGAVVGAVLDHHEFEPPAPVPVPQSPPEHVCPDCNKTFPSGQALGGHKRKHWYPEKKHAKATLAAATQNFDLNELPSEGDEENQP >Et_2B_019622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11245765:11246541:1 gene:Et_2B_019622 transcript:Et_2B_019622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFIRTNMRRDSVADGGIYMGALFFGVLVMMVNGYSELALTVIKLPLFFKQRDLLLYPAWAYSIPSWIVKAPLTFMEAGGYVFLTYYVTGFDPNVGRSADALTVSVSNRFFKQYLIMIVINQMAASLFRFMGGVARNMIVANVFSTLFLLVIMVLGGFILAKDNIKKWWIWGYWISPMMYAQNAISVNEMLGHSWDKNVEYQYQGNLGC >Et_4B_037393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19306101:19310853:-1 gene:Et_4B_037393 transcript:Et_4B_037393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IGTRSQLTAPFNPTFIRCANKLLEPKRDLLARSLTCPLCNRLLRDATTISECLHTFCRKCIYEKFNDEEAECCPVCNRNLGCTPVEKLRPDHSLQDVRSKLFPFKRKKIKAEEFPSPNSPPTKRKERSISSLVVNTPKVQPTALTGRRTRAVARKVAAALRGLGPVIEDPVKKEIDGCDSEPQISAVPANPGKAPQTRRQISSNAEASNHSSNKNVEDDSEELADKGELWQPLNCLVEAANRTKSFRSSPQNSVKGEHNGSPSSTYANKTKAREHVQKSKTEDDKPPIMLKKRAGPVRRRRQLQSPAEAKPDAAATQNEKKFSSIWFSLVAFDQQGDPPLPQIPSHYLRIKDGNVPASSIQKYLMQKLSLPSDSEVEIKCCEQPVNPTQPLCKLVELWLKGRSAQTTQATIGSSAKEFVMVLTYGRPKAPAL >Et_9B_064852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19163849:19166681:-1 gene:Et_9B_064852 transcript:Et_9B_064852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLPASSESLSEETQQQEAGQIISEVIDSATSSRGTKEQEIRKGKAALGSTELMREELVQSAISFLKHPKVVTSSDIQRRSFLENKGLSVDEINEAFRRLQSPSSDTVRSDAFTSEERVEPETEAVAPVLPRHPKSYMEIMEMIQRGERPDDIQDINDDPPNPDQPISKPRMAPKPKPWEKQHEENGTWDLKSLSSDFNELRSEVQNTNQSSESASGSNQGDSLLHAEVVEGSESPTDEVAFSK >Et_1B_012717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34650935:34652866:-1 gene:Et_1B_012717 transcript:Et_1B_012717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVMLGLDAAGKTTILYRLHMGEVLSTVPTIYVVDSLDRERIGDARQEFQTIIKDPLMANSIILVFANKQDLRGAMSPGEVSEGLGLHDLRNRIWHIQGTCALRGEGLYDGLDWLASTLKQLQDTGHATSVAGPSI >Et_1B_012202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29982306:29985350:1 gene:Et_1B_012202 transcript:Et_1B_012202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTPPKPAASPTSPPLLWDWGDAAAPASGSSGEAPARRGGKEREGKRAKGEDGGGGEVRCQVEGCGLDLGGAKEYHRKHRVCEAHTKCPRVVVAGQERRFCQQCSRFHALSEFDQKKRSCRRRLSDHNARRRKPQPDAFAFASARLPSSLFDDRRQISFVWNKAPLSHVRPFTSPWDSSSDFKLPHAKEIRETSAKLGTIAGQVHMEKSHLSSAIPSLTHGKDELLPVKGPDTSVTASKFDGAQDLQRALSLLSAGSCGFPDPVQQASCLIQFSGTSENSGDLHSSHGGSSALASCADEQHITPQSQLVRFTMDTGSNGNDSTFFGLNQMN >Et_3A_026816.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2250116:2250880:1 gene:Et_3A_026816 transcript:Et_3A_026816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRFVGLDPTWAHLPAPTPIPAGHCHSTSSLLFPAAAFENEALTSALRASMSPTAAAASSSYPGTPATPSSSSTSSASELLSGGHVDAPGPASMAGRPPAREGPKGGRVTKRKARPARRPAPTYIVTDPANFRRMVQEVTGFPVASAGVRVHDFAASAAPPSWPTAAPACALPTLDTSAFLLDHAAVALAPVKEEEKSSSGGASPVTAGASAAAAAEPEEDDCSLLLNLEAMESCAPAFVSGDFPTLESWGIM >Et_2B_022111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9290737:9294441:1 gene:Et_2B_022111 transcript:Et_2B_022111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLTSGASGFRFSRAEVAEMEVLVRRFDGRRITSAAIFDELARRFTASREHEGKPGVQPKQVSRLSTSIFKNFEIPRSRMSFPSQSIPFWNVRGLNAAVRRSVIRLAVAEHHTFLLCLEETQLCFIVCYHPVNIRIRFGPFLFARFRNLWGNIMGEGSASITAKLWLICSCGPCTFCYREPFIYSARVMPRPLPLQYSSRFFPEAIPDPDLDVMQVRNWFHNRHYHREPRILKDGKKDTLEEMPLLGYQQHTAGFAASHVGSSSDTSFSFNVVQKTGNNHKEDSQFNFEAKSKRDGAWYTVYTFLSHRKLESGDQEVMVRFCGFGAMEDEWVDLHQCVRRRSLPCRATDCVAVVCGDSVLCLQEGKEQALNFDACVLDVQRRRHDLRGCRCRFLSCYAHDKTQEIVPSIKLSRRPESEYRLQPHAAGVDFANLCAFVKRG >Et_4B_038492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29445659:29446839:-1 gene:Et_4B_038492 transcript:Et_4B_038492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSALLPLLVAAAAFAAAAAEPASTLSGPARPVTVPLGDRGHAVDLPDTDPRVQRRVTGWAPEQIAVALDAAPTSAWVSWITGAFQMGGAVEPLDPATVRSVVRYGLAADSLVHEAAGESLVYSQLYPFEGLQNYTSGIIHHVRLQGLRPGTKYYYQCGDPSIPDAMSDVHAFRTMPAVGPTSYPGRIAVVGDLGLTYNTTSTVEHMVRNKPDLVLLVGDVTYANLYLTNGTGTDCYSCSFANSTPIHETYQPRWDYWGRYMEPVTSSIPMMVVEGNHEIEEQIHNKTFASYSSRFAFPSEESESFSPFYYSFDVGGIHFIMLAAYADYNKS >Et_2A_014557.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:22519440:22519835:1 gene:Et_2A_014557 transcript:Et_2A_014557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LVALTRFSPTAGSATSGAALAAPPKTNHAGAGGGTSSTTSSSSSPTPSASSSLRPRSNSRKSASKSSSAAFFPSPGHSTSAVTLRGCCFLFLAAAAPEGRMKPERMAPPHIADKLQWTDHWWWGGRARAAH >Et_1A_005844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14615141:14621303:1 gene:Et_1A_005844 transcript:Et_1A_005844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRLARPCESSPQFCLAQGFEGPSSHDERKERKSDVDNSEEDRRTRMGSLKKKAINASNKFRHSLKKKNRRKSDIRGNSISIEDVRDFEELQTVDAFRQSLILDELLPAKHDDYHMLLRFLKARKFDIERAKRMWADMLQWRRDFGTDTIIEDFEYTELDEVLQYYPHGYHGVDKEGRPVYIERLGKVDPNKLMHVTTMERYVRYHVKEFEKSFLIKFPACTIAAKRHIDSSTTILDVQGVSLKNFSKTARDLMTRLQKIDNDNYPETLHRMFIVNAGPGFRILWSTVNQLPEFLGGSCTCSELGGCLKGEKGPWMDPNILKMVISGKAYCKRQIVTVSNDDEKIIAYEKPKYPYKMRSDTSTAESGSEADDITSPKEIRTCSTNSILTPVREEAKLLKANISTGHSEYDVTVPMIDKVVDGSWKKEASTNLHLRSKGPSFSDTTESSESVHTRVIAWLMAYIMMFVTLFHAFFSRISKNTPYKSSEVDDNHSIHSLDYSTTKEEFRPPSPAPGFTEADMLSSVLKRLSELEEKIDVLQSKPSEMPSEKEELLNAAVRRVDALEAELIVTKKALYDALVKQEELLAYIDRQEFAKFEVGSGFLSFLSLNLQFPLSHRSFS >Et_5A_041164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18935824:18938622:-1 gene:Et_5A_041164 transcript:Et_5A_041164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAPLLQAQEEGRRGRGGGGATSAQTLGNMVVSIVGTGVLGLPYAFRAAGWLAGSLGVAAAGSATLYCMLLLVDCRDKLEEETEENSTGHYTYGDLGEKCFGTTGRCLTEILIFVSQAGGAVAYLIFIGQNLHSLFSQLMSPVGFIFAILLPVQIALSFIRSLSALSPFSIFADACNVLAMAIVIKEDLQLFDHPFSNRSAFNGLWAVPFSFGVAVFCFEGFSMTLALEASMAERTKFRLVLSQAVATIIMVYACFGVCGYLAYGGATKDIITLNLPNNWTSAAVKVGLCIALAFTFPVMMHPIHEIVETRIGSSGCFRKLSHNVRGAEWLGLHSSRILMVTILTVVASFIPTFGSFISFVGSTMCALLSFVLPALFHLSIVGSSMSLWRRVLDYGFLLFGLVFSGYGLVSALSSK >Et_3B_029779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27874621:27875078:-1 gene:Et_3B_029779 transcript:Et_3B_029779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYESTTPPDVHALPMPTPATVISNPVLFLPVKQQRPSCEMNQFPRGGPFAAFVHACRLPLSGRHHHVPGPLGDPRIRVTWLVHPAFSNTDFAFQEAFDTCFGVPAGRPPPSARLPAVMPLFKGHPRATASTHQHQMNVWVEYDLGHLG >Et_4A_035829.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:6917158:6918792:-1 gene:Et_4A_035829 transcript:Et_4A_035829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPAAVMERERLTAEMAFRGDAKRLVDGEDPAPSIVIKIRRRLPDFARNIKLKYVKLGIRHGGSPTSLLPMLCVPALAAAAYSFVRLDVIYYSIDLLTCVAWLGTALILLTVYYFKRPRPVYLVEFACYKPEDELKISKESFLEMTESTGSFNDAALDFQTKITNRSALGDETYLPPGVQARPPRLNMEEARKEAEAVMFGCLDALFASTGINPRRDVGILIVNCSLFNPTPSLASMIINHYKMRQDIKSFNLGGMGCSAGLIAIDLAKDMLQANPNSYAVVLSTENITLNWYFGNDRSMLLSNCIFRMGGAAALLSNKRADAGRAKYRLLHTVRTHKGATDECFNCVYQREDEVGKVGVSLARELMSVAGDALKTNITTLGPLVLPLTEQLKFLKSLMMRRVFRVKGVRPYIPDFRRAFEHFCVHAGGRAVLEEVQRSLSLEDTDMEPSKCSLHRFGNTSSSSLWYELAYAEAKGRVRRGHRVWQIGFGSGFKCNSAVWRALRDVPAVSSQAQAGGAAGPDRKSCNPWVDDVDRYPPKAYV >Et_2A_015781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17871667:17878756:1 gene:Et_2A_015781 transcript:Et_2A_015781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVRGLALPPALASPCTSSRRHAPVPRRSSCNRRCVLEVRAAAVEAEGASRQPEPVEVVGVGSRKDAVIDFCLGSRTLSSTPIRFWTVNVIDNSKVQLLQKGHGTEAVFRDLEGPLFFNPCPPAVILVSSAGQDADHITAMKLLNAVKSAGKLAASIFLKPFCFEGQRRQVEAADLIGKLQTCSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISVMMSGYNQMFWSSLSAQIREVDPEEVGKLLRSYGEARVGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVLLSLTTARVLSESDMISTLHTFRRVTGFTKDIIFSRNSEPDLEPKLIVVSLLTIQNHHDDNVAPVKEGFLSSLASHFPFISSLMGGDIPEQEQARSKLSYYQFPDNESSSSERKFSQLSNDSADATVSRSVPREMEDVKSDRNGRIQPESLEANFVVDEEICKDDNREHLGSQQEHNFWTDSPGFGIAQLWAKERTTAKGSSQNHEIDIITLPVGVNSSEVQDDNAPNTQPVTPDTGTSVATGHPAFGVSFSDVHLEKVMDMCSSAVTFLRGRMDKSRKRGTIASRAALMLDAEREAEKTWSPIVEIRYGGGTYRGRCQEGVPEGKGRLTFGDGSFYDGLWRYGKRSGLGTLFHSNGDVYHGTWRDDLIHGKGWYYFHSGDRWFANFWKGKANGEGRFYAKDGSIFFGHFQNGWRHGETLLVDANGSRWIEVWDEGVLIHRTKMEK >Et_7A_050727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10507525:10510827:1 gene:Et_7A_050727 transcript:Et_7A_050727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDMSMPGSSGLLSAVGKRDMKFFSNQYVLVLTGCAGIGGFLFGYDTGVISGALLYIRDEFPAVKDNYFLQETIVSMAIVGAIIGAAGGGCINDAYGRKKSTLLADLLFALGSLVMCAAGGPYILILGRLFVGLGVGIASVTAPVYIAEAAPSEIRGGLVATNVLMITGGQFFSYLINLGFTEVPGTWRWMLGVAAVPAVIQFVLMLYLPESPRWLYWRDEKAKAIAVLEKIYDSDRLEEEVELLASSSMHEFQSDNTASYLDVFKLKELRLAFFAGAGLQAFQQFTGINTVMYYSPTIVQMAGFTSNKLALLLSLIVAAMNAAGTIVGIYLIDRCGRRRLALTSLCGVVVSLSSSSLCMDGMNGSCQGALGYFAVAGLALYIAFFSPGMGPVPWAVNSEIYPEAYRGMCGGMSATVNWISNLLVAQTFLSIVGLVGTGMTFLIIAGIAVLAFIFVAVYVPETKGLTFEEVEQLWKKKAGESNDNCQSLLGAAA >Et_4A_035214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12568050:12569812:1 gene:Et_4A_035214 transcript:Et_4A_035214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCRFKCASKSWHALCSDSDLRKRSPQTISGVFCSSRGDDIYHQSLRLLNLSGKGRPLIDPSFPFLPSYKGLSLVHSCNGLLLCHYIKHCNENSLGYLVCNPATEKWIKLPGTKVRHVDRTVYLCFEPAVSAHFTVFMLLPVSVFTKVEIYSSQTGGWTYTQIGSHWVDDAYTMPECNSDSLKVLIQYDLALNNV >Et_3A_024782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24104360:24108370:-1 gene:Et_3A_024782 transcript:Et_3A_024782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATGGGGERAVEEATGFEVAIVVPKLSRAAAGGDGSGPEDCVARLVRELEGAGLLVDRVRGVPAEFIKLAAPMGTLGRAAAEMRMKKLTYIGMELQFEWDQVAAFVRQPDGSLFSWRERYCCFRYLIHGIVNKIDSQIPLKFDEKEFHWNQNESLLTRLEAEGVVKLVFPLHDEIKRKQLLRNWALNWLDFTWQPIDEIYSYFGTKIATYFAFLGMYTRWLFFPAVFGLATQLIDFGSLQWMVLPAFFIFVISWAVFFLQFWKRKNSALLARWGINYSISEYKNLGNELDLLTDSRRDSLNTDEEKKFGDASAEKRKLQRNEWFGVLLKIRNNAIIVLAIICLQLPFELAYAHLYEITETEVLRYLLTAVYLVAIQYYTRIGGKVSVILIKYENNQGEESSSASLVYKVFGLYFMQSYIGLFYHASLYRDILALRQVLIQRLVVSQLLENLIENSIPYLKYSYKKYIAVHKKKHEKESPAGKSVRLSTRVEKEYFKPFYTASIGEELEDGLFDDFLELTLQFGMIMMFACAFPLIFCFAALNNVTEIRADALKLLVMLKRPIPRAAATIGAWLNIFQFLVVMAICTNCLLLVCLYDEEGNWRIEPGLAAILIMEHVLLLIKFGFSHFVPEEPAWVKANRVRYVAQAQTVCSKQLLRSISKLDAKLD >Et_8A_056070.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:16263598:16263891:1 gene:Et_8A_056070 transcript:Et_8A_056070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDALSSPHRRSQNTFFMPPSKKPQSSRDDVGSWSALVERHRFLLTTLVVLAFLCTIYLYFAVTLGAPDACSGLEGTERDECLAKSVMQHGKLKFH >Et_1B_013963.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25543929:25547702:1 gene:Et_1B_013963 transcript:Et_1B_013963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTATEKFRFCIDRGGTFTDIYAEVPGRSEGYVMKLLSVDPSNYEDAPIEGIRRILEEFTGEKIPRSLKIPTGKIEWIRMGTTVATNALLERKGERIALCVTRGFRDLLQIGNQARPNIFDLKVLKPSNLYEEVIEVDERVELVRDGEADGSSVEGISGEMVRVAKPLDVEALKPLLKGLLDKGIRCLAVVLMHSYTYPHHELLVEELALGMGFKHVSLSSSLTPMVRAVPRGLTASVDAYLTPVIKEYLSGFMSKFEGGSEQVNVLFMQSDGGLAPERRFSGHKAVLSGPAGGVVGYSQTLFELETSKPLIGFDMGGTSTDVSRYDGSYEQVLETQIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFKVGPESVGAHPGPVCYRKGGELAITDANLILGTVIPEYFPSIFGPNEDMPLDYEATKKAFESLAVEINSHRKSQDPSAKDMTVEEIALGFVNVANETMCRPIRQLTEMKGHDTKNHALACFGGAGPQHACAIARSLGMTEVLVHRYCGILSAYGMGLADVIEDLQEPYSAVYNADSAAEASRREALLVKQVKDKLREQGFGEENIKTDSYLNLRYEGTDTTIMVKQPERGSGNDYADEFVKLFQQEYGFKLLNRKILICDVRVQGVGATNILQPRELTPVSTKPVKESSCRIYFSYGWQETPLYKLENLGYGHVLEGPAVIMNGNSTVIIEKDCNAIITKYGNIKIEISAPPSTVDVAEKVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGPDGGLVANAPHVPVHLGAMSSTVRWQLNFWGDNLHEGDVLVTNHPCSGGSHLPDITVVTPVFDNGKLVFFVASRGHHAEIGGITPGSMPPFSKCIWEEGAAIKAFKLVERGVFQEEGIIQLLQSPCSDEFDGYKIPGTRRIQDNLSDLHAQVAANQRGIALIKELINQYGLITVQSYMYHVQKNAEVAVREMLKTVASRVQKENGSCVIEDEDYMDDGSVLHLKLTLDASKGEAVFDFEGTSPEVYGNWNAPEAVTTAAVIYCLRCLVDVDIPLNQGCLAPVKILIPKGSFLSPSDKAAVVGGNVLTSQRVTDVVLMAFQACACSQGCMNNLTFGDDTFGYYETIGGGCGAGPTWDGTSGVQCHMTNTRMTDPEIFEQRYPVHLHRFSIRENSGGSGFHRGGDGLVREIEFRRPIVVSILSERRVHAPRGLKGGGNGARGANYLIRKDGRKVFLGGKNTVTVSAGDILQIFTPGGGGFGSL >Et_6A_046229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1046294:1049020:-1 gene:Et_6A_046229 transcript:Et_6A_046229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMEAAAVSAASGMLKIVGNKLALLVINQYRYIVGVTKDLQELQDLVEEINCWLETVGDEAMQNNLSFSWLKQLKDVTYTIDDLVDEFYLEAEKHDSDGDGGKLIGSRYTCRKPKSFLFRYGAAWKIKGIKKRFATIVKQRTDLSTIVNSLPVGHSVIRTKRTTGETPSLPVVDEESVLGRDQEKRQLISKLVESNNQHRIVIVSIIGLGGSGKTTLAKLVFNDGEIIGNHFEVRLWVHVSQEFDVAKLVEKLFEAIAGAKSEHYPLQQMSRTISNKLAGKMFLLVLDDVWTEDQIHLEQFMVHMKSGAPGSRILLTTRNRRVAEAMESTCQFDLAFLSEADSWRHSGSVCFDSLVQVSFLQNVVQRDNGKVECNMHDLIHDLARSILGDEMLLDVPLETAKFKKGYRYFSVTEPPRNLLPNNVFEKTRAMYVSAGGDIIFGKSLKKAKHLRSITLDSVSTTSVPTAMLHIKNLKYLNISGLKCESLPEAITNIWSLQALHVVGSPLLELPQSIGKLQKLRAINLSYCFWLKCLPDSIGDCHMISTLDLHSCWNLEALPNSIIRNKRLRVLRLGLTAINRLPSGITTLKNLECLDLQSCLCLEELPESIVNLKNLEVLNLRECYKLKSIPVGIAQLSRLHKLGLFVVGEGENSARISELGNLSWIGGDLTIKNITCRMDPVDAQMAFLKRKTNLQRLTLTRGIHGEVNDEKEEVVLDCLEPPSEIKGLEIFGFERCARWLLNQFAAEVQVVPKFPSLTILKLSSVIMKHLDGLAELPCLREP >Et_1B_011220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1937758:1941353:1 gene:Et_1B_011220 transcript:Et_1B_011220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHHKPGLRVRLRITAARRRAWLSAGLRSACRKPPRRDPSDSVHKVARREIGGGHRRPPRPAAPSSSTFSCPEKFRNFQLQEEYDTYDDEVQFLVQLPFLWSRTKIIEIVAAKDVIFALAQSGLCAAFNRTTNKRICYLNISPDEVIRSLFYNKNNESLITVSVYESDRFSSLKCRTTPIEHIRRGQLNNGFPLFETESLKYPGFVEFDDVNGKVLTFSAQDSTYKVFDLKNYNFLYSICDKNIQEIKISPGIMLVIYQKTNCNVPLRILSIEDGTPLKTFTQLLHRNRKVDFIEQFNEKLLVKQDKENLQIIDVRNSDLIEVNKTEFMTPSAFIFLYENNLFLTFCNRTVAAWNFRGELVTSFDDHELWHPNCNTNNIYITADQDLIISYCKISKQTTDGCDSEAGEVSPMGSINMSNIFTGKCVAKISPSDPTLMVAPRKRGDTSRSTIRSTVSEALEDITALFYDEDRNEIYTGNSKGLVHVWSN >Et_7B_054695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3608054:3612687:1 gene:Et_7B_054695 transcript:Et_7B_054695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESRPRRKPLVLASTQALLDSLPGERCGAPPLPPPEPVRLRAGVLRFPSRGLGEFGELASFVAVPAPVLRRLAVVTGTPVLIKNTDNNVGRIIKAVLLDHPSLDEQNAEPSKHVASASSDRAMGFLPCRLFPATGSVSLDENVAYVSPLLAFNLGLHVSCLKLLIQKGGEPFKFCSRIEQRDTTASGGSDVSLQLELLPCPQVPRYALHLRVAVVRIPECGVLASLKINSSSGGSDYQDMVDQALNEYFKFDRFLAKGDVFSIRNNWNCGLSSCLACSNQDDKLHPRNMIYFKVTGMEPSDVPILRVNCKETALVLGGGASAAVPPYSFAASGDSVPLHGEIVEHLASIIAPALCPSDILPKIKFSTFLYGPSGCGKRTVVRHVANHLGLHVVEYSCHDLMTSSESGAPAALAAAFKEAQKYSPCIMLLRHFDVIGNSSSNEGPQTEQSGIASNIESIIKQYTGQNWVSQDSVTARDVNGSSYLVEPEWVSSLQVILVATADSSEGMQQSIRRCFRHEIDMKAMNEEQRNKLLAETLQGITTVADETIDDKFVKDLAAQTSGFMPRDILALVADAGVSFAHKVAAEKDSKEISKHDKVPQESSSATQNEEKHFCKDDIMSSLERAKKRNRAALGTPKVPNVKWEDVGGLEEVKKVILDTIQLPLMYKHLFSSKLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYVGESEKNVRDIFEKARTARPCVIFFDELDSLAPARGSSSDSGGVMDRVVSQLLVEIDGLSDNSQDLFIIGATNRPDLLDSALLRPGRFDKLLYVGVNTDASYRERILKAQTRKYKLHKNVSLLSVAERCPPNFTGADIYALCADAWFHAAKRSVETLETDPSSNEASAEEVVVEIDDFITVLGDISPSLSLEELQNYEQLRQKIEGPSR >Et_5A_041090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18258203:18258496:1 gene:Et_5A_041090 transcript:Et_5A_041090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARSIIHYRRNERTQEKDLRYCRRWIRRSTRPGRLAPATQDVVSWAYEKTVCSLSRVHTDWP >Et_9B_063652.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:16631139:16632985:1 gene:Et_9B_063652 transcript:Et_9B_063652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLFLLLPLAVAVGLVFPAAAEIKTESFHEDPRPSIMFEKFGFSQSGAVRIIISGAAVSSPVARADPKHLGFFLLSDESLIDAIYDAREGPTKEKRAAATGGEDPETKSGCVLSSPYIKKLFTFHDMEGGHYNKSFPVTHPDEYTLFFANCAPEALVTMTVRTEMYNVNADGSKDFLPVGQAPVPAIYGFFAFSYVAFLAAWGYLTFSRFRASANQIHHLMSGLLIARLLYCLSAAEDQHYIRVTGTPHGWDVAFYLFQLVKGVILFAVIALVGTGWSFLKPVLQDREKKVLMVVIPLQVTANIASAVIGETGPFLPDWVTWNQILLFVDVACCCAVLFPVVWSIRSLRETSKTDGKAARNLSKLTLFRQFYVVVIGYLYFTRIVVYALKTIASYKYRWMSILAEEVATMAFYLFMFYTFRPAEKNTYFSLNEDEEEAAEMVLREEEFEL >Et_1B_011681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24964289:24969656:-1 gene:Et_1B_011681 transcript:Et_1B_011681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLHRVLLQMLVAEVLRAGAYISRFSRHACKVSKFPSIVCSPISRLKNLQFLDVSRQPKSHWFNHSRLIAMATTPANGDSQNGPQRNYQVVVAATRDMGIGKDGILPWKLLGDLKLFKELTLTTSDPAKKNAVIMGRKTWESIPVKSRPLPGRLNVILTRSGSFDFATVENVVICGSMNSALELLASTPYCLSIEKVFVIGGGQVLREYLNGPACEAIHLTDIESSIECDTFIPPIDFSVFQPWYSSFPVVESNIRHSFVTYEISGRNSQLNGKESMEVDTKKDKFETENFSFLPKMIFDRHEEYHYLNLVEDIIRTGAQKNDRTGTGTLSKFGCQMRFNLRKNFPLLTTKRVFWRGVVEELLWFISGSTNAKALQEKGIHIWDGNASREYLDRYTNMHADYTGKGFDQLMDVIDKIKNNPDDRRIILSAWNPSDLKQMALPPCHMFAQFYVENEELSCQMYQRSADMGLGVPFNIASYSLLTYMIAQVCDLSPGDFVHVIGDAHVYRTHVRALEEQMQKFPKPFPILKINPSKKDMDSFVASDFKLAGYDPHQKIEMKMAIYT >Et_8B_058602.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:6134464:6137551:1 gene:Et_8B_058602 transcript:Et_8B_058602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGQAPDRVVEGFSEEFLESFLALIRRAHRHSRVAATVVYNEYIADRHHVHMNSTRWATLTEFVKFLGREGYCKVEDTPKGWFMTYIDRDSEQAVKARLKRKRIKSDLVEEERQERMIARQIERAQKSQAKGSDGDDGNDAEYESESESGSEEEYSGSDNGQDDSSKEANKVTGKIAIALQKAAPGPKVNPFEDKPKVKFGFDEEEDGAREKEKGEEVKKGKDVKASDARKSALDELMKEEEKAKERSNRKDYWLCPGIVVKVMSKSLAEKGYYKQKGVVKRVRDKYVGDIEMLESKHVLRIDQAELETVIPHIGGLVRIVNGAYRGSNARLLSVDTEKFCAKVQVEKGLYDGKVLRAIEYEDICKIAQ >Et_2A_014768.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:13486542:13486742:1 gene:Et_2A_014768 transcript:Et_2A_014768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEFNRSSLAERRIFLAHYQTITYSQTSCGANRFHFPSHGKPFSFRLALSGILVIGAGCSALLHQ >Et_5B_044694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4775413:4777405:-1 gene:Et_5B_044694 transcript:Et_5B_044694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METGAASEASRGKDSVPKEKRRKMESVEQQERTKAEVEDEEAKREDLNRRLEEYRRLSAMAPDVEVVVEEEGPLPPSAEKEPQDCFGLDLVAIIGLMGEEKKVEAWRALDKWQAEKNARERSKAEPMEPAAYDDQRAMWTDCSIYVYPCGGHMTDILSRHRTVVWCVTIFIEVNLTVKGTTEPEDKSFLGFTLGRIVQSVEATICVQLIDSHVLIDKEEIVLLDSGRDKVPINDNEEIQLSWRVVSVENRGELKVKLCVWKASESDQNVVEFVLRPKIAYRR >Et_1B_010010.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17961895:17961999:1 gene:Et_1B_010010 transcript:Et_1B_010010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEIFKELQWSLLRASRTQIWLRPWPAGGALLS >Et_9B_064149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11842666:11843924:1 gene:Et_9B_064149 transcript:Et_9B_064149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSGRLGEAGDSGLELSLGLPAYFSKQSGSVAGEEPTDSSAFALQAEVGSNGSKARARPAAAAPVVGWPPVRSFRRNLASSRPPPPHSSSSAHQDGGAKGGGHKSSGAFVKINMDGVPIGRKVDLRAYGGYAELSAAAGKLFRGLLAAPAGTGQRCGDEEEAPVIGGAVDVGSGEYTLVYEDEEGDRVLVGDVPWEMFVATAKRLRVLKSSDLPASSLKSGSRKRAAAGC >Et_1B_010864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14889179:14892404:1 gene:Et_1B_010864 transcript:Et_1B_010864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGDMDEEAMRAFFPMSFGKAPTRPNAASSAAHSSTVRKPQNPSSKPSTSAAAEDDGGGAMVGPPRPPPAPAGKEDGDDALAVDHTGSRVLSGSYDYTVRMYDFQGMNSKLQSFRQLEPFEGHQVRSLSWSPTSDRFLCVTGSAQAKIYDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTSGEWNPKSKETILTSSEDGSIRLWDVSDFKSQKQVIKPKLARPMRIPVTSCAWDYEGKRIVAGIGDGSIQIWTVKTGWGSRPDIYVEKTHAEDITGVKFSTDGQILLSRSMDSTLKIWDLRKMKTPLKVFDDLPNNYAETNAGFSPDEQLIFTGTSIEKDGENGGLLCFFDRRRLELVSRVGISPHYSVIRCLWHPRINQVFATVGDKKEGGTHILYDPSISQRGALVCVGRAPRKKSVDDFEVQPVIHNPHALPLFRDQPSRKRQREKILKDPLKSHKPEAPVNGPGYGGRVGTTKGSLLTQYLLKEGGLIKETWMDEDPREAILKYADAAEKEPKFIAPAYSQTQPKPIFQESDSDDEKK >Et_6A_046820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2167727:2169289:1 gene:Et_6A_046820 transcript:Et_6A_046820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGPSWSLRPAGLVLLFSSLLLNVLFLAHHLLAPSRLGDDGGGHHQLSWALQAAVEAEAAAATDCSGHGRVYLDGVPGEDGRPACECNRCFVGPDCSRRTPNCTADAESADQMFMEPYWMRHAADSAVVVSGWHRMSYFATDDGAYQSAELERHIRMLHKAVGNAVVDDKHVVFGTGSMNLLNALVHALSSTPDGSDATAPAASVVATAPYYPSYRTQTVMFNGRDYKWAGTTANASSSSTPRTNKTSFVEFVTSPNNPDFLLRKQVLSSNSKVIADHVYYWPHFTPIPAPADEDVMLFSASKLSGHAGSRFGWALIRDAAVADRVKSYLEESSMGDSRDTQLRVLKLVLANLHDRHGDKDDDMFAFANGVMAARWRRLDAVLARGRGVLSVRKIPPRYCTYFKRVREPAPAFAWVKCEREQDKDCYEALLKAGIVTQSGVDSEDSARYTRVSLVKTQDDFDVLLERLTDFVTTDEKHYSAPSSTSASF >Et_3B_028359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1446073:1448141:-1 gene:Et_3B_028359 transcript:Et_3B_028359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGREGIGEALEMGGLSPLTSPAETKGLFDYAAMWPVSDYVESDYRIVVNFGYVGTLTSVICCLLFGLIVDALDSTYTLCTYCRVLDLPSREAEDLTLDRRNLQIGAVKQAYECLDFGEKEKASWENDDPNRQHIRQNNA >Et_1A_006332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20872691:20879224:-1 gene:Et_1A_006332 transcript:Et_1A_006332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAVGRAPEGWGEEEMSVLPRHTKVVVTGNNRTKSVLVGLHGVVKKAVGLGGWHWLVLTNGIEVKLQRNALCVIEPPTGNEDDDKFDCENMQWNSSASDEAQSPKPHQSRNKQQKGFHSKSLSRSTSCDSHSKTSVSSSSQALTKVDLSKLELTALWRYWRHFNLDASPNPSREQLVDAVQRHFMSQQLDELQVIVGFVHAAKRLKTSGAHPRRHHLLKHRPRLGRPAGVEQDLDARRVADDSGAAARLHLVPHPHRGVHVPGPREPVHDGGERGGVGRHPGAEHLGEEAEHGGHAAGLAEEVEHGGVGEAVVAEGRGRGGGQAEEEERLVERRVGLEHARHGVGVPGQPRQGEEERARRRPGVVAEDGGGAADDVAGVGRGRRRGRLGGRFGPAAARWPGREEPAGRTEHGGRRSGDELGRRNLPTVCGARGLALRPAGRQQSNH >Et_1B_012056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28539725:28540889:-1 gene:Et_1B_012056 transcript:Et_1B_012056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLTSAADFLLAHHRHQPSLLLVSFRGQTNFDNSVVVDAVLDTFDLRQRPTERQPVLCFNDYSHRRRYMLHGSCDGLILLSLSNGRFYLCNPAAKEAEKIRFESVHAPVDCIARAHRCQHGGDVFAQLLRTPVASPSVKEFIGGGLRNEMPTCLATQSVGKHDISELFWLMHCPTTVASPYLLEMDGTMGISWLNGRQMVNLCVLQNYEADLVTEVLNVHWQR >Et_8A_058326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:528316:532085:-1 gene:Et_8A_058326 transcript:Et_8A_058326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAENTPCKLSERHSHRLKCAFRQQQRQKHRREKKKKKKKKKKKKKKKKKKKKIHDRAMRELSCFGDNSVGVAAAASVSGRSALDRALQAATTSVYRASLSSGKEMLLRVTWTRSAAGAAGVAVAFDEGLVPAQPSASRKSGQVLVLQKRRGSRSLVTGAGTVVGVHWDTAEAKYAAAGESSPEPTAGGDYYLAVVADGELALLLGAGGAARELSRRLGHAAAAETRLVSRREQLRGAAAAHVTRCRFREGGEEHEVAVHACRRGSAGGEGELRVSIDGEKVAEVRRVGWGFRGNRAAVLADGEVVDVMWDVHDWWFGRRDGAGAGAQFMVKARAEKEGRLWMAAACRGHSPAGFFLHVQCYRRADNVFNGPNTAIPERYNNTDTGRIRSKSRKSEGLMGLDIEVTSIPRFFDADLILESALLP >Et_6A_048006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3289403:3293076:-1 gene:Et_6A_048006 transcript:Et_6A_048006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHNNDDKVVESENDHLICSLESADGPNHSASNPTSEIKEDVNVHKTMVGFQPSMNSMEGLDLPKGKDSCFLRKHSEESISQGIKAALFASTNDIERCHPFTSDGSGPISPSVEQTDGSNLMLEPSVEQTDGSNLMSESVEQPHPLEVVKPDGSGHSALGPALESEVIVLENSMGGLGSTLNSMEWLDHRKEKDSCDSSSKNVAGSIPQGTMNGLYPSIYDVEGSRPSVTHGSSASIPSQKNAGGSNIALESWKQPHPLEVIYPLVNMPKSIQGSKSSPHHLQPEQGLDQNIQNDPLNPSKELSDSRSMSKDIGPSCSSNGQGSSNVERDGPNGRIFLLDNITPPLDNRTGSSLSMAKDCLLFNADNSDEGNISDKRGQSVVMSHQIISSDEVHCSDSCLNERSVSWKVNKNSSPKSAPSGNQQGSNLQNDVQKTSIHADKNVVCRQVSKMEGINSEELPSDTSSPCKATESSSEKRHNRPNRHSRHLAKNRKSKIRNVDPARLKSGRPSKQLDVTQPSASLELSSKSKDVRDANGTKARCSNARQTFENIVPKKRKGLVVPYNRDEYAEAMQTEDLDQSCENNGQVKKNRTHAENGVMNLTISVENRAEALHPGTLNDHVVPKKRKGLVLPYDKDEDAEAMQTTDLNQSCDNDGTVNNNRPHAENGVMNLTRFVENRAEVLCPVISNDHIVQKKRKGLVLPCYRDEDAEAMQAANLSQSCENDGQVNNGTHAEKRVMNPTRSVENPAEALSPRILDDQCADNHTQAKKKSIEANDDGNALLGDPNGGCAQKDNAELISQDVVAAEHNSLSRMPVISTPTDHQCDISTQPIEKPYWTANNFTFHVDSGVMKIGQEYISLAAHLSNQACKKVQELSRSLPPVMKVTNHSKLKAWPNRWEELEPTAKDIGLYIGILVFQGKHYLWGVFKRRKATSKGDPPVRKQDRTPQAAKKRKDRQDKVQGDILDQEKPVSKHSIPNDNQPSPGTVNGVGTETIPCDYEEARSSSEAPAPQVLVVIDMQAPRLEHYIRELEKESAQLVTGNGLAKAGPVRL >Et_4A_032480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10496761:10501436:1 gene:Et_4A_032480 transcript:Et_4A_032480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSRKEEERNERIVRGLLKLPPNRRCVNCNGLGPQYVCTSFWTFVCISCSGIHREFTHRVKSVSMSTFSMQEVEALQKGGNQRARETFLKDFDTQKMRLPDSSNITSLREFIKAVYVERRYTGGRFSERPPRDKQNQKAHDEEHRRASSYHSFSQSPPNDYQYEERRNGKQPAFLSRKPGSDRGHDEKISVFGYSPHSLHERMSEDEFASESCGSRTSNCSGSSMSDAIRMAPQSPNILDNSAPVQQDQSKRIVSAGNVDSISVKSGKSSLSDLIFEDDNVRGIQKSTNSTPPNFVAFSDAISAANGDLFNPTAMQQHPVNSFDRSVDLFDIMTPGTPSVDKILSAAPSLDNAGWATFDTPPEEKQPSVTGFSAIAATGKDKQAITRDLFSFGRNDQPTWFQFSKENASVTNQFLDTSSSQGSHDDDSHRVSVVRLSQNTSFAFVESSPGKTSTAQVSFNPFDLPFDTDSKAPDLFMDVSSLQEALPNPDLPAFLDGLPEAWFSSSPCGYVPSAVLGGLPCLVEQPPNSPLRNIPVGTVSTGNPFA >Et_1B_011068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17142142:17144051:-1 gene:Et_1B_011068 transcript:Et_1B_011068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEFRLEGSMLPFHTANSISSITAMKSSSQEWVVCRLYSKSTWIRMTPTSVHIETNTGDVNPRIMSFPIFIQFLMGPEDFNVNSNSMLPIIEQSSSFYSGHGAPNRVFFCFTYGMDTFEVQIDNNILVNLTVTVHPTSFDQQVVVGPVGDGGQISRLDNRTTTIVSQHPWVKLSA >Et_7B_054506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22158289:22164439:-1 gene:Et_7B_054506 transcript:Et_7B_054506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGEVDRLSDLPDGVLGHILSFLPTYEAGRAAVLSSRWRHILASVHTFSFEQPESPFSDCVSCYYGGIDEKKSHNSYFLNDVTAAFLCRRRCAGGQDPPLRAFRVSFDWYHRWDGVMVDGWLACATRNGAEELRHVELRLEGGRETCDFEDPYRYRKLEDKMYKQVTDSSEDEDDLEGRDLPNFVEPDSDGNDVDEANTGLSVPKRIFTCVALRTLCLGGCLLNLPTTISLPSLEVLLLTGLIDSNEVINRLISSCPRLVDLTLETCSKVHAVSVLANRLRRLSLFCCHKLLSINVDASDLRVFEYKGAVPCLSLLTLQGGAQRMSSCTIDFCGKELSIIGQFIWFKKLLKMFVGTKHLHLRSACMGSGFEDPIFKRFPVFGRLRHLELTGRLQDYYAVDAITRILMQAPNLEVLSLVILPVSDRPEDSHLLGYWQGDTTILCLRDHVREIKMVDYQGFEVQRKLLKFLLNNALVLDEACCVFPEGPLDCKTEMVNEIKGWVMEEAAAAAAAVAGVDVGVDRLSDLPDGVLGHILSFRPTYEAGRAAVLSSRWRHIFAHVHTFSLDQPKSPFDDCDSCYYSCVDEKKSRNSYFLNDVTAAFLCRRRCAGCHDPPLRALRFSFEWFHDWDGVMVDRWLASATQINDGKELHHLDVRLESREDSCERMHRYRSTEREDRMYEQVTYSLEDDDDLEAREDFQNFTLEPASDDDKKPSILTFSCVALRTLCLVACIVHLPETVSLPSLETLLLTGLLYSESEKEIQRLVSSCPRLVDLTLEACTHLRTISILDKQLRRLSLRCCHRLLSVNVDASDLRDFEYRGVVPAPWLLTLHGGTKRISSCSIDFCGKKLLIKGQFVWFKRLLKMFVDATHLHLRSARLGSGMEDPIFNRFPVFCRLRHLKLTGSLQGYDAVDAVMRILKQAPNLKVLSLVIVVPEKYPEYSIPALADWEGDTEISCLREHVREIKVVDYRGFEPQKTLLKFLLHNALVLDAVCCLFPKGPLDCKNEMMNEIKGWAMNKSIKMTFE >Et_1B_012736.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:34834543:34837514:1 gene:Et_1B_012736 transcript:Et_1B_012736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRTRLGLAPCRQQVGARRQHLHRHVGPVLHDLRHRRRRRHAHHLRP >Et_3B_028727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18564584:18571306:1 gene:Et_3B_028727 transcript:Et_3B_028727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFQVRAKLPINFANKIVPVYKKRKGTQQRSLPCAQLHVDQQEAVFDVNAIGWAPSGSGTALSLHDEDPERLPAVAGHGHDRPSGRYALAAERADEDAGLCQAIEPGKLKPRVTYRVAGWVSCVDVAAATTEERSGRATAVPAVRVSVRVDDVVVDGGAVVVCAEPGRWAELNGAFRLRKAPRDAAVVHVHGPPAGVGVKVMDLRIFAADRKARFRLLKEKTDKVRKRDVVLSFGAAPCSVAGASIHVVQLDNAFPFGCCINGMAIQDPTFVDFFSKHFDWAVFENELKWYWTEAQRGRLNYGDVDRLLDFCDRAGKPVRGHCIFWAVDGGVPQWVKDIAGDRAQLASAVKARLRGLLTRYAGRFPQYDVNNEMLHGRFFRDRLGEDAAAFMFREAARLDPAAALFVNDFNVECGGGGDPYATPERCVELVRELQRGGARVGGIGLEGHMTNPVGEVICDVLDKLTAATGMPVWFTELDVGEHDDELRADDLEVVLREAYAHPAVEGVVLWGFMQDHMWRPDAHLVNSDGSVNCAGQRFVDLRKEWTSEVRGRVDVNGQFRFRGFHGTYVVQVTTAKGKMLKTFTVDKGDAPLVLHMMDDQRSLGSSHYVLIASSSLCLHVDKIIDQEVVFDANAIGWAPSGSGTSLSLHHEDPERLPGQYGHDDRPSGRYVLAAERADEDAGLRQAIAPGKLKPRVTYRVAGWVATEGSSCIRSAAVVRVSVRVDDRVVGGGGAVVCAAEPGKWEEIKGGAFRLREAPRGAVVVHVHGPPAGVDVKMMDLRIFAADRKARFRQLKDKTDKVRKRDVVLSFGAAPSSVAGASIHVVQLDNSFPFGCCINGPPIQDPTFVDFFTKHFDWAVFGNELKWYWTEPARGQLNYGDADRLLDFCDRAGKPVRGHCIFWAVDGAVQQWVKDIAGDRAQLMSAVKERLRGLLTRYAGRFPHYDVNNEMLHGRFFRDRLGDDVGAFMFREAARLDPAAALFVNDFNVECGGGGGYDPYATPERYVELIRDLQRGGARVGGIGLQGHVTNPIGEVICDVLDKLAAATGLPVWFTELDVCERDEELRADDLEVVLREAYAHPAVEGVVLWGFMQGYMWRPDAFLVNSDGTVNGAGRRFIDLRKEWTSEVRGRVDGNRQFKFRGFHGSYVVQVTTAAGKMLKTFTVDKGDSPLVLDMMGL >Et_4B_037184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1631174:1639696:-1 gene:Et_4B_037184 transcript:Et_4B_037184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVTVYGPVISPAVARVAACLLEKDVPFQIQPVDMSKGEHKSPSFLKLQPFGQVPAFKDHLTTVFESRAICRYICDQYADSGNQTLFGKKEDGAVGRAAIEQWIETEGQSFNPPSLAIIFQLAFAPMMGRATDMAVVEQNEAKLAKVLDVYEQRLGESQYFAGDDFSLADLVHLPNAHFLMNRTNKAELITERKNLARWWDDVSARPAWKKRSRKIKERMAAGLQVFGQPASTDVARVLTCFFEKNLEFELVRIDTFKTHHKLPEFIRLRDPNGQVTFKHGDKTLVESRDICRYLCNQFPNDGNKALYGPGALERASIEQWLQAEAQNFSPPSSALVFQLAFVPHLSHLGIRQDHDVINENEDKLKQVLDVYDEILSKTAYLAGDDFTLADLSHLPNSHYIVNTERGRKLFTNKKNVARWYDDISQRKTWKQVVKMQTEHPDSRAMAAGLQVFGQPASTDVARVLTCLFEKNLEFELIRTDTFKKSHKLPEFIKLRDPTGQVTFKHGDKTIVDSRAICRYLCTHFPDDGNKTLYGTGSLERASIEQWLQAEAQSFDGPSSELVFQLAFAPHLKDVYPDERRIEENEKKLKAMIGVYEDILSKHKYLAGDEFTLADLSHLPNSHYIVSSGERGRKLFTAKKHVAKWFEDISSRDSWRQVMKMQREHPGTFDSPPSSELSIPRPAAMASVKVFGSPTSAEVARVLMCLFEKEVEFQLIRVDAYRGPKRMPQYLKLQPHGEALTFEDGSITLSDSRNILRHISHKYAKQGNAELIGTGALERSSIEQWLQTEAQSFDAPSAEMVYSLALLPPGLPKQPNDNGNGNVNGNWKEVPVADASGRRVVAGNQQQQQVSPQKVEEMLKLFEQRKKDLEKLLDIYEQRLEEARYLAGDNFTIADLSHLPNGDRLASDPRSRRLFESRKNVSRWWDEISSRETWQYVKSLQRPPPADANNANAKNGQQQRSPANGEHDRNINRNQQ >Et_9A_061415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11322189:11325531:1 gene:Et_9A_061415 transcript:Et_9A_061415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVADPGTERGEGSLLQCPYGDSEAMHRLAQILLPGLAAVCVDGTTGDLFRKPSAVAVDLRKEMVDYITQRSETFISDALIESEANQDTENEMPDDPFEIVSIFMDDFSSTKRNIIGHVSGWLLSDSREDKIDDFVQEMEMTKFWPLERREAIAEVLLKNVDLKTKFHCPEKYENEERLAHHKEQCTFRPVICPNDGCRATVSVRCMQDHDAACPFKVLLCEQNCEKRLLRRDMDRHCVTVCPMRPMKCPFGCDSSFPERNLEEHCSESLRPHLLKVLKVIHKKGLTADELKERALLLEKADDNGKLAKARDTRSLTNVVKDLEAKMKNDASRARSILEMVEPAGVSHYDLMVNNLGDECERAVTVPTNTKVLCDPYRTTRRCRKETICKRKLLTVLSKKVIFLLIINIMQCKVRLRDITHSFDAVN >Et_1B_013672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11855222:11857684:-1 gene:Et_1B_013672 transcript:Et_1B_013672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHLLIHSVLMHVSAAMIILIYIPLSIPVKLFMWAFVKPWRKEDLRGKVVLITGASSGIGEVNKRMQELAYQYAKKGACLALVARRKQALEDVAAAARERGAPDVLVLPADVADPDQSRLAVEETVAHFGKLNHLVANAGVYSICRLDEVTNITAFTTMMDVNFWGSVYPTYYALPHLKASKGKLIVSCSQAGMAAAARTSFYNATKAAQLKFYETLRAEVGSEVGVTILTPGFVESEMTKGKVIQKGGELAVDEEARDVSFSALTYINIQICSAHKCVSRSTTHKLQVQIGVFPVGRVETLCEVALDAIRKGEWYVTWPSLYRPVALVALLAPEVFDWVSHAMYDAKEGARPLSQQMLEATGAKRLFPSSLRHHPGIKTEKGGHTEGDASSNV >Et_3B_027475.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20645100:20645549:-1 gene:Et_3B_027475 transcript:Et_3B_027475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RGLDADENARTTPLEFDVGIGFLHLYSDQAVAHDGGHVTISYAGIKLAEGAVSKFYIAGGPKWVEATRAVAAAEKDQAPLSQMFRDHVWVDQQVHGEAEFDIALSFFDVNITTGEITRSYHNCRVGLALHGKSGAGKCGEASYFMIGGS >Et_1A_008646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9471515:9472515:1 gene:Et_1A_008646 transcript:Et_1A_008646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDACEGAAATVVCCADEAALCARCDVEIHAANKLASKHQRLPLEALSASLPRCDVCQLTDTPSHGVQEKAAFIFCVEDRALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGFGAASGCSGAADSAHDADHHAPPPKPAAAVEQAPASSQTTSSAAAQQVPSPPQFLPQGWAVDELLQFSDYESSDKVTTRSLSQSVNLRCSISHLTRRVLNCMQKESPLGFKELDWFADIDLFQDQAAPKAGRMAAEVPELFGSQAVNDAAYYRPNKPAAGMRQNKKARIEVPVDDEDDYLLVPDLG >Et_8B_060046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5457457:5459799:1 gene:Et_8B_060046 transcript:Et_8B_060046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVPIGFATRPWLVQATREKTLNLVDALDRSLHELLIPEMEGKICLGCLHDGNWLIALDESTGECFLLSLTGPRRHKIQLPPLREPPEFLSTCAVLEHPGHPDCTVVVTSAAEADDRFLLHCRPGDQDWTRLVSPFDGITFSDSVVTHEGKVYVFASMGDLIVIDLVDGVVRVHQHMGTIIDDEIVGRGSYHIHLVESHGDIFAIWIQELGCFGSDGVLTDIAVFRLDQSDSESMVWRTVESIGLDRAFVISGGYGFSYNTTHGPLEGNCVYLVWSCCDCERLYKFCLDDMTVSFHRILPKPTHHWCRAFWSVPANMEAVEVQESALSSQLSEKKSHVSQTTNDLDNQVEGGQAATSPSWHDLPLGLLEMIVSDLSHPMEQAKVWPWLMHCSKQDGTCKMYDPLRGKEYTLRVATFESDSERQTFRSSKDGWIIVSGGSEDDDIFIINPLTGDLVEDSPFIDGRYNYNGISFSSTPTSPDCVFFGINSSHSGEFVGLYTWKRGEDDWKEQELDYQVPFPVARNNPVLFHGKFYCLGRKGNLGVFDPRSEDPEHAWTILDKPEPIHVEMDVFDDEHEGREFCYLVELGGELVSVFMRNSAKPPRDIDGAVLFLDTKASYSVASPEGGRGNRVYFPRFSEDEKQPAFYDMETKMYSPSFYGLKQPLKCVWVVPNRHIDKPASSDSDA >Et_9A_061201.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21876361:21876669:-1 gene:Et_9A_061201 transcript:Et_9A_061201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCSACEAAEASILCSADDAALCARCDREVHAANRIAGKHQRLPLLAPGCGAAAPPAAAPHMPYWSSASSSMSKSSLRPLNTASHGRVGPNPHSGTPLLR >Et_10A_001926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11405254:11410558:-1 gene:Et_10A_001926 transcript:Et_10A_001926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFQESLPSIVSTIIAPKCLFVFSNIIVVFLVSESKLSRRRRALLNKDNKASPTDTSMLSEMKEEGPMAVTEALLPTFTGESNQDQESSMVMGIDEDKGSSDLNEGLQMHQCEEQVDHLFLHEFYEVQEEEEEEELILQEGLRGKEEQECAAKQEFQEMDLPPADELNRRVEDFIARFNMERQLEAKMLVTVSDEPKFVGDSRIPEQRPPMQHPKT >Et_5A_041679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25865075:25866753:1 gene:Et_5A_041679 transcript:Et_5A_041679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSFPPRQLPLSLFLEQKTGLLQLNKPSYKTKRKARFGELDAYELDREVRKQSPCVPWQLQHGVYSGGSRGILRIDPPPPLNVDGDGSSMTGE >Et_9B_064664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17473723:17476903:-1 gene:Et_9B_064664 transcript:Et_9B_064664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRSQCAVKTPLQPGSLSVPASRANASHRLPLAFPPRTLLTNGMEELASRRPSFVRGPCSVRSRRAGAQGQQKKMKKQHRRPLLFRISAGNKMVIPFAPFAYLVREITEFRSRKVTRWTPEAILLLQDVAEFYLEVMFENRLTFTTDVELGRAIITAVLEELEGSQYMGEHIVTEPAATATARQQRKQEEPSQRASAPAKRTRRRKAPAEGAGIRELCDKRARWPSSRYPASDWVGGNS >Et_10B_003880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7142369:7143068:1 gene:Et_10B_003880 transcript:Et_10B_003880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPQRGADRSFGWKPHKGHEQSSSLPSSEGFEGTASYRRYGNFWYPVSLLVPMLAVHDTFTARLTDVILATMHGQGRHDVAQGPSPSPSCTGQTTQPATTRSSAPARTTSSRSSTRSTYENHRSVPAGPLLQAMAPTPPRILAVHTPYSALPASAEASKCPVIYLCRDPKDVAVSL >Et_8B_060842.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:964812:966356:-1 gene:Et_8B_060842 transcript:Et_8B_060842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADTSTTLLYAALLAAAFLYLFAVLRRGRGLPPGPTGLPLVGSLLSLDPELHVYFARLAAQYGPIFSIRLGSKLGVVVTSSSLAREVLREQDLVFSSRDVPDAARSISYGGGQNIVWNPVGPTWRLLRRVCVREMLSPSGLENVQGLRAREFRATLAHLHASAGAGTPVDVGAQMFLTTMNVITGTLWGGSDSERAAAVGKEFRHLVAGITDMLGAPNVSDFFPALARFDLQGIRRKSDVLKDRFDQMFARVIDQRRIRPVKAGGDAQAQEEDFLEVMLRLEKEGGDGKAAFTMTNVKALLMDMVVGGTETTSNTVEWAMAELMQRPRVLGKVREELDAVVGTDTLVEEAHLPRLHYLHAVVKETLRLHPALPLMVPHCPTADAAVAGYRVPAGCRVFVNVWAIQRDPKVWKDPLEFVPERFLLPSGGRRWDFTGNELDYIPFGSGRRICAGIAMAERMTAYSLAMLLQAFDWELPAGAKLDLAEKFAIVMKKATPLVAVPTPRLSKPELYSA >Et_5A_041056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17522606:17527382:1 gene:Et_5A_041056 transcript:Et_5A_041056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKGGGRIPVPPAGAGALAKVAVIGGAAVYAVMNSLYNVEGGHRAIVFNRIQGIKDKVYPEGTHFMIPWFERPIIYDVRARPNLVESTSGSRDLQMVKIGLRVLTRPMPERLPTIYRTLGENFNERVLPSIIHETLKAVVAQYNASQLITQRETVSREIRKILTERARNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAIIRAQGEAKSAELIGQAIANNPAFLALRQIEAAREISHTISTSANKVFLDSHDLLLNLQQLSVANKQKK >Et_2A_018790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7603939:7635929:-1 gene:Et_2A_018790 transcript:Et_2A_018790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLACNSQERKDNTVQIAKPNRARPIEAFDLHQRYQGQKFKFTAPGRRWLSLAIVCRPTRFSLLSAVYKAWINGETVADVASPMPTSTMELALALSMALALMITLMVLVLSSVRRRQRKKELNLPSGPRGWPVFGSLGLLAGTLPPHRVLAKLAARHGPLMHLRLGSSEETARLVLKTHDLAFADRPPTAFGEIIGYGYQGIVQTPYGPYWRMARKLCATELFSTQRI >Et_2A_014468.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:10650775:10651031:-1 gene:Et_2A_014468 transcript:Et_2A_014468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVLGAFCWALWLTRNDYIFRNVICKSPQAVVHRANALMRKWKALTNEATQTKVELIVERFKEYFEAEDSRYRVVALHPI >Et_4B_039794.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29881431:29883062:-1 gene:Et_4B_039794 transcript:Et_4B_039794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPLHVLSALDAAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVAKLLGRIYYFRGEGAADPGSLPPNVAAAVNGVAFCGTLSGQLFFGWLGDKMGRKRVYGMTLMCMVVCSVASGLSFGHTPASVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILTGGVVTLVVSAAFRARFPAPAYAEGPAGSTPAQADYVWRLILMFGAVPALLTYYWRMKMPETARYTALVARNAKQAAEDMAKVLQVDGAVAVVHDDDDDDGTKKNNNNSTSWGLFSREFARRHGLHLIGTASCWFLLDVAFYSQNLFQKDIFSAIGWIPKARTMSALEEVFRIARAQTLIALCGTVPGYWFTVALIDVLGRFKIQMMGFAMMSLFMFGLAVPYHHWTTPGGNHHVGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGSFGFLYAAQSKDKAKADHGYPAGIGVRNSLFVLAVCNVLGLALTLLVPESKGKSLEELSGENDDDDAPAVTHARTVPV >Et_2A_016977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:353971:356382:1 gene:Et_2A_016977 transcript:Et_2A_016977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHQHPHLLDFSAPPTMEAPPLAFHNDVDGLLDVHVVNGTDMPAGEDNTAAPWPHQAVSLSLAPNKAGKRDDVEPLSSVLCCGPSLSSMDLLELERRKVRLLSMVEEVDRRYRRYREQIRSVEASFEAAAGGGAAQVYTRLALRAMSRHFRCLRDALVAQVRAVRKAMGGGGEISRDTPAGASKGDTPRLKVLDQCLRQHHQQHSVVDNYPWRPQRGLPERAVAVLRAWLFEHFLHPYPNDVDKHILARQTGLNSMISSSIATDGADHLFHNGYPNLHGNHHSAVSLTLGLQQQSFASLMMQQQQRSTHIFQAGDREQQTALPYRNLMGSQSLHDLA >Et_2A_017443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34403064:34407889:1 gene:Et_2A_017443 transcript:Et_2A_017443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSTSSSPPRKLLHSLVYSAVQRCRMSKSPCRLTVSLKRPAEPSPLRVSVSDTGVGSKLEEFLELDALAHETPVEKWDGTLLITTTGIDDEAIYRYQFNLQEEISSARFTKLATTYKNHATFSGTEVCLCLSNESDANDFISWLVGFIRKNLACELFIEQTGSAQTRTVCLPQDYDDDSLSVTTSSIDRLVSGLKDYALSHGNTCDKCDTCSLNRDRLKIGTGAVSNLDRKKVKDLHIDLVIVTAHTASDLSCWMVNCSSTQVLNFEEFVPCTISQSSFEVLMNMDWQSYGFKLKGGFMDDEGNAILEWDNVTFAPTAVQEWQGSQQDRYLVRKALKSALSHLKTDHAGDFLSCHSQRVREYVPDLAQSIAGLIMSSNDAEFQGECIALLGLGSDQEVSEGEVKSSIFDKMTRIIEMNDTKENVEDSAPYLFECEKLDEDSELYEEDGNEEMVFDF >Et_10A_001478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3831298:3837292:-1 gene:Et_10A_001478 transcript:Et_10A_001478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRHLLPLVLLVLLPDATFSAAAAGDDAGGGCQRRCNGAAVPYPFGFSGDCPILLTCNASVSGTPILLPYSRNSNASSSAAAAYPILSFNSTSSTFLSLIDPSCNRTVAEARGSLAGAGYGVSSRTGLFLRGGACRAPATGANCTVPADLMARLLRTAQCGGGGGNDTAWTCVASVPPAPGSAAAASGRGQFMAWDAVRASGCEDALTAAVYGDTTPQLGVTSLQFGVAEFGWWLAGNCTGGQHRCAANAACRDVETPGGAWGHRCACTDGMVGDGFAAGDGCHAASARTSEQAAYMHPLIYLLLLLLPYATFSAAAAAATGGDGRGCNRRCNSNDPVVPYPFGFSGDCPILLTCNATTSTAMLPHNTPILSFNSTTSTFLATVSPSCNRTVRDAAASLTSARYGVSNRTGLLLRGGACRAPPATGSSSNCTVPADLVTRLLRTSECAGGGNDTDLTCVAAAASGQAVGPFLRWETVSASGCEDALTATVYGDTSPGMPSLQFGMAELGWWLDGNCTGAGAGGHRCAANATCLDVRTPSGTLGHRCACRDGFYGDGFSAGEGCRVDGAPPGVLAGLVAAAALLLLCRLQRRRSAAGRYGAAMRLLSEAASSSGVPVYSYNEVARATNGFSHTHRLGTGAYGTVYVGKLPSAASAPAAPLVAIKRLRWHRHHHYHEDDDGGDGEAAAALLLNEIKLISSVSHPGLVRLLGCCLDRGEQILVYEYVPNGTLSQHLHGGGNGGGGSRRLPWRARLGVAAETAAAIAYLHGMRPPIFHRDVKSSNILLDGDLRPKLADFGLSRAGDHHLDGATRSHVSTAPQGTPGYVDPEYHQNFHLSDKSDVYSFGVVLLELITAMKVVDFERPPSEVNLASLALDRIGKGRVSEIVDPALVGEDEWVMESVRHVSELAFRCLAFQKDVRPSMSEVAAELGRIRAAAPDTDSGSGLMTELQIDVSFGSPDMAAKKAASPVSVQEVWVSDRSSPSTNGSSLFMPRFV >Et_1B_013955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25328000:25328813:-1 gene:Et_1B_013955 transcript:Et_1B_013955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGDELKLLGTFASLFALRAKLALSFKGLSFEYLEEKDVHNNKSELLLKSNPVHKKVPVLIHKGKPICEPMIVVQYIDEAFAGVGPSLLPSDPDERAIARFWAAYIDEKLFSSWMMVFKGKSDEEKAEGTKQSFAVAATLEGALRECSKGKPFFGGDNAGYIDIALGGFVAWVHAIEKLYGLKVFDAAKTPLLAAWLERFCSLDAVKAVMPDVERLVELAKMRQAQAAAAAAQGN >Et_3B_031618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6143558:6145416:1 gene:Et_3B_031618 transcript:Et_3B_031618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLQSDHEHVNPSPIKEATGDRDSLELPIEILGDIFALLEIPDLVRASCVCSSWHTAYTSLRSSGWYSRQQTPCLLYTSESAGDNVACLYSLAEKRVYKLTLPEPPIRSRNFIGSSNGWLVTADERCELHIVNPITGEQIVLPSVTTIEQVKPIFDDAGSIQEYELSQYFGEKVVGDPTTYSREDLRDTLYVKAFVFSDSSLGSYIVILIHEPFCQLSFARVEDSKWTWLPPSAGYEDCIYLDGFLYAITSTGRIDAFDLAGPVFSRKVIMDKTKDYIDENMYVVQAPSGDLLQVWRELDVIPVGDVDNEDALERDLSEITRGTKKMMLYKVDVATKGLVQINSLHGHVLFIGHNQSSCLSAEEFPHLKSNHVYMTEDEGGKATWKRNQRDIGVFNLEDNTTEEIVPSQFWYLLYREYQFNFQFQALAIDSYSGNILVSSITPSILSRANLAERANIDIRSDQVPSTPFASEPGFLEATTRR >Et_4B_037290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17768393:17769851:1 gene:Et_4B_037290 transcript:Et_4B_037290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPYFLWPPHSSSSTGAPDSDTASGFGTGLAPEMSLAPATTTTAAADTAPVSPERGRATAVARPRMRRNVSSGSGKQQQQQAGPGGAKKPPQRGLGVAELERLRCGGDPLRELGLGVVGGGGDAQVHPLPHCNHPHHHNLAPPANAFDAATARYCSSLLAQPPPPLPAPPRPAGPGCYVHHPGAATGGQQRAPPPMAPEQQYFMDRWGRMGGFTPRSPTTGGNGAGGGDHHQATQLLMPLLAPEHPSSQSTIWRPAASSSSCLQSGHRCDLCSRRMMRAFAERGAQTPATPPSPTATGTATPDYSIYDLAAAMATARQVHKQQIRKRSSHQLTRTATAHVCCVVRTTQGEEALLARDRKRGAVAGEEAARKEVREIEFFPAASTHHAGGVTRPDESEFVAPVSSSYGSAGSYAAAAAPLDLSLKL >Et_3A_026180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5116420:5119101:-1 gene:Et_3A_026180 transcript:Et_3A_026180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTSCDFAAARGAIPAARSSHRPSLKTTPAASTNKKPAAAGVRCAASRSRGDDDAEFSCGGGGGRMVDESMVELRRRIHEMRAAERNWEPPAGWAAWEKEWYGTYDADVCGLVAALEALLLGARPGVGVGLLAALAIAVPASAFVLVHHLLDAMQLMSHEFLPVVRGHGQATWSGQRPSLTTKAASTKKPSAAAGVRCAASRRDDAEFSCGGGRMVDEGMVELRRRIHEMRAAERSWEPPAEWVEWHDSDDTGVSDLVGVLQGLLLSAGPGVGVAIVAALALAVPASAFLLLSHLLDASRALLSNLPH >Et_5A_041327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21273982:21280340:1 gene:Et_5A_041327 transcript:Et_5A_041327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARQHPSPPCKPHLLASSPFPHHTSSRSIPLLLRLLLSLKLASHLPHRRSIGRPRLHQHGKKLAARRRIGGREEGGDEMGRGKVQLKRIENKINRQVTFSKRRNGLLKKAHEISILCDAEVAVIVFSPKGKLYEYATDNHMDKILERYERYSYAEKALISAESESEGNWCHEYRKLKAKIETIQKCHKHLMGEDLESLNLKELQQLEQQLESSLKHIRSRKSHLMVESISELQKKERSLQEENKSLQKELVERQKMARQQQQQQAQWDQQTHTQAQAQTSSSSSSFLMRQDQQALPPPSNICYPPATVGERGEEAATAAAAQTPEQTQAQLRIASLPPWMLSHLNA >Et_10B_002617.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18291210:18291377:1 gene:Et_10B_002617 transcript:Et_10B_002617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIGRLRHANFVPLRAFYYAKQEKLLIYYYFPNGNLHDRLHGTNKISYCTFRQV >Et_10B_003982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8975931:8978738:1 gene:Et_10B_003982 transcript:Et_10B_003982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKVRSFTTFPASECMRPPLSRFELLRVLNLQVNRSHDSECLDLSPVCSLFLLRYLRARGFRHLKLPDKFGKLQNLMTLDLGDSELVSCIPSGVTSLSSLRHLTLPRGAAMPNGISKLGALRSLEEFDLGKNSLESIRDLGELTKLQDLILRHDDRNTFQPLINTERLNYETLAVSLCKLGNSNLRTVVADSKVSLGNVLACSFSRPRLLRWLHLYSKTPAVPKWMVAEVCRDDVQVLAGLPCLSYLDLGAAEVPNRNTMIQIHSKEFPCLKEFNFKYDMLSLTFEPGAMPKLESLDLTFKGGSVAGIEYLASLEEISVYLKALPCDLSRIKSEISDAIGSHPRNHAIRRKKHHTVSCTNSVDSYGDLKTCVWLEEMLKKFDSILLVISHSQHFLNRICWKLPPPMLQFVEVKFGYILDNPLGRTNAILKSRSPKSRLLFMRLSRIYPNL >Et_3B_029621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26559755:26563680:-1 gene:Et_3B_029621 transcript:Et_3B_029621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQFPSLAHARPASASSRRLVAVAALVILLAASYFLLLSPSSPRPTPAVLASPSATTSFLASLDGFVAAPRPSASSAAAPSDLEAAIRAQEEARLYGEAGSAWPAAARPLRVYVYEMPSKFTYDLLRLFRDSYRATDNLTSNGSPVHRLIEQHSIDYWLWADLIAPESQRLLKSVVRVLRQEEADIFYVPFFTTISYFLLEKQECKALYREALKWVTDQPAWQRSEGRDHVIPVHHPWSFKSVRRLVKKAIWLLPDMDSTGNWYKPGQVYLEKDVILPYVPNVDLCDSQCVSETRSKRNILLFFRGRLKRNAGGKIRSKLVAELKGVEDIVIEEGSAGAAGKVAAQNGMRKSLFCLSPAGDTPSSARLFDALVSGCIPVIISDELELPFEGILDYRKIALFVSSSDAVQPGWLVKYLRGIDAKRVNEMQSHLVKYSRHFLYSSPAQPLGPEDLTWRMIAGKLVSIKLHIRRSQRVVRESRSSCTCECRVGNTTRML >Et_5A_042097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6277343:6281689:-1 gene:Et_5A_042097 transcript:Et_5A_042097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVASLPLPSRAHAPRFLAARTTRCGLPPRVLSPSPSASPLLSSAPARFSSGRSASFNFARERRRDTARACTQADAAGPVPLSKTLANLKDSCWRFLRPHTIRGTALGSIALVARALIEHPELINWMLVFKAFYGLVALICGNGYIVGINQIYDIAIDKVNKPYLPIAAGDLSVQSAWLLVILFAAAGFSIVVSNFGPFITSLYCLGLFLGTIYSVPPFRLKKYPVAAFLIIATVRGFLLNFGVYYATRAALGLTFQWSSPVAFITCFVTLFALVIAITKDLPDVEGDRKNIAFLGSGLLVANYIAAIVVALRMPQAFRQPVMITVHALLAAGLIFQTWVLEQAKYTKDAISDYYRFIWNLFYAEYIFFPII >Et_1B_010870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14941960:14946390:-1 gene:Et_1B_010870 transcript:Et_1B_010870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAASLASKARQASSSSAARQVGSRLSWSRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRNVVIEQSFGAPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFTEGCKSVAAGMNAMDLRRGISMAVDAVVTNLKGMARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVVEGMKLDRGYISPYFITNQKTQKCELDDPLILIHDKKISNVHAVVKVLELALKKQKPLLIVAEDLESEALGTLIINKLRAGIKVCAVKAPGFGENRKANLQDLAILTGGEVITEELGMNLDNVEAHMLGTCKKVTVSKDDTVILDGAGDKKSIEERAEQIRSAIEQSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGERKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLQTANFDQKIGVQIIQNALKTPVHTIATNAGVEGAVVVGKLLEQDSSDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTESIIVEAPKEEKEAAPAMGGMGGMDY >Et_3A_024872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2495527:2499206:-1 gene:Et_3A_024872 transcript:Et_3A_024872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPAGDTPDFPTIEPTSFDVVICGTGLPESVLAAACAAAGKTVLHVDPNPFYGSLYSSVPLPSLPSFLSPDLSIPLPKPSSSGDSDGSHTVVDLQRRSIFSDVETSGAAPEPSRRFTVDLIGPRVLYCADEAVDLLLRSGGSHHVEFKSVEGGSLLYWEGQLYPVLDSRQAIFKDASLKLKEKNVLFRFFKLVQAHIAAASASGNEAEEGKIPEEDLDLPFIEYLKKQQLSPKMIAVVLYAIAMADYDQDSADSCEKLLTTREGIQTIALYSSSIGRFANAEGAFIYPMYGHGELPQAFCRCAAVKGALYVLRMPVSALLMDDEKKHCVGARLASGQDILCQQLILDPSHKMPTLDVPLNLLRKVARGICIISKSVKPDSSNLLIVFPPKALEEQQIAAIRVLQLSSNLAVCPPGTFMAYLSTPCADASSGKQCIRKAIDALFSPQASDSLEGHQETTSENNEDLKPTLIWSCVYIQEITEFFANICPNEEFLPKKSAPVYADDDSDSAEQQRERNVNEGVLHVTVCLVVQSAKEHTK >Et_5A_041229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19951097:19952412:-1 gene:Et_5A_041229 transcript:Et_5A_041229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQHRIKGTLLAPPKGSHWSEETSRHWGYVQLCRRTHRHQWCSAIDGNGKTWWQSSRKTNSNIASKLAPTALTFYSCSNLNVENLKLVNSQQIHISAEHCIHVHVARLSITAPGTSRHRLHPYHTQQKCTVKTGDDWSIEDGTENLHVKNIACGSGHGDNGSEAHVTNVTVDTARLHGTTNGARIKTWQGGRGYAKNIVFQNMIMDNVLNHVIIDQKYCDSATPCKKQSSAVEVSNVLFKNIRGTSASKEAIKLRCSTSVPCHGIALHNVKLTLKGDEDAKSSCVNVEWRKSGTVLPEPCTRMN >Et_7A_051895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:26136791:26141026:-1 gene:Et_7A_051895 transcript:Et_7A_051895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWLRYAAHKFEYSITLSWKKYNVGQINSTELTDAIWKNFFQGKLTFTHLTKGCEAMAPIVTAAGGTLLVRKLANLSPTQVFVGDVVLLKDPEKSDDLIVRRLAALEGYEMVSNDEKDEPFVLEKDQCWVLADNQALKPKEARDSRLFGPVPMTDILGRVIYSLRTAVDHGPVDNSTVAMNQDAPVLAVELDVEEMAKNNKIHIQ >Et_1B_014376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:787632:789602:-1 gene:Et_1B_014376 transcript:Et_1B_014376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Et_5B_044718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5024143:5026480:-1 gene:Et_5B_044718 transcript:Et_5B_044718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLALPVPVGRRVRGRGRGAPAPPPVWPVAFGSTELTGPLDYMEDTTSIHRAFFTWVGGKVMHFAGVFDGQGGTHVSALCKRQMHLILAEELAAESARFLLRRGHHQRLQAPWVEQDEEEVAWRAALIRAFARVDALSDLACACGEATRPRCCACPRSDVAARCVGSTAVVAVLVGDRIVVANCGDSRAVLCRGPRGSPPLPLSDDHKPDRPDERARIMSVGGQVLYDVNRKPRVRGLLATSRALGDRFLKPEVICEPEITVTQRTLADRFLIVASDGMWDVLSNKNACAVARRCLEDGNNHPTAATAGEVGLDQERRCKAAATFLAELALDMDSRDNVSVVIVDLQNRVD >Et_3A_025279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28488961:28491519:1 gene:Et_3A_025279 transcript:Et_3A_025279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLTIFAFVAASLFFASIPHAKSADLNSDKQALLAFAASLPHGKKLNWSSTTPVCTSWVGVTCTPDKSRVHTLRLPAVGLFGPIPSDTLGKLDALEVLSLRSNRLTIDLPPEVGSIPSLHSLYLQHNNLSGIIPTSLSSSLTFLDLSYNTFDGEIPLKVQNLTQLTALLLQNNSLSGPIPDLQLPKLKHLNLSNNNLSGPIPPSLQKFPANSFLGNTFLCGFPLEPCPGTAPSPSPVSPPSPSKTKKSLWKKIRTGVIITIAAVGGVLLLILILLLLICIVKRKKHTEPATASSKGKAVAGGRTEKPKEDYSSGVQEAERNKLVFFEGSSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVVGKKDFEQQMEMIGRIGQHQNVIPLRAYYYSKDEKLLVFDYVPSGSLAAVLHGNKAAGRAPLDWETRVKISLDVARGIAHLHAEGGGKFIHGNIKASNVLLSQNLDGCVSEFGLAQLMTNPQSAARIVGYRAPEVLETKRPSQKSDVYSFGVLLLEMLTGKAPLRSPGREGSVEHLPRWVQSVVREEWTAEVFDTDLLRHPNVEDEMVQMLQVAMACVAIVAEQRPKMEEVIRRITEIRNSYSSGTRTPLEDKPETSQAP >Et_3A_024438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20878392:20882493:1 gene:Et_3A_024438 transcript:Et_3A_024438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDLASKAKEAFVDDDFELAAELYTQAIDAGPATADLYADRAQAHIKLGNYTEAVADANKAIELDPTVHKAYFRKGAACMKLEEYQTAKAALELGSSYASGDSRFTRLLKECEERIAEEASQAPVKKVEAAPAAPVAAAVGDNENGTILENAESMAEEPKKLKYRHDFYNSPTEVVLQIFAKGVPADNVTVDYGEQMVSVSIDIPGEEPYHFQPRLFSKIIPEKCKYLVLSTKVEIRLAKAEELHWTSLEYNGKPKVLAQKINAPAASASRPSYPSSKSKKDWDKLEAEVKKEEKDEKLDGDAALNKFFRDIYSDADEDMRRAMMKSFVESNGTVLSTNWKDVGSKKIEGSPPDGMELKKWEI >Et_8B_060575.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:2693931:2694701:1 gene:Et_8B_060575 transcript:Et_8B_060575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAIEQDASGHRMQRGRRRADARRMQDPPAGRRDVVEAHLRRAPAQRGHPSYSSRRPHSCMPIERVRRGITSSGSTSVRGAAESAQSSQPSYVADRKTGPPRRHHGHRGSTYVQLAPLRRTVRGQDSCVTIMSIDLPVRARAEAFGLNGAPLIDRGLAPGRAAAAPRPRALPHHRHDGAAPSSVCRYLSDTTGGNNIECGPGHGTSIGSLGDHNSEAQVSGITVDGAHLLSSTFCSVFGSRVGREVEGTLKASSS >Et_7A_052105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4708975:4715173:1 gene:Et_7A_052105 transcript:Et_7A_052105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATVSSASGLLAMLQEPAAELKLHALASLNSVVHLFWPEISTSVPTIESLYEDEEFDQRQLAALVVSKVFYYLGELNDSLSYALGAGPLFDVADDSDYAHVLLAKALDEYASIKTRAFKAAEEEENIDPRLEAIVERMLEKCILDGKYQQAMGMSVECRRLDKLEEAIVRCDNIQGALSYCINLSHQYVNHREYRFEVLRCLVKIYQTLPNPDYLSICQCLMFLGEPEPVATILDKLLSGNKDDVLLAYQIAFDLYENENQAFLLNVRNRLTSQTPLPSNPDSGTALQDDQTISAGTEPAGDVQMRDDTTTPNGSTHTVDPNVVAHADRLAKIKGILSGETSIQLTLQFLYSHNRSDLLILKTIKQAVEMRNSVCHSATICANAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLQQGRALMAPYLPQSGAVGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSAEVIQHGACLGLGLAALGTADEEIYEDIKNVLYTDSAVAGEAAGIGMGLLMVGTASEKASEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYSGTANNKAIHQLLHFAVSDVSDDVRRTAVMALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGSGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESFDSHVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSRNKHDKLTAVVGLAVFSQFWYWYPLLYFISLAFSPTAFIGLNSDLKVPKFEFLSHAKPSLFDYPKPTTQQTTTSTVKLPTAILSTYAKAKSRAKKDAESKANQEKTAEDASGSTSSKVAKTQEKEKEKEKDAEAMQVDNATEKKAPEPEPTFQLLTNPARVVPAQEKFIKFLEGSRYVPVKPAPSGFVLLRDLQPTEAEVLALTDAPSTVAASTGNTAAAAGQQGSGSSAMAVDDEPQPPQPFEYTS >Et_4B_039474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1838005:1847530:1 gene:Et_4B_039474 transcript:Et_4B_039474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNGEEALLLQADGTTMENQGALAVSEKVPDLDLILHDHVGEKAKGANSTMQKGGGEAEENKVGMEIDGDALTRPKAVHVPPQEIPATKQKGEKGKNKEGAGVRGAVANGVQSGADAGNKKGTFKKFPREKKGTAANIVAAVKKRPAEEGESVGLEEKKKQKELNLKFCTSGSALPP >Et_1A_008756.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:11946444:11946761:1 gene:Et_1A_008756 transcript:Et_1A_008756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVMKIASERAVVVFTLSSCCMCHTVTKLMADLGVNALVHELDRDARGKDMERALLKMLGGRGPAVPAVFIGGRLVGGTNKIMLLHLAGELKPMLQNAGALWL >Et_1B_009692.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:15350792:15351061:-1 gene:Et_1B_009692 transcript:Et_1B_009692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLFNVSLSLYCLDLASSLNACFPSCLCWAYDRMYTNVTHTYNDGTINKDVSTHHSECSMKVYKTVQQATMMGSSHLLFACTLHYQFY >Et_7A_051549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20100290:20102979:1 gene:Et_7A_051549 transcript:Et_7A_051549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVADAVVVVVSAPPPQPEPALGRVRSLPAAAKPLAWVLLATAWVGCASLGAGTVAHRVWGMDSPVANALLIISVAAIFFTGLPVAVFSVHVMRVTGLRVSTVVKEIVACVRETIAKKAFGALMWELMQDTALLGMVAWVPFMFLLFTGLLIVLLSADGSKREWIGQAIFDVGVLGFATISCFIIIPNFAVKLWKTKAVQCRIAISEKRHHDYN >Et_3A_025933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34094182:34097986:1 gene:Et_3A_025933 transcript:Et_3A_025933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSASQQPRRPPPPPAANGKAPTSSSDKPTSPVKDSSSVERTVKKLRLTKPLTLPEATAVSEACRRMAARRVDAALLTDTNGMLSGIVTAEDIAGRVIAEGLKPEETSVVKVMTRNPVFVMSNASAIEALQKMVQGKFRHLPIVERGEVIAMLDIAKFLYDAISRMEKAEEQGSAIAAAMERVDRQWGNDSPGPHAFMESLREQMFKPSLSTIITENSSVPVVSPSDPVTLAAKKMREHRVNSVLVMTGTMLLGIITSKDLVLRVLAQNLSPEITPVEKAMTVNPDCATLDTSILEALHSMQDGKFLHIPVEGGSGANDVANTMIQKFWDSALAVQSAEDFDALMKLVWWHQTILKGSMYSLLISFCFKIEDKRGRVHRFSCVSESLDELVSAVAYRLRMENEKWNIKLLYDDDEGDKVLLTNDSDLTAAIQYAKSAGWKVLRLHKDESDTRRESTVSLVDPSPPQRWRPSLRFGIAAGAAALAGVGVVVYLKRSQL >Et_8A_056805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17048319:17051110:1 gene:Et_8A_056805 transcript:Et_8A_056805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATGGRQRHCRRLVHVVVVVLLVVVQIRLALGIPTTLDGPFPPATRAFDASLRRGSDDVPLTDPRLAPRAPPPAPEQIALAASADAAASVWVSWVTGAAQVGSHLIPLDPAAVRSEVWYGERSVPASAAGGNATVAQYPHVATGSAEVYSQLYPDYPGLLNYTSGVVHHVRLRGLRPATRYYYRCGDSSLPGGLSDEFSFVTLPAPGAGAYPRRVAVVGDLGLTGNSTATVDHLARNDPALLLVVGDMAYADQYRTTGGKGVPCFACAFPDAPIRETYQPRWDGWGRFMEPITSKIPMMVIEGNHEIEPQGHGGAATFASYLARFAVPSEESGSNTKFYYSFDAGGIHFIMLGAYVDYNRTGAQYSWLERDLQRVDRRVTPWVVAAWHAPWYNSYASHYQEFECMRQEMEELLYQHRVDIVFSGHVHAYERMNRVFNYTLDPCGPVYITVGDGGNIEKIDIDHADDPGKCPSPGDNHPEFGGICHLNFTSGPAKGKFCWDRQPEWSAFRESSFGHGILEVLNSTYALWTWHRNQDAYGENSMGDQIYIVRQPDKCLLHPTIPLQDAGLPPPMSSRSSANSAVTSVQPLWNLFAITCIVLISTVEKHIYHKA >Et_1B_014194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35077466:35080882:-1 gene:Et_1B_014194 transcript:Et_1B_014194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNICGGAGKAHVAEDFRPSSPGTTMTPKTSGSITTSNNTTGKLSSVDSSFMASTGSRSTSGGFDEGGKSPLGQILEAPNLRTFTFMELRTATKNFRPDSVLGEGGFGRVYKGWVDEKTMNPTKSGTGMVVAVKKLNSESLQGYEEWQSEINFLGRLSHPNLVKLLGYCWEDKELLLVYEFMAKGSLENHLFRRGCAPLSWELRLKIAIGAARGLAFLHASEKQVIYRDFKASNILLDANYNAKLSDFGLAKLGPTGSNSHITTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVMLEMLSGQRALDPNRPGGQLNLVDWAKPYLADRRKLARLMDPRFEGQYNSKQALQAAQLTLNCLAGEPRSRPSMKEVVETLEHIELMKSRAREARGNGPARDRQHGRSTVHQRSSPRSEPRRGPRANGHATKAR >Et_8A_056850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17888910:17890427:1 gene:Et_8A_056850 transcript:Et_8A_056850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCKSLNVQTVANTLALAELHVCSELKDACVEYIMASGRIGDVVASPGYEHLKKECPIVFITCYYYPDGSISAESTDYISVFLQLLSQKASVRANFNFRLVDWTTGSPISIKPDSDMSKFSTVPNAESVQTWGIRKFMKKSDLEASAYLQDDCLVIHCDITIVKEPQVAQTAVIEVRVPPSDLTDDFGKLLETGEGADVTFLVRREMFSAHKIVLAARSPVFKAQLYGQLGKDNREFITIEDMQPGVFKALLRFMYTDSLPDIKDIELDEIHEDEDVVKHLLVAADKYALDRLKLVCTDMLCKSLNVRTVATTLALAELHSCSQLKDACVEYIMTSGKIGDVDVVASPGYQDLKKECPIAFVSLWEKATQLL >Et_3B_027735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16762859:16764100:1 gene:Et_3B_027735 transcript:Et_3B_027735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTHMPAATTTNLSPSPGAARARSSPKRQVIVIIATIGGFIFLSMLFLFLFFICKRGKRHRGMDEAEDFGELQGTPMRFTFQQLKVATKDFRDKLGEGAFGTVFKGQIGEDIVAVKRLDRTGQGNKEFAAEVQTISGIHHINLVRLIGFCAEKSNWLLVWIYYQQGINVQLDWKMRCKIITHVAKGLSYLHEECSKRIAHLDVKPQNILLDDNFDAKLADFGLCKLIDRDQSQVITRMRGTPGYLAPEWLTSQITEKADIYSFGVVVMEIISGRKNLDTSRSETSVHLITILEEKVNNGQLVDLIDENSHDMQAHKHEVIRVMKLAMWCLQIDSKRRPRMSDVVKVLEGTMNVETDIGHTFVATSQTIFGIAENAAVISSPPRASNVSGPR >Et_6A_046026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19215986:19216393:1 gene:Et_6A_046026 transcript:Et_6A_046026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSYYRCAYRQEQGCKATKTVQQQDERIGTDNPVMYTVVYIGQHTCTGNNGGDSGTNNSKTDSQSSILGICSDSCDHRMSLDGNNLIDKSTDSIKENNIHGAFDITAFAALDLDSWELDALLRFDV >Et_4A_034939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:849887:852975:-1 gene:Et_4A_034939 transcript:Et_4A_034939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSTPRPSLILPRASSHAHSQSSAVGPISDRAASRRPRGDFVFVVNPSGANGRTGKQWKQLLPHLRTRLADQCNICECITTGPSHAIDVTRKVIKDGADAVIAVGGDGTLHEVVNGFFCEGSPVHALDLGPDHSTTLGLIPLGTGSDFARTFGWTNDPRDAIDRIVRGRKSKLDIGMMEGPNRDPHFFVNVADIHLSAKAGYFASMYKRFGNLCYVFGALRGFWGHNNRDLRIKVNGGEWKTVHEVTALCIGNAKYFGGGMKITPTADPFSGSLEVVILQDFKWYDFLLKLHRLYGGTHLTVNGVSSIRAQTIEVVEVKANGDIFVQSDGEHFGFLPTKFSLLPSAVNFFC >Et_9B_063773.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:13567810:13567965:1 gene:Et_9B_063773 transcript:Et_9B_063773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRVLQAAKGSPSPSATTTLAVFLRKPPERHGSCSARTTATGSLWRPRLP >Et_9A_062588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24238305:24239052:-1 gene:Et_9A_062588 transcript:Et_9A_062588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGALTPSSVGNKPGSANNREVGGSSCRLFGFSLTENILEAEEDGSKEGNCGVDRKTPLVLDLFGHSQSAPSVLHSLCAAPLGIIAKQHPLIRFLTPPLAFKNCIPLFMVGRRHAGD >Et_3A_024975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25818211:25820939:1 gene:Et_3A_024975 transcript:Et_3A_024975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTIRSISITVSDDDGAGAAAAAPRRSRAGRRKATRGLGQRAVRLVARWWPVLLLLPAVALLLFEASRLRASPTPAPPVSSLGRLDPTTRLVHGVREPCLKLLSPKSLTNLVFPEVTRLDSVVKKIIYKSDDDDYDTYHSEANSSYLLQHAEATRFNLFTGFQTLLEREDSFKVNGTVNVHCGFYSDNGGFKISDEDRRYMRTCKVVVSTCAFGGGDDLYQPIGMANSSIERVCYVAFWDEVTLATQEAEGKVIGDNGMIGRWRIIVVKGLPFVDQRLNGKIPKMLTHRLFPEARYSIWVDSKYQFRRDPIGVLEGLLWRTNTTFAISEHGARSNIYDEGKAIVQKHKATPEEVEVQLTQYRQDGMPDDNRLHGLKALAEASVIVRELNPGTNHFMCAWFNEVVRFTSRDQLSFPYVLWRLNMPGMSMFPVCTRRDLVNSLGHTRKVKPLTRTNSDTSTSAIGALEQFPFL >Et_3B_029041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21615827:21617548:1 gene:Et_3B_029041 transcript:Et_3B_029041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAGGATPSQPPALHPAVAPLAFLLGKWRGEGEGSFPTIAFFRYGEELLFSHHPSKPVISYTQRTWKAVSGEPMHAESGYWRPRPDGSIEVVIAQSTGLSEVQKGSYDAEKKTVTLQSELVGNASKVKQITREFQVVNGELSYVVQMATNTNSLQQHLTATLKKI >Et_5B_045398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2147591:2151634:1 gene:Et_5B_045398 transcript:Et_5B_045398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRVCRGGPSSASPAGAAAGRPFPPLAASSSTSSAPSETASTSVTKTVNGSHHFKIAGYSLAKGIGVGKYIASESFSVGGFEWAIYFYPDGKSPEDGASYVSLFIALASEGTDVRALFELTLVDQSGKGQDKVHTHFGRSLEGGPYTLKYRGSMWGYKRFFKRSALETSDYLKDDCLLVNCTVGVVQSHTEGPKIYTIPVPLSNMAQHIGQLLTSGKRTDIKFEVDGEMFPAHKVVLSARSPVFRAQLFGPMKDKNMNCIKIEDMEAPVFKLPGRQHVPRLKPNTPEVPMCDIVFRHCYAVMQTDGFDYLQQSCPSLLTELLEYVAKVGEHSVSPCLYSNEVLDGGDANGRRVKPRI >Et_1B_011699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25164965:25168941:1 gene:Et_1B_011699 transcript:Et_1B_011699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHDPDLAYADYFAAGGVTTTVVPEVDAADGDPHHHLYGVHLYGVLQAEMAAAAAAHGKVELGGGHFVDHAHQRQQGHHHAPLTSLSLHGPASLAMHQHHQLAGGAHGRQHQQPWPQQQGAWHMRGSRFLLPTQQLLQEFCSIPVEITTGPKASKLAANEDGSAGASSSSAAPSAQIQAMDAAELQRLKAKLYTMLDEVDRRYRRYREQMRSVAGSFEAVAGAQAAAAYTRLASRTISRHFRTLRDGLTAQVEAVRRALGEKDAAAGVVAKGETTPRLRALEQCLRQQRAYHTGVMESQPWRPQRGLPERAVSILRAWLFEHFLHPYPSDMDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYAEEMKDPEEGAGCSNGNGNGDDRNTSYAASEGQGRGVGVNVEDGGGGGERKPTRAQLVLHDAGSLASVVSVGSRDQQSLSFDVMDNHLGLGAYDDGHVAAGGQGFGLGGGGVSLTLGLQQQHADPHGGVNVAFAAGAPSAAHEFMFMAGGEQQMVAAAGAYSGDHHGYQFVSSMQGDTVPASRTNYHRGVSATGFQVLHDLAG >Et_6A_046486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1639765:1643032:1 gene:Et_6A_046486 transcript:Et_6A_046486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPPPGSGYPYGPGGGYGAPQPYGSAAPSAPPYGEKPPKEGKTSSSSAPYYGAPAYGEKPPKEGKTSSAPYYGAPPASQPYGGGGGYGAPPGGQQYGAPYGAPQHSAAPAYGAPGGYGSPFAALVPSQFPPGTDPNVVACFQAADRDRSGMIDDKELQSALSGYNQSFSLRTVHLLMYLFTNTNVRRIGPKEFTDVFYSLQNWRSIFERFDRDRSGKIDATELRDALLSLGYSVSPTVLDLLVSKFDKTGGKNRAIEYDNFIECCLTVKGLTEKFKEKDTAFSGSATFTYEAFMLTVLPFLIA >Et_3A_024841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24756090:24757888:-1 gene:Et_3A_024841 transcript:Et_3A_024841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGSKLAQLQSKACEATRFAAKHGCAYHKTLMEKNKHYVVDPPTIQKCQELSKQLFYTRLASLPGRYESFWKELDGAKLLWKNRSNLKVENAGVAAMFGIELYAWFCVGEIVGRGFTLTGYHV >Et_8B_060469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17397093:17402512:-1 gene:Et_8B_060469 transcript:Et_8B_060469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGSRMTVVGFAFMLLAVSAGVGGSEFGLFISLMGVLAGANSITVGVRMDHDQTTAIVPAAPALSGVGSDRAFLRRRKLAVAGLAMASSAVAAVAGDAGPAHDGGILLGAAASSGLTIPNVLVPAVSSVPGLAMMRQDGPTRAEGPDDQAKLQLVQRKEQTPEKRSRRREYTADEDSRERAERLKAKRNLDFSEDRMTVVPKSKINTSVENEIEKSDDESDDGLDFLTLDGVYT >Et_9B_063824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16335842:16337152:1 gene:Et_9B_063824 transcript:Et_9B_063824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPSPRKRPFRAAAATEEEWRDWASLVPDLTQLIAARVLDSDVVDYMSLRAACARWRASTQSPHDPTLRDPRLRPRNWVALCDGDGARPVDACEVAFLNADNGRCLRASLPELRRHRVVGFTDGLLVLLNTDTTRVRVLHPFTRVAVDLPPMAPLFRSMANRFYLVVSAARLMVAVRHLYDGRSGRWGYELFDVDIEGRRLLPVSSLGDRALFIGDDRCIAVSSDKLPSVSGNAIYSAVQDRDPVTLYSVASGEFERTSTLSVIHDSKKRIRPSVRPFTLADHLLTYCFHRHWSRGLMYHEYHHVPVTWKEVWSRFKAQDREVRLTRAVLYG >Et_3A_023228.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:12471568:12471960:1 gene:Et_3A_023228 transcript:Et_3A_023228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSSHAGRMDDTELEPWRRRFDGADIFTVITHAILDAATDSPQEFHRRRDSVVEQIYNAAAVVPILGTAAAGEGPSGAARHVSAENAGNNNQHVHGHGESSADTLDSDLYKLADDMKERAWRRRRCSG >Et_3B_029820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28209347:28212207:1 gene:Et_3B_029820 transcript:Et_3B_029820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVPEPRRLSRALSSGCGGVPEEALHLVLGYVDDPRDREAASLVCRRWHRIDALTRKHVTVGFCYAAEPARLRARFPRLESLAIKGKPRAAMYGLIPDDWGAYAAPWLAELAAPLECLKAVHLRRMTVTDDDIAVLVRARGHMLQVLKLDKCSGFSTDALRLVARSCRSLRTLFLEECDIANNGSEWLHELAVSNSVLVTLNFYMTELKVEPADLELLAKNCKSLISLKISECDLSDLIGFFQTSKTLEEFAGGAFNEVGEYTKYEKVKFPPRLCLLGLIYMGTNEMSVIFPFSATLKKLDLQYTLLTTEDHCQLISKCPNLLVLEVRNVIGDRGLEVVGDTCKKLRRLRIERGDDDPGQEEQGGVSQLGLTAVAVGCRELEYIAAYVSDITNGALESIGTFCKNLYDFRVVLLDREREITDLPLDNGVRALLRNCTKLRRFALYLRPGGLSDVGLGYIGQYSGNIQYMLLGNVGESDQGLINFAMGCTNLRKLELRSCCFSERALALAVLHMPSLRYIWVQGYRASQTGRDLLLMARPFWNIEFLTADGLPSVDSQAQVLAYYSLSGRRSDCPQWLVPLHPA >Et_4A_033515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2412098:2415477:1 gene:Et_4A_033515 transcript:Et_4A_033515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATIPAPSLLVTPRPPHNHHRPRLPSLAATPFSRHVCRGRRPRTRLRVAAPPSIPGEAEQTEPSTSAPDSGEKFSWRDHWYPVSLVEDLDPSRPTPFQLLNRDLVIWKDLKSGEWVALDDRCPHRLAPLSEGRIDETGCLQCSYHGWSFDGSGACTKIPQAAPEGLEARAVRSPRACAIKFPTLVSQGLLFVWPDENGWEKANATQPPMLPKEFDDPAYSTITIQRDLFYGYDTLMENVSDPSHIEFAHHKVTGRRDRARPLPFKMESSGAWGYSGANSGNPRITARFEAPCYALNKIEIDTKLPIVGDQKWVIWICSFNIPMAPGKTRSIVCSARNFFQFTMPGKAWWQLVPRWYEHWTSNLVYDGDMIVLQGQEKIFLAASKESSADVNQQYTKITFTPTQADRFVLAFRSWLRKFGNSQPEWFGNPSQEALPSTVLSKREMLDRYEQHTLKCSSCKGAYNAFQTLQKVFMGATVVCCATAGIPAEFHVRVLIGAAAIVSAAVAYTFHELQKNFVFVDYVHADID >Et_7A_052022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3828146:3829000:-1 gene:Et_7A_052022 transcript:Et_7A_052022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGAKKRELFLGQPYRAGDAPDPGAGSIENVPHGPLHGWVGDPRQPNGEDMGNFYSAARDPIFFALHANIDRLWHVWRGLRPGGNTDFADPDWLDATFLFYDEEARLVRVRVRDCLDVSALRYAYQDVGLTWLNTKPAKTSGTPLPATGALPATLNSTVRVAVTRPKVSRSREEKEQEEEVLVVEGIEIADHTRYVKFDVYVNQCAAGADGAAAADVAITPHVSVKTAARFGITDLLDAIGADAEKTIVVSLVPRCAGEVVTVGGVSIEYVK >Et_1A_009328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39187199:39189641:1 gene:Et_1A_009328 transcript:Et_1A_009328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRALVLYAAGHAALLAPAAPAAAGSHLDAFASRASCGFLTLRSPPASVATTGAEANSSTILELAQLLDVYDHLYPAKDMETGQEAAQVDPLELAVPKLSERFMGLRAAMVTNCPRVSSFAANLGFHVFRTEDCAAKSSSSSATKEMGVINGAFGLLGLSDGNVQEASEFDLVFMHVAMENTSSKLGKLGMKTDLNRLEKLVGAIMEAAPTGSAIAPRIHVSVMLSYGSSSENKDEFAITNSSTVTDSDLNLLRPRQSYTMKAGHTLDDVRLHHPILLAQWQEGVTRVDLAEGFSFEEFMERGGNLAMLAERFLHEVAFKVWKAPKYGA >Et_5B_045140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:118095:121482:-1 gene:Et_5B_045140 transcript:Et_5B_045140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPHIDLNHAMASDPPKRGRGRPRKNPPPPTHPRPPDPDAPRVGGFATGDMVWGKKLNHAAWPGLVYSIGGNDGQLLVSYFGDKAFAWCPAADLKPYEPYFPVAELYDDGGDDFDAALEASLDEFTRRVEDTLARDHSSGRRPFVPADFIALLHGLAADRMGFTNRVHAAVAKAHATAFDKFRALPDPPQYTLHIGLPNDAAAAAAHAPPPHNYCNSSTPSRSRRGRKRKEQVIKDDSDEDWDPRKKGTTDEDSDPDVLVGSRGSRRGAGAPRGRPRGRPRKNPPDAAKVKDDTEIIEYPSAAEMLIRLSSVAADPANATYDSVPLIVSFFSKYKDSAAPSVYEDKELLEMFGSNKGRKKSTPATRAAEADSDSDQLEEEPPQGGASVDPPSDDKSADGPAALILHFSSAEAIPAVADINSIFRIHGPIVESETEITMKSRSAKVVFSKRADAERAYSSSGKYNTFGPSLLTYEIKYLPSAPQVVNEVAVDPANW >Et_7B_054007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14151499:14152339:1 gene:Et_7B_054007 transcript:Et_7B_054007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKAKDAVSVELEERLRNSKSKGKEAFTKGDYLAAVYFYSQAIAIDPLDATLFSNRSVSYLRMGKGQDAALSLLKNYKEAVHAFEEALKLDSASDEIEKALRQMLLFPSTPVFCYWCNEHIIHRISILKTCREAVQAMGSSEQDP >Et_9B_066231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7933853:7944050:1 gene:Et_9B_066231 transcript:Et_9B_066231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRCRLLRPLSCLRRGIHSSAPAAEADAATDATLLGRLTRLLLLHRFPAAARLLSSSPLTPALLHAALRRVRLDPDAALHVFRLAPSRPSLLAHAQLLHILARARRSTDARALLSSLLSARPQVPPLFPHLLEVYKDFSFSGASFDMLFRALADAGQLASALQVFDEMPKVGCRPTVRSCNRILNRLMQAGDLGGADAVFKQMQDAGTLPDQFTVAIMAKAYCRDDRVAHAMTFLEEMEKMGVEVNLVAYHAVMDGYCAVGQTEDARRVFESLPRRGLSPNVVTYTLLVKGYGKEGRMDEAERVMTEIRENDQVVIDEVAYGAVINGYCQKGRMEDATRVRNEMMDAGIQVNLFVYNAMINGYCKLGRMVDAHEVLHEMEDARLKPDAYSYNSLVDGYCRKGSMTKAFEICDVMSKGMSPNAITYNTLIDKHCKDGHITEAFKLKQKMIEEDPEMGHGFFSLSH >Et_6A_047322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3984159:3988640:-1 gene:Et_6A_047322 transcript:Et_6A_047322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAAPRRRGRSRAPSIASSGWTDEPVPTSAAAANGNGRVSTRPASPNYSVRERTVKKLRLSKALTIPEGTTVSEACRRMASRRLDAVLLTDAEGLLSGIVTDKDIATRVIAEGLRVEQTVMSKIMTRNPVYVTADTLASEALQKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAISSLEKAMEQGIALAAAMEEAEQGGGNFSAPHTHIETLRERIFKPSLSTIITETTKVATVSPSDPVCLATQKMRDLRVSSAVITSGNSLQGIFTSKDVLMRVVMKNLCPELTLVEKVEGGPGTASDVANTIMQKFWDSALALEPSEEEFDSHSELSLVMPSEVRDGRSSIYPPAVDNSFAFKLQDEKGRIHRFTCGSESLDELMSSVMQRLGIAGEKDKVQLLYEDDEGDRVVLMKDSDLVSAVLYAKSSGLKALRLHIDDSGSNDEVTRPLPELASSQGYKLTPVHYGLMACAIALTGITMMVYLKH >Et_9A_063374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2255977:2257075:1 gene:Et_9A_063374 transcript:Et_9A_063374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGTHQIGELVFATVVLALACCYSSEAQLQVGYYNATCPGAEDLIQTIVHGAIRMDAGNGPGIIRLFFHDCFVRGCDASVLLDDPTGNRTAEKDAPPNLSLRGFGVIDRAKRVVERRCPGVVSCADVLAFAARDAARIMGGVRFAMPAGRLDGRVSNASDALANLPPASFNLSQLVTRFAAKNLTADEMVTLSGAHSIGRSHCSSFSGRLYPQLDPAMNATLGKKLRGRCPAAPGRLDRVVDLDGVTPLQLDTQYYINVLTHDVLFTSDQTLIDRNDTAALVALYAGNRRLWSQKFGDAMVKMGSIDLLTGPPGEVRLVCNKVN >Et_8A_057840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8150438:8155613:1 gene:Et_8A_057840 transcript:Et_8A_057840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPPPDNHEPPPSTPPAAALTPTSTSTSTPPNPATPGPAAPNPNPNPPPAPAPGPVASAPQMLVPPMPPVPVSFAASFRPLGAPPPLQQHQQQVPQYGAVPNPGYPMAQPMQAPGVPGVMPPGAMRPPVMYAQPPQPGAYMPQLGAAVPHPGMPRYPAPYPVVRPGFPPRPMPPPGVLPIQRPPGVLPIQRPPMVAPAARPPAPAATPSDKPPTAVYVGKIAPTVDNEFLLSLLRLCGPVKSWKRAQDPSNGRPKGFGFCEFESAEGILRATRLLNKLSIDGQELVINVNDATKAYLKKHVEEKKKAQEKAKETEGVNGDGTVSGAENESSKPVPDESDQAAGDGGDKDSEENTKRFGIVTDEDSEADKDAAEKISSMIEEWLKTRPPPPPPPVQPSVDSSAVDTMKTDSVDKNDADTDKKVINETERSETGSPDKRKDKERDKRDKELERYERERERERIRRDREREREKDHKYREVERLYRDRLKEWEYREREKELQRHNEKEREKEKEHYRRKEIMKQEDESDEEDTRKRRRRGSSTLEERKRRRLREREEDLADRVREEEEIAEARRRAIELQQQADEAAAASASAAAESATTLMEVDDDDEKEANAQTAMEGDNITNLVNGSGTGHGTQKDGNSDEMSMTPGQVSDTKLNSGAPTKKLGFGLIGSGKRTSVPSVFAEEDDENNNDKRIRPLVPIDYSTEELQAVQDNSSSGPNIIAAAEFAKRISVSNPKEERTETEKDKSRRSTDKSSQRDRDRNNDDGARVNDERREKMHDRDKDKPKPENKKILDAKQLIDMIPRTKEELFGYDINWAVYDKHELHERMRPWISKKIIEFLGEEESTLVDYIVSCTKDHVQAEKMLELLQSILDVEAEMFVLKMWRMLIFEIKKVEAGLSGKAKA >Et_6A_045986.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:15785756:15785968:-1 gene:Et_6A_045986 transcript:Et_6A_045986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPAAGARRGACRAAAEEPWRTRTTARGACSWRRMAAPPLSTPGRPGWTRSSTGRSMGSSWPRVERDW >Et_6A_046696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19594871:19598198:1 gene:Et_6A_046696 transcript:Et_6A_046696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLCFLLLCQGSFAVSSGSSNSTDELALLSFKSVLSSGLSSLLNSWNDSSHFCSWPGVVCSRRHPDRVVALRMISFNLSGAISPFLGNMSFLKMLDLHDNQLIGTVPWELGHLGRLQVMNLSTNHLHGSIPVTVGGCIKLKMLDLSTNQLQGEIPYEIGTLNNLVSLNLGNNGLSGVIPLSLAYLLSIKQLVLRNNKLSGEIPPSLGNLTKIRVLELSHNMLSGTIPSSWGMFPSLSWLNLGFNNLSGSIPSALWNLSSPTVLSVQTNMLSGTIPLNAFDSFPYLERLYMDENQFHGPIPASISNVSHMTLLQLNTNLLHGIIPPEIGSLKNLIILQLYGNSLRAKEQKDWEFIAALTNCSQLKLLGLSDNKFRGLLPGSISNLSTSLTDLYLDVNRISGSIPKDIGNLINLRTLLLEQNFFTGSIPLALGNFTELTYLQLDQNSFSGTIPSTLGNLTKLWGLGLSDNQLTGPIPSRIFDIPALSRFLDLSNNYLDGTLPQEIGNLKNLIEFDAQSNKLSGEIPTTIGECQLLQYLSLQNNVLSGNIPVLLSQVKGLEKLDLSGNNLSGQVPKFLGNLTMLHYLNLSFNKLAGEVPNYGVFTNVTAISIQGNGELCGGIPDLHLPACSLQLPKRRNKFHVVPIVTSLVTTLIILPLLVLLLMWHKKRKEKSPSTQSMQGHSFISYSQLVRATDGFSATNLLGTGTFGSVYKGDLNGQEAEGSNLVAVKVLKLQTPKAAKTFKAECKALRSMRHRNLVKIITVCSSIDSRGFDFKAIVYDFMSNGSLEGWIHPETNDQAEPSYLNLSERVIVLLDVAYASDYLHCHGPVPVVHCDLKSSNVLFDADMVAHVGDFGLAKILVEGSSLLQQSTSSMGFGGTICCPRLHCVLCTKSKCFLIITATEYGAGNMVSIHGDVYSYGILVLETVTGKRPTDSRFGQGLSLRESVDLALQNRVMDVIDTRLSLDLENERHTRGDASSKKKIDSMVALLELGMSCSQELPSSRMPTGSIIKELLAIKDSLMGEHRA >Et_4B_039579.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:22615794:22616636:-1 gene:Et_4B_039579 transcript:Et_4B_039579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLRQARWLLVEHPAVASFRWQPGRTLGATPSFAAAVIFGYLATVLLLRRVVLPRLPPPPPRALRAASAAHNAVLLALSAAMVAGCALSTAATAPPPRRWRWPFCFPPGDGATEASGPVFFWAHVFYLSKVYELGDTLLILLGRRPLTLLHVYHHAVVVAMCYLWLATRQSLMPIALVTNAGVHVVMYAYYFSCSVGWRWPPRCKRAVTELQIVQFLFSFAASVVMLWLHFTDGGCEGMAGWVFNAVFNASLLALFLDFHGAAYAAAKGKKAGNKAE >Et_3A_025708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32039632:32042654:1 gene:Et_3A_025708 transcript:Et_3A_025708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEAPRVACGGGADDGAAHDVDVVTTGGRRKIPAHSSVLASASPVLASIIERRMQKDRESGKTGRAVVRIRGVSDDAAAAFVRILYAGRCGGGEGAVEESEDMEKHALQALVLAHAYQVPWLKRCCEGAIGARLTADSVVDVLQLAALCDAPRLHLRCTRLLAKEFKAVERTEAWRFLQENDPWQELDILQRLHDADLRRRRWRRKRAEQRVYVELSEAMDCLAHICTDGCTEVGPAGRAPAASPCHRYATCRGLQLLIRHFSQCHRKSCARCQRMWQLLRLHSALCDRPDQCNTPLCTRFKAKEQERAAAKAGDDDDKWGLLVKKVKAATVFSSLANRKQMTSSAQC >Et_10A_000769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16980778:16983886:-1 gene:Et_10A_000769 transcript:Et_10A_000769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLEDVPSLDLMHELLRRMKCSSKPDKRLILIGPPGSGKGTQSPLIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMEKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVTQAQKLDEMLAKQGANVDKVLNFAINDAILEERITGRWIHPSSGRTYHTKFAPPKTPGVDDVTGEPLIQRRDDTAEVLKSRLEAFHRQTEPVIDYYSKKGLVANLHAEKPPKDVTAEVQKALS >Et_7B_054067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14980552:14982098:-1 gene:Et_7B_054067 transcript:Et_7B_054067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRGKNKAELQAQLKELKSELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKAALREAYKKKNFLPLDLRPKKTRAIRRRLTKKQLSLKTEREKKREKYFPTRKYAIKA >Et_4B_036511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27593928:27594607:1 gene:Et_4B_036511 transcript:Et_4B_036511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGESGVQIADAASSSSSAGKGAAQNVVTCLYQTQFSGRPCVISVTWCKSLMGQGLTVGVDDLSGHSLCKADIKPWLFSKKKGSKSLDVEDGKIEIFWDLSSAKFGAGPEPLEGFYVAVVFDLELVLLLGDMKKDAYRKTGANRPMLNAAFVARKEHIYGEAPSMEIQGKSDNSC >Et_4B_037250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17120953:17125418:-1 gene:Et_4B_037250 transcript:Et_4B_037250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVRCGRVGGGGGFFSGGRRCYGYQEEIHKLTGAEIFLTARITIAADLASNPVVGSSISMIDGFETSSTATVSLFLCSKDSPFIPGIPTKASFISSSSTIFRTSSTNSCI >Et_4A_035934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8834128:8843089:1 gene:Et_4A_035934 transcript:Et_4A_035934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKRRAGAAAGAASSSKKPRAAASYAESLRSKLRPDASILGSLRALASATAAASKSKAALKDPADQDPSADPASSYIVVADQDSTSVTSRINRLVLAAARSILSGRGFSFAVPSRASSNQVYLPDLDRIVLLRRESARPFANVATARKATVTARVLSLVHAVLRRGIHVTKRDLFYTDVKLFGDQSQSDAVLDDVSCMLGCTRSSLHVVASEKGVVVGRLIFADDGDIIDCTRMGVGGKAIPPNIDRVSGIESDALFILLVEKDAAFMRLAEDRFYNRFPCIILTAKGQPDVATRLFLRRLKVELKLPVLALVDSDPYGLKILSVYMCGSKNMSYDSANLTTPDIKWLGVRPSDLDKYRVPEQCRLPMTDHDIKVGKELLEEDFVKQNEGWVKELETMLRTRQKAEIQALSSFGFQYLTEGLDDLNELCHSALIQHSPAGVRNGVGGFTIKHPGGGNAHALGVAEKLQHVGQAAIAERGDDSAREEAGADARREAGVVPGGRAEALGGEEEVAEAAGAGEIGGDAGVVLDGGGVAADADVGEERERLGPGPGFGARGRGRRVRSPTAAVGVGRWLRGGGGHGQRHGCGAAEWGSEDGREVEATGPLMYTSGPLHLMVQQDHISGNMLINN >Et_9B_064386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14661331:14672200:-1 gene:Et_9B_064386 transcript:Et_9B_064386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGRGEQTDAAEATKVKRKGGFRTMPFILGAAGFLVPLPHPAMHLDNISRHVCVCTTTANDFCDRLATVGFSSNLISYLTLQLHLPLVEASNTISNFNGTANLTPLIGGLIADSWAGRFWTITFGSFIYLLGMAFLTLSAVLPSLHPAPCTTNAALCYRASSHQIAVLYLSLLCTSIGTGGTRPCIMAFGADQLELDAHGGPRGAKRPKWSFFNLYFFGIELAKLTAVTAVVYVQENVGWGWGLGIPTIIMFAAAIAFVAGYSMYVKIPPGGSPLVRLAQVTTAAFKKRKAVAPDSSLLYQDKELDAAISTTGRLLHTDQLKRRRAAVRQTEPVAASIMRMLPIWAAGILLVTSGSHGNSFAIQQARTMDRDITTRFKIPPASMLIFTNITMLLTLTFYDRVLIRVLRRRTGHPNGITHLRRTGVGMALAVVANAVAAVVEWRRRSVAAASGLLDDPKAVLPMSVFWLVPQYAVHGVADAFMDVGRMEFLYDQAPESLRSTAAALYWLTNSMGSYLGTVLVTVVHDKTQRSGQWLQDNLNRGKLDYYYWLVVVLQVVNLVYYLVCVKYYTFKPLETTGGDNEDGTGEKKDAAVVKKEKRQGGFRTMPFILANDFCDRLATVGFSSNLISYLTLQLHLPLVEASNIISNFHGTANLTPLIGGLIADSFAGRFWTITFGSVVYQLGMVCLTLSAALPSLRPPPCAKHAAVTGNCQRASSFQLAVLYASLLCTRPKWSFFNLYFFGVELAKVTAVTAVVYVQENVGWGWGLGVPTIAMLVAVVAFVSGYSLYVRMPPGGSPLVRLAQVAAAAFKKRKAVVPDSSFLYEDKQLDAGISTTGRLLHTDQLKFLDKAAIVTDGDVLPSGQPKLWRLSTVHRVEELKSIIRMLPIWAAGILLVTSASHNSSFAIQQARTMDRAITPRFSIPPASMLIFENVAMLLTLTLYDRVLVPLLRRRTGHSNGITHLQRTGVGMTIAMLSNAAAAVVERRRRAVAAATGMLDAPKGAVLPMSVFWLVPQYAVHGVANAFMDVGRMEFLYDQAPESLRSTAAALYWLTMAIGSYLGTLLVTIVHEKTRGTGQWLQDNLNRGKLDNYYWLVVALQLVNLLYYFVCVKYYTFKPLEMVGGDKEAELVYHGNGSRDVGAKKGEIFK >Et_3B_028300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13023307:13028072:1 gene:Et_3B_028300 transcript:Et_3B_028300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVVGAPSSCFGFGHHAQRTAAVRLRMPPVRAADTSSAQPAARLRAGNDEAALSLVRGSQGDDGGLRGFGAARQVPQRLYKLDELKLNGIDTSAFLSPVDLTLGSIERNLQIAALLGGLSISYTMELSQLQILLTVLGLLSLWSVDFVYFSGGLRNMVLDTIGHSLSQKYHNRVIQHEAGHFLIAYLLGVLPKGYTITSLDTLIKQGSLNVQAGTAFVDYEFLEEINAGKLSATMLNKFSCIALAGVATEYLLYGIAEGGLADINKLDGLLKSLGFTQKKADSQVRWAVLNTVLMLRRHEKARSQLADAMSSGKSVGSCIEVIEENINTEDI >Et_1A_009096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2966709:2969803:1 gene:Et_1A_009096 transcript:Et_1A_009096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASLQSFLPPSPHAASSRRRPSRARPVQCQAVTVPSSSSSSPAAVSAERLEPRVEKRDGKYWVLKEKFRTGLNPQEKVKLEKEPMGLFMEDGIKELAKVPMEEIDAEKLSKDDVDVRLKWLGLFHRRKHQYGRFMMRLKLPNGVTTSEQTRYLASVIEAYGKDGCADVTTRQNWQIRGVTLPDVPAILDGLRAVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRPYTNLLSSYITNNSQGNPEITNLPRKWNVCVIGTHDLFEHPHINDLAYMPAVKDGKFGFNLLVGGFISPKRWAEALPLDAWVAGDDVIPVCKAILEAYRDLGARGNRQKTRMMWLIDELGMEVFRSEVEKRMPNGVLERAAPEDLIDKKWQRRDYLGVHPQKQEGLSYVGLHVPVGRLQAADMFELARLADEYGSGELRLTVEQNIVLPNVRNERLDALLAEPLLQERLSPRPSLLLRGLVACTGNQFCGQAIIETKARALQVTRDVERRVSVPRPVRMHWTGCPNSCGQVQVADIGFMGCLTKDSSGKIVEAADIFVGGRVGSDSHLADVYKKAVPCDDLVPIVADLLVERFGAVPREREEDEE >Et_2A_018426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27849776:27850523:-1 gene:Et_2A_018426 transcript:Et_2A_018426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRKKPKLETAAGDPIPRQIPRISNREVEPPSLYLVIGHEVTRPSYSVFKINPFPDGGGSDVPIPFPQPLVRLDCKHCMSFVSVRSRLGSWIVGVGGNRVQDYAPETIVYDTKTGDVITGPKLLSTKLYPIVQPIGDRIYSLARKPSVKGEVNFVPWFEVLDLSQAQVVDGRLVSCEWKALPRPPCFPWELTPRQYIFPPTVTVKAFVVVGHRILMSITGQTGTHMVSLLINDTIQRQG >Et_1B_014035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28030522:28034068:1 gene:Et_1B_014035 transcript:Et_1B_014035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLSDPRARSMLHHGRQGQRYPQQGYEHMRMDNGWPRFRSKYMSTEEIENIARMQQAATHINDPYIDDYYHQACLAKKSAGAQLKHHFCPTLIRDPSSRARSRDEPHAYLQVDALGRLPFSSIRRPRPLLDVEPASAPSDNIEKSVSKPLDQEPMLAARITIEDGLCLLLDVDDIDRLLQFNQQQDGGLQLRNRRQALLEKLAESLQLVDPLAPNKNAPLSSNDDLVFLRIVTLPKGRKLLSRYLELVTSGSELARIACMAVFRHLRFIFGIMPSDISAAETITKLASATSACVCRMELSDLSACLAAIVCSSLQPPLRPLGSPAGDWASVIIKSVLDRATELLTNQHVASTYSMQNRALWQASFDAFFGLLTQYCMSKFDIVVHTAKLQPAAAAAISREMPVELLRASLPHTNEDQRKQLLSFAQRTVPLIAFGTQATSQPSDILLLEKDQELLKRK >Et_1A_004757.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:38406781:38410929:1 gene:Et_1A_004757 transcript:Et_1A_004757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPPVSRNEGPIAAMVPRYTNSIRVLDERFIRILKIFKWGPDAEKALEVLMLRVDHWLVREVMKTDVGVNVKMQFFRWAAKKRNYEHDTSTYMALIRCLEVVEQYGEMWKMILEMVRNPICVVTPTELSEVIRMLGNAKMISKAIAIFYQIKVRKCQPTAQAYNSMIIMLMHEGQYEKVHELYNEMSNEGHCFPDSVTYSALISAFCKLSRRDSAIQLLNEMKENGMQPTAKIYTMLIALFFKLDDVHGALSLFEEMKYQYCRPDVFTYTELIRGLGKAGRIDEAYNFFHEMQREGCRPDTVVMNNMINFLGKAGRLDDAMKLFHDMGNLRCIPNVVTYNTIMKALFETKSHSSEVPSWFERMKGSGIAPSSFTYSILIDGFCKTNRVEKAMMLLEEMDEKGFPPCPAAYCSLIDALGKAKRYDLACELFQELKENCGSSSARVYAVMIKHLGKAGRLDDAISLFDEMNKLGCTPNVYAYNALMSGLARTGMLDEALTTMRRMQEHGCIPDINSYNIILNGLAKTGGPHRAMEMLSNMKQSAIKPDAVSYNTVLSCLSHAGMFEEAAKLMKEMNELGFEYDLITYSSILEAIGKVDHE >Et_1A_006434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22088626:22089651:-1 gene:Et_1A_006434 transcript:Et_1A_006434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRSCFIFVNSFLVYTTKAAIKAMLVNRVGDFGLALGIFGCFTLFQTVDFSTIFACASAPRNEWIFCNMRLNAITLICILLFIGAVGKSAQIGLHTWLPDAMEGPTPVSALIHAATMVTAGVFMIASQLGYMIFSCGISNYSVSVFHLMNHAFFKALLFLSAGLVIHAMSDEQDMRKMGGLASSFPLIYAMMLMGSLSLIGFSFLTGFYSKDVILELAYTKYTISGNFAFWLGSVSVLFTSYYSFRLLFLTFLVPTNSFGRDRLRCHDAPIPMAIPLILLALGSLFVGYLAKV >Et_1B_012463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32201139:32207975:1 gene:Et_1B_012463 transcript:Et_1B_012463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLAPPAVPMELHAGNRDRLVAALRSHLSASARPVRGLVLLQGGDEQTRYCTDHLELFRQESYFAYLFGVREPGFYGAVDVASGQSILFAPRLPSDYAVWMGEIKPLSYFRDTYKVDMVFYVDEIAQVLQDRFSGDGKPLLFLLHGKNTDSGNYSKPASFEGMEKFDTDSSLLHPILTECRVIKSDLELALIQYANDVSSEAHIEAMRQARPGMKEYQLESIFLHHSYMYGSCRHCSYTCICATGESSAVLHYGHAAAPNDRTLDNGDMALMDMGAEYHFYASDITCSYPVNGKFSSNQIIIYNAVLKAHNAVISHMRPGVNWMDMHKLAEQTILESLQKEQILHGDVKDMMAQRLGAVFMPHGLGHLLGIDTHDPGGYPEGLERPKDPGLSSLRTTRELKEGMVITVEPGCYFIDALLTQARNDPISSRFFNWQEVEKYKTFGGVRIESDVYVTAQGCRNLTNCPRETWEIEAVMAGAPWPLPASNSTATAAQNGLSKA >Et_6A_046683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19475231:19482265:1 gene:Et_6A_046683 transcript:Et_6A_046683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTHVGQVLPGLRYRPLQSSCKVHAKCRRLVVCIQESHSCSSSTAGHGPPWRNRHADNGTRTVGCWEHERDAMLEFKRGITSDPKGILASWKLTGGRQDCCWWRRNGYPDVAGSSDIYEETALHLKHLDLSLNKLQGPSGRVPEFLGSLKNLRYLNLSNIPFKGCLLSWATSQHYLDLSCEYIFRDGLESSGLTSTYISWLTGLPSLRLLQMRYVDLSMVLDWARVVNMIPSLMVLDLTFCSLTMANESLPHLRPSKSLGGGSKQDLNLAKLERLVLFGNSFRHPVASCWFWNLTSLQYLDVGGADFKGQLPEALGGMKLLQVLDFSQNGINSSHKSIETTKVNMTNLCNLEILDLSYCYIDGLPQCPLTKLKVLHLNDNNFTGVLTDWVGQWASLRILDLLNNRISGKLPSEIGMLNNLTDLRLGGSNLTGLVTHEHLSGLKSLTALDLSGNSLKIVVDPKWLPPFRLQYASFSSCEMGPQFPTWLQSQVDIFELHISGAQIFDTLPDWFSTTFSNVWILNMCNNGINGTLPTNMEVMTSLKRLYLNSNQLTGNIPKFPEGLAVLDISWNSLSGPLPSSLVPGISDLRLFSNHITGRIPQSMCEEWKNLQILDLANNILEGEFPHCFQSEVSEMIIIGNNRLSGRFPSCLQRQTNLYILDIQRNNFFGQLPMWIGDMVNLQIVRLGYNNFSGSIPATITNLTSLVHLDLSANSISGVLPWHLSNLWGMRVSNNTTTFGNYVPVLNLSVSTKGNARYYGESEIFDMVTIDLSSNFLTGGIPEEIFFLGRVVNLNLSLNLMSGTIPAKIGVMQKLESLDLSGNKIYGEIPQSLTNLTYLSYLDLSHNNLTGSIPSGGQLDTLYAQNPFMYDGNTGLCGHPLHKNCSENIEPKHGDNKRDELDSILMSFPFGLGIGYMFGLWVVFCAILFKKSWRIAYFRLFDKVHDKVYVFVVVTWASWAQKETAITFSLTAGSCLPLERDALLEFKRGITGDPAGHLASWQEGGADCCLWRGVRCSNQTGHVLGLHLRSVVPSVYTRDMYSANPHTFQWIDDTGMTVLTGQISPSLLSLQHLEHLDLSMNNLSGPAGRVPEFLGLLKNLRYLNLSNMPFSGRVPPQLGNLSKLHYLDLSRNYAYILNPSNSNMYSTDISWLSNLPLRYLDLGSINLKGAVDWALAVNMVPSLKVLGLRGCSLISANQSLPHLNLTNLVELSLSLNDQLFNPVASCWFWNLTSLQHLELSETKLYGQIPHALGDMTSLRVLDISYNSFRSIMTASMSNLCNLEILDITYNTLSGNIMELLAQCTTNKLKELRLSQNNFTGVLPNWIGRLWPSLLVLELHGNQFNGYVPYEIGMLNNLFRFDISDNQFTGPVPTEIGMLSNLNIMDLSSNNLTGVITHQHFANQTRLTALDLSDNFLNIVVDPGWLPRFTLKYASFASCQIGPLFPTWLRTQADIIYLNISGTSIVDRLPDWFVTSFSKAGSVDISNNKINGTLPANLEIMTSLQSFYLSSNQLTGPIPQLPISLNIIDISRNSLSGPLPSNFGTQELQYLSLAYNHINGTILQSICQLKDYLHYLNLANNLFVGGFPSCFQSRRIEILILQNNRLSGKFPSVFKTCTDLKILDLSWNKFYARLPSWIGNFSSLIVLELSHNKFTGSIPATISRLQDLSQLNLANNIISGPLPQHLSKLTGMKGFSFIDYFTKTMFEGAEYGTRSTDMNLSVVTKGQQLYYKDLQFYAMVSIDLSRNQLTGGIPGEITDLNGIINLNLSWNHLSGKIPDKFGVMNALESLDLKENKIYGDIPQSLTNLTYLSYLDLSYNNLTGRIPSGGQLDTLYAQHPFMYDGNIGLCGDPLHKNCSDNSEPKHGDRMRDEHDSVLMSFSYGLGIGYVVGFWLVCCVLLFKKSWRVAYFSVFDKVHDEVYVFCRRYMGKIGSKGNYKL >Et_2B_020206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1799195:1801543:1 gene:Et_2B_020206 transcript:Et_2B_020206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTARKSKSSRYVYTRRIKRRRRTSNPSTSCLTTESKHASSWADVSSDLLGPFLFGSLSDQESTSCPTTAGKHVSSWSDLPPDLLGQVLLLLHHLVDRVRVSAVCKPWRSGARLQPLPPPMPWVTHGADVYLDIANNARRRLKLTTPCGRTCRGSVDRLLFVKNAGGGCFLANPFSGKVIPVPDLAFFLEEQTREAKFSLSYNVSVRVDKVVAHWPSSSSQEPVVAAMIRSSENNKRTTIFVCRAGTGTAAVVKESDTYSTMSVHLRLVRDIEFFRGNLYAVLEHGELVVVEFGEGSSGKPVISNVKYVIKNSPNLCFLGNLNYEEDGLAARSEVFLVESGDQLLMVVLRWLHAIFHRATPCLAVYEADFSVNQCQWMPIQNLHGCALFVGRYGSKSVPGGDGNSGAQEDCIYFIPNDGDAGIYNMRDGTIRPLVIPGGPPLRGRRGLWTPTWVFP >Et_1A_007544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35699023:35700702:-1 gene:Et_1A_007544 transcript:Et_1A_007544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKPILWLLWSFIHLMTSFIDLWACLTSKLHSYLISSGLSPMYQYLHHKNLKCLGVAVDSSEAKNTMDVKQLLHWFSTIGIKYVALYDIEGVLKKSLQLGIEGSRDDNSGNSLDVCADTKTSHCSYRGMIIECLSVSDGKEGIAKAANFLYSAYYDSRTHGYEKHNIVFTEADMTSALRSVGCTGPEPDLLLVYGPVRCYLGFPAWKLRHMGPLKSMKYTSIVKVLYQFSQKHQYGEFVKLSVVHVFFGSVR >Et_3A_025939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34161580:34169416:1 gene:Et_3A_025939 transcript:Et_3A_025939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGRSCLLVAVAAALVATSLGGVANDGLSLDFYRSSCPQAESVVFGFLQDAIRKDVGLAAALLRLHFHDCFVQGCDASILLDKLPGDAKSEKDAAPNVTPSSNVSTLLGALGKIGLDADDLVALSGAHTLGVAHCTSFDDRLFPAQDDTMDQWFASQLKQTCPVKLGHRQHHRQRHPHARRLNSTTSTTSTCSTGRASSPPTRSSRSTPGPSPSSPSSPSTRTRSSTSSAMIEGGEEVDNGVACGLHGGERTIKMARAVVAGALAVVVAVALLGVASAKGLSLEFYRRSCPQAESVVRSFVEEAVRRDVGLAAGLLRLHFHDCFVQGCDASVLLDGSATGPGEQQAPPNLTLRPAAFKAVNDLRARLDRACKGTVVSCSDILALAARDSVVAVGGPSYKVPLGRRDSPAFATQEDVLGGLPPPTAAVPELLAALTKINLDATDLVALSGGHTIGLGHCASFEDRLFPNPDPTLNATFAGRLRRTCPAKGADRRAVLDVRTPNTFDNKYYVNLVNREGLFTSDQDLFTNNATKNIVAKFARDQKAFFEQFAFSVVKMGQINVLTGSQGQIRKNCSARNPGAAMPWSVVVAQDAVDLLL >Et_8B_060279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8607507:8608089:1 gene:Et_8B_060279 transcript:Et_8B_060279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIRTTAPESSFALAMAWRAGDRAASTSRCAGKALPSTSNATSAPSPEAGQVAVQVRRWHHHRRWWLWYFADVCGLNLLHHCEITPYREAIVHEMLRFLQFIPLDQVWVAPGASEITEDSHLITGGLGVPWHWLPAMVKQAKREFCFHALGRIAVEFKTNLRRGHWGSSERASCGLRLGMTVT >Et_6B_049786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1195932:1199607:-1 gene:Et_6B_049786 transcript:Et_6B_049786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNVSTNVNLDGVDTSAILAEASKTVASVIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAGVASILESKLSIPKSRFYLKPGYVMQFENPMPKKKDLAH >Et_8A_057489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3510437:3516758:-1 gene:Et_8A_057489 transcript:Et_8A_057489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRAPDDVVVQVNAAAVAAVDERSSTSQIEEAVGDDGAVKARHHTPDVQREFTNWQVALLGYQSLGVVYGDIGTSPLYTFSSFTLTNPGEEDFLGILSLILWTLTLISLVKYVLVVLHADDHGEGGTFALYSLLRQHVNFKGSMPVPLTQLASDINLKFHSKKRNLPSRIHEFLEGSTTAQTVITYIVLVGTCMVIVLSAVQGIQSRSSNITQDHVVILCVIILIFLFLFQRYGTSKVSFTFSPIMLMWFALISFIGVYNIIKYYPPVLKAVSPHYIYIFFARNKSAGWEQLGTVVLCITGAEAMFADLGHFNKSSIQMAFSFLVYPSLILAYAGQAAFLIKNPSKLSTTFYSSIPEPLFWPMFIVATLAAIVASQALISASFSIIRQSIALGCFPRVTMKHTSEKYEGQVYSPEVNYFLMIVCILITVGFKGGPEIGQAYGVAVIWVMLITTLLITVVMVIIWQLHIALAGSFFVVYTTLEGLITVSLLYKIAQGGWVPFAITAFFLIITLSWTYGRRKKNEYEASNLMDKQEFIKTVTMSNRVPGICIFCTDLMNGIPPIVRHYVHHMGCLRELMVFVTLRDLPVTSVLPEERFLIDKLEPFGVYRCIVQYGYMDNRNMDDDEYVLSVIASLKELAENEDEIITMDSALVNGSTFVHGRIILKMSDNHNCFKRFVINNLYRFLQKNFRSNISGLKIAPDGQSIISQIPRIPALLTKASTRPKASHAFCTAASKVEPPVETSSSTATARVPSAEAEALISSQSDRRRSVRRAAATTRQPARARSRQKSRPMPDEAPVTNTTCPSSRLHGAAPAVVPGLAVAEAIAGALSPS >Et_10B_003844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6756866:6759243:1 gene:Et_10B_003844 transcript:Et_10B_003844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDEDLEAKLLAAGEAPAKADAAGNAYALVCALLASLASIIYGYNRGVMSGAQKYVQEDLGVSDGQLEVLIGLTSVYSLVGSLAAGWACDRAGRRRTVALAAALFLAGSAVTAAANGYAALMAGQLLAGVACGFGLVVAPVYIAEIAPAASRGFLSSIPEIAGNSGILLSYIADFALAGLPKTLNWRIMIGIGAVPPLFLAASALLVMPETPRWLVLHGHLDGARRVLARTTGDAAAADRRMQEIVASVQEASKQQAGDGGGSPPATTSVWREILLRPTPAVRRVMLAITGLQFFQQACGVAAMVLYAPRVFGRAGVTSERAVLGATVLLGAAKTVAIVVPLFLADRLGRRPMLLASAAGMAASLLVLGLSLHAPPAVAAASWWAAATCVAAAAAYMATFSLGFGPVIWMYGSEILPLRLRAQGTGIGTALNRVMSAVVGMTFISMYEAVGMAGSFFIFAAFSAAAYVFVYAYLPETKGKSLEEMEVLFDGRAAGSSSPQALPSS >Et_4A_035704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:401188:405075:1 gene:Et_4A_035704 transcript:Et_4A_035704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGAQARAVPLLLRHPASIRSSVSVSCGGSRRSWAAAATSEGDESRGYEKVPMDTPGAYRLVDRATGRSVIVWGGTDEGDEASMPSPAVLSRTTHRPRKGHAHTILVRTGNGGSTGIGNFGRLKAQKVKSLVMRSAHLKRENSNRTSINKPNKSTFYDSDDEEEDEDVFERRKVVPSGSASRAKMSDNPRNERTRSAHSLNSVLSQYRGGDDLDSPSSEATSGSKRWGTVADVTFGRQNRKPKGPLDTTFFSRRSFKEIGCSEDILRTFRNFDFPRPSHIQAMAYGPVLEGRSCIIADQSGSGKTLAYLCPIVQNLRNEEAQGLHKSSPRNPRVIVLTPTAELASQVLNNCRSISKSGVPFRSMVATGGFRQKTQLESLDQELDVLIVTPGRFLYLLQEGFVQLANLRCVVLDEVDILFGEEGFEQVLHQLITVAPMTTQYLFVTATLPLDIYNKVVETFPDCEVIMGPGVHRTSSRLEEILVDCSGDDNEEKNPETAFSNKKTALVKIIEESPVRKTIIFCNKIETCRKVENVLRRLDRKASQIKVLPFHAALDQAQRITNIKEFLNKQTADSMFLVCTDRASRGIDFANVNHVVLFDYPRDPSEYVRRVGRTARGASGNGKAFVFAVGKQVSLARRVMERNMKGHPLHDVPDMNQNHHTGDRTEDPSLRVLVADGVEEVELGVVEDEAGLLVGGVAGAQLPDHLLPTLHPLLVDPAQVIHQAAYLIPPRSRATSY >Et_6A_048047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4376455:4377130:-1 gene:Et_6A_048047 transcript:Et_6A_048047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALDLFDEMLRCGIAPDAWSYNALVHCLFRSGHPEDAYRVFADMAEKGVAPCGTYVQHGPRRAVQGWPRDERVQDVQRVGLPIGIVTYNTMINGLCRSVKVGSARMVLKELGRAGHAPNVITYTTVMKCFFRFDQGLETFLSFLAGGYISDAFPYCTVISVLVKKGRMQLEANSYCEILIQSGSRFDNVCYNTLIHLRCQEGKLDDAFVFTDTYICL >Et_9A_061564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13696670:13698711:-1 gene:Et_9A_061564 transcript:Et_9A_061564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNAATLSTLILLLCFFFALARSDAPPSTPVSPSDACNATTDPTFCRSVLPPRGRGNLYTYGRFSVAESLAGARKFAALVDRYLARHRRLSAAAVGALRDCQLMAELNIDFLAAAGDTIRSTDTLPDPQADDVHTLLSAIVTNQQTCFDGLQSAAMASTWSGAGDGGLDAPVANGTKLYSLSLSLFTRAWVPTAKPAHKKKPHHDHGGKKKKKPKKPPALSFRRGLFDVTDDEMVRRMAMEGPEQTVAVNGVVTVDQNGAGNFTTISDAVAAAPKNLDGSGGYHVIYVLAGVYDENVEVPKHNKYIMMVGDGIGQTVITGNRSVVDGWTTFHSATLAVVGQGFVAMNMTIRNTAGPSKHQAVALRSGADLSAFYGCSIEAYQDTLYTHSLRQFYRGCDIYGTVDYVFGNAAVVFQGCTFYSRLPMQGQSNTVTAQGRSDPNQNTGTSIQGCALVAAPELAANDAFRTVTYLGRPWKNFSRTVVMESYVGGLVDPAGWMPWAGDFALDTLYYAEYNNSGPGADTSRRVAWPGYHVLGDANDAGNFTVTSMVLGDNWLPQTGVPFTSGFIS >Et_10B_002857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12603772:12609384:-1 gene:Et_10B_002857 transcript:Et_10B_002857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGWCLEEASSTDQIHSNDGFIDLGSTSTLNVGSPSVADQESGPVDSQSQGAVYNLKLYMHQTIDGPNHNQLNIADPKHPQLFGFTNVHDYPIYDSHGPNAKIIARAQGLHAKTSMDDDDWFHWSSIIFTNGRFSGSSFKAIGNQNKVKGEWAIVAGTGVFTFAQGTLSIRRVEYSGISNTKEICISAFCSPPLGSPNDIEETYHFHLYMHQTIDGPNHNQVNIANLNHHHMFGYTNVHDYPIYDSHDSSAKIVAHAQGLHTKTSMDDDDDWFHWSSVVFITERFWGSSFMAIGNQNKVEGSWAIVGGTGVFAFAQGSISIRRIEYHGSSNVKEICIRAFCSNREANTFESEGALQYDLKLYMHQTIDGPNHNQVNIANPNHPRGFGYTNVHDYPIYDSHVPNAKIVARAQGLHTKTSLNDDDWLHWSSILFINKRFWGSSFKAIGNQNKLEGSWAIAGGTGVFTLAQGTIFIRRMEDSGSSNIKEIHIRALCRKP >Et_1A_007135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31131101:31141137:-1 gene:Et_1A_007135 transcript:Et_1A_007135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPIGHPARDHRALGRREPLPVRRRVQGPRREILREEFISRVCGEPEDGGIVPARPLLGFIHEADESFRLVHPETPAAVSLVKHHLDPFVSRTVAGLLDFPDQYGPVTSRGGLVLLKRHDITMRPSSERRSDDKCVYDPLNNARAFIPLPSPMGGEYEHRGQPRRHEELDHLHTYVLLTAADGIGCSFRKISVDIVTGASESFPFRVQTVSSGDGGEWGPVVDVRNPVPPWSQPIDCKNDTVIINGVVHWLMTSSKHVLTYNPETSTAGLISLPAGWRRRSESCLSSTPDGKTLRLNRVDREIKNWSDLVGAPEGARTFKVPDPIRTRGPGRGGGPRGFRAREITAASLATTRAQCLQVTAHRMTEAPSRNPAKMRPRASSGMAAVPPSSSWAPATERRRAFRRQHRDRNPLLYGCRKFEAQQRERRSEMPPRKRRRGASSSRGAAATSPTWKIPSDILLEIAAQTDTVTLIRCAAACKTLRREILHPDFIRRVCNERDGIVPATLTLGFLAESTFHLLHPATPAVVSLADDHLAPFLTRTAANLLEQYRPLTSRGGLVLLERRCVNMRRSDMCVYDPMTNSRAFFPFPSEVTSCRYEHRDHYIDHFIKYVLLTAADDGVGCSFFQLVAVDIMSGREDMLPLRVQTLSSDDGGEWGPVAAVYNPMPPGSVPLHHDNAAVVIEGVVHWLLVLGNNILTYNISTATAGLVRLPVDVNPSVSESILGSSPDGKLCLFTMDDHRMSICRLLSRSPAGEWRRRAVVDMKFTLRSLLSWKEWGKHWIELESSGDQRSGAVLLRLHGSGGRNELLVLDTETMKARRVANIAGLPFEVHLTSRVTAMKAV >Et_9A_061832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1705010:1712387:1 gene:Et_9A_061832 transcript:Et_9A_061832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLFLLLMTTPSSSLRFDYATLTLGSLKLLGDAHLKNGTIRLSRDLPVPTSGAGRALYAAAVPLRAGFSTQFAFTVATLNRGSVGGGLAFVVATDDSALGEAGASIGVDVAADAAAVEFDTLMDVQFGDINGNHVGLDLGSMVSAAAADLGEVDVDLASGRTVNAWIEYHGAGLMEVFVSYAAKRPAVPVLSAPVDLGKALAAKDAAFVGFSASTQGSTEVHAIEWWTFSTASPPAPPPELPVSTVPPPPPATVNPVLPSPLLPGGGVTTTPSPPTSATTVSAAPTGSIAAASAPAAHSAATAGGGGGNSPPRPPTHAAVAGAATAGAVVAASFAGFALWALARRAKTRKRTAALAVSAHRRGGGGESSVASAAALARSPREFSYKELSAATRGFDASRVIGNGAFGTVYKGIVPDTGAMVAVKRCTSTTNGEEARAEFLSELSIIAGLRHRNLLRLQGWCYEKGEILLVYDYMRNGSLDRALFDSSTSSTPLPWRHRRDILAGVASALAYLHHECERRVIHRDVKSSNVMLDESYRARLGDFGLARQAEHGASPDATAAAGTMGYLAPEYLLTGRATEAADVFSFGALALEVACGRRPIGREGRCNNLVEWVWSLHGEGRLLDAVDPRLAGGEGYDEGEVRRALLVGLACSSPEPALRPGMRAVVQMLGGEADPPFVPAARPSMSFSANHQLLLSLQDSVSDYNALGLNLSDSSDDSLSSSNMSCFSCFKPEKKTSSKRAEPKQVTAVKTASQCEPSLKEPESNKTQSAPSKNKPSSEKAASPELPQGSSSVAKTAKAFTFRELATATKNFRSDCLLGEGGFGRVYKGQLENGKLVAVKQLDLNGFQGNREFLVEVLMLSLLHHPNLVSLVGYCADGDQRLLVYEYMALGSLADHLLDNTPDQVPLSWHIRMKIAHGTAKGLEYLHEKANPPVIYRDLKSPNILIDEDYNPKLSDFGLAKLGPVGGKTHISTRVMGTYGYCAPEYIRTGQLTVKTDVYSFGVFLLELITGRRAVDTSRPPNEQILFNWAKPVLRDRKRYHELVDPLLRGDYPEKDLSQAVGVAAMCLQEEASVRPYMSDAVVALGFLAEAPAGYEKNPGTVPQKKQVQDPSLTSSSKQDQSTYYRQKAVAEAMEWGSLRQKQKAQSQGIVSPPEGNRL >Et_7A_052048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3998860:4002163:-1 gene:Et_7A_052048 transcript:Et_7A_052048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVGARRWPGSRRRLVSARGMMEDLQDCNSKSLVAVPGAVVLHLFRMFDQQDQHSWQKYILAYFFLVRNEYFSSDSKKYSDVNEQHSCDISELGVYFSFASDWDSEEGELLKQKPVLSTQSGGDSSGDRSNDCFFPGLHDDLAQDCLAWTSRSDYPSLSCVNKRFNLLINSGYLYKLRRKYGIIEHWVYLACSLMPWEAFDPSRKRWMRLPRMPCDECFSCADKESLAVGTQLLVFGREYTGLAIWMYNLPTRSWSRCTPMNLPRCLFASGSSGEIAIVAGGCDKNGQVLKSAELYNSETGRWETLPDMNLARRLSSGFFMDGKFYVIGGVSNHRDSLTCGEEYNLETRTWRRILAMYPGGTSASQSPPLVAVVNNQLYAADQLTNVVKKYDKANNIWNIVKPLPVRADSSNGWGLAFKACGDRLLVVGGHRGPRGEVILLHSWCPEGGDGGADWEVLSVKERAGVFVYNCAIMGFQFVNVAYGADGFVPAAEHPPEWLAVLLACDRR >Et_3A_023719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11218782:11225414:-1 gene:Et_3A_023719 transcript:Et_3A_023719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQQVEAEQQQLVPPEENEEDLYVEEPSSLVVRTESTGKEENQLILDQPPEISLWERLGNAAPLDIESSDFSWSMLSSLHDSSSPKYSEDEKSKVTQGIVDSGGVVFFALFNSSGLSGLPKEAAAVIKFASSKMATQAERLGYEFARLIRVKTPQSRIVYKSCEEWQQIKHAAENARAVAVSNNDEVGEMTCSELLEALELSRCLLLTSYIHGSPLLENSKAFNSLEAACMTASSLGRVLVLDLIIRNEDRLPCRQLGWRGNPGNLMISDKCSSPNVDRLEDSKSTMGRPNQQLTKKFQRAKRSHSESGIFDPQLDVMSSKVEELRNGGENEECINGSFNILAIDTGVPRRPPEVRQVQDREQYPKVVELIVNSSDYCSNILYEISSGKLGQPRSDDFASRDPSCSLSDEENAVVIQKFRGAFHAALNDLEGFPHLFLLQLSQKLDSLLRNLVPIISERPEEYKHNDAAVLNFPPPRGSYSTPCPPSKQIKSELHGDSEMLKSATKSYSVRPRGSFPKLKLTMFRQNNSLRLTKKIRDFSKLQKVDPDPETLKEIEQWNDSLRTDVIKFCQENNFHSGFLDGTESNMVVDAYNLKIRLEHIIDRVSLISEAARTERPSLVVNNLFIGGALAARSKYTLEHLGITRVLCLCSNGIGQSDAQFPDLFEYKNFSINDDDDADISASDFIDQIDHVGGKVLVHCSEGKSRSATVVLAYLMLRKGFTLATAWNLLKRVHRRAQPNDGFGTALVALDKKLHGKASMDWQHKRPENKVCPICGKNVGLSTSSLKLHLKKEHKTLAAGSVDSATTTMEIQKAIESLRISSGGSP >Et_4A_034669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5290933:5292889:1 gene:Et_4A_034669 transcript:Et_4A_034669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHSVVAAVTEPLGLFFEDIMWSFASNAIAGSLKKKVQPSRCSTSNADCSDDEVSSCTSREEGLDCPICWESFNLVENVPYVLWCGHTMCKNCILGLQWAVVKFPTLPIQLPLFISCPWCNLLSFRLVYKGNLKFPRKNYFLLWMVESMNGERAKFHSTNHDASWPSSGGPSSSHHQRRNAAVAQPESSSVEDRNVIRNIFRTDNISASLQKVMVCFFQLTAKFPLVIIFLLIVLYAVPASAAVLVLYVLVTFLFALPSFLILYFAYPSLDWLVREIFA >Et_6A_046483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15970632:15979190:-1 gene:Et_6A_046483 transcript:Et_6A_046483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILTGALGTLIPKLAMLLTDEYKLQKGLRGEIMFLKAELESMQAALERLSEAPVTDRQIRLWARDVRELSYDMEDSIDKFMVRIGIEPTAKPQRLRSFIHRSLRLLTQAKIRHQIATDIKAIKPLVKDVAERRHRYKVDSVIGQSITTTIDPRLHGIYEESTKLVAMGGPRDELTKLLIEQGCFKLKVIPIVGVGGLGKTTLANVLFQQLRGQFECCAFVTVSQKPDLNRILRSILRQVSEQGYINIETWETGELINKIIQVLQDKRYFIVIDDIWDKTVWKQIKCGLVDNNCASRIITTTRVADVAELCCFEIDGVPYKLKPLSHDDSKRLFYTRIFGSEDCCFPELKGISDKILRKCDGVPLAIITISSLLTNKPRNINEWNRVLNSIGTGLQKCQNMENMRQILSISYYDLPSYLKPCLLYLSVFPEDCIISANRLIQRWIVEGFIQGHLVNDYELGRSYLSELINRSLIQPEYMNAYGGLSHCRVHDMVLDLIISLSDDENFITVLDGQQTTAHPKKIRRLCLQDCDAEGNMQQSVAMSLSNVRSLVIFPSATKLMPSLSSFRVLRVLDLEQCRDLDNHHIAGIGNLFFLRYLGLRETNISDIPNEIGKLHSLHTLDLSHTNITSLPPTIVHLKNLVRLYIEPWVNLPDGIGGLESLEVLKSITVGSSPNLAKDLGSLKELRQLEMFLTDSLDESCEKALLDSLCNLQKISNIFMSGRSAEFIVDLECFHQHLRRFYLDLWISRLPRWMNSSLSDLSYIFLKLNRLRQEDLQNLGDLPFLDELYLFVLKTESTGARLVIGMDHAKFLCLRKFHFNCDAMSVLYAQGALPRLLKLDIVFSVRETKDTYVDFDLGLENLHSVKDVTVRMLCSDSRVHEVDEADAAMQMANIMNPNHPSLDVFRHNEDEMIEDELEQILGEQTEGDDANNEMVLERIGPWGGDGGCNCDITVMPWHLETVQICCGTVLDAISFSYRDRHGNRHRSSLWGGVGGSARTINLGPSEYVIEVSGTYGPFHAVPDIITSLIIVTNLRSYGPFGESQGTPFRTRVKKNGRIVGFFARWTRLMNYVEAI >Et_6B_049851.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1511970:1513490:-1 gene:Et_6B_049851 transcript:Et_6B_049851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSWAARFLGMAFFTFAFFLAALGAVLLLVRRWPWCSCHVCRTYLSGSWAKDFTNLGDWYAHLLRESPTGTVHVHVLGCTVTANPANVEYMLKTNFDNFPKGKRFAEVLGDLLGGGIFNVDGDAWRHQRKMASLELGSVNVRSYAYKIVAQEVEHRLMPVLAAAAADGAAVVDLQDVFRRFAFDTICKISFGLDPGCLEPELPMSKFADAFDAASRLCAMRGAAASPLVWKAKRLLNVGSERELRRNIKLVDELAAAMIRERRKLGVADSHDLLSRFMAANSAGDGAAMDDKYLRDIVVSFLLAGRDTVSSALTTLFMLLSKNPSAAAAMRAEFPGAGDAPVTYQQLKGLHYTHAVLYENMRLFPPVQFDSKFCAAADVLPDGTYVAGGARVMYHPYAMGRMPAIWGDDHDAFRPERWLTGPGGTFVPESLYKYPVFQAGLRVCLGKELAVTEMKAVAVAVVRAFDVEVVGETGCGACAPKFVSGLTASVSGGLPVRIRRVRNN >Et_2A_018168.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:16263273:16264391:1 gene:Et_2A_018168 transcript:Et_2A_018168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSCRASGGGSSNKDVDRIKGPWSPEEDEALQRLVARHGARNWSLISRSIPGRSGKSCRLRWCNQLSPQVEHRPFTPEEDDTILRAHAKFGNKWATIARLLSGRTDNAIKNHWNSTLKRKYYAASGGAGVDLAAQQAVVAADDEEMRRPLKRTSSDGHPALCFSPGSPSGSDLSDSSHHSLPSVMPSSSPAAAATSSSQQQQPQRQQHVYRPVPRAGGVVVVLPASMAPRASSPPPTQPPQAPAPPPPPAPATSLSLSLSLPGLDRPDPSPSPPPPTPPAHVQHRPAPPSQMPPPTQQPSLPFQLHAPPPAPAPFSSEFLSMMQEMIRIEVRNYMSGSGFDPRSGGTVDGAAAVRAATQRMMGMAKIE >Et_2B_019914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15130842:15132667:1 gene:Et_2B_019914 transcript:Et_2B_019914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTYTPTYYSGLQDTIASLCKSILPFPFRGGRRLTADQAAARRHAEQLKWQQESFHRILHLSGLHREGIVPASDVAAFRASMLAALVAPPPKHPDPPAVLRDKLLFLQELLYAKCISAAEYNASKAPLTQRLAALGVVVDCPDAEVSAEEWSEIDLRDPPPAAAAAAGDKPKHKAFITPWKSRSRKDQDANGASRPPLAPVDQNNAKNASVLMAESSPSEAAPSGKPEKGKRRHLAAMFQSGGGIGGENKDPVVEEGVDDKETAKGKKKSSWGFDGLKKWKKTSGCAAGNEEAVEPTPAAPPRSSHSECRLEASPVAASGPDAKRAKTKLHSATGNDSASDLLHDKVLVENTKKELSRIQAELSSTNRNLNFSDQQIEAISTKLPVDKSDLKTFFPKAWCDQHGEGVITAVKKEFKEHVEEMEKQRDIADSEGWAIFEDIDLDENFNPRAFSQHQSGSAVKGKKVNESLTSSFTNPFYDEKNPFLNPNFN >Et_10B_003223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1795528:1797923:1 gene:Et_10B_003223 transcript:Et_10B_003223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAGGEVRRRGCCGGGSLFPEESFRSWSAYGRALLETGPRLRDRATARSLDATELHEVRGRSGADMKRNLTWWDLIWFSIGAVIGAGIFVLTGQEAKEAAGPAVVVSYAVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNILLEYCIGGAAVARSWTSYFATLLNHHPNDFRIHAASLSEDYSRLDPIAVVVITLICLFAVLSTKGSSRFNYVLSIFHIAVIIFIIVAGLTRAKAANLTADFAPFGVRGVFAASAVLFFAYIGFDAVSTMAEETRDPAKDIPVGLVGAMTLTTAVYCVLAVVLCAMQPYKDIDPDAPFSVAFTATGMDWAKYVVAFGALKGMTTVLLVSAVGQARYLTHIARTHMVPPCLARVHPTLGTPVNATVVMLAATAAIALFTDLGILSNLLSISTLFIFMLVAVALLVRRYYVAGETTSSDRNKLAACVAAIVASSVATAAFWGLEISGWAAYAVTVAAWLAATMYLQLGVPKARAPKKWGVPLVPWLPAASIFINIFLLGSIDAKSFMRFGIWTAALLAYYFFFGLHASYDTAKALAAEAAAGKVEEGAKSVVVDGGN >Et_2A_017462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34601213:34602167:-1 gene:Et_2A_017462 transcript:Et_2A_017462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNCDVCGGEPAAVLCCADEAALCTACDRRVHRANKLAGKHRRIPLLHPAGAAAEAGPLCDVCKERRGLVFCVEDRAILCADCDEPIHSANDLTAKHTRFLLVGAKLSAAAIADQPPSSPDCAAQEQDSSTTDTRSSVLDDNNGGGGAMISDYLTNICPGWQVDDLLVDDSPPFSTTAAVTGRDELEHVPSMDADLFDVVAGKRGASWGPSAAWTGGFEEVPTIAAPTTNKPLGRARETAYWNSDNDSDVFAVPEINPPPAKKPRPASFWCF >Et_7A_050325.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:25731628:25732095:1 gene:Et_7A_050325 transcript:Et_7A_050325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGKPAVLLLRRVDAAFHSALRARFRVLDLYADPAAHLRGFLASAAADRDPPRAALVAAGGAVLVDGSFLDAVPSLRCVVTTSAGVDHVDLAECGRRGVAVAGAGKIFSADVADHAVGLLLDVLRRVSAADRRATKVSAPAFFLVLDSNTNH >Et_1B_010083.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:22951953:22952222:1 gene:Et_1B_010083 transcript:Et_1B_010083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNVLLQESIRELRAAKIAYADYFYAYVRMLKDAGNGKTAGFDKGSVMKACCGAGGGDYNVDMDRTCGALPARRCARSRTGTSAGTACI >Et_3A_023076.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24708139:24708612:-1 gene:Et_3A_023076 transcript:Et_3A_023076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEFCESTIRSDKRSATAKDRRDLALIALDLLQRAADDANAKIIRGDGPKWHKDKVTRLHLVYCQVDYDTMARTISKWRAMVQEYGDNRNDSLLSLYYFDVASRMKATSDDWLAVTSVEDNELQVVGKDVEEVSHRTTLARAMVEQMLGEVDDDMD >Et_2A_015161.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33722526:33723059:1 gene:Et_2A_015161 transcript:Et_2A_015161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVGGDGVSGAVTQEWLYPGNGGGGGGQPAAQVVDGTFLMELLEDAPATEQPPEDVDQLSRVIQSLEAEIDGGGRSPASAPANGGSKAEYAPSDDVDGGLDDMLSDLDGIPGPYAAEALPPFEYWAEVPPAVAHDMGGWYLDGDGVMVGGYESREQCYYGYGDSLTVDQVYSPLWE >Et_9B_065311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3614788:3615610:1 gene:Et_9B_065311 transcript:Et_9B_065311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSYTCEMCILQLEKTLKHLFISCNFARACWLSIGILVPRNLQTLQTQQWTTAGEFSSTRTCHGYSSDKTKAHCSSATMDRQSYLSLGFRDSIGKCQAIAVCVRLTGGTIGQAIENKRLFQSTCKAV >Et_5A_040758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1252407:1254670:-1 gene:Et_5A_040758 transcript:Et_5A_040758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAESTSPPEPTELIRGSLIPALPDDLSVHCIALLPRAAHPSLALVSRAFHSLLCCHPEPLLAARRRLRLSDSHILLSLRPPSSTSLRFFLLLPHPGWPPLPLPSPPIPVSSSSSVAANGSRLFLVGGSVDGVPAASVQILDPRTRSWSVGPRLSSPREFAAAVVQPGVLFVAGGCVPSSPFWAEALDLSSPSAKWHTIASPVHLREKWMHGWASLAGKVLAVADRGGLAYDPTAPAERAWTPVSPVLDMGWKGRAAVIGGILYSYDYLGQVKGYDPDTDSWSTVEGLEKELPRFLSGATLANVGGLLYLVWEGKWKGKGKRDGQASRSSMVAIDWAGIEITRVQEGRLRGKVVSRDSVVFPDVPRGSAIAHCTALELVGQRRWRRLCPTSSDQFGDGL >Et_7B_055480.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:11396719:11397945:-1 gene:Et_7B_055480 transcript:Et_7B_055480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPVTLSSGITYDRDSIERWVFTDGHGDCPVTKLPLGAGDLQEPTPNHTLRRLIQAWCAAHAVERFPTPRPPVDADRVTAIVRGGQRGEGVMDAIRELADIVAESDRNRRCVEAAPGAVEFLVSVVKKATRSAAKPDLDGGALDMTEEASSSSSPEEAALSLLHSLKLSEESLKRVLDGNDDFLDTLASALRWPSYWSRTYAIHLLKSALSATPPSRLSNASAELVEAVVRIVAEMTLSPKAIKVALHVICRLAPWGRNRIKAVEAGAVPALVALLLNDGACGGGGKRTCELAAVAVDHLCGCAEGRQELVAHPAGLAVLARAATRLSPAGVESAVRALHAVARHSATPAVLQEMLAVGVVARLLFLVQAGAAGERPRERAREMLKMHARVWRASPCLAPHLASYPC >Et_4B_035982.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:10026782:10028870:1 gene:Et_4B_035982 transcript:Et_4B_035982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQPPPPVQPESVHRRGSTTAPRRSIGCMAGLLRLFSPYHRSHHRKRLTAKNATKASPPASSPPKKRAEASPSPFQSPVKPTHPQQPAAVRRRRSCDAPRSPTIAPEHRRSSCDSPRPPPPAIVARLMGLEESAPSSPATAPRPVLPTRPPPPPQETAAEKRRKLLGALEKCDEDLKTLRRIIAAVRAAEMRAAAAASDVAPAAAGTTTPHKGGWTQEHSPSPSPPQQQLPSPDSVLDAISSPRFPCRKRPSPCSTDRDDAGCGGNGAAAAPTAGTKIVKPSRTFVFSGTYAAVCLVSMHCVPHGTHMLCVTLASIICLSRQFCRNFFFVVVVRPSSSLLAVLENVRDNDTLDTPCSLRHVQATTTARSTRRTTTS >Et_3A_026058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:479996:481497:1 gene:Et_3A_026058 transcript:Et_3A_026058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAIVRQVVVAVLAAVLMAATATEGLISTKTWRDIRRLNRDGTPFVGLVVPNAYEMAPVLNSPSFTPSHTVPYIDVQGRRFRFGTVGGQNVVMVMTGLSMLNAGLTTQLLLSLLEVKGIVHWGIAGNANDDLQIGDVTIPEYWAHLSLWSWQRYGDGPENELPLEAAGDFTRERGFLNFSYYTVGKPTANKLNSIWYQPEEIFPVSGKPEVRQHAFWVPASTRYLAIAKKLEGMELPACVNATTCLPRAPRVVRVGRGCSSNIFVDNAGYRQFLRSKFGCTTIDMESAAVALVAHQHGVPFLAIRSLSDLAGGGSTLGNEAAKFLSIAAQNAVDVMLNFVPLLGG >Et_8A_057947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:65806:68304:1 gene:Et_8A_057947 transcript:Et_8A_057947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRHRREMAEAEDYDDGHDSSSRSSGTAADSATEEEHLGLHSMTAKGIQHLCSELLEIKKASEQDFRTNVYLSYLSFLRYSSTCARTMATSTTYISTSSRYLLVTRTFQEAGDLEKDLHGHVLAHRRLVDHLSNNLYSPSIAQSSSSWSSSSSKDAAIPDAEDELELLELDVLLSEHRMEQALELLELQGQRMQQITTSAEAMASSMAALSAAKARVADRFASVADNPRTPRGELLEALSGLCKLGEAQRANHLLFKFYRPKSKLSSSIKDLACMVFSSIVEASRSFIALHGHPSPHTPQLIRWAREEVEDFGVAFSEYVKVVGQHISVVPALEAAKCALSYCFLLRPFHVVSEQDLMDLIVPCLQELLTMHARHLKDVTRLFVASDAWVLGRFPVSGILFRAGSPATAGLHNFDYCLLTTSGRKFVTLVQEVVEDVYPLLDLRMNNSVLQLLAELFGEYMHSIVELIPIKEGAAAKDQQYMWQLSILINCTTLLSLFPIIARGIFKSGSPSVADVSTQGELDNMILLINEASRQFIRDTVSGLQVTESGASLSPQGMMPSFAFQLNNLYGTILTGKDGSMKKLLRELIEAMIFWLSNNLDSWIHHAQDVPRDTLLQQIQLDVHFLIEFAQFGGFYSEDIRTSALDLLTKAEDKVPHDNRNR >Et_8B_059056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1299807:1301868:-1 gene:Et_8B_059056 transcript:Et_8B_059056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLGLIGCHDGHRSAMDIFLALLVIVTTVVVVRLLVCAVTRCLCDDNDGAAAYHHHHHHHSPDTTNVDENTEAWHGAGLAIFGHAYVAWRRARGSGTASGEAVLVCAMARRLCDDDGAAAHHHHHFHSPDTSDVDDQGRGGVARRRAGDLRARLGGLATTTGPQSGHGAADRRRTGSGRASWCSVADDAALVPAHVNEEVVPRPACAYIDVGSALARSLHSTRSGPPSTSSTTSSRSITMPSDSKPALPASWLETSGRKRNSRTQVGCRRFLLEQHGCAMEEGEGKEGSRERLERGGVYRRRRSKFPGG >Et_6B_048584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11044749:11051003:-1 gene:Et_6B_048584 transcript:Et_6B_048584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLLKVLGFCVWALFWIGGQSATAGGEAVVVDARSAIAVTDEDFVCATLDWWPPDKCDYGTCSWGLATLLNMDLSNKILLNAVKAFSPLKLRLGGSLQDMLIYDTGDTQQPCTPFVKNSSAMFGFSQGCLPLHRWDELNAFFKESGANIIFGLNALNGRVSMPDGSLGGPWNYTNAASFIRYTVNKGYDIHGWELGNELSGSGVGARIDADQYAADVLTLKQIIDSTYQGSSKPLVLAPGGFFDAAWFTEIVSKTKPNQLDVVTHHIYNLGPGVDDHLIEKILNPSYLDGMTSTFSNLQGILKSAMTSAVVWVGEAGGAYNSGHHLVPDAFVFSFWYLDQLGMSSKYDTKSYCRQTLVGGNYGLLNTTTFEPNPDYYSALLWHRLMGTKVLPATFNGTNMIRAYAHCARNSDGITLLLINLSGTNTDNIYVTSEGTQTEGSRKEGRWFSHIPVLCKPAVLTREEYHLTPKDGKLQSQQVLLNGNVLATDANGDIPKLEPVQVEGTQPITVAPYSIVFAHIPSFYAPACR >Et_6A_047243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2832738:2833638:-1 gene:Et_6A_047243 transcript:Et_6A_047243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNHLSQEHPQAWPWGMAMYTNLHYQHHYEREHLFEKPLTPSDVGKLNRLVIPKQHAERYFPLSGDSGEKGLILSFEDEAGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKNLDAGDVVHFERMRGLGFGDRLFIGFRRRGESATAAVSPLPPVRVAAAAQTAGEQQPWSPMCYSTSGSYPNSPANSYAYRQSVDHDHSNTHHAGESSAALVPSRRLRLFGVNLDCGPEPEAETPTAMYGYMHQSPYASFAEPNNWSVQQNQSNYMDASRIFL >Et_4A_035283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1690299:1693916:1 gene:Et_4A_035283 transcript:Et_4A_035283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGINTKAEAARARRGAAEAERRDREARAQEEAYWQAAEGPKSRSARRREEDAEKRAEAAARRAENRRLAELEQQQLAAAARRPDRKAARVGGPAVPKYERVVLVANTNRDDSIIEARSVEDAIAKMSIAAEPALPRDRHPERRLKVSYKAFEEAELAKLKEEKPGLTLHQYKDMIWKLWKKSPDNPLNQEYQEESNAAGRIHPAIVSVCGGSPLAESDCRCSNSCTSSASTFSAGSHVASSMSTHLTRYSHFSSPSASAACLLSTTASATAYSSPASKSLTRSSATHAALTHSLSPPSRHSTRYSRHFPSPRPSTTFSTSAHSYTAFSISLAAASSASRCCINAGLPLGILPSTSWTSSSGVRPCPSGASGSAPSSSRARTAAARPYPTAMCSGVSPPPARSLRPTSAPAAASARRQSSEPSPAAKCIAVRPCASSASGSRRSASSASSAASASASAFLAAFHHGVSYSATTSAAMAPAGTHDGSCPSFHSTRYSVATAVVAVPSSANTGFVTVRLDTMRSTSPYASSSSLSLFAGSLGFDWPWNTAAAMSRRVRRRRRLGRGRDGDGDLGRVGFGSLRRESDGCRRTASIGVTWWVEEVVDWWRAGSGHSDVLWFGRSG >Et_6B_048918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15524916:15525436:-1 gene:Et_6B_048918 transcript:Et_6B_048918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAELTEILFF >Et_1A_008329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6570760:6574758:1 gene:Et_1A_008329 transcript:Et_1A_008329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCGFTLRSKYFTSFRGGSQRHDTAGYAPVACSSSAADEPAPRGNGKRASRPGDAAAPPPAPGMRRGAPAPAEPTANVLGHPTPSLAEHYALGRKLGQGQFGTTYLCTDLATGVDYACKSIAKRKLITREDVEDVRREIQIMHHLAGHRNVVAIKGAFEDPQCVHIVMELCAGGELFDRIVQRGHYSERKAADLTRIVVGVVEACHSLGVMHRDLKTENFLLANKDDDMSPKAIDFGLSLCQIFTDVVGSPYYVAPEVLRKRYGPEADGQLDGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISDSAKDLIRKMLNPKPAERLTAHEVLCHPWICDQGVVPDRPLHPALIAESLSEEEIAGLKEMFTAMDTNNSGAITYDELKEGLRKYGSTLKDTEIRDLMEAADVDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVINEADQDNDGRIDYGEFVAMMTKGNMEVGRRTMRNSLNISITDTPGAL >Et_3A_025898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33794639:33797777:-1 gene:Et_3A_025898 transcript:Et_3A_025898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRGAFRAPSLLALLLLLVVSPARCLGQSGPIKTVVVVVMENRSFDHMLGWMKRLNPEIDGVTGGEWNPTNTTDPAAPRVYFGEGAQYVDPDPGHSYQEIRQQIFGSDDASGPPKMDGFVQQARSLGGNMTDAVMHGFAPDSVAVYRELVSQFAVCDRWFASVPSSTQPNRLFVHSGTSGGATSNNPQYVPPSPSFPLPCIHSLTLYSRSYFSILNSAELFDSYFDPLIWTDAEPGAGGFVALRVDVEHTPSWECYPAFLLQPRVGCQPSCALLAKGYPQRTIFDNIHDAGLSFGVYFQDVPAVLFYRNLRKLKYLTKFHAFHPTFRDHARKGSLPNYVVIEQHYLDSKLDPANDDHPSHDVYQGQMFVKEIYETLRASPQWNQTLMVLTYDEHGGFFDHVPTPVQGVPSPDGIVGPPPYNFTFDRLGVRVPAILISPWIEKGTVVHGPNGPTPTSHYEHSSIPATVKKLFNLPQDFLTKRDAWAGTFESVVQTRTEPRTDCPEQLPMPTRIRQTEANEEAKLSEFQQEIIQLASVLNGDHLLTSLQDRIRDKMNVREGISYMKSSVKRFFEAGMSAKRMGVDDEQIVKMRPSLTTRIERP >Et_10B_003712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5104120:5104896:-1 gene:Et_10B_003712 transcript:Et_10B_003712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTSTVLLFRPQITLAETTGGTFREYIDTFDGYSFLYPKNWIQVRGAGADIFFRDPFVLDENISVEMSSPSSSKYETVEDLGSPEKAAEKVLKQYLTEFMSTRLGVRRESNVLSASSKVADDGRVYYEVEVNIKSYASNNELAVMPQDRNKRLYELRLQTPEQVFMEEEDDLRRVMDSFRVMKTEA >Et_2B_018880.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:12631830:12632126:-1 gene:Et_2B_018880 transcript:Et_2B_018880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQLLLHLVCGCECLLRLLLKPVGDEALADLRKVAMGFGLRRRRLAVVDSTVWRQAQAPRASSCYFFLGVFVQNRQLPSVSFQSVSVFGSCVRFPYQ >Et_7B_053272.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:19661730:19662437:-1 gene:Et_7B_053272 transcript:Et_7B_053272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTLPLLCDDRWELLGCRFGLALLLNQTHLELTVWDPVSGDQRHVVIPPELFHNKGPKIVCNGALLCDDGHAGRVPLEAFKVVLLCIDDHVSESLDESVTGMWGNLVSTSIKAPIARKHSSILIGNSLYWLVCDYVDGGILELDLERDTLALIKHPVGADIDRGSSFQILRMEDSRLGLTISSGMRIQLWERQADSNVATRWILQKTIQVDNLCSSRFSSIHGTTKVIAVQAYF >Et_7A_052727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14391269:14393912:1 gene:Et_7A_052727 transcript:Et_7A_052727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERLSTSGSGRSTGAFPFGGSGRSFPLPAMQQVPEPKNGGRAVVHQPHEAASVLMPSDSTRTGDEAAEKLSAFGSTRSCGAFPFGSGRRSSTFVPPPDQQQHTTPALEIVSVVPPENGGALVRREPSLRLPEEGVVLSWEDLWVSAPGSRGGRRAILSGVSGIARPGEVLAIMGPSGCGKSTLLDALAGRLGSNVTQKGDILINGRRQELAYGTSAYVTQDDVLMTTLTVREAVHYSAHLQLPPAMSTAEKRERAEETLREMGLEGAADTRIGGWAPGGKKGISGGQRRRVSICAEILTRPALLFLDEPTSGLDSAASYHVVARIARLARREGMAVLAAVHQPSVEVFSLFHGLCLLAYGRTIFFGPAADANRFFTEAGFPCPSLMNPSDHFLRTVNKDFDNEEEGVDEERITTTQAIDRLANSFKSSVHMENLTRQIADIRKTSSGAPAKKQWQPSFFTQSSVLMRRSFVNMYRDLGYYWLRFVIYIALCLTVGTIFYDVGDSFGSIQARGAMLNFVAAFLTFMAIGGFPSFVEDMKIFARERLNGHYGVSSFVVANTVSSMPYLALISVVPGAIAYYLVDLQRSFGHFAYFVLVLFMAMMLVEGLMMIVASVVPDFLMGIITGAGIQGVMMLNGGFFRLPNDLPKPVWRYPMYYVAFHRYANQGLYKNEFLGLTFPNNQPGGSASITGGEILRNYWQAEMGYSKWVDLAIMFGMVVLYRLLFLAIEKLKPMVAGLRFRNTTRSAVQVADRASNSP >Et_7A_053039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5338782:5340226:-1 gene:Et_7A_053039 transcript:Et_7A_053039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPSGNCKIQVKFLVDKEKRKVVFAESGKEFVDVILSFLTLPLGTIVRLLGKESSLGCLDVLYKSVESLDATHFQTKACKRMLLRPRSAAGELYEDLVIRIDGRTSHRNSYFCNRSTCLLDVLYCSSVSGVPCPQCGNSMRYLRQLKRKNKSGEGASKADGIFLRHGRSYVTTDDLQMKILLKQMLTSDQPLTDLLFSPSDKLTTGTNEPLAVDVKDSSENDSGSDSNAIKVKLLVSKTNQSVIHAEVGEEFCNLLFSFLTLPLGHVIKLLGGNSSILCIDNLYKSVEVELNLNDCIKSGKHRDMLLCPKLPPFFSCSNHFLKTDELLPPTFQRGKHVNPKVPAMTTETGGGYAKGPGKFLVTNELGVVPFSLTNSLRTLKLKEHSISKLETTEFIFKEEEVRRE >Et_1A_006043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1786133:1789281:-1 gene:Et_1A_006043 transcript:Et_1A_006043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRASRVSNPPAATAAAANNVSSNGGHSDPSCSASVYQSFRPVTRSMTRVSPAIVASPDLKGGGSAGTSSRKSISDASFSIQSAALRPTVTRARTPHKVTTSAWKPLTQPVALSEGQKCASFTTMNPTAKRSRVASSHAAKDSSNHSASRANSNVHNGKNRDEETMSQGDQSDVAVMPSPTKKLQICKLPSDACSKRVSSIRILGTKVPAPLPTRKTQIETGNNSSSISAEVVSANANDISLSADTAVLLPAQPLQPETAKNSSVITESVSNEASQVQPLSAKVVLPRQKLRTDFQKMPSYVPMAINQASGSAGATAPMASPKFQKIQTEFQKMPSNVPMATNQGSGLTGATAPMALPKFQKIQTEFQKMPSNLPMTTNQASGSTGATAPMALPKLQIGNVKDTSSILSNPAYARALLIKQQERLLQKYKLGSSQQQQEEEELYIKGPALPWSDETPPIEPLGTRCQLCKLDVAFRPRNNAVRDASAPPVVAVLACHHAFHSSCIESVYGLLEPSDCVACVEAGVVN >Et_4B_038448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29035230:29039174:1 gene:Et_4B_038448 transcript:Et_4B_038448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALASPAASFLPRTPNPRANPSPLAAALLWGTSGRAVVVASAAATGDHWSADHNHQYHGGRRGSAEGRAPRGVQCDVDVVSWRERRVLSSVAVAADVDTLWQVITDYERLADFIPNLVHSGRIPCPHEGRIWLEQRGLQQALYWHIEARVVLDLQEVPDSINGRELHFSMVDGDFKKFEGKWCVRPGPRSASAVLMYEVNVIPKFNFPAIFLERIIRSDLPVNLGALARRAEKIYLDKQRCSSRKFAVGDSKSSSTSQLNFHSTTVETDPISSSKFKEAPPTSGLNSRWGVYGNVCRLDRPCVVDEIHLRRFDGQLEHDGAHRCVIASITVKAPVREVWSVLTDYENLPEFVPNLAISRIVLRDNNKVRIMQEGCKGLLYMVLHARVVMDLREKHEQEISFEQVEGDFYSFRGKWRLEQLGDQHALLKYMVETKMHKDTFLSESILEEVIYEDLPSNLCAIRDYIEKAGAEDSSSPTYSASLADPDDLGVDYAEGRHSEQAIASCSSSSTKQRPKVPGLQKDIEVLKSELENFIAKYGQNGFMPKRKHLRTHGRVDIEKAITRMGGFRKIASIMNLSLSYKNRKPKGYWDNLENLQEEIRRFQKNWGMDPTYMPSRKSFERAGRYDIARALEKWGGVQEVSRLLSLELRRPRRRADSDDERQSESSSGMMKKYRIKPDKSNTLPNAQKWLLKLKDLDVNWVEY >Et_3B_030131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30751802:30753253:-1 gene:Et_3B_030131 transcript:Et_3B_030131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAELRIRHQGEPSLVPPAEETPNGLYYLSNLDQNIAVIVQTVYCFRAAADDAGVANVHSSACNVLRESLAKVLVHYYPLAGRLTIGGDGKLVVDCTGEGAVFVEAEADCAMADIGDVTEPGDPSVLGKLVYSVPGAKNILEMPLLAAQVTKFKCGGFVLGLAINHCMFDGVGAMQFVNSWGETARGLPLSLPPVLDRAVLRARDPPQVAFPHHEFAQITGDKDDNDEDDDSSVTDEPPLLHRSFRFTPASIARIKALAAAPEEGVRACTTFEALAGFVWSARTRALGMAPARRSKLLFAVDGRPRFSSPPLPAGYFGNAIVLTSAACAAGDLAASSSPARAVRLVRGAVEAVTDAYMRSAVDYFEATRARPSLASTLLITAWSRLPFRAADFGWGPPAAYGPAALPEKEVALFLSCGEGGGVCVLLGLPANAMAEFARLVQEVTAP >Et_6B_048407.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18811014:18812066:-1 gene:Et_6B_048407 transcript:Et_6B_048407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQAVGEESWPSSRRWRCPCSFRIFRLTAPQQLGGGERAELQDERGALREEGLGRHGQDAGRLPHGRGREEGHLRGGRLGQRRLHQRHRGAGSHPGGQHEARHFGHQAGGLPREDLPQHLQALRHLQVVGPQEPSNDRLERHGGDDDGAQGREARGHGVGSTTVAIESDYFVAHGIIFKNDAPLAKPGAKGGQAVALRLFGTNDGGQDTLYDHKGLHYFQNCVIRGSVDFIFGFGRSLYEGCHVESVVKEVAVLTAQQRTKSIEGAIDSGFSFKNCSVRGVKGGQIYLGRLEPRGVRVHGDGGGGGARGLGQVEHCETGEHGGVLRGVQLYGSRRRREEEEAARWVGA >Et_7B_055685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21460413:21462566:-1 gene:Et_7B_055685 transcript:Et_7B_055685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGTAFGEPPSLLLLRRVDASFAAALRQRFRVLDFLSSGQSLEAFLAAAAAVVWAGGPVPSLRCVVSTAAGVDHIDLRECARRGVVVANSGRAYSTDVADHAVGMLIDVLRRVSAAERFVRCGLWPLQGNYPLGLMLGGKRVGIIGLGNIGSLIAERLEAFGCVVHYNSRRPKDSVSYNYEGNRHNKTYQSLAELPYLELHCLKDFANAHIN >Et_3B_029179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22773447:22776809:1 gene:Et_3B_029179 transcript:Et_3B_029179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSGNDAPKRNPLPAALVSNLQSVLAARRPAPAEDVGTTAAAEVPAAEASDVPEGDGAPARPIVLLTCAEGIRSPGLAALVDALVAGGRCDVHVCAPESDKPACGHSITIRETITATSYEFTGAKAFEISGTPVDCVSLALSGRLFSWSAPALVISGISAGSNCGYEMFHSSAIAAAREALMYGVPSIAISLNWKKDESKDSDFKDAAQVCLPLINAALADIEKGTFLRGCLLNIGVPSSPSTNKGFKLTKQSVNSPAQSWQAVSSSRPSSAAHFMGMHQSLGIQLAQLGKDASAAGAARRVNAQRKLVEVESVASAGKQEVREVVKKLFRAEHIEKEQEGLNEDIDLRALENGFISITPVNLIGHLDPEIGATASDWLSAVVSPDKENPPAVGDQQDAPPADEENEVPSAT >Et_8B_059925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4059485:4065555:-1 gene:Et_8B_059925 transcript:Et_8B_059925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELLPVVDLRALSQSDLDALAAVSAHALAPGSCPDADPLPPLKIDRAVFNESAGSRKQTFSRLRLAAASSSPTARPTSAPPSSVRKDPDSSLVAYHLRRLFFPDDPSLTPPGDSQTLALTEHSPSPPPDPDRETTNSKGISVDLVKLAGTVDPYDAELRRRTARMASETELMGFISSLAGKWATQRRRRKFVDASFIGDHLPRGWKLLLGLKRKEHMTWVHCFSYVSPKGSQFATCKEVSAYLMSLLGYPESQTVTTQYNSSRKPDLCGDNGHDDVIGFQHQTGSSVDNSNVLSVTFSDYSSHLKDNDERNIDTVNAYECHRSNLTFQDQASYSLHNFSSHEMTAKRRRTGKFGEPVIGKGGKFECPVCHKSFAEESRYFGHIGAHSRYEGMTPSIFLDKITSGKVDSNSLAEISFSLQELTESSETNNKASAGEAGCQHLSCSSEHGSNSSKVKELFSTNCLDGFSRPNEAWRRHEEIPSISYAPSAVNVAVPQLTSNCNGQPDHSRNGSEVAVHNDQAGSHHVFRPNTFGTANRYEDQIVDHGMASKHGMVNNTVKARDVNLNSCLGSQPPFTAKCFSGSFSNNGGASSNSSCYASTNTKVMSHGVANKTSVAASTCLSASYGNDSGGGKANFFGNKNNTVVYQSNTGMRPVSPVETEARCFVSHSFHSENSDKGRASNTKQQMDSIKSRTSNGTGFGFEAFNNNNNFGGAIHERGFAQFSRSFTQIKPSVSSHCSPPESNMPKASNLTKGSDVASMNRMLVNRSDLNCMRDSFVNRHISSNESNVSMHEVMRKSNDEMQNRNDHAPGCVPPAAVSTSQINGRASTQGNFGSMSSMFRSVGDAAMSSASQDQCDLQLGFGIQKQQIFSDDGELRSTTSGSPQLDMPRNNSLLTGSSQFMSTAGPNSFPAGSSQFGSLSRPNVVPTSFQFGVMARPNSVAPAESSKFESMSRPNSVPHVKSAQFENIARPNCLSHPDSSQFGSMSRPNCVPPPDASQFGSMARPNSVPHAKSSQFGSMARPNSVPPASSSQFGSMVGSNSLPPAEASQFGGMPRQNFGSRTEPILVLGYAPQMGNVPPVQVEWDLSSSKVTGGMMMCVCIWCNSQSHHFGPPDGQQTGSFGFICPACKEKISGHPNMRSNASWQP >Et_3A_023176.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:4397008:4408230:-1 gene:Et_3A_023176 transcript:Et_3A_023176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASRSWSRPWPTSKLPRPRLVRTFHGCSLRAETAAPTPAPASPSRRRGGAPPPDARPLVPVVRHRDERLHEGLEHHEAHPRAHVPAPTILHPQRQRAPASSSLRADLQPGQGDRRSTWIGTPGAWGRWGTRRGRACSRARRTRRLAMS >Et_1B_012494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32471877:32475565:-1 gene:Et_1B_012494 transcript:Et_1B_012494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALVAIAASIGNLLQGWDNATIAGAVLYIKKEFKLETEPTMEGLIVAMSLIGATIITTFSGPVSDWIGRRPMLILSSVLYFISSLIMLWAPNVYILLLARLIDGFGIGLAVTLVPLYISETAPSEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPSPDWRIMLGVLAVPSLFFFGLTIFFLPESPRWLVSKGRMAEAKKVLQRLRGKEDVSGEMALLVEGLEVGGDTSIEEYIIGPAAEPTDDHVADGDKEQITLYGPEEGQSWIARPSKGPSFLGSVLSLTSRHGSMVNQSVPLMDPIVTLFGSVHENMPHAGGSMRSTLFPNFGSMFSVTDQHPKTEQWDEENLHRDDEEYASDGAAGDYEDNVHSPLLSRETTSMEGKDIAHPGHRGSALNMRRRSLLDEGGEAASSTGIGGGWQLAWKWSEREGEDGKKEGDFKRIYLHQEGVPGSRRGSILSLPGGGDAPEGGEFVHAAALVSQSALYSKDITEQRMAGPATMHPSEVAAKGPSWRDLFEPGVRRALLVGVGIQILQQFAGINGVLYYTPQILEQAGVAVLLSNLGLSSASASILISSLTTLLMLPSIGLAMRLMDISGRRFLLLGTIPILIASLIVLVLSNVIDLGTVTHAALSTVSVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWIGDIIVTYSLPVMLNAIGLAGVFGIYAVVCAIAFVFVFLKVPETKGMPLEVITEFFAVGARQAAAKA >Et_1A_008631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9366084:9374482:1 gene:Et_1A_008631 transcript:Et_1A_008631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLARLGRPHHCQFFCSFLSFSTRLLASATGVPSVPVLPPNTPGLLFLPSSLPPSPLRLPGKTLNPTPALQLGGARLARAIIRRRKHLLDHANSPILSSSYFSTSHHGRFGVEAEPTIAQRFLEQSSQDSRCEKEQYSVNLTKGDLTALRNGFLRRPSHGIPLSGCGIGRNEFVFPLGARSLLQSVRTVSTATAGQPKLDINDEQSEDQKQNKKKKEASPEECDQAVEGLSTAKAKAKAKQVQESLKADQSVMQKFWARLLGIGPALRAIASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLASGKSLSRRERQQLTRTTADIFRLVPMAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEQMKRKLKARIEYAKFLQDTAKEMAKEVQTSRSGDIKQTAEDLDEFLNKVRRGERVSNDEILNFAKLFNDELTLDNMSRPRLVNMCKYMGIRPFGTDHYLRFMLRKKLQDIKNDDKMIQAEGVESLSEEELRQACRERGHLGLLSTEEMRQQLRDWLDLSLNHAVPSSLLILSRAFTVSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRRKLEFLEMQEELIKEEEKRKEKEEKAKQEKEEKAKLKEVESAEEDLALKEMTEATAKEEEELRKAKQHDKESINRISRALAVLASASSVSKERQEFLSLVNKEIELYNSMLEKEGTEGGEEAKRAYLAAREESEHDAEVAAEEKVSSALIEKVDSMLQELEKEIDDVDAQIGNRWQLLDRDHDGKVTPEEVAAAAAYLKDTIGKEGIQELISNLSKDKEGKILVEDIVKLASQTEENNEEEEGARQ >Et_1A_006017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16782162:16784874:1 gene:Et_1A_006017 transcript:Et_1A_006017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LVRQPLKHTAAVSGSGYMGVVVIVVACCVAVIAMVACFLLLRALRRSKATEASLQASLLRQKEALQQAERKSLNKTNAFAGASHDIRSALAAIKGLVDVSREEARANPQMMRNLEQMDVCTKKLLGILNSVLDTSKVESGRIQLEDVEFNLANVLEESMDMINVVGISKGLEVIWDPCDLSVLKCGNVIGDCRRLKQILDNVLGNSVKFTQEGHVVLRAWANRPIPRSSNSVPSRFVFPSLLGNFLCLFKRREHHAHQHSFSSVQNDPNSVEFYFEVDDSGIGIPKEKRELVFENYVQINEGQGGTGLGLGIVQSFVRLMGGEINIKDKEPGETGTCIGFNVFMKMGVIEEEHDIEQGRSVPSVQRSKYHICASSFREANSFEGGQCVLLVHGDQTRRILKAWMENLGLEVWVVSQVECLASTFEKVCHVNASLARTSSDGFECRTDQCFLPRDTANQILPMSLNNSNSCKRGISAGQFSGVLLIIDAHYGKMEEICSEINLADIKNQIPFKFVCLADKKTSSTDLGRLSHTCCDLVLQKPIHGSRLHDLLTVMTNLKVSHTKQPSTHVNPDSVGASTPGSSGAGTSAMIAHSAPEAKVENDKPLTGTHVLLVEDTLTLQMVGKKILNHLGATVEVAEDGSKALSMFSATLEQADDSETKDAIISTPYDVILMDCQMPVMDGYEATKRIREAESSYGIHTPIIALTAEAMEEERQKTILAGMDLHLTKPMERRSIVQAIRRVCSDHN >Et_2B_021815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5695855:5697963:-1 gene:Et_2B_021815 transcript:Et_2B_021815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPASLAFAAALLLVLRPPAPAVAARPIFAGKPAPSEAAATARWLAAQNTWGVLSTISSDLGGAPFGNVVSYSDGVPGEGHGIPYFYLTTLDPTARDALEDERTSFTLSEFPLGTCGKIDPENPTCAKLTLSGKLKMVDFQSSEADLAKSALFTKHPEMKEWPKNHNFRIFKLEIENIFLIDWFGGPKPISPSEYLEYRR >Et_6B_048686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12659900:12663477:1 gene:Et_6B_048686 transcript:Et_6B_048686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWSCSWICALEVLSQLRRLFFSRQEKMAPPASTSPSSATMVCARQGRLKQRYDGCYRLVSGCIPYMLQEDDGESTCQDVLGRLQVLMISTPKRSDLIFPKGGWEDDESVDEAACREAFEEAGVKGIISETPLGEWIFKSKSKQNSCGLKGACKGFMFALQVTELLEIWPEQVTHGRRWVPVEEAYGLCRYNWMREALDKLKEQLLFENNFRPTSSPKLVNSSSICMVMPAVAEGAPKTGNDYYSVDPLATEPIDLQEQNQTGQLWRSRCLQELQVFTPGHITGVMWPDQVTQWEMSYLPLYILESISAIHAVLGHEFAFLCRCDWMPEVLAKLKQHLMFKSNFKALQSLEMVE >Et_4A_032196.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:23009492:23009632:1 gene:Et_4A_032196 transcript:Et_4A_032196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLSVLIATGRMARGSCGEIFGEQKFLLKSGILLGKWRVVLCLLN >Et_2B_022275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16672751:16673943:-1 gene:Et_2B_022275 transcript:Et_2B_022275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPTRARKLWLLVLALGSSWLQASAAAMTFTISNYCAYPIWPGTLAGSGTPQLSTTGFRLEPGQTVQLAAPAGWSGRIWARTGCVFDADGQGVCQTGDCGGRMQCAGAGATPPATLFEVTLAKGGGSSDAADDQDFYDVSLVDGYNLPVVAIPRARQGGACNTTGCMADLNRSCPKELQVDCGGGAIACRSACEAFGQDRYCCSGAYGTPDACHPTVYSSIFKNACPRAYSYAYDDRTSTFTCKADDYTIAFCLPTSGIKKADAMFLGAQMDGQSAGNGTGPPIYNNGGFGFLPPIYNYGGGRSHEPGLTASSASTRYIHPWVLLSLVFFF >Et_7B_055718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2688746:2690743:-1 gene:Et_7B_055718 transcript:Et_7B_055718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASAQFAAMPVRMGVYERPAPAPIGGMWSSEPFKVDSGGQATSASTIMEADNKFDARLEDVPQVALEPTRSTDQETSKPQEKVMRRLAQNREAARKSRLRKKAYIQQLETSRMKLAQLEQELQRARQQGVYANGNLGDSNLGFTGAVVDPGVAAFEIEYGHWVDEQNRHTEELRNALQGQVTDLELSMLVETGLSNYEHLFRIKSIAAHSDVFYIMSGMWKTPAERFFLWIGGFRPSEVLKILRPQLEPLSEAQVIAVCGLQHTSTQAEDALFQGMEKLQQNLAEALTVVDPFSSESYMLQMADAVGRLKELVGFVTQADHLRQTTLQQMHKILTKRQAARGLLALGDYFQRLRALSQLWAARPHESAIS >Et_5A_041395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22059347:22068471:1 gene:Et_5A_041395 transcript:Et_5A_041395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGGEVAAKRTNLPPSGADAGEDRLSDLPDDILVLILRRLRTIAQAVRTCVLSRRWRRIWTLLPELNFILAPDYHHIREVLAAPEAPALRRILVVTKEDAPDSLAAWLPLAARRLSGALLYSNLQEEHDDDDDDDDDDDDEEEEEEEEEEEVMVDGAIPLPCFGNATAIVLDLAFSALTLPSSGTFTRLTELHLVHVRFQGTCELGDIVSSPRCPCLRKLYIRQARELARLTVHSESLLVVDLFSLNGLQQLNIDAPVLNSMALYNCFTQNQPLPVANISAPRLISLIWLDAYDPSYVHLGNFGQLQELSPNYVLVYGHHHNGYNREIQRFLQHFQVIDRLHVVLKYPEGDIGNFQYLMEDITHLPHVTFLTLHVMNEGHAFGASSFHVLRLCTGIRRLSLVLQTSPNVEAESTCPSGCICDQSTNWKTENLSLNFLQEIEITDLKGAEHESVKARPKNCVKDKQTRAGPNHSPSPSMEKSSSGDGSEVAAMRSDLFPSGSDAGEDRLSALPDDILVLILLRLDTITEAARTCVLARRWRRTWALLPELTFRSAPDNRHVLEVLAVPEAPALRRIHVATTNDAPESVAAWLPLAARRLSGGLVYHNLVEGHEEEEEVVVDGAIPLPCFGNATAIDLDLGYCALTLPSSGTFTRLTELCLERIRFQGTCELGDIVSSPRCPCLRKLHIRRVRGVAKLTVHSESLLEIDLFSLYGLQLLNINAPVLNHLALKYCFIRNQEQPIANISAPQLLSVTWMDAFDPSFVHHGSLGQLEQLCPDIILVYGQHPNRLNREVLRFLQHFQAVDSLAIVLGYPKAQWTCPSGCICDQPTNWKIEKLSLDCLQEVEITNLKGAEHEAAFLKRLLNWAGVLEKLRITFDYSVSKNKAKELCQRLSSIFRPETIVEFYEYSGRRLVDSLLLEDEGTGSSGDGGEVAAKRPDLSPSGADAGEDRLSALPEDILVLILLILLRLDTVAEAVRTSVLARSWRRTWALLPELNFFCSAPDNRHVFEVLAVLEAPALRRIHVTITDDAPDSVAAWLPLAARRLSGDLVYRNLVEGHEEKKEGTIALPCFGNATGIDLNLGFSALALPSFGTFAGLTELCLERVRFQGTCELSDIVSSPRCPCLQTLRIRRARGADSSHWMDAYDPSYVHFGSLEHLQQLGPNVIVVYGNHHSGHNREVLWLLQHFQVIHRLNIVLSYPPVGLHSFFCVIYTVKDDMGNFQYLLEDITHLPLLHS >Et_3A_025128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27167753:27173570:1 gene:Et_3A_025128 transcript:Et_3A_025128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGLLPQLKSTMVPIRVEELRGQTVAVDTYSWLHKGALSCSDSLCKGIRTTRHVEYCMHRVDLLRHYGVKPILVFDGGLLPIKGDQETKRERSRKENLERAREHEAAGNSRAAFECYQKAVDITPRIAFDLIQVLKEKKVDYIVAPYEADAQMTFLAVNKLVDAVITEDSDLIPFGCSRIIFKMDKYGQGVEFQFTRLERNRELDFNGFTKQMLLEMCILSGCDYLPSMPGMGVKRAHALIQKHKCHEKVIKHLRYSTGSVPHQYEEKFKKAILAFQFQRVYDPSTEDIVHLSDLAKGIALGNIDPLTKEPFEAQPECSTPAVERVYSASKSIVPSNGRKKLDLPVQKNILTNYFCLASLEAKRKFRAPKITPKQRILNESSLSSPRTEDSTTPDSVEDTSLPPNHIQASQCSSEQLNSEPPQDDPVTAASQCSSEHFCCEDPRGDSSNISPQCISLDGGSYPPPEDTGIEERKVESDYSNKSMLPNGSYSLGKMQGISEPSLLPHIREPCIPIQNYNESNVASTDRGIIIRSSYFKAVNKRVHTNQDMSDNNDDNENGDCTLSGDQLRNSGLLKRRKFSGNRNSEDVSDCLSSTIIIWQPEPLHTVSYDENPSVADNVADEETCQFMCETPAGCDTDHDHLDDTSTKTEGRFGCNVSHVNKYSGIAEKSMDKFAALISSFRYPGSRASGLRAPLKDVKNTLSVRSVLRPQEQGKFRCATKKTERGPPSKSRCTSDDKKTAGGPPDINAFAYRPVQTSDQSKITDAVNAPPDLGTFAYTSMAPTVCRTDRNKFTGKTIVTADSPPDLSTFAYKPTKSAVKRPGGRKLAGITLKTVGGTSRSQFR >Et_9B_064059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10818213:10819039:-1 gene:Et_9B_064059 transcript:Et_9B_064059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DVILVATRRIARPPKKGSAVVRPRSRTLTTVHDAILEDVVYPAEIVGKRVRYHSDGAKIMKIFLDPKERTSTEGKLDTYSSVYRRLCGKEVVFDYPVA >Et_1A_009004.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2527193:2527468:1 gene:Et_1A_009004 transcript:Et_1A_009004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRFLHLVASRAYRGRRAYTLHPIDPSKFFHPTTLTHESTVDETTNMEEFKLPPAAMTLHGPSQLGDLGAMDFMPLGEGGRKGILAADHK >Et_5A_040200.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:21831792:21832541:-1 gene:Et_5A_040200 transcript:Et_5A_040200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFFSAVLSDIVSRSVSFFMDRCLKFTDPSMEDKTIRDLQLLLLRVRVIIEEAEGRHITNQAMAHLLNMLRKEMYRGYFTIDTMRCQCIQTTDHDVSYSFALSVFNPTKRIFLSNGDTRVAKDLQQVLDNLNNIMSHVTESVTFLNKYPPLCLQPYSMHLFVGKCMFGRQMEMDRIMDFLMQKEHPSTQSIGVLPIVGPPCVGKSTLVVKSAMMQECAATLLKFWYLSRMISDARTLPPREIKGSSLS >Et_7A_050243.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14842778:14844806:1 gene:Et_7A_050243 transcript:Et_7A_050243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLGGLLQLRGLHALVHLAEAPYSLRKLPKPLRTVAVAASVAAVFVRPPPLPVRHRESWRREPVRRIGRRRRFRRRLPPLDRRGHQRRAAALRESHAQRRGDRTAAVRIVPGAAAAPGRLLAVGGGEEHVEAVEELPGGDRLGPLDLVLLLERVDAVLALDVGLGRLGVAVAGGDGVGDLLPLRVAEAAAAERDEALAPGVDERGRGALAPGVLPPTAAAPAPTGGGVQGRRGPMDRERESEGAPGRRGGDRDGVRHGERRCRRRGGRRRGALGGYVVVVVHGRGSGANSREGGGGRRGSDPV >Et_1A_008052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40440764:40442789:1 gene:Et_1A_008052 transcript:Et_1A_008052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNIIPSFILLILFLQQCPSPSRASQFDIITDTCARCSESDPKVNYTLCVSSLSANPESSEANIHGLAVISAKMLRSGVTSMESMMKELSKKETTESPRKSCLEVCIGVFHNSLYDLEQSIAAIEDTRYGDAKTSMSATIDAPVTCEDGFKEQGLEPPMKAETKQLFQQAQCPSPSLASQPDIITDTCARCSQSDPKVNYTLCVASLSTNPESRTADLHGLAVISANLLRSGVTSMESKMNELNEKEAAGSPRKSCLGSCIEVFKNSLYDLENSIKAIEEKRYKDAKISMSATIDAPVTCEDEFKGQGLEPPMKEQLYQQAIISLAIISLL >Et_4B_037438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19903900:19907109:1 gene:Et_4B_037438 transcript:Et_4B_037438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYGLATLTGRTGPRELSGAVDLISRYRLLNHHSFFCKKPLPLAISDTHYLSNVVGDTEIRKGEGMELDQLFQNSYQSEKTAYIQPFDMETLGQAFQLRETAPVDLPSAEKGTPTISGKSKIKSKDKVKKHKKHKEKERDKEKDQKKHKHRHKDRSKDKDKDKDKEKKKEKSGNHDLGGDHSKKHEKKRKQEGTGNLANAQTHKKISLQEETGEHSKTLFRVFFSSAVLASWAMNVRLAT >Et_2A_016080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20951231:20959968:1 gene:Et_2A_016080 transcript:Et_2A_016080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRAARRCTKSSAAFKFPHAQANAASGIHCEEASTCSNVRAQQYVINCMMIADYDDIARTSLARSMQADIVNALRRGDRQQASLILSNLKHTNRALSEEEFCYILEYCSDAPDPLFVMETMELMELKGVGISKNIYRSAIQALSSGGYLKEALHCLTLLMEKENNHGTLSFFNIFLNACGSTANLNDVECCLEKMETHLLGKCEITYCELLKVAVLQRNLSAVHDIWKECTRYYSPSIITQRKFLRALTMLGDLQSACHMLQRMVALAAQKCDDLRVTHKRRYRASRLDIPVPALSELEGLKLLSDSKLPLSQENLATREIDVQPELFQEETKSLENLHVEACVFSAGHNLIDKAEQDSGSVVNTLESASVAIRNVLRWSFNDIIHACVRLNNCELAEQLFLEMRELGLLPSRYTYDGLVKTLIAGKGIAYAIKVIEAMERRGIEPHNDTLVALSVANSKKLHLDLAEDFLERISEIQQKHIRAFNALLVGCEIKNEPERAVRILSKMKRVNVKPNRKTYELLFCLFGNINDPYEDGNVLSHVDVSKRINIIETDMLSNEIRHSFVSMKNLIRAFGAEGMIEEMLRYLNVAENVLWNMDPYKKSDLYCIVLHALVNAKETHKAIRTFKIMRSCSLPSNVAVYNTMIECCKLLPCLKSSYALMSLMLRDGFCPTVVTFTSLLKVLLAKEDFLGALDLLDMCKLEGIQPDIGLFNTILSHAYYKGQIHVTEYIVECMHRAKIQPDPTTLWCAFCAYTEQELYNTAIEALQVLSLRMISKDVSILSEKGIVLEDLIFSEEPDAELRIVRSFEAGKEYLATALLNLRWCAIMGATISWSPEESLWARRLASSYDANKRPYICSEICA >Et_10A_000832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1873852:1877808:1 gene:Et_10A_000832 transcript:Et_10A_000832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETPDYIRRGAARLKIAPVELFSGPSSQQGPSPPPPPSEQGAGTGGVCNNEAAGGDTEQACLQAEEGESGCCGQLKQQYGLLLREKEECRRLLEDLMRENEVKTRECREAQDSLHDMRMELMRKSIHVGSLASAVEGQVKEKSRLCQLLKDLSEKFKVLKSEHQNLRQESLEYQKCIMDPTQISSAIKEYVSQYAILEHEFKDLKEKFNEEAKERRDLYNKLIEQKGNIRVFCRCRPLNGDEIAAGASTAIDFESAKDGELVVRGHVSCKKVFKFDSVFSPEEDQENVFERTAPFATSVLDGFNVCIFAYGQTGTGKTFTMEGIEDARGVNYRTLEELFRIIKQRDGLFKYEVTVSVLEVYNEQIRDLLLTQPGAATKRLEVRQVAEGVHHVPGLVEARVTNMNEAWEVLQTGSKARVVGSTNANEHSSRSHCIHCVMVKGENMLNGECTKSKLWLIDLAGSERVAKTDAQGERLKEAQNINKSLSALGDVISALATKTQHIPFRNSKLTHLLQDSLSGDSKTLMFVQISPNENDVGETLCSLNFATRVRGIELGQARKQVDVGELLKYKHMVARAKQDIKNKDDQINIMAETIQSLESKNKAKDLLTLNLQEKIKELESQLLVERKIARQHVDNKIAQDHLQKQHSMKEENSYLRSPMAERNLNSTAEKPTALKNFGISKQMFSDSNTDTYSFQQLMSLGEEKENNPEAGQQPPPKARRLSVCNAGAYQQQQLMNPASRRNSLIPLPRRNSLMPLPTTKPLAAAPPPLLGKITELSSPPLCSPPVISNDKASRSKKINSILRRSLQKKVIVRPTLAAQTGRKAGAAVQGTDIVRRARRVPMSGVAGQRVQQNRDKERGWNTGTSLRHNL >Et_8A_058035.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1544688:1544963:-1 gene:Et_8A_058035 transcript:Et_8A_058035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDETAADSTIAHRQFEFEGMVFAVTERENEVAEVLDGGALRFLDSESFFDGDTGTREHLVDVQGKTEPMLLLVSVREDKRRIVGIRRFS >Et_6A_046660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1898477:1903350:-1 gene:Et_6A_046660 transcript:Et_6A_046660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEEETVARRWLVDITRWRPSPAQFDAATALLPPHEFVKEDDRKRALVSRLLQYSLVHQVLSIPFNRITIWRTAEGKPYLQNENSTFRNFNFNASHQGNYVGIASESFCLVGLDIVSISKPQGETVTDFINNFSSYLTDHEWNCIVRAGSPNEVLTEFYRYWCLKEAYVKAIGAGVGFGLHRLEFRHVEWTNISICIDGQESRRWKFWLFKLDEMHLASIAKGHPEDAVNSYKKTLPTIIIEEENLHGTLEIPEEGFLLWTVEQLASIAKGHPEGAVNSYKKTLSNLNIKEEQLHATLQTPEGGFLLRTVEQLMRLHY >Et_5A_040834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13115162:13118312:-1 gene:Et_5A_040834 transcript:Et_5A_040834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NTEKNVFKSDKIVIIGDIHTWQATEKQGLCGPNAIHLAPAGLCSPHTPNPPHAATHAFSSFLHQVLLYYPASQSIHNSHQSNLPRTMATPFCAAAACRISVAAPPGAASMRPRRGVVAVRAEAGGGINPAIRKEEDKVVDTVVTGELAKPLTAYCRCWRSGTFPLCDGGHVKHNKATGDNLT >Et_8B_060401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13377784:13378928:1 gene:Et_8B_060401 transcript:Et_8B_060401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLKGAVRDINGHCNTNRRAGTWYDKCFLSYADTNVSTAYEECFRQDLDNYRNGSYVQRRLGLLRPRCPSCRAAAGSAGEGLCSPTTATFAWRSTPSIYDLTLDAPPGSPALAPAHTNSTTSVGESPGKPSLENGRFRERGVHFGASATVDILRV >Et_7B_054720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3782292:3784007:-1 gene:Et_7B_054720 transcript:Et_7B_054720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ERRSTYLKRTMGRGRVELKKIENPTNRQVTFSKRRMGLLKKANELAVLCDAQIGVIIFSSSGKMYEYSSPPWRIANIFDRYLKAPSTRFEEMDIQQKIIREMTRMKDERNRLQIIMSQYMGEDLTMLSLQDVSNLEQQLEFSLYKVRLRKQELLDQELLEMRNRVCYAGFSIRPSLRTLPLSIRTTDFLSCPDELAPGQQTQSAEMVVNQKPFPWWDVGASQQQLYGHEAGSSMTALQLLPQLGEYKLQPLQPNLQETSLQNYILRL >Et_4B_038770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4849609:4851526:1 gene:Et_4B_038770 transcript:Et_4B_038770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASELFAARRAGRGPRLSDPDPDPDPRADALRDPHGLDGRRRRRGCRPRRQLDAAGDVRQHLHTGAPPPRRRGSYTDQILSYIDNNNIGDSAARRNRLDRLMFRTNERLPGAVLQAQARVLERLRGISLGSSVSRPSISLDEFSASDVFRIIDFRNRETPYEANGPNSSFHPGSESDEETATINSTNFPRSHGLSKAAFLRLQIEIFEARKDDNREPSPECSICLDGFYDGDELIKLRCGHRFHSTCLEPWVRKCADCPYCRTKIRSRS >Et_7A_051468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18983571:18987429:1 gene:Et_7A_051468 transcript:Et_7A_051468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFHGYGVAVLIVALHLCCPGAPPVQAVLHTATLAGCPKACGNLTFDYPFGIGAGCFRSPDFELICNNTMAPPKLFLRDGETEIIYNIDLYKFRCHFLAVDPRRSFIGSSFIDANFIGCDFDAYRLDDANSREFICSVTCPSQGITEAVAKKQCNGTGCCFHVFKQRPGAISSLNLQFNRHGGRLKGNGTSLWEDRIYVTASMFLSWVFGDEANCTAALRNKTSYPCISEHSTCLDIFIPSPSYKCTCVDGYFGSPYVPGGCSRDRGYNPSPRKANCSRSCGNISVPFPFGLEEGCSARTEFQLECTHKQPSTLLYVSEPVSYINVNEGVMGIKDTSNISKGVNSRLFNTITLTKELQWAVANLTCQEAQQNINTYACVSANSTCLGYNARYFYSYDWYVGYLCKCAPGFEGNPYIPNGCQDIDECQTAPGICKGICQNTIGSYYCTECPPKMQYDFTLMRCTQQNRQSLLLGIMIGLGVGIGMLLIGLSSLFLLHRWRRDAQNKLRRKYFLENQGLLLEQLISSDENANDNTRIFSLDELKNATNNFDETRILGRGGHGMVYKGILSDQRVVAIKKSNVIEQSEINQFINEVAILSQISHRNIVKLFGCCLETKVPLLVYDFVTNGSLYEILHPSVRTVSLSWDDCLRIPEEAAGALYYLHSAASVSVFHRDVKSSNILLDANYTAKVADFGASRLVPIDQTHVETIVQGTFGYLDPEYYHTGKLNDKSDVYSFGVVLVELLTRRKPIFTCELGLKQNLSSYFLSELNSRPIEEIVAAPIREEATKEEISSVASLAEMCLKLRGEERPTMKQVEIALHTLRTKRLK >Et_3B_030839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7972548:7975688:1 gene:Et_3B_030839 transcript:Et_3B_030839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYLFMRIATRCMRCFLRKYPEVTVSLACFLLLLHRLKRRDGLPTNWPVIGALPAISKNAGRVHEWLTEFLRAAGLSYVIKGLWGSPVDALVTADPADVAHVFTSNFANYPKGKEFAALFDVLGGGIFNADGEAWAFQRRKAHALLSDARFREAVAAGTARKLRDGLVPLLDGLAASGKAVDLQDVFVRLTFDLTAMFVFGVDPGCVAPGFPHVPFAAAMDVVEEVLFYRNIAPVPWLRLQARLGIGHARRMAEARRVIDASIAEFISLRRRRRDAADHDGGAADLITPYLACQGEVGKDGAEFEAFLRDTTFNLMVAGRDTTSSALTWFFWLLTQHPDVEARILAELRDHPPASGHGHHHSAAELKRLVYLHAALSESLRLYPPVPFEHKAAAREDTLPSGARVGPSRRVIVPFYSMGRMEAVWGKDCLEFRPERWLTPAGRLRHEPSYKFVAFNVGPRTCLGRDLAYAQMKAVVAAVLPRFRVEVDAGVVVRPKLSIILHMKDGLRVRVHRRQEEKAA >Et_7B_053851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12825174:12828335:1 gene:Et_7B_053851 transcript:Et_7B_053851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLLCLIIAFTLMIVSTMSSTLSMMAKPGCKETCGNLTIPYPFGIGPSCYYAPGFDVSCEDNRTFMHNSSSRMEIYNISLLAGQARVNTLIASHCCYSNTSGWTSTNTARFFMLSSKANMLTAVGCNTLAFLGGYNEHRAAAGCFSMCLDKQSVDRSGQCSGMGCCQTSIAPNLNSFNITFDERYNNSEVQDFNPCSYAFVAEQDWFKFEPSYLEDTNLIEKFEDGVPAVLDWVAGRTSCDEAVKNTSSYACISENSQCIKPPNATGYLCSCNNGFAGNPYLVEGCQDINECQFPDQYPCNGTCSNTIGDYHCSCPSGTHSVDPKREPCRPGGASERAKLTKMFIGISSCFIILLISIFVLLIECQKRKLKREKDRFFQQNGGLLLYEQIRSKQIDTNIFTAEELKHATNNFDSSREVGKGGYGTVYKGTLKDNRVVAIKRSKIMNMVQKDDFVQEMIILSQINHRNIVRLLGCCLEVEVPMLVYEFIPNGTLFDLIHRRSPISLDTRLRIAQESAEALAYLHSSASPPIVHGDVKSPNILLGDNYVAKVTDFGASRMLATDEIQFMTMVQGTLELITRKTAIYSESTEEKKSLASSFLIALKENRLRSILDTNILGVRIELLQEVSQLAKCCLSMNVGRTTINDRNIRKIETYTENLARTID >Et_3B_029977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29563228:29563652:-1 gene:Et_3B_029977 transcript:Et_3B_029977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFQLPKTLPLPRRPSIVERKGKTTSREVKEGSNLQQLPQGYLGKLLVYKSGKIKMKIGDVIFYVNPGSESRMVQQAVALNSKEKHCCLLGEIENRHVIVTPDVDSLLNDSR >Et_10A_000215.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18134661:18134852:-1 gene:Et_10A_000215 transcript:Et_10A_000215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSYLIEVLRATCLQVYQSPQTIGPLVCHQIPETRALLTQIPSWTSYSRCLCLAWQLQLGIT >Et_1B_009975.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:15816261:15816455:-1 gene:Et_1B_009975 transcript:Et_1B_009975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSVEDRWEMAFLISEGRELRQLLQQAVFVQVNRERNKVAHELAQLARRNFMRTAVWLRQAPA >Et_7B_053459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20596828:20597961:-1 gene:Et_7B_053459 transcript:Et_7B_053459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDDILTDILVRLPPRPSTLPRASLVCKRWRRLISDPQFLRRLRAHHRSMHLWMRKVNLGGVGRWMLQKTIQLDKLLSLPMERSWLPILGYDEDGHAIFVWTDIGVFMIQLDSLQFRNLFKTNIINAYHPFTCFYTAGNTWSFFPFQEQSNIFVLCLVAAIS >Et_8B_060560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2151908:2152763:-1 gene:Et_8B_060560 transcript:Et_8B_060560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSAVVRMGPCGGGGGNGRDVDMRGVDRVVKVAVRHGHTVDAVSVLYDRSGREEWTDLWGGPGGGLSEVRFVLLPPARLITMAFLTLTQTSWLCVQICLRPDEYFTGVEGHCGEFEGNFVVRSLTFLTNLRAYGPFGAQEGVPFALPAAGGRILGFHARSGRHLDAIGTYVSGIGRTLQDVPNRRRLVVCSGATVQGQSQS >Et_1A_006666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2613670:2615403:1 gene:Et_1A_006666 transcript:Et_1A_006666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRPQGQSLSSLLPSDPPAPQPPLNASPVDRHPELSAAGVDKKEELHPNAATAADLRDDSSPARRAALLPLSPQLTSVILYILQSVSYAWLICDDHSTLFLENGHHHHMPVPVMKAGERLTNGVVLRAVPLTAVAASVKKEQIVKDEGGGGGNGGGGMKKRRDPAVLVEGSRCSRVNGRGWRCSQPTAVGYSLCMHHLSKGRARAATAAAGRAAVSGKLGRTEHRPRTAPADADTLAPKADVPHC >Et_2B_019136.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:13153790:13153897:1 gene:Et_2B_019136 transcript:Et_2B_019136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRTRWTADLDFAEWWRRADRRTPAAQRKAFNTY >Et_8B_059271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1630724:1633526:-1 gene:Et_8B_059271 transcript:Et_8B_059271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNKLPPRRHIPRGVSAPPVATVAGLKIFKIARTPAVPNQEKGAVESQNGMAPPAALCRIAACASSPASAAAPAGRRREPELAAFALAERGMVVGGHRGMGMNAVGAQPGARVGAARERENTLLSFGRAAAHAAVAFVEFDVQVTKDGCPIIFHDDFILTQETEAVYERRVTDLVLEEFLSYGPQKDSRKVSKPLLRRTGDGRVLNWSAEEDDSLCTLQEVFERVSPRLGFNIELKFDDNIVYHRKDLECALQAILKVVVQYASNRPVFFSTFHPDAARVMRELQTLYPGVFKNPSAVFRAQESNLAFLTYGQLNNVSEAVYVQYLMGINGVIVDMVEEISNAVADFSRQPLSQSSLGSNVSSMDGSKHEAFSQQQLGFLLRLIPELIEQPH >Et_8A_057274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2335750:2338435:-1 gene:Et_8A_057274 transcript:Et_8A_057274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFDPYENNGGTCVAVAGDGYCVVAADTRLSVGYSILSRDQSKITELADKCVLASSGFQGDIKALQKNLAAKELVYEHNHNKKMSCPAMAQLLSNTLYYKRFFPYYTFNVLGGLDSQGKGCVFTYDAVGSYERTGYSAQGTGAKLIMPVLDNQLKSPSPLLLPAQDAVTPLSESDAVDLVKDVFASATERDIYTGDKLEIVVINSSGIHRECIELRKD >Et_5A_042740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3378977:3379819:1 gene:Et_5A_042740 transcript:Et_5A_042740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQPRSRIDVDASDEHIVIDVELSAVNHGNAGAGAGMQQDEHAHLCAICKQASPWVAVGQCGHRAVCSKCMVRWRFFHRDKRCHVCKARCPKVVVTRTIGGAAVLPAALPSFCFRDRKVGKYWYHAYGSFLRRRARVSDGQGRLSRDNVAFLPTHPGVVVGAALGQSILYEMKTPNNMSLQITVYAVTLSVTVPLSFFLWYLIKRTEDPLVVEAIRAEGGY >Et_9A_061706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15561463:15561956:-1 gene:Et_9A_061706 transcript:Et_9A_061706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGGGLGADGGAERNPLLDALAALGAATPRRIFRKKLTVSDLNLERARLQIPCASGDGGDALAACHRRRGPPIARVRCLDVPVFDRHGHRYDMMLRWLRQSERCYRLFGHGWVRFLRDNNQNHDLAELEVELWAFRSKELLPLHHAEAAGQHPDGALGTC >Et_4A_034838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:778775:781664:1 gene:Et_4A_034838 transcript:Et_4A_034838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGEEQGRRLFGVSLTDRPRWQQFLICSGGFFFGYLVNGICEEYVYNSLQFSYGWYFTFVQGFVYLALIRLQGFTVKQMVNPWRAYVRLSAVLMGSNGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPLHEYVSAVMLVIGLILFTLADAQTSPNFSIIGVAMVSGALVMDAFLGNLQEAIFKINPDTTQMEMLFCSTVVGLPFLMVPMILTGELTTAWTSCSQHLYVYAVLVFEALATFVGQVSVLSLIAIFGAATTALTTTARKAVTLLLSYLIFTKPMTEQHVTGLLLITMGIVLKLLPENKEGGPRRQAKMTEDKQGMGTEVEEEKTSLV >Et_4A_032956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16035407:16038491:1 gene:Et_4A_032956 transcript:Et_4A_032956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLGVAIQRTEIQKHTMDGIIQAIASPPLLSQKPRPPTASSSSPAPLLRRSTPPRGASPPIHAASRRFSAGDHDAVVVDRRRKQIERAVVAAAAFKNVALVVGSTGIVGAALLDILPSADTPAGTWKVYALSRRGSRPPRTPRSSTSTSTSPTPTPWPRPSRRSPTSPTSSTSLGPRAPRRTRRARPTAPCSATSSPPSSPFPNCPALAHICLQTDRKHFIDPLVPLSGVPAALRPYSEDLPRLEYPDLEDVLLDGIDGGGDITWSVHRPTTIFGFSPRSGRNVIASLCVYAAICRKEGAPLRWPGSRVAWQGLSDASDAELVAEHALWAALEPAGKNEPFNCTNGDAFKWKQLWPTLASHFGVDWLGYLGEDQRFMLQEAMAGKEAVWAEIVRENELVETGLDDVTTWWFVDAVFNVDKEELDTMNKSKEHGFLGFRNTVRSFDTWIAKMKANKIVP >Et_4A_033371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2236771:2241653:-1 gene:Et_4A_033371 transcript:Et_4A_033371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEQQQRGISSTSNGSGRLVTPFWKEKYERDARRYWDIFYKRHEDKFFKDRHYLDKEWGKYFEGQDGEKMVILEVGCGAGNTVFPLLSTYPDIFVHACDFSPRAVDLVKKHKYFIPGRINAFVCDITSEQLTENVEPSSVDIVTMIFMLSAVAPDKMPWVLQNVRNILKDGGRVLFRDYAFGDLAQERLMSKGQQISENFYVRGDGTRAYYFSNEYLVDLFSKCGFTLDEICVHNKQVENRSLDLVMNRNWIQATFSTATLQVPNGQHDLRACERNEDKLAADTFKKKSSSEEIDLSEDLCNMFVTSHNLDEAQTIEIKAKGHDFKIKCLTKEHQHTCKLTGLMLWESAQFMCSLLAENPSIVAGKRVLELGCGSAGICSMVAASFAQFVVATDGDEESLDLLRQNISCNLEPDLLNKITVRKLFWGNKDDVVAVRDLSGNDAGFNCIIGTDVTYNPDAILPLFKTARELISDKANEDSEAALILCYIQRRVDEDSILSNAMAHGFKLVDKWINGVRESNGIISSWFYGNGVCSAFRSSTLSILYFQV >Et_4B_037042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13957771:13960909:-1 gene:Et_4B_037042 transcript:Et_4B_037042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPLSPGPSTGRPTPPPSPLRPSVAATHAAVCGGPLSRKPRRSAAAVVRAKSKGEASFTDRILDYIEVKEMVWSAGSASQGWGFGVRIDIEEPRDAVLVTNGDSEIGQMVILALILKRARIKALVKDKRSTEEAFGTYVECMVGDVEDKTFTKKALRGVRSVICPADDGFFSDLIDLKGVQHIVLLSQLVVYRNSGGIQAILNSKLKKLAERDEEVVLASGIPSTIIRTASLQSTPGGERGFDFTEGVAAKGRISREDAATICVEALDAIPPKTLIFEVANGDKKVEDWKEWFAQQMKSDDEV >Et_1A_007316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33197577:33200907:-1 gene:Et_1A_007316 transcript:Et_1A_007316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRSGDGVPAARKSGRPTSCSQGTDRNAGEHVEVQRAHKHFNSEKRTDCLHHKIVNSTKRRRRSWSQEESFCFMKSTFVCVCYPTVLCLLNYHIKLNIFQEDKVLIEMVNLHSSRKWSTIACSIPGRSPQQCQERWKVALNPAVNRQPWSEKDDITLIHAHQIHGSKWCEMAKKYFPGRTGKAIMNRWITLKNKKVKSDSVRGLPKGPSTNNSGQDSSSKVHVSSEMPVPKLEQGLAREVGRDPSTLNGNTSDSVHGKGPVPHLENVSLEVDEHILILELVDRNVTFLEADFPSLEQNEEVTDSVAILRPNTDFSPARNLLSNSDHSDVICNSADSGSQEPHLANVADLLDMSYCESLMLIPPHSPEDGNDMQRM >Et_6A_045924.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:8464476:8464961:-1 gene:Et_6A_045924 transcript:Et_6A_045924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEVSRACQEGQAALVERLIKHFSDVNANDGDNLIFSPLSIHVALALISAAAAGDTVSEVLAMAGAPSREDIAAFVRATVIDLVLADQSGVGGPSLSFACGAWTDKRWPLRPAYVDAVVGRRLPAQGQYMNLHSILLFIYYPPPQLYIDIDKTSELSP >Et_10A_000955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19532504:19533753:-1 gene:Et_10A_000955 transcript:Et_10A_000955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDITAADGPLKPYAHVIPLLQCCCSVTLVMPVPFMSTSCLILILALLLTSAITAITTEELAHTGFLKKGSSLSVERASDILLSPDGTFSFGFYNLSSTAFSLSIWFTNSADRTIAWSANRNRPVYRSRSKVMLKQDGALVLTDYDGTIVWQTNKSTEVDHAELMNSGNLILRDQGGNILWQSFDHPTDTLLPTQPVTATAKLVSTELSHPSSYYTLLFDDRYILSLAYNGPELSTVYWPNPDLSSWMNYRISYNSSRRGFLDNLGQFIASDNASFVSADWGPGIKRRLTLDYDGNLRLYSLNDSDGSWSVSWMAFSQPCGIHGICGWNGVCVYTPVPGCSCPPGYVVRDPSDWSKGCKPTFNISCRGDPKMDFVPLPQSDFWGSDLDYIQSTSLDAYSVLGKMLLPSLRVQIR >Et_4B_036540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28916631:28916870:-1 gene:Et_4B_036540 transcript:Et_4B_036540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPQLPAGEGSWAHEVKQALRDKLRRSCGTAAAPASSAPPSRGADCRGSVAEDPIRRVMFLAPWGHT >Et_10A_001466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3731048:3732258:1 gene:Et_10A_001466 transcript:Et_10A_001466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVFDSINANSPLILKNNQPDRFHSSTSTWGRQIRRPGRGEPRLTELAGLVNRRAVMSFRRFVHLVVDDMNVRRRSYSLRNIDVSCLFRRPPAPEKETTTGGRRSDHHPSIEEMEPSSLPDPAMSFCPPRHSLHVHAPRRQRAVLYDPGRHAVHTLHGFAKPKTSAVVALTAGAGGDDDLYVLNALPSLNAGFECLEQRDGDDDEDWYPRVLPPPPPFRCCGDDGAEEEADGTSWWSTGGPCIVSSAVSGDGARIWVTDQCSSTYAFDTAAERWSKAGDWALPFAGAAAYAPEHGLWFGLKDDDRCALCAVDLAPPDDWPAPPTSRLVHLGAAKFCVARFFSDHPSTCRGWPVTRAVFTGVEVERCADADGGGLRMVKHRSKMYTLLHDMAYWVL >Et_4A_034090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29917040:29929724:-1 gene:Et_4A_034090 transcript:Et_4A_034090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRDQHQWPRPGHGYDPRAPGVQWHGAASTSAPGPGAAAAQGMNPYAYAPFPQFAPNPFNEVVNHLLFQNPAALHSGEGVTGWKLAQAALVALKADSWDSLGVQLHEVPLLRDIFLIEGKVNTFIHCYVAARKIVTISDLEVEICKNEGIGQFEELGLGPFLQHPLVVHYFSAAADLSMVPKLSSEEIISFLQKFMDNSKKKITVEDFLDYVAEQKSVSRKEKLGVRVQSLGLHISFLRQARRSEVDSVKLVGKMSRPGDSSREKNLSKKSNLRTDKQTLDKRFNSITSRIKQLPGINKHIHFDLTDDEVDGNTSSEDDKLDGSESKNGSSVLDNKDGDKRASSCPYPSKTEEMERLGLKSEISKKPDLESSRVTERGKKVTPREKRKAGENESPGSLCERPKKQQKLQTQKHEALPNCFLSIGKLENFLTTWKETCREHSVQQVLEMIANYYARTPKEKKRIINFCSQYPGIGLFNVAVKSMGCGLLDSIYDMIQLSGENNMSSSPLPNTTTEIMEIEPPCKENTKCIAKGSSDSSKDSGNRHSVAVDDVIRRIREYIESNFEVPGDGALLVRILHECEIWVTTQFLAKQFSDLGHGTFLEFLEKNGHHFSTMLSGFVKRGGSDSSLEVSVLQQQIEVLLCQAESNWLENGHLSEDCFFMLLKRQFPTISFGTLHGKSVERLTGYIKRQRKNIQTSSIKFSISLLEKQWSGISPVRHDNEDGLANNVVQQSYSGAVTSREAINCLLKAPMLSDLLLWSHWDLLFAPSLGSFVHWLLNTGPVQELSCIATTDGRFIRVDPSVTVDQFLEAIIQRSPFQVAENPHASGARSRSDSLYNIDNTARLVAKFILDCLGHLPPEFRSLAADILLTGLRIVTKNCYSVMLHEATEDWQLCMLHDIGLSLGVVEWVEDCRRLCLTEEGHAKTELHSSLKHTAVASEEPTHENSGISVSNNVSMMNEERKQLHGTNDQAVTMDCTDDKVLDLDGTKAHIGELHPDKSPVMGDMILEEATLVIETIRREEFGLDRAQNYTENSLLKKQHARLGRALHCLSQELYSQDSHLLLELVQNADDNTYPEDVEPTLAFILQENGIVVLNNERGFSADNIRALCDIGNSTKKGSNMGYIGNKGIGFKSVFRVTDAPEIHSNGFHVKFDITEGQIGFVLPTAVPPYNTGPFSRMLSIEEISDASSLWNTCIRLPFRSKFREGTGMCSIVSMFSDLHPSLLLFLHRLKCIKYKNLLNDSLLVMRREALGDGIVRISHGSETMSWLVVSKKLQGAVVRHDVCTTEISVAFTLQETEEGDYEPYLQQQPVFAFLPLRNYGLKFILQGDFILPSSREEVDADSAWNQWLLSEFPSLFVSAKESFCALPCFQRCPGKAVSAFLSFIPLSGEVHGFFSQLPHLILSKLRLTRCMFLDGSSLQWVYPCNTLRCWDEQTKMLLSDDLVHEHLGLGYLSKDIVVSDKLAGALGIHDYGPKILMDTISSICRTDGSIESLGLEWLCAWFVTLYFTLISHSSRNISWTRSFEEDLLNTIRKIPCIPLSDGSYSSVADGPIWLPYDIVNSIAESRSSIQNFPILYSNLRTVSPYLLSASCKNKYLMEEVRTNDLVDILLKIGVRKLSGHDIIKNHIMMSLRNDIDATMTDKMMIEYVSSISLRKDIGFKTEVSHSDAFMVLKSWITSQAPFSARELLSCSYIFTPTQRPRSSEVVPGTFFPPEDLYWHDPTGCSELTEELISTKKTKATFPRKMLSVAYPNLCDFFTEVCGVPKTPTTSNYVEILLRLSNVALPSQAANHVFRVFVRWANDLSPEAEKKDILYLKESLQKLETTILPTSVDKWVSLHPSFGLVCWADDDELKQQFNGSSAVDFIQFGDLSLEDRQMLYGRVAALMKNLGIPALSKVVYREAIFYGTADYREKAALICWLLPYMQRYIYKMHRDIYMNFQKNEIKKLSTLQVVVVDKLFHKYVLRGLESSSKKRFECNCLLQGNTLYATQGADSHSVCFELSRIFFDGSPDLHFANFLHMIKTMAESGTSAEQLESFICNNQNVPALPEHEVVWSFSSLTAADQNFDSQMVEFRSTCELNVPNHQRAPGTVSSWPPTNWKTAPDFRISQKSQHAYMPDAKVDAVTLSDLTMHVKCGPTDDTLIPVEIEGDWIIEANTMMENTLFADSAVATLDEPQMVMSVDPSDAPLYLDLEAGSSSPTVDVEVANFNEKLDNVSEDRSMGAGPSDASQLRTGRLGEALVHKYFTEQLGSNNVKWVNQESETGLPYDIVITRKGNFTEYVEVKATVSSKKDWFYVTSREWQFALEKGNAFSIARVVVSGTKKARIEMLKNPFRLYQQKALRLALLIAR >Et_2A_016618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26704148:26714188:1 gene:Et_2A_016618 transcript:Et_2A_016618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKTSVLGGGPWMQTVSNYHGRQVWEFDPDAGTDEERAHVERLRREFTDNRFRRRESQDLLMRIQFTGLKHLRADMPTAVKLDDEAEVTEEVLVASLRRALNWMSALQADDGHWPGDFSGIMYLLPFWIFALHITGSIDDNEDGGWGFNILDESAMFGSCLNYVTLRLLGEVQKYENDGLAKGQPWILSHGTATAAPQWAKILLSVVGVYDWSGNDPVIPELWLVPRFLPIHPGRFWCFTRITYMSIAFLYAKRFVGPITPTILALRNELYNLAYDKIDWSKARNTCAKEDMRYRPSAIYKAASTCLNIFVEPMLNCWPINKIRERALSLIMKHIHYEDETTQYVGLCPVTKALNMICCWVENPNSDVLKRHLPRIHDYLWLAEDGMKTKIYDGCHNWEIALIVQAFLSTGLIDEYSSTIERALKYIKNAQITRNPPGDQNYWFRHRSKGAWALSTVDNGWASTDISAEVLKAILLASRIPHKVDENAEEKQRLFDALDCLLTFMNKDGSFSTYECQRTYSWLEIFNPLESFRNIVADYPTVECTSSVMHALILFGEVCPGHRCEEIQECVNKAAIYIENNQKKDGSWYGTWGICFIYGTFFAIKGLVAAGRTYENSICIRKACNFLLSTQLVTGGWGESYLSCERKMERDQTPLDRAAKVLVNMQLETGDYPQEEHAGNTNSSVYFNYPNYRNLYPIWALGKYRHGLLTKKKCK >Et_4B_038874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5579133:5579805:1 gene:Et_4B_038874 transcript:Et_4B_038874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWCGSRGNRASGRTPLDWETRSAIALAAARGVAYIHSTGPTASHGNIKSSNVLLTKSYEARVSDHGLPTLVGPSFSPTRVSGYRAPEVTDIRRVSQKADVYSFGVLLLELLTGKAPTHAVVNEEGLDLPRWVQSVVREEWTAEVFDQELLRYQNVEEEMVQLLQLAIDCSAQHPDRRPTMSEVAARIDEIRRSSLGGDRQPADGAVDNNEGDEPSL >Et_5A_040819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1352218:1353530:-1 gene:Et_5A_040819 transcript:Et_5A_040819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEAEPLQYTTTVLRVSIHCEGCKKKVKKVLHSIEGVYKVTIAAAQHKVIVTGSVGADALVRRLQKAGKQAALWPVPAPAAEAAKKPEEVAAAPSVAGEGGNDANDKADKKAKEKGSGKQPAEPEGSVKKPEKDKAAANKKPEKGEAAEKKPEKEKGAEKKPEKADASAKQKDGDAKKDKDAAEPKEKCSPEPAKEAAAEEASGEEGSAKKGKKKKNKAKDGGDGGEATAAEKPLSPPQPAMPALAPPQLLGPAERSLGGFPYYAAQPVMSYNVTHPSASVSYYAPTPAMPMPPAHVPYGYPPYPAMMPPPMPEYMYGPPGMRSSPPHDSYNNMFNEENANSCSLM >Et_9A_061780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16288327:16299903:-1 gene:Et_9A_061780 transcript:Et_9A_061780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDRYAECDNMYIVQAPWGDLLNVWRGCDMVRDTSATLVQNTDEIKIYRVDTIEKKLVEVKCLHQHVLFLGHNQSLCLSAEQYPPLKANHVYFTDNSEVWIKGFKNNRRDIGVFNLENNSTEELVSPQSQRGESSSKTDSTSMETLGLSISLKSLSSLIFRILSKFLGRTPSSLKTVREKELVHSLPMGTEMRTLPELPQEILMAIFATFEIPDLMRAGSVCSSWRSAYTTLRELGQYKLSQTPCLLYTSESAGESVAFLYSLVEKRAYKLALPEPPIRSRWLIGSSHGWLVTVDDRSEMHLVNPITGEQVALPSVITMEHVNPVFNKYGVVDKYEYLWHTATKARYTPSIFALSELRDYLQFKAFVFPETRKGSYIVVLIHNPICQLSFARVGDDKWTWLPPHTDYEDCIYEDGLLYAITKVREIHAFNLSGPAITMKVIMEMDRYAECDNMYIVQAPWGDLLNVWRDADRDGDTESATLVKNTKEIKIYRIDSMEKMPVEIKCLCEHVLFLGHNQSLCLSAKQYPSLKANHAYFTDNSELWINGFRNNRRDIGVLDLESNNREELVSPQLWSNWPVPSRGGPVPAPSKTATMKLFLQRGESSSKTDSAPMETWDLAIRLKGLSSLIFRVLPKLLGRPPSSLKTFRDEEHVHSPSTGNEMRTLPELPQEILMSIFATFEMPDLMRAGSVCSSWRSAYTTLRELGKYKLSQTPCLLYTSESAGENVAFLYSLVEKRAYKLAVPEPPIRSRWLIGSSHGLLVTVDDRSEMHLVNPITGEQVALPSVITMEHVNPVFNKYGVLDKYEYLWHTATKARYTPSIFALSELRERLQFKAFVFPETRKGSGYIVVLIHNPICQLSFARVGDDKWTWLPPHTDYEDCFYKDGLLYAVTKAGEIHVFDLSDSVITMKVIMEMDRYAECENMYIVQAPWGGLLNVWRGCDMVRDTATLVQNTDEIKIYRVDTMEKKLVEVKHLHDHVLFLGHNQSLCLNAEQYPPLKANHVYFTDNSELWLYGFRNNRRDIGVFDLESNNTEELVSPQIWSNWPVPVWITPSLAKMDFEQQL >Et_2A_015799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1866050:1868906:-1 gene:Et_2A_015799 transcript:Et_2A_015799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRATSLLLRHHHSALASRSPARSAAAAAAAGVRRTPAPASHYFFSTLDAAGVRTRVEDVMPIATGLEREEIEAELQGKKRFDMDAPVGPFGTKEAPSVIQSYYNKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCTQYFVSLEP >Et_2B_020766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23404031:23406178:1 gene:Et_2B_020766 transcript:Et_2B_020766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLLFVPPPIDPGDPGPPPESSILLDPFGHIDARRNATTAGCFTRSGDYLLVTFWLVQQPRVSFFTAHCPRLPVTVFRRSPKIVTTEDDLVLLRAALRPCGFDKDEDINDYFVYQAGAPSKQLPSLHMIPRAPVLEFHDGEPVLLRCRDRDMFFIAILHRRYMEHHLVEQFDLHLYNSSTNTWSTRPMHSPEHFESTFFVFASSKAITIGGDLGSAGWVDRCWGILVRDLLGSSHHLRYISLPSPIVAKPIQGSPRYNECCPYIIDGCEAGTMILSKNYSSWEEDCSMKLSMEHLTHPRFAELLSCFQGKDTQPVSMGYNVGYPALSLHHDVVYITNKLLPRNLVDDRAWVVAVDMRQQTRQDVGYYCAGPLSCASTFIQSGISQHLVTWTVFAMSMDDMPHTWQREIEWQMGTTT >Et_8B_059884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3705428:3709770:-1 gene:Et_8B_059884 transcript:Et_8B_059884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAATARRWRWRRWKWRPRDVVLALLVVSVLAPLALYIGAPTSPFSGPVRTGAQSETGKRLNALAQETFDEVKEPVKMVSSSSGDLNSGGMVIAVSHDTQLVQDRVIQQIVGHRSVVDKPYESMVNKMQNGENMNGMKLHDSNKGENVDNAVSTGKERGDHLGEESGLRSDGELMEHKAVAVLNDRDASSKKENTAGRSSEHFTRATSEGSAAKAIRSNTSPRKNPPGSTMHVIKDQLRRAKAYIGLLPSRGNHGFVRDLRRKMRDIQQALGDATKHRRLPKNVHGKIRAMELMLTKIKQVHENCVSVIDKLLATLHSTEDQVQAQKQRANYLAQVAAKALPKRLHCLALRLTNDYYLCRSYNPKLQHYALFSDNVLAASVVVNSTIANSKEPANQVFHIVTDKLNYAAMRMWFLANPPLKAAIQVQNIESFTWLNSSYSPYLSILNHLRFYLPEIFPKVDKVLFLDDDIVVQKDLSSLWSIDMRGKVNGAIQTCGETFHRFDRYLNFSNPLIAKTFGSACLWLGIWHEHNANRQLWKLGTLPAGLVTFWNRTFPIDRSWHLLGLGYKPNVNQRDIERAAVIHYNGNLKPWLEIGLPKYRKFWFKYVNFDNVSIRKCNINP >Et_10A_001532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4783478:4788016:-1 gene:Et_10A_001532 transcript:Et_10A_001532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGCRAVVSGDQGRCFGPNIRSVHGSCLVRVEDVFGELISKIAGAEFDLPPVLKEWKLGYYVPIKRNVYLTKRRIEDDGIFCSCIPSSGSPVACGKDCQCGMLFSCCSSECKCDDTCANKSFQHRPLKKTRLITTEKCGSGLVAEDEIKKGEFVIEYVGEVIDDRTCEERLWKMRRQGDTNFYLCEVSSNMIIDATNKGNMSRFINHSCEPNTEMQKWSVDGETRVGIFALRNIKKGEELTYDYKFVQFGAGSSHAQPARKKRKTHSENCLGQFLRLWHPRLNQYVACWVYNFDDETKLHTLQFCDFSLETFNLKEEEWHFLKV >Et_4B_039370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12025374:12028340:1 gene:Et_4B_039370 transcript:Et_4B_039370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMANTVELVDALERLSVDNHFQAEIDTALRRVHSEDLEFGSSKELYITSLRFRLLRKHGFWVSADACHLQAQLDADVFDRFSDDTSNFSTGLSSDPRGLLSLYNAAHMAVPGEDALDNAISFTRSHLEAMKGKLRSPIAEQVSHALNIPLPRFMGLLETTHYIVEYEQQEAHDDVVLELARLESNLMRSVHLKELSAFSLWWMHLYDDVKLTNARDRAVEMYFWAAGVFPGEENSRGRMVVAKMIGLLTLMDDTYDIHATLDEWDENAVSILPEYLRMLYIKILSNFSEFEDILLPDEKYRVSYLRKASNHYLLEATWSNENYMPKFKEQEEGSVMTSTPPLTLASLMGAGNVATRDTFEWALSVPDMVRACAEIGRFLNDIASYKRGKNKMDVASTVECYTMNMAAQGRRQWQQSLQCQSTPGEESTRHAWK >Et_5B_043110.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:4064137:4064391:-1 gene:Et_5B_043110 transcript:Et_5B_043110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIAPSEIPSALTILQLDSFHRLSRVEPWDVTADLKSHLRTLYAHPKRQHACERMQTKEKLGALFCGSSKNWVHIVRKSLMQN >Et_5B_043225.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:18886910:18887002:1 gene:Et_5B_043225 transcript:Et_5B_043225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIGHGQQELIIGDRQTSKTTVDTYTILN >Et_5B_043561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11961114:11965496:1 gene:Et_5B_043561 transcript:Et_5B_043561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQQSKEELLYQQVNYGNVEGIRTLRSQGAGLEWIDKEGKTPLMVACMRPDLLNVAKVLIELGANVNAYRPGSHCGTALHHAAKKGLEQTVHLLLSHGANPFITNDDCHTALEMAREKGHVNVVRAIEGRISLFCGWMRENYAPGFLEVFASQFMTRKIWAVVLPREVRTPTRPLKLELAIYPELQASKPRVVIKLWKSQIEEPKFNLADPSIIIFDKATKSRYKFLPAYEGDKQQIQWFYNACCGVAQVVNTVQVQPGNSPVPNPLPASSSLASSEGSAPSKEDVELAMAINASIQSAIAEGVPNVQPTGPATNSNGWGNPPSNSLNGWGPPDAPAPSKISGQAKVDAPSSSTYNGWGELVGTSSGQSSSRPDKSQTNPPIVIPQEALPSLPTPTAPPFAEETFYNGPIQYPSIDSTPVDVTMPATTEGVTAATSAKPGEDEANASGSGNTPTGTCVICLDAPVEGACIPCGHMAGCMSCLKDIESKKWGCPICRATINQVIRLYAV >Et_2A_016980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:288938:291159:-1 gene:Et_2A_016980 transcript:Et_2A_016980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQGGGSQGDGGLLEDVLIAGGLLLVQCTIAGYLVFMSHLLALGADPLAVIVVVNAATSAFFLPFAVALERKKWPSKISLTLMAQFVFIALGGTLGFQELVLLGIKKTTPAIASAMPNLGPGVIFIIAACLRLEKFDKVCKYTQAKVLGTLVCLVGAMALSFLQNPSSSFSPPSDRNNTETGSYYDWILGCSYLFVGVIVFALYTVLQAAALVSFPAPLTMCTITCAMGSVFTAILQVILKGKMDMGSPRIDAMLIAGIIGGVVAGACLVFQTWCLGKKGPLFVSVFGPVQTVCSAILSAALLGKTVSLGSLAGMALLFSGLYIVLWAKNKERLRGDHLEGENAADVEKALLS >Et_6B_048854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14590291:14590609:1 gene:Et_6B_048854 transcript:Et_6B_048854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIHISSRGFTPPILSIQPPQNHGDSMMSRHATKRPSSISTVLDNADLLAEILLRVALPTSLVRAALVCRH >Et_6A_047001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2481292:2485469:1 gene:Et_6A_047001 transcript:Et_6A_047001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDGDATTAADLAADELQSLSFGSSEQSRSASTVSTATASCSTSYSGPIVVPHPPRATTTNPTSAFASGAVPRLGTVALSDIRFLRRLGAGDIGSVYLAEVKQQGSGKGAPGDSSLVVAAKVMDRKELAGRNKEGRARTEREILEAVDHPFLPRLYGVAEGDRWSCLLTEFCPGGDLHVLRQRQPHRRFSEAAVRFYAAEVVAALEYVHMIDIVYRDLKPENVLVRADGHIMLTDFDLSLKCDPTAPTPAHVISDPVALAGGHSSTTSCTISSCIVPAVSCFQLFPGRGRRRRRWRSNKKKTSSNGGSGGNNNSFPSGGMDLEFVAEPVELRSMSFVGTHEYLAPEIVSGEGHGSSVDWWTLGIFIFELLYGATPFKGYDNEMTLANIVARALEFPKDPSVSSAAKDLVAALLAKDPARRLGATVGAAAIKRHPFFNGVNWALLRCAAPPYVPPPFNKAAAGSGGNNVAGDDDVSDDSCPGTPVEYY >Et_5A_040575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:92882:95201:-1 gene:Et_5A_040575 transcript:Et_5A_040575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCFPCLGGGNKRKKKPVDKPQIPPASDLLLVLPADKPKLDSSSSVMKQVLFEVKKEAAKKDTLNNASENHQIAAQTFTFRELAAATNNFRADCLLGEGGFGRVYKGYLESVNQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLENHLHDPFPDMARLDWNTRMKIAAGAARGLEYLHDKASPPVIYRDLKCSNILLGEGYNPKLSDFGLAKLGPIGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDIYSFGVVLLEIITGRRAIDNSRAVGEQNLVAWARPFFKDRRKFPLMADPALDGQYPSRGLYQALAVAAMCVQEQPSLRPLIGDVVTALSYLASQTYNPEAHGGHRSSRLVAPPRTRRDSGRSSHGADGRGSG >Et_10A_000039.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18266381:18271781:1 gene:Et_10A_000039 transcript:Et_10A_000039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWCGTRCTAEQLWCRKFFPWEYFTRSFSVLTASVQSDSSSGDEKLSCPDNVQIRKQPRTLTSDNVVQTLRCLKKRPTVAFAYFKDTKSIGFHHDISTYSEIIHILSHSGQRKMLLSLFCEIVSQTGTGGPEMLPLIDELRKTCTTSYALTFAINCLIKAYTVCYDAQATIDMFMHLCRLGFIPSVWACNFVLKFVAHSGQIGMVLAAYDQMKFFHLTLDAHSLNIVTRSLFQENKAIEAYKVWLEIREMGVKPDAHGYLSFVSGLCDCGKYNLASLFLEDITRERVPIEAVAYNIVIDGLCKQMKVEEAEKVLENITSRGFTPDAYGYSCLIRSYCKMGNLGKALDHFEAMGYHGIKINCHIAGYLLQSMRKLGLTSEVIVYFKKFRDLGLHLDGVLYNIAIDAYCKLGNMNEAVKLMDEMVAEGLSPDKIHYTCLINGYCLKGEILNALLTFEKMLKENIKPDTVTYNVLASGFCRSGVVSEVFDVLDHMMDQGLEPDSVTCGVVIDGFCRGGYLREALVLFNRVEVRGISNIEVLYSAMVCGYLHSGCTDRAFMLFRRVAEQGNLVDHLSCSKLINNLCRDGNVKGASYIFSMMLEKNVSPDKISYSNLISAYCQIGDMDSAHAWFRNMVEQGLEVDVIVYTTLMNGYCRASRLQEAHTLFVQMTNAGIKPDVIAYTVLLDGELKETLQQGWQGIDKERSCSLLIAKHKKLLSYMEDKKIEPDVPCYTVLIDGQCKAKYLEEAQGLFDEMLKKGLTPDVYTYTALINGYCSEGEIAKAKYLLQKMRDKGMKPDALTFSVLQYGKLRSQKLIGNTSSFCICTKTKFFLQHFICINALKLNLAFTRMHPVG >Et_7A_052740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14979455:14982305:-1 gene:Et_7A_052740 transcript:Et_7A_052740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFAGRSKDHKSGGGGRNKCQAAATAKEATAAATTTQHRSGKCRSLCCGASRLSVSSSASCSSADAAPLYSGLLPPPPQPRGPSSKLAPDGTVQERLQSMIDDAAEAGRRPSVHGRVPPPELAERHSRRPCAWGGCYERRSVRSGGREVVRRRTCVVLLAEDRRTHEPREEFRRSIAEVIAAKRMAEPAELRALLNCYVSVNAREHRAAILEAFHEVCSGLFSCKQLVVISVFVFPASGLHQPLLTQNVDLPIYSVHAYSSVMSMSTGSTDVNSPPVTNRISPWMFFFCGIWLILSRSSSVSSQSKISRFCFSLSLLWLFTIGVTPCSYIHRSDT >Et_3B_028560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16777059:16779876:-1 gene:Et_3B_028560 transcript:Et_3B_028560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPTSIDMLLCEYMRRDGQEGDVRSQGKDDAVMFGPDDDNGTKIPSQVETLVKGTATVTAEYKPAPDLDYLQELLAIQQQGPRSIGFFGTRNMGFMHQQLIEILSYAMVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLSKVQNLIEKPQYDHLPLIEASRLCNMDIISKVQQVICFAFHDSKLLMETCQEAKNMRKIVTLFYLD >Et_1B_013733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14681774:14685061:-1 gene:Et_1B_013733 transcript:Et_1B_013733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPPAHLLLLLLLPFFFFLVANAATPPSQADALLAWKASLGDPPALSTWTNATAVCAGWRGVACDASGRVASLRLRGLGLGLAGGLDALDAAALPALAELDLNGNNLTGPIPAGLSRLRALTTLDLGSNGLNGSIPPQLGDLTGLVDLRLYNNNLVGAIPYQLSRLPRIVHFDLGWNYLTNPDNAKFSAMPTVTFLSLGLNSLNGSFPEFVLGCGNVTYLDLAQNAFDGPVPDALPARLPNLRFLNLSVNSFDGRIPPSFARLTGLQELRLGDNNFTDGVPEFLGSMTQLRILELGGCPLDGRLPPVLGRMQKLQRLDVKSAGLVSTLPPELGKLGSLEFIDLSGNELSGSLPASFAGMRKMREFGISSNNLSGEIPGALFASWPELISFQAQANSLTGNIPAEVGKATNLTVLYLYTNNLTGSIPVEVGELVNLKELDLSANSLNGSIPGSFGNLKELTRLALFFNKLSGALPPEIGNMTSLQSLDVNTNHLEGELPATISLLKDLQTLSLFDNNFSGTVPPDLGEMQALADVSFANNSFSGELPQHICDGFALQNFTVNHNNFSGKLPPCLKNCTELIRVRLEGNHFTGDISEAFGNMFNGTIPAGIGKLGSLTYLDLSKNKLMGQIPSELGNLDQLQSLLDLSSNSLSGAIPSNLVKLRSLQKLNVSHNELSGSIPASFSSMQSLETVDFSYNQLTGEIPSGGAFKNTSAEAYVGNMGLCGNVQGMPSCDPRASSGHRKRTVIEIVFSVIGAVLLAAMVACLILACRRRPREQKKVLEANTNDPYESMIWEKESKFTFLDIVNATDSFNESFCIGKGGFGSVYKAELPSGQVVAVKRFHAAETGDISEASKKSFENEIKALTEVRHRNIVKLHGFCTSGDYMYLVYEYLERGSLGKTLYGEEGKKKLDWGMRVKVIQGVAHALAYLHHDCNPAIVHRDITVNNILLESEFEPRLSDFGTAKLLGSASTNWTSVAGSKGYMAPG >Et_4B_037318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18277717:18288201:1 gene:Et_4B_037318 transcript:Et_4B_037318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAEGIEVEAGCRGSFRAAAGCELTKPKRWGMPRRGRGRGRRRPGLRIAGNETLGEGRGVAAGGRVLIWGRQAPNAFSERNITGDEDGAEKVRGKESMGDEVLQDAKKRRQQYTAKNVATKRWKVDGEQKKYSPSQDKQGDENSKEEDVDRRKCRMCHQCQRNDKERVIWCNLCRNKRYCVPCMQRWYPDLSENELAAKCPYCRRNCNCKMCLRMQGVKMLSFSCLPYGLLFLVKQPRKKGISEKHRFHYACHVVRFNKCKTSIVDLHRSCKRCCYDLCLSCCWEIRNGETPVEEVKIVCCEDRGRDYVFGAIAGKHKKYSNVDPWNDVTASEDPSNPLPLWKAKRDGSIPCPPMELGGCGRSNLDLKCLLPDNMLSKLEERAERVVRSELFAETVPQKGDQCPCYDHSGRLRMQSVRKAANRKGLIDNYLYCPVATGIKEADLAHFRTHWAKGEPVIVPGVLQLTSGLSWEPLVMWRAFREKKTNGRIEDEKSAITAIDCLDWCSVEVNIHKFFMGYMKGRTHLETYWPEILKLKDWPPSTSLDQRLPRHGAEFLSALPFSEYTDPRYGPLNLAFKLPDVLMPDLGPKINIAYGFYQELGRGDSVTKLHCRMSDAVYILTHTAEVPYETNHLHLIERTRKKMKEQDLQELYSVLQSGTECGSQSADSRVLTAGRTSKASCDDKGKPSSHQSQAQNHNHTYQENAKGVLGTGGALWDIFRREDSNKLQDYLRKHASEFRHTYCNPIKQKLGDAVLIPAGCPYQVRNLKSCIEVAMDFVSPESVGECIKLTEEFRRLPSDHRAKKDKLEIKKIVLHALNEALNFLDAYYSSKGSARGHPSVDRYRDCVVGKKYQARAHPAGQSRQDNTADQGHCHSNLAAVSTDDVVVTVPSSRAQDVDAQVTTTSKQTALEIPPLVRQLSKRTALVVPPSTTDPVLMPSPSSAATATTTTTTETAASISTSSPMRAVSTGNMVVTLPSSGAQAADAQAIAVTRQTASEIPPLIRRLSKRTALGLPPSTEVPIVMPPPPSAATATTTATTNVAAAASPSSATAISAMTDAASLVTGPPPTPSTDTAPTSRSSSGPRVEEVSAIFAQLSAAFASCEAAAAQREEVAAQRIAALEKDLQEAREGLRRHQEQEAAATARCRAVEEHVQQLQEKVKTLDDRDQQYRMANATTLQTLRNAFCKAQKVLRSELRLEVYDPLEQTVTHYAAALDCIGDALARLPEVLAARSLTEGRAIAYTIAEYIMACYRSRDDSFRPQVAVEGIAAGSDGEEAWRKLILDGAREVATLFSVEHPDPTAGGAGTSSSGGASTSDALPVSSVSVTDNRFGRTYSNRRKNGSAGSIS >Et_6A_046775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20467064:20468245:1 gene:Et_6A_046775 transcript:Et_6A_046775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACSESSDYVFGFACQCNPGWSRYHLGSMQFPYLPCVIPNCTINNSCDGASSPPPAPSPPAPSLTNLTIFDPCLMQYCGDGGACEKVSDFTHRCRCNDGYANLLNDTSYPCYRQCSLGSDCKGLGIEVTNGSTPSTSPPAPVSFTVKKSGAGPSAASADWLVKILALVSFLLVQAIW >Et_8A_057101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21080999:21085759:-1 gene:Et_8A_057101 transcript:Et_8A_057101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIARGLLRSNAAATEFVSLVTSKKAGKICSYCRRLAWGSQYFRSTSQILQHRAFTSGNRGNRVFKGHGMLAPFTAGWQSTDLHPLVIDRSEGAYVYDINGKKYIDALAGLWSTALGGNEPRLVKAATDQLNKLPFYHSFWNRTTKPSLDLANEILSMFTAREMGKVFFTNSGSEANDSQVKLVWYYHNALGRPEKKKFIARSKSYHGSTLIAANETEEEFATRLANNLENLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFEKVQAVLKKYDILLIADEVLSLTALRTRDIQERNIIEHVNKIAPRFQEGIKAFSGSPIVGEIRGLGLILGTEFVDNKSPNDLFPAEWGVGSIFGSECEKRGMLIRVAGDSIMLSPPLIMTPDEVEEIISKYGDALKATEERIAELKSKRN >Et_6A_046425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14821761:14829960:-1 gene:Et_6A_046425 transcript:Et_6A_046425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAGAGGGAGAGEGSSSSAAAAAAVTIGTHGVHEGEHLLHLFLLGLLLRSMLPESVGSRACRGAVGFRWDWGHAVPVCGELGFAPATVGSWIAWARAGARNRAMPSAPRCRSRAQVHLFEVILISCFNLITKDSIPDLMPRAAVKATPLVARSSSCATGESCFSAAEVAFTAAAAVIGPHEADEVAEAIWHMNLGEAAESGPYPERVGEPDCSYYMRTGLCRFGMTCKFNHPPDRKLAVAAAQMKGEYPQRNGQPECQYYLKTGTCKFGATCKFHHPREKAGMANRVQWNSLGYPLRPNEKECDYYLRTGQCKFGMTCKFHHPEPSNTMVAVRGSVYSPGHAATSPGQHPYQGAVTSWPLSRSGSFIASPRWPGHSSYAQVIVPPGLVQLPGWNPYAAQISSSSSEDQQRTTGGAQYYTRRSETTGMGDPGPGMFTSYQAGSVPAGPYSRENVFPDRPDQPECQFYMKTGDCKFGAVCKFHHPKDRTIPAPNCQLSSIGLPLRPGEPICTFYSRYGMCKFGPNCKFDHPMAAVMYGLASSPTSEAPMSRRMLAHVPSQSEVSPDNGSGRSRRITHSDSQQTPSGERSTEREAS >Et_4A_035762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5271442:5275719:-1 gene:Et_4A_035762 transcript:Et_4A_035762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDGDEDSGRRRPTSQHRPSGGSGGGGSGDLASSAKLVAEAAKLALQDHNLEKVDKGRVAGAAADLLHAASQYGKLEGKPVGGYLEKAEEYLHQYGRKEGGGGGGKHQEGEYGKKPGGHGGLGGRYEEEEGYKKKPGSGGYGGGKYEDEDDYRKKPTSGGHGGGRYEDEDDYRKKPTSGGYGGGRYEDEGDYKKKPADGGYVGGRYEQEDEYKRPPSGGGSGGYGGGRYEEDEYKKKPSGGGYGGGRYEEDDYRKKPSAGGYEEGGRYEDEYKKKPSGGYGGGRYEEYDEYKKPSGGYGYGASSGGGHGGRYEDDDYKKKPSGGKYEEEEGYKKTSGHGGGKYSKEEDEDGKKKKHGDESEGGGIGDYLKLAQGFMKKNDGEGGHGGGKYGKEEDDGKKKKHGGDESEGGGIGDYMKLAQGFMKKNDGEGGSGGGVGDYIKLAEGILKKRTQKNDKNDRMDKMNQSSSELFDRDGFARDLISILLPQFLHAGHEAHLVRLVALDAHAGHLHPHHHLGLHAFDPRKLASGQCRLDTRSITYRGRILEGHFAGLGKVHHQLRPQFIVFIADDIPREEAWREVVLAQEIRERLHDQYTVLEASWKLVLVL >Et_8A_057398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2740885:2748674:1 gene:Et_8A_057398 transcript:Et_8A_057398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRGFSEYVFRHIVNKPDRSKADSSDSDEVPWICEKVSVSLSGDGELGFQQVSLVNNVATISGGTHVDYVANKIAANVVSFVKKEKLEQGCNVEEDDVKRHLMVFVRAVMENPTFDSPAREALTTPQEEFGSECELSALFHEKSASTHAAHNTVDGAAGTTTTLRDAILLHPNVHVGAVSKRTRTLWVHEEGGVMAQREVTYVPALLKVFDEVLLHAAADTKRPRLRDPAANAMDTLRVHLDAARGRALLYSTGAGMDVLRVDVDAARSSVSVYCSGAGGVPMGVSLTFDGEEGFEQVSFVNNVATLSGGTHVDHVANKIAAHVVGFMKDKFEQYCVTLEEDDVKRHLMLFVHVVMENPTFDSPAREALITPREQFGSECELSDLFYRKVRGSRLLARLLATRSSRLLDGRSISNKRRRQTRTRKLWVHDEYGGYMAQTQAQREVTPHVPGFMAQREVTYVPALLTIFDEVLLHAAKNHPAMDALRVDVDAATGRVSVYCTGDKPVFVPETVFGHFTGGDPDDNDNSNNFEVVTVTGVKLANVFSADFIVEIADGPDQRQYKKQVFSDNMGTASYPEVTGYTEGTSWTRVTFKPDLARFNMARLDEDDTVALMRKRTFDMTGVLGAAVRVEFNGKRMQRAQDFTEYVLCHVSKPWTKFNGSGEPPLVCEKVNGQWDVGVSLTDGARFEQVSFVNKIATLSGGTHVDHVMIAAQAVTFMKDQFGRDDIQEEDVKRHLMVFVNLRMEKNPTFDSPVTREALTTPQEEFGSDC >Et_1A_007536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35672362:35675615:-1 gene:Et_1A_007536 transcript:Et_1A_007536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKQLRSFVEVPAGSHFPIQNLPFGVFRRRGASSASPPRPAVAIGDFALDLAAVADAGLFDGPVLSGSTCFHQETLNMFLGMGRPAWKEARATLQKILSADEPVLRDNEALRKKCLVPMSDIEMVLPINVGAYTDFFCSVHHARNCGFIFRGPQTPVNPNWFHLPIGYNGRASSVVVSGTDVIRPRGQGHPTGNSAPYFGPSQKLDFELEMAAIVGPGNELGKPIDINDAEEHIFGLVLMNDWSARDIQAWETIPLGPFLGKSFGTTISPWIVTLDALKPFTCEAPKQEPEPLPYLAEKNHVNYDIPLEVWVKPKDQSEASVVAKTNFKHLYWTVTQQLAHHTINGCNMSPGDIFATGTLSGPEPESLGCLLELTWNGQKEIPVGNSTRKFLEDGDEVILTGCCKGEGYNIGFGTCTGKVLPALP >Et_4A_032291.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28564172:28564657:-1 gene:Et_4A_032291 transcript:Et_4A_032291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFLTLLVILTAAIAVRMLVCAVAVPLRRRWQSCGASPPPPQPGHQRRRRGRGGVAGIRAGDLRARLARAAAPSLDWTWRRRSTQNVRRVAEQAGARSPTTRRFPGTYGHDDKVNDELVPRPAWTRRTHRRRCSSRRPWPPRCALSPFPRTRSLCCPHHD >Et_1A_006065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17319248:17323195:-1 gene:Et_1A_006065 transcript:Et_1A_006065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSMRRVGTNAGGVSNINNGISDTDPENLRVKLVLLGVSGVRKSRIVLRIVRSQFDPTSKDSTTVRFEIWDTAGQERYAALAPLYYRGADVVMTLVGNKAYLHQNRNASSEHKSMQKGTVCSSLRHQKTSDNINQLFEEIAKRLPKPHHPDCILYSLVKE >Et_1A_004778.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:40291870:40292648:1 gene:Et_1A_004778 transcript:Et_1A_004778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGARLGQEALDEIEQLQHQILRSVTSFPVFAFFPAVPQAVGVVCRRAPEAGPGVRPAHPRRQRQARRRRPALLKLRVPDEGDRPLTDSEMVSLCSEFLNGGTDTTVTLVEWIMAELVNHPDVQAKLRDELKSSKPLLESSSNLASMPYLKAVVMESLRLHPPGHFLLPHGVQPCGPHGEQGDQDDALRRRPPHVPGVCAGHAPRRVLRGEDGARPRVAPARGHGRGAGIHHRHEASIRYVHASSPQTEIG >Et_9A_061598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14265231:14266132:1 gene:Et_9A_061598 transcript:Et_9A_061598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSPLHRVIDAERPLGRLFIVVHAAFLDAGLVPLPHHPSSRKCGPVPRSAGSTASAVSLRYTAPELRHLHQQDAESAAVVLRQQVYGHKIFFYVERGDGDEPVASSCAAPVGRPRRHGTPSEALAALWRGLVDLRRGNGRDRELWKPRYDALPLASGDQFGLFARARARDEPPELPADPVADQRRPRMAARGKPAAGSRRAPPSHGQEKRRHGAGAIHAPSSRYRWKHR >Et_2B_022000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7984967:7986090:1 gene:Et_2B_022000 transcript:Et_2B_022000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFADPLMLLEPPWVLLCRFAALVPDPDDEEAEEHAPDLSFALVTFLTAARRLHPNPNYADPVYLVAAGPTGLLLHFGVAAGDHVLVVMRDFVLAAAAGPHAATTGSAELIPRSTAPMPVTYRPGNVGLVSLNGGYAIAELRLHIASGRAKLLLFFAGGDAWVERDMAIPSLLARRRQWTSVGVISHGDRLWWFDPSCGLLSCNPVDPEPALLAHSLPPGRVLQSFHAGLPADRCVCECETAFDNMLLFAEITRGRGDEEAAVSADPSSQNGTGETAGWNKSYEMSFAEIWNDDSYKATQLPARVPGILLICPSNGDVIYFVLEQRIFGVMVPDHRVVEFVTDNLAGEPVPPVPLVSSLGVLPWVLPHPIYN >Et_4B_036818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11716583:11718620:-1 gene:Et_4B_036818 transcript:Et_4B_036818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGPVGVTSRNKSQARRDGLLVAGEPDDERLLKSYFNHHWRHAKAVLAFFDPYVTVDIFKRDADASSDAAACSREARALRAESVTVAAGEDGTRKEYGFVLSLRPGQELADEFRGAVLWWSSAESKEEALAWQRRDELVNRRCHRLTFHQRHRQLVVDEYLPYVRRKGREALCKERRRRLYTNNIITDYTYHDDRVWNHIDLKHPTTFATLAMDAAKKQEIIDDLDAFRNNKDFYLRTGRPWKRGYLLHGPPGTGKSTMIAATANHLGYDIYDIELTVVRHNHDLRKLLVETTDKSIIVIEDIDCSLDLTGAREEGGLRRKKASSDDDDEKRSKVTLSGLLNFIDGLWSSFGGERIIVFTTNHLDKLDPALIRRGRMDMHIEMSYCGFDGFRTLAKNYIGVDAHPTFDAVGELLAEVNVAPADVAECLMTSTRAGRGADAALEHLIQELNKIKAKAEAEAAAAKVEEEAEMAASKAEAEEAADSAKGDDNSDSSTHDDRSSKKNRDDGIEGISSLTASATVSQDPLTLVAAQCILTSRNPRFMNMMLATATNK >Et_10A_001169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21839064:21845382:1 gene:Et_10A_001169 transcript:Et_10A_001169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAEQSSAPLLETKATAGGANGGGVVYFEGCPGCAVDRQKAATPGIPYGNFLYVWVVTLCTALPISSLFPFLYFMIRDLHVAKRTEDIGFYAGFVGASFMLGRCLTSTAWGIAQTVLGGNQLLVVFNTLFGLSVSYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPALGGYLALPAEKFPNVFSADSFFGRFPYFLPCLCTSVFAAAVLISCIWMPETLHKHKVSETGNHSIEALEAPLLDPKEKVQQNGSLESKKSLLRNWPLMSSIIIYCVFSFHDMAYTEVFSLWAESDKKYGGLSLSSENVGQVLAVTGVSLLVYQLFLYPRINKVLGPIKSSRIAAILCIPILFAYPYMTYLSEPGLSILLNIASVIKNNLAVTIITGTFLLQNNAVPQDQRGAANGLSMTGMSFFKAVAPAGAGIVFSWAQKRQHAYFFPGDQMVFFLLNVIELVGLILLFKPFLAVPEQYDEH >Et_1A_006306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20287935:20292144:-1 gene:Et_1A_006306 transcript:Et_1A_006306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLLVGLGLGSIHPPPRTISVTSIASQHHPAVLLATNTMGVTGLEESLLFLLPLASPLPSIVAVVVLAAVLLWLSPGGPAWALSRSRRPPSGPPGVLTALSSPVAHRTLAARSRAVEGGKSLMSFSVGVTRLVVASQPDTAREILVNPAFGDRPIKDAARHLLFHRAMGFAPSSDAHWRGLRRLAANHMFGPRRVAAFGHHREAIGENMVADVASRMARDGEVTLRRALHAASLNHIMTTVFGKRYDDFESQDAQVLEEMVTEGYDLLGSFNWADHLPLVKYLDLQGMRRRCNRLVQKVEAFVGQIIQEHRERRASGIVADEFTGDFVDVLLDLQGDEKLSDSDMIAVLWEMIFRGADTVAILLEWVMARMVLHPDIQAKAQAELDAVVGSRGAVADADVAILPYIQNIVKETLRMHPPGPLLSWARLAIHDAHVGGHFVPAGTTAMVNMWSIAHDANIWPQPEEFIPERFEKEDVSVLGSDLRLAPFGAGRRACPGKMLALATTHLWVAQLLHKFDFAPAAAGVNLSEHLSMSLEMATPLVCKATARV >Et_5A_040340.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:15391866:15392087:1 gene:Et_5A_040340 transcript:Et_5A_040340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPARSEAGEAVEGEGPICIFVFKFRTPSKAASPPDSALSTTTSRPSRSSSPRIASSGYTRLLSFLQHAALR >Et_7B_055431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10372222:10372533:-1 gene:Et_7B_055431 transcript:Et_7B_055431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKLVEEKADKKPKAEKRVPSAGKEGGEKKAKKSVHPDIGISSKTMSIMNSSINDIFENLAGEAAKLARYNKQPTITSR >Et_3B_031407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28506372:28509907:1 gene:Et_3B_031407 transcript:Et_3B_031407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSPSPRRRRPTEPGSPPLHRTASAPSAAAATTPVSPYALARSPSMNAAAVDAEDGNVVRVYGSDGCPVAWRLRVSLLYKAAAPVHFTPSEAAPLGRPVLRLSAADPEVSGPADELLRQVDARFEGKPRVAPPERPRPASTSAAAAEEVAELVRLQHRSSERHLEGVAAKVAEMVKKGKKLGKGRSYVEGAEVRRLGKWYGDAMEVMLEHARMEETLIFPDLQRAAHPGVCDKVNEQHGRHLPMMNGIKEDIKTLLTLEIGSPLFHEVLVNLSVRLKRLQKCAIWHLSTAETVGMQTSIFCDSQGFNLQAKDHTKEHFKEEENELLPRLEDVRRMQREEGKVSDKSSSAWASEAIGTMEVTHSKLFPFFMTGLLPQEAVQYLDLVCRCTKNTRHLVSMLRSLAERLEDANPSIIHNNPTKLYEHLLVKSP >Et_3A_024923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25384449:25389160:-1 gene:Et_3A_024923 transcript:Et_3A_024923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLSLGTSSARPQRARSRSRRAQQGRAHPSREGAPPAPTAAPMDSSQQPTPPTGSDPAADHDAAELPRLTVTQVEQMKVESKVGDIYRVLFGAAPNTRSVMLELWRDQHIEYLTKGLRHLGPNFHVLDANRPWLCYWMVHPLALLDEVLDDDLEDDIVDFLARCQDKDGGYGGGPGQLPHLATTYAAVNTLVTIGSERALASINRDSLHKFLLRVKDSSGAFRMHECGEIDVRSCYTAVSVASLVNILDDELAKDVGDYIARCQTYEGGIAGEPYVEAHGGYTFCGLAALILLNEADKLDLPSLIEWVAFRQGVECGFQGRTNKLVDGCYSFWQGAAIALTQKLMTVVDEQLKLKSCSNKQPSGKDASDYAKFGFDFIARSNQIGPLFHNIALQQYILLCAQVLEGGLRDKPGKNRDYYHSCYCLSGLSVSQYGAMTDSDSCPLPQHVLGPYSNLLEPIHPLYNVILDKYHTAYEFFSKE >Et_6B_048880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14903147:14903607:-1 gene:Et_6B_048880 transcript:Et_6B_048880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYLADVWNCAGWLLLYKFLQEMLTTSSNRAQSHTRMKLSSTRLISVIRKLVKICCSDLRDHALFLGFNSAMLTSTKDFPMLKRNCAYLTDDNREQLCVNMYGCREVGIWNFVTETLESLGDVQSFHPWLNWPPPIWITPSLAQCAAVS >Et_10B_002723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:178859:184509:-1 gene:Et_10B_002723 transcript:Et_10B_002723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IMGSKSVDQVLDAAAAGVHYSALRLEELNLQEQPTTSGLENGHQEPFVIGVAGGASSGKSTVCKMIIDQLRDQRVVVVTQESFYYGLSDDELVHVHDYNFDHPDAFDTELLLSCMQNLKHGKAVDIPNYNFKTYKSTPNARKVNPSDVIILEGILVFHDSRLRDLMNMKIFVDTDADVRLTRRIRRDTIEKGRDIKAVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKVHPNLYVIQATYQIRGMHTIIRDAATATHDFIFYADRLIRLVVEHGLGHLPFQEKQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYQNLPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQEANIIFLNLISAPQGVHVVSKRFPRVKIVTSEIEFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPFFCDDKNRVR >Et_3A_027330.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7833397:7834026:1 gene:Et_3A_027330 transcript:Et_3A_027330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAVPPLRCLAVLAVAVLSSLQLVIPAASTQLSKPRPLDASSTAWLPAAGAAGEQQSAKEEDSAFVAARSCTYTVKVKTSCASPRRSSDGVSLAFGDAYRNEVYVAQLAPRGGFERCATDTFRVSGPCGYGVCYLYLRRAAAAGGGRDGGGWTPEWVRVYEPTSSTPSTFYYGDAFPAGVWYGFNRCTRLAKAAGDASSSHAAAQSM >Et_5A_041543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24038381:24040938:1 gene:Et_5A_041543 transcript:Et_5A_041543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVVSTAMATTSSETAAVAAGTPESMVAATMVLVQAFIAGMVLLSKVSMGGGMFIFALLSYRSLFGAAFILPLALLLERGKWKELDWNTAGWIFINGFIGYAVPMRIYYYGLQDTTASYAAIFLNIIPLTSFVLSLIFRMEALQIMSMVGSLKIVGVLLSVGGTMLISLYKGKTVHMWNPVLHHHDGEQMEVANHQLRGTILLLGSCVAFACWYLIQSKVLKVYPYKYWSSMATCVVGGFQTAFIGVIFRRDRNAWKIGWDINLVTILYSGALATAGKYSMNSWAVAKRGPAYPPMFSPLSVVFTIVLGSIFIGDEITVGSLLGTTAVIAGLYIFLWAKSKEAKEQPQN >Et_4B_036273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14145608:14146126:1 gene:Et_4B_036273 transcript:Et_4B_036273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHQCCLAMAVPPPSGMTSGYPMVDCLKLFRQYSVTQPGRMLVRARCSPKAWTHTLCPVSLVQLWMNCRKEFNAALISYRSMLLTTTSVNSAHCSLKMLIT >Et_6A_046037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20055439:20056689:1 gene:Et_6A_046037 transcript:Et_6A_046037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPELPQDILRRIFATLEIPDLVRAGAVCFSWNAAYSGICSTGSWRMTQTPCLLYTSESAGVRAAGLYGLAEKKSYTLTLPDPPICSRHIIGSSYGWIITADERSELHLVNPITGEQIALPSVTTIEQVKPIFDDTRAVCNYEYSWYTGKRVISDTQSIFVPSELREYLFYKLSFARAGDDKWTFTQKVFLGKVKDIYSERIYIVQGPCGELLQIWRSDALSPESELDLEAHVSNTSTINVYKVDPALQKLVEISSLRENVLFLGHNQSLCLHAKDYPQLKANHVYFTDDNDIYIMGFQNNRRDIGLFDLEKNCSGEIVSPQLWSNWPAPVWLIPNLRRISSCN >Et_2B_020612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21964497:21968878:1 gene:Et_2B_020612 transcript:Et_2B_020612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGCAFGIGFDGDVGPSARDIFRDLSTGHYGRYPVRVLHYKVPWNQVSKVAYLIGIVLPAAIFGGIYFKKKNFYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGNDVYDNISTGVICHGEKSVIKEGHKSFPSGHTSWSFAGLGFLAWYLAGKITAFDRRGHVAKLCIVFLPLLTAALVAVSRVDDYWHHWQDVFTGAIIGLTVASFCYLQFFPYPYDADAFWPHAYTFQLAEERSSRNANPYSVRPTEIETVSIPAHGGVTLRDTSPILDNMESGRRL >Et_5A_041660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25668862:25674276:-1 gene:Et_5A_041660 transcript:Et_5A_041660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREESAKKSKLSWSKSLVRKWFNIRTKSQDFHADFDAGQGRDGGSGSWRPSCSANEASASTAKRSKPDWSSHKRIADRVRRGRNNFDVARLTEVQDYRIFAATWNLGGKSPPKGLNLDEWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNIPAKKWVSLIRRSLNKNPGTSGCGGYHTPSPVLDPVVELDADFEGSARRQENFSLFHRRSFHNLSRSLRMDGDYMFPQPRLDRRFSVCDPVNLGGRSSDFDGNLQCLGSPDEDNIDVEASDAAKFSPFPHSCNAPAPPEPNEGQSNSSRYCLVASKQMVGIFLTVWVRNEIRDDVKNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICCHLTSGEKEGDELRRNSDVLEILRKTRFPRVRGANDVKSPETILEHDRIIWLGDLNYRIALSYCSAKALVEMHNWKQLLEKDQLRMQQRYGRVFQGWKEGRIYFPPTYKYSFNSDRYAGEGLHPKEKRRTPAWCDRILWYGNGLNQLSYVRGESRFSDHRPVYSIFLAEVEIVHHRRRNMGYFSSRIEARTRRILGSFVARHLKTSFTSTEKYQPINLQPAFPTDKVQNLLYWDGIPSGCQTSS >Et_7A_052698.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1306081:1307751:1 gene:Et_7A_052698 transcript:Et_7A_052698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRQRRMLFLDWRCLRFWESGWVGYLQQELVSSSIASLDSLVSLNLSRNSLRGQLPAELGRLTRLQVLDLSANLLSGVFPASGDGFLAIEVMNISFNGFTGPHPSFPGAVNLTVLDISSNEFSGSINADTVCLKPVKVLRFSGNQLTGELPRDFGHCKTLSELSLDGNDLTGSLPSELYAMPELKRLILRDNQLLGSLDRGLVNLSKLVQIDLSYNSFTGSIPDVFWGLSRLESINLASNGFSGKIPASLARCSMLKVVSLKNNSLSGEIAVDFHLLPRLNTFDASINNLIGSVPPGLAWCTELRNLNLARNKLVGEIPERFNNLKFLMYISLSGNGLTNLSSAFQILQHLPCLQRLVLTKNFHGETMPMDGIEGFKSLKVLVLANCALQGVVPPWIQSLQGLNVLDISWNKLHGKIPQWFGDLNHLFYIDLSNNSFSGELPESFTRMRSLMSSDGASEQAPMDDLPLYIKKNSAVRGLQYNQVSSFPPSLILSNNLLVGPLLPGFGHLVKLHVLDLSWNYFSGPIPDELSSMSSLEVLNLAHNDISGEHTIITD >Et_8A_057823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7816044:7816752:-1 gene:Et_8A_057823 transcript:Et_8A_057823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPRSVAASLARALSLVAPAAADAVLCLCIADIWLLFAGAGAVDIERIAGAEHLAVAVAASKVVAFCESYVLRALVLIAVLFVHRLDKDDADEVTKLLARVVVGQHNGSRVPSRRLEAGWKEVRCDTVVFGSYACMAFILLMTVGDQLLLMKGPRNEAIGSVIRAVGHLGKNALLCFVIVPTAALKVWRVTQPGWRSDSTFG >Et_3B_031405.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28413515:28415089:-1 gene:Et_3B_031405 transcript:Et_3B_031405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEAGAAPFHDYALVAGSLQPHVAAVFFVSAACTVALAALLTVARMRPPWWCACPVCEAYLTASWAGEFDNLCDWFTHLLRASPAQTVHVHVLRNVLTANPATVDHMLRARFGNYPKGAPFSAILADFLGRGIFNVDGDAWLFQRKLAAAELASPALRAFAARVVASELRSRVVPLLRSAASSRKGKVLDLQDVFRRFAFDCICNISFGLDPGCLQLSMPVSAFEHAFDTASTLSARRATAPMHIIWKLKRFLNVGDERKLRDAVRLVDALAAEVIRQRRKLGSAAAGGDLLSRFMGTIHDDKYLRDIVVSFMLAGRDTVASALTAFFLLLSDHPEVAAAIREEVARVAGDDDRPTAASFEKLKDMHYVHAALYESMRLFPPVQFDSKFAAGDDTLPDGTAVAKGTRVTYHPYAMGRMESVWGPDCEEFRPERWLRDGQFVPESPCRYPVFQAGARVCVGKDLSLMQMKAVIVAVVRSFDVEAIGRSSRRPKFAPGLTATFAGGLPVRVRRRARVSGHSPPS >Et_5A_042855.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:5655865:5656389:1 gene:Et_5A_042855 transcript:Et_5A_042855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQQLVAPAHHSLLHPVTAVVSYSRGHRRRRPRPKRVAFPPPHVRRLVSSLRRLLPRPRPLIVLPSVGGGGWFRRRRKIPAEALTLALSLALGGDRLAGLAEAWNASRLGQALGIWAALCGGRGRRGGGLRRLAAFLLGVAFCALVCHFRGTAFLEGLRKTGGGRKLVRILLR >Et_4B_040030.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:864555:865559:1 gene:Et_4B_040030 transcript:Et_4B_040030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSSLVAAALAAGGKKDQRCHAGDRAALLAVKAALGNPYHFASWTNDTSCCEDWYDVDCDPFTGRVVGLSVFQDANLTGAIPDAVGGLVHLQTLVWHHLPGISGPIPASLGKLSNLSFLTISYTGVSGPVPSFLGEKLTKLAQLDLSFNSLTGAVPSSLAGIPSLYSIDISRNRLTGTLPPLLFSKSATADQPAYLRLSHNNLSGGVPAEFAAVSFAQVDLSRNAFTGDASIALFGAGKPQLQQLDLSRNAFSFNLSAVVLPEQLDAMDVSHNAIYGGIPAQVANLTNLQLFNVSYNRLCGQVPAGGNMARFDAYSYQHNKCLCGAPLPPCN >Et_10A_001904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10756179:10764058:-1 gene:Et_10A_001904 transcript:Et_10A_001904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEPRHSMADAGGPHPRSPSLPPPQQPDAGKRRRVPNVRLAGSIPPPSNLPHPRRVPVVPAARSRKPRPHQHHNDGSTSPASEPPEALPKPWSPAAAFADNGDDLVLAAAFPRKPRVPLPEADAESQGGEDAGEVVDVAEWLWGMGMGRYVAAFEAHEVDAEVLPCLTLDDLRDMGIGAVGARRKLFGAIQRLPPPRSGPRLGCYRLDYWLIAGGRYYCYGLCRHGAPNGVTYLLQCHRWRKALPSTGGENEID >Et_2B_020291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18728297:18728893:1 gene:Et_2B_020291 transcript:Et_2B_020291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICRRFEFICRAIEHVPKKLPDYSKHGLDVVNMSFGLKSLGLHRVILMKWLVQNCIDTKTPVRVIYGFKSDNVHGGNHSRGGGGLNHTYTMVCITWRNARWMVNTVEICSSSSYK >Et_1B_011976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27745937:27755983:-1 gene:Et_1B_011976 transcript:Et_1B_011976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDREKEREAELEGAMYTNCLLLGLDPAVLGSPAGPRVGLFRHSNPRLGEQLLYFLLSSLRGPAQTSTRCGRFSTPRSPGSSGSELEQQGALPRSNSRVSSLATCCGPRFVELLWQLSVHALREVHRRTFAADVASNPLPAALTDVSYLHAAALLPARIALERRKFLKNANIAVQRQTTWSNLAHEMTSEFRSLCAEEAYLQQELEKLQDLRNKAKLEGELWDERLSSSSGQNSHLVSKATRLWESILARKGQHEVLASGPIEDLISHREHRCVPLSNFYVTLFINPVLSSLFVTPINMFSVRYRISGSQLLAAMDLSSSVPHSELLSGRAGEASPISDKQEEAISRLDDRNGRAQQTVDVAEILRRWTHALQRIHKQSLHLAKANDGEGPELLRSASDGETSTHADSLTATLAEHRQHLVSIQGLINQLKEAIPAMQQSIAELSEEVNVSTNPMDQLHSRLAMPVQNSGHGRTEESTSEVSEMTSTLSSTHLDRPAGSPALKLPPLFSLTPSSTGKGTQAQKRNALARQPSQEVTSEEKTLALPSTKDQLNGTVHENDGYLAHDIRRSVREVALSKPLRNTERPQDKNSEDGSEHFFIPLSTSASVKDIDSIKNRRKQGLGVSSPQMKFPTSMSDHYYSTSSPISPVLLSELNGHESFITDDALDQVFSPPLLLESSLFPDTDEDLL >Et_1B_011063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17084333:17087100:-1 gene:Et_1B_011063 transcript:Et_1B_011063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFSFTAAQSKLGSKSCSSSNPSSTTKSGSTRSSLTLPSYKERSELPIPRTEGEILASPNLKAFSFSDLKSATRNFRTESLIGEGGFGYVFKGWLDEQTLAPSKPGYGMVVAIKKLKPEGFQGHKEWLTEVDYLGQLQHQNLVKLIGYCSDGDNRLLVYEFMPKGSLENHLFRRGEPLSWGVRLKVATGAARGLSFLHDAESQVIYRDFKASNILLDSDFEAKLSDFGLAKAGPTGDRTHVSTQVMGTRGYAAPEYVATGRLSVKADVYSFGVVLLELLTGRRALDKSKPVSEQNLVDWARPYLGDKRRLYRIMDSRLGGQYPKKGAHAIASIALQCIRNEAKMRPQMSEVLAKLEDLEDSRYNISTPQIDTRRTTSSSGSIPRSPMKMQPSPRRSSGSASLLPATASPLPACRTAKVH >Et_9A_061551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13583913:13586319:-1 gene:Et_9A_061551 transcript:Et_9A_061551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHISSLLHGLARSLSVGKEKKGDGDGKGAAAAAVLRSSGTLCGEGSETFAAVCSRRGEKGINQDCAIVWEGFGCQEDTIFCGIFDGHGPDKAAKRLVECAVRAWRRKRRGIAADDCSAICLFFHSPPS >Et_5B_043630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13171048:13171349:1 gene:Et_5B_043630 transcript:Et_5B_043630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSRLGDLGRWFSLAYYFLLPPCAMARCCLLAAAAIVAVARLTTPHATGYPWTTCGTTNTFAPNSTFQANLNQ >Et_3A_026852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23927331:23928893:1 gene:Et_3A_026852 transcript:Et_3A_026852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLGSVCPIDPYRLNTASSTKPLACCRASCLPNSLDPAKVNGKIVVCVGTRSRAAKGLAVKQAGGVGMVLCNDEVFADGVLVDAHIIPAAHCSFSQCAQLFSYLRSTGNPTATITTTDVKFGVKPAPEMAAFSSRGPNAITPQILKPDVTAPGVGIIAAYSKEISPSGQPSPFDDRRVTYNVMSGTSMSCPHVSGIAGLLRTKYPEWSPAMIKSAIMTTASVGANDGNVIRDQTGATATPFGYGSGHVDPVRALDPGLVYDATPTDYANFLCSLKPIQDPLTNLPVIGNVPVNLPPLQSTLPLFDAAGNPYTCSGGGSSFRPENLNYPSVTVPCLAGGSTTVKRRVKNVGETDSSYSVTAIEQPAGVRVTVLPDKLYLRVGEAKDFEVKLDVVNAAAAANYAFGSFEWSDGMHRVRSPVVVKTTC >Et_5A_040918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14968442:14972627:-1 gene:Et_5A_040918 transcript:Et_5A_040918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGPRLLHRLLCPCSCRLVLFVVLLNFTSSPFASAGSGSGSGKVVTSLPGYDGRLPFYLETGYVEVDKDNGAELFYYFVESESGAGHDVPFLLWLTGGDRCSVFSGLAYEIGPIRFVLEPYNGTLPRLRYNQDAWSQVSHILFIDSPVGAGFSFSRQPKGYEVGDISATLQLHEFLIKWFSDHPKYLASPFYIGGDSYAGKIAPFLAQIVSEGIEAGKTPLINLKGYLVGNAATGESVDFNSRVPYAHGFGIISDQLYETILGHCQGQDYSNPSNALCAQALVTFNNLISEVQGAQVLLDTCVYASPLPNAANSRTDSSDGRRILKEEIGVGKLYHPPARPPFGCVTYGYYLSYYWANDRRTRDALGIKEGTKDEWVRCHDNDLPYSNDLGSVIKYHRNLTSRGYRALAYSGDHDLLVPHLGTQAWVRSLNFSIVDDWRAWHLGGQAAGFTISYSNNMTFATIKGGGHTAPEYEPERCYAMFSRWILNRPL >Et_9B_064253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13347261:13348285:1 gene:Et_9B_064253 transcript:Et_9B_064253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRPRLPVHLIAALLLLALLATCHAGGIAVYWGQNGGEASLSDTCASGNYKFVILAFVFKFGMGQTPALDLSGHCVPASGNCKVLSKDIRSCQRRGVKVLLSIGGGVGSYGLASEADARQVAAYLWNNYLGGASPSRPLGDAVLDGIDFDIEQGGAKFWDSLARDLKNMGKGKKGGKAVLLSAAPQCPFPDQWDGGAINKGLFDLVWVQFYNNRPCQVSAGHGAFLAAWKRWESVPAGKIFLGLPASKDAAGTGFVPPAQLTSQVLPLIKGSPKYGGVMLWSKFYDEHTGYSSAIKSHV >Et_7A_051007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13551800:13552384:-1 gene:Et_7A_051007 transcript:Et_7A_051007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YTIFSTIYTKTHRTSNLNYAYLEISWITNTLALSMVARHRHTCSSSSEASLLEFDSLTISGTGLPSFALPTQYCTMAFSRSMLSERRATSWLTVSRSLPRTYVPFAAVSSCSRGRSKGPVLLDLVFFAIRFLRVIGHSPATAGPTMRPKKSRCTAVATAATAAAPHATTRPIFSSFLIAFTTLIFLATFL >Et_9A_063368.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21768595:21769059:-1 gene:Et_9A_063368 transcript:Et_9A_063368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSQPRPSVQTYWARRNYRRLGSPSRRLKVARLGGDGSGRKQLAPGAPWKKLAVAALRLRSALALATRPARLLARLRDAYVDAMLALAGGAGRPCAALARSRSCAPAEAGLLARRVPRARTRGSSSDFERRMMAHIYSVVVTPELPCVGKA >Et_8A_057239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22627655:22632890:1 gene:Et_8A_057239 transcript:Et_8A_057239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGRGAMDTPGPRRRCIKKAIKTPDRMKNEGQKQNNCKPEAYMPFKGELSYYEQNSGDKMINANHIASKAGQINPSLTNNQDISTNSIRHQTSITKQKYPTVNRIQLLQCPEMVQDNIRSSNGSMTDQTYPSVTNTQRMAQLMEDKQKAMSITETADVVMSQGESNTVMDTEVEQNYQQTESLNQPHIGSFTDLLNPPIECDLLEGIHASSALEGNTTVEENTSIIDLTQADGTHDAGANIDEMFDYFQTHVNEEDTRNNDDAEAANEGGANNEEQITEEDIAMFRHNESINQAISLRKEKACMLPESIRFSKIQKKPTIVKSGNYHSKSKKCFGKVTRHIFRCNKCGLTDEQKQEKQLKKGVQTSKKRNVKKEKCREQDKNKSQDAPIMLTEYYFERQARDYYNINIFFRFQHNLKEVGKYQKTGNVASDGAKGVSRYKFLYAQAEKLDVLVNQIPIDDQAQANYEATNQRITASQANHEATNPRTAASQANHEATNPSTAASQANYEASNPSTAAVPTSSNPNSIVNQQQQLLSYSATQTLTVGQSWTPAQSTSAAPSYMATKSITAPSTMAPPSSAVISKEKQQLNNPPFIKPKGRPPTLGKSKRMKPEAEKARVRKKYTCSRCSSSEHNITNCDKLDIELPPKKNARLHPRIMLQVQTARSQTAQKVQVPNTEEEKWDHNSRRGFKQ >Et_9B_065683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9153409:9156165:1 gene:Et_9B_065683 transcript:Et_9B_065683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARAFGLATSRRGAGTVSWNDTLRPLLDQLALVPQCLLRSGPPDSILIFLAMPGVPPVPMRVQGSESIAAIKLRIQRLKFKGFVTTKQRLVFGGHELSHDRSCVRDYGLDDGNVLHLVVRLADIRAITIETTDGKRFRFQVESGCKVGFLKNKLAAQTGEQLTSLKDQKLVLDEEELEDDQLVTDIDNKGDAVIHLFIRHSVTVSTQQLAKETVVTVTTPKHKENLQIDASGGSSLVEPIVVNRKVKISPAVLDMIDITIAGLENGFLPEMSTEGSGGVYFMKGMYPMRTSNVAAFKPLDEEPMAENNPRGLPSSVNGEGLKRGTRVGEGAFREVAAYILDHPIDGCKSDGITGFSGVPPTALMFVSNFGSCEDMGPQAFPVKEVQKIALLDIRLANADRHAGNMLVCQDGDHLKLVPIDHGYCLPEKFEDCTFEWLYWPQAWEPFSAEAAAYIRSLDAEKDIALLKFNGWELSHQSARVLRARTMLTTFGSIMCRETVKESEIEAILEEAEDALLPGTSEKTFLETISEIMDRHLDSMLSKKEARFVSLLPNNGTIDSQQLYELGA >Et_5B_043496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11134641:11142026:-1 gene:Et_5B_043496 transcript:Et_5B_043496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLRRVLLPLVLLSGLAFRGVDLDAGGGGGPALLPLPPPPPRLALAGPGEDDGPARSTEIVAAAAPKTKPGELLVLPRPRRSEQSSVVSGEAAPLSRSEIRINNNGTIQLVDRETNSPLWEVCTGPPPSEDVTTGDPAMSYVIYPVGGNENELLEFYNGTSAILPWKLEDFVARTPYIRDSVVTIGSKVSTIFVIDADRGEIIYKHSIPAVLNELEGPGIERVPSKLTAGTGDTHGNTVMVVRTDYSLSASDLGKHLFNWTRTFFTANYRVHNHPNTLDQPSYLQGDIPCIKAEGLPLALPDSSSANAIVLKDVMPIATKEAADAPKPHQTLRKSPQTDGKSHVALDGTQNQTYGGSRFISAEPEATNKFTKNAYGWLFPVLPLLLVIGFLLSLTSSKSWKQFVIKLMKPFMREKKPVGVRGRPEGTPKKRKQRKKDEPVSGHEILSASDKENSETGGSTEMPIKENSAITSNGISDGLDGRRIGKLYVSNKEIGRGSNGTVVFEGSYDGRQVAVKRLLRSHNDMAIKETENLIVSDRDPNIVRLYGCEHDSDFVYISLERCQCNLADLIQKHSDSSSVESVLNPEVTICMKSKISNVKGIDVDLWTHDGLPSAQLLKLMRDVVAGLAHLHSLGIIHRDLKPHNVLISTEGSIRAKLSDMGISKRLQDDITSVSHHGTGVGSSGWQAPEQLRQGRQTRAMDLFSLGCLIFYCITKGKHPFGEYYERDMNIVNNRFDLFVVDYIPEAVHLISQLLQPIPELRPSAVYVMHHPLFWSPELRLSFLRDTSDRIEKTSETDLINALESVGPVAFGGKWGEKLDPALVTDMGRYRKYNFESIRDLLRYIRNKSGHYRELSDDLKAILGSLPEGFDRYFASRFPKLLIEVYKVMCVHCKDEEAFSKYFKGSSYMQTRGGGGGQGRRPHAGGLLLQLLGLELLDAAAQLGDVGGGVGEERRLVHPGDHGGHGGAEVAEQLIAPLPLGLDVAGTRPGRLPAQPLRRRKRLAGLVLLQQVGVVRRGAIAPEHAHVGVIPAAHAQPLVRDLAGHELERRRAAAGDREQRVRGGRRVAQGRGGDELVHGN >Et_7B_055144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7741880:7743856:1 gene:Et_7B_055144 transcript:Et_7B_055144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GFGSYLFGLSGKIAQQATEANDPLKSEYQESSSRMDDRVHVPRQFCWAFRSGSFEKGYDCGLQTYLPKRHRNRLPHQWIPYTTRKQVRTLGKYFSLSFIWAFFQWFYTAGDDCGFSSFPTFGLEAFKNRFYFDFSPTYVGVGMICPYIVNVSLLIGGVLSWGIMWPLISQKKGSWYPDSLPDSSLHGLQAYKVFITIAVILGDGLYNFLKELSFDDKRRVELFLKDQIPKTVAFGGYIAVAAITIGCLPLIIPQLKWYYILAAYVLAPVLAFCNAYGCGLTDWSLASTYGKLAIFVFGAWAGSSHGGVLVGLAACGVMMSIVGTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKSFDIGVSGGAYPAPYAIMYRNMAILGVDGFSQLPKNCLTLCYIAFAAAFVINLIKHQVPDKVKKFIPVSMAVAIPFYLGPYFAIDMFLGSVILFYWDSGNGPTRPKQQHLRQQKLQD >Et_3B_027836.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21510167:21510889:1 gene:Et_3B_027836 transcript:Et_3B_027836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFLRPLLPPKPFLSARKPHLAAAPATGSTTVRCTAAPKPATTSPSPRPSQEETSNIREEPTIAPTPDEANANPNSIPDDETPPSAAVTTSFAVTKRVPSAISPDRRPRTALTQEEPPNFEVGWKRTKELPLAKPKGWAIADFLEKLEGLMARGRYGSPQMLGTVAGVVTERAREEAEILVGEGGVDERVVTELYRVLRLVEMDVEMVKAAVKEETVKERVETARARCRQAILVALSL >Et_7A_051817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25049711:25050902:-1 gene:Et_7A_051817 transcript:Et_7A_051817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGKLSLSLPPPQIARKKVSTWPSPIENVGVAQAASPCSPVKSAATSSPSANSGVVLVASSCASMKSTESKNVENVSALLQKNNSAVVLAYGSITKSLMASLPLQAVTGGAQEEDLQSGGAAKPVAKTPINRLLNVVNIRPHHLLILNHHHCLTPERLNSMRNMVQRGRKVRNALLDEIESANSMLMDTIIDIADDNSSSGGTLIKLSYIHCCVPRPRPEIQCL >Et_3B_031437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29674627:29677757:-1 gene:Et_3B_031437 transcript:Et_3B_031437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRELVVSFGEMLIDFVPTVAGVSLAEAPAFVKAPGGAPANVAIAVSRLGGEAAFVGKLGDDEFGRMLAAILRDNGVDDGGVVFDAGARTALAFVTLRADGEREFMFYRNPSADMLLTADELNVELIKRAAVFHYGSISLIAEPCRSAHLRAMEIAKEAGALLSYDPNLREALWPSRDEARTQILSIWDQADIVKVSEVELEFLTGIDSVEDDVVMKLWRPTMKLLLVTLGDQGCKYYARDFRGAVPSYKVQQVDTTGAGDAFVGALLRKIVQDPSSLQDQKKLEEAIKFSNACGAITATKKGAIPSLPTETELLFCWFKLRVVLHLGHFHQVSARKT >Et_10B_002441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4341233:4341702:1 gene:Et_10B_002441 transcript:Et_10B_002441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YVNIGFVPPPFSPKKSRLVLAQLLIRALFSPPTCSSWQFSSSLKHMSYNSVILAASKTMIKITASVTLWWLLPSLVT >Et_7A_050809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11158214:11158531:-1 gene:Et_7A_050809 transcript:Et_7A_050809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPDWLTSAASPGASDLADQGSALLRLKRSFHHPFLPSWRAHTDCCRCWEGVSCDAASGRLAARDLGGRGLQSRGGGLDGSLFRHRSLAGNDFGVA >Et_5B_044105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2006461:2007720:-1 gene:Et_5B_044105 transcript:Et_5B_044105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHLINIGGPHWSADEDQRCQWPLGLSPASIGWGFSCVVAPVYNAEISPAAMRGVSTYLQRDIFINVGVLLTYVHLDWRVMCAAGALPPILPAAAVLTMPESPRWLVLRGQHVEACTVLTRTSDEADLILKEIKQQTDSHCQDAGRQHCRRRRAEGAAPPAVGERAPSPRLRRRATKPSAHLVSVDGAPSDQHQRAPLDCRRRSGWPLALRRDWPTMHHECSPPIVRCNEGVLHAGVLSVAAPRVVFRGVQVLQLHVDLFPVLVAWSQLKHQRDIGKRNKTQRTEQGRKIRERTEACLADGLKARGDARLCE >Et_6B_049039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16897006:16897740:-1 gene:Et_6B_049039 transcript:Et_6B_049039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QETEKQGNKIRRKIHQDMDREQMKLAILKQEQMFRQQVQELHRLYHVQKQLMQQVQPAAMNLTPAIIDIKPKQRFEIIHRDKPMNPQQFFSFTSSKTAAPAPAENCSLELTLATGPSSSSSSGNIGRQQGKRLKTSSNSDSGTTAVSSTSTDSELALFGEVDVTKTTVRFQNDARRIDEMRPGAWMYQCLSLKTA >Et_9A_063551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7014968:7018875:1 gene:Et_9A_063551 transcript:Et_9A_063551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRDVRTLAPRNSDVNGRPARNVARRWSRRWDTPGSGYVRRLLCRCSQTGRACVLVVGSAHGKALLRTPYIDRPTIGAAPSPSVHHVTPSGAPVLPVTFLLTSRPHVRPLLYIRPLPHPDLDGDHPIHAVNQFALLANHKPLLIRSIQASQFRRAIMQGGGVSCAVAGEVGVGRRLGLTIDTVEEAPAERVGRLVRESPAVIFARRGCCMCHVMRRLLAAVGAHATVIELEEPAEEAAASAAAAAAVPALFLGGAPVGGLDGLMGLHLSGRLVPRLREVGALDG >Et_1A_005520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11057361:11062088:1 gene:Et_1A_005520 transcript:Et_1A_005520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSPRPPRRPEREGSHNYDIESMDGGAGPPWRQLNGSSEALLRFDDGDGSAHEPLLRKRTMNTTSQIAIVGANVCPIESLDYEYFKGFFVYSGCNLVLAAAAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTCNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLKGLIEFCRSGKCGLFGKGGLIMFDLSSTVAVYSTPDLIAIIILGIIGGIFGGLFNFLLDKILRMYSVINEKGAPYKILLTITISIITSMCSYGLPWLAACTPCPVDAVEQCPTIGRSGNFKNFQCPPGHYNDLASLFFNTNDDAIRNLFSNGTVTEFHMSSLFIFFTAVYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGSISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLPMLPLVMLVLLISKTIADNFNKGVYDQIVVMKGLPFMEAHAEPYMRHLVAGDVVSGPLITFSGVEKVENIVHALRLTGHNGFPVVDEPPITESSELVGLVTRSHLLVLLKSKNFMKGQVKTSGSFVLRRFGAFDFAKPGSGKGLKIEDLDFTDEEMNMYVDLHPIVNTSPYTVVETMSLAKAAILFRELGLRHLLVVPKTPDRPPIVGILTRHDFMPEHIHSLFPNLNPHKFYSASMAG >Et_4A_033950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28307508:28313068:1 gene:Et_4A_033950 transcript:Et_4A_033950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPALICDTEQWKALQAHVNAIQKTHLRDLMADADRCKAMTAEFEGIFLDYSRQQATGETMEKLLKLAEAAKLKEKIEKMFKGDKINSTENRSVLHVALRAPRDAVINSDGVNVVPEVWSVLDKIKQFSETFRSGSWVGATGKALTNVVSVGIGGSFLGPLFVHTALQTDPQAAECAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIVSSLGPQAVSKHMIAVSTNLKLVKEFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQKFLEGASSIDKHFYSSSFEKNIPVLLGLLSVWNVSFLGYPARAILPYAQALEKFAPHIQQLSMESNGKGVSIDGVQLSFETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGETVSNHDELMSNFFAQPDALAYGKTPEQLHSEKIPENLIPHKTFQGNRPSLSLLLPTLSAYEIGQLLSIYEHRIAVQGFIWGINSFDQWGVELGKSLASQVRKQLHGSRMEGKPVEGFNPSTSSLLARYLAVKPSTPYDTTVLPKV >Et_5B_044737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5232573:5241017:1 gene:Et_5B_044737 transcript:Et_5B_044737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAAGLRPTEPLPLPSGLSLAPRLKLLLTFFRADLTVRPLDEWQLKSALLAFLRDPPLSLPVVPDSDLSVRRLPDLQKRRREEPVATGVLHVRDLAFLRPRKGGNEAEEMTPEQEEKKYFEWRRSLVEKLAGIELNLEGVKFRMTVEIPPSDDFRAMKKSWEDFYSSELLNSRNPVRKIVKRPDTIIVRGVPSRWFAETRISSKPSTLVTHTIFSALGKIRNLNISDDDGLESKEHGTNKELISGLNCKVLVQFENYDEFYNAMKALCGRSLEKEGSRLKVDYEVTWDRAGFFRNAQYEPARNNLEERDASAAVHGRKKHYSSRIESDHRKRFRNGNRDDKSTRAKLDGKHGTAVQVVVSGGGATAATSLLLTRVFAAGTLDAPRSTSSPLKIGKRYHQMEVQQMANTLPNTTRLSSSSTRANGQ >Et_3B_027763.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18138015:18138521:1 gene:Et_3B_027763 transcript:Et_3B_027763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLGQSKRRRRQPWVASGNGDDHITGLPLELRARIASFLPFRQVGQLSSLSRPWRRINDHTPVVRLELHNFLRFPESCSPRFAAAARRGPPRWTRSGSSTAPCSIDPRVRRHADRIIEVADAREVCVVRRCVDRHLPASPREASPGPGRAARSGTSPSWRRSLRCSS >Et_9B_064440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15198712:15204782:1 gene:Et_9B_064440 transcript:Et_9B_064440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTEEERVGRNDGRKGSAFKLVLQSAPFLSLQSANLGAARTGFAALSSLQPRRRFPWSGRCAPNPSAPSSLGLAEASPGRRYHFYSSPFLRVMSKKLGKPPPAAKEEGREGLFASCSFADLGLHPTLCAHLQDKMGFQAPTRIQAQAIPVAMSGQHMLVKAATGTGKTLAYLAPIVHLLQMREPRAERTDGTFALVLVPTRELCLQVYGIAQQLVHRFHWIVPGYVMGGENRAKEKARLRKGISILIATPGRLLDHLQHTASFVYSNLRWIVFDEADSILELGFGKALEDILEHLGSRSGAPDQNRNKMGHMQRQNLLLSATLNEKVNRLAKISLKNPVMIGLDDQKKQSSALGKNHTSLLSDDEEDDILEKRNDIMEHEHDDYKLPAQLVQRYVKVSCGSRLTVLLTILKSLFERQVSQKVVVFMSTCDSVDFHHRILSQLEWSHSPQLDMDKKQKFLSCKVFRLHGNMDQEDRKKSFLGFGSEKSAILVSTDIAARGLDFPKVKYIIQYDSPGEASEYVHRVGRTARIGEKGEALLFLQPVELDYLKDLELHGVSLTEYPFQKVLDSFPVIGQKPYKRKQISLDMHPWIMSLQRSLEGFVAAEDETKKLARDAFCSWVRAYTAHRGELKKIFMVKKLHLGHVARSFGLKEQPSLVGRSHQVQLKKRKKEQKRERPAKKRKLSSKQ >Et_7B_054135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1595556:1601888:1 gene:Et_7B_054135 transcript:Et_7B_054135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYHDQRRFPGSGEAPPPPLQPPHASHPNGQWYPAPPPPYPPHPSHPYPPPHHQWAPPPGVHHQHHPPQQPYTYQPPPQQQQPYAYQPPPPAPGNPWPPHHAAGQPPPPSYPPPPPGQFLYFLNIKLEGHGNEEDWATKAKAWAATKSVTENHQMQQHAISTSRNENGNYGYPEQHQQPAVLPTEVTEPSHPPVPQSSNDHVPFLLAGQQRDTNHLLGTVSLLSMRLCDMWTETEDNIADRGRLASPAKGFGSFPSTYEQEVSYNYSSAPGNGNAMPQYPSSQAQPFPTAASVRDGFPQGPLSMPVVPSMEQPPFGHERHSVDPSDQPLEFNSRKAPDMAVHTAVASNHDVIATSTHPWMPSAAVGFHSRPSVPPQAAQIDPSLHAAPLFGAVSGSNYVPHAAFGAGSITEMFPTDPNTPLNAAEKSKKPPVPNWLREELLKKKSAPPSISMQHLSHLDSMDSNDNEQPQRRPDQSDSRSNDSAKSTEEDEDDEVDDVKAARTAAINQEIKRVLTEVLLKVTDDLFDEIATKVLNEDDSSVEFNEPTGVSALKESVESKTKMPAKVVLPAKPPNLSAGALLGLANYDSDDDGSGDDEAKTVSNLSSETNARTVSSEKYSSIGSASVGEDAKSVDKKIHRSTNAEPDREHVHGSHNGEFPLDAKKIIQPKGVIHKMDEKAHSHLEKSSKEDLVKEVKVERTKELGSSIGEKYNSDGKYSMHDKKGSIKEKKGSDRISKHESDKRETHSRVNSKHDDAKGDRKDFPKDTRDRNRDTSDRRGDKWKDGKEDRSRQMTKSSTSNSSRRSRSPRGRSRTRKDSSSRVQGSVSSDEHSDSVNKRKHHSRKNSFSPSPPKSRTRYLLREETSDAFHGLHIASILTAGIRLIHLRTGRDDPDRELQSKEDRRHWAVCYPAGDTLYCWVL >Et_4A_034220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3079736:3088556:1 gene:Et_4A_034220 transcript:Et_4A_034220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLDAAVSPSPPASFLRNLHSGPPRALRFHAFPWRHGLHHGRRIGVRCANHIPSKQGVGALTSAERPVDKEALVNNGQIPTIAVAKNPLCLPAQGNYNMATILIITFCALGRIVSGRVQFMMNLLPSMSNSITCLPFACISDPIKKPVPLKLDVTFPPLGDIRWSISRLYYLFNSQLERNITLSIITLLVTCFSFVVIGGLLFHKFRKKEQSLEDCFWEAWACLCSSSTHLRQKTRVERVIGFFLAIWGILFYSRLLSAMTEQFRIHMLKVREGAQVQVIEDDHIIVCGVNSHLVSILNQLNKFHESAIRLGSATARKQRILLLSDLPRKQLEKLGDSMGKDLNHIDVFAKSCSLSLTKSFERAAANKAKSIIILPATNERYEVDTDAFISLLALQPLRQIASVPIIVEASNSTTCELLKSITGLNVQPVEMVSSKLFVQCSRQKGLLKIYRHLLNYRKNVFNLFSIPELSGMKYMDVRRRIHDAVVCGIFRSGKIYFHPSDDDLLKETDKLLLITPVRGRRKPHHRVLSLPEGTQPSNHCLESREDQRLSTMAIELKEARLKNITKRPSKSLSKSSDYMLGPRECVLVVGWRPKVTDMIREYDNYLGPGSVVEILSETPVKERSSIVNPLLQNQLKNIKVSHRVGCPLNYDTLMEAIINIRKSAKPDEKVPLSIVVISDRDWLIGDTTQADKQLAYTLLLAENICEKHGIKVENLVSEISDTTLGKQISRIRPTLSFIGSEEVMSLVTAQVAESCELNEVWKDILNAEGDEIYIKEIGLYKKEGEKISFAELSERAILRREVAIGYVKDHKQHINPVNKLEPLSLEMTDSLIVISEFEGEQPIVMDRETSA >Et_9A_063003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:821283:823046:-1 gene:Et_9A_063003 transcript:Et_9A_063003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EAICLMDGSCDLEGAPGVISDCVSPASSVCSETTLVLEKRCALVDKTCEETENDSGSVEAEICMDFSKAVSLGMKKGLQKSATFPTASGEAEQDDDSSHHTDEAMKDVPTYERSVSFPLTLKPISAMKGSRQKNGMASPTENRHVKWAPDVYDPPVTSVCHSVNNSYHYQRRSKPRKKDKKEKKEKNKQKKKQKTKSKKSHQNSIQNPSVLQTPDLGLEDVGTSDSLPSPNNPDKHEAVILDYSIGNQEGKCGSSFLRESVAKMHFSIAEAS >Et_1A_008829.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:1565973:1566587:-1 gene:Et_1A_008829 transcript:Et_1A_008829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLRSHDVLNNRMHLDAFTPSPTKPRRRRSPKPAGAASPPPKAAAVASPAPKAAVVASPPAKGRRSPPPKAAARKQPSPTKEKPKQRYVMEEVRILRRGEEPPAPAPTPAPAPAPVVAAPVAQAAAVNKRVVRSTSRIGPQAPAVVPKKVVAAADVAVYAGPAFASAPEPSSLPFPAFCLRRAETEATRGLRCLLGIGQVA >Et_9A_061350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10398795:10402097:1 gene:Et_9A_061350 transcript:Et_9A_061350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASKGTEKPALRKPVFTKVDKLSPGNDRHTLTVKVVSSAPVLARGRASAAPAAVASSRPTRIAECLVGDETGTILFTARNEQVELLKPGTTAILRNAKIDVFKGSMRLAVDKWGRIEVTEPATFTVKEDNNMSLVEYELVNVPE >Et_4B_037867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24216137:24217213:1 gene:Et_4B_037867 transcript:Et_4B_037867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAAAAAKGGAGGKKKGSVTFVIDCTKPVEDKIMDIASLEKFLQERIKVAGGKAGNLGDAVTVSREKTKVTVTSDGPFSKRYLKYLTKKYLKKHNVRDWLRVIAANKDRNVYELRYFNIAENEAEEED >Et_7A_052132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:485037:493424:-1 gene:Et_7A_052132 transcript:Et_7A_052132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQFLSLPAASSPAPLLPHSSKPFKPVSASASFRRPSPPPPAPIVPSPAPQPPPPPPPPPAPTNPLSSKLWLSSKLSPPPPPPPPPPLAPVEKPPPEPEPEEEEAPVRQEEFRQKGKVFVGNLPLWAKKPEIAEFFRQFGPLEKVELVRGHDDPERNVGFCFLYYGGDDPESAAERAVEVDGVEFRGKSLTVRLDDGRKGKARAEDRARWVERGERREARTPWHKGREEACREFRRVLESRPENWQAVVSAFERIPKPSRREFGLMVVYYAKRGDKHHARATFENMRARGIEPNAFIFTSLIHAYAVARDMRGALSCIEEMKSEGLELTVVTYSILIAGHAKINDVQSADNLFKEAKSKLESLNGIIYSNIIHAHCQSGNMDRAEQLVREMEEDGIDAPIDVYHSMMHGYTITQDEKKCLIVFERLKECGFKPSIISYGCIINLYVKMGKVPKALAVSKEMESHGIKHNNKTYSMLINGFIHLHDFANAFSIFEEMVKSGLQPDRAIYNLLIEAFCKMGNMDRAIRVFEKMQKERMQPSNRTFRPIIEGFAVTGDMKRALDTLDLMRRSGCAPTVMTYNALMHGLIRKHQVERAVSVLDKMSIAGITPNEHTYTIIMRGYAASGDIGKAFEYFTKIKESGLKLDVYIYETLLRACCKSGRMQSALAVTREMSFQKIPRNTFIYNILIDGWARRGDVWEAADLMKQMKEDGVPPNIHTYTSYINACCKAGDMQRAENVLQEMAEVGLKPNIKTYTTLIKGWARVSLPDRALKCFEEMKLAGLKPDEAAYHCLVTSLLSRATVMEGSTYTGILSVCREMFENYLTVDLRTAVHWSKWLHKIERTGGALTEALQKIFPPDWNSLEDLEASRFLNNGDSESCSDSDSSEEDEDNEAKVNPSRRA >Et_5B_043201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17265363:17266157:-1 gene:Et_5B_043201 transcript:Et_5B_043201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALGKMANTGETRLLSAGIDGYIRHPQPLLSVACSPCGTVLVAGSAKGKIYMGKKKKKAVDEEEEGSKGVNGEIDWVSPAPEKPVLRPNYFRYFLRGQGEKAKEGDFVIAKPKKNNTRSVVAVMEELVSRRKLMRCIGNLGTDELGLLLEFLFRNATLPRYARFLLGVANKVVEMRAEDIRSDEKLRGYMRNHKRMIAEEIQIQHSLQGIQGMISPMLALASG >Et_5A_040829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13133977:13137404:1 gene:Et_5A_040829 transcript:Et_5A_040829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCRHVNPFRACAGLRGLGYLMVALVAAIVGLSYYAVVVYTWGPVLLRGGASAAGAAAILAVFHILLALILWCYLMVVFTDPGSVPENWRHDAEESIDPASSTSEDQGNPPRYCSRCQNGKPPRCHHCSVCDRCVLKMDHHCIWVVNCVGARNYKYFLLFLVYTFIETVVDTLVLLPYFIEYFQDESRRSSSPGDIAILFLAFVLNLAFALSLLCFIGMHTSLVLSNTTSVEVHERKKSVAWKYDVGWRRNLEQVFGSKRVLWFFPLYSTEDLHNIPALRGLEFPIRSDAIV >Et_1B_010231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31174156:31174734:-1 gene:Et_1B_010231 transcript:Et_1B_010231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTVGRRLCLSRSSSASRLSAVWARLYSTETAKEKVVDLADRIAALPPEEIKQIAPALLLRLNQEPPQAISGQGFSFGPQGGSGAGAAKAEDKKAEKTVFDVKLEKFDAAAKIKIIKEIRTFTDLGLKEAKELVEKAPVILKQSLTKEEAEAIIEKIKVAGGVAVME >Et_9B_064864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19382616:19386737:1 gene:Et_9B_064864 transcript:Et_9B_064864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLLLFCWFLSSSMAIGRLEHGVYSLVRVRDGAMTRYRGYQIPWEWMQDTGIVSQMKLQSVKLAMKYLRRVSSELEAIQGGPDEEELMLQGVRFAFRVHQFAGGFDGDTMRAFQDLKEKASTFRSQRQSVNQHLHQQRLAGRS >Et_2B_019351.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24961505:24961726:-1 gene:Et_2B_019351 transcript:Et_2B_019351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVQLISLKHLFMMQWRPQSKLRFQRCKMVSVSLSSFVFIYGLHEEIQLFHGIIGELCSLRRFVIAVEMDT >Et_9A_063053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9177788:9183659:1 gene:Et_9A_063053 transcript:Et_9A_063053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFGGFFVDEKAARVENIFLEFLKRYKEPEAAEPFYEAEMEAMRSRESTTMYVDFGHVLRFNDVLQKAIAEEYLRFEPYLRNACKRFVLEHRAGENRAPIISDDSPNKDINIAFYNIPMLKKLRELGTAEIGKLTAVMGVVTRTSEVRPELLQGTFKCLDCGNVVKNVEQQFKYTEVPIICINATCQNRSKWALLRQESKFTDWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEKARAGDTVIFSGTVVAVPDVMALTSPGERAECRREAPQRKSGSGVQEGVKGLKSLGVRDLSYRLAFVANSVQEEEEDEVVRMRNTPDFFNKIVDSICPTVFGHQEIKRAILLMLLGGVHKITHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDEPDENTDYHIAHHIVRVHQKREEALAPAFSTAELKRYIAFAKSLKPQLSSEAKKVLVESYVTLRRGDSTPGTRVAYRMTVRQLEALIRLSEAIARSHLERVVLPAHVRLAVKLLKTSIISVESSEVDLSDFQDADDGTSVPSDNNAGQPAEADDAPQQQGAENDQAADPGKKKLVITEEHFQRVTQALVMRLRQHEESVMKDGDGLAGMKQGDLIVWYVEQQNAKGAYSSTAEVKEEVKCIKAIIERLIQREGHLIVIDEGTTAAAEGSGARRTSESRILAVNPNYVVD >Et_1A_006708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26578633:26586707:-1 gene:Et_1A_006708 transcript:Et_1A_006708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKAITLWLLLLTAGCSFAAVGAQAEVATLEVDASPGAARRLPSTLFGIFLEEINHAGAGGLWAELVSNRGFEAGGPHTPSNIDPWSTIGDESSIHVTTDRVSSFIKNGVALRMDVLCDKCPTGGAGVYNPGYWGMNIEEGKTYNLVMYVRSTESVELTASLTCSRPSGALQNLASASIQDVDMATWTKVELQLLAQDTCRDARVDLTTSKKGVIWFDQVSLMPSDTYKGHGSRKELVQMLLDLKPRFLRFPGGCFVEGNQLRNAFRWKQTVGPWEERPGHYGDVWNYWTDDGLGFFEFLQLAEDLGALPIWVFNAGISHTDELNSSMIATFIKDAIDGPESTWGSLRVAMGHTDPFPLNYVAIGNENCKNRFYLGNYIQFYNAIRHAYPHIQIISNCDGLNGPLVHPADFYDYHVYASAAKLLLQKNVFDNASRTGPKAFVSEYAVRDEKYPGNTTLFASLVVAAFLTALEKNSDVVAMESYAPLFLNENDRKWLPDAIVFNSWQQYGTPSYWMQTFFRESSGAMIYPSTISSSYSGSLAASAITWKDSENSFLRVKIINFGPGSFNFTISVTGLQSGIDSRRSTATVLTSNSMFDGNSFESPRKVVPIRKKLHYAAADTHVLVSAYSLDLALDEV >Et_5A_041712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26102606:26107535:-1 gene:Et_5A_041712 transcript:Et_5A_041712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAAHPLRRPTPPAASPPSPSPFASPSLPLPSAVLPPKKRRVFQTPRRAATPIPPPPPLPAPARLAAGADSPAVPAPTPPPSAGAAASLPGAKKPPLRPTGKPPLPPRPLPKKPSSPPPPPPAADEKPSTPPLTDVAAESATPRKVRNYRRKISRKGAIAAPAAAAAEASAAAGALHPGDVRESMDSLGDQNAGWDEVGGKDQIFGETAGEKPVTGFSAVAACEALLGKKRERGGSWAGKTEDCVAPEIGKVMSKSEEGGEEGMPEEQRRMATEVFVGGLDKNAKEADVRAAMAMAGEVAEVRMVMDAWSQKNKGFCFVRYREAAQATKAIEEFGHVKVVLTLLICTSLCRIAAIERNESNYKIFLGNIDKTWNKQDIMKLLQKIGIENINTVILKDDCNKPGYNRGYAHLELESTKDAQMAYKKLSRKGVFGTSLNITVAWAEPSTDPDDKEMQKVKSVFAEGIPASWGRAKVAEIFKRYGKVEHVVLPCDMHSATSNDIAYIHYATREAAILCIESFDGQELTETDSKVSSHIYVNLRLFIDRIFTFLVVNIKVSLARPFRKGKQNKEDHKFKPYPILHTPTMYSREKRVFSKLGDDSSSFTRHNPRARHESSTYTMSTSRLTTDEDDNPDSFGS >Et_6A_046167.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:6981432:6981545:-1 gene:Et_6A_046167 transcript:Et_6A_046167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SCAVSTERTILPPFTKEYRVLVALSSIRLFLEGSPSL >Et_5A_040126.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:13367486:13367903:-1 gene:Et_5A_040126 transcript:Et_5A_040126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLIIIVVAAAIMYALVTPVAAIVVGGWRPIPNINDPHIQELARWAVTEHVRRAKVWHKFKSLLSGDKVLGTPRYRLNIVALNAHGKEGKYQAVLLDGGMSARDRYLAGFEALP >Et_7B_055550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1468046:1471845:-1 gene:Et_7B_055550 transcript:Et_7B_055550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEPIGVDQSLNEDTAGISLLLMELSWTAGGRPTSIQHPLGPPATAQRPSSTPGMSASLPGMSASLPEVLNSTVAQMVSAAVEDLYRELPPRPSLEEVEAAAAALASADAEEGACLAKIAQEEDGIPCKLAAVLREAKCSAVQLRTLHQRQEATRVLQLKRQFEVFDNLIQRVVLIVSSSEGCGSAGVGGDSVVEKVGQEEVATALAKDITCGTKATFSPHLAVSAVRLMDKAPQQLTTMSNKRNSKANASIEDLDESIVIWDILVRLPAKDLLRCRAVCKSWRRATSTHDFMLAHHRQQPSLPVVQFVPINDYQDVDFDMYPDLVTSRNPRLVVLCNHNLRPLVQYATPSDDNTYMDLHATCDGLLLVSNASDGYERRCFYICNPATRSCNALMQISETGFDPSTMKIDVVGFYGYKSGEYRVLYCVQMEENVDIHEYYIWTVGSNKPRSIGDGAPTDEISVEMNDTLGLCIINVECADAPFVEFWVLRDYEAELWDFKYRINLSEVVVHPLQDVTENLSANLRATLISEREVLIQLTRRDDDAGPVVWAVGRTPGQWATTD >Et_9B_064130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11548182:11556348:1 gene:Et_9B_064130 transcript:Et_9B_064130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYAPIVLVLEKDSFMKTFQVVIAQLVHALSNFSYHKLDISDGFREQRTAITSSSPNYASSYSLTERVNIDSLLFKLRSPDPEEKSAAAELRLLAKQNASNRICIAEAGAIPLLSNLLLSSDLQTQELAVTALLNLSLYVDNKAIIISSGAVPRIVHVLMNGNIEARENAAATLYSLSMIDKYNEAIGEEGAIPALVILLSEGSQRGKKDAAAALFNLFKYEENKARAVRAGLVPLIMGLVTNSTGPLVKEATAILPILSRHQDGKAAIGQLSISDVAPYLCSDEKQLKHLACQQQCRIMAPLRKLALNGSVRGKRKAVQLLERISRFLVEQQEEQEAKAADIGSDHHQGA >Et_2A_018122.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1285939:1286469:1 gene:Et_2A_018122 transcript:Et_2A_018122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMFSAARCSLPLHPQPHAGIRWPRPCGRAPSHTAVSAKPPRRSTRGPRHDSSWDDGSGDSDSDDHIDAGFFGQEQDDDEEEDEPMPTTRAASPEPQLRGSDVLRALQRAAAAKEAKKKKDKKPAARPRQGKEKVKGGGEVAVAGEVRPVVVKPEWAARIRELELRVQQLTDKYHQ >Et_10A_001381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2483162:2484035:-1 gene:Et_10A_001381 transcript:Et_10A_001381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLYLDANDELTIVAPIAGGEPVAGHPERARALVSPRPARRLHVAARRVRRASVAAGGAVTVEPPSQLRHTVLQRRDAQLRRVPVHRRRQRVLAPGRVHRLPRHELAPAARRGGPASPGGVVSGAGAAALRRAEQPRHEVSGDGAVGGSRRRRAAGAAAEEEAGAGGDAVAGAGKRRGGGGYGAVARGVNEGEEGGGGGVEGEDRVRRGRGAVREERHAGDGRVAGQEDGAVAGEAERVRDDGVVALAVATTATIAAGRRSEGCREEQGKEEDEMVHVQLH >Et_2A_015397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1210429:1211104:1 gene:Et_2A_015397 transcript:Et_2A_015397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLFFLLSRSSSTISLLLTESMDSVTLHTNLGDIKCEVFCDQVPRTAENFLALCASGYYDGTVFHRNIKGFMIQGGDPTGTGKGGTSIWGTKFADEFRESLKHNARGIMSMANSGPNTNGSQFFITYAKQPHLNGHYTIFAKVIHGFEVLDLMEKTQTGPGDRPLAEIRLNRVTIHANPLAG >Et_2A_016050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20553323:20555239:-1 gene:Et_2A_016050 transcript:Et_2A_016050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHPKFLIRHLLPRRSTANSYTSAAHLAVPAAATPAPSPTPSSALHTLRLLDTGELVPTPHLYHSLIAACAESKSLDDARAVHAHLSASRFAGDAFLCNSLIHLYCKCGAVPDARGVFDGMPTRDVVSWTSLVAGYAQNDMPEKALGLLPAMLGARVAPNGALLDMYARRGRMDEAVAVFDRLGSRNGVSWNALIAGFARKGDGETTLKTFAEMQRNGFEATHFTYSSVFSAIAGLGALEQGKWVHTHMIKSGQKLTAFVGNTMLDMYAKSGSMVDARKVFDRVDKKDLVTWNTMLTAFAQYGRGKEAVAHFEEMRKSGIQLNHVSFLCILTACSHGGLVKEGKHYFEMMKEYNVEPEIDHYVSFIDLLGRAGLLNEALIFAFKMPMEPTAAVWGALLGACRMHKNAKIGQFAADHVFELDPDDTGPPVLLYNIYASTGQWDAAARVRKMMKQTGVKKEPACSWVEIENSVHMFVADDDTHPSAKEIYVMLDEINMRIRKAGYVPNMDYVLVHIDRQEREAKLQYHSEKIALAFALINMPAGATIRIMKNIRICGDCHSAFKYVSCVFERDIVVRDTNRFHHFSNGSCSCGDYW >Et_9B_065210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2441294:2450500:-1 gene:Et_9B_065210 transcript:Et_9B_065210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVDAAAVPVAPAFEEAGDAVEQARTLIGALNLLSRNLPLPPAVLHAVSSIYHDGGAVGEEEEVLVKGDAEKGEDEETPVTDVAGEGNAAADGAAEGATLMEELEDAIFKNQRTPISYSELSALKEGRFNASIQHRLAELEGLPSTRGEDMQMKCLLELYGLKLLDLQKKVRSDISSEYWLHKKCAYPDRQLFDWGMMRIQYPFTMYGIGDSFLMDSDDVQRKKRFTEAWHVRARQRISRLEKTRMVALKNGDQEAYMRMVEESKNERLKMLLDKTNELLEGIGKAVQRQKDAEHVSHPEVSEVPKGSESENISGIKSESSGGSPSDNDADPPGSANESKFNAGRRLDSTVHSIEEKVTEQPSALEGGELRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTIAFIAYLLEKKEVTGPHLIIAPKAVLPNWSNEFKTWAPSIGAILYDGRPDERKALRETYFEGLQFNVLLTHYDLILKDKKFLKKVHWHYLIVDEGHRLKNHECALAQTLVSGYQIRRRLLLTGTPIQNSLQELWSLLNFILPNIFNSSQNFEEWFNAPFACDVSLNDEEQLLIIHRLHQVLRPFLLRRKKDEVEKFLPVKTQVILKCDMSAWQKAYYEQVMSREKVALGSGLRSKALQNLSMQLRKCCNHPYLFVEHYNMYQREEIVRASGKFELLDRLLPKLQRAGHRVLLFSQMTRLLDILEVYLQMYSFKYMRLDGSTKTEERGKLLADFNKKDSEYFLFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKNEVRVFVLVSVGSIEEEILERAKQKMGIDAKVIQAGLFNTTSTAQDRRALLQEILRRGTSSLGTDIPSEREINRLAARNEHEFWLFEKMDEERRQRENYKSRLMDGNEVPDWVFAKSNEPTKKTLADEYQEILAGSKRRRKEVVYSDSFGDQWMKSEDGFEDIPKMTPRAKRTAYSSDLQGADVSERRKRPRSIENSADGASNPTWPPERGMSRVSSYSKDENEDDGDDEVITSSLQQGSSFTWKTLGRKRSSHLSSSSDSKGRPSF >Et_2A_014719.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:9395388:9395696:1 gene:Et_2A_014719 transcript:Et_2A_014719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGSIVDRNWPEVRLVNGFALFMGYLLRGVKGLGVLVVTWTTVVLLRGYVSVLEKKDFWSLTMVTLVQIIGLVSSPMGFSSETCCLDRFPLLIYQLCLEQ >Et_5A_041993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:487522:491031:1 gene:Et_5A_041993 transcript:Et_5A_041993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRPVPPAASLRGFLDTHFATPEDLAAAPALTELLRRECAGLETSLRRLEAQLASSSASWLARSTEARSDLRRLKSRGGGVDAEDEGAQAVRNVGLPALVREIQRIDSIRHYAEATLQLEALVGNLEDAAFSIVRQASKLKLSSILRKSNETEWKQGMLLHSVNAVRDIERELVKISTSRPQWINLIMAVDSRVDKTLAILRPQAITDYRALLTALGWPPSLSSPDTEKDKYSQTLNPLALMNEENREKYSQSFLALCALQHVQANREVRQCQTAAATPALSGSKYFDKTACFDHGLWAIDELVHPITSRMEYHFTKWSEQPEFIFALVYKITKDFMDGVDDILQPLIDQARLVGLSAKEFWVTGMVKMLVGYLERQIFPPLVTSYQDQSTVDKSEVHSSWLHLNDLMITFDKRMQLLADAGIQRIPSISEEMARSLSIFSIYNEHSDWLQIWAGVELSSALDKLKSEMECETSWSCSDSQHDQLGHQENSIKFLLSTREDYKAPSVSEFVVKTALSMIERGRALPNKGMQIQYNKSSSIQFLSDFFLILRDRCEALQLSNTALEDQSLSKASCAINGARYCENVLREWDEDPVFLDGSLFTDEIAFLVKLGTNYLEQIMSAILLEFEDLSWEYVQNIGLFSEQTNLDDQTMDEENLGVSPGFVASLDVLTDRTRKLKLYLNSKDFLDLWRSVAEGLDYFIFSSIRWGEVSFADRGVTQLRVDTKALHHVFRPFCSRPEAFLPFLSESLRLLTMGKADAQCLQEMLAKDAKSDNCLRQQGLHHVNASQSAKILRSRMFGG >Et_1A_004901.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:1534782:1534835:1 gene:Et_1A_004901 transcript:Et_1A_004901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQVAQEGIQGVTSRV >Et_3A_023268.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:16158075:16158176:-1 gene:Et_3A_023268 transcript:Et_3A_023268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLDATPICYSMKRWMKVGTAWLCARRLFSLP >Et_2A_018352.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24164006:24164974:-1 gene:Et_2A_018352 transcript:Et_2A_018352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEDLSLPLDPSFAPALLFDYNDGETSDARHLYSIPKKRALLARGFDGLFKDVNWVTPHGWVLALDPSTRDASLRDPFSSRTIRLPQDREKLLANSEVTRCVLSTRPTNPGCVVVVVHRKEPTICYCRPGSSRWSNTSTVLSCWSKETGMLSSMRCSRRSRRRGEASTHVFWENKLAKLTFSPDPTLSCSPVKDRPFPAGCSYAQCCLVESCGDLFMVQLCFPRIELRMMGVEVKKLDFSRSTFVKVAELGNNRVFFARKGQFGASMAADELGLKPNCIYFSSSGDKGLYTYDMEHGTTKLHNPGPDVPDSTQPILLMPVT >Et_2A_016003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19997888:19998816:1 gene:Et_2A_016003 transcript:Et_2A_016003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRCVSMNSRKLSGRLPSGAAVPETSEVERPEEDDGEIVHFSHPEHRLARFDFPYLFMCMGCKEYGAGKRFMCQTCGFQLHEFCALAPPSLHDHPFHPKHPHLLFFERPAGGFLRCKCDICGKSVKGFSYRCASCGFDMHPCCAAMTRVMDLPAAHEHPLLLAPPAPAADGAETSLVCQVCRRRRRSSSSSSSGHHLVYQCLPCGYGLHARCAKDMVNGLYAHGIVPPEKRNVIAAAAKVTVNALFGVIGGLIEGIGEGIGEAFVENLGRSRRSFR >Et_2B_022361.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19246931:19248766:1 gene:Et_2B_022361 transcript:Et_2B_022361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFGDFGPLTERRRAEKARQQRQRIMIAAGTVSIVIILIVMGGAAVMYSGKQAASEGGGSKKGGSSPSKGKSGGSGSSSPSTDLKAVSKAIKAMCAQTDYTDACEKSIGKAANASATSPKDIVRTAVSVIGDAIGQAFDRADLIMSNDPRVKAAVADCKEVFDDAKEDLNRTLRGVDAKDGGGGIAKEAYQLRIWLSAVIAHQETCIEGFPDGDFKAKVKESFVQGKELTSNALALIEKGASLLSAAASGGKTTAERRRRLLQLAEDDDGVPEWVSENDRRVLKGGGFKNTLTPNVVVAKDGSGKFKTINEALAAMPKTYDGRYVIYVKEGVYEEYVTINKKRANVTVYGDGSKKSIVSGNKNFVDGVTTFKTATFTAQGDGFMAIGMGFQNTAGAEKHQAVALLVQSDRSIFLNCKMDGFQDTLYAHSKAQFYRNCIISGTVDFVFGDAAAVFQNCILVLRRPMDNQQNIATAQGRADARECTGFVLQKCEFQAETALRDAARPPIRNYLGRPWREASRVLVMESELPDFIDKAGYMPWNGDFGLKTLWYAEYGNTGPAAAMAGRVDWPGFKKVISKDEAAKFTVENFLHAQPWIDPTGTPVKYDLFT >Et_2A_015416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12236446:12254317:1 gene:Et_2A_015416 transcript:Et_2A_015416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKMTAEEMRNAQRADGVATVLAIGTATPPNCVYQADYPDYYFRVTKSEHLTDLKNKFTRLCQKSMVRKRYMHVTEELLQENPNMAAYSAPSLDVRHDILVEEVPKLGAEAAEKALAEWGQPRSAITHLVFCATAGITAVTFRGPCATHIDSMIGQALFGDGAAAVIVGASPDEAAGERPLFQLVTAAQTIVPDSDDAILGHLREEGLTFHLQERVPDLIGMNIKGLLETAFKPLGISDWNKIFWVAHPGGPAILNMAEAELGLDEARLRATRHVLAEYGNMSSACVLFILDEMRRRSAKQGLGTTGEGLDWGVLFGFGPGLTAETVVLRSSFGWRTLAARLSSTWPRPSWASTRPASGPHATSSPSTATCPAPACSSSSTRCAGAPPTKGSQQPLRFRCEMPGKMTAEEMRNAQRADGVATVLAIGTATPPNCVYQADYPDYYFRVTKSEHLTDLKNKFTRLCQKSMVRKRYMHVTEELLQENPNMAAYSAPSLDVRHDILVEEVPKLGAEAAEKALAEWGQPRSAITHLVFCATAGITAVTFRGPCATHIDSMIGQALFGDGAAAVIVGASPDEAAGERPLFQLVTAAQTIVPDSDDAILGHLREEGLTFHLQERVPDLIGMNIKGLLETAFKPLGISDWNKIFWVAHPGGPAILNMAEAELGLDEARLRATRHVLAEYGNMSSACVLFILDEMRRRSAKQGLGTTGEGLDWGVLFGFGPGLTAETVVLRSSFGWRTLAARLSSTWPRPSWASTRPASGPHATSSPSTATCPAPACSSSSTRCAGAPPTKGSQQPLRFRCEMPGKMTAEEMRNAQRADGVATVLAIGTATPPNCVYQADYPDYYFRVTKSEHLTDLKNKFTRLCQKSMVRKRYMHVTEELLQENPNMAAYSAPSLDVRHDILVEEVPKLGAEAAEKALAEWGQPRSAITHLVFCATAGVDMPSADFRAAKLLGLRPNVNRVMLYHQGCFAGGTVLRVAKDLAENNRDARVLIICSEITAVTFRGPCATHIDSMIGQALFGDGAAAVIVGASPDEAAGERPLFQLVTAAQTIVPDSDDAILGHLREEGLTFHLQERVPDLIGMNIKGLLETAFKPLGISDWNKIFWVAHPGGPAILNMAEAELGLDEARLRATRHVLAEYGNMSSACVLFILDEMRRRSAKQGLGTTGEGLDWGVLFGFGPGLTAETVVLRSAELGLDEARLRATRHVLAKYGNMSSACVLFILDEMRRRSANQGLATTGEGLDLGILFGFGPGLTA >Et_4A_034015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29118712:29119380:-1 gene:Et_4A_034015 transcript:Et_4A_034015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAARVSAAGTGDDEGPTSHTVVVGPSIWVSKENLSAPTPSTWRPGRGPRSERPVRSEHRLWPAATYDEYDHVCAADLTVTPPAAPLLLCSERAAPDWIGRSAYVQRANGVMVGGTMKSKKIPFPLILLVFIVIASQEDMVIRVGADSGGCGIAGFANCGMSCLKPGKCDQCCKNHGFYSGECNVLLCLCCT >Et_2A_018328.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23271009:23272316:-1 gene:Et_2A_018328 transcript:Et_2A_018328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAIPACFRAASAPGGGASASGASSGSGGAGTSLATSVYETRLGLAALSWSRAALGLSLRAVLRVSAPSSSPSPAASDYDDGEMEEDVGVEEEEATVAVRVRPWLLWRRRGSKRFRVGDRRVDLAWDLSRARFPPSGSPEPSSGYFVAVVVDGEMAVVAGDMAEEAYRKTKAWRPPGPGPVLISRREHVSMRDASGGGGRGHRTCVTVRGKVREISVDLVSRGGQAQAQGQGQGKEKERDRADVGMSVSVDGERVLHVRRLRWKFRGSEKVDLGGGDRVLVSWDLHNWLFPSRDTSPPDATAALDIAAAPVPSAHAVFVFRFELGNDGGDERDAAEVKEKEPLDKAGRGAGGWAGAVGRWARGDWSESSSNGEHRRKRRQARRLAKESSSSSASVASSSASFASGSTVMDWASPEDAELQRGDGFSLLVYAWKH >Et_9A_061279.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:5826618:5827523:1 gene:Et_9A_061279 transcript:Et_9A_061279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGTHLVPTRTYPSCRPHTARPLPCPSLLRIRRNSATVAGDDGFHQCQPSRAPPPGATGAGRPPPRRTNLSPRARPRPQRRCKFSSTPYADGFGQQVLAAAGDQPTHACHLVGFAGRRGVRRRDEAGVRVHGEGEDELRVAGADDGRGEPRVRGRVPQRGARAAAAQRRRRAGPVRHGHVPRGRALRLRRLLPLPPPGRPRRVGARMGAGRAARARARHRHILLRRPAAGRRMVRTRQVPQGRHHGGRRAARHQQLLCFAAGLMDELSCAVQAATPGRVFFFGSINNLCNCLLYPGWIWVVK >Et_2A_015840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18332806:18335761:1 gene:Et_2A_015840 transcript:Et_2A_015840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLARKRLTSTPAKEIKGSSETSTSVAGKGHFVVYSVEGRRFEVPLEYLDTTVFGELLRLSQEEFGFSSDNGRIMLPCDTTMMEYIMCLLRRDASEEVERALLSSMVRPCNYGSNGFMQTMESSRQVAISQNQQFIDRSIINKPSNIANLRPHNTKVPKKGEEKQATMVSTKRLVQMAKKWQRMAALARKRLTSTPAKEIEGSSGLSTSVAGKGHFVVYSADGMRFEVPLEYLGTKVFGELLRQSQEEFGFSSNDGRITLPCDATVMEYMMCLLRRDASEEVERALLSSMVRPCSYGSNGFVQTMGSSRPIVVSSF >Et_5A_041182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19010468:19014288:1 gene:Et_5A_041182 transcript:Et_5A_041182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSAVTRSPILLHIHRLPRVSVARIRVGRAGRSRGSVGVRVFARSSQAQDFSTRIQARASELPKLVEDLLQTSISTGPRGAFRMAQGIQAVLGVGGEWLNDFSKTANTSAGIPAQMRLGLLSPLYLRRLFERMGATYIKLGQFIASAPTLFPAEYVEEFQNCFDRAPSVPFDVIQSILREELQRPLDSVYEYIDPVPIASASIAQVHGARLKSSQKDVVIKVLKPGIEDTLVADLNFIYVVARVLEFLNPELQRTSLVGIVKDIKESMLEEVDFRKEAANIEAFQRYLEVMGFDRQAKAPFVYQHCSTKRVLTMERLYGVPLTDLDSIRSLVPDPELTLVTALNVWFGSLISCESFHADVHAGNLWLLRDGRIGFIDFGIVGRISPRTWAAMEVFLASFATEDYDAMASALSEMGATGTDINVNEFAKDLQKIFSSIQDLDTEIIVATARGPDATAVSANVVMDERQMNALFLDLVRVSESYGLKFPREFALLMKQLLYFDRYTRLLAPSMNMLRDDRINIASNRATRRVDQFQ >Et_2B_021053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25998859:26006221:-1 gene:Et_2B_021053 transcript:Et_2B_021053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARLLAEDMRKLEDGKVKELSLAGKWCPSLDKCYDRSTLICEAIARRLFPKGSAPEIPADLEDAYYAYRARELLRRALARLRRALEVPEIFVSAQAWGDVVYPRVASVAMKNYMDLFLKRDKKRFGQYLADVKSGKAKIAAGALLPHEIVASIGKDGGVADLQWERVVADLRAHGNLNNCIAVCDVSGSMEGIPMDVCVALGLLLSELSDDPWRHKVITFSAQPQLHSITGDTLSDKADFIRWMSWGMNTDFQAVFDKLLGIAVAGNLPPEKMIRKVFVFSDMEFDLASSRPWETDYEAITRKFTEAGYGASVPEVVFWNLRDSPSVPVTAQQKGVALVSGFSKNMMKLFLSGDGVVTPRLVMEKAISGPERAVSYTLAGIPSKYVAAALTPTTGNAHVDGNFNNPNAAAHPPPENGSPTFVSSGDPCLDFFFHVVPGTPAGTVTALLANAWAAEPNTALRLACNLRGVRGTGKADREGFYAAALWMHAHHPATLALDARSIAEFGYLKDLPEILRRIVHESRRPREVHRFRLSQPRRWPQHTRGCGGGTEEEVEQQEEETNRKIADEIAVKLRKKHAELAVKGIDRYNRDPAYRLLHDRTADLFAELLAEDVKKLAQGKLYEISLAGKWCPSRRSGYDRSTLLCEAIARRLFPRGSAPDLPEDMPEEYYVYKVRSRLRTDVLVPLRKALMLPEIFTTACAWGDVVYKRVASVAMRDYKKLFLKYDAERFQQYLEDVQAGKVKIAAGAVLPHEIIASIDGDGVADLKWERMVNDLRARGKLNNCIAICDVSGSMYGLPMDVCVALGLLISELSDEPWRHRLITFSERPQLHLVTGRTLLEKTTFVRQMNWMMNTDFQGVFDKLLDVAVAGNLPPERMVRRVFVFSDMEFDQASSRPWETDYEAITRKFTEAGYGDSVPEIVFWNLRDSRSVPVTAQQKGVALVSGFSKNMVKLFLDGDRIVSPRAIMEKAISGPEYRKLVSMAATAACWRAVSYSLLGPPAEFLRAAAARTTGDANSNKTSTSTTPQPAKRLTANGSPTFASSGDPCLDFFFHVVPDTPALTVTSLLADAWAAEPNTALRLACNLLGVRGTGKSDRKGFYAAALWMHRCQPATLALNAHSVAEFGYLKDLPEILHRIVEDGVPKRKSWKTARLSSARALTGFHETRAPPCILTEEEERAAAIKEREREIERRMRAKAAARAVERYSRDPNYRFLHDCTADMFADLLAEDMQKLEELSLAGKWCPSLNCRHDRSTLLCEAIARRLFPKGSAPELPEDMPEEYYVFQARNRLRKMLAHLRRALELPEIFITAHRWGDVVYSRVASRAMRKYRELFFKYDAVRFQRYLEDVQAGKAKIAAGAVLPHEIIASIAGDGVADLQWERMVNDLRALGRLNNCIAVCDVSGSMYGLPLNVCVALGLLISELSDEPWRHRLITFSNRPELHFVRQDPV >Et_7B_055969.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8205323:8207224:-1 gene:Et_7B_055969 transcript:Et_7B_055969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANQWSYEGNRRDAVCGGAVEGSHVGDPLRREREQWGRRGSYFRVGGHDPSAAEYARPAAQKRRPQYGSGGLPHPVHFVSGAASSGDPERSCIPGPCGPPWRAVAPPESGSLASNTVVANMMKRMNYREGSGLGKNGQGIVAPIELPLRPKNAGLGTVEGSSRDADEPPPSAENRPRWDDAGGAKKRFVREDFTGPERHDAAARAQKRDGEAISGEVILSRPLEKGIATEAVVKIQNALAQAAPGGQGNLCSGEEEEMAAIAKAMEWVQEESASGKLTVGELISKFKALKEKYPREYAAFGLADAARAIVAPALRSLFQHWEPLRDPSRGLDAVSAVRDALQDDGSAASPFAALVDDVVAGSALASAAATWDARDHAPMFAFLEAWGSTLPLPAVRRLLERVVVPKLAAAVEAWEPRWDPAPPCHAWVHPWAPLLGRCCWWPDLERRVYAAVRRKLGAALAGWHAPRAGADLHLVAPWKDAFGPEMWAEFVGRTVAPYLRAGLRALRVAPPQPEYAAFRAVMGWASVVEARDVARLLDEEFFGRWMDALRRWLLAARPEVQEAVAWHEGWRRLMTPELLADERVRVPLEAGLVMIRRWEQGLEIGRPGRWARRRGPFVPLQITTPAWGCWS >Et_4B_038143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26701148:26707944:1 gene:Et_4B_038143 transcript:Et_4B_038143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPRAAPAASKRPFASTSSTSASPTPQLMKKAKHPPAASPSAGTTEKNGIHLDPSSAIAVAAASGGRTNGEEDAEMVLADELPAPSPQASAGVAANLFRKKATLPQPSTSARKPLRIKIGQPKLPTNFEEDTWAILKDAITAIFLKQKLSCDVEKLYQAAGDLCLHKLGANLYERIKKECEIHIAEKISALVGQSPDLVVFLSLVQRTWQDFCDQMLIIRGIALLLDVKYVKNVANICSVWDMGLQLFRKHLSLSPEIEHKTVTGLLRLIESERLGEAIDRTLLSHLLKMFTALGMYSESFEKPFLECTSEFYATEGVKYMQQSDIPDYLKHVESRLQEEHERCILYLEANTRKPLIVTTEKQLLERHTSAIIEKGFTMLMDANRVNDLSRMYNLFQRVNAVELLKLALSSYIRATGQGIIMDEEKDKDLVPFLLEFKASLDKILEESFAKNEAFSNTIKDSFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGILDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMITKLKTECGSQFTNKLEGMFKDIELSKEINDSFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVKLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKADFPKGKKELAVSLFQSVVLMLFNDAQKLSFLDIKESTGIEDKELRRTLQSLACGKVRVLQKIPKGRDVEDKDEFVFNEEFSAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELYQQLKFPVKPADIKKRIESLIDREYLERDRSNPQIYNYLA >Et_10A_001109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21117478:21119920:-1 gene:Et_10A_001109 transcript:Et_10A_001109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREGIAVAGGHESGHGLFGADITMTEAREAVKEYHSSPSSPSTSPTPSPPPAAPGHGEEATATPLAWSLGSEKPSEAAGDNGMQTAGQGDHANLSSGRRRGRPRGSGRRQILATLGEWYALSAGGSFTPHVIIVGTGEDVAARIMSFSQKGPRSVCILSANGTISNVTLRQPDSSGNFTYEGRFEILQLMGSFTIAEEGRRRSGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVIVGSFLPNSLKQHQRRMSLQQQPSAIPALPAPMAPPPVFTAAVPISQAAPGNGYHAPPPSSAPHQAPANAAADHSATGMNLNTAAGFTMVGWPPSSESMAHRSSPDINVSLTPLD >Et_7B_053609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10253827:10254487:-1 gene:Et_7B_053609 transcript:Et_7B_053609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHTTIFWPKLFLWRRSGCSSSPAARSARRETPPSSSAGEPPGRARRRAEPRDAPPRRLLLHGGRGARRPRRLVQGDAEAAAPPPPPPVPEVRVHRDAAALPRHGRPRPRRAGRSVGIPRALPVRLGVGKAGEPAGARRAHLLLG >Et_1A_009319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38746413:38749220:-1 gene:Et_1A_009319 transcript:Et_1A_009319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEATTGDKELELLLQNFRRVSQVSGSAAPLLRSSSPIVVPRFAFALRPRPGYKDALTEVQALRVNYSTESKKCEALESHITDLKRDNERLRRLYTETLFKFTNQVKFHAESRSLKEELQKANSRLLSMEEEHKREIEQLKHSNKVNSDALESKLSKLTELSVDTISCALAQQAADGAAMKQLKLELSAHKAHIDMLRSRLEQVTADIHSQYGNEIHDLRDVIAIEQEEKKDMNRKLQNAENELRIMRMKQAEQQRDSIAVQHVETLKQKVMKLRKENESLKRRLASSELDCS >Et_2B_021564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30559302:30565998:-1 gene:Et_2B_021564 transcript:Et_2B_021564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATGGDSFVLRSGVRAGLKREFAFAIASQAALEPLGRTRRSSRTLLSPTADPKPKPKRPRQETNPQQQQQQQVEEEEEEAKELEHDVEQPAGPVLALMAAAEPSSHPPPIPASPPTTDADPAQHTHLFESSPRRITRSMLLKPPPPSPDNAAPKPEPPQQESEARRRFTRSLLLKDNNSNNDDDLSGTTTASNSSSSPPPNTTTPNKGTSILNTNKIPTNLKELLATGLLEGQPVKYIMRKGKRAVLRGVIKRVGILCSCPSCKGRKIVSPYYFEVHAGSTKKHPSDYIFLENGNNLHDILRVCANATSDMLESAIQKAIGPAPQKRTFKCQTCKRSFSTLRRGKFALLCDSCLEFKGARNSTRSSKIGRSPTSSANRCKSASPGSKTVSAGRLTRKDKGLHKVVFMSGVLPEGTDVGYYVGGKRLLDGYIKELGIFCHCCNTVVSPSQFESHAGRAARRKPYHNIYMSNGVSLHELSISLSKGQKMSNRQSDDLCSICSDGGQLLLCDTCPRAFHRECVGLSAMPKGTWCCRYCENRQQRESSLAYNNNAIAAGRIEGVDPLEQIFTRSIRIATTPETGFGGCALCKLHDFSRKKFSPRTVLLCDQCGREYHVGCLKEHDMADLTALPEGAWYCSFDCVRINQMLADLVRRGAEPITAVDMDLIKKKREERGLTEDGDLDVRWRVLKDKCSVDSKLVLSKAVAIFHESFDPIIQITTGRDLIPAMVYGRSVRDQDYSGMYCAVLTAGNNVVSAGLFRIMGSEIAELPLVATSRDSQGLGYFQVLFASIERLLASLKVKHFVLPAAEEAESIWTHRFGFTKISQDELREYLKGGRTTVFQGTSTLHKLVPEREC >Et_1A_008125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4532865:4542710:-1 gene:Et_1A_008125 transcript:Et_1A_008125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTALSSVILLVAGVVAMLVLHVLIVLWALRRGAVLLGASARDEERGAAAAGLSAEELGELPCHERKAGDVGECAEGAGGGDCAVCLEAFRVGDRCRVLPDCEHAFHADCVDSWLRKSRRCGGRAWQERRRGGGGRGRGDRAHTTVFLVVGLALIFAVHVVVVIWALYRARASARVAGHLEEARAGGVAGLSAEEVAELPCHEFKEGGGGTGDCAVCLEAFRDGDRCRVLPGCEHAFHAHCVDSWLRKSRLCPICRAVVAAHGKETTGNPAATVDAAALEIGGTRTIVIAATGLALMIAIQVLVVFWALKCRLGRESSSPIADAEEGNGRGAGLSAGEVADLPCHELKEGDGGECAVCLEAFRAGDRRRVLTRALWRRPPPWRSFRVVFIVAGLAVMVFLHVVVIICAISRARPSRRVAEHADEEQGGGGGGAGISAEEVGELPRREFKEGAASGGGGVECTVCLEAFRAGDSCTVLPRCCHVFHAECVGSWLHNSRRCPVCRADVVEQQHNKDAPADGDAITAEGLQRFEIDFDDDCQFTKEVREHGNAQWRIQQGSRGVSSPPYCRSDSEAPLEPLLRFSGH >Et_7B_053232.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:13611205:13615629:1 gene:Et_7B_053232 transcript:Et_7B_053232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNSYLDIPHQPLMHDPFLLMGCSAPSSTMENLGQSTLRMDCLGSAMANCSHSDEYTQTVSDIAAREEGSRLVLGLGPTPNFYSADYQSTGVHKSKQAQSLSGQGFPSADPGMLRLGLQMDAAEGIQYLQETNGTVHSFTVVDEASTSATVRNMGGYMPSLLFAPRSCSATVNEVQVENQDSLVLTDNSEHLQHYHQLSPEPSAMTESSFGVSSDVVTVTTTSEQRGHIRHPKKCRFKGCSKGARGASGLCIAHGGGQRCHKPGCHKGAESSSAYCKAHGGGRRCEQLGCTKSAEGKTDYCIAHGGGRRCEHPGCPKAARGKSGRCIKHGGGKRCTVKGCIRSAEGKAGLCISHGGGRRCQYPDCDKGAQGSTLYCKGHGGGRRCIFDGCSKGAEGSTPLCKAHGGGKRCMFEGGGVCAKSVHGATDYCVAHGGGKRCSVSGCTKSARGRTDCCVKHGGGKRCKVDNCGKSAQGSTDFCKAHGGGKRCTWSTGCEKFSRGKSGFCAAHGTLMAKQQEQGVVKNIGSMIGPGLFSGIVVSSATAASSMTNEHSSSGMSTASDCDVTVRSQSMIPPQLLVPRSMMPSWSSEPVDGGREGGYVVPEGRVHGGGLLSLLGGSFRNADVEKL >Et_3B_028336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13600521:13603885:1 gene:Et_3B_028336 transcript:Et_3B_028336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAGDMELLDFNSDDDDLMDDEAPEANPAPAAPRLRSTIAAGGDSSAAARKTKGRGFREEPSSSRPLSGRGDFDSLGSDDGPGPLRSIEGWIILVTGVHEEAQEDDLHNAFQEFGQVKNLHLNLDRRTGFVKGYALIEYENFEEAQAAIKAMDGTELVTQIINVDWAFSSGPAKRRNVRRRYDFDHNLPTTIGPLGAIIFWFGHQIYIENSIRRTKCSLQLVITFFLEISPSNSQHAYMKKYKFDVNSIFSLKKYYDCIIISQYICLWFCVLPFELQILHTHTHLVN >Et_1A_004536.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:13714343:13718660:1 gene:Et_1A_004536 transcript:Et_1A_004536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PPRVVSSCPFSVLAASVQSDDSSSDEKLNFVPADKPIVRRPRSLSSDSVAQTLRCLRSKPAVAFAYFKDTESIGFQHDLSTYSEIIQILSHSFQGKMLVSLFCEIISPTGGGGPEILPLMDHFGKLCATRHALSFAVNCLTKAYTACYDAQATAEMFTNLCKLGFVPSVWACNFLLKFVAQSGDSEMVIAAYDQMKCFQLTLDAYSLKIITRSLFQAKKAAEACQVWIEMTDMGVKPDLHGYSSFVIGLCDCGKYDLAYTFQQELTRNRIQIEAMAYNMLIDGLCKEMKLEEAEKVLENKVKQGSLDVYGYSYLIRSYCKMGDPLKALDHIERMQSHGIKVNCHILAYLLQCFRMLGKTSQVIKYFHKFRDVGILFDGVLYNIAMEAYCKDGNMDEAAKLLDEMTAGGLVPDKIHYTTLINGHCLNGEIEKACKIFEQMLTENIKPDVVTYNILASGFSRCGLVMEAYDLLEHMEGQGLKPNSLTYGITIDGFCRRGNLSEANLLFQAVVEEGIDNIEVLYSSMICGYLRKGFTGNAYKLFDRVVIEQGNMVDRFSCSKLITGLCRDGNLDRASTVFNTMLEKNVVLDVICYSKLISAYCQTGDMYSALSWFRDMIERGLPADVIVYTALMNGYCRAGQLKEACELFVQMTKDRIKPDVIAYTVLLDGHLKDTLQQGWQGIAKERMCFLLRAKHKELRSSMENKGIELDEQCYTVLIDGQCKAEYLEEARGLFDEMLEKGLTPDVCTYTTLINGYCSQGEISKAEDLLQEMIDNGLKPDVLTFSVLRNKSLRS >Et_1A_004576.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:19698055:19700670:-1 gene:Et_1A_004576 transcript:Et_1A_004576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRENQELQDALTCLAASLDGEVKNVQYGLALDPDMTEEREASPAAEAFVLATFCGGGVNCCCCCCCSSSCRWLLRRAARVAARRRKSDSLLWLPSPDLRRGGDDGGVARPAARIDSLAAADGGPGEGKAKSSPVSLEPVRDGFREIRGATVPLTAGIKDGSSEDATKPPPVAVEPMGVSGCFGDAKNERPPPLGLVLVGVSGPSGGGAANTKPPFAVGPVDVGVLGRSATAKNERKPVAVAPAGFIVCPRGAKNDGGSDLPGVQPAFSRNAKMFPGEAGGGSATRGAVSNENSPAGERVLPARDATGEGGGEGEGVRDGGGGGVDAGAGAGATSKGASSSSILLRTEEDGTRQRRFLRPAPAAPQGAEKATAEGAVSMRSSARCRGGGVRKKACGSAAAMASARAGGSWILGVALWGTGRRLVGILLGAVYGAAFLQLLYSAVIPCHVREAASGYIVVRKIRYVFFSFSFFFSFWKKGEARAGVRRQVVCIALCFPIV >Et_9B_064508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1633047:1636888:1 gene:Et_9B_064508 transcript:Et_9B_064508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLGVGRGATDDELKKAYRRLAMKYHPDKNPSPQADSLFKQVSEAYDVLSDPQKRAIYDQYGEDGLKAGAPPPSASAHAAGPGGHGFRFNPRSAEEIFSEIFGGAFGRAGPRGPGGGVPHGFPGFGGVSVGETSSGAAQRKAPPIERRLACSLEDLYKGATKKMKISRDVLDATGKPTNVEEILTIDIKPGWKKGTKITFPEKGNETRNVKPSDLVFIVEEREHPKLKRDGNDLIYTHKITLVEALTGCTVQLTTLDGRNLTIPVKSVVSPTYEEVVQGEGMPITKEPSKKGNLRIKFQIKFPTNLTTDQKAGIQQLLS >Et_4B_037179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1593748:1595599:1 gene:Et_4B_037179 transcript:Et_4B_037179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAARLAVPAAALLVFFAASASAANFTCAARGATCRSAIGYAVPNATTYGELVARFKTTTLRDLLGANGLPPDTAATAPIPAKTTVNIPFRCRCFGNGVGQSDHAPVYVVQPQDGLDAIARNVFDAFVTYQEIATANNITDVNLIVIGQKLWIPLPCSCDQSDGADVMHFAYSVAGGDSTSAIAAKYGVSESTLLSLNGITDPKTLQKGQILDVPLPVCHSSISNTSADHDLRIPNGSYAFTAQDCIQCSCSANTYQLDCIPAQGKGCPAVPTACQKGGAVRSEFAGSMWRMSVISFHM >Et_8A_056142.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:3632735:3633817:-1 gene:Et_8A_056142 transcript:Et_8A_056142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDKRDQRGSSKKARVESTQVQVKQEMEEEGGLGGGGGGGALVAAEEAAAPTEVVEAAAAREEFAVKIYKDKLHCPVCTLPLKPPIFEVRLLHIHSNRSQSRIQQIIILFLAYFYRQVVPFLQCESSGHIVCGACHDQLPKDKCYACGVDGAYRRNATLDAVVGWFRILCPYAEYGCRTHVTYHAAGDHLLACPWAPCRCPDPDCNFLGSPPMIRDHVAAAPHSWPVEEIRYGAAKNISLPASQPRCLLVAAAEEEGDGGGRVFLLSTRALGSSSSSYRGTSVVCVRANAAAGPAYTCTMWATGHKSALSGRAEVVMVQATEVPSSAAPGEDDTAEEAMRAWS >Et_1B_012912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4075256:4080114:1 gene:Et_1B_012912 transcript:Et_1B_012912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATLPRPTAQLQAAAGVPHGPGRFATVAARRKVLLRPSTRAPFLCLAIASCRAVLPRAPPRRVGFPGTAEKKDKKYTPALATPNVPLVLASFLPKKQKQKPPKPLLRCTSLERNALAALLSSALLCSAPSIRSGQSFRRADKRAWACPAPDMREQEAASGETAPGRRGGAIRALLGVERRLDGAPTEGAAVSPGKASGAGGGGGEERKAAVVRVVAADMAPALQRRAFRCARDELAAMPHFPRRLEPKRLALALKKEFDTSYGPAWHCIVGTSFGSYVTHARGGFLYFSVDKVYILLFRTAVEPSSPIDDERANGTIPYGLPCFECFLSKSCNLLWAGWSSNLIASYDALLDTQGGQLQPGYKALQELECGAQQTSDHGGYACLGGPLDGRLQLPSSSPLLGVLTPKLRSSDEIDRYLKQRDYGK >Et_1A_009142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30768612:30772300:-1 gene:Et_1A_009142 transcript:Et_1A_009142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILLIALSTSQIAFSLRPSLGVCRASGYLPGKSGNCEKSNDPDCCEDGKQYPQYHCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDQEKVVALSTGWFNNMARCGHQIKITANGNSVYAKVVDECDSVHGCDDEHNFEPPCDNNIVDASPAVWNALGLDQNVGMAQQPYRTLHPIEKIKQDLVSAIVNKKA >Et_10B_002460.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:8590520:8591077:-1 gene:Et_10B_002460 transcript:Et_10B_002460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GLGRRVSDSSGQKKGSRIGQGFVLALGHHAQQSDITIDGAHLTGTLFGARIKSWQGGRGYAKDIKFMNMVMDNVKNPIIIDQNYCITSDPTKPQVCEQQESAVQFSNILFKGTTATKDAIKLHCSKAFPCRDIVLQDIDLKMKAGGKKNTVTSSCENAVLSKTSNVSPVACTSAVTKEEIAQEQE >Et_10A_002271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6442465:6445131:-1 gene:Et_10A_002271 transcript:Et_10A_002271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLAGGGEDSSACSTPFASAPSSPSRDPSFSAAAAGGFYSAPASPARGAGPGAAGDDEYGFGFDFDFSSQFPSPVASALPMMSSADELFHNGQIRPMRLSSLLLRPQAPPPLAGELRTEPEEVAAAAGADERVRFRSRSVHRRTRSHSPFRPHWLSPSSPEHAGDPETAPSASRSSSSSSTVSSASSSSSSSSSHHRRWRFLKDLLYRSKSDGGGKHHPPPHSPPPPPAASKGNLSPSAARGRGGRSRSSAHARLYEARRAEAEEMRRRTFLPYRQGLLLGCLGLSSRGYGAMHGLAATAGAAKFRAKIHFRITGMD >Et_8A_057856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8418656:8423770:1 gene:Et_8A_057856 transcript:Et_8A_057856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKAIDDSEPGPAPSRGVDRFGFIKPEQSSNSPEGISKSRSLHEREKEERRIRKWRKMIGVGGSDWKHYVRRNPHVVKRRVRKGIPDCLRGLVWQLISGSRDLLLLNPGVYETLVIYETSASELEIIRDISRTFPSHIFFQQRHGPGQRSLYNILKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLFQFEKLVQEHMPKLGQHFIEEIINPSMYASQWFITVFSYSFPFHLTLRVWDVFLYEGIKVVFQVGLALLRFCHDDLVSSRLEELQKEYQKKMEGSNETSSNKRLQPLKSKTMARAISRVVSNVTLDKK >Et_4A_032825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14325140:14326787:-1 gene:Et_4A_032825 transcript:Et_4A_032825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKSMERNSSFAMACSLLSRYVKQNGAAAGELSLGIKAETEAQKTPATMNLLPASGADAENGEARKETMELFPQNAGFGSEYAREQEKRQLTIFYAGKVLVFNDFPAEKAKDLMQMASKGASAIQNSGLVPSSTTAAITDSTKVSAMPAPPNAVVNAQKSAADIPQAPKASLRRFLEKRKDRIQAKAPYQASPSDATPVKKEMPESQPWLGLAPQSSSPDLSLRRE >Et_3A_023427.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:26814820:26814855:1 gene:Et_3A_023427 transcript:Et_3A_023427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCSKGRRKH >Et_9A_061786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16414543:16416270:1 gene:Et_9A_061786 transcript:Et_9A_061786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRVPDAPTSTRNGKKGKGEKGKSRAHWSEAQTRFLVSMMEYNLSARYRGQNEWTKEAWNNMTKRLNDKFPTSNFTLSQLKDREQRLKKDHNIVKSIVSKSGFGWDPDINMATAIDEKWKKLSEDQQKWTNREFSYYDDLDIYDGKVAEGKRCRRTSDCHQERANAYLSSQEDPPLETEQEFDMGSPIATRGLGFDYEDGIDINSTNINSTDDTYATPSHIPESSHTQHSIPEGQTYANSAPRGQRQPATPIDKGPEKTKQRKNKDTALESLVALRKEELESYKELKEKQIENYKQVKLAQMERNDPINDPYSMARCIVKLKEIGILTAPDTVKAINYLKQDRLNREIFMSIDVFPVLVEFIREAISN >Et_7B_054474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21710292:21713319:-1 gene:Et_7B_054474 transcript:Et_7B_054474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVNTTEEEPMLAVVRFTAELAWADAGPEVAEPEVARLCLEAQEHILAGRWVDMASLMLASADLLLNLVTKAGTEAEALQIARDICDKLSHQPDDKPALRLKVLYNLLLPSPTGKAFVYKKALELATAAKAAEYIIPSFKNIDSFVSDWGIGNVEQRELFLAVARILKDQKGMSKEYFNFLNKYLVTFKGSEEDADAIGAAKEEAVAAIIEFVKSSTLFQCDLLNMPAVAQLEKDEKYGLVYELLKIFLTQRLDSYLEFQAANSNLLKDHGLVHEECITKMRLMSLLDLSSRCSGEIPYSAIIDALKISEDEVECWIVKAIALKILDCKVDQLNQTVIVSRHTERIFGMPQWQALRTKLGVWRGNVASAISTIQANKIADEGTQGMQGLMIR >Et_5A_040372.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1818294:1818371:-1 gene:Et_5A_040372 transcript:Et_5A_040372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRCGLPHDDAHVTHTWCSLFLANM >Et_7A_053072.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6001016:6001561:-1 gene:Et_7A_053072 transcript:Et_7A_053072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEATRPCSSDHSYELPLRRNLLLLLDLLDVLRFIGGVLLNRLGVAPCHGDVVRLPWDGELLLDAERFLEAASRAPATTIGTRPVTAPRYRRRRPAPQPPADDAAVCAICLAGLEAGGCQQLVAELCSCSHAFHAACIDAWVGSGDQAAATCPLCRAPVSPAAWDAPLPRAGGTYARAPR >Et_2A_014596.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:26691297:26691914:1 gene:Et_2A_014596 transcript:Et_2A_014596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGNTLCRRNNKKEKNLDLVDVLVLELDVVAVLDLPLRPVDVDALVEPERVGQLAVGLEQPGLVVHVLEDDVGLVVLVVAEADEDDVPGGDPDLLVHLAPDVAEAAGAVDADGLAPPVAEHAGHLRVLLPVLLEHQLALVVVGLVLTTLAVLASLPLVLRHLGRRWLAPRRRNPRLRRDRDWGASCGVAEAVCLGRSWAAPADL >Et_7A_050759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10858985:10866804:1 gene:Et_7A_050759 transcript:Et_7A_050759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQKKPGKGKEKTERKTAKGEEKRARRESRKVGEEDDIDAILKSIQKEEAKKKEVHVEENVPAPSPRSNCSLTINPLKETELILYGGEFYNGNKTFVYGDLYRYDVEKSEWKLVSSPNSPPPRSAHQTVAWKNNIYMFGGEFTSPNQERFHHYKDFWTLDLKTNQWEQILAKGSPSARSGHRMVLYKHKIVLFGGFYDTLREVRYYNDLHVFDLDNFKWEEIKPRPGCFWPSPRSGFQFAIYLYGGYFKEVSSDKEKGTVHADMWSLDPRTWEWNKVKKTGMPPGPRAGFSMCVHKKRAVLFGGVVDMEVEGDVLMSMFMNELYGFQLDNHRWYPLELRKDKPAKNKAKDSKRKESANDLEVNLANDEDEVMEDVEEATDRQSEVHGVSNHLTKTLTITKAGSSKSIDVLSDSTNQETPPEAVKPSGRINACMAVGRDTLYLYGGMMEVKDREITLDDLYSLNLSKLDEWKCIIPASESEWLEISEDEDDDEDEDDDDSGGDADQTDEDQDGDESDGDAEKNVDMSSAVSLLKGESKTMRRKEKRARIEQIRAILGLSDSQRTPMPGESLRDFYKRTNMYWQMAAYEHTQHTGKELRKDGFDLAETRYKELKPILDELAVLESEQKAEEEASAATSSKKDTKKGKQKSAGR >Et_8B_058701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17073781:17075100:1 gene:Et_8B_058701 transcript:Et_8B_058701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWAEAGGETHAIATLSPEKPAMVVPGGVVLRGEFALRLDSEDDTVRIYYRFLPDRARAPGARLIEPTLVAGVELEPLDEDEYDDDDSELEDSDGELDLTIEDDDAEHYDSDNGEDGEQRKGRDNGDGESSKSATAALVAAPAGIVVPDGNLLGPARFSAVENTAGFMRVVAAAEEAAGSQDGGDKEILVLYRYTRFSRTWSGRRGVEACRRTKLHWLRFAVPRAGDMATSLAWAGASLGPLIYPALFRRQLHELWSSLLAATSAVPPQAARVQVVVDVGILRRDDHTPERMEHMRGEMDAKMREAWPEYYHVGMELRLPEPVPDNDAEHGETDDVDEEECSVCLDPLESGLAAWPGCRHVFHGACVEKTLAGSDMCPLCRKKLSDQPV >Et_7B_053312.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5087713:5088282:1 gene:Et_7B_053312 transcript:Et_7B_053312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPAPCSNGVDALPVAASLSGRYVLSWTYAVVMSADLICAGLQSGWLALTSAATPATCGHDMDVPDRMLNPTRRRSLGKLDGLASPLHAAKMFTPGAMTSGLRISSVRKLGPRDENAATTGDGRIPSFVPPKLSVAVGSGEVLVYFLAAMPSDSPTATAGRRWLSATSSSPFAGVLASIMPIPPAFFTI >Et_8A_057123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21339881:21340904:-1 gene:Et_8A_057123 transcript:Et_8A_057123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVSYEEQRRRQIEANKRKLEELQLHQLSAAVREVAAAGKPSPVKKRKPRVPRNADGAEADVLRRSGRVANLPQKPQYREVVPDFERKIRRSSGRGRRTDLDNRVYATEAARTYAINKAEKLEKGLKSRSPRFVKAMTQSHVTGGFWLGLPIDFCRKHLPKKDEHITLVNEDDELFETLYLASKRGLSAGWRGFSIQNNLVDGDCLVFELTEPRIFK >Et_9A_062332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21960702:21961517:-1 gene:Et_9A_062332 transcript:Et_9A_062332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPSSSLRALALVPSPLPSARRSGVAAPASHTVCRRRRGAGLVARAAALPSDAQWLERLPEKKKPLYTHSLPCIEAWLRSLGFTQTREDPAVWVAEKPLWHARLSLDVTDLHIRYLKSGPGNLEKDVERRFSYALSREDIENAILGGP >Et_7B_054780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4414063:4415447:1 gene:Et_7B_054780 transcript:Et_7B_054780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHMGRRTVGGLLFTKGGSILLFREDNSRHKANNCCSRHGRSSRHSVDKGKGKELHRAAVPTESTPATSRRPQISKNPSRKPPQPQDSGASDSISRDAGSSGSETGSRPKDAPGRDLIARLKERVNASRKRSLTRENSPSSSSNGVSAISSPSSRSISRPSHRAVSRIRKAEEGPSTRADRVHRNSTRDVRRNSERSDDDLLLAEQITRDREPAEGFLSGFMARYRNGLQGAFSSLEDGIEDSNGYWRFDMGGTEEELLALGERIGTVNTGLSEDALFKCLNRSIYIPTTSSFHEDSDRKCSICQASSFASDSGEEVGKMACKHYYHISCIQHWLRQKNWCPICKSVALKTN >Et_7A_051944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3045070:3047752:1 gene:Et_7A_051944 transcript:Et_7A_051944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANVSLRAQPRAWIGLEKPRGAASARVPARFAPQKQPTSRLVLGADPRRSTSPVPAERLKASCCQTTAGTERVHYSADEALILKKKAVDVLPYLDGRCVYLVGMMGSGKTTVGKILAEVLGYSFFDSDKMVEKAVGMSSVAEIFQLHSEAFFRDNESEVLKDLSTMQRLVVATGGGAVVRPINWSYMKKGLTVWLDVPLEALARRIAAVGTASRPLLHQESGDPYAKAYAKLTAIFEQRMDSYANADARVSLEHIAIKEGHNDVTILTPSAIAIEALLKMENFLTEKAMVRN >Et_3A_025878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33673786:33677621:1 gene:Et_3A_025878 transcript:Et_3A_025878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFIKLRTCRYKYQLPSLHFVTPTQPTTSNPRAFPQKPLEPIIHLTMAKLAGFLLAAFAVLLALTAPSVAGDPDMLQDICVADYKSLKGPLRLNGFPCKRPENVTANDFSSNVLASPGNTGHAVGSAVTAANVEKLPGLNTLGVSMARIDFAPWGVNPPHSHPRATEMILVVEGSLDVGFITTANKLYARTVCKGEAFVFPRGLVHYQRNNANSAAVVISAFNSQLPGTQSVAETLFGASPAVPSDVLARAFQIDGGLVEGIKSKFTPNFVCYDWSILTFVSDDFVASYHGERLPAVVLATCAVVLALAAPLLAGDPDMLQDICVADYKSFKGPLRLNGFPCKRPENVTADDFFFDGLAKAGEVYSGNPMGSAVTTADVEKIPGLNTLGVSMARVDYAPWGGLNPPHVHPRATEMLFVVEGTLEVGFVTAAGNKLLARTVSKGEVFVFPRGLVHYQRSVGEAAAVAVSAFNSQLPGTQTVAAALFGAAPAVSSDVLARAFQVDGGVVESIKAKFPPK >Et_8B_059442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1806334:1811706:-1 gene:Et_8B_059442 transcript:Et_8B_059442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKAPAAWDLAELEHDAGSAAAVAAAGPSGAHVNAAASGPGGRAECSVDLKLGGLGECELGHGHGHGAALASDRRREPAATGKAPASSSSSPGTGAAKRPRPASGGAGGAGQQCPSCAVDGCKADLSKCRDYHRRHKVCEAHSKTPVVVVAGREMRFCQQCSRYNSRQFLSLNHYPSPLAFFFVFHLLAEFDEAKRSCRKRLDGHNRRRRKPQPDPMTSASFITSQQGTRFSPFTSPRPDANWPGIIKTEESPYYTHQIPLGTCNRQHFVGSTSAYTKEGRRFPFLQEGEINFATGVVLEAASVCQPLLKTVAAPPLDSGGGGGSKMFSEGLTRVLDSDCALSLLSAPANSSGIDVGRMVRPAEHVPMAQPLVSGLQFGSSSWFSRPQAAVSAATAGLESEQMNTVLGANDNDMNYNGMFHVGGEGSSDGTSSSLPFSWQ >Et_2B_021940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7056920:7075234:-1 gene:Et_2B_021940 transcript:Et_2B_021940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRRLLPQGCAHRAVTHALSPRPAPAMLQFGVSQRYSCASLPFSPFRPCNMRGVAFRSNSARQMSSRVSSRVALQLKSSAFFTTGLLGKRSSICFGAADSCGITLKTNAIGNSRPFTTACSKKLRFLVKSKSSFGNPNMRREDASFAHRLFHRSEKQQSTLAACSIITDEALASSGNTSTSVADTKDTTKRKSRKGSKKEVTEDVKEKDAPTKKKKPFSRTRKAAAKTTEEIGTDQENKKVGTSKSKKAADSTKEKKMNNRSKSKTKASTASTTPSKADICMKASEDGSGNDRKPLVPLYPPTAKSVVVVESLTKAKVIQKYLGDMYEVLPSYGHVRDLAGRSRSVRPDDDFSMVWEVPAAAWTHLKSIKVALKGAENLILAPDPDREGEAIAWHIKEMLEQQDALGSDVTVARVVFHEITENAIKTALMSPRYIDMNLVNAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQSAALALVCDRETEIEMFNPQEYWTVQTDFETPNAERSNGTCIPSRIKHLNSKTLDQLSICSQEEAQAIEKKIHSSQFEVIGVKRSKFHKNPPMPYITSSLQQDAANKLHFTAGYTMKVAQKLYEGISLSSEEATGLITYIRTDGFNISDGAAEDIRSLVKERYGQEYASENIRKYSKKVKNAQEAHEAIRPTSIRRLPSSLMGILDDDSLKLYTLIWKRTLACQMESSRTEMIQIDIGTPEGDMIFHSSASRLDFMGYRAVYEDTEASPSDDAEGDSVHEDNFEALSKLKVKDLVSPVSVHLAQHFTKPPPRYSEGALIKKLEELGIGRPSTYASIMKVLKDRNYVTTKNRALHPEFRGRMVSAFLLHHFSEVADTSFTANMETELDNVSAGSTEWKGLMKDYWERFSKYCADASQLDGRKIERMLEEKFGSILFPDLDSDSRICPSCSEGTLRFKVSRYGEGYFIGCDRHPKCKYIARTLSEDHDDTEPSEETQRSFTPRLLGAMPDSDEKVFLKQGPYGYYVQVGEDRKGLYPKRASLSDVKDVDSVSIEDAIDLLQYPKHLGKHPDDEHPVLITHSKAGYNVRHRRTLAPVPKNTDPKKMTLEQALKLLSGKNVKQFGRPKGKAVKKEPIEWH >Et_2B_020414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:220238:227578:-1 gene:Et_2B_020414 transcript:Et_2B_020414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQGGGGDGGLLEDVLIAGGLLLVQCTIAGYLVFMGHLLALGADPLAVIVVVNAATSAFFLPFAVALERTKWPSKISLTLMAQFVFIALAGTLGFQELVLLGIKKTTPAIASAMPNLGPGVIFIIAACLRLEKFDKACKYTQAKVLGTLVCLVGAMALSFLQNPSSSFSPPSDQNNTEPGSYYDWILGCSYLFAGVIVFALYTVLQAAALVSFPAPLTMCTITCAMGSVFTALLQVILKGKMDMGSPRIDAMLIAGIVGGVVAGACLVFQTWCLGKKGPLFVSVFGPVQTVCSAILSAALLGKTVSLGSLAGMALLFSGLYIVLWAKNKERLCGDHLEGENADVEKALLS >Et_4A_033731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26235241:26239023:-1 gene:Et_4A_033731 transcript:Et_4A_033731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVISTEHMETERRMDPALYKAATQGKVSSLKQLVEKDPTILRATTPQLNSALHLAALHGHADFAGEVLERNEDLLVARNDDGDTPLHLAAKSGKQDVAKLLVVHAQALPPDQKSPLIMTNKAGNSPLHEAVSHRRGAVAVALLEADPLRGHDLNERMESPLHMAALEGLANVVRMIVDHAWVDHQFLPSVSLSGTALHQAVLGGHIRIVEILLEKRPELIDLTDSDGNNALHYAAQKNHSRAVEMLLSKQSELAYKRNLKNLSPLHVAAHYGSTDAIKALLRHCPDVAEMVDSYGGNAFHTSVTSGKLNALKCLLRHVRPAELLNRVDHGGNTPLHLAAKMSRVTSALLLLKDNRVDPCVRDHDGQTARSLVEMKLHTGEMDAYEMYLWKQLKHQESKRCRKQQLPPMAAYPSRRATNEKYFERIVETYILVATLIATVTFAATFTMPGGYDNTTGLALHRHTMAFKIFVISNTIAMCSSIVVVFCFIWAWQDPVKFKVDQLLWGHRLTVIACLGMLVSLMTAVFITVDPHTRWPAYVVIAIGASTPVVVFLMLGREVIYVPL >Et_2B_020672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22588056:22588499:-1 gene:Et_2B_020672 transcript:Et_2B_020672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AVRCYATQTQNIQMKSSTATIQRADPKEKVNGPELDDGSGGFPPFRFGKGGGGGGGGGGGSNYFGGFFLFACILLLDYINEIERNLFLQRHQAGDQASIGLV >Et_6B_049482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5461085:5465778:-1 gene:Et_6B_049482 transcript:Et_6B_049482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMERRRAEARVRHGGHQRAELRGTDEPREVQGGGRICAGAAAGVGQWESQGGGREARRRVEQSCSGVGGAGRRADLHRRGRVRGARRLAKPASCSRRCLRGGDAASPHSTQGRPSALKTTQRVGSSCRLDTARGSKPPVGRTKRRIPSYISRRELNSATCCPGLGSSRPFGIMSSVPLLGHDADGEERPSSTTCLGSVVDTAEARAQVGFAVPMVLTNMAYYAIPLVSVMFSGHLGDVHLAGATLGNSWANVTGYAFMTGLSGALETLCGQAYGARLYRMLGLYLQSSLLMSAVASALVSALWCFTEPVLVWLRQDPEVSRAAASFVAAQVPGLFAFAFLQCLLRYLQAQSVVAPLVACSVAPFAAHVAVTHLAVNVLGLGLAGAGAAVSATFWLSCLMLLAYVAWSDAFAETWKGFSVEAFKHVLPTVKLAAPSAVMVCLEYWAFELLVLMAGLLPNSTVSTSLIAMCVSTEAIAYMITFGFSAAVSTRVSNEIGAGNVDRAKNAVSVTMRLSVLLAVSFVLLLAFGHGLWAGLFSGSAVIAAEFAAIAPLMMVSIVLDSAQGVLSGVARGCGWQHQAAITNLVAFYLVGMPLAILFAFKLKFYSKGLWAGLICGLTCQACTMLVITVRTKWSKLAEAMQEEKANYVA >Et_2B_021380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29152519:29154039:-1 gene:Et_2B_021380 transcript:Et_2B_021380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMILDWRSVGSLIATFMVFRTALRDFLPPEAEMYLRRLIAWVAAAFRGPKGTIIIDEADVGASGGANDLYDAAQLYLGARCLATAPTVRLHKPRQASRPAASLPDSHTTLDTFRGVQVKWTSTARTVERGGAGAGFNPYGMYGRGGGGDQRSLELQFPRQHRDLIHNHYIPHLIDEATRMRLRSRERRLYTNRATAPGDDFHRLWTSHTFSHPSTFDTLAVDPALRDEIRADLLRFAARREHYARVGRAWKRGYLLHGPPGTGKTSLVAAIANLLEFDVYDLELTTSISLSGVLNFVDGLWSSCVGERLMVFTTNHPERLDPALLRPGRMDRKIELGYCSPAALRVLAKNYLGIGDEGTEDPDDDPDTVNGLMAEAEGLLADEVRITPADIAEVFMGCDGAGAAATLRKLVVELRRRRDAPATSSPQPKESTDDSTD >Et_1A_007822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38614271:38618605:1 gene:Et_1A_007822 transcript:Et_1A_007822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEAATASSPLILDLSACGDVYSHCFGFALGRCGRQGLVALRRFPGMYVSMAGNIIDPAKCRLMTVEEKRELVRELSKWPESAPDRLQSWSRREIVEILCADLGRERKYTGLSKQRMLDYLFRVVSGKASGPVEHVQEKVPIPEPNPSNHQSPAKRPRKSDNPSRLTVANNSSSADVAGPTHNTRFCQNVACRATLSAEDKFCRRCSCCICCSYDDNKDPSLWLFCSSDQPMQKDSCGFSCHLECALKDERTGILQSGQRKKLDGGYYCTRCWKQNDLLGFWKKQLVIAKDARRLDVLCHRIFLSHKVLASTEKYLSLHEIVDTALKKLEAEVGPISGAPNMGRGIVSRLAVGAEVQKLCAQAIDAMETMFCGEARVNLQGMFAFLFFMLVLSHSHHWNLLIVHVSISGPIPANFIKFEAVTQTSATIYLDLDKCPVPAGEVTCFNLWHRVAVTESYPSAPIGIILAPLKTFLVTGLVPATSYIFKVVAFNKTNELGSWEVNVKTSCQKEDTRGSMPGGAVLDQNTESPKTNSDGQSDPSSEGVDSNNNTAVYADLNKSPESDFEYCENPEILDSDKASHHPGERMDNLQNIQMAAARVTEVIELDEAPGLSASALDEEPNPNTQTAPPRESSNSVEHNQRTPGNSSNKRSGKLDDISRKDGCAEASYEYCVKVVRWLECEGFIETNFRMKFLSWFSLRATPHERKIVSVYVDTLIDDPVSLSGQLFDSFSETIYSKKRSSMHSGFCMDLWH >Et_1B_011745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25584297:25585654:-1 gene:Et_1B_011745 transcript:Et_1B_011745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPLTCAHRFSPILSFEGRQGTREREQIESRLDRIRVITNTPRRLSRVVLDPMASGGRGSMEWSSMPADLLLAVFALLTSDADRVRFRAVCTGWAAAASAWRPRPWLVGSHTDRSGRTAGAISSFWLSPSGSLAPFSAAVPAGFEFLSSSHGYLALADTSRTKPKAIVLVNPVTGRHIHLPPIAFFKKWLDVATVVLSADPGAAGELAAVAVGFPTSCLAYYNSAKGTWTKLDFSVTGYAGVEHYNGRFYVAFKSQICVCEVDGDAPAVIPLEHVDGEGDGDGSDDEKVPGCGRRVLETHLVECGGELLLVSVRDGLEYSSDDEMGVDLVFDISGGRNVRAVEVHRVEWIGDGAVRLVQVVDIGWNALFLGRNRAFALSPEEFPACRVNCVYLVDRQGHPDGIVRVLDMESQRARREDTICPDDGRRGSPAAGWARRGWFFPYY >Et_8A_057192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22123455:22124666:-1 gene:Et_8A_057192 transcript:Et_8A_057192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIWSLLLFLAQLRTLHSTSTAHAGAGNLTRLSAPFLCHPDQAMALLKLKKSFSFGKSTTTLLSWQDGTDCCFWEGIGCDASSGNITVLDLNNRGLSSYDLDPAIFNLTSLRRLDLSMNNFGRSWNNIPAIGFERFALLTHLNLSNLGIQGQVPIGINKLVNLLSLDISTSVIANSDSLNRDNNNNLYESNFDTLVANLSSLRELYLDGVDLSSNGEELYISLATSVLSLAFCELSGPIHKSLSTLHSLMVINLENNDGITAGPFPEFFLDFLNLSVLQLSGIKLEGRFPSRSFQSKNLRVLDLSSNQDLSGHVPNFSNESSLETLILDETNFSCTKPLSSSNFKLLKELSLDGNLASMDFLNSLGRLGSLRQLDLGLDSVNDLESIFTWIRNHKT >Et_6B_048646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1275134:1280304:-1 gene:Et_6B_048646 transcript:Et_6B_048646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKNNYYKEKMMRRKEEKKEELETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGTDLRLADAQKLSIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPDTEDDKDVKSRSTKEDKAVSFRTATAKEDGLTNDIPTTLHRSKADCPVPEEMVTVSVDGSVLDRIAKIMAYLRLGSSGKVLKKKKKERDTKGKNNLASGDYEDPVKPSQPNGSALKNPSEKAMPPPPPPPRNNNSNGTEKQPVPIARTDDDDIFVGDGVDYSVPTKEMSQSPVSEDMEESPRNNQKQSYFNEPMYGPVPPSEPTQDWQQPNGYDVVQAQMAAAGYQGDWSNYAYAEQQLAYPEQYVQQSAQEYDILADPSVSQDPRFMTQADKDRGLGSVFKRDDDRLKQLREKDAREKDPNFVSDSYSECYPGYQEYNYEVAGSDEEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNDEKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINKILARKKGEKDGDDDGGHYDDDLPSAKKHRG >Et_7A_051575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20566048:20569694:-1 gene:Et_7A_051575 transcript:Et_7A_051575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLASTSTGAIGSLLMRLATILRDECKLPKDVRGDIEILKKEVEAMHAFLLSKADIEEPDEQTKLQVKDVRELSYDIEDNIDKFVVHVGCVSIPSQGFKFLIERCKKLTNDVMIRHKIHKKVKDIENHGKGVSERYTRCKVDESSCKTRNAAVDSRVLPNGASDFVGIDGPTEELIRWLKHEGETTQQLPKVVSVVGFSGIGKTTLAYQVYNKVGPNFDCQAFVSLSRNPDVTKVLSSILFQISKQVIYTTGDLDAINKIREFIKDKRYFIVVDDIWDVPTWQLLEQTEDQWDRVRKTEVDGMRDILSLCYFDLPHHLRSCLLHLALFPEGYVIKRVPLVHRWITEGFIHGEDGQDLVELGDMYFHELINRSLIRPLSTSYDGKAWNCLVQNTILDFLVHKSTGENFGILLCNHSNLPHLQDNKVRRLSLLENISEGHVNIFPKLNKSHVRSLSVYEHNKQLPSIMGFISLRILDLQGCYGLKNSDLINIGRLSQLRYLNVADTCINELPIDIGCLQYLETLHAEFCYLVELPESFIWLQRLMRLFVFPSGIIILPDGFGNLNNLQELDYIDASKCSLNFLQELGKLTNLRKLSIKWDTDEIEGDEESYMETLVSSLCNLDACNLRNLSLELTLSRNCGHDHQDIFFPALSSIRSVTLVSPDIFKITRWLVSLVNLEDLSIEVNAIEQKDLELIGSIPTLQGFKVFLCETCNVGPIIIRQGFQQLLEFSIEPESKGLMIQAGAMPMLKNLELDIHPEKFKSAVGGFDFDIKHLYCLSSVSLNIYCEEVRATDVEAAENAFKTMVEMHANRPKLFIDRVLESYMVQ >Et_7B_054591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2611842:2612211:-1 gene:Et_7B_054591 transcript:Et_7B_054591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVVQLKDMFFALVERITDYGRAQDQDAAAAGAQGPANVVKHSEIRARGEKSMPDDVPDVPRGSLPQVHTGNL >Et_4A_032885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15064245:15067623:1 gene:Et_4A_032885 transcript:Et_4A_032885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNFGSRTCTHVHWSHARRKEDRWNSIAAFGATMGIHYGRRGPVEAVRAAVGGAVFSALIHVADITVDRIVGSEPPSAVAKDGTRPHATLAPAALSPAPRTASSGSHRRHPSYRDGESNVADVVGQREKLMASGRLLQTRASRCTALRASTMQGGGLEMGRGCAEQGRQPRNSAFFWGTGRARRPVPMKKMTKERKAKYMKALGRERMAPSHQYSLSGNVVPHESDGRARTIQITMPLTEYGVKEEQHPTEFRT >Et_3B_028600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17175076:17177748:-1 gene:Et_3B_028600 transcript:Et_3B_028600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLCARAAPALLLSRRVLSRRLAGVSSAAASSSGQEGAGGVEFTGRVGFLGLGIMGAPMASNLIKAGCDVTVWNRTKSKCDPLLSLGAEYQPSPAEVASSCDVTFAMLADPESAVEVACGTNGAAEGLAPGKGYVDVSTVDGASSKLIGERITSTGASFLEAPVSGSKKPAEDGLLIFLTAGDESLYKRVAPLLDVMGKSRFYLGDVGNGAAMKLVVNMVMGSMMVSFAEGLLLSEKAGLDPNTLVEVISQGAISAPMFSLKGPSMVKAAYPTAFPLKHQQKDLRLALALAESVSQSIPTVAAANELYKVAKSLGLADDDFSAVIEALRVKAQVTE >Et_3B_030664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6003763:6005289:-1 gene:Et_3B_030664 transcript:Et_3B_030664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFRKLKRGISTKRGDHLVQNGQHPFDEGEEHQRYWPSPRAPPVSPSESPRTPGGSQKKAVLGKVKSKAKKWMHLLHHKKKPAQEELMWTPRAGPSGEDTRGRDDQLDAYLRTPRGDFDRTPEVFVDASPRQNSPAPSPTAHKEQPYFKVSSRFESEMKEANEMLRESKQLRVNTTRQKTVTFAPTLERELGNDKNGRSNRELSEAATEVFRNAYGTLCQTVLKMIYKIQEIMVAYNIDRRHMLEKVLSVNRYLLQKLEPGQDDKVLSEVITEVVLDLFDTWIENVERPLVQRAKEISSWFLKEGREVTPPVPLSTQPCVYEDAEEFYSLEN >Et_9B_065342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:461687:468100:1 gene:Et_9B_065342 transcript:Et_9B_065342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VPRLRCSLLKDFDEHKSHVLKDELAAMEPFTEEAKEKEEKRMQHLRKMAHYAKLRQRQSELEDRIRDYDPKQEGQYYTRLSSVDLTIFDLDKESPLGPMRFTDAVYKDRCDYKLCEAINIFSVKLSCSDVGFPFHVYGTVIARDSLDNECICLFRRDQDHCQIINSEDESLVLTGPNRGLALICNNYIETDLKIKDDQGQVREFSKGIMTIRGVARRSLKKCEVESESLATSFTLCNSHFQHLLHTNSNDGSQQLDAFVIVTTCHDTSKFAIVKHEVLLNTGCASSDCSIKISLHNLNCNCSLHCALHNRVHHLHRTEFSFKRVTFNNHCVEESDVCSSGDDGGGAVGGHRGPPGALQGVRDEDFSGMTEPRRAAEAEKRREQVLEEDAPCSFVDHATFDLDEESPIAPMRYTNRVSQPGQPPFTPCAGLNILSARISTSDVGFPIHVYGTVIARDSIDKKCVYLFRRDRDHCQLINSEHESLMLTGPRRGIALIDDSHVETDLKIKGHGGQDRELSKGILAIRGRRYLDTCQVERKSLATRLSTVDVLYSVVKDAVEATITIEVVQGVFYGEITAHTSSVQNRLSLYNSEVSGCMSVGANEAIQLMRPVISVYEKEMLVIVAKTDGKYEHTIHLTARRNGDDEDYITIGATEMHVKVIWSMIDL >Et_7B_055520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1373206:1375221:1 gene:Et_7B_055520 transcript:Et_7B_055520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKKIKLHDHHYGSPLCDPHLFTGTAAGLSFHPGLVSSAPQQHNGAGNWLQEEYTPTPRSVLGTQGGGGCVGYDTAAFFAAEHLLGMTRLDCALGASTFPAMAALKTPFGRSPETDHQLSMLLGDNSVRTYFVPPQQRNAAEVPPALKLPLQQQQQQQQEEERVHGMFGNASTARLLGGEPKTHSFTPHVSASTLLPAMEASSMQSPMENPLSRSCSMGAPTIHTGNVVTAPGQGAPSKTRIRWTQDLHERFVDCVNQLGGADKATPKGILKLMNSDGLTIYHIKSHLQKYRIAKYIPASSEGKQEKRAAGNDVQNLDPNTGVQITEALRVQLDVQRRLHEQLEIQRNLQLKIEEQGKRLQKMFEEQLKASKSVLEPREKLQSAGAAGAFASVSEQDEDAFDDVQLLAVASSGFNDAVFPSKIS >Et_8A_058157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22161132:22166442:-1 gene:Et_8A_058157 transcript:Et_8A_058157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIDPVASTFRIAACVYKERQQRFTPSRPSTYFCTFSPMENSTEDLSSIATNHCSLSGAGDASEESGWTSYIDYFMEAQRRQKEEAISAAPLTDDVGISRSTSQYSGDCGSHRLPALTEPSEASRRLRLKKEGRRKKTVVHDESLELQDTATSPMSSPKLIELRESDANHQKKGDARDEVSHYENNNNKRKEAYIEMGLVI >Et_7A_051403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1800791:1805583:-1 gene:Et_7A_051403 transcript:Et_7A_051403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVEEALAAVLSAAAGHAAPRDVPLHDALGLVLAQDVRAPDPLPPFRASIKDGYAVVASDGPGEYPVITESRAGNDALGVVVARGTVAYVTTGGPIPDGADAVVQVEDTEQVPAEADGSKRVRILARAAEGQDIRNVGCDIEKDSIVLKSGEHIGPAEIGLLATVGVTTVKVYPRPTVAVFSTGDELVQPATATLSRGQIRDSNRAMLLAAAVQQKCKVVDLGIAEDTEESLKEHMDAALRSNADIILTSGGVSMGDRDLVKPCLAKMGKIHFEKIRMKPGKPLTFAEITSQDTSKLSRTVLAFGLPGNPVSCMVCFNLFVVPAIRLFSGWSNPHLQRVHARLSHPLRADPHRTEFHRAVIRWVLDDGSGKPGYVAESTGHQASSRLLSMKSANALLEVPSTGQILAAGTSIQAIVISDIVSFPSDKLTAASNPLPSHLVPSVKSVSTDVPQVAASQDAEVKVAILTVSDTVSSGAGPDRSGPRAVSVVNSSSEKLGGATVVATAVVPDEVDKIKAILVQWSDIDRVNLVLTLGGTGFTPRDVTPEATKSVIEKEAPGLTFVMLQESLKITPFAMLSRATAGIRGSTLIINMPGNPNAVAECMEALLPALKHALKQIKGDKREKHPRHLPHSQAAPVDQWEKSFRAASIGSGCSCEP >Et_4A_034218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30962521:30969869:-1 gene:Et_4A_034218 transcript:Et_4A_034218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPLWLSAWLYLAWQYFTQPFYVWLGVDSPGSMKLGAGIYTKAADVGADFVGKVEQGIPEDDPRNRAVIADLVGDNVGDCAARADDLFESIVAEIISAMMLGGTMAERCKFEDPSGFILFPLVIHSFDLVVSSVGILNPRNTFHGNYAERLFNYSMLAVLAFGVSTRWLLYTEQAPSAWLNFALCDLVGFITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIFAGVSLGLESTALPVLVKSVAVISAYCLGPTSGLVDDSGSPTSCLFVTPVATMGMLSTAGYVLAMDMFGPIADNVGGIVEMSQQMLGNTTKATTKGFAVGSAALASFLLFSAYMDEVSAFAQLPFKEVDIAIPEFVGGLLGSMLIFMFSAWACSAVGQTAQKVVNEVRRQFIERPGIMVSVILQSSVLLYAYETDVNEYKEKPDYGRCVAIVASASLREMIRPGALEIVSPMAVVIASA >Et_9A_062155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20069250:20071216:-1 gene:Et_9A_062155 transcript:Et_9A_062155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLYVTCRVSTSCSGYMAPEYALHGIFSSKSDVFSYGVLVLEIVTGRRNMFMQASGPSEDLLTFVWRHWSRGSVPPLLDNGCPAEGRRSQEMLRCIHMALLCIQEDPQLRPSMASVVVMLNSRSITLPAPTAPAFAAVPGHAVSASRNADHEDPRAAAQEHRSITLPAPTVPAFSVVPGRRVVSAIDEHGRSMDCEGPRVA >Et_7A_052771.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15865365:15865799:1 gene:Et_7A_052771 transcript:Et_7A_052771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLVEGSILISLRLIVSMAKQRHHHGRGNTFIRYDYNAAGDTKRVVAAARLRPTSSTNATAASHSATMALSSPLRVTGAKKSHDAVPVTNASVSAVATGRGGRRHRRPPSRSRARHHHPSATAHAPWSAKKAVTSPVPRSSVM >Et_1B_011375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21227354:21228420:-1 gene:Et_1B_011375 transcript:Et_1B_011375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SNLLLAPAVRESSVHCRAVRLPPSNHMCTTRRNEEMTMAMTVFYLLLLLPAVAARAGGRPLVFILAGQSNMGGRGGATSGRWDGVVPPECAPSPSILRLSPALHWEEAREPLHAGIDLRYVLGIGPGMPFAHAVLASKGAIAPGGFVGLVPCAQGATPIANWTRGTELYERMVTRARAAVAECDDGGGKVAALLWYQGEADAFRLEDAELYQGRMEALVDDIRHDLGQPDLLVIQVLIATAQRHGKFIDRVREAQKAVSSRLPNVKYVDSMGLPLASDNLHLTTQAQVQLGNMLAKSYLATL >Et_5A_040951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15563842:15566914:1 gene:Et_5A_040951 transcript:Et_5A_040951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLGVYEYDGCKAVWVVEAVPTFRGLLAVSLVDFKMTSRKSNRPTVASHTALHMEWDRISCPICMEQPHNAVLLKCSSYNNGCRCYICNTSHRHSNCLDRFRKMNGDSKVRASHSTYSVLSNSNIRTVQPRAHYNMISRHSRSPIVGRYDDTEGSYRSSGNSALSVGEGNIVTVACHDAMQNSAEMKCPLCRGSVSGWIPAAEVRQYLDKKFRTCSHDSCRFAGTYEQLREHARTAHLLTKPAHVELSRKRTWDRLEREQEFGDVISAIRSQIPGAVIVGDYVIETRDVMSPDIDSDDEGSEEWSSVRDLDELQDNRLVSPWSNETLGSPNIWPDERHGLTRFVPQDNRGLLRRSFSDRRSLRSDWLGVRRPSTQSLLRRGLSTRHSGHHSSYRGFRPSGSYAGNRNAGTSRSFNDPSMGPSRRQRLRYTHRSQY >Et_4A_033802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2726019:2728513:-1 gene:Et_4A_033802 transcript:Et_4A_033802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNRCIKILLSPCRKGKNTAGSATHQNGKMISLDDLIVELRKLLAKFLGKKKRKEEQKVTLLVRMDCEGCERRVRKALESMKGAECRVTVSGHVEAPAVVRRLWQRARKKAEPWPFVPHEQVPHPYAPGAYDHKAPPGYVRNVLDDPDAAPLVRASSMEERYTTAFSDDNPNSCALIKKKRKQFQKVELKVRMDCEGCERKVRKALEDMKGVSSVEVDPKQNKVTVSGYVETAEVVERLHHRTGKKAEPWPYVPYDVVPHPYVPGAYDKKAPPGYVRNVLDDPEVGPLARANSTEEKLTSAFSDENPNSCVVM >Et_8B_060686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4932746:4936931:1 gene:Et_8B_060686 transcript:Et_8B_060686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIFYGLAQEISLSASAARVSAWSKAGLPQNPLRNGERKFVLQHHVEGKLDQQAKTTERIRDGVPMYLPATPTSGSIKKAVAVAGFRHDCIRLRLWWPLRRHGLAAIGRSTASFSINSLRELRRRRRDWSGHKHRIMVVANAATGCGGEPDGDPGGIRVLLRRRGLHGVSGREAGTADGAGIVHLQPRDDAAGVVEVGAGELQGRGGGREVLPADGAGLGGPGHLDCGQRRDGRRRGRRLPAALMLLEHGHGGGGGGCGDVAGLVEALLDQAGERRASAGSIIPMSSSSSKSSESSEPERVRSPGGRGGGGSSSGKGLGPGSRELPRQRGQGGRRAQGSAAAAGRETEDSHS >Et_2A_015107.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:30118808:30119047:1 gene:Et_2A_015107 transcript:Et_2A_015107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPAPATGATLCGKEEKVLGVQKAPGSCPYCGGGVAATDVEAKWVLCFLPLCLNTKRRFACTACARRLVSYPAILHD >Et_4B_038016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25487360:25490163:1 gene:Et_4B_038016 transcript:Et_4B_038016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLVGLRFTASAVPRPLSQRGLLRVAASTVPRPLSNCHLLGAARLGPFRSGRLCARAAVAGPPEVDEDEAMSIDNLHRFFDLNIGKWDGSFYQFDAHGRVLQGISTRLSVSTYGEDDLISLMQSLYIKQASSNISIVGEEDSEPEWVEYKIKETNMFTVDKYQQIGFFPEQKAFALRYQTAGMLETVLRVGVLGEDDTGEDSPKNLKIPSRKPSIVCENCLYSLEGNGRVRAFHIMDPKGVLDTLLVFHEKQGSLVPLIYSSVDSESDSSDRINALLGRWEGRSVTKRSGVYGATLDEADTAVVLKLDSNGQLIQDTLTTKTGTSTTTTVNWTGSANNNLLQFDGGYEITLLPGGMYMGYPSDISKSVAQLDSFHLEFCWMESPQKRQRLVRTYDSAGLAVSSTYFLETKEILKLDRAGAPTS >Et_10B_003014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15362206:15372372:1 gene:Et_10B_003014 transcript:Et_10B_003014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEIPAEIGSMDSLESLDFDFYGSIPSSLNQLAKLSKFNVSYNPLLSGSVPRTGQLSTFDEQSFLGDPLLSFQSPTDSSSYSGPDEPSYGTFVIREVECFIYLYYTVSQKIANKRDKEIKALEKKPNDNPGQKGLVEDFKSINIISRFINI >Et_2A_016120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21162284:21163204:-1 gene:Et_2A_016120 transcript:Et_2A_016120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAVTAWASPMATDADPYAMPSPVQPSSKSTTETVKNALSRFGRKVGEATRKAEDLSRNTWQHRECFFVNSLITLLVINSLDDFDLMCAPVRTAPSFTEAAVTRIAQGTKVLAEGGHDKIFRQAFSAPPDEQLRKSYACYLSTSAGPVMGILYLSTARVAFCSDNPISYDAAGGDAKEWSYYKVAIPLHRLRAASASASKVNPAEKFIQLVSADKHEFWFMGFVNYDSAVMHLQEALSGFHNLRA >Et_1A_004841.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:11530512:11530691:1 gene:Et_1A_004841 transcript:Et_1A_004841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHAHDEPYYVHAKHMYNLHRMKHQQLKACLSVLAAVSIGVGVPVYAVVFQQKKTASG >Et_7A_051636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21887157:21889340:1 gene:Et_7A_051636 transcript:Et_7A_051636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SELEPVRHHVDGDAVRRDDLRRVHRCCLPDVGDGAPHLHLRRRRRERDHKRGVVEVPSGDLRDGGAADVLHRLRDDLVDVVVLVAEAADEGDVVGRREVVDEPRVERPGRVDEPGAHEEVAGRQAVGVVGDGRAVQVRHVGRGHHRRLDRRRRPLGPRALQHGGDPGQVRRRHGRAAEHAEGPGARARVARGMRGGARDGGDDAGSRAGDVRFQNAEAGHVAGPAGGVLGDGGARGPVHLDARELQARRGVGRGVDVRHEPLAVGLADPRRRDGDVVPRGGGAAAERGVEADHPGPAGLADHLASRVRGLRAVAQHDLAADVDGLQRVGERADDVDERPVLDGLVPAHGLAVEHEAAAELDPGHDAAAHGAGGDGEHPRRAVDDGGRVGPAVTGAGTDEHARHHGGEAPHGGEVLVERLLRVIPRGDGHHVDAVHERAALHGDQLLRGRALPVVEADLVEGEVRARRHPRRRAEVGTEHADAAHERPTAVLDVCVPCSPGVDDRPPISLLLHGAPPAKSHPPHHLGGGGGIPASSNDAWRGSTPLSRTPTMTPSPMLRPAAHAPVPGALTPSSAGVDVFTHGIASTHHWRRRSSPSCRAVSRAANPLTTCSYEYTSGPPDDGDSCRTASVVVLSTDARREACHARSASPPSFGPSARHTTTRYVRAMSSSASLALVTAAAEARQTGRAVTTKRKRRWRGRGTASCMATIHSLIDLYTGATKEA >Et_1B_011135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1853700:1856505:1 gene:Et_1B_011135 transcript:Et_1B_011135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILANLTKHPGSRRLTEGALWPEKKKAKRGGAGGRRYPVGFEDDEDFEADFEEFEVNSEESDLELGTEDDDDVVEIKPFAAKRNLSGDGLNTMATAGFDGPAARSAKRKRKNQYRGIRQRPWGKWAAEIRDPHKGVRVWLGTFNSPEEAARAYDAEARRIRGKKAKVNFPDTPTGVQKRRAGLTVAKAPKSSFEQKPTVKAALNNPINTNGSFYPSADYTSNKQVVQLDNMSFLPAMNPAAPIEDPIMNLHSDQGSNSFSCSDLGWENETKTPDITSITPDSTIAEGDETEFVNNYSHGSLVPPVMENNTVDLTDELTDLEPYMRFLLDGGASESIDSLLHLDGSQDVVSDLDLWSFDDMPIFGIKTEDWEIGKHLLGTLGLRHISSSIS >Et_4B_038427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28941582:28945188:-1 gene:Et_4B_038427 transcript:Et_4B_038427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEIPRVFELDPFARSGPTMAEVEEYRCFIGNLSWSTTDESLRDAFGKYGKVTEAKVVLDKFSGRSRGFGFVTFDEEKAMEDAIEGMNGLDLDGRAISVAKSQPQGPGRDRNGDRDFDRDRAAAVMVAATEVVTVVVVTVVTVTAVTDLVPTDGLDLEKCVPSRYGSYIKSAVAITGYLFLGMRTSVGCTEESSSSGFQLQQESESNVSRGCWSPFAMVSAAAAHLYRQTRWWTKTQISSRARLMPGHIRGPLPNPRKLYGLSVCCAERHKSVSGHNPLAMTQVLFAAKFTSNLSGSNRSGSAKTAGSWWMDTTSRFTEVPFLISCPASIIHFSQQQYTLLLVDNLRCGQTLLTVDAEVLCSFPREPWQDGCSPQRLVHHLEWERSD >Et_7A_052921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25863638:25870434:-1 gene:Et_7A_052921 transcript:Et_7A_052921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQVVALIKQNNSDEDGNVERLEMAHLKLEAAIEASNKWQITDVSLLRWQRKLKRAAHECHDALRECKQRTLVDEETKEQVRQSSFTRRVAHATKSFVSSFVSHSNNECSSKAVVQRFERLADESTDIIGITIKCLQFVTPHFKSTAEVVIKELTQLPTQDFSWLAPYDSYGNKDHWNNIHNTLTRWFRPDPLCCNGSDHSMSDCSSGAKESRLSSIFPEPVSEVFLQRHISLSEYKFKGASKRGSYSQVNLPALKLGVLFMPHDRVDDLRPAIGVESSAVEAIDGEKQHRTHVDIHPHQLDEMLLPKAIDHLYSNAGATTYQISWKSRHGSAHLCVEKMQMPRTRRTTKQVRNKHTLPQMKDQIKKENEQWNQVARDFLKLLAVRSSDTLQGSITAWLNR >Et_1A_006102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17887039:17890829:-1 gene:Et_1A_006102 transcript:Et_1A_006102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAPSIVKEELLEHEDVGGGGCAGRDAPRPMEGLHEVGPPPFLTKTFDLVEDPSTDAVVSWSRAGNSFVVWDPHVFADALLPRLFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKTIKRRKPPSTVPPSRQQAPAPASCLEVGEFGFEEEIDRLKRDKNILITEVVKLRQEQQTTRDNMHAMEERLREAEQKQVQMMGFLARAMRNPEFFQQLVQQQDKRKELEDAISKKRRRPIDNVPFYSPGETSQSEQLDSQFLFDSGMLDGLSEPGMPELENLAVNLQELGKGNADSGKMDQAGGQSELNDDFWEDLLIEDFGDKTGQSELEGRTEAVDEIAQQLGQHQLCPQCMAKGIKGKGPQQFSSVGSWGQKSMDGMRKGDTQKKKKIKACRNKELTSDDMLAIVV >Et_5A_042966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8095108:8101557:1 gene:Et_5A_042966 transcript:Et_5A_042966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSYKGMFHQSDSSPGSDDSEDSSTIDPTGIYTMEEFIVEQSVLHNFLERITAKIHAKIEAKQAGTSRRRSSLPPPPPPFSWDCLGSVSHPSQHHGKASFPSTLRVDGSRRRKMSVLTRLLLFPKQINEIKDFLLTARRKDARSVRIKRAKGAIKFKVRCSRYLYTLCVHDADKANKLKQSLPPGGFAQIASIRLERPGDLGEKSIWLDLENDQGIQVQ >Et_7B_054237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1881236:1882941:-1 gene:Et_7B_054237 transcript:Et_7B_054237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGASGVVEERRNLKHASLRPQHWCFSASTRIIATELLPICNKQMLSHCGLIFLLHLWPRIGVYESKQSPKHWCFHIIYLYDVSTVTLLHRAVELCLEDRRPCPKHQPVCSELNRKLPIRRWMVDGGTATDASPIHNDLRQQANVGTSLTLLQPTSSPGHICLHIIYPYDVCRVALLSWAKKMISLEDGPLSLEQQPVCRNRLPADMEFNISVLFA >Et_6B_048376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17089620:17091101:-1 gene:Et_6B_048376 transcript:Et_6B_048376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRLEAALEASRRWRIRDAPLLRWRRKLKRAAEECGGELRERRRRTAAEEKEEDLARAAVAALFGGHGGSRDADADAAVRRFEWYADGAGEFLRFVELGGGRHAPRVDPLIGRLLAGDELRYCRFVRRGGGGEYRQLYVRPVRLDGRGVEAKLIFVCEDDEAPERNLCLGAILRLSESTDLVGTLVRCLDLLLVTPHFRPAAESARRKLVALPRQDFSWVPSSTYLDTSGGNNEHWNAMHSCLSRWFRPNPLCCSNQKHVSEELEPVIGVFLQRHIPLAEYNAHRSKSTTAIIDGDVGATCLEKKKNVPQLRLGLHFSPHGSSDMAPAADSAAVEVVDGEELAAGGVHRNISSLEELDEFALPKAIDCLRHRRPEAAAYQLFWKARHGTAYLEVEKTGLLMEAPPRRVGVSRRPVAIRRRRDPKLGMWTQVVVDFFNLWVARAPRRLQGSIIEWVQKANEIQNKGAWLSKKRKYI >Et_5B_045050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8939101:8947631:1 gene:Et_5B_045050 transcript:Et_5B_045050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METGSGGGRGRLRKTESAEMRWVASGGAFEEDEIESSDGGGDEENPTAASGSRVGGSADDDYEEEELLRQRLVRTGPRADSLDVEALDVSGVYRHQEFSLGRSIVLTLQTLGVVFGDVGTSPLYTFDIMFNKYPITLKEDVLGALSLVIYTLILIPLLKYTLIVLWGNDNGEGGTFALYSLICRNAKASLLPNQLPSDTRISSFHLKVPSVELERSLKIKERLETSSMLKKLLLMLVLFGTSMVIAGGVVTPAMSVMSAVNGLKVGISSVNEGEVVMITVAFLIVLFSVQRFGTSKVGLAVGPALFIWFCCLAGIGVYNIRIYGSEVLHAFNPMYIYYYFERNPTQAWMSLGGCLLCATGSEAMFADLCYFSVRSVQLTFVFLVLPCLLLGYLGQAAFLMQNLTENQQVFFLSIPSQAFWPVVFIALLAALIASRAMTTAIFSTIKQAIALGCFPRLKIIHTSRKFMGQIYIPMMNWFLLVSCLAFVTVFGSINEIGNAYGIAELGVMMMTTVLVTIIMLLIWQVNIIVVLCFLTLFLGLELFFFSSVLGSAADGSWVLLVFAAVLYLVMYIWNYGTKLKYETEVKEKLPMDLLMQLGSNLGTVRAPGIGLLYNELVRGVPAIFGHFLTTLPAIHSMIIFVCIKWVPVPVVPQNERFLFRRVCPKNYHMFRCIARYGYKDVRKENPQAFEQLLIESLEKFIRREAQERSLESDHNDTDSEEEIASGVNNSALGSSMSFGSLDEATDGRRSLDNELSFIHKAKESGVVYLLGHGDIRARKESFFVKKLVINYFYSFLRKNCRRGIATLSIPHTRLMRVAMQYMV >Et_3B_030652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:613084:616086:-1 gene:Et_3B_030652 transcript:Et_3B_030652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVLESELGGSSSNQAGSSTSNPMQISEPEPQDLDSGECDEEDYYMEDDCDDGDGYDEDEYEFDEADFNQHLADKFEDLPPGVEATVPWLQKMEAKEEKDHRPADVNAPDENTKKYKEFKQFDTVQNFSNHQYAKYSEGEPNRAWAKRIQHDWKLLEKDLPESIYVRVAEDRMDLLRAAIVGPKGTPYHDGLFFFDVHFPSSYPSGPPHFADLVAGHFRVHGHTILAACKYYMEGNAVGSVVPEDKEESDHFCGDAGASSSSGAPKPADGVQSVGFRADPAIKRGLFNASLKTLFEDLLMEFNVKGADTRKFLAEKLKKNLPAT >Et_10B_004164.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:1691676:1692059:1 gene:Et_10B_004164 transcript:Et_10B_004164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVGGSVMVLDNTSLEINKVHLPSRVNALGLLGPSTFCVVEHCAGESNSPPAPRIVHVKCGELEVFRRVHGSSGGEWVLEHSISRLPEAFGRLTGYTSEYYDWVCKVVVGGVGFVVLLAYYSEKLR >Et_6A_046767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20332206:20332741:-1 gene:Et_6A_046767 transcript:Et_6A_046767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAARDVQTALALRLVNHLAPPEGPLPQKTRTPLAQLLAFLGAPSAKELANFGRRVAERVLAYRADSGGSRVLFGACSSIETMITTDDVDAQTGLQGFPTSPEHHRGRRTESPSAAAKATDDDAGEGTQYSMLIFLPDTLDGITTMVDVVTAAPAFMYGVLAEMEEELVDLHLP >Et_9A_062372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22198782:22201082:-1 gene:Et_9A_062372 transcript:Et_9A_062372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTASPVVELPVGGAVLTFEQDNDSFEVGTSVWPSSLVLVKFVERCLGDPALPFADVLQFAGTRAVELGAGCGPAGLGLSRLGLTDFVLTDIAAVLPALRRNLRRNRRHLPRAPRLAQVHWNCPAHLATLATPRRFDLVVAADVVYVQESVPHLIAAMDALADADCGVVLLGYQIRSPEAHQAFWDAVPAAFPVIEKVPREHLDPDYAYEESDVFILRRRPRQLDDSSSEF >Et_5B_043125.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8082625:8083611:-1 gene:Et_5B_043125 transcript:Et_5B_043125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLQELIVVGAQEWDMSQLQGRLPNIRKLRIKKSATKCSSSSSENDDLFSGMMKRIKLLDFSGNRIISSGMGSLIMAANNSLLETVIIDEGCVGLEQVWFRGCANLKNVLLRGLFEGLKSIDISGTAVKTLDLSAMAAVQKFDELNALDCDKLCAILWPYKSWKVSKLRIGSTRMSGRRSTSAASSGTYGSRAPTEYDWYVSVSDARLLRSLVMPFKYPGIHVEISSPCLTNVGGSKEESRIIKNSMDSEQQVLVYQGVAITLNLQQASEGDGADTPTTIVTQIWTCPSAQYLDGYIYVQDCHPTKTKLQERQISTSSHTTLPDKIC >Et_7A_051928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2896246:2898360:-1 gene:Et_7A_051928 transcript:Et_7A_051928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TNCRLYPAVICEHDSGDGQTHTPAPPVIEPPSADNPALSSAVPCRNIPLLASSSNKPICWPENGIITREWLAGFSSMLDWSSRHLPPHQLPYVFPASVIQKLMHTASSLHHEPNCIRVNPRTDQTVIVVGDIHGQLHDALFLLQAAGYPSENRIFVFNGDYVDHGAWGLETLTLLLAWKIYLPDSVFLLRGNHESKYCTSVYGFKNEILAKFRDEGPQIYQMFLKCFKDLPLASVIAESVYTAHGGLFRVVKDQKSVNEIINLPSILDQ >Et_5A_042288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8303071:8306706:-1 gene:Et_5A_042288 transcript:Et_5A_042288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYTTFLGYVDIFGSDHKRGLALHLAQEAALPGCNQVDFESEPNIGWYISIKWRLISYRAEASEVGVCYGTIANNLPDLAQVIQLLKDNGITMVRLYDANPKVLTSLANTGIKVMVMLPNENVAAAATNPSSALQWVLDNVKAFYPATQINGVAVGNEIFDSRPDLNSKLVPAMTNVYNALKSLGLADAVKVSTPVAFSAVTDPFPPSRGRFKDEIAEPVMKPMLEFLKRTGSYLIMNMYPFFAYANQGPGGKITLDYAVGNPNPGVRDPLTGLVYHSLLDAQLDATYYAQEKLLGFNAFVLTSTTEDGWSNGGKWPLTLDGGRVGGEAASIANAQAYNNNLIKRVLSGNTGTPHRPDADMDVYIFALFNENGKGSGPDDIERHFGLFYPNMQKVYPFSFQGSGSAPSGSSWCVANAAVGDARLQAALDFACGNGVDCGAIQPSGTCFQPNTKVAHASYAFNNYYQNKGRAAGSCHFDGTASVVYQEPTDTCWSWCVAKASVGDAWLQAALEHACGNGADCSAIQPGAACFQPDTKLAHASYAFNSYYQRHGRGSGTCDFNGAATVVYDQQPSELTGPALGIWWHPPLPIDLTVMMPNENISAAAASPSNALQWVLDKVKATPPHRSMASAWGTRYMFDSRLDLNLQLVPAMANVQAALAKWRPRHGSIQLCKKQLRIRSLTMARAKLAART >Et_4A_034988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8567643:8573927:1 gene:Et_4A_034988 transcript:Et_4A_034988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHWVLKIQRKRTVERNRWDHRHLGPSKKASFRPGSCQRRASLKTHMDRWSGHHRRTHCMCSRLVQVIGRRGRLSGKARPSERFRTCDWIHGQCIGALAGAMAYTGGGHFIYIVADSSTSVLIICYFCRLSLTNGIYQSLKRAITHGLILGDQKRECTLQQFKIILNFWILDESCGQVEWVSRHRIDLDPYVSRAAVDSDYHERVDGPWILEDEDNDNNKMLREGNCEWDSDEDNILDEDGYVAQSTHIHFLGFHPYKEIIFLMASFIGIAYHLNTSRVRYLGKLRPNDYDYMHETEMASPLPDDALAAILRRLPPRTLAACRCVCKAWRAIVDARELLLKHLLPHEVRGIFVNYVDYGCPRFFARPSSERPEINGNLGFLHDGYSQSFGSILDHCNGLLLYYGGFTREFFVVNPATRQWERLPPRMDVLDYVAHLVFDPAVSPHYEVFLIPRVPEKPRPVLPRETPPPPVNLSRLFSMLDDEPDAEEMEEDDEEEEVEEEEEVVESSPPRSIEEGFFPARFTPASSEPEDPYRLMEWPPSPCTFHVFSSCAGRWEEKSFVREGDAAGTVEDVRLDPLQPMEWGPRRRYGIYWRGALYVHCRGAFIMRLFLANCKFQVIKTPIIGIEETNYVRAYLGQSKKGVYFATIHNHREFRFWILDESCDQKEWILEHHVNLDPFAPWPAVHSNYRERIDGPWILDGAKSDNNVTKSDDDLEWDSDEDNILNDDKLQCIDYILGFHPYKEIVFLMTSFTGIAYHLNSSKVQYLGKLRPNDYYYTHSAGLYESFIYTPCMIGELPETTVLAHLPSHTLAASRCVCKAWRALVDARSLLLPHVLPHDVRGIFIVGRSTPSPLFFSRTSPASPRICGDVGRGSVIDHCNGLLLCRHRAGSCEYSVVNPATRRRDRVPSPRRNRRQVDHEAYLGFDPAVSPHYEVFLFPRLPKKPRAMNARDVPPAPVNLSPLFSSFGDTLNADAEEEEGCVEEPDVPPPPPSIEEGFFPAKRLPLSLMWKLRELQDTYASMEWPPSPCTLHVFTSSTKRWEERAFVREGDAMGTVERMRLDSGTLTYWGPRWRYGVYWRAALHMHCRGGFLMRLSLTDRKYQVIKTPEDIEGTYEQSNLGRSAGGVYFATVHSCQLHVWMLNESCGQIEWALKHHVNLDDPYSPWPVVYRRERDDGPWILHDAQNDEDKSTVISDDNSEWDSDGDDILDEDDDKLHYIDYFLGFHPYKEIIFFVTAFTGIAYNLSNSKVRYLGKLCPKGDYTGPPDVYESFPYTPCMIEGLPETSRNTLLVLFLPSVPPPPPSIEEGFFPAKRLSLSLMWKLRELQDTYG >Et_7B_054001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14040827:14041548:-1 gene:Et_7B_054001 transcript:Et_7B_054001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IVSCLHGSLNYKKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGIPFFSVSASEFVEVFVGRGAARVRDLFKEAKEAAPSIIFIDELDAVGGSRGRSFNDERDQTLNQLLTEMDGFDSDMKVIVMAATNRPKALDAALCRPGRFSRKVVVGVPDLEGRKRILAVHLREIPLEEDPEIISDLVANVTPGLVGADLANIVNEAALLAARR >Et_1B_011077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17221937:17226121:-1 gene:Et_1B_011077 transcript:Et_1B_011077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLPAADVEAGLLAHLNSAAEVPDSRSFASALGVSHAELESVIKSLSAFRIVDSTDITKETWVLTEEAKGYAASGSPEAQLVAAIPPEGATRDVLKAKLGDALEVGIKAAARNKWIGFEKGNKDFLLRKVESVKDELQEQLKKLQDGETVPDKVIDDLKKRKLIVKEKSIWYSLKKGPEFVLKRKTLATDVTTEHLRSGDWKDLEFKDYNYGAQGQPIAKGYAHPLLEVREEIQNIFIKMGFVEMPTNNFVESSFWNFDALFQPQQHPARDSHDTFFLTAPAATKQLPEEYLEKVKKIHQSGGHGSKGYGYDWKRDEAEKNLLRTHTTAVSTRMLYKLANEKPFAPKRYYSIDRVFRNEAVDRTHLAEFHQIEGLICDYGLTLGDLIGVLEDFFASLGMSKLRFKPAYNPYTEPSMEIFSYHDGLKKWVEVGNSGMFRPEMLLPMGLPEGVNVIAWGLSLERPTMILYGIDNIRDLFGPKVDFNLIKSNPLCRLGLQ >Et_8A_056680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1499230:1501850:1 gene:Et_8A_056680 transcript:Et_8A_056680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSPIPEGGGVDAGGRGAGNGLSLQYSPGATLPSPTPHTECDMSRMPDSPLRRNPGHRRALSDMIGLPDDLDLGGAPGDGPSLSDENEEELFSMFLNVDKLNSRGGASVESESSCVVAAGGGGEPSRVSAAHGAGVKPRHHHSHSMDASSSISTENLFGAPVMDGMSSAEAKKAMSAAKLAELALIDPKKAKRIINNRQSAARSKERKMRYISELERKVQFMQREATTLSTQLALLQRDTAGLSAENNELKIRLQNTEHQVHLQDALNEALKSELQRLKVATGQMGNSGGMMNFGGPPHPFGGNQPVFHANQAMQPFLAMQQHPNMPLHPLQAQQLQQAALGLNMRGPAAVPSQLSWVEAWSESSSG >Et_9B_065014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20488532:20495148:1 gene:Et_9B_065014 transcript:Et_9B_065014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMQSWRKAYGAIKDTTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKIVAATSIARPRADVAYCIHALARRLAKTRNWIGDPTFREELLNFTQRGRILQLSNFKDDSSPIAWDCSAWVRTYGLFLEERLECFRVLKYDVEAERLSKQGQGPDKGHSRTRELDSQDLLDQLPALQQLLYRLVGCRPEGAANNNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEALKALDIYRRAGQQAGNLSDFYENCRGLELARNFQFPTLREPPQTFLATMEEYVREAPRMVPVREPLEFSERLLLTYKPEESEEIPEPAPVEEEKAPAEEPIPESPVTEAVTAPPKTEVVDTGDLLGLNDPSPSVSAIEESNALALAIVPTDASASTTGTTSLQDKGFDPTGWELALVTTPSNTTPAADTQLGGGFDKLILDSLYDEGAYRQRQQQQLYGTAAPNPFMTNDPFAMSNQVAPPPSVQMAAMSQQHPQIPTMMQPNPFGPPMQPQPLGMGSEPNPFLDTGFGAFPVANNGHQQQNPFGGAQLLVGWNLQQGDFRNEVLEAKLKSLG >Et_7A_052941.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:357163:359232:-1 gene:Et_7A_052941 transcript:Et_7A_052941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRKMIADLLRASARGSALLAGVQVHASLTKLGFGSDTMLGNNLIDMYAKCGKLEMAGEVFDGMPERNVVSWTALMVGFLQKGKARECLRLLGEMWASSEAAPNEYTLSASLKACCVVGDTSAGVRIHGLCVRTGYDEHHVVANSLVLLYSKGGRITDARRVFDGAAFRNLVTWNAMISGYAHAGHGREALLVFREMQRRREDQPDEFTFASLLKACSGLGAASQGAQVHAAMAVRGFSTASNAILAGALVDLYVKCRCLPVAMQVFDSLEQRNAVQWTTVVVGHAQEGQVKEAMELFRRFWSSGVRADAHVLSSVVGVFADFALIEQGRQVHGYTVKNPGGLDVSVGNSMVDMYLKCGLADEAQRLFREMPARNVVSWTTMINGLGKHGLGHEAIAMFEEMRGQGVEPDEVAYLALLSACSHAGLVEECRLYFSRIRQEERRVRPRAEHYACMVDLLGRAGELGEARDLILTMPMEPTVGIWQTLLSACRVHKNVAVAREAGDVLLAIDGDNPVNYVMLSNILAEAGEWRECQRVRDAMRSKGLKKQGGCSWVEVDKEVHFFYGGGDDAHPQAGDIRLVLGDVERRMREQLGYSADAQFALHDVDDESRVESLRVHSERLAVGLWLLRNDHVGQGEVIRVYKNLRVCGDCHDFFKGLSAVLRRTLVVRDANRFHRFQYGSCSCKDYW >Et_2A_017135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31260121:31262311:1 gene:Et_2A_017135 transcript:Et_2A_017135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSVRRRPRYAVVRLSPSCLDELRRGVAAVRAFDAAGCLEHFCTPLAGVAVKRRPRFVRLLRPRDLEEMEMPDKFVQEHLTDSSPSSQKATIFSRVGKFWHVELDPDRPGVLQGDGRARFLKAHDLSEGNVLLFRYEGNMLFRVEVFLQSGLLEECEAAAAIRTDDIAGPSEPQEGSNQPEVSDVKMKRKKAKNTCLKGSDKKPKVPSSPSNKVASKKKLVPQRSFTKRITGYDLKFFFAVKLTICSSVGLLGACEITLKTSVDKIKSWRVSFNTANTFGYLWGPGWKRFCQENKIKEGSCCTFNVVKTRVWHVKIASS >Et_4B_036703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10801290:10807681:-1 gene:Et_4B_036703 transcript:Et_4B_036703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAAASPSGEAFVFREFLEFFRSVLVRFPWGCFVAKLGWWSASGRCRSWIRLQSLQRSRIVSTCDWISRALGFGFCCFGFGYRKQQLFKAVNKMQVASDVELDSAVFQVSSAHNRYEAIACSKGHTELIASGPFNQLILHLEDAKKFQSRSSSGTFKLLLTGDEKGSSWFTKPTLQRFLHMVNSSDTSKSVNGEQQTFVSGAVTGGLFGTGAIAQQGNVGPNSSEDTKNELLRALDLRLTALKEEIWVLLNKAVGSKLSAREISDLSAFVQYFGPSEFSWLMRCMLLISDYQPSELPQQQDSTADNVNKGENAPKARDISPQTNIERTISNKVSPAKLAQVERESSTESDDSSESSYEGEAVVERSRTLVRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPSQRIPLDKDDESSTCNGGETDQPPRKSDNNVRRMSVQDAISLFENKQKDQNPDSQKQKAGLFATKSVLRRWSAGMGDSLNNKSEEKVSDSTSECKSNNMASDSEKNQVEIKAEPDKSQNSVAITEVEGLTSHADCHDVKGSEMESEMPSATYGEQTMSGQEENSSRAMSSAEWNRQKEAELNQMLMKMMEVMPGKFAGANVSAAGLKSANQKKGGSHGPHREKPETKIRTEKGVRRPAKEANTKLPKEAAGLNKSSMTLKTSTITEKRISPVPQRARRNSSPPVLPKEVASKTPARKSSPKPSPAPATRGSWSGGSLTKSTTSTAQKSKSPTGMVLTSTPTSRRRTPAPSSSQPTSKVERTLQPTKNRKETATATKPTIKGQEEKKAKTATRISRISKISPASEEKSSATTKQSLHNKVSKKSSVVPLESKPVKKTTGICQTVGSGAAKSKAPQHDSSKDSETVNQAEDKEQTAATTEPTNKVLEADLAQPAHDVDEHLEILLNNDDLNIEKTEKPASSLTATEMASCDQIEPSTPSPAPPPDEDMDISSAAWVEVEHQEQDVTDVSENVVAEDLTSPGIEPLPSSSPRIRHSLSQMLQADSNEPEIIEWGNAENPPAIVFHKDSPKGFKRLLKFARKNKGDNNTNGWASPSVVSEGEDEFDESRVTSDGGNSSRRTFDGSKTNSILSAPSTTGSFNSMNSDKLRERPGATTSTKASRSFFSLSTFRSSKSNEPKLR >Et_7A_052087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4490489:4493105:-1 gene:Et_7A_052087 transcript:Et_7A_052087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATASRRRGRGGGSMSLLVALLLLALAGSSSAWPNDGAVGAGAAAGFVRVAGGERRYRDLAERRLESVRSSFGARRDLATSSAGARVYHVTDYGADPTGAADATDAINKAIADAFRPPSNATMTGGIPDLGGAEIHLDGGMYLIKGPLTLPASGGGNFKIHSGSLRASDDFPTDRYLIELTATSSSRSYDYEFATLRDLLLDCNHRGGGVAVANSLRVGVDNCYVAHFATDGVAVRGGHETFIRNTFLGQHMTAGKDPGERGFTGTGIRLDGNDNSVSDVVVFAAATGIMVTGGANAITGVHCYNKAAGFGGVGIYLKVPGNTQTWITNCYMDYTGIVAEDPVLLHVSGSFFLGDANVVFKAVSGVAKAVQVVGNIFNGRDKGVDIVQLDGKFATVEQVYVQQNGAMGMTVKSTTARGSTDGNGTTWTVDFAPVLLFPDRIGHVQYSLVTGDVFPSHTLQNVSGNQVVVATDKAVSATVHVLVDQNSD >Et_1A_007624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36579816:36582772:1 gene:Et_1A_007624 transcript:Et_1A_007624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEYLFPQKQRNGILFLVHDATRLKRCNRRPANLHGWCWHPYRPTCADVLQVANKERPVVGTGFMGRQPITTSMPVAWRDMRRAGATSWPCRSAGPQQSRSSWHHFTFYRQPHLPEKASAPHESPCRHHTLSYNKLKGSIPCTLGLLQSLEVLILYSNSLTGSIPSEIGNLTNLVSLSMSYNHLTGSIPSSLGNLQKIKNLQMRGNQLMEAISSFLGNLSSLIILNLGTNRFQGEIQELSTLTALVLQENNLHGGIPSWLGNLSSLTYLSLGANNFIGTIPDSLGNLAMLTGLVLAKNSLTGSIPSSLGNLYMLSEFFLDKNRLTRSIPSSIFNLSSLNIFNVQMNRLTGSLSTQTSINLPVLQIFNVGFNQFQGVIPPWLCNSSMLSTIAVEVNMILGTVLPCLGVRQKSLSILTLENNQLQANDNDGWAFLSSVTNSSLLKVIDFKHVTTKNAQSNAIANLSTNIQAISAGNNKISGNIPEGIGNLFGLSYLLMGNNYFEGSILASLGRLQRLNSLDLGMNNLSGEIPPTIENLTSLNKFNLEQNSLSGPVPSTLRSCPLQLFDVHHNMLNGPIPKEIFLISSLSNFMYFQNNLFSGSLPSKIGNLKHVADIDLSGNRISGEIPASVGGCQILQYLKMQGNFLQGTIPSSMEQLKGLEELDLSRNK >Et_8B_060860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9595765:9600605:-1 gene:Et_8B_060860 transcript:Et_8B_060860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALASSRCCCSRLSPPPPLPTRGRRSVTRCALPGQKRNSFSWKECAVSVALSVGLITGAPTLGWEAHASPLQPVVPDVSVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITDSLKVAGVRALDSVERNVRQASRALSNGRNLILDGLAESKRANGEELLDKLAVGLEELQRIVEDRNRDAVAPKQKELLQYVGTVEEDMVGGFPFDIPEEYSNMPLLKGRATVDMKVKIKDNPNLEDCVFRIVLDGYNAPVTAGNFIDLVERKFYDGMEIQRADGFVVQTGDPEGPAEGFIDPGTGKPRTVPLEIMVDGDKAPVYGETLEDLGRYKAQTKLPFNAFGTMAMAREASEFDANSASSQVFWLLKESELTPSNANILDGRYAVFGYVTENEDYLADLKVGDVIESIQVVSGLDNLVNPSYKILRDIGDDCI >Et_10A_002088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2277979:2279092:-1 gene:Et_10A_002088 transcript:Et_10A_002088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELLRPRKRTAADMEIQEEEDTDDLVDVDVVQGSKHGDGSIYQPGAHYLHRLYKLADTREIFHQLIYGRCSIDPSARLEAMRLSDPTKDCYPCWTSCRQHAGSAMLQIFSLKLSNLPATAAGYSRIDLYGFIAFRDLLDPLRNYIFNYSRDDPYIIQNINSDPFIYLSGPKRGVYLECRVLMEYDIRIKKGATEQDDLQLIDGAATFNELTCFHGVFTNCIRGDHGATLDISRAIFSGAVEATVEVWVTKLSEKNESWDDHGLDLSISGYISKITEEIKLFRGVVKEPCALGRFVVALRLDAFLFLHFKVPSCGPSNSKLDWFAFRVVNHGSIIDIRKFHFGTVEVKVTWSSLV >Et_2A_017123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31062583:31071409:-1 gene:Et_2A_017123 transcript:Et_2A_017123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KALGTLFTTNPARAPSRLPAPPRLTQKRHNSRLAAGRAFPIRLRPHRERGHVTAAREFPNPARSQHGSHPGSGPGFPHSTGPHRFTRKRSRQRAGRRRLGKASKAKAEVARRISDASPRSTRLSPPPNSPLPNPRTPRRRIRAAIPESGSINRTFLSSPVVGMDRHPPFDHPHHRRAHPGHHYPVQHRHHYADQHQHLAAGGGAAPARSRYDYDSHPIQYPPSDHPHHLPRIHHQPLPPPPPPLPPPPPLPPHHRHDGPHYAGLPLRAPPETYSPPPYHNRSPHHPYHQQHLGGDDFRAADEIRRAPSRHHHHHHLQEQQHHHHQPQVPWEEAEEERRRYATLPLRLSPPLRLSPSDSRKRHRCALHDSGDLESTSSSGPPPRRQRQHSQTSYPSDDSFVDRTSSHSGYSRHDGFPMHSDSKGNRKMLTSTSTMPPVSPQKIDDGYPRRTAQKGAPPRVSVWKRIEENSSVCTPSSPRQLHISPSKTKNTGRASKEQSSVISLDCKAISPSKMKSTGSASKESTSVMSLDRKGKTGDYKDSGDNGGTKKSTGKKSEKVLASVLVKPSPESKDKEVAVKQATRKPDKVQNNVPGFSIGSAGLTACRGAGAKKVKKIIIKKIVRKIGARDKQTSGSTVSEQKENVDANADACEKEEGEITTSSFEKDDSSVHNLISISDIVGVVSSVDAEKEQNDGLMNLSKSNAASDSESMGVLDTVSVSGSQHSEKEDKSFMNPCDISGASVADSTKALDTIGSEHPGGRGCMDSDGQNAALLCENGNTSPRMPDITKPRECDVPKATTGNNAVSVNGVKDDTKQVCESKEGQSKNSNFFTNNSFRSPSTVEASVTACKDIHRKEDSEICVASLGVYMETPKIAEPSTTQDTCKEEGNMPNSPKEMGIVSVSPLERLNGMEFSVIDGIQDKNGRIPMAQSKVNASVTHHVNTRDGLKASVDENIKKKESQMPIDSNALKTAQCIEAPNTAEVFTNKIAGSEVCRSPMESDETHAVLASGTDDSHTQNLLHVQGTALDKTDLPRETAGMEISNFSLTKNVESLITPLDGDLIEDPSGPIILNTDVGKDTIPQAAELMHLHGADLCPANNLSLLLHSHDSPSISDNSERSPPTALTLGNNTYFSSAESEQPEECHKLIESSKGLDDVTGTGFGKIGTRESESGNNLINADAQNWLTLPLADNYVNRDTAVSMNRFDLEQNLDEDASVSQDHGSMPDMDQGGRIDAFDDQDHSRKLSCSNVAQSDLLALKERDKNVENEREIVLPESSASSVNVLDQHGYPTMDKPIDNNPYEPIFLSSQSAGVTGGELASQVYIDPGHTCHSTMDEPGTKPDLLSSWIESIVSEATKDHQPCKSTPDKILGLKDTKKAFSDPLVNSAIKSPPRLNIASSTVAKVPTKQVGLPGSSREAPRLNQNARRMTWQRDNVSSSKSYLHASQPSGLPPKLPLKKNGKTPNCYIRKGNALIRNPATGNHPQSFSGLDAPSKLSKPVMRRSMNFVRKVDSNETVAHSNISVERPKTPPLPLHTKSITTNISEPFSQPLQKQQVPETEKNNSSGQVNPGVDKPSVTIQQKSESLDAGKLVYVRPKSNKLVASQGQQSGGSNNSSIDKVLLSQPSTTSDLYFKKRKNQIILGSSTSDVLSSKDTSQAENSNTGETKVLMLTSSNKNLTVAKDGPHKALQTTNTVGSFSHVWTLSGQHPQRKPFVGTSHIKAFPRILPWKRKVFGHNFRSSYSSVLNTSSLGIVRKLLKTRKRGTIYTVSTNGFSLRKSGVLSFDGSSLKWSRSLEKGSQKVNEEATLAFAEMDKKKREKRKRQPLRDKGRNDQYSLPVATRNNNHRSSDSRVPSTCNEYVRINKGNQLVRNPKKVIRMLASEKVRWSLHTVRSRLAKKQQYCQFFTRFGECKKSGGKCPYIHDQAKVAICTKFLKGLCSNTSCKLTHKVLPERMPDCSYFLRGLCSNTACPYRHVKVNSNAPVCEDFLKGYCADGDECRKKHSYVCPVFEATGECPQQSRCKLHHPKKKIKSKRSRGGTLQNNSWGRYFDSSIGEGSEAMRVYLEEEDKQKQEHAAGGDLADFIHLGADMDGSVDVDASDDIHLMELDSGNLKTQADNLDALIKPLRIMRTAR >Et_10B_004118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13407968:13408404:1 gene:Et_10B_004118 transcript:Et_10B_004118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPSRVWVVKAKGTSSSHHQHPEVHLLGDQTRATANFTDIIGSGSSDVVYKGIVGDERVVAVKVLSNACCSEGRHRILVYEYIENGSLAKILFDREALESVLVWDQRFWIALGVAK >Et_6A_047570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7538479:7540125:1 gene:Et_6A_047570 transcript:Et_6A_047570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAWWKSSQPAGTRPTRTHPVPKADPDGFDLTRLLNHRPRINVGRQRSFDDDDPSLSEISLSERYEWDSESDESTYSPVGDTPGSPVWLSFEPHPPLVGGELRPQERMMELPRRGGSWPVSVVHLPRSVFFLRAVLLWMLTAAACIKTGRLEIARRTIGKQARKFQWTWSIAGYLVAKMMLEEYPSHLISMISLAEDKASRCSIRRRASRGPIGILYKDPGPAWTALPGQREAAPSQALAALERALWVTGRRQTEKPLLSGATIPSNDAWMRH >Et_5A_041300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20798488:20802691:-1 gene:Et_5A_041300 transcript:Et_5A_041300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPQAVSAPPSAAGGTPNPGKRKRPSKGKGGKSKKKKLTRSDEPLRRRTNKPSAKFLKLLKKRARDYNSDDEEEDKQQEEEPPSPRRSRHADHDEEEAHSGDEEEAASSSGDEAGSTGAGGVTRFEEGCRAFRVAFLKIMSKKLPDDPLGPILSANKKLVAAKLAEEVDEHKPKAEARKEKREAAEKGHVLPKELLDSHDKELMKIATQGVVRLFNAVSKAQKPRKDLNPSSTRDAKVLAKERKRTFLRELESTSHQDKKSQASSSFSKHIGKDDDEPGWAPLRDTYMLGSKLKDWDKMQDSAAASEQTKVPVGDSSDEE >Et_2A_017113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31000895:31006230:1 gene:Et_2A_017113 transcript:Et_2A_017113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIADRAAAGELPEEARPPSAGAEDEDEEEEEGDVCRICRNRGDEDHPLRYPCACSGSIKFVHQDCLLQWLDHSNSRQCEVCKHAFSFSPVYADNAPSRLPFQELIVGVGMKACHVFQFILRLAFVLSVWLMIIPFITYWIWRLTFVRSLGEAQRLFLSHISAQLILSDCLHGFLLSAIIVLIFLGATSLRDYIRHLRELGGHDAERDDGGRERHGARAVRRLPGPNNRVPADGNIDELAEAQGIGAGELLRRNAENVAARLERLEAQVEQMLDGLDDADGAEDVPFDELVGMQGPVFHLVENAITVLASNAIFLIVVIFVPFSLGRIVLYYLSWFFSSASTPMFAKMMPFTETAISLANDTLKTAINVVKNLSSDGHNEGVIGHVIEVVTQSLKLNATGLSVIQGTGKNSLIKGTTIGSSYLSDLTTLAVGYMFIFCLVFLYIGSLALLRYARGERFTIGRLYGIATILEAIPSLCRQFFAGMKHLMTMVKVAFLLVIELGVFPLMCGWWLDVCTLKMLGATIAQRVEFFTISPLASSSIHWLVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRVAPKIFPLDITIFDPFTEIPVDVLLFQICIPFAIEHFKPRATIKALLHHWFAAVGWALGLTDFLLPKPEENGGQENWNGRGERRDRVHGGREMVAPQVEQRMIQHAAAEDNGRGNANEANDIAEESDVDDQGDSEYGFVLRIVLLLVLAWMTLLIFNAGMIVIPISLGRLVFEAVPRLPITHGIKCNDLFSFSIGCYIIWSAAAGTRYAIDYIKSGQLGFLVQQICKWCSIVLKSSALLSIWIFVIPVLIGLLFELLVIVPMRVPIDESPVFLLYQDWALGLIFLKIWTRLVMLDQMAPLVDESWRAKFERVRDDGFSRLRGLWVLHEIIMPIVSKLLTALCVPYVLARGVFPALGYPLIVNSAVYRFAWLGCLIFSALFFCGKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEDSPRSSDSGATSGSDDQDQGLILRDQEGEQGLRMRRNNLRANQPGLAV >Et_4A_035856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7386656:7388588:1 gene:Et_4A_035856 transcript:Et_4A_035856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTIMVPLGKELADIAPRDGLASKARAAGNSKAFADNWRVCRSLLNRVDIIYIEWLTCEDLKMIYVPTTKTPQLCMQLVLGYKQAMRYPQKELLSAARAAHMMLHRSFSR >Et_3A_026811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21625984:21626840:-1 gene:Et_3A_026811 transcript:Et_3A_026811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVAVALLLSLGVAASSTAEDFDFFYLVQQWPGSFCDTKQGCCFPSTGKPAADFGIHGLWPNYAACRPVAAAAAVDAFDIVASQKKNCWPQYCNDLNPLSLLEITDLLKTLDRDWPSLSCKNGGRGMDFWSYEWRKHGTCAGLDQHEYFEAALALKARHNLTAILAGAGIVPSDTETYFLSSVRDAITEATGFKVNLECNRGVSGEAQLFQVYQCVDRDGKKLVDCTLPMNNSKCTDRVKLPAF >Et_5B_043349.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6227082:6227297:1 gene:Et_5B_043349 transcript:Et_5B_043349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRLSQSPQEDFVAWHHERTGCFPVRSAYKLAVEFRDLDKGTSSSSGSPDGSRPSWKKFYVDYRPGVIRK >Et_3B_029782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27947613:27948754:1 gene:Et_3B_029782 transcript:Et_3B_029782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IEALKEVGVTEVVLAINYRPEVMINFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLADGSGEPFFVLNSDVISEYPFAELIEFHKSHGGEATIMVTKVDEPSKYGVVVMEEATGRVERFVEKPKIFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPRIAADQQLFAMVLPGFWMDVGQPRDYITGLRLYLDSLRKKSAARLATGAHVVGNVLVHESAKIGEGCLIGPDVAIGPGCVVEDGVRLSRCTVMRGVRIKKHACISNSIIGWHSTVGQWARIENMTILGEDVHVCDEVYSNGGVVLPHKEIKSSILKPEIVM >Et_10A_000315.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22787154:22787204:-1 gene:Et_10A_000315 transcript:Et_10A_000315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSKSLQAFLLALG >Et_3A_027059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31141972:31145481:-1 gene:Et_3A_027059 transcript:Et_3A_027059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDWELLLASPKAAAAAEPYSGGGEDDAGAIKHDYFDLGSDAKYPRRASLSKGGDEVEEDVEELLVDSGNASWVEPDPDDLAFPSRDRAALWSDSSSDGERPEVEAPEPMERAREEEEEETAAAEGEGAVAKGVGAVRWWKLPLDALRVWALRVARSAWSVPFAIALLGFAVLGRRLYRMRRQSKAVARVRLVLDEKKASHFKGQATRLNESVMVRRAPMIKPMLPANGVTPWPLDGVYGIEVTEGKSGRHGLAARSQETDLSPEIGAPAGYLP >Et_9A_061838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1728380:1732542:-1 gene:Et_9A_061838 transcript:Et_9A_061838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRALRRALPPLASPVAALPRPAPHPLPRPVPLRFLDPIGLRPFSAAAATASQAPAMGASLSGGLMDTRFPKRRPGFANRRKRASLRPKGPYAWVPCKPGEPIPVNQPNKGSVQGRKEKKRIKQRKAFIMAEKRKRQAQYSVAVKRKEAERTERKMAAVARERAWAERDELKKFKLEQTIYPLYGALDAPYWTMWVLFVAYSTLEVIVPAWVDLGHAVYPVWVLGNGAASISEGAAAAGADADEGDEHDDHEDGDLVPVLANLLHYAGLARVTLVAEDVGSIVPLVAVLVLRSHRHASAVLAGCWWFAATRLQDSSEFRVAMHRVAEVAAVKVLNRVAIDNFIWFLNTIEIRRAMDTENRSSNRDKNHETEETSG >Et_9B_064105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11206640:11208444:-1 gene:Et_9B_064105 transcript:Et_9B_064105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKRYCLPYESIWDAPVPSPYPIPKCECNMTAVVTQSSHPLTAARAYFCCGNKGNKRGCEFQELIHRPKSHYPDPDTLSDDVLHGEELPCWYLPPLLCQCGVPAREGVVPSELGYGHYCENTVGENDEWDTRRCDWETFEGKEEFLLKAKKKGPEYFKKALATKRSNMRHKYLTMPPSFIYNTICLELKIKRECPFWEGAEVDVVIHHWRRNRDKYPPKREGKKEEEERKKHAKEELEARQKDPNCWEHYFYKLAERKKKRKIEEEEVAREERKRKGEDDRVAIQLMMDAEAIDKMVDIAKNTMMVTFEVGLRILTRGNPPLFQEGIVEWERDS >Et_7A_052788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16315664:16317909:-1 gene:Et_7A_052788 transcript:Et_7A_052788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAKKEEEKPKEAEEKKEEKPKEEEKPKEGGGEEKPKDGEEKKEEAPPPPPPPPEEVEMRVYMHCEGCARKVKKILKRLDGVEDVIADSKTHKVVVKGKKPAADPMKVVERVQKKTGRKVELLSPIPPPPEEKKEEEKKEEPEPPKAEEKEPPVIAVVLKVHMHCEACAQEIRKRILKMKGVQSAESDLKASEVTVKGVFEEAKLAEYVHRRTGKHAAIVKSGPVPPPEEKKADGGGEEKKAEGGGEEKKEENKDEKKDGKEGEGGGGDEKKEEKEKEGGDAGAGDDKDKEKEKEHAAIAAAYMHYPRFGFPGGYYPPPPPGYVYQPAYPPPPPPSYAPYAPQYAPHAPQIFSDENPNACSVM >Et_1B_013972.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2663147:2665822:1 gene:Et_1B_013972 transcript:Et_1B_013972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSPMRPHLLLLLLLGAVPFQVRAGKVATEVITPDFSASYLLFIDTFGVFLRSRSGAFQAVVYNPGGQQERFYLAVVHAPSKTCIWVANRAAPIADRAAPLHLTARGIAIEDPNGTVVWSTPRFGVPAAALRLENSGNLALLDVRNATLWQSFDHPTDSVVSSQRLPVGGFLASAASDSDLSEGPYRLNVTASDAVLTWMGSLYWRLSGDGNSIKDRDGVVDYMLVNATGLYLLAEDGGVIIQVTLPAAELRVVKLGSDGKLHVASFASVNSSSATMDSGFEAPSNGCELPLACGALGLCTPKGCTCPPQFAASQDGGCAPSDGSTAGSCNGSAAGGSSSSPASSYASLGNGVAYYANKFAPPDLAGRNVSSCQALCSAICSCLGYFYDESSLACFLVQHQLGSFISTDSTGGGSDELGYIKVQGSPSSQGSSTSKSSSSNSTLVAILLPTIVAFALIVVFSAVVIVSWRKEGRRAGRRAARDLQLRRQQRSPSDSTHLVREIIDDDGGDDIVIPGLPTRFTHEEIEDMTNSFRIKIGAGGFGAVYKGALPDGSLVAVKKIEGVGMQGKREFCTEIAVIGNIHHVNLVRLRGFCTEGQRRLLVYEYMNRGSLDRSLFRPTGPQLLEWKERMDVAVGAARGLAYLHFGCDQRIIHCDVKPENILLADGGLVKIADFGLAKLLTPEQSGLFTTMRGTRGYLAPEWLSNAPITDRTDVYSFGMVLLELVRGRKNRSEHVSDVGGGEASSSSNGTTGSASSKSDYFPLAALEAHETGKYAELADPRLQGKVNAKEVERVVKVALCCLHEDPHLRPSMAVVVGMLEGTMDLKEPCVQSLGFLRLYGRGFAGSAAGGSGDHNQMGSVGDRSGTTTLTTMSGWPSYMSSTQLSGPR >Et_7B_054137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1621369:1621745:1 gene:Et_7B_054137 transcript:Et_7B_054137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKAKETAKKAIDEMDTEIKVAFENLKIYKFYPMKTPDTPDFSVWKANYINRYSPKKAHYVM >Et_1B_012631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33961643:33970060:-1 gene:Et_1B_012631 transcript:Et_1B_012631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPQNPSNAGPTAPSEPAQPPPPAAKSKGKKKDEKKDDDLSEEDLALKEQLELYVLRAQDTDPGVQKLALESMRNLAGEIAQEFQKRQDDDLPIDALMELVQQIISFHMKHNAEPEAVDLLMEVEDLDLLVEHVDSTNYKRACLYLTSSSKYLPAPDDMLALDIAYTIYMKFGDLASALRIALLLDKSMQYVKQVYTTTDDLLLKKQFSYIIARHGLALEIDDEIAADDNDKEVLQEIVNNTKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGASSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSSGSSGNWLFKNKEHGKSSAAASLGMILLWDTDSGLAQLDKYLHSNDSHVVAGALLGIGIVTCGVKNDCDPALAILMEYISKDDSNIRIGAILGLGIAYAGTQKEELKMHLSAVLGDSQSPLEVLVFSAIALGLVFVGSCNEEIAQSIIFALMERSEAELAEPITSLLPVALGLLYLGKQESVEATAEVSKTFDEKIGKYCDVTLMSLAYAGTGNVLKVQKLLGICSQHLDKGETHQGPAELKWLTASRILDEQFLSLLVNVMDTLSRLSHDADADVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAAHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPMALGGLVTVLHACLDMKSTILGKYHYILYIIVLAMQPRMLLTVDEDLKPLPVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYIPLTPVLEGFVILKKNPEYHEE >Et_3B_028561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16783534:16787092:-1 gene:Et_3B_028561 transcript:Et_3B_028561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAGSCAAAPVGLPSLRSPQSLRPGGFMLCSSAAWSRGGVSLGWAQGGKKQRPPPAAVARLNRLLCEYMRRDGQEGDVRSQGKDDAVMFGPDDDNGTKIPSQVETLVKGTATVTAEYKPAPDLDYLQELLAIQQQGPRSIGFFGTRNMGFMHQQLIEILSYAMVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLSKVQNLIEKPQYDHLPLIEASRLCNMDIISKVQQVICFAFHDSKLLMETCQEAKNMRKIVTLFYLD >Et_1A_005174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30860987:30863308:-1 gene:Et_1A_005174 transcript:Et_1A_005174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTSACSLPEELVEDILSRLPAKSLRRFQCVSRSFQTMIESRAFQDFYFQRNRGNRRLFIRPSMFLEPFYAWQPGGPVEKIMGIGHHLPQGSIFPVSKSCRGLVLLSCLEYQTHTIWNPSTGEAFTLPDRIPLRAARCVFSFPRYPLVSYGLGYCSATQRHKVVRMYCLRGSVVPAAFCEVFILNESTCWRPVATKLLEHCPPENWRQGAVFCNGNLHFLRDEGDITTFNVTDETFGLLKAPTELQGSSDNFELTELGGCFPFELWLLRDYGASGNWEKIFDFGWGALQEGMQATLKSSWIAPLDVCYDDRGKMMILFGTGSCNAFIVDPRIGIAEILLSQVDTTIGNNRFPTMGLFEESLARVNDTSKMKFMFSSPSTQAWSEVLSRLPARTLGGLKQVCKGWSALIGTGSFVASHLCCANLNMSAQMMFIGGGPDGFEHMQYFLDTPHTTPPLVDDCLRVVCSNPCHGLNTVSFGKYDFVCNPITGYSKVLPLDDSMDRGEDVFAGRLGLGYEPETSRHVLVRLAYNERNLTTRIYKVVCKMRYIDDMFWDEIDPPPRPVASMPPAYSKGKLYWMTDIDFIERIPCHEIIVLDISTRKFGVFQGPLCNSERMLIIELHERVCVACLHQRTNIMEIWETKDNAVWAVKYHIELGMFSPEYLPELATPLAVDPKDGRILLSTGRALGYYNPNTTELQMIFNVGNHIKNQKFVPILFKESLVNPRDPIFSEDPYEVCASFMG >Et_10B_003033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15610418:15613969:-1 gene:Et_10B_003033 transcript:Et_10B_003033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLRLMISIVSGVDACGRAEAAVLCCADEAALCRRCDAAVHSANKLAGRHQRVALLPSSSAPNNVVVDDGEGAGGHPVCDICQEKTGYFFCLEDRALLCRPCDVAVHAASAHASAHRRFLIAGVRVGDVVQSHHHHHVPCKDGAVSPSSSSANSGFPGSSGSDPVSISNDVMRSSPAAEEAAVEEGFGWPWSEIFADGGGDMGKGRDSQVVRSNCKIET >Et_5A_040606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10199267:10206246:1 gene:Et_5A_040606 transcript:Et_5A_040606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGPEEDTAQGLILPNKERVMYRPPPGKSALGLDLLAHRKRELEGGNAFKPPPQKVTVAADSVDEDEKPGPAESDATSLSGGSRGNSSRRYRGSGSDDKTSSSELSVNEDQRAPTPSRRDESLRQEPYVSRSSQGSRPHSTPRRYDDYDDRGSRDRHGERERSSSIGYSSSGKRGGYHDDRGSHTRRDERERSTSIDYNNKRSRYEHSSRSSRTPARSDWDNGCWEWEDTPRRDYRDDRPGSRRLPSRSPMLGAASPDARLVSPWLGGNTPRSAASPWDNISPSPAPIRASGSSKGSSYSHSSGRSQSHQLTFSSTSGSNDIDADRSPSNVDGRSEITEEMMQAMDYNADRSWYDCEEHSTMFDGDNSMYLGDESSYKKKEVQMPKKLTRRDGSLMTLAQSKKLSQMTADNAQWEDRQLLRSGAVRGTEVQTEFDDEDERKVILLVHDTKPPFLDGRVVFTKQAEPVMPLKDPTSDMAIISRKGSALVREIREKQSMNKSRQRFWELAGSKLGNILGVEKSAEQVDADTAVVGDQGEINFKEEAKFSQHMKEKADAVSDFAKSKSLAQQRQYLPIYTVRDDLLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTSTGIVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTGPTTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNADKFSKFFGGVPVFHIPGRTFPVNIMFSKTPCEDYVEAAVKQAMTIHIASGPGDILIFMTGQEEIEATCYALAERMEQLVSSSTKTVPKLEILPIYSQLPADLQAKIFQKAEEGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGGLTEIGWKMVEFPLDPTLAKMLLMGEQLKCLNEVLTIVSMLSVPSVFFRPKDRVEESDAAREKFFVPESDHLTLLNVYLQWKSNDYRGDWCNDHFLHVKGLRKAREVRSQLLDILNTLKIPLTSCDMEWDVVRKAICSAYFHNSARLKGIGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELVLTTKEYMQCVTAVDPQWLAELGPMFFSVKETDTSLLDHKKRQKEDKTAMEEEMEKLRQEQAEAARIEKEREQEKRAKQQQQVAMPGLKKGSTYLRPRKMGL >Et_2B_019523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12116:14754:-1 gene:Et_2B_019523 transcript:Et_2B_019523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFSSSAAAAAASPAPLRFYCHQCDREVPIAPPACPDADVLCPLCGGGFVEELDDAPNPSLGSGSFVFASPPSFDLRHPSDLSAFFGPPSPSPAASPAFDPSNFLHDHFGGILSSGATIQIVIEGGGGGIPALAPGLSLADYFMGPSGLEQLIQQLAENDPNRYGTPPAAKSAVAALPDVTVSADMMQVDGGAQCAVCMDDFLLGASAKQLPCKHVFHKDCILPWLDLHSSCPVCRFELPTDDPDYQPQRHQAFSSSAPEAPPAAASPRVAERRFRISLPLSLRAAFGGAAQAETSNPNVQPQNHDEEPSGENNSNATGQGKSWER >Et_10A_000524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12014458:12017571:-1 gene:Et_10A_000524 transcript:Et_10A_000524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDTLPLQEMVPLGRTAWKFANIVVLSLLIAALLHRSALILSRGASPPWYWVAALACEAWFTLLWLLNMNVKWSPVRFETHPERLAERVGELPAVDMFVTTADPNLEPPVVTVNTVLSLLAVDHPADKLACYVSDDGCSPVTFHALREATEFAKLWVPFCKRHHVQVRAPFVYFSSSVPERGATDDDLLREWTSLKNEYEKLVSRIENAEEGSLLRHGGEYAEFLSAERRNHPTIIKVLWDNSTSKSEEGFPSLIYVSREKRPKYDHHFKAGAMNVLTRVSAVLTNAPIMLNVDCDMFANNPKVVLHAMCLLLGFDDEVHSGFVQSPHKFYGGLKDDPFGNQLEVLFQKLGFGIDGVQGIFYGGTGCFHRRKAIYGTPPDTIRHRNIRGSPSCKELRKTLGSSKELIESARSIISGDTLTLKTADIPSRMEAAKEVSTCSYETGTCWGQEVGWVYGSITEDVLTGQRIHAAGWRSAILDPNPPAFMGGAPTGGPATLTQYKRWATGFSEILVSKNNPILLSIFKHLDFRQCLAYLVWYVWTIRSVFELCYALLGPYCLIANRSFLPKMSEPGSSILLALFLTYHVYNFLEYMDCRLSARAWWNNQRMQRITSASAWLLALLTVLLKTLGLSETVFEVTRKDKSTAPSTDDADPGRFTFDSSPLFIPPTALTIVNIAAIAVGAWRAVVGAEEGVSGGPGIAEFACCGWLLLMFWPFVRGLVGKGSYGIPWSVKLKAGLIVGAFIQFCRRI >Et_9B_063936.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:3526457:3526687:-1 gene:Et_9B_063936 transcript:Et_9B_063936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RDVEVDSPPKKRSEIKDSIAKRSQRRLTREHCFCEGSDLFFKALFLCKDACNRRAFTKLQTEEGRVGWINFNWEHK >Et_6A_047539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7071419:7072005:1 gene:Et_6A_047539 transcript:Et_6A_047539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPAGTFLVSQAYFKGLCRSAAGVAVDHTLDISQTRNLSVKRLALLNGKNAHMAIFDCAGVTRRGVRMVRGAGRQPQHRRRARAALHRRVRILSTTIRTGDNCVSLGESRELVLCRCSSLAASMP >Et_2A_017974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8496714:8498427:-1 gene:Et_2A_017974 transcript:Et_2A_017974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRALHLLTPYRGISSTPHLASLGWFDKIKSTFTGKKPDDADSNPASFTLIQFADTMEKARKLGTFKNFVAGRASEATVVNAFEKHSAVLRYLGAIDPTGEKIQNSDKINATKHCNCTIADVEHILAKYTWAKEAQTKILKLKEEGKPLPKSFNEVQNLMGTSPVDVGRSNLAKSGQISRNALCPCGSKKRYKRLGFQT >Et_1B_012318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30926109:30928448:1 gene:Et_1B_012318 transcript:Et_1B_012318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATATMKVAAEDLAILDEETLALLGGGGAVAAPACVGAEWEAFKENVRPLKRGRDVSLLNRALKAYADPAQRAALLDTRRRMIEAIEEYRGEDPLQPWLDCIKWVQESFPAGGECSGLVVMYEQCVRAFWHDERYKDDLRYLKVWMEYAPNCTDAEVIYRFLEANQIGQGHAIYYMSYASLMEVKNKLRKADEIFNVGIARKAKPVEKLEGVYRAFLRRSTKKREHSEDDTANDDQPIRSFGADLKRGETRAPHAENFRLGKPKALQRIDVNRPLSVYKDENSVQGPDKIRNNKENNMSWRTLGTQVDRNKENNMIPAKWTSHKIPQKIGARAAVQSTRASSIEVFVDDECAQEPARQVPKSPNPSVLKLRQAISKNLKKETELLKENPLRHFPLSKLR >Et_4B_037592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21564826:21580104:1 gene:Et_4B_037592 transcript:Et_4B_037592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCQGILGAARMQEHSHFVIGVISENIKFGPPHQKYWAERQQQEAAKEGQTANSTVQPVSTTPSTGVQYWLLKTEPGEWSWSATTSHQAMNDLRAMRPGDQCLFYHSGAGATSRRVVGVVEVARPCYEGVGEGEEVEAAAAGGAAVDVRAVGEFRRPVALGEMQEGGQRGGGDAGLRAAPTAAAVGDARAGQGLGLDLWEWEEASCRTVRVRKKGIDAVNCQCDAGTQEHSHFCWQPRRSVPCRPRSGTGFARWEGASCRTGRLRKKRNDAVNCQVHPVAREIQVHLCYAAGSGIQIQKTCGSTPNRDVLGLLSAVCLVLTIACRPPGLTRDCEDLLDGIEVLVPQSVLASVDLGNACGSGLWKGKSGQQHCGLWQYGHTNSPHTTKPSPPPFPANARTSVPLTITGALKLTQHRMTRKAKSTVQSAFKPPSTCVQYWLLKTETGEWLWSDQARASCIACRSCRCD >Et_2A_018346.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24138938:24139471:1 gene:Et_2A_018346 transcript:Et_2A_018346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGTEAFPNLGAHCDHADCNQLDFLPFDCDGCGKTFCTEHRAYLDHGCAKAADQGRTVVICEACGVAVERAPGQDDRAVLDAHARSRRCDPAKKSKPRCPARRCKETLTFSNTSQCKGCGVKVCLKHRFPADHDCAATARAAAGARRAAACGRDAQRKDGGGLALPATMRNLKIH >Et_7B_053946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13647356:13648455:-1 gene:Et_7B_053946 transcript:Et_7B_053946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPMVVGVRKSSGVPATGSTRPDDGRSSLSSTRWRDAKSRSVWPRTSPLPCPPRFQYVCDRRFTGVALSSAVAFMCTPSVYGLDRRYVTYASTAPGKPAYTNRSGTPSRRGQTGPELFSAQRDRSPVQSKRCRSAKKERAS >Et_7A_052994.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:4146019:4146387:1 gene:Et_7A_052994 transcript:Et_7A_052994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKGLLLRCVSTGSCRVAPGAVAQPWSLTASPGKVPAGHVPVEVGAEGEETERFVVPAELLGRPPIAELLRRAAQEYGYARRGPLRIPCPAAAFRRLLSALSSSGASDGGLASRPYFTVVV >Et_10A_001127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21363695:21364993:-1 gene:Et_10A_001127 transcript:Et_10A_001127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSLQEHKVVRRNERFHGARAGVDSSAPGRVARLAGENRTEHNTRPTLQLRAYVEENGAGAGARKAEREAELSALIQPAHTPSNGVVAVSNSHHPRVGVLGNNTTSAAIRSLLSLSNGVPDVFASFVSFASGGGGVWISSALLASSPPSARATKQGVVVEWRGFVRDLCFRLLARARQWRRLLRLALRRRRLDVALLRRAAGQAAARPTAPLGSAPPTRPVLWLPAMPPVFLDDGRLYWLLEKPAIMSFSVGAERFETVQTPPARRVCYLTDFATRPYICAVTDLINEIGRYVLFTWNGATDNVVGALLHRLAEAFPGQTATSSSRNGSRPALCITGGKILLARDGPAQGFRVITIPRDAPWRGCSTCRSSYVGVPHRHHDAGLRPSIVLREEHGCHPISSTSKARKTSCRQS >Et_7B_054068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14982980:14985817:-1 gene:Et_7B_054068 transcript:Et_7B_054068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVVGGLASGAVLGRIQGGHFGAVKYAVTFAAAGAALDYAALKLAPEWHALKEQFSGSKDWFTLPEWSPIQVLDEEALAKKRAREEKLFAQRALGKLDKEEP >Et_5B_043563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11924933:11926009:-1 gene:Et_5B_043563 transcript:Et_5B_043563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVDVSTLEAGGVPRDYADPPPAPLVDIEELGKWSLYRAVIAEFVATLLFLYITVATVIGYKHQTDSAASGPDAACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRALLYMVAQSLGAICGVALVKGFQSGFYNRYGGGANEVSAGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVLYNNDKAWSDQWIFWVGPFIGAAIAALYHQIVLRASARGYGSFRSNA >Et_3B_031175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:230597:233088:1 gene:Et_3B_031175 transcript:Et_3B_031175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTAAFAPTPRPQHPRPSSTTSTVPLPGSTSGRCAVSGARGARRLRTDAGRPHQPLRHQQCEGHLPRQAASPRRRRRLRGGRLGPRPRPRRHRPTRPDDWVILSPPSFGTWQTYIVKHESMWHKVRTDVPMKYATTVTINPLTALRMPQDFVKLNSGDAIVQNGATSIVGQCVVQLAKVHGIHTINIIRDRLGSEDEKDKLKQLGADEVFTESQLDIRNVKSLLPVAMLGYII >Et_8B_058537.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:14366959:14367608:-1 gene:Et_8B_058537 transcript:Et_8B_058537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDIPSLRHVYLGARFSPPWNRKQKGIQTLSLNIPDGTHIRSGLAKLLSQMTRLGTFHLGMRPIPAEMIQVLSKMSLVVEVYLNRFKVLNNLPDNRFFPQSLRELVLIADVIKEDPMPILEKLPCLMVLRLEGYEGRTMSCSANGFQRLQELELKLFSIEEWRISIPSMPKLCYLTLRWCEHIHRL >Et_3A_023687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10980809:10986211:-1 gene:Et_3A_023687 transcript:Et_3A_023687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVYHEEYVRNSRGVQLFTCGWLPAGASPKALAFLCHGYGMECSGFMRECGVRLAAAGYGVFGMDYEGHGKSMGARCYIRSFRRLVDDCYCFFKSICELEEYQNKSRFLYGESMGGAVALLLHREDPAFWDGAVLVAPMCKISEKVKPHPLVITLLTQVEDVIPKWKIVPTKDVIDAAFKDPEKREKIRKNKLIYQDKPRLKTALEMLRTSMYIEESLSQVKLPFFVLHGEADTVTDPEVSRALYERATSADKTIKLYPGMWHGLTAGEPDENVEAIFSDIVAWLNERSRSWTLEDRFRKLVPAGKFIDGEKNGEAPVNGRPRRPRGGLLCGLTGRTHHHAEM >Et_2B_021338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28857711:28861664:1 gene:Et_2B_021338 transcript:Et_2B_021338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSTSYSLSPGGKKKRPRSPSDNDEQPPDRNQICTSLEDNLVFNDTMIALQLMRAQFPKLEKVVTQPFILQSQLYSSVKDRTQVDRDLESMKKDKVLRVFKLNSGQDDHAIMFMDDYLKQVASAVKRSGGRDQDCGEVFEWFERDVVHTKLGVSINQQELTRQLIDPNIYWFAIPSIGPILKGLSQGRKEILSLLNRKKYKEMLLSSLEKTKLRFSPLDTRFLLRDLIGSGHIKTVQTPTGLLARISRD >Et_6B_049876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15958636:15960945:-1 gene:Et_6B_049876 transcript:Et_6B_049876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDCIFFLDNVDKVTMGNCGDETLFYEERMGPCRMFNMRDGKVSDLLPTVSWNHSTKRATWLFPQGQEDQPYGGPLVSHSASVPPTAITLIAGGDLPGYPAMVKRKVVTMESSKNLDVAPQSQRKRRKHRHHPTPDNGAGAISDSDPPPPPSSPGSVRRLLEPYSRPRLVSILAEAAAGDPALRARLRAAANASLSHRRLFVHGLPPRADSSALADAFSGFGSLEECSAVADRAGRCKGYGFVTFTSRAAARRALLLVPGITVAGSPVSTQFATAGPTGSSASGSGGGGGAGRRVYVTNVAPDADPDRLRAFFARFGELDGGPFGFDAGTGRSRGYALFVYRAAAGAAAAVEEPYRVFEGRALHCQLANEPARRSKAPTPAAARSSASTTPPSPPAAAAPAVEAPALQPVLDAVVAAGVGDLAACARNPAQAAALLGQNPVLAAAVLSSALVSAEAARGAAAASAIAPSPAAASAPIPITVNSPSAPMPVAAEVPSPVKFGVRPNGGAGLLGPYKPPSSTAVSSSSGKKGTMVGT >Et_1B_013450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8490568:8494427:1 gene:Et_1B_013450 transcript:Et_1B_013450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAKKMTRSISRQLSSGAARVWRQLSLDPHTPRRGAAAGAGAGAGPTRFAIARQSSLDPTPRSEGGGSAHDLAVPENLDATMRLLFAACQGDAAGVEELLRSGVDVNSIDLDGRTALHIAACEGQGEVVRLLLDWKANINARDRWGSTPAADAKHYGHFEVYNVLRARGAKVPKTKKTPMAVSNPKEVPEYELNPLELEFRRGEEGDYVAKWYGSKVFVKILDKDSFSDADSINAFKHELTLLEKARHPNLVQFVGAVTQNVPMMIVSEYHQKGGLASYLVEKGRLKSHKAIRFALDIARGLNYLHECKPDPIIHGNLSPKNIVRDDEGKLKVSGFGSLNLNKVSDDKLQMAQPITQFDGVYIAPEIYRNEPFDRSVDVFAFGLILYEAVKMICLEGLRPPFKNKPKYYPGDVKELIQECWDPTPSVRPTFAEIIDRLNRIHAICAKQTRWRDNFKLPWYSSR >Et_8A_056053.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:12848919:12851230:-1 gene:Et_8A_056053 transcript:Et_8A_056053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLFLLLHLLVFLDLSSFALGNHQFAYSGFTGANLTLDGVASIKPNGLLELTNGTVREKGHAFHPTPFHFGKTPNGTVHSFAVTYVFAIYCIQSDICGHGIAFFIAETNNFSDAMSMQYMGLLNIYNNGNPSNHLFAIELDTNKNDEFKDINNNHVGIDVNGFHSVNSSSAGYYDDTNGNFHSLILASYKMIQVWVEYDGHTTQINVTLAPLNMAKPFKPLISTTYNLSSVVLGKAYVGFSASTGSFIARQYVLGWSFGLNMPAPPIDVTKLPKLPHEGPRPRSKVFEIILPICTATFVLVVGFIVILVIRRRLRYAELREDWEVEFGPHRFSYKDLFCGTEGFKNKNLLGIGGFGRVYKGVLPTSKLEIAVKRIPHDTKQGIKEFVAEVVTIGCLQHRNLVKLHGYCRRKGELFLVYEYMPNGSLDKYLYDQERKPTLSWDQRFRIIKGIASALLYLHEEWEKVVLHRDIKPSNVLLDNEMNGYLGDFGLARLYDHGSDPQTTHVVGTIGYLAPELARTYKATPLTDVFSFGIFVLEVICGRKPIEQTTQECNQMMLFDWVVSCWQKGSLEDAVDIRLQGAYNVGEAHLVLKLGLMCSHPFTHLRPNMRAIMNYLNRDMPLPDRLVPDDMSFNMLSFMQNKGFNPATIANSFSVTNSNTGYDLSGGR >Et_1B_011808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26096831:26106838:1 gene:Et_1B_011808 transcript:Et_1B_011808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DAKYYNDKITELDDGAASSQQPSTATLAPGMEETPAVMAPNAALSEPSRSILDGDATADPAAAVDDEHDSKEVVLRRYFLREWELLSSILGRIVAAGGVVEPGEVHRIRSIMDKYQEEGQLLEPYLENIVSPLMSLVQSKTMELGAGSTELLDIIKPLCIIIYTLVNVCGYKSVIKFFPHQVSDLELAVALLEKCHSMSSTTALRAESTGEMETKSIVLLWLYILVLIPFDISTVDTSIATADSMDGTEVVPLVTRIVDICKDYLSSSGPMRRISGLLLARLLTRPDMAKPFSSFMEWAHKMLLSVTDDFVGQFRSIGIVETLASIFKIGNRGVLYDAISATWNDCSVVMKTDVSTRNPLLRKFLVKLAQRVSLISLPPRSPSWLYKSASSSLGANLSSSTAGEGYSSGSREQVTIDQTGICFVEGDMDVPEIVEEIIDLLLTGLRDSDTIVRWSAAKGIGRITSRLTPALSEEVISSILQLFSPGEGDGSWHGGCLALAELARRGLLLPSSFRDVVPVIIKALHYDVRRGPHSIGSHVRDAAAYVCWAFGRAYTNNDMKDVLGQLAPHLLTVNCRRAASAAFQENVGRQGTFPHGIDIVNTTDYFALASRSNSYLSVAVSVAQYKEYLYPFAEELLCNKITHWEKGLRELAAQALSLIVQYDMDYFGGYILEKLIPCTLSSDLCTRHGATLAAGEVALKLYQLGFAFTIGMQKALSGIVPAIEKARLYRGKGGEIMRSAVSRIISCISMAGISLNEKTKKSLLETLNENLRHPNSQIQCAAVDALKHFIRTYLVSSGEKIANDIISKYMALLDDSNVAARRGAALALGILPYEFLILKWMPVMSKLCSSCTTEDKPDDPDAEARVNSVRGLISVCETLTASFDQSSKSGETVYEYIKDYVMRSLFRALDDYAVDNRGDVGSWVREAAMDALERCTFILCKGDSVASRTAPGHESELNDMEVNSSGNTHQLFDSVIAQDLIAGIAKQAVEKIDKMREIAVKTMQRILYNQEQFIPFIPHRELLEEIIPNSTDLEWAVPKVSYPRLVKLLQVSCYSKPVLAGLVISTGGLQESLKKASIAALVGYLQDFDINTSCEGKSREYLLSCDLLWVLEHYQRCDRVVTPTLKTIEALFSKKVFLKEGYSEFYSGLIDSMVSELKGSKDFTKAQEILSDTCWEGDVQEARRRRAEFNEMAGFSVTTSKSVNQETGRKAGAQNAVSTDENTSYSSLVDFSGY >Et_3B_027535.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25826408:25829755:1 gene:Et_3B_027535 transcript:Et_3B_027535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARALLPSFPFPHASVSNLKPHAHLAAAPGAASSPPNAASSSSNNPASNRLRRLIAREDLAEAARLVERSASRGGSGEAPDVYLCTKLIRNLCRRGRTSDAARVLRAAEGSGAPVDVFAYNTLVAGYCRYGHLDSARRLIASMPVAPDAYTYTPLIRGLCDRGRVADAISLLDDMLRRGCQPNVVTYTVLLEAVCRSSGFGQAMAVLDEMRAKGCAPNIVTYNVIINGMCRDGHVDDAREFLNRLSSYGFQPDTVSYTTVLKGLCADKRWDDVEELFAEMMERDCQPNEVTFDMLIRFFCRGGMVERAIQVLEQMSEHGCTANTTLCNIVINSICKQGRVDDAFKFLNNMGSYGCNPDTISYTTVLKGLCRAERWDDAKELLKEMVRKNCPPNEVTFNTFICILCQKGLIEEAIMLLEQMSEHGCTVGIVTYNALVNGFCVQGRIDYALELFNSMPCRPNTVTYTTLLTGLCNAERLDDAAELIAQMLKKDCPPNAVTFNVLVSFFCQKGFVEEAIELVEQMIDYGCTPNLITYNTLLDAITKDCSSEDALELLHGLVSKGVSPDIITCSSIIGVLSREDRVEEAIQMFHAVQDIGMRPKAVVYNKILLGLCKRCETDKAIDFFAYMVSNGCMPNESTYIILIEGLAHEGLLKEAHDIHSELYSRGVVTNIIDEWH >Et_1A_007198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3206158:3206962:1 gene:Et_1A_007198 transcript:Et_1A_007198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWISCSALAVLLLLVAGASPAAAASYTVGEGSGWTTGVDYTTWAASKSFKVGDSLVFKYPKGLHTVVEVSAAEYMACTATNPIGSDSSGMSTMPLKTPGSHYFVCSIPGHCSAGMKLAVTVGGSSTPSPTTPTTSPNTGTPYTTPATGTPYSTPTTTTPYTTTPTSPACTGGTTGTPLTPTTPGSTPFMSYPSASGLGSAGLAGFGLVWFVIVQLALLA >Et_9A_061337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10161736:10169182:1 gene:Et_9A_061337 transcript:Et_9A_061337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLCGSPVCFNKPVATCVLKEIFDDSTCLNHLLVIGITVLLVIIFSLHLLVRVIKRRGTVQELVALNSPLHLAAVLFHGSLGLVYLGLGLWMLRSSFNQDDSVYLPHWWLVNLSQGFSLILIGFMFSIRARFLGETFVRVCPVMLIIYAAYICCSSVVYMLADNVITTKACLDVLLLPCSLLLLWHIKSDHSEGLENALYKPLNTKTAEDMDDSQSHVTPFAKAGVFSLMSFWWLNPLMKMGYEKPLEEKDMPLLGDTDRAYNQYMMFLEKLNNKRQPPNGNPSVLWTLISCHKSELIVSGFFALLKVLTLSSGPLILKAFINVSLGKGTFKYEGYALAAAMFICKCCESLSQRQWYFRTRRLGLQVRSCLSALIYKKQQKLSSSAKMKHSSGQILNYVTVDAYRIGEFPYSFHQTWTTSVQLCIALVILYNAVGLAMMASLLVIIITVLCNAPLAKLQNKFQRKLMEAQDVRLKAMSESLIHMKVLKLYAWETHFKKRIEELREDEYKWLLAFQLRRAYNSFLFWSSPVLVSAATFLACYVLKVPLDASNVFTFVATLRLVQTPIRQIPDVIGVVIQAKVAFSRIVKFLDAPELNEQVRKSYHVGTEYPVVINSCSFSWDEDPSKPALKNINLVVRTGEKVAICGGVGSGKSTLLAAVLGEIPKTEGTIQVCGKIAYVSQNAWIETGTVQDNIVFGSSMENERYQETLLKCSLVKDLELLPYGDRTQIGERGVNLSGGQKQRVQLARALYQNADIYILDDPFSAVDAHTASSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSILLMSDGEIIRSAPYQDLLAQCKEFQSLVNAHKSTIGISDPNNVTPHRATEIETKEKNEIHGSRFRKTLKLSPEDQLIKQEEREIGDTGLKPYMLYLCQKKGFLYAFLCVISHIIFVTAQISQNSWMAANVQNPTVSMLKLISVYIAIGVCSVFFILFRSLSAVVLGVQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDVPFAIMFSISASLNAYSNLGVLATVTWQVLFVCVPMICLAIRLQRYYLASAKELMRINGTTKSSLANHLGESISGAVTIRAFSEEDRFFAKSLELVDKNAGPYFYNFSATEWLIQRLETMIAVVLSSSAFVMAFLPAGTFSPGFVGMALSYGLSLNVSFVSSIQKQCTLANQIISVERVNQYMDIQSEAAEVIEEKRPASDWPQVGRVELRDLKIRYRKDSPLVLHGITCTFEGGNKIGIVGQTGSGKTTLIGALFRLVEPAGGKILIDSIDITTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLQAVQEKEHGLDSLVVEDGSNWSMGQRQLFCLGRSLLRRCRILVLDEATASIDNATDAILQKTIRTEFEDCTVITVAHRVPTVMDCTMVLAMSDGKVIEYEKPIKLMETEGSLFRELVKEYWSNTSSGTI >Et_10A_000429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10090624:10092828:1 gene:Et_10A_000429 transcript:Et_10A_000429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAGDPPPTLCPLCGHPTGQAAAPTTTASPSWPSLPQVLLSSAHHHQARLPPLTSRSSIRPQPPEAPPAVVRVEVGDEAAALREALARQQAALCDVRAELDAERGAAAGAASEAMTMILRLQREKAEAMMEARQFRRYAEEKMAHDAAELAALEEALAKAVRDAADAAQQQREQTPRRHQQAHHHRDHPSGASTPRRAFCATPRAPASPSPAGHYPALRCCTIDHPPTSSEADALDAAQATPRDQLTRLAHRVHLLERGAGATPAAATTTPIIRVAPGCAFQRAYSDYDGDGGLDDYRDGGGGGAFFPDDNDGDDDCYGVDRVYTVDDAVRGGAPPDAGSWYGGGSTPVGSDSCGRGWPQEDGEARRRLSSRLLSLEADRESMRQAIIDMGADKAQVTLLREIAQKLCKEAAAVPAVPVPVAQLQQSYYRAGNAQQAVTVTVRPPRQAPVIVQRAAVVVKKQPLRGTSFLAAVVKKLMAFAFHSPPSGSHLSSGGERNRPASSEFIFFKWTKYICAHVNSLFFTKSSSPMACRYPIGQCGNNVGLLLLLEKAPRAGH >Et_4A_032145.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21039744:21039935:-1 gene:Et_4A_032145 transcript:Et_4A_032145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSARVELCRRTPRSAFAANRESSMVVLRLLDAPSSPSSSSSSRRRSSAALRLRWRRHAAWM >Et_4A_033236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20229302:20230342:1 gene:Et_4A_033236 transcript:Et_4A_033236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTKPLSLFKSHPDAASQPPPEGQNSGYLVIDDDPATLTDDTGCWGSCCPTRVWGLPFPQNRVLSVVFSGDLADHAVVFVPVPDQPLASNRYYAVVASGRSRGLVRTCSREEDMATCFCRCIKDVKPRVFDPADVYQQMEIVQRGKPGQMDRSAFYQVVLEKRWEPVHTLLGSKLVSSRKALIGGSVEANQEAAVSSRHGGDTYVWFRAAATGQRVGLCTCVWERMTWEEHRGGWLDEEDDAEKLVAGSSSVFVEQFVVKRMDGSVAVAFEFVHLNKVRAN >Et_1A_007546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35759337:35759855:-1 gene:Et_1A_007546 transcript:Et_1A_007546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFTNKVDQILKSCSGAAVKTLEPYVFWCRNLDPCFLDNWLQIVVTPGIENEEYNFPCSLLFGGNGSSIQHLRLTRCCLRPTNHLCCLTNLTELYLCDVSITGEELGCFLSHSPALMELELKLCSETFCLKIPCMLE >Et_3A_024855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24915083:24918338:1 gene:Et_3A_024855 transcript:Et_3A_024855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPATLAAVAPVVVAASAAAVVSRRRLREATRWTRADAVLRDLEERCAMPAERLRLVADAMVTEMRAGLASAAEGGSLLKMLVTYVDSLPSREEKGLFYALDLGGTNFRVLRVQLGGKEQRIIKQESEGVSIPQHLMSRGSDELFDFIAAALAKFVASEGEAFHLPEGMQRKLGFTFSFPVKQTSVASGTLIKWTKGFAIDEMVGKDVVSELNKAIRRQGLDMKVTALVNDTVGTLAAGRYVDSDIIAAVILGTGTNAAYIEHVHEIPKWCGPLPKSGDMTLDADSLNPGDQIYEKLISGMYMGEVVRRVLLKMAQESSLFGGNVPQKLEIPYILSTLHMLMMHQDTTLDLNTVAIKLKDILGIESACQKTRKLVVDICEVVAKRGARLAAAGIYGILKKLGRDTTSPGKQRTVIAVDGGVYKYYTLFAQFMESTLRDLLGEEVASSVVIKLAEDGSGTGAALVAASYSQCPPGN >Et_7A_051490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19392011:19395174:1 gene:Et_7A_051490 transcript:Et_7A_051490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMRPRRLPPCLAAAILTATAVAVILISASSPGGGALLGSHQSPPAAARPEWGPGQPPSFAYWISGTRNDSRRALRLLRAVYHPRNRYLLHLDAAADADEREAMVEAVRSEPAWREFRNVDVVGEPYAVDRAGSSALAAALHGAAVLLKVGQHWDWLVTLSAADYPLVTQDDLFYAFSSVPRDLNFIEHTSDLGWKEHERIEKLIVDPSLYMDRNTELFPGTGTRPMPDAFKIFTGSPWVILSRNFTEHCVHGFDNLPRKLLMYFANTAYSVEAYFQTLICNSSDFRNTTVNGDLRYFVWDDPPGLDPLVLDESHFQNMVNSSAAFARRFEEDAPVLKKIDNELLNGSSVQLVPGVWCSNLRKEQSGMDVESCLKWGDINTVRPKRSGEELRQYISAISQTRGCS >Et_6B_049514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:627423:629401:1 gene:Et_6B_049514 transcript:Et_6B_049514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSGSAASMCARPALPALPAAASLLIRARRPPLQLQPSSPSHDVLLLRLGRASSDVRCRRRLMTARGERPDQDEEDGEEHVVGFDAAVALFNRGDFHACHDVVEELWYGAEDPARTLLHGILQCAVGFHHLFNQNHRGAMMELGEGICKLRKLRLDDATNPFSRFRDEVAAVLQFLYRTQKELAACTDELCLTMDGSPTSYQLLGNFAAGQQLYKMEPEAHGVSNIIFSASTGSQSVPLRVKLPTLHATEQHLTTLQSPARVECLSVETLATTIEKPVSSL >Et_3B_031608.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:661836:663413:1 gene:Et_3B_031608 transcript:Et_3B_031608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGRRKSFSFFEEDRKALRPGTPVHQYYAGAVGGGGRSPAREPARLSMSSVPGSGGAGVGVDAVPNMGGCSPWVQSPLHGRVRFPPSSPAAIYHCLAALHRLEGDVHALAVARGVLFTASDSGRVRAWAAPGCFNRGYLDVGRGRVPALAACGGTLVTSHSRDHRVRVWTVSTMAGHSCDGRMIRAKKAATLPAKSSLLHQLTRRTPQQQHRDTVSCLVLHAVAGLLYTASHDHTVKAWKLADGACVDSFVAHDGPVNAMVVNELDGCIFTASADGTVKMWRRVYGGATHALIIVLRSDLYSPVNALTLCHAASPAAHGATRKCFLYAGSSDGYVNVWEKEATAGRPVHAGHLKGHRLAVFCLASGCGGRVVVSGSEDATMRVWRRDAYNNNKLQGSHLQHTCLAVIEGHRGPVRCLAVGGGEAGEVEGSMVVYSAGLDKSVKVWRIRVVGKEDDDIIEDDGIIEDNDIDDDIAGADEEVMMAGKPPMDVADAPPAVVRDVDLVGPTPILSPVWVEKRRHTSRG >Et_1B_013345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7490272:7495208:-1 gene:Et_1B_013345 transcript:Et_1B_013345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILYAAQNSGLNPSSPTTPIAAAGSFLEYERSFLLSRDRHSCRLPASSASRQSCVVRERPPEATLCGAKMAFLFSKFQEAVKTLAKNPMFARDPRHLQFEADVNRLFLYTSYYRLGENAEEKDAEEIIDLASKASVGDQQKQVQENVHYQLTHMCQAMDSILHPDATNDLSKDPSDGPHHPRRSGLSFAVGGAGSVSKQDLVDYNAMPPKGSESNSDRVWRMLSKPLEKTVRENFGEVLERRNPLAFGHFANHPPQGSAPNVMICPYDFPLTEKSMRVYIPNITFGGEEPITMKRFGSFYLKSGGSGNQVADSQVLKTLVLVSTRSIRDEELFLNYLTQSGDRSGIPLLMKKRIRGDGANLALDGKSSLYNEDDDNDQ >Et_8A_056514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11268700:11270268:1 gene:Et_8A_056514 transcript:Et_8A_056514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIENDNTIIELEAEKHRLEKELKDQEWKLFTVCTDLKQLLDKKIERSNQVLANLHSSWSPSGQSVDKELLTEEEKMIFRRIGLKMDERVPLGINIHWCFHSCANDKIKKAWRVAGVIDEIHQHWKHKEVVKVITKQNQARQIMYAANLLEVETGGILIEVEKLTTSNAKILYRGKNYHCPTKLSPSNLLTKREALQRSLEKNGMLSLCHLSSLLAKFHEVNENFELLCCGGPVRHLSKLSRTGEDRDKQLQREGVLRLGTWTCLSSRSSRLGRGELLPSGTALQLGPRRRILGVGEPGGVEELLVRAVVVADEPQLLPLPHPPQHDLHLPLARQTLQSNVLKTKDKINLFHFSNKQGLNL >Et_1A_009612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9605526:9608358:1 gene:Et_1A_009612 transcript:Et_1A_009612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAPPLPRHLLAFLLLLILATAPRPAASASTDRAALLAFRAALSPPSRAALSSWRGPLSPSWLGVSLHPDTPGPAAAPPSVSALELRGLNLTGPLPAPALALLRRLRTLDLSANALSGELPCSLPRSLLVLDLSRNALSGAVPTCLPSSLPALHTLNLSANFLRLPLSPRLSFPARLAALDLSRNAISGSVPPWIVADPDASALLLLDLSHNRLSGEIPAGITAIRSLQGLFIADNKLSGEIPPGIGNLTYLQVLDLSHNRLSGSVPAGLAGCFQLLYLRLGGNWLSGALRPELDALDSLKVLDLSNNKISGEIPLPLAGCRSLEVVDLSGNEISGELSSAVAKWQSLRFLSLAGNQLSGQLPDWMFSFPMLQWLDLSGNKFVGFIPDGGFNVSAVLNGGGGQEISSEGMLPPKLFVSASVDTMSWQLDLGYDLQPTTGIDLSRNEFRGEIPEGLATMKGLEYLNLSCNYLAGQIPEGLEGMGKLRTLDFSHNVLSGEVPPGIASMTELEVLNLSYNSLSGPLPTTAGLRKFPGALAGNPGICSGEGCTEDASMPEGKMAGNSRRGWLGGWHGENGWVSLAGRWRIGISSLSKDSANPVKDQSVLESGSSFIHRLSCELAAIPVTQSTTEFSY >Et_10B_003929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7725102:7730354:1 gene:Et_10B_003929 transcript:Et_10B_003929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TISYIWDKNDSGDFKARCERRSNAKLASLLRKQLVPDGWRAPLNLYVRDLSELVPVPRHEHLHEPGAVAAGQGALDARVHLPEHAEPECPEPQRLCQRGQQQHEHDVMGTAVLGGSKEGTAAETAHGRQWRGDLLQLHYFCKACHRYWTQGYATSTSVLLPHSMTHHPLPESTSGACLLNELLPNVHLTFMSLWLPASPLLTAFIDAVVHVQPGTNTNALLIYSDKTCSGFYGATITDGSNEMEVPPPSFHFGVMQQDGMLEVASNTTGIGQIIMIYGGVAGSEGGQ >Et_5A_040171.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:18788081:18791079:1 gene:Et_5A_040171 transcript:Et_5A_040171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVRKAAAALLSPPPNFLLHSSAGILLRARDLCPRVIETVSGRLHSGRGRAPSVWYGARDFHNAQTALDEMPKRGAPAWTAAISSCARAGRYADGMRAFREMLSDGVTAPNAFVLAGVLRCCAGLGDMDSGKRVHGWMLRNIVRPDVVLCNAVLDMYAKCGDNQRAKRAFGVLAERNAVSWNVMISACLQHGDVLGATQLFVESPLRDISSWNTIISGLMRNGCATEALDHLHQMARAGLVFNQYTYSTAFALAGMLSLQDLGRQLHGRIVTAALQDDSFVWCSLMDMYCKCGGMEVALSIFNRCSRFTVDVKFAWSTMVAGYVLNGQEEDALEFFRRMLREGVAADQFILTSVAAACANAGMVEQGRQVHSLVEKLGHRLDAPLASAIVDMYSKCGCLEDARRMFNRAQERNVTLWTAMLGSHAAFGQGRMAIEFFNRMIAENITPNEITFVAVLSACSHSGLVSEGDHFFKLMQEEYRIVPSIEHYNCMVDLYGRAGLIEKGKNFIEENNISNEAIVWKTLLSACRVHKHIEHAKLASEKLIELERCDAGSYVLMSNIYATNRKWLDTFKLRSSMQKKRVRKQPGQSWIHLKNAVHTFVAGDMSHPRSAETYAYLEGLMERLHEMGYTSRTDLVVHDVEEEQRETSLKFHSEKLAIAFGIVSTPSGTPLRIFKNLRVCEDCHEAIKVISQAEEREIIVRDLYRFHHFKDGKCSCEDFW >Et_8A_056962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19498630:19502215:-1 gene:Et_8A_056962 transcript:Et_8A_056962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISKKKKFVSDGVFYAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVVNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKVGPTTPLPDLVTIHAPKEEDELRPPVLVPEDDNEKGIFNFLVANPTTALDRIKQVDDNEKPLKLMRRYKSRTPVPSIPGFSNWEYTSANQNTSGTVSSSVSSERDKSGRSELMENRSHRFLSTYTRHGCSTNPITIARILTAMTL >Et_1A_009483.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6427528:6427839:1 gene:Et_1A_009483 transcript:Et_1A_009483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Et_4B_039634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24574396:24576017:1 gene:Et_4B_039634 transcript:Et_4B_039634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYFYQSLLLSVLAVALLQMVKLALIRPRARLPPGPWKLPVIGSMHHLVNVLPHRALMDLARAHGPLIMLRLGETPLVVASSRETARAVLKTHDTNFATRPKLLAGEIVGYDWADILFSPSGDYWRKLRQLCAAEILSPKRVLSFRRIREDEVALRVEEVRRAAGTSTPVNLSVMFHALTNSIVARAAFGKKRDNAAQFMAAIKAGVGLSSGFCIPDLFPTWTTVLAKVTGMKRSLQDIHETVDSILQEIIDERKAIRDEKISSGAENVDENLVDVLVGLQEKGGFGFHLNNSRIKAIILDMFAGGTGTSASAMEWAMSELMRNPSVLKKLQGQIREAFHGKTQVTEGDLQASNLRYLKLVIKEALRLHPPAPLLVPRESIAACELEGYTIPAKSRVIVNAFAIGRDPRYWDDAEEFKPERFEEGGIDFNGGSYEFLPFGSGRRMCPGFNYGLASMELALVGMLYHFDWSLPEGVKEVDMEEAPGLGVRRRSPLMLCATPFHPVATSTTN >Et_4B_039588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23003272:23007269:1 gene:Et_4B_039588 transcript:Et_4B_039588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQIRPRALRSPRCGCPNIFRKAVNSAKRLRQFAEVEEKAACDACKLRGSCDKAYLVPIPEEGREPEARTVDVVRILLSYAIDPTSLSGENSVDGGVQESARKLLSELTARSDTAIDPSCIKPVSHTSSKSKESGTKTLESVGKGSKKTETEMKPGDWLCTKCQFMNFSRNKICFKCEEPRPKRQLNPGEWECSSCCYINFGRNKVCRKCEHDRPEDDTRDNRLGQRNIRGANKTRTFDYDPEEDDDDYASRYKGVRKHGGGREERGPRRSAGFADQGKDLLTSKSKRTDEDEDDDVLPYASRYKGVRKLGGRREERGPMRSAGFADKAKDLLTSKSRRSYEEDEDDDVSPYEGGRKYVGSKRATPAQRRFTAARNQ >Et_4B_038935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6095435:6096129:1 gene:Et_4B_038935 transcript:Et_4B_038935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSSFSSLNSRITFLRHSRQNLLLSNLSDDLVSSPSADQKSTRVSHERRAVCRAHTSLVPYPLL >Et_9A_063398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2314190:2316374:1 gene:Et_9A_063398 transcript:Et_9A_063398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTFRPSPSAASSASATSIPRGCGGDRCASGRDAWPLHHVRHEGVFCRLCSSCVLLYHPAAFCSACLLLISPDAAAAAAGDPAVAPPGPTATCSDCGLSVVHLSCLPGDPASFLCPPCAAAGEGSPFSFTPPAPIGARGRRAFDERSALVLLVAARLANDSVGRAAAAAREEAERRVAEAAAARKRCREMLDSAFRALEAEAREPKKPVIAALPPPPPPPKKKTPKSNEANRDRDKLLKLNAMQQPALAFAAAAAAAAAASSMPLTTPASSRDEKKPVVKQEAQAKQSEEEIQEFSHFYAANEAIASISSWSSRASMNTSRFSPSITSAIEWCVIPTR >Et_7B_055253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8753149:8754637:-1 gene:Et_7B_055253 transcript:Et_7B_055253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGAAPAKKGDGKAQAMKVAKAVKSGQVKKKTKKIRTSVTFHRPKTLKKPRDPKYPRVSAPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >Et_2A_017814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6512108:6518811:1 gene:Et_2A_017814 transcript:Et_2A_017814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAALSTPRPLPLLSTAPARRLRLLPSRSVSGRRLRPSPRPRGFVCARDGRGEMHFTRKKGFSIARSSSASIEPATQEVRAEGSGEWSGDAIRRRFLDFFAARGHKILPSSSLVPDDPTVFLTIAGMLQFKPIFLGKEPRLVPCATTSQKCIRTNDIENVGRTARHQTFFEMLGNFSFGDYFKKEAAAWAWELATKEYGLPAEKLWISVFEDDDEAFSIWHNEVGVPKERIKRMGADDNFWTSGPTGPCGPCSEMYYDFYPERGSSDADLGDDSRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGMGLERMARILQKVPNNYETDLIFPIIEKAASMAMMSYDKADEATKTNLKIIGDHMRAVVYLISDGVIPSNIGRGYVVRRLIRRVVRTCRLIGIRGDGHGNSEGAFLPSLAEVVINLSTEIDPDVKSRQKTILGELQREELRFVQTLERGEKLLDELLDEALLNAGNGNQPSLSGKDVFLLYDTYGFPKEITAEIASERGVTVDMKGFDTEMENQRKQSQAAHNVVKLSVGNENEIVKSIPDTKFLGYDSLSATAVVKGLLVNGNPVNDVSEGSEVEILLDRTPFYAESGGQIGDNGFLYVNGGEDGKRKAIIEINDVQKSMGNIFVHKGTIKLGSIKVGKEIDASVDAKLRQGAKAHHTATHLLQSALKNVVGSETSQAGSLVAFDRLRFDFNYHRPLSEGELIKIESLVNQWIGTATQLETKVMTLQDAKNAGAIAMFGEKYGEEVRVVEVPGISMELCGGTHVSNTAEIRGFKIISEQGIASGVRRIEAVAGDAFVEYVCARDNYMRRLCSSLKVKAEDVNGRVETVLEELRSTRNEVSSLRSKIAVLKAASLASNATTVEPHNVRIVVENMGDVDADALKSAAEYLVDTLKDPAAVILGSSPGDGKVSLVAAFTPGVVKMGVQAGKLVGSIAKLCGGGGGGKPNFAQAGGRKPENLPDALEKARADIVAAVSSDSS >Et_4A_033285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21025290:21030871:1 gene:Et_4A_033285 transcript:Et_4A_033285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKFFRGSTHNISEGQYNNRPAEETSWNEPSSSPVVTDNLSEFDNEDIDRAIALSLLEEEQRKTKAIEKDIHLEEDEQLARAIQESLNVESPPHKNGSASGARGASGASGGNTYQPPRQKGSANGSNAYQPPRENNTINGGNTYQPLPFMYSSGFRTCAGCHREIGHGRFLSCMGAVWHPECFCCHACSQPIYDYEFSMSGNHPYHKNCYKEQFHPKCDVCKQFIPTNMNGLIEYRAHPFWLQKYCPSHEMDGTPRCCSCERMEPRESRYVLLDDGRKLCLECIDSAVMDTNECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKAGHHHLPETRGLCLSEEQTVSTILRRPRMAGNKIMDMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRTLSPDVEEGICQVLAHLWIESEIMAGSGSNVASTSSASSTSTSSKKAGRSQFERKLGDFFKHQIESDTSMAYGDGFRAGNRAVLQYGLKRTLEHIRLTGTFPF >Et_3A_023263.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:15765331:15765507:-1 gene:Et_3A_023263 transcript:Et_3A_023263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYVDLLFITFFSGFVQLSMAQDKPMALARAIDAKAIDQAIAYLLMFAALFVTYFAY >Et_9A_062148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19982019:19984776:1 gene:Et_9A_062148 transcript:Et_9A_062148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSLLPVLFLVSLSLAGTRTNAYADDHFYTECPSDTNYTRGSAFQANLAALLASLPAAAAASSSGFATKVTGASPDQAYGLAQCRADVNASDCLACLGAAAQDVASECPGQRRAMDVYDSCLLRHSNASFFGAVDANFAIYLCNTQNATQPEQFMSRLGALMSNLTGKAAHGTGPRLFAAGAADVTPFERLYGMVQCTRDLAADDCHVCLSHAVANIPKLCGVKQGGRIVHRSCSIRFEEFPFYNAQAVEAAMSPSPSPAPAPGAGPPVNGSNIPAAPAPGGNHTARTALLISIPAAATLMVLLLVVGYFCKRHRMKPVQNHASIAKRGDEEEMRSSDFILYDFSTLRTATGNFSEKNKLGEGGFGPVYKGTLSNGQVIAVKRLSTTSQQGQGEMKNEVVLVAKLQHKNLVRLLGCCMEEQERLLVYELLSNKSLDKILFDPARQKELSWGQRFKIIEGIGRGLLYLHEDSRLKVIHRDLKASNILLDAEMNPKISDFGLAKLFNIDSSVANTSRIAGTYGYMAPEYVMHGLVSVKADVFSYGVLVLEIITGRRNVYTQDSGPLEDLLTFVWRRWNRGSVQQLVDGCPTDGRQAQEILRCVHIGLLCVQEDPNLRPSMTSVVSMLHSRSITMAAPKSPASLITARRANEQWINDA >Et_1B_011695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25062270:25063827:-1 gene:Et_1B_011695 transcript:Et_1B_011695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTNPATVSGARAVAGPVAASGEGSKAAARSVGLGVPALPPLPGLGLAPHGQPGAASVSKRPARDVVSMAVGEPSAPLADNEELAEFVNALKQEWDGIQNKYAVTAVAIAVTLGMWSAGAVVSAIDKLPVVPVLMETVGLGYGGWFAYKNLIFKPDRDAFFGKVKEFYEDIISGFSLNMVNNWEITQERENRYSVHDMGA >Et_8B_058596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5437029:5439827:-1 gene:Et_8B_058596 transcript:Et_8B_058596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNYHRMSKTDDEFVFFILPTLEGSSSLVTQRQPIHTSERNGATFAHEALTGHEAVCRIRFHVEKEIFQALAQRLRESGLADSRYVSVEEQLALPTVFVVPNMI >Et_2B_019278.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20937052:20937933:1 gene:Et_2B_019278 transcript:Et_2B_019278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ELVLIGGEERVPVDLVGWVLGEREAGDLIPRRLAEVQLLHLRHSRGLGGGRRGQGRGRRGLGGLHAGRGRVVGGRRGAVAGGGGGRGRGCGGGGSLGRFLGCGYGLAERLELGVDGGVERAAGGGVVGEVEARRVAAEAIGRLVVGERRLLGGVEGAEPEAGAVAREADLRHPLAPVALPHAAVQLLRPRRGCRRRGGLHGLRPRAQDGLRLALQVEAGLDVLDLGRAQLARPLGGVRVPAVTGGGGVVEGERRGGTSKLVWKECCGRSGGLSDKRFESSHQLLTGQIYSSSH >Et_3B_031673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7478732:7480397:-1 gene:Et_3B_031673 transcript:Et_3B_031673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALEMFREMQYSNVRADEFTMVSVITACAQLGALEMGEWARVYMSRHGIRMDVFVGNALIDMYSKCGSVERALDVFKEMRIRDKFTWTAIILGLAVNGHGEEAIDMFHRMIKVSEAPDEVTFIGVLTACTHAGLVDKGREFFHSMTDSYRIAPNMMHYGCMIDLLGRAGKLTEAMEIICKMPMRPNSAIWGTLLAACRVHGNTEIGELAAERLLELDPQNSMAFILLSNMYAKSNRWEDVRRLRHEIMEKGIKKEPGCSLIEMDGVIHEFVAGDRSHPMSKEIYSKLEKVLIDLKNAGYVPDVTEVFVEVVEEEKPNVVYWHSEKLAIAFALLSPESNMVIRIVKNLRIFGGSLDLREEVFFLAKCPGAVLPAVDQVCFRINT >Et_4A_032055.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:14207883:14208563:-1 gene:Et_4A_032055 transcript:Et_4A_032055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPHERLLQLGFRFKPTPQEAVTYLNAGEPVHPSVRPYIHDADVYACEPGVLAAQFHATPRGGDRFFFTTCKRQPRPQPKAMGKASRVVRAAGSGGSWRQQGDATDIEDGAGVKVGEVRRLRYRNKGGAFTDWLMDEFSSCSEEDAAVGGGDRQRVLCKMYVSPRAGPHSTARREAVAPPVPQETVVAPPVVEQQRCAVVQTPQMVQPPLCFSSPPWGLAAV >Et_8B_059089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13797969:13806158:-1 gene:Et_8B_059089 transcript:Et_8B_059089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVSQILEQQVLSVAKAVEDKLDEEIAALDRLDPDDIEALRERRIQQMRRAAERRAKWRALGHGEYSEVPEKEFFAAAKASERLVCHFYRDNWPCKVLDKHLSILAKQHVETRFIKVHAEKAPFLTEKLRIVVLPTLAIVKNTKVEDYVVGFDELGGKDDFSTEDLEERLARSEVIFLDGEGPSNPSKHAAATKRNREKLACPT >Et_4B_039357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11211246:11212852:-1 gene:Et_4B_039357 transcript:Et_4B_039357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDKLWDDTVAGPRPDTGLGRLRKQVTRPAAVKINGECAGETAPGALSACRAVAFDLTEPAGEASVFVPPSPASTDEETPVKVTRSIMIKRPAGYPSSPRSAANTPPASPAGSQAPISPFSAAGGRFRRKSSSDAYERGTPPGTTSQPPNFEV >Et_10A_002270.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:6420052:6421491:1 gene:Et_10A_002270 transcript:Et_10A_002270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSTGSNGAGRVRVISRRVVRPEPPPPSRSAPETIHLTPWDLQMLTGAYIQKGILLPNPKLEGDQYQPAVVKRTASAFARALGVFHPFAGRLVRRRTDHAVDTVSLRCTGEGAEFVHAAAPGVSAADVAAGAPLRVPQQLVSALFPPLSGLLGVDAVSAEEDGEREAPLLAAQVTELADAVFVAVSLNHAVGDGTTFWHFVNTWSDLSRRGGAASCDRPPPVLDRWFLDTCPVPVPLQFANIEERRRGNLPLPPLEQCVFHFSAESVKKLKARANREAHSPAAATVVISSLQALLGHLWRSLCRARCLAPSQETKHVLVIGCRGRVKGIPSSGYVGNAIVSCHVTANAGEVLEKGLGWTARLLNRAVASFDEESAIVGNFLERWPADQWFVPTGGVLSAAYAITGSSPRFDVYGNDFGWGKPLAVLSDPGQQLVDGKCSVFEGRGGGGAMALEVCLAPDAMARLVADQEFMDAVTTAP >Et_3A_025411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29672028:29698461:1 gene:Et_3A_025411 transcript:Et_3A_025411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHFLLNTGAKIPSVGLGTWQSDPGVVGNAVYAAVKAGYRHIDCARAYGNEKEIGSTLQKLFQEGVVKREDLFITSKLWNDRHAPEDVPEALNESLADLQLEYLDLYLIHWPFRVKKGTSTSPENFIPPDIPATWGAMEKLYDAGKARAIGVSNFSSKKLGDLLAVARVPPAVDQVECHPCWQQTKLHDFCQSTGVHLSAYSPLGSPGTGWINGNVLKESVVISIAEKLGKTPAQVALRWNIQMGHSVLPKSVNEERIKQNLDVYDWSIPDDLLTKFTEINQARLVRGNFIVNPQSVYKTHEELWDEMARHFVLNTGAKIPSVGLGTWQADPGVVGNAVYAAVKAGYRHIDCARVYGNEKEIGLALQKLFQEGVVKREDLFITSKLWNDHHAPEDVPEALNESLNDLQLEYLDLYLIHWPFRVKKGTSTSPENFVTPDIPATWPAMEKLYDAGKVRAIGVSNFSSKKLGDLLAVARVPPAVDQVECHPGWQQTKLHNFCQSTGVHLTAYSPLGSPGTTWMNGNILKEPVVVSIAEKLGKTPAQVALRWNIQMGHSVLPKSVNEERIKQNLDVYDWSIPDDLLAKFSEIKQQWRGTSCSTPAPRSPRWGSAPGRPTPASSATPSTPLSRNDHHAPEDVPEALNESLNDLQLEYLDLYLIHWPVRIKKGTKISPENFVPPDIPATWKAMENLYDAGKARAIGVSNFASKKLGDLLSVARIPPAVDQVECHPGWQQTKLHNFCQSTGVHLTAYSPLGSPGTTWMNGNVLKEPVIISIAEKLGKTPAQLALRWNIQMGHSVLPKSVNEERIKQNLDVFDWSIPDDLFAKFSEIKQVRLLQGNFAVNPQSVYKTHEELTGARMPAVGLGTWQSDPGVVGNAVYAAVKAYSPLGSPATAWVNRNILQEPIVISVAEKLGKTPAQVALRWNIQMGHSVLPKSVSQERIKQNLEVYDWSIPDDLLEKLSEIEQMAMSFVLSTGARMPAVGLGTWQSDPGVVGNAVYAAVKAGYRHIDCARDYGNEKEVGLAVKKLFEEGVVKREDLFITSKLWCDHHAPEDVPEALKQSLDDLQLEYLDLYLIHWPFKLKKGSSLSNPENYLPLDIPGTWAAIEKLYDAGKARAIGVSNFSTQKLGDLLSVARVPPAVNQVECHPGWQQTNLHIFCQANGVHLTAYSPLGSPATAWVNRNILQEPIVISMADKLGKTPAQVALRWNIQMGHSVLPKSVSEERIKQNLEVRLLRGQFFVNPQSIYKTFEELWDGEI >Et_4B_037242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17000986:17003257:1 gene:Et_4B_037242 transcript:Et_4B_037242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQFLSVAVEAAKNAGQIIRNGFYQTKNVEHKGQVDLVTETDKACEDLIFNHLRKHFPDHKFIGEETSAALGATADLTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTINKVPTIGVVYNPIMNELFTAVRGKGAFLNDSPIKASSQNELVKALLVTEAGTTRDKATVDDTTNKINKLLFKIRSIRMCGSLALNMCGVACGRLDLCYEIGFGGPWDVAAGAVILREAGGLVFDPSGREFDLMSRRMAGSNGLLKDQFIKALGDTN >Et_1A_005591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11853459:11857230:-1 gene:Et_1A_005591 transcript:Et_1A_005591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARASPPAPSFGFGAGRPDDALAFLSKGWREVRDSATADLRLMRARADSLRTLADRELEHLLASASAVTSPPPPVAAGAPIAELEFVRSRIQPKISELRRQYADRDLGRRVLEGWGPARARVDLSGITAIRNAIVSEADGAERWRRAAWRGEADGDEGKEWEVVRMIRTSLKELERRSQTSEIFGGLRGPSEFVEKFKSSLKSFNVEPQGSKEVPPLDLTEILANLVRQSEPFLDQLGVRRDLCDRLVETLYRKQNHSLSEDTSLLASDNSSDELDLRIASVLESTGYHADDGFWSEPEKYEVSDNKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKDSKQDVTLVVPWLCKSDQELVYPNSMTFNLPEEQETYIRGWLEERLGFESNFKISFYPGKFSKERRSIIPAGDTSQFIPSREADIAVLEEPEHLNWYHHGNRWSDKFNHVIGVVHTNYLEYIKREKNGTLQAFLVKHINNWVTRAYCDKVLRLSAATQDLPKSIVCNVHGVNPKFLKIGENITADREGGQTSFSKGAYFLGKMVWAKGYRELIDLMAKHKNDLEGFKLDVYGSGEDSHEVQSTARKLDLSLNFYKGRDHADNSLHGYKVFINPSISDVLCTATAEALAMGKFVICAEHPSNEFFMSFPNCLTYKTSEEFVARVKEAMAREPQPLTPEQRHNLSWEAATERFMQYSDLDKVLNDEVAQPGQGGRRNRRRRNVQPNLSDIMDGGLAFAHRCLTGSEVLRLATGAIPGTRDYDKQHCVDMGLLPPQVQRPVYGW >Et_2B_019751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13554128:13558940:1 gene:Et_2B_019751 transcript:Et_2B_019751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTASKGRGAARSSPPIFGPYLRRIVKWQQMDIEYTFWQMVHLCTSPKVVYGESASHASLTITSVVLIHFLFAGIVLATLCWFLTNSYLREEPNSHVVEQRVEWLYAFDVHCNSFFPAFVILYVLQYFLSPLLLAHGFFPALLSNLLFVVAISYYHYLNFLGYDVLPFLDRTTFFLYPIGLVIILSPLMILIGFNPTRYFLSLYFG >Et_5A_040470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24571029:24571592:1 gene:Et_5A_040470 transcript:Et_5A_040470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPPGSGGGSAHGIFGSSGISGFGYGVGVSIGILLIVSTVALAVYFCTRTSMPPPPPPPRGDVEQGGIDEATLEAFPEMAYAEARKQRPAAQQACCPVCLDNYADADVVRALPDCGHLFHRGCVDPWLRLRPTCPVCRTSPLPSPMPTPLAEVTPLASARRSRPSLLSASVLVRFR >Et_8A_056946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19341376:19346982:-1 gene:Et_8A_056946 transcript:Et_8A_056946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGVGDGRRNNGEVVPAGRCVCGFQVCACAGAAAVASAASSADMDKMALAATATEGQIGAVNDESWVAVDLSDDLSGDGADAGVALEDRPVFRTEKIKGILLHPYRVLIFVRLIAFSLFVVWRISHKNPDAMWLWVTSIAGEFWFGFSWLLDQLPKLNPINRVPDLVVLRQRFDRADGTSLLPGLDIFVTTADPFKEPILSTANSILSILAADYPVEKNTCYLSDDSGMLLTYEAMAEAAKFATVWVPFCRKHGIEPRGPESYFELKSHPYMGRAQEDFVNDRRRVRKEYDEFKARINGLEHDIKQRSDGYNANVKDGEPRATWMSDGTKWEGTWVEPSENHRKGDHAGIVLVLLNHPSHTRQLGPPASADNPLDFSLVDIRLPMLVYVSREKRPGHNHQKKAGAMNALTRASAVLSNSPFILNLDCDHYINNSQALRAGICFMLGRDSDTVAFVQFPQRFEGVDPTDLYANHNRIFFDGTLRALDGMQGPIYVGTGCLFRRTTLYGFDPPRINVGGPCFPMLGGMFAKTKYEKPGLELTAKAAATIAKGKHGFLPMPKKSYGKSDAFVDTIPKASHPSPYSDAEAAVVADETAIAEAVAVCTAAYEKKTGWGSTIGWVYGTVTEDVVTGYRMHIKGWRSRYCSIYPHAFIGTAPINLTERLYQVLRWSTGSLEIFFSKNNPLFGSTFLHPLQRVAYINITTYPFTAIFLIFYTTVPALSFVTGHFIVQRPTTMFYVYLLIVLGTLLILAVLEVKWAGVTVFEWFRNGQFWMTASCSAYLAAVLQVLFKVVFRRDISFKLTSKQPAGDEKKDPYADLYVVRWTWLMVTPIIIILVNIIGSAVAFAKVLDGEWTHWLKVAGGVFFNFWVLFHLYPFAKGLLGKHGKTPVVVLVWWAFTFVITAVLYINIPHFHNSGGGHHAKHGAHHGTKHFEEIYPWP >Et_2B_022077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8834408:8836657:-1 gene:Et_2B_022077 transcript:Et_2B_022077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TAPPLAAFAPSLRSARHSHSHGFPLLVACHFLPLPHLPIASDFHLLRTKDHVPVCCSNQPVASFTDEEKKKSRAMASAKWRWSAGHRRLMDAAPAPAGEGNGSSQEGMRIMVGVLVTVIVCTLLYCVYCWRWRKRNAIRRSLIESLWPRSSSDLPLMDLASILTATDNFSKANKLGEGGFGPVYRGVLSGGAEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGWCAEKEEKLLVYEYLPNRSLDAFLFDRSKSAQLGWSARHNIILGIARGMLYLHEDSLLKVVHRDLKTSNVLLDDKMSPKISDFGMAKIFEDESDGINTGRVVGTYGYMAPEFALEGVFSVKSDVFSFGVLLLEILSGQRNGALYLEEHQQSLIQDAWKLWTEDLATEFMDPSLGRSYSKEEAWRCYHVGLLCVQEDPDVRPTMSNVLLMLISDHMKLPDPAMPPLFTRLRKPTFSAQQLTTKTESTASPQSINDVSITMIEPR >Et_1A_006127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18173154:18182357:1 gene:Et_1A_006127 transcript:Et_1A_006127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELRTGCLKIDNYSLTKAIPTGEFLRSRPFTVGRHRWFIKYYPNGYSSKFARYVSFFLILDRSLADSVHEVMAQYDLNFVDEVEEHLRLGDVCCFKSQLGWGYRAFVTRDELEESRNLKGDSFAVRCDILVVNEFRAEDVEEEGAVHSPALVSVPPSNLHKYLGDLLRSEKGADVVFEVGAETFAAHRCVLAVRSPVFSAELFGTMKESVAATGVVRIDDMEPQVFRALLHFVYTDSLPEANEAEEEDVMSQHLLVAADRYSLERLKLISKLCRYIDSGMVATILTLAEQHQCHGLKKACFRFLGSSANLKPVIATDGFDHLSKSCPFPSRSASSLVADTARGYHILRIDGYSLSKATPTGEFLRSHPFTVGRHRWSVHYYPNGYTSDKASYVEIAAETEKVKAQYQIRFADEVGEMPLTLGQVHIFKNKHGCGDTEFIRRDELEESKHLKEDSFAVRFDIVVFNEFCAKEEEADQVLVNSLVFVSVPPSDLHQYLGDLLRTEKGADVVFQVGAETFAAHRCVLAIRSPVFSAELFGTMKEGSATGVVCIEDMEPQVFKALLHLVYTDSLPEVNKEEQEAVEEDVMCQHLLVAADRYNLVRLKLICEQKLCRYIDVGTAATILTLAEQHNCHGLKKACFGFLITSANLKAVIATDGFDHLSKSCPSVMKELLAMLHS >Et_1A_006098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17728555:17807247:-1 gene:Et_1A_006098 transcript:Et_1A_006098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEDKLCELSVIDTGSVTTILVFAEQHNCPRLKDACFQFLRDPLNLLDVVSTEDFDRLTRSYYPNVWEEILLAYYPNNEVRKFPGSITISFVRLREPGRGSAGAPVCAQVTLSLLDAVGQPVPSHTQSSGMMDFSDFYGISFHDFINRAWLEDSEHLVDDRFTILCEVVVPMKVRVEERGAPPPRAAPPSVTVPPSNLHQNFGALLSSKEGADVMFEVAGEKFSAHRCVLGARSTVFKAELFGMMKESTDPAAVIRVDDMEADALALCHRLGRRDNVREPSAGHRRVLAHQGAPDRAVHHVPLFSVGETSWRIRYYPNGDTSSTDKYISLFLHLEQQSLLLSNPVKARAKFSLLDRAGKPVPSHTRDSGGAEEFSAEGWGFSDFIERAWLEQSAFLKDDCFTIKCDLLVYKEINPASPPSLWSSSPWVVVPPSSLQRNLGDLLAAKESTDVTFEVAGEVFEAHRCILSARSPVFKAELFSAMRERTGTTVIHDSKPSAGACVEPRSISAIVGDTATGHHLLIVDGYSCTKELLPTGQSTKSQPFTAAGSSWLINLFPNGQTSNQAESISIYLYRVGSAGDGGETVKARAKFSLLDREGRPVESHTKIVVLRAYSIGGNGFGFHDFIKREFLEKSEHLFGDCLIISCDITDLRTEDRATASPFITPAVPTSDLSRHFGDLLQVEAEGFNDVTFRVAGETFRANRYILATRSPHSCQGLKEACLTFLKSTTVWEQVMASDGFDHLTRSSPDTPKRKFHLSMRPAGSASAIVGGTVEGHHLLHIEGYSRIKEDRATGGFIRSRCFTAGNRRWFIEYYPNGMNATHNEFISIFLIHDETDFDFVSFKARAKFSLLDQTGKPVPSHTQTTSLCLYSARGAGHGFTNFIEREFLEKSEHLKDDCFKIRCDVIIPTEIYTKDREAAPALIPVPPSDLGRHLGDLLEAKDGADVTFQVASETFNAHRCVLAARSPVFKAELYGAMKESTNTAVILVDDMEAEVFGALLAFLYTDTLPDFPAKRQSAMIQHLLVAADRYSLERLKLICEDNLCKHIETATAATILALAEQHNCHRLKRECSQFFSSPSVLNAVMATDGFEHLARSCPSVLKELISNISSSAMSATGGSISAIVGDTATGHHLLLIDGYSCTKELLPAGESTQSQPFGEAGCSWRIHYFPNGQISKHAEFISVFLHQDGSANDHGETVKARVKFSLLDREGRPVASHIRTTGLHGFPIGGSGYGVPDFIKREFLEKSEYLFSDCLRISCDITVFKELRAEHRAAASPLISTLAVPPSDLSQHLGDLLRLVKGGVSDVTFQVAGETLRAHRYILAARSPVFKAELLGKMRENTSKDDYIIQIDDMLAAVFKEEIPTGNHIDSRPFTVGAQPWRIYYYPNGHSASYAEFIAVFFVLCNNVAVPLKARAKFSLLDREGNPVPSHIEETSVREFSANGVGLGRSDFIKREWLEKSEYLVDHSFKIRCDITILEALRTVDRPTPPFRVVVSPPDLNRHLGDLLAAEDGADVTFHVAGETFRAHRYILKARSPVFKAEFSGAMKEGTTRDCIRIDDMLPQVFRALLHFVYTDSLPEMGNHEGAVMAQHLLEAADRYDMPRLKLLCEDSLCRHIEVSTAATTLVLAEQHNCKGLKDACIEFLESPKAMEAVVATDGFEHLTVSCPALVKELMSKLATRLHKKRKSGAQPIDAVPTISLERSPPNPKPWPPPHPAAMPTPGSAAIDSDDHGSASAIIAGTATGHHVLHVEGYSRTKEELPNGRCIKSRPFSVGGRSWSIHYYTNGARPHCAKYVSLFLYPDEDVAAPFKARAKFSLLDRAGKPVLFHTRRTRLCEYSFSGSGYGFDKFVKTEFLEKSGYLSGDCFRVRCDIDIVEELRTEDRAAPFISVPPSDIHRHFGDLLTSNDGTDVTFQVPGKTFKAHRYILAVRSPVFKAQLLGRMRESRADGDYCIRIDDMKAQLSSSCEGACCPFTKWKDRTSLAMPASPCCGSASAIVADALSGYHDEKKNGECIGSRAFRVGGHTWKALYYPKGFDYKSTNHVSMYLQFLDDRKPSRKQQPVTVKAQVTISLLDRGGNTVPSRSLTTTNTVNFSTDRYWVNPQFINIDDLEKSEHLKDDCFTVRFDVSVIKGVRTEESVPFVVVPPSDMHQHFADLLASKEGADVRFQVGGKTFPAHRAVLAARSPIFKAGLYSPMKDGAATGVIQIDDLEAEEACFEYLGSSWTLNAVMETSGFGHLAKSSTNVLKELISKELESCLRCMTRYVQKHKQALLLSKLRQLVSSPKSAAIHLPNPPTAAAAESFSIHAKPSAMSLIDDDGKTSGSASEIVADTASGYHVLKISGYSRTKATPTGEFLKSRPFYVGGRRWHLYYYPNGDKSENADWISIVLRLDDALEKAAKAQCQFCFVDEAKKPALFLSVAAVTIPARGACGTPRFIKREDLEKSKYLKDDSFTICCNIVLINDFRAVDNEPPVFVSVPPSNLHRHFGDLIQTKKGADVVFKVGSERFAAHRCVLAARSPVFSAELFGSMKESDTAEAIRIDDMEAQPISGSAKSPMSPDAGEPARSASSIVADTLWGYHVLKIDYYSLTKGIPTGEFLKSHPFTVGGHRWYIWYYPNGDTSEVFLFLMLDDHRVAKEKKAYRFRLVDDVSERVFTSEEVCSFWNQSDWGCTKFIKREKLEKSDHLKNDSFTIRCDILVIKDFRAVEVKSEHLPPPPPPPPGSAPGADVVFEVGGERFAAHRCVLAARSPVFSAEFLGSMKESETAEAIRIDDMETQMFAASSASGRGNKPSRSSSAIVADSARGYHDLKIDACSLALPTGEHLLSRPFAIGGRRWRVMYYPSGIDEESGGHVSLFLSLDEDAAATRPVTAQFQFGLLTEQRAKCFRKREKKFLPTPEASHRFDAAVPSYGYPKFAKKEPLVELLRLLKADRFTIRCDVVVLNGFRAVGKAAPPTAASVAVPPPDMQRHLGDLLRDGRGADVVFDVGGETFAAHRCVLAARSPVFSAELYGPMKESGAAAVIRVDDMEAPKACFHFFSSSANLKAAVASNDFEHLSTSCPSVMRELMAMLGNLLLVADGDDVEPSHRPHIKERNFSSHANHWYHRFVKRSDLERSPSSARWPSSSSAPTSMGPRLGPARCQFPRPTCTSILGTSSPARRAPTWGSRSATRRSWRTGVWSPVFSAELFGAMMESDSGAVARVDDMEADVFKALLHFVYTDDLPPGTSSREEEFVMSQHLLVASDRYDLERLKFICEDNLCRHVEVGNVAAMLELADTHRCPRLKDACFDFLKDGENLDAVLATDAFQRLLRKCPSITLELIAKLGASSRMQSRKTGGYHLLVVDGYSRIRDTTPNGYCITSRPFIVGGHRWIIQYYPNGKDPGDADSISVFVFVDDDTVVETMMVHFDFSFLDQIEKQGTSAISASEPMDGADVMFEVGREVFAAHRCVLAARSTVFRAQFFGSSKDGKTSSIIHVDDMEAKVFKAMLKFIYTDSVNRVLKGGQEDDEAMEETGTGQQEDKDLDDHDGKGNKLMWQNLLVAAERYNLARLKLICGKNLCTFMDTSTVTDFLLLAEQHRCQGLKEECLNFLQSPAILQKVMATKGLEKVTQTCPTVLMELLASAPRFGCAITAAAASASGYHLLVVDAYSRNTKNTPTGECILSRPFMVGGCRWVVKYNKRPPALSASSTTVADSGYHLLVVDGYSRIKQDTPNGEYVESRRFRIAGYRWFIRYYPNGILSEDSDCISLYLQLDDDDGTDTHLEVQFEFSFVDKAQKQVPLDIRETEVCNFGSSYYYYWGHDMFMTKEELEKSTHLKDDSLTIKCDVVVTKDVNTRAAAAPFIVVPASDMHQHLTGLLQSGEGTDVTFEVGSETFNAHRCILAARSAVFRAELFGPMKEGTTNSVIQIEDMQVNVFKLLLRFIYSDSVPKMEQGDDDIEDSDVDHVMWQHLLVAADRYDLQRLKLICEDKLCGYINSGTVATILALAEQHHCPGLKERCLEFLDSSGNLLEVMAVGGLDFLKSSCPSLLIDLISKLASLNVDN >Et_3B_028196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11621618:11629015:1 gene:Et_3B_028196 transcript:Et_3B_028196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IWAAPAGFERPQIPPPPYLAPPSPGFRLARLRRSQGWRHRSGPSAGAVAAEAPPPPPRLPPKPRGTPGTPSWRGGCNPPGCSTLRPHRPRGYGPQSIEEKQKLYALLRSLNFNGELTSASVSDPYTPTAQSFGSGAPVDGFYSPELRGEFGAGLLDLHAMDDSELLSENVASEPFESSPFMPKEVDDDEDDVMPESEQGLADNSTSAFISEKENIVVSARESNVAKIKVVVRKRPLNKKEVSRKEEDIIDVHNSQFLTVHEPKLKVDLTAYVERHEFCFDAVLDEHVTNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAHDMVRLLHQPMYRSQNFKLWLSYFEIYGGKLFDLLSERRQLLMREDGRKQVCIVGLQEFEVSDVQIVKEYIEKGNAARSTGTTGANEESSRSHAILQLAVKKHLPVTDTRRQRDREAAEAKNTKLVGKMSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGGNTKKDQFPVQSVSSNKESTYPSYPLSSEAEETMERTQEARLLDASRKGADNFTSNSSIEPERNSSSMIPSYPHRGKEETSLRSGLNDRERGDLKSNQTGLSGKTRSLQDAVNSHEEVKVTKVSPPRRKAIRDEKSERQSNYTKKEETSRTALKQQQQLKQQQQQRPSSTSASHVSSKQSEESSYEDMEINAILEEEEALIAAHRKEIENTMEIVREEMNLLAEIDQPGSLIDNYVAQLNFLLSRKASGLVSLQARLARFQQRLKEQEILSRQKSSR >Et_6B_049216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:187806:194187:-1 gene:Et_6B_049216 transcript:Et_6B_049216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYLQEHFDLPPKNPSEEAQRRWRSAVGTVVKNRRRRFRMVPDLERRQQDEQTRRSIQEKIRIALYVQKAAIIFSDGASKKEYQLTEDIMKAGFSINPDELASITSKHDMKALKMHGGVDGISKKIRSEFDRGISVSDLDKRQSIYGINRYAEKPARSFWSFVWDALQDMTLIILMVCAVLSAVVGLASEGWPKGMYDGLGIILSILLVVMVTAISDYRQSLQFKELDNEKKKIFIHVTRDGSRQKISIYDLVVGDIVHLSIGDQAPADGLFIHGYSLLLDESSLSGESDPVYITKDKPFILAGTKVQDGSAKMLVTAVGMRTEWGRLMSTLSEGGEDETPLQVKLNGVATIIGKIGLVFATLTFVVLLIRFLIEKCLTVGLFKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKQLMKEKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWISEVSKSLADSNSLDGPSTTLTLLLQGIFENTSAEVVKEKDGTQTVLGTPTERAIVEFGLTLEGHDGEDRTCTKVKVEPFNSDKKKMAVLVSLPNGTYRWFSKGASEIVLEMCDMMVDGDGNSIPISETQRKNILDSINSFACDALRTLCLAYKEVDEDFDDNADSPTSGFTLICIFGIKDPVRPGVKDAVKACMSAGIVVRMVTGDNINTAKAIAKECGILTDDGIAIEGPEFRNKSPEEMRDLIPKIQVMARSLPLDKHTLVTNLRGMFREVVAVTGDGTNDAPALHESDIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDDMMKRPPVGRGESFITKVMWRNIIGQSLYQLVVLGALMFGGERLLNIKGADSKSVINTLIFNSFVFCQVFNEINSREMQKINVFRGMISNWIFLGIIAVTVVFQVVIIEFLGTFASTVSLDWQLWLVSIGLGSISLIVGAILKCIPVESGGTSATSQCKLYSSIRSQLNPLVFIEINSREMQKINVAWYHRQLDLSWNHRLTVPLDWQLWLVSIGFGFISLIVGAILKCMPVESGGTSANPNGNAPLPSGPDNI >Et_5B_045554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4329448:4329673:-1 gene:Et_5B_045554 transcript:Et_5B_045554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTPAVVLRTAAGVAVGAACAYLFWPAAAPASSYPARFLANPQLYFELLRNAGAAAAAAAFAA >Et_3A_025306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28856088:28857483:1 gene:Et_3A_025306 transcript:Et_3A_025306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLIWADSADADAFLEAVDDLIGTVQELDAVGANWGLLDRADELLSRCMARLEDEFRALIERPDDRGAACARRSEDEDYDADDGYGGEPIPIAKPVTDFDVVIDALPRALIAAWMVDAGFGRECADAYAAARRGFIDESVARLGIRSRTADEVHSSPWEELEFDIARWIPAFKMVFRILIPSDLISFGDAVAAASRAPERLFRAIDMYEAVRDLLPDLDPVFSDPYSAALRAEVSAVCNTLGSSIKGIFMELENLIRRDPSRVAVPGGGIHPITRYVMNYLRAACGLRQTLEEVMEGDLGAVGAAAIVADPDCPTSSLAVHIAWIMDVLHNNLETKSKIYRDPPLASIFLMNNGKYTLFTSELGIFLGDEWMKQMMNRVCRWSMEYQRGAWAVLSTGGPGIGSISTKAMLQKMRMFDGYLEEICAVQSDWVIADEQLRADVKAA >Et_1B_014244.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:4133490:4134947:-1 gene:Et_1B_014244 transcript:Et_1B_014244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAAQLRRLKPLYQLVVNNILLVVAVPLAAAVLLRAAELGPEEVLARARALRPAHTFLAAFLPAAAAVLYLMLRPRAVYLVDYACFRTNPNCRVPFATFLEHSRVWPGFDERSVRFMTRLLERSGLGEETCLPYAQHYIPPSRDLESSRAEAELVIFSAIDDLLAKTGVSPREIDILVVNCSLFAPTPSFTDMIIRRYNLRRDVRNVHLAGMGCSAGMISVEAARNLLQVAPRGARALVVSTETITPNYYMGRERAMLLPNCLFRMGGAAALLSTDGANARFRLKRVVRTLRGASDAAYRCVYQEEDDRGNVGINLSKDLMNIAGDALKANITAMGPLVLPASEQLLFALSFIARKVLNNRIKPYIPDFRTAFEHFCIHAGGRAVIDELQRSLTLSDEQVEASRMTLHRFGNTSSSSLWYELAYIEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIRPAPNADGPWANCIHRYPVHIPDVVKH >Et_2B_019303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22672610:22673146:-1 gene:Et_2B_019303 transcript:Et_2B_019303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKELVPIDDEHQRRRCFRRRIPTLYAIAQELSEDFGAQVAVVAFSPTNEPHTFGADTADSVLRACLPDASPPPAAPSPGAGGEGAARVDGIRRELEETKRLVAAEWACTGKRNWWEVDVGALGEEELPVFVKALEMLRDEVQSRVDGMASARPPLPWKEKQQQ >Et_8A_057776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6987734:6992034:-1 gene:Et_8A_057776 transcript:Et_8A_057776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAAAALALARGRWTQWEEVVVSNDRGRRLVHYFLRGPGEERELAVVGRERSPRHMWYAVQGRFLRSLAAAAGAVAAVAPSPSRSPAPAAGCADGDVPRKWRSRREVVDWLSSLVSGSSFGSPSMANRFHGNSYDDNDANFTEVTASKDVSPRENSKDFTWLGTAWHCEKRWRHYKSFCRRGITISVHSFVYIMSEEMKRLVAYVEDLYEDTHAFKMVKVQWFDKVDEVGVPLPMDVGDREIFFSHGRQDLSVECIDGLAVVLSAQHFEKFKSGTKSSYWQPYVCRQQIDNDEVKPFDITQLQGYWSQEDKIKVRYLDLQDADETGNLEEWVMLTRVAKPDHLGIRLPGRPMVRPQHARGSKTPSVFHVGAFVDAWWHGGWWEGILLQAGSDGRLQVYFPGEKRIAEFGEGDLRHSLEWVGSKWIPLKERKDISSKLSSTAQCEDEGLTGKQISQIGAQPSPEPEPRSEGLRFDGISKIARDQKRVLADLTNDLKFDNLKWRPRKRSRRSGSKRQSDTSSGSSSQGDMDTSSPSGSFAQLNVAPDEEICKSTGEQRFMGVPVQVSSLVMSR >Et_3B_030430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3781322:3783003:1 gene:Et_3B_030430 transcript:Et_3B_030430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CCRTSPKAAAAWLPLLPTTTRFAVPRRLVHGPTNHGSSSGGGHLLRASAADSPQLSSVQCVVLDIEGTTTPISFVTDVLFPYARDYVRKHLDATYGTDETKDDIALLRAQVEQDLAEGVAGAVPIPPDEADKDEVIDALVANVQAMIKADRKITSLKQLQEHVWRTGFEGQEIKGVVFEDVPPALEKWHASGIKTYIYSSGSREAQRLIFGNTTYGDLRKYLCGFFDTTVGTKREARSYYEIWQSVGTDRPSQILFLTDVYQEATAAKAAGLEVLISIRPGNAQLPENHRFQTIASFAEILT >Et_6B_049288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2842853:2846007:1 gene:Et_6B_049288 transcript:Et_6B_049288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAHNVGRQFNRLEGITSYSILKRHDSYIASFFVTTEYGKRKMYPGNDRCHVQVMKSTIMICHVLNVMSLVDLDAVRELRTCNAMYVVWVVKEHFVVLTGVRKEGTQSIETFKMVSYSLIALVPIVLFHHHTGDVLTCILHNIADISALYLRTSLNNLQSFKMASIRFQKVPVFSQKRKTNFFHLMLPTGIVAGMVSCAVPSIIGPTMRGKLNHVCRPTRGNP >Et_8B_060668.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:4413416:4414360:1 gene:Et_8B_060668 transcript:Et_8B_060668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRERFYGGRREDGALRDFTRPAYHGGHGREQQHQMECFSDEVSSRDGEEEERRDGSGGPVAAASGGDVAAVESTGKRRRGRPPGSKNKPKPPPVVTRDVEPAAAMRPHVLEIPGGGDVAAALAAFARRRGLGICVLAGTGAVADVPLRHPSLGSAEGGGAAAVVVFRGRYEILSISATFLPPSMSAAAAPAVRAAGRDLSVSLAGPRGQIIGGAVAGPLVAADTVVVLAAAFTDLTFHRLPLEDDASAASVSGSGGDADELRGQHHQPSEPQDANRVQPHPHSIAPVPLYARQHQETWAPAGSTQQRPRPPYQ >Et_9A_061147.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:18844231:18844428:-1 gene:Et_9A_061147 transcript:Et_9A_061147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCYQYSASSSNLVKEKRPPPKRGHVKVQIARKLSNLVVPSNVSGDPAKQADRNSFRREMSYND >Et_9A_062692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3293066:3295773:1 gene:Et_9A_062692 transcript:Et_9A_062692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIIDGKAVAADVRREVAAEVSRLTSTHGLVPGLAVVIVGSRKDSQTYVSMKRKACAEVGIRSIDVDLPEDISEIALVAEVHRLNADPAVHGILVQLPLPKHINEEKILNEISIEKDVDGFHPLNIGKLAMKGREPLFLPCTPKGCMELLSRSGITVKGKRAVVVGRSNIVGLPIKGDWIKPGAAVIDVGTNSVDDPTRKSGYRLVGDVDFAEVSKVAGYLTPVPGGVGPMTVAMLLKNTVDGAKRGIVDFEDQET >Et_1A_007487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35083420:35084378:-1 gene:Et_1A_007487 transcript:Et_1A_007487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLMFSVVSGNSEFSSFWSLSSTSTKSSSSSSSPAARLSSCSWRMPSMIRRRSARYAWILAGARLTSMARREDGKRSGRLIPGTRLNRPCSIRRYASRSLSRRLPMMARTVESATYVDIFSVHRRRLRRGVGDGAEQAAELVLADGAEGLHAARAEELLRADAAELAPRLAVGREADAPRALEPEPRHGADGPRRERHAVGTHHLAGRVRGGGHHHGHLAETEQHERAVAPRQVAHGAVRELAAREVVQVPEDGQRPRPRREPRGAAAAWRCQASCGEEEQEKRHGEERYEQQDGGHGCPEIALLDVGTLKDQAFL >Et_10B_003663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4506889:4513521:-1 gene:Et_10B_003663 transcript:Et_10B_003663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTVRLEFTADFVQILANIGTEMIFSPLVRAYELMELSDERVEVAALERHLLAGLCSNDYDRSCDDEVLYDAPFGEMEDNFMKYQIAHWILLSVLLILAWGVGILMLLYLPIRIYNCRREFRSRKLYLTPHAIVYKVNKPVAFPCFGVLKNENYVILPSISDVVVEQGYLQSFFGIYSIRIENIGVRRSPSDDLKITGVAHPHDFKKAAVDQNLNSRNLNFRRKVSVSDDQHNTKLNPVAGAWVPPLGGLILEKLDEVEISVKIFRIKLWWLSASCLNRLGKLECAPRIGEIGAEDCYACEIGMSPRILIGQEKSALAYSSSGFNNFVL >Et_10A_001504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4183168:4186565:-1 gene:Et_10A_001504 transcript:Et_10A_001504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVVTVQVGGFANFVGAHFWNFQDELLGLADDPGADPVFRTAALDMDVLYRAGETHQGVATYCPRLVSVGSRVPLALWVRAVLLPIMVTSGNVTRSASKPHERNLFLQSLSEDQNPSTSNVPTNSQKSIEDKDLVASLETGVKFWTDYSKVQYHPQSLYELHGSWTDFDKFDNYGAGREVVSEWSQMEEMNERLRFFVEECDHIQGIQVIVDDSGGFSSVAAQYLESIADDYAHTPVLLYCARDPVSYGSRRNQRESIIRSLHDAVSFSKLSSFSNLMVPIGLPSLNYFSPLLSIQDEKCFHSSAICAAAIHSVTVPFRQQHVSPASDLAHSSGNLDMGELVHMIFDQGRQNMVTTLDVAMPAPSLTDINDLRNLHRSLRSLTPEISDDDEDPYAIESLVVHGAMDAGGHRASMLQVKDYVYSAFEGRPTKPKFSHLSVSQCPLPIPLPFPSIFGSSIGRHGEILRDHSEGTQPKGSLDVVSVPMAARLRSSNAVLPFIERRSVSLQKLGLAGGTVGSQVLRDWGFGKEEVEDMGEHLAKLLRSVYPEMELTSDSD >Et_1A_005003.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22024763:22024795:1 gene:Et_1A_005003 transcript:Et_1A_005003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTLSTRSS >Et_2B_020598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21695836:21704306:-1 gene:Et_2B_020598 transcript:Et_2B_020598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTNDAIPDEVLEVIFLRLGSQRPLVRAASTCKQWCRVIAGCDFLLRFRSLYPPPVVGDYYKETLVPTPRAASIINKSRHFSLDFLPYSNTVTPWPWKIIESRGSLLLLDRDRDEEGVRFSERPWKFREIAVCEPMTRRYKTIAAADKLGDRISRAYLVDGEKNEAGGVIGMSNFRVLCFLHGRNGSYHSAAVFKPDGSWQEFLMDQLTGMSLVGITAGALYWYACPRTLASLDRSTLELSSSVLPQGMENWDALHCSHGLRVVDGRDGKVHIVTVGHGCDLKIFARLHTHRGVEEWAPESRVHLQLPGQYRSWFFIWGVAPLMVQSTVGRWILHVGSKAVDVEQCGTTRYPVELPWPPDCNIHGRHDFSLDFVPNVDDTWTIADVRDGLLLLSRRTSRRADVVVCEPLTRQYRQIPPLENHQDWPCLGFYLLKDDRSAIGLSTFKAIAVLLRRHLCDRRLGVPAAFVYYSASGTRHRGCWTRVECPWRTKINFTISTNKFTFAGRANGSLHWGVRGNRDGELLVLDEATRGVSQVKLPGDIQGMYDTTKFWIMEGEHGALRAVRAAHKDLKIFALLRGSDEWLLEGLLRLEDVTRGLPGRQDHHFQNGGAWIVAAHETYLVMAPWVCSKWQFTVDLKTLAVEAVRDRIGTDWLEYPYELPWPPTLKFLTT >Et_1A_006384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21746406:21748638:-1 gene:Et_1A_006384 transcript:Et_1A_006384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ESYRNLNEKHSYGFLLVRLWGLQFSLYYIGLGDTSPGYAINFYNIIRIATFILAVLFRKEPPNMRSLVGNIKVIGTIVCVGGTLVISLYKGKVLHLWPTNIIGYHPRQAGAAFGHHHPKPLTLQSCSHTSNWSTVVTCFVGSIQMAIVGVAMNREKVIWQLKWNMSLLTIVYSNRWYCGHTLLFHKQAILNTVAKLVMISWVVTQRGPTLILRTHNSRPHQKLTYIFLHECFLGMLMILAGLYLFRFGKRKELVPENEENLTEELQFQSDSRIKELGSNV >Et_5B_045658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6310827:6311305:-1 gene:Et_5B_045658 transcript:Et_5B_045658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYEQQHAPVIAYPPPATQSDYAATAPPLPPGQTLVMQPLPPPAQGNYVQPLPPGQALLMQPLQPPPPQANFVHPVPPPGYPGNFNVMNPPPPQVVVAPQTQSRGDKAFWEGW >Et_3B_030738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6963063:6972221:1 gene:Et_3B_030738 transcript:Et_3B_030738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKTAAAAADLSSLSAASSSFPLLVYDHGEQPDNSQIMLSVADGSSRTYRVPEMRNCRCLETPRGRALLVDASSLHCSLWNPQTGEKIALPAMDKALPEHCRCLLSDTVSSPNCVVLVYDLMQPELLFFQVRGSTGWISQSYDIGLYKLPASHPDSHLPHTKRVITNMAAVQGKFYFRNLESRDAVGPSSVDRVAATVTVTYHLESCQELFLVCLFFLGFTFEHIEEVCAYRVDFSKREWRKVTDIGDRAFLLGDQSFAASCPAMEHGLKRGCVYFAFDIFGDNDSFHIFDLREGSRELADGPPQDVRPLAREPFWMTTINGMAAVQGKFYFHKSRDVVGVLSFARDPEPHFEMATFDAPMPSFVSHLPQKVTMPYLLESYGELFLVCLFFHGCTLQHVAEVNAYRMDFAKQEWCKVTDIGDKAFLLGPGSFAASCSAMERGLKRGCVYFAYDFLGDSNDYHIFDLVEGTRELAGPTQDMPVLSCKPFWLVPGQGDFAKQEWCKVTDIGDKAFLLGPGSFAASCSAVEHERGCVYFAYDFHGDSNDYHIFDLAEGTRELTGPTQDMLVLSCKPFWLVSVMESKPAGEFGSLAAAVSSFPLLVYDYGEQPDNSQIMLSVADGSSRTYQVPEMRNYRCLETPRGLVLTVDTTSLQSFLWNPQTGENITLPAMDKALPEHCRCLLSDTISSPDCLVLVYDLMQPELLFCQIRGAAWINQFYDIGLYELPASHPNSHLPPTKRAITNMAAVQGKFYFLNLKSRNVVGVLNLVHHDKEPHLEMTTFDAPWPTSVGSVAAIQHVTMTYLLESCQELFLVCLFFPGFTFEHIEEVCAYRMDLSKQEWCKVTDIGDRAFLLGAQSFAASCSAMELGLKRGCVYFAFDVFGDNNNFHIFDLRDGSHELAGPSRDVPLLAREPFWMVPVLP >Et_3A_026056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3975282:3976599:-1 gene:Et_3A_026056 transcript:Et_3A_026056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIKRDCLPYESIWDAPVASPYPIPKCECNMTAVVTQSSHPLTAARAYFCCGNELIRIRDAATGFEIYSFLPMLYRTIGAILLLLQGNKRGCEFHELIHRPKSHYPDSDSLPDDVLHGEELPCWSLPPLLCQYGVPAREGVLPSELGYGHYCGNIVGENDEWDTRRCDWETFEGKEEFLLKAKKRGPEYFKKTLATRRSNMRHKYLTIPPSFIYNTICSELKIKRECPFWEGAEVDVVIRHWRRNRDKYLPKNCWELLDPPYGLQFNSAKECMEWAMIKMKQLCDPIYVVEKRKKEEEERKRRVKEELEARQ >Et_8A_057727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6473379:6481793:-1 gene:Et_8A_057727 transcript:Et_8A_057727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTGVFAEILDGEVYRYYADGEWRSSSSGKSVSIVNPTTRQTQYKVQACTQEEVNKVMEAAKVAQKAWARTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAVTEVVRSGDLISYTAEEGVRILGEGKLLVSDSFPGNERNKYCLSSKIPLGVVLAIPPFNYPVNLAVSKIGPALIAGNALVLKPPTQGAVAALHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMVPLQMELGGKDACIVLEDADLDLVAANIVKGGFSYSGQRCTAVKVVLIMESVADAVVQKVNAKLAKLKVGTPEDNSDITPVVTESSANFIEGLVMDAKEKGATFCQEYRREGNLIWPLLLDHVRPDMRIAWEEPFGPVLPVIRINSAEEGIHHCNASNFGLQGCIFTKDINKAILISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKIKVPNQPDRKATKMAISERTGN >Et_2B_019681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12197091:12200860:1 gene:Et_2B_019681 transcript:Et_2B_019681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILAWAADVVGGAGASDDEADDARAAASAAMTPEQRLRAAELDARAASLRRAIQDLRLRVPPPHVAQRLPHLHAHSLASSAALALQLNAHSSTKEQAQQREITLQEENAAYEKAISDCQQKVQEKLMEASLLQSNLKEMEMTEHNLKAQLENAIKEQEATQHISSSTASETTGNALLEAESLISIKSKDLEKKKEELELLENKVQTLEKEWSVVEEESLKNPSPAHREKVLEKQLHSLIEQLTSKQSQAEILIADVRAKEKELERLNSLNRNPYSSANEVGAARNRSGRGLFGSTEDYDAKAGRRPYQWGARTEGLKRLMILRSAIVLYILLLHIVVFIKISV >Et_2B_022498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23962210:23967174:1 gene:Et_2B_022498 transcript:Et_2B_022498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQVLQRLRPAAATHAARGYSAAAKEMTVRDALNSALDEEMSADPSVFLMGEEVGEYQGAYKVGKSFQRITKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYHGLRPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLTPYSAEDARGLLKAAIRDPDPVIFLENELLYGESFPVSAEVLDSSFCLPIGKAKIEREGKHVTITAFSKMVGYALQAAEILSKEGISAEVINLRSIRPLDRAAINASVRKTNRLVTVEEGFPQHGVGAEICMSVVEECFEYLDAPVERIAGADVPMPYAANIERMAVPQVDDIVRAAKRACYRAVPMAATA >Et_1A_005993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16462605:16466189:-1 gene:Et_1A_005993 transcript:Et_1A_005993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVRFSQPPASALCSAAPGPGRRGFYLRAAGQARRLTAALAASDRVVLGCGLLTLDYLATVDAYPRPDDKIRTGGLQISGGGNAGNALTGAARLGLNTRLISKVGNDEFGETVLQELKGAGIDISHVIISDGGNTTFSYVIIDKQTKTRTCIITSGSSMVPSDLSMSSLSDALQDVNLLFLDGYSHEMALAVARQADQVKIPILVDAEPERTKAELEGMLSVASYIVCSAKFPEKWTSIPSIPSALLELLLQYPLAKFVIITLGENGCMMLERSQQGDHSGIEASDIENVAESLKLEVRKDDILPTCVSSKFMRLSGRGFGTLFGRLLTGTAENIPASELIDTTGCGDAFIGAVLHGVSTEMPPEKMLPFACQVAAIKCRAVGARAGLPWQSDPRLAKFLG >Et_6A_048000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3111604:3113597:-1 gene:Et_6A_048000 transcript:Et_6A_048000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGATRVILGKSTYGTVYKVTFKDGSLVAVKVLRQKVTKGDKMFEPAAAVLGKVRHPNLLALRAYNLEPKPEGEKVLIFDYMPKGSLYAFLHARAPNAPVDWATRMTIAWGTARGLAYLHDDRLIVHGNLTSSNVLLDEQCHPKIADFGLSRLMTDTANCSMLSAAYVLGYRAPELSTVLKANTKTDVYSLGVIILELLTGKPASYRTEGMDLRECVARNFKMFDPELMEYAAASTINYELRATRKLAMRCVDPSPSDRPQARVVLRQLEEIRPKLMQKEKQREQIGQGSRRRKSSWSSRRLNRNLKLLGLR >Et_4A_034404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32231082:32233214:-1 gene:Et_4A_034404 transcript:Et_4A_034404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLRGGGGGAGFGGTAWEVLRRHFSKKRAVDVRRIKPKVPKEEAVAISGRLLQILTDHGPLTVGNTWNHAKDAGISGLNSKTHMKILLKWMTGRRIVKLTCAHVGNTKKFLYKPYTDDSDGSNEASSSASSETNKASAPGIGKYARAQLKKKADADAAAAAAAVAALQLEMESFLDLEHSHRAEVQGVGLVRSRVAAGLGSWLGHKRWTGTRGAANGRTPEHGVASHVSGVRRARARNELVK >Et_9A_061496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12710232:12722138:1 gene:Et_9A_061496 transcript:Et_9A_061496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSPCASCKLLRRRCTKDCIFAPFFPADDPHKFAIVHKVFGASNVSKMLQELPVQQRGDAVSSLVYEANARMRDPVYGCVGAISFLQNQVSQLQMQLAVAQAEILCIQMQRRDDDDANGQGHDGAVPPAALVAAAAGDHHHHVMAQHHQAAAAAAATMMAPEDVDAFLMHHHNGGQLMAAGYGAAGEALKRESLWTITIVENLFKIKLVASCHKNKGCTCCAAQVVLAAGGGTVAALWAWRLWTLIRSRRNFYAILHIMSLLHILVLDARMTISRAAANTVANPHFPRRYLGVMRANQIELQQLGTVTQLAMNKGSNYPALRLDGFMSKVPEEGIHSLQ >Et_8B_059807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2933702:2936173:-1 gene:Et_8B_059807 transcript:Et_8B_059807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITMKEQCLKVLQSKFDSQERSFAPEQEIVEALKNCSIGQDYCQPLMMLLVLRRIQSPAQKCSDENKVFEAEPGNYHPGSGEKFFGNFWYPYMNGLLHRDHAFSLSRLEFGAAYSRL >Et_5B_045320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18243221:18244410:-1 gene:Et_5B_045320 transcript:Et_5B_045320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSDQGITVGEENLKAGNPRCLLWAMAVYLPMACIGVSLAAAYVAFISPHNANGSLWPLLPIILLGIYMALVSAMVMHVNLHLPRTPVAVRDAILEAGLVYVGFPLIVGSSVVACLGLAWWIVVAVGTKAHKTCIRW >Et_4B_037246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17083910:17085232:-1 gene:Et_4B_037246 transcript:Et_4B_037246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGRVTKEENDLFDIMDDWLRRTVSMVRPITLSLCLFRFRGLVYRDYFCNFLVVCGLLLLYGAFALIGFMLRQFELARSVQLRPYNAISFSGPIAVLFPYSLFIHWDNRVAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANCFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSAIGIVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPPGRDQETTGFAWWAGNARLINLSGKLLGAHVAHAGLIIFWAGAMNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVGPGEKF >Et_4A_034285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31479081:31479869:1 gene:Et_4A_034285 transcript:Et_4A_034285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDRRHAEKAFQRAEEMFLVGNLRGAQRQASTARRLCPSLALATHALDAYDVHAAAAGSNWRAVLGIAPGAGEVKHADVRKRFRRLSVLVHPDRNRSAAAAGAFRLLLQAYDAVSAGTDGPPRPWWMEPERQQQRRPDGRQRHPLARWKEPPCKFKVLQGRVRAAVRGPRGAGGDDDCHRWLRPPWEKKPAGPDPAATKKQAVEAAAASPTPQRKAPVFPCPAQCPECGERYASNVSVGKWCLRCKACGKSFL >Et_6B_048959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15991353:15992689:-1 gene:Et_6B_048959 transcript:Et_6B_048959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPALCRWSRGNLYAFDYENDLFTIEVRPIPFMNDRTLLRANDFLGEMFYLVESRGGLLMDDQWQVHMPHHSENATVRGARRNEFQVFKTDFKQSRWTEETTIGDDHVLFLRQRCSKSGCVSQCGMPGDRILFLENVDE >Et_3B_029288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23713167:23716372:-1 gene:Et_3B_029288 transcript:Et_3B_029288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSTPKTDGNSKKLKKPKPWKHTQAITLAQLKQMREEFWDTAPHYGGQKEIWDALRAAADADLELAQTIVDSAGIIVSNSDMTLCYDERGAKYELPKYVLSEPTNLIREGLSFDTRWSKNGVISTDAVLVLLGSSQELHRE >Et_3B_030030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2988139:2991161:1 gene:Et_3B_030030 transcript:Et_3B_030030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNGDSMAEADPHEQVGAKDAEAGGVRRSPAAVAGLLRGFLAVQQRRAEAYSMLRRGFSEYMANGGELSYQQLCGNITAEFNDCSKQVLEMISLLSMPEFCRDDLADLLKVVQAHEKEKLHLTAKIQVLKKAGRPSERLVSHEDCRSRSMAQHVCVHVKEITEAAGTEDAEADAEYDAALKEAIQGVQEAVTNINEHMEEVRYEIEALEAETDVSKWTEVEEAFPGTLSIK >Et_9A_061315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:184454:186615:1 gene:Et_9A_061315 transcript:Et_9A_061315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKNQDPPPSIQDATDRISKRGDTVDEKIKKLDAELARYKDQIKKTRPGPAQEAVKARAMRVLKQRRMYEGQRDMLYNQTYNLDQTEGLKDAQQTMTAMKAANKELKGMMKTVKLEDIDSMQDEMMDLMDASNEIQETLGRSYNVPDDIDEEELMGELDALEADMDFESESVPSYLQPDKEPEQDSELNLPAAPTGHAAPPNRQQVDELGLPTVPQASIRS >Et_3A_027086.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32445973:32449521:1 gene:Et_3A_027086 transcript:Et_3A_027086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLKYLDLSDNQLTGALPVSLYGLKVLKEMFLDRNFFSGQLSPAIGKLQDLRKLSVSVNSISGSLPLELGTLQNLEFLDFHTNAFSGSIPATFGNLSRLLHLDASQNNLSGSIFPGITSMVNLVTVDLSSNSLVGSIPKEISQLQNLQLLVLGQNDISGSIPEEIGDLKLLELLELRACKLSGSIPYSIGGLRSLKQLDISDNNFKTELPTSIGKLGNLTRLFAKSAGLIGRIPRELGNCKKLKTVYLSFNSFTGNIPEELADLEAMDTFLVEGNNLSGEIPVWIRNWVDLRYISLAQNMFHGPLPLLSLQHLVSFSAETNMLSGSIPAELCQSNSLKSLILHNNNLTGNVMEAFKGCKNLTELDLLGNHLHGEIPDYLAELPLVRLDLSQNNFNGKLPPKLCESSTLLEISLSYNQLTGPIPESISGLSSLQRLHMDGNYLEGPIPRSLGALRNLTNLSLRGNRLSGEIPLELFNCRNLVTLDLSLNNLTGHIPRTISQLPFLNSLDLSYNQLSGSIPAEICVGFENDAHPDSEFFQHHGFLDLSYNRLTGHIPTAIKNCAMTTVLNLQSNFLSGTIPQELGDLANLATINLSFNALVGPMLPWSAPLVQLQGLFLSHNHLDGSIPINISHILPKTAVIDLSSNLLTGTLPKSFLCKEYLVHLDVSNNSLSGQIPFFCPKEKETSSSLLFFNASSNNFSGNLDESISNFTQLSSLDIHNNSLNGSLPSELSDLSSLNYLDLSSNDFHGAIPCGICNIYGLTFANFSGNHFGMNSLADCAAAGICTGNVIGGMAVHSSHRVLRAVIICVILAVVIMLILWVVYLRWKLSRSKPFALVPTSKSKAMVEPTSSDELLGRKSREPLSINVATFEHALLRVTADDILKATDNFSKVHIIGDGGFGTVYRAALPEGRRVAIKRLHGGHQYQGDREFLAEMETIGKVKHPNLVPLLGYCVCGDERLLIYEYMENGSLETWLKNRADAVEALGWPDRLKICLGSARGLSFLHHGFVPHIIHRDMKSSNILLDENFEPRVSDFGLARIISACETHVSTDIAGTFGYIPPEYGMTMKSSTKGDVYSFGVVMLELLTGRPPTGQEEVEGGGNLVGWVRWMIAHGKENELFDPCLPVSSLWREQMLFVLSIARDCTADEPWKRPTMMEVVKGLKMAQAMECGPLVVKVCGDM >Et_2B_020362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19377108:19379592:1 gene:Et_2B_020362 transcript:Et_2B_020362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLGLVYPWGRDVRRGASVVVTMENPNYSVVEIDGPEAEVLQAGVGVPMDKGRGRSAKQFTWVLLLRAHRVAGCVASLAAVAWALPAAVTKRFRRTAAAAEGPGHGRGLLLYRFIKGFLVLSLIALAVELTAYWNGWHLPKPSFTGWGHSAYLSWMSFRADYICRLIEFLSKSCILLFVVQSLDRLVLCVGCFWIKLKKIKPRIEGDPFKEGSGYVHPMVLVQIPMCNEKEVYEQSISAVCQLDWPRDRLLIQILDDSSDESIQMLIKAEVSKWNQQGVNIIYRHRVLRTGYKAGNLKSAMSCDYVKNYEFVAIFDADFQPSPDFLMKTIPHFEGNPELGLVQARWSFVNTDENLLTRLQNINLCFHFEVEQQVNGAFLNFFGFNGTAGVWRIQALEDSGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYEAYRKQQHRWHSGPMHLFRLCLPDIITSKISSWKKANLILLFFLLRKLILPFYSFTLFCVILPLTMFVPEAELPVWVICYVPVCMSFLNILPSPRSFPFIVPYLLFENTMSVTKFNAMVSGLFKLGSSYEWIVTKKSGRSSELDLFTQSGKETKGISLGQLQKQLPDGELAQINMGKEQHDKVLHDVKKANKIYKKELVLSMLLLTAAARSLLSAQGIHFYFLLFQGVSFLLVGLDLIGEQIS >Et_1A_009558.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:8028362:8028907:-1 gene:Et_1A_009558 transcript:Et_1A_009558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGGFRLGRKLLSVWRWALCHRRRRRGRGYLRLQPCRAADASPSVPLIRAKKRDAMPALGSPRMLTWGVRSLARRMKLLRHGGGAKDRLLEEHAAEATTPKGQVAVYVGGAEPGGESMRYVVPVVYFNHPLFGELLREAEEEFGFQHPGGITIPCAASRFERAAAVAAAGGLKKVPGWW >Et_5A_040332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14722313:14723797:-1 gene:Et_5A_040332 transcript:Et_5A_040332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNRSINVLLVSYPSQGHINPLLQFGKRLAGCHDVRCTLAMTRSSLRSSEAPDPGAVRIAAFSDGCDHGGYEEVSDEYAYLSRLEAAGSDTLDELLRFESAQGRPPRVARRHGASCAAFFTQACAVNILCAHAWADNVKLPMVNVPSELPGLSTKLEPSDFPTYLTKETSCPAYRDLLVHQCQGLDEADHVLINSFYELQAKEAEYMSSRWGAKMVGPTVPSAYLDNRLPDDTSYGFHLYTPMTAESEAWLDKRPAYSVVYVSFGSLAAPGPEQISEVAMGLYNSGKAFLWLKVLAHPAIGCFVTHCGWNSTMEGLSAGTPMVAMPQWSDQSTNAKYIQDVWRVGVRVRPNADKLVTKEELGRCLTEVMEGEMKTQYKTNAMKWSERAKMAMSEGGSSDQYIIEFLAKLRSNS >Et_1A_008160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4835978:4838967:-1 gene:Et_1A_008160 transcript:Et_1A_008160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGDGEAEAERQTQPLLGKLLAEKSYSCSSSDSEEHTVKRTGTIWTAMAHIITAVIGSGVLSLAWSVAQLGWVGGPVAMVFFAGVTAVQSSLIADCYISHDPERGVVRNRSYVDAVRLYLGNKSQLFCGFFLNFSLFGTGVVYTLTSATSMRAIQKANCYHREGHDAPCSVGGDGYYMLLFGVAQVVLSQIPDFHNMAGLSVFAAAMSFFYAFVGVGLGVAKVITNGVIKGGIGGIPMVSTTQKVWRVSQALGDIAFAYPFSLVLLEIEDTLRSPPPETETMKKATRSSILITTFFYLCCGCFGYAAFGNATPGNLLTGFGFYEPHWLIDLANLCIVYTQPVFAFADRVSGGAAAVQVGARRVNVFRLCFRTAYVAATTALAVWFPYFNQVIGLLGAFTFWPLGIHFPVEMYLVKNNVTPWSKHWLAIRAFSVVCLFICAFASVGSAVGVFGSETS >Et_3B_029630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26602381:26605030:-1 gene:Et_3B_029630 transcript:Et_3B_029630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQTMASQAGGGGVGGRGGGSAAQRDQMQSLARQGSLYNLTLDEVQSHLGEPLHSMNLDELLKSVFPDGLDHDGATTSQHEQTSGLLRQGSITMPPGLSKKTVDEVWKGIQDGPKRNAEEGGRRRRERQPTLGEMTLEDFLVKAGVVTEGYLKDLNDVGNVGQLAITGAPGMTRGAQWLDPYQQQFTAIDPHQHVQQSMPVAYMPSRLALQPLNVGPCAIMEPAYSDGNNTSPMMGALSDSPTPGRKRGASGDVADKLMERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLKRQKELEKMLFSAPLPEPKYQLRRTGSAAF >Et_4B_037006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13436945:13440891:-1 gene:Et_4B_037006 transcript:Et_4B_037006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAEKFGLGMEAEEEAELATPTAASSPAPTRKMQSLDFEHIGSLAAVAGSLAPGSKWRRALTSVRIVIFQAKINVLLPFGPLAVMLHYLTGKHQAWVFLFSLIGITPLAERLGYATEQLACYTGPTVGGLLNATFGNATEMIISIYALKNGMTRVVQQSLLGSILSNMLLVLGCAFFAGGIVHSDRDQVFNKASAVVNSGLLLMAVLGLMFPAVLHFTHSEVQYGKSEVALSRFSSCIMLVAYASYLFFQLKTHRSVYSPIGEEEEATEDEEDEKEITQGEAICWLFVLTIWISVLSGYLVDAIQGASESLSLPVAFISVILLPIVGNAAEHASAIMFAMKNKLDITLGVAIGSSTQISMFVIPFCVVIGWIMGQEMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPTASKQYDYQGAFFSSVAITSN >Et_3B_028164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11180016:11183371:1 gene:Et_3B_028164 transcript:Et_3B_028164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPRRTANTVAIATFTFKRPTFLGIMGSPNLGIRVMASLGAWTYCDSAKVNLWRIMASPIRASSIAKFWPMQALGSCEKDSRAFGGFGHAVLEPRRVELVGVVAPDVLVPVQQRDRSVWIALFPLARICYRA >Et_7B_054352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20258150:20261987:1 gene:Et_7B_054352 transcript:Et_7B_054352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMMMRLMRRRSLLHPGAAAPVPVAGAGGSASLFSTQQQPAAADPSVLPGIKIRDSASQLIGRTPMVYLNRVTEGCGARVAAKLEFLQPSFSVKDRPAISMLEDAEKKGLITPGKTTLIEPTSGNMGIGLAFMAALKGYELILTMPSYTSLERRVTMRAFGANLVLTDPTKGMGGTVRKAAELYEKHPSAYMLQQFQNPANVKVHYETTGPEIWEDTLGQVDIFVMGIGSGGTVTGVGKYLKEKNPNAKIYGVEPAEANVLNGGKPGPHLITGNGVGFKPDILDMDIMEKVLEVKSEDAVKMARELALKEGLLVGISSGANTVAALELANKPENKGKLIVTVLPSLGERYLSSALFDELRKEAEAMEPVPVD >Et_4B_039032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7141943:7145111:-1 gene:Et_4B_039032 transcript:Et_4B_039032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSQANGDPHTTPAAEAAEAVKEGDTGEAPDTQANGNHQPPPAAEAVEAVEEGDAGETMEGVASIALLPSGAISGHFISLPDSICYGLHGTPISCERECSRGEDYRLIKLTIIDFKSKREKVIVVECRGHDAARLQNVDHLHGWEDDIVGLVEKKHGSQKVLLSFECETLKADKDAEEHITKYMPNLCGLDAVVNVGKMTITGINLDEDDEPRGEN >Et_2A_017438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34321994:34323394:-1 gene:Et_2A_017438 transcript:Et_2A_017438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLPALDILVREDDGFTMWSGPPYPPGYSSNLRFSKTACNATSFSANGARLLATVVSEPSSTANIYNCGSFITYAAFFELPGLLAATLSPTGTYLQTFQKWRSPQEKNFTVWHIDKGIAVYSQCQKSFSEATWPMIQFSMDESIACQMMPDELQLLDPKDFTKGVIRKIKMPGIAAMQLATAPGSHGVAANVQIFSCDKDAQNQVVGRKSFYRCTAVQLRWNKGSTRLIVLAHAGMDKTNQSYYGETKLYYLTTDQALDGNVPLKKKGPVHDVQWSSLGYEFAVVYGCILTFAVFTCIVV >Et_7B_055322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9384952:9389936:1 gene:Et_7B_055322 transcript:Et_7B_055322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPLPDRGGRSRYGGGGGSAQGQQAPFPFVVDPTEGPVGAAVVRAFFPAHQVGGGGEPAAAASLSSAERGRGQQQQQRYGAAEISLGHAMHRYHQFGVEGNQQQDGGSLPRHSSSPPGFFSSPVVDNGFPSARVGGNEVHQTMSTYNKKMKSPMNLARQGTLAQISEDVTEHMHAIGPSEESITTSNVVRSFSGGFSIGSWEDSNSIAFSSPSSKAGIHNNDDIIATLSNYELQFGVTKEANLQMQQDQVPFRVRAKRGCATHPRSIAERERRTRISEKLRKLQTLVPNMDKQTSTADMLDLAVEHIRGLQSEVQALKEDKEKCTCRGNHSSRN >Et_1A_008354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6952182:6956316:1 gene:Et_1A_008354 transcript:Et_1A_008354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEEEAKPEAVTTSPAREPPLDSHPDAASAALVAGQEMQPWEQHAAVINLPRYDYRASGSLLLRSCSGFLITCPIKREKSATKEAISILDEYIGRATVLENSEACDMKIAAKKRKLCSETSENIVEAATNGHHDASESTGSIGNSNSPQSKTSEDVDKTSKLSLVKLSRSGLLFFKFPGGGFHVVQMLIEILHSIKSGKLKSPQWCHRIFPIQETCVLSETELHATVSKLFGDFFKSKKDQDEPIKFAVAYNRRGIDETEMRLPKSSNEGSNQQALMDRDQCFKVVASAVKSIADKSVVDLRSPEVAILVEMLPLSGVPLGSSVAGVSVLPAELISTKPRLCVRSLVSDPKATSKK >Et_4B_038414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28870005:28871553:-1 gene:Et_4B_038414 transcript:Et_4B_038414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGRDADAAKAQVVREVCAASAAFASCPRRPHFVDWYLVLAIGEAATEDAVRRRYRQLALQLHPDKNRHPKAEVAFKVVSEAHACLTDKARRRAFDAERRASFCAACHDRHVLSSSSSSSLPPSVATDKDKRTSACGATAKARVRKPPAAPALRDVQNRMRDECRVIDSCLRANNAAACARRRQSFPLFDPSSDRSRFPDYPHTRPPLSPFEFCRFEEQHLGGADQNKNQNQRWCRSGGESPVYQIRTAPPERAAGTHRSW >Et_6A_046339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13289291:13290225:-1 gene:Et_6A_046339 transcript:Et_6A_046339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTEEILQGNPNICACEAPSLNARQDIVVAEVPKLGVAAAQAALTEWGQPRSSITHLVVCTTAGVDMPGADYRVARLLGLRPSVSRVMLYQQGCFAGGTVLRVAKDLAENNRGAQVLAVCSEITAALFGDGGADDPDRAAVERPLFRLGSAAQILLPESEGAIDGHLREEGLTFHLLKDVPGLIARNIGCVLEDAFAPLGISDWNSIFWAAHPGGPAILDQIEAELHRDGDRLRASRHVLAEYGNMSSACVLFVLDELRKRAAEDGLATTGEGKDWGVLLGFGPGLTVEAIVLRSVPAIVS >Et_6A_047230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:27074696:27077322:1 gene:Et_6A_047230 transcript:Et_6A_047230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHESAVAGEQQLGEGEEQLASNFLHHFEQILLNDPLIDEVGFLHPTQFGSLDFSQNGNSTTQTTERDHKLAISYEILPELYRAARDAYTSTRTAHDPPLSIDLMRHSKALLILCPDLLTAWNSRKMVLSLNYDFKMLMDELQLCALILSYSPKNESTWSHRRWVIKKIAEQHQDMSEIIANESVLVKDIAEKSKMNYRAWRHRCWLIPYMTRKQVLSELEKLTRWSELHVADNCCFHYRRSLLLALRDNHIEDREDSLSLESEMHLRWKEELRWDEMLIRRYQGRESLWIHRRFLSQWWIQQLLTIEETCLSSTSLVDAFVAQEIHLLSECLNAPVDEFEEPCVQAELAQVPAVKEKLEGRLHSMGSLEEVLGRACRPEKRRLLMNLLGLAVNS >Et_2B_020487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20736452:20743827:1 gene:Et_2B_020487 transcript:Et_2B_020487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYWHVNLLNVVITSMVCSWCRIYMKVESKSLLGKFVDSLDMPARFWISLLISCFKQKFSKLFSDKEILGSLNKEGVVQAYAAKVLSSKCQPQASLLIQSLMMTFCRHNCGGSSIELNLEACKLVTPLSSFICKCESLWTSLMLKFWNDSEGVGKKQGQSEFLCDGISYPGSTTRIISSEDMNVVLAGSIKASPLSGRLQLGDSTGAIDIFIPDLPLTESLCGIYEIGNYKIVLEGPVAYLDHYVVGDPLSCKAVFQQLTYSKRVHHLHIYIVVCWRELNQIGPSSHITLHVNYIPTSFHLLKLSHMFPANNVPRQNMSGPSLYAEAIVLPYDLQVFGQGGCIEHAEGFRMSYSRLIGNSEASTAKLCKIPCSLSFGSTNLCGTLMSSYSGSDITVTNERILLDFKKGSLLKYPLLRIGGCYLLQCPSGNHTAPMESCGCLKGGKVSLDSDDKLWSLVITSGGSIGIKETIGNQLTGVIAAKMDEPFSGNTIHDELKLVQPWNEFCLDSYFYLDFPCEAIIKYTEEYNPVCHVLNGLCASSSEILSISSCIDIMTPKEASGSAKLQIEEVVQGDLISLQGKVENIHPHGCKEGRYMPGDEKSSICIHVTDNNHRVHIRGYLWKHSSLGIGPGAMVIFHRILLTKHELLLMPVTYIEVISIRHADLNDGRVMSSSSSNCLKQNSLSTVVPCLFSRLKHFTDNRCTQFHSRVATVLMLVLDSYLHDLQTPKLTNHGKGPKVKVRLAGFILDDGSSLCCCWADDTRAELMLRLQEVAHLNASIGLKLSKDGSSTNLQHTIGSCLEKLLKKHTSVIVRNYGIPPDFSCGDLEVSSVSGKVLGRLEEKLLKFITLNACWKGTLNVVASVLNPDDLNGFDVVVPDFYPTRSTQMLWINEVFQVDPLQEARRLYGDLVNC >Et_9A_062213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20740972:20742969:-1 gene:Et_9A_062213 transcript:Et_9A_062213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQIGGGKVAEPRARVDLSPTAVADKARASSRKKSRHKKKASAAASPAPVLPMQRLFDTSREVFLESSPGFVPPPDAVARLAGLLNDLKPHDVGIDPCMPCFKRNDSKGPPQVTYLHFYDCSKFSFGIFCLPKSAVIPLHNHPGMTVFSKILFGSMHLKSYDWARSLPDSNTNALETSDGARLAKVNTDDAFDDSSETIVLYPENGGNLHCFTALTPCAVLDVMGPPYNRADGRDCAYYNEAPYSSLCGGDNQFSWLKEIPSTFEMKGIQMARKFVV >Et_4B_039782.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29381960:29383453:-1 gene:Et_4B_039782 transcript:Et_4B_039782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPLRVLLVVVVMATIAAAMATLGAASRTGAEEEDHFLGVNYGTLGDNLPPPRRGLELARSAGAAAVRFYDANATLLAAAAASGLVFIPSLPNELIPAVSASQRAADAWVASALGPFRRSRGLRFLFVGNEVLADPAQKSRWPHIVPAMANARRALRRHGLGRVRVGTTVSMHELEHWNVFPPSAGAFKPEIADAVVRPLLAFLDRTDSYFFVDAYTYFTWSANHTVVPLSYALLDPASAYRYHDPGTGLTYANLLDQMLDAVVAAMCRLGHCGVKLALAETGWPTAGDLDQFGANLRNAATYNRNLARHLASGAGTPRRPGTRMPAMVFALFNENLKWGATTERHWGLFYPNGSAVYEADLTGRRPTATYPPALNDRPYPGRIWCVVRTDKGPVNETAVMEQVKAACADEAGLCDAVRPGGACFRPNTVAAHASYVFSAHWNRFSEDYGGCYFGGFAVETTVDPSEFACLSPPLLFWQKMLPPSLLFSKYKSN >Et_2B_019574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10289279:10291519:1 gene:Et_2B_019574 transcript:Et_2B_019574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SKKRGLSLEEKREQMLQIFYESQDFYLLKELEKMGPKKGVISQSVKDVVQSLVDDDLVLKEKIGTSVYFWSLPSCAGNQLRNTYNKLESDLSNSKKRYMELVEQRENLKRGREDSEEREAALEELKAVESHHKKLKEELAAYADSDPAALEAMKDAIDVAHSAANRWTDNIFTLQQWCSTTFPQAKEQLEHMYREVGCNLSSVHP >Et_2B_020044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16328587:16331255:-1 gene:Et_2B_020044 transcript:Et_2B_020044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRHRRRGGHLSLLLPPLILLILLAAAEAKEKGAAHRLRFRRDSGTFKVVQVADMHYADGRRTGCEDVLPSQVAGCTDLNTTAFLYRVFSAEDPDLVVFTGDNIYGSDSTDAAKSMDAAIAPAIDMKLPWAAIIGNHDQEGTLSREGVMRHLVGMKNSLSSINPDGIEIDGYGNYNLEVSGIEGTSLDEKSVLNLYFLDSGDYSTVPGINGYGWIKASQQVWFQQTSSRLQRKYIHESPKQKEPAPGLVFFHIPLPEFSSFTTANFTGVKQEGISSASINSGFFATMVEAGDVKAAFVGHDHINDFCGKLNGIQLCYAGGFGYHAYGKAGWSRRARVVSVQLEKTDGGEWRGVKSIKTWKRLDDPYLSTIDSEVLWNRGSNGRRKKNPDGS >Et_3A_024118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17580202:17584996:1 gene:Et_3A_024118 transcript:Et_3A_024118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLRLALLLSACLCAAVAWARPHLETGIRLPSERAEAAGESDDSVGTRWAVLIAGSNGYYNYRHQADVCHAYQIMKKGGLKDENIIVFMYDDIAHSPENPRPGVIINHPKGGDVYAGVPKDYTGREVTVDNFFAVLLGNKTAVRGGSGKVVSSGPNDHIFVFYSDHGGPGVLGMPTYPYLYGDDLVNVLKKKHAAGTYKSLVFYLEACESGSIFEGMLPNDINVYATTASNAEESSWGTYCPGEYPEPPPEYDTCLGDLYSIAWMEDSDIHNLRTESLKQQYKLVKDRTSVHNTFNYGSHVMQYGSLDLGAQHLFTYIGSNPANDNATFVEDNSLPSFPRAVNQRDADLVYFWQKYRKLAEGSSEKNDARKELLEVMDHRSHVDSSVELIGNLLFGSEDGPKVLKAVRAAGKPLVDDWSCLKSMVRAFESQCGSLAQYGMKHMRSFANICNAGIRPEAVSKVAAQACTSVPSNPWSSIHMGFSA >Et_1A_005175.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30853439:30853669:1 gene:Et_1A_005175 transcript:Et_1A_005175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAEVIAEQACYCGPTDGLPIIWKMLVFKGCYVPQGTATGPSSILLPLVLASRSSSLMARQRLSILRHSVWQSF >Et_2B_020654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22426912:22429988:1 gene:Et_2B_020654 transcript:Et_2B_020654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGDLRTTIKKWNVIYPVYLNSKKTVADGRRIAAAKACPDPTCIEIADCCSHLKIPHAIELDKAYPRDFFQVGRVRVQLKKDDGSPVNPAIKTKKQLLIQIAELVPKHHGRTKKQEAPANASNAGPSKTKGGKKKK >Et_5A_042665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24742732:24743879:-1 gene:Et_5A_042665 transcript:Et_5A_042665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPPFLYPPPSSAYLTAMSAVSLVSMANAGLSELRGAHMAYSKFWHVMAAAGSAGAAGQQQQRGSGGGGGALLSSRDGMLVAYAPALLAAAASFAVPGVVEGARAELLSAALAVHFLKRVLEVLFVHRYSGNMPLATAATISTSYLLGTVNMIYAQHLSRGLPDPAVDLLYPGVAVFAVGIAGNFYHHYLLSRLRAGGGGDKGYKIPRGGLFELVTCPHYLFEILGFFGFAMISQTVCSVAWASGTAAYLAGRSFATRRWYASKFDEFPERIKALVPYIF >Et_5A_042207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7458674:7466372:-1 gene:Et_5A_042207 transcript:Et_5A_042207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRGDRGEGHARRGRGFGGHRGGGGVGGAGKGGGGPSGQPPLSTNRSFKKPGNGHGVHQRVVSQPDTTGFQPAPAPGPLQTPARPPPAPQNAATHVPVAAQRPQHHDPHVPSSSPAGENPASTQLPRSAPHAAPRAPPKSSIPPVPQGASKGEPSKGFVNFQFGSINMNGIPQFPARTSSAPPNLDEQKRNQRDVHVSPSVPNVAPLRPTVQQMPGVPISIPFHHQQPPVPMQFGGHGPQMQAQVVVPSSMQMSMGLSGGNPPPVPQQLYAPNMQHHQLQQQTMMHQGQMTYVPSGAHQFPPQLGSIPMSMGPQYTQQQPNKYVAPRKTTVKITHPDTHEELKLDKRMEPSGQRPPQAQPVGGYAPHMGYYNQQPNSYSQSAIYYPPSTGVGQVPTASSGPRFSYPVTQSGQAMPYVSPSVGPSVSGQSQITGKPYSGGLQTEKSGTHSVTISAPPSKSDAPKLNPAEDAASSVQKKDNDVVSVSGIAVSNKLEHEKESKVQSVTEKRPMVVSQSAPIQGPKPETTAPSSPVANSVSPVAPTAEDIKVQTSVKDGGDAGDHLEAKNSNKELDLTTGVPVVPPESRISPGADAAEGDRTPVNASDVPGTEEGSTKPASEGIGEPQGAEKFAVSATEREESKETHRVSADSDMDKISSDVTDHESPEVCTVDTSEKASAVASNANKPDVAPLVTDQEQLLKDSALSGSEEQGMINSSLKNSETSSDFLGGNAVVVTSEASESTVESANDRGESDISQEAGLGASNISQVASELSQKSESKINDEPDAAPTASVRPVSREKPTAELTRTKSTAGKKKKRKEMLSKADAAGSSDLYNAYKGPEEKLESSGTAEGADSSSVVNATNVLPDESEREVNTSEDDGKKKVEPDDWEDAADMSTPKLRSSESGNDVTYVSDSDTTEANGKKKYSRDFLLTLSQYCTDLPVGFQMSDAATALMNNLAGKSYVVDREPHPSPRPASRGDRRGGVMADDRWTKVPLSPGRDAHMDMTNGPSMINYRGAPGVNHGVLRNPRGQPSNQYGGGLLGGPMQSIGPQMSRSGSDADRWQQRGLMPSPVTPMQTMHKAEKKYIVGKVSDEEEAKQRQLKGILNKLTPQNFEKLFLQVKEVNIDNVATLTGVISQIFDKALMEPTFCEMYANFCFHLAFALPDFSEDNEKITFKRLLLNKCQEEFERGEREEAEADKTEEEGEIKQTKEEREEKRIRARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGNFQDPDEENIEALCKLMSTIGDMIDHPKAKEHMDAYFDIMHKLSTSQKLSSRVRFMLRDSIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERHAQTSRLGRGPSSSGSRRGAPPMDYGPRGSAALASPSSQQGSIRGMPPHSRSFGSQDIRFDEKHSFDSRTVPLPQRPVKDEPITLGPQGGLARGMSIRGQPLVSNAELPSVVDHRRIVSGSNGFSSGADRTSSTGREDPGSRTPDRTSGRSASSIQSTGPSHRPVSQEGRSGNKSYTEDDLREKSVSAIREYYSAKDEKEVALCIEELNAPSFYPSVVSLWVNDSFERKDMERELLAKLLVSLCGGRHNLLSKRQLVDGLASVLKSLEDTLSDAPRATEYLGILLARFVQENILPLQEVGTLIQEGGEEPGYLVQDGIAADILAAVLESIIKEKGDSFLNEAKSSSNLRLEDFRPQHLKRSKLDAFINDLPKFALIAWSSSAALDNTRAYL >Et_1A_007879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39180119:39186796:-1 gene:Et_1A_007879 transcript:Et_1A_007879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRPPAPEAETTTDASRDEAAAEAPAKKKLAMERKKQRKELDKERHRQSAESEAAAAKPQPPPAETAAAAPVCPPPAPVVAGPGLHMNVFRDLASPEASVREAAAQALVAELKDVQKAHEKALRKGEKEAGAGDGPSQMEAEKDDGLENCAPSVRYAIRRLIRGISSSREYARQGFALGLAVVLESIRAISVEAIMKLIPTLLEYSSSIKGPEAKDNLLGRLFGFGSLVRSGRISRQWTRDKSSPIVKDFVSEVVKLGNKKRYLAEPAVAVILDLVRKLPDEAIISEVIEATVVQDWFAGAADAGDPDALFLALKLKERTNVRKEIFGSLLPYPFSPDAFFAKENLKSVAACFKESAFCLPRIHSLWLVITEMLIREAESQNDTNTNSGKKHKKNKKASSPEDTNRNLRNFCEVVIEGSLLLSSHDRKHLAFNILLNLLPKLSPSAIQVVLSSKVVHGLMDILSNESSWLYNAGKHFLKELASVASQDNDRRVAVIVNLQKYSNGRFDCMTKTKVVKELVSKFRSSQDCLCLVQNLMALFVDEESVTDEPSDQSQTTDENSEIGLAEDQDSFGQGNTDLLKSWVVNTIPFVLKNLKLTSKGNSDSEMAKCIEEKFQVQTEILKFLAVQGLFSASLGTEVTSFELQEKFKWPKTPTSTSLRNECIEQLQFLLEDGQKDEALHGASEVKPNDLGSYFMHFINTICSIPSVSLFRTLSSNDDNAFKQSLAIELMLLREERKAATGLDSAKMHAMRYLLIQLLLQVLLHPDEFWEAAVDVTICCKKSFPAIAQGDNSTGPESNEHGPQDSDEDGSEDPNEEVSLEFMDVLVQTFLSILPHVFHGMDDDAMFRIDPYIARIFKERNNLPGSETKQTQLMRFKLRVLTLLEIYLQRNPGNIHVLEVYSNLMRAFVKSHGADGSKQFKERIGGILQKRIFKERDYPRGNDIEFGKLESLLAKSLRLASRSRFKIVASVAQSATFWILKIISSKDCSEEERASVVDKFRSMLIDYFKNKNSRLKLGFVKEVVRRHPWIGHELFGFVLQEVGNMQAEYRRTQTLELLDSILKSWIGEDEGASEALMEHLAQLCELIQEVLSNIPENKLRRKEVRRFCTGVLQRVLKLNLKEQFQNTLNSEAYSLCEAQLGPAFAPFKK >Et_7A_050380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11315920:11316405:1 gene:Et_7A_050380 transcript:Et_7A_050380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQPLLLPPASSGKKLSSGGSGVGCEALPRASPTATRTLALVVLVAGTAFAAQLAAREEYVLLAVFASQLVSFCVFTSLLALCALPEDTGRRRARWAVRATVQVLQWSLAMASAHVAVGAAVVCLALAVVFACYAELVRALWPVQEPH >Et_4A_034255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31203526:31210452:1 gene:Et_4A_034255 transcript:Et_4A_034255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNYSIILYSGLSGDHPKSQPPVRKHHFPWKYVFALLCSVRPISTPKPSVYLDSSKIMARRGTQNKSGTVHASPNWQNTADGDVLSTPKKDAADSENTSSYVQGRSKGSEESSNKKAKGSKNFDISSSEENDLPSRTTKNKNVSKKLSRRVSDKKVSVEHTSLPGWAENVLEKARCMACVAASIFRASMIYVMEETKRFIDRKRPTINALLAMVNKGHVYASSKIEFVYPIVRSWMLNAGRLMFFFLAVWLDCNIRGFDSLLRLGTNSLLAVLWCSMLSVFAMIGIKKMLIFMVIAASAVAIIGLGFAILLSSLLAVVILWFYGSFWTTSSVIVLGGAFFMLKHERLALFVTSIYSMYCARSYVGWLGLLLSLNLSFFSSDVLVQFLKNKVDNKKSDGSSKNSEQNSARPSNIFEEFQQSSADSTSQSGYARASDRSPGDPSTSGAEKELTSEDEVARLLSCTDHYSALGFRRYENIDVSSLKREYKKKAMLVHPDKNMGNDKAADAFKKLQNAYEVLLDSLKRKTYDDELRREELLNYFRRFQSVSQKNGRNGTFQHGFRPSEGVDEGPFGLSRRIACKRCGDFHLWAYTGRAKSQARWCQDCNEFHQAKDGDGWVEQSFQPILFGMLHKPDLPHAYVCAESYIFDVTEWFSCQGMRCPANTHKPSFHVNASVAKQSGKGKGGGIPNGTNVDGGMDEEEFFEWLQNAVQSGMYETTFPGQREPPSPGSGSNTKGSGNSSNRKKKKGKRQWGLN >Et_6B_049385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4093680:4105281:-1 gene:Et_6B_049385 transcript:Et_6B_049385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENQHQQGISSAAAAAKESSMEKRKEKGDAVGAGRRFFKVFFPRQSGERLEIPQPFCKYRKKEHNRPVSLKGPSGNTWQVMLTSVEEGLGFTQGWKEFVGDHSFQPGYILMFTYDGHSEFSVVVLSNTGVDDTSALDAQPSEERVVQAEVEEGAQDTDAAGASEQEASALPTVEGNEKTRKRVRQGMVKSPAPKRHLSVQKKPEKTKPKAFVDTTKAGSIFPDSNKGKRSIIFLKHFKLIEYCIVVLLTSFGLFKLLPFTVLSRMLDEHSSYNKSQTISKNVPRVGKVVSKKLRQPVVISQRRQITEEEKAHALKRASEFKSKNPFTLQVMMASYVYVGFFMNIPCEFVRQYLPQSGKKMTLWDPQGKPWEVQYVYNNERSIAAFSSGWGEFAVGNNLEEFDVCVFELLKEDNIKVHIYRIEIPQPFCKYLKEEPNRPVSLKGPSGNTWQVMLTSVEERLGFTQGWKDFVGDHSLQPGHILMFTYDGHSEFTVVVLSNSGVDDTSALDAQPSEERVIQAEVEEGAQDADAAGASEQEASALPTVEGNEKTRKRVRQGMVKSPAPKRHLSVQKKPEKMNVSFVPRVGKVVSKKLRQPVVTSQRRQITEEEKAHALKRANEFKSKNRFTLQVMMASYVYMGFFMVM >Et_10A_000295.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21592857:21593153:1 gene:Et_10A_000295 transcript:Et_10A_000295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PELRSRKSREIYVVFGSCRTDARARLLSSRLALLIRVVKVLISELREVEIRHCNRSQNRVGHLLANMACVESFSKVWLCNAPDFVANALATDCTPFET >Et_1A_007925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39487392:39489481:-1 gene:Et_1A_007925 transcript:Et_1A_007925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWEITAITAYFLGLRRTYRLALRIQRRLIGPNHPRIRDFVYRRTRDVFNVAVSVHKNIQQRDLEVGRNLGNTILRWLDRMKPSAEIRPRPPGLPNGSSEHFRHLSSGNRSAGAQKTTSKTSPNDSSGKMLFSRLNIRPKSFPVLPTMMQPNKISASSQCRRISYSPFPSVTVKRKLLMEGVFRKDIAQMMV >Et_2A_014541.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:2150511:2150933:1 gene:Et_2A_014541 transcript:Et_2A_014541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALRGIRAKLTEHREKVISGLILGSFVALGWRSSEQQREIDDLEAEKNSLRAANSSMSSAMWAWREELFALAATPSPPISTSRLRHIYGEEEPALPAPKQPGGYLAPRGRCSDVMVFPARFFLSLSCGCPFYFVHRYF >Et_4A_031908.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28727916:28728985:1 gene:Et_4A_031908 transcript:Et_4A_031908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHNTQLNQAPPRHEAIVKYVLYNPWTDDLQAKRRLHRVCLLDAVLLLEHADELVDLLRVGEVLLDAVPLAAPLGPRREPGTLLRDAELPEPLVAEEPRGERDERDVDEPELLSTKVRLVPERLLLERLEDLHHLLARLHLGLAFGAPQPGAAVVDLLVDVVGPEPGLRARVRVGREERAARAREGLVDVVEDDEGLADGAAVVEEDRDLLVDGVGAEEELALVAQVLLQVLVGEGLLGERDAAALPERAHPEVQQLETLLLARHFSSWLCLAGACAWMEAEWETSG >Et_6B_049284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2734775:2735923:-1 gene:Et_6B_049284 transcript:Et_6B_049284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMATLACLVVLAAMVGSAWCGGNIVFHVEKSSPSFALSIKGSNKAITKVDVREYGADNFDPMTKSGESWTISKTFKGPLNIRLIAEGGGRRVQDNVIPQNWKAGTDYPTKLQLHRYNYS >Et_9B_065070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2113602:2114102:-1 gene:Et_9B_065070 transcript:Et_9B_065070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSFLLEMVAAIMAEDVELQFDTRPDQLRFNLFIGTTHTHYTHARTHARTHCNLSSISNLKEPQEDCSRLDTPSNSNSPLPSIKHITS >Et_4B_036138.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27415551:27415915:-1 gene:Et_4B_036138 transcript:Et_4B_036138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVNDGKNSALPMIVGRRMMSRADGEQRTLEDFKVEDPFQDSKRRVPNGPDPIHNRYCNLPFPTMQALNFVFELASFPVLSRLPIHKRNCYLYGSTYYIGSSLNLKFQA >Et_2B_019637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11532505:11556063:-1 gene:Et_2B_019637 transcript:Et_2B_019637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIVGSPGTWSGLALRVSQVVCATGSLVAMATAFGFSNYSAYLYLTFAMCLEILWSFALMFIDIYALKYNWDLHRIDNAWKYVLGDWIFGLGAFSAASAAGGLDILMERDVHFCTTYPYLSCGGYRISRMKDLLGSPGTRSSLALRLSQSLCAGASMVTMVAARGSYFATAYRYLGVSMEMQLLWGLFLACVDIHSLKTNKDLHTPYYVSRSLIGDWIMGVNSFAAVFAATGVTIYYERDARFCRAYPALACDQFELSVVLAFMAWSFIAASATTFPGTWSSLALRVSQCLCAAATLVAMATARGNSYSAYRRNMDLSKCGMFIPGLCAPCVGISVCLCWRDHSVGQRRALLRGVSASVMWSVQAICHPRIHGMIIRSRVCLFNVLAVSFTSWVMKDVVGRPGTGSGLALRVSQFGFATASLVTVANAYASGSYSAFFYLGFSMLMQLLWSFLLACIDILCLLGDRELNNPRPICVLLVGDWVSALLGWASASASAGVTILLDRDVHFCEAFPHLSCGQYKLFVILAFMAWSFSAASAYSMFWLFFGFATASLVTVANAYASGSYSAFL >Et_4B_038718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4246561:4254515:1 gene:Et_4B_038718 transcript:Et_4B_038718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGYRSAWLFLAAALLLVSGAAAQNCSSTKFPAGRSFQRCTTLPVLGATLYYTFYPEKGTADVAFRAPSSTSGWVAWGVNTERGGSMAGSSVFIASQDGSGAVSLLQTYLESTAPSLTPGTLKFNVSGAPAAEYADGAYTIYATVALPGNSTVQNTVWQAGPLSNGQIAAHPTSGPNLQSTMRLDFFLAGNRTAGAPNSRLSRPMARPAAWLFLGAVLLLACSFSATAQDCASNSFSNGRSFRLCSALPVLGASLYYTYHPENSTVDVAFRASSGSGGWVGWGINTAGGGMAGSSVLIASQDGNGAVSLLQTYLESTAPNLTPGNLKITLVGTPTAEYAGGAYTIFATIVLPNNNTQQNTVWQAGPMSGGQISAHAQSGQNLQSTLRLDFLSGSSTGASNSRLHRRNVNTCRELLNGLASHLKSSISDDDDVQVSLILSTRSNRATLSNNVQIHGVLNGVAWGVLIPMGAMIARYLRVFESADPAWFYLHIACQCSGYILGVAGWGLGLKLGSESVGTTYQPHRNIGIAIFCLATLQVFALLLRPDKKNKYRLYWNIYHHSVGYSVILLAAINIFKGLDILKPASGWKTSYIVILATLGGIALCLEAITWAIVLRRRRRDADKAPYGTNGAGWQNGA >Et_10A_000220.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18406141:18406494:1 gene:Et_10A_000220 transcript:Et_10A_000220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPILPAHEARAPPTLADHLLEEILVRIRDPADLARATAACKTFHRLINHSTFLRRYRSLHPPLHLGFVEDYDFLPVEAPHPNAAAVTREHHRRRRGPRSSTSSSRGIVWLAALRRP >Et_5A_042680.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:25638948:25639826:1 gene:Et_5A_042680 transcript:Et_5A_042680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLEFCYIIYIIDRKTEHQASANLIVSPKHRSCVYSLHRLDATKHLFYPSTAEAEAANADSNGGGDEDKPKPTRMGQLRRLPAPSMRFEPFPFPTDKQAFRTYMHGMIVLQNPRSGEGSSRILHIGSLGDVVLYDDDKKSISTMPSLDENLLRTQPIAVVVDGGDEKESRVYMMSNYTGYSHSFQVLDFNQQHPPEWQPLPLPPFLHKEPSCHDIDSFTVVDGGRGICFSSRVHGTYCKWSQAGEWELPFTGRAEYVPELDAWLGFSRCHRNNLCASTDLSAAIDVHQTKR >Et_3B_029385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24543141:24545631:-1 gene:Et_3B_029385 transcript:Et_3B_029385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPRPSRCLVSSFPPATLLLRLLFLAGTVDAAPPLVGVSPQDEAYFAPQVIACRDGSGYFRKNRLNDGYCDCADGTDEPGTSACPEGKFYCRNAGDIPHCCDGSDEYESGIYCQNTCRNIKGFTQADDGSSGLSANRLDVSNVLISKHRVDIEDLIQKLRGLRMVVIIELGLVICFFVFCCARRRTRTRRRQYILKRKLAEKYFQIDAQYQAYNWRTGDGRRGSLMYIVCVQTEGNTA >Et_4B_037278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17651561:17655519:1 gene:Et_4B_037278 transcript:Et_4B_037278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTGKKAKKLRIVLLPFLATSHIGPFTDLAVHLAAARPGVVEATVAVTHANATVVRSALARRGPSSCADDVAIKVATYAFPAVDGLPPGVENLSTVTAAEAWRVDVTATNEKLMRPGQESLIRELAPDAVITDMHFFWNVDVAADLGVPCVTFHAIGTFPTLAMLNLLSAGLHGTTDETVTVPGFPSPEIRVPVTELPEFLRTQQIIDRSTGERTASAQKRCLGLAVNTFFDLEHRYCDMWVGNGYVKRTYLVGPLLLLLPSAGASTIDSPCIRWLDTKPAQSVVYLCFGSLTHVSEAQLQELALGLEASEKPFLWVVRAETWSPPEGWKERVGDRGMEHELVPAEAVALSVAKFMEPGGPGHMARARVKELSAKAKEAMAEGGSSYRDLRRLIDDLMEGREVDVHPAGAFLRHQPHRPIHRPRRAPRLAAARPGAIEPTIAVTPANVPVVRSALARHGPAASGLVKIVTYPFPVVDGLPPGVENLSAAGADAWRIDAAAVDEALTRPAQEALLRERAPDAVVTDFHFFWNSAVAEELGAPCVTFSVIGPFASLAMRLLGGAVRDDGREVAVPGFQAPEIRIPVAELPEFLRHPGKQLDDSFNPQWAALARCFGVATNTFLDMEPQYCEMFVRVESVKRGYFLGPVSLPLPPPAGEQGTTCSESSSPFIEWLASKPSCSVVYVCFGTYAAISAEQLRELALGLEASGKPFLWAVRADDGWAPPDGWAERVGERGMLARGWVPQTAVLAHPSVGAFLTHCGSSSLLEAAAAGVPMLTWPLVFDQFIEERLVTEVLKIGERVWRGARSTRYEEREVVPAAAVARAVATFLEPGGKGEAARARARELAVKAHAAVAEGGSSYRDLHRLIDDLIEARSAARRPPTP >Et_10A_000619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1394736:1397129:1 gene:Et_10A_000619 transcript:Et_10A_000619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRSRGEFAAAEATPGRPLPADLLLEIVARSDAATLIRCAPPRHPQGAIVLDLATKEMYTVHKEKPAFLVTVICPCVATGSKITAPFLAPEPTMSTLVGQGRRVHLTVSSYTVLPVSITACRAQLQQAPSSPPPDSSIQTDTLNLSATSKRSRILHGEDVRWSFGWARQEAGTSAGSSIHPAAAVRTL >Et_1B_011885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26903667:26906952:1 gene:Et_1B_011885 transcript:Et_1B_011885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGPSTQMDHEKGLTHSGNEPSSPEAVQKLKVDMEDSGCEFESPTPEKPESRRKGVVVSSLARNLLAERYKDRLAGQLLEDDEDETDDEEYDVSGPRPEDTPIELLERHKILLNLFNRMESSIRLLRLRKKMTTFKNIATQVEVLTKSRVITFLHYRKFLYSHLAQMKHLFPEAIQIKRILLHDEKSLCMYPEMEITLVLDVVEYKSPDQSPSMAICEAFYSKLLGFLDAHDKDKDIPEAVLPEPFNSRPKENLSLGALHNGHSAESSLQISTEDGVSNASHFPHSFQKLISQKIVADGTEKTKLLSDPAELRPMSVHDTEGPNTSPKKQDKHVPDPVKSEISATPNRHWITCCQESTPKQGTSDSPLMTETPVMQTPKRPLPTPLEKLESTCGHISEPRSASSARRTLMMQSPLKLEINPVHDVNTAKLELSAKKGLFSEGTSTSTNLLEENDSVYFTGEEKANQGGPVETQEKLASLRATFDIMCDFSRSTKNPLITKQELFHHILANNLEIEETGEIEEQLHILEDLAPDWISKKGCFGVNQIPSGRGGGRTPRGRWKA >Et_6B_049111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18097477:18098562:1 gene:Et_6B_049111 transcript:Et_6B_049111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGEDVPQPTEEDLIDKVCDMITMMDDQAVLRTTWVQSYSPHKISITLREMQEFLRMDQPMSNNMFDMGVQILARREHRTLRRTKQRIRKHYMDSRFCVSKTTSFHLQNVISQFGKHPKFRKQLDIKELSKTSKSWSSLYYDISYCRYVLLPYTVAGLYTLFVIGHEEKTLKVFDPKPIDDCWKHRPCKRYFRKIENISSNYSQARRKAGCQHGFEYLFVCKDDIEETPLVDHILSGDVVLQLIYTFNGKREAT >Et_9B_066057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21846270:21847762:1 gene:Et_9B_066057 transcript:Et_9B_066057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPGKPSFVPPKGKVMFVGLQDSGGMGWESYNNIAPIKTGPLGTAFYNESDLVKIAVEGADSFRKENYNLIIVDTSGRHKQEAALSEEMRQVSEATLFSMFPGFGGGLITKGKEKEGQAKIKRYMTIMDSMIDAGELHGLLSSELLARAYSKTYLTRLLVELDSTNPKLMNESRIIRIARGSGRLVKDVTEMLEEYKRIAKMWIKLKLNNKNVRDHQIINSLSPNLLKQMGGAAGLQTVMKQMCSNGGELI >Et_9B_066152.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:552513:552737:-1 gene:Et_9B_066152 transcript:Et_9B_066152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAATMEEWGEKTSWPEVVGLCIEEAKKVILKDKPDADIVVLPLGAGTTKDFRPNRVRIFVDTVAQTPRVG >Et_6B_048383.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:17681511:17681711:-1 gene:Et_6B_048383 transcript:Et_6B_048383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WSFDRLEALSGIHHLPNLKKVVLNGYCENLNEIEEEIELHHDPAQLEYNPCHQKFKTKKTGLQRRP >Et_9A_062679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3038711:3045324:1 gene:Et_9A_062679 transcript:Et_9A_062679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSSSSSKPVKLYGWAVSPFVARALLALEEAGVDYDLVPMNPDAGDHRRPDHLARNPFGQVPVLEDGDLTLFESRAIASHVIRKHKPELLGVGDLERSAAVDMWLEVEAHQFHPPALAIVTECIAAPLRGRVRDQAVVDENVGKLRAVLLVYEARLGCHHYLAGDDVSLADLNHFTLMYYFMSTEYGAALVGPFPRVKEWWEELAARPAARKSAMVDVWLEVEAHQFLPPVSHVVRQCVILPMIGGARDQRVVDENVAKLRAVLDVYDARLGQQQAYLAGDFVSLADLAHVGFMAMLMGTEYKVLVEERGNVMAWWERLLARPAVAKVAGIVAEVFRPTPSSVQTNAYTPEASKTFHKTNQTKPNKRTTIMKVSFSTRPLAVAAVAVLAVAAFSGLPPAAEASIDSTCQAAAARDKRVDVRFCAAQFTAYHGAAEADAWGLAKTAALVGVTLSDDAKFDVANGKVVPPPPKAAAAACAAAYDAVGMAFAEAADELAARRYAAATAKMAGVAAIARQCDAGPALAKYSADCEKMAVIGIAITGLIK >Et_3B_027979.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:29451747:29451920:1 gene:Et_3B_027979 transcript:Et_3B_027979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVSIEVDVGGRNLAPFWQDCWLQGKCIKDKYPALVAAVDVRVLATRTVKEALDLG >Et_2A_016020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20235847:20240336:1 gene:Et_2A_016020 transcript:Et_2A_016020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAISSAAAGMLRARLRGAARTRGGGDGAGRWTTPGHEERPKGYLFNRPPPPPGESRKWEDWELPCYVTSFLTIVILGVGLNAKPDLTLETWAHQKALERLQQQELAAADAQADCAVTAAITEIL >Et_8A_058222.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:2831740:2834997:-1 gene:Et_8A_058222 transcript:Et_8A_058222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHLSAISSHHRAPPPWPPPKDYTIPRARIRCGVLAPPAGRVLEAAITAPRDTKSLLNRVPRSDVNAQIQRLCRSGDLTEALRLLGSDGVDVGSYCAVIQLCGEERYLEAGKRAHALVRAAGVRTRGMESVLGKRLVLMYVKCGDLGSAGRVFDEMPQVSDVRAWISLMSGYAKAGDFQEGVLLFRQMLCCGVSPDAHAISCVLKCIANLGNIMEGEAVHGYLVKLGLGAQCAVGNALIALYSRCGQMEEAIQVFDGMNQRDGISWNSVISGCLTNRWHDRAVDLFSKMWFEGLEINSVTMVGVLPACAELGYGLVGKAVHGYSVKSGSLWELDSLESGIDDVLGSKMVFMYVKCGDLDYARNVFNAMSSKSNVHVWNLIMGGYAKVGEFQESLLVFEQMHDLGITPDEHTISCLLKCITSLSDAGDGLVVHGYLVKLGFGTQCAVCNALISLYAKSNRIEDALKVFDAMCHRDIISWNSIINGCTSNGLYGKAIDIFLRMWLQGQQLDSATLLSVLPSCTQSNNWFAGRAVHGYSLKTGLIGETSLANTLLDMYSNCSDWRSTNQIFKNMDRKNVVSWTAMITSYIRAGLFDKVAVLLQEMGLDGIRPDVFAVTSALHAFAGDESLKQGKAVHAYAIRNEMDTVLPVANALMEMYARCGNMIEARFIFDRVMNKDVISWNTIIGGSSRNNLADESVTLFSEMLLQFRPNAVTMTCILPAVASLSSLERGREIHAYSLRRGYMEDNYVPNALMDMYVKCGALLLARRLFDRLTEKNLISWTIMISGYGMHGRGRDAIALFEQMRGNGIEPDVASFSAILYACCHSGLKDEGWRFFNAMRNEHKIEPKLKHYACMVDLLGHTGNLKEAFEFIESMPIEPDSSIWVSLLHGCRICRDVKLAEKVADKVFNLEPENTGYYVLLANIYAEAERWEAVRKLKNKIGGRGLRENTGCSWIEVRGKVHVFIADNRNHPQGNRIAGFLDDVTTKMREEGHDSKKNYALMGANDAVHDKALCGHSSKLAIAFGVLNLSEGRPIRVTKNSRVCRHCHEAAKFISKMCNREIILRDSNRFHHFEGGRCSCRGYC >Et_4A_033188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19689923:19695134:-1 gene:Et_4A_033188 transcript:Et_4A_033188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGELLNLKPLLAEMDTSGPPTFVNGELLKMFVGRRVRTVVQVQRDEGGVLFGQSTDGHQFTIRGALDVPMPHSHFMEVFGTADSEQSIYAEVCTDFGNNFGKIWPAYGVIYGSLVILLLLSVLSGYAWFTAFIVVEDSVSVGMGLRGGHQGESSSALQVLVHVRWGPPSADEPSDGRCANGDGVRGLRIRWWRGRGRPDPSPATIGERRKAVRERGET >Et_1A_008953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20676432:20720653:-1 gene:Et_1A_008953 transcript:Et_1A_008953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAVRLEAVRCGSHGRGFGDDALDVLVSEVQYDTKTDRSNTREATRYPKFSRSSTRYPLQQGTGKDPPSSRVQLVAAKSGGCGRRPLSRLRLPRHAVSGLARRASTSLDATPRRAVSGLPRHASAVAVSSPCLPRSPSPSLRLPRSPSPSRRRASPVRRPVAAPPPSAVTVSSLRLPRLPSPSRRASSVRLAVSSSRLPRRLFPSPRLPCLAAPLTSPLAAPRRRLHLPVDAASPLVLDGAVPRSWRSPHLLRQEHQKPGWMITLLPFMTRQLTSLETVLAIQ >Et_9B_063868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18391381:18393321:-1 gene:Et_9B_063868 transcript:Et_9B_063868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVVVVSPRKQSKALTSPRRRDEDDDQLPAARPPKLLRSNSSKKITAASSLERALLSFKTWEAAESNKAAANRSSKEADEAATKVQSVFKGHRTRRSLADCAIVVEELWWKLYDSASLDRKSISFFTEARQESAASRWVRAGKRIAKVGKGLAKDDKAQKLALRHWLEAIDPRHRYGHNLHLYYDLWFQSSSTEPFFYWLDIGSGREIHHPKCPRNKLNSQLIMYLGMNERAAYEVVVEDGRLVYMQTGLHVNTTDDSKWIFVLSTTRSLYVGQKKKGQFQHSSFLAGAATSAAGRLVAKQGVLKAIWPYSGHYLPTEENFNEFISFLQENNVDLTNVKRCSVDDDEYPSETSSSSRALVKWTSGAGARIGCVRDYPAELQSKALEQVHLSPNKAAPPFPLVPIPSPRPSPRIRMSPRVQYMGMPVPPYLKQPQQCLAICSPTVHLTLPNKNRST >Et_8A_056888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18577528:18578751:1 gene:Et_8A_056888 transcript:Et_8A_056888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLESFRLSGDGPVIFLKRFQDTTNLWISHVLHCKVQTLCIINHDDDREPIALKLHRRHFTSSYLKRLHLCYVRVGRRFLRKLLSDCSALEDLEMKNCEIFATKFSSATLKNLSIDYVDFPELETHESFIDIVLNMPSLVSLHIGSLLCPKLILVEVQSLVTASISFGYTQSVTLADACDYLGALSNVKNLELLIKDDVLCRTVFTNLTTLSLSTWCLHDDCKVLLYMLERSPNLELLALKLENWFPGAAAQMKPPSETTEPFTCEKLKKIEIICPKRIKGVGMLVTILFANIISPPEINIKPLSGYSL >Et_1B_012714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34684907:34688409:1 gene:Et_1B_012714 transcript:Et_1B_012714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCFSPASSAAAAASPLAAGSRGIHRRPSSSLTVVRCKKIDSLRAINGAPPCVPVSEGSLLTPATLPVFRDANSRSDTRLRIFSGTANPSLSQEIASYLGLELGKINIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKSQGRESIAAKLVANMITEAGANRVLVCDLHSSQAMGYFDIPVDHVYGQPVILDYLASKTICSNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHVMNLIGDVRGKVAVMMDDMIDTAGTIAKGAELLHQEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPLKEEKTFPQLTILSVANLLGETIWRVHDDCSVGHEPYSSLDID >Et_3A_025707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32013491:32016884:1 gene:Et_3A_025707 transcript:Et_3A_025707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAGSGMGGISGGPGNLLDAGAQAFYPTVGAPYTMPLQPLPHQLYYGHPCPAVPPPPPPMAMSVPLPPLAMAPQAQAYSVPTTTTPVADCPSSRVVVLALVPPHAQDTDVAQAMSPFGAIRSVDASAVASEGVATVHFFDIRAAELAVACVREQHMRQQSRLGQLFAAAAGPPAWPPPPVAPLPQPWDWQNDDARGLVLGQAVWAHFAVDSGDNRGSLVVVSPLPARVSLAELRQAFQAFGDLKDVREPAQRPSHKFVDFFDTRDAARALAELNGRELFGCRLAIEFTRPSGPGSRRRGYVLHNRPNAPTPPRHQAAWRPSQAASQPLASSSSSSTVKGREGVVLLRRSSARVSAADQSKGGNAGTGQERKCKGGKNVASASSSSANPSTASASGKQGQKQVATTTSSGGAGCGGNWRGRRSGWDARFLFKEPEVAGEAGTGTGTQEPESEKETRTTVMIKNIPNKYSQKLLLNMLDNHCIQANERISASGEEGEGQPFSSYNFVYLPIDFNNKCNVGYGFVNLTSPEAAVRLYKAFHRQPWEVYNSRKICQVTYARVQLHNRTRSHIVAVAEQGLEALKEHFKNSKFPCDSDEYLPVSFSPPRDGKQLTEPVPIVGRSPATSSTSGASSPSKSRATSVDPLALELMPPPSSSGDGASSTTTSTHAPSDRADDDNAASDDDDHQASDDDADAAERLAGELRELGYTD >Et_1A_006184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18894605:18903798:-1 gene:Et_1A_006184 transcript:Et_1A_006184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPLDAAAAHPLEPEAFARDSRAVLSFHAEYYGDVDKYPVRAADVGPGRLRALLPEAAPDRVRRGDAVGRAQRRAAAELEAVVIDWMAKLLGLPRWLLFSGGGGGVLQGSTCEAVVCTLAAARDRALAALGEGHEAISRFAVYASDQTHVTFHMGARLVGIPPSNFRELVTSPASGHGLTADAVLAAVERDVARGLVPLYLCATVGTTGIGAVDPVRELGEVARRHGMWLHVDAAYAGSAAVCPEFRGHLDGAELADSVSMNPHKWFLTTKWFERAVELDERFEVVAPRKFSLVCFRLRRPTCCLLSQQPGDDHTVLNDVNRDLLAAVNASGRAFMTHFVVEGKFVIRLAVGGASTEMRHVRDVWDLLQRMADDGVPLKHRREQNGANRDLLAAVNASGRAFMTHFVVEGKFVIRLAVSGASTEMRHVRDVWDLLQRMADDVLQRYQS >Et_2B_019284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21165693:21166666:1 gene:Et_2B_019284 transcript:Et_2B_019284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRRRRGAGPVLPEELVVWEILVRLPAKPLLRCCAVCRSWRRRTSEAAFLIAHHRRQPSLPLVLFHGDLPLLADRRVFVDASLDALDLRRPPSERRRVLRFNDYNYFRDLQRPRLLPRAAPAPALQPPLLPLQPGHAPVDRASSAHRRRQRRRPVPARLVRLRRSVPRPLLDDHGLHRGATPRKGRLAFRQELRRQRRHEPEPRDLAPRLPPPHPPQLAGESAGRFRHGRRVLQDDAFPDRWCCRRRLGEAAAARDGRRSRRQLRGHEPDDDEALGAAGLRGGVLDAKAPGDLSVGGHPGHRDKGL >Et_2A_015617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16006686:16014540:1 gene:Et_2A_015617 transcript:Et_2A_015617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSRAVTVAPAEREDVNGKAEMESSAAAAASDPPATKCKKKKKRKQEVANAEEGVTVVQEAAPAGVAVLALEGTPISKKRKEKAKGREMVEQEATTAAVPALEGIPKSKKKKEKAKEQEIVEPEATTAAVSVCQMLVSFGTAAEEGTPKCKKKKKKAKEVANAEESGMVEQEAASVEAAAVSALEGTQKSKKKKKEKANEREMVEPEATTAAVSAEEGTPKCKKKKKAKEAVNAEESGAVEQEAASVAAVAVSALEGTPKSKKKKKKEKAKQQETVEREATTASVSADEGAPKRKKKAVKDAVNAEENGTVEHEAASVAAAAVLALEGIPKSKKKKKEKAKEREMVEQEATTTAVSEGTPKCKKKKEENARGVVEQGPTTAAVSALEGTPSCKKNEEKAEERGMAEQDATTATVSALEGALKCKKKKKKGNKIKEAGSNELELSAAISALEGTQKYQNKEKGNETGLAKQETTTDVSASERTPKIKKKLKRKNKMQEQEESPQALSSPVVDKVLASKPGNGCTNGEAASVDADVSMDPINGEDPNCSEVKDTNEEVNSLLEISEDRKRPRRKRKQDGSNRGPGISSQDGDRVVKRCMDSSMEHDQVTNIYSPRGSLIRFQRKKLLILDLNGLLADINMDYRNAYRAHAKVKAKLVFKRPYCDDFLRFCFQNFELGIWSSRNKDNVNSVVNILMRDLKQYLLFCWDMSHCTVTGRNTVENKHKPLVLKELKKLWNKEYPNLPWEQGEFSPSNTLLVDDSPYKALCNPPHTAIFPQPYSYLKERSDNSLGPGGDLRVYLERLAVADDVQVFVRENPFGQPYIMESDPHWNFYAQIVDRVEKVTRPLWTCSLVKEQNILQDKLPPAPSEMFTWQAILN >Et_1A_006887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28730118:28735595:1 gene:Et_1A_006887 transcript:Et_1A_006887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHGGPGQHPPHYPPYQQPYPQPPYPYGYQYPPPASAAPPYLAPSPSFPGYSPAAPQPQPFTHHSGPLQPYPPPPQTHAYPPPPAPSSYGHGYDPYPSSHPSPHPSQSPSPALSPSSSFDHHDYHHHHHHYQHASAPEPPSHAAPSAPSYPIEDVLASMRLSERHDYSSSPSLPPPSTSFSAGGSTHGGGMQVVPYGGGGASQHGSVKASLKVVLLHGSLDIWVHDARSLPNKDMFSKRMSSASMTSDPYVTIQVSYATVARTYVVSNNENPVWSQHFLVPVGHEAAEVQFVVKDSDVFGAQIIGAVAIPAERLLSEDRIEGVYPVLEPNGKPCAPGAVLRLSIQFIPVARLAAYHHGVVAGPDCLGVPNTYFPLRRGMKVTLYQDAHVPDGCLPDIWLDHGLCYQHGQCWRDIYDAICQARKLIYIVGWSVFHTIHLMRDGAQFPSLGDLLKMKSQEGVRVLLLVWDDPTSRSILGFKTSGFMGTQDEETRRFFKHSSVQVLLCPRSAGKRHSWVKQQETGTIFTHHQKTVIVDADAGNYRRKIIAFVGGLDLCGGRYDTPQHPLFRTLQTVHKEDYYNPNFTTTDARGPREPWHDLHSKIDGPAAYDVLQNFQERWIKASKRHGIKKLAKSYDDALLSIERIPEIVNINDATYFSDNDPETWHAQVFRSIDSNSAKGFPKDPREATRKNLVCGKNVLIDMSIHTAYVHAIRAAQHFIYIENQYFIGSSFNWDSHKDLGANNLIPIEIALKIANKIKANERFSAYIVIPMWPEGNPTGNATQRILYWQNKTMQMMYETIYRALKEAGLDEIYEPQDYLNFFCLGNREVADSTSTSNAGNNPQEQARKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGIRDTEIAMGAYQPQYTWANKVSAPRGQIYGYRMSLWAEHIGAIEENFHYPESLECIRRVRQLGEENWKQFIADDVTEMRGHLMKYPVSVDRKGKVKPLEGCTTFPDLGGNICGSFLAIQENLTI >Et_3A_023440.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27726287:27726481:1 gene:Et_3A_023440 transcript:Et_3A_023440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGARCRPESMEQYFVWIKHYLKGGDKFYTSIAELAAIFWEEGAKSQRDDRFASLWSFWVDWL >Et_5A_041790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2908102:2912960:1 gene:Et_5A_041790 transcript:Et_5A_041790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYSAAKTSVWWDIENCHVPRDCEPHRVAQNMSSALAAAGYTGPITISAYGDTGCVPHHVQHALSSTGIALNHVPAGIKDASDKKILVDMLIWAIDNPPPANYLLISGDRDFSNALHKLKMRQYNILLAQPPNVSQALTAAAKSVWLWKSFVAGEPQLAELPYTNSTANGSVDDLDALQNMVSDSSNTTPQVHNSVQFDQQKTGNGKGDKQHKVRQPRKNQTDCVSKPASNEEHSVDGVFDSPKVSTASQPNHSHNLSSSSLPSPELHDGAKVTQKNQPFSKKPAKSAHSHQKSAPHDFYHGKKPGVSTESAPKNGAPDFGAGTGHCRPKYQKPQSYQPPRPQNPVSHHPHGGSGNFQASNAHRSNSCPPPAGHSGIPTAPLQSWPSGPPYHGPPVNYPDMSRLNISEYPRGGHDSQGSNTNYRPNHPGAPHIVQPSFSDWHPVTANMYSSSNSNMLWGVNPACTQPSSDPQGLVRYILNALEVLKAEKIPPTEQNISDCICYGDANLPNFDVKMALQLAMQHQTVVMKKLGKMSFYLGKNENLWKCVNIMDDNAKFPKETLDAVHRFITSAHGYSMIKNSQSRYQAATLLKKTCLKNLALAEVLQVLNIIINTKKWFVPYSSGWQPLSFNGLIGTMCVRLDSRTRAGAPSGG >Et_2B_019029.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25948552:25949092:1 gene:Et_2B_019029 transcript:Et_2B_019029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYCEEGAHRMLVLEFMPGGSLRGALFGNNKPGGAPWRWRAETALGVARAVEYLHDGCAAPIIHCDIKPDNILLDGRGVPRITDFGISKLLGSHQVHATVTNVRGTRGYIAPEWLRGEARVDTKADVYSFGVVLLEMICCRRCQEPVPDHRGAEDETVTLFGWAGQLVGAR >Et_7B_053587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10081819:10086929:1 gene:Et_7B_053587 transcript:Et_7B_053587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFPVVEVNEMERAPIQAEMDGGPEGLLPGALTGGFPPDTKGGDGNEGAKAGFGEHGFSVATSSSQEGGRSLPGTPQFGQKAGAGCSLAERMQARAGFRVPKLNMPFSTAAGTDTAVSGAPSPYLTIPPGLSPATLLESPVFLSNTMGQASPTTGKLFMLGGTNDSNPNRFEGPPQGDGPGAFSFKPLDLRSSHCIADEKKESSRNNQHSSLPSTQVSIKTETKVQTVQEASMPRQLNQQQLNDGQTTLKSSSHGSNKLSRLAPDTAAVTEHVSPPDHGQPAEEGEARGDFTALATAAAPAEDGYSWRKYGQKQVKHSEYPRSYYKCTHPNCQVKKKVERSHEGHVTEIIYKGTHNHPKPAQSRRPGVPPVHPFRDAPADASDNPGHPWHNSAGVQDLRGDGVDATSSPSVPGELCDSSASMQVHDGARYESPEGVDVTSAVSDEVDGDRVTHGSLSQGSAGGAEGDELESKRRKLESYAIDMTGASRAVREPRVVIQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGHPTSAASGGAAAMAGAAGQHHAGARRPEHHHHSVQDGLMRLGGCAFGLPPPRDPLAPMGNYPYSALGASLPSLPPMPAGLGGVEGLKLPMLAPALHHPLLRHRQAMEAAGLVAAPKAAEVKREAGTGGNNGAGAAAAVAEQGVAAASVPFDDLCGSLPALATLSLLENALRSNDIETVVF >Et_1B_010224.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30880289:30880531:-1 gene:Et_1B_010224 transcript:Et_1B_010224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSSSNFAPGILVATSETAATAARMGIIVTKRGRLARTTASRVALSAPRPRNRSLEFSFDDYRSWNAGWCSECYLIKE >Et_6A_047431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5804222:5815255:1 gene:Et_6A_047431 transcript:Et_6A_047431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDQMKKAKRESLIKLYKVICFCGTGLMIWMDKAALLSKLQLNDRYAAHIFHIRTCLHAARDDRFVISRFRTFCIMKKAKRESLIKLYKVICFCGTGLMIWMDKAALLSKLQLNDRYAAHIFHIRTCLHAARDDRFVISRFRTFCIMKKAKRESLIKLYKVICFCGTGLMIWMDKAALLSKLQLNDRYAAHICTL >Et_4A_035084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9321102:9323509:1 gene:Et_4A_035084 transcript:Et_4A_035084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMARPSLVMKKLCSSPALLLLLLCCFLLPGALAEERFYEFVEQEGTLWWHAHSSWLRATVHGALIIHPKRGLPYPFPKPHREFPVILGEWWRRDPIAVLRQSMITGAPPNVSDTFLINGQPGDFLPCSSQETSIIPVAAGETTLLRVINAAMNAELFVSLAGHKMTVVAADAMYTKPFETSVILLGPGQTTDVLVTAHAAPGRYYLAARAYASAQNVPFDNTTATAIFQYKNAPGCPTTGNAGAGSKGGPVGRSGSSGSGGHQTGRAGPPPMLPFLPAFNDTNTATAFSNSLRSPRPVKVPGPVTQEVFTTVGFGLFNCRPGPFCQGPNNTRFGASMNNVSFQLPNTVSLLQAHYHQIPGVFTADFPPFPPVFFDFTSQNIPRALWQPERGTRLLRVRYGAVVQIVFQDTGIFAAEEHPMHIHGYHFHVLATGFGNYDPRRDAARFNLVDPPSRNTIGVPVGGWAVVRFVADNPGVWLVHCHIDAHLTGGLAMALLVEDGESALEATVPPPYDLPICNL >Et_6B_049032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16795009:16799108:-1 gene:Et_6B_049032 transcript:Et_6B_049032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMSSASVGWGISVSGWLVSPIMTNHFTKGFSYLGLSSTEKVETLERKILQLKLMLEAAEDNPQRETLEQCIKELKSSFYAAEDILDDIDYHRLKNQVLYQSVSRADEDVETMTDFDVTIETRTELKEALDKLENLIDEGQRVLSSLKVTGRCDYINNAPNSTVRLPTKTTSSPPVVFGRNEDLEIIRKFLRDTPADDEASSSRTNCYSVMGKTTLVRHVCEKERDDGYFNLVMWIHVSQNFSIDTIFTEMLEFASGSKRDQFSNLDMLQRELKASLRDKSGKLNLLLSPLMVGKTGSRILMTTRTEEKFFSMFMHYALDGASIEDEELLRAHQSIGRKVADKLGRSPLAARIFGKEYFIINDMFYDLARKVTGNDCFRIEKRMVGEIPQDVRHLFIVSYDERIFQEQILNLKSLRTIIISSSTRNRMNSEDFRRMLKSLKKLRVVHLEVDRLFTELYHLQKFAVRRATSVYYHFSEEIANLVNLRYMICSVFYCPDRGRLTLLRALSVCRVRKERGYEIQQLEHLTNLHGTLRIERLENVTNNEEAFQAKLFNKVHLSDLVLQWNNDNQSSKLMFKNQDGRPSDEPLRTCDLELAEGKGSDHSSDSQEDILEALRPPCLIRSLKIVNYSGSTYPNWFPREQDALENLQYLELSNCYAPPLVNAPCIFVPFCRWYSLSENMECLTWLEKLVIDECENIMSLPILPSSLKVLTLRRWNGSSLPENMERLTSLEELRLQICDNILSLPRLPLSLKKLKLWTGSSLPEDMERLTFLEELTLQQCNNILSLPRLPLVQRCIKPKQLCKATSQGNIAGSSFSRLPVAPMVHIVFLNHLCFVTRGPKATLY >Et_1B_013627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1072004:1074874:1 gene:Et_1B_013627 transcript:Et_1B_013627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTRATIVRNDSLEGVLGDFVGGKRGGGGARHSSRLVGALTCLQFGFAIYATFLLYYMSPAVDLRVGVKPDLAWASRLAQHWKQLLLAPQPGGELLSPQEVCEQESIDFEQKKSTDEVMIRLKRELYDEVLAFQQRSFGAEALPELLRMRSRWSLASDAKPRVTVILNHFKRRTLCAQLEQLRRQTLPFHRAWVLSFGSPNEATLRRIVESYNDSRVSFVSSGYDFKYYGRFQMALQSESDFVYVLDDDMIPGTRMLEILCHVAGTEKYGNAVLGSIGRILPFRQKKDFTFPSYRKFRSKEAGLYLPDPAYDITVDRIVQVDFLSSSWFLPADLVKTLFIETPFTFMTGEDLHLSYQLQKYMGAGSFVLPVDPNDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWRALTSGYVTQWAAMNPQKVDALFYAHSLAEVRALAPLLDKFRTTPGRKAYLVVSGGGHCPCEEAATVLEWPKVVCRERRFKIFDLGLGALSGPSRSEVPVVQAVFASMRGIVRMHNPSVIVALDDVDAKVRDALRMAADSSSSSAGVNRTALVLLPRRSVSKVLWMATLKPASLPNWNRMRISVNIITQNRAKSLLRLLASLRDAYYLGDEVPISFNMDSRVDATTLNVVNSFSWPHGPKTLRRRIIQGGLIRAVSESWYPSSDDDYGLLLEDDIEVSPYYYLWVKYALLAYHYDPAVSLPELSSISLYTPRLVEVVKERPKWNATQFFSKIHPNTPYLHQLPCSWGAVFFPKHWREFYAYMAARFTEDAKQNPVQIPRSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQTSFSTNHMEPGAHISAKDNRLKHDRMDFVVPLVDHDFAPLLPMGKMPPASKLPVINLFNQAVSLKGLKAAGAKLRQDVIECEAKAVVAVDHITGLPTNCSLF >Et_4B_038708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4108561:4113501:-1 gene:Et_4B_038708 transcript:Et_4B_038708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKRSKPGRSRKKTKTSADQALALDYVRAWAHPAPPPEPSASDSAADDFLPAQPARSGGGDVLFELHSHSNHSDGFLSPSALVERAHRNGVKVLALTDHDTMAGIPEAVSAASKFGMRIIPGVEISALYSPREVASAGENVHILAYYGMCGPSRFDELDNMLLTIREGRYLRAKSMLAKLNRLKIPIKWEHVTKIAGEGVAPGRLHVARAMVEAGHVENVRQAFNKYLGDDGPAYARGSEPFAESVVQLISRTGGISALAHPWALKKPDAIIRALKVAGLNGMEVYRSDGKVDGFCELAEKYGLLKLGGSDFHARGGKDESDIGTVKLAITTLSCFLKMARPIWSCAMKDILLKFAEEPSASNLGKIVKFGRLTNFDGYSPTSTVIDAVDICLSSWLSNDDIEDAELEEVLTNGHVSE >Et_4A_034769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6391098:6392458:1 gene:Et_4A_034769 transcript:Et_4A_034769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCMCTVNSISDATSCSCSPFAASQSFIGVNYGTIADNLPPAASTASLLTSTSIGKLRLYEPQPDLVAALAGSNISLLLGVPNGDVPTLASSPAAAASWAAANIPTNVPVSAISVGNELLNSGDPSLAPQLLPAMQNLLAALPAAGSAAKVSTVHSMAVLASSDPPSSGAFHADLAGALDPVLEFLHQNGAPFMINPYPYFAYASDTRPETLAFCLFQPNPGRVDAASGLTYTNMFDAQLDAVRAALDAKGYNDVDIVIAETGWPYKGDADEAGATVDNARAYNGNLVAHLKSQVGTPRTPGKTVDTYLFALYDEDLKPGPVSERSFGLYQTDLTANYDIGLAKSGSTPAPAIVTPAPSQVTPQPSKGATPTGFCVTTAGVPGSTQGQQVPQSSSCYIPAGAVSLRTDASSQQLLWFLVLLFLGMVVGK >Et_10B_002625.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18794295:18794795:-1 gene:Et_10B_002625 transcript:Et_10B_002625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLGFRFTPTAKDVITYYLPRLLTGEPMHAAIRAFIHDTNIFACAPGVLAGQFRATPRKDDRFFFTTVQRQKKSSKAGKGYVRVAGPEGSWSFQKSDEVKDDGGVKVGEVTKLRYKFKNGKYADWLMEEYSSATRSACSVGSTSPLTPVPTPRRAKNPPRMKQQQ >Et_4A_033237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20216702:20240082:-1 gene:Et_4A_033237 transcript:Et_4A_033237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPDAMQGCPLPAEMVLEIVARSDAETLVRCAATCKPLRRGIVNPAFIRRVCREPDGIVPASLLGFIRTIIIFCLHARVTMMPPPPDAMRGCPLPAELVLEIVARSDAETLVRCAAFCKPLRRGIVSPAFIRRVCREPDGIVPPSLLGFLDLNPEARNGIWPPPAAFFSLAHPATPAAASFADKHLAPLLSRSAAAAASLSRNGLFLLNRLCLSSMLDRLCVYDPMTSRCTFVPGPPDSKCRGVSYEYVLLTAADDGIVASSSSFLLLAASFSGPTYGSLKVRTMPSDRCTWSPVTVASYSGQRRRQCGAGAAVLGSLIHWLTSDANGRDFQIVTSDVRTAEQNRGDRHAEAAVRSMVRSPNTDTIEIMGFGVKSGVVLLRPFSRSHPNLEEVDTETIIVLDVDTKEMRKAKRRNMLNIPYEIQITHRRCRHTHMHDAPMSSRRRRQTPCPLPAELLLKIVARSDAATLVRCAASCKPLRRDILDPAFIRRVCREPDGIVPPSLLGFLDLNPEARRNGVRQPAAAFFSLAHPATRAAASFSEKHLAPLLSRNGLAGFVRETSRNGLIRVYQQTELSHVRPHGQQPHLLPLPVGQKVRLRVRRQCTLHNKGHLMLEKHLVAGGSWSPPASFSLAHPASFTSEKHLARLLSSSAPVAGLDAYAPRESRNGPVLLNGWPTSGMLDRLCVYDPTTNQCTFVPGPPDSRRSGVFYEYVLLAAADGVSSSSSSFLLLAVDFSGLAYGSIKARTMRSDRCTWSPAAVASQSGHRRWRSRYQRQCGRAAVLGSLIHWLMCDNSSGRGLHIVTYDVCTGTAGFVQLPSEGVPDRFQCSNLHLMAQAVLVAYKLTVSVWLLSGNAGWTRQTVVDTEETVRSVLQSSNTDMVKIMGSGVKSGVIFLWPFSRNFDILKDLDTETIIVLNVETKEMHKAKRSNKLHITYEELAAPPPEAMLGCPLPAELLLEIIARWDAATLVRCTASCKPLRRDILSPAFLRRVCSEPDGIVPPSLLGYLYLRLNLDAGNGARLPAASFSLAHPATPAAASFSEKHLLPFLSRSVPVAGLAGAMPPRTRSHELAALAAPDATLECPLPAELLLEIVARSDASTLVRATASCKPLRRDILGPAFIRRVCREPDGIVPSSLLGLLNMNLTARKGTRPPPAFFTPAHPATPAAASFSRNHLLPFLSRSAPVARLVGFVPRASRNGLILLSSESCTGEQIRRMCVYDPMSSNFASFPGPPDNKRGGVNYAYALLTAANGIVGSSFLLLAADFSGLTASRSRPCRPIARGAPSPWLAILASGGSVVRLPRNNAAAPWSSAA >Et_5B_043047.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:17570586:17571476:-1 gene:Et_5B_043047 transcript:Et_5B_043047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATGTGKSRLAVDLALAFGGEVINSDKIQVHRGLDVATNKVTPAECAGVPHHLLGVAAPDEEFTAADFRREATRAARAVAARGGVPIVAGGSNSFVEELVDGGDRRAFREAFECCFLWVDVQLPVLHDYVVRRVDDMLARGLVDEVAAAFDPARTDYTRGVWRAIGVPELDACLRRRHHRRRSAGAEDDDDDEQARLLLAAAIAEIKSNTCLLACRQRAKIQRLAKMWRVVRVDATEVFRRRGAEADEAWQRLVAAPCIDAVRAFLDGDGGADDLSQLDVPMFAAPAAAAAAVAV >Et_9B_066099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3787532:3788429:1 gene:Et_9B_066099 transcript:Et_9B_066099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHTGRRCNSSASTTRRVRPPPSSAVAPRRPSPTSSSKAGDGKVIRTTRSRDMAAVRMRMEVLRRLVPSDGGDREDELLLRAAGYIARLQAQGPILSFQACALHK >Et_8A_056740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16129279:16132091:1 gene:Et_8A_056740 transcript:Et_8A_056740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRFPDLRMTRSDCREMTWVQARVFLSGSTRSCCRNDSAQIQNWSCRTRPKPLELLLDRRGTAYYLKAKSDHVQQPIPQHHVAGVAREARARAAHTRCDPYGIRMSHIAPSATPFPYRRGHLSQFQYYSYWYENGAAALDKRMSWVRGFYEALEPYVSKNPRGVYVNYSELNLGTNELDSDDNVTSYAKAKVWWEKYFKGNFERLATVKSMVNPHDFFWNEQSIPPLPLGTR >Et_4B_038737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4464220:4467401:-1 gene:Et_4B_038737 transcript:Et_4B_038737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GPLKNSVTTPNGGTRSLGGGGRRPAGFGAALVPCAGPKKGGQPVQIEGPKPLPPPSLAMEVPDDLQSLEATGIYRLEGAAGTGAVFVDPVRLLNESYQRFRVVPSAYYSRSFGPPRLGGDSETQQPEECRKRKRNRKPKPKELNAVEKIAEARHQEARPLLLNAHESLLKANNLLEYLSKTTKAEEHTLDVETSYENNFVDLGSSWRAPFHEITVCLQKPHGLGNEKATGEAEGEFQNRRYILPRGSCFSMADIKHVRNLIPDNPKQGYNLIVVDPPWENGCVRQKEVYPTLPNKYFMYLPVRELAHPDGALLVLWITNREKLRVFVEKELLPSWGVKDARVFYWLKVKPDGSLIGDLDLFHHRPYECLLIGYINVDTKAKRGSDFKVLKGSQVIMSVPGAHSRKPPLQSPTPPRCIELFARELSSGWTSWGNEVLRFQDSIYFSEK >Et_5B_044200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21428269:21431118:1 gene:Et_5B_044200 transcript:Et_5B_044200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITNITEYQAIAKQKLPKMAYDYYASGAEDEWTLKENREAFSRILFRPRILIDVSKIDITTTVLGFKISMPIMIAPTAFQKMAHPEGEYATARAASAADTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRKVVEQLVRRAEKAGFKAIALTVDTPRLGRREADIKNRFVLPPNLTLKNFEGLDLGKMDQAADSGLASYVAGQIDRTLSWKDVKWLQSITTMPILVKGVITAEDSRLAVENGAAGIIVSNHGARQLDYVPATISALEEVVKAASGQIPVFLDGGVRRGTDVFKALALGAAGVFIGRPVVMALAVEGEAGVRNLLRMVREEFELTMALSGCTSLADITRNHVVTESDRLAVMPSRL >Et_9B_064632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17270822:17271110:1 gene:Et_9B_064632 transcript:Et_9B_064632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHWLEAMLPLGIIGGMLCIMGNAQYYIHRAAHGRPKHIGNDMWDVAMERRDKKLVEQSSGN >Et_6B_048814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1384434:1392770:-1 gene:Et_6B_048814 transcript:Et_6B_048814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEARGGSTRVPDAPAASGPAPAASVEKMEAPNGSAAAADEVKMEVTDGIAAAAEPAAASGASEAEVTATDGEGFAAEQEPAVVPLLSEKKMDTDEGGATGEEHSAAAPAASKVNDNSISGEVKDFVPVASEEKMEVDEGGIASQEHSAALVGNEVNTQSIPGEVQDLAPVVSEEKMVVDEGGVPNQEHSAALGGNEVNVQGIPVEVQDLAPVVSEEKMVVDEGGVPNQEHSAVLVGNEVNVQGIPGEGQDLAPVVSEAEMEVDDARILEEKSTTSPVENNVKMEEANGRVLNQESASPGGALAVKEEAGECLVGCYIGKTASRHGRILIGKVASYDTATEVYSVVFEDGHGEDLGLSQLQQLLMAEENGVSGMKVSCRKRKLDLLVSSGSGSEVKAPPSTRQRVDSSEMSTKPDVSQQSDSGSDMSEDADTSSNSSDCTKETPSDPSPPVQVVELPPSSGDIAVPEEAISYLFSVYNFLRSFNVQLFLSPFGLDDFVAAINCTVQNNLLDAVHVSLLRTLKRHLETQSAEGSQLASNCLKYLDWALLDALTWPIFLLEYLCVMGCIKDLGGQSFGRNLLAIEYYRLPVAMKLRVLQILCDHVIDSEELKSELEDREGYNEEMEYEMDSSVLPEAGSRAVSNRAAKASAYKRMNDLQNQETAPNVTDPEAVVANGSQDGNSDDCRICGMDGTLVCCDGCPWAYHSRCIGLNKASLPQGQWFCPECVVDKLGPTSSRIERGARGAQMFGVDMCGRLFLGSCNYLLVIGTSSHTESYARYYNNYDVVKVLRVLALSDAYTDICRRIMEYWKDLRDIFQREISKIGKEVGASHTPQSNMLSFAPLKDNVDSKTVALTQTNTQHGSVANQFQACSAEQMEERKCMTSLGAATKKNDEVCRQAQSAQNCLHNAPVNGVFRPSSASHHSGSVVSGVSNIAQIQPAQSLFRPVLSSISGAMSGGKPAKLLSFRPQAYMNLYTHGHIAASAAANLAIITSEEGKVSASQLAANPRKKMAADNALQLKAFSPAAAQFIWPSTEKKLMEVPRDRCGWCLACRSSAIGNKKACFLNMATANAAKGSARFLSAMHVIKNSDSHFASIVAYLANMEESLRGLLVGSLQDMQQKQRWHKQLQEASNCRTIIPLLLELESNIRGVAFSASWSKLIDEWPVESASVSTGASRPAAYQKRGAGGRRGRKRLLASESGAATDDDNSWTWWSRGSISKRILQRGALLCSTLRKAARQGGKKRIASISYHEGSDLPRRTRQFAWRACVALSQNSSQLAFQVRYLDAHIRWKEFVPPDQIPSDGKSSDADFSALRNAIICDRKIADNKIRYALKFPNQRHLPVRVTKNILEAEDNQDGNSKLWFSENHVPLDIVKCSSCQGNCHKECITRSVGSKGSNAGSSLICKLCLQKRSLMLTSYNTNASYIRPQQKINGQQPVTAPKITFKVGSYHSAEPPVKVTGQPVAKVQAQPFMKVEAQKIASVKAQPTAKVEAQPLVTMGPSPVTNVATPKVSSLQTEPKIKTKKSKPEKPKKPKKVQAITYFGLVWKKNKNDSDGSDFRANDVILKSKDGICSSLRPSCCLCNKPYSPDSLYVRCERCRNWFHGDALQLEEERIGELVAYRCCRCRRRAIPHCPHSDDYAKPEPEINEQTVATSSPSTMLSSEGTFALADQDPLLASYGIVEPIGNGTVDADLSTNMASFASGSNQKLSIRRAQVKNFEYLDQTSRSADEYYIHDQPPGSSNINFSHMNEYQLSEADSVDASELLGWDFSQGTAYAAPPDFSASYQSNDTSCGSFVADEFEPQTFFSFIELLEADDAQLSNAFEMSTGLQSDGNCTGSFDHQGVNFDDMAFTVEDGYSNMSFPTNVPSDEVACRNCKNSQPPPDLNCAVCGLHMHRHCSPWDESAQPAENSNWTCGACREWR >Et_4A_031962.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:5862456:5866247:-1 gene:Et_4A_031962 transcript:Et_4A_031962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGLNPPTVRAAAGKVTNSSGGNGKNGGEGEEEDLFTVEQGGEAAVGHVVVDEQLLVLAEVVGAEGHHQLVLHGADGRDALPELRLREVHVLEPLHRHGGAALHHRLVRRPERALAQHLRRRAQQVLQVERRRRRHGPEEDELLRARRPAGLRGGGDGGRGGRHRHSSRGAAVAGCRAVLSLGLGIHRGHAEVPPLLHRRRRPGRRAGLLAAEEEKQGEEEEHAEHAPDGNAGDGAAGELLLLAAAAVSAAASKRLSFRGSGRRLRGLAGAEAEGAPAEAGAQERVLRHLPELGGDGAVHLVVRHVELLQCRQVELGDLAHEVVVLEVERPEADQLVEVRRDLAGELVPREVHPHEVLEPEQRRRQLAGEAVVLEVDRPELGQAGEVVGDGPGQGVVPEGERAQRREVAEHVRREVAHEPGAGEAELHDAAVLAGDTLPLARRRRAVPRRQHGPPHRGAQALQRLRVGVEVVREVSRQRGQEHQDGQAHGRRRHCGHRRRGLGWERVGGR >Et_9B_065212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2507965:2512031:1 gene:Et_9B_065212 transcript:Et_9B_065212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTGVIEAEALNFPAIFAVARAPSLSKWISLMVDHEDLENLSLLRFQALWEAEFSQDSLLVFSTGRSPVSYKGLRKEKPLLTPDITIMSVGTVIAYGEEMIRDVGWEEYLNNNWDRDIVREKSQGPHKVSFFVDKQGAQEVMDSLPQKLEKRGLDVKIVYSSGMALDVLPQGAGKGQALSYLLKKFNSHGKPPNNTLVCGDSGNDAELFSVPSVHGVMVSNAQEELLQWYEENAKDNDKMLHATETCAAGIMQAIGHFNLGPNVSPRDLELPYPKVDTIKPTDVVVRFYVLYEKWRRGDVPKSSSVTQFLKSITYMNGTIIHPSGSECSLHASIDALNSCYGDKQGRKFRVWVDRLVTSPIGSNNWLMRFDNWEMEGDVRYCCRTTLLLSLKPETPEGLELTHIHKTWLEGHSAGSHHKFIFMAFSFIVLSK >Et_5B_044670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4425466:4429556:-1 gene:Et_5B_044670 transcript:Et_5B_044670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRHLLRRLLPSPAAAGAGAPVSPSPFLRHLSTSATPTPATSLASSLASALATLSTNPPAGTSSDAYFSLHFSDVRPTNALLAEALSLSPPDTSRAAADLFRFLVRRRSLHPSDGALEVVVRHLARRRDFPAVRALIQEFPTALGPDTLDTYLHCLANAGRATDAVKVFDELPGELRTREALTSLVSSLSAGGFPSHAERAVKKVANEIFPDDNICTLLVSGYANAGKLDHALRLIGETRRGGFQPGLDAYNAVLDCVCRLCRKKDPLKMPVEAEKFLVDMEANGIPRDAGTFRVLITNLCKIRKTEDAMNLFRRMGEWGCSPDADTYLVLIRSLYQAARISEGDEMMTWMRSAGFGDKLDRKAYYGFIKILCGIERIEHAVKVFRMMKGYGHAPGVKSYSLLIENLSRHNLGDRANALFREAVARGVPVTQEVYKVDKRYVKAKKEKKVKKRLTLPEKMRLKSKRLYKLRMSFVKKPKRRMLRVDQLNPYNLMNQRPLELAMDSQKMLVGADDHRKGNIIWSPVFKTLVELRYLSRYKLWSRFE >Et_7B_055869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6385964:6389882:-1 gene:Et_7B_055869 transcript:Et_7B_055869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPFCDEGNGAGSIEALLCWPAGKAGGFVERTSVLDCRRSPRPPNSTSTLSSSLGGAAADATSVAVDSEDSAAAAAEATKWAAPGEYGGGGGGRKEEWSGGDLPPIPGSLDVGFAGEDGWDAMLSDAAAAAGQEQTFLNWIMAPPGDMDPPAPALQQHQLLGNAAGFAFPASDPLGFSLQHHPGGGASAGALASDLSSPGARSLTSSSGSSSKATSTFGVLPTEAAAPATTLPFHDGADIKPPLHGAPTPSLLLNQHQPTPAGSFFMPLPSFPDHQQQPHLPPPPKRHHSMPDNLFLLHNQLQPPLPQCLPFPTLHTTAPFQLQPSLQPPRGAMKTTAAAQQQQQLLDELAAAAKAAEVGNTIDAREILARLNQQLPPIGKPFLRSVSYLKEALLVALTDGHNGSTRLTSPLDVALKLGAYKSFSDLSPVLQFANFTATQALLDEIACTTASCIRMIDFDLGVGGQWASFLQELAHRRGSGGVSLPMFKLTAFVSSASHHPLELHLTRDNLSQFAADLGIPFEFTAINLDAFDPSELIAPTADEVVAVSLPVGCSARTPPLPMLLQLVKQLAPKVVVAIDHGSDRGDLPFSQHFMNCFQSCMFLLDSLDAAGTDADAASKIERFLIQPRVEDAVLGRRRAEKAMAWRTAFTSAGFAPMPLSNLAEAQADCLLKRVQVRGFHVEKCGMGLALYWQRGELRLQIYYKS >Et_9B_064933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19903946:19912863:-1 gene:Et_9B_064933 transcript:Et_9B_064933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPEWGEVVPMEGPAATEEEDDDRWARLLPELVAEVVRRVEASGGERWPARKDVVSCASVCRRWRDAAAAVVRPLPESGKITFPSSLKQPGPKDFPIQCFIKRNKRNSTFYLYLGLTNNLIATKDKGKFLMAARRFRRGAHTEYIISLDADDLSQGSSAYVGKLRSDFWGTNFKIYDSQPPYNGAKASSNRSIRRFGSRRISPQVSSGNFDVGQVSYKYNLLKSRGPRRMLCTMECPSIQETWDNSLKVKFLKRTGTTVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLAATTNQSHPDRVGDDETVILQFGKVDSDIFTMDYRQPLSAFQAFAICLSSFGTKLACE >Et_9B_065850.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15078819:15079322:-1 gene:Et_9B_065850 transcript:Et_9B_065850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGCRVLLPVVAPAGDGEENGYPVDAWHKRKIPDSFVWPRADALPSSDRELEAPVVDVGAAMRGGAGLRRAAEQVAAACASHGLFQVTGHGVDPALALDGAAGFFLLPLATKLRARRAPGNVTGYAVAHADRFAEKLPWKETLSFGHRDVVEYFTSTLGSDFKPLG >Et_1B_014177.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:34206094:34208184:1 gene:Et_1B_014177 transcript:Et_1B_014177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVCALLLLGLGPAASQQEGDVSDASAASFLNRFAAPASWSFANPRLRAAYAALQAWKRTAIFSDPSNFTANWAGPNVCAYNGVFCAPLPGTTTGGGGYGGDVVVVVAGIDLNHADIAGYLPASLPLGLPDLALLHLNSNRFCGVVPRTFRRLHLLHELDLSNNRFVGGFPEVVLSLPSLRYLDLRFNDFEGSIPPALFDRPLDAIFLNSNRLRNPIPANLGNSPASVVVLAHNRLGGCIPPSIGRMAGTLDEIVLIADELVGCVPPQVGLLKKVTVFDVSDNHLQGQIPAAVAGMASVEELDFARNRFEGAVPAGVCGLASLRNLTYTDNFITSRPGCAKATADGAWNCIPGAPAQRPPSQCAAAHPFDCSKAQCQAAAYTPTPGGGHGGGGYPTPAAGSTTPSYPSPPSSATTPSYHSPPKGGSTTPSYPSPSTTPTYPSPPSSGTTPSYHSPPQGPTTPSYPSPPQGSTTTPSYPSPPSSATTPSYHKPPQGSPATPSYPSPPRGSPTTPSYPSPPSSSSTPSYHSPPQQGTPTPSYPSPHSGSTTPVTHAPPPPPTSADEPDVRYAPPPGSHGPHPSTPPSHGGSSSSPPSTGYQPPSSSGGQPAASPPTEHPGYVLPPHAPGSHPSGPSSHPPTTPGTPSSHCSPPSQGGSTGGDHGGHGHQSGGKLPFPPVYGVSYASPPPPVQPYN >Et_1B_013953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25335322:25336161:1 gene:Et_1B_013953 transcript:Et_1B_013953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKEEAPAVRVLGLWASPFVIRVLIALRLKGVEHEVLEEVVGKKSELLLKSNPVHKKIPVLLHHGKPISESLIIVQYIDEVWSSNVPAILPADPYTRAVERFWGQYIDDKFPSAIRVLKGTDGGNKDEAAGQLSTALQLLEETFLKLSNGKHYFGGDNIGYLDIALGSHVGWVRAVEKIAGIRLLDAAKVPHLVAWAERLCAHPAVVDVLPGADKFVEYSLKYGSFSKPINASN >Et_2B_022696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3114083:3114954:-1 gene:Et_2B_022696 transcript:Et_2B_022696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVECARRRRLRQGAAAGDAGGGTRRPSFCLYAAGHGGGHPVGAGNPAKQRSGVMEMIHGWTLDSNAREAKERLDQKLRSNREAVIKRHHSTGSIKLSRAHGSGGGGDGEGSSTSSSAAALGVQREVYQKKGVMRRLMRWSRPRWDAAGQAECALCLDDFRAGDVLAHLPCGHRFHWACALPWLQGTSRCPFCRAAVDATGNHHHAAAAS >Et_8B_058797.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:6581075:6581119:1 gene:Et_8B_058797 transcript:Et_8B_058797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILDERIGMMRLL >Et_5B_045076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9219268:9224766:1 gene:Et_5B_045076 transcript:Et_5B_045076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSPAVLRLLLPLWLLALSASPAFLGALAADSKGHMLHSFSVILIICSFPAPFTIRISCGSFDDVRTAPTNTLWYRDFGYTGGRFANATRPSFIIPPLKTLRYFPLSDGPENCYYINNVPNGHYQVRVFFALVANPNLDSEPIFDVSVEGTLFSSLLLGWSSDDEKTFAEALVFVQDSSLSICFHSTGHGDPSVLSIEVLQIDDNAYNFGLPGGKGTVLRTAKRLTCGSGKPAFDEDLDGISWGGDRFWLGLKTLSSSSDDQSISTENVIAETLLAPNFYPQSIYQSAIVGTDRQPSLSFEMDVTPNKNYSVWLHFAEIDNGVTAEEQRVFDVLINGDTAFKDVDIIRMTGERFTALVLNKTIAVSGTTLKIILQPVKGNRAIINAIEVFEIIPAENKTLPQEVSALRTLKISLGLPLRFGWNGDPCVPQQHPWSGVDCQFDNTIGNWVIDGLGLDNQGLRGVIPDDISKLQHLENINLSSNSIKGNIPTSLGTISALQTLDLSYNELNGSIPESLGQLTSLQILNLNGNHLSGKVPASLGGRPLHRARFNFTDNAGLCGIPGLRECGPHLSVAAKIGMAFGVLLAFLFLVVFAACWWKRRQNILRAQKLAAAREAPYAKSRTHFTRDVQMAKHQRPQESSRSSNNESSPLSPK >Et_4A_033365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2183766:2190045:-1 gene:Et_4A_033365 transcript:Et_4A_033365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSFPSLALPEAAAAAAHASLLALAALLLLLRAARALASRCASYLKPPRRPALVAAADADGRPAGAGAGAWYRAALACCAYALLAQVAALSYEVAVAGSRVAAGALLLPAVQAVAWAALLALALQARALGWVRFPVLVRVWWAVSFALCVGIAYDDSRRLMGDEAGEVDYAHMVANLASMPALGFLCLVGVRGSTGLEFEFTDADGVHEPLLLGGQRREAEEEPGCLRVTPYSDAGIISLATLSWLSPLLSVGAQRPLELSDIPLLAKKDRAKSCYKAMSSHYERQEMEHPGREPSLTWAILKSFWREAAINGAFAAVNTVVSYVGPYLISYFVDYLSGKVIFKHEGYILASIFFVAKLVETITARQWYLGVDVMGIHVKSGLTAMVYRKGLRLSNASRQSHTSGEIVNYMAVDVQRVGDYAWYFHDIWMLPLQIILALAILYKNVGIAMVSTLIATALSIAASVPVAKLQEHYQDKLMATKDERMRKTAECLKNMRILKLQAWEDRYRLQLEEMRNVECKWLRWALYSQAAVTFVFWSSPIFVAVITFGTCILLGGQLTAGGVLSALATFRILQEPLRNFPDLISMIAQTRVSLDRLSHFLEQEELPDDATINVPQCSTENAIDIKDGTFSWNPYSPTPTLSGIHLSVVRGMRVAVCGVIGSGKSSLLSSILGEIPKLCGQVRISGTAAYVSQTAWIQSGNIEENILFGSPMDKQRYKRVIEACCLKKDLKLLQYGDQTVIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYILSALASKTVIYVTHQVEFLPAADLILVLKDGHITQAGKYDDLLQAGTDFNALVSAHKEAIETMDIFEDSDGDTESSEKKKKEKKKRTVQEEERERGRVGLDVYLSYMGEAYKGTLIPLIILAQTMFQLLQIASNWWMAWANPQTEGMHPRQIVCSVFVFVRSLLVATFGLAAAQKLFVKMLRCVFRAPMSFFDTTPCGRILNRVSVDQSVVDLDIAFRLGGFASTTIQLLGIVAVMSKVTWQVLILIVPMAIACMWMQRYYIASSRELTRILSVQKSPVIHLFSESIAGAATIRGFGQEKRFMKRNLYLLDCFARPLFSSLAAIEWLCLRMELVSTFVFAFCMAILVSFPPGTIEPSMAGLAVTYGLNLNARMSRWILSFCKLENRIISVERIYQYCKIPSEAPLIVEDCRPPSSWPENGNIELIDLKIGIVGRTGSGKSTLIQALFRLIEPVGGKITIDNIDISSIGLHDLRSRLSIIPQDPTLFEGTIRMNLDPLEERADQEIWEALEKCQLGEVIRSKEEKLDTPVLENGDNWSVGQRQLIALGRALLKQAKILVLDEATASVDTATDNLIQKIIRSEFKDCTVCTIAHRIPTVIDSDLVLVLSDGKIAEFDTPQKLLEDKSSMFMQLVSEYSTRSSCI >Et_3A_026171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5029832:5031387:-1 gene:Et_3A_026171 transcript:Et_3A_026171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEKKTISNFTVRVGLVLLAVCILMPISLLTMFRLTVPMQTLRLLFSVDSPVTLEDRRIGSHRSGGPVVCDFSSPRSDVCELKGDVRVLLPNATIVHLHPSARPRSWRMKPLARKSDRHALARVTEVFVASARPTNDSALPCTARHAAPAVVFSAGGYAGNMFHDLTDVLVPLFVTARRHGGGGDVHLLVGDAQPWWLDRYGPLLRGLSRHAVVDMDRGGGGGGGVLCYPHVTVGLEFHKEMSLDAARTPGGYSMADFARLVRRSYGLPRDTAVRLNGDHDGDRPKLLIISRKATRTFTNIDEITRTATAAGFDVAVAEPVQHADLGAFAREVNAADVLVGVHGAGLANLVFLPAGGVVVQVVPLGGLDAMAAEDFGAPARDAGLGYVHYGVAVEESSLARRYPRDHRVLRDPDAVRREGGWMALRDAYLVGQNVTIDVARFRGALRRAMELLR >Et_8A_057565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4526226:4527229:-1 gene:Et_8A_057565 transcript:Et_8A_057565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNRWLIGRDREDRKNENDRKTRWEEEAPKQQKQPVEKMRFLNVEKGAGSKYIVSIHYFYHCFISPDQLSSDGKYSVSIIGVENQVASDDKMEYVRRVLASDSNYLKVVILDGKSESSELKKNMSDVADKSYEMSPYEDSDEDELEHKQELRRRRKLIPSWT >Et_2A_017305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32994304:32995860:1 gene:Et_2A_017305 transcript:Et_2A_017305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELVAAAGGGRTSVSLSGEAPAADLGRGDLVHGGCTGDRTVSHRHVSLRLLDGGGEPGVAFKVVGRNPVVVRRSSNGGGASIRSVFRGGETGELRPGDALSLSLKAPLFWAVRRKDGDGEGEGEVDASVLDAVARRERRSRERKERERLAAEETTEVKEEQEGEAGSEAEGLEIDLANIDPVKEFGFLSMGHEFDSYPMGRIRPPKDWNWFLEEIKRSSDDEDDEVSSRRDRSKGRGGNKKKKDGEGENEDWTDESEDEKESLSRGPSVKRKKYVTRSTDPKKPCNDNSKVKSGSRNEDEDVEEEEDEEDETLGGFIVKEEDEAMDELSEEEEEEEFDDEDDDD >Et_2B_022479.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:23338103:23339563:1 gene:Et_2B_022479 transcript:Et_2B_022479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKDMDIAHRDHLLAALHGLLGAATLLLCLLAELSVFAFRRHAALHLIPVCAMLLLRRFRRRAADTWLVDFSCLKPPRRLRIPVAGLLEHLRLIGCFDDRSVEFMAKVVEASGMGNETYFPRSLHYIPPAATHGDALEEARALFFPALDDLFARTRVPPSAVGALVVNCSGFCAAPSLASVVAHRYAMRDDVRSFNLSGMGCAAGAVGVDVARGLLRAHGMEYAVVVSAEIVTVGWYSGRDQGKLLLNCYFRTGCSAALLTNKRSDRHGAARYRLVSLTRTNQSANDRSYRSGYREEDDEGVTGFTLGQGVGRMVSELLRAHLVTLSLAVLPWREKLRYAAALLLSARQQRRRDKLAAGGSRGMQLPDFRTAADHFCLPSSGRPMIWRLGRGLGLGEREMEAALMTFHRFGNQSAASLWYQLAYLEAKGRVRAGDTVWQLAVGSGLKANSLVWERVGDAGAGRDAERALAGPWADCIHKYPVTDT >Et_6B_049885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16347246:16348155:-1 gene:Et_6B_049885 transcript:Et_6B_049885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARQSKIIATSARSSLHPPWSALTPKLLLLVLRRLSSDADRLRFASVCRHWRHVAQQFSSPWSSLPPELACLVLRRLMRSHADRVRFAAVCRHWRYVARQYSPPLPWLCSSYGLCQSLPDGKIHFLRSKEQCYGSFGNWLLFKEISGDRRSLKNPLTGATMTLPTHCKKPVYLYMDGSLGTPSNETSNRFDINKVIVCKGDLIVALIYRNEPSRKLIHGSTRGKVGGEYRIATRRQWRQAQAKRTRSAKDERRRRTRSKSSGGRADQPTSPQRRWWSSPCLAGRP >Et_3B_030199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31260318:31263003:-1 gene:Et_3B_030199 transcript:Et_3B_030199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGADARESIGSFPSVRNTATEPEEFLQRQYNVVKPFMGSPPELGHEDGNLQSVTGTSEPLAAKKKKRSLPGTPDPSAEVIALSPRTLMATNRFVCEICHKGFQRDQNLQLHRRGHNLPWKLRQRAGGGADGPPRKRVYVCPEASCVHHNPARALGDLTGIKKHFCRKHGEKKWKCERCAKRYAVHSDWKAHSKVCGTREYKCDCGTVFSRRDSFVTHRAFCDALAQENNKLAQPMNMATVASALQGQANHHLALPSHQLADDLDGDDDADDFGLLDTKSPQLRMLPGSDDGHQPLQLPPLTMAGCMLSSLGARSFASPPAFFSASKMDLDGPSGDPGMGFSSAGSASMSATALLQKAAEMGATTSVYGAAGFPTGGFGPPPMLGGPDRLPTMSPFAPVRAPFDGLSLPGQTQLVGFDVGGLPPGQLYRGDGAQSVGSMTRAIGSLMHGGQLVDPRRPDDKRVVDYMGVDDHSFNGVAPFGPHMGPWT >Et_4B_036406.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:22076904:22077071:1 gene:Et_4B_036406 transcript:Et_4B_036406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAIREALKLAEELGISKIFIDTDAQLVMQAIRRKELDCSRAAAIIQSIKQQM >Et_4B_037731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22982784:22983645:-1 gene:Et_4B_037731 transcript:Et_4B_037731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLGSSPALVARPVAKPHVSCTQSSRPPSSQGPPNGEQQPQQTQSVQAQQQAAPTARPKRAGGADSTDWVASSLTRRFGIGAGLAWAGFLAVGVVSEQLKTRFEVAQQLANTKDVEQEQEVVLPNGIRYYELRVGGGDVPRPGDLVVIDLQGRVAGAEAATFVDTFGEGKRPLALVMGSRPYTRGMCDGVEYVLRSMKAGGKRRVVVPPSLGFGDDGADFGMDGAQVPPGAALEYIVQVDKVSIAPA >Et_8A_057020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20211832:20218540:1 gene:Et_8A_057020 transcript:Et_8A_057020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIRNRKRPAPEMDLPPPRRHVTGDLSDVTAQPQPLVLPASAQLPALPTQLPAFQQQQHAAAAAAAEVEQQQQGQAELARLRRTRKRKRTSPEPGSPPEAFIASGDESSGISPLPAGVSLIVQLHGLRLKRESEVPQLVKDLQEVKELLAAYDGQVASSTSTTATMVELARLRDVLRSAKDALKIELARRDKGLEPFLSHDLEKQGTAGSDASNEAERLREEQAAVKAQAAFRGTSCFPRIERDHKTSGADSGTSCKEASCFNSPFKPWFVEEMLDFLDRPASQSEARRTELWDLSSPIMVEALHFQYDEMDPNSAFNWLERWTISRVWKPISQPKRVGVDAKPQSRKASYAMETESAKLKRNARKSSAKLSEPTQTNTAIETEKTKRNTRKFTSAPADPVPDAQLTELEKVKRSLRKVTNSMPEASKIPNPATEIPENQEVQSERPPRSAQHVQSRPENEEPQNGNLSDNATMGTPVTDLQPDVEVVSHPVTNEEKVNEPAIVAPAAEIMPLQDINSEEYALVNDVEHRSKEEPLSTESLKSSKRRSSFSTKAEYPENGAKNSPSLPSYMAATQSAKAKLRGQASPRLSSDSAEKNGFTRRHSLPSSTNGKMNSQSPRTQRPIHACGKGAVKSDKSMGSSRDASGWGELGRKIKRSKGREPEAGM >Et_5B_044830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6344474:6345297:1 gene:Et_5B_044830 transcript:Et_5B_044830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGLSRRSTSRSIASRLDASAEAANNKAATTPRRVEYQYDEEDVFGPPRRPRGGYDVFINHRGADTKRTVARLLYDRLEQLGRGGIRSFLDNMSMRPGDRLEERIDEAIRECGVAVAIFSRTYCDSEFCLHELAMLVEARKTIIPIFYDIKPSDLVLPQAVVDSKEHLPRDIERFRFALRQAKYTVGLCYDSATGDLAELVTRAANAVVERIEEMEKKSVQRRQTIVSRL >Et_4A_034307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31608247:31611217:1 gene:Et_4A_034307 transcript:Et_4A_034307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSSHRISHHRPDQREEKQAIPAAARQAIYSSCLSRPGTHTSSSAFSQQTQSSSSVSLSWPRMAIPVQGKVAKGSGGAQAVVDVEDQPSAAAELRALWSMAAPITALNCVVYLRAMVSVLCLGRLGPLDLAGGALAIGLTNITGHSVLFGLASGLEPVCAQAFGSRNYELLTLSLQRAMLLLLIAAAPIALLWLNVGPILVALGQDPTISAHAAAYAAYALPDLAASVVLQPLRVYLRSQGIAKPMAACSAIAVALHVPLNVVLVFGPLGLGVRGVAVAQALTNTNMVLFLLAYIRWARACEDTWRGWARPAAVASGLPGLASLAVPSCVSVCLEWWWYEVVTVLAGYLPNPAAAVGAAGVLIQTTSLMYTFPMALAACVSTRVGNELGAGKPRRARMAAMVALGCALVIGVVHVTWTVAFSRQWVRLFTTEPSVVALASAAMPVIGLCELGNCPQTTGCGVLRGTARPAVGARINLLSFYLVGTPVAVYLAFGSPRAGFSGLWYGLLSAQAACVALVLLAVVCRTDWHVEAMRAKKLAGLELVPTTTATDEETKRLVVAANGEPAEDV >Et_3A_023726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11352597:11353101:1 gene:Et_3A_023726 transcript:Et_3A_023726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHTMSECYHIQCHALSQVKNIESTIAAASFDEAKLDLVKQLELQLLEMSTRVCDMSYTNTLNEWLKKGIELVPEVTDDGVPPFPPRRLGAPPVFTIVNNWAINMARISQMKVVGTMQAFAPSMFCTFRLKKKCFAHIYGRHKGQSGSRLLADKDIGYLRWM >Et_10B_002387.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:16615603:16616622:-1 gene:Et_10B_002387 transcript:Et_10B_002387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDVHTFPSSHGLCKEPWASRLRSLKLGRCVPPLLHGYRALTMLVLRDIPASPTPAAAYEAIFTSCLQLNTLHLVSCDCRDMNVLVDAPGSKIRELVVDNCLFGSLRMRALPCLERLASLRSLVLLESASFPCLRQWNLTKETGFTMEPYRRQFAPRLKLGLFFPYTLDITSLIVRFTGPDRWIVPSSSTSSFLPNLRKLLVADVPSSWDVTWTHLLLEMAPSLEVLHIHIAPCGENPGEEISWHPTKLRLNHLKEFVVAGFEGTARQVYLVKFVVGVCTALRHVAMFRNGHARDIGHWNWEMVTEQHPWTYEEKKNTLRQIVDGVSSLTPPVDLVLG >Et_3A_026510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:899156:901332:-1 gene:Et_3A_026510 transcript:Et_3A_026510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADHVPSHGQHRVLVPSERRHLYSMRRRHVLSTFIGGGEYVVQISVAPTTQLNRHDERRRYIYDRTSHGHPSALWSKVLWRRPPVVVDENFDYDASPCEYMVSLKMCLLDTIHDLALAVLPSTSSRPRILRSLLVAGHCYGPMDPFRNIIVNTVWYDIAFPFAQQEVELPRGVLDTRLMSRLEFRSLNGLVAMFRRMGCKSEHEALTRILECDIQKSDGFPVAAQGTQEPVRFNDVARAAKHPQHAAFGSFLMSLSSVKIKQLREFFNGDCVRWGELFAFIREFVPVQEEVATSCRLSPNTSVHISRKTSNLMSKQAFVNNKLREVLQKYCYQHPWEPSYQLDIVCGVRESTSLRQPNLYHANFFVSIDGENDPGLIASDRRKLFFAEFWAPSTYGDVNSKPSSCCPVFHIHAGRCSFCEEVGSTIVHPPSEYHCGGSNGSIGLRSYVGDKVQISDFEGLLDEDFVYVDPVRDVELVKTINDFHGYSYNDKPFVTTLSTRDLSSFPTRNFC >Et_2B_019100.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:919723:919983:1 gene:Et_2B_019100 transcript:Et_2B_019100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGASMERVFDWIHDRMVQSPSLYAPKKESWRMKVSMYYYCMSKTRAPLIWNTLYMYCEWSVGQDQDTVIVIRIVAIGFCACWKSR >Et_9B_064554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16477270:16480950:1 gene:Et_9B_064554 transcript:Et_9B_064554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSAQPVRVVLRVRPFLPSEAASAATPCVSLVDGYPGGEVTVQLKDQYTSRNEQYNLDAFFGQENCVSEIFDREVSAVIPGIFEGINATVFAYGATGSGKTYTMQGTEDSPGLIPLAVSTVLARCTGTWCSVEISYYEVYMERCYDLLEPKAKEIMALDDKDGNMQLKGLSWVPVRSMEEFQELYSIGVQRRKVAHTGLNDVSSRSHAVLSLRVSVDVVKGKLNLIDLAGSEDNRRTCNEGIRLQESSKINQSLFALSNVISALNKNKPRIPYRESKLTRILQDSLGGSSCALMIACLNPAEYQEAVNTVSLAARSRHIGNHMSSASKPETPKVKIDMETKLRAWLESKGKTKSMQRMNGLFSPTASKTPSSMSHMKQPASTRISGRAKAMGHDGVKIKKILFDPAVRVLDENLPRASAQDDLYVNKVVLPSVIPCKEEKKSETSLRRALSPISSNVKQQKSDTGDCPNLLEPETPIDKCNMVDKIPAATPLDKLNALGSTLKGIGARRAEYILELREDSPRPFKSLEDLENIGLSSKQIQDILKKAATGIFK >Et_4B_039665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2588895:2592615:-1 gene:Et_4B_039665 transcript:Et_4B_039665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPPPAPAAAPAPTAAPAPAPAAAPVADQTTDLLQKLSLDSQPKAADASEPAGAKKGAAASQPLSVSIPPERSITPVLQDFMDPNLFYLPAYYYGGYDGSMGEWDDYPRYLNPDGVEIAPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFGTQYQYPTAYFQPPTPVPSTTQGDLQSSANPEKPAAKADPAKTTTNGVVPNGTAHSNSGTVPLASSHQNSSLTPDGTYRAPLLGGVPSAGYLDSTYGYDSTGAHFAWYDSSAYTNGQQRTPTTNYMSSSTYNGNGSSVRNQNKSSTTQQMGMQNRRPASTTGSATPTYPNRMYTSPRPYTQYGSSMKTGLAYGSNGYDSRMYGRWGLGMDNRYRPRGRGNGYYGYGNESQDGTIELNRGPRSGRFKNQKLYGHSVTIAVKGQSLPSGESKNDSAVPDKAQFNREDFPVQYDAAKFFIIKSYSEDDIHKSIKYNVWASTTNGNKKLDAAYQEAQSKGSACPIFLFFSVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSFSVKWHIVKDVPNNILKHIILENNENKPVTNSRDTQEVHLEQGLQMLKIFKEHVSKTSILDDFTFYESRQKLMQEKRVKQQQIQKQVWDSRAPTSVTGEQKQEVANGKPKISVPNGVNGELKAPTENGAAPVPVATYASKVAQSIAEKPAVANGVTTKTG >Et_3B_030739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6975872:6978389:1 gene:Et_3B_030739 transcript:Et_3B_030739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTGRAPLPLAGTGFRIYCPICKDSDSYRVADICSHRGWCPGPTEEALQAAAAAEVAWNERNAQAARVARAEEAARGARLERAARDARVARNARVEQAAREAIADRDARAAAAQGAAEATSAARATAASAAAVSCGYKASPTGAALFFKNLPKTRLQPTEYVTGSLSSNCRYRFSSSRHLLSDEAFLLGPGSFAASCSAMERGLKRGCVYFAYDFLGDSNECHIFDLA >Et_1A_006909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28960874:28962553:-1 gene:Et_1A_006909 transcript:Et_1A_006909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSYDVEKGGRYGGGGKYPPPPAEQQREAEREWVPWAVPLVVAANIVLFAVAMYANNCPAHASSRRGGCVAGGFLRRFSFQPLSENPLLGPSSATLQKLGALVWDKVVHEHQGWRLLTCIWLHAGVLHLLANMISLVLIGIRLEQQFGYLRIGVIYIVSGVGGSVVSSLFIQNNISVGASGALFGLLGAMLSELFTNWTIYSNKAAALMTLLVVIAINLAIGILPHVDNFAHIGGFLTGFLLGFIFLMRPHYAWMQRYVLPSDVKNTTKKYLTYQWVLLAVASVLVVIGFAVGMGMLFKGVNANDHCTWCHYLSCVPTSRWSCGK >Et_1A_004625.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23726494:23728322:1 gene:Et_1A_004625 transcript:Et_1A_004625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSAWLASILLTVVTIHVSYLLLKYKRGSLPSVSLPRPPGPAGSPLLGNLIYVIGQLRMNPHLQLASLAKTYGPVLSLRLGLTRTVVFVSSSAAYHEAVVKNDAALAARLVPDNVCALSYSATSMVFLPSSNKLWKQDRVIIGARFSSARGLESIRPILEHHACQLSEHFRACYGKPVIIRDAVNASVLNIISNILFSKDVVDLSVKGSHQVFKGLIAPVLEEWSKSNVADAFPFLAPLDHLCGSRRRITIHLAKLFRFFDQEIIEDRLRSSKNHSDVLDVLLAQHAQSKLTRQEMATFLTVGRSDSSIG >Et_8B_060471.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:17380492:17381880:-1 gene:Et_8B_060471 transcript:Et_8B_060471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHFLVVTFIGQGHLNPSRALAARIARATGARVTLSVAVSMHRRMFPSLAAADEEVDDGGPISYFPYSDGYDDGFRFVGGGGGDQLDYAHRSARVGRATLAAVLDRLAARGRPVTCVVYTILLWWAGELARERGVPGVLFWAQPATVLALYHHYFHDEYYRRLVAEHAGDPGFVVAVPGLPPMAIGDLPVLLTDSTGDTMLAAALYRIRKTMEQVDIDMSNGGGKAMVLVNTVEALEAGGALTCIPGLEVLPIGPLFDAGHGAETYMEWLDTKPARSVVYVSFGSISTMSKRQQEEMRRGLASSGRPYLCVVRDDHDGGGDELLASSAGLVVGWCDQARVLSHAAVGCFVTHCGWNSTLEAVASGVPVVAVPQCFEQHANARILVAEWGVGVRAAVADDGVLEAEELNRCLEMVMGDNEAAVAVRRSSAAWKAKVTEATAEGGSSDRNFKTFLNKTVKRP >Et_2B_019804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14078141:14087844:-1 gene:Et_2B_019804 transcript:Et_2B_019804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRVWRASSNLFGFTAARATRPLRLHTRCCSAAAATPKSPSQPPQDRRRRSASSSTTTSDRDSIRAIRLKKVEELRGKGYEPYAYKWDRTHTTKELQDEYIHLENGEVCEEAAVSIAGRIVARRAFGKLVFMTVRDDTGTIQLYCEKDSLSEDQFEQLKLFIDIGDILGASGSIKKTEKGELSVYVKRFEILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPEVADVFRTRAKVVSEIRKTMESFGFIEVETPVLQGAAGGAEARPFITYHNSLQRDLYLRIATELHLKRMLVFETVVESTLVQPTFVLDYPVEISPLAKPHRSHAGLTERFELFICGREIGNAFSELTDPIDQRSRFENQIKQHDAKRATMAKGVKSTEGQGDEDDYSYEVALDEDFLTSLEYGMPPASGMGLGIDRLVMLLTNSASIRDVYTQSVKGFSSPKNMLLLPEGLLIQFTELY >Et_1B_014439.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:8703051:8704904:1 gene:Et_1B_014439 transcript:Et_1B_014439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAPLPRHLLAFLLLLILTTAPRPAASASTDRAALLAFRAALSPPSRAALASWRGPLSPSWLGVSLHPDTPGPAAAPPSVSALELRGLNLTGPLPAPALALLRRLRTLDLSANALSGELPCSLPRSLLVLDLSRNALSGAVPTCLPSSLPVLRTLNLSANFLRLPLSPRLSFPARLAALDLSRNAISGAVPPRIVADPDASALLLLDLSHNRFSGEIPAGITAIRSLQGLFLADNQLSGEIPPGIGNLTYLQVLDLSHNRLSGAVPAGLAGCFQLLYLRLGGNLLSGALRPELDALDSLKVLDLSNNRISGEIPLPLAGCRSLEVVDLSGNEISGEFSSAVAKWQSLRFLSLAGNQLSGQLPDWMFSFPMLQWLDLSGNKFVGFIPDGGFNVSALLNGGGGQDISSEGMLPPKLFVSASADTMSWQLDLAYDLQATTGIDLSRNEFRGEIPEGLAAMKGLEYLNLSCNYLAGQIPGGLGGMGKLRTLDFSHNVLSGEVPPGIASMTELEVLNLSYNSLSGPLPTTDGLRKFPGALAGNPGICSGEGCTEDASMPEGKMAGNSRRGWLGGWHGENGWVSLGAFCISTMTSFFVSLVTLLCSPKARNFVFRPVRAEY >Et_5B_043042.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:16801288:16801962:-1 gene:Et_5B_043042 transcript:Et_5B_043042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFHRATKSPFMVNAYPYFGYNGDTVAYALARPRGPGNPGVGDHVTYTSMFEAQLDSVFSAMKALGFGDVEIAVGETGWPTKAMDGQAGVGPDEAAEYNRYLIREASGGSGTPLMPKRTFETYIFALLNEDLKPGPVAERNFGMFLPDLTPVYDVGLMKDGAQQQVKTKLDSETRPANGSGKAAASAPEPASGDEAAGPAPISEGGSTDGEKTPVRSSEPSCD >Et_9B_064885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19562670:19565112:-1 gene:Et_9B_064885 transcript:Et_9B_064885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGQHRGVAAVAQDLLESTGATAETTSADDDDEGCFTFAAASPLMAAGGEFAEGRIVGPVYPVFGRPRSPPLPEEKEEDPRSSATVRVPLALLLLEERGSPTSPSGQAPDDGGELDGVPAETYCLWSSGASPSPARSPSPAARWEKSGSTGSVLRWRQRLLLLGRSNSDGKEKFVFVDTSSERDADGGRDGWKNHGRDDKGGGGKGGRRSFLPSKMDIVGLFANAGAFRRSYHPTMDDDEFTFAVVPPSVADDGGGGVFLSGRVGKLYPVFGRPRSPPRPSPPPEPEPETATVRVPLGQLLLVDREPSPAQPPEDDLDSVPAEMYCPWSPGWSASAAASPAARCRKSRSTGSVLRWRPRLAVGRSQSDGKEKFVFLNASVASGSGRRQGRVKGEGGGAWSYYAKGGGGSNGARRTSFLPYKQDLVGLFANVAVFRRSYHPF >Et_1B_011118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17794257:17796128:1 gene:Et_1B_011118 transcript:Et_1B_011118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPDHCLRLRSPPAITCAPVPAPAVFSALSPITGPRRRLCGVLHPPRARPPLVTVRASAGMDAAMARAPEMAQREVARALAAVAEARLGPRLLPSAVPADVAEFRNGAGNAVGSLGVRRGAPGTSIDFMMQSSLHCKVPNGAIDITSILIFLNASTDAPHFLMEFIQGSPTSIVVLLDLLPRKDLALHPDYLKKFYENTQLDKQRGKIYELPQTRPYLSPSLFVRSAFSPVAILVSIDCGQGGESALEEIIRGQLAMVAKEVLQIWLDNCVDSTTEMEETERMCLVKRDNIVRSKSIEVDLTANLPRMFDPDVSSRVISEIRKAFGVQES >Et_9A_063213.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:15857529:15858785:-1 gene:Et_9A_063213 transcript:Et_9A_063213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLVSTVARGVGDLAAKEASFLCGVHDEVEFLREDLTSLQAFLSDAAEDQRSGGGRDAVAADSVRRIRYVAYQAENIIDAADYRAKRNSRLGFMSRYARKPKDLVALRRLGKDIRRVRRRIKEIKSSREVLDAIDAGGRVTARAQELSAPRPHLSPAVANGDVYVVGFEGDVEQIVARLKDLKCPQLTVVSIVAMGGAGKTTLASKVYSSAAVNEHFDAFAFVSISQQFEAHTVLKELTSQAMAIKRQGREFGKTSQAEELEKGDQELAEMLHSFLESKRYLIVLDDVWRTDTWEAIQHAFPDRGNGSRVMLTTRNSQVAKQADKLTHVHELRLLNEQQSWELFSLKAFPSYENIDANNRQMLESVGKSLSKKCHGLPLALVVLGSHLSKNLHLDMWSKMERCLDWEVANKGKNMK >Et_5B_043217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18267689:18269024:1 gene:Et_5B_043217 transcript:Et_5B_043217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQMQHNLHRVLLRVRVIVEEAEGRIIRNQAMVHQLNILRKEMYRGYYAMDNFRSQSNEDEVQQVTDNLNNIIVDMGEFITFLKDCPPLYRPPYSMHLIVDNYMFGRQMEFDRIMNFLMIVEPPSSQGVGILPIVGLATSGKSTLVAHVSKDERVEDDLHKGLTTEGNGRLLAIIELTENIDEPALRRYLASATGHASVIKIIIAYWYFFKVRTFGSADPNSETKLESIAMEISRDLYGSFSGSFISGSIISGLLRENITAQHWKMVHTFVKLLIKKTSTPTSLTAHDRARENKPVLRRRANNDEFRIYDFYQCPVDGTIPKITVSDVAFGSVECEGTFEALAWMSRIPPYKNYIYACEVQKTQPIRKRQNEKPK >Et_2B_021639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3828403:3831932:-1 gene:Et_2B_021639 transcript:Et_2B_021639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLLPTASAAVAPAAAPRRGRLSTRVSVRASAAASTAAAPRRETDPKKRVVITGMGLVSVFGNDVDTYYDRLLSGESGIGPIDRFDASKFPTRFAGQIRGFSSEGYIDGKNDRRLDDCLRYCIVSGKKALESAGLPLASKPMEKIDKARAGVLVGTGMGGLTVFSDGVQNLIEKGYRKITPFFIPYAITNMGSALLGMDIGFMGPNYSISTACATSNYCFYAAANHIRRGEADIMVAGGTEAAIIPIGVGGFVACRALSQRNDDPKTASRPWDKERDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCITQSLEDAGVAAEEVNYINCHATSTLAGDLAEVNAIKQVFKDPSGIKINATKSMIGHCLGAAGGLEAIATIKAITTGWVHPSINQFNPEEAVEFDTVPNVKKQHEVNVGISNSFGFGGHNSVVVFAPFKP >Et_7A_052258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6195590:6197942:1 gene:Et_7A_052258 transcript:Et_7A_052258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLEARPGVLLVGAPGVGKRTILSRLLAAEIPEAHDLSSGVLCQGWTIDTKYYSADLSIWTANLGEGFSLGSLPHQDQLAALVMVFDMSDESSLLTVKSWVASVDIQRRRMQRLGESSSDPHPEYLDFGINETEGCGLLSGEEQCIEIRDSTLKWCIEQNIEYIEACASNADFDKCLSVDGDSQGLERLFGALSAHMWPGMILKSGNRITAPTLIENEESSDDESNYEFDYEVLSHGSDEQWEFVGESSTSERFEGSDEAKDTQDRTQQIVNTDANSSASNLLPNGTSTETAEEQTVTQDHGTDNGHLNDTQADSTENHAPEVTKLFEDEHYGLDDLERLMSEIGNMRSNLRLMPDFQRREMAATLAMKMAAMFGDDDEGGLQDI >Et_7B_055578.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:15137907:15138164:-1 gene:Et_7B_055578 transcript:Et_7B_055578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDGGGDGGEWGQPMLQKRASHRERHFTAGEVVRDVIMGVSDGLTVPFALAAGLSGASAPSSLVLTAGLAEVAAGAISMGLGG >Et_1B_010772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13961810:13972272:-1 gene:Et_1B_010772 transcript:Et_1B_010772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIMDPRFGMYMDLEKFTDQLRPHLISDLVIVNTYHLMTTMAPSLLAALDWDNSEINKHIVVHITYKSKNLETRYYELQCKMRHVNEEQWHPIDPPPRPVAATPPAYANGKIYWLVEPNLGPVSTRCEIVAFDVNTHQFEILQGPPCSHDSGRMTIVQLQGALCVACSNRGMNTLDIWMMKDSDIWLMEYHIELESFLPDYLSENTTPLAVDPKNGRILLNAGWSLGYYDPETATIDTLYTEDIPEHGIKFCPIICQESLFCPLSPHYPAGSKPQRLDRTGLLAPNSSNSRDASTSKMEAAPSATTEATTEHESVPALPMEIITNILAGLPAKTVGRSRCVSRAWRDPLTSGYFVDHLHATRANRPGHPKLLLTPVESSYDTDYLYSWQPGGRGAAEKLMPNDFSNDLNVPVTKPCHGLVLVRGMNHRGYFVCNPSTGAVLPLPDSEAPMKMIRRRRKMLGHRRPPFFKDVSYGLGYCATRKEFKVVRLFCNYPKRETQMVKSTSCEVFVLNALAHWRPTVEQPPLRRVREEKPAVFLNGYLHFLCDDGGIITFSVSDEVFGSLPPPPGFADAASVITELDGCLCLCYEEQESEDIVYHVCVLRDYKGARWETLCRIDGATWLESERALLRRIWIAPLSMYDDGQKIMFATGDCQVFVVNLDGSAPQVLFTQDEDLVGNCTGDDIPSIGLFEESLVPVGCTVEEMIFSSPTTEMWFHVLKWLPTRSVLELSLVCREWRACNSQDGLSHVFHANRKKSPRIMIIMDPRHASYIDLKEFVDGRQTPNLTLNLVCSQPCRGLNVGSCFHWDFICNPAIGYCKIIEFDDHDRTFFAGRIGLGFNSEIYRHVMVHITYKEKDMQTRHYKLQCKLCYVNEGIWHPIEPPPRPVAATPPTFVRGKIYWMVEPKLGPVSETCEVVAFDVNKVEFEVLRGPPCSHDKGRMTILRLKGALCVTCSDQRANTIDIWMMKDFEIWSMEYHIELDKLWTDYLSENTTPLTIDPTDGRILLSTDLSLGYYDPKTAALQTICAVGNTKHYGKHEQDGGDDQPGRLGAAYGDYHGDHRSAAGQVGGPLPLRLPGVARHAKVGILRRPPLEARQQERAHPKLLLTPVGSSYDGYIYSWRPGGAVEKLMRDDFGGGETVLVTKPCRGLVPIRATYGGGYFVCNPCTGESLAIPDSGAPLKTTTYSVWHADHLPFYRWVSYGLVRMIGRPQAEVFELGTPGYWRPSAKKPPLCSVKERDPAVFVNGHLHFLCRDGGITTFNISDETFGSLSPPPGFEDIAETLLTDLEGYLCVCYGEPDSDGPYHVWVLRDYSKDQWVKLCCIDRTALPKSQRKLLKSLWIAPLSVYYSNGGPPKIMFGTGSCNVFAVDLDGVTSEILFTPDDTIIGICEENRIPALGPYEESLVPVGRTIEDMVFSSPKTKAWFDVLKWLPTRSVLELSLVCREWRGMIMNDCFIQSHVIHANLKRSPRLMLVADPRYGSYMDMKKWTGEVPFRPEHGLVYSLPCHGLNVGSSNFWDFVCNPTIGYHEHIEFEDSDRTFFAGRIGLGFHMEFREHLQCTISPKFSEFNKHVLVHITYKKKNLETRDYELQCKIRYVNEQQWHSIDPPSRPIAGTAPTFVGGKIYWMVDPDLGPASPRCEMVAFNVMTEEFEVLQGPPCSHGSGRVTILQLQGALCVAFSDQTQKTIDIWMMKPVGSWSLEYHIVLDKLSENTTPLADDPNDGRILLNTGWSLGYYDPKTATFETIYTKDSTRTSDMGFFPIIACHESLVCPLLGHLIDSFC >Et_1B_011388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21390621:21399667:1 gene:Et_1B_011388 transcript:Et_1B_011388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPPMFLVLVLLASSAARDAAASAAVSFAAMSVSNASAGAVVAGKLNVHLVPHSHDDVGWLKTVDQYYVGSNNTIQGACVMNTLDSVVDALAADPARKFVVVEQAFFQRWWAEKSPKVQATVRKLVDSGQLEFINGGWCMHDEAAVHYIDMIDQTTLGHRMIKKQFNKTPRAGWQIDPFGHSAVQAYLLGAELGFDSMHFARIDYQDRAERKADKGLEVIWRGSRSFGSSSQIFTNAFPVHYSPPDGFSFEVLADNIIPVQDDLSLFDYNVEDRVNDFVAAATSQANVTRTNHIMWTMGDDFNYQYAESWFRNMDKLIHHVNKDGRVHALYSTPSIYTDAKHASNESWPVKNDDYFPYADAANAYWTGYFTSRPTFKRYVRMLSGYYLAARQVEFLVGKSSLGLFTSSLEDAMGIAQHHDAVSGTAKQHTTDDYSKRLALGASKVEKGVNSALTCLTSSNGTCTSSVVKFSQVNDQNLVVKSSDGTIIDSQLVEVDNVTSNLRKFYVKAYLGITTNKPPRYWLVFQASVPPMGWNSFFISGPTGTGYKNSLHVSTLVSPSNDTIEVGPGPLKMSYSSTSGQLKRIFNSVSGVDLPIQQSFLWYRSSTDSQASGAYIFRPDGNTPTTVSGSVRLKVIHGPLVDEVHQQFNSWIYQVTRLYKNKEHAEVEYTIGPIPVADNVGKEVITRLTANMVTNSTFYTDSNGRDFLKRVRNYREDWDLQVTQPVAGNYYPVNLGVYAADRKYELSVIVDRAVGASSIQDGQLEIMLHRRILQDDGRGVGEPLDEVVCVDQDCQGLTARGTYYVNVNKLGHGAHWRRTLGQQVYSPFLLAFTQEDEATWKSYNVAKASMMDANYSLPDNVAVVTLQNLDDGTTLLRLAHLFEASEDPRYSVMAKVELRKVFGRRTVKKLTEMSLSANQKKSEMKKLNWKVVGDTESGPTPMKGGPVDSQALIVELGPMEIRTFLLQF >Et_4A_035297.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:18068821:18069765:-1 gene:Et_4A_035297 transcript:Et_4A_035297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVAAARDDAPVQRKSGAETTTGATTTTPPSHPQQQQQPDPPPQEQGLRCPRCDSPNTKFCYYNNYSLSQPRHFCKSCRRYWTKGGALRNVPVGGGCRKNKRSSRSAAALATSRLLALNLPVDGGSGIIGDQRFLGGGGAPLVGNNQYRQGMLALPRIQHAPSVGQYVSFEQWASSSGPAVDLTGVGGGNASAAGSIASTPIESRSFINQDLHWKLQQQRLATMFLLHTAEAAPPAATTSWFVDSSHALPYCPPPPANTAAAVAITTDCNIDSGQLMRSGGPGDDHVTSNNNNCGSGSIPETWRDMSTFAVLP >Et_7A_052076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4435495:4438488:1 gene:Et_7A_052076 transcript:Et_7A_052076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSARPGADNFCGILDLDAVAVQQDGSMDSSESSRPITRSGIVSVKPAAMFDDKNEPVGEGLPDGWMKECRPRKNRHGSRMKGDTFYIDPIHGYEFRSLKDVYRYLQSGDISRCVMLPIKRKIEDLRGARDQSLYTGRSSDCTHLDQVNESNQYEVGVTEPLRDAWLSPNSGSLKTNNTNSVQGGSDQVEASEVRSTKSGSEENTPVEDECDTRKGADVEQKTKEKKRKMKSVKPMATPLRSSPRLAALKMSQEANDASRDGRMSAQTDIANQLQPKQVTNPRRKANSSVLPEKKDGAPGSSEKVEDNYCLVPSQIRASVPCSSSDVGCQNALEGLPVLPQQVGSTADGMPGSALSSLFRHVWTLIGDIPVLNDTLAAANYFLPHQTLNKGTAPNCSSSAYDGTRNHTQGDHVGMSMPRPSENLYSSGWFPPQ >Et_8A_057870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8551226:8554743:1 gene:Et_8A_057870 transcript:Et_8A_057870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVTVSALMAIMNPVLGKLATLLEREYARLKGVRQKIAFLLDEISSMTTALQMLSELEEANPQAKEWMNQLRELSYDIDDCIEILMTHLGRVDTCDGFFNKIINKVITLKSQYHIGHQIHELKERVLEVSDRQKRYKLDPSASGPKSVVIDPRLPALFEEADRLVGIDTKMDELVKMLVDGIGCQSQRKVVSIVGFGGLGKTTLANQVLQKVKIHFDCTAFVSVSRSPNVNRIIADTLFQILKRSRPNTEDRNQDIARMQKDSYVRTLDYLHLVNMNRDYLQNKRYLVIIDDVWSKQAWKEIQCAFPQNNNASRIIMTTRIEDVAKFCSFPHTEYVYPMRPLDNDESKRLFLKRIFDDKDDCPADLKEVTGDILRKCHGLPLAIVNIASLLATKPTSKHEWVRLRNSLVSALEQDHHLEVVKRILFLSYYDLPHYLKACFLDLSIFPEDQKIGRSRLIRRWIAEGFIAEQPGKCLVDTAECYIDELINRNMIEPVETDFTGKPRACRVHDMMHDLIVSLSIQENFATVVGDGKLTPSTNKIRRLSLQANMERQIFRLMGTNILSHVRSFSIFGDIKKMPPLLDFQVLRVLDLQDYSNLEDSDIKNIGNLIHLRYLSLYNSNISKIPIKIGNLKYLQTLDLRYTRIKELPTTVSQLQQLVHLFVPAGVGLPNGIRKIGALEEISLLDCSKNSPQVVQELGNLTNIKVIGIRWCADGAINDEGGFKKSLISSLCKLGERKLQSLRIETMEHCYMDFLVDSWYPPPRHMQTFSIGPILSRLPKWISYLSELTDLSIFIEQVEGGDVNMLKDLNAMRRLQIFTTKHPQESLIISPSGFPRLEDFHFLPSMYWRNSKGMLSLKFEAGAMPRLNKLWFRFVVQDTLSAYGVGFDFGISHLSSLKRLWVSINCRGARVCDVEAAKATIKSAASLLPNRPRHEIHIFGEEEMVKQEEQMEGTSGSKDEPVSEQQDRTCAR >Et_4B_036793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11520835:11524348:-1 gene:Et_4B_036793 transcript:Et_4B_036793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TIHPTAENPGPIKSTGRWGPELLGPLQYICSDSEALTLKPWRRLPLLSPPPSRRPPQAKPLFASRSQPPNPPEVRKGVTWLFQLILGQDVGAVRDPRGVCPLQGARRGEARQGRGSMEGVPDVGIGEKGGKAEGFQQKIDCLHNSAVMELMRGLRNQLTELISGLGAQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAQVVKMMGNRTNAVNLDFSEILSDAEVETQLKEAAVISMGTEVSDIDLLNIRELCDQVLALSEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTIQILGAEKALFRALKTKHSTPKYGLIYHASLIGKASQKNKGKISRSLAAKTVLAIRYDALGDGEDNSIGLESRLKIETRLQALEHKDLEKSAGSTKGKPKIEMYEKDRKKGAGALTTPAKTYNPAADLVLGHTEETPKKSELASKKRKQSTEAAEEAIQEDGDQEDRKKKKKSTETEETPAVDADGDKKKKKKSKENEEPAAATAEGEKKKKKKKSDGGTDDVAMQTEESGKKDKKKKKKHAAE >Et_1B_013071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5273750:5275147:1 gene:Et_1B_013071 transcript:Et_1B_013071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRTAGSVLIRRLGPRVFGAATPSAVAPRPLLVLADGREGAAAIWVRLLSTSAAEARQEVAASTGNEGSTAAAKAEAKEAAKDGEAKAKSLVANSYWGIEPSKLVNKDGVEWKWTCFRPWEAYSPDTSIDLTRHHEPKVLLDKIAYWTVKSLRAPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEHSGGWIRALLEEAENERMHLMTFMEVAKPRWYERALVLAVQGVFFNAYFVAYLMSPKLAHRMVGYLEEEAIHSYTEYLKDIEDGKIENVPAPAIAIDYWRLPADATLKDVVIAVRADEAHHRDVNHFASDIHYQGLELKEAPAPLDYH >Et_4B_038005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25455661:25458198:1 gene:Et_4B_038005 transcript:Et_4B_038005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRLDETDDYSKRPALAFALPPSQTRPKASTIPMAAAMDVDAAAVAGRGKRPSDKELFGAAESGDADAFASLSPADLAAALSLRNEDGRSLLHVAVAAGKPQVVRALGAAGGDASPSVVNAKDEEGWAPIHSAASTGNVEIIDILLERGADVDLTTDGGRTALHYAASKGRLSIAEKLIAHRANVNKKDKFGCTPLHRAASTGNGELCEYLIEEGAEVDAADRTRQTPLMHAVICENKGVALLLIRHGADVGAEDKEGYTVLGRASDSFRPALVDAAKAMLEG >Et_5B_043691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13996724:13997379:1 gene:Et_5B_043691 transcript:Et_5B_043691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVWMARNKRKHREQSMEMRQAVFWTRDTAMEIMSLKKSHQKNGVTPRSQGWFKYNTDAAYLEAEVSREGVKFAIKKGAQQVIIKTDYQGLVNYWHQRNSIRAYVRTVLLSKIMAISFSKLMAISLKHRSIAANTNLQAVGRLLERARGWEGSRVPDCRRCHTR >Et_6B_049865.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:15674175:15675053:-1 gene:Et_6B_049865 transcript:Et_6B_049865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQRSQACRLLLALVVSLQLTAGLAAYGSGEVAVYWGRNKDEGTLREACDSGKYTTVIISFLVAFGHGKYTLDLSGHDIAGIGDDIYYCRVNKDMIVLLSIGGPGGEYSLPSSQAAADVADYLWNAFLAGRRAGVHRPFGEGEVTGIDFFIDQGATEHYDELADHLYNYTRDSHGFAVMLTASPRCGFPDQRLKAALDTQLFDRIYVRLYGEDRKCAQTPRESWEKWAAAYPENDILVGVVASPEADAAAYMSPRDLRHRVLQFAEKQPSYGGVIIWNRYYDKKTGYSSRL >Et_1B_011765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25791306:25792823:1 gene:Et_1B_011765 transcript:Et_1B_011765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWWLLAGAVLIPLVVLLRNGSRNRRLPPGPPAVPLFGNLLWLRHSAADVEPLLLRLFKKYGPIVTLRIGSRLTIFVSDRRLAHAALVGAGTALADRPRAATSSLLGVSDNIITRASYGATWRLLRRNLVSETLHPSRVRLFAPARAWVRRVLSEKLREAGDGDNGNSVMEAFQYTMFCLLVLMCFGERLEEPAVRAIEDAERAWLLYISRKLSVFFFFPSVTKRLFRGRLRDAHALRRRQAELFVPLIAARREYKRLHSYVDTLLDITLPEEGDRALTDDEIVTLCSEFLNAGTDTTSTGLQWIMAELVKNPAVQEKLYGEIKAACDNGNSEVCEEALHNMPYLKAVVLEGLRKHPPGHFVLPHKAAEDMDVGGYLIPRGATVNFMVAEMGRDDKEWGRPMEFVPERFLDGGDGVGVDMTGTKGIRMMPFGVGRRICAGLNIAMLHLEYFVANMVNEFEWKEVPGHEVDFAEKREFTTVMKKPLRPRL >Et_4A_033184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19685258:19686073:1 gene:Et_4A_033184 transcript:Et_4A_033184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKSLMFRPSQTPSYVVLGSTKLTPAQEKMVEEKVQAIGTEVPIFVATMTEKIAGDNGSYSLDFKTQYAAPYLPDGKQSLTLYQAEWSKAWQVMLRNRSDALILLKSLHGGMVVF >Et_3A_024278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19111849:19113586:1 gene:Et_3A_024278 transcript:Et_3A_024278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLWRVRLSSFAAGAATASAAGFFFLYKDHLIARATIARQVDEIKEVSQKHYETLNQRISALESPKESEAIKATSD >Et_3B_027697.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:13010313:13010441:-1 gene:Et_3B_027697 transcript:Et_3B_027697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKANCDGAFLEKEKRGGWGFVVRDHDALQHKRTTAADRNQL >Et_10A_000885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18662844:18667939:-1 gene:Et_10A_000885 transcript:Et_10A_000885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSDDCRGGMPPGSKTIGKKSKKPGQAARKQWVPFSSSNAAPNTARPAWGGSGSSQPFGTSTGWARPSAVNRGNYRVPSQTPQAPHPFSAQPLANGRQWQSRARLVGSEIKENDTPSGNGYEVDEGHETSDDDENDLSDDFSDDHDSDAIEKSFETRKMNKWFKRFFENMEKLSVEEINEHTRQWHCPACKNGPGAIEWYKGLQSLMTHARTKGSARVKLHRELAALLEEELSRRGTSVESTDREIVWPPMVIVMNTKLGKDDDEKWKGMGNQELLDYFGEYAANKARHAYGPGGHRGMSVLIFESSAVGYMEAERLHKHFVVQRTDRNAWQTGKARFLPGGNRQLYGYLANKDDMETFNQHHQGKSLLKYEMRSYNEMVVAQMKQMSEDNQQLNYLKNRVVRTEQRSKEVVKTLGVITQKLRETMKENIFVRAKAKEKHAEYEQEMKYQEDFFHNQIENIHKATEDKEKMFEKLLQEERSKARRFDVDSGTTEDCKLRKEQVQKFIDCQVKDVAEFEAERDELIKAHEEKKMKLKKEYMEKEVQLEKELDAALTGLMEKHKRDTFETSSS >Et_1A_009024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25810177:25813426:1 gene:Et_1A_009024 transcript:Et_1A_009024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSAPAAGDAGMFVPAATVGTVLCCMCGVAMQPNPANMCARCLRARVDITEGVPRNAAVVYCPDCSSYLQPPRSWLRAGPESPELMQILLRRINRPLARLRVSLSGAEFVFSEPHSKRLRLKLRLRREVLHGIVLEQTHPVEFVVHDRLCDSCSRAQANPDQWVAVVQLRQHVPHRRTFLYLEQLLIKHGQASLAIRVAAAPGGLDFYFGSRSHAARLVDFLNTVAPIQTHTAKQLVSHDVKSSVYNYKYTFSVEICPICREDLIALSPQASRDLGGLGPLVLCIKVTNAIALLDPLTLRVHHLEEKKYKVYNFKAALTSKQLVEYIVLDIEQESPEISIDGSRYQLAFAQVARVSDFGKNDTIFTVRTHLGHLLNPGDLALGYDLYGANLNDDDMDTAMARHSLPEVILVKKSYEKKKQRTRKWKLKRLPVEEDAGNKAKGEEEKRLDEYEAFLKDLEQNPELRFNMNLYKNEDYRSEMASTIGDDAPTVPLEELIEDLTLGDDDDEDGEEAVEGNTHSGMAFGS >Et_6A_048024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3794491:3796126:1 gene:Et_6A_048024 transcript:Et_6A_048024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQRAADPDVAVPVNGGDVGRVDIEIKVAGIQGRVQTAVVQEAVDEGPERVDAAGPAFGAGDAGSGRGSPEVAPAMGEHARAHRILRREQGKDVVEDALREVADVDSSARHGWRFLVGRRSGTPSTRWRAPAQHEESGSRSEERRGSVKRTRV >Et_9B_065820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13711155:13712705:1 gene:Et_9B_065820 transcript:Et_9B_065820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGWDGIPADVFLVILLRIPLSPRRRLRLVCQHWRGVIDERTPPAPREHAKVLAFFSKPSRAFAVDGVPGGRSVEMDLRGIDTSSGDAHMIGTCNGLLCLSHGYPRRITVINPVMIGEAVTVDLPTTSLSLERESYSFGYHPETGQYKVLHVSCHDYSEHTMKFHAVHVFTLAGRRVVVAQGASPCRCHLRFGFVTIDGVTYWAKAYAERIMAFDLKDERVGLLECPPVPMMSWREHYAWHQRPHLTDVRGRLGLVVGCGYDSFERSMTETEKLSELQVWVLEGGREESWTWVKRFTVLAHGVYPQQEIALPHVVHGDHVLTTCRPTGWRTGQLLSANLPREERKIRPCLMLRVGAPSPETVVAGVTNGSKVRTFAFVKTREPVLVYGGGVTARTVVTK >Et_1A_006460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22729182:22733154:-1 gene:Et_1A_006460 transcript:Et_1A_006460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLYPFTDIAADSAPSLDAAAGEEVVRVERAAALALGPRAPESPGTLFLTTRRVIWVSEAEQGKGYAVDFVAISLHAVSRDPEAYPSPCIYTQIETVDGSDEESDESDSETNGEMELSKVTEMRIIPSDPSQLDGLFEAFSHCAELNPDPNAESDEENGWVHGDEGDEDMTDGSDAECEFSDVNPIGQTDDHDITHAVVELQINDQRFEDAEEADEESQGNGH >Et_8A_057871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8522146:8522513:-1 gene:Et_8A_057871 transcript:Et_8A_057871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQ >Et_1B_012514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32720025:32721846:1 gene:Et_1B_012514 transcript:Et_1B_012514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPALKGMWTRLAGFSASHLITINIDDMQPDLRLRHKFQDDRQQGLSSSSFTIGGLILTHWSAWMPRCLGWATFPAEGSWSRMSLLRKRQLGALPMASGTLMLHASSPPAGLGKNRVPTKTLVVYDPTKMVPAPSALSRSSARRRIDQTELTRPNAHPCPSGLRLKSFEPVTSDGASRTALRLEGTHPPFSKKKEKDSKGVKYLSSFKFQGWKYTLKSMRSF >Et_7A_050984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13285561:13288986:1 gene:Et_7A_050984 transcript:Et_7A_050984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GKKDGWHFRHVSSVPEEGQRSYSVPGLHKLSEAILYPHLSEDDFKKRCPFCAIFAIARLVDKWSVSVDNKVKFSVCIAHFLLPWLEKFHKEQMQEKDIEASAQGIAIAMSVLMRAKWWFLKLIVPAIQGCTVTYQAYDFLCNISVSFSCPLYGFILNSNRCKTSIVDFHRSCKNCSYDLCLSCCHELRQHPNPVCGVTSLHKLGGKGGLKQRNSHDQVARQETSDEQNDMSMEDGVNCIPKLRCWKLNKNGDIPCPPKSFGGCGRSLLELHCIYKETFVSEVLQKANSVVNSRIMPELRGSKCSCCTESGDVNNETSRESAHRKNSEDNHIYCPSAREEHWTKGQPVIVRDVLALSSGLSWEPTVMWRALREMKDKKEPEQLSVMAVECLTSCEVDMNICTFFKGYTQGAVGPEDLPVLLKLKDWPQHSSFEDRLPRHGAEFISMLPFREYTDPKSGPLNLTLLMVSPKSWELEILLPSFIWTCLMRETKRMVVHFGISSDEKMQ >Et_5A_042903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6671513:6673547:1 gene:Et_5A_042903 transcript:Et_5A_042903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLTFLPSSSPPPIRHRTTRSSSSASTKLPLFLSTSMATATPITDTSSNAFRCCSAKCGHGARGTPCHRLSSTEFHPQWVTKPPTAAWARMSFCGAHLGHTRPLSLVLSRNPSGSSSSRLASVGYGGLAGGGPRSTHRKRCPLRSRPWAISRTCGAGKLPPMLPKQSSRTDAEGCASSHLTHSRLLLSSLLARRLLHRQHRADRVERRNAAVLGDGRDHARLELGHRVDDDAVGLQEPVAVVHEPRVVVVLLHQGRRHDTRRHRRHPRHVYGSSFHLPKVARHLRVERREPQHEREHGRGRWEVGVHGQRELARDVEQGGAEVVHHDRGDRGRQARDGGLDVRPVELYEAADGVLGAVPFAGELHGREVVEADVQEAGLRLRAYEVGDLAPQVGGHRHLHDEHRERHATGAAGQQPFAGLQHGREVPRDAQRNQHHSRDYHVSALN >Et_8A_057936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9638424:9639000:1 gene:Et_8A_057936 transcript:Et_8A_057936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EWRLAVSSTRWTPRRGRHALSAYTRAGLWSECLKSFGAMLRQGWRPDESSMVSVLSAGAHLGAYNVGRTVRGALLRNIATLNTIMRTALIDICSGCIEKAAALFNTADDKYAARVLREGHAPDSAVYVRRRSELQQPRRLLEDVLRCFNQMRLEHKVAPNAQNYGCMVDLMARAHR >Et_4B_037756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23129680:23130855:1 gene:Et_4B_037756 transcript:Et_4B_037756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWQSFDFLFCGLCGTLLRFDSVRCATCPLCGFKRKATKIEGKETRYSVAVEVESALEEVAMERPLLSTPCPKCGQLKVRYYSRQMRSADEGQTVFNECGGCGHNWSENT >Et_1A_005198.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:32055913:32056140:1 gene:Et_1A_005198 transcript:Et_1A_005198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRRAGLVLQVVVAALLLSAVLGAAARPLDGDDGWVFVGAGGLLLGGGVTSIVEGTGPGSSCNTNSPNNGCPP >Et_8B_059264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15981282:15986149:-1 gene:Et_8B_059264 transcript:Et_8B_059264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISTPTSSSLLAPSHQVGRWTSRSAQPATVSLRRTVLAARAAAGNAPASPVGEFVTELDAVAGFSEIVPDTVVFDDFEKFAPTAATVSSSLLLGIAGLPDTKFKSAIDTALADGECNALEKPEARLSCYLTKALANVGAELAHQVPGRVSTEIDARLAYDTQGIIQRVHELLNLYNQHDVSSERVLFKIPATWQGIEASRLLESEGIRTHLTFVYSFAQAAAAAQAGASVIQIFVGRIRDWTRNHSGDPEIDEALKKGEDAGLALVKKVYAYIHRNGYKTKLMAAAVRNKQDVFSLLGIDYIIAPLKILQSLEESVTDPDEKYGYVPKLTPAIGKTYNFTEEELVKWDQLSLAAAMGPAAEELLASGLEGYVNQARRVEELFGKIWPPPNV >Et_2B_020486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20693219:20697405:1 gene:Et_2B_020486 transcript:Et_2B_020486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YSRIRSSGVAAEEPCKSKRQSHTYHEARFPRTPAAPTSRARRRLFTRSARPCRRRDGRRRDPGGAGAAAAPDGDAVGGLALGRRQRGRLFRVPAVVDRGQSVRPRRVDSLDVEAMSVRGAHGHSNKELSLLSTVAMAFQTLGVVYGDMGTSPLYVFSDVFSKVPIKSEVEILGALSLVMYTIALIPFAKYVFIVLKANDNGEGGTFALYAKVSLLPNQQRVDEYISSFRLKLPTPELERALYVKDCLEKKPLFKNILLFLVLMGTSMVIGDGILTPSMSVMSAVSGLQGRVPGFDTDAVVIVSIIVLLLLFSVQRFGTGKVGFMFAPVLALWFLNLGSIGIYNLIKYDISVVKAFNPVYIYLFFKTNGTKAWSALGGCVLCITGAEAMFADLGHFSVKSIQVAFTAVVFPCLLIAYMGQAAYLMKNPLSVERIFYDSVPDSLFWPVFVIATLAAMIASQAMISATFSCIKQAMALGCFPRIKVIHTSKKVMGQIYIPVMNWFLMVMCIIIVATFRSTNDIANAYGIAEVGVMMVSTALVTLVMLLIWQTNLFLVLCFPILFGTVEFVYLTAVLSKILEGGWLPLAFSSLFLCIMYTWNYGSVLKYQSEMRGKISLDFILDLGATLGTVRVPGIGLVYNELVQGIPSIFGQFLVSLPAMHSTIVFVCIKYVPVPYVPLEERFLFRRVGQKDYHMFRCVARYGYKDVRKEDHGFFEQLLVDSLEKFLRREAQEIALEASTMEAERDDVSVVSDVPQSPSVDGELHVPLLSDQRLVDDNKVLATEGSAPLLPSSSMPTEEDPGLEYELAALREAMGSGFTYLLAHGDVRARKESFFTKKFIINYFYAFLRRNCRAGTATLKVPHSNIMRVGMTYMV >Et_3B_030254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31797632:31805516:1 gene:Et_3B_030254 transcript:Et_3B_030254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAELSRSVGMGGVGATSSDWCSEVDTGGAEVGEELGPWMGDGQTNLCVSRMSLASASAMFPPSNLSHKVWEDPSFFKWRKREAHVPLRSQDTPEGALKYWHERRNVNYLNSHSAVWNDDAVCGALESAALWSKGLPYAKSLSGYWKFLLAPSPENVPDKFYDAHFDDSNWEALPVPSNWQMHGFDRPIYTNTIYPFPMNPPFVPSDNPTGCYRTVFHIPKEWKGRRILLHLEAVDSAFFAWINGIPVGYSQDSRLPAEFEVTDCCHPCDSDKGNVLAVQVMRWSDGSYLEDQDHWWLSGIHRDVLLISKPQIFITDYFFKATLDDNFRLADIEVEVEIDSHKQDREHVSTLSVEATLYDNSGLSDSFDANHLSANAVNLKPKPKSVRSCLGFHGYILCGQIENPKLWSSEHPNLYTLVVQLKDANGKLIECESCQVGIRNVVLAHKQMLVNGCPVVLRGVNRHEHHPRWIRERDPTRLIHYEGGGSRTSSTDIVCPMYMRIWDIVKIANDPSENRPLILCEYSHAMGNSNGNIDAYWMAIDNTFGLQGGFIWDWVDQGLLKDDADGSKFWAYGGDFGDIPNDLNFCLNGIVWPDRTIHPAVHEVKYLYQPIKISLTDNMLKIENVHFFTTTEALEFSWILQGDGCILGSGLLSVPNLPPQSSQLISMESSPWFPLWSTSVAKEVFLSVNVTQRYQTRWAQEGHLLASAQLCLPQKNAFSPHLVSFSRRPLVCERVGDHVTISNNNTWQIRVNSQLGTIDSWKVKDVELMSKGIFPCFWRAPTDNDKGGFFIKPYASRWREAFFDNMSFYSRQFSVKESADNTVELSAVYYGLPGHLAKPGDAALSEASESVLFQVNMLCRIFESGDVVLEYEVNAKDDLPPLPRVGIVFNAEKSLSHVTWYGRGPFECYPDRKAAAHVGVYESSVEDLHVPYIVPGECGGRTDVRWMALRNADGFGLYASVHGESPPMQMSASYYGTEELDRATHVHKLVKGDNIEVHLDHRHMGLGGDDSWSPCVHEQYLLTPTRYTFSVRLCPLLPSSSCHDIYRSQLPN >Et_10A_000384.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:739422:739532:1 gene:Et_10A_000384 transcript:Et_10A_000384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDSVVMTPSATVRMMDEHLRLWTVRASRSTSTEF >Et_2B_020718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2352573:2356187:1 gene:Et_2B_020718 transcript:Et_2B_020718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEIPELALLDPMNGNVIYFFIKEYLFSVNLEEEAVVNFINEACQPLRTRRPVISWELPPNLTNELTNIARSREATAQGLRRRFYCAVCNSEAASESCELCAQRIRRKLSVAKVTELKVPSIGYCLQCHDFVRGSHTIHEGMRIPIDSFNREIVAWVTGNESWSALFNEIESDRCIRPTLGRPFRLYRPSGNNCCVCNDFLLRDELYFTLHKFCTSCLNTLKLALTYLEGEGHDWNAWRAASGLIEVDFDSDLNTFCIPCSRVVKPELGHQRHDCLTIITDSGRRPRVQLDDEHPLAKAWHDIQGSAGGVEDRFDILIKDDISVRCCKCGIRLADSSCKYCSWECSLQRQLLPRQSAEQNLHTDKSAAAAAMHSRHPLCPWAGKPMDLALAKQVFSREPGEF >Et_5A_042948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7570506:7577455:1 gene:Et_5A_042948 transcript:Et_5A_042948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAATGAARENYDASLSKPLLANGDGNFDAGPLATGVVANSPGSNATVKSAVKAKDRYWVDIPQPDAVEAKDLEGGGGGRQLLFRNKKVKEAILFPYRALILIRLIAVILFIGWRIKHNKSDVMWFWATSVVADVWFAFSWLLYQLPKFNPIKRTPDLAALRQQYDLRDGGSLLPGIDVFVTTADPIDEPVLYTMNCVLSILATDYPVDRYACYLSDDSGSLILYEALFETSNFAALWVPFCRKHSIEPRAPESYFQLEGTIYSGRSSGEFMDDYRHVQKEYEQLKVRLERLPTSIRERSDDYNKMKGEKEGAKATWMANGTIWQGTWLEPSENHRKGHHEGIVQIVQDHPSSKPQQGLQVSNVTDEVDAQLPMLVYVARGKSPCYDHNKKAGNLNAQLRISALLSNSPFVINFDCDHYINNSQALRAAMCFMLDPREGENTAFVQFPQRFENVDPTDRYGNHNRVFFDGAMYALNGIQGPSYLGTGCIFRRLALYGIDPPRWRPDDITIDSGKFGNSVPFLNSVLAALKQERHITPPKLDEPFLAQMTMVVSSSYDNGTDWGRGVGYIYKIATEDMVTGFRIHGQGWKSMYCTMEVDAFCGTAPINLTERLYQIVRWAGGSLEMFFSHNNPLIAGRRLHLMQRTVYLNYNIYPYTSIFILLYAFCPVMWLIPEEILIQKPFTRYVFYLLIVIALIHTIGSFEIKWAGTTWLDWWRNEQFFMIASLSAYPVVVAHMIVKLITRGKGVHFRVTSKQTTAKDDDDDRFAEMYEFRWVPMMIPAAVVLFSNILAIGVAMGKAILYGRVWSAAQKRHAALGLLFNVWIMVLLQPFALAVLGRWSKKPGILFVLLPVAFVVTGMCMRTPESYFEHVATPQAGKATLEFLNDYRRVQLEYDEFKARLDKLADTIRKRSDMYNSMGAGERDQKATWMGNGTQWPGTWIDPKENHRKGHHAGIAKVVLGHPSRGQHHCSQPNAESSPSIDATDERLPMLVYVSREKNPGYDHNKKAGALNAQLRASALLSNGQLVINFDCDHYINNSQALRAAVCFMLDQRVVVTLALFSSHSALTMLTQQIAMTRNITPEENKFGNSTLFLESVSKALKQERSTTPPPLDETLFAELERVVSCAFDEGTDWGKGVGYIYDIATEDIVTGFRIHGQGWGSMYCIMEHDAFRGTAPINLTERLHQILRWSGGSLEMFFSHNNPFIGGKRLRLLQRVSYLNMTVYPVTSIFILIYALSPVMWLIPDEVYIQRPFTRYVEYLLVIIAMIHMIGWFEIKWAGITWLDYWRNEQFFMIGSTSAYPTAVLHMVVNLLTKKGINFRVTSKQTSAESNDKFADLYDFRWVPMLFPSLLVLILNVGAIGVALGKNVVYFEVWTTSQKKHAAMGGGWVQHKIV >Et_4B_039744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28343032:28344526:1 gene:Et_4B_039744 transcript:Et_4B_039744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQAVNFAASAVAISEFAGRAVSGLGGRFNKHADADEKLKRLELLLIKIRSAIETSEKHEVENMWLLQWRDKLKEAASEGDQVLAVFRERAGHQQGDASSSSSSISPHGAVSTSALSFTWNALSGMVQGIRNATNMIFCTDEDTEKLNSAVEKLEKLSPDLWEFIRLLQLEIMPKIQHHRAKKRKRPPPPPRVVETQASLPASSHAAPRLSGSGSPQRMSYACRRDRRDGEFAPSLRMEVTWRETMSEIFGIPRQPVEAWIAQTPEHKEYQLWTALQRRLMPAMCRISWAINMAADRDLDDSGGLAQWAAVLEEAHLRGRIAEINVKRNLSKYSINDGEMAAPCADGTDEVESLVRSIEILAGDVECFGSFLVLCPWDPATVSSAYCLLVYNDSFSESQHILLMK >Et_7A_052207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5642976:5645480:-1 gene:Et_7A_052207 transcript:Et_7A_052207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAYVRFSGAVACAALAMLLLLLYAVHRWRNPRCHGGRLPPGSMGLPLVGETLQFFSPDASMDVPRFVRHRLGRYGPIFKTSLVGHPVVVSADEELNYLVFQQEGQLFQSWYPDSFVEILGRDNVGEQQGATFRYLKNMVLRYFGPESLRESMLRDVERAVGTSLCTWATMPPVELKEAVSTMVFDLSANKLVGLDPSKSKTLRKSFFDFVRGLISFPLYLPGTAYYSCMKGRQNVMEVLQQVLEERKRSAQVPGAGGVTEKTRRHGDFLDHVIQELTKEKPLVTDKMALDLMFVLLFASFHTTSLAITLAVKLLADHPHVLEELTMEHDTILKDRGADRESDGITWTEYKSMTFTSQVINETVRLANIAPGIFRKAMKDVQFKGYTIPSGWGVMVCPPAVHLNPDIYPCPLTFNPSRFKDKPEINRGSKHFMAFGGGLRSCVGADFSKLQMSIFLHFLVTRYRWKTLGGGNTVRTPGLEFPDGYHIQIRQRD >Et_1B_011409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21972108:21975399:1 gene:Et_1B_011409 transcript:Et_1B_011409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLLGARGTTAASHMAPATMAPQTRRSSGQAVAADAAQGPATDLAMNEGQEQVAAETIPGGRQQQRRGRGVTINEKLAKLRARGTRIEIHFASQFGKVCGRHASVFKSEVTVCIRQEAPLRVMKWREMDNASISAMWNFLKDKFPEISPEDEQIVMRQVERQYNVRRHRLYKTYRTTGQRPSDVAPEDWQWLIDNLWSNEKFLNRSRQNSQNRAQQEMKSLVGTKSIVQIAYDLRNPATGEWPSAMDVWKAMYQKTDGTWSVPNGEEILTNLHAVAETEQERIASAAVPLAEHFALVLGRKPNHSRGVGVAAVNQGAQERHRLHAQAQIAREHADNAREQAAALEEEVQRLTQANMQLRDDMESQREELASQRRTVEAQNADMERLMDQKLEERMNALARIAARTISSPNSTSGTTPCKLTTLMVSHPIEQMHVAMGADRFSGDGGAKSF >Et_2B_021665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4180047:4187160:-1 gene:Et_2B_021665 transcript:Et_2B_021665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAVASRALFALLLVAVAVADDACCQPLSADIPSAKSSVHIPNICSHFRVAVHYANPAGLHSGSTLLEIKKSFRNVGNVLYDWAGEDYCSWRGVLCDNVTFAVAALNLSGLNIQGEISPAVGSLKGLVSIDLKSNGLSGQIPDEIGDCSLLRILDLSFNNLDGDIPFSISKLKHLENLILKNNKLIGAIPSTLSQLPNLKILDLAQNALTGEIPRLIYWNEVLQYLGLRGNRLEGSLSPDMCQLTGLWYFDVKNNSLTGAIPETIGNCTSFQVLDLSYNQFTGPIPFNIGFLQVATLSLQANNFTGPIPSVVGLMQALAVLDLSYNQLSGPIPSILGNLTYTEKLYMQGNRLTGQIPPELGNMSTLHYLELNDNQLSGPIPPELGKLTGLFDLNLANNNLEGPIPDNLSSCVNLNSFNAYGNKLNGTIPCSLRKLESMTYLNLSSNHLSGPIPIELSRINNLDTLNVSYNNLAGVVPTDNNFSRFSPESFLGNPGLCGYWLGSSCRSSSHQEKPPISKAAILGIAVGGLVILLMILVAVCRPHSQPVFKDDSISKPVSNAPPKLVILHMNMALHVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYSHYPQSLKEFETELETVGSIKHRNLVSLQGYSLSPVGNLLFYDYMENGSLWDVLHEGPSKKKKLDWETRLRIALGAAQGLAYLHHDCSPRIIHRDVKSKNILLDKDYEAHLTDFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHMIISKTASNTVMETVDPDIGDTCKDLGEVKKVFQLALLCTKRQPSDRPTMHEVVRVVDCLVNPDPPAKPAQPTAMPQPLAVPSYMNEYVSLRGTSALSCANSSSTSDAELFLKFGEAISQNTE >Et_3B_029901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28965526:28974973:-1 gene:Et_3B_029901 transcript:Et_3B_029901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPCPPHHHPSPPSRHASLRSALLHSLLTSFLPPSLPPSRVSSPLLCSSLLACLRCQCTARELYISSKAASASTSNSAAATAATKTDEAMNAFFTSLAHGLDELGRAGGLTSLPALLRAAALLRGLHSQLTLMVGQLHLPPGGRWLDEYMDETARLWTPASPSSSASPPSSVTVRRHLAPPPLWRTGSRTPPLSLLARAISASRREAMAAEEENRALADSRDRAAVAAARREAAAARHGREAHRLQRLPGAPLRAAQCELPPPAHPRPRRRLLRARSACARGGCADAGVGFMASIATLQQRMAEEEADAPAGIKMCEFRCARAALEAARERWSAPPRRAQVRGRRRQGQGGGAQGVAGRARTGTEGLVCQLDDFLDDICTARELYIASKAGLCFSLPTVAAATAETTKTDEAMNAFFTSLAHGLDELGRAGGLTSLPALLRAAALLRGLHSQLTLMVGQLHLPPGGRWLDEYMDETARLWDACLAVKLGVAAVERYCAAASCAAAALEDWLQDPSPVSTRQVMRAISASRREAMAAEEENRALADSRIAPLSLQLDERLLLRATDARLTGFNGFRGLLYALHNASSLLLLILARGAVSCSRAAGPCAAGGCADAGVGFMASIATLQQRMAEEEADAPAGIKMCEFRCARAALEAARDEVERAAAAGRKCEDGGVKDKVEELKAWLDVLRTGTEGLVCQLDDFLDDIVEGRKELSDLCSH >Et_4A_032833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14448886:14449895:-1 gene:Et_4A_032833 transcript:Et_4A_032833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IINWMQSRFNGKAENRRSEGSSSHVSVISNGVFAMLNVRFPALELGVIVQESYQVIHEAEKHLNVEQWPQAGFLSIGTLGNDEPPVQEQDLPEFTVEEVKKLQDALAKLLQRAKSKSSTCSSGADEDSQPLDRFLNCPSSLEVDRKAQSKNAEGDGDLSPDTKIILIKARDLLSDSSGSGIRKKSFKFLLKKMFVCHGGFAPTPSLKDPVESRMEKFFRTVIGKKINARPGRSTEVSRKYLEAGPKGKRQRDRRCGPEDEEGEERCRWDRTDSECTSICYSLLINAANAKLFI >Et_3A_025183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27680903:27693487:1 gene:Et_3A_025183 transcript:Et_3A_025183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDNKTAISVNTALVNMAFCSSFHSPLASSLLLCFCMLLLGVHGGSRTLYIVYLGDVKHGHPNDVVASHHDILSSVLGSKEDSRACMVHNYKHGFSGFAAMLTEDEAKQVAEFPEVISVRPSGWHTATTTRSWDILGLSYQMPSNLLNKGRYGEEIIIGVVDTGIWPESRSFSDEGYGPVPSRWKGVCQAGEAWDRNNCSRKIIGARFYSAGIEELADKTEYLSARDAQGHGTHVASTAAGSVVEAASFHGLAAGVARGGAPHARIAVYKSLWKNKAGRGQGTTATILAAIDDAIHDGVDVLSLSLGGSDDFSIAALHAVQKGITVVYAAGNDGPIPQTVENTAPWVITVAASKTDRSFPTVITLGNKQSIVGQSLYYQPKNSSRSSFRGLENGGLCTASDLNGTDFKEKIVFCQSPITLPTNGPFEFFGAAYQNVLVGGGSGLIFSQYMTDSVDHTAGCKGLPCVLVDLNTGKRITNYIADASSPKARIEPARSITGDKAMVPKVAAFSSRGPSSDYTIIKPDIAAPGSSILAAVRDSYGIMSGTSMAAPHVSGVIALLKALHSNWSPAALKSAIVTTASVTDEHGMPIMAEGLPRKIADPFDYGGGHIDPNRAADPGLIYDIDPRDYNYFFGCTITKISASCNGTSVPGYNLNLPSISVPDLRYPVTLPRTVTNVGEVDAVYHVEIQSPPGVKMEVEPSVLVFNAARKVITFQVKLSPLWRLQGDYTFGSLTWRNGQKTARIPVAARMTIHDFYADRPRYYFVFACCYWEYMEDLARHGHPNDVVASHHDILSSVLGSKEDSRACMVHNYKHGFSGFAAMLTEDEAKQVAEFPEVISVRPSGWHTATTTRSWDFLGLSYQMPSNLLNKGRYGEQIIIGVVDTGIWPESRSFSDEGYGPVPSRWKGVCQAGEVWDSNNCSRKIIGARFYSAGIEDFVDETEYFSARDAHGHGTHTASTAAGSVVEAASYHGLASGFARGGAPHARIAVYKSLWKGKDGSSGGTTAAILKAIDDAIHDGVDVLSLSLGGTDDHSIAALHAVQKGITVVYAAGNDGPIPQTVENTAPWVITVAASKTDRSFPTVITLGNKQSIVGQSLYYQPKNSSRSSFRDLENGGLCTASDLNGTDFKGKIVFCQSPITLPTNGPFEFFGAAYHNVLAGGGSGLIFAQYMTDSVDNTANSDGLPCVLVDINTGKIIRNYIAGSSSPKARIEPARSITGEGAMVPKVAAFSSRGPTSDYNANIIKPDIAAPGTSILAATGSSYGIMSGTSMAAPHVSGIIALLKALHPNWSPAALKSAIVTTASVTDEHGMPIMAEGLPRKTADPFDYGGGHIDPNRAADPGLIYDIDPRDYNYFFGCTITKISASCNGTSVPGYNLNLPSISVPDLRYPVTLPRTVTNVGEVDAVYHIEIQSPRGVKMEVEPSVLVFNAARKTITFQVKLSPLWRLQGDYTFGSLTWRNGQKTVRIPVAARMTIHDFYADVA >Et_8B_059583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19544606:19553090:1 gene:Et_8B_059583 transcript:Et_8B_059583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVLLLLLAPAVHIVGQPGLSIHSLQCIARSCKMIRCPFTSTLITRAYMGADFLSIDCGLPANYSGYTDARTGVFYVSDAPYVDAGESHKVAAEFEARWANYRHFLTLRSFPSGVRNCYSLPTEAGVKYLVRMQLAYGNYDGKNSWVEFDVHLGANIYWGTATANPDNSYPYEAVFVAWASWAPVCLVNIGRGTPFMSSLELRRLPGGLYPPVTASQSLSLYSRQNWGKNNEYPEDPYDRFWWQMGATNPLWINRSTTLAVQPDPSFVEPLPILQTAVEGAMNDTTLTLQIWQEKRKLYSFMVFLHFADFQNTQLRQFDIFFNGNRLGSSEKLYSPSYLSASCVYSSTAYRSTDSNYNITISATANSVLPPMINAIEIYAVIPHESPTTFPNDCELSAYGLVDAIMAIKFEYGVKKNWMGDPCFPTNYAWEGVKCSNKSDSTMRITSLYDSAEHICNRTVAPSRNKSAIIAISITVSVLLVVVPLAYFIWRKKRNPDVITYDPSTTDKQLGNDSGSRKRQGKHLQNTENRQFGYKELEKFTNNFSKLIGQGGFGLVYYGRLEDDTEVAIKMHSESSSHGRDEFLAEVQNLSKVHHRNIVSLVGYCWENDYLALVYEYMSQGNLSDHLRGKNGVSETMNWGTRVRVVLEAAQGLDYLHKGCNLPVIHRDVKTSNILLGRNLQAKIGDFGLCKSYLSDTQTHITATAAGTTGYIDPEYYQTGWLTESSDVYSFGVALLEVATGEPTIVPGHGHITHRVKQVITTGDISLIADTRLGGAYDVRSMWKVISIAMMCTADAASERPNMAAVVVQLTESLALEEAREGKTARALGPATGVI >Et_3B_031568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4807642:4808179:-1 gene:Et_3B_031568 transcript:Et_3B_031568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAWHPQTGSYGKPPTPLKMTWTEVENSGLVAISLLALFDLHSFLYSKLKHWVERHGEQKWSVIARDLPGQTGKQCHERRMNHLHPDIKK >Et_1B_013263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6922161:6925015:1 gene:Et_1B_013263 transcript:Et_1B_013263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAYMEGGQLGTCFPSFVLPDHFGLSFPSPLHLPTSNPKRLLQMPFDQGEAGNHGVILPSDQCGLYPLPALPFGFSGAAAVAGSGKPTGGFMPSVEEVSSVNKVSYESNTCNGSSTWWKGSNTMAERGKMKVRRKMREPRFCFQTRSEIDVLDDGYKWRKYGQKVVKNSLHPRSYFRCTHSNCRVKKRVERLSTDCRMVITTYEGRHTHSPCSDDASSGDHTDCFSSF >Et_2A_014748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11645107:11645619:-1 gene:Et_2A_014748 transcript:Et_2A_014748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKTQIALVVATAFALAAIVSAQNTAQDIVNLHNKARAAVGVGPVVWDPAIAQYAQDYAATRANDCLLQFSYGPYGENIFRGPTWKAWTVVDAVQWWVDQRVYYHYNTNTCDPVWRQTTRIGCGLVQCLKNLGTFIVCEYDPPGSFQGQRPFAALP >Et_9B_064939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:245616:250186:1 gene:Et_9B_064939 transcript:Et_9B_064939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGFRLCQRVHAAGDPRRIGTVRYLGPVDGHAGDWVGVDWDDGAGGRHDGSVAGRRYFVAAGECSATFARPTALSKGITLSDALRLRYRVEDFTKEEEDEMYVFSTSQKRVSVELVGKSKVQEKLKNFNELSSASVAYMGVSSIGSPDDLKNLVPNLRLLDLTGNLLSQWQDISSLCQALPSLEVLNLANNTMENDVIESPMLENIRILVLNNCGVTWELIEKIKVSFACLNELHLMSNQLKMIMTPDGKFVQGFSALRLLNLEDNHIDSWDEIVKLSYIRSLEQLHLNKNRLKHIKYPSDLASPGPLGDAAAVPFENLQGLLLGSNEINDFPSVDSLNLFPSLTDVRLSDNPIADPAKGGAPRFVLVARLGKVKTLNGSEVSPRERKEAEIRYVRLVMGKESNDPEEIKRLHPRFAELKAFHGIEDEKPTSSTSSPQKMASGLISITLKCVGPSMGEKQPLTKKLPPTTTGCPLPQLLEEDMASLVELGIGSGTTIVVDEES >Et_3B_028246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12187853:12188550:-1 gene:Et_3B_028246 transcript:Et_3B_028246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTSEGDEYSHEISPASTLAALSSLLYELNYAFAGTPVFLAAAVLSMPESPRCAPAHLAHPTKGLGRPSPRGDEASCRQGCADRQQRRFERADRSTIIAELARRILLSVLWHRGCRALQPTGVQESRHGLLKRHGSRRHHGRRVPRKKKNGRRVGQDVLHFILWQATLFSDRARSSPPSPSSMANELACASWRS >Et_1B_014348.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6466690:6466977:1 gene:Et_1B_014348 transcript:Et_1B_014348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVYRRTTGAVTSCWGRFGLAALWRRLRLISLPRRHYRTHILSAGGLNYDSLSYSQNFDDGKVCECGPDFLARFAAARHGSLTWPVAVATSVNC >Et_5A_042291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8368997:8369290:-1 gene:Et_5A_042291 transcript:Et_5A_042291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRNSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRR >Et_8B_059171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14821128:14823221:1 gene:Et_8B_059171 transcript:Et_8B_059171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQHAKVMALTQKGDAIPYCNGRTCNASQHSDLVTIAQYCNENTHIATLLSRGARVVLKPRAAHFPIADVFVGQTVTDVSARLTSAILAASWPPLPSKGVVRAGTGLDPGEDLVLKRSNVRRLERCQIEVAGCCRWRTALWWWRGAGWDTSCSETRTARRRRGGTGDRLLPKDCSSTVRTQDRSRLGDHGSPLCSKEAVLMTVTCVSWMLKRRFSAQSICATIMRSPFAKHQITPHVCILVSGVLKTFDERVGKVSSKWQLHGGRITSIDFNPENTCMLATSSVDSTACLWDLRNMKSLEPEKLKAVKHKKITTHLLLYK >Et_9A_061746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1630178:1633664:-1 gene:Et_9A_061746 transcript:Et_9A_061746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSSAADRPGTAELAVEIVSDEEMALIEAALAAAAARALLSSAGRRGSQLSCAAYSTAGPSGDIEDSPPQRRPLLARFRERRALAVTDITATEWCDKQMEFVLEHGKPERTEAMKAGSIRHAQLEQEVVERVDIAIRSAEESWAVKFMNFIVGTNQLLLYGMTREIPVIGFVEGSWMVGVIDEIRVPLDDISFHPILVDTKTRFKPTVPSEAQKRNGRLQLMCYKYLWDNLISEKFPAENFFTYFDLDPNYLLSDDVKWYIRSLGFNAKTFEDVLKYFKASCHTLSRSQDQLLLRYELQADHSLLEEYWFPYDARWFKDQIQEVVSFWQGAREPKFVPEDERWKCNLCKFASNCPMIASPISTLGGPECRRIGDADAFPAWKDSLFLTDPAEPPFSGVSEPLVTADAWRRDLALADSVGTM >Et_5A_041235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:200410:208555:-1 gene:Et_5A_041235 transcript:Et_5A_041235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAGDVPTMYTVLVNSLSADEAVRRPAEAALAQCETRPGFCSCLLEIISARGLACREDVRLLATVYFKNSINRYWRHRRDSYGISNEEKDHLRKNLLLNIREENSQIALQLAVLISKIARLDYSKDWPDIFSVLAQQLQSADVLASHRVFMVLFRTLKELSTKRLAVDQKNYAEITSHLFEYTWNLWKSDVQTILQNLSMLSQRHDIDSILEQSNDLILICDRWLLCLKIVRQLIFSGYASDSRTAQEIWQVREVCPTVLNAIKSLLPYYDSFRDKQAKLWDFAKRACIKLMKVLITLQGRHPYSFIHQTVLPAAVDFCLNMITNPEQSGTSFEEFIIQSMVLVKSVLECKEYRPSPTGRVINENAQPLSLEQRKKNFAAVACDMLKVVLPGDRVILLCNILIRRYFIYTAKDLEEWSENPESFHHEQNLVQWTEKKRPCAEALFIIIFEKYRELLAPIVVSVLREAMAVSPPLETDITAGMLLKDASYTAAGHVYYELSNYLSFNEWFHGSLSIEISNNHPNMRIIRRKIALLLGQWISEIKGDTRKLVYHALVGLLQDNDIAVRLAACSSLCYLFQESSFSEVDLFECLPTCWTMSFKLIEDVQEFDSKVQVLNFISVLLEHAGDKVIPFATQLSQFFQQIWDESAGESLLQIQLLTALRTFVSSLGFQSPLSYHMLIPILQSGINIDSPDALNLLEDSVLLWEATLSNAPSIVPQLLDLFPCLVGIVNRSFDHLEVTIKIIEDYIIFGGQEFLKSHGASLSNIIDTIVGNVNDKGLLAALPVVDLLIQLFPLEAPPLISGALQKLIFISLSQDDGHNPSRATVRVSSGAIVARLLVMNTNFSAQLLSEPSLSTSIQQAGISVNNNLLLSLVDMWIDKVDDANAVQQKEYALALSVPQVIDKLDDILSVCTTVIIGNRETKTEEDTGGDITSSSWLGNDSSGYSNTSSKELRKRQVKDSDPIKQASLENVLRENLKACAALHGDAAFNAAISRIHPAAFAQLQQALNTA >Et_3B_027764.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18149191:18149394:1 gene:Et_3B_027764 transcript:Et_3B_027764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTFSTSSPTLFSSPPPTRLRSSSATATASWSRSTGPGRQPGPGYGRRQLGSQQCCSACVCRECSW >Et_7A_053008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4614753:4617020:1 gene:Et_7A_053008 transcript:Et_7A_053008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSCCADEQQDAGPSMERAFEGEPVPSRSETITARSVAVSVVLGVTLSVVAMKLSLTSGFLPTLAIPAGLLGFFLSRAWVRLADRLELAQLPFTRQENTVVQTCVVACSCIAYTGGFGSYILAMSKNAAEGGAGSDGINVEEPNVGRLIAFLFLTNFAGLFAILPFRDYFIMRHNLAFPSGTATAHLINTVHTPHGAKQARKQVSALFKTFAGTIAWSVFQWFFTGGDGVGNCGFQAFPTFGLAAFRRGFYFDFSMANIGVGMLSPYKITISMLIGSLFSWGVLWPRIEAQEGVWYPKGLDEHNLSGINGYRVFTGISMILADGLVHLLCILVRTLCAVYNARRQRQQTHQPLRCLSAEYGGAGDRTEARICSFDDRRRAQVFLRDRVSNPAAVLCYVALAAVSVAAIPRLYPQLAHRHVALAYLSAPVLAFCNAYGNGITDMHVATTYGKIAMLVFAYWVGIENGGVVAGLVAGGIFVSTLAPAADLMQGCRTGYLTLTSPHTVLISQLAGTALGCVVNPVVFWVFYKLYYDGDVDGPDTVPYAKLYRGIAMLGSGERGLPHLSGHLSRIFFVAALAVAVLREVAARRRWRVGRYFPSTIAVAVAFFVSPKMPIGMCAGSVVMYLWKRHDRDCARVLSPAVAAGLICGDGFGSLLSSVLAVFKARAPICIMFLSRDVNAQLDGFLATLHKT >Et_7A_052702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13058137:13061892:-1 gene:Et_7A_052702 transcript:Et_7A_052702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAADLTLLFIWALSQAPMWRPVTRAAFPDRLLRRIRRGRSSLPGVDVLVVTADPEKEPTVEVMNTVVSAMALDYPAGSLAVYLSDDAGSPLTLLAARKAYAFARAWVPFCAKYQVQCPCPSRYFAGDDDRDGGDDDRHGFAEDKLRIKKMYETLTEELEEAKQQPLDASGTKADHDAYVEIISDGDEAGGDEDEDTSSMPLLVYVSREKRRTCPHHFKAGALNVLVRPSFLNSSIGAPVIAFSCKTLRVSGLMSNSPYVLVLDCDMNCNSRSSALEAMCFHLDDLPDKKQPLGFVQFPQMFRNLSAHDIYANELRSIFSTRWKGLDGLRGPILSGTGFYARRDALYGATPSPAPQGKVPSQSCSAANEFRSMEANELERRFGRSSDLAASVRSLNDDPASASSRHRRGHEEEEDAARLVARCAYETGTAWGHEVGFLYQSVVEDYFTGYRQLFLRGWTSAYCYPNAAPGPSRPPFLGSVPTNLNDVLVQNKRWMSGLLAAGVSPKHCPLHSVSLVDAVSTLQSMSFAYYAFAALYAFPVLCYATLPQLCFFRGAGDVQLFPNTAASVAVLASSALQHLVEVSVAKRGRVVVVLRTWWNEQRFWALNAVTGQLFACASVLLDLLGVGAIDFELTNKAASDGELYRDGVFDFTGCSVLLLPATTLSVLNVAALVGGTWNNVINGNDRGGFFPSGDVFPQLVLLCYGAALSYPQLEGMFLRQDAARVPVRITAASVAIAAAMLAMFG >Et_5A_041313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20992035:20995479:-1 gene:Et_5A_041313 transcript:Et_5A_041313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTRAKRRRLEEESRRPELPPRGEGGEEGPDLISRLPDEILQSIITLLPSKEGSRTQILSRRWRPLWRAAPLNFDAVIAVGVMVDKQVSNILRTLQTHQGPVRRFTLTSHYTYVYDRSFFLDSILRSPRLNHLQEFEWLCEEICGPSPPVPQPVFRFMPTLKVLTIPAFRKVLTFPSEISSTLSFPHLEQLTLRGVNISERALHGVLSRCPVLEALVLDWTRGYRLLRISSQTLRSLGISDCRKCEDGRLEQVIVDHAPLLERLIPCSIHNDDLVIRIIQAPRLRILGYLTERIATFQLGTMVFQKMTPVSQCYVMRTVKILALAAAPSLDFIIDYLKLFPCVEKLYIMAFSQGDFKNNAQRNVSLECLDLHLKTLQLINYRGTMSDVNFIRFFVSNARVLEYLKLFVRRDKCETKWIATQREKLWLSTRADKDIRLDFIANYRASAYVYIRYIKDMGTYDPFNKSLCRCCSNDVVSNCRGQWTGLLPSMPTHWCTRYSCNSPSSFWLHSTLGADPVASVTASRILLSSYMFSLDGTIPASTSWSIYLCVITGIEEDAGEVEAGLEVEGGAEVRVLVHVGAGAVLVPLFTPEVHGVPVLAR >Et_10B_003255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18245291:18247992:-1 gene:Et_10B_003255 transcript:Et_10B_003255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGDDALARKRNKVRRKRMRNSENAVSERVAAIIASKRRRKAGKRRGCEGMCFSLPTPEDPFNDRHGKKRKVEEPPADVAVAEDDIPKKTKKDLNAKKQPSAKAGAKTVTKAQAKAMRLQETEGKEGRVDYDRPSKFLVVCLNAIQDALAPEDGGGIHGAGDWGIELWRSCSATAPCHVLDTSGPCATLEQTAWLVSTACDIVARKERLGMVVSCPFLLYLVPSKEKAAQVRSICKPLKHLGIHSVSLHPGASIEHQVSGLKGCEPEFLISTPERLLELVSLKAIDISSVSMLVVDGMKSFMDLDVSDKLNSIRNAILSKPQIAVFSDPCDKNVSTVIRNIVNGRITRLCINDSVPSRSAFISQYVHFCPSEKKISKVKEILEQILKGHGKKTSKVLLVAASDRKAWDLLTSLKLENGAVIPNDSHGHSFTICSSVGLMNVHVKDRDNLVTADLEEFETVLVVDFPPSVDEYAEVLTSTARHAVIGEVHSIFCNTDAPLAKPLAELLANCGQAVPDFLKKLEYS >Et_7B_053832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12603028:12604944:1 gene:Et_7B_053832 transcript:Et_7B_053832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRQKVPNSGWAAFDRRWRGKDGRGDENDVSSFPALSDSGTPMSSSSFVTENSRANPKPFASVVRPSADFAAVDNASGNKQSANHVDDGNYGASSSSDNKIKLLNDAHSWADSNLIGDVLAAVNGDVGQASDLLKAMVSPDFLKGDGKACDQFASEMNKDNPTVDNRHPDGSHLLPLPMNLCSIPMEPELEEPDDDYLNHRKDALKMMRAATRHSQAASNAFMRGDHAAAKELSLKAQEERAAAEKMNNKAAEEIFRLRNRNNDLWKIDMHGLHASEAVAVLERHLHMIEFQSSRTSVTSSDELAKFEAAYSESTTGSNIESTTGKVVLHRPKQAILHVITGIGNHSKGQASLPVAVRGFLIENGYRFDEQRPGVFAVRPKFRRR >Et_8B_060242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7849193:7851475:-1 gene:Et_8B_060242 transcript:Et_8B_060242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSLIFLALVSCLLLRSACKGSPALSEDDDIAVDRVLKMLNKPYVKSFKDEFGVVFDCVDIYKQPALDHPLLKNHTIQVTNYKLFFSPLEATYFTEPIRFSRQESCPDGTVPIRRTLKQDLLRSYTHLGRSILNHEFTRVEGQHLLITSEKGSKFQDAGGVLEVDALYVQPGQISLAQIILVDDSSDKVGCVQSGWHADDYQTTGCLNMLCPGFVVTSPTATPGMVFPFGTHIGISMSKTESGDWFLFFNQESVGYFPKQLFNNMDGATEIQFGGITYAPPGQKSPPMGNGVAPSSEHSTAASTFTQLGAKGANVAKKWVTKDVSDPAIYNVVLTSDSNTGPQGNAFQYGGPG >Et_1A_008017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40108651:40109022:1 gene:Et_1A_008017 transcript:Et_1A_008017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMEEILKLLVLALAFTTLQVWGEQDCYDEKVLVKEKCIKTLKNWAPYVPPNQPCIRADEIEISAFKTVRLARECHKPVPVGSKCGSKLLVILLAWFVTTYLWGII >Et_3A_024177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18115310:18118361:-1 gene:Et_3A_024177 transcript:Et_3A_024177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSDQLELPFEGILDYRKLNLAILSEFNVMLMSHHCKRELGTKFQKFWNCNEFLVNLDKEKK >Et_6B_049206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:195627:198103:1 gene:Et_6B_049206 transcript:Et_6B_049206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRLRTSAVLRRASKDGGVLAAIRAELAHELSSSAPSSPPSFDSQEIPDFATVSDAPKAQDVLLRRRTDSEEVLVSALLAPLQFADQEPLPRAALMKVFVTKPGAKPVLHFDCRASLVGEDGGAADYAISNFRYHKVLGDAGEGPQFRELDPRLQAALQQYLVARGVNSNLASSILNHLLQKECTQYVNWLKTLEERFTNKDS >Et_2B_022959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9465507:9466175:-1 gene:Et_2B_022959 transcript:Et_2B_022959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRHDTKTLTHQHDTKSGPRCPTNAGAPPRLFSSSSGCTIIPATPPPAAPPIHPLHTHPTAHASAETPATPSAPDAASAAAASAAASAAGASTGLKSSRSGAASAAPASVAAMASPARPRSDAPTRTSSAAAAAAGARGLAAPSAVLKSSPRMRRSGS >Et_1B_010746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13611872:13622748:1 gene:Et_1B_010746 transcript:Et_1B_010746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCRSPGRDSRGELGMTPRSPWSSRSLLCFMSHAASLPGGTARRGRAPTTPKAASTCWPCHAAVATAAVMTVNGFQKGEEGGPSECDGAFHSDQDLLVALSSRWYANGARCHKKIRVTSTRNGRSVEATVIDECDSRHGCKHNIVDASKAVWEALGLDTNVGEFPVTWMTQDEAINDGTLTPGRFPLHTSNAQPFKK >Et_8A_057282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23131652:23135483:1 gene:Et_8A_057282 transcript:Et_8A_057282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRQPSEEPEEQLDLEGDDDVMDDDDGYRRNRRRADSEEEPEEEYEENDESQGEGDGDAADGDEAAADGGGDEMDKEGDDGPEDAEEKKKWDELLALPPHGSEVFIGGLPRDTTEEDLRELCEPLGEIYEVRLTKDKDTKEIKGFAFVTFTDKDAAQRAVEDVQDREYKGRTLRCSLSQAKHRLFVGNVKTIYVKNLPENVAKEKVKELFEKHGEVTKVVLPPAKAGHKRDFGFVHFAERSSALKAVKGSEKYEIDGQALEVSMAKPLSDKKPDHSHRPGGGPSYPRPPYGGGHMGDLYGSYGGGPAFNQPMIYGRGLAPAGMRMVPMVLPDGNNLVECPLRLRLGGVTEGTAAEVAMGAIVVDIPKTERAMLNARPLMDQVGVEQTLASVYTIPGRQKYPCSGAQCESSEQTQSPSMAQPLPTTMGRLPLIPSSWSLHSLEKMPSGSYLSLTLRNSPALGYLAMAPSKA >Et_4B_039235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:914216:921858:-1 gene:Et_4B_039235 transcript:Et_4B_039235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTGWLTTTSATLVVAYSLCALVAMCAGFPGGYGYGRLQPNFYASTCPQAEAIVREEVVMGLHADIGFAAGLVRMHFHDCFVRGCDASILLESTADNTAERDSPVNNPSLRGFEVIDSGKARLEDVCPGVVSCADILAYAARDSVALSGGPRYDVPGGRRDGTVSIVSEVDDNIPAPTFNLDQLTQSFAAKGLTQEEMVTLSGAHTIGRAHCTAFSDRLYNFSATADADPSLDPAFLAQLRHACPASSADVDPGLVVPMEPHTPHALDTLYYAGVLHSRGLFASDQALLTSAPTAAQVRQSAYGVYPWKSKFVAAMLKMGQIEVLTGGSGQIRAKCSAWTKRFQEAERLVDDVAERIAERESVPPSLPREQQRRTAEIRRKVTILGTRLDMLHEDLSDLPKKQNISLKQLNKLAEKLSGLRSKATEVGGQFMLKYSTDRNDSSEKWAKIDVNSVADMDNREVVDLQRQVIREQDKNLEILEETIVSTKHIALAINEELDLQTKLLENLEEGVEDTSNRLQRAQKRLKVLNTRMRKGGSCLSLLLPVVAVVTCVAPPPSTTCNACAVARPVRALFGYEVMAVRLVGSVVAVAMLCSLGAQAQLQVGFYDQSCPQAEVIVRDEVGRAVSANPGLAAGLVRLHFHDCFVKGCDASVLLDSTANNTGEKDSIPNKSLRGFEVIDGAKQRLESACPGVVSCADVVAFAARDSVVLTGGSPYRVPAGRRDGNVSAAADAQANLPPPTADVAQLTQAFAKNGLSQDEMVTLSGAHTIGVSHCSSFSSRLQYSNSSTSQDPPLDAALASQLAQACPPGSDNTVAMDAGSAEAFDTSYFQNLLANRGVLASDQTLTTDNATAALVAQNAYNMYLFATKFADAMVKMGGIQVLTGSDGQIRTNCRAVN >Et_5A_042488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15142761:15163839:-1 gene:Et_5A_042488 transcript:Et_5A_042488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFEVFIMLHLGISKATADGNLLSCPIMEVSIWDIVPVPVAGLQEHKATRQKWPKTRSKKLFSQNGKNAKKEKESRLDTLSPWHSPQSAPTTLRNQPHPETCKKPNLAAEPARPPRPIGSGAPTLAARSSQAATSPVASQSVVVVGTVPCSLRSDTNSTAAAFRNRGYHGQPFPWESFSRRMLFFNRNILHSHYHNHHLNLRGAIPTPPPTGTTLLPALSHPPPPAGVVPFVSTSYSATPHSITDPDEHDNVSVDDDDNAEPDRTARRLNWTEVEDLRLICAWLNNFNLNNWKKNGVHWPSVAKAYNSSTPKDRRRAPKLLKPHWQNINKKIAHFYDCWCRVEAKHSSVQSANMQLMDKTWAMYNEEALAMYREEAKHHFTLSHLWKAVWDQPKWKSYIASLNLKESKLSESEDYLSSSEDADDGPEKERGVEDSIPAKKKQEGEGKVSSPSELQEDNQCSVHFQNTLEKNNHEDVIEVNVPHSDQKPELVRSNQLGRKDTQTVTSERRTDFFMAGTSRFHELKHGKEEPMVDTSRFNEFHHRNAVGDDVPEKRTRPKGSKTLEHARTVKGDPEKEAHPQSSKMAKAKRKRKGSTSSPSEVQEDIKRAVDLQAMLQKDREKMSEVQLRLSKEKLELARLKQQEAKDKKETTLYEKYTELLMADTQRFNEFQKEEYQKAVKRMGEMLFGVIRRNGKWVLDVLHTAAWAWCCREVLAKW >Et_4B_037281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17674278:17675236:1 gene:Et_4B_037281 transcript:Et_4B_037281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEDIIKEFLLLQEHIQQRKMIKFSGRMPATLLHPHTAYSLDRQSNSTGQKKIWRAKAEEKCIFFAWMAILGKSEEQCKEFWYCFRYRSGRLTMGAMVE >Et_10B_003699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5044462:5046983:1 gene:Et_10B_003699 transcript:Et_10B_003699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCLHVVCENAASRMDCSSALIKKMPSLQKALPPELADNVLRLYRECLRRAKFIGHQKHNTELLVTMVREQFKKNMHETDPEKIQKMKDDAARGLINHILYESEKMTGRKFSESGKVIHNKWHCIKEIEELCGKDTNEVEEFIDRGRANEMWPGNWDRCRNCLQRMAHYLLSAGQMMGKEHTPFLEHSIH >Et_3A_024230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18680199:18682559:-1 gene:Et_3A_024230 transcript:Et_3A_024230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGYSLEKAQEIEDAVYERNCKLNEEWWELVKNDITPSVLTPLRVLPKITADYATGYKCYHLRYWTPITSQTNPSMQVFSLSLSSPLAHPVNIYGTFAVRDGLEPLRNYLFNRSRDGPATISPGCSFLPLCSPSRGIYVLQHILIDIDLWIKEEGDASADKLLFCGYVELDTSVAGFETKLGGRFQGDCYGLDMHFSFWGDSIETLIEVIAEADHPSDVKMSALTSGFDDEIVLYDGTFSGGGVMSKHFVAVKKLEQLHVLMKLDGSVYTWSFQAGVGVTVSPEQLLGQEAKQLQLGSGVASATMYEWRRRLLKAAGG >Et_1B_012797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35101417:35106585:-1 gene:Et_1B_012797 transcript:Et_1B_012797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPDIPSLMDMMILMEVAVVRAPFRSLPCAAMASSALAPSRTFHCRSLPSSAPPHCSRETCTPCCLPGVTRRRAAAQLLSAGFLTAISPPPPSLAARRGRKVVPPEDYVSAPDGLKYYDLVEGKGPTAEKGSTVQVHFDCVYRGITAVSSRESKLLAGNRSIAQPYEFIVGSLPGKERKRDFADNANGLYSAQAAPKPPAAMYTITEGMKVGGKRRVIVPPELGYGKRGMSEIPPDSSFEMDIELLEPSLQVFPLEGHGKNSSATRRGASHRRPQAAIELQHGQLVEQLLGLLNICMCNTYKNMQGIEISLDIYISCIKFRIMLKNM >Et_9B_065218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2582322:2583816:1 gene:Et_9B_065218 transcript:Et_9B_065218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLSQFKVSKTSNRQLIWHRLPPISSPILWKAGCIRSLASVVLVSFLPKDHTALADAINQLIPTSSSEPAEVARERMEKNMEESKIERCATGFVLEGAPEGEVNVLTCAHTLDYLFTPTSPLTPATIDRLFKVRILCDHAECNYRQKIEGARKYIPVTVLEVDSSKDLVLLRVTLSVFRRKCKESHRPLKIARKFPDPLERIVMISWPPFRPRTACAGETSHPSRGYANIMTDAPDAHSTKLSEVNILGDRGSSGAPLLDGAAEVAGFFHSGSLGTLSFSYFISFNELRNILISWGRPVPPHVRTVRRNRAPKIFGPQNSIMYIHIFRPNATEDQTTPAIDRSMQTPDRCFLNSPLPLPPSANNDAAVS >Et_5A_040715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11544956:11546421:1 gene:Et_5A_040715 transcript:Et_5A_040715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGACRICRDVQARSPCCWGGLPRRRSPRRPARYTGGADLIRALPDDLLLPAPCRREAGAGRSQLPLGRDAVELPCFRRATAIRLDMQYVRFVLPPTGTFTALEFLHLRSCQVDLPDLLPRCRSLRKLWLYLWPHQSFVVNSPTLEDLVLNTVVVCRRIEIVAPMLKKFSFWANSSYLDHEFTLSYSAPAVEEFSWHCTSPSPSSTVVIDQVWWMPILALTTPRPPGPRQLAIENTCLQLEQRPRFFAVSTPIVTYAVCVHLSAAQFSYFCWIIEFSFVLQDMLGDADQSIVKQLFKFLLADFEIMALVIETRGHAYGGMLLHLLEFCTFIQRLEVKLQKEGENECSINCPCDQPSCWRSQSVSLTNLKEVEIEGFKGEDHEIDLLKVIFRSATMLERLTVIWSDKVSQTGNGCMGIRSILKAYPSVKCKFYFLSLGS >Et_4A_034091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29933815:29937393:-1 gene:Et_4A_034091 transcript:Et_4A_034091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGAMRRAPAACRRRAIQVFVAVLLSYALFVLFLESPVFVSTSLPGAGGSVKASRALHLDGAGEGLRAVPVRPLKHPHRDTLAAEAEPRRERRLSGILSGLDLRHLNSTRSGSLRKVAAEAVAAGALVFSDLQTLVTPLTSVEDSSDEEERNKCPHSIVLNGDEFRERGRAVELPCGLTLGSYITCEKWVRDDDERSEESKSSSWWLNRLIGRTKKVPVNWPYPFVEDRMFVLTLSAGLEGYHVNVDGRHVTSFPYRTGFVLEDATGLSLNGDLDVQSIFAGTLPTTHPSFSPQKLLEMLPDWQAPPLSEEPVEIFIGILSAGNHFAERMAVRKTWMSAAQKSLNVVARFFVALHGRKEVNVELKREAEYFGDIVIVPFMDSYDLVVLKTIAICDYGVNVVSAKYIMKCDDDTFVRLESVMAEVKKIRNGESLYIGNMNYHHKPLRYGKWAVTYEEWPEEDYPIYANGPGYVISSDIAESILSEFVDHKLRLFKMEDVSMGMWVERFNNTRPVIYVHSVKFCQFGCIDEYYTAHYQSPRQMFCLWDKLQAGKAQCCNMR >Et_3B_030045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30058229:30060505:1 gene:Et_3B_030045 transcript:Et_3B_030045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVESNGAQGGTDRRTSKRDRRIFWAGVFILVSNCFQYTAYFGVSTNLVNYFKDRLHQGSKAAANGVTNWQGTSSITPLVAAFIADAFLGRYWTISLFLLISVLAYVVLAVSAAASLHAASFYAGLYLLSLGGALQPVLSSFGADQFDESDEHGRQSSFFNWFYLSINVGSLVGGTVLVWVQSSVSWGLGYAIPALFCVLGVAAFLAGTATYRRHQPPGGSPLTRVAQVVVAAVRKCGVEVPDDASALHECEDGDDGMSAIQGSRRLAHTDQFRFLDKAAVETAGDKARPSPWRLCTATQVEELKCVLRLLPVWASGIIFAAAYTQMGTTFILQGDTLDPRIGGFRVPAAVLSVFDTLSVMLWVPLYDRLVVPLARRATGRERGFTQLARMGVGLVVLAAAMVAAGTLEVARRRVVARGGMYDSHTGAGGGDGQYLPLSIFWQVPQYVVVGASEVFTFIGQMEFFYDQAPDAMRSLCSGLSMTSFALGNYASSALVAVVARVTARDGRDGWIPDDINRGHLDDFFWLLAMLCIANFGVYLLIARWYKYKKTVD >Et_3B_030279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3258290:3264516:1 gene:Et_3B_030279 transcript:Et_3B_030279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDEAVRGSSDRRMRTKYGNAVYVVQRAFALYPFEEVAFSFNGGKDSTVLLHLIRAGYYLYKKDSGDGSQMDVVKNCPLRTIYFESPCAFPEINSFTYETVSTYGLPLETIRSDFKSGLEGLLKEKPTKAIFIGTRIGDPNAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWSFLLTCNVKYCSLYDQGYTSIGSIHDTVPNALLSDSSCGKSFRPAYMLSDGRLERAGRTKKANNKMEINSVASNGMTNTEGEQMVSRSASIVIIDSVAEEVERCKSTDDMVFMFGGLGPLHSDVSLAGVAKAFGVRLAPDEEFEDYLSQIMGDDYTGDRNEMALLPEGITELLHHKSLPLPLIKCKNVITFSATNVDELEIEWDCLLDTQESGLVRAKPFVSKHLRTALSDAKIAPVLAKLCLEFSDVYIGSYRVSRSGPLVVNLTGKDYQRVEAAAEKLMSSFEGHFSQGDGFFLRKNLTNRQ >Et_9B_064626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17133835:17135912:-1 gene:Et_9B_064626 transcript:Et_9B_064626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQAQPVAYKSPVPDSFETLVEAPEIVLLRRRRSRLRSAAMTFKRRNGGRNKHGRGHVRYIRCSNCAKCCPKDKAIKRFQVRNIVEQAAIRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSREKRRDRAPPERFRRRVRIKIMILLFVFVVIIRHWRIGPLAPALVVLVLPVLLLLRLRHQTLLAR >Et_10A_002128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23089279:23093041:1 gene:Et_10A_002128 transcript:Et_10A_002128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRWPEIARYLRHALRFHAKEAAEAVAYPPARATDMHPELYLLPRRQHALRMFRAGHRDQALHFYHDRIQARILAVRHNAHVDALVESLGAILATSSTVDPRLEAMYKEVKDLVGIEKPSEELISKYCMTRRMEGLAFGEGCEQSKPSFSTSSASSFIITERRSSSCSGSGSSWRSLGSTASAMEPRRHAVITQSARVRGSPRASLLTGRLAQATSIMSTPSANTSVALVALPSTASSGAMYVAPCSCHERRVGVGSVVVGNLPAWRSCRRRGGCCSS >Et_9B_064336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14156272:14159276:1 gene:Et_9B_064336 transcript:Et_9B_064336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTRGKPYIPRARYCYKLKPGIQEKQFENEVYHLMRLKHPNIVRFLGYCYETQNECLNLSGTKYIFAEKQQRLLCLEYLSNGSLDGHLSETRYKIIKGICCAIHYLHEGCQSQINGSIIHLDLKPANILLDDNMVPKVADFGLSRLFDEKKSQTWTTNLAGSFGYMAPEYIYKHVITTKADIYSLGVIIIEIIMGDRIDPFSFDITSCQDFVENVLESWRNRLNEATSETDCEQIKCCLEVGLSCLKVDRNERPTSGEIIKILRWESTNRYANNVDRPPEGEVLFTYQKISDGDSVSGRWRPNLFTRNDFRSCLLTYVF >Et_9A_061925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1820273:1825363:-1 gene:Et_9A_061925 transcript:Et_9A_061925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQPELSDDLVGETLLCLPPDDPACLLRASLVCKRWRRILAHPAFRRRHRALHRMPPPGFITTVNGAVPYASRFVANNPASGRPAACDLPRRLMLDCRHGHALFLATTGPDTNLTFDLVVWDPLTNKQKRLPSPLPTVFPHNCAATTEGCDHRFACHKGPFLVVFVSSHSPNLYIHNVTSARVYSSVTGAWSESVFVEHPGVSIDIMHCPSILVGDKLYFSCVIMHYAFEYVLGAHRLSIIKLPPPSMFKRWWTGMHGRGGGTYALPTPVVERVLAPEGDGVESWEVGRAIELETLLPIGALPAQRLASAPSSMPSAHMVGVAEGTDVIFVGTKKHDNRGAVYMHDEIFLRLPPEDPACLLRASLVCKRWRRILADPAFHRRHGALHRTAPVVGFIGMVNGAVPFASCFVPNSPASGRPVARELPGWLMLDCRHGRALFATPTPSPGTHLTYGLVIWDPLTNEQQLLPSPLATDFPGNCAAALLCAATAEGCDHRACHKGPFLVVFIWSRRPGVFLHGATSARVYSSVTGEWSQSISVQHPDDVSIEAMLCPTTLVGDKLYFSCAIVPYAFEYVLGAHRQSIIELPLPSLFNSMFYNLMSMEDGLVCIGMEEEPMLCLRLWSRVLALENDGVESWERGRAIELDTLLPKGALRAQQLPSNPSSLPWAYVVGFAEGTDVVFVGTNTSGKRGAVYMVQLNSRRARKVFEKCTEVFPYTSFCIPEVLEPSPQTQRPRNKQHTGFSGASTTAVGCLVSGCSGLTGISPSWQHTLSLRCHHGQGGCLLLQSCELQSLPLDLLLSLVVAKARA >Et_5B_043725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14777181:14791291:-1 gene:Et_5B_043725 transcript:Et_5B_043725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHQRTQLLARLSLSPQPVGDKDKISGEALMGKETERGSGGTLDGKKAEDCDAAHEVFVGGLDRKAKEEDVRAAMAKAGEVTEVRMVMDLDARAQNKNKGYCFVRYRQAQQATKAIEEIGHVKICTSLCRIAAVERNDKFFLGNIDRKWKKEDVKSVFAEGIPDSWDRAKVTDIFNRYGKVEHVVLSCDMRSANSDGIAYIHYTTREAAISCVESFDGQELTETDSEVNIKVSLARPFRKGKQNKEDHKFTVMGCSMIELIFGRRKPLKSADKELLGGRMPSVLNPLSGSGTPDLISLPETLSTSGQLRKRKQCHFKDVSSAEKQNIMINKLNLCCTVFEFADSTTYVNEKEIKRQILHEIDQYIASAYGNENDTRLAKRYIDHSFILRLVELFDSEDPREREYLKTILHCIYGKILVYCPFIQKAISNTLYQFICENEKHHGIAELLDVFGSIINGFHLPLKEERTLIPLHKPRFCAVMYNEQLSILNLLSIRNLEIFEECLLKCEEDKAKANKLKLKQEAAWEPS >Et_4A_035708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:407023:407560:-1 gene:Et_4A_035708 transcript:Et_4A_035708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAGAAAEEEVMMMIKSSSPEVKKKTTKKVVRVKQGYIDRNSSKSKHWADLRASMAEEIDSSPPEEVKKKTKTKVVRVKQGYIDRLPPKPFRGIPKEMLDRNTSAYGDKMRAHMADITAALDKIRHKDEDILDQYRRSGYAEEEIDIDDDLPAEEGSKDTSSL >Et_2A_017514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35071745:35075677:1 gene:Et_2A_017514 transcript:Et_2A_017514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKHRPSSTNNARIWSTNSGAPVWNNNSSLTVGQRGPILLEDYHLIEKLAQFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVVHERGSPETLRDPRGFAVKFYTREGNFDLVGNNMPVFFIRDGMKFPDMVHAFKPNPKTNLQENWRIVDFFSHHPESLHMFTFLFDDVGIPLNYRHMEGFGVNTYTLINRDGKAHLVKFHWKPTCGVKCLLDDEAVTVGGTCHSHATKDLYDSIAAGNYPEWKLYIQTIDPDHEDKFDFDPLDVTKTWPEDIIPLQPVGRMVLNKNIDNFFAENEQIAFCPAIIVPGIHYSDDKLLQTRIFSYADTQRHRLGPNYLMLPVNAPKCAYHNNHHDGFMNFMHRDEEVNYYPSRFDPARHSEKYPIPPRVLTGCRDKCIIEKENNFKQAGERYRSFDPARQDRFLQRLVDALTDPRVTHEHQNIWISYWSQCDATLGQKLASRLKLKPSM >Et_2A_016129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21288431:21290707:1 gene:Et_2A_016129 transcript:Et_2A_016129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRISYCIVLAILLLGAQLTDAALDQATSLRQFIASREDRSVDTFAETDTWADPATSFRELPSYCKTLPKGSKEADRVASLPGQPPRVNFAQYAGYVTVNKESGRELFYYFVESPYDAASKPLVLWLNGGPGCSSLGAGAMMELGPFRVNADGKTLSRNRHAWNNLANVIFLESPAGVGFSYSNTTSDYGKSGDVRTAWDAYAFLLNWLERFPEYKGRDFYIAGESYAGHYVPQLATVIVDLRELTHKNPVNLKGIIIGNPYLDDDLNDKGSLEFLWNHGVISDEVWADIIGHCRFGPSDGLLCSEAKASFRKGNISRYNIYAPICITSPNGTSYSSSYLPGYDPCIGKYVQAYFNNPEVQKAIHARITTRSPTFCNFVSGLPWNDSPSTVTPMISWLDLNLAVTQPWRPWYSPDNEVGGYVQQYEGGFTFATVRGAGHLVPSFQPKRSLVLLYSFLKDMLPPAVSVWHP >Et_7B_055778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4336362:4339050:-1 gene:Et_7B_055778 transcript:Et_7B_055778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLPLRLPFPVATAVPPAASLTLLHQGLISLPIRRTPPRARMSAAASAPDAVASVATAAATVGEEGGKEAGDVLVQYVVLRRDLADAWPLGSVVAQGCHAAVAAVWAHRDHPDTAAYCGPDNLDRMHKACSTFCLIRNSQAYDLHCCIALSQHIGLATPVTLEVKGETQLKNLAGKLEAAGVRHKLWIEQPENIPTCIATAPCPKSQYNVSVAKQLQLRYNPSETQTTE >Et_4B_039873.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:4827586:4827894:-1 gene:Et_4B_039873 transcript:Et_4B_039873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYYFPNGTEADTAGHVSVFLHLNEDVAKPVTVEFRLSALVQRQATRFINRKQEVVSKPTALHCFFGQDSFGFPKFAKREDLEAKMESSKDGAFTIRRVGSD >Et_5A_042831.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:5111771:5113054:1 gene:Et_5A_042831 transcript:Et_5A_042831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVVTRSSSPVLVVPLKPTPARNLPLTSTDKSRLFFSFTSFHVFERHIHDPAETIRRALSEALVHYYPIAGRVAAGANGGDQDVLIACTGEGIAFVSATASCTLQEIRFLHTPLAIPLGDLAVRYGGRCGLSDPLVMMQVTEFTCGGYVVAATWNHGIADAFGLSQFLQATGEIARGFSSPSVVPVRYDDSLPDIPQLIPAIVKRSPVGSKAFNLTHMNFIYCDVTIPWSFINRFKEEFRSRSNGREACTSFEVVTAAVWQCRTRAINLDPNVRTPIMFTANVRKFIGAKDGYYGNCVFSQLVEATSGAVASGAVVDVVSLIKDAKGRIPGTLADQSRELEVDDDELVSALCGYNTLFVTTWGGIGLDKVDFGGGTPKRVVPNLESPFVPVCFPGAPCSSSDANVVAFCVTEEHVQDFHAQLARLR >Et_5B_045702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7874106:7875048:-1 gene:Et_5B_045702 transcript:Et_5B_045702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAPLIVLIALLPTLAAVTNAEEEHPWPPAPGLCEPFTPGHVCNDWQGSYAPGSAYEANLRLLAASTIPANKVNANSCNCSFVSNIAGDSPDRIVASAVCCWGPDPSSLDCDACVARAFREAQRLCPYHRMADVVVDGGACKLHFHDYDLMEEYVHAPIPAGVACVVFLLLQESITVQLRPVSFCFNDLPSSMYT >Et_1A_006576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24788000:24794068:1 gene:Et_1A_006576 transcript:Et_1A_006576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHGEVSDNAEAAAAGEPVALSSPSVLVQQRLVVGYALTKKKVKSFLQPKLLALARKKGIHFVSIDEARPLSEQGPFDIILHKLTNKEWQQVLEDYREAHPEVTVLDPPNAIKHLHNRQSMLQEVADLNLSNGYGEVCTPRQLVIMKDPSSIPDAVAKAGLMLPLVAKPLVVDGTSKSHELSLAYVEASLPLLDPPLVLQEFVNHGGILFKVYIVGETIRVVRRFSLPDVNTYDMGNNDGIFRFPRVSCATNNAEDADVDPCIAELPPKPLLEKLGRELRRRLGLRLFNIDMIREYGRKDRYYVIDINYFPGYSKMPGYEHIFTDFLLSLVQSKYRRRLGGS >Et_4A_032999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16818025:16822718:-1 gene:Et_4A_032999 transcript:Et_4A_032999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRLRGARRLLQTTGGHTRGPRVVSKLPLDPFLPSLSPASPSKIPKSTTDADMPARRRYLLLLPFFAVVFLTITASALSVSTPVELTVNSHPPAAIRLPAARSLSGGDEGTGGGPYCTRVLLRGRPSRLRDPSRFFHALRVRANATRPQGLELCFHRNATVGPCKCAASQWQKMPKGGLWAQAISPYDHRILDFRMPGDASRLVVVSTEEEFLLHRVVFVVLGLVLMVVAHTLSESVVFYYGGAMTIGIFLVILIILFQGMKLLPTGRKSSLAIFTYSTVIGMTTYFLHYLSGLLRSFLVDIGIAEDMHNPLGIFLLVLVILAGAWFGYWGVRKLVLTEEGSVDEGVAYFVEWAILIVSAVMILQSSLDYLFAFAALVTCVIIKGVLRIEGKTRLLRQLSRLHSIGMASHSSRYEDLGEEYASMSGAHQEGFTKLQSEYLRHTPRRKSPLTGSAKTSEGVGRETYYSTFHTTPERRKFSKEEYEAFTKEETKKAMKQLLSSPDFNRWALANADRISVTPPGGSYSSNSQQQQRNRLFGWF >Et_9A_062534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23827765:23828798:-1 gene:Et_9A_062534 transcript:Et_9A_062534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPVAHKLALSSPFLPRHRRRPCPAAHGRRRRHGAVVAYMEPNPNSPAAIAGRLVGALPIVGLVARILSDEGGVGGDIIDFAEFRRRVSKKCTVMDSQAFYDFNERRGKPGDPFYVLLCCWLAAVGGGLLKTEEILEGVARLRISNDIEFEEETFIDMMRAAKEKRAKLKAPAPQIPMETRAEKALEAIYVCCFGQDMIEEVDEKLLCTILNAVFPSVGRQAVQRMVSSMAKQVTSGERKRDVKTVSKETVQRQLQDLEFLQQNKLDT >Et_5A_040659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10950569:10952217:1 gene:Et_5A_040659 transcript:Et_5A_040659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGFTPDLVRRSIPRDTLILVGVMLRSKVSMVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQRHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Et_4B_036143.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28278119:28278412:-1 gene:Et_4B_036143 transcript:Et_4B_036143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFAICATSLVMLPAAAQRRLWLQRYRVDRSVTSPAASAASQGTSAATAWRPSSATHAVVGGICRMSARQPGYLVGGFGGSRSLLLMIFDHVHLQF >Et_6B_049090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17698224:17707265:-1 gene:Et_6B_049090 transcript:Et_6B_049090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSPARCSAMILLVPPTRSPPMKTAGTAGTRPPSILASSLSISLPRGSRSSSWTAAFTPRSFKSEVIVWHMQQLLFVNTTTARSEVSFATRQSAPALLSMGTSSPQRCSAMILLVPPMRSPPMNTAGTAGTRPPSIFASSLSISRPRGSLSSSCTAAFTPMSLSSDVTAWHMLQLLLVNTTTARSDASLATRQSAPALLSMGTSSPARCSAMTLLVPPTRSPPMNNAGTEGTRPPSILASSLSISRPRGSLSSSCTAAFTPMSLRSDVTAWHMLQLLFVNTTTARSDASFATRQSAPAFLSMGTSSPARCSAMTLLVPPTRSPPMNTAGTAGTRPPSILPSSFSISRPRGSLSSSCTAAFTPMSLRSDVTAWHMLQLLVVNTTTARSDASFLTRSISYFYFTYCAWKVLELFGSLC >Et_1B_012712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34656198:34658857:1 gene:Et_1B_012712 transcript:Et_1B_012712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYNILKVNRNATLEDLKKSYRRLARTWHPDKNPTGGAEAEAKFKQITEAYEAKKRAIYDQYGEEGLKGMPPPGSQSRTSTAAGPSGPSNFGFNPSDSDDLFAQFMASNKHYSFDKDRTRFQPRSHWTSGRNTRSEASSAQQKESSASTSQLEKPQPVEKTLPCTLEELYNGTKRKMKITRNVVKPDGKLEVETEVLAVEVLPGWKRGTRITFPDKGDKLHGQLPQDLTFILEMKPHDVYILEGNDLLVKQVIPLVDALAGTAINLKTLDGQNLPVRVEEVVRPGYEVVLENEGWPIRKEPGKKGKLVIKFDVTFPTRLTSSQRTAIRRIMDG >Et_3A_025671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31835908:31838001:1 gene:Et_3A_025671 transcript:Et_3A_025671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDLLPRPGPSVSSSVADGRGGWRAGLFLLGKSISIQLINSCCKANQLDRPAAVVFLERVGFVGVQGNLIMYLTGPLGMSTAAAAASVNAWTGTVQVLPLVGALAADSRLGRYGAVLTAGVLYLLSLGILTISTTLHAPSHPRHAVGSLSSAGLAFFYIALYMVALAQGFHKPCAQALGADQFAHASRSSYFNWYHFAVAWGYVVATVALSYVEDNVGWTVGFGASWAAMVLCLAVFLVGAPRYRVAQLAGGASFADAVRAWAARVFRRKDATDTERLLVDPQPGDDDDGKGLVAKLLPIWLTSLVFAAVKSQLSTLFTKQASTMDRRIGSSTTGVLVPPAALHCIMNITLIVMVPVYDRALVPLARRVTGHRSGLTTLRRIGAGMAVSGVAMAVAALVEAKRLRVARDAGLVDSPDAAVPMSLWWLVPQYVLVGLAAVLGTIGLEEFFYSEVPDALRSVGLALSLSAMGAGSYVSGMLVSAIDWATTRSGGSWFNNNLNRAHLDCFYWLLAGFAVVDVAVFVLFANRFVYRNKSEL >Et_10A_000578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12988802:12993382:-1 gene:Et_10A_000578 transcript:Et_10A_000578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEARRVGRAVDHGVWGGGGVVTGVEAPGQTRRCRDGGRGRRDKAGIGVARESGGTRASGEVKEARRRAWTTAARRVLRILKYPYHGPLELTPRPLSLPALSSSSFMALSRCPPSSAASRASVFSPTFVSLRPIPSRFVATAALATVSWKNSTVVNVDLDERSYPIYIGPGLLEEPDLLQRHVHGKRVLVMTNTTVAPPYLEKVNWALTHKNPNVSVESMIVADGEMYKNVETLMKVFDYAIERRLDRRCTFVALGGGVIGDMCGLAAATYHRGVNFIQIPTSLMAQVDSSVGGKNAINHPAGKNLIGTIHQPQCVLIDTDTLNTLPDRELASGIGETVKVGLARDASFFEWHEKNLSALLAREPEALAYVIQRACEIKAEVVAQDEKENGIRAILNLGHTFGHPIETGTGHGTWLHGEAVAAGTVMAAEMSCRLRWIDESIKKRTVDLLQQAKLPIRPPDTMTVEMFKSLMSIDKKIADGVLRLVLLKGALGNSVCTGEYDREALDETLHAFCKH >Et_10A_002097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22191368:22194290:1 gene:Et_10A_002097 transcript:Et_10A_002097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPETKKKKNAKGSLNHRVVDRAEPVDEKVKSSTDYAKPVYLVAPPLSGQPGHSVFMVEAAALADGAEPPRARTVAQIPGSRHGMSFVATHSEQGSWIVGVGGKGGDTVIYDPISMKTIQGPELSYPKHKPILICLGSKVYVISRCPRVYANTKFDFEPWFLSLSFRKGVPRICGQEFRPWKILPPPPFFPCFLDPLEFRNPPTISISSYAAIGSHILFSPDCKETGTYAFHVVEKNWEKVCNDNLPFTGQAVALGGSLFAACCAIHNNFATAVAVFRISIKGSSTLMSSAKLTTTLLSLHPVPSEGDPPLPLLCPTGKGSFCSIMLGSCCGFHEGNYCLPIVLTAFKIDNIEAVLTACQTEYTEAEDPLGHRTCWVV >Et_1B_011474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22689314:22694345:-1 gene:Et_1B_011474 transcript:Et_1B_011474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPPVVALAGGVCPASSSSFPRATPVAAILAAPARLLRSRRGALRLEAKVAWRAAGGGRGPRVPAKGAVLASYMGAEEVVEFVLQIRKDLENGKLPADVASNLEELYYNYKNAVLQNGDPNGYEIMISNMMAMFDRVLLDVQNPFSFPPYHKAIREPFDYYMFGQNYIRPLVDFSNSFVGNISFFHEIEEKLRQGHNVVLMSNHQTEADPAIIALLLEKTHPWICENIVYVAGDRVVTDPLCKPFSMGRNLLCVYSKKHMNDYPDLIEMKRRSNTRSLKEMALLLRGGSQIIWIAPSGGRDRPDPVTGEWCPALFDTSSVDNMRRLLEHSGIPGHIYPLSLLCYEIMPPPQQVEKEIGEQRVISFHGVGVSVAEEMKYEDITSHTKNVDEGRELFAKTLHDSVVNQYNVLKSAIFKDHGVAASNPVVSLSQPWR >Et_3B_030868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8143384:8154484:1 gene:Et_3B_030868 transcript:Et_3B_030868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLGLLLIHLRLFLLLGAALCNAGPVGAGWSEASDRAEPDPYSILTWHDYSPPSPPPPPPPPVAPAATCAGNLHGKGDFRTRCEVSEEVELHEDVYITGNGSLVLLAGAALTCQKAGCVISANLSGEVRLGRGVRVIAGRVSLVATNITIADTVVVNTTALAGKPPDRTSGIPTGTHGDGGGHGGRGASCYVKDGQTQEDSWGGDAYAWSDLEHPFSYGSKGGSTSVEKDYGGAGGGIVWLFAEDLVMNGTVLADGGASSEKGGGGSGGSIYIKASTMHGGGKISASGGDGLAGGGGGRVSINVFSRHDDTQIFVHGGRSSGCPDNAGAAGTLYEAVPKSLVVSNNNLTTQTDTLLLEFPNQPLWTNVFVRNHAKVAVPLLWSRVQVQGQLSLLTGAVLTFGLTRYPYSEFELMAEELLMSDSTIKVFGALRMSVKMLLMWNSKMFIDGGRESLVATSLLDASNLIVLKESSVIHSNANLGVRGQGLLNLSGDGDTIEAQRLILSLFYSIRVCRVEDIDVWGLVQGTVIHFNRARSITVHTSGIISASGLGCRTGVGQGKMLSSGVSGGGGHGGKGGDGFYNTSRAEGGPIYGNADLPCELGSGSGNDTAGLSTAGGGIIVMGSWEYSLPSLALYGSVESNGGSYANAVTNGTIGGPGGGSGGTILLFVHTLSLAESSLLSSVGGLGIAGSGGGGGGRIHFHWSHIPTGDEYVPVAAVKGSILTSGGTSNGQGFSGGNGTVTGKACPKGLYGTFCKECPLGTYKNVTGSSKSLCFQCPPEELPHRAIYISVRGGAAETPCPYKCVSDRYRMPHCYTALEELIYTFGGPWLFGLLLSGLLILLALVLSVARMKFVGTDELPGPAPTQQGSQIDHSFPFLESLNEVLETNRAEESHGHVHRMYFMGPNTFSEPWHLPHTPPEEITEIVYEDAFNRFVDDINTLATYQWWEGSVYNILCILAYPLAWSWQQWRRRKKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVTATPDLMLGYLDFFLGGDEKRPDLPPRLRQRFPLTLIFGGDGSYMAPFSLHSDSVLTSLMSQAVPSWIWHRLVAGLNAQLRLVRRGNLKVTFLHVLDWLETHANPSLAVNGIRVDLAWFQATALGYYQLGLVVYTLERECVVAEPDGSPRVKIEQRQPTQDMLADIQLGQSRIKDALMRKSITGGVLDSNSLRTLKDRRDLFYPFSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMVDVLLVLFILPLGILSPFPAGINALFSHGPRRSAGLARVYALWNISSLVNVVIAFVCGFVHYKSSTKRHPSMQPWNLGTDESGWWLFPTGLMLLKCIQARLVDWHVANLEIQDRAVYSNDPNIFWQS >Et_1B_014319.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5923934:5925832:1 gene:Et_1B_014319 transcript:Et_1B_014319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGWYDPRRAYGYGVGSAVQAPSMRRQQQQQQPPPLRADAAAVANGGVLKRSLSEMERWQQALYLRAVRQRTAAQAQPIDIGAVLAGVASRASGFSVTPSAGFAGLSQQQPSSTLSSSLTTASRVATPPPMHHQLLQRQVMAAPSAKAARGSATTARPATARELVLLQELEKQLLGDDEEEVEAAGSACGSAVTNSGWGETIQKLDSITATPLPSQPKTSPMNNNYNAAPMSRSPSNSTSSTTSSTASSSPPTSAASSRQLLSDAATAVADGNMAAAAAHLSVLKISANPRGDAEQRLVAMMAAALSSRVGPPSPHHLADLCGGEQRSACQLLHDVSPCFGLALHGANLAILDAVADHRGAIHLVDFDVSVAQHIALIQALATRRRAAGTRLKVTAVVDPTSPFTPAAAQALASTAERLKRHARQAGVEFRFKAVSCRAAEIEASRLGCHQPREEEEEALAVNLAFALSRVPDESVSPANPRDELLRRVRALAPRVVTLVEQELNTNTAPLATRFADACAHYGAVLESLDATLARDSAQRARAEAALASKAANAVAREGADRVERCEVFGKWRARFGMAGLRPLPIGQGVADRVKARLGPARPGFDVKLDSGRLGVGWMGRVVTVASAWH >Et_10A_002122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23047576:23048352:-1 gene:Et_10A_002122 transcript:Et_10A_002122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVITTPTTVQPLGPATSRRRTRTTFMINLRPHQQALGPHDVMVRCWPGSELQLQIHIHDETRDEEGLQNFWTRHRDSERVVYVGGVRVQVSILVIQQQPAKNTGSNDD >Et_8A_057165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21860877:21867342:-1 gene:Et_8A_057165 transcript:Et_8A_057165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARTTSSLLLVFLSLLLFLLLPARAGGGGGPCPRVPSMTAARACRAVCGTRHMRALCHRTLLPPTPAAGAAAAAAVPVTGLVAAAVRGALDAYAATTAAAESLVDGGAVKDGGEKAAYGDCMVGYGRARIAMARVADDLAGGGCDGGGRVVDLGADYTEGLRGMDMCNRGMVNYPASPLYDMNLADRNKTLLAALLCSLVAPPSGERLHLGATQRGTLSHYGNKKEMNTEYSMKSNNGINLFKLQSSLSCHKRNKNCTTRYSVVAVEEKELPTLSTILV >Et_10B_003096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16467734:16472866:-1 gene:Et_10B_003096 transcript:Et_10B_003096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSASPAAAAQRRRSRRKAAPPRQPWCCSFGLDPLAAASRSPLPAPPRAKPQHAPPLSRRIRSPGRVSPIDDLSAGGFARKSVSARLSSVSECPPPAPTRPPPPPPPAPAVERARATLRLRLVDKGVVLEVDEVERVRRESKAVRQALRGGGGGEVAVEGRVEVEAFREAVEMMLEDEDEATSMRRLARGGVARAINVLGVSFSLMFDRGVNSCLKYLEAVPWDEPEEERIKSLLSQHSSYEAASRNLLARLQPQQPTSSAELVTELIDSITKGTNNNTRKELRGLVNSILSNTSIYIKGDKELDKESIYCICHSCLKCLVGLFEESSDLVHADQTIISVGKGPLERIYKQVEDLNWLLQILIDKQIGEEFVDLWANEKTLSNMHERMSPMIRYELSRISSTIFIAMGSGKLRCTGDKRFSIFQAWFRPMLVDFGWLKRYPKGLNITTLEEGIGQALLTLTLKQQQVLFMEWFEAFSEQGRECPNLMRAFQVWWRRSFSQQKKGQAEQR >Et_5A_040228.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24827206:24830578:-1 gene:Et_5A_040228 transcript:Et_5A_040228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQTPPAPQTSRRAPTFETNPAADARRLLATLLPPRPALRHVLQAHARLAVLGLATARALPHLLAALPRLPPCADASYSYPIALFHHSRSASVYASNHLLRVLPHPLPLSIFPRLPHRNPHSFTFLLASLSHHLDADPGGGSPASRFLGSHVHALAMKAGAADDLFVRNALVHFYGVCGDAAAMRRVFDELSRVRDVVTWNAVLAGYVRSGMVADARQVFDGMPVRDQVSWSTVVGGYVKEGELEVALGMFRNMVVQGVKPNEAAVVTALSAAAQLGLLEQGKFVHEVVRKAGMPVSVNVGAALVDMYSKCGSVATAREVFDAMRRRDVFAWNSMICGLASHGLGQDAVDLFERFVNEGFFPTNVTFVGVLNACSRSGLVDEGRLYFRLMASKYGLEPEMEHYGCMVDLLGRAGLVQDAIDLIEGMRIKPDPVLWGTILSACKRHGLVDLGVTVGNKLIELEPAHDGHYVLLAGIYATAKKWDEVRKVRKLMSSRGTSKSAGWSLMEAHGIVHKFLVGDMDHKDSVQIYNMLGIIDRRLAEAGYVPDVSSVLHDIGDEEKVHAVKVHSERLAIAYGFIVVEAGSPIRIVKNLSVCGDCHEFSKMVTKVFDREIIVRDGSRFHHMKEGKCSCLDYW >Et_7A_052441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8079801:8081160:-1 gene:Et_7A_052441 transcript:Et_7A_052441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLCDECAAAAATVFCSNDEAALCDACDRRVHRANKLAGKHQRFFLLHPAPSSSSSSSAQKPPLCDICQDRRGLFFCKEDRAIFCRECDVATHNANELTRRHSRFLLTGVRVSSEPVDFAAVPEKEVVEVEPENSGSSARNASSSSGAAAAGAATASASDGSSISEYLTKTLPGWHVEDFLVDDADAGVAACSDGLDQGGKVQIGGLLQEAFPVWAGPEQVLSDVVVTADEQASRERCVPQMQMQMHPEWTGSKRPRASAPCSYW >Et_7B_053483.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:22550887:22551207:-1 gene:Et_7B_053483 transcript:Et_7B_053483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHAGLSSLVESFLFGHPIVMLPLFADQGITARLMTERRVGLEVPRGDRDGSVRRDDVAATVRRVTGEEEFARNARALQNILWDTATQDRYLDDLIGQLLQDCNVQ >Et_2A_015676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16895149:16895978:1 gene:Et_2A_015676 transcript:Et_2A_015676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLHRLRHELLVQGLLLGGNKNNQRKHWTQELGGWGRNRVNLKKRELAEQNISFQREVTFLEANMANASAKAASLELQNKKLNDELEKNSDASTMISIIHQQICMLVTEERDHLEGYLKDRVDENRALHKVIARLQVTCNEQERTITSLRQGYRSELDKSVECSSDKMNKLQIELIRLTRVERKLRGEVLSCHLEVESLRHENIALLNRLQSAGNGSSIPTIRLNQELQAIVDKLQTQGMSLFDKMG >Et_5A_041418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22371476:22374926:1 gene:Et_5A_041418 transcript:Et_5A_041418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSENTGAPTEKPQVSPPPPNPSEAAPSEEVEEPQTLERAQELFDQGSKAIEDGDFVDAVDCLSRALEIRVAHYGELAPECADTYYKYGVALLYKYQEESDPLGNVPKSAPKEESAKSTTGKDDSGNSKASGSNVEDAASSEKVDAEEGQNSSSKDQEDGNDEADKDDDADDDEKAGDEDDSDLDLSWKMLDIARVIVEKSSDNTLQKVKIFSALGEASLEREDIENSLGDYFKALAIVEQLAEPDHRRIVELNFRICLVYELASNTKDAITYCAKAISLCKSRIQSLKNSRDALLPGKDDSASAAKGGSEKSTLEEEIELLTGILSDLEKKLEDLEQAMSTPRSALEEIINTAAMRTAHVQKDADVMPRVASFTSSQMATSSNGFDSSTLSTAATTGSTGSTVTDLGVVGRGVKRASIKPISAEPSSKKPALDSPSPQVDSSNTSEVQPATQNGEDSVSK >Et_2B_020041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16353941:16357070:1 gene:Et_2B_020041 transcript:Et_2B_020041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKRGPWTAEEDRKLINFILTNGHCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLTDAEEQVVIDLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHEPLDRTGSPATASQSVITAESKSDEVTTQQRPQDDAREVSAGDGSSLPESSTNTTSTGSSSNSHDQDPLVKWLLEDDPPTGDEPWLSFVDNVEVDEFSSIADGPELPWDGATDWLLDYQDFGLGDSNLVDGYMVNSSNVSKF >Et_3A_023600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:72096:73631:1 gene:Et_3A_023600 transcript:Et_3A_023600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDANAAALVGKPEPMDQSEDNSMPSAQQQEEAIKKKFGGLMPKKPPLISKDHERAYFDSADWALGKQGVAKPKGPLEALRPKLQMERALALRI >Et_3A_024750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23966250:23968037:1 gene:Et_3A_024750 transcript:Et_3A_024750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKNQVLLLKACKSQGRLASYLKKTKHKPGLKEEPGGRRRPVRPPISSSTLPAKAKPLDYWSPSPSPTRLRPPHHLSLDEKTRRAQCPFLRPPLSHHDAAAFLFFVVVDVGRAGAGRRRPGLGVHDARGGAHVEGAVVHLPAACYQNGPRSDLGSNPFNSPGWLAFELGVIVAQMVLTTAVVATSPKERPAWPLRVWVAAYNVGNVLSLPLLYWRHRHSAAAAGSRGDALSDDLEMHGANDVLRNSSYLMNKARAFLELFFAMWFVMGNVWVFDARLGSFQRAPRLYALCIGLLAWNAVVYSLPFLLFLLLCCFVPMVGYALGYNMNSAAVGRGASDEQLAALPRWRFKEPDVPRGREHDDQECCICLAQYREKEEVRQLPCTHMFHLKCVDRWLRIISSCPLCKQELE >Et_6B_049035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16917323:16918698:1 gene:Et_6B_049035 transcript:Et_6B_049035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METKFTSVWSEESEMIAHLQSMFWNNSVSDANLSSCNTGTDSCCYNAVSLMNTAMDWCLDQKSQSITATTNVVSGDKRMFPTDEPRKKSRSSKKKLRTVTQLSRTLSSSTLDDESNAQLANQSNSWSCSSEDDSNGVCEEPFALKQSDSSSGRSRSSKNSQSLYAKKRRERINEKLRILQQLIPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDTWMYAPLAYNGMGIDLSVSTAAYQS >Et_2A_015095.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29722583:29722636:-1 gene:Et_2A_015095 transcript:Et_2A_015095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKSLAGGLVLFIFRL >Et_3A_026906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25763840:25770259:-1 gene:Et_3A_026906 transcript:Et_3A_026906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSEVSPSKRYPLRSTHSSGRVLRSASNKNNTACSEPLNDSATAQPALRKRKSGSSSGSTNNSVRVLRSASKNKDDVCSESLNDSIAGEPAANKRTGIGPSNATSPISSVRVLRSAAKNKDDTCSESLNDIIVGEPATNKKTGIGPSKAASPINSVRVLRSASKNEDEAPLNGSTTGEQAAHKRKFVTPSKVGHANNSVRVLRSASKNKNDACSEYLNDSTVAQPSAKKRKTGSPLKVGSPPGSGRVLRSNSKGKNETFDEPVNDSPAAQPATRKRKSDTPPNEGSQKLGVRVLRSASKRENDALFEPQNVSTSDQPAGKRKVVRSSQERSPKKAYLKVCQKVRSILNRMNYEQSLIQAYASEGWKGQSLEKIRPEKELERAKAEIVRCKIKIREAFQNMDSLLSEGKLEESLFDSAGEIFSEDKVFPEAASPANGSKQLDASDPPVGDSGDNDYNSVLAEAHMGNEVRSEAGIDGKGTVDDLGLPSEDSEDDDFDPAGPDSSEDQKNESHSEESDFTSDSDDFCTEIAKSSGQGEVSVSPLSNVNEESCNDAFMEMDLEQDVVLPVSGRRQVERLDYKKLYDEAYGEESSSYSDNEEWSGKENPEDSETDGSVRPGKRRSRRASAGHPVNQHTPQNERHYGSVNEHKVDVLRSNGSNSTARRFGPVIKQKLKDHFEKDPYPSRAAKENLAQVLGLTFIQVDKWFASTRHSSRVAAAKKGKRTGSHTTIDNGGTTVDGAQVREPNDEVLVKLTTEGNGLVYEGELMQNNLDEGNKEDMLLSRVETEMEAHGKESSDQSDDDKEWSRTSASQTELEDIETDSLSASNHAKRLSRRAPVRQQNHEHTPLSERIHGYVNERQTETPCSDGSSGKARKYHFGPIVTQKLKAHFEKDPYPSRSTVQSLAQELGLTIIQVRRWFSSTRHYSSVSAAKKGKDPGNQTTEKNDSTIVDGIQGTESSNVMMENQTADRNDMVSQKLMLQINLNEGNNGDIILNQYPSCEDTVVTTPTAVSREVGPPGYGPGENQGNGALWNTAFEQGLITTPSTVSIEVGPPGYGLGENLGYGASWNTISEQRILTTPTTTTMSMSQEVRPPGYGPGENQASGASWNTSPKQREIMTPNTSSREAGPPGYGPREDQVNGASWNTSSEQRVGTAPSTTISRQVGLPGYGPGENQGSGASWNTGTEQRVVMTPSTIPREVGPPGYWPGEIQGNREKSVFMTSTTTSNKVGHLGYWPRENQGNGASRNESPKGTSSEKLELDDEARKKAIARELRRMKKFR >Et_3A_023328.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:20928463:20928810:-1 gene:Et_3A_023328 transcript:Et_3A_023328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATNAAASEESPNLSSSSPQPRVLLGESNRDQAIPMASRPSLKPKPKGGRGGKKGPSAADEDQSTAATAVRLVKEWTTWTMKTTKVAAHYGFIPLIIVIGMNSEPKPSMAQLLSPF >Et_10B_002924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13974024:13977917:-1 gene:Et_10B_002924 transcript:Et_10B_002924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQFPTPATAAAAAPTPRPAWNTNRNLVVTHPLLSLLESCASFRRLLQLQAQLTVSGLAAHRFPASRLLAFCALSDPPRLAHAEALLARVAAPPGPNAYMFATMMRAYLRASLPRRALVLFRRVLRDRLPADARTLVFAIKAAAAVSSPGGAVHCVSLKRGFLRQSELVGNALVHMYAGDGQLCDARKEVFDGMAVKDVYSWTSMVGAYAKCGDLESAARMFEDMPRRNVVSWSCMIAGYSQANRPEEAVRMFNDMVAAGVEPIDATLVSVLSACAQLGCLDLGRGIYDKYIVSNKVRLTVNLDNAFIDMFAKCGDVGGAARLFGHMEERNLVSWNSMITALAAHGQSEEALHIFKQFKGTGIVPDEITYTGVLSACSHSGLVPEGRYHFKEMKKVYGIEPRAEHYACMIDLLGKVGLLDEAFEVARSMPKGADEAGWGAVLNACRMHGNIEIAERAADKLVGLDPSDSGIYVLMSQIYASKSKWDQVKKLRTIMRERGVKKNPGRSSIEVDGKFQEFLVADVSHIRSEDIYDALKNIYLHLKSEGKRFWTKSRAGPVLSGKYEVSGHMPEG >Et_3B_029921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29032438:29035712:-1 gene:Et_3B_029921 transcript:Et_3B_029921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALLRRKAAAAAAAQEQSAGVEATAGRRRRLYGFSASLVFASWAAVFLLYLLVGHGDGQRDGGSSTAVHAVVEHTLGQGSVNPVIQGDRGEDFAVPVDTCVKSDERVVLSEDTLIEEDQLCSKDEAECRNTEALADDGRVEISAGQGGSLPQTDVDSGVHQGEKVESEDAPRPARLSRVVPPGLDEFKTRAIAERGTGDSGQTGNVIHRREPSGKLYNYAAASKGAKVLDFNKEAKGASNILDKDKDKYLRNPCSAEGKFVIIELSEETLVDTIAIANFEHYSSNLKEFEISSSLIYPTENWETLGRFTVANAKHAQNFTIPEPKWARYLKLNLLSHYGSEFYCTLSMLEVYGMDAVEKMLENLIPVENKKVEPDDKMKEPVEQTPLKEPTGGKESSQEPLDEDEFEVEDDKTNGDSSKNGAQDQNSDIRALQAGRIPGDTVLKVLMQKVQSLDVSFSVLERYLEELNSRYGQIFKDFDADIDNKDALLEKIKLELKHLGSSKDSLVKEIEGIHSWKQIASSQLNQLVVDNAILSNFDTLFTLLTMLLCLYRSEFEIFRQKQTDMENRSFVVIFLSFVFACLAIAKLSIGLMFKICRFYDFEKFHNVRSGWLVLLLSSCIIAAILLFFLTDEMDIRSSSGHSFVPSVNFSKAIVDTEV >Et_3B_030127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30763483:30769596:1 gene:Et_3B_030127 transcript:Et_3B_030127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKLDRPAALGKGGLSLGIEEDRAAAAAMGFVDDFKDQQHVDNSIPLSPQWLYAKPADAKISMQHGSSLDPSEKEMRMLDGTGDKKERRRNVFDADSGLRWLEEERETSLLGRRDRKKEVDRDVDNRKNDRRSDNVSARDNIDSRAPPTSERWTDGSTRTLGNEGRRDGKWSSRWGPDDKEKDSRSEKKIDAEKDETHSEKQTFTGRLLSETDSRDKWRPRHRQESHSVGTATYRAAPGFGSEKGRVKDSNVGFAPGRGRGNPNSVPSFNRPSSAGPIGAPPVHGKCAKTAFRYPRGKLLDIYRQKNMTTSFDDAHLKLEEIPSITLSTSAKPLAFVAPDTVEEGLLEEIRKGKVISSEVINAPGNKKERTKEPEEPGGIVEGKDKVASSALISEKDAFYDEGMFSGGVGTSIPKSSMEENDCDNLSGILNIQEGFKIDEVKSNTHHDLSTKLPDDSNTLFAPRFERPSEPPMPYQSNDMDIKASGQASYPEELTLYYLDPQGGVQGPFLGADIISWYEDGYFGLELPVRLSQAPDDAPFRPLVEVMPHLGHKPQSHPVVPGEESAESLGSAQKFEAAISTSASSGKSDQASKWDSESHAVDPKRGEQEASVQPHSSWLPSTGIEKDPANSSTRQQHIPEAVNQDAEEVLYTGRPNSSMGQYIRDIGSDRSEFQLASHDPHSVVGEANLPQHDVSRESDLSPLGLLWSELEGMHPKQPLSSNVLGVNERKNSKPTVPKDIPPVNMRHGPLSRMNEAPGVRDEWPGNFGRLDSTNDANIAGRIPIVEAEHHLNFEDQLLLQQIRREQLQPEQLMARNNLDFPGPFPGQLQHDAAIEQLIQAKFGHGLPREHHNEMLDVLSRSNQRQMLPLEQQILLGLQHEQLQSQQLANALRQHPGREEERHLSGVWPMDDAGQFIHTGTSPNQGHASRHGRFDLLDTLQRSTSFEHHEHLDRSLSLHERLNRGGQGIHSLERSGSLPGGGPLPNPDIINALARQHGLGQLEAHNDLFALGQMPMHPSGVHPQQHRLPDQLSGSQVGRLERHWSDANGQLQNNLMESSRINQLQIEAEKQRRNVEMNLSVENPHAWASLMNKERNAEQDLSDIIHKKLVLQSQQSLGFPDVPVSASFGRKDPSMHFAQPVVENPLRSPVDRLSFEESLAERFAKKGQSAQEGPANLDSLPNSIENSGKYNIRSSSGPMLEKKHFLVTDDVQRGEYSEIMGGRASANQFVGSVNELARVKKQGSSASMAVNDTDFAEEAAGNWSDAGISKGNSHSLLKRPTSQHSATSQGAPVDLSSTIRLKKAGHASSDENKMESGVASVAHAMEAPSNKEAGAYSMPSTTSNPDASGPSFSEMLKSNKKPPLQYDASESADGGPGGKGAKKKTKKGKQIDPSLLGFKVHSNRIMMGEIVRDD >Et_7A_052608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9801746:9805364:1 gene:Et_7A_052608 transcript:Et_7A_052608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLFDLSTGMASKNMLTERAHRDGSPARISRQDVSRAVDPAKVYAEDKLGANNRSPSSNRSNEPPTNIVLAKEMSMKFELNKKPPSVVAKLMGLEDDLHGQGSALQSAKRNPKKGHSNDTFAETNRPREQYFSNITTRDRHRGSKEIVEFKDVYEISEEPLRTYHLQDQTFSRGMSSSSKSNIRVEIVRQKFIEAKRLATNEKLLNSKEFQEALEVLSSNRDLFLKFLEEPNSHFSKQLAGLNRMPTQPQTKRITVLKPNKAVENEGRRDIRTHRINDENEHVMPRTHRRSHSAEVTNSQPTRIVVLKPSPGKPSRTMAQLTPRAASSQLTEKIEFCAGSEDEEYLPDAMHRRDESLLSSVHSNGYGGDESSFSRSEVDYIDEEGGNLSDSESEVVSQVSQHSWDDIKRYNSPCSGSRFSRISRLPETSMTREAKTRLSERWASLAHDGTNQEQMQLPRRSSTLGEMLSLREAKKDAGRINSVSSSRPCDTDNELTQQAMCISKCREDEGNGPSTPQNLARSKSVPVSLSMFNNIAPNDPSSNSEDCKTPKVVTRQDKVKSSFKGKVSSFFFPKSKRQSKEKVGLSASSHERGEVICLGNMKPEDDQNTGGDENRSFLEEKDDSANQTICSSKDIVSIELPISSDCPSGDLDGLRSCEDLKGIRDEPSPTSVLDASFEDSNINESESSRSITYSNGRAALPSAGIEPVTRSLSWEDMNSPSPSLGSTKLAPFSNVDDDDELECVAFVQKILASAGLDDLQLGMVFSGWYLPDCPLDPRLCDKLLDRKEEAAKPRERRSNQKLLFDCVNMALIEIGEDALLCTDNWNQACSIAWREMISQVLGEVPRHMKDWLYGSGKFVLSENDDAGTILERIVQQEVDGRGWMKSMRWQLAEVIMKIAWEVLEDLVEEAVDDLAICSLQQEILRAISNL >Et_2A_018648.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4156337:4157743:1 gene:Et_2A_018648 transcript:Et_2A_018648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGRRNNNPSKRPCRAEGEGTPLADETLLSIFAGLTSLADLVRCAATCTRWRRVVSSDAAFLCRRRRLATGNRAGRFLTALAIGFFHHHQQDDDDDGGETRFVATASASRSFGLRQPTPLRSLVADAGLLGRRVVASRNSLVVVDSRRDERDRDLELCVCNPMTGHARALPPLAGKKDGLGQYACTLITARDLDTRKNGASPSDYFRVVIVYRRLGFTAFRSYTSSDDAWSEEARVSGARLVGREKMSSMRNGVVSRGGRAAYWLLENVVFGLRLDTLEAATANLPSSWPELDTNNVLLGLTPHGRLCAVRLGVQRGISPDTNGKWEYTLRVRSGGHSGNVDGDVDDIKLQMSRLVRETRDVIWVGKFLLDSIVNVKLRSFCERSGVVFFSAREWSYSSDRRYYMYRFSMDAKTVEKVASHVGWRDPWRDVHGYEMDQAAYLASLAPGDSEGGGIRDADHPLSSSIP >Et_5A_041855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3552143:3553387:1 gene:Et_5A_041855 transcript:Et_5A_041855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAASLAAVAVAVLALASVAASQLAPAPAPSAGPDCSSAVSSLIGCASYVTPGSTQSKPPKECCDGVTSAIKSPAAVQCLCAALGKDYGVPINMTRAAGLPAACGGNPAAFSKCNIKLPGGAPTEGKQMPLFPLFLVRILQAAWRVKLGTERGSARRWPARRRAWPGAALAGAEPARRGARPGAALPGEEPARAARSQLGAALHVAARRGASARRDAVCRGLAQSSARRGAEPARRGHGLLVPSDATGKKQKQPAAPWGRGKW >Et_3B_027839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21812068:21812540:-1 gene:Et_3B_027839 transcript:Et_3B_027839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTRQNKHMKIQFGPYIKLMWPNKSGQLSIFAKSKNITEMIQKLWKYAGNKKEKKVAMGMLLYFWWGIWKERNRRIFQAKEQPFA >Et_8B_060493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18155136:18160479:-1 gene:Et_8B_060493 transcript:Et_8B_060493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAIPAAAAARSVRDLPEDAVIEILARVPDVVSLFRCTVVCRWWRRLVSEPAFLRRRCWPEGGRCSLVGFFVHPQDLVSEVDEEYEFEFTRIVPTEEGLVFVPAPDSAAAALGTERRKLTSFVRDGAGILLDEARPLVARDGLLLVRLSPRPCEKKTVLRLCVCNLLTGRRDLLPPLDGAGLIEDGVGGYAVLTAADHGAGLHRPSNGYSTFFQVLIAGVCSTDLQGYLVRFLSGSAASQTWSWTCIPGALTGGPDGSSVAAITRGAAHWLVIGTGHDGNNRMQILDVSIDTERVGVTEIPFHALPGLIPPDWGDTWLCPSMVDGRLSFYYLDNDQLRIWNREDDTEVWQLTQAIQLGTELGLSGTELSLSTVCIGEKSNTMLVLNQQDPGNACVLHLRSWSSAMVAGWESSFNFVPAVPYEMNWSDFFMSRLNVLNAARNQFGQVRLCAGATHPNREMSRRFATPVFEARGSLAVRAWKREIPLPFVHLQAPLPPDDAAQIPSHLRRAPHREAMAEQQQPSPAGIDPRSGFCAATRTFRSLREPVALPPESLPTTAAAYAFSVLPSPLPDRPALIDAATGVAVSYPSFLAAVRSLAGGLWSALGLRPGDVAFVVSPSRLEVPVLDFALMSIGAVVSPANPASTAEEYAHQVALSKPVVAFAAPEVAAKLPRHLRCIVIGSDEYKWLASEGYSDDGTPPVAAVKQSDPAAVLYSSGTTGRVKAVTVTHGNLIALLCSSMGKEAGDAPPMVTLFPLPLFHVMGFTALLRTVYLAETAVLMAAARFDLGAALLRAVERYRVTRLPAPPPLLVAMTKAGELARRRVDLSSLLFVNTGGAPLGREVAERFAAIFPNVTIGQAGFISLISNTYVHVLRIVLGYGLTESSGAVAWTAGPEECKVYGSVGKLASRVEAKIVDPATGEALGPGQPGELWTRGPTIMKGKYPDEYAGQLPMAFIVRKPGSNITEQQIMDHVAKHVAPYKKVRRVAFVSEIPKSPAGKILRRELVQKALSMSVSKL >Et_4A_035661.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3312591:3314207:-1 gene:Et_4A_035661 transcript:Et_4A_035661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVRAGGLDDETLQQLRSRATQLLLKEDWREYIAVCSRIVDGAADDRRVLCSALAHRADARARIRDFRGALADCDAALAADPAHSGALLSKGALLRCLGRYAPAAECFRAALAAGAGSDEARELVEQCRRLEAQARSGAVDLSDWILAGFAGKSPDLAEFVGSVEVRRCAHGGREIFAVKNIEAGGILMITKAVAIGRGVLPDAADGGEKMVVWKDFVDKVLDAAEKCPRTAALIYTLSTGEERPDELVVPDMALFRQEPEDLNLSDSTDMARETGTKEVLDVDKILQVLDVNCLTEDAPAADVLGNSGIVNCGVGLWVLPSFINHSCHPNARRTHVGDHAIVHASRDIKAGEEVTFPYFDVLVPVSKRREAARAWGFECQCDRCKFEAEDVTLKQELVKAENDLVSGGDMGALVVRLEDKMRKSLVKERRKAFLRASFWSAYSALFDSDKLVRKWGRRVPGEAVVAESVADAVGGNERVLRAMLRGSKNSNGCGNQLEVEDKVMRIGRATYGKVVKRHAMRSLFRLTLDSRNNINL >Et_1B_010218.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30580320:30580802:1 gene:Et_1B_010218 transcript:Et_1B_010218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSAPRNTSCSIGPIGRDAKSTSWVLKISRAASGDDTTMTGSSQKRSIIRGPWRRARSRRARCGAAPARWCRWPMIGSAHGPGGSRRCPSLLRDKARKMRGTAMSRRSSRYDESSAAMASISSTTSWSLSAEEERAPILRSQLNTEQTHLRARKLTQHC >Et_1A_006362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21313156:21317071:1 gene:Et_1A_006362 transcript:Et_1A_006362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRAAMECPKKVAGLADLVKLPTALREFAGGRSPMSHLTFFRRVWSYIKDNNLQDPTNKNIVKCDDKLRTVLLGRSKVELSELPALSIGLGNVAG >Et_1B_013093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5443352:5446031:-1 gene:Et_1B_013093 transcript:Et_1B_013093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGDLWDDSALVDAFDRAVATFKTMHGKNAQATPCEKESRDPPAAAEEEKHISAEATDERKDNSINIPCGSIEPPQHCSEESKTIEQAPPQEADPGKVIHVSESKTLSSDLTDADRPVSASQEGEHSELLRRYYELEEQSRNVLQQLQQTNYWDYQAPYYASTYQQPQVPAYNATAQDPHTSTAQSSCCYWNCPTVSVSCCSASQPSGNSASVPPYGDQCPGASTTYPTGANFMQAQTKLSTHDDQVTKAAMMTAEGAFNFLRSTISGDPASQSKESETGKESPSIGVLPNFDISGADSELSVVLNAWFAAGFYTGRYLTQQQQQQSNKKSQ >Et_7B_053934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13511963:13513148:-1 gene:Et_7B_053934 transcript:Et_7B_053934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLKRKQPNGHGAVMGSAPEPCGFPEACLAFTRRSHQGTTPGRKVYLESCRRKNRLSWVNHSYTPDFDGYLWRKYGQKFIKDACYQRLYFRCSYNQDRRCMASKQVQQLDNHDPPLYEVTYMYEHTCNAEPVPAPDVVAAAAAAAAAAPAASAGLLLNFGSSDSGHKQCVAEKRNEPQQHHQWKSVPPGQSSMRNFDSWNGPLQEKPAFFPSDSLPQLQEKPAFFPSDSQPSASSWSPSYSTNESLLSTSNNSEDSLFSSLDLESLGGLIDQLDDFAQLPGNSYNQGFN >Et_5B_043695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14174141:14175502:1 gene:Et_5B_043695 transcript:Et_5B_043695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRYIKLSNCGTAASQRRDADDVEIAAAVLIVGTKRRWGGSILGHKTYKRDREGANKILNAQYFVERPIYNPDHFRSLGIQFRSVYSRRAYPVLFILPTLIKTINGRLKPTKLVHRSLNGAPPRLDC >Et_3A_025491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30263958:30267650:1 gene:Et_3A_025491 transcript:Et_3A_025491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQNDAVREAIASITNDAREKQRKFVETIELQIGLKNYDPQKDKRFSGAVKLPHIPRPKMKVCMLGDAQHVEEAERAGLDSMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKDLLFPVLSRKFPTLVTHQESLENKVNEIKATVKFQLKKVLCMGVAVGNCGMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKISKLLQNMKTKQLKEAAAHMSIFPKKQHNIRIFYENKEDHK >Et_8B_059194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15059987:15063565:1 gene:Et_8B_059194 transcript:Et_8B_059194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSSSARSASSTVCPVSLSSLHQPPNSHHTCISPPKTNHENTHKCIKSPNIPRRSLCFLPASFFLLRTPSSFAIDDANTPSTSKIDTTITDRIYMDFSICPSYFRSDRTLGAELSACPDSEPLGRVVFGLYGRLLPVTTANFKATCTSAAYRGTLVHKLLQGQFFAAGRQGSRRDKGEVQPPSGLVRNSETIDPKAFELRHARPGTLSLCLGQNDDDDDIKLNPDYHNVEFLVTTGPGPCPELDGQNIVFGTVLEGMDVITSIAAIPTYKPAERIRLFNDFAELIGDERAQTARAMWNRPLKTVYISDCGELKYI >Et_3B_027400.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:1243772:1244263:1 gene:Et_3B_027400 transcript:Et_3B_027400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAGRHPCAAALARRASILLISATICAAVFCPPMVSVSSRAASSLVRTTFTLTTPFLCPPRPWWSLDLRTLPLGLELIFLITSNSPPPPPFSSIDDDDLVQSILAFLPSLLPACLFFILWTAFTRSLREMSLCLGFMAGKAAVPW >Et_3A_026407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7829480:7832533:1 gene:Et_3A_026407 transcript:Et_3A_026407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMAAVFVLLSALLRSSVVHSQGLQVGFYSSKCRDAEDIVRSTVEEHFDKDATIAPGLLRLHFHDCFIPGCDGSILITGPSSEMTAPQNTGLRGFEVIVDAESRLEAKCPGVVSCADILALAARDAVDLTLGPSWPVPLGQRDGRVSSASDVVALPGPDEPVSVQRQKFAAKGLTDKDLAPTRSGVRSASSSATVCSTSRRRATRTRPSARRSCGSCRRCARPRAATPEKEVALDKDTPGVFDVSFFKNVRDGNAVLESDQRLWGDAATRDIVQKYAGSIRGLLGFRFGFEFPRAMVKMSGIRVKTGGQGEIRKQLKRRS >Et_4A_032344.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30932786:30932956:-1 gene:Et_4A_032344 transcript:Et_4A_032344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADLAFKALTAGLGVATIYLAGTFSVNVYRGLSWHSEQSVSTPHPKHTLPYRRR >Et_2B_019301.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22448727:22449032:-1 gene:Et_2B_019301 transcript:Et_2B_019301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLPNCNFQEVSLAPCMATAGAGTGNIRGACCSSLNLALDAGHRCVCSLLLSDPVFASLVTNLLTLPLLLPLPGCFLYAPSLAACQGLCMYVCDHYIYV >Et_8B_060369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10467925:10470599:1 gene:Et_8B_060369 transcript:Et_8B_060369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKGARVQPGSRVQGRRQAIGVTYAVVRASCRPSTKAGDSHVVDIAPSRELRNLIDIADSSATKVSKKSRDVLPSAYSPQHVSIGPYHRTWHPNVARDDEKNEYLHTILPAESTVVVCCHT >Et_2A_016982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:306676:308962:-1 gene:Et_2A_016982 transcript:Et_2A_016982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGNEQGGTRIFGPSGKYSSRDLAAHTSLKPRKEGQQTQEEIQKRNLRDELEDRERKHYSSKDKSYAEERDRRKSSSQLLLEGSKRDAEDKIVPREIDADDSDVEPRSDDESDEDDDDDDDTEALMAELERIKKERAEEKLRKERQQAEEEAKMKEAELMRGNPLININNPGSFSIKRRWDDDVVFKNQARGETKTPKRFINDTIRSDFHRKFLQRYMK >Et_5A_042069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:614591:619842:1 gene:Et_5A_042069 transcript:Et_5A_042069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLAAAAPVPWWLYGPMAPSDLSDDTSPSGSPEPPTLPFLVPPPPPPPPGYPLLPPPRPIVIPLHPGFLAEVDSRRCLSLVKFLKDERAVPTPEDDKKREKVIRELKKIVTRWVKAVAREQNVPPSIVTATVLTYGSYTLGAHGPESDIDALCAGPCIATLQYHFFVVLRQILERRPEVSEVQTVESAKSINTLTSQLLQQIDTTSWRSLSGVRVNERIVQLVPNAEKFQILLRCVKLWAKKRGIHCHHLGFFAGIHLAILAAYVCQKYPNASVNGLFTVFFQTFAHWSWQVPVSLHDQPTDCLHPEGRLMPIVMPCTPPEFCISNVTISTFKKIRQELLRGYALLMDQLRHHFKWTRLFEPFPYAANYQLFLRIALSAPTSEELREWAGWVKCRFRNLILKLEKIGVDCDPCPLEEVDHTVIKPNVIYYWGLISQTIVDVDTYCLREEFMKDVITDIYGKVKCTHSELTVSVVGLPQLPKSMYSPSVYWQYMPYCVLGYPYQTAQHQQTAKATMVAGTLNSTAQQSTAASVVEQNPRLP >Et_1A_007728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37598676:37602234:-1 gene:Et_1A_007728 transcript:Et_1A_007728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPGGAHPAGAAGADPMQVDQPRPAAAAAPAPANSKVSGLSRRARWFGRHRNCFDGRRISPARPRFVFPLRVSFGGLRVRSVGRSAPRAARLGLVLPFCARFLRQVLGLRRRSFASRGSDAVLLFEAWSLRARYASVSSPACAGLNVGPLDDEATSPMTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETFAIKKVLQDKRYKNRELQIMRAMDHCNVVSLKHCFFSTTPRDELFLNLVMEFVPESLYRVLKHYSNVNQRMPLIYVKLYTYQIFRGLAYIHTVPGVCHRDVKPQNLLVDPLTHQVKICDFGSAKMLVKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPNLRCTALEACAHPFFDELREPHARLPNGRPFPTLFNFKQEQMLPQSSSTGWYQTMLGDISDSISFPQLDHREGSM >Et_8A_058132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2094233:2095275:1 gene:Et_8A_058132 transcript:Et_8A_058132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGASATLAKPLTSPWRGTAPPPVTPSPSNNLLPWLIARRGFATSSLMRFRSPFSKRSMAPNSVFPSVSGYLFPDAARYFSPASAILAHGVACDAAVAADLPLLPERDRHLFQHQGQLLCVDVLDDTRPSPRRSSADADAQEALVSVRVLQVSGEDGKPRWVPWDADDYLCLFLGWDSSFAVDARDFAGAPEEVAGGCAYFVGRRAAGKEVYCVYRYSFRDGTATVVDQLPGRFDKKSMWFMPRPKLSAVRPQPESGTTQ >Et_4A_035432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24566655:24568106:-1 gene:Et_4A_035432 transcript:Et_4A_035432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSLLSEYAVVPGLDSGRQDVVVLPFCFPFVKHFSCFVLRPPLCSCLRSADLNGAWDGVLRRKGEGVVTAVLDTMVNPTHLSFRDDGMNAPPAKWRGECDFWGGRVPCNKRALKNGHGTHTASTAAENFVAGVLGNRNVTAAGMALRAHLAVYEVCSDTSCDVLDGMDSAISDSADILSLSLGGSSRPFHNDPIAIAAFSAMAKGVFVSCSARNEGPDPSSLGNDAPWILTVGASTMDRRMHAFVKLGNGMSFVGESAYQPNDLPPTPLDTPIEHSIEDDCKSGLPGLAGKIAVIEHVCLDRVRTGILVKKAGAVGMVLTGDEADGNTAFADAHVLPA >Et_10B_003082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16342468:16343645:1 gene:Et_10B_003082 transcript:Et_10B_003082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTPRLQRKKLVSNPNVWVLGNTSVERLPIMGLKDSIFKAVDQPGSDRWLEDVKHKRLPLHGRFVLQLFLASKQGEVVHAQGHMVTRCSKLKMNSLRLRSAILELSPLFH >Et_1B_013586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9783188:9788872:1 gene:Et_1B_013586 transcript:Et_1B_013586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSTPAAAAQAPSRRKVALYLALLTLQYGAQPLISKRFVSRGAIVTSLVLATEAAKVICAIILLIAEGSLKKQFSNWTLAGSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLLWTAFFTYLILGQRQSPKQVFALTLLISAAVLLSVGESSSKGSKGGSSDYVLLYGIVPVTVASMLSGLASSLCQWASQVKKHTSYMMTIEMSFIGSMCLLASTYQSPDGEAMRKYGFFHEWTLWTLVPVLMNAVGGILVGLVTTYAGGGFVIVSALLVTALLQFVFDGKPPSHYCLMALPLVATSIFIYQKYPYVDRKKKD >Et_2A_016355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23811932:23813626:1 gene:Et_2A_016355 transcript:Et_2A_016355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSFGKKPAAAPALMSFRSAAKEEPTLPQYSSFDGARAPASRMLTHQRSFGADGHGIPQYAAMNLAQPPQHAMNGARVIPVSSPFNQNNPMFRVQSSPSLPNGVAGGASFKQPSFAMNNAVASSTVGVYGTRDVRRPKTAQLTIFYAGSVNVFDNVSAEKAQELMFLASRASVPSSTSVDRRPEVPIFTPTKVTVPEVSPSKQMVFQKPQPVSPPVSVISKSITGVLQPASVPRSSSSSNIDSTVPKSSSPLAVRPISQAPLAVPPLSQAPSTQPATLASTTTATIMTRAVPQARKVSLARFLEKRKERVTTAAPYPSAKSPLESSDTYGSASANEKSSCTDLALSSNRDESLCLGQPRNISFSQDSPSTELQM >Et_5A_041071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17830375:17833857:1 gene:Et_5A_041071 transcript:Et_5A_041071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTMSFLAMILLAIAILSVTVAAAAASSHSHPHPFDPLSAAELTAVSAAVFATPLVTARPLTIHYVGLDEPEKKDILAYAYDTSSSSRSRRPLPRRALVIARAGGESHELRVDVTNASSPSVTSHSAHHGGGFPRFTTEELVASAALPPTYPPFVESVRRRGLNVSDVLCGMLPKGWYGGGGEVGGARLAKLQCFVAGATANYYARPLEGVTMVIDVDRMVVVGYRDRLLLPVPKAEGTEYRADKVGTPFDGPVTVPGVVVQPEGKGFKMDGRLVRWANWEFHVGFDMRSGTVISLATVHDAEADSRRRVLYRGFVSEIFVPYMDPNEEWYFHTFLDAGEYGFSAVPLQPGADCPANAEYLDGDYADQDGKPVKAEKMICVFERYAGDIAWRHTEPALGPQLITEVRPDVTLVVRMSISAGNYDYVLDWEFKTTGTIKCVASLTGILEMKATSYTHTDQIKEDAHGTLIAENTIGVYHDHFITYRLDLDVDGTKNSFVKNTITPMRNTGNPATGGADTPRRSYWTLRRNVAETEADGQVSLNGSPTELLFVNPSKRTKIGNEVGYRLLPGGATAISLLDDDDFPQRRASYTKKQVWVTLYNRSEKWSSGLFAEQSTGDDNLAGWSRKNRRIKDEDIVLWYTVGLHHVPCQEDFPVMPTISGAFELRPFNFFESNHLIRTRPPTQQGHSPNCSCGST >Et_8A_056755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16369404:16369994:1 gene:Et_8A_056755 transcript:Et_8A_056755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSIRTAFSFVQDDLPFEADPDPAEAIALPPTFNLDDLNIDDENDDRYVDEGIKVEPSAPDMPPVMESTIEPG >Et_6B_049421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4628438:4630376:-1 gene:Et_6B_049421 transcript:Et_6B_049421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRDVVYSCGSCAYPLNLSSSDRSTSEVGSSYQKSLKKGLISFASVDLSRFTQVDEISCFPLTWRTYRPKTKLLCRKCGVSIGYGYGEPAVLCSFDPANSSSYRTSNKYLIKIQALRPSDTTQ >Et_9B_065988.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19356105:19357604:1 gene:Et_9B_065988 transcript:Et_9B_065988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFKQSVKLKYVKLGYHYLVTHGAYLLLVPLPGLVAAHLSTFTLRDLVDLWQSLQYNLVSVVACSTLLVVVATAYLLTRPRPVYLVDFACYKPADERKCSRARFMNCTENLGTFTPENVEFQRRIIERSGLGEDTYLPEAVLNIPPNPSMANARKEAEMVMFGALDELFAKTGVRPKEIGVLVVNCSLFNPTPSLSAMVINHYKLRGNIVSHNLGGMGCSAGLIAVDLARDLLQVHANTHAVVISTENITLNWYFGNDRSMLVSNCLFRMGGAAILLSNRRSARRRAKYQLLHTVRTHRGADDRAFGCVTQREDDGGNKVGVSLSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFATLVARRLLKKRKAVKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSDWHMEPSRMTLHRFGNTSSSSLWYELAYAEAKGRIKKGDRTWQIAFGSGFKCNSAVWKALRSVNPDKEKGFRNPWMDEIHKFPVPVPKVSAI >Et_6B_049905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17152423:17156774:1 gene:Et_6B_049905 transcript:Et_6B_049905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAGKLFIGGISWDTNEDRLREYFDKYGEVVEAVIMRDRATGRARGFGFIVFADPAVAERVIMEKHMIDGRMVEAKKAVPRDDQSTLSKSGGSVHGSPGPSRTKKIFVGGLASTVTEADFRKYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALFKTFHELNGKMVEVKRAVPKELSPGPSMRSPVGGFNYVMGRTNNFLNGYTQGYNPSPVGGYGMRMDTRFGVLSGGRSSYPSFGAGYGIGMNFDPGMNPGIGGSSSFNNSLQYGRQLNPYYSGNSGRYNSSVGYGGVNDNTGSVFNSLARNLWGNSGLNYSSNSASSNSFVSSGNGGLGGIGNNNVNWGTPPVPAQGASSGSGYGSGNFGYGSSETNFGLGSNAYGRNAGSGGVDNFNQSSNGYARNFGDSSGGGGSIYGDTTWRSGSELDGTSPFGYGLGNSASDVTARSSAECNGHFVLGATALYNCDCDRPRSHASIIEWITCVIGLVACDFEPDVKLVMTEIRI >Et_2A_017418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34155505:34162333:1 gene:Et_2A_017418 transcript:Et_2A_017418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADHSSPPRRLVFAFYLTGHGFGHATRTIEVVRHLIAAGHEVHVATAVPEYVFTAEVRSPRLRIRRVLLDCGAVQADPLTVDPLATLEKYRETAVVPRESILRAEAEWLSSIKADLVVSDVVPVVCRVAADVGIRSVCIGNFSWDFIYAEYIMGAGSHHQSIVWQIAEDYSHCDILLRLPGYSPMPAFRDVINVPLVVRGLRKSRSEVRKELGIEENAKVLLFNFGGQMPLQTSLSLQKMLTHQILWLHLTACLVVAQILQDTAIGKKCTSDKLSGARRLQDAIVLGYQLQRAPGRDIGIPDWYSPSKTETNAAPALKTVETKENTESCFEDFEILHGDLQGLTDTMAFLKSLSGLNGNDLKSLEKQPRERTAASVLFDWEEEIYVARAPGRLDVMGGIADYSGSLVLQKPAMLLFRETIPANRSFGNIHKQDSLRMQAWYLWYKFYSTVNMVISQVSFGSESSNRAPTFDMDLSDLMDGEKPISYEKARDFFCRDPSQKWAAYIAGTILVLMTELGVHFSDSMSILVSSAVPEGKGVSSSASVEVATMAAIAAAYGLNIAPRDLALLCQKVENHVVGAPCGVMDQMASACGEANKLLAMVCQPAEVKKLVSIPNHMQFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCAADDLVSESLTSEVPVQPDCYKGNGVDLMKSDAAMEYLCNLPPHRYEAVYAKDIPEVITGDAFLEKYGDHSDTVTVIDPKRSYSVKAPTRHPIYENFRVETFKALLAAGSTDEQLAALGELMYQCHNSYGACGLGSGGTDRLVNLVQEMQHTKTSDDGSPCLFGAKITGGGSGGTVCVIGKNCARSSEQIVEIQQRYKAATGYLPIVFDGSSPGAGKFGYLKIRRRRSSSPTAE >Et_4A_034405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32279835:32282630:-1 gene:Et_4A_034405 transcript:Et_4A_034405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVATAPAGGKRPASGGREGDQMVITPLGAGNEVGRSCVHMTFKGRTVLFDCGIHPAYSGMAALPYFDEIDPSAIDVLLVTHFHLDHAASLPYFLEKTTFKGKVYMTHATKAIYKLLLQDYVKVSKVSVDDMLYDEQDIIRSLDKIEVIDFHQTVEVNGIRFWCYTAGHVLGAAMFMVDIAGVRILYTGDYSREEDRHLRAAETPQFTPDICIVESTYGIQQHQPRVVREKRFTEVVHSTVAQGGRVLIPAFALGRAQELLLILDEYWSNHPELHKIPIYYASPLAKRCMAVYQTYINSMNDRIKNQYAQSNPFHFKHIESLNSIDNFHDVGPSVVMASPGGLQSGLSRQLFDKWCTDKKNSCVIPGYVVEGTLAKTIINEPREVTLANGLTAPLHMQVHYISFSAHADFPQTSTFLDELRPPNIILVHGEANEMSRLKQRLISQFDGTNTKVVSPKNCQSVEMYFSSEKMAKTIGRMAEQVPEPGESVSGLLVKKGFTYQIMAPEDLRVYTQLSTANITQRIAVPYSGSFEVIRYRLKQIYESVESSTEESDVPALIVHERVTVRLDSESYVTLQWSSDPISDMVSDSVVAVILNIGREGPKVVPVEEAEKTKEETERVAQKVVYALMASLFGDVKVGEEGKFIISVDGNVAHLDGHSGDVECENTTLKERIKTAFRRIQGAVRPIPLSAS >Et_9B_065162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21697139:21698855:-1 gene:Et_9B_065162 transcript:Et_9B_065162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLLLVLLAMFSGSDGAFCVCKPGLPDAMLQKAIDYACGKGADCMQTQPNGPCYSSQKTLVCNYIVNSYYQKNGPIGGTCDFGGVATLTSSDPSTANCRFASGPSSAGGAGAGGGMGAGTGTGTGAGTGTGTGTGMGGTGAGITTPGGALSPPFGGANGPSGAGMGPDYSAAAAARPVLAAAAVMAAAPLLLHYLII >Et_5A_042930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7065050:7069849:-1 gene:Et_5A_042930 transcript:Et_5A_042930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSATLKTKATKATEGQSKAASHHDMNQPDPISSGNLEALTVIFYGPIRAKPKLQKNDEAKMVCHVVHQKYNDHTQDQQMQPQFHLRSAITPLTILWIQVGVR >Et_4A_034167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30482568:30484226:1 gene:Et_4A_034167 transcript:Et_4A_034167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESAGRTCLCLQASNQDMDTGIGLLDRTNRSVEPVPSQGLKEDTDKSSMIGGSDQNIEFRSLSISVAEAGIARQFSEASDNIKQQEHMSSSATRIDEHSDDNTGISKTRVEEHLDNSDVTHGTALYPTTDPLLLSPTYEQPDRHVHILSSLTSGKSEHPIPIHTHPDDQNAAVDIVSTSTEGHISASRCYQQVEHRESLSENLEHNEHSEDNSVKHLSIKSEAPSDTATPSAPDLPLDEQNSQESQTSQERNLESSEDSQLGGSLPSAESMATQDCPNDRHNLEREKINQPSGLGLVVSGLDEGSHAHAEQPPVMGWTVGPQMLHPNYGMLIEERPYVPNISDNHLIRKPISIKNIPRNPLVDAVAAHDRSSMRKVSELPPPADKPKPNERNLLLEQIRNKTFNLKPASSMPIAIRSPARADTRNLKVAAIIQKANAIRQAVGSDDEDGDNWSD >Et_3B_027654.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:10887166:10887408:-1 gene:Et_3B_027654 transcript:Et_3B_027654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNDLPDDILGHILSYLPTPEEAGRAAVLSKRWRYIFATTSTPSRSTTTIPEAATRTTTPSSSSPRSSAAPTATSWTG >Et_9A_062196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20642720:20644953:1 gene:Et_9A_062196 transcript:Et_9A_062196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVRRLALLLVQLLVLVAAVSGARWQDFLRLPSEHSGAGEDVADVCHAYQIMKKGGLKDENIVVFMYDDIAHNSDNPRPGVIINHPTGGDVYAGVPKDYTGKDVNVKNFLAALLGDRSGVSGGSGKVVASGPDDHVFVYYSDHGGPGVLGMPSDDYLYAKDLVGTLKKKHAAGGYKSLVFYLEACESGSIFEGLLPPDIGVYATTASNAEESSWGTYCPGDAQAPPPEFDTCLGDLYSVAWMEDSDAHNLRTESLKQQYQVVKERTSAQDTYSLGSHVMQYGDMKQSAQSLYNFIGTDPANDNVTFGRDNSLRPFSGGGRVNQRDADLVYFWQKYRKASEGTAEKREARKRLLRVMARRSQVDSSMELIGGLLFGSDQGSKVLGAVRPAGQPLADDWDCLKSLVSAYEEHCGALAQYGMKHMRSFANICNAGVAAEGMAKVAAQACAVVHSAEAQ >Et_2A_017819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6589017:6593793:-1 gene:Et_2A_017819 transcript:Et_2A_017819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTSNGAASNGAANGPAPGRLASVYSEVQTSRLLHTLPLPSVLRSNFSVADGPASSAAGNPDEIAKLFPYLFGQPSASLVPSAEPAATRPLKVGVVLSGGQAPGGHNVICGIFDYLQERAKGSIMYGFKGGPAGIMKCKYVELTTDFVYPYRNQGGFDMICSGRDKIETPEQFKQAEDTANKLDLDGLVVIGGDDSNTNACLLGEYFRSKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALQTHPNVALIGEEVAAKKETLKNVTAYITDIVCKRAELGYNYGVILIPEGLIDFIPEVQKLIAELNEILAHDVVDEAGAWKSKLEPESRELFDFLPKTIQEQLMLERDPHGNVQVAKIETEKMLIAMVETELEKRKAEGKYSAHFRGQSHFFGYEGRCGLPTNFDSSYCYALGYGSGALLQSGKTGLITSVGNLAAPVEEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELDGAPFKKFASLRDEWALKNRYISPGPIQFSGPGSNDSNHTLMLELGAQA >Et_2A_018140.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:13185417:13185839:-1 gene:Et_2A_018140 transcript:Et_2A_018140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVLDAFATKLMDILASMAWKEVETLLGVPGEITKLHQTIRDMSHILGDAERKRIRDSSTEAWVMELKDVMYDADDILDLCQMMEGEQDPPASASEPKATTPRCWKKVPAMFFCFCNPVVAHELGKKIQALIKPAAAGP >Et_1B_011869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26730152:26733662:1 gene:Et_1B_011869 transcript:Et_1B_011869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRGAFGLESDKARQGEKGAKQSKPKPVSYPAAPPPPPRAPVLSPNKVSCSLQSRQRPRARARSRFLPSVVEVWRAEGFRSIPLACALFTRSDSLRGLLCCGVIAEGRAVWGGVEGGAMGGQWVEEGRQPSNLQCFLDCTTPAVETHILPKTHGRLSADAWHHTEMDSLEYFNLADLWEQYYEWSAYGAGASVQLPGGEKVVQYYVPYLSGIQLYTNKVLTASRSFGEDNGMDFWSDDDDNEKMSRSWSSTSDDSLLNCDVLGGNRRRPGHLYFEFFEVGSPYGRVPLIDKVYELSQSFPGLTSLKSSDLSPVSWMSVAWYPIYQIPYQRNVKDLSACFLTYHTISSSFQDHVLETMNNGCCYPAADGKQNSHLDKKINTISLPPFGLAAHKIQGSLWTNQRTGDRKRMDSLFSAADSWLKQLGVQHHDFNFFITHPK >Et_8B_060073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5757721:5761609:1 gene:Et_8B_060073 transcript:Et_8B_060073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGVSAGPVLQLPSLRPRRSVPVKSFLPRRTVAGAAAGNYFAGTGVYNRKGYQQFLSLKKSGRLQAAVLPVALPILDDEEKRKQMSEDYGFTQIGEHLPDDITLKDVMDTLPKEVFEINDVKAWTSVLISVTSYALGLFFIAKSPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSRNKLVEDIVGTLAFMPLIYPYEPWRFKHDRHHAKTNMLIEDTAWQPVWQKEIETSPFLRKAIIFGYGPIRPWMSIAHWLIWHFDMKKFRPNEVPRVKISLACVFAFMAIGWPLIILQSGLAGWFKFWFMPWMMSTFTMVHHTAPHIPFKSSEEWNAAQAQLNGTVHCNYPRWIEILCHDINVHVPHHISPRIPSYNLRAAYDSIKQNWGKYVNEANWNWRLMKTILTRCHVYDKERYYVPFDELAPEESGPIKFLRKFMPDYA >Et_2B_021701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4633219:4637217:-1 gene:Et_2B_021701 transcript:Et_2B_021701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPDSALSSLPLAAAPMSDPTPSSAASPPNPFVAASSFLHHHLSRLASHLTAPRPALAAAATTRTPGPQGASLSLALAPDEVARTLTGTPVFTVCNSNNEFVLVSDPATGLRSLGLLCFRSEDADALLSHVRTRQPVLGRGAKVVPITLDQVYMLKAEGIAFRFLPDPLQIKNALELKSGLSAFDGVPVFQSDLLVVKKQKRRYCPIYFQKEDIERELTRVSKASRGPALSKQIMVGSLEDVLKKMEINERNSGWDDLIFIPPGKSLNQHINEVCHGL >Et_5A_041195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19234804:19237426:-1 gene:Et_5A_041195 transcript:Et_5A_041195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVAATQLPLPTTSPRTPPRRRRRAQPLPASEPGAPKALAAILRSRVIACIRAQDGETAMQAAHAAVRGGVTVLEIVMSTPGALEVIEDLCRTYPSLTFGVGTVLNAADARKAIRAGAQFLMSPGTVTEILHDLEESQVLYIPGVLTPTEVLSACSAGAKVVKVYPVSVMGGEMYMSALKKPFPLIPMIASQGIKIGSIRGYVEAGASGVVLSDAIFDKELMTIGKFSEISELASLATFEALQAMK >Et_5B_045044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8853507:8855189:-1 gene:Et_5B_045044 transcript:Et_5B_045044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKLQCACEPCSDRAAKRLKTQKKHLYLIADDWDRGYSVYKVDVEAFDAIDHAGAGSEPFPDLPVARFEARHDGSWYFAAHGAKILAMQPSGYPAFPVFDTETNALAVWPWRRRGGNEESRKPFFASVAGDLYLLRGGHFDVLGAAPPHPDSNTTSFREEAAWSWSRVPSPPPFHDSNRISSFALHPDGHTLFVSQHQSTFSFDTARGEWATHGTWILPFKGQAFFDSELEAWVGLCCHKGGGGYICSCDVAPVAADCRSLPLWKLGKDRLFDAESRRHLGATLVCMGDSKYCLVESLAQDDEEVLRRLHSDGYYPHRRVLSVTTFGLRYDKQGELTITQRKARFYMVTDSHKLPEMSWNPVAFWIEKEATTFALKATKLPR >Et_3A_027066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31465668:31468633:-1 gene:Et_3A_027066 transcript:Et_3A_027066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRELVVSFGEMLIDFVPTVAGVSLAEAPAFVKAPGGAPANVAIAVSRLGGEAAFVGKLGDDEFGRMLAAILRDNGVDDGGVVFDAGARTALAFVTLRADGEREFMFYRNPSADMLLTADELNVELIKRAAVFHYGSISLIAEPCRSAHLRAMEIAKEAGALLSYDPNLREALWPSRDEARTQILSIWDQADIVKVSEVELEFLTGIDSVEDNVVMKLWRPTMKLLLVTLGDQGCKYYARDFRGAVPSYKVQQVDTTGAGDAFVGALLRKIVQDPSSLQDQKKLEEAIKFSNACGAITATKKGAIPSLPTETEINCKDRKHDVWRLLKF >Et_5B_044437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:24088986:24093226:1 gene:Et_5B_044437 transcript:Et_5B_044437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAATSTLAPALAARQRRARAGLPPRTPPQLLRRHAVVRCSLDSNVSDMGVNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDLYESRPFIGGKVGSFVDKKGNHIEMGLHVFFGCYSNLFRLMKKVGADNNLLVKEHTHTFVNKGGAIGELDFRFPVGAPLHGIQAFLRTNQLKVYDKARNAVALALSPVVRALVDPDGALQQVRDLDDVSFSDWFMSKGGTRESITRMWDPVAYALGFIDCDNISARCMLTIFTLFATKTEASLLRMLKGSPDVYLSGPIKKYITDRGGRFHLRWGCREVLYDKSPDGQTYVKGLLLSKATSKEVIKADAYVAACDVPGIKRLLPSEWREWEMFDNIYNLDGVPVVTVQLRYDGWVTELQDIEKSRQLQKAVGLDNLLYTPDADFSCFSDLALSSPANYYIEGQGSLIQAVLTPGDPYMPLPNEEIISKVQKQVLELFPSSRGLEVTWSSVVKIGQSLYREAPGNDPFRPDQRTPVKNFFLSGSYTKQDYIDSMEGATLSGRRTAAYICGAGEELLALRKKLAVDDSEKTLGKVQVLQTS >Et_5B_044921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7329559:7330690:-1 gene:Et_5B_044921 transcript:Et_5B_044921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVLGLGAVTRWSLTGMRFSRRSPYVPVGRIKATGDVRRPAGQPRTALQAQQPRVSAIDARQGESELFYCSQVVLHGDFKASNILLDAATGASLCLLRVCARGVLGELVHQRLRGSVLSRRWRHVWSGVPPSRSFCFLNMTASTQPWQLAPRRPSGDSKSECPTTAGSPVSPLPTAGHVPGWLRFASQRLADELRLSLRFETPPGGGRRSCSRRARGLRIENTYVDGGELERFVSTCCPRLKELFLDWITIKDEDRLVLTIQSGTLQRLVTNINFDGPGAAGFLSTSPVRLLRYIPEALRADLVRQPLRPQPPQFCSGGRGAVSAAWRP >Et_5A_040856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13585542:13589306:-1 gene:Et_5A_040856 transcript:Et_5A_040856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIDPGPRRAGFHRLLWARKQDLLAPPDPWRGRRRRARSPSRSCRGTSCGGSWKKVLRFLQSVEQSSGTVHTSSGNMQVATGRYHTLLVHDSSVYSCGSSLCGVLGHGPDTTQCAAFSRVSFPSLSRVINISASHNHAAFVTESGEVFTCGDNSSLCCGHGEVGRTIFRPTQVEALKGIPCKQVATGLSFTVILTRDGRVYTCGNNTHGQLGHGDTIDRATPKVVELFQGSSQVVQVAAGASYTFAVTDDGTVHSFGSCTNFCLGHGDQHDELRPRAIQSFKRRNIHVVRVSAGDEHAVALDALGCVYTWGRGYCGALGHGDENDKTSPELIGSLKGQVAVQVCARKRKTFVLTDEGSAFAFGWMGFGSLGFLDRGSSDKVMRPRILESLRDHYVSQISTGLYHTVAVTNKGIVFGFGDNERAQLGQEYIRGCLKPTEIVFQKSMEDIAIAAPSG >Et_9B_064607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16918315:16922865:-1 gene:Et_9B_064607 transcript:Et_9B_064607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSSSDRARRPFLLSLSLFLLLSALLVLLFIFLDPSPGTLTFLPSRLSGSAPALAPVVPKQQSAATILTPGTPNRAQPITPAAAAAAGARADENTAAPQTDATVGVESSGNRDPTRAETNGGAADGTAGAGVVAAGGDDEEEAPVQVRWETCRVGRGVSAADYVPCLDNIRAIKALRTRRHMEHRERHCPVAPRPRCLVPLPAGYRTPVPWPRSRDMIWYNNVPHPKLVEYKKDQKWVTRSDDYLVFPGGGTQFKDGVGRYVQFIEQIMPNIGWGTHTRTVLDVGCGVASFGGYLLDRNVITMSLAPKDEHEAQIQFALERGIPAFLAVIATQKLPFPDNTFDVVHCARVLRPGGYFVWSATPVYRQEKRDQDDWNAMVTLTKSICWRTVVKSQDVNGIGVVIYQKPISNSCYVERKVADPPLCSERDGSRFPWYAPLDSCLLASAVSSSDERNIWPVPWPERLNVRYASVPDDSASNKEKFDADTKYWKQVVSEVYFNDLPLNWSSIRNVMDMNAGFGGFAAALIDRPLWVMNVVPTGEPDTLPVILNRGLIGVYHDWCESFNTYPRTYDLLHMSNLVGSLTARCDIIEVAAEIDRILRPGRWFVLKDTMEMIKKMRPVLKSLHYETVIVKRQYLVATKSFWRPGRSASRSG >Et_8A_057105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21172653:21176355:1 gene:Et_8A_057105 transcript:Et_8A_057105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RCRATDLSFALGCLGDDGDRGGCARVSAGGGEVGRCAAAGAAGEAQGLRQEGAFAFWDELGPEERDHLIRDIESLDLPRVDRIIRCSLRSQGASVQSVEPVPESSVSTVDDRTPEDRERWWRRGLRAISEGKLAVVLLAGGQGTRLGSSDPKGCFSIGLPSRKSLFQLQAERILCVQKLAAQCTDAPGGTVQIHWYIMTSPFTDEATRKFFETHRYFGLEPNQVTFFQQGTLPCVSPDGRFIMETPFKVARAPDGNGGVYAALKSKKLLDDMAARGVKYVDCYGVDNVLVRVADPTFLGHFIDRGVSAAAKVVRKAYPQEAVGVFTQRGKGGPLSVIEYSEMDAAMKTEINQTTGRLRYCWSNVCLHMFSLDFLNQVTNSLEKDSIYHLAEKRIPSVHGHTSALKLEQFIFDVFNYSPSTALFEVLREEEFAPVKNANGSTYDTPDTARLMLLRLHSRWVVAAGGFLTHSVPLYATGVEVSPLCSYAGENLEAICRGRTFHAPSEISL >Et_7A_050495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19723339:19724406:1 gene:Et_7A_050495 transcript:Et_7A_050495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKDKHTADFVSSPSDKALVRAVARSVVNVCSVALDGKIIDQCTGIVVGWNNDDTTKQKQARILTSSDVVPKISPSRPRNNKSLQIRLPNRTVLEVQVLFVNEHYEIARAADLSSLPLQLPSIGSNPSYGQEVFALARGKKSNLMARHGWILWFEEQDYFQLNHRMFLGCELPRCGTGGPVVDHDGNVAGMKFGRIARPVHGLRLRTVEMLEVSLQEMLSLDHDIESGYIVDRVASGSAAERLGIRYGDVIVSFDGLRGHTLPQLEDYLLSLGLRFLQTGIDSSSIDLKLQVYDPLERSTRSVVLPVEFSDDASAN >Et_1A_007556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35913070:35915634:1 gene:Et_1A_007556 transcript:Et_1A_007556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVVDDVTGATSAAVAVENGVNGKTASPDGVGAHAEEEHEERANGDNSGESEVINPPEEAGGEATSPPEGRKPRLSKRDQDPKVVKSKSPRNGDESQTRRRAPNSSLPKAPIARVSGCRTNGDAGVGSNVSSSTWEKRKTQKPLGQHSSVKEDEAESNCESSKPRKIGSTPSYGFTFKCDERSEKRREFYSKLEEKIHAREIEISNMQAKSKETEEAELRMLRKSLKFKATPMPSFYKEPAPAKIELKKTPPTRARSPKLGRPKSKSTPETEETTTTNQLVRLSLDEKIPQNGVKQSTLSNSVKKPQRKSLPKLPSEETGPLDAATSTKVKAIDAQGNGSLAEESHGTEVNTDFVQGPIRAEVTPDEQELSEHIVA >Et_10A_001714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7107727:7109072:-1 gene:Et_10A_001714 transcript:Et_10A_001714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHTTPNHSSPQRRRKYATISTNPKLPWLSSPRNHVALPPLLGPSLSSPAPASSPSTVSYFLLPLIVLSIHGFFRPEERDWAGLVPELVCKIADLLLVDDVSEYIRMRAVCKPWRSTTANPSFLEPRFFPRNWLLVARHLRDDGEPERFVNVRTGASLRICLVDADQYTHHGNVEGLLLLHYTFSDTICLLNPLTMAMYDLPTMQAVNNDSIKAAGIIVDVDELGQAQSVPTVVLSLTTGEDTAIVCAKPGDSVWRAVDTSCTDDIDGNLPVIQGGLSVRGRFYVPTRAGDVLAVELQPQPHLKYVAKMTGDQIRSGFHESSYLVPSCDDDDCGMLLVRSCSPNGKFGCTKFAVDFYNRSLFLKRAEWRHSVPAVRHNPLFCISFSVAEHNLLEVSHEKTSARRLHLIHFKACCSEGS >Et_3B_027706.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:13379626:13379745:-1 gene:Et_3B_027706 transcript:Et_3B_027706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPIQNCITLHKEQACIYVLQLFRSIELSNCESSFMPQ >Et_9B_065934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18077172:18078697:1 gene:Et_9B_065934 transcript:Et_9B_065934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRRAIEVRQQPGGDPAPKWRMSLLENTFSAFLHQSAGADAAARAVFAEGSLFSPFLFGNFFDPADAFPLWDFEPEVLLAKLRRGAARTTVDWAETDSEYYLRADIPGGRKCEVEVSGDAMKVIDISGLWRAPPADGRDWRASRWWEHGFVRRVELPEDADWRKVEAYFDDGEGSLEIKVPKNGDGHQATA >Et_5B_043779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1657731:1658814:-1 gene:Et_5B_043779 transcript:Et_5B_043779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRAVQHAVRQGKSRRALPGAVSHFSSTASIPHQRLAGKVAVITGGASGIGKATAEEFVRNGAKVILADVQDDLGRAVAAELEGAAEYTRCDVTDEAQVAAAVDLAVSRHGRLDVMFNNAGIVGSLARPALGALDLADFDRVMAVNTRGVMAGVKHAARVMVPRRSGSIICTASIAGVMGGLTPHPYSVSKHAVVGVVRSAAGEVARAGVRVNAISPNYIPTPLVMRILGTWYPELAADEHRRIVEEDVNEMAGLVLEPADIARAALYLASDESKFVNGHNLVVDGGYTVGKVPNMPPPAQ >Et_7A_050490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19082331:19082501:-1 gene:Et_7A_050490 transcript:Et_7A_050490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAWPIWCHRKWKSFFKEEFALILDKAKPSTRVLLKDWLSSI >Et_4A_033918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2804733:2809269:-1 gene:Et_4A_033918 transcript:Et_4A_033918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPPPAPAAAPAPVAAPAPAPAAASVADQTTDLLQKLSLDSQPKAADTTEPAGAKKAAAASQPLSVSIPPERSITPVLQDFMDPNMFYLPAYYYGGYDGSMGEWDDYPRYLNPDGVEIAPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFGTQYQYPTAYFQPPTPVPSTTQGDVQSSANPEKPAAKADPAKTTTNGVVPNGTAHSNSGTVPLASSHQNSSLTPDGTYRAPLLGGVPSAGYLDSTYGYDSTGAHFAWYDTSAYTNGQQRTPTTNYMSSSTYNGNGSSVRNQNKSSTTQQMGMQNRRPTTGSATPTYPNRMYTSPRPYTQYGSSMKTGLAYGSNGYDSRMYGRWGLGMDNRYRPRGRGNGYYGYGNESQDGTIELNRGPRSGRFKNQKLYGHSVTIAVKGQSLPSGESKNDSAVPDKTQFNREDFPVQYDAAKFFIIKSYSEDDIHKSIKYNVWASTTNGNKKLDSAYQEAQSKGSACPIFLFFSVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSFSVKWHIVKDVPNNILKHIILENNENKPVTNSRDTQEVHLEQGLQMLKIFKEHVSKTSILDDFTFYESRQKLMQEKRVKQQQIQKQVWDSRAPTAVTGEQKQEVANGKPKISVPNGVNGELKAPTENVIPSNLSRLPAVDMLWPGAVVVPAGINSFRLTEPQVLFLRALGRMFRAIEEKLTAVPSNTPFSCRPVGLGGGAAILDGLRRGRVRS >Et_1A_006370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21391536:21392127:-1 gene:Et_1A_006370 transcript:Et_1A_006370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LPPRQLLLLLLPATHLPPCCRRASCSTSLASCHRAALNGVTRRRGWGRTTARRRTLMCTRTSRTRCRAACRPTPCRSSTNAAGAGCRTCTSPAASSHPRSSSTRPRSSASASTTASSRAERPWSPARPSPSITPTPSPTSSPSPQSTAHTLADLTAFKGIQLNAQFF >Et_8B_058798.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:6663997:6664110:-1 gene:Et_8B_058798 transcript:Et_8B_058798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFKGKCYCCQTPKSNVPQCYENWNDCKANCPACHPS >Et_4A_033954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28372979:28375591:1 gene:Et_4A_033954 transcript:Et_4A_033954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEREPLAGGGLQRRAAAAARAGAQEPPRGQRAIHPDVEPAPRPRPGMQKLAILAIVVLACLQFLPATHFRDPNDPRRGWIRYDGARDPTDSLDKVENVDVFSWISCLDLRTLAVLTNSTLSSSSDPPNVSFHFLIPEGGNDKLPYHKLKVVLPDSNLIVTSQKVIKDKLNVATPEGNFLWSFHKELSPLLIGTTQFSKRRYVYTAEDSIIKGKIEDIARLDLGTYAIAAVEDCSKRFGDYVSIDVLNAIQRTAPKSWVSTEPYDKDACLLDFDVLVVEPRRLKKNLVEAIMWWVRAVNVANPRDQIRLAIALTFYNEYLKLPSNWKRANAHTAILNYDGPKNVCSEDGRQHEQGAHGDMWQQYLHQKSEAILSA >Et_4B_036541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29059721:29059988:1 gene:Et_4B_036541 transcript:Et_4B_036541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LTWTGSTGGPWPRSVARIALGGPSGRVDVIVCGREGTCSRGPCQFCEIKFRSLPLPVKFGPRRIGESIVLLLSVQKPA >Et_1A_009260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35959166:35963914:1 gene:Et_1A_009260 transcript:Et_1A_009260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVQRSTTGEPAAGRDVRGNGGSSSSAANLSQILSAKLRKCCKAPSPSLTCLRLDPEKSHIGVWQKRAGARADSNWVMTVELNKEAASTDAAAHSTSAATTSPATTVDDEERIALQMIEELLSRSSPASPSHGDNEGFSHWRVHNHLLARFGSAHT >Et_10A_002014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18669351:18672691:-1 gene:Et_10A_002014 transcript:Et_10A_002014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKWFKGFFEVMEKLSVEEINEHTRQWHCPACKNGPGAIDWYKGLQPLMTHARTKGSTRVKLHRELAALLEEELSRRGTSVVPAGEQFGKWKGLRESTDREIVWPPMVIVMNTLLEKDDDDKWKGMGNQELLDYFGEYAANKARHAYGPGGHRGMSVLIFESSAVGYMEAERLHKHFVVQRTDRNAWQTHKVRFVPGGNRQLYGFLANKDDMETFNKHCQGKSRLKYEIRSYNEMVVAQMKQMSEDNQQLNYLKNKVVKTEQRSKAVEETLGVITQKLRETMEENIFVRSKAKEKHAEYEQEMKYQEEFFHNQIENIHKATEDKEKMFEKLLQEERSKARRFDVDSGTTEDRKLRKEQVQKFIDCQVKDVAEFESERDELIKAHEEKKMKLKKEYMEKEVQLEKELDTALTGLMEKHKPDTFQASSS >Et_1B_012109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29053438:29054370:1 gene:Et_1B_012109 transcript:Et_1B_012109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESAFHAVVRCTKAVALRQELRQAWLLPDEKQFTHSGPDWLLLLLNAVDKDRVWHLRKGTGSVIGSARFLISYSSSVQIATQKTTPIFDVKGKGKLYVECQQVHTTGVRLAAEWVRQPAIVEMDCSLLIDALNSSIKQRSSWKGILQDIHASAGLLPEFIFNRVKREANNVAHLLAQQAISRREFVVSRFNFPSCVRTIVESELITISSGNGRSDATPACTFDVL >Et_5A_040537.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6446538:6446711:-1 gene:Et_5A_040537 transcript:Et_5A_040537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRMKFVAVAAMAAALVASAAAAEAPAPAPTADAAAAVPLAAASLAAAAFGYLFC >Et_3B_028304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13179899:13182390:1 gene:Et_3B_028304 transcript:Et_3B_028304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRVPTADGIVESRHEVIGLQGSLSYAHVLKAKELAELLMEVAENSMDTGEFLAAQHWEES >Et_9A_061276.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:5208139:5208306:-1 gene:Et_9A_061276 transcript:Et_9A_061276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPTAQVHLRPSLRLGVGHGELCNSAQGVWSQQMHPSCYIKYSWTSMGMSTSPY >Et_6B_048793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13789693:13795309:1 gene:Et_6B_048793 transcript:Et_6B_048793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMRETSAEFLVLVTCRYFLLAGALHQPNPAGDNVTKSCIPRAREALLTFKEGITINSYNTLASWQRGQDCCRWTGVTCSSLTGHVVNLDLSDAALVGQLSPSLLSPEYLEYLDLRWNSLEGPNPRIPEFLGSMKNLRHLDLFGMPFSGTMPSFLGNLSYLEYLDLSYTSFSGSVPPQLGNLSNLQQLGLSLVQNLYSTDISWLSHLHLLEYLDMSSVNLRAAVDWPHVLNRLPSLQAIKLDGCSLQSANQSIKHQNLTNLVQLHLSYNLFDHLVASCWFWNITNIESLSLFGTYLYGPFHIELGHMTSLKDIAFGKNDNRAKMQVDMKDLCSLERLWLESLSLGNAAELLENLPQCPSNKLQVLILRDNHMTGILPDRLKSLDLSNNDLNGPLPQEIAQVFPNLQNLDLSNNHISGAIPPEIVNSSTLHTLILRFNQINGLVPALPKSLTFFDISMNLLSGLQPSDLGAPNLKGLNLYSNRISGHIPQSICYLRNMLALDLSNNFLEGTLPECFQMLNMVFLLLSNNNFSGKFPSLLQNCSSLAFLDLSRNNFNGRLPQWIGNMVYLRFVQLSYNMFNGYIPANITKLKRLRYLNLANNNISGAIPLSMSNLIAMTDKHPKKQGIDMIEWYNGRLGKFREILSVVMKRQELNYGVGIFQMVGIDLSHNHLTGGIPEEITSLSGILNLNLSWNQLRGLIPEKIGAMKSIDLSWNNLSGEIPPRLSELTYLSYMDLSHNNLMGRIPQGRQLNTLYTENPSIYDGNCGLCGPPLQRNCSGDNNAPDNRDQDTSERVNNQLFFYLGLGSGYIAGFWVVFCALLFKKVWRIAYFRLSDKVYDQIYVYMIVTWGRATMRGPAASFLLLIVPCFFFLVTHARREARPAAASCIPKERDALLDFKRGINDPEHLLSLWQRRHDCCSWEGVSCSHRTGNVLTLNSLEYLDLSSWFNQTLPSNNSLEFLGSMKNLRWLHLSGSLSSGHIPPQLANLSKLEYLDLSSIDILFW >Et_5B_043939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18075862:18079480:1 gene:Et_5B_043939 transcript:Et_5B_043939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVVVPKPPPTPPSSSASSLRRAWLAPAISLSPRQPRTLRPLSCGCASSSSASASSSVVLPEPEPQLVEQEREAESRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVVTTHEGVPQEFHGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSVAIGRDLQAARVTAANKIRLWNKGVDSESFHPRFRSKEMRSRLTNGEPEKPLIIYVGRLGVEKSLDFLKSVMDRLPGARIAFIGDGPFRPELEEMFSDMPAVFTGTLQGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGVPVVGARAGGIPDIIPEDQEGKTSFLYTPGDVDDCVGKIERLLSSEELREAMGRAARLEMEKFDWRAATRKIRNEQYSAAIWFWRKKRAQVLRPVQWVLRRLFRQPAPAMTKQS >Et_1A_008397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7241921:7242669:-1 gene:Et_1A_008397 transcript:Et_1A_008397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLPAYAESADLIQAKNIHCCLLIHGFLGCTEIATGLLDVYAKASDLDVAWVLFQGLPEKDVVAWTIIIAGYGTHGHARTAILLYERMVEIGGEANSVTIASLMYSCSHAAHAGMIDEGLRLFNDLRNVNGLMPNAEHCLVDICLEGALLGACVLHDNVEFGEIAAKHLFELEPENTGNYVLLAKVYTAAGRDLRRMMVERGLGKEPGSSAVDVRSESCQTLM >Et_5B_044374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23453546:23455707:1 gene:Et_5B_044374 transcript:Et_5B_044374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHRAAVAACGRVDERTHVRKKVFTLYFSTTLPCLRERENESEPLLRLVPPIPTFPSVACALRCGMAGPRTRRRLAAAALPEGALVEILSRLPVKPLHRFKCVARAWRDLIDDPVLRRKLAQTVEGFFVMDVGADWGHFGFVNLLARSVPLDIDPSFSFLTSLPEIETLIFLDSCNGLLLFDHRRKSAPRCVLCYIVCNPATKEWGTVPTCDCSPLRPAYGGSSYLVFDPAITPHFHLVQFRGEPDEAALRVLVIPHAYSSETGTWSHIDINWNMQEAQDGQSEEWWRYQGLSPFESPRRALVNGILHLIVPYLQNVVAVDVQGKTQAIIPVPEGVRWDPGEYVDVAQSQGCLHYLRESIQGDTLSIWVLKDYGSTREWVLLGTLNFLLLFGKRSYSCGQREFRVVSIHPDCNVVFLAQSSERKLISYEMDRKEARVIGTLGNLYHHKHIVPYVPYYSESLVFKMYHVDASVPYGNKIGSLHLMAV >Et_8A_057262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22974866:22979943:-1 gene:Et_8A_057262 transcript:Et_8A_057262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVTVCVRFRPLSHKERKANGDKICFRKLDSESFVFKDEREEDVIFSFDKVFYEDAEQSDVYNFLAVPIVSDAISGTNGTIITYGQTGAGKTYSMEGPSILHCNQQKTGLVQRVVNELFESLLKSSTSMSTWTVKLSMVEIYLEKVRDLLDLFKDNLQIKESKTQGIYISGATEISITNSSDALESLSQGIANRAVGETQMNLASSRSHCLYIFTVQHGSTSDERVKSGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSALGNVINALTTGWQLESSIAVLLFSQSIKCTRKTKLIKTSYKVIPEVVDNVKKPILETQDQDDLRDRILSKLRLSLKEEDVDLLEELFVLEGIIFDPSSVTDIDSACQDAACEEISLLMQAVEELKETVEELTDENERLRRDLEVAQDIAVQTQHVAGAGRTSSLLDFVPAALLRPFGFSAAPKALVFLCHGWFVVKFGARSYTSISRFFNISFVCHRWMDC >Et_2A_015337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11168615:11172745:-1 gene:Et_2A_015337 transcript:Et_2A_015337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPPPFLLRRHAAPPRAVPWRLRRRRSPVVVRASWQELAGVLAFSAIPFTAVKALANSPLGALLRRRLEDRKAAAAAEAEALRAAARDARNKSLWYGGDRPRWLGPVPYEYPDHLTGEYPGDYGFDIAGLGKDRDAFANYFNFEILHCRWAMLAALGVVVPEVLDLFGLVHFVEPVWWKVGYAKLQGDTLDYLGIPGFRIAGGQGIVVIAICQALLMVGPEYARYCGIEALEPLGIYLPGDINYPGGALFDPLGLSKDPVAFEELKVKEIKNGRLAMVAWLGFYIQAAVTGKGPVQNLVEHLSDPLHNNILSSVLYPRAGSGREGFQPPAAGLPSPPIHAAAQGQELVRRRPCAPPPQPDLGE >Et_6A_047537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7016975:7022771:1 gene:Et_6A_047537 transcript:Et_6A_047537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGVGSRLAVSGIVPHPRHHPQSPPSGLAVATAGQRVRSVSVSATASSSGTGDVAPVPPRSSSLVKRHTLSVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTEKILKQVVEQLNKLVNVIKVEDLSKEPLVERELMLVKLNVEPDKLPEVMGLVQTYRAKVVDILDSTLTIEVTGDPGKMAAVLKNLRKFGIKEIARTGKIALRREKMGESAPFWRFSAASYPDLEVSIPSNSLISTGAKVINQNLQESSGGDVYPVESYESFSTNQILDAHWGVMTDGDSTGFCSHTLSILVNDFPGVLNVVTGVFSRRGYNIQSLAVGPAEKQRTSRITIVVPGTDESIGKLVQQLYKLIDVHEVHDFTHLPFAARELMIIKVAVNATARRDILDIARIMGAQPVDVSDHTVTLQLTGDIEKMVRLQRMLEHYGICEVARTGRVSLLRESGVDSKYLRGYAIPL >Et_10A_001913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1180531:1182214:1 gene:Et_10A_001913 transcript:Et_10A_001913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQGYALLHNFGLAHLKSSALKCAVGLGIPSAIHRCGGAATLSDLINETGLLPAKLPYLHRLMRLLAVSGIFDESKPPVGESEAEAAAIYTLTPASRILVRSSDNNNSQQSCDMSALLLIFTRLDTTVSTYFNLEAWFRDPGAKPLFEMAQGMSSWSFTKVDASYNDAVNLACVADSNFTMDIVLKEAGGVFQGLDSLIDVGGGLGIAAAAIARAFPHIRCSVLDLEQVISKAPEHGQVQFLVGDMFKYIPPANAVLLKSVLDCWDDDSCVKILRQCKEAIPARDAGGKVIIINMVIGHGALDKAAIEAQVLFDMFIMRSPGHEREEHQWKKVITQAGFKEYKIMPLLGPIRVASNACRLGHIFRGNFVCK >Et_10A_000610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13724388:13724701:1 gene:Et_10A_000610 transcript:Et_10A_000610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RWEKLQGVLKINWDASFQVNDASGAWGCVIGDCDGDVVMSAKGSMEHLMNSFQGEIAANDLRATSVIIETGLCNIAICVGIGQLLELRAWSSRRAN >Et_9B_065197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2305934:2308478:1 gene:Et_9B_065197 transcript:Et_9B_065197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPRPAVHDELAGGKDQCLFCEMTRQHHPQCARRLPKRIILVRHGESQGNLDMSAYTTTPDYRIPLTPLGADQARAAGQRIRDVVSSSDAAANWKVYFYVSPYARTRATLREIGRAFPRDRVIGVREECRVREQDFGNFQVEERMRAVKETRQRFGRFFFRFPEGESAADVFDRVASFLESLWRDIDMGRLEQDASCETNLVIVSHGLTSRVFLMKWFKWTVDQFERLNNFDNCEFRVMQLGPGGEYSLLVHHTKEELENWGMSPEMIADQQWRASANRRSWAEECSSFIDAFFEEPKDSESSDSEEDIEENGKIKSLDRQFDTGREQ >Et_2B_020174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17590040:17598535:-1 gene:Et_2B_020174 transcript:Et_2B_020174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEHTVVQPVEDPATVITPDVIEEILLRLPISSLLRFRGVCKQWCIMINDHHFIREHALRAPKNLLLFLPKFDISVGRSKFFVPNRAMIINEKWSPSAWAASRMDPDDHLFASCNGLLCFYKTYMLKITNPATGQCLHISKPDGILLYDFHYLYSFGFHPMTGEYKVLHFLRDRLHYKSGRPFHFDTIQVYTLGENKWRGIKNPRKCCMVNLGVVNMDGAMYWLTEDEGTSCGMSVVSFDLRDETFTSIQLPPLVEVKETVSCATPTFSYYIVEIDNMVCTVAIPYHSHVPRWRCFNAELSDRMDIWALESQVEHKWFLKYSVQSPRVPRYVLQPCFIHREKIVLLDRDDNAWYHDLPGKNVQIEQRDEVKLLHLGASRFCETRSYLHRETLVPLSGQPLFVHRLLQLADVQCYKARALSKEDDHGFMMPNTEILVLILEQLATATTLTMVSEEAKAKKLQTEECIISCLPNDLIERIFLRVAVSTLLKCTGVCKQWYKLIRDPHFIAAHLEQAPRCALLFFAQESVAGKRYPSDAIIFDELWSQSTLAVPVIGPDDLLCGTCNGLLCLYTKTSKIKIANFATGECLHLDKPIKNLKGDHFSFYRFGFHPVTKEYKVIHFLGENQNYSQGTFNVIQVYTLGSEKWRDIRTTEALSLSCVKNYGVVSVDGAIFWLTEDSAARWKHAVISFDLREETLTRIQLPAAAFQNSYSRRYWITEIDGKLCIATAEVHRHRHRVLSDKLQIWMLDSKVEQRWSQMYNLSDGRKYLPGPHFVHRDKILMQGPVCKLYSYELSGMNCEINSSDRLLLDFSPRKPDNMQSYICVKSLVQLDAYKKVSIVHGPKQREGWELKKWEVWKREAQGVEDAWRDVYELEQNSLAFPRHLGMVAKELLQRLPDEVIRQRITAEVDKILQQLPDCPDQHLRSLRRLNWVERKWDNEKLSARTDGVKDITKVWLEWIGMASDKDEPKKQGKEDCIINFLPRDIIESIFLRLPVRTLLRCIGVCKQRYCFIRDTQFIASHLRHAPQCVLLFFPQDKVLGDDLPSDAIIFDEDWTQSIWAVPVIGPDDLLCGSSNGLLCLYTETSTIKITNLATGECLHLEKPVENLKGDHFSFYRFGFHPGTKEYKVTHFLGEHRSYSEEMERCKHTSSLGLRCVKNSGVVLLDGRMYWLTEDTGASWKHAVISFDLSAETFARIQLPAAALGGSDSRRYWTTEIDRKYV >Et_10B_004234.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:273770:274636:1 gene:Et_10B_004234 transcript:Et_10B_004234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDVLGAAAYWLAEHPAIVGFRWSPTHLWFSTWAFLLGFLASYVALCLALDAFLGALLRRRRPLPLGPVPPAHALLMAAVSAAIFAGTLLSAAAEIRDTRWSWRGRSRTTPFRWLLCFPPGTRSSGRVFFWSYAYYLSRYLHAARGVFAVLQRRRGATARVFAHAASIAMAFLWLEFSQSFQVLAILASTLAHAVAFGFRFWLGAGLPAARAARGAPVALACQLALLGCNLACHVGVVWMHFGGVSGGCSGIGAWVFNTLLNGALLWVFLHCYGKRGVCDDDGKKDL >Et_2B_022939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8940984:8944458:1 gene:Et_2B_022939 transcript:Et_2B_022939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYIDNNGIFLLSNGSTFGFGFVSSSSSNSVSYLLAVVHLATTSVVWSANANSPVSHSDNFVFDEDGNAYLQSGGSSVWTANISSKGATSMQLLDSGNLVVLGKDSSSPLWQSFSYPTDTLLSGQNFVDGMTLVSHSNTQNMTYTLQIKSGDMMLYAGLETAQPYWSALQDNRMIVNKNGNNIYSANLSSRSWSFYDQSGLLLSQLVIAQQDDVNSTLAASLGNDGLITFYMLQSGNAKSTLAITVPQDSCDMPSRCKPYSICNSGTGCQCPSALSSYANCNPGIVSLCNSKDKFQLAQLETGVGYVVTSFTSPVAKTNLTGCKNACMGNCSCVAVFFEQTSGNCFLFNQIGSLKQKDGGTSGFASFIKVSDTNHGSGQGGSESKHTIIIVVIIVGTLAVIGALVYAGFCIYQKRRRHPPTQDEACSSEDDGFLQTISGAPMRFTYKELQDATNNFSNKLGQGGFGSVYLGTLLDGSRIAVKKLEGIGQGKKEFRAEVTIIGSIHHIHLVKLRGFCAEGTYRLLAYEYMAKGSLDRWIFQNNDDSSLLDWDTRFNIALGTAKGLAYLHQDCESKIIHCDIKPENVLLDDNFLAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIISGRKSFDPVEVSEKAHFPSYAFKKLEEGDLRDIFDAKLTYNDKDERVETAIKVALWCIQEDFYQRPSMSKVVQMLEGVCDVPQPPISSHIGYRLYANAFKSSSEEGGNRLPKQEALQWLLKGKENIQE >Et_3A_023208.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:11110560:11110721:1 gene:Et_3A_023208 transcript:Et_3A_023208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENLGRGVCCHSLGGRQQGGKSFSSSSTTGMSFTLSPVFSVSTACACFALYK >Et_9A_061640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14693946:14697209:-1 gene:Et_9A_061640 transcript:Et_9A_061640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding INSHRKCVWLLVRHHRRGTTAHHTRLQSSDQRRGTGSGRQLSSKHLRLHGMRTTTCHAFLLLLAVLAASAAAATATAGLGSGVHPVGFAERLIHDLNLVPGTGGPDGPDEEWGEPAAPGELVERRVRLPVAPSGSGAEEVPVEELGHHAGYYRLPHTHAARDGDSGRPVVIWLTGGPGCSSELALFYENGPFKIANNMSLVWNDYGWDKDFFKQHPDLLENDFYITGESYAGHYIPAAADRVHRGNKEGSGLHINLKGFAVGNGLTDPAIQYGAYADYALQTGIIGERAHKTINKLVPACKLGIELCGYAGAIPCIASYAVCNSIFTSILLLAGNVNYYDIRKQCEGSLCYDFSNMDKFLNEKSVRDALGVGNKKFVSCSPLVYEAMIADWMKNLEAGIPALVEDGIKVLIYAGEYDLICNWLGNFRWVNSMVWSGQQNFSKAPMLTFKINGKEAGLLKSYGPLSFLKVHDAGHMVPMDQPEAALDMLRKWLGGALTQKTADDMMLADM >Et_3A_024888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25027430:25028271:-1 gene:Et_3A_024888 transcript:Et_3A_024888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSRALVAGAVLVAAALLLPARHAMAIYDEAKAPSSAADMAKPPPTDAAMPVIAPYNGNALPPSSLAPAPAPESLEPAAPPPAPIYPFVVVEGVIYCKTCKGNGYNTGMDASPLQGATAMMVCYGRKVVNATATTDSNGYFVIFFYDLKNFNAKTCKMYLVSSPSPKCSKPMYPPNQWIGLSLVREGRTIPPVGFQGLYTPTSVLFYGPAVKGQCPY >Et_5A_040646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10847041:10851564:1 gene:Et_5A_040646 transcript:Et_5A_040646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFQVCRRYRLLLFVPRADGAALPTNGYGPWRRQYVLDFQRISASTSTSRILPWESPSRETLLRKIECDMKDGNVDEAMQAFGNYKSLHGLPEPRVLNSVIVSLSYTSTRRWLLRAFDLVLSVYQINSNLLNSGSLMRLALALARDQMPVPASTVLRIILENGMLPDVNMMSMSFMHMVKSQVGSFLAADVLVETCKCFLDHVTDRRQLKRLDPIKNNVTLFNMVLESCVNFKCMIKAQKILELMSSIGVLADVNTVVIASRAFEMVGQRDELMHMKRSIDSLTSLPFLRYYLHFYDSLLSLHFKYNDMDTAAKLIIDLHRQRKPHACFSNGLRKQGVIQIGSGNLKTGYRIRFDPGKVDKGFVLDTESQFGLVVLTDGNLLHSEKALAKLIVGCARARNMHALSSFFITLHKEDLNRISSSMDDICTESSFTIKNIAKIVKDDMPLIKSSLFSTLIEEVKHYNPRDHLTLEFNNSILFFCKAKMMEDALCTYKRMREQNIRPTCHTFCHILCGYSSMGMHREMTILWGEIKRRVEYGELDVDRDLLDSFVLNFLKGGYFARVMEVITCMLNHNMYCDKWKYRHVFLKLHKNLYRNLSSLHDKTEAQIKRIEDVQAFRLWAAFLYVMNAGICQTRIAGLAQLEVWCWESQARGDEKFWLQEASGKEEAEEAGGTMEALTDGAGWQLGQGRAWEVNWGRFSMAREATTDLAAGMPPGGWRRTMSGLEFCRRENRVGVGRLKKDQRSSDSDQTILVILDKTFNFSHLAIAWELEVEERSTFVRFRSDESRYTRQNLQF >Et_2B_020616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21933943:21938071:1 gene:Et_2B_020616 transcript:Et_2B_020616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPSSGAPAFRFLPAEVAEMEARLQQLNNSIPSRTVLQTLADKFSASPERAGRVAIQPKQVWNWFQNRRYSHRAKNVRTAPPPATKMTPSGADHLQHATASSAFRAAQTPAAAAGAHPGSSPVAVKGAVEGVQVEFEAKSARDGAWYDVAAFLSHRMFESGDPEVRVRFSGFGAEEDEWINVRKCVRQRSLPCEATECVAVLPGDLILCFQEGKEQALYFDAVVLDAQRRRHDVRGCRCRFLVRYTHDDSEEIVPLRKVCRRPETDYRLQILHAARAAAASADVRTPTKEVKVESASNENSPAEKKTAKQHKMMDVNTDEVSMVSEQEAASKTTGPAPSAPSETCNDSSSEVVMKDAEPAQVIEVDDEVQVVDKVKEGE >Et_8B_058597.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5406670:5406957:-1 gene:Et_8B_058597 transcript:Et_8B_058597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAILSSRLAGLVAGNCGRRTYAAAAEAVAVKEPVNVAVKARLSPAAAAEQATKKEDWSWMRDPKTGCWMPENHIDDVDAADLRARLIFSKKD >Et_10B_002465.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:953043:953999:1 gene:Et_10B_002465 transcript:Et_10B_002465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKCESFPDPFSSSSSGGARNGSLSHIEGTRSPGIGGIRTYRRNTGSQTASSRKAVLKKLARERRKRPSRTSRTVQWLGSGRKEKVKSAACATARAAGADRWGGSKGWKPWNPSFPVNLKIPRRGGGWRDVNRRRNPWPEATRRKERQVAEARARSGPESRRMKMSSRISSGRSCCAAPIWLPVGEAIARRRRGGWQLCARWTVGCSVRSFFFFYQWLPNLHSDRDVFTPKMLLHTGQARASLRPSIANSNCGPSQALSTSVHLISLGQAEAQVVEHSELDASCLQGFRRQASSGTRRRQSRYAICFSRSSLISFPQ >Et_7A_050604.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:5763063:5763152:-1 gene:Et_7A_050604 transcript:Et_7A_050604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCRLPSTFLLFYQAQYLGCSGTLWWSA >Et_10A_001418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2930316:2945380:-1 gene:Et_10A_001418 transcript:Et_10A_001418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFRFLLIVVAASAAMVHGHPAANTPAALFWEQALPGSPMPDAIADGVQRGIDHSPLVEHYTASPSISACTLFDSTCSPKAVAEAGIFFHETQLRPGSTMTLSFPVEAAPAILPRDVAEKVPFTNADDVIAAFNIVPGSAEAEQVRNTLSRCQAPPIAGEVKSCTASLEATVQSAVRMLGAGAGDHVWAAASELPRAGLPRQPYAVVAATRVQGDEYLSCHTLPFPYAVYQCHVAPMAYWAYKVSLAGLRDGSAVAMLAICHLDTSGWNPAHPAFQVLQTKPGGSPVCHFMPMHPSTFLLIVVATVVALAHGHPAANTPAAQFWEQALPGTPMPNAIADRVQKGIDHSPLVEHYTASSPSISACTLFESTCSAQMVAETGIFFHEAQLRPGSTMTLSFPEEADPAILPRDVAEKVPFANFDDVLAAFNIPAGSAEAAQVRDTLSRCQAPPIAGEAKSCTTSLEATVQSAMRMLGAGADHAGGDVWAAASELPAAGLPRQPYAVVASSPVDGGRYASCHTVPFPYAVYQCHIHTAPAGYKAYKVSLAGVHDGSAVAMLAFCHLDTAGWNAAHTAFEVLHTKPGGSPVYMHPPALLLIVVAAGATMVRGHPAANTPAGRFWEQALPDTPMPEAMANLVQKGIDHSPLVERYSASPSSISACTLLDSLCSPQKVAETGVFFRMSQLRPGSTMTLSFPAEAESAAILPRDVAEKVPFGNLQDVLATFHIPAGSAEAAEVRNTLSRYAPPLAGEVMKACATSLEGTVQAAMRMLGTTSRGPVAAVTSELPAGGLPRQPFAVEEVTQLAGKRYVSCHKVPFPYAVCQCHMADERYGDYKVSLRGLRSAEGGRRSPWWRSATTTPPDEPGVPGAAHPPR >Et_1A_007101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30968353:30971736:1 gene:Et_1A_007101 transcript:Et_1A_007101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATTAPSPPAAAPAAPPPSYPAVSAASASAAASTEDDDDLYGRLKSLQRLMEFIEIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDGNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLGSTEKPNVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAADISAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFDFYK >Et_8B_059674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20543926:20545777:1 gene:Et_8B_059674 transcript:Et_8B_059674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGDSTKLKSRAGAGGPGLGDEESDYFPPTPRKDWSTGFLLKLVTATVIFMGGVVLGLSVSGGVARYYYNSHTELFFPSTTYGGCADRDCGPGGVPFKAFVHPPHLAHSMSDEELFWRASLVPKTEEFPFQRVPKVAFLFMTRGPLPFLPLWEKFFHNHQGLYSIYVHTLPDYKLNVSRNSVFYGRQIPSEEVSWGSITLVDAEKRLLANALLDFSNERFILLSESCIPVYNFPTVYEYLINSAHSFVESYNIDTPQCAGRYNRRMAPHILADQWRKGSEWFELNRELAVQIVADYKYYSIFRKHCRPSCYPDEHYIPTYLHLFHGSLNANRTITWVDWSRGGPHPARYGAESISEGFIQAIRSNGTRCTYNSKPTSVCYLFARKFAPSALGPLMNLTST >Et_3A_026754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19006875:19007855:1 gene:Et_3A_026754 transcript:Et_3A_026754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASWSSPAEDAAQRLLCCACVEQSDVAMQETCGRYDAVLSPGCHFMPWCVGRRVAGYLSLRVQQLDVRCETKSKDNVFVTVVASVQYRALADKAYDAFYRLSNAREQIQSYVFDVIRASVPNMNLDQVFEQKDVVARAVEEELAKAMTMYGYEIVQTLIVDIEPDEVVKRAMNDINAAARLRVAAAERAEADKVQHVKRAEGEAEAKYLAGVGVARQRQAIVDGLRRFVPDEKSVMDMVLATQYFDTIRDIGAASRAATVFIPHGPAAVHDVAAQVRDGVLQAAAHGVTGAGAAR >Et_1A_007640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36743444:36747209:-1 gene:Et_1A_007640 transcript:Et_1A_007640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQWRHGGGDLPWSRKAAICRNRSFCLGSSFDLCVEALQDRRGSTREAALTTLAGALEDVPLLDDFDSRCYNIFALCGVSIREGSIKEARLAYRAAGLFALTLRDGSPGILAESFPLLSRTLKAQASRDDTTTMVAALDCLAAVTFAGALDREDVERSMKAVWDVIFHPVSRSSKPSGCGATKTSPQVLVAALSTWTFFLTTIVAVTDALRKADSAVWNATVASLAGLLENDDRAVRMAAGEALAVCIELNLTQHAPRKDMDALAAKVFDLASEPAGKGVNNTALPQQKDLFRQIAAFLHHGERLDESIPTSADGCVALKVSTWAKRVQLNFLKRFLGKGFVNHVQSNELFIEAFSYGADERKVLSIAKKKQCGKMEKELKVEHKRGRWGGYLWDYKICCDYPYTARRKPESLLQIGTELVGKGGGDKDPEVLNRSRSYYFNPQAARDSFELLDMCVNALHDRSAATREAAMSALAGALEALPRLDEIDSRCFTIFAFCGISIKQGGSPKEARLAYRVAGLLALTLRSDAPCLLDEAFPLLSRTIRGGLGAAHDDTPTVLAALDCLAAVTLAGARGAEDVERSMKAIWNAIISPLQVSRSSSKLSLGAARTSPKVLAAAVSTWTFLVTTIAHATGAPRKSDRANWAATSSAAAIVGTSPYDAAIVGASRPREQRERLGEDPRRSGSDGEREEADDAVREAGLLEGPFLDADPAEREDAVGPGFELVDRWERLQRRRAPSGPPHAWSII >Et_4A_035521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27550653:27553577:1 gene:Et_4A_035521 transcript:Et_4A_035521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRSDLARGATCSPGCREDDGAATGDENIIGEELQQQEEEDRTPSPDFGSDGETRSQKYQEIVRYINLMYEGPIEGFDWDEDRIHKEIDHYLEKLKGGLPYRDDLEFWVYDKEPQRIELNQRLALYRIRAYKEELRNLEDEELRRKCPSLEDENYFVFYENRYDWYFDPVYCKFAHLEDYQRLVLRDYGEYEEWEDYRRTCNTLEGDQQFVQFWDDLQNKTKWLIDCVKAGRCVEYLWTVRFEKNWLNYYVEFFLTIWKLVFQGKYEAHITKIGGEVGAGDRQSGCCKVKNRNKRGATANRRTRNMRAIEVDPTSVDLCATMDQGSAGIVVIRGQMHQNLLPVFMKGYFDYFD >Et_4B_038774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4791860:4795694:-1 gene:Et_4B_038774 transcript:Et_4B_038774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRETRRIAVDRECELGPPGGMSLHADLLGDPVARIRHSPAGLPDAGKCTSWASYRMRQCHVAETSGPGGQIVGVIRGPVKEVATGKGGSGSSASASARVVYILGLLRRVFPQRFLDEFVCHDTRSSSGSARSGVARRAAALRGVGAGPEQRGEGATGKGKRHQGMVMCGSRAGFHGRQGREEDGLAVACCRRAPPLLPLPLRHGLGLHAAQHRRHDLHAAHPRLHNLLAAPPPRPPPRQPRRRPRVLRLQPNHSDAVVLPPLAGEYRPIDYGCALLTGDDFRPRRCTKFFFRLLLVYNRQRGEAGQRARRARRRGEPARLAGTKTARWVF >Et_5A_042875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6180107:6183087:1 gene:Et_5A_042875 transcript:Et_5A_042875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSHLLSIVPASSSLHRVLFLSTAAASPARFNAEDFLITRCGLTPAQALKSSKHLARVKSPSNPEAVLAFLADTGVSGADVAAAIARWPPLLCAKVDKTLKPRIGMLRGVGFSISQISRLTATAPVIFRSPAAISRLAFYLSFLGSFEKLYSVLRSRYGGYLLSQDVERVVKPNLAFLQQCGLTDCDNAKLLLLAPIVLLEQDRAKEIVACAEKLGVPRHSAMFKHALWTVHFVSPGRVDAKLDFLKKALGCSETELSVAVSRFPRTLTLKEVNITQTVEFLKMEVGLEAEYIVHRPALLSYSTEKRLMPRHYVLKVLKAKGLVKDTDFYNVVCLSEKKFAKKFLARHEDSVPGLKSAYAAACDGQVPQFLLKSNSERILEQGICFSVSRIEGSKGKKIWYAINKILLSDA >Et_7A_050927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12539683:12544030:1 gene:Et_7A_050927 transcript:Et_7A_050927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMAKRLKMTHRYPNESASSSSTSMSSQRSETDDDRMIAMVLTEEYAKLDGAMAKRLTNLTSIPHVPRINTYFPTYSDATMDHYRFLDRLNQYGLCEVRVSGDGNCQFRALSDQLYRSPEHHKHVRKEIVKQFAAKICLLTSFRDTCFVEIVPQHQAPQREIWLSFWSEVHYNSLYDARAAFAAASQPAFEKNSLSAAKHPRAKVLLST >Et_10A_000552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12674102:12688346:-1 gene:Et_10A_000552 transcript:Et_10A_000552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAAHRASFPLRLQQILSGSRAVNPVIKVVETEPVSPPSPSSSGQLARVLGCDAAGGAGEPRARPRPRARVLIVLAASQPANVKAFIDRVISIPLHDIAIPLSGFRWEFNKGNFHHWKPLFTHFDTYFKTYISSRKDLLLSDDMAEDDPLPKHTILKILRVMQIILENCQNKSSFAGLEHFKLLLASSDPEIVVAALETLAALVKINPSKLHMNGKLISCGAINSHLLSLAQGWGSKEEGLGLYSCVVANERNQQEGLSLFPADVESKYDGTQHRLGSTLHFEYNVGTAQDSDQTSDKSKSSNLCVIHIPDMHLQKDDDLSILKQCVDKFNVPPEHRFALLTRIRYAHAFNSARTCRLYSRISLLSFIVLVQSSDAHDELTSFFTNEPEYINELIRLVRSEDFVPGPIRALAMLALGAQLAAYASSHERARILSGSSIISAGGNRMVLLSVLQKAISSLNSPNDTSSPLIVDALLQFFLLHVLSSSSSGTTVRGSGMVPPLLPLLQDNDPSHMHLVCLAVKTLQKLMEYSSPAVSLFKDLGGVELLSRRLHVEVQRVIGTADSPNSMLTSDAVKSEEDHLYSQKRLIKALLKALGSATYSPGNPARSQNSQDNSLPVSLSLIFQNVEKFGGDIYFSAVTVMSEIIHKDPTCFPALKELGLPDAFLSSVNAGVVPSCKALICVPNGLGAICLNNQGLEAVRKASALRFLVDTFTSRKYLLPMNEGVVLLANAVEELLRHVQSLRSTGVDIIIEIINKLCSPQQDGSNEPVVSEERTDMETDVEGRDLVSTMDSSIEGSSDEQFSHLSIFHVMVLVHRTMENSETCRLFVEKGGLQALLTLLLRPSITQSSGGMPIALHSTMVFKGFTQHHSTPLARAFCSSLKEHLKNALQELDKVSSSNEVTKLEKGAIPSLFVVEFLLFLAASKDNRWMNALLSEFGDASREILEDIGRVHREVLWQISHFEEKKIDPEASSSSSVNAGSQVDSSVSEIDDNRYTNFRQYLDPLLRRRGSGLIESQVSDLINIYRDIGRAASETQRVGTDRYSSSGLPLNSEDQSSSSSDANASTKSEEDKKRSEHSSCCDMMRSLSYHMNHLFMELGKAMLLTSRRENTPVNLSSSVVSVAGNIASIVLDHLNFEGHTISSEREITVSTKCRYLGKVVEFIDGILVDRPESCNPIMVNSFYCRGVIQAILTTFQATSELLFTMNRPPSSPMETDNKTGKEGSTADSAWIYGPLSSYGAIMDHLVTSSFILSSSSRQLLEQPIFNGAVRFPQDAERFMKLLQSMVLKTVLPIWAHPQFPECNIELISSVTSIMRHVCSGVEVKNTVGNGSARLAGPPPDENAISLIVEMGFSRARAEEALRQVGTNSVEIATDWLFSHQEEPQEEEDDELARALAMSLGSSDTSAPEEESKPADLELEEETVQLPPIDEILYSCLRLLQTKETLAFPVRDMLVTISLQNDGQNREKVLTYLIDNLKQCVMATDSQKSTALSALFHVLALILHGDTAAREVASKGGLVKVALDLLSSWELEPREGEMTEVPNWSGGLNALLSLPTNSLFSGFNNVASTIIRHILEDPHTLQQAMELEIRHSLVTAANRHANPRVTPRNFVQNLAFVVYRDPIIFMKAAQAVCQIEMVGDRPYVVLLKDREKERSKEKEKEKSADKDKATGAVTKVASDVVAGSPASAHGKQPDLNARTAKAHRKPPQSFVTVIEHLLDLVISFVPPPRAEDQPDVVLGGSSSSDMDIDSSSASAKGKGKAVAVEESKQGSQDTSASLAKSAFVLKLLTDVLLTYASSIQVVLRHDAELISMHGPNRAMVVESLIISCIIFFLMLQSKRKRGKLTEIGESAVTFVEVGLVQSLSKTLQVLDLDHPDSGKLVTAIVKALEVVTKEHVHSADLNAKGENSSKTGSDNNNLDPSSNRFQALDTTTQPTEMVTDHRESFSAVQTSQSSDSVADEMDHDRDMDGGFARDVFGGSNNLTGDTLRVMPLDIFGTRRQGRSTSIYNLLGRASDHGVLDHPLLEEPSMLHLPHQGQPENIVEMAFSDRNHESSSSRLDAIFRSLRSTRNGHRFNMWLDDSPQRSGSAAPAVPEGIEELLISHLRRPTAEQPDGQRTAGSAPENDRPNNVSEAEASGAAPAEPNDINETADNPVAMSEIDASESAGPAPPNSDALQRDVSNASEHATEMQYERSDAVARDVEAVSQASSGSGATLGESLRSLEVEIGSVEGHDDGDRHGASERLPLGDMQAAARSRRPSGSGVPIGSRDASLESVSEVPQNPNQESDQNANDGNQEPARAPDTDSIDPTFLEALPEDLRAEVLSSRQNQAAPTSSEQPQNDGDIDPEFLAALPPDIREEVLAQQRAQRLQQSQELEGQPVEMDAVSIIATFPNEIREEVLLTSPDTLLATLTPALVAEANMLRERFAHRYHSGSLFGMGSRNRRGESSRRGDIIGSSFDRNAGDSSRSTGKPIETEGAPLVDEDALNALIRLLRVVQPLYKGQLQRLLLNLCAHRESRKSLVQILVDMLMLDLQGSSRKSTDSTEQPFRLYGCHANITYSRPQSSDGVPPLVSRRVLETLTYLARSHPNVAKLLLFLEFPCPPRCRTEQFDQGRGKAVLVEGGEEQKAFALVLLLTLLNQPLYMRSVAHLEQLLNLLEVVMLNAETEINQAKLEAASEKPAGPENEVQDAQDDANVSGSSGSKSNAEDNSKSPAVDNETNLQAVLQSLPQAELRLLCSLLAHDGLSDNAYQLVAEVLKKIVALAPFFCFHFINELARSMQNLTLCAMKELRLYEDSEKALLSSSSANGTAILRVVQALSSLVTTLQEKKDTEHPAEKDHSDALSQISEINTALDALWLELSNCISKIESSSEYVSNLTPASANTAALTTGVAPPLPAGTQNILPYIESFFVTCEKLRPGQPDSVQEASTSDMEDASTSSGGQKPSGSHASLDEKHNAFVKFSEKHRRLLNAFIRQNPGLLEKSFSLMLKIPRLIDFDNKRAYFRSKIKHQHDHHHSPVRISVRRAYILEDSYNQLRMRSPQDLKGRLTVHFQGEEGIDAGGLTREWYQSLSRVIFDKGALLFTTVGNDLTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDAHFTRSFYKHILGVKVTYHDIEAIDPAYYKNLKWMLENDISDVLDLTFSMDADEEKLILYEKAEVTDCELIPGGRNIRVTEENKHEYVDRVAEHRLTTAIRPQINAFMEGFNELIPRELISIFNDKELELLISGLPDIDLDDLKANTEYSGYSIASPVIQWFWEIVQGLSKEDKARFLQFVTGTSKVPLEGFSALQGISGPQRFQIHKAYGSTNHLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEANEGFGFG >Et_10A_001494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4060917:4065050:1 gene:Et_10A_001494 transcript:Et_10A_001494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLASRRRTPDGSGENARHYSSDAAASRAPGARAPRPPLRTIQPPAGRVGGGAAVAKTGLRKSGPKPLASGAAAPSSGLRLSTAAASSGPRLSKAAASSGPPAVSSGRRLSTAAATARAQQGKRRPAVAERIRVSVRLRPISDEEARRSPWRVSGNTIALTQQSSVRFKFGEDQTQPPKPEFESQKIFGEDCRTADVYEGHAKNIVDAVVRGFNGTVFAYGQTNSGKTYTMRGSDGEPGVILLAVRDLFRQIEEVTFYISLFNIHCLFCLSCIEYACHIFLVLSYIALDHEIMCNCASNMDREFIIRMSYMEIYNEKINDLLVPEHQNLIIQESKEGVRQVGGLKDEIVTSPVQVMDFMSAGECHRRVGETNINLNSSRSHSIIRLNLVDLAGSESAQKTGAEGARLKEGGKINTSLMVLGNVIKGLSKGMKDKGGHIPYRESKLTRILQPALGGNANTAIICNITLSKVHADETKNSLQFASRALRVTNCACINEILKEPKLIRRQKKEIEELCAQLTTELEKEKIFLELEEKKAKEEQAKRIENLSSWVLNSERYEKNTVLSE >Et_5A_042522.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:18243266:18244291:-1 gene:Et_5A_042522 transcript:Et_5A_042522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATCFLVFGNPIDEPVETIKRGLTKALVHYYPLAGRLATSADGRGDLRVHCNGEGVVFVEASADFTLKEAKFFDHSPSAIALPDDLAVYYPDDRCGRNDDPLLLMQVTVFSCGGFVVGVTWNHAIADGAGMSQFLQAVGEIARGLPAPSITPIRCDASLPGIPLTIAIAQQFMMGLMPQKFSCFDYTIPSSLINRIKAKFSEHTGGEPCTVFEAVTAVLWRCRTRVAISHPEAPALLFIVANVSKHVGAKEGYYGNCVSGQLVMAPSGALANGDIVDIVKLIKGAKEQVPKQLKENSNDQPQAVRGRERHGDMLRYNYNLLSVSSMRNIGSRPILVAVHQ >Et_7A_050433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14992497:14993225:-1 gene:Et_7A_050433 transcript:Et_7A_050433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQHPRSGSHSVPHSYKKAKKGAKQNESRPTSEEKDWKHASCSICLERPHRAVLLLCSSHNKGCRPYMCGTNYNHSNCLKLFKNAYSREKTAHEISTMTCPICRGEVKGWTVVEPARRFLNRKRRTCMHEDCSFVGTYKKLRKHVKSKHCSSKPRKVDPVRKAQWEEFECEKERQDAISIVSALNPGSVIVGDYMVDPNTYSSDSYSDDSHDEDDTDSDISFGSHYLF >Et_6B_049445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:572058:574677:1 gene:Et_6B_049445 transcript:Et_6B_049445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKKSDKKAVLDFAAWSFNITTSVGIIMVNKALMATHGFSFATTLTGLHFVTTTLMTVVFRWLGLSQPSQLPLPDLIKFVIFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDHVHYSRDTKLSIMVVLIGVAVCTVTDVSVNAKGLIAAVIAVWSTAFQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFVDFLLTGKRVDHFNFSSLALFFLTLSCFIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNLQVVLGMILAVLGMMWYGNASAKPGGKERRSVLPVRSEKHKGDSEEKIGAEK >Et_3A_023926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14858722:14863810:1 gene:Et_3A_023926 transcript:Et_3A_023926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKEAVLIVCGEDCEAAPMFDINTGHEISYVHDCLAPPSGLAYVAGRLLAASRSGKDEPIFGGSAIYFWASNKLEESHKSYIGEAIGPIAFSKDGIYFSAGAHSGNAYIWEVASGALLKSWRAHKNAISSLSFSQDSSLVISGSEDGTVHVWCMISLFQAEEPQYHEAIKFWSNFRNIIQHRASVTGILTILGVPCPIVITSSLDGSCKVTELMSGNQLCMLALSSPVTTIAIDPLEQLLICGAGDAAIYITGLYGIRMQRSALKISKDNCQVLYGHKAPVSALAFSSEGAWMVSGSKDCHVFIWDTTTWNVVRKLDKKLGPVTNVLVIPMPSISSLQTKISLAPEIPTLETIVKPAKETSVFLQPSGFSEDGDSTRACFQSSSLLNKQILDLEEKRTPEAIEMSVGMIVDEQMKNQNMARELGDMNSVLQWKASNVMDLRADKDKLRRGT >Et_7A_050816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11260606:11262888:1 gene:Et_7A_050816 transcript:Et_7A_050816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAGNYGYKKTDGICDGVCGEPASKAVLTMSRLKCALRGFDFRALLALLIGVPFLILMIYAHGQKVTYFLRPIWESPPKPFKTIPHYYHENVTMENLCKLHGWKVRDTPRRVFDAVLFSNELDILEIRWNELSPYVSEFVLLESNSTFTGLKKPLHFKENRHRFGFAESRLTYGTIGGRFVKGENPFVEESYQRVALDQLIKIAKIEDDDLLIMSDVDEIPSGHTIDLLSWRASIHRYRAGKTRYAHFRQTDELLADSGWHCSFCFRYISDFAFKMQAYSHVDRIRFKYFLNPERIQDVICRGADLFDMLPEEYTFQEIIAKLGPIPSTFSAVHLPSYLLKNVDRFRYLLPGNCRRESG >Et_2A_014942.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:22549149:22549406:1 gene:Et_2A_014942 transcript:Et_2A_014942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGGRKVATAAAVAVLALLIISASVHGVVAARLPGDRGVGHGHHRARVTTESIPTTTVWEGKGGAKRSNCTQDPNKPSFGSCPP >Et_3A_025787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32948763:32951773:1 gene:Et_3A_025787 transcript:Et_3A_025787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGGGVMGKNPTKTLLLMTLLALAFLCLCALATAQPLHSEPMATQSPPPSPPPPQSKIPRAQAGAAARLRRLALGVLFGSLTGFLLALAFLYAIRVAILHAKNAPAIIRGPVSFTPQISPRNLLAALPSAQPLAHGPHGKYYKLSLDNDLTVAVKRLDTANRPEASPSVSPRTSKSDMRRVQRQLEVLARVRHQNVMVLKAYVREPDCLSLVYDFVPGGSLEDVMKRVRSQQVSLSWDARSRIAVGIAKGLRHLHFESNPRILHSNLKPSNVMLDEGFEPILADCGVSRLIAAGSGDPELCSGLYAAPECYQSSRYTDKSDVYSLGMILGVLLTGRDPTDTFFSGETGRGGLASWLRHMQQSADPKEVLDSSILTEEGEEEEMLMAIRVAIICLSESPADRPSSDELVAMLMQLHSL >Et_8B_060758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6280780:6283440:1 gene:Et_8B_060758 transcript:Et_8B_060758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLGVMSVATIVALLVLLVISSMILLLLLARGAGQKRCNAANGNLILRLPPSPRRLPLIGNLHQLLGALRALAAAHGPVMLLRLGRVPAVVVSSSGAAREAMQARDDVFASRPSSLAVPRALLYGRTDIAFAPHGAYWRGARKACVRHLLCPPRGAGGGGAAPASGGRGGVVVTSLSELLGGFAKDVAGRIVLGVRAKVDALLEESNALLAAFHVGDYVPWWPSTARTPGSGGRPGGSTPSSRRSWTPPPWPVVVR >Et_3A_027221.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:5053071:5053895:-1 gene:Et_3A_027221 transcript:Et_3A_027221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKFLQLVEEKKKRILEKKEAPLKWQQKLEAALADAEAKEKKLKSRKHKRRDSSDSDSDSDSGVERRHRKRKDRRRHKKHSHSDSDDARRHKRRSKRRSSSDESDSDDYESGSEEDRRRKKHSHRRRHHRHSSRSDSEDYSSDDEERRSTKKDHSSHRRRHRSSSDDDSEGKARSRHRKRFRSSDEDPASDSSNHKRHRSRSLEESSDDSSADESAKTRNGRRSHRNGHSHHHHRHQRHHHHGGRNNSAEPNDKKHILNSDQKALEGDMH >Et_1B_014199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35155021:35157399:-1 gene:Et_1B_014199 transcript:Et_1B_014199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFDDSQEGLSTERVFETEPIPSLSETITPRSLMVSFILGTALSVVAMKISLNSGFLPSLSVPAGLLGLYLSRAWIHILDCFNVSHLPFTRQENTVIQTCVVACSIITFSGGFGTYILAMGTKAAEGDASGPINIVDPSIGRLIPFLLLVSFSGVFILMPFRKIMIIRHKLTFPSGMATANLINSFHTPQGANHARRQVKMLFRSFGGTMAWSFFQWFYAAAKGCGFKKFPLFGMEAYKLGFYFDFSMTNVGIGMICQPMITVSIVIGAVLSWGVIVPYLCSKEGIWYGSNLNSNSFSGIAGYKVFIGVSMMLADGLFNFLCIMIRTFCAMYKQHRQPIQGGSVVAQLPFKCLNAAEQQEVVKCFDDRRRAQVFLRDQIPNWATIACYVVLSVISIVVIPYLYPQLGSYQVTLIYLALPFFAFCYVYGLGMTDMNLSSTYGKLAMFVFGSWVGINNGGVITGLVACGVVIGTMSNGGDLMQDMKTGYITLTSPRAIFISKLIGTALCCIVNPMIFWVFYKEKAGNISLSDVPYARVYRGIAMLSAGQDEMPKHSLEISSLFFMLALALSVLKEVARRKQWRAEPYIPCTVAIAVAFFVPPRVVIDMFVGTLVLYLWKLIDGDSARMFSSAVASGLICGDGFGSLLSSMMTITQARAPICIKFLSRVDNVKLDAFLATLHTS >Et_3A_025199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27865795:27867952:-1 gene:Et_3A_025199 transcript:Et_3A_025199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRPIYREPGNPRRPPRSHGGGGNFSVPLWEKKFCTDACAIPWGKLCETKKLMSLYKSVVDWDDSAALEAFEDAKARFYAEYHGQPCDIPLPDPNLYIDIVNPDERLDPELVADIDRSRQAVPKRDNAAPDGWDSFIFTDKPVPVTGWGDVETSNTFGQQCFVNWDNHLEQSVEGNCKQSSLNWDCYVKQPAQTIVQQSSANWDMYVEQPGQTSSLGEQTNPCIASWNMRDDSQDAWKHDYGWGSAAIQTDSWDNHRDSYDVPDSHGMPYGHWRRRNNDSSRRNSRNRDRGGPISAKPMKSKYHADEHSGTNNGWRHCRVRNDMHYSYEQAGYTKQSLAM >Et_7A_052729.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14508251:14508697:-1 gene:Et_7A_052729 transcript:Et_7A_052729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPMLRASARKNCSSIIHLNSSGVAAAEQEPPAASSSTATYLLPSTIREITLRFSMKRCSLTACTTPPAAPASCKSLSIARTSEPPRAEELGLPELAALAPVRAVGRPQQAGVVVRRVALAEAENGCDQESGGENYGNQGRQLQLHC >Et_4A_032443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10096671:10098287:1 gene:Et_4A_032443 transcript:Et_4A_032443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRILTGGGGSAALRAPRAVKGTTGIVGLEVVPNAREVLIGLYTRTLKEIEAVPKDEGYRKAVESFTRHRLQICQEEDDWRRIENKIGCGQVEELIEEAQDELKLIGNMIQWDPWGVPDDYECEVIEDDTTIPKHVPQHRPVALPEEFFRTLDAVRSDPALRGDAPPQVKA >Et_4A_035937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8823388:8826578:-1 gene:Et_4A_035937 transcript:Et_4A_035937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METRFLPSTLPTAKPLPAFQTLPTAASLRAGPRPRRSTIRAAISRGRKEDTVATVREQLEGCYLLAGIRYEGLTVKQIQGIRDALPDTCRLLVAKNTLVGKAIEGTPWEALKPCMKGMNAWLFVHTEEVPTALKPYRAFQKEERVEETNDFVGAVFEGKFYGPGDFKSLETMPSRAEVYAQLLGALQGPATSLVTTLQAPARDVVAVLSAYVRKLEEEAGSAYSTFDMPKSKVKDKGEYHPCFWWI >Et_8B_059703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20879873:20883683:-1 gene:Et_8B_059703 transcript:Et_8B_059703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRREVTSQRLLRLNQSLPDKSFHSNAQMTMVVSRTANAQQAGRQALTRPWRSWKDTLEGFLQSPGVNQGSGGIQNCVRDALRYNGCQPLQQLTQPYALWCMIRIFLLTKSYRCAHQGNLTDSHVEAQESPSGLVHSRQNNGTLVPLDDETKASLEANKAMCNNALFEILVSEKFALLCDSLAATFHINKPDEVIGLANIDARMRNGDYARKPELFDRDIKQIWEKFEQIGREMAGLASSLSVISRASYRKQASGLSEIDVTEHKTEETSLVGVAHKVQRESTPTQLTPCDSGHSTIPKRTGTSRLDGVQNCKDCGKKADSEGRIICDGCECTYHVSCLNVDLEDVPVKWFCPACNESALVAIDNNNDGRTHEDCNVCEWLDIVKPKEDPEAIGRTELAAETQESAVASMDDDSEPDLSTTALSNLCKHCGTCEDEDKKFLVCGHPYCIYKFYHIRCLKESQIAKEKQKNRACWYCPSCLCRGCFKDRDDEYTVLCDGCDDAYHIYCMKPPRTSIPKGQWYCASCSMLRATDGLRKYEKSIMQGIKNIRDTKKVQETLKEVRVLLFVATAENVRRHVAGTAGT >Et_3A_024693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23331597:23336296:1 gene:Et_3A_024693 transcript:Et_3A_024693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIKLTPEEPELPVGTPPRPQLPPSVAGAGGSGGLEMASDDERSVAADSWSVRSEYGSTLDDDQRYADAAEVLGAAATSANFPSAASDYWMIKILVTLKDQCLVSRAIGMLLIQKILQIFRNMGTLEKYDVMDTVAAWTKNLCNIIPGGISPGNDIIKSEVDENVFSNYPVLDIGTGNGLLLQALAKLGFTDLTGTDYSEGAIELARHLAARDGFSAINFLVDDILETKLDRKFKIITDKGTLDAIGLHPDGRAKRITYWESVSNLVEPGGIVVITSCNNTKDEVLQEVEDFSKRKFVKGDMDEGVGNVSQIFRYIDHVRTYPTIMFGGVEGSQVCTVAFQRGPQPLRRLRRGLTVWDERGKL >Et_9B_064455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15326919:15329243:-1 gene:Et_9B_064455 transcript:Et_9B_064455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGSSEYFLRQLSSSDFGAAAEYHQPPPQECGSRRGSRRWSRKKARGHRRGGGFSCRTREAEAAAAGRKRVMVVVDQSSGAKHAMMWALTHVANKGDFLTLLHVLPPTSSGSGRGSSAEASALANSLGSLCKACKPEVEVEALVIEGPKLSTVLSQVKKLEASVLVLSQRKPSPFCCFMRSSSEEFVEECINRADCLTLAVRRQSKGVGGYLISTRWQKNFWLLA >Et_9B_064278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13569724:13570679:1 gene:Et_9B_064278 transcript:Et_9B_064278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLIRSWVQVYIVFTARQPASDDGDNIESFHHRLLSDALDGTSSSSAQERVVYHYTRSLHGFAARLTEKEKNRLAGKGGVLSIHERVVYRPQTTRSWNFLGLPLQEQKSLHQLEQDVIIGVIDTGIFMESQSFSDDGLTPPPAKWKGRCSESVKCNNKIIGPWAYSGDLPDGQVTPEDYEGHGSHAASTAAGRVVMNASLYGVANGTARGAVPGARLAIYKVCWDDGL >Et_8B_060013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5030219:5033203:1 gene:Et_8B_060013 transcript:Et_8B_060013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHKTDEARPRRRQRMNFESVAQVDQLEEETLKLLKERDQITADCLEVNKEMNPRLEAGEEDEAPPPRDMLSSLPLEVLDNILFRLHIYAVVRTSALSRAWRRRWESLPTVDLTRSGGIVADEVDALLLRRSAPVRNFRLIAYDTWYIDALHDWILHLSRNGVENLFIRSRLFDVRIHSSLFSCRQLTSLSLKSCRLPPAPQGFAGFPSLKILLLEVLKLVNVQLIGDNPEDEWVIRAPNLRELTMGGYFPYGGRMEHLPSLHSAVLNGHNYAKFLTGMAQVTHLHFGTNVNWVSTPVLSCLTFFVTFTLCLPIFFGPYDFRTLVFFSQSTEVDVLD >Et_2A_016298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22978159:22981879:1 gene:Et_2A_016298 transcript:Et_2A_016298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGDSKESRPRRFPDPLPPPHGHINQAAQRREELLWELHKEHIRQDILLRELAETERAMAARFGTAGHWPMQAPQPSEDYWHQRRPPWLPPWDEAAPRPPPPRAGTGHPPCCPYGSPAAARPPPMYPHVERSPSPAPQPRPADDGEQQQEGEPSAAATERPMLRGDAEACQSPSKGTLPEGALVPDVGNAGAVTMLCTTSFATPGKQMGVGGEPKHDVADGHGVQPLHESGEQSSEQRKTAESTIKDQKDELVARLGQDSPAGLENRTSDEQKQTGFREPTTQAEETSAGLKRMLTEMTSPVGGKPHDVEDGHGVQPLYGSGEQSNEQSAVESTVKDWRDKLVARLGQDSPGGQKNGTSNEQKQTGFREPTPQAEETSAGVKMMLTASTSPVGGEPKHDVEDGHAVQPLHGSGEKSSEQRTTEATVKDWRDELVAQPCQYSPICDQENGNSSEQKQTGFREPTLQAEETFSGVKRKLTVETSPVAKKQKWDCDLCQVSTTGPRNLVEHWAGKMHVAKMQARIRDMTSVAAPEPPPSRRNASVAGNGGPSRREKRHAGGSPARPAEDGDREPASAKWTCNICDAKCYSASTLQQHLGGRRHRVKTEAILAEHKGCYSRKDAEPGKNTYWYCCNVCDAHCNGDTMLASHLVGRRHRETLQGR >Et_7B_054186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16868516:16875742:-1 gene:Et_7B_054186 transcript:Et_7B_054186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRRTLSAAFVVLFLVTASEMIAPTQAYKCTRLSANFHGWCFDDRHCNRVCLGEGNGNTGGVCGTNELKCYCIYDCERAPVPAASPDAANQNAGPIREMIAPAQAKCTRLSANFHGWCFNSHHCNRVCLWEGNGNTGGYCATNAFKCYCTYPCGRALVLAPSPDMANWSAGPNMGHE >Et_3A_026248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:654522:656278:-1 gene:Et_3A_026248 transcript:Et_3A_026248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VECPKYSGFCLTRQKSEYPFVEVFYNPEQAASQGRGVDPNTTKYSVKVLPFNHDQSVYGFREYFKKHGFSYSETMCGLWNIRGVTTTKS >Et_4A_033634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25233078:25235127:1 gene:Et_4A_033634 transcript:Et_4A_033634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAAALRSPTAAAAPSRRPAVPGASSLSFDRRRSFAFGSIKGLGRQQLTSRRRSSVVRAARSPSPSESLPPSSPIAPLRMESPAGQFLSQILHTHPHLLPAAAEQQLEQLQTDLEAEKEKETGGDKPAPSGGDLVLYRRIAEVKEKERRRTMEEILYALVVQKFVEADVSLIPALSHSIDSTGRVDQWTGTLEEKLERLHSREAYEMIENHLTLILGQHQADATIAAISKLRVGQVYAASVMYGYFLKRVDQRFQLEKSMKNLPWGSEEEGDALNQVMTTDSMPSVPSSSHPEMASWTAPNFNAGGPNQTVKPCRLRSYVMSFDSDTLQRYATIRSKEAFGIIEKHTEALFGRPEIVITPEGTVDSSKDEHIRISFAGLRRLILEAVTFGSFLWDVESYVDSRYHFVAN >Et_2B_018962.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19232122:19232373:1 gene:Et_2B_018962 transcript:Et_2B_018962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGGRKVATAAAVAVLALLIISASVHGVVAARLPGDGSAGHGHRARVTTESIPRTVPEGKGGAKRSNCTQDPNKPSFGSCPP >Et_6B_048734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13004516:13016088:-1 gene:Et_6B_048734 transcript:Et_6B_048734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEASELQARLAAAVQALNDGAHPSARLAANQWLLGLQRSPQAWAVATSLLAAPDPPPPADLLFFAAQMLRRKIQAPGAAAALPGAQLLDALLLAARRFCAAPAPRQLLTQICLALAALALRAEGGVDGLFARMPHLPAPAVLELLTVLPEEAAQDQGGDTGVDSAARCRFTREVLAHAPAVLEYLHTQSEKADADHDGVPIHERNRRILRCLLSWVRVGCFSETPAAALAAHPLLTFAFNSLQVSFSFDVAVEVMTELVCQHEELPQAFLSKMPYIREVLLLPALASRSEKIIAGLACLMCEVGQAAPALVAEGGSEALALADALLRCSLAHYILGTDVMPSKRNAAQELFSPVFSSLLDALLFRAQIDTEEHDTVGASYMPDGLAQFRMNLEELLVDICLLLGAPAYINKLFSGGWGFSSQSIPWKEVEVRMYALSMVADTILQDESHFDFSVIMHFVNILSSRTPVELNGSLFLVYKSFGDVIGSYSKWLSSSQSNIKPLLLFCASGISKSVSSNACSLALRKLCEDASSIIHDPQNLEILFWISEGMDKGNLQLEDEEEIISAIAHALSSIRDKELRKSSLVRLLCSSYAAVENIIDIDRDQSLRQNPASYTQVLDLSVRGLHRMSSLFRHLAGSITSGQVDDDIILALLGIFWPLLEKLFRSAHMENLGLSAAVCRSLSSAVHSCGQHFHVLLPKVLECLSTNFLLFQRHDCFLRTAASVIEEFGHKEEYGALCVRTFETLSSASSISALNSSYTCDQEPDLVEAYTYFTSMFIRCCPKEAIISCGPLLELSFQKAAICSTAMHRGAALAAMSYMSCECDLSTFLYRFLEVTLTAVLESPDNIPDGSPGVVLIQVLARCGEGVLSNVLYALLGVSALSRVHKSATILQQLAALCSFCERTTWKAILCWNSLCGWMQSTVKSLPSEYLKPGEADMIIPSWLKVLQDAGSDYLHSRTGDNVRSHQGYMQGKGGRTLKRVVRDFAESHRNVPTPCPS >Et_10A_000012.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:13218725:13219057:-1 gene:Et_10A_000012 transcript:Et_10A_000012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLEVEKCLMFLEFEVGEHWTGVAEGHPNAEKLEAPVPSKAPRRFRLHDHQDGGSEIKTGKDRMWLTQCPHKLKEGEANLKHEVLNTKTKEAKEMGASSFAAEEKIWLW >Et_1B_011442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22247896:22252871:-1 gene:Et_1B_011442 transcript:Et_1B_011442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ENDDVGELEFINPLSMQKLAESLANELWGQPPPEQQEQQDDQQEQCAYPNPSLTGSSFVRDTKNTNGTTVSTGLSNNMFSFTDGLSGPLNFTVKECRQQSMIGSTTKKCCSPSTGERGGARRSTSSVQEHVIAERKRREKMHHQFATLSSIIPDITKTDKVSLLGSTIEYVHKLRDRLKVLQDELQITGSSTGESPAFDARCCIGDSMSNNGGDEVVMRPKIEVDVQGATVLLRVVCREKKGVLVMVLTELEKHGISIINTNVVPFAASSLNITITAQDHVDGGVMSSNIKADVLRTTVLLRVVCWEKKGALIKVLMEIEKHGLSIRIINVVPLLIRC >Et_8A_057734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6508615:6510716:-1 gene:Et_8A_057734 transcript:Et_8A_057734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARRLLRLRPNLSTLPLPPTPPPRIVPSRTYISDMRRSAFVDRLLRGLRSDISFLANTTPPAPPTPPASFSLDERPGEQWIRLTRAFPAAEGGGGSEEGEEEEVKVDATLVDGALPPTRSGAETGGPPRYHISVRVEVSKAARPGVALTFVCSAWPDEMEVERVFPVRRDGPTPAQQYLGRQFRELDEEMQSAVREFLERRGVDDDLAAFLHSYMESKEHSELVRWLKNVEGAIEDSDVYKMW >Et_1B_010687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1361784:1363108:-1 gene:Et_1B_010687 transcript:Et_1B_010687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVECARRRRVRQGGSSSSAAEAPCGTRRTSFCLYAGGHDHAHLGSSGEEQRMQGDGAGARVDAGQQRPGGEREAGSEAAEPERVCGQEVVMHGANRCRRHSTGTSTSTPQAEATSSGGDGTSNRSATTMGAEEAQMTATGVLQREVAGAGSAGGRRRRQRRSARCAWRSSGPGTCWCTCPARTASTGPAPCHGSRPPRDAPSAAHKSTSSPPPPPERPSGRGSRRRGCTRGRSKLNAQGFVA >Et_8A_057493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3587824:3591676:1 gene:Et_8A_057493 transcript:Et_8A_057493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVENINDLIIAHCRRRNPTVRTLQSPAVIRLPPVASPALSRAGVAAAAAAEDYPVFAPVREASNSWLFLHPCVPVLLSVLFLGKGTYDEEPLSGMSFIRQDNRSLSENWSGLGLDHDGLEDEVAFSDFDNHNTFSSSNSELHFSSSNEHLRNRIACRNHPSFLQPALSADSLPRSASRMTDLTELKAVSTCNTCKPATISRDTETEAKALKSLNSTAPQSNYHPAAFSRTRHKGPHILSWLLPKSKRKPKSDMSPNTMECENMSQLLKEWGVFSLESLKKEVVEANENRDAALQEVSEMKSSLGELTSKLVSLEAYCSELKKALKQATSAKNVQSLSHSKRSARSVGVSRDNSLPVSHEVMVEGFLQIVSEARLSIKQFCKVLIQQVEDADNGLSDKLNLLLQPYQITLNDKHPKAVLYHLEALMNQAMYQDFENCTFQKNGSPKCLDPKQDRQENFASFVSLRNLSWNEVLKKGTKYYCEDFSRFCDQKMSCIVSTLNWSWPWAEQLLQCFFVASKCIWLLHLLAFSFNPQLTILRVEENRAFDQLYMEDILLDKQRSQSNPSQVKIMVVPGFYVQDRVLKCRVLCRPALWVNTSDDVACPSSGVRSSSLRSALINR >Et_3A_026423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7964969:7971016:1 gene:Et_3A_026423 transcript:Et_3A_026423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEWAQPAFAGMKQLNRVQSRVYDTALFKPDNILLCAPTGAGKTNVAVLTILQQIGLHMKDGEFDNTKYKIVYVAPMKALVAEVVGNLSKRLADYNVTVRELSGDHNLTKQQIDETQIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKDHIRLVGLSATLPNYEDVAVFLRVCKEGLFDFDNTYRPCPLAQQYIGITVRKPLQRFQLMNEICYEKVMAAAGKHQVLIFVHSRKETAKTARAIRDAALVNDTLTRFLKNESASQEILGTHADLVKSSELKELLPYGFAIHHAGMARVDRELVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGHSELQYYLSLMNQALPIESQFISQLADQLNAEIVLGTIQNAREACSWLGYTYLYIRMLRNPTLYGLPADILESDKTLNERRADLIHSAANLLDRNNLIKYDWKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVGVRQDEKMELAKLLDRVPIPVKESLEEPSAKINLKLEGLSLSSDMVYIRQSAGRLLRALFEIILKRGWAQLAEKVLNFCKMVDKQTWSVQTPLRQFAGIPKEIHMKLEKNELAWDRYYDLSSQEIGELIRFPKMGRQLYKCIHQLPKLNLSAHVQPITRTILSFELTITPDFQWDDKVHGYVEPFWVIVEDNDGEYILHHEYFMLKKQYVDEDHTLNFTVPIYEPLSPQCFIRVSSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVTALRNARYEGLYSAFRHFNPIQTQVFTVLYNSDDSVLVAAPTGSGKTICAEFAILRNHQKVVSGESNMRVVYIAPIEALAKERFKDWERKFGEFAKVVELTGETAADLKLLDKGEIIISTPEKWDALSRRWKQRKHIQLDHIGSNIRIVALSASLANAKDLGEWIGATSHGLFNFPPAVRPVPLEIHIQGVDIANIEARMQAMTKPTYTAITQHAKSGKPALVFVPTRKHASVEGGGTPFLLGSEDEMDTFTGGVEDETLRNTLKCGVGYLHEGLSELDQELVNQLFLGGRIQVCVVSSTMCWGRSLPAHLVVVMGTQYYDGRENAHTDYPITDLLQMMGHASRPLQDNSGKCFILCHAPRKEYYKKFLFEAFPVKSHLHHFLHDHMNAEVVVGVVENKQDAVDYLTWTFMYRRLTKNPNYYNLQGVSHRHLSDHLSEMVETILNDLESSKCVAIEEDMYLKPLNLGLIASYYYISYTTIERFSSMLTQKIKMKGLLEILASASEYAELPSRPGEEEYIERLVRHQRFSIEKPKYGDPHVKANALLQAHFARHTVVGNLAADQREILLSAHRLLQAMVDVISSNGWLSLALNTMELSQMVTQGMWDRDSVLLQLPHFTKDLAWRCQENEAKPIENIFDVAEMSGDEMRDLFQLSNSQLQDIIEFLKRFPNVDMAYEVRDGEDIGAGDNLTVQVTLERDMANLCIRVQVTNFNRSTNQLLAVKRVALQKRARVKLEFTAPAEAGRKDYMIYLMSDSYLGCDQEYEFSIDVKDAGGS >Et_7A_053126.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:7320450:7322111:-1 gene:Et_7A_053126 transcript:Et_7A_053126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSPEYKHFCRVCNKGFTCGSALGGHMRAHGASDVDAFAAVDDDVEPASGARSSADVDQWVDAAAATHAYALRANPNRLIRSCQVCKNCGKEFTSWELFLEHGKCNSDDDDEDDGGSPRSSSLPLSDGEDDAAVAAAGGGWSKGKRSRRVNKLMTGAGDDMVAAAAGEEEEDLANCLVMLSSSNVEQSAAVAGTASHRQLDPSSASKERDRGPPPPPAPEPVMALPSATQYAASPAPRGMFECKACKKVFTSHQALGGHRASHKKVKGCFAARFDSNNASEAPTSHAATASADPNNDNGKAAAVEAASTHYASSADGDANAGTSEAAATALSMSLAPPPAAGVHEPVAAFPVVAAPTSKKNTKMHECSVCHRLFTSGQALGGHKRCHWLTSSTADPANPVAPLAVPPLTEDLVGVVRHQLMLRPLAADPPEPALDLTIAHPAAGQQPGGGSSFHHLDAPPPVLHFQSPVVLDSASLRNKTSTTSGHDGATASAAAAAEDEADSTVVKRARLSDLKAVVSMDGEAPEPWLKVGIGSSSSAGAGDDDKNARE >Et_5B_043755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15435839:15438040:-1 gene:Et_5B_043755 transcript:Et_5B_043755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGAADLKAVVAIAVFAVLVMSSQGHPRTKPLCSDCPSLCDTNSNCTAIAAANCSSYCGIPPSCDICKTEVLQGCCHDYCTSSNGTSTISCCPNDCSIGSCAWNCDNFFAAIADHCMFACSIHNDDQARCDACKNAVRQQCYNSCISDCNDHCTKKDYNKEKQNKEKTKKGDKEIEMHKKVTMH >Et_1A_004993.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21929135:21929955:1 gene:Et_1A_004993 transcript:Et_1A_004993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLQPKIYDYIMLTVRILFIPSVCSQVPAIVICLPEPRGLSVEIFTSNHRFLMVFSLITAALSTPPDIWCQIVAPFLIYSIIEFAIFVALIVQVREEGWTSRMRESGSIEKKEE >Et_8B_058720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18426248:18428383:-1 gene:Et_8B_058720 transcript:Et_8B_058720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLELKKFLQAQNPVNRGAYDVWSESDNLPCHWAGVGCDGAGRVSFLDLSSSNISGPIPPEYGRLARLQILDLSYNSLSGRIPPEIGNLTSLLLFLLVGNQLSGQIPKEIGNCTSLLWFNVAENQLSGEIPPEIAYMLQLDALNISYNSFSGDIPSEIGYMDSLESLDLSWNNFSGALPSSLNQLTRLTKFNVSYNPLLSGNTPRTGQLSTFDDQSFLGDPLLLSPSSSSSNSTPSRWSSYDAEEKEEIVVAGVAFLVFLSITFVIRELQFFIYLYTIVSQ >Et_1B_013131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5783356:5784218:-1 gene:Et_1B_013131 transcript:Et_1B_013131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPGELCLKIFHLLDHQSLASAPQENCGLFSYSKSLTSTMGFRISVCRKWWTLTSEDELWRKLFSDRWGADAAAFYAPDDSRSWKDVFVVQDRCDRYGLGVRIIREGSDYYLIYQGEIQRYLGSRQDADGDDKKAPQHKTEDEQLQVSDRILFFLGDLEAACANAKRVKT >Et_6A_046944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2308714:2312165:1 gene:Et_6A_046944 transcript:Et_6A_046944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGLPLGGCAAAAARWCTCQGAAVTLFLGNIVRCPHALPTRLLSLSPKSGVVKYSKEQMRWVEESIWISRAAEPVELIEAVKKQRRVFARDAKRRKELPLELKQKFRMRFCRSFVIWGRSATRSNKSYLTDINLIVKSIGIHHCGFECHAPQ >Et_2A_015907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1917377:1919474:-1 gene:Et_2A_015907 transcript:Et_2A_015907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIAAAAKASKLPERHQTWRKHGSCPAGTVPIRRASSRANPDQVAEQLARLSSPPFGRPGSRNLSATQPGRAGNYNALAMAAPSGGQVEVAAAYATDGPYLGARADIPYWKINVHPGRAWPSLYGDSLTRLFVYYTADDGKAQNCFNLDCGGFVVHNSSYVLGDSWADSDSQVAGERFGVTVGIHRGLSDEKWWVSVMDEDIGYYPETIFNTRFPQAVYVEMGGRVLNTRPGGNHTTTPMGSGMPVCAGSRFAATIMEYAGIDYEGVLFNDPVTQTIATTPNCYNARPIGFSTSRAGYSVSYGGPGGIYCDQKDS >Et_3A_026433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:856904:861740:1 gene:Et_3A_026433 transcript:Et_3A_026433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGPAEPRRIVLLVDLDPLLPSPSTSAPAAASYLTAVLPAATSLLTASPSPGCLSAARHFFSSLSPILSSSLLPKPLPAAPTPLSFDLHQATLAGLAPLRRLALRASPHPRVSASSSIAKSLLQIEHDYPWDPEPQHARRRAFDPPPNLAVLFTAATEFQEFGDDASFVRRFRGVFGPVRDRLSAAGLQVCWVAVTSTCERIRDAVTELGWQFTTADAVGLGSAVAPPGLVWGGVGLGHLEGGRRGEVILEIADVEGKPLVCKGCKVEVVGSKLWEASGNGVCRIHVNAVCDVGNWDRLIGRDGDVAMVHGCPLEGTKGDGEETVDKDFLPHQLLELVLGDEKDRVGGAKPIWQLILVFLHRRNYCAVVSVSDRDGNSVDGFLMPFSVNCALLHVEKNGAGGQVVAKGSETLDSCVSNASKEQSARKKRSKLVSRLLEATAWSTFCDVLLKHTDGSMPVVDLEDLYFSRYGATSKKLRFLKCWMKQVKLSCVTTPSSTHTEEDKCPPSKDEVEARIQVSEEDASASHVNFSVDETDCSKAETPMDEADCNKMDGLVEAAHCNNVDKPVDDEASMFSSMEDLEAFLGSVPHKIEQGLCSEDADLGNLAERLVGLSVHALLIKHGKITVSYFEQGEEEEDTSGGKIASKLSNILLKKPKELVSRYKQSDSASASSEQTTQFSTRYKIREHELQILLRLEIIKSELGPDIEEGLKQKMIKEICSLLQFIDINLQGDSFQSDSILEFAEKTIKFRPSQAGELPGSVTRRSISCACGSDRCLAQAAACQAASKQAQLCSFHSWPNRLAPAYINSMEDVIKKIYTQMEFDLFDEDEVDCSDSLPSSSNHDDAKVDRRRSHRSSSTSASALHLLQRDARGSHDRHEEELVRAQERRNRDRRLTSFTSWVPDLRRVWALKHPGKEPSSRAPRSRSSSKRRKRRATCSDVVFETPMTAKRQESESPGSSDGNGMKTALATVSKNLFDDEEIETDVSSSSV >Et_7A_050443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15625898:15626506:1 gene:Et_7A_050443 transcript:Et_7A_050443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPYLTLTGPVRAVVLCGPVVFQVSLNVRGPSKSEDKEISLLAVPFRSDNFSSRSILINECYTSRLTTLEFALGHIVYSVEATVSIQFAARTASINKEILLLDSGDEKHLITGNEIKLSRRVASVESHGILIVSVKSFQDGNVLKDEMILTPQEMVTHSETLTIGDCKIKVIVAWSLFSGHPKFIQTS >Et_1B_011822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26341704:26343719:1 gene:Et_1B_011822 transcript:Et_1B_011822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAFAVAALATAAPPACWRLAIATACVPDVRRRRVSRAVRCCAGQGGPQVPAKRKLSRSETSCLLRLDWKFGMAELWVAARNAPPLALIAGAAAVVAMYKVASGLLAPPPPPPRRRHAETAPPPPVPEPVEVGEITEEELRQYDGSDPEKPLLMAIKGQIYDVSQSRMFYGPGGAYELFAGKDASRALAKMSFEPQDLTGDVSGLTPFELSSLNDWEYKFNSKYVKVGTIRRTPPVEGDSSDSPEAREETAKPVAENEREIKVEAEMNEDETP >Et_7B_053620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10285615:10291603:-1 gene:Et_7B_053620 transcript:Et_7B_053620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNLIVTSDSDEEDGEGAFIASASASACASVVSGSGSVGQLSPPNPSPLPIPFPSLSPPSDTVVISDDEEEEEIEEILDSDGDSPFVDASEDVSPPPPPPPAVGTPTSVRAATPTPPAAGTPTPARTSNPTPAPTRTPTSTPAPARTPTLTPTPVPARTPTPTPPPAGAPSPAAHPRSTPPPSALNGRLRPVDEFLRGLGLRLRPEWLESCAAGVPGFDGLGGAEAQARRCFEQFLFADMNTCGAGVLPEGVGSMHAAVLEGPFVLQVDEIVNISVPLRERYRDTHAGPKRCLKLSMTDGIQRIFGMEYRPIKDLEVLAPAGLKIVLRNVHIRRGLLMLVPEVIQFLGGVVDELEEARGRLVSEVNKPPRGKRKQGGLPLSSRATLAAWPCSTNVTNGGGQAISASRTVNPSHPTGLGNAFQVGRISETLVEEHISPPATIHTVQEQSRHVQEINMQDLSTSLTRNNTETSAIPQYDRTQTIQEQSRHIQEINMKTSLTRNNTETSAISQYDRTHTIQEQSRHVQEINIQDLSTSLTRNNTETSAMPQYDRAQNIVGQSRHVQGINMKDLSTSLTQNNTETTGILNEYDRTQTIQQQSQRVQEINMQDLSASLTQNNTETFASIPHKYDHKQSMSEEYVDPYIIANNSHEQAQNVHKVSMQEQADAFVRTEGRLSTSASCGYDSRHGLHANDANDAEAGRSSNVDDEINNMEHSIILSGENEKPFTYMISMLADWGAQQDTKAYIQGKIKGLITCVKSFQYKRRTQYELYVYIDDGSYISEALLDHKIVENWIGLSPGEVTAVLSGESVLASASAMKQTLRGLQSFLVKFEGMMLIEFNKDSSIPIIRELNEGSMSSDAWLLLGRVKRLSSQGRMQSLDVMDTTP >Et_4B_036187.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9133073:9134359:1 gene:Et_4B_036187 transcript:Et_4B_036187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKSGQLDKAYQIFKRMPVKDLVSWNSMIAGAVKSSHLKDAMNLFSRMINSGFVPDGFSFSSVLSACARAGARQYGAWVHQLMTELGLEMNHILGSALIDMYAKCGKIDVAMKIFSTVKRNHISVWNTMISGLAAHGLGSDVVTLFCKMKTEGLVPDRVTFVALLTACSHCGMVEEARQYFKAMTTDYSITPLVEHYGAMVDTLSRAGLLDEAYNLVRSMNVKPDAVIWRALLSACRRYCQTKLGEVSIEHMACQSSGDYTLLSNIYSSANRWNDSEEVWKERKQKKVRKNKGLSWVELGGIIHEFKAGDRSHPDTEDIYQLLHGLSRRAKVEGYAPLTEIVTKDVSEEEREENLTFHSEKLAVAYSVLKTGPGTEIIVSKNLQTCSDCHEWMKIISKVLCRVIIMRDRIRFHRFESGCCSCKDYW >Et_10B_003396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19453620:19459426:1 gene:Et_10B_003396 transcript:Et_10B_003396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESATCPRLAAQPLQVTCPRLSLLHCLLLVSSSVMPPDAADEWPMVERRGPHLWASDRPFVVHGFNTYWLMYFAADHATRHAVTAALAEAADAGLNVCRTWAFNDGGHRALQIRPFSYDEEVFQALDFVISETRKQNMRLILSLCNNWEDYGGKAQYVRWGNEAGLELTSDDDFFSDPTIKSYYKAFVEAVLTRINTITNEAYKDDPTILAWELINEPRCPSDPSGDTLQAWIEEMASYVKSIDPVHLLEIGVEGLYGLSTAELLDVNPDDYSGKAGTDFIRNHRAPGIDLASVHVYSDTWLPHFVEEHHLQFVKTWMQQHIDDAASLLGMPILIGEFGVSLKDGKFDNEFRETFMETVYGIFLSSWKEGVIGGGCLVWQLFPECAEHMDDGYAVIFAKSPSTLNLLANHSRSLVQYQKQFLATGASTLKQAPIPEPGLCWDSRSRWNSGLKATVVGGSSGPAPNVNVTSSSGKIVYGNLFRTHIYNLKVKMRWNVRHEGIARQRVYFASPLSLKNQAQAAEWSDLHMWLPFFLKPLV >Et_9A_063297.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19272738:19274420:-1 gene:Et_9A_063297 transcript:Et_9A_063297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNGDADLKSDIQQVESTTLDNVPRATSTSTLSNLGVSRQGKQQSWFKNFLNRFSSGPRLKKLSTSPSFKFQQLALERDEFSRSIHSDNHGSHEHFQFIRKIDWGHLWVMCKNWIKEPMNMALFLWIACVGVSGAILFLVMTGMLNHALPSKSQRDTWFEVNNQILNALFTLMCLYQHPKRIYNFVLLCRWEEKDILRLRKEYCKNGAYKPNEWMHMMVVVFLLNLNCFAQYALCGLNLGYRRSARPPIGVGLTISVAIGAAAFAGLYNIMSPLGKDYDSERTDIDQEAQIEVVSTESGKTTTSRFMSFERRYSFIQSDERRFVESRPEWVGGLMDFWDQISLAYLSIFCSCCVFGWNMQRLGFGNMYVHIATFLLFLLAPFFIFNLAAVNINNENLREALGLTGLFLCFFGLLYGGFWRIQMRKRFNLPENKFCCRNPDATDCFQWLFCCSCSLAQEVRTADYYDIAEDRSHTGQVTEESQRIMSPLRREDGFPLFKSTPGSPYRSGNASPSIFIMESPSAPRRSSGSTPLGGSPTIGDRTMKAPTPSVVHRDDESEL >Et_1B_010897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15170080:15171731:-1 gene:Et_1B_010897 transcript:Et_1B_010897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVLGPQQAVPPPEPAPVKKAAAAPGSVPKPRCAAAAAGRRKTLCDITNLSRRATAEEADESACPEGGAAQLAKASSPIYRTRRLLLTTLLACVADADLLFPQNFHENADLVRLLEERNKIIELSGTEIQKLRLTNLELARANSQMVAESNYFLFSLKLKALQHELVCSRAALKAKTSELEDAKKAMKRRIAHPQEKTGNQTTQHLGSEKVAQVKDGDVVDPEPASEAAKAGSIQRPGNASRKRMLRSRSLGPGSATKLALPKETTQRRKSMRIPQTSDREELFELEDVQLAIGSCKIDTGNASDGDKPAQAPAQFLRRSSLGRPLRQARERVATYNEVPLNIKLR >Et_3B_029881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28814420:28821528:1 gene:Et_3B_029881 transcript:Et_3B_029881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAGGDPSAWLTVDETATAFLSRSLATRPPIILPPPLHRVPLRPGNVVEIAGPSSSGKSHLLLAAAVQCILPKEWEGIYFGGLGKAVMFFDLDCRFDVLRLAQILRSHIAEGCTHRRNGELGKYGTKDNFSCSFEDTLFSDCMQRFLYVRCYSSSEFITVESQLRSGFLGASIYFLMIDSIGVFYWMDRGSQPARENKGKSVQSITETVVQEIRKVLQLQPALVMVTKSPIYGEGTTSMNDFNRGSSLYVLEDSTVMRYSRQEDERNPSSREYMSPVWQSFVTHRIKLQVEEAEVPSVREHDAPSMHTSEWVQPSLKTKEKFSISNDSRDGLHPKFHLVPASSMLSGGRFPYLG >Et_2B_021926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:705977:708199:-1 gene:Et_2B_021926 transcript:Et_2B_021926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYRSRSFVAPAILLHPSRKGRPASERSRQRKKEAKMIYRNWSLLSSTVVIWGGIATAGLAGIFLFGGKEKFQDYLCREGERLRQQDRAAMGRN >Et_6A_046555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1756279:1756998:1 gene:Et_6A_046555 transcript:Et_6A_046555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPHLQVNRMLIVSHDAAVLELCGTTIPPNSSIRRKIPNTSLNVFCRTHLAAAVHPPNKCGSPWSSILLTIFLAKESTQVELLAKPLPRRLHARALLTGLLLIHRWGVQVELLVKLLPFRLCTRAPVVGGLLPLLRRRRPAVLALLQRFFENLPPLVLLELGLQFLRAPLTPQRGKSSDKNT >Et_7B_055824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5413999:5414622:-1 gene:Et_7B_055824 transcript:Et_7B_055824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYVLFARGEEMMKTREAIVRCEEEEDIGCPSSGSSSGSTSASDEVDLADDASSSGSAAHFEMASLMTQLPIKRGLSKFFDGKSQSFASLAAVGGLEDLPKPPAKRLKTSRSCGVGLKDAHRGRLSAAGKKARLSSAAAPRRVVMRTRAPVTATPGAVAGRPLLFA >Et_5B_043281.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:21720650:21720826:-1 gene:Et_5B_043281 transcript:Et_5B_043281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLSLLAEAFLQLVASGLGALALVWATVVLLGGFSTMLVPIDFWFITAIVFIETAR >Et_8A_058164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22392580:22396235:1 gene:Et_8A_058164 transcript:Et_8A_058164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGRRLPPWTSPRGAGAPPRWSPAASTPAGASGPCYGTPPVGGGGWGTRMTPPTSGGGWDRVTPPGTGGGGGRVTPPSTGGCSSRPPRPPASLDSPYVRAKQAQLVEKDPNKAVPLFWAAINSGDRIESALKDMANVLKQANRSEEAIEAIRSFRDWCPYEAQESLDNILLDLYKKCGRSEEQIEMLTMKLRIVDEELASGRWKTKLSKSHGRVVYLSLRDEKARLLGNLAWAYMQCENYEEAEMLYRQALAIEADYNRECNLAICLMKTGKLAEAKYVLQAIPYNCDDESHVKSLSRATEMLRELELQSLPSPITQVKCKESQIMLPADVERLEDLQPPTLSTPLTQLKDEELHISVPAERKKHEDCDSCLPSPITQLKREEPHILSTAGVEKNEDFGEYQDLSRLFNDAATPQSILEKLRKRLLNEAPKTSTHDQIQTPNPTEAMPKSEGTTDASESHVQERKLLTKSVRKTWADMVDEDEQLGDDETWAGLVSEEKMGLSDEKPTVGLGSTEQNESSEHGSKLAHKTPASSQGSGTLQSAFAGAHQRSSSAGSWRHSDSKISTDKHINRELVRTAPIWRHNKVQDHSNRVCHKPTTFHLNENAPGTKKAPWRSSASQRELFPDCKSKCDRYRNGSAPFRDNEHTQCSSHTEAIYHRHNNSSSTGSWRPHNRLRVFQEITNEIKRNVT >Et_2A_014755.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:12008745:12009299:-1 gene:Et_2A_014755 transcript:Et_2A_014755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYNALDWHLNNIKNLKFVTSILKLSISYLPGNLKNGFLYCGLFPEDYIIKRKQLIRLWIAEGFVEERGGQITLEETADDHLKELIQRSLLQVVERNVCGRAKLFQMHDLIRDIVINNCKLDKFSVLLNDPQVTKFGNEACRVSVMKKGKSIEVGVGAEKIRSFMLFDTEVAHSWVEKASANF >Et_1B_010340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9532272:9533963:1 gene:Et_1B_010340 transcript:Et_1B_010340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAWASPFELAAERAASLPLLAMDLAVDALFALDIAASFFLPCLKRKADRNKESASVTHLTRRPWLLAMDVASTVPFQVIYHLAGGRASSWSSPCRVLSLLRLWRLQRVSDLFAALEKDIRVNYFWTRLVKLVGVTLFAVHAAACVHLWMAAHYGGPKDRTWLGRGFGTRSVWAGYTRAVYWSVATLTTVGYGDLHPANPVEMAFAVFYVLFNMGLGSYIVGNMTTLVVQGATAALTLRDTLRGLATFGAANRLPEALAEKMAASAELGFDAAEQHLHQQQVLSELPRAVRAGIAWHLFRDTVEGAYLFRGVSDGLVADLVADMTAQYFPPKADSVQRNETPTECYVIVSGSVDVLTTADDGTETVVMRAGPRGMAGEIGVVLNVPQPFTVRCRRLTQVVRVSQSHLLRAVRPHTIDGDRVFCNFVRHLESPMFQVAREEAPSFKQILDRVQASAVASASVSGRSDMLDVEGQEEDGHGMLPRRELKRVVIHQQFASAAGKLVRLPDTLQDLMRVSAAKLGTSVRMVLTVEGAEVDDIRALRDGDHLFLC >Et_9B_065155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21657371:21659285:-1 gene:Et_9B_065155 transcript:Et_9B_065155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVSVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADVFVLAFSLISRASYENVLKKWMPELRRFAPNVPVVLVGTKLDLRDHRAYLADHPGASTISTAEGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRREPVTARKKNRRSSGCSIMNLMCGSTCVA >Et_7A_052146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5069531:5070400:1 gene:Et_7A_052146 transcript:Et_7A_052146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRQAKEEAEREIAEYRAQMEAEFQRKVAESSGDSGANVKRLEEETAAKIEQLNQQAASISPEVIQMLLRHVTTVKN >Et_9B_063876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18943218:18944081:-1 gene:Et_9B_063876 transcript:Et_9B_063876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAALRLRLLYQMLRAGEVLALVAFVSWTSTYVPSAVAAALRLAGSLLLNARFVFLLGNAIVLLLFALSRHDLSSSSSSSAAASPQQQAAVPVAAGAGFASFTTTPTTTLPSDTMLGAAAFTTTPAAAPSPTAMEEAPRRRDQALPLAAFEDKLAQPAVTTTTATARVSKARAPRRLRSEKMTSARRAASPELRRCESENGRRRRSSVTARDAEACWGREDADEFRRTVEAFIARQTRFHREESIKSGTMAAGHCEAAPAITGALAVVE >Et_4B_038787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4900606:4904636:1 gene:Et_4B_038787 transcript:Et_4B_038787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKEDAAARAGPPHPPPPPKRPRGKRRALSELPINASDADDGSAPRASKPRTRSAAQAEAEAEEASKRREVEGAADVLRLLDPKRPDARAAQAAVELYIGDIDEYLRSLEVQSSRRPNTDNFWKIQKDISPTMRAVLVDWLVEVTNEFKLQAETLYLAVSSVDRFLTADAISRDKLQLLGVTALLVAAKYEEMETFKMKVKRYCDITDGTYTKQQVVEMEVHLLKSLNFVIGGPTVRTFLRLTVMCVLLQNMTLQQNTGFKVSDLKESIYAIHELQLSIRCPDQTAIREKYHHDNFGCVSTMDSPREIPVPYLDDHDKWMDVHITYNSGTPFAISGS >Et_2B_019827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14373343:14375420:1 gene:Et_2B_019827 transcript:Et_2B_019827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIKSANHPGSIKMSDSQETDRNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQIARVAKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINVSLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDINRYVLKNSATQEIVIKHLNKEQEADQSNFRDASANAELEVQEKMSLLEWFANEYKKFGCSLEFVTNKSQEGSQFCRGFGGIGGMLRYQLDIRSFDELSDDEGLYEDSD >Et_1A_006211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19175455:19177266:-1 gene:Et_1A_006211 transcript:Et_1A_006211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKVQLAGSAVSWEPIACLLVALLLVILMVSVKATSYPGPSYEHHGLARYSGDFSSADDRKLYS >Et_3B_031434.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:29375199:29376287:-1 gene:Et_3B_031434 transcript:Et_3B_031434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESTVVVDDASNSGRLPLGSVPLFVYDHGMPPNNRQTAFAIGDGSLHAGVVPELASSYDYHVTTHGWVLLVSPGSSPQTRLWDPRSGESVPLPAMDHKPPAQWKCYVSDVPTAPSLIVLVLNMDKPNFLYCRVGDDRWSAHEYHIGEVPVLPECTTTRKIVIEQMAAVGGKFYFHEKGKLGIIDFSSTTTPEFSYMDGYPRVDCPDESNCYWEFLLESQGEIFNVNIFCKGFNPARILAVRVYRLDMSGPTPTLSKVDDLGDKVFLLSYPNRQALCEASEYGLKGNRVYFNYNVTGDHDGGPICVYDLDDRSLETLWPCTGMTELMGNPFWMLPTDAQKWADPASKIVKEGDNLWKKLFIS >Et_4B_036626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:147004:150443:-1 gene:Et_4B_036626 transcript:Et_4B_036626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELHPLCCGGECPPSPPEPAPPSVAGVLYKWTNIGKGWRPRWFAVRGAVLAYSKIRRRSSPIHDVVHLKVSFHSSVCFLPDRTKHIATYSSSRVRTISSFRESKSDDRRFYIITPTKTLQLRTHSADDRMAWIQALISARAESSTQTGGLSCHPNGASFSTSKLLVFNADDTANISEPPPHLMESEYSCSRHGKCRERSNTESSDDIEQQGLDELLDQADYLFYDTRESFSDSSASPDLKSSNSRKDICLCDGNLVGPRSGMRNSECIPLYLKRRTELPKPVEKENGVSLWSIIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYEFGRKGNSLMRVLNVAAFAVSGYASSDGRSCKPFNPLLGETYEADYPENGIRFFSEKVSHHPMVMAYHSEGKGWKFWGDINIKSRFWGQTIQMDPIGILTLEFDDGETFQWSKVTTTINNLIIGRLHCHHHGTMNISGNKEYSCKLTFKQQSFLERKPRQVHGLVKDVNGATVANLMGRWDESMYCTTTTDASRVNCSASGPNADITLLWEKNEPSANATRYNLSSFAITLNELTPELKEKLPPTDSRLRPDQRHLENGEYGKANAEKLRLETRQRMARKMQENGWKPRWFQRDAEDGTFCYSGGYWEAREQGRWDDCCHDIFGECSDSS >Et_6A_046415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14716317:14720310:1 gene:Et_6A_046415 transcript:Et_6A_046415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTRRPATAAASPASDLEVGFAKLKGEGFEYYMQTYSIVLGRHSRCRDRSGAVAAAPPPESDDVDVDLGALGGGMNVSRHHARIFYHFPRRRFALEVLGKNGCLVEGVHHFPGAAPVKLDSQDLLQMGDAQFYFLLPSRSVFDAGTARRPSAALPRAVPPPPSDDDDESEEEREGAAVREEAMAAAKCPRNGNTARRSDAAGSKGYREADNQLLLQLEEKDVISSAATILSDFCGPQEWISMNKLHEVMFDKYGDIWHHSKVRKYLTSEDFPDSETLGRPWHGLSVLLRKYPEHFVINIRKGGGKSAEFVSLVSLQD >Et_2A_015024.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:26397863:26398396:1 gene:Et_2A_015024 transcript:Et_2A_015024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQHLLLRPPRLQLNISQSDVFVARYKSFGVTRYGCRFYLEATNPSELAYVHYSNLTVQLFDTSPPPASGNRPFARFGYMSPSSISLAPKEEQEFIVYGLTEDTNDVVDEQKLFKEDNAVMMLTGVVVLDNNQGAIQLYRANFRCQPVTVKSNAPLPEPSSNNFKADCLWVWQPDL >Et_4B_036002.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:11970631:11971008:1 gene:Et_4B_036002 transcript:Et_4B_036002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IRQLLAEDFAATCKADRFCVPCAAVFCDHCCAGHHRGLGHEVVVRAAAASDSGATRDSFCLDCAAGFSAALCAHHAGHETVRVVDCEGRHCVRCTGSERWFPYFNRIEVRFHLLRSAAWLLRCRG >Et_8B_058962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11584040:11584457:-1 gene:Et_8B_058962 transcript:Et_8B_058962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWVFPASIARPLFFPVSPSTVRSVNQTSPDAWRRRYIRGGGTVDFAMGEGEEELALSSYLWEGGFEAPDGVVIDPEPHGGGVRVPAAV >Et_9A_063257.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17839046:17840680:1 gene:Et_9A_063257 transcript:Et_9A_063257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFDFDLNELPPEDVANDASPAPAPQDPPPPPVREPSPQNLPPPPARESPPRDLPPPPSRELSPEDPPSPVLDLEAPLSPLDEEGDDDADPPQLPGPPPILPAAPEPWSSPIVGATPSRSCGESASSDDTLGSTRLPGPPSRSGGEMASPREDGEMAWPSPSQETSAPRGPSRGPSDTSSSSHRSCHGPPPYAPRDDAISKRRRRGGGGSSYDDEDAASSYGGSSRGGGSPHRRDQMGGRLAPAMHEGLLGPRPRNQRRRRPQRPYGDYDPGQDQRMQQGYRGREQPQQGFRGYDGSRGSAMPNFHMQQSSSSSSSSSSSYRPLPGPPSRDDDAYHRRKNQAQGPPKTGGYQQREVPPFSGPHGREDAYHRRRSQAHEPLKTGGYQHRHNDADSYDSRQSPVHEPRNIGGNRQHREPSFRSLPPRLHGRVGSYGGRPNPAAREPGVNTNGGYQQREAPDHRRGHVPARPYHPYARNAGEFDGAANAGNQARHESRANARDGGAFDSGNQPARHESRPNYQNPRDKNGGPVRSHHRPYGDVQK >Et_8A_056820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17291112:17296410:-1 gene:Et_8A_056820 transcript:Et_8A_056820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDPSSAAAWDASFAPAPTPSTGSLHEALASLSQAFESGDISASEEAAAAVSGVLDAAAAAAAEAADGGDADDAPRSDAAARVSEQLLREVHAFVLSPSSNQMAIDALSLMLLKPVAKLGALVGSCRDVAATIIKFFVTNCSPRDMLSIFCEALDIPVELPDGPAYFVLLLNGLAEVLALIQRRHIEQVKVALPAVLKVLHATVSECDEEHGKASVDIFNASLGIGNSIQKICKAMVNKNKEDLCAVLGLYSLQNIALVSQTRQRDLISACGSIVVQYFQLLKFCGFNYVGILTGNDATAATAKLSKEDDADFMELFSFAMDGATLSVVWTYMYDDMSKYAGAELELALKEIQENQMKKWDAINMLRYVLSSVRYPWIVKSHSINLLLTLSVENHIEEINDDVDFTSYAPRTFATLKVISMVPSSQRFDILHALINNSMSHSLTAILLDLVREEVLRESRQPDKDCADGLNHGKSPPWASHALDLVELILRPPEGGPPCLPDQSEQVLSALNLLRFILIIDSKGSRLGKLLCKETLQKVHSEWLIPLRPIVAEIQSESEKDGGEIADQIMCSLNPVQLVLYRCIELVEEKMKDC >Et_9B_064257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13330411:13339714:-1 gene:Et_9B_064257 transcript:Et_9B_064257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANSSAASRPVKMASDGLWQGENPLDFALPLLAVQIAVILVVTQGLALVLRPLRQPKVVAEILGGILLGPSALGRWSAFQQTLFPAWSAAALDTVSGLGLLLFLFLVGLELDFRAVRRVGPRGVAVAAAGIVLPLLAAPGLVPLLNLAVPASRHASFLSLCVFLGAALSVTALPVLACILKELGLLATPFGETAMAAAAVNDVFAWALLALALAVSGGGGHEHEPKDTQFLVPVYILASGAAFVAFMLCLLRPLMARLARRAGPDRAAGLACCSGVVCCAALLAGVVTDAIGVHTVFGAFVFGVAVPREGGVAERAGEKVAPLVTGLMLPVYFATSGLRTDVDKVRGAAAWGMVALVLAVAFLGKFGGTFAAAALTGVAGREAAALGVAMSAKGLVELIVLNIGKERKVLDDTTFAIFIIMALTTTVLATPLMTALYRPPASTADADDLGKRDQLDDMAAANSNSKGVKMASDGLWQGENPLDFALPLLAVQIAVILVVTQGLALALRPLRQPKVVAEILGGILLGPSALGRWGAFRRTIFPDWSAAALDTVSGLGLLLFLFLVGLELDFRAVRRVGPRSVAVAAAGIVPPLLAAPGLVPLLKLAVPASRDARHASFLSLCVFLGAALSVTALPVLACILKELGLLATPFGETAMAAAAVNDVFAWALLALALAVSGGGSKGSESTSELAPVYILASGAVFVAFMLCALRPLMARMARRLGDRAAGATCSGAVACALLAGAVTDAIGVHPVFGAFVFGLAMPREGGLAERAAEQVAPLVSGLMLPLYFATSGLRTNVDNVRGVSAWGMVALVVAVAFLGKFGGTFAVASCTGRMPRREAAALGVAMSAKGLVELIVLNIGKERKVRTTYTSHLSDGLNCDSAHILPFHTFLTCLLAYVQVLDDTTFAIFVIMALTTTVLATPFITALYRCPPSATTPEIRDAVELKGTGDACPA >Et_7A_052600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9763760:9773177:1 gene:Et_7A_052600 transcript:Et_7A_052600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKERLAGVERLHEALDAAARRGLTAAEVTALVDTCMDLTRDANFRVTQGGLQALSAAAVVAGEHFKIHLNALVPAAVERLGDGKQPVREAARQLLITLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVAAAVGLFASTEISLQRVLLSPVLQLMNDSNQSVREAAIYCIEEMYKHMGSQFHEELQRHNLPSYMVKEINSRLDKIEPKVRSSDAVVQYKVAESRSVSANPKRGSPRTKSTSRESTLFGGDTDITEKPVEPVKVHSEKELLREFEKIAATLAPEKDWSLRIAAMQRIEALLYGGAADYPSFLMLLKQLVPPLSTQLSDRRSSIVKQACHLLNVLSKELLGDFEPCAEQFIPMLFKLVVITVLVIAESADTCIKTILRNCKVARILPRITDTAKNDRSAILRARCCEYALLILEYWADAPEIQRSADLYEDMIKCCVADAMSEVRATARTCYRMFAKTWPERSRRLFMSFDPAIQRIVNEEDGGVHKRYASPSLRDRVVQPSRASSHASGTHIPGYGTSAIVAMDKSAAVSSDSSFSSNNLRLSQSKTIGRSSERSLESVLNSSKEKVSAIESLLKGVSISDRQNFSAARSTSLDLGVDPPSSRDPPVPLAAPASNLLSLQNSALLDSSLPTISGASSRNGGSRLLDTMTTQLATKERSRSPYLSNASSESMSGLSLPYLRRSSERLQEGGRMDEINDIRSTRRIPQMHMERNYVDMPYRDATYRDSHSNHVPNFQRPLLRKQVMSRASASGRHSFDDSHVPPGDVSGYADSLATLNDALSEGLSPSSDWVARVSAFEFIRNLLQQGPKGIQEITQNFEKVMKLFFRHLDDPHHKVAQAAFSTLAEIIPACKKPFESYVERILPYVFSRLIDPKELVKKPCSSTLEIVGRTYAIDMLLPALVRSLDEQRSPKAKLAVIEFANKSFSKYTEDSDGYCNSGFLKLWLSKLAPLVNEKNAKLKEASISGIIAVYRHFDSTAVLNFILSLSIEEQNVLRRALKQYTPRIEVDLVNYLQSKKERPRPKSYDQADFGTSSEDGYALTSKKNYPFGRYSSTSLDAEGGKKTSTVQESTLHNVSIGRTTSDMSIDHAIQSLEPSTETEVLLNRSRESKNNNSSVVEAAHSWTNYPEKTDASLDGDTATGTPRLDFSRLHTSDGQNTIGSTTGESVHDGDMIANLSAIKTSIHTDNGLSIPQLLHQISNDAEVSSLEKREALQQLVNASLDNSSSIWAKYFNQILTTVLEVLDDSDSSTRELALSLIAEMLNNQKDAIEDSIEIVLEKLLHVTKDAVAKISNEANQCLNVLLAKYDAFRCLAVIVPLLVSDDEKILVVCINCLTKLIGRLSQEELIDQLPTFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFAPYLEGLSSTQLRLVTIYANRISQARSGKPIDSNQ >Et_7B_053790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12237324:12239695:1 gene:Et_7B_053790 transcript:Et_7B_053790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAAEEVVMDSGEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGSSLQYAGPASLVWGWVVVSFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPVWGPLASWCCAWLEAIGLIAGIGTQAYAGSQVLQSIILLCTGTNKGGGYLAPRWLFLVMYIGLTLIWAVLNTFALEVIAVLDMISMWWQVIGGTVIVVMLPLVAKTTQPASYVFTHFQKAPGVTGISSSAYAVVLSFLVSQYSLYGYDAAAHLTEETKGADRNGPIAILSSIGIISVFGWAYILALTFSIQDFSYLYDTSNETAGTFVPAQILYDAFHGRYGNSAGAIVLLFVIWGSFFFGGLSITTSAARVVYALSRDRGVPFSAVWRRIHPRHKVPANAVWLCAAVCALLGLPILRINVVFTAITSVATIGWVGGYAVPIFARMVMREENFRPGPFYLRGASRPVCLVAFLWICYTCSVFLLPTSYPIKTDTFNYAPVALGVCLGLIMLWWVVDARKWFKGPVRNIDEHNGSGKV >Et_3B_027708.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:13480916:13481116:-1 gene:Et_3B_027708 transcript:Et_3B_027708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVNHIAEHFMWGSKQYISLFHTSVDEHACLPIKSDEHLHEWFKLNIDKGEGVLLSNTLNGVVVM >Et_10A_002004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18034860:18036556:1 gene:Et_10A_002004 transcript:Et_10A_002004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHYPKYVLYGLLILGSWLLSSLLHFQFFHLSLSSSSFAAPRRSAALVVLPAVLDAKSFLPPPAVEAEDRRPRSSSSSSPPPPPPSPKCEGRYVYMVDVPERFNMLKDCVEGSPLFDDIWSWCAITVNGGLGPKITGNDTGIIPSTGWYVSSHDHPWWYIFGLCEMESYSTDQYALEVIFHHRMPRYDCLTGDPSTATAVYVPYYPALELHRHLCGHNASVRDTPSQEFLRWLSSQPSWAALGGRDHFIVTAKTSWMFRREPGGPDEWCGNNFLNQPASRNMTALTYESNVWQRRDFAVPYPSYFHPSSAAEVAAWQDRARGARRPYLMAFAGARRARGQLAIRDKVFEVCETAKQRNGRCGMLDCSHGIEGATTCRTAERLMNLFASAKFCLQPRGDSFMRRSSIDSVMAGCVPVFFHRASTLRLQYRWHEPEPGVVSDGDDRRKRYYVLFNPDDVVSGKVDVEEVLSRYSDDQVAAMREEVVRMIPRFLYKDPTVPFDGEMDDAFDIAMDRVMERMRRIKTGETLEWKDDESDQPVVLPTDS >Et_10A_000783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17124883:17135724:-1 gene:Et_10A_000783 transcript:Et_10A_000783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGEGDALYEIRRHALGSHVIPQYFFSYFTGSSLFVYVLAATQDGQEGAATSAGSSGAAGVLSYLSLQGVSKLRERWTGRNTLGRSRKRRGDGVSLFASPNAEYVAVTVGNRIVVLRKGDDYSSPCGVYTNNDRMTFFTSGVWLEAEGIFGVVDDLSVLYLIKANGELLSRRTYDQLKLSSPIIDLVVRNGSSLQRPGFYILTSDCTIHRFDSIYEPEANLCEVPISTKDVTSAISLQLPRNLSCIDYDQRCSLLVLVADSNVLISSNGYSGTYFLYVLHFDGNLEVCLSFRSPQLQGVFSPPKDQATFVSSAKIRISPQGKHIATLDLAGSVNLFVLDSAARAVSLHPIGNSRYLVDVKDMSWWTDTILMVVRKDGSVNMYSITENRVVSQDDPVLSKPLLEKAKATEGHAFVLQSSRYERNTPFDKQMGDESGLNLPSASGDHQQAGMDKTFWSLISFSRVTIAEMYSIFIRENQYKEALDFASRYNLDKDEVLKARWLRCDGDTHEIDLYLANIKDQVFVLSECVNKVGPTEAALRALLSFGLRITDHYKFSELDNSSEGTAWDSRIIRLRLLWHRDMLETFLGINMGRYSAGEYSKFRSTPLVETAIALAESGKIGALNLIFKRHPYTISSDILRILSAIPETVAIQTYSQLLPGKSPPNIVILRDGDWVECKEMVSYIKNCPAELSNIGEIKTEIFVKNSTGFSWPSVAELCEWYKNRAMDIDCLSGQLENCLAMIELACQKGIEELQPFFDDIKCLYQVVYSNELSEFIMNLVTWEDLPDYDKFKIILKGVKEETVIQRLEENAIPFMKKRFLLVSSKEGKQEESYLVRWLKEIAAENDLSTCLAVVENGCGESPINGLFMDLAEMIETAVHCIYVCTATNQWNTMSSIISKLIHKTKREKSLFACEEDRNLKDPKQALGTSVVSCDEIQRVCADILSGLGNCSVSYDLNNVNYLDILEKRLKVAEGHVEVGRLFTYYQVPKPTYFFLTAHLDEKNVKQLIRLLLSKFGRRQPVRSDIEWANMWRDLKLFQEKAFPFLDSEYMLAEFIRGLFKAGKFSLARNYLGGTSAVSLSTEKAENLVVQAAREYFFSASTLSGNEIWKARECLNLLPNSKNVQAETDIIDALTVRLPYLGVTILPVQFRQIKDPMEIIRLVITSQTGAYLHFEEIIDVAKLLGLRSEDEIAAVEEAVAREAVVNGDLQLAFDICLNLTKKGHGAVWDLCAAIARGPPLDNLDTGTRQKLLGFSLSHCDEESVGELLNAWKDLDVHDKFEQLMISTDTNPPNFLIDGSSITTLPMQSVQDILDLRDDSSHDRDKNHEEIVREMLSKVCIDLSNEDTHTWKAMLAENRKFLTFSALELPWLLKLSNNEEKDGETQASRTDDPTRKLRFSTKVEATISIIYWLAVNGFAPNDNIITILAKSIMEHPVEGEGDLLACSVLLNLVDPFNGVKIIEEELKTRECYQEINSLMNIGMLYSSLNNSKKECSTPEQRRKLLLHKFHEKFTSVDSDELDPIDMEHTTFWREWKLKLEEEKQLADQARMLKQIMPDIDTSQFLSGDANYIKKAVFSFVDSVKQEKKHVLKQAVKIADTYGLQRTEVLLRFLNGSLVSECWDNNDILSEIAEFRDDIVKSAKGVIDMICSDVYPEINGYNKQRLSYIYGILSACHSYLKRTGEIELRYPEHVHTHKLEPFQYYKVLEEECKKVSFIDGLNFKNIAGLDNLNFEHFNEEVCKNIHASTVSTLADMVQALVTMYVDVLAKGLISRQGVYKHYVLGLLASLEGRSEARSNCTDYEKLQAVLCEIESNYDSCKEYIQALPSTDISYIVGRYCTLSFPCNLSRSHPQEPSWKKPLTTLVTFWAKLVDDIPRESTDGSSYERTDYLDLNRLSHCMRAFRQLLINNEITVHQSWDAISTYVKFGLSNGMLRDTSYLCRAMILSGCAFECVVEIYCGGRRKLGSESADSINPLDLLELYNTATDECLSDLIEGFCEYPVFLHKLISSLSRSTGKHAGSLEMVRSGVWGKLIGFSENMQLESQLRVYALQLMQCITGRNLKTLPNEMVSQVEPWESWYEHGTSTPMADESTNSSSITGTLVALRSTQIVAAVLPDANITPENLATIDSAVSCFLQLSEHASSVESVAVLESVLQEWEQLFASKEEYFPPQESPKETSDWSDGWDDGWEELESPKKKQDAPSLSVHPLHSCWMEVIKKLVGIGEVQKIIELLDRATSKQSVLLEDEEAHCLFELVSALDCFMALKIVLMFPYEAPRLQCLQMIEMKMREGTVSTSSNADDQELLALVLSSGTIQKIIAEEAYSQFFSYVCYLVGHLARSFQTDLLVQWNDKANLQKASGTSEPLLFGRVLFPCFISELVLKGQYLLAGFIISRWMHIHPSLGLMDVLETSVRRFLEGQVAQAQQLGVGEPSLTDNGISARHAISNLRSRVLSLLQAASAALPNQEL >Et_1A_009128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30035942:30036390:1 gene:Et_1A_009128 transcript:Et_1A_009128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFMSPLSPSRRAARRLAGVASWENCKVAQMEAELKKIHEQLEMKKAAQAEKLMNAAATVRREAEEKRAAAAARRGEEVVRAEEAAARYRARGQAPTRLFGAGLFGRG >Et_8A_058252.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:3494152:3495279:1 gene:Et_8A_058252 transcript:Et_8A_058252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKFRDDDRPLMRAKVPIGVLGLPFQSGLSAGGDPRELRFDLSTAFASGPALRLSYRPNDSGLPFALSVRAGLGPLGSPARAPFALAAEFNLLSSDPS >Et_1B_011532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23368906:23369531:1 gene:Et_1B_011532 transcript:Et_1B_011532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GNIESSSVQFLRSYDNSLLAVRQSGEAEHPPDAERRRRAREMKSANTEILGWLPPSLGWCKANADAAFVPGSHMVGISVIIRNEMGKMVLSAWKSVFDAESVEEVEARACLGVQLASEWYQLNSGLPDKSSLVFLCGEITSSSLNLPDVSFQAVKREEHGRTRPLTSHNWPSTKKLRQISNLVLSST >Et_3B_029821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28215158:28223752:1 gene:Et_3B_029821 transcript:Et_3B_029821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVRERHRSMFVIVGDKSRDQIVNLNYMLSKSRVKSRPSVLWCYRDRLEISSHKKKRAKQIKKLMQRGLMDPEKADPFSLFLETSDITYCLYKDSERVLGNTFGMCILQDFEALTPNLLARTIETVEGGGLIILMLRSLSSLTSLYTMVMDVHERFRTESHSQAAARFNERFLLSIASCKACVVMDDELNILPISSHMKFIQPVTNNEDSEGLSERERELKDLKDQFREDFPVGPLIGKCCTMDQGKAVVNFLDSILDKSLRSTVALLAARGRGKSAALGLAIAGAIAAGYSNIFVTAPSPENLKTLFDFVCKGINALEYKEHLHYDVVKSADPELRKATIQINVFKQHRQTIQYLKPHDHGKLSQVELLVIDEAAAIPLPIVKSMLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLECQSQPSVQSNASNSSRLFKKIELNESIRYASGDPIETWLNDLLCLDLANSIPNISRLPHPKECDLYYVNRDTLFSYHKESEIFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVIQVCLEGQISRKSAMKSLSEGRSPSGDQIPWKFCEQFQDNVFPSLSGARIVRIAVHPSALRLGYGSAAVDLLTRYYEGQMTLFADDEEETEEPEVKVTEAAEKASLLEENVKPRANLPPLLVHLRERRPERLHYLGVSFGLTQELFRFWRKHNFYPFYVGQIPSAVTGEHTCMVLRPLNSPDIEVNESSKCGFLDPFYQDFRQRFRRLLGTSFRHLNFKLAMSVLASKIDFSDHEPSENDKNCTSKLLGDMLSPHDMKRLEAYSNNLVDYHLILDLVPILAHQYFSEKLPVSLHGAQAAVLFCMGLQDKDIGTVKEELGIEREQVLSNFIKTMKKLYGFLHNVAGKQIEATLPRLKEIEMAPLSKSMDEDLAEAAKEVEEQRRAANEAAVDPKLLQKYAIDDDDNEIVKALQNGKVSASGVISVKSNKTRTDKKEKHKEMGKSKRKGTDGGRSESKKKRS >Et_1A_006630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25419491:25421473:1 gene:Et_1A_006630 transcript:Et_1A_006630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLSNRRITRSLAAAAAAAASSAQKSAADSATLFSRTKNGAGAGERAALHDITNDSPIVGLAAGGLHAATDKTPASTAAKTRPRPRRTPGSGEALLRGQVKALLHKVHEEQGSAAAAAFARPPARIQALLGVARSPAQLLAPTPANTPQLGAAREGLLLPDGSTLMPCVLEEEESLIPKLQVIAACQPPSLLAEENLSDAQLHRALVFDDSPGKSDSSTGSAVSSSLKFHGSSSDSHMDKSSSSTEDDRSSVWSIQVHASPLKAEELGEVLELGEYTEDEGDWEEEGSDDDECFDDLCEEMSKMAVFDGEEEKAGLPQFEGKHTRFIYNSDDEIEREEVVPSAEARAELGALLLRGLPVPKGRHLRFHEDDED >Et_3B_027465.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:19994954:19995265:1 gene:Et_3B_027465 transcript:Et_3B_027465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRSGRRRRTSCLSVPWRCTTGTPPTGGTTWCATWAASSPSTRCAASTRSSRRTLRASSPAERPSTGTAAPRHRRRRPCREVLNKLTLAVTCLLACLPVSSY >Et_1A_007424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34340333:34346106:-1 gene:Et_1A_007424 transcript:Et_1A_007424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGARRLRPGVEVLVLPLLIAAAALTPIARAATDPADVAAINGLYAALGSPSLPGWTASGGDPCGESWQGVTCVGTSINSIVFNAANLGGQLGSLGKFTTIAEINLSNNNIGGTIPEDLPATLRNFFLSDNQLTGSIPTSISKLQSLSAMSLNGNHLDGKLPDAFDSLSGLVNLDISSNNFSGPLPPSLGNLASLTTLHIQDNQLSGTLDVLQDLPLKDLNVENNLFSGPVPPKLLNIPNFKNDGNPFNTSTAPSTSPSLTPTGSNASTPTQTPSSPSSSSGIPASSSTPSNTSGGATARDSSSPSSKKHKSSTLRTVGYVLLAIVLFVVMVLLVIFCLSKYQERQSRQDYAPSQLGRVRQRAEEPKMKQAPVQSRNDAKKVSNEITDRKQAREINLTVPAALEKPPEKRKEHVINLERTESEIFATTPPPPPPPPPPPPSQPPPPPPSQPPPPPPPSQPSPPPPPPPPPPPVEKVIVNPIFRPEKRVSTPPRTGPSTSATSFSVASLQQYTNSFEEQNLIRESRLGKVYLAELPEGKLLEVMKIDNANDRIPVDDFLELVARISDIRHPNILELVGYCAEYGQRLLVYNHFSRETLHDVLHEGADLDDALPWNTRIHVALDAAKALEYLHDTCEPPVVHQNFEPANVLLDDGFSVRVAECGLAELMGSSSVTQLSGRMRALLNYEAPEIHESGGFTDRSDVYSFGVVMLELLTGRKPYDSSRPRHEQHLVRWAGSQLHDIESLSKMVDPSIQGECSDILLSRFADIISRCIRPEPEFRPPMSEVVQDLTRIVGATGEESG >Et_9A_061686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15297643:15298100:1 gene:Et_9A_061686 transcript:Et_9A_061686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIIQMCWSIWKERNNWIFQGIDPTVASCRARFFSELHMHEVLALLHVGKIKGEFDDWVLAGAKNLARLMARPSAR >Et_8B_059858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3421464:3428387:1 gene:Et_8B_059858 transcript:Et_8B_059858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFLNKKGWHTGSLRNIERVWKAEQAEEAEKRKTEELKKQVAAEREKAEFRAMQEAAGLRPAQERLDFLYESGLAVGKGSSEGFQALQQSAPGAAASSSAAAAGDSSKAATPGALFEDKPQSANDAWRKLHSDPLLLIRQREQDAIARIKNNPIKMAEIKKSVEVEKNQKEVKKEKKKHKKHRHHKSKSKRHHSRENSDSEEISEGKDQRRKRVRTSPEHKREKSSRHEKQLREDSSDSDDDASTRRQREVSEYVEPRRRRQEASEDDEPRRRQHEDEPRSRHREDETRRRQDASEDDEPRRRHQDYGEPRRRRQEDDEPRRRRQEDDEPRRRRQEISKYDGHPPRHDRLDADNRKKRQHSPPDSRTKRVEDEHNNGNVTSEHRSGPEQASQQSHQGRNNGASFNRRRGGVHHMSEEEREARLRQMQADAEVHEEQRWKRLKKAADDDAKEASTVSANQFKGKNFLEDEKKSIFGTEKGGSATIEESIRRRAYYSQGSRDVERNAFRRVSICILKMPGFEINIGCLPRAVKYAKGSKH >Et_9A_062460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23163981:23164785:-1 gene:Et_9A_062460 transcript:Et_9A_062460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLIVASLLLVAVTFAAAVEARDVHPVVTPADQFRESKMTMCRSLTRLPGVTTPRDLLEAAVRVTITKAKQAKRRVDTYLASYHGGNPMESMLLTCSQAYGNVADSLVETQRLIDRHASNGELNRQLSGVTTDALDCNNAFDERPEIVSPFPGTVRNVYRLADNILNIAYAIKQV >Et_2B_021059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26109604:26111353:1 gene:Et_2B_021059 transcript:Et_2B_021059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDGGKVVVRVREFDMERDLAAVEELERRCEVGMSGDTADDASCKTRRKKKKGMSLVVEQFGDPLARVRHAPEHVMLCACMTVTCSSFLGTDGLRVRAAQVAEYGEEIVGLIKACVRTVSRGGGKKASSPSSSSSSSSSSSSSDELKSSSAAYVKVACLMGLRVSPSHRYRRLLRFGYDDSFHLRRRMHHAFLLNSNGTLLACLIARAAPWGTTSLAGWPDSTGARFPSPASPPPHSCFGTAANDALVHARRRVGIASKLVKHAEEWCRARGAAHATMATTSSNAASLALFTGRFGYAPFRRPEFLGRPVHAHDLPIPRSHRVFQLPPPLAAAAYAQLLPPTATEFLPADMPALLAHKLTLGTFVAIETDPADPSLPPSFAVLSVWDATRAMCLRVRGAPALLRASLAALRALDRGAPWMRVPSIPDIFRPFGAYLLYGVRMSGPAGPELLRSLCHHAHNVARKNPACAVVAADLAPDDPAADAIPRWRRFSCDEDVWCIKNLGKNDDNAGNGEGDDDDDWAAPAPPGTLLFVDPREF >Et_9A_062817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5347712:5350662:-1 gene:Et_9A_062817 transcript:Et_9A_062817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTGCLTAGQAAALSRACRDGAAAARLKTGSLLAILVASAVGICLPVALTRAFKGRPGYARGLLLVKCYAAGVILSTSLVHVLPDAQAALADCAVASRRPWRDFPFSGLFTLVGALLALLVDVSASSHLEAHGHGHGGGEEEHPHPASYEPIPKKSSSSAPVFELAGEMSPRKRAFADDHDDPAPRFSATANGGDTDRDDVALFGTKKGGPAVVRSDEVAVVGGGCHGGGHQVELEMGEGEGEEEARKKQKMVSKVLEIGIVFHSVIIGVTMGMSQDVCAIRPLVVALSFHQVFEGMGLGGCIAQAGFGMATVGYMCIMFSVTTPLGILLGMAVFHMTGYDDSSPNALIMEGILGSLSAGVLIYMALVDLISLDFFHNKMMSSSLKLKKASYIALVLGSASIKAGNQQFASNGVKSK >Et_1B_010209.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30108473:30108511:1 gene:Et_1B_010209 transcript:Et_1B_010209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSSCRNRSQK >Et_9A_063130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11145812:11147917:1 gene:Et_9A_063130 transcript:Et_9A_063130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADETAIYLLGHMSATSRLPEHELTAFWAPFLLIHLGRKDNITAYAIEDNRLWLRHLQTFAVQVAAAAYILYESSIVGSRSLLRWATIIMFVVGVVKYGERVWAVRCANSRGQTGKNYRRFLPDKWAIGWNLDADTGPQDTEALLLLAYSTVDVSDELLRGPQEAFQLSMLNPRSLCEQDLYKVVEMQLSLIHDAFYTKAEALQSFCGVCIRIALPVATASSFFLFHLWRRQQLEGHSTVDVAVTYVLLVGALVMEMASALRCIFSVWIYGGILYGGVSMCYSLAHAVISLRRLVHAADWRPCWSRSMAQLELLKLCADSRTSRWSKISKKMKVEDWWNMLAYSWTIHVPASVEQLLLKQILESTGTSTSSPDHITNSRGRVALESWGLHESLAWSVDPKLSLEESILLWHIATEVYLCHWDKQERAKDTTSISEKVSEATHALSNYMPFLLAARPFLLSPTANHNGYVETCYGLTGIRE >Et_10A_001457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3575141:3577596:-1 gene:Et_10A_001457 transcript:Et_10A_001457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGHSSSGDLFEIVRRGAGGNCGGMATATATLSQSPELPLELPPTEAEMAAWLCQIVRGDEQDSTAAGRTLVDDNKLMAHGKQKTKKVQTSAEGKRVEDTTRSTSSERKKNTTAEARRSHYAETHSLTEKKRRNKINERIKTLQQLVPGCNKQCKQASTLEQTIQYIKSLQQQIQAMSLGHNMEPASAAVYPVGLPPRLTRTVAAAAPVALVSGHARPAVVLGPPPAMVPLAPLLPLAHYPAIILPAAPMLYPNAAPSPARGAPPPASFQQKE >Et_4A_032160.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21800257:21800304:-1 gene:Et_4A_032160 transcript:Et_4A_032160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNISKGSCQCCGL >Et_5A_042450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11560645:11565595:-1 gene:Et_5A_042450 transcript:Et_5A_042450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAMPPRPFMGPGGPMPMPPPQQQFGLVETRPQLAAVLRPRFNIPGLNPAAAATAAAGGAGSKIASTYDLVEPMRFLYVHVVKARDLPSASPAGGAVDALVEVKLGNFRGTTPAVGVGADGHAAAWRQVFAFSAAHLQSHQLEVAVRARDLAGGEGVVGVVAFDLAEVPVRVPPDSPLAPQWYRLQARGRDGVGGKLPRGELMLSVWLGTQADEAFPDAWHSDAHAAAGPAAVASTRAKVYFSPKLVYLRVAAVAAQDLVPHDASRPMNACVKLQLAGQVRRTRPGSGAGTPNPMWNEEFMFVASEPFDEPLVVTVEDRVAPGRDDPLGRVILPLQAAMPRHDHFGKYVEPRWYSLARPNEDPDKKQAKFASKIQLRMSLDFGYHVLDESTYYSSDLQPSSKPARKPSIGMLEVGILGARNLIPMKPKDGRTTDAYCVAKYGPKWVRTRTILDTLNPQWNEQYTWEVFDPCTVITVVVFDNGQIGSKNGGGPDQRIGKVRIRLSTLETDRVYTHFYPLLVLHPSGLKKTGELHLAVRFTCTAWVNMMAMYGRPLLPKMHYTQPISVMQVDYLRHQAMQIVAARLSRAEPPLRREVVEYMLDVDSHLFSLRRSKANFNRIMTTFCGCAAMVKWFDGIRSWRNPITTMLVHMLFLILICYPELILPTIFLYMFLIGLWNYRYRPRHPSHMDTKLSHAEMTNPDELDEEFDTFPTSRPADIVRMRYDRLRSVGGRVQIVIGDLATQDSKIYFTTSP >Et_10B_003957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8438768:8439430:1 gene:Et_10B_003957 transcript:Et_10B_003957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALRLSYSYLPFRLQQCFSHYALFPEDYEFDSKELIHLWIGLGLLGPDNQNKRIEDIGADYLKGLVNHGFFQEEKNADGHTYYVIHDLLHDFAVHVSAYECLSLKIFNLRSIQIPASIRHFSIIIENEAVQDRGTFESCIKDLYTLDYHRSFCKSFGDIFGEAKALRVIFLSGPSYDVEDLLHNFSKLVHLRYLRIKGTIYNER >Et_4B_037406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19486632:19489963:1 gene:Et_4B_037406 transcript:Et_4B_037406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSEMPMPEKLTRSGSLGSKDTYLRADRIDLKSLDIQLEKQLTKTWGKANLKSQGPKEDWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAVKLLDWGEDGFATEAETAALRTSFKQEVAVWHKLSHPNVTKFVGASMGTTDLKIPTNSSNGGARTNLPARACCVVVEYLAGGTLKQYLIKNRRRKLAYKVVVQLALDLARGLSYLHSRKIVHRDVKTENMLLDTQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDVPRCCPSAFANVMRKCWDANPDKRPDMDEVVQLLEALDTSKGGGMIPEGQSSGCLCFTRARGP >Et_1A_005381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:93236:95401:-1 gene:Et_1A_005381 transcript:Et_1A_005381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYGVCPETSKQGGCHRRPPPSSLARRLQPAINLCYPFCPVRGFLPTARAAACIGSTKKEKEKEKEKEIRSDCMRGAERTSTTNKRTEEEPGQFGTTYLCTELSTGASLACKSIAKRKLLTAEDVDDVRREIQIMHHLAGHPSVVTIKGAYEDPLYVHIVMELCEGGELFDRIVDRGYFSERKAAEIARVIVGVVEACHSLGVMHRDLKPENFLLLHKEDDDDQEESDNSSSSLSNNNNNRRLRMKAIDFGLSVFFKPGQTFGDVVGSPYYVAPEVLCKHYGPEADVWTAGVIIYILLSGVPPFWAETQQGIFDAVLKGAIDFDSDPWPSISASAKDLIRRMLRSPPADRLTAHQVLCHPWICENGVAPDRPLDPAVLSRLKQFSAMNKLKKMALRVIAQNLSEEELAGLKEMFKAMDTDGSGAITFDELKEGLRRYGSNLKESEIRDLMDAADVDRSGTIDYDEFIAATVHMSKLEREEHLLAAFAYFDKDASGYITVDELEQACREHNMAEVGIDEIIREVDQDNDGRIDYGEFVAMMKKGIIGNGKLTMRHASDGSVLHGAGDLC >Et_10B_004297.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:3176152:3176601:-1 gene:Et_10B_004297 transcript:Et_10B_004297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVIAHQSQITHIHHSSLVTVTSISILLTRQPTEFSAQYHQSPSILAMSSTTTAASGFLAGGAWFGELASALQGSWQEVQRRPSQQLKLGGGGLLAEKNNKKAAAGAAAGAGNRTATKKEEEAEDVVACSGAISDATLCLLLDRFAPS >Et_9B_065717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9685470:9686021:1 gene:Et_9B_065717 transcript:Et_9B_065717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKMLINGIGIKEVVNVSQLPLTLINGEFIWTGSVKLTWRIEPESKSISGSVLPQLYPPTLPSLVCLPFHFSHSPPDPQTLAGTPNPITSPQAPVIPSLPCDGGDGCCVACRAAAPRSQPSPSAPCGADPTQLTRLLQLTLAVAAGAGGDLVAAICSIKSDEDDDAGNDILK >Et_2A_017575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3809849:3812616:1 gene:Et_2A_017575 transcript:Et_2A_017575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFLALVTIAIAILSSNYASPVDSHKGDRAALLLFKSSVQGNLSDWGSPRSMCDWTGVECDISKRRVVNLLLSHSNLTGVISPVIGNLSALERLELNNNKLSGSLPPELGMLSRLKELDLYHNFLEGPIPKTLGLLRNLTYICLEFNNLSGDIPEAAVCNCSSLTYIGLSSNSLTGEIPFTTQCRLPDLTKLVLFENNLVGGIPPSISNFTSLEWVLLHTNFLSGVLPSQMFSRMPNLTHLYLSYNNFSSDGGNTNFEPFLASVVNCTSLKQLGVAANGIGGKIPPFIGNLSADFSMLVLHDNGITGTIPSTIGNLPKLTLLSLFNNMLEGPIPSEISQPRLLGRLELSNNRINGEIPKSIGVARHLFAIDISHNRLQGAIPETLSNLTELGTLSLHHNQLSGAIPPGLSCSLILDLSYNKLTGQIPTEIAGLSTLQIYLNLSNNLLEGPLPLQIGSMESMRALDLSGNKPSGTIPADIRGCVALEYVNLSRNMLQGSLPSSIGALPNLHVLDVSSNSLTGMLPQSLPAAKVLWHANFSYNNFYGEVSSEGAFANFSDDSFLGNPGLCGSIPGMAPCRNGGHVRRLYIGIVVFVAVVSGLLAMVVCLMKIRLRLTASVGQLSRFSTGSVSALVEMESEHPRISYRELVDATDGFSEANLIGKGGYGHVYRGVLHDGAVIAVKVLHQDHTGEVIAGSFERECQVLRSIRHRNLIRVITACSTPEFKAVVLPFMPNGSLESLIHGGDKPAAERRLDLLLSIASGVAEGMAYLHHHAPVKVVHCDLKPSNVLLDGDMTAIVSDFGISKLLKDTRDPETGGVSTTASTCSSITGLLQGSVGYIAPEYGLGGRPSTQGDVYSFGVMLLEMISGKRPTDVISEEGHDLHEWVKIRCLQQHG >Et_4B_036581.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:5093016:5093168:1 gene:Et_4B_036581 transcript:Et_4B_036581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARLNRVLNGDDAEARRRRRAAGSWLAAPKVLFRKIKGAFLGGRRGGLP >Et_2A_018377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25346759:25349035:1 gene:Et_2A_018377 transcript:Et_2A_018377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVARSLSGGGVTLVARRDEVEADCVVDGHFTAVCTVAVSFFSKNSTLASNYRSNPRLPLQTASKLDHDIFMASDLADVSFEVEGEAFKAHRLVLAARSPVFKAQLFGQIKIESCTATSIKIEDMTARTFGHMLHYMYHGVLPAAILDTGGASSSGRMLEVERLCVAADRYGLATLKQMCEEILCTSLSVSTVLSNWEFAEARSCRKLKSSCLEFLADGVIFQEVAITDKYVDLMKNNPAFGFQTLFSVAYWLRYWALLQPEEVRDHVRGVSAALEVAASELYASHGWRFNNRLGPP >Et_2A_015899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18977538:18981315:1 gene:Et_2A_015899 transcript:Et_2A_015899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKPRGCCGWLIVALVAALVATAAMFAIMKRKPGSRHLKPLPVPGPPGAIDSKYGDALGVALQFFQVQKAGKLENNKIPWRGDSALDDGKEAGLDLSKGMYDAGDHIKFSFPMAFTATVLSWSVLEYGDQMSAAKQLDPALDALKWITDFLINAHPSDNVFYIQVGDPDLDHNCWERPETMTEKRPLTQINKKSPGSDVAAEAAAAMAAASMVFKSINTTYSDELLQHAQKLFTFADTYRGLASDSYPKLQNYYNSTSYVDELLWAASWLYHATGDQTYLSYVTVQYGKDYANWGKPTWFSWDDKLPGTQVLLSRLNFFGSKQISNAENEGLKSYRDTAEAVICGLLPDSPQATASRTGGGLVWISGWNSLQHAANSAFLAVVYSDYMLSSRTAAVQCSGKYFSPTDIRNFAVSQANYILGDNPMKLSYLVGYGSSYPQQVHHRGASIPADAKTGCKGFQYLHSRDPNPNVAMGALVGGPFQNDSFVDSRDNAVQTESSTYNSGTLVGLLSGLVTTSSVAQSFT >Et_7A_051733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23734908:23735556:-1 gene:Et_7A_051733 transcript:Et_7A_051733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GNSPLGINSTQRTGCRSMIRLLRSDDHGWYVSCFVETHNHQLSQGCGETRQWNSHNRIDPVAQNFIQNIRGNNVSLSRLRDYIRIYTSNTVDNPDRDFYKCPYHNSHNVRGCDFWMWEDEHLKYLVEEASVLPPSAARSRATGSTASSDRVLNYLSEIQWIMRTLLVLSVITITGLMFVI >Et_5A_040568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:78505:82035:-1 gene:Et_5A_040568 transcript:Et_5A_040568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSPSPPPSPCPAVDNQLHRRLRCGGRREHHLGEAWVHVAVGRSPEKTLGLLRWTLRRFQCGRIALVHVHQPSPLIPTLLGKIPAGQATEELVLSHRKSEKEEMNTILLSYLAFCHRAQVQATLLVTENDQIHDGILTLVNQHGITKLVMGSTPDHCFKLKASYGKESLMARNAPAFCEIWFVWRGRHIWTREASAATENNVQNDVMTTKRIRFTSYSNNAESLLDEGYITCETVMADLNQGTASDNDPSIEYDAIGAREGNHFYNMSVTNWPNAESERNSNFWSDPSVHMETLRLYSTEILDRNLKQVMMEAEGSRKEAFVELLKRKETESNVASAFSRAKVSDSARKHEMKMREDVEILLVATKKQHEALIKNKEKAAAGLESSVRRLAILDARSKKISILMGEVAAELEVTQSSIETLRQEKSKVQRQEERHIDQSEGCRCSHATLPHCTPITPADNSYSFRELTLLDMQSATCNFSEGLKLRSHGHGCVYKGEIMNKSMMIHKLHSDRIQSLKLFQQEVHILSKVRHPHLVSLIGACPEALCLVYEYLPSVSLHERLFSKCNSHQLSWKVRARIIADISNALLFLHSCKPYTIIHGDLKLENILLDTDSHCKITDFGISRIFADDMKHYPSSCADPEYKRTKVLTPKSDIYYFGIVVLQLLTGKQEPVGLASEVRRAVSCGRLSSVLDKTAGDWPMEVAGRLAELGIQCSEASSRDRPELTPGTIRDLERLHHKREEHIPSSFLCPILKARRVIRDWMENGWETSPVTNLKLEHHNLTPNHALRFAIQDWLSSFHPLLKL >Et_7B_055216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8336095:8343720:-1 gene:Et_7B_055216 transcript:Et_7B_055216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAPAIACRVAPQRTTAPFAPAHSLVGCRAVVWRCWGARRGRRRRWAGLRARNGSGGGQSPAVRPDSESSGEGLVAAEDGPRRSPFDLNLAVVLAGFAFEAYTSPPENVGWRETDAAECQTVFLSDVFLREVYDGQLVVKLKKGTNLPALDPWGTSDPYVILQLNGQTTKSNIKWATKEPTWNENFTFNIRKSQENLLQVAAWDANLVTPHKRMGNAGLYLESLCDGNNHNVTVDLEGLGGGGTIELEVKYKSYDDIDREKQWWRIPFVSDFLVKSSLGSALRTILGSESVNASQFVKSAFGQLSSFTYTYLPKPSASDGSEVSKSIEESPNNSVGSNELQQQKFDSEDTSDSHNEARSPSAIVNSEGNASSDVKESDEYFWSALNNVLNQNVLQNFGFSLPEIKQLDGFDLLSSLGLKSREIAEQKYLESGLAMADTPASDGSETSEDAVGVDNENGTLTAKEGVQASFPDISRVSRDVLSQTENILGALMIVSKNFSPENKESVTMNEADRKDENIDGQEGAAAADFGDDDGTVASTEKSIDAQKAEDMRRLFASAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQVAIWRDSSRRRLVVAFRGTEQSRWKDLRTDLMLVPAGLNPERLGGDFKQEVQVHSGFLGAYDSVRNRIMALIKCAIGYHLGGALATLLALELSSSQMAKNGAIFVTMYNFGSPRVGNRKFAEVYNTKVKDSWRIVNHRDIVPTVPRLMGYCHVEAPVYLKFGDVKDALVNNEIIDDEDQGDMIGEYTPDVIVTEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLENVRSRYRVVDNANDEYNQLTA >Et_3A_026631.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:10974500:10975762:1 gene:Et_3A_026631 transcript:Et_3A_026631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPAAPAASGGLDFDVIVVGAGIMGSCAAHAAASRGARALLLERFDLLHHLGSSHGASRTIRDAYPKPQYRPMVRLARRLWADAEAESGRRVLTPAPQLSMGPRSNAALMAAVESSGAAEVDDLSRTWGGAFRTPPPGVDDGGGWVAAVSERGGGVLNATEAVAMFQALAAKKGAVVRDNAEVVDIIVSKGPEGGVAVRTAAGDEFRAAKCVVTVGAWTRKLVRHVAGGDLPIQPLHTTVLYWRAKPGRERDLTAEAGGFPTFSSYGDPHVYGTPSLELPGLIKINYDGGPACDPDGRDWSAGGGGDVADRVARWIEEFMPDHVDAAAGPVVRQPCMYSMTPDKDFVIDFLGGEFGRDVVVGAGFSGHGFKMGPAVGSILAEMAMYGEARTAAEAGIELGHFMINRFDGNPMGNARDN >Et_3B_031110.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16211678:16212067:-1 gene:Et_3B_031110 transcript:Et_3B_031110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAECEAWMGIARSHEAVAAGLRATLDQLLQSPCAVVAEGECEAVDAQSCCFKAPAAADVNNNDGTASGTRAAASSSCKACGGDEACVLLVPCRHLCLCRASPPWTRAPSTRTPRTPRSTSFSRESLLH >Et_5A_042865.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:5883112:5883318:-1 gene:Et_5A_042865 transcript:Et_5A_042865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTEADVEEQYRRAGKLHQYQPDKELDKRFARIMMAIPTPDGYVPNQDYYLKLAEEERCTAAHFSRL >Et_5B_043908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17540451:17542147:-1 gene:Et_5B_043908 transcript:Et_5B_043908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAAATAAIASLGRLSGAPTPRLKSASLPSSSSFLLPRGVAARRRAARRAAVTVRAEAKKSVLIVNTNGGGHAVIGYYFAKELLAAGHAVTVLTVGDEASDKMKKPPFSRFSELTSAGGKTVWGDPADVGAAVGGASFDVVLDNNGKDLDAVKPVADWAKAAGVGQFLFISSAGIYKPTDEPPHVEGDAVKESAGHVGVEKYLAEKNFSSWASFRPQYMIGSGNNKDCEEWFFDRIVRKRPVPIPGNGMQLTNISHVRDLSSMLAAAVESPGAAAGLIFNCVSDRAVTLDGMARLCAAAAGAADGVEIVHYDPAAAGVDAKKAFPFRNMVFITSDMCFRFAIAVLCSALLRCEHFYAEPRAAKEVLGWTSATNLPEDLKERYAEYAASGRGDKAMTFDLDDKILAALAQTTSRSVTV >Et_1B_010157.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26933603:26933662:-1 gene:Et_1B_010157 transcript:Et_1B_010157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREDEEIASAWCQMFSSM >Et_3A_027058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31029143:31031607:-1 gene:Et_3A_027058 transcript:Et_3A_027058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVHPVTGELNTSFNDPMDIEGEENGHAQNAGTGATLTGWKDLPMELLLRIMSIVGDDRMVIVASGVCTGWRDALGWGVTNLSLLWCQDHMNDLVVSLAPKFAKLQVLSLRQINPQLEDTGVEALANYCHDLRELDLSRSFRLSDRSLYALAHGCPHLTRLNISGCSNFSDAALVYLTSQCKKFKCLNLCGCVRAASDRALQAIACNCGQLQSLNLGWCDSITDKGVTSLASGCPELRAVDLCGCVLITDESVVALANGCPHLRSLGLYYCQNITDRAMYSLAANSRVKRSNGGGWDAVKRVDERDKDGLASLNISQCTALTPPAVQAVCDSFPALHTCPERHSLIISGCLSLTSVHCACALHPHRAGRAALLSNHAY >Et_5B_043331.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:4033699:4033815:1 gene:Et_5B_043331 transcript:Et_5B_043331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALPCLDPISIGTFRVDSFLLRLVFTPILGVTLRIA >Et_3A_025286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28506752:28517304:-1 gene:Et_3A_025286 transcript:Et_3A_025286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKEVVAGSGSGLKDFPYTIGEPYASAWGSWTHHRGTSKDDGSPVSIFSLSGSNPQDRHLVAGRNGVKRLRTVRHPNILSFLHSTEAEVPDGPAMKHTIYIVTEPVVPLSEKLKELNLGGTQRDEYYAWGLHQITKAVSFLNNDCKLVHGNVCLASVVVTQTLDWKLHAFDVLSEFDANNEASGCLIYELFSGAKLARTEDLRNTASIPKSLLPDYQRLLSSQPTRRLNPSKLIDNSEFFQNKLVETIQFMEVLNLKDSVEKDSFFRKLPNVAEQLPREIVLKKLLPVLASALEFGSAAAPALTVLLKMGSWLPADQFSAKVLPTIVKLFASNDRAIRVSLLQHIDQFGESLTAQTVDEQVFPHVATGFSDTSSLLRELTLKSMLVLAPKVDEEPGIRTNTTILLGNIANYMNDGTRKRVLINAFTVRALRDTFPPARAAGIMALSVTSSYYDMTEIATRILPNVVVLTFDPDSDVRTKAFQATDQFLQIARQHHEKLNMGDNRAAESTGIELKPGNAGLLGWAMSSLTQKGKPSDHGLVSAANASGSQISASPSATPDTQSATVAYAPSTSSSFDQAAPASARSSVDGWGEFEDANDHEENGSDKDGWDDVDPFEDKPSPSLLSNIQAAQKRPVVQPKQAVANASKSHPLKASKPEDDPLWGPIAATPPKIAAKSSDIKPSTSHNDVDDLWGDIAAAPPKSSGKPLKPAAANTDDLWGEIAARPPATKARPLASSRGRGTKPAPKLGAQRIGRTSSTGM >Et_1A_006828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2855308:2862688:1 gene:Et_1A_006828 transcript:Et_1A_006828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEATSQAESALHSSQRHLASARTSSKSNPPHYREHAEPMPSTSTPEANAAPAHLSSPRWKKPSSGPLPHTGDGDQGLNPSLCGPPSSRSELKRRLRASAEAARGSRASVRGEGGVDGVQKPGPAGVSVDGGFDDVQMPGPASVRLDVGRGGTVPGLWSGADEVTLLAAAAAFRKRTGRAPRRHDAGELFDAISGSISPDIDAGKAYDRLNGFESEFLHGPLGASDDPHGCRVRDLCAGVWGVVDVVYPPGNDSDGEEDSEQDGDEERLVAGDGDMRRLVTERTSKPAPLPRLAAQLPSEGPSASAMDADAAPTLPSPSRSMKRSFHPRPGVDDGHPGGIPSLRRLGTSADLAALAHAAAAAARGSGDITVHVVEERDGHGTAGVRVDEGHGTTQRLVPAPDEGLGAGRSSHKAWSEADEITLLNAAVAFRVRTGRVPRITHAGDARVLFRSIRGSISPHIDQARASYKLRRFRSMFRHEAPGESATAHDHRVHDLSAKVWGVVARDEGTTMPLAPKKEGTTMPVVTEVLGEFWKLNKRAMAGLPLEKGLSLLGKREARLIETKWRRQLDEELRTQMQGHDLDKEICGLLRDTIMDFGFYNWTLLRHSDKKFIDVKSEDGTRTTHIFSPVLRVVSEVALLGVLLDGGESLVGGDLHLGAALLGDLDDEVERPVAGAQRHVVPRRHLPAAAVDEKHAVVERLRLALLLGLELDGLEERGGGEPPALEADGGGEAAAGPESGTSKEREAGRRRRGRRGRRCHLRGLRGSPDFGGWEEEAAAATGSGDCG >Et_1A_008681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9881850:9884897:1 gene:Et_1A_008681 transcript:Et_1A_008681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSGPLLRRLLSPSPAPSPTSSSPLAGAISRRTVTYMPRPGDGAPRGVTLIPGDGIGPLVTGAVRQVMEAMHAPVYFETYEVHGDMPTVPAEVLDSIRRNKVCLKGGLATPVGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHDNVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERVAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVAKKYPGIQYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANVAAGIAGGAGVMPGGNVGQDHAVFEQGASAGNVGNEKVVVQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGKYRTKDLGGTSTTQEVTDAVIAKLE >Et_5B_044314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22513813:22519708:-1 gene:Et_5B_044314 transcript:Et_5B_044314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATAVRFQAAAGARHVATTRRARLAVFRAQSAPAATALTQDDLKRLAAVRAVEQVQSGMVLGLGTGSTAAFAVAEIGALLAAGKLSGIVGVPTSKRTYEQAKSLGIPLSTLDDHPRIDLAIDGSDEVDPDLNLVKGRGGALLREKMVEAASDKFIVIVDETKLVSGLGGSGLAMPVEVVQFCWKYNLVRLQELFKEEGVEAKLRLEGDKPYVTDNSNYIVDLYFKTPIKDALAAGKEISALEGVVEHGLFLDMASSVIIAGSDGVSVKTKKGSVEQDELTEEAKSSEVNTLASPKSPVSGSMTEEKDITISHGPLKHARKCTREKIALRCSHYVQKGNGTMLTFSTQDSLYST >Et_8B_059168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14719253:14722883:-1 gene:Et_8B_059168 transcript:Et_8B_059168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMPAPHVIVFVPFVTRDRDRPNLSNPKHSPTNLRELRRRSLLRRAELSGTFRPPASSRPRPPAAVAPSTPQPQGLSRRRTAPADNQPQPRSEAAAANSLAGALARERISRRGEAGPEEEEEVPFWQRTWFLALLLVMAAASFALALLLYLGLDLPEAAPTQSYAADPESVVEITYGSAIKLMHERTKFRLHSHDVPYGSGSGQQSVTGFPNVDDANSYWIVRPQLDSSAKQGDPITHGTIVRLQHMRTRKWLHSHLHASPITGNLEVSCFGGDSESDTGDYWRLEIEGSGKTWRQDQRIRLRHVDTGGYLHSHDRKYTRIAGGQQEVCGVADKRPDNVWLAAEGVYLPVIQRKRQF >Et_9A_062699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3434137:3434825:1 gene:Et_9A_062699 transcript:Et_9A_062699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDAGIYLSGRTNFFRATQRRPPPDAFKHCFLSTRCLVPLVWVISSPMTLLLLGSPWVILNRRFIEYCILGWENLPRILLMYFNNVVLPQEGYFHSVICNSLDFRNFTVNNDLRFMVRDGPPQSEPPFLGWEHYGKMVDSGAPFARPFRENDRLLDKIDGNILNRWSHGPVPGAWCSGRKRWFSDPCSQWGDVNTVRPGPQAVKLHQYMNQTLEEAKSRSNSCR >Et_5B_043234.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:19282460:19282468:1 gene:Et_5B_043234 transcript:Et_5B_043234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MP >Et_9A_061683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15236214:15246830:-1 gene:Et_9A_061683 transcript:Et_9A_061683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVVSSTEGVLRILLGKLGTVLAEKYALLSGVRQEIQELKDDLESMNACLRDLATGSDYQHSEQTRTWMKQVREVAYDAEDCIDTFWHHKGNKHLDTNLITSWLRKIIRPMKTLRAMHSLSLEIRDLKARALKVSERRLRYKVEVAARCAMIDMHGSHALPDFSDLQRRLPALEIDESQLEGMGERTKELSNLLQDGKTRLKVVSIVGFGGLGKTTLALTVYKSPEVKRIPARAFVAVSQSYDPRIFFESLLKQLIQTPVPMQDPGSSVEETIEDPLKGIETWHISELIRACTEYLKGKRYFIVLDDLWNTEAWASMKVAFPDNDKNSIILITTRNRRVTESSHSDAHHLTYEMKHLPEKESKKLFFNRVFQSDSIPEEYSGLKEISEVILKKCGGLPLAIVSIGGMLSRMENKTKAEWVKVCDRLCYGMETSATIVYSLRTTRSKEDTFRQWAAEGFISRTHESNLEEVAERYLDEFVSRSIVTPTRIASTGVVRCCKVHDIMLEVIISKSIQENFVSFVGQQQYSATGGDKIRRLSINTSNTVSETEQDGPNYINFSHVRSLSILRCNKKPRPISFAQLKLLRVLDLEGCRWLSNEDLKEICKLYLLRYLCLRRTNVSQLPKLVGRLKELVTLDVRETSIRELPETITELGRLKHLLGGKYLHYTRISRVKGFVPRKALIIPHGLKNMKSLQKIAHIDVASSSSAMQELVALSQVTKLCVINHESGGEKWKHFAESLNVLCNSLRNLSIIHWLNGDMGLEILWELSSPPVFLQKLYLWGKLRTLPPWISKLSYLVDLSLRENFLDGEFLTQLGDLQSLVSLKLYHESFIGTKLCFKSKMFPRLKELIIDNAPNLDELMFEGGAPNLERLTLAFERNPEKGIFGIENLLKLKEVEFFGEIIIDSLVDKVAHAATTHPNRPRVYREFRPVEETQESS >Et_9A_062530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23821773:23823445:1 gene:Et_9A_062530 transcript:Et_9A_062530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQHKEEAAKESLMDKISDKLHGRGDGSSSSSDSDDERSSASAAAAVKAKIYRLFGREKPVHSVLGGGKPADLFLWRNKRISGGVLAGATAIWLLFEVMDYHLLTLLCHCLILTLAILFLWSNASTFVNKSPPNIPEVKIPEDLAVNVARSLRYELNRGFVTLREIGRGHDLKKFLIVIAGLWILSVLGSCCNFLTLCYIVFMVLYTVPVLYEKYEDKVDAFGEKAMIELKKYYAIFDEKCLSKIPKGPSKDKKQH >Et_9B_066283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9844094:9845318:-1 gene:Et_9B_066283 transcript:Et_9B_066283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWREIQGLSEWYNSRIERLAGLVAGSGFKSNQTAAKIGFDARFVLMEETWNSAEDLIEYEQIVSKTFKSEDERIHFYNKYAWDKGFLA >Et_2B_019916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15161910:15169999:1 gene:Et_2B_019916 transcript:Et_2B_019916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALRLDGHFLLLAGGRRHRHLRMLPPRAPLPQRRRRLPRAVRVSSAEDGSGGGSASAVERRVVPEALGQEEQGATGEEERKGGVKEVAGGLELRWPRWEGLAERYKLIGATSLAFVICNMDKVNLSVAIIPMSHQYGWNSSTAGLVQSSFFWGYALSQLPGGWLAKLFGGRKVLKIGVLAWSLATAVIPAVAGFMPGLVLSRVLVGIGEERSRAVAVVFGGLSFGSVLGLLFAPPIIQNLGWESVFYIFGLLGIIWCLGFESLKEKQPSDNEGRLNLGQGSVISDGLNSSSMSSSSSDSSLKDLQNSLKDVPWGAFFKSKAVWAMIYAHFCGSWGHYTCLSWLPTFFSEELDLNLTEAAWVSVLPPLGSMIITSIAAPFADNLISTGVDTTKVRKICQTIAFMSPAAFMTLSSVDLGLPPWEIVAFLTSGLALSSFALSGLYCTHQDISREYASILLGITNTVGAVPGIVGVALTGYLLDSTHSWSISLFAPSIFFYLTGTAVWLAFASSEPQDFSKSEQEL >Et_5B_044881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6882665:6897680:-1 gene:Et_5B_044881 transcript:Et_5B_044881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPALVPVAQAALTDELIEEIFLRLPTAADLARASTACTTFRRVVADHSFLRRFRALHPPPLLGIATIPFMPAEPPHPSAAAARAFADAADASADFLCSFLPFPDRWVERDFRDGRALLSAVPEGSGFRPNDCSPRALYRELAVCDPVHRRYLLLPAIPEDLVALVNEPEILEFDPLLAPAAEDERGSSFRVICLTTCKNKLVVFMFQLSRGSWSAVAFDGWNNLATGASSLGSGFNSAISDKYYAHGCFCWVIHRRNKLLVFNTHSMELSGFDLPPGPKTSGLPQRAIVEAGQGKLGMFTCYSVDGPDRYCLWNTILQTDVQGANQWHLDSIIPLPLNYRHNILGVAGGYILLHGYAIYPEANYDRFSLNLKTLQVEHFCKTDDAAIICPRSGGLYAVPNPILPVMASPAVEALPFTDDILADILIRLPTLADFCCACASCPAFRRVITSPSFLRRLHALHSPLLLGFHSFFGFHPAEPPHPSAPVARAVAAAADFRFSFLPRLGYWMVRDARDGRFVLDCDEGRDDTFTKVAVCDPLFRRYVLLPPIPADMAATVQQPHLVNAERRCDIFLAPCSGGEAAAGLTGSFTVVWMAQCPTKVLGFVFSSASQQWRAIASRSWGDLNPHMTPVTERNSLVYRNYAYGCFYWYLSAFPYRPNLITLDMTRMEFSPICPPFNHSIKEFTTVELGDNRCGIFMFGSTQVNPAEVSLLHFFCADMQSLDEGANEWVLENEMLLPHPWLLDGPQRPLPRLQRPEDGHLQHDRGLRDCDPVFRRYVLLPPWVSPAVSPAAAQLEMTSPAGDLLALTDDNLAEILIRLPTLEDLGRACVACPAFRRVITGHSFLRRLRALHSPSLLGIVSNVFTPAEPPHPYAAAAHDFVAVDFRCSFLPSSDRWRHRDLRDGRILFSAGLEDYDAGLEDSGEDHDRCALKLVRDLAVCDPIHRRYLLLPRIPDDLVALVDQQELVEFEPFLAPAFDDDERGTSFRVISLAECKSRLLVFEFSSSSGQWHASEFEGWKALTAESVNSDQGFMPELSTRYYAHGCFCWVMNSLQKLLVLDARTMEFSSSDIPLVHPMNPRVIVEGEKGRFGHFNLHSDIVMVQSKDPMIFYRLHYTVLRDNDGQSANQQDQQQQQPPPPHDIISLPDKYRYIILGVAGGYLLVQGIPENMYALPLSERPGLDCFSVNLKTLELEWFCETKYPILLAELTHFRDGRELLSVRPKGSGSPPDDTRLGQGLCCLLALIFDELVALIHQPDIIGVQPFLSPSYKDEEGTMFKVICLVQSLTKLVTII >Et_9B_064241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13174102:13180382:-1 gene:Et_9B_064241 transcript:Et_9B_064241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTRKTAAEPEPAPAFSIGNCKVEIHGSGLRCESAEQGLTISGPRGSKVLISGKGSDFILLNPSDTDSQTKSLLQEVLMLYKQELPTMDYAADTGRKSGFLEKCTTNGKYKTLILMSTSAAQHLEVVAAVSYQIVPADTQYAEIPLAVVRSSYQRGGIGQLLYRELCLRLQNVGVTTIFCWADMGFVSIGEVDTKGKIRKIPVRADIKRALCFPGGSTLMVAHLKKELPTLPKISQEKVLISPANIIIPDTIPPADTAVSCDNIVLQTYKRRNVRKTAKVTSEAHTDCSKGSLSEQQTKKRIYETSSSSLKSKRIRCSSDADRCKDTNQDDMDDKYVCDTPEHGNSVHQIPLTPSVEAHVESRISADNNAIIRPCGKPTIMLMNIADEQKKERLTKVVEMLGGFVTCEGHSCTHIVTGKARRTMNFCIALSSGAWIVSPNWLKDSFKQGQFVGEAQYILDDEEYRVQYKCELRDAVIRARERPSSLFSGYTFCLSKYIQPSVDVLQSIIKSTGGKVVKKLSQLEEPSKAIFLACEEEMELALIAARSGIKTYSSDWFMSCVMRQEIDLEAPEFTVSL >Et_2A_017847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:734162:736081:-1 gene:Et_2A_017847 transcript:Et_2A_017847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHVSALLLLLIPLAAAAAVASEEATEAGLLERQAAQLTRLEELTESLARSVHALESALARSADPDPPPTVAVGDRRAPQGVAVTKRRPVWSERFHFAAAARLGDGAHAAAATALPYEDADGLTKYFAVGDSRGRVFIFSSAGDALLELEAGTSGESQVTALLAYLSPRRTDCLLFAGHADGSIAAYRLIESSPHGDDWLTLAAASSRLLVRGLDAAPVTHLEAHHAGRARYVLSCDAGGRIRVFTENGTLYGTAIASSTPLAFVKQRLLFLTESGAASFDLRSMSVRETPCEGLMEALNGTRVKAYSFDPSERFKAYGFTEAGDLAHILLLGDVASLKCRVRAIKKSEIDSPVAIQTIKGYLLVASQDKILVYNTSTQYYGRVGAPRPLFATTIKDIKSVFGGSGGVLPAAPAGKPVIAAGRDKLVILGLGDGNIAIYRSNFPVYKPESNAVVWSGPALLFLLFLIGIWQVYVKKKDSLGWTPEETFNTSATAATGSLLNHSTSDRAFPDTTTRTGDRGYVDGTTRASDRSYVDSTTRTTDRGYAEATRGVDLRAGALRSAPRRYVSPTRYSGTAGMQYRPSSAEPGLRGTPELKYRGPGMEPPGFPKKRDTLFSNNQAVVDDHVD >Et_7B_053568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1004258:1011473:1 gene:Et_7B_053568 transcript:Et_7B_053568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRFLSRILRRDQPSDSPPADSPPPTSQGGSNNGARSNVARKTKEVEHMLADLEKEGVEIDGKIASIIDDENYSRSSEVINRRCYNLFDFYSNEMILTFMHHAHPFDREDKNVPWTTLLDDAACFVLGFLLGVECCVQHVGSSNGETAAGRTTKEEVDHLLAKLEKEGVEIDGKIFSIIYDGIARMKTEAARKKSIRDGMNELLRTIGWVAVGFVLGTDWILRRDLDPSDSPPADSPSLTSQGGSTNGARANVARKTKEAEHMLANLEKEGVEIDDKLASIINDELARIKDKAGGSSNEANANVGWKSKEEVERFFLAKLEKQGVEIDGKIASIIDDGIARTKAEAVRENIKKETEREAMKLLYLIGCVAIGFIMGAEWNEHAFRVAVAKRRRAFDEELTKSRPA >Et_4A_034342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31912287:31914937:-1 gene:Et_4A_034342 transcript:Et_4A_034342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASLKPGPSLAAFSSSTRKPAGALSFPLRVKHRAPLSAAATAEGAGTAATQSNTSSSAAAAAAPIDEARLAQFAADWQAARVEKEQGKILTLPVLRANTGGLIVRFNSLQGFVPNPLLSPAHWCKDPKRPIQDITKELVGSSISVKVSEVNEEEKKLVFSEKDASWSTYSPQIEIGSIYDGIVGSVFPYGAFVHLRFPDGYYHLTGLVHISEVSWDLVQDVQDFLNEGDAVKVIVVSIDTAKSRIALSIRQLEEDPLLETLDKVIPLDADRSPNASAASSPSEIELLPGLDGICNELLQEDGITDVQFGRQASEKRVVSQDLELWLSNVPVKDNKFKLLARAGRQVQEVYLTTSLDQEGIKKAVQRVLGRVP >Et_5A_040479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24975108:24975241:-1 gene:Et_5A_040479 transcript:Et_5A_040479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHFKVGLIKYGTLQPCNASKHSLSIKQL >Et_2B_022574.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26327263:26327898:1 gene:Et_2B_022574 transcript:Et_2B_022574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWYAWLSRTGLAPSLTYEYGLLFRRNELEPGDAAHFDHDLLKSMGIAVAKHRLEILKLAKKQAAAAAEVPGDKDGDASAAARLTRGARRCLARCVRLLAGGGGGRRRGASSVTVVPRICSGDDAVRVGAVQKRSAAAKKMVLMITDGGGDGATRGSGGAARLSASSQKASLMFHDCYEDDEEEEEEARSSQDGDGGDIKWDSMFQDLKPT >Et_3A_022976.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:11264109:11265341:1 gene:Et_3A_022976 transcript:Et_3A_022976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIRRRDRVRLQGRTDEQIDDGDALPDDALAAAFSRFSDVANLVRCASACRLWGRIVTTRACSLAHALPPPRRWIPRFAIGVFHQENDAPTARTCRRSAPAAPPCFVPIASAAPRLIGGLFNNSRPVASRNGLLVLELQREGHADGLRLCVCNPMSMLPPLSGQEKPRDYGCAVLTGGDLHPRLTASFHVLLLYNRPGFTALRCYSSSSQAGGEWGPEAKSSVKISSWKLRQIGQAVVRRGVAFWPLDHGALGVRVSATAPQVAEMHLLPYVVPHYWPGSRLLGVTPDDRLFFIYFGICVDILIAKISYFTIHGDDIGGGKGQGSFLEEAVLMTLMKMTCHDTLKLRWVCEKSGLVFFTLGQSSGHSGTFALNLDKKLVDKVTDGEGDTWKNFVGYEMDTAGYLASIAP >Et_5B_044740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5216575:5221772:-1 gene:Et_5B_044740 transcript:Et_5B_044740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASEDAVKAAKVLMVGAGGIGCELLKTLALSGFRDIHIIDLDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLKFRPNISITPYHANVKDTQFNVEFFKQFNVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHVKGKTECYECQPKPVPKSYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNQDNDLNVRSKDDDSSSKADVFERNVEEGLDQYAQRIYDHVFGYNIQAALANEETWKNRRRPHPIYIRDALPEDAFEQNGCSRGSKNEEQEPSAMASLGLRNPQEIWSLADNSKVFLEALKLFFEKRAKDVGNLIFDKDDQLAVEFVTAAANIRASSFGIPLHSLFEAKGVAGNIVHAVATTNAIIAGLIVIEAIKVLKDDYQNYRMTYCLEHPTRKMLLMPVEPFEPNKSCYVCSETPLVLEVNTKTTKLREVIDKVIKSKLGMNLPSVMIGSTLIFEDGDDLEEDEAANYALNLEKVLAELPAPVVNDTKLTVDDFLQELKCSINVKHRDEFDVEKEPDGMVLAGWSGPADKQITSNGEKRSIPSSSSVDDVDGTAEDISAKSGTKRKLTEILESNENFDAAQNPTEVGSSSAQVVEDDDDDLVMFDEDPKLGKRKRLQ >Et_2B_022609.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27828305:27828571:1 gene:Et_2B_022609 transcript:Et_2B_022609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRPHFLVLTFPFQGHIAPALRLARRLLAASPDALVTFSSWKAIPLRHTCTCRRFDCQLGTAAADYRHGRAGEMPPRPHFLMLTFP >Et_7A_050777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10981270:10983054:-1 gene:Et_7A_050777 transcript:Et_7A_050777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELEQEDRLSDLPGDILTFILERLKLHEAARTSVLSRRWRHLFGQRSSIRLDIGAFRRKQKGSELTRDDLAKSNASLNQATRSMLSHTNQHPIKLLRIRFHLMEESTEVVRCVENAMANRQITTLQFLMYPEIVVEDCTEEDKIIYGRRFMKFFGAAPRALGGLSYLHVQGLGLSIDDMTNVLNACINLEHLYLKMCDYGYLSLLEIEHPRLTTLAFSYCKLATFQLKCVPRLTRLTCEVWTAPRNLYPVSFGYVPQLSTLILKNPGTTIHKKIQLSEFLNNARICALDLDFVSQKIWIQPEPSNLVGPSLQNLQFLCLRHIHGECELDRTMFFLEGAPLLKKIDIEVWDHTCWGDEKELTKEFMEKREILYQKKSDLSCETPDAVKHYSLKQLTIKGYQIEEKFTRYIKRVMEAAVNLELIILLDSGQCECCKFSPATRYPRTEEERILIKKQILEWACSPIKIGIGA >Et_1B_012539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3342658:3347733:1 gene:Et_1B_012539 transcript:Et_1B_012539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGDPFAWIDSDGMLEGQSCLISRSLPSSCEQESRLAYMTYHLLEITRSKRPPGTLSIEHDIIGARAVLTKRPKSADSQKDGPVDCEGSNDQGYSDSSTLIGSIGRDNSINCLARCSRSDYGSIASVNQGFRSLVRSGELFKERRRLGISEHWVYFSCNVQEWEAYDPYRSRWMTLPRMPHNDCFMCSDKESLAVGTELLVFGKEIRSHIILSYSILTNSWSPGVEMNAPRCLFGSASFGEKAIIAGGMDAQGQVLRSAEMYNSETKRWITLPSMNKARRMCSGVFMDGKFYVIGGMADNKEVLTCGEEYDFNTGTWRVIENMSEGLNGASGAAPPLVAVVENELYAAQYAGKLVRKYNKRDNTWTTLGELPERPDSVNGWGIAFRGCGERLLVIGGPRVLGGGMIELHSWIPREGPLQWNMIGSKPSESGTELQVLHPPVGCCCCPARRTSRQSALSNTLRRESIDSERHDGKDTVVRGRTPRRRGTGVRKRSPGIFSRPCCRAQTGVSGTAWSRVQGAARGLVPPALEYVYFQTAHDYSRCSDVLRGRSMQQVNGSTLELCCRPSARRLYDPASVPFCPEPRLPSCACAYVPVCALSVMVSGILDRPSRVLPAPAAAPVRFVLQKGKKTREIARTHMRMRARRAPIRAAPSWPPGAAAPTAEASQVQASLTRSCPARQVPGVGRGSGRSTASTYRIGLSG >Et_1A_006879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28602157:28604319:1 gene:Et_1A_006879 transcript:Et_1A_006879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTNGHGMQQGGGGSKQRPGLPPTPPPSAHAMHAADVCMDDSASAAARAGGGLPPRKAHRRSRSDVPFGYFQPLPPPSPKTEAGRWALPAGATGAGGDDLFNAYMSMEGMDGLKYSSDGDSRGSSMRTNGGAEYSSENESEDYGGGADSQFLLWGDKKREGVKRNAAGEPAAAPAQGAAGRHARSLSMDSLMGKLSFSANGEPGKFSLEFGGGEFTPAEMKRIMADEKLAEMALADPKRVKRVLANRQSAARSKERRMRYIAELEQKVQILQTEATTLSAQLTLLQRDSSGLATQNNELKFRLQAMEQQAQLRDALNEALTAEVQRLKVGDASSSSNLPQQMQMRVQNQMHELHKQQQQGEQIPFYQLEQREQNGVARNHEAK >Et_1A_009505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6917572:6919262:1 gene:Et_1A_009505 transcript:Et_1A_009505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAREAQAGLEWRVTVPEGASVTVEHKAGAIFRAWACLVAAMVTARNKVSGFAKKVWKIGADDPRKAAHGFKVGLALVLVSVFYYTRPLYDGVGGAAMWAIMTVVVVFEYTVGGSVYKCFNRAVATASAGVLALGVHWVADKSGELEPFILSGSLFLLAAAATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVDELAALAQQRLSTIAIGIFLCLGVCVLICPVWSGQELHRLTTRNMGKLADAVEACVEDYFAKQPTRPSQAKSDGYKCVLNSKASEDAQANLARWEPAHGKFGFRHPYTQYAKVGAAMRACAYCVEALNSCVGADAQAPEHAKQLLRDVCARVGARCARVLREASRSVETMTSSRTLDFAVADMNTAVHELQGDMRSLPSMLAIKLSETSLMDMMPLFTVASLLVEISARIEGVVDAVEKLATLANFKEAGEDDDDDKKRETTAEMTKVHPLNEPDAEDASSENQATKA >Et_4A_032209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24415448:24416829:-1 gene:Et_4A_032209 transcript:Et_4A_032209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGNGRARGDTQIRVVSRRLIKASDASVAPRVLPVSNLDLLYSNFPLSFVCFYPRPQSGAGFGAVIHCTNEGAELVVGDAGGAALSSLDFGAMGASVRKVQVVSFACGGFSVAWRTSHLLVDGCALYMLVGTWSEFARSGTTAHAYTPDTGERLPNVLTNQTFIERLYYIHASDVERLRMEASREEGGLRATRFEAVSAYLWKTLAAVVGSDDERCRMGCADMSAYAGNVTSFAAREASAEEIRLAPIPDVASLVRAAVAAAANADHFQELVDWLEDHKSERVTWCAAFRPDTDFGFGRAALAMPPSASGRLCSAYFTVAAHPGGDGSLFASAFVWPRLAAALEADGRHIFKPVTAKHLGLTALIPSSL >Et_6A_047790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16185864:16186354:-1 gene:Et_6A_047790 transcript:Et_6A_047790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIPKIVVLVFLAALINHALKGNAQGQQGGCKLSDIKVTQEKTRKVVQGQAEYQVTIENLCSCPQHDVELHCNRLPSVEPIDSRKIKVEDELCKVSGALFKGSPVTFTYAWKTPQDFTVVNATASC >Et_4B_036072.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2104738:2105199:-1 gene:Et_4B_036072 transcript:Et_4B_036072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVARKQRRDGGCFPPPFSMSSWCAGKTDRRLMDGRRARANDPATAPPTPGITRSRAASTPNKPPATRRGEERIAGTAAELGRESGRVADKPDRARGKRGKGRLGFLALREEGGLITSAKLKLVWDILFLFDLEGCLNAAAYYGRKRRRGR >Et_2A_017300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3341533:3345795:1 gene:Et_2A_017300 transcript:Et_2A_017300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSELATEVFIPVAGIIGIAFAVVQWVLVSKVKLSPAAAASGGNKNGGYGDYLIEEEEGLNDHNVVVKCAEIQNAISEGATSFLFTEYQYVGIFMSIFAVVIFLFLGSVESFSTKSQPCTYSKDKTCKPALFTALFSTVSFLLGAITSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLASSGLVVLYITINVFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDFTGMCYPLLVSSVGIIVCLITTLFATDIFEVKAVNEIEPALKKQLVISTALMTVGIAIISWLALPAKFTIYNFGTQKDVSNWGLFFCVAIGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIALSIYVSFSIAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTLFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKLF >Et_10B_004289.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:328202:329053:1 gene:Et_10B_004289 transcript:Et_10B_004289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDASRNVVAGDGAIAENSSKPVVLITGCAKGGIGFEYCKAFSELGCHVVATDVPDRVAELAADLESADTLPLDVTSDESVASAVGRVMAAHGRIDVLVNNAGIGCTGPLAELRGEAVRRAMDVNFLGQVRTVHMAAARAGRVVNVGSVVGNAAPYCASKATDALRLELRPFGVHVVKVVPGAVRSGLGRANAAHLTGQDQWRMYRDFAAAIEERARASQSGKATDAGAFARVVARRVLSKRPPREIVYGHMTLLFAALAVSPAWVRDAFFTRRFGLHKTIN >Et_2A_016733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27754217:27756190:-1 gene:Et_2A_016733 transcript:Et_2A_016733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGEAEANGGGCGGGGGRGARRSVLVTGGAGFIGTHTVLRLLEQGYGVTAVDNFHNSVPEALDRVRRIAGPALSARLDFILGDLRSFDDLEKVFAAKRYDAVIHFAGLKAVGESVAHPDMYYENNLVGTINLYRAMKKHGCQRMVFSSSATVYGWPEEIPCIEDSKLQAANPYGRTKLILEDLARDYHLADPDWSIVLLRYFNPIGAHISGEIGEDPKGIPNNLLPYIQQVAVGRLPELNVYGHDYPTRDGTAIRDYIHVVDLADGHIAALNKLFDTPHIGCVAYNLGTGRGTSVLEMVAAFEKASGKKIPTKLCPRRPGDATEVYASTEKAERELGWRAQYGIEEMCRDQWNWAKKNPYGYCGIADNK >Et_8B_060816.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:8087153:8087821:-1 gene:Et_8B_060816 transcript:Et_8B_060816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAGANPPAISDHPAHRGHKLNRTANDGRFFICDGCMEPGAGTRYRCEHERCNFDLHTCCAVAPDTMTHPSLSDRVLVFLLEAPAVTATGSKDTAGKHRVCVACGEDVRGFVYHSFDDDLDVHPCCANLPEHAVRSNELARPRDMTDDNNRGRETRPAAARHRRWTGWLVGRRDQPREHPTQNGHVVVLRHVASQPSDVSDDRNRQGERRPPPARHRRWQP >Et_1B_013565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9547504:9553989:-1 gene:Et_1B_013565 transcript:Et_1B_013565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQVKFRSRNPTAAFAAVEELKKAYVGIMLNMSQESAARQLAAEQRIATLRAAVTAAKEDGVATLVRVKAIMEARIKELEEEQSLQHVKIKGLEERVYSFQTDVATLQTELQRANTELEQARKTLAEERINHLPTSKKVGSNKRASSCLKMNLQRRSMSLNDKNSAEDTTAKENVAAQNIESIDRRSPDLPSIMETTKKPKLYHNGSQRIHALKQRIQGADACREQKCKYATALNSRSKIRKNDAAKKPSQTRSIMEQILQTKFLGKCKRKRGTRSRPCYKHDSSGERREAEDNLSETSNGNGCLLLLQALEQDLSSPKVSTGHGGEALTDLKDGLVIKREADLNLWNGSLDLMAILADKNMLVKRKKRSKTVRVLEADFFYSKSVAESSNTLLRKDNHSDIPPSGPALQHVAENLMHQTGANNGKSVCENSSSVLLQSTKSETIDYGNLLVEPLGHKTPDTNTASSKEVNGEGSRSLASEKADASTVISLGKEENSKVSSVLPMQASEKHNASVGSSLYKEHAKTSSGASMQVEGVRQIIYTFNRRKRKSVAMDNTPLRAVAEKSSNMLSAPAEGEFHPNPEQQDNLIDSSQGDNQLVQVAEQLLSSRAKRKKLRLRINCLRPSKNGKKNGKGGSD >Et_8A_058393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6527432:6528766:1 gene:Et_8A_058393 transcript:Et_8A_058393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRADPTTTTEDLTTNTGGRRGRRRGLGRAGGKGASPPPSLRPHGLPAARSGGGKGGWREGRAAAAAALGFARAAPRERGREWRLDYEQTNDHAIGERVPSEAFAAGGHLWRIDCFPRGDDQSDEGEYLSIFVKLLGKARSVNAIFEAFLLDKHGEPCFKTAQRSKHLFDKNSIDWGWSQFISRDDLEEDYLAEGHITIICAIMVFNGSSTSVPPSDISEHFGMLLDSTVGTDVSFIIDSETFHAHRTVLAARSSVFKAELLGSMVEATMPSITLHDITPATFRVMLRFMYTDAFPGDSELGDSPFEMLQHLLAAADRYALERLKLMCARKLWDYVSVETVAATLACAEMYSCLELKNKCIDFFANEKNFRRAVLTEGFVQLVQQFPSIIAELRERPEI >Et_3A_024180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18123512:18127718:-1 gene:Et_3A_024180 transcript:Et_3A_024180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIVHSSAGLLLCSRGEARQAHYYVCNPMTGQCVALPKLPWPGYYSGLLSVTVDGNDGAIKSFKVVLVKDPRDWQRQDDTMRLDVKVFSSDTRQWVATHLLSPYLDVEPWPFLGQSGTAYWIGHHNKGGAIAYNSARNSVRVLPMPTHVDENVRSNRCLGERQGGGLRYAHFDLSVFEAWDLQQAQGEENGEWWKLVHRIGVMELVRKNPEAADFVCHTYGSILVALINNSNLFQVIGFHPTDDMVYFDVGSILATYSMDNSSIRFLSPRHCFQCDVFPYVHPAHPVEILLHLPLKYLHRLRAVARRYNALVLGPGFGVRYWRSHGPHLSGVFLQSETLIRPWGRCPCFLTTPSVAVATVLASDLGFLPRMQTGYAAREEMIFIVKSSGGLLLCARGHERPVHYYVCNPVTWQCVALPELPSPPEDRYYGLLSVATNGHGNIESFQVVLVNHPSDSRHRSDKCGMDLKIFSSRSGQWRAVPLPFRLPYSDLNAWNPTFLGQRGTAYWIVCNRKDRFIAYDSVDHSLNFHPLPGRVANGPLNRCIGERLGGVLRYAHFDFPVFEVWDMQALQGSENVVGSWKAVHRIGVVELMRQSPAAATLVSDRTGSNDEGLINQAKLNTLFLVIGFHPTDDIVYFNVGDTVGAYSVDHGTITFMSPRQCYSTCVFPYVHPPYPVLIPEITTPN >Et_3B_028299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13012468:13018898:1 gene:Et_3B_028299 transcript:Et_3B_028299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDNSSLSPPSRAAALFFSSSTSAAGAANTVFSPLALHVALSLLAAGAGGDTRDELVAALGNGEEGAAEDLQALGEQMVRLVLADGSRAGGPRIAYANGVFVDSAIKLKPAFDKVAAGKYKAETRLYCKFATHGAQAAGQVNSWVEKVTSGVVQELLPSGSVDQTTRLILSNALYFKGSWAQKFDASETKDGEFHLLDGSSVQTPFITSTEDQYTTSYHNVQVLKLPYHQGRDKRQFSMYILLPRKQDGVWTLAKDLSSQPEFLEKRMPPLKVPVGKFKVPKFKISFGFEASDLLKGLGLQLPFSAEADLSELAEVGQNLCVSSIFHKSFIEVNEEGTKPAESASGFWVCRIPAPVDFIADHPFLFLIREDTTGVVLFVGLVANPLLAP >Et_3A_026446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8042810:8044079:-1 gene:Et_3A_026446 transcript:Et_3A_026446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGAGREESEAAATMAAVAAGRRGCIRSTQGPWTVRRRGRGGGVTTSLRHPTPRERENNRQRERRRRQVAARIYAGLRAQAGYVLPKHADQNDVLRALCAEAGYHVDDEGTVTRLHQRSDNVAGASCSSDQQKPSSHSGTTEAVTTLLQQEHDQQQQGEEEANLSLELTLSFAYM >Et_1B_010454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10688621:10689608:1 gene:Et_1B_010454 transcript:Et_1B_010454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EDGGCLFPPEFRTRACAIQFHHGTEGRSNPTLVDPFDGSLHEIADAGIIAAMRGKRCVACIGSNWFLVLDEASRECFLTTLFTSSPAAAAAEESSSETATAAAVVHLPPMPTEPAQLESFIFNCALSTRLPQRRLAASSCWASPGRRDDAWSRVDVITDDYEWLDGAVAFHAGKIYAAATASRTAVLDASSSAAPRVESTDVAVPEPFLSRGRDGGGGGELYFVRALLFGFPEEVVGVDVFRWDASSRGAWREVDSLGDAALFVGGNGVVVSPATVAGTDPNCVHILRSRQDEVKVHTVWLRDRTINREAGPRR >Et_6A_046385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14084224:14091026:1 gene:Et_6A_046385 transcript:Et_6A_046385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLTRAAKRAGEMAFNAGGGVVNWFPGHMAAASRAIRDRLKLADLVIEVRDARIPLSSANEDLQQVLSAKRRIIALNKKDLANQNIMNLLGLAELKLKEAILKEPTLLIMVVGVPNVGKSVLINSIHRIATSRFSVQDKNKRATVGPLPGVTQDIAGFKIASQPSVYVLDTPGVLVPSIPDMETGLKLALTGAVKDSVVGEERIAKYLLSLLNIRKTPLHWERLLNIREEFDDEKYSGNAKDSRSSLRRRRLNNSDALYVQDLVLEVQRSLCSTFMDFTGNTEEESELESLIDMQLTALRKVFRIPHKPFDETHGPTSKKLLTLFRSGKLGPFILDDLPDEQ >Et_7B_053575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1075256:1078457:1 gene:Et_7B_053575 transcript:Et_7B_053575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQESNSVCLKRKLDEDCMSKEFKSRRIEAENGPSFDSSAERCNCCHSRPNLANDCFNYLKGGVPSRIMYYKQGSWHNFPEQIMKSFIEEWRGKKSSAVAVMDNEPILVDFLSMTLVNLKSRNQRSVAWFDGTGKCFLPSMFFDEETGDTVKGDAAKVEGTSQGIMLNKVANSPREVVKRVAKQTCPPNPQKPCNADILRKKISYVNRGSRDFLFVHDLFLSGMGPFATPKDLLHVYRYSPNDITEQFRLEAFERQMMLTKEERGDANVRYGWLGSKKDDIVRLLVNGVGTTRKPVEKSGLNVGVYLSPENRAFTSVGLCDVDEKGVQYMLLCRVILGKMKAIKPGSQESLPSNEMYDSGVDDCLNPKCYVMWPSHLSTHIRLEYLISFKIAPLFQNYLLGLKGLWFHPSPAQLAGDISTLKLVTCETGQGPTTPWVSFKVLFGKIQDKISPVARELLFHHDEELKESKITREEMVKKMLIIVGEKILSEALEKLRVCPSLWYKPSVEAASSDPARTATEELSLDKAGRNCLITVSGNHDDDSHAPNAMAEHSTDVVPLTLISCPKAASLAPSCLPETSSSAGSILSSCQGVGTEGRESTSQIMSPGNSATQSAKKHDSLVPKLPPIACEVLLKTSGRSASPGVEACNPPPATESSAPSSASRGHWSSASGFAAGSEGCESSIPILSLGNSETTGINQGCESSIPAGYETSQF >Et_9B_064832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1934257:1936861:-1 gene:Et_9B_064832 transcript:Et_9B_064832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILEDGVLFKRMNGRKQVALQTLLTSPAAPVSTSMLPIFTSAGANRAIHATGFAALSFTKSAVLPPLLSSIWSDVNITLLASTLLKYWLLSALGRHVVHLELPAAAKVFRLAAKVGSMVGSTVGYSRGVTKEQWVTPKECAPESATRSSAVRPLTPKFWTSWSAFMDGDGMNWITSEAEETSPSRRPPGMAKVKPLWMATVSRAAKAMMSAQETTPFPLDLVDGVQSTQRDGYPLRLRVAVRYTEQNGGVAALQYDTQLMRNRH >Et_8A_058336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5163689:5164128:-1 gene:Et_8A_058336 transcript:Et_8A_058336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRHTTSQISERHFPLIQMKHFSSLCLLLLLALCSLACNQVQAQVLFQAFNWESSKVQGGWYNCLKAQVDDIAEAGVTHVWLPPPSHSVSPQGNISCPVSHLNTFFF >Et_2B_021971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7535439:7542231:1 gene:Et_2B_021971 transcript:Et_2B_021971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKERRLAAMAASGRRVKLDLFLDPSPGEASLKEGIGGENRDQQTVVPTSSSSGKKENPLALLGQYSDDEEEDEEAADQSTSETKGSLGDANAKVTHELGDTACDKGNAHTEQSAAVSEEQDAPQAGDIKNCTNVAEENTVAPELAQVIESTKATEDIHDASGMQIVGDLGGNWKAVMHGQSNQCYYWNTVTGETSWEMPNGLTSGVVSDGVASASVPSAHMDYSLEAQAHVVPQNTLEVYPSDMSAVNGTSTYATFGMDCASAQYSQDAYAYTAAATSHADIDPLQLVKYGKDLLQRLNQLERLHGSSEGLELIRREIGIRVSDCNTLSSYGSSLLPLWLHAEVHLKQLDSSISKLETSGIEPSCSKTEDKETNEADMTAPSNGEDLKLEASAVVTAGDSVKIEEPAPTSSAQNSHDKDVEAVSSKVEIDNDEDMDVEMEVDEDDVEEQVNSSCATNKEHPTSEEVHSPILPPGPAPPENNDVPPPPPEEEWIPPPPPDSEPAPPPPPEEPVASYVHPDTIPQSYIGQANVGYTLAGMEYYAAVGTEGTNATYYVQSSEPHAPQAQQHSYYAPVSASCISMPVDGTSIAPASYYTYPSVPTAAGIAAAEPSGYYASSVSAISSNAVNIKSSASLVSANSNPDLTFKGSDKVISKDPGVPPLSQAATSAAETTSMLGSSTHASTSTTNQTKVIRSKKRAVAVTSSLRSNKKVSSLVDKWKAAKEELRDKEEEEPEDPLEALERKRQKEIEEWRKQQIASGEAQENANFVPVRGDWRDRVKRRRAEAKKESKEESLSDSLISAEQQKGQPDLAELSKGLPSGWQAYLDEYTKQVYYGNSLTSETTWARPTPTK >Et_7A_051697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23128622:23130382:1 gene:Et_7A_051697 transcript:Et_7A_051697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NPDIISALPDDILIQMLSLMTVREAAMTDCLSTTWRHLWENVDNLILDSRAFGMQLLGNLNYRENPELWKYETTRFVHKVNEVLSHHNGSRVKKFIVQFPLTSAHASEIDRWVAFAAASQAECLLVWLSNRLGMVAAENSELYNFPLKHFSDTRGCRLRELYLCKCSLETVPAKLNGFSCLANLFLNRVQVVDEVLLNITSSLCALRCLRLKRCNELINLKILHTKLVFMDVYHCWGLFSISIHAEKLEYLSYEGNEVFIEYECAPILRELHALFEVDNQFPVDFMDEFPNLQILTLQFPSRLNVSHLLHHCGRFAGLREIILCLLTSWKRSIRSVAYLLKAVPLVETFKLEVHGNLRPLNKLNIRWPKNFIPTRLRTIKIGGFSGESELTQLLLFLLKWSPVLRTLLIDTHRCCYLGLRKWIRIESEDATRCKS >Et_7B_055320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9301251:9302947:-1 gene:Et_7B_055320 transcript:Et_7B_055320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGHVVGDILDPFIKSASLKVLYGNKELTNGCELKPSQVANEPRIEIAGRDVRNLYTLVMVDPDSPSPSNPTKREYLHWLVTDIPESTNASHGTEIVGYESPKPTAGIHRFVFVLFRQSVNQTVYAPGWRPNFNTRDFSALYNLGPPVAAVFFNCQRENGCGGRRYIK >Et_1A_009581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8500181:8501348:-1 gene:Et_1A_009581 transcript:Et_1A_009581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRAWADLPPELLLCVTDRLTALRCYLAARGVCAALAPAAPYLLFGHSPAVVVSLPLDRVFHLLATLGGASGRCVGSNNGWLAVTTKVNRNTPDVLLVNPFTGQEVAMPFLPHVSAQNSFFLVSPWCERAPWPVFGSKVVFAPNPRKDDFTVVVAALGGRTLACISAGKAAAAWSVEEIAGNAEIADVAYHNVDGGRFYCLSNNGEVHVVHVPRGRRTTRILLEPLLPKNAGRDVFAAPYYRCVEAAVFVGLNNAVSVRAEAVPGLKGDCVYWMCQDADYTSMEFDLRTRRATPCVEWQQNAVCWYLWGDMASRDKQSAEILRQQQKRMDWLYIKATEKCFFFPPQRLPPDALI >Et_3B_030669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6125891:6133071:1 gene:Et_3B_030669 transcript:Et_3B_030669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTSRNNTTGIASHSPSEPSQLSNFDSPRSTQAETLGTRFEHTPEYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVPGEEDQYICYIAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPAAYAKTFNGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRACYECLRGGLDFTKDDENVNSQPFMRWRDRFVFVAEAIYKAQAETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIIMHDYLTGGFTANTSLSNYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRMSGGDHIHSGTVVGKLEGEREMTLGFVDLLRDDYIEKDRSRGIFFTQDWVSMPGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAAANRVALEACVQARNEGRDLAREGNEIIRAACKWSPELAAACEVWKAIKFDFKPVDTIDVILSYDNGIKYAVFTEKSLRLLGKNQYIFNVESGFTKTEIKHWVELFFGVKVVAVNSYRLPGKGRRMGPILGHTMHYRRMIITLQLDILFHFYFLNSRRLGLPVILVAKVQ >Et_5A_040814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1336936:1339181:1 gene:Et_5A_040814 transcript:Et_5A_040814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RFRSPHSPPCRTRRVRWWTSTSPGSALPRTGSSPPRTMPQCRSTLGMWTRMVCTMASSPPLLFLDSSVLRVMLTVRWTGCGRREGLNSSISTPKQVLPLSSFFDVSHLPPKRSYKPTS >Et_3B_027427.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:15724080:15725255:-1 gene:Et_3B_027427 transcript:Et_3B_027427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPWRRSSAPPVRRRRARGRSTPRTRRCRTCAAARTPATSSACSGSRCSRARGRTRTTGPSARSCTPARTRAAATRAATPTPPCPAPASALPTGARAATPATSRTASLRAGSTRRSTGQGSAATAPRAPAASASSPTPRASSAARPATTKTTCSSLHVAPLQTTWLLLGCFLLLLTGYSKVVVALLSARAYWMRRLMTLARSWTWRRSAGHSRAFPGAIPRFHHIHRRTWPCRQAGSATMITVARPTTHRKLQKHRTSLRGFVSSSRASGWLPLSCSVRRAIGRSRPSTRSSSSRCCRRLPRHRCRLGVTLTLHGSTVAHQTERSTGVSLETCLELQRVMEPDVSGGRQPGVVIPLYKEFHILLSFFIVAFQQNLIFFCYKPDMQIIVF >Et_8B_059312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16543021:16548031:-1 gene:Et_8B_059312 transcript:Et_8B_059312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNAIHIIPDAAGPEAWANAAPPAGGGDASIWATEDDYRQWNADPGSGGGGYGDRNPSSRAGTEQPPPGKKLRGGGGSSGGGGGDGGTSKSRAIGKMFFKTKLCCKFRAGTCPYVTNCNFAHGMEELRKPPPNWQEIVAAHDESTEQREEHQIPIMTSVVAGDGGGSSQGGRAYKGRHCKKFYTEEGCPYGDACTFLHDEQSKARESVAISLSPSVGGGGYNAASANGVQVPKPSNWKTRICNKWEMTGYCPFGSKCHFAHGAAELHKYGGGLVDLDNNTASTPDSKQAGGSAKIPADATAASNAVPPHADVYHLGIQSQRATIGSQRSGQVQRPIQKWKGPDKISRIYGDWIDENEERLDRQSKKHLRIQKAKAADAQDAD >Et_8A_056622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13707116:13709765:-1 gene:Et_8A_056622 transcript:Et_8A_056622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVRRLAVVALVLAASLAAAEGFNITKILDDHPEYSQFNKLLTKTRLAGDINRRRTITVLAVANGDMDDLTSGRYSLGTLRHILELHIVVDYFDAKKLKQLSHGATAASTMFQQSGAAPDMTGYVNITQKRGGKVTFIADGADDGTDPATFVGDIYAKRFDYAVLHVSKVLSSPEAQAPVAPPAPVNLTELLSKKYCKSFAELLAANADAFSNINATKDTALTIFCPIDAAVASFMPKFKNLTAKAKTAILLYHAVPDYYSLQLLKSNNDKVTTLATTSVAKKDYTYDVHSESDSVDLDTDVITSSVQATVKDEDPLAVYAVSKFLQPKELFKVAKDLAPAPAPEGPKKKSKKKPGSTSAASAPSDDSTDADSPDDAPADDAADKAGAAPSLLARWVIAAATVAAALALAA >Et_2B_019734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13212291:13214685:1 gene:Et_2B_019734 transcript:Et_2B_019734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKRGQRRIDAAIDFFAGLGFHRIQTRRVINDLLSPSMYGREGWVFLEEAQYRVVLDKLLEEQAQPQQNQALPENDVQVSPENSPGNDLQVSLENHMQVSPENHMHISGVHNEAPTNISQSALEKQAYHNGSAFLEPVLPLPPAAQAAPAKPVRPPCHGWISEESESESELEAGEVLPDIPSTSETLPSRRKGQSRWDLTEANIKKLQGWRRVN >Et_9B_065482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5784343:5785573:1 gene:Et_9B_065482 transcript:Et_9B_065482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCSACEAAEASVLCCADDAALCARCDREVHAANRLAGKHQRLPLLAPGGAAASAADVAPPRCDICQECDAYFFCLEDRALLCRSCDVAVHTANDLVSAHRRFLLTGVQVGQDQQQGGDDDHRAADQPQPEPEPEPSPRPQAKSVPGLPAPLHGGFSWAAPDAAAASLAEWSSAASAQQQLGSPAPRLAAEAANRAAPKRSPAFGGRVAGGVMDWPLGEFFRGVSDFGGGGFGFGDSGNSKADSGKLGGSAGGSPYYRSSSEDRDAADDFFGQVPEMQWSVPELPSPPTASGLHWQQNGAPDRAAFVPDISSPEIPLQWFPAGAAAAANKRRKKC >Et_1B_013552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9453836:9455899:1 gene:Et_1B_013552 transcript:Et_1B_013552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKTNRSLQKSGRGSHVQGEGPNWVLVAGGVLLSTLSVRLGCKLKQLFDTKQQNNTSKAKRRPGACELHSNLYRFSDQTGCYYCTSGLADGVEIKQAPASPIPKSVEPSLPLVKVPGPESSKENSGVMWISSPDRLEDPRRPFQYSNSSGSPCVSESGSDIYSKREVIQKLRQQLKKRDEMIMEMQAQIADLKSSLNIQSDATNGHSNGYADGSVDDPELHSVGIEKRKGEVERVEMLKKEVVELKEVIEGKDFVLQSYKEQKVELCSKIRELQERLSAQ >Et_1A_006771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27460073:27465522:1 gene:Et_1A_006771 transcript:Et_1A_006771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAAAAAAVARRAGEVLRRRSLGGLRPLSSLHPSHAAASASDEVLVEGKASARAAVLNRPGHLNALTTTMGSRLNKFYESWEDNPDIGFVMMKGSGRAFSAGGDVVNLHRLIGEGKVEECKEFFKTLYMFIYVLGTYLKPHVAVLDGVTMGGGGGVSIPGTFRIATERTVFATPEVHIGFHPDAAASFYLSHLTGHVGEYLALTGEKLKAADMIALGLATHYSHSENLDLVDDRLAKLVTDDPSVIDSSLAQYGDIVYPDKTSIVHRMEVIDKCFSLETVEEIVDALESEAAKLNEEWCTLALKRLKEASPLALKVSLRSIREGRYQTLDECLVREYRMSVNGISKQFSHEFCEGVRARLVDKDLAPKWDPPALEYVSKDMVDAYFAPLGEFDPELKLPTESREAFV >Et_2B_020219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18022743:18027008:1 gene:Et_2B_020219 transcript:Et_2B_020219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETPAQHREQQPCKDDDTAQHSAQSAVTWSLRNPLLIVNFVLMVIGTAGGPLCLRAYFLYGGARKWFSSFVQTAGFPLLLVPLYFSFRRRRRRRDSVVVDDGASSATKPSTSTRFFLMSPRLLASSAAIGVLMGLDDILYAYGLDYLPVSTSALLMSTDLVFTAGFALLLVRQRFTAFSVNALVLLTVCAAMLGMNAGGDRPVGVSRAQYGAGFAMTLGAAALYGLILPVMELCQARHAARAGAAAVDYPLVTEMQLVIGLGATAFSAVGMLVYNDFHAIPREAREFGLGRSGYYLLMAGSIVLHQCFFLGTIGAIYFGSALLAGVIMAVLLPVTEVLAVVLFHEPFNGAKGVALALALWGFVSYFYGEVQTSKAQHHQSDKAPDSAHLDP >Et_3A_024525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21616576:21620957:-1 gene:Et_3A_024525 transcript:Et_3A_024525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLALLHARPALPAHAGLRLPLPRPPRASLSPAAKPAALHSPLLASSAPLLPRRDAVLGQYGLLKRRAAGGSGDVSCGAHAAAAAAAAVPAPLPEEGGRKFLGIDLKTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSREALFYTVIFPFIAFFGAFAFVLYPLRDVIHPTALADRLLAALGPSFLGPVAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVDEAKEFYPLFGLGANIALIFSGRTVKYFSNLRKTLGPGVDGWEVSLKGMMSIVVILGLVISSIYWGVNKFVLNDPSLPKSDRKKKKEKPKLGMKESLKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRIILRKFGWGVAAKITPTVLLLTGVGFFSLILFGQPLTPMLATMGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGILLVIVLAWLGAASSLDKQFTSLAKEDLKKEKAAKEQPTLLKAPVEGTDGLAEQTNGSLTSETTGTESSPSNSSPVQ >Et_6B_048901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15234423:15241167:-1 gene:Et_6B_048901 transcript:Et_6B_048901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAEAVQWWGDWQLRILVLASLFLQYFLFVTAALRKRRIPPWLRFSIWLAYLGSDAVAIYALATIWSHQKKRQWVSKDRNNAALEALWAPVLLLHLGGRDGITAFSIEDNELWKRHVLTAASQIAVAIYVFFKSGSKDIRLFQATILIFVPGILKCLEKPWALNRASIYSMANTSGSEVSDASSKDFKAANMNNNYYSLDDYVEDAVKYIKHPAKEGEFSYVIDSPYKLFVDLSYSYRVRLENLKFTVQGRSHLRADLYKRLFKTFDRFYTKSGVYKHTFRGHVVRTVTVIMTFAAIGLFHTSRREAYSNTDINITYVLLCCTASLECISAWLKPFTQCYLWMTGLPWPDQVAQYNLIWYLASRTKKKGKLLWWLASLVCCKDYLDRIRCMESCKDSGITELIHDHVTNGWKNTITNANTYRDFNDNRGQLTLRNYLPKKYGGQANLESYLLLKGCGDGVALERSIRRPFDESVLLWHLATDFCFYKKKPSATTDVASRSREMSNYMAYLLFVQPEMLIPGARHGLFKAAYRELRFELMHKENMPQGDDAEEELGRRIVCMMEGMGEQRLVETHFIHEAWELAEELLSLCTKKGEETMWKVIQGVWVEMLCFSAGRSRGYLHAKSLGKGGEYLSCVWLLLWYMGMETFAVRLQRTGLPEEGDMSAAVLAKAPVETKQPVETDRKLKSASSTDPLVLGDTATGETAV >Et_9A_061168.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:194899:195117:1 gene:Et_9A_061168 transcript:Et_9A_061168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWAPVFISFVLFILLSPGLLFQMPAKSRLVAFGNFQTSVASILVHTIIFFALDAVFLVAIGIQIQFGTSS >Et_1B_013710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13577796:13579878:-1 gene:Et_1B_013710 transcript:Et_1B_013710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAYSRSSKLPGGGGERRLPPRLMRGLASKIEPKKLGVGLLAGCCLALLTYVSLAKLFAIYSPVFASTANTSALMQNTPPAATKPSVPETEAIPPQETLAGGGARNGGDLPDAGSEEPGLPEAATRKETAGTVAEGSEEPGLPEAFTRKDDAAAEPKPSPKEEDKKQSNGAAAAAAGESKMTCDENGVDEGFPYARPTVCELSGDIRISPKQKTVHLVNPVAGGALGESGEKRLRPYARKDDFLMPGVVEVTVKSAPSGDAAPKCTKHHRVPAVVFSNAGYTDNFFHDMADVMIPLFLTASHLKGEVQLLVTNYKPWWVQKYTPLLRKLSNYDVINFDEDAGVHCFPSGFVGMYRDRDLILSPHPTRNPRNLTMVDFNRFVRGALALPRDAPAVLGEEPGMRPRMLIISRAGTRRLLNLDEVAAMATELGFNVTVAEPGADVPAFAAMVNSADALLGVHGAGLTNQIFLPVGAVVVQVVPWGKMDWQATNFYGQPAKLMKFRYLEYYVGEEETSLKDKYPREHLVFKDPEALHKQGWQALAQTIMKQDVSVNLTKFRPVLLQALDKLQE >Et_10B_002453.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:6838887:6839159:-1 gene:Et_10B_002453 transcript:Et_10B_002453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVISVRDKKSFGCSEPTKPPRRTAPDHVTIITLSPLTSINRYPMNTAYPLMTITSSQAESSLAFLLSAIILMMPYAMNTIVNGSFVRIG >Et_3B_029109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22093444:22097064:-1 gene:Et_3B_029109 transcript:Et_3B_029109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRSPTAERRRGIRRLLLARGEAGSSSSPPPPLALSPPPAEEGRRKGFASAALRGLGCTSAAASQAYAPGSGAGSAAAAAVRSSADWHGRRRRRGKERSRKERGGGGGGGGGLVSGGIGGDVWCAPGIPFAAEASSVDCVVARHQMVGRGRGGEGERSHSHRERPCLSRRVTVQEQMTSSFMDSPPPPHLDGPFFGADFIPSGRLRRMRGYRHSPGGLEEEIMMFQTRVLLGGMSMYDRYQDWRLDVDNMTYEELLELEDRIGYVNTGLHEDEITRCLRKVKHPAFGSFRFATEMERKCSICQEEFEANEEVGRLECGHSYHVYCIKKWLSQKNTCPVCKTAVTKT >Et_10A_000257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20301449:20302669:-1 gene:Et_10A_000257 transcript:Et_10A_000257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTDYQGSSSSPSPFSSFGRSLLSLRRDTTAMPSGEEADLEAFQRHVATTLAELLPGGEGGEAGEEVLSVAWIRRLLEAFILCQEEFRVVVAQARRRGALTAAAEKLVAEFHERAVKALDVCNAARDGVDQVRRWERLADIAASVLLAPGEIHEGQLRRARKALSDLSALLVDDTAASASGGVASFLANHRNRSFGRARSSTSASHFRSLSWSVSRTWSAARQLQAIGAGLAAPRAHEAGLAAPVYSMGCVLHLAAWALVAAVPCPDRSSALQAHHLPAAPPRAAFPWAPPLLTLQERLTEEGKRKDRRHSSGLLKEIHSLEKSSQKLAEAIDAAPIPLFGDRESDVREAAAELAAVCTAMRDGLEPLERQVREVFHRIVRSRVDGLDSSMHNAD >Et_10A_000634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14090172:14090602:-1 gene:Et_10A_000634 transcript:Et_10A_000634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLFWLCYCNLSAPLLLQRYLISRLRFAHYADVVTSLQRDLKLLRQARDRHQDHYMIQRKKEPAKAHQIKLDVVVKALKWHEIDMDGDE >Et_2A_016073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20866965:20868223:1 gene:Et_2A_016073 transcript:Et_2A_016073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRESYAGQIMLAEKQAALEKLEWEANVSNTKVEELQVDVASMDVEVSALMKLFRKISENDRTPYPRDRTDDSSLDCELVQLDDDVGDIDTEKMEQEMSAYILALAAAKENPTDEFLKAVAEARLKLQAFVLLTDSSVM >Et_2B_022968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9864838:9871381:1 gene:Et_2B_022968 transcript:Et_2B_022968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLNDDTVGEVLCTLPSQASLAVASAVCKPWLKICRSPAFLGNIHLRHPGPGPLLGLLHFTDRLSDVRLSRDAGASSRPDLTVTLRNMDKAVHALSRFRSNLELQIVDHHGGWCLLKSRKYNHLFAFDLLTGQNKELRWPDMEIFTGHTIGFVVGSGNVVYLRRSISTDCPWQVPSDYYHFYNNNTGEWKKVQLPWWSGLGLAEGEANPSSHWEHHITWKKRSGEVVYSLCGDREAGWRLVALDTRSMSYSNVSLPRRLQHYRMLHRMTIMEMDKPGSICLVLGIEEPRFNVQAWIQQREEGNAAAAGGNGEWKLYRKVELQGLASKLAGQLKYVHVVAAVAGVVHLRLEAEAEKNDQGIPCLSYYFVSLDLGSREFTNLHAETNVGCNDKFYPFFLPWRAPFLTPAGDHEEAAVSAIEMATLEQQKSLTEKHPCMISTWSTYVEHEFFLEGAIRTQRSSGLRNTDHGWATHRGSCAVLCNIRATSVLRHGRHQAAGDLRVRRLDAGRRQQRLLEGANRRYYGVDFPGSVPTGRFSNGYNIADYIGESIEDVFV >Et_4B_037660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22312117:22315150:1 gene:Et_4B_037660 transcript:Et_4B_037660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKAEDLVPFPVKEQFAGIDYCITSPPPWLTTVFVGFQHYLVMLGTTVLIATIVVPLMGGGHEEKAIVIQTILFLAGINTLLQVHFGTRLPAVMGGSYTYIYPAVAIILSPRYALFIDPLERFVYTMRSLQGALIIAGVFQAVIGFFGIWRVFIRFLTPLAAVPFVTLSGLGLFYFTFPGVAKCIEVGLPALVLLVLFAEYAAHFFVKGSFVFGRCAVLVTVVIVWIYAEILTAAGAYNERGPITQFSCRTDRSGIIQGAPWVRFPYPFQWGYPIFCFQDCFAMMAASFASLIESTGTLIAVSRYSGATFCPPAVFSRGIGWQGISIILDGLCGTISGTAASVENAGLLALTRVGSRRVIKISALFMIFFSLFGKFGAVLASIPLPIFSALYCVLFAYTAGAGLSLLQYCNLNSLRTKFILSISLFLGLSIPQYFRVYDMFFGFGPVHTHSVAFNVMVNVIFSSPATVAAILAYLLDRTHFYWDAGVWKDSGWHWWEKFKSYRHDARSEEFYSLPYGLSRYFPSL >Et_2A_017464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34622110:34624754:-1 gene:Et_2A_017464 transcript:Et_2A_017464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SARGDLRRAVAKTEATDASPFSVSNRRWRSHFSPPPPRPTRGFTRPTAGLPLPPRLHPAAMERSGGGEAGAPTTTAEPAAPAAAAGGGVVKGKSCKGCLYFSSVLRSRPRGPVCVGVTRAIPEVPERLVGEIELEAIREGRNLSDFKYACVGYSIYLDDKENPMGKREKRAQAQLPICVGVELLADRRPPFKEGLSKKDAPQPRRYKPGHAGDDFFIKFQRNAGLVANGVAKNLNKVGTYIKDTVGDVMYPYRKRSK >Et_6A_046374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13906403:13913638:1 gene:Et_6A_046374 transcript:Et_6A_046374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIAAGMAVFVLLVVAMLCLCTVSGDSILGRKAGTVDVATGKSIERYAVIFDAGSTGSRVHVFKFDEQMSLVKFGDEMEFYAQVMPGLSAYAGQPQEAAKSIYPLLEKAKGVVPKWLHKRTPLELGATAGLRLIGDEKSEEILRAVREVVLKKSKFQYNPKWINVLEGSQEGSYQWITLNYLLGKLGGDYVETVGVVDLGGGSVQMAYAISDNASANAPAVPDGTDPYVIKEYLSGKQYNLYVHSYLHYGLLAARAEILKVKNGPFSNCVLRGFSGAYSYNGEDYNATASPEGAAFDKCRDEAMAALNLGAHCATMNCSFGGVWNGGGGAGRANLYVASYFNERASHVGIVEANAPNRKSTPEAFRNAALNVCSLSVQEAKSAYPDAWETEYLCMDIVYEYTLLVDGFGLEPTKEITLVNKVKYGEFYLEAAWPLGNAIETLSSQKLNQLA >Et_1B_012432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3227621:3230031:1 gene:Et_1B_012432 transcript:Et_1B_012432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWGVFSGPYWCLKSWWKLDRGNVDAILGRKGTIPCWLIRHICAQNYDNGPNILMGLFVQKGTMSSGTNIGHGKEAALYEERLSKIRKVRDALGQLSGKSALYCSDASIARYLIARNWDVKKATKMLKKTLKWRSEYKPDEICWDDISDEAVTGKIYRTDYFDKSGRSILVMRPGCQNTKNAHGQVKYLVYCMENAILNLPRDQDQMVWLIDFAGFSLPNISLQVTKMTADVLQGHYPERLGIAILFNVPKFFESFWKIASPILEKKTFNKVKFVYPDRPETMQIMEDLFNMDQLECAFGGKNPATFNINDYAVRMREDDKKMPLFWSPENSERASEPYLMSNHKPQENSSGSKTEETEKRGESETESEKKKESDSESEKSEEMNAESSAVELTSQPAEGTAQADKNGSASDL >Et_4A_032701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12760118:12766842:1 gene:Et_4A_032701 transcript:Et_4A_032701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLLVSAALLALAASWLLARAAARLLWRPRAVTARFRAQGVRGPPYRFLRGTLDEMRRMKAEGDAVAMDVRDHDILPRIMPHFLRWKDQYGTPFLYWFGLQPRFFISDYGLARQILSNKSGHFLKNDAHPTILAMLGKGLVLVEGTDWVRHRRVVNPAFAMDKLKMMTTTMVSCAECLIKEWEDQASNSKSGETEVEFSKQFQELTADVISRTAFGSSYKEGKEVFHAQKHLLAIAMETLLNVPLPGFKYLPTKRNRSKWMLEKKLKTTLMTIIQSRLASKGGGYGDDLLGGMLEACFTTEHGDKRDELILTMDEIIDECKTFFFAGHETTSHLLTWTMFLLSVYPEWQERLRKEVLRECGKENPSADMLSRLKEGSQHGNDLLGLMLEACIQTEQGVKQQQLSLSMDEIIHECKTFFFAGHETTSLLLTWAVLLLSVYPEWQDRLRKEMTMVLLETLRLYGPAIFTQRKTTTDMALGKTKIPKGFGIIIPFAILHRDKKIWGNDADEFNPSRFQNGVTKAAKVPHALLAFSIGPRSCIGQNFAMLEAKSVMAVILQKFSFTLSPDYKHAPVDLLTLQPKYGLLLF >Et_1B_012776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34999175:35003711:1 gene:Et_1B_012776 transcript:Et_1B_012776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDPPAAPAPERQRQQEEAGAGGRRTDKQGRRLEVYNEVLGRLRAAGPTEISPAFEDALWAHFHRLPARYALDVNAERADDVVTHQRLLEEARDPERRPALSVRVVQVSRFIDGGMDVPFDDSMEKVASNHSTSQMVHPPPAFGSSSNLEALALETSESGVRSTNDADNSVHLISRPMHEITFATIDKPKLLSQLTCLLGELGLDIQEAHAFSTIDGYSLDVFVVTGWHLGGTEQLQGMLLQKFHKIEVSWIVLQSGRCHQSSEARAYQCRYVPRFCAGSVHYEIPYEYLTPLQAAIGVVQKGIRPTIPKDTHPKFVELLQKCWHWDPAERPDFSQILEILPRLSKEVGADAEGRHKAKSGFLSALKRSH >Et_10B_004377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5202775:5204840:1 gene:Et_10B_004377 transcript:Et_10B_004377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEGTGFDSALMRKRRSSAARRPRPEGAPAAELRDNTSSLSSMSSRSGLRRLLPSDENAAGPAGGLRRREFLLNAPMSEGAAGSSSRKTEGSHDAPVSERNRGSSSTDDNPRKLKLKIRSNVLQKPDPDSSGKPPRPGDSRLQQKHGNLNEGTKDSNKSASSRDKKTRKVRSIEETLAQEQPAKVLRELSSEPVRKSRRLAKKSTLDSDLDEEYDTSNLETEDMEVHIELENKGGSSSKKNSSKKAKSRDGKKRARGSLDGDDTEEELEEEPTSDSELDAEDTKQTPVNELPASVRSEPLTTRRRALQTWMDGSSNSTVEFPDGLPPAPSRSKKDKLSEEEMLAKKAEAAQRRKMQVEKATKESEAEAIRKILGLDSEKKKEERKQKEREEKERAAREQNLAANSVRWVMGPTGTIISFPEAVGLPSIFNSKPHSYPPPREKCAGPSCPNAYRYRDSKLNLPLCSLKCYKAVHGNA >Et_2A_015821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18121475:18138169:1 gene:Et_2A_015821 transcript:Et_2A_015821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSAIRYATILLLVLPVLPCASDDRLVPGKPLSPGSTIVSDDGGFALGFFSPVNSTPAKLYLGIWYNDILQCTTLWQSFEHPSDTFLPGMKIRVMYKTRAGERLAPDDPSPGSFTFGMDPGTFLQTYIWNGTRPVARTAPWTGYMVNSGQSLAWRRKAGSNDSAPTRVSGKGFLMAWSAIIVYATLLLLLLPLYAADDRLVPGKPLTPGATIVSDGGYFAFGFFSLSNSTPAKLYLGIWYNNIPKLTVVWVANRETPATNGTSSAPALSLTNTSNLVLSDADGRVLWTTNITVATSSAAAATGLAAVLLNNGNLVIRYSNGTALWQSFEHPADTWLPGMKMGIRYKTRVGPRMASWTSPDDPSRGPFSYGVDPATLVQAFLWNGTRPIARTPPWSGYTVDGQFPVNNSYYLAVFETEEELYMTYSISDSATPTRFVLTYSGEYQMESWRPSGWVVIWKWFSSKCNAYGYCGPYGNCDNTAAESTCKCLDGFEPASLEEWNSGNFSQGCRRKEALKCGDGFLALPGIKSPDKFVLLIKNRTFKECAAECAVNCSCVAYVYANVSTSRTMEDRTRCLVWTGDLIDTESYVNWARSDTLYLRIAGLDTGVKKKTNVLKIVLPTVLISTILIFGSIFLACRKFRGKMRKSRHKKLILNAIVTSGKLGEGNSAQDFEFPFVGFEEIVAATHDFSERCKIGQGGFGNVYKAVVGGKEVAIKRLSKDSRQGTEEFRNEVVLIAKLQHRNLVQLIGCSVEGDEKILIYEYLANGSLDATLFDNSRKVLLDWPARFNIIKGVAKGLRYLHQDSRLTIIHRDLKAANVLLDVEMRPKIADFGMARIFKDTQKNANTNRVMGTYGYMAPEYAMEGTFSIKSDVYSFGVLLLEVVTGIKRSSICNIMGYPNLIVYVWNMWKEGRTKDLADSSITDTCLLDEVLLCNHIALLCVQENPDDRPLMSSVVFALENGSNTLPVPNQPAYFAQRSNEMLTVAWVANRETPATNGTSSAPELSLMNTSNLVLSDSDDRVLWTTNITVATSSAAADTGLAAVLLNTGNLVIWYSNGTALWQSFEQATNTWLLGILYLLIGGSFEGLHTTEAKDQVQEARRRPPGVVDEPRRPFAGTLLLTRGPRHVPTELPLEPWTGYIVNGQFAVNTSFIYYTTVVNTEEEIYVMYSLSDGAAPTRYVLTYSGEYQLENWRPPSGWSIVTKWPATKCNLYGYCGPYGYCDNTVADPTCKCLDGFEKMNLEDWNRGNFSQGCRRKEALQCDNGFLALPGMKAPEKFECEAECTRNCSCVAYAYANLSTSRTKGDRHDGTRCLVWTGDLIDTEKGGDSIATETLYIRITGLDYAGSRSSMKFFALTSRRLKFRCKGERQCTEDCAAYSFNKHKKLILDAIVTPNEFGEGNCAHDFEFPFFKFEDIGATTHNFSEACKIGQEGGKEVAVKRLSKDSRQGTEEFKNEVVLIAKLQHRNLVQLLGCSVEANEKILIYEYLANGSLDATFFDNTRNLLLDWWTRFDIIKGVAKGLLYLHQNSRLTTIHGDLETANVLLDVDMRPKIADFGMARIFNDSQKKANTHRVVGTYGYIAPEYVMEGTFSIKSDVLTDIRRSSVSNIMGFPNLIVYVWNMWKEGKTKDLADSSFTDTCLLDEFLLYNHIALLCVQENPDDRPLMSFVFALENGSNTLPAPNQPAYFEQRTNGMVQLGENIQNSMNTFTMTAIDGRYASLLLLLLLLPPCAADDRLVIGKPFSPGATIISDGGCFAFVFFSLKNSTLAKLYLDIWYNISQLTVVWVC >Et_4A_034450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3292523:3294567:1 gene:Et_4A_034450 transcript:Et_4A_034450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPSFPPPAPAPTTLAGNLTASSLLSIPRPRPRLAAAHRRAIVAASASSRPPPPPSPEGDGEEQEVERAMGMDGGIPGTSSEFLRRVSSRAYGMRRHLMESLDSLAYDVLETNPWREGSKPVYVLARSDNNLWTMKTRRTRSEVEKELGMLFSNGGGSGIGTKSKYSGSKFSMLVEDIREGVLIFEDEDDAVRYCDILEGGGQGCEGIAEIDASSVFSMCQKMKALAVLFRRGSTPPLPQSLERDLRARKRSLED >Et_7A_052871.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2128235:2128552:-1 gene:Et_7A_052871 transcript:Et_7A_052871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHDDLMAKGEDGAYARLIQIPPPSPAERASSGPQAAPQLCQLVHHDAQLLGPPTAAPLLALLLRILHADFHNRRIMSSKKKKRAFRTFSVSSTEASLLAGIKT >Et_4A_032023.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:12144077:12144292:-1 gene:Et_4A_032023 transcript:Et_4A_032023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGLDLGLRMAQVTLANLVHAFEWRLPDGVAGRGAQHGEVRADGVAPGPARCCDAVAEPKLPAHLYSTGP >Et_2B_019699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12671866:12677039:1 gene:Et_2B_019699 transcript:Et_2B_019699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAKPSSCLSFLKDALLLPTLNPKLFLPVFILVAIPTFLVQVTNVLDIQPFAAKILELVNKIKTMDPSSAEYAKLLEEIMKDAKELVVIAVAFVVATAALCFAKQIVAFFAASTTYSSDRNSLPELVSKVIMKWHRLRGPLVTIATISALELGCVILETSLLQLVMRLWSGLVAMAVVSVFLTVAFVYLNVVFMVAVAASVADAEKRGASALREAWRLMTRARRTEGCVLVLATEVLSMATSPLYVVALGYAKKSVAMEVAALSAYALLSGAVQLFSFAAAMVYYCSAVERSKEMIIVRDDDYVRIPSTMATKQSSTTPSFINFLKEGVLLPTRNRKLFAAVFVLLAISTSLLLLGNDLTVQPLTNKLNLDTKALNSTDPANPDFVHLIQDIQDDTRQIMLVGAAYLLFAVIIGSFIRIIVLFAAVATYSGELHTFGTQLGKAKAQLKGPLALEIAYVSLLLALAGLLVLLMLKHYFGLLFVGSLVLLLVCIFLVYFSFLCSLSVVVAVAEPGCHGSGALGRAWRLMKDKKRRAVLFISVTGALAAVLSPVHTLAQTCVLSNLASGLLLEFLYSILMAATGLFATCAMTAFYFECRGITEASATEYVKESLLLPTQNVNLFAGTFLLIFAHTFVFITVAIYLAHPLATSILYDIQVLKTTDTTSYSYTSVVDDTWEHAKKLSQHVTVRAATVTFSGAGSTGTVVVKENKIKGLVVIGAFVGALELTSTCIIVVLLFLLWTNADLGIASIFSYLLCLLSLLLYICLGTILAVSVAVSAVDEDCRSIWALQQAWRLMRARRKEAALLVLIVSLLPAVVYPAPVYAFSFVHLPDRLVPHYVLGESVWLLGVVSGSGLPCVGAQLFSMVTATVFCCQSKQGTPDGGVRSFS >Et_8B_059335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16831783:16853871:1 gene:Et_8B_059335 transcript:Et_8B_059335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKLAVGSVDNDAQELRKAPTFHRSLWGDFFLTYQPPTAPQRAHMEERVEVLKEQVRKMLAGTKEIPKILDLIITLQRLGLDTHYENEIEERLNFVYCSDYDDKDLHLVSLRFYLLRKNGHDVPSDVFNNFKDKEGNFAADDIHSLLSLYNAAYLRTHGEKVLDEAIVFTRGKLEAALDSLESAVADEVSLTLQTPLFRRVRILETRNYIPIYEKEAARNEAILEFAKLNFNLVQLLYCEELKKVTLWWKEFNVESNLSFIRDRIVEMHFWMTGACSEQKYSLSRVVSTKITAYITILDDIFDTYGTTEEALLLAEAMYRCEESATELLPEYMKDFYMFFLKTFDSFEDELGPNRSYRVFYLKEVLKMLVRGYSQEIKWRDEHYVPKTIDEHLELSRATVGAFEVTCASFVGMSDIVTKEILDWLLTYPKLIKSYTTLSRLCNDISSTKREQEGGHYASTVQCYMLQHETTMHEACEKIKELTEDSWKDLMELNITPTEQPKVVAQTVIDFARTADYMYKKTDAFTFSHTIKDMIALLYMEPTLIQKMAPTPAFGSVNAAQEPRKAPTFHRSLWGDFFLTYQPPTAPQRAYMEERAEVLKEQVKKKLEGTKEIQKLLDLLITLQRLGLDTHYENELEELLNFVYCSDYDDKDLHLVSLRFYLLRKNGHDVPSDVFNNFKDKEGNFAADDIQSLLSLYNAAYLRTHGEKVLDEAIVFTRGKLEAALDSLESTVADEVSLTLQTPLFRRVRILETRNYIPIYEKEAARNEAILEFAKLNFNLVQLLYCEELKNVTLWWKEFNVESNLSFIRDRIVEMHFWMTGACSEPKYSLSRVISTKITAYITILDDIFDTYGTTEEALLLAEAMYRCAESATELLPEYMKDFYMFFLKTFDSFEDEIGPNRSYRVFYLKEVLKMLVRGYSQEIKWRDEHYVPKTIDEHLELSRATVGAFEVTCASFVGMSDIVTKEILDWLLTYPKLIKSYTTLSRLCNDISSTKREQEGGHYASTVQCYMLQHETTMHEACEKIKELTEDSWKDLMKLNITPTEQPKVVAQTVIDFARTADYMYKKTDAFTFSHTIKDMIALLYMEPTDFYLYLLKRFESCEDDLGPNKSYRLTINKQLETSRTTVGAFQLACSSFVGMGNVTTKEMLD >Et_4B_038698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4023756:4027312:-1 gene:Et_4B_038698 transcript:Et_4B_038698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEWLLRPDPPAPAALEGELRWLRRGLEAAAKGFAIGAGLKGGLALFSVLVRLRSRRSPRSRKVGAITNEEATVLAVKETLRYGMFLGTFAGSYVSVDECIAAIWGRKRTAKWRSLLAGLISGPSMLLTGPGTQHTSLAIYILMRAAVLASRCGIKSRRYGKICKPLTWSHGDIFLMCLSSAQILSAYILKQDSLPSSYKSFLNKHGGKDLVILQGVKEIVNHTPFSNLAGIEKYYKSVGVDLKLDPNMKVPCSIVHGNQSCSGHFLSFLLQAYGRALPVYVPVYLVPALVVHRQDLMKRPYTILGKSLLGTARSSLFLSVYCASAWAWTCLLFRIFQSCNTPLVVLGTFPTGLALFIEKKSRRIEISLYCLARAIESFFTCMTDAGLCPPILQIKRADVVVFSMATSVIMHCYAQEREVFRSKYLNVLDWVFGVPPPPDNEGNNCYQRDDEAKKC >Et_1B_009927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11470226:11470714:-1 gene:Et_1B_009927 transcript:Et_1B_009927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRLMHKVARKALALVHHHHGDRKNCPWPRRAIMEYEFSCADSPSPAFLAAKRLLRSRLRSGGAAAAGAVSSCFGSFRATPPYGSRETMDQVESEEEEDGWWLQRGELPDVDDRAEEFINMFYQQLRAQSFAAVFQGSP >Et_8A_056697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15183204:15189747:1 gene:Et_8A_056697 transcript:Et_8A_056697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSSHNEFRFFLSCDISLPLTFRVLHAEHILSAERKVPELFVECKLYIDGLPFGLPVKTRLESSGPTYCWNDVIALSTKYRDLTSLSQLAFTVWDVSSGEDAEIVGGATIFLFNSKRQLKTGRQKLRLWPKKEADGRVPTTTPGKVPKNERGEIERMERLVNKYERGQIQHVDWLDRLAFSAMEKAKEKQCEKKTNLYPCLVIEMCSFEHRVVFQESGANFYAPTPISLSNELVTVWDPELGRTNPSEHKQLKLARSLTRGIVDRDLKPSSNERKLLQTIIKFPPTRALEVDEKQLVWKFRFSLMSEKKALTKFVRSVDWSDNQEAKQAVELIGKWETIDVADALELLSPDFESDEVRGYAVSVLERADDEELQCYLLQLVQALRFERSDKSRLAHFLVNRALSNMEIASFLRWYIVVELHGPAYARRYYSIYDMLENGMMKLVGREDGDEDGFRLWQSLTRQTDLTAQLCTIMKDVRNVRGNAQKKIDKLRHLLLSGVFSELTNFDEPIRSPLAPTILLTGVVPQESSIFKSALNPLRLTFKTANGGTSKIIYKKGDDLRQDQLVIQTVSLMDRLLKLENLDLHLTPYRVLATGQDEGMLEFIPSSSLAQILSEHRSITSYLQKFHPDEDGPFGITAQCLETFIKSCAGYSVITYILGVGDRHLDNLLLTDDGRLFHVDFAFILGRDPKPFPPPMKLCKEMVEAMGGAESHYYTRFKSYCCEAYNILRKSSSLILNLFKLMERSGIPDISSDETGGLKLQEKFRLDLDDEDAIHFFQDLINESVSALFPQMVETIHRWAQYWR >Et_6A_046684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19418412:19422474:-1 gene:Et_6A_046684 transcript:Et_6A_046684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEAEEEVRLELEAMEAVYGDDCRVLRDLPPHLVVHVRPRTADDSSQQVRLASLPPSPSSSALRRPIPPNYCLSWAADDSARPAQPPTASLWNSLLELKHLHSIQKNHLLFMLWTGLDENRQTYLISTIQNKAKELSNYPMLVTLCEEAGEILSNMNHPAGDCPLCLYPLVREDTDGSALPFMKLMSCYHCFHSDCIMRWWEWLQHGDAKPKENTTATSGVIDASKGLYLSSSGKHYKVNQHKGFCPVCRKVFDEKDIEHVGNLLGSNTSQLASLTIDLGEDEKELLHSEAEQDRRKRIESLVSLQQECNGLIEPKKDLAIHPGMYVSLPPSEPATAAEDNESCEDTTTSTSEMEPQNLANNSSTNKPKNSGHRRRNRANAPRRPPHGQPGRQQWQRKEANTSHQ >Et_7A_052764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15760133:15763027:-1 gene:Et_7A_052764 transcript:Et_7A_052764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHILLYLFLIACFGGLLFSITGHGEKKTKEAGNGDAKFRRLLGLNSLGPRPKQPHHGHGHAVSPAPAPARAHLLPLLHKDARLPDPVPGKAARQKGNATAAAHSPRGAGGGEHGGGSKKKKSTQLFVVAAAAALTGAALVLVVVLVVFLACRKFRGSHGGGTELAGKNKVSSEPGPGVLYLDAIKPYLDGAGTNKASPEVAGPKDAADDDEPKREEECGGDACSDDGEGSVHSSCCFQSSSQFAYSELRDGVSPSPSSARSKQRRTSAPATPSDKSTITSPHSSSLRPRTLTPGNEDRVRPAHSPCLSASVSTSQVINDRERQGSCQSVKSLRFQSGSACHANEADSGTVRSDNTSTSKKAPPPPPPPPPPPPAAAVVKQQYNVQTSRGPAAPPPPPPPPPMPAAVVQQQRNDQTSRGPGAPPPPPPPPVPPLLLPHQKNIQRSGGPPAPAPPGLFRQSAPALGKNGAPLPKLKPLHWDKVRAAPNRRMVWDRIRSSSFELDEKSIESLFGYNAARCSAKHEEAQSRSPSLGHHVLDAKRLQNITILMKAVNATDDQIYAALLQGNGLTAQQLEALIKMAPTKEEVDKLSSYDGDVGSLVPAERLLKVVLTIPCAFARVEAMLYRETFADEVGHIRKSFAMLEDACRELMSCKLFLKLLEAVLKTGNRMNVGTARGGAMAFKLDTLLKLADVKAADGKTTLLHFVVQEMVRSQKPPATDIVAGLAAELTNVRKTATVDLDVLTTSVSGLSHGLSRIRELLVGKDHLAGGDERARCFVEFMAPFVSQAEEAIAELEEGERRVLAHVRDITEYYHGDVGKDEASPLRIFVIVRDFLGMLERVYKEVRGAAARNSHG >Et_4A_032783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13821501:13829043:1 gene:Et_4A_032783 transcript:Et_4A_032783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQVKPSDVPSTTIENQDSAATPPVTAASPVPLAASTDSSGRVADASPAAISVPTSVPVKNAAGQESPASMFSTSGLSSWAKNLKIPQPSSSQESPTGKNTFARFTSGLGLRLSPKAAQQDDSAEGSTSPTTAQSGVFGSLTKGIVDSSKNAVKAVQVKARHMVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDLSSGLFGYFEGFYRNHMEEVIRFFEMHHKGKYKVYNLCSERLYDASLFEGKVACFPFDDHNCPPMQLVISFCHSAYSWLKEDIENVVVVHCKAGKARTGLMISSLLLFLKFFPTAEESIEYYNQKRCVDAKGLILPSQIRYVKYFERILTYFNGENQPPRRCMLRGFRLHRCPYWIRPSITVSNHNGILFSTKKHPRTKELMPEDFWFSAPKKGIMVFALPGEPGLAEVAGDFKITFHDRQGDFYCWLNTTMMENRVILNPTDLDDFDKRKLPSPGFQVEVVLVDYDGSQPPKPKPAAGSADDKSGAGSTPSTVAEENNPAPAESKKAAGSNDKDEVFSDSEGEDGSSKGKKQKDANSQGSSSAAKPSETSDVQKEISAAASKVEKVAITSDQGTAKVSDATSLKTEVSSKGSSTTTPAPPVESSSMSEFKAIAADASVFSFGDEDDYESE >Et_1A_009274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36228388:36233424:1 gene:Et_1A_009274 transcript:Et_1A_009274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSKQGQASMSTNMGSQPRPSSNVQSNQPEYPSMFYSSLPGDWGAQSMFSVGASVPVSSYYIVPMSQQSVQTGASRPEASRPFGAQPLVSRVSLRPPQQVLNIQTSFPSMVGSQPSPSTTGKRSLQTVASPKVQMLKSSPLTASKRSAQKEIPSKVQPQQFESVRSKFRESLAAALKTDSDEQNKSQASENVQPDVSAEKIKPEGGNAVQDTMSTTSEDVSTASSVPATTVDAKKGEEDEKLSSDLVPNMIVNVNGDMQHQSSHVSSEDELLGQCMVAADELLQGHGLSWVSDFDAGISEPMSEPNLKRPRTSDMDPGVTESLAESESKKIKSTNEAAIDKDVISQKAESLAFRIEEELFKLFGGVNKKYKERGRSLLFNLKDKSNPELRERVLSGDIAPERLCSMTAEELASKELSEWRLAKAEELAQMVVLPNTEVDVRRLVRKTHKGEYQVEVEEPDGISVEVELGGNLSNIPSKSVEAETKSKDKTSTEDMVAVQEKSKMSDSSSQDEDGGTGNNDLSGGPPDYIDGEKADLMQELILDDTKDPENLPPIPSLDEFMQGLDSEPPFVDLSVGTPQQEEQDLEEPDTALESEELPEAEDKASAPEKAASELDKPSPEIKSEPNLESPGHEEGRNLTEARDGDDAIKSSPKKDEVKQINDNDVNPDSVLPSKAATLPMIRESIWEGAIQLTLSSLTNVVAIFKSGEKPPLKEWRSFVEIKGRVKLGAFQQFVEQLPKSRSRGIMTIDSYISDERVGLAEPADGIELYLCPSQGKTVEILSRHLPKEHLESLAVEGSSFIGVVVWRRPNVPRLPSHHRHDVSKRQSILKKPQVNNSISRPSLPLNSYGAPPGFPNQRHQHEEDVNDDVPPGFGPGVARDEDDLPEFNFVNSSNPAANVTPHAYKGRLHVPSARPADQMRELVQKYGKRSSVQPRSWDDDDDDDIPEWNPNQPIRQPLLPPAPQQQPLPPPPPVQQMHPYHQQQQYISPNTLQPQVPISPALPQASYLRAQQLPQQQQQLQPAQAWQQSNAWWPAQGVAATAPAANIVQHSQYGAVPGNSSVQGYDSGSVSGMAWRPRDRTG >Et_9A_061223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22815035:22815654:1 gene:Et_9A_061223 transcript:Et_9A_061223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCRDNDVSEPEPNAAAAEKPQNKLPRSPAKGAGAEESPEAPRKQWNLRDRTAWRDYRVEDARPHKKLGNTEAPGKKSHGFSLTLTRREIEADFVAMTGRKPPRKPKKQPKSVQRHIENLCPGSSLAEVTRDRYKVNEVCTKTPSLVSFSPESALGGFKC >Et_4A_034786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6562088:6564855:1 gene:Et_4A_034786 transcript:Et_4A_034786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATGGGGATARSVPRIGLGTAVQGPRPDPVRRAVLRAIELGYRHFDTAAHYATEAPIGEAVAEAVRAGTLASRDEVFVTSKVWCADAHRDRVLPALRRTLSNLQMEYLDLYLVHWPVTMKAGRYTAPFTAEDFEPFDMRGVWEAMEDCHRLGLAKAIGVCNFTCKKLETLLSFATVPPTVNQVEINVVWQQRKLREFCRDKGIQLIAYSPLGAKGTHWGSDSVMDSGVLHEIAKSKGKTVAQARTLAHPLHSQLNSPERKHVLTRSVFQVCLRWVYEQGDCLIVKSFDEARMKENLDIVDWELTEEERQRISKIPQRKINQGRRYITEHGQYKSLEELWDGEI >Et_9B_066168.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:5492553:5492957:1 gene:Et_9B_066168 transcript:Et_9B_066168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGGGVSCAVAGDAAAVHHRVGPRLGLTIDPVEEAPAERVGRLVRESPAVIFARRGCCMCHVMRRLLAAVGAHATVIELEEPAEEAAASAAAAAAVPALFLGGAPVGGLDGLMGLHLSGRLVPRLREVGALDG >Et_7B_053803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12306208:12316295:-1 gene:Et_7B_053803 transcript:Et_7B_053803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWPNKLRPRSKARAKPGAAAVSASSSPRNSAELESPSPSPTPRSKEKARSFDSPVAARGRGAHGVVGYKLPVPVSEPDPEPLPVGTLYEEVAAAGPGDGCSSASESSVCSLVSLDEAQDQQGFRDDAHWLVKSFCFALNYGILGVPKIVMCCHCVLVVILVSVPYNEIHISRFDMLADGYWLLGVSSRSVDPAAFARGRNAPSSSDRILNEDKHVMSCSMPREHQKFFEAPVSSVREHNLHSDEPSTSETSCSRGRMLCEDIFGPRTRSLSPGPKSVFALNNGNSREFGFSPSEKDGWFEESTASFATSSCSCCLLTASSGSHSCSPLPSSPTTCLQSQSQWKKGKLLGSGTFGQVYLGFNSENGQFCAIKEVQVIMDDPHSKERLKQLNQEIDMLRQLSHPNIVQYYGSELTDDALSIYLEYVSGGSIHKLLREYGPFKEPVICNYTGQILAGLAYLHGRNTVHRDIKGANILVGPNGEVKLADFGMAKHISSFAEIRSFKGSPYWMAPEVIINSKGYNLAVDIWSLGCTIIEMATARPPWHQYEGVAAIFKIANSKDIPEIPDNFSEDGKSFLQLCLKRDPASRASAAQLMDHPFVQDHPAVKAAKSSALRNAFSSPADCMHTMSNREFPSRKIITPLKDIGLSARDFTGFSTAAPSPHTSSSPIPLRTNMSLPVSPCSSPLRQFKQSNWSCLPSPPHPTYSSGAAYNTLSYTQNQTRRSPPPAISDPWLDVGQMKLQSPYGSPKRF >Et_8A_058140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21010399:21015463:-1 gene:Et_8A_058140 transcript:Et_8A_058140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQHQPSPAGIDPRSGFCAVTRTFHSLREPTELPPESHPTTAAAYAFSMLPSPLPDRPALIDAATGAAVSYPAFLAAVRSLAGGLWSALGLRPGDVALVVAPSRLEVPVLDFALMSIGAVVSPANPASTADEYAHQVALSKPVVAFAAPEVAAKLPRHLRCIVIGSDEYNRLASSDADGSRAPAVAVKQSDTAAVLYSSGTTGRVKAVAVTHRNLVALVCNAKAYRERTARDAAAAGDVPPPPAVALVPLPLFHMFGFMTLLRLACMADTAVLMAAARFDLGDALRAVERYRVTQLPAAPPLLVAMNKLPDETRSRVDLSSLRYIGVGGAPLGREVAERFAAIFPNGYGLTESTGSVSRTIGPEECKAYGSVGKLLSDMEAKIVDPATGEALGPGYVNDDKATAATLDSDGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQPQSQLQPAEQPQYELVAPYKKVRRVTFVSEIPKSPAGKILRRELVQKALSMEEYA >Et_9B_065978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19097555:19103353:1 gene:Et_9B_065978 transcript:Et_9B_065978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRDQESGGEDGGRSSSASDLRKPLLNTGSWYRMPAAGGMGSRQSSLMERLGSSAFSLRDAQVSAVLCTLIVALGPIQFGFTCGYSSPTQDAVIADLGLSLSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGDSSFLFMGRLLEGFGVGVISYVVPVYIAEIAPQDQRGALGAVNQLSVTIGILLAYFLGMFVPWRILAVLGPKWNGLLFQAKMGKTEDFEYSLQVLRGFQTDITAEANEIKRSVASSRRRTTIRFADIKHKRYSVPLMIGIGLLVLQQLSGVNGILFYAGSIFKAAGITNSNLATCGLGAVQISTTGMTVTLVVVSVSFFVKILPVNIKSLAGSVATLANWLTAWAITMTASLMLNWSNGGKADHSLFTEQKR >Et_5B_043142.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:10546347:10546439:-1 gene:Et_5B_043142 transcript:Et_5B_043142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSTNLDTVTIPSSASMLINQHVLFVQK >Et_4B_036383.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21050362:21050454:1 gene:Et_4B_036383 transcript:Et_4B_036383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLMHYVRYQGKAIFASKGTLLLKKKWKS >Et_6B_049458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5077990:5081528:-1 gene:Et_6B_049458 transcript:Et_6B_049458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQGGSGARGQKGLLWRLPEVTSKELGKIGPAFGLGIGCGAGAGIGFFGGSGLGYGFPGLTLGFGVGAGCGVGIGFGYGLGKGVAYDENKRYSNVGKMFQEAPRLPTDTVVALFDELVINTKKVVTATSKGIEKWR >Et_9B_065845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1547680:1548288:1 gene:Et_9B_065845 transcript:Et_9B_065845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFGLLFFLAACEGGNPPSFSTAKHGTMALLHVRKLLITPAAENEATASMTEERRRRRHRVSAPAISECSEHAVVVSQDGGGLTPESIALYSVTITNTCLSCTVRNVHVACGEFASTELVNPSEFRRLAAGDCLVRDGGAMGPGDTISFEYTNSFKYDMDVASVSCG >Et_4A_032125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19475651:19476541:1 gene:Et_4A_032125 transcript:Et_4A_032125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSSSPCAACKLLRRKCTQGCVFAPYFPPDNPSKFANVHRVFGASNVSKLLHELPHAQREDAVNSLAYEADARLRDPVYGCVSYISVLQLRIRQVRDELDAARKELAAYVGPAAYAPFAVAPPPPPQYGHHHHHHAAGIGLAVAPPSAHQQQIMAAHQLHHQQLAEAQQLAAAVEVAREQQDLMMSSAGGATVAAAPPDATAPYHGGFLFQQQHHHQPPSQAQKSVALTYQTEPSPPPSSSGQSPAEVSHRQHTEGSEDGSGGVAPPA >Et_6A_047270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3116603:3127374:-1 gene:Et_6A_047270 transcript:Et_6A_047270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEASMSNPLERMLTDETAEPTNLRLPLLETITDKFSDHMEIGIGGFAVVYKGRLQNGTVAVKKLTMFDMDDAKFQQEVDNMLRVKHKNIVRFLGYCSDTQGVMQKVNGRNVITEERHRFLCFEFLPKGSLDNHISDAAHGLEWTKRYKIIKGICEGLHYLHQKNIVHLDLKPANILLDHDMVPKIADFGLSRCFDEKKTRAMTLNLLGTVGYMAPESYVGVIALKSDIYSLGVIIIEILTGQKEYFVEEKGQKGYRQIEKVLESWNGQFDTSLGDTRLEHIRECAELAIQCTDFDPDKRPDTQHIIKRLAEMECVYDFLNTDSAATNAMVEMIKKVDAERKLLEAEFVRRKLVHFDGPLAFTVENLLGTSEEIMKQSMYMVVYKGTLKDGSLMVFKRLCEKFTKGDNEFEAEAALLGKIRHPNLLAPRACSLLPNGDKLIVFDYMPKGSLSAFLHARGHNTPVYWATRMIIAKGMARGLAYLHDDMRIIHRNLTASNVLLDEHCNPKIADFGLSRLMTAAANVNVLAAAGGAYRAPELRKGEDANAKTDVYSLGVIILELLTGKGPGINGLDLPQWVASIINEDRTSKVFELDLELRRDPNEGTFVDDVTDTLKLALRCVHPSPNKRPEPRELKVEDVVPEDIMGQSTYGKVYKVWLSDGSLVAVKVLGEEFSKDQMAFGAEAALLGKIRHPNLLALRAYCLGPKGENLLFFDYMPNGSLSTLLHYVVVPQTRRWTGRHG >Et_1A_006847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28277116:28281363:1 gene:Et_1A_006847 transcript:Et_1A_006847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYTAGEHRRSPPPRGAFASSLSPSAAPFPAADPAGNGRDLPTAPSVYAAAAAGDWGNASWIEPPVSYMAPVAASTASAPGYRGEPPHSTPYGIYSRIHFSDFPGLHPLVSESSNLLSEKHPGTCQENSEALSNGAGQSFFHQQQDSGVSKFLDHSGAEDSGPYPPRQDLNQYPFGSPYDKYMTQLSSCSTDTQPHILSSRYVSPSEMTKRTGPVLNATTGESSFSSSSYMNPCRINLDYFDCVWNEQKDTGYQTTDKQYGKWSNSLDDMAAVGNYPLNPCVENRAEHLGNERPMEESSELKLDLGNFNSRFSSSEIGFLQPREFSSELLEVNNTSVDSPCWKGTPATYLPSFSVIENKDAPHTATGTVGYNSRYQSQKAPDLKFGYPARFPKCQEASGSENDLSKMFKLPMRFENSNNHVLPPIRVHDDVGHASYLLNIQHARTQECNAPREDSKNVITSSQQESSGLVSKLKLSDQHSGSHTGNVTEVISKKTSSPIATSSRLHVDNLTSGSAHGNHSAAVEKEESTQKREGPSQYYPDAEGNVLNVSSDSSSSTRAIFLKLMHNLSVVLLSTCKDGSMFQENEEEILQSVIQNLTAASSKRSKIVQKTDDGLRNSSQMKFKNINSVHEHSASASDLEFKTAISQVLTALPDDKMLDDTKVSEASIYKNLWIEAEASACKLKYELQLARMNLAAMKGHNNTLKIPYSSEGSKGSDSSMTSSSKQQNLGEESTQRQGGDSGNRQSPIVHRSTVYGVDDDVFARLKVLQSRTDNVCSFGELDCGGQQEASKKPYGVEDAVMARLQVLKSRPDNVTFLSQESNPDASTNRADDAVMDRLQVLNSRPDNVAFLCQESNPDASTNRADDAVMDRLQVLKSRPDNVTFLSQESTPDASTNRADDAVLDRLRILESRPNNMTFLAQESSKHEVDAGTNREDVVDDAVMARLRILKSRPDNVTSTCGVSMEHEELKRDGVEVLSIGLTVNKSSRSADVASPKRCEATPEETTNNGEVQGEDGLGGNQVWPQAAGNSNVCAEVSAPVHQHGSSPSEWEHVLKENFFHPGK >Et_9A_062011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18831851:18835511:-1 gene:Et_9A_062011 transcript:Et_9A_062011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSLQDLPTFTRIDALERGSSIGSDLVTGRTKPVRTLQRDGPLASFSKERTPPSSPTNRKKCMRAAGCTIALILLVFFAYASWRYFHVFLSEGSSEYYVILDCGSTGTRVYVYEWHINHNDANTLPIVLKPLGNAPKKKSGKLIGRAYQRMETEPGLSKLVHNEPGLKKAIEPLLHMAERQIPRHAHKHTPVFLYATAGVRKLPNADSEWLLDKAWDVLKNSSFLCSRDRVKIISGMEEAYYGWVALNHHMNMLGTSSPKMTYGSLDLGGSSLQVTFETDKSVQDETGISLKIGSVSHQLSAYSLTGYGLNDAFDKSVAHLVKGLGPAASNGKIQVKHPCLQTGYKKDYVCSYCHPLKQDGSPSVEEKTSGNEKQGTTVELVGAPQWNECSALAKVTVNLSEWSSASPGLDCNLHPCALASNLPQPHGQFYAMSGFFVVFKFFNLTADATLIDVLKRGQEFCEKPWKIAKSSVPPQPFIEQYCFRAPYISSLLREGLQIKDNQVIVGSGSVTWTLGVALLEAGQALSTRIDIQGYRILSREINPNILIILFLISIVLVICAILCVSNSIPRSFRKSYLPLFRQNTGGSSVLGMGSPLRFQLWSSINSGERTKTPLSPTVAGSDPHPFRMSHGLGGSSVQLMESSRQSLGAYHSYSVGSLGQMQFSSGVRTPSRGQTTLQSRRSQSREDLISTLADIHVPKGRRVVIRP >Et_3B_028198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11630658:11634197:-1 gene:Et_3B_028198 transcript:Et_3B_028198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTDSRKRLTSDHRLSADHLLPSPSFPPPSLSPPSKRPKLAPFPSFNPTPPTPPRPHVPATAAADVASTSSTAPGPTTSSTSDPIPHRRRLPPPPPLPRPVHGPQRVLRAFRLGPARPQAAPSPSSPPAQPPWSLGLEQYVELVNSVSQPPQPTPDVARKAEAAPVEVVAVEEDDDERKEQGDEDEEVVRGSVLVRRVPLYKELYEASSRKRDAKLRTLEFEVRLAEEGRLGLERLAEVLPRITPKKEEVPEPFVPLTDEDEDIVHHALHGRNRREKLAVHEASNIVITREILQCLNDKEWLNDEVINLYLDLLKEREQREPSKFLKCHFFNTFFYKKLSSGGYDYKAVRRWTTKRKLGYSLMECDKIFVPIHKEVHWCLAVINIRDKKFQYLDSLGSMDMKVLRNLARYLVDEVKDKSGQQIDALSWKQEGVKNLPLQENGRDMNLIFSQKHMRYFRRRTAKEILSLRAE >Et_2B_022545.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25884196:25885320:1 gene:Et_2B_022545 transcript:Et_2B_022545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQQAMDAGETVGEEALEMIPGLPEEVAEKCLLHLPFLYHRLFRTVSSTWNRFLTDSLAKPLLFPAASGMTAASASFSLPFLFAFAFDPVSRRLQCQALDPFSGRWLLLPPVPGGAAAGSFAVVGLPRRGEIYVIGGVEEGGDKEVRSVAVYSAARNGWEQAAAMRTPRGYMAAGEVGGCVVVAGEDGEAEVFDPEQGRWAPAAARHGAAVARYDAAAAGGKLYVTEGWTWPFERAPRGAVYDAAADTWAEMARGMREGWTGSCAVAGGRMYIVAEYGEWRLKRYHEARDEWRLVAGSGVPPEVRRPHVVAGEAGELAGGRRRIYVVGAGLDVAVGTVAGVHGGEEEMVDWEVVKGPAEFAGLAPCNAQVLYA >Et_2B_019621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11246561:11250923:1 gene:Et_2B_019621 transcript:Et_2B_019621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPEAKWYWIGFGALIGFTILFNALFTLALTYLRRSGNPQQVISAEELKQKQDNVRRNIHGTNQLASRNTQQSAKIRSQKGMVLPFPPLSLTFENIRYSVDMPKEMKVQGVTDDRLQLLKDVSGSFRPRVLTALMGVSGAGKTTLMDVLAGRKIGGYIQGNISISGYPKKQDTFARVSGYCEQNDIHSPQMFIEEVMELMELKPLQDALVGLPGTKLKNNLRNHWLAGSRGEPVSPIMVIVVLGVLSGKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDERGGEEIYAGPIGHHSSELINYFEEIQGIRKIKDGYNPATWMLEVTTVSQEQMLGIDFSDIYKNSELYHYDVILFTIYFRKNKALIKQLSQPALDSCDLYFPSKYSQSSFIQFVACLWKQNLSYWRNPPYNVVRFFITVFIAVLFGSIFWNLGGKMKQPQDLFNAMGSMYAAVIFIGISNCNTVLPVVSVERAVFYRERAAGMYSAFPYAIGQVAIELPYALVQTISYGVIVYAMIGFEWTAAKFFWYIFFMYFSLLYFTFYGMMAVGTTPNYNIAMIVAAVFYAIWNLFSGFVIPLPKVPIWWRWYYWICPVAWTLYGLVVSQYGDIMTPMDDGTPVKVFVEDYFDFKHSFLGWVAAIVVAFSVLFATLFGFAIMKFNFQRR >Et_3A_027109.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33091665:33092399:-1 gene:Et_3A_027109 transcript:Et_3A_027109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTTADDADTGGVAALPDDALFEVFSRVRNAKALFRCAATCRRWLRLITDPDFLHRLWPQQDLLLGFFLNSPKKRRGRTHNHASSSPLTFVPTPTSPIGSVDGARRVSFPDNGGRMCYSVELLASRRGVLLVRFVPCKFVEEERKTCFLFGLHDPVTGGHDFLPELECARHGTYENGYAILTAADFDIGQEQLTTPTRHSLSSHVIFMDRHDDDHHWHIHTYSAATREAGARPPRARTPTRSG >Et_10B_004246.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:20027514:20028806:1 gene:Et_10B_004246 transcript:Et_10B_004246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWLRRFPHDVIHSKKQGGGSSNSNRRASSSTSWRNKSNSFTARIIRCASTVVDVSAGRQQQQDDDEDEENERRSPSSPPPPCSGAVDDDDKQQEQEPERVVSARAFSFRELAAATDSFRAENLIGEGGFGRVYKGQVVVGSEMEKMEVAVKQLDRNGPQGNGEFVVEVLMLSLLHHPNLVSLVGYCADGEQRLLVYPLMPRGSLEDHLLLLCHDDVLPWRTRMRIAHGAAKGLEYLHDRAVIFRDLKPSNILLDADYHPRLSDFGLAKLLPPCRNDSSSSSSSSSGSSRIMGTYGYCAPEYLRTGRLSAKSDVYSFGVLLLELITGRRAIDASRPDGEQSLVAWAATSLLGQPSRLVDPRLVMAMQPPAASELNQAVGVAAMCLQEHHALRPVMADVVTTLSFLASSNDDSSKSSSSTSCILPATENQ >Et_5B_045066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9000381:9003129:-1 gene:Et_5B_045066 transcript:Et_5B_045066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTAGVSVSTIAATATATECHMLKIEGLTRLRIMHPTGARLESCPFEAAGHTWRIMCFPDGAHGHAGFISLYLVLDDADAAAVAGDIHVEVKFSLVRQPGALGALWPAYGGRSTFIFNKKTVTRGFPKFIDKEQLEQSPGFFRDDGFAVRCDITVIGKAAEKEPVVQARDLKRLGVVCHCKDETCKRHHSEAARGVMWFREAFVKFFLGCFQFEGYKLLKKMHSDGQYVRSCAFEAAGHTWRIYCYPNNRYSGKSYICLSLVNEGFYAGNVQAEVKFSLLRRRGRPRSRSIVATFRAREPLGFYKFISSGKLESRRSGFLEDDCIAVRCDITVLEKSAEQATAVQARDLELLGIVCDCTDELCKRHHVRATPPEPRKSFKFFCFRS >Et_1B_012609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33686795:33693261:-1 gene:Et_1B_012609 transcript:Et_1B_012609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSELEGDELNKPDRRLLTPDNDILISLGEHSAKELIARYGDCRPAHGAEDEKRARINVISGEEDACRTSLEIIDRKRDTTEVIMDGTCCELNSDDTETWTAVAPGYPILPNSSHRDGSIYKGDDCWKKAYRIADRNETRLEAMMLSDPTEDCYFKDGICWLHTARHTLQFFSLKLSEILVDGDSVELYGYMAARDSFDQLLNYIFNCRRDDPLIIKQGSLLNLAGPKRGIELYDTIVIEYDMRIKIGETEMDDLQLIDGVSIFDHISTQNCRPFTCRIHGDYGAIDMTVARLNDSFEATVEVLISEVQGRFRMCLDCFTSGLDEEIRLFDGSIGDSRALKRSVVAVVMDTQIDLKFKVGADPSITTEHCCSFNANRHGHVIQEIKTDFALISVKTPVVLAIELIVATKVLPGSAFKALAEKSNQYKMGKRLQQT >Et_10A_000330.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:23284665:23284706:-1 gene:Et_10A_000330 transcript:Et_10A_000330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSMEFCLLTLN >Et_5B_044316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22567031:22570856:-1 gene:Et_5B_044316 transcript:Et_5B_044316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDRAVPCPRRRSEMSSRRRPRPVAEDLPCAPGAAKRGRAATAATSASSPWSSMPGDLVERIAERVLAGDPVDYVRLRASCRHWRACTADPRGRGVTDPRFHPRLWMMLPEGHGLYPGHARLRGRVRFFNRATGAFVSVHLPLFADHAALDCPDGLLLLQRDADTAVRLLNPFTGDIVDLPPLSSLIRQPRLGSEDSLRLFRRVCAAISVAPVTGTTTVLLSLEHCCRFAHACATDRSWTFASWRVNSVSRALAFHGSLYMVYNGGIRTILRLDPPPPPVNEDGDDSSSPGLAQPQMIATLPANLMILPELVDCDDEILVVGSTDISRSHLVVLRLADLLQQGRPAVPLNTIGDHCLFFGTRSLAVSSKGLPSIAGNAIILCSGISGRVQQYNLGDDSLSPACDGDIARTPPPSPHSIVHLGGRRKHGQSDIHEFLCIVVFNMFTAAALWGLWKLRNKGLIVDSFGHLLLFSELVLEGDEGAVETDPHNAEKLGDTVSNETSKVLSTEKATTGADLKTRKAMKMEAWGIRNPGDLDYHASPYSRGVFFVHWGKPSQEEQGHQALDKHDTMLDLGPLGQGIVILLSKSFVQQLLPEVFIEVRLRNVLLLFRNAKINTLGLNQNFGVQS >Et_10B_003637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4152755:4157535:1 gene:Et_10B_003637 transcript:Et_10B_003637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLLEGLAHCHARGVMHRDIKCANLLVSNAGELKVADFGLANTFSPSPTSPSSSSSSAAPLTSRVVTLWYRPPELLLGATAYDPTVDLWSAGCVFAELHLRRPVLQGRTEVEQIHKVFKLCGSPPDEFWRRPGVAHAAVFRPQHAYPSRLRETFAAAGMMPEHALRLLETLLALDPAARGTAADALESEYFTTAPYACEPASLPKYAPNKEMDAKFREDSRRRSNGRSHGGENAAKRLSRGHKSMVLTQDTNNQLRHGHGHGHVHAEESLPVAAAGDDDDGLAARRRGGGEPARLLVDLQPAPAIVSKRHDLGDGGLLAAPPCAGPMSRSFKEAAPARPAPLLSDGPAQLAASTGFAWAKKPRPDAVAAAATMTKRNSSKGPRPNSTGEADTATSTVAPYEVEKQEMIKQWAQVADAFSSSEAHNSSRFRQTLDVKQLKAGKVRRRWDHVHDPHYMLHFNALLKLDSVKYKGKVDRVDFSGPLLSQPRRIDELLENHEQQIRRAGRRSWFRKAKSVHVKVNLQVLSNSLSNTRTVKNLLKLLDGISNG >Et_2B_020859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24327495:24329669:1 gene:Et_2B_020859 transcript:Et_2B_020859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKFVSDNGKEKSAYQILQALDTLSQTLYKARANRRTTSLTLPRSAKDGYDVVEEEARPRRRLSPRSPLDQKEDDDEEEEEEEDDDDSASDKSQEGFAAGTPEDDAAADGENNGIISWDPIRVLFHISTHRSGCPSSMEVVAALGLLPPSMNVLRFAVNVRKEETRDGGADFDEAQICMRCSLYGTTGGGAAGKPLPLLLSSAIDAPQLDSLDCGRTTVDMSQFAMEFTEKCQQWDMVFPLAGKKNGDELFVKLAFQSIDDGDAGTYSQPAGEDKMSAFSSFARKLSISSISSMLNSMTMTHTRTPKPQLGVPAPDLEDSDSFMLVEREDAQDDDSELLPVFDVIVDKGVVGQEEKAELKEEADDADKESEDASVVPGDEVANELETKDLEEATTSDVSNTVADAMEPMDAKAAGSDQEVSVLADAVEPVDAEAAGWKEASVVANELETTALEEATPKDVLDAVAGVVEFVHAEEERPDSEEVSVVAGDEVAKEVAHELETKTLEQGTPSDVLDAAVPKQREGKGKAPCKPSRRRRFGWRIIAFLVTPVAVLVTKNRDQIINSSEGARALHMSTNQK >Et_6B_048250.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18542902:18543461:1 gene:Et_6B_048250 transcript:Et_6B_048250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFQGSLPAFRRAVASCLREVGYDAAVCQTRWRGNQDVSAGNYEYIDVVTMASVKATATSERWSSFPGPSHSSCCLSEGGATIGEGGCQGSTAIAEWKKRFMAAKWLGPHHRTPDMAMGPRVPSVAAGEAICRTVGFASAPSYPPCLSYALR >Et_10B_002933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14084363:14092885:1 gene:Et_10B_002933 transcript:Et_10B_002933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAPCGFAGKPPRARPLARISVRPDSSASCFVQKAAGGLLGATTVQVPRHRNKARNGQAGKEQGAVATASSGDQTKGGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGAIELEGLEKKAKASGACQLVVKDLKEEFVSEYIYPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFYALNPELKVVAPWREWDITGREDAIEYAKKHNVPVPVSKKSIYSRDRNLWHLSHEGDILEDPANEPKEDMFMMSVAPENAPSEPEYLEIGIIAGVPVSINGQDLSPASLLAELNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTIMAAAVRELEALTLDRETMQWKDIIALKYAELVYAGLWFNPLRQGFDALMEKLTATTTGSVTLKLYKGSVSVASRKSPYSLYREDISSFENGEIYNQADAEGFIRLYGLPTRVRAMLEKGL >Et_5B_044415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23934086:23950682:-1 gene:Et_5B_044415 transcript:Et_5B_044415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAAGGRSCRRRAIEVLAAVLILYGLLVLLLESPHLSTSSASGGAGGEVGGGSAARKLHLSAGSGPARPDKEPLPASVSGPSALSRFVSGLGLRLLDSPRSGALRGPISDAVAAGARKFSELEDFLERGRVVELPCGLALGSHVTCEKWIRDGEEHSEESKTVWWLNRLVGSKKEVNFNWPYPFVEGRLFVLTLTAGMEGYHVSVDGRHVTSFPYRTGFVLEDATGLSLNGDLDVQSVFAGSLPTKHPSVAPQSYLDFSTFWQAPPLPDGPVEIFIGILSSGNHFAERMGVRKTWMSSVRKSSNVVARFFVALHARKEVNLELQKEAEFFVDIVFVPFLDNYDLVVMKTLAICDYGVHVVSAKYVMKCDDDNFVRLDSVVNEIKKVPSGRSLYLGNINFNHRPLRHGKWAVSYEEWPEEEYPAYANGPGYVISADIADFIMSGYTKQNLRLFKMEDVSMGLWVEQFNRTRPVEYIHRVGKSCLLLRFSDDTFTTSFITTIGIDFKVRTVELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKVDMDAKRVISTAQGQKLADEYGMQFFETSAKTNQNVEQAFFTIARDIKQRLTETVAATNEPAPRKKRMLRAGLLAAAAGYFAFVLFFEFPLLPFLSSPSTTSSSAAALPFQHPRRRELEAAAAAFASPFSPARPAKPAFPAAAAPAPAAASPALPIFSSLLVLPRPNATATPFDATAADAFAAAKPHLDHLDRVSLASSATPAASPPPKCPASISVHRESLPADGVRVVDLPCGLAVGSHVTVVARPRPARPEYDPKIAERKTGEAPLMVSQFMVELVGTKAVDGEAPPRILHFNPRIQGDYSRKPVIEMNSCYRMQWGQSQRCEGFASRPAEDTVDGQLKCEKWIRDDDNKSEESKMKWWVKRLIGRPKDVHISWPYPFAEGKLFVMTLTAGLEGYHVNVDGRHVTSFPYRTGYTLEDATGLSLNGDIDIESIFASSLPNSHPSFAPERYLEMSDQWRAPPLPTEPVELFIGILSAASHFAERMAVRKSWMMYTRKSSNVVARFFVALNGKKEVNAELKKEAEFFRDIVIVPFIDSYDLVVLKTVAIAEYGVRVVPAKYVMKCDDDTFVRIDSVLDQVKKVQRDKSAYVGSMNYFHRPLRSGKWAVTYEEWPEEVYPNYANGPGYVISSDIARYIVSEFDNQSLRLFKMEDVSMGMWVEKFNNTRRPVAYRHDVRFYQSGCYDGYFTAHYQSPQHMICLWRKLQSGNAQCCNVR >Et_4B_036039.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:15711424:15711924:1 gene:Et_4B_036039 transcript:Et_4B_036039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCAAAAPTRCTHASAARASRRTRPPCGPATRASRSPPPTSRTLAALNSLGDCIPSASNSNTSTRGGALSDCAEAVAAAADQAAHAAERLDGVERAVGPDVLWRVDDAQTWLSAAMTYEDACADGLRPGRSAPAPVRAELRARIRRAKQYTSIALALVNMLVRNP >Et_7B_055805.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5064621:5064980:1 gene:Et_7B_055805 transcript:Et_7B_055805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPEAGRPRRSELYAAALSAADAASWWCAVALVSLVLLGALRAESTADDGEQFRGPRLGGPAARPCEEVYVVGEGETLHSISDKCGDPFIVERNPHIHDPDDVFPGLVIALRPTKNT >Et_10A_001972.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:1640252:1641328:1 gene:Et_10A_001972 transcript:Et_10A_001972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYASSPYVPSLLLLLSIPAVFLLAPRLIPPRTLPAIPDAHETEDLALFRRAVLLSAAPSGSSSSSSPSSGGFGAHRRQSKVAFLFLTNSDLVFAPLWEKFFAGHSALFNLYVHADPAAELTLPATPSFRGRLIPGKATQRASATLISAARRLLATALLDDPDNHFFALLSQSCVPLHSFPAFYRALNAGAPRSRHRSFIEILDDEPTLHDRYYARGDDVMLPEVPYDRFRVGSQFFVLARKHAIMVVRDRRLWNKFKMPCLVKRKDSCYPEEHYFPTLLDMQDPAGCTKFTLTRVNWTDMMDGHPHTYQPEEVSGELIKELRKSNGTYSHMFARKFAPECLGPLMKIADSVILRD >Et_8A_058266.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:3797990:3798415:-1 gene:Et_8A_058266 transcript:Et_8A_058266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGSTVRSFVEDERAFNSSVDGRFAALDADRDGLLSYAEMAGELMSLRVLEKHFGVDDAGAVAPGELAALYRGLFARFDRDGSGKVDRHEFRAEMREVMLAVANGLGFLPVQMVVEEGSFLKVAVDREIGQLAKAA >Et_2A_015491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13724978:13729280:1 gene:Et_2A_015491 transcript:Et_2A_015491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIVGSPGTRSGLALRVSQVVCALGSLVAMGNAFGFSNCTAYLYLTFAMSLELLWSFILMCIDIHALRYNRDLHRFANAWKYVLGDWIFGVLAFAAASAAGALDILMERDVQFCNSYPYLACSRYRISVILTCLKAEHYHFWQAQHTKKQWDDEGCGGQPGDVERLSQCLVVILISLSLSLSATCSSYLNISMELQFFWSFILACIDILSLVTKKYLHSPFHVWSLLVGDWVTSRSRACDQYELSIALAFMAWSFVAASATSLFWLLVSF >Et_9A_061808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16724038:16725984:1 gene:Et_9A_061808 transcript:Et_9A_061808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IDFLSPHIETIKKQTSRGNEENGGQNGSRGGINSEMSKFNSAANDFCDRFATIGFNANLITYLTKELHLPLVEASNTLTNFHGTANLTPIVGGLIADSFAGRFWTIAAGSLVYQLGMASLTVSALVPSLRPPPCSGSGSGEAACPRASAWTLLVLHLSLLCTSVGTGGTRPCVMASSSRDRDTGAAAAAAKTTWSFFKLYFFGVELAKLTAVTAVVYIQENVGWGWGLGVPTIAMLVAVTAFVSGYPLYVKMAPGSSPFTRLAQVAVAAYRKRNVTMPKDPNILYQDKELDAGIATAGRLLHTNQLTFFDRAATVTDGDLTSSGASRPWRLSTVHRVEELKSIIRMLPIWAAGILLVTSASHNHSFAIQQARTMDRRIITPRLEIPPASMLIFSNVAMLATLALYDRALVPGLRRLTGHPAGITHLQRTGVGLAISTLSNVVSAVVEGKRKRAAARHGLLDRPGATVPMSVFWMAPQYAVHGVADAFMDVGRMEFLYDQAPESMRSSAAALYWLTMSAGSYMGTLLVTAVHEMTKGDGEWLQDNLNRGKLDYYYWLVVTLQVINVVYFVICAKLYTYKKLETVGQESSTGEIDEKERDEKDVELQPLLPSDHRP >Et_3B_029316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2413308:2418715:1 gene:Et_3B_029316 transcript:Et_3B_029316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEHPLLTTTPGASTSEDAPSPRPPAASLLPPAQPEPPLRADRLAFSFEVPDPFRPSRSGDGPDPSVSSQREREDGDDGSRAVTIGDPSTAAAAFAGNAIRTAKYSVLTFLPRNLFEQFRRLSYVYFLAITVLNQLPQVAVFGRGASVLPLAFVLFVTAVKDAYEDFRRHRSDRQENNRHAAVLVPGTDREFQSKKWKHIRVGDVVRVASSETLPADMVLLATNDPSGVAHVQTVNLDGETNLKTRYAKQETQLRFSQDGSIGGVLHCERPNRNIYGFQANLEIDGNCVSLGPSNIVLRGCELKNTTWAIGVVVYAGKETKVMLNNSGAPSKRSHLETQLNRETVILSIMLIGMCTTASVLAGIWLLNHRADLEFTQFFREKDYTTGKNYNYYGVGMQIFITFLMAVIVYQVIIPISLYISMELVRLGQAYFMGADKDLYDESSRSKFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIRGVDYSFGKDTAGYSVVVRDHLWTPKMAVKTDPQLVALLRNGSTNEEAKLVLEFFIALAACNTIVPLVLDTRDHKQKLIDYQGESPDEQALAYAAASYGIVLVERTTGYIVIDVLGDRLRFDILGLHEFDSDRKRMSVIVGCPDKTIKLYVKGADSSVFGITNKSSEVDIVRATEAHLHKYSSLGLRTLVVGMRELSQPEFEEWQLAYKNASTAVLGRGNLLRSVAANIECNIHILGATGIEDKLQNGVPEAIESLRQADIKVWILTGDKQETAISIGYSCKLLTNDMTQIVINNNSKESCRRSLEEALATTKKLRDASSINTQNSALPSECSSVTLALIVDGNSLVYILETELQEELFKLATECSVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFSMGQFRFLVPLLLVHGHWNYQRMAYMILYNFYKNATFVLILFWYVLYTAFTLTTAITEWSSLLYTVLYTSLPTIVVGILDKDLSKATLLAYPKLYGSGQRDEKYNVNLFVLNMLEALWQSLVVFYIPYFAYKRSTIDMSSLGDLWALAAVIVVNMQLAMDIIRWNWIIHAFVWGTIAATTICLFVIDSIWILPGYGAIFHIMGTGLFWLLLLIIAVTAMVPHFVIKAFMEHFRPSDIQIAREMEKFGNVNQVNRSEIPMRGLS >Et_1B_013383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:809460:816511:1 gene:Et_1B_013383 transcript:Et_1B_013383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRRGDLALAAAVAAFATVVVAAAGVGGAEFDYRKLSGIIIPGFASTQLRAWSVLDCPYSPFDFNPLDSVWLDTGKLFSAVNCWLKCMLLEPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWCVEFGIEANAIIAVPYDWRLPPSMLEERDLYFHKLKLTFEIALKLRGGPSLVFAHSMGNNVFRYFLEWLKLEIAPKHYIQWLDEHIHAYFAVGAPLLGSTEAIRAALSGATFGLPVSEGTARLMFNSFGSSLWLMPFSKYCKADNIYWKHFFEGKGGCAHRQQCDEMEYISDYSGWPTDLVSIEVPTVREMSAYPSITDITENMTSIMECGKPTLLSFSAREVSDGTLFKTIEDYDPQSKALVHQLEKYYQGDPVLNPLTPWERPPIKNVFCIYGIDLKTEVGYYFAPSGKPYPDNWIITDVIYEFEGSGNSVSGKPNNISGDGTVSYNSLSWCKNWLGPKVNITRAPQAEHDGSDLQTSMNIEHHHSQDIIPNMTRAPHVKYITYYEDAESIPGWRTAVWELDKANHRNIVRMPVLMRELWLEMWHDMHPESKSKFVTKETKIVAGTMQRLGVAFQNTANTDFEILHLTSFNNISEVCQFRYTFGDVHLGMSCRLRNTSSSFISFFPVAKTTPDMFFVMFIGVHKKKL >Et_4B_037413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19640776:19642021:1 gene:Et_4B_037413 transcript:Et_4B_037413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTLDAVKFESVLCSTSSLLPLQEGRGEEGGMLEAMNVLLSAVASDLVGRLVSFLIGRYREATAAADTTARLQRSLLRARMVIEEAEGRQIANRAMLLQLCQLRRELCRAAYAFMCEKPGSHAAGHEGVGRAPGRLPSSHQAAVQRLPGDGEVHVRAANGEGTSCLDVLPIIGPHEVGKRTPVEHVCLDERVRERFATVHRLRSDQLIDLIYSHEHHHNHLTTARSLLLVIDMVDGDVADAEAPEESWRRFHSAIIDPPPRGSEAQSNKVILISRTEAHSSLGTVPPLRLRAPTRDELWYFFRALAFGAADPGDRPELARVAMALCAGISRFAPYIIAALLRRADDLGLGVRSWRRVLKVCAEATEGFEAGHYFLISRQAGEGHAGRSVLVLQQG >Et_7A_050379.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:11076595:11076609:1 gene:Et_7A_050379 transcript:Et_7A_050379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAL >Et_7A_052837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1899760:1901421:1 gene:Et_7A_052837 transcript:Et_7A_052837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAISSRFPAGGIKTLSTTEIFRVSKYSKAALMPPGQALRSKPFDFHGRSWRIKVYPAGVDDASRDFVSIFLKCRTQAFTCFTASITMEILDSSGEHTVFDDATAALGVAEADEGFSKGHARFAKRRELEVSDCVGDDDSFTIRCTLEVGAKVPKPSFSMPRIFTGKGDDDMDTLPSPRLGRFLEAPAAAADMALASPTAESAEIVTGSQKLVIDRYSHKKFLLAFGASAWHVKVYPNGYGDSGRNTLCCVLARGKSVDVETTAEFTFRLEALNGDLIGKSDKVGLKLARAAAPYHDMVFVRCGLGVFRGPPPSPLLAEPPRVAVPPRDRGGDFLWLLNSEEFSDVTFAVGGYTFRAHSCVLAAVSPVLRAELRALRDDPDCAWRYIEVDPEAVPPEAFEALLHVAYPDHLPDIDRLAPTDERVEAFVLTAEKLKYRTEEWVCTFVTVYTVAEFLSMAVRYDCQLLRDACVQFATPDHVWKLVKESEGFKQLRASCPQIVRQIESKQRKH >Et_1B_010124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25023501:25023971:1 gene:Et_1B_010124 transcript:Et_1B_010124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSFRCASAVEAKALACIEGLQLATEWSQGRVIIETNCARVAAAMKAKKRDRSEISFVNRERNKVAFELACLARRNLHIAVWLGQAHVCVHDLIHSDCNTPISNKAPFLLKEMQCHHRLRNRCSDNTDQVSRKQSSSR >Et_4A_034275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31337210:31341178:-1 gene:Et_4A_034275 transcript:Et_4A_034275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSCGMAYAAAMFVLLSASSAHCRPNLLGAETSSRIYPHNLTNISSNATAVASTTLDDRKLKLIFCKKTKCGKFPWVDCYCCINQMPKEVCSYKLDECRPNMIGAETSSKINISSNAAAVASATLEDKKLKLIFCQKTICGKFPWVTCYCCRNQKPEQGCYYKLDECRNSCPVCNPECPP >Et_10A_000858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18262026:18265402:-1 gene:Et_10A_000858 transcript:Et_10A_000858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKPILYSAWISSCSFRVRIALNFKGVDYEYRAVTRTDPDYERINPIKYVPALVDGDFVVSDSLAIIMYLEDKYPQHPLLPQDLKKKALNLQIANIVCSSIQPLQCYAGLVDGKLGSNESLQIVHHYIDKGFKAIEKLLEGCDTKYATGDDIQLADVFLAPQIHAGVTRFQIDMSKYPILERFYKAYMDIPAFQVAVPEKQPDAPSA >Et_7A_052688.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:12724604:12725002:1 gene:Et_7A_052688 transcript:Et_7A_052688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNYVEYHVLRSGGKRKRCFNFLCHGFVQTSNKIALGTSFINGGSSITYEGVPYVAMSIHKVPGQQQWWVSVNDTVIGYFPHTLFPSFFPESYVNQLGGIVHNSRPNGVHTDTVMGNGRTPESGNSAVIKG >Et_3B_029632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26621401:26624836:-1 gene:Et_3B_029632 transcript:Et_3B_029632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAIAAFSLLLFVAAHGAAPALGFTRSDFPEDFVFGAATSAYQYEGAVAEDGRSPSIWDTFTHAGKMPDKSNGDVAADGYHKYKDDVKLIADTGLEAYKFSISWSRLIPNGRGAVNPKGLQYYNNLIDELVRHGVQIHVMLYQLDLPQVLEDEYGGWLSPRVVEDFTAYADVCFREFGDRVSYWTTLDEANIAAVGSYDTGQIPPGRCSDPFGITKCAAGNSSVEPYIAAHNMLLAHASATRLYRGKYQEKQKGAVGINIYAFWTYSLTNSTADLQATKRCQDFFFGWILEPLVFGDYPLVMRKIVGSRLPSFTKVQSEAVRGALDFIGVNYYYSLYVSDRPLQKGVRDFTADLSAYYRGYATSNGSLNDTDRMDYMKKHIRSTLTALRNGANVKGYFAWSFLHVFEFLTGFKSQYGLYRVDFDDEALPRQARVSARWYSRFLKNKGIRMEDEVNNAGSTAQQ >Et_7A_052342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6993392:6997972:-1 gene:Et_7A_052342 transcript:Et_7A_052342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAQQSFRTAASRFTSSTGEAAASSPVAVEDKVFVAVPQELKHGKSTLAWALQNLAKDASRCTIIIAHVNCDKVCIQKDDIAEGILELINSQGITKLVVGAAADKNYSKTMKAPTSKTAVKIMEGAAPSCKIWFTCKGFLIVTREAHAEVPAVPSSPAASNTALLPAFSISSQMRSTMIHRLENEPSSSNVKTLSDLGRSRTDLVFSPSQRTGHTLLQQFEHLETNLDDKTRIPGSSEDFSIDSSRSQNSGDSSSPNGDAASILGTTVSVNDDIIKVGSTTYLSTHNSHEHMSSASHQLDIPKEMLVEIEFLKKEMHEECNKRRNAERELHSAFQKIKELENSYMHELKQRKTLEEIHARQRQEIEGMRRQQDESYAALYNANEQKLTLEQRISEIELYVKDNEDKLAATKHKVEVLQANYDRMLHERDAAIREAAELREMNQHGISAPPEALNAKFSLIELQKATQGFDPTLKIGEGGFGSVYKGFLRNTTASVLSTVRHPNLVTLIGTCPEAFGLVYEFFPNGSLEDRLACKNNTPPLTWQTRTRIIGEMCSALIFLHSNKPHPVVHGDLKPDNILLDANYSSKLGDFGICRLLVQTNTCSTTLYRTTNPRGTFSYMDPEFLTTGELTPRSDVYSFGIIILRLLTGKQPQRIAEIVEDAIEKENLHSIIDATAGSWPFVQANQLAHIGLRCAELSRRRRPDLTVDVWRVVEPLMKAASMTARPLSRSTPTDDACIPSYFICPILQETMNDPYIAADGFTYEGEAIKGWLDSGHSTSPMTNLKLQHHQLVPNRALRSAILEWQQQ >Et_7B_053358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12993282:12994028:-1 gene:Et_7B_053358 transcript:Et_7B_053358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQHPRPGYPRPGSCSVPHSYKKAKKGAKQNESCRTSEEKDWKHATCSICLERPHRAVLLLCSSHNKGCRPYMCGTYYNHSNCLKLFKNAYSREKPAHEISTMACPICRGEVKGWTVVEPARRFLNRKRRTCMHEDCSFVGTYKKLRKHVKSKHCSSKPRKVDPVRMAEWEEFECEKERQDAISIVSALNPGSVIVGDYIIDPNSSSSDSFSDDPYDEDDTDSDISFGSNDLFD >Et_3B_029504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25538077:25539935:-1 gene:Et_3B_029504 transcript:Et_3B_029504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPILASLIHGIASLLTTGVADQGQRFKAIHRDVCWLRDELHSMQLFLHEMEACGSEGSVAREAWIDQMRDIMLESEDAIDVFDASPVRGCCVLTNLGARSEVGARIRRIRTQLSEISRRRLDYATPNLVDSSDKRIHDLLASSPMIHDKDTVGLDRDLDELLQHVLAGGSELSVISLVGMGGVGKTTLAKKLYNHPDVKKHFNRSSWVYVSNTMELRGVLHEMAKVLMSIASAEASSLSEKQLQELLLSGLHGSRFLPVLDDVWDKGSWDVIKLVLPRNSKGSWVLVTTRNTIVAESVVEVKSHCHRLQPLTFKDSYDLFCKRAFVKDGICPDDLTETATNIVKKCAGLPLAITGLLTRAHARASNIAAGSMMSTKETTDTEWSRVLENIQKKR >Et_6B_050169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8412137:8418175:1 gene:Et_6B_050169 transcript:Et_6B_050169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALCDDLLQEVFRLLPPAAAPAVSLVSRRWLALLRSATSSLTLRLPASSDGAPAAVAATLAALLSHYPYLSVLTVVAAASPAHDVDALLFAVVAAPAAARLSVLRFLPDSAVSTAALLAACPALSGLTSLHLTAVRPLYFRWLTFLPHLKSFALVNSAATVDSAGSSSDDADCDGEATGPLPLERLSLCGIRSGDRGLGWLWRRCRSLKWLQLRACDGTGDGPASVGFVGCLFGLLALELRACRPVADRVLLLAADHCRALTSLLVYDGGSSEALHRFIHQRGAGLHALDLRLPLDLHNDHLLAIGAEQIHHGEEATCSLATLRLQSCVLITGDGLRSLARTATGAGIEELALVSCDVVEREPGLLTFLSQSMQRLRRLDLSYNETLNDKVIGAMLSSCRNLVDIRLRGCRGLTGVSLVSLLRHCGQSLQILDVSRCLGITVGDVELFAQRATQLNSLIIEENLISEELKCFGDLEPMEGGHEEQQQARVLWKKGANEISERIVSASVTANLIIYLTTKYHLGAASSAIIIFVYQAAANFLPFCGAIVSDALLGRYLMGSTLLCLTSVIPSLTPPDCALPNQVCSSPSALQLFALCAALGFMSLGASGVRPCCLAFAEDQIAHWDAARKGRALRSLFSWYYVSVGFSQMLAVTVLVYFQDRLGWNVGFMVSASTMALMTLVNLAASPFYVKVKPQKSMWASLLQVVVVAVKNRHLTVPESNHGVQFHSIAASSQLVPSEKMRFLNKTCILRTQAGNTNNETTGNTSSWSTCTVEQVENLKSALSVMPMWSAMIVSFLVQSSSFGVLQAATMDRRIGTTRFEIPAGSISIFEIITFTVWSGCYDPYVVPLLRMLTGRQRVLTLKQRMAIGVSLCIASMAVASAVEARRREAAARHGGALRMSALWLVPQYVLSGLSGAFGAIAQIEFYYAVLPKSMGSLVLALLFFGAGVASIMSTVIVKLVNVVTAKGGAAAPWISDDLNRGRYDYYYLLLAVLGAIDLVYLIVCACIFDETPQNMSLEAGDDVEAKEMVQLHA >Et_9A_062205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20692255:20693551:1 gene:Et_9A_062205 transcript:Et_9A_062205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGKPKPAGPAPPPPPPPPEAKKTFMRRMFPFLLAANLFVGAYVFVRTYQKDSGKKDAATSIASTSSAAATADKPAEPIPAPRRVLPPIPEDEQRQLYKWMLEEKRKIKPRNAAEKKKLDEEKAFLKEVIRAESLPSL >Et_5B_043469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10943388:10953039:-1 gene:Et_5B_043469 transcript:Et_5B_043469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESCGSRGASPPPPPSSAAGGGAAGRRRKAEVYAEVLRRIRAGGYGGTRPGLDDELWAHFQGLPARYALDVNVERVEDVLLHKKLLEEAREPMNGLVFDIRFSQVVSLEEESTGIESSTYIKQEEQDPQCSSFASRDLRPFHEIIFACDDKPKLLSQLTSLIGELGLNIQEAHAYSTSDGYSLDIFVVDGWAYEVDALRSAVRKGVDKIKYRAWPLVQSMPVMMGHQLPEDSPSPDFVQIPADATDVWEVDPRLLKFEQKLASGSFGDLYHGTYCSQDVAIKVLKPERVSVDILREFAQEVYIMKKVRHKNVVQFIGACTRPPVLCIVTEFMHGGSIFDFLYNRRGNFQLPDVLRIASDVSKGMNYLHQINIIHRDLKTANLLMDDQVVKVADFGVARVKDQSGVMTAETGTYRWMAPELPYEDMTPLQAAVAVVQKDLRPIIPSDTHPMLVNLLQKCWQKDPALRPTFAEILDILNTIKEAVQRSGHYKRQQGQSHTGRRRGS >Et_4A_034599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4783027:4785225:1 gene:Et_4A_034599 transcript:Et_4A_034599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLCALLDDDVRVVASTGDKTPGGLGISYFSSFRPPPNTEELNPWSMKVKGLAEWVADGKVRLVVDVPLAPLEMYTASLHLPATPREIFLQMQGVRVENVVENLIVVSTCPRLREFRGSGEYMVYNADTGTLLLAPRVNWGLFEELFLTRRVVMHRIDNTNFRLIMLLRYRRTSKPFVLVWRSSTSRQWEQKEVCLHDDVAQYPFAIDEAFYFKDRWACWVDIDWGMIMCDMSSDGLDCRFVPMPEKYKVTNPQMARGRPEEYSTVAVVNGEIKLLFMDGYDDERVPRDQVTDQDMVYIFTSDIRSVPGDIRTEGEYVFGLNLKTKKVQLWSKCPPERSYMLFPSYIATEFNSRQI >Et_2A_017168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31662912:31669295:-1 gene:Et_2A_017168 transcript:Et_2A_017168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPLDASPAATSAAASAGGTAVAPALRPRREAFEYGLLPIPKLVFPEGTLTQTLSQLKERLAPAGARVGAVALAEALQIPFEQAALALGTLAAVLPAEDSDLGGDGAGEADLRDLLLFLYIQSYKRLVPRANKDSPAVADVWPSTSAFDGYLSVLSPIQLVRSNSRRFIPSQADDEAHQLSYLQKHMANILTLLADSVDGEGDDSMVLTMETFEHLGFLLQFPEGTPLSQAASFFANSDPDMPAAPVPAAVVHEWILQHITSSLECTAKENSQQTVSDPDVTMADAVTNTRNQSSVPTVTSSGHYRSTTFVEGLSKTSVVKQTSDMKGNSIKVLNCHDSVIYILAPLSYATVYGCSDTTVVLGAIGKVVKVEHCERVQIIAASKRIAIANCRECVFYLGVNHQPLIVGDNHKLQVAPFNTYYPQLGEHLAQVGVDPSVNKWDQPFVLGVVDPHDSLSHPAGVSDVQAESATCLDPDLFANFLIPSWFESQGATKYNPFTLPEVYWASQRKKHASLEDIQKNIRELELDDNRKKELACALHAQFKDWLYASGNIRQLYCLQGAVAGKERAHFAFAIVWGDRAPALIRIRLYCCWLQIDIDSVRRRA >Et_9B_064797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18666826:18670782:-1 gene:Et_9B_064797 transcript:Et_9B_064797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTASALLPSPLPPSFLRFPARRAAFCVRPLSQPPRAGRPRLQAPPPPPPPLEEVAEEQDATPPLRLLEPPQEDDPFPPEMEPADPDFYRIGYARMMRAYGVEFLEGPDGMGVYASRDVEPLRRARVIMEIPLELMLTITQKKPWMFFPDIIPLGHPIFDIIESTDPETDWDLRLACLLLYAFDVEDNFWQLYSDFLPSSDECTSLLLAPKEDLMELEDQDLASQMLEHQQRAIDFWQKHWDKAIPLKLKRLAPDHERFLWALSIVQSRSVNLKMRMGAFIQDANVLAPYADMLNHSPDANCFLHWRFKDRMLEVMIKAGHAVKKGDEMTLDYMSGVNSKFMERYGFSSPTNPWELINFSSDAKIHMDSFLSVFNIAGLHDELYHNSALPSVATDFVDGAVVAAARALPTWSDGDVPAIPSMERKSAQVLQEECRQMLDSFSTTIQQDQEILDSDVHISKTREIAVKYRLHRKLLLQKIIESLDIYQDRILF >Et_8A_058423.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:7099298:7100155:1 gene:Et_8A_058423 transcript:Et_8A_058423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAIAGKRRTARVMTVDGATRKYRAPATAGDALRDHHPGRHQLLESEEVRRLGVRARPLDPDAPLKPGKLYFLVELPRLAAAARAPRRTWSGALTYGGGGCSGAGERLESLMLARRSASDVAATVKAMAAVAVGVGAESPAAASVEAGEDGAVRLRVRLPKAEVARLVKESRDAADAAEKIMQLCVDRDQDRRRGSYSSAPATPVLRLPPAPAPTAAVLPLPAIASAHKKTNSAAGAKKEVRAHYAILLQFNLLFTLFSRYKSYDSSRNVRQLFLSHTCKNMRV >Et_1A_005219.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:33545915:33546145:-1 gene:Et_1A_005219 transcript:Et_1A_005219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARVGLLAAVPPGGREAGGVRGDSAGDGDGGDSPVPRRGAAEGPRAGAARLRRHARRRRRRLHPAFRRRCHEVLGLS >Et_3A_024399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20443185:20447263:1 gene:Et_3A_024399 transcript:Et_3A_024399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFARALLLVVGVLAAAAAAAAAAAAAAEGAGSVCFDRVFSFGDSLTDTGNFLLSVPEDFPDPARHLPYGQTFFGRPSGRYADGRNLLDFFAEAFGLPYVPPYLGGGDFPHGANFAVGGATALNSSFFRELGVEPTWTPHCLDEQLQWFKKLLPSIAPSEPERSAIMSKSLFLMGEVGGNDYNHLIVRGRSLDELHELVPNVVGAISSAITDLINLGAKKLVVPGNFPIGCVPLYLAIFPSQKEDYYDEQTGCINWLNEFTEHHNMMILEELEKLRSLYPDVTIIYADYYGAALDIFRAPLKFGFTVPMNACCGSDAPHNCSLSIMCGNPGSSVCPDPSKYISWDGLHFTEATYKVVIQGVLGGYASPPLSEICQGGEYRVSQLHQCTDNPTNTVTYDALSSFI >Et_7B_055240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8673109:8676282:1 gene:Et_7B_055240 transcript:Et_7B_055240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEENAESAKLHYLLPLFLTQSITVELRLYMHCDACERMVRRSVKKIEGVEAVEVDREENKVTVTGDFETEKLLKKIKKKTGKRAEILVPDQNEEEGKGEEPEPPSEDLVQEQETYVHEYQTYVVHEYQNRWQETWDLHYFDDENAEGCVDVTDAATRNILYLQKKNRCKASQAITCLRLENHANLALVLVVPTVLSLTGFLTCLCGCITLLCFSLVLNSLSFSFDKSGIHVVAVLLSYNLLQLEFLIKKIDKLFLHLFGKLWSSGTMNFKATGEAKPVIEKLASPEKEKQCKILSALRALDAIRNFQAGSSSSPGAMDLRERGAGQSQVQGGHEGAEEDAATAAVAGEEEENPFL >Et_5B_044404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23880676:23882389:-1 gene:Et_5B_044404 transcript:Et_5B_044404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADYSGKLFVGGISWETDEDRLRDYFGRFGEVTEAVIMRDRSTGRARGFGFVVFSDAAVAERVTMDKHMIDGRMVEAKKAVPRDDQSVVSKSNASSIGSPGPGRTRKIFVGGLPSNVTEAEFRRYFEQFGVITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKNFHELNGKMVEVKRAVPKEQSPSPVTRSPAGGQNYGISRAHSFLNGFNQGYNPNPIGGYGMRVDGRYGLLSGSRNGFSSFGPGFGMGMNLESGMGASFGANSGFISSSNGRQTGSYYNGSSNRLASPIGYLGLNEDSGSMLSSMARNVWGNGNPNYAGNPTNANAFASPGSGGQVGINGDTWGGFSSAHGMGNLSSLGSGNLGRGAGDNSFGLTSGSYGRTNSTGTIGEPFPTSGNTYEANNPDTYGSNSIYGGTAWRYAASEVDMPPFGHDLGNGDPNVKSELSASYMGNYTVSNDQPSRGQLLFSTFL >Et_2A_016860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28949706:28954326:1 gene:Et_2A_016860 transcript:Et_2A_016860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLQPQIILLKEGTDTSQGKAQVVSNINACTAVADTVRTTLGPCGMDKLIHDDKGGTTISNDGATIMRLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAAEFLKEAKPYIEDGVHPHSLIRSYRTAGHLAIEKVKELAVSIEGKSLEEKKSLLAKCAATTLSSKLIGGEKEFFAPMVVDAVLAIGNDDRLNLIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPMQYQSIVDAEWNIIYDKLDKCVKSGTKIVLSRLAIGDLATQYFADRDIFCAGRVAEEDLQRVAAATGGTVQTSVNNVVDEVLGSCEVFEEKQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVPGGGAIDMEVSKYLRQHARTIAGKSQFFVNSFAKALEVIPRQLCDNAGFDATDVLNKLRQKHASGEGANYGVDILTGGIADSLANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGDAAAMGGRGRGGAAMRGRGGRGMRR >Et_8A_056459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10421539:10423920:1 gene:Et_8A_056459 transcript:Et_8A_056459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLVCIRPLAAGVSRLARFLLFPPFSLYHLLDRKGKTPRAEWKSSGRKKRNHQILKLRWLPPPDDWVKGNVDGAYSPDDGKPGLRVVIRDPNGQVLLSAWRVLFRCASPKEAEAEACREGIRLAAE >Et_2B_022635.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28735876:28736571:1 gene:Et_2B_022635 transcript:Et_2B_022635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSSSFSLLGCLHRERHTTHVAARLARLRFHRAKHSACTAFRHVLHVSSSACCAGAMSSRHTGHAAPSSRPATDDASCRPPAPPLAGARADQSWWNLSRARAPASTSGNVGVPTSRATWQGRSDCLHTQASSRERPRTRSSTKRMCLQGTAVSGHVHDGVVDSVTVYTFPVAPSTKNSSPARCSRRSWCCSARMMRLATRRVEASSAPVLDTADSIVSIGHGTARARNDR >Et_6A_047845.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20407567:20407803:1 gene:Et_6A_047845 transcript:Et_6A_047845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEDRISGLPDEMLHCILVCLGSARSGAHQRALPPLAPRLDEPARAPPRWRRGDPASSLVPGRCLRRPRRLLCPDP >Et_8A_058058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16716855:16718192:1 gene:Et_8A_058058 transcript:Et_8A_058058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIWHSLDQAIMLSPDQCGLYESMVSHHSSTTAPTPTPEQGSKRPGGRTPAGPRQSKQSADHVENERQRREKMNRRFCELRAAVPTVSRMDKTSLLADATAYINQLRARVAQLEAQQQATQQLAAGAARLDYSSVPAASSSSPCPAGVPDEVVQVRMAAGAEVAVVRVTSAAQHAPASLMAVLRLLDLQVRHACVSRVNGITIQDVVVDVPPGAGALQDELGLRSALIQRMQYSAYASCQLSFIIAQALRQAEPCGDQDGARALSTVVL >Et_6A_047139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25813739:25814307:-1 gene:Et_6A_047139 transcript:Et_6A_047139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALNNDELAAVLCRLPASSLAASRLVCKAWRAVVDERRLLLPYILPCSVRGLFFIDYQDDFFTRPAVPATASPWIDDGEFGFVEEENMARWSRVDLHTCSGLVLYWDDDAVSFYVCDPVTSQCKRLPRCSHDIWRWKRGRKLGLGSDGECSGNGFDRVQDIHSFRHSKRSKKSCTLY >Et_4A_035800.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:6088757:6090133:1 gene:Et_4A_035800 transcript:Et_4A_035800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYQELPCGGQVLDIDTALKDGILGGAPEPGDAAADAGKQPLELRKMMDELDAAGDGGGDEAVPAVFICPISLEPMVDPVTLCTGQTYERANISRWLALGHRTCPTTMQELWDEALTPNATLRQLIAAWFSRRYTRFKKRSADFHGRAADLVHGLRGTAVPRRQPLKGQARVAALRELRSLAAAHQSVTKAIAEAGGVTLLTSLLGPFTSHAVGSEAVAILVSGVPLDADAKAALMQPAKVSLVVDMLNEGAADTKINCVRLIRILMDEKGFRPETVASLSLLVGVMHLVRDKRHPDGVVAGLELLNSICAVHRPARTMIVSIGAVSQLVELLPELATECVEPALDTLDALSAVPEGRAALKGCPRTIPNAVRLLMRVSEPCTRRALSMLWVVCRMAPEECAPAAVEAGLAAKLLLVIQSGCAPELKQKASELLKLCRLNYTDTLFISKCKLTRTIQ >Et_1B_012379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31373120:31378535:1 gene:Et_1B_012379 transcript:Et_1B_012379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAAARQCASSSRTAWYERLAKRSFATNTSGLAALSHRLTKQLSAANDGGNIVFSPLSIHSGLSLVALGSRGGTLSEILDALGEKNREGLAENVRGMVERAFPENPQPGGPRVAYASALWHEATRTLKSEYRDAAAASCRAVVRAVDFMSKVCETWDRFNLILLGFERLHDKCLVPKEAAKEINSWVATVTNKLIDSIVGPGSVDKDTRLVVTNAVYFKGKWETPFHKEHKFHRVDGSAVDAMFMSSSRKQFIAIHDGFKALRIPYKMRDDISSVWLHIAAMAVPPPGPPMPTPQYSMCVFLPDARDGLRSLEDRMASSPSFVRDHVPERRVEVGEFRVPKFRLEFSASIKQALQNLGFTAVFTRRAELPDLLEDDGGRGEPLFVSDVLHKAVIEVNEEGTEAAACTAITMMFISCCVPKPRPVPVDFVADHPFAFFVVEEGSGAILFAGHCASSGRTALSRHLTKRSFATNTSGLAALSHRLTKQLSAANDDHGSDAAGTAAGNLVFSPLSIHSALSMVALGARGSTSSELLDALGETTREGLAENARAMVDRAFPENPHPGGPRVAYASALWHEATRTLKPAYRDAAAASCRAAVRAVDFMSKPKEAEKEINIWVATVTNNLIDSIVGPGSVDNWTRLVVTNALYFKGKWETPFDESNTKEHKFHRMDGSAVDAKFMSSWREQFIAVHDGFKVLKMPYVMRKRGDVSVMGQRPRNAAMTVPERTTPTPTPPPQYSMCVFLPDARDGLQSLEDRMASSPGFVGDHTSDRRVRVGEFRVPKFKLEFSDSVKEALRDLGITAVFTGGAELPDMLEGEPLVVSDVLHKAVIEVNEEGTEAAAAVCRCLVRCPWTLWRIILLRSSWWRKGPGRFSLRGTSLILHGPSFL >Et_3B_031375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27497027:27500268:1 gene:Et_3B_031375 transcript:Et_3B_031375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKAQPSKADLAKKQKVVEDKTFGLKNKNKSKNVQKYVQSLHQAVQPKPDPSKTAAKARKTAQIEPRAGKKKEEEKAREKELNDLFKVAVSQPKVPVGVDPKSILCEFFKVGQCQKGFKCKFSHDLNVQRKGEKIDIYTDKRDADTMEDWDQETLEKVVESKKTEYQQNKPTDIVCKYFLDAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALLEEESEKIAIEDEIEDQRKKTKTSTPMTTALFTEWKRKKAEEKEAGQAALRAERAKNDRMSGRELFMADASVFVDDAEAYEVYERDEEPEANDEPAKKSQDAGPSSSTSNGKQAEEPDEEDIDIDDDLDIDELNELEASLSRTSIQIREPGEGTSS >Et_2A_018190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17367761:17372444:1 gene:Et_2A_018190 transcript:Et_2A_018190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPETLPLAGRRVAFTTPQTGGGGSYGGRLGALLRQRGAHPIPVPTITVRPHDPDRLRPFLKPGALDPFAAIAFTSRSGISAFAGALPSPSPSSSHHHPLSGAASALPFTVAALGSDADLLDRAFLTRLCGDPGRVSVLVPDVPTPAGLVAALGPGCGRRVLCPVPDVAGGLREPPVVPAFLAGLEEAGWAAVRAPAYATCWAGPGCAEALVAAANDAAAAAPDAIVFTSTAEVEGLLKDLDAAGWSWTLLRTRWPGMVVAAHGPVTADGARRLGVEVDAVSARFSSFHGGHHLGR >Et_10B_004114.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:13152624:13152836:-1 gene:Et_10B_004114 transcript:Et_10B_004114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCQLYELAPDDVEPNNGWDFKGVDFELIHLATGCRCTPTMVFGLACIELALLALLYHFVWKLHARIAAD >Et_3A_025595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31018944:31024383:-1 gene:Et_3A_025595 transcript:Et_3A_025595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLALCYKRWHSRTLGVGALSVDAPVGCRKWEQQQRSRSCGCRRGSAAEPPVVLTAPHRAYDIGPRCHSLSPTNQPSHHPSSFLPLLLLPSPDFPSPFRAPAPLLSSSSHLAGLRFCSRGVTLISPLYVLLHLTPLKSITINSARGSYRPVKAIASSIDPSTAYSDNLYIHKFAAPSSNFAPRRFPSDSQLFRYDPEPYTPEYGHMGFTGAPSAAFQNSLCSQQASLTPYHITDDGRSTAVADTQTNSCSDAAEDSPVLSNISQQNSQSVSDCQSSGIEVEFDEEDMRLKLQELEHALLDDGNDILFEISQAGSINDEWADPMKNELIPSSPKESESSISCAVSNNRATRTPKQLLFDCALALSEYNAEEAQAIITQLRQIVSIQGDPSQRIAAYLVEGLAARIVASGKGIYKALTCKDPPTLYQLSAMQILFEICPCFRLGFMAANYAILEACKGEERVHIIDFDINQGSQYITLIQFLKNNANKPRLLRITGVDDPETVQRPIGGLRLIGQRLEKLAEDCGVSFEFRAVGANIGDVTPAMLDCHPGEALVVNFAFQLHHLPDESVSIMNERDQLLRMVKGLQPKLVTLVEQDANTNTAPFLTRFREVYDYYSALFDSLDATLPRESPDRMNVERQCLAREIVNILACEGPDRVERYEVAGKWRARMAMAGFKPCPFNSNVISGIRSLLKSYCDRYKFEEDHGGLHFGWGEKTLIEQALKKGRTLTFQENL >Et_2A_017716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5441450:5445493:1 gene:Et_2A_017716 transcript:Et_2A_017716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEHEVYGQEIPVDGEDVDIVGTDPFPRVQLQELDEMKRRLKEMEEEAAALRDMQAKVAKEMQGGDPNAGTSENKEEMDARSVFVGNVDYACTPEEVQQHFNSCGTVNRVTILTDKFGQPKGFAYVEFVEVEAVQEAVKLNETELHGRQIKVAPKRTNVPGLKQHRGRGYNPYHGHPYMRPYGGPFYRDFPDSVVREGLSSEARLRSSFDDLAS >Et_2A_017052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30526442:30526873:1 gene:Et_2A_017052 transcript:Et_2A_017052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQASSVALHEDGGGRRKRALPDAAAVAGGQQGVQYHTDVCKRSGDGDSSVHFQRINVAYQMLMSNMREAERL >Et_7A_050370.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:10256028:10256102:-1 gene:Et_7A_050370 transcript:Et_7A_050370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHACLSLRAFTCFGNQTMHFFST >Et_9B_064688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17646041:17680563:-1 gene:Et_9B_064688 transcript:Et_9B_064688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRRGTAHCSEPPCTSSQQAPVTVETYLLHVLSKSLSLVSAWYLGVSPLKPNTNYTRGFRANLDALLPAAAAASSSGFAENITGDAPDQAYGLAQCRADINAPHGVATWCPGQKSVTIVYDDEACLRHSDASFFVAGDTSNATQPARFTWTFSALMTNLMAKAAARARDLAADDCNRCLGTAFDAIPTYNSEKQGGRFVYRSCSVRFKEYLFYNVSAAEAAMSPLGADRSTAATISPTTIHTHGSHAQPHLHPRSFPQLFSRRHHNKRRRPNSRRLPERHQLHARRRVRCQPRRATLPAAAAASSGFAKNSTGATPDQAFGLAQCRADLNASSCRACLDAAFRNITGSCSGQRTALIIYDSCLLRYSDTSFFGAADTSWWRYICNRQTAQPLLFTSRLAAMLSNLTATAARGSPRMFAAGAAEVTPYVRLYGMAQCTRDLAAGDCAACLSDAVKSITKNCDGKQGGRMYHRSCSLRYEVYPFFNAKAVEVAMSPMPAPALAPGARPVSGGDLPNPGSTGALLVLIPAAVSLLVVLLVALYLCKRNREIRNTDCNCLYEAVVNKVVSFKCDANAASGSTHTGTFAKKGSTRTARAAILVSVPVAVTVLVLLFVAIYICNRKRHKHMSFSHVASNGLESEEIVGLESLHYDLSTLRAATDNFSEQNRLGQGAFGPVYKGKLQNGQEIAVKRLSKTSQQGAVEMKNEVVLVAKLQHKNLVRLLGYCIEKHERLLVYEFLSNKSLDKILYGPARQWELSWEQRYKIIDGIGRGLMYLHEDSRLTIIHRDLKPGNILLDADMNPKISDFGLAKLFKIDDLSVANTNHVAGTRGYMAPEYVLQGIFSSKSDVFSYGVLVLEIITGRRPSEDLLNFPTACNGELTLVMHGGYGQVWKHWSEKNVPPLLDSCPAEDHGKQEEMLRCIHIGLLCVQDEAQLRPHMADVVLMLKSRSMMLASPTEPIFEVPSERPMVAALEPSINEASISQLEPHMSCLLILLFCSLILTAPANADDPTFTECPSNTNYTRGSAFETNLAALLSSLPGTAAASTGFAENVTGAAPDQAYGLAQCRGDVTASDCRACLDTAAQDVASKCPGQKRGMNIYRKCLLRHSDASFFGIVDTSVVVWLSSTQNSTKPARFTWTLSALMTNLKAKAAYSSPRMFAVGSAALTPSVNIIYGMAQCTRDLAADDCNRCLGTAFDAIPTYNNEKQGGRFVYRSCFVRFETYPFYNISAAKAAMSPVPSPGGGLINSTDHVVAGSTGSKRTVRTALLVSIPAAVSLFVLLIVALYLRKRIRKPLRHAQMATVKGHGADEEMRSPELLLYDLSTLRAATDNFSDENKLGEGGFGPVYKGVLQNRQEIAVKRLSTTSQQGLVEMKNEVVLVAKLQHRNLVRLLGCCIEKHEKLLVYEFLSNKSLDTILYGPARQLELNWEQKYKVIYGIGRGLMYLHEDSRMTIIHRDLKPGNILLDADMNPKISDFGLAKLFKIDDLSVANTNHVAGTRGYMAPEYVFQGIFSTKSDVFSYGVLVLEIITGRRPSKDLLKFVWRYWSQGKVSSLLDGSPLDDRWKQEMLRCIHIGLLCVQDDPQLRPRMAVIVLMLNSHSMNLALPTEPVFTVPHERPWVPAQEPSTNEASISHLEPHMHGRVPLLLLICSSSLLAAPTNAEDEIYDFECVSDTNYTRGSAFQANLDAVLSSLPSAAAFSWGFAKNVTGAAPNQAYGLAQCRRDVSAPVCSNCVDKLAQKLRGACRGLKSAIILSETCMLRHSNVSFFGEADSSFLIYYNSAENVTERPELFKARLATLMGNLTRKAAYGNPRHVDEEMGSPDSLRYDLNTLRAATDDFSEQNKLGQGGFGPVYKGMLQNGQNIAVKRLSTTSQQGQAEMKNEVDLVAKLQHKNLVRLLGYCNQQHEKLHVYEFLSNKSLDKILYDSARQQELSWSQRYKIIEGISRGLMYLHEDSRLKIIHRDLKPANILLDADMNPKISDFGLAKLFNIDSSVRNTRHNAGTRLLTLLLCSFTLTAPANAEPRFTDCPSNTNYTSGSAFQANLDALLSSLPAAAAASSGFAENVTGAAPDQAYGLAQCRADVDASACRACLNASVQDMASLCTAGQKSAMLGYDDCLLRHSNASFFGALDTSVYKYWWNPKTQDATQPAQFNSTLGTLMRNLTATAAYASPRMFAAGSVVLTPFVNIYGMAQCTRDLAPDDCNSCLVSAVTAIPTCCNGKQGGRVIYRTCSIRFEVYPFYNARAAEAAMSPAPAPVSGPINGGDHSVPGSAGSNRTVRTVLLVAIPVAVTLLVMMVVALCLCKRNRKEHKHVHIASVRHGEDEEMRSSEFLLYDLSTLRDATDNFSEENKLGEGGFGPVYKGVLQDGQEIAVKRLSTTSQQGQMEMKNEVALVAKLQHKNLVRVLGCCIQEHERLLVYEFLINNSLDKILFDPARQQELSWEHRHKIIEGIGRGLLYLHEDSRLTIIHRDLKASNILLDKDMNPKISDFGLAKLFNVDSSVGNTSRIAGTYGYMAPEYALHGIFSAKSDVFSYGVLVLEIVTGRRNTFMQASGPSEDLLTFVWRHWSRGSVPPLLDDGCPAVGRRPQEMLRCIHMALLCVQEDPQLRPSMASVVVMLNSRSITLPAPTAPAFAVVNGSAVTASKNTDREDPRAAAQEQSFNEASISDLEPPMSSLLAFLLLCSLTLSAPANAIDDPYYFYTDCPSNMNYTRGSAFQANLDKLLSSLPATAAASSGFAENVTGASPDQAYGLAQCRADLNASDCLACLGAAAKDVASKCPGQKSAMVIYESCLLRHSNESFFGVADTSVVAWLANHLNATQPARFASALAALMGNLTATAAYASPRMFAVGSAALTPFESIYGRAQCTRDLDPDYCNRCLVSAVSYIPTCCNDKLGARINAGKCSIRF >Et_6A_046041.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20206462:20206572:1 gene:Et_6A_046041 transcript:Et_6A_046041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDHKVSVVCLQETKLSEVNDAVIVETLGPQFLGN >Et_3B_028871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:285068:286240:1 gene:Et_3B_028871 transcript:Et_3B_028871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKPPPSWEFHATGPRNLSNPGWRDLIRSSWRDPNYRRIAMSCFVQAAYLLELDRQEKRSAADDTALAPNWWKPFKYKLVRPLIDSRDGSIYGALLEWDHLAALADLIVIKPQGAPRAVLAIRGTVLKQQTVVRDLEDDLRFFACESLRGSVRFTGALEVLKSAIDKHGSDSVCVAGHSLGAGFALQVGRALAKDGTFVECHVFNPPSVSLGMGLTKLQEKADKVLKRYMIASSSSTPVLMPQVEPGEEKLIKEVKRWVPNLYINNCDYICCFYVDRSGVATVTTEKHNEVRSKLYVIAKGPSKFLEAHGLQQWWADDSELHLAMHDSKLMCRHLKSIYLKQ >Et_1B_012412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31838460:31842180:1 gene:Et_1B_012412 transcript:Et_1B_012412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLEFLLLAVISLSYPATYIAQASFTPHDASTPYIDDQLALMSLKARIRSDPWRSLASWGNLSIPMCQWSGVACGKRGRRRGRVVALDIGGRNLVGTLTPGLGNLTYLRRLNLSSNHFHAILPPELGNLHDLETLQLGYNSISGPIPPSFSNCSHLVEISVYYNKLQEGIPSELFSLHNLVILSLGENFLTGSIPSNIGRLVNLNLLDLEFNNMTGEIPTEIGSLVNLMHLSLGANQFSGIIPPSLGNLSAMVDLRIEKNELEGSIPPLQNLSSLKVLKLGGNKLQGPIPSWLGNLSSLLFIDLQLNDLVGQIPESLGNLEQLKILSISINHISGSIPHALGNLHGLKGLYIGYNELEGILPPSIFNLSSLEMLNIQRNKLAGAFPSDMGSMLSKLKFFLVSDNQFHGVLPSSMCNATMLQWIQPASNFLSRTIPQCLGTHQNYLSIVELQDNLFEATNDADWGFMTSLTNCSNLSMLVLGDNKLEGTLPNSIGNLSTRLDFLGIADNNINGRITEGIGSLINLHILDMPNNMLSGAIPSSVSKLTKLEGLYLSNNVLSGPIPVGLGNLTKLNNLFLDTNTISGAIPSSLSKCPLEVLDLSYNNLSSQIPQDLFSILTLSLYLNLSHNSLYGNLPSKVGNLKNIGELDISSNMISGEIPTSIGECQSLEYLNASKNLLQETIPLSLGNLRGLLVLDLSYNNFSGTIPEIFGSLRGLSSLNLSFNKFQGRVPQKGVFLNATEILITGNDGLCGGIPQLKLPPCNSHSTKKVPRKLTIIFSICSGFLFVTFALALFTLCHKRWKPKENVQRLVISEHYMRVSYAELSSATNGFASENVIGAGSFGTVYKGITRQNDQHVVVAVKVLNLMQRGAFQSFVAECETLRCVRHRNLVKIFTVCSSIDFQGRDFKALVYEFLPNGNLDQWMHQHIMEDGEQKALDLIARLRIAIDVASSLDYLHQYKPVPIIHCDLKPSNVLLDCDMVAHVCDFGLARFLHQDTDKSSGWAAMRGSIGYAAPEYGLGNEVSINGDVYSYGVLLLEMFTGKRPTDSAFEETIGLREYVRRALPDRVDDIADQLLLTETEDGEASTLNSSGIRGAKIACIASILQVGICCSEETPTDRLPIGDALKKLQAIRDEFLKQLSSEGASSSRR >Et_2B_020516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20989128:20989720:-1 gene:Et_2B_020516 transcript:Et_2B_020516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLEYLSDLLVGSSNRRRYKKRKQFQTVELKVRMDCDGCEMKVRNALSSMKGVQSVEINRKQYKVTVQGFVEPHKVVKRVQATGKKAEIWPYVPYSHVAHPYAAPAYDKKAPPGYVRRVDAIMPVSSYGSAAAPPQEERLVTMFSDDNPNACSIM >Et_7A_050587.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2978824:2978901:1 gene:Et_7A_050587 transcript:Et_7A_050587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQAKDYFGSCIFREAVTVVCWST >Et_5B_043162.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:13910252:13910530:1 gene:Et_5B_043162 transcript:Et_5B_043162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETDESSLKRRSSRKRSSKLIEDEMTLRRRACGKPEVNFGSEESNQNNMPIIIFCKNSLSTLMKRQRSCLFFKKKYRAEFGAFSSAEYALR >Et_1B_010415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10319307:10323371:1 gene:Et_1B_010415 transcript:Et_1B_010415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWGLQLWRWATAACSCAWFGASCGDGKARIPWLRILSALNISSCSRPFFECRGQGWIIGPMPHFKRTPFSLLEPPKDLDPKEKVFQIRFTKEIFRDYQEYLKRLNLYRQRVWTCKVSGKSNLTFEEALVSEHNATEKAQKLPTELMAHILGMTQYSTLGLNELVNKIYASLQEEIFEGTELYAKKDGSEAHCKILKILDSGDSKMYEVGWFGRGKTIISTSLVKAADLIQRRSPVSRMILRNFIRHATSQSTPWVIHEHLSKKYGIPNDPPKDISSTEGRKRGRKRCEDGTTEGGRKKLKGDEGNKGVPIKYPIDDLLIRPAGDDPAWSKRPPLATDFRVPKYSVGDLLMVWDFCMSFGRLLKLSPFSLTDLENAIYHKESNVLLVEMHAAIFHLLIKDEGDYFNILKNKKRKLKVSLVTWAEYLYDFLEMSKNEEFTGNIWTVRRGYYGLIDSDIKLKILRELVDEAVTTSAIREILSERVDQKQALAATRRESTRKEKENLSPETATENEMDQIDGAQDGDGSVDAQVGGTELDKNNISRGKTDGKRQTVRKLETENEKLSIRSSPLGKDRNYYRYWFFRREGRLFVESADSKEWGYYSTKEELDALMCSLNVKGIRERALKRQLEKLYSKISYALEKRTKDIAHKMLLEEAVLRRSTRVRAQPKDNPSMAFLKYVNRWKDN >Et_1A_006768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27398851:27399824:1 gene:Et_1A_006768 transcript:Et_1A_006768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPDGPLPSAAAASAAARHPDQPDGGGGRGLRRRLRRDASADLGVGEHAAADREPARAPALADVGPLVEHPGDRRRLGLGLELLRRGAAVPGAEPRAGLPLPGEAAERVQVPGPHRRPPSPSRGRSPSSLSTLGPGRHASPIWAGDYSIPLPPSHRLTRPADSLRAEETCSDAAGGGFPPFLYARSLALDASFFRLRKQFERTHARTTGSWRQRRTDGAVPSKPSRSKPRRRGGPRLSVAACATVSVRVAGRGPQVSEARSGSVACVAGSGTGTASGWLAWLGLVRVSGTARALLR >Et_1A_007689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37282242:37284915:-1 gene:Et_1A_007689 transcript:Et_1A_007689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGADDPRAAAPGDEAEAEMETEEQEGPVLCLDLTSYQLHDLSEVEIPPTLEELDLTANRLSSVDPRIGLLAGLRKLSFRQNLLEDAAVAPLSSWDTIAGLQELVLRDNKLTRIPDASIFKGLLVFDVSFNEISSLSGLSKVSSTLKELYVSKNEVAKMEELEHFHALEILELGSNRLRVMENLETLTNLQELWLGRNLIRTVNLCGLRLIKKLSLQSNRLTSMDGFQGCIALEELYLSHNGIQKMEGLSTLQNLRVLDISSNKITAIENIESLTRLEDLWLNDNQIPSLDGIDAALAGSQEKLTTIYLERNPCAKTPNYSATLKKIFPNLEQIDSDIIA >Et_3B_030167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31064778:31068294:1 gene:Et_3B_030167 transcript:Et_3B_030167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRKGTATPLGSVFSPEETQRAVARVAEAISDRQAELGRLQGFVTDNAALVSLVKKLPDEVSHEIMVPFGGAAFFPGRLIHTNELLVLLGEGYYAERSAKQTTEILHRRGLELEAQVEAMKATISDLEAEAKFFESTATEASEGLVEIMEEYDEDMENSLMKSEASLKSALKKTGREEILKSVSHTSTAHTSDSVFHGQTSIINSEVQVPKKAVSFQGDKDIVSSKSPMPLDSKYSAEGLKETSDLAPPRDRKIISSGQKAFTGSIVERDDNLLAIQPPAGNSSQKKFIMNPSHTIFLNVSQPGTSSRPMSRFKMQKGER >Et_3A_024795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24249416:24253818:-1 gene:Et_3A_024795 transcript:Et_3A_024795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYKGSAKTFSLAEMERATQGFDESRIIGEGGFGRVYEGILDDGERVAVKILKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTKVHNRCLVYELIPNGSVESHLHGSDKGSARLDWDARLKIALGAARALAYLHEDSSPRVIHRDFKSSNILLEYDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPDGLEAIIDPSLGSSIPFDCIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCNEGSEFNESTRFSQDLHTQDAEVMSRTSLDMDIEPSELFTSSARYDAMDASGSFRRYSSSGPLRAGRPGHSKERGLSTGSSSEHVGLQRYRIDSD >Et_10A_000732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16142138:16142677:1 gene:Et_10A_000732 transcript:Et_10A_000732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQSNYMNHTATSKKEWSPGRFERNNTKITQTGPEQLRLAPRLTFSRVFAWEPSNYIKHMAKNEKERSPSRFDRSKTEISETAPEQLRNSPVWPACNETHIFDSLRMGTIRLHQAHRNK >Et_8A_056116.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:22039997:22042221:1 gene:Et_8A_056116 transcript:Et_8A_056116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQIQGGRRAFHHGRETRAVCETVRTHLSPPGGAPSHFHGNPFPSTGSGGLSPLTSSSSSSRLPHASHSIPPLPCLHAPASRILPPRMEAWPDDFRCPISLEVMTDPVILPSGHTFERRSIQRWLDGGHLTCPVTNLPLPPSPPLIPNHALRRLISAVSPSAAAATEPVTAAGGGAGAREAKEAAAVGNGTPSSVLALLRLAKSGAAGRREVLESGSAALLLRHAAAGDEAAARALLLLSLDGDDARVGLVADGAVDALSAAVSRGGGAVAATALTSLATVDVNKCTIGAHPTAISALAGLLRRGCGAQERREAATALFELCKLPENRRRAVRAGAAPALADFAADGSARAVEVLGLLVKCREGRHELCRIPGIVSVLAGVASSGNARAIEQALFVLRWICSESNELALEAIKLGAFQLCEDLVNDDNCRIAKNAVELARTLERA >Et_6A_047762.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:14694640:14696670:-1 gene:Et_6A_047762 transcript:Et_6A_047762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPHRRATDNGGIDTDNDNGGAPLAAAVPLCLLHDLVAAAAFLASHPVHAAYLLFFARHLAGPLAAFFAPLLASTALLLAVLATVGPYLAAGGGTGWPFLRGSRTCGIAVAALCAELRPDSGGAGLVAQLCSFVLGPGDAAAVVRVGEIMGELCDFTGSCFVLEELGGGEECKEPAFELPLVDDTAIGDRRFLDREDSGEVSEEIDDKVVISEDLKGSESLAEQCCQSEVMFVQETEAEDGQSVIIQEQGLISSEMDDVGDVVEEKRLECDPVSVEIKKCEPVRAVELEIKKCEQVHAVDSEIRKCEPVQAVEVKELQPVEVAGIKTREAVKPRSSIAQRIKLWEAQVSGNFKTVIKDMEDDSEKFSLQNESINDAKKCVRFEADPCDQTCDRKLNAQDITSNDKSVEQEPDQEFRDVKECVPLDTETCSEICSPDLQAEEIAPASHTEQVPKDVEPEAEFQDQEFNVVQQNTQECKVQHAEQDPRETEEYKDVTESPVMCNERENSLKSTLIAGRVHSRTSSENLISEESPSQKEKEWKRTLACKLYEERMQLKLCRDRAVVEGSDNMDMLWEAYEVGGSGGNGRGVKHGGSKAKSSNKVEELVEEGEDDESVEQEDDDDEGSVKQLCCLQALKFSTKKMNFGGGRPSLAKISKVLKRMTVLSRVGSRRSQKG >Et_2B_022399.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20269590:20270729:1 gene:Et_2B_022399 transcript:Et_2B_022399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQKKNDGGVPLLTPYKMGDFELSHRVVLAPLTRQRSYGNVPQPHAAVYYSQRATRGGLLITEATGVSDRAQGYTDTPGVWTAEQVAAWRPIVDAVHARGAVFFCQLWHVGRVSTNAFQPGGRAPVSSTDRGVPPQVSHNGRVEEFSPPRRLAADEIPGIVDDFRKAARNAVDAGFDGVEVHGANGYLIEQFLKDSANDRDDEYGGTLERRCRFALEVVAAVAGEIGVHRVGVRLSPFMDYMDCHDSNPEALAGYLVDKLSDLGVLYCHMIEPRMALVDGRRQIPHRLLPFRKAFRGTFIAAGGYDRAEGNKVVDEGYTDLVSFGRLFLANPDLPERFAVADAPLNKYDRNTFYTSDPVVGYTDYPFLNQLQEAPASS >Et_8B_060675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4614900:4620539:1 gene:Et_8B_060675 transcript:Et_8B_060675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALTEATGGGGRYGGDAVHGYGDGSYGCGCYKDDGIEMGGRRNQLLVQMFQFQEVELGGNQSSPSSSFIKSCRSSQSCSPVSTPPLVHSRCPRSSLPLHIDGDNVSDLAAAAALASSSSWWPGSCSGKGKLSLARGPRNSTAARSYALAASSAVSKVPSHLRTARRGSRISAAHFPHGRCRTPRYLFFFFRRRLCGPPAAAVAAAATGAAFSAAAPSNATTPTAKNSQPRHRSIPHTPQRAAAGKISGGGVV >Et_5B_045088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9445471:9450659:-1 gene:Et_5B_045088 transcript:Et_5B_045088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAAMPHESASWRDPSRPTPSRGFFNILVPPPQQQPSAAAASFSSSSSSCPDAASSAPTPRRRRQILDRWAAAAAAAVTAPAAPRRAREAELSALASATRPVSSRAAVFREPSPAPSDASSAAPELPPAGPRASSLIQRWREIEAVGPATPRDASASDSESGGGGGGTSPRGHRVGCIVKKLSGASSLPEDELDDAARADPNALSQSAPPSPAPVRGCGGGGASIQGPRPPQLVVRTVRGRRAMEELVAKMAHRRRREVAALADRRVVSRFAHKGRIQSMLRLRLLRQGGTVEDDVWALLRPVRPHQPKHVAEDSTMSNCSADERQRDDRAPPEEKSIGVCVESLVSSDGSGNLQCDELMKTDGNQCPKDCANLGVHSHKYSEAASFARYGEHSTVNDNPYVEDISPSTASTLRELETPSSRGDNVREDNLSLNGSWEERGLWMNSLGWPAPIDSMSPDSWHQDAMGDIENQSQIEFNDRPWIDSPNSWRSLCVTTQLDCRALSRNADICNLLESKKVSKSLESDFSNKMNHLLRTVLHKQRQQRIMDDFGGYYDEPMFWRQNDQVQNTEHVTSAPCSLAPVSHLAAHQQESWQHSSFGSQHHDNQNFLEMEVRVRGEVSQIHHEIYELRKLVQSCIASQVKMQNSIKEEVCCALREAGLMTSQHDSTTKRVSCCICHRMQVDSLLYRCGHMCTCFNCADQLKSSGRSCPICESPIDDVVRAQMNF >Et_5A_040389.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:18805344:18805574:1 gene:Et_5A_040389 transcript:Et_5A_040389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKLPLSVLLGLSLVALLLMSAAVQDAAAGGEISYNALSRDGIPGNNKALSRPGAQANKYSRGCESEEECRSAN >Et_5A_040771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12096464:12099070:-1 gene:Et_5A_040771 transcript:Et_5A_040771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VIGQITAVSDVVTVKSYGKLQQKRLIKLKDLRHKDMNVQLPLQGFLQIRVGIILHAKLVVPDQNSMEQHMFAQMKNVHARKLSTAH >Et_5A_042465.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1372558:1373760:-1 gene:Et_5A_042465 transcript:Et_5A_042465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVLEVTLQSAKDLKRVNLISRMEVYAVVTISGDPLTRQCTQPDPYGGRHPCWNATFRFNVPPTAAAANGCLHVLLRTERALGDRDVGEVILPLADVLAGTTERDPRPRPQQCASYTVRKVHRFEPRGTLNVSYRLGPVVAPQQAPPARAEERGGAVVAYPVPPFYHSPYAAAYLPPTPTQTAGHDSAVRQPAASTGRTNAYDGVGAYPQANGHDHAVRQPAPSAGRTNAYGVPAYPQAAGHGASVHQPAPYAGQTNTYDGVPAYSQAAGPIDTYDVTGYAQAAGHGAAAHPPAPSSGPTNNKQNVPAYSQAAAGGYAATPSPAKGNRDGELEFGTGLGAGLVTGAISGMLASDAVAYSYGYRAGLADGGAAMYTYNYKNSHVPTKVQDKVDTNRKMV >Et_2A_016648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26894738:26898663:-1 gene:Et_2A_016648 transcript:Et_2A_016648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEASVPADISTEDVELERSERLQRERGTGAGEPGAPQRRREAERAGHALEPHGQARAVDGQPRHVVDVVAEEPPHGSEEPGHVAGERLPAGDDLEQVTQSRPGRRSRPQWWSAMVRRAGGTPRRRCASRSMPRAARYVTERVACGSACRLNPARLNSHARHSPSSVATARPSCSGFSASTLALRKAYRFSGAARSACMPAPCTTPGYCTFTATLDARVAKASTASISASRLCAHTCRTGMPIGSCGGGCAGRKVAV >Et_5A_040478.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24916643:24916942:-1 gene:Et_5A_040478 transcript:Et_5A_040478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPATLRYDWTGLKIPEDWLATRLSLLNLGARRFCIAKVFRVVGSTGGGFADLETIEDKFAVFTGVEVVTGDGNQIERIVEHKSVLYMFMDDKIRWVL >Et_2A_018113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11510516:11513267:-1 gene:Et_2A_018113 transcript:Et_2A_018113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEQLVEFAWGLANSGYVFLWIVWPDLVNGDTSAAALPPEFLEATRGRGLLASWCPQEEVLRHKAVGVFLTHNGWNSTLQSLSVGVPMLSWPFFAEQQTNSWYKCMEWGHWGMATEVGDNVRREVVESMIKRRAGLSISLRTMYS >Et_8A_056748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16253669:16257733:1 gene:Et_8A_056748 transcript:Et_8A_056748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVVRSLRQLRLLTQHHAERHSSAYRFIRQRNALILCNSAPRSQSTLCRDGEISRMMSPGVELLRSMYSTVAANAIKDIGRGGPMAEYEKKIASGELVDGDSFQLDTIHQLQRLYEELMENEEECRLDRYKSSEKEGRSRWLWSRLLTQPSTYAPVKGLYLYGGVGTGKTMLMDLFYEQLPANWRKKRIHFHDFMLNVHSRLQMHKGVSDPLDVVAAEISDEAIILCLDEFMILISTSNRAPDKLYEGGLQRDLFLPFIDTLKERCIAHPIGSATDYRKLGSAEQGFYFVGKHFSSLLKQKLQSLIGDEEPRPQTVEVVMGRKLQVPLGANGCAYFRFEDLCDRPLGAADYFGLFKKFHTLALDGVPKFGSSNRTSAYRFVTLIDVMYENKARLLCTAEAAPIELFENIVTVAEAQKLSPRSSRSQKSDDHDLCVDNELGFAKDRTISRLTEINSREYLEDFEEKLQQQPLQGVDSDDVVIA >Et_10B_003151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17107328:17110005:1 gene:Et_10B_003151 transcript:Et_10B_003151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDDDGLEALRDQVALASSAAIAASDLDHAFQLQLAEAIQASLRAQSPNAAYAAASASTSSSSQDIPALQDPPSDAACALALQAADLARAEQARRDAEACRAAHALAAASVRVAAHDALFARELAAVPEDRWARDGANIERPLGSSAARPHFRLFSKGLASKDVVGPRDRDPGVAVLAVALCGPLGEVVLRIQKPVDGAVGGQMTLEVMALMEGLDAALGLGIRNVTVVTDHRPLHNHMLGICCPTGKKLLDMIDHVLSVRKNFEQCEVSLVEPSEVSYVAKLARDSIDAQIAKALAVEAFVEKRETCTICLEDTDVSKIHVVEGCAHRFCFSCMKEHVKAKLHHGMLPACPQDGCTTKLTVEGSEKFLSQRLLEIMTQRIREGQIPPSQKIYCPYPKCSALMSLGEVIHPTQDSSSKYTVADVATLRNCGFEFCYTCGKEWKDKKPTCSCPIWDEHNIIEDRDGDDDYEEDGDGVY >Et_4B_037569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21250305:21251420:1 gene:Et_4B_037569 transcript:Et_4B_037569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDCFQDSYRKYLLYDPAISVHYMVFMIPCLYARLEPGDLQYDKYLNQLDPILEQSEWPPSPFILHVFSSRTGQWEERSFVRDGEAAGTVAYIRQSWPHVQRNAVYWRGALYVHCQTDFVMRISVSDGGYQVIKPPVGVEDKYYPQFYLGKSKHGVYCANTHWFCLRVWILEELGNHMEWVLKHDGNLLGCLLKHNIGSCLDYRLQNCGPWFLQNINHYIAEHNKNGTMEELVEEKFDWSSDVSTDENYQSDNADDKEAAQYREYLGILGFHPYKEIVFLSESITRGLAYDFNSLKVKVLGNLYPAGYDEELPNRQFINSSFPYTPCWLGQAID >Et_3A_026467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8430592:8438723:1 gene:Et_3A_026467 transcript:Et_3A_026467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPRAATVESARASSVGWITRAERPPLWLRTLPVMEHVPEDYGDAPDEHGVAPATYQALERYLPATFRAAPRDRKLDVMREVLVGDEGLSAIRMVRTVRAHALVLYSPLHREIFTLNPTAFFVPSFLQAIKNNTEDGFRSIMTEPAPGLYVFAMLQPAFCQMLRAEVDHFDQNIVMPVAPTMDKNRIDLSFIGLETMLDNLMKDFLSPVVAELAASPLDSHHSFVTEFAEGQGEGFGVDDSEVTLNVCITRDFTGGTMYFRGIRCSEHSSSEIDNEPCCIVVVTDMVPFPQHLEREQLWKCGVEAPLLGGCVTTRQTSLAGAVA >Et_1A_008789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1296811:1297344:-1 gene:Et_1A_008789 transcript:Et_1A_008789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLAPPNARLVDELSCSSGSSSGGKRAFQETLPLFDDGSSRKKKQLVGWPPVSSARTRACGGANYVKVKKEGEAIGRKVDLSVHASYDELLATLCRMFPATTNQSEENEICSSTSRVVTYEDGEGDWMLVGDVPWE >Et_3A_024629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22869071:22869507:1 gene:Et_3A_024629 transcript:Et_3A_024629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRMTRGRTSLRPRTEGGASVVNVAGSTTIVTDGGQVTVTQFVAELDEAARRRLDRMHQRLRLLEQQMETLEAEVGKASGSTGATYA >Et_8B_059592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19766339:19769613:1 gene:Et_8B_059592 transcript:Et_8B_059592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIVASASKGVIDSVLAKLKVLIMGDMCITNLLGVSRTGICFLWDELSAMNALLEKLEDADDLDPQAKNWRNQVREITYDIEDWIDEFTSSERNDDAKAGFISRISQFLETLRSRVKAAEQIKDLKTRLQEINERYKRYKTGEYSPYETTKVDSRLPALYKESTSLVGIENSKEELIGVIDKANKFKVVSIVGFGGLGKTTLANEVYREVRRRYDRTAFVSISQKPDVARILKSILSQLGPNIYSHHCEVQDLINNLREHLHDKRYLIIIDDLWDTRVWNIISCAFPQNNQHSMVIITTRIVDVASAACCRDHGSIYRMKPLTEQDSRKLLLKRIFGPKYDLPYFCKERYESPQFLEVSCEILKKCGGLPLAIISVASILACHPIKLKGQLDYINNSLATKLSTESNFEDMMHILDLSYRNLPRHLKPCFLYLGTYPEDHKISKVELISRWVAEGFVINSGQDGWYVAESYFNELVNRSMIQPAYEDYYYDIDEVSHCRVHDMMLELIVRRCKEDNFISFARDHQLMAEGQDKAVRRLTVKWGGIDDDTGVMTTTCHLAQVRSLSTFGGPNWIPLLHEFKLLRVLYLDIYRSEMTMDLSGMDQLAQLRYLKVGNERSFRGIAVLLPGKIRRLRHLETLELPALSVCCIPPDVVHLPCLSHLVVPHDTELPDGIGKVKSLRTMDGFDLSRSSLENISAIGELTNLRNLSLHCHTQSWEYASALGGSLEKLSKNLKRLSVSCTFVGSCADALDYPLRLLGNLELLDVSGCTFDPSSSSCLGYKLHHLRVLRLGVWQIQQEDVDIIGTLDSLVQLHLRTPSALTERIVITRSTGFARLKVFELECDGISRLTFEAGAMPSLRKLWLAFDPSAWDKATPVGLQHLSSLKEIYALTVRNSSRAAAVSEAPSTSISETAVIRGAFQEVADAHPGPGRPEFTLGEAWLIR >Et_9B_064613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1714848:1715432:-1 gene:Et_9B_064613 transcript:Et_9B_064613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSITEELAMAVPADLLWKAAFETGDESSMRNLLTGLNDVAVKIDGDGGPGSRYTLKFNPGVGAATVIKSRLVARDHAARVIRWDEVAVEGGEKAAAQLKSQVVQYKVEPTATGGCVTKLTVEYESLDGTPLSRADEAKLINGYVGLMKKVEENIVASYAQFI >Et_2B_021202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27380195:27384247:-1 gene:Et_2B_021202 transcript:Et_2B_021202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGEDATAARRRAAVADYRKKLLNCRELEARVKTGRENLKDAKKNYEKTEDDLKSLQSVGQIIGEALRSLDTERFIVKASSGPRYVVGCRNKVDKEKLVAGTRVVLDMTTLTIMRNLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFNYAREHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQGRLEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVIHEDFMKAVRKLNDAKKLESSATYSADFGKE >Et_3A_023225.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:12281915:12282523:1 gene:Et_3A_023225 transcript:Et_3A_023225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLYDAGDYTGVLKMLVVLLKKGIEPDIISFTIAIRSLCTAGKLRAAKMLMDNKGIEYDVKAFNTLIHGFCRAGDLRGIILTYDDMISRTVLPNDFTNAMVIDSFCKDSEFDMAITIFLELHQDPRDGFVHDHLIRLNYQLIKAKRFGNVLNLLNKFLSKGFVLDACIFNSLITVCCYEGYCNHGSLFEVSIILNRMLGVR >Et_5A_041683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25947851:25952539:1 gene:Et_5A_041683 transcript:Et_5A_041683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFVSAIAVEASTRGDGLFAQAASGTGQAWFAYMVAALSIASIVPLLQGESVEGRSNGFMTANAELWNGRLAMLGLVALAVTEYLTGAPFINACGLLRPPCRRRWCHRQASDGHARAAPTLSGREGPEQARVYVVSTDNTGRLVDAFAFSGPAPERINGRLAMVGFVSALAVEASRGDGLLSQAGSGSGLAWFAATAAVLSVASLVPLLQGESAEDRSGGFWSADAEIWNGRFAMVGLVALAATEYLTGTPFINA >Et_3A_026830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22704460:22709028:-1 gene:Et_3A_026830 transcript:Et_3A_026830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDLNTVEGEEDEEEEAPPPVARAGGAVCLELWHACAGPVAPLPRKGSAVVYLPQGHLERIGADAGAAAAAGVPPHVFCRVVDVDLHADAATDEVYARVSLAPVDEAETRAREGENAARAGDANVEDEDAAKRLARVPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSLQRPSQELVAKDLHGTEWKFRHIYRENTPPTPALHNQCSGHRNLENVARAVAMKTVFHIYYNPRLSQSEFIIPYWKFMRSFNQSFSAGMRFRMMYENEDASERRCTGIIIGSREAGLMWHGSKWKCLVVRWDDDIECRRPNRVSPWEIELTGSVSGSHLCGPNPKRLKPCLPQVTPGTVLPNGSVSSDFAGSARFHKVLQGQELLGFKTRDGVPRHGVRSPSAIPGFSYHCFGFGESQRFQKVLQGQEVLRPFRGGLGEAHIRTAGMYQADGIAPQGCDFQLPAKPVLLQASSPSSVLMFQQSSSRIPHFEHEYSCLDKDEGDRYVSVGPTQDMGSTKQALSLWPHLISGEVINECTGAEKLHSPVSDAEHESNNESTVENGCKIFGISLAEKVRSCDEADSCNVNYNSRLLPNPQMQKPLGSCWATVREQRPVVGRVVDVSAVDMMI >Et_5B_043584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12081354:12087335:1 gene:Et_5B_043584 transcript:Et_5B_043584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGRPHSSPHASPASAGPDPTADGDGCAVFRLLLPQSFTDAETMLLYAAVNPLRRRTAALQVRLEPLDSSSSAADTIRVAVVLGPTTPVRRVEVSSSSGEPLSLSPVQEALLAVVEVEGALHRADEAGRGGPGSVTCLLLVEAARLEAAAGRGIMGRIALETGAGVRVVPWDMGAPSPRGQLSEEVVEITGDSTTVRKGLVALSSCLQGDQPDGSSTNPVKKDGSMFPWATSEMPEPNTGTFGSEASREHEQSSVPQFDCPQSDSGDARNKDLQQISFRLLCPIHIVGGLIGKKGLIVKGIEDETGACIDVGPPFSGCSERLITISALESSDSDYHTVQSALLHIFDRMQEVESQTRSTFNQPYQSSVRALVLKNQFGCLVGLGGSIIKEMVNATGAKIQILDESDITGELMNVRDALCLVSWKLRNHVFSFNSNITKTGCVPASDIAESSAPGKVHISSTGQCSTGSCHMVDYGPSLSYGMDSVEKSFGDFQLSSSEIQKAENDIVNGINNSDNGGWSDDGINKSNNGVASKGENNLVRGAEPARITRMTYETVISGNILHLIYGDNGNNLVQLKEITGADISVYNPPSEDNEATIVISGPPDNAQSAQSLLIDFILQVQS >Et_1B_011263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19754963:19757158:-1 gene:Et_1B_011263 transcript:Et_1B_011263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESENGNCSAWAARDPSGILSPYKFNRRAVQNNDVSLRIIYCGVCYADVNWTRNMHNDSIYPLVPGHEIAGVVTEVGSDVKGFKVGDHVGVGTYVNSCRRCENCNSSMENHCPKTVYTFNMIDSDGSVTKGGYSTHIVVHERYCYKIPDGYPLEKAAPLLCAGITVYTPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSESKREEAINLLGADNFVISSNTEQMESLKSSLHFIVDTAAGDHTFDPYLSLLKVGGVMAIVCFPSEIKVHPASLNLGARTLSGSLVGGTKDTQQMVNFCAENKIYPEIEIIKMDYINEALQRLVDRDVKYRFVIDIENSFM >Et_2B_022664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29719578:29724004:1 gene:Et_2B_022664 transcript:Et_2B_022664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHCYYLVRRHMLPPIQLPLLPPRTLHRHHRRGPRAAISVVCRCSTAADPHEERPWESYDREIQFHAGSDLSRSLDLLADIQAAGTRPSAAAYARLIRALGRAGRTLEAEALLLEMRRLGPRPDTGHYNALLEGLLARAHLRLADRLLLQMADDGVARNRRTYMLLLDAYARAGRLEDSWWVLGEMKRRGISLDTAGYSMLVRLYRDNGMWKKATDLIMEMQELGVELDVRIYNGLIDTFGKYGQLADARRLFDKMRAEGIKPGISTWNALIRWHCRVGNMKRALRFFTAMQEEGMYPDPKIFVMIIGRLGEQGKWDEIKKLFDGMRNRGLKESGAIYAVLVDIYGQYGHFRDARECVAALKSENMQLTPSIFCVLANAYAQQGFCEQTVNVLQLMEQEGIEPNLVMLNLLINAFGTAGRHLEALAVFQHIKDSGMSPDVVTYTTLMKAFMRAKKFEEAQSNDFDHLNSSSSSQSLSRSSMAAVCVMRADACLTQRAILAVSLLTFTCSSSAFLSLRCCSLSSVTMRLFSSPHSSASTPRTSRFALPPPADPWTLLLLGVRCRGFFGEKPKQHACRGGGGDVESPSSSLPLFRSRSVGRPRLPDDPNSRSRSSSSSWW >Et_1A_004754.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:38214437:38215975:1 gene:Et_1A_004754 transcript:Et_1A_004754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVDADRAVLARWFLHFATKGVEELVLVNRPYPIPGLRLPAALFSCPALRSLFICSWEFPDTALLPRGASFPNLLELILGCVTMKDRDLDFVLAASPVLEILAVIGSPDRLTARLASHSLRSAQFGMTELTEVSVVDAPCLERLIIWNRPSYHRHKRKTGTLIKIGHAPQLTTLGYLEPGEHVLEIGNTIIKVLVSISFILSTSNFCGTDPFLKVLCQMTSLISVACDSFGALQAGTKASPNSVVPSVRMLAVHLHFGISSEVKMLPSFLRCFPNVETLCVEVKSAPSPFMAFHHQ >Et_4B_038784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4890345:4891609:1 gene:Et_4B_038784 transcript:Et_4B_038784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KSPQILLFFFSDRNHHQSSHENWPPCSCRRYGHLRHRNPRHGNARRGGSNRSITLTIGTSKNLVHGQFQCTTAKRMLDSDSTELSGTRYHLIIDASNPDGKYMADVGEQEWTNTRAFFSLNPELGAWAVAEYDKRANVGLKFNRVVSAKTQVMIGVRYHLIIDASEPHGQYVADWGEPDDSDTRILFSFRPVT >Et_2A_015872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18668695:18671995:1 gene:Et_2A_015872 transcript:Et_2A_015872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCVAVVGHQNNPLYLQSFTEADDALKLHHIVHCSLDVIDERVNNPKRSAPTLNETFLGLLYPTENYKVYGYLTNTKVKFIMVTTDLDVKDADARNFFRKFHAAYVDAVSNPFHVPGKKIASRSFGARVSTIVKSFGSGTTV >Et_2A_015275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10219613:10221626:1 gene:Et_2A_015275 transcript:Et_2A_015275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETQVVLVAGGHPRGRLGHEALRHSSSPRRDRLRGPEVFNDLPEPLSQAGREVSRDLLCFDPQALQHRWFASDDEEEEKAPAVAEAEHPGSIRLFEI >Et_1B_012434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3268411:3270260:1 gene:Et_1B_012434 transcript:Et_1B_012434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IFSRIRARSNHRATHTSISYRLVFRHKDSVCWKAKFENISTNSPMRPNDLSEDQEMAPAEGNRCCIPNVQATPEIEKKYVHRVYDAIAPHFSSTRFAKWPKVAGFLNSLKQGSIVLDAGCGNGKYLGFNSDCFFIGCDISPPLIEICSGKGHEVLVADAVNLPYRDNFGDAAISIAVLHHLSTVDRRRKAIEELVRVVRRGGLVLITVWAREQEDKSLLNKWTPLSEKYNEEWVDGSSPPVRSQSTTSLESIAENDEDTGMVKQTGDLLNKKCDGLEDKTIIDCSDSSINEIDRTQQEYFVPWHLPFHRVEIGGVSAAAVENGFAKKDDKKGAVVYSRYYHVFVEGELQRLVAGINNAAIVDQFYDKSNWCIVLEKL >Et_4A_035495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26874158:26874871:1 gene:Et_4A_035495 transcript:Et_4A_035495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDAANGEGNLDMEGNHSRNELSEAQDGKDAAGKNDVAPSETNGNTPAMSVEQQEELEAKIHRMAAEIMDMAVENTLNLCADKVLAEEEDEQIDGILVEECSVIQLKMRFPLFVEMLLRWPRVGMLLLRSTTSTSSLPKAGAGKAEGAQAPAGRPGRARARSDYAAVLARRAAGSAPPCFTAEPPQADTMAPRKGPNEQQLLQNGSAMAENLAAAAG >Et_1B_011422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2276216:2279249:-1 gene:Et_1B_011422 transcript:Et_1B_011422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGGGVGGGGSPNNTEWRFNQTLRNVQGMLKGRSFPGKVLLTRRSEPLSPPDYSPRFENDHDEDERNEGSQEGEGQTPGNSSDNAGAKKSSLTSTSSTNSLPDAQGLVSGARATDSTRIAKFTTELSRPAVILDKLRELSWSGVPPYMRPNIWRLLLGYAPPNKDRREGVLTRKRLEYVECVSQYYDIPDSERSDEEITMLRQIAVDCPRTVPDVTFFQNAQIQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEHLEGNMDTWSIDNLSAQDISNIEADCYWCLSKFLDGMQDHYTFAQPGIQRLVFRLKELVRRIDEPVSKHIEEQGLEFLQFAFRWFNCLLIREWSDKLQKLDFQEMVIFLQHLPTRTWAHDELEMVLSRAYMWHTMFKSSPSHLAS >Et_7A_050526.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:22071751:22071969:1 gene:Et_7A_050526 transcript:Et_7A_050526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKLAIHISEGKKWAEVPLQATKLATEAGIILRRHIPVLAHWKMYRDEHEREHLLNYINKVSVSISSHGQH >Et_4B_037952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25027939:25028728:1 gene:Et_4B_037952 transcript:Et_4B_037952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGQPDEAEQEGKGGAGDPPPPPPPQFLEVTCRSSGKVRRFAAGTTARYALHAINRKLDPRAPPALHVEAVRDGEEEEEEPVCFGPSAPLADYGRGWRLQTVTAQDAPGILRGADVKRDDAEAAKDFRGGDDLWSRATAIYILKILLAFLFIFLLGGLFTYLLEKLPDMFQLESAPESL >Et_5B_044265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22010622:22019073:-1 gene:Et_5B_044265 transcript:Et_5B_044265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPARPCSSGCGACVVLLSTYDAATGAVSHAAASSCLTLVHGLHHRAVTTTEGLGSSRAGLHAVHARLAGFHASQCGFCTPGVCMSLAAELAGAEEGEGRRPDPPNGFSRLTAAEAERAVAGNLCRCTGYRPIADACKSFAADVDLEDLGLNSFWRKGEASVSKLPRYNEGSIGVFPEFLKAEIKASLGIDRCTPVALDGNGSSWHRPGSVEDYYKLVGSELFSESRIKVVVGNTASGVYREAEVYDRYIDLRGIPELNSVTKDAKGVEIGAAVSISRAIEIIRGEGDSCKDVVFSKIADHMEKVASGFVRNTASLGGNLIMAQRDQFASDIATILLAAGSMLCIQVSSERLTVTLEEFLEMPPCDHKTLLLSIYIPHWTPTGVLSGDRTMDRTGSTRGTSLLFETYRASPRPLGNAVAYLNSAFLAQVTSDETSGSLILEELCLAFGAYGTQHAIRARNVEKIFVGKPVAASVLYEACKVLKKTIVPEEGTRHAAYRSSLAVAFLFSFLYPLAEGTLKPVKAVQLNGFVTSGINGNAHCGPVPHVDGSLKEINIVKFGIPAKKVGAELQASGEAVFVDDIPSPKDCLYGAFVYSTKPLAHVKSIELDPSLKQLQNTTVVSVNDIPEGGGNIGASTIFGPEPLFGDPVTQCVGEPLGVVIAETQRLANMAAKRAAVSYSTEDLDTPVLSIEEAVRRCSYFETPPFLLPQRIGDFTKGMAEADQKIYSAEVKLNSQYFFYMETQTALAIPDEDNCMVVYSSSQCPETAQNVIAKCLGLPCHNVRVITRRVGGGFGGKAVRSLPVATACALAAFKLRRPVRMYLDRKTDMIITGGRHPMKICYSVGFKSNGKITALHVDLFINAGMTKDVSPVIPHNFIEMLKKYNWGAFSYDAKVCKTNIATKSAMRGPGEVQGSYIAEAIIEHVASALSTDANLVRHRNLHTVESLALFHSECAEDHVGYTLTSICEQLTASENYQHRLEMLQYFNRNNKWKKRGISFVPTVHKVLSRPTPGKVSILNDGSIAVEVGGIELGQGLWTKVKQMVAFGLGQLWTDRSQDLFERIRVIQADTLSVVQGGWTTGSTTSESSCEAVHQACNILVDRLKSVKEQLEGKQGNVSWDELISKAQMMGVDLSAREYYVPGPSGTYLNYGAATSEVEIDLLTGATTILRSDLIYDCGQSLNPAVDLGQVEGAFVQGIGYFMSEDYVTNPDGLVISDGTWTYKIPTLDTIPKQFNVELLNSGFHKKRVLSSKASGEPPLLLAASVHCATREAIRAARKELHCSGLGSSHSHFDLEVPAIMPVVKELCGLDNVESLVDSLSFPILNVIRGLPAVTTTLQ >Et_2B_018968.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19983138:19984885:-1 gene:Et_2B_018968 transcript:Et_2B_018968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPSAVRALIAAAVTVQHLHQLHAHILTSGRLASLGPDLLRRLISVPSTPLHIHLAHRLLLSIPSPPLDLFNLLLPPLAASNDPAAAADLFVGLRRRGLRPDAHTLPHVLKALARLAPGSLPLVASTHAEAVKSGLARAVVYVRNALMAAYSACGHLARATQVFDEMSRRTVVSWNTALTACTDNGRHDRCAGLFAEMVEAGSVPDQTTFVVMLSAAAELGNLALGKWAHGQVVARRLDMTLQLGTAAVNMYSKCGSISYALRLFERMTVRNVWTWSAMIMGYSQNGMAREALELFERMKAASIAPNYVTFLGLLCACSHAGLVDEGRRFFYEMQHLYGIKPMMTHYSAMVDVLGRNGRLQEAYDFVMDMPIKADPVVWRTLLSACQLHTSKDCIDIVDKVQSRLLELEPRRSGNYVIVANIYSDIGSWDKAAKARRVVREAGMKKMAGESCVEVGGHF >Et_3A_026586.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:50331:51383:1 gene:Et_3A_026586 transcript:Et_3A_026586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASLVALYITICSVAFIVSKMLISFLLYKRWARKKRIMESSLAGGKVVMFRSMSSLMSAKSLVGMLMGLSNKDVIGAGGYGTVYRLRVDDKTAFAVKKLSRGGDRGFERELETMADIKHRNIVPLCGYYAAPQFNLLIYELMPNGSLDDMLHACPAKKTKTKTSWAARYEIALGAARGLSYLHHDCIPHVIHRDIKSSNILLDHNMDARLSDFGLATLLRPSHSHVTTVVAGTFGYLAPEYFDTGRATTKGDVYSYGVVLLELLTGKRPTDESFLENGTRLVTWVRETMEDKREHHALDQALSPSTLPADQVRFLFALADKCLDSDPAKRPTMAQVVNMLQGNLLQDDS >Et_9B_064596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16832367:16835298:1 gene:Et_9B_064596 transcript:Et_9B_064596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVAYAAGSPAVPDWLNKGDNAWQLTAATLVGIQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASSLLVWVLVGFRMAFGERLLPFWGKAGVALSQSYLVGRASLSATAHGDDTPKTEPFYPEATLVMFQFEFAAITLVLLAGSVLGRMNIKAWMAFTPLWLIFSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWMGWAGFNGGAPYAANITASIAVLNTNVSAATSLLTWTCLDVIFFNKPSVIGAVQGMMTGLVCITPGAGLVQTWAAVIIGIFAGSVPWFTMMVLHKKSALLMRVDDTLAVFHTHAVAGLLGGVLTGLLATPELMRMESPVPGLRGAFYGGGIKQLGKQLAGAAFVVAWNVVVTSLILLAIGLVVPLRMPDEQLMIGDDAAHGEEAYALWGDGEKFDATRHDTARVGGGMEREGSVEQRLAGMGARGVTIQL >Et_1A_008855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16188320:16193238:1 gene:Et_1A_008855 transcript:Et_1A_008855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVYRKKTKIEHILHRPDTYIGSVEKHTQVLWVYENGSMVNREVSYVPGLYKIFDEILVNAADNKQRDPKMDSLRVEIDVEGCRISIFNNGDGIPVEVHREERVYAPEMIFSHLLTSSNYDDSVRNTTGGRNGYGAKLANIFSTEFVIETADGRRQKKYKQIFSENMGKKSEPEIIDTKDGENWTKVTFKPDLSKFNMTHLEADVVALMRKRVADMAGTLGETVEVELDGKSVPVKNFLEYVDLYFNDSPQSDFPRIYERVNDRWEVCVSASEGQFEHVSFVNHIATLRGGSHVEYVANQAKEIKGRHVLSFYTMPEYEAWKESLGGKASCWRVKYYKGLGTSTNEDAQEYIENLDRHRKEFCWKDEQDGDDIELAFSTKRISDRKEWLNNFERSIPSMVDGLKPGQRKILFCSFKRNFTKEAKVAQFSGYVSEHSAYHHGEESLAIVDNTTLRITELPIRCWTEDYKKRLGSLKDDENLIKKYTQQVLEEFILRSAFYESRKRVMEKKLAQEVTKLQNKIRFIQLVNDGKIEVRGKKKVDLCKDLQELGFDVGAIEDEEDDEESQESPANKIGSEYDYLLSMSFGTMSMEKDDLITHLIECRAALEEMRRAEPKSLWLRDLDLLEQELDLLDRMDAKDDEKRRKHRD >Et_2A_018592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34252059:34254730:-1 gene:Et_2A_018592 transcript:Et_2A_018592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVSFSPANAQMLQARSSHGHAAFGTCSAVPRSGPRLRSTAVRVSSEQEAEAAVRAQSGRTIEECEADAVAGKFPAPPPFVRPKAPEGTPEIRALDMTKRPRRNRKSPALRAAFQETNISPANLVLPLFIHEGEDDAPIGAMPGCFRLGWRHGLLDEVYKARDVGVNSFVLFPKVPDALKSPTGDEAYNDNGLVPRTIRLLKDKFPDIVIYTDVALDPYSSDGHDGIVREDGKENVDPCDLDLLIESDIYAIWQARAGADVVSPSDMMDGRVGAIRAALDAEGFHDVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALLETAADEAEGADILLVKPGLPYLDIIRLLRDNSALPIAAYQVSGEYSMIKAGGALGMIDEQKLMMESLMCLRRAGADIILTYFARHAASVLCGMGSK >Et_3B_028256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12327531:12335410:1 gene:Et_3B_028256 transcript:Et_3B_028256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGPAMSTNVARVLVCLEEVGAEYEVVNLDFQAKEHKRPEHLARNPFGEIPAFQDGDLVLFESRAIAKYVLRKYKSADADLLREGNLKEAAMVDVWTEVEAHQYYPAISPVVYECLVNPIMLGVPTNQKAVDEALDKLRKVLDIYEARLSKSTYLAGDFLSFADLNHFPYTYYFMATPHASVFDSYPHVKAWWERIVSRPYVKKLAANMIFGPAMSPNVVRVLLSLEEVGIEYEVLNVDFAAGEHKGPKNLTRNPFGLIPAFQDGELMLFESRVISRHVLRKYMISEVNLLREGNLEESALVDVWLDVEAHQYTPAISPIVYQLFLVPMQGGTPDQKLIDECLEKMKKVLDVYEARLSKVQISHFPYTLPFMVTPYASVFDSYPSVKAWWESLMSRPAVQRLVLQAMAPVKVFGSAAFTNVARVLVCLEEAGAEYQVVDVDSQAKEHKGPDHLACRNPFGQVPAFQDGDLMLFGEICAHHRSTSTDFCSPYDHSDDVDRSRAICRYVLRKYTTAAEGTSNLLGNGGGDDLRESAALVDAWLDVEALRYEPAVHALFVQHRVVPALGRTPDERIIAESVGTLKQVLEVYEARLAEHRYLAGGDRVSLADLSHFPYTHYLMRMPYASVFDAFPRVKAWWEELVAN >Et_3B_029918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29066423:29068478:1 gene:Et_3B_029918 transcript:Et_3B_029918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQPQLEKKSLRARAQFSRKTVAALCFTSFVVGLLFSGKGSLMPESATSGSRDSAGTRASDCENKRKHGENQPRDLLNEVSRTHLAIQSLDKAVATLEMDLAVERARSGAGAGTVGASTIKPPQKAFVVIGINTAFTSKKRRDSLRETWVPRGDKLRRLEKEKGIVIRFVIGHSGAPGGGGALDRALDAEEAETRDFMRLDHAEGYHELSSKTRIYFTTAVATWDADFYVKVDDDVHLNLGMLTSRLAKYRTRPRVYVGCMKSGPVLSQKGVKYHEPEYWKFGDEGNKYFRHATGQIYAISKDLAAYISINQPILHRFANEDVSLGAWLLGLEVEHVDDRSMCCATPPDCEWKKRAGNVCVASFDWSCSGVCKSVERMRHIHQACGEGEGAVWNVEI >Et_3A_023683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10914395:10915124:-1 gene:Et_3A_023683 transcript:Et_3A_023683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESHQPAGARTASTCSAATARGTHVFKIADYSLHKGMGSRKFIRSATFAVGGYDWRLRYYPDGKREPAVYLELLTKNAKVRAHAEFHLLDQVTGAKPFYIMLAHSMVFNSTEAGLGWDNLVGAGFMSHSELEKEDSGYLRDDCIVIQCDITVLNEPQVLSVAPEIQVPPSTFLNNLGKLLETGEDADVTFNVKGNIVPAHKIILANQSPVFKAELYGPMRVDTSIENISIWSQMFSRCC >Et_4B_036896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12453415:12455252:1 gene:Et_4B_036896 transcript:Et_4B_036896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGYDDAPTDEQLLAYADLPKFGKEMAEVFAVRVPAAAGGNRPPPCGWISVHGDHCVSGCIYTRSRTDDATSPQACDSQGNLLLTGPSYVISAYAPVIFLVNLHDGNQEASLQEEGKIFCDTCGDFPVYKCNKAIEETVETAYGPADVTYAIVTNGVQGQGSVKLGRWEGEGRTAILGRIVARSKMFNVGCVLFYNEHDKNIRAGSEEMVPLARHALAVPLSLMIEMDLQCDSGDRIVKAALEINPATEGQHIERLIGMNGAEIEVTISWTDFPW >Et_7B_053591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10035639:10042283:-1 gene:Et_7B_053591 transcript:Et_7B_053591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGENRGFKGALTTEGLALLKARVNEKLRELMGDYSDDTLAEYATVLLKNGRTRDEVAKELHVFLGDDNDAFVSWLWDHLSSNSHLYFHPKAVSSNGGPKSTRSAARGLPVRSLTSSIQTDVEVEAETQNTTRTHQREWGGIIRDQAEAVPLRSVVAKVSYAEEKASHESRAEDKRFHKPHAASRTRSPDRHSNQRKRSREADERPTKRVSHPVIDAPRRLLQFAVRDAVRPVQPMISRSESASKRLRSVVSTFASDSIIDDNHARLRRANSDLRVPGVTRALRAAAEAAEDVLKDNFSGNVFNRLGVMSTINSTERSLVHREQDSDGEYENAYNARAENQAESRKRNEYGGGDAYMYDRETEEAAGSAPNINEYDRTSAVRYNGLVSRRSTVSPSGGRESLPVGCARGAAEVRSRMLVAQGTHSGSGSRSSEKILNASANTSTRQETRDAATFAPQVPVEKKGIDARKPNVALSHVNDATMTDKSKDFMHSSSVVEAQKASSVAAGSGSTGQPEGGNDSRTVFISNVHFGASKDALSRHFNKFGAVLKTLIVTDGVTGQPTGSAYIEFLHKESAEHALTLNGTSFMSRILKVVRRSCIEVPQQPVWPRASRGSPFASRLIRTAYPRPMFPGAMRGRLPLRGGTRSLQWKREAADSPDAGKHSQATPAAPGNQLVTPTTRSFTYTRTEPKPNDGAMIFDLNGSLDSIQIQGAKITVNWPF >Et_9B_064895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19641790:19643804:-1 gene:Et_9B_064895 transcript:Et_9B_064895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSIGACFLQVGLHVGRRKSGSEDSFVDDGTSSDEEHRRGTKAELALTKAKLERMNEENQQLRTLLNDMSVRCQSLQTHLATLMQQRNLRGGGLGAPSHEVNRSRTEMPQKMVKTLRGKKNNRLANCGLNVDPERKDQQERSQLLPRQFISLGTAPDETSRSVMAVGVDPRGSDCSPSSSKLVADTAASTPMDYCPGTGNGGMLPLPTFDHHRRRSPEEAQGWLPNKVPKFLPAKGPEPVPEAATMRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDRTVLITTYEGNHNHPLPPAAMAMASTTAAAASMLLSGSMPSADGSLMAGSNFLARAVLPCSSSVATISASAPFPTVTLDLTQTAPAAASSSSSAQPPRPEPAQLQAALAEAARPVQLPQLFGQKLYDHSKLSAVHTAAGTKATEGGALADTVSAAAAITSDPNFTAVLAAAITSYIGSSIGGGGGGSSGTVQPLVSGGGDDGCSRDEKKGEQIEQLA >Et_3A_024594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22457898:22461233:1 gene:Et_3A_024594 transcript:Et_3A_024594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSVALDMSPTQFVEYSLVSSRRELIECEADVIQKELWVKALRTLNTAIAALAAVEDLNEEFSVHLNRPSLLGNQGKTNVVTVCLASTFAALIVQVVDRTRTSEKSSLPYHCKMVVARKFDHPNPSAVQDPSPAALVPGHEMERIQAHGPRDKRRAGSQPVSRRPC >Et_6B_048593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11169621:11183302:-1 gene:Et_6B_048593 transcript:Et_6B_048593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRFLDYDPCEYYYTTSPYHYPYPYHHRRHQQPATAGNVGGFFPGAEPATTRRPRPAANVVPVNPAPKEKTVSIPVHFVGSDPETAPVTAKKHAVATRRFLTRRAVSALRAVEREAEKVVGKVAREAEALRGDARARVAVGEALMRLLLRLDGVCGVRKYRRRVAKRVLALQDAYYYTTSPYHHRHQQPASVGGFFPAAEPAAVRRPRPAVSPAPRAKTVSIPVHFVGSDPLPEPAVAPVVTKKPAPSAEDAAAGGGARVTGEAGRAGAARGGAGGGGGRRQGGALRADARARIGVGEELMRLPLRLDAVRGAREYRRRVTRRVLALQDAVDALEQRPEPAAVTVATADEMAAEEGEAAPARPDGAEQSGGETEAKAPAEKAAEMEVDGAAGEPCAAQKTEEALDAANNGDDKSDNAGAEGDWEMVTGEPEPAAPSAEPPRQEEQEAVEVRQTEAAGGGLDTRKVMEMVSALCERGHRRAGGPRGLAGALPAAGGGGRAPAAAGQKATEGRQPRQVLRRLGTDESFRACMKTGVDAKKVMEMVAERPADCAVIGALAERVDALVTRRASGGERRAPAAAGQEAAAGGKGSNRDKCYRSMRRVVDAERQRRRAKKLQKEGKRSNRDNNLKLQNILKQSHSFQNFSPARPPYISIAKICLIPSELNPNR >Et_1B_010773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1399838:1403957:1 gene:Et_1B_010773 transcript:Et_1B_010773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWDEGAVFYSDQAQFPRGGFGGDPSADLTRHSALRKFKEFLRGFTGPTGDFPYRESLVHNRDHVTVAIEDLDAFDAELSDKIRKSPADYLPLFETAAAEVLGSLRSKVAGETGEMEEPVTGDVQIFLSSKENCVSMRSIGADYMSKLVKIAGIAIAASRVKAKATHVTLVCKNCRSVRTVPCRPGLGGAIVPRSCDHVPQPGEEPCPLDPWIAVPDKSKYVDLQTLKMQENPEDVPTGELPRNVLLSVDRHLVQTIVPGTRLTVVGIYSVYQASANQKGAVGVKQPYIRVVGLEQNRDNNSSGPSNFTLDEEMEFKGFAQRPDAYTKLCSMIGPSIYGHADVKKAIACLLFGGSKKRLPDGVRLRGDIHFLKFVEKTAPIAVYTSGKGSSAAGLTASVTRDSSSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPVSGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDVRMYEQDKRIASHIIKVHASGAAASSNNTDASEGENWLKRYIEYCRVTCKPRLSEKAAEMLQNKYIEIRQKMRQQAHETGRTAAIPITVRQLEAIIRLSEALAKMRLTSVATPEHVEEAFRLFNVSTVDAARSGINEHLNLSPEIANEIKQAEAQIKRRMGIGSHISERRLIDELTRMGMNESIVRRALLIMHQRDEVEYKRERHVIVRKA >Et_9B_065898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1736551:1740120:1 gene:Et_9B_065898 transcript:Et_9B_065898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTAGQNPKNCFPEKLDDGKLEQQLELWPLRVPRITPPPRSGFCELSNPEKPDPDGKRTGVTCAACGGHLGHVFKGEGFNTATDERHCVNSVSLKFIPASGEA >Et_8B_058877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10324959:10326902:1 gene:Et_8B_058877 transcript:Et_8B_058877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITDHVFCSRHPELKWAQRVDKVYITVQLPDAKDAKVDLEPEGVFKFSGNAGAGGNLYELKLDLNDKVNVEASKISVGVRSIFCIIEKAEAKWWKKLVRDDQKAPHFVKVDWDKWVDEDDDGADVNVDGMDFSNFGGMGGMGGMGGMGDLAGMGGMGGLGGMGGMGGLGGMGGMGMDEFEDESDDEEEVSKPKDAEKVEEAGKTEAAEAKTEAAQSS >Et_9A_063502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5072593:5076212:1 gene:Et_9A_063502 transcript:Et_9A_063502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDTPSPSPAPAPSAAVGRQTRAAESVRLEHQLVRVPLEALRSTVRVNHRLAEKEIAAVLSSASSTSVENSAAAVDHLTSLVSRLHGLKRKMEEGARAEELQVQRCRARLDRLATASTGEDGEWEDLRLKRILVDYMLRMSYYDTAAMLAETSGIQDLVDIDVFLDAKRVIDSLRNKEIGPALAWCAENKSRLKKSKSKLEFLLRLQEFVELVKAKNFTQAIAYARKYLASWGSTHMKELQRVTATLVFRSSTNCAPYKVLFEQNQWDHLVDQFKQEFYKLYGMTLEPLLNIYLQAGLTALKTPLCIEGNCPKEDPLSLDGFRKLAEPLPFSKQHHSKLVCYITKELMDTENPPRVLPNGYVYSEKGNRTLLSEER >Et_1A_008780.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12741680:12742075:-1 gene:Et_1A_008780 transcript:Et_1A_008780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYAAAEQAWYMPVAAAPAPAESAAARVERLASESAVVVFSVSSCCMCHAVKRLFCGMGVHPTVHELDLDPRGRELERALARLLGYGHGAAPVVPVVFIGGKLVGAMDRVMAAHINGSLVPLLKEAGALWL >Et_3A_026292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6494874:6496895:1 gene:Et_3A_026292 transcript:Et_3A_026292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKRELSSTLKNLKFMQRATASQKVQEKTEVEVETAAEVVTATSGGFGSSARVARKCIVIMEGNPHPGAVKGRMSFQNFNPEIDKLNGDTRDDDQTESASPSSCDQDGAKSGRRDGLPASRFGDLDTSESISLNELKRKQPALEMETPPSCKLPKTTGRNVNGGSSLQSNGRGSQKSNKREKYDFNHLRR >Et_4B_036981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13075825:13079672:1 gene:Et_4B_036981 transcript:Et_4B_036981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATNAAAAAAAAAVSGGAQPRHAPSFLPLKRHTIRAVHAAEPDAAPAPAPAKEAAAAPGKWAVDSWKAKKALQLPEYPDAAALESVLKTIEAFPPIVFAGEARRLEERLSDAAMGRAFLLQGGDCAESFKEFNGNNIRDTFRVLLQMSAVLMFGAQMPVIKVGRMAGQFAKPRSDPFEVRDGVKLPSYRGDNINGDAFDEKSRIPDPQRMIRAYSQSAATLNLLRSFATGGYAAMQRVTQWNLDFTDHSEQGDRYRELAHRVDEALGFMSAAGLTADHPLMKTTEFWTSHECLLLPYEQALTRQDSTSSLFYDCSAHMLWVGERTRQLDGAHVEFLRGIANPLGIKVSDKMNPTELVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRRAGQIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSDRYHTHCDPRLNASQSLELSFIIAERLRKRRLRSASGLNGTLPLPPFGL >Et_6B_049512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:610508:610985:1 gene:Et_6B_049512 transcript:Et_6B_049512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVLVQSISESSISQPLTSTFSESAYFGMPVVEASSVEELNSRMLLLTNETLTSAASDHTCDDELIQNRSREVLLGEHGHSSQLPIKDGSIAVKIVNELLTDNGELPVLEASSAEEMNSSFKKTEDELQEQIYARRRRRRYFFWYAWS >Et_2A_017532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35226927:35227416:1 gene:Et_2A_017532 transcript:Et_2A_017532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLLLLLLLVVVAQHQQEAAADPQPQAMMRVVKTRSLLQAPKIDCPGTCLGRCGHNWKNEMCNKMCNVCCNRCNCVPPGTGQDTRHLCPCYDTMVNPHTGKLKCP >Et_6A_047066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24790814:24799090:-1 gene:Et_6A_047066 transcript:Et_6A_047066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSSSFGGGVLAILQHADIIKHNGRLISHAVKKLVEEYETKSDYVIFQILTMLFEACGAKHEIYPDYLRESDVDDVVMSLVDTARKGLVEDNYNSKQKDLKNFKENLISFWDNLVLECQNGPLTPPRVYRQVASLIGLQLVTSFISVAKTLSGQRETTQRQLNAEKKKLSDGPLVDSLEKRLALTHENITYLEELMRKIFTGLFMHRYRDVDPEIRMSCIKSLGIWVVSYPSLFLQDIYLKYLGWTLNDKNAGVRRASVLALQSLYEVDENIPSLGLFTERFYKRMIQLADDIDISVAVSAIGLIKQLLRHQLLSDDDLGPLYDLLIDEPPMIRRAIGELVYDHLIAQNIKTSHTGATDEENESSEVHIGRMLQILREFSDDPVLSSYVIDDIWDDMKAMKDWRCMISMLLDENPAIELTDMDGTNLVRMLQASAKKAVGERIVPAMDNRKLYYNKTQKEVLENSKREITSALLTRYPQLLRKYISDKAKISPLVDMMILLKLEMYSYKRQEKNFKAAIDLIFDAFFKHGEKDTLRSCIKAITFCCTECQADLQDYAENKLKNLEDELVLKVKTAIKEVEAGDDEYSLLVNLKRLYELQLSKPVTDDDLFEDMYRILSQLKDMDNEVKSFLLLNMYLQVAWCLHAIDGENPSEASIDELSSKQRSLFHQLYYYLVVLPTYQKEGRSTTVLSCRVCIITAEMWCLFKKSKYSSTKLESLGYLPELDMVQKFWKLCEQQLNVSDETEDEDANEEYIEDTNKDAVMIAASKLVLADTVSKDYLGPEIISHYVSHGASTTEIIKHLITSLRKNGNFDMGALFFESLRRAYDRYMSYVNDEENTLIGKAYSECQDLASRLAGSYVGTARNKNKSEILKIIHEGLSFAFADLPKQLSFLEAALLPFVSKLPSTDIPDILADVEKRTQDTNMNGDQSAWGPYFTFTKELRERLKNDVFQVNTIRASSASKLRSLKVSQQGTSSHKRAPGPSGKVVRFIGGPVKVSGETSFHFTFLLPNQCM >Et_8A_056562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12324627:12333810:-1 gene:Et_8A_056562 transcript:Et_8A_056562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLTLDERKERRSDVDNSEDERRRLSIGSLKKKALNASNKLTHSLKKRGKRKVEHRASNFTIEDVRDEAEERAVSTFQQELLNRNLLPDRHNDYHLLLRFLKARKFDTEKAIQMWAEMLQWRKEFGTDTILEDFNFEELDEVLCYYPQGYHGVDRQGRPVYIERLGKVEPNKLMHITTVDRYMKYHVQEFERAFRDRFPACSIAAKRHIDSTTTILDVDGVGRNSDTSTVESGSDVDDLGSPMMRNTVERNTVGCSRLAPVREEMRARDSAAYYSCDDHFVVVDKTIDYGRGGSMSDRSSASEIRAESRPLHTGRAPHMPSSSSSRRGTVTPKEVSEEGKIYRLARLLMALIVKVFAFLHIAYGQLETRAINPPPPAEPEPISDDHPAVETFSVDHISPVIERLQRLEGKVDELDSKPPEIPLEKERSLLESWDRIKSIESDLDRTKKVLQATVMKQLEIAESLEEMIRSKLRAQDASQAALPAAAARNVKAVQIKGTEFQNLGLEVVIKKHIARLHVPVYDVWDAAMVEEG >Et_1A_009191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32660546:32661286:-1 gene:Et_1A_009191 transcript:Et_1A_009191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHDELFNSAEVIQWESGKCFNSIAAAQGIRIRRRCRPSEGSGADRAVVPRNTLEQIIWDKEVEVSQRKAKTPLQKVMESAQHAPPPRDFAGALVAARHRNGVPALIAEVKKASPGKGVLREHFDPVEIARAYEKNGAACLSILTDEKHFQGCFEDLVTVRNSGVKVKDH >Et_4B_039685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26515046:26517829:1 gene:Et_4B_039685 transcript:Et_4B_039685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMRAKEVNFLVHRSLDTDWRALGSADTDLVELLWHNGSVVAQPQAHQRAPPPPSDRPSCSGLTGEETTAWFPDTLDDALEKDLYTQLWYSSIADAAPHHGDGDALPGPSSQPPPPPLPAMGSGVESCWAGDICSTFCGSNQVFFRVPAEDAAALTSEAPRGASTHDGAGTDTSSSGGSGSNFGGSRLRSDGGHVHKKKGRCRDASDSRSEDAECETTEETKSSRRYGSKRRTRAAEVHNLSERRRRDRINEKLRALQELIPHCNKTDKASILDETIEYLKSLQMQLQIMWMTSGMAPMMFPGAHQFMPPMALGMNSACIPSAQSLGQMPRVPYMNHPLPNHFPLNSSPAMNSMNPPNVANQMQNIHLREISNHILHPDSDQTAAAQDPMLMDLKQYKRHNPVRYQNCQPVQLCRLLQRDNRLLMEFRSYPWGTNK >Et_2A_015567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15007746:15013884:-1 gene:Et_2A_015567 transcript:Et_2A_015567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQSSTPSFFNFLKEGVLLPTRNRKLFAAVFVLVAASSSLFLLGNNLAVQPLTDKSNLDTKALNSTNPGNPDFGPLVTLAFLYGLEIAYFALLAALTGLLVVLMFKRYFGLVLVEALVLLLASIFFIYFSFISSLSVVVAVAEPGCHGAGAFGRAWRLMRDKKRRAVLFILVTAALPTVLYVLYKLTQTAMATKQSSTTPSFFNFLKEGVLLPTRNRKLFAAVFVLLATSTSLLLLGNDLTVQPLADKLNIDTKALNSTDPGSPDFVHLIQDIQDDTRQIMLVGAAYLLFAVIIGSFVRIIVLFAAVATYSGELHTFGTLLGKAKAQLKGPLVTLAFVYALEIVYVAILAALAGLLVLLMFKHYFGLLFVGSLVLLLGCVFLVYFSFLCSLSVVVAVAEDGRHAAGAFGRAWRLMKDKKRRAMLFISVTGALAAVLSPVHTLAQTCVLSNLASRLLLEFLYSILMAVAGLFATCTMTAFYFECRGSTEASATEYVKVSTQEPINSMATKVSSSSWSTCINFIEESLLLPTQNVNLFSGTFLLIFAHTFVFITVAIYLSHPLATSILYDIQVLKTTDTTSYSYTAVVDNTWEHAKKLFFIYLAYHVAKLTTRAVQRRLVTVRAAAVTFSGAGSTGTVVVNKNKIRGLVVTGAIVGRWRWHPQPS >Et_1B_009977.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:16084011:16084187:-1 gene:Et_1B_009977 transcript:Et_1B_009977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMAKQLEEAEAARVKEAKEAQARMLKQTEELETLRKASEETQTLLQELAKHYKDSS >Et_7A_052804.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16974060:16975580:1 gene:Et_7A_052804 transcript:Et_7A_052804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPVLVSIALVLSLSLTAASALTAAPPDAARQTTTTVRAGYYLAAAARLRPLASLDASLYTHLYYSALAVHPNKRAPVVPADADQARLLATFSRQLKSRNRALRTLLSVGAADIIAGAVPGGAAASAAQRTDPAFAAMAADPASRAAFVAAAVALAKANGFDGLDVAWRFPASAVEMADFGFLVAEWRAAAPPGFLLTATVYFSDHVFDAPLPGVDYPAEAVARGLDWVNVAAFGLRPPGASNANAVTAFDAPLHDAASHFSASYGVVSWVDAGVPAAKLVVGLPLYGRSWFLRNKANAGVGAPVVAAGPKQRGTNATGVMSYDEVQRLAAAGRAVVTTNYDNASVASYLSVGDVWVAFDGAAVVAEKLAFAARRGLLGYFLWPVNYDDANLTMSRGGQPVNQAVTLQFDFFTTVPLMLTCIALCVAASEVWMQNQISSSSRRNETGGVRQTQAPVRVPPALQSPARTPGPTPAPISESSSCLPWRKLDLFLHLGLLIILVWC >Et_4A_032136.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:20359391:20360080:1 gene:Et_4A_032136 transcript:Et_4A_032136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAFASSSRAVVAIATALLLALCCGAGRCAAAARPLGPQEAVTSAFALSPADAGQRQAAASGYDDAAARSGKWLPFAGAGAGVAHHLPAAFWAHRQMPWVGVGVAGAGAGHELGSGGAGGGEEELVRDRERERSYEGGESETASRQRQEQLAMWASLLNPKGKRRPAAAGWLPALGIGEAADEEPAKAAADGAAAGVEGAEVEDPAAAADGVQVGQAKSGFYWGNGGN >Et_10B_002967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14864062:14870395:1 gene:Et_10B_002967 transcript:Et_10B_002967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLEGADQIAAGWVATDAERPGELRRSGSASRLNAQAPEFVPRGPPSPAVVVPPPPVIRVFAAPPPPPRAAFFAAPPPPQFEYYAPVGGRGGFAAKEPKPEPQPQPEPEVEQPPAAKAEPLVEGLADEVVHKITKQVEYYFSDINLATTEHLMRFITKDSEGYVPISVIAGFKKVKTLVHNNSMLAAVLRTSSKLIVSEDGKRVKRQEPFTESDLQALQSRIIVAENLPGDPSYQNLKKIFSAVGRLRMLHAFVEYETPEDAEKAILELNDEKNWRNGLRVRLLNTCTTKGAGKGKKGVPETDENGEEDVSTSNQSTEKQFEESSQLLDVLPEHLFDDNFTEKEVPRRGKGRGRGGRGRGRGYQQYNNNQYHQNHQHYNHHGGNNHGSNRGGGNNHHVGTPPNNQPIKPEQHHQLPIGATKQPPGPRMPDGTRGFTMGRGKPQVMLPGLCAVGEP >Et_2A_016303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23046934:23051575:1 gene:Et_2A_016303 transcript:Et_2A_016303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQSDGADVRYVVSDLATDVVVHVAEVKFYLHKFPLLSKSSKLQRLVIKATEEGNDDVHIDDLPGGAKTFEVCAKFCYGMVVTLSPHNVVATRCAAEYLEMTEDMEKGNLIFKIEVFISSSILRSWKDSIIVLQSTKALLPWSEELKIVGRCIDAIASKTSVDPANVTWSYSHGKKGVACAEIVEATGKTSIAPKDWWVEDLCELDVDLYKRVMVAIKSKGRMSPELIGEALKAYAVRWLPDSYDALVSEDYMRRNQCLVETIIWLLPSDKSSGCSCRFLLKLLKVAILVGAGEHVKEELMRRISFQLHKASVKDLLLPVSSPNDGTYDVQLVQNLVQRFVARTALSHNGDFVEKSDDKMIELNFEQESTLSLGELVDGYLSEVASVPDLSLSMFVELATAVPESARPVHDSLYYAVDAYLKEHPDISKADKKKICSLIDVKRLSIDASMHATQNDRLPLRLVVQVLFFQQLRAGSSKELALADNGAHACPRPMQDKCEPCEKQIPKHPNSLMKQVTGLSARESEHRISEHRAGRNSFKDHLGGLLMQSRSRRIFDKLWSSKGPGENGKGSETSGSSQSPPLSAKPAEVKPSPLPPLRNRRYSVS >Et_10A_000752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16548246:16552944:1 gene:Et_10A_000752 transcript:Et_10A_000752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTSSQVGAMAAGGSPFLARRRGVSGGAPSSTPFLGRRLAPGAAVRMRAPTRGAARGAAPLRVTCEKVVGIDLGTTNSAVAAMEGGKPTVVTNAEGQRTTPSVVAYTKSGERLVGQIAKRQAVVNPENTFFSVKRFIGRKMNEVDDEAKQVSYSVVRDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNEKITKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRITDWLASNFKKDEGIDLLKDKQALQRLTEAAEKAKMELSTLTQTNISLPFITATADGPKHIEATLSRAKFEELCSDLIDRLKTPVNNALRDAKLSVGDLDEVILVGGSTRIPAVQELVKKITDKDPNVTVNPDEVVSLGAAVQGGVLAGDVKDVVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAIDKGTGKKQDITITGASTLPKDEVERMVEEADKFAKEDKEKRDAIDTKNQADSMVYQTEKQLKELGEKVPAPVKEKVDAKLQELKDAISGGSTQNMKDAMAALNQEVLQIGQAMYNQPGADSAGPAPGADAGAGPSSSDANDGDVIDADFTDSK >Et_1B_010187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28837824:28838981:1 gene:Et_1B_010187 transcript:Et_1B_010187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVHTSRADITVSYILIIAAIVLDVSILHISSYIQPAWSRRQWSEKLAQYSMIKRHTVQNTAGMASIRQWVGRRLSALGVQLLDVTEVPITEDHVPIKEFILDNLLHFGIRKQWNIASSRGHLALQNWISTHQDPGYANRAGKTLENSINVDFPTSMLLWHIATDICYYFGDNTSTHSNHTKTYKQISRKLSNYIMYLVFKCGVMLSPNSHVVHDKAHDEISKLQGQQVPLGEMDAIRMLFEAKHEEPANETNDNNAADSHLQELLQIARDLDSPVLPRACELAQELIGINDETDRWGLIAAVWLEMLYYIAPRCGGAFHYEHLSTGGEFITHVLLLMYFLGPFLPPPTASAS >Et_1A_007103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30923246:30924966:-1 gene:Et_1A_007103 transcript:Et_1A_007103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHLVGLVKVRVVRGVNLAIRDLRSSDPYVVVRIGKQKLKTRVIKKSTNPEWNEELTLSIEDPAVPIRLEVFDKDTFIDDSMGNAELDIRPLVEVVKMKLQDVGDNTVVKKLVPNRQNCLAEESAIYVSEGKVKQDLVVRLKNVECGEIELQLLWVDLPGSKGV >Et_10A_000757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16726812:16730803:1 gene:Et_10A_000757 transcript:Et_10A_000757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVRSRAVGRWGVPRPPPHVLTRLLTSSSTGASPAPQQAAPLLELPEVEKVLRDVRADDVRVFPVGEGGLHGGACADYMVVATGRSDWHIKQKQKGSKRILMPSVQGQQAGKWVVIDSGSIIIHALEERAREYYNLESIWSKEVSPNTSVQGMAVLDLLRDYCGKMETG >Et_4A_034633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:552309:561985:-1 gene:Et_4A_034633 transcript:Et_4A_034633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRHGILCCGCGSSAATVGRAGAGGLETTDKARGARQLSWAQVEAMTRGFTSAVVGEGGFSTVYLARLQAGGELAAVKVHRSSERLHRAFRQELDALLRVRHPHIVRLLAFCDDDQGGGVLVLEFAPNGNLHDHLHAAEKAAMPWPRRAAVALQVARALEYLHHRCEPQVVHGDVKASNVLLDAAMAARLCDFGSARAGFSAAVSPSPRSRPSSKVAVLGSPGYVEPHYLRSGWCAVIDTRRLLRAGLLPLRLDAFFFFFFRAEIERVDTYFFASPSTGRRIGGCLTDFLDRPVDDSIMDHCNGLLLLWERVANPATRQSAHLPPFPEPCVEGFYRNFFLAYDPIVSPHHYEVILIPIVPQGEINDTEFKEESEWPPSTFTTHVFSSTKWRWEERSFVGEGEAAGTIADMHYDWETRHHHAIYLRGALYPAEKKEHPTYLGKSEKGVYYAELSQGRTWPRFRVWLLNESCDQIVWVLKIDTNLQAMVDNFHIDYSTRYGTPWVVNYIYGDVKMNLNGTLTVVLSFLNLRIRSSQLQTPYENARRPSEATNPNLERPQKSKTHQSELALPARCSCAPSETMATTLTPTQRYAAGALLALALRQAQIHQSVPLGGSNGADAGDEERASSSASSDAASDAELWTHESRGLLRPVFRFLEIDPKAWAGVEETAASPEAKHHIGAFLRIIFEEDGEGSSDTAEQELALAKAIDVMVMTLGNEDTTDIHESQDATTSSSGAVESPEAGPSENLLGVDKLSLDDVPVNNHRKMALLYALLSACVADKPVLQEQEDRKSSPFRKGYDARHRVALRLLATWLDVKWIKMEAIEVMVACSAMAAAKEQEQEQENKSPKSKWEKWKRGGIIGAAALTGGALLAVTGGLAAPAIAAGFGALAPTLGTIVPFIGASGFAAMAAAAGSVAGSVAVAASFGAAGAGLTGSKMARRLGSVKEFEFKPIGDNHNQGRLAVGIFVSGFAFDEDDFLKPWEGWKDNLERYILQWESKHIIAVSTAIQDWLTSRLAMELMKQGAMRTVLSGLLAAFAWPATLLAATDFIDSKWSVAIDRSDKAGKMLAEVLLKGLQGNRPVTLVGFSLGARVIFKCLQELALSSDNEGLVERVVLLGAPVSVKGERWEPARKMVAGRFVNVYSRDDWILGVTFRASLLTQGLAGIQAIDVPGVENVDVTELVDGHSSYLSAAQRILEHLEINTYYPVFIPLPAVSK >Et_1B_013571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9679745:9687616:1 gene:Et_1B_013571 transcript:Et_1B_013571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVALDVGELVASRADETAGLVPGKEESDALIGMVECRICQEEDLAKNLESPCACSGSLKYAHRECVQRWCNEKGDISCEICHQSYRPGYTAPPQVHHDETTIEISGGDWTISGNRLDLHDPRILAMAAAQHRLLEDEYDEYTATNNNAAAFCRSIFLILMALLLLRHTLTITNSEDEDDASAIFSLFLLRAAGFLLPCYIMAWAISIMQRQRQRQEEAMLLPTEVAIILHRNGRTMQFAVAPPESPTSPQPEPNQ >Et_4B_039493.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1959552:1961927:-1 gene:Et_4B_039493 transcript:Et_4B_039493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADGAASSAPAAKKAANRLVVEEAAIDDNSICSIHPATLEKLSLFHGDIILLKGKRRRDTLCTVLPDEQCAEHALKINKVVRSNLRVRLADVVSVHQCPNVGYGKRVHILPVDDTVEGLTGDLFEAYLKPYFVDAYRPVRKGDLFLVRGGMRSVEFKVMDIEPAGEYCIVAPDTEIFCDGEPVKREDEEKLDEVGYDDVGGMRKQLGQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKMAGESESSLRKAFEDAEKNAPSIIFIDEIDSIAPKRDKTNGEVEKRIVSQLLTLLDGLKARAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLADDVNLEVVSRDTHGYIGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAITNDHLKTALAGTNPSALRETVVEVPNVSWNDIGGLDGVKRELQETVQYPVEHPDMFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGGSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDSALLRPGRLDQLIYIPLPDEASRLQIFKACLRKSPVAKNVDLGALAKFTAGFSGADITEICQRACKYAIREDIEKDIERQRKAKESSEDMEVDCAAEPAEIKAAHFEESMKFARRSVSDADVRKYQAFAQTLQQSRGFGSEFRFPSKSQAVDPASTAIDADEDDLYN >Et_7A_052300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6777544:6780669:1 gene:Et_7A_052300 transcript:Et_7A_052300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGLEEAEVEAVKNFGHHGQAQATSAAARALPMAAPKPADASMSSGHRSSRSPSSSTTSSTIDRSGGTRALSSGAGDAGAAYPEGRILETPNLRIFTFGELRAATRNFKPDTVLGEGGFGRKLNPESVQGLQEWQSEVNFLGRLSHPNLVRLLGYCVEDKELLLVYEFMAKGSLENHLFRKGGSFEPISWNLRLHIALGAARGLAFLHSSEKQVIYRDFKASNILLDMHFNAKLSDFGLAKNGPTGGDSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLTGLRAMDPGRPAQQHNLVDWAKPYLADRRRLARLVDPRLEGQYPSKAALHAAQLTLRCLAGDPKSRPSMAEVVASLEEVERITARRESGGGHRSSRSRAGSDGARSSSHHPSPSSSQR >Et_8A_056282.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19556906:19557175:1 gene:Et_8A_056282 transcript:Et_8A_056282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SCRIAPPAATSRSSSRWNRDACLQLAEQVHVVAGLLRRLQTLPRLRQHSETRSPLEQLAGALCRAYMLVRSCDQQQTARSYMCQLLTGA >Et_3B_029717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27337725:27341227:-1 gene:Et_3B_029717 transcript:Et_3B_029717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTCSVCKEAPSKYKCPSCRTPYCSVTCFKNHKDTCQKTLPQEEIGKSSHHEEVTPDTMCPAKSSNMLCPTKALEVEDPSWLVDNNRLRSIAELKEIRDAVRDPELQKMILKIDGSSEPEKELEKLIEGQAFHQFADKVFHLGLAFDLVVQLDLF >Et_4A_035584.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30104359:30105531:-1 gene:Et_4A_035584 transcript:Et_4A_035584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILCRDGGGGGRGRGRGGGDFAVAHLTVTSVPLGRVRLPVTAELCRMRGRDGAWSAATRLPIRHAAGNSEELTRWETDAVVSVGDSTIGWVDYLRGILFCDDVLGPDPELRYVPLPVNPCDGKDARNYGGRNIPQHRSVGVTNGGATIKFVDVAPWDAWFSGAPDYSRRIPAITSWTLSGDRRTWVEDGRIDVADFFDLARRHNLTCVLPEYPVVDMQHAQTIYVALSDGLCTDDNMFLVAVNITSKTIDECLHYACTYESDGEGDVDVDVTYTLPFLPSEFSRYLGLAAPLDTVAPQTVSDTVSERPSAVAGNVIRFGSLSFDGPCSNEEQACTAAGLRCKLVFGGAACLNKAEKKRPSVVQIGTIGVEIRDVLSCPFFQKKPVLKFG >Et_1B_012275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30402444:30405694:1 gene:Et_1B_012275 transcript:Et_1B_012275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAYIRGARGFVFWLASMPATTFHYRPCIIYVVDVETECFFLILMSGLSTVSFHFACFHTNVFRLFYFVSRLHYFEGYGYRGSTFEHTYRCYPASFIDKPQLETGDKIIMPPSALDRLASLNIEYPMLFEVQNVAAERTSHCGVLEFVAEEGMIYMPYWMMQNLLLGEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNYSCLTTGDSIMVAYNNKKYYIDIVETKPSHAISIIETDCEVDFAPPLDYKEPEKPKPTVPQNVVPTAEAAVEEEPKFTPFTGSGRRLDGKASKDKDVLASSPAKRQANASNGVQPSTGSTSQSSSSRKTSGKLVFGSGGSRADKAPEKEAKEEPKKEEPKFSAFTGKKYSLKG >Et_3B_031335.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26013620:26013847:-1 gene:Et_3B_031335 transcript:Et_3B_031335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSCPESSRLPSEVRPQNLLPRTNRAPPRNASSTPSLVSACVSTAIFQNAGLRSGFLRFLRFVAAEEAVNSGF >Et_1B_013623.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:179627:180349:1 gene:Et_1B_013623 transcript:Et_1B_013623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRPDGGGGGGGGGGDKQLVPSSTATANGGTSSNGAVRKAPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTSSPSSLRSSSSNSAQAQPLSASPTAHVLPHAAPFILGKRVRGADDDEVTAAAVGPAPGFWALPARADFAQLWSFAAAPDMMVAAAPGEASAARVGNYLPMAQGNLNLLASFSGGPAAAGTTAGRAEEESAR >Et_1B_010582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11896040:11897221:-1 gene:Et_1B_010582 transcript:Et_1B_010582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLQTNGGAAPNGDVVDAEEKMRMRKKAEMARHGGALASHQHEEDDDEGLVSSLLTKLDAQEKDVDSYNAEPNSFHPNHHPVKGRNIKEVELADIAKDLNKIKRQNTITHVLLGTVIVMTAVWQVNEVSFLLWVQRKLSNPFKTLGDLIKGSLKMKGRKPVIEVSPLPPVGIPDVTRADLPTLVISGDHR >Et_4A_032536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11122029:11127758:1 gene:Et_4A_032536 transcript:Et_4A_032536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMAWRALLLVVALAVADLATARFVVEKNSIQVTSPEELKGKYECAIGNFGVPEYGGTLHGWVEYPRSNKKACQSFDQFDISFKPKAAGGRPNFVLVDRGDCFFTTKAWNAQNAGAAALLVVDDKDEPLITMDNPEEGKEHLENITIPSVLITKKLGDQLKKSAEKGDMLSVLLDWKESLPHPDERVEYEFWTNSNDECGPKCDMQMDFVRSFRGTAQVLEKKGYTQFTPHYITWYCPESFVTSKQCKSQCINHGRYCAPDPEQDFSEGYDGKDVVVQNLHQICVFKIANETSKPWLWWDYVHDFALRCPMKEKKYTTECAHDVIKSLGLDMEKISKCVGDPEADEDNEILKAEQDSQIGHGKRGDVTILPTLVINNRQYRGKLDKVAVLKAICSGFEETTEPAICLSEDVQTNECLDNNGGCWLDKANNVTACKDTFRGRVCECPIVKGVKFVGDGYTNCEASGIGRCEINNGGCWKETRKGKTISACLFACCVKTKGGILQNEESQGCKCPAGFKGDGVKSCEDVDECKEKLFCQCKGCSCTNTWGSYECSCGGDNMLYMREHDTCISKETTTAAVGWSFLWVIFFGLVFAGIGAYAVYKYRLRSYMDSEIRAIMAQYMPLDNQEGANLNQHHVTHADDI >Et_4A_034305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31571145:31571889:-1 gene:Et_4A_034305 transcript:Et_4A_034305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLQKRLGNLGADKKAIVFYNTRNAPRIWTRPAYDPRYCNENVGGKSQEQREISLDGLRNRWFSVLVATDLAARGIDVPGVAHVINFEMPRFIGRTGRAGKKGVATSFLTLHDPDIFFHLKQMLIYSNSHVPPELANL >Et_6B_048369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16590099:16591286:-1 gene:Et_6B_048369 transcript:Et_6B_048369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPPFPISPKPDPDGPLLLLQNHLAAALEGSLALTPELRLAAAAPQPHLLLPPPEPEAEAARSSPKSGKTKRARPSVAEMVRATNLGVADQPHFRGLVPRARLAFAALLGICQRETLAAGRRNSADLRASSKMLSAGHWLHREHRVVGHVPGVLVGDAFLYRAELCVVGLHAAPQAGIAYIPGSAVAEGHPVATSTSPPAATSTTRTPATTSSSTPAAAAASSTASNTTPTRSSRAATSPSTTATSSTSRCASSVATRATPSPTARCTSTTASTRSSAPTSAPASRATTSASSSYFVSRARTTSWAARTGTRPGCSSTLSTPTSFRQGTSRSTCPVGRNVSVFLFATWWTTIVLL >Et_10A_001278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22893427:22894569:-1 gene:Et_10A_001278 transcript:Et_10A_001278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVILIFLSATIAGFFLIRGLNAEPDQFQDDDDDKASESASPRAPVPLHSKVGSAVKTGFWTMVDMASGRYLWRTLVAPPAKSESDKAR >Et_8A_056073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16426061:16427242:-1 gene:Et_8A_056073 transcript:Et_8A_056073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMAAGTEEEGEVAVLREALRQQAQTVEELRAELDAERRASSSGADEALAMILRLQAEKAAERMEAEQFRRVAEERIQHDEDTLAFLKAVVFHQEMEISSLNRRLLAVHAGDRDPKLAGKNGVQSRRNASHPSASLEELCSELDSAAVDADVCKGRRPARTVSDIGEVIGSDKDWARFSQSPPPLPRLHRSASHRLRRAPSCSAQCGMGSARASPSPPPEIIAEEGEKACKISNAALEADIEQIKATVQSLQTEFTKLRESTVSTSNAQSRLLTEIHSKLERAMPQPQQQQQTVQGGHGSSPAKGKAIREEGSSSSKVPQGELLMNHFIEVCGLAISALLVRPLLKVAASMSLPRCFLILALAVAIRTVLINSYARAKVS >Et_3A_024259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1944426:1946089:-1 gene:Et_3A_024259 transcript:Et_3A_024259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGMSSGRRRFVYQRPERSRGLLPLLALQVLLEYGRAGATRPPVTAALLAANALVYLRPGALHELLPTVSRVAFSPYLIIEFGDWMRFFMSPFYHLSETHLFYNMTSLLWKGIQLETSMGSAEFASMVAALLGLSQGITLLMSKGLLLLGDDTSYFSQAAGFSSVLFGMKVVLNAWSDDFVYLHGMVIPSKYAAWAELILIQAFIPDTSFLGHLGGILAGLVYLWLKRSFNGPDPFTLLISSVAKVVTWPFRFAQRLISYVRNQGRITGRGRVGRRASARETPRGIWRCLTCTYDNSVATDTCEMCSTVREDRAFSRRQNHEAGGNGELSVDEIRRRRLQRFDR >Et_8B_059250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15804775:15813032:-1 gene:Et_8B_059250 transcript:Et_8B_059250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPAMDTVRTCVLSTRWRYLWATARCINIDAKGFATERKFIHFVTTLLRRRGSTPLESFWLRADGPTIFLDKFQKIVNMWICHVLQCKVQALRIINQEDNNAPLVFELQHHYFTSMYLKRLHLCYVDIGSLFLNKLLTDCPALEDLEMINCEIHAIEFSSATLKNLSIDYDEFPELTSYEHFHAIVINMPSLVSLRIGSLLCPKLILVQVQSLVTASISLGYPQSFKFVHACDILGSLSNVKNLELLFPEDVEGEHSLRSDMQLCQTAFTNLTTLSLSGWCLYDDCKVLLYMLKRSPNLETLTLKLKNWFLGGAAEIKSPCDETTEPFHCVKLKKIVIICPKRNKRVGMLVTILFANIISPPEINIKPLPCYSLKSSKAMTFLGDRISSLPDELLHHVMSFLPAMDTVRTCVLSTRWRHLWATAWCVNIDAKGFATEEIHQFCDYLAASSRFYAIGILLAQR >Et_3A_024794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24207198:24211108:-1 gene:Et_3A_024794 transcript:Et_3A_024794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCEASASLSRKCTAASALDGEKKKQKLSEIQSGVEEAESLIRKMDLEARSLQPSVKAGLLAKLREYKSDLNNIKSELKRISAPNSKQATREELLEAGMADTLAVSTDQRGRLMMTTERLNQSTDRIKESRRTMLETEDLGVSILQDLHQQRQSLLHAHTTLHGVDDNIGKSKKILAAMSKRMDRNKWIIGGIIATLVLAILLILYFKITH >Et_8A_056555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12212267:12222861:1 gene:Et_8A_056555 transcript:Et_8A_056555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NSENEVKSDPDDIICGSNRVLHGHFFSFKNNDTKDAIGNSTAGVRIFAPFPNSTSTVVDTVTLLPKNATSEIIVAAPATPKGAEKLPPNIVVAESDLHLRRLWGNPREDTPARKYLLVLTVGYSDKVNVNATVHKFSDNFDLMLFHYDGRTTEWDEFEWSKQAVHISARKQAKWWYAKRFMHPRIVSPYEYIFLWDQDLGVETFDAEEYIKIVKKHGLEISQPGLDITRGAKNFDINVRRNDSEIHKTTLVLEPRCKTVHERPCSGFVEVMAPVFTREAWTCTWHMIQNDLVHGWGLDWNFWRCVDDPEEQIGIVDAQYVAHHFGFTLGSQGNATVEDNRHAVRVRASHEFGMFKFRLHKADTDRAAALLAPPASASSRP >Et_2B_020918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24789195:24794935:-1 gene:Et_2B_020918 transcript:Et_2B_020918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGAVLGFGLGLAIGLAGAYFVYLRFFAARRRLQDPIIRPLRDLDSETIQTIIPDIPLWVKSPDYERVDWLNKFIRDMWPFLDKAICNNIKRATRPIFDQYIGQHGIESIEFGQLALGALPPTFQGIKVYEMQEKELVIEPVIRWASVANVIVNVKVHSVKVSAQLVDLHIMLTPRVTLKPLVPSFPCFANLCISLMEKPCIDFGLKLLGGDVMAIPGLYRFVQDQISKQISILYHWPKVIQIPILDGASGATKKPVGILHVKVIRAMNLLKMDLLGKSDPYVKMRLSGERLPSKKTSVKMNNLNPEWNEHFRFIVKDPETQVLELHMFDWEKVKMHDKLGMQVIPLRLLTPYESKLFTLDLLRSMNPNDPHNKKNRGKLVVELTFDLFRDDSSRTSVTSDGEGNASLRRYDVSSGAGVLLVSVENAEDVEGKCHTDPYALVLFRGEQKKTKVMRKTRDPRWNEEFQFMVEEPSADDKIRIEVRNKRKGLPFRGKESLGHVDINLVDVVNNGRINEKYHLINSRNGKIHVEIKWSTV >Et_1A_009621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9758710:9763940:1 gene:Et_1A_009621 transcript:Et_1A_009621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVEGKVKNINTPPVFLEKEKEKERKRPNNDNCEKNTRTDKEGVKNKRNDNYKEGEKNRRTDKCKEGDKSKRTDSYREGKKKISNEVNNGKASLTSFDKEKRKEKLKISCSKKNMQTEDVMEEVVENWNDHSKLNSRKVSCKREKIRKRSNNSNCGKKIHGNGDEREETNMWNCDFKVKDEEVSAAFSENEKNKKRPTSTNREKKKLQSDVKGTQKQMRDLDSKMRNEESSAAFFEKEHEQKRPHSTNSQKKVQRDDKKCENNMQNGHYKVKDRRVSTTSFEKDKKRKMSSSDKVEKKMNGAYMGTPLSVSKNKMRRNDGRKKTEPITSAAKEKKRRPDGSTEKNAPHDSKEKKRNVPSDFKEGKMMVPTDSNNKKRKREEPHAVFKKEKRMQSDDNEKKIRSGNRNGKEKKNYGSGEKKKRNTPLSFFKVIFNNFKESLLIPPEVVPELEHLTNRHVYLEDSEGKVSKVRLSVVDGSLAFDQGWNNFASDHLIKWGEFLLFECSAESTSDYFFVRIFGRDSRERLHFDVGRKKEGARKKKIHTNTHGDLMSLEVKLEDTEGDCIFSGEYTGRKDPETHHVTVQTKEDPKRVECMVGSGPVPLDNMNGNEVIQQCRTQGMSPICSKREILIVDSEPLIHENNIARLTTSTADSNTQHMPAHTNEDLRRIHSGTGNGQSTVIDDEKGILPGVECGTKSTSPKCGIKRVASVDAAPLTHENDYMSKHDLKLHDSGEDLRWKQEISSIHLECTTAANKYNNHGEMNISRDVCRKYEAPGGFRCLEKWKSRIVSFRSVLDDTELFKPESTRKTDSQPVLAVEYDAVGLNNGDKCFLSKDTHACSQPVLTMPVKDPSSPDGVTKCEQDGTEINHSINGEGYVTLGVAGRRSMIMSTEKLSASTCLHGTALQRYTKLCGNHDFS >Et_7B_054573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2409156:2413057:-1 gene:Et_7B_054573 transcript:Et_7B_054573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLRVICDSVFREYAAVFIAAALSAFRMEMQLFKMSQKPVALLGIPRDMLHYVSYLSEGCAFIMESILVHEPVLQDAHKDTIISAGKALLIVEGQYREGYMRADAIVDAITALTVVEKTFFDPPKHAVPTVPSSGDDVDKESYFKDLLSVWQFYYVDLLWEAQWKYQDKPQPIIALQLKNKSILSTKYSAAALAKLSCGSASGRNSSSRITFLKGIPSKTSYTGISST >Et_8A_056821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17376382:17386567:-1 gene:Et_8A_056821 transcript:Et_8A_056821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGWQTVEIRDVSGNGAVQAFDMKKVEEIMGSTSDVQMQPLAEDLETTIEIKIKTLDSQTYNLRVNKCVPVPLLKEKIATVTGILSEQQRLICRGRVLKDDELLSAYHVEDGHTLHLVVRQPGQATTLGNAGTEANTSDSGRRRGPTMARSIVVEAVNMDHGHSEVPGFAAEIIQRLFGLPISAQSSGAPAPSETRPSEATQSSIPNTVRVELEQQQPPLFQTEQAHGLSQPNVIPDALTTISQYTEFMRDSFRREGQTEGNAENRTAGSSVGGTQNQESQPDSASTIGLPTAALLGETMQSTRQIVVEQAGALLSQLSTQLGDLVNVTDSATRRNLQSSAMRSGVLLQNLGSLLLELGRATMMLRINPASSEAHVNSGPALFISPSGPNPLMVQPVPFFPGRRSVQMGPIFSSLGSHGSVLQPRDVEVHVHTSGSVPVASTNPSEPAGAQAPEHTNRTGDASHANIGEAFAGVAGGGPVPGGSGVRLLPLRTVVAMPAGISRAPSGSSSGVGIIYPFITRIQQRANTNGSNERNGQSPTEPARSSTHPNQQTIPQSSRTHEEGNLGSPIDVNVGNSSETTPGQQSGMNPIFQILDNLRALFSGENVRADGTSQQAPMASTEQGNAINHGTPEASGVSEDGLRFANMAASDSSNRARDGTSDSRRSHQHHRDRTEEPNSKRQRLVVTDFVSCHELVIDSTCFCPNDESSYRESAE >Et_10B_002590.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17529108:17529347:-1 gene:Et_10B_002590 transcript:Et_10B_002590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLFVARDDKGAFLGASAVVLEGVTEAEIAEALACREGMSLAKDLLLIKFRLASDCAMAIKSIRKMTWAGMAILHERSWP >Et_9A_060932.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:1934802:1934809:-1 gene:Et_9A_060932 transcript:Et_9A_060932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VH >Et_8B_059650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20262393:20265353:1 gene:Et_8B_059650 transcript:Et_8B_059650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRQPSEEPEEQLDLEGDDDVMDDDDGYRRNRRRADSEEEPEEEYEENDERQGEGDAADGVGDEMDKEGDDGPEDAEEKKKWDELLALPPHGSEVFIGGLPRDTTEEDLRELCEPLGEIYEVRLTKDKESKESKGFAFVTFTDKDAAQRAVEDVQDREYKGRTLRCSLSQAKHRLFNLPENVAKEKVKELFEKHGEVTKVVLPPAKAGHKRDFGFVHFAERSSALKAVKGSEKYEIDGQALEVSMAKPLSDKKPDHLHRPGGGPSYPRPPYGGGHMGDPYGSYGGGPAFNQPMIYGRGLAPAGMRMVPMVLPDGNNLVECPLRLHLGGVTEGTAAEVAMGAIVVDIVLTSFPLPSSRPSA >Et_7A_051404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1808348:1808636:-1 gene:Et_7A_051404 transcript:Et_7A_051404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRWSQKSPGLKILWIWTLGTAAIMVGGVVRMRVNDLQKMFREEEEAAAAATAASNERVLKDDE >Et_3B_028429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15224153:15230427:1 gene:Et_3B_028429 transcript:Et_3B_028429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAVSWSDGLTVDLLLLVLLHLHCLADRASFAAVCHSWRQAQELHRQRQAQGQAPAPPRQISWLFSSACQGRGPSITSFLSGSMRRIRSLQADLSRARLCGSHPGGWIAAVLGPCGGHVLVNLFSGARIGLPHRMRLATPRFSSVTPVIVRAVVLSAAASPGPSGTSNVAFCRPGVDQYWTACDPEVTGLQDMVYHQGDSMGGFHVLSANGNVGVFTTDDNAGHGPLVIARERYYIIQKNILVGLLPDLLPNAPSVTRYLVVSRQRLLMVVRYYSCEEGAGSGVCRTLLFRVFEMQVVTTSQALHWASWVELEDLDGRVLFVGRGCSRAYEASELQGFKEGSVYFLDDAEFDISLALSNTSEYPCRDVGMYSMSAATARPSLGAPVYGTKTYLSLQTDDMADKEGGMKVVVETKEKEAQPNGSKIVGTRWNSQLNHSPSSPLQPGLHHDVVLEALWNSNNVDDMATKKGKKVFVEMKEEEAHPSHYTVPQAKSWSGGFEGRLPLISRMGSVTTRRPYRHRETILRISLGILDGDGNLDGRVLFVERGCSRAYEASQLQGIATDRSYIDIIQKQNLVGQLPLLPNSTSVTRYLVVSRQRLLMVVHNYCQEAASGMCRTLLFRVFEIQVVTQYEAFHWASSGGDGKLGRTAPGKASEYLCRDVGMYSMSAATARPSLGVSVYGSKTYLSVVSDNASKEGKKVLVETKEEEAQPSGSKMLGTRWMFPSQQFSKFSPPI >Et_4B_038542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29690036:29692289:-1 gene:Et_4B_038542 transcript:Et_4B_038542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETSRVDGRNPNQLRPFSCTRNPLNRAHGSARWSQGDTVVLAAVYGPKPGTRKGENPEKASIEVLWKPKTGQIGRQEKEYEMTLKKTLQSICLLTVHPNTTTSVVLQVVGDDGSLLPCAINASCAALACANIPLRHLAVAISCGVMENGSVILDTSKAEEEQLKSFAHLVFPNARKSADWKESKQKDEHFGRGLITSITHGVMSEDDYFNCIERGLAASSRISDFMRNTFQKEASEAA >Et_9B_064031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10276115:10277645:1 gene:Et_9B_064031 transcript:Et_9B_064031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSATAAAAARWSDLPSDLLHDISRRLGAVADYVHFHAVCKPWLDALPPPELRPVFLPWLLAPRDGGGGHRRARDVFSGTEIKKVRDRGWAISVDDGAAAAFSDDGPPLPNGSQADPLAGSASNSSIALPPYPDAIKPCVLRAGSAVCSDGTVFHYAFGREAWESFRVSVFTALLRPGDADWTIVTRDDDNFIHSSYVHSCCLAYHDGEIVQCHGSKWTVMSTTKVGGGGRRSGCIGSEAGKELLSSYLVVSRGDLLWVFVQVKTDSDYYKGVAREPGSILSSTDAMVVSVYALQCEGGEPRWIKRDSRGFADRVFFLGQECSFAINANQFGTGGCAYFVDRMLLDHRDKVLLEQPRVYKYSFVDGMSEFVEQLQWINVGRMWLTPKPVISSTEEIRIKLQFGAYFRIYVGNLPRKVDSSRLREFFSKHGKVADARVILDTKNSRSRGFGFVTMATTLDKEPAHAIAMLNGQ >Et_10A_000505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11632854:11636461:1 gene:Et_10A_000505 transcript:Et_10A_000505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSDVELGRQPLRCPEKGQFLDFFLKGVSRERLAPSRAAPPRHRCGCPSRGATRAASSPHHPILLRRLGFFRGFVGAPCRTLSNEAGASGRGGECWNCGATGAFLSCGSCGSVQPVDPAVDYFQIFGLERGYKIKEHSLEGKYKDWQKKLHPDLVHTKSEKERGFAAEQSALVIDAYRTLSKPLSRALYLLKLEGVQVDEEKTINDPELLMEMMEIREAVNDATDSETLEKIQSQRLTGGILTVQWKLHRMRYYERAVEETVKKL >Et_4B_037269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17470092:17477260:-1 gene:Et_4B_037269 transcript:Et_4B_037269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEATNVLLNVGAPGTQEETDNTYQEDPNVFKPERFENNNVDLKDVDQCISFGAGGSGVAATAEDAGQLTQYTVNITRTIAFLSVVLLVRLISRYNSPSTHTKQSSLPLPPGPWQLPLIGSLHHILLSRFRDLPHQAMHELSKTHGPLMLLRLGAVPTLVVSSSDAAREVMKVHDLAFCSRHLSATIDIISCGGQDIIFSPYNERWRELRKVCVLELFNQRRVLSFRPVREEEVARLGSIWPTCIRRHV >Et_8A_058062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1749184:1750080:-1 gene:Et_8A_058062 transcript:Et_8A_058062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRWRNARQRGESERGMTEDRVLRVVLFPICAAEEVIERIISYGRDEELVSAAVAEHGKGAVLTAAPKIQDRHDAVHKVERSLLKSDHVFLDMAVMRRKKLNDIYSHVANAFHYVQGANSGEGQRVPAQRPAFASASASSSPAAPRPARHCAHSYQAQEVVKL >Et_1B_011484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22804725:22807657:-1 gene:Et_1B_011484 transcript:Et_1B_011484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGPNESPISRGRPSTPSSNHRPSTPSSNHRPSTPSSHHRPSTPGGSRRSSVGTPSTPRSRTNGGPLKSEPSSPPSGARPRLSFDRSPRSADSKPVVERRVPKIGTPPDKQPRRELELQARLECVQEDLKKAKDQLAFTLGERDRLVGELNEAKRVADETNEKLQDALMAKRWAEEATEIEKFRADELEQAGIDESQRREEEWQRELECVRGQHAADLETLVNTTEELERIRRDLAMANEAKKAALGHADDAMKIAEVNAEKVEILSNEVVRLKGLLDSSTASEESKHRETELLVKNLESEVSTLKGKLEEAKLIEERLADAEKMIEDLRSEIAEAQKTEADIRQQLEEWKEKTASLEVKLEEVTLSEKFKSDSLASTTEELGKIQSMLQDRESEIEVLKGKTTALEIEVARLLADVSDTSEHLDASQQEVFGLQTTIDVLRNKLEAAEQAASEALNNEKTANTKIEGLTEEKIKLISELNDAKDREEKEKKAVEDLTAALSKASCEAQEAHEMFQKKEDDYEHALAQIGDLKMALNSTRESYEVMLDDANHEITCLRDSIERLEAEVSKYREECESKELDIITANKQSEQEIAALKAESDQVAASLRSTEHELQAVNEEKERLQEKLTHLESAVAEANKDVQEEKTEKERLHEKLVYAESAVAEANMAAQEAKAEVEKLQEKLVCTESAVAEADKAVQEAKTESLQLKERLLDKENALQSITQENDELRVRESEAKKKINELSALLAEAMTKKHPEEEEKLVVVDEAHISVREEAVAPSGQQNEDTEGDDGKKLKMEVDAVNGDSNKDLNHEEKDDSRFEKEMVKTEFALPESTKVIEKEAETDRKQETESSNEELDSKKEDSSTENANRTMTVSSTTVSSEETSKVTMSPTKPQQQQKKNKPLLKKFGSLLKKKNSK >Et_4A_033017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17015886:17017342:1 gene:Et_4A_033017 transcript:Et_4A_033017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CGKLKKACWQPPPDGVYKLYTDAAFDPATNCGGWGFEVIARLTAQRPTAGILGKMEHVSSALHAEAIVALNCLERAAHLGMNNVTILETDGPKSFWVLMSENPKCKEYSV >Et_1A_005397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10053584:10058554:1 gene:Et_1A_005397 transcript:Et_1A_005397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWNFGGPDYPKAFPGFGRQPEARDVTFKVKYGDTLKRFYGSVNGTNLDINLSALRAKIANAFKFGTDAEYILTYTDEDGDAVMLDDDDDLRDAALHQKLNPLRISVQLKTGRPNEEQKSNSESVTPAAQDPVSQIMSAIEALKPLSKSSTAQEECLAQIKSAVGEAIKSVPEPIPDVLAKLSHEVLDSAPKPFADLIKPLVQLITPNNNSNGSSEVHADGSSSTLSGVTQTQMPANANDANLVPRPLNVQKSESPVESGLRSVLDEAAAPPPSAGASQGQQASLYPSVEELLFPSYLVDKSYKGKSDAQSKGKSVMSSTPQHAPTVIPNVAPPPNVAQPPPPSISEWFQPRRCHSHRWQYEANAKDKTDSRWRDPIAPFGSPASLPYAPPGYGSSPHFPYPSRLLSLGRPYGDLKMENSAARSLHKWIQCDGCGVQPIVGPRYKSNVREDYDLCDSCFQRMGNEVEYTKIDKPVVPHRLSRDPNVYRKVNPQVLMKQKREKLESRFILDVTVLDGTLMVPSTQFTKIWRMHNNGSIMWPLGTQLIWVGGDQFALQTSVPLEIPVNGFPVDKEIDVAVDFVAPARPGRYISYWRLASPSGQKFGQRVWVHIQVEDPSFVNAENKNAAINLNLPPEINGSNTANLIDVNMEPATSTQGYHSYPVDVSLDAFEPKKSQPAPFTFVVPSVSSTDPGANVPLPSPTATAFAPSVSVPTPEPVVPAVPFPVSVPKMPATTPVPSTPVSVPNITESTPVNVLASAPSPASASAPAPLDAAAAPEPFAIDDDTEEKLLRELEEMGFRQVDLNKEILRQNKYNLEQSVDDLCGVNEWDPLLAELEEMGFDDTEMNKELLSKNGGSIKRAVMDLVAREKKDK >Et_7B_055987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8883350:8890456:1 gene:Et_7B_055987 transcript:Et_7B_055987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPWVLLDRCVWFEFDFGGAGETNDHRQKRAKTFEEREAALPADLEAMKPDPQALAPPKITRLSMLNLAYHDGIRGGIISSTDKSLVALYAGNYDPGSPASCSPWNGCYLVYDASDGSLSSIPQLPYPYSFRGLGRGAAILSLGGKGSYVLVELVEAGYRFSKADIFLWWSPASPNQAEAGRWVRRSLSLCLPSHVPNWDKYPFFHIDRTFSYAGSSVCWVDLFSGAIICNLLTPEPKATFVPLPAGCSVDFPIRIRPQPHEFRTMSCVRGAIKFATLDGFYGSTPDTAGDILAGPDLKEWREDAAAALRVADLWASESFRDSGLPRLTPETPVLSVDEDDVVCFIMTDYDRVENVDNYGQVWWQLVHKARYLLRVDTARNKVLSSISTCNKSPWPELLASEFSAYLQEGSKDHQEQQKTDTEGSKASMLGTVQDSRTS >Et_1A_004590.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21374081:21374518:-1 gene:Et_1A_004590 transcript:Et_1A_004590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TKHDIGDVLRLANDYGIVVKNSLNLQKIPEKKMGFKKKTSLKNLTMA >Et_6A_047608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7992323:7993904:-1 gene:Et_6A_047608 transcript:Et_6A_047608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTNSTAPMMAAALAFLVAFVSSIPVLYRLLFAGAGDNPRRSKPLPPGSFGLPVVGQTLSLLRALRANTAEDWLRRRAAAYGPVSRLSFFRRPTAFLVGPAGNKFLFTSAALTTANSEAFSRMVGRRTVRDVAGEDHARVRAMMMQFLRPDALRRHVGAMDAEVRRHLDARWRGGRAAVAVMPSMKDLTFDIMSTVLFGLDAAARRELSEEFQQLARGIWAVPLDLPFSTFRRCLAASRRGRRAVAAVVEERRARLERGESSPADDVITHMLAGGLPDEEIADNVIFLMIAAHDTTAALITFLLRHLDANRDAYAKVLQEQVEIARGKAPGDALTWEDLSRMRYTWAAALETLRLVPTAFSILRKAVHDVEHGGYLIPKGWSVMNAMTMTHWDPAVFPDPSRFDPARFEAASLPAIPPFTFVPFGGGARVCPGNEFARVETLVAVHHIVTRFRWKLAAGSDGSFSRFPMPYPSQGLLIDIEPINAINGGQWNST >Et_4B_036135.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26914881:26915758:-1 gene:Et_4B_036135 transcript:Et_4B_036135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGRRPPDAGRAAAELRRREAAADAQLAAARARLAEALAELERARARAAELQRRLEQTYGKRRRLVEEARGRIHEIRTLLYEGRQERLTDEAAPEHDAAASSSSSQVRLLIVPNLHFLDAATRFRHPTAALRLLEVIELFRVCDRTVVNELCCFRLIICRGKVAVDGACCGRILRSKQGATA >Et_3B_029411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24873935:24878680:1 gene:Et_3B_029411 transcript:Et_3B_029411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGGAQLERRSSVRRSQSMVLEEDRGSPAAEEQMFRSQGIGAVLDKDSAAPKSCLAKHEPSEMDLMKEKFAKLLLGEDMSGSGKGVPSALALSNAVTNLAASVFGEQRKLEPMAPDRKARWKKEVGWLLSVADHIVEFVAKKQVLDNGVEMEVMGTQQRRDLQANIPALRKIDTMLLDYLDNFKDRNEFWYVKRDSASESDKEEANEKWWIPIVKVPPSGLSPASRGWIQHQKELVNQVLKAAMAINANCLMEMNIPESYLEALPKNGRASLGDALYRIITDVEFDPDVFLSTVDLTSEHKILDLKDRIEASVIIWNRKVHNKDGKSSWGSAVSQEKREQFEERAQTLLLIIKHRFPGIPQSTLDIAKIQENRDVGFALLESYSRVLESLAFNVMSRIEDVIIADNHAREKAKKDAPPAETAERRVPQEAGEEVEDQSRTLLDFMGWTGDSEQKNDDNSPPPPPPEPPADDGRLMKLPNIMTNLKQTYMDKLDFLGGHRSPTGFSVEETHRADLVILKGLTIVSDLVPSINRENQSYNDFEHTYKRTIKEIGCPLQNYRNHNIPREKKEKIKKENFHTENKCEINKNQGKITYNA >Et_1A_008808.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14042241:14043118:1 gene:Et_1A_008808 transcript:Et_1A_008808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHATSLLVLLVAGLTSPAAAFNITRLLGEFPDFSSFNDLLTQAKLAEEVNRRQTITVLALDNGAAGGVSSLPSDVQRKVLSMHVVLDYYDVAKLEAIKGKSAMLTTLFQSSGQATDRMGFLNFTKRAADGAMVFGSAEPGAQLASRMVKSVASRPYNISVLQVSAAIVPPGVGGKQAAPAPAKGKKAAPPSEGDEAPAPGPSDDDAGADAPADAPGPEADGPVADGPTADGPVADGPADADAPASEKSDDAADAPVGSAAGRVVAGAGIGILALLLII >Et_8A_057141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21499699:21503670:-1 gene:Et_8A_057141 transcript:Et_8A_057141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEFLLLWATMALSLLYYYMAVIRRRRHRLSGSAGRLPPGPRPLPVVGNLFDLRGANLHHTLARHARAHGPVMCFEVVSATRPPLVVVSSAAAAREAYTRHDRRFAARAVPDAARARGWAGRSVAWLPSASPRWRAVRAVMAAHATSPRALAAARGARERKARDVVARLRASAGRPVRLRPLLYRCMLNLLSSSLFSVDVSAGDGGDSSQPGLAEDLHRVAEAIMKPNVSDVLPFLSALDLQGRRRVLGRHIEKVFRTLDNIIDTRLAAMAITSPSSEQNKHDGDGKDFLDTLLNLMSAGETTRDDVTNILFEVFGAGGETVATTVEWAMAELLRHPATMAKARAEVAGALGKKEIIEESDAARLPYVQAVLRESMRLHPVVPLLCHQVVDDGVDIAGYAVPKGSSFIINSWAVMRDPAVWDRPDEFRPERFIVAEDDNAAVEAKHVAKDRYEFIPFGSGRRSCPGVPIVERVLPVILASLLRAFEWRLPDGVSAEQLDVSERHVHKERPSSWGRRSCPGVPIVERAVFERWLPDGVSVEQLDVTESFGTVTCMAASLQAVPIILP >Et_7B_055775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4089328:4090908:-1 gene:Et_7B_055775 transcript:Et_7B_055775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSGAKKSNKITEIVRMQQMLKKWRKLSVAPKDPSSATAGSGSGNGNAAGTGAGESKAKKFLKRTLSFTESPAPSGSPPPPPKGHLAVSVGPEMQRFVIPTEYLKHRAFAALLQEAEEEFGFQQEGVLRIPCEVPVFVTILKSVEKNKKDAAFRYCSVEYAVDEVGRGTPNNPLAFPRNAGTKKILKL >Et_4A_034503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3963446:3976797:-1 gene:Et_4A_034503 transcript:Et_4A_034503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAGRFYGVTTRAVMAVETRESLPPRSVAAAQLAKPFTRMVSTVHRKVRAVRGAGKMKTSARRSLGGRGVFVGLYLCGFHVPSGVYPFVSADAVYPGWNCHETSEVGAYHLADRSIEAPNCDKNGGLARPWSIADFLSVYVARVLAGDVGDYVRFRAACRRGRRYAADPRAHGVMDCRFHTKHWIVMPRVRETLSTPDLDARLCQARRRALDAPGVRTAAGRPSAPTQFDAAFTLLPSVATRPNESEIIGRDAEKQALIGVLRADTVHLVDNGGELTLVHRKVRPVDRDAEDCFRLKYTVYEVDPRAEKMKTPARRSLGGRAFFIGLYRALSVSPRVLPFVSADAVYPGWNCHEGSEVGAYRLADGSIEAPNCDRNGGLPRPWSIADFLSVYVGAHMPASGELVGLRLIIQPSPRQRLPAVIRRSAVRAQPTSASKCHENGRMFVGLEFLRCCFCCHKKLDADMDVFVYKGEQAFCSAECRSQHIAKEERREIEILVRKRRDAFHMAAVAITDGGGGGTTTVVLYFSAGRISTLAFAKPGDEHWTLVKIDQRCRLPAASTASPRAPSWPWRRERETAAAVGGGRPTSQVVQQDGGYRAPDSVLICIARNAVLMMG >Et_3B_029346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24259364:24262624:1 gene:Et_3B_029346 transcript:Et_3B_029346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTCLSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGNNSMKAARDLVMLEVRKHFRPELLNRLDEIVIFDPLSHEQLRKVARLQMKDVAVRLAERGVALAVTDAALDVILSLSYDPVYGARPIRRWIEKRVVTELSKMLIKEEIDESSTVYIDATQNKEELTYRVERNGGLVNPQTGQKSDILIQVPSGAVSREAAHAVKKMKIMQDDDDVDDMEEE >Et_1B_012766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3539434:3540076:1 gene:Et_1B_012766 transcript:Et_1B_012766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQPRIIACGNKVATFAMAVRFLTGPAVMAAASFAVGLRGTLLHVAIVQVLWGVVKRKEGRIHSSEKSFAASLVIFGMLIALPITLVYYILLGL >Et_5B_043478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1164911:1167379:-1 gene:Et_5B_043478 transcript:Et_5B_043478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSVAGVGSSELIYRGRDAPATNGADQGPANKSHQKPAVPWLVRYVMGEQRLLFAFVGMAIATVAFLLLLQAPHDSSTTSSAASRSRSVAHLAAVGGLASRHLSSFSSPTAMGRVPLGLKRKGLRVVVTGGAGFVGSHLVDRLLARGDSVIVVDNLFTGRKENILHHVGNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQTEAYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRANTADDPHKRKPDISRAKELLGWEPKVSLQNGLPLMVQDFRNRIFGDQKAKDNAGDN >Et_3A_025320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28929265:28935092:-1 gene:Et_3A_025320 transcript:Et_3A_025320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAEHMMATQTYYGIVASSDMYGFSIGQDARTGIFVQIANFRDGPISVQNGINVGWHVYPELYGDSKTHFYVYWTRDGYQKTGCYNLKCPGYVPEANVPIVPGITIDSLSEPGGVKRTIICKIFKDSTGDWLLHVGFDSEPYLVGRFPKSLFTTLSEKADVVRLAGFAVTRTTNLVPMGSGFLPDNSKAASFSDIQLIDQNGVTSKVQHDQPVVIVDKKAYSASPISNEGKFTYAFPDITITRGKAWLADYQHANIIHNRLTIET >Et_4A_035502.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2777972:2779249:1 gene:Et_4A_035502 transcript:Et_4A_035502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAATPHSLLLRRPSPAAPRASATASSIRLPTRAARICCTAVASPSAFAAAAGAAAVDRGIYNFAAGPATLPLSVLKKAQEELVDYRGSGMSIMEMSHRGKEFDAAIKKAEADLRALLAVPDTHEVLFLQGGATTQFAAVPLNLCADPSVPADFVVSGSWSDKAFKEAKKYSAASVAWSGKDGKYTALPPFDAIKQNPEARFLHICSNETIHGVEFKDYPEPKNKSGILVADMSSNFCSKPVDVSRFGVIYAGAQKNVGPSGVTIAIVRKDLIGNAQPITPVLLDYKTHADNASLYNTPPCFAIYICGLVFEDLLAQGGLTEVEKKNAHKAGILYDTIDASGGYYVCPVDKSVRSLMNVPFTLAKGGDFEKQFIAEAAKEGMVQLKGHRSVGGVRASIYNAMPLAGVEKLVAFMKDFQARNP >Et_7B_055166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:803577:805001:1 gene:Et_7B_055166 transcript:Et_7B_055166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRTPRHNVPAHHQNQPANGDTLPTAPFQNLLPSLLCSPPFVPLLLQLERFAPWTPRPLGVGCRGRDDRWAASLVSAVRVVVCFAAMMVTTAAWAVVMLLLLPWPCEHIRQGNLYGHVTGRMLLWILGNPIKVEGLEHLNNIFLVQLWILGNPIFICNHASPLDIFLVMWLVPTGTVGIAKKEVRIPSPSTATTNEPFLYLNCFFCRSSGTLCSGSSTCWPTTCASTAAVASMKDVARAVVKNNLSRILFPEGTRSRTGRLLPFKKGFVHAALQTKLPVVPIVVTGTPPGVEERRRQGPARHAHREGPAVPPPLRTYEWEEERVGEYVEMVRSMYVDNLPYSQKPLDALEADRKND >Et_4B_038673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3889656:3891731:1 gene:Et_4B_038673 transcript:Et_4B_038673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPAAAAPAAGADRASAPAAAPGYASYPTLSPEDVAPPPPPPYHAATAAPSAYGGNPYVSSPAGGSTQPPKNTMDSVKDVLGKMGKRFGEAARKTENITGNFWQHLKTGPSITDAAMGRISQITKVIAEGGYEKIFHQTFDVAPGEKLKKPYACYLSTSAGPVMGVLYLSNVKLAFCSDNPLSYKVGDKNEWSYYKVVIPLAQLRSVNSSTSRTNAAEKYIQVVSVDNHEFWFMGFVYYDSAVKNLQEALQEAQSSRAQ >Et_2B_019075.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29759232:29759840:-1 gene:Et_2B_019075 transcript:Et_2B_019075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHAPYIICGSARRASGSKAPLRFFPCPPTCTSPLLPTMAAAKRLYRPPSAAAEPNKRQRTAAAPVMDPCAAAAPQVESQQALLPGLPDHLAQLCLAPLPPRLVHAVCRPWRRLLYSPSFPPFLSLYAVLDDSDGGGCVSFAAYDTVSGRWESLPPPPLPSPPPKLWHPSFLSRRLPLQT >Et_4B_036797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11597790:11601853:1 gene:Et_4B_036797 transcript:Et_4B_036797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKEKPVNVSGKPRHSLDVNRANDKKGAGGGAGAGSRADDSQDAFVEKYASSKLPREEEEDGLRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPMGTRCYHLEKHLKENCKHKHLVFLLNKCDLVPAWATKGWLRTLSKSYPTLAFHASINKSFGKGSLLSVLRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRSKSVCKVAPIPGETKVWQYITLTKKIFLIDCPGVVYQNNDSETDIVLKGVLCRITGKLLKGGEPDLTTVAKVVLHDWQRGKIPFFVPPPQHSDDGASESTEPVEKSNEDGVSSDRTAAAMKAIAGIISSQQNMNVPCQNESATNNEDSELAVQSDNEIAVQSEQRPCRAIRV >Et_2A_018488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:297928:302935:1 gene:Et_2A_018488 transcript:Et_2A_018488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVYSRDFLLSFGELEHCKKLPADFDTALLSDLQELSAGVLDRNKGYYHTPLGRSDGSGSYSSRGGNSGGRWDTRSTGSSDRDGELPDREPLAQDRRNTNQYRRSWQNTEHDGLLGSGGFPRPSGYAGQLTSKDPGTAYQINRTSQRYQPPRPYKKDIDAVNDETFGSSECSNEDRAEEERKRRASFELMRQEQHKAMQGKKNGPDIIKENLGDDIISQLQTSTEKANTKTRTEKLDGSAVSSIHQESTTKASSVLPPPAARPLVPPGFANAFVEKKLQSQSSNISLEPKGYNATTEANMATIARTEVNKSATEITECESKERSISDNIANLGPKHTLISAAVTSSTDFVSGVLKENGNWEAAVMNKYSVANEGNSKNIDPVRKDSSVSILEQFFGNALSKSGSNLPTYVENPPLKPDDDMMSSVPESSKFARWFIDEELKPAEDLSSKSLLSMIVKNEDSDPGNVFHAPLSDLGVQNLSPKSPIGKFDSGSKLLSFSSPPADGILKQHSRSDIPETVPVMMTCEDLEQAMLEQVKGNSSSSQKNAIQEHPAVLDEPVAMQKVAVDNHASHHLLSLLQKGTDTKGSSLGFKIGSDEPQSVDVNLMANGRISGSGPVDKAENASTSGKNMTLETLFGAAFMSELHSKDAPVSIRGSATGGPNEFAETGKSLLPSGHEVFYPVEPTLHTNTVKDAAAPKEPGIEYRNSALPGYQGNASLEIQLPEEDNLFTMNDSLPRQNSDILPSVRSSRAEGLLPEKAVDDLSYRLQSLMPGDAEHIQVLGPDALGSSREQRYQAESQNLYHLLQGRPPMMAPHPMMDHVVNRNQQGPFDVPQPTRHDPHRPYPSNVNPMQHTLHGPGVPHMDPAAHHLMLQHMPIPGNFPLEGLPRGVPPSQPVNHMPGYRPEMSNVNNFRMHPRQPNYGEFGLMPGPEVRGNHPGAFERLMQMEMSARSKQQVHPAMAGPVPGGMYGHELDMNLRYR >Et_1A_006670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2589418:2593258:-1 gene:Et_1A_006670 transcript:Et_1A_006670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGGGVGGGGSPNNTEWRFNQTLRNVQGMLKGRSFPGKVLLTRRSEPLSPPDYSPRFENDHDENECNEGSQEGEGQTPGNSSDNAGAKKSSLPSTSSTNSLPDAQGLVSGARATDSTRIAKFTTELSRPAVILDKLRELSWSGVPPYMRPNIWRLLLGYAPPNKDRREGVLTRKRLEYVECVSQYYDIPDSERSDEEITMLRQIAVDCPRTVPDVTFFQNAQIQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEHLEGNMDTWSIDNLSAQDISNIEADCYWCLSKFLDGMQDHYTFAQPGIQRLVFRLKELVRRIDEPVSKHIEEQGLEFLQFAFRWFNCLLIREWSDKLQKLDFQEMVMFLQHLPTRTWAHDELEMVLSRAYMWHTMFKSSPSHLAS >Et_5B_045609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5452399:5453526:1 gene:Et_5B_045609 transcript:Et_5B_045609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGGAAAAAAAAGGVGRTPTWKERENNKRRERRRRAIAAKIFTGLRALGNYKLPKHCDNNEVLKALCREAGWVVEDDGTTYRKGCRPPPGAGLSSAGMSPCSSSQLLSAPSSSFPSPVPSYHASPATSGFPSPTRHLDNGSNGATPTAACLLPFLRGALPNLPPLRVSSSAPVTPPLSSPTASRGPPVKVRKPDVVVCGWDAAVVDPFRHPFFAVSAPASPTRARRREHPDTIPECDESEVSTVDSGRWISFQMAAAANTAPASPTYNLVNSGGASASNSMELDGAAPAEFEFDKGSRVVTPWEGERIHEVAAEELELTLGVGAK >Et_3B_030840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7970873:7972511:1 gene:Et_3B_030840 transcript:Et_3B_030840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFWSFIQSYPEVFLAIICFFWISILWFTRRCQRSALPVNWPVVGMLPFLVSNLYHIHDRVVDLLREAGCTFMVFGPWFLNMNFLVTCDPATVNHCFNSHFNNYPKGSEFAEMFDVLGNGLLVADSESWEYQRRLAMAIFAARAFRSFSMSTIARKAGSVLLPYLDHMAKQGLEIELEDALMRFSLDISYSTVFAADLECLSVSSPMPVFGRATKEVEEAVLFRHIVPASLWKLLRWLNAGSEKKLANAKVVIDQFIYQEIAKRKAQESNESQGDVLSMYARWPMDPGMSEQQKTQFLRDTAVGFIFAGKDLVAVTLTWFFYMMCKHPNVEARIIEELRGLQSSTWSGDLSVFECDTLRLFPATPFEEKEALVDDILPNGTKVTKGTRIIFSLYAMARIEGIWGKDCMEFKPERWLSKSGRLRHEPSYKFLSFNSGPRSCIGKDLSLSNMKITAASVIYNFKVELIQGQTVMPQSSVILHTQKGMMVRLKRRVAA >Et_5B_045608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5444637:5448929:1 gene:Et_5B_045608 transcript:Et_5B_045608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGLMRRHYSSPAPAPALMPENEDILREILIGISPLPSSLVRAAVVCKRWLRLLSDPQFHRQFCAHHRAAPLLGFFADKRGNPFFIPICKRNEIAVWNPITREQRAVAIPPRNGGPRVILHHDLVCEDISKQAFRVVLLWSDCDLSQVDSQVFASVYDSETAVWGNLVSTTIRGSLASNPGTLVRNSLFWFLFGTGSLDILQFDMDKHGFDVIAFPMDTHVAPDSSFQLLRMEDGELGIAISLKQSERIQVWERKANGASKWMLQQEIRLRKLLSLWVVKPSLVGYDEDGHAIIVSTYDGVFMIEMKSLQFKKLFKDKNMKTYHTYRDFYAIEVKG >Et_2A_017177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31811995:31813515:1 gene:Et_2A_017177 transcript:Et_2A_017177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSRGCTSDWVVLVLLAIVDGVLNLIEPFHRFVGEDMIPGLRYPLKDNTVPVWALPVLAVVGPIVIIVGIYIRRRNVPSVLRAYNRRPDRRDQGRRWPAAAEFLLALLPRRSAVVCHGDRAVIKEGYKSFPSGHTSWSFAGLGFLSWYLAGKIKAFDQRGHVAKLCIIALPLLLAAMVAVSRVDDYWHHWQDGFGLTRTLSTFSASRVKSQCSQQLTRVTSTGFVIRPCRNRDENQ >Et_10B_003514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2290196:2291630:-1 gene:Et_10B_003514 transcript:Et_10B_003514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAVALASPASDDRRFWDRLRNRLDAILEDRRVLAPPAAATTRGVESERGKRLREDSLMLVRGLDSVAASLAQLSDTLTAAQKGVSALATCPTQAMGCESAADADGEEEPKPKRQCSASSEAADLDDITPTAVNQAGDVILREGTGDIQASTEVAQSTNLKRARNLAVSMASRAAALARELKNIKSELHFTQERCGLLEEENKRLREGYDNGVAPEEDDLVRLQLEALLAEKSRLAQENANLTRENQSLMQLVEYHQLTSQDLDESYEEVMQGMQLDFSSPLGRIDSGDEEGECDDGVPVTPTDKLELLSSPEE >Et_6A_045955.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:13290442:13290708:-1 gene:Et_6A_045955 transcript:Et_6A_045955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAIGTATPTNCVYQADYPDYYFRITKSEHLAELEKFKRMCAYALLPTISFLPSALFIFKLLTPLIFLIMFDYVLFKIYENLLYILL >Et_4B_036395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21604384:21605022:1 gene:Et_4B_036395 transcript:Et_4B_036395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASHRHAASLILLLLCLLSAAALAARAGVDAEQKPTPAGYNKKPAPAPGTGTNKPGGRAPPVYPNPGPGAGGVGGAIPTIPGFTIPGMGGIGGAIPGLGGGWGGGVGGPAGGYARGGVVAPTMVCAEKGPCRGKRVTCPKKCFSSYSGAGKGYGGGGGGGSCNFDCKVKCTAYC >Et_4B_040083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9195278:9196871:1 gene:Et_4B_040083 transcript:Et_4B_040083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADYDRAYRPYDAPAPAPAGEYDRPYHNEVVPYGGDRRLDIVVVKPPARSPPPPLPASTRSGGGGGGAASAWCFSDPEMKRRRRVASYKAYSVEGKVKSSLRRGFRWIKAKCSELIHGWTRRPKTNERGTV >Et_8B_060128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6105349:6106839:-1 gene:Et_8B_060128 transcript:Et_8B_060128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFREDPRPSGGLGGPYRVQLAGRPLYNDAKTSIVDSSTCDLQEGIGSNRSFQIRRLWQQRPPCLKPIHCSLSCDKHVGETIANVITSLPFIALGLQAPRRPLDDCLLLIGFSVATKYELTVVCQFCRKNLNTSLYANSLIGVGVASSLYHTSRGDIRKYLRWADYTMIATSTLCLTRALRDENPKFLMAASTLLLPFQPLMVSAVHTGIMEASTHDVSFAKRASMDPELKMAHNLHKMSSLLGGALFIADDVFPQTSYLHAAWHLAAALGVGTCNKLLE >Et_1A_008170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4941242:4944650:-1 gene:Et_1A_008170 transcript:Et_1A_008170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAPAAVAAPSPAGKRRRGGEEGLRRVAEIVMVLAAAGEVRGGREPTAAERALVLEARERLAAAVAEGAVRPKDLFPGEAVRAVVEDLGLNRAKDPGSMGFRPPKASIADRLMLTKRKMEEVKEAPAQPTSAPQTIVSSGMSEFQGLHGPSKFGVGAPRNPPAATAPNSASLVILKPPGSSPAKPVNNSSAGALSHTGPAHLKLEKDVNGPLNLARGGETNSSILIAAAATIVHSAKSTPDTFARPNVNAIQSSNQVVKNQDTKPVVIQAATGNPVTGHRSTPGVPLKPTFAHHNEIARSVQQFLHQPTNHPSWTPPSTEYMNSRLCCQICKAVILDADSLLVCDACERGAHLICLQNYGNKGVPKPEWHCSVCLTQSKGKPLPPKYGKVTRTAVTSKAAPPVNGAQFSFQGSAETMATKENPQKLAANGNPTKSISTQAGGTVHNSNVLALSATTAGTQSQLVSNLRPSVGNAVKPEASSSEKEGTGQACSSMVQNNTNPPPNKRLRSDSSLNSVDSANGIMHGKKTEEISGVKCADNSFAFGSTNIKLEAHSEPHPSRDEEMVDHSETQMEQTRTVATEESPRTQASFEPEKVKYLEKTTSTGTSTDQSTNYATEEKNHSQSTSESHTISDVEITVPTETPICQSSNVAIEEKLKADAASEPNGIKDMEMSTNNAPAIGQSNNLAIEEKPSEQTSASNDVELTNYAAIPTNQSQHSNGINGNGIKELPYGDENKFGCNAVSDHGSIQPVVPNGVLDAKDEMLCVRENKAVSASAEATEQTN >Et_4A_032180.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22651791:22652111:1 gene:Et_4A_032180 transcript:Et_4A_032180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALRGARDLYVRALKGLDRLVTAAKPRAGVGRPTSRVFGVGGDCGSEQELRELVNAMRARRGAAASAGAVVGSEKTEAGAPAAARRGGMVLERINEDTAVAHPAG >Et_8B_059921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4040014:4043660:1 gene:Et_8B_059921 transcript:Et_8B_059921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTFSLLVLNISFRTTADDLYPLFERYGKVVDVFIPRDRRTGDSRGFAFVRYKYAEEAQKAIDRLDGRNVDGRNIMVQFAKYGPNAEPIRKGRVKESVEKSRKSRSRSPRPRHRDRDHRRRSRSRSRERHRDRDYRRRSRSRSRSRSRSRTRSRSRSRSPDYRDRRRTRDVEKLRSKSRSRSRSKSRSRSKSKSRSRSRSRSYHSGSPARRSTSPGKSPTRQRSPTDRSPEKQTNGKASPPSHSVSPSPKRAGSRSPGIDNEVVLVGRAEIPDAQLAVQRVRMSPGHL >Et_10B_003628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:408705:411843:-1 gene:Et_10B_003628 transcript:Et_10B_003628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSMFLQWAMNQLHQHPTAAAAAAAGAPAYPDGGGGSGAGDREAAFPSLQALRNASGQPTPTSQTAAAVRFRDLTVQVDHRAANSNNSWSSDDSPGAAMDHDAAAAAVWSPHTARARTTGLGGGSNSRPVSWNFSAASAQQTTDESGGRGGDVVLPDAATPARAPQAASTGRRGGGGSGTNAAASPGPVQDHIIAERRRREKINQRFIELSTVIPGLKKMDKATILGDAVKYVREMQDKLKTLEKDGAGNSRIHQSAVLVKKPCRVPDDEGMAGASNGGGLQPSPEIEARLSDKNVLLRINCDNAKGLLVKVLTEVEKMGLSISHTNVMPFPASAAIITITAKASHLLPIHNIDRFHIMLCSLRMEEGFDTTVDDIVRRINSVLHRHTAAVLRTEDKK >Et_1B_013666.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11475117:11476088:-1 gene:Et_1B_013666 transcript:Et_1B_013666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQRRRPEPAAIDITWVSCRGVKSSLPFHTPCLYASIFVVSSSSKSAHGHRRPHRVKTPTDRAGGENPEWDAPLRLYVPDASSPPDAAASAKNVINRGDGDGDVLLVKFELKAEVAVLGDVHTASAAVPVPDLVADGRTRRVSYQLVGPDGRQPNGVISFSYAFHERNSDDDDGQCSSDGEPATPPCATPTAVVLPPATIAAAPRLYPAIEWTLTEQLPVYPPVTSLTTAEPFAVADAQCYPPPPPVKPAEVYPPPPPVAEMTTFCGVYPPTVVEPTASALYPTVVDLAPVSYYPPLAPPPPYGAGCGYAASPGWGDRRLYS >Et_7A_050542.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:23579643:23580335:1 gene:Et_7A_050542 transcript:Et_7A_050542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEIKYDKALEQQETTTENQTSSSTKRQDQSDEQENEEHTGEQETTRPACFTDAAFPQPAPPDPCVAGIGIRICNSSGPIALAIEVQAKAHQVTTPLQAEGLTLLLASRINAILNPAGVSYRTDSSILASTLTRNNFAVKPGHWRLRPLLYEFRANTAGTAGTTTVVHKIPRNSNSPAHGLAQKAIRSPQHSNCIFTCSHLAHGNQCPVIEAFAHVNWGSFTPIAVNCR >Et_8A_058101.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:208691:209518:1 gene:Et_8A_058101 transcript:Et_8A_058101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYEVEVTVGSARELKNVNWRHGELKPYAVVWVNEDGPKCSTRVDLDNGESPVWDEMLLVPLPPSASNRLEDAVLYIDVVHANAAEGVKPLVGSARLPLRDVLDDKVSRTLRLKRPSGRPQGRLDVRVAVRESARHPYDGPTPYPYGQPVGGSRDPYYGQQQPGPYAAITTAAAGAGYGYAYGGQPAAAYGAAAPPPVSTAGYGSAVAAPQQQQSGKMGMGTGLAVGAAAGVLGGLALAGGASYLEDKFEDNVAERVEDDAYGAGDGGYDDDY >Et_4A_034386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32177727:32181798:1 gene:Et_4A_034386 transcript:Et_4A_034386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPALKRPKLEKDDSDSAYCPRPSSNGAAPVAPANGAPPQPEEEDDEDITEEAVLALIAHRERDVERCKLKLLHYQSLLDTAETKLADAQARIARFRDRAPARNPPPLIKREPKTPTPPPPEKKAPPPPPAQQPAAKPQLVIPGPNNRPTPRQAQPPEPMPGLKKVAAASSSSSLAPPEQQRTEEKKPKKPKRKIEEKEHQNLIPSIKKSSATLLKFPGGSIVPSQHRRKLRCLELCPVNDQLVVTSALDGMVILWEVQPRGPSVAFRGRTDCFSPKHRWPEDIAWHPAGDTIFAVYSADNGDSQVSMTNLISGQRKVTFLPEKPHTKGIINNISFMPWSDACFVTAGSDHAVILWEDKDDSWKHKKVHKDFHSSAVMGVAGLQQKKTILSVGCDKRIIGFDLSAGRTEFKNLIDSKCMSVLTNPCDFNLYMVQAGTPGRQLRLFDIRLRQTEVHAFGWKQESSESQSALINQSWSPDGWYLSSGSADPVIHIFDIRYHGQNPCQSVQAHQKRVFKAVWHQTFPVLTSISSDLNIGIHRYS >Et_8B_060812.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:833277:833588:-1 gene:Et_8B_060812 transcript:Et_8B_060812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHFSTGVISALAPAANETRMTADGGESFEFDGMVFAVTEGNEVAEVLDGGALRVLGSESFFHARTGTQEHFVDVQGTTEAMLLLVSVREDERRDVGVRRLS >Et_8B_059018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12379143:12379517:1 gene:Et_8B_059018 transcript:Et_8B_059018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIKRYCFPYESIWDDPVPSPYPILECACNITAVVTQPSHPLTAACAYFCCGNKDFTCDFFQWIDSPEKYDHWILLVPWTVKMAPYKKFKRWVPPPPNP >Et_2B_019923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15261624:15269331:1 gene:Et_2B_019923 transcript:Et_2B_019923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVRGLALPPALASPCTSSRRHAPVPRGRSSRNRRCVLEVRAAAVEAEGASRQPEPVEVVGVGSRKDAVIDFCLGSRTLSSTPIRFWTVNVIDNSKVQLLQKGHGTEAVFRDLEGPLFFNPCPPAVILVSSAGQDADHITAMKLLNAVKSAGKLAASIFLKPFCFEGQRRQVEAADLIGKLQTCSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISVMMSGYNQMFWSSLSAQIREVDPEEVGKLLRSYGEARVGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVFLSLTTARVLPESDMISTLHIFRRVTGFTKDIIFSRNSEPDLEPKLIVVSLLTIRNHHDDNVAPVKEGFLSSLASNGRIQPESLEANFEVAEEICKDDNREHLGSQQEHNFWTDSPGFGIAQLWAKERTTAKGSSQNHEIDIITLPVGVKSSEVQDDNAPKTQPATPDTGTSVATGQPAFGVSFSDVHLEKVMAMCSSAVTFLRGRMDKSRKRGIIASRAALMLDAEREAEKTWSPIVEIRYGGGTYRGRCQEGVPEGKGRLTFGDGSFYDGLWRYGKRSGLGTLFHSNGDVYHGTWRDDLIHGKGWYYFHSGDRWFVNFWKGKANGEGRFYAKDGSIFFGHFQNGWRHGETLLVDANGSRWIEVWDEGPDEISKLGCLDELRLSATVRTLADSNWQIGEENDTGFLWYVKCYPPS >Et_8B_060196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7073681:7074231:-1 gene:Et_8B_060196 transcript:Et_8B_060196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGGVGVGCFVVYMHEGCGVLSVKALDHSIFATATEFAVIFLQLQPAEQPAYGAGRRRSRGPLGKFWHFRLEYDRPCLFLVTGWAEFLEAHGISEGHLLVFRYQGNMVFTVKVFEPSGCRKEYYAVSSGDVPGDRPHPGKRFHRSQV >Et_2A_018310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22572813:22574749:-1 gene:Et_2A_018310 transcript:Et_2A_018310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSASLMVLNLPLPSLATITLGVSVFLNPPPLSTLRSPSDTHSGTPPPSSSPSCRRRRRSAVVLPPPAAAESSDAPFSMSARALLVSSFPWVNDSFTLALKSPSGNPSMHVSWCAITALSQIRSWYASLAMPPPPSLASTPRSVRFRSSLASSNTSLQSATAAFTRGSLLMIRSARSNACAIASPITDTAVRTMSLGDVALAFAALPMLFSHVSVKSVCAHIALIALDTAFRSVDGALEPDPDPEPPPDLPLDGDEPPFLEPPSLAACFPLYMTAAPPMTMRMMTMDTVPAAIMMRRRCCRAFSARRRSVSGPKSPNADDMVGAVSVPWPSLAERLAGERRETLENHGLFGERGFRGNEGRGDIEPSIYVLMAYFADDIRSAVALQHSGVRRARPVSRRIPVMRAVRGPGAPAIGHHRNGLLGNSAAGAVAATSAVSLQVDRRDAANQSRRNDQKRQGHRGRRLLPPSAFHLVTHTISPLSPETIVDRGRQV >Et_3A_023330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21042159:21042682:1 gene:Et_3A_023330 transcript:Et_3A_023330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTFFGASPEVPPWMSLLYAIVALAALWWAWRALERAWLRPRRLARALHEQGLRGTAYRFPSGDMKDFVRLGAAACSQPMPLRSHAIASRAVPFDCSIIRQHGNVAVTWFGPEPRDCKRPETAARDPGEHARPLREAEVHRLGREAVG >Et_3B_031503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32368014:32370023:-1 gene:Et_3B_031503 transcript:Et_3B_031503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAGCCCFSSSSHGGFTRRSLRLLTCSTSARLVFPSAPSPRRRLLFSAPDPPPLDLAAAGEQDDDWYASEEDERYGFQIQVSKMGKLNRRLVRARVRVEAPLEAVWGTLTDYEGLADFIPGLSECRLLQQDHRFARLYQVGIGRTGAIPFYWWIPLPSNPFKQVGEQDLALGFKFNAKGTIDCFEGEMELLPAAGARRREIAFKMIDGDFKVFEGKWSVEEVDTSSVDDEGGESSEDQEFQTTLSYLVELEPKLWVPVRLLEGRICSEIKNNLVSIREQAQRIYRLEHEEYLDDQM >Et_5A_041505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23749568:23756850:-1 gene:Et_5A_041505 transcript:Et_5A_041505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYAEGGGAKEGIGTLGTAGMGGSVTLGTTGIGGKVTLGTAGIGGSVTFGTAGTAGMLVPAGIGGKVAAGTTGTAGMGGNVAGTFGIGGKVAAGIAGTAPAAGTVGTAGIGGKATPGTVGAGSFGTAGIPGTAAGAAAGVVSARWRAAWQVLLPASISAMTSAVVKRPEAEAMIELEALAACYVTLGTAGIGGKATLGTAGIGGKATFGTAGTAGMLAGSAGIGGRVTAGTVGTVTAGIGGNVAAGIAGTAPAAGTVGTAGIGGKETPGTVGTGSFGTAGMPGTAAGAAAGVVSARWRAAWQVLLPNSISDMTSDAAKRAEAEAMADLGALAGWRMQAEKGVGTVGMAGMGGRVTFGTAGIGGKVTLGTAGMAGTAGIGGSVTFGTAGMAGMGGKVAAGTADTAGMGGNVAGTFGNGTAGIGGRVAAGTVGTAGIGGKATPGTVGTGSFGTAGMPVTVAGAAAGVVSARWRAAWQVLLPASISAMTSAVAKRAGAEAITALTGVGTVGMVGMGGSVTLGTAGMGGSVTFGTAGMAGTAGIGGRVTFGTAGMAGTAGIGGKVAAGTADTAGMGGNVAGTFGNGTAGIGGKVAAGIVGTAPAAGTVGTAGIGGKVTPGTVGTGSFGTAGMAGTAAGAVAGVVSARRRAAWQVLLPASISAMTSDAAKRAEAEAMADN >Et_4B_036474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2619488:2620087:-1 gene:Et_4B_036474 transcript:Et_4B_036474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCFSRLRRPTGSPAPEPMPASADEASTSPCSSSSSARCCAKDAGVSPHDGDGAAVGKNPSALSESGLSSAIASRRFFLSSPGRSNSIVDSSAHGGGAAVGVGVGAAGVAVPTYSPDPHADFLRSMEEMAAALRLDARRRGDRARLHELLLCYLALNDRRTHKYVVSAFTDLLLRLTATANVDDEHRN >Et_4B_038345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28354338:28358947:1 gene:Et_4B_038345 transcript:Et_4B_038345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGARIKDGSPHPGASGMFSKSGGKDGSRLSGCSSRASSASMPPTAKTECEILQSANVKVFSFSNLKAATRNFRPDSVLGEGGFGSVYKGWIDENTLSACRPGTGIAVAVKRLNQEGLQGHREWLAEVNYLGQFCHPNLVKLIGYCVEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRMKVALGAAKGLAYLHSAEAKVIYRDFKTSNILLDTDYSAKLSDFGLAKDGPVGEKSHVSTRVMGTYGYAAPEYLSTGHLTAKSDIYSFGVVLLEMLSGRRAIDKNRPQGEHNLVEWARPYLTHKRKIFRVLDTRLEGQYSLRGAQTIATLALECLSYDAKMRPSMDDVVTILEELQESSEAEKNQESKGSTKQAPAISASKSSRKPRRKSLGGAKETGPGPKSRPVIHSR >Et_4B_036537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28754728:28755158:1 gene:Et_4B_036537 transcript:Et_4B_036537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPNCHVRAHVNVAETPQNYGRIFHKCPRFSALGCQYFQWDDEIDGAIVPSEQPAMMQVAAAPQAEGGGRVQDALNMQLIMIKIKWLEKLVLACLVLLVYIAFWK >Et_9A_062420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22743722:22745590:1 gene:Et_9A_062420 transcript:Et_9A_062420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQETLSLVGTMRGHNGEVTAIATPIDNSPFIVSSSRDKSLLVWDLSNPVHSTPEVPSEYGVPFRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLSTGLTTRRFVGHEKDVISVAFSVDNRQIVSASRDKTIKLWNTLGECKYTIGGDLGGSEGHSGWVSCVRFSPNPAQPTIVSGSWDRTVKVWNLTNCKLRNTLQGHGGYVNAVAVSPDGSLCASGGKDGVTLLWDLQEGKRLYSLDATSVIHSLCFSPNRYWLCAATEDSVKIWDLESKHVVQDLKPDIPVSKNQIMYCTSLSWSADGSTLYTGYTDGTIRVWKISAYSY >Et_1B_012616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33857550:33860360:1 gene:Et_1B_012616 transcript:Et_1B_012616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAGSSAAAAAAAVSEDEDNYEEYIPVAKRRAMEAERLRQLRHSKQAPSSAATPSSSLPLPPPPPLPPAQSAGPDAAAAKPSLLVKATQLKRSAPEVTATEERILQEKEMIENLSEKKSLMSVWELAKGIIYTEPLQTGWKPPLRLRRMPLAKANELRRKWHIIVEGDDVPPPARDFRDLRLPEPILRKLRERGIVQPTPIQVQGLPVVLSGRDMIGIAFTGSGKTLVFVLPLIMVALQEETLMPIVPGEGPFGMIICPSRELAKQTYDVIEQFLVPLKEAGYPEIRPLLCIGGVDMRAQLDVVKKGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPKKIQNFAKSALVKPVVVNVGRAGAANLDVIQEVEYTPPPVLIFCENKADVDYIHEYLLLKGVEAVAIHGGKDQEERLHAIDSFKNGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQTETTLLDLKHLLKEAKQRIPPVLAELNDPLEDEEIIAKESGVKGCAYCGGLGHRVGDCPKLEHQKSMQIAGSRKDYFGSGGYRGEI >Et_1A_007933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39551886:39557146:-1 gene:Et_1A_007933 transcript:Et_1A_007933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTFSPRPASRAAPLKPLQAGAKPHPHFSFPRLRAGRFARAAAGEAPVEVVEAPPKEVEPTPAASNGSAVKAEAPAKAVEVEAAPLPRFRDGRWVNGTWDLKQFEKGGVVDWDAVIDAEARRRKWLEDYPEATNRDEAVVFDTSIIPWWAWMKRFHLPEAEKLNGRAAMIGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLFIRKNEDLDSLKKIIDESTFYDKQWQATWKEDSPAEPKKKSNHRIQHNARDNAPESSDAALSEPSELLPVVARASCSMNSFTLSFVLSKVAATPAPPEAVALLESKMYAQELTPAAMTTTRLRWFSSRIEFTKWTSCRHREMATSIKWDFKFCEAEIKLVRPDNNWKQDFYAKISVENPGRKQIEGMKQRES >Et_9B_065521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6073379:6077824:1 gene:Et_9B_065521 transcript:Et_9B_065521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQDEQANEVEDLERVFNDACAKPIKIAYEVLKSITKSFAQEIGSGAFGVVYLGELQNGMVAVKKIMTIDLSDEQYLDEVACLIMLKHKNIVRFLDSHGKVMEMGNKFIIAEERERLLCFEYAPNGNLHHYLSDKNRACVWHTRYKIIKGICQGLHYLHKEHINHFDLKPENVLLGEHFGLSRNFGGGRSTIVTKNIFGTPGYIAPEFFQTGQISSKSDIYSLGQIMKKLLLGSETTENWYESLDEDCPLLKKCIEIAKICDNSDPKERPTIDYILDQLNDTEAMIQMVPITIYEPRNDPASSLYKV >Et_9B_063840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17052287:17052979:-1 gene:Et_9B_063840 transcript:Et_9B_063840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHGGGGADTPRSPLRIAHDGEFFARLLTKESSHGNPSFRYYYGAGPGAVPFVWESHPGTPKDAAHYSSSDSAAVVPAITPPPSYHLRAAAGAGAGPHGHSSRRHGKPGAGSKVKYCGYKKLNKWIKIGFIATVFRRLAFGSGKSSSRSSSSSPTAASGSGAAESRNDLQEYSCYEPAAAPTTTTKGTLCSLGGVRPSPWMLQFWGGGRREHAGWAYA >Et_7B_055510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12814123:12817676:-1 gene:Et_7B_055510 transcript:Et_7B_055510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDKHKIALRYIKGSFSLDLLGCFPWDYIYKATGRMELVRYLVWLRLYRARKIMAFFKKMEKDIRVSYLFTRIVKLITVELYYTHTAACVFYYLATTLPPAHEGSTWIGSLTLGDTRYINFREMDLLTRYVTPLYHAIVTLATVGYGDIHAVNSREMVFIVAYVSFSILLSAYLIGNMTALIVKGSKTERFRDKMTDLIRYMNRNKLGADIRSQVTAHLLLQYESSYTKDRVVDDIPVAVRSKMSETLYLDMVSKVHLFKGCSEDFLSQIVVKLHEEFFLPGEVILEQGTVVDQIYIVAHGCLEEVAIGESGSEEIISELLPYDIVGDVAAVCNIPQPFTVRVCEMCSLLRIDKQSLTSILQIYVKDSRQILSNLLKGKETGSKGKQLESDITYQIAKQEAELVLAVNNAAYHGDLFRLKGLISAGADPSKPDYDGRTALHVAALRGYEDIVRFLIQRGANVNSIDKFGNSPLLQAIKLGHDRIASLLVEHGAVLNLEEPGNYLCRVVADGKIDILKRFLNFGIDPNCKNYDQRTPLHVAAAEGLHFVAKMLIEFGADVQAKDRRGNTPLDEARRCSSKPLVRILEQSRTVAVAQ >Et_2A_016013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20111900:20114803:1 gene:Et_2A_016013 transcript:Et_2A_016013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGSDGTDVSPESEAAAAEKRGEIWGTLEELLLAYAVTRHGTSSWDSVAMEVQTRSPLAVRPGLTPHSCRLRFRHLHRRFSSAGSGGEEEAEEGDGDDPDASAAEGWVDELRRLRVAELRRDVERCDLSIGTLQSKVKRLREERERSVSGDAKPDEASGNDRLSGEEPGRSCRESNSTDLKPPEHPGGKGGVKEEEVAKQEASGESAAASKESSDVRSSASLCRRRRGKTSGVEEEEAASAPRAPPVQSLPLAALLDDVAGKLGAVMERLHEHESEEGAAYRGTIRRHVDLETVRGKLEASAASRADDDDSYPASEFYRDLLLLCTNVVVFFPRSGPEHAAAVEARAIVSEHASAVLREPKQEHVVAVPAAAPEPAPAATDIVGPLIEKGKPLIVCRKRSSIAKAAAMKKQESAEKSDAEKEQEESEDEKEAVATVTKDKAWGLRTKRGGAVKRLASTKLADDTETEEAANKGGKKGVAGAAEGGLAKKRNAVDFLKRLNSSPSKKRGSPLGTTRRRKVTAAMAEQPQPQTRKRGTGRKDGTGRGGSNRGGKAGVAKRGVGRPLKRGPAPATPPPSKRAKTNRSEKSAPTGKRGGRRSAG >Et_2A_015974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19865647:19866527:1 gene:Et_2A_015974 transcript:Et_2A_015974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAAPMLKRKGAEASDPWLDGVPVPATKMRRLDAAVQPVEPGAGVPPPPPQPLEVEVVPMGGEVAPVVVAPAANDERAIVLYQPAEAARNLLRGPLRPEGPLRVSPDWIRGIKSAFPKLRRNFWQQIQRTVLQEANNHRALFEELASRDETPNLAMVPWAPANSHVAQATAASTAPPSEVMDAEQDADGASMEVEEGYVAQPAAPPAGITLQGEAFQQQQQQWAMQHCMAPPPQPLQVPAASYQPSPVTWSW >Et_3A_026462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8297228:8299974:-1 gene:Et_3A_026462 transcript:Et_3A_026462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTTSRRGPGGGRNIDDENLNFETSPGVEVVSSFDQMGIKDDLLRGIYGYGFEKPSAIQQRAVLPIINGRDVIAQAQSGTGKTSMISLTVCQIVDTAVREVQALILSPTRELASQTERVMLSIGDFLNIQVHSCIGGKSIGEDIRRLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDEADEMLSRGFKDQIYDVYRYLPPELQVDWLTERMRSNNFTVSAMHGDMPQQERDAIMAEFRSGATRVLITTDVWARGLDVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVRKDDIRILRDIEQYYSTQIDEMPMNVADLI >Et_9B_066058.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21837385:21838104:-1 gene:Et_9B_066058 transcript:Et_9B_066058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQQQQAVVIVGEDHCDGEDRELTVRKTTLFTPGDGLEAYDHRTGALAFRVETYGRRGVCGGGAAAGDLALLGPQGEPVLTVRRRRPSLHHRWDGFLGDGAAHGQKPVFSARRSSILGAGTGAAAVLVDLLAPGAATEFRVDGSFPKRSCRVVASSSRDEEDEDVVVAEVRRKVDEAAHVVMGRDVFVLWVRAGFDAAFAMGIVLVLDRITGGDEADHGDLTEQDLLAHEATSPPV >Et_1A_005897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15194580:15196915:-1 gene:Et_1A_005897 transcript:Et_1A_005897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHFPKDQAFSRSNGFLDGGGAGMEEIEEVGAAATVAVEQSPSQSSSPSATAVVMSSCGQYMLHRVGKLDTLAGVAIKYGVEVADIKRLNGLSTDLQMFAHKTLRIPLPGRHPPSSYQQNGSYENDHRSLTIGSLVNGETDENGDSEGLIRRRQKADGELLTREENGGDFLASAGKGLALRPKSSNRPDMNKSQQNLFAMAEPLFGNGLQTVRKSSSTPEFQEPESNTSSSIWSPSKWGIKPDSFVLPLPIPRFDSIPKPIAAWKNKAARD >Et_1B_010940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15698306:15704156:-1 gene:Et_1B_010940 transcript:Et_1B_010940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGEPSASASDPKGKKDYSTAILERKKSPNRLVVDEATNDDNSVVALHPDTMERLQLFRGDTVLLKGKKRKDTICIVLADETCEEPKVRMNKVVRQNLRVRLGDVVSVHQCQDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCDGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKARSHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLELIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSQGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEQSRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIENDIEKERRRKDNPEAMEEDEDDEIAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFSEQSTAATAGAAADPFASAAAAADDDDLYIGWRGREETARQKEGTRRPLRFGVWRKAWSPVIEEVASDGGETVMTPSAASR >Et_2A_018859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9918980:9922069:1 gene:Et_2A_018859 transcript:Et_2A_018859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQADGWERSDFPIICESCLGDNPYVRMLKADYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVRDTALAISSNDAIPRSDVNREYFAEEHDRKARAGIDYDSSYGRARPNDTILKLQRTAPYYKRNRAHVCSFFVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVAMKLLSKAGEMPSLTPPDDESIKTLYIGGLDSRVTEQDLRDQFYAYGEIESIRMVLQRAIAFVTYTTREGAEKAAEELANKLVIKGVRLKLMWGRPQAPKPEEDEAGRQGHVAHGGLLPRAVISQQQSGDQPQPPGLEGQQQPGPASYYFNIPAPPVAERTLYPSMDPQRMGALVKSQDGESKPGAQPAGQAQPSSSSGQSYPAPPPYYHGQYPPYYPPYGGYMPPPRVPYPPQHPPYQPMLAPPAQGQASSSQQPAQVQAGQQPPYGPPAQQQPHAPQAQQQPQAPPVQQQPTQN >Et_2A_016987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2998917:3008896:1 gene:Et_2A_016987 transcript:Et_2A_016987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAINPFSSGTRLRVWPMLRRDMIRAIRTCKTAAEERAVVRRECAAIRAAISEGDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLAPEVERLLQNRDPNTKKKAALCSIRIVRKVPDLAENFMGTAASLLKEKHHGVLISAVQLCTELCKASDDALEYLRKNCLEGLVRILRDVSNSSYAPEYDIAGITDPFLHVRVLKLMRTLSQGNADSSEYINDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAIAVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNDANVKSLTKELVDYLEDKLWYLDQLFRVLSLAGNYVKDDVWHALIVLMSNASELQGYSVRSLYKALLACGEQESLVRVAVWCIGEYGEMLVNNISMLDMEEPITVTESDAVDAVEIALKRYSADVTTRAMCLVSLLKLSSRFPPTSERIKEIVAQNKGNTVLELQQRSVEFSSIIQRHQSIKSSLLERMPVLDEANYLMKRAASTQATISSVKPARAATPGDPLKLPNGVGKPPAAPLADLLDLSDDAPVTTSAPAAAPNDFLQDLLGIGLVDSSPSGGAPSASTDILMDLLSIGSSPVQNGPPTSNFSPPVSKYHAAETKPAPVTPQVVDLLDGLSSSPSLSADENAAYPSITAFQSATLRITFSFKKQSGKPQETAINASFTNLTTTTFTDFIFQAAVPKKPLAMRIRMSYKVNGEDRLEQGQISNFPAGL >Et_3B_028690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17999505:18001684:-1 gene:Et_3B_028690 transcript:Et_3B_028690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNPKASEHANTSRPIPVNLMANCFGCSGLKKKALKNQHSKIQTFPFYLLQQATNNFDEERVVGKGGFGKVYCGVLEDGTMVAVKRKDQRSSQGLMEFLVEIELLQELNHPNLVSLIGYCDEGNEMILIYEYMENGTLMSHLYGSDKPSLDWKQRLEACVGAAKGLQYLHGQHYVHTGSAKAIIHRDVKSANILLDYKLRAKVSDFGISKTGPELDKTHVTTRVKGSFGYLDPEYYKTEQLTEKSDVYSFAVVLLEVLCGRPAIDRKLPREKMNLADWGMRMLNNGNLEQIVDRKISGKIKQCSLDLFGEIVAKCLAEESKERPSMEDVLRDLEKVLSLEDKNPFKRSVGKMCLRASNVPDDLSGPSMSRVSPVLDEGISVAGNSDESDHGVSSQLCQPGDRKPLARSLAFKRDGLSGPSTSQVAPVLDEVISVAGNSSESGMASLLS >Et_5B_044468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2726701:2729279:1 gene:Et_5B_044468 transcript:Et_5B_044468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVKPSNSKLISLIVAASIISLLAGVAHGAGKRRLISSHADEPCKRMTFYYHDILYNGDNNANATSAAATQPTLLSRSTSINDTYFGELVTATNAWFAFSRVFNSTAYKGTLEIMGADIIADKTRDFSVVGGTGDFFMSRGVATVRTDSYEGLYYFRLKMDVKLYECYVVYEVTPSSKQREKNNLQWNQRSSTAAGDNLCFTGTMSGMSSSNRSHSFKNPRHIIDSDSKLLLQEENNKLNEKKTQSPDQEN >Et_4B_037988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25336654:25340592:1 gene:Et_4B_037988 transcript:Et_4B_037988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAVQEAKLLRQVNALIVAHLRGQNLGQAASAVAAATMTPLSAVDSVPADHLIRLVAKGLAAEREGGAASAFDSAAGGYGGMMPALGSSSVDFSMQDVKGSSKSFPKHEARHVSDHKNVARCAKFSPDGKYFATGSADTSIKFFEVAKVKQTIVGDSKDGTARPVIRTFYDHTQPINDLDFHPESPILISAAKDNTIKFFDFSKTNARKAFRVIQDTHNVRSVCFHPCGDFLLAGTDHSVAHLYDINTFSCYLSANPQDSNSPINQMVLSASGMVYLPNAFGPSLEHMDQYILSCGKDSSVKLWEVGTGRLVKQYAGTVHRQFRSQAIFNETEEFVLSVDEQNNEVVVWDALTAEKVAKLPSGNTGAPRWLDHSPVEPVFVTCGNDRSIRFWRQTV >Et_1A_009354.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:40188794:40189177:-1 gene:Et_1A_009354 transcript:Et_1A_009354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFVSGQFWTSAMFNIRIIGTVNALASGWGDMGGGATQLITPFVLIRSHSQVRRHAIRGLASSIAACFGMVANISDTSARLWNIWILQTAGRPPKPHLESSRSCVPALPPSASSSPALPRGPDPH >Et_4A_034817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6815830:6817795:-1 gene:Et_4A_034817 transcript:Et_4A_034817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSKSVCVLLLLLCILIRECGAVTFTFVNRCTGTVWPGIQSNAGSARIDPTGFVLPPGTSRAVPAPSGWSGRLWARTACAQDGTGKFVCGTGDCGTGALTCDGQNAATPATLAEFTLDGSGGNDFYDVSLVDGYNLPMLIEPAGVAAAAGGAGATTTTTCAAAGCAADLNTRCPAELRAVGGAACRSACDAFGKPEYCCSGAYANPNTCRPTAYSQVFKSACPKSYSYAYDDPTSTFTCAGGRDYTITFCPVATPSLKSSSGPGAPTGPTPTAPGAGASPDMPRPATGGQGVGSGGGGADGQGVMLGDNSWLASLATGDGSSAPSRLSLARALPLAPIMLFLLLL >Et_1B_010876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1560672:1563861:1 gene:Et_1B_010876 transcript:Et_1B_010876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVAAGFAFAPAVCRVAYRSGAVLQCSAPSSSARSPARPWMRPRGRAPAKARLLVVARYSSSYEGEEEEEDEEGFGGWGRRDRGPEPDNDPALDIEQIESSTVRLLDEQKRMVGVVSVNEAVQIAEDNDLILAILSLDGDPPVLRLFEEKDYKKHKYEQQKKKKIQQKRSVAKRMGLKELKMGYNIDIHDYSVRLKAAKKFLKAGDKVKIMVNLKGRENLYKKEAIELIRRFQNDVGELATEESKNFAERNIYVVLVPNKIAIQKEQDGLNKKDTVKEEKDQTEDPSDGDKPLTEQLEESKEPEAEVSANVVRQNNGPVISVKKLDPRIGD >Et_1B_012765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3537647:3539394:1 gene:Et_1B_012765 transcript:Et_1B_012765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGADFYHVMTAMVPLYVAMILAYGSVRWWGIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLMVLAMLTAWSHLSRRGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARMLITEQFPDTAGAIASIVVDPDVVSLDGRRDAIETEAEVKEDGKIHVTVRRSNASRSDIYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHNDFYSMVGRSSNFGAADAFGVRTGATPRPSNYEDDAAKPKYQLPVVNAAPGAGGHYPAPNPAVAAAPKGAKKAATNGQAKGEDLHMFVWSSSASPVSDVFGGGAPDYNDAAAAKSPRKLDGAKDDYVERDEFSFGNRGAMDRDAEAGDEKAAAAAGGEPVNPVADPTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIVLKSISILSDAGLGMAMFSLGRYLHLSPCSLQLPSRFDPTVN >Et_5A_040716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11577331:11588560:1 gene:Et_5A_040716 transcript:Et_5A_040716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPEQMTPGDACHACREGLPRRRRRHRGGGEEEDRISSLADDLLLQILARLRCARTAALTGLLAKRWRRLWTCLPELTFHNTAPDRLLAALAQAACPALSLLEIRVSSHHTSEFAWAAPLLSTAARLAPKKLNIVIERDWRHPYFAGAVQLPCFDRTISICLENLHPIDLRFALPPAGRFQALECVTLASCLIDLAALLPLCPHLRRLRICNWEVDSLTVHSPLHEELDVKLERKLKFSGGCGDLTSVMLTANVDECNLTYSAPVVEELSWEYRNPASIDWFGMDWLLDNLKLQSLQPQHCPRDHSLMVLFIGMWTFEEVISSKIPVTGFSILKLDFICKGHVYGPMVLFLLGFCTFIQMLELKLSEVDNEECAVNCPCDQPNNWRSQNLLKVDIQGFKGEDHEIDFLKVILRSAAMLERVTVKLSSKVSTRDRYIGIQSIFEAHPSVECKIYRDSGAWLRLISTLARCGAKVERKDYRNTTLKYR >Et_7B_053852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12833780:12837539:1 gene:Et_7B_053852 transcript:Et_7B_053852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCVVICRTINNGLQVIVKVNPYFALPDGEPKTYCGRKTLPPLAIDRHNFEILQLVEYIGEKCIWGSKQYVSLYRIAPDPMVCEIKTDEQLVEWCDMNLEKGVVHIKAQIEDFSGPLQFSPTKRRCHPKVRKRLLETLSTPPLNVDPPIEPSQSSQVMSNFTNECVTNKGKTPKKVVADDDELKVLSDSDYDSNLAASSDSESDSDTEFDPNGEIIDEDDDDDVPLISYDVHDPCIDVGVIFPDTEQCKAAVTHHCILNDHAYEIVKKHSERFTAKCKAAENGCKWRFHASTSKRKYIGCKVISDLNFFPFLSCLQSCMQQHAHMRVQVRVGSGGEEEGEAEVDEEEEEEGGLLNGLDFEILFPILKNA >Et_2B_020938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2502934:2504234:1 gene:Et_2B_020938 transcript:Et_2B_020938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARPAPTALYKRAGRRGRVRSIRQATDPLADSFVTRNTGSSTPLQLSAMATTATDAAAQQANGNGEQKTRHSEVGHKSLLKSDDLYQYILETSVYPREPESMKELREVTAKHPWNLMTTSADEGQFLNMLLKLIGAKKTMEIGVYTGYSLLATALAIPEDGTILAMDINRENYELGLPCIEKAGVAHKIDFREGPALPLLDQLLEDEANHGLFDFVFVDADKDNYLNYHERLLKLVKMGGLIGYDNTLWNGSVVLPNDAPMRKYIRYYRDFVLELNKALAADDRVEICQLPVGDGITLCRRVK >Et_8A_056658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14539985:14544180:-1 gene:Et_8A_056658 transcript:Et_8A_056658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGGGQEAVGGGEPMDDAGPASPPPIAQLGYDQVLSVLRLLPVEAVLSFAATCRGFRALASSDALWEALCRRDWGARAAAGLAERRRGAPWRRVYAEVARLGALSARRVPVKGASPRPRASHSLNLVAGWLVLFGGGCEGGRHLDDTWVAYAGNGAGNRLPSVLNWQQLASGTPSGRFSHSCTLIGDTLVLFGGITDCGQRLNDTWIGQIISEETRRMRILWRLLEVGPLAPPPRGAHAACCVDDKFIIIHGGIGLYGSRLGDTWLLDLSNGLGSGSWHQIGHTWPLPPPRSGHSLTWIGGTRMVLFGGRGSEFEVLNDVWLFDISDQYPKWKELKYNLSSALGEMPFPRVGHSAILVLGGKVLVYGGEDSQRRRKDDFWILDIAALLQHESGSKKMTKRMWKKLRVDGQCPNYRSFHGACVDTSGCFVYILGGMVDGLVHPAEALGLRFDGQLYQVELVLHL >Et_4B_038435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2978834:2981309:1 gene:Et_4B_038435 transcript:Et_4B_038435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNSWCVNLSRAVLPGFVAAAGKGRYGRGGVLVPAVSPSLTRRHGNGGGVACSSGVARPCFFSEHGGDSLAVDGVASSSEEGIGVAEFLGGKNFLITGGTGFLAKVLIEKILRTNPDVGKIYVLIKAKDAGAALKRLQNEVVDTELFKCLQEIHGKDYDSFVARKLVPVVGDVREANIGIAPELADQIADQVDVIINSAANTTFDERYDVAMDINTVGPFRIMSFAQRFRRLKLFLQVSTAYVNGQRQGLVLEKPFRMGDTIAKELGSSGSSEHKSAMLDIEEEIKLAFHSRRHSDDSASFTQEMKDLGLARAKLHGWQDTYVFTKAMGEMVINCMRGEIPVVTIRPSVIESTWRDPFPGWMEGNRMMDPVVLYYGKGQLTGFLADPDGVLDVVPADMVVNATLASMAKHGGGASGPGMHVYHVSSSTVNPLVFGDLSRFLFQHFTRCPYSDAAGHPIPVPPMRLFDTMDQFASYVETDALLRCSSSSSYSSSGDRRLSSQRARELCAKSVEQTIHLGSIYQPYTFYGGRFDNANTEALFDAMSAAEKARFHFDVRSVDWTDYITNVHIPGLRKHVMKGRGVAANQLLASTSV >Et_6B_048556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10728907:10729748:-1 gene:Et_6B_048556 transcript:Et_6B_048556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATLRPTESFTLPSELSLVRHASRFSSPSSVPTPPSALLAFMSVPPLSLPVLPNSDLSVRRLLDFQKRRHEEPVATGVLHVCDLTFLRPRKGDGEANEMTPEQEDKKYFEWQRSLLEKLAGIELNLEGVKFRMTVRDPVVRRLQGDEEVMDFYSSELLNSRNPVRKIAKRPDTIIVRGVPSRWFAETRISSKPSTLNIQYLYDPDYLRSAFTPMGCNQNKSSAQ >Et_1A_009574.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:8341151:8341336:-1 gene:Et_1A_009574 transcript:Et_1A_009574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWCSPSTPRQLLSTISLFAFGAGLLAVGIHLSYVNIEPQHARTLARDQFVRDYLRKKHDK >Et_5A_042715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2962416:2965505:1 gene:Et_5A_042715 transcript:Et_5A_042715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPPAVASPISEAKSKKKKSKSKDAVAAVDPPSLAEAEEKTDGYLIKPQSLVPSLDTSTWPLLLKNYDRLNVRTGHYTPLPSGHSPLKRPLAEYIRYGVINLDKPSNPSSHEVVAWIKRLLRVEKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYVCVARFHAAVPDTAKVARALEALTGAVFQRPPLISAVKRQLRVRTIYESKLLEHDPERHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGEQDNMVTMHDVMDAMWAFDNYKDETYLRRVIMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFDNDIETGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKLIAEGLLDKHGKPNEKTPTEWLRNVVLPTGGDVSIASIAAAPESEKVKAEQDAVTAVEVSEKKKKKRQKDDEGDDADASVPAKKIKVEVEEVAEVVDGEKSEKKKKKKKDKGESGTAEAVEVKEEKDVGEESEKKKKKKKNKEGSDAADPESAQNGDGAEAEKSEKKKKKKKSRDTEEAQCVEPPYGHLILSSTVYLN >Et_7B_055224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8432900:8434158:1 gene:Et_7B_055224 transcript:Et_7B_055224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCSSGMAVTLPSPTSVSVSVPCALRTAPRFSSQPISRKPSLSGSRACRAGVRCSAANKPSASDSEIRSEVLSPFRSVRMFFYLAFMASGALGGLIALTQLLPSLGNPARAAAAADTLKGLGIDVGAVALFAFLYARESKAKDAQVARLAREERLSRLKLRVGEGRPFPLSELRGTARLVVVAGPAEFVAESFRRSQPLLRELAERAVLAVPFATDGSSPELRLDESGEDMDADDDVVARKSRRLWQLTPMYTAEWAEWLDEQKRLAGVAPDSPVYLSLRMDGRVRGSGVGYPPWQAFVAQLPPVKGMWSGLLDGMDGRVL >Et_2B_021432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29604679:29605684:-1 gene:Et_2B_021432 transcript:Et_2B_021432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPSQLNPDASPFVPFSMSSFADKAPEKQAESSSKGDLSGSILDPSQYEEIDMDSAAMAKSVFSMFPNVSTDFIDELLKANEFDIHLTIDMLHDLNSQDMLHDDAELGFPTFADTNNLHEGQSLSGGDKHCAEVTESSSNPNQVLQNEKTATTSDVKSSLPASPKSNPLHNDVALADDTKAEGTSVAN >Et_4B_037560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21081164:21085207:-1 gene:Et_4B_037560 transcript:Et_4B_037560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLAVLRDYAARGDLDKIIFSGDDILFGSDYSFPASTPTDFTSKQSGRAYPLSAAVFLAQHHDLKHTDFIQAARLRRIPPVSLPDRKPFLDFLHFGHNSLASADPLLPSSFPPSKETHLHPPPPPPEEPVGADEATTGAQIRAVERVFKDRNALLEARGRDFHAVLLNALRRPEEQRKGGKDAAPNSRHEPSGAAGSLSKPKLEKSLGDGVVPIILVPSASQTLITIYNVKDFLEDGVYVPSEERMRAMKGGKPESVTVHKKLMVRGDRAGATSGSVSFEVRDKPASLKSDDWGRVVAVFVLGKEWQFKDWPFKDHVEIFNRVIGFYVRFEDDSVEAAKVVKQWNVKIISISKNKRHQDRTAALEVWERLDEFMRLAGYTYMVATEARNRAINSAPEAVSLELLAPAACSSSGSLVDPVPAGATSPGAVAAAGESSSGSAAGIFAISVAGVVDAGVAEGVVVAAAGTSPPAGATGVVAGGEVSVPAGVVAAGTVLPAAASAECQKRQPVISFADSCL >Et_1A_006057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17252110:17252516:-1 gene:Et_1A_006057 transcript:Et_1A_006057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELVQGVSKQGVGKWSQLKRYYFSTSIRTAMHLKDKWRNLVRACRRNSSKKKVIKLQKATDLIVRRFKRQILDTAKRHVEIN >Et_1B_009933.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11887266:11887529:-1 gene:Et_1B_009933 transcript:Et_1B_009933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ICDNSCLEYLETEERESLLTTVLEASNLGKRTSRKSANFRLVALENLIVLVLLVGIYLITHKNYDIWFLRMCFHTNQKIHFSAYVSP >Et_7B_053767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11995525:11997132:1 gene:Et_7B_053767 transcript:Et_7B_053767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVKMLLNLVKLVALKLESLALPDDHEERLLLPGREPEALAEVDVLGPHAVGQRPVEVVQQAGQGQLHGGHGERVPGAAPPAAAERQHPVVRVLEVDDDLAFAVFTVLQEPLRRDLRVPSHGVRVDEDVRPGGDVVSADRARRHGLARHQQRQRRVQPERLLHDGARVAEPRREYVAALGAGAAPHLGLRLGEDAWVPDQLRHHPLQRRERRVRPGDEHVQDEGLQVVVGDGDLEVGGLLGSQEEVEEVLVSVAVAGSALLAPDDVLVDDLVADLAEVGEAAAEARDGAGQRLQLREELADVEPAEQVLRLGEHRGELVVAALAVRQPAAEADAAEHVVGQAEQARAQVDGLGRRGFRLPGEVGQEAAELLAPHAGVGRHPARREEVGDDHPPCRPVVGTRRCERHVGAPEREHLPGQEARPRRERRVVRRQRGAEITTARTLPRRSLSSGARMRRASSAIAWWGNMPGPDSRCRCPITGHPRGSGGSRGCGDRLRLLLYTYTAASANSRRYTRLSSTMFMASA >Et_7B_053429.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:19011022:19011216:1 gene:Et_7B_053429 transcript:Et_7B_053429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCRCRRGNVRWTAANSMACASARRTAPTYAAQKGSWTADAATSNAAACARRHARRIFEAFKID >Et_5B_043544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11645987:11651080:-1 gene:Et_5B_043544 transcript:Et_5B_043544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRRFLFDLNVAQEEPDEWDEPEEAVEQERAVAEPEEDAIEEVVEEVVEEAVEPEEVIMDEEEPVEEVIMEEEEEVAEEEVIMGEDEGAAAAAAAAAEAMEEEEREGRKKRKDYEVFVGGLPLDAAKEDVARALAEAGEVEEVRLARDPADSKLNRGFAFVRFAAAWEARWAANDLRTATIKGKSCAICKNSENETLHLRNICFDWSKDDLAEKLKPYGLENLDRINLIEHPERRGKNRGYAFLDFRTHVDAVAAFLKLQKGDLYLGTDFRAHVSFSNTLSQDDEVMEKVKSVFLDGLPPHWDEDKVREMFGKFGEIDTIQLARNMFTAKRKDFGFIGFTTRQSALDCIKVVNKDGVGEGSEKIRVKATLQRPRPTFKKPSWQGGSSMLGVRKGFVDKSSSGRGHHSDRYGRFSPERRAYSDNFSRCRNSTDFDERPVSVRGYRGYYRRDSAAHATSHKYGRTHPGTRIREAYAESRYSNKYPKHRQAHEEPMQRDPYRRSKYGHSYQERAHGTSCSECDLNDHNAGSYQSCEYSSGDKAGVGHSYQYQNGEEFSATSGCEQAYHKKDHEVTPSTASQDRPLIPSSSAVMCDCRDCYMVSWKCSHCLSFNVLRSTILQVLIIKTCVLQEQESSPSSSEHVRSRSNLQAPLHRRLVKPPREHRSFMPDEHSAFEVEYTVRESRGRYVSSRDALSSHSRKHHRPAR >Et_1B_013420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8227131:8229846:-1 gene:Et_1B_013420 transcript:Et_1B_013420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCHGVATANARPFGVPAVSRYGSPARPSYLARPAFLSLRSPAFRPEKKSLALRAGADFHSQAVSSSFAKYDPIKGIKPLLSARSLCPRTQVACQASLSSFSYPELESKPKWWWRTLACVPYLLPLHNMWSHADAIYQLHPYLQRFSLLYAFIDTMALLPGWLFLVIFMTIYFFVVRRKWSPHFLRFHIILAILLDTGSQALATACNWNPSIIFQGKPMAYFWMTMAFIQIFTVVECMRCALAGVYANIPFISHAAFIHSDLNLFRLAVQPPVDRSSARMACDLV >Et_9A_061422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11552091:11552336:1 gene:Et_9A_061422 transcript:Et_9A_061422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLCIAKIATNDPALTVAPELVTPSWNAISQALEDVAVLFYDEDMNEIYTGNKHGF >Et_10A_000772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1692430:1695913:-1 gene:Et_10A_000772 transcript:Et_10A_000772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPAPLHDHHGRCRRLAAALLLLSLSSAVATSSPAATGTFCSSEGADAAYPSTFGVFSAATGEASPAIAKDVTELIGNTPLVYLNKVTDGCYARVAAKLESMEPCSSVKDRIGYSMIADAEEKGLITPGKTVLIEPTSGNTGIGLAFMAAAKGYRLMLTMPASMSMERRIMLKAFGAELVLTDPLLGMKGAVQKAEELAAKTPNSYILQQFENPANPKIHYETTGPEIWKATAGKVDGLVSGIGTGGTITGAGRYLKEKNPNVKLYGVEPLESAVLSGGKPGPHKIQGIGAGFIPGVLSVDLIDEIVQVSSDESIEMAKALALKEGLLVGISSGAAAAAAIKLAQRPENEGKLFVVVFPSFGERYLSSVLFQSIKKEAESMVVES >Et_9B_065390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4549247:4555022:-1 gene:Et_9B_065390 transcript:Et_9B_065390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKGMVAGSHNRNEFVMIRHDGDAPAAAKPAKSVNGQVCQICSDTVGVSATGDVFVACNECAFPVCRPCYEYERKEGNQCCPQCKTRYKRHKGSPRVQGDDEEEDVDDLDNEFNNGKVPEWQLGQGEDGDLSSSARHEPHHRIPRLTSGQQISGEIPDASPDRHSIRSPTSSYVDPSVPVPVRIVDPSKDLNSYGLNSVDWKERVESWRVKQDKNMMQVTNKYPEARGGDMEGTGSNGEDMQMVDDARLPLSRIVPIPSNQLNLYRVVIILRLIILCFFFQYRVTHPVRDAYGLWLVSVICEIWFALSWLLDQFPKWYPINRETYLDRLALRYDREGEPSQLCPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFESLSETAEFARKWVPFCKKHSIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMADGTAWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPVYVGTGCCFNRQALYGYDPVLSEADLEPNIVIKSCCGRRKKKNKSYMDSQNRIMKRTESSAPIFNMEDIEEGIEGYEDERSVLMSQRKLEKRFGQSPIFIASTFMTQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWQSIYCMPPRPCFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYNGRLKLLERLAYINTIVYPITSIPLIAYCVLPAICLLTNKFIIPEISNYAGMFFILLFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVLVINLVGMVAGISYAINSGYQSWGPLFGKLFFSIWVILHLYPFLKGLMGRQNRTPTIVIVWSILLASIFSLLWVKIDPFISPTQKAVALGQCGVNC >Et_7A_053190.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:9629339:9630829:-1 gene:Et_7A_053190 transcript:Et_7A_053190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCAADLAPLLGPAAANATEYLCGQFTDTASAVDATYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAFGTPSNGFIGKQFFGLKHLPKTGFDYPFFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWIWSADGWASASRTSGPLLFGSGVIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFDHAGRSVALKGHSASLVVLGTFLLWFGWYGFNPGSFTTILKSYGPSGSVHGQWSAVGRTAVTTTLAGSVAALTTLFGKRLQTGHWNVVDVCNGLLGGFAAITAGCSVVDPWAAIICGFVSAWVLIGLNALAARLKFDDPLEAAQLHGGCGAWGIIFTGLFASKKYVEEIYGAGRPYGLFMGGGGKLFAAHLIQILVIAGFVSATMGPLFYALKKLGLLRISPEDELSGMDMTRHGGPAYVYHDEDPGERAGVGAFMLKSGQNRVEPAAAAATGNQV >Et_1A_009015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25355767:25358465:-1 gene:Et_1A_009015 transcript:Et_1A_009015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSSIPYSFPTKTVPRQRSLPSPRLLRLPALPFSPLRNLLAASAPPASRLRPLPRASASAPAAPTKDYEARNLCYSVFAPSLAYLHLPATIFSLPIFTDGNGEVELRLDIGKLGIETSKDVFVDVDDTSLLIRGKSDGTLKTLLNVTTLFDRIKASETIWFIDEDQLVVNLKKVEQELKWPDIDESWESLTSGITQLLTGISVHIVGDSTDINEVVAREIAEGIGLFSWVCVCDPDEASAREEARKSVSSGSVAYAKADVVVKLGGWDPEYTRAVAQGCLVALKQLTLADKKLAGKKSLYIRLGCRGDWPNIEPPGWDPESDAPPTNV >Et_2B_020425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1985906:1993409:-1 gene:Et_2B_020425 transcript:Et_2B_020425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRPRPSPPPIEDRDLAADVLYLHSLWHRGPPAPAPASVPAPDLAPAPAPAPAPTAKQSCSARHNANRRKRRRLERGAAAETEDAGSDGPLAPRKRRRQERLAAESQGAGEPLPLAPSPSASSEARSSASPRAWPDAAPVPVPAKPPPPPLSPGSLAQQEAIRAAEEFFSMDGPDDKGPESEGEKEAAAAAFFTGMFEWDVALRGHYERRWEEPERRFPCLACAGRKMRRGKRLYLGCVGLVQHARDHGLVSATSHSSMRPAAHRALVAVVCRVLGWDIEKLPSILSSLLEPVLAAKAQSDAHEAKVIEQSDCFALAVATFNCLLVDLILEHAETEKKDSNSGVDGAVNELVIKDSAQMERVAETGPLNCRDSTKNNVVGNETIQEEDAVKNLEEPASIDGEKEITELGGGKESSEKDNANKDDNGVVHGQEIAKETTEKENIKDTFSNDTRDNEKHCIKGSVQEEVNATVSEPEHAKTTDDIGETIVTRLENSPMEENVDEKKEHANLAPAPAPAPTAKQSRSARHNANRRKRRRLERAAAAETEDAGSDRPLAPRKRRRQECHAAEAQGVGSPLPRAPSPSASSESRSSASPRAWPDAAPVPAKPPPAPLSPGSLAQQEAIRAAEEFFSKDGSDDKGPESEGEEEAAAAAAFFTGMFEWDVALRGHYERRWKEPERRFPCLACAGRKMRRGKRPYLGCVGLVQHARDHGLVSATSHSSMRPAAHRALVAAVCRVLGWDIEKLPSILSSLLEPVLAAKAQSDAHEAKVIEQSDCFALAVATFNCLLVYLILEHAETEKKDSNSGVDGAVNELVIKESAEMESVRETGPLNCKDSLKNNVVGHETIQEEDAVKNRMQKPTSIDVEKEITELRGGKESSEKEENVDENKEHANSIS >Et_5B_044278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22206811:22207795:1 gene:Et_5B_044278 transcript:Et_5B_044278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYLLSSAASHETLPDSFVFPADQRPPASSTAAVELPVIDLSRPRDEVRRAVLDAGKELGFFQVVNHGVPEEAMRDMDAACEAFFALPAEDKAAFYSEDAAKPNRLFSSTMYGTGGERYWRDCLRLACRAFPVDDATRNAWPDKPQHIREVVERFLVATRALGMELLRLLCEGAGLRPDHFDGELSGGDVVVNVNHYPLGLPPHCDRNLITLLRQGDVPGLQVAYRGGDWIGVRPVPGAFVVNFGHQLEARNRHTHFHFSSLIRSLSDY >Et_1A_006961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29523577:29532287:-1 gene:Et_1A_006961 transcript:Et_1A_006961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGTGAELLSPAEAEWPPELRLPPPPPQPARPSPAPLLKPKEEPSPAAPHHHLPPPPLQPKKEPSPARHAEGFDDHHFLGSIMGAAAQSQPQQPPAPAAPVKRKRGRPPKNRDAAAAAAAAAPAAPAAPAPAKTPAKKKEEEIVCFICFDGGNLVVCDRRGCPKVYHPACVKRDESFFRARGKWDCGWHICSICEKAAHHMCYTCTYSLCKGCITQGSFFGVRGNKGFCNTCYDTILLIESKDQDASKLRVDFDDKNSWEYLFKLYWLDVKGKNFLTPEELTNAKSLWIVPTTAGRREKEDLSDESYDANNDKDASFDVSSRKRRQNNSSGKRERKCQKDLGVTAKKHEFSTKGSESLPNRLTTEGTVLQGDTKWASPELLEFIGHMRNGDSSYISQHDVHVLLLEYITQNNLRDPRKKSQIICDARLSSLFRKPRVAHFEMLKLLEMHFVKDNPTVNADSHPESAQLGSDKRRKIHKKIERELTANPEDYAAIDMHNINLIYLRRSLMEDLIDDNATFSDKIAGAFVRIRICDLGQKQDMYRLVKVLGTHKVAERYNVGKKTTDYALEILNLDKKDVITMDTISNQDFTEEECKRLRQSMKFGLVARLKVGDIYEKAKIFRSLQFNDWLENEKQRLSHLRDRASETGRRKQYPFIVLLNTPEERVRKINEIPEVHVDPCMAPDYESAEEQYYKAADRTINRSRSDLLFPERKGTRSNSVENHTQKYVDASGHMSNMLTENTTYRSGALNNLNNTAVDTAPLPSSGAILSDTEPEKVWHYKDPSGNVQGPFTLLQLSKWVSFFPRDLRIWLTFESEKNSLLLTEVLLKQQKDFVMTSAVNSIGTGTGQDRTNSGLVANNSSAPIGYSVAYSPALSCQSADFSDPTKEGSKVLCGTLPSRLLKDAHSLHGQIQHPVNYSCTIPSSTPPCSHNVGVPREQVGEWNNHQTIGCMWNPTASSINHRFKSDVEPHPGGYAIKDQLQNDSKSILPARSVESFDYRMDSSSQKVSVLTPQQSDRDYATCLYTKSPSEVNTVCKDKDPCWRSATNAGIHDNLQLSIALAKPESCSPTNPVEDRDSSSAGVPNQSGAPACCSQQVPSVSTTGSNKTEALINQHNTSLTDASSKPSDQHSELNNDPVFSCNTQDCEYEYPSPTPKLENKGISMNQSGSTSVTSKDSGTKICVHSSTSFVSESSGPPAGKICSLQSLKQTSCLEERDLRDRDTTAQEQVNEENTAVKRKNIVANPISDDEGIAVSDVLESLTEQNCERNNLLGEDPLEKFVPASTEEEQPQCSSPIALSPWGEQNYYQGEAVDSALWDHSNDIWSMPSPTPALQSSSGLGADGKDTSRVIEEVVEARESTFVDTLLKQREKKIKLENSGASTGSGALEQVRAKQSVVSGPSLDASTKTADWQSSGTSLEGSAKASGWKPPGLLLQGITKASDCQPSGPSPEGGLEGQQPSDISKKGGSKASGWQSSDSTLGKGAKASGWGQWKTEWDTKVSDWQQSNSFPDGNTKGSCWQPPGSTEGSAKASGWQQSSPEGSRVASGCQRSVPSAQGNTKGSGWQPSGYAERSAKVDGWQQTSFSPEGSRKTSCWHFSGRESSRDNSTLGANEYHKSSSSHRATTPTAKRSWEASKRQGNDDKNTAGWGENLGNNRSWYPSSGDASLRGATTMTGTRMAEIRDGAAQIILGGLIIIRIMIIVGIMAVADHLIIVRIMIIVGIMAVADHLII >Et_9A_062199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20587014:20592355:-1 gene:Et_9A_062199 transcript:Et_9A_062199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQSQQSAAGDASVMCQLVSPEGDHLGAALYLPQNVGPPQLQEIVNHLLHNEDKLPYAFYIGDEELSVQLGTYMHQKNANVEVTLRIVYQPQALFRIRPVNRCSATIAGHTEAVLAVSFSPDGKCLASGSGDTTVRFWDLSTQTPLFTCKGHKNWVLCIAWSPDGKHLVSGSKSGELILWDPKTGKQLGNPLTGHRKWITAVSWEPVHLQAPSRRFVSASKDGDARIWDIATRKCVINLTGHTNSVTCVKWGGDGLIYTGSEDCLIKVWETSQGKLGHGHWVNSLALSTEYVLRTGAYDHTGKTYSTAEEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFIAAFRGHVADVYQISWSADSRLLLSGSKDSTLKVWDIRTHKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRALKLWMN >Et_4B_039311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9971848:9972581:-1 gene:Et_4B_039311 transcript:Et_4B_039311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPESMKAPPVPFFVNANVDAGTGSLVDPTVMPFSDSWVVTVMDERSGLDDGATGAASVEVRRQAQQDGAGCRSRKGGEAVGEVVDLELRRQRQRAAAEAGEAGAGCIVLETSVVEDTAAEGHAGHGCVACGAGKPELVHAEDTGLVAPERVPGAARGHLQGLARGGAAREPGEGGGAGRAEVRQRLVVAGEARLALRPGEVAARVQRQLHRLRRRADGKLHPVL >Et_10A_000283.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21095964:21096086:-1 gene:Et_10A_000283 transcript:Et_10A_000283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCEPGHGFHPGCITEWLGRSNVCPLCRHPLPISCGPYDQ >Et_9A_063435.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:24190965:24191630:1 gene:Et_9A_063435 transcript:Et_9A_063435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDPNSPSSSTSSSSPSSAASPTGRQQQPLPPSSPRPVPRVIDTTPFPTTFVQADTASFKQVVQMLTGSDTAPSSQKPAAKNNHHHHSHHGGSNLSGVPCRPKKQAFKLFERRNGMKNLKMIAPLAMAAAAAAGPSPRKTPEILSPSVLDFPSLALGSPVTPLLADPFNRSSPCEEEAIAHKGFFLHPSPRSAEPPRLLPLFPVTSPRMASSAAAAPASQ >Et_3A_027350.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:8464170:8464640:-1 gene:Et_3A_027350 transcript:Et_3A_027350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGYSPSFQRQASCSCAPNLSRRGFVRAGFDLDGDDYDDDYIYPSSTAGGYDRADGAYSSSAAAYDGHARPSARDRLRGLWRRILREKKRILLCTTGCIPAAAVPPHREPYDAYSYAQNFDDGAAWVEPENLSRSFSARFAVPSRVFQRVAV >Et_2A_016122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21187014:21194362:1 gene:Et_2A_016122 transcript:Et_2A_016122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISGTAAPPSSAACRLRLRRQLLLRPSHLRLRAPHSIADLSRSSNSSSASNSSPAPAPPLAAKNGDHGRGAVEKDPIKLWERYVEWLYQHKELGIFVDVSRIGFTEEFLQRMEPRMQSAFAAMRELEKGAIANPDESRMVGHYWLRNPGLAPNSSLRAKIESTLDSVLAFSHDVISGKIKSPSGRFTSVLSIGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELATTLVIVISKSGGTPETRNGLLEVQKAFRDAGLEFSKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSELSAVGLLPAALQGIDVKEMLVGAALMDEETRNTVVKENPAALLALCWYWATEGIGNKDMVVLPYKDSLLLLSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANDRESISVTVQEVTPRAVGALIALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLLVLNEASCKDPAEALTLDEIADRCHCPEEIEMIYKIIQHMAANDRALIAEGSCGSPRCIKSESSATKDGAASSPSSRPPMLPPFSGGASTESIPERGTPRSVSASRSISIARWTCFSTSSSFCFRTLLVPGDRRLAAADGDGERVDEEEGSIGKPNPAAATSDSNAWLLSPIGLIGHGDFA >Et_8B_059625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2064855:2073238:1 gene:Et_8B_059625 transcript:Et_8B_059625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYPPPGYEPRPRSEHKDLLKKESHKGKKHRKENDRDKGERKQKFRGKGERKGKDRDHKKLKSEKRRERRKSNNRDKQDHQTLKKETQKNDDFGNRRPEERRQNEADKDVKRAYEFPGQEVHTNHKVNSTSDLLIRRTKGFGATASKEKESSLSRMVTKSGQAAQHYHGMVQKNDGTAHTDKKGMADHVGSKTRLKNGKSPQVGSGDKHSNGKLVDLLQENSYTQRSSEVVRDAKAVVSGAGTEPNGRRLNGRVTPSPNTLQRAEGRKHSVLSNTKDLIRKGNQIYKNHHGNTDVQLEQSTWDAVGGEAKIKEVKGNNLKCVECKDGDRCVKKRKNNSSNKVKTMERSEHGMRTTKLPRMSPTNITCANVKLTPYSQRTAPYPSSELAGGNTQELDRHKPQDGYSNGITASHYLEQQKVYVSSSGDGSNKGYLKPSHLDTMYLSQVYSIPPREDISENIDQDWLFSENHVERNTATLEAAESHQVWSDAHLIDTADVVAFPYVVPL >Et_4A_035676.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3546984:3547343:-1 gene:Et_4A_035676 transcript:Et_4A_035676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPSPPPPVSSPPPPSPPPPPSPPPPAATWTPVAYVNDPTIVQVGQFAVRIYALSTWQVKMSFLNVVSGVTQPYNGGYYYRLVVTVSGGKKAQYDAYVWGIVGTMSWKLLTFTPH >Et_6A_048184.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:959132:959320:1 gene:Et_6A_048184 transcript:Et_6A_048184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREVAESCVDVVVMEMVAAYCGRFYAEKPELAQSRIEAIGFQVGHQLSERYRKKIVSSTLC >Et_4A_034793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6671497:6674784:1 gene:Et_4A_034793 transcript:Et_4A_034793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KPVGKTADAADALFCAGLPAPAQERSPSLASSPPRPLRSISAWVPKSTEPPACLPPRSPRLVSSPSPAPPARRDRNPAGSGPDPAAMFGDSDGSKDAGAGGSNPPEPPFPNRELTLSSYLCDKPPLTSAAANAAAGAGPSSPPNPASSAAADDAAAKLCVERDFLHLSAPKRGDPPGDDSSVVGGKKPRLDSLQLSLSLPSDAPAAGTSSQPPPSLLPSAAAPTPADGDPRGAAAAPPPRRTYSATTGRTMSINSDDMSYSYSVFSHNPSCSLTHNSTDIYAAGEGTNGSVHSRFNFRPMGDGSVAFATAPMKEGTSSFFPTELPARMGPAAPVPSAGGSFDGSRGGLHSSRPERILREIVSDSVPTMAQVLQDLPSETLEVLREAVRSMIDAPEKRDELASLQRKLERRSDLTAEELGRGNKTQLEIMMAIKTGMAAFVTGKGRVSSSELVEMFLMTRCRNLNCKSALPVDDCECKICSANKGFCSACMCPVCYKFDCAANTCSWVGCDVCAHWCHAACALERNLIRPGPTLKGAMGTTEMQFQCVGCNHACEMFGFVKEVFTCCAENWSAETLVKELDFVRKIFAASEDFEGKGLHAKAEEVLSMLVKKIVSPSDATNSMLQFFKYGVTDYSVTGSKSKGILAAQTSKSADMLHLQAPTITPPKSSFNFKPSTSILDTQLDALKASASPKPRSIEPHFSSTSKDDDSSSLETIVKCKEAEAKLFQKLADDARKEVESYRQIVRAKTQKLEEEYATKLAKLSFQETEEKRRKKVEELKALENSHYDYHKMKLRMQTEIQGLLERMEATKKM >Et_3A_023784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12211128:12214822:-1 gene:Et_3A_023784 transcript:Et_3A_023784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMKHSSSFLLPKLNQPANAPVKNYALVALNQHLPRFMPHLWAHAKLRICADGGANRIFDEMFHMTNDKDQKSSRNRYIPEIIEGDMDSIRPEVKLFYSNQGSKISDKSYDQETTDLHKCISRIHHHIPDNEKPNLSVLVTGALGGRFDHEAANINVLYVFSDMRIVLLSDDCLIRLLPKTHRHELYIESSVEGPHCGLFPVGAPSTSTTTTGLKWNLNESKMRFGSMISTSNIVQAEKITVQSDADLLWTISLRNLT >Et_1B_013879.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:22049367:22049561:-1 gene:Et_1B_013879 transcript:Et_1B_013879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQETTPGQESSSAALTASTTSNPRAEPLLGADVFSDGIPGTLSSSSDASQPYMKVVNINTRT >Et_1B_010893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15105353:15128557:1 gene:Et_1B_010893 transcript:Et_1B_010893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDVTRMNRPYLLTKWRTKGGVLLIGYSTFRNLSLGKHVKDRNAANEISYALQCGPDILVCDEAHMIKNRRADITQALKQVTTQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTSDDVKIMNQRSHILFEQLKGFVQRRGMNVVKNDLPPKKVFVITVKLSQLQKKLYKQFLDVHGFLSSGYSEKSHSSFFAKCQILAQVWNHPGLLQMAKEQKGNLRKEDAVENFLMDESSSDDNAENFLPNGEKMKDRPDHLSKKSNVVNEESNWWEDLLDENTYMEADYSGKMILLLDILSKCSEWGDKVLVFSQSLTTLDLVEFYLSKLQIKGKEGKLWKRRKDWYRLDGSTPSSDRQNLVERFNDPENTRVKCTLISTRAGSLGINLHAANRVVLLDGSWNPTHDLQAIYRTKPVYAYRLMAHRTMEEKIYKRQVTKEGLTARVVDRQQVSRTISREEMLHLFEFGDEELLEQNEKGSTMIDCAKVGTEKPSTSSSIYSAELEPVDKLMGNLLREHNSWIAGYHEHEALLQENEEERLTKEEQDLALSEWEALRNAVRDPERRSNMIAVPTVPKLVQTSKVTSRSRQPQQPKANSNNQKKCNNLTHLLTLRSNGTKAGCTTTCKECDQEISWETLNRDGRSR >Et_7B_053824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12482286:12483725:-1 gene:Et_7B_053824 transcript:Et_7B_053824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTTTTMLKPVYTTPHPLAGEKVPLTVFDLAAFDIFVPTVRAYTAPAPSNEAIKEGLLKAVALLPHLAGRLAVDGHGRRFLHLNDEGVLVIEAAVSADLDDLLACGMDANFDDMYPTFPEDNVGAALLQVKINRFKCGGVVIGTIAHHYLGDGHSFGIFFATWASAVREGKDFAVPSPPFLDRAATAVPRPTPAPVFDHRSIEFRGGDDKSKTQAVVVVPMDTIKSLTVHFTAEFIAELEARVGARCSTFQCLLAHAWKKITAARDLNPEEFTRVRVAVNCRGRADPPVPADFFGNMVLWAFPRLRVRDLLNASYGGVVAAIRDAVARVDAEYVQSFVDFGAAAAEAADGEELAATAPAAGTVLCPDLEVDSWLGFQFHQMDLGTGPPCVFQTPNLPVEGLMIFQPSRTAKGGVDLFIAVAEEHVDAFQQICHSLD >Et_10B_004152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1600645:1606021:-1 gene:Et_10B_004152 transcript:Et_10B_004152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYLSKNPLLARRHLLLQPRRPCTAAASSATDAGEVSPAPTRDDLPLEDGLAEESRSRLVRDTCRLLELKDSWSPKLEAQLRHLLRVLSPPQVRAVLRAQAEKDARLAFEFFRWADRQWRYRHAPEVFDEMLCLLSRTRLHDPARRVMRLMMRRGMRRGTQQFAHLMLTYSRAGKLRSAMRVLQLMQKDGCAPDISICNVAVNVLVVAGRVDKALEFAERMRRVDVEPDVVTYNCLIKGLCDARRVVDALEMIDTMLKNGCSPDKVSYFTVMSFLCKEKRVAEVRSLLERMRNDAGLFPDQVTYNMLIHALSKHGHADEALDFLKESEGKRFRVDEVGYSAVVHSFCLNGRMAEAKEIVGEMISKGCRPDVVTYSAVVDGFCRIGEIDQARKMMKQMYKSGCKPNTVTHTALLNGLCKVGKTSEAWELLNKSEEEWWTPSNITYSVVMHGFRREGKLKESCDVVAQMLQKGFFPTTVEINLLIHALCKDGKPAEAKDFMEQCQSKGCSINVVNFTTVIHGFSRHGDLESALSLLDDMYLSNRHPDIVTYTVVVDALGKKGKLKEATELVEKMLNRGLLPTPVTYRTVIHRYCEKGKAEELPKLLDKMLAKQEFSSAYNQVIEKLCAFGKLGEAYNFLSKVLRTASKRDAQTCHILMERFLSSGDTIQAYNVACRMFQRNLIPDIKLCQKVESQLALEGQGQAGKLISRNYEEETMAEGSNVVAPLLDNDESSGASEQLLRREPVPLGMLVRLAAWEAGNLWRISWASILITLFSFMLSLVSQMFVGHLGELELAGASITNIGIQGLAYGVMIGMASAVQTVCGQAYGARHYRAMGVVCQRALVLQLATAIPIAFLYWYAGPILRLIGQEADVAAAGQLYARGLVPQLLAFALFCPMQRFLQAQNIVNPVAYITLAVLIFHTFASWLSVFVLGLGLLGAALTLSFSWWVLVVLTWVYIIWSPACEETWTGLSFLAFRGLWGYAKLAFASAVMLAINYWNWDFQIMLGLSYAASIRVGNELGAGHPKVARFSVIVVVMASIAFSILVTLLVIILRYPLSTLYTSSTRIIEAVISMMPLLAISIFLNGIQPILSGVAIGSGWQAIVAYVNVGAYYLIGLPIGCVLGYKTSLGVAGIWWGLIIGVSLQTIALIVITARTNWDKEVEKATQRLHHTGVVPAVDDIIA >Et_10B_004316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3746727:3760823:1 gene:Et_10B_004316 transcript:Et_10B_004316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQSKIHMAASNGKGERTSIDVLADDLLELILLRSSSPVSLVRAAATCRLWRRLIAGAGFRRRVRSLHQPQILGCYYTKYDPLVETIFVSAAAPPGIAGVDDRRRLPLSLDFLPHPTYKLRLTDSRGGLLAFANDYENCIVVCNPCTRQYREVSLPLPLVEGKKVLRCSYISAFLLDADEADTGSLMSCFRVLFVCLHMYGNSQSAQVYVFSARDNRWLLLSSTAAGDNVLAVKKGLFLNDRSNAANSYFLGRAGGAIFWGLPHVESNVLILDECTGEFSVAVLPDPHQQGGTMYYCRMNLRVIGGDACTVRFIRTVGDDLEVLKLVRDGGTCVVDSRVVGLSRLANIEGARFAWKFLDTAPGAGPGCVVLSTDYRNMWMFSMETKMLERLYNGSRTVF >Et_3A_023066.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:23780631:23781128:-1 gene:Et_3A_023066 transcript:Et_3A_023066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLDELLVDVDSVQEVLDAVRAELAEHADLYVEAAAQLVQAHRRLLEAVVHAHAAARRAPPESAVGPDDPEMTARAAEYVELEDRVRRLSRLKRTLIEALAFVLLVRAAAYFVARLRLIPGVLLTAAAAYAVAYVASGGAVVPGPASLLRISALVLCFLFGVRG >Et_4A_035668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3421063:3425651:-1 gene:Et_4A_035668 transcript:Et_4A_035668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLVTRLVNAPSYLRLGGMAAETIRANWNFVQEKGFLDILNELKVEDRFTTQNGWTAEGWNSIHRKFNQMFSFARYTKAHLQEKNKDLKSTYKAIRDARKDSDAGLDPASGMVTGGPNVWDKIEKYHKKVVKFRKKGFLHYNSCESLYEGNIATGDLSFTSTDPIHQSFENFKEGHLEAARVGQEASLGAVAARMSSDAARVGQEASVGVVPASSTSVGAVAANYASVGAVAASSVGVGALAASSTGVPGAQEAGESSSTGVGAQEVSEDSGKKRKPGRVAAVLDDYLEHKKAQSGKTVEALMEKKMREEEYSIEKCLDTTDGMEELTDEDKAIASEVFEDDKNREMFMKHKNHNEEARVIGGRDKHQEYATVDNAFDHFISMRDG >Et_2A_018271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20790560:20791533:1 gene:Et_2A_018271 transcript:Et_2A_018271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLKPQNMGSLNSNLLMLFITLTSQAYDALTGHIVAELPTASTARGLAIVASLGNMYAGVVPASHVCFVMGACSIRRIQ >Et_3B_031455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30247931:30252191:1 gene:Et_3B_031455 transcript:Et_3B_031455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLDCPIDLSALAAFAPVPLTGDADGLVRAVPRYWAPAAAAASKAGGVDAVLVSSATGMLGLPFLTRLPGFANTKVYGTEVAVRIGQLMMEELVEMHREFVRYYGPDMVVSPEWMEGEKLNELLSLLQKAAIEDEGKDLTSLMPLYSPANIEECVQKIKTIKYGEEVCFNGILMLQPSSSGLELGNCVWSIKGLRASITYLPSSIFVSAHALDFDHGSLKENDIILFSDLSSLNDMDKDNEKLDEHAMDDTDENSSYLCTNDDIKEEIERINFICSCIIDAIQSGGSVLIPIGRLGVVLLLLEQLSELLNSSSMKVPIFMISESAGEIIPYTSALPEWLCKSRQEKQGIDAELSLKPFMPLAIQVLQCSFLSGVKVGKIDPLLGVLKPKFVLLPEDLKSLCPVKERPWSFLYYYRGQTIEVPNMREDFEVHLATHVAFGLQPRQLNETTAVARLRSKLLVSNGQYVLAAAEKPKNKSKRHLLHWGVVDPDRLLSTLQEKGIACSFAANDDDSAGSEHTILIARPGDALVKITKDRTRIYCDDEKTSQHIYDALSSVCNGI >Et_5B_044371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23391202:23391493:1 gene:Et_5B_044371 transcript:Et_5B_044371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNFSLQQAMLMQSCFKFGAKPTTTEVPIDKKHLLLENEQLNKEYLCIRCTTIWAPGCYSLLPMPSLSGGEGEGAILLRHAQSAMG >Et_3B_027937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27504507:27505802:-1 gene:Et_3B_027937 transcript:Et_3B_027937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLPADLLMKIFATLEVPDLVCAGSVCSSWLHAYNCLRKLGACIQPQTPSLIYTSKSSGASAAGFYSLLEKKPYTFTLPDPPIRSRYLIGSAYGWIVTADMRSELHLVNPITGDQIALPSVTTIEQVKPVYDNNGSIHMYGCSRYTGPDGCLEPPSVFRLDKLRDYIFYKISFARGGDDHWTLKPLVVMEGLKECPLSKLYIAQAPCGDLLQIWKALEYSEDGSESELDTVPYRHYTTVFKVYRVDLTAKKLVEISSLGDNVLFLGLNQSLCLCAREFPQLKGNHIYFTDDDENMVFGKNKCRKMGVFNLDIKMSEKIVSPRIWSNWPAPVWIIPNPRKMNLALLS >Et_3A_026624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10887220:10888222:-1 gene:Et_3A_026624 transcript:Et_3A_026624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEPSVAADLAVVGELPTLVLATSRSSEGGPDGHCFYLADPDSEHFSLETSGPSDVPGAVEELGPLEPEVNDAAASYKQFDLDPIERSMLLLSRLAVEVSPGEMLLVERRLQQGVRVFRVWATVLSSSAPGAYPSMLTTSRPSALTASSAPGIYMFNLEHGTEEKVAGDYYLFPVTMIQLLPDYTMNFLIYQPAWEEMTGRLQRLFESSMSGLSEQEKMELREERAMEMMEEMEQET >Et_6A_047049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24555181:24559559:-1 gene:Et_6A_047049 transcript:Et_6A_047049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRLSGLPDDLLRHVLYFAPAKEGASTAVLSRRWRSLWRTSGAVNILSHFDDSSIFIEDSAARAMRKAFFRGAKAALAAAHAGGPVRRFTMHLEAQYGFHISKLLPRRRIHAVLSKPALQGVEELRIGAAATDKTPQRPWLRRAVSSTHVDTEELYKLSFGALPSEALRELHIVNCRNLKPPRSRATFPRLAHLRLQGCTVSLLDMQRVMDAIPQLATLHLESFSFPQEQGTKNKGSGAIELSCYQLRCSTVTTLVLEDCHWPEMEGGLELDVPKLRYFVYEGFVRHCHRLSLKPQVSSNIIQVDLHLSIDSRFPTNDQIKIPSFWRFLQNFDMTKVLKLKLDFTVDDIAVFDKKDQDEFLRNKLFLNLEQFELEGDYYEPGRETALMALANFLHCCPVAQDLRLKLKQRSTTSCYDLKPIKVAQLDFHKSIDYFRCHKRSKNPMSGDYYDYENCDVSDIPTLSKHPFSCLQSHVRRVSLKFWMEHPNCFGVQLAKFFAQKAMVLEEMSIDDGSQKMCHHGLENPTVWNIVIGNIDEDDQYIAAADLLADREDECRVAIVLEPVLVDDVLLVVLFLNSTSAGIKNSDRISRLGVRSSILVTVAIMVVSSSRTIPVSSSSRREVKTGNAGAEEKTPWPTPAPRRADLSCRCVALGKRWNKFLSLTRFPESETSSPQKSSTQDVIREGL >Et_1B_012900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:387023:391419:-1 gene:Et_1B_012900 transcript:Et_1B_012900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGSPYASSPESAPKRAPRSPPPQQQPSEEGDDSVKPTHLRFLVSNTAAGCIIGKGGSTINEFQSQSGARIQLSRSHEFFPGTNDRIIMVSGMFDEVIKAMELILEKLLAEGEEFNEAEARPKVRLVVPNSSCGGIIGKGGATIKSFIEQSHAGIKISPQDNNFVGLHDRLVTVTGTFDNQMQAIDLILRKLSEDVHYPPNLSSPYPYAGLFPNYPGVPVGYMIPQVPYNAPVNYGPNGYGGRYQNNKPSTPMRSPANNDAQESHTIGVADEHIGAVVGRAGRNITEIIQASGARIKISDRGDFIAGTSDRKVTITGTPEAIQAAESMIMERVSASSER >Et_3B_028078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:87895:92851:-1 gene:Et_3B_028078 transcript:Et_3B_028078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLHDWYMVAAKEGVRMITCRMCSVYQEKMNQVKMKDWKIAYLNPHKINQSVINPIINKKDPMYIGKTDEELAAMQKEMTRVVRSSVATYLYRCFFKWQDKKCILALTNLSNDHWICLCIWPKIGYLLVLDSLDVDKKRYADIISPKGSVHRAYYTCVFSRSSSKYLEIIIEETEQESKKQIRKQREIAALRKEYYNRKKGLIKPGSAHLSTNDMYRVIAHLCRFVLREVKRGHPSARI >Et_5A_041028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1756901:1759090:1 gene:Et_5A_041028 transcript:Et_5A_041028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWWFAGGLRKGAGKLEDKMVQKELPQAWWFDSHNRAKPSPWLSSTLSELDDKTKQMLTLIEQDADSFAQRAEMYYKKRPVLVDMLGELYRTHRSLAKQYDLLKHSSGTRHTVFGPSSCTQSRSQVSMNGQTTPRSSCSASTYDSESEVDDPEQEEQEEEVFETETEQSQVELQQEQVELMRAEIERLKEQNAALQNVVDACRDRESQVELQKEQVELTRSEIERLKEQNAALQKVAEENAAMKAELAGKDEEKREVIRQLASSFDMIREENCTLRDCIRESRNSSSRVFDLKKVAKDLFSARLFTAHCRPTGPLVAL >Et_3A_024614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22590243:22594175:-1 gene:Et_3A_024614 transcript:Et_3A_024614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLRLIPRVAAAARLPVAASCAPRPVSRRASLSPVLAMAAAYSAGSAVDRRMLFRQLFEKESSTYTYLLADVADPDKPAVLIDPVDRTVDRDLNLIKELGLKLVYAMNTHVHADHVTGTGLIKTKLPGVKSVISKASGAKADHIVDHGDKIYFGNLFLEVRATPGHTAGCVTYVTSDADDQPSPRMAFTGDALIIRACGRTDFQETFKSIMENLNLSYPKMMDVAVPANLVCGCTTKDLSCSTEDCSCVSISRIRHRRSELFPLKWQPVLLDVWHG >Et_3B_031631.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6410743:6411201:1 gene:Et_3B_031631 transcript:Et_3B_031631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPASKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKSAGKEGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >Et_1B_009869.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:4306006:4306466:1 gene:Et_1B_009869 transcript:Et_1B_009869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METITTPATLLVLAALLLSSGFAAAATTTTVEVEPARHNPNCKRILHPAGSICNPESCKLNCKFTYNGHGMCVPPLGCQCDYCPPGVPGRPPLFVGWRCMDTSQFCLGRSC >Et_2B_022091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:983187:985469:1 gene:Et_2B_022091 transcript:Et_2B_022091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTVLARVVPFSWQGYGFVAHLGGRVMCCVWISSKLGCGCDAEHVLITTFRVRGEDSSQYFVPKDFDILHSTCRRIDRLPSNIMGLPSLDLLGVQALLEQYNENRKLSEVLCGAAMVYEDQDHRYGCKNNGNPEMRHPGDIDAYTIGYLDGYLPFGLESSSCDEEESEARLRAMFK >Et_9B_065113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21381420:21387212:1 gene:Et_9B_065113 transcript:Et_9B_065113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWWHHIAKESRYLKGVKVPKETDRFCKGKYSMVKTVRVLRGYLDEQFSQVEDLQDETSPNFTEEVVSLFFKDSARLMTNIEQAIIGASRMKNECTSFRNSCGAGNIESCMRSFQKVKREHAALRQKLESYFQLILGNQFRLLRTSHRSSPCLPRPIACANTTCTQLLRQAGPAGMATRPGG >Et_8B_058726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18932571:18934127:1 gene:Et_8B_058726 transcript:Et_8B_058726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDTTPATFAAFDASVDGGFQPLNADDVRSYLHKSVDFIYDYYKSVESLPVLPGVEPGYLRRLLQSAPPTSSAPFDVAMKEVREAVVPGMTHWASPNFFAFFPSTNSAAAIAGELIASAMNTVGFTWQANPAATEMEVLALDWLAQLLRLPTSFMNRTAAGRGTGGGVILGTTSEAMLVTLVAARDAALRRIGSDGVAGITGLTVYAADQTHSTFFKACRLAGFDPANIRSIPTGADTDYGLDPARLLEVMQADVDAGLVPTYICATVGTTSSNAVDPVGAVADVAALFKGSACICPEFRHHLNGVEKVDSISMSPHKWLMTCLDCTCLYVRDTHRLTDSLETNPEYLKNDASESGNVTDLKDMQVGVGRRFRGLKLWMVMRTYGAAKLQEHIRSDVAMAKMFEESVRQDDRFEVVVPRNFALVCFRIKPSSEGPIPTEEDADEANHELMERLNRTGKAYLAHTVIGGKFVLRFAVGSSLQEERHVRSAWELIQKTATEIIKADKM >Et_9B_065958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18722375:18726101:1 gene:Et_9B_065958 transcript:Et_9B_065958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYMLGQAASQPTTGQSQQVSSFDKLSFSDVLQFADFGPKLALNQRAAAAASSDRDDDDDDDGYFFRFHSLPPSLQQQQQHVEQEGSKTTADGQGISESTTLVQQADGGGGRAEQQQQQQGKSGRRKRPRTVKTSEEVESQRMTHIAVERNRRRQMNEYLRILRSLMPGSYVQRSDVNWYTVRSRPFLFIDHYDSSHTAENVVAGDQASIIGGAIEFIRELEQLIQCLESQKRRRLYGGSGDAPRPVVDAAGVAAPPSSIQQHQPQPPPPPFFPPSLPFPTASGGSAGDGAAKILDLDVGGGVREEVAENKSCLADIEVRALGADAMIKILSRRRPGQLIRTIAALEEMQMSILHTNITTIEQTVLYSFNVKPASTKARSKQFAQLLNTSSLASELSSQKIT >Et_2B_022428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21508752:21510932:-1 gene:Et_2B_022428 transcript:Et_2B_022428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSADTMSPPEDAAGDVRMISSKELRAHASADDLWISISGDVYDVTAWLPRHPGGDLPLLTLAGQDATDAFAAYHPPSARPLLRRFFVGRLSDYNVSPASADYRRLLAQLSSAGLFERVGPTPKVQLVGMAVLFCAALYCVLACASAWAHLLAGGLIGFIWIQSGWMGHDSGHHRITGHPLLDRVVQVLSGNCLTGLSIAWWKCNHNTHHIACNSLDHDPDLQHMPLFAVSAKLFCNMWSCFYKRTMAFDAASKFLISYQHWTFYPVMCIARINLLTQSALFVLFQRKVPQRLLEIAGVATFWVWYPMLVSCLPNWWERVAFVLSSFTITGIQHVQFCLNHFSSEVYVGPPKGNDWFEKQTAGTLDILCPPWMDWFHGGLQFQIEHHLFPRLPRCHLRKVAPFVRDLCKKHGLTYSAASFWDANVLTWKTLRAAALQARSTATGAAPKNLVWEAVNTHGDFRCCRVEI >Et_5B_044708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4893279:4895096:-1 gene:Et_5B_044708 transcript:Et_5B_044708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASHPNHEAFSAPISQIGLGLTGFGVFFSLLGILMLFDKGFLAMGNILFVSGVLVTIGLKSTVQFFTKPKNHKGSISFGFGFFLVLIGWPVLGMMVESYGFIALFSGFWPTAAVYLQKSPAFGWIFQHPFVTSLITRYRGRRVPV >Et_5A_041322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21113571:21122350:-1 gene:Et_5A_041322 transcript:Et_5A_041322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPENTHFPLLLFLAVAALAAGKAGAATILTATPAKLTSSDSQITIRWSGLPDPDGLDYVAIYSPPSSRDFDFLGYLFLNGSATWRTGAGELTLPRLPNLRAPYQFRLFRWPAKEYSYHHIDHDRNPLPNGKHRVSVSGEVAVGGPALPEQLHLAFADGVDEMRVMFVCGDGDKRVVRYGLEKENEEAWKEVGTEVRTYEQKHMCDTPANSSVGWRDPGFVFDGLMKELEPGKRYFYKVGSASKGWSETYSFISRDSEANETNAFLFGDMGTYVPYNTYIRTEAESLSTVKWILRDVQALGSKPAFISHIGDISYARGYSWVWDHFFSQIEPIAAGTPYHVCIGNHEYDWPSQPWKPWWSAGIYGKDSGGECGIPYSVKFRMPGNSILPTGNGGPDTRNLYYSFDSGVVHFVYMSTETNFVQGSDQYNFLKADLQKVNRTRTPFVVFQGHRPMYTTSNEARDAELKQQMLQHLEPLLVSYNVTLALWGHVHMYERFCPLKESQCLNTSSSFQYPGAPVHLVIGMGGKDSQPLWQPRHDHPDIPIFPQPMRSMYRGGEFGYTRLVATREKLTLMYVGNHDGQVHDTVEIFSGQASGISNVSEVVDGPKLSTGASTKRKNSTLYLEIGVKKTHLSFLFLAVAALAAGEAAAAITLTATPTKLSSSDQHITVRWSGLPDPDGLDYVAIYSPPSSRDFDFLGYLFLNGSASWREGSGELTLPRLPNLRAPYQFRLFRWPAKEYSYHHIDHDRNPLPHGKHRVAVSGEVSVGDPARPEQVHLAFADRDDEMRVMFVCGDGGKRVVRYGLGEDEGNWKEVATEVRTYEQKHMCDTPANSSVGWRDPGFVFDGLMNGLEPGRRYFYKVGSDFGGWSETYNFVSRDNEANETIAFLFGDMGTYVPYITYERTQAESLSTVKWILRDIQALGDKPTFISHIGDISYARGYSWVWDHFFSQIEPIAANTPYHVCIGNHEYDWPLQPWKPSWAYGIYGTDGGGECGIPYSVKFRMPGNSILPTGNGGPDTRNLYYSFDSGVVHFIYMSTETNFVQGSEQYNFLKADLEKVNRTRTPFVVFQGHRPMYTSSKESRDAALKQQMLQHLEPLLVMYNVTVALWGHVHRYERFCPIKNFQCLNTSSSFQYPGAPIHVVIGMGGQDWQSIWQPRPDHPDDPIFPQPDSSMYRGGEFGYTRLVATREKLTLTYVGNHDGQVHDVVEIFSDQVSSDINASEVEDGTKLSSGVGTKRKISPLYLEIGVSMMFALLLGFFFGFLVRRKKEATQWTPVKIVSQEASGYGIGVPSSSTACGSQPCERKPSRTSSLLW >Et_1A_009320.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:38772515:38773468:-1 gene:Et_1A_009320 transcript:Et_1A_009320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFQAEDLNDDVVTEILLRLPSAAVLRARAVCKAWRRITSRRAFTAAHAARQPLELIVQLHNGSDTLDTVPLATLDEAARRSLHVRYPEFPRRANEIFWTGYTLIASCDGLLLFERSAWTGRDLFVCNPVTRQWTTLPRLPAAECAVTLPCGFYVHGPSGEHRVLRLATNNEKQGSHYVSSLEISTAEKSHRRVGPAFPLRRIWSDRPGVHHLNHRGKLHWLQHPQVMFSGDNLHRNTVSETFRRMSRPPSDRRFEQLFDVWVLEDYNDDRSWTRRHRVVDLPQPLRHACWAIDAGTNVLVVGNSRTRTAALYNYN >Et_3A_024548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21934511:21938569:1 gene:Et_3A_024548 transcript:Et_3A_024548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGTSSSSEEVSTRDHGVPVRGEALAVGAVDKRVNVAARSARRRTLELRRLGRTASAAAEDDAAKRVRPVSDNSSSDSSDSAKVAPEPPPPLPVPEAAAAARVPVCLSHGAVSVIGRRREMEDAVAVAAPFLPAAAAGAEAAEKSRAGEDGGGKVKAGEEGFFAVFDGHGGARVAQACRERMHVVLAEEVERLKLGDCCEDDDRDGARWKEAMAACFARVDGEVGGAEEDDEEDAGGEQTVGSTAVVAVVGARRIVVANCGDSRCVLSRGGVAVPLSDDHKPERPDELERVEAAGGRVINWNGYRVLGVLATSRSIGDYYLKPYVIAEPEVTVTDRTDKDEFLILASDGLWDVVSNEVACKIARNCLSGLAASKYPDSVSGSSAADAAALLVELAVSRGSKDNISVVVVELKRLKSRKARRQNGS >Et_1B_010406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10187944:10191320:1 gene:Et_1B_010406 transcript:Et_1B_010406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLPPLDVVPVARAAAIRPIAGKHQQARRPVLGPHPNQQAAAVLTASKCADQLTTRRQKVIYTMSSLLIFLAASQIPLYGVQLQPGARPDPLYWGNLFSASNDNTLLTLGITPILVPEIMKQILVASNSMNLDSNSAEAHALLNRMQKFMGILTTIFGAAAYVLDSGSVGKFGGGNAALIALQILFSGIVVIYLDDVLKKGYGLVSCISLITATNICGNVLWKAFSPIYHGEGTEYEGAVLAWVHLLMTRTDKIPAMREAFYRQNLPNVINLLATCFFVPIAIFFQGLSIVLPVRTPDLPGFQVDCLIKISNVSYGCIILHRMLAANLYAISKLLYMNYGGNKLVNQLGTWKGSKQPIPDGGIAYYIAAPPTLSDLHKDPLHAFMYVVFVLVACPLFAVSWLREEQIMPANPDSVPQNEFTSHVVKAACFGGLCVGALIILGDFIGVFGSGTGIMLVVTTLYPYFDGRGGDVSVFGF >Et_10B_003113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16747150:16750082:1 gene:Et_10B_003113 transcript:Et_10B_003113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRPLSFARTAPSPPGPEPASERRTVVVALRRDAAGRELLTWALVKAAAAGDRVVALHVATAASAAEDGGAAATAGEESVADTLASVLGAYRGFCERNQIDLQLRVLREGASSVKRALVAEAASAGAAHLVLGVTTNSSTSTRPSRSSATAIARYCAKRVPPTCAVTAVSNGVVVYRRDAAAQHHKNHQQLSHSSSMVDTPRRLYRKLLDATTTTAAEDKKAAQDDLAIGDGAGRYMRRNMLVSMSALVSPRLRLLGPASCQKKQEEEMLPEAAAGWPLLRKNFKSAVTNSPSSEEVSVVQWAMKLPTRWSAAAAIAPVSNSEPQASVDEREVVPPVIEEEEEEEQVPEELVALREKYSSKYTMFSYSELAKITDNFSPERVVGKGGAGRVYRGRTEDGEELAVKVLNSPSADALAEFVSEVDVLSAIDHDNAMSLVGLCLDDRQLMLVYDYMRRGSLEEVLHGETIRRGGGGKGFGWPERFKVAVGVALALAYLHGDGDGDRRPVIHRDVKSSNVLVADDFEPKLCDFGLAIWAEDTASQVTGDDVAGTFGYLAPEYFMHGKVSDKVDVYAFGVVLLELVSGRKPVSAGGPKGQESLVMWATSVVHGGKLMDLVDPSLPQADGGEVERMALAAALCIRREPQRRPNMRNVLKLLAGDGDAVKWAKSEVGVSGDDHDDCGAAASPDKNDIQSYINLALLDGVVDDDAGSVSSVDFLGANMSLEEYMKGRWSRSSSFDE >Et_3B_030303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32100756:32104197:1 gene:Et_3B_030303 transcript:Et_3B_030303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVASPYSVPHLLIKPSFRLCSRKGVGRDGGIKVYAVLREDSAEFARNNNLEALFHVDDPGPRVPIKKGKLLDVNQALEVVRFDIQYCDWRARQDLLTIMVLHNKVVEVLNPLAREFKSIGTLRKELAELQQELAKAHNQVHLSETRVSSALDKLAQMETLVNDRLLPDGGSSTSTAESTSLAPSTSSTARVRAKKQPRRALNVSGPVKPYNPSLKNFWYPVAFSSDLKEDTMVPIDCFEEQWVIFRGKDGRPGCVQNTCAHRACPLHLGSVNEGRIQCPYHGWEYSTDGKCEKMPSTRMLDVRIRSLPCFEQEGMVWIWPGDDPPKSTIPSLLPPSGFTVHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPASGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGKSTQQCSTHLHQLHVCLPSSRNKTRLLYRMSLDFAPWLKHIPMMHLLWSHFAEKVLNEDLRLVLGQQERMINGANVWNWPVTYDKLGIRYRLWRDAVESGSGRLPFSNQIESGSYLILLPIFRIWWPELAGGFICTACISAQFMVPLSLLHLEGFNKGRLLDWMMGHMIV >Et_5B_045230.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1303229:1303546:-1 gene:Et_5B_045230 transcript:Et_5B_045230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGAGVWVFRNGVMQLEQPAAARGKALVYTPTNEVVRSVEALERRLASLGWERYYENRTIVQLHKRDGGADLITIPRDFASLRSTHMYDVVVKNRDHFKVVDA >Et_6B_048204.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:10254291:10254848:-1 gene:Et_6B_048204 transcript:Et_6B_048204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTESETLHMMKQLLIGVDVMGAQGVVHCDLKPENILVGKEDGRLKICDFGLASFAAAPPHDFDGTLWYSAPEQLLSEGNCGPAVDAWALGCIMVELLTGEPLIQGIETAHQLTSIIYLLGVSDEVSKMHLGVDASAQSELRDSVPETLLSSAGFDVLQGLLRFDPTERLTPAAALSMKWFSGAS >Et_3A_026920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26493988:26494992:-1 gene:Et_3A_026920 transcript:Et_3A_026920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKLYGDTMSWKVTRCVVALEEAGADYEMVHIEYKSPGHLARNLSGDGFITPPLLPRDPINKQCCCGTPFGQLPAFQDGDLYLLAIIFEILISPILGRTCDQKVVDENLEKLKKVPDLNHVSATLSVFTTPYAYPHVKAWWDGLVARPSVQKAATLMKPFV >Et_4A_035503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2740747:2741106:-1 gene:Et_4A_035503 transcript:Et_4A_035503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQYLPPPALAAKGFVQGVVTYTVMDDLTIAPMSAISSITRCSNALAVTDLAALHERTVRLGYNEILRASLQSKTVLTDVFL >Et_2A_017777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6083890:6091033:-1 gene:Et_2A_017777 transcript:Et_2A_017777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLRKLHIGDSAGDGASSPAAPLPPPSKKGGGGEHKHGSGISGWLSSVTGRPHPPPPPTLPVPPPPPPAAATAATPVAAAEAEETALAAALASSAEERRVEEEENRVRRETLKEAEEERKREVAMEKKEKQQADLEEYQMQLALEMSVREDPEAMQIEVAKQISLGSCPLQSSPAEVIAFRYWSFNALSYDDKILDGFYDICATGDEPALSTIPSLMELQALPFSHGAKTEAVLVNRTQDSELVALEQNAFIMTVELRSKNTESVGPTLVRTLASLVSNYMGGPVFDPESMLLKYQNMSNSLRASIRSAVMPLGRIRVGLARHRAMLFKVLADSLAVPCRLVKGRQYTGSDDGALNFVKFNDGREYIVDLMSDPGTLIPSDGADLGREFDKNLFGDNQHNKDDSNTQLVSSFSEASSSVHGSFDNELLEKGSAPSDSGLFCPCGTITGRTENEISRVSSSFEELSVSGYTSENMPIAHGSINTDSSMTTKRKDKLDTSNNSTSSSPPSSGTGSTPAVRRMKVKDVSEYMISAAKENPQLADKINAVLLESGVVPPPDLFSEASMEQPKDLIVYDTSLFETRDEMIRTMNELESTSHVGQSHGPSLPHPDHELQTTIVPYRTPLDLKPVQGLGIYHASNIRDNSTSSEAERISDRSTGTESVRSDIALDDVSEFEIQWDEITLGERVGLGSFGEVYRGEWHGTEVAVKKFLQQDITSDALEEFRTEVRIMKRVRHPNVVLFMGAVTRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERRRLRMALDVARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRIKHNTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLSQPWEGMNPMQVVGAVGFQQRRLTIPSNVDPAVAKIIERCWQTLVCFNLLDSNGVLKVIYHKLLLVTCVRSKDAAIIFRYHGFLKTVTEKHACHPVYKKAGTTNR >Et_1A_007840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38749850:38755635:-1 gene:Et_1A_007840 transcript:Et_1A_007840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFTFVIAAHGFKSLTMSHFVPKFTRPISDRIDGMWVSGDRLLMRQPTLRLGVLIYWIALHALLARLQRSDIVVLEVRIRARQHHTCSPPPLSALAFPSCMEALAAGSAVLSPPAIAGEASPSPTLRRVTTVFPRPRKSYYSLVISTRRPRAPPGCGGRLLAGRGENGSPNPEDDAGGQAEDLPLFENNVNLRESHDEGTSKREDICFPGTGGGNTGGSRAGLFRTPISGGVHSATAVHDLPPPALAVRNLMEQSRFAHLCTVMSRMHHRRAGYPFGSLVDFATDPIGHPIFSLSPLAIHTRNLLADPRCTLVVQIPGWSGLSNARVTIFGDVVPLPGEQQDWAHQQYVSKHQQWASQQWGNFYYYRMHTISDIYFIGGFGTVAWIDVKEYEALQPDKIAIDGGEHNLKELNAVFSKPLKELLSTEGEVDDVALISMDSKGVDIRVRQGAQFNVQRIAFEVDRTVETLDEAKEALRRIISKSRWHTKSSIVGRP >Et_5A_040374.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:18032976:18032996:-1 gene:Et_5A_040374 transcript:Et_5A_040374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVV >Et_9A_063409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23335468:23337474:1 gene:Et_9A_063409 transcript:Et_9A_063409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQPDNGEEGTFASLKVLPSMELESAPDDEVVSSSRGKQELWPLGDVDPTRARFPCCIVWTPLPVVSWLAPYIGHVGIAREDGTILDFAGSNFVNVDDLAFGGVARCLQLDRKECCFPVNLAAHVCERSYEHSEAGTAISWDDALRSGTRQFEHKCYNLFTCNSHSFVANCLNRLAYGGSVGWNVLNLAALVWLRGRWLDRMAVVRSFLPFAAVSCVGVLMAGWSFLLGMAAFSLLLLGWFVIGVYCMKGLVC >Et_10A_002055.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20786459:20787046:-1 gene:Et_10A_002055 transcript:Et_10A_002055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLLSRPPIPVPAHAAAGADGDLLELDVLWPAASSPRGLGLLAALTEDEGGKKKTKRAGGAARSAARPVPETAAAAFGMARSAPVRIPSEPAPGARRGRWAALAGWEDAGDAAAVVPPHEIVARRAAAHSSVLEGAGRKLKGRDLRRVRNAVLRRTGFLD >Et_3A_027294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7112776:7113748:1 gene:Et_3A_027294 transcript:Et_3A_027294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKMFVLFAIVALSWSVATANVFPQIIPSTASWATINHPCTQYCMLQQPFAMAGSPFASMIMQQQPWASYLFQQQAFPIVSSPTTAWLQQQCNCASVSQMIQQQQIAAQMPFTFNPVAVAMQQSYLNNPMIAAIQLPYLYNPMSSTMQLSSMFNPMSTAMQMASVFNPFAMASPANYFHRCATAREDIGRLATRIVCMPGSPEQFGRMYQHSGFRGNNLTSDQI >Et_9A_062132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:241740:243179:1 gene:Et_9A_062132 transcript:Et_9A_062132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGATRRRVAGGGLARVAEHGMRAGGAMRRRERRRWRTSAGGGARGEVATERGVWAGCATRRRPHRRVAGGGYRRWVRSKGAQGAAAATRRWVAARRCGEVALTPPEPRAVGGAGGGAEDEEEEDGEKQSTNASSKKMGLWRKVEPLFMKMPWGTGGVRPRCCQSSSASPTRCGPSGSASSPTTWRTPSMTSCCASTAAASNVFKKALRDIAHKTKAPLKKLMDRHQISDKIKDIKDLSKELSDLRAKYAFNNAAYAANTRDGGVDPRVINLRKNEGRELVDIEEARGDLLRMLMTSTHPTRSVLSR >Et_3B_030423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3708648:3711528:1 gene:Et_3B_030423 transcript:Et_3B_030423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSSPSAAGAAASSGARFGLVPTRSPQLLPAPSLARRVPNSQLVLGPQPHHGLLRHADSSSSRCRAVAAEVEGLNIANDVTQLIGNTPMVYLNNIVKGCVANVAAKLEIMEPCCSVKDRIGYSMITDAEEKGLITPGKSVLVEATSGNTGIGLAFIAASRGYKLILTMPSSMSMERRVLFRAFGAELVLTDAAKGMKGALDKATEILNKTPNAYMLQQFDNPANPKVHYETTGPEIWEDSKGKVDIFIGGIGTGGTISGAGRFLKEQNPNIKVIGIEPTESNILSGGKPGPHKIQGIGAGFVPRNLDSNILDEVIEISSDEAIETAKQVALQEGLLVGISSGAAAAAAIRVAKRPENAGKLIVVVFPSFGERYLSSALFQSIREECEKLQPEL >Et_4B_039097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7759019:7760498:-1 gene:Et_4B_039097 transcript:Et_4B_039097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINPRFVSAAARSFHTTIRDMEFEDRCHKVQEPKFDCLLFDLDDTLYPMSSGIAGHVKKNIEDYMVEKLGIDESKIEALGNLLYKNYGTTMAGLRAIGYSFDYDEYHAFVHGRLPYDNIKPDPVLKHILKNLRIRKLIFTNGDMVHAVRALEMLGLEDCFDGIICFETLNPPCPPCDGEPKIFDIAGHFAGSGTADDLPKTPVMCKPNVDAMEEALRIANVNPHKAIFFDDSVRNIQAGKRIGLYTVLVGTSQRVKGADHALESIHNIREALPELWEEAEKAEDVLYADRVAIETSVTA >Et_2A_015581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15372740:15382917:1 gene:Et_2A_015581 transcript:Et_2A_015581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSAAAAATAAPSSRDIAERLFKKNGELENHLRKSVQSKVPSDPNIWLQMRDNFEKIILADHDFSEQHEIEYLLWQLHYKRIEEFRRNINSAGSSASQSGKPNVSVERIRRIKSAFRSFLSEASGFYHDLMLKIKANYGLPLGYFSEGPESAGNPMKDDKKIAEVKKGLISCHRCLIYLGDLARYKSLHGDDDSASREYAAASSYYKEAASIYPSSGNPHHQLAILASYSGNEVVAVYRYFRSLAADTPFATARDNLIILFEKNRQSYAQLPGNNRVPPSKTLPPRSSGRGRGRGEVRFQPKDANTETAARERECSVPDTLKAFYVRFVRLNGILFTRTSLETFGELFASVSNDLQILLSSGPEEDLNFGSDAAENALAAVRLTAILIFTVHNVKKEPDTRSYAEIVQRRVLLQSAFTTAFDFVGRILRRCSELRDVASSFYLPAILVYIEWLASHPELAVDSEMEEKHAEARSFFWNQCISFMNKLILTDLASVDGDDDEACFSNMSAYEEGETGNRLALWEDLELRGFLPLVPAQIILDFSSKHKSGNIGSTKEKKERVQRIFAAGKSLLNFVQIDQLRIYFDPSSKKFVMAKKPPEANNPPHGSSDALKMNAIELEHEAAGRFDSGSAGLSVLRSEVQLSPEGDDDEEIVFKPTASEKFPKPPAEPAVNGYIQPVQTAAGWPANASSASVQGTASVSSSGWPTNGGSVPMQSSSSISAAGNYGINQSLPMSSIGWAVNGEQKVVGLSMVQPVEMPPSSWASNGAPHVGPQNTISAFPDVVADPRLSASTIPRFSSPDYSKLLSEQEMLLMNGLKNVNITGNGYLEHRLQGGLSGLQHMGYSPQVSIESGGNITNLIHNQGKVTGSNIPSMLDSVVHSVTPSDGMPLKFSEAPLAASKKNPVSRPSKPAGPPPGFNHVTPKRQDESISNGNLQNPQVDDYSWLDGYQPSLDQVHNLRAVYPDMSGTSTAFTTPFPFPGKQQVNEKTWQDFHLFEPARQNMFQNYQQRNQQNGQMAEQEPANPIWPGRYLGKKWLRI >Et_9B_063785.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14033054:14033332:1 gene:Et_9B_063785 transcript:Et_9B_063785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGWCRCGHCGAVRRLRLEGEFASCGACGKVLLQLRGDGVERARPLSQRRRRRKRGREGRAAGRRNMTEDVGDRRGRGPESDAESTVTAG >Et_9A_061342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10261909:10265395:-1 gene:Et_9A_061342 transcript:Et_9A_061342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRGGWHMHPKEPLGTSFYKGVVLRRIAGNPLELTCLGIDTNTQAIPGAKRKTASAFLVEIIVLMCWNIWVTRNRWIFYSIDPTVANSRRKFPKELTMVVHRARQRYINELEQCIQAHLWHGFSLQEVLEQYECLSWAEKRNLVPCTMDGHQGYKMSQLRKGIGVRDNGWSIYLLLATIDENDGSTGEYPTMTQSIFRFYGYTSYLLS >Et_1B_012249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30160461:30164840:1 gene:Et_1B_012249 transcript:Et_1B_012249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGAAAPEETTTFRSKLPDIEIDNSQTLQAYCFGKMAEVGDRPCLVDGNTGKSYTYAETASLSRRAARGLRAMGVGKGDVVMNLLRNCPEFAFAFLGAARLGAATTTANPFYTPHEIHRQAEAAGAKVIVTEACAVEKVREFAAERGVPVVVVDGRFDGCVEFEEVIAAEELEADADVHPDDVVALPYSSGTTGLPKGVMLTHRSLVTSVAQQVDGDNPNLHFRADDVLLCLLPLFHIYSLNSVLLAGLRAGSTIVIMRKFDLGALVDLVRKYHITIAPFVPPIVVEIAKSPKVTADDLASIRMVMSGAAPMGKELQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFKVKSGSCGTVVRNAELKIVDPDTGASLGRNQPGEICIRGEQIMKGYLNDPESTKNTIDKDGWLHTGDIGYVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVSMKDDLAGEIPVAFIVRTEGSEVTEDEIKQFVAKEVVFYKKIHKVFFTESIPKNPSGKILRKDLRARLAAGVH >Et_2B_021983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7694493:7696159:-1 gene:Et_2B_021983 transcript:Et_2B_021983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHGDDLQHQDPWFLSLAALGAIYLAAAAFRLLAHLRRRYGAWAVVTGPTSGIGRNMALELARRGLNVVLVGRDPAKLRDISDTIVATHAVQTKTVVFDLALTSTAQGDEAARRLRDAVAGLDVGVLVNNAGVAKPCAVYLHEFDVEAWVRMIRVNLWALTEVTAAVLPGMVARRRGAVVNIGSGSTEAIPSFPLYSIYAATKRYVAQLSRSLYVEYKSKGIDVQCQAPLFVDTKMASSVARAKRFSPFVPTSDAYARAALRWIGRGPLCVPNAGHRLQAFLCAAVPDRVHDWLRLREHLRQRALFQRLRSAKGAPRTATATSGASRERS >Et_4B_039096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7701708:7707203:-1 gene:Et_4B_039096 transcript:Et_4B_039096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRLSWAGLLKWSLSYVDGAGPSRTISEEERRWLAEAVERHMMVDVVSRMREIALLMSTPPAVLEAQGITHDDIEDLLSELQVHVESIDMANDLHSVGGLVPVIKYLRNSNARIRAKAADVVTTVVQNNPTSQQLVMEASGFEPLLSNFRTDPDLTARIKALGALSSLIRNNRPGIAAFRLANGYAGLRDALISESARFQRKALSLTHYLLSESHSDCSVFAQLGFPHLMMRLASSDDSGVREAALGGLLELARDTTLANRSLLADHDKLRRLLRGRIESIRTMTPEDLDAAREERQLVDSLWLACYHEPSILRNEGLLVLPGEESFEQPPDVAGRFFEPMRQATARRAPPNERSDSGDGSVGAAAIQLLHPPSLSQLLDLENIILPACARQRSREQQATRRISWLDGQQARRRQLRELDVPMAPAGSQQRPPNEAVGLQGHRFDETIRLVEPPGSAKQMHHASVVLQPWLDAMLFQHGSVQPLPKLDQATIAASRQNGCVRQVVWRCSLRKHPVVDADGFLAMTGIRQPEDHGVPCHRGSLGHIFKQLARFKHEAALGVHVDQSAANREVLAESCHDSVGMDFLAALHVGDIGESRQDAGNRGRARRDACAGGHHAPEQSASPVVLEVEHGPGNKRRPGDHVWLEPFLLHGADQLPGHLVIAGQRETGDDSVPGDQVEPQALAPHLDGQGQRALREAVARVARDKRVPGDEVVPARHFVEHSSGAGDEAALGVHVEDCSGDDGIGGERRAGEDERVDEAAGSGVSQARAGTKGRRNGGGMRFHRAAIAGLLEAETGEEEVEGAAVVALPGSGRQLLHP >Et_4B_036381.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2091619:2091750:-1 gene:Et_4B_036381 transcript:Et_4B_036381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIGDMDTVAIANKLKKFGRVDVLSVGPAKKEKKDDKKGAKK >Et_1B_011752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25608920:25616744:-1 gene:Et_1B_011752 transcript:Et_1B_011752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGSVKRPAAAGAVASAAPPSFTVNPGDYRLLEEVGYGAHAVVHRAVFLPRNEVVAVKCLDLDQLNNNIDEIQREAQIMSLIDHPNVIKAFCSFVVEHSLWVVMPFMTEGSCLHLMKIAYPDGFEEPVIGSILKETLKALEYLHNQGQIHRDVKAGNILIDGAGAVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDRRFSKAFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTMKSILTDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSMSEYQRGVSAWNFDIEDLKAQASLIRDDEPPEIKEDDDTARNTETNKDSSFRNHLGKPSSDNNYRERASATAVNSDRKGPKAHEGFDFDFSDADCKRRADGYENNRAENDSLPSTSKQDSELNSWTCDVGQRQQSSGANNSTSVERGHGFERDAAVQITSDKQRSDLRKNNLSGPLSLPTRASANSFSAPIRSSGGYVDSLGDKSKRNVVEIKGRFSVTSENVDLAKVQEGPVSALSRKSPQGSLLRKSASVGDWLVNAKPMCNSNQLKELCNSSVSSSILIPHLENLVQQTMFQQDLIMNLLGGLQQNEKVDGAQPGISSQTRTMENDKMAGTANSEKERSLLVKISELQSRMITLTDELISAKLKHVQLQQELNALYCREEIEDIRDDDNEET >Et_4B_040050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8377933:8382412:-1 gene:Et_4B_040050 transcript:Et_4B_040050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METCFLPSTLPTAKPLPAFQTLPTAASLRAGPRPRRSTIRAAISRGRKEDTVATVREQLEGCYLLAGIRYEGLTVKQIQGIRDALPDTCRLLVAKNTLVGKAIEGTPWEALKPCMKGMNAWLFVHTEEVPTALKPYRAFQKEERVEETNDFVGAVFEGKFYGPGDFKSLETMPSRAEVYAQLLGALQGPATSLVTTLQAPARDVVAVLSAYVRKLEEEAGAAYSNETVYRSRITIRQNIAPPGSSSRPNFASLSATELHDRGYTSPDVDKLQEYEELNVLVKNTIRWKVEALRSQRCSATELHDRGYTSPYVDKLQEYEELNSAWVPGSV >Et_5B_044412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23985730:23986564:1 gene:Et_5B_044412 transcript:Et_5B_044412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLFTMKPAAAAAASGLIVLVVLLVVVAESAAAAGVSFTVGFDAAIGADRAREILKGCHQCCVEPLRPAGAADRRPVDAVTLVVVNGGFNAVGSTSRSGLIQLNVQYIPVQGDVKAEVTGILYREVAKIWQFDGQGHANAALLRGVADLVRLRAGYAPAGWGSTWDEVESGVTARFLNFIDKQQHQQFVASLNAGLKQATTGIELFKKKITGNSVIPDLFSQS >Et_8B_060349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:88837:89979:-1 gene:Et_8B_060349 transcript:Et_8B_060349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATGAGRFFWVATLVMVVMMWCDVAISVATLNSPPPRAFFVFGDSLVDSGNNNYLATTARADSPPYGLDYPTHRATGRFSNGLNVPDIISERLGSEPVLPYLSPQLDGHKLLVGANFASAGLHALGARRVLVTGSGPLGCAPAELALRGSRAGECDPELQRAAALYNPRLVEMVRGLNAELGGSPVFVAVNAYRMHMDFISDPAAYGFVTSKVACCGQGPYNGVGLCTRASSVCPDRSLYAFWDNFHPSERANRIIVSQFMDGTAEYMHPLNLTTILAVDAAANTT >Et_2B_018963.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19447928:19448293:1 gene:Et_2B_018963 transcript:Et_2B_018963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVVPAVVPEGAAADGVDDDDEDEEDDVDDGDALPVALEGGHHAGLARLAVVAESRGGVAPGLAVRVCRGCGGDRPVRAADVGVAAAGGGLAAARLQGEEQRRSVLETEHIYAWRVRRRY >Et_6A_047524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6983390:6985350:1 gene:Et_6A_047524 transcript:Et_6A_047524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKPDTFEYILVIHELKNYICSGSSGAIRGGTYGSCFDQLHISGRKQALPEFCIAVHCNDIPDKDICFCCINMPHPENNCYETKKDCQAHCPACNPECHEAPFSQSAAMEDRPALAAATTPQLCPCKFFSVDQIM >Et_3A_024354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:262000:284906:1 gene:Et_3A_024354 transcript:Et_3A_024354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMEIEDDGAAGGGTGGTWTEEDRALGAAVLGTDAFAYLTKGGGAISQGLVATSLSVDMQNRLQELVESDRPGAGWNYAIYWQLSRTKSGDLVLGWGDGSCREPRDGEVAAAASEGSDDTKQRMRKRVLQRLHIAFGGADEEDYALGIDQVTDTEVFFLASMYFAFPRRVGGPGQVFAAGIPLWIPNSERKVYPTNYCYRGFLANAAGFRTIVLVPFESGVLELGSMQHIAESPDVIETIRSVFAGASGNKAAVQKPEGNGSTPTERSPSLAKIFGKDLNLGRPSAAPVVSVSKVDERSWEQRSAAGGSSLLPNVPKVSPSYNWSQARGLNSHQQKFGNGVLIPGVLVTRTSVLDGDSAEVDGLCKEEGPPPIVEDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQKKLKEMETERERLLESGMMGGTPRPEVDIQVVQDEVLVRVMSPMENHPVKKVFQAFEEAEVRIGESKVTGNNGTVVHSFIIKCPGTEQQTREKVPPEMLDALAGWFAQSLSPDASARRNAEQSLSSASSTPGFALALLALSASPRHDLQARLASSVHFKNLLRRRWPKPSSPDADDAADLLPASDCVIIKAHLLQLLLTAPPLIQAQLSEALAAAAASDFPSKWESLLPSIVSSLGTALAAGDVVATNSLLAAAASLFSRFRNAFDNNALRLDLKYCLDNFAAPLLEVFLSASRRLHAAANATANPLDLRPVFECLRLSCEIFYSLNSIDLPEFFEDHMQEWMTGFCAFLTTTYPPTVEADGAPDALRAAVCDNLQLYMEKYEEEFRRFLQEFVEAVWGLLMAQTVSPSRAQLAVTAIRFLTTVAESVHHALFGSPEAMRQICDSVVVPNLRLRDDDEELFEVNWVEYVRRDSEGSDADTLRRAACRLLRGLAANYREQVAALVSAQVQQMLAAYAADRANNWREKDAAIYLVIALMQKPGATGGGTPVVDMENFFTNVIVPELQAPDWQSEPMLKATVLRFLKEFKDQIPKATAVALLPSVVRFLSHESNVVHSYAATFIENLLMIKDAVPVPGVNTVTRSQRYVAADINPFAPQIIQNLSTALSFPDSSENPYLMKCLMRVLGIANISGQIVHDITARLVGILMEVCNNPKNPDFNHYLFEALSAVIGRAGEQDPAIVPVFEASLFPVLQRILVEDIAEFWPYAFQIFAQLVNLSRPPLSQNYMQLFGVLLSNATWDRPPCVPALVRLLRAFLRKIPNELNQEGRLPNILVIFRSLLSRSSTEDSAFYMLNTLVENVGIDIMSPYLSEIWSALFTRLQTRQAVKFVNSLVVFMSLVLVKYGSGVLVSSVDAIQPNLFTQILQRFWIPNLKLIKGSLEVKLTAVASTRLLCESAVLLDAAAAQWWGKLLDSTVALLSRTDQGGAQQEQNDGADAADFQRTSGYSVSFVRLQYAGKSEDDLLKEVSDPKQFLVTSLATLSAQSPGRFGPVIEQHVDPANKNILPHRGEQEILTTVDLKIAFGFEKLLNLEMLVMETARRAADVEPLLQDPQSISAEALLMAFEFDVLHCIVDSEVSELEKLAGSIQMDIQTVENSVEEPKDRVEGKLQAATESLKQMQELIATVRRESATFEKVIQPSQGTTEGVGYENGLMSPHTTTQAEDQRHFLQMLQKSIASELDLGKELCDTRSEVEELKMKLYQAEQQSYFLEESVEAIYERMLSAEYASQLFLGTSKDLLAKINTIDFNLSASVHREGDLESKMEEGLSNDNKSSREKVPADSGIDADQVAAQMQTPSPPELLTLQDKIRQLEESLSRGANKEEQNITRPEIISFRDIINELKVAISNAEDRTQKAEARCTELTQTNDQLYAELNSDRAGLLEKRLQESDTQLEQAKASVDAVVEQQSMLKSSMSDMEQMIEDLKEKFLKAETRAENAESKCTLLTDTNLELSEELSFLRGRVEGLENSLRQANQLKASTAKDIGIKTKTISDLVAKLALERERLHLQIVTLTKKNKMLAQKCRKNVNEASLFSKKACVKEGELRPIKAEEEAVVDTSSIESKVTPTDNNIRDELTPPLEVDSGTENKLETVRTIEPSQLNWKYILTTVLVLLAAILAYLLYKEDVRIVILTNKNKMLAQKCRKNVNEASLFSKKATVKEVNLIRPIEAKEEAVVDTSSTESKNKLETVRTIEPSQLNWKHILTTVLVLLAAILAYLLYKEDDRVQQLLRQFLGERGRLRYRWKPSLVATWKELWSEGADIQTVSRYCRTSKSDSVCTVVYCGVSEQRPAGKSSATARSRRRNGRQRLAMVVAVLSCFASSVGTQRKAHDS >Et_9B_063822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16383404:16383833:1 gene:Et_9B_063822 transcript:Et_9B_063822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GLNLVAATRVIIVDPSWSRSEDNQTADRVYRIGQREDVTIYRLITCNTIEEHVYQTQVIHDL >Et_7B_053269.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:18832979:18833910:1 gene:Et_7B_053269 transcript:Et_7B_053269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSELVLYNLVPAQTLNPAPATAADLSISAAAPQQPQQLPLRGRSRRAAGSSDRHAKVAGRGRRVRIPAMVAARVFQLTRELGHRTDGETIEWLLRQAEPSIIAATGSGVTPEEAPPAAVPVAHSPAAGQGGGASAPYMPVPYYTALLMQPPPVEEPSASASAATAEQNK >Et_4B_037470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20068018:20073544:1 gene:Et_4B_037470 transcript:Et_4B_037470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKFFRGSTHNISEGQYNSRPAEETWNEPSSSPVVTDNLSEFDNEDIDRAIALSLLEEEQRKTKAIEKDMHLEEDEQLARAIQESLNVESPPHKNGSASGASGASGGNTYQPPRQKGSANGSNAYQPPRENNTINGGNTYQPLPFMYSSGFRTCAGCHREIGHGRFLSCMGAVWHPECFCCHACSQPIYDYEFSMSGNHPYHKNCYKEQFHPKCDVCKQFIPTNMNGLIEYRAHPFWLQKYCPSHEVDGTPRCCSCERMEPRESRYVLLDDGRKLCLECIDSAVMDTSECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKAGHHHLPETRGLCLSEEQTVSTILRRPRMAGNKIMDMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRTLRPDVEEGICQVLAHLWIESEIMAGSGSNVASTSSASSTSTSSKKAGRSQFERKLGDFFKHQIESDTSVAYGDGFRAGNRAVLQYGLKRTLEHIRLTGTFPF >Et_10A_001372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2410799:2417119:1 gene:Et_10A_001372 transcript:Et_10A_001372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISKFVTLVFSSVTGKWQAAATFDYEYATPFVRHYAHNCFYWTHLAKSNMLVLDPSEMKLSVVNLPPRSFHPGWWGLDRAIVDVGDDRLERQYFVLDVKKSHVERLCVFNPISAPTFSYASFPPPLSLPSI >Et_10A_000436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10162222:10163186:-1 gene:Et_10A_000436 transcript:Et_10A_000436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVRPAAEAEEEVAQAQLVREEEEAAPAEKDVAVVGEQSEAEAEAEEEVEAEAEAEAGASAKKNRIQVSTNKKPLYFYVNLAKRYMQNYDEVELSALGMAIGTVVTVAEILKNNGLATEKKILTSTIGTKDESKGRLVRKAKIEILLCKSDNFNSIMSSKKSDRPKNAEEEIKV >Et_1B_014216.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:35589143:35590162:-1 gene:Et_1B_014216 transcript:Et_1B_014216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGADPASASGRRCPKHPSQPPFTGFCSACLLDRLHATNLVLASPPPPPSLPSLDLVEAEEAEPTATSGRRRTTLLHLFQLEDQGADHVELEQSEGGPSSTSQDPPPHLQRKRSLRQSCSEWIACCDTSAAANQSSCLPSRQSLDASCSTAPTAAPAAAAAAAAAAADGPCASTNGFPVVERRTASLRVWAIRGSLTKPAGHLLNRSFSESSRSRYAHHPGNGGTIARSSSSSQSQGIRRNGSLSVSSTGMDSSEISLPDDSLVHHCRPRLKNRLHWLRRSRSVHYSSPTSLVHPGLTPFRSRSSSTRSTVDRVQQPSRRLNLNLFAAGFFGTQRHQH >Et_10A_001826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8904500:8929688:1 gene:Et_10A_001826 transcript:Et_10A_001826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLGALAFLEDSNLPARAKPYSPPVSNGSAAAGRRPGPQLRKHTPLTFPPPFAAAQIDQARGFGGRNRRWSRKRRNPMDQLTDDILVKILSRVPYWSLLRCSCVSRRWRDLIAHPDHLRKLPQTLAGFFYQIYFTSARCFVNASGTGPPFVDPSLAFLPDRERERLDLLDGCNGLLLCRCYRFADPNEFDYLVINPATEKWVAVPVSRRWSNTLQTPRLGFEPAVSSHFHVFEFHWNRDVDARVLGIKIYSSETGLWSYKESGWEVGITLSPDFDSVFVDGTLYAIATKCLIAAVDVEGRTWRIIDLPRSVDSPFFSAIDLSQGKLHLATIDDIIDGKLAIWVLEDRNSEEWTLKHTVSFWHLVRKKIVPTAFGKFIVVAIHQDRNMVFFVFGDNKKLMSYDMDSGEVRTIQNLGQYLYADYIPYVPLSSEACQRRLTKLAAMADSSSRKRSGGRKRRRKRPNPAEQLTDDLLVEILSRVPYRSLRRFTCVSRRWRDLIAHRDHRRKLPQSLAGFFYHPGLFYDASGLPSTRCFVNASGTGPRLVDPFLSFLPDREWEGLALLDGCNGPLLCRCFRFADPYEFDYLIINLATEKWVAVPSVFVNGMLYVVATYFMIGVVFVEGKTWRTIDFTCFVETFYGILPGCIDLSQGKLHLATFAGDKLAILVLEDGNSEEWTLKHTVSFMHMVGRQFVCFREYIVVAVHPDRNMVFFIFGDEETLMSYDMDSGKLQNTDTYRIRDGYGYVTDTHWEKTLMVGAVDMEGKTWRIIDFPCSKEPFLYTAPWYIDLFQGQLHCATVDGITGDKLKIWVLEDGSSEEWTLKHSQLTKLAAMAGGARKGPNPTDQLTDDVLVEILSRVPYKSLLRCSCFARRWRALIAHPDHRGKLPQTLAGFFCFAPNRSFINVPGTGPPFVDPSLAFLPDRERKGLALLDGCNGLLLCRCHRFADLSEFNYVVINPAAEKWVAVPFSRRWWNTAQTSRLGFEPAVSSHFHVFEFHLRPCSFDMEWLGFGPQSTEPEEEEPLMPIPEAEDDIDKELQLVYDLEDEEVEEETEVRAREDDAPSREEEAPPRNQIQKTEPKKSTKSNMEGMFESYKESGWEVGITFDPDYKNSVFVDGTLYVIANECLLAAVDVDGRTWRIIHFPRSEDSPFFSTGVGFIDLSQGKLHFANSDYNIISNKLAIWVLEDRNSEEWTLKHIVSFRHLVGMKHVYIGSGQFTVVAIQPDHNMVFFAFDDNKKLMSYDMDSGEVRTIQNLGKYCYGHYIPYEEERRLERPYPMDQLTDDILVEILSRVPYRSLNLLDGCNGLLLCCCFRLPDYLINPATERWGRAGTAAYLGFDPAFSSHSYVFEFQLDAVGDGDYDYDGVELVLGGDIYSSESGLWSYKQSAWSDEVYLASNCKSVFVDGILYVVSTEFMIGAVDVQGKTWRAIDFPYSEKPLLDAAPGYIDLSQGQLHLVIGNGDLTGAKLGTWVLEDRNSEEWTLKHTVSFEHLVGWHCVPSGYGDFQYIVVAVHPDRNMVFFIFGDKRTLMSYDMDSGEVCTIRELGHSCVESYCIPYVPLFSETMADGKQ >Et_5A_041026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1708933:1710810:1 gene:Et_5A_041026 transcript:Et_5A_041026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGADGAPPPCDFCTGLPAVLYCRADSARLCLPCDRHVHGANTVATRHARAPLCAACRAAAAAFCRGGSAGSGAGGFLCGNCDFEERDRDAAQPGGEPPLHDRAVVEGYTGCPTIGDLAAILGVGGCEKAAGGHGWWPAWEEPQVLRLEDVIVPTTSCHGLQPLVTPSSPEVRSAAGGKLDEEVIRQLGELTKSEAAEVEPGDGEPLASWASSEYAIAHSGFEALNPGACQEAASMTVPSSCEHEAWMAATDCNDDVPITGACRALEPHSPAPAGSSAEEPCLSSFVDISKICPSMSRGSSTADVVDDNNSVAVAAPPATKKGGYDVAYPDRGTVISRYKEKRKNRRFDKQIRYESRKARADGRLRIKGRFAKANEI >Et_2B_022862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6845913:6849321:-1 gene:Et_2B_022862 transcript:Et_2B_022862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMFQLVNPAAATLPVLAVLALVFLLAARRPQHPSSLDSLGTDVSLPPPASGLRSRQEDSAATAVAAYAARVPEGCDISQGEWVPDDGAGPHYTNLTCPHIHEHQNCMKYGRPDRGFLHWRWRPDGCELPRFDAAAFLDAVRGKSMAFIGDSIARNQMQSLMCLLSKVEDPKDVSTPTDLDFRTVYESYNFTLAIFKSTFLVRANQSDTGRRLWHLYLDEPDEAWTSHIAGFDYVVLSAGVWYNKPSVFHQAGRVVGCNYCLLPGVPELTLRYSQRMALRAALRVLTAADGFNGTLILRTVSSSHFEGGEWDKGGDCRRTRPFAANETRMAGLDLDFHTAQVEEFAWAKAEAAAGGSSARLLMMDTTPATLLRPDGHPSRYGHWPDENVELYNDCVHWCLPGPIDAWNEMLLQTI >Et_2A_016174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21738701:21741242:-1 gene:Et_2A_016174 transcript:Et_2A_016174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSASLHLLLLLAAAFASTPASSKSTLESCSSSTACPALLSYTLYADLKLSELAALFAADPLAILAANSIDFAVPDAADRILPAGLPVRVPVPCACSDGIRKATSVRYVSRLGDTLASVAASVYGGLTTPDWIRDSNGILDADVDAAVDAGTRLFVPLHCACFGGVDSGVPAVYLTHVVTAGETVPAIARRYRTTANDLLSVNDMATADVAAGDIVVVPLPACASSFPSYTSDVGLTVANGTYAITANRCVQCSCGPGNLDLFCVPAPLADSTCSSMQCSNSSMMLGNFTLVMTSAGCSVTSCSYGGYVNGTILTTLTTSLKPQCPGPHQFPPLMPPPTSSFFETYLGPSSAPMASEGGVGPQIAGMAPISPPASSGPPPSHRHVGDVLALLALCLIANLLW >Et_3A_024452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20968700:20977076:-1 gene:Et_3A_024452 transcript:Et_3A_024452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSNGKTNLVMTTEDIIEECKLFYFAGIETTSVLLTWTLIVLSMHPDWQDKAREEVLNQFGQGRPDFDSLSRLKIVTMILYEVLRLYPPVVVLPRRTYKEMELGGIKYPAGVNLLLPILFIHHDPDNWGKDASEFNPERFSDGISNAAKHQAAFFPFAGGPRICLGRNFALLEAKMAWCTILQRFSFELSPSYIHAPYTVITLHPQHGAQIKEASPLALAASVAAAALLVLVAWTLEWAWWTPRRLDRALRAQGLKGTRYRLFTGDVRENVRINREARTKPLPVGCHDIIPRVQPMFYNVEKEYGNHWPLRKFFLLLQFRDVKRGKLSFTWFGPTPRVMIPDPELVREVLSNKFGHFGKQRSTRVGKLLANGVVNHEGEKWAKHRRILNPAFHHEKIKRMLPVFSACCIEMISKWESSMSSEGVSEIDIWPEFQNLTGDVISKTAFGSSYQEGRRIFQLQAELAERLIQSFQTIFIPGYWFLPTKNNRRMRAIDREIRRVLHGIIGKREKAIKNGETNNDDLLGLLVESNMSQSNGKMNLRMTTDEIIEECKLFYFAGMETTSVLLTWTLIVLSMHPEWQDKAREEVLSQFGRGRPDFDSLSHLKIVTMILYEVLRLYPPVIFLTRRTYKEMELGGIKYPAGVNLLLPLLSIHHDPDIWGKDASEFNPERFADGISNATKHQVAFFPFGWGPRICIGQNFALLEAKMALCTILQSFSFELSPSYTHAPYTVITLHPQHGAQIKMRKL >Et_2B_022860.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6805351:6806598:-1 gene:Et_2B_022860 transcript:Et_2B_022860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQEIKLPYSIHYCAFPASILATCLVILAVFRLPCRTPPLLPPVAVMTSDDDSKGAWAGGNHSSCDIFSGEWVPDPGAAPPYTPETCPVIHGHYDCLRYGRPDIGFLRWRWRPAGCELPRFDAARFLAAARGKSMAFVGDSLARNQMHSLVCLLTQAEQPAPRTNATSRDDDVYRYERYGFTVELFWSPFLVRAAEADPDGPAWSRGAGLWSLYLDEADAAWAARAGEFDYVVVSAGSWFYRPSLFHERGGHLVGCSGCRMPNVTDLTLRYSLRKAFRIALRAAAGAGRTVVVRTFSPSHYENGTWSEGGADCVRTRPLRRGEWEMNALEKEMYAIQKEEFAAAARTGEEGERPVRMMLLDATEALAQRPDAHPSRYRLWQPDRFNNVSRDCLHWCLPGAMDACNDMLLHMLLR >Et_4A_032243.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25820152:25820364:1 gene:Et_4A_032243 transcript:Et_4A_032243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLERKKQESFSVSCVEAITCDEPYQGGNEAERNGIERTSDGSVRRSSPRVMMCWTRSHQVFSFYLFNK >Et_4A_033553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24392421:24397747:1 gene:Et_4A_033553 transcript:Et_4A_033553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRQLVLTYLYLLIYICLSSGVILFNKWVLSPKYFKFPFPITLTMIHMAFSGIVTFFLVRVFKVVTPVKMTFHIYATCVIPISAFFASSLWFGNTAYLYISVAFIQMLKALMPVATFIMAVICGTDKLRWDLFMNMVLVSVGVVVSSYGEIHFNVIGTLYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFIFLFIPWYLLEKPEMDVAQIQFNYSIFFLNALSAFALNISIFLVIGRTGAVTIRVAGVLKDWILIALSTIIFPESIITSLNIIGYAIALSGVVLYNYLKMKDDKKVSNIYRPDNSMDSNDAVIGGVASEASAVDEETPLIPSARLSYVTRTQTGSSSNR >Et_6B_048366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16369287:16371209:-1 gene:Et_6B_048366 transcript:Et_6B_048366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GVPSPQPLRRTVAGGQVRRRRHHRRDRHGRVAGERKLQRRRHAPVPSRWRGTRKLIGARYFNKGLVAANPHVNVSMNSSRDWHGHGTHTSSTAGGSPVPGASLFGYGSGTARGAAPRAHVAVYKVVWPEAEGQYASDTLAGMDAAIADGVDVISISSGFDDVPLYEDPVAIAAFAAMERGVLVSASAGNDGPRLGTLHNGTPWLLTVAAGTFQQTIVGTRPAPAVTLYSSRGPSHSYAGDLILASVAPVTPYGIIGQTSLGSDFAVLSGTSMACPHASGVAALLRAAHPEWTPAMIKSAMMTTATATDNTFQPINPLAMGSGHVNPNAAMDPGLVYDAGPGDFVSLLCAANYTNAQIMAITRSSTACNCSVSSSDVNYPSFIAIFGTNATSGDMRFSRTVTNVGKGSAVYHASWVSPSNVQVAVSPGKLEFNTVGQTATFEVDIKLTAPTGGEPAFGAVVWTDVSGKYRVRTPYVVL >Et_4B_037728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22928446:22929223:-1 gene:Et_4B_037728 transcript:Et_4B_037728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQRSAPFTVLNGDVNMYELTGDPGQRGSGGKPAALHAPRRLPCSHLLAGHPHERRGGSKHRGVSRRHQQEQRAFRPKRARPGHRPRRGPASASSSSRWSSTRRTYCTNLAYYLVYYDVDRVALHDQVLAGPDHHKAAFTVTPVVSRRTGGGGYELLLTARNKQPPSCVDDDNKRPSSLHTRASPASDGVGAWRVIKAGRFLEQQVQELFTADVAFACKGKAFWSDLSYNRLVYCDLLLRESTCPVSATVPA >Et_4A_031994.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:1146498:1146638:-1 gene:Et_4A_031994 transcript:Et_4A_031994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKCDQGVCYCCKTQKPEPLCYRTMEACRNVCPKCNPKCPPLHKP >Et_1A_007007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3059675:3064651:1 gene:Et_1A_007007 transcript:Et_1A_007007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAVDGARVLPARAGSRLCVRCGERKAALKRPKTLEQICRECFYIVFEDEIHQTIVENTLFKAGERVAIGASGGKDSTVLAYVLSELNRRHNYGLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIVSYKDLYGWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKADKIVTGHNADDIAETVLLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEILDYFSTECIYSPNAYRGFAREFIKDLERMRPRAIFDIIRSGENFRISATTRMPEQGTCERCGYISSQKLCKACVLLDGLNRGLPKLGIGRSKAGAGTDGSLSYDKADGLAPRLAKKSSNTPIRSPSVKP >Et_5B_045662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6404604:6407373:1 gene:Et_5B_045662 transcript:Et_5B_045662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGPGAVTGSGAAAAAAAAAAAAEEMRWRQLDSGVSAVSFGFVATAILVSMFLAMAILEHFLRPPASPPGPATGVLRRLRRLVGRGGEPGADLEAARKLDSRASIECFHSPCIRSYN >Et_2B_020517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2092227:2095980:1 gene:Et_2B_020517 transcript:Et_2B_020517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPAPPALRLRLSLLPRNPLLCTRRCRSRFLSARSGSLATRPLSLDRGARPVRAAQGEAGAAAWPDASGEELRRLLELLPAELRRQVEGHPELPALVEVVMDLGRPPLARFPSGDFLLSHRPISFEDLRHATSQVGDFGADNRAGISRTLHRISAIRNRKGVIVGLTCRVGRAVPGSANLLQDLVKDGGSLLLIGPPGVGKTTVIREIARMLADDYKKRVMIVDTSNEIGGDGDIPHPGIGNARRLQVPNQDMQHKVLIEAVENHMPQAIVIDEIGTKLEALAASTIAQRGIQLVATAHGVTIENLIMNPSLEMLVGGIQSVTLGDEEASRRGVQKTVLERKGPSTFTCAAEIVSKTELRIHRSLEATVDALLAGKLPNVEIRKVGSKGVVQETSVRKEQFHLGVQEDAAQFDGDSIWNARRSLDTAFNLDSAKGHMESSNEAEAMLNLYAYGISESIALQAIKQLELEDIVDLTYNISEADAVIALQSKLKKNSQIQALVKSQDIPVFFVKTNSLVQISRALRALVDDHMDEVIDPKDNEQVRSSEETDALEEARLAIEQVVIPKGESVQLLPRPSSITSSQVDLIESFSLKWEVIGQEPNSSVRILPHFTAKEVTDVERETRTELTDSVTSDDMDYTENSITRLPFLPE >Et_4B_036715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10927727:10931082:-1 gene:Et_4B_036715 transcript:Et_4B_036715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPQRAGGGGAPALALAIALAALAARGADASIHEYAGGGFSPRANSFFFHGGSEGLYASDPSSNSSASFIRFDSVIFRRTQESASRHEKMQQKTGLVEAIIVEIQDRDKIGGSYLHSDAICCTPELDKEKSCKVGEVIIRPNPDNPDWPKRIQTFFDGKNEETPMVTQTVSINKTGMYYLYFMFCDPQLKGLKIIGRTVWRNPQGYLPGKMAPMVTFYGFMSLAYLALGLLWFIQFVRLWKDILQLHYHITAVIALGMCEMAFWYFEYANFNSTGTRPMGITLWAVTFTAVKKTVSRLLLLVVSMGYGVVVPTLAGITSRVAALGFIYFIASEALELVENLGNINDFSGKTRLFLVLPVAVLDATFIIWIFSSLSRTLEKLQLRRSMAKLELYRKFTNSLAISVLISIAWIGYELYFNATDPLSELWQKAWIIPSFWNVLSYALLVIICILWSPSRNPTGFAYSEDAGDGADEEGLSLVGSAVKGTGDMVNLHIFPEDKRA >Et_2A_016742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27837264:27839690:1 gene:Et_2A_016742 transcript:Et_2A_016742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAAPNPSSTAAAAVAAGNGVQSSVAGGEQPEDASKQNLAQVTSSIQRTLGLLHQLNLIVSSFNSSSQLPLLQRLNGLVSELDTMQKLAEGCNIQVPMEVVNLIDDGKNPDEFTRDVLNSCIAKNQITKGKTDAFKSLRKHLLEELEQAFPEDVEAYREIRATAAAVSESKRLAQSQNNLPNGDAKVKAEH >Et_9B_065695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9220063:9220472:-1 gene:Et_9B_065695 transcript:Et_9B_065695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQIECGVSPQGKWWARPQKHEHYGLRLMAQVDVAPKNRGKMLAPCWWMWATVVDVGDGNLALFWQDPWIDGKCVADIAPNLARAVSQEAAKTTTVRQGMNNHDWADVSEVIMESILL >Et_1B_011022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16756653:16760220:1 gene:Et_1B_011022 transcript:Et_1B_011022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKARTVRRRLAGYLPHLLAAAVLVLVAPRVLSSLAPAAEKVLENGLLSELSRLGVSLPAVCVATWAAAVAAWAYAVSRPRPVYLVDLSGHRAGPALEASRAKTIAHFGRCGRFSDESMAFQKRMLERSGLGERTHFPASLLSVPVDMCLRTAREESHAVIFGVVDDVLRRAAVAPAHVGVLIFNSSLLSPTPSFTSLIVSRYGMRHDVVSHNLSGMGCSAGIIAIDLAKRLLQTRHALCIERGNDLGIQFPRSVSSHSRNQHPGSLLTRRLSHVTQVHRDTYALVVSTENITLNAYMGNNRPMLVTNTLFRVGGAAILLSNRASDRARAKYQLIHTVRTHRGAHDQSFSCVTQEEDDAGRVGVSLSKELMVVAGEALRTNITTLGPLALPMSEQLRFLATVVLTRVFRARVRAYLPDFKLAFEHFCIHAGGRGVLDELERSLKLSAWHMEPSRMTLYRFGNTSSSSLWYELAYCEAKGRIGKGDRVWQIAFGSGFKCNSAVWKALRTVDGGEEGNPWTPELHELPVDVPKVSPIDESTYKFPDDQET >Et_4B_040086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9332008:9333687:1 gene:Et_4B_040086 transcript:Et_4B_040086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRARRRRLHAEPSLRSGKNSTSLVHPPAADPEEWRDWANLMPDLVGEISGRLLSFDVAEYLRFRAACKPWRDLTDDPRARVLDRRFRPRNWMVLSITPDHDDVPSSPASSTWPPRAASLGVDLPAFPAHCYLCVADGLLVLYHMATKVIRLLDPLSNAGVTEFPAMSRSSIVATLPTSFSSIPNLIDGAGFDDSTSPPTATLVLCLRGALSNIVFAKPGDTHWTLVRPGQAAHWPMNYSGKVPFYSMLSVGGRCYFTSLEGSIYVLQLLPLPQLVEIVNQREGLSQALLNDAIRHHRHIISFLVNQGSGRRMLMVRYLNNVDRLGCRAAYRPTELFTMGGMTGHMELLEVDIAGRRLLPLQRTLGGHRAVFVGDTNCVLLSTKTFPGIAPDARYLGYRHQHNYMFGVYHLRNNEIEPRHEFVQDEDRRIAVNAGPWNLDHHLLPPIGLRMQADCSHNKS >Et_4B_038970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6459419:6462497:-1 gene:Et_4B_038970 transcript:Et_4B_038970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEHGDGVGRCILVGLHMDAVGRELLQWALNQAARRGDRVVAVHIYRKSDLSKTNTLTLIRTLDEYLAGYEELCSQKEIVLVGRVTPGSSIQKELVKEAKLCAAAVVVLGANRKYSFGGPTCLAKYCAKKLPPTTSVVAIQNGTAVFVREAPKPPLGAEPKPLLRTILHPSVGLEPKVIIPNPNRTARSMDFDAMGCAHGAAAVAAAATRSFHDDAARDGAGVTLEQRLGWPLLRRAPAAAVAAPSPKREEPEPRKQSVVQWVMSLPRRSSPPASPEPHSGLAAELKAMLDGGGARCRWFRYEELYESTNHFSSENLIGNGGNSRVYRGSLACGQQVAIKLSKASAEASKDFLREVDIITKLQHPRIVPLIGVCVEGPNLISVYSYLPRGSLEDSLHGQKSKPTLSWENRYKAALGIAEALSYVHAGCARPVIHRDVKSSNILLSDEFEPQLTDFGLAIWAPSNPTSLTHSDVVGTFGYLAPEYFMYGKVTHKLDVYAFGVVLLELLSGRKPISSDGASPKGQESLVMWATPLLNSGDIADLLDSRLDVKHDEIEVKRMATAASLCLRRSARLRPPISQILSLLRGESTASIADQGAEPDCLDDETYPVANVRSHLGLALLDVDDAESISSTEHSSGLSPLEEYLRERWSRSSSFD >Et_1B_010961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15924671:15926380:-1 gene:Et_1B_010961 transcript:Et_1B_010961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELKLTKERLFLKVLEAILDLADVANVHCFSTGLKLQAVDTEHIAVITLLFLAEDFEHYHCDENFSMGIPIDAMVKATRCADKDDIVTIKVDDEVFETITLSFESPKENCSTDCHFKFVDAKNDLFEIPDWQVLVSKYPASVQMSSPEFIRVCNYLSNFDEGHISVTSGNVLKFFATGDDGLVKANYMKTKASATVVSVQEPVSMTVDLKYMNTFVKLSAVCKQVKISLKYAPPVRRMQNRTDGQLHQIFSGNSETEEEEIKEERELGSTEKEGSKKIKGAETTSDGSE >Et_1B_009972.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:15461948:15462265:-1 gene:Et_1B_009972 transcript:Et_1B_009972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGGGSRRVRSGGCGCGGRRRCTGGSGPPTPRPCATCFEWRARPWSAPRACTASFEWRRVVADAVVGSELLMDTCTPSQSRRSHYCRLIEPGRKRTPPKGRNM >Et_3B_028952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20870154:20886097:-1 gene:Et_3B_028952 transcript:Et_3B_028952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQFFNVMFKGDAIATTVTSSGDAADDWLHEVRTVHRRRLHRLVVGRPTSSPTALLQLLHADYLPDALADFLAEPGYTFVGVGVGEDAKRLSDDWDLEVANTADLRELAAEEMDRPDLRGAGLEAIASAVIGVDMDKPQWVGTSPWDASQLSDQQIKYACIDAFVSFEVGRTKVRLVKFGDDIITTTVTASGEAVEDWISEVERVHRRRLDKLVVGLDIEWRPTFSTAYRSDQNPVATLQLCVGRRCLIFQLLHADYIPGALAEFLGEPAFRFVGVGVDGDVERLSDDHELKVTNAVDLRGLAAEGMNLPELRQAGLRAVAAAVMGVSVVKSQRVTMSRWDASCLSYEQIRSAASCSPGTTDPSVEAPWPGRHHREKIYSPPTTAMEPVTYTTDVVMVDGTVILTTVTSSGAAVELFLREIGHNQRHLLVGIDTEWRVVVDPVDGRRSNKTAVLQLCVGRRCLVFQIFLADYVPATALRDFFACPDHRFAGVSVDFDAQRLAEDYGLAIANAVELKDVAAEVLQRPELKKAGLKALTREVMGVSIDKPRRVTMSKWDAPSLSPEQVDYACIDAFLSYEIGRVLLAGQ >Et_7A_051823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25198776:25203164:-1 gene:Et_7A_051823 transcript:Et_7A_051823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVISKFDNRLRDFQARRDVDSFLDASGYLADNIHPFFVAIPKALGTISNQEFRRRISLEDSTVLRHLRNMVEGGFDEEKYGAYVGFSCLKKCLQSELDKRYKEAAPAILALLEQRCSEVSTDLARLDSKLQATADVSRLRRSATDYVASISTHLRALLDGVIDPAPETWGYTTDEEQFHSGIGSWPGINMRVKPAYSTLKLYGGAALERVISEFCCAIYSMNCPKVSRKKVAKILPVHAGRGGRSGLTEAAAEIACTCVRSSLAPLIDIACDRLAFVLHNLFDLAMEYHQRKESQYQHNGEHIGGYVGFLASLRCSYNMIVKELSKQYKQIVRHHLDSVTRPCSHICYEKDLPTDLSYSGSVQAEAQEHVPPKDQRHMTPPHDIPRRDGEEEGNEIPNDVGPRKRHARMEACTGRNHHNMAIIGADDMRSKSGYSTICAIREKLYLAFFKLLAVDDEKFMNMFVSSGSLDVIGNEHQSLLKRQEILQSCLKDFKNISWPSRSSSSAGQKRTRGAWAPAGCRHPVRLHEPRREELWYFFRALTFGFADPDERPELARIAMALCGGISDFALRTQGVRRDDVAAARCRQRTPIYRRKLIGVARSELPRVTMLDLLAGGSVPPAALVWQSPIPPYGSYVATCNMKKARQAAGGP >Et_9B_064260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13372226:13375566:-1 gene:Et_9B_064260 transcript:Et_9B_064260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVDQWEAEDLAGRLGIVTHAAFLLSGFVPCGDEPTSGHLLKQVDEALPSLSRRYTLAQLARRRREDAAAAVDVAVQEVRAGANGDVAFRAFLITTDGHRRRLCEAVLDAAALAPLLSSGIDDAARALETGAAGSWLWKSLADWVLPVLLHELCRRNDLPVTGFASLPDDAKAEILKRLADGKDLARVECTSRQLRRLVAERDGELWKARYEFLGLPAEAGSSDSEGLGCWKERYVNALRQPLPFRIYTAWIFGSRVEWLAPRRREREAELLERLRELEFRDEPLIVEPVDSGPDAVARGKNAGRNRRNVPRHEFQKKRHGAGAIHSPSSHNKWKHPPQSDFTAIP >Et_2A_015386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11882441:11883750:-1 gene:Et_2A_015386 transcript:Et_2A_015386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGLSTAFSPPRGSCIAVRIRQGPARSSILSPRRRRSRAAAIRAEVSFVDGDEAKRLVTEEGFTVLDIRDRTQRERAHIKSSTHVPLFVENEDNDIGTIVKRQMHNNFAGLFFGLPFTKLNPDFAKTVKEKFSPESKLLIVCQEGLRSAAAADTLERQGFQNIACITSGLQKVKPGTFESVGKTELQNAGKAGLVTVQGKISVVLGTVLISAYLFITFFPDQAEKLFDLAGISL >Et_3B_029312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23892593:23893750:-1 gene:Et_3B_029312 transcript:Et_3B_029312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRGGILIDSPLEVEDAGTSSSPVTHRPTAARAVIEDGSAGDEVFEAEFAGFSDEKLREKIKCWRFQKTGGVLAKTPDGGEKMRIRVNRMKKELERRQAVRQKKLNCGFLSMNEMLGSIWVSIFYGTRMRQFRVSRLSYQVASTMVLLAPAYWDN >Et_4A_034271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31382393:31385400:1 gene:Et_4A_034271 transcript:Et_4A_034271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVVAALRRGRGSCSSRVFAQSFRRFAGTLVVAEHEGGQVKPSSLSALRAAEAVSKENKVSILLGGSGPALHKAAEHAASSHPLVSEVLVADSDAFAHPLAEPWADLLRSVQQKGGYSHVIASSTSFGKNLLPRAAALLDVSPVTDVTAITEERVFVRPIYAGNALCTVRYTGGNPCMMSIRSTSFSPTTTEAMSETKVAPITQVDLSFLSEGKSTWVSLTSQDTERPDLANARVVVTGGRGLKSAENFKLLEQLAEKLGAAVGATRAAVDAGFVPNDLQTGKIVAPELYMAFGVSGAIQHLAGMRDSKVIVAVNKDADAPIFQAADFGLVADLFEVLEELLKKIPDKK >Et_9A_063082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9797518:9798232:1 gene:Et_9A_063082 transcript:Et_9A_063082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVALGLGSLVAQYAWAFPGLSVTNIICASLTVVLVFLGAAVHSSNAKDDQEKLNMKSRGVELSNDVSDTDEAKLLPRHGKPEKDDCKDDQTSWEARPVTADFIIQVEQRRSMKVVPSDPYSEHLSKQN >Et_4A_035666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3433198:3439054:1 gene:Et_4A_035666 transcript:Et_4A_035666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECRAGAGGGDCLIKLFGKTIPVPELGAAAVVDVDKDLQQSGSSTTEPKGQESTLQDSTGSPPQQGVTDTEDSSAEKNSSADQQGETANQKEKLKKPDKILPCPRCNSMDTKFCYYNNYNINQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKSKSASAASHFLQRVRAALPMDPLCTSAKTNGTVLSFGSDMSSLDLTEQMKHLKEKLVPITRIKNRDDQSVDSCAEGGSAKAEDSKPTNQKEKVEADKSANVLQHPCMNGVTMWPLSCAPPPACYAPGIAIPFYPAAAAYWGYMVPGAWNTPWPPHSQSESATSPSSASPASTKSHCFTPGKRHRDGDEDGNGKVWVPKTIRIDDADEVARSSILPLNLALAGHSHRQRLQQDPCRILQVPDKVT >Et_2A_018470.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:2936516:2937079:1 gene:Et_2A_018470 transcript:Et_2A_018470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDAATAFYPHAPQPAPSAPAPAPASHAAAAAAAAGGHARGVGGGGRQYRGVRMRKWGKWVAEIREPNKRSRIWLGSYSTAVAAARAYDTAVFYLRGRSARLNFPDQLDGAAVAEQPEDRKEGGGLTAAAIRKKAAEVGARVDALHSGGGGIGGAPHPTPLPLSHRRRAKNPDLNREPTPDTSDDE >Et_6B_049125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18422138:18427625:1 gene:Et_6B_049125 transcript:Et_6B_049125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPWQWQQNSGQPKEAEAESTEPAPATSSSRSGRRGTASSKRRPCSSGFCFGAQWPRAALRRRLPAFRAPALPHALSTTSVRRRLGARRARRRKALRRRAGHEVRVSALGYCQRAVATLAFFKDLGSNVGVPARLLNLMVYVYLSLTAGHVCAYVRMHGVRSQAFASTSARNFQEKCRGAVLGLLKGFVSASAAPSSRSSTAAATPSPSSCSSSAWLPTTVSVAFLATAPVAGRGGDGDGVFFCLLYISAAYILVMIVVQRQATFWRAVASPDSAYVRRLYDAEPARQHGGKLAGAHKATREFYKGDIYAKFRDTTAVDGGSATVAEQRPSWEAHADSMEVNRMLLHVFCAYIVCVQEDAESNGENSIMRGVQSRRSLLLSTHSRAAAFWHFSALFSSCSMK >Et_2A_017416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34129040:34134946:1 gene:Et_2A_017416 transcript:Et_2A_017416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLISTFGSPFAHRAEVALTLKGVPYELILEDLANKSELLLTHNPIHKSVPVLLHGDRPAICESLIIVEYVEEAFGNGSAPSLLPADPYDRATARFWAHFIDTKCVRPLWMSLWTEGEAHERFAKEVKESLAILETQIEGKRFFGGDALGLVDVTAGLLAHWLHPMEEAAGVRLVADDDFPALRRWAKEYTSHEVVKRSLPDRDRLVAFFVANKERFTSIVRGAVQHHRHWQLKLISAFGSPFGHRAEVALTMKGVPYELVMEDLRNKSELLLKHNPVHQMIPVLLHGDRAICESLLIVVYVDEAFAGPRRILPAHPVDRAEARFWANFVDDKCFYPLWLSLWTEGEAQQRFARETKESMAILEAQLEGKRFFGGDAVGYVDLAACTLAYWLDVLEEVTGVRLLEDGEFPTLRRWAKDYISDEAVKRCLPDRDQLVAYFDSNRERYSSLAKAAVQQMSVKLISTFGSPFAHRAEVALTLKGVQYELIMEDLANKSELLLTHNPIHKSVPVLLHGDRPAICESLVIVEYVDEAFGDGSAPRLLPADPYDRAIARFWAHFIDNKCLGPLWMSLWTEGEAQERFQKEMKENLAILEAQLDGKRFFGGDAVGLVDVAACTLAYWLDVLEEVTGVRLVADGEFPALRRWAKDYTSDEAVKRCLPGRDQLVAHFAANKERYSSEVNKAARQE >Et_6A_047754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1434125:1435346:-1 gene:Et_6A_047754 transcript:Et_6A_047754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVVAMMDMRPMTTHHHLLLLLLHRHVLLLRRRVPGRRGSLQHLRAGLHAADLVEARPRHAVDGNATANLRHTTHVRVLAHGPTPHLAHTGEAGTAHHGWPHHALLLRRRHRTAGHRGAADDWSAGDADLLHLARLVPAAWGASLDGVLRRLSTATVLAVAASATRVLLAAAVVGVYLDLHRDRVPGAALLPSIAAPGSATLLVAAATGRRRHGHWPHHQPGVVERIIEAVVLEVLIVEFASLVVKVFLGKVELDALAPASLGWPLFPPPSWSFWGWLTTPGLAPHSAAGLPALFSFLTMVSGSTDPVTVTFPSSALMLVL >Et_6B_048495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:111735:115852:-1 gene:Et_6B_048495 transcript:Et_6B_048495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFISSAKPYCSSKPLNPNRTTSPTTSSRASATTRFPSHGLAAAAGKTNPTASSHRIRALPRASLPRGPEYVPNRIDDPNYVRIFDTTLRDGEQSPGATMTSAEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGDDGHVPVICGLSRCNKKDIDAAWEAVRHARKPRIHTFIATSEIHMQHKLRKTPEQVVAIAREMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGSLIADIKANTPGIENAIISTHCQNDLGLASANTLAGARAGARQLEVTINGIGERAGNASLEEVVMAIKCRGELLGGLYTGINAQHITMTSKMVQEHSGLVVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEINDQEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVIWSLVDVQATCGTLGLSTATVKLIGPDGEEKIGCSVGTGPVDAAYKAVDQIIQIPTILQEYSMTSVTEGIDAIATTRVVVSGDVINNTKHALTGQSFNRSFSGSGADMDIVVSSVRAYLSALNKMCSFVGAVKAGSEVPANQTV >Et_1B_010674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12925756:12928545:-1 gene:Et_1B_010674 transcript:Et_1B_010674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGYTVHQSLTAEAAAVLKLALALARSRGHAQVTPLHVAFTLLGSSSSLSPSPAYGLLRRACAKAHPCAGACSPAGASLHRALELCFNVALNRLPATANAVGGSPLSSSSSSGASLGGALWPAAKFLNRRGAEFESTPSKAAEEADVRAILEVMSSRRQGRRRRANPAVVADSASAAEASVAELMRRLEIGDVPDELRGAHVLRLHLSHVHVRLMARADADAWAADLRRTVAACAKPAALVIYVGDMRWAVGDDVVRSYSAAEHMAAALARMLGELQAAGRACWLVAAASYTTYMLCQRRALDATWALQPVSVPTAGCASGTVGLGLALGTRAASPRDNKIARFPLLDLEPEREDGAASLCAECARNFESEASAVRAKAEGTNLALSYFPGWPQADEPQRSHKDDLMDLKRKWSRLCQRLHLQHNHPARPSNAKSSDPAVCLSLETPSCHGASMVNHRDVETTLSLLLPNSTETSMDEVHQHRSEHPDLMAKSCDKKSVSGLWSDELLPSGDRKRKDESGRPQSESKRPRGSGGFDLNLCADEEEDGSEDEPVPSDLTNDGEGSSGGVTDSLDSRS >Et_3A_025088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26806986:26809885:-1 gene:Et_3A_025088 transcript:Et_3A_025088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAADALRKRPVSGHTGTNGAAAVGDAPAPSAARRLRVATGGGHDAPHELGELVSPSARLVEDFYIVVVIGLATPVNLPVARAGIEAQLARYPRFRSIQLKDAAGNPRWVATTVNLDDHIIYPKLDAAAVARDPDKAVEDYVATLSTLPMDDSRPLWEFHVLDFPTSEATATTAVRVHHSLGDGMSLLTLLMACTRSAADPTRLPEMPPLPTRSGPLWAPPRPPASAGAVALAAWVWSFVVLAWHTVVDVASFFATILFLRDPHTLFKRVNHGEFQRKRIVHRSLSLDDVKFVKNAMNCTVNDVLVGVTYAALSRYYFRKTGEADTSKEIRVRSILLVNLRPTTSLHACVEMIESGKEKDVKWGNELGFIILPFHIGLHDDPLQYVRKAKKIVDRKKSSLEVVFTHLAAEVMLKIFGLKAAAATFHRMISHTTISFSNMIGPVEQVEFCGHPVVFIAPSGYGPPEVSPQESDYAIGIIHKCDNTLHSQILDKHWLALTVNFQSYVNTIKVNLAVDEAQFPDSHQILDDFAESLRLIRDAASRLGKKS >Et_5B_043949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18203585:18209382:1 gene:Et_5B_043949 transcript:Et_5B_043949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHQQQGQERKASSCCSDDMADLELALALPPGAVQRQDSLYRDATRAAAGAHHAGGGGHHDSWARTLRLAFQCVGVLYGDIGTSPLYVYPSTFTGGIRHVDDLLGVLSLVIYSFLLFTTVKYVYIALRANDDGDGGTFALYSLISRHAKVSLVPNHQAEDELRNAGAGDDDDVMSAKASLRGSQRRRTVQLASAREQRAQWVKELLETSKPVRVALFLLTIVASAMVISDACLTPAISVLSAVGGLKEKAPNLTTDQIVWLTVGILVVLFSVQRFGTDKVGYLFAPVILLWLLLIGGVGVYNLIKHDVTVLRAFNPKYIVDYFRRNGRDGWVSLGGVLLCFTGTEALFADLGYFSVRSIQLSFGFGLVPAVLLAYIGQAAFLRKYPELVANAFYESTPGKMFWPTFVLALAASVIGSQAMISCAFATISHSQALGCFPRVMILHTSRVYQGQLYVPEVNFFLCLAACVVTLAFKTTTVIAEAHGICVVLVMLITTVLMTLVMLLVWRANAACAALFFAVFASAESVYLSSVLYRFAHGGYIPVAMAAALVAVMALWHYVHVARYEHELERTVSHDAVRELLARRDVARVPGVGLFYTELVQGIPPVFPHLVDKIPSVHAVLLFVSVKHLPVPHVDAAERFLFRQVVAAGDGNGSRVFRCVARYGYRDPLEEAKDFAAGLVERLQYYVRDVGLYGVQAAGGGGKVSYPSSRCDSSRVMAAAMARARSVTGMPPAGIALSACASERERELQQLARARSTGVFAEEMLTPAESFSELARMGSVRTTAAIKISMEEVARIEEEQRLIEREMEKGVVYIMGEAEVVARPHSSLLKKVLVNYAYAFLRKNCRQGEKMLAVPKAQLLKVGMSYEI >Et_7A_051611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21278566:21280669:-1 gene:Et_7A_051611 transcript:Et_7A_051611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAVVLVICALSALLLLPARGEEQLAAPVAAVDVAAARDLVRSGGHRYLDVRTEEEFRKGHVVGSLNIPYLFFTSSGKAPNKLTLQLTFYGFSRNGKESQVTAHFDKHDSIVVGCKSGVRSELACTDLMAAVRSICSPPLYKLSCQRILGFGNVKNMKGGFTMWMENGFAVKKPDVKEEL >Et_9B_065963.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18843178:18844119:-1 gene:Et_9B_065963 transcript:Et_9B_065963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKSRHDKAAVGGRLRQRLAQILVRSSCATATSSATAFVGLAGTNAAAAATTAVVDAASRQEPDPAGRASSCAHETTRSKMNDRYQRRRHGCSSERALVHISIDCSARSAGAAAVQLPAPAKMAAVKSRRRRSKGNAGRYKTRAPSSSYGWSSSSTDGGEVAAFSSDDDVERADTAMSSTLFSSRSRSFSSDSTSDFYTTATAGGSGARSKNRPRRRAPPRAGNKLETGTARPKGEGDGAVAAAAEGSMAVVKRSHDPYADFRSSMAEMLAGRRIRGADALSELLVWYLSLNSPRHHPVILAAFEDVLGHDT >Et_6B_049290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2797944:2815344:-1 gene:Et_6B_049290 transcript:Et_6B_049290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QQPPHGNPNRAADRVLCFAGGGRRRSTLGDAASLRLLAQQGLSHRQRRRKTLAVSFTSTGQPFRVCFDYDWAGFAPAEEEFFQEPNIVAAHGDCVLFEVVAPHRREDSFTCVNYFLYEAGRPPSLSLLPACYFAPLYERHRRRHQHDDDEYGYGEEESDDGGHRGILRRGEGEGDVVVASLDMPQWQDRRDAAELCVLRVGGGESWELKRVPIVFDADNSRQGGGARRAAAVHSRTLVDAGGDGAVRFVGVEPRCCCGRPGRTTCPRSRSAFVVTTRPAATWVKNGVLDCEEIWAQPGYGSLPRKALRYPVVVSCDDPDAVCFLIHDFDSKMVWLLEIDKVSKALRSVVLHTGKGEPYCRVPIKPSVPIVIIHDEGGDDNKGDASLHLSSRVDTAITVGDRFLCWVHYSDGFFLWDTADEPSPSRKLRFVPLPREVMCRYSDHEELPPIKDSRTMGAAGDGAVKFVSIDTLCCCGGPGTSTCAHSRFAFTVTTWTMNLTTTSDKPIAWVKDGVLHNDRAMRGSRCPVVSLDNPDIICFLVSNFDIVDSYRKEWMIQVDMRSKALPPTEATAPPSAADAASSCPRWVMLDRYSCGGIADGDARTVAASLTSTCRPFRVSFSLTAPSASSTLYFDWAGSGYVPGDADDDDCSHHVIAANDDCIRRSLDEYDAGDGGAAGRPPSLSLLPACYLPDQWTRRRAAEAEQKRERDGWCYGYREKDQATPRNLQNGTGLLRRRGGEDVASEILVAQLDLLREEPWNKADLCVLRPGSREWELHRAVPVVHDAADSEAYNNLMRGWETGPCAGSTTSTDYQVVVGYHSRLRPPKLRLRYVALPFVPPTYPRINDRPYERWSRALVAVAGAGAAVRFVNIEPRCCCGGHGRTTCARGRWAFTVTIWTLNLTDSMTTTWVKDRVLDCDELWGLPGYEDLPRVTMEYPVVSLEDPDIVFFGVSSVYQPDVETKVWVVEMDTRRKVLKSVFPSTRNWRPESHVPAVLRQISISMETLITQPREPSGSSEAAADFPRWVLLLYYHHSWASDSTTVVSDGRTCAAAKTSRGRSIQVSFNLVAPPAISTFYFDWVGGAPDGNDSYKLESHVIAAHDNCILFDVIVHERNGDYGRNIVHEVDYFLYEAGAGRPPSLSRLPACYFPNLYDDRRETQAKARGMDKDSTGLLRRGEEGLLVAELELPQTEQKLPFPVGQKIGKVSPTDATGYSRTDSKLVVSLPEAPFMDMWNIAAAVSEALV >Et_9B_064831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1911602:1922296:-1 gene:Et_9B_064831 transcript:Et_9B_064831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WRVQRKLEGLGGAGLCFLEVEGAVGPREASPVQLIPEAMSMATACNLAVLLVALVAAALSTTSGCDASILLDPSSSNPSVEKKAIALRGYDAVNTIKTAVEKACPGIVSCADILAFAARDTAVIQGGFPSFAMPSGRRDGLVSKFLDVFNSIPSPVMQLKDLVANFAAKGLTADDLVVLSGAHSFGQAHCSFVNGRLYPTLDPTMNATYGAALQAVCPPPGSDAGDPVLDNNRVLFVSDQQLLNSTDTAARVANNSADPAAWMGRFAAALVKMGNIQLLTGTNGQVRKYCNAINTAMAKSACSGFMLLVATVAATLSMASLATGTLQYDFYSKTSCPKAEEAIYNATWSIIKKDRTMGAAFMRLFFHDCFVRGCDASVLLGQSNRNPQPEQIAIPLRGLSAVDEIKTAVDGFCGKGVVSCADILAFAARDTAAIQGGFTFAMPGGRRDGVVSSASDVPQFIPSPAMNADQLIQSFGVKGLSAVDLVALSGAHSFGVTHCSFVTPRLYPTVDPTMDPTFAANLKNACPRNSGGGRVLSMNNVSADPNVLSNQYFSNVLAGKVMFTSDQTLASSGATASLVKDNAGGDQVPWMARFAAALVKMGNIEVLTGTAGEIRNVCSATLT >Et_5A_041556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24346971:24348555:-1 gene:Et_5A_041556 transcript:Et_5A_041556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRDDVTGAAPPQGRCYARRGGGGGGSGDESDVSSSGVELSLRLTTGSGSPPPPAPLAAAAAEQQEAAARRSMTIFYNGRVCAVDVTEIQAREIISMANQQLRRDEGHLQDRNGGATARRGGQVMRPAAAASPAGCQRQGLATVAAPATDQAGLSMKRSLQRFLQKREARRAAAVAPPYAGGGRQAQAMRH >Et_3B_030935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8992329:8992621:1 gene:Et_3B_030935 transcript:Et_3B_030935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGTANCIDILIAIILPPLGVFLKFGCKVEFWLCLLLTLLAYLPGIIYAIYAITKTTE >Et_1B_011860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26638265:26639115:1 gene:Et_1B_011860 transcript:Et_1B_011860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFPGASPSSSASSPLSYLIPARPPPPPLTELQGQGYGASGVGAVPTSGGGGAVDVTIAAAVAPPRPAAGTGHPPLPRPPPRQCPRCGSGNTKFCYFNNYSRSQPRYLCKACRRHWTEGGTLRDVPVGGGRKNRRGAAKASSSSSATAVQCAGVGADVAFPDILRQVLFQPVGTMGGGGYGIDLGAWQQMAAATAPPPQQGTGALGGTSAAADANYGALQYWSGWQQDDVPGLDGAC >Et_3A_026346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7067446:7068311:1 gene:Et_3A_026346 transcript:Et_3A_026346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNELSIKLLIDTKAQKVCFAEAGSDVFQFISSLLCLPMNTVVNLLTKERMVGSIGNVLDSVQKLEAQFVISSKGKGPYLSPTIMPTTLFHFQQLLNASAKFFTCPGSWDFCCNHIACGYFSAVKNSTCPSCYKAMDTEMPRAKSSEFVIGTATYTIMDDLSMTPSSSMFSITLLAKSGVKDLSTLQTEDRDDRQGRGAGDTSFFSKVQDRPERCLSRGGKGLTLNQSGVSSLCWALWLSRNDIIFDKSPIKTYM >Et_2B_021484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29989655:29990175:1 gene:Et_2B_021484 transcript:Et_2B_021484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETTSSTAVVPATEEERYVKVASRFYRVKPRGGGGDTMARLHYLGSCFLCKRSIACDRDIFMYRGDAAFCSDDCRQEQMDMDEALHAVARRHRLLRTPSSSSAAAGEAASSRPTMMRRRPTIANLAARNPPVVAS >Et_7A_050770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10946690:10947386:1 gene:Et_7A_050770 transcript:Et_7A_050770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSTAAVDGAAASNNNPALAPDTDAPSGEGLALAQFAVGCFWSAELVFQRHPGVARTEVGYTQGHLHEPTYEVVCGGGTGHAEAVRVHYDPSECSYAKLLDVFWNKQLNRQHIEVGTQYRFGIYYYTAEQEALARESLAEQKKKHEDKQIETEILPAKRFYPAEEYHQRHLEKGGQSAEKGCTDHIRCYG >Et_9A_062877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6075425:6077882:-1 gene:Et_9A_062877 transcript:Et_9A_062877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGTARSSTESSPGSPELDLTLGLSSDPDRKRAPASDSDGSRSTRAPAAASGASPPRKYAAGARSHAKPVVGWPPVKPGWGRKFKFVKVAADGAPIGRKVNLELYAGYGQLLAALQDMFTSHFTVRKVGNDEMKLVDVANGTEYVATYEDKDGDWMLVGDVPWRMFVDACQRLRLMKSSEAHREPLNEVQSTLLFYKLAE >Et_3A_025101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26927414:26928179:1 gene:Et_3A_025101 transcript:Et_3A_025101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARRKTQPKCKCNVHVRVSAVQDVAPLDDGLSWRKYGQKDILGAKYPRAYFRCAHRHTQGCMARKQVQRVDGDPLLFDVVYRGDHTCALQAQGNQTTTEHSQLPQPGPEHQPVASPTIERTVLPFPLPSNDNDSGITASSSFLSPAQATPEESQLGSGGGNYAAGVRNVADGELASTTTTNSSMRDMDFLFQLDDDAVDFLESSSYF >Et_5B_044260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22041253:22044492:1 gene:Et_5B_044260 transcript:Et_5B_044260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IRIHAGASGAPAASGSYILHDPFRHGRQPPEEVDHGRRPPVPEHGVPENPATTATILIGTVYSTTATSTQSATNIPVARGSRHDTSACSRSACVATSPTMACSLAPTALARSYRARTTSGGRALGTGSNSSSSSSRSGASAMEARTHGCGAGERGRRLNRHAPTAAAWSAAVGTTRSGCQARRTRTACAMAVDVGFRHDRVSSTHRAASATQASAAAARFQLARSFRMLNPPPRGSPEVASSIHAATSRRSGGSADRARCAAVMRAAARRSASRLAAGTGRWWCIADSAGWVYMCSTSRVEADVAKRTASSTSPIRFAPVGSIRMSGYRPRYTRPRSSVDSRMRTFPIGREHAASFVVSGEGSSKTPTVSTVQGSYTGHVYCSFQAGSKLSAMVRVRAHLGPYLATAYASVKPFPSAARMGRRPLHPTMPSSTTPTGGRHSCRADGRKSLAAHGGSSATLYPPSRHTRTCSRPPCMCTAFLPPNRFAAAASSEGRRSSHLDATLRSSTRRSMAASETLPEPTGKASLPWRTSRETTRQSSSVKGSAATKRRSSFHAGPPLRATGVARVLSDWNPSVARTATPASRGGGALSVSCASITVSRRSQSFGDTYTLARDEAAAAPEEEDDDDAAAGESAFHASAKASSACVPAQVATMRSAPARRRPPSSLYHCVASGPSGGSRACTSATSKQSPPRKLRSSATDTSASGGRSHTDTSSVGPGDESSDAGSRANANVWSHSKKAPRRASPVAACRPPAATRTCGCAPAGSPRARTTRTNRYGILSTRSCLLRSIGSSGGGGGGTRRTGIDTCRGIGVGAGGGIGAGSSSGAFSSGAATASDVITGGPSSGAGFVAAGSSSGGFSVADPSPPAAASAVSGAGAGAASAGSSVFGGSSRGRSLSRSRLRSCFSRRGRVTGGDGRLRFRLRLRRERLVDVVDFQPDVAADPAEEVLLLEREVDERLLALAHELARVEADAAEEVAPAAGPDADVVEHGHLQRLAAAGGDGVDAGHRRREGELQRLVPRRVQVTHHRARLATLPVEVGARVGAGRARAVLGEKVPRLHHLHHQLPRRHRSDLS >Et_2B_019596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10781683:10783192:1 gene:Et_2B_019596 transcript:Et_2B_019596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEEMRKAQRADGVATVLAIGTATPPNCVYQADYPDYYFRVTKSEHLTDLKNKFTRLCQKSMVRKRYMHVTEELLQENPNMAAYSAPSLDVRHDILVEEVPKLGAEAAEKALAEWGQPRSAITHLVFCATAGVDMPSADFRAAKLLGLRPNVNRVMLYHQGCFAGGTVLRVAKDLAENNRDARVLIICSEITAVTFRGPCATHIDSMIGQALFGDGAAAVIVGADPDEAAGERVLFQIVTAAQTIVPDSDNAILGHLREEGLTFHLQERVPDLIGMNIKGLLETAFKPLGISDWNKIFWVAHPGGPAILNMAEAELGLDEARLRATRHVLAEYGNMSSACVLFILDEMRRRSAKQRLATTGEGLDWGVLFGFGPGLTAETVVLRSVPIAAGNTN >Et_2A_014760.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:12646256:12646438:1 gene:Et_2A_014760 transcript:Et_2A_014760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMAIVTVAGGVLGPVFVLLSRVQPVVEFFRRLCDCLSHPQRRSARPVRAPWKRDAAAE >Et_4B_038470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29282639:29285518:1 gene:Et_4B_038470 transcript:Et_4B_038470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMDSNPGEELTFTGNPDDDDDADDISPGSKEFAALVEAAVESVELDAAAAAAASASSASVPYGDDRTPRVEMVFRSYEEVLNFYKRYALRTGFGVCVKKSSFTAAGLCRRLVLVCNKWGNGKEDACYQARPTAKTNCQATVVARLWNDGLLHVTDVNLEHNHALNPSAARFLRCYKTLPSGMSKDLVVRAARGECSASGEIDGSDIKVPNGRTVIQIVHLEYIYEYELKATMNCQVQLDGSTFIVIDVAEAGSETLNKKYEVVHCMATNRMECNCGLFQFTGIICRHALTVLKCQQVYDILPCYVLSRWRSDFKQLHPLENPSNDLATSNHIERYDYISLQCLRLVEIGLTSDEKYQLAARLLLDIQKTLLDDNLCRELEQKLTPSERAIVNGDNHAHPGLSEAGPAKKRRGRPPKKGKEISMDSMVNGNKESLLVSSDASQKGAFHSSSTASNLGTHMRTHGVVDLMEEVNANELSFDSRYGVQSGHPHHFGNQMHGGNTLQFGQPTSAAEQSRVQWMTIKCLMADGHHRKWTQDTE >Et_1A_006635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25491388:25493092:1 gene:Et_1A_006635 transcript:Et_1A_006635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNGPMNLNSTRSRSNCSPLHIDHGLQHVALTNFFLLKQSPNKQPSRCSSPAMETTTATTATAPGLVIAATDPIRSFLSSSAASEDLAADLRGLASALSTEPAVPYRSLRAIWCGASPDARPPLRRLLQGTEFVLPSPKPREKSAELKARLEKLREMQERREYAELVKDVVPPSKDDIPEPFSSYKDQIGFGLHVVLIMFTGYLVGFYAFRALFSNSPVLSAAGGILGLVGGMLVETVLFIIRSSSKELASSVPRSKKVQ >Et_4A_034175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30484641:30485494:-1 gene:Et_4A_034175 transcript:Et_4A_034175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPGPADGPPAPESATVDGGAAEREPASGGGVRSMVERWKMDGAPARARLLLRGVAWLFSLLAFVVMASNSHGGSQDFFNYPEYNYCLGVSIVAWLYTMAQLLRDVVRLSSGRDLIAARKAAAVVDFAGDQVVAYFLISGLSAAAPVTDYMRQAANNLFTDSAAAAISMNFFAFVAIGLSALVSGYNLSMEALV >Et_7A_050855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11774178:11777727:1 gene:Et_7A_050855 transcript:Et_7A_050855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGPEGLMPGTLTGAFPPDTKGGHGNEGAKTGFGEHGFSVATSSPQEGGRSLPGTPQFGQKAGASCSLAERMQARAGFRVPKLNMPFGTAAGADTAVSGAPSPYLTIPPGLSPATLLESPVFLSNTMGQASPTTGKLFMLGGTNDSNPNRFEGPPQGDGPGAFSFKPLDLRSSHYIVEEKKESSRNNQHSSLPSTQVSIKTETKVQTAQEASIPRQLNQQQLNDGQTTLKSSSHGSNKLSRLAPDAAAVAEHVSPPDHGQPAEEGDARGDFTTALATAAAPAEDGYSWRKYGQKQVKHSEYPRSYYKCTHPNCQVKKKVERSHEGHVTEIIYKGTHNHPKPAQSRRPGVPPVHPFGDAPADASDNPGEARHPWHNGAGVHDLRGDGVDATSSPSVPGELCDSSASMHVHDGARYESPEGVDVTSAVSDEVDGDRATHGSLSQGSAGAEGDELESKRRKLESYAVDMTGASRAVREPRVVIQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGHPSSAAMAGAAGQHHAGARRPEHHHHHHSVQDGLMRLGGCAFGLPPRDPLAPMGNYPYSALGASLPSLPPMPAGLGGVQGLKLPMLAPSLHHPLLRHRQAMEAAGLVAAPKAAAEVKREAGGGNGNHGAGAAAAAVYQQMMQRSRLPLGHQM >Et_9B_065805.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:13214512:13216533:-1 gene:Et_9B_065805 transcript:Et_9B_065805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPENELTNFIQGSEKAKWRPISNHNVSKFTEDEIKRITSNYGTPIGKGGFGHVYHGALDDGTPVAVKMLKNSICQSLKEGFARETIVHCQINHKNVVRLLGYCIEDNASMIVTEYVSRGNLGDLLHGSDDYISLDARLGIAIECADALGYMHSSMYQPIIHGDIKPDNILLDSKLHVKLSDFGLSRLLSMDRTHQYTMNVAGSRGYMDPEYIETGILDPKSDVYSFGVVLLELITRAKASECGFSTGLKRKFTDALKKGNQEARQMFDTQIANERNMTILDEIGTLAAECFSKDIKERPEMKDVQGRLHVLRKTLYREQAQEKIDQGITQDTLQNVNKHGASIPSSSSTSTVTYNFSVLDIFTRRSKRNFRRNGGVVLEAAGADIFTRRHIEKITGNYSTVAEGSLGRVYLGHTEDGTTVQVKAPSLVMEDQVKYFPNAVICLSQIRHCNITRFLGCCLETEIPLMVYELPARGTLYGILHGSEKCCLPLDLRLDIAIGSAEALAYLHSALNLQIVHGVVSSCNILLDDNLVPKVDNFSVVEDYMDPVFHRKGLLTSKIDVYSFGTVILELITRKQPRYSDNRSLPVEYMKVWMKGKSGKAMFDEEIAVKGNIFILEEMGKLAVECLKEDPDERPEMIEVLKRLQKLKIDWKHGERSCRTSDAAEEIASGF >Et_3B_027817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20744704:20745069:1 gene:Et_3B_027817 transcript:Et_3B_027817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRNKRIVRVWISQQGADGQQHLKRAGLQTPWVLQDVKANASIAVDVWMEHLGAKCHLHVARQRCHVLWNLLTACIVKVSIFNVANDFQTPKRSFL >Et_1B_012120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29176496:29185486:1 gene:Et_1B_012120 transcript:Et_1B_012120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVTDSEKLQGLPTIDADQAHALVNSGHGYIDVRMQEDFNKGHAPGARNVPYYIYVEPEGREKNPHFVEEVAALCGKDDTFIVACNTGNRSRFATADLLNAGYKNVKNLAGGYRSFLQSANEQQAPHHAGELEQLVRQRRLAMVDMRDDTEVPDVRNGHLADQGNN >Et_2B_021356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2943262:2946658:1 gene:Et_2B_021356 transcript:Et_2B_021356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPTALGRLLLLHSAPSSSLPSPNPGANSVQTHRLPAHRLAISRRMAGCPPAAIAGASGDSDRDLSASALSMESLEAAISSDSGSETKEPSVATILTSFENSFDMYGSMSTPLYQTATFKQPSATDYGPYDYTRSGNPTRDVLQSLMAKLEKADQAFCFTSGMAALAAVTHLLKAGQEIVAGEDIYGGSDRLLSQVVPRNGIVVKRVDTTKISDVASAIGPLTKLVWLESPTNPRQQITDIKTISEIAHSHGALVLVDNSIMSPVLSRPIELGAEIAFLQNAEGSGLAPFDCWLCLRGIKTMALRVEKQQANAQKIAEFLASHPRVKKVSYAGLPDHPGRSLHYSQAKGAGSVLSFLTGSLALSKHVVEATKYFNVTVSFGSVKSLISLPCFMSHAAIPASVREARGLTDDLVRISVGIEDVEDLIADLDRALRSGPV >Et_7A_052590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9674502:9678241:1 gene:Et_7A_052590 transcript:Et_7A_052590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVGGSGSGVGGGFNAPSTAAARRRNQDEDDEEEEDAAEGRVLEAWERAYADDRSWEALQEDESGLLRPIDTKTLVHAQYRRRLLMRSAAAAAARIQKGLIRYLYIVIDLSRAASETDYRPSRMAVVAKHAEAFIREFFDQNPLSHVGLVTIKDGISHRLTDIGGSPESQIQALMGKLECSGDSSLQNALELVHGYLDHVPSYGHKEVLILYSALNTCDPGDIMETIEKCKKSKIRCSVIGLAAEIFVCKHLCVETGGSYTVALDESHFKELLLEHAPPPPAIAEYAAANLIKMGFPQRGAEDLISICSCHKKIKSGAEGYICPRCKVNVCELPTECRTCGLTLVSSPHLARSYHHLFPVAPFDEVSFIPNRGQKGAQNCFGCQQNLFSPGSQSNLHVRCPQCNQHFCLDCDIYIHESLHNCPGCESRRSFSS >Et_1A_006446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22370476:22374887:1 gene:Et_1A_006446 transcript:Et_1A_006446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKFAAFLVCVATMCLLFVSVSGCDTGCSSPVPPPPPTPPSGAICRYNITELNACLTLSVITYGDACCSLLQGLGDFEAAACACLCLGPLTVDANVLLNKSNMAPKLTAFVVILTTMSLLFFGNVHGCEPSCSSPAPPPPAVPTPPGATCPYNTLDLKVCVNLLNDLVKFVLNVPPSDPCCMLLRGLADVNAAVCACTTIQLLGLNVPINVNVLLNNCGITCPQGFTCPAY >Et_3B_027575.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28438821:28440008:1 gene:Et_3B_027575 transcript:Et_3B_027575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPVRRSFAVLLFVVLVGAASFPAALRRFVPPAPGRERSPPLDPARLNATLLRLAAVDPSEAPLRRDVDDLLEGRLPASAARARAWRRDRHLVHPLHLRHHQLPLHRRGHYPDHDHDPLLHPLPRLEQLHLDPSLRRALRSWHRLRRYDPSVLRSLPSLLSLPGRFPTCAVVGNSGILLRANHGALIDSHAAVFRLNNARISGYAAHVGSKTNVSFINSNILHLCARRPGCFCHPYGDGVPILLYICQAAHFLDFAACNTSSTSRHRAPISVTDARLDVLCARIVKYYSLRRFVTETGRAAEDWDRAHDAAMFHYSSGMQAIMVAVGVCDKVSVFGFGKAADAKHHYHSNQKTELDLHDYEAEYAFYRDLAERPQVVPFLKDAGFAVPPVTFYH >Et_1A_008539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8532313:8536527:-1 gene:Et_1A_008539 transcript:Et_1A_008539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWTTTRSDFGASPAGRLQSSVPGQVDREQVNSGDLSPPIVKCPWACVDFSRRHTARAAWPCPCRASRRSLPCQLVRSYQKFATNATSDSKPVSCGGGSRRTSRNEPTGSWRVSNPDREPEASRDAPVRCRENGPDARTDASHFVRGDPFHLELGGSWDGGADRTTQKRRGPKLSLGLEERSQPPNPRLLPSHRVASRGSQAATARSPSFGSGAERIRTARQPMASSSAADDEVVAAAAEAAVAAAAGGKGKEKEKEKEEKGKRVGVLGRMWRALFGGREDFEKRLQYLSKEEAAVHARMRRRTQFSRRAVRNLIVLSVLAEVLAVAYAIMMTRDEDLTWQMRAFRVLPMFVLPAVSSVIYSSVVNFTRMLERKDQKTLEKLRAERKAKIDELKERTNYYLTQQLIQKYDLDPAAKAAAASVLASKLGEETGLKLHVGEDPKLDAAVARSNDVEILPSDGLRNRKQPNARGSRTGNTMATHSPVQGAESSQPASSGLDGAPPPMVVEHHQGSGTSDGGWIAKIAALLVGEDPSQSYALICGNCHMHNGLARKEDYPHITYYCPHCHALNTSKQSMGQYSGSASGRSTPVVPADTSSTQESELSNLTTLPEVAKEGNAEKQDAEAS >Et_1A_006089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17592630:17594420:-1 gene:Et_1A_006089 transcript:Et_1A_006089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSPREAGAPARAAVTACLNVAFLVLLIAAVHLSRRATGGDVDVFPNNGGGEPHGHGADSEDRCRRGHLAPAGRFDDNPRGHVDYLYLFHCVFGGGAAGYVAMAAWLAVLFYLLGDTAAVYFCLSLEGFSRLLGLPPAIAGATLLSLGNGAPDALSAVASFAAGAGAGPSAAAVVGLSGALGGALFVSSAVLGVIGVRLGGDGVAVDRASFFRDAAFLLLALAAVAVVLAVGEVTFWGAAAFASLYFVYVLAVAFTPDRWSRRHHHAEAEADADAEHAAAAADSSELHNEAQQPLLTDAVPLLQHYAGDNNNGGGGVKKRMANAFRIVLRAVELPLSLPRRLTIPDATSKEQWSKPTAVAAAAAALAPLLLSFLCRHATGSPPYLIVPVGALIGVCLGFVAFLTTESAAPPTRCLAAWLAGGFVMSVAWAYVVAGEVLALLVSAGHVLRVDAAALGVTVLAWGNSLGDLVTNVAVASRGGAGGGGAQVAVSGCYGGPVFSVLVGLGMSMLLPCWTAYPRPLEVPRDPGLYLTPGFVSAGVLWATVMLPKRGMRVNRTLGLGLLAIYFCFLCINVSQVLGPNGRE >Et_3B_027461.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:19509144:19513486:1 gene:Et_3B_027461 transcript:Et_3B_027461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAEGSGNPLLTLSLGCSPSSSDNSKLSSATASALSPSLLKETDEESSVDLGLNLGFNLGSDIAHCQQSHGCVENMLLTDSPMLDLQLCLSTGSPESDVIDANMVSPDGCEMPVANLSPTIGGKESVPHNWGFEHSIISSPCTSEATYAFPFSKITNKGNAVVPAPGISSTMFTSMKTLVGSTSEGSDPQQRNNNTKGCQFPGCMKGARGASGRCIAHGGGRRCQKPGCQKGAEGRTIYCKAHGGGRRCQFLGCTKSAEGRTDHCIAHGGGRRCGHEGCSRAARGKSGLCIKHGGGKRCQKENCTKSAEGHSGLCIAHGGGRRCQFPECTKGAQGSTKFCKAHGGGKRCTFLGCTRGAEGSTAFCKGHGGGKRCSFQGGGVCSKSVHGGTQYCVAHGGGKRCAIPSCTKSARGRTEYCVRHGGGKRCRFEGCSKSAQGSTDFCKAHGGGKRCSWGQADSSFSAGAGQCDKFSRSKTGLCSAHSALVQDHCVRGGTLGPATNLFAADVKHIEMKVAEVKGDADEKMCNDDKSLLGMDCSVPNGGVHPPVLSMTDPLPEGRVHGGGLLALLSQVAEAQVLAAQNGVTWM >Et_10B_003176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17332031:17333888:1 gene:Et_10B_003176 transcript:Et_10B_003176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHATVADSGEQHWRRPLAADDQGGGQLSPGEPVMSSSSPALSRSRQEEEMSAMVSALARVIAGSAPSPLPAAAKSPAASAEEAEEAWWPCEELDAAPPSSAFVLHGYGASTLPPQQQWPAAPAAAAAVAGASSSSSSQYRAAAEELPSPSPSSAGESGGGGGGGAPLRKRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEAAARAYDAAALRFRGSRAKLNFPESATIPSPPTLTPLSHHQHSAAAPAPPSRPEALLESQAEPYAEYARFLQSAGGDLSATASASPSPAAFGFGADGASGGAGRRPATSASAWANCYGTYPPPWRWDQSG >Et_3B_029111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22147392:22150311:-1 gene:Et_3B_029111 transcript:Et_3B_029111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAATTSSLSLLFSHTNSRRSSGSHLRLPRRARCAASDAAAATATKHRRPAEENIREEAARLRGPAQGFSAWYAPFPPTPDGDPNERYSLDEVVYRSSSGGLLDVQHDMEALARFPGSYWRDLFDSREFVLPEIDSDHIVSLFEGNSNLFWAERLGREHLGGMSDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRQPLSRPIAGVGCASTGDTSAALSAYCAAANIPAIVFLPADRISLQQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFNWQVPDWVIVPGGNLGNIYAFYKGFEMCRVLGLVDRVPRLVCAQAANANPLYRYYKSGWTEFQPLVAETTFASAIQIGDPVSVDRAVVALKATDGIVEEATEEELMDAMALADRTGMFACPHTGVALAALFKLRDQRIIGPNDRMVVVSTAHGLKFTQSKIDYHDKTIKDMLCQYANPPISVKADFGSVMDVLQKNLNEEAARFKVRRLFLPSGMVAPECSVVPEGFSGEKDYTFKFHGFMERFRAGPED >Et_6B_049496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5744525:5750815:-1 gene:Et_6B_049496 transcript:Et_6B_049496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPEPSEEALRTAENLLFGTNSTSRTRTVAPPTRMPRTASTRTGTTMPRSASTRAGTGASPATGASPATGASPGAGSSDREVAQIQYTVVFLRSAAKLARKGCPEAHSAKKGAKKMGAAASVATSFTCSFGARDLLDEMPPLKMCKQNMGAAAPAASGDGEGLDVLPDGVLAHILGFLPAEETVRTCLLARRWRNLWKSATSLHIVAADGKFLGTTEKLVEFGDILLALREGASLDTCELETGKWHIDDEVCRRLSIWFRHAVMCRVRFLRFRISCNNDDCFISPCHELEDLPLVSPHLKRLELHCVKLGSSLLDFSRCPALEHLVFTWCGLSMPADKISLEFPKSLKYLSMTGCAFNFDSRIQIYAPSLLSLCLDEFFGRTPVLGSMPSLVQAFVRIASTCYDFDDIDGASNDCVLLKGLLEARNLTLISEPSMFIFRMDMRCCPTFSKLKTLLLNDYWCVPDDFCALAYILEHSPVLEKLTLQLFSEEYIFHVSKAIRPPPHKVQLKGSINPILRCAAISEHLKTVEIECEVVDERILKVLKFLRGRPRCAGNGADQRLPTVALQLWLRHAKSPASGCASNGDGRAGVAGKPGELGGGGAKVDGEPTGGGLLAQPWLLWLIVPSGRAAPAPARDPHDGFGTVEELWLLRRRVEFRLRRLADKDYRDLSFAVRIVGRDRVVLTFCR >Et_3A_023502.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:31282334:31282411:1 gene:Et_3A_023502 transcript:Et_3A_023502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGCLEKHQRRWHLQCSVWLDGRT >Et_1B_013753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15350080:15353330:1 gene:Et_1B_013753 transcript:Et_1B_013753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKLVTLTFTVLLSIGLASAGRVARYSQSGATGMGVGEGGGDVSGAGSGSGRGAAFGENNIGHQETAHAGAEADGVGGGGAPYKGSGYGAGYGSGDSMSGYSANQGAGSSRAVGNGRGGGGGKAAGYEGSTGYGNGIGTGSGSGLTVWFGPGFHDSGATADAQGSGNTKNGGSGGGDGDASGYGADHTYANRETV >Et_2A_016277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22931795:22935558:1 gene:Et_2A_016277 transcript:Et_2A_016277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALKSNVKKAAVVDETLRPPLVPSEKHNAFLGRDVASRYKTALAASSKTRRCTSPSLARTSATDGTAAPKRAQSADRRRPSTPSTPSSRVSRPSMPTPRSVTPVRNTLTELSKSSKRIASTRAPDGLWPAMRNLSSSFQSESAATSADKKDKLVSDSSLDCIKGEVSVLTERKKSPFRRKNTGEHCENDQPSEEPQRRVTERHRWPAMIGGQVPTNLTSRSIDLSEKASKPATLSNTSRGLSPRRMPAREGKVKGSNQSLDEVAKRFAIQASRRDDNVDSGSNINTQITERSKSVSRPARTVTFPVPVLNRPSSPSKVLSTASSTSRSFQSPSRTRPSTPCRSQSAGTFPTGVAFPIINYMVDAKKGKKNASQIENIHQLRLLYNRYLQWRFINARAEDILFFQTTAVENTIYNVWKNTLNLRDSVNIRRIMVQQLQQELRLYSILNEQIAYLEQWPALERENSVALFGATEALKASTLRLPVTSGARADVIALKNAVSSAVDIMQGLGSSVCCMFSKAVDRASLASELSVIAGQEKVMLDECRELLAVAAKLQVITFYFQVTVNKYDHRS >Et_9A_061919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17813625:17815339:-1 gene:Et_9A_061919 transcript:Et_9A_061919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQAKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEDHPVLLTEAPLNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDHLMKILTERGYSLTTSAEREIVRDIKEKLAYVALDYEQELETARSSSSVEKSYEMPDGQVITIGSERFRCPEVLFQPSLVGMESPGIHEATYNSIMKCDVDIRKDLYSNIVLSGGSTMFPGIADRMSKEITSLAPSSMKVKVIAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPGIVHMKCF >Et_2B_019675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1265338:1269386:-1 gene:Et_2B_019675 transcript:Et_2B_019675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTISSVKARQIFDSRGNPTVEVDIGLSDGSFARGAVPSGASTGIYEALELRDGGSDYLGKGVLKAVNNVNSIIGPAIIGKDPTEQAEIDNFMVQQLDGTSNDWGWCKQKLGANAILAVSLAVCKAGAAVKKIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGVEVYHHLKSIIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKSAIEKAGYTGKVVIGMDVAASEFFSEKDKTYDLNFKEDNNDGSHKISGDSLKDLYKSFVSEYPIESIEDPFDQDDWATYAKLTEEIGQKVQIVGDDLLVTNPTRVAKAISEKTCNALLLKVNQIGSVTESIEAVRMSKRAGWGVMTSHRSGETEDTFIADLAVGLSTLLRIEEELGDAAVYAGANFRAPVEPY >Et_5A_042917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6898820:6903132:1 gene:Et_5A_042917 transcript:Et_5A_042917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLILHCAVGIGLPVYSTFRAIEKKDEKEKERLLLYWAANYIVNGLDEPGQREAVNTIEGPNTTATEEAELLKAFGFHIQSALL >Et_5A_040934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14998139:15004562:-1 gene:Et_5A_040934 transcript:Et_5A_040934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARDGSGPSNGGADGSGGDGGGMLRPMDAEQLRECGHRMVDFIADYYKSIETFPVLSQPGYLKELLPETAPNKADTLEDLFDDIREKIVPGITHWQSPNYFAYYPSNSSTAGFLGEMLSAAFNIVGFSWITSPAATELEVIVLDWFAKMLKLPSQFLSTELGGGVIQGTASEAVLVVLLAARDRTLRKHGKTLLDKLVVYASDQTHSALQKACQIAGIFPENFRVVKADCNKNYTVAPKAVSKAICADLSSGLIPFFICATVGTTSSSAVDPLPELGQIAKAHDMWFHIDAAYAGSSCICPEYRHHLDGVEEADSFNMNAHKWFLTNFDCSLLWVKDRSYLIQSLSTNPEFLKNKVSQANSVVDFKDWQIPLGRRFRSLKLWMVLRLYGVENLQSYIRKHIELAHKFEELVISDSRFEVVTPRNFSLVCFRLLPPPSDKDNGYKLNYDLMDAANSSGKIFISHTVLSGKFILRFVVGAPLTEDQHVVAAWKLLQDEATKLLASL >Et_9A_062232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2143910:2146992:1 gene:Et_9A_062232 transcript:Et_9A_062232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSVALLAAAAMLLLSPAAEGSGHLKVGYYKKSCASVESLVKYHVAKAIKANRGSGAALVRLIFHDCFVRGCDGSVLLDPTPSNPHTEKTAPINIGLAAFEVIDEIKAAVEAHCPGTVSCADIVVYAARDAASLLSNGHVHFDPPAGRLDGVVSLAADAQRDLPDSTFTIAELIRNFRRKNFTIEELVILSGAHAIGVGHCSSLRARLTAPPAQIEPAYRSLLAGKCAAGPDPIVPNNVRDEDPKAVAAAFPSFLKKLRKAKDFLDNSYYHNNLARIVTFNSDWQLLTEKEARGHVHEYAENGTLWDEDFSDALVKLSKLPLPHGSKGEIRKQCRFVNHY >Et_7B_053578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:998515:1000181:-1 gene:Et_7B_053578 transcript:Et_7B_053578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEILAATLGRVELLQHTFFQLSSDQDNRIIMIINIINTWFGSAPLAVQFWDLYCITNEKNKSVADIWVDGELRLTFRRSFSNEMYDRTSGGDLQCGTE >Et_6A_046159.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:5350991:5351280:1 gene:Et_6A_046159 transcript:Et_6A_046159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMITSAVLWSLWKLRNGICFQGNRWTGDREVMKRIARTARAVEPAVPRGRKRSHGDHAEEAGDESVLTACTDMGEYREFNTAGWECYKRQC >Et_3A_025247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28278055:28280439:1 gene:Et_3A_025247 transcript:Et_3A_025247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGPLAPPALQRFGLAHINVGNPTQPWPHRSIGSDLIAFRDMKKKKAWQQKQGKGGLDKTAAPQDEPNRGGGSARRKPRKIKEPLKTMYDDEIPLFRQLWERLYGGYFGSFEDTTVVPPMCYTCKLPVSNRAFPDNTLQLFSIKVAKEEKDLHWPLRVVGLIAIRDSIDPRRNLIFQRDRDNCQTITEEEPFLQLTGPYRAPVMIDPVRIEVQLKAKGQTVSEDKDLIFNVLTTFPPCTYSASIFTHSLCGIRSSLEFNIAVLANSVEATIRIRVFLGDMPGRISARTASIDHEDIVLLDSVDDELVPRINGYFQLSRRVVSVELSGELKVAVEASKCFNEVIREEITFKPKDASCSNAVGVLKFASCELAVCVAWSKFIPLAPGQTGPDDDYESIYAWE >Et_1A_008584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:922216:929303:1 gene:Et_1A_008584 transcript:Et_1A_008584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRRGDLALAAAVAALATVAAAAAGVGGADGGAQFDYRKLSGIIIPGFASTQLRAWSVLDCPYSPFDFNPLDSVWLDTGKLFSAVNCWLKCMLLEPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWCVEFGIEANAIIAVPYDWRLPPSMLEERDLYFHKLKLTFEIALKLRGGPSLVFAHSMGNNVFRYFLEWLKLEIAPKHYIQWLDEHIHAYFAVGAPLLGSTEAIRAALSGATFGLPVSEGTARLMFNSFGSSLWLMPFSKYCKADNIYWKHFFEGKGGCAHRQQCDEMEYISDYSGWPTDLVSIEVPTVREMSAYPSITDITENMTSLMECGKPTLLSFSAREVSDGTLFKTIEDYDPQSKALVHQLEKYYQGDPVLNPLTPWERPPIKNVFCIYGIDLKTEVGYYFAPSGKPYPDNWIITDVIYEFEGSGNSVSGKPNNISGDGTVSYNSLSWCKNWLGPKVNITRAPQAEHDGSDLQTSMNIEHHHSQDIIPNMTRAPHVKYITYYEDAESIPGWRTAVWELDKANHRNIVRMPVLMRELWLEMWHDMHPESKSKFVTKAFRGPLRNEDCRWDYAKARCGFPEHCEYRYTFGDVHLGMSCRLRNTSSNLLQHRYSVSAATTVFTVIFLQS >Et_6B_048628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11883762:11888530:1 gene:Et_6B_048628 transcript:Et_6B_048628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTVTPKDEERLVGLMARERPRSAVVAAGGDLVTVATGGGGAEGSDADSSGSLEEISADDFKKESSSSAAGGGAGAGSGALGASAAAAPRSRAWVAPHMGYMSRSYAPAFHSFAWAQAVQKKPLVPASAAADEDEVEHAVDVSDEEKEEGEIEEGEAVEMSSSPPRAQPETIDLDSDAPEKSEGAVLLPPLPRARRRWTSTSVMDTRFIAVTMRLMDKVFDTNAEEFVDVAVLSFEGACTRLRTCFESLKPLFPEDGSPMPMLEPLVQQAFVAIDTITTVRPEDRSVWLVANSYNLPRREQNKNMLLKLLFHIKNRYSDMLTPDQRDELDSRVRHLVFEEKDNANDLNTNRGTNATVVAPSGQVSSGSLPFESGAANPFSGSSSLPRLEIPARNKISPLLDLHAAYDENSLPSPTRDNAPSFPVPSPIGFGAFPMAPEKPAKNSVYPSGNDPLKAVSSYQQKYGHKSAFPCDDLPSPTPSGDDSKSADKGSDIFGEVSSFSVPKKDALPSTSQPSTSQMPASRPSSMGSSACGPPGYSKQVEQLAVGPNPVLKATSKSRDPRLRFLNRDSAGATEEVQRKHKAVDEPMVDGNSLKRLRTGTGDPRDSLVPTGNLIPPQTTVSQGSAAPSISLPAVLKDIAGNPAMILHLLQMEQQKMSASGTQLNVAATGGMSSGMSSMATAGTVLPPGSAPKTTEAQIPSVRPPPLNSQNDAAGVIRMKPRDPRRILHNNIAKTNGTTQPDCQGSKDHLTNSEQQLEQHQTALLPSQQGALQSNMTRASLINSKTVDPVSNSQLAATAFMAPARQTSGSNRSDPRLMIGQNGPNPDAATNGASATTLENVQPVAQFGSVDHLLDGYDDQQRDLIHKERARRMEEQVELFRNRKLCLVLDLDHTLLNSAKFGEVDPIHDEILRKKEEQDRSFQDRHLFRLQHMSMWTKLRPGIWNFLKKASKLFELHLYTMGNKLYATEMAKLLDHDKKLFAGRVISRGDDSVGEPFDSDDRVPKSKDLEGVLGMESAVVIIDDSIRVWPHNKHNLIVVERYMYFPCSRRQFGLAGPSLLEIDRDERPEDGTLASCLAVIERIHQNFFSYPNLNEADVRSILASEQRRILAGCRIVFSRIFPVDYVNPHLHPLWQTAEQFGAVCSLQIDDRVTHVVANSLGTDKVNWALSTGRFVVHPGWVEASALLYRRANEHDFAVK >Et_7B_053672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1127878:1135421:1 gene:Et_7B_053672 transcript:Et_7B_053672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPPGSFAAGGLFLQNIDGKNSSPPSVIVIGGGISGISAARALSNASFKVTLLESRDRLGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIRMLGLRLYRTSGDNSVLYDHDLESYALFDKHGRQVPQEIVAKVGETFEEILKETVKVRDENANDMPLKQAISIVLDRNPHLRLAGLQYEVLQWCICRLEAWFATDTDNISLQNWDQEHVLTGGHGLMVNGYDPVIKALARDLDIHLNHRVTKIIQRYNKVIVCVEDGASFVADAAIITVPLGVLKANIIKFEPELPKWKLSAISDLGVGIENKIALKFNNVFWPNVEVLGRVAPTSNACGYFLNLHKATGNPVLVCMVAGRFAYEIEKLSDEESVNFVMSQLRKMLPDATEPVQYLVSRWGSDPNSLGSYSCDLVGKPADLYERFCAPVGNLFFAGEAACIDHSGSVHGAYSSGIAAAEDCRRRLSTQLGISDLFQVGKIVMREEMTEVMVPFQISRLGFKTGGLLLPTIERRCTSPPSVIVIGGGISGVAAARALSNSSFKVTLLESRDRIGGRVHTDYSFGCPIDMGASWLHGACKENSLAPLIGYLGLRLYRTSDDNSVLYDHDWESYALFDKQGNQVPKETVAKVGETFERILAETVKVRDEQEHDMPLLQAISIVFERNPSLKLQGLDDQVLQWCICRLEAWFAADADEISLKNWDQERVLTGGHGLMVNGYYPVIQALAQGLDIRLNQRVSKITRLSNGVVATTEDGTNYFADACIITVPLGVLKANIIKFEPELPSWKTSAIEDLGVGIENKIAMHFDRVFWPNVEVLGMVGPTPRACGYFLNLHKATGNPVIVYMAAGRFAQEVEKMSDEEAVGLVMSHLKKMLPGATEPTQYLVSRWGSDPNSLGSYSCDMVGKPGDVCARFSAPVDNLYFAGEAASADHSGSVHGAYSSGLAAAEDCRKRLLTQKGVPDLVQVAAWEEVAGTVALQICRT >Et_2A_018005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8890123:8890500:-1 gene:Et_2A_018005 transcript:Et_2A_018005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREVVDSKYRGNICGGSVTRIQSVIGIVVPHGDMGSRSYKGMGMPAVEVPALALDPNMIFFFLKSFNLIKKQSIELLYKVWPGIGCRQNQVIATHQLVLSHRMGTVSFTCE >Et_3B_030081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30357562:30361394:1 gene:Et_3B_030081 transcript:Et_3B_030081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRALVIGWELKKRPIGPQRYSPSNIRNNSLSRLQYIGVWAVGGGVRSGRIREGGRPGAEGEGKQTMAAAGEETESSAAAGGRQRAPFARGGPVFVPFMVGPMSTVPEFMSSALHELQSLEAELGDPSNELDDELSVDELRVLSEEELVERALQEAMEEYWDSGTLQITEDQTSDGGVSENTIPIDQAPTSSPSAERESSGSPTEDIATEPRESLGCNGETRGRKARIRDRKGKDGTMALDSSVEKESHELPTETSVELYEPQNSSAVNQMPETPADGMSIVARDTEGTNELTKCRKGKKRGRHFDREVRAKILYGSYLTKAVKMAEIKAKQDEDKLAARLHSFRGNSAKSKGSKSSEKIEMATTLKYVSTPWKKKASMSEEHRPVAHPEVILCVEVYEKRYASVKSQEFLVLGSQFLTDLRDNIYCLTDKLMNLAEQHDHSGYFLIEDTFYTDTRHYSSSDYSKPILDWLQNSSEEVSEKWDAITSGVLKKRQKDLLRGLNISNVPEFKSERMQRIRFSDLQFRLGAGYLYCHQGNCKHMIVIRDMRKQV >Et_2B_020071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16567121:16569700:-1 gene:Et_2B_020071 transcript:Et_2B_020071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPTPQPASYSGDQLYSALWRACAGPLATVPRPGDLVFYFLQGHIEQVEADTNQVVQNQTRLYNLPSKMLCRVLNVELKAEPDTDEVYAQIMLMAEPEQNEVAAAETASSGSIATPPRPAVSSFCKTLTPSDTSTHGGFSVLRRHANECLPPLDMTQLTPTQELVAKDLHGMEWRFRHIFRGRPRRHLLTSGWSAFVSSKRLVAGDAVVFLRGENGELRVGVRRAMRQLSNVPSLVISSQSLHLEVLATAWHGINTGHMFTVYYKPRYDFIVPYDLYLESVKTNYSTGTKFRMRFDGGEVPEQRFTGTIVGCENLDSLWPESSWRYLKCI >Et_1A_005402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10084230:10086474:1 gene:Et_1A_005402 transcript:Et_1A_005402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRARPRPVTSFTVILLLVPLVFSPVASAGQPKGVCVSPGGRFPAFSSEGKPPGKAPKGRRDLALCRIFRQKTCCDVTQTFPALVSVRNLALTGEGSQECLHLWELLECSICDPRVGVRSGPPVVCASFCDMVFKACSEAYFSVDMKTQVLSPCGLGDILCGKAHKWVSNGTDLCRLAGFSVQVSETSSGGVDDTFCYGGKASFDSISDSWTSSKDRPTLSSVASWDLQDFKRWATEMPVGERVSWAIGGMVLTAGLIFIRLFILPSLVSNSMYINSKRKSLSHSQKQAAIARTMRLRRLDPRANPQQPRRS >Et_8B_059762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2449304:2453858:-1 gene:Et_8B_059762 transcript:Et_8B_059762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRLPALALALMIAAAVVAGAVAEEPVVVEVASVAGAVEAAARAEEAAAAAALKAEVEQLRGKISALESGIAEQSQELKGKDDAIAKLEKAIEDKSKKIASLQGEIASLQAKGSVAAEEQIGKANAQIQKESDEQKRIIQKTERALKVAEDELMRLQLEATAKAKQLTEVHGAWLPPWLATQYAHYVEVISGHWNQHGKPAIQNFGQKVSEKSALAKKWAEPHIETAKTKWVPVKEKLVILKKNTEPHVQKISARSVEFYESSKDAVTPHIVKVKEFAHPYFQEAKKFSKPYIDQVAEVTKPHVEKVRTTLKPYTKRAVHAYGSFLESATTYHRQAQATILDYLHQHEITKSLATKELVWFLASALLALPVYVIYRLLVETFCTKKQKRPRSGNGNHAHRRHKRRHAEK >Et_5A_040609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10243703:10246844:1 gene:Et_5A_040609 transcript:Et_5A_040609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEIYHKVKDKVKDAFSSSGPETGKGKTKLSGLRIRHGYHLVKGKSNHPMEDYLVAEYRQVSEHDLGLFAIFDGHLGHTVPDFLRSHLFDNILNEPDFLSDPQSAIRKAYLLTDEKILEKTAELGRGGSTAVTAILIGSEKSVKVVVANVGDSRAVISRNGDVPRVDGQLAVARAFGDRSLKKHLSSEPHVAEEPIDENTDFIILASDGLWKVMSNQEAADAIKGIKDPQAAAKHLTEQAVIRKSKDDISCIVVKFMC >Et_2B_021856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6152121:6156867:1 gene:Et_2B_021856 transcript:Et_2B_021856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIITETDVVNLKEALESREYDFSFSCIDMLPIRLEQLLQLVGCEGIRPGFGMLLEYLFKLFRNKPKQTSSLSFISGGTWRGTAYRNRLGSKEAVNEGGGYGGERDAGPDRAEPCSRPQQ >Et_7B_055852.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5970224:5970880:1 gene:Et_7B_055852 transcript:Et_7B_055852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSSSQASPQAIPSHPSDLELATMLRAHVVNPSATPAGNNNSPIIHEADVYAQDPADLTQQFAAAVSEDGQKVWYFFSSLRPTTDSGVWHREGESQAVVDAGGSEARVIGHRNIFVFVKEDRTGWIMHEFRLHHDGNEESLVVLCKVYEDREAKLLQQKTEIEELRSYTSGEYLPPIEQPMRRNAADSKEWPVVAAILMVADNLSRHVSNEIYFQLK >Et_2B_022813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5779025:5781342:-1 gene:Et_2B_022813 transcript:Et_2B_022813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAESRATASRNEPSWLEVEEASPFTGTKTTKAVATGAKQWSTVLNATLVAVIMFLPPLLILGGRLGAPVVWIRSTVAGIGAQAQKGKDVESTNKDVLLGGLLAPGFDEQSCSSRYQSVYYYKNMTRAPSPYLIKRLREQEALQRRCGPGTEPYARASDRLRSGATDDDVVDNMDGCSYLVVISYRGLGNRVLATASAFLYALLTRRVLLVDPGRGNTLPRLFCEPFPNATWLLPQDFPLAGFRDLDQSAPETYGNVAVNRSGSVSGLRFVYIHLDHDASPANLLVYCDDHRESFLHRARWAVLRADQYIAAGLFFNPAYREELGRLFPRKDSVFYLLSRYLLHPTNDIWGMVTRFYNAYLRHADERLGIQIRVFDSDKPLQRVLDQILGCTSQERLLPAVVTDGGAPPPIPTAGGRSKAVLVTGLSSWYHDSIREMYWRSAAAGGEVVSLHQPSHEGRQHWYDGDQDMKALAEMYLLSLSDRIVTSGWSTFGYVGSGLGGLTPHIMFRPMDGKLPRPPCTRAMSMEPCSFAVPHFECTRKEIGRDVMQKDIPHVRACEDLFWGVKLTDDDTV >Et_6A_046766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20288193:20291177:-1 gene:Et_6A_046766 transcript:Et_6A_046766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKLCYSEKGSSAVASTLAWCTFFFCPNLLNGNRCCQGEPSLDQQVPCDGSSSSGQCPAATPSTVPATDVSQAACSSNAIPLHLLPFKKRPSFRSCARLPMKKRLPAACQSNSAKDSGCRCLCCQASAASDSAKTVAAAASMQSQPAVESGSGSLLNVVPFEGTVDVLLTSILISSRSPNRQKFSRPGCALDKNWANSAMVSCGNRKKKEQWSPGYTIVLHCKRINILPVSTLRIQPTLHLEYHCQYSDNIIV >Et_2B_021780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5382919:5383298:-1 gene:Et_2B_021780 transcript:Et_2B_021780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GAERTGYPLGYADVVHAVTSVLVFPAAALSDYWVSGCLLPGHNKTKERNQVMDGSHSWSGQCAGASSWCSPTRATASIAWSYEWQSPDRYL >Et_2B_019825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14355428:14356800:1 gene:Et_2B_019825 transcript:Et_2B_019825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPLSHPNSARQFLTLSIAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQATYDKMLTEVPKMKQITPSVLSERLRVNGSLARRAIKDLMAKGLIRMVSVHSSQQIYTRATNT >Et_4A_032961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16081748:16085600:-1 gene:Et_4A_032961 transcript:Et_4A_032961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVEEAHNLRVVGEGKRGVIVLAHGFGTDQSVWKHLVPHLVADYRVVLFDTMGAGPTNPDYFDFTRYATLEGYALDLLAILQELGVESCIYVGHSVSAVIGVLASISRPDLFSKLVLLSASPRYLNDVDYYGGFEQDELDELFEAMRSNYKAWCSGFAPLCVGGDMESVAVQEFSRTLFNIRPDIALSVAQTIFQSDVRTLLPLVSVPCHIVQSTKDLAVPVVVSEYLHRHLGGDSIVEVMPSEGHLPQLSSPDIVIPVLLRHIQHDIAV >Et_3A_024601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22505021:22509700:1 gene:Et_3A_024601 transcript:Et_3A_024601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQARVRLAPAGPTPATSFLSGSTARPAHLSFTPKPTSTSLSAANAPPPPIVVVGSANADIYVEVDRLPLVGETVAARAGRSLAGGKGANQAACGGRLALGSAYLVARVGDDANGRLLEGALADAGGVRIDRVARAPDAPSGHAVVMLMPDGQNSIIIVGGANMEGWAAGVESEDLELIRQAGVLLLQREIPDWVNVQAAQAAKSAGVPVIMDAGGMDAPVPGELLRLVDIFSPNETELARLTGMPTETFEQISQAAGACHKMGVKEVLVKLGSQGSALFVEGEEPIRQPIIPATEVIDTTGAGDTFTSAFAVALVEGKPKEECMRFAAAAASLCVRVKGAIPSMPDRKSVMKLLESVQGCSGTRNSGYPSCGAVGPSRALQIMPYAILK >Et_7B_055757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3585435:3588134:-1 gene:Et_7B_055757 transcript:Et_7B_055757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKLACARRFSDVEELLARARTEKFRFSDEFFYRLVKMYGNVANHPQKAIDTLFAMPKYNCWPSTKTFNYVLHMLVCKRQYEVVHEVYSNAPKLGVTLDTCCFNILVKGLCQFGKFDEAVSLLHEMPKQGCMPNVTTYSTLMHFLCQHGQVDEAFELCERMQQQDIAADTVVYNILISGLCREGRVSEAFNLFKSMTSEGCFPNSGTYQVLLDGLISSRKFEEAKNLVSIMSAEGVRPSFQSYKLLIDGLCSVDCLDDAHLVLKKMVDQGHLRSSSLVILGASCYLGFQANDVKMQFMSHLIQLTASDT >Et_2B_022880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7165111:7167827:1 gene:Et_2B_022880 transcript:Et_2B_022880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGFLAPSAVLLLVVLCAPPPCHGAWSHNITGVLAAYPEFSTFSAALTATGVAAEVDNRTTITVLAVDDAAMAAAAAQGLRQEELRRAVSLHVLLDYFDDAKLGGLRGGFAQAATLFQASGKAPGSAGIVNITASSQGGRVAFAAEGGAPAPAVFFVYEKTVQASPYDIAVLKVSALISSSPSAPAPAPGAPASRFTDLLSKNGCVGFAALFAALADAKAAYERIGGGGGAGLTVFCPSDAAVSAFVPAFKNLAADAKVSLLLYHGVAAHHSMQSLRAINGAVSTLATRGSEKSADYNLTVRADGDAVKLSSAAPAPATVNKTLMDKGAVAVYLIDAVLLPRELFNSSSGGGTAAPSPAPASAPATPGPAAPATAPATAPIPRRRPTDPEPEMRTPAPSPDEEDTTPADQKSNGARGTASWTLGAAVAAAVPAMIVLCLDVKSLYFF >Et_1B_012864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3679305:3682262:1 gene:Et_1B_012864 transcript:Et_1B_012864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTEDYNRLRPLSYRGADIFVLAFSLWIPELQHYAPGVPIVLVGTKLDLREDKHYLMDHPGLIPGISHGEELRRQIGAVYYIECSSKTQLVFLLSCWLMSKLCLMLHQGCNPASNKSQRKEEEITTRMIYTMIEGLLGWQPHFSTPTSGSPQVQR >Et_7A_051304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16818362:16823222:-1 gene:Et_7A_051304 transcript:Et_7A_051304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVASLVPAQGAEEAPLDATAIRRCGSPYAFHADSSTGIWHPKAFPLIRVEELALKWRQEREEGDAPVAGAEADAEKGLSSMYEVSEVMWVAARVAGEAMDEWDSVSAANPIGDLGDLDAYLEWLRTKVSVTEEMNRKASDEIAVLAEATINDTIQLDVGIEELESSLWKLDSKDMSHFEASAIVELPESTDSCGNQNIVDKDYKYEVLKLDQQIEESEMDLKLLKNMERYETALFCVVTSWNYQQTILSISRADAIWQLESLLSSSGAKILDFKDNCLRVSLEAPILTSDLIYEHKLDCAIDSFVSDHELLIEVGEGIMEPQKVQIFPNDVSVDLLIELLKSSREVISSPTLGWLIQQIQHRIIVNALRRSLVNDANNSRHSFEYFEKEETIVAHLVRGIDLSIKTPADWPLSSCGLRLISIRNSGTHPTNITSSLLEKTKELANGLELQIRRHLARFIDAVEEILVRELRSELHAGRASS >Et_1A_008304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6114179:6118388:-1 gene:Et_1A_008304 transcript:Et_1A_008304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFLYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGNFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPSDKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKEAQLKSRPTKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEINVKVKSVFGAKMFALGVVVKVPVPKQTAKTSFQTTSGKAKYNASIDSLVWKIRKFPGQTEATMSAEVELISTMGEKKSWNRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITRAGSYEIRC >Et_3A_026833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22889014:22891328:1 gene:Et_3A_026833 transcript:Et_3A_026833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRFLRRGHSLDRLLSRTRRALSPSPSFSSSPSSPTSSRDGGSSMSEDEDAAAATATAPLPPLQKRVLSRSHGSRAIPGRTQDLPPAPSKTVRDSGPPSDRLCAGIAMVADMELMMEKFAKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEQRRLEPMSAETRARWNKEIDWLLSVTDHIVEFAPSQQVSEDGTNMELSAFRPCVFVANQVMGTRQRRDLLLNIPALQKLDAMLLEYLDNFSETQEFWYVSKDANNSEGDMARQGDKWWMPTVKVPPEGLSDASQKWLQHQKELVGQVLKAVMAINADNGRSILGDSIYKIITDDLFDPNELLSSVDLSTEHKVADLKDRIEASVVIWQRKICNKLSWGPGVSVEKREQFEERAQTVLLILKHRFPGLPQSSLDISKIQYNKDVGYAILESYSRTLESLAFAVMSRIEDVLHADAIARDPMRTKSRRRPSLIDFPESLVLDAEAEQAERVRNNSVHWQEQDLEDGNTHSKGADGGGSKLKTVPRVPTKKIWHIQKLENVGGGLRSFTLR >Et_9B_065254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2806085:2809081:-1 gene:Et_9B_065254 transcript:Et_9B_065254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCSPGNGRSLHIPLPTTSTRDLSGLFLSRSVGAHGLFGEMPPSRRRAKEKKAPVAMASNGNGIDALPDEVLQHILGYLPPRDAVRTSVLARRWRDLWASTTSLRIIDEGNEDMDTLREFVDHLLLLRGGARIQFCVLRFGNIADEFSDSDVLRVNLWFRHAIRCQARQLQLVDDSLGSFFQLHDLPIVSRHLMELLLSGLVVKGNSLNFSSCPALEQLEINNCGLLNAARISSESLKRLTVTHCAFSDSFRTHIYTPSLVSLQLDDNWGNTPVLESMPLLVDASVRIANENVDSCGNSDCDSSDCNICHGFIHGNSSCVLLEGLSKAKKLALIAESKTFIFKMDLQLCPIFSSLKTLLLSDYFCVALNLHAISCILKHSPVLEKLTLQLFSKGPKHEMEMKGSYGSEQRSNAISEHLKVVEVKCEVVDKRVLKVLKLLCSFNIRMVRQPFIFTTLFEFARDMYAASALC >Et_7A_052008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3742262:3753130:1 gene:Et_7A_052008 transcript:Et_7A_052008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDMPTPAVEPKREQTSIVKVGREAWTQMFAVPHKVRLINILKNLHTSEVKIYSDASREFIELLDGESGGEVLREYVQESPRLVELVEAWRLHREKPGMAYIISLFATVLGHPDGRSRRHGLVKKNLDGVARMILEDKEKMGDVLQELNSGEYRRQNAALDLLAAIVRRGGGLASEIAERFDFKMAILPQLAGTLKKKGGGRDGGHRRKVAETGSTRQSFIGFAMSFLEVGNPRLLRWVLQQKEVYSGVLRGIGNDDAETVIYVLSTLRDNVLVEESLVPPGLRSVLFGSATLEQLSLISGNQDAGVAADIAHEVLVMVCTDPKNGLMPSTNLRGNEKRLLDLMKKLKPTEVAHHKSLLLAIVSKRLSLCSAYMNEFPYSIEPRPSRSWFGAISLAADMISSAKCDGIMRTLSSNLHGLVVLKCIVPHACSRAVINRGLLHSDDLVKHGSLRLVFESVNLLCYVIEAINGMLSSVRVKSEVNGSTKVTVKIDSFPVLRFSDAADASLFDKVHQGDEMHVKRWISLREYIQDEIRGAMPDPQVLLKLLSSASQKHQNYSQSIRKNDEQHSEPPQKKRRCDASSEVDDIIIGGIDVEQDKDTPEDLDSENDHASILCEIWGLDNQDPKVKDAKVIDNVFHSKLLDVLRLYLKMMPSSFDGSFDFFRIIPPNPLNLSKEEQQSLLSLLLEYSGQPEGCWDPERVPESMYKHLQPLMYIMLHSQIKKTRDQAYILVKAAMASSGAFDLNFAEIDAWLVFLPGYEAKWCVNENLRVGASNKLSHIVTPFICDAISVIGNNLYKYQEHTRKLITKSGKFEGCSPAFSPLIVCVLQKCLRLLDSESGSMKLHEKSIISLYVCNTIHLILQSQVDVRLLRDLISAVLNERFDKFSSEEMNSMACLAEWRPLINLLHFVRISDQQNYNLFATLEHFSEFDSNSLCSVTEKVEEMLSQRQIYSADDVATAFLFSVVCAPTKDIISGFPDLLAVVKTHFPCHLAFLSSVLYQQHDYLAKLLSYWPDMLCSIRLIKDDFNVDNVNTVEGKLQNYPVTAESASMSAFLGVSPFCVLLPSALSLASSAPDDIREAYNNTLLRLLQHKLSGCSFSELTLDLRVILFWSHHLLSSYTVNCSSTLEQLCQLCSALVDSVFERIQVLTAETAHSKSAGLSSPVQHIRDILDSVLQHPVIALSLSCSLSSYQDLAYGSLNHLEEVLTAFSKENLHHVDRFVLNLLSKLYDLLLVAGSSETHYTKDYGQSLLSLFATPKLLLESILLLFKEKFRLCMDKGDLGLLLPNFYMVRTLSKFMSPAKLLELANWMFTQLDNWCSTSSPAFVPTVLVCLYIADIAMEMLYCFLQQSDQRSASCLLWDLEIQNSDITAIQRAYHTILHFATKWNIEFADHCLLKMLGRIHHTERSAGWSTEYIAFHMIVSTMAMNTPIDILHHCIFPTSKVKAKAALLLLEASPTHMSLFGQIFLEVLKKDPSALQVKDYDSNASRAQEDGAILLLPVALSYLNSHTDGDGRFAEFLEPIPIFYCGLLLGNHGFSTWKSFVTRSVFEEDFSDFAPTSVKEAIIYFSGTLLGKSVTMLSSYFTLKDMSQKKRLEIIASIFPESSELLVSDVNDINPTAGQDTMKLANELFAKIALIKLLLSPRKSLSIRTFPWSDSTLSQFAKEQKVICLLEYVILKNIIELSSEIQTHLNQLKSIPFLDQFIRSSLLYRFSDPITIKAIRCILAVLSQGNVPADDILELILGHSNFVPAITCTGVSERSSACNTAGGLLQPCPSILKFIDSSFMEENKMLISVAEKRKIETVRLLRLLYDLKNRQQNNNSLNESRELVFLLLSVYGATLGETDLEIFHLMSEIESSECRTIAEVDHLWGSAALKFREELKLDFSKFDTHDAENVEITERRKALFRENIPVDSKLCAKTALQYCYKRSSRASAFSLEQLRQDSFADSFEVTSQRMDMAQIYDPMFILRFSIHTLLTGYIEPTEFSRLGLLAITLVSIASPDQELRMLGYESLGTFKKSLEASQKSKETWQLQLLLTYLQNGISEQWQRIPSVIAVFAAEASLTLLDSSHAQFAAISNFLMHSTCVNLQSIPLFPTLLRSSSVHFKAERLWMLRLLYAGSNLSDDAKIYKRGSALELALTFCSSPVSDFESKVLVLKVLKKCVKLPVLAHQLVKECGLLLWLSSCISIHHEGSDGVENTCSKVTELALEVVNDLISSRQITDWLQETALEQLSAISSYLYVLLVKDAKLLTGNVPLLTSVLRVITSTMRLSMKRKIYQPHFTLSLHGIFSMCQAIGGCSRSTELKLAIELGIDAILMNGPLPILSEMDKSRISMVVSRAILNIFWLHSNQSSVLEMSCEEPVRNESPLSKTLRWLVSSVILGRISSISHKKSGDLGHNTNSLVTLRCLLDDAHVNVEMVDKCSASDTLAIIILYLQNHAQNSSDSLPSVVMALCLLLLDTSSNPVNKYLGDSRETIEMLCSKIRCPAECNPAWRWHYYQPWKDPAMEKTEVERLEEEQACRSLLVIFSNAFGAGVSDFPKLSLKDVEKCGLFQWERDSMSPIRSSSHSSVPTGGRTFTVSGTGRTLTPPFLHARWVPVTTMGTTGSLVCSAACTNPFLNGSSLPVRDRVPSGNRMSDRLCFTTARATSFMDATAAAGLERSMRRWLAST >Et_4A_034140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30235007:30237395:1 gene:Et_4A_034140 transcript:Et_4A_034140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSTSGDERPAGMARDPSSGPGPGPQTHAEWAASMQAYYAAAQVPRAVLCFVFLFLPRKTLGFFHPGMQQHMVAAAAAAAGAGAPYGAPMPFPMYHPAYYAHPSMAAVNAQLFSHFSSTDECNCLLAWFCAGVRSSDCGSEESSDKRDASAELKVLPSAKRRKPSNAIVKGEPSQAGTTQDAAAASPFAAKGRSESRLSVPTPERTTLSNAATNLTIGMNIWGDCPAKAETSEQGEANAGAPSQHAGPLSQMDERELKRERRKQSNRESARRSRLRKQQECEELAQKVTDLTAVNGALRSELDQLKKACEDMEAENSQLLGEMEQNEGPGGVLTTLSIQIDASKAHPGNNGHLHKNNDDSKG >Et_2B_020847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24106951:24111246:-1 gene:Et_2B_020847 transcript:Et_2B_020847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRDPLALSQVIGDVLDPFVKSAAMRINYGEKEITNGTGLRSSAVLNAPHVEIEGRDHTKLYTLVMVDPDAPSPSKPEYREYLHWLVTDIPESTDVRSGIEIVPYESPRPPAGIHRIVFVLFKQQARQAIYPPGWRQNFNVRDFSAIYNLGPPVAALYFNCQKESGVGGRR >Et_3A_026619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10583676:10587518:1 gene:Et_3A_026619 transcript:Et_3A_026619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKLCLQSAQTGAQWITITAGAVYKLPRIFNLESVGRQEHEEAKMSSEWAWCWVIGVNAYMGQIHPCGMRAGPTKIRPGPAECHCKVQHLALSLAPAAARLSSSCPASPALLYPQPHAAGEHSPPPPERRTPTASKRSSLAAVDFLVALLSRLYWTGGDAGSVRLRGKRHGFIAAKFSGSRRSRVARHCFHQQHLCWPRIQRVTLPNIRLLPAPGAVASKSFDSPLVEKVFTAVDVEVIHLYRKPFLQESETKELLKKAKAKVSSNIVEIRIEQCFNIQLNSAPSPEKLATLHWLLAETYEPEKLQTGSFLEEEVSRSPSTVIVEVGPRMTFSTAFSTNAVSICKSLSLVEVTRLERSRRYLLRLESGSDPLDESQLSDFAALVHDRMTECVYPNKLTSFQVDVIPEPVRVVPVIERGREALEEINVKMGLAFDEQDSNYYTHLFRDDIKRNPTAVELFDIAQSNSEHSRHWFFNGKLVIDGKTMPKTLFQLVKSPLKANPNNSVIGFKDNSSAIKGFQVNHLRPMIPGSTSPLSTMMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFIVASTAGYCEGNLRLEASYAPWEDPSFSYPVNLASPLQILIDASDGASDYGNKFGEPLIQGYTRNFGMRLLNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVIRACAEMGEGNPIISIHDQGAGGNCNVVKEIIYPEGAEIDIRSIIVGDHTLSVLEIWGAEYQEQDALLVKPESRRLLESFCERERVSMAVIGKIDGCEKIVLIDSAAVEHAKTNGLPPPTPVEELEFEKVLGEMPQKTFEF >Et_4B_039146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8203181:8204830:1 gene:Et_4B_039146 transcript:Et_4B_039146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATESTALPDDALAGIFVHLPPHTLAACRCVCKAWRAVVDARKLLLPHLHPHALRGIFINYADYPRPGLFFARPSSARPGGTINGNLGYLPASTSSDISVLDHCNGLLLYGNTRELYVVNPATRRWERLPVLPDAAEDLAYLVFDPAVSLHYEVFMVPRVPEKPPHLRPRDFPERFDGASTAGLTIEALYPTRQAPPSSWWEEELEDPCRLMEWPPPSCTLHVFSSVARQWEQRSFEREGRAVGTVEDVRLDSFPWMYLGPRRRYAVYWRGALYVHCQGAFVMRIPMTSSKYQVIDTPIGIEEGKRGRSYLGGSEKGVYFATFYDYYLLRVWILDESRGEIKWELRHDIDLESSALWAALHNNNRQQIDGPWILDDDNNDPDNTNIMAAKPYFDWDSDDDNVLQYEDKDEYEQAYIYLLGFHPYKEVVFLMASYIGIAYHLNSSKVQYLGKLYPKDYDLSSVRGVHESFPYTPCLVGKLLGTIQ >Et_4A_035819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6742407:6746050:-1 gene:Et_4A_035819 transcript:Et_4A_035819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYPNAQQSLYPQVEQSHPELNPAFHAAPGTAASSSLYPTVNPDELAENLFPETKEEDDAAPPPPTTEETLVAVPGAQLHLVDPDRSLDLGAGTLSVVRLRQGDHSVAVLARLVPEKRHQRRGLFRLFSSGGGRSSGDGAEQEPVQWPLTRDVAAVKLDTAHYFFSLHVPHSDHDEDDAEENEAEAALSYGLTVAGKGQDNVLAELDRVLEEYTTFSVKQVEAAAKEKSEVMDTRAVAEITPEEAVGDKKELVEEQSAAFWTTIAPNVDDYSSSVARLIAKGSGQLVRGIIWCGDITAEGMRRGEEVVKTRVGPSGKPTQVKPSTLRRMKRARRVTKMSNNVANSILSGVLKVTGFVTSTVINSKPAQKFFKLMPGEVILASLDGFGKIWDAVEVSGKNVMQTSSVVTTSVVTHRYGEQAGEATHNYLHATGNALGAAWAVFKIRKALDPKGNMKKSSVVSQAAHAVAKESISRQKKKCTLDTVTVQKLRSKLAARAGIPRQPARDDPSIR >Et_1A_009322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38975253:38978191:-1 gene:Et_1A_009322 transcript:Et_1A_009322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNQKGSVLWDWENSPPIGANANENLKILPQAEPKFAGHESVHSSCGTFSSSSEMGYCSSKSSIASIDSSPKVGDNRELNFAPVKAPDKKTGKKADLGKVDTARSSPSSVIAVSSGEPVIGLKLGKRTYFEDVCGGQSVKSSPLDTSAVTPPAPPGKKAKVAQNTQNSYCQVEGCKVDLSSAKDYHRKHRVCEAHSKTPKVIVGGLERRFCQQCSRFHALSEFDQKKRSCRRRLNDHNARRRKPQPEPISYSASRLSAVFYETKAPWLKPTRAIGADGIDLSSQQVWNNSTPHGHHDFNGFIAFKRTSAKVLDQGADASAVVSNSNGAPDLQRALSLLSNSSADAASGQPIPQLHPSMTTIASSSNPVMQGSSPGLRQDGTALDHQARFQAFDPLNNGSNIATPHQLHLLKPPSYDSYPSHYDQMH >Et_5A_042400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9559104:9564196:-1 gene:Et_5A_042400 transcript:Et_5A_042400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAATSSLRSGLRRLSTCSTSASRRAAATTRRLLAPSPAPATSRLLRAAAGRGRCSRCSGAATVDRRGLATMAGASNYCFGHVLTSLRKPGGGEYGKYYSLPALNDPRIDRLPFSIRYLLESAIRNCDGFQITEEDVEKIIDWENTAPKNVEIPFKPARVLLQDFTGVPAIVDLASMRDAMARLGDDPGKIDPMIPVDLVIDHSVQADVVRSENALQANMQLEFNRNKERFAFLRWGSTAFNNMLIVPPGSGIVHQVNLEYLGRVVFNTDGILYPDSVVGTDSHTTMIDGMGVAGWGVGGIEAEATMLGQPMSMVLPSVVGFKLSGKLRDGVTATDLVLTVTHILRKHGVVGKFVEFYGEGMRELAVANRATIANMSPEYGATMGFFPVDHVTLGYLKLTGRSDEKVEMVEAYLRANKMFVDYNESQTERAYSSYLELDLADVEPCVSGPKRPHDRVALKDMKADWRACLSHKVGFKGFGIPKEQQDKAVKFSFHGQPAEIRHGSLVIAAITSCTNTSNPSVMLGAGLVAKKACELGLEVNPWIKTSLAPGSGAVAKYLEKSGLQKYLDQLGFNLIGYGCTTCIGNSGELDEAVAKAVTDNDIVAAAVLSGNRNFEGRIHPLVRANYLASPPLVVAYALAGTVDIDFESEPIGKGKDGKDVYFKDIWPSNEEIAEIEQSNVLPDMFRSTYEAITQGNPMWNQLVVPNAIRFPWDLNSTYIHEPPFFKDITPTPPGPRSVENAYCLLKFGDSITTDHISPAGSIPKDSPAGKYLLERGVQPRDFNSYGSRRGNDEVMARGTFANIRIVNKLLDGEAGPKTIHMPTGEKLFVYDAAMRYKADGNQTIVLAGEEYGSGSSRDWAAKGPMLLGVKAVIAKSFETIHRSNLVGMGVIPLCFKPGEDADSLGLTGHERYTIKLPSDVKDIQPGQDVQVVTDSGKSFTCKLRIDTLVELAYFDHGGILHYVLRNLVKQQQQQQQQINEHGSKNVNIPE >Et_2A_017003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30026820:30028110:1 gene:Et_2A_017003 transcript:Et_2A_017003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSDDNLWWSGSIRSPQPASSATVEWQRASISVAASPSGTSSPNHRHESDSDSDSESLIDVEGAPYARRTKSLETKQIRRMVSNRESARRSRRRKQAQLSELESQVEQLKGENATLFKQLTEANQQFSTAVTDNRILKSDVEALRVKVKMAEDMVARSAVSCGLGDLGLAPFLNSRKMCQALDMLTVTSLDLLGRDACFRRPTPARQVQNSPVQSTASLESLDNRKSSEVTSCAVDMWP >Et_6A_046571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17580111:17585935:1 gene:Et_6A_046571 transcript:Et_6A_046571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGLMPTAVPFRVRVQSLPSPSMLRTPVGLTRTEGLNPGHASFKFIWVQTHLFVSATAYSRCPPTTGPGRTAWATSRSDSLHGWSDAFPATKRLHRFSTATAYTWYPPMVWAGTAVWVTFKSDTFHCSADAFPANAQSKVKKKTLLRWKKN >Et_4B_038652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3777448:3779574:1 gene:Et_4B_038652 transcript:Et_4B_038652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAWWPLLGAAIPALVAGQAFRIKRRRDEEQRLKAARGREKSSDEVFVCERVCTSKRMLKKVGAFSKDPIPETCVTVCGVSELDACADACARTVCVNQHQVPNWNDVCLKRCQSECLKLSSTLIRWYFALSFWFWSLPISHHEDNSGGQSVTSRQEWRARAALEE >Et_10A_002338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9494432:9503855:1 gene:Et_10A_002338 transcript:Et_10A_002338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWPIKRRQLLSTHTIPHGFCFFPDISKKRHLICKYRDKLCTASKYVHPGLYSDSKTHFYVLWTYEISLTCAFLQTP >Et_3B_028729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18521043:18523404:-1 gene:Et_3B_028729 transcript:Et_3B_028729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHQLRARALPLILRSLPRLAAPHAPPLVSLQPFLSFATATASSVSSPVPLVAEDYLVSRCGLTPAQALRAAKKVSHLSSPSKPDAVLAFLGALGVPAANIAAAVVLDPSILCSDVERTLAPRIADLSDLGLSLDEIARLIPLAPNCFRNRFLRRNLEFWLKELGSFDKLLRAVRMNSGLLSTDPDRVAKPNLALLQECGLNASDIADVTLYSTRVLTMNPNLLREIIERVEELGVERGARMFRRMLALITVISKEAVSRRIQFLGKFGFSQDDVLRIVRKAPLVLGLSEQKIQGNLDYLMKDVGLDVAYISQRPVLIMYSVERRLLPRHWLVKVLKKNGFLQARSDFYSMASMGEKIFVQKFVLPYKDIVAGLADGYASRCSGKVADRVALQEQAMSLHMVLIAQDEKMIHAIILLSDTGMWSVTPWVDFPGRSETMDMQSHLDEFHRHENSSVCWFYEDNGHIFSLEVGHTRESETCIVYANGFDVGVL >Et_8B_060536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20242221:20243887:1 gene:Et_8B_060536 transcript:Et_8B_060536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGTSWLTAVKRAFRSPSKEDSSPTRKASRLQDATTPDADEEKGKRERRRWLFRRSSSPSPSPAPPPAAAPRSTATPPAVTAEEQRHAIALATAHAAAAEVVRLTNSGFVREQHYAAVAIQTAFRGYLARRALRALKGLVKLQALVRGRNVRKQANMTLRCMQALVRVQARVRDQRRRLSEDSMSLPCGSSKSSSYSVDTSMFWDSNKYTHHGYADDWDDRPRTIEEIQAMRKDAALKRERALSYAFSHQIWRNPSSGGEEMDVDGQPRWMASRASFDTNRSSSTIRNGAAAAMAPGRASMDHHRGPPLVKTLEIDTARPFSYSTPRRRHAPPSPMRHHHSPVTPSPGKARPPIQVRSASPRVCHTPSLLHSQRHAGVPNYMAATESAKARLRSQSAPRQRPATPERAGAGAKKRLSYPAADHPYAQSPSFKSAAGRFTSEQRSTVSSSCAESLAGGDVVVSPSSTTDLRRWLR >Et_8A_057748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6803960:6806070:1 gene:Et_8A_057748 transcript:Et_8A_057748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSSPPPPWLLRVGSAAAAAADQPASSSSSSKGGGRVVTATMDTGEHQQESSSSGGQSSRLAARGHWRPAEDAKLRELVALYGPQNWNLIAEKLDGRSGKSCRLRWFNQLDPRISKRPFSDEEEERLMAAHRFYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSTAYRRRKLNQAVQRKLDAASAGSDVAAAVAVAGSHHHFLDAACGFGVVGDPYYGFGTTLRHYCGFPFPGGADEPPPMPPAPFCLFPGPNADRRLPWQPDAPVGREPAGGRGRYVAEAPPLLVPLPNAWIDGVGGAAHLEPQFIVAAGAAFDGTMTRDGAGGAHFEAAAVSAAPAFIDFLGVGAT >Et_1B_013480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8943390:8946185:-1 gene:Et_1B_013480 transcript:Et_1B_013480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PWALSVRSRRLGHVSSHESSPWVGNVSSSGLDWSLESIAFVGLAFEFQLSLRPKRPNGTESPPTTATERPAASEMNGGEDGKQERHLVLAHKLFLLSHPDVDDLSKVSLRAEVLDAVKSDDMAPLFESLVAAGVLEPDAALLAEMRGRIDEEIRKLDEKIADAEENLGESEVREAHLAKSLYFVRVGEKEKALEQLKVTEGKTVAVGQKMDLVFYTLQIGLFHMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYFMATRNFKKAASLFLDSTSTFTTYELFPYDTFVFYTVLTSIISLDRVSLKKKVVDSPEILAVICKVPHLSEFLNSLYNCQYKSFFAAFSGLTEQIKLDRYLQPHFRYYMREVRIVVYSQFLESYKSVTMEAMAAAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQATIKQGDFLLNRIQKLSRVIDL >Et_4B_037067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14103191:14108421:-1 gene:Et_4B_037067 transcript:Et_4B_037067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAPEYRRPSRRRLPGWIWWLLGIFLLVGFMLFVLHHNQKEQFRPPVVDNGSEIEEVPHEKVNFTEELLSSTSFARQLADQMTLAKAYVILAKEHSNLQLAWELSSQIRNCQRLLSEGAVSGRAITQEEAHPIISRLARLIYKAQDSHYDISTTIVTLKSHALALEERAKAAIVQSAEFGQLAAESLPKNMHCLTVKLTEEWLRNPMHRSRSEEQRNSTRLVDNNLYHFCIFSDNVLATSVVVNSTISNANHPQQLVFHVVTDRIHFGAMWTWFLINDFKGCTVEVLCIDEFSWLNASSSPLVRQLAEVETQSYYSAGSKNIEREIKFHNPKFVSLLNHLRFYIPQILPNLEKVVFLDDDVVVQKDLTQLFSIELHGNVIGAVETCLESFHRYHKYLNFSHPTVSSKIDPHTCGWAFGMNIFDLIAWRKANATSMYHYWQEQNSDLLLWKTGTLPAGLLTFYGLMEPLDRRWHVLGLGYDLDIDDRLIESAAVVHYNGNMKPWLKLAIRRYKYIWERYVNFSHPYIPVDAADISPYFHEQHLMLGDKCVTPR >Et_9B_065364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4265261:4268808:-1 gene:Et_9B_065364 transcript:Et_9B_065364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSFRTATSLSPFLSAPSSSCSPRVLCSSLRFPRQRNGRQIGVRRRASGFDAFPPLPGKVFVDEAIGAEYGEGFETFRMDGPLKVDVDYLNEKLQECFLQRIRHAMKPDEAFGLIFSWDNVIADTDSLKLDAWKQLALEEGKDIPSTAHVRKSILHGAADHVLRKVLYWAKEEGRMEKLKARLIELYYENLFKLDTPVEGLREWLDAVQTAGIPCAVASSLDRRCMVEALDRMALSKYFKLDRKPSKCVVFEDDPRGVTAAHNCTMMAVALIGAHPAYELVQADLAVARYSELSVINLRRLFANKGIRFMDMQKQIIERPPPKRRLTVDTIF >Et_2A_016891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29157004:29159164:1 gene:Et_2A_016891 transcript:Et_2A_016891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAALQGAMASLSISTPGAASVNSFWGNQLPTFSAPSPGISFTIKTCPIVMRLKRWERKKCKPNSLPVLHKMHVRIGDTVQVIAGREKGKVGEVTRLFKHNSTVIVKDLNLKSKHKKGTDDEPGEIIMIEGPIHSSNVMLYSKEKSVASRVGHKFLEDGTKVRYLVKTGEIIDSVEKWVQVFKEGSSE >Et_2A_017614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4096957:4097726:-1 gene:Et_2A_017614 transcript:Et_2A_017614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDRPGYEPVTLQTYGETKPHRDFGKLMAIILDCKTPRKVICKCNLLAKNIVDNDVCDLCHDEHEDTSHIIFHCPFARSFWSVIGVSIPNSNSPTARKPWEVAWTTKPQFNTVIVSSSSADYLWGNT >Et_9A_061392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10966710:10969722:-1 gene:Et_9A_061392 transcript:Et_9A_061392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRIFAADRKARFKMLKEKTDKVRKRDVVLSFGNGGVAAAVRVVQLENSFPFGSCINCSVIKIPSFVDFFTTHFDWAVFENELKWYWTEAERGQLNYADADALLAFCERAGKKPARGHCIFWAVDGDVQQWVKDLAADKDQLASVVESRVRGLLGRYAGRFKHYDVNNEMLHGRFYRDRLGEDAPARAMFREAARLDPGAALFVNDYNVECGNDANATPEKYVELVRELRHGGAVVGGVGLQGHVTNPAGEVICDALDAVAAGTGGLPVWFTELDVCEADVALRADDLEVVLREAYAHPAVQGVVLWGFMEGHMWRKDAFLVNADGTVNEAGQRFIDLRKEWTSEARGSVDGNGQFKFRGFHGAYVAQVTTAAGTETLKTFTVDKGDAPLVLDVMDL >Et_1A_005644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12308308:12316174:1 gene:Et_1A_005644 transcript:Et_1A_005644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNAGGGRASSAGWFCRQRRTAAAMGGYELVKSDEAAGAGLPDLEVGGAKAALSTAPSQPAARQRLVSLDVFRGITVLLMIIVDDAGAFLPALNHSPWDGLTIADFVMPFFLFIVGVSLTLAYKRVPDKLEATKKAVLRALKLFCLGLVLQGGFFHGVHSLTFGVDLTKIRFMGILQRIAIAYLLAAVCEIWLKGDDDVDYGTDLLRKYRYQLFVGLVLSTMYTVLLYGMFVPDWEYQIPGPGSTVKSFSVKCGGRGDTGPACNAVGMVDRTILGIDHLYRRPVYARTKECSINYPENGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQFGHIIVHFEKHRGRIINWLTPSFSMLAVAFVMDFVGLRMNKPLYTISYTLATAGAAGLLFTGIYALVDVHGFRRPTIPMEWLGKHALMIYVLVACNILPMFIRGFYWRDPKNNLKREMEHAHRGENPYTPVDLQSSNR >Et_2B_021949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7250566:7253274:1 gene:Et_2B_021949 transcript:Et_2B_021949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDSYRENGAFPPWTLWKGSLGLELLNQTFYAENIFPNANPDGQYPPWIVGSDGENYPLTRRVQRDIWIHQHPPDCSDPSLRFLVADWERLPGFGIGAQIAGMVGLLAIAMKEKRILVMRYYNRADHDGCKGDSRSSWSCYFFPETTPDCQKRALEVMRRNDSLGTGVVKVKENYTSKKIWAGQIPRMWGQPWKYMQPTTQINGSMIMHHRGMERRWWIAQATRYLMRFPTEYMCGLLNVARHSAFGMQAAKLVLESIQNDSPKAGLPADSDIERLVWSDHKPYLPRPLLSMHVRMGDKACEMEVIDKTELYPDWHFYFTRVKRQSSSGNMSMAAYEASLGRETGTNNPLVNFMMATEADFFVGALGSTWCYLIDGMRNTGGKVMSGYLSVNKDRSW >Et_2B_020243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18283812:18292024:1 gene:Et_2B_020243 transcript:Et_2B_020243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATTRSMSALALSHSGLIRKKTCSSPSVSIHAALPGHVLAATASYQSFSAAHTSIRSQPRRSSPSAPGAVGLIRGSSVPSAASGQANDHTLALISTERSVSPSMAAFPAKNGWNRIAPSILGAPSSSVDDALTSTLCTMLPPALSPATKTRAVSPCSAIHSSCADAAHRSAAQQSSRDLTRIERIGAHSHIKGLGLDSSLEARDTSEGMVGQLHARHGAGLILQLIRQGKIAGRAVLLAGQPGTGKTALAMGIAKSLGAETPFASVAASELFSLDLSKTEALTQAFRRSIGVRIKEEAEIIEGEVVEISIDRPLSGAGAAAPTGATAPGKTGRLTLKTTDMETVYELGGKMIEALGREKVQSGDVIALDKASGKVTKLGRSIGRSRDYDAVGAHTKFVKCPEGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVIATNRGITSIRGTNYRSPHGIPPDFLDRLLIITTQPYTEDDIRKILDIRCDEEDVEMSADAKALLTKIGVETSLRYAINLITSAALACQKRKGKVVEMDDISRVYGLFLDVKRSTQYLMEYQSQYMFHEVAAGEADGDDGMHLAFSHSGFPRKKTCDSPCDSSHATVPGHALAATASYPSSFPAHTSILSHPSFSSAGAPGASGLNLGSSAPPTAPGHAYIQSPAEPAGPPSGSPSMGSFPPNHGWHRIAPSMLGPLLLSPAALSSMSCTMLAPALSPARKRRPTSPCSATHSSCADAAHRSAAQASSMAPSMLGPLSPDALISMSCTMLPPALSPATKRRAASPWSATHSSCADAAHRSAAQESS >Et_5A_042558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20271802:20273539:1 gene:Et_5A_042558 transcript:Et_5A_042558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLVLPLEDERKTSLTMTFTSRGIPSLIAIGPTGQTVTKRRKKRHTDDSRWRHVTNNSCEQEIVNFGFKLKN >Et_3B_031342.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26253092:26253928:1 gene:Et_3B_031342 transcript:Et_3B_031342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDGEATPMPAARTSPPPWAALPLVAPFLDAASLAAASCVSPSWHAAFADDDLWARLCVQHYPSALGLLLRQLPDVDNRRSSRSSSASSSPHRRLYALFRAASARSRALPAPRLALQDIAFAVDVFAADGKTALSFAVAADQAAGNVVKSNASAAGLFLFGVDLRGRNAAIGPGEWRVRWTAVRTARHGGAPAAVLMMDAKVPAARAASAVSFCGRGEAGVAERLAPPGCGGAKLEAEVVVELAGEERLVEKVRFGVLCECRYVSVDEGLRYLQHFLL >Et_8B_060284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8674114:8679728:1 gene:Et_8B_060284 transcript:Et_8B_060284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSGGALAWQQYRSLLRKNFTLTWRHRRSAALQLFSSLIFIFLIFCIDRAVRSRFSYTTAYRNVPDPKALVAPPIPPCEDKFFIKSPCYDFLWSDGGSATVKNIVDAIRRNNPGRQIPPEKVLGFTTPEEVDAWLFNNPMRCPGALHFQVINATQIKYGIQTNSTPVARRGTYEDPTFKFQIPLQVAAEREMARLLIGDPNFSWTVGFKEFAHPATETFSTIAQAGPTFFLSIAMFGFVFQISSLVTEKELKLRQAMSMMGLYESAYWLSWFTWEAFLTLLSALFTVLFGMMFQFDFFLNNNFGILFLLFFLFQLNMLSFAFMISTFIAKAASATTVGFAIFIIGFLTQLVTTFGFPYSSSYEKYYRIIWSFFPPNVFAKALNILGRATATPEDKGISWNQRGTCPSFETDCVITIDDIYKWLISTFFLWFVLAIYLDNIIPNVNGVRKSVFYFLMPSYWTGKGGKMQEGGLFSFFGSSRPADDAAPTDEDVLAEENLVKEQVANNEVDPGVAVQIRGLRKTYPGSFSMGCCKCRTTKPFHSVKGLWVNLEKDQLFCLLGPNGAGKTTTISCLTGITPITGGDEHLELFASIKGLPPATIKSVAEQSLAQVKLTQAANVRSVSYSGGMKRRLSVAIALIGDPKLVFLDEPTTGMDPITRRHVWDIIEEAKKGRAIVLTTHSMEEADILSDRIAIMAKGKLRCIGTSIRLKSKFGTGYIANVNFSGNGHTQSPNINGNTEAPVNPNIEAVKYFFKERLNVDPKEESRTFLTFVIPHQKEHLLTRFFGELQDREGEFGISDIQLGLTTLEEVFLNIAKQAELESSTAEGTLVTLNLSSGASIQIPKGARFVGIPGTETEEHPRGVMVEVYWDQDDSGSLCISGHSDEIPVPANVELRRPPSLSRRASTRRAGPVGYIIDPNQVQ >Et_8A_057380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:24070777:24081653:-1 gene:Et_8A_057380 transcript:Et_8A_057380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGRRGAKPGRKWTREPQLGDLVLAKVKGYPPWPAKVSKPEDFGQSPTPRKFFVYFYGTKEIGFVTLADLQEFTENTKNELLDRAPSIKVPKKYASSFDEAVEQICKAYDELPKSSETASTALPDLTGKPSEHLVKSPDDSETLGLGQMEVDNPINNSDTLEQGSGNEEDTEDVGCGRSDPSLAGSQKKKPLRKDSDLPKTKKSVVSQSAFDRYHEQEHSPTSVRAEMQGEEQPVEKESRPSDSFVLDPNLVVVCALEVPKKSKANKQLKNAERKENKCADVGASTGPIDPDGSCDVVLNMSTDKESREFKKSKITAKQSLANDSEKRTRNKVACGKPAKQPTGKSYAGFSSDKKPVPVSGQRKLDSRTDTPPAKRPRLMERTSETVKALVKSEMKFVVNNEKDNAVKHERSTARQTENNTVPKTGTSDDRARRSGSVLSPVSRLHSEAWKPGSGSATQSTVADSAKKGFSMEDASDRQLAKPKRRACRFDDDEEEGQRTPLHRTSAKSFSTHVVPIDKSGARGKFSSQASNSSVKASGGAREEKPRSAGMSPVKHEPVGSSPSQDKMHVMEQMTGRRSIPGLVDSSDGSGNKINLADRKSSGQTKMPAFSEVKKAQSTSKLPLQTTATSHSRHHAASEKNSLLLKSEHTKAKPKPGSQVATAVEKKVSTTLLTERTGKRDHLKEERSSFVDKAEASSESNPDSVKSMKHLIAAAQARRNLIASSQGKFDESLVDNCGLTSTPYGLPGLSPSPVLRIPSASRIALPESPGHPIVLKDLMELDHEQGKSPKLRQTSGSPNGGTDATIARDALEGMIETLSRTKDSIGRATRHAMECSKYGIAEEIVELLIKKLESEPNLHRRIDLLFLVDSITQCSHSHKGLSGASYVPTVQAALPRLLGAAAPPGASARENRRQCLKVLRLWLERKIMPEDILRRYMSDIEVPRDDSNTSFLLKRPSRAERSVDDPIREMDDMFVDEYGSNATTIELSGILSSKIFENDEDFPQNNGSSPFILRPVESDGRQENEDTVALTSIIALPETVTAAMENASELLRDKQQTDVAVLTEHDANQELGSEQALIDDQNELPPPPEGPPPLPSDSPPSPPPLPPSSPPITPPPPPPPLSPASPPPPPPLPPGPPPLPTQMPPLPSVPPPVPSSPSSLVYQPPVPEYFRPPNGTQQNQITGNTPIPAVGNATSFIPGGSINGQATVNFVPPMPAEYGNSNVVIAPHASNGNYQFRPTGVPFQQGNFSAFPSAQTPPAHSNPRVAHMNPMGQQAVPPPCNPYVVQSFSNSQSHYPSEEHWRVASGNFSPDDQHINWLAGGRALSCSEGSFMQDGYSRSNVDRSSMNPMGHQHPVLNHLPSGAPLPGHGVPQMLPARSDIRTVLTLDMGGPGHRGAFEFDMRQVI >Et_5A_041652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25448079:25448636:-1 gene:Et_5A_041652 transcript:Et_5A_041652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFPGYDNYYYGHIHAYPMPALPPLPIIIQIQSPPPPAARPRAALLAITWYHELADCASPSPRPPTTQASPTPTPLAQTPPPAARRRGRKPRSVKPLRLAKKEPATFISIASRATNLKALRNCVASCSKDVKAHVAKRRLLKKKNPLGALDLRKLAVSAGIGKVGVRSIAVVSNRKK >Et_1A_009341.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:39899272:39900414:1 gene:Et_1A_009341 transcript:Et_1A_009341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLQQATRAAKLPLAVLPKPKSKPHSSSASTPAPAHRPATNPTKPRKPGKAPRDLAPAADAAPAEASPRRPIKTPADLAAAIRSVADANVDAATSLAIKAAAAIPLPTHSLALLLRRLATQRSVAAARKLLHSLYPSESEERYSPAVPAPSGALLALADAVCRRGDPREISQLLPVLADHGVVADAHLYNSLMKAYVANSDAAGLLAVIRRMKRDGVEPDLITYNTLVYGLARAGMVDKARTFLDTMAAQGLLPDVITYTSLMNGMCVKGDALGALRLLEEMEAKGCEPNERTYNTLLMGLCKNKKLDKAVEVYKSMTASGMKLEAPAYATLVRGLCRSGSVADAYEVFDYAIETKSFTEVTAYSELENSLKWLRKMKS >Et_9B_065555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6839538:6840153:1 gene:Et_9B_065555 transcript:Et_9B_065555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVDSRKKWSSVRKDLGGVYKGLLPATKKSIAIKRISPESKQWMKEFISETTILGNVRHRSLVHLLGYCRHKHELFVEYDYMPNGSLDRDSTSSKVSRQDSSISMSGSMCYLTPGLFYLHRDIKLSNVLLDDEMNGRLGDFGLARLHDHGVDAHTTRMRGTFGYIAPELCRLGKATKATDVFAFGVFMME >Et_8B_060517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19643165:19647871:-1 gene:Et_8B_060517 transcript:Et_8B_060517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPVPRLDGASVQIGDLLYVCAGYESLDHVHSHVDVYNFTSNTWTERFDMPKEMAHSHLGMVSDGRYIYAISGQYGPQCRSSINRNFVLDTETKEWHELPPLPLPRYAPATQLWCGRLHVMGGGKEDRHEPGLEHWSLAVRDGKALENEWQSEIPIPRGGPHRACVVANDKLFVIGGQEGDFMAKPGSPIFKCVRRHEVVYGDVYMLDGTGTKWKQLSPMPKPDSHIEFAWVIVNNSIIIVGGTTEKHPITKKMILVGEVFRFDLETLTWSVIGRMPFRIKTALAGYWEGWLYFTSGQRDRGPDNPAPKKVVGSFQHSLSVMIVGMMHRKGLDLVVADGRPAGGETSLSGLGRVHGHQLSPLISVIHTEDMMLYAHEHLSVANECFFP >Et_9A_061494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12681418:12683467:-1 gene:Et_9A_061494 transcript:Et_9A_061494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PKLEKMFTSRRKIQKDKGVEPTEFEDTVAQAFFDLENGNQELKSDLKDLYINGAVQVDVAGNRKAVIIHVPYRLQKAYKKIHVRLVRELEKKFSGKDVVLVATRRIVRPSKKGSAVVRPRSRTLTTVHDAILEDVVYPAEIVGKRVRYHPDGAKIMKIFLDPKERTSTEGKLDTYSSVYRRLCGKEVVFDYPVAESA >Et_3B_031073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1385077:1385679:-1 gene:Et_3B_031073 transcript:Et_3B_031073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLIQHGARRIVVPGNVPMGCLPFMLTLYASPNASDYDRYGCLRSFNSLARYHNSVLWSQVQELRIRHPGVTIIFADYYGPVLAFLQAPALYGFDGRSTLVDCCGAGGKYNYNATVICGLPGATACADPSKAVNWDGVHLTESSYKYIADGWLSGPFAEPPILAVAY >Et_5B_044413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23895229:23901648:-1 gene:Et_5B_044413 transcript:Et_5B_044413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASSPPASPSSPNPNPSTQADPRSDDPMPDASGDEDGAASPAKREESGDPEDVKPEPEPEPAAAEEEEAPAPRKARLPRACNSKPKPQPPPRPPERPRRRAAAGGGGAGAGADDTPQCRVVTPLVSEPEAPAELPRWRLRCMWELASVLNFLHVFRPLLNITVEFTAEDLEAALITPNSTLADVHMPMLKSIPPVTRMAMGHATWVTVLCRKLRDWWQWVAEGDVPIVASQGTEIETYKTLEPATRLVILKAICDIRAEQEDIRNFIDSSFKHGHDLATFRKERVGGDSLGISYWYEDDETLGHRLYREIRRVEQIKKEPGKRSRGKGGSSAIAVVSYQWETLASNFDEFDDVADKLISSRNRTEVSLGKKLKIEYIPEIERIHKKKERLLKKQQREALLLDSYLTADGHTTGRSLRDRKPVTYTFDDYDRSIKEAIKITKKREENSAEPVANANRRGLIPRSEAPSNGKLNGPSPTDNELYDGSSSKSDDYRDSDGEQENEELDRSNRRRRRSQRYTQDFVEAVSDIDPNFDSDDDIMGEAVYDEEYLRSRKHQKTSSASEDDEEFRLEEDAEDDEEEEEYSLSTSEDIEEPQTQRHKKLETRGRRGTKLRSVGEIQTGLRRSKRSSRPRINYQQYDFSDTDTEPGKTRKSDASDPDVGTDAENDVELSTSSQEQEEEEEDSPNKHNGNNINNKMEEDQAVLENKDQGEEEEEEEQQQQQPQQHPVEKMDAPSRESENVGRTFLDLNELAPGGGFDDGPGLTMKDDMDNSTTM >Et_6A_047697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9932600:9937925:1 gene:Et_6A_047697 transcript:Et_6A_047697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVYGFKCIIIGDMGTTLTQPDRSDLLPLFRPVISSSVRCAARVSGVGKSCLLLQFTDKRFRSVHDVTIGVEYGSRIVTVDGKPTKLQIWATAGQEAFRSITRSYYRGAAAAILVYDITRRETFNHVASWLQDAKQLASANQTRLLIGNKCDLSDHRRAVSYEEGAEFAKEHGLLFMEASAKTAQNVEEAFITTAREVVNKIEDGHIDQERMQTPRISHGICTGCDQKKEPTDYKTYI >Et_2B_021732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4945496:4947163:-1 gene:Et_2B_021732 transcript:Et_2B_021732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLMKLFFDNSCRKEVKVVMLGLDAAGKTTILYRLHIGEVLSSVPTIGFNVEKVEYKNIAFTVWDVGGQDKLRPLWRQYLSNSDALIYVVDSLDRDRIGVAREEFQAIVKDPLMLNSVILVLANKQDQKGAMRPSEVGQRLGMYDLKNRTSRVVGACALTGEGLSEGMGWLAETLKDVHTWGSSVRF >Et_9A_062516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23702580:23704116:1 gene:Et_9A_062516 transcript:Et_9A_062516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FDEETLNDVIKMGFNKSLLIDSLQNRLQNEATVAYYLLLDNRLRTTSGYLGAEFQESLDSSFSHVTSETPTSATELRLHGHMESPGFGLRQHFAAERKWALSRAHPREIITEVLKALQELNVCWKKIGHYNMKCRWSPGCLESMMHNGHGFGAESTIIETDDLIEKSNHAVKFEIQLYKTRDEKYLLDLQRVSGPQLLFLDLCSAFLTQLRVL >Et_6B_048956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16023277:16025645:1 gene:Et_6B_048956 transcript:Et_6B_048956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVKSTLSNLAFGNVIAAAARNYQKEILANEKAQAAPESHDEVDLDELMDDPELEKLHAERIAALKKEAEKREVLKRQGHGEYREITEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKALAPVYVGTKFVKLDAENAPFFVAKLAIKTLPCVILFKKGIAVDRLVGFEDLGRKDDFSTRALENILKMKGIIDEKKKDDEDDDNEGDTSKNRRIRSSTIEDSDSD >Et_1B_009737.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:22382591:22384201:1 gene:Et_1B_009737 transcript:Et_1B_009737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLVAACHCSRRRRTRHLLLAAAAATAGYGIYRFYRHHRRRIVAALTLADAVSLVGSDLADFLRSDSDQVPQSLLQLSKLAATEPVSSAASSISESVASGVLRAISSHQQLHQQDQQDPQSSFQDRILDRLFSPAGAGFASAVVGSFARNLVLSSCNGRTAEAATREEPEWLAALCSARGKEAAADFVRVFVSTAVATYLDRNVAVCSSDQVPAGLSDPRHEARVKDLAVSVCNGAVETFLRTSRQLAKEASVARVEAVAFEHEARNFGSNGVICKVSSTLAVPSNRRFVLDVTGRVTAETVRSFLDFLAQRVSDGARKSIVVARDEVAERGLVAVKYLGAKSMTIFTISLALCMHILMGTRFLLPA >Et_3A_022988.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:13383048:13384118:1 gene:Et_3A_022988 transcript:Et_3A_022988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPEELVAAPKLADPYYSKTLPKLVLGGLLYQEIDSNAIKLTSTIQNDESVDACLGFFPQSDQFELLDSCNGLVLCRYGSRSTPNIYHYVVCNPVTRQWTAMPETHPEPKDFQYLAKLAFNPSWSPNFYVFNFQQMCSPGLETNGVSAVRIFSSENWTWFVDDHWRPKNDINVTSRPHFFLHGMLYVHTADDRVLEMKDLNQAEQTNHRVIELPGYRPSCPYDDFLYGCLGQSSGILQYAKPEIDGCKIQVWGLEQGGWDLKHSLNISAAFGRHTFVRYDIEGFLCCDYDIQIVDLERGVIFLRDCIENKLISYSLSTRMLTKIQDGFNRYMSFAPWYEMIRVESVAADEESTS >Et_9A_062525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23704893:23706757:-1 gene:Et_9A_062525 transcript:Et_9A_062525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASQSHGGGGGGSSSPPPFLIKTYEMVEDPVTNHVVSWGPGGASFVVWNPPDFSRDLLPKYFKHNNFSSFIRQLNTYGFRKIDPERWEFANEDFIRGHTHLLKNIHRRKPVHSHSLQNQVNGPLAESERREYEDEINRLKYEKSLLLADLQRQNQQHSGINWQMQLLEDRLMQMEQRQKNIVASLSDILQRNGVASGTLLETDHFSKKRRVPKIDLCVNDPTIEERQVPFLQAMDAVTETPSVFPEPFDRMEMSLISLEKFIQRASDASGEDMFIGSGEPSPCVTLEQMHSAPVETNINLQSSTGHAVAESSCYVQSPVLPLPDIDEDAHRTAEADMNSDTTTADTSQDEATTETGGSHEPTKVNDIFWERFLTETPKFYRADEAESGRQGEEFKIEPIEAKEDVKIAVDCSFLHHRDKVGQITEQMGQLASAGNA >Et_9A_061885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17460020:17461110:-1 gene:Et_9A_061885 transcript:Et_9A_061885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRENASERARASAGRPARAHAAGRPPYILYPPSAAARPIIRNRKPLLPRSRSEGGKHKTRQGRRIMGRSPCCEKAHTNKGAWTKEEDERLVAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWMNYLRPDLKRGNFTDDEDELIIRLHSLLGNKWSLIAGQLPGRTDNEIKNYWNTHIKRKLLARGVDPQTHRPINDTAAPRAAAPLLQPPVTVPAAKQFAVESSSSDAGSSSGGSGSGVASTGEPRCPDLNLDLSVGPPAAPADDTPTSRPPVCLCYRLGLRAGEACGCQDHAHSSGPQQGFRYFRPLLEQGQYI >Et_4B_038747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4618472:4621410:1 gene:Et_4B_038747 transcript:Et_4B_038747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQCLPEPLQPQYGGGILRNADFSAGLRDWSVFGYSSVAESVSATGNGFAVVVNRTRPYQSVSQKVYLQNDTHYTLSAWLQVSDGVAGVRAVVKTVDDFVHVGGVVAKAGCWSMLKGGLTATSSGRAELYFESNATVDLWVDSVSLKPFSKAEWTSHRAESTSTARRKTVRLQATDSSGNALPGAAVSLDAVRGGFPLGAAVSKYILTNTDYQAWFTSRFAVATFENEMKWYSTEPSPGREDYSVADAMMAFARSHGIAVRGHNVFWDQPSQQPSWVQSLPYPQLLSAASRRIRSVMSRYAGQVIGWDVVNENLHFNFYEGRFGWDASTAFYAAARLLDAGSALMFMNEYNTLEQPGDMAALPDRYLTRLREIVAAYPENGAGMAIGLEGHFTNPNIPYMRAALDTLAQAGIPVWLTEVDVAAGPAQAEHLEEVLREAYAHPAVQGIVLWSAWHPEGCYVMCLTDNSFNNLPQGDVVDRLIAEWRATPRGATTDEQGYFEAELPHGEYQVTVSHPALNASVSRSVKVQLGADSEYFIDIRTPGVIRSTIVEPARPEGSIPPSKTYEQCGHKSAKSLTLTLLGRPLKLAL >Et_2B_022753.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:4391968:4392183:1 gene:Et_2B_022753 transcript:Et_2B_022753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRATRRFVPRRGQVLRSVLASLLSWLQRRSMCLFRRRRSPRRRENGRVAARGRSRVVEPEPDGAARPN >Et_4A_035557.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29137510:29138583:-1 gene:Et_4A_035557 transcript:Et_4A_035557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASAPVAGATGDAPAQVVEDFAGLFQLLSDGTVIRRSDLDVFPSLPIPPTLPAVEWKDVVFDPTHDLKLRIYKPATAAGANNAGGDGEEASKKKLPVLVFFHGGGFCVGSYDLPNVHACCLRLSGELPALVVSADYRLAPEHRLPAAHDDAETLVSWVRDQATAAALAGDAAAAADPWLAESADFGNVFVAGESAGANIAHHVAVALGSPGEHCRVDPARVAGYALLWPFFAGEERTASEAEFPPGPLLTLPVSDQFCRLALPAGATRDHPALNPFGPRSPALDAVAFPPTLVVAAERDLLRDRDADYVARMKAMGKPVKLVEFPGQHHGFFVVEPFGEAGDELVRVVRRFVLRQ >Et_2B_020053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16441152:16444376:-1 gene:Et_2B_020053 transcript:Et_2B_020053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLVFFVLLLQLGPSSCGNVSEPEQCLASASSPDPRAVLEAVRFRGVHIVYMGERNPELHPALVRDSHHGMLAAVLGSEQAAKDAILYSYRHGFSGFAAVLSDRQAARLADWPGVVRVVRNRVLDLHTTRTWDFMRVSPSHSGGILSESRFGEDSIIGVLDTGIWPESASFRDDGIGEIPRRWKGQCITGDRFNASNCNRKIIGAKWYVKGYEAEYGKMNTTDIYEFMSARDVVGHGTHTASTAAGAPVTDANFRGLASGVARGGAPRARLAVYKVCWATGDCTSADILAAFDDAIHDGVDVLSVSLGQAPPLPAYVDDVLSIGSFHAVAKGIVVVCSAGNSGPYSETVINSAPWIVTVAAGTIDRTFFAKITLGNNSTYVGQTLYSGKDPSKSMHLVYAEDIASNNADDTDARSCTAGSLNSTLVKGNVVLCFQTRAQRSPSVAVETVKKARGAGVIFAQFLTKDIASSFDIPSVQVDYQVGSAILTYTTSMRNPTVQFGSAKTILGELIGPEVAYFSSRGPSSLSPSILKPDIAAPGVNILAAWTPAAAISSAIGPVNFKIDSGTSMSCPHISGVVALLKSMHPNLSPAAVKSALVTTANVHDEYGFEIISEAAPYSRASPFDYGGGHVDPNKAAHPGLVYDMGTSDYVRFLCSMGYNNSAISSMTQQHATCQHTPKSQLNLNLPSITIPELRGKLTVSRTVTNVGPAVSKYRARVEAPPGVDVTVSPSLLSFNSTVSRLTFKVTFQAKLKVQGRYTFGSLTWEDGTHTVRIPLVVRTMISKFYVNA >Et_1B_014353.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6584910:6585845:1 gene:Et_1B_014353 transcript:Et_1B_014353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKPPPAPLRRRPMAAGSWVRSLQCKSTAAEDVAARGGAAVPKKLRPLLPRSGCAGTGDARKSVAPSKPTKPASSSDVPLRQKPSAKPSPNRPTTKKTKPASVPQSPPPGPLGPLPALTELPAGHSSRQVVEIIFLSSWSPFPLPQPPPPPGAPTTGSGGAFPGEVEMLFRVHNPARAVARFEEYRAGVRARAGGASRSAADGNEMMRFSPAPPYGSSSSAAAGVGEDAMRVRTFDGSGGAHASARGPASGRRAMFLCRVIAGRVAVAEAGTGSDSELGKEHDSLRVGKGELVVFDRRAVLPCFLIIYKL >Et_7B_054549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:23028109:23028765:-1 gene:Et_7B_054549 transcript:Et_7B_054549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSSRRKRKLKRIPASRFHPLSRLLLFYGPFFLLQGGAPVQSRRKRKREQGEEASSPHLRHGPLRRRPPPPFATSSVFLPRFRALHRSPLLLGFFVSAGLPYFGNVVSTNPTSVRGIFRQVGEGGVGIFRQGRPGFYYDLAAAARGLDFSLEAAGLKRLRWRIMDCRDGVLLLATRDQFVLFDPVSRRRTNLRQAATELPPI >Et_3B_029688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27094205:27096634:-1 gene:Et_3B_029688 transcript:Et_3B_029688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKGKTRRTSSNLFLRITDICKVHSVGVTSGAGEKLKADSTVDSSEDGAHLKVHPHQVSDQESCSGSSTARYEEAVVEKLLDAISGLKLAYLNVQQSLVPYDPESLVIADGHFVSEIEETAGLKDLHFNVNKWSNPMYQSHVSSRIHEQQKLTVELQASICKKESEIVLLRSELEELEKSNMEVKEETDCKVFDREGILKGESIDMFIDFFERSSKCIHDFTKLVVRWMKVSGWDIDSSKFPVDKSFVYEKRAHQKYSVEAYFARAMFMGTKEDYFSTDSFDHIMRFKDPFDALVEAPNSVFGRFCREKYLVAVPCSMEDSFFGNLDHRAFIEGGGHPRTHFYQAFARMARYVWALLTVGRHLKPRAEMFFVRSGAQFQKKHMECVPAKLTPEEAKISVGFTVMPGFKIGCTVISTCSKKDTEQEIPSTYDLKKSWYVPPIFLRSAPYFIANPTRIHRRGHTCSLVILPPPLVDSLASYSSSASFSDRTCEKSSASVMLPNKDCLFSFSPSAFA >Et_9A_061933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18042087:18044694:1 gene:Et_9A_061933 transcript:Et_9A_061933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGKDLWGLLLVLLLGQLVAFSMAVSSFTSSLIANLGVDTPLTQSFFAYLLLTLVYVPIVLRRRQKLRISWYWYLALAFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWAIILTWYALGTRYSFWQFAGAGTCVAGLALVLLSDAKSPEDQDPGKMPLLGDALVIAGTVFFAFSNVGEEYCVKKKDRVEVVAMLGLFGLLVSIVQIFILERKSLEAVTWSPTMIGLFAGFAMAIFMFYTITPFVLKMSGATLFNLSLLTSDMWAVAIRVLFYHQQINWLYYLAFIVVAIGLIIYSVNESSSDDEIATTREEAAAQYQQLLGDDNSTGGSDSSSQERAQKDEVNIC >Et_9B_065066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20980829:20982192:-1 gene:Et_9B_065066 transcript:Et_9B_065066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKQFAKPPAPGPHEEDPAVLASETSFTVNEVEALYELYKKMSFSIVKDGLIHKEEFQLALFRNSKQANLFADRVFDLFDLKRNGVIDFGEFVRSLRVFHPRTPASEKTAFAFRLYDLRGTGYIEKEELREMVLALLDESDLCLSDSTVEAIVDNTFSQADSNGDGKIDPREWEEFVKKNPSALRNMSLPYLQDITMAFPSFVMRSEAND >Et_5B_043921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17907062:17910186:1 gene:Et_5B_043921 transcript:Et_5B_043921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAADTGADEKPRLGQPLLAPPLPPQQPYYAYPAAAYAPAPALPPQPPPTLVFVPAPCTPVILRLRRLRPRRAPSCLRRFSTRTLPALLVLALLGGLAFLLYPWPPAARVADIRVSRFRIDPPPLPALDVGLALRLRVRNPGLLLPIRYRAVSAAVSYRGHLLGSARAWPGSGELAARDEVYADADVWVDAGKVLDGVVELIGDLVAGSVPLEIVTEVVGAVKVLHFNIPVKNDNGAMDSGQSSSLETVNVPSDSAQHYRHVNSPVHIKPPFLHSLEMFDVLKPARDSDPTAPVINALSRRSEGQIEP >Et_1B_012092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28901780:28908536:1 gene:Et_1B_012092 transcript:Et_1B_012092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIASAFLYAVLTDRVLLVDGGKDLFCEPFPGTTWLLPRPSWWHRRSSPFRNLNIYDEESKESLGHMLQSGGAAASGDGNLSWSSARTPPFVYLHLAGGYGYHDKLFFCGKHQRLLRGVPWLLMKTDSYFVPGLFLTPPFRAELEAMFPEKDAVFHHLGRYLFHPTNAVWHNVTSYYNANLAGAGRRVGVQIRVFHEEQPREGVLDQLLSCVRDEKILPEKKTAAAAEANATSYAVLVTSLSSWYSERIRDEYGSVVAGGVHQPSHEGQQRWFDAAHDMQALSEMYLLSTCDVLVTSGFSTFGYVAQGLAGLRPWVMPRVPSWESDWRKGLDPRGPPCQRMASVEPCFHSPSAYDCAAGKDINLDKVTPYIRRCVDVSWGINLVNGNSSHWMSSELPRRKKKKAAAAEQPLTGPASIHDVPDDLLKLILLRLDSSVWIARAASTCKRWHGIIAADDCGAAFLRLSRALHPPDIIGHYHMCREPKEFIPSSPLSIDRSRFTSLDFLLGYNEISPKTFQYNNTTCKVADCYGGLVLLVNAKNLFLCDPLSRLWQQVPYYRRSWGDTATLIDGEADGGGISLSNFRILYIFYPGDEAHLFSTAEDGDWCPLEYTAPAVDLDSQTMAHVAGRVDGSLFLGHKSGRLMVLDKACSDFSEIDLPTSTDPSNPDNCSSFRVVHSSGAGMEPQTVRLVHVNGEDLEVFRQVDGGWVLEHSVPRLSEATRGLPDYREKEDCDWTTVEAVGDGAGFVVLSADYWCQRSLVFSVDLETMEMAAVPYETYRPPTCYYRLPWPSFQGVRRSRRIRRLQHEAAAAAEPPLTGPASIHDVPDDLLKLILLRLDSSI >Et_9B_064798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18684030:18685081:-1 gene:Et_9B_064798 transcript:Et_9B_064798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATAREARLYGPALAVRRWEYINAGLYVFATLLLASGLAALSAGGAARAALAVAAAALAVAAAVNAHDLWAHLAGVDWRVGLALYDVQLGLVELLVPAMHAVGCVLGAVGLATLVSQVVRPNKFLSWILPPIWMDGDSVPHFFRLITDDGVVGEGAGYSYGKERHAANLVLAAALLWLVGSVLNACQVYERADGRAQLLQASVQVPLLLGSLFFLLAAVANRGRVLNLGRAPILVVRSLGASAAVLLSRSWVWLSLLGSVLWLAAALFNVLKVFMMHQSDALRLEKLRGGAQERLSRDREGRVPLNWEEAARRRALPTELR >Et_8B_058604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6290696:6291370:1 gene:Et_8B_058604 transcript:Et_8B_058604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LYICQTSFRFVRSESRGHFTSTSISIVFSKMGRSFLIRNYILLQKHLLSPASCCKVITVLRNQERCYNACFIFSVQQIWFPGQRKAHLSV >Et_3B_031290.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24490057:24491307:-1 gene:Et_3B_031290 transcript:Et_3B_031290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAAAALLRLAVVVAALVASSADAAPLDPRQLLALRALGLGARRAASDPCGEDAAAVNASCDAGVPFRRVTSLALANCSDTTSVSAAALEALAPSLRALAFSDCPAAPPRALPPEELASGLRAFSCTASLRRLSAVWLSHLTNLTELTVADTPLATGSPTELAVVVSHMDHLTRLTVSNANLTGYLPHHWHCPNLTHLDLSGNRITGAIPDTLTLLGGITHLNLSSNVLDGQIPTSIGDLISLTVMDLSRNTLSGGVPDTVSTLLELEVLDLGSNRLNGSIPPFLAEMKGLRELNLENNDFDGVVPFTAKFLSRLRVFKAAGNGKLCYNRSVLSAELAVGVAPCDKYGFPVLPPPATAQSERNADYDDGGGDRDADAGADTKGGPSVAVLGVAIGLSCLAFLVILLVCICKVCR >Et_6A_047214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26902458:26904760:-1 gene:Et_6A_047214 transcript:Et_6A_047214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIFGKKKTPAELLRENKRMLDKSIREIERERQGLQTQEKKLIAEIKKVAKQGQMGAVKIMAKDLIRTRHQITKFYALKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMSQMNRQMNLPALQKIMREFEMQNEKMEMVSEVMGDAIDDALEGDEEEEETEELVSQVLDEIGIDINSELVKAPATAVSKPVAAGKVPAQAEAAGGMDGGIDDDLQARLDNLRKM >Et_1B_009697.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:16472107:16472466:-1 gene:Et_1B_009697 transcript:Et_1B_009697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHHLFAPPKLFLATSAAADDDEEVSAPEHASEAVMVSPTSILQAGSSHAPPAACHVRSGGGAVNPFSRGGSSGSDRRSQCQRRRPWEARPVGLGLAGALHGEATGGAET >Et_9B_064684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17635671:17644854:1 gene:Et_9B_064684 transcript:Et_9B_064684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLPILLLGLSLLVTTTNVVGDGYIYIYDCPGNASYTPGSAFQANLDALLSSLPGAAAASSGFAKNTTGAAPDQAYGLAQCRGDVNASDCQACLDATVRDVSSKCHGQRSAMVIYEACQLMYSDESFFAVFNKTFSFYSCDATWGTSHHFNEQLDHLIDNLTEKAAYGSPRMFAVGAVQSTPSLKLYAMAQCTRDVTADDCDNCLSRVVWAAPLDCDRKQSIWVFRRSCSIRIEVYEFYKAQAAEAPISTGAMHSLLAILLLGLPLLVTTTNGDEHIYIYDCPGNTSYKPGSAFQANLDALLASLPSSAAASSGFATNTTGAAPDQVYGLAQCRGDENASDCLACLDATVRDVASKCQAKRSAMVIYEACQLRYSDESFFSVYDLSFSVSLCSWRIVNATSPDLYDFQLHHLLFNLTVVAAYKSPRMFAAGAVQDTPSSKLYAMIQCTRDISADDCNRCINSIPEAVPYYCDTKQSIWLFRQSCSVRVDVYEFYDAQAVEAAMARPPPPPALAGGTHASSARRRANHSDAVIKVARSSSDIPSISLSTSNAADNLTLLMFSECTENASYTRGSAFQANLDALLSYLPTAAASSTGFAKNVTGAEPDQAYGLAQCRGDVTAPDCRACLELSAQQVVANTCPFKTGALIVYEGCLLRYSSASFFGQADDPSSSVPRHWCDPPPVIPGAGDNTTTTQFQQQRNALMGSLVTKASSGSPRMFAVGEADLPSYQKLYGMARCTQDLSRGSCGICLTNAVSAVTQQCGERVTGGRILYRSCSIRFQVYLFYDVQAAAEAMSTTTLAPVGIVGAANGTHLDGSGSTGSNHTVRTTLLVSIPVSVTLLLLCVVVCICKKRKTYKHVQNDSKRSNNSSISDKQYAAIFRIDSIFLTGYLDEEEMRGFEPIVYDLSTLQAATDNFSEQNKLGQGGFGPVYKGKLQNGQDIAVKRLSTISKQGQAEMRNEVVLVAKLQHRNLVCLLGYCIEEHERLLVYEFLSNKSLDKVLFGPTRQCELSWEQRYKIIDGIGRGLMYLHKDSRLNIIHRDLKPGNILLDADMNPKISDFGFAKLFNIDSSVKKTKHVAGTYGYMAPEYALKGIFSAKSDVYSYGVLVLEIITGWRSSEDLLKFVWMHWSQGNVLPLLDSCTTDKRGQQEMLRCIHIGLLCVQDDPQLRPIMASVVLMINSRSMTLPPPTEPVFAVPDERPREVALEPSINQTSISDLEPR >Et_3A_024060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16921352:16921999:-1 gene:Et_3A_024060 transcript:Et_3A_024060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRDLSSRLPANARQPSRMIPLESRLTTAPPEKTSETGGTTTVLCPLKSKHVSKTPTGGASGYCRIPALNASDSAAYAVGGSRVTTVPESMTDPEAKTEGGTSRSLPFTAMPSRTTKSGPGGSGTSKPGFAAAAPSVKNPEVAAVGGRQYEKALPNVPAVCDTSDCAPPPSPTSPSTWSSKPDSAWLHPNSKFSTTTSVPSTRVSEL >Et_4B_038334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28163625:28164405:-1 gene:Et_4B_038334 transcript:Et_4B_038334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGVQQVWLSSLVLLALFAAMRAADRALSGDVKLSSPVAASSRWDGGAAGNWYVGIWYHDISVRTPVWVPNRERPVSDPAASRLVIAPDGNLVLIDPSGSLVWRTNAGNANASPTAAVLHDTGDLVLAPASNASALRPHRDTWLPGGKLVLDKATGVSQGMKSWRARGDPAPGPYTLALQPDPPGEPQLVLLWGDLNGRIFAESTAAALSA >Et_8A_058248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3443221:3445000:1 gene:Et_8A_058248 transcript:Et_8A_058248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTYQRLASPPVGSRPRARAWALLRWAAVRLSCAARRRWCARARRLAWAGLCGRGAAPAGRGKGRSSATGVAAPVVGYDSASYARNFDDGAWKAEEGLSWAGPGGAFARASVSATAVATASVVHHLGSGRQRIKRFLFGLVDRAWPTHLVIWLAISLFGRLVAAEK >Et_1B_011329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20488249:20491787:-1 gene:Et_1B_011329 transcript:Et_1B_011329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLYPFTDIAADGAPSLDAAAGEEVVRVERAAALALGPRAPEPPGTLFLTTRRVIWVSEAEQGKGYAVDFVAISLHAVSRDPEAYPSPCIYTQIETVDGSDEESDESDSETNGEIELSKVTEMWIIPPDPSQLDGLFEAFSHCAELNPDPNAESDEENGWVHGDEGDEDMTDGSDAGCEFSDVNPIGQTDDRDITHAVVELQINDQRFEDAEEADEESQGNGH >Et_4B_036183.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:8272554:8273246:-1 gene:Et_4B_036183 transcript:Et_4B_036183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTTTLPLCAMRCSTLTTMKALVESSPEVGSSRNSTMGSWMMSMPMDTRRRSPPDTPRRPSSPMMVLAAARRPSWSISSRTRLRFLDRDSDRGSRNSAEYMSVSDTVSIGYRRSSCITYAEITLSSRPSRASPLSVTSPRRLSRWMRSASASISVLLPAPLAPSTARISPSRASPEMPSSRGRRVLPGSPPDVAASASTWRLRWNDRFGRFTLYVRSLKARTKCTA >Et_6A_046443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15086925:15091258:1 gene:Et_6A_046443 transcript:Et_6A_046443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKGRAAVPEWLNSPMWSVPQPPPAPPDPYGADLAPPPPPVVPKPAVPPPPSYAEADDDEEGDDGLAGAVIRAHLLSDFKAALSKKVINMGELRRLACLGVPDGGAGVRPLVWKLLLGYLPTDRDFWPHELQKKRSQYSVYKEEFLLNPSEKLRRIEESKLSRKKELKVERIGLLPRSEVTNEEHPLSFGRSSLWNQYFQESEMLEQIDRDVKRTHPDISFFSAKSNQESLRRILIIFSKLNPSIRYVQGMNEVLAPLFYVFKNDPDTSNSAASEADTYFCFVELLSGFRDNYCKHLDNSVGIRSTLSKLSQLLKRHDEELWRHMEVTTKVYPQYYAFRWITLLLTMEFSFNTCIHIWDAILGDPEGPPDTLLRICCAMLILVRKRLLAGDFTANIQLLQHYPATNIDHLLHIANRLRGTVAS >Et_2B_019189.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:16440367:16440735:1 gene:Et_2B_019189 transcript:Et_2B_019189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAVLRVPPAPIPPLLPAQARPLLLRRRGLGIRPEPPMASASASSSDAVAAKPEPAPVPQPPEKPLPGDCCGSGCVRCVWDTYYDELEAYNKALAAHSSSSSTESDSKVSSDSKPSDAAKS >Et_7A_051768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24331217:24332643:1 gene:Et_7A_051768 transcript:Et_7A_051768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGEGRMTMMIPCVTLNTGHAMPVLGLGTGSSRTPEDLAATLLHAVRLGYRHLDTASLYGTERAVGAAVADAVRSGAVASRADLFVTSKLWIADARPGRVVPALRESLGRLGLDYLDLFLVHWPVALAADGGKNLVEFDMEGVWRGMEECHRLGLARSVGVSNFSAAKMSRLLAFAAVPPAVNQVEMNVGWRQEKVREVCAANGVVVTAFSPLGAHGSDWGSNAVMESGVLRDIAARRGKTVAQVALRWLHEQGVGFVARSFNKERLKQNMDIFNWELTEDDKEMIMQIPQRRACQGEFFVSPDGQYKSLEELWDGEI >Et_7B_056018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9352145:9356097:-1 gene:Et_7B_056018 transcript:Et_7B_056018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPWILLDRVVNFVPEEAADKSTSEDEARSNRCRGKQSVVMFDPRKVQETMEDRVKAMMDSLQAMNPDPQVLEPPAINQLSMVYRTDVRLFGGLVSSSHESLVVLYAGHYQPGFTGSSTGCYLVYDASDNSLSPMPQLPESHTFRGLGAGAAILSFGKGSYLVAELVEARSGFPDAMLFLWQSPGQKKWIRSKVRLPPVFTPNFRFCIDMAFTLTESTVCWVDLSNGALVCDLRELAEPKFTFISLPVNSAVVRHRPRHEEFRTMGCVRGTIKFVALVGYNEGWPCEEIVLKTWTLPADLKGDWKLDRSLSVRDLWTSKSFLERGLPRITPLFPVINFDDPEVVYVTLDDVELKDAVDTFWDVDGVDAVRKALYVLGLNVVQGKVVCHTKIPDNLAPRLPYILPSEFSAYLQGSKDHQAGLEASEAGGSREGKKDAAEAGGSRERMKHASEAGRSRERKKHASEAGGSRERKKHASEEGGSRERKKHASEDGGSRERKKHAKLD >Et_4B_039650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25097610:25099095:-1 gene:Et_4B_039650 transcript:Et_4B_039650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGKKLAEDCRAPVPRYTYTNVTSYRLSSAEYTVILADCRDTHAVTKWPLLATDDVLHGNPSASFSGVDGMSRFLARAAILYHFLAIRASLLALIMVMAASKNFACIWWSSDSSSWQETGPRAQNMHGCKEKQRDVAWITELAPTGKASPENMILKYMHTSVSSVWISGKPAI >Et_6A_046276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1239816:1241389:1 gene:Et_6A_046276 transcript:Et_6A_046276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPAVITRSPPPPERLNPDPAPPVGRRRRAGEFVHGHVAGGLDVDRPRPQHRLPEPQPHGNPRLHRQRHGPRRLLRPELPPLLLRQPHALSGQRRREHRHVPRRLAERGPRAGGEPDAGVGDGELPAAAVVDADLLVLAVVRAHGGDDLWGVRRRGLGGQVVVEAGDGEGRDGEGRRARAEDEVGGAGERRGEEGEEEQRAAGEAARAAEAAAPGRARGELLVLARAVRRRNAEDLVLGDVHDVRARRRRQRPVEGQVLLLLQWRRLRRGPRGGGVGVGFLIGPSRRHWVDAVGHGAEGRKDEAEAELGCCELTEPRRATTKTGLLSFDHAW >Et_9B_066017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20678597:20682047:1 gene:Et_9B_066017 transcript:Et_9B_066017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCEVDRYQNGDKSEGVRLFYRRYGRGATKVLLIIGLAGTHDSWGPQIKGLTGSLEPADDEPTRADEEAGAGAADGIEVCCFDNRGVGRSSVPPHKSYYSTAIMARDALALMDHLGWKKAHVFGHSMGAMISCKLAAMAPHRLCSLALLNVTGGGFQCFPKVDGQMLSLAFRFLKARTPEQRALVDLETHYTKEYLEESVGSCTRRMILYQEYVKGISSTGMQSNCGFEGQVNACWTHKMTTKELDTIRSAGFLISVIHGRYDIIAQLCHARRLAERLHPAARMVDLHGAHLVSHERPDEVNCALMDLIKATKSGMQPEEWSSEPDNASANAPEHISYTASSSETGALISARPITVTMRTDEGANVAIAVYNLLGKLQLSFLYLIGLIVMGFEHMRNIVRVMKPEE >Et_3A_026745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18639215:18640073:-1 gene:Et_3A_026745 transcript:Et_3A_026745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVDWTALPVDALCCIAVLISDPVDFINLRFPWIVEKGEDDSDSDNVLFYFLASGDYHLTLEGMRVVGWERRDEVNLEDGDGGDGDDIDSPFWYYLCMRDVLNRVATVVKKIPGVWDRVRPGIWFMPTLAY >Et_2A_017936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8082208:8083061:1 gene:Et_2A_017936 transcript:Et_2A_017936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVISFPAAAAALVLLAALTVSATPHVYVVGGEERGWRKPTPSDETYNHWAARNHFRVGDFLQFKYDANDSVLVVSRDDYKVCGASKPAQRFDGGDTRFRLDHSGFVYFVSGAQGHCDAGQRMTARVMAPQEGGGRQVGGAPAAAPHAMSPHHGGGGGDDEGGSYGPGSGGGGGSGGGGSHKPAPGTRSGSGSGTVSRPPPSASGIASVRPPSLFAGYHVVVGPVLSTALLVLVLAA >Et_1B_013308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7256602:7258757:1 gene:Et_1B_013308 transcript:Et_1B_013308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTEWWEAAKAVVAAYTGMTPAVFFTVAAVSAALYVAASGLRARPAQVPEEEEERVFEPLPPPVQLGEVTEEELRAYDGSDPKKPLLMAIKGQIYDVTQSRMFYGPGGPYASFAGRDASPFEVEALQEWEYKFKSKYVTVGKIKKTIPVSEGDTERAVTADREIDASNMPTYEPAPTNQGSIEEKTRETLDVDVNAISNESEEKTKELPNLDVPNTSSHADAVEKQEETPNVAERNNSKTEDSVDPKGTPQEVDDKNMCKPEDATEKAKEAPDAVDGNNTASNEDAGQRNENDLNCRQGEERLKEALDVEAKNIE >Et_4A_033110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18580538:18581126:1 gene:Et_4A_033110 transcript:Et_4A_033110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPNVTAVVLAVMVCLAMVSLVAGTAGTATFYTPPYTPSKCHGFQNDGTMIAAGSDVFWSGGSPCDQVYEVTCTGATNAGVPHPCTGQSVTVKMVDLCPAPACRGTIDLSQEAFAVIADPNAGKINIEYRRYVAVWPVHAFRVTGRYTHSATTRSALVQ >Et_1A_007092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30815322:30815985:1 gene:Et_1A_007092 transcript:Et_1A_007092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPNVFRIDAAIAVAATCAGSMPRSRGRMTVVVSSIRKGTFMVMGSLGRRQTTRPDTSRLCSAAARRQRRAFRANVRSLHDALPSAVAFAPTGFAAVRSHVTTSPSLTVSSRAESASARAGGKNPTATASHACQLPPGRSPPGGTAASAAACGAPGASSPSRTTSNRRAGTTSWTRWGSTRSDE >Et_4B_038070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2595203:2603240:-1 gene:Et_4B_038070 transcript:Et_4B_038070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLGGGGGGGARPTLPPVSTQQLHKQVHIVYLGHNDGLSPSLTSRFHLQLMSRVFTKPEEARQAILYSYSYGFSGFAALLNSTQAATLSETAEVISVFRSRMLQLHTTRSWDFMGLSLHMQMEKSSQMHFKFGDDVIVGVLDTGVWPESQSFRDDPHLGPIPSSWRGTCVGGEQFDPATACNRKLIGARYYIAGFEHELGPLNTSGGAEYRSPRDRVGHGTHTASTAAGAVSPNASYFGGLGRGGAARGGAPRARLAVYKVCWFKDLTGRCSDADILAAFDDALRDGVHVVSASLGSTPPLTPLFATSTEIGSFHAMQLGVVTVFSAGNDGPDAAMVQNVSPWGITVAASTIDRRFPSVITLGNNASIVGESFIVEDMKADLVESSSVFTDGTCAFEQLINRTAASGKIVLCFATMGMVSSEGAALAVYAGKGIGVIFADTITRKSTQDNFLPTVHVDLQQGTRILHYIRSSSKPTVHIFPSKTVVGKTPAPAVAYFSSRGPSSISPNILKPDITAPGVNILAAWPPKSSPTVLPLDKRATEWNFDSGTSMSCPHVSGIAAVVRSVHPTWSPAAVKSALMTSAYMYDDTSDVMLAGGTLKAADAFDVGSGHVDPLRALDPGLVYDAGARDHVVFLCSLGYRAEQVRQMVLPSPALDTTCPGAGAAAEDLNYPAIVLADLNATVTVKRTVTNVGPNRAAVYRAAVLSPHGARAAVWPLELAFSPYHGDRASYYVTVTPAKLSRGRFDFGEIVWSDGYHRVRTPLVVRVTNLPDDGVRTHHGHAATDLPLEAAY >Et_2B_018861.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:10328856:10329113:-1 gene:Et_2B_018861 transcript:Et_2B_018861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDGPQVGSNVKYPQLVRAAKVCGNYGATFAALGATYMGIEQALEKYRMKKDYINGSVAGFTAGAAVLGFRGKTFILLQLLCLA >Et_1B_011248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19294687:19297863:-1 gene:Et_1B_011248 transcript:Et_1B_011248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLQKVGNLVKRSTVASSSLCQAVRCMSSSKLFVGGISYGTDEQSLREAFSNYGQVIEGMLLYCIIPFLRFIENRSYRAINCIVNTSARVIMDRETGRSRGFGFVTFTSTEEASSAITGMDGKGTTLVGITVFLKGGEVVMVVTLVTQVPIIPGNYGGGSFNQEGGMPDAYGGANYGSTSNNYASNASDNASVGKLDDLLSDLKVDSPGEAEAETVSEVGNGFADDDIKGDGQDDFLQDDNKEDESDDYANKRS >Et_3B_027677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11928928:11929636:-1 gene:Et_3B_027677 transcript:Et_3B_027677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTEKNTIIDELNAFMEGTGKSMMIAAMANFLEYDVYDLELTAVKNNTELRKLFVETTGKAIIDDEDTKLTLSGVLNFIDGLWSACGGERIIIFTTNNKNALDPALIRRGRMDKHIEMSYCRYEAFKLLASNYLDITENQLFELFGEIQQLLEEVDMSPADVAEQLMRTEIRDPDACL >Et_10A_001040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20399832:20403147:-1 gene:Et_10A_001040 transcript:Et_10A_001040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFPLPAAPAPSSGGGGSRGHHRRAHSETFLRFPDADLLLDPDGDFSFSDLDFPSLSDDSPAASEPTPPPAPPPQAGPAQAPRPPREAHSRSLSLDAAFFEGLALQGGGGGSSSGGGGGGHKRSGSMDGVSSPFEGESALSSGPPDYAKKAMPAERIAELALIDPKRAKRILANRQSAARSKERKIKYTSELERKVQTLQTEATTLSAQLTLLQRDTTGLTAENRELKLRLQSMEEQAKLRDALNEALREEVQRLKIAAGQAANMNGNPFNGGLQQQIPSYFSQQQHMSYLGGHQAQHRNPSHHQSSSNGGQSLSGQSLNHSMDFI >Et_4B_037019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13662976:13665347:1 gene:Et_4B_037019 transcript:Et_4B_037019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGAPRALSQRELDIQMMLAANVQLGTKNCDFQMERYVYKRRSDGIYIINLGKTWEKLQMAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWDVMVDLFFYRDPEEAKELEEEEAPVAPEYAAVAEYGAPATDTWGNDQWGAAEVPPNALPTAPVAEWGGVAAPVAAEGWDPAAVPSTAAPVATTGWEEGSAPAPTGWQ >Et_9B_066228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7798315:7800774:-1 gene:Et_9B_066228 transcript:Et_9B_066228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAVKPMKATSDGIFQGENPLESALPLAILQICVVVVLTRVLAFLLRPLRQPRVIAEIIGGILLGPSALGRSSAFLNTVFPKQSLTVLDTLANIGLIYFLFLVGLELDLRAIRRTGSTALIIAIAGISLPFILGIGTSVVLQHTVNRGVATGPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAIALSGTGSPLVSLWVLLTGAAFVLAAFFLLRPVLAWMARRSPEGEPVKELYICATLTIVLAAGFATDTIGIHALFGAFIVGVVVPKDGPFAGVLLEKVEDLISGLFLPLYFVSSGLKTNVMSIRGGESWALLALVVGTACVGKIGGTVITSLIVRLPMREAVTLGFLMNTKGLVELIVLNIGKDRHVLNDETFAILVLMALITTFITTPVVMAIYKPARRGAPYKNRAVQRANPEDELRMMACFHSTRNIPTMINLMESSRGTRKRGIAVYAMHLVELSERSSAISMVHKARRNGMPFWNKRRNGDGDHLVVAFETYQQLSRVSIRAMTAISDLHTMHEDIVTSAHQKRAALIVLPFHKLHQMDGHMDSLGDHYQHINQRVLHHAPCSVAILVDRGLGGAAQVAASDVSYSIAVIFFGGRDDREALSYAMRMVEHPGITLHVLRFLAPSSSNDRATDDAFLEDFRAKVANGNESVQYEEKVVEGKADVVEAIKAAGPCNLFLVGQGTPCMPLSDRSTDCPELGPVGSYLALPEFSTVASVLVMKQYDPTAKHYDLVEEVAEVSVDVDTPGPRGASSRGD >Et_3B_028393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14588914:14591876:-1 gene:Et_3B_028393 transcript:Et_3B_028393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPPQQQQGKEQPRLQKVMVAVDESECSRYALEWALRNLAPTMAAPLLLLTVQPLVPVGYVSAASFGAPLGTVPVAPELIKSMQDQQRQLTQALLDKAKGICADHGVAVETIVEVGDAKEVVCEVAEKKKVDLLVLGSHSRGPIERLFLGSVSNYCVHHCKCPVLVVKKQG >Et_5B_045173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10916557:10920866:-1 gene:Et_5B_045173 transcript:Et_5B_045173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAMGTLLPKLAMLLKDEYDLQKSAKEGIVFLQRELETMQISLKKVSNVPRGELDEQIKIWVRDVRELSYNIEDKVDTFMLRVDSLGPAEKHNFSWFINKCRHSLSKVKTHHKIANDIKDIKSKISEVVERHNRYKVQDVATNHSDIDPRIFAMFQNITNIVGTENASDDLMKRLCRADNTAKMVKMVGVVGFGGLGKTTLAKRCNTITSIVSPGPAAIMIPHSGVSSMSFSIRRRRSSSTKITDAPNMFPYSVNTWRLAANFSCLSLSTISI >Et_10B_002407.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18215830:18216186:-1 gene:Et_10B_002407 transcript:Et_10B_002407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLDDIPQDPDPQEASLSYFRVVSELRFLASVIAGVYVQRYGVMDQIVTVADIPKVAVLLAGAWTFGENIGLLYCNSIFAPEFPNLEPPYQPRRRWRRRRRRLPAPRINRNGWCMYP >Et_4B_036000.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:11717273:11717602:1 gene:Et_4B_036000 transcript:Et_4B_036000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMSILPRRMSAGSSLSRWLVVKTMIRSPPNDDQSPSMKLSRPESVTLLRFSSSSSEEAFFRRRPPSSRAPVRSREQSMSSMTMMDLSVVSTSSLRRSWLWRTTVSSMS >Et_1B_014179.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:34238706:34239002:-1 gene:Et_1B_014179 transcript:Et_1B_014179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEANGEDGLQQPQPPRGEEEQEQDEEEEGRQPPRQRQHGQSQRVPRPSSGQQQQPHPPQVAMRNVGYVGKHRLTAAIARLDQELQSLQVRTSLSIS >Et_3A_025243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28225783:28228456:1 gene:Et_3A_025243 transcript:Et_3A_025243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSPSSSWTSSSAAFSSVSSSASTSSCYVPPSWSTPTQHGKRKRSRCTRAKNAGAAAVPRRGSSIYRGVTRHKGTGKYEAHLWDKHACNPTTKKKGRQGAYDSEEAAARTYDLAALKIWGSRCELNFPLESYKQEREKMQRTTREGYLATLRRWSSGFSRGVSQYRGVAKHHNSGRWEARIGHAGGKKYIYLGTFGSQEEAARAYDLAALEFRGHSAVTNFDVSSYFLQQPGSKAQPKLVLQPKDEPVDNAPLSRAQPTPPLLQPKPEPEDDDHAAALPPGPALLDADDVDHAIAEILPALCMDPADFEARYPARRALAPGCGLPSDDLPLPDRVRFEDDIEALFDAAPGSGAVPCGDASRAYAAATAISSLASGRWL >Et_4A_034815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6877124:6880735:1 gene:Et_4A_034815 transcript:Et_4A_034815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRPPHARPSQSPWPRRGRLGGAAAPRCVGVAAEADASERVAPPPRVSFPILVNGCTGKMGVAVAEAAASRGLHLVPVSFSSRENLDRRIQIGHTDVRIYGPSAREDVLSSVIDEFPDVIVVDYTTPDSVNLNADLYCKFSLPFVMGTTGGDKQLLYKSVQDSKNYALISPQMGKQVVVFTSMMKIMAEQFPGSFSGYNLEVLESHQAGKLDTSGTAKDVITNFEKLGVPYDMNRIVKIRNPEQQLEMVGVPEEYIDGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGTIDAAIFLHKKVQSKDSKRIYDMVDVLREGNVQ >Et_3B_029130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22275872:22278273:-1 gene:Et_3B_029130 transcript:Et_3B_029130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEEPLLPIVQRDHRYTSKKDGRKSCDVPSRCAPSFCQNANLKDNYSFPNHLPPTNENTSMVSPKSFQRVHSSPSIFTSIKEAPCDDELDGPSHAVEYTPSIARQAIVSIILYISIGVFVYMTNAEGFKGKSTFKLVDALYFTIISLCTIGYVHEVEGLSWIDSFYLSVISVTTVGYGDYSFSTTAGRLTATVCLLVSTLAVAKAFLFLTDLRMDRRNRRTTKWILQKKRDNEPLVASLDNDAAVRMRITTLSYMRTIIDITGENPYLVLGVFTIGRLRTQRTLD >Et_9B_063679.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18777721:18778932:-1 gene:Et_9B_063679 transcript:Et_9B_063679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSDSSVQMAGVDQPLRVRVPSPREAAETPPYSVSFSVPASPSGLHFAQLGASASVRSDGGGDAVRTVSPSTTTEVRVDVHGAELLNQARYHSQRQRTEVSRSDSTRDRRFDHFKTFSGRLERQLSNLRGVAPVEAPETVEDSKITEADSGEEDDDDSSEEEVPTADRYFAALEGPELDTLRSTEVPVLPKDEPWPFLLRFPISAFGMVLGVSSQAMLWKTLASEPATSFLRVSPAVNHVLWWVSVALMVLISAIYLLKLVFYFEAVRREFHHPVRVNFFFAPWIACLFLVKGLPRPVWTLHHGVWYALMAPVFCLDLKLYGQWMSGGERRLSKVANPSNHLAVVGNFVGALLGARMGLHEAPLFFFAVGLAHYLVLFVTLYQRLP >Et_4B_038824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5034148:5036197:-1 gene:Et_4B_038824 transcript:Et_4B_038824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDLKKLRVRFSGLGKGGKSGSQTSTTTPQESTTLGRVEMSSGGEYDAAFAATIAAAAYAIAAREEKLATQKKPIPIEGAPPALTSVKRAGSIKKPAGSSKISSWFSGKEPEEDDDGPVRRPLKPTLAKPEDTASDYKVPPKMIESSLSVKKGSGSFNKSTDKKGSKKFQQEQAIQKAPSPARPATSYHSRRNGDTAIGGTGSKTNEWEKAKLARIREEYEKMMETIAEWETEKKVKARHKKEIKETELDRKRAKVLEEYNLEMSRINKISGGARSMAEERKYNDEKKIRDKANKMRSTGKLPRTFGCF >Et_2A_015762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17673110:17678630:1 gene:Et_2A_015762 transcript:Et_2A_015762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASAALKPSALDLLAALLTGRDPEGARWSALAENRHLLVLLTTSLAVLVGCGVALIVRRSAAPRATPAQAAPPRPLAAKKQDEPDPDDGRPRVTVFFGTQTGTAEGFAKALVEEAKSRYDKAVFKVVDLDDYAAEDEEYEEKFKKENIALFFLATYGDGEPTDNAARFYKWFSEVGNERGEWLSNLRFAVFGLGNRQYEHFNKVGKVVDQLLAEQGGKRLVPVGLGDDDQCIEDDFNAWKELLWPELDKLLREQDDSSAAPTPYTAAIPEYRVVFVKPEDAAYINKSFSLSNGHAVYDIQHPCKANVAVRRELHTPASDRSCIHLEFDIAGTSLTYETGDHVGVYAENCIEIVEEAEKLLGYSPDTLFSIYADQEDGTPLCGGSLPPPFPSPCTVRTALTRYADLLSSPKKSVLLALAAHASDPKEAERLRHLASPAGKKEYSQWIVTSQRSLLEVMSEFPSAKPPLGVFFAAVSPRLQPRYYSISSSPRMAPTRIHVTCALVYGPTPTGRIHKGVCSTWMKNATPLEESQECSWAPIFVRQSNFKLPADPMVPIVMIGPGTGLAPFRGFLQERLALKESGVELGRAILFFGCRNRKMDFIYEDELNNFVDTGALSELIVAFSREGPTKEYVQHKMAQKAPELWSIISQGGYVYVCGDAKGMARDVHRTLHTIVQEQGSLDNSKTESYVKNMQMEGRYLRDVW >Et_9A_061462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12278634:12280309:1 gene:Et_9A_061462 transcript:Et_9A_061462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTATAAAAARWRDLPFDLLQDISRRLHVVADYVHFHAVCKPWLDALPPQEHRPVFLPWLLAPRDGGGHRKARDVFSSKWTGWVKVRDRGWTISVDDGAAAFCCPLDDLPVSNNGFTDPLAGSASSIALPPYPDAIKSCVLRAGSVSCSDGTIFHYAFGRESAFMALLHPGDPDWTIVKTDPFANASDMYSCCLAYHDGNTVECDGNWFTIMSAKTAGGGRRRHGRIAINANEARTKLLWSYLVLSRGELLCVFVQVKTNTSHHKDVIFAGDQPGSLSDAMVVSVYALHCEGGEPRWTKRDNRSFADRVFFLGPEGSFAVNADQFGIGGCAYFVDRNLQEHRSNVVLEEQPRVYKYSFLHGMSEFVEQLQWINVGRMWLTPKPVISSTEGIRKKLQFGAYFRIYVGNLPRKVDSSRLRGFFSKDCKVADARVILDTKNSRSRGFGFVTMATTLDKEPAHAIAMLNGQCLDRRRLIVKMAANQ >Et_1A_006262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19837662:19841096:1 gene:Et_1A_006262 transcript:Et_1A_006262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding APVTVFKNRSMEAKIAFSQDSPPISIICAAKVADVALTTDPSLPVGSVPTLRFGSGDLLHGVNPILHYIAHAASFPSFSGQNAIEFGHVAEWLEYAPTFLSGSEFESACSFVDGYLATRTFLVGHGLTIADLAVWSNLAGIGQRWDSLRKSKKYQNLVRWFNSIDAEYGHKLNTIVAAYVGKRGIGKSPAPSLKEKVHDSKDPSAPEVDLPGAKVGQVCVRFAPEPSGYLHIGHAKAALLNKYFAERYQGRLIVRFDDTNPSKESNEFVENLLKDIETLGIKHDAVTYTSDYFPKLMEMAESLIKQGKAYVDDTPKEQMRSERMDGVESRCRNSTVEENLSLWKEMVNGTNRGMQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGMRRVEIYEFSRLNMVYTLLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKVEALIQFILQQGASKNLNLMEWDKLWTINKKLIDPVCARHTAVLKDQRVIFTLTNGPEKPFVRILPRHKKYEGAGKKATTFTNRIWLDFADASAISKGEEVTLMDWGNAIIKEVKMENGVITELIGELHLEGSVKTTKLKITWLPDIEDLVPLSLVEFDYLISKKKLEEDEDFLENLNPCTRRETPALGDSNMRNLKRGEVMQLERKGYYRCDAPFIRSSKPVVLFSIPDGRQQASLN >Et_7A_050923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12429732:12432149:-1 gene:Et_7A_050923 transcript:Et_7A_050923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GETFCLEALVSSKTQPPAGKMPSVYLYIPNIIGYFRIIINFIAFAVCYSNRALFAILYFFSFVLDGVDGWFARKFNQASTFGAVLDMVTDRVSTACLLALLSQFYRPGLVFLILLGLDITSHWFQMYSSFLSGKTSHKDVKHTGNWLLKLYYGYRPFMAFCCVSCEVLYIVLFLFADEKTTSLLTVCRGVLKQSPLIVFVFISTLVGWSVKQVTNIIQMKTAADACVVFDLKRGK >Et_3B_027782.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:1970809:1971039:-1 gene:Et_3B_027782 transcript:Et_3B_027782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITFDPRIGTDQSRGTYWNRIAEHYHENKTFVSDRNATSLEKRWNGIQKECVRFQKCIEKIERLRPSGVLCKHLW >Et_3A_027346.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:8352382:8353869:-1 gene:Et_3A_027346 transcript:Et_3A_027346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEWTPATAATEPHFLIVTYPAQGHINPARHLARRLLRATGARVTVSTAVSAFRKMFPGASPEEEEGTGHRDGAGVWHVPYSDGYDGGFDRAVHDHTHYMSHIKTEGSRTLSGVLARLRDAGRPATRVVYTLLLSWVADVARAHAVPAALYWIQPATVLAAYLHFFRGTDGADRAVAEAARSGDPWAEVSLPGLPPLRVRDLPSFITMTSKDDPYAFVVGAFRELVQALDREDTPTVLANTFDAMEPEAVASLRQHGVRVVPVGPMLSFLDADASKTPAPTQNSSSENDLFKQDGKGYLEWLDAQAEGSVVYISFGSLSVMSERQIQEVARAMKDSARPFLWVLRKDNRTSICGGEDVHLGGERGVVVEWCDQVAVLSHPAVGCFVTHCGWNSTLEAVACGVPVVAAPQWTDQGTNAWLVERIGAGVRADVADKQGVIEAGELRRCVDFATSEMVRAKAALWRDKARAAAAEGGSSEKNLREFVAQPLAAAGTD >Et_2B_022864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6964194:6965825:-1 gene:Et_2B_022864 transcript:Et_2B_022864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAATLKEDTPKLPSSAEVLAALQEIEGLDEDTELDLYDILTADACKFESMMALPVERRKRKVAGKKQSNQTSSFFPVA >Et_1A_007001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:356085:361411:-1 gene:Et_1A_007001 transcript:Et_1A_007001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPQATPPPAVRVLSRTPPPKSPSPSQAPATSPGPGAGAAAPSHDGVVVVGFVGASGSARIADRILDAHVFSPGGSARSLAGSVRYHRDGDRRMVFLHLTPQPTPLETGGANGGGDLPEMLFMFSVSPTACHIIIFLQEGFRFNTQILKKFRLLQSSKHAFAPFVRSLVAAAMPAKTAPADTTTRAPHRASSISPPTRRGGHNSRQSSAVSLMSGTGSNLSALPGQCIPVLLFVFEDDAVDVSSAIASLDDIGDTSSSIQASSTDGLSKQSLASKGSGSVVMLARAANKSEGSSGKKLHSSLEGQIRVLLKKCRVLAGTEPGHTGPRGVSNMSHHVPLFSIDTSRIAVLLNQSVCRKREPLDIIAGFFEDSLSSKSSLDILSLENNFPTNSDDTQLIKDFILRQSDALRGKGGYSSNASSGSVSGVGMVAAAAAAAAASASAGKTVDAPDLPSFDKWLSISTSILAALLNRRNALSVQLDNKTHTIPSEKNDQVPAAASNAIEITLSCLESNNGLNMKFSSSWCQRVLPAAKDIYLKGLPAFYPTNMHEVQLQKALRSFHSMVKGPAVQKFSKKLEDECRTIWESGRQQCDAVSLTGRPCKHRRHGDSSSSAEAEQHSSGYVFLHACACGRSRRLREDPFDFEAANISFNCFSNCEDLLPTLVLPRSSNSLSSWRLVRLGGAKYYKPTKGLLQNGLNPKDKYLLRWMISVGKGQVRNGIRANSITSSTRSNMDQKNPPVGASEVKPTPTQTMPQIKSSKLENSVKQPEMESVNSSGLNFGKGLPNFTMKKPFAEVVAGTTAKDFEFPALQYTRPPKSGGRKDERQMSIADHTNGRGHAAFSQGPVAENGSEKMTRNKNSENAGGNPFLQIGSNIVPVIVGNETRETNQPVQQFFVHVGFEHECPYGHRFLLSEKHLKEINSSYQRHFPNNEAESKHAQKMLQNASGLTATTVDVNGGRKNIRAFESSARNSEPQTLQPRIDAVASQPSRWLSDLQNDKKGDHFRSITIDDGGEAFSLMNRNLPIYMHCPHCRSSERKGHQDVQFAGSVSQLQRIFIVTPDFPVLLASCPLVQFEGSCLPSNISDCERQGLFSIGSRVILPPESFLTMRLPFIYGVETREGSTVPLKHFEHQPELTAWLVGGTALQIVSVGNVTEKDTNVKNMEAPGWQQPVLVDWSGEWGMLNLEGNA >Et_4A_033881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27663220:27666524:1 gene:Et_4A_033881 transcript:Et_4A_033881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGALRSRVLPLPLAAAEPHNLLLRFLLSTAAPRHGYHHRLRRRLAPTVYAAASAAAAAAEAPLPMTPRFSRATRHPGGATSVARVYADANAQRPKEYWDYESLDIQWGEQDGYEVLRKVGRGKYSEVFEGFRPGSEEKCVIKILKPVKKKKIKREIKILQNLYGGPNIIKLLDVVRDDESKTPSLIFEYVNNTDFKALDYCHSRGIMHRDVKPHNIMIDHEKRQLRLIDWGLAEFYHPKMEYNARVASRCYKGPELLVDLLDYDYSLDLWSLGCTFAAMIFRVDPFFSGQDNYDQLVKITEVLGTEDFYNYLEKYGLELDPQLERLVGRSHNRKPWSKFVSSRNRHLASPEAIDLVDRLLRYDHQERPTAKEAMAHPYFDPVRSSESSRSNSQ >Et_4A_034853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7158297:7160106:-1 gene:Et_4A_034853 transcript:Et_4A_034853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSPLTTLHSPFRYSTPSSACTASLPRRRRLGARYTRIRAIDLDQNTIVAISVGVVSIAVGIGVPVFYETQIDNAAKRDNTQPCFPCSGSGAQVCRFCSGKGNVTVVIGAGETEVSQCVNCDGIGSLTCTTCQGTGIQPRYLDRREFKDDD >Et_2A_016340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23532923:23535701:-1 gene:Et_2A_016340 transcript:Et_2A_016340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYPNPHHFGFSQEPPHPHPNPTTAGFPTMAVHPDQQHYDHFFPGHGQYFNSETLEAVLRPPRAAPDCGREAGAVTQQLGPRINGVPVPGAGQGGHAGRARKRPFRTDRHSKIRTAQGVRDRRMRLSLDVARDFFALQDRLGFDKASKTVDWLLTQSKPAIDRLAAEPSSHRGGDAAMSTSSPMSAELANKETAAAGSGKGEKAAGRNGASAFVDHGSWELDRLVAAAPVIGEYYYEFGEMMSANGGDGDDDGEYDEDGDFLDGNALGLIKPIFISVDYEAIYSLVKEYY >Et_2A_018828.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8402995:8404278:1 gene:Et_2A_018828 transcript:Et_2A_018828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAVYVCPACNTPCRDPADEPISKKSLLQQLPAGSVLAFQALAATFTNQGNCYRSNWWLTVGLVTFLSATCIFFSFTDSVKDDSGKVHKGVALPGRLHILNLTRKQQRAMATKLKKRRLKSVDWVHAFLSLVVFLTIAGSDVGLQNCFFPKANDDTRQLLRNLPLGMAVMSSFMFMIFPTTRKGISFCDTELPLPPTSENPVEKATDKVLTSSANLLQLLPAGAVLAFQTLASSFTNQGNCYHSNWWLTVGLVTFLGATCIFFAFTDSIRDCKDRVVGKGVALPGRLYVFNMPRKKQQPWMSQIREKRLKTLDWIHAFFTLVVFLTIAGSDVGLQNCFFPMANDDTRQLLKNLPLGMAVMSSFVFMIFPSTRNGICFDDTEYSVVPTPQPDTSTNRAVTQNDEETGISSSKEHSATTQSNGGAD >Et_7B_054509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22207299:22217578:-1 gene:Et_7B_054509 transcript:Et_7B_054509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLFYRREIGGLRVVVIPDDKILKQPVLEEKQRSLGNEVVVVEEPDEESLEEPPGWLPDGWIMEAHGDDNGSIYRYYTSPMSGYTFSSKVETLHYLFSGMDERFLVSKACTEENELHISHTWLPGGWVIEVRAGGKEMDKMYKFYAHLPTGKRFMSKTDVLRYAKEGKVSRLDMDVLCDTSTDDNILAHLEFSPDGLPDGWVKEVIFRRCNDGIRKDPYYTDPVSHHVFRTLRSVLTYLKNGEISRHAYMPRRSVTDMYSFDICADLPRNMLKRLKVEGQKKPKNMTAPDLDMELCNAEGDTSAGLTPQSDSKGDKYETVKATDRKGVCLDTSKRPRGRPNKTSKQTNVSNLDCHMNPHKKKQNVDVKIEVDIADGEDMPNEKTFEYTEKDTIVIQQVDNSVGRNRLLNDHESMTPSDQHEQENAA >Et_9B_064436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15182286:15184125:1 gene:Et_9B_064436 transcript:Et_9B_064436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FSSQRFTPGAEVLCHGPQPYNAEAYRQSSFNGTPPPKYQKSVYSPDGHFESHFDAVTYSPVTSNLSQQNSQSVSENQTSDLEVEFGQDEMRLKLQELEHALFDDGDENILRPDSPKESSPESSLSGPDISNGEARGPKQLLFDCAEAISEYSIDEAQSIIAELRQKVAIQGDPSQRIAAYLVEGLAATIQSSGKGIYRALRCKEAPTLYQLSAMQVLFEICPCYRLGFMAANYAILEACKGEEVVHIIDFDINQGSQYITLIQFLRSNSNKPRRLRITGVDDAESVHRTVGGLKVVGQRLEKLAEDCGVSLEFRAVAANIGDLTSGMLDCRPGEALIVNFAFLLHHLPDESVSIVNERDQLLRMVKGLQPKLVTLVEQEANTNTSPFLTRFREVYDYYSALFDSLDATLPRESPDRMNVERQCLAREIVNILACEGPDRVERYEVAGKWRARMGMAGFVPSPFNGNVIDGIRSQLKSYCDMYKFEKVHDGLHFGWGDKTLIVSSAWQ >Et_1A_004645.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:25512361:25514740:-1 gene:Et_1A_004645 transcript:Et_1A_004645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPLLLLLLARIVLVDHLPEEVGEDSFVARHLGVSGEVVDVNDEVPVAGTDVPDHVEVEELQTQRAAEATRDLVNEGGGRRHSVLQAHVLVVFLCGALGGEVGDLGEGDRTLGGGVVLGGDVGEAAALDACDVAADDVDLEDDAAVVDELLEHERRAELAEPVAVVDEGHLVGLGGAVGDEGLGDEGEPEGGEEAGVGEGVGVVDDDLAGDAEGAVVVEGRVLELGHDLEHGDAVVEAVDGVGRVDDGDGRVARSEGLELRAPVVAVELVDEEVEGALLGQGPRGVDGDEVHAARRRLRDEGGLDEALLLLGRERVADGVAAAVGIAGGGLGGGGGHRVGGNRWRWKCPLELGGR >Et_2A_015770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17779217:17784544:1 gene:Et_2A_015770 transcript:Et_2A_015770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATVTARRATALLPLLLRSPAGTRLPHRRVLSLIPPPCSHRLLLHPARPLSTTPFSVSASSTASNGAAAEATRELHLYNTKSRKKEQFRPRAPGGEVGMYVCGVTPYDDSHIGHARAYVAFDVLYRYLRYLGYEVRYVRNFTDIDDKIIARANQLGEDPFSLSKRFSDDFLSDMAHLQCLPPSMEPRVSDHIDQIINMIKQILDNKCAYVVGGDVYFSVDSFPEYGELSGRKLDDNRAGERVAVDERKRNPADFALWKAAKDGEPWWDSPWGPGRPGWHIECSTMSAHYLGHSFDIHGGGEDLIFPHHENEIAQSRAACCDSSINYWIHNGFVNVNSQKMSKSLGNFVTIRKVIEIYHPLALRMFLLGTHYRSPINYTIEQLNVASDRLYYTYQTLHDCEESCRQQQISTGALPANTLSYIQKLHDEFETSMSDDLHTSVALAAISEPLKIMNDLLHTRKGKKQEKRLESLSAFEEKVRVVLSVLGLMPSSYHEALQQLREKALIRASITEELVLQKIEERTSARKAKQYEKSDEIRKELAALGIALMDGPDGTTWRPSVPLCEEGVVAKT >Et_4B_039299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9710257:9713403:-1 gene:Et_4B_039299 transcript:Et_4B_039299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVALLAAAAALFAAAAAHEHHGEAPTCAGGGGRVLAEFRPGEITLDGHSDDWDGVEASEFPLLPALDPDDDKAYSGGKVAVKAVHDGVNVFFMLQVDGAYAYTKGESQKCPSVALMFQVGEEATYYNMGGCKDMPGSCTSKSCRGHEVDIMHFSVGNAIPGRLYGGNHIDNSVGNGGDRFGHLVDVYAWNPHCRYLDGIGPKENNSNAQNDWHGAWWHSSLTVHSGFVDDDSPYGKQDEKGTYYFEFSRPLRTMDQFQQDAQFTIGEPSKMAVAFWYPTDGKAWSNSDHYSAGCDWLALDIQPSFEAAHYRPAPNRSWDAATAFALLLSVVAIGLSIFVGYLVNKNKNNVQFTPLQPI >Et_5A_042431.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1029459:1030256:-1 gene:Et_5A_042431 transcript:Et_5A_042431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWFYKLRRKRSATSCGAIAGFVSEAAIHKPPPLPPKAPAVAPPCSPNRASYYVPSRDRERERRPLSPRPAKEDNPKLRDTQFPRSPQPSDIVFDVVPRRDDRFGGGVDTAMMLELKLRPILTKPAPRADDSSCGAASPTARVRRRRHAMSRRKPAAAAATPAETCRRSRRRRQIAGLRWLYESVVVEKDSAEPEEDFLASMAEMIAAHGVRSPRGLEDLLACYLALNAADHHRAIVAAFRRAWHLQHRAPAPTTRRERCSLHA >Et_3B_029282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23736764:23738963:1 gene:Et_3B_029282 transcript:Et_3B_029282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMEVNKNRWIEEWNAGRENLEFNFRWTRRSLAVVGLFGLAVPILVYKGIVREFVRSLPVSPYLNTPLRYYGGLLRFGSSWSRPETPFPAVSIAMKS >Et_5B_044221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21616294:21617914:-1 gene:Et_5B_044221 transcript:Et_5B_044221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLRRRLCLGGTRTLPFLRRFRIREVEAATNGFTTALETAGAARGTAYRACFAGGLVATVRRASSGEGRDRGDFYLEVQLLGRLNHRHVVRLHGFSEGHHHRFLVFDHMENRSLKECLHDPLRTPLDWRTRLQVAIDIAAALEYLYYFCDPPVFHVSVNSSNVMMDANFVAKLSDVSVISYDIKRAITDAESFQGQVEQRRRELVFQYGVLVLELVTGQSPGGDGELVRWVQEPGLAGSMHRMVDADLGGAYDARELRDLVLVARLCTRRGDEDADGDAAVVSMPQIVRYLQGKVERLGRCD >Et_4A_032707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12811954:12813532:1 gene:Et_4A_032707 transcript:Et_4A_032707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAATGYDNAPTDEKLLAYADLPIFGKAMVDGFAVRVSLSPGAKDPSLHMDLRPRRPLHFGLRAIWDDATPLQACDSEARLTPSTPLSFLFPIQMKAHRMSSRHILLSFLVDLHDGNQETSLQEEGKIFCDTCGDFPIYKCNKAIVETVETAYGHADVTYAIVTNGDQGQAILPFLGG >Et_5B_043834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16607419:16610644:-1 gene:Et_5B_043834 transcript:Et_5B_043834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIHRHMLLCFKLWPSYLLAPYDMVRCEAEEAKDGKLSRWYRTTPMCKWLAHNEDSVSIAALALLKQDKHAMMDSGIPFIKAYDMCRIIRAPHSAYPRSNHMFNKDMNNQSVIITKRLLDFYESFEGVSTFVDIGGCLEAT >Et_3B_027861.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23246658:23247356:-1 gene:Et_3B_027861 transcript:Et_3B_027861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMMGELHARGKTLSDVAEVLRSVPIHPRVPAAIKAAYALGCDLRVLSDANAFFIDAVLEHHGLRGYFTEVNTNPCRVDADGGRLRIAPYHDFDAASGEASHGCGVGTCPPNMCKGAVLDRVLREAEAARKRLVYLGDGRGDYCPSLRLRREDFVMPRRGFPVWDLICEDPARVRAEVHPWADGAEMEETLLRLVRRAIVEEAATMPLDCKLESLPVAVQDGMPMPLGVKN >Et_7A_050799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11111914:11114314:1 gene:Et_7A_050799 transcript:Et_7A_050799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLQANELLVGLFLVMVTIQSRLQRPTLTLQANELLTGLLLVLVSLLLIKQLRLASSKRRSSSEPSLPCPRGFPVIGNLHQLGALPHNSLAELATRLGAPMMLLRLGSVPTLVVSTADALRAAFQPNDRAMSGRPATYAATRLSYGLQDIVFSHPEGAFWRAARRASLSELLGAPRVRSFRDVREGEAAALVAAIADASRTGSPVNLSAKVMATSNMIVRRVAFGDDGGESIDAGAVLDETQYLLGAFFVADYIPWLGWVDALRGLRRRLDRNFQELDAFYERVIDDHIKKGEVSKEKDLVDVLLRLHGDPAQGGTFSSRSQIKGILTDMFIAGTDTAAATVEWTMTELLRHPDVLVKAQHEVRRVAAGRDMVREADLPGLTYLKQVIREAMRLHPPVPLLVPRETIEPCTVYGCEIPAGTRVLVNAKAIGLDPAAWGADAARFVPERHEEIADLSDHKPWHDSFALVPFGVGRRSCPGVHFATAVVELLLGNLLLCFDWRAPLGEVDVEEEIGLTVHRKNPLMLVAERRCVQ >Et_6B_048795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13841087:13846300:1 gene:Et_6B_048795 transcript:Et_6B_048795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLESLRPIRKQALWCNPTKSYESDIFKLLGVAQQSHWNDPVRGKPKHGDTKRGERDSVIISFPSGLGIGYLVGLWSVFCVILFKKSWRIAYYRLLTKFMTSMCLSLLHGQDGLKRQPTSAMRPTLLLLLVVASAAATFSPTAHTAVLGHHHAPSCLPLERDALLEFKRGITGDPAGHLSSWHEGEGDCCRWRGVRCSNQTGHVLGLHLRNVLHNLDTDDPELTALAGQISPSLLSLHYLESLDLSMNNLSGPAGRVPEFLGLLKNLRYLNLSSMPFSGRVPPQLGNLSNLHYLDLSSGTYIPGTPWYPNLHSTDISWLSNLPLRYLNLGSVNLSRAVDWAHTVNMVPSLKVLRLPDCSLTSANQSLPHLNLTNLVELSLSGINSGTNDLHNPAESCWFWNLTSLQHLELSGTDLYGQIPDAVGGMTSLRVLDLSYSNGGIDIMTANMTNLCNLEVLDLSYSELNGSMIDLLLPQCSTNKLKELHFGDNNFAGVLPNWIGSRLSSLLVLELFRNQLTGHVPSEIGMLNNLLTLDISDNRLTGPVPFEVSMLNKLTHLKLAGNNLNGMIAHELLDGLKSLTTIDISSNSIEIKVDREWLPTFRLEYAYFASCQMGPQFPTWLQSQADILELDISNSSIFDKLPEWFWTIFSKAQRLDISNNNISGTLSTNLKNMTSLKSLFLNSNQITGPIPQLPIFLEEIDISENFLSGPLPSNFGTPNLEYPSFATNRVSGPIPESICQLENLVMLNLAENLLEGEFPSCFEPRMIHILILHDNRLSGKFPSVFETCTELYILDLAWNNLSGRLPMWIGNFTKLEVLKLSHNLFSGTIPTTITRLQLLSHLNLAFNSLSGTLPRHLSNLSAMARGKGFYVVRNPISSSSPVLSERSTHLNLSVISKGQERYYVKNQIYCIVSIDLSSNHLTGSVPEEIVSLGAVENLNLSRNHLSGKIPIKIGAMRSLESMDLSENNLCGEVPQSLTNLTYLGYLDLSYNNLTGRIPSGGQLDTLYSQIPSLYDGNVGLCGYPLHKNCSENSEPKHGDNKRDGHEHDTTLMSFPFGFGIGYMFGLWVVFCVILFKKSWKIAYFRLFDKVHDKVYVFIVVTWARWAQKETAN >Et_6B_049941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18888085:18894599:-1 gene:Et_6B_049941 transcript:Et_6B_049941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEASAAADSSGPRFAPDDPTLPAPWKGLIDGTTLYYWNPETNVTQYEKPAAAAAVPPLPAGPPPATPAQVQEPAPGAFSQPNVQFGQAGHQSVYPQAGQVGQPQQQPQQSAQQPAFQHMPQHQASFPQAQQMQYQQQQQQQQQQQMQQQPPQYPNAHPQHMPYQHGPYMQSQQQQPGSQYSYQAGQQPQMPQTAYNQVQQSPMPQAAYNQGPQPPVPQPSYNQGQKPAIPQSTFNQGQQPQMPHSAYNQGQQPPAVRIPQSQVQHPQQSPRFHQPAQASQLPQVSQSQVHQMPPQQVQTQHGLQFIHQHGKQPHHGPTGAQLSQMSHTQPGSLLKDDIVGGHEGKQSGFSLPHGQQRGQAPLPNQQLPPSHQHPGVHTQLNISGVGGTSYPVKHLPGGSSPADNKNMSFMTPPQMHQAGLDTNYRQQPVSGHAVPNHVGPSPARPPMGFEISKNEGQRDEVHSCGRFDGANALQQQPKLAALPPPQNQLDMRNGPPYPWPENFGGYNMAPPHLVPNPHNHGPLPSEVSMRPPSRMVGTQDFPSIFSPDAYRQHHEVTAMGENVPAPFMTFEATGFPPEILREIHAAGFLNPTPIQAQTWPVALQNRDIVAIAKTGSGKTLGYLIPAFIHLRRCQNNPLMGPTVLVLAPTRELASQIQDEAVKFGRSSRVSCTCLYGGASKGPQLRELERGADIVVATPGRLNDILEMKKISLHQVSLLVLDEADRMLDMGFEPQIRRIVDEIPPSRQTLMYTATWPKEVTRIAGDLLRDPVQVNIGSIDELVANKSITQYVEVIPPMDKQRRLEQILREQEMGSKIIIFCSTKKMCDQLSRSIGRNFGAASIHGDKSQAERDHVLNQFRTGRAPILVATDVAARGLDVKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDCKYAGDLVKVLQGANQLVPPQLLDMAARCAPGAQRSQTSAMSRWDGPGGGRFEPGVGGSVAYGGIREAPGGFGGREGPGGFGIPEGPGFGVREGPGGFGGREGPGGFGGRDGPDGGFAGRDGPGGFGGRKGPGGFGRREGPGSSGFGGRGGRGSGGFGGRGGASPGGFGGRGGILLVLVGVAAVTFLVLVDEEGVTTPVLVGEVGEIFLVDVVGGGVDLGQGDGLIEVQMIGISQMDEEDMMAVEDLATRVGIGAIAVARIEAVHEAMTEEVIVGAGAGAGHTAEVAAGAGVEAGAAAAALVAAGAGAVTMAQDQNAGPEQDPVLMCCHQLEQDLL >Et_3B_029654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26864886:26866801:-1 gene:Et_3B_029654 transcript:Et_3B_029654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKTVDPFAKKDWYDIKAPSVFSVRNIGKTLVSRTQGTKIASEGLKHRVFEVSLADLQSDEDQAYRKIRLRAEDVQGKNVLTNFWGMDFTTDKLRSLVKKWQTLIEAHVDVKTTDGYMLRLFCIGFTKRRPNQVKRTCYAQSSQIRQIRRKMVEIMANQATTCDLKELVSKFIPEVIGKEIEKATSGIFPLQNVFIRKVKILKAPKFDLGKLMEVHGDYKEDVGVKLERPAEGNEAMAGQEVAAAE >Et_1A_008361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6965761:6970770:-1 gene:Et_1A_008361 transcript:Et_1A_008361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAAVAALFGIRDGDHHEQMKPLLVPPHQQSPAAPLNGASSSAGSVQATATPPPVISLSPKTLMATNRFVCEVCDKGFQREQNLQLHRRGHNLPWKLKQKDPLQAQRRRVYTCPEPTCAHHDPARALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPAGALAAAGHPFYGATGAANMALSLSQVGSHLASTLGADAHHHHPDLLRLGGVGAAGRLDQFLGQPGAASGFRPMPPPPASAFLMGGAPPEFGDHGDGTGSHAFLQGKPFHGLMHLPDLQGNGAGGQPVASSAPGLFNMGYIASSGNSSGTSSHGHASQGHMASDQFSEGGGGGASGGGGGSESSAAVLFGAGAGNFPGGHGDHQVAHGRMYNEQAMVLPQMSATALLQKASQMGSGTGGASVFGGLVGSSAPHGGRAPMVDQSQMHLQSIMNSLAGGGGGGGMFANSGNMIDPRLYDMDDHQDVKFSQQAAGRGGAEMTRDFLGVGGGGVMRGMPVARGEHHGGAGNMSPLEAEMKSASSSFSTGGRIQ >Et_8B_059961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4474715:4476931:1 gene:Et_8B_059961 transcript:Et_8B_059961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVQVPELVTNGSGSGSGLILNPAQRLLSRKGSLLSTPKTPSPAYGNVVTVLSIDGGGVRGIIPGTILAFLEEKLQEIDGPDARIADYFDVVSGTSTGGLVTAMLTAPNENGRPLFAAKDINNFYLEHCPKIFPSHSGGPLGLLKNMMTGPKYNGEYLHSIVRKLLGETRVSQALQNIVIPTFDIKLLQPTVFSRYDAKTDVSKDALLSDVCISTSAAPTYLPGHQFETKCKDGSARAFNLIDGGVAANNPALLAMTHVSKQILLGNKDFFPIKPADYGKFLVLSLGTGTAKVEEKYDAVQSGKWGVLGWLYNKGNTPLIDSFSQASSDLVDIHISVLFQALHCDKGYLRIQDDDLTGESASVDVSTEENLNRLVGVGKALLKKPACKVNVETGRNEPDVHRGTNEDELTRFAEMLSRERRARLQKQGQNLLPNSI >Et_10A_002060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2105348:2106280:1 gene:Et_10A_002060 transcript:Et_10A_002060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFRVFYRFYGEPRSWVFSTAGEDERGVGWRPVPPSKDDPKTYVTFAGRIDGSLYMGMWRGSVMTLDNASLEFNKVDLPSRMNTLGVNGPSAFCVAHSDSTSPRIVYVVGDELEVFRRVHNASGGGGGEWVLEHSIHRLSDALDRLPGYSAEKYDWVPKVVVGGVGFVVLLVSNCGKWRWPFSLCLDTMEVKVAPECYRETTDAPIYTLPWPPTTTFFACMLDDALTEREEEGTTLSSSSPISSRDD >Et_8A_057785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7152304:7159786:1 gene:Et_8A_057785 transcript:Et_8A_057785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPLLFLTIGVFVPFKGHRESRGEIQVSAAMVAVGELLASALVKMAVDKLGSALMEQASSMWNISKHLKDMKVTLETMGAVLKDAEMRSIKEEEVRLWLKRLKAATYDISDMLDDFEASTQAAGQMSGIIPVAIRGILQANKMKRMRENLLKIEAEHKSFSLTDITNPHVDQQPYDQRETTAYVNESEIIGRDAEKQALIGVLRANHDKTIIVPIYGLGGIGKTTLAQLIYNDIQFKKYDNRVWVYVSQVFDLKKLGRFIISQLQMGGGQQNTDTLHMINMCLDDLFHGKRILIVLDDFWEDKASELEKLKCMLRVHQKGSHMIDVIVTTRKEDIANKICTNDPYMLQPLNDRLSSFEHNVNKERLEQIGFNIAKKCGGVAIAAQALGYMLKFKDLRGWSELNNSDIWNQYSQDETVLPSLKLSYECMPPCLRMCFSYCAIFPKGHDIVEDDLIHKWVALDFINPSEGMEYVKQLLGMSFLRHSALPTSSRKHDVRYTMHDLVHDLAISVIGDELVVFDAATKRIAIEPIYCRYALLTNYERSKKLSSILANKVRSLHFQHSSKLDLPRGAFSFAKCLRVLDFNECSSVLLPASIGELKQLKCLNAPKVQNERLPECITELSKLHYLNLNGPSRISALPESIGKLGLLTYLSLSGCSGISKLPDSFGDLKSLVDLNLSHCSGIVKLPESFGNLKELFHLNMSGSGITELPGSLGNLTNLNHLELYECFDLRALPESLCGLTTLEYLNLSLCPHLGRLPEAIGSLVNLRYMNMSRCGRIKELPKSFQNLKNLEHLDLLHCCCLKGLPAALSGLTALKHLVMSYVSNGCRNKNELLSNGDISDSLGSLTNLKYLALSRSMNECFGYAGETSDRYVDFIGNLTNLEHLDLSNNRMLVNLPESIGNLKRLHTLDVSCCTELKSLPKSIDAINIKSLLVDGSSDELMDHVHSRFINYSLTLPLFKVCADNCCSNLHQLEGVNAGELRIRCLENVMFLQEAQRIKLLDKHNLLNLTLAWTLDADQLLEDKELLEQLVPPSCLQSLDLEGYRSISFPSWLMDISHHLPYISFICLTDLPTCNKLPPLGQLPNLENLFLEGLCCVRVIDRDFCGGKGAFCRLSVLSMERMEGLEEWNTTYYAEDGVEEYMFPLLDHLQVVDCPRLRLRPCPPTPRAWLIKKSDQVITSLEEIRNISHLSSNPSTRLIVRESGCQSLNLFHHFPTLEKLEISKCPNLRSLPESMRHLVSLLSLELRACERVSALLSLQSININGCKNIRFLPPCIQKLKRLLKLRVDWELKQWCESEENKTWRTYIQDKVSTRLKGILSSFELYYYINGTIYTLASI >Et_4B_036386.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21077824:21078096:1 gene:Et_4B_036386 transcript:Et_4B_036386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKFLHRLDLNMMPIRELLGGLTQHGTTKHRKAADSCFMLITRMVWKERNARVFNNTFSDVDMTLSRVWDEMRLWQTAGASKLRDFCPC >Et_4A_033360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21961702:21965367:-1 gene:Et_4A_033360 transcript:Et_4A_033360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLLHLACRHDAVECARLLLDGGGSGIAPAPVDVRDRLTRTPLHVAAETHSARCIELLLSRNARADLRVVEGRPLLLLEVAPMCRRVQVPWSPDKSFEELLAFLKGRDLMAVRLLAEKTRLDGEFAYRYAMEGCVPPLAMLLLEVEGKVLGLVSVVIEGIRTKRSIYNSIVDEALSMGDVLAPDDTLLCEIQLLHQFGLAAWRDQNDKRTLPPLLRAAKVGDMNVIKMLLMGNVDVTEVVSEGNTGNTTLHWCLSGISGTQDPRIVWVLLKNGARGNKLSLTAVQSSAATGNYKALQSLLLHEPYCVDIPSNLNFTSNIHGQFWKPSSVQMAQKIFLRLDGSTPVEIINAKSRPSKDKIGKKKVHVNKQMGFLLILLCTFLFGNVAEAATSKKCDSVDFATQIVGLCIAGSPPPSIDEGPADMTKGKIKTHPHHLAMKWIWIIGLASFVIFAVVSWYAFKWLKNHLLIWSSAPQAEAQVAAEGIELPMLTQGRSEERQCQRKRRRTATPSEDINIQAPPRVGEEEMAPCPSRVREEAMAPCPV >Et_4B_039533.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:20818671:20821019:-1 gene:Et_4B_039533 transcript:Et_4B_039533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRRFRRRLFSTSAARLQPSPSGAIFHEDKNKNGLHHQQQLEQPIARGHLGHARRVLDGIPAPDARAFNALIRAYSWRGPYHAAIDLYCSMLRRRVVPNKYTFPFVLKACSALADLRCGRAIHRHAAAAGLHTDLFVSTALIDLYIRCARFGAAANVFAKMPAKDVVAWNAMLAGYAQHGMYNHAIAHLLDMQANGGLRPNASTLVSLLPLLAQQGALSQGISVHAYCLRAHLDQNEEQVLVGTALLDMYAKCKHLVYACRVFESMPVRNEVTWSALIGGFVLCNRMMEAFSLFKDMLAQGGLCFLSPTSIASALRACATLADLRMGTQLHSLLAKSGIHADLTAGNSLLSMYAKAGLIDEAKMLFDEMVIKDTVSYGALLSGYVQNGMAEEAFLVFKKMQACNIEPDVATMVSLIPACSHLAALQHGSCSHASVIVRGLASETSICNALIDMYAKCGRIDLSRLVFDKMLARDIVSWNTMIAGYGIHGLGREATTLFLGMKNEDCAPDDVTFICLISACSHSGLVTEGKHWFSTMTHKYGIQPRMEHYICMVDLLARGGFLDEAYQFIQRMPLKADVRVWGALLGACRIHKNIDLGKQVSRMIQKLGPEGTGNFVLLSNIFSAAGRFDEAAEVRIIQKVKGFKKSPGCSWIEINGSLHAFVGGDQSHRRSPEIYQELDNILIDIKKLGYQADTSFVLQDLEEEEKEKALLYHSEKLAIAFGILSLSEDKTIFVTKNLRVCGDCHTAIKYMTLVRNRAVIVRDANRFHHFKNGQCSCGDFW >Et_5B_044507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:384153:385842:1 gene:Et_5B_044507 transcript:Et_5B_044507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQTMASLGGGGSGGRPLSRQGSVYSLTLTEVESQLGEPLRSMNLDDLLRTVLPAAPAAGEPPATAGKKTVDEVWRDIQSGGGGRQQQTMGEMTLEDFLSRAGVSVDGGAGCAGPHWLHQYPPPPPPQHQYLPLPRPLSAHGDGVGGFLSQVPGRKRGAAAAGVDGAVVETTVERRQKRMIKNRESAARSRARKQAYTNELENKIARLEEENERLRKLKVKQTNGTTTKRMLLLFVSWSRDIFGGLLKPAQTLKMLEPLEPPPEHERRPVPEHEPKKQLRRTNSASF >Et_1B_012503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32585548:32589712:1 gene:Et_1B_012503 transcript:Et_1B_012503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRADPARGRLAVLSAHLGPGVGSGEVAPTGLERSPVSAAAPGLRAGALSVVDARTGKRHEVKVSEDGTVRATDFKKITTGKDDKGLKIYDPGYLNTAPVRSSICYIDGDEGILRYRGYPIEELAESSSFVEVAYLLMYGNLPTQSQLAGWEFAISQHSAVPQGLLDIIQSMPHDAHPMGVLASAMSTLSVFHPDANPALRGQDLYKSKQVRDKQIVRVLGKAPTIAAAAYLRLAGRPPVLPSNNLSYSENFLYMLDSLGNKSYKPNPRLARALDILFILHAEHEMNCSTAAVRHLASSGVDVFTALSGGVGALYGPLHGGANEAVLKMLNEIGSVENIPDFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIKKLAEEVFSIVGRDPLIEVAIALEKAALSDEYFIKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGWLAHWKESLDDPDTKIMRPQQVYTGVWLRHYTPVRERVPPSQSDQLGQIATSNATRRRRAGSAL >Et_9A_061135.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17936543:17936914:1 gene:Et_9A_061135 transcript:Et_9A_061135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGKVSKLQELRLSLSRSRGGAGGPSANPGVGGGAAVSPRRLSSSSSSTASPPSSCVSSEGSPEAGVGGGGAPMILAGCPRCMMYVMLSREDPRCPKCHSTVLLDFNDAGAGAKSKGRRG >Et_2B_019844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14444925:14448914:-1 gene:Et_2B_019844 transcript:Et_2B_019844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSAARTLSPAAAARPPVLRHLRPARCSGGAAETATAAGPVRVTTVSNSGDSLPICRVLNGMWQTSGGWGPIDRDYAVDAMLAYADAGLSTFDMADHYGPAEDLYGMFINRVRRERPPELLEEIKGLTKWVPPPVKMTRKYVEDNIDRSRKRMDVAALDMLQFHWWDYSNPGYLDALKHITDLKEEGKIKTVALTNFDTERLQIILENGIPVVSNQVQHSIVDMRPQQRMVELCQLTGVKLITYGTVMGGLLSEKFLDTNVSIPFAGPPLNTPSLQKYKRMVDAWGGWSLFQALLQTLKKVSLKHGVSIATVAVRYILNQTSVAGSMVGVRLGLSEHIKDTNAIFSLELDEEDMNSITEASKKGRNLMDIIGDCGDEYRA >Et_3B_031014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10114149:10119939:1 gene:Et_3B_031014 transcript:Et_3B_031014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPESFRPGQDKSSMPQQLPGSSAGPDWSDLPADMLIRIFLDLDILDLFSVRAVCQSWRLSYLVARRLGPCSRCSNRSPCLLYSCKNDDPNTATLLRLTNKKLYRIVLPDPPLGSCFVVGSSGGWLAIADERSELILVNPITRAQIALPPPLTIKNVRGCYTADGVLDGYRLHELDLENQDRDTQIEPDDLNLEQGHFYFYLRIAMSADPSSGNCIVMVQHMHRNHLSFARVGDAEWTWISVDQRCCDYNNFFYNDSDGLFYAVRVTGEVHAIDLNGPSAGVKVILKLIAYLIDNYKYIVKSPWGDILQVWRYDEFITEDDKRTLKLKVYMVDLVGQRLVEIQNLKEHNSCCFPSSSSSSISSPYPSSTSPRYPGSLPYPDSAAAASATAPITPAPAPAPPTGAGPNSVAIGTSLIRTSPTSDAHISPLESVAPPPPAPHVKWKSEHVPDLRRSLLRPDTDREPAPRRRRLRSPSHRKDPPLPPPEGAGVGVSASGKWAEERGAVDRSELQGFL >Et_10B_002466.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:9150363:9150376:-1 gene:Et_10B_002466 transcript:Et_10B_002466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GPAC >Et_4B_038336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28244708:28251359:1 gene:Et_4B_038336 transcript:Et_4B_038336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGLCRIFEAALTSKSQNPRPKIQLLLLATSPDTESYYGLLMTKYRIWGDQGQAALEKASICLLNCGPTGTEALKNLVLGGIGSITVVDGSKVEASDLGNNFLLDEGCLGQPRAKSICSFLQELNDAVKAKFVEESPETLIDSNASFFSQFTVVIATQLRESSLLKLDDICRKADIVLVAARSYGLTGMVRVSVKEHCVIESKPDHFLDDLRLHNPWTELKQFAKSIDICDKDPVVHKHTPYIVILVRLAEKWADAHDGRLPSTRQEKRDFKDLIRAHMLNVDEDNYKEAVESSYKVSVTPGISDEIRHIIDDSSTEVTASSSDFWILVAALKEFVANEGNGELPLEGTIPDMTSLTEYYVSLQKLYQAKAESDCLAMEHRVKDVLKRIGRDPDSISRAYIKTFCKNARKLRVCRYRSISEEFTSPILSEVQKYFTDEDYSYAVNFYVLLRAVDRLAANYNRLPGIFDSEIDEDIPRLKTVAASVVSDMGLNGASLSEDLITEMCRFGGAEIHPVAAFIGGVASQEVIKMVTKQFVPLQGTFIFNGIDLKSQVLTL >Et_5B_043940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18082693:18087616:1 gene:Et_5B_043940 transcript:Et_5B_043940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTREEQPGSRPHPEDDEPETSRSEQGGGGDQASTPHGAPPPLRQQLMGACRADERLRPLLTLNVSCSAADDRFISHLSQHFEVSEVGMLARCLCVPLVSLRVGKVERHGALLRPTTSRGKLNLGLLPSSSMRLTFAGDDGYSEQLALLNNGFESEAVIEEILADTSGRSFQIRISQSEVYYYWCAEKSKEHGMELLTKMKNLLDGRPTLSDLTGISISRLDAFATHLHTYLLTSSIGDVKSLGSLNEFPSTSRAHDLYLQPHLAASKTSRFRASPVNATKASSSYQTRLSPRSGTFKDGVPRTSCTKVVGREKLKQRGEWSVASTAPIDANPLVSNSVTLDAPDEKSDADCAKSIVTSVPLDLPPLFPSLPSIYPLTTRPLPEVYSEKQFKPYYCWCPTGPSLQYSVTPLHLPATSVEPLPLPPLSALLSNELPPSSVPTFTPLMSDPIVHIPVIDVCSAGQAYLVSCGPSISSTVPLLPSLPLLPETESLVERSARETLMRLIASTPPANSPQLANILPVIVPKFPENISRVHNVNKNVGATDCCVDMFGCGIGAMELHSEDQASREYDSRAPSAESDDITNDCDMQHFQEL >Et_4A_034412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32291367:32297076:-1 gene:Et_4A_034412 transcript:Et_4A_034412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPPSKPSPPREDAGAEALAKYLGVSFALFLATLPGGASAARHVASLQSRGRLLASRLLAAEDNLRQLRARRREDARANARAAEIFAGHRAAWMEAERRLHARAAAAGDEAASLRSRLAEAEAEAAALRARVERLEREAAERDELLTALLAATRSGEDADLRGTEEEQIPDAREAEEDPMPAPVDPAEPCSAETTDAEALAVAAARYAQQRHKHEGFGDEFYTAAAAASGLTPWMERSKGWQDLNYDAAESTYNTKHSVPRRESPWKVDVESSGVPAKLRLLEQELINLEKVVNGDLSRIPLVMRKQVKRYQTLAGKIDDLCKRMQTSDPCDSTLSSELRTQRQTEFLLEAFHLQHRATETRQKLSALQAETAKSSFGDELTSEAKMCTRRALSSIRNNFKEIQRSLEIWLARILGDLEGMLARDGASRIREYFLSPYASALSGHDLNLTRRSSLVPSASSLTVMYTPGSSCMTHSVTSSSLALLMDTSSLEDVVVLAASGGGLLLPPPLWRCCCCWWCQARSEGLDDDGGGGDIGRKLCCRPAPPSPGAGGGGRA >Et_1B_011121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17821510:17831026:1 gene:Et_1B_011121 transcript:Et_1B_011121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAPPPPNLPPPVNWEALDELVLDFIRSDRLVLPSPAASPSPPSSPTSSSATTATSSSSSGSSSTSSSSYRSRQLIRRSRRAFEAGDVDAALELLRAHAPAALRDHRLLFHLHKQRFVELVRRGTEADREAALDCLRTALAPCALDAYPEAYEEFKHTMLVLIYDKDDQSSPVVNEWSIKRRFELAGLLSSILRANLQAYDPILSMTLRYLISIHKVFCSRQGISSPISELTERLLFEDRDPPVVDVQALAHAVELTRQGAVDSLKFAKGDLYQAFQNELCRMNLDLSLMDQLVHEYCIYRGIVEGSSHVCPGAADQKCSPNNDISSVNKQAVNKETTPECEMTNNDNGDCTTSDITCDDSWSRRLRRVRSSSSGQRRRKRWRGRVDDLDYACATFLDANKDNTMLHAIDMDENTITEKQDFEANSSDTANTEDQKYEVILEMRDLTKKGMASKVVEEITSIDPEFFSQNPFLLFQLKQVEFLKLVAAGDDAAALKVASTHLGPLAANDRTLLKSLKETLVTLLQFGEDVFTNAVSLPVLASSLQVAMSRRLGIEEPQLMKIEFLALPRADAIQLLMQYDGSAEAVIEHIFQ >Et_5B_045692.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:7668633:7668986:-1 gene:Et_5B_045692 transcript:Et_5B_045692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFFLFCLVSSQLAVTTVMAARPFPVFSFDAGATRGGVAEPPSAPGALHVHSLLEHRFAGSPLGSHHSSHSPFDRNFAGGKIIVGGLAAAIIVAVFCYIRITRAKKEKAIVEEPKS >Et_2A_016597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26416353:26419744:-1 gene:Et_2A_016597 transcript:Et_2A_016597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPCARAGAGDGEISSQSGMWMGRGQRPYLALLVGNMINLFKIKDQKKDDAAAAKGKPAVKKQSPGELRLHKDIAELNLPKTTKISFPNGKDDLMNFEATIRPDEGYYVGGKFTFTFQVPPAYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIVYGLNLLFSQPNDEDPLNHEAAAILRDDPKKFEKNVQRAMAGGYIGDTHFPSQRESISNCRVPVRVRTRHFVTVDNRDYDSGACILLADGQLMYHYLTK >Et_3A_026931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26895540:26896173:-1 gene:Et_3A_026931 transcript:Et_3A_026931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLEEETRGSEEGSFPWGDCIKITISVAIFPAFVVFICVLILYFGFEDPEFWVKLSGVQGLERSADAVNAPTFNITVRVDNQNNHYREFCGKGGSVDVAYAGVPLARGEFTEFCVPPGVVGSVPVVATSEGLGLPDDLYESMESQRQQHERVPLAVHVRMRGMTGTGKSPILYCMGNQRGRLYARFSLCVEMEYILIRKTVK >Et_4A_031814.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:17855549:17857346:1 gene:Et_4A_031814 transcript:Et_4A_031814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLTLFIPRFLLGEMAKKSRKRRKNPMGSLTDDLVVEILSRLPIKSLSRCKGVSRHWRNLISSEDHRRKLSQTHPGLFHCTINSGRFPKEARHFTHVWEGRRRPLVCPSLSFLPGYERISIVDCCNGILLCRFSERTSLDTFRYVVCNPAEKRFVVLPDSGCGSDLRVARLAFDPSFYPYFHVFEFVDDDSDGVAGAQIYSYETETWSYKESGWSTDTYIFDDSRCVFYNGFLLFVTGLCEVLVVDVEGDTWWMMPLPDDADTDRGLEPGFIGQYKGHLYYINKVEDKDDLSIWILEDDKKVEWVLKHQVSIQQLCEKSMSQFKSMYYHVIGILPDCNFILYIAGRDATLMAYDIDHEEVHAIQNLGPDSWSRFFLYVPLFKE >Et_10B_004403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6224550:6227858:-1 gene:Et_10B_004403 transcript:Et_10B_004403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPKGKKKEENDFLQKAGGHLYGPKTQHVAPKTADQSSPPTRGGVLVTRPSLPPTLPPAAATAGDPKVEHGPGSAPRFGAREASSSPARAPGEAPSPAPAAAAAAGRWRRAAGQDLRALQWRQVPVPLPFCSRVASSPCRRIDRRRQFTLLPELSGLRNALGQHLSANRDPDVATPMKNAHGVLEERDPSYDEMLKHMVGRITTKPGGKPEMGEASIVQRYDRPLPKVRTSKSEPGPSGSRQLPDGALNVQRIQEIIQLYQGKSNSHHGPMSIDDIASKFRVEASIVQNIVQFVSLPQDEGVKKEPE >Et_4B_037684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22506081:22527339:1 gene:Et_4B_037684 transcript:Et_4B_037684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHGIVDRLTGKNKEAWRDGRIRGTVVLVKREVLDFKAFHASLLDGVHHILGQEEGLGFRLVSATAADPDNGGRGKVGKAAHLEEAVVTLKSKADGETVFRVSFEWDESQGIPGAVLVKNHRSEEVFLKSLTLEGVPGKGTVVFIANSWIYPGNLYAQDRIFFANDTYLPSKMPAPLVPYRQEELKILQGEGNPGPYKDHDRVYQYDYYNDLGEPDKGADRARPILGGSQHPYPRRCRTGRPKTKADPDSETRLFLLNLNIYVPRDERFGHLKMSDFVGYSLKAIVEAVLPTLNTFIDDTPKEFDSFEEILGLYEHGPEAPNHPIIAAVREKIPSEFLRSLLPNGAHDHPLKMPLPNIIKSDVLKQAPENKFGWRTDEEFAREMLAGVNPVIISRLTEFPAKSTLNPSEYGDHTSKITEAHIQHNLEGMTVQNALRNNRLFILDHHDHFMPYLDRINKLEGNFIYASRTLLFLKDDGTLKPLAIELSLPHPDGQQHGAVSKVYTPAHTGAEGHIWQLAKAYACVNDSAWHQLISHWLNTHAVIEPFAIATNRHLSVVHPVHKLLSPHYRDTLNINALARQTLINAGGIFELTVFPGKYALEMSSDVYKSWNFNEQALPADLVKRGVAVPDASSPYGVRLLIKDYPYAVDGLVIWWAIERYVKEYLDIYYPNDGELRRDEELQAWWKDVREKAHGDLEDKDWWPKMDTVQELARTCTTIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRPMPEPGSKEYEQLEAGQKEADMVLIRTITSQFYCILGISLIEILSKHASDEVYLGQRDEPERWTSDARALEAFKRFGSRLIEIEDRIVRMNGDPALKNRTGPVEMPYMLLYPNTSDERGSPPWASPTASPSESRMLLTGIVDRLTGKNKEAWNEGRIKGTAVLVKKEVLGYDVGDYHATFLDGVAKILGRDEGVAFQLVSATARDPSNGGRGKVGKAAHLEEAVVTLKSKASGEMVFRVNFEWDESQGIPGAVIVKNMQNMEFFLKTLTLEGVPGKGTVVFVANSWIYPHKLYSQDRIFFANDTYLPSKMPAPLLPYRQDELKILRGDDNPRQYEEHDRVYRYDYYNDLGDPKNSDKVRPVLGGSQEHPYPRRGRTGRPSTETDPNSESRLPPLSLKIYVPRDERFGHLKFSDFLGFSLKALVEALVPTIGVVIDDERYEFDSFEDILAMYELGPEKANNSLLEEIRKNIPIEFIRSILPVGGHDHPLKMPLPQVIKTDVLNKAPNDKYGWRTDEEFAREMLAGVNPVTIRRMTEFPAKSTLGPSEYGDHTSKITEAHIQHNLEGLTVQNALRNNRLFILDHHDNFMPFLDRINKLKDNFIYATRTLLFLKDDGTLKPLAIELSLPHPEGRHHGAVSTVYTPAHTGVEGHIWQLAKAYACVNDSAWHQLISHWLNTHAVIEPFVIATNRQLSVVHPVHKLLSPHYRDTMNINALARQVLINADGIFEKTVFPAKYALEMSSVVYKNWNFNEQALPADLVKRGVAVPDASSPYGVRLLIKDYPYAVDGLKIWWAIEHWVKEYLGIYYPNDGEVRRDTELQAWWKEVREEGHGDLKDKNWWPKMNTVTDLAKTCTIIIWTASALHAAVNFGQYPYAGYLPNRPTVSRRRMPEPGSEEYAALERGGEDADKVLIRTITSQFYAILGVSLLEVLSKHASDEVYLGQRDEPERWTSDARAIEAFKRFGSRLVEIEDRIVKMNEDPELRNRTGPVKLPYMLLYPNTSDVDGKKGEGLTGFGNKRNKFFARLHLHQHHA >Et_4A_033491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23717051:23718137:-1 gene:Et_4A_033491 transcript:Et_4A_033491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGELDYLSENPRLMGMELEEKEYFSGSIIETKAQRDVPADRYSALKRSSSYNAERLGTRGSVSTGTLVSMEERNLHAGYGRQEKDGERWCLRLT >Et_7A_052252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6164693:6165516:1 gene:Et_7A_052252 transcript:Et_7A_052252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAHAAFAPTVAAYRGIGVSLSAHRSASSPSRMLRPAASSGAARNQQRLRTATACARPPGASHSAATRLYVSGLAFCTTEESLRNAFKKFGDLAEVQLVMDKVAKRPRGFAFLSYADEEEAKAAMEGMHGKFLDGRVIFVEVARRRAEL >Et_1A_006645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25671408:25672359:1 gene:Et_1A_006645 transcript:Et_1A_006645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKAQHRENEILQCYVGHVARASPCAVMIRKLPAARNRRTDSANSSTVARAASAFAACLRNPPTNASSPPSLAAAARLISLPDILASLSASSAAASSAAAAFASSSAVGTWPSSSAESVFSAAARRKPGTPGSTRCSSAAILLMLFSCTRRAAAARSSASARSASVNAICLRSAATKASSPGASDSATAPLTMRSASSAVSFANCRAVSSVAFAQSHDSSERISMSCSSDALACNALKPSSRSRSVSAAASSTSDRRDDSSASSRW >Et_8B_058788.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5422866:5423426:-1 gene:Et_8B_058788 transcript:Et_8B_058788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQAGGDGFRKTPWTAAEDEALRREVRQHGPQNWAAIAAAALPRRGAKSCRLRWCQHLAPELDSRPFTPEENARIVEKQRVHGNKWATIARYLRGRSDNAVKNRWNSALRKLQGGHGHAAEDADEQPPAPACLELFPLRAGGVREAGRLGVREEEGDVASLGLTLGLPAPSEAELATRIGPVRP >Et_5B_043760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15507043:15515067:-1 gene:Et_5B_043760 transcript:Et_5B_043760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFLLGGGALALLGAAAADAAVSSLARSKARRDGVTGDAPLFPAMRSAFLDASRALQSAVLLREPPLSGERLRAAERGLRRVLEEEGGGGDARRDADIRLLLALLAARDGRFDEALLLYQEVATNDPSDLRPVVLAFVLFGLIDQADEGMSVWAPRLRRLFLGGAAAADEALDPLRPLVNELVVAAALGGAPYAIREDEDHALTTQLVMLCAADRTDAWLAAALQDEEVPATKKMWLRAVRALSSSFGLLSSSPGHAAAPGHLSLVRSHPDLRGLDALLTPKAFLLEATRALASAALRDQPLSGEDIRVFCHGDFASKLVAKAEAERGDDGALRRRIELALLDAEDGRFDDALDALALIAAERPSDPRPRLSAAGICYLAGMMQEGNQWVSGIPEVIRHHRDNKRYLRDGVLAAALGGAPGAIAGFEGLVGFSAFEVIETALWANFLDGNISFLKMILLRALLWRALVAGKYKDYCQGKVTVTGAQQKNDLGLDDTISSRGKNTESTVYYISEPQKTKTLSPCRAISSATAAAALSAAEMRSAFDPYLPSFGLSSSPPAYAAAPGHLALARAHPDLDGLDEVLSPDALLLDATHAYAAAALRCRPISGEDIRRTRCEHQVAALVEMAEARGGAEEFASFWLSATPRTAASRTRSPRSSASPRSAPATRARGSPPPGSATCSA >Et_4B_038125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26478239:26481132:-1 gene:Et_4B_038125 transcript:Et_4B_038125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSRALGSAFAGITRTPAAAPTLHYQCGSSALMQWRWSQAGRARRFSSGRAARISMSLRAGIVGLPNVGKSTLFNAIVENGKAQAANFPFCTINPNVGVVAIPDPRLHVLSKLSKSQQTVPTSIELVDIAGLVKGASKGEVVRCFEDDDIVHVNGKVDPKSDIEVINLELIFCDLEQIEKRLDKLKKSKTKDAQVKVKEGAEKSGLEKIQEALMNGKPARSVDLADHEKEAIQHLCLLTMKPVIYVANVTESDLADPDSNPHVKEVAKVASDLQSGIVTISAQVEAELSELPLEERVEYLKSLGVAESGLGNLVKETYNLLGLRTYFTTGEKETKAWTILSGMTAPQAAGVIHSDFQKGFIRAETVAYDDFVAAGSLGAAREKGLLRLEGKDYIVQEGDVMLFRFNV >Et_9B_065615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8034739:8037255:1 gene:Et_9B_065615 transcript:Et_9B_065615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding INLFSTRHLLTTSRQGPLANSLNASWRAPPPSETTPAPQKFKVLHKQTRKETVRAFCGVRVLGFLHSTGQKQEQEEDRPCIESFSLCSSCSLWAMAFSLGSGRRRWPCRAARRGEKGGAGCRHFHVHYHLPRQVCAFNPLRLPAFFSLLPYLLIPPVLFFAVLAFLVCFCWFTLVYFVSALLRKCNSHECLQSTNDAKELFREEQGGKKAERAAKCVAECTVDFSTAEVCVEGEQIKEVFVDGISDEYCNMSRLDLTEDCADDEEHPSCEKLGEEVIIFETNCKEFREISYLDVSSEDQLQDMPVDCFAEEISNREFSTSCDTAEIFHLQSVEEFMENKMEVSANNSPETFELTDKQETTAIVVTSLDNSAHDGSEDEEKKEVEQEKYLKHRADLTDDIFGNKLPEDIFEVQHDEQKMVTDHISVISYESNDLNDKNVGLPFDSVCETKYSTVITSNASFHRTSSYEDNGHEEEVTENDMAKATDTTSLVSDFAENHQAIIRDEISVASNIANTPEVATQENSVKERTEDEDEHSCTPEHRADCLLSEGPRHHGGIYVESLMCWVAVKIKLRLWAAD >Et_3A_027084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32325270:32327462:-1 gene:Et_3A_027084 transcript:Et_3A_027084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGPPDQMPQATAPSCFLNLNWDQSMAAAGTADHLDPALSSMVSSPASNSTAAAASDGLALHGISPQPQYGGTPLSSPPKLNLSMMGQFHHYPPPQVGGAGAAGLPILENLMPMGHLDQFLSDPGFAERAARLSGFDGRAGGAGYGGAVPGQFGLPDAGPVGALKELELGNGRDESSVSDPASASAGMALKAPSDGNAKKRKASGKGKGKDGPVSTSAKDLAKEESSGKRCKSSDESNGAEENSAKGKAAQSTSENGGKKQGKDSTSKPPEPPKDYIHVRARRGEATDSHSLAERVRREKISQRMKLLQDLVPGCNKVVGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPQLDFNNLPNLLSKDMQQSCGPLQNSHFPLETSGAPMAYINQGNPLGCSLTNGMDNQSSMQPLDPAFCRPMNSLHPFLNGVSDSTSQVGTFWQDDLQSVVHMDMGQSQEMATSSNSYNAWLKVQSKARRPCIYREVSSQRKH >Et_6A_046510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16330719:16332094:-1 gene:Et_6A_046510 transcript:Et_6A_046510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAGFKFVPTDEEIVVDYLRYRAVNQPLPSPVIIDKEILDQNPWDLVPESSSEKYFFYRRARRWVTCPRWKRAAKDGFWKASGKEVPIFSRVSDLQVPLLVGIRKTLVFYRGKPLAGERTDWVMQEYRLAGAGLTPYRVTKVQTLAQKLDPPRGTAARIKNSTIMLKPDESWVVCHMYKKIRSTPHVVEENNSSGGEEGEEKIPFFDFLGVGNHESG >Et_10B_003337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1892813:1894442:1 gene:Et_10B_003337 transcript:Et_10B_003337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPADVDLGKLSYEIFSLLESKFLFGGGVASVPGTPGRPGLAGGGGGEERGRVRVLSIDGCGPGPGDALLAAAALARLEAALRARSGDPDARVADFFDAAAGAGAGGVLAAMLFLKGDDGRARYSAADALAFVASSLGKGGGWGSGVGGGGGRRSRWAALLFRRFSSDRSSSSPSLRRVFGDATLRDTVAPLLVPCYDLATAAPFLFSRADAVESDSFDFRLRDVCAATCAAGGAAPAPAVRSVDGRTAIAAASGGVAAMGNPAAAAITHVLHNKQEFPLAAGVDDLLVVSIGSGSSAGAAAAAPVAGWRTPMPPRSPSPAEMVRLTAEGVADMVDQAVAMAFGHTCGRNYVRIQAASPACSGKALSSLDAKKAVAVADGMLTQRNVEAELFRGRRLSEKSNREKLDAFAAELVKEHERRARSPGLPNVVIKQAAAAAAANATPRPSSATTASSATGRSTTASSTIPSPASQGSYQC >Et_8A_056192.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:14254765:14254773:-1 gene:Et_8A_056192 transcript:Et_8A_056192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MS >Et_9A_063383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22320607:22321685:1 gene:Et_9A_063383 transcript:Et_9A_063383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVENIFPFNPADFSSPSMAPLISSASSDPQYWSKDPRPAGGFVNFLQNGPRFLFPQQFPHHTPMPQNVKLGSSPVPAHYAPFLPPRPPVSKEIPSPSLNESSSSQQQSGSQSNQVADVDAQEDGEVRTSKRLIWSVDEDVRLMSSWVNNSTDPINGNNKKNDQYWGDVVAVYNITTPKNRWRTAKQAKDRWHTVNRMVYQFQYS >Et_9A_061950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18278157:18282000:1 gene:Et_9A_061950 transcript:Et_9A_061950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTSAATTTTTCSSSSSASATSPGPHRRRQPNDIERNDADSCCGAGAGPDDDDEGGLLHGHEGAGGRATLLLLLAARRKQQQQRAPAHRAWMRAVVLCLLGLVAVVGFLGSHRGGNGGGAGTAVENLRTLARRPPDPPIPEIWMKPGSEGYNQCIERPRNHRRTNNATVGYILVDANGGLNQMRMGISDMVAVAKIMNATLVIPTLDHRSFWTDPSDFNDIFDVDHFKETLKEDIAIVDSLPPDFKRVKPYVRAPTSWSRASYYRDFGRILKKFKVVRFTHTDSRIVNNGLAPSLQRLRCRANYKALRYTKEIEALGNTLVDRLRNGSNHYIALHLRYEKDMLSFTGCNHNLTLHEASELRDMRLKVRHWKEKEIDSEEKRLQGGCPMTPREAAVFLKAMGYPSTTKIYIVAGEIYGARSMEGLKAEYPNIYTHYSLATVDELEPLELYQNRLAAVDYIVALQSGVFVYTYDGNMARAVQGHRRFEGFRKTINPDRLKFVELIDELDEGFITWNEFQSAVKQHHENRLGGPYERFRGESPRQEEYFYSNPIPGCLCKGFQRSK >Et_1A_009005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2551198:2552027:1 gene:Et_1A_009005 transcript:Et_1A_009005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQDVRSVDSFSQLPFIRSAPSPQQPRDTIRLFGCEFSNEHVQQQAAKDAAGGDESPDAANDNSTVTSESNGAAKSGGGERKFECHYCCRNFPTMQPPPPMENFGRHDTAMVVGRAGEMMTCKDEKVPMSLLSSSPSLSSCWSTSPEKLGFLLVD >Et_10B_004478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8835322:8847301:1 gene:Et_10B_004478 transcript:Et_10B_004478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLQHPWAFAFGLLGNVISFMTFLAPIPTFYRIYKTKSTEGFQSVPYVVALFSAMLWIFYALIKTGEGFLITINAAGCVIESIYIIMYLVYAPKKGKLFTAKIMALLNIGVFGLILLVTLLLFKGDKRVVMLGWICVGFSVSVFVAPLSIIKRVIQTRSVEYMPFSLSLSLTLSAIVWFLYGLLIKDKYVALPNVLGFTFGIIQMVLYMFYMNKTPLIAEGKEASKLATAKNEHVVVNVAKLSPALHEKSCEVHPVTEMSIPKKSCAADAAPENRDAFVTHFPGVTTLKDVVENEKGARG >Et_5A_041406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22212606:22215217:1 gene:Et_5A_041406 transcript:Et_5A_041406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIGSGTAKHSNLSSGGDKNVAGKDCLSALPDDVLVLILSGLCTPEAAQASVLSRRWRRVWALLPALRFCPTPEPHRLRDFLAAGEVPLSNLSVEYKDADPESLSIWLPAAVRRVSGGLALVNFAINANGENVAAREGAVELPCFEKATFISLRLGLLGVVVPPAGVFACLTSLYLHGVRFHGPCDELGDAFSSPRCPCLEMLSISDTRGLDSLTVGSNSLVRMKLSKLVGLRRLVVVAPALIRLTVVSCFVHASSSEPVANITAPRLRFLDWSDAYHQSFVQFGNIARLGHLGNLIFLVYGRDFVCNGASLRLLQRFKVMECLTITLLYLPEIDEYQYFMDDMTVLPNFSILHLVVIANGHAFGASSFHVLRMCTSIRRLVLKFPTCTNFEFICSSGCICDQSPNWKTEELQLNRLQEVEIKELRGSEYEHAFVKRLFSWTPALKQVTVTFSSTVTESKIKELMQMFQGISRPGICMKFKNFHKVSE >Et_1B_013778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16111741:16115829:-1 gene:Et_1B_013778 transcript:Et_1B_013778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVCTTPIPNLDADSISLFLELDDDGVTDTPLEVQFEFSFVDKAQKQVPVDIREREVCIFDSLRRWGYNDFMTKEELDKSTHVKDDSLTIKCDVAVTKGYHGSNCTFVVVPASDMHQHLTGLLQSGEGTDVTFEVGGKIFAAHRVVLASRSKVFKAEIFDPVKEATAAGVISIDDMDAGVFAALLFFIYSDSVPEIHEQEDDVMWQKLLVAAQKYDLLRLKLMCEQKLCTYITTSNVATILALAEQHHGKGLKESCLDFLNSPSNVQEVMVLGGLDYLTRSCPAVMKELVAKLVSSKVGSTEDSSAAPLPFVVVPASNMHLDFTILLQSGDATDVIFQVHSETFTAHRCVLAARSAVFRAELFGPMKEGTATSLIPIEDMEANVFKLLLSFIYSDSVPKMEEKDSDSDDMDVDLDEMWQHLLTAADRYDLQRLKLICEDQLCGYIDTSTVATILALAERHQCRGLKEKCLEFLDSSDNLQEVLEDGGLDLLKSSCSSILIDLISKLASLKSQSKMQWGSMLVTDSLLFLLSQLGCLH >Et_3B_031313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25363889:25366828:-1 gene:Et_3B_031313 transcript:Et_3B_031313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEARHLEMARSDRSVWLMKCPPVVSRAWQEAAAAATVVSAPEAGGANPNPNPVVAKVVLSLDPLRDEQPHQASLLPLALCHVASQFRWKLACEGKVENKFDMKPHRENLADYGKLCRERTNKSMVKPRKVEFLKDDNGGRMRPLPGPPVPFGPKDKKKPIPVKPSDMKRTRRDPAELQNILFKLFERQPNWSLKQLMHETDQPEQFLKQMLNNLCVYNKRGPNQGTHELKPEYKKFTGDNDAT >Et_4A_032497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10763322:10766651:-1 gene:Et_4A_032497 transcript:Et_4A_032497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQRASPAAAAIRRRIGGPGLLRWVARVTFSVVAWTLLLHLCPFLGIPRTPLHITRFSCLGGRNYSTDASAAASVAAAGGVAHLAPPALPPRRLYKSNGYLLVSCNGGLNQMRAAICDMVAVARYLNLTMVVPELDKQSFWADPSDFGDIFDVHHFINSLRNEVKIIRELPQKFSGQVPLSMQPISWSSEKYYLRQVLPLVRKHKVIRFSKTDTRLANNGLPLKLQKLRCHVNYRALRFTPFIEALGNKMISILRNSGSFVVLHLRYEMDMLAFSGCTHGCSDEETEELTRMRYSYPWWKEKEIDSEKKRLEGLCPFTPGETTLVLKALGFPRNTRIYIASGEIYGGEKRLAALKAEFPNIVRKEMLLSGDELRLFQKHSTQMAALDYLVSVASDVFIPSNDGNMAKVVEGHRRFTGFHKTIQLDRKKLVELIDLFEDQELSWDEFSVAVKELHWGRMSQPTRRRVIPGQPKEEDYFYANPHECLGPAVKRRERLKHIEI >Et_1A_005635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12222443:12223825:1 gene:Et_1A_005635 transcript:Et_1A_005635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSDSKGGGAMGMNMVTTVMAFSVSAFFVLFVFVRLLCARLRLRTDQAAAHAGDAFVLQTYNIERGIHGLEPSVVTSFPTVKLGDDGAQRPPGQEGSQCPVCLEEYAAKDVVRVLPACGHAFHAACIDAWLRQQPTCPVCRASLRAGKNNNHRATPLDHSLAAAAARAPASSSDVAASPRQASADRTDADRLEIVYEEPGSSMPEQESAAVVDHSARQSTSSASEHRR >Et_1A_006277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:260443:262113:1 gene:Et_1A_006277 transcript:Et_1A_006277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKKRSRHRKAVKFYSTCFGFREPYKVLVDGTFVYHLLTQGLLPADEALRDLLSASRTPVLSTSKCVLAELRRLGKSHAHCFDAATLLATTKCEHEKVVSAVNCVLSLIGDKNPEHFFVATQDADLREKLREIPGVPVIYGLKNSLFIEQPSVQQRKFAQLDEEKRLNMDISEYKKLLKAASQGKTPDDEIESDGEQHKRSISSLVKNALGVAEKSKFKRNRAKGPNPLSCKKKKPKPQSSGAQDQGPKADGESKRKRVRKRKKSHKDNKHAETAN >Et_2A_016223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22122285:22124927:-1 gene:Et_2A_016223 transcript:Et_2A_016223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVAMLPMQQLPGLLLLLFVVASPAFASGGAGADGGMATYIVYLNPELKPSPYATHLHWHHAHLSSLSLDPSRHLLYSYTTAAPSAFAARLLPSHAAALLGHPAVASVHEDVLLPLHTTRSPSFLHLPPYDAADAAEGGKSSDVIVGVLDTGVWPESPSFGDAGLGPVPARWRGSCDTNATDFPSSMCNRKLIGARAFFRGSSAGGGSRASSDAMSPRDRDGHGTHTASTAAGAVVPEASLLGYAPGTARGMAPGARVAAYKVCWRQGCFSSDILAGMEQAIDDGVDVLSLSLGGGALPLSRDPIAVGALAATRRGIVVSCSAGNSGPSPSSLVNTAPWIITVGAGTIDRNFPAYAELGNGETHAGMSLYAGDGLGGDDKYPLVYNKGLRAGSNASKLCMTGTLDPAAVKGKVVLCDRGGNSRVEKGQIVKAAGGVGMVLANTAESGEEVVADSHLIPAVAVGAKSGDAIRRYVESDANAEVALSFAGTALDVRPAPVVAAFSSRGPNRQVPQLLKPDVIGPGVNILAGWTGSLGPTGLIADERRSPFNILLYPHAILTHHTFGDAGTSMSCPHISGLAAFVKAAHPEWSPSAIKSALMTTAYTVDNTDSPLLDAATNATATPWAYGAGHVDPVKALSPGLVYDASVDDYVAFLCTVGVSPRQVQAISAAAAASPNVTCTRKLSSPGDLNYPSFSVVFSRKSSRSTVKYRRELTNVAEAGGTYTVKVTGPSDISVSVKPARLVFKSAGDKLRYTVTFRCANARGPMDPPAFGWLTWSSDEHEVRSPISYTWAM >Et_10B_002660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4375021:4377018:-1 gene:Et_10B_002660 transcript:Et_10B_002660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAKRPPWLSHINRHCILHTLSWVVSWRKVQYSSNSCNGGDATSGSIGRLSELFKPIQVHTSGLIVQALECGRWSESVELELDRLHVDLDPFVVNRVLRGLSDSETAVRFYLWAESRPGFDYTQFAIAYILSLLFVDGNFALLSEFLERVRSQGLALHRSVYRILLSGYVRAEKFDSVIQTFDEMVTSGCREFGVDYNRFIGVLVKNCCFDLVEKYYSMALEKGFYLTPFTYSRWISALCQSYRIELVEKLLADMDKFGCFPDIWACNIYVDYLCREYRLHDALQMLEKMKTKETGPDVVTYTTIVDCLCDNKRFAEAVGLWDEMVGRGIKPDTVACGALIFGLCKNGKVDEAFELTSRMLSLNLELNVSIYNALISGFWRAGSIDKAFKIVSFMQTNGCEPDVITYNILLNHYCETGMVEKAEKLIRKMEMSGVNPDRYSYNQLLKGLCKAHRLDKAFAFVSDHMEVGGFCDVVSCNILIDAFCKAKKITSALELFKEMGYKGIQADNVTYGTLINGLYTVGYSNLAEELFEKMLQAQRIFCQMIEKEVLPDIITFNTLIFWLGKSSRAIEALDLFRDMRARGIEPDSLTFRYLINGLLEEGKATMAYEVWEYMMENGIVLDRDVSERLISMLKSKNR >Et_2A_014810.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:16427856:16428383:-1 gene:Et_2A_014810 transcript:Et_2A_014810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAFRSTADLAKHLPTGAVLVFEILSPVFTNGGKCDDVNRAMTSWLVGLCAAACFLLCFTDSFVDGKGTARYVVATRSGLWVIDGTAAPPPAVAAKYRLRFIDFFHAALSLVVFMSVAAFDRNVAACFVPVMSYDTRQVLTAVPLAGGLVGTLLFAAFPSTRHGLGFPIPAAAA >Et_2B_019926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15203620:15206133:-1 gene:Et_2B_019926 transcript:Et_2B_019926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLLKKKRASGSGGGGKPAGLERSGSKVLDGDDTLFTDMAQEHKEEGNKLFQRRDYDRSLLNYDKAIKLLPRAHPDIAYLHSNIAACYMQMSPPDYYRAINECNIALEASPKYTKALLKRARCFEALDRLDLACRDVNKVLSLEPNNLTALDVADRVKKAMEERGIVLDDKEVMPTPEEVVAAAPKEKPRKRRAGRKAAAKAAAKAAAAAVEEVEEQNAGEAVREVVEPPRQVKLVFGEDIRWAQVPASCSMAQLREAVRSKFPGLKAVLVKYKDKEGDLVTITNQDELKWAEDLVEPGNSLRLYVTEADPEHEPYVEDASSGQLERNVNSASDNGSIRSNRQDEDRSTVTCIDDWIVQFARLFKNHIGVSSDEYLDLHEVSMKLYNEAIEDTITTEEAQEVFQLAEGNFQEMAALAFFHWGNVHMSRARKRLFLSGDTPREVVLEQVKEAYEWAREEYNKAGKRYEEAVKAKPNFFEGFLALAHQQFEQAKLSWYYAIGSNLDLDTWPSSEVLELFNKAEDNIEKGTEMWEEMEEHRLKNRSKPSQENVVLEKMGLEEYIKDVSTDDAAEQASNLRSQINILWGMLLYERSVVEFKLGLPMWEDCLMAAIEKFKLGGASATDIAVLVKNHCANETAQDGLGFKIEEIVQAWNEMYDVKRWLRGVPSFRLEPLFRRRVPQLHTALEHI >Et_2A_017384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33850864:33851810:-1 gene:Et_2A_017384 transcript:Et_2A_017384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPTCMEEEHLGAWLGLGIGGGGCALKKGRGDHKQAVTTEKVARKKLKIIDDSRSSRGPSPGEEEDDGSTRKKLRLTKEQSTLLEDTFHAHNILSHAQKHELARQVNLTPRQVEVWFQNRRARTKLKQTEVDCELLKRCCESLTDENQRLKLELMELQQSAAAAAGLYVQFPRAAAEAVSVCPSCEKITMTSGGETSKSSSYSS >Et_6A_046356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13635228:13638215:1 gene:Et_6A_046356 transcript:Et_6A_046356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAAGDDKAAPWPPSSTPPWFAVLVALGAWVVLTSAATFLAWLRRAFLRRGKDLAVRYGEWAVVTGPTDGIGRAVALELARRGLSLVLVGRNPDKLAHVKKEAQAAAPPSSPCEVRTVVFDLAAGEMARGAARVAAATAGLDVGVLVNNAGATYPGAAYLHELPAPAWEAVVRVNVEAATRIARALVPGMAARRRGAVVNVGSGCSVVVPAFPLYAVYAATKAYVDQFSRSLSVEYKQYGVDVQCQIPLYVATKMSPVKGHSPFIPSPEEYAKAAVRCIGYEARCVPYWRHSIQWFFASLVPDCALNYWRLQIGIRKRNEMKSTLLGGNSVS >Et_9B_065862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15640408:15642737:-1 gene:Et_9B_065862 transcript:Et_9B_065862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCGQIEAFSQPATRPGKLPAPARHRIGAGRDSHVPPTPQPVRRVDRLHHHQPTPAQVDHHPHRHFFLLLYMPPRERCGDPTSVRRARREKRSAQPSFCDPITSVRPSVRSPTTAKKMSQEDGANGHAAAAEVEEAMAELQVEPPRRAPRLNERILSSLSRRSVAAHPWHDLEIGPEAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFRDINELSPHRLQEIKRFFEDCTLAVSLVTSIAFHDKKNENKEVAVNEFLPAADAREAIQYSMDLYAQYIMQSLRRFGELKQSTESHMKIWDLMNSQVPKSHDRVVKHVGHYQSYMV >Et_3B_031185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20061423:20064186:-1 gene:Et_3B_031185 transcript:Et_3B_031185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAEHLASAPAGGPPEPPPPPPETSTSPPPKATPSSPPPPTPSPPPHSPPPTPSPPPPAPSGRNSSQSPPFEQSMAKTPGGPAASRPPETSPTPSPPPPASPPPSPPPTSRSPPPPSPSTSPPSPPPNSSPPAPATSTPPSQPTAASSPPPASPPPSSSEKPTAANVSSSPPPNSSSASTPPAGTQSPPPSDGAPTTRTKWLPPPMAVTVIMPASGPPSVTWRSPAAAAPPLAPRPRGSSGDTVKTDAVIGVSVAGFILALASLFICVCFNNRSKKRKNNVVMPERQSPCLVSPDVYVPSNGPSSSSPSGTNSYDFSGSKSWFTYEELVGITGGFAAGNVIGEGGFGKVYMGALGDGRRVAVKQLKVGSGQGEKEFRAEVDIISRIHHRHLVTLVGYCVNENNRLLVYEFVPNNTLEHHLHGKGLPVMDWPKRMKIAIGAARGLTYLHEDCHPRIIHRDIKSANILLDDAFDAKVADFGLAKLTNDSLTHISTRVMGTFGYMAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDSSQPLGEESLVEWRHFAAVTSRRCMRKSTVQSRVLLMDALDMDDYKEIADPALECRYSKTEMRRMVEAAAACVRHSAAKRPRMVQVWRSLDVDDASSDLTNGVKLGQSTAYDSSRYSADIELFRRMAFEGDLSTAEFGCEDEDDGHTNSARK >Et_8B_060777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7071447:7072214:1 gene:Et_8B_060777 transcript:Et_8B_060777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSIHLKTTKKQGSTKDSIGLYAVQCCDCYKWRTVPTKEEFETIRENFTEDPWSCSNRPDCSCNEPADI >Et_1A_008383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7136250:7138210:1 gene:Et_1A_008383 transcript:Et_1A_008383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGAKKMKEENKKHMEFLLRLILASNVIYIVVRMGIMHSSFSWKHWIGLMVTSAAYFLPYKQLASMAQPVYSDNGEILDGGFDLNTGGICEYLHDVIYITLFVQLASIISEKFWWTYLVIPAFAGYKIFGLLRGTFFSGGSEGEVEDEKTRKKREKMEKRASRGKMVKTRTR >Et_2B_021906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6785496:6789821:1 gene:Et_2B_021906 transcript:Et_2B_021906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTRKAASSLVARCLLAGRASAAGAPPAVPSALRRPEHDTLLADGTRGLLPGIFQRFSTAAVAEEPISPPVQVNYTKLLINGDFVDSASGKTFPTLDPRTGEVIAHVAEGDAEDINRAVNAARKAFDEGPWPKMTAYERSRILLRFADLIEKHNDELAALETWDNGKPYEQAALIEVPMVARLMRYYAGWADKIHGLIVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMYAWKVGPALACGNTVVLKTAEQTPLSALYISKLLHEAGLPEGVLNVISGFGPTAGASLASHMDVDKIAFTGSTDTGKIVLELAARSNLKPVTLELGGKSPFIIMDDADVDQAVELAHFALFFNQGQCCCAGSRTFVHERVYDQFVEKAKARALKRVVGDPFRKGVEQGPQIDEEQFNKILRYIRSGVDSGANLVTGGDRLGDKGFYVQPTIFSDVQDGMKIAQEEIFGPVQSILKFNDLNEVIKRANASQYGLAAGVFTNNLDTANTLTRALKVGTVWVNCFDIFDAAIPFGGYKQSGIGREKGIDSLKNYLQIKAVVTPLKNAAWL >Et_5B_043206.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:17443126:17443242:1 gene:Et_5B_043206 transcript:Et_5B_043206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTHRLGVHVPGGRQRDRPCYAQRRDRPVRRRLSYPA >Et_7A_053096.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6402508:6403350:-1 gene:Et_7A_053096 transcript:Et_7A_053096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCARHPYEGGVGVCAPCLRGRLLALAAAQNAVSSLPPPPPPLPEPEPELAFPRSVSPYVCRRKSDASGPRPARRPPGLLFFRTPQVGPAYGGGGLEEGDIGFRGRRSGRFSVLGALFGGRSEEKEPKHRSWLAGIMPRGRGRKERDTAPPPPSPPRRSCRAISERGLSPVRYGCGGDGEESASPAESPWMTSPSPWRKTPCRRLLASAGAGVSGFAVCISPLVRPSPARHHRGGPAPAPDAAAASVPSELRPSPLHRLTSGTSLPHCRSWKLADGGRFR >Et_2B_022229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14585558:14586367:-1 gene:Et_2B_022229 transcript:Et_2B_022229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLAFDRSIHDPVGTIKTALSRALAHYRPIDGRLDGDGGVIRCTGEGVAFVGASASCALEDATAALHRQLPGPALQRRRPSAARAGDGVLLRRPGSSSGRRGTTFWPTALGWRSSCRPSASWRAGCRLPPSSRSGPGTTPPGRVKAEAGGGCTVFEAAAAVLWWCRTRAAILGGGDDDGPAEADVESSVATALTFSCNVRAHVGARDGNCVAEPRRGERRRRGPGEAHQARQGEGAGPTAVQLQ >Et_2B_018878.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:12230477:12234263:-1 gene:Et_2B_018878 transcript:Et_2B_018878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FGTLAVVHAVSDTEGWPAPSWLVFGGHDGQLPPSLYSFPSRGSKSCPSVPPPIPCDVLFPSLSPLLPPSRLPPPAPAMTPPRSPTPAPPPAAAEDALAQILHALLPPLLLAAASVKALHARWRALHGTLLALQSSLAAAPASAASHPLFADLVASLLSALRSLHALSARCQDPGLPGGRLRLQSDLDMAASSLSLLLHDLSLLLRSGLLSVDSAGSSPHAIVLQVPAAAASRADKSLFVRDAFARLQIGGLDLKLKALASLLDLLGDDPAAEAAQVVAAEGDVAALLRLLDASSHSALRDRAVAAVAHLATSCAASRRVVFDEGGLGPLLRVLDSGSVPATRERAAAAIVAITADPGSAWALSAYGGVSILVNACRPGSGSPAVQALAVAALKNVASIDDVRSALVEEGGLPVLVDLLACGTAGTQKSAALCLWSLASMGDHETQSQIVQAGALRPLLQALHIASDQDLQDSVLRAIHALTSVPAAARTLCSSPLFFAQLTDLICRCGSIMLQQMAAEMVADLAPSVSDDTKRCMAPCISTLVKMMEVAKPATVQESAGRALLALLTLKSNRKGFIRDEKSVTRLVQMLDPRNEEIDKKYPVSIFLALAMGGGNGTRRRLVDAGSCQHLQKLADAEVSGAKKALQRISSNRLKSLLSIGWQN >Et_1B_013903.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:23032478:23034550:-1 gene:Et_1B_013903 transcript:Et_1B_013903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWTDDNASMMEAFMASADFPALPWGVVPGAAAAPPPPQQQEEVPPAAAAPAFLDTLQQRLQAIIEGSRDTWTYAIYWQSSVDPASGTSMLGWGDGYYKGCEEDKRKPKATTPAALAEQENRKRVLRELNSLIGAAAAPDEAVEEEVTDTEWFFLVSMTQCFPSGTGLPGQAFVTGQPTWISSGLASAPCERARQAYTFGLRTMVCVPVGAGVLELGSTDVIFHTTESMGKIRSLFGGGAGGGAVVPPQQQQQPAAEETDLWFADAPVLDTKDSMSHPEISVSKPPPPPPPIHFENGSTSTVTENPSPTVQPPPPPQPAVPVPPQRQLHQQYNQSQQQGPFRRELNFSDFAANPSMAGPPPFFKPESGEILSFGADSTSRRNPSPAAPAPTASLSTAPGSLFSQHTANMTGPAANDAKNNKRSMEATSRASNTNILPAATANEGMLSFSSAPSTRPSTGTGAPAKSESDHSDLDASVREVESSRVVAPPPEAEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELRGKMTALEADKETMQSQIEALKKERDARPAPQAGVFGHDAGPRCHAVEIDAKILGLEAMIRVQCHKRNHPSARLMTALRELDLDVYHASVSVVKDLMIQQVAVKMASRVYSQDQLNAALYSRLAEPGTVMGR >Et_4B_038378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28657721:28660726:1 gene:Et_4B_038378 transcript:Et_4B_038378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKDFRNHLEETLPAWRDKYLAYKALKKLIKRLPPPADVPPPPPPPPPPPLHDPAAAEGGEGGGHGVGQGNVALGDWFARILDMELNKLNDFYMEREEWYVIRLQVLKERIERVKAKKNDAFRSRSEFTEEMLEIRKDFVIIHGEMILLQTYSSLNFAGLVKILKKYDKRTGGVLSLPFTQRARHQPFFTTEPLTRLVRECEANLELLFPIEAEVLEPGSSAKLEFHDDVGGCDPTSSCDAETSDVYRSTIAAMKAIQGLRRASSTYNPLSLSRFFNGEDGEACSGAITSESSLSDSSTDSQIQDAEKDDKEVQSREQNPAERERNAEGEPRDE >Et_3B_030322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32225019:32228482:1 gene:Et_3B_030322 transcript:Et_3B_030322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPSVPDNAAAGTATATASNTFHVLNSSSGAGVHANAGPYALRSPPAAAARAMAGYGYPRPPTPSGSIAPQMMQQLMMLAGWGTRPPWLQNYASMSPPLLSPGTPGAGSSGVGGRGTVQTSAAAAGGSSSLGRRRPPNLKPIQIPAPAAAVAGAAKRKEQPAGGGAGNTEDGGAVPSLATVLAMPTTAGRLRKRAPPRMQGTANTPAKKPRQRVADDLQIVSSNIRKRRRSNASSSSSGRCNLVARRGTTAAARKRHTVLTWLIDGGFVRDGEKVFFVPAGDDDGGGKVVSGWVTRTGVRCGCCDAVVPVAVFEAHAGAPWEKLLLGSGKPLLRCVQEAWDLEKERCARRLLAGKDKTRAGDKDSSDDACGICADGGELLCCDACPSTFHPECLAIKVPDGPWICHYCRCMTCMANDDDGLSTCQQCSRKYHQHCRPLLNNGCDIGAYCSETCKKLSAQLSDMIGLTTATEDGFSWAVLKTQKDELPTSKDMPAVLESNVKLAVALGVLNECFNPVKDRRTRIDMLHQAVYSLGSEFKRLSYEGFYTMILEKDGEIISAALLRFHGTKFAEMPFAGTLPLYQRQGMMRRLLKGIEQVLASVQVEKLLVPAIADRVDTWTRSFSFRPVEPQLREEIKKLNLVVITGTTLLQKPTIAEPAPQQPWWLKYTFDPVTGEQAARLTDDERAFLETETFCSFTDLVTGKVSLPKQPCATNSSASMSPGSSPPAPAGGWRSCGEASAMAMMAQPTNTQGNLILHGMK >Et_4A_033476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23424249:23425039:-1 gene:Et_4A_033476 transcript:Et_4A_033476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ELFLFPLLATGPSTPATSEHLALRSSALPSNHHHQNQHIVSNPDPLSSVWIRRLHLTPNPPPPRRPPPPHEDAVSTDEFRTPLPPPTASAQLRVWPLPLEPASGGGGGGGGSSSGGYTGMAVGDDPHAIWLAARAAGGIKSREMY >Et_7B_054807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4581074:4584970:-1 gene:Et_7B_054807 transcript:Et_7B_054807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLSRLLSKAAAVGRASAVRATSHSRGGSHGFASGGGGDGPAVPRDWLRKLWAEELRNQKEAAKRWGIDGAGGSLGAFASSEETALQGGRVRTGRAADEAPSRSYQYDDKDLKPVKLAPLLARANLVIARDIEWANIMFAFEQESRYIIMDPFFPQSPVGFIREKSNVIVRQLLRSRRPFVAEITDAIGNEIFTVRRPFWWINSSIYAEVGGKEIGVVHRRWHLWRRIYDLYLGNKQFAVVENPGFWNWTFTLLDEDDNVLAQIDRNWRGIGFELFTDAGQYAIRFGDEGQSHRFGLAADEELHVVRHLTLPERAVALALAVSLDCDYFSRRGGWGLPFLIATE >Et_1B_010104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24207363:24208007:-1 gene:Et_1B_010104 transcript:Et_1B_010104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAALFLALNLLLVAVTSACPYCGGGGPGNNGRPGGGSNGGGNGGYGGGNGGPGYGGGSGGGGSSGGNGGTSGWYGRCPTDALKLGVCANVLDLIKAKAGVPANEKCCPLLNGLVELDAAVCLCTAIKANVLGLNLNIPVNLSLVLNFCGKGVPTGFKCA >Et_1B_011254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19409338:19428189:-1 gene:Et_1B_011254 transcript:Et_1B_011254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGHHPLRVFFRDARMAFRWDELGQEIMGIAWPGALTLMADPVASLVDTAFIGHIGWCHSPCPTPSFAAPAGAGLDVVAAAAEPLHLQHLRHHPAVYASLLLNSNWLTGRILRSLANLLALQVLCVQDNLLNGTILSSLGALAALLLGGSPALSGPTRRPLARSPTSPSLAPRRRRCPVELAAVGISIAVFNQVSRIAIFPLVSVTTSFVAEEDAIAKERDKDNINEEIEYKVSDNEMEKLISPEASATMSKSSFELDSCEVSSEHKRKHIPSVSTALLLGGALGLLETLLLVLSAKPILGYMGVMTNSTMLKPAIQYLVLRSLGAPAVLLSLAMQGVFRGLKDTKTPLYATVAGDATNIVLDPIFMFLFKYGVRGAAIAHVISQYFVASILLWRLRLYVDLLPPSLKHLQFGRFLKNGFLLLARVVAATCCVTLSASMAARLGSTPMAAFQICLQIWLASSLLADGLAFAAQAILASAFARKDHQKAIATASRILQLALVLGLLLSILLGVGLRIGSRIFTEDMDVLQLINIGIPVLVAIVSIIFIMTLASYGGFVGIWIALAVYMSLRMFAGFLRYCRIANTGVIEDTRGKERLGNACAFQGEMNGLELRKEMS >Et_2A_016787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28124229:28125731:-1 gene:Et_2A_016787 transcript:Et_2A_016787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSRIPQQMEHGLTNASHTMSSYLFCHGAGTDSAIPEDASLEASSAVLDTSPQGTASVDKKTKPRDDSASLNSAQSKDSKEATKKRGGKRERNSKEMDEEEAPKGYIHVRARRGQATDSHSLAERVRRERISERMRVLQALVPGCDKVTGKALVLDEIINYVQSLQNQVEFLSMRIASLSPVLYGFGMDSDAFSDHTQKIEGMLHHEALAMPGSVLNRAPSHAIMDTNTSTSSASYEVHGDGGISFHQDNGSYMVQTVGDEPRQELFNQVVFSNHMGSFQ >Et_4B_037967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25116265:25124229:-1 gene:Et_4B_037967 transcript:Et_4B_037967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSAAGEDIVQHLSSNSNPSSSKLAKLEARMAGKAVSAPSSPPHPPMVASSPVVAFMDQEELPESSSSDDDNGEEFLIQKNTLKRLRSPDDDHSLALGNFEGSNEAAKILDVVDHRPSLDNTNRKKQGRGRGRAGTGRGRGSKAVDQTRPTSASSAVATNGQLDKLNNKEYRSSIQPGNDDRAALQEELSLLRGKVAFLEEELTKSRQEATEYCQLSDRLAKELKDIKDHDQQLRSKQMKVLSDLLIAVSKAERQEARMRIRQESFRLGNVGVMRAGTIISETWEDGQAIKDLNSHLKSLLETKEAIERHRKSLKKRQSDKGDGSDAETSMSEEDIILQDEICRSRLTSIKREEEQYLRERDRCELEKGRLIREMKRLRDEDGSRFNNFQILHHRYALLNLLGKGGFSEVYKAFDLVEYKYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHPNIVRLWDIFEIDHNTFCTVLEYCSGKDLDAVLKSTPVLPEKEARIIIVQIFQGLVYLNKRSQKIIHYDLKPGNVLFDEVGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFDLSKTPFISSKVDVWSAGVMFYQMLFGRRPFGHDQTQERILREDTIINARRVEFPSKPSVSNEAKDLIRRCLTYNQSDRPDVLTIAQDPYLSYAKR >Et_1B_009925.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11399493:11399753:1 gene:Et_1B_009925 transcript:Et_1B_009925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFIHEEYVHKRREQRQQQRRRRQMKMLKIETEKTQKRKPPPASAATGQGSPWDQPAGGWSSPVGSPTAETASFRDHLFEYLKPY >Et_2A_016587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26345379:26345895:-1 gene:Et_2A_016587 transcript:Et_2A_016587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRVQCGCGESSCPEWAVVELQGVVQPQPSFTGDIRGLHIGRLCSTPSPSSSSKAGYTFTVGYHELGGNKVALKKPLLVLRKKKVGGGDKEPPTAAADVELEVIGIIRHKILFKDRPKALISKPPTKEKKTPQSSAA >Et_4A_035097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9441313:9441878:1 gene:Et_4A_035097 transcript:Et_4A_035097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANSWTLEIASPVAAPRLFRAAVLDWHTLAPKLAPEIIASAQPVEGEGGVGSVRQFNFTSAMPFNLMKERLEFLDADKCECKSTLIEGGGIGVAIETATSHIKVEPTADGGSLVKVDSTYKLLPGVEVKDEIAKAKESVTAIFKAAEAYLVANPDAYN >Et_10A_000428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10086209:10089412:1 gene:Et_10A_000428 transcript:Et_10A_000428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTSRDCASGSLQETPADVEEGISVAGPYAASSLLNCVTVEGHTALHFVAANGAKGDSRKSKSCAELIHEKDNSFLYKKNNMSDTPLHCAARAGKSQMVSRFIDLAKEDGKVQDLLRMVNNNNETALHEAVRRGDTQMVKELLKADRELALYPTNGISPLYQAIQLIDDTAILLKEDRQASRNKGSDDLLKKNEEKSMAQTLHDESKDGFLSYLGPDGQNALHAAVLRGPVLTRKLLRWNEDLTVQRDKNGSTPLHFAAGLLEANRRRSVCSKLLEVNKDALYQQDDDGLSPIHVAASVGAFRTIVLFVNKCPGSAGLRDSKGRTFLHVAVENKQEWTLYTCMNQSLAWITNMQDNDGNTALHLAVQASSLLIFSALFANRNVDLNITNAKGQTPLDVAQCTVPPGILFYQNSEVRIRYALTVAGARSGAFRRDHFQKNHQDIYGLKSEYEKKELENMKELTQAGSIASVLIATVAFGATFALPGGYIADDHTNGGAPTLAGRYAFDAFMMANTLAFIFSTTTVLCLVRGGSPINLRARITYMIIAFYFMKISVTCLIAAFSLGVFVVLSPVSHKTTIAICAISPLVVLCNSAEYWLKQLLLVPAFKKGISMDITYIRTDGYGEHDYGILAFLSNLYLGRIRKDPSYCNIVEPLTCYPNNFVNTVVRLN >Et_7A_052326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:704738:706761:1 gene:Et_7A_052326 transcript:Et_7A_052326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGEWTPPCGSCCTKKYASLMQIPWRVFCKKGCNADGDTWDECIGKCTEICYKDPVLEDRQWSAYIDRSPGQDSYSLECFNACVSGCGFRFDIPTEKVEEIKPNRPSKPPPPEVKRTKHPDSTVKAEDVPCTSA >Et_3B_029909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2889489:2898990:-1 gene:Et_3B_029909 transcript:Et_3B_029909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRHPAGSPDSTSCRRSDHPATAPPLPHATGGHDSQLFPGADVDTAAIAAYLATQPPQPQTCTTSRPPVAAAGIDSFQPSPRQHSFAAAHQWPPRDQHHATPPAAWPSAPAFAPPPPPSVSPWTVWPSVTAPGEIPDASSGGGTGAARDDGPGCLHVARRAGRKAAGERRNFVLSPLSLHAALALVAAGANGETRRELLGFLGSASLDQLQCAAATKLVGALRSLPQASFACGVWVDRRWTLRPEFAEVAGAVYAAAAESVDFVSQAEQERQRVNAFVSDATNGLIGAVLPPGSVDASTVMVLANALNFKGTWAQPFDPSRTFHAPFHLPDSATVCAPFMTSSFEQHVAVFSGFSALKLPYASKDGDHWHQAAWFYMLILLPDGEALNIAELYDMAVSTPGFIRKHTPVGKVPMGRFMVPKFKFTFEFEASVDMKKLGVTRAFEGGDFSGMVVGGDGLSVRGVYHKANVEVDEEGTVAAAATAVSVCLSARSASTPVDFVADRPFLFAVVEEGSGGVVFFGHVKTKNHVWCNRATMELPPWTSLLGAVLATALFLVTLRRMRMRRRARKYNLPPGPRPWPVIGNLHLIGELPHRSVHELSARYGPLMSLRFGSVPVVVGSSVDAARFILKTHDASFIDRPKMACGRYTAYNFSDLARRLWQSKLFSARQLKLQEHVRHEELRAVLRDLRSSSGRTVVIREHLLMLSLNVISRMALGRKYVVDDEGAAAGTSSPTTPEEFRWMVGELFLLNGVLNVGDMIPWLDWLDLQGYVRRMKRLGEMFDRFLEHVFVPTDIVGLLLELADDPTLEVPIERDGVKGFALDFVAGGTDTSAVVVEWAMSELLRNPEHLAKAVDELDRVIGHDRLVQEEDIPNLPYIEAVVKETMRLHPVTPMLAPRLSREDTTSTDGYDIPAGTLVFVNVWAIGRDPVVWGDAAEEFRPDRFVGSGVDVKGQHLELLPFGSGRRMCPGYGLGLKMVQVTLANLLRAFAWRLPYGVAADELSMEEKYVLAVPRKVPLEAAIEPRLPAHLYAGEYALRSFPSRRVQVQVKRTVLACSVGFCLPRRDRRLTPGVNGHRTAVPTCSEIRTAASMSSWRPIDSHGAARRRPDGQRRETAARAASHGSDEEFLDLEQGKQHLSFGSPGPCFAFAAPHPWAPAHAPRPAVMSPWSMPGGPAGEAPPIHGGWPSAMQGHSGNQAEMLPGIRFVAAVPEPGRDADSRASCLPLAREAGLRATGENKATNFVVSPLSIHAALALVAAGAHAARAPEFPGLRLARRAAPRRGDGAGRQAPQPPGDVLRLRCLGEPHLSSQAGVHGHRRVTLRRGRGVRGLLRGAGAGEAACQRLAFVSEATNGLIDDVLPPGSVNSLTAVVLANALYFKGSWALPFDASRTFLAPFPLPDGATTVRAPFMTTSHFEQRVAVFPGFKALKLPYKNGSPADAAFYMLLLLPEGVDVNKIGDLYDKVVSTPGFVRKHTPVDEVPVGRFMVPKFKFTFEFEASNDMKKLGVTRAFQGGDFSGMVAGGNGLFIKGVYHEATIEVDELGTVAAAATAVCMQQCARAPRPPLVFVADRPFLFAIVEERTGAVMFIGHVVNPLAG >Et_2B_022629.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28257577:28258218:-1 gene:Et_2B_022629 transcript:Et_2B_022629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWFSCSRSAARGQHVKLVFPGGHVELLDRPTLAAEVMARHPRFCVARPDVFREPAGAVAAPDAILQLGHKYYVVPSSTVRRLLKYSSSASRAARSPAKRGGEGGGAVTLRAHLAGGGSHDKGYKVGGRRRWFRCLSGSEAKAQRPRRERESVGHGGKTETASELEVSETKEDGKAPIVGSPGRRRRRGASASPGNSASYSWQPSLHSITEE >Et_7B_053688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11059749:11073039:-1 gene:Et_7B_053688 transcript:Et_7B_053688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAVSGARLGVVRPGGGSARSGAERRSAVDLPSLLFRRKDSGLGYASAGTVLSCAGAPGKVLVPGGGNDDLLSSAEPTVDAPEQPEESKILEVKPIVEEKAASAAAEASTTVDTEDKHEPSKAVKGSAKTGTNGLTKGAKQTIVEEKPRVIPPPGDGQRIYQIDPMLEDFRSHLDYRYSEYKRMRAAIDEHEGGLDAFSRGYEKLGFTRSAEGITYREWAPAAHSAALVGDFNNWNPNADTMTRNEYGVWEIFLPNNADGSPAIPHGSRVKIRMDTPSGVKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEEKYVFKHPQPRRPKSLRIYESHIGMSSPEPKINTYANFRDEVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPEDLKSLIDRAHELGLLVLMDIVHSHASNNTLDGLNGFDGTDTHYFHGGPRGHHWMWDSRLFNYGSWEVMRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYSEYFGFATDVDAVVYLMLVNDLIHGLYPEAVAIGEDVSGMPTFCIPVQDGGVGFDYRLHMAVPDKWIELLKQSDESWKMGDIVHTLTNRRWSEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPRIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGPQSLPNGSVIPGNNNSFDKCRRRFDLGDADYLRYRGMQEFDQAMQHLEEKYEFMTSEHQYVSRKHEEDKVITFERGNLVFVFNFHWSNSYFDYRVGCLKPGKYKVVLDSDDGLFGGFSRLDHDAEYFTAASAAQIAQYEAYE >Et_4A_031981.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9638449:9638703:1 gene:Et_4A_031981 transcript:Et_4A_031981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKILQWHAVASWTWDAQDETCGICRMAFDGCCPDCKFPGDDCPLIWGACNHAYHLHCILKWVNSQTSTPLCPMCRREWQFKG >Et_7A_052696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12882970:12897729:1 gene:Et_7A_052696 transcript:Et_7A_052696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNPDMSHPLPISTSISKSEQLAQGFFKGPNHPSRQMANFTSPLLCNFMAKPRAKVRLLPPPNMSAKQLGLGAAARDVRVAAAVYKVKLVGPEGKERVIDVAEDSYILDAAEDAEVALPHSCRAGACSSCAGKVLEGTVDQSDQSFLDDAQVDAGYVLTCIAYPTSDCVIQTHREADLF >Et_6B_048406.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18871923:18872081:1 gene:Et_6B_048406 transcript:Et_6B_048406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRLKSSVPLQLNSGSRGYGMSGGSTPGCGVDQKPSCFGVRLFARGAGTEL >Et_3B_031681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7723615:7724417:1 gene:Et_3B_031681 transcript:Et_3B_031681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEQPNMPTRHLDGRRREQPSTPTPQLHNDAHLPVLPRHSPNAMDSSSRSAQGRHRRGGPLRLPWFLTASRGRGRRRGRDNMAAGSVSGIGSQAPPRPPPPQSSTTAPSSASTRRRPSTTPTPSSSDAAGGATRTLPSSGAATGGARMPSSGVATGGAGAPSSPSPATSVHDQSTPAGSVEGTPVVGAKRKHKYEVWLEFEEIVVAGKPRAKCIWCVEKLKL >Et_6B_049854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15168598:15173512:1 gene:Et_6B_049854 transcript:Et_6B_049854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWYCTFHTAISDIESMEERAIYHQSSEEIATIKARFEKIYGLPKCIESMEASCILCTSGFYRLCEKGVRLDIQMELGGSLVMEY >Et_1B_011845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26495488:26497953:1 gene:Et_1B_011845 transcript:Et_1B_011845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNIGMMDGAYFVGRNEILAWINTTLQLGLSKVEEAASGAVACQLMDAAHPGVVPMHKVNFDAKTEYDMIQNYKVLQDVFNKLKITKHIEVNKLIKKRPLDNLEFMQWMKRYCDSVNGGFISSYNALERRENSKGGKETNRRASVPSQAATKSASASHRAQVSSHGAKRANGHVSSTPQRSAKTPTPANSGGPAYDEQITELKLLVDSLEKERDFYFSKLRDIEILCQNPEIEHVPQQNQQQQPTLSPILEASEERPRHEAAHKRKSISDMEEFEMAAASSRPRLSDISDVQLCGSPLTKNMLAAEERFA >Et_6B_050034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4237739:4242676:-1 gene:Et_6B_050034 transcript:Et_6B_050034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCCAPSSPGVINFSPLSPLHPSSSPRGVLCSSEQGRSKKSKQQRLKAQSFRAECRSLRAQSNRADGYLPVAEDQAGDLPGPSARSLPDAEALASCLRSCESLAAVRKAHAVALKSVESLGVFLSNNLICAYARFDEVSDARKVFDEMPERSVVSWTAMMNGYRMMGHHGEVVRLFLDMVASGVQGNSMTFVCLLKSCGELSDASLGRQVHCCLVKGGWSTVIVDSAIAHFYAQCGDVSSASATFDKMTSRDVISWTTMITAYVQHGHGNKALRMFSAMMSEGFRPNEFTHTYAARILEAMPDRDAVTWTAMISGYNSLGHNVEALKSLDEMLWDGVTPNTYTYSSALKACARLETLRDGRRIHGVVNKTQAFSNIFVGCSLIDMYMRCGKVDEARRVFDSMPEHNLNKDPVSGVNKDSEHLNIAHQSMLDPRLSISNRLLFIVIGMRCEQWPEQIGLEDDLLQLRKFPDRQHIVNKLVEPHRAPPALSFGEAQDAGGLPFPYLAPAAGEHAGPHGFVRRQEAEHAVEKIIVEVTDAVFTSLGLRGGSSLEFLVLAPRRHSVEQVVCTGAGRAKPNEARKMITETEQLR >Et_1A_008218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5320138:5321354:1 gene:Et_1A_008218 transcript:Et_1A_008218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPRLPLLLKITAAAAAGVLAIIAVMRLRRDDAVATLRREIREVLSSLVTEEEDGDAGHGEGEEEDATARPPSVLITGFRAHGKSSLVNTACRALAGEDGPLLLRAEASPPGGGTDGPRRRKRVKATVSGGDGEMGADDAVVELLDAPPLPEAARLTRADIDEAISGGDPECVVLVLRCDAPTKERNAAVKRLPEISAAVRAKGLNLIIVLTFKKSMRSIRQAEELLREVSFRARTDCVYFIENYTWSNNGPNLRHPPVIKNDFETHFTVLTIIRQCLEFIKLNRSQSKDKKNGKQQVKPAEAILNKIPPVEPKAVLKPS >Et_1B_011975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27740406:27742791:-1 gene:Et_1B_011975 transcript:Et_1B_011975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEEKLRSGAHLHRDPTINPGLGSARCPRCLSLLNPSAGERDWAITSVLHDATAVAGSGAGGLLAAVHGFNTGIPYVQKHVKGPKWLQLLVGVPSLLMFSGASAAFGAYALPRFAQLTVTSYYAASSGSHYAVSQITRQIESTHLSNADEKST >Et_5B_044756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5414731:5417916:-1 gene:Et_5B_044756 transcript:Et_5B_044756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKRLSESRDLTRIERIGAHSHIRGLGLDSSLEARDASEGMVGQLHARRGCGLILQLIRQGKIAGRAVLLAGQPGTGKTALAMGIAKSLGAETPFASVAASELFSLDLSKTEALTQAFRRSIGVRIKEEAEIIEGEVVEISIDRPLSAGGGSAGPSGATAPGKTGRLTLKTTDMETVYELGGKMIEALGKEKVQSGDVIALDKASGKVTKLGRSIGRSRDYDAVGAHTKFVKCPEGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVIATNRGITSIRGTNYRSPHGIPPDFLDRLLIITTQPYTEDDIRKILDIRCDEEEVEMSTDAKALLTKIGVETSLRYAINLIASAALACQKRKGKVVEMDDVSRVYGLFLDVKRSTQYLVEYQSQYMFSEGLGEADGEDAMQL >Et_5B_043971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18404163:18405610:-1 gene:Et_5B_043971 transcript:Et_5B_043971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PPRWCELQPESRHARPESSYSRASATRRLSSCSSEGQRLARGVAGGGVGDQQRGPARVEDPPPPVAVTGLLIWTTPAPPSSPRPAAVVTSTACPVAASRRVVTAPSVAFGTSRKSRNRRSSSAYRLDLTARTRTPGSRHATATRARCAATERSPPTHRANESCTAAWSSTKENVPPVSSETACIAAASWSGTAGRGRRSRCRWPASIWSKKPGRYEGWIPPEPKPPTLLQLSWPSERRTTPATALRWRRPSSTLAASSSPARTLVVCSAARDSTARLAAALPSADMRVRRRTRAVVEKATTLSRSSGPRLSTTNAMARFTSASFAPDMLLLTSSTVTRSSGAREDDAAVDSDGARASTSTAKPSRAAPLASAGYSQCVLSTSSPLPCPPPPASISSTGSSSSYSSEPATGAAGASPPPRAADRADAPAGDAAEVERVGALGGEDGLAGAHAAGADGAAVAGHARHGEG >Et_1B_010420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10325457:10331008:-1 gene:Et_1B_010420 transcript:Et_1B_010420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLEAVALEIPADEGSPPARVPPRIMKRLLRGAGEGGGGKAPTTEDIQAKLHEAGLRRQQFHEALSSKARRSFRNPQSQEEDLPGQRLEAKLVAAEQKRLSLLAKERSRLAKLDELRQAAKNDAEKRFEREREELVMRVESRVQQAEEKRQQLLHARLQRRAALEERTKKLFVQKMTWEQRYRENVRSAILQKRTAAEKRRLGLLESDKRRAQGRLLQVQLAAKSASSQRETESNKLKEQLDDKLQRAKWQRAEYLKQRGSPHRSMRTSSIRNGEFLSRKLARCWRRFITSRKTTVALAKAFDALGINQHSVVSMPFDELAFCIESPTILHTTKALLDRLESRFVLSQPSSSSKPENVDHLMRHLGSPKKRILSSSAGRSKSTPKKASGNSDSSKSSRYSLRVVLCAYMILGHPKFVFSAQGEREKRLVESAANFVKEFELLVKIVLDGPDGACILSHSMLDAGSPGSSLYQESSSIVADRKKFRSQLVVFDKAWCAYLYHFVAWKAKDAKSLEEDMIRAVCKLEVSMMQTCKITTKGQVNNLDSNFSAIQKQVVEDQKLIKEKIRHLTGEAGVERMESALSETRSKFFEAKGKEISMAIKAANVASPAVTSFSGESSLSENRENSDMDVQKTSHVVRSLFRASSSPSESTTLSSAVTEKLPTANEQMVNEILHDIHGSLADKADNVGSIEGDFKAKIKETMEKAYWDIVADSLKGDVPDYSHLINLIKEVRETLHELAPAWKDEISNNMKLEMLSQVLESGSQGRQYLGQILQYSLGMLRKLSSPAKEDEMKKNHDRLLRELIEDPESNYRDPKSFVISVIKGLRFTMGELKALKAEISRARIKLLEPIIKSSGGVEYLQKAFADRYGSPTDALTSIPCTAQWFSTLKDIVEEEWNEHASFFSALPVTNHVQPLVATLRTGRGVPDQLQSVIPAADNIGLPECTREGLSRFLRIGLLRLISNMEGTAGHSVPETFKLNWLRLRSVQSNFHQVIVLAISMLVLHQVLLSENTTTSSELENVTMDLFNTLTKLLDNFSDVGTENIIEVMMHSSASTSTSSDEVIETRKQMLTRVFLKSLQTDDTVFKKVSASVYCAFHAVTLGGSGAKGRKLADAALRRIGASKLTDRVVKAAEVLIKAAMISEQVHGPWYKQLL >Et_5B_043317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:24198269:24198736:-1 gene:Et_5B_043317 transcript:Et_5B_043317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEHLQMKTDKKWVVMDVSVDGLLGHDMRDVNLNNSLPILATQIESMLLSSGCLIEDMNNGYCKVTWIIHAEYNDTTVLMMFKPLFFSGKPLVHAVGLHPFGGNVNMSLLCMSKMLLAASTQVN >Et_10A_000770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1707810:1715711:1 gene:Et_10A_000770 transcript:Et_10A_000770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDARMATESDSDSDAAAARGGSGSGSGSETPSASPSAPGTPTAAGASPGGAGPRPAPGYTVVNAAMDKKEDGPGCRCGHTLTAVPSVGEEGSPGYVGPRLILFGGATALEGNSAAPPSPAGSAGIRLAGATADVHCYDVLSNKWTRLTPQGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQKPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFVYGGLRGGVLLDDLLVAEDLAAAETTNAANHAAAVAAATNVQREPGKYGFNDEQSGQTAAETNPDGAVVLGTPVAPPVNGDMYTDISPENAVIQGQRRLSKGVDYLVEASAAEAEAISATLAAVKARQVNGEMEHSPDRENSPDATPSGKQNSSLIKPDNALSNNSTPPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVIYGTPENATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETIALLLALKVEYPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRVNRLFNWLPLAALIEKKIICMHGGIGRSINHIEQIESLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPEHHIEDTWMQELNANRPPTPTRGRPQAANNERGSLAWI >Et_3A_026686.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:1480240:1480455:-1 gene:Et_3A_026686 transcript:Et_3A_026686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRFEVRNEVGLGDPDLYGGAAAAAAAAAAAGVGKKGAGVEEEEPKALLEGVAVAGLVGILRQLGDLAE >Et_5A_040485.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:25248347:25249108:1 gene:Et_5A_040485 transcript:Et_5A_040485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIESLLPRFRYAAVDTEFPGTVYRPACPAYLLTPEKRYALLKANVDELELIQLGLTLFDDDPTGAGAVTWEFNFREFDPRRHRHAPESVAMLRAKGVDFARAARDGVDSAAAFGPRLRKWLRGKAGLGRAGLVTFSGGYDMAYLLKAMFGAGYRLPATAAEFEAVAAALLRRRRVFDVKEMARRCPGADLRGGLDCVAAKLGVARDVGEAHQAGSDSLLTCHTFIKMKERYFKDDDKLTKVAGMLTGITTS >Et_4B_038069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2640048:2646731:1 gene:Et_4B_038069 transcript:Et_4B_038069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLFKNQVAAPVQRARPSGGAPAGAGAAAVGVRVARDLSFWDGGGAGAFDLNGILLVPFRWGDAHGGDGGSWGGRGRWRGLHVVRGGVPDAAGDGAFSDYNFLDYHEKVIDGFYDIFGSSMESSRQGKMPSLADLQTGIGDLGFEVIVINRAIDSTLQEMEQVAQCILLDFPVANLAVLVQRIAELVTDNMGGPVKDANEMLTRWLEKSTELRTSLQTSLLPIGCIKIGLSRHRALLFKILADSVGIPCKLVKGSNYTGGDDDDAINIIKMDNEREFLVDLMAAPGTLIPADVLSGRGNSLKSNRKLGLNQTVGTSSIVDANLDPSALQLEPKAGQLPMFSSGDWISGGQSGYETATAAGSSQTSSGVPTAVPARSVFDDSWTLVSHEQSGEPSTSAGTSQQKVVLQGGEHPRNINQPPDLLGNPESRNLFADLNPFGGIESKRTSIPFKGPDNRNNELQRRRENVVPNAGRPQQRLVMKNWSPYNDVSNNKQYNYVEDSFARRNIGDNAISSSQMRRPPARIANNNVGLRNDTSYGAPPHNYDSIMAGTSAMKISSTAETGKATERAICSDLDKGPTNTRLEDQPCLVQPPQERHPWDNPADARIPMNRVQNQVKQSMELLDVKQDHKKLLPDPKKSPLDRFMDTSRNTESVSPSLRSQRLDTMFDDVSECEIPWEDLVIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDFYGDALDEFRSEVRIMRRLRHPNIVLFMGAVTRPPNLSIVSEYLPRGSLYKILHRPNCQIDERRRIKMALDVAKGMNCLHTSVPTIVHRDLKSPNLLVDNNWNVKVCDFGLSRLKHSTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLRMPWSGMNPMQVVGAVGFQDRRLDIPKEIDPLVARIIFECWQKDPNLRPSFAQLTSALKTVQRLVIPSHQETQSPPVPQEISVNSTP >Et_5B_044968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7888773:7891005:1 gene:Et_5B_044968 transcript:Et_5B_044968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAVLAAACWVFPVLVSLLAIRLVYVLGRSGRPRTNPYVAGARCLIVLGSGGHTAEMMNIITELQKDRFTPRYYVAALTDNMSLQKAEVYEQSLIQGDGAKNMENVHFMKVYRSREVGQSYITSISTTLLATLHAMWLVIRIRPQVIFCNGPGTCIPLCISAFLLKVFGLGWSYIFYIESIARVQKLSLSGLLLYKLRIADQFFVQWPQLQQKYPRAQYAGRLM >Et_1A_005390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:963053:986550:-1 gene:Et_1A_005390 transcript:Et_1A_005390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIQVQTVATSPRNIPGARLCPRHPHRRPRPPHVRRRRARSSVHPTRIPYFLQCRHPDPFSEAAELRSVCWLEIRGKIDSKMLSQNSNYAAYLVFKTARAAYGLDHPEQVTSVTLGGNESSRRVCLDGCDSDGEDRAGVFRHSLPFGQLLRPTRRSRVQIPQHVLLPQERADGWKEMELGEFYNDDGEDGEVCISLSETSATLKTRSMEATSACEIARLPEELLSAAISRTSPRDACRAAAVSPAFRAAADSDSVWSCFLPRDLPPLADLEPSTPVPSIKGRYLRLAECPVILADGLTSMWLDRETGVKCFMLSARKLHITWGDTPRYWSWIPITGCRFSEAAELLHVWWLEMNGKIDSKMLAQSSPYGAYLVFKVRSNAMGLDLPPQNTSVSLGGNKTTGLVCIDNHCRYDEDAVSMPFHSFARGSVSRQHEIPQDVMLPRERADGWKELELGEFYNDEGEDGDVCISLIATSATLKAGAEESMEVASACEIARLPEELLSAAISRTSPRDACRAAAVSPAFRVAADSDAVWSCFQPRDPPPLAEGELEPAPTSKKELFMRLTNNPVLLSDGLVSMWLDRESGAKCYMLSARKLWIIWGDTPQYWRWIPLTDSRFAEAAELRAVCWLEISGKIHSQMLSPNTKYAAYMVFKIDEEHYGLDSPLQEASVSIGENRSTRQACLQGYDNVDGDEEEVPENYRPMMLPARRRFRRRTRRVPPPAAHVQLPQRRADGWMELEMGEFSNEGGDNGEVSISLMETRGGNWKKGLIPMGMTAPGDCEIARLPDELLSVVISRTAPRDACRAAAVSPAFRVAADSDAVWACFLPRDLPPLADGELSPAPPSKKALYMRLSAGPVLLADSLMSMWLDRETGAKSFMVSARALHISWGETPMYWRWIPLAKTESRFREAAELRNVCWLEIRAKMHSKMLSQNSSYAAYMVFKIANDSYGLNSPAQEASVIIGERKSTQHVCCVPGFRVRRRVTMRDHHVPMPLAANVQITPLAVLQQLMYNSLVADNWKELEMGEFYNGEGDDGEVAISLMETKGGQWKRGLISMWLDRETGAQVLHATGEGAPRCMGRWRDAPEMWRWIYLAKTKFRSANPSVSVMLDTEEFCFVTSRVLTTVVCDSFVQAAALRNPWQDTEQDGSHRLALEKRNQRAKVCIGERITGRHPQPLAAHVQLPKKRADSWMELEIGEFCNEGGDDGEVSVPISLMDIRGGKLKKCLIVQGIEISAKN >Et_5A_040914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14823999:14825008:-1 gene:Et_5A_040914 transcript:Et_5A_040914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDSGLQFQFPNEGPVVPGIANWDIRDFLIVCDIGFGSFGSVVKGIHRSTGVCVALKSLHDTSLSRFWHEAIMAFQCLGSSRIVQFFGVAHDRNRNTLRDFSGRCNGKAPLEYDVCVIMKSLLLGLKHMHEKGIIHRDIKPSNILIDSDSDCVVGKICDFGLATYYDKAITTCCGIPHGTYGYMAPEVYELKSSCTFESDMRCLGAVMYEFITGCPLISGRDSTGTITRMRSMFGSPGKGADLETTAHDEPNPTDEEKNPVQTTVDSSDEETKTHADREREIRHAIFHRRFSIQCSELIAGLLRFDPIERLSASEALDMDWFVNHGIA >Et_6A_045914.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:6005019:6005794:1 gene:Et_6A_045914 transcript:Et_6A_045914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTTHKYTLKICNSARSMDDFTFPTLRSGRRCKELPSPFSHQLGLGGSPPPWFAAIVAAAGGDDGGKEEDKMDMLWEDFNEELASVPPLCPLSPLIDKRGPVMTKEAWLGDHDVIVAGDMEKRVGRLLRAHDGRVVRRRRWSLLLMIRLLKKLFLVKRTRNPRTAPI >Et_7B_055356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9666064:9670463:1 gene:Et_7B_055356 transcript:Et_7B_055356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSIHFRFGKELPYSPLYYNLKHLCNIGSHVTLEDLDAASAISFFAVYDGHRGPAVPKYCARHLHTELRANEEFHSNLGTAVQTTFLRMDEMLRNRESGKELCKYGGGNGQWAKYKKALWLKWIIPKPVYEGSLEDGCTACVALIRGNIIIVGNAGDSRCIISRDAQAMDLSTDHKPHLPAEEQRIENAGHHVTRFLVRGGIPRVDNGTAISRSIGDLRYKDNAGLPPQRQALTAFPDIRTEVLTDDTEFLFTACDGIWDCMSSQDVLNFVKAHASNMKNEQWSSVFFSADNLYDTHNPSHELASVVGTTALALPHAARVAELAGVQAKAGDPRLHRAVLPALRGLVQRRYRRSIRAQFDRPYRLTSLRVFWGRRWEPLRVGPASSGCACTLTVRRCSRTSFFAYVSTSLMLSCRRSRTTRCDRPPAREATAFLTLHGAYAVSGGRAAVLAHRGLWPRRR >Et_9A_063566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7550295:7552700:-1 gene:Et_9A_063566 transcript:Et_9A_063566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDNTCDTHTVASSHARNMQIISVMPIPPQNEHTDPQIISVLRSNDTSGLEISLRDGNWVSVPALGNGPEILLLSDALQVLTDGQFRSVRHRVMVNSARPRVSVIFFGGGGAPPRRERLAPLAELVGEGGQRWYRELTWREYKTSAYRTKLSENRLCYFETAART >Et_3A_023894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14107898:14108340:1 gene:Et_3A_023894 transcript:Et_3A_023894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKIDQEEAVCRCRDRKRLMADAVQARNAFAAAHTGYTVRLKSTGGALSDFAQGEAPDPSLVASHSQHAAAAAAVSISTPPGPSTATRSLPAASATILRFLPLQPPTVLLHPQHPYAGPKGRRQEPPPCWRFYPGGGGGR >Et_2A_016196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2201870:2203826:1 gene:Et_2A_016196 transcript:Et_2A_016196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITHFDLEIASRTLVRASRPPPGFPAVLAVSNLDLVLGPFPIFLVSIYSAPAAGLDAVVSAVRAALPSYLSHFFPFAGRIVRDPETKIPEVACNNAGAELVVADAAVPLAAVDFADVDRSLGLIQVPFDASLALSVQVVRFACGGFALTLGTTHLLADGRAFTVLLSALAETARDGGLSREPVFDRSLFRPRSPPRYSASLDAEFARFTPETMINPLLAAAIRRRLYRIEAANLAALQAEASQAGGGGGRRASRFVALCAHVWKLLARAVGDSDPCCRMAWIVDGRKQIEPSDGALDRYVGNVVTYTSREASTAELRRAPLRGVAATVRAAIAGVMTRARFQELADWMEERKAAFKDGGKWTEAVNLGLGSPTLIISGLLPFHIDGDLGFGKPRLVMPWIRHGRLGSASVTVVPCPSGDGSWFVGGTRLWPRLVELVESDPGSLLKPVTAASLGLATPAGSRLTANTHSCTSLRKEDKVKGGLFLDVVFSQGAALLQMLAGEDEALQVRWDALLVLDLRLDIVNGV >Et_5B_043177.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:15058601:15058765:1 gene:Et_5B_043177 transcript:Et_5B_043177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSAVLWGLWKLRNTLCIQNGRWKKICISVGEDHAHAQEQGSSLPNKRCGSLQ >Et_4B_039137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8118411:8118883:1 gene:Et_4B_039137 transcript:Et_4B_039137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDDSSPASYIHKVQHLIEKCMTFGMSMEECMEALAKRADVQPVVTSTVWKELEKENKEFFDQYKQWRLAKGSAGSS >Et_3A_023924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14813655:14820326:1 gene:Et_3A_023924 transcript:Et_3A_023924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGKMEGPSAPALRRDPYEVLSVPRDSSDQEIKSAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDTAGFEALENEGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISPTVLEEAMNGTVTVRPLPVGTSATGKVDKQCAHFFGVTISDEQAHSGIVVRVTSAAQSKFKLLYFEQEVNGGYGLALQEDSQKTGKVTSAGMYFLHFQVYRMDSTMNALAMAKDPEAAFFKRLEGLQPCEMSSLKSGTHIFAVYGDNFFKPASYIIEAMCAKSYEDTTERLKEIESKILAKRNDLRQFETEYRKALARFQEVTTRYTQEREAVDDMLRERDNIHCSFTTERTIVNPVGAGSSSSRYTTEQSIPESPENGNVDGRDKSGKKKWFNLNLNRSDKKA >Et_7B_053783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12128846:12131220:-1 gene:Et_7B_053783 transcript:Et_7B_053783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAFPPLPLLPLLQLLLLLFLLPLSFLGAATGEEFPRDGRVIELNESNFEAALGAIDFLFVDFYAPWCGHCKRLAPELDEAALVLAGLREPIFVAKVNADKYRKLGSKYGVDGFPTLILFIHGAPIEYTGSRKADQLVRNLKKFVAPNVSVLESDSAIKNFVESAGTSFPIFIGFGVNESLIAEYGGKYKKRAWFAAAKDYSEDIMVAYDFDKVPALVAIHPKYKEQNLFYGPFEGNFLEDFVRQSLLPLTVPINTETLKMLNDDERKVVLAILEDDSDEKSAELVKVLRSAANANRDLIFGYVGVKQWEEFVETFDISKSSQLPKLLVWDRNEEYELVDGSERIEADDQASQISRFLEGYRAGRTTKKKVSGPSFMGFLNSLVSLNSLYILIFVVALLVVMVYFAGQDDTPQPRRVHEE >Et_7B_055939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7745965:7749234:1 gene:Et_7B_055939 transcript:Et_7B_055939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTVAGQAAEESNEDNVKEPRVAWMIGFLFLVSFVMIIDYKLTYPSGTATVHLINGFHTPDGSERAKKQVSTLIKCSVASFLLGFFQWFYTAGEGCGFQQFPEMGLKVYKNQFYFDFSTTYIGAGMICPHIVNVFIAIAIILGDGLYNFGKVLIRTFTAINASAKKNKFFGELPVNGGDSTISTPPWFDDARRTEFFLKDQIPKTVAIGGYVAIAAVSITTVPHLVFPQLRWYHVLAVYLMAPHCTPSVAVKMCSNSTG >Et_5A_042473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1392194:1397842:-1 gene:Et_5A_042473 transcript:Et_5A_042473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPAAAAAAAAAALPRLLLPVPLRSSTKQAVSRPSHVLSLIKEEMKLREMALSGQVMEAPGDHHHRERPHQVPCADQLRWKLSLRALRRRKENLIAGEVKYEVRVHLLHRPKHRLVLIRHDSEAKVLVALVAHHHEQLCRCDVEKGASVQLERHAWEVRSDPRSVEDAEVVPWHRDALRAVADQVSRHRVDQDVVRRLDHPRHGRPDVEPACAERSHSDGKLLPVQVDTDDTDVWTSSEKRRTPCLFPAVERQYEKTCVLALNCLISEVRCLLMLRTPASAPWFWNPSVVWAQPKAISETLSATPELLLAKVKVSMPRYPCADAPWDTTWKEHLSWPAGVYGAWQMCVLAATVLASTLT >Et_6B_049340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3403762:3404140:-1 gene:Et_6B_049340 transcript:Et_6B_049340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILLQIWMLPDETKLYTLFNKLTKMFIHGIYIKFGILWTIQLLEFEEQVWDHVCDNDADEKIRRFYSKRTNPWQKNIIGRIGQSIRI >Et_3B_031671.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:7410596:7410994:-1 gene:Et_3B_031671 transcript:Et_3B_031671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSGMAFSVRPPAPAPAVRPCACAAAAGGARAPRADGSGGKWWAPLLGWSGQPDYIDARPSAPAPAPAAEKEEDQQRRRGAPARRFGVLTEDKARRLRMQMMETESFHDAMYHSAIASRLASATPDGAKH >Et_2A_018051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9573102:9576083:1 gene:Et_2A_018051 transcript:Et_2A_018051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATLAARLLRRGAASPSSPLRALTRSAVHSSGPAPLPRGLPAAASSALQWMAARRSLASQSSASSPSKSSADENLRRVIESEIECVVASESTPDKAIDLPDDFPFEIIDQPGDQSIILKRQFAGETIKAAVYTNFDTEEDLNEDDKDDDDEDSFKPAIQMVVTVEKAEGPILEFDCNFNDDELAIENFRMLSRDNLDAENMYEGPPFSVLDESLQKALHRYLEVRGIKHSLHDWLYEYMMHKDEKEYVVWLKNMKEFIGN >Et_7A_050613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6892073:6892447:-1 gene:Et_7A_050613 transcript:Et_7A_050613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_9A_063161.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:1300247:1302331:-1 gene:Et_9A_063161 transcript:Et_9A_063161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGHAVIDIDAAADDDVPLRPVAPPVPYLLSFTDLSYSVKKRGGLGCLPSRPSNRLASTDAPPPSGNTKTLLDGISGEAREGELFAVMGASGSGKSTLVDALAGRIARDSLRGGVTLNGEPLQGRRLRAISAYVMQDDLLYPMLTVRETLLFAAEFRLPRALSPEKKRARVDALVEQLGLSRAADTIIGDESHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSASAFMVVQVLRRIAQSGSVVIMTIHQPSARILAILDRLLLLSRGRTVFAGAPAGLKPFFAEFGAPIPDNENPAEFALDTIRELERADQHDGAAALADFNTRWQQQQAMASANNKVVNNTMPLELAIAESVSRGKLVAGSGDGGGAVSAVPTFANPTWTEVWVLIKRSFTNTGRMPELFIMRLGTIMVTGFILATIFWRLDDTPKGVQERLGFFAMAMSTMFYVCADALPVFVQERHIYLRETAHNAYRRISYVLANSVVAFPPLVLLSVAFACTTFWAVGLAGGGGAFAFFAAIVLASFWSGSGFVTFLSAVVPQVMLGYTVVVAILAYFLLFSGFFITRQRIPDYWIWFHYLSLVKYPYQAVLQSEFGDATKCFSRGIEMFEGTPIGGYPEAVKMKVLNAINGVLGNNLTADTCVVTGADVLAQQGVTDIGKWKCLLVTVAWGFFFRALFYVVLLVGSKNKRK >Et_9B_064611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1755024:1756945:1 gene:Et_9B_064611 transcript:Et_9B_064611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQSSFLAVALVAKPGAGARVALPSRRARVISSCLAVPPPTTGAAAAPRELSAASRAVVEDEARYLVGTYKRSEVVVVSGRGCKVYDADGREYLDMAAGIAVSALGHAHPDLIAVAAEQAATLVHSSNVVYTKEQVELAKRLVETSFADRVFFCNTGTEANEAAIKFSRKFQRVAHPGGDAPVEFLAFSNCFHGRTMGALALTSKSQYREPFEPVMPGVTFAEYGNVEEAKKIIKSGKIAAVFVEPVQGEGGIHSATKEFLQGLREACDEAGTLLVFDEVQCGLGRTGYLWAHDAYGVEPDMMTLAKPLANGYPIGAVLLKEKVAATIQYGDHGTTYGGNPFVCKVALTVFDKIQKPGFLAEVSKKGENFKQLLRTKLSGNPHVKEVRGIGLIVGIELDVPATPLVNACLNAGVMVLTAGKGNVVRLVPPLIISEKELEHAADVIRDCLPALD >Et_1B_012213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:368928:371036:1 gene:Et_1B_012213 transcript:Et_1B_012213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSCASTLPWSSAFSSSSSRTPAEGRLTTSRRAPSLVIVAQGRVKKYRQVILTEDVEEVGKKGDSLKVRAGFYRNFLLPKGKAQLLTPEVLKEMQLEQERIEAEKKRVKEEAQQLARVFETIGAFKVPRKAQDLVDLIKSQLNRDVDKRLVTVPEIREVGEYVAEIKLHPDVTARVRLNVYAK >Et_9A_061635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14704220:14715400:1 gene:Et_9A_061635 transcript:Et_9A_061635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSDVRGGMEAVGAGRSRGSAAAAAQSGGPNDAVDYFFQATGQRGLYTPVELSFTASKLRNMDAFSKSDPMLVVYTETNGRQEEIGRTEVILNSLEPSWITKTTMSYQFEIVQPLIYLYHNTPVKMLNLAQQDFLGEARCNLSEIVTKFNHSLTLNLRSVPAHTHPGTITVHAEESDSSRMAVEMTLHCLNLENKDMFSKSDPFLRISKLVETSGPLPICKTEVVMDNLNPDNPLLVECFDFDASGDHELIGSFQTTINQLEGLYNSKSGANFYRKGHRKLKGQLFVDKIQEKVQHTFLDYISSGFELNFMVAVDFTASNGDPRVPHSLHYIDPSGRPNSYQQAIQGVGEVLQFYDSDKRFPAWGFGAKTQMHVSHCFNLNTATNDCEVVGVDGIMSAYTSALYSVSLSGPTMFGPVINKAAEIASHSLQYGNNIYFDGVLTDIQETKDCIVRASDLPLSILIVGVGNADFKQMEILDADNGKRLESSTGRIATRDIVQFVPMRAVQGGHMTVVQSLLEELPGQFLTYMRARDIKPQVRGYASASAPVYPPQQ >Et_2A_016760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27885557:27890561:-1 gene:Et_2A_016760 transcript:Et_2A_016760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVKTKNVRKPQQRERSAASSDAGSGDATSQEASFSTEEAVVSVSGREQCGHYGRDIAHLDKVLLEILSSKHFASCEHCREDAPRKKGGKEKGGKQQKKKGGGSKAKAQSKMEKSDMWVCLDCGRQFCGGEGADTKPYGHARRHAKQDRHWWAAKYDDPTVAYCLSCEKEVSIEMPKINTAVPVAANDTMVGAADNDASGLANCHGNAIKGLPNLGNTCFFNAVMQNLLALDGLRRKMLGADVPTGPLSMSLKKLFVETSVSNDTGGTLSPKNLFSNICSKYPQFRGFQMQDSHELLRCFLDGLRTEETEARKIADDASTAGVPTIVDSIFGGQLSSTVSSTECSHSSVKHDQFLDLSLPVPSRKPPAKSVASPPAKRATKQSIRDRNKSRRYGKNLARPSTVEESNAEKIQTVAECSDSQVHGSESGQVISEKEPEPSGCSESCASVSNQELKDTSTVEDNMNWLDYVADADETKSEIVDSADSTEAGEIGESKNAIHDSFHPQDDALPKDSEHSGENTVDDATSSQPVILLPYKECDGTAKELDGTEENSQTVYAEPTAVSPVTENNIQPASGADVEQDDFGFGDMFNEPEVTSEIKKGSGKVEDIDVMAWSSNSADDEVDDSNAPVSVEGCLALYTEPERLSEPWHCEHCTNAARTNTNEAKNEAEMMDNASERKRNEEMMEDGDERQNGEKLVVNCSNKEDIDQTMTTDSCSDNVHCDTQCTKGECANPSLAEPAQTSDGNLPEIGNTTAQEIDAVSTIDKIEQPNSETYQHDHCPDTKSSVVEFTSLSKQPHDSVMQQNDGHNVDITAEATSAPLNCGDNDSVSCSATNIAKPESGGLAGEVVTSILPSDTHGILSPVKDNEEANTRNQGRRKRMKMVGKARQGQDNKNEQKENETKIFRAAMRRILISKAPPALTINLNRFSQDSHGRFKKLKGHVRFKEILDIQPFMDPRCKENDSTTYRLVGVVEHMGSMTGGHYIAYVRACKIGGRQQQQSTGSKSWFYASDGHVREASLEEVLNCEAYLLFYERVGG >Et_7A_051937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:376631:376921:1 gene:Et_7A_051937 transcript:Et_7A_051937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGLKPEAVNAIDTERCTINRQQALSLMTHFLLLTVVRSRKRIPKLLRLSFDTLLILIIALEGT >Et_3A_026197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5329483:5334883:1 gene:Et_3A_026197 transcript:Et_3A_026197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDFLDCPVCFLPLRPPIYQCAVGHVVCSSCCVKLPDRCHCCSIATGYNRCHIIEHVIDSTKVPCCYDNFGFTEKITYYEKENHEKVCPRAPCFCPETGCNFTGSTVMLLNHFSAEHKWHSARISYNKTFRICIAQGSTALHGEDGQLFLVNMVLEPLGSLISVFCIQPHITGSNFKCKLSVSGSEMSYSQVTQFQTKSTKLFDGLPKDCFLFFVPKVLLRDSGTNVAAMVNDGQVLLVRTKEQPPGYAISIVIVPPCIKKTDIGCSASFSHRNLYGTGTLDSLPYLSWSGV >Et_5A_040130.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:14025668:14025994:-1 gene:Et_5A_040130 transcript:Et_5A_040130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYASDSQVLVSTLQQSDFLRQPGDWRLRPLLYQFIDNNSGINHTIRKVTRHQNRSAHGLAQQAIRATNTGNCEFTCNHLAHRDQCPIAEALQDVSWGSISLVSVNCR >Et_9B_066239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8233752:8235570:1 gene:Et_9B_066239 transcript:Et_9B_066239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLFKSKSEPVRQNSPAPEEQQRKRLGNSVALIGTNLQINEVRKLLGDLTMEMPGFLTDGTIRRFLRSKNWSTVQAIKALKETVKWRRQYKPETIRWEDLAERENEVKRVYIADYRDKNGRTVYISKPSIKILKHFVEPTMKDRMKFVYSDSPDSQRIMTDLFDLDKLDSAFGGRNTADLDVTKYGERMRERDQIRGACTHGNAIPSSS >Et_7B_053468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21275225:21275767:1 gene:Et_7B_053468 transcript:Et_7B_053468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RALLRLPYEFGHRAHRVPGRVLRRPPPGARHRDGCVTDPLRARRRQRHGAGVRPVTHRLPPLRRPPLRRRSAGGQGRRDPRGEEHPVLPGGGGGGRRGAGAGQRRGGGARQGDGGGDHPVARPQALRRGHVPPRACRQVQALRDLPAQAADGDAAGGKNQR >Et_1A_006919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2919692:2924151:-1 gene:Et_1A_006919 transcript:Et_1A_006919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATAAEAMDVEAPARPPTSATKRSSPHDLLAETRASIEKVAARMLAVKRDGAPKSELRELVTQMSLHLITLRQVNREILMEEDKVKGEMEAAKAPVDSTTLQLHNLLYEKNHYVKAIRGCMDFQTKYPGIELVPEEEFHRAAPADIREKTLAADAAHDLMLKRLNFELVQRKELCKLHEKLEQQRRGLLETIANQKKFLSSLPSHLKSLKKASLPVQQQLSMQHTKKLKQHHAAELLPTPLYIVYTQLLGQKEAFGESIEVEIAGSTKDAQIFARQQAKKENGTLSNGDNNKMDDDGIDDDEDAQRRRSRSKKNVMKEANNPAVVYQLHPLRIILHVYDNEDSGTKRRKLITLRFEFLAKLNVVCVGIEDSEGLDNNILCNLFPDDTGLELPHQMAKISAGEAPNFNDKDSRPYKWAQHLAGIDFLPEVPPSVGDDSIRSLSSVDLSSGLALYRQQHRAQTILQRIRTRKVAQMALMWQLDYLTKLKWPRIEPKNTPWASRNPLCSLHSWSLTGSFPEPSHRLSLMMSGAASSAESDIERRSVTHWGETESTREDGELPVVVPAENDLNGSTVLDSVDSEMSPEVRSHSRGLSLISKSATPSKLSISHSFGRYEDDVDLLMYSDSELEDPPCIHEETEKGSLIIDRSWEDYATREFTMVLSKNIKNGPKIMLEAKVKISMEYPLRPPLFRLRLLSEKFETLKWRNDLRAMEAEVNLHILRSLPSSSEDYILAHQVMCLAMLFDMHFDEDYEKRKATSVIDVGLGKPVSGSMLTRSVRGRDRRQTIYWRGADCSSSYL >Et_10A_002024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18990726:18996255:1 gene:Et_10A_002024 transcript:Et_10A_002024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVLFSPGSSLLLTKQRRLAKGRAAAAVKCSIGPAPSVSHEVEEGEGVAMVGRRLALASAAAACGVSVLGFAGNGLAATQGLLAGRIPGLSEPDENGWRTYRRPDDKSGGHGVGWSPIIPYSFKVPDGWDEVPVSIADLGGTEIDLRFANSKQGRLFVIVAPVRRFADDLDDATIEKIGTPEKVINAFGPEVIGENVEGKVLASATAEHSGRTYYQFELEPPHVFITATAAGNRLYLFSVTANGLQWKKNYKDLKQIAESFRVVRALSLPSGK >Et_2B_021230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27712598:27717895:1 gene:Et_2B_021230 transcript:Et_2B_021230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKVAVPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDTNPMLRNGETGDWIGTFQGHKGAVWSCCLDKNALRAASASADFSAKVWDALTGDELHSFEHKHIVRACAFSEDTHLLLTGGMEKTLRVFDMNRPDAAPRELDKSPGSVRTVAWLHSDQTILSSCTDMGGVRLWDVRTGKIVQTLETKASVTSTEVSPDGRFITTADGSSVKFWDANHFGLVKSYDMPCTVESASLEPKTGSKFVAGGEDLWVHVFDFFTGEEIACNKGHHGPVHCVRFAPGGESYASGSEDGTIRIWQLSPANADDNEAVNANGKPNAGVNEVTQKIQGFHIPKEGQTEG >Et_5B_044582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3747931:3750566:-1 gene:Et_5B_044582 transcript:Et_5B_044582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLPVNIREYQELAKKALPKMHYDYINGGAEDEYTLRENIAAYGRILLRPRVLVDVSKINMSTSLLGYDMPSPIIVAPTGSHKLANPEGEVATARAAAACKTIMVLSFSSSCKIEEVASSCDAIRFYQLYVYKRRDVSATLVRRAESLGFKAIVLTVDTPVLGRREADIRNKMIAPRLANLEGLMSFDDDLDGEGGSKLERYANQTLDPSLSWKDVEWLKSITTLPILLKGIVTAEDARKAVEAGAAGVIVSNHGARQLDYAPATISVLEEVVKAVGGAVPVLVDGGVRRGTDVFKALALGARAVMVGRPVFYGLAARGEAGARHVIEMLNAELEVAMALCGCRTVGEVTRAHVVTEGDRIRALL >Et_1A_009215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33880409:33884840:-1 gene:Et_1A_009215 transcript:Et_1A_009215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSASVNSISSMPSPVYQCKKAFLLNMAVNCSLTRRNISWMEVEFPMKVDDIKKGQIYDENCYTLQAVAVLGFLADDVEDGVDELGTLGVVSLCPVVPGAGLAEDEVVGAEDLAVRPRAHGVHGAGLEVHEHGARDEAPPAGLVVVDIDALELEVGVARVLAGVVDAVLVADHLPELGPDLVAALAALYVKDLSHGVGGGGSCDVGLGLRRGLGRGGRRWRRRGRVKRRSGEWSGWGGSFIEKSFQE >Et_10B_004428.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:6897623:6898669:1 gene:Et_10B_004428 transcript:Et_10B_004428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAKIMRTALHAFFRHYHSASSAASLLALPFSAAALLSRSHPALLAPSGLLSRRLRRVLVAAGFPPASQLLFLLSHRLSQRACAFLAALPFSLSFLLLAKACAVHFCCSPPLSPSQRRSQRHRRAPAIAVVREMVRSSYPAMARTQLVNCLALLLANAAVFAALLAAFNAAEALHLLGPGPPASASVSVSGSGGRAVLALSAAGVIVYSVALANAAAVCNLATVVAAAEGRGGARAVLKAVLMLLRAGDAATAVAASLPASLAAAAAEALFQLRVVRPYYNTAAAGGGGKVTAAMVFEGLLVAYIHAMICVLDTVVTGVVYQTCKASRSCELVEMELEEGKEDMRV >Et_4A_032223.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24852116:24852643:1 gene:Et_4A_032223 transcript:Et_4A_032223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMWRDSVMALDKASLEFNKFDLPSRMNTLGVSGPSEFCIAHCAADGDSTSPRIVYVVADELEVFRRVHNAGGGGEWVLEHSIHRLSDAFGRLPGYPKKYDCVPKVVVGGVGFVVLLVSNRGEWRWPFSLCLDDMEVKGAPERHCYRGTSDASIYTLPWPPVIRACIGRKSQCLN >Et_1A_006627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25341637:25347205:-1 gene:Et_1A_006627 transcript:Et_1A_006627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPPVVALAGGVCPASSSSFPRATPGAAILAAPARLLRSRRGALRLEAKAAWRAAGGGRGPRVPAKGAVLASYMGAEEVVEFILQIRKELENGKLPADVASNLEELYYNYKNAVLQNGDPNGYEIMISNMMAMFDRVMLDVQNPFTFPPYHKAIREPFDYYMFGQNYIRPLVDFRNSFVGNISVFHEIEEKLRQGHNVVLMSNHQTEADPAIIALLLEKTNPWICENIVYVAGDRVVTDPLCKPFSMGRNLLCVYSKKHMNDYPDLIEMKRRSNTRSLKEMALLLRGGSQIIWIAPSGGRDRPDPVTGEWCPALFDTSSVDNMRRLLEHSGIPGHIYPLSLLCYEIMPPPQQVEKEIGEQRVISFHGVGVSVAEEMKYEDITSHTKNVDEGRELFAKTLHDSVVNQYNVLKSAIFKDHGVDASSPVVSLSQPWR >Et_3A_026750.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:1881738:1881920:1 gene:Et_3A_026750 transcript:Et_3A_026750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNKNGRGTGKLPVVNESFFRKGVAGDWSNHMTPEMAQRLDKIVEDALQGSGFTFNHAA >Et_3B_030947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9207731:9210757:-1 gene:Et_3B_030947 transcript:Et_3B_030947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPQCELLMAHDTLDLDAGHPQLSGSSVATIPTELSFQLLHSLDAVTPQPTVDYFFGAGGAADHHHHQSAQYEQLAAPGHLHTMNMLRDYCTGGHYPTGGEPYLRGSRAGALVFGAADDESSAAAAAAAYMAGTFESSPPLRSTGGRKRSRAAAMSGGFHGGLVNGVEKKEKQRRQRLSEKYTALMLLIPNRTKDDRATVILDAIEYIQELGRTVEELTLLVEKKRRRRELQGDVVDAAPAAAAGAEAESSEGEVVAPAAVQRQPIRSTYIQRKSKDTFVDVRIVEEEVNIKLTKRRRDGCLAAASRALDDLRLDLVHLSGGKIGDCHIYMFNTKIHQGSSVFASAVASRMMEVVDEN >Et_6B_048673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12462043:12463609:-1 gene:Et_6B_048673 transcript:Et_6B_048673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHESMAHVDAAELVRGKHVVIVGTGKSALDTAALCTEINGGTYPCTLVYRSFHDWMMDPKSLSAWHLTLGLDTTGRADGTQARRRRWLLMKLTEAHFKAHLPTQTHGMVPEHSFARSFLGWRICVLPEGFYDKVDQGIIELRKCSSFSFCADGVVLEGDDAGERVVVAADVVILATCYDTDAPLRGVFASPWFRDIVLAASSDAAGLPLYRQCVHPRIPQLAVVGYVDSEASVYRFEMMAKWMAHLLDGAVRLPGVADMERDVGEWARWRAWATRSRGGIFFKPCIHAVTTWYNDQLCRDMGHRARRKKGLLAEWLQPYGPADYAAIQ >Et_5A_042777.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4091528:4092394:1 gene:Et_5A_042777 transcript:Et_5A_042777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANDADEHLTLSTTASVLKQLSGTREEAERAREAAVQAWLASMPLGEELEKLRAELAAAKARLAATAAEIPPLKSAIESADRAAAARREEAGRKAAAAEELRQRVDRGRAELRRLRAEAAAASGAKDAMERRVLVRRQAALALRLAERAVAAEAHALAWAAAAAAELAAKAGGDGDAAHHDVVAVPARRLEELCRAVEAEEREAEARVEEAEAARRAAKARRAAAVARLDAARARRREADAAERRRRVECVDGDHHGEPARSPRRRRVRPCFAVKKLRKFLCNIGKA >Et_3B_029642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26711742:26728163:-1 gene:Et_3B_029642 transcript:Et_3B_029642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEDEKLLKEAKKLPWDERLQHKNWKVRNDANIDLAVLCDSITDPKDARLREFGPLFKKTVADSNAPVQEKALDALLAFQRAADADASRYAKEVCDAIVAKCLTGRPKTVEKAQAAFLLWVELEAAEVFLEAMEKAVKNKVAKAVVPAIDVMFQALSEFGAKVVPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKEPVKSILFEKMRDTMKKELEAELANVSGIAKPTRKIRSEQEKELEEEAVPETTGASTSEEAAQEAPVEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASTKKIAPGDFHEVSRTLKKLITDVNLAVSVEATQAIGNLARGLRTHFSGNARMLLPVLLEKLKEKKPTMTEALSQTLQAMHKSGCFSLMDVIEDVKVAVKNKVPLVRSLTLNWVAFCIETSNKPTVLKLHKDYVPICMECLNDGTPEVRDASFSVLTAIAKMVGMKPLERSLEKLDDVRKKKLSDMIGSATDTVLSSGTAPTSSSGVLATSARGATDSLLMKRSAASMLSGKKPVSAPAATKKSGAAKSSGAKKTDGGAQSKAAAAPEVEDVEPGEMSLEEIEERLSSAVKAETITQLKSSVWKERLEAIGNLKQEVETLLELDKTAELLIRLLCAVPGWNEKNVQVQQQVIEVITYIVSTVKKFPKKCVVLCLLGISERVADIKTRAHAMKCLTAFCEAVGPGFVFDRLYKIMKEHKNPKVLSEGVLWMVSAVEDFGISNLKLKDMIDFCKDIGLQSSAAATRNATIKLIGMIHKFVGPDIKGFLSDVKPALLSALDAEYEKNPFEGAAAAPKRTVRVLDTASSISAGSSDGLPREDISAKITPTLLKNLGSPDWKVRLESIESVNKIVEEAHKRIQPTGTVELFTALRGRLYDSNKNLVMATLSAIGGLASAMGPSVEKSSKGILADVLKCLGDNKKHMRECTLTALDSWVAAAQLDKMVPYIIVTLGDQKTGSEGRKDLFDWLSKHVPKMSDPAEALPLMKPSASSLMDKSSEVRKAAEAFMNEVLRICGQEVVAKNLKDLPSPTLAIVAERLKLTNVHEGFSDSVKMVTTSISLPSKPGLKSSKHGPNDRGTNLGKAGSQRGLPARASVSMISTQDTAQSQALFNIKDSNKEERERRVLVRKFKFEEPRREQIDELKIDLFKHFREDVSLRLWNSDFKRQIDGIELLQKALPSNGKEVIELLDILLRWFVLRFCESNTTCLLKVLDFLPELFDLLKDQSYMLTEAEAAIFFPCLVEKCGHNIEKVRKEMGELIKQMVTVYSLPKLLPYILEGLRSKNNRTRIECVDIVGYFIDHHGTEVSGLMKNLPSVAALTAERDGEIRKAALNTLATAYKNLEMDKRREGRPGDARAALRRSVRENGSDIAEQSGEVVSRSVTGSMISRDNFGYTDAHMDRHLVPRQMAAATPGPADWREALDIVALGLPDQSVEGMKVICHELTQATDPESTMLEELIKEADRLVSCLAVMVPKTFNFSLSGASSRSCKYVLNTLMQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPSRWPSPTASESLAVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGPMGQAHWGDAASNSPNPSTHSADAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFSQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIASIPSPKFAPSPVHTKSIDGRTDSNDDPETQPARGQAEPDYRLHSTDQQTERYQSSGTLDALRERMKSIQAAAVGHFDGTQARPLANMNGNILHGSTRLDGEPQNQSSIPPMDERALSGLQARMERLKSGSMEPL >Et_5B_044629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4162234:4165975:1 gene:Et_5B_044629 transcript:Et_5B_044629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPRGDRLSALGDATLTRVLSHLPTNEAVRTSVLSRRWRNLHAAVPVVDLLDLKTGDTWGHRRNHQPMCFEQMVTCALLTRDPTAPIRALRLDSCHPTVTVLVQCILVALRSGAEELDLKIRCQEASRLRLCPFGQYEDCSADFNESDLGRYVRTPAAIFRSATLRHLTLSRWTLEFPADVSFMALETLVLHRIMGSGEALRRLVSSCSQLTHLTLEECPGATTITVTSSRLRRFALVCCHNVRHVTLNSQRLRSLRYKGGLPQARSFVWIANYATVTALTIDICEDIDGKTPRQIAPITELIGRCTSLDFLHLALHPAMACYSSLFTRVLRLLRRLRHLELKGCLHNEHSVASVSALLQNTPNLEVLSLFSMLPDPPKKKESYFYDFEDMDIEQNKDDGDDKEVCYGSYVRVPRGVWTTPVRCFNHRLRRISLANYNGRPFERMLARFLLSKASALEELSVSIAPKSSAHRDEIAKELTYWRFSRRTRVRVIRVFLGHWREEQRQHLQVRCLLQDPGHCADGGLSI >Et_1A_005784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1428153:1431527:1 gene:Et_1A_005784 transcript:Et_1A_005784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTALSLCKSVLNQALGYSKTALAEEVALDELEMMRAVLTFANDEVVKNGVIKTWVRQLRDASFDIEDTLQEFAAILAENPWCIIRILFYRHRVAKQMERLRTRVEDISKRRERYHLMNILGSMMILLKIHTRLSLIVEMRINNLILVYFYASQQGSQSGCRSFVATFSSRLTRIESVVTAFNEFQLIGRENEKHQVVNCISNEDINLRVISICGMGGVGKTSIIKDIYESQVLAATYNTRACVTIMRPFNCVEVLRNLVLQLDPNCSRDMDAGSIASKISTLLDGKKFFLVFDDLSSTAEWDDIIQNIPTAPESSCRIIVTTRLENIARYCSRKEEYIFRLRTLGTKDAAHLFTAKVFGRITDLDNQYPELVQESKLILKKCNGLPLAIVAIGGFLANEPKSITVWRKLNEHISSELKLNPKLGMIETVLEKSYDGLPYHLKPCFLYLSIFPEDYNINRKRLVRRWTAEGYSSELRDMSADEMSDTFFVELIDRSMILPLKGSLDITKGIDSCQVHDLMREISISKAMEENQVFRIDSHCISNTPGITRHLVINGNWDGDRHQFENTVDMSHIRSLTVFGQWKWYFLSNKMKYLRVLDFEGTSGLVDHHIQHIGQFKHLRYFSLKGCHGICHLPDSVGDLKQLETLDIRGTQILMLPKTMIKCQKLRHVDARGLFGYSYEQDYKEKCIDLQDIGTELLLSCCSPNIYGADEFNRRDAFTQAFFVKIPGTIMDLDTNGVKLPEGIRKLRNLETLRVVHLAWDSSVLHYLEHLKGLRKLGIVGINQKNGQQFCTCISNLSRLESLSIVTNRGASLNDSFADSFFPPESLKSLKIQGILFNLPMGIGSLHNIVKLKLRNTRLLDADGAMRLIGGLPKLCLLRLWWNAFQSEEVHFQSGYFNDLVMVEIAEKWGAKHITFEEGTMPKLNFLPIKFYSGMEEVGFSGIDLLQSLKEVCYTPLIAPNQFYPSEIDTNREENVGNLEGSLRKQLGRNLNKPNLKIE >Et_2A_016149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21524013:21527790:1 gene:Et_2A_016149 transcript:Et_2A_016149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFFILAACILVSVAFLSYVHYASQQRRKAQGGHGHELAALKLPPGSMGWPYLGETLQLYSQDPNVFFASKQKRYGEIFKTHILGCPCVMLASPEAARFVLTQADLFKPTYPRSKERMIGPSALFFHQGDYHLRIRKLVLGALGPEALRALVPHVEAAVKSTLASWDGRVTSTFHAMKRLSFDVGIVTIFGGQLDERRKAELRKNYAIVEKGYNSFPNSLPGTLYYKAIQARRRLHGVLSEIMQERRARGDPGADLLGCLMQSRGDDGAPLLTDEQVADNIIGVLFAAQDTTASVLTWIVILESLRMASIISFTFREAVADVEYKGFLIPKGWKVMPLFRNIHHNPDYFQDPQKFDPSRFKVAPRPNTFMPFGNGVHACPGNELAKLEMLVLIHHLVTSYRWEIVGSSDDVEYSPFPVPKHGLPVKMWRENSSVDGKGCDGHNVEDILLSIASANTFIDATFF >Et_4A_035277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16912386:16916788:1 gene:Et_4A_035277 transcript:Et_4A_035277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSICAMRSLLAAAAAPTFTRAGASPPRPPPFSLPFRPRRAGTMLGAARSVAAAAQSRGGGGAEMEVRGTQSGEIHVIVGPMFAGKTTALLRRVQAEAGNGRSVALIKSNKDNRYGLDSVVTHDGTKMACWALSELSSFHEKLGIEAYDKVDVIGIDEAQFFEDLHDFCCKAADRDGKIVVVAGLDGDYKRKKFGSVLDIVPLADSVTKLTARCELCGRRAFFTLRKTQETKTELIGGADVYMPVCRQHYMDGQVVMEATRIVLDLDRSTVRSHALK >Et_2A_015593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15663413:15668337:1 gene:Et_2A_015593 transcript:Et_2A_015593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRLLSLLLVALLLIPFCSCQVGGSCSSARDCGAGLYCGNCPAAGKTRPSCIRDLAIQPTSIVNGLPFNRYTWLVTHNSFSILGEPSRTGVERVTFYNQEDSVTNQLRNGVRGLMLDMYDFNDDIWLCHSLQGHCYNFTAFEPALDTLKEVEAFLSENPTEIVTIFIEDYVRSPMGLSKLFTAADLMKYWFPVSEMPTNGNDWPSVTDMVAKNRRLLVFTSNPAKEASEGIAYQWSYLLENESGDPGIVPGSCPNRKESQPLNSRSASLFLQNYFPTMPVQNEACKENAGLPQMVQACYAAAGNKIPNFIAVNFYMRSDGGGVFDVQDRINGLTICGCNTIAACQAGAPMGACKNLGAPNQSSPSSTSSSAVNGNVYSGTIEFKTHPSSDSTTSVATSFVLWLCLLSTLKLL >Et_1B_011199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18711355:18715649:1 gene:Et_1B_011199 transcript:Et_1B_011199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRPAVHPVEAPPPAPPTPAQAEGQEQADGGDVVTQPRGVRMKDPPGAPGTPAGLGLRLAQAFFAAAALAIMAATNDFPSVSAFSYLVAAAILQCLWSLSLAIVDIYALLVKRSLRNARAVCIFTIGDGITGTLTLGAACASAGITVLIGNDLNICAENHCASFETATAMAFISWFALAPSCILNFWSMASR >Et_4B_036775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11444959:11446601:-1 gene:Et_4B_036775 transcript:Et_4B_036775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRGAPPRQEGGRLAGDADEEELEEGEARPDDGDEDFFDPDVALSYIVSSHPPLPGRARLSLPAPGLAEMLRRRAVLLACFVWFQDEKIQHVLGHFQKDFERGVSADNLGPKFGGYGSFLPTYQHSPALLPQTRSSHAVANIIASRSPCQPSAERMDRYLSTVAVQSISRNHGSIAPSTSDLCKNEGFSSTNSEESVAGSDSLDSSNNVPSRKNAAIYSGLGLDLSSSSSMEESPDRLGGAI >Et_9A_063474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3654480:3655434:1 gene:Et_9A_063474 transcript:Et_9A_063474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHRKACPYAPCHCPDETCAFVGTTKQLVGHIAGSHSWPCTTMVFIVDDTPVGLQDGFNFLVLDHLVNKEGVTTTSTTGKFLFLLNLARQPLGCVISVICIHPHHILDGQGQPSKAIKCALTYSRYFDEPTFRHYLWSNLKVECTDLSDGLPNHEMCFKFVVPNSALEDKDKEAIHVKVLEPCDSLLGVTAAVVAKWMNNALGQNLAETNARRLAKET >Et_4B_038643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3671027:3684549:-1 gene:Et_4B_038643 transcript:Et_4B_038643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAELIPSAPARRVKAGHLWPAGGAEGKRQRRKADDFEAAFREFDDDSEDDDDCEEVMVVEGGEEVESKPFVFSSSSNKKPAARRQEPGGGRRGRKPAQYRGVRRRPWGKWAAEIRDPVKGVRVWLGTFPSAEAAARAYDAAARDIRGPRAKLNFPATSSFSAAAAAPASRKRARAAPVIDLVDEVEEHTAPVKHEGSESSESGGALPDFSWQGMSSAVDSVVEAALPTVDLDGASKRMRTDEEAEASPRSASESESDALFDAFLFGDQFNFFGGGEYQSLDSLFSADAVQGGAAADEGMGLWSFDDNCLMCGGAILAELIPSQPARRVAPAGGKKRSGAADEDFEAAFRKFDDDSEEDEGDKDGDGMEPTGLRAASRRRRRPSEYHGVRRRPWGKWAAEVRDPVKGVRVWLGTFPTAEAAARAYDDAARDLRGAGAKLNFPATKARPRKRRAAAPKATECVDLVVVRAPLLTVQTEIESSEASGASSCTSALPDFSWQGVSASDDDGAARPVDLDVEQTDQQSVELGGTTKRLRSEPNEPAAEDLFFDPFVFGDQLGFFNGGGAYEPSSLEGLFAFGDAAQSSDSVGLWSFDDDCLLIPPPRRAAARPVTAGHLWPASSKKGGGGKNKRHGHEDVAEIDDFEAAFEEFDDDFDEAEEEEDDDLGSRPFVFASKNAAHAGLAASQKKRGRGRHFRGIRQRPWGKWAAEIRDPHKGTRVWLGTFNTAEDAARAYDVEARRLRGPKAKVNFPAAAGVRPRRRGAKARAAPETQPASRGAQKKQDELVVKPETAMASLDMDNFFDLTYPAAPPVMASSFTASSVSESGSPAKKPRMTDDSSEGSGGGDATLELTDELDFDPFMLLQLPYMDGYESIDSLFAGDAVQDVNAVNHDMNSAGLWSFDDPRSLPAHTSSHDSYLPRSLDTRWRTSWSISSPARCKPPGNRGMCGSGILAQLTPARVHRRVTAATLWPAAERTTAATGGKRKAAAGLADDEFEAEFQLFEDGEVEEEEESPAALSEPGASKAKARSLPGTPRPENRPVFIPKKCSLLFCSCILIGAASSGVAVPSSPTKRRGAAARPGPKKYRGVRYRSSGRWAAEIRDPRQGRRAWLGTYCTPEDAARAYDREARRIRGKSARLNFPLPNEGRHQHPPPATIDLNLPAVDSDDHDLHSAAGHDTTMDVDTDAGNVCHAAESTLMRIRELITQSQGPRDERLASVVSELMNGGGIRSEARAAGALLISECSQQMEQIAALKRDLEKREAQLVARREQLVQL >Et_3B_027632.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6826722:6827265:-1 gene:Et_3B_027632 transcript:Et_3B_027632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEGEHHSLVGMSLDHLHSYSEVAQQNLNHRHCCLHSHQEAHHKTEALEGELVRSHQHCNHLHLPSGCSPGLVPHNHHLCHRGCH >Et_5A_041994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:521776:525156:1 gene:Et_5A_041994 transcript:Et_5A_041994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPEDRGDGPKDGSSSSSIGSEDHDGHEDGAADSEDITDDGCGPRAVQLLAIRANYRISSISAYDWREYRSIYRAIEGEVQEEGMVDLIPIGPCRILEAYGSLGLKVVAADDEVSCIHWWDVNEDDDVEEYTQTLYGGLGRKLEVTYLVMPDAIETHVKVRLNLKDLGLRSRAVYGNIKASAIDYGGKSVHLFSHERGRSLSLPCGSACSLPLTPYIVAVPYHRHFKINIEVDLRVITSTILEAHGCLGLKVFAADDEVSCIDWWDVTEDDDVEEYTQTLSGGLGRKLEVTYLVMPDAIENLRPMLGLNLKDLGSRSRAVYGSIKASATDFDGKSVHLFSCERGRSLSLPCGSSCSLPLTPYITALPYHRPFKIDIEVDLRVITTCDREDKSLKFGLDFMRGITSQERRVDGDGVEMNITWCLDKR >Et_7A_051120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14698932:14703240:1 gene:Et_7A_051120 transcript:Et_7A_051120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRTKSLMLLWSKRSISEFLSAGTDSTAAALEWTMANLVKHPEVQQKLRHEVDSESAPSSRRSSARPHPPPPPPDGGATVNFLVGKINRDPTAFAPERFMPVGDGEGVDLTCTRELRMMPCPGLATAMLHLEYLVVNLVREFEWWEAPDGDEVDLADTMDRLLLWLPPLLLTAVFLVLGRRRKSALDAALLSKHVTQLLEKAPGRQRGLTVHLTDRAVARRVLGQQHSSAFLDRPTGAVPSTIFTRNRHYNILSAPYGPYWRAVRRNAAAGGAAPLRLLGDTRGRVLGGLVRKLKSGAPASESLHFAAFSIIAEMCFGKEVVSELGETRLRVMHKFQRGILLALRSFAVFVRYPRIGKFLYPSRWRQLLAFRQQQEEWFLPLVAEVRKKRKARREGTTFTTTYVESLLDLRVHEDGDRALTDGELISLISEFLGAGAESTAAGLEWTMANLIKHPQVQQKLRHEVDSICSSGVIQEDDLSLMPYLKAVVLESLRRHPPVPFVLRHVEGEEAAMALGLPSLLDGGTTVNFLVGKISRDPAAWSDPASFTPERFMPGGDGEGVDLTCTRELRMMPFGAGRRMCPGLATAMLHLEYLVANLVREFEWYEADGDEVDLTEFRAIFITIMKHPLSARLVPRPDAAAKE >Et_4A_034459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3420211:3429197:1 gene:Et_4A_034459 transcript:Et_4A_034459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMWGRALAAAARGDRIAAAWAAVRAQAVAPVLQAAVWTCIAMSVMLVIEITYMSLVSFVAIKLLRRVPERRAGGNAFPLVLVQIPMYNEMEVYKLSIGAACALTWPSDRIIIQVLDDSTDPFIKELVQFECKEWASKKINIKYEVRDNRKGYKAGALKKGMEHSYAQQCDFVVIFDADFQPESDFLLKTIPFLVHNPEIALVQTRWEFVNYDVCLMTRIQKMSLDYHFKVEQESGSSMHAFFGFNGTAGVWRVSAINEAGGWKDRTTVEDMDLAVRASLKGWQFLYVGDIRVKSELPSTFKAYRHQQHRWTCGAANLFRKMAQEIGVSVWKKLHLLYSFFFVRRVVAPILTFLFYCVVVPLSVMVPEVNIPIWAMFYIPTAITIMNAIRNPGSIYLVPLWILFENVMSMHRMRAALTGLLETMYVNEWVVTEKVGDHVKDKLEVPLLEPVKPTECVERIYVAEILVSLYLLVCASYDFVLGAQRYYLYIFLQAFAFLLLGLGFVGTAEHCSCS >Et_1A_006117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1852686:1859035:-1 gene:Et_1A_006117 transcript:Et_1A_006117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGEKHAAGGGGAEKRKYPILWEDYELYEEVGQGVSAIVYRSLCKPLNEIVAVKVLDFERTNSDLNNIMREAQTMILIDHPNVVKAHCSFAKDQTLWVVMPYMAGGSCLHIMKSVYPDGFEEAVIATVLREVLKGLEYLHHHGHIHRDVKAGNILVDSRGVIKLGDFGVSACLFDSGDRQRARNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGNAPFSKYPPMKVLLMTLQNAPPGLDLERDKKFSRSFKQMVAMCLVKDPSKRPSAKKLLKQPFFKQARSSDFVSRKLLEGLPGLGDRYQALKEKDEDLLAQKKMPDGKKEEISQDEYKRGISSWNFDMDDLRSQASLITECDDSISCKDSDALSFYDVDSLQEQAPEVPHLSRDFTINDIDTENDVMTNDKSTVSSPEHSRCLSRKDISTESCDLVSQEKDSDTIPSNSSHERKFSFSSCSSDGLVSSKESKIMSTIVKGAMELRCMSQVKLLLKLFIRHLSQQDHDDRARPPLIQQRGRFKVTPGHVELDKSHPPGLQKCRSMQTISHLPPLSIPSSAEAASSIIGGSFYMQLYNVLQTNMLQREQILNAMKQFSSSDMASPSIPSMASPCIASRSISPSSALSVDRAMLEAAHEKEKELVNEVLDLQWRLLCTQDEVQRLKAKAAQVHTLFPPQPYLFTLYIIYTPFDIHEVKKMCTGGDFTQKSPYEYLLYQGIFLHVNVKMLCIDRSKAQAAKGALRTHDHIPNDLF >Et_9B_065047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20878017:20879808:1 gene:Et_9B_065047 transcript:Et_9B_065047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMVMVKNEIENFSGSAPAVMINASEGGEASQVVRRRRREPALLAPISGGANSSGIGKPLPSITVKRSSRFRGVSRHRWTGRFEAHLWDKNSWNPTQRKKGKQVYLGAYDEEEAAARAYDLAALKYWGPTTYTNFPVMDYEKELKIMENLTKEEYLASLRRKSSGFSRGVSKYRGVARHHQNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYKGVNAVTNFDLRSYITWLKPSTPVTFNPEALVMQATPAEQVLQTETQMLPRGNPFLLDHNALPGSSSISQEASMISPGGMRKRGSSTALSLLFKSSMFRQLVEKNSDAEEAAGGVREAGAHPGEAYEYHNFFQADGPDMCDLFSSGCGNAPNAFHGQIACYDDGESAATWNGFGNMSSLP >Et_3A_023678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10784786:10788868:-1 gene:Et_3A_023678 transcript:Et_3A_023678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLRRLLDGAALVAREATRRTSARDVLRSALLTATDLAGLTRGTPRSPQPPPGAAPHPAWETSRPSSSVVYFTHDDASASAQDPPLEQHHPPAQECPHPARAPEIVNTATTASVAVEPDTAAAARPEPEAPPPQPSPSASPAPPLPSPVPVEKRRRPRERRVPSTPFTRALGFAGLGAGLAWGTLQESAKRVVYGTPVDAEGKRSALSPFLSDQNAERVALALCRMRGAALKVGQMLSIQDESLVPPPVVLAALDIVRQGADVMPRKQLNSVIDGELGPGWSSRLRSFDYEPLAAASIGQVHRAVLKDGSDVVMKIQYPGVADSIESDIENVRLLLTYTNLIPKGLFLDRAMKVAKEELARECDYVLEASNQKRYKELLSDSDGFYVPKVIDELSSKKVLTSEFVPGVPIDKVAQLSQETRNYVGCKLLELTIKELFTDPNWSNFLYDDATRRFNLIDFGAARDFPKRFVDDYLRMVVACANRDRAGVLEMSRRLGFLTGEEPEVMLDAHVEAAFIVGVPFAKPGGHDFRANNITHSVSNLGATMLKHRLTPPPDEVYSLHRKLSGAFLACIKIGAVVPCREMLFQVYEQYNFSDDNSEVLSGTG >Et_8A_057827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:855935:859021:1 gene:Et_8A_057827 transcript:Et_8A_057827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GVGGIGRRKITTEDKDSSIVTGKGNCIVYSSDGKRFEIPLAYLRTTVFKELLKMSREEFGFTSSERITLPCDTVVMDYVMCLLRREGSEDIGKALLGSIVMPCHQTSRIVQAHSGHNQQFAESSYLKGNQAAMINSKKLAQLSKKWQGIGAIARRRTTTVDKEINPSCNIVAGKGNRVVYSSDGKRFEIPLEYLRTAVFEELGFTIDGRITLPCDTAVMEYVMCLLRREASEEVERALFSILMPCHHTGRMVQAHNGINQQFAVCSS >Et_4A_035256.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:1565213:1566970:1 gene:Et_4A_035256 transcript:Et_4A_035256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLPKRPANYVPLSPVGFLPRANAVYGDRLSVIYGRKRYTWSQTYRRCRRLASSLLSLGVRKNDVVSVLAPNVPAMYEMHFAVPMAGAVLNTINTRLDANAVATILRHSEAKLFFVDYDYVRLASDALQLVSSSGAPVPLVAVIDDADNPTGVRLGELEYERLVARGDAAAELPPLGDEWDAVTLSYTSGTTSAPKGVVYSHRGAYLSTTSLLLQWGVGNEPVYLWTLPMFHCNGWTFTWGMAARGGVNVCIRDAHPDSIYRAIARHGVTHMCCAPVVFSFLLEGAGGKKQCLDAPVHVLTGGAPPPAALLERVERIGFKVTHAYGLTEATGPALACEWRGQWDRLPHPERARLKARQGVSVLSLADADVKNADTLASVPRDGKTVGEIVLRGSSVMKGYLKNPEANEAAFKGGWFLTGDVGVVHPDGYIEIKDRSKDVIISGGENICSKEVEEVLHAHPAVADAAVVAMPHPRWGETPCAFVVARVDKDAAAAGPVSEDELVAYCRTRMARFMVPKKVVLVDALPRNALGKVEKVKLREEARNIAPTVVVAAVQKPKQGTTKTTTTGGGEQLQVAHVMALSRL >Et_8B_060403.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:13637261:13638160:1 gene:Et_8B_060403 transcript:Et_8B_060403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEAAGGRQKLLPAWNRGLFLLALITTGGLAAALVLGNALVVQPLAAAVLVDAEGIISRADPAGAAYRDLVHGMQADVRRLLLAAVVAGSAVKVATIFAAVAAFSSSSSSSADTTAGAAMDNAKGDAVLTVAFGHVFEAACAGAVVALTLLAVAFLDYSLLLVFLDALLVLLASLFLAYLTVICCAAAVAVVSAAAVSRAWRFTRGNGARAALSVVAACALGALASPVYTLAVRRSAAAAVAAVVAYVLLLAAAEVFSVAAVTACYLECTEEEEEEEETMAGHRYIKLPSGDQLSNI >Et_3B_028166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11140032:11141513:-1 gene:Et_3B_028166 transcript:Et_3B_028166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TIRDESIGSDEHKRIVELSEIIIHEIDSNTWLKNPRGAQTIFRVPKERLHDADKCTYQPTFLSIGPYHRGEDATEEMQRNEQGKLLWLGSVAKDAGPSVLQLTQAVASMEARARSCYEGDVQMERDAFCRMLLLDAVQLVFLVQLLGHGGVVAQGRSKETDGASQGCNMIKTRDLRLTVHDLMMLENQIPFFVVEKVYELIHSSSTGDDDADAAAEGDGSVVPVARLAWGTIGAIMADVPPALDDGVDKCKHLVHLCHAYLKPSSAANETCAGEYGRFRRATEYYEAGVSFRRWSANDGSRRPLLDVRFSDGALRMALQSVDEKTGYVLRNVLAYEQKYYRAATDAGESYVTAYVVFMSQLLSSPEDVALLSGRGVMEHLLGNDAEVCALFRGLADGLVFDPAGEHYLNPVGVALQGHCRSRLHRWGAWIMRHRFSNPWLVAAWVFGAAAVLGTIVQTVYTVLSYYR >Et_2B_021960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7366444:7372813:-1 gene:Et_2B_021960 transcript:Et_2B_021960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKIQKMADEIIEMEMNKTLNECADRVLAEDHSLQMDGMLEDVSSDEEEDLLEVAENGDDTSMINYTEHDLEEVHQGAMSAETVNANVNPSLNSVMDRYLVDAHAAGSMTKRATPLNPAAGPPMLGAPVLTTEMLGADNAHAPQVCTQGAAMQAPRTPSAVAHDAMLLAEAELSANVVDVAGHGAAMQRVAALEAVALGGSAGTPRAVALERAIPAAAHVEIVGANRPHRYGGRPAAPKRGVGRKRGAGSAPPRLGWGQGGQSEGQQRPRCGPIMVATLAAAAAIQEVVAKPQRSSPRLAGAIDEHTMERAKKRAAWKNLDPEGVNLGSNSKQISDSINCLKLLEQQRYESNIVSNANCLMDNSDLQFEFLENKDEEKDDFDNLTLGHLCGGLMEEVMDDDSDHLKRAKGVAIYAGLGIVEQHVEEVVLPRLAGATAAQPLGHLGVEHPVQPFEQPGHPAARALQVGCGERGEEVGDVGHPGHFHDLRAHSLDLLGLASAPAVELRQVAREHHARQQVQPRREHHLADVHRRRAPAARRQPRDVVHELAHLVAPEVAERLEPVRREQLGGHGAPQRAPPRPVGQPGDGVPGVVARGVGHGPGREGGVVLLQELARHIRGGDDHRGDRAQAERHERAVGLGQAGESAVRLPAQEV >Et_1B_010542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11477236:11480529:-1 gene:Et_1B_010542 transcript:Et_1B_010542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWVIGALINIVGSVAINFGTNLLKLGHDQREKLSAINHSEGNDKFVPKSVIHFQTWRIGILFFAVGNCLNFMSFAYAAQSLLAALGSIQFVSNIAFAYFVLNKTISVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEQLIAKYSNLVFVLYCMSLVFVVAFNHYLYRSGETILSNSSKDAGTYWRTMLPFSYAVVSGAIGSCSVLFAKSLSNMLRLTMSSRYQFHSWFTYAILLLFLCTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDTLRIIINGKMQMEEAEVDDTNSFVTSVKVKARRVLSRAKSACSMSLGLGEETISASSVLAMPMVSSRTTGFRGNDRTKYVPLRNTDWNNL >Et_4A_031797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15963361:15964551:-1 gene:Et_4A_031797 transcript:Et_4A_031797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWTGAIGAVKKRQDEQAAAAEPAYQSVALVVGSTGIVGTSLLDILPLADTPGGPWKVYAISRRPLPPWSPAPSPAVTHLHLDLADSAAVADALQPLTDITHVFYVAWANRPTEAENREYNSAMLRNVLSVVDLPRLDYPNFYYDLEDILFDEVSRRDGAVSWSVHRPTTVFGYSPRSAMNVVGSLCVYAAICKKEGTPLRWPGGRLAWEGFSDASDADLIAEHEIWAAVDPFAKNETFNCSNGDLFKWKHLWPTLAEHFGVEWAGYEGEENRFKLSEAMAGKEAVWQEIIGENELLGTELDEITNWWFVDAVFNVESEHLDSMNKSKEHGFLGFRNTVHSFNTWIEKMKVFKIVP >Et_4B_039576.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:22411169:22411762:-1 gene:Et_4B_039576 transcript:Et_4B_039576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVDVRGGHGGLGTHGQGHGGFGTQGYGGGGGRPMGEQVKGMIHDKAPSASQALTVATLFPLGGLLMVLSGLTLAGSVVGLALATPVFLLFSPVLVPAALLIGMAVTGFLTSGALGLGGLSSLTVLANTARQAFQRTPDYVEEARRRMAEAAAAAGHKTQQAGHAIQSRAQEAGAGGGGGAGERTGATGGGRASS >Et_10B_003949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8256910:8260831:-1 gene:Et_10B_003949 transcript:Et_10B_003949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAAGGDAAPPIKGNGTGREEEKGDWEPTGEDQALLSEGTETTEREEKGCWVPTGEDRTLAPECANGAPPLYHTFKVKDSVLLPYRLMILVHLITLIAFFIWRIKNKNHDDVWLWALSMAGDAGFGFSWLLYQLPKINPIKCVPDLAAIREEYDSSTSTESKLPGIDVFVTTIDPVDEPILYTVNSILSILATDYPVEKYACYLSDDGGSLIQYEAMFEVAKFAELWVPFCRKHRTEPRAPENYFGMKRTPYMGTMQEQFMSDCRKVRREYEVFKVRINSLFTTICKRSEAFNSTNSKEDGMKATWMADGRKWPGTWIEQEENHRKGQHARIVQVILNHPSPKPQLGFPASNENVFDFSNIDMRLPMLVYLSREKCPSYNHQKKAGSLNAMLRVSALLSNAPFLINFDCDHYINNSQAFHAAICFMLDPSSGQNTAFVQFPQRFDNVDPRDRYANHNRIFFDGTSLSLNGIQGPTYSGTGCLFRRVALYSMDPPRCRETTSRYPTRQKYLVNQLYSLTQ >Et_3B_028879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2055088:2062537:1 gene:Et_3B_028879 transcript:Et_3B_028879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAKEAAAMDASKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRDCPVLANVDPKQIKVWFQNRRCREKQRKESSRLQALNRKLTAMNKLLMEENDRLQKQVSQLVYENGYYRQQSQSAGLATTDTSCESVVTSGQPNVAAAAAGAPQVQPRDASPAGLMSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAEILKDRPLWLRDCRSMEVVNVLPAGNNGTIELLYMQLYAPTTLAPARDFWLLRYTSILEDGSLVVCERSLSSKQGGPSMPLVQPFIRGEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSAMIAQKTSMAALRYLRQVAHDDTQSVITGWGRQPAALRALSQKLTRGYNEALNGLADDGWSVIESDGVDDVCIAVNSSPSKVVNCNATFNNGLPIVSSSVLCAKASMLLQDVSPASLLRFMRENRSQWADSNLDAFFASAMKPNFCNLPLSRLGGFSGQVILPLAHTFEPEEFLEVIKLGNVSNYQDTLMHRDLFLLQMYNGVDENTAGTCSELIFAPIDASFSDDSPLLPSGFRIIPIDSPLDSSSPNCTLDLASTLEVGTPRSRMTGNGSGNATCAGSKAVMTIAFQFAFESHLQDSVATMARQYMRSIIQSVQRIALALSSSRPVPQCGINHTPASPEATTLSRWICQSYRLHFGAELVKPADSSGCEPGLIALWHHSSAILCCSLKAMPVFTFANQSGLDMLETTLVALQDITLEKVFDDQGRKNLCTELPSIMEQGFACIPGGLCVSSLGRPVSYEKALAWKVLDDVNNAHCICFMFVNWSFPPSSTAPPSSASSGAASSSTPTSTRHCRAPPLLGFFRSDSRYLPAVVRTRSSNNLRVLACRHGRVLIHLTYSKKLLVEVNDPLTGFGVVFPGPRSPVLDFGCVIHGSLICDHDDSRRGEDHRCSWRRFRDARGEDHGCSSRPCRVAVLLYGSGCIHASVSGDKHEACWRSGAVWCLCPLHPGVLVGNAVYWLAAPPQSQIVVFHLDTSKLHLIESLPVDSLGSYDYYQIVEAGDGELGMAALRESRLHLFALVTGAEGAARRWSEYRAVELDTLRLLSPPVKAIEVTDEDANTVYLESTDGVFALHLKTMEINKVIPSGVALGTMIAFRRLCITVVTWLNVEIQMALAERMVQVMVLDQQMLIDGNTSELLLESCMMLSFVEFGCREIRGAAWHAISVLFHASLHRFYQACPSRLPAMAYLAAQSLMTPQERARW >Et_3B_031126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1689308:1691643:1 gene:Et_3B_031126 transcript:Et_3B_031126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKATILMNRYELGRMLGQGTFAKVYHARNLASNQSVAIKVIDKEKVLRVGMIEQIKREISVMRLVRHPNVVQLHEVMASKSKIYFAMEYVRGGELFTRVARGRLKEDVARKYFQQLIGAVDFCHSRGVYHRDLKPENLLVDENGNLKVSDFGLSALRECQKQDGLLHTTCGTPAYVAPEIINKKGYDGAKADIWSCGVILFVLLAGYLPFHDANLMEMYRKISRGDVKYPQWFSSDLRRLLSRLLDPNPNTRITIEKLVEHPWFKKGYKPPVMLAQLHGSNSLKDVQAAFSTENKANEVNKVEHPESPLKPTSLNAFDIISHSKGFDLSGLFEKDQEQKANSRFMTQKPASAIVSKLEQIAETECFKVQKQDGLVKLQGSKEGRKGQLAIDAEIFEVTPAFYVVEVKKSAGDTLEYEKFCNKGLRPSLKDICWTGNDLEPSL >Et_2B_022474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23093451:23098733:-1 gene:Et_2B_022474 transcript:Et_2B_022474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARSVLDGVLSSAGSAVADEVASLIGVPKEVEFIRNELEMMQSFLKVASAHPEAAGRNDTVRTWVKQVRDTAYDVEDCLLDFALYASRTSSSRARTWLPSAIAARHRIAARIRDLKASVEALNQRNLRYHIVVGSPSAARAAEEAQLLAGGGMLVPDHDDDARWAAELAFEVSDIIGRGGEKDEVTRVISGGNGALSVVAVWGMGGMGKSSLVRMVHNDPKLLDEFDCGAWVTVRHPLDAADLWFRRRLGKELGLALDQNVQDYLRDKRYLVIVDDLLDTDEWENIWHVFPRDNCKGSRIIVTTRREDVARHCAGHAAEGHGHVYELKPLGEAESMDLLCRKVYKTTDYPLPQDMAEQASHILKRCRGLPLAISTIGGLLANRPKTSIEWRNLHEHLGAELESDLRNITKMIASSYDGLPYHLKSIFLYLSIFPENHEIRRTRLLRRWMAEGYIAKNRDMPVEDVAERFYSELINRSMIQPSKASPGVRADRCRVHGMVLQIILFKFTEENQLFLIEKHSNEAPQNKIRHLVVSRWKRRGEKLLSMNLSYVRSLTIIGECPLSIISPKMRLLRVLDLEDTENLNNDDLRHIGELYHLRYLSLRGTKISLLPPSLQNLRYLETLDIQDTKVTRLPDGIAKLEKLRYLLAGVNLARDLLLKLEDSDMITHQSNIFGNMASSLCYKCSEYCKVSGVDRLSVSAPEGMEKLRNLHMLGMVNVGQGNGVAGKLRKLTNLRRLGVTGFNEEEGQELWKSIGKLNRLQRLEMRSDSIEFLATMDESTVPRHLVSLRLCGKLGSLPNWISSLNDLAKVHN >Et_9A_063071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9627139:9629654:-1 gene:Et_9A_063071 transcript:Et_9A_063071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVPDEMLDNLASLVELSYSPDPARRRDAGEKLSSQIPYPDYALGLFFLAASGRHNTEIRTAPAIRFKDLIRLQWPKRNHSPNAGPLPDLECGIIKDHIFNLLITAPAIIQAPVSETICSGAAIPDLERKNLLHRRTLKPGGEGGGGRRRVRARRGREEGAGGAREPGGGGRRGPAARASQKGEEGGGWRRASQEGAGGARARRGREEGPRRAGEGGRRTSDLHTIKANLFAAALLVSSFRKKPATPDTLHELKDCCEEFKIFWDVYRHLLDTIFASVALHNLKGPPELSLLFQCLHHCLDIWYSISSVTCLSEFKGEVRRFSDFVNMTWPPSYKTDGALDRLYARIFDCLRLYVEKYEGVLSPDELKKFVETVLKLLSVQESSPCRRDLIVSALELLATVDMKNKAFDGTLVQICNHIILPYLQFQDEDEALFKNNWMMYMSNDSERNTPRWAACRMLTSLCTHYNEELMKILNSWYEQMLEAYRKDPVNNWKIKAAVIYILVTFKSYQAKVCDDLDTVANPMLTATIIWYIKEASSDIPKEKAMKLLPIVTKLLKHESRVVCCYATTFVEHQLSMQDTAQVACYAHADIDPIADEIMENLFQALTLPDSYKNNALMKCMRCVVAVSDRTIDSVSDITALEVLLKGVCNDPNNLDFNHNLFEVLAAVIGKVSATGSAPVAMFEAILFPVLDSIVSIDISNLVSYAFLILGQLINLKPAPLPEGYIYEII >Et_10A_001115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21243307:21252772:1 gene:Et_10A_001115 transcript:Et_10A_001115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSPITADPFRCACASFRTMISEGINAGDVKKLRDAGIYTFNDLMRHTKKVLSLASLIFILFPKMYCLDGSLTGIKGLSEAKVEKICEAAEKLLSFEEIKGLPEAEVKKFCEAAQKLLSPSSQADEGVEDDEGFDSIDMLIFEGINSVDVKMLKDAGVYNCNDLTKQTKEDLRGIKGLSEAKIEKIIKAADKLRSERLKTGRNLLINNYVVQSTTGSQAIDWDYIPDLSKEAMPPADAGAPSRPSGGQSWGLPNRMVFFVDQKGVDPEGVDLDGVDPDSLEVCIVREPLVKTIHPDGVHHKEIPEVSVVVMDGSILSGSSSCSITGLVKCTDPAGNILIMVSQGPDTERLFVYQPGSGTALRLPPVPDSLRVQNPTPCCTGIVSDPCGGSKDFVVVQLLQASPASSSSTNLLQTLCFANREDTWVLKDLKADQLSRFEAKTVISHSDGSIVFVDLEYGMLIFDPSKEDVVRAVPFPFPEGTRTSHSRCVATSEGRLWHVLVDSVPFDPKITMWWFDKESEWKERVCIGMDEVWT >Et_4A_032392.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:6352887:6353117:-1 gene:Et_4A_032392 transcript:Et_4A_032392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGALAWQATVIKDDFVSWGEIARGLVFWPRDLPSFVCPCACFLLFAARSATNCCGTQSTLANKPWSYSANIRGTN >Et_6A_046659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1892215:1894397:-1 gene:Et_6A_046659 transcript:Et_6A_046659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCAKGAEQAAAAADTEPKPEGPSGGEPSANNAGPRPSSGAKPADGKPAAAVGPVLGRAMEDVKASYSVGKELGRGQFGVTHLCTHKATGEKLACKTIAKRKLATREDVEDVRREVQIMHHLSGQPNVVALKGAYEDKHNVHLVMELCAGGELFDRIIARGQYTERAAASLLRTIVQIVHACHAMGVMHRDIKPENFLLLSKAEDAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRKYGPEADIWSVGVMLYIFLAGVPPFWAENENGIFTAILRGQLDLASDPWPKISSGAKDLVKKMLNINPKERLTAFQVLNHPWIKEDGDAPDTPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEITGLKEMFKNIDKDNSGTITLEELKNGLAKQGTKLSDSEIQQLMDAADADGNGLIDYDEFVTATVHMNKLDREEHLYTAFQYFDKDNSGYITKEELEQALKEQGLYDAEEIKEVISDADSDNDGRIDYSEFVAMMRKGTAGAETTNPKKRRDLVL >Et_2A_017470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34739338:34739852:1 gene:Et_2A_017470 transcript:Et_2A_017470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTTSSTAVVPAMEEGEDRYVKVASRFYRVKPRDGGGDTMARLHYLGSCFLCKRSIACDRDIFMYRGDAAFCSDECRQEQMDMDEALHAVARRHRLLRTPSSSSAATGDARPTMMRRRPTIANLSARNPPVVAS >Et_9A_062329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21921605:21922657:-1 gene:Et_9A_062329 transcript:Et_9A_062329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVGDDVCGGGGGRRTLASELARVQAMVRMLEQNMDQDLPAAAREVCGELASSVDRSLQIARSWFGPESPGSGDGGLDTAGTGNAARDAHFKRRKGMPSVRKQVRVASVHDMAALEDGLSWRKYGQKDILAAKYPRAYFRCTHRNAQGCLATKQVQRVDGDPLLFNVVYHGAHTCVQGRAAQLQPGQEQSSPPPALETGGLHAGFEPATPSPFASTPAGGDLGYLLLSPTSMDWQHRSIDAAGGLGGADMEFAAQYEEFFSKPPESFQWEFQDLYTAN >Et_4A_035607.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30986116:30986637:-1 gene:Et_4A_035607 transcript:Et_4A_035607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESNGAAAAALQLGRRRHVLLFPLPYQGHINPMFRLAGILHARGFAITVFHTHFNAPDPARHPDYRFVPVPDGVSSSPASTAIEDVVVQILAIGNACAAPFADRLAAVMEEYSQDAVACLVADAHLLPVFEAAARLSVPTLALRTGSAASFVCFAAYPMLCEKGYFPVQGT >Et_9B_065215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2563921:2572723:1 gene:Et_9B_065215 transcript:Et_9B_065215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHWYSSFQVQVHLRDDTTVYAELLHYDNHYHIALFKVDVNLSAGVPSLSSNVKHAQEAFLLGRDENPQISPLPPLLQPPPPPPPPPRLPEEVPAPRSRRTPATRPSAPASTPHSAATVAARCLGPDLRRRRRGPPVLAPLLPVPGPDPPAPSPPSSPAFFSASGKLRHVIDLKRHRKVQGRSQVGTTLVDEILSDPSLKSYRACKVREIQESRTPGGNQKWKNRGKQTLKRAKDRGKNALGGIFKLHQYFPQFVSIDRDFSCGTGGLVIGFDGRFIGIANPTPRQAFIPASTLLSCIPRLHLGVQLSAIRYLEPSHIEKLDSKFAIDEGFIVEEVSEGSNAEKVGLRIGDIITCWDEKKISTTVDLDDLLLGICEDHLNNGNSIGSTVSLTGRI >Et_9A_062675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3000419:3003901:1 gene:Et_9A_062675 transcript:Et_9A_062675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIIAQELDLHNVMPLFDKEDEDDDFRGVDDGSRGEIASIGKTILQSLLNEEKFLVIIHYGEDKEINLEEFGIPLKFGGGKLLWSKCGRLKLFTEEEEEEEELPDYLKRASVIIADEASLTKEAFLLVLREEAVELTRYTGMDGIDPEILLECYKYLVFLNIDYLGSISRSTDYGWFTHACNYWVCDGILQGDTAWEIGDALQRLLPLLSYDAPQGIRWGKYLDRADVLGIRLKIQEKYSSSSEEYNPPNRKSTSYFLTEYDLRRELHIDSFQCAKNLRVLKLCNCTFDFSSPAFLCCQNLRFLWLDTCTDNKKEQATVPSSFPNLWVFDLRFTEYVYLPQVAEMMRELRELNAKGVSWKIIIQAWKKLQNLHKLRVTAQSSLHQKNTAQSSDVMTEKGCSPIDMMNLELLDLSDNTQLGSLPDLSLAQRLRVLVLDGCSSLEHVMIERAPTLEIFSFDGYGQAKEWAHSLHLPNEELHPKARGRNNAEAKQPETKVSEISLEGCARLHSIFLRALLSLEELNLSGTAIKRLDLCPMNIHSLKYLFLLGCKKLRSLLWNEENTELKVLHVDTRGKASSVMCCEQKNLKFVADITFLDGRFVWSVMRGIYGRPKAMTQPEVYFIGSHHTENDHVHLHLSSTVNHEVQIAKNIEDTVPNNGDSVATRPFLSPYMDFHIAEEVAHSGLLWDCRQLKLLNGHIEIGEGSYNLENMQDYSYFSKFFCYHTKSLHVHDNSSITDIPINRVSWVSLKWCHVERCPRLQTLFTFPTGEVYFDLNTFSAIDLPMVHCIWGKGINYAQKYIFRSFRSLWHIYLNNCPRLVHVLPVSFNLPSLETIQIEYCSNLKCIFPLDDGYPKDIEDRVVFSNLKRIKLRYLHSMKQICEARVSFAPELEMISVRDCWGLRRLPAISDQYSQRPIVEGEKDWWDKLEWDGLKENHHPSRFKVRHSSRYYKKTILRASVLRKVPGLGILGLVCQEFWLSPATSGVHIIELGCVGVLSLFENKKLCLWAETV >Et_4B_039613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23936328:23938005:-1 gene:Et_4B_039613 transcript:Et_4B_039613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVDFASGDVAAWHASLAAYDHRLAALDKPDLVAVDSFYRHELPAALRGRDPEPFLAKPELVRLLQWKLSRGKWRSFRHSRNLNRKSVEMCSEIFANVVRAVTPLCPPLARPRLMDFVKGLDDAVVESASRKAFAALPDLRKAISELTVLKGVGPATASAVLAAYAPDVAPFMSDEAMVAALGNAKEYTLKQYLAFAKKLQAKAKELSLGEESFTPSDIERALWSSANGYKSSASDEPKSESNKRGKRKR >Et_7B_054843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4885387:4888858:-1 gene:Et_7B_054843 transcript:Et_7B_054843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSPSTPADAPLDSSAPLLSGAGGGGRRRGGAIRRPSLRGAARLLRRGGRRAMREPSVLVREAAAEHLEERQADWAYSRPVVALDLLWNLAFITVAAVVLVLSRNEDSPMPLRTWVVGYALQCVVHMVCVAIEFRMRHGQRRGASSAPVDEERGSDESSSSSDDDVGEHDRRGRRTDYVSIAKHLESANTMFSFIWWIIGFYWISAGGEEVIRDAPQHYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQIPRYKFRRTDEPEKQAVDAMGPFGGIMTECGTNQPIEKVLAAEDAECCICLSAYDDGAELRELPCGHHFHCTCIDKWLHINATCPLCKYNIRKSSSSSGSEEV >Et_3B_027594.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:30445359:30445862:-1 gene:Et_3B_027594 transcript:Et_3B_027594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFNDGAACGSCYELRCDDAGQSCLSIGIAVTATVSARQTTVSQRRRRLMQPPALAPRHGRAGLPPDRAVPRQLPATKSRFRLPTYEPKSKISAPVPDDAPLDLHRRSCDWTNINKKNVWIQDIACVRGRARINFVHGRSRNNFVRGRSRINLAANGRQFFRKGKQ >Et_3A_026190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5336170:5341962:-1 gene:Et_3A_026190 transcript:Et_3A_026190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRILNGSIAVEQFGCPMCYKPLRPPIFLYTTVANFICEACRDKLYVQDIECSACSNTLITRSFAMESVVESIRVDCAYAAHGCAAKTVYYDKEEHEKACPHGRCFCPEPGCGFAGRSPELWDHFASHHRWPSVTFGYFKRFDVLLRLGFHVLRGESDVQVFVLYVEPAGPHDGGHAAVSMACVRAGATESKYGCSLSFSCFKGHHGSSTLRSIPCSTLSDGPPTGFCLVPNASGEGDSGGVLLTVNIYTGMGCDDTVYYDKEEHEKACPHGHCFCPEPGCGGCGGFAGRSSGLWNHNVIHHGRPAMTFGYFKPFDLPLHPGLHVLRGDNDGQIFVLYLEQQEGHLGGLAVSLACFRAGGTESGYGCYLSFSCFKGHHGRSTLYSVPCSNLSDGPPKSFFCVVPDAPADGIGVLTVTIDTEMARDVVDALLQGMEEDDDEEEGSYHEDEDED >Et_3B_030470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:428689:433488:-1 gene:Et_3B_030470 transcript:Et_3B_030470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVALSPSSVSSHPHDAASTSEDMSSLQEGVLFSDSLKDLRNLRSQLYSAAEYFEVFYRNNSHKSTVMTSLKDYTVEALVSTVDHLGFVSYKVDNLVSERADEVNETEFRVSSVEQRVRICHQTIDQEGRSQQSLLIKTPKYHRRADLLESSIHPVSEPPRYNRPYISRKMHKSQSSISTPTCRQPTMRRARSPSPTPRDTYHRSRSLSPSRKARAKSPSPQLVNSNTKETRAGSPIPNSNPLAQSATVARNPPVNPKHLRQTSMQLDTNWDNHKEQEKSSSKGRGFLKSLLTRRRWRNDESLYIQYYRRRS >Et_1B_014119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31362290:31367782:-1 gene:Et_1B_014119 transcript:Et_1B_014119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLHIVSSDCLKLEQLIPVEVLGVVNCGKMNRKPGDWDCRACQHLNFSRRDICQRCGEPRGAADRGSAGGDYANFGGRDAGFGGRGGSSFGGGFGAGSDVRPGDWYCSCGAHNFASRSNCFKCSAFKDEAAVNSGAGGFDADMSRSRGYGFGGAARANRPNWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGSAAMTYENYL >Et_2A_017533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35238442:35240379:1 gene:Et_2A_017533 transcript:Et_2A_017533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISHPLSDEYDALRGAVLSPERTPPSSPPSSCCAADHDCLEHQVSRMDTLAGIAIKYGVEISDIKRANGLVSDRQMFALKTLLIPLPGRPIPSSVRLNGSGQRTKRAWAPNHQQSRDGFGLPDSPKSGRQETSPAMSTLQRYYGLTSQRGNSMDCSTEMSVYHKGSFQSMVSENLLDSSAAPGMQSTWDCDDLVNGFSATDGVIGANKPKQDVSMRRRQKVEEDRLSNRADTQGDFLADPIKAIKSLLPRPISGIRLNMDTGSTDSSQKSNISFLNGFRSVRKSPSTPNFADTENGTSMWSSSTWTFNHESFTRPLLDGLPKPVSTRRAKTAMD >Et_2A_016198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2254885:2257419:1 gene:Et_2A_016198 transcript:Et_2A_016198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKARGRPGAAARRKKAKEAAVGAAARVLFYPTLLYNVVRSKVQAEFRWWDEVDQFILLGAVPFRRDVPRLQKLGVHAVITLNEPFETLSYGIDHLVIPTRDYMFAPSLVEINQAVDFIHRNASCGRMTYIHCKAGRGRSTTVVLCYLVKYKNMTPEAAFEHVRSKRARVLLTRSQWKVVQEFNKKNAEHPAVTEDSATVSPAGDTIPVTEADLDGNDAPEVIACHKTTPSKPRKKMLSCLFPTQI >Et_3A_025317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28952635:28956915:1 gene:Et_3A_025317 transcript:Et_3A_025317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAADSTAKEAPNPTSDGPAGDPHEAPRRADHGEANADDDDEEYEEVEDEEGEEELDGPAAEVAERERVQTVFQKLSSGPVGIRVHDITIKGNSRTREALIEAEVTDLLRSAATVQDLVRAASLASGRLRNLDVFDSVHITLDAGPPELPGTTNVIVEVVEAANRISGTVGYLSKPEARSWSLEGSLKFKNIFGYGDIWDASGAYGWDQSSEIGIGVSLPRFRSLSWPLTARMALSSNDWLKFSSYKEQLLGLSFGLLSTLHHDISYYLTWRNLTDPTQMASKSIRRQLGHNLLSALRYTYTIDQRDSHMRPTKGYAFASTSQVGGLWDTKGLKFLRQEFDVRGAVPFGFCNAALNVGIEAGVILPLGRGFMNSPSPVPDRFYLGGNSSPVCNLGGLTSLLGFKTRGVGPTELRRFVPSESVTDDSAAYPGRDYLGGDLAVSGFADLSFDLPLKLFRDAGIHGHAFFAAGNVAKLSESEFKNFSFAEFGRTFRSSVGVGIILPTKLFRVEINYCYILKKCEHDRGKSGIQFSFSSPM >Et_1B_012821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35357278:35363896:1 gene:Et_1B_012821 transcript:Et_1B_012821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRGGGRRGGRGRGRPRKDPADSATQEHYENKGDEEQTPQLQGSAKENGEVGSDETSRTTRKRRRDPVADPSSLEPRTSRFRERRVVPAAAVPKSVVVSPPAGRVPPGFDPGCRTYFHLRGLPWGIPPPPPKKTFRVPPVKDSHLPFPFCLCMMCVVSCNQQKSKKTDGSSEMCHQCQRNDKGRVVRCTGCTTYRRRYCIPCIQRWYPNLTEDDIAKSCPFCRNICNCKACLRSLKIIKKVDKWKVSEDDKIKFSQRIAQFLLPWLKEFHQEQMLEKTAEASIQGIIIIIVFNNCRTSIVNYHRSCKNCSYDLCLSCCREVRQISVPGSGVNTDSVRSPSEIRDKDDLQQSSKHNGVACQEPYDGQDHILVDNAVSSNHCTPGLRRWKINNNGSIPCPPNTIGGCGSSLLELNCLFEEQSVSDLLEKASSVVSSEAIPELGGSKCSCFTESGDISDETSRKSACRENSNDNYIFCPAAVDVQNGDMLHFQEHWLKGQPVIVRDVLALTSGLSWEPMVMWRALRERKDTQDRLSVIALEWYSRGAIGPKDLPMLLKLKDWPQHSSFEDRLPRHGGEFMSALPFREYTDPKSGPLNLAVKLPEGVNKPDLGPKTYIAYGVAQELGIGDSVTKIHCDMSDAVNILTHTDEIKLKAQRIAAIEKMKDKLKKRDERRNLLASQTDPDGHMIRFSESAKVPTRQVLKHLPSVLDIASEEHESVPRAVVAAEAEVNLTKLNGQSSSQSDAEHTDVSLSKGQAEHASLTINSEDRSEIPNDADGKSEPPGPRRSRRLGLNSSNAFGKTNTGSITEDEDDFVFNLEPKDDDDDHDAQFVEGHPPEGGALWDIFRREDVSKLHDYLLKHAEEFRHYNYEPVKEVAHPIHDQCFYLTNEHKKKLKEEYGIEPWTFVQKVGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVQECIRLTEEFRLLPEGHRVKKIALYALNQAVKDVTGYDCIQSSENGITRKQVTSSENEVEDEPSSSESAEMEEEQT >Et_2A_018585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33893117:33893846:1 gene:Et_2A_018585 transcript:Et_2A_018585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVAAAAVGPAAYGGPQLCGSGRARKRKDVVQDQETAADRGDVDDVASAARQSYGLFVLERVDEQDEEEEEERSSIGAASEDEDDGEEADSGASTSTATRRRKHVNSAGALACMDALDDALPINLALACRRGLSNFFSGKSRSFANLQDAAAAVTSARDLAKPENPFNKRRRVLRCSSIRRVASTSLTALPPFLPPAANGNNDGDGSG >Et_3A_025242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28152017:28155875:-1 gene:Et_3A_025242 transcript:Et_3A_025242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQFPSLAHARPASSRRLVAVAAVLILLAASYFLLLSPSSPRPTPAVLASPSATTSFLASLDGFLAAPRPSASSAAPPGNLDAAIRAQEESRLYGEAGSAWPAAAGPLRVYVYEMPSKFTYDLLRLFRDSYRATDNLTSNGSPVHRLIEQHSIDYWLWADLIAPESQRLLKSVVRVLRQEEADIFYVPFFTTISYFLLDKQECKALYREALKWVTDQPAWQRSEGRDHVIPVHHPWSFKSVRRLVKKAIWLLPDMDSTGNWYKPGQVYLEKDVILPYVPNVDLCDSQCVSETRSKRNILLFFRGRLKRNAGGKIRSKLVAELKGVEDIVIEEGSTGAVGKVAAQNGMRKSLFCLSPAGDTPSSARLFDAIVSGCIPVIISDELELPFEGILDYRKIALFVSSSDAVQPGWLVKYLRGIDAKRVNEMQSNLVKYSRHFLYSSPAQPLGPEDLTWRMIAGKLVSIKLHIRRSQRVVRESRSSCTCECRVGNTTRML >Et_4A_033358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21888513:21889897:-1 gene:Et_4A_033358 transcript:Et_4A_033358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAVGFMSRGANGGRAAELVTRDFLGGCATADDARDAVAARQHDAVPGKLSLQKHACPPSPRDLNLFPVAAAAAKPCPASSPAPAVTGAEAAASSTAGATTTYHSVCTIEKVKTALERFERGKHHHHQHSGGQQHSGAGASPSSSSVTTSSVKRRGGADGAVEQGDGCDSPSGGGGGGMVAAACPRCFLYVLISRNDPRCPRCEAHVPAPPAAVSKKPRIDLNVGYLGT >Et_10A_001402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2686326:2692261:-1 gene:Et_10A_001402 transcript:Et_10A_001402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRQQSEEPEEQFDLEGDDELMDDDAGYRRRRGRDDSEEPEEDYENEERLAEGDGDGDARGEDDAGMAAEAEEPATGGGDDDMDKGAAGGPEDEEEKQKWDELLALPPHGSEVFIGGLPRDITEEDLRELCEPFGEIYEVRLTKDRETKENKGFAFVTFTDKDAAQHAVEDVQDKDYKGRTLRCSLSQAKHRLFVGNVKTIYVKNLPEDASSDKIKEMFEKHGEVTKVVLPPAKAGKKRDFGFVHFAERSGALKAVRGSEKYEIDGQVLEVSMAKPLSDKKPDHSHRSGGGPSYPLPSYGGGGYMGDPYGAYGGGGPAYNQPMIYGRGPAPSGMRMVPMVLPDGRLGYATGGTVAEEVKGAIVDDIGHISFSFFFPCTLHLRLVPFFPRQLVYRAVFWNGSCVTCTI >Et_6A_047383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4870833:4875628:-1 gene:Et_6A_047383 transcript:Et_6A_047383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLPRGSSHVNMGEDHTMDDAEPDNEDTTNLGQLNTPARGSNGDKPTEEESRIIKEEIDIAMDQLLTECANNVIAEGAVAVGEGMIAYAGSHVTPLSALMDGDGGSEHGTLMSLGRGGRIVGEKKPNAKGQECVQTCGDVEGTRELWQRQVVDHWWKGVWKLDVPPKVPFLCGEGYQRSITNGGVRWRSLTRVICGLQPETEHHAPIRCPHAVALRGAIWGGGGVGSGEYWDLWDQLQLREDSAEWLLLLMGNIDSADANRLGLVLWRIWHVRNDIAHNQKLNMISSSVSFLLNYDDRALVVNPTTSMGKERKSAGCGPQLANEHTTHHKKT >Et_9A_061431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11743191:11756436:1 gene:Et_9A_061431 transcript:Et_9A_061431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERTFGKRSCGRDGWPQHTLPNPSVQKFSGFQEMEALVVRRLGDPTLAPGGEASPFAAISRDQPVPELSSPTAVRVRVAATSLNFATLLQVQGKYQERPSLPYVPGSDYAGVVDAVGPAVRRLRPGDRVCSFTGLGSFAEFIVVDEKQLFSVPDGCDLVAAGALPVAFGTSHMALVHRAQLKAGQVLLVLGAAGGVGASAVQIGKVCGAIVIAVARGTDKLQYLKSIGADHVIDSSKDNIIENAKSFLKARGLNGVDVLYDPVGGKLTQDSLKLLNWGAHILVIGFASGDVPVIRANVALVKNWTIHGLYWGSYLVHQPAVLIDSLNELLSWLSKGLITVQISHTYRLNEAHLAFSALRDRKVVGKVMIGSSSGEPYHPVDLSRNSAD >Et_1A_004994.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21940930:21941163:1 gene:Et_1A_004994 transcript:Et_1A_004994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITISNETIHGIVRRVKRRSSPFLTETQVKLRLGTVVGLGVKHPKVGASRWVWRSIGNTNIFLRSSFFSRPLAPA >Et_2A_014551.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:21899629:21899888:1 gene:Et_2A_014551 transcript:Et_2A_014551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGSHSFTGPSAIIEDLSRGSGSNPTFTAPHAAGGSSSYRPPTKSRKPPFRPAADDTKPVLRDPVRFVPNLA >Et_4B_039178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8519998:8523835:1 gene:Et_4B_039178 transcript:Et_4B_039178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTMTWHEELATLVDTGVRVPGAGVDEPAAAVNVPAVGAGWYGEEEGVGVKAEEGWAQQARGFAESTAEMLLELGRGLWDVGAQCLADAEDTELARRLRRQAAATGKRLSFMNEYLPEERDPVRCWVVVAAVAFVTLLVLGGGGSDETPVELPKKLYISPPSANRIQLPDGRHLAYEEQGVLAERARFSLIAPHSFLSSRLAGIPGISSSLLEEFGVRLVTYDLPGFGESDPHPGRNLNSSALDMLHLANALDIQDKFWVVGYSGGGMHAWSALRYIPDRVAGAALFAPVANPYDSKMTKEERRKTWESWSTKRKLMHILARRFPSLLPFFYHRSFLSGKQGQPESWLSLSLGKKDKTLLEGPQFQAFWEKNVAESVRQADAQPFVEEAVLQVSDWGFSLSDIQTQKKEDRGFFELIKSMFNQVEREWVGFLGPIHIWQGMDDRVVSPSVAEFVRRMVPGATVHKLFDEGHFSYFCFCDECHRQIFSTLFGVPQGPINLVPESSEVVVQEIAEETISEQEQENSTLA >Et_1A_008988.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23394592:23395578:1 gene:Et_1A_008988 transcript:Et_1A_008988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVRNYRGKYITINVESSATVDEVKKKVQEREGIAPEDQRLIFAGLQLEDGRKISDYKMKDASTLDLVGRLRSCARCYNDVYIQTTPAGKAITLEVKPWNRIEDVRAKLHSQQRLFFAGEPLEDGKKCADYKINHEDTLKLDFEPCDTTRNVRAKIEGQQTNNQGKLPNYKIHQKESTLCLDDICRHGRIPIFVEALPRKSIPVKVGCTHKIADVKAKIQSQQKLIFDGKQLKDGQTLAEYDIKKGSTLHLDYGLNIFVKTPTRRTISLEVESSDTIENVKEKIQHEHRLSFDGMQLEDKRTVADYYIQNDSTLNLDFILPGSRAN >Et_4A_033817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27078029:27080260:-1 gene:Et_4A_033817 transcript:Et_4A_033817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLMEPGAEPLTESEQADLAAIAAIKESAARDYKEQGNQFVRKGRKHYADAVDCYTKAIAQLEPFSSPDAAADASVVFANRAHVNLLLGNHRRALDDCEQAIRLSPSYVKAYYRAAKAAFALDLLPDAASFCRRGLEQDPASEEFKNLLSQVDARQSEQERQRAKVAEAIATAKDLASAMEKRGLKLGKASYQELTGVKKPKLDDQGVLHWPVLLLYPEVMSSDFIEDFSETNTFSPHLDAGVGTLMSRSEILQYLLEGTVESKSLPESLLDVEDEDTVKDRTVASSGEGSGKWIKVKEGTTLQEVLQHKDHIIPGIPVFFVVSRKSTFYKKFKAGNWSLP >Et_2B_019006.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:23088699:23088950:-1 gene:Et_2B_019006 transcript:Et_2B_019006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFVRVNDLPEQCHPVELAALFNQFGPLRMWHVATHRSGVCKGFGGIASSSRTVIMRMKPSRHSTAMTSVTVSCESIGLTLV >Et_1B_009661.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:12410774:12411082:1 gene:Et_1B_009661 transcript:Et_1B_009661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSCSYSSHVWATLATPMDLNIAQLPGTHYRQIKRWWDDMLGPRQNNNAVSRAQAIIYITWNLWKERCRRVFDNKALSADQLVTVIRQDLQTWHTAQHI >Et_9B_065419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4880835:4882208:-1 gene:Et_9B_065419 transcript:Et_9B_065419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRVAADDGAGAGAARPRLFAVPRLLVGLKCAPPDCDSPAARSPTSPLDLRPFAALGGSLLRSPRSPRSWDSHRVGLGGIVDTLAEPAAVARNRRLLGPQMRPSSFKLPQRLAKSGTSQPRDCAHPPPELGNGEATAIAGAGAGGMPVPCSRSFGDLKSGPEVVAPGGAQLGAAGSHSADLGRFAGPGSLPASIGGPRRYIGSVSATEVEQSEDYTCIIAHGPNPKTTRIYGDCILEPVTVCVPGGESMEAMEVKEAAESYWLVKCSGEELFPDSCLSCKEKLDGNNACIRRDENGFCSVKCRDQEILIEEEENNMAISSISSFDSSSSFNDEIFMAGMVVLTGPVDTQLP >Et_9B_064190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12483261:12485479:1 gene:Et_9B_064190 transcript:Et_9B_064190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTALQGQYGSRTASFGPTVEPLKNCLCSARSRKTENCLLSKNCNCNCAYLRSLRKSTPKWRPARNPRRGTATTSCWCTGSATAGGAGTRPPPRCASCGKHPARVDEVRDFEEYSRPLLDAMAALPAEGEERVALAAERCSRTRSPPPCSWPRPCRPSAATSDESLLGRISSSDSKELEQENSEIKGKPFIFGPNFMTQRLYHLSAPGLLHFNFFSTMAKSTVCLRIDKGGSLWL >Et_5B_044617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4039293:4046075:1 gene:Et_5B_044617 transcript:Et_5B_044617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQYKHKQLQIGLVSPQQIKAWANKILPNGEVVGEVTRPSTFHYKTDKPEKDGLFCERIFGPIKSGICACGNSRASVAENEDEKFCQKCGVEFVDSRIRRYQMGYIKLACPVTHVWYLKGLPSYIANLLDKPLKKLEGLVYGDFSFARPSAKKPTFLRLRGLFEDEISSCNHNISPFFSTPGFATFRNREIATGAVTSDINELYKRVIRRNNNLAYLLKRSELAPADLVMCQEKLVQEAVDTLLDSGSRGQPTRDGHNKVYKSLSDVIEGKEGRFRETLLGKRVDYSGRSVIVVGPSLSLHQCGLPLEIAIKLFQLFVIRDLITKRATSNVRIAKRKIWEKEPIVWEILQEVMRGHPVLLNRAPTLHRLGIQAFQPTLVEGRTICLHPLVCKGFNADFDGDQMAVHLPLSLEAQAEARLLMFSHMNLLSPAIGDPICVPTQDMLIGLYVLTIGNRRGARGNASQVHQLVGMRGLMADPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTADAGYLTRRLVEVVQHIIVRRRDCGTIRDGEMHWSTDVYHAPEYQYGNLRRLPKTSHLWILSVSMCRSSIASFSLHKDQDQMNTYSFSLDGRYIFDLSMANDQVSHRLLDTFGKKDKEILDYLTPDQIVSNNHWNFVYPSILQDNSDLLAKKRRNRFVIPLQYHQEQEKELISCLGISIEIPFMGVLRRNTIFAYFDDPRYRKDKRGSGIVKFRYRTLEEEYKTLEEGYRTLEEDSEDEYESLENEYRTREDEYETLEDEYSTLEDEYEILEDEYGILEAEYRTLEKDSEEESGNPENEYKSREGEYGTLEEDSEEEYGSREDGSENEYGALEEDSEEDSEDEYGSPEEDSILKKEGFIEHRGTKEFSLKYQKEVDRFFFILQELHILPRSSSLKVLDNSIIGVDTQLTKNTRSRLGGLVRVKRKKSHTELKIFSGDIHFPEEADKISGASLIPPEREKKDYKDSKKRKNWVYVQRKKILKNKEKYFVSVRPAVAYEMDEGRNLARLFPQDLLQEEDNLQLRLVNFISHENSKLTQRIYHTNSQFVRTCLVVNWEQEEKERARASLVELRTNDLIRDFLRIELVKSTISYTRRRGATVHGHYGKTLYKGDRLVTFIYEKSRSSDITQGLPKVEQIFEARSIDSLSPNLERRIEDWNERIPRILGVPWGFLIGAELTIAQSRISLVNKIQKVYRSQGVQIHNRHIEIIIRQVTSKVRVSEDGMSNVFLPGELIGLLRAERAGRALDESIYYRAILLGITRASLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGIIPVGTGFQKFVHRSSQDKNLYFEIQKKNLFTSEMRDMLFLHTELVSSDSDVTNNFYETSEPPFTPIYTI >Et_2A_016961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29939975:29941445:1 gene:Et_2A_016961 transcript:Et_2A_016961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFNPHHLPLPALLPSPSPSSAAAAVDMDPRVWRRLPQPLVDRVLACLPTPSFLRLRAACRRFYGLLFSSPFLHSHLLLSPHLPFFAFAVPSAGHHLLLLDPTGDVPSWSRLPLPLPAAPGSFSPAAASAGLLAFVSDASGHKTLLLANPITRLLAPLPLCPNARLSPTVGLAAGPTSFIAVVAGDDLVSPFAVKNISADTFVADAASVPPSGSSPFAVLVFDVAANVWSKLQPPMRRFLRSPALVELGGGRQTEARVGMVAAVEKSRLSVPRSVRIWTLRGGIHGHGGGAWTEVARMPPDVHDQFAAVEGGRGFECAAHGDFVVLAPRGAASPAPASVLVFDTRRDEWRWAPPCPYPYVGNGVGGGAGGGFRLFAYEPRLATPAIGLLDATAPMALHGMQG >Et_2A_016630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26839034:26841379:1 gene:Et_2A_016630 transcript:Et_2A_016630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASVTATAPSPPALLKTSPSTVLSLRPVSRRCKLVSVKTKATENDQSAKKPQKVTSILCKDCEGNGAILCTQCKGSGVNSVDHFDGRFKAGALCWLCRGKREVLCGSCNGAGFLGGFMSTFDETSE >Et_6A_047710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:132982:143503:-1 gene:Et_6A_047710 transcript:Et_6A_047710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILAQSRMTTPVDTRGGGVELPLRFRLLLFSYMKNVEPIAYEEKNCAYMTFIVSFFCFRHHTCVMETYECLEGNERVVENARLEPVIRLPPPVLIHDILPAKFVASHFWEDEGTLEPGIQWPGHIVVDPPSCRPVALLAGVLKVSSPLHLCFLPSQLLIMVLVLPHFHLRFLVPMLNHHSHLCFLAPVEQEGDGAGDDEEDYQAFVYWKWKLKIILQYFSKYCGKSELG >Et_10A_001852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9066531:9071156:-1 gene:Et_10A_001852 transcript:Et_10A_001852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTCTIVNETARSKRKFQIDGRPLLEHNDYKPDRLSEGECACEWSWFGVGSALTSSMFLTKRGRVRMRVELVWGGVRTDVIHTISIGVVTAVEKPLHRTSRKAPPLPCPHIALHHGRHNKYITSTWEVEGYKWEIRFYPSQLSDDCACHMALELVFLSEARGKDVTANLSCRLVDPTSVLQPSAEKSGPSKLFRHALDSSEKLQIMSRPDAHSSDRFCVRRMRCHRVQRPRCYHCVVLQSLKRPRRALLCSEAGAHVTFIVSGESLSAHKSILAARSPVFKAELFGEMKEKTSRCIEIKEIEAVVFKAMFFIAVNLLVGLSFFNPLKR >Et_1A_005305.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:39272545:39272670:1 gene:Et_1A_005305 transcript:Et_1A_005305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVISEILLSGFMINSTLRRRTHLVQSFSVVFLYWFYVFS >Et_4A_034944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8012244:8013480:1 gene:Et_4A_034944 transcript:Et_4A_034944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVLVLLCLSLARAAAATVGGGGAAASSSAGEQGAVPAQREPDRAGVRGHAAAERDDGARHRQRALLAALQRDPEGGGVRRAGLVDVPRRAVRVARLPVARARPPRLAVLPAAAPPVPAVLLFGCIASYYSSSTSANVNATNNSSSDAAATDLLGMNRDSLSFVTQTGTRRFAYCISPGDGPGLLVLGDVTAAPSPPLNYTPLVETSLPLPYFDRAAYTVQLEGIRVGRAMLPIPKSAVAPDHTGAGQTMLDSGTQFTFLLADAYAALKREFATQVRLHLAPLDDEPGFAFQGAFDACFRATEARAAAASRALPEVGRVLRGAEVVVAGDKLLYMVPGERRGEEAVWCLTFGSSEMAGMSAYVIGHHHQQNVWVEYDIQNGRVGFAPARCDLAAFLLGSGA >Et_5B_044845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6397756:6401290:-1 gene:Et_5B_044845 transcript:Et_5B_044845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCAGAMTLVGARPKLQGPDAKCHARRTLLGLHRRGGTHGLIARASHNRSQHPSRAAEEGVVQWLRRVAAALAIAAQISVSLPADAVLYSPDTSLPRTGELALRRAIPANPNMKAIQESLEDISYLLRIPQRKPYGTMEGDVKKAMKIATDNKEAILGSIPAEHKEEGAKLYTALLEEKGGFPSLLQYIKDNNPDKLSIALASSLDTVAEIELLQAPGLSFLLPQQYLDYPRLTGRGVVEFTLEKGDGSTFFPTGGGEPKSVATIQVVIDGYSAPLTAGNFAKMVLDGAYDGITLKCASQSIIADNETGKLGYTVPLEVKPAGQFEPLYRTPLSIQDGELPVLPMSVYGAVAMAHSVDSDEYSSPTQFFFYLYDKRNSGLGGISFDEGQFSVFGYTTEGRDVLSQIKTGDKIRSAKLVQGRERLVLPPSPASPGES >Et_5B_043996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18769570:18770022:-1 gene:Et_5B_043996 transcript:Et_5B_043996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGNKVSELFGFFSMQNIGYYPCRRVASTNHQRSSIVGFQLLKANEHRNGRLKKWWKRVTARIAGEDSVRKTSIAVYTAWNIWKERNRRKFDNKAATVQQVCEMIKQDLELLQLAAGDEISEN >Et_2A_016803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28278762:28284630:-1 gene:Et_2A_016803 transcript:Et_2A_016803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHHHLLALLRRATSSSSSTSAASHSADPLHPLPPRNGAAGPLSFRFFSSRARSAAGAAKSLIEDEADLSDWVSDLKTDNFHLGLSSGDEGDAASSRRPAAASRGGRGGRDSRGSFQKSRFGGDDFRGDRRGFERRGRVMGSDLDDDEGDSGFGSGRGRRGRGDRSSGFSLRGGRGNGFDDDAGFRSPRGQRGRGGRASGVPRRGGRFSDLDEDTGFRSSRGGRGRSGRIGRGSDLDDDEDDDDNAVEFGYPRGRRGRGGRMSGMPQRRGRESDLDDEDSNDDAVGFGDSSRKLRGRRGGKTESLGPHRGGRGDKKFDFGVSEDDDDEVGEFDEDDEPSGFEDDLSGGEVDEDAGKIPGSKAFSFESIEGESTKQEKVEGARGTGSGDSYLSQKRFDECPLSPLTLKGVKAAGFERMTAVQEATLPIILQGKDVLAKAKTGTGKTVAFLLPAIEVVSKLPPVARDQKRPPINVVVVCPTRELADQAAAEANKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPSQILVATPGRLRDHMENTPGFATRLMGVKVLILDEADRLLDMGFRTDIEKIVAALPKQRQTLLFSATVPDEVRQVCYIAMKRDLEFVNTVQEGSEETHSQVEQTHLIAPLDKQFSILYGLLKDHISDNVDYKVIVFCTTAKVTSLVAELLSELKLNVREIHSRKPQSYRTRISKEFKESKGLILVSSDVSARGVDYPNVTLVVQLGVPTDREQYIHRLGRTGRRGNEGAGVLLLAPWEEYFLRSIKDLPITEATQPLLDLDTIKKVEKALAHVEVKDKESAYQAWLGYYNSNKHIGRDKYQLVSLANEFSRSMGLNNPPAVPKLVLRKMGLNNIPGLRSK >Et_7A_050659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:119842:122694:-1 gene:Et_7A_050659 transcript:Et_7A_050659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATAVPPTCKRGRQQQLLLVHTTKPPLPLLLPLPKRRSVSVRAAPPRQGQRRRARQRPPPRPPRRQPPRGRTRPPAPLNHYDDDDDEEEEEEESRFAGGTRAAAMPKPPAGFVLDDQGRCIAAASKRIVTIIDDTNNRPLECIIRRVFRSSQDHECMLLCPVDMPVQVLKSTNFSGWIAVDDDQLKQIIPSVAYALARVHMHFVESGFCYTARGGFCFPEEAIQEFHDSGDGGDGVPFEGVEICCFNLDGAHYMIYTPVDPLLFVALKDKDGVLRIAEDDLMDDPAIVSAIDEETEFTALVEEEEALLETVLGESDASAGGHDEQVRELKELIQLNFNSFVFKFQRRECNKVVHALQRWDVWVLWKTIRLWICFRVAFRS >Et_2A_016850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28788059:28788295:-1 gene:Et_2A_016850 transcript:Et_2A_016850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FKSTHNFLGIQWDHGLYFFETIQKGKREYRHSFFMEPHGRFGSRKMLKYSEKNPPHSDPGRIVSSIAEFSCTD >Et_3A_023102.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27815248:27816764:-1 gene:Et_3A_023102 transcript:Et_3A_023102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELASHDLASLGAAELVRVSATIPRAAPRTFALLTACLVFPLSFAVLAHSLFTHPILLRIESSSSNSDGVHSDSAQWLRLFAYQFLYLIVLFTFSLLSTAAAVFTVASLYAAKPASIASSLAALPTILPRLLRTFMWVSLLMLAYHLVFALAVLLLIVVFIPNASETSPPSLSFILLLMVVVFVFLGIHVYISALWHLASVVSVLEPLCGLAAMAKSKQLLQGRTGTAATLVVSYFAVCGITSLLFRAAVVKGRGEEGSFGLGLPGRLLVGAVLVCVLVCVNLLGLLVQSVFYYACKAFHNQQIDRSALYEHLGGYLGEYVPLKSNIQMENLEVGA >Et_1A_005907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15343271:15346774:-1 gene:Et_1A_005907 transcript:Et_1A_005907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGADGSEPGPGPAPALGPGPAAEPEKERDPPAQQAPQLEVAAAEVPAPAPTVTIVISQPGEEVQMTEPKGVAPPPLPKAGDAKAREASVSVTAAVAAKEAELARTDSFDDGEQCRVCQQKTEEPLVDLGCQCRGDLAKAHRTCIDVWFRTRGSNKCEICQQVAVNIPPPETQASTSYWVWRVDSTYGRGRGGRERGWFSPLWVAFAILIGGLLLDVLISVSLGVSALPVNIIIGVLIVLGLGTALRLALECCQEWGSRRSATNNMPRLENIPPTGYHPAVV >Et_4B_037874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24267268:24272185:-1 gene:Et_4B_037874 transcript:Et_4B_037874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLLYKVATQGNVTRLKQLVLTDPTVLSATTPQLNSELHLAALHGHVDFAVEVLERNEALLVAQNDDGDTPLHLATKSGKQEVAKLLVDRALTLPPDQKSPLLMTNNAGNSPLHEAVRTGLVNVVHKILDSWFHTEYFPPASVSGTALHQALLQSRCYKEAKRTCRILPTVCRSCTMAPLFFAGIVKIMLDKWPGWIYLTDSDGNNALHYAEQKNHPSAVEMLLSKQSELAYKRNLKTLWSPLHVAAHYGSTDAIKALLRHCPDVAEMVDSSGRNAFHLAVVSNSVSSLKCLLRHVGSAKVLNRVDHEGNTPLHLAVKLGLPQECQLLLRDSRVDPCVRNLEGQTARSLIESQDQMLSHTIYVWGELKKLEYSKCKGMQLPPPRMPAWWNEFSRYVENRMVTYILVATLIATVTFSATFTMPGGYDQQEGTAIFGPHTAFKVFVVANTLAMLSSIVVVFSFIWARGMVEDFKARQVAWTHRLTVVACLAMVASLTTAVYLTVEPKSPWLAYFVIAMGSSTPVVMSSHAQGMETERRMDPALYKAATQGKVSSLKQLVEKDPTILRATTPQLNSALHLAALHGHADFAGEVLERNEELLVARNDDGDTPLHLAAKSGKQDVAKLLVVHAQALPPDQKSPLIMTNKAGNSPLHEAVHHRRGAVAVALLEADPLRGHDLNERMESPLHMAALEGLANVVRMIVDHAWVDHEFLPSVSLSGTALHQAVLGGHIRIVEILLEKRPELIDLTDSDGNNALHYAAQKNHPRAVEMLLSKQSELAYKRNLKNLWSPLHVAAHYGSTDAIKALLRHCPDVAEMVDSYGGNAFHTSVTSGKLNALKCLLRHVRPAELLNRVDHGGNTPLHLAAKMSRVSSALMLLKDRRVDPCVRDHDGQTARSLVEMKLHTGEMDAYEMYLWKQLKHQESKRCRKQQLPPMAAYPSRRATNEKYFERIVETYILVATLIATVTFAATFTMPGGYDQTKGIAIHRHTMAFKIFVISNTIAMCSSIVVVFCFIWAWQDPVKFKVDQLLWGHRLTVIACLGMLVSLMTAVFITVDPHTRWPAYVVIAIGASTPVVVFLMLGREVIYVPL >Et_1A_006881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28689530:28689794:1 gene:Et_1A_006881 transcript:Et_1A_006881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCNQGKHYFGGGSTGFLDLGWCKAVEKIAGIKVLDETKNPQTDSVGGLILCRPRWIMPDVDRFVEFSSTIQSATSKAEASLTTK >Et_3A_025174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27561067:27562519:-1 gene:Et_3A_025174 transcript:Et_3A_025174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGLLLARLLLLVAAVAAAASIGVPVANAYGGLAVGFYNETCPHAEELVLEAMREIVGKDQTLAPALLRFMLHDCFVRGCDGSIMLKSRNKTGEQDAIPSYSLRGYEQIEQIKAKLEEECPLTVSCADIIVMAARDAVFLSNGPWYQVETGRRDGKVSMDIDANNDLPGPNTTIVDLKIYFSFKGLGWKDIVVLSGSHTIGRAQCSTFAGDRLYNYTGIGVQDPTLNKTYAADLRMRCEPGLAEDKTMVVMDPASPYTFDLSYYRDVYNKKGLFTSDQALLDDKWTREYVERMAAAESPEEFFRDYAEAMTNMGRIDVLTGDNGEVRELCGAYVDSH >Et_6A_046676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19250707:19257845:1 gene:Et_6A_046676 transcript:Et_6A_046676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTAAKLLLLIAAVAAGSLSLVTHARQEHHVSAAGNVSASCRPHESDALLAFKHGITKDPDDLLASWQRAKDCCRWKGITCSSHTGNVVKLDISRKYLPPLVGQISPSLLSLEYLEYLDISWNDLDVNCSFPEFFGSMKNLRYFDITSVPFTGTFPPLLGNLTELEYLSISYTSFFGSLPPQLGNLSNLRHLVLAQWEGEGGGGHLYSTDISWLANLQFLEYLDMSTINLSTIVDFPIVANMVPTLEYIFLESCSLQSADQSIPHLNLTKLKHLDLSWNYFGHPIASCWFWNLTSIEILNLDLTYLTGHFPDALGGMVSLQELYFSESGNAATITVDLKNLCQLVILELDGSLSFGNITEFVERLPRCSRNNLHTLRLQDNNMTGVLPKAMEHLTNVYTIDLTNNSISGTIPPEAGKLTMLHTLYLGFNQLSGQIPLLPRRIGRLDLSMNYLSGHFPSEFVAPKLKVLIISSNYITGEVPQSICESQKMQFLDLSNNSFEGELPHCSSMQTMVCLLVGSNSFSGMFPSWIQNFSSMVFLDLSSNKLHGTLPRWIGDLASLRFLQLSHNMFCGDIPVTIADLGALQYLNLAANSISGSIPLSWSKLVDMTLNSSVLPLDEYNMYFTYLGDQGPEMLSLVMKHEVLRYGPYGIVGMVGIDLSLNYLTGEVPEEITSLNRLSNLNLSCNQLTGEIPEKIGSMKSLESLDLSRNNLSGQIPSSLSDLTYLSSLDLSYNNLTGRIPSGRQLDTLYTEDPSIYDGNSGLCGPPLRRSCSGSNSTESGKQMPRENDPETINFYIGCGWGFTFGFWAVFCVMLFIKTWRISYYRRLDRAYDRVYVFLVLTWGSSLVTTMPCSATKILLLLAAASFSISLATHALRQPQQSSGGNSASCIPQERDALLAFKHGITSDPAGDLGSWKEDEEDCCLWRSVQCSNLTGHVLKLRLRNVHADPYGNLVTPLIGKISPSLLALEHLEYLDLSSNNLEGITGRIPEFLGNLKNLKYLNLSGIQFSDRVPPHLGNLSNLQYLDLSNMGGAYSTDVSWLTHLSLLQYLSLDSVKLRIKDDWPLVVNMVPSLRVIVLSNCHLSSANQSLTHHNLTNLEKLDLSMNFFNHPVASCWFWNITSLTYLDLSSNYLYGQLPNALGCMIWLRYLSLSGIKNSISMTMARLNSLCNLRILYLDSCFSQGGIDDLMEKLPQCLSNKLQDLSLESNQLTGALPKKMGQMKNLVVLDFSSNNITGPLPTFIKNLTGLVTLDLSSNMITGQVPTFISQFTSMRNLDLSNNHLSGRVPYETGMLTNLIEFSLNNNDLDGVIKEDHFANIRNLQYIDLSYNNLTIELSSEWQPLFRLSMVYLASCQMGPLFPAWLQWQVDILYIDISSAGIMDRFPHWFCCALSNLLYLNISHNHLKGDLPMLLGTMSLEELYLSSNQITGQVPPLPRNLTNLDISMNSLSEPLPLNFGSPNLVELSLFSNRITGTIPKSICKCEVLEILDLANNFFAGELPMCLGNSDITHVELSNNSLSGEFPSFLKECTKMQFLDLAGNKFFGTLPPWIGNLVDLQFLRLSHNMFSGSIPTNITNLACLKYLDIANNGISGSLPRQFWNLRSMRQKHWDRLYSTVCFYSEPVEYHSVSLSAVTKGQLRDYGSSERIMAVNTISVDLSSNYLTGEIAEEVTSLHLVRNLNLSRNHLTGKIPISVGAMQLLESLDLSRNDHSGEIPDSLSNLTFLSYLDLSYNNLTGRIPSGSQLDTLYAANPSMYTGNIGLCGPPLTKNCSSIDASKKDQFTTKEGHGPEFFYLGLGCGFIAGTWLVFCALLLKKRWSIAYFRLFDKYMTRHMCLWFSHGQD >Et_7A_052868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2184246:2189324:1 gene:Et_7A_052868 transcript:Et_7A_052868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVCCDFFGEQEQRKVPVEVVAAAVKDARPELATVWKIGQCLWNRDYAGVYTAAQGFEWGPELAEFVTAFLESYRKRIFQLLTSAYSTITVADVAHFMGMSERGCYQLSSMNPGVQLCHTDAVGNDWSLDAATRMLTVRKTKVQRPQLYQHTENVVVLPPETLQNWLLQLPSCYDLSICVLMVYRFEDVIGGQEMGEDTVAGNGDSEDDEKMAADMQEGQEEKLERIVCEWERKAAMKFAISAQEWWPEHHQPDGESTTASDQSRQGMHIEALSAVGVSSELSSSDVSEET >Et_3B_029454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25162265:25164812:1 gene:Et_3B_029454 transcript:Et_3B_029454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGTRWRLLIALPLALFLAAGSAEAAAAKPPVPKAISDLRDAIVKGLGFQAEGLKVSGFDVRDALVGQAVAYEFDIEVGRKVLPVRLLEDVSRWDFVDLPIFRSQADADETALAEIRRRGRGSVVEPTLPPFQLAGPMELWIQDGDDVRLALPHDVDAGTLKKVVLSDGAVVTVQGARAVSLRLPLELPLPLNRTTYKGRLSSLLSIAQALRGAARSNQKPLLSLRIEGPTSLSSTPSMSPNDKLKLKRLAPGQVELSSRAIPAVTEDEDEPQNTGLWPLLSLNGSDASLQGFEELLSSVLGKKAGEKGTFKLLKARASAQSYVKMAFAVEKRLADGDVDWSNFPEWKTKPKKLRAHYEVLARVEGGEAIPERIAQVQPFRADEATSPSVLTGNVTVSKLEIVHPPPVYFTL >Et_2B_020023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16096238:16103559:-1 gene:Et_2B_020023 transcript:Et_2B_020023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPFQHSSHTSLPVALHRLVQLAKKWQHMAAVGRQGLMTTSTTKDGNLHCASTIAGKGHCIVYSADDRITVPCEAAVIEYVMCLLRRKPSEEVERAVLSSVVMPCKYKSSMTMVSIGLSQSQSIY >Et_4A_032221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24865655:24865853:-1 gene:Et_4A_032221 transcript:Et_4A_032221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIWALWQERNAKVFEGKEKSLNRLVSEIKDETALWCTAGAKNLASLVVQILSK >Et_4A_031765.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:1266072:1266885:1 gene:Et_4A_031765 transcript:Et_4A_031765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSGAPVDHQVSGATAGAAWSAPARNRPSHRQAPEAKRESQAMSGVPRRTRPTGTIHSCSRAGWNATAHHTSTPAPASPTHATPAVAAAQPRVTRFSAASRSSSAPAPPISSATCLLPLPSPPGAFASRCAIARGEAEAAYVVS >Et_2B_019847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14533948:14538606:-1 gene:Et_2B_019847 transcript:Et_2B_019847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAVLPALVPSQSAVVVLLAYLGYLAAAGAILPGKLVAGAVLPDSSRLHYRCNGLLSLLLLLGLTALGTYMGWMSPTVVADRGLELLSATFIFSVFVSFALYFAGLQSRHKSSSLKPHVSGNFLQDWWLGVQLNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLAGSVNLSVILYQFFCGWYIIDYFVHEEFMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNKVELPLLSAVANCCIFVIGGANKQKHVFKKNPKALIWGKPPKVVGGKLLASGYWGIARHCNYLGDLLLALSFSLPCGASSVIPYFYPTYLLILLIWRERRDEARCSQKYKEIWVEYCKLVQWRILPFVY >Et_5B_045766.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8996937:8997548:-1 gene:Et_5B_045766 transcript:Et_5B_045766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPGVSVSTIAATATATTGRHMLKFEGYELIKRMYCKDKGVASCAFEAAGHTWRIYCYPNGSGYISSYISLYLMLDDADAAAGGVQAEVKFSLLRHRGRPRSKSFVDTFKQGKLNGVERFIRREKLESRRSGFLKDDCLAVLCDITVLEKSAVKAPAVQPRDLDQMMGVVCDCTDELCKRHHVRTAPTEPRKPFFNFCFRS >Et_4A_032862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14855948:14857221:1 gene:Et_4A_032862 transcript:Et_4A_032862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METRHQRVMPLVLLLLFITALSVAGEPPSSLHPVVLVPGNTCSQLETRLTDEYEPSTPGCGIPKQGRGWFRLWENFTALQEDPALLPCYADQLRLVYDPVAGDYVPQRARSQDPRRVLRLHPWLRLRQPRAKVRLRMNVCMEGLVEALQGVGYSEGANLFGAPYDFRYAPAARDLPQSVFTDFLSSLTLLVERASQTNGDKPAILVTRAQPRGPLRHGVPQPEPAAVARQHLVMLCLGVGGSPLNVWPIAAAPAIPPPSLVYAVLTYRNRSFASAFSLLPSPNVFGGDAPLVVTRARNYSATDVPEFLAAAGFDGDEVEQYRTRALPVTLNLKAPRVPMTCINGVGVPTVDKLVFWDGNFSAMPEFVNGDGDGQINLRTVLALQSIIGVLGMTRISVSSSQF >Et_3A_026840.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:22950930:22951421:-1 gene:Et_3A_026840 transcript:Et_3A_026840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAVHLPRYVFFDLSGAGVSCFGPAPAVEHIDLPFDTRVLALEALHMTRLSRDDLATRRLDQMATASAASCDHARICDPDTVSDAGTSGLQGAVDESNDDDVDSFDDLFFDDNFARKIDALAELLGLKGPYEPAVVLGEVVRIIQEMNRKTGRSAFATRAV >Et_2B_020615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21931489:21932723:1 gene:Et_2B_020615 transcript:Et_2B_020615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQNLGQMPYSNVDRTLRGLAGSAEGFGRKAIGGLHGAVYRVTTLRDDGPGSLREACRRTEPLWIVFDVSGTMNLSSYIDVSSHKTIDGRGQRVVIAGKGLQLVGCRDVIICNLVFEGGRGDDTDAIQIKSGSSNIWIDRCSLADYVDGLIDVTRQSTDVTPSRCHFARHDKTVLIGADPSHVGDRGVIRVTIHHCFFDGTRQRHPRVRFGKVHLYNNHIYAVCASVEAQVVSQCNVYEAGRTTKKVFEYKPEKAADRRDAAAGWIVSKGDAFLNGAVPSLIDGPGVKDVFKPQDYYQRWTMDPASSALKEQVKVIAGWQKVARPRDV >Et_7A_052256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6175538:6177748:-1 gene:Et_7A_052256 transcript:Et_7A_052256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPWLGKIASACWDRVRRYALTRKDEADDGDADDLLWSRDLGRHAAGEFSFAVVQANDVLEDHSQVETGAAATFVGVYDGHGGAEASRFISNHLSAHIVRLAQEHGTMSEDVVRNAFAATEEGFLSLVRRTHLIKPSMATIGSCCLVGIIWRGTLYLANLGDSRAVVGCLNGSNKIVAEQLTRDHNASMEEVRQELKSLHPDDSQIVVLKNGVWRIKGIIQVSRSIGDAYLKKREFAIDPTTACFHLSEPLRRPVLTSEPSIYSRVLSSQDSFLIFASDGLWEHLTNQQAVEIVYNNPREGIARRLVQTALKEAARKRELRYGDIKKLDKGVRRYFHDDITVVVVFIDHELREEGSASVPELSVRGFVDSGGPSSFSGLSGIS >Et_5A_042234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7869232:7872268:1 gene:Et_5A_042234 transcript:Et_5A_042234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EIFIRIACPADLARASGACIAFRRLISDPAFLRRYRSRHPPLLLGFFSYEASKGFHPAEAPHPNAAAARALANISFDYLPPPKLEDWYIIDVCDGRVLLGCVKHVDGVADVTGLAVCDPSSLSRRYLLLPSVDVDQVEPDVRYFDAVFDPCARRKDDETLFRVIGILVYVTKLVVLVFDRATGNWIVRTSGSFDALLDCQRAFLLRPGYACGSFYWKVAEHNKLLKLDVNTMGFSRVDLPLGHDAQVVVAEAGEGMHGMFSYTPRNTGKFLNCYKSMQGQRTNEWQMRSSIPLPDHDDIQIVGAPEGYVFIHGFSKVQDKQHTTIYSLDINTLKSPWRHGSVGRSPPLQISPYTRRTRRSSSDFSALRPPRASTPSRNPHPNSPAARALANMLFDYLPTGKLHYWSIRDVRDGRVLIQYIDDDDDTLLPIAVCDPLSRQCQILPPIPHCYDHVSLTLMLRLRLVQKRMTRRHSE >Et_9A_061253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24522286:24522654:1 gene:Et_9A_061253 transcript:Et_9A_061253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKYATNPQKAQTISYSDVNLLLPFGNIIRCSRCTAAGKIWKHRNGVIFREESPSMARLLASCKEDATLWQHRLPCDDMLVAQTWCNLFSLSHA >Et_1B_010366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:132162:134930:1 gene:Et_1B_010366 transcript:Et_1B_010366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIDPPPAAARDRRPLSRVRLDDVVPFDGATTPAYARAVDALAASLSRQGAAVLELPAADAAVVRCALDLESVRAFFRARAGIHVYRPGRALDDGELSPACMANAFQMLGAFSHLLDDTPLLINEVSASELLVAFSHGRLQSDQTHVAGLRSAMAEVDRGFVTLIASDHPGIEVSSRSSVNPFFRLPPKWSLVPSCGASSPNDLLLLTGRALSHVTAGLRPYYQYRTTNKENRASLIFRLMPCANSISDCSPVSAAGHCIPQIYRPICAGQFMDSSSPVANAVSSHTEAPSARTQGNFISEPSLRSVLSDPLSGAFLEDAMVLSCGHSFGGLMLKKVLEMASCTICNGKIDPGSLFPNLDVLTSGHLQGNKRTPEKFIGKEAVITSQGLNGWWGIVGSGGLFIGESFGA >Et_4A_035954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9301296:9303128:-1 gene:Et_4A_035954 transcript:Et_4A_035954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKGVLSIPVILEYLRLWAGLNSIVLDTGLNINLLQVAWVDGLVGEKNTLTSRSTPKAEAVQTGLLPL >Et_10A_001288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2373387:2382065:1 gene:Et_10A_001288 transcript:Et_10A_001288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASFTSKLVSGEELADWDDSRGTPRRSKSPSSEPMMLKALFREVNGGECHLSRLEHKQTFPVTCPDEAAAFVVAHQRREIKAERRGALPFSCDRGEDEGRDVAVGEHVADETECRCLLRLLLRGWNQEGLEPQHAAPPRRSRQVAGDWGNQHVPAVHGVADQEVVEGGGDGAAPGEEDAAVAGVADAPEVKSAACASARAAGADGWGASAGWNSPRASYSRNPSTGGAWRDRKRRRKRREETRRRKVVQAAEARTRSAAASRRRKMSSRISSGRGGSAAGAAAILCATDVIEDGGNGGVPAVQGVADDEILEGIRAVVERGEKDAVVANVADDPVVAGFDEGEGDVGGLREGGGGGGGAARRIDGLELRVLGGEASEDGRPVEGSEAAEEARRGYEAAEGDAGGEGAGEVGRLVDSEEDVLEDLLGKRRLRRRRGHPAGDAAAGGVGFRLARRRRNAEWSGVALAETRMLQALNGPK >Et_2A_016485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25145404:25146628:-1 gene:Et_2A_016485 transcript:Et_2A_016485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLCGHHYQDADSLFNLARIDGHPYQHSLRELSSHHPPNSRRPRSRPLAGEMGDRRRPDGSYGPEYAPLPPEHEYTLYHRMPSRGRAPWPLHHGVATLSASTFRIQRDGFSCSRLPWEVIGAKAEKRNFRVFQPNPPFHIHRVTGGGGTTMNSRRFQEDSGLTDDEFREAMDHLRKQKYMPSNPHKRGENRGIAQNRAARAEAPLPAPEEEKTCTICLEAFVPGEQVVVTPCNHMFHPGCLTPWVKGHGNCPVCRSALCTRRNAVADNGDYGDVDLDLLAMMRTMEEAFSRIRLSGFMSHR >Et_3B_029353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24319625:24321353:1 gene:Et_3B_029353 transcript:Et_3B_029353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEEATDSEKSLSNMVLGFLEDAGRERWPENDGDDDEGASGGDDAESKAFWQAQHSQLHEALAKTSPAESRIRADTEEAIKNMRAAAAGTCSCTGRPAAGDCRRCMLRHASERLRDAGYNSALCKSKWMRSTDIPSGEHSYVDVVVQTRSGKSVRVVVELSFRAEFEVARASAEYRALVTALPEVFVGRADRLRAVVKVVCAAAKRCMKENNMHMGPWRKHKYMQSKWLGTTERTAAAPAAMAMETPVVPAAVVVALGSPEKQTKFRASMLSFDFGRTAVEVV >Et_3A_023046.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:20789331:20790161:-1 gene:Et_3A_023046 transcript:Et_3A_023046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAVATLSRIMMIVVVVVIGVPCRAEFTVVVPDTAAAALVDTPQTGYSDRAHTDPVEQRAVQEVMAATGNGWAWGIPDVCRGRWHGIECVPDRDDVYHVVSLAFGALSDDTAFPACDDAARATLSPAVLALPHLRSLFFYRCFTANPQPVPAFLGRLGPAFRSLVLRQNGHVGPIPAELGNLSALRVLDLHGNRLDSAIPATLQSLSHLQMLDISYNRLSGPVPHFRFQRLSILDLSHNALQGRVPASLGQC >Et_9B_064408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14882943:14899977:-1 gene:Et_9B_064408 transcript:Et_9B_064408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLEDGHGAAGSAAAAAGGAGGGGTEASVGGGGDAGDSHDNDLVMPGFRFHPTEEELIEFYLRRKVEGKRFNVDLIADLDLYRFDPWELPAMAVMGGKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRGENNRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPPATDADPLTHKSEISLCRVYKRSGIDDGHGQSSSSPQASSGRRTSSRTGRHVSSPVSTPLSPTQHPSSLHLLLGECSSASAPAIMDQVVTAHNVPQLLPPPRPRAFASSANSLPVIAPTEGAAILAPTYSLLNMAGAPRPGDEVSTLVGHSQAYANHLSAATGSYFLPLPSPQPMPQVTPLGALPMAAPLPPSVADKLSWNWNPVPDTTANDYNTSSFKYAGGRRIELEGKLKVHSRVSRKSASPTGVAIVRRCNDI >Et_9A_061976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18494798:18497424:1 gene:Et_9A_061976 transcript:Et_9A_061976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAPAPPRARRSVVAELEGSLLRSADTFPYFMLVAFEASGVPRFAALLALWPLLRLLELLGRGDLSLRLAAFVATAGVPRSEIEAVSRAVLPKFMADDVDAAAWAAFAGCEGTRVVVTRMPRVMAERFAKEHLGAHEVVGCDLEYSRLKRSTGLVSGGDGEAVADRVRALFADGDRTDLGIGRSSGSEVARAFLPLCREQLHPPFTAVDTTTAPPFRPVIFHDGRLVCRPTPFMSLVILVWLPLGVLVAFVRIAVGLMVPIWTIPHIAPIFGGAVITHGRAPPPPAGAGAGDAEDSPSSSGVLFVCTHRTLMDPVVLATVLASRRVAAVTYSISRLSEVLSPIPTVRLTRDRELDAARMRAELARGDVAVCPEGTTCREPFLLRFSALFAELSDRIVPVAMNYRVGLFHPTTARGWKAMDPIFFFMNPRPVYEVTFLNQLPAEATCAAGKSPVDVANYVQRILAATLGFECTSLTRKDKYRVLAGNDGIVNAKPAAAGEPAWQRRAKEFLGYLLH >Et_2B_021422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29568845:29572945:-1 gene:Et_2B_021422 transcript:Et_2B_021422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVAARASGAAAVSTIASFHSHHCAPPSLAVAGTSSSSVGVRRYHHGACCFAAKPTQVAADLVDQDGPEPSAASMQEEATPRRKARSRRSRKEKKSAAAMLEEGEEGKDMTAAAAEEEAKKKEADESSRALASLDDVIVNPVGLGRRSRQVFDEVWRKFSRLGQIASASSTALAEEEQAVLIRGGPMCEFTVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDPEVIDMLPRSVDIVVGDVGDPSTVKAAVSGCSKVIYCATARSTITGDLNRVDNQGVRNASKAFQDYYNELAQLRAGKSSKSKLLIAKFKSAKSLNGWEMRQGSYFPNTFASSFDEGVDASFEFSESRQAVFSGFVFTRGGYVEISKRLSLPLGSTLDRYDGLLLSVGGNGRSYVVILETGPLADTSQSKKYFARMTTKVGFCRVRVPFSAFRPVNPQDPPLDPFLVHTLTIRFEPKRQRPGDGSQNASDPRNFELILEYIKALPTGQETDFILVSCAGSGIEPNRREQVLKAKKAGEDALRRSGLGYTIIRPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEYVADQGTELYELVAHLPDKANNYLTPALSVLEKNT >Et_5A_042281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8243586:8244337:-1 gene:Et_5A_042281 transcript:Et_5A_042281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSGALLAAMALAALAATAVATDYKVGGSNGWDTFIDYDKWVAGKTFMVGDTLTFTYMPYHNVLEVSEADYATCAVDKPLSTHSNGSTTIELKEVGTRYFICGIPRHCLNGTMHVAVATVPFDPTKAAPPAAGPSPASAPFPSPPADAAVPASNGAPRYQQSAAAAVAGLALAALAALVVA >Et_1A_007847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38807637:38808783:-1 gene:Et_1A_007847 transcript:Et_1A_007847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSPESSSPRASSSICAMASAPPLPNDTSSTDLSGCASSHATQPVFVVGVSYHRTDRVRRRAPRVSGRRSTAAAPGSAASNVFTSMPAASRYLPPWWTIHRYASLARSCSGCRMSAPGTGTQPGSLTGSARSRNSPPEISSASRRGRWSMRRLDGKKSVSAPKRSATNATRLLVALRPWRTAVSAGTERSASTERSVSMAAPAGRSSSGSDGSDAAATDGGRDARKPRCAAESELANVRTVAVNPCASWSRRASSASGNTWPMPGDESRATCGAPRDAGAGAAAPLISLPIARDRMEFDGQVFLDLETCGEQTTRAISFGFTTRRTDRWNRPYIITSIAPARFVASVFVSSLSFSPNITTKEIVIKNLRSCGH >Et_1A_004936.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:17826489:17826794:-1 gene:Et_1A_004936 transcript:Et_1A_004936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRDSHRSRADRNAATPLRVTPIYLARPAGHLAARAAAPAPSSSSSLPDLPDSDDDEDLIDDYDAATRVGTQPERAPLHNYLPCCCQYIFTFVHYYFRCK >Et_3A_024357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:227897:230478:-1 gene:Et_3A_024357 transcript:Et_3A_024357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAMSPSSISSHPHDAASTSEDMSSLQEGVLFSDSLKDLRNLRSQLYSAAEYFEVFYRNNSHKSTVMTSLKDYTVEALVSTVDHLGFVSYKVDNLVSERADEVNETEFRVSSVEQRVRICHQTIDQEGRSQQSLLIKTPNRRFTGIFHSSSIRASTIQQAIYKSQNAQVSILRARSPSPTPRDTYHRSRSLSPSRKARAKSPSPQLVNSNTKETRAGSPIPNSNPLARSATVARKQPVNPKHLRQTSMQLDTNWDNHKAQEKSLSKGRGFLKSLLTRRRWRNDESLYSYLDEY >Et_4B_039870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4681559:4687530:1 gene:Et_4B_039870 transcript:Et_4B_039870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNENFGGVKAKHSSDEALGRWRKVVGVVKNPKRRFRFTANLSKRSEAAQMKRSNQEKLRVAVLVSKAALQFIHAYDHHTSAGLAPQSEYTVPDDVKAKGFGICADELSSIVEGHDLKKLKSHGGVEGLVSKLSTSEADGLATSRKKLATRQEVFGVNKFVEAESRGFLVFVWEALQDMTLMILAACAFVSLIVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITVQVTRSGFRQKLSIYELLAGDVVHLSIGDQVPADGLFLSGFSLLINESSLTGESEPVAVNAENPFLLSGTKVQDGSCKMLITTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLIFAVVTFAVLTESLFRRKINDGSYLSWTGDDALELLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKACVCGKIKELDGASETKTLFSELPDSVMTMLMQSIFNNTGGDVVINQDGKREILGTPTETAILEFGLSLGGDFSAVRKASTVIKVEPFNSAKKRMGVVIQLPGGGLRAHCKGASEIILASCNKYLNEQGSVVPLDDATISHLNATIESFANEALRTLCLAYVEVQDGFSANDQIPADGYTCIGIVGIKDPVRPGVKESVAICMSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPEFRTKTPEEMTELIPKIQVMARSSPLDKHTLVKHLRNELDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALVVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNNELMKRTPVGRKGNFISNIMWRNILGQTLYQFIVIWYLQTEGKQLFGLKGDNSDLVLNTLIFNCFVFCQVFNEVSSREMEKINVFEGILDNNVFVAVLSSTVIFQFIIIQFLGDFANTTPLSFKQWIACIFIGFIGMPIAAIVKLIPVGSRLILTPPLTVDNKQADCFHHHCHQCRCKTFFDQSRH >Et_5A_042263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8186334:8187767:1 gene:Et_5A_042263 transcript:Et_5A_042263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACYFSHDELPPCERATAIDLRYSSDRLLRPTATALSELRLHRARVFGRDMEALLSLHCPILKLLVLEWITVADGDGVLPVRSGSLQRLEITSGPEFDGILYVADAPELQTLCAWLVPQDLRGALARPALRPWPSPLCGGRSSPPPQDGGQRSVFRRAVDASVRHRRRAGPDCLRFNGVLSLCSRAKIQTNFFSTEGGRTNKLLSVRRYQSLLENGLPELARCGVLVVRFRMMERDFMPLMIRLLQNCAGITKLGVELSFSKGYAYPCKSLGCQCSLPQNRQTGRIVLDSLEKVEVTGDGEPEQKVELVRLLSKCSATSSKKNVLITVYEGRQTGQTGMELRSIVPPNDKIKIEYGYPCKSLGCPCKLLENRKTNRIVLDFTR >Et_5B_044588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3899061:3902356:1 gene:Et_5B_044588 transcript:Et_5B_044588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRFCGECNNMLYPREDKDTRTLLYACQACEHQEVATDTCVYKRVLRKPAGEPKDVLKDAATDPSLPRTRSVRCYNCNHPEAAFFQAPTPGEQGMTLYFICCNPSCGHRWRD >Et_1B_011399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21775828:21777720:1 gene:Et_1B_011399 transcript:Et_1B_011399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNRERGQEPWLHSGGFFLLLLLGLLLAIALQLGDERLEDDGLGVDHGRARLPALELVEVEGDEAVPVAALEEHPDLAVRDALHDAAVVVALLGFLTSTTMPGRNRGSSGGRGGPATASPPCCLDLAPFFRRGGGGFLATKSLRPTSPPGKPPVRPIISFSNASGSTAGGAAAGGAAASAGEESAAGGEVAGDGDEESSLRTPTSPLQRPRPPRISETRKDAEGGGRRTRGCGWWRSGAGRRKEGAVGGAIAGEQMCVPRRAEQSRLPRWVAWVEVETGRG >Et_1B_011684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2555049:2557898:1 gene:Et_1B_011684 transcript:Et_1B_011684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLARRAAPMPSAPIPEANAAPAHLSPPRWKKPSSGPLPRTGDGDQGHNPSLCGPPTSRSELKRRLRASAEAAAIALTAAEAARRSRASVRVEGGVDGVQKPGSAVFSFDEGFDDVQMPSPASVRVDVGRGGTVPRLWSGADEVTLLAAAAAFRKRTGRAPRRPDAGELFDAIKGSVSPDIDAGKAYDRLNGFESEFLHGPLGASDDPHGCRVRDLCAGVWGVVDVVSPPGDESDGEEAWEQDVDVERLVADGGESDGDRRRLAAERTSKPAPLSPLDAQLPSEGASASATDAKVVPTLPPPSRSMKRSFHPRPGVDDGHPGRIPSLHRLGTSMDLAGLAHAAAAAARGSDDITVHVVEARDDVQSHGTAGVRVDEGHGAARNSLKAWSEADEITLLNAAVAFRERTGRVPRITNAGDARVLFRSIRGSVSPHIDQARASYKLSRFRSMFRHGAPGESATAHDLRVHDLSAQVWGVVARDEGTKTPFARKREGTATMPLVTEILGEFWKENKRAMAGLPLEKGLSLLGKREAMLIEAKWRQQLDEELQTQMQGHELNKKVCGLLKDTIMDFGP >Et_1A_008261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5820196:5822751:1 gene:Et_1A_008261 transcript:Et_1A_008261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAAQHDAGSDDEDNNDRRNPPKVPSAAVTSSDPVAMNLAAALNVGLWRRVPRVIRNAVDGARGQPLPRGYSPAVSVQIPRAHCWAPYDVVVSALRSLSGRNLLEQPSRAAARATLDGLFEHPAPFDADARFPEGAVYLSLELPPFGPCMRRINNKLRRVETTTGNGPFTFTDKDTAAACVKFMEAVGHAVAMATSVWAEAEDPNKPVLYDRVVFEENAMSDDGREDRRRHVPRVIRNATPDWREECPAGYGPALGVEMAGAHCWAPYDAVAAALRSLALHGLGEHPTRDAARATLRDLFQHPAPFDEDARFPAREVYLCLDHGAACTGSSRSCRAAAHGQHQMVDACEAFVKAVAAAAVTLARTEEEPGKPVLYDRAVFEEAFGLIWLDEPRAPKRFHETHARCDRIIPGGAGLPVPRGGLETLQLLLDPVHGPSELAVVEGQVERPLREAHAGVERRRVLKQAVQGRPRGHAGRTIEEVSAGEGAQRGHDDVVARPAVRAGDLERHGRAVASRERLYPRAVDGVADDAGHAAPAAVVVGARVVLRCSLQLLEATEDGLTIQGEYIGVDMMHDSPRGLTL >Et_2B_019179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15864183:15865001:1 gene:Et_2B_019179 transcript:Et_2B_019179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPTRPQTKQNGRSKSFTGGGLASFLRSTVASFSSTFPSSSRGAGGRSSFNHRNAFSGPIVSIVPPEARCRGGGGRRRQQQQASGYRTPEPSSPKVSCIGQIKRSKSKKQAAARVNPCGKPPTAAEAGARCSSRPKGSLVKRVLFRRSRSRSSSSSRTSSRGGGGNKAAGAVAAADSVPAPGGLGQMKRFTSGRAAFQDFDWREAEMRVHDHDDEEDYDEGFVAHSAPLVLGGGVVASEPKKEVNLWRRRPIAPPTPLQLP >Et_4B_039760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28839526:28843872:-1 gene:Et_4B_039760 transcript:Et_4B_039760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKAASKGHGFLSLFDWGKNKKSKKRLLSGSGSSSPNPRNTGDEKEVDVGTPSTRSNSVLEDAPSLKESSEHSCSSSVVDEEAQARRCPTVVARLMGLDSMPAASSSEPIPIPLAVQPPLETNSHENLAGRSCVGSPHKMPGSPIDRFKMEALPPRYAKRTLSVAQYKLLSPMKNNIHMSSRNAADIMEAASRIIGSGVENISPYRVNDVGHTNAVRAYDTREIIGIQQRSHKLNEELRNRGASASSRLPSGKPLDGILKGSETTSSSRISVSNGYPQVGPKVKASNRSSNAARAVQAQGKEEIRKGSRKLETRSRNPENSMTLRNGVNQRKDNNQAGTTSSSNVLVPNNRKQNAMVIKHRVNSNPATPSRQRSNTHQINASPRKVGATNTFSGNNTHGNRKVDLQPTSHANIRTHSTAKAIPKPRRLQERRSYSNTSQLNDSLISDRSQRRVRHNIVIDEQSTFSTNKKKISTEIVSFTFTSPVDKSLHGSHLPNHSTEKQFIENLNTVSTSSGTSNTKLDVIDGDYLGLLLEQKLRELTSGVRSPYSKPAKGVRIYAPSPILEDTASACETSSIASTDYDRESLQSFNDGKATLSQTDRIPQNHQPSQSVKYDNNVADQGELEHLRLSPLSTWEAALSTETCSSSESWRSANGTKLFSSTDGATTSDSTHFNKCLEVDAFSEYSDTASSITVATTEIPRSESSSSCHMDYRQEVEFIREILNAASSQRIFSCLEQVGNSEILDLHLLEELDGNINLFVGEEGKAYRSRRRLLFDCVNELLSVKCAYYFNAGYSSWYMGMAVLQNLSADELHREMTSLKVAEEWMVDELVYREMSTPMGNWVDFKMDSYQVGGDITMELLGSLVDEVVADLLTGSGLTATCMTAASLRAAVFVGRSVAP >Et_10B_002354.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:10795370:10795666:-1 gene:Et_10B_002354 transcript:Et_10B_002354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLSAMAQPAAATCRDSCASACRSTCSSTSVCSSVKMMVVQLCTSTCISGCSSQCSSCGCGGVCDSSCGSSADAAYRSCLL >Et_10B_004163.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:1691090:1691635:1 gene:Et_10B_004163 transcript:Et_10B_004163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRQRLQADAARRCQIGESRRRRIRSSWSSGTSSTLVAGTPIEFIPTSSPASVPIEISCFSLDFLLPPDVDEFNSKLEVIDCHGGLVLLQSFYLKYLVVCDPLARRHRRVNGTAGQLIGASFCLIDGEDGTVSATNFRVFRTVYYRKYGEPRTQSCIFSTATDGDGWRSVPPSKDEIEIG >Et_1B_013456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8613660:8615598:1 gene:Et_1B_013456 transcript:Et_1B_013456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LNFSQALKKEPLCSVMAFPARSAVFLDENPQIHMGKRADVPRAKPLKPSARLQERKALKDLSNISERKALKDLSNISERKPLKDLSNISERKPLQNITNTKVTASKERPTLKEKSIRKQKPALPKTVIFADEDTIKCHEWAKGGVEGAQFTGNESQRFDKDVQDKRVKDEVENVMSAVQGWADVVFTPVMFPAEVVGKFFEEVNGLELEPEILPDITRHLSNSGNKAKLAEDSFTDDELDQYPFLDNKPVEFQLRDEPAIPRVGVN >Et_8A_058443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7891847:7892270:-1 gene:Et_8A_058443 transcript:Et_8A_058443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARTPSPPKPAPLARTRLALAAAADAVICLWLASMWLTYLAMGALDIGRIVCGEGCRVVAAASKVRLVALLCLVFPSPVAMLLYISRLADNCAASAATEKAHSSAV >Et_2A_018440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28266795:28270713:1 gene:Et_2A_018440 transcript:Et_2A_018440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLVEEVLTRIPPDDPARLFRAALVCKRWCRLISSAGFRRRFREFHGRAPMLGFIYNTPAMSSAFVRASPTCPPPSNDPHWATIDARHGRVLLHRSPEELWNESAFVVWDPITGEQRELPLLPDNSTAHSWNAAVLCAASPTGACDHLDCHRGPLFVILTGYSRGEMFAYVYSSEASAWSKPASVQCPYNAFPMLPCALVGNALYFILWCGEGIVKYDLSTCDISIIDVPQDCYLTRTVLMTAEDGELGFAQVEEFTLNLWSREAGSDEYMGWTQSKFIDLKILLPREALSNFVYVVGCADDIGVIFLSTDDGIYTINLKSVQVTKHSKLHLQLKNQDQVLQYSKLHLLLRNQDQVLQVLETLIRCSYEVVSVKEEFVGRRSCEYEN >Et_7B_055054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6913299:6915198:1 gene:Et_7B_055054 transcript:Et_7B_055054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVAVETRQRAKRNKASAEEENVKTPPSSPPPPPPPAVPRHRQPTPDHPPYCWMIGEAIDALGEDGGSTEDSISSFIRARHPGVPAAHDRFLRHYLTKHVAEGFFVCAGPGRYARSPNEEDASMEAPVKPAACEAARVESPVVPPKRGRGRPRKDGSSSTSPAGKKNGSAVSATPKRRGRPRRVAPLAAGDGSVPASSVAAADKDGSQATASTPRRRRRLRKLATNSDVSGEALVTDNEDSIDAPSTTGKEHGRSLELALVGSATAPIGEEVCGEAPPTTPVDRGQPGELALVTTTDMPAPMPPADKQDGDASFNLALVVKQDGISATASELGTQACELALVAADYGPVPVLVADKKGIGEAPSAKCLRQPHKALPMVIAAQDSSPTSTSGKKTRGKTLSATPKTRRQHKLALVATDVLSSPAPVVGKKAGCELSVTTLKLTPATACGGNGAPSVAPKPQGRPSRLYPVTADELPDDPSWCLLALPAVANV >Et_9B_063671.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17895073:17895600:-1 gene:Et_9B_063671 transcript:Et_9B_063671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRETISARPPPSPRRPKMASDAEPAAGGGVFKCTCFHLPRRSKKKPPPPPLVTTKLIGSAGRRSSSDAPVACAAAQSSPRVTFLASASLSTWWPASPSGGGGGGNVGVAAPRNGGLPRASSSSFSYWRRSLSSRVMPHGAAASTARASFSFPTSPASASSSCMSTPKIPHGCQQ >Et_7B_054395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20906816:20911885:-1 gene:Et_7B_054395 transcript:Et_7B_054395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGAGDDGSRPWQDAVDLAERRRVLEQILVKLVKILEGQPSPDDKLKSLASLFEHKMFSEAKSKEDYIQKIAYKLVVMERNRKPALQAASGPHQQIQTGCPAPLANTTEAIPGGSSSIAAAPKVASSLTSHTTQSSGLQSQPLTPPGSCIVPNQPMSHPVAPNVHNNVKQVQPDAMTKPDQTLNSSPTIVTQSDVHPSHLMTQPVACRNQNKLQVMQSRPVNISDGYPTSVAKSQGQLVVQPNGQQNTHRQNARGTGMLLLLQQQQLRINQQSLEVNQQQMNLQRRQMLVTQQANVANMAGHPVERNSQQNAGQKVKPPLKTCTPEVTRKHIRMEPQPEDLTQQLNTMNQQSSLVSAAPKTTASMGSAGEIDWREEMFQQCISVDVIVYSLTEQEEFEALSKDKNEQCKIILYMKKRIRTILNFLQLQKSNIPEDLRGQLPKFAKAIQNVIEFYRRRNDQRADMDKGRQSEISYKNPQIKNLTCNPPPSSGCSSSQQKQPEQTGAFHLTHNTATRIQAASQENHSSILLGVASSCVPIKPQGSVQSLPSNKFQSNRAQPSVTKTSHIKVASPSASAKSIFSSPAANPGAVGHASSSVSSPIAKPGLEVASPSASVKSTLPSPITNFGIMQAELPSASARSSSLPPFAKSHILGVASPTASVSTLPSPNKDVGVAQAASPCSPVKSAATSAPGANSGVVLVASPCASMKSTESDNVSALLQQNNAAVVVADGSTTKPLMPSSPLQAVTVGAQEEDLQHGGAAKPVTKTPINRLLDAVRSLSPEALKSSASLVCSVIRTNDWAPHGEFDTFCHSTLFPTQGGFSPSNNIKRIFEIEASSPEPSSLFDTWEVEFNAEHGAKRQKTQNARNALLEEIESANSMLMDTIIDIIDDNSICEGTLIKLCYTAVSLAPDLKSLFATSEMPIVMPVKLLVPADYPWSSPVLVNDQNGEQLKTMFSDVISSAADLTFRNTLYGIPEPRSIMETARAWDASVWRAVVEFAQQLGGGTVSSTFARWENCSGA >Et_3A_026810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21587049:21593039:-1 gene:Et_3A_026810 transcript:Et_3A_026810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSDPLLAGEDGGRLGFLPPSIRLKTSVWSELGGAVGDLGTYIPIVLALSLASHLDLGTTLIFTALYNFASGVLFGIPMPVQPMKSIAAVALSSAHLTVPQIMAAGLAVAAVLLFLGATGLMTCIYRLLPLPVVRGIQLSQGLSFAFTAVKYVRYVQDFSRSSSASTAAPRPLLGLDGLVLALAALLFIILATGSGDDDDVGSDGERRRRRRSCSRVPAALIVFALGLVLCFARDPSIVRGLRFGPAPLRLVRITWDDFKIGFWEGAVPQLPLSVLNSVIAVCKLSSDLFPERAELSPARVSVSVGLMNFVGCWFGAMPCCHGAGGLAGQYRFGGRSGASVVFLAIGKMALGLVFGNSFVTILGQFPIGILGVMLLFSGIELAMASRDMGTKEESFVMLICAGVSLTGSSAALGFISGIVLYLLLRLRDVDYWGLVGRWGAGRHQAGNKSGGEQRDPSEDKNCKIIQSPEEIARGSSDARPCRPAQRDVIMALGTGIKESIEYESGKASLLRRYMLEELIDQT >Et_2A_016872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2985551:2986070:1 gene:Et_2A_016872 transcript:Et_2A_016872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSQWFQVVKELMLRKIRRQSHHLMSSMLRRNGNTPYLFNLGIVLWTHPVQESSDLCPEICYAYELLQNVLGHNVCVASLLKYKFVAEMALTRQSVFDAKAACSYGLVVVIMSSSPCTFVVLVVTAVN >Et_4A_034466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3503792:3507173:1 gene:Et_4A_034466 transcript:Et_4A_034466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDEAKAAAAAAEDAPEGKNWRRKGKHDKPKPWDEDPNIDRWKVEKFDPSWNEGGLLEYLQEAWPIVKGALKEFGITCELNLVEGSMTVSTTRKTRDPYIIIKARDLIKLLSRSVPAPQAIKVLNDEMNCDIIKIGSLVRNKERFVKRRERLLGPNLSTLKAIEILTGCYILVQGNTVSAMGTFKGLKQVRKIVEDCIKNVKHPVYHIKELLIKRELAKNPALATESWDRFLPNFKKKNVKQKKPHQTKEKKPYTPFPPPQQPSKIDLELESGEYFMSDKNKSAKKWQEKLEKQAGKSEENKRKRESAFVPPKENTAGPSESDNATNGNNEIADIAKSLKKKAKDFRKSEAQGNIKLESYLASTEESLPKKKKHKSTK >Et_5B_045471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2653085:2655003:1 gene:Et_5B_045471 transcript:Et_5B_045471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLPVEPVVIKADTIDVAAERILDELKENTTNTRISNVIYFDGWDGLGAAAVLEAVAQRLNTASQAPTGLQFDQIIHIDCSKWENRRAMQRAIANQLKLPPTVMEMFVRQDDEDDFKGVEQASRVEVEEVLAVMHRRIKELNHRFLVIFHNGSCKEIDLAAFGFPLSKYLNNKVLWTFQGRFRVYPRMKVDDAIERSGTTQVFISASCQEKDPPRLGAFLVRQEAAEVAREINAAGSVIVHPSQVAECFLYMFKLCCTGLDFMMDLAIHSSNYWVCDGIIQHLRQGECDDSDGLWRASDAVQLQTQLDEDYRLFRVPHFPSHLVYWTSPTNGFPLISAGAIPNGDMFQNFSKLSMLKLSRRTFSISSPPFLYCHSLKFLWLDHCREIGSSTDG >Et_6A_046868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21748104:21761660:-1 gene:Et_6A_046868 transcript:Et_6A_046868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLASSPTSEVPIARHVLAHVPSDPDCVSPTYPVQPDKPECQFYMKTRDCKFGVVCKFHHPKDRTIPVPNCALSSIELPLRPGEPMCTLISILAMECSSLVQNENSIIQCKSSCTALLHHQPVRSQLLDVCWHMYHRIRIVFRPHIRSSDSGPSRTSIIRPEVPNTILAHNGVKQLAWVILECFHHSGWLGLYAVHRENVFLDRPDKPECQFYMKTGEFQSNCHYARESPYALSILAIKCASFVLNANSIIQCKPSCTALLHKQPVRSQLLDVCWHMYHHIHIVFRPHIRSGDSSPSTTLITSGRGMSLNYITAISN >Et_2A_016391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24174435:24179044:1 gene:Et_2A_016391 transcript:Et_2A_016391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDDAGGGTGFHELFDSVRRSISFRPAAAAPTEPPAVPFGGGGGGGIGVRIGSCLRKSRGMGLLGLISKSPSPPRRLLPPMPDESVGGGGGGGSSGEGRGEENPPIRWRKGELIGCGAFGQVYLGMNLDSGELLAVKQVLIGTSNATREKAQAHIKELEEEVKLLKNLSHPNIVGANILVDNKGCIKLADFGASKQVAKLATVTAAKTMKGTPYWMAPEVIVGSGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVALLFHVGTTKSHPPIPEHLSPEAKDFLLKCLQKEPELRSTASDLLQHPFVTGESDNLQQTVNRAAHKETSVDEIPAHDMPNGSPLQLIALVPRGLNHSSNWSTINSYGSSKVKPLWEGSCDDDDMCEFADKDDYPAVGSSYNPMSEPFDNWESKFDISPEQNSHQSTDFGGLAKHGESGIIENDFTFPCEGSCDDDDVLTESKIKAFLEEKALDLKKLQTPLYEEFYNTVNAGSSQGADQASKGKFTNSPKLPPRGKSPPSMKKGGVSPTCDNLNNTSPVSCSKQFRRSSVESSRILREIASPQLNEFGDKSHLDVPDSPSFAEIQKKWKEELDQELERERVMRLAGCGKTPSPNRGPSVKRAPC >Et_10A_001351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23640175:23644529:-1 gene:Et_10A_001351 transcript:Et_10A_001351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FPTVLFHSIPFLSSGSEILNPPPNADVWFGALHTNQKPCSLPWTRDVRTIAELSIDDYLYPDADLYNVSGLPPLLLPPPTCDLYHGRWVFDNTSVPAYREKECTFLTAQISCLKNGRPDDTWQYWKWQPNDCSLPTFDARRFMEAMRGKRLMFVGDSLNRNQWESLVCLVQPILSKGRKKVVKRGNFITFHAKEYRATLEFYWAPFLVESNSDNPNFHSIDTRIIRTDRIQAHAKNWRDVDYLIFNTYIWWMNTADMKVRRPDSRFWSEHDEVPRIEAYGRALKTWSDWLENNVDPARTSVFFMTISPPHLNVKRGFSF >Et_4A_034331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31795798:31797430:-1 gene:Et_4A_034331 transcript:Et_4A_034331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRPATWEQGGDEYDYLFKVVLIGDSGVGKSNLLSRFTRNTFSLDSKSTIGVEFATRTIQVEGKTIKAQIWDTAGQERYRAITSAYYRGAGATFENVRRWLKELRDHADANTVVMLIGNKTDLRHLRAVAQEDAAAFAEREGLSFVETSALDATNVDKAFQTLLAEIYRIVSRKALAADEAASGAVGEGQSIQVSAGAGDSGGITSRCCAF >Et_3B_029885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28838553:28838767:1 gene:Et_3B_029885 transcript:Et_3B_029885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATPHLVSSFSTHAKPASSAPNSVSSRPLQNFDREHRSLFSPRSQGFVCELTSAWSVSSAS >Et_2B_020505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20963812:20965112:1 gene:Et_2B_020505 transcript:Et_2B_020505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMHRFLPVLLSLFLLVTTTNGDEHIYIDDCPGNATFTPGSAFQANLDALLSSLPGAAAVSSGFAKNATGAAPDQAYGLAQCRGDVNASACRSCLDASVRDVTRGGCRGQKSAMVIYDACQLRYSNTSFFGILDKSFTVYSCSAQNATQPEQLMQFVARVGDLLVNLTGKAAYRSPRMFAAGAAQVTPSVNLYGMVQCTRDLAADDCNRCLSSVVPFMPFDCEGKQSVRMFRRSCSARLEVFPFYNAQVVDVAMSPVAAPEGSPVNCGGRHAYRSEGMIKVARSSSHDGRDPLWNLTRPDTVPEKRFTAFISSAAGNYENTATPLWSTQKNHCCLAFSLLIVKTRISGDIG >Et_5B_043683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1468960:1471040:1 gene:Et_5B_043683 transcript:Et_5B_043683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRDWAEKDGMEDTGGGRRRADGRRSRQGVAAGTLPAATSTGLARVPSPLLPSPRIQASSRSPRVRRTLAAKDLEGLPRPHPLASSAAAGVRTVAHVLSRTRLPQALGEDLVEDIPTMIICLTNLGLGLLVDLDKLTPQLQPAYRKQEAAQLAR >Et_5A_042304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8507923:8510284:-1 gene:Et_5A_042304 transcript:Et_5A_042304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSSPLPLAFLLLIVISPWWPLAGAWSHFSCGSGSYAANSTYEANLRRLAAILPADLSAASGRYVDRAVGYWPNRLQASARCRSRDGDCAACIAVAFEELERACPFCREASFVSGNCSLSLDEFRIIETDIFGCPVDSHPPQDEFICHCLKELMARQIMLEKDLGKLENATEHPIQPLKSAKRTYDGCYAP >Et_4B_036289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15379204:15379401:1 gene:Et_4B_036289 transcript:Et_4B_036289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGLSFSSVAERRRRKTQERIRRASVLESVAGCLPVYAECLPVQPSIRSVI >Et_4B_037567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21171351:21181324:-1 gene:Et_4B_037567 transcript:Et_4B_037567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTISFVSSPPASPPPPPPQADLDAVSLGRLSAHLDCLLDPAFFNCADAEIVLAAGGGDAVAVHRCILAARSSFFLDHFSSLPAAAAAGEKPRLELAKLVPGGRHIGRDALVAILGYMYTGRLKPPPREAVVCVDDACGHEACRPAIDFVVESTYAASGFQISELVSLFQRRLSDFVDIASNEDIVPIIHVALTCQIPELLNQCIQMAATSSTFNRLYLEKEIPGDTYAMIMEIRRTTFPDESDYVILDPQHERTIRNIHKALDSDDVDLVSMLLNESAFTLDDAFAIHYAATYCTPKVVAELLKLDSANVNLKNNNGYTPLHLACIRLEPGIILSLIEKGASVLEWTLDGRDALTICKRLTKEKEFNRNLEKGKKRSNAYLCVDILEQTKRASTSDLVAVDETTVTPLLVDNFHMKLIYLENRVAFARIFFPSEAKLAMCMAQADSTEEFTGATLSKLKEISTISFSSPSPPSPPPPRDTQAELEAVSLLRLSNNLERLLDPAFLDCSDAEIVLAAPGQGAAAVGVHRCILASRSAFFLDHFAAAPAAAAGEKPRLELSGLVPGGSNIGRDALVAVLGYLYTGRLKPPPQEAAVCVDDRCRHEACRPAIDFVVESTYAASGFQISELVSLFQRRLSDFVNLALAEDVVPIIHVASACQLQELLNQCIERVAISKLDCRYLEKELPEDTYSKIKNIRRSISPDESDNSIEDLEHEKRVRNILKALDSDDVDLVDMLLKESAVTLDDAFAIHYAAAYCEPKVFAELLKLDSANMNLRNNSGYTPLHIACMRREPDIILSLVEKGASVLETTHDGRDALTICKRLTREKDCSRKLERYEEKSKAYLCIDILEQELKRKSFLDPISIEESIATPLLVDNFHMRLINLENREAKLVMRIAEADSTQEFAGITNFSKLKEVDLNETPTMQNKRLRERLDALTKTVELGRRYFPHCSDVLDKFLNEESTDLIFLETGTLEDQQVKRMRFSELKEDVRKAFTKDKAVAAIASSASSSSSPRSEGRGKQGHRKPRPLR >Et_3B_029522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25742672:25746517:1 gene:Et_3B_029522 transcript:Et_3B_029522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLDASAVLDAPIWPFFGVRFMGGGSVPPASNSRMPPLPHEPAGFYNDHSATVDIPLDSNKDISKKERELQAKEAELNKRERELKRREEAASRAGIVIEEKNWPPFFPIIHHDISNEIPIHLQRMQYLAFSSLLGLTTCLFWNIIATTAAWIKGEAVAPPFPFKGKSLAGILPAIDVIGNNAIVGIFYFIGFGLFCLESLLSIVVIQQVYMYFRGSGKAAEMRREAARGAMRNAF >Et_5A_040469.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24495207:24495290:-1 gene:Et_5A_040469 transcript:Et_5A_040469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGLTMSKQELLDWASSSEMLLANRY >Et_7A_050534.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:23180264:23180362:1 gene:Et_7A_050534 transcript:Et_7A_050534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTFIIVWLIFINLRTCMAWTNQTIKYITR >Et_7B_053319.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6655083:6655340:-1 gene:Et_7B_053319 transcript:Et_7B_053319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCRIRDFFFLLADLMMRNFSIYFLFFLILMGGISVLPCFTYADGFGLRGAPPRVRSLIGRLASPPARTGRRSARAAALGLPGRG >Et_6B_049012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16657716:16659108:-1 gene:Et_6B_049012 transcript:Et_6B_049012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFFRRLAGVPWGTFAGEAFSRTFLVVKAFCVIHVINHHVCSLSILQGPSMLPTMNLAGDVVAVDKVSVRRGKVGPGDVVLMISPEDPRKLVAKRVHGMEGDTVSYFVDPGNSSASKTVVVPQGHVWVQGDNPYASRDSRHFGPVPYGLVTGRIFCRVSQFSVSPPHWLI >Et_4A_033043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17595598:17598481:1 gene:Et_4A_033043 transcript:Et_4A_033043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGFAVLAGGLLGYLRRGSTASLAGGAGAGGLLLLAGFVSLKAFEKRRNSYLALAIETLCALALTYVMGQRFLETSKIMPAGVVAGLSALMSAFYLFKVATGGNHIPPKKE >Et_3A_024243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18902277:18909752:1 gene:Et_3A_024243 transcript:Et_3A_024243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFGLGYMLRTLVLDQPALGVSSKGPVDTILDKENFTLEELLDEDEIIQECKALNSRLINFLRDKAQVEQLLRYVVEEVPEDAEKKRSFKFPFIACEIFTCEIDVILRTLVEDEELMDLLFSFVKPDHPHSTLLSGYFSKVVVCLMLRKTAQLMSHVQGHPEIVVQLVDLIGITSIMEVLIRLIGADETIYSNYGDTLQWLENTDVLEMIADKFSSSDSPEVHANAAEILCAVTRCAPPSLAAKICSPSFVGRLFHHALEESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGALVTASPETVDGMLESLGNLLKLLDTSASENVLPTTYGYLRPPLGKHRLKIVEFISVLLTIGSETAEKELIRQSAIQRSIDLFFEYPYNNFLHHHVENIIISCLEGKRVELVDHVLNECDIVGKILVAERDSSLSAESNGPTVPSEGKTPRIGNVGHMTRIANKIIQLANSNSTIQTHLQENSQWVEWQVNVLVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDIEEAQGSLERDDEDVYFDDESAEVVISSLRLGDDQDGSLFTNSNWFTFDGERGINDRLAASVPSSSPNSEETSLETEETDDGKTIGTEDQMATIYLGNGAIQEAKDVAECNEQPNCSTEGEPLEATEGMERHPEAANGDTGVGTNESASAAPESSPPSVEAESTTEGPTGSPDSVPEASPDSVPEASPDPVPEALPGPDVNGSESANTEASSEQVTHDTDVQQAAKEITAEDVAATDPEVIKGNE >Et_4A_032659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12282289:12298436:1 gene:Et_4A_032659 transcript:Et_4A_032659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGKVRGSSPPLFSIRLLFGCTTLLASPLLPADRSSSAHTTATPPWIRQLLAEDFAATCKADRFCVPCAAAFCDHCCAGHHRGQGHEVVVRAAAADSEGAQHGAGLAAPTKDSFCLDCAAGFSAALCAHHAGHETVRVVVCQGRHCVRFTGSEPWFPWFESIEADHFYVPCAAAFCNHCCAGTTAARATRSSRTASTCPASLPSATTAASGTTAAKATRQLLAEDFTAPCKADRFCVPCAAAFCGHCCSGHHRGQGHEVVVRAAAASDSRAAARDSFCLDCAAGFSAALCAHHAGHETVRIVDCEGRDCVRCTGSEPWFPYFDRIETYVDEQGHKLIPLQPRCGGARCGHKGTNNVI >Et_8A_056292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19889755:19891095:1 gene:Et_8A_056292 transcript:Et_8A_056292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVILHDLGPSETLAISQDLCLTGISLQGDWASVGASGQQREVQVWAELSGHESRGEVGTLSEAEPLKHLRPVVFVQDGILLRHDAAAATVRFYGHVPVPQPAPSAGGAEETAAVPDDGGEEDDANDEASTWVEFDPATEEYDAERYDSDNAGESSKRNRSLLPLIAAPPGSVVPDGEFLGPARFASVENTAGFMRIAAAEAGNQEGEREIIVLYRYTRFSKTWSGRRGVEACRRTKLHRLRFAVPPAGDMASSLAWAGASLGPLIYPALFRRQLQELWTNLAAQATGGGSIPPRATRLQVIVDAAILRREDHTAERMAHMRGALEAGMQEAWPELYHVGMELHLPEPVQREEEQRPAKRRKIANDVDEEEECSVCLDPMESGLAAWPGCRHVFHGACVENTLARSDMCPLCRNTLCRLAN >Et_4B_037285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17644493:17648294:-1 gene:Et_4B_037285 transcript:Et_4B_037285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVPRRIISGYSPSPILRLLHYRRFRPPQTDILAAQTLAPTRRLASSPLGAISLLMDPTVTAASAAVANGEGGGGGYDDAYEFVDAVAGAEDGSAAGGEEVAGELPEELAKGVVCLECETSPEAAAAGVGPTCRVYIVGTAHVSQESCDQVKAVINYLKPQAVFLELCLSRVSILTPQNLQVPTMNEMIDMWKKKKMNTFGILYSWFLAKVASQLEVLPGAEFRVAFEEARSYGGKVILGDRPVQITLRRTWGRMSLWHRAKFLYYIFFQTIFLPSPEELNKMLKDMDDVDMLTLVIQEMSKAFPSLMETLLHERDLYMSSKLLMVAREHSSVVAVVGKGHVAGIKKNWQQPIQLESLLKSPEANKGASKVKILASVGVLSGVIVASGIYLWGHALLSKALYPKSERRLVNG >Et_4A_035062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9178577:9186744:1 gene:Et_4A_035062 transcript:Et_4A_035062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGVSDQLFVSVKLESPRLAELDLAPHLLGSHPVAGSWDPSKALPMERAAAAMWELSCVIPSQHEMLDFKFVMKSKDCSRFIIEEGPNRSLGSINDEVEMRTAVFKLNEKDELECKILVETEILSPFDLAASWKAHQGYIQPSRVQGIHDAVMNAGLESRAENGFASGLELDLEKFVVPTPNTGSGVVYAANLTENPRSLVHIGSSSNNDTAKDALHNSIKGDASPDDCANTVKDATLGHAPSLEEQKAIFVDRGVGSPKFARPANETISMSNLKLDCEAKDMPAAEGAVAAAAVADQMYGPKEDRKLTIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGTNQTADFFRGDNREGVEARNEVAALAMEDMLSWMQEGGQVGIFDATNSTRDRRNMLMKMAEGKCKIIFLETICKDQDVLERNIRLKVQQSPDYAEQTDFEAGVRDFKERLAYYEKVYEPVEEGSYIKMIDMVSGSGGQLQINDISGYLPGRIVFFLVNCHLTPRPILLTRHGESLDNVRGRIGGDSSLSEAGQLYSRKLASFVEKRLKSERTASIWTSTLQRTISTAHPIIGFPKIQWRALDEINAGVCDGMTYDEIKKNKPEEYESRRKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADKPLEEVPNIEVPLHTIIEIQMGVAGVQEKRYKLMDAVHPTAGL >Et_3B_030844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:791685:794079:1 gene:Et_3B_030844 transcript:Et_3B_030844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLERGYLMAPSLSKHFSEDLLKGAMDLQESLAMLERFQAASQSMRQSNKKIRTETGQKSTEIDTIIREVLLRPSNANKVQPRTVSNGLHGQLSNSTDELKNLIKVSLHKKNLLSLSSNNEQASLSQSTRYSSKDYLVSKTTQQKKVAPRSVPSSVQTDKSTPSLVAKLMGLDGLPSQNDNSIMKDEKVKPASSPRALFDIEMPKSKRLLPQFSGEDTRFDTGMNVSEKLPPECYIAGMNYTRSQKVIGPSYDTPGIDEIRSMKSIHREKNTEQVQGKSSKEIKTVSHTSRKQQTKETTKIDRRTREKQKSHLTERSREGRKDVKEKAVPARNAKIIKSPDKNLAAPSNGNSMKPMLQKAPINSRQKTVSRRNVKSSTIDELVIQKEIGHVLDESDGPSTEHSATPSDESVQSADWDAESSVDDFRNDFSESNESVLSINHSERINSAYEDATHPSTAIIPTEEAEIKDEISLLLLSYPSFLSRAAELTGVGSYDHLTNQYKGTTKAEMKNLELYLDTAAEQLERKHGQQNSLFYTGFQGEKRRATTYFSLEALLIDISNGIRKLNSYADADACGTTDSLHMKLERDLWCTDNSINGVWDLGWQDWICMEETECFVRDVGDGILSLLIEEAALDVLA >Et_10A_000032.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:17311093:17311389:1 gene:Et_10A_000032 transcript:Et_10A_000032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLEAQSQMDEVITVQAGKASKLLHTPKDKTAISFQFNSLPQLASYCCNSVDGVVWCICRVILCIIRQARWQYIFL >Et_5B_044739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5253383:5259659:1 gene:Et_5B_044739 transcript:Et_5B_044739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAAAARLAGEVSRPTARAAASSRFSSGSLLRLTPTRRRRGLGICCCAAKNPGGGGGLAAGEEFVGFFREAWPYIRGHRGSTFVVVISSEVVSGPHLDGILQDISLLHGLGIKFVLVPGTHVQIDKLLSERGRKAKYVGQYRVTDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGVIGRWHGLVDNVASGNFLGAKRRGVVNGIDYGFTGEVKKIDVSRIRERLDSDSIVVVSNMGYSSSGEVLNCNTYEVATACALAIEADKLICIVDGQIFDEHGRVIHFMSIGEADMLIRKRAAQSDIAANYVKVVDEEGINPLHKEVHEPLRDRVHVNGYAASFQNGLGFNNGNGIYSAEQGFAIGGEERLSRSNGYLSELAAAAYVCHGGVQRVHIIDGTVDGSLLLELFTRDGAGTMIARDVYEGTRMATEEDLPGIRKIIKPLEDSGVLVRRTDKELLEALESFYVVERDGSIIACAALFRFHEEKSGEVAAIAVSEECRGRGQGDKLLDYVEKMALSLGLEKLFLLTTRTADWFVRRGFSECSIESIPEERRKRINLSRGSKYYIKQLQPKHAGVTANNFAVR >Et_9A_061789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16428706:16433784:-1 gene:Et_9A_061789 transcript:Et_9A_061789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGMSRLEEEYYELETQDTVGSSSTEVNEEFSKLHNDIFQMTRIRSRLSESIYKSTGTYGCTISTAKLLSRREIDCSGKGMFSSGDRAFVLGRYVPKYGPELLDRMDSRAYVSQFSADGTLFVAGFQGSHIRIYDVDRGWSIHKNIHARSLRWTISDVSLSPDQRYLVYSSLAPIVHIVNVGSAARESYANVTDIHDGLDFSQHEDVQYSFGIFSVKFSSDGRELVAGSNDDSIYVYDLHANKLTLRLPAHTSDVNTVAFADETGHLIYSGSDDNLCKVWDRRCLSTGEPAGILTGHLHGITHIDSRGDGRSFISNGKDQAIKLWDIRKMMSNAESYAAKIPAWDYRYSRYPQQNNQLQHPHDQSLATYRGHSVLRTLIRCYFSPAYSTGQKYIYTGSYDSNVYIYDVVSGLLVEKLKGHDQAIRDCSWHPFDPVLVSSSWDGRVAKWTNTRDCVQETSEVD >Et_4A_032478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10487886:10488745:-1 gene:Et_4A_032478 transcript:Et_4A_032478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKHLCGPWASFVGLESSVRGERTRPKIIQIEPRRRHAPSPLESRVDPLPPPPSESRFASISSTNSEGTPEASIIHTWSSAARSVQGRKRAEVRSRHSGRGARPSVSPAASIFPALSSPARRRRRPPAAAHADDAEKISEDITLAQSALAEDVLPFVRHNFDRGAVATAGKQICAYVSAACADPRLARGGVRVLVLVDTFACPGVVLRAMKSVVVVTRTDKPCVDLESGLPAKKETPRPAGVIGGTRGEAPVEERFQGWLPW >Et_5B_045325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18392426:18394426:-1 gene:Et_5B_045325 transcript:Et_5B_045325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQKTQSSQFDPEELQRLRSEVEKEEEEAKLERLQSELEKVSEAVHKAIDSNLRPDTDRNLEELSKELDKIKLRVTRQDHQDDGKKEGGASDEHQLLPQSKREELDTLLGCIRRALRPPRKPESELPLQKKLLSATTGCNPFKPRASSQQNWQQSGGQEGKGEDDDGEEGVSMKLLLRLARRVQEPEEYYEWTTSYVDESRIYGWDKEADEVVEALVGPKGKEEEDFRFRAAAITGVHGSGKTALAQKAFVHDRVKDHFPLRLWVCVGPPDSEDRFGLLYRMLDNLGLDTNRIEDVVVDGAKVVKDARERAEEEVKNMPATEHEKLRSEAARLAAVREKAKNKETGVKDDQSQVKPDDVKDAPQGQTKPDDVKDDTKKQEEGTVKDAQQGQKPAGEDDVKERIYQQLLKEKVENSDTVRMSKYGVLLYILHVTLSKTGYLIVFDDIRVYGDDGWYNNLTLPPPEAPDKEWGDRLAYGLPKTGKPHKSAVLITCRKEDDAKAMVRTGGVFHPPKLEVDDGWKLFTREYGQAKKQKKEKDGGGEWKEDDDPLFKELKDMKKEIVGKCLGLPIAIIEAAKGFALSDMKPLPDPVVEKPVAEAKPLQEEPKPASAEDQVGSSNKDTQAEEDD >Et_3A_023622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1005738:1007744:1 gene:Et_3A_023622 transcript:Et_3A_023622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANQLPTASAPPSTAWGLPLYLSCGSVSFQGRRRALTDAVATAESFSVLSPPMCLDYFAVFDGHSSTAVAEHLKNVLHGAIDKQIQSELHVETPRFHTTNNDDVAAWWKTTIRKAFRVVDDEMGLLEGTAGYDADVGATALVALVHHNYMVFANCGFSKAVLCRGCAMVLTPENENAEGYALDGEEEEEEAPESKLLRAKETALVFGSFRYKGEKQMAPPEVVAVERNLGDEFLILATDGLWETLSPRDACFFVRQKLVNKPREQDAKGSPDKLAKELAQRAISLGSKDNVSVVIVIFRNFWKGNT >Et_3B_030884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8262403:8267420:-1 gene:Et_3B_030884 transcript:Et_3B_030884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLLCDIDGQRLTAAAILGHDGTVWAQSDAFPQVKPEEITAIMNDFSEPGSLAPTGLYLGGTKYMVIQGEPGAVIRGKKGPGGVTIKKTNLSIIIGIYEEPMTPGQCNMVVERLGDYLVEQGF >Et_1B_009942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1306130:1306462:-1 gene:Et_1B_009942 transcript:Et_1B_009942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSTPKPAAAARAPRSAAAPKLTCLCSPTNHPGSFRCSRHRNNPRARSAPAAAAKGRSVRALLLQKISPSERDRQRRSRGDFQPRPSRLRLMNK >Et_4B_039522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20174822:20176324:1 gene:Et_4B_039522 transcript:Et_4B_039522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAVLALQIAAVAVVAALIIAVIVAAWCARDHAGGAAATVHDVERALGAATIMTYAQAVKSGKAPAAGTDGGEEKKKEDCCAICLSEYAGGDELVRVVPACGHFFHAGCGVDGWIRARGTCPLCRGRLWPLPRPPRPECSPMPSRAGRVTVSLVKENLDLTGRFYCCRPAEATS >Et_2A_018343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2463285:2463807:-1 gene:Et_2A_018343 transcript:Et_2A_018343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGALLLGNPPTSAVRDGEGGGGGHEPPVPRLRLPVLHHLFGVAAAAGVMALFVVLAVASHRVRVSRNFPFLHVSLVQAGGGGFRDAAAIAALPAAFGYKRHHAAAATGWAQCAICLGLVRVGEAVRRLPACGHLFHAGCIDQWLRAHATCPLCRAAVVSGAVVPELPA >Et_2B_019434.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29427033:29427428:-1 gene:Et_2B_019434 transcript:Et_2B_019434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYFRSAKSATSPRKAKKNGGAPAEAGLRESLLDQPAAAAAEGGVPKGYFAVYVGEESRRFVVPTGYLRKPAFRDLMERAADEFGFAQAGGLRVPCAEEDFEDLLSRLQRKNGGCGAAAAGKGKKKTVTL >Et_3B_031148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18115030:18116664:-1 gene:Et_3B_031148 transcript:Et_3B_031148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLHHLKRHEEILDCLPAHGVVRRATLSALPVGRLRGQDRISALPDDLRRDIVSRLPVTEAARTTALSRHWRPIWRSVPLSLYDAHLLPSPSIWRGDVIDRVLTGHHPGPIRAVHLKNCFFDSRVPALERWSSILAARGVEDLVLIRLLPHDEKAGRDEPLLLPAAILRCANLRRLYLGFWTFPDTSDLPTAPASSPTSRSSASSTPAWRAATSTTCSTPALCSRSSRSAATSCQSMSTSAAKASSACSSGAPVVSGGWWTPQAWSGSSCGKRKLEIASAPELKVLGYLQPRVCQLQIGDTFIKVDTKASPSSMIPSVKILALKVDFSGLTEVQMMASFLRCFPNIETLHVECYHRNVPISGKQDVKFYENISPIECVQSKIKKVVLHNFRGLQTDMDFVKYLSQRANEMQQLTLVLPHVHDETSVDEIKGVLGDLVIPPWASEACTLLLVEPPVKLAWSFQRASDLSINDPFLSDDGQELFRFSKEI >Et_1B_011673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24794734:24795269:-1 gene:Et_1B_011673 transcript:Et_1B_011673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVRKKKNHNNGGTLADYEIVEDHTITALFHVSGYYELERKTFKARGIDVHSPASAPPPPPPPQDDGKMQLSRRTSLEWQEITLEVEASDTVASVMALVQRRLGYPPDLQELCHEGSSRFTMYHDSAGTLADYEVKNGSTLMLSLNLRAAIAADVEERKLKKAKDIAAAGVEN >Et_1A_007595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36191306:36193231:-1 gene:Et_1A_007595 transcript:Et_1A_007595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLSSTCCTRSATFRYDEPPSTASRAFVPSSAALARRASASSPPSIAAATALSKSSLVAATASVSTPHCAASFTPTGTPTRSTSTTSFSLMNCSAKWGHVTIGSPAVTHSSTEFQPQCVTNAPVAGCDRIVVCGAHPLTTRPLSPTRDSKPSSASHLSNSSRFPCLTTQMNGRLVASNPCAISTSCGSDSLYKKYWVCTCTPFLHAGSAPVLDSSQPAHRTAFASSVAGFNGVGPDGPYLLADGRLVGVKKQGLQLREAVDHEAVGSRVLRHDLLAELLHAGAREVARRPRLRYLDVDGEARDAHGKLVVVDALEDSVRVHQVHAEGGEGAEAVEREARHAKLPRHRAGPRVASVGDDAARWWSGGGGLPEARDERGAELGAGGVEVVEEVEAGERVEALAPVGEAGLGAGEGEREEADGERGVPGGATEAEQVERRGDERDQRASPGQDARHVQHRAGVARSHHRHQHEVRRRRFGNGRAATARRHAALVLAENGILLGSRVLPGGFERGGSRCRTVRTEKCARVDMWLGTCDFEEARRLVSCLDRRR >Et_8A_057317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23593286:23596642:1 gene:Et_8A_057317 transcript:Et_8A_057317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSVDELASNLSTYKDQLREVRKLIKEKKDDPGISEYLDMEKELQEVITLTEELLATAKESGSAQNDAGLSAPNHSAGVQSEGPDDIPQSHMFAVGTRVQAVWSEDGEWYNATIEALTPNGYYVAYDEWGNREEVDPDNVRPLEEEAADALRQAEKEAEATKMALKRKIEQAATSDFQARSLPAKLRIDPNDPEDVKAAKRKKIHAFKSKARFEQLEFSQNKRQNAWQQFQTTKGKAKKVGFFSGRKKESIFKSPDDYRGKVGVTGSGKGLTDFQRREKHLHLKGGSADAVDDEE >Et_10B_002667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5519179:5519592:-1 gene:Et_10B_002667 transcript:Et_10B_002667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPTASFQGFQPQDGFVPPYMHFGGAATTSQSMLQFGPSAGAEANNSTHASESSSGPAKRKEKQPISIPDSSDGSEEEPKRQPRFNWIENENQALMSAWLYHSHDPIHGVDKKGEYYWKDVSQQRP >Et_6B_049916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17844060:17853692:1 gene:Et_6B_049916 transcript:Et_6B_049916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKRVVSAAGTAALVYFVLSGWLSTPSVEGAVSESAWARRRRRRGEEDGKKREERWPERAPESWREAAAVAARTAGFVYAETLGKWPLGDIAFGINHYMRIQGNLQHEFSGRNCVPLEGPGVRQELIGLLRYLRLCMFFSKKPYEVFLEFGGYGQSDILLRNSKPGVMKPSFTIVRDESTKCFLLFIRGAISTKERITAATAAEVPFHHSVLQDGRKSNLVAGHVHCGMVAAARWIADQAIPCLSKAVEQFPDYRIKVIGHSMGASIAAILTYMLRENKELSSSSCIAFGPGYGIIMGFRPPRTNSKDKNLGIC >Et_5A_040392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19016836:19017685:1 gene:Et_5A_040392 transcript:Et_5A_040392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEVAGKAAVEVEESADVEVEEPVAPRCRLVRIIVHDEDATDSSSSDEDEDEEEEEERVPPPAAAGVKRKHVLLRPVGGGGGERDGKAAAVRYKGVRRRPWGRWAAEIRDPQLRRRVWLGTFDTAEEAAAAYDAACRRLRGPSAVTNLPAPPSRCAPAPTSPAAAASTAAGEEAAAAAAAAARSCRCPCGRSYPGSARSDPAAAAASLPSAPRPPRRPAAPEEPPSDRSTRAPPTCTNLNNLSADFCNCG >Et_9B_064522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16158077:16161375:1 gene:Et_9B_064522 transcript:Et_9B_064522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVRSCVSVKPGASPVKYRSTRVGWAGLGAPARLRIPSPSSAFRGDGRTEAGCASSSGRSANGGAGFLGRRQVVAKSSASLDAAQPAPAAAAVAPVAASAFPERAKVVALVAAVMLLCNADRVVMSVAVVPLAAQHGWSSAFLGIVQSSFLWGYIFSSMVGGALADRYGGKKVMAGAAALWSLATFLTPWAASRSTIMLLAVRALFGLAEGVAFPTMSTFLPKWFPTQERATAVGISMGGFHLGNVISFLSTPIIMSHIGLTGTFAFFASLGYLWLFVWMLNVESDPLDSRTISKSELKYIVSGRSASKLQGNKFPTLKELFSKIEFWAIIVANVVNNWGYFVLLSWMPVYFKTVYNVNLKQAAWFSAIPWAVMAMSGYVAGASADFMIKSGFSVTLVRKIMQSIGFIGPGVSLLCLRYAQTPSVAAVLMTIALSLSSFCQAGYFCNVQDIAPKYAGSLHGLTNGIGTGAAIVSTIGTGYFVQWLGSFQAFLTLTAVLYFSATVFYNTYATADLLFD >Et_2B_022437.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:21726117:21726413:-1 gene:Et_2B_022437 transcript:Et_2B_022437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDPDPPGHPLPQSLMAATAAKRLAGDLAALPEDVLLSALSRVRSVKDLFLLAVTSKWWLRLFTDPAFLSGLLPGQGQGQGTRLLGFFFQQRSFVLC >Et_10A_001356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23716780:23718622:1 gene:Et_10A_001356 transcript:Et_10A_001356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSQSQSSVGGAASGRPATVGPRGSAAATAGMRRRRTTSTGSGGGGFSGASGSNMLRFYTDEAPGLRLSPTMVLVMSLCFIGFVTALHVFGKLYRSRTAAAIIHHTGDLMAKLLILSSDSLI >Et_10B_002806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11599174:11608781:-1 gene:Et_10B_002806 transcript:Et_10B_002806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAGSPGRPALRLLCNKRSLLSPPSPSPLRWLVGAPRFLPPFTEIRVLLPRGFDIVGALLVGGGKGSDADSSSRALELARALRKRLFGEAASHGMVGGCMDAATGEIRFVASESGDSKAVEGSEVVWEDDPGRLLWEKGCLLRCELPLKLPLYVPADEMSGIEERFSSLIESTVAKLREPHVSYLVEGPITSPEESPRSIILHGDNLSSISHLPHNESTKRCSPNVVSCSEFFSGKRCNLSLTRENADAIQITVMSNQSVSSSKAGTTPVIEYYPAPAPASLSVINLKLDILCYSSVDFPVAAAVSELVIPGLADQMRIMKKVIASEITQQPQLSPYHFIPPGLLIPVTTIYDSRYGEIEEKQSALRRDLHIRLGLPLDRPLCRISNTLTFGGMRKREKNASRSGSSMLRDVHREIPSSGVSGGIMSLIDGSYEYYHYLHDGIDDNGWGCAYRSLQTIVSWYRLQQYTSIDVPSHREIQQTLVEIGDKDPSFIGSREWIGAIELSFVLDKLLGVSCKIINVRSGDELPEKCRELAMHFETQGTPVMIGGGVLAYTLLGVDYNEASGDCAFLILDPHYTGADDLKKIVNSGWCGWKKSVDSKGRSFFLKDKFYNLLLPQRPNMV >Et_2A_016118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21122044:21122805:-1 gene:Et_2A_016118 transcript:Et_2A_016118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGVKVFGKWASPMALRVEWALRLKGVEYEYIDEDLANKSEALLRYNPVTKQIPVLVHDGKPIAESTVIVEYIDETWKSGYPIMPADPYERAQARFWARFAEEKCNPALYPIFTTTGEAQRKVVQEAQQLLKTLQTALEGKKFFGGDAVGYLDIVVGWYAHWLPVIEELSGASVFTDEELPLMKAWFDRFLAVDVIKATLPDRDRIMALNRARREKLLSA >Et_1A_008485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8083234:8085516:1 gene:Et_1A_008485 transcript:Et_1A_008485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVRAAPFTYVAHALAVAAAVMVLVWCIQFRGGLAFEDTNKNLIFNLHPVLMLIGYIILGSEAIMVYRVLPTWNHDTTKLIHLILHAIALVLGAFGVYCAFKYHNESGIANLYSLHSWLGIGTISLYGIQWVFGFVAFFFPGAAPNVRKGVLPWHILFGLFVYILALATAELGFLEKLTFLQSSGLDKYGAEAFLVNFTALVVVLFGASVVVAAIAPARLEEQQDYAPIPEN >Et_1A_007225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32301871:32305324:1 gene:Et_1A_007225 transcript:Et_1A_007225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKISSAACVTAHVFVHRGIQVLERRVDLVARKLHMSSMGCVSSKQFKRTPGCEDPNILANETSFSVNEVEALYELRSTFQLAVFRNSNKKNLFADRIFDLFDLKRDGVIDFGEFVRSLNIFHPDTPTTEKVAFAFRLYDLRGTRFIEREELKEMVLALLNESDLLLSDETIEQIVDQTFKQADMNGDNKIDPNEWKGFASKNPGLLKNMTLPYLKDITMAFPSFVLTSGPSDDEL >Et_4A_034507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:444420:446485:1 gene:Et_4A_034507 transcript:Et_4A_034507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEANTEGTSQPSIAPAPSELPESPVPSSSEAGGQSEQGTGQDETAEGNSSYKASEIIQVIDPKSHVDTAAPIDSVKGAVSKFGGILDWRERRKEIQDELDKYQKWSEEAEAGKARALRDLQSTARAADELRQSLEKAQARQDTELQRGASESAAAKAELDAVRDRRDAASAELRSARSELASLEKQRREADAVAARALVAAAASREAAKAAAGLGAELAALKWELESSHAAHGEAEEKGMALAAAFERDKARWQGELEEGEAEAKRLREELMAACDVEIKAESAAELLTSLKAELAACASTVGLDGTEKASSDNAVRLEKTRKELEEVKESIDRAKGEAYHLRFAAASMRDDLERQKAELAALQRKEELAAASIPSLEEELKRVTSLELAKEEVHDDESKVAEQIDEARREAERAKEKAMFGREEAAKAREEASLAKAGVAAMEARLEAVTREIVAAHTAEETATASANALLLLQTETSEEPSENHQSGVVTLRAEEYEDLSRRARETEAAAGKRVMEAVKQIKEAKDAEVRSLEKLAKAGRQTEQRRQALLAATEEAEEAEFAKLSAERELRQWRADHQQGSSSRAGLAEISALDDPAHGNPHILSPRGGYMLPRPDAAMVPAAEADADANKQRKTLFPRMVMFLARKKAQTWK >Et_1B_010763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13825160:13835501:-1 gene:Et_1B_010763 transcript:Et_1B_010763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVHEQRIAEYFAGFLSFDEDSESCLKMLPLIGIYLLDCNSEDISLDGLEEELEEHKNYDVLISILTSGEKQRARATMVEGNLGHVEKALIQVHIGSISSEIRSLQEKSVDIGVKLKNRKLVETKLAGFVEEIVAPPGLVNIIVNGEVNDAYAKGLEILSKKLKFIQVDPLINASRALKDVNDELERLRQRALSKVSSHIIEIFFAMRKPGTNIQILQQNLLQKHRCLILFLKEHGSETYADVCASYVDTMNKVLSAHFRVYVEALERLKLDIGVSNDFIGYDTSIIDIITRGRESLRDHRFMFSLGERSKILKEIDRPALVPHISQVNSLTYPYEVIFRSLQKLLMDTASSEFLFIKAFFGEVPLFYKVFEGPFAVIHQHLDLTIPNCHDAVCLMLLICITRKHQLIMSNRRLACLDAYFDKALIYLWPRFKLVFDMYLQSLYQCDVKMLWIDGTHPHHIVRCYVEFTASLVQLNAECGDAQLDMNLERLRSAVDDLVVRLAQNFTVPKLQHLFLLNNYDMTISVLKEAGDEAKRVQQYFEEKLETEDLIFYTERPNIADVEPVVKNFAMKWRSVLELMHNEIVTSCSNLLSGMAILKAAMAQLLNDYNRLSEYVKKIPGGSALNRNLRYDISSTSMGAHKVA >Et_3A_025660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31766415:31769500:1 gene:Et_3A_025660 transcript:Et_3A_025660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLTPSHGGMPPPGQGLARYGSAPGSFLAALADSVIRGDPAPPPPVSRFFSGESSGLTSCESSGRTDGAARPPLQRAYGGSSEIRVPPPPQQQQPPAPAQMGQHGSGGAPEVSTLFRHSSSPAGLLSRLMADHHGVAATTGMGSYSHAGTDAMAHGHRRLSSQWSFSRQDLPQISEMGMIPDIGESMVAGGCNSSSDGGAQSSSYLSRNFSMNSWDDTNSIMFSSPGKKAKVDAADDMVTSFSNIDSQFSLSKSSLEMSGMDDFLQLQQDSIACRVRAKRGCATHPRSIAERERRTRISKRLKKLQDLVPNMDKQTNTSEMLDIAVEYIRELQGQVEVCFTCCNLQCLAHLISSSSLFIKMPIQLVNIAIYLWQSEHHLCSQL >Et_3A_026843.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2369057:2370499:-1 gene:Et_3A_026843 transcript:Et_3A_026843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVPGFPVESVSTEMFGICHQTSIPSSTQLVKYAAATHSDPTEKEAQAFEIDSDNMEVIIHNPIHVFEEAAREVEIDADLMRIKIHKYPASMRDLDKRYREPNIVAIGPHHHGKDHLKHAEKMKHAAAYHCIRESDHSVQEIYDSVVSVEYNARALYDTDVEAGIHEDDFRPMMFYDACFLVQYMLYVACFYQQDYSEMDPSLVSYFDSNDDAIYHDIMLLDNQLPWLVVEAVMRFRPVNMEHFIACLRDCLQEVSVNDDDFELDVTFEPPHLLGLLRFYIVGRSKKKLEKELSLYLTVSPSELAEVGISLKANEKIDLTGMCIKKRGPFFADLCMPPLQLDARPSWLINMAALEICMSSDFLQEEDEDSAVCSYLNLLTMLVHREADVYDLRKKRLLQGGGGFTDQEALAFFSCLRSLRLGSSYIRTIADIQNYMRERPKRTKAHAFIYRNIKIITTFFSAIVALIGILGTIKSLKVP >Et_7A_050436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15161734:15162984:-1 gene:Et_7A_050436 transcript:Et_7A_050436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRGRALHPEGDADCYVIGCLSPPSPPEFHLSPAPAPAPVPVPIDPGPPVFAPSPVPVHAVPGGRRDQGGVHGYGSPPAAGGGDSHHRMVTYALIAAAAIAFVSLILLGVSVAVRRRQVRRRRRRQALLAAPAAAAPTDDGGNDPEDGGGGVVHHVWYIRTVGLDEAAIHSIAATRYRAGAGLLGAADCTVCLGEFQDGELVRLLPKCGHAFHVPCIDTWLRAHVNCPLCRSDVIDPAVTADSGGDADAESVASTPDDHVANNNAAAEEEADATSDAMAEQEQEESNNNRGASPAEEEDQREQPSLPELPPQQRPCPRPQNVRRAASMDAAIVTAAALERLPEAAPEEEQSGRRKRGGGTGPSGAKESGSGHRSNLSIDRPAAVGIPRSFFARHCRARSSVLPL >Et_9A_063597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8977553:8978776:1 gene:Et_9A_063597 transcript:Et_9A_063597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYLASSGYNIILGIASGLVYLHNGSEQGIIHRDIKPDNVLLDKQFNAKLADFGLVRLVSHEGTSQKMTVQGSLCYMDPDYRETEKASKESDVFSFGVVLLEILCGEKPKLQGHTNTLVTKVRELHAKNQILQATDKALENFDRDLMKTMLCVGLITLKIIMKLKNFDADR >Et_3B_029709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27330288:27333895:1 gene:Et_3B_029709 transcript:Et_3B_029709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLETRGFLRRDVRGELAYYFLPRQTPTRDDESEHRSRTDPTENGSRSSRASKRHAPFRAAGALPIAGLRIERNARHGDRARLSRITPRSTAHSTISRAMAASSLLRSLTRRGCAGGSGAFSHYQHHLLSPFSTSSAAAAAAAAGARDEAGKGFPGLGPTAKGEKARVVVLGTGWAGSRLMKDLDTTGYDVVCISPRNHMVFTPLLASTCVGTLEFRSVAEPLARIQPAMSKSPGSHFLLARCTGVDPDAHTIDCETVTDGQKDALEPWKFKVAYDKLVLACGAEALTFGIRGVAEHAIFLREVHHAQEIRRRLLLNLMLSEVPGISEDEKRKLLHCVVVGGGPTGVEFSGELSDFIMRDVKQRYAHVKDYIHVTLIEANEILSSFDVRLRQYATNQLVKSGVRLVRGLVKDVQPNKLILDNGEEVPYGLLVWSTGVGASSFVKSLPFPKSPGGRIGVDEWLRVPSVRDVYAIGDCSGFLESTGKDVLPALAQVAERQGKYLARQLNSFMKAGGGHANSEATVDVGPPFVYKHLGSMATVGRHKALVDLRQSKESKGISIAGFVSFIIWRSAYLTRVVSWRNRFYVAINWLTTLLFGRDISRI >Et_4A_033906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27907842:27911385:-1 gene:Et_4A_033906 transcript:Et_4A_033906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAMEKKGHRAFAMAAKSFSSSERQKRSKSYLEDIYAKDALRSSEQTFVLPEPEDVKPKVKSSFNKEMQPGRGAQSTLRKEIMQLEKHLKDQQLVRGALEKALGPNAAPVNLSLENPMPKAANELIREIATLELEVKNMEQYLLTLYRKAFEQQAPAFSPPDRWEAPKLSVSSRSGQLREMAMAKKPSRVDSSLRSSYPPPQKKCNDPLTDCSTSARFDRALDSDVLRCQSALSYRGVVSSRILPSEDDSLARALRSCHSQPFSFLEEGETGASGMISLAEYLGTNVADHIPETPNNLSEEMVRCMAGVHCRLADPPLVHHASSSSPTSSFSSTSAISPQYVGDMWSPNYKRETTLDSRLINPFHVEGLKEFSGPYNTMVEIPSISRESRKLKEVEDLLQTYKLILFRLETVDLRRMTNEEKIAFWVNIHNALLMHAYLKNGVPQNNLKKTSLLAKAACKIAGRNIDVAVIQSIVLGCNTHCPGQWLRTLLYPRIKSKVSKAGHEWRAFAVAQSEPLLRFALCSGSHSDPAVRVYTPKRLFHQLEAAKEEFIRATAGVWKEQKLLLPKIVEAYAKDVKLSPQGLVDMVLRYLPESMRMAVQRCQQGSGRSASKVVEWVPYNPSFRYLLARDLAFPHLS >Et_6A_046405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14472765:14475923:-1 gene:Et_6A_046405 transcript:Et_6A_046405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRPRAAAAAPSGSLRKSPLRRGACRASPSPFSCSPCWLLQGNILNWKSRCTAKKLCSTVASATYDDSYFESVDAPLEPQTWEGSFLCGLLKNLPHIFLASAAKQLQELSNQREDTLNRWEHSVGSKEDCLHRRIAEMKEQECQNAIEDIMYMLIVYKFFKIEVPMVPNLSKLISNRRLELWPSREIDLESIHGPEVLELIREHLTSIIRWVHRNGPKINRSTLRIKRMQFSRIYSASIMFGYFLKSVSIRHRLELTLTRSQEIPPPIQFLNAQLPSTQNQEQEEAIGGSGEMQSSSKPSSVVNPSDLKGYMMGFDPKTLQLCAKLRSCEASNLIEKHTWALFGENMEFSQENDEAVIVDPSTLKRLLLEAIAFGTFLWDVEDYVDEIYKLSES >Et_8A_057484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3510462:3516312:1 gene:Et_8A_057484 transcript:Et_8A_057484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATARPGTTAGAAPWSRLEGQVVLVTGASSGIGRDFCLDLARAGCRVVAAARRTDRLLSLCDEINASASADGTRAVAVELDVSTGGSTLEAAVQKAWDAFGRVDALVNNAGIRGGVHSPLDWPEDEWDKLIKTNLTGLWLVAKHVCRRMCDAKIKGSVINISSIAGLNRGHLPGSIGYASSKSAVHYATKLMALELGAYGIRVNTIAPGLFKSEITAPLLQKRWLNTVASKIVPLKEHGTTDPALTSLVRFLVHEASSYVTGNIFIVDSGVTIPGVPIFSSLAPQEGQMSREDPSRLQTPSVHEGNPYGEHNGAQLVVCFIVTLGKQPKAIDCLMMEKLAEINAWLATMAAKINIRCQLCEWHRHASLEIDVLTQERVQGKGATFTSGSDNSETYLSRTLQNSKDRIVETGMQYGSLKMIVVGTFSVVICVENDQDVLDEADQGERPEDEAEDAEEVLLAGVRQGEGRERVQRRRPDVAVHHAQ >Et_6A_047604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:880776:885066:-1 gene:Et_6A_047604 transcript:Et_6A_047604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNCGTREENAVVPAHAQVQQLHLLQHPTKGTNADRKHTRTSSDASDPSTPRKIEDAKNISIYNNVISFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLKKDGHQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRRTASSLPWATRMSIALGAAKGLACLHNAQRPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDETHVSTRVMGTFGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREQSLVDWALPKLSDKRRLLQIMDPKLEGQYPVRAAHKASSLAFYCLSHNPKARPLMSDVVETLEPLQGSGGSDGSGQSSGLPDYRVRRRLTGNTVHYRSIPNPKCSPAVPTCRVR >Et_10A_001866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9287877:9293687:-1 gene:Et_10A_001866 transcript:Et_10A_001866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSKPKSSLRLAVLLVAAVLAESFTMKPGCQRSCGGVNIPYPFGIGADCFRPGFAISCVNNGSAGDVPVLGTIGDATAGDHIPVLSLSVAPKPEARVMLPVAWQCFDKYGNRTGGFSGDVSVNPEGVYRISNTENELYVLGCNTMAYTKNGDPGPGGRFSYAYYTGCIAYSNDSSSAQDGACNGVGCCHVDIPPGLTDNKMSMGTGGTWSHANQEFCPCDYAFIVEKGNYTFRASDLTSMSQNQTMPLRLDWAIRDNGTTSVSCSHAVNRPDYACASRMSECIDATNGPGYFCNCTKGYEGNPYVTDGCTNVNECLRQDLYPCNGNCYDTEGSYDCKCPSGYESYGGDPKKNPCNPKLPRSAKLAIGISVGIFGLIVILLGIWLVDKNKELNAKKRELEAIAKRNGSEILKNVKTLQIFTKEEIDKITKNNTTYLGNGCFGKVYKGTLPNDNTEVAVKESIKVTEDTQGEFVKEVEIQSKMMHRNILRLLGCCLRVDLPLLVYEYAAKGSLEDILHGNESRKPEPLPLKSRLNIAIGSAQGLAYMHSYTENGIQHADVKPSNILIDGDFVPKISDFGLSKVFKAGQQYTDEVAGCLDYMDPMSIVSNRLTPKSDVYSFGIVLLELFCRKPAVSGETRLTLEFKRVYDQEKSGKAIFDKDIAQEENIPLLDEIGILALKCLNENFEERPAMESLAMHDYRAFSASFVLHRARKKGFDRNDLNRLEGSTALEPFVQHHGRHESFHHDSKDLC >Et_4B_037137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15142861:15143598:-1 gene:Et_4B_037137 transcript:Et_4B_037137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSEGCVLRPCLQWIDTAEAQGHATVFVAKFFGRAGLLSFITAVPEDQRPALFQSLLYEAAGRTINPVNGAVGLLGAGSWHLCQAAVDTVLRGGAIGPLPELGGTGSAGDLYGGGGKRTGGWSTFSTAKRVRGSNKEPPVTPASCDLGLCLSPRSPPAAGERRALQRPGTPSMSSDESVTTSGGGGGGGEKEPVLLNLFV >Et_7A_052457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8281348:8281703:1 gene:Et_7A_052457 transcript:Et_7A_052457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLYKTSGTVAVFLAALVLMAAVFTTSDAARDISGEKYACARLQGCNISMCMGYCTVLGYEGGACSRNYPDICCCPH >Et_7A_052217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5774165:5777546:-1 gene:Et_7A_052217 transcript:Et_7A_052217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLARMKYRSQRSKQKGLPAEHHDGGLRVCPRRGVERGSVDDTQRVDAEHPVLGVHDPADLAAAVVVPDGHDGVLAELLQRLGVVVVAGQQVDRVRGRHVEQHLDGEVGVGEVLEGPGPDDALDDRQAGHAAAEVLRVREVVEGHRRVVVGVAVAQPQVARGQRADDLLQDEAAAERRVVELAHRLRRARDGLRRALLRGNRRQLPRLFRNTSATSNPYLWREHAEVAGPVIVAVAGGVRVPDAPVGAAGALDVPSSVMPTTWMRDTSTGVGDGT >Et_2B_019522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4116:8705:-1 gene:Et_2B_019522 transcript:Et_2B_019522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAEETKRSLTVAPFECVWGEEFRFPEPGRGCIAFEASAHNDVTLVFRQQLGSHHYHYKMDNCRHYTVILGSHRNKRLKIEVDGRTVVDVAGIGLCCSSSFQSYWISIYDGLISIGQGKHPNTNLLFQWLDPDPNLNVRYVGLSSWDKHVGYRNISILPSAPQNSILWNQIEYAYVEKDGDREYATRRVLEDDCEQRVLADFLENWDFSDAVFVVGTERKVVPAHRVILGASGDFPFNSVDGATIELPSVSYPVLHSLLEYIYTGSTQIVESRLSSLLELSVQFKVEPLVKCCEEIIYSSKMDGKLYDPSKHLTLSSSGFQAHQLDSFPLKAPLNLQKIRQFFESGEHSDINIFVTGHGLVTRGHKLVLSLWSVPLAKMFTNGMKESGASDVCFEDVSAEAFFLLLRFMYCGELMVANSDITSVLLQLLLLSDQFAVTILQFECCKRVMQCLSEDTVCSVLKALSSIPSCKLLEEICKKKFSTHFDYCTTACMDFHGDMTVTSEEKVLDAVLTWCMETCETFCWTSVDEFLSTSTPEQLFGERLTAIDTLLPFVRFPLMQLSTLQRMGKSNLANKIQVFRQLVTEAIEFSKAGQWMPTEHRCERFQHRRSSYRELQYISDGDNNGVIYYAGTSFGKHQWMNPVLAKSISVMASSPNSRYTDPKALVSKNYQGTCFAGPCIEDGKKRSWWMVDIGQDHQLMCNYYTVRQDGSTTFMRSWVLEGSMDGRNWTSLRVHEDDQTICQPGQFASWPVTGPPALLPFRFFRIALTGPAAGCVSGSWNLCICFLELYGYFR >Et_2B_022465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2309820:2312932:1 gene:Et_2B_022465 transcript:Et_2B_022465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERALVNCLDVFPVASDEGIHVTQMKAPPPYPQQALVPYFRPEVITRYIAKAYHEHLDVGTAQKGLVPVTNTDVAGCITVDASVAKLAPCR >Et_9A_062769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4752914:4759575:-1 gene:Et_9A_062769 transcript:Et_9A_062769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTAAVSVSASSVAAAGARSGAARRAGGVRVCGLRGEALTCRSLRISPAAHARLAVARAVANGAVAGSGGFDYDLVIIGAGVGGHGAALHAVEEGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELHDEHHMKSMGLQVSSAGYDRQGVADHANNLASKIRSNLTNSMKALGVDILTGVGTIVGKQKVRFGKAGSPDKEITAKNIIIATGSVPFVPKGIEVTFVEALDQLMPGFDPEIAKLAQRILINPRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENINVATQRGFVPVDERMRVMDADGNVVPNLYCIGDANGKLMLAHAASAQGISVVEQISGRDHILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEVNVVKTSFKANTKALAENEGDGIAKLIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRVQDIKFAVHAHPTLSEVLDELFKAAKLQPREGRDIKPNQPSQPLLKALSFITSLLSSQKRARRS >Et_2B_019994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1616630:1620170:-1 gene:Et_2B_019994 transcript:Et_2B_019994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRASKRSLPSWMGSKDGEDDSGKKKHAGTSQKAQKGSDFSKLLDGVVFVLSGFVNPDRSTLRSQALDMGAEYRPDWTADCTLLICAFVNTPKFRQVQSDNGTIISKDWISESHRQRKLVDIEPYLMHAGKPWRKNKELVESDQGHKEMRKEHKIQVGKSHIQSSTPAATEEGQLDSVNKQFSPSKIKQWAIDDLAQTVSWLESQEKKPEPSELKSIGAEGIITCLQDAIESLEQGNDIKGVVEQWSFVPHVLNELLKLDEIRKDTSFPKDQLSQLATKCKNIYQAEEHRSKTRPDDAQYDSDATIEMMEEEIDLACRQLPGMCD >Et_5A_042737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3295043:3298819:-1 gene:Et_5A_042737 transcript:Et_5A_042737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRKQLTALLRSATRPEHLLQLHASMIKSSHFPHHAFPTARLLASPLAPLPYALSLFAAVPRPTLFHHTALLRSLSACPSRASLAASLSVLESARARLTELDEFAFQPLLALCAKIPSDAEAASLGKQLHALVLRYGFLDVLSLRNVLCHFYFCCGDGDMSHARRLFDEMQERDAVSWNTVIGVYVRAGEAGAAVEMFRAMRCGTMDVNLKAVVTLIGCGWRGESVHGFCCKAGIYGDVRVAAAMVRMYVRLGSVESASKVFDEATMRDLVLHNCMVDGYAKTGQIQEALSLVDKMRQQGMRPSSGTLVGVLSACAATGALVAGRRIHELALEAGLQLDTALGTALMDMYFKCGCPKEALSVFDSMHDRDVKAWTAVIMGFGVHGQSGTAISLFHTMEEGGVAPNEVTFLALLSACSHSHGGLVQEGKGFLESMVRRYGLSPGPEHYGCIIDLLGRAGRLDEAYEFIQRISSHGDATAWRALLAACRVHGNVEMGKIVQARLDIMGHYHPSDAILLSNSYASEGRWDEIAQISNRSDQHGCNFQLPGDGSTGIIGRKAESEYKKPSPFSINHYRNMKVL >Et_7B_053201.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:1123486:1123923:-1 gene:Et_7B_053201 transcript:Et_7B_053201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQACQTRGRKANKRTKVSASGAYTSSSNQETEIESPRKEKRPEGQKKAKARLKGKGKVVPSSSLGDQSTESLVLFNDAVKTRAEALLKSAEATTKLAEAKKKQTRLQKWQAYLKLEEKDTSGYSQSRLKRHEAIVEKLASELAE >Et_2A_015661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16645700:16660738:1 gene:Et_2A_015661 transcript:Et_2A_015661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCSLYLLNPRPPPLLADVTPRTPRAGRAVLPTSRRPRRQRTQRPGGRGDAVDPVGILTKLGISDRVFAQFLRDRHKVLKDRRWELCSRFIDLKEASSGFELLGMHRHRQHRLDFMEWAPGARYCSLVGDFNQWSPTENCAREGHLGHDDFGYWFIILEDKLREGQEADEYFFQEYNYVDDYDKGDNGVDPEEIMRRAREEYWEPGEVRSRNSQLEMVVKLYEQMFGPNGPQTEEELGEISDAQTRYNEWKASQKADSTSLSPSYDIIDNGQPFDIFNVVTDRASFEKFQAKKPPLSYWVEMRKGRKAWLEKYVPTISHKDKYRVYFNTPDGALERVPAWATYVLPDAEGNQSYAVHWEPPPEEIYKWRFGRPKVKGSLRIYECHIGISGSEQKVSSFAEFASNVLPHIKNAGYNAIQLIGAVEHKDYSSVGYKVTNYFAVSSRFGTPDDFKKLVDEAHGLGLVVLLDIVHSYASADELVGLSLFDGSNDCYFHSGKRGHHKYWGTRMFRYDDVDVLHFLLSNLNWWVTEYRIDGFHFHSLSSMLYTHNGFSTFTGAMDEYCNQYVDKDALVYLILANEMLHDLYPDIITIAEDATFYPGLCEATTQGGLGFDYWVNLSIPEMWLWHLENVPEQEWSMNKIMKVLASNNHNMLSYVENHNQSISGRKSFAEIILNTGRYSAGSVDNDLIRTSSLLKIIKLITFTTSGGAYLNFMGNEFAHPKRVEFPMSSNDYSFRLANRQWELLNKGLHKHQFNFDKDVMSLDENERIISRGSPNVHHCSDTSMLVLNTDETKYGGYGELKSSQYMRRTSDKRVDGCRNSLELTLPCRSAQVYKLARILRI >Et_9A_063322.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:225968:227053:1 gene:Et_9A_063322 transcript:Et_9A_063322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGGCWATSMVLLLLVYSSYLGVGGGVVAGGVVSTQQCHSEDEAALLAVSAGLGRPYRLSSWSPDTWCCDWSDVDCDNSTGRVVGLSVSQDGNLTGVGIPGDALAGLAHLRSLVLHHLPGLSGPIPESLAQLGNLSRLTISHTGVSGPVPSFLGQMTQLAQLDLSCNSLSGAIPASLADLPKLFAVNLSRNHLDGPIPAMLFSQLINQQEQEAYLWVSHNNLSGGVPAEFAAVRFAHVDLSRNALTGDPSPALLSNSKQRVTTILEHLDLSRNGFRFSLTGLADLPEKLNFLDLSHNAIRGRIPAALPNLTDLTFLDLSYNKLCGQVPSGGVMTRFDASSFQHNKCLCGAPLPACPKMS >Et_4A_035633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31744010:31751443:-1 gene:Et_4A_035633 transcript:Et_4A_035633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLCSAHAGGKPPASASAIVADRPRGYHDLKIDGRALDADVSHRGGSLVRPIHRGWNPVAHQGDGYRGWNFRRSSLGELLRRHGADHFTIRCEVVVINGFRMEAPPPPVSIPPSDLHENLSALLLSGMDADLVIQVGGETFAAHRCVLAARSPVMCRELLAKDQSDTAGVVRIDGVEPRVFRALLHYAYTDALPEMDAKDQDEGDMYRGLLVAADRYNLVRLKLICEAQLCRRVADAGAAVAMLALAEQHHCDGLKKACKDVLKNAPPAKQRALSSWAFVPLNY >Et_6A_046725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19958861:19960426:-1 gene:Et_6A_046725 transcript:Et_6A_046725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNREEASRARRIALNKLVNEDYAGALRIARQAQRLYPEHDNLSQLITVCEVYCAAKAKIKGDLDLYAILQVEVTAGDRIIRKQYDKLATHLHGDKNTLPRAEAALKLVSKAHETLCDQMKRSLYDIKRQHASREDANKAARLSGKTHTNKSAVTRCTPPYDSTVVFWTICPHCQKRFVYCQQNFLVSCDVCGKNFFAFKLHEQIVPSRFLSAAPNHSRDQENKFPCQVRGASNQQVWHTKHRTTGEDMDSELMVHATKTDKLISLDERSGSEGSYSETRSGVIQFTAVNQNYSPSSSVIKVTTGTMTPDSPDPNFVAIQNFRREDASVSSKRKQDDGAGSSHNMDSCDSNKRRNTSSSDADLCRYNVAGAENQSNKQFPGKVDSEMEANATHEGNRQNQKKKTVDIANKVHGNSEITYDCPDFFDFRKLRDINRIVVGQIWAVYDDHDFMPRVYARIDHVDVSNLTVCLTWLEHDTMSGQETKQSREKLPRAFGNSCFGEKIVL >Et_9A_062557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24024447:24027383:1 gene:Et_9A_062557 transcript:Et_9A_062557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRRNPPQNPRRKGEEPWLAASLCAANFLPGLAIGFLLGLFLDLSSSWRPRLGPAPAPAAAPARGSSSKRASGSSSASGGEELKMVFVVRQDLKMGAGKIANRGLLRQWEQFGQAKIVLTCKNQQEMNRIKETAEHRGIPTFVVADAGRTQVLAGSKTVLAVGPDRCLSTSRAQG >Et_8B_059550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19052480:19055014:-1 gene:Et_8B_059550 transcript:Et_8B_059550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSPGVPRRALLVLLLALPLLSLLILHHRPAPLPPPLRTHAHTQPAAAASHRAEEAKVKVSSPSPSSSSSKSPPPVITPHEDEEEEEPTTLRHVVFGVASSRRTLPLRLPLLRLWLRAPARAFLFLDALAAPNAAPSAADLPPGLRLRVSADASRFPYSHPRGLPSAVRVARIAKELVSELKEEPPPRWLVLADDDTAFVLPNLLHTLRKYDWREPWYLGARSESAAQNAWHGFAMAYGGGGIAVSWPLARRLARALDSCVVRYPHLYGSDARIRACLAELGVELTHEQGFHQIDLHGDISGLLRAHPLSPLVSLHHLDNVYPLYPGMDRTRAMQHFYRAANADPARILQQTVCYDRSRSLTVSVAWGYSVQVFKGNVLLPDLLAVQKTFVPWKRGRNVTDVYMFDTKHYPRDECKRAALFFLKNISSRDGKTETIYNRQPSRKCSPDLIPMKNIRVIKVTSEQLHLVPGKALRRQCCDIVPSSSDNKMDVNIRKCEDDELIAMHS >Et_5A_042300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8516915:8518257:-1 gene:Et_5A_042300 transcript:Et_5A_042300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAAVLALALVLTLAVAVDSNQLPLPGYMCGGHGRYAPGSAYEASLHRLAAAVPFEANASSCNCATGSVAGERPEMVSASAFCYWRSDASSADCAACIALAFQEAQRLCPYHRQAMVVVDRGACSVSFHDVQRAEEDTGVGNTRQCPVDSDAPQVEFICQCLKELMARQMMLEKDMGKLENATDHPIQPLKLPQRIIARTPLIFNPHMGNTTVRFTSIGGQTEDKHRSNS >Et_1A_008205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5162483:5165688:1 gene:Et_1A_008205 transcript:Et_1A_008205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRRGHRATASLALLLLLSAFCSLPAARSQATISTSTVGGRDSTTFSFPKFDRNLLLLPGNLTFSANASVSQNALQITPDTSNNATRFLINQAGRVFYATPFVLWEQTTSSASTKPNATAAGNDGRRVASFSTAFKVNLYRVNASVKGEGFSFLVASGNDEPPVGSSGGYLGLTNASTDGQDGNGFAAVELDTVKQAYDPDDNHVGLDVNGVRHAPAAPVLNASLDLSKVLRGNKAYFGFSASTGVQYQLNCVLMWNMTVETLHDDSVVAKKTLTGWKLGVAIAAPCVAALAIGLLAGLYIMKKRRKVGADPSSVSNNGAIDFRSIPGVPKEYDYKELRKGTGNFDEKMKLGQGGYGVVYRATVPGENGQSMEVAVKQFSGANTKGQEDFLAELSIINLLRHKNLVKLVGWCHQNGVLLLVYDYMPNGSLDRHLFGGPDAATLDWKQRYNVVLGVASALNYLHHEFDQTVIHRDIKPSNIMLDTFFNARLGDFGLARALESDKTSYTDLIGVPGTLGYIAPECFHTGRATRESDVFGFGAVVLEVVCGRRVSCANPAGSSQLLELVWRLHGAGRLLEAVDPRLGGEFDEEDAERLLLLGLACSHPNPGERPKAQAILQNIMRSVPPPAVPASKPVFMWPVPCAGEEYGGDTPLTSTSTAVTSSSSGWTQNYQVSREDHEYATGRDMSAV >Et_1B_010075.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:22289459:22289698:-1 gene:Et_1B_010075 transcript:Et_1B_010075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCVSSQQKDHCLSVSPACTPTHACKACLTNYHSPTSYGVSRLIRAVAHKICAPLLIISKRDLDIHLFLCSTKTCRSCL >Et_3B_028344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13813709:13815318:-1 gene:Et_3B_028344 transcript:Et_3B_028344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMPASSEERKKTACVTGGNGYIASALIKTLLEKGYAVKTTVRNPDAIAKNSHLKELQALGPLEVFRADLGEEGSFDEAVAGCVYAFLVAAPVNVMAEEDPEKGMINAAVQGTLNIMRSCVKAGTVKRVILTSSTAGVYSRPELMQGGSCHVLDESSWSDVEYLRANKPPMWSYGVSKVLLEKAASRFADEHGMSLVTVCPVLVIGTAPAPNALTSVPCSLSLLSGDEAAFAVLRAIEAEAGTVSLVHVEDLARAELFLAEEAAASGGRYICSSHNSCILELARFLGDKYPQYTVKKNLLMSGELLEKPRVCLSSEKLIRDGFVYKYKTLDQMFDDVVEYGKALEILPNSTEHA >Et_7A_051910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2713780:2717164:1 gene:Et_7A_051910 transcript:Et_7A_051910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCCPCATSSSSSSPAPLFLLPSVPAASRNSSGIVGFSPCRGGGRRLQRGERHSGSYWAIAASRKDGSGTEKEDDEPAFNPFGFVTDNPSSRSAIQLPAVPAEDGNVGQMLYRIEDKGREYGSYVRAGEFRWFVRETGSLDARRGTVVFIHGAPTSSFSYRTVMSQMADLGFHCYAPDWIGFGFSEMPQTGYGFDFKEEEFHKAFDDLLGTLNITEPFFLVVQGFLVGSYGLTWALKNSSKVLKLAILNSPLTVSSPLPGVLQQLRLPLVGEFTCQNAVLAERFIEAGSPYVLKNEKADVYRLPYLSSGAPGFALLETARKAKLQDVLSRISAGFSSNSWEKPILLAWGISDKYLPVSIAEEFKKGNPGVVKLEAIEGAGHMPQEDWPEKVVKALTYFLY >Et_6A_047417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5348945:5364097:-1 gene:Et_6A_047417 transcript:Et_6A_047417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSTTEIGEIPGRSRRSKRSSDSSVSQHSTWVILNKAGGRRDNYAGDRTTSSTCRTSDGERISVSFDLVEPPGSAVLIVDRLETHGGPAGTHPEVVAADGNAVLFRMTPTAKNLSPFLQDYFVYEVSSSGHSRRPSLSRLPTLYCDDSKSVGGRHDAHIFLKEAIGVLSCTEESFIVAELEMSTSASEGKVTMQISGSDEWTVFERVRVRRASGRHDMVWWSTDAVVPYRRRFLIWVDYYRGMIVADMSTCSGNKKPAPPELRFVPLPVDVTDECPDDIECGRGCPQFSRSVCATRSGIKFVSVDHQAISSFGVGKMKRWRHTFRITTWSLLDGDYTWRREARLYEEEFWALDTKNQLARVRPRFPVVNMENPDAVCFLLDDSRISCGSTDTTWMIEVDMKKKVLRAATICSDETSFYFQDTIKVARRLSLHSSFISSAFPRYLYGGEACKKVRRQSRRGRSMAGGSAMSISTTETEEIPGGSNCSKRSSGSSASQHPTWVILNEAGGRRDKYAGDRTTSSTCSTSDGELISVSFDLVEPPGTSVLVVDRLGTHGGPAGPRPEVLAADGNAVLFKMTPTAQNLSPYLEDYFVYKASSSGRRPSLSRLPNFYGDRISFHGPESHTFNAKAIGILSCTEESFVVADIQKTALASEFKVNVLISGSDEWTVFDHVPVRRASGRDDMVWWTTDAVLPYCHRYLIWVDYHRGMIVTDMSSCSENNKKPSPPELRFVPLPMDMTDENPEDTEYGRGCPQASRSTLNMAVSVCATCSGIKFVSVDHKETSSYGVRKLKRWRHTFRITIWSLRDHDYRWKREAKLYEEEFWALDTENQLPHVRPMFPVVNVENPDAVCFLLDDTRISWGYTDTTRMIEVDMKKKVLLASTICSDEKTLSSQDTIKVARGMSLHSSVSSALPRYLYGGEACHMSTSTSQTEASGHRRSGRSLISGPSSDSVSSHHATSGRRSKRSSSYSLASRRPTWVLLNERGVPGDDDDSNDSDRVMSLTSTGEQIFVSFDLVEPPRISFLTVNPPQQTSPKVVAAHRDVVLFEVDSASTSSRDDPTDYFVYKASCGSSGRLSVSLLPVKYCEGRRTASVRFPIQLILTKGRTGILSTSSKEEGSFVVADLLLEYCPDQEHHNRGRREFNLRVLWSGSDSWMTFRNLHVCGANDGHEFDWWCSDAVVPYGSRSLIWVDYYRGMIFADLTDPERKPDLRYVQLPVDRPEGNPYNIEEYSGRGHPELSRSLSVTRYGIKFVSIDHQRSTNFGVEHWKWTHTFRITIWSLSDDGVTWRRDARLYAEDLWALDSKNRFPHVAPEFPVVNIENPNAVCFTVDEERHIPRSKERVCMVEIDIKREVLLAVTDYSKERRLFNLDSVKIATDLPRYMETEVPGRRRSKRSSSYSPAPRSPTWVLLNERGARGNDGSNSCSDRVASLTSTGEQIFVSFELAEPPRISFLTVDLPQRPISTEKILYGVKVVAAHRDVVLFQVDSGSTSHSAARDDPTDYFVYKASGGSSGPPSVSLLPVQYCEGRKTMPIRFPIQLILTKGRTGILSSGRKEEASFVVADLLWECPESQDYHNRGRREFCIHVLWSGAEKWTVFKNLHIRDANGGSDLDWWCSDAVVPYGSHSLIWVDYYRGVIFADMTGQEKKPDLRYVPLPVDPVQGDPYNIEQYGGRGYPNRSRSLCATRYGNKFVSIDHQRSTNFGVGQWKWTHAFRITIWSLHDDGFTWIKEAKLYEEDLWALDPKNLFPHVTPDFPVVNMENPNAICFMVDEEHHRRGSSKRACMVEIDMKKEVLLAVSDYSKERQLFDVDAVKFATGLPCYMETEGDSKRLSKRSSSPSASNPTWAILYKSGARDDDSDSNRDRAMSLTTTGEQICVSVKLVEPPRISILTVDLPQQQRPSTAILSDVSVVAAHRDLVLFHVNPRAMSESDSAPYDHFVYKASRGASELPSLLR >Et_10B_003912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7465880:7467295:1 gene:Et_10B_003912 transcript:Et_10B_003912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPVVVVLCWLVLVLSSSPTSTQAGGVPIVAPVGKDPTTSLYTVTIKAGGHALVVDLSGPLHWSRCPPVHRNFPCLSSACQAVNRDIPPGTCTFTSRFINYTDPGCVCPTYPYNPITGECAITDATTFTLSATAWYDAAQQEPVTFMALGACSTELLYDALPAGSWGVSGIGAVIFGDGGPFQPVADQARRENQVPFLKYSQNGAYYLRVTGINVNNAPVTLPREGALDLDAGSGEGGVILSTVVPYTTLRSDVYRALLAAFDAATSGVPRPGRRAVRAASASASALPAGVDLLLDNGRTWSVASVVQVDDRTACFAFLEMTIISRVGWEPAALLGGFQFEDRLLLFDLDKETFAFSGPLAGGCHNSTMTPPLA >Et_4B_038206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27159555:27162692:1 gene:Et_4B_038206 transcript:Et_4B_038206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPPPPQGSEDDFLDQFFSMAGGSYSAAATGGGRTAGDQPFSLALSLDAAAEASGSGKRLGGDVEGGKADRDAVQLPGLFPPVFGAGVQPPHLRPNPPSQVFHAQQPKQGGAGVGPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVSDIPLSVKGEASDSGSKQQIWEKWSTDGTEKQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQQSQDGQPVKPEPNTPS >Et_2B_019911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15048383:15052854:-1 gene:Et_2B_019911 transcript:Et_2B_019911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGRPKIGDRATSDVVVRLRTPEGRDEWLYCHSAVLAAGSTYFADRLSDSWPTCQILGSRYSVEVYCQEPDLSSHVNALRLLYAAEPCSRFGVRGALGILQAAEHLGCSQIAAACTGYLESAPWDEADEEEILRIVPGLGAQYECILARLRPIDPAPVTSIFLSAFRHATLSSATGPARELKAAAQEQLEYMLTEDDDAPLLALDNDTVKSQVKDCVSGLLGKFSDFMTSILSSRKEPPFGGDDSELQLELHSLVFDVSWVCQVLNKLEMMKLIVPYWIGVSSDVVEAVEAVCAAIDCLKTRLKVVEVSARVLEAIAFGNIVLATEKRRHAVNVWIGFAGRTKSLVEQADCDDGGSTDTQRVNLDSEIWSGLESAIVSIVLTLPSNSQAEILSEWLQSKHVKYPDLTEAFEAWCYRSKIAKRRLSFLSQIDQVLDMAKLVFSKCRKLERAIWFDRWQKQIRITCNLRSLNKEAFEAWCYRSKVAKRRLSFLSHIDRHVKTNINCDNWLARIQHRNGEQ >Et_5B_044057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19489644:19494409:-1 gene:Et_5B_044057 transcript:Et_5B_044057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRARVWPELLAETLAHRGRAAAHGGGGGVERKKKSYPSRHPSALDVSILRTPIVILVEQIYMINLLVYVQDMAAILGALAPYVKKLIMDMTEEVHKMVGVSREIRKLESNAESLKYFLTDAERRRITEQSVQKWVTKLKGSMYDATNILDPCQLEANKRRDSKCADMVENVSGCLQPLLFCLRNPVFSYKIGSRIKELNQQLDDIHKEAKNFKFDLNLGSNLELRKPIDVEQSSQKMTSEFNESSIVGDKIEKDTKELVQELITNDNPSIKVVSIVGMGGIGKTTLAQMIFKERTIEGHFKKKIWLSITQHFNETDLLSSAIKHGGGDHGSKQDKTLLMRTLTDILSKGRFLLVMDDVWGVEAWNHVLSVPIINASPQQPGSRVLITTRFEDLAWKTRAFFHQHHVSLLGEEDAWSLLKKQLSPNQVAGIDQLKGIGRELLRKCNGLPLAIKVMGRLLSTRYPSEHQWQDVLNHPAWSVVGLPPELDNRLYLSYEDLSPQLKQCFLYCSLFPKGGCITYIRVTSMWISEGFVQPHNGSKEVASEYYEELIKRNLIEPIEEYEITRYRCTMHDVLRSFAEFMIREESLVVGQDMQANVGGGTSPVRRLCIGQTILEAEWAILQKHKSLRTLIIMPSANIKLGDALGSFSFLRVLSIVSADTGSLVHTLCQMKHLRYLELDDTDISRLPDDINRMKFLQHIVLRGCIKLSYFPSNIVKLQHLRYLNMTGSNVKVVPKGFGGLINLRKLYRFPVQTEIDGNGGWCSLEEIGPLSQLRDLQLEGLENVSSSSWTEKAMISSKEHLEALELYCSSSRYIGTVDEIERQQQQQAIEVYEKLRPPSCIEHLWIEGFFGRQLPNWMMDPASSAFKSLKFLQLKDLTSCTQLPDGLCRLPCLELMVIHNAPGVFLHGNGWRRHCCTSSFSLTCLCLLDLCEWDDWEWAEQEEDTMAMPALVDIRVDNCQLSCLPPGLANNKRHALRARPTRARRRSYSRFLSVCLLNLPNLAYVDTLPSVVKLYVFFCPKLRRISGLSRLQRIMIVRCPYLTVLEGVPVLDSLLIDECTMVTLPEYVRGVSPRYLELICSMKLY >Et_3A_024553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2217174:2220039:1 gene:Et_3A_024553 transcript:Et_3A_024553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTARFVVLVLAYRFLVPFLSAEPGQARESYVVYMGSPSSGAGGDPESVRASHLEMLSSIVPDGEQERAALKQSFHHAFEGFAAELTEKEAAALAGHERVVSVFRDRTLQLHTTRSWDFLEGQSGLQSGRLGRRASGDVIIGVVDTGVWPESPSFDDAGMREVPARWRGVCMEGPDFKKSDCNKKLIGARYYNVMPDSSPPSLAASTGSPRDTVGHGTHTASTAAGAVVADADYYGLARGAAKGGAPGSRVAVYRACSLGGCASSAVLKAIDDAVADGVDVVSVSIGMSSAFQSDFLSDPIALGAFHAHQRGVLVVCSGGNDGPNPYTVVNTAPWILTVAASSIDRTFRSTVALGNGNLVKGVGINFSNHSLTGGHYPLVFGADAAAHYAPVAEASNCYPGSLDAQKVAGKIVVCVATDPMVSRRVKKLVAEGTGATGLVLIDDAERDVPLVAGGFAFSQVGVDAGAQILEYINSTKNPTAVILPTEDVKDFKPAPVVASFSARGPGLTESILKPDLMAPGVSILAASIPSADKDDVPPGQKPSPFAIKSGTSMACPHVAGGAAFVKSAHPGWTPSMVRSALMTTATTTNNLGRPVAGSTGAAATGHDMGAGEMSPLRALSPGLVFDTAAHDYLNFLCFYGYKEALVRKVSGDARFACPAAGAAASPDLAAAAGVNYPSISVPRLLKGKPFAVARTAMNVGPSNATYVAAVEAPPGLTVRVSPDRLVFSKRWTTARYEVSFAVAGAGASKGYAHGAVTWSDGAHTVRTPFAVNVV >Et_1A_007591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36146912:36148992:-1 gene:Et_1A_007591 transcript:Et_1A_007591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FEPPIPPPRSTARGLVTEDDKRPSISRCDPELGGIELARHHAMKQGMSKEQRERRLHHKLRLRARRNGSIASTSKTKKDDFQPCKRLGDPRPQLPEDIWCYIHSLMPMQDSARAACVSRTFLRSWTRYPYLILTKETLGFKRNTCEEGDIEAFTNKVDQILKNHSGTAVKTLEIDILDCCNVDPWLLNNWHWIAITRGTENVILRLPVGYEEYIFPCSLLFGGNGSSLRHLHLTHCALRPTAHLSCLVSLTELYLREVGITGEELGCFLSHSVAVTKLKLMSCNEIICLKIPCVLERLGCLTVCECSMLQTIENKAPNLSTICLQGDIVQLSLGQSFQVKEQYMGCTTESNFLSYAITKIPYIVPNVELLMLYSRNEMVNTCGSCQIPPPQVFSGGTVLDPVFRDDTHMREMPGHKHESL >Et_2A_016407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24369529:24373764:1 gene:Et_2A_016407 transcript:Et_2A_016407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGALRRTQREPTALSFSKEKVGLLFFLNSNPVRSLSAAAQGSCVPLVIDSRPPSRSRLVSPAFAIPSHSFAHAPHCEISSLSAGRRQAPPPRRPAPPRYALLSPPHLPFRRAFACASSRHLRICCSPPPQPRWELHGAHLAMRSAARSLASAANRRLLPRGLCSAAPEKPTDPHPDPADADPQLVGALCRVLSDFRGPRHDLRAALRGFDDRLTPAAAASVLRRCRNLPVPSLRFFLYAAALPGFMHHSESLLILAGSLAGARLFPLLRSLLSDLPPSALSRDLFPLLFRAYARAALPDDAIRAFSSMERFGFPPTLADLHSLLFTLSHNGLVEHAEAFFRELGTQFDVSAKTYTILISGWAVAAKPENAPKLFDEMVARGVQPDVPAYNALIDALCRGGDVALAQAQLKDMQLSSGLVPDAATYGPFLRYACAAKDARAALRVLDRMRARGLTPNVFTYNAVIRLLCDLGEIDEAYNILCEMETRGEKPDVWSYNALLSAHCKLKEVNKALRLIARMDKHSCMPDRHSYNMLLKMLITVGKIDKAIEVWDGMEKRGFHPGSATYAVMIHGLSCKRGRAEEACSYFLRMVDDGIPPYQATCQVLRDRLLRLGLSDDLEMLTDRMRRSTSCTIQDLASFMHSKRGEQINSPNTDAEVSGLDIDESEWRGKWKIGDEHFKVDMDSEPSSQNPTDMTAFVQNLLGQMQTRFESMSQATYTSGPQSSVILNYIALDEMGSKIDELEQSINDLKAEMGTDTPAKKPEEAKPSDSA >Et_9B_063884.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19226326:19226814:1 gene:Et_9B_063884 transcript:Et_9B_063884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRHAHGEGARPGQHAVDASATLLRRVQTHAPNSAQVVGFLTLLVSGAVLLLLTGLTLTGAVVALVFLGPLALLTSPIWVPVAVALFVLAAAAVSACGFAVAALAAGTWVYRYVMGRHPVGADRVDYARSQIASTASHVKDYAREYGGYLQNRSKDAAPGA >Et_2A_017593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:399904:402197:1 gene:Et_2A_017593 transcript:Et_2A_017593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAEVLRAGAYISRFSRRACKVSRFPSIVCSPVSRFKPPHWFLDGSRQPKSHWFNHSRLIAMATTPSNGDSPNGPQRNYQVVVAATRDMGIGKDGVLPWKLLGDLKFFKELTLTTSDPAKKNAIIMGRKTWESIPAKSRPLPGRLNVILTRSGSFDFATIENVVICGSMNSALELLASTPYCLSIEKVFVIGGGQVLREYLNGPACEAIHLTDIELSIDCDTFIPPIDLLAFQPWYSSFPVIESNTRHSFVTYVRVRKSVVETHNSNGKESMEVDTKKDKFETENFSFLPKMIFDRHEEYHYLNLVEDIIRTGAQKNDRTGTGTLSKFGCQMRFNLRKNFPLLTTKRVFWRGVVEELLWFISGSTNAKVTSLYTSFAHTAVVSQIHLRFWVTVEVYALDYTHSQKP >Et_5A_042718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2976864:2980875:-1 gene:Et_5A_042718 transcript:Et_5A_042718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHLSAVKRWLKPRSPLATQGSPAAATACRRDCSSRPGDRAAPVSHASLLLRLQSCRDLAEVRRLHAALLIGGHGRSTVLAAQLVRAYAGRGDVGHALRVFDGMPRRNSFAWNAVIKALVDAGRFSEALERYCDMVRDGAVAADGFTYPPVLKACTALGEIEQGRKVRENIEAEIARGSAKPNVFVQCALVDMFAKCGCLTEAKNVFESIGNERLGCLDCNDRRSLMEKKFIASPSEMGWSIVNFWSSNSGKCGCEGKFSSLFGWYSCSSCRLELQLVQYSCYMELIDFVEWRKQSSTKGHILIRPRSHLFINFLKTPDIKSNGRCDGNVILTTTCHSPMVTVLAYAI >Et_9B_064286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13595407:13596217:1 gene:Et_9B_064286 transcript:Et_9B_064286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NYRSQVPTCKQGRPRYIFLCASDLSVNVGNNSYSNLRIDKAYDAIMRNKTVEAWTAELRAFGSDHAPANYLAALGATTPSCVYAKKLPEIQAALRHGRLSIGGKHLGRHITAALTDGKIDAIIDYSVDTRGMATPCCDDHPDGALGMVILFFDRDADGLGDEILDDDSLAIKHLIRH >Et_8A_057190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22087847:22088320:-1 gene:Et_8A_057190 transcript:Et_8A_057190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLASLLAVAIVTAAMAFTTASSASYTVGEPGGSWDLQTNLTAWASSTGNDTVQLGGVGIRYFICSVPGHCAAGMKLE >Et_7A_051862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25657863:25662832:-1 gene:Et_7A_051862 transcript:Et_7A_051862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRTGRNTRRLADHPFTMKKGFSVALCILMLALAVGSLFALVQEQHPPLPEAEDNELRVITLKGNGKDVAKLALTTYDLSIAGFANGSDHWYTFPGHEFRIPRSTPLPFGNSYRDLIGGLANLPNLPLGREPTLHSVSVVSAACDPAAADDEGVKALKRALATLTVVTSEAQRLKPIRETVDMGWKSGDARVAVEHLPYIEHWDTICHEILRANKNGGVWDGPFTELLKENADIHSLEEALVVVSAIADRNMQQWLSMALTFVVMGLTRSTFEALVHQHQPKPFTETQLLVLDLHGNASSDEATLALTRYDVSVAGFANRTGHWYAFPGYQHRIPAASTLLPFGNSYRDLIGGLENLPSLPLQHAIHVISSATADGDVVEELKRALATLKVTISEVARLKPIREAVFAGRTLSTGTQFAPRSSARKTTAVCGTGPSPSCSGREPTSTAWRRRLILSVPRSTAPWRSSQRIIIGIGYILYIYTP >Et_2A_018130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12832210:12833997:-1 gene:Et_2A_018130 transcript:Et_2A_018130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAARQLCASSGLMSFSHRLAKQLSTPTTRNPNRPGNLVFSPLSIYSALSLVAACAQGRTLTELLSALGAASKQRLGDSVRDMLGRAIPDGPRRGGPKVSFASGLWHDATRTLKPAYRDATAQSCRGVARAVDFIHKEARKEINGWVAAATSILVPSILEPGMLTKDTRFVLTNAVYFKGQWETPFCRWFTETHKFHRFDGTTVDAKFMTAHQDQFVAAHDGFKVLKMPYAAHDPSFHARPVPSSASQYSMCVFLPDEHDGLWSLMDRISSSPGFHKEHIPERVKLRVKEALEDLGVEAMFSRGDELTDMFEDDGSREPLFVDQVLHKAVMEVDEKGTEAAATTAIFSLGAGAWPEPPLDRMDFVADRPLAFFIVEEVSSTIILAGHVVDARLLMGITKYPKMDVIELWV >Et_2B_022890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7362884:7364644:1 gene:Et_2B_022890 transcript:Et_2B_022890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQQEIVSGVNAIGWAPFGSSTTLSLHNEDNHARLPTSAVGHAHTPTGRYVLAANRAGEDDGLCQAITAGALQPRVTYRVAGWVSVADGSHPVRVGIRIDEGSRLVDAGAVCAGAGKWAEIKGAFRLRESPSSADVYVHGAPAGVDVKVMDLRIIVADRKARFAELKEKTDKVRKRDVVLSFGSALGVQEVSGTPGASVRVVQLDNGFPLGSCINGSVVQDPAFVDFFTNHLDWAVFENELKWYWTEAQRGQLNYGDADRLLDFCDRAGKPVRGHCIFWAVDGAVQQWIKDIGGDRSQLMSVVEDRMHSLLTRYAGRFPHYDVNNEMLHGRFFRDRLGDDVAALMFREAARLDPGAKLFVNDYNVECANDPNATPDKYMALIDDLRRGGANVGGIGLQGHVSNPVGEVICDALDKLAAADLPVWITELDVGEPDEALRADDLEVVLREAYAHPAVEGVVFWGVMQGHMWRQDAALINADGTLNAAGQRFVDLRTEWMSNARGRIDAEGQFKFRGFHGTYVVQLTTPAGTKILKAFTIDKGDAPLVLDMGSL >Et_10B_003199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17516770:17518828:-1 gene:Et_10B_003199 transcript:Et_10B_003199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METMSYPCSPLIPFPTQHGESSYLLWSPQVAISPENGNMCSDADPIPSPDPQQDKEFMNMLIQEANDLLQESLSNDDPLAGFDEGLVGQENDCLVATVQERLMEESSLGDLLVAGARAVEARDSINASAIMSGLDNLIPGVPCRSYHDAAVGSFDHLACYFARGLRSRMSGARTECRPTTEPAMENRMPAYRMLQELSPFIKFAHFTANQAILEATRGVPDVHVVDLNVGEGVQWASLMSDLARNGNKTFHLTAVTTEADSDAGNCMTARWLSEFADSLNLSFRYSSLHLRSEEDLHGFAKSCNSPVFVSCNTTDGSYSFLIKLQMLLVGSIKILQPKLVILIEDELFRIGRNLSLGIAPFVEFFSEALHHFTAVLDSLASCFCDGGYGVCLGLVEKEMLGPRIEEAVGQYGLVTGGVIGDLEVFRACDLSSFSIAQAKMLVGLFSKGFGVVHEEGRLALCWNSRPLTWDYATYSAGAI >Et_2B_020832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24052460:24056741:1 gene:Et_2B_020832 transcript:Et_2B_020832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLIHLLIPSLSRFRASLTVFDKHLDQFSTAKMKLSKAPELLKKAATSFKCKTEVLRTKLIILASLRRRMALASVVSRRIHSLMSSNGSTMQGRVGYCDRALILRKALAAVSKDKEIVLDHGHGGMKVAFSETAMLDGDDRGLPDWMHSFFDDELSYVTEDMEENNDDEDCSLDALDEPSVIEVIKSNRELQGLEFNMDEDIDEACDMFIRSLRHCNFLIVGAFDKQLHQLSTSKMKISKAPELLKKAVTVFRMKTDILHTKLLILASLRRRMSLVGTMSRRIQALVSSDGREKQASVEHGDKALVLRKAVAASKEPAAAHHEFDGVIDLSEVVLFDEDDHGYPDWTHSLFDDNNYYDGVEDAHDGHDDCGVLDALDEPSVIDIIKSNREVEGLEFNMDEEIDEACDMFIKRFRKRMNQSF >Et_8A_057877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8621010:8622987:1 gene:Et_8A_057877 transcript:Et_8A_057877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIERVLKMLNKPYVKSFKDEYDVVFDCVDIYKQPALDHPLLKNHTIQVTNYRFHFFPLQATHFGLLQLSSMFRVILPRSSTESTGSKSSTAEPIRFSRQESCPDGTVPIRRTLKQDLTKPGHQWSGRTACEFLLLCYREKSYSAIFHENISLKIIRSQPLVQFAMMLMDSEKGSKLQGAGAVLEVDSPYVPPDQSSSAQIILLDNSSDKIGCIQTGWHADDYQTTGCLNMLCPGFVLTSQTAAPGMAFPTGTLVGISMSKTESGDWYVFLNQQSVGYFPKQIFNNMDGATEAQMGGITYAPPGQKSPPMGNGVAPSSDTNITASTFTHLEPRGATVARAWVTKDVDQAIYNIVMTSNSYTGPQGMAFQYGGPGGA >Et_1A_007009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3081275:3083803:1 gene:Et_1A_007009 transcript:Et_1A_007009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGIARAVSFGGRATSGLCSYRRVTVSVCLGNLVAALLVLRSLTSHASFAPAAPNFGEVGQYTDEQIMMVEESIRIRRESEPVELVQAVKKLRNFFALEEKRRKELPLVLKQKVSYDIVGRLRDLGDNSSVSKQRGMLKLALKFNWHMLVSDIGLFIPEEVMHIEHDDKPENEPEEEEIIAGPPLSPQCNAELHTDYGGPAVRWGLTHHKESAADCCQACLHQAKNAKPGEMKCNIWVYCPSEFGCYSPDKYEHKHQECWLKHDDHPKLNFKDKYSESYRNSHPTAPVVVPWMSGVISA >Et_7B_055411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1054053:1056456:-1 gene:Et_7B_055411 transcript:Et_7B_055411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDAPVSAAAVATPSPRSPAPPETPSTQKRKQRGLVSRVWKGIFGGRQDVEKLLQALSKEEEAVRARLRRRARASRQSAHNVLALAAALEVVAVGYAIMTTRSPDLSWQMRAARVLPMFLIPGLAALIYSTITSLTKLLDNRDQHTLEKLRDERQAKIDELKERTNYYTTQQLIQRRRNKRPPPRSLGQAPDAKRYDLDPAAKAAAASVLASKLGADSGLRVFLGEESSRDATLSKSNENNTGQTAGLRQRKPAHLSNGSGRTHPAEPLDGSNVYDCNEEGLDTPNQRSVEHFRGPAGNDGGWLARVAALLVGEDPTQCYALICGNCHMHNGLARKEDFTFITYYCPHCNALNGSRQHDDQELVSNSGKESPSSPSDGSIEPNSGKESPRSHSDSGIGHAGTSLANSDIASSVVGNLQTVEELPAEDSVEKASSDQPAN >Et_7B_053616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10363917:10366467:1 gene:Et_7B_053616 transcript:Et_7B_053616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFRKLGRPDKHRLSMLRTMVSQLVKHERIETTVAKAKEVRRKADQMVQLGKEGTLDAARRAAEFVRGDDVVHKLFTELAYRYKDRAGGYTRLLRTRIRVGDAAPMAYIEFVDRENELREAKPATPPPPQRVPLDPWSKSRASQQWAGPKVSKDSEIDGL >Et_8A_057039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20375101:20378891:-1 gene:Et_8A_057039 transcript:Et_8A_057039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFAREGSVLGAVKREPYILGPMASSTNFLVLTTLLGLLSWVTVASDPSPLQDFCVADKDSHVNVNGFVCKDSKEVKVDDFFLAANLDKPRDTTSKNPPHTHPRATEILTVLEGTLYVGFVTSNTDNKLFSKVLNKGDAFVFPQGLIHFQFNPSHDKPAVAIATLSSQNPGAITIANAVFGSKPPISNDVLAKAFQVEKKMVDWLQAQFWRGAGGGQRDGDDAGEHVQVVAGVQARDGGGAAVVVQEVGDLRAEHRHRLVSVVVDEPVVHARGEVGEGVGGERRHCHGEQLG >Et_3A_023070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2394423:2395510:1 gene:Et_3A_023070 transcript:Et_3A_023070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYPDHVPKAKTALESYFDSNHNDIYHDIMLLENQIPWEAVESIMSYRPVPLEDFIARLRNCLKDFKEEDKFPPLSIKKEDKKLKHPHLLGLLRFYIVGKSNKKKLGKLPDLYLAAISPRHLAEAGISLKPQKNIYLAGMRIEKKGPFFADLCMPPLQLDARPSWLINMAALELCLTPNFQDAADEDSAVCSYLNILTMLVHRERDVYDLRKNGLLQGGGGFTDNDAIGFFKHLQDLRLGSSYARTMEEIQDYMDERWMWIMVYRFWYRNKKIIWTFFAAIASLFAILEALKTLSL >Et_7A_051632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21693192:21695107:1 gene:Et_7A_051632 transcript:Et_7A_051632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKNNVKKGPWSPEEDAKLKEFIEKHGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFTEHEDRIICNMYASIGSRWSIIASQLPGRTDNDIKNYWNTKLKKKLLGTSAITAPPHRAPRHHHRPLNLMLQHSSPSLSQPTYSSFFSNAGSLHDPIIPALTLPPPQDFMLSSSLAMTNASSLLQAHGVTQQQLHHHVVKEESGSMIVFGGDQQSCSSSDGGAHSRQQFSNGKELSFDGYYGCNNGTMEHDHRLLQLQEHYQQAQVPVDYNYEEIKQLLMSSTAGNLHGGQGHEGGMEGFGSQGKVTMM >Et_1B_014263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4613876:4617512:-1 gene:Et_1B_014263 transcript:Et_1B_014263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSAPLLRSHAHRRALFPPSPRRRPTAAGATSLLGFVAIKSLSSRRLPNTAVRSSSTASPSAPETAQATEDAAAAETAVGDEGKEAERVVLPTNESSESLLRIRHTCAHVMAMAVQKLFPNAKVTIGPWIDNGFYYDFDMEPLTDKDLKKIKKEMDRIIRRNLPLVREEVSREEAQKRIEALNEPYKLEILDSIKEEPITIYHIGEEWWDLCAGPHVESTGKINRKAVELESVAGAYWRGDEKNQMLQRIYGTAWENEDQLKAYIHFKEEAKRRDHRRLGQDLDLFSIQEDAGGGLVFWHPKGAIIRHILEDSWKQIHMQHGYDLLYTPHVAKADLWKISGHIDFYRENMYNQMDVEDELYQLRPMNCPYHILVYKRKLHSYREFPIRVAELGTVYRYELSGTLHGLFRVRGFTQDDAHIFCLEDQIRDEIRGVLDLTEQILGQFGFRSYEINLSTRPEKSVGNDDIWEKATIALKEALDDKGWEYKVDDGGGAFYGPKIDIKIEDALGRKWQCSTVQVDFNLPERFDITYVDSNTEKKRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWLAPTQARILPVTDNELQYCKEVASELKSRGFRAEVCHGERLPKLIRNAEMQKVPLMAVVGPKEVEARALTIRSRHNGEIGTMPVDEFISRLQHAVANKSSL >Et_9B_065822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13952030:13954799:-1 gene:Et_9B_065822 transcript:Et_9B_065822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRSEMAGVVSAVAGSSVTAVARPFVDFAANKASLMCGVNGELETLKEDRRSLETFLSDAAEAQRGGNGNEVTAEFIRRIRDAVYTADNIIDVAAYRAMRSSRRKGLLGAMSRYARKPNDLVACYKLADDIDQWRRKIHGIKSSIEFKNVMGTNGCLTARAQEPLAHHARISRPAATDDESVVGLEGDVQRIVERLKDTTKPQLSIVSIVAMAGAGKTTLARKVYNSAAVKEHFDAFAFVSISQQFEPPQLLKEITARAMWMKNGDMEFDKIGQADELEKMGAEELAMFMHNFLGGKRYLIVLDDVWRTDTWEGIEHAFPDKGNGSRVMLTTRNLQVANQANKLTHVHEVRLLNEQKSWQLFSLKAFPSYENIDTNKRQELATVGMRLAKKCHGLPLALVVLGSHLSQNLHLNTWSKMERSLDWEVTRKWNNMQQIIALSYDDLPSHSLKECFLYIAAFPEDFEIEAKDLTRRWIAEGFVQHRPNQTLEDIAYECLEELVQRSMVHVVQTEILGQIFTIQMHDTLREWAIKKVRKEGFLTSINLDDTYIPHVPKSLWDIPTLRYVRITEMEASFSLTTIRAEEQSELHTLYIRSGRMSLKKVGTESKDWIHLQKHLMRMAQLRILILMGTGFLPVDILINLKNHHQLHSLGLYMWESRTAFPDSGLLPQNLRLLVLYFHGTWNTWHADLLPTLGKLQSLVNLTLRAEHQQDDVEGPDTQLTSQLCEEARVSLYEAPIMSSPAGGFPRLQYLTLYGIQAKKLIFEVGTMPKLVDLKFRLGHMTTVPNGLLGLPSLEKLELHKMKSELPREIHELLESKGIKVIKDE >Et_4B_039851.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:487356:488366:-1 gene:Et_4B_039851 transcript:Et_4B_039851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQRHGILCCGGAFSDAAETDTGSGSSKTGGSARQVSWAQVEAMTRGFTSAVVGEGGFSTVYLARLMAGGGSHQQQLAAVKVHRSSERLHRAFRQELDALLRVRHPHIVRLLAFCDQGGGVLVLEFAPNGNLHDHLHSQQKAAMPWARRAAVALQVARALEYLHHRCEPQVVHGDVKASNVLLDAAMAARLCDFGFSAAVARPSPRPRPTKVAVLGSPGYVDPHYLRSGVLTKKSDVYSFGVLLLEILTGLQPFCDGRLLTAALAPRINSCQCDVGDLVDPRLGCRYDAHQAAVVAALAAACVGDNPSLRPSMTEVVRTLEQATTVPTRSHGARI >Et_9B_065905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17168194:17169271:-1 gene:Et_9B_065905 transcript:Et_9B_065905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAASNGPAALVARGRDSAAALEALLQDVSTTSATAQDGGLRELTEEILCCLDRALAALQGDGVVDVAAGGCQSKKRRSSPPGAADQSMPKRRARATGAGMPTKIEKRSTPEDGFLWRKYGQKDIQNSKYPRTPNARFVTDDVEARRLISSFHLDRRTYFRCTYKHDYGCKATRQVQQSEDDPSVFVVTYFGEHTCGVDAAPAATVAEAKKLQQPLVISFGSGTASSGTPWLSSPSSSDDDVRSNTSQFSPAVNIQDEEGGEQNGNGFNVESVPASSAEFSSASPDLDPLLSYFDWGYTGDSLFDMDFVGFDEITQIQ >Et_10A_000339.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:2777287:2777412:1 gene:Et_10A_000339 transcript:Et_10A_000339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLSQIRTTIHLSINRRTPLSGLVAKVAEKGAKDFTSRF >Et_7A_051296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16741655:16745731:-1 gene:Et_7A_051296 transcript:Et_7A_051296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPSTAGALPRLRLPPLPLRHYPLPLPFFLLRRASSSTTHHHPRLLPLAASLPPPPPDALLPSQATGLVAASQANFMRVIVDAVPPGHDLERHRGSDLLCVVRALLKKIRRRVLVGDRVLVGAVDWADRRGMIEDVFERRTEVADPPVANVDRLVVLFSLDQPRPEPATLTRFLVEAESTGIPFVLVFNKVELVDEETIAHWRDRLKSWGYDPLFLSVDQQSGLSALEEMLKGQTTVVVGPSGVGKSSLINALRCNQDISEEDPINKLVEQNSKWFGEQRVGTVSKKSGKGKHTTRHVSLLPIAGGGFLADTPGFNQPSLMKVTKKSLAETFPEIRKMLKENEPSKCLFNDCVHLGEHGCVVKGDWERYPYYLQMLDEIKIREEIQLRTFGTKREGDVRYKTGVMGVKQAEPRLELKKHRRVSRKKINQSILDEIDDDLDDLDDDYLFDVKQRSRK >Et_10A_001080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20903373:20903883:-1 gene:Et_10A_001080 transcript:Et_10A_001080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPCRINQGIKVFMNQYIVIYLSLLEVGSLTQLATGKDTDSSKFEEASMDQASCIPRGWPHVHAGRRMD >Et_3B_028125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10586654:10594061:1 gene:Et_3B_028125 transcript:Et_3B_028125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSTAGLVALVATVCLLLPAATRAQLQVGFYNTSCPNAESLVRQAVTAAFANNSGIAPGLIRLHFHDCFVRGCDASVLLKVNPGGGETEREAPPNNPSLRGFEVIDAAKAAVESACPRTVSCADIVAFAARDSVNLTGNLFYQVPSGRRDGNVSTRADALAFLPGPNSTAAELVDGFKNKTLTAEEMVVLSGSHTIGRSHCDSFLFLNRQRLRNGTISPAYQALLEALCPANTSQFTPVTTEIDVSTPALLDNNYYKLLPLDLGLHFSDDQLVRNATLAPSVAAFAANETLWKEKFVAAMIKMGNIEVKTGAQGEVRLNCSIVNAPSSSSSSTVIEMSFLFILALLPITAIGAGLKVGFYNKSCPSVETLVQQAVAAAFKNNSGIAAGLIRLHFHDCFVKGCDGSVLIDSTANNTAEKDSIPNNPSLRGFEVIDAAKKAVESACPRTVSCADILAFAARDAVALTGNNLTYKVPAGRRDGRVSKDTDALSNLPKPTSNATELVGNFTAKGLTAEDMVVLSGAHTVGRSHCSSFTNRLYKFSNASDVDPTISAAYASLLRTICPSNSSQFFPPNVTTAMDLMTPAKLDNLYYVGLANNLGLFTSDQALLTNATLKASVDAFVRSEKKWKSKFAKSMVKMGNIEVLTGTQGEIRLNCRVINSGSAGVQELGMVTGSDGSLEEI >Et_1A_005636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12251533:12257469:1 gene:Et_1A_005636 transcript:Et_1A_005636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRVKKQRKKRTAASPTRHGIAGSASRSIDAELLPPSGGRGGETATMKDAAKWPSATVGGAPSRRGGPGPSHSAASYTLLATSPPPTSVSNDGPQRGGVADWLLLQRQSSGSSVGGDAAEGSSTVSTLAEHREKGDVDRPPGSNSSKSWAQQAEEAYHLQLALALRLCSEASSAADPNFLESSSAAADRFQHVASPQSLSHRFWVNGSLSYSDKVPDGFYHIQGMDPFIWTLCNDVHDGGRVPSIESLKAVDPAESAIEVVIVDKVADYDLRQLMGMAIDVSRNRADSKEIATRLAAIVSTKMGGSVASKEEHELGPRWRDSVGFLKITSVSVVLPIGRLSVGRCWHRALLFKTLADSINLPCRVVKGCKYCTACGAASCLVRFGHDREYLIDLIGNPGFLSEPDSLLNGLSSISVSSPLRPPKHYSVDIADNFKSLAKQYFHDCQSLNLMFNDPAAGTVIDLDEAMGSNLGPNSSHATNSDFQGSLTHPTAPAQPRSQEGHFIMQRSFPEDAQSGQSDPFSSFGTVHRVDWNGSDVAVKILMEQDFHPERLKEFLREVAIMKSLRHPNIVLLMGAVTQPPNLSIVTEYLSRGSLYRLLHRHGTRENLDERRRLSMAFDVVCDFGLSRLKANTFLSSKTAAGTPEWMAPEVLRDEPSNEKSDIYSFGVILWELMTLQQPWSNLNPAQVVAAVGFKGRRLEIPSSVDPKVAAVIESCWVRTLEATLFRQHHGIPEATYQDITTSSSGGKLVTIHEIFNIPEIQAFDNLVSK >Et_3A_024771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24045953:24049135:1 gene:Et_3A_024771 transcript:Et_3A_024771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYRCQKDRPELEPEPEPELDDYDPKVMAFVGLFPANSEDPFIQELCRYHVAYCAAAGMRVPPDMFRNEKDEISGYMMKISSSRAAIQDLTDIASLDVGTPEFSQHTLNQMVRMYTPIFRNAAEDAYRQRIKTDTILSFLDALRGLVTICHVLVQDTVAMLEDGSSKHRVSKNMLTHSNEYSRKANNLKEVFITAGIKQTKKQENFRTLKRPKGSGTLDFLRKAIYALRFAVRTMLHFVY >Et_1B_010837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14600385:14602478:-1 gene:Et_1B_010837 transcript:Et_1B_010837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGARAAVVRRLMSAKAESGKSFSDVAAETGLTNVYVAQLLRRQAQLKPETAPALKAALPALTDELVDLMMQPPFRNYHPDIIQEPAIYRLNEAVMHFGESIKEIINEDFGDGIMSAIDFYCSVDKVKGADGKDRVVVTFDGKYLPYTEQKSEHMMSRSNRK >Et_5A_041989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4980482:4987536:1 gene:Et_5A_041989 transcript:Et_5A_041989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLTSSASPALPPWPAASSRARVRVAASADAGATAAAAASARERRGGSGGFPSFLPPAVERIRDGDAIRLAKRIERTGFSKNPILSSCVRPLEQQQNADPVVLLHGFDSSCLEWRYTYPLLEDAGLEAWAVDILGWGFSDLETRPPCDVASKREHLYQFWRSYIKRPMVLVGPSLGAAVAIDFSVKYPEAVTKLIFIGASVYSEGPKDMTRMPKFVPYAGVSILKSLPLRLFATRLAFKDIPSGLYFDWVQVKQKCLIIWGEDDGIIMSKLAYRLHQELPDATLRMVGQCGHIPHVEKPREAAKHILEFLERNRVGRAEGAASLVTAVLGDTLLRHALPVDSVRAARSPATRRGTHDGIRFMHACRRSSAPCQCKTSRVCCVTGAAGFIGSWLVNKLLDRGCVVHATVRDLGDERKVGLLRALPGAAERLLLFEADLYDAATFEPAITGCEFVFLVAAPMVRHCSGGRSTVPILLTS >Et_8A_057339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23795230:23798528:-1 gene:Et_8A_057339 transcript:Et_8A_057339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFVVSTSMEAMNHLLAKLTTLMGDEYKKLKGVQKEVLFLKDELGTMNALLEKMDDADDNLDPLAKNWRKHVIEMAYDVEDCIDDFMQREGEADGKVGMLQKASLYLRSYKNRYDIANQIKEIKTRVIQISERRMRYKLDECLSNSPTLVPIDPRLSALYKESTNLVGIDKQKEDLVRWVMDEEQQLKVVSIVGFGGLGKTTLANEVYREVRGQFNCNTFVSISQKPEMTKILNMVLLQFQLQPSSYASEVQDLINILVGYLQDKRYLVVVDDLWDVRAWNIISCAFPRNNKKSRVIVTTRIRDVAMACCSGHGCIHIMKPLSETDSRKLFFNRIFSSEDTCPSQLVNVSSEILKKCGGLPLAIITVAGILACQPTRIKDHWEVHDMMLDMIVRRCREDNFIIVVRHPNALPEAESEQVRRLSVDLSGTEDDTMVSAVTTAGRLSQIRSLAIYGASKWMPPLSDFKFLRMLYLEFFKCVARIDLTGIIHLTQLRYIKVVCNSWSEDEPLRIMLPSQIQRLRHLETLEMTDASVCTMPADMVDLPRLSHLFLPSGTRFPHGIGKLKSLRTLFIYSLPKNSQENIRALGELTNMTILRLNCGKVYWETKLRRTDDDDDDDDTPEATWVAALSSSLQKLGNLKELYMTSMYESCNGDALNLLHPPFRNLEQLDLFGWTFSRVPRWMGELQNLRSLRLGLKLEATTSRSICWEEDIGIIGTLPSLVQLWLRMPIALTERIVIGGSSGFAVLEQLYISCDGISNVTFEAGAMLRLWKLSLGIDPDEWNKVPPDGLQHLSSLKEISIWVLRYYNVPAECDKAKDTSARELIKGVFQEAAGVLVSRPAVTAREEFDICSKL >Et_3A_024287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19108978:19111567:-1 gene:Et_3A_024287 transcript:Et_3A_024287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAPAPPSRGLLPPLAKPKPVLPVAKSVVRIHEQPQVRVRAQPVALAKSKRLDDALSAGFVRLLNAGPVQEADSGGETGALYDPKPGDFAVGVVVSGTEARLDVAIGADRLATLLAKELLPLDRAGADPAEQAAPPRPGSVGVVAGPAVDEEVARKQKRGSRTLVAPGTVVFAEVLGCTLSGRPLLSARRLFRRFAWHRARQGLRAFLPKFELVDRINTFADLKTKVGNFGLLLLVMLKIGSLLLLLPKMPSCFVFGITLMWTTVIMYLDFASLVGCSIRVCIIRLDEETNDLIISEKKAWVRTLAYLHKLYLHFEMSYLKEGTLLQGTVRKIFSYGAQVRIVGTNRSGLLHISNISRGQVLSVSDILKIGDEVKVLVIKSNVPDKIALSIADLESAPGLFLSDKAKVFSEAEKMAQKYREQLPVISQNTNLDVDLPGETIPFDDEATLYANWEWFKFLQQSKPGINSDRT >Et_8B_060395.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1360827:1362119:-1 gene:Et_8B_060395 transcript:Et_8B_060395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHTLESTVDLSCIRSLTVFGECNSFFISKKMRMLRVLDLEDTYGLRDPDLSSIGKLYHLRYLSLRGSIGIFCLPDSLGNLSNLETLDVRGTMVTKLPSTIVKLQKLKYLRAGIIAWNEDDNSKQMITALMQYLFMVFKICFRKETEYDAMEEAWVPLVCLIITVWLKGLDPHGVKVPKGIGRLRSFHTLGIVNVARRKTTLKAIQELTQLHKLGITGINTNNCKDLELAISNQRHLKSLSMRAQGEIGLKGCLDNMTPPEQLESLKLYGSLEKLPRWFGKLHGLVKLTLRSTLLKQGSVELLGKLQNLASLRLWDKSFKGEALQFQRGFENLMVLEIGGLCDVKSVKFEAPAMPKLKLLKIECWWDTEICSFTGLDLLSSLEEVLLSGLSEEDLQESDLDSEEQLVHRAVKEEILKQIEKKAELKMGH >Et_7B_054662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3196036:3200638:-1 gene:Et_7B_054662 transcript:Et_7B_054662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQPQPGMAPPPPPQAAGGQPPQWGGIPPPMQPQYGAPPPQQPQPPAMWGQPPPQAQYAQAPPPQQYYAAPQAPAPTAPAASDEVRTLWIGDLQYWMDENYISNCFLPTGELQSVKLIRDKQSGQLQGYGFVEFTSRAAAERVLQTYNGQMMPNVELTYRLNWASAGEKRDDTPDYTIFVGDLAADVTDYLLQETFRVHYPSVKGAKVVTDKLTMRSKGYGFVKFGDPAEQARAMTEMNGMLCSSRPMRIGPAASRKTTGVQERARDIVLLCYNVL >Et_3B_029943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29227304:29241889:-1 gene:Et_3B_029943 transcript:Et_3B_029943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSDISVLGALRSMCSNIGEEDDQANPAPSPAAPGGASVPVAAAYNSLHGGSVTPVIPLSPVLPAPQLVVLALDATKAHRDEEIRMVIRGLLARGDILRGGDSLLVLGVLHTITPPMGYQCKASSEALDGMSDYMKYQVVKMADCYQNKLRQDAEELSKVGISVTVKVSVGSPAKAVIIQEVNSSRAAWVVLDRHFRQDFRHLKIHIACKVAVFEDNLSVAYLKLIRTCPSNKSNKEVKALQHFAATLDLSSKIPDSIEAYMTSQFNSSEKLFLCRVCGLNYLHELLEITISEIQAATSDFSKDSLLGEGGFGHVYKGQLKDGRFIAAKVRKIASSQGYTEFFSEVQVHSFARHRNIIALLGYCYEESYNILVYEYICNNSLEWHLFGEHAIAFDIAKVLRFLHEECRAGPIIHRDLRPSNVLLTHELVPMLGDFGLAKWNTKDSIETTILGQSGYLAPEYAEYGISSVKTDVYAFGVLLFQLISGRKVLDDDGTKCTHILTWAEPLVESLALHELINDRIKDKYDTYGLYHLAKAAYLCVRTNPHERPSMGERDRMYSVISRIYSAARSNLQALITALPGRHDGGSRRGSRRRRRGTSPFSSCSPFSSPMSMSSIIGAADDRATPASSSAAYGTPQGGVPTPMSALSPVAVLPAPQLVVVALDATRDHRDEEIRMAIRELVARGDILRGGDSLLVLGVLHTITHPMGYQTKACTDFLTGTSDRYLGDQVVKVADFYQSKLLQDVEELRKVGITVTLKISPGSPAKVVIIQEVNPSKAAWVVIDRHFRRDFGHFKKHIACKIAVFEDNLSVTYLKIIRTCPSNKSPGEVKALQHLAVKRDLSSKTLDGDTHRVSIKSSPVSYLASLSNREIHESSSVVISSMPYLTPSMSGMSLTIDDLESISNGKYIEANTSSMYDSPERPVLCTGCGLKSVLYIKESMKFPFSEIQAATSDFSKDKLLGEGGFGHVYKGQLKDGQVIAAKLRKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNNSLEWHLFDKTANLLEWHTRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKASNGSIKTRILGQSGYLAPEYAEYGIVSVRTDVYAFGIVLFQLISGRKAEPLVESLALHELIDERIKDTYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIETENEHIRDLSRQFIPHFTNSSSSIFSHKIENEQKNRENVDSK >Et_10B_003302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18647677:18654042:-1 gene:Et_10B_003302 transcript:Et_10B_003302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRNGKWLAAVIDGPSGYLDGYITRSTGKKDKDLRVYEHSETMKFIEKASELPWDGGYMTAEDSKCGDLVHSFDIMYRKVEEVVPAPSDGEVIEAGQTFILHFPESGKSDDLYLKRIHTQFRNQVAVGDRLIISAREWRSSCSTIYSAIGLKETDFLAPPKDTRYTKTKALTTTVDNAMKAKEFLSNIRLLHRPLHNHGFFMLKDMPVHLSKDGPVDVAWAERELQRSRNPDFNSPDWEFLMEKFPTFSAANNGERNARNRFDDLLDPAHTKQVKGAQLWGTDIYTNDSDIVAGYTSTLRNNVRSCAWGAGIGCSFHKECYCIVKTGGGTIDLEPHLSHTSAVEPTLASVAVEPTMKTRAAASLVAYHESGHTIIVALHTQGHPFLGMLKQLASKECTYISKEHDLARLDVCFGRIVAEKLIFEEDNITSGPKNDCHIARQLAEKIVSSWQMKRITEHEHVEPSPSPREMQERINTELRVVANALLVNKEEIIKLFQDNLNYVACLS >Et_8B_060389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12562036:12569699:1 gene:Et_8B_060389 transcript:Et_8B_060389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASFARVLPSSPSPLILAGNRASVGSFMPPPPSSAARSARSLAVFCSSVSPSDATTTPPPPQAALEEANKPAPAAAEGGGEKAEPTAEELAGLLDIRVGRVLKAWRHPEADTLYVEEVDVGEEEPRTICSGLVNYIPLEQLQDSNVIVLANLKPRSMRGVKSNGMLMAASDASHENVELLTPPEGSVPGERVWFGSEDVKDRQSDPASPNQVQKKKIWESVQPHLRTTDNCVAVLGEHPMRTSAGTVFCKSLQESGFEEEYKQPSGKALVSLPNLALLRDKLRKAKGALTKLRVRGNYSSFSFARCWILHFLSVILGVQIEDSKHVHKITHPSRTSSTTKRTPPEKYRLISLKFLAI >Et_1B_013597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9867226:9872996:-1 gene:Et_1B_013597 transcript:Et_1B_013597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRLCRGREEDDGCTGREGPRGSTPGKRPRRCSCSCACCQETKDFYEDQIDILKKEMQCLSKGYIEERKTFQKEMQEFYRNSQLQLKEQISEHCRRMEMCYHNSHKLILEQFNTSISDKTKTYRLKFENKCSNDKYSGHVIMADDGNPVKVAIYDHDNRIISDGPLSSMQVKIVVLDGEFNKENKDQWCGDSFLKSIVYSRPGKPPLLADELYIKLENGVANLCGMKFQDNIPSKKFRLGIMAADDSISERILEGVSESFAIKAGRAKKKDPHPSLTDPIYKLKKIWENGDRHKLLEQMQIKLVKDFLWFYNKDKDGLRKACENISAHDWDIIVEHALSCRPGRYRYSYHIPEMDATIFFNSLYIIVGAEFNGKYSSYEELNDTQKVLVDESKTRAYDNLKNVPSQENPRHRQRWVKIVTIVTTLHFWNKKPLTESRFAMPDIFDLQVEPEREMYQPPLTESNIGIMTDMFDDSWVDPETGLFWDCGSKRSSNESLSTCLPALHQHIAFTPGPLASASVCAEQ >Et_2A_017772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6049335:6062786:-1 gene:Et_2A_017772 transcript:Et_2A_017772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATGPVPAPIPLNTISELRQHHSQLVRLGLASHPSHARRLLAFLARDPAAHLPYAARLLAHHPNPHPALFNPLFAALPPPHAARLLAVMLSLPLPPDHFTFPRILPAAPLPLVAQLHALLLKLGFHFHAQSVNALLSAYLSNARPDLASLVFQTSSSGTLDVVSWTTMVGGLCKLGLVDEAREMFDGMPERNLISWNAMISGYVKAGRFLDAVEVFDEMQALGVEGNGFVAASAVVACTSAGALARGREVHRWVEQNGIEMDEKLATAVVDMYCKCGCVEEAWRVFEALPVKGLTSWNCMIGGFAVHGRGEDAVRLFGRMERDGGVAPDDVTLVNVLTACAHAGMVSEGRHYFSYIVERYGVEPKMEHYGCMVDLYGRAGLLDEAKKVIDDMPMEPDVGVLGALFGACKIHGYVDLGEVIGWRVIELDPQNSGRYVLLANLLASAGRWEDVARVRRLMDERKVTKEAGRSVIEVAGEVCEFRCGSLRHAQAAEVYAMARDMMSRIGAEGYVPDTRDVLHDVAEEEEKEAPLLYHSEKLAIAFGLLRTRPGDTMRITKNLRVCRDCHEATKFVSRVFEREIVVRDRNRFHNFKDGKCSCNDYWLGGSVIEVASEVCEFWCGSLRYARATEVYAMVRDMMSIIGAVRYVPDTRDIETLAIAFGLLRTRSGDTMRITKNLCLCRDCHERPSSCHASLSARSVFRILGTGTGSTISTFKDGKCYFNSQRREQKTPSSSRMIRKGSTRLSPRALKQIHGNLVANGIGSRGLQPLRDLLLSCIASFRGSMDYARRLFDGIPRPDLFMHNTMVRGYAHASAPGAAFAIYRRMEAAGLRPDGFTFCYLLRACAGLPRSRAGYQVHAVVVKLGFLGDAFVRNALINMHAKCGWMPDEATVVSLLSCCANVGSLEVGRMIHSLHLEDRQSVSIVTGNALVSMYAKCGDVDTAIEVFNNMQERDVWTWNSIIGGLALHGQAAKSVQLFNKMLEERVYPNEISFLCVLGACSHAGLVQDGQRYFSLMKDSYRMEPNVRHYSCIVDMLGRAGLLDEAFAIVSSMRCESSAVVWRTLLGACKIHGNVALGKLAQERLLNMSGDASGDYVLLSGIFASYNEWLGVETVRRSMDTRGLRKVAGCAQVDRKTDGLSSAELKWLIDCWSVKSKLQRFHSHWQ >Et_5A_042050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5663902:5665533:-1 gene:Et_5A_042050 transcript:Et_5A_042050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSNHGQLLLKAMLLSASGRIFAHIYASSTAQQAAHKKSTLAAVSTKYLARSFMAAAYNIHRSVLSVLSLFLALVPAARAQLSPTFYASSCRPALLTIRTAVRAAVALDARTGASLLRLHFHDCFGCDASVLLDDTANFTGEKGAGPNAGSLRGFGVIDAIKLLLEALCPRTVSCADILAVAARDSVVALGGPSWTVQLGRRDSTTASLSTANTDLPSPASSLSALLAAFAKKGLSSTDMVALSGAHTVGQAQCQNFRARIYNETNIDAAFRGLLHSDQQLFGGGGGSTDGLVRSYAASPAQFQSDFAAAMVKMGGIGVLTGSSGQLRRNCRRVN >Et_3A_025490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30233478:30245877:1 gene:Et_3A_025490 transcript:Et_3A_025490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGISRFIGLKAAVLLSLAYFVQGLGVTLISFPLIYTALIAMLVSIASHPAVDLPLLLGKASDGSFPLWSWIMFSPFLLFIHMFVLLRRFVKNEPLYSEIADGVFVGGWPSSVERLPPGEPAVIDCTCELPKSSTLSENAYLCVATWDTRAPQPSQIESAVRWAVRKHSQNKPIYVHCAYGHGRSVCVMCALLVTLGLAEDWKAAEQMIREKRPSISMNSLHRKSLEEWSKHLLPSSKRSGESDMGWGISRLIGLKAAVLLSMAYFFQGLGVTLISFPLIYAALSAMLVSIASHPAVDLPLLLGKASDGSYPLWSWIMFSPSLLFARVFVLLRRYVKNEPLYTEIADGVFVGGWPSSVERLPPGEPAVIDCTCELPKSSTLSDNAYLCVATWDTRAPQPSQIESAVRWAVRKRSQNKPIYVHCAYGHGRSVCVMCALLVALGLAEDWKAAEQMIREKRPSISMNSLHRKSLEEWSKHLLPSWKRSRKEVGNQISLIFSSPNEVAFSASQHVHVNIIFYAVG >Et_3B_030531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4705830:4709085:-1 gene:Et_3B_030531 transcript:Et_3B_030531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTKRTNGDWLSGVEDALATDEPLCAVHGDGADHVLAEMLRDLKHEANVVVEHLERREDRRESLVEAHVNDGADDLAHLPHRAGPHDAGDADGGDGADGCAAEAEAKRARRRPAGDARRAAGEGAGAAARRRAEEEERRREERRRRDAMAGRLGLKSADGSRRICVAFRRDEKGGVVPPSRTVDKEAGSGHWPRAKLRQFGHVCEAPGYKCLPSLCLLHSHTTLQVSTAGEKAMASSASLFRASTASAPVFYPLQSRRQPARQLNLRCSHRRRRGISIAASSAASPEVQKSPSPSPSPSPQASDLSAVADSVKVLKEAAKTRKVPAPEVLSALSNIKKAKLDTSTFFETLGGTESPGRTWMLIFTAKGRLDKGQYFPVTAVQRFDAAGKRIENGVYLGPIGCLTFEGRLSWKKKILAFIFERVRIKVGLFGPLEIGLGSSGDGREPSTKDPFFVWFYVDEEIAVAQGRGGGVAYWCSQNETNLDTEHTIKTVAQHPHVNFALDPTYLEASSTFLVEYSERKDTSLVKTYKL >Et_10A_001026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20234736:20236450:-1 gene:Et_10A_001026 transcript:Et_10A_001026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLQVICAVNDEVSGPVYLEDASGSPHTYYHTHLNFIATSKCSDRVRVLFFAELSNNDKDRSFCCPVPLPQPCAERVRCLYCDYVGARILHPVGEDFHGHKREFEKMICGEDPCDDEFDPALMRPYYTTMKIINNSRIIADMVNESIKEDSLYDDSFVFCGNRSYESMSLSSSDNPRDSYSSSSSDEYHLRKWRHKKSLSNFWPEYDKTDLG >Et_7A_052894.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2402508:2403197:1 gene:Et_7A_052894 transcript:Et_7A_052894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGGWSSLPAELVDAISSRLSTDADQVSIHQVCSHWRASTSPLAAPRPWVVAGRSLDKSDAWNGPASSFSLWLPRGREPVDVQAPDHLLLCCGAPRGWLALADDTRSPTRFILWEPISRREIPLPCLRGVVQVFLSADPSSSENWVALAIRRWDTGGYEPLYWRPGDSSWKQLSHQYYPVCPYNSFAFHQGRGYLTDLHGYIFGYDFNLGTTATSRLNAPAPSAPETA >Et_3A_027146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34527631:34528078:1 gene:Et_3A_027146 transcript:Et_3A_027146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSVRSLFLYQTAVKVVCHPTTGKSKGYGFVKFSSQEEAAAALNKMNGEVLDERSIRVLYANSG >Et_1A_005739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13255536:13265418:-1 gene:Et_1A_005739 transcript:Et_1A_005739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAILLASAVLALSWFHAIASDPSPLQDFCVVDKMSKVRVNGFPCKDAKDVVAEDFFFCGLDMAGNTTNKQGSAVTPVNVAQIAGLNTMGISLARIDYAPYGLNPPHTHPRATEILTVLEGSLYVGFVTSNPENKLFTKVLKKGDVFVFPQGLIHFQFNYGTNNAVAIAALSSQNPGVITVANAVFGSKPSISDDVLAKAFQVDKKTIDRIQAHRVVLLLALLAMACCGAVASDPSLLQDFCVADKMSPVNVNGFTCKDIEDVVVEDFVFSGLHIAGNTTNKQGSAVTAANVAQIPGLNTMGISMVRIDYAPKGLNPPHTHPRATEILTVLEGSLYVGFITSIPESRLISKVLTKGDVFVFPKALVHFQFNYGTDNAVAIAALSSQNPGVITVANAVFGSDPLLSDDIVSKAFQSPPCLAATQQAISSYNEQTSPSLLGNQEEIEAEMASRLLLLALLALACCSAIASDPGLLQDFCVADKMSKVNVNGFACKDAEDVSVEDFVFSGLHIAGNTTNQQGSVVTAANVAQIPGLNTMGISMVRIDYAPKGLNAPHIHPRATEILTVLEGSLYVGFITSIPGSRLISKVLKKGDVFVFPKALVHFQFNYGTENAVAIAALSSQNPGVITVANAVFGSDPLISDDIVSKAFQVDKKTVDWIQA >Et_5A_040513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3148785:3148862:-1 gene:Et_5A_040513 transcript:Et_5A_040513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMVAVVEISQPVF >Et_7A_052176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5317024:5318283:1 gene:Et_7A_052176 transcript:Et_7A_052176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGLINANPVIHEKKERRVRQAPETTDENAAEPIDQLEIFDIKDPEHPYSLEQLNVVTEDSIELNDELSYVRVTFTPTVEHCSMATVIGLCLRVKLIRSLPPRYKVDIRVAPGSHATEAAVNKQLNDKERVAAALENPNLLDMVEECLSPTFA >Et_6A_047218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26976124:26979431:-1 gene:Et_6A_047218 transcript:Et_6A_047218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPMPGEGDVEVLVERPYSHERSPSTSTRHTTRPTRPPPQLRARPYYRRWSPWIVSAATVACVAVFVVTMYVNDCPRRSATGCAAGFLGRFAFQPLRENPLLGPSSATLVKMGALDVSRVVHGRQGWRLITCMWLHAGVVHLLINMLCLVFIGIRLEQEFGFVRIGLVYLISGFGGSLMSALFLQSNISVGASGALFGLIGSMLSELITNWSLYANKVAALLTLIFVIVLNLALGILPRVDNFAHIGGLISGFLLGFVFFIRPQFAWLNQRRESAAGQQASPVKRKHKTYQYILWLVAAVLLIVGFTVAIVLLFRGYNANEHCSWCHYLSCVPTKRWKCNSSATTCSTIQQGNTLNVTCDGTGFSKSYPIPNATQDRANELCTKLCS >Et_4A_035262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15431130:15444172:1 gene:Et_4A_035262 transcript:Et_4A_035262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGFFAEEIIREKSSSSRCSPSSDSSSLSNKQQETKRRAPSREQRACVPSYLVTSSSRARSPTKTPRSNRSFCTSSVSAAGTASIAVAEVGFGVAGAGRRRGVGSDLDLSPVTGGCWDQIGRDHGDSPGNDPGRKKVRLGWARMSRKSAFEPRLVDPTTANHWTWTGRKAKGFPVPGRGKQRCACQPAKPLPFRLEQPCCCARHFAAAHLSKGFLLSRRAATAATAASSRAGDLREQGIPPLRCSIPPSSLSIAPSFLVWRPLGPTGFDPVSRRLGAGRRREELPGVPMLVEAAGFGARRGVAAMWLEIVLKSLVKVSGAFVQQYYHILHNQPEQVHKFYQDSSVLGRPEPNGTMVSVTTLADINETIMSTDLRNCLIEIETADAQLSHKDGVLIVVTGSLTPPNDVCRRFTQTFFLAPQESGGYFVLNDVFRFISEKQRAAVNQVVTQENESSQNAISTIPASETYSALPEPTAAEKTLNSDHVTVESIVKERQVINSSVNGTAIDYNVTTEPPVQVAKEAPKRVPVAAPPPPAPAQRDVTKKSYASIVKDMKEGLPAVPAARTTSSVPKTKPPPKPVTKDAEGPVIPSAKPAQYNETAASDGIGAESNSSRNEHGYSIFVRNLPFHSNIGLVREEFKKFGAVKPDGVQVVHHRLDGFCFGFVEYESEQYMEAAIKASPVLIGANHVFIEKKKAPTRVTRSGAFPRGDNGEGGRFQAGSGVHRSDDFGGHGGSYGNNANYRGGDNFNHRNGHENYNSRDDGRENYNRRGNAGENYNHRNEGGDENYNLRNGGGENYNRRNDGGENYNRRGKVGENYNRRNDGGENYNRRNDGGENYNRRNDSGENNNRRSNIDDNYNRRNNGGENYNRRNDGGENYNRRNDGENFNRRNNFRDQNEFSGGRGQGPPPGNGYNQNGNGFHPPRPVENGNGRPARVNGPKQPPGAA >Et_3B_028416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1574188:1576286:1 gene:Et_3B_028416 transcript:Et_3B_028416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVRVRSPPVLQSKLLCLSLLYLLTTLPLAVYVSFSDPGRRCALLPFPSRASKAGVKALFEYPTGYGEHKHALTVPRALCSNPVVFAGYKTALEEINGLCRNLSASRASPVLRYQKGTRDSFAGNLSAGERRSFFSHADNEVEIPCGFFKKFPLREVDGLAMEKCRGVVVASAILNDYDKIRQPKGLGSKTLSTACFFMFVDDATHRVLARHGVVAEDERGGASTVGAWRVARLSDGELPYDNPAMNGVVAKHLLHRLFPNARFSVWVDAKMQLTVDPLLLVHSLLVGKGVDMAVSRHPFNIHTMEEAIATARWRKWGDVESIRVQMETYCENGLQPWSRSKLPYPSDVPDTAIIIRRHGLESDLFSCLLFNELEAFNPRDQLAFAYVRDQMTPKVSMNMFEVEVFEHIAVEYRHNLKRGETGGGKQGIARMASSRDITGSSCERYLTKMWGESTE >Et_4B_036762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11308072:11317384:-1 gene:Et_4B_036762 transcript:Et_4B_036762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTRRSAAAKRPAGQEEDKGTSPAPAPAEEAAAAGAEDDVAAAQPPKRAKVASVEADGPKEAPADAEVPEAAAAGGVAGTLPDTAGLQALTGAMDKLEALLRSREAQSNPAGHKRGANDKDLSAKRAKDLSESVAGMLNNRLAAATSRRQEPWCRLISQYAAHPSLSIYASHFTIGHGAHHDLKLGESSTPSPVCRLKQFKRGALLEIHDSRVIRVNGKAVDKAAKVTLNGGDEIVFRSPVRHAYIFEQLKQEKSSTDALSCAYSSIQLGQHSHFKDTHDPLSSKRPKVSTFYFGKGRSPFIPSGTSADPVLLNLCKTMEERNQFNYEENVPFGRCPLQMEDLKNATVDPSDISESFDSCPYHLSEHTKCDLLSSAYVHLQCKDYIKFTKDISSLSQQVLLSGPTGTEIYQEYLVKALAKYFGARLLIIDYSMLFGGQTSKEPESYKKGDRVRYIGSLQSSGIILDGQSPPDFVSLGEICLPFEENKSSKVGVRFDNQISGGNDLGGNCEVDHGLFCPVDSLCLDSPGWEDRSKHPFDVIVEFLSEEIQHGPLILFLKDTEKICGNNASYHGLKSKLKNFPAGVFIVGSQIQPDNRKKANASSLFLSKFLYSQAVVDIALQDIDRGIDKNKENSKAIRHLTKLFPNKVTIQAPQDEMELSRWNQMLNQDIEVLKGKANISKIRSFLTRIGLECSDLETICVKDRILTNDCIDKIIGFALSHQLKNGASPDLSSNVQFVLSSESLKHGVDMLESIQSGTKSSNKRKSLKDIIMENEFEKRLLADVIPPHEIGVTFEDIGALESVKDTLKELVMLPLQRPELFSKGHLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSISSKWLGEGEKFVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKEKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDSSNRRKILSVILAKEDLADDVDLEAIANLTEGYSGSDLKNLCITAAHRPIREILEKEKKEKALAKAENRPLPPSHSSNDVRALRMSDFTHAHEQVCASVSSDSSNMNELIQWNDLYGDGGSRKKTTLSYFM >Et_4B_039531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20833028:20837056:1 gene:Et_4B_039531 transcript:Et_4B_039531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRASIWKQMSEAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGLSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPTIKFTYASHKAVSEYKEAKALGIDTVPVLIGPVSYLLLSKPAKGVDKSFPLLSLLGSILPIYKEVVAELKAAGASWIQFDEPTLVKDLAAHELAAFTSAYAELESELSGLNVLVETYFADLPAESYKTLTSLSGVTAYGFDLVRGAKTLDLVRSSFPTGKYLFAGVVDGRNIWADDLAASLSTLESLEAVIGKDKLVVSTSCSLMHTAVDLVNETKLDGEIKSWLAFAAQKVVEVNALAKALAGQKDEAYFAANAAAQASRRSSPRVTNEEVQKAAAALKGSDHRRTTNVSARLDAQQKKLNLPVLPTTTIGSFPQTMDLRRVRREYKAKKISEEEYTSAIKEEIRKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKTAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAAGIQVIQIDEAALREGLPLRKSEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLDTNILWVNPDCGLKTRKYTEVKPALTNMVSATKLIRTQLASTK >Et_2B_022483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23401894:23403958:-1 gene:Et_2B_022483 transcript:Et_2B_022483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSCVYMNADPKWQAPEIRTNSVHSEQQQLVAGILRRQQDKCTVNPTNGCYEEQEGYFLPAGPGGSAVAPANGRTGSGSGQR >Et_1B_012602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33622981:33628066:1 gene:Et_1B_012602 transcript:Et_1B_012602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLMDREFSAEVDALSMAQHDNLVPLWGYCIKGDSRVLIYSYMENGSLDDWLHNRNDDASTFLSWPMRLKIAQGASRGLSYIHHACKPPIVHRDIKSSNILLDKEFKAYVADFGLSRLILANKTHVTTELVGTLGYIPPEYGEGWVATLRGDIYSFGVVLLELLTGRRPVPVFSPTKELVKWVEEMKSEGKQIELLDPALRGTGHEEQMLKVLEVACKCVNRNPFMRPAIQEVVSYLDKNMCALYFSHMKHSNRFPTTFLGLAVVLILFLAPPTSSCIEQESSSLLAFLAGLSQAGNLTKSWRNGTDCCTWEGISCSPDRTITDVFLVSRNLQGFISPLLGNLTGLLRLNLSYNLLSGGLPLELVSSSSIIILDVLNISSNLFTGAFPSITWEVTQSLFVLNASNNSFTGHIPTAFCVSAPSFAVLELSYNQFSGSIHPELGNCSMLTYLSAGHNNLSGDLPDDLFKVTFLGHLSFPNNQLVGSLRGTKLTNLVSLDLGGNGFNGTIPDSIGQLKKLEEIHLEYNNISGELPSTLSNCTSLLTIDLKNNNFSGELTRFNFSILTNLKTLDLGHNNFTGAIPESIYTCSNLTALRLSKNKFHVRLSERINNLKSLSFLSLVDNSITNITSVLQILKSCRNLTTLLIGVNFMHEAMPDDDKIDGFESLQVLAIYDCSFSGKIPPWLSNLKNLEMLFLYNNQLTGPIPGWISSLKSLFYIDIPNNSLTGEIPTAFMEMPMLKTDMVAPKVFELPIYTTPGLQYRMSSAFPKVLNLGDNNFTGVLDLSSNNFTGTIPDALNDLHFLSQFNVSNNDLEGPIPTAGQLSTFPNSSFQGNPKLCGCMLVNHCSSVKTPPASNKPLNKKGIFVLAFSICFGVIAILFLLARLLFFFSHTSFMRRIRSDNKDIREEMPSNFSSEQSLVMVPRGKEEQNKLTFTDLAKATNNFDKENILGCGGYGLVYKAELPNGFKVAIEKLSSEMCLIDRESSAEVDALSTAHHENLVPLWGYCIEGNSRFLIYSYMENGSLDDWLQNRDNDASSFFDWPRRLKIAQGASQGLSYIHNICKPHIVHRDIKSSNILLDIEFKSYVADFGLSRLILPNKTHVTTELIGTLGYIPPEYGQGWVATLRGDMYSFGVVLLSCSQAGVLFQSLRNQKNLSSGYRR >Et_3A_024860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24938567:24940450:1 gene:Et_3A_024860 transcript:Et_3A_024860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSTVQVREARMAAPERQSPWASGERRPHFFKVLMGDFKKRLKIPPNFCKHIPWEASRQAKSLREASMAATLEGPSGRTWLVVIRRSAEGTFFTSGWPKFVQDQALRELEFLVFRYDGNTRFTATVFDTSGCEREDLLLGGGGAEPRPRGKKRGRPRATKNKDAVGKEMVPYRAPSDRQLEAASTPELGKLYVRLSAIEPGLYKRPDPRWRRMPTLPVLTPTVSIALAAAGAGAVKAEVEDGDELALCVVIPAPPPARGPGTVATSQQADVGAAEKKSSRAAATKTRSIQDDLQAATEEDIPASVRRYKGYVSRRRPATGAERQRAMELAYAFRSSLPYCVMRMSTMHVYYSFMMRFPTGFSRQHLPRERTDVVLRDPGGKAWVVLYIPNTRDRLSRGWCAFARGNCLEEGDYCVFELVAAAEFRVHIFRVVDPPVPAVRLRTTT >Et_2A_018839.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8707745:8708091:-1 gene:Et_2A_018839 transcript:Et_2A_018839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLHPDASRSAGATGGAAFMEIRRAYETLSDPAERARYDRSSLGPLWRPDAGGGVVGTMRVRRWETDQCW >Et_7A_052147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5074467:5075247:1 gene:Et_7A_052147 transcript:Et_7A_052147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFFCRAWDRARGKSEVERICKKVFEDLADKNTNLLDVSTLHVATLMVYNSINKQLFGPHKDPPCLEVVNDKMEKYRAEAKKWITAEEFQELILTWVEKDLRLVLANKAALAILGAPLLAVTAKNAGRQVPRVKDAVDKVPTPLLATVFSVGLLLLQDIRIGRQRQ >Et_4A_032998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16799808:16814932:-1 gene:Et_4A_032998 transcript:Et_4A_032998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSITKPAEEERAAAVGDGEEWSDEAVVYVNGVRRVLPDGLAHLTLLQYLRDIGLRGTKLGCGEGGCGACTVMVSCYERTTKKSQHFAINACLAPLYSVEGMHIITVEGLGDRQRGLHPVQESLANAHGSQCGFCTPGFVMSMYALLRSSKQPLTEDQIEDSLAGNLCRCTGYRPIIDAFRVFAKTDNSVYTNSFSENTDGQAICPSTGKPCSCRNDADVNANESSLSLPVKRYSPCSYNEIDGNAYDERELIFPPELQLRKVTPLKLNGFNGIRWYRPLKLKQVLNLKSCYPDAKLVIGNSEVGVETKFKNAQYKVIISVTHVPELNVLEAKEDGIQIGSSVRLAQLQNFLKRVIVERESHETSSCLAIVRQLKWFAGTQIRNVASVGGNICTASPISDLNPLWIATGARFQIIDVRGNVRTTLAKDFFLGYRKVDIKPDEILLSVILPWTRPLEFVKEFKQAHRREDDIALVNAGMRVYLREAEGNQIISDVSIVYGGVAVVPLRAPKTESFLTGKKWGAGLLNDAFDLLKEDIPLSENAPGGMIEFRRSLTLSFFFKFFLSVTHEMNIKGLLKDGLHGTHLSAVQSYSRPVTVATQGYEMVRQGTSVGQPMVHMSAMLQVTGEAEYTDDTPTPPNTLHAALVLSKKAHARILSIDDSLAKSSPGFAGLFLSKDVPGANHTGPIIHDEEVFASEVVTCVGQIIGIVVADTHDNAKNAADKVHIEYSELPAILSIEEAVKVGSFHPNTKKCLVKGDVEKCFLSGACDRVISGEVRVGGQEHFYMEPQGTLVWPVDSGNEIHMVSSTQAPQKHQKYVANVLGLPLSKVVCKTKRIGGGFGGKETRSAIYAAAVAVPSYCLRRPVKLILDRDIDMVTSGQRHSFLGKYKVGFTNDGKILAVDLEIYNNGGNSLDLSLAVLERAMLHSDNVYDISNIRVSGQVCFTNFPSNTAFRGFGGPQGMLIVENWIHHMATELQRSPEEIKELNFHNDGVVLHYGQLLKNCRISSVWNELKASCNFMEARKAVSCFNANNRWRKRGIAMVPTKFGISFTAKFMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQVAASSFNIPLSSVFISETSTDKVPNASPTAASASSDLYGAAVLDACQQIKSRMEPIASRGTHNSFAELAQACYMERVDLSAHGFYATPDIGFDWTTGKGSPFHYFTYGAAFTEVEIDTLTGDFHTRTADVVMDLGFSINPAIDIGQIEGAFIQGLGWVAMEELKWGDNNHKWIRPGHLFTCGPGSYKIPSVNDIPLNFKVSLLKGVPNPKVIHSSKAVGEPPFFLGSAVLFAIKDAIFAARAEEGYSDWFPLDNPTTPERIRMACVDSITKKFADVYYRPKLSAPRKITQAILALQTVRNGALLQPILGIARAEHG >Et_4B_038895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5725270:5728463:-1 gene:Et_4B_038895 transcript:Et_4B_038895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMDSVDFSSPRGHAQNQQDAGELKDQNSTSKIPSHATERPSSLKREVQILEKRLNDQFVMRRALEKALGYKPCAVHSSNGSCIPKPTEELIKEIAVLELEVICLEQHLLTLYRKAFEQQVCTPNSACDMESNQQPARSFSGILSEASELDFSTPKKHQLFQSNRMVLARKSTPTTSTSETSTSQPLEKISIGRSHSSLLHRTICSARVSPSANNLARALKPCHTSPLSFVEEGKCMDPGIVSLADILGTRVADHVPQTPNKISEDMIRSIAAIYIRLRDVPSVQQAFFPSPCSSFSSVSGLSSKYTADVWSPRCRKESFIEAWQDNALGTGESKELGLQYDSVVEVSALCMGDQRSADVKDMLRKYMSLVELLETVDLSGMKNEEKLAFWINVHNSMMMHAHIEYGIPQSNSKRVLLTKVSYVISGQRVNAELIEYQVLCCRAHSSGQWLRLLLYPKWKSRDKDELQGFAVDRPEPLVHFALSSGSYSDPVVRLYAPTRLFQQLEAAKEEYVRANVGVRGRGQHHKLLLPKLLDSYARDAGLAAHEVAAMAESHLSEPLRAAVRRGQRGRGSVEWRPHNLAFRYLLAKELVGAPPALRAGP >Et_6A_046162.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:5671204:5671338:1 gene:Et_6A_046162 transcript:Et_6A_046162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSAITGLRQEIQELGKSFCSFSVKFVRRESNNVAHCVLKCLL >Et_3A_023606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:106348:108384:1 gene:Et_3A_023606 transcript:Et_3A_023606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVQRVLSASVEVEGRVGSAIGPGLLVLVGVHEADTDADVDFICRKVLNMRLFPNEKNGKAWDQSVMQRNFEVLLVSQFTLYGILKGNKPDFHVAMPPAKAKPFYAALVEKFQRSYSAETVKDGVFGAMMKVSLVNDGPVTMQVDSPSLQGAAQSSNGDDGSLRDGEARVPKEAC >Et_3B_027792.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:19484897:19484974:1 gene:Et_3B_027792 transcript:Et_3B_027792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEFVDCTTLLYNRKTRVSCKITK >Et_9A_063244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17227324:17231572:-1 gene:Et_9A_063244 transcript:Et_9A_063244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSSRLDTADVSPAAALCRERRDLLRAAAERRAHLAAAHAAYFRALPRVADALARFASSHHAATPPGSPVLTLPPSDPGGEPPKKRRSGSASTTPHTDSGHSHIHFCSDDASEEDSESPDACAGHGEISHPTPDVRPRAPGPDHGHGHPQERQVHQPLQHHQPAQYQPFHQQPPQHQPFHQQPVPAMPEMPWEYASHNPYPSFPNAAFQDGAFPRYYYMRASSTPADTVYQEPYGYGSYDTTMSHMGYSYGYSNPMYGVQMPPDGDRPDPAEDRAAREAAAAPPPMPVPETSPWDFFNPFDGYEQQLPPEYNNGRGYRSNGSSASSPNSSEVRAREGIPELEEESTEMESMRESVKARKAVESTASNRIDNVDVGAKVKESMERKDCEIESVGSASVIDSGEESVCSCDCDHADAKAAPAGDDQGNAKKVSSEDHSSMVVREDVQLHENIGTRDVADVVEEIKEQFNSVASCGEDVAKILEVGRMRYRSRNRVLRLVFSRMIGTFALLFSSISEPPVKNSEQSAINASKRSQNSSKRFDFASDIELNTLSATMDRLYVWEKRLHKEIMEEEKLRITYDREWKRLKELDATGAESYKIDATRATIRTLLTRINIAIRSAKDISRRIHILRDDELRPHLVTLIQGNWILSQKAYIETLNGWLIKWLPQEKEETADGIAPFSPGRLGAPAVFITANDWCQAMKRIPEGTVADTMEAFAVNVHILWERQDEEHQEKLKAEYLSRDFAKRLKSLQKEHGLQGHIEADKAVLPIADNGRAVDSRMVALDTLHKRLDEQRAKHEQTVKQIREASATDLKAGLAPIFEALESFTQETLRCYENVRIPTETSGA >Et_5A_040579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:171127:179187:-1 gene:Et_5A_040579 transcript:Et_5A_040579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKRLLHKALHHQGGAAAASDVPQMDAHIALHYGVPYTASLLAFDPVQRLLAVATLDGRIKLFGGDNIEGLLISPKSVPYKFLQFIQNQGLLVAISNENEIQVWNLEFRQLFFSSQWDVNITAFAIIEGTFLMYLGDENGLLSVLKYDVDDGKLQKMPYNVPIQSVAEADGISLLDPQPIVGILPQPDTFGTRVLIAFEKGLLVLWDVSEDCAICVRGFGDLHMKGQVTGALRDVGEDQLDNTTEESEEEREICSLCWASKGGSILAVGYITGDILLWDMTTRSSRQGKQSDVSSNVVKLQLASGNRRLPVIILHWSAGSALDIQKGGHLFVYGGDDMGSEEVLTVLSLESSAGLESVRCMSRMDLKLDGSFADMILIPDTGVPDKSRTSAIFILTNPGQLNFYDGGSLFSMHNTKRENALPEAQKFPVTVPTVDPNITVTNLCSLIGRKPPNISLKKFCGRQNATPFISGNMKWPLTGGVPSEMSLNEDHVVERIYIAGYQDGSVRIWDATFPVLMPMFVLDGKVADVNLDGANASVSSLAFSTVNMTLAVGTTSGLIRIYALREHTGGSSFHFVSESKQEVHVVHHERGFHCHIAFMASNSPVQSLVYSASGEVLAAGYQNGQVAMFDTSQLSIMFAGDCASGTNSPVVSLSISSVAVFTENADQSKKGSPQSEKLPREVLLSLTKDACVTLIDSTTDEASDQKQTQLSEDKSPSLGPTGKERNDLDKRQAHIVDKHPKSATQLSHNGGSDSLLLVCFEDVLLLYSLASLSQGSGKHLHKTKLAKPCCWTAVFKNMDGRTCGLILAYQTGMIELRSVPDLAMVAECSLMSLLRWSYKTGMDKSKSVSNGQIALVNGSEFAIISLMASENEFRIPESLPSLHDKVLAAAAEAAISFSNDQRRKNPAAGILGGIIKGIKGKAEEHAEMKGIISAQTPSELLESIFLKGSFVQPSAPIPDDPIELSIDDIDIDDEVPLAPASSSTFHMNKKTTVEEERAKLFEGSSDGDKPRMRTPQEILTKYKFGGDAAAAAAHAKDKLMQRQEKLERISQQTAELQNGAENFASLAQELAKTMENKKWWKL >Et_2A_016215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22015644:22018823:-1 gene:Et_2A_016215 transcript:Et_2A_016215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSTSPLRAVRLRRVPTGVRRSHMASSSSPSFVSVAPCLHALSFLQRPLASTFVGRSRLSSAAASSADPGEIDAVNTEGTEQSVGGSNGMMLLEKLKRYGAAGVLSYGLLNTVYYVTAFLLVWFYFAPAPGRMGYAAAVERFLKLMAMVWAGSQVTKILRAGGALAMAPFVDRGLRWFTVKFNFKSEGRAFVTIVGLCFAVAALLFFGLTILWA >Et_5B_043735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1533266:1536494:1 gene:Et_5B_043735 transcript:Et_5B_043735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSASSPARLRQLTVPAALLLLSSAALLVFLILPSLSPSSSTSAHLCACTPPATTHTTTTVTTTTTTASHPPVTTSPADVSWLKAQLAANSLQLAADGAAASHDAWHRLRKGINPRTREQQLFDIKRHHGISHYPAEEASNHTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLANASALTPTDQVLEIGCGTLRVGLHFIRFLEPGKFHCLERDELSLMAALRYELPAQGLLYKQPMIVRGEDMDFSRFGDTVMYDLIYASAVFLHIPDKLVWTGLVRLAGKLRPQRGRIFVSHNIKFCSRLGGDECTRRLAELGLEYVGKHTHDSLLFNHYEIWFEFRRPKVQSLVQPAINFCFYFCMGGPQSTAAPSVLPSSNHEPASLSAPVLNPVLALTAPLPARSSKPEETTSAAGAGLPGGAKLGLDPS >Et_4B_037390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19289102:19291027:-1 gene:Et_4B_037390 transcript:Et_4B_037390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEEANRAAVESCHRVLALLSQPQDPAQLRSIAIGTDEACTKFRKVVSLLSNGGGAGPSGASGSHPKAKVVSRRQNSGFFSQKGFLDSNTPVVVLNSAHPSPSSVQVYPRNGALDAQSVNPLGGPPKMVQPLSAHFQFGNVSSRYQFHNQQQKQKLQAEMFRRSNSGINLKFDSTTGTGTMSSARSFLSSLSMDGSVASLDGKSSSFHLIGGPAMSDPVNAQQAPRRRCTGRGEDGTGKCAVTGRCHCSKRSRKLRVKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDSSMLIVTYEGEHNHTRMPTQSAQA >Et_8B_060161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6623618:6626011:1 gene:Et_8B_060161 transcript:Et_8B_060161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHAPHLRLAVPPRLTAHPSFRFPSTPLPTPSKAPRGGGGPSSPYAAALLRLLALHALFLLGPAARALPSLAHALALPPLLALLSAAVLLVLHLGVKTQQPHHPFPALPALLRPALVIALSLLLRFVALRVLPSPGLLVLADSAGALLASALRRPSRRRVLAVSVAALSLVFISPSPSVLLALPFASGFLSSAEQSATARHATRSRRARAAAFALAAAFLLVPALVGLFFLGGRDTSDGDGTVPLNRLWWLLLNASVFGMALGRRQQHEGGSGRPSMDFAMTFLCTLVLELVYYPKMYLPGFLICGFLLWIASRELAPSGYVELGSADVSESVYEAVMGPVRHIMSERKSRKIAAFLLINTAYMFVEFASGFMSDSLGLISDACHMLFDCAALAIGLYASYIARLPANGMYNYGRGRFEVLSGYVNAVFLVLVGVLIVLESFERILEPREISTSSLLAVSIGGLVVNIIGLVFFHEEHHHAHGEGHSSRRELSSSETRSKKSRERHHIDHNMEGIFLHVLADTMGSVGVVISTLLIKYKGWLIADPICSVFISVMIVSSVLPLLRNSAEILLQRVPRSHEKDLAVALDDVMKIEGVLGVQNIHVWNLTNTDIVGTFHLHVSAEADKSSIRNRASHIFHEAGVHDLTIQIECVQR >Et_5A_041558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24443018:24444348:1 gene:Et_5A_041558 transcript:Et_5A_041558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMAGSILLRHAAPRLFAVTATSPSVAAARPLLAAGDAGFPAVMVRLMSTSSTAASEAKKEAAKVHGQKKEAAKGEGEKKEVVINSYWGIDQSNKLVREDGTEWKWTCFRPWETYTADTSIDLTKHHKPKTMLDKIAYWTVKSLRFPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEQSGGWIRALLEEAENERMHLMTFMEVAQPRWYERALVLSVQVVFFNAYFIGYIVSPKFAHRVVGYLEEEAIHSYTEYLRDLESGKIANVPAPAIAIDYWRLPANATLKDVVTVVRADEAHHRDVNHFASDIHYQGMQLKESPAPIGYH >Et_1A_007580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36072141:36075259:1 gene:Et_1A_007580 transcript:Et_1A_007580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATAAMVWFRKGLRVHDNPALDAARRGAGRLYPVFVLDPRYLRPDPAAASPGSARAGVARVRFLLESLSDLDARLRCMGSRLLLLRARDDVADAVCAALKDWNIGKLCFESDTEPYALARDKKVMDFAMASGIEVFTPVSHTLFDPAEIIQKNGGRPPLTYQSFVSIAGEPPEPAMEEYTELPPVGDTGEYELLPVPTVEELGYGDISQEEISPFRGGETEALRRMKESLEIKEWVAKFEKPKGDPSAFLKPATTVLSPYLKFGCLSSRYFYHCIQDVYRSVKNHTKPPVSLAGQLLWRDFFYTVSYGTPNFDRMKGNKICKQIPWSENEELLAAWRDGRTGYPWIDAIMIQLRKWGWMHHLARHSVACFLTRGDMFIHWEKGRDVFERLLIDSDWAINNGNWLWLSCSSFFYQYHRIYSPITFGKKYDPNGKYIRHFIPVLKDMPKEYIYEPWTAPLSAQKKANCIIGKDYPRPVVDHETASKDCRKRMGDAYASSRVDGNPIKGKPSNALRRKMSHDDTSNSSIAKLLKRSS >Et_2A_015682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16897117:16899062:-1 gene:Et_2A_015682 transcript:Et_2A_015682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQVPSFSTTFVFSITPTSSSRSGDGMAFVMSPFSEDFKVAMPGAFLGLYNPHGVNAKNVFLAIELDTVADQEMQDIDDNHVGIDVNSPVSVGSSSAGYRYDDTVDNMIVMNFEELRLSSGNPMQVWVDYDGASMDLNVTLALVPMFKPSSPLLSWRVDLSSLFEVKDSLNQVYMGFSAATGDGRVAAHHVLGWSFSLSGPAPRLNYSLLPLKIGRPIQDSNQKSLMKWLPETVSSIAVLAGLLSPPLLEEDWESQLGPRRFSHKVLRAATNGFSNTQLLGKGGFGSVYGGVLPASGLRVAVKRISSESTHGLAQFTAEIVILGRLRHRNLVRLIGYCRHKGELLLVYEQMPNGSLDRYLHGQTMTRTLAWSQRLRIVKGVASGLFYLHEDWEEVIVHRDVKASNVLLDADMNGRLSDFGLARLHDHGADAHTTHVASTRGYLAPELTRFGKATKATDVFAFGAFVLEVVCGRRPVGLNARGELLVLVDWVRQVWASSGCITDAMDPRLVEINDAEEEAELVLRLGLLCSHAARHQSGYFASILTYGLCPASTRVRPFSQGGLFNHATFSPDYLAITEVDQVLEMAPSVPTSVTGLSGG >Et_6A_046239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:10739473:10740456:1 gene:Et_6A_046239 transcript:Et_6A_046239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSEVAVDGVVFPPVSRPPGSSHSHFLAGAGVRGLEIGGNFIKFTAIGVYLEDMAVTALAKRWAGKTAEELAADVAFFRDVVTGEFEKFTRVTMIRLLTGEQYSEKVAENCMAHWKATGGYTDAEGEAAVKFKEAFKPETFPPGASVLFTCSPRGIFTIAFSEDSSVPEVDGVAVENKQLCEAVLIIGEHGVPPAAKLSIAARMSELLNGTSTTAGDALQADVAISS >Et_4A_034385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32171256:32172844:1 gene:Et_4A_034385 transcript:Et_4A_034385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAPPPTVAGASYAAMLTSVHSLASYSDNLADFLDRWNSVLLDVASIAATFAAHVPGTESDPKAAPEPNPSPEPGLESAPEPERSPAPEPELEAAPEPEHIPVPEPGLELAPTPAPNPERERKDGDPAAAAELGRICQKMSARDLRRFVTARLPDREWLRLVGPDALRRAPDPAALVLRAVGRYYIAAESRDAEAACVLLLELYVRAGCPRRPGSGQREREAQLQEEAREAALTWRSRLVRVSGRVGAAGTREARGLTLFMAAFGVPVEFPSQELYELLAAGDSLFCTMVLRCSKLFVKTMRGEEMYHPSQIDTAKVAKLSLLCSCLTANVVVEMLNKDMYLQAIRIILAFEFQNAFPLAPTLTHIMEKVEHTRKKESYELPSKEHDEEELALLNLISKCVEDHKLCPLEFSSVGITERITLLEERVGQPKQDSTGTKRKRTTEEDNL >Et_2A_015613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1602956:1604465:-1 gene:Et_2A_015613 transcript:Et_2A_015613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSAASAALVTLLVLLVVGASAARLPARGGAVRAPLPRGGAPATAVFALGSFWRSEAAFGCLPGVIRTSVGYAGGSKANPEYRNLADHAECVKVEYDPRLIHYKQLLDVFWASHDPREVFGQGPDVGNQYRSIIFTNGTIEARLAALTKEREQAKDRSSVITTQIQPLGVFYPAEPEHQKFELKRKPFLLQLMGNLPEEELIASTLAAKLNAYAAELCPTKTQKRISSKIDEVAKKGWPILREI >Et_9B_066129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4559641:4562118:1 gene:Et_9B_066129 transcript:Et_9B_066129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLAVAALLLLQLLAITPVLLSANIALPGCISKCGEVRIPYPFGVGAGCYREGFKLTCDETYDPPKLFLDNGGVEVLSISPEHGTLHIDNGITRLTGSSLYSKPWGIPLDMNIFTVSASLNKFVVMGCGFQFRVRLPDAEDMVVACASSCLHGHSAVATDGACSGVGCCETSMPGARNLYYFDLIPFDAENCLAIPALLFNATVVVVDKAWWDSEDHAIFLQKAALNSLDISRGLPGSEQPVKTKAVVNWKFSNLSCAEAQSSSDFGCLSDNSYCLDHGTNRSSGHSCNCRHGFEGNPYIQNGCQGLAVAIGVGSGACLVLLIFSAIILRRKLRARKAKKLRDLAFRKNRGLLLRQLVDKDIAEKMIFSLEELEKATNKFDEARKIGKGGHATVYKGILSDQRVVAIKKSRATIQCETDNFINEFILLHSQDKLSEILDPQIAMEEEEEARKVAEVAVTCLSSNGEDRPTMKQVEMRLETLESTTTNIENDQRTKEHIVSIPSVDGRNCNIDKNTSSRRFSMERDILLSMSCPR >Et_7A_051229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15839413:15841773:-1 gene:Et_7A_051229 transcript:Et_7A_051229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SHLNDLFEQAAARTQMPPPRTATPLVILSRFLSSPSPPPLPVLLRVHALAVTCGLSPRPDLAAKLVSAYSFAGRHGLAALAFSACPFPDTFLWNSLLRSHHCASEFASVLSAHRGMLASGARPSPFTGPLAASAAAELGALLVGASVHAYCVRLGLLTGDGCVAVASSLVYMYARCAVVDDAVKLFEEMPERDVVAWTAVVSGYAHLGHSNDALSLYDQMRTEGVKPNSATLRTVISACADLAALERGEQIHSYVKEMGLDSDVSISTALVDMYAKCGQLGISRGIFDSMLQRDVVSWNVMISGYGMHGNAKEALELFCEMESGSVEPNGVTFLSILSACCHAGLVDEGRKLLLKMRERSLEPNLKHYACMVDLLAKSGRLQEAEDMVLAMPIEPDGGIWGTLLSACKVHNNFEMGLRIAKKAIVSDPRNDGYYILMSNSYGNVGKWNDIENLRDMMKDHGVEKTVGWSAVDTCV >Et_2A_015535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14536077:14536519:-1 gene:Et_2A_015535 transcript:Et_2A_015535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNTSQQVKRSDPRVVLTETTQKNRNGLFTREPTNYIKHIATSEKERSPGRFDRNNTEITETGPEQTPVFGRQPSNYIKHIATSEKERSPGRFDRNNTEITETGPEQLQNCPV >Et_5A_040765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11990445:11993586:-1 gene:Et_5A_040765 transcript:Et_5A_040765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YVECTSAAIQALTSFKKLYPGHRRIEVDSCISKAANFIESFQRSDGSWYGSWAVCFTYGIWFGVKGLIAAGRTFQNSPAIRKACDFVLSKELPSGGWGESYLSCQDQVYTNLEGGRPHAVNTGWGMLALIDAGQGERDPAPLHRAAKILINFQLDDGEFPQQEIMGVFSKNCKISYSQYRNIFPIWALGEYRCRVLAADKMG >Et_5A_041181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19005582:19008696:1 gene:Et_5A_041181 transcript:Et_5A_041181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATFVPPTPTPRPRLAASFPASRHPPPIFAGASDTAPPEEEEDAGDIDEAAPRGGGGGKDRRRAVRIAWEKLVRWSRSWRRRNRSDVLETTRKVVVLGGGSFGTAMAAHVAAKKADLEVAMLLRDDLVCRSINRNHVNCKYLREHRLPENIVATTSATDALAGADFCFHAVPVQFSSSFLESISTHVDPKLPFISLSKGLELNTLLTMSKIIPKALGNPRQPFIVLSGPSFAVELMNKLPTAMVVASKDKKLASSVQQLLASPNLRISTSSDVTGVEIAGALKNVLAIAAGIVEGMHLGSNCMAALVAQGCSEIRWLATKMGAKPTTLAGLSGSGDIMLTCFVNLSRNKTVGLRLGTGEKLDDIMNSMNQVAEGVSTAGAVIALAQKYNVKMPVLTAVARIIDNELTPKRAVMELMNLPQVNRSTSHLN >Et_4B_037339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18676293:18678931:1 gene:Et_4B_037339 transcript:Et_4B_037339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADIRLSIAHQTRFALRLAAALSSPATPSSSTTNSAFSPLSLHVALSLLVAGAGGATRDQLAATLGGDGPGATEGLHALAEQVVQLVLADGSGAGGPRVAFADGVFVDASLKLNPAFEGVAVSKYKAEAQSVDFQKKAAEAAGQVNSWVEKVTSGLIKELLPPGSVDHSTRLVLGNALYFKGAWTEKFDASQTKDGEFHLLDGSSVQAPFMSSTKKQYLASYDNLKVLKLPYQQGGDKRQFSMYILLPEAQDGLWSLAEKLSSELEFLEKHTPMQKASVGRFKLPKFKISFGFEGSELLKGLGLQLPFSSEADLSQMVDSPVGQNFYVSSIFHKSFVEVNEEGTEAAAASAAVVALRSLPIGPMDFVADHPFLFVIREDMTGVVLFVGHVVNPLLAAYWNFQLKFEENHMPTRKIEVGHFKVPKVSVAFEASELLKTLGLQLQFSNKADLS >Et_9A_061783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16328160:16330253:-1 gene:Et_9A_061783 transcript:Et_9A_061783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKLALCASYRGAWKKRGSTKDSVGMYAVQCCDCYKWRTVPTKEEFETIRENFTEDPWSCSKRPNCSCKEPADIEYDNSRVWVIDKPNIPKPPQDTERLVIMRRDFTKMDTYYVMPNGKRARCDGDVEKFLEAHPEYKDRISVSSFNFATPKIVEETVSQNSAWRAAKAKKQAKADAPEQLPA >Et_2A_014692.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4030859:4031380:-1 gene:Et_2A_014692 transcript:Et_2A_014692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TSSSGSTSGSRSSCRGVVRTCALIPNASPSNLRLNPPSPSCHPGDPFKLSAPAGANLLPAPRSRNPAFPGSITRRPSPWAMDWPKLQRQARLAAADGGGMTGPGFQLRGDGRRRSNSDRTAPRLSISIPAFLPRPAKETCTPHVRPTRFCYQPRINPCPKTKAKTQSPRESLA >Et_4A_034032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29314829:29321589:1 gene:Et_4A_034032 transcript:Et_4A_034032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRLFLRHFTTNCLKEQRIRSSNLNYTEDNARVKWSQRACLKYHKVLQTQFGVHSVSGASSTGENWTARPNLPEAVAGEATSIRAQGDLGLDKASDLARLRMDGDSADRLAAENAPVPERVQVGNSPEYVTERKLGQGGFGQVYVGRRVSGGSSRMGPDAYEVALKLEHKSSKACQYGPPHEWHVYQTLKGCYGIPSVHYKGHQGDFYILVMDMLGPSLWDVWNSAGQGMSSDMVACIAVEAISILEKLHSKGYVHGDVKPENFLLGPPESVDEKKLYLIDLGLATKWKEGTSNQHVGYDQKPDNFRGTIRYASVHAHLGRNCSRRDDLESLAYTLIFLLKGRLPWQGYQGDNKSFLVCKNKMATSPTMLCYLCPAPFKHFVEMVTNMKYDEEPNYSKLISLFDGLIEGPASRPIRIDGALKVGQKRGRMVVNLEDDEQPKKKVRSGSPASQWISVYCARKPMKQSCVASSENCWALIMDAGTGFCSQVYELSQVFLHKDWIMEQWEKNYYITAIAGANNGSSLIVMSKGVSYSQQSYKVSESFPYKWINKKWKEGFHVTTMATAGNRWGVVMSKNAGYTKQVVELDFLYPSEGIHHRWGAGYRITSTAATPDQAAFVLSMTKKKHFEETQETLRTSTFPSNHVKEKWIKNLYLANISYGRTVC >Et_9A_061037.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:1241257:1241388:1 gene:Et_9A_061037 transcript:Et_9A_061037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGAVEGRVRGYAPSELAPELGAHRRGRHDVDKNPRPAPPR >Et_3A_022977.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:11617318:11617644:-1 gene:Et_3A_022977 transcript:Et_3A_022977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSCCDGAAARARRRVKLTRRPSSSSLNARKQRNAAAGSKASSRAIRRKMESLRRLVAPAPVCGSAGAGEERLDELLLHAAGYIVRLQMQVRVMQVMVHALNNPED >Et_5B_045540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:414774:415777:1 gene:Et_5B_045540 transcript:Et_5B_045540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELRAATKNFGSTSYLGEGGFGCVYKGWMDSATLAPTKPGVGRMVAIKKLKKESFQGHKEWLAEVTYLGQLHHANLVGYCSDSDSNKLLVYEYMLRGSLENHLFRRATQPLSWHTRVAVDVSSSATSSLSNVLLDAVRLPGEAVGLWPRQERADWGQEPRLDARRGHPGLRGARVHRHAPHLSVKSDIYSFGVVLLELLTGLDEGGSSAVTLVDWARPQLGERRKVIRIMDTRLGGQYPKKQAPEVAALALQCLQNRPAMADGILPQLEQLMQNKSSSSSAAPPVHRSGRHSKG >Et_4A_032968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16110330:16112269:-1 gene:Et_4A_032968 transcript:Et_4A_032968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKVKIVSVHSAVLLGGHDRVVLGLGRDQSRGRRTSSCARQRRSFTVTMALKEEPEGSHRGFAGGPSWDPGLEIEVPFEQRPVNEYSALKDSILYSWAELSPGSFFLRLGSLWLVTFTVLAAPIAAASFNPGKDPLKFVLAAGIGTLLLVSLVVLRIYLGWSYVGDRLLSAVVPYEETGWYDGQMWVKPPEVLARDRLLGSYKVKPVINLLKQTLVGTGALLVGAVFLFAFASPVEDFIHSLNQPPSAESKPSLRREELLRLPVEVIQDDDLAAAAAEAADGRPVYCRDRYYRALAGGQYCKWDDLLN >Et_9A_061480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12467445:12471638:1 gene:Et_9A_061480 transcript:Et_9A_061480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRDAKSSRDRGTVNNRVRPVAVTRWWDSGPAVDDAAKYKKDVEEYYDKIQLLNKKLNADDKFSYVETRPRFPRPPCFYPWYKIYRMKNTNPSSLRSKRLMKPGASEYEATAMLQFTSLKFSGGFSHENHMSVYGFLAVRDGIDYLRNYIFHQTREHAHDISPDSCSMPLISPIRGIYAEYGVLVEYSIRAKNNATKYAVNDYEIIDGCFEFRNCIGTGNEKHKARIYGPYGSMDIGFVLLMHAVEATIDVRIVRAAKGYDLKTVTAFTSGYGDGIVLYDTTGCPHMSSDDNIPLLVVASAVVAVELDGELKLEFEFSNKRNWKGSVSKRELSFISKKNRCSKKAITVGGVFKFEANVNWSTFEELV >Et_6B_048288.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:37398:37502:1 gene:Et_6B_048288 transcript:Et_6B_048288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAIDNPRLLLEHFQVMCPPRRRCRDHRRHAL >Et_5A_041382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2199694:2202379:-1 gene:Et_5A_041382 transcript:Et_5A_041382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADFDPTIATSTCSHCQREIPSSNIALHSVHCARNLQKCDHCGDMVPRKLMDEHYGENHAPVNCSLCKDAIERELWDLHTGIQCPQRMLACQYCEYELPAVDLFEHQDVCGNRTEYCQECRKYVRLREWIGHEIQFHRNSSADAEFSSDGATLEKEEHATAPGQPHSHIVYSISEVSSVHDSDTNETVKSFRS >Et_3A_026555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9630438:9630892:-1 gene:Et_3A_026555 transcript:Et_3A_026555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAFHQFIDCGGDGCLTPIETWPLHNVLRGGARTRLCSSCLRFSPISGRKNPPPPPPLQGGWLSL >Et_7B_054477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21696535:21699590:1 gene:Et_7B_054477 transcript:Et_7B_054477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVEESSSKRRERLLALRSAANASTASTPTPAPAPGLLPDPDLAGDQPVPRASQPHRFDYYTNPAAAFSSSYSGGGSSNPTYSHKRKSPPAFYAPRPAPPPPQPYAEPGNFGNFCPPQYNHMAPLPIHHPSLMRPCALGSGPWQSPMQFQTAMPGHQVIAPGGPPRWGPHSPSFRFRHTNSSQGGILMNYAPRGSSYSYYGRGRCQNCFRSTGSRGRPRSGRAGLWVRSGCQDQMINFKCMVDDPWMDLQPVVGNILIRKDASTGPGNYGGNYPPPHQHHMAPSPTQSPSLMPQDAPGSSPWRGPMHFQDPMSGYQGNPPGTPPWDPHYGSPGRGSYPNSPSFGFRHPNPGRGGSTMNYRPRGSPYASYGRGRGQNYNNSNPGSWGRGGGRGGVGFQNHSGEDRRSYFNKSMVDDPWQDLQPIVGNILIRRGASKSWLPESLREKKELPTQGQIKPSSSGLSLAEYLDLSFNEASNET >Et_1A_008806.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14011009:14011998:1 gene:Et_1A_008806 transcript:Et_1A_008806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTAPTLQGPAGVDDDEEVLHDHGIVRVYKSGRVVRPLAAPPVPAGVDAATGVESKDVHLGAYSVRLYLPPAATAAAAGAKLPVIVYVHGGGFVAESAASPGCHRFLNTLTAACPALGVSVEYRLAPEHPLPAAYDDSLAALKWALSAAADADPWIAAHGDLGRVFVAGDSAGANICHYLAVHPDVVAAARRMPLKGAVLIHPWFWGSEAVGGEPRHPAARAMGARLWLFACPESTGMDDPRFNPMAPGAPGLGTMACERVLVCAAEHDFLRWRARAYAEAVAAARGDDVVELLETEGEGHVFYVFKPDCDKAKEMIDRVVAFVNAP >Et_1B_011627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24352614:24353322:-1 gene:Et_1B_011627 transcript:Et_1B_011627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NEARAEPSQLPSVSAAADEPRNRTTTSHARSERKREREKEREMAGRLTAAGARILGGGGGAAARAAGSALRQRAGMGLPVGRHIVPDKPLPTNDELVWDNGTPFPEPCIDRLAPHIGKYEALAWLCGGLSFFAALGVAAAVNDKASKIPYVSPLSVCYCS >Et_7B_053509.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3872197:3872745:1 gene:Et_7B_053509 transcript:Et_7B_053509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYATELEVCNYEGHWKLAGTFLWHSLTSTSIAIGSTMSMPSALALMVVQRQSAASRSATPCSSGQHFKAGLAPTTACTSPLSASTHASSFSVLIGHFPDGGDGDGVYGGVGTGVDGGGGHGTGVGFGGGGGGGFGVGQVGQAAAGASRAERTTATSRTGAMWWLLATTAMVVNLFAECRRA >Et_5A_041622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25043380:25046593:-1 gene:Et_5A_041622 transcript:Et_5A_041622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRIDGATLLLQRWKKNFGDELALTVHRAKPSTKELLEIRAPLLPRSRAASRHGPLMPLSIGSLPVVVGSSADAAAFFFLKTHDRPRTAAGRHVDPLQPRQHLVARRTYGACWRQAELFGARQITAREHVRDEEVRAMLRDVREAAGRPVTDGRRRRQSHATEGHVDLGGPRHPRRHARVRKRLGHRRRSGRTAAPQWSMCPVVALGLRMTLAWWLPDGVAPEELSMEEKLRLTMPLHAMAEPRLPAHLYERLVCEQRT >Et_10A_001214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22219272:22221618:1 gene:Et_10A_001214 transcript:Et_10A_001214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSGSAATMCVLLPAAASLLIRPPLQLQPSSQSHVLLLHHGRPSSDVRCRRRLMTARGERPDQDDEDDDEQHVGFDAAVALFNRGDFHACHDVVEELWYGAEDPARTLLHGILQCAVGFHHLFNQNHRGAMMELGEGICKLRKLRLDDANHPFSRFRDEVAAVLQFLYRTQKELAACTDEICLTMDGSPTSYQLLGNFAAGQQLYRLEADADGASNIIFSASASSQSLPLMVKLPALQATEQHLTALQCVDKGSISPGRNISRYDQETNVIAMVLLWARHH >Et_9A_062661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2942563:2944803:-1 gene:Et_9A_062661 transcript:Et_9A_062661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAASAAITIVLGDDLLREVFVRLSSPDDLIRAAAACKPFLRAARSPPFLRLFRRLHPSCCPHLLGCLLVRPGLRHIAPQLSTSSASSSTAGAVLGGDFAFSFLPAGGWSGFASWELLDCRNGRVLLKNRLSRELAVADPLSRDCITLPAPPTERAVGYGLVADDGDSSAFLVVCISRDTASHELRALVVSSSELSWADSAGVSCQPNFAGVRPMQANRSLYWKLEGGECMVAFSTATMEFALLDIPPSLHDLSFDVIEKGEEDCNVLHLLTMTGFCIEVWAGTGDGEGGMAWRRVAKSVRFHKLVTEVFKPSRHSYEKGLEVVGVAAGVVFVRQWNNLFSIDLETMKLKVLSKKDCPSTLSYPYTIAWPPSFLNPAGQGPGSGMLCSLLVGMLPHRASVHLY >Et_7A_052000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3516030:3518480:-1 gene:Et_7A_052000 transcript:Et_7A_052000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSPAAARAGAGAGAGGGKDDDLADLVRRLVDVLARYADRLPFDLDRQKLRSLTTLAAIAVTLLFAWKMLRAPQEQPRRPRRRTAPSSSNTSSRSWPGPDVASTDACTSSADSRAHEAINQLFQPANLTLEQLVRHKLSEGRRVTCRLLGVILEETTPEELQNHAMVRPSVVEVLLEIAKFCDIYLMERILNDESGEKVLSALSEAGLFSGGGLIKDKVLFCSTENGRTSFVRQLEPDWHIDTSPEIVHQLARFIKYQLHISPQRPERIASNVFSSTSLEQYFGGLDQR >Et_5B_044400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23786789:23806838:-1 gene:Et_5B_044400 transcript:Et_5B_044400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVRHRRRPERRRGERSRGRRGRLVRRSGVVKSSGGGFVVGRSDLHDLDVPEHPAGVAVRDVVGDGVAPRREPGVGAEEVAVARAEADGAAAVVVIRRGVGHPLDGGVEAVGQRLEHLAAGDVAEEEGLVGGGEVAAAEAEHAGGVRDGLHQPPRVRGRRVPVLVHPRLVLVRVQILVVVSSHARKAPSIGLAGGRAPNQGCSKTGHSEARGNFERWRMRCPRTIILLLALFTANLNALEDGGQVHIVYLGHLPSPDASESEGFYAVEAAHHNLINQVLDDDSSAWERIVRSYKRSFNGFAARLSDHEAKRLSSMEGIVSIFPSRTHELLTTRSWDFLGFPQTPQEALPLEGDVIVGMLDSGVWPDSPSFSDEGFGPPPSRWKGACHNFTCNNKIIGARAYKLGSGGGAGVSPLDEGGHGSHTASTVACRAVGNVSFNGLAAGTARGAVPGARLAVYKVCWRKHCQEEDILAAFDDAIADGVDVISFTIGGKLPAPYFEDAAAIGSFHAMRRGVLTSAGAGNAGTIGGRICNVAPWMLFVAASSIDRRLTDKIALGNGDIIVGTSINTFPVVQNATLVFPIRAIQRTWPEVRTKGRSSSNTLPLDSAGPFFAGAAGAVMAGNGLDVANAVPLPAIQMTQGQLDQITGYVNSTSDPVGTIDRTEAAVNPQAPVAASFSSPGPNVITPGILKPDLSAPGVNIIASWSPMSRAVGAPEDKRSVLYNIESGTSMACPHATGAAAYVKSFHRDWSPAMVMSALITTATPMNTSGNAGYSELKYGAGQLNPSKARDPGLVYDASEGDYVALLRAQGYHATQLALVTGSNATACSGSGGGDLNYPTMAAHVSSGQNFSLAFARTVTNVGAPGAVYNVEIIGASIMEVTVAPERLEFSAQNRTAKYTMTVSGVAPAAADKVVSMAIVWSDGHHVVRSPLPERLSSYRQWRRRTGQLIICTAAPARSRPWLVTVFCGSLSADMSTSFTWHLPVLDPSESEGLSGVEAAHHDLLDQAWRASCQCSLAGPMSFSRQGHGDFLGFPQTPQEALPLEGDVIVGMLDSGVWPDSPSFSDEGFGPPPSRWKGACHNFTCNNLILIIINIDHQPNYTSAVRSSAPARDDEGHGSHTASTVGNVSFGGTARGAVPSARLAVYKVCWGGGGCDEADILAAFDDAIADVISVSLGSSRPRPYFEDAQAIGSFHAMRRGVFTSASAGNSGLSVAPWMLSVAASSIDRQFVDKIVLGNGNTVAVGETLLVILTDDLAQGSYKGKIVLCPLHSGFAGKHGYGPLLAGAAGTVIVGGALVVTQAGPVRRNPRLRQYHRVSTNPVGTIDSAETAANPQAPVAASFSSPGPNLITPAILKPDLSAPGIDIIAAWSPLSPPSGDPNDKRREGHVQHRVRHVHGVPAYVKSFHRDWSPAMVMSALVTTGKKTSQLPFVYENFCY >Et_1A_006455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22625550:22625748:-1 gene:Et_1A_006455 transcript:Et_1A_006455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSYISSIVLGAVAPQHAAGHPGGIPDNLKKGHLEYFFWMMATSLLNLVQFVYFSMRHKQMN >Et_6A_046582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17781003:17781773:1 gene:Et_6A_046582 transcript:Et_6A_046582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRCKPFLAAFDHIDDAIEYAAAGVVPREEFKRARNRIVETLCGATDDAVAEGICRLLDDAMAESMAMFYKSPAKPFSGSGDLKLWSLVTLRTAVHAQKKKNLKLLASGELVAAVGELARGHASERHHARVEDGRPGGARHG >Et_10A_000963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19601709:19605353:-1 gene:Et_10A_000963 transcript:Et_10A_000963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVTTSQPWVEKYRPRQVKDVAHQEEVIRVLTNTLQTADLPHMLFYGPPGTGKTTTALAIAHQLYGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGTAKKAGYPCPPYKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEEVMSNRILHICNEEGLNLDGQALSTLSSISQGDLRRAITYLQSAARLYGSSLSSKDLISVSGVIPEDVVKSLLAACRSGEFDVANKEVSNIIAEGYPVSQLISQFLDVIVIMDDIPDEQKARICRKLGETDKCLVDGADEYLQLLDVASETIRALFNMPQGLVF >Et_10A_000342.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:3338163:3338258:-1 gene:Et_10A_000342 transcript:Et_10A_000342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSQRLQRLREEATIWTMAGAKALGSLGSE >Et_4B_036701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10866326:10868639:1 gene:Et_4B_036701 transcript:Et_4B_036701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HHGNKLNWDQNISLCSWHGVTCSPDRLSVSALRVPGAGLIGTIPPNTLGRLISLEVLSLRSNRLSGSLPSDIASLPSLSSIFLQHNELSGDLPSFFSPSLNTLDLSHNSFSGQIPTGFQNLTRLSILNLAENSLSGPIPDLKLPSLRQLNLSNNELNGSIPPFLQIFSNSSFLGNPGLCGPPLAECSFLPSPTPSQVPSLPSSPPTLPHRGKTEGNGFIIAAVAVGFVIFLLAAVVFTRCFSKRKEKKDGVDYNGNGTDGVRTEKRKDDVSSGVQMAQKNKLVFLDGCSYNFDLEDLLRASAEVLGKGSYGTAYKAILEDGTIVVVKRLKDVVAGKREFEQQMELIGRVGKHANLVPLRAYYYSKDEKLVVYEYSSTGSFSALLHGIKGIAEKTPLDWNTRMKIILGTARGIAHIHAEGGSKLSHGNIKSTNILLDQDHNPYVSDYGLSALMSLPIHPSRIVVGYRAPETFESRKFTHKSDVYSFGVLLMEMLTGKAPLQSQGHDDCVDLPRWVHSVVREEWTAEVFDVQLMKYPNIEDELVQMLHIAMACTSWSPDRRPTMVDVIRMMEELRQSASESHPSSNNNPNLPSV >Et_1B_011999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2810466:2812998:1 gene:Et_1B_011999 transcript:Et_1B_011999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGIARAVSFGGRATTGWCSYRRVTVALCLGNLVAALLVLRSLTSHASFAPAAPNLGEVVQYTDEQIRMVEESIRIRRESEPVELVQAVKKLRKFLAREEKRRKELPLVLKQKVSYDIVGRLRDLGDNSSVSEQREAVDSWRVETLKDIKLASTHNQSSSGLSGEEARMLKQALEFNWHMLVEDIGLFIPEEVMHIEHDDKPENEPEEEEIIAGPPLSPQCNAELHTDYGGPAVRWGLTHHKESAADCCQACLDQAKNAKPGEMKCNIWVYCPSEFGCYSPDKYEHKHQECWLKHDDHPKLNFKDKYSESYRNSHPTAPVVVPWMSGVVSA >Et_5B_043046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17096335:17097076:-1 gene:Et_5B_043046 transcript:Et_5B_043046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HGVRVADIEVARFVVVTLDFEIDDFLRLVVARKMSRPICVSGRRLEDALAMKSRSLALLLFPLNTAIGFNWGLAFCFRERTGWPAASRRMRWPRKLKRGTSMRSTTCPPPSVTVWPPLNFMVIVSGRTRGSQ >Et_4A_035907.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8363269:8364114:1 gene:Et_4A_035907 transcript:Et_4A_035907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPRRDIPSSVLVNIRAGGDTPPNATTAWSKTSTGLPISATFHAARPPAFSFFSVYFPGIPRPGKEPVDLLLKPTFVSEDADLVVLRVPRDMRARMENLYSDYFVYRVNPERPKLDLLPNPFPAALEDGEIAVLSCGDEDYVVAALQIMPYSDDSKPTFKLRLCRSTTNGEPGIWASQELLLDEPMRDKVCLIPDTSEMKLYHRTTKVITLGDDHGTIGWVDLWRGIIFCDVLSDRPKLRGLPLPLPAEGSLSYFLNYWPSYFRDITVNQRKDTMRIFSA >Et_4B_037414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19643055:19647904:1 gene:Et_4B_037414 transcript:Et_4B_037414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAATASCIAPSVPSRSRRGLPAPRASAGGGFTRRSQRLRCEFFAGGGNGALTGEDDPRFADRQKALDAAMNDINNSFGKGSVTRLGSAGGAFVETFPSGCLTLDFALGGGLPKGRVVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLMFLNQIRYKAKGDEDVGVKVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCVLDCAELMEVVAKKGSWYSYKDIRLGQGREKALQYLRESPTISEEIEKAVRAMIPEGSRHMSLLAFGQASSTEEEQAYDEE >Et_8A_057807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7522905:7524628:1 gene:Et_8A_057807 transcript:Et_8A_057807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVKQQGPARGFDCRHNSGICENPMNRRARGDDLRLWHIFRRGLRELEIHPSVSFGDSAALSLFIGPEEAQDRDCGNSADGRAARGGGGTPARVEQAPGRGAASDGVPGVVVHPARDGHGALGGAVHGGVGAARPGDAGRVRDDGPGCASEAAAAPGRARREALDKVEDGAGRRAEQESVARHIDEAVKAGWGLTGHQPPPASRLAI >Et_3B_031612.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6026034:6026360:1 gene:Et_3B_031612 transcript:Et_3B_031612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNKEVGGGAPRLHPVVACFDAGLLGLFALSTAIALAASVSPAPVLDTDAYFLALTRLFFAGANQVAASVWAAGDGRRQDVGKKLVYASFVVPFVAAVAMSMASLLQ >Et_4B_039346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10973061:10975073:-1 gene:Et_4B_039346 transcript:Et_4B_039346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSVMAATAPLLLALLLLVVSRCSAAAAPRHGGGKEWWEEGEGEWRPEEEEGKGGKGMFVLDRLEKVVESEGGQVRVVRGQPWPPASYREGLMHIGFIVMEPKTLFVPQYLDSGLTLFVQRGGQVKVGWIYKDELVEKKLKMGDVVHIDAGSTFYMVNTGKGQRLHLICSIDASEGLGFGPPYQAFFLGGAGHPKSVLAGFEPKTLAVAFNATYDELASVLLAQTRGPIVYYAAEPGGGEEEERRQQRDHHNTPGRGARCRQAGAWRPGGRGEEEDDDECGNDQPAWSWRKLLNRFVGLGEGSSAAPANKKDKKKKGSAPEPYNLYDHEPGFRNTYGWTVAVDRHDYEPLKHSDIGVYLVNLTASQQADSISSVLCVACVQGSMLAPHVNPRATEYGVVLGGEGVIQVVFPNGSLAMSAAVRAGDVFWIPRYFPFCQVASRAGPFEFFGFTTSARRNRPQFLVGATSVLRTMLGPELAAGFGAREEDFRELMNAQKESLILPSFPGTRKKERHGKEREEEEHGKGRREREQVAKE >Et_1B_010814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14348101:14352044:-1 gene:Et_1B_010814 transcript:Et_1B_010814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEPMRVLVTGAAGQIGYALVPMIARGIMLGADQPVILHMLDIPPAAESLNGVKMELVDAAFPLLKGVVATTDVVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKAQASALEAHAAPNCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISERLSVQVSDVKNVIIWGNHSSTQYPDVNHATVKTSGGEKPVRELVADDEWLNGEFIKTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPEGTFVSMGVYSDGSYGVPAGLIYSFPVTCSGGEWKIVQGLPIDEFSRKKMDDTAQELSEEKTLAYSCLE >Et_6A_047930.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:24202620:24203000:1 gene:Et_6A_047930 transcript:Et_6A_047930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEGIATEQRPESTTEQVVTEAMSSQPAGVSKAKKRTKTVRVKQEYIDLLLADPDTLKPYRPMSQELLDQADPGLREIMARVTAKHDEIRNTHADFLEQYRLKGYAEEEIEVRDDDEEDGAAGN >Et_3A_023156.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32607775:32608446:-1 gene:Et_3A_023156 transcript:Et_3A_023156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTPLGISETSSNLIQRHGRPLWSNFPLTEKPPWSRLVMGDQLLSGLTCGTVTSHLHPTTQPFSHILCAPTFRLPRRLNMVSIWPCATGSPLLLSLSLMLYLFACPLSPWLLICQTLAPCDLLMDLSPLAAPNSSASPLHLWIPLPLPSGRTLPHHNVKYFFGFCTRIAYALRLSSTSTDRMTQMRVLSAPYLKPPFTCLCNAPRQISSGAPLAYCEVATIK >Et_5B_045431.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22094994:22095578:-1 gene:Et_5B_045431 transcript:Et_5B_045431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATVEWAMSELLRNPDALAKAMDRVVGRDRMVTEADIPPQACRMWTPSSRRPCAFTRWRRSWCRGCCARPPPSPDTTCPAPRHVSVRQRLGPLGHRPRPGGVAAPAEFRPERLVGGSGVDVKGRDLGLLPFGSGRRMCPGVALGLRMVRLTLASLLPGELSMEEKSGLTMPRLVPLQAVAELRLPAHLYAEP >Et_1A_007819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38532221:38534104:-1 gene:Et_1A_007819 transcript:Et_1A_007819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLWRSPWRLLKLTSSMTMLLCNNNSPGILPESELCDKLKLNKLVRLPRDDEMLPSRPMEGSEISVTRPSVSQVMPSHMQQFVSFCHKVSRPEPCESPSRNLRREIFSSCVHELVGEAKQSNSKRVTPSNGMGGSLVLLPLLHVQGSGCMLFSVIPFHLHHFVSSLLEVLEITVAFAFEGMLSRHDISHSAFAKSKIPLR >Et_4B_039676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26263179:26267669:-1 gene:Et_4B_039676 transcript:Et_4B_039676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNAALEASAAAARPAVSATAATAAAVDHYARLLQLCQTAANPSAGRAIHAHAVKAGLLLSAYLCNNLLSYYAGAGTGARGASFKEARRLFNEIPAVRRNAFTWNTLLSIYAKSGRLGDAREVFAEMPDRDAVSWTVMVVGFNRAGRFRDAVSAFLDMVAEGLVPTEFTLTNVLSSCAATEAVRVGRKVHSFAVKLGLGGFVSVANSVLNMYGKCGDAETARVVFERMRVRSVSSWNAMVSVYAHQGRMDLAASMFENMPERSIVSWNAMVSGYNQNGLDYMALKFFSQMPSDSSIVPDEFTVTSVLSACANLRMLKMGKQVHSYIMRTGKPYNGQVINALISMYAKCGNVENARRIMDQAVVTDLNVISFTALLEGYVKIGDMKRAREIFDVMSNRDVVAWTATIVGYEQNGQNDEAMDLFRSMIKGGPEPNSYTLAAVLSVCASLACLDYGKQIHCKAIRSLQEQSVSVSNAIITMYARSGSLPWARRVFHRISWREETVTWTSMIMALAQHGLGEEAVGLFEEMLHIGVKPDRITYVGVFSACTHAGFVEKGKRYYEQMQKEHGIVPEMSHYACMVDLLARAGLLTEAQEFIQRMPAKPDAIVWGSLLSACRVHKSADLAELAAEKLLSIDPDNSGAYSALANVYSACGRWNDAARIWKLRKDKAVKKETGFSWTHIHNKVHVFGADDVLHPQRDAIYKKAAEMWEEIKKAGFVPDLNSVLHDVDDELKEEMLSRHSEKLTIAFGLISTPEKTTLRIIKNLRVCNDCHTAIKFISKVVDREIIVRDATRYSSFLTKGKGHLPVQGHFIRNISIP >Et_4A_035944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9087176:9088917:1 gene:Et_4A_035944 transcript:Et_4A_035944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSKGEARGRGRRRRESETVVCVGMREPEAAAPSIYSRRARLRGLASATPPWTRGRRRFGGVRARRELIRSGCGAADSARGPRSRQHPGRAVRSALDGRMRLQQRAPLPGGWGSRRIHQWPAGVLCCDSSGEVTLTDAFG >Et_3B_027744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16904447:16905673:-1 gene:Et_3B_027744 transcript:Et_3B_027744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDADPETGIPVRDWSQLPPDLSRYIVGSSHGWLVTADDKSILHLLNPVTGAQIALPPPDFMIGVRPCFRRGVHDRYCIFDLNVKRRRVSSRNFPQFLGLKKTRLYLYEKAVLSSDPSSGDCVGDTKWTWLDAMERCDRYHDFFYNDDNSLFDAIRANGEVHTIDLRGPSPEVKVIYRDAIDKVAVDEIDLTCRKATRMKNLRDHVLFIGFNSTVMLRARDFPNLTPNCAYMTNDNTELIYHNPGSGRKLACVNLEDGSFNELPVSDSQLDWPPPIWFIPHVLSTGKHQ >Et_2B_022738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:483807:484574:-1 gene:Et_2B_022738 transcript:Et_2B_022738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRRLFMVHLVPRIRIQKIPIAWGGSSSMTKWHLSHPWLVACGDMLLMVGCRNSFPGTGDAFEAYRLDMSSEPAKWVKVEKLDNWAIFISNDERSQPLSCMNPERKFNRSKEE >Et_3B_028767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1943617:1943982:1 gene:Et_3B_028767 transcript:Et_3B_028767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLGAITLSLLLVLLTASGCAGRQFIVVSGRDGWTSRSRTPSVMFRYDKHVGAVLSVNKSHYDACNTTDPLLLLDLDMIGV >Et_3A_026865.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24355876:24357435:-1 gene:Et_3A_026865 transcript:Et_3A_026865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARKPRVVIVGAGVAGLAAAHRLCGAGGDRFEVTVVEAGARAGGRVLTSEFAGHRVEMGATWVQGIDGSPVYALARDAGGEEGAASCAAGLPYERMDGFPDRVLTVAEGGEVVDADRVAGPIEELYRGMMEAARAGEARGGGGGVEEYLRRGLRAYQAARHGGGGGGGGGKDKELEEALLAMHINRERTDTSADDLGDLDLAAEAEYRDFPGDHVTIPGGYSRVVDHLAAALPPGTVRLGLRLVRLDWGRAPVRLHFADGAAPTLAADHVIVTVSLGVLKASLGKDNHASAAGGGNGIAFDPPLPRFKRDAVACLGFGVVNKLFLEVEPVEAPEQGVGGRGGDGEQPLDFPFLHMAFRGTVSKIPWWMRGTESVCPVHAGSSVALAWFAGREAAYLESLPDDEVIRGVHATLDSFLSTQRWRVKRIKRSRWATDPLFLGSYSYVAVGSSGDDLDRMAEPLPRAQDADADGQRPLRVLFAGEATHRTHYSTTHAAYLSGLREANRLLQLHHLRVAPTDR >Et_7A_051068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14062712:14073233:-1 gene:Et_7A_051068 transcript:Et_7A_051068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSSRSSISPFRSRRAAPPPPAAAAPPPASRASSAGRPSTPSSARPTTPSSSSGGRPATPSAAFARPTTPSSSARPSTPSSTASARPSTPSSISSRVAGRVPPKDAANAKENIMVTVRFRPLSPREMNKGDEVAWYADGDNIVRNEYNPGIAYAFDKVFGPATTTRHVYDVAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRIREDAQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLFSSRSHTIFTLTIESSPSGENGSEEEVKLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIAKLTDGKATHIPYRDSKLTRLLQYSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHVEIKASQNKIIDEKSLIKKYQKEITSLKEELQQLKRGIMGTACTLPTDQEDLLEAGQVKLQSRLEQEEEAKAALMGRIQRLTKLILVSTKSSISSNVSARTNLRRRHSFGEDELVYLPDRKRDFADDDDISLDSELSLEGKLDLNNPDESVRFDRRNRRRGMLGWFKLKKSDQLSGLSSSVDGDSTASGSPSCSKSSQQKSLLLDLKDGRRKSMNRKGDDPTLADSFLERTQAGDLFSAASRARHPLPSGTTIVDQIDLLQEQVKMLAGEVALCTSSLKRLSEQAATDPNDLQIQEQIEKLKNEINEKKSHIHVLEQRMVQSLEITEDPAVKTELSQTFSKLSTQLSEKTFELEIMSADNRILQDQLQAKVTENVELQETVAQLRQEISNLLKAARSEDSFVSMQSSEPSMTSNDPRDQANEVSNNSNVSARKPEENESALISQVLMQASEIENLKQENQRFMEEKDEVEAHSQKMAKEASYAKELASAAAVELKNLAEEVTRLSYENAKLNADLAAAKELISSVSRSNNIHNDTKRRDHENGILVEELQKELVASCQREASLEDTLSQKDRRESELLKMIDDAKCREHDLENELASMWVLVSKIKNESSQDDIFEFKSKQNGFHSSKNDSGRILSEMQASGNGSWDGLITLEEARAAYNFERRRCKDLEGVVSRLKGEDLRGLDTKVLDELQNFHVEALSRICQEKVRQSMITRTEHAHVTPHARVRVAES >Et_2A_018265.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20753375:20754772:1 gene:Et_2A_018265 transcript:Et_2A_018265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVKPADADPEAQGEPPTTRSRSGAMAALLGRARQNLAFRSVWSELNGAMGDLGTYIPIVLSLALARNLDLGTTLIFTGIYNAVTGLIYGVPMPVQPMKSIAAAALSDPSFAIPEIMAAGILTAGFVLFLGATRLMKLVYWFVPLPVVRGIQLAQGLNFAMAAVKYIRYEQDLGKGKSMGRRPWMGLDGLVLAIAAICFILLVNGAGDEPTPRDDGVADEETHRHQQGGADRRRRVKRFIGSIPSAVIVFVVGVAFAVARHPAAVRELRAGPSRMRVVRISREAWKQGFLKGAVPQIPLSVLNSVVAVCKLTRDLFPEEAEKAASATSVSVTMGAMNLVGCWFGAMPCCHGAGGLAGQYKFGGRSGGCVAALGALKLALGLALGGSMLHVLVQFPVGLLGVLLLFAGIELAIAARDMSTKWEAFVMLLCTAVSLVGSSAALGFLCGMVAHGLLMLRAWLVRLA >Et_2A_016913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29289785:29294507:-1 gene:Et_2A_016913 transcript:Et_2A_016913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDVGNDTLVAAAARQRGIDVLLNAESKRESPAAVAFSHNARLLGVHAAGASSSHAPFSSVKRLLLGASRPAQLLRDISRLPFPVSAGDGADAALVHVDHIGRRIALSPTHLLAMLLSYLKQLAEADLEAPVTDCVISVPCYFTQAQRRAYLDAAAVAGLRPLRLMHDLTATALGYGLYRSDLGVAGGPTCVAFVDIGHCDTQVAVVAFDALGMKVLSHGFDADLGGRDFDEVLFEHFAEEFRERYNIDVLGNVKASMRLRAACEKAKKVLSANAEAVVNIECLMEEKDVKGVIRREEFEKLCAGLLERVVEPCKRAVADSGIGLERLHSVELVGSGSRVPAIAKMLAGFFRREPSRTLNASECVARGCALQCAMLSPTFRVRDYEVQDAIPASIGFGVNGGPISTLSTNALFRRGQPIPSVKIITLQKSSSFNLDAFYVDENELPPGTSTNIGSFEIGPFQAHTENSKVKVKVRLNLHGIISVESAALIDDDQRDANAADYMEVDSSNDTGDKSRSERSIQRQNLPMVEYIYGAMNKQELLEAQEQEKQLAYQDKLMERTKDRKNALESYVYDTRNKLFERYRSFATDSEREGISVNLQQTEEWLYEEGDDETEAVYTSKLEELKKLVDPIESRCKDDEVRAQAVRELLKCIVDQRTAAKSLSAAEQDAVDNECTKAEQWLREGLQLQESLPKNVDPVIWSHEIRNKEEELNMFCRSITRHTGSPARTDANRDSDHMPTPDRD >Et_3A_023598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:47795:49159:1 gene:Et_3A_023598 transcript:Et_3A_023598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVVDHDQEEEQDSISRKPSSSSSSSSSSSKGTMPERLGGMATRFHAGYFRISLALSGQALLWRTLRDASPEPRSLLPSAAFLLLWSLALASLLGLCGLYAARCLLRFAAVRAEFRHHVAMNYLFAPWISWLLLLQSAPPFLDHHQHHVKLKQMLWCAFSLPILALDVKVYGQWFTRGRKFLSMVANPASHITVIGNLVTARAAAKMGWHEAAVAIFAVGAAHYLVLFVTLYQRFLGSDSLPAMLRPVFFLFFTAPSMAALAWDAIVASFDTGCKMLFFLSLFLFASLVSRPTLFKRAMRRFSVAWWAYSFPLTVLALAAAEYAGEARQPAANVLMLALAVLSVAVTLALMLFTAIRTNDLLPHDDPFFDCPRDRHLPSSR >Et_1A_008286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:641602:643389:-1 gene:Et_1A_008286 transcript:Et_1A_008286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAYAAAGETAAALIRGRVGGIRPSPSRLAFLAKSPSRGTTISLSPGPRHATPAAAASEERVAEEEDGPAWVELEPICNEQQLDRALAEAQQLDHPIVLLWMASWCRKCIYLKPKLEKLAAEYHPRIRFYSVDVNAVPQQLVNRVGVTLWSDSQKQAEVIGGHKSWLVIDDVRRMIEQEE >Et_7A_052402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7690311:7694527:1 gene:Et_7A_052402 transcript:Et_7A_052402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAQGFHPRGIPKSEARGGAGEAVRNVKRWLAKMDKAVDYDFYEDEELRYSRFKSPFDRRPLVGRRPRLRKNEGKRTLRLVGSSNPDYMRQCEEAAFGDFDRDDWDYVDEQLRSRIVEIKQTLEPDGWALPSFTSTPVLQASAGIALGGEWQGGANREEQQDVFWFTGWPRICTVGGLAFAAAEGINQKYTHEVNSDGADVTVQ >Et_2B_022699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3200238:3201104:-1 gene:Et_2B_022699 transcript:Et_2B_022699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAGSTPTVRPPRQPRGADAEASTTPVFLNVYDVTPANGYARWLVHGVEYAYGAHDGASSGIFEVVPRRCPGYTFRESVLVGTTDLTRAEVRALMADLAADFPGDAYNLVSRNCNHFCDAACRLLVRARIPRWVNRLAKIGVVFTCVIPGNGRAVRQRGGGECPKRAGGGIRSRSARQEASAPPRTRTFFRSLSVGGRKNVTARSLSTSSHPPPQPPPAPAPAPASSTSSGSTT >Et_4B_039514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:220597:225366:-1 gene:Et_4B_039514 transcript:Et_4B_039514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGRGHSRKSSRDFEEIDEDEDNMEGCSSRKPTVKRLMEDELGKVKQLKIPNDEVQRILADLGHGVCLDQSSTQNSKSKGEPNQSTVSSPSGSLDPSASKCMKESEENELELALADFLGQIHKYHDEPPHKNCKNKSELCTELKFLIEAKLNELNNPPCSLTYEQNPQNEEKDIADGKHLGSSQEARPEKFRAALEMLSSDTELFLKILQKPNSNILESIQSYQNGEIRTKLVPMKMPGNTKTIKGTKSANQDELATKTHGKESRHIFFWKKEKTNRRHATEGTNGSQPANKIVILKPNPRRGIDPTVATSSTQAPELSATESSKFSIKEVRRRFRIVTSEARKEKAPVCEENLQKDPQWLKSTTFTIKKDTRQLAEQTSEEKASSSSNAKNNFRPSTGSRQNQRNDGAGKTDSHTNKSSKDEYVFYDEAKKHLTEILKDKSQTAKHPTLQISRSLVRMLSLPQGSTMSPTSNQCSTPSPKSSPRAKDCIDLSSEETNICTTYKAKTKREGFAKEESQTREISGNVECDDPITPHEECECIKEEIQEKTEESIEVDTAHTEEIDKPGCLENNCNAWCIPGEQCRQNTLQNMAEEAGENDIEKTECQEPATPRSSIELISQFSPDGSLEKQEQPSPVSVLDPFFNEDVDNLDAEYTTKCELQADILRPHYTMDGESNQEIFWVDKDVRLGYIKAFLELSELCTYQNLEVWYLEDELISPCLFEELHQGNQIDDTKLLFDCICEALTEIQRTYFRSTPCLSSLKYKIRAPPMGRSLISEINKHVERNLHYRFPSTLDQLVRMDLEDGNWMDLRSESEEIAAASSAPITSGASGRRMKSEWLMHSLWYCCLSGWGLIASRSIRSTSSLRIFMQSWGPSERRTTWNLRRFIFSPAPPKP >Et_2B_021237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27775587:27778549:-1 gene:Et_2B_021237 transcript:Et_2B_021237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSIAASAFFPASPAPAPAASKNGLGERPDSLDVRGVAAKPGFSSGAVRASKTRTHAAVPKVNGGKSALADGEHETVHSSVPKTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKRPDMLSDTFGFGRIIHDGLMFRQNFSIRSYEIGADRTASIETMMNHLQETALNHVKTAGLLGDGFGSTPEMSKRNLFWVVSQMQAIVERYPCWGDTVEVDTWVGAHGKNGMRRDWHIRDSMTGDTILKATSKWVMMNKVTRKLARIPDEVRTEIEPYFFERSAIVDDDNRKLPKLPEDQSTTADKYVRTGLTPRWADLDINQHVNNVKYIGWILESAPISILENHELASIVLDYKRECGRDSVLQSHTTVHTDCTSESGETTLHCEHLLSLESGPTMVKARTMWRPKRTEAQQTVPSSLL >Et_2A_015214.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8154580:8154819:1 gene:Et_2A_015214 transcript:Et_2A_015214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNKPKPQPEWKSVPEELELERVLEVLKDDGIEEGSELHCKAYILCKDNKNRLAFLHLSKPEAHMNWIAFNWNHERH >Et_7A_050711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10375490:10375731:1 gene:Et_7A_050711 transcript:Et_7A_050711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATGVKESSKRKEEGFQHADNASVMGNMEAMEPLRLRRSITATSDIAEEACLWRIAGARRLWEIMS >Et_8A_056861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1793467:1796453:-1 gene:Et_8A_056861 transcript:Et_8A_056861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAWWAQHRLRVLLPVLFMAPALFFLFSAPSPPPLFTLPATRERSPRLIWAQRRLVEWRPCGWWRKAMPAPSRRNGYIRIDCYGGLNQLRRDLCDGIGVARLLNATMVLPKFEVAAYWNESSGFADVFDVDYFMEQTRGYVEVVKDMPAEIASKEPFKVDCSKRKGHFDYVEAVLPILLEHQYISLTPSMNQRRDRNPSYAKASYCQGCYNALRLNKNVESKAIELFQAIPKPFLSLHLRFEPDMVAYSRCAYTDLSSKSLESIEAVRGDGRKVLTGDAARLWRNRGKCPLTPSETAFILQALGIPTNTSIYLAAGDGLMELEGFTSIYQNIHTKSSLLAHEDFERMHGNTKAALDYYVSVNSDAYVATFFGNMDKMVTAMRTMQGLQKTLVLSRRAFANYTAAGLAGEQLAVAMWNAHREEYIRGRGSALPEHCFCEFKL >Et_7A_052708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13549097:13550720:-1 gene:Et_7A_052708 transcript:Et_7A_052708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRPYMFPASAAEVVCHTDLGNVYKALLLYWNPTTFSRASVISLTISGTELPFLSLSTQYCAMECSRSMPSERRATSWLTVSRSLPRTYVPFAAMSSCSRRRNKDPVLLDLVFFAIRFLSATGHSPAAAGPTMRPKNRRCAAAAMAATAAAPHATTRPTFKSFLTALTIFSFLVTFL >Et_7B_054536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22972124:22973340:-1 gene:Et_7B_054536 transcript:Et_7B_054536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEKHRRITPHDPAAIVPEELLFFEILPGLPVRSLTRFKCVCRSWLAGIEDPALARRHLDTSSRASPPSVLVIPREDFSDHEETDTSEAVSFHRIRPTGTITDAEASAELMLETSWPGGVTHLIAPVHCDGLVALSTFTHQVFVCNPATKELVELPPGSRDVNGDDLPAVALGFHPYRRPVLLPAPRRDHRGARDRHRPRDLRPRRRHRRRNMGTHSGPAARDRARPAGVHAGGDLLARARAVSPAAPPTLRFGLRDEAFDVVPCPPPCCGDPHSIVVDMAELDGKLCCCAHEVAAATASTDEDETSTTVFDVWVADHDAPGGLEWSLRYRVDAGSPTGFFTPVISAEDEMFVAVNLGWLGRYDARTNVLEEVVDLERARRYLLLDCALRCPVC >Et_10A_000600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13424734:13425741:-1 gene:Et_10A_000600 transcript:Et_10A_000600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTKSSLLLPSPISDFSDAAVSISNQAGVMAAEGHNRARMQVWAAADSKNVLVMRGARFIGVFSKDSIRWFHKVKGYPKKGPNQLIRILPNGHRTE >Et_9A_062032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18966270:18971541:1 gene:Et_9A_062032 transcript:Et_9A_062032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKPSALSCCTRVKGHGLHTTVSKTYEDIVEELMRVISLCGYHMFLMRVVATHSADQRPKLAGFRVLLASPSGDSQERRFTGVNLNPDVTRFICNPISGELVRLPDIDGTKKTMPCRHFGLLTQSPQHGHGPPDRYAVAELSEGRYAEKGSFVMRRFLSQTGEWERLAALRSPQPPPRRMDIHSFHEVLAFAGLLWWIDLSWGMISADPFSDQPELRFVELPRGSVLPCPVHTTGEEFTASLIAQGMYRRIGVSEGRLRYVEISQEPPFLFNNFVLNDDGCGWTLEHQKALGRTPEDQIPWIGAIDPLSTRRVFHRRGICFLRGHGVFGCEHLGECEYQQRTILTDHFMPCVLPPRLGSLRIPSAGRVLRETRPRPNAPCCCYCQSWSSVDQDREPHKQPTPPRLLRLHHTTTPPPPPKMQLPLRRALSAAASASVRLRRALSTAAPRPPWAMIYHAYPVRSPTPRASFYLAEPPCTSNLTVPIELFDRRPSPDPDSDLLGSLGGTVCTTSGDGLCLLGYVDSHVSAPVVSAPDGTRQRRITSFDADPDITRFVCNPVTGELVRLPDIDGTKRTLYCQNIGILTKSAAGHGPPDRYAVATLIKGRDGEQGTFVMRRFLSQTGKWEKLVGLPSPLPPVPRRMDICLEVVAFKGRLWWVDMTWGAISADPFGDRPELRFVELPRGSVRPPVPSIYQIQEVAMHRRVGVSEGRLRYVELSQKEPFMLSSFALDDHSSTWRLEHQMTLDPLLGKYGSYLSHKEPPPPPPPPPGLLLSTQ >Et_9B_065376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4287954:4290367:-1 gene:Et_9B_065376 transcript:Et_9B_065376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPGGEARARRDDEEKLDFKGGNVHIITSKDSWNQKLAEANRDGKTVVANFSASWCGPCRVIAPIYAEMSKTYPQLMFLTIDVDDLMDFSSSWDIRATPTFFFLKDGTQIDKLVGANKPELEKKVHAIANRS >Et_1A_008493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8095245:8096759:-1 gene:Et_1A_008493 transcript:Et_1A_008493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDRKQGLKKGPWTPEEDKLLVDYIEANGHGSWRLLPKLAELVARPLARPSVQAYYSLQWSMIAAQLPGRTDNEIKNYWNTHLKKQLRRMGLAEPPPGPAAGSPAARHMAQWETARLEAEARLSLLAAASSSSGAGTTTSASSSSTVGAGSDKPADIYLRLWSSDIGDKFRKVAKPGSSSLPPASVKKKDAAAVIKQERHGDDSSAASSEMAVALALEEYQMFLDLAGEELGLFQGNGRYADFSLFQPLDVLTEAPLATEFK >Et_1A_005833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14548118:14551525:1 gene:Et_1A_005833 transcript:Et_1A_005833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GDAVMAEVLSGPPEHRLSSALDGHYEEKRKSNVEYSEDEKKAMIASLKKKAMSASQKLRHSMKKGRRSSKVMSISILDERDPEEMQAVDAFRQLLVLEELLPSQHDDYHMMLRFLKARKFDIEKAKQMWSDMLQWRKEFGADTILEEFEFEEAEKVAECYPQGYHGVDKEGRPVYIERLGQIDVTKLMQVTTMDRFVKNHVKEFEKNFAVKFPACSLAAKRHIDQSTTILDVQGVGMKQFSKAARDLISQLQKIDGDNYPETLCRMFIINAGQGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFFGGTCKCEGGCMKADKGPWKDPEIMKMVQSGAGRCGKLSSASFEAEEKLICEDIIYPKKQASFNGESPLVGDVQRTLSRKLSRSRIEHPQLSPVHEEHIPSSFPTPGSPYSCDVPMVEKAIDAICKSQGTPDEKLAITNAVVNATNGPNPPLFGGIMALVMSIATMLRVSRNMPAKVLGSAIGGSKPATLAKSKSKIQVRQRSKLSPDAVKAAEQVASAKRLADLEEKVIALLTQPTAMPADKEEMLQAAVSRVSALEEELAATKKALQETLEKQGEILAYIEKKKKKKSKVTNWEKKTYGKTKHA >Et_2B_022562.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2623451:2624188:-1 gene:Et_2B_022562 transcript:Et_2B_022562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAARRFPPWSDLQPELKGLVLRRLSSLADRVRLRAVCRSWRRDAMLEPCLPPPLPWLTLPDGTFLSIPDGEIHRMPAAPDGARRRCHASVGNWLFLENIADIGRCSLMNPFSEDVVQLPNVGTIWRRGRTDADRGCPIHLKVAALSSSSQDPSLDSLFAVLITDSKYESVISICQPTTATAYKVTQPHHRHVLDIALFDGKLYALSRSKLFVLEMDTSTANPRIRSMKCIVNSMTDDSGTSSR >Et_6B_049910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17387051:17387532:1 gene:Et_6B_049910 transcript:Et_6B_049910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLEISITAQIAGHKFTAVAADANYVNPYTTDVIALAPGETVDAVVVALPNQSPEPKPQHPVFMTRATVRYNNNHHHSHGNGNANGGDVPVAPGMPHA >Et_7B_053254.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:16231702:16231953:-1 gene:Et_7B_053254 transcript:Et_7B_053254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDLGIHVLSLITKRAIFWESWKSFCKENNLKSGDVCTFNIVETMLWRVTITQCKYKINHFSDYLETIQCRLNGSRCTNINR >Et_4B_039779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29237821:29241329:1 gene:Et_4B_039779 transcript:Et_4B_039779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAAYAASTTVVVMAKGNGRSKGVKGGGSAGTNHSKVDRRPPRITSNVKQSLRILKFWKDYERKQTSGPQPATRYRKKKVIKEVLPDDFFEDPSSTLHVTNEGLEIASPVVLVDGYNVCGHWGKLKHDFLNGRQEIARQMLIDELVSFSAVREVKVVVVFDAEMSGLSTHKETYKGVDVVYSADLSADSWIEKEVEALVADGCPKVWVVTSDALEQQLAHGEGALIWSSRRLVKEIKESEKELDEELKETRFSYYFMIMAVDASA >Et_2B_022278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16748140:16750321:1 gene:Et_2B_022278 transcript:Et_2B_022278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWRRYSCISFAMEGDQFPPMKHRKESIPTTIYSLSDDLILTIFLCLPSLATLIRAAFTCWAWRRLVASSPGFRCRFLEIHQAPLLGLFFDAPTVIECPDSPNFPSFVPVRHRDRDLAAAVRGSDFFLTSIQEHPDKIHCWGISDCRRGYILLTNGDDDSSHPMALLNPLVKRGEHFLDDGHENAFEGYNGCPMECKACLVFSGEHPNASFKVVRLIYDESRVRATVFSSDTSEWSILPWVKVPGRPQRTRGWLLSSNMQTNGFLYWIYRNHKYLVTLDTTTMNFPVEELPEVLRDRSCRFQVGETSRGTPCIFCTTGFTVGMLGRRTDSDGVEKWMLGWTTPFETELRELFGPVLQNYNEVRVVAVRDGIVYLATSRKFPEFQRASWFFTLCLETMKMEKLFERTYDIGVHPYVMAWPPSLAGNFGRFALEDDA >Et_1A_008180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:585428:588973:1 gene:Et_1A_008180 transcript:Et_1A_008180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPVTNPVRIPSKNPPNSLPRLQEQEQESILGTEGDKEAQKDQGKCCAVEKFQEELKNSGKERDGSGVAGRRNTASAGGEESEAGKNAQLSESEIKQLCAAAKEIFLQQPNLLELEAPIKICGDVHGQYSDLLRLFDYGGYPPQANYLFLGDYVDRGKQSLETICLLLAYKVKYPENFFLLRGNHECASVNRIYGFYDECKRRFSVKLWKTFTDCFNCLPVAALIDEKILCMHGGLSPELNKLDQILNLNRPTDVPDTGLLCDLLWSDPSNEAQGWAMNDRGVSYTFGPDKVAEFLEKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPARKMLAGGTNTKSGFKEKRTVSFTIIMTFKFVAPNT >Et_9B_064304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13842571:13844967:-1 gene:Et_9B_064304 transcript:Et_9B_064304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQPAERDEIFVWPWMGVLVNVPTEWKNGRQVGESGNRLKEKLSQFCPQKVIPLWNYRGHTGNAIVEFAKDWSGFKNALAFENHFEAEGFGKRDWKTRRHRGSEMYGWVARDDDHRCHGPIGDYLKKNGDLKTVADLESEGTRKTDRIVANLANQIEVKTRHVQELELKCNETTVALDRVMDQKEQLLHSYNEEIRKIQQIARSHSQKIFDENQKLRSDLEAKMQELDSRTKELDELASKSHYDRRNLEQEKEKNKIKTKHLMRATVEQQKADESVLKLVEEQKREKEAALDKILKLEHQLNAKQKLELEIQQLQGKLEVMKHMPGEEDSESKKKMEELSKELKEKHEEMDAMESLNLTLGFMELAAGRANIGIKKMGELDSKAFANVFRKRLSKEDAEITSAIICSKWQEEIKNPNWHPFKIVTVEGKETEVLCEDDKKLRELQEEHGLIEINEYNPSGRYPVPELWNYKEGRKATLKEVIQHAMKQWRINKRKR >Et_3B_031067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13955824:13958068:-1 gene:Et_3B_031067 transcript:Et_3B_031067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAPEAPLSLLLLPADIQAEILSRVGDAVSVVRCAATCKAWRRLIKEPSFLSLLSRRRRVGGFDPSTLLGFFFRDTSQSLPRRRRYRRRPTRFLLLGNSEPQPSAPAVLPLSRFLTTVGDLDSFAPVAFGGGGLFALFRFPCASNHSVRICICNPLAGTSTLLPPLPPSDFPEKIVFLESDSSSFRLLAVMNGNTLSMRVFSSPPTGDGDWGTLVSPELPLDMVLHIRSPAVIHRGAVHWICGTITLPLAVHAVAVRLTDAGASLSRFELPARAGVHCLLAASTAMRLFNSAQGTLSLLLVDELVLSIWNLDDYNAGIKRWSCCKAVYLMPMLPQIVSGREVKLSIQELCERSGLLFLQVVGEGLFKLNLEEKKLVKSVDPNADTLDVKFLGDMGADSDNLDLEVRPVCLDILLRLLDAPPH >Et_1A_005125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28065640:28066082:-1 gene:Et_1A_005125 transcript:Et_1A_005125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSGRNLSAAVLVVVLLVMSADMARVQAEGNCYYTSGSFHGWCLWSPNCAKTCRKESSPHRPGQNYDGGVCIGGFNAKCYCSEPCSKVLGDVTPHGVQA >Et_6A_047699.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:67437:67778:1 gene:Et_6A_047699 transcript:Et_6A_047699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPDDMVTSILGRLPPCYLLSGAPSSTPDACCAPISSLSVSMPSSSSPRKPVSTPTSSRSRPSTGRRISGGLTDFLPVDCYGDKRILDHCNSLLLLWELVANPASLPTTMS >Et_4B_038540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29756002:29761215:1 gene:Et_4B_038540 transcript:Et_4B_038540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHGADSAHDVGVSPGGPTVPARFVWPYGGKRVFVSGSFTRWSEHLPLSPVEGCPSVFQAICSLSPGFYEYKFFVDGEWRHDELQPTVTGDYGVVNTLHLTRDINQINSVLSPSTPGSRANMDVDNDFERAVSLTDGALQEGHQRVSEAYIQISRLRVAEFLSLHTGYDLLPDSGKVIALDINLPVKQSFHILHEQGIPVAPLWDSFRGQFVGLLSPLDFILILRELETHGSNLTEEQLETHTISAWKEAKRQTYGRNDGQWRQHLVHATPYESLRDIALKILQNEISTVPIICSSSTDGSFPQLLHLASLSGILKCICRYFKNSTGNLPILNQPVCTIPLGSWVPKIGDPNGRPLAMLRPNAPLSSALNMLVQAGVSSIPIVDENDSLLDTYSRSDITALAKDKVYTHVRLDEMTVHQALQLGQDANTSFGFFNGQRCQMCLRSDPLLKVMERLANPGVRRVFIVEAGSKRVEGIISLRDIFKFLLSL >Et_4A_034376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32062105:32064749:-1 gene:Et_4A_034376 transcript:Et_4A_034376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAYAKRVLLTGAGDAVSRGIASKAPTSPSTAAGWSYWATRRRSPRRRRRCGAAGAERYRWWSCDESAVDAVVDRAWRCFAGLNAVVNCYSYEGEVQDCLSVSEHEYKKTMKVNVITPWCLMKATAKRFRDSGSGGSFVFLTQIIGAERGLYPGAAAYGTSLGAIHQLVRLSAMELGKHKIRVNAVCRGLHLRDKFPMSVGEKAEKATKEVMPLWRWLDPEKDLASTVMYLVGDESRYMTGTTIYVDGAQSIVRPRMRLEIKQDNEGSNHVPGMVEAKVENIKEVWDVLRARSKARAVGSNN >Et_3A_027110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33100304:33101500:-1 gene:Et_3A_027110 transcript:Et_3A_027110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALDNTLPAAVEERPKKVAKVAVAAAVPAASPGSGKKKKKNDENSAPKATAVAGEQAVEYIPSEVLEAATNPKARAAGLVAKLDSKDWVEVCDALNDARRLAIHHSALLNPILEKVMLAIVKTMKNPRSAVLKTSVMACTDIFNSFGNLLSSASDEAFDKLLLQLLLKASQDKKFVCEEAEKAMRAMAASMPPLPLLKKLKAYVHHANLRVRAKAAVAIAHSVSRMDIENMKDFGMSALLKVSADLLNDRLPEAREAARSVVSSIHAAFAKEAAANEEDEPTVAASWESLCSLSLPPISAQAVAKIASSSQ >Et_8A_056115.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:21936213:21937169:-1 gene:Et_8A_056115 transcript:Et_8A_056115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FFSSNRCTVTSAPYGPLWRALRRNLTGKALHPSRLVCRHAAARRDAVSGLVAGVAREGDGVVVVEGLLHRAMLHVFVRMCFGEGLSDGAVAAVTALQRELLTSVVGFQVFGVAPAVTKLLYRRRWEKMMSIRRRQEEMFIPLIWARMQDAGACAVDCYADSLVAARSPGPRGGRRRQQKPDGARDGELVLRVPFRRHGLDGRGDAVGVAMAHLVARPEIQAKLPAEIHGVVVSSGSGEPLDEEEHLPRMPYLRAVVLESRRRRRAARRSTGSPCPATPPCSSRRAASPWTRRCGPTRGGSCPAARARTSTSRGTRRSR >Et_5A_042784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4267756:4273833:-1 gene:Et_5A_042784 transcript:Et_5A_042784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTAAATVVATPAGDQSLVFVLQPLFLHGVSAAAHLILALAVAGCLLFRRILPSAGRYKDGDAERDARRGVCGFRCYGVAICTTWALAASEVLLAAYSWYVDGGAGWSRDAAADRVDAAARAVAWQLLAAYLQFGFARRRHERFPAPLRLWWALFMLLSAVNVCAHVATSLDGLPVPGQPWAFDAVSVVAAMALLYAGFFGRSERRGLASEEPLLNASSVSLGWASLLAVGNTKTLGLDDVPGIDPADSVDGLLPPFKANLEALTDGSDRNVVTAFKLAKALLRTVWWHVAVTAFYALVYNVATYVGPYLVQYLNGDERYASKGQLLVIVFIVAKQAGIRARSAIVAIVYQKSLELSSQSKQSRTSGEMINIISVDADRVGIFAWYMHDLWLVTLQVGMAMFILYSTLGLASLAALGATVVIMLANVPPGQMQEKFQEKLMDCKDVRMKPTTEILHNMRILKLQGIIELRKTEENWLKKYLYTSAIVTFVFWGTPTFVAVVTFGACVLMGIPLESGKVLSVLATFRVLQEPIYVLPDTISMMIQTKVSLDRIASFMCLEELPTDAVQRLPSGCSNVAIDVKNGCFSWDASPEVLTLKDMNFQAQQGMRFAVCGTVGSGKSSLVSCILAEIPKISGDVVICGTTAYVSQSAWIQSGKIQENILFGKEMDREKYDRVLESCSLKKDLEILPFGDQTVIGERGINLTGGQKQRIQIARALYQDADIYLFDDPFSAVDAQTGSHLFKVMKDGKIAQAGKCDEILSSGEELMELVGAHCSSGTTKFSRSMSSAEKKDKDNKDEGNAKSGQLVQEEERERGRVGFWVYWKYLILAYKGALVPLVLLMQILFQVLQIVSNYWMAWAAPVSKDVEAPVSMLTLLYVYVALALGSSASTDQTEVDTNIAGQMGSVAFSIIQLVGIIVVMSQVAWQRYYIDTARELQRLALDCCQLGDEVRKKELKLDSPVIENGENWSVGQRQLVCLGRVILKRSKILVLDEATASVDTATDNLIQKTLRQQFTETTVITIAHRITSVLDSDMVLLLDNALERTLTDRMDVAWAEA >Et_2B_020074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16606560:16611321:1 gene:Et_2B_020074 transcript:Et_2B_020074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVAEVLPAYGFPGSGKKSAGEHEVLPVGKRRSDGFFIEEDEVDEEVLTENSSLGAPSPSSSSIGENSSSEAGGDDGEEVESKLKEGDGLGCMDALEDSLPIKSGLSSFYSGKSKSFTSLAEATSTVAAAKELAKPENPFNKRRRILANWSRRASCSSLVTATYLPPLLAPDHAVAEGDEGEEDDSDEDEEYNQLPHRGKNGRDAPALPLPPPRLGAHAPQMGMARRNGHGTFRSPRSFSLSDLQNNRDNNSRMWAWCKRSWTEIKLGRTSHTLSTQALTP >Et_1B_011556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23636085:23638704:1 gene:Et_1B_011556 transcript:Et_1B_011556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRSSTSFLSPVDPVSKLLHKAGAEDGPCFVAVPAPAHAAVPSRRLRLLRAGALAAPAPEAELLHSGAAQQQQHGRPRGGVPVYVMLPLDTVGPGGQLGRARAMAASLMALRGAGVEGVMVDVWWGVVERDGPGRYDWEAYAELVRMVERAGLRLQAVMSFHQCGGNVGDTCNIPLPQWVLEEMSSNPDIVYTDRSGRRNPEYISLGCDTLPVLKGRTPIQVYSDYMRSFRDRFQDYLGNVIAEIQVGMGPCGELRYPSYPEANGTWRFPGIGEFQCYDKYMRASLQAAAVEAGHEEWGRGGPHDAGEYKQLPEETGFFRREGTWNTEYGRFFLEWYSGMLLEHGDRVMAAAESVFRGTGATLSAKVAGIHWHYRTRSHAAELTAGYYNTRHSDGYAPIARMLAKRGAVLNFTCMEMKDEQQPAHASCSPELLVQQVKDTAAAAGVELAGENALERYDDAAFSQVVATARGAGLSAFTYLRMNKKLFDGDNWREFVSFVRAMADGGSRPALPRCDTGHSDLYVGFLDAAKERKAAPEAEGAVAVL >Et_3A_026670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1318448:1319993:1 gene:Et_3A_026670 transcript:Et_3A_026670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEQSSSHQHQQLPAKYGTGVPATGVARASKKNKPKKIPQRGLGVAQLEKLRIEEQKKMDGGAAVSSSAAHSRALAGAGLGHLLPLHPPPPPLPLSALARPAADGGGGGVHCGFSPVLWDPAADPAMKHPYKRSLCPQPPLPMRPHLTQRHGNLQVSTGLSLTASSSQHHQTEPPSNQMYSSSGSRSSAAPPEDDRESAGMLDRSWPFMFEGMTAATFRTTTGKAAPTTAPFAARMAREAGFADVCPDLSRHEFRTTNYFSTNASYSDWSPDFVPCKNSKANGCAGEPAFLTLSAQPATLMKQPHHLIPSVHLPEYSDFGVMQPQASEKSSITFLKPAIFNLYDVGSTGPSRPFYSFLPAGPVRCERPLSEYRVDMSDGVDLELKL >Et_2A_017489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34893824:34896285:1 gene:Et_2A_017489 transcript:Et_2A_017489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRTLVQTTQTLAASLLVVVVVVVIMVHSNQFRVAVAVAVAAFVAAGAEASSGIGLELHHKSSAVVRQWAEARGYPLDAPWPDHGSPEYYSELSRHDRALFARRGLAGADGLVTFAAGNATVQSPGLGFLYYAEVSVGTPNATFLVALDTGSDLFWVPCDCKQCAPLNTASGLRPYSPTLSSTSKAVTCAHKLCDRPNACAGGSSSSCPYGVRYVSANTSSSGVLVEDLLYLTRAGDASLQQASIVFGCGQVQTGLFLQGGAPDGLLGLGMDRISVPSALAASGLVASDSFSMCFGYDGVGRINFGDAGSSDQSQTPFIVSTIHPSYNVSFTSINVGAASSPVAFTAVMDSGTSFTYLNEPEYSTLAESFNSQVREKRTNFTSSSGSAIPFEYCYAFSNDQKGPLIPTVSLTTKGGAEFPVLDPLIVLGGKNSNGQVHTVGYCLAIIKNDITVNIIGRTLPPSPPPLCTFLLIRLMRAENFMTGLKVVFDREKSVLGWQEFDCYKNAAATATPDAGSPPPRAARPPPPPLTPNANDGNTTIPDAAPVPSRPRSASSGHAACKLGGLSLLLPLFIAALV >Et_1A_007187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31792776:31795964:-1 gene:Et_1A_007187 transcript:Et_1A_007187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEDVVLHPASLRPPTNRGGPGADPANATTRPGWALLDFSAFVLDRRNSTTATCRFTRGEKEIQLQVTFVAAHPPGVSYFCVYSPDLRPGYYATEPEVLATEAGLVLLRVILGGRSGIWDHNAQEYFVYRAGESPPSLEHIPRPGPYLLRGGDPVGLLDLKRDGDADASGYIIVALGDDASAVYRRGQDESAGRFELCLFDSRVRVWTTKPVDAPRGVVVDRHETSTVLTIGGTRGTMAFVDLCRGILLCDVLAIADDDDPVLRYVPLPENTFGTTPPDYHGDDPQNLRDVAVVDDGRVIRYVETTEGGLHDRPMMIATYSMPVAVTSSSSQPDDDNEDTAAAAYCWRQDFVFDVASIRDDRNVLSPEVQRMLRYDERILARPEVPAMESLCTGHPTLSLHDEGTVYFMTKVRPMSRKACVIAVNMREMTVQAVARFVSARTRGINFAYIRSRISSYLNMAPEGSKFACNEGCDKSSGMRMEGVIVGKSDGHVLQVMFSEWENEEWDNWKVRFNLCSIHLNRMIEICLATEPQIIATEADLVLIRVVLGGRSSRASHSAQEFFIYKAGGPQGQPPPSLEQIPRIRGRFLGIGGGGDPVGLLKLHDDAAGYIVAALCDDDNSQFEVCLFDSKDKVWTRRPVAVPRREARALGRQLSPRDDHGERGTMAFVDLWRGILLYDVLAIADGDPLSPRYIPLPETIFGKPCYSVNPLIYRDIAVVNGQFKYVEMGYEDVTADKASRGRMVAAYSMPIASCHQEDALWRPDCKIHVSNIRDDTNILSPEVQRMLSYDERMTKPEPTLETLCTGHPTLSLHDEDTVYFTTMAKPVSPKACVVAVNIRERTIQAVARFGARTRGISFTFIQSRISSYLNMPPEGHGVWPSYF >Et_3A_023946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1555369:1562254:-1 gene:Et_3A_023946 transcript:Et_3A_023946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNNLCTVERRGRVHLITLTGAGEHRLSPALLSAIRSAVAASAGAGALVLAAEGKYFSNGFDQAWARTAPPHLHSTMDGGFRALVADLLALPMPTVAAVTGHAAAAGCALALAHDSVVMRGSRGFLFMSEVHAGIKMVDFVAALLREKVPDAVNRRDMLLRGDKMEAAEAVRRGIVDKAVDGGVDDVVDAAVAEAERLAARNWDGEVVAEIRKAVWPNLWSKVKDDGADDSAAARPRMRGRVHLITLTGAGEHRLSPALLSTIRSSVAASAGAGALVLAAEGKYFSNGFDQTWARTAPLHLQAAMGDAFCGLVADLLALPMPTVAAVTGHAAAAGCVLALAHDSVLMRGSRGFLYMSEVDAGLKLVDFFADVFREVVPDAVNRRDLLLRGDRMAAAEAVRRGLVDAAVEGGVEDVVNAAVTEAEKLAARDWDGEVVAEIRKATCPNLWSMATQNLCTMERRGRVHLITLTGAGEHRLNPALLSAIRSAVAASAGAGALVIAAEGKYFSTGFDQAWARTAPLHLQATMGDLFRGLVADLLALPMPTIAAVTGHAAAAGCALALAHDAVIMRASRGFLYMSEVDAGLKLVDFFAELVREKVPDAVNRRDLLLRGDKMSAAEAVRRGNVDAAVDGGVEDVINAAVAEAEKLAAKGWNGEVVAEIRKAAWPNLWRGMATGDLCTVERRGRVHLITLTGAGEHRLNPALLSAIRSAVAAVRASPDAGALVMAAEGKFFCNGYDLAWAHGDDPSDRIAAVRAALRGLVADLLALPMPTVAAVTGHAAAAGCGLALAHDAVVMRASRGFLYMGEVDAGIKIVDYFGELLRHKVPDAVSRRDLVMKGEKMTAADAVRRGIVDLAVDGGVGDVVDAAVTMAEGLAARGWNGEVVAEIRKAAWPELWSKVKDYGRDAPARPRL >Et_5A_042415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9904058:9906655:1 gene:Et_5A_042415 transcript:Et_5A_042415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWFFPRSSNVPRSRSSNRRHRRRHGFRLLAKYLLICCWVTYKSKSWWGERMRVATCSVPGPTPSDAGSMHRRARAAAFVEHFDAVHRQFERSFVLDENVVLGGDLGWDDDLDGPLRLPDGWVDAWRELRGGDYGGWTYDAVANKMLWGLKNPERRRADRFVCKLRDFTLDSIQMVGVDPIPGVTRFDDEGNALPVLPSDHYVDRDGCGSSVTSIMSSTMVSSAKRNQVKFLTYNVWSCEHVAVYRRIQAICNIIERHDPDVVFLQLIGPAQEVTKYIYSIFQKASWWCQYKPVTCDYERSRADENGKHFCLLVAGGQRWVRLSTCRLVSPTPSDVRSMDSRAKASFFLRSIDKCFDRNAVFGGDMNWDDDLDGPFPIDEQRGWVDAWCALRPGMGDADRSGCTYDAVANPMLRGRKPERKRPDRFLCSIEMVGVEPIPGVTYCDNERNVLPVLRSHRFGLLLTLSPKN >Et_7B_054826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4704963:4707378:1 gene:Et_7B_054826 transcript:Et_7B_054826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGEESTIEVKLFVDKEKVMFAESGKEFVDVLFSFLTMPLGTIVRLLGKQSQIGCLDQIYKSVEDLSSDYFQTKACKAMLLAPLNAASSRCNRLKINVDNTKTREVYVCKDIYCRVHLAFSSVPDSACKCGKLMASSGQSPDAIGETSDGGGVFVNGCLKFITTDDFQVAPASTSLMMSIFEKLGVRNPANLEQKVLQLTSEKITGLLKRSLTSKQPLTGLYFDDPMPHNDSSQGVLFSQNLNPEIVTEASDTLDNLKIRVVQMKNNSALLYAEAGNDFRSSNGCLDNLYRSIDRSAKGYMISERQSLLLAPKLAPFFGCCTSKILQVDESVPKELNINVCFTCFKQRGFFDLLYCHDSPYSSHSKRYVPNCRETVKSTKLCELNPKSANDGSENGEAYVKAGDTKFVVTNDLNVHPLSLSTTLQIVSEAKIEMEELVEREIALTKCQIMELQRAVLMSRNALSSVLLPPKKKKLSHLRY >Et_1B_014198.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:35135774:35138977:-1 gene:Et_1B_014198 transcript:Et_1B_014198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRAVILLGGVVYGVVILVLSRAESCAAQRCGAGDLAALRGFSAGLDAAVEGWPIGNASKSDDCCAWPGVVCGSTAAVVGLVLPNRTLTGEVSASLAGLAALRVLNLSSNALRGALPAGLLRLRSLEVLDVSGNALAGAFPAGGPSMRVFNVSNNAFTGGHPVLRGAGNLSVYDASGNSFDGRVNASALCGESPALRVVRLSMNRLSGAFPVGFGQCRSLAELSLDGNGIDGGLPDDLFRVASLQVLSVHTNSLSGALSPRLRGLVGLVRLDLSFNAFTGPLPDVFDALAGLQELSAPSNRLSGELPATLSRCRRLRVLNLRNNTLGGDIRLDFRALKNLVYLDLGVNSFTGPIPASLPECRGMTALNLGRNRLTGEIPASFVGFTSLSFLSLTGNSFTNVTSALRTLRVLPNLTSLVLTKNFHRGGEAMPSVGVIAGGFPSMEVLVIANCELRGAIPSWIAGLRKLRVLDLSWNRLAGPVPPWLGQLDRLFYLDISNNSLQGEIPGALTRMPGLVAVGNNSVQDEERVRDFPFFMRRNASVTGRQYNQVNSFPPSLVLSHNALSGAVPPGMGALTRLHIVDLSWNRLSGPIPAELAGMTSLESLDMSHNALSGPIPASLTRLSFLSHLDVSHNNLSGQVPVGGQFSTFSREDFEGNPFLCGIHVKRCVQKPPEEPAVDGNHRERSSNAGVVAAISVGTALLLGVAAAVTWRVWSKRQEDNARVAADDEEDSLESNWVARKSTTLVLLFPTDEETDNEVTLEDVLKATGNFDESRIVGCGGFGVVYRATLPDGRDVAVKRLSGDFSQMEREFRAEVETLSRVRHRNLVPLQGYCRAGKDRLLIYPFMENGSLDHWLHERHEGDLTWPARLGVARGAARGLAHLHASSEPRVLHRDVKSSNILLDAAMEPRLADFGLARLLTCPADTHVTTDLVGTLGYIPPEYGHSSVATYRGDVYSLGVVLLELVTGRRPVDMARPVGGGRDVTSWATRMWREGKGDQVIDATVADKTHRHEAARMLDVACACVSDNPKSRPTAQQVVEWLDAIAASSTSPPTDAEHRDNGSACS >Et_9A_063287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1919890:1924773:-1 gene:Et_9A_063287 transcript:Et_9A_063287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIHRSCNAAANSCKNSAWHAFHGTALLCGIYTREEIETKRIEMEMGSRVARLLAAVALAALLCCVTISPCDGQQQPDYRAALESSLLYFEGQRSGKLPPDQRVTWRGDSALADGTDHGVDLTGGYYDSGDNVKFGLPLAFTVTMLAWGAVEHDRALAAAGELEHALAAVRWGADYLARAHAGDEVLYVQVGDGDSDHSCWQRPEDMDTPRTAYAVDAARPGSDVAAETAAALAAAAVAFRQLDAPYSSMLLAHAEKLFSFATNHRGLYQNSVPSARAFYGSSGDEDELLWAAAWLYVATGGDAYKAYIASHCGAQQSFSWDNKFLILEGKLPNQGTAAESKSNLEQFLCNVVQRGSGNIRLTAGGMLWWQSWANLQFVTAATFVLVAHADHLAAAGATLQCGGAPLPPADLLAFARQQVNYILGVNPSKMSYMVGQGVAFPTKVHHRGASLPCIKADPAKITCRGGFDYFHRDTPNPNVLAGAIVGGPDENDQYNDNRENYQQAEPSTVTVAPIVGVLARLLQN >Et_5A_041256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20105323:20107285:-1 gene:Et_5A_041256 transcript:Et_5A_041256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGERGGERGGFGRGFGRGGRGDRGGRRGGRRGGRQPEEEKWVPVTKLGRLVKENRIHSIEEIYLHSLPVKEHQIIDQLVPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDNNGHVGLGVKCAKELSVVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPEFWSDTRFTKTPFQEFTDLLAKPTKGLVIEAPVETVEA >Et_9B_066249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8539177:8540090:-1 gene:Et_9B_066249 transcript:Et_9B_066249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVERCCQAAKLGYKWRIGNGKSVRFWKINVWTGMKEVWKRMVNNQIVDENGHVRGAAKLGGDFRQDGGQDDGDSEVAVWYEQPCDWGEFNCA >Et_4A_033018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17070236:17074022:1 gene:Et_4A_033018 transcript:Et_4A_033018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTLSSSDPSPRLLRPGRLNPIPAFLHLPVPRKRSASFPFPAMSSAPRFLVMDDADDPLDFGAVAGAAFLPLQRCSRRRQRAASPEVVEVCEDSPEVFEVRSDGVGRVGEEVKAHAEKKGKPNTHLDKKAVKIMTYNVWFREEVELNIRMNALGNLIKCHNPDLICFQVDSTQTTQAFIFLEVTPNIYLLFEKSDWWESYKCSLSHKEAMVHSYYCMQLSKLPVKSFDCIPFSYSQMGRELCIAHKNIGGVIELVLATSHLESPCPGPPKWDQMYSKERVAQANESLRLLEAFRNVIFCGDMNWDDKGDGPFPLPDGWIDAWVELKPGEIGLTYDTKANVMLKGNRKVQKRLDRFVCKLSDFKVDSIEMIGKEEIPGITYIKEKKVRNEIRRLEVPVFPSDHFGLVLTITY >Et_1A_006802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27737177:27741283:-1 gene:Et_1A_006802 transcript:Et_1A_006802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMTASVMLPKSENLELLGAQATKIKDRLPVGAEISECLKRRQPPSPDAIQRLITIGKEMLVVSTGTNSRCPSRSEYNMAGCIYGHDSSSVKEKLVLQNMLSVKLHIRSSDMLKYSPAESILVTIRSK >Et_3B_029231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23251261:23252254:1 gene:Et_3B_029231 transcript:Et_3B_029231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMSLSSPALAGKATKIVPSSVFGEGRITMRKTAAKAKPAAASGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSDGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEVVDPLYPGGSFDPLGLADDPEKFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHIADPVNNNAWAYATNFVPGK >Et_8A_056846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17820960:17832394:1 gene:Et_8A_056846 transcript:Et_8A_056846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKRPAKKSASRWTPETESGTHRFEISDYSLVKSFRTGEFIQSATFTIGGNDWCIRYLPNGDLLEVEDEDEEEVHQSVSVYLQLLCKTTEVRVLCNFRLIIPATRQSLSVGSFAGVFGPASEENLWGVKNFMGRNALETEFVKDDCLVIECEVTIVMGAPRSKSEMICEIQVPPSDVLDSLGKLLGDEKLADVSFMINGEVFRAHKFVLAMRSTVFEAELYGPLRDKKMKIIAVEDMQPAVFKGLLHFIYKDSLPDMDDLDKDETHEMVKHLLVAAHRYGMERMKIICESILCKGLDVENVATTLILADQHHCSQLKDACIGFIKSSNRMVEVVESPGYAQLKRACPANPAMALLEGSIETTASWCEPVKARGRHTFEVTGYSRHKGLGVCQYIESTPFTVGGYDWCISFYPDGDEDYEDYASVFLFLNSEITKQVRILFDLKLLNPATGVWSSLRTETCVLNNECPTTGTVDFIKRSELEASYVQDDRVMIHCNLTVFLGTPVSKSRKVFEIQVPPSDMLDNLGKLLESTEGADVTIKVRGEVFHAHRIVLPMRSPVFKAELYGPMTMASLEGPIGTTASWCAPAKARGRHLFEVTGYSQLKGLGVCGSIRSAAFTIGGYNWCISYCPDGDDGYEDYASVFLYLLTESTRQVRVHFDFKLLNPATGVWSSVCSEPYELNNECPTTGTADFIKRSELEASYVRDDRFVIECDLTVFLGIPVSKSREVCEIQVPPSDVLDSLGELQEFTEGADVTIKVQGEVFHAHKIMLAMRSPVFKAELYGQMSDKSLKDIALHIEDMQPA >Et_3B_031471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3165659:3166920:1 gene:Et_3B_031471 transcript:Et_3B_031471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALYHKNHPDEQYQFLRVRLDDIFNFMEYRLQHPFNTHMNFMALDVNTGLEKLFFAELCMSNDGNSGYVAVTCEIVDDNSASGRKDKGFFPYGKYPPDYYDGENCYACSESIKHPPGASYRAGHDVFGYGYD >Et_7A_052019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3857466:3858459:1 gene:Et_7A_052019 transcript:Et_7A_052019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISTAQRGAAPRTPCSGLQALRQRNHLIRARSTRKPPRYSSCRATGGGRVDRRDVLLGLGGAAAAGLATSYRVGGALAQPIQAPDIQNCHPPADLPETAPAINCCPTYRPGTEIVDFRPPSGYSPLRVRPAAHLVDREYLAKYERAVALMKRLPDDDPRSFAQQWRVHCAYCDGAYDQVGFPNLELQIHNCWLFFPWHRFYLYFHERILGKLIGDDTFALPFWNWDAPAGMTFPSIYANRHSPLYDPRRNPLHQPPYPLDLDYNNVETTLPRDQLIDQNLKIMYRQASIYS >Et_10A_000055.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20431034:20431435:-1 gene:Et_10A_000055 transcript:Et_10A_000055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLATGADGASPRWGRVAAEGCTSTGATMSVAHRAPAAATASGGHRCSCGGGGECVVNIYVNNNVQGVTNSVLFGSKVVMRDPGARVTSRRPRRGGRRGTNKTAPVKTGIILLVAAAFCLILFFVCYYVRRLN >Et_10A_001066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20855219:20856827:1 gene:Et_10A_001066 transcript:Et_10A_001066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTNCQKTYFSNVELPFLVMVVLTLSYMVPRCMCTGVCSSSTYDSSPKLRDGAFHFPVFHREHPCVEEQYVHAASVSDAVAVIGDDTIHKGKYFMAISLGTPPVFNLVTIDTGATLSWVQCRGCEISCHGQAEEAGKIFEPRNSTTYRHISCCNEDCIDLHESYDVPYGCIEETDTCLYNLQYATSQYSTGKLGKDRLALGISNTSVVANDFVFGCSEDDLFGGSEAGVIGFGNKSYSFFNQLAKKTSYNAFAYCFPSDHRSEGFLTIGPYPPKLEVSTPLVTGYGSRWYSHVYSIQQVDMSVDGRRLDVDPSAYTSQMMMVDSGTEDTFLSPSVYYAFDDAMAVAMRDKGYVREFDASVSNKVCFRSPNGGSVDWSGLPAVEMKFLRASLALPPENVFHQKSDDRICLAFQPDVSGVGGVQILGNKATRSFRVVYDPGDEISLPGSCVLKERFSFVQ >Et_4B_038105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26292112:26298047:1 gene:Et_4B_038105 transcript:Et_4B_038105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGLVQINLRTKETLVLSQEFEEVLQEIYLPSLLLAMKRQLKPAAWNQTTVELPTPWLPVDLLLEIFARLDAATIVRCAATSKPIRRAVHDAALRDEASDAVLLGVSYAFLDPNVRRVSSDYVTGVGQAPRQITLPFDAGFLKSFEPAASRRGLVVLRRRDGYFRDLLVCNSFTGHSSRLPPGERFTGPLALLAVNDAGVSFQLLVLDESMRRMQIFSTEDGTWGAVVETQLPRYFRPDTMYRYSNPVVLGGTAIHWLRDGLWIISLDINTARVTQIHPPPECRKRLRSSQNFHNALQLTTSSDGKLGLLVAETIVISMWTLLDTTGEEVDSSSELPLPEKMWTRQVVIKRQDIGWEDPNYSVRFLGFGELSSTVVLRMGDIGLVQINLRTKETRVLSHGFKEVGVDHLQMCLQETYLPSLLLAMKARSAMSSTKKRLHVCACDTTTVEPLPPSIPVDLLLEIFARADAKTVVRCAATSKPVCRAVLDPDFSRRLSRHARARATNGGFDPTTLVGISYVFDRSYYPIFSNYRVALVGHCFRFHAGLLSQHEPVAARGGLVVLRARRRADFYDERLELSVCNTLTGYKLRLPPADIDDKYPHALLDDGDAAGCSFQLLIADRGLRTQIFSLEHGTWSDLVQTDQTLLPKDFSQKFADHASHPVVLGRRHNVVHWLCSDHGIIALDVGTAWARLIELPPNCFYRVTTCQHGRDKGLCLIASADGRLSLLVPEHLTICLWTASAADEEAFSSSATTTHPRWTRQVVIQRNAIDRRNGPGWVVRFFGFGERSGTVILQMREVGLVEINLGSREARVLSREFKELDDYRLFQIADVLAHATTGRRRPAESAPPFIPFDLVLEIFARSDAATLFRCAATSKPVCHAILDPDFRRRVELLRAEAGDRRRSFDPALFLGLSYMFEYRSEWGRRHATSQEEERHRRGCLSSFDAEKQLSSYEPMASRGGLVVLKRHGTISAEVRVVNSLTGQVTRVWPPLWVAAMYPRTLLAVSDVDGGGSFQLLVDGTDLWFQVFSSSENNGKGEWGDVVAPRLRPNFFRTVPNHCSPALVLGGAVVHWLCRDKGIVALDVGAARVTAIELPPLCFGQVKRVKGADCGILAPSADGRLSLLVAEFTVISMWTLSASASDLDGTSSAATRWSRQVVIQSQAIIGSRGDFIGRTVRFVSFGERSGTVILHIEQVGLVRFNLRSREAVVLRRVLLTVCDAGGSYFQLLVADDRNLRTRTFSLQQGRSGNVVYTHVPLRSFLTVALVLLFVEAPSSIGCARRRRSSL >Et_10A_002131.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:23264110:23264994:-1 gene:Et_10A_002131 transcript:Et_10A_002131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGTQGELPMAMHGGGGSPFLGLHHEHEQQYQHHRGANGRHVSPPEAPEEEKNRQALAMVPVSSGGGGGGGVRYRECLKNHAAAIGGSATDGCGEFMPAGEEGSLDALRCSACGCHRNFHRKEPPGGGDGVGGGRPLHLGHHHPLSPLHHPHQRGLLVAALPPAPTRMVMPLSAAMHQHHAAGAATSAESDDARGAGSGAPSPARKRFRTKFTAEQKARMLGFAEEAGWRLQKLEDAAVQRFCQEVGVKRRVLKVWMHNNKHTLARRHQPPASGDLPEPGRSPSRSPPQLRLE >Et_7A_050262.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16570472:16572668:1 gene:Et_7A_050262 transcript:Et_7A_050262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPHHPVHVSLCGIELKQGSGRGKMEGKAEERGGGGKAELRREGEREKERRERRVGGVSRKREALHPSVLPRKQPRPAVSVPVDRATPVATERNGAERSAFLFPARSHPRRPCLSLLCPALLLYITPLLSLARHYGHGHARTHLPLPLFLSLLTKATAAARHKETYGRGYEDEARRLLLLPRGGGAGGRCPRGAPPEAAPDDARGLGGRRRRRVRWPRRHVRGAARRSGGVHTRRRRGVRGLAGRPRRRHAHVRSPFMGYWFARTGLRKKWLAPGRCSYGGVVCWCRCKRFRELGQSKVALSKASPRCLAVPAKAWSDDAHRFLQRCADAGNLEACYLLGMVRTKIFLPPPPSFICVPWLGDRVLTMEFSLRVSPQIRFYCLGSRGSGAALMAAAAVGGHRDALYSLAVIQFNGSGGSKDDRDLRAGAALCARAASLGHVDALRELGHCLQDGYGVRRSVVDGRRLLIQANARELAAAVTASASSMLLQGGNGKASSARRHACLLSDFGCRAAAGATGEAAAHAANRFLVDWFAARPLGGAAAGAAVTASPEAEEEGGGLRLCSQALCGRPETRRHEFRRCSVCGVVNYCSRACQALHWKMAHKAECTPMDRWLDAAGDAAAVAVAAAPAAAAP >Et_5A_041756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26771489:26775566:-1 gene:Et_5A_041756 transcript:Et_5A_041756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALNPSPLRVRPACRASLRRRSAGGPRRAISQQPPVRRPSGDRLCAPWRGATRAPAPAQAPDVSVDGGAAPAGARGELEAFLEVVPARMRRGLARHPEVRELVEVVMDLGRRPIARFPSGDWVISEEPVTADDVRQAVSKVVGDFSEDNRCGINHSLHRISAIRNRKAQIIGLTCRVGRAISGSAEMIRDLVVSGGSILVIGPPGVGKTTLIREIARILADEGKKRVIIVDTSNEIGGDGDVPHSGIGRARRMQVPKVTMQHNVMIEAVENHMPEVIVIDEIGTELEAMAASTIAQRGVQLVGTAHGVTIESIIKNPCLQMLVGGIESVTLGDEEAKKRKVQKTILERKGPPTFSCAVELISKTECRVHHKLETTVDAILAGKPPKFESRKMHNKSTESERPLVVSDREYQLEPLPFHQELLLSKTMSSEGNSSDDFGSIRQTKSKSMASHDDFVYTRKTEGNKSVSGRSPVRVYTYQISEADILQVATVMGFDDELDVTDDIGAADVILASSSEMKQNPWIHNVAKYHKLPIFVVKANTMAQIVKAVRMIVGRDNAQSHKQPTVMEGEIEIEDDAPKRKPSLEEIDALEEARLAIEYIVIPGGEPVELLPRCSEIVARQLELVESYQLLAETFGTDSNSRLQILPVKITKKSLKKDNRGSKPTKQTGSDLIVSENGGGSSFSRLPLLPN >Et_6A_046215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:145597:149900:1 gene:Et_6A_046215 transcript:Et_6A_046215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQQRRRPRGDFDGRWAVLVATVWIQALTGTNFDFSAYSSALKSSLGVSQEALNYLATASDLGKAFGWSSGLALLHMPLHAVLLLSAFMGLAAYAAQFLFLNGALAVPYPLVFLVCLIAGCSICWFNTVCFVLCIRSFSASNRSLALSLSISFNGLSAAFYTLFANALSPFSPSVYLLLNAILPLAVSILALPAILLCQPHSSHLQSMPRHDRRVFLGLYILAFITGIYLVIFGSYTTTSSTAWVILTGAMVLLALPLIVPASSSCLHGGTHSPDPVFPLNHDDDAQKPLLLSADHQVESDDTVQKPVEHQLQDCCCETLLGKGRIVVLGEEHSAKKLIRSVDFWLYYTAYLCGATVGLVYSNNLGQIAQSLHQQSRLTMLLAVYSSCSFFGRLLSALPDILHRKVSFARTGWLTAALVPMPLAFFLMWNLQDEATLVAGTAIIGLSSGFIFAAAVSVTSELFGPNSIGVNHNILITNIPLGSLLYGQIAALVYDANGQRMTITDNRTGIIDTMVVCMGANCYCNTFLVWGCITLLGLISSIALFLRTRPAYATAAGRSNCKHIHQVIIMHK >Et_4A_032897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15166940:15168435:-1 gene:Et_4A_032897 transcript:Et_4A_032897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVALTKEAIREIKSKHFKGMPFRHVIKNFVIHGGDFDFDGAAQEWILKAKASGKNDLSPKHEAFMIGTPKNPNNKGFDLFITTAPIPHLNDKLVVFGRVMKGEDIVQEIEEVDTDEHYQPKTAVGIIDIMLKQEP >Et_1A_005369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:101003:111386:1 gene:Et_1A_005369 transcript:Et_1A_005369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASTRARAKGALRSISISRRAFRRRGARKIVVRLSGVPGLPSSPIPSLPADLLAKVITPRLLIGMGSRRVRHHPGIGEGAQVPDPAARGGSGRGGRYHGVPYAQQGRGGYQGQGGGRPSRGDDPPHHPGEHPGMGQAHAATWPQHFPRGSRGGRGAATSSTESSSPIAPELRQAIEPPHELARASPMQAGPSESPPEAPPAASREQHKQMPLQTEASVIQEIVPAIPSSTKSIRFPLRPGKGSIGTRCLVKANHFFAELPDKDLHQSHITNCLSRAIIKELVNLYKESYLGGRLPAYDGRKSLYTAGTLPFTSQEFHITLLDDEDGSGSERRHRNFKVVIKFAARADLHRLELFLAGRHAEAPQEALQVLDIVLRELPSARYAPFGRSFFSPSLGRRQPLGDGLESWRGFYQSIRPTQMGLSLNIDISATAFIEPLPVIEFVAQLLNSDIHSRPISDAERVKIKKALRGVKVEVTHRGNMRRKYRISGLTTQATRELTFPIDEGGMMKSVVQYFQETYGFAIQHTYLPCLQVGNQHRPNYLPMEMVKHNAYEKDDYAKEFGIKISDRLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGKVRSWMCINFARNVQESVIRGFCHELADFAREPVLPPLNARPDQVERALKVRYHDAMDILGPHRKELDLLIGILPDNNGSLYGDLKRVCEIDLGIVSQCCCTKQVFKMNKQILANLALKINVKVGGRNNVLSDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVWQDPQRGTFSCGMIRELLVSFKKSTGEKPQRIIFYRDGVSEGQFYQVLLYELNAIRKACASLRANYQPKVTFIVVQKHHHTRLFAHNHNDQNSIDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTDNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSASGSVASGPTVRGAQSTSRSTRAPGGAAVRPLPALKDNVKRRPNNKPATDKNFSEK >Et_10B_004105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13061499:13062247:1 gene:Et_10B_004105 transcript:Et_10B_004105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAHVAVICAAVAALALAAAALGIAGEATKSKASAHASSFVRYDGKSCVYRRTPAFGCGVAAAASLLTGQLVLAAAAGCWGQCRTRRCAGDHRRVGAVFSALLSWFLAALAACAFLVGAVKNQSGERRPKEGVAAYYRCTVLVAGVFAGGSFFSLAAAALGIASYVAVESADNHVSAPPRTTVWLGELRTPAG >Et_4B_038730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4410780:4413153:1 gene:Et_4B_038730 transcript:Et_4B_038730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGATPTTVVSSSGGAQLPPPGPPPKKKRALPGMPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSGKEARKRVYVCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHTKTCGSREYRCDCGTLFSRRDSFITHRAFCDALAEESAKARAGAPAEEDGSSAPVGPPPAPPPSQAPVPAAPAPLRRQPPQPQPAPVPVPHHQEQRNAFVALHAETNAPEQVQFTPPAPPPQVPMPVASQAPATAPNVSAGSSTTSSVAASSQSMLGGMFAPSSMAPAPPFADRALPARPPALCLATDASSSIFSAPAAADRQQQFAPPPPSPSPSAHMSATALLQKAAQMGATSSSSSFLRGLGLDVSTSSPGPSSQHHPENSLQQWPPRLEPEAAPMLSAGLGLGLPYDSTGAPVCLPELMMGQSSLFSAKPATLDFLGLGMSPTGASVSRGLPAFIQPIGGAVGIAGSGVGAADAFGAGHGAQAKPWERNPSSSPIL >Et_1A_004627.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23893117:23893446:1 gene:Et_1A_004627 transcript:Et_1A_004627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVPRCHRPSSPPATATLSDDDDILAEILHWIPLLLSSLLRVAAVRKRWRRLVTDPHFLRRFHTHRRTPILLGFFHADGRFASTQGLVWLGENRPGMSLFRPDCSDPS >Et_4B_037071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14226007:14227055:-1 gene:Et_4B_037071 transcript:Et_4B_037071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGDTSGDALERLPNPPVLRMESPSLGDNPVVAIMGSNIIGVGTGSPDPLSDYELRDGVTVAFDTKTAVLTVLRDLPADLRDNPVEFAVAAGNMLYMLEGGGLHCLKVEDSVDDATRSKQDSGGCWYRLGSPLRCLWSDGTRRIPMYAGNISGHALDPEGYAFFFKRILYYQRQSLPGTFSYDSTTGDWTRHGDWQLPFGGQAHYDNDLGVWIGLHYDYDSELMDGYLCSCTVPFLGAEDDPEPEWKLIGNENLFLKDPERHLAAKLVPMGGGGRFCLVEILTRDRVDIEKCQHLGDGDKCVLRLATFRIKSGGDGELVVTERRPAGSYKLSRYVEMFNAQASWM >Et_3A_023285.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:17729760:17729942:-1 gene:Et_3A_023285 transcript:Et_3A_023285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETETSPMVRVCLLPCGPPRASSIGDGLQRVGGAMELSASAEVGNCNAARGYSGIGGAS >Et_5A_042544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19501497:19530909:1 gene:Et_5A_042544 transcript:Et_5A_042544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMMSMATLTAPALMMEPARNNALPSSIIPWRPTLLVTRLATSEDSAAMGENSRDKSGFQGTDEKLS >Et_5A_040325.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:13947235:13947468:1 gene:Et_5A_040325 transcript:Et_5A_040325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEESKEEAEKAKRKSLNTLWSFLAHESFGNTGTLVFEGANPASRLFSRNSRTSSSYGSPQELALCEPWVMKKYRAN >Et_8B_059429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17898049:17899256:1 gene:Et_8B_059429 transcript:Et_8B_059429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSASPEFQQAHVELRNLALSYLKPMALQCAIELGIPNAIHRHGGAASLADLLATVPVPERRRPHLPRLMRYLSATGILALDAPSGMYSLTPLSRLLVDDVLVNGCTGLGSFVLSQTTRYGVAAAMHLSEWFTSGDDEMPFRTAHGGMDFWEAMRGDPQANSVFYAGMGSSSKLVLDFVVTNCGDVFDGVRSLIDVGGGTGGAARAIARAFPHLKCSVLDLPNVISGVPPGDDDDGTTVQYIAGDMMDYIPPTDAVLLKYVMHDWNDEDCVKILTQCKKAIRSGESSSGGKVVVIDTVVGSPERDMFQAQVSFDMLMMVLTTGKERDEKEWGEIFVNAGFKHYKMKPVLGFLSIIELYPELEI >Et_6A_047275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3194485:3195325:1 gene:Et_6A_047275 transcript:Et_6A_047275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRWETRSVTRARVAQRDLELQLFLVPHGERIEVDEGDNGDSSSPISYVSFNNNLGGSSLVLVRLLEVRAVRHKPKRVNPICKNCEHTTLFDPLDRISAGGTVGD >Et_2A_017927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:821325:826153:-1 gene:Et_2A_017927 transcript:Et_2A_017927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPAGARLALLLARRSLSASSSSSAAASHFPRAHKGIWSDVARAAPSRRSPFSSPANTHRFFHGTRPVAARDYYDVLGVSKNASQGDIKKAYYALAKKLHPDTNKGDADAERKFQEVQRAYETLKDQEKRSFYDQVGPDQYEKASAAGGGTGSPFEGGGFGNPFEDIFGGGGGGGGMNDFFKNIFRDREFGGRDVKVELEISFMEAVQGCTKTINFRTTVPCETCSGSGVPPGTKPETCITCRGSGFIYMQTGPFRMQSTCTKCGGSGKTVKEFCKACRGNKVVSGTKSVRLDIPPGSDNDDIYKVARSGGADADGRPGDLLVTLKVREDPVFRREKGDVHVDTVLNVTQAILGGTVQVPTLSGDVVLKVKPGTQPGQKVVLRGKGIKTRNSSYYGDQYVHFNVNIPVNLTPRQRTLIEEFAKEEQGEDEKDAKAAGASG >Et_2B_021874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6340886:6351369:1 gene:Et_2B_021874 transcript:Et_2B_021874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAIAIGSTLMAALAIAAMCCTCPDPPEQPSPEQTQRAALAALAAAVPVPPPSSTGRPVAELPCYPYAAAQGSCRVCAICLETLLPGELCSEVPACGHVFHGNCVAAWARSKGSCPLCRAAIVPGTDRVAVADDMTAMDWIDLATLVLVAWTMLYFACEMYHCVCEMQAIDQQEESEDQGVAPASTEPPPPLVLPCFSYEAEPDRASSEKVLCAICLDELRQGELCSEVPVCQHVFHRDCLGMWTRSNGSCPLCRTKIVPCSYRYTVAFADDMALGGTIAFAVIIVVGILFFLCALCSTEEPPRDTADAELSLERAVTRALAAHEALAAAQAEAILQSEKQAALGGTISFAVIIVVGVLFFLCALCSIEEPPRDTADAELSLELAAARASAAHEASAAAQAGVILQSELPYFPYAASSQAAECAICVETLLTGQLCSEVPACLHAFHRDCLGAWAKSKGSCPLCRARIVPGSEECAICVEPLRQGQLCSEVTACRHAFHRYCLGVWVKSTGSCPLCRARIVPGSDEVGVADDMTQAENEEEELRSPVVVLTHFFPYPQPRAPEARPVCAICLEDLRQG >Et_3A_027063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31360343:31361251:1 gene:Et_3A_027063 transcript:Et_3A_027063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSMPAPSGSVITVATSSSSAAAAAVCGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPFQREDAVNSLAYEADMRLRDPVYGCVGVISILQHNLRQLQQDLARAKYELSKYQAAAAASAPTGPNGQQQAMAEFIGNGGGMPNGAAHNFINIGGHTSSSAVTSIGVFGQDQFASAQMLSRSYDGEPIARLGINGGYEFGYSTSMGGAGPVSGLGTLGLSPFLKSGTAGGDEKPSAGQ >Et_9A_061980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18581847:18585693:1 gene:Et_9A_061980 transcript:Et_9A_061980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSAHPVRVVLRVRPFLPSDAASAATPCVSLVDGYPGGEVTVQLKDQYTSRSEHYHLDAFFDQENCVSEIFDREVSAVIPGIFEGMNATVFAYGATGSGKTFTMQGTEDSPGLIPLAVSTVLARCTGTWCSVEISYYEVYMERCYDLLEPKAKEIMALDDKDGNMQLKGLCWVPVRSMEEFQELYSIGVQRRKVAHTGLNDVSSRSHAVLSLRVSADVVKGKLNLIDLAGSEDNRRTYNEGIRLQESAKINQSLFALSNVISALNKNKPRIPYRESKLTRILQDSLGGSSCALMIACLNPAEYQEAVNTVSLAARSRHIGNHMSSASKPETPKVKVDMEAKLRAWLESKGKTKSMQRMNGLFSPTASKTPSSMSHMKQPASTRIFGRAKAMDHDGVKIKKILFDPSVHVLDENLPRESAQDDLYVNKAVLPSVTPCKEEKKPETFLRRALSPISSNVKQQKSDTGNCPNLLEPETPKDKCNMVDKIPAATPLDKLNALGSTLKGIGARRAEYILELREDSPRPFKSLEDLESIGLSSKQIQDILKKAATGIFK >Et_10B_004223.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19746583:19747806:1 gene:Et_10B_004223 transcript:Et_10B_004223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRNHNASTTGDHDAAGVHAPMLASYRRPSRAPLAEQLLDKQPKEQHWVPSLWCRPKSDDDGQAVITMDWSFVRRTCKTWLKNPMNIALLLWLLCVGVSGGMLVLLLLGLLFPTAPARRNGWIETNNQVLNALFLLCRWRAPMDVLELQGAYCKQPVAGPPRGEIAIPPGRGGGAAASDGRIGCQYVLCGLYWGYTKATRPELLERGAAGVAGGVGFVFLLMTGALNSSVPDASRRKRWTEVANQVLNALFTVMCVYQHPRLCHHLGLGREPVHGVRPRRTRRRRAVHGPLVTRKAVGVAVAAPEWAGGLFDLGDDPTVAALSVSCTFFCVFVHVFTFALLCAARARAGLRRRRAQHPRRHARLPRRRHRRVAVRAGPALRGLLARPGRRKHRRQWRLCTGKGA >Et_4A_035037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:965915:968654:1 gene:Et_4A_035037 transcript:Et_4A_035037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLISTAVHDRLPDSYVRPETQRPRLDEVVADANIPIVDLSNPDRAAVVAQIGEACRTHGFFQVLNHGVPVELMLAMLAVAYDFFRLPPEEKAKLYSDDPAKKIRLSTSFNVRKETVHNWRDYLRLHCYPLEKYAPDWPANPPSFREVVSRYCREVRELGFRLYGAISESLGLEEEYMKKVLGEQEQHMAVNFYPKCPAPELTYGLPAHTDPNALTILLMDQQVAGLQVLKEGRWIAVNPRPNALVINIGDQLQAMSNGRYKSVWHRAVVNSDKPRMSVASFLCPCNDVVLGPAAKLISEDSPAVYRDYTYAEYYSKFWSRNLDQEHCLELFRT >Et_6A_046248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1171940:1176323:-1 gene:Et_6A_046248 transcript:Et_6A_046248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFKSTTRRSLHGSSASRSDQPPCPRRSRSVSAAPRGRDLQEDYANTRTNPLFDSTASPQQRSAGTATSTSSGGGGDVARRERDRGREPPIKGGGRGGGGGRARSVSVAPQRRRTDSAPSADSAAAVGGRRSSRARSVANDARSYRGSETDAESRDMTRKFQSRRSRDSVLENKHRLNVMSASCSSKGSTAGVKCQQMDETARSLSSASVSSPGDHLEHVIWRPNHSTVPADPVLEIPPEFDPDSAEFISDISDYASEYRKEEVVDISLDVDTDAAELAVDITHDAANHDLGQMKIPHEFDPDAVEELSELRQYSRKQQWEQIEIPLEFDPETSELTTDITEYTIKLKQSHERARKLRADLAVEEQREQELSRMLKGIVTVPNFTETHKKRPRRKSSIERLKVSRHLAEEAMNYFEECVSISTMDSTDFSSPEDPQSNSLVSLLPKNNSRFSHNGGSSFQEPRPTTDQHGLREESENQTQCSISINGSDTSDNVFFSHVNPSGLKIRSNSSDDIDGFDTPRSRSSCFSFTREPAKTVQKCDVQHYIGNFGRGNNKALRGMRSSYSADDYALQKENLDLLTDIMTFQNRIEYGGLLICSTRTF >Et_4B_038073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2680889:2685616:-1 gene:Et_4B_038073 transcript:Et_4B_038073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGLGGGAARVPVPPARPFLDTFRGNLKETFFPDDPFRAVVRERGAARRAVAALRYFFPFMEWVPAYRLGTFKSDLIAGVTIASLAIPQGISYAKLANLPPILGLYSSFVPPLVYALMGSSRDLAVGTVAVASLLVGSMLSSEVSPTDNPALYLHLAFTATFFAGVFQASLGLLRLGFIVDFLSHATIVGFMGGAATVVCLQQLKGMLGLDRFTTSTDIVSVMRSVFSQTHQWRWESVLLGSGFLFFLLVTRFISKRRPRLFWISAAAPLTSVILGSVLVYLTHAENHGIQVIGYLKKGLNPPSVTSLQFSPPYMMLALKTGIITGIIALAEGIAVGRSFAMFKNYNIDGNKEMIAIGTMNILGSFTSCYLTTGPFSRSAVNYNAGCKTAMSNVIMSVAVMITLLFLTPLFHYTPLVVLSAIIMSAMLGLIDYPAAIHLWQVDKVDFCVCMGAYLGVVFGSVEIGLVVAVTISILRVMLFIARPRTTVLGNIPNSMIYRRMDQYATAQTVPGVLVLRVDAPIYFANASYLRERITRWIDDEEERTKGKGETGVQYVVLDMGAVGSIDTSGTSMLDELKKNLERRGLQIVLANPGSEVMKKLHSSKVLEAIGHERIFPTVGEAAAACNYVLHSHKPGAVTDSAAAHENMV >Et_2B_021143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26927885:26930062:1 gene:Et_2B_021143 transcript:Et_2B_021143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQRSPVAGGGGGGGGTPALHYLSGPYGDTTYTKVFVGGLAWETRSEGLRAHFEVYGEILEAVVITDRATGRSKGYGFVTFRDPESARMACMDPYPVIDGRRANCNLAILGRPGPAVPFVAPVRPVIPYNGGVAVPGGMYVQSPTYQQPPYNYSQAFVYPPYGPSAYGPEYLYQQNAYGPYVGHQYVPVYGGPRTVGPAVYPYGQFGQPVPSDHAYSPGYVPSHALPLSNQNVNATNVVRMPAVQQQFPPGVPRPQQQLLIPARAPQFPPNNISDQALG >Et_6A_047472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6231393:6242330:1 gene:Et_6A_047472 transcript:Et_6A_047472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLLRRLAQYIYQDECFCGDPHLLDPKSLSKVRHISIVPDKGSFIFPNLDQDHIRGRSFLIHSLNEAILTLGVQDDLKELKRTMKQIQCFLNDANQRGTEESAVDNLLRELKDATYDADDIIDLARSEGNKMLAEDASASGCSAIAIICQLISSLPNIQKHHEIANVNNELEKISKLGENFLTLQNLRLREQVWTIRSMKNCVLVGPNLVGKQTSLGCTKVVEFMSAHKERKVYKIGILGTAGVGKTTLAQQVFTDDRLKIVFSTQQHEVWTNLLRTPLETAATIVLVTTRNDTVARIIGVENVY >Et_3B_029150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22490369:22491194:1 gene:Et_3B_029150 transcript:Et_3B_029150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTSFPYNKKTEPPTRRGEEKLNRKRVERPMEEYAGEYGHPYPRVDKYGNPVPPVDQYGNPIPREPPAATGLGGDTAPLYGTGDAAAYRHEGGGSGVTAPGGAAYPGGGVGPGETALAYEGMVSSGGGFGSATAGMGAAQVQPTREEHTTLGEKLRRSGSSSSSSSSEDDGQGGRRKKKSIKEKIKEKLPGGHKHEEQKQGGHAAPAAAGGTTTGTHAAGTHEKKGIMDKIKDKLPGHH >Et_5B_044755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5403960:5412003:-1 gene:Et_5B_044755 transcript:Et_5B_044755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GKTENFSVPPIEGVAGGGTSYGWVDGGLRGSSLGSSAIDPTKVHSEDLLHVWSMPSTANVSPQEAPRPLDKVNLLAARNERESFQIALRPKVSWATSGTAGLVQVQCTDLCSSAGDRLVVGQSITLRRVVPILGVPDALVPIDPLNPQISLQPGETTAVWVSLNVPSGQPPGLYEGEIFITAVKAEADSRTEALQKSERYRLYRELRSCLDITEARDYSSSEEMAQRLASASTSLRKMLDIPAFQECQESNGLGDMMDEDVMNNVSVRLKLSLTVWDFTLPVTPSLPAVFGISETVIEDRFCLEHGTEGWYDALDRHFRWLLQYRISPFFCRWGDSMRILAYTCPWPADHPKANDYYADPRLAAYAVPYAPILSCTDAAKNSLRREVEILKTKPHWSKAYFYLWDEPLNMEQYDTICSISNELRSYAHDVRILTTYYCGPSGAELAPSTFEAFVKVPKFLRPHTQIFCTSEWVLGTREDLVKDIVSELRPDLGEEWWTYVCLGPSDPQPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVFSSSHEPVASTRLERILSGMQDIEYLKLYSSRYGREEGLALLERTGVYLAPDRYTLDHGPVDVMRGEVYRTCRS >Et_2A_016115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21183011:21185343:1 gene:Et_2A_016115 transcript:Et_2A_016115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAARAALVRVLPPLPTPTSRPKQQLKQGLGRRGGASLAVRAKDADDYGALLSEKPAPAPAKRDGWEGFGRDVSSAEKDAEEEEEVQSEPDSWGVLNQIGVELDSDNTYLALVYGSSAVVAIWISSIVVSALDSVPLVPQVMEVVGLGFTIWFTSRYLIFKENRDELITRVTSIKKQILGSRD >Et_7A_052962.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:3554163:3554876:1 gene:Et_7A_052962 transcript:Et_7A_052962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTKSNTKTQAISSAKAPAARKAAAVSSSKLDTSTVASPEFEEAATKVSPPAPKPLAGWGLQDFDEEEEEEAVAVAKSAAAKKGGKSATKGKGRASSKDKYLLSRPSQAADLEGEDLFVSGDPAAAGFGDVPSSFDPFADAARAEDAAAPRDVVHLRVQQRNGRKSLTTVQGLCADFNYAKVLRDLKRELCCSGVVVEDEELGKIIQLQGDHRKSVGAFIVKNGMARKDNVKTHGI >Et_3A_026633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10967188:10972960:-1 gene:Et_3A_026633 transcript:Et_3A_026633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAECFRPGQDKSSMAQQLPDSSPGYDWSDLPADMLIRIFIDLDILDMFSVRAVCQSWRLSYLVARRLGPCSSNQSPCLLYSSKNDDPNTATLFRLTNKKLYRVALHDPPLGSCFVVGSSGGWLAIADERSELLLVNPIARAQIALPSSLTIKNVRGCYTSDGVLDSYRLHELDLENQDCDTQIEPDDLTLEQGRFYFYLRVAMSADPSSGNCIVMVPHMHRNHLSFARVGDAEWTWISVILKPITYLVDNYKYVVKAPWGDILQVWRYDEFITEDDKRTLKLKVYMVDLVGQRLVEIQNLKEHVLFIGFNTPFFLPAKDYPVLTPNCIYFTEDSMDYIYCHKFGPRHVAVFNMEDGSFTDIFPGAYNNKEVQNPNLLETAAVSDKISCCFPSSSSSSISSPYPSSTSPRYPGSLPYPDSAAAASATAPITPAPVPAPPTGAGPNSVAIGTSLIRTSPTSDAHISPLESVAPPPPAPHVKWKSEHVPDLRRSLLRPDTDREPAPRRRRLRSPSHRKDPPLPPPEGAGVGVSASGKWAEERGAVDRWELQGFL >Et_9A_062254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21141152:21145198:1 gene:Et_9A_062254 transcript:Et_9A_062254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVVGKSRSDTAVTTIVNLAEEAKRAREDVKGPGHQVLTICKSLIAGGVAGGVSRTAVAPLERLKILLQYIWRTEGLRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILWLYRQQTGEEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRITVQTDKSPYQYRGMFHALGTVYREEGFRALYRGWLPSVIGVVPYVGLNFAVYESLKDWLLQTNPFELAKDNELHVVTRLGCGAVAGTIGQTVAYPLDVIRRRMQMVGWNHADSIVTGQSKEALQYNGMIDAFRKTVRYEGVGALYKGLVPNSVKVVPSIAIAFVTYEVVKDVLGVEMRISD >Et_8A_058275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3994763:3997440:1 gene:Et_8A_058275 transcript:Et_8A_058275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFLNKKGWHTGSLRNIERVWKAEQAEEAEKRKTEELKKQVAAEREKAEFRAMQEAAGLRPAQERLDFLYESGLAVGKGSSEGFQALQQSAPGAAASSSAAAAGDSSKAATPGALFEDKPQSANDTWRKLHSDPLLLIRQREQDAISRIKNNPIKMAEIKKSVEVEKKQKEVKEKKKHKKHRHHKSKSKRHHSHESSDSEEISKRKDQKRKQVHTSPEHKREKSSRHEKQLREDSSDSDDDASTRIQRKASEDVEPRRRRQEALEDDEPRRRRHENEPRSRRWEDEPRRRQDASEDDEPRRRHQDYGEPRRRRQEDDEPNRRRQEDDEQRRRRQEISKSDERPPRHDRLDADDRKKRQYSPPGQHRAYPKHDRSDSRSKRIEDGHNNCNATSEHRSGPEQASQQSQQGRNNGPSFNRRRGGVHHMSEEEREARLRQMQADAEVHEEQRWKRLKKAADDDAKEASTVSANQFKGKNFLEDEKKSIFGTEKGGSATIEESIRRRAYYSQGGRDVERNAFRR >Et_4B_038140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26612047:26618129:-1 gene:Et_4B_038140 transcript:Et_4B_038140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRRDGRINSLPGASRSRGNFPHSAREREEAPFPRREERREMREEVRSSSGAAAEQPIAIASSPPHTPVASSAGPSSPAIQTNVASIDWLGGRQASRVDSSSQVAPHACQPSHSFDAVGTALDSAPTCRPWERGDLLRRLATFKPSTWASKPKGASSLACAQRGWVNIDHDKIECESCEAHLIFSALPSWSPVEVANAGETFAEQLDAAHQNNCPWRGNSCADSLVQLHLTQSALIGGFKDRCDGLLQFTSLPVVASSAIENMRLTRGTQIDHLLSQSVTFLSGVLGCKAESTAGIDIHQYSSCGYSQAQKLISLCGWEPRWLPNVQDCEENSTHSAKNAPSVGPEEPFYPQFVDHRNSFSASAKKDKGKGKMPLNDSGCSMRSPLLDCSLCGATVRMLDFRSVLRPSRFSPNNIDAPETGRKPTLTRGISAASGINEWATDGVERGQAEGRDEAATNEGKFGVDLNLTMAGGLTSTQSAMPASSAQFNNGGMGRDLMIGQPTGSEVGDCETSYESRGPSSRKRNLEEGGSTADNPQDRLQHADSIGGNFIDRDGEEVDDAAQDSDIPNKKSRGFDLFDAYRSSSGAGPSRNLCFDLDADAAMFDHSRDVDLATVDRPAARESMRASSVIAMDTVRASEENSMDSVEYYPGNGNDIDMPSSSTHNNVEMNDVLDLNYSNQAQQSANAQPAAGSDARDIGGSSTNEGEEVINAETAPTFGRDQLSFGISGGSVGMGASHEAEIHGNAASLHRTESVVADAEPVAELTETMGQTGESAPGPGLMDEFVPEEVDREEPHGDSQDMMSRSVGQADSGSKIYGSTKADSVESGEKMGHDTGHGSSMRPSLSCNAGTCAGFDPSKDDVTQAGKILTSQDALKGLDYDPGNGLGATNGENDYESGLPEFDPVKHHNSYCPWVNGTVAAACCNNTGSSSSTSTLSGWQLTIDALDTFQSLGQAQNQMMQSDSAASLYMDDQMTHNRKLGRRASVSRSYGKC >Et_4B_039251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9142215:9144556:1 gene:Et_4B_039251 transcript:Et_4B_039251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRVLDVVEEEVVDGDEDELASCPDAKRRRTFLNSSMQEAIGAQYMQRHLPKLEPFLRRVVQEEVHNVLIRHIDSANRLPLQLKTSSKRYKLQFQGNLPQTLFTGNRVEAENKQPLRVVLTDAATNQTVTSGPLSSMKVELLVLDGDFNADERLEHSEKEFSESVVFEREGKRPLLSGEVIIVLEKGVASVRDISFTDNSSWIRSRKFRLGARMSRASSIEERVQEAVSNPFLVKDHRGEVYKKHHPPALADDVWRLEKIGKDGVFHKKLADFGIHTVQDFLRNLVMDQYGLRSLLGSGMSNKMWESTVEHARECVLDDKLYSYCSGHGIVLLFNCVYEVVGVIVGSNCFTLNTLTPTQKALVAKLQQDAYKFPNRIAEFKVQSQGAADQSSSSSPHTAQAAVQMPGLPQGVALSGEPGSHDGLLSPLHHHHQQPLSEALEDVLAQSAQHQPGEPWFLPPFGFDAHAASRDPFDVQFSGSQPCGLLLSSTGARL >Et_8A_058168.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:22652073:22652366:-1 gene:Et_8A_058168 transcript:Et_8A_058168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAAEMLHDQEQQQQGENEGGAASAQALSMDEHLEILLRAASAAGWWLTTTEREAAMAAAAAAITSALPGDAFEEILRRAASPRARACARRGAPP >Et_1B_011674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24822597:24829846:-1 gene:Et_1B_011674 transcript:Et_1B_011674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVISSAKEATLHGTGTAAEVAALAQKLKEINVIADVEQSTEMDVVGGDVDMMPQEDAAATRTSGGIKVKTLTGKEIEIDIDFADKVETIKERLEQMEGIPPEQQRLIFAGKQLADDKTARACNLEPGCVIHLVLALRGGGLFLRDRNFFANDARTMTLLMEPTDTVISLMEKLEERIGVPPILQRLSVHTANRVKQLYHDAGGTLADHGIDGSSFQQVMYTQGGWHELKRKTLMARGIDVKVAPPDGDDMLPPHWVLRRDGVPPVKPPPTTDDGKMQIVVEDVISSCTCGQRYALRVEAGDTVASVMARVERRLGYPPDLQVFCYERKFMYQDSGGTLADYNVKNGSEIILDLNERAAIAADVQERKLEKAKDLAAAVERQKRDKAEEVTVGSKEDNQKLKKAKVDRNMETEGVPPVQPQQQLNLDKNHAQE >Et_3A_027035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30182825:30184991:1 gene:Et_3A_027035 transcript:Et_3A_027035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIQESAYYERIYFGKPRGFGTNDKGEDTGFNTEIYSVPEIDRIARVAFEVARKRRGKLCSVDKANVLEASMLWRKRVTALASEFPDIEISHMYVDNAAMQLIRNPKQFDTVVINNIFGDILSDEASMITGSIGMLPSASVSESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLRYGLGEENAAKRIEAAVIETLNHGFRTGDIYSPGTSLIGCKRMGEEVLKTVESQKAVAAV >Et_1A_007342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33578119:33584801:1 gene:Et_1A_007342 transcript:Et_1A_007342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDHEKRRRAGGGEEDRISELPEALRLQILSLLPLKSAIRTGALSSRWRGLWEKRWPDPSSLFFRLPLGASAAARGEPLAAIDRRGRRRIDCFSLAFHSGQNQISQADLKRCVDYAAACEVEDLHLRLDGGGGRGSRGGTRRPGMLTVHFPIGSPLLARLSVRGINLTASANAMVRTLEVIHLHSVPLTDAALRRVVGACPRLRELELRYCRRLRRIDFTTVGAGNLRSFTIVDCSRATELRVPVAPKLRSFRFSGAFLSSNILCGGAMGTLEHLYLCSGGPETGLPPTNLPSTIPRLSNLTVLTLCSIALQYVSVFAAKTVMESKLCNLRELHFLMFGMANSNLADIYSFLKTCPCPQLQRLFVQLPTNTRDSFTENFLEVAEEEPPKSGLENLWLVKMINFKGHRNEIQLVDFLLRKANHLKKLFLIPPKEDHPRGLRKTQVDVLPNYLKTEILLLERASANITKHLRIGNEPAKDALSFLTAEQSKIPIEGAKKKRRKSDQGIHQSQIKEFIN >Et_1B_009932.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11918111:11918599:-1 gene:Et_1B_009932 transcript:Et_1B_009932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFHTVSETFRLMPRPAAGAAGHVLVVVEGPVRAGRGAGRRGLAKRNAGAGRLGDAGLRGGAAAVGAAPSGCRDAAAEVRQRLDGDHGCSDAGDQRCHPHGKPQLRCGQAVQRQGEEDAQGRLHRPRVSYVPRVQREPCIPCLLLPTSPVPRLRSHPQASS >Et_1B_012243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30054504:30056585:1 gene:Et_1B_012243 transcript:Et_1B_012243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVHITSLQMAKPSLPSPQLWRGKLPPQRPQHFTVVFVWALLLLAGNQAQDSFVWLPNIRCGKFEDTSSNLRVVDTLGWRSKAKSVARHGGCAPLGASTSPTVIPQLEPSMWFNSVDGLASASVENVVAEEARAHTRRQAEQELAITRQQAQVEFNSAHAPRDHAVRQVRAAMLLQATCYSCCHKYSTRTPSRRP >Et_6A_046973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23409477:23437459:-1 gene:Et_6A_046973 transcript:Et_6A_046973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVMGALPSLLPKLGELLVGEYKLHSGVKGEIMFIQVELESIKGALEKISNTPQDQLDNQDKIWAKDVRELSYDIEDSVDTFMVRGIGSEMAGPQGFKMFIERCYNLLTQFRVRRSIAKEIRDIKRRVVEVCERRDRYEINTAIAKPVTLDPRLLAWYAQATELVGIEEARDQVIKILMDDNQVCKPQDKIISIVGFGGLGKTTLANVVYEELKGQFDCSAFVSVSQTLDMEKFFKGVFRQLDQEKYESINRHKWDEGQLMREVRHVLLGKRYLIVIDDVWDIMVWKTIKCAFPDNNVGRKIITTTRILSVAQQAGFVYKMKPLSPQNSRKLLCNRIFGNENKGNSDQQKIYPDEDLVDVTDRILKKCAGVPLAIITIASLLASKGRNKMEWYEIYNSIGNGMEHSLDVKTMRKILSYSYYDLPSHLRTCLLYLCIFPEDFKIGKDRLIWLWIAEGFIQYEGHGKSLFVLGESYFNELINRSMIQPVYDELGIMIKYCRVHDMVLDLICSVSNEENFVTILSDMDHTATPTTARRLSLQNHKANNATTQATKSMPRLRSAVVFPSSVDQMPALRSFKVLRVLDLQDCDLSECYSLKYLGSLFHLRYLSLKYTCIDQLPEEVGNLEFLETLNVRDANVSRLPSTVVQLKHLMCLSISLSVTVPKGIKNLRSLEDLSRLRIDDESAHCIEELCLLTELRVLHIFLFTDKWNDKLMDCLCQLRKIQSVRIITVFGGQRNMGGLDTWVAPCHLRLLNIRSTFWFSVLPAWMNDPSQLRDLCSLNIAVREIQQEELSVLGRMPVLRDLELEVGHEDLGIVKRFVFGDGSFPCLVRCELWGFVVPMMFQRGAMPRLTRLEFAFFVPEARKSTDSNGGFDMGLGNLPSLKTPPFDTKPPQLNTHKNTPTCSLRPEREGSMEVLTRLLPKLAELLVGEYNLQKEVKGGIKFLQVDLEHMKVALEKISSTPADQLDKQDKIWARDVRELSYDIEDKVDTFMVRCKGSKQGKHHGFKKVVDRSLDLLMQPKIRHKIATDIRDIKSRVKEVSERRDDVSMQHSKIISIVGFGGLGKTTLANAVYDKIVSQTPDMRKLLKHILHDLGKGISDETLDEGRLINELRKFLHEKRYFIVVDDIWDIPVWKTIRCALPHNNCRYRIIATTRNVKVVEHAGVAYNMKHLSPHSSRILLNRRVYGSDHTEKSPDEELAEVSDKIIKKCAGVPLAIITIASLLATKRKNKMDWYEVNNSIGTGMENSLEAGNMRKILSYSYYDMAPHLRTCLLYLSVFPEDFQIENDRLIWLWIAEGFIEYEEKRKRLFEIGESYFNELVNRSMIQPVHGYLGTYIHSCRLHDMVLDLVRSLSSEESFVTILSDTEHTSALAKARRLSLQKNESDHASTLSARRMPRVRSVVVFSSAINQLPALQSFKVLRVLDLQDCDLSQGYSLKYLGSLFHLRYLNLNSTRIHQLPKELNIAQFKHLLCLLVDFETIVSNGIWSLRSLEELSLLRVADELMDHMEELGLLTELRVLCMILHTNKWNNKMVESLSKLLKIQTLRIHHAFGQRDVGGLDAWVAPGHLRRLYMQDGCWLSRLPAWMNNPSHLANLCELSIVVRELQEKDLNVLGRLMALIYLNLLVDHWSLEIRGRFVVGAGSFPCLVYCVLKGFVVPMVFQQGAMPRLRNLEFDFHVEEVREIAGSDGGFELGLENLSSLQNADVMFRSLGASKEEVQEAKAAVRKAAEIHPNKPKLLAQLLEGEYKLQKNVRKNIESLKRELEFTNAALRNVGEVPPQELKEVVRLWAQDARELSYDMDDVIDAFLVHVEGPDPPSRRRSKRFIQKMKSMLAIGKRHQIGEQMEEIKKRDDIDSVNHAATLDPRITNLYTKTSKLVGIDEAMEEVITKLTKGDDMSTQQQRIVSIVGFGGLGKTTLAKAVYDKLKEQFDSAAFVTVSRNPGMMTFLKKMLFELDSQKYGNIGTSLDVYQLINLAREYLNNKRYIIIFDDIWNIPNWDIIKCALPNDSSRCKIITTTRNITLAEHIGGVYKMKALSEDNSKLLMYTRMFGNEDHVKCHDDDLPEVSNKILKKCAGVPLAIITIASLLASKGRNEMEWYNVYKSIGDGMKNSLDTEKMVKILSYSYYDMPSHLRTCFLYLSVFPEDFEIQKDRLIWLWIAEGLIQCEEKGRSLFEIGERYFSELINRSMIQPLYDYLGICAKSCRLHDMMLDLVCSLSSEENFVTILRDKEHASASAKARRLSLQSNEADNATTWGTRSMPQIRSVVVFSSSVNQIPSLQSFKVLRVLDLQCCDLSQGYSLKYLGSLLHLRYLNLSSTFIKHVPKEVENLQFLETLSIQWNDISHLQLNIAKFKHLLCLLVDFKTIVSNGIWSLKSLEEVYWLHIYDELMDHIEELGLLTELRVLHMKLRTDKWNNKMVESLSKLQKIQDLYISCAGGKRNIGGLDAWVAPRHIRHLFTQGAFWLSRLPAWMNNPSHLADLSNLSIAVRELQEKDLNILGRLRALMYLDLLVDHESLGIHGRFVVGAGSFSCLVFCKLKGFVGPVVFEQGAMPRLTRLGFDCHAPEVKENTGSGGGFELGLQNLPSLQKVFVDFQSRGASEEEVKEAEGAVRKAGEIHPNKPDLMIY >Et_1B_013474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8940472:8942600:1 gene:Et_1B_013474 transcript:Et_1B_013474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGGVSLRPSSAQAPARIGKLPSVDFLVRAAPRRQPARRALVVEARGGRSWSERQLQQQRRMPQLPKIEDDGNPRFVIFIRTANVYFWYPLNVVTGGTTAKIMLAAKDNFLGKYIYKDTLARNLAAVIYKDEDEIIDTAKAQYRVLKNENEFRYGYKVVEKGNIRSALTTSNVIELPKKDELKTVVDKVKDFFGDVTTGAKESFAQITGSAVSKEDEEAEGQEEKFRSKKRKKRKSKQSLSKYNKTLFHQFNTCLTCTFI >Et_7B_054065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14875841:14897406:1 gene:Et_7B_054065 transcript:Et_7B_054065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAVNLDQLACDSPTATTLLTVLSSVILLILLLRYFAGAPATLAMVTQLSRRPRRQRRAKLPPSPPALPLVGHAHLIGALPHVSLRALASRHGGEEEGYMLLRLGAVPTLVASSPRVARGVLRTHDQSLAAARSVCGDVLTYGPSNVAMAPYGEWWRLAKMLVTMHLLSAKKVMSYRAARAEEVLSKFTNDMVCRAVAGRSFRLEGRDRVFRELFDEGMSLLGGFNLENFYPRLAEIAGGVLVWPVRRKAQRHRRRWDELLDKLMDEHASEAPGGQQQDTDFRHVLLSVKERYGLTRETIKAILVNMFAAGTDTAYLVLEFAMAELMLHQDVMARLQDEARSSTQVPADTTILVNVWAIGRDPKVWDAAEEFVPERFIVEEDIGGVDFRGKDFQFLPFGSGRRMCPAVLHAHDQSLASRPRSVVGDVLAYGPSDVALAPYGEQWRLAKMLVTTHLLSSKRRFNRRSLCQRGFLSRETSEVLTSEVRDFQFLPFGSGRRMCPGMNFALAAIEILLANLVHHFDWGVPKAVDSIDTTEVYRFIAVYREDKLLLSPRLLDGIVHPVEGLVVGGAPAARTLVPLLFPLLLLLVLRRFFFPAAGGGKKSRPPPSPPALPVIGHLHLVGALPHVSLRRLAARHGGEDLMLLRMGAVPTLVASSPRAAQAVLRAHDQSFASRPRSVVGDVLTYGPADVGFAPYGERWRKAKKLVTTHLLSAKKVQSYRAAREEEVGLVIAKVRDAAAKGAVADMSKLLSTFTNDMVSRAVAGRSFRVEGRDKEFRELIEAGMILLGGFNLENFYPRLAELAGGLLVWPVRHKAEKLRDRWDLLLDKLIDEHASKLAENGGGGGDQEESETDFIDVLLSVQEEYALTRNNIKGFLADMFAAGTDAAYLVLDFAMAELMLNKDVMTKLQAEVRSSIPKDQNTINEDNVTEMTYLKAVIKETLRLHPASPLLLPHSSHEDCVVDGYTIPAGTTMFVNAWAIARDPKIWDAPEQFMPERFIHEGQIQGFDFRGNDFQYLPFGSGRRMCPGVNFGLANVEIMLANLLYHFDWKLPKGVEGVDMTEVFGLTVSRKEKLFLVPTPRDFA >Et_2A_018065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9833436:9839225:-1 gene:Et_2A_018065 transcript:Et_2A_018065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAYTLRLSPPPRHGSPLLPRLRPHLRHRAVAKVAASWAPAGGDSDDGFGGWELPEPPPFERERRTGIGRAIAVGLAASAAIGLAGLAWHSPSSRKCLQQLINSSVHYVQEKMSASESQETTKEDASESESDGTEASSTVLEEKAEAITDESRQNHAGGTHVLFSVPVDPVHEEAFSILKKLQIIENDVSSNDFCTRREFARWFVKLCSKFERKRMQRIIPDKLTAGSCQTAFDDVNIDDPDFLYIQSLGESGIVPSKLSNSIGTLTSGSSSCSGNSKFLPDSYLSRFDLVNWKVLLEHPCALEIDQKMLSRNVRILDLSTCPNVSASLLMELMTGENSIVSKVFGNTRRLQPQKPVTKAQAAAALTSGRMEEAIRDELNRLEVENQAHLSAIAEIMEELTNKGDIPKYWEDKIKNEQGRALEADKDLQDALHELANEKTHRENELADLSKERAALEHQNQELVSLRSEIDGMYDRLATESAEVLADQQTLENLLSDVSSKHQAVNETKSQLGAEKEALTMLRSWVEDEAARVHERAETLEKALRRWRIPVD >Et_4B_039455.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:16913434:16914471:-1 gene:Et_4B_039455 transcript:Et_4B_039455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVAAARDDAASRQQKRRAETATGGTTPAPPSQRQKQQQQQHADPLPPSSEQGLVRCPRCDSGNTKFCYYNNYSLSQPRHFCKACRRYWTKGGALRNVPVGGGCRKNKRSHRSAASASSRLNLPADGGIGVGIGGAAAAARLGFLVGGGGAPVVAVSSADNQGAAAGMLALPRLHAPASVGQYVSFGEWPSSGPAVDLITAGHTVNAGAAVSSSGSIASSIESLSFVNQDLHWKLQQQRLLATIFLPPPPTSGGAFSHMADAGAPGPGMAEPAVPAATASWSVDRSYVLPCPPANTAAATAATTIAANCNINSGNDDHAASKNNCGTGIPAAWSDISSTFTVLP >Et_2B_020812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23968647:23974201:1 gene:Et_2B_020812 transcript:Et_2B_020812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRKLRGFALQRHEQRVDRDRGRGHSTAAVSAAEELLAAAQDMADMRSCYDNLLSVAAAIANSAYEFSEALQEMGTCLLKRVTPNKDGINDKVLLLLGKSQFELRKLVDSYRVHVLNTITTPSQSLLNELQTVEEMKRQCDEKRELFEFLLNAQKEKGRSKNAKGDTGVSEQLKQAQEDYQEEATLFLFRLKSLKQGQFRSLFTQAARHHAAQLNLFRKGVKSLEAVEPHVRIAAEQQHIDHQFSALEEEDYYVEDENDDDYNDSHDGELSFDYGENKETGEAGGDSRGRTEDFFNRSKEEFSSVPHDKQRAVSQSAPLFPEKKLEPEERIKDLRRSATRKLNTYVLPTPSDVRATPQIVSGNPNSGSLESKVAFHSSPLHQSADMGDLRDNKLPSPARLSTTQSVLKESNTNTAETRKVVPLSDLALPGYYDSKTPDNKKVKRGSFSGPIASRPRSTENIDVAVPPRHSSSHHPLIHVRVSPNTSPPPISSPKIKELHELPRPPANTSKNTTFSNLVAHSAPLVPNSAPLASKVQDNFRARQTPPSAHQTASPLPTPPPGSIARSFSIPSRALRTSGISDSKETEDHHDKGAARMSLSSLPSAQTCFEDRQPLSAAADPVSKT >Et_5B_045181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11025857:11027778:-1 gene:Et_5B_045181 transcript:Et_5B_045181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASTCSIPSPLRHQPSPIRASISALPSPLRFDTTSPMRASVSALPSAARLDLSPPRAKLDLPASAPSPASAARAAGKENIPAALSSPSPARASGKKETLFGADRDERVAHDLAALARAASPVAGPLFVRGRLYDLYSARRDERLKRKHGFPYGAEWAPAADAEAMAEDPCVAVELSRRRVAKKASTGAESVRRSMPAGDFAAARGGALGTRSSSLRSSKEMKKASAASGAVSMAVKERRINPSLAASSPSTTSSFVSCSFSSSGKQCAGACHCESSSATPTS >Et_1A_006275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:237208:241486:1 gene:Et_1A_006275 transcript:Et_1A_006275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRSMLPAMQNRHVLAQFVSLGMIIASALIIWKGLMVVTGTESPVVVVLSESMEPGFKRGDILFLHMNNDPIRTGEIVVFNVDGRDIPIVHRVIEVHERRSDAAEIDILTKGIPPFLCSLTKGTADLALMNYVSGDNNLFDDRGLYAHRQLWLQQHHIVGRAKGYLPYIGWLTILMTEKPVFKYLLIGALGLLMAPGGVDALCAAVIKGTTFQPHLASAPSLVAAVLHRLSPLPSAALAFFRALPPPHPLDASLALLRLLAPHPRHHPAARSLLRDLSLRHPLSSPLLLPSLLADPHVPSWLLLVLSQSARPHDAVRVFDQMRARGLAPDAHACTALLTALARSRMTATARRVFDEMTRARVAMNAHVYNAMLHVCLKAGDAERTEALVTRMDAAGVPLDRFSFNTIIALYCRKGMRYEAMCVRERMTKEGIQPDTVTWNSLIHGLCKEGRVKEASQQLAEMMGEGIAPDHVTYTTFIDGYCRAGNVEEAVRLRGEMEAKGMLPGVATYNAILRKLCEDGNMKEANQLLNEMDERKVQADHVTCNTLINTYCKRGDMTSACKVKKKMMESGLQLNQFTFKALIHGFCKARDLDQAKEALFQMVDAGFSPNYSVFSWLVDGFCKKNNADAVLLIPDELMKRGLPPDKAVYRSLIRRLCRKGLVEHAQKLFNQMQVKGLVCDSLVYATLAYAYLTQGKPAAASDILDDMARKQLGITPQIYKCLCTSYADEKETLNMLWVRAIERSLITKTVYKLMHQARLKTPKPSVETGAYGPVSRPDSTKRAL >Et_6B_049874.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1634203:1635045:-1 gene:Et_6B_049874 transcript:Et_6B_049874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGLRRLFEKPLPENPTLLEALSAWNHRSSIHPKNPVDPASFTEIFGELHFQEKPDHHRAVLPPSPAAAARLPPPPRATTTTSSWADAADQADKSKDDSSLDALLRPPKPASTVMKRSASFCMKSLLLCTEGLGSESTVDADDMFKDGDAEAEAAALGGGKDAPEADGGAEVVEEEAAAAAKEEKRPPPTFPPPIRSIGRVGKPSVCFRSFRTDGRFVLMEVVIPGKELLQAYREGGRLRLQFADGAAATGVFVNKEMRGQDHGEGKELCTMNEAQC >Et_4A_032601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11799854:11801478:1 gene:Et_4A_032601 transcript:Et_4A_032601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVCVLGSSEGVKGTIHFTQEGDGPTTVTGSVSGLKPGLHGFHVHALGDTTNGCMSTGPHYNPAGKEHGAPEDENRHAGDLGNVTAGADGVATINVTDGQIPLTGPNSIIGRAVVVHADPDDLGKGNAFNHLVSSVAIRVGTSSARALEMLVAALLVGSLDSRAECVSPAVTRRPI >Et_3B_029290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23724622:23727503:-1 gene:Et_3B_029290 transcript:Et_3B_029290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDAVAAEPTAAGASPLAPIAAVPTDAVVIDVVGRAPRPADHPGVGCRICHLGAEGDVSEAPGSEVIRLGCGCKDELGAAHRQCAEAWFRIKGDRCCEICGSDAKNITGLEVKKFIEEWHGQRVASTRTIEDRGSHCWRQQPFCNFLLASLLIVFMLPCTDDESVLASEEGVESLGVTK >Et_6A_048112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6840546:6841752:1 gene:Et_6A_048112 transcript:Et_6A_048112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETKSDSQLSDILISQKGTGKVVEGQPEYRVTIENKCSCPQADVKVRCYGVDSVEELDRSKIRPLDDELCIIADGNPITNGLAVIFTSAFQTPQDFFPVVSAKPWC >Et_5A_040991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16226707:16228186:1 gene:Et_5A_040991 transcript:Et_5A_040991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEDYTRERLVASFMEVTGVASTVDACDHLACCGWNLDEAVDLFLSIGAGPSGSSSPPPPSYSAPVDDDDDEKNFMRAPVVGPIRRDLPRRRSAEVVRVGAEWDSETRVSSSSSSRRRRRRQRFRERGNDGGRRPRIRARVGRDEDATRVEVDRDLTSRLQLYDEALNSGKHKAAAPAKKEEEEKSPEDLFRPPRELTFSGGFHDAKTEAARRARWLLVNVQDTGEAALASFAQNRDVWASALVARFVRDHFVLWQADAAGDAGEAGRKVCGHYGVPLDKLPAVLVVDPVTGQAVARLHGASTDPNDFLVAVKSYITTKPVMPVKPVTPSNHEPAMTTPASSQLTANRQDVRPKVEKQDTSASMAVATAAPIVEKQGKPVAAAATLASTIEKQSKAVHQAVVAAAPKVEPVPKVCKLRIRMPDGRTVAKEFGSGCPVAALFAYCRSELGEGEAAKKPFRLVRLVGCTREEIGDRDVSFQSLGLHLSTVS >Et_1A_006776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27567753:27571057:1 gene:Et_1A_006776 transcript:Et_1A_006776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPLASRLPFPFPSASRPPPPRTLAPPAPRRLPVRLAAAAARRFRPPTADDEPPEAAEDSSHGLTRYDQLARNVERARRRQQDSQPEITPDHPLFSSPSAADGGGSYDPDDEFFDEIDRAIAEKREEFTRRGLIKPSPAPPSEPQPEDEGLADELSPEEVIDLDEIRKLQGLSVVSVADEEDEEVIGGEEDDGDDGLPLDEDGEDFDVAEELGLEGARMRQPAFRMTLAELLDESKLVPVAVTGDQDVALAGVQRDASLVAAGDLFVCVGEDGLAGLTEADKRGAVAVVADQDVNIEGTLACRALVIVDDIAAALRVLPACLYRRPSKEMAVIGVTGTDGVTTTTHLVKAMYEAMGVRTGMVGVLGAYAFASNKLDAQPDASGDPIAVQKLMATMLHNGAEAVVLETATDGMPPTGVDSEIDYDIAVLTNVRHTEGENGMTYEEYMSSLAALFSRMVDPERHRKVVNIDDPSAPFFAAQGGRDVPVVTYSFENKKADVHTLKYQLSLFETEVLVQTPHGILEISSGLLGRDNIYNILASVAVGIAVGAPLEDIVRGIEEVDAIPGRCELIDEEQAFGVIVDHARTPEALSRLLDGVKELGPRRIITVVGCCGEKERGKRPVMTKIAAEKSDVVMLTSDNPANEDPLDILDDMLAGVGWTMEEYLKYGTNDYYPPLPNGHRLFLHDIRRVAVRAAVAMGEQGDVVVVTGKGNDTYQIEGDKSEFFDDREECREALQYVDQLHRAGIDTSEFPWRLPESH >Et_6A_046808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20870193:20874334:-1 gene:Et_6A_046808 transcript:Et_6A_046808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNATPISITPATTTAIAPHHLLLRGRRGGAQHRNARFRVAAASSAGGGAGGGSYLDMWRKAVERERRSAELAYRLQAPPVAEAGAAPAAAGPDVERRTARFEEMLRVPREERDRVQRRQVIDRAAAALAAARAVLKEPPAQSSSPPPSPPPTPPQEAETVTSSAGSVLGSGPKKSDRGSGPAAPAPAQPVEVTDSVDSSTHKQASSKLGTPGPDFWSWLPPMQSSTKPVEGSTGLEPSKKVDPTSREPDLLMEKEKSADYLSLPLETSFIKNKEDRSLPPFQSFVKPEDADPKVNLAAEAEETFETQFSKNAAEAARALSESDGKSSHGIYTDGTLWWKETGIEQRPDGVVCKWTVIRGVSADGAVEWEDKYWEASDRFDHKELGSEKSGRDANGNVWREHWKESMWQDYTCGVMHMEKTADKWGQNGKGEQWQEQWWGEEYDGSGGSVKYTDKWAERSEGDGWSKWGDKWDEHFDPNSHGVKQGETWWEGKYGDRWNRTWGEQHNGSGWVHKYGRSSSGEHWDTHEPQETWYERFPHFGFYHCFENSVQLRSVPRQPPRK >Et_3B_030519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4525222:4540578:-1 gene:Et_3B_030519 transcript:Et_3B_030519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSPCSTALQALTVFVVLAVLVADVGGRHHVCDPFSCGAFSNLSFPFRRQGDSPHCGPYKLVCTDTNATIVLIGSATYNVVSINDHAAYPYFWVVDTNLGMQGSCPLPHWDYHADGRQTLDFSPDYYFNTTWATFVNCSEKINDGSYSLVSCLSTTNYFIYVLLIWRNPGSARNFKPSCGYLAKTPLGGPGITVPLNASYEGVIKSMRKGFAIPFSLLETNYSVRECLAEYFRDFREAPRKFQIFGILQIESQFLFCLFDGFVSTNVVITSLMFIIQITVIVLVWCLKMMHVLCRFMLAPLAIFTFLAHKYWKTRITIDAIEKFLRMQQMLGGPLRYAYTDITAITSHFREKLGQGGYGSVYKGVLLPGNVHVAIKMLSNSKCNGEDFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPNGSLDRFIFSSEKRFSWDKLKEIALGIARGINYLHQGCDMQILHFDIKPHNILLDNNFVPKVADFGLARLFPRDNSFQGDDISAVVDMHELEKKLCVVGLWCIQMKSHDRPTMSEVIEMLESDINGLEMPSRPFFCDGDEQIPAVVLRSVDLCAMAMYVASHRSTGLQVLTVFSVLAVLVADVWGRHPVCHPFSCGVFSNLLHPFRRQGDSPRCGPYELVCTDTNATTVIIGSATYYVVSINYHAAYPYFWVVDTNLGMQGSCPLPHWNYHADGRQTLDFSPDYYFNTTWATFVNCSQKINDGSYSLVSCLSTTNSFIYVLTGYTFYARNFKPSCGYLARTPLDDAGIVVHDNASYEDVVKSMRKGFTIPFSLLETSYYSVRVRGCLTQTIREIGEDPRNDQILDILFINENLWFCLYDGFRSATISRLINNIIREVLLAMWFLKMMHGISDTRIYHFIYKQSTVKALFQMFVLVPLVIFTFLAHKYWKTRIAIDAVEKFLQMQQMLGGPLRYAYTDITAITGHFRDRLGQGGYGSVYKGVLPGNAYVAIKLLSSSKCNGEEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPNGSLDRYIFSSEKCFSWDKLKEIALGIARGINYLHQGCDMQILHFDIKPHNILLDNNFVPKVADFGLAKLFPRDNSFQVGVISPIVDMHELEKKLCVVGLWCIQMNSHDRPTMSEVIEMLESDVNGLEMPSRPFFCDDEPIPAVGSYYLSSELDDIEEEDDSSAYGSMAMFAASYCSTALQALTVFFVLAVLVTDVEGRHHLCRPFSCGIFGNVSHPFRRQGDSPHCGPYELVCTDTNATIRIGSGTYYVVSINDHASYPSFRVVDTNLGMKSSCPLPRWDYHADGHRGAELCVPHVLGWATFYNTVSDRNFKPSCGYLAMTPLGDITNIEDASYEDVVEVMRKGFAVSFPIESYSVRVKECLAESIREFHEGPRNITGIIDILFIDFDFWFCVFAKLISTDVITSMLITTILIKAIYTSYRIITKEPFQNNNKLLCAVLCSFCECNKCSVVHCDMPTTDIIAITGHFRERLGQGGYGTVYKGVLPGSVYVAIKMLSNSQCNEEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPNGSLDMYIFSSQKCFSWDKLKEIALGIARGINYLHQGCDMQILHFDIKPHNILLDHNFVPRVADFGLAKLFPRDNSFVPLSAMRGTVGYIAPEMVSRSFGAISSKFDVYSFGMLLLEMAGGKRNADSNIANSSQSYYPSWVYDQLIGQQLGKISAVVDMHELEKKLCVVGL >Et_1B_011152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18028220:18030626:-1 gene:Et_1B_011152 transcript:Et_1B_011152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRASLLLAAALCALAATAASASRDLRQPRAGFVVRGSVWCDTCRVGFETPASTYIAGAKVRVECRSKTTGEKTCSFEGHTDHTGTYNILVADEHEHERCESVLVSSPDMFCGKPVAGRERVPVFLTSNNGVASNVRMANSLGFQKDVALSECAQILKMYEEVDDRL >Et_1A_009131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30245234:30247464:1 gene:Et_1A_009131 transcript:Et_1A_009131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLVAAARRLLRAGGRSRILSSLLPGATASSPRGYSSEKQTPARPLSLQSSLYPLGHPGTLLVPEIELWAAKPRNRLRPVELQRIVKELRKRRRHRQALEISEWMNAKGHVKFLPKDHAIHLDLIGQVHGVEAAETYFNNLSEKDKTEKPYGALLNCYTRELLVDKALAHFQKMKGLGFLYSTLSYNNIMGLYTNLGQHEKVPSVIAEMKSNGVMPDNFSYRICINSYGTKADFFGMERMLEEMECEPQIVVDWNTYAVVASNYIKGDLRGKAYYALQKAEAKIDKKDSDAYNHLISLYGQMGDKSEVKRLWALQMSNCKRHINKDYTTMLATFMKLGEISEAEALLKEWESSGNSFDFQVPNVLLTGYRQKGLLDKAETLLDDFMKKGKKPPSTSWAIVAIGYAEKGDAAKAYELTKNALSVYIPNSGWTPKPSMIEMILKYIGDEVELKDAEIFVDLLKVAMPMNSDMTEALSWARGREEKKAEETTEALSSTSS >Et_10A_000066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21324317:21325826:1 gene:Et_10A_000066 transcript:Et_10A_000066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTAAALLTGRFAIVQSQELPHLFLHNMEKILHRLAQEHQNLNADVEQNCEHQRHDIDGGLDAPAAPDGEGAGEPNQSGARAHPKRARPEPVSGHGPAHEQHDDDEGRHEHCGVEVEERHEPGQVVAPERGVAGEVVAGDAVRQEPAGRAQPGLVRHRRRERREDEQVLARALHALRRFRCRRRALAGGGVVARPSHGEQREEQDVGADVGGDEAEEGEDVGRHGELPAAAGGVGPHEPELDEDHGGLQRAEPPAAAAERVREQEVDDDDGGHEGRGVAVEEDLVAASVADPEHRVAGVVLPEPARWAQPRRVGDAGVSTRI >Et_10B_002763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10750971:10754663:-1 gene:Et_10B_002763 transcript:Et_10B_002763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LQINDISLSDYLAVSATKHAIYLPHTAGRYSAKRFRKAQCPIVERLTNSLMMHGRNNGKKILAVRIVKHAMEIIHLLTDANPIQIIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANHPQIFYDYVKSFSFSRTTFPYKQERGSDLHPTQAYEL >Et_7B_055780.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:4290500:4290721:1 gene:Et_7B_055780 transcript:Et_7B_055780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMGAVRVMCGAEEERVLGTHKAPGACPRCGGPVVATDVESERRILCLPLRLKSKRKYSCTRCFRRLVTLIS >Et_1A_007828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38590722:38592931:-1 gene:Et_1A_007828 transcript:Et_1A_007828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVDAISVDEDASSRSVENTDSKRDETKVSEDVISLCGDGHPGEVSDDEESACLKTEQISCNNYDPADDVYPMFIFPNSRHRDGSIYRGPDEWTKDHHIADRNETRFQAMMLSDPTDCMFLDGTCRKHSPSRMLQIFSLKLAKILVHGGGSLELYGYLATRDILDPLLNYVVNFSRDDPIIVEQGSLIEMTGPKRGIELYDTTLIEYDIRIKTGEHEKDDIQLIDGLSMVHDLSMATCKTLTRRIHGDCGAVDITVSRLDKAVEATVEVVISEVQSSFKLCLGCFVSGLPEEI >Et_9A_062515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23662560:23663215:-1 gene:Et_9A_062515 transcript:Et_9A_062515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVKFVLLFLAAVLLSHSNGYHRGGTAAAMGLPPPPPTVNFSIGVQGVVWCKSCRYRGYFPPMDASPLPGAVVYLRCRHGRRAATFRGVSGAGGYFLIQTSQQVAAFTSQECRVYVPRSPVRACSVPAYPTGNKGLRLKFQEFVKRGNGLQGLYSVGNRLFRPKYPNQC >Et_2A_015366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11771016:11773172:1 gene:Et_2A_015366 transcript:Et_2A_015366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPYTFLRPNKDLFFSFQRSLVFPPPGPPATPPLAKVELPLLSLLPSSHDRNHAAGHNNHQQPKMQQEEVMEAVDPPSPEIKLQIGPPSPSSPSHPLDLAESTVVDTNDDPLKVEEGKDPGSEGTCSDGHCSEYLAIGKLTKGKYWIPTPAQILIGPTLFACPVCCKTFSRYNNLQMHMWGHGSQYRRGPDSLRGAQPAAMLRLPCFCCAPGCRNHVDHPRARPLKDFRTLQTHYRRTHCARPFLCRRCGKALAVRGDWRTHEKNCGRRWRCACGSDFKHKRSLKDHVRAFGRGHVEVTPPANARQDVTMH >Et_1A_007237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32432794:32456526:1 gene:Et_1A_007237 transcript:Et_1A_007237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEALLAASATTEKHGDGEEEGLVVREHACLKRARRREASSGPPRDTSGHALGAHRCMEGEALLAATSTTEKHSDGEEDGLVLREVKKQLYLAAPLVVGFLLLNAIQMVSVMFVGHLGELALSSASMATSFAGVTGFSLLAGMACSLDTLCGQAFGAGQHHQLGVYKQRAMLVLALVSVAVAAVWTCTGEILAWCGQDPEISAGAGSYIRRLIPALFVYGALQCHVRFLQTQNLVLPVMLSAGATAMAHPAVCWLMVRRLGLGAKGAALANTVSYLVNLSILAVYVRVSPSCKRSWTGFSREAFRGVPDFLKLAVPSAFMVCMEWWSFELLVLLSGLLPNPKLETAVLSICLNTNSLAFMAPLGLGAAISTRVSNELGAGRPQAARLATRVVMLLAITVGISEGLLMVLVRNLWGHAYSNEEEVTNYIARMMPVLAISILLDSQQCVLSGIVRGCGRQKTGAFINLAAYYLVGNPMSFVFAFLGHLGGMGLWFGIMCGLVVQMLLLLSITLCTNWNKEEEALLAATATTEKHGGDGEGGLVVPEVKKQLYLAGPLVVGCVLQYAVQMISLMFVGHVGELALSSASMATSFAGVTGFSLMWWSFELLVLLSGLLPNPKLETAVLSICLNTSSFAFMTPLGLGGAISTRVSNELGAGRPQAARLATRVVMLLALTVGVSESLVIVLVRDLWGHAYSDEEEVINYIARMMPLLAVSILLDSQQCEALLVADDTRAGKKEEEEEESLVVPEVKKQLYLAGPLIAGFLLQNVTQMVSVMFVGHLGELALSGASVATSFAGVTGFSLLAGMACSLDTLCGQAYGAGQHHMLGVYKQRAMLVLTLVSVPVAAVWAYTGEILMWCGQDPEISAGAGSYIRWLIPALFVYGPLQCHGRFLQTQNLVLPVMLSSGATALFHPAVCWLMVRRLGLGANGAALANTVSYLVNVSILAVYVRVSPSCARSWTGFSREAFRGVADFLRLAVPSAVMVCMKWWSFELLVLLSGLLPNPKLETAVLCICLNTSSMAFMVPLGLSAAISTRVSNELGAGRPDAARLATRVVLLLALTVGASEGLLMVLVRNFWGYAYSNEEEVARYIAKMMPVLAVSILLDCQQGVLSGVVRGCGRQKLGAYINLAAYYLVGNPTAFLFAFVCHLGGMGLWFGILSGLLVQVLLLLSITLCTNWNREKTEYMRLSSRLILPPLVVGQSITILSGSSGAAAIASFALSPHIASERLYLWSSSSALGTTPVFTALSYRALRLRTISYISVYLQSFLSRRSLTAAIRVAVFGCTPLLLTCSARASKIFIKSSFDHVCGARPGFSVLLDGEGSDTAFSSLPPGLLTLPDEVGSGGAFSGSALAFFTLLVDVTSGAAFSASDLAFFALPVGVTSGAAFSASALAFFDLPDTAGSGAGLFLSSCSAVLDFLADAAADAFFCVGSGTSGSDSEGSPSSSSSSSESDSG >Et_6B_048866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14800513:14805577:1 gene:Et_6B_048866 transcript:Et_6B_048866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMARALAVVALLCAAATMATAQQASNVRATYHYYNPAQNGWDLNRVSAYCATWDANKPLSWRQKYGWTAFCGPAGPKGQAACGKCIRVTNRATGVSTIARIVDQCSNGGLDLDYETVFKKIDTNGQGYQMGHLNVNYQFAIKAMARITGARVLAVALLLCAVATMAVAQQASNVRATYHLYNPEQNGWDLNRVSAYCATWDANKPLWWRKKYGWTAFCGPAGPKGQASCGKCIKVTNRATGASIVARIVDQCSNGGLDLDYETVFKKIDTNGQGFQKGHLNVNYQFVSC >Et_3A_025103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26932460:26933018:1 gene:Et_3A_025103 transcript:Et_3A_025103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIAGGRLPEKAQFKLGLRTLGHVSRARGGRDPCAARSQSGDYTTRSAACTGTAGTASDDDEAARPTHLSRCVLSRLALDRRHARTHAPARNPRRILLLLSPRPRGSRARCPRACRRVPSVSAPQIRLPPPPPPPPPPPPATKAPFPHASPNPPAQSHLLLLRPSPGSPLRRRP >Et_7A_050210.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:12067102:12067479:-1 gene:Et_7A_050210 transcript:Et_7A_050210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NDNPNSFLHFSESLIASNFSSISISLGSNDTSISSSVNCLKLLEAARLNDKVLVDKKMHVLDKEEKEIAVEEELDKFILNHLCGEIMDEVMDLGDDQCDPIIPNKTSSSKKKKKSRKNINLSGLS >Et_9A_061260.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:304488:304499:-1 gene:Et_9A_061260 transcript:Et_9A_061260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHS >Et_7A_053041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5455674:5457593:1 gene:Et_7A_053041 transcript:Et_7A_053041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREERFPVWEAALGAGVAAAFAAGLVGVYLSMPDSDYSFLKLPRNLEELQILTGHLENYTSDYTLQVLTFMIPGTIFMSLLAGALFGQLRGLALVVFAASAGASSCYFLSKMIGKPLVFSLWPDKLSFFQKQVAKRREKLLNYMLFLRVTPTLPNTFINLASPIVDVPYHIFLLATLIGLIPAAYVTVRAGIALGELTSLSDLYDTQSIALLFLIGVVSVTPALLGKDEAQEKPSETPGSS >Et_2A_018376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25296748:25311144:-1 gene:Et_2A_018376 transcript:Et_2A_018376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNPLFDWVSGQFDSDGIRAQPRNALTKVLASKRPLQLTMTTMIFVAKKSRIAELTSLLVAIAISVATEVATEEERICIDSLANARGDVKINDFNVSRIVSGIAGGESIMVETSMGTTPYFSPERFMAADVWGLGLIVLELFMGRPSIVPDAEEPKAEDWKEKMCDREAPSVPEYMEASPELREFVAACLHKDPTRRARVPHLLKHPFVTQRDVQASSRALHQLIVENILELEKLPRESALMKIMEAKVKMMSDTRPNQLINLLATCWVSTPLKNAFDDVTVSQFQDAVQDK >Et_1B_013744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1502545:1511090:1 gene:Et_1B_013744 transcript:Et_1B_013744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPPPPPPPYQRNDTAAPRPPPPPPPLHLRSSPAPSAPPPPPLPPPKLSVDSNASQKMSTIRPPLPPPGPPRKDSLHSLPSKGSVVSSVPPPPPTFSSGTKNRSTSRSKSPRSLRANQSTKRTPLKPLHWVKVSRAMQGSLWAETQKADEASRTPEIDISELESLFSVSMPNMEAKRQRQNPSVATKQEKVLLGSVLALDDSLVDGDQVDYLIKFCPTKEEMELLKGYNGKKENLGNCEQVADLKNSLNIINSVAEEVRSSVKLKRVMQTILSLGNALNQGTARGAAVGFRLDSLLKLSDIRARNNRMTLMHYLCKVLSEKLPEVLGFERDLTHLEPASKIQLKELAEEMQAITKGLEKVEQELATSERDHPETEIFYRKLKEFLADAQAEGRSLALLYSSAGKSADSLAHYFGEDPVRCPFEQVVSTLLNFVKTFERAHSENIKQMEQEKKRAQAEAEREKVKLTAHKKGQSPERGIPD >Et_2B_020003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16049268:16058706:1 gene:Et_2B_020003 transcript:Et_2B_020003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMISAKRIAQMAKKWQRMAALGRKRLSWAVPKEADECCASVADKGHCAVYTADGRRFEVPLEYLGTPVFAELLRMSQEEFGFMSDGRITLPCDAAVMDYAMCLLRRSASADVEKAFLNTMEISLQYARCVAPSVGVCQQAAVFKASLLSEHKMYSITIASHGSVILPVSLAYPNSSCDIVRSSPKTIVRSLHTRKKSAAS >Et_7B_054723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3845150:3847702:-1 gene:Et_7B_054723 transcript:Et_7B_054723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGALLLLALACSASAWPHDGAVGVGAGAAAGFSRVAGGERRYRDLAQRRLESVRSSFGARRDLATSSAGARVYHVTDYGADPTGAADATDAINKAIADAFRPPSNATMTGGIPDLGGAEIHLDGGMYLIKGPLTLPASGGGNFKIHSGSLRASDDFPADRYLIELTATSSSRSYDYEFATLRDLLLDCNHRGGGVSVANSLRVGVDNCYVAHFATDGVAVRGGHETFIRNTFLGQHMTAGKDPGERGFTGTGIRLDGNDNSVSDVVVFAAATGIMVTGGANAITGVHCYNKAAGFGGVGIYLKVPGNTQTWITNCYMDYTGIVAEDPVLLHVSGSFFLGDANVVFKAVNGVARAVQVVGNIFNGRDKGVDIVQLDGKFATVEQVYVQQNGAMGMTVKSTTARGSTDGNGTSWTVDFSPVLLFPDRIGHVQYSLVTGDVFPSHTLQNISGNQVVVATDKAVSATVHVLVDQNSD >Et_8B_058586.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:406865:407177:1 gene:Et_8B_058586 transcript:Et_8B_058586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIILETDAAELKKALTSMEYEQYDRHANGPLFQIMDPGFDSSDVSNYPRSCNKVANCLAMYGASVVCSGSDMFMSQVHTFVSHLVSGDMPEQQGNGN >Et_4B_038277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27793507:27795928:-1 gene:Et_4B_038277 transcript:Et_4B_038277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASYGGGAGGGHLSSHKDLVGRGGRSFLFGNTWFLLSTYSARLLHTTDRRAPTALFAAINSAPGVRSHCAGQGLLQRGGIVMAACGYAFRRAELGATKRQPDKDTSVGMRTSRIAAMGSVGSAARPDVSFRYRGVECCKKVGASLKCREPWGNRAFWTNASGPGWKLSSAVEPWARDFSRLCLAPYSAGATEHQLSLDEKMDSSTSASDGKSPTPETLKLVSGSCYLPHPAKEATGGEDAHFICDEEHVIGVADGVGGWADLGVDAGLYAKELMSKSMSAVKDEPEGIIDPSRVLEKAYTSTKARGSSTACIISLKEQTIHAVNLGDSGFVVVRDGRTVLRSPTQQHDFNFTYQLESGGGSDLPSSAQVFHFEVAPGDVIVAGTDGLFDNLYNNEISGVVVEALRVGLSPQAAAQKIAALARQRAMDKNRQSPFAAAAQEAGYRYYGGKLDDITVVVSYVKSATSA >Et_7B_055347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9599218:9603340:1 gene:Et_7B_055347 transcript:Et_7B_055347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGAMSPTRMLAEGHLRVATGGGAPADGGIAVRHLPHHHPAKKDSVGGKTEQGNLEDEISLPSQELNKLVNGNSKVPATLDEYKRLVVPVVEEYFSTGDVELAASELSGLGSDQFQHYFVKKLISMAMDRHDKEKEMASVLLSALYADLLSSHMMSEGFMMVLESTEDLTVDIPDAVDVLAVFVARAVVDEILPPVFLIRARALLPEFSKGIEVLQVAEKSYLSAPHHAELVERKWGGSTHFTVEEVKKRIHDILREYLDSGDIDEAFRCIRELSLPFFHHEVVKRALTFGMENMSSQPLILKLLKEATAGCLISSNQMSKGFSRVAESIDDLSLDIPSAKTLFDKLVSIAISEGWLDASFSKAATPEEDMRNASGEKIKHFKIESGHIIQEYFLSDDVPELIRSLEELSAPEYHPIFLKKLITLAMDRKNREKEMASVLLSSLSLELFSTDDIMKGFIMLLQSAEDTALDIVDAPSELALFLARAVIDEVLIPLNLDEISSRLRPNSSGRQTVQMARALLSAHHSGERILRCWGGGTGWAVEDAKDKISKLLEEYNTGGDLGEACRCIRDLGMPFFNHEVVKKALVMAMEKQNEDSILALLQECFSEGLITINQMTKGFARVKEGLDDLILDIPNAQEKFGAYVELATGRGWLLPTFTAAA >Et_1A_009048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2717492:2719099:1 gene:Et_1A_009048 transcript:Et_1A_009048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLPLAAVLLAAALPLLFLCPAADAGTVGINWGRVANDLPQPAAVVQLLKQQGITQVKLYDAEPTVLRALANTGIKVVVTMPNEVLSAAATRPSFAQAWVRRNVAAYYPATQIQAVAVGNEVFATAKNATAQLVPAMANVHAALARLGIDRAVKVSSPVALVALASSYPPSAGAFKEDLAQAVMKPMLDFLAQTGSYLMVNAYPFFAYSGNTDVVSLDYALFRPNAGVLDPGSGLKYYSLLDAQLDAVFAAVSKLGGDYNAVRVVVSETGWPSKGDAGEAGAGAANAAAYNGNLVRRVLSGNAGTPRRPDADIDVYLFALFNENQKPGPTSERNYGVFYPNQQKVYDVEFVLGGSGHAGGNGGLGWQENGGPSPSDPTSGGGVKATKTGEAWCVANAMAGQKKLQVALDYACGEGGADCMAIQPGAACYEPNTMVAHASYAFNDYFQRKGRSMGTCYFGGAAYIVNQQPSKSPRRSSSPRAIFIHSSQLGNLVCLFS >Et_5B_043845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16783687:16784513:1 gene:Et_5B_043845 transcript:Et_5B_043845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENNGHRRALPIWSPKQGRQSIGTPAHTASVVEFQPQCVQNPPTAGCARTSSCGPYVKEAGELEHRERKEEHAAAADDVQTIMLARQTIMLLRWNPSLAPAPHGGQTIMLARQTHPTRKPKWEERCLNPKMIKLNTTYTRDK >Et_5A_040997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16275690:16284193:-1 gene:Et_5A_040997 transcript:Et_5A_040997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLPRWAPTPSPTRPIITSSGQTAMSSWRSWAACNSFSIFTSRAPPTGPLENAVEAGSDHNQYRRNAGALDGGEAVEARRRVFITWEDLSVTAAGRKGSRAVILDGLTGYARPGEVLALMGPSGCGKTTLLDTLAGRLGANMKATGDILINGRRGKLAFGTSAYVTQDDLLMATLTVTEAVHYSAQLQLPDTVPAAEKRIRADRAIRQMGLAAVAGNRIGGRVCKGISGGQRRRVSICIELLASPALVFLDEPTSGLDSAASFHVMSRIARLARDEEMTVVAAMHQPSSEVFQLFDELCLLAYGRMVYFGPASQAIEFFDANGFTCPLRRNPSDYFLTLINKDFEESYDEEGYIRELPSAAKVIETLVESTRSRGGYRMNKEACSADQDASPIKKTQATFLTKSIALTKRSLVNMHRDTGYYWLRFIIYIALCVSIGTIFFNVGSKFASIQARASMIMFTSTFITMMSIGGFPSFVEDMKIFRKEQLNGHYGATPFVISNTLSSTPYLGLICIVPGAIAYYLTGLQRGIDHFVYFVIVIWACTMLVEGLMMIVAAIVPDFLLGIITGSGIQGMLMLNAGFFRLPSDLPKPIWRYPTYYISYHKYATQGLYKNEFLGLIFEDPGGGGLTISGEYILKNYLQVEMGYSKWVDLAILLAMVFIYRVLFLVIIKVAEKVKPLIKSA >Et_3B_030122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30701382:30702007:1 gene:Et_3B_030122 transcript:Et_3B_030122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASISTRIIIGLLDPAVYEVLTWCAYAVQDVDLAWFRNPLEHISMAADITTSSDFYFGNPDDLGNFPNTGFIYFKSTARNARAMAYWHDARRRWPENHDQFVFNEIKRELVSVVGVRIKFIDTAAVSGFCQLGRDLNRIATVHMTCCIGLENKLFDLKRVILDWKRYMARPLWERQMGKIGWTFEGG >Et_1B_012581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33437277:33437592:1 gene:Et_1B_012581 transcript:Et_1B_012581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVMANRNLTPHMDLWFLMDFERGGQAAQLFVYVGRLGGTLRIYNPRSSLSTDVTQIGCCSALGVYTGSLLSFANSA >Et_9A_061468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12359564:12364253:1 gene:Et_9A_061468 transcript:Et_9A_061468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEAKQDVFTKDGSVDLRGRPAVASRTGRWKACAFLVGYEAFERMAFYGVASNLVVYLTTELREDTVASVRNVNNWTGAVWMTPIVGAYIADAFLGRFWTFTVSSLIYLTGMVLITLAVSLKSLHPHCTPAGACAAATRRQVGFFYAALYTMAVGAGGTKPNISTFGADQFDDLDPREREAKAPFFNWWMFSSFAGGLVAVLALVYVQENVGWGVGYAVPTAGLALSLLLFYAGAPLYRHKPVMKLVGRVLGAALANRRRRRPAAPTSEGALHERETAWYAAAGRRRLHHTPAYMRFLDKAALRPCSTEEETEATRKKEPCTVTEVEEVKLLAGMVAVWATTLVPCTIWAQVNTLFVKQGTTLDRAIGGGGSVRVPAASLGSVVTVSMLLAIPVYDRVLVPLARRRTGDPRGVSLLRRLGVGCALQVLAVACAALVELRRMRVVRQMPVLPGAGDTVPMSVLWMLPQYALLGVGDVFNSAPDGMRSLGTTFFTSGLGAGNFLNSLLVTLVDRATRRRGGKSWIGKNLNDSHLDYYFAFLVLLALANMGFFVWVAKWYKYKREFLGVEMDTPPPLTVKGGQAAG >Et_9B_064689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17681439:17693066:1 gene:Et_9B_064689 transcript:Et_9B_064689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVPLLLLFSSTSLLTATSEYVEPIHFQCVSNTNYTRGGAFRANLDAVLSSLPSAAASSLGFAKNATGAAAPDKAYVLAQCRRDITASVCSNCVEKMARKLRSECLGLTSAIAVSGTCLLRHSNVSFFGEGESSFLGYNHGAANVAQPELFATRLDALMNNLTRMAAYGNPRLFAVGVTDHTPLSKIYGMAQCTGDLAPDDCYKCLNRGVYYITTNWDREKGGQSVLWSCYLRFESAIFYNLHAAEAIMSTALAPVPAPGDGRSPNHGDQSGQGSNPTVRTALLVSVPVAVTLLILLFVAVYTCKKNRKLHKHGNTAVNRDEDEEMGGLESLRYDLSTLQAATENFSEKNKLGQGGFGPVYKGTLQNGQNIAVKRLSTESKQGQAEMKNEVVLVAKLQHKNLVRLLGYCTEQKERLLVYEFLSNKSLDKLLHAMSSLLAFLLCSLTLAALANASDPYYSYIDCPSNTNYRRGSAFQANLNALLSSLPGAAAASSGFAKNITGAAPDQAYGLAQCRGDLNASDCLACLGTAAKDVASNCPGQMSAMVIYEYCLLWHSHENFFGTVDTWVVTFMANPTNATQPARFTSTLVPLMGNLTAKAAYASPRMFAVGSAAVTPFVNIYGLAQCTRDLADDDCNSCLTVAVTYIPTCCNEKLGARINARKCSIRSTTYRPRCPRGGQPINGSDHSRPGSRGHGEDEEMRNPESLLYHLSTLRAATDNFSEENKLGEGGFGPVYKGILQDGQEIAVKRLSTTSHQGQVEMKNEVFLIAKLQHKNLVRILGCCIQEHERLLVYEFLSNNSLDKILFDAARQHELSWGQRHKIIEGIGRGLLYLHEDSRFTIIHRDLKASNILLDKDMNPKISDFGLAKLFNVDSSVGNTNRIAGTYGYMAPEYALRGIFSAKSDVFSYGVLVLEIVTGRRNVFM >Et_7B_055741.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3203982:3204236:-1 gene:Et_7B_055741 transcript:Et_7B_055741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRSIPVLPQQPFAPSSTLLSVAAGRRDAALPAGCCLPRTSSTSMTESVTGVHDFKVTGFSLLEGIGIGRRVTSRTFAVGGRD >Et_5A_042445.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1094301:1095656:-1 gene:Et_5A_042445 transcript:Et_5A_042445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATGPVPPAAGAGAGEEAAMGTVLLGRYELGGLLGRGASAKVYLARDLRTGRSVAIKSFPNPRAGAGGARDDDGGRRPGLAIEREAAILRRLRHRHVVRLHEILATRKKVHFVLDLAAGGELFSLVDASGRMTEPMARHYFRQLVSAVRYCHARGVFHRDIKPENLLLDDAGGLKVADFGLGALSSNSGDDNNDLRHTLCGTPAYVAPEILSKKGYDPAKVDVWSCGVVLFVLAAGYLPFNDASLVNMYRKIYAGKFRCPSWFSPALRDLLRRILNPNPAGRIDTDGILTHPWFIDGCETEDLAGLMRCGPHEEEEDAAWFKPKEDEAADMARDMTAFDILTFSSGSDLSAMFGAGPGKERVFVGEPAAAVLTRVEDAGKKGGYRVRREGKKGGAVYIEEEESGIVAKVAVFRIADAVSVVEVVKGDGADAALFWKDRLLPAVKPPPLS >Et_1A_008363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:705657:708743:1 gene:Et_1A_008363 transcript:Et_1A_008363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIDRQRVLLAHLLPSSSSSQPQLAASPCAAGDSAAYQRSSSFGDDVVIVAAYRTPICKAKRGGFKDTYPEDLLTVVLKAVLDNTRINPGEIGDIVVGTVLGPGSQRANECRMAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMSINSIGWEGQVNPRISAFQKAQDCLLPMGITSENVAHQYGVTRQEQDQAAAESHRRAAEATASGKFKDEIVPVPTKIVDPKTGEEKKVVISIDDGIRPGTTASGLGKLKPVFKKDGTTTAGNSSQVSDGAGAVLLMKRSVAIQKGFPILGIFRSFAAVGVDPAVMGVGPAVAIPAAVKSAGLEIKDIDLFELNEAFASQFVYCCNKLGLDRSKVNVNGGAIALGHPLGATGARCVATLLNEMKRRGRDCRFGVVTMCIGSGMGAAAVFERGDAVDELSNARPIQSHNFLSRDTK >Et_9B_063963.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:6921882:6922034:1 gene:Et_9B_063963 transcript:Et_9B_063963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRPTSHPAGAGAGARIHPWVRVRVTYFTRSIFLYGRAFASPDPNPTRCHP >Et_4A_033380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22014132:22015650:-1 gene:Et_4A_033380 transcript:Et_4A_033380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSTTTSPWSSLPDDLVRRVASLLLSGDLLDYVRFRAVCTDWRSTNPSPRGRGVVDPSFHPRRWMMFPEGDGLYPGHPNLDGHVRFFNLDTGAFVRVHIPLFDDHCALDSVDGLLVLQRDHDTAVRLLHPFTGDVVDLPPLDTLLPQMKRVFRGLRGRKKLRGVRSVSTAATFVDGVVTVMLAFRHAYRVAVATSRGDRQWTMSPWLYEICDVPIASQGKMYVVDVYTEEHDGISKIYQMDTPLPGEVLQPPNLIVTCPEHKLCSPLYLVECDSEVLVIGHSDSSYSKPLVYKLADLVAGRYDVPVTSLGDKAIFIGKRTLSASSKALPTVEGDTIVYHHPREPYLAQYNIGSGTWSPAMDECSLQGLVPGPCALTHHILTCANRAFWNKGLLIHRKDYSGLFLRWPVKGELRHGVSRLLVLICNVHASLWSFSKLLYGHRSDTWFCNLILLLGVNTSWTEP >Et_4A_034781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6471230:6475764:-1 gene:Et_4A_034781 transcript:Et_4A_034781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYTTCRDMMSCLGMLAVACLLGAAVVARGQLTGDFYDDCCPQADDIVKARVSAAMQAEPRMGASLLRLHFHDCFVNGCDGSILLDGSDSEKLAVPNLNSVRGFEVVDAIKADLEKACPGVVSCADVLALAAKYGVQLSGGRGYNVLLGRRDGLVANQSGANSNLPSPFDAISVIIKKFSDVGLNTKDVVVLSGGHTIGRSRCALFSKRLSNFSATSSVDPTLDSSMAPRAFAVARARRPYQQVVLLSVAVALVLGAQSGAAQLCEDHYDATCPEVHRIVRRVLKKAHKDDARIYASLTRLHFHDCFVQRCPAGGDGTALNDLDPTTPDGFDNNYYANIEARRGFLQSDQELMLSASGGAPTAAIVGRFAGSQKEFFRSFARSMITMGNIAPLTGGQGEIRKDCRRVLNGS >Et_5B_043629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13131471:13134407:1 gene:Et_5B_043629 transcript:Et_5B_043629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLRDLGIEQNKGLTSTDTISDLDPFEVSVHTTAFIVDFKMTSRKSNRPTVASHTALHMEWDRISCPICMEQPHNAVLLKCSSYNNGCRCYICNTSHRHSNCLDRFRKMNGDSKVRASHSTYSVLSNSNIRTVQPRAHYNMISRRSRSPIFGRHDDTEGSYRSSGNSALSMGEGNIVTGACHDAMQISAEMKCPLCRGSVSGWIPAAEVRQYLDKKFRTCCHDSCRFAGTYEQLREHGRTAHLLTKPAHVELSRKRTWDRLEREQEFGDVISAIRSQIPGAVIVGDYVIETRDVMSPDIDSDDEGSEEWSPVRDQDELPDNRLGSPWSNETLGSPSIWPDERHGLPRFVPQDNRGSLRRSFGDRRSLRSDWLGVRRPSTQSLLRRGFSTRHSGHHSSYRGFRPSGSYAGNRNAGTSRSFNDPSMGRRQRLRYTHRSQH >Et_7B_055785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4557526:4560882:1 gene:Et_7B_055785 transcript:Et_7B_055785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPDTVAGKIEEGKHKVKQMELHMADMDREIEMLEKVVGSMEVEMGYELDLVLHCGDVEIMRRVRQRCSPSAPLSEAEERSLCDIRDLAASAIAEYTANIGPVPSYDRPINPLSDAIRLPLPRGLAGVSASRYSTINWTKLSELMFGILLLLHVSGHWLGNILLLPAIVVVQVEEDSRVEVQEILKGLHVSSCLKLLGILSILFCR >Et_9A_061238.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:23683529:23684032:-1 gene:Et_9A_061238 transcript:Et_9A_061238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGTSPRTSAFWETVRTWSDRSAASCGGSVPDRDGLPRTASCCRREDERLDAGGREKGVVAPPSEGFLKQSTRNRPRSSGREKAPAGKDAASLHVGLGGTPKSSRATCIRPGADADGLHSSPGHGEPQGSAPAAERQSRSDETDSITRSSVSRSAGSMAAPAGEAS >Et_2B_020499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20791057:20796672:-1 gene:Et_2B_020499 transcript:Et_2B_020499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVELFLGSSTAPVDWEAEAYPAYGDFAVLPFLVAFFPAVRFLLDRLVFEVLAKRLVLGRGYNKLAETDESRKKINKFKESAWKFVYFLSGELLSLSVTYNEPWFKDTRYFWVGPGEQIWPDQKIKLKLKAVYMYAAGFYTYSIFALLFWETRRSDFGVSMSHHVATVVLIVLSYIFRFARVGSVVLALHDASDIFLEIGKMSKYSSCEWLAVVAFLLFVLSWILLRLIIFPFWILRSTSYEVLLTLDKEKHQFYGPIYYYVFNSLLFSLLVLHIYWWVLIYRMLVKQIQSKGRVGDDVRSGLALPKSIKVATALHHQGKICTFDYLDRNYGVIKKRQQIGVIEE >Et_5A_042146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6808947:6814364:1 gene:Et_5A_042146 transcript:Et_5A_042146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLALGIYFFLPLADDVKRAGWIRLLHGESVEDGGNIDVSNFIGSTTRRLGFPGGDIKAEMMPPSWNNFLRSLLRFVVVRSGHNKGLRRICRLVFLFPQWQKEEEERHKKEKVSPLRLQECWLPFIGLLFSSLLPGVCPCTLSVCLCRLLYEASYRFGVEILDYTRFLDDQDVLCVCNLMMYSTMLDDELLKQAKVDILSCAKIKFGTGRGETKRLREVEAGRRSSSNKAKTRFSHEGQGRINCLGPAPSNLG >Et_2B_019682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12201229:12205395:1 gene:Et_2B_019682 transcript:Et_2B_019682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFQPNPLSLSVPDPALDRWLRDSGYLDLLDSTTTASAPAASHPSATASAAAATNPSTASAGVAAGVLAFARTLASLLALNPFARLSAADLAGPTPSWSLAFIGPPGAASYSWPPTPTQARLRVQENWGLTTRAPGIAQALLHCAQIATAILLYVCNLQFALVYAIGLSYAVMMLHASLRKLTPSSLPDPSNRSRRAQPKRS >Et_7A_051743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2423629:2426361:1 gene:Et_7A_051743 transcript:Et_7A_051743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKSIRGVCKREDKGRRRLKFGGSASAGTPGCVGNGGNAGNSAVEENGDEGGAADEDGQAADERVVAPDPVLHPLLPRPQRPDVRLPLRQKDKEEPNG >Et_5B_043858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16795775:16799676:-1 gene:Et_5B_043858 transcript:Et_5B_043858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAFSCAGGARLHGSVGVGRAECRPSAAALVLRRASCFLPGARRWSFARGVRADLLPPPRASADGGASAVVVPEAVDVVAADSSAQVAHPGVLPETHGKAGGADVDDGAGGNGKFPPAGGGGGGDGDNGGGGGGGGEGDEGEDEFGPILSFEQVVQEAEKRGVSLPNLPADMVEAAKSVGIQKLLLLRYLDMQASVWPLGPAIRSCSLLRNRMLVDPAFLFKIGTEIVIDTCCATFAEVQKRGEEFWSEFELYAADMLVGVVVNVALVGMLAPYARFGGRSASEGPLGRVRHAYDALPSSVFEAERPGYRFSVQQRIGTYFFKGILYGAVGFFCGIVGQGIANLIMTAKRSVKKSEHDVPVPPLIKTSIVWGAFLGVSSNTRYQIINGLERLVEGSPIAKRVPAASLAFTVGVRFANNIYGGMQFVDWARMSGCQ >Et_3B_029205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2316553:2318631:-1 gene:Et_3B_029205 transcript:Et_3B_029205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LEPGQGALAAASDSSDRSKDKPGDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIFISSSVDQTHSKSGNGALAFDMEYARWLEELNRQISELRAGVSAHAGDADLRSVVDKIMSHYDEIFKLKGNAAKADVFHVLSGMWKTPAERCFLWLGGFRPSEVLKLLSTQLEPLTEQQLSGIGNLQQSSQQAEDALSQGMEALQQSLAETLAGSLSSSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQLQRILTTRQSARAFLVISDYSSRLRALSSLWLARPKD >Et_4A_033165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19456520:19459095:-1 gene:Et_4A_033165 transcript:Et_4A_033165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAAVTVGPGMDMPIMHDGDRYELVRDIGSGNFGVARLMRNRGDGQLVAVKYIERGEKARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTIQRILSVQYSIPDYVHISPECRDLISRIFVGDPSTRITIPEITNHPWFTKNLPADLMDESTTSNKYEEPEQPMQSMDEIMQILAEATIPAAGSRINQFLNDGLDLDDDMEDLDSDADLDLESSGEIENKEMLQFVVLYANLFSANVLQ >Et_3A_024710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23506954:23513537:1 gene:Et_3A_024710 transcript:Et_3A_024710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGDRARAPKRHKSSAPSKAALVDESAEFDYADDFDDDALDADKEVKKRDFTKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETSTIISVLSKLSKTKLPSEIIDFIHASTANYGKVKLVLKKNRYFVESPLPEVLKTLLKDEVISKARISPEDSLGGPSFSVSKTAGEIVSGHEDLLNGMELAAATEDKETHSFEIDPSQVENVKQRCLPNALNFPMLEEYDFRNDTVNPDLDMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIKDDHISRFTSDNKEKFKGMAGVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVLYVMNPNKFRACEFLIKFHEEQRGDKIIVFADNLFALTQYAMKLRKPMIYGATSHAERTRILYQFKNSPDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLVDQGYSFKVITSLPPPDVKLSYETQEEQLRLLSQALNAGDDMIGIEQLEMDADGKALLKARRSSGSMSAFSGAGGMVYMEYSTGKGKGAKKHKDPAKRHHLFKKRYN >Et_8A_057255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22853923:22856594:-1 gene:Et_8A_057255 transcript:Et_8A_057255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLMRLAAAVRSVSSCRRAVASAPRRHAASAAAAVEDYWTEWEEEEEERRALASAPAAETCPGDGGPRGVQWVVMGRPGPQKHAHAARLAEVLDVPYISMGTLVRQELSPVSQLYKKIANSVNEGRLVPEDIIFGLLTKRLEEGYNKGETGFILDGIPRTRMQAEILDEIVDIDLVLNFKCADDCLMKKQSRSDVCSHCGQLFDTRKSASASCKPLLGSYPWHSHAEPAGVVGLGDSRMEKLRTYAKQTKLLEDYYKEQRKIVELKTSARPGETWQGLVAALHLQHLDAPPTPHKLTVKDDEIEKYELY >Et_9B_065432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:508069:524054:-1 gene:Et_9B_065432 transcript:Et_9B_065432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVESDSLNTKLSTLEVMFAVVKRAVEATIAIELLHGEFNGNITAHTTSIQNSLVLYDSQVAGAWIGDRKATIQLLRPVIAVSVQEMLTVNIVGVTGSGETEQATVEFKPAVNGGVKAEVACGSCLMALKITWSIIDQEYLGSPYPCPAGKATAGRVPACALPCPTLVWPARAGVASPSGVPTSAPSWRKMLLRSLQALEPFTEEEAKRAEERKELLQYTRKMELYKQEHQTDLQWELKDRLRDFDPKQGGTYYNRYHHVDLRKFDLDEESPLGPMRFTNAVYKDEDDYELCGGINIYSVKIACSDVGFPIHVYGTVIARDSIDRKCVYLFRSDRDHCQIINSEDDSLVLAGPKRGLAKMDDVHIETDLKIRDNQGQDRELSKGVLTLGRIGSLTKLKVKSVSLATRLSTVDVLYGYVVDAVEGTMGIEVIQGYFDGQITAHTTSTPNRLVLYDRELHGDVKGAIQLTRPIVCVSLKEMLVIVAKTRDGKSKRTILFTPRVNSGDEDVIVVGDVRMRVKMLTVNIVGVTGSGETEQATVEFKPAVNGGVSSSCLWLLSDGLEDYLEFAGLEPFFFDEAVVVAEHAAAEEKRRKKEQEEDLKKERMMQKAIAYQSVLDKITEYDPKLGCEYITRFYMADLSVYSTSTRTPLGPMRHTETHTEKSTGDYGTMCRQGRKWFCPNDSANVISVNIISSDVGFPLYVYGTVIARDSLDWKCLYLFNRGRDTCQLINSKDDQLILTGPKRGLALIDAIYFEMDLKIKGDKGQQDKELSKGVLRLDGIRFFDTMIVESDSLETKLSTVQVMYAVVQRAVEATVAVEIVQGNFDGTITACTTSIQQHLVLYNSKLACVMTGSDDNKSIQLLRPVVAVCMEEMLEVTIAQGKTESKILFMPDANGGDNAEYTCGPLTMLVKVVFGSIVTKGDHRPESG >Et_8B_059520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18842005:18847913:1 gene:Et_8B_059520 transcript:Et_8B_059520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEDAAAVRAEESASGGVDVWSDAVSSHAADHLLVMVHGILGSQQDWQYAANEFVKQLPDDVIVHCSEKNASMLTLDGVDVMGERLANEVLDVISRKPDLTKISFLAHSVGGLAARYAIAKLYRHPNGMSDGKTKGTICGLEAVNFITVATPHLGSRGNKQVPLLFGSVAMENVASRIVHWIFRRTGRHLFLTDGDEGQQPLLQRMVEDHDDLKFITALRAFKRRVAYANADCDHIVGWRTSSIRRNTELPKSLESLSEKYPHIVHEERSEEINDEKCQDSTKDCDLDILEEKMVTGLRRVSWEKVDVSFHTSFTSFAAHSIIQVKYAFMNEGADVIQHIIDHFQV >Et_5A_042186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7177854:7186001:-1 gene:Et_5A_042186 transcript:Et_5A_042186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAPQPPVPAKPPAPPSAAAPSPVTSTPISVQPPHPIQPKPPPPQLQAAGPASGPQQQSPAQLLNLGPQPPLYRGPICWNTYCKDPDPNSFGRRGWKVRSGPPFTIYADLCGRCYSQFEQGIYCETFHSEEGGWRNCETCGRRVHCGCIVSIHKYQLRDAGGVDCAKCARNTRTAMAPPNPVWSPSMHVPQNVPDRKDNPVKTWRPPAGQISSQWRQTNMWSVSSVQSDLQQRLAFEFDRPSGSEKLLPGRTFIQAHERKFDDMHDRPTTPASMNHLMRERDANGQPATLDPSYPYALYHREGPHPNCVNDPSHHGGENERRMAVPDASTGLDAGFKHDSHHPSLLKDDQPSLSVGLPSNFASANGPKDHIRIAPNQQQAQMASPPVPKTFYTMGGKAYTYNDFPHQMSNGRPRMDAKARSQLLPRYWPRISDQELQHLSGDSHSVVTPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISQPEGLPLKVQDVSGKDWIFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIDPEGKLVMGFRKATNLSSEQEQTTKPANGAPASSEANGKVSAPDSSPNAAVSRQNKVNTENNSSSPVEQPSASKMDKGGSSQKDGPGTGQSSPGPGKRKATSVGQKIKRLRMDNEESMELKITWEEAQELLRPPPKAPSIVVVEGHEFEEYEEPPILGRKTYLVADKSGTTHQWAQCEDCSKWRKLPADVLMPSKWTCSDNKRDPERSSCESVQEISMEELAELIPIKYGAKKLKGKIDPDTIDASDGLDTLANLAILGEGEAIPSQPTTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCMTVRRRFRTLMLRREKRKDTDSPRKKEAGQSSEAVSRAGSVPPAATGASTTSSPQKADPNADGPEDMAVDHKMTSSPVKNHIDLNIQPDRDDEQSPKADAAGATRLLRDNPA >Et_1A_008378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7085746:7086574:1 gene:Et_1A_008378 transcript:Et_1A_008378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIVEKDAAVAELLLERSRAITVQGRDRKGRAVVRVVGNHFPARELGGLTEEALKRHLRERVLPAIGDRDFVVVYMHSRVDRGNNFPGVGAIRAAYESLPAEAKGMLRAVYFVHPGLQSRLFFATMGRFLFSSGLYEKMRYMSRLEYVWGHMDKGQMEVPDCVREHDEELERRPLMDYGIEATDGRCMFDAASMDTSASLHSLRCIS >Et_8B_059554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19114916:19116001:-1 gene:Et_8B_059554 transcript:Et_8B_059554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTEDLSSTATNHCSLSGAGDASEESGWTSYIDYFMEAQRRQKEEAISAALSTDDVGSRSTSKYSGDCDFQRLPALTEPSEASRRLRFKKEGRRKKTAVHDESLELQDTATSPMSSPKLIELRESGANHQKKGDARDEFSHYESISAAELAGCNDLTNNGANTTTHMTDDDCVYDNALRKKGLCLVP >Et_1A_009536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7645570:7647905:1 gene:Et_1A_009536 transcript:Et_1A_009536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAGAAEAATPGTEMRKGRSNTILLPIVGILFAFLLYRFLRPRLPALRCLTDRLPFRAPACLRRRAPSNVLPYFVPIADRLGALPYLGPIAERLGALVKFPGGETLSVAAILEAPGEVVAKSAHSTLYRAAVRSGEAAVLLRFVRPACAVGGEEASAVGRRIGAVSHPNLVPLRAVYVGPRGEKLLVHPFYAAGSLQRFLQEGIAESQRWSIICKLSLGIVKGLDHLHTGLEKPIIHGNLKTSNVLLDANYESKISDYGLYLFLNASASEEMLEASAAQGYKAPELIKMRDASRESDIYSLGVVLLEMLAQKEATEDSRPKARDIHLPGSFKNLVLERKISDAFSSDLAKHCKKSGKEKNLNAFFELATACCSPSPSLRPNTKTILKKLEEITR >Et_6A_046546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16979154:16982608:1 gene:Et_6A_046546 transcript:Et_6A_046546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPYSVCFTRPLALALVLQLVLVATVCRLGSCDGAADRIRRLPGQPEVSFGQYSGYIGVDDKSKRALFYYFVEAEVEPATKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGQVLVRNEYSWNKEANVIYLESPAGVGYSYSADAAYYQGVDDKMTATDNMVFLQRWLEKFPQYKGRELYIAGESYAGHYIPQLAEVMVEFNKKDRIFNLKGIALGNPVLEFTTDFNSRAEYFWSHGLISDATYRVFTSVCNYSRYVSEYYGGALSPLCARVMNQVTRETSRFVDKYDVTLDVCLSSVLSQSKILTPHQQIGTSIDVCVEDETVRYLNRKDVQASLHARLIGVDKWEVCSSVLEYELLNLQIPTINVVGSLVKSGIRVLVYSGDQDSVIPLTGSRTLVQNLAHNMGLKTTTPYRVWFEGQQVGGWTQVYGDGMLSFATIRGASHEAPFSQPERSLVLFRAFLQGQPLPQTFS >Et_3B_030614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5448534:5468341:-1 gene:Et_3B_030614 transcript:Et_3B_030614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAVLLLLLLGLSSLLQVVLLSAAATTTNGNARCLPARCGDLNITYPFTLGGVQPLECGFPAFELTCDAGRAYLTRSFRERLYRVYSISYDTNSLVVAVEATFSGDVKCPVPDFNMSSGLALFPVNISQSNKNLTFVFNCLVPPHIQLFPRCANHTIGAYMTERGEPPPWVPTNCSSVSVPVRATIQEAEPTRDYVQLINDGFLLEWPASGECDACRRRNGECRFVELSVRCICSNGRPCHSSRGKEALAIKIGAGIAAALLCLIILGAVSLVTLHKRKKRKRSASLVGLIRGGTPLASLRKEFSITGSPRTHIFTYEELDEATDGFSDDRELGVGGFGTVYKGTVVAVKRLYKNSHKGVEQYLNEVDILSRLRHPNLVTLYGCTSQCGSSSRDPLLVYEFVPNGTLADHLHGAQQQAHFLPWRTRLGVAVETASALDHLHSVEPQVVHRDVKTNNILLDEAFHVKVADFGLSRLFPADATHVSTAPQGTPGYVDPMYHQCYQLTDKSDVYSFGVVLVELISSRPAVDMARRAGGGDVNLANMAVHMIQCYEIDQLVDPRLGYRTDAETKRTVDLVAEAAFRISNATAPLSCPSYRCGHAVDIRYPFWIDDDDATAGLGNASSSSSHCGYPSLRLLCRRDTPVLPLPSGDYAVTHVLYSDRTVSLFDLGVFSLSNTCPLVGRNLSLPAGSPLSLTARDANLTFFVHCSFVMGAPSHLVACLEGDGRHHSYVFRDGDELTPPYGYAGLCQDVIGMPVLRRSLLGGAGPLDAVVPALNMGFELRWSTADDGECGQCERAGGMCGRRRQAVHEAWTFTCFRTATSAWIASRSTAMLLLLTLLSDPTTAAIAVNTTATCAPASCGGLTIAYPFWLADTHPPECGYQAFQVACDKQGSASLVNSFWTYQIMDIFYSNSSFRIRNFQLMDGTCNTELMVNASSDLGLSPYRISPRNQELFFLYNCSRQAQLPGSWAPVSCAKDSFNSFAWLDGRYRPDAKLTPLPGNCTVSVMPVLGHDGATGADYQRLMKGGFLLEYAVEDCEACTESGGRCRIDHSVEVFECQCSDGTYPEICALLLVLLLPLLATAAPDATACAPATCGNLSIRYPFWLRGRQPSYCGHPSFGVACDDDPGDDAAPASLSGSYLRVLAIHYGNSSVVAFHARLAEDATACRATRFDMSASLALSLLAVSRANWELLLSANCSRTPPAGSIPVTCPGTGNWSVQLNRRYEPGGPATVQGTVLPGCNYSVVPVLPVSEMRALGDYAGLVRRGFLLEWTVPGDCAACNASGGQCRYDAGVNAFRCLCPDGRLRPATCPRGEYDELLRPTLLAALQLVASLLLLHDAANADCEPATCGDVTVKYPFWLGGANQSSSPCGHPAFQVWCVDGGRVASLSGSALHVRSVDYGNNSFVAVHTRVASGDDGVCRTDFNISVSIALSPFAFSRRNRALCFLYNCNGTEPRGREYVNATSSCNAPIYAYLGGGYDWNAPPAIATGRCMYTYIPVLESDTATMSAANYTRLLKDGFLLEWQKTSVGDCAACVASGGQCRYDNTSAAFACLCPGDRKLRAVPTCAASDATMAPSLLLLFVSSAWTALSLPLMMLGVAADREGGGRCPPVPCGNVNISFPFRIVPEQATECGLLGFQVHCSNNTPYLGFYGAEYWFQILNIFYGNGSMLVTDVHKLHDFNISDPKGCHAPTSNSTNKLGDPFSISAANQNLIIYNCTRAPPTAERERLAETVCHNNTFFRIAESFDKSGSYSSYFLDGCDAVFIPVLGGSGKVNARRYQELISDGFLLTWQLPPPASSKFTPGANNSAAAAAAASTGQPSSCLPKKCGSLNISYPFWLDEPGRPPCGPPAFQLKCNRSGAFLSRSIFQAYRVVRIFVENSSFHVVDDNLPLASGCPAPPFNISVGIGLAPFVISRTNKELFFLTKCKDPLPAAPPGFRPLPCDNHSFVRLAGEGEFGGHHVLGGIPPVCTFSVVPILGAPDGNNFVSSMRNGFLLEWAGFSRDCPGCMASGGECMYSDNGVGFACNCSDGAHRDKCGVCGVGAGGLLVACFLFFVWHKRKKKQGRNSSDLMRSGSSLQSYSKDLELGGSPHIFTYEELEEATNGFSASRELGDGGFGTVYKGKLRDGRVVAVKRLYKNNYKRVEQFLNEVDILSRLLHQNLVTLYGCTSKSSRDLLLVYEYIPNGTVADHLHGPRAAERGLTWPLRMSVAIETAEALAYLHAVEIIHRDVKTNNILLDDGFHVKVADFGLSRLFPLEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLVELISSKPAVDMSRSHSEINLANMALNRIQNHEVEQLVDPELGYETDSETKRMVDLVAELAFQCLQMDRDLRPSIKEVVEILNCIKNGECPAKRNSSPKEDTHLLKNSIQYSPDSVIHRFHSQSTNHSGASNASG >Et_1A_008369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:727468:729057:-1 gene:Et_1A_008369 transcript:Et_1A_008369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKPQNHHRVTSSLGVEELNLLHMARGSPEGEGSGVLGQWKCKLLGLLPRRARCVVCLQVQRVTGLPAAAEGHGVVVGWRSKGGEGEHTAPARVARGAAAFDEVFLHYFSAGGATLRNFTVWAALLDTDADGNLGAFPVDLTEVAAAESANPKFGGKTISFPLGGAAAGAVLTVSIYCRVLEHDENHGPNGHARDNKKKNKGKQGAYASCLPDLSCLRNRQVAAASGSARRATSVRSDRGGFITIENSVAEMDGAGAGGTGAFRVAEDVDEEGAGFITMEKGTISSRSRRPLPESVEDDIAEKPCLFMELSEEASAFDVDKVEDEFLAMLEDKYWTTSKEIEKGLSVSLDIGLDLGLDLDSLIKDAEMELAKAEQAWKSKVGAAIVEEEEYKDLVRRWSARETHPHAAASTGCSWGFGFGSPI >Et_7B_055537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13691731:13695804:-1 gene:Et_7B_055537 transcript:Et_7B_055537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETCAPRPLFGGAISTTFPVRFQVRELPLSGKARGTAAPAGDSPFSLIFSFPPCARALTPFVDVSNIREVPDHQEAFVDPARDESIIVELLDLKGEVDDAGSAIWFLRDIANEQDAGDNMVVEHSGTLELARLNINGAPVVAATAVGQLAVSKGRQGREAQNIVQLYLANIRLKNAATDVLITAYEPLLINPLSESARAVASGPAIPAEQAGCMPMSEIFKLVVMNFNGRSLGKIFEVEADGLLQRKASLPVVGDDVSEVDDPLRVPPLVVVPRDDLDHVVPHDHGERGVDGGGDVGAPEVDGHERRVADLEHAVELGGGGVAERLVDLLGERLLGDLHDEVDDGDVGSGHPERDTVELALEVREHQRHGLGRAGGRGHDVERGGAGAAQVTVAGVEQPLVAGVGVGGGHRPLDDALNVYVTYVPNFWSSTLTKGARQLVVHEALLMMGSSGLYWSALTPTT >Et_9A_063518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5932595:5934044:-1 gene:Et_9A_063518 transcript:Et_9A_063518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNLTGNIKETFKGCKKLTELDLRDNHLHGNIPDYLAELLLVRLQLSLNNFTGKLPQKLWESSTLLEISLSYNKLTGSIPESIGGLSSLQMLQIDSNYLEGPIPQSVGALRNLTNLSLRDCAAAGICFGNDIDHMTIHSSQQVLRAVIICVILAAIAVLILFVVYLIWKRLRSKPLALVPASKSNASVEPTSSDELLERKSQEPLSINVATFEHALLRVTADDITENFSKVHIIGDGGFGTVYRAALPEGQIVAIKRLHGGHQFQGDRGFLAEIETIGKVKHPNLVPLLGYCVCVCGDERFLIYEYIENGSLEMWLKNRTDAVEALGWPDRLKICLGPAHGLAFLHHGFVPHIIHRDMKSSNILLGANFGPRVRL >Et_5B_043157.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1307357:1307923:-1 gene:Et_5B_043157 transcript:Et_5B_043157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLDEKETTMVFEKLFKFTGPNLKHLLERPAVEGPDPEPGRYCLRLHKNRVYYASEALVRRATAISRPRLAAVGTPIGKFTHHGAFHLTVHALDLLAAHARRRVWLKPDTERSFLFGNSVPKSSLARITENTKSGDGVVVMSMSDVPLGFGIAARSAQDCRKADTNAVVVLHQADSGEYLRKEEELM >Et_3A_025264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28300060:28303228:-1 gene:Et_3A_025264 transcript:Et_3A_025264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLRGQSVETTVAVAVAVVAVAAGGAFLLLRSKKPKVCLDPENFKEFKLVEKKQISHNVARFKFALPTPTSVLGLPIGQHISCRGQDATGEEVIKPYTPTTLDSDIGYFELVIKMYPQGRMSHHFREMKVGDYMSVKGPKGRFKYQVGQVRAFGMLAGGSGITPMFQVARAILENPTDGTKVHLIYANVTHEDILLKEELDSMAKNYPDRFKIYYVLNQPPEIWNGGVGFVSKDMIKTHCPAPAEDIQILRCGPPPMNKAMAGHLEELGYSKEMQFQF >Et_5A_040147.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:15458168:15460087:1 gene:Et_5A_040147 transcript:Et_5A_040147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TLTHTSSGSSLPRCPPSSDNENGGAGAAPGADGRTHRRDPSPPPAGRSRLPSPRHPCLQAVAPPPLRPRFPPPLPRVHRAPPLLGFFHNTPNSVPRFVPTARPFSLPDLVWNKCEIVDCRHGRVLLHCYRAANLMVWDPVSRELQCLRLPDDRYMELSAAVLCALESCDHLDCHGGPFLVVYAVTKPLNEEILARLYSSETGEWSEVASVQVTSGSRLMTRASLLTRDALYFTLHEPNHILKYDLGARSLSVMDPPVQHMVNIVPIMAEDGGLGVAGVEGDSLHIWSWRAFSDGIASWNEDRAIKLQMVLPVSVSSVLKGKNVVLCFVENADMILISTSDGVFTFEMKSSRIRKVFNFGCFDTVFPFVSFFLPGTQKYTARR >Et_1B_013261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6863149:6865886:-1 gene:Et_1B_013261 transcript:Et_1B_013261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPPAPGPPSPELPRHPNAPRGLRALPALSYNAHRALVLALTFVAYALYHASRKPPSIVKRELARSWPPFADPALLGATDVAFLTSYSLGMFVAGHLGDRLDLRRFLAFGMVAGGLAVALFGLGFFLGLHSLAFYVGAQVIAGLLQCTGWPSVVAIVGNWFSGKRRGLIMGVWNAHTSVGNITGSLVAAAMLGYGWGWSFVVPGGLIALGGVLVFFFLAPYPQYVGYGPSPIEPLLSEESTDGEDAGATIGGGKDRRDAVGIFKALAIPGVVIFALCLFFAKLVAYTFLYWLPFYLSQTAIGGEYMSAASAGYLSVLFDVGGVVGGILAGFISDQLNARATTAAIFMYLAIPSLFLFHVYGNISKAANIGLMMISGLFVNGPYALITTAVSADLGTHKSLKGDSRALATVTAIIDGTGSLGAALGPFITGFISKKGWDSVFIMLALCSAVAAVLLSIQVKREFSQLFQNRRNRSTSMRRGNAGTKEFMLALFMYAHSFYLAS >Et_8B_059159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14571192:14573202:-1 gene:Et_8B_059159 transcript:Et_8B_059159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASMENIVSFASGQGQTVCVTGASGFIASWLVKLLLEKGYMVRGTVRNPDDDAKNAHLRALDGAAERLTLVRAELLDKESLAAAFQGCEGVFHTASPVTDDLEMMGPAVNGTENVINAAADTGTIRRVVFTSSIGAVYMDPRHGPGEEVDETCWSDLEYCKNTKNWYCYAKTVAEQAAWDLANRRHLDLVVVNPSLVLGPLLQPAVNASTRHILKYLDGSVRTYADAAQAYVHVRDVAAAHLRVYEAPGARGRYLCAGTTMHRGEVCRVLAKLFPEYPVPTECKGGAGETAKGCRFSGRRLTSELGVEVTPASLCLYDTVTSLQDKGLLPRRAA >Et_2A_016898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29253462:29255293:1 gene:Et_2A_016898 transcript:Et_2A_016898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAGSEESLKTSVRLSILTDTAGFDAQPVAVLLLHRNPTCLSTSEVKASSPTAITKKGRIFKRLKIIARIFTVHKEHEIEIGFPTDVRHVSHIGLGTSDLCPSWVRTFEEMNEFRRLNEPSAGSVGSIEQSRQTSWASLGKY >Et_1B_014392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7612984:7613870:1 gene:Et_1B_014392 transcript:Et_1B_014392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVGGAVVAAAAAGGGGAGTPHVLAVDDSSVDRAVIAAILRSSRFRVTAVDSGKRALELLGSEPNVSMIITDYWMPEMTGYELLKKVKESSELKQIPVVIMSSENVPTRITRCLEEGAEDFLVKPVRPSDVYRVFSRVLR >Et_6A_045989.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:1586722:1587084:1 gene:Et_6A_045989 transcript:Et_6A_045989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFCNSNANRFKVPLLEDRSPWRDPHVAFRSFVRVLSEFGFVSSVIAGIYIQRSGVIHQTSTAVDVTKFLVLVAGTATFGANIACLYDDSIIAPTFPHLVQQPRQRRRLRRDGTQRQGN >Et_7A_053181.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:9203910:9204284:-1 gene:Et_7A_053181 transcript:Et_7A_053181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGASKRRFLRTFLHAWKRLAAAQAIAGGWAPLDGVDVGSEAIPGDVPRGHTVVYVGEALRRYVVRVSCLDHPLFRELLDRARDEYDFAGADARLCIPCDEDIFFGVLCHVHAEREWRLALCI >Et_7A_052335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:718114:723052:-1 gene:Et_7A_052335 transcript:Et_7A_052335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYDDKDKETQNEELFASTGQGTENNTDQSGERQWIVAKKGGKKRKAIGRKVPILGTRQSIRTNMGGTPIAERAARRTKIRNLDMAENLRAATAEANFKSMQEAILLRSYLLCNENLTLEKIGNEQRGLDGFPLLAPAPPLAHGFPAGGTKRSTHGAEEPERDRVRFGHKVEEATLDAARLVPL >Et_4A_034379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32089530:32090019:1 gene:Et_4A_034379 transcript:Et_4A_034379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGEDRRSNQAQDLGLPILHLGTSTFKRIVSVSLVEQFRTVKILALGMPEPNLKFVIGYLRCVPCLEKLRIMVPVTNPICILQLSDCVVHFMFAVISSLDTPGVHLEGAGMYSKIAPIDCLDRISGPSCCDHTKT >Et_10A_001745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7668306:7668835:1 gene:Et_10A_001745 transcript:Et_10A_001745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGRGRAKGTKAVSRSSKAGLQFPVGRIARFLKAGKYAQRVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGAVTIAAGGVLPNIHQTLLPKKGGGKGKDEIGSASQEF >Et_9B_064106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11227248:11228859:-1 gene:Et_9B_064106 transcript:Et_9B_064106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRSGHRLDLPPPGRPHRLVASGGNGVGDQEDRISSLPDDLLIDILVRLGSAREAARTSALGCRWRGLWTRLPELTFGNDVPLLSLEGLLAQVTRPGLNLLSIDACSDDKVCPEYFNSILRAAVRLAPKHLIVSLEAINVTVDMPCLDRTTTLDLHLPQISLTSPPAGEFTALQSLSLDTWTMELGSLLPMCPSLRSLSLVCWHSEEVIVHSTTLEKLDVVSNSIEDEIHNIDIITPQLKEASFGIQRADYVSLSFSAPTVERISWLCTYQHEVGLRNMHLECLSYYLTNGAHKIYLDICHEERWRDVEWSIGEAIQQLPFSKFSYLGLYLKTKEHAFGPLVLHLLQIRPVQTLYVKLRRQRKVSCPLDCPCDQHTNWRNESIALTELEAVTIQGLKGKDDEADFLKVIFRCATVLKSMKVYVAAGGYDKVYGICEQHPHVKSDISVWKPRHI >Et_4A_032628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1256992:1262262:-1 gene:Et_4A_032628 transcript:Et_4A_032628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAQAGRKTRVGPYELGKTIGEGSFAKVKLARDSRTGGVCAIKVLDRNHVLRHKMVEQIKREISTMKLIKHPNVVQLHEVMASKSKIYMVLEFVDGGELFDKIVNSGRLGEDEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDSHGSLKVSDFGLSAFAPQTKEDGLLHTACGTPNYVAPEVLADKGYDGMTADVWSCGIILFVLMAGYLPFDDANLMKLYKMICKANVSFPPWLSSGAKKLIKRILDPNPDTRIKIAEILEDEWFKKGYKPPQFEQGEDVCLDDVDAAFNDAEEHLVAEKREKPESMNAFALISRSQGFNLGNLFEKEMMGMVKRETSFTSQCTPQEIMSKIEEACGPLGFNVRKQNYKMKLKGDKSGRKGHLSVATEVFEVAPTLHMVELRKTGGDTLEFHNFYKSFSSELKDIVWKSESDASRKQNRLQDCGLL >Et_1A_007434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34514507:34515791:1 gene:Et_1A_007434 transcript:Et_1A_007434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAAAGLLGRYWGVGGRRCGACGCSPAAVHCRTCPGGEGAFLCAGCDAAHARAGHERVWVCEVCERAPAAVTCRADAAALCAACDADIHDANPLARRHERVPVQPIVGSSASSDEMLFGAAAGDDIFGGAKGDDKLDFLFADVMDPFLGAAEVARFAHADSVVPNNNVVSTGGGAVVELDFGGAVSAKAKASYSSYTAASLAHSGSSSEVGLVPDAIVGRGGSVTGGVIELDFAQSKAAYLPYATTPTHSVSSVDAGAVPERGSDGAMAGRVVATAESREARLMRYREKRKNRRFEKTIRYASRKAYAETRPRVKGRFAKRNDDNENDAADINAAALPTQLQQQQQQQPAYSYLLDFSGYGVVPSF >Et_6B_048247.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:17310824:17311227:-1 gene:Et_6B_048247 transcript:Et_6B_048247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNKLALEKPRAKKMGRVPQAYIDLLLVMPRVPLRPLSDELIDHIPELERRERTRAVFGGAFARMHAMRAKDDDILEQYRLKGYAEEEITDDQEEEGESEEGDAGDDLQVADAEEAAGAGEQH >Et_7A_051104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14570595:14573148:1 gene:Et_7A_051104 transcript:Et_7A_051104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGRCFRCLAKDHRVAKCRDPPRCLACWSSGHFARRCKNPPRPPPIHLRLKFPPESIHSRISFPPESIHSRISFPPLPSRQAPAAGQGASRPSSSSLQAPVPDRVMELLYVASRPHQRPAQGQSTVVSTAVMTAELEKLRHHAIVLSIHGSGYKPREFVWHRGVLDGRAPTRRPRPGEACRAPVAAHGRRDRDLDDDHRDGRRDGFRSWADSIYRGRHANDLPRQMQDGGRYRTRSPPRHRQHGSDSTFQDRRYDYVAAERDGRGAPSLAPRAVDAAAMRSPTPMTTGSAAAPGRRRCTGVSLRYRFAPRPRQGGTELRLRLTGARGAVPQAAVMLPRRIGQHLEALPAAHGAPPPPTSHADPLLDYFQSICNDDLPPAPFHSRWDHDPMLHEFEFGRSTGLTSPAPLSPPYVPVSSEWAPPVSHDGPHMMGTVGDDGLHSLGPLSPRYTPTSPAWDLGPRDANHVFGPGAHGPPTGPSSITEQLASQVNHMEIADAEEPAQHSPAKFLGQVFPNTGHPVLPEPSRQKQRQVRVRSASAEPSRRSSRQANIKSIVPVAHRAEHRLIRELDLAKDEEPIGDEAIQKYRSTYKQPLHKKAMAAIRKASRLADKKVMEATTAMAAVGQEAAVDAA >Et_3B_029618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26503452:26509775:-1 gene:Et_3B_029618 transcript:Et_3B_029618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRQGGAAAAAAEDAGGAMPSFGPPQHAIHRDVNSMQPSGVTDFGALAQSAGSRIEDLANLNTNTFFNLKPSIHTNNNDPLQFGSYRKPISSLHTSTTAAATVASRIDPSSLAQQTGAQPNLLSVTSGNIENWGELAMAAASPMTDTSTDPDTDEGNQMFEQGQLAGPTVSDSSDKSRDKLDQKTLRRLAQNREAARKSRLRKKAYIQNLESSRLKLTQLEQELQRARQQGIFISSSGDQPQSTSGNGALAFDMEYARWLEEHNKHTNELRAAVNAHAGDNDLRSIVDSIMAHYDEIFKLKGVAAKADLLAGQLEPLTDQQLLGISNLQQSSQQAEDALSQGMEALQQSLAETLASGSLGPAGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQSARALLAISDYFSRLRALSSLWHARPRE >Et_2A_014656.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32303759:32304016:-1 gene:Et_2A_014656 transcript:Et_2A_014656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding STNNTSLDVPAVNSTLLDDSKIKLVFCIQPEICVHPAPCYCCVKPEKCWYTEKACKLNCLTCNPDCPPEAAALEGRPMATNTTLY >Et_4B_038430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28952288:28953496:-1 gene:Et_4B_038430 transcript:Et_4B_038430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRPIVLIFLLLVLIITSQVEWKQQIGDTDANPTATRRRQQSLEREDVVKEKIILAQEKKIQQLNELIQSLQLQLLHCRGSNSTAHTTSSKSTSGKEVEGHEMIDDR >Et_5B_045021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8427230:8428806:-1 gene:Et_5B_045021 transcript:Et_5B_045021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVVVALLVAFLAPLAVYWLTTRTNKKPLPGNLNLPPGSLGLPVIGQSLGLLRAMRSNTGERWLRDRVARYGPVSKLSLFGAPTVFVTGPAANKFAFTSDALAPKQPRCLPLILGPRNILELAGGDWLRVRGALMQFLKPDMLRRSVRAIDAEVARHFDAEWGGGRRKVTVLPLMKLLTFDIIATLLFGLGRGAVRRELAAAFADMLEGMWSVPLDLPFTAFRKSLRASARARRVLEATLAEKKGRLERGESAPADDLISYLVSLRDGEGKQLLTDEEIVDNAMVVLVAGHDTSSVLITFMVRHLAGDPDTLAAMVQEHEAVAKNKADGEALTWEDLHNMRFTWRVALETLRMIPPIFGSFRRALEDVEFDGYLIPKGWQVFWASSVTHMDASIFRDPEKFDPSRFEAQAPPYSFVAFGGGPRLCAGIEFARVETLVTMYHLLRRFRWRICSKANTFVRDPMPSPLDGLRIELQPMDLAASCKSAF >Et_2B_022096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9041133:9046328:1 gene:Et_2B_022096 transcript:Et_2B_022096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAAKSSPTRCSTHGHHHQAVEGAVAACIAHTIGAPRSNASSGDLCCNLDSDQDALSKLSDEVACRLSKAVVSVALCKGIAVDGSTILTSASLSVALDNATKSQDDVAIEIRHEGNVVIGNLENCDMELEVAVVSITFALDCGVCFHSDMELLPNSEVIAVGRLVSDKLIGTSGRLAAFTAEGGEYVALSTCKLAEDLHAGALFDLSGNNFVGMNLLSYVDGSVFLPRMILIERLEHLRASRERRIISEIIKEFRYADIFSTSTVVLGGMILVNSFEEPFGDMYPKGVWAAFRKRVASNIFRNVVALASFHGGTRIFACTGFFVDFDDKCSTVLTSASLVRCPNGGNNIIEGLKVGALNYNVMLVLPRCCLSQHYIIEVLLPNKQRREGTLKLYSLYYNVALAGIGGPLVDIDGNFIGMNFYDPAIGSAFLLCDDLCGILQYFKTKIEKYEKLDGTWVCILRDGIGRTNSWLVPEPYWCDPQELEDLEN >Et_2A_016346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23629956:23633472:-1 gene:Et_2A_016346 transcript:Et_2A_016346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRAFLERLSRPFSSSSRRDGGRRREEQEEADLEAIAAREQKAFRYETLEAATGRFAEKNQLGRGGFGPVYRGRLADGREVAVKLLGAGSRQGAKEFRNEATLLSRVQHRNVVNLIGYCARGADDKLLVYEYVPNESLDKILFSSSAAYGRRGSNSDGDVSRRAELTWPRRHEVVVGVARGLLYLHEDAHTPIIHRDIKASNILLDDRWVPKIADFGMARLFPEAGDGRSRVHTRVAGTNGYMAPEYLMHGDLSTKADVFSFGVVVLEIISGRKNSSFEPPPDSEADSLLEYAWRLYKKGRSQELLDPAVKSTAVPEQVELCVRIGLLCVQADPRLRPDMKRVVIILSKKQSTLEEPTRPGVPGSRYRRRSHGLRGSHYSAGSSSGTSSPSTSHGSASGSNALTTSSTHTMRSQGLPSHREEPE >Et_4B_039067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7512738:7514244:1 gene:Et_4B_039067 transcript:Et_4B_039067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGTQQKCKVCTKTVYPMDQLSTDGAVFHRSCFKCQHCKSTLSLSNYSSFEGVPYCKTHFEQLFKETGSYNKSFQSAVKSTPEKVTPELTRSPSKAAKMFSGTQDKCATCGKTAYPLEKVTVEEKAYHKSCFKCSHGGCAISPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKCASVKRAEAQPAQPTSTAADSS >Et_9A_063302.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19477542:19477775:-1 gene:Et_9A_063302 transcript:Et_9A_063302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPSQPPSNRRDAAIQELRRGTQLAARLRQQVELIPELGRREAAVANVSEISEAMASSLSMLQSEISGQNMDYLN >Et_4B_039472.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:17911918:17912280:1 gene:Et_4B_039472 transcript:Et_4B_039472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAEYAYTLKVDEKSDVYSFGVVLLELITGQKPVGEHLGEGTDLVQWARARNEREGGVLALLDPRLGGDVPAGEAAQLLLVAMLCVQELSVERPTMREVVQMLQQAPQRRPCPSSIAS >Et_7B_055507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12778352:12781929:1 gene:Et_7B_055507 transcript:Et_7B_055507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRGRGSSGGSVSSSSRTSTSDQLIKAHAEEPEPGRGSGFWDKCFSVPFLLLLGVTASLVILPLVLPPLPPPPSMLMLVPVAMLLVLLVMAFMPTSSGGRSGMNPTYLLTLETCQNLSFFSCDVKRESSRTFSRVCGATRTGCAWLIAAPPDGEDCLWLWSAPDDVPAACGYDFFYDENPRR >Et_2B_021729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4908884:4918155:-1 gene:Et_2B_021729 transcript:Et_2B_021729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYMISLPLTVGMVAATLRYFAGPAVPSYVLAVVGYAWLCSLSFVVLVPTDIWTAISGNQKSDVGFFWSWSYWSTFILAWSIVPTLQGYEDSGDFTFKERLKASIHKNLTYYATVGTIGLFGLILLIIMRHDWIGGILSFAMACSNTFGLVTGAFLLGFGLSEIPRNMWRNADWTRRQQFLSHRIAKIAIKLEHAHQEYCNTIFATSSQMSKRDPLRPCMDTIDNMLAQMLQGDSLFKPSGGKLGENDMDYDSDEKTMATLRRQLRRAHEEYYRRQSEYMNYVMEALELEDTIRNFEQGDPNGWKYLSSFRESRSGTLGSFLDSIEFIWRCLLRKQLMRVISIILGCMSASILLAEATLLPSDVDLSLFSNLINVLGEREIPVQVAAFVPLIYMCICTYYSLYRIGTMVFYSLTPGRTNSVSLLMICSMVARYAPPISYNFLNLIRLGDNAKTTFEKRMGTIDDIVPFFGRSFNRIYPLIMVIYTLLVAGNFFEHLINFFGSLKRFKYWTDQEDDMDGFDPSGVIILQKERTRMEQGRKISEHETPLARNLSSASKDVESGNVSLGEETVPVKMERVAQSKHCDNVTHNPCSIRQQSVTQISVEQVQKGTSANQISVEAGDPESPSAGVASTTWTAMKTGLQNFKANMGSRKFLRLSSSLGTNASATESLDEIFQKLKRHSSNVDYLDDDVLP >Et_2B_022784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5046209:5048582:1 gene:Et_2B_022784 transcript:Et_2B_022784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGAETVRRPFPAAIGDEEVSVSGVADPAFVAMDKDRPVDPIIWCDEERMKRELVAWAKAVASMAAAGMSASSPSSSARSRGYSNSSIEPSDNHSTIEDKQVGGSDEHSGPPTAKSAVEYAKPDMEAPYKL >Et_4B_039302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9808933:9823646:1 gene:Et_4B_039302 transcript:Et_4B_039302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLAPSSGSLHRLLASRHYPPTSRTPPPRPLLLPKTLSPATAAAMQLPRRGRSDVAAASAAAPAPSSPDTGVAPGVAWGKVSAVLFDMDGVLCNSEEPSRQAAVEVFAEMGVDVTVDDFVPFMGTGEANFLGGVARVKGVKDFSPESAKKRFFEIYIDKFAKPNSGIGFPGALELIMECKKAGLKVAVASSADRIKVDANLAAAGLPVSLFDTIVSADAFENLKPAPDIFLAASKNLDVDTNECIVIEDALAGVQAATAAKMRCIAVTTTLEEDALRQGSPSIIRKNIGDISINDILYGGSNAPHNEWAESTQNNNSLGNSSPESLNGATDSGFSNTEKSSRSRNEGLLGSRREILRYGSLGIAISCLSVAIRNWKAMQFASPKGLLNFFMGGGSSIFAKNEGEPLSSRFQEIKKYLADFESGGSATYVPEFPRKLDWLNTAPLQFGRDLKGKVVLLDFWTYCCINCMHVLPDLEFIEKKYKDKPFTVIGVHSAKFDNEKDLEAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVLIGPNGKVLAQISGEGHKKDLDEVVGAALEFYEEKKLLQNDPLPLALEKGKDSRLLTSPLKFPGKLAIDVQNNRLFISDSNHNRIVVTNLEGQFICQVGSSEEGLLDGSFDAALFNRPQGLAYNSKRNILYVADTENHALREINFVDETVLNSPWDVCYDPSEETVYIAMAGQHQIWRHDVRDGVTKVLSGDGYERNLNGSSATRTSFAQPSGISLAPELQELFVADSESSSVRAVNLKTGGSRLLAGGDPVFPENLFRFGDYDGTGSDALLQHPLGVIKRLDPVTRKVTTIVGTGHAGYKDGPGLSAQLSEPAGLVEVGEDTNNNAIRYITLSEKGAEVKTLDLIGVQPPSPKPKALKRLRRRLSVDTDVINVDGGSSTEGALSLAITVPDGYHFSKEARSKFDVEVEPANGVEIEPANGYLNSEGMASLKFKRMTSSSSTGRINCKVYYCKEDEVCLYQSVAFDVKFREAAEPSPTQINLSYSVAPRDNSGGAQLIAATRNAKV >Et_4B_039490.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1920803:1922341:1 gene:Et_4B_039490 transcript:Et_4B_039490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLLFIELLPIPCFLILYYRHLQSKKTSALEPTEWPVAGHLPGLVANLHHLHDWTTGVLTGSGHNFQARGGLSGLRYFITCDPANVRHIFTSNFANYPKGDEFAVIFDVLGDGIFNADGESWRRQRVKAQMLMTGPRFRAFSARCSRDKVRESLLPFLAHAAADEGGRPCDLHDVFLRLTFDMTCILVFGVDPGCLAVGLPTVPFARAMDDALETLFLRHITPMALWKLMSRMEIGQEGKMAAARRTIDSFVADTVAKRRADKLREGISDSADLLSSFICNEDDGDNDDVFLRDTTVNLLLAGRDTTGAALSWFFYLLCKNPRVEQKLLDELAPIASKKKVADADDGMVTFDASELGNMVYLHAALCECLRLYPSVPFEHKAVVADDVLPSGDAVKAGDKILVFNYSMGRMEGVWGKDCMEFMPERWITGDGRLRYEPSCKFISFNAGPRTCLGKEMAFVQMKTAAAALLWNFAVELVPGHVVEPKLSIILHMKNGLAVRVKRREHVSRG >Et_4B_038639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3616162:3619474:1 gene:Et_4B_038639 transcript:Et_4B_038639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELGKLVIEGISWLEENGISYSWDTIKVCGEYRSILLQVISIVPAITGSELWPDHGFFIKVSDSSHSTYVSLSKEDNELILSNKLQLGQFIYVEKVQSSIPVPVLVGVRPVPGRNPCIGNPKDLMQMSTPSGVLEALDQQRKTSKSSDLSESEKENLQRKVVIKEQKTVVASRYMLGVSSNNGKITNLNSSIDSEKSSGGSSICDANQKSVAPKIKQEPKNQKQIPKDSKKESATERRSTPETHRSSPMPARTSPPRQNGTSSPVPPVSSVKRRVVETVSWDSLPASLIKSGKAVVRRKNIALIVAAEAQREATAAASLVKALGIFAEIRESSEVDPHAAVTKFFQLQRLILQQSAVWKAYSLESSKESRPDREKPSRKAPASHNKATPGNIAKNSDDAQTSEKVEWAREDGFKEICRSWITLKKESQSWFLNFLEDALETGFKFEDQIKNTRERVRGQPKGGDGRIAVRLSQLKETSNWLDQLQDETDKSSDVSAETIEQLKQKVYKCLLGTVETAASALEGRTGYC >Et_5B_044056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19499023:19503921:-1 gene:Et_5B_044056 transcript:Et_5B_044056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSINFFINKISKPTALDLENRLHNVLLRAQVIVDEATGRCITNQAMLQQLDMMRDAMHRGYYIRDVFRCQSFDEGDTKDQVTGHYLSKLNSLKGFCCSSSNTQIKEQLEKAHDDLSSMIIDLQELVVFLTSYPRLYRQPYSMHLLLENCMFGRKTEIELVINFLLRTQPHGAEELEVLPIVGPRKVGKSTLVAHVCKDERVRGHFSEVRFLRDQDFTAAMKHQHCVLNSDKDGRLLIIVDLVGDINEEAWDKLHSAAKRCLRSGSKIIVTSQFDKIIKFGTTHALYLKHLSHEAYWYFFKTLTFGSTDPEMHPRLAYLAMEISRIMNGNLARANLTAYFLRENFNIQFWHKVLVFLRGFMEKHASRFGEHAFDLLDQNRPSYFGRMATTSRDFVIYHHYHQRFPEEEVPKIRTQDVIFGGVKPPGIFEALLWRSHIPPYHSYVVTCEISKLKTTGAKRKRSVKTGAIRYTATQWHPRLRSTAPVQSALQAAQQASWISEEPTHVLLLSTDILNRKGTKPKRSPTLQVEGKSFKINFVH >Et_3A_023536.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33284917:33285063:-1 gene:Et_3A_023536 transcript:Et_3A_023536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLCCSQEDDEPAFNMLGLLITLVIAMLILMACTPPRRKRCYIYPCC >Et_4A_032549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11243923:11247763:1 gene:Et_4A_032549 transcript:Et_4A_032549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACPPLSLPSTSVLRSGRSARAGPARQRLSAVRCTAVGEAVAEEASVGTAAEPLLVSAIRGKKVERPPVWLMRQAGSYQLLCEKYPSFRERSENVDLVVEISLQPWKVFKPDGVILFSDILTPLPGMNIPFDIVKGKGPVIYDPLRTAAAVNEVREFVPDEWVPYVGQALNLLRKEVKNEAAVLGFVGAPFTLASYCVEGGSSKNFTMIKKLAFSQPEILHNLLQKFTTSMANYIKYQADNGAQAVQIFDSWATELSPADFEEFSLPYLKQIVDSVKETHPDLPLILYASGSGGLLERLPLTGVDVVSLDWTVDMAEGRKRLGSNIAVQGNVDPGVLFGSKEFISKRIHDTVQKAGNIGHVLNLGHGIKVGTPEENVAHFFEVAKGIRY >Et_8A_057451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3138271:3141822:-1 gene:Et_8A_057451 transcript:Et_8A_057451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCARRAELYRRLDAHCEDARLREARSRPHFANSPPPPPAEAPREGDEDIWSNIGRYFKQRYCHYYRMPFVHSIVLIFTVAYIRSLTGREPNLAISPLTEGFICPPREKRRRNLTDKDGEQSDGGRHSSECEPNTVLCKLDEGDAGEDEQGDNHVSDVPSKRPRQISVSIEAYAAQCFLCRKWRLFQSKKKYEEVRAHITKDPFKCEKARGWKPDVTCKDPSDVHEDDNRLWAMDQHDIAETPPGWERVIKIRSEGSTKFADVYYLSPVGKTLRSTIEVKKYLEENPQYVDQGVRSSQFSFKIPAPSRPDYVRKRTQTNRNDGALEESTKPLPEEVQPIAWEAPLMDEGPSGNNSQLVPYNENPYELLLGLAAATPPESQETMPGNLPPSAV >Et_9B_063744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10794278:10794952:-1 gene:Et_9B_063744 transcript:Et_9B_063744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSLRPIPSPARACTPRNLITSPSSSSRPLVQALDAVQPCRLPGVHAVDVLERRRVAVLHAVDEPGGGVHALDAVKPRRVAGLHPVVFSAWEHTLDTKERSVVAVLHLVCPLCFGGAGLHTGHPVQPRLVDGRELHTGDPFFVHQPGHLQIGRVPSQHPASVAEGRGCRVEQQQQQQVFFAHEPALLTRYQNVSCVLQSKNSRGVSNSGIRPM >Et_4A_035859.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7469231:7470403:1 gene:Et_4A_035859 transcript:Et_4A_035859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVFETTEQLQPCHGAVEQGGGDKVASAAPAAEKAAVMLKETDHGGDGDRPERDAVWNMIQAQQKPPARAKAAPYVHPLVRRSSSLLTQKSLEICTESLGSETGSDGFSDADGATDRSDDDDEEGSGARVASDAARAPAAAPPPPRAFPPPLPSLARRTVGGAVQMRRHRSDGRLVVQAVPVPSPTLFRAQRKGGRLLLSFADTAAPPPVADDKSRGHQVEEPELENDDEEEEEEEEEVEVVDRGTVVEVKVSTQPQARGGGARVHRSALVINKFVGAEPGAAAATSCEAISDAAPKPPPVRRCAGSTTTAIAALAAASALSAAAAPQPGNGEEEEEDVVPGATIGEHKLLMTAKRRRSKEELMKHMRRCGQLSGKLFFWEPRIATSS >Et_3B_029560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2617069:2620463:-1 gene:Et_3B_029560 transcript:Et_3B_029560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRVGQTVEGSIMTGGSSVVVMDNGGGLLKAGFGGDKDPIAVVPNCMAKAPGGNSKKWLVADQLQAEDVDVTGMTLKRPIDRGYLINTEVQREVWERVLRSLLQVDPTNSSLLLVEPLFNPPALQHATDELVFEEFGFRSLCVADAPSLVHLYEASRQPTLFRAQCSLVVDCGFSFTHASPVLQNFTLNYGVRRMDLGGKALTNYLKELISYRSLNVMDETLLIDDAKEKLCFVSLDIPRDLRLARLSFKDNPFRCSYILPDGITHKKGFVKDIDEAHRYYSLPVDGDSERKDHDMDNKSEDRKKPELSQNEFVLTNERFLVPEMLFHPIDLGMNQAGLAECLVRAVQSCHPYIQPVLFERERELRPLVPDDYQLKIIRQENPILGVWRGGSILASSPDFQSMCVTKSEYEEMGSARCRRRFFH >Et_8A_058081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18611870:18613616:1 gene:Et_8A_058081 transcript:Et_8A_058081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRIRCSKQHGGSSRLVSACFNEEDMTTCFCRCSMAQRPVEFSFRRATHQQSLASGLAEERVLRHAPTGGKAEERVLRHGFVSTFTAGGEEEDLLLLPPSIPYLLANLPNPASVAEVAKPLRLCSRRITLISVNDNADVSLADGGYHWSLLVLDNTSPSSGTHFIHHDSIRGAPNFPHAERLVDVLSPLLVDAPGSRVPLVQGDTPRQTNHYDCGIYVTAIARAICGWWISRGDGASKNWFDAVKREVDPGSVKAMRTELLELINRLVEEKAKA >Et_8A_056392.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:7335084:7335284:-1 gene:Et_8A_056392 transcript:Et_8A_056392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFMRKMVHLQWVIQDTTVHPWLMAWRILFYCRDAEEAEITACLEGIWLASCWLDCAFILKSDCV >Et_6A_047224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26986593:26990989:1 gene:Et_6A_047224 transcript:Et_6A_047224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPEEFLGQGAFLAVPEPFSPSVFLDLPPTPRPDGDDDPASSDDLVLPFISHMLMEEDIDDKFFYQYPDHPALLNAQQPYAQILSDSATTATSSSSDSAATTLSPSCSSDAAASAEPTWPYDPVELAQLLRSPPYTDMGVGLDDFGADDLSAVLLPAQDGATPGFQQSPLPVNSDGASFAGEAVQSSVYLGGAKEEQDGTKANITTLSSVDEDHGALASAFFGGHRGVNMDMLNRAFAQGMEEAKKFLPANNALFIDLEAPITGERLSTDSKPKGGLMTRQVKEEETVADEMPTMFRESSNGRGRKNRHHDEDLEAETGRSSKQMMQEEQEETGAQEMYREIMSCSYEEFVKRMEDLRVAMDSESEKTSRRGSRKGARGRQLADEVVDLRTMLIHCAQAVATGDLRSANEMLKQIKQHSSPRGDATQRMAHCFAEGLEARLAGTGSLVYQSLVSKRTSVVDFLKAYQLFMAATCFKKAQHTFSSRTILDAVAGRSKLHIVEYGVQHGFQWPTLLHFLAGREGGPPEVRFTGIDLPQPGFRPAYQLEKTGERLSNCARQFRVPFKFHAIATKWETVTAQDLNIDPDEVLVVNCECFFDKLMDESVLVDSPSPRDVVLRNIRNMRPNVFVHSVVNGTFGAPFFLTRFREALFFYSAHFDMLDATIPRDNDVRLLIEQDLIGRSALNVIACEGADRVDRPETYKQWQVRNNRAGLRQLPLSPEVVNLVRGKVRNYYHKDFLLDEDHRWLLQGWKGRVLYAMSTWDPASSDDLLFPFISRVLAEDEGIDDSFFYPYQDHLAAQIPSDANATTLRFH >Et_9A_061032.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:11299923:11300045:-1 gene:Et_9A_061032 transcript:Et_9A_061032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKITIKGAALLKKEENKNLRKYIEIGSILVEIIDEYPT >Et_1B_009945.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:13281028:13281750:1 gene:Et_1B_009945 transcript:Et_1B_009945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VINSPGRVGAEGDGVRRLAAAAGERGGGVVVGVAVGPGARVLEELRVLRRPASVRVPVRAAAAVLRRPERLARALARDAAAAGLPLRDAELGGAHAVEVHHAPRGHAVGAHGRALGLHQHRLVVPVHEAHVVEVQALVPVQRELRQRRRRGRAVARALQLARPAVARGAGEAARGGVGGAVRAAPDAARPLVRRVHRPGRAGGQSEARALQRRRSRAGEDPRPHRVPASVGDGEFPRRNT >Et_3B_029320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2475528:2479972:1 gene:Et_3B_029320 transcript:Et_3B_029320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTSHAAAPPPPPTAAYAPPPPPPFDAAAPPKPQEEAGKPAAEEKVDYMNLPCPIPYEEIQREAFMALKPEIFEGLRLDFTRMLNQSFALSHSVSMGSIEIPAQGNDVIKVPNGSYEFGANFLDPKLMLIGRVSLDGRVNARVKCDITDNLALKINAQMMSEPGYSQGMFNFDYKGKDYRSQFQIGNNEFFGGNYIQSVTRNLSLGTEAFWLGQQRKSGVGFVARYDTKKFVATGQIATTGMVALSYVQKVSEKVSLASDFMYNQMSKDVTASFGYDYMLRQCRLRGKFDTNGVVSALLEERLAPGLTFVLSAEVSLQRYLFFTLPHGVSVSLLPLLLASKAQLKLFETH >Et_2B_022687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30346670:30351472:-1 gene:Et_2B_022687 transcript:Et_2B_022687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSDLNTHLPPRKRLLAGLRTAASAFDADPLPSPPASADLAARLREMALAANASSSSPEEMIEAARAAASAAADAAAAARATAEEKAAVAAKARAAARAAMEFLDSFSRTGASRNGLQLKVRSRKKHVQVKLLYKPNGRVEGRGALRDAPKPRRRRDSDEDAARTLHRAMNSSPRISPTGPKRPRSTTHDECEGVGDASNGLSTHAPTEVGGLPNGGSSETKSCDTNVPSFKHEAPDGVGEHSSRNTAKSSVITDNGVGIGNSSAARKVKIKRKELLINQNNSEEIEETKETEPSIHSIVRGESKSNGNGAEKCTSPSDAKAPCDGLAPMKITSVWKFKKFKTSHCSSDSKVLHNVGDVMVHQTRHNFTASFQIPFLPIFESPTDCGATLHFGSIDANSKSIIWSTYKMYRNQFFTKNNNIAQA >Et_1A_009395.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4689196:4690386:1 gene:Et_1A_009395 transcript:Et_1A_009395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFAGVLLTTRSSVVLAIAAVVLLLLPSCHATHNITAILSARGDLAEFSRALTATGLADDISGRNTITVLAVDDAHMAAAKARRRLPREALRRVLSLHVLVDYYDDAKLRRLPGGSADASTLLQASGDAPGSAGMVRIAERQGGRVAFAPQDDDGAPAVFYVKPVHEAPYNIVVLQVSGLMILSPAAEEAPSSSSRMTVADVLSRNKGCGRFAGLVTATGDAAATYDKQSVQEGLTVFCPADQAVEAFEQTFRKLSSAYDRLQVVLYHGMVGYYSMKALQANHEDLATLAPSRAGGGFDCAERHINGTVTLVSASHNAARVTRMLVDADPLAVYMIDAVLLPHTLAPAPPELGTPVLSSSADGHHKNGASDPSLCCSPWRQRVAYSLLVLSLLMV >Et_1B_010720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13327616:13329613:-1 gene:Et_1B_010720 transcript:Et_1B_010720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAERRAATFAAVLVMILSSSSIHGADAENRHVFLNWEVSYAVRAPLGVAKRVITINGRFPGPLLNLTTDDVAHVNVVNALDVNMRRNSWNDGVAGTNRGIPPGQNWTYVFQAKDEVGSFFYRPSLGLHAAAGGHGPVRVNNRPAVAVPFARPDGGDLDVLIGDWYNMETKRMMREYLDRGRDLPSPDGILINGLGPYQADIRFEPGRTHRLRVSNVGARTSLSFRIQGHKLLLVEAEGTYTQQRHYASLDVHAGQSLSVLVTADQQPRPYYMVVSSLFVQPELFGVANVLYSNNSGERPPPGKAPLDGASSHNGYARSMEQARTVRTNLTCGAARPNPQGSFRYGRINVTRTLLLRNGEDQIAGRRRCTVNGVSFADAATPIKLADRLGFAGVFAAVSGEPEARRRPGLGTAVIDARYRGFVQVVFENPLPSLQTWHLDGYSFFVAGMGRGKWTPNARSTYNLVDAIYRSTVQVYPASWTAVFVSLDNEGIWNLRSQDLGRRYLGQETYIRVSHGTSEVPDPRDELPMPSNALLCGKAKPLKLGRA >Et_10A_000432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10093512:10094654:-1 gene:Et_10A_000432 transcript:Et_10A_000432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLGAVAASECKVYEYLLKTPSCNQTRESIYEFVKRSEGFRLAEADKLHVINWRPSSPADVFAMIEECGRRFSRDEQGEPCVAVEHVEQFLDMVKEVLPPPPRKEEAMQE >Et_9B_063700.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19996184:19997940:1 gene:Et_9B_063700 transcript:Et_9B_063700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPQPHPLPRATVASAVDALTKWMKKRADAAPPNLLADERDDLVLLQLSLRRVPASPTTRPRLLPLPHPVIAHSGSSVCFISDDRPKSRSPAASDLLDATKTLGLPVSEVIPLSTLRTDYRPYESRRRLAGSHDLFIADRAILPLLPRVLGKAFYSTKKAPIGVDFTRVGWPEQVRKVLGSSFLYLRTGTCSGIKVGRLDMEEEEIVENVIAAVEAAVEKVPKKWANVRALHLKAVDSVALPIYQAVPELGMKIEVPSIPQLEGEVVSKEESGKRKWNKKKRTEDVVMQENVQEEPEKKKRKVPSDKGQKGEHPLEKEAKPGKDSKKGKKSESAMEEVGSMKKKGKKEDTKHDLKDDMLDVANTKNKKGKSEEGRKKKSIKGADDSVKSVEDKKRKGKKLDGDKIKKTRTRARV >Et_5A_041347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21484579:21487927:-1 gene:Et_5A_041347 transcript:Et_5A_041347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRDHCKAATPPVVAMRETVNYTLTITRLIICAVAPCLCDVDDRAATHHHRHNSPDQRHRRGRRGVARRWAGDLQARLARAAAPARTCRRRRHGAGSGRASWLLVCAVACRLYDDDDGG >Et_6B_048207.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:10363268:10364467:-1 gene:Et_6B_048207 transcript:Et_6B_048207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTTLQTLASSDDPAAAFPFFARLRVTGALSPGRHTFPFLLKAAARLPLPLPVAGQLHALAVRHGVHLDAYVANGLVRAYSVAGRLRAARRVFDDVPDRNAPLYTTMVSAYAQNGRHQEAIAAFDEMLREGFEPGGAALASALSACARSASGGLEMGRRVHDIMEARGMTDPLDVVLGTALVDMYAKNGAIREAMAVFDEMPVGHTATWNALISGLAHHGQGKCALDMFQWMQREGVPPNATTLVGALSACCHAGLLDEARRLFWSMEEEFGITPGIQHYGCMVDILGRAGLLSEAEEMIRGMACEADTVIWGALLTACKNHGDVEIAERAVAEMLKLDPSNHGVYVVLSNMYAEAGKWQDVDKLRNVMKGARLSKIPGASAVGGDGSPEQPLAKVLV >Et_1A_009162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31359184:31362217:-1 gene:Et_1A_009162 transcript:Et_1A_009162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRGVTCGSRGLHRGRVTALELRRLGLAGSIAPSSLSGLTYLRQLDLAENRLTGGMPTLLPPYLEHLNLSYNTLQGPVPPALGSLRRLQELDLAFNNLTGTIPASLTDLSLSRNNLKSAIPDALGNLRNLTLLFLNDNMLQGSIPPAVFNLSSLQILVLQNNNLTGTLSSDIGKLPNLILLSVNSNQLHGAIPVPLCNASKLEFVQMLENSFSGVIPDCLGALKHLFFLALDINQLEANVNGDWGFVDSLTNCSNMRVIGLSENKLGGVLPGSIGNLSKTMENLILSGNMVSGQIPQEMGNLVNLRTIWMDQNNFTGTIPASLGRLDKLGKLYLNGNRLSGKIPPAIGNLTSLFTLVLDNNTLTGPIPSSLGSCPLVGLSLDNNRFTGSIPKEVLLISKLVYASFQGVGSFGSVYKGTMMISDQEVVVAVKVLNLQQQGASQSFIAECETLRCARHRNLVKILTVCSSIESGGLDFKALVFDFVPNGNLDQWLHIWEQGTHRGLDLSQRINIAIDVASALEYLHHYRPTPIVHCDIKPNNILLDNDMVAHVGDFGLARFVHQDQTSLSDMSSDWATRRGTTGYAAPGKRPTYSCFVDDISLHSFVQLALQDQQVASVVDQRLLPAQDQEREGRTSSSSSTTEMTLSCITAVLHVGILCSKEVPTDRLLIVDALRELHGIKDKYKRIHN >Et_2B_022156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9988593:9989012:1 gene:Et_2B_022156 transcript:Et_2B_022156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYDLAIILLSNRESARRSRKRKQAQLNNLHSQISLLTAENASLLKRLADVTQKYKEAAIDIQTMQRK >Et_7A_050415.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:13980178:13980747:-1 gene:Et_7A_050415 transcript:Et_7A_050415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTFALDNVLKLCSAAAESEFGDDICELCSAAAESEFVDDICELCSAAAESADNLILKCPVAASFWQAIGVELPADATVRRPWLIPRPSSVPARHYIRLLRAAVLLDDLETPQQIHIPRRTTSHFPGCCETAGRNCKCGAAASREKILVSPKPGVHYYWQICKFSSLDSNTPKTTRTVIMGHFGPPLI >Et_2A_017253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32478675:32479794:-1 gene:Et_2A_017253 transcript:Et_2A_017253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NVDGTLLYGVKYTFPEYICTFPVAGGVSPFALLKTSSKTIKKLDNPNAPLGYSLCFLNLAFDGYTNSTQYLIKSSNWPYANGFEAVRFVKRTPRWHGISFCSVYAVHLFGSLTNTTITTARKFMSIVVSSVISGNPLSKDQWGSVVLVFSGLSLQIYLKWKRKKGRGHK >Et_6A_047120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25623571:25625466:1 gene:Et_6A_047120 transcript:Et_6A_047120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGDHELISDTAYFAREKKEELVGKKEKPYTVLTEADVRARQAKDTAAVADVLSVPAGIAAVLLRHFKWRPDEAQGRWFADERRVRDAVGLPAEDGGGDPIVAVACNAGGAVCGICFERHDAGWMRSAGCAAHLYCRACWRGYLRLRAAVSDGGGAVCLALRCPEPSCRAPVARELVDATAGAGDRARYAAFSLRSYVEDSGGRIRWCPAPGCTRAVELDGGVEGASSPEDVSCSCGHAFCFRCGEEAHRPVTCETVRRWQAKNASDSETANWVLAHTKHCPRCRQPIEKNQGCNHMSCPCGHHFCWPGSASARPPAATTAASSRPEQRRWREQGGAEEAAGQGVARQRWAANANSLRMALADMDKLRESELELMAAVVGASDVKKEMAFFTEAYEQVAEGRRVLRWSHAYGYFLDPERDAKKRELFDFVQNEANQALERLHGCAELERKEIYGYDDAEMANKFEAENLKHLTAVTRHYFDNLVKAFESDLPEIFAVNFK >Et_1B_012772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3566503:3569628:-1 gene:Et_1B_012772 transcript:Et_1B_012772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNFSGFCIFSFSGGLQSMLHAVALTDVYILFAQHKLGKGSRDKVQQFMAITGASEKVALQALKASDWHLEGAFDVFYSQPQVAVANTRHLEELFNRYKEHDADMIMVEGVSQLCNDLQVDPQDIVMLVISWHMKASTMCEFTRQEFIGGLQSIGVDSIEKLREKLPLLRAELKDDQKFHEIYNFAFAWTREKGQKSLALETAIGMWKLIFAERNWPLIDHWCQFLQVRHNKAISRDTWSQLLEFVKTIDPQLTNYDEEGAWPYLIDEFVDYLKENGTVQHRK >Et_1B_012006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2802440:2804713:-1 gene:Et_1B_012006 transcript:Et_1B_012006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPCCRVSPSAAIFAASASVRPPSMLRVPTRDRRACSFPRLKLRQGETAARLPRLVVHASNRGGNVHPQREPAYHFVEERRHIFSRLAKIIHIEEGYLYHEARDMSQLVCISAENALTMASQVVESGNMNLGAPNEISVETINRTMRPYAKIFLQVADDSCNRAVSKSTITTLLGALRGLAFSSHILLENALEALSHKYPKESLSEYAFNCDVSGMQLVYNRLMDDLETGIKNASTSKTCELVMPTIRQGVEATKSFVELMVARRQRALGKANSQVA >Et_1A_008736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10999896:11002615:-1 gene:Et_1A_008736 transcript:Et_1A_008736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCSKEGVMDAPAPALVPVGMLQKAPRQQSLKQLIIAAQEEDAPVMRAVFSRTESNAKAKAGDNNGTAVAVAATPVEKTAPPVVVISSLSKSYSTAGAPTHHRRDTVDLIGPGGGNGVGAAQQVISSMPQGFSGEHVIAGWPSWLTSVAGEIVEGWLPRRADTFERLDKIGQGTYSNVYKARDLETGKIVALKRVRFVNMDPESVRFMAREIHVLRRLDHPNVIKLEGIVTSRLSHSLYLVFEYMEHDLAGLAALPGQRFTEPQVKCFMAQILEGLRHCHARGVLHRDIKGSNLLIDDNGALRIADFGLATFFDPDRRQPMTSRVVTLWYRPPELLLGATEYGVAVDMWSTGCILAELLAGKPIMPGQTEIEQLHKIFKLCGSPSEDYWAKAKLPDVTLFKPQRPYRRKIAETFKDFSPTALALLDTLLAIEPSARGTAASALDSEFFRTKPLACDPASLPKYPPSKEYDAKLRGQEASRQNTTAIGGKGSMSIKPGRDDAKAAPAQDAVADRQRRQARANQKSTSHHYSSQEDSVPGFRMEPPAVGRGGPATMQTAGFGSTWYRSDQKSVSRTSSSVRVSHLTSQRSGRSRGTDLHPSSSAARNANSKYNRLDVAEPANTIDRPGSSHKKDAGMRDTSTGFGAKNKRIHYSGPLMPPGGNMEDMLREHERQIQEAVRKARLEKEKTNRHHY >Et_7B_055263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8893385:8896402:1 gene:Et_7B_055263 transcript:Et_7B_055263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLRGAVCSMLVLSALLALAAAQSPSPALSQSRPPTAPAAKKPSSPPPTAAPAPRASRPPAPAAKQSSSPPPAAPARKPGPAPRPAPTPPSPRAGPTARPSPAPSTRPAPKPSPSAAPAPKHSSPTPPPAPRPVAKPSPPAAPAPRASSPPPTSPATPPAPRPSPKPSPSAAPAPRTTTPPPPAAPVPTAPPQAPRPSPAPAPKQSLAPVSPPPAPPSSTPSTSSSLGQLSPSYYAMSCPGVELAVRDVVRSASTLDPTIPGKLLRLVFHDCFVEGCDASVLIQGNGTERTDPANLSLGGFDVIEESKRLLEVICPATVSCSDIVVLAARDAVIFTGGPAVPVTLGRRDGLVSLASNVRANIIDTGFSVDAMAASFAKKGLSLEDLVTLSGGHTIGLAHCNTFRERFQVANGSMSPVDGSMNTDYANELIRACSVNGTVSSGTAVECDSGSAGVFDNRYYGNLLDGRGLLRTDAVLVQNATTRAQVAAFAQSQDAFFASWADSFARLTSLGVKTGADGEIRRTCSSVNG >Et_1B_012825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35465103:35467425:1 gene:Et_1B_012825 transcript:Et_1B_012825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGYGGVSEFQQFIMDGAFAAMSAPPPPQQQPQPPGPAASQEPFRYQPLHHHAMPPQHHHQHHHAPPMPPHFAHFASGGIPFTQQLLHHHPHLQLFQEQHQKPQPSVPTRWAPPQQHHPHPHHHHLGFDVEAAVPESSGAAGGSTASGGGAPSGVPPFLAAAMNFKLAVDAGGGSGATGGTDDGGGGGMLHVGDEAATESRLRRWPGDEEASIKEPTWRPLDIDYLHSTSSSSKRAGKEKAATPESPAAGAGGNYLKKGDDDAGASAAAAASAGGGNYKLFSELEAIYKPGSSGSGLTGDDNAAVLQEPAMPADLPAAAAVEAPQRRERDRAARDEAWRRQEADKFAREAAARAQDRASAAAREAAIIAYLEKLSGEAISLPLPVPGVPSEEDMAVGDDTAAEKMVQQQRMSCSTTSRWPKHEVEALIRVRTGLEGRFQEPGLKGPLWEEVSSRMAAAGYGGRSAKRCKEKWENINKYFRKAKESGKKRPSQAKTCPYFHELDRLYSRSAAGPPGFVNNNNSNDQAEDDDDDGRNNTDDGHIIVEEDGRGRDPIPDDQGESLGDHDH >Et_9A_061490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12620960:12621334:-1 gene:Et_9A_061490 transcript:Et_9A_061490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVTNPTLSLLLFPQVAANLPMSALSAVIPTNRMREGADNVFTDSSAASISMAFLAFVCLALSAVLSGFKLAK >Et_8B_060761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6367276:6368108:1 gene:Et_8B_060761 transcript:Et_8B_060761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAAKSSPLPPYPEMILAAIDALNDKNGSNKSAISKHIEGKYGELPPAHASLLTAHLARMKESGELIFLKNNYFRADAPDAPPKRGRGRPPKQRDPNAPPPPPKPTSPRGRGRPPKAKAPQDALDAAVEQATAGMPKPRGRPPKKAKTAAPAAPAAAPAGDGSAPVKRGRGRPPKVRPAAPSETAAA >Et_8B_059011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12189078:12198151:1 gene:Et_8B_059011 transcript:Et_8B_059011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DRAREAQELAYDIEDAVDEFTHRADGPAPVGIPAMVKHFVSMARRQIAEQLRGLRDRALEVSKRRKWYDILVPPDAPSPTLHLPPTVYAETATNLVGIDRPRDEVIGKLTSARTKHAYGRRRVASMVGFAGVGKTTLAMAVYRSFEAQFQCRAFVTVSRKFDIRRVLKEILHQVTITAGNSSPDSIMAGVDTWEVSQLAVKLREILKDKRYLIIIDDLWKVSAWNEISRVLPENNLDSIIISTTRNESVANACCSRNHPGHFVHRVASLEYLDARRLFLDRIFGSENNCPKEFEDVTLKILKKCDGLPLAIVCISSLLTATRPLDCKIERDRLTQRWIAEGFVFEKHGMSVQEVAESYFVELIERSMIQAVGIDCFGEIHACRIHDVMLEVITMKSREQNFVTLIDDRGGTTTQQGSVRRLSLDRGTATDGLDWSSFNMPHIRSLTIYGDIGNLDSIPQYRFLRALDLENCQGVSSSHLKNIGGLFLLKYLSLKNTWINELPPRIGELKCLETLDLSQTNVRDLPVEVTRLQRLVVLLAGRAELPQGVGNMRSLQILCIRAGSKSSKEAVKELLRLTNLRKFDITYVLRKGKKWSQNENADTFLPSMISKLGNCKLQSLHLNLVGYSVGIFLQLQFHVSPAP >Et_5B_045236.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:13179766:13180413:1 gene:Et_5B_045236 transcript:Et_5B_045236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSLLPLAAIVVIALLAPRTASYPWSLCGNNSFTANSKYQGNLNLLAATLPGNASGSPSNLFATAVAGAAPDQVWAAGLCRGDVNATDCFTCLTQAFHHLLRPLHAPLLQHQRPLRRRHRHVRHASPTRARHEHHVTPGQVQPRRRRPHQRHRAQLHAALNSTPFDHEVPMLYAVAQCTPDQTSAQCRNCLAGIIARGFRERCLRQAVLAQLQL >Et_2A_018042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9380709:9382896:1 gene:Et_2A_018042 transcript:Et_2A_018042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHMTTHAEDQRHLLQMLQKSIASELDLGKELSDTRSVVDELKMKLYQAEQQSYFLEESVEAIYERMLSAENASQLFLGTSKDLLAEINTIDFNLTASVHREGDLKSKLVDGLSNETRFLRRKCQQIVTTMPTQTLSPLEFLTLRDKTRQLEAWLRDSGSQLQWSSLSKGANKEEQNMTRPEISSFRCSQLTQTNDQLHAELNSDRAGLLEKRLKESDSQLEQAKASVDVVVEQLSMLKSSISEGEVFETRAENAESKCTLLTDTNLELNEEASFLRGRIECLENSLRHANQLKTSTAKDIGIKTKIISDFVAKLTLERQRLHLLVVTLTKKNKMLAQKCRKNVNEAPLFSKKSTVKEGDANDNNLWDEAELTPPLEVDSSTENKLETVRTIEPSQLNWMYTLTTDLVLLAAILVYLLYKEDDRVEQLLRQFL >Et_1A_009285.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:37237279:37238019:1 gene:Et_1A_009285 transcript:Et_1A_009285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEGEVYSPASSGGTPSPPPSPPVEGAATAARRGGGEKRARVDGGRHPSYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDAAALVVKGPTAVLNFPEMAASLPRPASAAPRDVQAAAARAAAMEPSLLLVPGAPPSSAAALLQPGAATAAAAAEQPAADEELEAIVELPRLDEDAAELVTTFCGAASSAWCDQVWMEEDAAGYVSTAAAAHDDMFFGLDGDHGWAQSVGALLWNL >Et_2B_021974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7543333:7545235:-1 gene:Et_2B_021974 transcript:Et_2B_021974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRALHLFTPSRGISSTPHLASLGWFDKIKSTFTGKKPDEAASDPASFTLIQFADTMEKARKLGTFKNFVAGRASEATVVNAFEKHSAVLRYLGAIDPTGEKLQSSDKINATKHCNCTIADVEHILAKYTWAKEAQTKILKLKEEGKPLPKSFNEVQNLMGTSPVDVGRSNLAKSGQISRNALCPCGSKKRYKRLDASRPSSIPELLVLVFYNEDSQ >Et_9B_064500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15970473:15974237:1 gene:Et_9B_064500 transcript:Et_9B_064500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSDVHANTAGYLAARPPTLEIFPSWPMSHLQQPYSGNSQSVGSTSGSSSGQNTMPQAAELVSPLSTGADSGHQQEALMVTVDDYNYGQGLGATADTAPIFQQHTAGQDKRKHRSTRKDGRLLDDKMERRLAQNREAARKSRLRKKAYVQQLETCRIRLQQIEQELHRPCSQGLFQGGCSGPGDMSSGAIMFDMEYARWLDDESKHLTELRAALHAQLIDANLGVIVEDCMRHYDELFHLRAMLARSDVFHLMTGMWATSAERCFLWMGGFRPSEILKMLIPQLDPLTEQQLLGMCNLQQSSEQAEEALVQGLQQLHQSLADAVGAGPLNDGASVANYTGLMGLALDRLDSLESFYRQADNLRQQALRYMRRILTTRQTARCFLSIGEYHRRLRALSSAWTCRPRENSAATENVSPTGTEHLMQQQYHQSQFSGF >Et_10B_002733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10020799:10031123:1 gene:Et_10B_002733 transcript:Et_10B_002733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPQQPGPASRPPPPFAPQNPGPSPAGSLPAAFSNMQISRAPPPFAGGPPAANGPSSIRAPPPGARTFQGSPPPPFAARPAAPSQQQPPFGGPPPAALASQPQPQRPPPPFGGPPAASHPSPFGGPPAASSQPRPFGGSPPAAAGPAQPAPIGGPLNSAAQPPPFSRPPGSMSQAAPSGGLRPPFGGPPGQSQQVQFGAPPQFGSPRPGVQAPPFGAQPAPVSQAPPFMGPQGGNAPTFAPPSWQAHAQPGAVPGSMQPSMRMPGMPGTMPPNALGQGMPLASTPTMPYSPHAGAQVSTPSKIDPNQIPRPMAETSVIIFETRQGGQAAIPPAASSEFIVKDTGNCSPRLMRCTLNQIPCTGDLLTTSGMPSALLVQPFALPHPSEEPIQLVDFGEMGPIRCSRCKAYINAFMRFIDQGKQFICNLCGFSNDTPREYFCNLGPDGRRRDADERPELCRGTVEFIATKEFMVRDPMPAVYFFLIDVSMNAVQTGATAAACSAISQALSDLPDGPRTMVGIATFDSAIHFYSLKRAQQQPLMLIVPDVQDVYTPLQTDLILPFSECRENLEQLLENIPNMFENNRTADSAFGAAMKAAFLAIKSTGGKLLVFQSVLPSIGIGSLSAREAEGRSNVSTADKEAHKLLQPVDKNLKTMALEFAEYQVCVDVFLTTQSYVDIASISVVPNTTGGRVYYYYPFSAHSDPAKLFNDLRWNISRPQGFEAVMRVRCSQGLQVQDYFGSFCKRVPTDVDLPAIDSDKAIMVTFKHDDKFQENSECAFQCALLYTTVFGQRRIRVMNLSLSCTNMLSNLFRYADLETQFTYIVKQAANAIPSTPLSQVRDQVTSTCINILQSYRKYCASVSSSGQLILPEALKLLPLYTLALTKSIGLRNDGRLDERSYWASIVSSISVLLAVPLVFPRMIALHDLTSREDDDSLVPTPLTLNSENIRDDGVYLLENGEDGFIYAGNSVNPVTLEQLFGVKSLAAVPNQLVLEQFDNELSRKVNEVVNEIRRQRCSYLRLRLCKRGDPSGDFFRSLLVEDKAPGSLSYVEFLVHVHRQIQSKMT >Et_1A_006861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28316025:28319236:-1 gene:Et_1A_006861 transcript:Et_1A_006861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQKTIDRYKTYTKENVNNKNVQQDMQQVKADALSLANKLEALENSKRKFLGENLEQCSVEELHSLQVKLEKSLHIIRGKKTQLLEQQIAKLKEKERNLLKENKELREKQHSLQPPLAVPALSCIPPQPRDIPGPSNEDTDVVTELYIGLPGSERSSNRDSG >Et_7A_051322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1717663:1718675:1 gene:Et_7A_051322 transcript:Et_7A_051322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYVRNNSRLLSNQALGWLNIVVVAWPFVKACAAEYLQFCT >Et_6A_046289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12125758:12127767:1 gene:Et_6A_046289 transcript:Et_6A_046289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETKAIIVPTDEELLKAQADLWRHSLYYLTSMALKCAVELGIPTAIHNLGGSTSLPDLISTLSLPQAKLPFLRRVMRLLVQSGIFASDSNSEVEVYRLNPLSLLLVDGEIGKEHGSQKYFVLATNTRHCIEAALNLASWFKKDVTPPLSSPFEDVHGAPLFDESTPLLDKELDEMVNAGCAAHDNLGIGTILRECADLFSGLQSLTDCCGGDGTTVTAIIKAFPHIKCTVLDLPRVIKDNAPDHGINFVAGDMFKFVPPAQAVMLKFVLHHWNDDDCVKILSQCKNAIPSRDEGGKVIIMEVISDPSLGPTMYEAQLLLDMLMFVNTRGRQRDENDWRKLFKKSGFSDYKIVKKLGARAIFENCKTCKWRPVTLRKKRMLRDALPSMITKVTANQRVTNRRQQLLWEIGKVG >Et_1B_009713.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:19771274:19772047:1 gene:Et_1B_009713 transcript:Et_1B_009713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEARYLNRPSSSQGLDIAAAVVCAAGHDDHTDCHSTPFQIVFLDACKRVEDEDDVARILSAYVYSSETDAWGDSTVITFPFTFDSSKGSVLVGNSVYWMLELEERFSSYILEFSLSGQRLEMIELPDGDICDTYLSDIHVMPAEDGGVGFAGVNRSSLHFWSRRIDPEGAAEWVLTRMVDLNKLSGLPAVDMLLFSSVVALSEDGDELFIQSEAGIFMINIRLLRLKKVLGATGLPIYPYTSFYTRGNSLQH >Et_5A_042940.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:7274604:7276484:-1 gene:Et_5A_042940 transcript:Et_5A_042940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGHHLPPSGAAVREMRQRGQQEPRRRGRLLLVGSQHLQHLRHAAAVLGLDEPLPVAVHGHEGAERLGGHLPRLGRLGAEEHAHQLRHEPGVAHRLPGCLLLRRVVVAAAAQAVRRLERAVLKGGVAVAVAVAAEEGEDAVEEPCGEEPVGGAGRGGGEAERVDGAEGHLARLGRARGGRVERGDEGLRGAFLDHGRPDGAVAVAGGAGHGGEELHGVDLRRVVCGALREGDEHRHGARVGDHVLPYLVDAREVPQRPARRARELIAFALSIIVGRAGVEQRRDAAVRGDGPRVVRVLREPAQHPGRALPDPRSGAGAGVVEDPDHGRDDAADDQVAPVRVVGRDRRDGVQGLLPDARVGALEQRDEDAHAVLARDDGAGVPVAAAAEGVGERGRGLAPHLGAAADAEPGEEVADVEPHVVAGGPGGRAGHHERAGPGQRARRVQVVEARHVRVQRGGEDVQVAAQTELAAAVAPALAARDQLAARPRRRRDGAEEVRERVGPGAVVAVVVDGDVGEAGVEAVQPVPDELPLEREERGRQGVGDQSTDGVQRRRECPPLLVGQLLRLLARRRRRRFLLPCCCFTHPWSVGHSRSIARSALDLPDKAVVCRHLRCAAIILAALAFG >Et_2B_022563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2627812:2633007:-1 gene:Et_2B_022563 transcript:Et_2B_022563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMKVMAATTSATSWRHGGLDFWMATSRWRASEKIRIGFSSTRWQKEEGRHKKEDYAQFRLQECWPPYIGHLEMSSVKLKSARGISEMFRNPVTFLHHSEPDRQ >Et_6B_048319.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1238835:1239253:-1 gene:Et_6B_048319 transcript:Et_6B_048319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQFRMTPCYHYFHQTCIFEWLLVDRRCPGCRFALPSEEEQRLLDEEEARANDGEDQFVIID >Et_8A_056158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9027886:9029112:-1 gene:Et_8A_056158 transcript:Et_8A_056158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDGQRLAFDEEVPTSSGPAQVTPAIELWSHVVVAAVILAYHPEVGHPDATTGLVGVAVDEVDSPYVTVGAEVRRRRDSPVPPRQGLADDQRVREAVGVVPRPPANYQEEASAAVRDSPVRWEEVVGIVGEEVEGESAGVIGCDRGRRRRGQERWADAYHRSRCRTRRVVAVEVVAGRGRRVQGAEQAEEAGVGDHATPRLARGRGADQVGEGREAQEHELQGEVEAVGDVVDDGGRRVCH >Et_4A_033879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27616816:27617235:1 gene:Et_4A_033879 transcript:Et_4A_033879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMDRAAVPAKRVWLGIAARLGLRRKTGLRKLRKEVRTCEYQDVHLMWQMLRAADAPVPLAEKEAAAAAAVAAAAGARKRKNAWRRFIYYCCAF >Et_8A_057249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22839576:22844656:1 gene:Et_8A_057249 transcript:Et_8A_057249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPVALYDSLKAAKPFFLLAGPNVIESEEHVLKMAKHIKGITTKLGIPLVFKSSFDKANRTSSKSFRGPGLEEGLKILEKVKATYDLPVVTDVHESHQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMVNSAEKIRLAGNPNVMVCERDDLIVDPRNFEWLREADCPVVADVTHALQQPAGRKLDGGGVASGGLRELIPCIARTSVAVGVDGIFMEVHDDPLNAPCDGPTQWPLRNLEDLLEELIAIAQVTKGKKQFKIDLTPFKE >Et_4A_033122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18794698:18798062:1 gene:Et_4A_033122 transcript:Et_4A_033122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPDDLCSSDGPVGQDRMSSLCVRVVHGRLPTLANRKEKLASVERDGTDLIDDVRCTASGVPDGAGGVAIENVGAGTPGVSGSFERTFFCTLVDEHARKHGVIVKDFSEIFPQCQIIEVVVPGGEVDALFLKRYTIAAHCYSQC >Et_4B_036898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12400055:12400333:-1 gene:Et_4B_036898 transcript:Et_4B_036898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRDNTATFVDLILAIILPPLGVFLKYGCAIEFWICLILSFFGYLPGIIYAVWAIIKE >Et_8A_056221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16381677:16382914:-1 gene:Et_8A_056221 transcript:Et_8A_056221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FAPCSHATAAAEVPLTSGIKFQTLNYVATIQVGSGAGGAANLTVIVDTGSDLTWVQCKPCSPSSSSAGSGCYAQRDPLFDPAASATYAAVPCNASACAASLKAATGAPGSCATTAGGGNSSIESGVLATDTVGLGGAARLDGFVFGCGLSNRGLFGGAAGLMGLGRTALSLVSQTTARYGGVFSYCLPATAAAAGSLSLGGDSSTTYRNTTPVAYTRMIADPSQPPFYFLNVTGASVGGPTAPIAAAGLGAANVLIDSGTVITRLAPSVYRAVRAEFARQFAGAGYPSAPGFSILDTCYDLSGHDEVKVPLLTLRLEGGADVTVDPAGMLFVVRKDGSQVCLAMASLAYEDQTPIIGNYQQKNKRVVYDTAGSRLGFADEECSYV >Et_10B_004270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2502629:2503837:1 gene:Et_10B_004270 transcript:Et_10B_004270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLSRDHSPPPSGPETRTRRPGSVRESRPYDRMALNYPRGGRYFKQRPPPTPNRAENRSAPAVLLDRVVSLRGIGGGPAADMLAFCNLDTTKWSLAHPAFEVLDTHQGTPTRENGTDVHLASREKSSVVDFVGAARMERGEMKVRSPGSQWGSSLRHRVVTARRREQANQRRCGGAG >Et_10A_000376.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:6550481:6550603:-1 gene:Et_10A_000376 transcript:Et_10A_000376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPMQFVGTPGTNIPKTDMRNPMGNRKRKYAPSFQLLFVS >Et_1B_012889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3979518:3982442:1 gene:Et_1B_012889 transcript:Et_1B_012889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLTDLVNLNLSDCTEKIIAEYIWIGGSGMDLRSKARTLSGPVTDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRKGNNILVMCDCYTPAGEPIPTNKRHNAAKIFSNPEVSAEEPWYGIEQEYTLLQKDTNWPLGWPIGGFPGPQGPYYCGIGADKSFGRDIVDSHYKACLYAGINISGINGEVMPGQWEYQVGPAVGISAGDQLWVSRYILERITEIAGVVVTFDPKPIPGDWNGAGAHTNYSTKSMRNDGGYEVIKSAIEKLKLRHKEHIAAYGEGNERRLTGKHETADINTFSWGVANRGASVRVGRETEQNGKGYFEDRRPASNMDPYVVTSMIAETTIIWKA >Et_9B_064527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16193955:16194700:1 gene:Et_9B_064527 transcript:Et_9B_064527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVTAALAILFAFAVAVQFAHGADLVCEEIPAEVCAFAVSSGGARCVLERTPEGAPRCQTSAVRARALLSGWVESDACVRACGADRDALGLPVLVGDAAAEDRRLVRALCSPACRDACPNVFDLYATLAAGEGVSLPAFCEVQSNAAVSGNRRMMVGMSPLGAPVAAPVAAPAPC >Et_3A_025145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27337339:27339920:1 gene:Et_3A_025145 transcript:Et_3A_025145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDSDPGRGAVDANPNVLLPLQILFIHFFSYGAYGGGNINTAISMADLALAGLRWAASPIIKKLVAEASSYLGVDMVRELQDLETTILPQFDLVIEAAEKSPHRYRLKAWLQRLKDAHYDTEDLLDEHEYNILERKVKSGKDPLLEEDGSSITSTIRKPFRAAKSKASNLLGTNRKLIKKMKELKAILTEAKDLRELLGLPAGNAAGSHAEAPTIVPPTTSLPTSKVFGRDEDRDRIVDILLNKTQVAEESSASYSCLAIVGAGGMGKSTLAQYVYNDKRIVEHFDLRMWVCISRKLDVRRHTREIIESAAKGECPHVGNLDTLHDKLKDILQAKKCLLVLDDVWFQESDNETEWETLLAPLIFQQAGSKVLVTSRRDTLPGALCCKHSVVHLGYMEDAEFLALFKHHAFSAAEIGDQVLRVKLEEIAVKIVKKLGKSPLAAKVMGSQLCRKKHINAWTDAL >Et_6A_047894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2355686:2359995:-1 gene:Et_6A_047894 transcript:Et_6A_047894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATETPFHVLAVDDSVLDRKLIERLLKTSSFHVTTVDSGSKALEFLGLLPDEDSPVSVQADQLESSSLRDIPVVIMSSENIPSRINRRVIIHEHETTPDGQGHNWSDARVVVTESRSRSGLSAHHARNSGRVRASSCSWTLYPRSRSISSTVRRARNRRWLSASPRSIIEEMQLTGSATSSSSSTAAPRAGKGTGSGSGAGAGEAGAIATAATPLGARGA >Et_1A_008884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17282151:17285519:-1 gene:Et_1A_008884 transcript:Et_1A_008884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQPPPLFQPLPPHLLRHLRDRELTTPLLDPLIRSASSSAAPGLSFSLFLLLLRAALRPSHLTFPFLARAAARLACPSLGTALHAHPLRRGLLPADLHIANSLVHMYAACALPDHARRVFDEIPRPNLVSWNALLDGYAKCRDLPAARQVFERMPRRDVVSWSAMIDGCVKCGEHSEALVVFQKMEDAAAASTESGGVKANDVTMVSVLGACAHLGDLERGRRVHWCLRERGFPMNLRLATSLVDMYAKCGAIREALVVFRAVPVETTDVLIWNAVIGGLAVHGRSTESLDIFREMQQAGVMPDEITYLCLLSACVHGGLVDEAWRFFRSLESQGLRPHVEHYACLVDVLGRAGRLEEAYGVVRSMPIKPSVSVLGALLNACNLHGWVELGEVVGRQLVQLQPDHDGRYIGLSNIYAVARRWQEVKKARKVMEDRGVKKVPGFSEIDVGEGLCRFIAQDKTHPGSREIYALLNLMAIEMKRKDDGMLWCSEFTALNDFLHHL >Et_4A_034107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:380850:382028:-1 gene:Et_4A_034107 transcript:Et_4A_034107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISRHFRACLGLQVLATVVVILRPSAAQPSPGYYPSAMIKSMAFSEGYTNLWGSQHQTLSQDQKGLTLLMDRSSGSGFKSKRSYRNGYFGVSIKVQPGYTAGVNTAFYLSNNELYPGKHDEIDMELLGTVPGEPYTLQTNVYVRGSGDGAHLVGREMRFHLWFDPTAEFHHYAILWNPDQIVFLVDDVPVRRYPRTTTAFPDRQMWAYGSIWDASDWATDGGRYRADYRYQPFVARFQGFRIAGCQAGAPASCRPVAASAAGAMELSAQQRDAMRWAQQRSMVYYYCQDRTKDHALYPEC >Et_4B_036263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13703382:13704284:-1 gene:Et_4B_036263 transcript:Et_4B_036263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRFGFDVELPRAFKFDPTDADIVTHYLLPRALGLPNPLEHAVIDDDPAGCPPWELLRRHGHAGSDHAFLFAPPRDPSKTVRTGRVVPPGEDGGVGGNGEGEGGKIEIRYKRYNLSYCRDGEAKTSGWVMHEYQIIDPPLLPVLARVKITDAAKNDRRQQQQTDAQVRIEQLGPCGNDFIVGDHAPGYAAMVSDGEGTSGSRAQVVVDQGHDGGVLVGEPTVSFSELLNAGVEDCFFADNGISSYFTGTNMNYGFNQGAGAGNNIYGCRSDNYHCYSDGQVY >Et_6A_047741.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:12902525:12902800:-1 gene:Et_6A_047741 transcript:Et_6A_047741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAKAKKGNSDAQTGCGGDRIGALPDALLHHVLSFVPAQEAVRTCVLARRWRDLWKHATGLPPAYYSLCWERSSVSTGPPEDRVPPSAPP >Et_1B_012250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30166357:30167934:1 gene:Et_1B_012250 transcript:Et_1B_012250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVVPGVLTVLPAHHHHHRHFVSTPCRLTTLNQSVAPPPPSVILVRWTARCFVSSYMNDVNHVTGVPHTSCYLKVQSIYVHPLMNNEFSFIQL >Et_10A_001008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20089976:20092938:1 gene:Et_10A_001008 transcript:Et_10A_001008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVTLSSESDTMAALSLKHFGWPLEWSCRRSQGRVTSAGHRRPPTSTGTVSPSSAIGNYGSIEMRLFSGKRRRCSPDCYRLVEKKPSYGDGAWRAKMKELLVPGANYQALSTAFPWKKICSIPTPNKVKHFAWRLAHNNLPMKRRIQSRGMKIDTKVPHVFQTRQRCRTLVFQMQVRKGSVA >Et_3B_029611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26499320:26504162:1 gene:Et_3B_029611 transcript:Et_3B_029611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPCLLVLLLLRALVAVAASHPGHEFCAAAGGDAAGCGGGGDGTRILIKGGTVVNAHRAEAADVYIEDGVVLAVRPNIPVGDDNVRVIDATGKYVMPGGIDPHTHLAMRFMGTVTIDDFFSGHAAALAGGTTMHIDFVIPVNGNLTAGLESYKQKAAISAMDYGFHMAITKWDDEVAREMEVMVQEHGINSFKFFMAYKGSLMVTDDLLLDGLKKCKSLGALAMVHAENGDAVAEGQQRMIDLGITGPEGHALSRPPILEGEATARAIRLAKFINTPLYVVHVMSIDAMEEIAKAKRDGQKVIGEPVVSGLVLDDSLLWDPDFTIASKYVMSPPIREAGHGKALQAALSSGILQLVGTDHCTFNSTQKAFGSDDFRKIPNGVNGIEERMHIVWDSMVETGKISVTDYVRVTSTECAKIFNIYPRKGAILEGSDADIIILNPERRFVMGAHAHHSRSNTNAYEGRKGKGMVEVTISRGRVVWEDGVLNITPGSGRFVRMPPFGYVFDGIQKSDATYRASLRAPKIQQGPGIQMPEFLQVTVVMLPSLEKEHLQLVGRTVAGWIYQEAADQ >Et_9A_063248.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17507006:17508637:1 gene:Et_9A_063248 transcript:Et_9A_063248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATSTSRLLLHHHAAAGSERHRKQQLRYSARSFSLSLRSRSGQREAAAGALLPDRVTPFSYGVDEDDDDHPREECGLVGIVGDPDAASLCYLGLQKLQHRGEEGAGIVAVGGDGKLKSVTGLGLVADVFGDPSRLASLPGTAAIGHVRYSTAGAAASLRNVQPFLAGYRFGQVAVAHNGNLVNYQALRSKLEARGSIFNTSSDTEVILHLIATSLSRPLLARVCDACERLAGAYSLLFLTADKMFAVRDPHGFRPLVLGRRRNGAVVFASETCALDLIDAVYEREVAPGEVVVVDRRDMSVSSACLVPHRPRRACVFEHIYFSLPNSVVFSHAVHERRTAFGRALAQESPAPTADVVIPVPDSGFYAALGFARESGLEFQQGLIRWHYSGRSFIQPTQAIRDLAVKLKLAPVHGVIRGKSVVVVDDSLVRGTTSSKIVRLLRDAGACEVHMRIASPPVVGSCLYGIDTPSEGELISNRMDLDGVRREIGSDSLAFLSLDKLHSIYGAEAEDYCDACFSRKYPVLPTLPEPVVELEEV >Et_1B_012689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34439206:34442785:-1 gene:Et_1B_012689 transcript:Et_1B_012689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQTDFYTRPPLEGFEGCSSQDERRDHKSDFEISEDEKKTRMGSLKKKAIDASTKIRHSLKKNRRKSGSRVLSVSIEDVRDLEELQAVEAFRQALLLDELLPARHDDYHMMLRFLKARKFDIDKAKQMWTDMLQWRKDYGTDTIVEDFEFSELDSVLQYYPHGYHGVDKEGRPVYIERLGKVDPNKLMHVTTMDRYVRYHVKEFEKSFLIKFPACSLAAKKHIDSSTTILDVQGVGLKNFSKTARELIQRLQKIDNDNYPETLYQMFIVNAGPGFRLLWNTVKSFLDPKTTSKIHVLGNKYQSKLLEIIDNSYRNSLVVHVPVLSTIVQSGEAHYARQIVTISNGEEKIISYAKPKRHTIRGSDTSTAESGSEAEDGTSPKALRSYISHPKLTPVREEVKTIRAASFSARVPEYDVPVVDKAVDATWKIEQPRKMPAQAKDHSLTTTTSRSNSSWDQIVAALMAFLMAIVMLAQSVKDFALRRLPYKNEPEENFSTLYPDSIHKEEFRPPSPSPGFAEADMFAAVLQRLGELEEKVQMLQEKPSEMPCEKEELLNAAVRRVDALEAELIVTKKALHEALIRQEELLAYIDSKEVAKAQKKKKTMFCY >Et_8A_057598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4896960:4900769:-1 gene:Et_8A_057598 transcript:Et_8A_057598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSRAPLLLPRGEAGTTAKGRARRAAALEWWVESKKLWQIVGPAILQRIALYGINVVSQAFIGHIGDLELAAFSIAATVVAGFNFGFLLGMASALETLCGQAFGAKKHHMLGVYLQRSWIVLLLFAAALTPTYIFMEDLLLLIGQSPELSRLAGQMSVWLLPQHFAMAMLLPLTRFLQSQLKNWVTAYTAGVGLAVHVAVTYLLVHVFRLGFVGAVIAADMSWWLVVLGQFLYVVGGGCPLSWRGFSMEAFADFWEFIKLSSASGVMLCLENWYYRVLVLLTGYLPNAEIAVDALSICQTINGWEMMIPFGFLAATGVRVANELGAGSGKGARFAIIVSITTSVAIGLVFWCLILYFDDKIALLFTTSKVVLDAVHNLSVLLAFTILLNSVQPGIWSGMIGGTAVQTLLLAYLTVRCDWDEEAKKASNRMEVWASSK >Et_5B_044444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2543835:2548479:1 gene:Et_5B_044444 transcript:Et_5B_044444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPTQIPEPEAEEDPHPGTPKQHKFPFYLPSPLPPSSYKGSPANSSVASTPARGGFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPEGGEPELGLDKSFGFSKHFFAKYELGEEVGRGHFGYTCSARAKKGEHKGQDVAVKVIPKAKMTTAIAIEDVRREVRILSSLSGHNNLVQFYDAFEDEDNVYIVMELCKGGELLDRILARGGKYSEEDAKVVMVQILSVVSFCHLQGVVHRDLKPENFLFSSKDETSALKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDEAPWPTLTAEAKDFVKRLLNKDYRKRMTAAQALSHPWIRNAQQVKVPLDMIIYKLMRAYISSSSLRKSALRALAKTLTTNQLFYVREQFELLGPNKNGYISLQNLKSALVKNSTDAMKDSRVVDFVNTVCTLQYRKLDFEEFAASAISVYQMEALDTWEQHARRAYDLFDKEGNRPIVIEELASELGLGPSVPLHVVLQDWIRHADGKLSFLGFIKLLHGVSSRSIPKA >Et_5B_045002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8238121:8240475:1 gene:Et_5B_045002 transcript:Et_5B_045002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAKAKAKKTKKRHLMACCIALSILAVLGALAVAFYLRYRPRPPRVLATPVELSIDQFELLPHPTLKVSVGVHVVVNNPSNSPYRYGAAVSPVTYHGEPVGETLVPAGEIGGKSTRKVEPATAVDGVKVAESPHFAADAVTGVLPFVAVVKVVGKALVLGTFEVPVTVEIVCFVRMYVFHGESSSRCVSTVRTGGSAAGSGQGSTLPPSSPGTAVASGYPEGIGHMPLRFEWWSYKIGTKL >Et_3A_025654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31637721:31643966:1 gene:Et_3A_025654 transcript:Et_3A_025654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVLPACSSLCYFCPSLRARSRQPVKRYKKIIADIYQLPSDGEPNDRRIGKLCDYVSRNPSRIPKITEYLEQRFYKELRHENFTLAKVVPCIYRRLLCSCTEHRPLLASSSLSIIRTLLDQKAHDDLQVMGCLMLVDFLNGQVDSTHMFNLEGLIPKLCQIGQELREDDQGLRLRSASLQALASMVQYMGDHSHISMELDEVVSVVISCYEANQTLSIKEVVRLQDDDDLVINGSLAVPPMPGQSSAKVASDLVPTSENPAQWARVCLCNMANIAKEATTVRRVLDPLFRLFDSQDYWSPETGIALSVLQEMQKLMDKSGQNGHLLLSFTIKHIDHKNVAKKPVKQIDIVKVASHLARHAKLKASVTIASAISDLIKHLRKCMHFAIETSNVQADAHKWNNALYVALEECLVQLTEKVGDVGPILDMVGVMLENLSHTSTISRTMISSVYRTAQIAAAAFPDALFHQLLLAMMHPDNKTRIGSHRVLSTIVAPSVLCPWSAMSFTIPMKCNGSQDILLLVLSAFSSETAIEEVRTKDGIQESFQKIEKPEAMVSAENGYADKESNKSQYPGSPYLNDVHLTAFDENLKFMKLNNNQIVLLLSSIWSQAFLEDNSPSNFEAMGHTYNIALLRTIEKSSSHATLVRCFQLAFSLRRKSLSQENDLQLSRRRCLYTMASAMLIFSAKVADVSQIVPLVKAAAPEKMVDPHLCIADDYRLICTFAQSSSSEMAYGSQEDESDAQAFLSAINKDDTELIEIVVSCFKEKFKKLSEKFNWIEEQLHQEFSLDDSFPLGAPLFMETPHSCSMYADKEDHCFDEDDAPSELDDDDDIFFEHSGSQSDRKTSGSMASSDVLTVNQLMESVHETARQVANVPVSANPVPYDQMKSQCEALVMEKQQKMSVLLSFKHSRSDSRGSAGMETNESSMRSEPELQTTKKERMRRNDSASSESDRSFRLPPASPYDKFLKAAG >Et_5A_041524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2402995:2407568:1 gene:Et_5A_041524 transcript:Et_5A_041524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISWPSAIRLAVAASLLVAVGVALFTLPVEKILKDFLVWIKENLGPWGPLVLALAYIPLTVLAVPASILTLGGGYLFGLPVGFVADSIGATIGATAAFLLGRTIGRPYVLSKCKDYPKFQAVAIAIQRSGFKIVLLLRLVPLLPFNMLNYLLSVTPVGVGEYMLASWLGMMPITLALVYVGTTLKDLSDVTHGWSEISTTRWILIVSGFVMSVGLIICVTRVAKSSLDKALAENGEVDVGTSQLPVVASPSDLHQPLVIKIDTSNEDHEK >Et_3B_027964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28548104:28548901:1 gene:Et_3B_027964 transcript:Et_3B_027964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHHHHGAAGQLPPAAGRPWSKAEDKVFESALVVWPEHTPDRWATVAAQLPGRTPQEAWEHYEALVVDVDLIERGAVDVPGCWDAEDDDGGGTGRARTESRRPGIPWSEEEHRLFLQGLEKYGRGDWRNISRFAVRTRTPTQVASHAQKYFNRQLNPASRDSKRKSIHDITTP >Et_1A_005227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34151129:34152235:-1 gene:Et_1A_005227 transcript:Et_1A_005227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSFSPGHGRRPKKKLYHREPGLDKAMDLQKKPALLKTGSLLVRDLEKEVGFVQKWNYLSLIERHPNIFHVSGGSASREPIAVTLTEKARKISGEEVQAQELMEPILVRNLRKLLMMSMDCQIPLEKIELIQSELGLPKNFKNDLIPKYPEGFQTGCRGIPKDGNLPGPFAFKMKYPAGFRPNRKYLEEVVRWQKMAFPSPYLNARRVEPATPQARKRAVAVLHEILSLTMERRLTSDKLDVFHNEYRLPCKLLLCLVKNHGIFYITNKGARSTVFLKEAYDNSDLIDKCPLLKFHDQFASLIGRQSSVSNDPLVRNCSVYT >Et_6B_049959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2027536:2029454:1 gene:Et_6B_049959 transcript:Et_6B_049959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRAATVLAVSLLVVVSSLVMCFPVVAGDQAAGSGPDTNVLCISKCETCPTVCSSSPPSIIPLTAPPPPSSSSPSQSAPPPPYLELVLPPPPTDEFDLLPPPTPPAPTTTSPPQSPCPPSSSSSSSEQPPPTPSPPPPATKSTSSDNGSGGGSSSSSPSPPSASHFSSPPSPPSSSNPYYYLYLSGGAKTRGGAWSACTALVLAALLPAVNFFIHELVEQWQCCGERTEGPRAAALP >Et_10B_003806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6346469:6348756:1 gene:Et_10B_003806 transcript:Et_10B_003806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLAAASASFSPVAARRQPSGQVTNVISGRCSVSFQSQRMNFASIRSRPSSLRFRICCSAKQETVNKVCSIVKNQLALPEGTVVTGESKFSELGADSLDTVEIVMGLEEEFNITVDETSAQDIATVQDAANLIEKLVTEKTS >Et_1B_011794.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26025148:26025571:-1 gene:Et_1B_011794 transcript:Et_1B_011794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARDGSWFLV >Et_5B_045178.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1174370:1175047:-1 gene:Et_5B_045178 transcript:Et_5B_045178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQSDLVGAKDVVPCGPLLVDYGDGESEHGESGRVARWLDAQAPGSVVMVSFSSEYFLSDTQIGEMARGAAASDSSGWCDSPRRAAPTPARTTKTRRVHCPTGSRRRGGWWWRGGRRSGAFLTHCGWRSVMESLAAGVPMVALPLHIDQPPSWAPQERRFGVLTAEDVARAVRAVMRGEEGQAVRRRRRRVPGRRAAAADGQALRQGPAGTGAGGRPELSFSVA >Et_7A_052559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9339034:9340821:1 gene:Et_7A_052559 transcript:Et_7A_052559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SAASKPSPPAAPASPSRSLPLRRRRADSRQNGRVILRHPVAPRSFCSSFSNSAVLAHDVALVDVFVFVQPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQMNFKRLSLTDIKIDIKRVPKKSTLIKAMEEADVKTKGENSSWGKKLIVQKRRESLNDFDRFKVMLAKIKRGGAIRQELAKLKKEVAAA >Et_4A_033163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19424877:19431934:1 gene:Et_4A_033163 transcript:Et_4A_033163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YDFNITTKHRYISLVGQAAEAATEVNSWVQKATSSLIKDILRPDSVDHTTKLVLVNALYFKGTWSEKFDPSKTKDHQFHLLDGSSVQAPFMSSKEDQYIASFDNLQVLKLPYQKGGDNRKFSMYILLPAAKDGLWSLAEKLSSEPEFLENHIPRWKVEVGQFKVPKFKISSAFEASKSLKTLGLHLPFSQKADLSEFVAASDAEAQDLAVSSVLHKSFVEVNEEGTEAAAATALEFVGMSLQVRTTTNFVADHPFIFLIREDTTGVTLFVGRVTLFALRLATALLPPPQTADPATNAVFSPLSIHVALSLLSAGAGGDTRDQLVDVLSGGYGLGGVEGLHALAEQMMQVALADGSAAGGPQIAFANSVFVDSSLRFKPAFQEIAGSKYKAETRSVDFQKKAAEAAAQVNSWVENVTSGLIKEILPPGLVDQATRLALVNALYFKGNWTEKFHAYKTKDHPFHLLDGRTVQAPFMSSTKEQYVASYDNLKTRFALCLAAALSSPSASPAATNVAFSPLSLHVVLSLLAAGAGGDTRDQLAATLGGGAAEGLHALAEQMLQVVLADGSGAGGPSVAFANGVFVDTSLKLKPEFEEVAAGKYKAETWSVDFQRKAEEAAGQVNSWVNRVTSGLTKELLPPGSVDETTRLVLGNALYFKGSWAEKFDASKTKNSKFHLLDGSSVRVPFMSSTEDQYIAFYKDLTVLRLPYQQGGDERRFSMYILLPEARDGLWSLAEKMSSEPEFLEKNIPTRHVPVRKFKVPKFKISSGFEASNLVKGLGLQLPFSAEADLSEMVDSPIAQNLRVSSIFHKSFVEVNEGGTEAAAACAGMVMCLSHTSPVDFVADHPFMFLIREDTTGAVLFIGHVANPLHAP >Et_1A_007773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38102217:38104694:1 gene:Et_1A_007773 transcript:Et_1A_007773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFAVALALTALAVLHGGMVVRPCSAAASATCAGLAPAKQRPEVLSITEFGGVGDGRTLNTWAFRKAVYRIQHQRRRGGTTLHVPPGTWLTGSFNLTSHMTLFLARGAVLKATQDTRTWPLVAPLPSYGRGREMPGARYASFIHGNGLRDVVITGDKGVIDGQGEVWWNMWRRRTLQHTRPNLVEFMHSSGIHISNIILKNSPFWNIHPVYCDNVVVTNMMILAPHDSPNTDGVDPDSSSNVCIEDSYISTGDDLVAIKSGWDEYGIAYGRPSSGITVRRVRGSSPFSGFAIGSEASGGVCDVLVEDCAFFDAGYGIHIKTNVGRGGYIRNVTVSRVRLRDVRAGVRIAGDVGDHPDARFSQSAVTRVDGVTVRDVWGVGVQQPGSLEGVKSAPFTRICLSNVKLYGARNDAAAAWKCRDVRGAALGVRPSPCAELATNLASGSCT >Et_3B_030911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8713755:8719326:-1 gene:Et_3B_030911 transcript:Et_3B_030911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFDGRAADPGSYRDRRSEGAFGGGTRAFAPPSKEDSISAAELDGLPRFEKNFYVESPAVAGMTEDEVVAYRRRREITVEGRDVPKPVRDFRDVGFPEYVLQEITKAGFVEPTPIQSQGWPMALRGRDLIGIAETGSGKTLAYLLPSIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEATKFGASSKIKSTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMIESHHTNLRRVTYLVLDEADRMLDMGFEPQMKKIVSQIRPDRQTLLWSATWPKEVEQLARNFLFDPYKVIIGSEDLKANHAIVQHVEILSESQKYNKLVNLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYSFFTAANARFAKELINVLEEAGQKVSSELAAMGRGAPPPSTVQCECVW >Et_2A_018101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1131254:1136487:1 gene:Et_2A_018101 transcript:Et_2A_018101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKGVRRREKNYRAAHGGNSRLPPPPKQRELEAIPSKLRRLIAIQNKQNANASGSAVAGGGGAPGKQDDKAGKNKPVKDKETKKRNLETPADSKAAEIKDNDAPAANENVNAEESKEKRKRKRKAMDLRFKELEENVSVSKKQKRKKHLDEKKKKRKGNKAETLPDFPGHEKVRFGDVVQAPPKLSFPKVKNTLDASREMLRKEAIENYRNIKGWTSRPGLQIPALAENTSLPEFFRVLTPELVLRTCSMMAGSEVKSSLCPGYNSLQVRCCCAYCTFSSKILSKFLSCRSMVPMRGGRSGALCAYSLMQKTAKDATTPRM >Et_3A_024105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17460830:17472335:1 gene:Et_3A_024105 transcript:Et_3A_024105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSSLPRLGGLALSILLVVLLSLCSPRAAGDPRTSVAGQTCASGAAVSGSVLADNFVPSMDDLNSNVSAHGFGTSAVGTGGPNTVFGMGQCLRDLSPVDCKLCFAEVRSLLPKCYPRVGGRLYLDGCFGRYANYSFFGEAVDAAADAVVCNGTASAAAVPRAFAAAVRAALANVTGAHGSDGFAVAEAASGGATAFALAQCWETLNATACARCLRAASDAVAARCAPAAEGRALFAGCYVRYSTTRFWNVNATAANASSSGSNDIVWILLGSIFGALAIVFIIGFLAWKKRILRSKKGSSSFIDTYGDGLSVRIAQSSLNFKYEELRKATNYFDPACKLGQGSYGAVYKAVLLDGKEVAVKRLFLNTRQWADQFFNEVDLISQVRHKNLVKLLGCSVNGPESLLVYEYYYNKSLDIFLFDASRRGKLSWDLRVDIIQGIAEGLSYLHEESETRIIHRDIKASNILLDDKFKPKITDFGLARAFGEDITHLTTGVAGTLGYMAPEYVVHGHLTEKADVFSYGVLVLEIVTGKRCSSSNGSHGGQVLLTKVWKHYKDNTVETIVDRDIYDDTIRDEVMHLLQIGLLCTQANPDDRPTMGKVVELLRNHRHDLNIILSDPPFLAVEAAEDIKEGEHSRLLSTNSALSLDYCPFLVAPIVFACLSSWLTTTTQADPRAKLVREFCNKTKAAGPGAVWADNFVVAFDNLHSDLEQQGYGMTSVGQDPITYYGLVQCLEDLSKVDCTLCYSEIRSLLPKCYPEIGGRIYLDGCFMRYANYSFFDEFTDSLDTSVCSFRNLRSDQRGFISAVNTVLSNVTSLAIKSNKGFAVSSVSRSPKLAAYALAQCWQNLNISSCAACLSSAAASVAKCAPAEEGRALFAGCFIRYSTTPFWNSKDSTASFGSRKHVVLWTILSSSIGVVLLLLISVSIWKKKKKKARKARERSLRGLYGSELPVRISQSCLNFSYKDLKKGTGGFSLDNKLGQGSNGTVYKFFNEVDVISQVRHKNLVKLLGCSVDGPESFLIYEYHFNRSLDLFIFADDQNMLLDWPQRFDVILGIAEGPQRMINAFQNIKSKRLVKLLVCTSLFIFVRFERVATFVTGFLFAPLSISDGFCGMRHLP >Et_5B_043711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14433457:14437017:-1 gene:Et_5B_043711 transcript:Et_5B_043711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGSRSTSRLRGSSSSSSAGQQLLVGGEGKQLVGEVEQGRGGEVEQGRSGELEEEGRAGEVEQGRGGEVEEEGRAGEVEQGRGGEVEQGRGGEVEEQGRGGEVEEGRGGEVVEEGRGGEVEQGHGDEVEQEGRGGEVEEGRGGEVDEGRRWGCGGGVAVVGPTMPPFGKKRRPRKCHHCGKRLKGHVCPVGDRDLTSEVPGEHFVVPPWPSSPDFCQVPTISDAQGDRYPSIANWFQLPALDKIEELYFRYLRRTARDPLPPSALRFTNLRIASHGNCHLPEPLNEGISSPHLRQLTFQHLTNTENTLHALLSACSTIESLLLVDNNVFYNVLIISPSLITLGVSIETRERVMEEIIIVDAPIFEKLQLFNTN >Et_1A_004732.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35204990:35205532:1 gene:Et_1A_004732 transcript:Et_1A_004732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLASLHLAISRNLQPVTSFFVSFRSILLLGDRQAAQRSSSLSLATMAVRTSQMAVRLVVAVVFLLLCCYALSTAECARTLQHDHGASRFSTANLFVVARAGPSRRGAGH >Et_10A_000570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1346715:1347322:1 gene:Et_10A_000570 transcript:Et_10A_000570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEKGSDPRGVMTETTEKLSKRVQKSSRTAPFDQCAAKLTFFSVFAREPSSYIKDIATSKKERSSGRFDRNDTEITETGPEQLQNSPASRGNHPVTSKTSQHVKRSDPRGVLTETTQKKPKRVPNSSRTAPFDQSAAKLKFLSVFVREPLSYIKDIATSEKERSSGRFDRNNTEITETDPEQLQNSPV >Et_7B_054999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6313359:6315721:1 gene:Et_7B_054999 transcript:Et_7B_054999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGSGAHGTEGREYAADIDSIREAQARIEPYVHKTPVLSSTSIDAIAGKQLFFKCECFQKAGAFKIRGALNSIFALDDEQASKGVVTHSSGNHAAAVALAAKLRGIPAHIVIPRNAPACKVENVKRYGGQIIWSDVSIESRESICKKVQEETGAVLIHPFNNKYTISGQGTVSLELLEQVPEIDTIIVPISGGGLISGVALAAKAINPSIRILAAEPKGADDSAQSKASGKIITLPSTNTIADGLRAFLGDLTWPMVRDLVDDIIVVDDNAIVDAMKMCYEILKVAVEPSGAIGLAAVLSDEFKQSSAWHESSKIGIIVSGGNVDLDVLWKSLHKR >Et_2B_022079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8895230:8901067:1 gene:Et_2B_022079 transcript:Et_2B_022079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPDLHPSSRNPYFPESSDQRRTGHQQGQVGFGHLVRVDAEEARIHPYDLQELVKGSELLEPELEIAAKGLVAEGEGCEGEEARDLVKREAEIRKIRNAGRQGPLHVGAEDFWVHDDGRGDVGGGEAEGAAEEGEHGVRIGGGAEVGDLLGGLVRLCRGEAASRYEVVLDGEGARRRSGGRGGGGGGAEEAAERDDARVVRGREAGLGAEEDLREGVRSEALDELLPRVPVNQVDQNERQLRATNISVNFIGEKVGCQV >Et_2B_020778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23549910:23552910:1 gene:Et_2B_020778 transcript:Et_2B_020778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KTVHLDLDGTKHGTPLHPPVTFTTSPSVFVLKQQTTIPMSTSVSAATAASFVPPLTARRQLSAYRVSAISCRRIGFKTRLFSSYAPTPVLPAAAMATGGAAPTASDAGSKKLLIFDAEEELAVSLAKYTAELSGKFAAERGAFTAVLSGGSLIHALRKLTEPPYLGSVDCSKWHVFWVDERVVPKDHEDSNYKLALDGFLSKVPIPTGQVYAINDALSAEGAADDYETCLKQLVKNGVIAMSAATGFPRFDLMLLGMGPDGHIASLFPGHPLVNENQKWVTYIKDSPKPPPERITFTFPVINSSAYIAMVVTGAGKAGAVQKALSDKQASSDLLPVEMAVLQDGEFTWFTDKEAVSMLQNK >Et_6B_049838.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:14781217:14781594:1 gene:Et_6B_049838 transcript:Et_6B_049838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQRKVLMLCDDYMEDYEAAVPFYALAALGVAVDCVAPGKLPGDACVTALHEFLGFDTYTELPGHARFPVTADFAAAAADPSRYDALVVPGGRFAEPLSCDAAAVALVAAFAAAPAPAEYIKLK >Et_10A_000455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10642495:10651110:1 gene:Et_10A_000455 transcript:Et_10A_000455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSFRFDPDGSDGEAGPPSRGRPAQSPWEFSSYAESVAAEHARRRTTSIDEKISQVRQGRRKPVLSDDSEADESGSGEDDSDDEEEALVEGESSGDEEDELEESEDDEEQVVEGSGDEEEEVGAEGDDEEEEGEDKEEGGEQGGEDEEGEEEDAQEEGENAEQNAELEPSKFFASSVGASFHANSFLELNLSRPLVRACEALGYQKPTPIQAACIPLALTGRDICGSAITGSGKTAAFALPVLERLLFRPKRVPAIRVLILTPTRELAAQVHSMIEKLAQFTDIRCCLIVGGLSTKVQEIALRSMPDIVVATPGRIIDHLRNSKSVGVEDLAVVILDEADRLLELGFSAEIQELIRMCPKRRQTMLFSATMTEEINELIKLSLNKPVRLEADPSLKRPATLTEEVIRIRRAREANQEAVLLALCLKTFKKSVIIFSGTKQSAHRLKIIFGLSGMKAAELHGNLTQAQRLEALEVFKKQEVDFLIATDVAARGIDIVGVRTVINFSCPRDVKTYLHRVGRTARAGREGYAVTFVTDDDRSLLKAIAKKAGSQLKSRIVAEKPVAECAKLIEDLEDQISTINREEREEMALRKAEMEVAKAENMIAHKEEIYSRPKRTWFATEREKMLLAKAAKESVDQGKNSAGVISAKQAEDLRLKEKKRRELEKNLPRKKRRRLEAEREMLEESDDDEDTKESKGGKKAKKGQSVVDVAYRRAKSMKATSKKGPGAGKGKTDKKARQHSDKVPTRQEEMHDLFQNDMSEWKQGRPLKKDNNFARKKSKNAFKSKSRYKRRK >Et_1A_007219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32188540:32194280:1 gene:Et_1A_007219 transcript:Et_1A_007219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSIECVSYSDGMDEEDDVPAAPAQLPRPFLKSASTAAAAALNVFVASDRGGGGAGSGAGPLMPPATGVHELLECPVCTNSMYPPIHQCQNGHTICSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEVFPYYSKLKHESQCSFRPYTCPYAGSECSVVGDIPSLVTHLRDDHKVDMHTGSTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYSYSLEVGGNGRKMIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDSGACIPNLQRTSRRMVYN >Et_3B_028245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12190638:12191881:-1 gene:Et_3B_028245 transcript:Et_3B_028245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVGMLPPVFLAAAVLAMPESPRWLAVRGRHGEAHKVLLRISPAPQEANLRLEEDQASWPLERAERSTIIGELAPHARRRRSDWRASLRCWPSWPRPWPAWAVGSVVTREIGALFRAWMKKSSSKPSPLHLSLRKHRHPNTVKRRPMK >Et_7B_054304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19723360:19724245:1 gene:Et_7B_054304 transcript:Et_7B_054304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LMAESKAKRGSRVYLTWTAEMDSALLAVLVEHHNNGDHAQNGWKPHVYNAAIKHVFEKCSVIITKDNISSRCKTFDKHYEVISKILSQSGFGWDWDNNKLQIDSEEVWAKYVEANKAAACYKTKVVRNWDAISTIYSKDHATGEGALTGAESAEEPAVEGNEPSPDLPQKRQRTGEAILCMLGDMKTSFHDAMKSSEPLQLPQVTPPAEILAALDMIPDLARRDKLRSYGKLILSERLFQALMELPMELRKEWLLMLE >Et_3B_028900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20150424:20154388:-1 gene:Et_3B_028900 transcript:Et_3B_028900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAPILVRRLLSRPFLSSTIRPFSTTTTSSSSTFSASQAGSDAEADHPSADQDQEAADRPRPPNVTRPLENGLDPGIYKAILVGKVGQEPIQKRLRSGRTVVLFSLGTGGIRNNRRPLDHEEPHQYADRSSVQWHRVCVYPDRLSSLALKHVKTGSVLYLEGNLETKVFSDPITGLVRRIREIAVRSNGRLLFLGSDGNAPKLGDVRGVGPKSNKEVMLLSWNLGATNTVKDVSSPCWYQDEFLLPNTILKSITL >Et_4B_037629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21948422:21950906:-1 gene:Et_4B_037629 transcript:Et_4B_037629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSSGGRSARSAPRAAPVRNPPQPARQAPPPAPAQQSGGGSILGGIGSTIAQGMAFGTGSAMAHRAVDAVMGPRTIQHETVVSEAAASAPAAPAMSTDSCNIHSKAFQDCINNYGSDISKCQFYLDMLNECRRGAGASA >Et_7A_050207.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:11706917:11707420:1 gene:Et_7A_050207 transcript:Et_7A_050207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVKVALQVLISALVLAMCTTHQAWGEEDCHHEKVLVVQKCWKTIEIGADYEEPTSRCRREVESSNMACVCRNVSRDEELQISVSKLVRLSRECGKQVPAGSKCGSRWLTIHLSFWKRERETSVSVLLRNFLIFFFSAWTVPPPLSP >Et_5A_041573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24572065:24573675:-1 gene:Et_5A_041573 transcript:Et_5A_041573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLRRRLCLGGTRTLPFVRRFRMREVEAATNGFTTALETAGAARGTAYRARFAGGLVATVRRASSGEGQDRGDFYLEVQLLGRLNHRHVVRLHGFSEGHHARFLVFDHMENRSLKECLHDPLRTPLDWRTRLQVAIDIAAALEYLYYFCDPPVFHVSVNSSNVMMDANFVAKLSDVSVISHDIKRAITDAESFQGQVEQRRRELVFQYGVLVLELVTGQSPGVEGELVRWVQEPGLAGSMHRMVDADLGSAYDARELRDLVLVARLCTRRGGDDDDAGVVSMPQIVRYLQGKVERLGCDSRGG >Et_1B_011671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24800247:24800950:1 gene:Et_1B_011671 transcript:Et_1B_011671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFVLLYTAIQDVSLSNNKDKITWRWTEDGEYSAASAYAIQFMGATAPLPASTLWQTKISMCLDKLTSIGNKKEKKRHAGVLFSFWWQLWKERNRRLFENKECSVTQVAHITVDARQFRRPFQPAFDHLPES >Et_1A_005601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11970236:11973375:-1 gene:Et_1A_005601 transcript:Et_1A_005601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQRLYSVVLFDEVEKADSAVTNLFLQILDDGQGRTVDFTNTIVILTSNLGAHHLLLHGAADASGWDAARRLVVADVQRHFRPELVNRLGEMVVFHPLSGDQLGRVARMQLRGLAARLADKGVGLDVTDAALDVVLARSGDQVQVYGARPVKRCLQKHVMARISRMFVQGEVDDDCYVSVDADEEKEEGPRVHRREACWIRGDGSTCCVSVEDGNSGVIRIDEEEEAEASGEASRLSTIVVAHVLSGVDADDRSVMKSAVMRTCKEVADSDKRINYDFCVSEVDKNYKSGEEGGVSLRVANAAAFAASHRMDSAYHDIFVLLDKLGADLNKTRLGQALDQCWRPYNNARIDFTIALQLIGSKSYAEAKMRFSRATEGAMQCDDVLAKAKIDVWPLVQHSKYAVQMGRICVAIGDRMLPK >Et_3B_027413.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:13614303:13615289:1 gene:Et_3B_027413 transcript:Et_3B_027413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATATGKSKLAVDLALRFGGEVINSDKIQVHDGLDVVTNKVTSDERRGVPHHLIGGVGPGADYAAADFVRDAARAVESVLARGRVPVVAGGSNRYLAALLDSAQFRRRYECCFLWVDADLPVLRRYIGHRVDRMLEQGLVDEVREFFRPDGDYSRGIYKAIGVPEMDAYFRLEAAGALDVDGELRARVLAAGVAKIKANTCGLACRQLSKIRRLQKLPGWSLRRLDVTAAISLKLAAAKVDPEAERAVWEADVAGPATTFVAAFLGGEMDHDGEQGGFLVAPPSITKEVAVAGSGTAGELCSIQLGKAAVVVARGRCGFVAMDQAVV >Et_9B_064204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12620025:12626652:1 gene:Et_9B_064204 transcript:Et_9B_064204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMGIPDAAPGLELTIATPAPGNGIHISSSFGSGDKLASHLTPSMPAKAMGTDMSNDKNVVVVKEEAASLPTLAAGAVSPLFVFEPVVLVKEEGSSAPSQQAAEGATTPVDNILKHHLTHCAAALDMGDGLALNTALQHLSSVASASGDPSQRVAFTIAHALARRAMGSLQGLAWALQLQVPSPPTSAIITNAARQSFATLCPLVRVATTAANLAIVEATQAERRVHVVDRGGANMSQWRELLDLFATRSGGPPPSLRLSVVNEEDEFLSRAAEMLTQEAARLHVPFVFNPVRARIDRITPQVIAALGVAHGQDEALAIMSTLQLHRLIADEVTIQLPAPAANNKQGQKRKNNQEQTSATTSHQIMKADALLRVLCDLRPKLMVLMEQEADHNCTALTDRVQNALEYYVALFRDMEASAAGDNDMLDHRVTVERVLLGEEMVDIVACEGKLRRERHEKIGRRALRMKAAGFEPAQLSVNNFNKIDGMARQLFGDGGSVRKYRAQ >Et_2A_014920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21949765:21950691:-1 gene:Et_2A_014920 transcript:Et_2A_014920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQDAQHGGGSSNNNNLPDAAIESFSQLPFVRPKPPPPSASGSSPTSIRLFGFDVPPDAAATSSTATSDATGASSTAAAVGGGGGRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAQYQSAMAMHAHHYPGAASAHAYPAFSSYHRFGPPAHYPSWSVVPRYYGGGAGSLSQPINGSPVPASSLWRVPAVNEAMAGARRGGAAAEGRGGVGGGARLLSPSSTSSSSASSSQHERRRGGDVAAENRDDVSLDLTL >Et_1B_012336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3140467:3144666:-1 gene:Et_1B_012336 transcript:Et_1B_012336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPSLGSKAAHFVSDLTTVILNPVSERETSHLPEVDKVQKSSEDDKDSEHGSDIQDGPDTSSFRAFLISFLSSSSSNNDSMEILPEQNGDTGYPTLTPVGNGSKGKTWLISRGKYSIGKIINKAARFGGFKHATAEPKMDKETVTHTDSVIHRESVAPVLDLKESKEVAFFETLPTMSEPSVLLSEMMQLILYTSLPVLAQGRNWVLLYSTWRHGISLSTLYRRSMLCPGFSLLVVGDKNGTVFGGLVESPLQPTSAKKYQGTNNCFVFTNVHNRPMIYRPTGANNYFTMCSTDYLALGGGGHFALYLDGDLLTGSSSSSETFNNVGLSHSPDFAVKDVELWGFVYPSKYEEMLTICRTEKPGVCRW >Et_8B_060232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7679045:7686807:-1 gene:Et_8B_060232 transcript:Et_8B_060232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSIAVAIDFHVCDQTARFVQAPNKVAPPEGRNCGYLVVKEDTTEGDEPETCCWGTCPASEYERVWELPFPQNRVLTVWHSDEEAESVLFVPVPDRPLASNRYYAVVAGSGKRNKGLVRACSRAEDVTTCFGCSCVVKDAKPRPFDPADAYLQMEIVPHQSRRGRFAARSVAADGIAPSLYRWYWKVYAPKHKNLAQLIPDTDAIPAAPAAIGRWYCPFYLIKEDGVSPRKQMDRSPYYEVTLEQRWEAHDGESSKLAGEKVFIGGSVEAALDVGSSLQGGGGVRRADRVVHERVGENAVVGAQMRWAGPRGGGGRRREAHGPGLVRS >Et_4B_037739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2310979:2318618:-1 gene:Et_4B_037739 transcript:Et_4B_037739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VATTPAKLYHRDLRTQKQSALGSTQEMALPDSGTSGAWMWYVPHVLMTLVQLCYTLLYFIAEAAFNRGLNPHVFVTYRHLFVAVLLSPFAYYYEKKQRPKMAFMLFLEIFVLSLLGVSLTLNMYFASLKYTSPAFLSSVVNTIATITFVIAIALRMEIVDMKSLRGLAKVAGTIVSFAGVTTITIYKGTAIASLWRAPIRIHGSHAVQVQESWVKGSFLAVASCICWSFWYILQASTIKRYPANLSLTAWMSLVGGIQSAVFTVFVDHKLEDWLIGFGLKFWCVVYTGITCNGFAVFAQLWCNKQKGPVFVTMFNPLCTVMVAILAYFIFGMALEVAAERGRVWSRYAPHILMTLAQLCYTLMYFITEAAFNRGLNPYVYITYRHLLVAVLIWPFAYYHEKKLRPKMTFMLFLEIFVLSLLGVSLTLNMYFASLKYTSPAFVTSVVNAVASITFVIAIILRMEIVDVKSLRGLAKIAGTVVSFAGVTTMTLYKGAAITSLWKSPIHIPSGSSAHDGFVKGSILAIASCICWAVWYIMQASSLKRYPAQLSLTAWMCTVGGIQSTVFTVFMQHKPEDWLIGFGVKFWCIVYSGLACNGFTVFVQLWCTEKKGPVFVTMFNPLSTIMVAILAYFIFGENLYVGSIIGGVVVILGLYMLLWGKEKDNDHNAGKVLESEVDCEKVSDVSAIRNEALKTMK >Et_4A_035073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9271960:9277428:1 gene:Et_4A_035073 transcript:Et_4A_035073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPEPRLTLLLLLAAACSFGLAAGGADGAGPCDFSVERGGELYSFTLAAPTPAHRHGVLSEDGFYKLAVNDSILWFQLCDEMIFNFDPPVCLNCEDCGGPLRCGTGCNALVSNSIGGYDVCTTIGRATNSHISLIDEGNPQKGVIVKMFSSKCSISISVLCDSNIVQVSDKFILSGKCDYATTIRHPSGCARSVSAPGNGWGWLGTSFVTILCLLGGYVLIGAIYRYYFLGIHSIECNYKASNQWYGATGAKKREGGKQIGFLMPFEYLRARIYRL >Et_6B_048543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10615252:10618405:1 gene:Et_6B_048543 transcript:Et_6B_048543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCNREQAIRAKDIAIEKMENNDFCSAQGILVEAQKIFPDLENLSQLLTICNVHCTAQKRVNKEMDWYGILQVDVAADETSIKKQYRKLAMLLHPDKNKFIGADAAFKFVVDASSVLCDKIRQSNHDFRKNIGSKYVPSEAKGQPGMLAKTSSERPSAEHTFWTMCPKCLTRYMYGIGVLNKKARCLNCHEIYFTSQLMSSETANGYTMAEATSTDADDNTTASASNSTDYEDNTITEATSTVGEKQNFYPDVLAVPKPGFKYRDVNNLVKGSGQIWALYDSHDRMPRSYAQIKCVDRTNSKVHLNWLSYVMTKEEKRKRAAKVLPVACGKFCLGKRDIVEDSAQFSHIVKWNNDKRRKLCVIYPNKGEVWAIYKGWNKQWAPENQRYEYDVVELLSNMSAKRSATVMPLVRITGFVSLFAAAKDKSPFDIPPTELLRFSHRIPFYRTTGHEMDIPGTEISSSGTKEHVSRGFLQLDTLCLPADQFEAFCSVTMDSYITLISKKSGSNIYGINESDDVFEPESPATNIYEYPDPDFHNFEEGSSYENFECGQIWALYRDLDKFPKFYGRIDKVQSKPFRVHLNWLDTWTRSEQEKLWDKMEMPISCGIFEVRKSTTIFRTTSVFSHLVDAQKTSNELQFEIFRALIWVIYQNWAPDWVPSSITACQFAVGEIIEVTEACTKVSLLSKVGGYTSVFQPDKGTGVLEIPLNESIRFSHRIPSLHLTEEKGGKLCGLYELDPASVPDVFLRKGMPSEVDICSIMSYGGESDTPSGISLQENQQLVSSSLYTKTFGI >Et_9B_064882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19524177:19535481:-1 gene:Et_9B_064882 transcript:Et_9B_064882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGHFTNSADPRSGLEVVRDWNGVAQVVLRSPKGPSARVSLHGGQVVSWKNDRGEELLFTSSKAILKPPYAMRGGIQMCFPQFGNSGTLERHGFARNRVWIIDDELPPTNHNDNGSKASVNLLLKPSEDDLKCWPHCFEFRLRVSLSKDGDLSLVSRIRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLSHKERFTEQGDAITFESEVDRVYVSSPNVIAVLDHEKKHSFVIRKEGLPDVVVWNPWEKKSKTMVDFGDEEYKQMLCVDAAAVERAVTLKPGEEWTGKLELSAVSSTNCSDHLDHPAVFKPPYAMRGGIQMCFPQFGNSGSGTLERHRFARNRIWILDDEHPPTDHNDDGSKASANLLLKPSEDDLQCWHPGMHFFEFRLRVSLSKDGDLSLVSRIINVNGKPFSYSFAYHTYLSVSDISEVRIEGLETLYYLDNLTHKKLDRVCVSSPDAIAVLDHEKKHSFVISKEVTILLLPVVWNPWETKSKTMVDFGDEEYKKMLCVDAAAVKRAITLKPGEWTGKRELSAVSLSNCSEHLDYPPSEDDLNFEFRLRVSLSKDGDLSLVSCIRMSMASPSVSHLLIRHIFRFLMSDQALFPHSKILSTSLSIYSLRLHSMLINSSEKAWRHLITWTSEPQGTFHRARGCHNISTRGRKVFLMLVRHDALCRALEKTLFDNVVWNTWEKKSKAMVGFSDEEYKQMLCVDAAAVKRVITLKPGEEWTGKLELSAVSSTNCSDHLDHP >Et_9A_062841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5750754:5753194:1 gene:Et_9A_062841 transcript:Et_9A_062841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMAATTGASSSRALSLALHRSSASPALSSRHVAFASPPSSSSCRWPVAGAGAPVLPLGIRGGLRLLPAPLLPSGAGARTRTAAVAAASPPAPAEGDGKQEAAGISRTLQLGALILVWYILNIYFNIYNKLVLKAVPFPLTITTFQFASGTFFITLMWLLNLHPKPKLSLQQYARLLPLALIHMLGNAFTNMSLGRVSVSFTHTIKAMEPFFSVLLSVLFLGEESLDDINLFSIMTVMAFLLSAPLMLSVEGIKFSPSYLQSTGVNIKELCVKAALAGTCFHFYQQVSYSLLARVSPVTHSVANSLKRVVVIVSSVLFFRTPISPINALGTGVALLGVYLYSRLKQAKPKAKAS >Et_4B_038676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3940919:3942223:1 gene:Et_4B_038676 transcript:Et_4B_038676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFAQAAGGHFGYGRVDSPYAMPWCDLPGFGFGDACAGDWDHHHHHREQLLASAVDEWDAVDSACRDQSSEASTEGKACGVEPAAAAAGRRKRRRTKVVKNKEEIETQRMTHIAVERNRRRQMNEYLAVLRSLMPPSYAHRGDQASIVGGAINYVRELEQLLQSLEVQKSIKSRASCPCTDAATSPLKVLAPRRPKQLLKLVAGLHQLRVPPLHLNMTSVDAMVLYTFSLKVEDDSKMGSVEDIAAAVHEILSSIQLPEETAVM >Et_8B_060790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7641156:7641617:1 gene:Et_8B_060790 transcript:Et_8B_060790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGCVPELFVPLRPETVGEIADTAGVSGLRDADALHGRAGDLLDVGFERPPGGVAPLLGIEREREELRHGAAEQRPPEIRADAVVRDEDAAVRRHGRGHRGPSFLPPRPAVLQVAQVDHGDLHDLCFAESSFGLSCVASVG >Et_9A_062285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21559246:21560355:1 gene:Et_9A_062285 transcript:Et_9A_062285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRCSHYYHHQSTATAAAMSASSTTFSLFFPLPPNKAQRPAEADCDDHSSITTSPSSPSSSAGSAVDCTLSLGTPSSRRAAESVEQITMRAAPPPANAHPNVSAWDVAADHQSSYYGHQGGKPAGGRGAAAHDAFADRRCANCGTTSTPLWRNGPRGPKSLCNACGIRFKKEERRAAATAAMESGGGVAGCGYSAQYEHGAAAAKASPGAVSYGEGAFPCGGDADANAPFLAWRLNVVAPAPAPAFAAMWPERTSLFQYN >Et_7A_050865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11809250:11811586:1 gene:Et_7A_050865 transcript:Et_7A_050865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIRMGRLEVINRAENTAQESPELHLDSSLVEMNWGKLVQFSGTGANRGGNLAELRFMDIKTGTFDSDVVKASRIALLPELPFDHKAAAPQHKYLSETSPKKRSRLRRWRRVSRMPRDNPLQLNIEFLVLSTGYSLGIIGSRIAADCSRKRVGVKDYSLGRIGHKTNHRVIKDKRHASRVEPYLIAYDAGDAFSKTWHTTMSMSYDGDRSEDQQSEEVQSAYKRDNEVDEETVIRRSFGMHNNQEQSDENDWSWVLQDKDGDPLAESISSLQTTQEVLESEVQKISDLVKDSEAEESSCGNKDQDVIVLPHGRVDVMQMNEKMQHLEQKLKEASDVIRQKDLRISNLEIVIDSADRPLLEEDAANIVQLEMEVERQLQDKIQAEIQWLVMVKAKQNWQVRAEDQIALEEHKSSAGDNTKMMLKLRETESKIVMLKEQVDKLEVHEKELYRTTEVLKMQSRTFKVSLFGLIQLIMLCLSLKMFFAHVSVPFNDVVPT >Et_4A_031932.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30720706:30721722:1 gene:Et_4A_031932 transcript:Et_4A_031932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPDMAMVGAATGGGPTGGSAVRPGSMTERARLAKIPQPEPGLKCPRCESTNTKFCYFNNYSLSQPRHFCKACRRYWTRGGALRNVPVGGGCRRNKRSKSSKSSNSSSAASASAAGGTSSSTSSTATGGSSAAGAIMTAPHAQAQQLPFLASLHQFGGDHYSTGASRLGFPGLSSLDPVDYQLGGAGAIGGLEHWRLPQIQQLPFLSRPDGLPPPMASGIYPFDAEGGGDAAGGFAGHMLASSKVPGSSGLITQLASVKMEDNPPSTAITNSPREFLGLSSSLQFWSGGNNGAGGNNNNGGSANDGGGGGGGGAIAPGSNWVDLSGYNPSSSGNIL >Et_3B_029761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27772857:27775658:1 gene:Et_3B_029761 transcript:Et_3B_029761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKFFVGGNWKCNGTSDDVKKIVTVLNEAEVPSEDVVEVVVSPPFVFLQQVKGLLRPDFSVAAQNCWVRKGGAFTGEISAEMLVNLQVPWFVADKVAYALSQGLKVIACIGETLEQREAGTTMDVVAAQTKAIAEKISDWTNVVLAYEPVWAIGTGKVATPAQAQEVHDGLRKWLHSNVSPAVAESTRIIYGGSVNGGNCKELAAQPDVDGFLVGGASLKPEFVDIIKSAAVKSSSS >Et_1A_007088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30764864:30767209:-1 gene:Et_1A_007088 transcript:Et_1A_007088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPHPHASAAGSDELELALARCRALHGRLSASPYLQRQPALRSLLRLVAAELRFLSSLHPSPSPAAPLSSNLSHLAALHLLLDHPAVQSPSRLAPLPGVDFACAFRGRPAWALISASNPARLSWVPSGGLHARVAAVLDAARGAPPATRPEKLVLVFSRGVGADISRGLAEVFGAVETDLLVEFIGESEGEEEEGWVHLGFHPSEEMRNFRAFEIDVVKGDGETLPPPEAVVAEGSGEEEVPLTLEDGFGAFLGKMSMESSELVNLDTTALIAAVSGISNGGVGKLMAAPEAETKARFKCNYKFVMDQAESELQSPILPELGKVVDGKRCIICETVNSEFKEIVSMCGGPEEKTRAKLLLKQLIIVFDSPSARMMDLPTTRKLAMKNKIVFGTGDHWRALTLTANMGFVRAVSQSGMPLLSIEHRPRALIGL >Et_4B_036325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17650238:17650606:1 gene:Et_4B_036325 transcript:Et_4B_036325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGRGKAKVFFAWLAVQNRFRYWWNDQMMQFTARQKKEKAAIILYTVWNVLKERNRKIF >Et_10A_001441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3316512:3317120:1 gene:Et_10A_001441 transcript:Et_10A_001441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFAATMKSPLPVAASAAAGDPKNPLFCPKPRRPVAPLRCHQSGGFSDAGAGMDLLDLLLSKGEETGLSAASPQPPLFCGSPPRRASNPVVHDSRFGMDCPPMTAALPVAARAYATPRPSAAPSMSPRGGAGCARARFAFQPAAVRVEGFDCLDGGRRGRGHGITAMA >Et_8B_058848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:90521:92228:-1 gene:Et_8B_058848 transcript:Et_8B_058848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSGLTLCRLPTATLGILPLPCSPSRSRLSLAARRAGAVAARASSSSTGDSSFGSRMEESVKRTVADNPVVIYSKSWCSYSLEVKALFKRIGVQPHVIELDHLGAQGPQLQKVLERLTGQSTVPNVFIGGKHVGGCTDTVKLYRKGELATMLSELDINTDNS >Et_10A_001016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20181835:20191136:1 gene:Et_10A_001016 transcript:Et_10A_001016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAGMKRRDLQALCKQNGLPAGGTNAALVARLAAVTAALPGDADAGDEVAARKGCLKRTAGGADLGEAKKVSFKLEESRGRRRRSQVAICSPAAVVAKTRGRGKAAETLLAEEDGVAAGAEADAGAPVRRSRRNSMSLSEAEAVTCSLAGVAKARGRVKATETLPAGCGSAEEDDIAQEAGAVAPVRRSRRKSVSLSDAKAVTSSLAVVAKARGRGKATEMLPAGCGSAEQDDVAEEAGAVAPIRRSRRKSMSLNDAEAVTSSLAVVAKARGRGKATETLPAGCVSAEEDGVAEEVSAVAPVRRSRRNSVCLNDAVAVKAEVAVAVDRNRKQKSEEKNEDTSVASQVGVSRRVTRRSSLSGAALLLPPAVVKKRGRRKAADGQTESDGEEQAAEAHDLAQESTAIVESKRIGRKKENCEPVVPKSAKVEAPVRITRSRLVAATETAPILVQNKRRKVVQDVQSDVEQPPALEMPGNDLPVTRALRNRVVQVNNSLVEETLVGKKLENKRQPDKPSTRSNQQLAFPVEQEDQEQVVAPSKCPPLRRSGRNNSEASNADPDPEANKSSSAPVEANDLNIVQPFTCRNDKVEDVEKKPAVKEPIRRSTRKSVVSAMLEKEGKGLIAEKAPEPEAHARRSKRKSVVSIKDMKGVDEGIQNAIGDDIVKQTAVKEPVRRSNRKSVVSAILEEGDKDQIAGKKPEADVKRSKRKSVVLDKNIKDVGEVVCNTKVEDVAKQPRAKEPVRRSTRKSVVSAMIEKEKLPVEDTEVGEAILNVKGGDAEKQLVVKQPVRRSCRKSAPPYILDHESGVLVAEINAEAHFRRSMRKSVLPNMLNNEDQDHSEKARNENFQSGNSGDEKKQPKGMEPGKLSWRSVATVVSEEKKGFHGEEKSEIPMRRSTRKSAVLNTVENRNIDNTETVEKEQSGVGTPNLKANSQSTEHAVAVATSEKDSTGTNLEKALKPRQVLSSTISKGSSSKRRRTVPEEVLSMEEAKSDDMVIREATQDGDNATHEDRRESSSRIQEIGLTTATHILDAQGPIDDSATVNAMPSKQSDAASGVHRDTISEKSIQDDDLEKCLSSVIKGNPLSTNLHSENTADDSVLPVLNATKGFSSSATDDCILPVLNASKEFSSDGRRSSFGLEFLFVEECKENCSRNDESIAAETESGNKSSTCMSPSDMQSNHGMEDEDVQPSRYDADKKHDGDQGVAQEEFVAEKSNSEHVAAKSNPKTMFNDETVGLYMESDCIIAESNMKFVADNCDGDTVNVQQGAVQEGTLEKPSLLSTLLECKHEYGSPEEAVLHSVKNKECPPCAEQSPFGLQSLFLEGSIENTVEHISLTSATSHTENGVGQLKDYHATCTVENTPVSEPVSHHDTHEADTAYGISGAVLSSSLLAPVKDNDGVCLGANLAQLESAEFLDEMIGSSNIEVMHPNQKDQCNEDTENESNSRACTDDIIEFATTKCIERRGVLPSVGERSKLKEVQLNSKLEATQLVESGCNCNKDNNNTLGNGSVMGITGKTTSPDPTMPKDSPVDHNLRHELLDDTPVEKSIEESSTLGGQVVSNAEGTIGNPSCCLATPDYGHKGPLSEEVVHTIKKYVGSCPSNPRELLMELQSFSEENIEEPDLDTFTFHISGCRGAESTDVDQQVIHLGSNLLHVVDESIRCSNTDMLHQGHKDQCNDNEEKDASGPCTNDINPATIVGKRTPSGPCLPEISSRDHYIQHQPLDDLSMEKSLEGSSTFGDKSDSGVGGSIENPISGLATPENKHIGALFEEVVNTMNDYVGTCPSNPKELLMELQSLNENIKESDAHDNIAFSSAESEGQESTVSPIKNLVHTLVSSEPDTYQGPIQGFSRAEAVSLHDNEEGLCQSSGGKQIDENNSKLLSCDTEIVHQDRVEECCERIEDEVLQKGICDAAPAEGAEGTIMLDGEFEEYRFSIDNKTDEIFCMPHFPKDCHLDSCEKHEFLDDLSVPKCPELLGPGTCQTSGQKCTHERSTEQLTCHIEMLNQDHKECSDNNEEQITSGIAASGMSETKPTEKPETGIDVTSAAGTSALPDEQLITKLEENEFKEHNCSGGKDAFSSSGSESVGNGKASNLPKATQLDPSSEHNPLDDLCAPRSPKESTTFQNDSVSGSAGNKLLDFFISSQCLLTSLCKPGITQSMRRAAMDEISSKQQSIKGSCTVKGSFFAMSATRPKQGDNLSQSAIALLRNIENTPAAKADHPVKLNLDRSAAKDSSRRALQPLSGRPRDH >Et_8A_057314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23585053:23587645:1 gene:Et_8A_057314 transcript:Et_8A_057314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAFACMPRKEHRGAAAVSRSKRMGSAQSARGAPKLTPAEEELLHRQALAMAIHQHLDAGGSMSRRIDAGASMSRRMAPGSTSSRRRGDLPESVTNAKAAQIVLENLETKKIVLVHGEGFGAWCWYKTISHLEEAGLDPVALDLTGSGIDHTDTNSIATLADYSKPLLDYLDKLPENEKVILVGHSCGGASVSYALEHFPKKVSKAVFLTATMVKDGQRPFDVFSEELRSADVFLQESQFLIYGNGKDKAPTGLMFDKQQIKGLYFNQTPSKDMALAAVSMRPIPLAPIMEKLSLTPENYGSVRRYFIQTLDDHMLSPDAQEKLVRENPPDGIFKIKGGDHCPFFSKPQSLNKILLEIAQIQALATLLPSKASTEASLGNTGVEEIAVKS >Et_1B_013709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13534270:13540195:1 gene:Et_1B_013709 transcript:Et_1B_013709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAPAPAAGAPRKGETYTDTKRRDDVRGANIAAARAVADAVRTSLGPRGMDKMIASGDQANEVIITNDGATILSRMSLLQPAARMLAELSRSQDAAAGDGTTTVVVLAGSLLRRAQSLLSAGAHPTAAADALHRLATRAVEVLHGMAIPIELSDRDSLVKSASTALNSKVVSQYSSLLSPLAVDAALSVVDPAHPDLLDLRDIRIVKKLGGTVDDTELVRGLIFDKKVSHAAGGPTRVENAKIAVIQFQVSPPKTDIEQSVIVSDYAQMDRILREERNYILGMVKKIKAAGCNVLLIQKSILRDAVTDLSLHYLAKAKILVVKDVERDEIEFVTKTLNCLPIANIEHFRADKLGHADLVEEVSVGEGKVVKITGIRDMGRTATILVRGSNQLVIDEADRSLHDALCVIRCLVNKRFMIAGGGAPEIEMSMQLASWAKELQGMESYCIKEFADALEVIPYTLAENAGLNPIAIVTELRNRHARGEKNAGINVRKGQITNILEENVVQPLLVSTSAITLACECVRMILKIDDIVTVRLA >Et_2B_020961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25217416:25218524:-1 gene:Et_2B_020961 transcript:Et_2B_020961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIDHLKKRIRSSSLVVIFLAASMVVPMAVEHSNKEQAALNRPTVFFAFATFLSALSLGGLPGPCRYVAIKALVHLYAAFLVSLSFSLSLMMRNMNVVATSLTLAASVALVSWRLWRCAATAVEADVTAYVGCEDELQQLVELATNVTSMLFSGWFGVASFYSQNYPGPGNCPAAGSEYFIFLTSVAASLLLVKSVPRIPAHPEPVRELMALICALACAVMTTALVVAGSKVGRYAALALALVAWLAPQPEWLQQLRGGGRNEPASLSFVSVSFALLLAVLTYRAKDVRALSSLYDEAFVLFGTADVVAALGWRLLTQPPVPVQAPQVQAAAKILAFFTYWLLVVSVLAFMGIMFGL >Et_5B_043055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18134423:18134696:1 gene:Et_5B_043055 transcript:Et_5B_043055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYSGTENDDDSYSTSTFPPITSCGFRTIWLLLAVMVVILRWRGYLGTREVT >Et_10B_003008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15264147:15267030:-1 gene:Et_10B_003008 transcript:Et_10B_003008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWIKLFDQINNPLPVGVCTSIVEVALLILLSRRPRRPGRWQMWALLIVYPIIATYQSFRVSPTREHDSLTLLYLRATVIQDITAVVAVLLLSLRPRRIANLTITPYGRKLLSLAKVVSVVWLASGLVLDMLPFTILLKPALYGIFLLSTPFTIVVLTLGSLQNPAHSPFRRWTDAIMHISFLLLLVFPLFTDYYFSLFNYPYPPPHKTAEVALSFLILLVLLLIGNLQIPAAVVQVLLSSLRFHDLHGDDYPVPKGSSDNMVLAAIYVFYVLALCQGSLYIMASILGIFSFFPRRSLVRQSNFYGRRGVKAIDLYYQCAYTTCMDTGLLAARKTISLASFALESLQRSSNSSEVQLTGVLLIDNLLSQENDSREKLKSKIIRSNKALSMLIGMLGWSDVRDRDIRLFAARVTAKLAGSIRVVVFPGMVKLVSSLLDVGNQPPRQQDSLLNSAQVTVDSGTAADLTNVETVVPNQPSGQGRSAHTTSGTAGLIWIRRCWQRMKDRWSIPEEPPLTHQDSLSVLGTVIFEKLACDLDSCAEMLKSKDLISKIIGLISYSTDYESNNYEQQNAMICSSLHLMRRLASTGGKIGVTVRQKLWESPILIDNLVRILDDSHSPEVWTPTIDLIAKLSLDEDARQEIGSTRVIVGKLIRTFLGRDEPNDQSLRMAAGEALTNLTMESTANCSAILEEPGHELVKSLEDMLCEDECRIYMHVTASLMQNLCAHSRDKLTSHPGASEHLRSAFPAVIENIVSAEGKHLETLIGLASQICSIPECFVFELKSQANVAGLVKKLVSTLNSNRKPSPEYPRMRRVIVEMVKSLVRSYPVYRNMLIEEGVMEALSKAARTPSKVEKYRVFSGDEGVVVERGIPLCDLVDSAKGLIGSATPI >Et_10A_002225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4855756:4858754:1 gene:Et_10A_002225 transcript:Et_10A_002225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAASTALRPAPRWGGAPSHRRLVEQHLASLPHGLPRLRHLQELHAQLLKQGLHRDQHAASKLIASYALLRRVPACRRVFSAAAAAAALPSSMQGAGAGTSMLANTLLRAYALNALPHAALSAFAAVPAHQRDSFTYSFLIKALAAAGLAPVRAVHSHVVKLGSVEDTFIGNALMDAYSKNGGFLDARKMFDEMPTRDVVSWNTAMAVMVRHGEVSGARRMFDEMPERDTVSWNTILDGYAKSGEAEEVFELFQRMPERNVVSWSTVVSAYCKKGDMDMARVIFDKMPTKNLVTWTIMVSACAQKGLVEEASRLFTQMKEAAVELDVAAVVSILAACAESGALALGKRIHRHVRQRKLGRSTHVCNALMDMFCKCGCVNRADYIFDSEIVEKDTVSWNTIIGGFAMHGHGEKALDLFAQMKQQGFHPDAVTLINVLSACTHMGFVEEGRRYFANMKVDYGIVPQIEHYGCMVDLLGRGGLIKEAVDLIQSMPWEPNEVIWGSLLSACRLHKNVEYAEMAVNELSKLQPSNAGNYAVLSNIYAEAEQWSDMAKARMQMKETGSQKTAGSSWIELDESFHEFTVGDKKHPESDRISEMVDRLSLHAKNIRQVTGEWGSQGKGIWSAYKNE >Et_1B_013754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15396012:15398707:-1 gene:Et_1B_013754 transcript:Et_1B_013754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASTEKVVLGCVAFTIFWVLAVFPSVPFMPVGRTAGSLLGAMLMVLFRVMTPEEAYAAVDLPILGLLFGTMVVSIFLERADMFGYLGSALAWRSRGSKDLLFRVCLVSAVASALFTNDTCCVVLTEFILKLARQNNLPPQPFLLALASSSNIGSSATPIGNPQNLVIAVQSGITFGQFLVGVFPAMIVGVAANTCILLCYFWKYLSVPYEKDQEHGVNAGATEGVVADDEVTSHRFTPARMSHASSVNGDASDCVSEPMRRSDSLNRAGDTLGMRSRSYNSEGDIQVAIRSMRASSMSQEMVEVSTVCDNAKRDDGVQHGPRKITRTTSHQRSVIIEDAPEAADKDKAATEPREKRWKVIVWKSAVYVTTLGMLVALLMGLNMSWSAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVAGFNKTGIPNALWELVEPYSRINSAKGTALLAIVILVLSNVASNVPTVLLLGSRVAASAAVISPAAEKKAWLILAFVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIIVTAIGLVIVVSY >Et_9B_065696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9237886:9245911:-1 gene:Et_9B_065696 transcript:Et_9B_065696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNGGWGFVARDMIRGFFLEGGCFLFVDGGGGEAPHPIPLIKNNGRPSMPQDDTGGSNFIIVEGGCGKLSRISSALHAEALAMHGVELSVQLSWECCTSFWKQTSPLFYGMLYVTMRWTVAHLGACFDKSRPSDSVASYGSLALASGSLFVSQAPEVGIEELINIWSDAWIPSALTRRTAMPRCPSLLTRLLTVGIQSWWRILFWRQDAELIQCMWVCPWMTGILAMPVDASMNDWHGWHGDRNG >Et_7A_051147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14915919:14918027:-1 gene:Et_7A_051147 transcript:Et_7A_051147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRAQTSSGESVHCSTFASRYVRTALPRFRMPEQSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQASVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGDDETAVGVGTVGSSEAIMLAGLAFKRKWQNKMKAAGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPEKAAEMVDENTICVAAILGSTLNGEFEDVKLLNDLLTAKNAETGWDTPIHVDAASGGFIAPFIYPDLVWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRSKDDLPDELIFHINYLGADQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKDVMENCRDNAAVLREGIEKMGHFDVVSKDSGVPLVAFSLKDSSRYTVFEVAESLRRFGWIVPAYTMPADAEHVAVMRVVIREDFSRGLAERLIADLGKTVAEMDAHAAKRHGGADHTHKKTEREIEQEVTTFWRRMVAKKKSSMVC >Et_7B_055109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7350344:7364024:-1 gene:Et_7B_055109 transcript:Et_7B_055109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAISCSAKTRVAVVTGGNKGIGLEVCRQLAGNGIAVVLTARDEARGAAAVEKLRKLGLSNVVFHQLDITDAPSISRLADFLKTRFGKLDILVNNAAFGGIEYIEDPVKRSETNLEQFIGMDMDQRFGWLWNNCRETYDTAKKGLRTNYYGTKHVIEVLLPLLQASFDGRIVNVSSHFGQLRLFRNEELKQELNDIDNLTSERLDDLLDMFLKDFEANAVEERGWPKAFSAYKVTKAAMNAYSRILAKRHPELRINCAHPGYVRTDMTIYSGFLTPKEGGSRVVAVALLPKGSTTGTFFEDFKESSFLWKHRNEVVFRGAQPSLPVLLMNCRSCSSMGAISSPPDTRIAVVTGGNKGIGLEVCRQLASNGITVVLTARDENRGTTAVETLKKAGLSNVIFHQLEITDAKSIARLADFLKAHFGKLDILVNNAAVGGVEYLQDPVDVSEEKFNGMDAAQRLEWMSKCSRETYEAAKEGLQTNYYGTKHVTEAMLPMLQTSSDGKIVNVSSGWGLLRLFSNEELKHELNEVENLTEERLDEVLAKFLKDFEAGSVESRGWPIEFSAYKVAKAAMNAYSRILARRHPELRVNCAHPGYVKTDITINSGLLSPEEGAANVTKVVLLPAGGPTGVYFALGEEAPFFTGLDAALEWMSKNSQETYEAAKEGLQTNYYGTKHVTEAVLPLLQTSSDGRIINVSSGSGLLRQFSNEELKHELNDVENLTEKRLDEVLAKFLKDFEAGAVESQGWPIQLSAYKVAKAAMNAYSRILARKHPELRVNCAYPGYVKTDMSINLGLLSPEEGAGNVTKVALLPTGGPTGVYFALGKEAPFVIAVVTGGNKGIGFEVCRQLASNEITVILTARDENRGTAAVEKLKKAGLSNVIFHQLEVTDAPSIARLADFLKARFGKLDILVRRVSSFLDGLVNNAAVVAVEYLHDPVDVSEEKVRSHLLHPVRLSNAKASRITTPAEN >Et_2B_020019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16165790:16168590:1 gene:Et_2B_020019 transcript:Et_2B_020019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGVAAGWAGWHGRAGREGGVMAGWAGRRRGGHGGGVEWPGAYCAQTSRGCCMPSTGVVPASDFYISSFTVYNATTNAPMTRCKQDPFDLNEINAINGLKKYWSNIKCPSNNGQSSWKNAWKTSGVCSGLDEKDFFEAALDIRKRFNPLARLTANGIKPDFGLYSTKGIKTVIRKDIGVTPVIQCSKGPFDKFQLYQIYVCATSEEETFIDCPAPPKYNCSSEILFHPFKKWMLKQQQPKGEDASPFELPGVAMDQ >Et_4B_036114.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24937816:24938304:-1 gene:Et_4B_036114 transcript:Et_4B_036114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPPPRPRPGAAAPSPSRSRSPPSSPRSRRHRPPCAPAPARAPPLSPRRLHRRAPPLPPTSASSPPRAPPPPRSPSPGPGPGPGPRPRRARRSKSPPPAPPPPLPRPHRRLLRRRRRRLPTWASSGARSPRSWKPSSGASTRSTPARTPTSTPPSPASPSESR >Et_10A_001353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23663950:23664326:-1 gene:Et_10A_001353 transcript:Et_10A_001353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIGVLALIVVTSVIYDIFNGINAILNSEVELGVVGAQVVRHLPCSLEIRGSLKADTEGVQLVAPVLGGLCLCQVANGDGCHKRGVEATREQNTEGDVSHKPLGDGLLECFPESKWVVRN >Et_9A_063199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15157129:15159170:-1 gene:Et_9A_063199 transcript:Et_9A_063199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANSSSSKGVKMASDGLWQGENPLDFALPLLAVQIAVILVVTQGLALTLRLLRQPKVVAEILGLNITKSFENDGPQKSGPATLIGDLRSRGGILLGPSALGRWGAFRRTIFPEWSAAALDTVSGLGLLLFLFLVGLELDFRAVRRVGPRSVAVAAAGIVPPLLAAPGLVPLLNLAVPEPRHASFLSLCVFLGAALSVTALPVLACILKELGLLATPFGETAMAAAAVNDVFAWALLALALAVSGGGAGSEPKPKGSVSTSSDLAPVYILASGAVFVAFMLCALRPLMARLARRLGAAAGVATCSGAVACALLAGAVTDAIGVHPVFGAFVFGLAMPREGGLAERAAEQVAPLVSGLLLPLYFATSGLHTNVDNVRGAAAWGMVALVVAVAFLGKFGGTFAVAACTGMARREAAALGVAMSAKGLVELIVLNIGKERKVLRTTRVHGRLEVIKFLAFANVHTLAHLRFTCLHTQVLDDTTFAIFVIMALTTTVLATPFITALYRCPPSATTPDIGDAVELKGVGDACPA >Et_4B_039641.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2541252:2542529:1 gene:Et_4B_039641 transcript:Et_4B_039641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAATPHSLLLQRPSPAVPRAAATASFIRLPTRAARICCTAVASPSAFAAAAGVGAGDRGIYNFAAGPATLPLSVLKKAQEELVDYRGSGMSIMEMSHRGKEFDAAIKKAEADLRALLAVPDTHEVLFLQGGATTQFAAVPLNLCADPSVPADFVVSGSWSDKAFKEAKKYSAASVAWSGKDGKYTALPPFDAIKQNPEARFLHICSNETIHGVEFKDYPEPKNKSGILVADMSSNFCSKPVDVSRFGVIYAGAQKNVGPSGVTIAIVRKDLIGNAQPITPVMLDYKTHADNASLYNTPPCFAIYICGLVFEDLLAQGGLTEVEKKNAHKAGILYDTIDASSGYYVCPVDKSVRSLMNVPFTLAKGGDFEKQFIAEAAKEGMVQLKGHRSVGGVRASIYNAMPLAGVEKLVAFMKDFQARNP >Et_3B_029051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21681620:21686667:-1 gene:Et_3B_029051 transcript:Et_3B_029051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAEMKSPAGGSKGGAADPSLPRFKCQECHRALVVAEVADGLPAHAASGTLVFSRMHASSVQGSIMGASRMDNSFVVISKQRRSLGPGIPPHSAGAAAQHTELGQSTRAIEGSYIMLPPPAASIYNSSSYEGGSPYSGNNFGFYSSVTVLKRAFEIATSQTQVEQPLCLECIRVLSDKMDKEIEDVTADINLYEACLQRLEHESYNILSETDFQEEKHKIEEEEEKLKSAVEEAEKEYAEVTSKTKGLETESREFEQLKERYWQEFNSFQFQLTSHQEERDAVCAKIEVSQVHLDLLKRTNILNDAFHISHDGEIRTINNFHLGRLPDVKVKWDEINAAWGQAALLLHTMAQYFTPKYRIKIHPMGSYPRVTDRNNNTYELFGSASSFMTSQFNNAMITFLTCLQEFAEFAMSLDKENNVPPDKSLKLPYKIDGDKVRGHTVVLSWNTEENWTRALKYMLCDLKWVLHWFVNNASFALPSASLHTESPKNEG >Et_8A_057740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6626945:6636661:1 gene:Et_8A_057740 transcript:Et_8A_057740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSRVLSSNEHCTHVSKKNVARSEPIVPELSSGERPVDMAEKQEVSGGGAAPMCANGCGFFGSAATKNLCSKCYKDLISKAVDEATERMAATAIKSDNNKTVAESSSAVTPEKDESSAAIQCAGGCGFFRSAATKNMCSKCYPEDRRRRPALLEMIKADKAALEPASSSATAAEPAVEEAAPAVKAAPNRCAECHKKVGLLGFPCRCGGTFCSVHRYAEKHACGFDFKTADREQIAKNNPLGTHDGCSAVAYYSCQTNNARTETPSLQDKRERSVEMSEKQEVSGGSGGAAPMCANGCGFFGSAATKNLCSKCYKDTIISKAVDEATEQMAATTIKHDSSNTAAESSVAASDATQAVATEKEAAPAAILCAGGCGIFGSAATKNMHCYVGSLKTVDAAPTLVEKIKADKAAIASDQPASSSSSAAEPAVEEAAPSVVNRCAECRKKVGLLGFPCRCGGMFCSVHRKHACGFDFKTADREQIAKNNPLRRAIEMAEKQEVSGGGSAAPMCANGCGFFGSAATKNLCSKCYKNMINVAVDEATEQMAATAIKPDNNKTAAVGAATTEKEEAPAVIQCAGGCGFFGSAATKNMCSKCYVDNLKTVDATPAILEKIKADKAAIAPDQPASVAAATAEPAVKAPPNRCAECRKKVGLLGFSCRCGGTFCSVHRYAEKHACDFDFKTAQREQIAKNNPLVVAPKINKI >Et_9B_065017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20516612:20520067:1 gene:Et_9B_065017 transcript:Et_9B_065017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLPTITLFSASWTTRSDSASSALVASSRSSIRGSFRMALAIATRCFCPPDSCVPLSPTTVSYPWGMLDMKFGICYVGGNCVIEKYRLLTYQSHLMESAFATVLSRCATINVVLFTMILFSAFWTIRSDSKSNALVASSSKRILGCCASKLSCSLDFFNRCIFSPIGYILLDRGCKQQWFLTNKTNLFSDPI >Et_1A_006741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2694242:2696283:-1 gene:Et_1A_006741 transcript:Et_1A_006741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAENKRPRISSGAEKRPSRKEILERKKAVEELIRTAVAVKDQLAHFPAFHKYQRNDLMVYLESGRGDQLTLPMRKYIQNLLKVNMEKPYGSEWPSEEKVKRREMIAPEARYIFVKQYSTELITENSTKENAGVEHIHAATSEDRLCGFVHYRFVVEEDLPVVYVYELQMEPSAQGKGLGKFLMQLIEQIACKNQMGAVMLTVQKANSLALAFYTKLRYVISNTSPSRVDPQIGLEKSYEILCKPFDSEAKSKLEVCSIFFQYRE >Et_7B_054745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:462214:468030:-1 gene:Et_7B_054745 transcript:Et_7B_054745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQFLSLPAASSPAPLLPHSSKPFKPVSSSASFRRPSPPPPAPTVPSPAPQSPPPPPPPPGPTNPLSSKLWLSSKLSPPPPPPPPPPPIPVEQPPPEPEPEEAPVRQEEFRQKGKVFVGNLPLWVKKLEIVEFFRQFGPLEKVELVRGHDDPERNVGFCFLYYGGDDPESAAERAVEVDGVEFRGKSLTVRLDDGRKGKARAEDRARWVEHGERREARSPWHKGREEACREFRRVLESRPENWQAVVSAFERIPKPSRREFSLMVVYYAKRGDKHHARATFENMRARGIEPNAFIFTSLIHAYAVARDMRGALSCVEEMKSEGLELTVVTYSIIIAGHAKINDVHSADNLFKEAKSKLKSLNGIIYSNIIHAHCQSGNMDRAEELVREMEEDGIDAPIDVYHSMMHGYTITQDEKKCLIVFERLKECGFKPSIISYGCIINLYVKMGKVPKALAVSKEMESHGIKHNNKTYSMLINGFIHLHDFANAFSIFEEMVQSGLQPDRVIYNLLIEAFCKMGNMDRAIRVFEKMQKERMQPSNRTFRPIIEGFAVAGDMKRALDTLDLMRQSGCAPTVMTYNALMHGLIRKHQVERAVSVLDKMSIAGITPNEHTYTIIMRGYAASGDIGKAFEYFTKIKESGLKLDVYIYETLLRACCKSGRMQSALAVTREMSFQKIPRNTFIYNILIDGWARRGDVWEAADLMKQMKEDGVPPNIHTYTSYINACCKAGDMQRAESVLQEMAEVGLKPNIKTYTTLIKGWARVSLPDRALKCFEEMKLAGLKPDEAAYHCLVTSLLSRATVMEGSTYTGILSVCREMFENDLTVDLRTAVHWSKWLHKIERTGGALTEALQKIFPPDWNSLEDLEASRFLSNGDSESCSDSDSSEEDEDNVVDDC >Et_4A_033597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24858418:24861283:-1 gene:Et_4A_033597 transcript:Et_4A_033597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSDSVEGIVLGFVNELTITMTLQQNRPLNSQNVADALQKFNLKKTAVQKALDALADSGQISFKEYGKQKIYIARQDQFDIPNGEELEEMKKANSKLQEELADQKKAISEVESEVRGLQSNLTLEEIKSKEAKLQSEVQEMEEKINKLRSGVILVKPEDKKIIEDSFSEKVNQWRKRKRMFKELWDNITENSPKDQKEFKEELGLEYDEDVDVNLQSYIDMLASLNKRRKVSR >Et_9A_061451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12148241:12148773:1 gene:Et_9A_061451 transcript:Et_9A_061451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVFSASILLFLPLVFLLLSIICMPATGATQCTPQPCQGKQSWPELVGKDQDTAYSVIKRENPQVTDIVWLISSVLGHVSEKKDALGAAGDRVFCCNRVVVVLGALPSGGDGVIKVPVVG >Et_4A_033924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28073264:28074707:1 gene:Et_4A_033924 transcript:Et_4A_033924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCANPHGLIRKYGLMCCRQCFRSNAKDIGFIKVRIERFLPLIPILQGPGVELYLVGECLSPLEEVGALDILLSIIPIL >Et_3B_029211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22995800:22998606:-1 gene:Et_3B_029211 transcript:Et_3B_029211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEARATTAAAASFSSPQAHSAMDHPRHPYSGASASAAAYYGAAFPPPAAAAAGSVQLDVFDYLSDEGVPAVPAGAFGTPVEQVVPDAAGGYYALAAGSAEAAGQGSTRTDRIAFRMRSEEDVLDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCNVKKRVERDRDDPSYVVTMYEGVHNHVSPGTIYYATQDASSGRFFLTYCRNYYRCSAEGCNVKKRVERDRDDPSYVVTMYEGVHNQATPGTIYYASQDAASGRFFVSRMHHQLGS >Et_3B_028292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1361414:1364181:1 gene:Et_3B_028292 transcript:Et_3B_028292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPAVLCAGAVVVLALLAACTAAAAVSITRKQQHQHGAASAARSCDVFAAGRWVEDSSYPLYDAARCPFIRDEFNCGKFDRPDKNYLKYQWQPDPPCALPRFDGMALLRMWSGKKVMFVGDSLALNQYESLLCMLHAAAPSARTTLSPASGKIDPSSTVRFENGVSNFLLSHIVRLTGGWLQDYNVTVVYYLTHYLVDLVTDGRSGRVLKLDSIDQARNWLGADVLVFDSWHWWPRAGPTQPWDYIQVGNTVMKDMDRTQAFTRALHTWARWVDANLVQTNTKVFFQGISPSHYKGQEWGASAKTTCMGQTEPLNGTAAYPGGPIPQQAILRSVLAGMAKPVYLLDFTYLSQLRKDAHPTRYNGGIFGEDCTHWCIAGLPDTWNILFYAALTGQD >Et_9B_064998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20329349:20334142:1 gene:Et_9B_064998 transcript:Et_9B_064998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSELPLHHSFRLSSRPHLRLLPLRLLSSSRPSSTAAAASPSPGGNRTAPPAAPSNGSPWLKKWAPADPSQPPPAPAPSTSIDRIVHRLRNLGLGTEDDEPAASASTATAPPDGNERLGDLLDRSWARPDRQFAASSFVEAVLPWERDEVAAVGRRDEEEDGVKRRRVKAPTLAELTIEDEELRRLRRLGMTLRDRITVPKAGVTQAVTEKIHDAWRKSELVRLKFHEDLAHDMKTAHELVERRTGGLVIWRSGSVMVVYRGSNYKRPVKSQTLDGASSQTKGGDGALFIPDASSPTENDSQGKNSAVSQANVSGLNMQNTEDMTEEELEFNQMLDELGPRFVDWWGTGILPVDADLLPQTIPGYKTPYRVLPTGMRSTLTNAELTNLRKLARNLPCHFALGRNRNHQGLAAAIVKLWEKSLVVKIAVKRGIQNTNNKIMAEEIKNLTGGTLLLRNKFYIVIYRGKDFLPASVAAALAEREELTKDIQNAEEQRRSISIAQPPDDGLEGHALAGTLAEFQEAQARWGRDVSAKEQEEMKEASSRSEKEKLFRKLEHKLSIAQAKIHRAERLLSKIEASMVLANPCDDQEMITDEEKSVFRRIGLRLKSYLPLGIRGVFDGVIENMHLHWKHREVVKLISKQKTLSFVQETARLLEYESGGILVAIERVPKGYALIFYRGKNYRRPINIRPRNLLTKAKALKRAVAMQRHEALSQHIAELERNMKQMKLDMGIEDYDEEEDSSDSENEDGIADTSASYDEDQDGFDESDDEDEFDDYNEDDKIDRARFSGGP >Et_8A_057042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20419908:20421493:-1 gene:Et_8A_057042 transcript:Et_8A_057042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAKCEKKLGKVIVPDKWKEGASNTNESGGRKINENKLLSKKNRWTPYGNTKCIICKQQVHQDAKYCHTCAYSKGVCAMCGKQVLDTKLYKQSNV >Et_6B_048575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1092869:1096381:-1 gene:Et_6B_048575 transcript:Et_6B_048575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLALVAVVAVVAVLVAAETGSAAISCGDTMARAQKKLVLVALVVVAAALLMSDEANAAITCGQVSSAISQCLPYARGSGGAPSAGCCNGVRGLNAAAKTTADRRAACNCLKSAAGRVSGLNAGNAASIPSKCGVRLPYTISASIDCSRVS >Et_9A_062386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22391716:22392777:1 gene:Et_9A_062386 transcript:Et_9A_062386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAQTGAAGGGGAARLKASPRALFSCGIFSTCTHPALSPTATPNNNAAPGSGAIKGGGSSTPCADASASPVVEARATPPPPPLQRHQRAAQRNLGPSSSSSSSSSSASQSFTQWRLPVHHPPQASASASSASASASGVGGGAGDALLAAEEKFAAGEVVAALRTVEREMEAAARAVPAGVVAGVVAAVREPATARLAAKVLLVVLLEEGNREAAVEAGAASAAVEAVAASGPAGATAERALAALELLCTAPGGAAAVRREALAAPVLARADGAAASPPPPEVVKAVVAAMQGECSARGRRKGAQLLRALQEGGRLGLAWDGVGDH >Et_4B_038849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5246191:5249814:-1 gene:Et_4B_038849 transcript:Et_4B_038849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRVGRFKFSNCSYMVQMSNLSEPSKEDASPDGSSIQKTGAWNSTLNTILQQASVYGVAAGYCLSASLLSIINKWAIMKFPYPGALTALQYATSVAGVLLCGQLKLVEHDGLNLRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSFKTWLSLSTILGGSVIYVFTDNQFTVTAYSWAVAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELLIMGEFDQMKVDSSKVSNWLSFDVILPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASFVGTIGLLICMSGGVLYQQSTTKPKAPKVEPKEENDEEQQKLLQMQAGHEGNSTQKQGSIAFILRVGLGLELVAVAASHCRG >Et_5A_040864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13788664:13789451:1 gene:Et_5A_040864 transcript:Et_5A_040864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAARGTGTEAAAKEIDKKVELMKEVRAHEVAISELNSLPPSRPVYQKAGNIFFRKSIQSVVTTEQKQLDLAKARLNKLDQA >Et_4B_039955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6707105:6711589:1 gene:Et_4B_039955 transcript:Et_4B_039955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTSSSPSPTVSAMNPLLPSSSFPKSPHPPDPNPSSPNPSPCSYLLHADADDEALIQFPGPNPSLGGASAPFALLPAIDPAPHISSQFYTFTVASYGLMLRCILASRPAAADEVRAATSPSVLASWRAVWKDRNEDTAYLTAWKRIQDKLAASADGRHLHFKSNAAQRVSHVGMWRDIVSEAHADPDMLRHLAFKDTVDRIKQSWTVGAKFYGIPESFIRVCVAACPVCKAAPAGQPDSAISSPGRGKRRRRFEYTETLDVPARDVPRRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGVPTSSSANGASSSATSSDGKRARVLKREPYQSKRCGCGFRIRAIVPIANYNEKDKSFVYVEEGTAVFKLYAVHSGHEPGPLDGNARIVHRLVGHKGTLEFDPDIYGVSEEGDPSFSAKGDGDVDINDSHQAVLQQVRDLKAEVHLLEGKVTKMQPELLGSLSAELSEVLRRIRKFNLDGNVYQPEERLMIGNEEVGGWGAGDVAHHLDHDDAFCKDDDMLDDDDTDFGSSLGPISWDRMAAECEDRKMLMGDSPKCDKWMLKEGVSDFDEKSILNCGDDDGVEDSKIIKPLMHDDAMVTDPVHGLRKHMVT >Et_2A_016918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29403817:29404978:-1 gene:Et_2A_016918 transcript:Et_2A_016918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRCTMGSALPRRRSPDQHNAGGHQSLAPDDFRDVFGGPPRTVLLRSFHGEAVDYHSPAGHHQYGNYGGGGADGLCRRNYADGRAAAVPTEDSFFDDIFSARRRRHMRSRSRSMSKSSSAVTSSDELPSGFCRPAAASSGRVDATLSSFTSRLRPVTIPSRRYDSSPPSSASTRGEYQSSFTCSTAAYPAARYYYGDAKSGGRADHSSAADAHHRRNNQQRASCRSFCCFTSNPETSSNAPSFRRTRRALSPAAETTITDYSGEDYGYYYSPPSATSSSLFTNPLARTPRRMEDVVMEVRERAPLLMDDGDIDSVGAAAVDEAIAWAKERFWSQAS >Et_2A_017625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4256298:4258776:1 gene:Et_2A_017625 transcript:Et_2A_017625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQLTGAGVAAVAFTNKGLASTPSALRISSSRRSFRSLVVRAATVVTPKYTSLKPLGDRVLVKLSAVEEKSVGGILLPSTAQTKPQGGEVVAVGAGKTIGDKKIEVGVQTGAQVVYSKYAGTEVEFNDAKHLILKEDDIIGVLETDDVKDMKPLNDRVLIKVAEVEDKTPGGLILTETTKEKPSIGTVVAVGPGRLDEEGNREPLSVPAGSTVLYSKYAGSEFKGADGTGYIVLKASDVMAVLS >Et_5B_045263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15542379:15545296:1 gene:Et_5B_045263 transcript:Et_5B_045263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWAEHLGTVEECFRRPESAECVQRVNQMADGNWACYVSPEMVESRGHLMRYPVKVDPDGGVGPIRGQECFPDVGGKVLGTHSSLPCALTTCSPRSTPSSRLHVLTSPPRDFTMLFLSWWMISSQSGLKIPSISTITGSSLHLQQTRGSHGSVDELKLGRRREAPTEIIFPKKVHVGQGLAVVQLVREEAAALKLKELPEARHVVGKRRRQWRLQQVHEAAAVERQIAGCVACVECDRLLRMRRWHGRRLRYLDDRHALRGVRVVRLLLRWRVVRRQAAAPLRWTDSLLFLLQRTVSPRRRRRQWMAVPVKRKVRSQDGMALMRTGNDRYGLTTLATKMNRRRRRGLHFTCADTSRQKVFERVEVVRGDHDPFRLLLPVGVDGGGPLLVGGGARRDVDPAEHGHLLPFPSAGRELLHEPGRLLLLLLGAPAGYVAAAGLEEGPRLLLHGPAPAGGDGAAAAPGAAGEEVPPGLERARARARAAARRGPARRRSARGCSGPSPATARRAPTGGGSRSRTPPATG >Et_4B_038065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25975266:25978838:-1 gene:Et_4B_038065 transcript:Et_4B_038065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSLIQGISISVSDDDEAIGKVRVRVRRKRHREPVSVRRRRLLFRWVRRGVPLLLASLAISLLLYESYRLGPFRSPSPPPPAAAGLSRLDRNTRAGADGARKSCLKFLNPRELQNLELPETPETSRLIKEVVYRSGQPRIEDISLHRENSRYNSFTGYQSLTERKESFKIKESVTVHCGFYDENGGFRVSDVDKEYMRSCKVVVATCAFGGGDDLHQPIGMTENSIKKVCYVAFWDEVTRAAQEEEGNKIGKDLMIGLWRIILVNNLPFSDQRLNGKIPKLISHRLFPMARYSIWVDSKSQFRRDPLGVLEALLWRSNSSLALSEHGARSSLYDEAKAIVKKHKATPEEVNVQLDQYRQDGIPDEKRFNGKKALAEASVIVRDHAPLTNLFMCLWFNEVVRFTSRDQLSFPYVLRRLNPPGVHLFPVCARKELVNSMGHRRKVKPLVKEAR >Et_7A_052731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14680998:14685954:1 gene:Et_7A_052731 transcript:Et_7A_052731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVWNLHLAIHGDHFRFHHTAVQDVVFVEFLSHVSTQGIRVRVIAAKVVGIGRESEPNSVGPNLGHHGFNYLQGKATAVLKAASILVDTFQIAKCRSNVAILEYKFKLNRSMLEQEDGRSFQIAMEYPLTQQTKSAITNWTPNLGLPYQEKGKSIQARMDLRYTPGQKMGGRRRRLQRKGSRRGLGTPPEGKKQ >Et_7A_052895.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2403323:2403619:1 gene:Et_7A_052895 transcript:Et_7A_052895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNTARLGERVTNLGEYSLFLGWRDAFALSANAFPGIKRNHVYFLENGQLEQKYWMTILDLSSGVSEEVPYPQNHTNNGSNWLPFTWFCPRRPFLKQ >Et_4B_037678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22444581:22449053:1 gene:Et_4B_037678 transcript:Et_4B_037678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGRLLRGLTGANKIGQLKGTVVLMRKAVLGRNDWSAAVRDSLSEFRGNGVTCQLISSTLVDPNNGNRGRVGAEASLEQWKTSLPSLATGESQFGVTFDWEVEKLGVPGAVIVKNYHDTEFLLKTITLDNVPGHGALNFVANSWVYPVAKYRYNRVFFSNDTYLPSQMPAALRPYRDDELHNLRGDDQQGPYEEQDRVYRYDVYNDLGSPDKPRPVLGGSSDHPYPRRCRTGRKPTKNDPSSESRPLPTEQLYVPRDERFGHLKMADFLGYSIRAIAKGIVPALRTYVDPTPGEFDSFRDILSMYKGGLKLPETPLALQEIPAVEEVGGDYLLKLPIPQIIKGTNPLNYTQDRDAWRTDEEFAREVLAGVNPMMITRLTEFPPKSTLDPSKYGDQTSTITAAHVEKNLEGLTVQQALDGNRLYILDHHDRFMPFLTKINGLDNTFVYATRTLFFLRGDGRLTPLAVELSEPHVQGDLTVAKSKVYTPASSGVEAWVWQLAKAYVAVNDSGWHQLVSHWLNTHAVMEPFVIATHRQLSVTHPVHKLLHPHYRDTMTINALARQTLINGGGIFELTVFPGKYALAMSSVVYKSWNFTEQALPVDLVKRGVAVEDPSSPYKVRLLVEDYPYATDGLAIWHAIELWVTEYLSIYYPSDAVLQGDAELQAWWKEVREVGHGDLKDAPWWPKMDTVQELARSCTTIIWIASALHAAVNFGQYSYAGYLPNRPTVSRRRMPEPGTKEYAELERDPELAFIHTVTSQIQTIIGVSLLEVLSKHSSDEVYLGQRKTREWTSDARALAAFERFRDRLLEIESKVLSENRDPQLKNRNGPAKFPYMLLYPNTSDVDGTKGEGLTGKGIPNSISI >Et_7A_053077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6132871:6133485:-1 gene:Et_7A_053077 transcript:Et_7A_053077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKAATLGVTRTLMRDIKLPVTRSDIRIKKFCLVSASFIFCTVSGLAKLNNQKMDLLLIDEAAQLKECESLIPLQLSELKHAVLVGDECQLPATVKSKIAETALLGRSLFERLSLLGHKNHLLNIQYMMHPSISTFPNESFYDKKILDGPNVTQESHKRSFLRGAMFGP >Et_3B_028851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19818159:19822557:-1 gene:Et_3B_028851 transcript:Et_3B_028851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSAEAEFFTEYGDASRYKIQEVIGKGSYGVVCSAIDVHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVRNEKARRYLSSMRKKEPISFSQKFPNADPLALDLLKRLLAFDPKDRPTAEEALAHPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFREILEYHPQLLKDYTNGTERTTFLYPSAVDQFRKQFAHLEENSGNSHVIPMERKHASLPRSTIVHSTPIPVKEQPRTSSSRDKPSSDEAYKIPRETERFSGNVPRTSQAPQRVPAARPGRVVGPVMPYENGSTKDPYDTRRFAMNSGYPPQQQIPQTYGYYQTSGKPACSEPSQAERYTLHQQAYACANSTTVPDVALDMRAAPFHLSAGPASDPSDRLTAESNLYTRSLNGIAATAAGVAANAHRKVGVVPFGMSRMY >Et_7B_055897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:694814:696723:-1 gene:Et_7B_055897 transcript:Et_7B_055897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSPMRKSFKDSLKVLEADIQHANTLAADFSRDYDGACLQMRMSYSPAAQFFLFLVQWTDCSLAGALGLLRILIYKHHSFYTAVIFPSLMQLHKGISDVDDRRQKAICTERYRRRDEDESKRPVSEIDVEREEECGICMEMNSKVVLPNCSHAMCIKCYRQWRSRSQSCPFCRDNLKRVNSADLWMFTDCRDVVDMATVTRENLRRLFMYIEKLPLVAPDNIFYAYDSHVK >Et_3B_031707.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:8390549:8391700:-1 gene:Et_3B_031707 transcript:Et_3B_031707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGEGMSVPPWSHHLPVSGVDVGGGDEMTPYLFAALQQYLPSNDAGLGGCVGEDDDDDAEAAAMAAAVEAYGCDEFRMYDFKVRRCTRARSHDWTECPFAHPGEKARRRDPRKYHYSGTACPDFRKGGCKRGDACEFAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPDQLRVLPPQQSSPRAAGGAAASPLAESYDGSPLRRQAFESYLSKTIMSSSPTSTLVSPPKSPPSDSPPLSPDGAAAYRRGSWPGVGSPVNDVLASFRQLRLSKANSSPSGGWSGYPNYGSPKAGGLYSLPTTPRAPPTSMSTTTGFMPSLQSLDGGGGFGVEEEPVQRVESGWALRAKVFERLSKEGTVSGDATPSGPDVGWVSDLIN >Et_1A_007811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38539040:38554736:1 gene:Et_1A_007811 transcript:Et_1A_007811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLQISYKKHKSKLPISSIGLALLLLISMASSTSSCTEQEKTSLLQFLAGLSHEDGLAMSWREGTDCCNWKGITCNQNGTVIDLSLPSSGLEGHISESLGNLTGLQRLNLSYNSLSGGLPLELVSSSSIIVLDVSFNQLNGDLHELPSSTPGQPLQVLNISSNLFTGKFTSTTWNWMENLITLNASNNSFTGQIPTHLCNISPSFAVLELCYNHFSGRVPQGLGNCSMLKVLKAGHNNLSGTLPDELFNVTSLEYVSFANNDLHGSLDAARIINLRNLETLDLGGNNFTGTIPDSIGQLSRLKELHLDYNNMSGELPSSLASCTNLRTIDLKGNSFSGELANVKFSNLHNLKTLDLLSNSFTGTVPESIYSCTNLTALRLSVNKLQGQLSPRIGNLKSLTFLSLAKNNFTNITNTLQILKSSRNLTTLLIGVNFINETLPDDDRIDGFENLQVLSLSECSLLGKIPHWLLKLKKLEMLFLYNNQLTGQIPDWISSLNFLFYLDISNNSLSGEIPTALTDMPMLKSEKAAARLDPRVFELSIYVDASFQYRKPSAFPKVLNLGNNDFTGVIPPQIGLLKDLLLLNLSFNKLNGDIPQSVCNLTNLLVLDLSSNQLTGTIPASLNNLHFLSKFNVSFNDLEGPVPTTGQISTFTISSYGGNPKLCGPQLIHHCNSHEATSTTKRQNNKKAIFALAFGVFFGSIAILLLLARLLVLFWGKIFRTKNRSTNESDIEALSLNSDPEHSLVMMPGIKGEENKLTFTDIMKATDNFDKEKIIGCGGYGLVYKAELPDGSKLAIKKLNGEMCVMEREFTAEVEALSMAQHENLVPLWGYCIQGNSRFLIYSFMENGSLDDWLHNRDDDTSTFLDWPMRLKIAQGASRGLSYIHDVCKPHIVHRDIKSSNILLDKEFKAYVADFGLSRLILPNKTHVTTELVGTLGYIPPEYGQGWVATLRGDMYSFGVVLLELLTGRRPVPVLSTSKELVPWVLEMRSQGEQIEVLDPMLRGTGHEEQMLKVLEVACKCVNHNPGMRPAIMEVVSHLERVDAGLQTKRSLPQEAMQQQLHFSCNKSNRGFPFAFSGLAIVLIFFSFLPQASSCTQQEKSSLLQFLAGLSQDGGLALSWQNDTDCCTWEGIACGADGAVTDISLTPKGLEGHISASRGELTGLLRLNLSHNFLSGGLPLELLLSNSIITLDVSFNRLNGDLRELPSSTPGRPLQASYNKLSGPLPGELFNATSLENLNLASNNLQGTLDGARITSLRNLANFDFGGNFSGRIPDSIGQLKKLKELHLDHNSMSGELPSSLRNCTNLVTIDLKNNKFSGELTKVNFFNLSNLKILDVLYNNFTGTIPESLYSCSSLIALRLARNNLNGQLSPRIGNLKSLVFLSLGSNNFTNIKSTFQILRNCRNLTSLLTGNNFKGEAMPEDETIEGFENLRVLVISNCSLSGNIPLWLSKLKNLQMLVLNTNQLIGPIPAWIKSLKSLFHLDISNNNLTGEIPVALMEMPMLTTEKKTNHLDPRVFELPVRSPSLQYRITSAIPKFATSRNNFTGVIPQEIVGVFFGGVVILSFLGHLLASFTGRRLTAKDKACNSEDVEATSHLPDSEQSLVIVPQGTREKNKLKFIDIVKATNNFDEENIIGCGANGLVYKGELPDGSKLAIKKLNGEMCLMDREFSAEVDALSMAQHDNLWDSRVLIYSYMENGSLDDWLHNRDDDASTFLSWPMRLKIAQGASRGLSYIHHACKPPIVHHDIKSSNILLDKEFKAYVADFGLSRLILANKTHVTTELVGTLGYIPPEYGEGWVATLRGDIYSFGVVLLELLTGRRPIPVFSPTKELVKWVEEMKSEGKQIELLDPTLRGTGHEEQMLKVLEVACKCVNRNPCMRPAIQEVVSCLDKNMWELHFSHMKNSDRFPTTSLGLALVLILFWASHTSSCAEQESNSLLAFLAGLSQDGGLIKSWRKGTDCCAWEGIRCSTDRTVTDIFLASRNLQGFISPFLGNLTGLLHLNLSYNLLSGGLPIELVSSNSIIILDVSFNQLNGHLQELPSSSPVQPLKVLNISSNLFTGHFPSTTWEPMKSLAVLNASNNSFIGQIPTVFCDNAPSFDVLDLSYNQLSGSIPPGIGSCSMMTSLSAGHNNLSGTLPHVLFNITLLEHLSFPNNQLEGSVSGIGSLVNLITLDLGGNEFRGNIPDSIGDLKRLEEIHLDYNNLFGELPSTLSNCRNLITIDLKNNSFSGELTKVNFSNLTNLKTLDLVWNNLTGAIPESIYSCSNLTALRLSANRFHGQLSERIGSLKFLSFLSLVDISLTNITGAFQILRNCRNLTTLLIGYNFKTEVMPQDDRIDGFENLQVLSISDCSLSGKIPPWLSKLFFGDAIFI >Et_4A_032939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15911233:15930296:-1 gene:Et_4A_032939 transcript:Et_4A_032939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMIGSLVVGEVVNRTSSYLISKHRERLSAWEAIERLEMAHIKMEAALEVSARWQATDVSLLRWRRKLRRAADECDDALHRWKLRALEEEAARERLARAPFHRRVAHAVASFVAALLALTRGGGDGEAARACAAVRRFERLADGSAEFLRCVDLGSASSRMCGGGWVSPVVRKLGGKQANQNLLHGGRSSFLAVGGSGSPVVEENWVQNKPCRGYVKLVVCDSVSPRVMAKIVSSAVAEEIASQIVSSMIDRQDRPPSGKEQLERLEMAHVRLEAALETSDKWRIRDASLLRWRNKLKRAAQECDDTLRRCKQRATEDEEMQRVVSASSLPTRLAHATKSIVSSVLSSCKKSSDGYGSGGNHDVRRFEWFADGATEFLRFVELGGTPRRYMFYDPLIARLLAGDELRYRLVRGSQYHLLIVRCISLEDRGIEANLIFAYEDDDEPEKNMCIGSILRLSESTDVVGVTIKCLQLLVTPHFKPTAEAASRQLAALPTQDFTWVPYADLRNREDWNSIHRDMSQWFRPDPLCCNQHGSCKASGVNSSTMDGASEVSMESVISVYWQYQIPLTEYNMQRSAGVQVRNPTTSSKDLPHLKIGLLFTPHCCLGDIIPKAGTSLVEVIRGEEQQSQQMNISLQQFDETMLPKALHSLYHKEEATVYQVLWKSNHGTAYFQVKKTMPQKNVQGYQTKMFPVQYYNQDSKLERWTHVLTNFLTLWVARAPERLRWSIVEWMRKANEKKLACFEPAVHTIRLGGVADSTQLESSGDGRQDRWSRTWAAAAAKADQVDERRQDTSIDDQVDERQGSSSIGPAW >Et_3A_023914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14616107:14623930:1 gene:Et_3A_023914 transcript:Et_3A_023914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNGEPSTAPQPNRWYDLRLGSSCRDPSPTAKFCTLRYEFKPASIDKSQAGSLQSTKDNRVTVEFHNNQPGKPKVTFEGSQEEYKDNDGVLFFDGESFRLERLHRAVKRLRHVRVPGESAANLSATTTGVGGESHSPPLPKVGKSQSMSKPAVPSVPVEVERIDIGEPENPGLRYNNKSTTYQPVTRNPFEVSSDPDDQEENLDILGDDDDNGSPNNMVVGQGTSLRGFDINLPNQHNLDDEIADVDLLYRSFVAWRNVLGLSFVRHGSPKCATWMYRCPPANATVAQQSNRDMAGRHDLMPMPPPLSSISTSTCRGGINSW >Et_1B_013919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23977159:23980992:1 gene:Et_1B_013919 transcript:Et_1B_013919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPPAPPSMTSHQEEKMEQAEGVVTGGQEPSKKKKEADNGEPEAAASCAPKVAAVAVPAALPRHRRSKSASSERTVEACKHAASRGAVEQRGGQAPVPIALFPAMVADHCTLLTPQNENPPDPRRLHETAAAGGGSVQQGPRDHRSNASPNHRVSLENDVKQLQLNLHQERSVRVMLDRAIGRASSTLSPGHRHFPAQTKELIAEIELLEEEIANREQHVLTLYRSIFDQCMSGPSSGQSSGISSPANTKSISSRTRRQPSIISSAFCSSKKLPLQPFQIMASVSESGRTKNMLKAKIKHDSFSSETSDIHPTSFLPDPRKWFESILSTAMRKKCADEKQLVQLKFGLTDCQPLALFALCTGASSDPMVQKPRFQFSTPHHTCSTYSALLAHELSPRCLSQLRVYTAKNVMEELERAKLEFLQATMVVRKSKKKVFLPRLVERYAREACLGPDDVIPWAQREGGVADSRKQPDAVQRISGNRRKPAQAVEWLPYSARFLYAFARSMVDKPQC >Et_10A_001140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21478523:21488185:1 gene:Et_10A_001140 transcript:Et_10A_001140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAENEELHEPWAQLGPTLCECAAHVEAGSTEKAARCLARATGLAAAAAGTPLHRLAVVMSDCLARLILRPIPAIADALIDPSECIDRRSVGAARRGLFELSPFPKVAYAVSNRAIIEAMENEKISNDSKSLVPIQNVHVIDFAGPAAHPRQWIELLHEFHGRPEGPPHLRLTVVHDDEEFLAKTSKLLADEADDLDMALQFHYVVGQVETLDFSDLHGTLQLKSGDARAICCTQQLHRLLATDDDAASSFGSSALRFNEQAASVARLQQMASSSLSSYQEDDDEAYRSPATPLSFVSPPASTPLFQTPPALSSFLSAARAHASPMILVVTEQDASHNGVSFRNRFAEALHYYAAAYGCLDAEASAARRRRPAAAAAAAELALGEEIRDVLLREGARRRERHDRLPQWAARMEAAGFRGVPLSYAAIRRGDDVLRRCGVRGCENREHAGCLLMCWSSWPLFSVSAWRPNGGEASGASEEYLSASSQMRVMQKSSVQDHATVDRLAQLGPVLYECAVHVTEGNFEKTDNSLRQIKGLASIVDGSLQRLSSTIAYSLARRILCPIQGFDGALIHPSDYFEQSSIQIARENFANLNPYISTGFVTINQAILEALEEEKVVRIIDLSCSVSHPWQWLKLLHDFRQRPGGPPEVRFTVVHDDSEYLAKMQKLLTKEAEALKIPFQFTCVIGRLETLDLSNLRNTLQIKFGEAVAISCAPEMYRLLVVDDNVTCAGIGQLQRMTNIAQLKQMANSLPHYYPQTPSPQTPKLLASFLNAVRALKPNIMLVMEQDANHNALLFCDRFVEVLNYYAALFDSLHAISVASRQKADERARVERMILGEEIKNILVCEGVRRHERHERLIQWATYMGGYGFNHVPLSFSAIGKCNEQLMSFGLKECQNKQDTGCLLLCWGSTQLYSISAWRPHNELSSGSREHIENFALTEECENMLQDDVVSSATSSTLYSPSAAHGYGGSWVQELSQDQQSVRLVGLLYQCAAEVAAGAFDRANLYLEQITQLASLDAPHTLQRLAAVFADALARKLLNLVPGLSRALLMSSSSSASISGAAEQEARRHVFDMLPFMKLAYLTTNHAILEAMEGERFVHVVDLSGPCANPVQWIALFHAFRGRRGGPPHLRVTAVHESREFLGNMAGVLAREAEAFDIPFQFDGVEARLEEIEADALRHSLRVRSGEALAVSVVAQLHRLLAADDAGSRGRYGGGGGGSSCLTPLQIIAARSSSPRSFGELLERELNTRLQLSPSDSSASVVLSSSPLSPQSSSPGLAQQPQQRAAAKLGSFLSAVKALSPAIMVVTEPEANHNAAAFQERFDEALNYYASLFDCLERSSHRPEERARVERLVLGEEIRGVVAREGAERKERHERLAQWARRMEAAGMERVGLSYGGMNEARKLLQSCGWGGSYEVVHDARGQGFFFCWHRKPLYSISAWRPATRRLS >Et_6A_047863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21680388:21684684:1 gene:Et_6A_047863 transcript:Et_6A_047863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFTPLRGPGGPVPTRKLVFQHSPARALNAICAGIKVYKCSLYVVLWYYISPSGKTLRSSVEIGRYLAKNPQYIAEGVNLSQFSFATPKPVDEVDVKRIISEEGQGLPELAKDLLNNKACPRCQAILILTRAI >Et_4A_034860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7248994:7254337:-1 gene:Et_4A_034860 transcript:Et_4A_034860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRITRLLNHQHRRALATAAEAAARHAPRGPSAASLAKDVANAEASSVKNSRWFMIRSNPSGSLTTRYECRIVSPSLIRPSASYSTQASDNNPKEARKDLSAVEEEPFDAITDKIPEKPVTFAEGASYSLVILAGLGLAAVAGYAVFKELIFEPKEYKIFGKALARIQSDSQVAARIGHPITGYGHETRNRAARQRIPNKVWTDEDGVEHVEVNFLIRGPHGAGKVYSEMFKDNSDRTWKFTYLLVDIVSPHPQRLMLESYVPSYTPA >Et_5B_044287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22232012:22236341:-1 gene:Et_5B_044287 transcript:Et_5B_044287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRALREAASRAAAATGRRRFSGGSAAVAGLAERSDGAGGGGKAVNLFTAVNQALHIALDTDPRAYVFGEDVGFGGVFRCTTGLADRFGKNRVFNTPLCEQGIAGFAIGLAAMIVNEAAKFRYRSGNEFNCGGLTIRTPYGAVGHGGHYHSQSPEAFFCHVPGLKVVIPRSPREAKGLLLASIRDPNPVIFFEPKWLYRLAVEEVPEEDYMLPLSQAEVIRNGSDITLIGWGAQLAVLKEACEDAAKEGISCELIDLRTLIPWDKETVEASVKKTGKLLVSHEAPITGGFGAEIAASIAERCFQRLEAPVARVCGLDTPFPLVYEPFYMPTKNKVLDAIKATVNY >Et_7A_052142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5028460:5031598:1 gene:Et_7A_052142 transcript:Et_7A_052142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSGFTRPRQRAEDPAPPTPSAVLYVANCGPAVGVTDADVREAFAAFGEVAGVHAADDSGARVIVRFHQPDAAEAAMAALHGRPCERLAGRVLHIRYSVPVKPKARTGCSLPVAVAASELGVPGIYMVEEFVTAAEEQELLAAVDSRPWKSLAKRRVQHYGYEFLYETRNVDSKQLLGELPAFVSTVLHKIVSFPGVKKCNTKLVDQLTVNEYPCGVGLAPHIDTHSAFEEMIFSLSLAGPCIMEFRKYPKGSWHAPSVVNGADEDSSQESEYIRKAIFLPPRSMLLMSGEGRYAWHHYIPHHKIDDVGGQVIQRNSRRVSFTFRKVRQGPCQCEYKQFCDSHSKTTWPYFFSMQSSFSITSFANSCLPRALQDASSDPQMETK >Et_8A_057346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23972177:23973992:1 gene:Et_8A_057346 transcript:Et_8A_057346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAGAGSSVVGRAVEEVRSALNEHADVVAELFGRVSTELRTGFAPAVDSFLGFFHAVDWKEPWLISILTVHAILLLVTIISRRNVNFQLVLSALTFSGVFLAERLNTFLGQNWKSFSSQNYFDPQGLFISVIWSGPLLLITILILVNTLVTLCMLIVRWKRAELRHRARQARNKQD >Et_2B_019184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16116012:16116443:-1 gene:Et_2B_019184 transcript:Et_2B_019184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTSPFPQPPPAHQPPLLPLPAQAPSPQCSIWPATRPAPPAKQPRCHAAPSPKTASAPITVAAPSKKRVAPAPQGEVEWTETDSLYSVSPPPSCVPMPTSLLVTGAAASGAGVNVGATDELRRLLRL >Et_1B_012020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28055541:28059818:-1 gene:Et_1B_012020 transcript:Et_1B_012020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRSRAVEKVYELSSKCPYMFEVYPFMMPWPPTFPVMIDGHDQDNQLLASVPHTQKCRILLRLQIQGAQRILMLIPDIPMGVRV >Et_2A_017782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6186651:6188274:-1 gene:Et_2A_017782 transcript:Et_2A_017782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFLRTSPRILAWEDGFCNFTATTSAAYAGDCDAAVQQAEVAKQQGLQPELFFKMSHDIHNYGEGLIGKVAADHSHKWVFKEPPEQETNLISSWSNPADSHPRTWEAQFQSGIQTIALIAVREGVVQLGSMKKVAEDLSYVVMLRRKFGYLESIPGVLLPHPSSAGAFPGGGCVGPVPPDLAAWPGLMPPPPPHAGPLAPLDLYDPYGAVAAGPAVAAAAAASMHIMPSMSSLEALLSKLPSVVPAPPPPQHSQQPPAAGSAAPGAAAAASATKEEVDDYVVRCHGMVDDTAPSGNGAGGESASTSAAGATAPMSSYFVDVGGKPGEGF >Et_4B_039889.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:5296024:5298087:-1 gene:Et_4B_039889 transcript:Et_4B_039889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLCRSPSSILPSRPHRPISASFHPRTPSSPAAAHVSVQDPPPQDPAPPPDSSQNGNRSSSNMRYIWVNPNSPRAAGVARSRAGSSRRARLASAAAVLGACEPSEEAVAAALDAAFPDPPAEQDAVIVLNTAAARPETAILALRWFLEKAEVRKKVILYNVVLKLLRKKRRWSETEALWGEMLRDGVQPDNATFSTVISCARGCGLPSKAVEWFEKMPEFGCSPDMLTYSAVIDAYGRAGNAEAALSLYDRARAEKWKLDPVICSTVIKVHSTSGNFDGALNVFEEMKAAGVKPNLVVYNTMLDAMGRAMRPWVVKTIHREMVDQKVQPSRATYCCLLHAYTRARYGEDAMAVYRLMKDEAMDIDVMHYNMLLSMCADIGYVDEAEEIFRDMKVSMDARSKPDSWSYSSMVTLYSSTANVLAAEGILNEMVEAGFKPNIFVLTSLIRCYGKAGRTDDVVRSFGMLEDLGISPDDRFCGCLLSVAANTQADELDKVINCIERSNAQLGAVVKLLVDKSSTSESFREAASDLLSSVRGVVKMPYCNCLMDLCVNLNQMEKACALLDAAQQLGIYTNIQTRTQTQWSLHLRGLSVGAALTTLHVWMNDLYTALQSGGEGLPPLLGIHTGQGKNTYSDRGLAGMFEEHLKELEAPFHEAPDKAGWFLTTSVAAKLWLEEKKSSELVAV >Et_1B_010060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21254684:21255815:1 gene:Et_1B_010060 transcript:Et_1B_010060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TSSPRSSSASRRTPPGSSAPPSSASAGAACSATPSSSASRAHSIGHLPCSACTASPCVHRRSWRPGVPGTPQRFLLPRRVRVHGSRPRVRLRLLVGDRRLGQADHSRGALPRRPPEDRRAGRGRIVLWLEEGGILELHLGKESLTAVEPPPGSRSFYQGNAQLMEAEGSALGFAGVKDYSMHLWARVADQDGTVRWVLTTIIDFDGLAPPPGLTTMMVPPIKIVGVDEGGNFVFVRMIFGIILINLNTGRVKWVSDAKVMEFVRPYSSFYVAGMNNQHVFTFTCTSIVFISKLIYPAQCLQWRV >Et_2A_018429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27926984:27928136:-1 gene:Et_2A_018429 transcript:Et_2A_018429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTMRDFLGFFLGSAVTTACILLLLPPSPCPCGVAPAAYHQELANLGNNGTHRALDPSTKSLQMTTQAGASRPEDDLPELLSRAAMDGKTIIMTFTNEAWTAPGSLLDLFLESFRVGVRTAPLLKHLVVVALDVRAYERCQHVHPLCYHLRVAAGGADYASEQSYMAGNYVDMMWRRNRFQARVLALGYSFVFTDVDIIWLRNPLLRVPLGADLAMSCDWFFGDNPYDLDKLANGGFVYARAVPRMLGFYDSWYAARTRFPGAHEQYVFDQVKHALAARHGVRVQFVDTAYLSGFCELRKDFYRVCTVHANCLVGIKDKLGNLTAVLDEWKQFREKQALLGSNSTALTD >Et_2A_015396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1205265:1208158:1 gene:Et_2A_015396 transcript:Et_2A_015396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDDEDFLATLTDKRRGNKPPSSSSRERRPRADGGMRIVVPLQGVVQGRGGLVLGSLIPCALFYFLQLYIKRNRPPQPPQSPPRPGSPSSAANASANPTASPIHRSLSRGHLSPRAALPALSARGAVVRAGDEDSLYYAGLRRSADDLYHPASNPDGVINLGLAENHLSLDLVGRWMEEHAGAAVLEGMTEERDLTVRGLATYQPYDGILALKMALAGFMRQVMHESVSFDPSQMVITSGATPAMEILSFCLADPGNAFLVPSPYYPGWDRDIKWRTGVELIPVPCRSTDNFNISITALDIAYKQAKKRGIRVRGVLISNPSNPTGGIVPRETLHDLIEFVAEKNIHLISDEIFAGSTYGSDKFVSVAEVANELEEYDKGRVHIIYGISKDLSLAGFRVGVIYSYNENIVAAAAKIARFSSVSTPTQRLLVSMLSDQKFISDYLRINRERLRKMYLLFVDALKQVGIECFKSSGGFYCWADMSKYIRSYSEKGERKLWDRLLEEAKVNVTPGSSCHCIEPGWFRCCFTTLSEQDITVLVGRLRRVTDTHK >Et_7B_053718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11432972:11438585:1 gene:Et_7B_053718 transcript:Et_7B_053718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERFQVGSQICSQEYSELKIKSLSAEMEITHKEEVKKASNEVNGSTIDELDPWNPPYPPCPPIPPELGLNAYAQLMCEWFDEIDEIIATSRRTKPIIPDRTPQSVDDAFFDLRPRLVPILEKDSVRRFLTLYDRVMCGLGWGFIITPLTFNQMVRQNALQCAKVALEGKAPEPRGCSSAMVHWQMCARLAMKLLRASSHSHVAVENTCLHKYLEDNAFPNQEDLDDYQANVDYVCKLIYLICLPEMKIFLDTTRLLAEKTHNIVDELWNYIKDGRLFCSWQLKSRSMGYHPAKKNEPDGFSIIKDRILSHTVSLVVEMGQSGKDNKRLEVDNKLMNVALPLVHAVSQAGVALDACIRSHPEYLYPKCVSCRCRITCRCPMTGYLKKSRRFSRVMDFALQEKASTLEICTIFNLLFHFYNNCNSTYNYTFMSFVHLYVLIVLEAAHTRMYCPKKSHLTYLVVRNRTPRGWELKYARRSFFPYWRSVLVSRLPCKVTRLEDMPTPKDLENFRNKSAGEGSSLVPDVNLGPLARIQQSISQPKRTFCSAALALSKIFRNA >Et_1A_007078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30677540:30678437:-1 gene:Et_1A_007078 transcript:Et_1A_007078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGVRPGRRFTVGRSEDATHPDTIRAAISEFIATAIFVFAAEGSVLSLVILHARPQLPTCTGLGGGHDKRSVVARTNRYFAGKMYHDMSTVGGLVAVALAHALALAVAVAVAMNISGGHVNPAITFGALVGGRISLIRAVFYWVAQLLGAIAATLLLRLATGGMRPPGFVLASGVGDWHAVLLEAVMTFGLMYAYYATVIDPKRGHVGTIAPLAIGFLLGANVLAGGPFDGAGMNPARVFGPALVGWRWRHHWVYWLGPFLGAGLAGLVYEYLVIPSADAAPHHAHQPLAPEDY >Et_1A_008717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10584422:10586506:-1 gene:Et_1A_008717 transcript:Et_1A_008717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDLRRLTVLALCLVACCLCSGNNAAAAGKKMVGVYELRKGDLSIKVTNWGATLMSVILPDSKGNLADVVLGYDTVAEYVNGTAYFGGLIGRVANRIAGARFTLDGKAYRLYRNDGKNSLHGGHRGFSKVIWTVKQHVGGGDSPYITLYYHSFDGEQGFPGDLDVHVTYQLSSRYVLSVRMNATTARGSKKATPVNLAHHAYWNLGGHGSGAVLGETVQLFASRYTPVVDATLIPTGAVVPVAGTPYDFRAPARLGARMASLLRRRGVTGYDTNYVVDDDGDVGQRGAAGSSASSFLRRVARVRDGASGRAMELWADQPGVQFYTANGLAGVRGKGGKVYGRYGALCLETQGFPDAVNHPNFPSQIVRPGQVYKHDMVFKFSF >Et_7A_051782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24660688:24664308:-1 gene:Et_7A_051782 transcript:Et_7A_051782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLIIRADTGNEILTAGPISCWMEIFSLDARSISNLLSHPRTINRGALSVPAKHKTRTKLKDNVIDNIEFHSMELLTLYVVSLATLVLVLIWFQMSGVRSTPGDKSKPEKRLPPGPWALPIIGGIHHVMGGLGHRIMMELSQRHGPLMFLRLGEVPTLVVSSAEAAELVMKTHDLAFCSRPTTSITIDIVGSKGKGIGFAPYGDRWRQMKKIVVMELLSAAQVKRIESIRAEVVGRLLRSIATAGARHEAGVVNVSKEVKALAPDLVALAMFGGKCAMKSDFVLLYDQVSEMVSGFFPVDLFPSSRLVRWLSISERRLARGYGRIQSIIATIIQSRKVAEKNGACSPDQEDLLGVMLRLQKEESLTFPLTSEIIGAVMFDIFGGATTTIGSTLEWAMSELMKTPETMEKAQKEVREVLGDSRGVISNTELAGLSYMRMVIKEVLRLHPPNPLLVPRESREDCEIMGYFVPKGTKVLVNAFAISRDPRYWKTPEAFNPERFENNNVDYKGTNFEFIPFGSGRRQCPAIMFATSTLEIALANLLYHFDWVLPDGVSPELVDMSEQYGMGVIKKLDLHLRPIPYVHSRIQ >Et_5B_044344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2323804:2326376:1 gene:Et_5B_044344 transcript:Et_5B_044344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAANAEMHASPTVDDSMSGTTAVAALVAGGALHVANVGDSRAVAGVWRGGKVVAEDLSDGVFEFLSSQEVVDMVAMYQDPRDACSAIAAESYKLWLEHENRTDDITIIIVHVRDSENSGPAGNEKVDYSIGGASIAVHTVQTEMPVFVPSEVSHLNRCAATELQSSSSGSPTERSLSCVVPCPTQPLLLHGRISEVAKPMQCERTVSHPAETWHHTEGGTELEQPLQRSIPPASC >Et_1B_009744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22967337:22967932:-1 gene:Et_1B_009744 transcript:Et_1B_009744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRNSSLVGNNVSFHACRITGGAPDSLKSYAHKSPVSAARPMTESSSGTYKARPSNGSCQAPSTRHSPLSGEVGRDERELVVSQAPNRRLRERDGVPAPVFRKPPNHLEAVDAVEEDRVHPDDSVRTNRKHGGHVLVVGELARRVEAAADGPPRHDAAVRVALRVGVGVLLEEAVAAHPH >Et_3A_026224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5780795:5785231:-1 gene:Et_3A_026224 transcript:Et_3A_026224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTTSSSCHFSSPFLSSVPTPRRTPAVPLRRIRRPRMVAVASVPDPAEGPVEYTPWLIAGLGNPGDKYYGTRHNVGFEMVDRIARDEGITMNTIQSKSLLGVGSIGEVPVLLVKPQSYMNYSGEAIGPLAAYYQVPLRHILLIYDDMSLPNGVLRLQRKGGHGRHNGLQNVIEHLDGCREFPRLSIGIGSPPGKMDTRAFLLQKFSSEERLQIDTALEQGADAVRTLVLKGFSGSIERFNLVQKYKFHRV >Et_5A_041063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17646767:17671115:1 gene:Et_5A_041063 transcript:Et_5A_041063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQIMKQGPGVYTPQRASFVVYGVVDGYYLLQFSWTKADFTRSKANFITKTKYINEKKEANRSQVLHGTHAFAFRSGELTHVISHSLKPRSGWLAKRRIPPPAAASTKPGDLLPDDVLFDVLVRLTARDLCRLRSVCRRWRAHASRHPEPLLLARFRDDAETQHVHVVDLSGNMVKRIRIGVHHQLLLLPTRLGLSCVATETKLCCRVLDPATGAVRALPTRAGHENLRRPAASYAFGRVPSTGEHKILRVFNRPEYYDVDKEQLFDGAVYFKIDSAYPSMMESGVYPDVNQDTIFSFDLGTEQWRAELRGPMDDDFVIENPDDFDDFRCFWSQLTLADHGGALALVHYRDHRALMDLWILEGFEKARIPNCGHPLKSVFVMDDGRFLVHVEKTGSLIVYDPRLNVEMKHLDAVAMYTGNLLCLQASRDVRASRRLLGRPRAPLRPDARRPALRPGQGPLPPARRLTRVARRHRGPALRQGARRAAPGPALPRQLPGRRHAHPRHGSVRRGGEADRCPGGAPAALHPPRPGLLATCSTRLPAVVPGPPVLWHGKTRNLTQRNTTFAFGQIAATGEYKLLRVSNLLRSTGEVEEQRFEVFTINGGADNARWRRLQNPDLMLKSRSAVVVDSEVYFLYNSMYFGGLNPHTDPDCIASFNLEREEWRDVQGPIRNSLAMDEEEDMYQYISMWRRLTLAELKGSLVLSYFLRCQSDIWFLTDVESGLWVKEYIIDTVSITNSMIERRCIKPVVMLSDGRLVVHLIPTGLLLIYDPGTKKFDEVGTDYVDGVAMYTGSVLSLPNDRSPEIVMKKTVVLYPGLFVSHFVPMMQLADFFLEEGYAVAVALIDITMDHDAALATAVGRVIASAKPSITVHKLPRIQDPPAITNDSQMLLGYYETIRRYNEPLREFLCSLQPRTSIHAVVVDGPSVDALDVTKELGLPAYTFFATNASAVAVFLQLPWILRSESRQQSFRELAEVDASLDIRGVPPMPASYLMGQYLEDPDSEMYKTMMGVTRRNSEPDGILVNTLASLEPRAVAALRDLRLLPDGVCERTPPVYCIGPLVAAPGETKEEHECLAWLDEQPERSVVFLCFGILGEICHTEEQLKEIAVGLERSGHRFLWVVRAPKPPMSKELPDLDALLPDRFLERTKGRGLVVKQWAPQVEVLRHRATCAFVTHCGWNSAMEAIMAGVPMICWPLYSEQKMNKVFMVEEAGIGVEVVGWQRGLVNAEEVEAKVRLIMESEEGEKLRARVMKHRDAAAVAWNRGGSSRAAFGQFLPCAPSTYRTRSAPAGSSADALHADVLFEVLLRLPARELCRLRAVCRSWRSLTCDPLFIKEHTARHPGPLLLANFRDDQTHIHVVDLSGNVVKRIAADGHQLLCTRLDLACAETERNTCRVLNPATGAAFVLLGVPIVGHRSAQNYSWPYSSFVFGHIAPTGHYKISSAVVVDGVVYFLVDIVYCSMLDAGVDPGIRPDCIFSLDLESEAWREDLLRPISVNLSFNNIDDFDEYRRIWSQLTLADLKGSLALSNYCQHRSTMDLWVLTDFENGLWVKEYTVQIESIAQRDERRLKALLVLDDGRLVMHLEWTGLLLIYDPGMNSFAEVEMRPLDVVGMYTGSLLSLQLGDMKTWEAQNKKHKEGCSGIYWYST >Et_3B_030921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8921982:8929381:1 gene:Et_3B_030921 transcript:Et_3B_030921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGEGWEAAVRAEVGNGWWDDLDSADLRAKFKAFTGQRSDWPQPKLLFWKDLILRVARRIRLCSVPAHLVTSVWFARPGGLTPLCLPQVLEEMRADGDILLKSDLTDPTSGSLYQLVRRMSQLAVVSRRPIAQEDILVFKLLVEERAADIVRQLSDSHWTSTCIVTISKFNSFFVDREDAHAALCYLVQSGKARYIVARKQDPVQGIKFALSAAQVPALSKLDHDTLHLVWTEEKLQQQLDVLERQWELRRALASFKSGDKQAAYRHVRQSKLFSQSRSRCTHLLQRVEEVISLIASAESTKKVYEAIQIGIRAMKENNVSIEEVNVHLKEVDELVAAQREVDAALESAPLQSLDTEGDIEEEFRALEAELQDEIPQMQVLGPESHVNEEPETPNDAGDS >Et_9A_061148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18876736:18877242:-1 gene:Et_9A_061148 transcript:Et_9A_061148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRLLPLRRPAHPEERPARLHPAIRLLPRRRCRGVTVAGYDGVANGERAPRPERAPRPGVRLADGQDRRARRRGVPEPGRHALLDHLPHAGRQRQGGLLRRRRWWRSVGWRHMLRHGRLTAGDAVGSWDS >Et_2B_019598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10798020:10799527:1 gene:Et_2B_019598 transcript:Et_2B_019598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEEMRKAQRADGVATVLAIGTATPPNCVYQADYPDYYFRVTKSEHLTDLKNKFTRLSWHDVRMHALTPKVDGPKALHARDGRAPAGEPQHGRLLRAVAGRASRHPGGGGAQARREAAEKALAEWGQPRSAITHLVFCATAGVDMPSADFRAAKLLGLRPNVNRVMLYHQGCFAGGTVLRVAKDLPRTTITAVTFRGPCATHIDSMIGQALFGDGAAAVIVGAGPDEAAGERALFQIVTAAQTIVPDSDDAILGHLREEGLTFHLQERVPDLIGMNIKGLLETAFKPLGISDWNKIFWVAHPGGPAILNMAEAELGLDEARLRATRHVLAEYGNMSSACVLFILDEMRRRSAKQRLATTGEGLDWGVLFGFGPGLTAETVVLRSVPIAAGNTN >Et_10A_002115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2385781:2387430:-1 gene:Et_10A_002115 transcript:Et_10A_002115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAERRSVCGAQSPALRATRRAGERVVGPVAQRSGDKGSNNPLKGRQGARRSGWWAQSPNGRATGTDAYKRPKFGLKSTHSSFVDPSTVPTERERRGGEEEERGEEKGGEERGAPTQDMEGEEEDETQIVEGDQNPTAPTLTGEADEGERIEYIVEEMRMEDQEHENLPQVDSSDDEDEIPVPAEWNNQNFANLVINEGYSVHESTMRMR >Et_8A_057674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:657888:665001:1 gene:Et_8A_057674 transcript:Et_8A_057674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPGADSVQGRESNGVVSESNGGASPPKQQLQGKEALRYANILRSRNKFAEALQLYNVVLEKEGTNVEALIGKGICLQAQSLPRQAIECFTEVVKIEPENACALTHCGMIYKDEGHLVEAAEAYRKARAADPSYKPASEFLAIVLTDLGTSLKLAGNTEEGIQKYCEALEVDSHYAPAYYNLGVVYSEMLQFDMALTCYEKAALERPLYAEAYCNMGVIYKNRGELEAAIACYERCLTISPNFEIAKNNMAIALTDLGTKVKIEGDINQGVAYYKKALFYNWHYADAMYNLGVAYGEMLNFEMAIVFYELALHFNPRCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIIANPTYAEAYNNLGVLYRDAGSITLAIQAYERCLQIDPDSRNAGQNRLLAMNYIDEGSDDKLYEAHREWGKRFMKLYPQYSSWDNSKVVDRPLIVGYVSPDYFTHSVSYFIEAPLTHHEYTNYKVVVYSGVVKADAKTLRFKDKVLKKGGLWRDIYGIDEKRVASLVREDKVDILVELTGHTANNKLGAMACRPAPIQVTWIGYPNTTGLPTIDYRITDSLADPPSTSQKHVEELVRLPESFLCYTPSPEAGPICPAPAISNGFVTFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFCCDSIRQKFLSTLEELGLESLRVDLLPLIHLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLSKIGLGRLVAKTEDEYVSLALELASDVTALQELRMSLRELMMKSPVCDGERFTRGLEVAYRNMWLRYCDGDVPSLKRLELLQEQLVANKQDSGKTAEKLADLKAQKANATVEADKQPPTVEVDKQRLTMANGVISPEPSVSVKCKSNGHSN >Et_2A_017092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30906377:30908626:1 gene:Et_2A_017092 transcript:Et_2A_017092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRALEAHCLGRRIARCVVADDDKVVVAGVGRVSFERAMVGKTIVAARRRGKNLWLQLDAPPFPSFQFGMAGAIYIKGVPVTKSVVNSTEEWPSKYSKFFVELDDGLEFSFTDKRRFARVRLFDDPETVPPISELGPDALFDPMSVADFSDSLCKKKIGIKALLLDQSFLSGIGNWIADEVLYQSRIHPLQIASSLSRDSCEALHKSIRELMLTVTAFQRNGYFITDGAKSLVKSGYKKIVAE >Et_10A_001749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7733531:7735436:1 gene:Et_10A_001749 transcript:Et_10A_001749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSKVVRPEEVLESLKNDGTIDALRMKIIAQLKANEDMKKTTMMMVEQSKVLNTPGAEKKTKRELFDALRQELETPVLEKASKAVWDLILDNGGLGKEITGTVEKVFYRLSGVDMMPPPPPCQEKDDMAEGEKSNASDPSSSRKRPFSDMSRKGVGVVTNGAGPDQPELSEDGNYKL >Et_1A_009460.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5989874:5990926:-1 gene:Et_1A_009460 transcript:Et_1A_009460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGTARLRERRQLRLSVLPPATPPFLYKEHLFGGLPSTPPGSPGPVIDSLAELERVAVLGHGAGGTVYKARHRRTGAVLAVKALRGDDAALREAEMHLLVAAAAPDHPHVARLHGVFPSDRQLLCLVLEYVPDGSLGDALRLHGRRGLPERAIAGVARCVLRGLRHLHRLGVVHGDVKPSNLLVGRGGEVKLADFGASRLVSGTSSTAHRAAAAGTCAYMSPERLDPEGFGAAAGAGCDFASDVWALGVVLLECHVGRFPLVAAGERPDWAALMVAVCFGGAPEVPVAASPEFRSFVRRCLEKDWRRRATVEELLGHPFVAGTRPSWFANNEWLTNFDDEQTGERTGFT >Et_6A_046210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:61357:62961:-1 gene:Et_6A_046210 transcript:Et_6A_046210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWTESPLLRDVGAALLTAVAAAAVLRFWEVVANRELLDQKLCRKLVHITVGFAYFLMWPLFSSDDVYAPFLAPLIIELNIVKVTLIGLGIVKDEGVVNSMTRHGDRRELLKGPLYYACAATLTTIIFWRTSPMSIAVLCNLFAGDGVADIVGRRLGHAKLPHNNDKSYAGSIAMFLAGFIASALFMCYFHHFGFVEQSWRMVLAFGLVSFVAAIVESLPISTRLDDNLTVPLASALVGALVFHLIGVRNLCCMSSDGSNISAIVQMVFAGSSK >Et_4B_036971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1361857:1363837:-1 gene:Et_4B_036971 transcript:Et_4B_036971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPQPPATRAGEGAPEKHGAGAEARRAVKALLFLAAVALPCLVLYRAVAPGDFLVRPPWPLAAPGNDVDQDSDDARLERTLRAAAMANDTVILTTLNSAWSEPGSVVDVFLESFRIGENTRALLDHLVIVSLDKAAHARCKRIHRHCFALVTDGVDFSGQKNFMTDGYLKMMWRRIDFLRQVLEKGYSFVFTDTDIVWFRNPLPHFYPDGDFQIACDRFNGNPDDLGNNPNGGFTYVRSNSETIEFYKFWYAAREKHPGLHDQDVLNVIKRDPFVAGLGVRIKFLSTELFGGLCEPSRNMSRVCTMHANCCIGLSRKVSDLNVMLHDWRRFMALPRKDKQTVVWSVPQNCSLQKLER >Et_3A_023326.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:20818241:20818366:-1 gene:Et_3A_023326 transcript:Et_3A_023326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQSAERDRITATNTLCYGRPGRLVAACKKESAEGQTQRI >Et_3A_023593.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:938929:939171:1 gene:Et_3A_023593 transcript:Et_3A_023593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCWCSTWCCGSTSRTSGRWGACWCRTALVPSPPCGRTASCCESDHLDHMHKTCSTFCLKRNSLVFDLHCRIGLSITSG >Et_1B_011877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26877751:26879792:1 gene:Et_1B_011877 transcript:Et_1B_011877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNLHVQLKSNCIAIAVASALYKAHDLYTSSTSSSLQEWSAQVVKQPAGMANTKLLAVLALAQVLSLHVHAVSAAPKGGGTCRVSGFLQGKAGKCNKENFSDCCKAAHKYPQFRCSPPVSAKTPATLTLNSFAEGGDGGGKSFCDNRFHPDSEMVVALSSGWLRLDGTRRCNKMVRVTANGRSVLAKVVDECDSVNGCDDEHNFEPPCPPNVVDGSPAVWKGLGLDKNIGEFKVTCKAKTQSNRVKREG >Et_1A_007271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3292466:3300027:1 gene:Et_1A_007271 transcript:Et_1A_007271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRAKAVLLAVVVLLVSSAQLAMGARRRMELYQPNPADMLSYHNGAVLHGDIPVSILWYGKFTLPQKSIISDFLLSLTAAPQDASPSVAQWWNTIDQLYLSKAAQTKSKARKTQVLLANQVSDDRCSMGKSLTLAQVSALAARARPIKGGVALVLTAQDVTVEGFCESRCALHGSDAKARTTYIWVGNSATQCPGQCAWPFHQPIYGPQGPPLVAPNGDVGLDGIVMNLASMLAGVVTNPFGDAYYQGDKDAPLEAATACPGVFGNGAYPGYAGDLKVDAATGASYNANGENGRKYLLPALYNPSTTACSTLAVLLAVVVLLVSSAQLAMGARRLMELYQPNPADMLSYHNGAVLHGDIPVSIIWYGKFTLPQKSIISDFLLSLTAAPQGASPSVAQWWNTIDQLYLSKAVQTTKPNGGVKKTHVLLANQVSDDKCSMGKSLTLAQVSALAARAKPMKGGVALVLTAQDVTVEGFCQSRCALHGSDAKARTTYVWVGNSATQCPGQCAWPFHQPMYGPQGPPLVAPNGDVGLDGIVMNLASMLAGVVTNPFGDAYYQGSRDAPLEAATACPGVFGNGAYPGYAGDLKVDVATGASYNANGTNGRKYLLPALYNPSTTACSTLATVAVARLPINRELGRPSSHITTTHTQHKFDHQVAHSSQNSFSAFG >Et_6B_049323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3268588:3270950:1 gene:Et_6B_049323 transcript:Et_6B_049323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDEQKKKFSQVRILKLQKHCAPAPPPGEAKDSLLVSYDHTDRLHHTTDDEEPMPWVCYGSATSPMTMHTDPPHELVYERSTLRSTHGRLGKGVQLQLPKSFWSFECADGAGQAGTNKRLLMRAYIREHMPLFLTISINACKIRGNMLPGKVATLLGLLVFAILSPQRVSATYPTCTATQKREILAGHDVKSA >Et_10A_001215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22222337:22227920:1 gene:Et_10A_001215 transcript:Et_10A_001215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKADANTETGDAAGGGGSFSEQRLVEKLNKLNSSAASIQTLSQWCIFHRKKARRVVDTWEKQFNSANSNKKVSYIYLSNDILQNSKRKGGEYVNEFWRVLPKSLKYVYENGGEEGKKVVSRLIGIWDERKVFGTRIESLKNDILGDGLPTLDSNGKNSDPASDPSPNSKSARKDSSTIIKKLTVGGMPEKIVSAYQSVLDKHFDEDTALNKCKSTVDVLEKMNKDVGDACNNGIQQGSSLISDLQEQETILKQCIEQLESVDTARATLINQLREALSEQESKSELLRSQLQIARAEADQILQKRQRLCGAHVINGSGSNSSPIIIAPTVSVAGDEPKKTAAAMAAKLASLSAPEQVLSSIFSSLAAEHAASMNSGSPSGELSGGPPGFQLEKRPRIEKPIQAGDMGTPPFFDQVPQVQQQIGAVPTSVGGTQPLTAANQAPGSFPPTPPPLPSLLPPLMQQFAQNTGGMIGMGPFGMMAGSMPPPPPLSNILPAGFPRPSGPPPPPPLPPVQSQPLQQSPQAPQQSPTSAGFFQSPGIGFFPPVQVQQSPSVQRQ >Et_2A_016949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29807393:29807683:-1 gene:Et_2A_016949 transcript:Et_2A_016949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NKEDGDWWLHFSWIPAKKSIYPLEFITWVFSWSRDGNAHGLERTQQVFKMYGLLILMAKNMRRLSGLFVLMQSTRNVIKPTYF >Et_2A_017651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4668172:4675298:-1 gene:Et_2A_017651 transcript:Et_2A_017651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAVASRALVALLLVAVAVADDVHFRVAVINANPAGLHSGSTLLEIKKSFRNVGNVLYDWAGEDYCSWRGVLCDNVTFAVAALNLSGLNLQGEISPAVGSLKSLVSIDLKSNGLSGQIPDEIGDCSSLRILDLSFNNLDGDIPFSISKLKHLENLILKNNKLIGAIPSTLSQLPNLKILDLAQNSLTGEIPRLIYWNEVLQYLGLRGNLLEGSLSPDMCQLTGLWYFDVKNNSLTGPIPETIGNCTSFQVLDLSYNHFTGPIPFNIGFLQVATLSLQANNFTGPIPSVIGLMQALAVLDLSYNQLSGPIPSILGNLTYTEKLYMQGNRLTGQIPPELGNMSTLHYLELNDNQLSGPIPPELGKLTGLFDLNLANNNLEGPIPDNLSSCVNLNSFNAYGNKLNGTIPRSLRKLESMTYLNLSSNHLSGPIPIELSRINNLDTFFLGNPGLCGYWLGSSCRYSSHQEKPPISKAAILGIAVGGLVILLMILVAVCRPHSQPVFKDDSISKPVSNAPPKLVILHMNMALHVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYSHYPQSLKEFETELETVGSIKHRNLVSLQGYSLSPVGNLLFYDYMENGSLWDVLHEGPSKKKKLDWETRLRIALGAAQGLAYLHHDCSPRIIHRDVKSKNILLDKDYEAHLTDFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHMIISKTASNTVMETVDPDIGDTCKDLGEVKKVFQLALLCTKRQPSDRPTMHEVVRVLDCLVNPDPPAKPAQPTALPQPSAVPSYMNEYVSLRGTSALSCANSSSTSDAELFLKFGEAISQNTTE >Et_10A_002320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8862285:8866338:1 gene:Et_10A_002320 transcript:Et_10A_002320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSRKRSGGRKRRRRRERPNPMDKLIDDLLVEILSRVPYKCLIRCSCVSRRWCDLITDPDHRRKLPQTLAGFFYYSPTRCFVNVSGTGAPSVDTSLAFLPDRERQRLHLLDSCNGLLLCHCFRFADPNVFDYLVIIPATEKWVAVPVPRRWSNKVQTARLGFEPAVSPHFHVFEFQLDWVGDGSEDTHDDDDKDGHVLGVEIYSSENRVWIHKQCGWSFEIILADDLKSIFVNGILYVVAAEFVIGAVDVEGKTWWIIEFPCTEDCSFLDTAPGYIDHSQGKLHFATEDDVTGDKLAIWVLEDGNSEEWTLKHTVSFMHLVGRQFVEFGYYEFIVVAVHPDRDMVDGGSSKLPPEFHYINGGPKNGPAECLQHRKKWNTTHLRSPHRNVVVGNAIEKLHSCKPAANEGETAGGLSTALGPVIV >Et_3B_028094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10105722:10109258:1 gene:Et_3B_028094 transcript:Et_3B_028094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVSGFIKTILPRILSLVEEKYKLQNKLKSDILFLERELRMIAITIDEQILQGGKNHGAVLSLSMEELRELAHQMEDCVDRFLYHVTRGRQAASWLPRIIRSLKTMLSCQRLAAEVQLLKKVPEEVHQREERYKDFAASYSSCRHVELSSFLSGSYPHTLKADLVGIDSPRDELMEQLAEEAEGLPKLPKVISIVGVHGSGKTVLAREVYQSDVGIQFSLRAWVSAADRGPREVLMGILQELGRQDLGNSSIYQLIVVLSERLKGKRYLIVIDDMQKDLWGTIEAAFQEQNNVSSRVMVTTTIQSVANACSSSNGYVHKMKRLGDKHSEQLLAKKACQKIYLGYMRQELKEVLKKCDGNPLALVSVGQLLRKKGWPTDPNCEHVCRHIHHHLEKDETLEPMRRVLLQTFYSIEAHSPKVCLLYFGMFPRGQPIRSKSLMRKWLAEGFLEQQSSCRENFNTLIDRNIIEPIGVSNNEQIKTCRTYGMMHEFFLQMSTSQDIITLFSENMARHKYVRRLSLHNTGTTGDSLDIDLSLVRSLLVIGEAGKAILNFQKYQLLKVLDLEECTDLSDDHLKDICNLLLLRYLSLGVTVNSLPKDIGKLKLLETLDLRKTKVKMLPLEVLLLPCLVYLFGKKLRLSHSVKNMKKSFLEAKSNLETLSGFTTDGGQGFSQIMSHMMNLRKVKIWFEKSEGSTNSSDLKDAIQNFIHDDKEECHDPRSLSLNFYDFSENILNLLKSPCYLRSLKLKGKFLDLPQFVMSMRGLQELCISSTKLTARLLADLSNLTGLQHLKLIADELEDFIIKDLAFPVLLHLCIVLRSSNTLPKIEEGAMPYLTSLKLTCKDLVGLADIKINYLRCLKEVTLDPTVTPQTIHTWKKAAMEHPNRPKILLLNPVDESESEPADGSVPSTFTESETGEQSITSKVTVQENDSRMLVRKGSSDVQNKIKNLAVQSSSNGEQNSAFADMGYSEVSVDSTELSIPHNK >Et_2A_015870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18642131:18645032:1 gene:Et_2A_015870 transcript:Et_2A_015870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPREEAPIKENEIRITTQGRMRNYITYATALLQDKGSDEVVFKAMGRAINKTVMIAELIKRRIVGLHQNTTTGSTDITDTWEPLEEGLLPLETTRHVSMITITLSKKELDTSSVGYQSPLPAEEVKPLMPTPLLAEGGAVEGEVVGEAGEGEEVDVEMGTMTMLMVVGRMTMLLHIWAMVIPVEEDVVSGAVAGEVAMVASLTTNRMEATNKMEAIMMRLRFLLQPEVVVEVVAVGEAQPEAEGVVATQTSSSWWSSLQLFSMLTMSEVRWIRDMESFGGSDVLHG >Et_4A_035957.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9444953:9445246:1 gene:Et_4A_035957 transcript:Et_4A_035957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFNFMMERLEFLDADKCECKSTLIEGGGIGVAIETATSHIKVEPAANGGSVVKVDSTYKLLPGVVVNDEIAKAKESVTAIFKAAEAYLVANPDAYN >Et_4B_037946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2585984:2587262:-1 gene:Et_4B_037946 transcript:Et_4B_037946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSILPLHLPSCARRSAVRASAAATTVAAPTAQSLEESFGRKGLKFGADTAGNATAELSIRNGSSLQLRLGDGLVTSYRPKVYWKDDGCREVLHTVGDADKVKGGVGLVLNEVSSSGGAAESLLAGTEWTVRDADSDSFDAVQVELGCTKGKLDISYVVTLYPLSMATALIVRNNGAKPVELTSAVLSHIKFDKRGGTAVEGLRGCPYSSLPPPAAGFALLTPQEAMKREDPGMFSFGGDEEPRQGVWVVEENQYTILKKKVSRVYAAPPEERKKRIYSTAPSKFTTIDQYSGLGFRVVRMGYEDLYLCSPGGMYEKFGKDYFLCTGAASMLVPVVVNPGEEWRGAQVIEHDNL >Et_2A_018741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6427373:6431672:-1 gene:Et_2A_018741 transcript:Et_2A_018741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPERDLHMIAGDGETSYAKNSRSQKKAMLMALPVLEKAVTQVYNTVLPKTMVVADLGCSSGPNTLLFVSKVINAISAQRHKLGRHDPLELQFFLNDLPGNDFNQIFGSLEQLKKLTTRNHDGETPPYYISGLPGSFYTRIFPSQTVHLFHSSCCMHWLSQVPEGLQGEGGAYVNRDNIYITKDASPLVVKLFQEQFYRDFSLFLKLRYEELVSGGQMGLVEKEKLDSFDIPIYQPSVNEVKAIVKQSELFDLDHAQLWQTNWDPFDDSEGEAVIDSVQSGVNVAKYTRAAFEPLIASHFGEAILDALFTEYARRIGKHLEREKAKHFVTSGAANGHPYPVVKP >Et_6B_048681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12557652:12558609:-1 gene:Et_6B_048681 transcript:Et_6B_048681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVAVPAMIAVLLALSTLSTAAAQGCSCAPNECCSIGDAYCGTGCQDGPCTAPDTTTSSSVSVASIVTPSFFDALTAQAADGCEAKGFYTRDAFLAAAGYYPAFGRTGSGDDSKREVAAFFAHANHETTKFCYMNEADGPTKNYCDVAANERWPCQEGKGYYGRGPLQISWNSNYGMAGQSIGFDGLGDPDAVARSAVVAFRAALWHWMDAAHEGVVSGQRFGSTIRAINGALECDGKNPGAVNNRVGYYRQFCQQFGVVPGTTLTC >Et_2B_019607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1107843:1111580:1 gene:Et_2B_019607 transcript:Et_2B_019607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVASCVWQEKAPAAAGSGMGSGGKGGEWAARRVSVAALLATAGGAVNFAVSFVVFSLLDVLDMVLCVVYKLVDYAVEAEWKACYCTAAARDGGGGAEATKGMILASSAAAAPGPKVVRLSPSSAKMQLEDVSDTLYVRPSLLSDATKKAGPAAPSLTVSPAIAEMIRGKMDQQRAAPRPEKHRQQAPPCWSDCDCKVCHAWSAAPSSASHLYVHVQAPSAPADVEDVVFIHGFISSSVFWTETIFPAFSAAARGKYRMFAVDLLGFGRSPKPADSLYTMREHVEMIERSVLQRYRLGSFHVVAHSLGSVLALALAVKYPQAVKSLTLLAPPYFPVPKEEAGAATQYVMRRVAPRRVWPPIAFGASMACWYEHVSRTICLTICRHHRVWDRLFRIFTRNRMRTYLIEAFMCHTHNAAWHTLHNIICGSAARMDAYLDVVADQLACKVAIFHGRDDELLPVECSLAVGKRVPRARVTVYDRKDHITIIVGQEELFAAELEAIWRSAAN >Et_3A_026978.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28673583:28674746:-1 gene:Et_3A_026978 transcript:Et_3A_026978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHKFRLSDMIPNAWFFKLRDMRARGGSPRVAAAAAAAQAASRAGRAPSCTPSRHGGACLPHRASHYYTPRAGDHLVLGSPLRIHNPKASDTPFPPLPLSPPRRSGKRRHRRRSVVKLAAPPSVSSSSGFTSSPASTGRCRCGRKPEMVVAVEAPDTPPPCRRDRFVGYSDDDEGDLKKPTFAVRADDRLDGKVITSATEIIIDLRATNRPHKPLPPIVTKPAARREPDDYDLQDKHVDVVTNAARRTATPAPPVPEQSKLKPRRSVSSSSARRLKTRANTPRVSVSSSNKKCKPAARSPARAKPSSPSAPAPPLAESFAVVKTSQDPRRDFRESMEEMIAENGIRTAADLEDLLACYLALNAAEYHDLIVDVFERVWATLTDIKM >Et_1A_004696.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31759328:31760501:1 gene:Et_1A_004696 transcript:Et_1A_004696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRRSGVLVPLSIALAVLLFLAGAATAKKTGQLTVFWGRNKNEGTLREACDTGLYTTVVISFYSVFGHGRYWGDLSGHPLNGVGDDIKHCQSRNILVLLSIGGNSGSGGDYSLPSSQSAADVADNLWNAHLGGRRAGVFRPFGDAVVDGIDFFVDAGAPDHYDELAWRLHGKAVRLTATPRCGFPDWRVEKALATGLFERLHVRFYDDAGCSYNHAGLNGVMEQWGKWTARYPASKVYLGLAAANVPGKDDMVFPKQLYYDLLPNVQKAANYGGVMLWDRFYDKQTGYGKTVKYWA >Et_3A_025415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29787675:29788275:1 gene:Et_3A_025415 transcript:Et_3A_025415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLLKIIMEKLKVHSPKKRKRSNYTRSQFRVQPEKPKPRQWCPAEMMYRGPPLPNVVGLAAPEAMRRIRERCPELYCEIIRPNQLQTMCYRSRRVRLMVDRYDKVKTAPRIG >Et_1A_008562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8819413:8824135:-1 gene:Et_1A_008562 transcript:Et_1A_008562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSLGKLASRAVSVAGRWQHQQVRRLNIHEYQGADLMSKYGINVPKGTAAGSVQEVQNALKNVFPSEKEIVVKSQILAGGRGLGTFKSGLKGGVHIVKAEEAEGIASKMLGQILVTKQTGPEGKIVSKVYLCEKLSLTNEMYFAITLDRKTAGPLIIACSKGGTSIEDLAEKYPDMIIKVPVDVFKGITDEDAAKVVDGLALKGADRESAMEQIKKLYELFCKSDCTLLEINPLAETADNKLVAADAKLNFDDNAAFRQKEIFALRDTTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGSASEGQILTSDDRVKAILVNIFGGIMKCDVIASGIVNAAKQVDLKVPVVVRLEGTNVDQGKRILKESGMALITAEDLDDAAEKAVKAYAK >Et_2A_014753.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:11987758:11987940:1 gene:Et_2A_014753 transcript:Et_2A_014753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFVARHLLTKIEKVNMKEEKETIVTWSRESSILPAMVGHTIAIHNGDADYLHLDRKTL >Et_7B_054210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17158468:17177401:-1 gene:Et_7B_054210 transcript:Et_7B_054210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHVVKTEAAEERTPPRPLPAAGRRGEGSGGGASASAVIDLSSSDSDSDGEAGAGGSGKRQLGSGGGGSAGKRARVSAAVAAAAEDVPPGFLEPIPPRPPAKCATKQFWKAGDYDGKPLGDGVPQPSVSGMDHVRVHPKFLHSNATSHKWALGALAELLDNSLDEVVNGATYVNIDMLEDAKDKSRMLLVEDDGGGMDPDKMRQCMSLGYSVKSKIASTIGQYGNGFKTSTMRLGADVLVFSRSRGKEGKRATQSIGMLSYTFLRSTAKEDIIVPMIDFEYKQGWQRMVRTSIDDWNTSLRTICTWSPYSSEAELLEQFSSMKEQGTRIIIYNLWEDDQGELELDFDDDIHDIQLRGGNRDEKSIQMAKQFPNSRHFLTYRHSLRSYASILYLRLPNYFQMVLRGKEIDHHNIVTDMMLKKEVTYRPVAPNGVPKDSNMVADVTIGFVKDAKHHIDVQGFNVYHKNRLIKPFWRVWTAAGSGGRGVIGVLEANFIEPAHDKQDFERTTLLARLEARLVQMQKDYWSANSHRIGYVGTRTGTVFEADDRETSPDVTASAQPLSYHSGKGYAQSKGYLTSKKSGKASTSFGMQQRAEKSARAKRSTKSVLHGVSDGDDSDSDYMGSRSHTVNTNRKHFKSGSIHLTTPQSNGLKDTDMSRIKSQLLDPNATSNGDLRTINEYESVIKQLRDENLSLKERFSKVEESMSQELAMERDKNKSLTERVEDLQRQLESSNKEQEALIDVFSDERNRRDQEEDNLRKKLKDASSTIQDLMEQLNAARKGRRI >Et_8A_056532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11768570:11771677:1 gene:Et_8A_056532 transcript:Et_8A_056532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSCSALLSCAHARLPSPFPRPPPGTLLARAAAAVTTATASAPLFVRRPGGAAWHRRGCSQICHDSSLQGPPSGADSSAREQEEDKKKSEAVAAAAAARIATSGGGGSLSDWTTSVLLFGVWAGILYYLFQLSPNQTPYRDTYFLKKLLNVQGDDGFRMNDVLVSLWYIMGLWPLVYSMLLLPTARSSKSKIPVWPFLVLSCIGGAYALIPYFVLWKPPPPPIDEDELGQWPLKFLESKLTAGVVLALGLGLIIYAGKASGDDWKEFIRYFRESRFIHATCLDFTLLSAFSPFWVYNDMTARRIKGSWLLPVSLIPFVGPSLYLLLRPSLSSLLEASTSSSNE >Et_2A_018370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24723291:24726728:-1 gene:Et_2A_018370 transcript:Et_2A_018370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSASSKPPPHLLLHVDTTTTTSNGSSAAASPGGSSTPSSSRSPRPSGSGGGNSGGGGQNQACAACKYQRRKCNPDCPLARYFPADQQRRFLNAHKLFGVSNIQKTLRRIDPEYGPEAMRALIYQSEARAADPVYGCVRIIKELERQLHMIHAEIGVAHHQIAIYRQAAAAAGGAGGDPAAMADPAAAMLVAPDAAAPGHDENNNNHVVMAMDALYGGGAGDQQAGFAFVHHHDHQQQQDYHHHHVQLKADDDDEAAVGNAAAQHHPLYDYFCYDAAAAGDEARSHEEASAGGMQEYCYSSDASVVKTNGSSPMTTLGEQMEQHCQIEAAPFVDAFDVKPHDLPVAMEHHGAVDQQEQKVPAVVKYDDDDADHKMADPAAQCHLELGFSASAHEDNEHTNKRMACSSSEVAAAGIYAGRCLATTIRHGKILVHAHGLKD >Et_8A_056871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18139190:18142217:-1 gene:Et_8A_056871 transcript:Et_8A_056871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKRQRSRLRSCSLIDFLALSPPPPPPTSIVSSAVLFTVLGTQAAGRGRSMSAESKKTASRCTMETETGGHTFEIIGYSLKKGMGVGRSIRSATFAVGGYDWAIRFYPDGTTEASKDYATAFLELASKNAVVRARYNIRFVGQPSVQMVPMGGPPPPLHQMQMMRNQMVGTIGRVPRLFKSSDNTRFGSQSTAHMLRSQLEQRVMMFGISYIRDDRLSIECDVTVIRDSRLSGNDVESEIEVPPYDIMEHFGKLLIQKEGADVTFSVAGDTFEAHKIVLAARSPVFKAQFYGLLREMCPAAFVELFEKSSTKLRKT >Et_3B_028680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1863421:1865736:1 gene:Et_3B_028680 transcript:Et_3B_028680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGGGGGGAFAPGGGGGRMPTWRERENNKRRERRRRAIAAKIFAGLRAHGGYKLPKHCDNNEVLKALCNEAGWVVEPDGTTYRKGNKPPERMDAMGCSVSPSPCSSYQPSPRASYNASPTSSSFPSVTPPLSSPTARTPRMKTDWDESAVQPPWHGAQSPSIINSTPPSPGRSTVPDPAWLAGIQIASTSPSSPTFSLVSTNPFSVFKEASIPVGNSSRMCTPGQSGTCSPAIPGMPRHPDVHMMDVSDEFAFGSSTNGGQEAAGLVRAWEGERIHEDSGSDDLDVTLKL >Et_10B_004334.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4185088:4185618:1 gene:Et_10B_004334 transcript:Et_10B_004334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPGRLMELIADARNNPAKLPAAFMSLGVILSAAALSLIIFKAPSGVFLRLNGSAPGFVYYGILVIVAIFGLAEASFGFWVVPRNLNGWRATGKTMLWISILLLVLVAVLGGSPSLRSRQRAASFRSPPRPHFLTARCPRNGPPSSFSRLRRLLPPPGFGDALTASAAVRDHHSR >Et_7B_053370.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:13889379:13889618:1 gene:Et_7B_053370 transcript:Et_7B_053370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVERSRPAATRCREVRPCGWPVARRASGTRRRSLSAVKRRMVRKRMAERDPAGTVNPDRPPTRRSMCVACSTVKVVI >Et_2B_020639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22259550:22266025:1 gene:Et_2B_020639 transcript:Et_2B_020639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTALSVGKSVLNGALGYAKSAFAEEVALQLGIQRDHAFIADELEMMRSFMMAAHSERDDNKVIKTWVKQVRDTAYDVEDFLQDFAVRLDKTSRWNILCTLLERRRVAKQMKKLRAKVEDVSQRSFRYRLINGSGSKAAVAEQSSSIAAAMFGIDNARRAAKQDNQRVHLVQLISEEDEDPKVIAVWGTSGNVGQASIIREAYEHPDVRSKFPVRAWVRVMDPFSLKGFIQSLVNQFHARSAKGIEDLLKAEKIEQDLAQIFNGYINDDRCLIVLNNLSTIEEWDQIVTCFRNIKQGSRIIVSTTQVEVASLCAGPEGQASELNQLSADQTIYAFYDKGSKNGKDSVKPMSSSHATTSTTNDHTMAEIIEDQSEDAGEKNVKKSFKRIKTNAGALQESQLIGREKEIAEINELISSKDSQQVQVISAYGMGGLGKTTLVDVVYQIQKISDRFEKCVFVTIMRPFDLSELLRSLVVRLHEASSKKDELMDRVGMKKTWAMMGVEELTKEFARLIRSKSCLIVLDDLSSITEWDLIRPIVLAMEKTSQIIVTTRHEDIAKHCSGEHGKINNLKVLEHKEAMFLFNEKVFGKAKDLAKGNPELVKEAEQILKKCGGLPLAIVTIGGFLANQPKTPVEWRKLNENISAELEMNPELGMIKAVLEKSYDGLPYHLKSCFLYLSIFPEDYTIYHRRLVRRWAAEGYSAEMRGKSSNEIADGYFTELKNRTMILPSQKSVDTRKPVDSCKVHDLIREIAISKSMEENLVFRLEKGCSMNTHGTIRHLAVSSNWMGDQSEFESIVDLSRIRSLSVFGKWRPFYISDKMRFLRVLDLEGVKDLVAHHLEHIGKLLHLKYLSLRNCDEIFGLPYSLGNSRQLETLDIRDTAIMTLPKTIIKLQKLQYIHAGRKSTYVKEKRTSLRARCSEFLGWCLGLCALCCAPNILDIVAINRRDACTFACGIALPVLMAGIDNDVMVPRGMRKLEDLHTLRVVNVGRGTAVLQDIERLTGLSKLGVTGINNKNGQAFCSALSSLSRLESLSVWSGSQVCVCLDGLSLPPMNLQSLKLYGNLETLPEWIKKLQHLVKLKLVATRLLEHDVAMELLGSLPKLDILGLVGRAFQSEELHFQSQQTEIAFGSLRVLMLSDKRHIKSVEFEKGAMPKLEQLLLRCMESELGFSGLEFLPSLNKVQLTVSFDLDWDRIFEGSDSRTRSKIHEEDLQESRRKESEYKKKLRDQLAGISRQPILTVV >Et_2B_022768.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:4779279:4780472:-1 gene:Et_2B_022768 transcript:Et_2B_022768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSRSSSDALPSQRAPSSRRSSSSAKRNPTAAGAGVSSSPFTSSSRGPSGAGAATASAQRRATSSSSASSASSSLASLAAARASLPDPPVLYSFRELAAATNNFLAKRVGGSASAYWRCSLRGRDAALFQLPLRAGAAAPDAAALARIGRYHHTGLARLLGACPAGAHVYLAYELPPGAATLAACLRGARNPGFTALRTWLSRVQVAADVAQGLEYIHHHADAVHGRVSPSTVLVSDPGLRARLTHFGAAEFAAAADAREAGDTPYAPPGSSEPSREADVYAFGVLLLELLSGEEPEKYRFDRGTKEFQRVSVLETAAAAVAGGTVRSWVDRRLGDSFPVATAEKLVGVGLRCAAAEDRPDMTWAAGKVSKVYLQSRAWEQKLRVPTEFSVSVAPR >Et_4B_039245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8988284:8991851:-1 gene:Et_4B_039245 transcript:Et_4B_039245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDVPPNQTIYLRNLNEKLKKEELKRSLYALCSQYGRILDLVALKTPKLRGQAWVVFSEITAATNAFRGLQEFDFYGKKMQVQYAKSKSDCIAKEDGTYAPKEKRKKQEEKAAEKKRRAEEAQQTGPNAAAAQSNGFGNQASRQGKVPQKEAAPPNNILFIENLPKETTDKMLQLLFQQYPGFREVRMIEAKPGIAFVEFEDDSQSNVAMMALQGFKIAPEYPMVITYAKK >Et_8B_059156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14491955:14495330:-1 gene:Et_8B_059156 transcript:Et_8B_059156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPAAATALAVALAALCLAAPAAGFYLPGVAPNDFEKKDALQVKVNKLTSIKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYTFEMREPQMCQIVCKISVGEKEAKLLKEKIEDEYRVNMILDNLPLVVPIQRVDQEGAYFYQHGFHVGAKGKYSGSKDEKYFIHNHLSFTVKYHRDEQRDVSRIVAFEVKPYSVKHEYEGQWNDKKTRLTTCDPHAQRVITSSDSPQEVEVGKDIIFTYDVEFKESDIKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPANSDWLCVYVGTGVQFFGMLLVTMVFAVLGFLSPSNRGGLMTAMLLLWVFMGLLAGYSSSRLYKLFKGSEWKNIALRTAFTFPGSVFAIFFFLNALIWGQKSSGAVPFTTMFALVLLWFGISVPLVFVGSFLGFKKPAIEDPVKTNKIPRQIPEQACEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKFVSAVLYFGYMLIASYAFFALTGTIGFYACFLFTRLIYSSVKIE >Et_1A_006375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21499753:21502017:-1 gene:Et_1A_006375 transcript:Et_1A_006375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDFIADGTQDDVEEEIPGAMNSGSYFSNLMSSVPFIPPVQHDAIQPDDDIGAQNMHQGAAKGRSKSYSVDEDTLLVSAWLNVSLDPSQGVDQSRSTYWKRIHDYFHANKTFDSDRTQGSLMNRWSGIQHDVNAFVGCLSRIESRNQSGCNVDDKIASACTMFKAEDKLHWNFPYMHCWKILKDKPKWIDRRKQSATQKPASKKQKTSPNANPSSAPAVLAAGHVGETQASDGAQERPLGNKKEKAKLCQRLSMEAVDYFVAKKKETDAEKDLNKEERCQKAFALQEERIKIEKEHFEFKRQLEEDRIMNIDLGTLSYKQQQYYEARKLLYKNRQTSSA >Et_2A_018136.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1328723:1328980:-1 gene:Et_2A_018136 transcript:Et_2A_018136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVLAFSILSASPADIAGIGGRWPRLSWRRSADDQAAEPAQQRGKEEQSARSDGNRARAHRDANFPRFAPEFDGIDCFETIVSH >Et_3B_030205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31374426:31375388:1 gene:Et_3B_030205 transcript:Et_3B_030205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSANATDGNKALYPVELSGVVGSCAPDRLLTSLPAGASGVAGFSRWPLSLPSQLSSQRKLGNAFAPCLPHFATFGNTSTQLRPEQYFPALTSVIPYTPMERRLLPSRQEHFRALGRSRRQGFPARRCPLDLDVDTGLLSTVTPYMTMRSDVYRAFIQAYDAAVRGNSYVVERMPPFELCCGSGLRPAKRFGLDVPSISLELPGSARAWTVPGANYVVRKGSWAMCVGVVEMAPGVEDQPAVVVGTMQLEERLLVFDLDNGTMGFSDLLWYLETSCRAFNSQ >Et_3A_027324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7642329:7645983:-1 gene:Et_3A_027324 transcript:Et_3A_027324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSTSNSAVSPVAASGTTTPGAGAPCAACKFLRRKCLPGCVFAPYFPPEEPQKFANVHKVFGASNVTKLLNELLPHQREDAVSSLAYEAEARVKDPVYGCVGAISVLQRQVHRLQKELDAAHAELLRYACGDVGIPTALPVTAAPRLSAAMPSPGQLAAAATAGMYSGRRLGVIDGIAPPPLPPPPAGCYFMRNNVISSHGADVAPVLPYASMANWAVNAISATTTATSGSESIGMDHKEGGDSSITSLRTEAEMAHEWCAKIT >Et_1B_011084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17369920:17377387:-1 gene:Et_1B_011084 transcript:Et_1B_011084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAPVPPTMGRSMTVPSSTRPNTCSELPLYGPSVKMRLSIARSEPSERDAAAASPCARPKSRDDGSAPSRSMSSTTPIMPKNELLLMPPRAGDKMAVVPFGPLVKISWKCVVGGPSPLPSAAAAEERKRREKSTSRRALEQ >Et_10B_002839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12211735:12218078:-1 gene:Et_10B_002839 transcript:Et_10B_002839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAAFHPTLPHAHPHRHPARPNPTTGLLRLLPPRRRPRPRAAARLPAVSATAAPTSPAPPPSADRSPDSAASSLERCLSAAAGAAPASAPPRAPPLMKGGRKQFGAVTLEKAKLDLSQRRKKIMPELATGGGGGDIGKRIGHGGGDGGDDDGDDDDYFDDFDDGEDEEGGLFRRRIVVQELFNREFLEAVLQEWCKTMSNLPAGLRQAYEMGLVSSAQLVRYLSIFARPTNTRSFSRALPGWLSRGLVGRTLADPAFPHKMAFEFMATFFSSVWWEMNIRKERFQQEWDLVVVNALTASCCNLMVLGLLAPCRSYGSTSRFDFQNTIEKLPNNIFEKSYPLREFDLPKRISAFFYKAAELSLVGVVAGSVQGGVSKVLSSRKERRLSVSIPSVSTNALGYGAFLGLYANLRYQLLCGLDHYMVKRFDVLGVAIFFSTAARLMNIQIGEASRRTWLGEEADPQYSDRLLRAYKRPEVYADQQDSRWFISKDAMVSGLGLLGIKQGGSEAAMSKPRRKRVVRKKVASG >Et_3B_030397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3519370:3523112:1 gene:Et_3B_030397 transcript:Et_3B_030397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREPSPEIDDELFNEVYGKAYSGPVAPATNSVMPEANDEKKPQTSDKSDEEEEPPDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGDAGHFTQGCPSTLGANRRNADFFERVPARDKQVRDLFTERTISQIEKDVGCKIRMDEKFLFVSGKDRLILAKGVDAVHKVIQEGKGKLSPSSPKRDRSRSPSRNTNEFHTRPSDSQRPRSPRNSYSRQSRSPRNSYSRRSRSPRNSYSQWSRSPRNSYSQRSRSPRNASHSQSKGYYDERNLDGRLHDNMSKFSKGSPQASANFGAKGRTAQSKSPRHPSYLDDSLRTHGVNNQYAVAHMPSNWCIERHGAESRSGPELDMPSHKQTLEELEIEFKREATELVRARVQEEDEENRRHQETLRMMRENHMERVTTMRNMHARKWEEFLQQTFKRQQAQTSYTQIGYPDFEQRTTHISSTRQPVDTSAYPYASDSYSAPGANAAYGEFQHERLNDFGPNYGRY >Et_7A_050292.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:20328202:20329242:1 gene:Et_7A_050292 transcript:Et_7A_050292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGAVPPGGSHPGHRPAPHDPPGLLRPPRRLPRLPRRASPSRAVLAAQPPHLLVPHHSPSTSRPPLALVHLQRRRLLRFRGLSPGPEAVLASDGARVATFDPVTRELAVTHLLSGERVCIPDAPTLFSHAVLSGDLVFLIAPGWVHYCRLSDGRWQQAHCRLETSGLLPGPQLDLVCLMFDMRFVNGVLYALLNTCQLAVAELMDNKVQLVPLGGEVDQSVRNVWMESSAFNLGECAGDPLLIFKLMCKLSAYKIFRWSHGEGRWLQAMSLGGCALFMSSNGFDAWLGLDSPGVQGDCIYEAMPDAAGWYVYSLVDDNSEFVNIEYQGAPEGDVERMQVWVLPSLF >Et_4A_034000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2906343:2909313:-1 gene:Et_4A_034000 transcript:Et_4A_034000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGSNTNKKSKLSWSKSLVRKWFNIRSKAHDFHADDVAAIGRRGGGEDEWRGSSFTRREPSTVKKSKTERSSRRSQDHSRRGKIDLDAAEATVTLDYRIFVATWNVGGRSPPNNMSLEDWLHASPPADIYVLGFQEIVPLNAGNVLGTEDNGPARRWVSLVRRTLNNLPGTSASGSFRTPSPVPDPMVEMDDDFEGLSSRQNNVPFFHRRSFQAGLSRSLRMEGDILAPQPRLERRYSVCDRAIYGRRPSDYENNYRWGGSSDDENNTGESPSTVYSPMSYGYGNVSSLEDSHRRAGHARYCLVASKQMVGLFLMIWARKDIRDDIRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKEGDELRRNSDVLEILKKTRFPMVYGQYERSPETILEHDRIIWLGDLNYRIALSYRLVKALVEMRNWKALLEKDQLRIEQRGGRVFVGWNEGNIYFPPTYKYSNNSDKYAGDDMNQKEKRRTPAWCDRILWYGRGLGQLSYVRGESRFSDHRPVYSVFSAEVESINHGRIQKMSSSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >Et_7B_055628.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:18286965:18287652:1 gene:Et_7B_055628 transcript:Et_7B_055628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDLRSTWSHRAWTLAVSAGILASLTTSVFLAASSGSFTGLAGAACAAYSFADLATGLYHWAIDNYGDAGTPLFGAQIQAFQGHHRRPHGIVRRELCNNLHAAAQAAAVALPAADAALYAAGVPAAAHVFATC >Et_1A_008667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9689035:9691908:-1 gene:Et_1A_008667 transcript:Et_1A_008667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIKIGINGFGRIGRLVARVALQSDDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHHDVKVKDSKTLLFGEKEVTVFGCRNPEEIPWGEAGAEYVVESTGVFTDKDKAAAHLKVINDKFGIVEGLMTTVHAITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKSATYDEIKAAIKAESEGNLKGILGYVEEDLVSTDFQGDNRSSIFDAKAGIALNGNFVKLVSWYDNEWGYSSRVVDLIRHMHSTN >Et_3B_028825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19548230:19551145:-1 gene:Et_3B_028825 transcript:Et_3B_028825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPALASILSRIMMIVAVVSMVGVLPQCRGEFTVVVPDTSTAAALVDAPQTGFSDRARTDPGEQRAVQEVMAATGNGWAWAIPDVCRGRWHGIECAPDRDDVYHVVSLSFGALSDDTAFPACDAARATLSRAVLALPHLRALFFYRCFTANPQPVPAFLGRLGPAFRSLVLRQNGHVGPIPAELGNLSALRVLDLHGNRLASAIPATLQSLSHLQMLDLSYNRLSGPVPHFRFQRLSILDLSHNALQGRVPASLGQCRSLLKIDLSQNRLAGTIPDTLVNLPDLMLLDLSHNALSGPIPAAITRMSSLRSLILSDNRMQFSTLPGDFFSGLRALTTLVLSGMGLAGSIPESIGDLSELRVLRLDNNQFTGVIPASLRRLERASELRIDNNRLVGPIPFGKEMMWRLGKKLRVAGNEALCYDTKQEGLESVVALAGVADCGSVRSRTTQHLVWGNSTLEGHGGAVATMSAPSASGGPNSGGGACVGCWSVFAFLHLACIARNTYFIKVLTVIWTF >Et_5A_042573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20736425:20746175:-1 gene:Et_5A_042573 transcript:Et_5A_042573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNGVEKKSKLPSDNARWMIVQEKLVGEGEGGEQVNSQYNNNGWRVVLYWSSRPENVVIHLLIRSQVSSTQAYSNK >Et_4B_037365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1942549:1944696:-1 gene:Et_4B_037365 transcript:Et_4B_037365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSQTILGYSVEPNRLHEPHRSRAQVHFLPYGTRLSRRPPPPPYAPSTASFRSRLSPRLSSTAFAAVVVDRVLDCRGVEIHLNFDSFLLLPPKMSMTKNRISMAMATLKDAAARKPILATIRLIVPAGAARPAPPVGPALGFYRLNLMAFCKDFNARTQKYKAETPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIETGSGRPGHTVVSSLTLRHVYEIAKLKQADPFCKHMSLEALCKSIIGTANSMGIEIVKDL >Et_9B_063743.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:10884835:10885023:1 gene:Et_9B_063743 transcript:Et_9B_063743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASCTSVARSSGSIETRLFSSPKKRPFLDYLQRAKKKHSCGAADYPVLILTPPKNGVIHFM >Et_2B_021267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28012550:28016272:-1 gene:Et_2B_021267 transcript:Et_2B_021267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSLVVWRAVFAALGALMVGTLVYTCATDGSPFRPELLTPWMVATLIDFYVNVIAISAWVIYKEANWISSAIWVILLICFGSAATCAYIVKKLFEVTPTGPSQDPLDLLLLRQGNLSQRKCSCVIAGRIIFSILGIFMAAVVTYTVITDGLPFRKELLTPWMAATLIDFYINIFAISVWVAYKESSWISTAIWICLLICFGSMTTCGYIVIQLFQVSYQDPIYHVLLNPHNSSCMSLVSVPLPTLCLNVLLLIYRS >Et_3A_026509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:889544:892013:-1 gene:Et_3A_026509 transcript:Et_3A_026509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLQLPHPTAARPPAAGTARSRGSVVLAAAGGRVEQKAAGKTRVIRVADPVREGRLPVPPPPPPLFSVPVTPAATRSREEDEEERRRYYLNMGYAIRTLREELPDVLYKEPSFDIFSAAPSFWRLAWIRCYISLCRMLSLENLGEG >Et_4A_034629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:542626:551181:1 gene:Et_4A_034629 transcript:Et_4A_034629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPAGAELLPSAEADWPPELRLPAPPPPPPAEPPPAGMDDSQFLGSIMGEPARQGPAEAPPPHQGPPVTAPLVPKKRGRPPKKKDGAVVVAAPKPAKRREDEEEVVCFICFDGGNLVVCDRRGCPKVYHPACIKREESFFNSRTKWNCGWHICSSCEKAVHYMCYTCTYSLCKVCIRQGKFFSVRGAKGFCDTCFGTILLIESKDEAATKGKLSLTLEELTSAKSRWTVPNTSARKEKEESSDDLYDINDDDAGSDCSSRKRRRTNSSRKKGRKRQKTLLLEYIKKNDLRDPKRKSQIICDARLHRLFNKKRVAHFEMLKLLEMHFPVNETSRVNDNGQAAIDLNLTQMDTNGYSAIAAKSSPEKRRRLHRKMEREPQVDPEAYAAIDMHNINLIYMRRSLMEDLIDDAAFSDKIHGGFVRIKISGVGQKQDMYRLVKVVGALKVPEKYSIGKKTTNLVLEILNLNKKEIITMDTISNQDFTEGAIQEKAKVLQSVRVNDWLENEKQRLGHLRDRASETGRKKEYPQCVERLQLLNSSEERTRRINEVLEVHIDSHMDPNYESAEEMDDNKSVGTNISRIRADTTKSRRKSKYPNSMQNHTQRIDDANHHPKNLSTESTIRGSRTGRKLEKFHPTNGTDIPKPSTVYEAGSLSSSVLTVSSETEPEKVWHYKDPSGNVQGPFTLLQLSKWTTYFPRDLRVWLTFESEERSLLLTEVLSKQQTVFTQTASVTTSTKPTAAGIDLNINSPNVDQKNALSPSGYSMLNSSVITGQTNKYSVPERESVNYPDDCLSLSTSSVPPKDVRTLESQAQFQTKHSVSAHSPGSPYEQTDLHRDGVQGGCSGESNHHHSSGARRSSVPVQMSYSGNSNVASHHHNQHTLWLQSQHDPRNSSQGVSVKDLSKNLPTQRVGKDAPNPVIAWSPSESRTASSQHDGSCLSSTTNPSFLDDHHSSIASEKPKSCAPATPIEDRGSSSPSGMLSHSERVPVCSPQSAPSASPDMCKIEEIMNQQGTLEADTSNASVNQSPESKTFPISSPDNQDIEREFPSPTPRPENKEPAVDNSGLTPASPEKLANTHSPVSDPCKMEAIVNQQKLPEAGTSNSSANHYPQSSNKDLEGEYLRPIARSDNKEPAVDNSLPTLPATENLRTNSASDADTCKMEVTLSQQKTLEMDALNASLNQSSGSNIFRVSSTDNQGIERACPTPTPENFTTATASASDACKMEEILDKKTTLETDASNGSVAPLQSKVSLVTSPDNLDIEREFPSPARRSENKEPLADDSVLMSAAPENAPTIASAFSGCKMEETLNKKTAFETDVSNGPVCLSPQSKDFTASPDNKDIQQEYSNPIPENKEPVVDNAGSILTSPENFTTKVPGDSPDAFASPKSDPPTGKLNATLTDFKGVEEIIQNELYSESTVVTRENMIIDPSCGAESIDVSDVLESLMEQNGTAALEDLLAASAEEEPQCSSPIVLSPWGEPSYYQGDAVDSTLWGVQDDSVNDMWSLLPPTPALQPSSDLGTEANETLDINEVATSHGIIEFLQTGGTPAGENVNQALSGAATDWVLPEQVKSTPNHVSTPSIDESTGIVGWQPSADQRLSVVTALGTNQSLYLPGCEKAATSSKSSLEASRKQESTDSSVSSSGEAIGNINKGLNPPYVNANRGRQQNHRRGRYSEISESWLLSSNNSRSRSDRFGSGGSSRSTLNGQNRGVCKFHEGGYCRKGASCSYLHP >Et_7A_052086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4583789:4586703:1 gene:Et_7A_052086 transcript:Et_7A_052086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDQKVRQGAALPSMDWELEQATSKLAWLNFACARDEIDSCVALLADLKVLLTKFGSLPPSFEKTPNAVAELKIARAIYEHAVILSIKTKDQDAFERNFSLLKVFYTDTCGIIPPSPDEYPILGLNLLRLLADNKIADFHTELELLPLEALNHPCIRYVVELEQSFMEGTYNRLFNAREAIPHETYVYFMDHLADTVRDEIADCTGQAYDYLPIGDAKKMLMFTSDQELLEYISEEQHEWEIKNCSVLFHMAKPKPHADLLSFKLMNQALSYARELEHII >Et_3A_025824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33110251:33115859:-1 gene:Et_3A_025824 transcript:Et_3A_025824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQPLPVTSSAEECQSPQLQKQAVYTVWMKSLVFNGNGCTVYGADGSVAFRVDNYGCRGGREVFFMDRAGNTLIRIQRKSFGMFKRWEACRYVDDGEEAKPWFSVQKAQKNGAAVKIHGSGRTYGIDGCSCKSDYKISGADGAVVATVERKQTASGVVLGEDVLTLTVGSEVDHLLVLGLVVVCGLMNRCFHSSQPSMAKVRPLPAAATSSSPSSAEQLQDLLGHHQGRRRRQAVYTVWMKSLVFNGSGCTVYGADGRVAFRVDNYDCRGGREVFFMDCAGNALIRIQRKSFGMFKRWEACRCFYDNGEGLGVETTRPWFKVKKARKNGAVATMHGSGTTYVIDGYARKSDYKISGGADGAVVATVGRKHTPSGIVLGDDVLTLTVGTEVDPLFILGLVVVYGLMNCCL >Et_4B_036227.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:11978131:11979309:1 gene:Et_4B_036227 transcript:Et_4B_036227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EVVGRPEVVDGAGPPRVEPEPGLPLAAPVQQADGPRVEAPAPALDVVPAEPVLVPPVVHGPDVPGEHEQERRQRAEVVDPPPLLHRHPLLHPPRVAPRAPFPHVHHHHARVEVAGPAAAERPVQRRVGPERGREVVAEVGVAVLGRGHHAAAQVHGRQRPDIVDHHHVGVEVRHAAHVAGQRVGEVHARVVERLVQLLAHGPGDAAPHARRVEPVHAQVKERERGAQGRGEAAVVAAVVVGGGEEVERHALRARRVLQDGQHRRHRAAEVVAVQRHGDVHALAAAALRAVPERGSFHEHRRGRLPDHHPAVAGAARREARAGEDGGEQGDDNVTIGRHRLSPEKGNARTGERPRGGAGKAAPGDKVDEGGKVATAWVLGIVAEGFRRGGRPP >Et_2A_017405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3433313:3443378:-1 gene:Et_2A_017405 transcript:Et_2A_017405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FCLLHRKMGSHDGEDDELPPPPPLPPNVVPIKADDSAGESLPNKPAKPKRLPMARPGIGRKGQPIQLYSNHFKVAVKSTQDFFFHYYVNLKYEDDRPVDGKGFGRKVIDKLQQTYCSELSDKEFAYDGEKSLFTVGSLPQVNNEFTVVLEDVSTGKTAANGSPGGNDSPGGSDRKRVRRPYQTKTFKVELCFAAKIPMSAIGQVIRGEENENSQESFFHNNPSNFVDLGGGVTGCRGFHSSFRGTQSGLSLNIDVSTTMIVKPGPVIDFLLLNQKVDHPGKIDWQKAKRALKNLRIKTTPANSEFKIIGLSDRKCNEQMFSLRRRNGGDGDCDTVDITVYDYFVNNKGIELRYSGDLPCLSVGKPKRPTYFPIELCSLIPLQRYTKALSTLQRSSLVEKSRQKPQERMTVLHDALQRSNYDSDAMLRACGISIAPNFTQVEGRVLQAPKLKAANGEDIFPRNGRWNFTNKKFVNTCTVEKWAVVNFSARCDVRNLIRDLTRNASAKGIQMEEPFDVFEESPSLRRAPVSRRVDDMFEQIKSKLPGAPKFLLCLLPERKNCEVYGPWKRKCLAEFGIITQCLAPARVNDPYLLNLLMKINAKLGGMNSLLQIEAPPSIPHVSKVPTIILGMDVSHGQPGQSDRPSIAAVVSSRQWPLISKYRASVHTQSPKQEMMSSLFKPRGTDDDGLIRESLIDFYTSSGKRKPDHVIIFRDGVSESQFTQVINIELDQIIEACKFLDEKWSPKFTVIVAQKNHHTKFFQTGSPDNVPPGTVVDNKVCHPRNFDFYMCAHAGMIGTSRPTHYHVLHDEIGFSADEMQEFVHSLSYVSKEHDGHIIAPICYAHLAAAQIGTFLKFDEMSDTSSSQGGHTSVGSIPVPELPKLHEKVRSSMFFC >Et_5A_042164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6935558:6936182:-1 gene:Et_5A_042164 transcript:Et_5A_042164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYEQQQSPPLPPPGQALLMQPVPPPAQANYVHPVPPPGYPGNFNAMNPPPPQVVAPQTQSRGDKAFWEGCCAALCCCCLLDMCC >Et_3A_024383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20293698:20297162:1 gene:Et_3A_024383 transcript:Et_3A_024383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVYDPFTGDRAFFPRPPDLLYGDIYKYALLTAADGGIGCSFLLVAADFINLGSSCSIKVQTVDDRKWGPPMTFTHPFSPEHTLHPCCGAVVLGTLIHWLMYGGRHSVIFTYSVGAAGVGSIELPATAGLPRGHGAYNLHLTSSTDDRLRFLVSDRYTVSIWLLLSTGWVRQAVIDVTPLVPKLPSEPDMVIKFKSAEGRNGAVLLRAGTISCLERDHECRLILLDMETMETRRINMTGCVVPFLYEPRGKRLRAITEAPPPARTLPGDLVLEIASRADAATLVRFAACSKPLRRDILSPDFLRRICLMPTACLLGFLHAYDHMVPAPRPSELFSLLHPTTPAAASLSETRIVPLLSRGSVAGLLGSYEPLTSRRGLLVLLRRRRHVKRRGRKDMCVFDPFTGDHAFLPRPPGIKRDSGYKYVLTAADGVVGSSFLLVAADFINRGGKSRFIKIRTVSSAAAHRKRPWGTFTVEDSRVILVDMETEEMRWINKTNGIRSGFLYEVDLSSRLSAMKSF >Et_1B_013650.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10793342:10794829:-1 gene:Et_1B_013650 transcript:Et_1B_013650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGWNHAAGMAGNGAVENGGGHAPAVAVHAANGVAENGGGQAPAAAGGAANGAVENGGVPDLAPAVAAANGGNAALAQEAAAANEAEGNGGAVVLDLSVVPEAALHELLVRLPAAMVARGRAVCRRWRDLTSTEAFLREHHIRRSLRPTPLFFYRLDHQLVPLDDRVRVHLRAADIRRSESYPVFRFAHLDPALPLVDPRVFRIEGSCDGILLLSYDDRLYACNPCTRRWARLPPLHRLGDIVGFYARDLCDGREYRVLYHSGRDDGDCRYWILSFPEHAVRHIGRPTNVQAIDLVLTGGICPSFEMPPIMVRECLHWRPQLYQDNSYLMVFDTVAELFTWMRPPRVLEDNRWLQVEGEQLLEINGRLAMIVVAPTVVGVWVLQDYVGQVWVYEYQIALPVAAIQAHHGYDYEEAALSAAVFAVSEDRNVLVQCTHAMLQGDVIGTVLQIYQLAGNCTILSGYMLQESLLPHAFLPLRQTDAHDGDPPFFQAP >Et_1A_006396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21983569:21983934:1 gene:Et_1A_006396 transcript:Et_1A_006396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFRSHNMHFISIVNHFLGVCYGPFSRSSTSHLDKSRLQDVAVRLLQDAAAMAKYNPEIILAWENAMQRKLNAAVENATECLEMVMGGSVSAWKFLILVLSAQHNLQEAEAVTLAI >Et_10A_001405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2736409:2739605:-1 gene:Et_10A_001405 transcript:Et_10A_001405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKKIDLDPVTEWMEEDWGMGSNKRTDLDSVTKRMKEDWDCMVGVRARFHVLKGAVEYLTHVRDCVRRRVRVAVRRGRKLMAAATWMNKADDYILAFGKLQMEYDRIPKLIWAPYLHFRCSYIVSKDASEMIPQVTMICAEGAEIVHGVKLPQPIDVSTGFASRDRTLQAAIARVKDQDGGIVGISGRAGMGKTHFIKLMEEYFLRDDSFDLVLRITVPRDSSTSKVQAEITKQLRLPNCDSKQNKGRIFEFLKQRKFLLLVDCLWHWLDLEEIGVPSLAQVESYRRKVVFTTCFGHICDQMNVKVGDRIEIHSLDPTESLKFLPINISRELLGSPLDLMAIGKAMHNKKDTTYWENALGYLSQSCIYETEGSDTEEASFFRLKLVYDSLTGKLKDCLKSCSLWPEGHIVHKLKLVDFWIGMGLLQEDEIEEAYNEGFSIIANLQELCLLEPAEDGEAVQMQRTIRDLVLWIVHNQGEIKNKWIIQSKENWGLAEQVLLSGFKITELPRIPSNQESLTVLILQNNYLENSSLNNFHYLHSLQYLDLSFNKFSSIPLEICLQVNLRYLNLSHNMIKSLPMELGCLTKLRHLHLRNNPIQVIPNGILPKLQNLKVLDVCSFDLLRCPSCVVSFDELELMNSLQSLGITVDLEFLKTRIGKTTLPIRALSVVSYNSDDDDNAHVFSNSPVDPDRHTKLFEFGIYTRKKTILFDDIHSKWNLQHVQKAYLHGYFINKIIWQRLHPEDIFASLRRLDIVRCMGLTNISWILHLPLLQDLLLFSCSRMHEIIATAQDGVSETNTYKMGFLRSNTFPKLKRMTLIEADQLVGICSPTYGFPSLECLQISSCPSLKKLPFLTIPNKLKFIRGENEWWDGLEWNDYDLQSSLELYFYGFAEDQLSEMYLFNSLQVAWARIDLEYGE >Et_3B_029468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25316985:25318314:1 gene:Et_3B_029468 transcript:Et_3B_029468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLEEAAADEPYHAVKAYPHHIATGDRRRSWCSALLENEGWFTLVFTFVMMTVALLLVFAATAIFALTYHAPRFSVHLRSYDGIDPGHAARLVSPTFNITLRMNNTCVDRADVAVMYSGVALGWARAEPRDCAEGRWEKDVEIVAWGRGVGLSPRLRGRMASDWQDLGALELDVKVKKYYEGDDGNIPHVILKKGISNNSYQS >Et_2B_020904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24773740:24786915:1 gene:Et_2B_020904 transcript:Et_2B_020904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAAKRAKIERSAAPQRGEDDYVPGNIVEIELCNFMTYDRLVCRPGPRLNLVVGPNGSGKSSLVCAIALGLAGDPNILGRASSVGAFVKRGEVSGHVKISLRGDTPDDKICITRKIDNKNKSEWLLNGATVPKKEVIDAIKKFNIQVNNLTQFLPQDRVSEFAKLSPIQLLEETEKAVGDPNLPIQHRLLVDRSKELKALEVALKQKEQTLNNLKALNAEQEKDVERVRLRDKLLKKAELMKKKLPWLKYDMLKKELIEVILEQEKTAKKKWEEAAKILEDSKQPIEALKKSKAAHASNIKKIENQINQNMSNRRSAIDKEQQLNAELKSTFVEIEDLEKQEKSRQQRILKAKEDFAAAEKELEDLQPFEPRDEMEQLKDKIAQVCFEINRLSDEKSAIESKLFLERESMKTCSKRLKEMESKNMRLLEALRSGCDKIIDAYHWVQDNKANFRREVYGPVLLEVNIQDRTHATYLENHVPNYIWKSFITLDASDRDTLVRQLKNYDIPILNYTGERGMRREPLNITPEMQQIGINNRLDQVFEAPAAVKDVLISQAALDSSYIGTDDTNQRADNVPKLGITDVWTPDNHYRWSRSRYGGHISAFVDAVNHPRYFKFVLQRKRRQLEDEEANIRKRKEDIINMVLSQKKKREALKRRVDFTRRKLEDIYKEEDVESSKRKLVDHVAKLNDQRFQAVIKLKNLLVEAVALKWSYTEKNMVFIELDAKIWEMERGVKKLEKDALTASREYEDQSVAMITEDLAKEFRGMPKTIEELEAVIQDTESEANSMLFLNQNVLQEYQSRQREIESISNKLQDDKEEYERCCSEIETVKGKWLPTLRNLVLKINDTFSRNFQEMAVAGEVSLDEHGLDFDQYGILIKANKSVAGAKCSSSIWRGMDPINERKMFQQLVRAASQLNTPQCFLLTPKLLPDLEYSDACSILNIMNGPWIEQPAKAWSAGDCWRTVMSVGGHS >Et_8B_060472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17521808:17522333:-1 gene:Et_8B_060472 transcript:Et_8B_060472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKNFVVLTTLLELLSWQAVASDPSPLQDFCVAEKDSHANLDKPRDTTVSKVRSNVTLINAMRIPGLNTLGISLARIDYAPLSENPPHTHPRATEIFTVKEGTL >Et_5B_045402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21209570:21213904:-1 gene:Et_5B_045402 transcript:Et_5B_045402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVQLVRDLADPELRGNALVELSKKRETIQDLALLLWYSYGTMAVLLQELLAVYPYGATLSSSASNRACNVLALLQTVASNQETRIRFVRAQFPMFLEPFLTCAYQGTAFESLRLTTLGVLGALVKVATYIIQKIMLNDAGLTYFCATADRFFNVASVLATMVHALVEKPSTKVLKLVIRCYFRLTYHPKALVVLRRTIPEVLKDGAFDNCLRDDHATVQCLQQLLHRLNDDGPGGAPLPVPDPAAGGSGSAWQGAPPPGPSAAGRGAFLPDQI >Et_2B_021260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2849425:2857372:-1 gene:Et_2B_021260 transcript:Et_2B_021260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGEEGVAVKFEEAAKLLVEHLVEPVLKRGGIRREESPTPENQEAVSRQVHAAVLLYNYYHRKLFPQLPFADSKHFIVSATLAAGDAMLAYLKQGGGDTEASVTERAFEDACAIAEALDAEADSPQTLMWPISKVAVLLVDPTGKKCLIDHGVVTQGVWSILEKEITVASGKSRSIDLSAPRSSQEVTFNSKPYMLQQTAYSLVESKAGMKRASLRFLEEHLVYSLSKKETTAKLFVLQYEQTVNSNLKEMPIADLISRMSGPIFRNEAFPETTSVVECYHILPYKEVLLNLLNRERSLDSSQSIPKEQPLRNRKSSSHSEIDESLKEQEANSKSNIKNTTTNASDPKKNKGMKEVGSSGTNNCSTSKNRKNSNLICRRKSEVLKASPKKENGSPSNSDAETLKLVSNAANAEATRAESGGLVVKWARTNQVEDLTTYKPMYASLQSLQKMRDDVVREHCMLGDRSAQFDMDIQTILTEGEMTPRVISILAKYEKNSSNVMKASSSTSSGEGSQTMKMKRKRLTEAELDEICHENNWILPRYTVLPSLLDGLYQATVYLLCPDLEMNADGGMKTTPREARDSAATAICMHRSSHFRRCGMILYVREHCMLGDRSAQFDMDIQTILTARDSAATAMLHQLHTKAKEKLAELGSSTPDALEGEATDQTIRLAKFINTPLYVVHVMSIKLEGEATDQTIRLAKFINTPLYVVHVMSIK >Et_8B_060130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6189090:6199484:1 gene:Et_8B_060130 transcript:Et_8B_060130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIQRIENSTSRQVTFSKRRSGLFKKAKELAILCDAEVGLVVFSSTGRLYEFASTSMKSVIERYNEAKEDHHTAISASAEAKLWQREAGSLRQQLHNLQEHHRQLLGQQLSGMDVKDLQNLENKLEMSLRNIRLKKDQLMIDQIQELNRKGSLIHQENMELYNKVNLIHQENIELQKKVYGKTVNENPTGTAVRYSILNKENENVQVNLELSQPHNVEREESGTPSLG >Et_1B_012589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33496929:33499469:1 gene:Et_1B_012589 transcript:Et_1B_012589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTEEAPAAAAAASPKNGASEERASPAAAAGRPRGFWLLGEDKSVHKALGGGKTADVLLWKDTKISAAVIGGATVLWVLFEVVDYHLLTLMSHVLIGALVILFLWSNATVFIKKSPPDVPEVQISEDLAVNIALALRADINKALGLLREIAMGHDLMKFLGVLVALWILSEFGNLCDFLTLIYSAVLMLHTVPILYHKYQDKVDDFAAKAHGELCKQYKVLDDKVLSKIPRAAPKDKKQN >Et_7A_052068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4316418:4317352:-1 gene:Et_7A_052068 transcript:Et_7A_052068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEELKRIDLKVNVSCCEGCRRKVMKAMSLKGVLRTEIKPSHDRVTVVGDVDAKVLVKKLAKVGKIAEVLPPSSDDGGKKRDDDDDDSGGKKREGKSKEGKDDRDDKPAAACKQEECKKCAHGAARDDATDHSNGAKEKKAPSGKSAGEEGGDGYGGGKPSAPDHAAAAVAVQHYHRAEPAMVVPVHVPYYPPPAAAPYYGYYAAPPPMVVPRRPLRPQPSRFDVDYFNEDNTVGCHVM >Et_5A_042334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8866327:8867383:-1 gene:Et_5A_042334 transcript:Et_5A_042334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRCCALEACCCECIVREPSRSQALGENRTEVTSTWRQSRADRKEHSSTRNLSGETIVGPSTLNPSSTKRILVRMTTNVFLQLLVYASVFALFTMPQVMGEQDCYAEKVAFKQKCNLSITLAGGYVHPTDSCCRTVQKVNMSCVCRIITSEKERTIALYYVYWVSQDCHNPSASWTQMWK >Et_2B_020543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21213906:21215203:1 gene:Et_2B_020543 transcript:Et_2B_020543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPLKKDRLREPQSHHPETPTFHQAAPQLLLHTPRLKIRPSPRRATVYTAIPSAALHVGNHKRTVRRARSRRPVLPEELVLWKILVRLPAKPLLRCRAVCRSWCRRTSEAAFLLAHHRRQPSLPLVSFHGQLPADRRQESLRAVVVDAALDTLDLRRPPSERQPPPASLLGRSLLRRPASAPAPGLQPPPLPLQPGHAPADGAALYPHASSGEYRVLYWKGRYTENRKVVYHVLTVGSAAPRRVDASTASARRFVDDGWFHDSERPGVLLHGSTEKVLLVFDTVAESFRTMRSPIAADWAMPQLLEMDGALAISWTDESRTMVKLWALLQDYETGVWSVKRRIILPVVEMWRVVSNCRFYGTVVSEDGDVLLHSPISFHLFHSDTKGELLQTFCWDRVVARPAGHCFRESLVRHGFFERNLL >Et_5A_041908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4061748:4066512:-1 gene:Et_5A_041908 transcript:Et_5A_041908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTRRRAAAAARVEVVAEDERTAAIDISSDSDEGSEPSSEEEDTSDEDFVQISDSDSEADGGEGSGDESEEEAEAEAEAEAEQLGVDRSEAACSKIAGLLHGGKKLEGIKLVECKAYLKKIGLSQTGDITTCVGRIMLHWRFKDRNPENIYPRSSFCINCKGDVCRGDAVLFKQKVYEKSGKRHSKCIGKRIVAGKVIKESYGKQKQQHTFTIEVFWSRGVGKLLPLHLLLVKGRNLYRMMTFRQPWPNEAERLKALEEKHNRGDAARRVRSLNRHKHDGNTLKGKKTLDKEKHQARSGRSDCGSNITDVDKGKKRSAQSSNFDQPNKRFKKEGCHLPSTGKCTGDRRAKKNCAHFNKGICIAHKSSLCNGSTEKNHAYLQKNFHLGNLNNGPSSTEVGIDNGKPRSDQKNSISHAQFEGRCIDQAPHVQATGGIFAGTQHPFTGRPQGLPPLREIDALFNTTMGFRHQNAALATPHASAYFGRFLPNQQQRVAFPSPNMQETVLHPRPEVAYNMPHYRYCGGGGAVGAELCSY >Et_7B_054029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14353269:14355439:-1 gene:Et_7B_054029 transcript:Et_7B_054029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIKVVVPAHPLVKHWVSVLRNRDTPTAAFKTGMAELGRTLTYEATKDWLPTVIREVQSPLGACLVESIDETQPIMVRKNFSSITYHMVVPNSFLACCFSVWFYQIVPILRAGLAFAEHAPSLLPSTKTFHLGMARDGKTLQPSVYLNKLPDRFPDGCRILLMDPMLATSGTMTAAIQLIKDRGADVEQIRVISAITCPPAIQRLRQKFPGICVYVAAVDPILNEKGFMIPGLGDAGDRIYGT >Et_4B_038111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26348662:26349799:1 gene:Et_4B_038111 transcript:Et_4B_038111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCWRSLRAQTVVCCAATCKPLRRGILDPSFRRRRLAILAAANDNDGYDFDPAALVGFSYRLTVVRGRHWGWIDTADSAHVVEPVASWMPSRDGLVKATLERWARLGVGNGSAVAKLHVWDALAGSATSLPAITVSEDHYPLALLNVGATDRSFELLVVDRIFSSRTGRWSADRAAYYPPLLYGHLAQTHPAFVGRTAHWLCRRGSSDLNVVLALNLDSPVATMLELPPGCSTMVSSSIYANEILLASVGGRLSCLVAESSALTVSVWTLTSAWPEASWSRQAVVSVREMERSAGLRFHTTSLLLEGFGERSGAVMLQMSDGALVRLDLGTKQATMYERKQKEPCGWATRVCLYEIDLASVLKAMKSF >Et_5A_042048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5678254:5682337:1 gene:Et_5A_042048 transcript:Et_5A_042048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAAAAAAGLRPTEPFPLPSGLSLAPRLKLLLAFFRADLTVRPLDEWQLKSALLAFLRDPPLSLPVVPESDLSVRRLPDLQKRRREEPVATGVLHVRDLAFLRPRKGDGDAEEMTPEQEEKKYFEWRRSLVEKLAGIELNLEGVKFRMTVEIPTSDDFRAMKKSWEDFYSAELLNSRNPVRKIVKRPDTIIVRGVPSRWFAETRISSKPSTLVTHTIFSALGKIRNLNISDDDDLKSKEDGTNKELISGLNCKVLVQFENYDDFYNAMKALCGRSLEKEGSRLKVDYEVTWDREGFFRNAQYEPARSNLEERDASASVHGRKKHYSSRIESDHRKRFRRMRDVLTRHDPKAKIENGNLRVAYKNTCAPELRFLPWDGGGSTAATSLLLTRVFAAGSLDAPRSTSSPLKIGKRYHQMEVQQIANTLPNTTRLSSSSTRANGQ >Et_5B_043010.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:11128163:11128809:1 gene:Et_5B_043010 transcript:Et_5B_043010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKLFIQPMVYALLFALFALHHALGEQECYSEKETFLEKCSWHIVRGYPYVHPSNSCCKAVRKIDMKCVCRIITRQDNVDVHSVYRVSLDCKNPVPAGKTCGGESTLLFSFFNLYYHVFSITKYIKIAFLSSYKI >Et_4A_031970.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7819094:7819583:1 gene:Et_4A_031970 transcript:Et_4A_031970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALICSILALSGSRNRRRNLPLLRSTLCHLSFFSSCSLLRSPLICRTLPSSISTLTSSFFSPGTSALNTCASGVSFQSIRAPAKAAVSESEETRGKRLPLLLPEPKGKPWKGSQRSREKGSNTLPWRISDMVRWTDDPSWW >Et_7A_051298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16815187:16817433:1 gene:Et_7A_051298 transcript:Et_7A_051298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRASPASPLPSTARGRRANGASPVVAMASTMNRVKTVKEPYTSPREVHLQVTHSLPAQKKEIFDSLQPWAKDNLLNLLKPVEKSWQPQDFLPEPSSDGFYDEVKELRERAKEIPDEYFVCLVGDMVTEEALPTYQTMLNTLDGVRDETGASPTTWAVWTRAWTAEENRHGDLLNKYMYLTGRVDMKQIEKTIQYLIGSGMDPGTENNPYLGFLYTSFQERATFISHGNTARHAKEYGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDYTVLAFADMMRKKITMPAHLMYDGKDDNLFEHFSAVAQRLGVYTAKDYADILEFLVQRWKVSDLTGLSGEGRRAQDFVCTLAPRIRRLDERAQARAKQGPVIPFSWIYDRKVQL >Et_7B_053567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:996080:1003000:1 gene:Et_7B_053567 transcript:Et_7B_053567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARRDHLPHENGADSDGDDEREEEDGDEGDEEEVEEAEEEPRLKYQRLGGSVPAILSTDAAAAIAVAERMVALGTHNGTLHILDFQGNQVKEIAAHTATINDISFDADGEYIGSCSDDGTVVISSLFTDEKLKFEYHRPMKAIALDPDYSRNYRRFATGGLAGQVLVLTKRTWGGGYNKKVLRDGEGPIHAMKWRTDLLAWANDAGVKVHDMKTDKGIAFIERPKGIPRPEFLVPHLVWQDDTVLVIGWGTSVKIAAIRTDLSQGLNGIQRTITAASSEKYVDIVGSFQTGYHISGIAPFGDLLVVLAYIPDEDDKDKKFSTSVPSRQGTAQRPEIHLVSWKNDELTTDALPIHGYEHYKAKDYALAHAPFSGSSNAGGQWAAGDEPLYYIVSPKDIVVAKPRDTEDHIAWLLQHGWHEKALAAVEAGQGRTELLDEVGSRYLDHLIIERKYAEAAQLCPKLLRGSPSAWERWVFHFAHLRQLPVLVPYIPTDNPQLSDTAYEVALVALTTNPSFHELLLTTVKNWPSTLYSASPVISAIEPQLNSSSMTDRLKEALAELYVINNQYEKALYLYAELLKPEVFEFIEKYNLHDAIHDKVVNLMILDSKRTVHLLIQHRDIIPPYEVVEQLLHTSKNCDKRYLLHLYLHGLFEVDIHAGKDFHDMQAYEIFAQKELVREQVFVLGRMGNAKEALSTIINKLEDIQEAVEFVMEQHDDELWEELIRQCLQKPEMVGMLLEHTVGNLDPLYIVSLVPDGLEIPRLRDRLVKIVTDYRTETSLRNGCNDILKADCVNLLVKYYHEARRGVYMASMDEEAHGNRADDGSSRASERSTSVRALDIKSRTRCGARCCLCFDPLPIQDISVIVFYCCHAYHLSCLEGGLDLMKSNSNQDSDNGSDDDDGSPSAESRMRCVLCTTAAA >Et_8A_056794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1701102:1704720:1 gene:Et_8A_056794 transcript:Et_8A_056794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVEELSEELSRLLKGKKCLIVLDDVSSIAEWDHIIRSFPKFDNTCRIVVTTREESIAKHCSEKQENIYKLKVLAYNDALDLFTKKVLVFKEDVDLAKHPELIEEAKQILKKCNGLPLAIVTIGGFLANQPKMAVEWRKLNEHMSAELAMNQDLEAIRTILGKSYERLPHHLKSCFLYMSVFPEDHKISRRRLIQRWIAEGYSRELRDKSAKLMADSYFTELIQRSMILPSQQSVHSRKGIDSCQVHDLMREISISKSAEENLVYRMVEGCSSNTQEIVRHLAIDNNWNGDKRDFENLVDLSRVRSLTVFGKWRSFYISDKMRLLRVLDLEGTSGLRNHDLVHIGKFLHLKYLSVRGCDNVLYLPDSLGNLRQLQTLDIVGTEITKLPAITKLRKLQYLRCGDLDIGDTGFLEGIVEHMPKPMHNKLCILTIISVVVCVMCCAPQFFVDGINRHETCTMCCCIMAPLVAGLVTPGCVVVPRGIWKMKALQTLGIVNLARGKYVLQEIRRLTNLRKLGVAGVNMKNSKEFCLALADLCNLESLLVWSMGKPGLQGCLDGLSSPPKMLQSLKLYGNLVKLPEWFRGIHNGVKLVLRGSMISGHDAAMKVLGELPNLTIMRLLKNSFQGEKLSLSFHPKAFPSLTVLELARLRNVKSVKFEDRASSEIEERASPEIEEIASGQIKGKASTKVEETATPEIEERASLDIEETSPEIEERASTETEEKTAPKLELIQFRGKGWQTNAGLFSGLATLPSLKEFFLLQNKDGYKDDFLEDVQAQLAMNPNGPVLKRI >Et_3A_023152.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32309055:32309489:1 gene:Et_3A_023152 transcript:Et_3A_023152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLAACALLALLLLVAASSSSAYADEPSGIRQLQRSGYGRGRKVGGRTEVRDVEGDREVQELGRFSVAEHNRQRECCGDGDGGRLEFARVVAAQRQVVSGLKYYLRVAAVDDGEERVFDAVVVVKPWLESRTLLTFAPAAAK >Et_4A_034987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8545793:8552987:1 gene:Et_4A_034987 transcript:Et_4A_034987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSDKADGDLEIGLASPGPEGTPSPASSAGPSGEPQDLSPPRAAKRPGLVMSFSGKRLDQPSSPAASPSAPRPVLVMSHSSNRLDQSPARPVLVVSRSSNRLDQSSPASSPALSKAPVLVMSGSGKRLDSVPSQSASPTAAAAAAPVLVLSNSGKRMDQAGRKKYVKQVTGRHNDTELHLAAQRGDLDAVRQIIAEIDAQMTGTGEDFDSEVAEIRSAIVNEANEKEETALLIAAEKGFLDIVVELLKHSDKESLTRKNKTGLDALHVAAKEGHRDIVKVLLDHDPSLGKTFGQSNVTPLITAATRGHTEVVNLLLERVSGLVELSKANGKNALHFAARQGHVEIVKALLDADTQLARKTDKKGQTALHMAVKGTSAAVVRALVNADPAIVMLPDRNGNLALHVATRKKRSEIVNELLNLPDMNVNALTRDRKTAFDIAEGLPLSEESQEIKECLSRAGAVRANDLNQPRDELRKTVTEIKKDVHTQLEQARKTNKNVYGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNTDNGVAVAVHAVSFKIFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVEVINKLMWLASVCTTVAFISSSYIVVGRHFRWAALLVTLIGGVIMAGVLGTMTYYVVKSKRTRSIRKKTKSTRRSGSNSWQHNSDLMEAKSQAGEQMDSPRAVQAATRRKKMTKQLTGKRDDTAMHAAARAGQVASVREMLSGKAPEEVSALLSKQNQAGETPLFVAAEYGYVDLVAEMVQFHDAATAGVKARSGYDALHIAAKQGDVDVVRVLLQALPELSMTVDASNTTALNTAATQGHMEVVRLLLEVDGSLALIARSNGKTAVHSAARNGHAEVVRALLRAEPSIALRTDKKGQTALHMAAKGTRLDLVDALLDAEPALLNVTDSKGNTALHIAARKARHQIIKRLLELPDTDVKAINRSRETALDTAEKMGNAEVAGLLAERGVQSARALGNGNNNNPARELKQQVSDIKHEVHSQLEQTRQTRVRMQGIAKRINKLHEEGLNNAINSTTVVAVLIATVAFAAIFTVPGQYVDDPSGLAPGQALGEANIAHEKAFIIFFVFDSVSLFISLAVVVVQTSVVVIERKAKKQMMAVINKLMWVACVLISVAFLALSFVVVGRSERWLAVAVTVMGATILVTTIGTMLYWVIAHRMEAKRIRTMKRSSLSRSRSFSCSGVSEGEWIDEEFKRMYAI >Et_1A_007335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33441591:33443038:-1 gene:Et_1A_007335 transcript:Et_1A_007335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RGIELKDALWSGSLTFQHAVSTSAASLDENSSGRKFASYTVFKGKGALSVHPILPSFSKLESGGSRVSRNGSVMLTFFPAVGQRKYDYTKKQLFALSATEVGSLISLGPAESCEFFHDPSMKSSHEGQVKKSLSLTPLGNDSGYFVNLTVLNNLQKTTDRLSVPITKAEFAVLRTALSFALPHIMGWDQVLTNHHPAPQASKPRVERPHPDSEWER >Et_2A_014849.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18680281:18680670:1 gene:Et_2A_014849 transcript:Et_2A_014849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVRSGPGVGGSRPLQPCPRAARHRDGAPLRSRCAPPTHPLISSRPASWRTCSLTRPATTPSRRRSWRRPSSSRSAASRRTRRSLCSGSSKSARRTSPTCGSHWAGSAAPRPAPATLAAPWSAAAATC >Et_4B_036663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10097254:10104546:-1 gene:Et_4B_036663 transcript:Et_4B_036663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWNKLRNLDAYPKVNEDFYSRTLSGGLITIISSLAILLLFFSEIRLYLYSATESKLTVDTSRGERLHINFDITFPALPCSLVAVDTMDVSGEQHYDIRHDIIKKRIDHLGNVIESRKDGVGAPKIERPLQKHGGRLDHNEVYCGSCYGSEESDDQCCNSCEEVRDAYRKKGWALTNVELIDQCKREGFVQRLRDEQGEGCNIHGFVDVNKVAGNFHFAPGKSFDQSFNFLQDLVEWIQDHSNGLTGMYQYFVKVVPTIYTDIRGRKINSNQFSVTEHFREAIGYPRPPPGVYFFYEFSPIKVDFTEENTSLLHFLTNICIFTVAGIIDSFVYHGHRAIKKKMEIGKLG >Et_7B_054061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14830528:14834164:-1 gene:Et_7B_054061 transcript:Et_7B_054061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDQISTGRKRSIHERIDGDLPAGSGAGGRARHNVSKSFTGAKSSGVRDLREKLSGTMHPQPSNVDPPKPKPVSEVVKITRRENAVEVPVRQSKKASKQTSSKKTSQPKAESPLDSFLSSLGLEKYSITFQAEEVDMAALRHMSDSDLKALGIPMFCWYFKINPIYNRPK >Et_1A_005549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11376036:11378434:1 gene:Et_1A_005549 transcript:Et_1A_005549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPLIPRSPPLAAVAAAAMPPRRRRAIRPPPSPPHLSSTPPPPRPTSVEAPKTGSSGDHEPPSAARRRLPLASTNAHQEAQGEWHPLPLSTADLSLPLTLPTGQTFLWRRTSLSPLRFTGAVGPHLISLSHLPDGRLAFLLHNDGGAHPASSSTVPAARAALCDYLNAAVPLADLWRQFAAADERFAEVAARIGGGGARVLRQDPVECVFQFLCSSNNNIKRIEKMVWTLAGYGERLGEVGGFVFHRFPTIERLARVSEQELRDAGFGYRAKYIVVTAKELQAKPDGGEKWLASLRERELPEVIEALCTLPGVGPKVAACIALFSLDQNHAIPVDTHVWKVATKYLLPELAGKSLTPKLSDVVSDAFVTRFGSYAGWAQNVLFIGQLSSQKLTVTEVTTDTTKPTKRKRGKNHSESI >Et_10B_003881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7143191:7144912:1 gene:Et_10B_003881 transcript:Et_10B_003881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYWKLGERGELGQRRRCSSSVPFTAEEEARGVPEAGRRGAVQHGTDEKRGGQPGQDAWGWLDRTVFKNSAFFRKGEVGDWKGHLTPEMAERLDSVVEANLRGSGLSLTYNN >Et_4A_034580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4495733:4497939:1 gene:Et_4A_034580 transcript:Et_4A_034580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKREPESDGEELRAEGSNPGDGGASPPPLGAAPVVCLIRSAGDFAGGAFVGSIVGYGQGLFTKKGFKGSFSSAGSSAKTFAVLSGVQSLVVCLLRRLRGKDDIINAGVAGCATGLALSFPGAPQALLQSCATFAAFSCIMEGLNKQQAAMAHTLGGTALTAAHEKGGVLPPFTLPPLLLDPSDALASCCQALVKPKH >Et_8A_057959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10041880:10045603:-1 gene:Et_8A_057959 transcript:Et_8A_057959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNQDKFFSTVAFVVARLRSVQVWRNKMDIIIIASLIILLVLGALFVIPKSQNKGKSKGTDSRGNGMTSRSYTKEEVSKHNTRKDCWVIIKDKVYDVTSYVEEHPGGDAILNNAGDDSTEGFFGFILGEEEALLCSSLLPWRHGQGVWSGLKRLGIASSAPNWKLSPSKQKYSGGGMNSVPSAAYTDTASWRSPPSAGHSPYPAANGYTLLLLESEPTGEARDHGDDAPRGGRLSGVIGGAATPPMAVPSAAVATVSRAPRLCSRDSACGLCCGGWCG >Et_3A_025742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32340623:32342843:-1 gene:Et_3A_025742 transcript:Et_3A_025742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRQLLCLAAVVSAAAILLTASAKKSADVTELQIGVKAPYYLILHDICLFGSSSFQYKPESCTLQAHKGDKIKVHYRGTLTDGSVFDSSYDRGDPFEFTLGNGQVIKGWDQGLLGMCVGEKRKLKIPAKMGYGERGSPPKIPGGATLIFDTELVAVNGKTSSGESNSEL >Et_10B_003558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3087300:3089474:-1 gene:Et_10B_003558 transcript:Et_10B_003558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYGDIASLFGKIAAKKNSAFALDSDLAVSMDLAPFKLDIDELLADYAKENYTLFADFKRVWMAKKFSYIYEGRPKTSSGVFMQSLFLHCIGHMTSQSSLPQRLAGLYCLYCLYECQPYKPQFKIYLSLEECRQLKDFVVMAKQNGVPVVQVLVKRMLDRGMFLFGFINLLGDIGEKQVEELTAAQNKRIKFASDKLFANTQVESYMHMDLGAEFELDSIKKLSKEYAEAKELALGEASQTIDVEDARHILQNDNLLGDRVDKVVKEWDAQKEEFYEKTGLSRGNELAVLDNDESGVLPHEDESGILRHEDDGFDEITQLLLE >Et_9B_066034.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21077081:21077524:-1 gene:Et_9B_066034 transcript:Et_9B_066034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAPGLLPSYFATLLLAMEELDEFELLWPDANGSPGPHETAASSSPPAQTPEASEQPRGLHYFGLVRSRPVDVPRPARSSRWRDGGEEVEDGEGEGKAIVPPHLLLSGRRRFEVETAWTLRTPFKRARDLRNLRISVLRLTGFIEG >Et_10A_002026.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:19043238:19044293:-1 gene:Et_10A_002026 transcript:Et_10A_002026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGGLSELAIAAAAKVVEANGGAPLPAREYEGVRLRPSGKYSSEIRDPSCGRKKRKFWLGSYDTAVEAACAYDAAVRTLQPHRAHDKANFPEPPATTEKRAAVVRAHVDEIKRRRAEREQARREAAEAAVSAVASGSQVAPAPAGEASGSQPAYVAQAIRQAAKSVVSSAKPSAAVNASAASQFDIHSSAPTARELLDDERRQAALMSFAQLQAHWNLHPPVHPYLVPGQPGPGVVSASAGPGPSFDCYYPPLPLAIDNPPQRPRALHTSLYWQPPLATALSQLEPAVPRRSAFQPFTNNPPPQPSGGQRELRPTRPRLPPQHRICIVAASLLARTQDLSHLSSGEDPS >Et_7B_055552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14035088:14040858:1 gene:Et_7B_055552 transcript:Et_7B_055552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSFFCIFSFSRKSRRYGIDDEASDWEGPARLRKVRSSDEDNGWWVGERDVDQKASDFIATFHQRRLVLIRQPH >Et_7B_053726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11596179:11599628:1 gene:Et_7B_053726 transcript:Et_7B_053726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCSAVSLAMRLLLIILFGFLLSMHIPSCSAATDTLSRGRALTSDDRLVSGNGKFALGFFQPGSKTSNHTLKSYLGIWFHKVSKMTPVWTANGDNPISSPIASLELMISGDGNLIVLAQDNIIWSTKANITTNTVAVLLNNGNLVLLSSTNSSHIFWQSFDYPTDTLLSGAKIGRNKVTGLSYRYVSRKNLIDQAPGVYSAQLALNEIDIKWQSSVTYWSTGEWNSRFFNSIPEMSGQPGPNMCNFTFVNNEQEVYFSYTLLDESLIYQNLLDVSGQVRTRIKFPQNGKGVPNASSAKECAQLCLRNCSCTAYSYNKGGCCVWHEELFNVVVDSNGDTLYLRLAAKDMQRLKSSRNGIIVGVAIGTSTVALGFIFLLVIWNRKKGKRSSYTVGNDQGCIGIISFRYVDLQRATKNFSEKLGEGGFGSVFMGRISESIIIAVKRLDGARQGEKQFRAEVSSIGVIQHINLVKLVGFCCEGTRRLLVYEHMANGSLDAHLFISHGTVLTWTIRFQIALGVARGIAYLHHRCRDCIIHCDIKPENILLDASFNPKVADFGMAKFLGRDFSRVVTTMRGTIGYLAPEWISGTAITPKVDVYSYGMVLLEIISGKRNSSKESSSHGDEGYFPVQIAHELINGDIRNLVDANLQGKVNLDEVETLCKVACWCIQDNEFDRPTMAEVVQVLDGRCRQRSVPLILIFFQSHRTVLAWTIRYQMALGVARGLAYLHHEGRGCIIHCDIKPQNILLDADFLLHGDLTSLVDASFHGNVSLEAVERVCKVACWCIQDIEYDRPTMDEDEVLQFLKGIFEPDMPPIP >Et_1B_011746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25580731:25584224:-1 gene:Et_1B_011746 transcript:Et_1B_011746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDMNYYINRRILRPPECGGELLLVLAMANARTVEVHRVEWVGDGAVRLVRVVDIGQNALFLGRNRAFALSPEEFPACRANCVYLVDRQGHGLVTVIDIGSNWKRREEVNQVIIPDDDRRGSPGAGWARRGWIFPHF >Et_3A_023283.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:17723444:17723920:-1 gene:Et_3A_023283 transcript:Et_3A_023283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPENGHLPASASLPDHSSASDSDGEPDADYYRPISNAAADSDSESDADPDAAVPHHRLHETRNGIAALDLASDEEEEEDQDEDQEEEDLRTGEAAARAFSEDEQRRRAPLPAGAAARIVDAMRGVEFPGAPPPWAGSVPEDQWLDRLRSLRTGRPN >Et_8A_056506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11115529:11117450:1 gene:Et_8A_056506 transcript:Et_8A_056506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQPYATSSLVVGYALCSSLLAIINKYAITKFSYPGLLTALQYLTSVAGVWTLGNLGFLYHDPFNLQTAKKFAPAAVVFYLAIFTNTHLLKHANVDTFIVFRSLTPLLVAIADTTFRKQPCPSKLTFLSLVIILGGAVGYVMTDSAFTLTAYSWALAYLITITTEMVYIKHMVTNLGLNTWGFVLYNNLLSLLMAPVFGFLTGEHLSVFRAFESRGQSWFELDAFVAVSLSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVTINVMIWDKHASAFGLLCLLFTLAGGVLYQQSVTSKGNSAAQRDVAAKQGRGDVDAAESDVEKQMKIKASVRQSLNLAGTKQTKLSH >Et_5B_044679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4568471:4577130:-1 gene:Et_5B_044679 transcript:Et_5B_044679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NGRSWAPTRLQYGPSLEVPLATGPFGLLARSGLHDSEPSQLKKCPTLSDLQAGPPSGTRKRNPTRKTGGAEQEAGSPVASRRSRPRPPPLSSTRLATPFAPVTPPRSDRRRRSMAAAGAGARAPPRAAARVGLLYDDRMCAHATPNGEEHPENPERLRSIWRKLNAEGVASRCVALKAKEAKDKYIAAVHSQSHIKLMRDISSKKYDTSRDKFARKFNSIYFNKGSSESALLAAGSVIEVAEKVAAGELSSAIALVRPPGHHAEHGVPMGFCLFNNVAVAASYLLNERTDLGIKKILIVDWDVHHGNGTQKMFYNDPRVLFFSVHRFDFGTFYPGEGDASHVFIGEEAGEGYNINVPWEHGKCGDADYIAAWDHVLLPVAEAFDPDIILVSAGFDAALGDPLGGCCITPNGYALLLTKLLGFAQGRIVMALEGGYNLRSIANSVLACAKVLLGDKFTFNSQELQPFESTWRVIQAVRDELKTCWPVLSSKLPDNVSLRKSPSPSELYASSGSESDTEDVDELYGTVSSVNVIQAPDDVLGERLLKMKLDEDSLTMKTTPSGVTAEQHSTNSVEAHNEASVVVSKRISDLSWRSVFSRTYVWYASFGSNMWMPRFLCYIQGGKAEGMSIPCHGSRDPSSPSGAMWKTVPHRLLFGRASTPCWGTGGVAFLNPEIDYNEKSYVCMYKITLEQFNDVLFQENRLVLENSDNGNVGYPDSPLIGSFEVELMATNKALHLEPIKDSWYSNVLYLGKEDDLPILTMTCPSSDIQRFKSGELPIAPPSKTYAATLIRGLVEGKRLDEVEAANYINSAAARAL >Et_9B_065687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9156866:9160386:-1 gene:Et_9B_065687 transcript:Et_9B_065687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPYAVKGRKKKRKLDEAAAFDAAQAADEAEELQPPPEEAGEEKGNENMTAAAAGEEEEEQAAVEGLPVVPRTVDGKRQPGAIFVLERACLEVGKVGKTMQILNSDDHANYLRKQGRNPADYRPDIIHQALLAIFDSPLTKAGRLQAVYVRTEKGVLFEIKPHVRMPRTFKRFCAQLLQKLSITAVGKREKLLNVIKNPVTRYLPVGARKIGLSYSAEKSVNLFDYVAKSNDDEALVFVVGAMAHGKIDNEYSDDYIQICNYPLSAACCLNRICSALEQKWKIQ >Et_1B_010308.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5207521:5207934:1 gene:Et_1B_010308 transcript:Et_1B_010308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQHPPGYEPGRSPAKRKLKRSGEPSGHEEPGDVEQRRRKTATSSAGIRGLLQEFLEQQLRLELRRQEMVERHAQERLFFEEQWRQAMQRMERERLMLEQQWMEREEQRRARDEARAQRRDALFTSLLTRLLQGDL >Et_4B_036544.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29103001:29103189:1 gene:Et_4B_036544 transcript:Et_4B_036544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLCLWQLWKHRNEVVFREAAPCLSRLLHDCREEASMWRCRLRRDEAPISSLWCSMFNQM >Et_5A_040463.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24307906:24309012:1 gene:Et_5A_040463 transcript:Et_5A_040463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRGPGFPCGPGSRPGGARARSPSEGCSPLTPGAGGPRSTQRKRWPARSSPRATSCACGAEKKPPLPKQRKTTEDGGCASSHRRHAWSLDELEEGSEITGHTGKIRGGRSSAVTAASTRGSSSAAVFTTTPSASMNRRPWRAYQSQHGFVRSITAVGSSDAGTGGSPGRYTASSMSPNSPPAVSASSAGRRRKKDSAAALQVKYTYAFGADGTARSPRTTSSISVQKRSRRTAATGQGRPARAARTWAACRLMTREMNSTGPWWSVGGSTAGRRMNRTAASASSTRRMWASTSAACCAVGGGMTSTVSERARPPQQQASRRRPASSMGVRWPAPHTGSSTTVGVVADAMDRSIRIGFLRHLLSVRSWPN >Et_10A_000314.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22758082:22758348:-1 gene:Et_10A_000314 transcript:Et_10A_000314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLRMPRSASNSRPCSRTPPGRTPLLRPACRTGSASSSGRASPTKLKKSIPSSLHSSTDSACKKATMGACSCRTARLLSPLPAPTTL >Et_3B_031539.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:3861767:3864673:-1 gene:Et_3B_031539 transcript:Et_3B_031539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPIQLLLLLFLIPLVRAAASSSADLAALLALKAAVPHDPTGALASWSADPAAASHCRWRGVTCHPSSTAVAAIDLRGASLSGALPASLPLPPRLRRLDLAANNFSGAVPAAFLASPTLRSLDLSFNRLSGRLEIPHQRANSTSPPPCAALTDLRLAGNLLVGGIPSGLAQCRSLRVLDLSRNVLEGAIPRGLGRLAALRVLDVSRNSLTDRVPVELAGCRGLAVLVLTNLTASPAEQPEFNAFIGGLPQEVLAIPALQVLWAPRANLDDRLPMYRNVSCGLRAVNLGQNYIAGAMPQWLGECQDLTFLDLSSNKLEGSMPAELSIGCFRYLNVSGNSLSGPLVSSAESKCSSRSIDEDIVNQYYGELVGNALIGNPFGSVYGSMANIVLHDFSNNGFGGTLPSLTLSLDGNYSYGLRLDRNVFNNTLSTGFFGFCKVATGVAVNLSSNHLSGSLDNLSSCVALQSFDAGYNKFSGLIPSGVGRLRALRSLVLRANSLTGQIPGQFGDLATLEVLDLSRNSLTGSIPLHLADAVRLEILTLDHNRLSGSIPPGFGELDQLAILDVSFNNLSGKIPNLRHSADCGSFIGNPLLFQCLGPNASIPPNEDTSSGKGAKKWGSQMTRSKYLIVILAAVSTAVICFLLVILLFFVCERRKRAKISNLRTKVVVTFSDAPPELTYDNLILATSNFSIQNLIGTGGFGATYKAELAPGFLVAVKRLAMGRFQGLQQFDAEIRTLGRIRHRNLVTLIGYHIGESDTFLIYNYLSGGNLETFIHEMGSRKVSWTDVHKIAVDVSQALAFLHYSCTPRIIHRDIKPSNILLDEDLNAYLSDFGLARLIEVTQTHATTDVAGTFGYVAPEYATTCRVSDKADVYSFGVVLLELMSGKRSLDPSFSQFGNGFTIVSWGRMLVQEGKTSEFFSEGLGDTATKDRLTEMLKIALSCTSETVSARPPMRQVASKLKQLGND >Et_9B_063624.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:12027861:12029257:1 gene:Et_9B_063624 transcript:Et_9B_063624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSQLLTTFTSSIFLSALAASLLAATFTRAAGRKWSMFAGGLTFLAGSALNGAAANVPMLILGRVLLGVGVGFASQSAPLYLSEMAPARMRGMLSNGFNLMITIGILLATLVNYATQKIAGGWGWRVSLALAAVPAAVIVLGSFFLHDTPNSLLERGRSEEAERMLRRGRRRRRVPRPRGGQGRDAPVARHPAAPVPPAAGDGGGHPHVPAAHRHRAADVQDHVLRRQRGAHRPRQPPRHLRVRLHRRPPRPPRAAPGGRPADAGQHGGHGRQARVQRHGHDAAGVRGGDRGGDVRRHRGLLVVVGPPGLASAQRGHAAGGAARGAEHHRGREHAHELPHRLRFTLFFVFAGLLATMTIFVALFLPETKGVPIEGGHGRRLGGA >Et_6A_047881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22514795:22530348:-1 gene:Et_6A_047881 transcript:Et_6A_047881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETPRKKLHVTGKCLVVIDGLQSNEEWDLTKSALAFGPSGSRIIVITNQESVATYCATPVTAVWNVKGLEVDKALELFDNTVHKKILPNESRKYISAVNKEEKHIVLHKCGGIPKVINAVADSLATELNTLAEPYCWRELSLSFMHELETRATFSNLRGLFSWVHSYFRTCPDFLKPCIFYLSIFPANMEIRRRRFVRRWVAEGYSRDAKDGTSEETGEKFINSLVKLSMIQLLGLTTGMVFLQLKMPLCQVNGFFHEYIRSRSMEENLVFCLEGRSSINSQRTGRHLAIRESWVRDKIVFDSIDISRLRSLTVFGTWKSFFLSDSMRLLRVLDLEDAKDLTDSDLEQIVKMLPRLKFFSLRGLEKISSLPVSIGSLRQLQTLDIRYTSILMLPKTITKLQKLQYIRAGTKQHDGTVSVESRAGSAAENGRCATQMSCLTGICKQRLFAVAGARNGGVVVPEGIGKLSTLHTLGVVDVSVAKGQAILEGLGSLSQLHKLGVSGVNPKNSKGLFSSISKLGLLESLSVQLDKENVSDCMVDTVSPPSEKLRSLKLYGLIDNLPAWIEQFTSLRKLSLQMDKLTDTEMNKLGPLPKLQVLCLCLRQSSLDIFDGFRQLLLLDIDCNSSLNKILIDAGEACFNLEVLKIRCSDVPSLKVSGLESLSELKEVWLSESSDETLKEALREQLGPRRNGVRPVLKEMPTSVPHLS >Et_6B_049328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3303702:3308508:1 gene:Et_6B_049328 transcript:Et_6B_049328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRSSLLLTCFASFPGDPTLAHLAAVLRRGRRQPAMPRKASSASDSRLKWRKRKRNPNASPSKPSTSAAAADHSDESDSAAANDDDETAVPGDGAHDDDAPAGSEDPALDLRMAEVLSSAEVVSAFPAAKRRVVNRSHPSVLALLDAERSAYSGDGSASAVASALENISHGQLQVLSGVLPDHPSLTTDPDGPSLYVCTPPPLMEGHGVPKQFEGRLHVVPKHSDWFTPGTVHRLERQVVPHFFTGKSPGHTPEKYVLLRNKVIAKYLENPGKRLAFAECQGLVGSTGELYDLSRIVRFLDTWGIINYLAAGSVHRGLRMATSLLREEPTGELQLLTAPLKSIDGLILFDRPKCSLQMEDISSLASPSSNSEVVDLNAAFADLDGKIRERLSESSCSYCLQPLATLHYQSQKEADVAICSDCFHDARYITGHSSLDFQRVDGEKDGSDSDKWTDEETLLLLEGIEKYNDNWDGIAGHVGTKSKAQCIYHFIRLPVEDGLLENVEVPNALGPLRAERNGYPHSDSNGRTSGNLPQSIQHGNQLPFINSSNPVMSLVAFLASSIGPRVAASCAHAALSVLTRDDDSRVGLEGMHADGRLNGVNLNFLNQNGASSSMSPENVRHAAMCGLSAASMKSKLFADQEEREVQRLAATVINHQLKRLELKLKQFAEVETLLLKECEQVERVRQKISADRARMRSILLGSAGSSLPGSTMPSNPVSMNPRPVAVPGSMPQASMPAAYTNNMQGHQQMTFLHQRQQMLSFGPRLPLSAIQTQPSAQETNIMFNSGMPNSVTPNHHQLLRSSSGNDSSVG >Et_3A_025377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29323220:29324496:1 gene:Et_3A_025377 transcript:Et_3A_025377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDLVDEGEIRRLDLYFRSKVTMVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLMVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Et_10B_003652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4399327:4400153:-1 gene:Et_10B_003652 transcript:Et_10B_003652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQEMIRRGIQDSFFVTDKNCYGARWQYHRCIDDQNANHVVQKCIECMPQQHIPFIYQNIVPEYCDDPSIQKIMLFEIIEQVYWLAKDQYGSYVTLYVVF >Et_6B_049695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:899281:901947:-1 gene:Et_6B_049695 transcript:Et_6B_049695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNGGGALLTMSSPTAANSTSLDETKLKLVFCTVSRCGYYSSMDVLCYCCPDLSRKEKEFSHERKLKLVFCTFAICGYYNRSGQGCYCCPDMSRKEYCHLTMEECREQLRHLQSQMFAVVILVFISSDEGGSSECT >Et_1A_004549.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:15641466:15643482:1 gene:Et_1A_004549 transcript:Et_1A_004549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARPNQTHEPGRLGAGQPNLRRAQIPHFLAAPIHLRYPTAMPADAAAAPAPPPPPPPALDARTGGRVLRRAAGHLLHPASLLPLLFAALLLLLFRSALLAGTLRLASFADRDPALRSLLDRLSPPAPPAPPPPPHHLPRRRSPFTSSSSLSDDDVLVGPLDPASSAPSRRRNASYHHVLSTSYFSPKPYPVPLPHPIPVSASPFFLAVHNETAPKTAPTRGSELRLLDLTKRDAAAIINLLALLSSAHVLAILGYIAVHSATLGAVFASVAGRHVQGRRRGFVLAGAAMGARRLTGFAFLRWATRDAVVQMLCLWFFADVHDQAQLFRLFVVAKLMPFSASVNPWLAATIAGPELDGFFVAWAVLDAVISVLFTVVPWVVVMERDPRPPGRNAVKEGCYLVSLMATDATLLKCWETVVCGSMGRLLMVTFGGKVVGGFLHSVAEVYFMVVWLLFYFAARCKEARLGGRQFGLEDVVAALDGFR >Et_2B_022628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28244013:28248053:-1 gene:Et_2B_022628 transcript:Et_2B_022628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSPFFHEFSLISFVLFKRTFPPTNSLLPPPFSRHTVPPYIRPRVHGTAASYHERNGHAARLMDAIFSLAPQPRARVLERAAARIPGCLYICLWAPVIPGGPLIRSGHLFCLDAWIGGGGGRALAAFEAYRGAFCAVVSGSRDFVSDSGGVCRCVPGWAYKDGRAYMELPEPDLTASASLQTQQQFYHMAVFMGCDNGEMEIGFSSDTSPAAVADSVQQSLLEELMQMTPTQPPSSSSSSLPSLSIGSPEYSSLIRSMTTTAAAAAAGTEPSSHLPLQPAVQLPGLLPPLYGDAPFPSSDAEDAAMAEAMLAVISSSAPPATRQLAPPWLARHRAQRSSPRRGGTGAFKAYNAALSPRAQPRPGAPPQRMVKTAIALMVSVHMAIMRDRELAASRQQEEDLAAAQQAPAPPAQQPTSSQLHHMISERRRRERLNESFETLRALLPPGSKKDKATVLAHTTEYMNKLIAEVAGLEEKNRQLEAQLVGVPGRTRQAGSDDDSSKMTVQVDVTTGASTSTSTSGQPQEVGIRVTVRQECDLSELVMAMLARIKETGRFAVVTVDAEQRGAAHAQVSITLRVTASDDELDETSLKEAVAKVVEDAVTRRPSPPPP >Et_3A_025774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32860333:32861964:1 gene:Et_3A_025774 transcript:Et_3A_025774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRGSPDAAEAELRRGFESLAVARPDPSATVYEVRLNRPTQRNALSPAAFAEIPRAMALLDRVPSARAVVLSAAGPHFCSGIELGGPGNPLAASSKGADPVAVAEGLRRAILDMQDAMTAIERCRKPVIAAVHGACIGGGVDLVAACDIRYCSKDATFVVKEVDMALVADLGTLQRLPRIIGYGNAAELALTGRRITAMEAKEMGLVSRVFDSKQELDAGVAKIAKEIAEKSAWAVMGTKAVLLRSRDVTVEQGLEHVATWNAAMLRSNDLKEAIKAFLEKRKPVFSKL >Et_9A_061719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15701730:15702172:-1 gene:Et_9A_061719 transcript:Et_9A_061719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAKCFLNHILAIRSDSYAARSDPKYHGRGERFVLASGRDPALVNKACDFLKDHHGVPPCWRQDENKGMVRGADGRWVQPDRHGWQLDDHDDDDDLHHHHGGHGNHDHARHHHRSRGHGHDRPAVPPAKRGF >Et_9B_064960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2045322:2046560:-1 gene:Et_9B_064960 transcript:Et_9B_064960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVSCSPLLLLVPGGEGVHLVLELGQVALLDAHGHDPLAGLGLGRRADDGDGHEWQVREWHDVHRRAPAVVGLEEAQPGPVGDEERVVGEGELVWVLLEPSRRPPREVRRDPRLGLPDDAHHLRHVGAGHLAGGTRRRDREVAVAVEPGAASRARRKQVARAGEEADVDGAAALVIVVVGAEERAGAGLPRLGDAHVELGAALPRGLEEAGLGLGDVVRAEVHGEVAEVHALQLCGRRRRHLGRVGDEVAGPDGNHAGLGKPARSATALMNWPAPSPSAIVCTDITPTTNPPHANPVTCKERHVAIGMSSGATSGAGTGRRSSLGMSMGWSRCFTSSSVHAADASTNHAPSPVHATRVSPPSSTKRPANG >Et_6A_046564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16995824:16996817:-1 gene:Et_6A_046564 transcript:Et_6A_046564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDSGRQLQLPNEGPVVPGIANWDIRDFLIVCDIGAGSFGSVVKGIHRSTGVCVALKSLHDTSLSMLGNHNTMYMVMELGGTSLEDAIRTGHAHGKAPLEYDVCVIMKSLLLGLKHMHEKGIIHRDIKPSNILINCDSDCVVGKICDFGLATYYDEAITTWCGIPHGTYGYMAPEVYELKSSCTFESDMWSLGVVMYEFITRCPLISGRDSTGTITRMRSLFGSPGKGADLKTTTHDEPNPADEEKNPVQTTVDSSDEETKTHANREREIRHAIFHRFSMQCSELIAGLLRFDPFERLSTSEALDMDLFANHGIA >Et_8B_059451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17997509:17998815:1 gene:Et_8B_059451 transcript:Et_8B_059451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTLVFYRGRAPKGRKAEWVMHEFRLTALASRLQIPVMHQHGLKEDWVLCRVFYKSRTTSTRPPSEDQTGMPSSEPIQSAASSVATYNAFDDSQTVTKKVPCDFGLPALSFRRPVSIEDLLTIDNSGKEAIETMLCSMTSNISSGLELAHSWEQENDLAQKWNPF >Et_7B_055595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16108888:16111332:-1 gene:Et_7B_055595 transcript:Et_7B_055595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMAALLAFTITLCAFLSLTAVAAGKTGRITVYWGQNGNEGSLRKACESNLYSTVIISFLTDFGSGYYKLDLAGHSWSDVGPDVKYCQSQNILVLLASAVGSVSTLSPPSLTPRPLRTISGTFTSAATPSRGRLARPCWMASTSTKKVWITAAPQCPYPDRMRGEALQTGLFDRVHVQFYNNPVCSYRAGNEAAFTNAWNKWTNSLPRSSVYLGLPAALGAAGSGYVMPATLTSVVLPVVQRSTNYGGIMLWSRYWDLQTGYSGAGDELAFCNYCLTLYPMRDVTLEKKLS >Et_4A_034581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4500314:4503445:1 gene:Et_4A_034581 transcript:Et_4A_034581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNRHRRGRGSSSSSSRRSKQEASLDDGPGTSLPRQEENTEDEIKGSRIQLAMWDFGQCDAKRCTGRKLSRFGLLKELRVTNGFGGVVLSPVGTQCVSKEDHSIVQRKGLAVVDCSWARLSDVPFVKLRCGAPRLLPWLVAANPVNYGRPCQLSCVEALSAALIICGEEDTAHLLLGKFKWGHSFLSLNRDLLKAYSQCENGSEIINVQNSWLSSNSSVQKPPVNAEGSEQNTADGSEGDSDDDLPPLEKNLNHLNHDQDEESEEEDSEGDSDSDLPPLEKNMNHLNLSGDEESEEESEEK >Et_1B_011371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21242190:21245936:1 gene:Et_1B_011371 transcript:Et_1B_011371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQTIRHMIEDDCTDNGVPLPNVDSKILSRVIEFCNKHAEAAATVETDNHSFHDSTNGSGDNKDLKAWDADFVKVDQATLFDLILAANYLNIKDLLDLTCQTVADMIKGKTPEQIRATFNIKNDFTPEKEAEIRKENAWAFDQGLGVVHDRPIHISVPMAATTEGEEKKMITLHSSDGEQFEV >Et_1B_011779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25912856:25921130:-1 gene:Et_1B_011779 transcript:Et_1B_011779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGTGAELLSPAEAEWPPELRLPPPPPQPARPLLKPKEEPSPAAAPHIHLPHPPLQPKKEPSPPRHAEGFDDQHFLGSIMGAAAQSQPQQPPAPAAPVKRKRGRPPKNRDAAAAAAAPAPAAPAPAKTPAKKKEEEIVCFICFDGGNLVVCDRRGCPKVYHPACVKRDESFFRARGKWDCGWHICSICEKAAHYMCYTCTYSLCKGCITQGSFFGVRGNKGFCDTCYGTILLIESKDHDRSKIRVDFDDKNSWEYLFKLYWLDVKGKNFLTSEELIKAKSRWIVPTTGRREKEDSSDESYDANNDKDASFDVSSRKRRQNNSSGKRGRKRQKDLGVTAKKHEFSTKGSESLPNRLTTEGTVLQGDTKWASPELLEFIGHMRNGDSSYISQYDVHVLLLEYITQNNLRDPRKKSQIICDARLSNLFRKPRVAHFEMLKLLEMHFVKDNPTVNADVHPDSAQLGSDKRRKIHKKIEKELTANPEDYAAIDMHNINLIYLRRSLMEDLIDDNATFSDKIAGAFVRIRICDLAQKQDMYRLVKVLGTHKVAERYSVGKKTTDYALEISNLDKKDVITMDTISNQDFTEEECRRLRQSMRFGLVARLKVADIYEKAKIFRSLQFNDWLENEKQRLSHLRDRASETGRRKEYPFIVLLNTPEERARKINEIPEVHVDPCMAPDYESAEEQYYKAADRTINRSRSDLLFPERTGTKSNSVENHTQKSVGASGHMINLPIQNMAYRSGALNNLKNTAVEATPLPSSGVILSDTEPEKVWHYKDPSGNVQGPFTLLQLSKWVNHFPRDLRIWLTFESEKNSLLLTEVLLKQQKDFVTTSAVNSIGTGTRQDRTNSGLVANNSSSPIGYSVVYSPALSCQSAEFSDPTKEGSKVLCGTLPSRSLKDAHSLHDQVQHPAKYSCTISSSTPPCSHNVGVPREQVGEWNNRQTIGCMWNPTVSSMNHRFKSDVEPHPSGYAIKDQLQNDSKSILPARSLEIFDYRRDSSSQKVSVLTPQQSDRDTKPSSEVNTVCKDKDPCWHSATNAGTHDNLQLYIALAKPESCSPTNPVEDRDSSSAGVPNQLGAPACRSQQVPSMSTTASSKTEATINQHNTSLTDASSKPSDQHSELNNDPVFSCNTQDCEYEYPSPTPKLEMEEISMNQSGSTSVTPKDSGTKICVHSSISFVSESSGPPAGKICSLQSLKQTSCLEESQLRDRDTTAQEQVNEENTAVKRKNIVGNPISDDEGIAVSDVLESLTEQNCEKNILLGEDPLENFVPASAEEEQPQCSSPIALSPWGEQNYYQGEAVDSALWGVQDNQSNDIWSMPSPTPALQSSSGLGVDGKDASRVIEEVVEARESTFVDTLLKQREKKVKLENSGASTGSGALEEVRAKQSVVSGPSLDASTKTADWQSSGTSLEGSAKAYGWKPPGLSLQGITKASDCQPSGPSPKGGLEGQQPSDITKEGGSKASGWQSSDSTLGKGAKASGWGQWKTEWGTKVSDWQRSSSFPDGSTKGSCWQPPGSTEGSAKASGRQQSSASPEGIREASGCQWSVSSAQGNTKGSGWQPSAERSAKVDAWQRTNSSPEGSRKASGWHSSGRESSRANSTLGANEHHKSSSSHRATTPTAKHSWEASKRQGNNDKNTAGWGEALGNNRSWYPCSGDASRGSQGGHNHE >Et_10B_004148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15727726:15741311:-1 gene:Et_10B_004148 transcript:Et_10B_004148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMAAAAASSSLAAVSHPRILPAKPLAPPNHHSRRHRPRAHLAGAASTPRTSQAELRPESKNAHALSAELRRLARAGRLPSALSLLDHLSHRGVPASASAFAALLSACRSLTQARQVHAHLRIHGLDANEFLLARLVELYLALGATGDAREVLDAMPRATAYSWNALLHGHVRRGRGEAAGPVADAFAEMRAAGAGANEYTFGCVLKSISGSARPSMAMATATHATLIKNAFAGAPGMLMTGLMDVYFKCGKVKLAVRVFEEMPERDVVAWGAAIAGFAHKGMKREALEHFRWMVEDGIRVNCVVLTSIVPVIGELQARNLGREIHGFVLKKFGDRKDVARVQAGLVDMYCKCGDMASGRRVFYSTKKRNAVSWTALMSGYASNGRPDQALRCIVWMQQEGIRPDLIAVGTVLPVCTKLKALREGKQLHAYALRRWFLPNVSLCTSLITMYGSSDHLEYSHRVFHAMDKKTVQAWTSLVDAYLRNGDPSTALDLFRSMLLTSRRPDAVAITRMLSACSGIGALKVGKEVHGQVLKLRMEPIPLVAAELVNMYGRCGDLKAAQRVFSRTESKGSMTCTAIIQAYAVNQRHKEALDLFAWMLSNKFVPSHATFDVLLRICDTAGLHDEALEIFNSMVQEYNLEASQENYDCIIRLLSEAGRISEAQRKTFKIGLCAINSFKKKAFHLMGCLCSKGAKDDVNATSENRTPLRNDDSAAQADGGEKVLVALDVRISSGNNADLKGLSGEHVVAGWPAWLVNVAPKAVEGWLPRRADSFEKLAKIGQGTYSIVYKARDLETGKIVALKKVRFFNMDPESVRFMAREIHILRRLDHPNVIKLEGIITSRVSQSLYLVFEYMEHDLAGLIAFPGLKLTEPQIKCFVQQLLHGLDHCHKSGVLHRDIKGSNLLIDDNGLLKIADFGLAISYDPSNPQPLTSRVVTLWYRPPELLLGATEYSVAVDMWSTGCIVAELFAGKPIMTGRTENRKFGEQLPQLLKVIMEPFACDTSSLPKLPPSKEYDVRLRQEEARRQRKEAHLGGRGAESVRPGNENHEISRAINVAAEVKQPTHTCSKSTCEKFNPEDSVPGFRVEPRALETSVQVPECGSTWNNMTGDSDHAAGPTRVCNSVHVGTSFTSRKKGFSISNIPQFGAADLRNGVDNADQNQPSDMPATSQRKDQNKDTVNNVRKFRRIHYSGPLMPPGGNIEDMLKEHERHIQEAVRKARVGKGSR >Et_7A_052532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:955001:958504:-1 gene:Et_7A_052532 transcript:Et_7A_052532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRKSGSEKQQKHRLPLGADADAVADASKRRRSGGSKQHQADEEASIPSSLSAKILREARKQQQEEELLADSGDQPPSAAAASAAGRSTPSSFPVPTADDEDDDVDEFDGFGTLSEYDGGEVEINEEDEKALAAFMSKDNSAERTLGDIILQKIREKDAEVSAEGRPRVKLDNSIVELYKEVGKFLSRYTSGKIPKAFKRIPSLECWAEVLQLTEPENWSPNAVYQATRLFSSNMSTKNAERFYEAILLPRVRNDIRQNKRLHFALYQSLKKSLYKPAAFNKGILLPLCRERNCTLREAVIIGSIIQKVSIPFLHASVALVKLTEMEYCGTTSYFIKLFLDKKYALPYRALDAVLAHFMRFLDEERIMPVIWHQSLLAFVERYKNELEKKDKEKLARLLDHQKHYLVTPEIRRELRSSCNRGEKADPIEEDRWDFPEVPMEED >Et_5B_043188.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:16428913:16429464:-1 gene:Et_5B_043188 transcript:Et_5B_043188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSQALSHCALVLFLLLVVTVDACLAAKTTTLQVKCERYAAGSRSNYDFCMKTMQADRASATADARGLAAIAARIARTMAKATGDKITAALRDAATPLPRWRCLSACATEYGAAARRLGFAARAAASGGLTRDIIEVLSKAYGAPATCDAEFANAGQQGSPVSGSDRRLDDVISMAIAFLP >Et_9A_063116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10758103:10758849:-1 gene:Et_9A_063116 transcript:Et_9A_063116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVAVVKAAENSHGIESERQLGPRGGRQRLGSWGGRSGGSNILGPVDDKGKMVRWPGMLNQKEKVKYVEAWCKPAIGSVKINVDAAYKMSSGRAAVGVVARNV >Et_2A_017079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30795972:30800013:1 gene:Et_2A_017079 transcript:Et_2A_017079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLARSAAAAASCSTAHPALQLPRGRAPAPRRSRPRRPGFPALRAAAAASAAIAVEPEAKVQQKSSSDTEVFACPVCYEPLIRKGPPGINLPAIYRSGFKCSKCNKSFTSKDIFLDLTVTSGTKEYSEQKPARTELFRSPLVSFLYERGWRQNFNRSGFPGLDEEFQMAQDYFEPVAGGTLVDVSCGSGLFTRKFAKSGTYSAVIALDFSENMLRQCYEFVKKDGTLINANLALVRADISRLPFASCSVDAIHAGAAIHCWPSPSNAIAEISRVLRPGGVFVGTTFLSTPKNDPFSVEALRPLRQIVGPANTSYNFFTEGELEDLCKSCGLVNYSSKVQRAFIMFSGQKPY >Et_6A_046726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19955225:19958848:-1 gene:Et_6A_046726 transcript:Et_6A_046726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSHRVSWTKGKNTNSVEIHLKNGEIWALYIESSMPQISVADKYQSCNYDVVQVSDVLVDKGIIVSPLVRIEGFVSLFTRAKDKSRIFIPSSELHRFSHSIPCYRTNGNEKPGLAEGFLELDTAALPCDLNSLNKKRDNELVVVTYPNSEFHSFDEDRPCEKFECGQIWALYSDNDGFPKRYGWVNKVEIEPFKVHFTWLKACPEDAEKVWLQKEMPMSCGNFVVSNTTNSCCEHYAFSHLVETNRTSTDRQIKILPKVGEVWAIYKNWYPHQVRPSKNCPAEYAIGEIIKCTEGSLLFAFLSKLEGYISVFRPDVRQVVMEIPMEDKLRFSHRIPSFRLTSESGGKLCGFYELDPAAVPDAFLRKHVMAECNREEALRAKEIASKKLEDEEFSGSQRTVLKFKCFIQSLENLSELLTNCEQRQRSMESWTGIYKVFQVAAAADDTTIKKQYDKLVFLLHPDKNNLPGALAAFCLVSEGYTILCNLFDHTKRSLYDIKSQHASREGSKKATVSLDKTHENKGYAA >Et_8B_059715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2094277:2095958:1 gene:Et_8B_059715 transcript:Et_8B_059715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRVKQFKRPHHDRYICLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDIVVTNKLARLRSQEDE >Et_5B_043863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16911757:16913063:-1 gene:Et_5B_043863 transcript:Et_5B_043863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRSSTVLRQGLPPLWINPRPQIQPSNQGPAVVPGFVNWEIHDFVIVCDLGSGNFGSVMKGIHRATGVRVAIKTLRDLSRFWQEAIMFSQCSGSIGVVQFFGVARNRNRNMMYIVMELGGTPLENAIRAGHAHGRALSEDDVRVIMKSLLLGLKHMHEKGIIHRDLKPSNIVINSNGKYVEGKICDFGLAIYYDQAVATWSRTPRGTYGYMAPEVHKAKSSCTFESDMWSLGAVMYEVITGSPLIKGRDPAGMITCMRSLFGTLSNEASTNPKWATHGALIRRQFSPQCLEVLHGLLKLDPSERLSAADALEMTGLPAIELRKGATSATQRQNRCNQNSKPRRFYASY >Et_4B_037452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:207392:217528:-1 gene:Et_4B_037452 transcript:Et_4B_037452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNSDLVCFLIPLSFRRQQQLAPYKLKCDKEPLNNKLGPPDFYPQTPNCPEETLTREYVQAGYKETVEGIEEAREIVLSQIPYFCKPDVVVKCKEALKKRLRAINESRAQKRKAGQVYGVPLSGSLLIKSGVYPEQRPCNEDTRRKWAEALAQPNKRLRSLSEHVPHGYRRKSLFEVLIRYNVPLPRATWFVKVTYLNQPQARPTSNSISTGASDNQRSSQWTKDVVEYLQQILEEFCLKEGNVVPPSFREQPSPGLTAGANQIKLKAEASPASGDAEEPLVHLKWRYMVRLVQWHLTEELLVPSVLIEWLFNQLQERDSVDVLELLLPVVIGLVDTITLSQTYVRMFVELLLRRLNDASVESSKRPSVSSVIAELLRYMVLAVPDTFVSLDCFPLPSFVAPDVYGRGALLKISGGGGIPSSKRRDAYRYLSCGYAISTIQKRASDLAAVANPNLQARGAAKVVQALDKALVSGNLTVAYSSLFNDLADALMEERWIKEVSPCLQSSLMWIGTVELSLICSIFFLCEWATCDYRDCRTSPYQNVKFTGKRDLSQIYLAVSILKNKMDEMNNLSRSKSSSRVAMNNTVKGSSLNDPCLAATAMDDSSGLRSNAKTLEEKDRKDIFESPGPLHDIIVCWLDQHEASSAAGFTRVDALLVELIRSGIFYPQAYVRQLIISGITDKNETVLDVERKRRHHRTLKQLPGSSLFDILEETRNAEEQQLYEMMSTYSSERRLVLSELSNAPSFDASFRKQSDLQVASGGDKHGRVPEQVEDVKSLVSGLLHFTYPHPVESESGQIKTEFQGSSISSLSQLDTGEAKNGCEDCMRSKGQKLDDSGSPFQGLPLIQSDEEDIWWVRKGTKLHESFNVEPAHKSVKQTSRGRAKVVRKTQSLAQLAAARIEGSQGASTSHVCESKLSCPHHKPSVDGDNVKDVDHMRMANLNEVGKSLKRLRLLERRSISLWLVKSIKQLVEANEVTASKATNSISTLSLQPDDKTVSKWRLSDEDLLSVLYVLDTCCDLVSGVRFLVWLLAKVRSGLGSAGQSGRNAMHIKNREHQVCQVSEALVFSSLLRYENILLATDILPEVLSASMNKNVVSATTRHPGLAAFAYVRYFLKKYRDIASVARWEKNFRTSCDQRLLAELDNGRSIDGDLVSSSGVSTGEEIDETLRQKLNGRSSRLIQNMKEIVQRQADEVQRNLKEKKILAAPKSPPSFEKEDSYQVAHDIVLGLIECIRQNGGANPDGDPSVVASAVSAVVANAGHVIAKHMDFTGGNYQGVHSMNNSLNSVRHTLHVHINSLCLLKEALGDRFSRVFEIALAVEASSAVMAAFGPPKMHRNQFQPSPESHDAYGNHTSELNNSGKGFVGRNAKIAAAVSALIVGAIVHGAVSLERMIAALKIKDGLDILQLLKGLKISTNGVSRSTGTFRMENSTEALVHWFRILLGNCRTVYDGLIADILGESYVLALSRLQQMLPLSVIFPPAYSIFAMVLWRRYIFSREDVQLYQSLSNAINDITRHQPFRDICFRNTHQLYNLLASDVGDSEFAAMLETHSAEKKSHIMSFIPLRARLFLDALVDYNTPTVTQGDGSSASDPSDSKDNELKLSERLVQLLDTLQPAKFHWQWVEMRLLLDEQALMEKAAAGKTALESLRSLSPNADSFALSDSEKGFTEVILSRLLARPDAAPLYSEVVHLLGKLQESLVMDVKWILQGQDAILGRRSTRQQLVHIAQRKGLSTKAQVWKPWGWSSLLNDVIGNKTSKRKLEGTSIEEGEVVDDAADAKRPSKITSHGVDRSFEGIRSINKYLTEKALAELVLPCIDRSSSDIRGILSGDLIKQMGAISEHIKAIARNGAKQGGTVPPGNEVSSNKSSGRKGIRGGSPNIGRRAPVGNDSNPPSASALRGALWLRLQFIIRLLPVIMAERSMRHTLASAILGLLATRMIYEDADLPLPPTNATALRREADSLLEPPLDVLLCRPGDSLFERLLCVLHALLGNCKPSWLKSRSSIKSNIRTQRDFSAFDSEAAEGLQSALDHVELPETIRRRIQAAMPILPPCRHPSIPCQPPQLSLAALAPLQSCTSTSGPQQKSSSLSWVPTNISNRSRALLPSQDPEMEVDPWTLLEDGTSCPSTSSGSSGTSGITGDHANLKACSWLKGAVRVRRTELTYIGSLDDDS >Et_1A_007143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31243843:31248138:1 gene:Et_1A_007143 transcript:Et_1A_007143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FTCCNPLLHCSQLFIISFIAAFGQSSTSPFGQTSFGAQQQGFGQAATNNPFAAKPFGSPTTTFGAQTGSSLFGNTSTGAFGQQQSTPTFGTPSSSPFGSSAPAFGASPTPAFGATSSTFGSGSVFVQKPSFGAFGSSASQSSPFSSTFQQTQPTFGSNAFGATSTPTFGTTTTPAFGATTAPTFGTTTTPAFGASTTPAFGSTSTSLFGATSTPAFGSTAFGSATPGTGFGTSGTTAFGVSSGPGFGVSSTPTFGFGSSPSVGQTSTSFGTSPFGANTSPFGAQTSTFGSQPATTPFGQAPFGNQAGGSRIKPYAQTLDPDSATSGAPAAKLDSISAMPEYKDKSHEELRWEDYQRGDKGGPNSFGTPAVAPQPNPQPNLFAAQTGQVNPNPFSSIPTNNPFAAKPSTNFASTSAFSTLFNSSSAASSNPFTSSTNTTQFGQPGGSLFPASSPSLFSNTNPTFASSSNPSPFNTGSQFTAPSTQSAGLFQTSPAFAQQSFSAPTFSSSAILNFLRTWISAAPGGFTGFSNTASQAPIGQLTPSQSNMVMQPAPVSHPYGTLPAMPQMSIGNVGSSPSVQYGISSLPVAEKPLPSRTLSMVVPRHLSQRRIKLQPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLIIRPIDQWPSRSGIDRQSVPKDSADLEKYQGASIESERSKAAMSPSRSSPVENGSYERADVTRHGSGVSVESLMPKLPDADYFTEPSLEELAAKERAEPGYCSQVRDFIVGRRGYGSIKFLGETDVRGLDLDSILEFNNREVIVYKDDSKKPPVGTGLNKAAEVTLLNIKCMNKKTGEPYREGPRVDKYKEMLVKKAEEQGAEFVSFDAAKGEWKFRVKHFSAYGFW >Et_7B_053243.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:14052560:14053549:-1 gene:Et_7B_053243 transcript:Et_7B_053243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYPLSAASLPRAQASCFTSAASWSRSAAASTSGYCCGCGGSGYVRLRARGRSPICAKVDEVDKDKGAAGLGFRPPDRRKLRLRLRPRLRLLWWRLRRLSRRDLVADAGAALRRAVRRVPPAAAAPVLLAVLLAAARLAMPKNTAREVAYSDLVAGLRDGAVAAVAFEEDSRRIYFSKKAGDDDGSDASDAGESASAAAATTTKWPYYARRVPHDEGFLLGLMREGGVDYRSAPRPAGKLLVDMLSTLLTLWVSLLPMMWFIQRQMSAGGGAGKRPKPRKQRVLFDDVQGVDEAKEELVEVNTTFALLMIVFSVSLGKHWKTKKTWK >Et_2B_020222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18071059:18077765:1 gene:Et_2B_020222 transcript:Et_2B_020222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVVAFATYIHEFIHASNNTAVKILSPKHFVNQLAMAKNSTGSLNRLKTGSAYYPPSAVSRSPSTTTSNACARRPRSSLSRTRQGAETWFISTLNATSEPEGEARNLVFPSAASSGRLLCLAAPSRRDGTKNAYALACRGAPPHGAALLPGLAFVSETAYGHTNIWHGLTSLVPFASWHERSGCAARPARWALFHHGEVRTEMSGWLATLAEATTGVEVAIETFERPSPVCFEEAVVFRANVAGMNKERMLRAADFMRCKARAHCGVVVDDASSSALLRVTLLFRTGARAFKDEAAVERVFKKECARVGGAGCAVAAARAGNMTFCEQVRLLSATDVLVSAHGAQMSNMLFMDRDSSVMELYPMGWKERAGGGQYVFRWMASWTGMRHEGSWWDDTDVEPCPDSPDIYSCWKNRQIGHDEAYFAEWAARVFAAAKERKTAGASAGERRRDATVCKCSNACKDTMKQVAMKKKASANENHLRRVIWLLPPLMLAVIFFLQLQTALGLFSSISRIVSQPAAIDDFVDRLRASATFLLLKDTRRGSSEWFISTLDDVSEPEGEAKNLVFPSASSHGRVLCLAAPSRHDGTENAYALAWRDALPRGAALRPGLAFVSETAYDHSNIWHGLTALIPFASWHARSACAARPARWALFHHGEVRTRWSGWLATLAEAATGANMTIETFDTPEPVCFEEAVVFRRNMAGLTRERLLGAFDFMRCKARAHCGVDAPNGAGSGADPSSAAAALRVTLLFRTGGRAFKDEAAVTRVFLKECTSVAGCTLTAAHSDNMTFCDQMTNLLFMDRNSSIMEFYPMGWRQRAGGGQFVYRWMADRAGMRHEGSWWDPNGEPCPRSPDILSCYKNRRIGHNETYFGQWAARVFAAVKERKTSGSNKAAKERRREEMTCNCS >Et_4B_036597.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:8264736:8265302:-1 gene:Et_4B_036597 transcript:Et_4B_036597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTTTLPLCAMRCSTLTTMKALVESSPEVGSSRNSTMGSWMMSTPMDTRRRSPPDTPRWPSSPMMVLAALRRPSWSISACTRFFFSLVGRERGRRNSAANMSVSSTVSIGYSRSSCITYAEITLSRLLSMDSPLSVTDPCRLSFTMRLASASISVLFPDPLAPSTAMISPSRASPEMLSSSVFIRGA >Et_6B_049209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:229603:229837:1 gene:Et_6B_049209 transcript:Et_6B_049209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIVEAKRIWKINFEKEFEGVERSTICYSILYTSNHSLPRVAYKTCKHKFHACLYKWVSTSNKSTCRLCQTTF >Et_9A_062757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4157880:4159233:-1 gene:Et_9A_062757 transcript:Et_9A_062757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSEHKVIGIGVMVPEERRPFCVECRTTATPMWRGGPTGPRIAHPAARLRPRHHHRFLNVYIT >Et_2B_019021.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24821936:24824008:1 gene:Et_2B_019021 transcript:Et_2B_019021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAEAVLTPEGSHGESLKLLQSLASSSLACSITQFPAKWQSIKDKLQQLCCNLNSVCSSIGADSSVDDEEHPMLVELLQSASATVRSIQVVASQCSEGSYKGGRLRLRSDLDNLSSKLDVHIKQFKEMACSGMPSPSKAIVTVRPSIEAGVGEKTFYLKDLFSRIRIGGPVQRAQALSTIRELLTEDEVCAKVVALDIDDGIILLIGFLDSRDVCIQEEAVGAVAIVASSEYFRGMLVKAGVIAPLVQVLENAASTSELARERAAQGLRELTENSDNVWAVCAHGGLTTLLHACGDANSSSKLISSSFAVLRNLSRVEEVKMFMVEQGVVTELVKLSQKKEEVRKLGAVELLHAMALDDADVREEAVRMGVIQSLLQLIYPDLPYSYKAREVALAAIWFFCFSSANSLDDLISSDVLGWLLFYLNNGDYAVLECTLKILRHLSEVSEEYNKMMGRAGYLSALASLLGAKSCRIREMAAQVLSSLLQLHTNRVIFVQDSDNLSRLLQLLDPAEGKLMAKDLILSAIMSLAETNSGRKKIVTSEHFSNLKELADSGDFDAKKVVKKLSTNRLQTIFSKIWNA >Et_2B_021298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28370773:28376120:-1 gene:Et_2B_021298 transcript:Et_2B_021298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGRRRDRLRWSKLYTFSCFRPHGADDAAGPSATGVGGPGFTRVVHCNNPALHRRKPLKYVLNHISTTKYNVLTFFPKAIFEQFRRVANLYFLLTAVLSLTPVCPFSPVSMIAPLAFVVGLSMIKEGVEDWRRFIQDMKVNNRKVSVHRGDGQFEYRHWQDLCVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLEDDESFKDFRGVIRCEDPNPSLYTFVGNFEYERQVYAVDPFQILLRDSKLRNTAFIYGVVIFTGHDSKVMQNSTESPSKRSRIERKMDLIIYILFTVLVLISVISSIGFAVRIKLDLPHWWYLQPQNSNKLDDPRRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDIHMFDEETGNTAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVELAAAKQMASGADDHDIPLQDVWEENNDDEIQMVEGVNFSVRNNRKASIKGFSFEDDRLMQGNWTKEPNSSTILMFFRVLALCHTAIPEINEETGAITYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVRESHTSSAGITEREFKILNLLEFNSKRKRMTVILKDEDGQILLFCKGADSIIFDRLAKNGRMYEADTNRQLNEYGEAGLRTLALSYRVLDEAEYSSWNAEFLKAKTAIGPDRELQLERVSEMIERELILVGATAVEDKLQKGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQICLSIPTGDQVAHDAKKALLSSLTTEQAAKESLMLQIANGSQMVKLEKDPDAAFALVIDGKALAFVLEDDMKHMFLNLAIECASVICCRVSPKQKALVTRLVKEGIGQTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPKNLFFDWYRILGWMGNGLYSSLAIFFLNLLIFYDQAIRAGGQTADMAAVGTTMFTCIIWAVNMQIALTMSHFTWIQHLFVWGSITTWYLFILAYGMTLNSRDNYKILLEVLGPAPVYWAATLLVTAACNIPYLIHISYQRSCNPLDHHVIQEIKYLRKDVEDQTMWKRERSKARQKTKIGFTARVDAKIKQIRGKLHKKGPALTIHTVS >Et_1B_010757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13734812:13735487:-1 gene:Et_1B_010757 transcript:Et_1B_010757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HRRRFSTRWAPARPWRWKTTRGPSWCPLDATFSCGFRQAGENAFSFSVWYTAAADKTAVWTASPGAPVNGRASRLSFRRDDGLALDDGSKTRGRGLTVSLLDTGNLVIGDPSGSGGVVWQSFDSPTDTLVPTQPLTKDTRLAAGHFSLFYNSDNVLRLLYDGPSTSSIYWPNPENDAFQNGRTLYNSSRIAVLDDAGVFLTSDNLRVTASDLGRPAPASGGG >Et_5B_044142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20755988:20763487:1 gene:Et_5B_044142 transcript:Et_5B_044142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTGLLSMAKELTRVDGILVYTTRKLEPAIGMEHKSQEAPRPPRVLLLCSPCMGHLIPFVELARRLVAGHGLAATLLFATATPTPSEEYLEAAAAVPEGVDLVALPAPPAHALPPSASVPDRIAYAVASGAPRVVQLARSLAASALVIDTCGMAARGVLVEEELGGVPVYMFFTSPWTLLSLHLHLPELDAAITGEYRDMTEPIRLPGCVPIVAADLPTPLLANRSSVAYARYLAGANGYPKLDGFIVNTLPELEPAVADGVRGLKVPVHAVGPLIWTRHCRHKCLTWLDRQPHGSVVYVSFGSCGTLTWQQTAELALGLEMSRHRFVWVVRRPSENPLGCGSFLGTQRGMDEALDFLPGGFVERTRGTGLLVPSWAPQTSILSHPSIGCFVTHCGWNSVLEGLLNGIPMVAWPLYAEQKINAAMLEGQLGVATRVQRSDGGLVCKEEVARAIECAMEYGDGETLRNKIHKLKDKVLDALNLEEASLSSCTSSIFKFGETHHVFVGGGGLCVHRCRHGNRHRAPHAEDRGRLKKMNCNGSSFVSPEFEAAGHTWAIHCYPNGAQEQDVGVFSVYLGLADADNETAVLAEFEFALVYQHGTAKLSPPYVARSLSTFRKDRISGFPMFISHDILEESRFLKDDCFAIQCKISTIDTSFVKEKVVQAQDLKRLGMARTAKTTKGLKQRTARFFGSLCGSSRGVQRRSGQTGNQTGKSAEDYVLQQLFNALGLWGDAGLFKPEDNQSIDEKRVVYADDQRIARRRLPRIQDLGERVLDNRGAVPRQMLQIIIIGHDYCSCVPSGRHELQARLLKLPALVLREGQHRQHCAHADVFIAELVFRY >Et_9B_063943.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:4223736:4223843:1 gene:Et_9B_063943 transcript:Et_9B_063943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPQSKIAEKSSSKGSPWLSIELVPGKHARSNSG >Et_1A_006233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19461559:19462696:1 gene:Et_1A_006233 transcript:Et_1A_006233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NICLCIFVLKHEFGVQASSYRSSEPSSNSGYRKDKGRHKRLTAQKKKEIKEAFDLFDTDGSGTIDARELNVAMRALGFEMTPEQINQMIAEVDKDGSGTIDFDEFVHMMTDKMGERDAREELLKAFRLIDKDGNGKISDVDIQRLAIETGERFTLDEVREMIEAADENGDGEVDLEEFVKMMKRTNFGARF >Et_8A_056065.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:15542910:15543401:-1 gene:Et_8A_056065 transcript:Et_8A_056065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLENISSDGKLPDNELYDRFRCIMFVRSAREGEMSPSSLLDGKEIPMTPPLLLQVIPSHAQQFLSFCHNAARPPSCESPERNWKRKLFSCSVHEVAREVKERSIRRAQRKGVMASLLVLQLHKEWGVCCIIYIMALSPPLYNLQGLFAFSLCSDFREVLHMK >Et_5A_041915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4167109:4169553:-1 gene:Et_5A_041915 transcript:Et_5A_041915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPNEMMSYSSLSTIQSSHNQRPVLSNGVTGNIYPNQDYLYEPSLEPDFPEYEAREDPFATTETSPKVNLKTVLGGLVSIVSGAIKSDDDSLQQSSVSTDVSFLGSDKNGDVDLHESVCFPSAPPQNETNELQYSRYREVLLSDPPEWLPDSSTNACMQCHSPFTALTRGRHHCRFCGGIFCKECSKGRCMMPMKFRIRDPQRVCDACYERLDPLQALLIYYNSNSMQQAKHDVMDWTSTRSWLNMPVGVSMEYEIYKATNTMKKYCQVARLNPEKSIPSSILKGAKGLAVLTVAKAGAVITYKVGTGLVVARREDGSWSAPSAILSVGLGWGVQIGGELTDFIIVLHDDKAVKTFSSRIHLSLGAGLSAAAGPIGRAFEADVRSSEKGSGICYTYSCSKGAFVGVSLEGNVVTTRRETNLRFYGDQYLTATDILFGNVEAKAAQPLYLALDDLFSKMVR >Et_7B_055420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10144333:10144795:-1 gene:Et_7B_055420 transcript:Et_7B_055420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCPISARLEGKVAVITGGASGIGERTARLFVEHGARVVVADVQDEAGARLCAELGAAAASYVHCDVTVEADVAAAVDHAVATFGALDVMFNNAGVGGDAACRGGRTPPGPTWTACWP >Et_3B_028726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18560267:18563372:1 gene:Et_3B_028726 transcript:Et_3B_028726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTLDLLAFEPNGSAAWTVPLGHQCNQSIRPVTEQRKVYLVAEDKIIEVTTPDNVGGAGPASKVFFSYNATEGRSEEIIGLSISGAHWSLFVTIRNRGLFVLSMRSEPQWSIGPVFDRFGYRQGCKGNISACYFDSAPVVHPCDEALYISNTEGQLYSLSIQRRQFRWIQDFSSLDKLMTVAPGKSGRLYVLFPTKSIVVGLDVSTGNISWQRNIGPLRGEKTLPTVDSNGWMSVGSLDGNLYSISPDGDIRKFLQKAANDSAIHASPVLDCSGFSTYVAQTIVGGKSSHKNGDYTHVSALKKKPKRVLFTLLTPATETIYWTGEYPGELSNLLSSRDLNNFEVDETILLSVLSASRIGNTTMQCYTRNDNDLLRGLMIVDFLVIVIQAAAFCSCCIFWRKKKVQRKGLHKFLEKRSSLHKKRRVLGKMISHLEQTAAEDTSSNETLVRLGEMVKAKEGVENKLYSSYSLGRDRLGLKQDSTVLPQYNGKYKSHSFHSSQKESITLFHTFSDTSASEDGTSSSSDDSGSCSWSSTSSEDMEIDTISRSVEEAGPSNTANVADAMFVGDQSTASRGDVLSRREGMIVTLKDYTPSKRMLKRRRTFN >Et_2B_022103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9150194:9151121:1 gene:Et_2B_022103 transcript:Et_2B_022103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQAVTSEVYDLSAAGGLLAELKEIAAANFSSFSVDYQPRECNKVAHALAALGTERLVGDNPIVDVLPSCIRSLVAEDNT >Et_5A_042462.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1357748:1359385:1 gene:Et_5A_042462 transcript:Et_5A_042462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAGPRSLPAAPLAAFASLLTARRFAVAKSLLASLLTPRLLAVPFPDLAASSLPGGAPPHAVAAFHDMLFRAYADAGAASRASEAFDGTVSRIGRLDPRSLTSSLLSLRRADHLPAAADLLRRALASCPDSITPLSASVVVDGFCKAGRVADARELLDEMPRHGVKLNALCYNSLLDSYIRQKDQDRVNEVIRIMDNEGIEGTVGTYTILVDGSSAANDIDTVESLFDDMKRKNITGDVYLYTAVINAYCRAGNVRRASEVFDECVENGIEPNERTYGALINGFCKIGQMEAAEVLLADMQARGIEHNQIVFNTLIDGFCRNGIVDSALKIKATMEKIGIDLDVYTYNTLACGLCRVNRMDEAKTLLHIMIEKGVAPNFVSYTTLISIHCKEGDMVEARRLFREMTAKGAVPSVVTYNVMIDGYIKKGSIREAERIKKEMEKKGLVPDVYTYASLVHGHCVSGKVDVAQKMFDEMKQRGAEPNVVAYTALISGLAKEGRSEEAFQLYDDMLRAGLTPDDSLYSVLVGSLHTDYRKDTLPQTS >Et_5B_045493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2994324:2996174:-1 gene:Et_5B_045493 transcript:Et_5B_045493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWPGSYCDARGGCCFPRDQKPAADFTIHGLWPYHADCRRGLAPDAPRRCWPDYCNATDPLNTSLISDLESDLLRNWGTLSCRDRNATDFWSHEWGRHGTCSGMDQHAYFRAALDFKARFNLTRILLDAGIEPSNRKTYDVSSIRDAVTEATGSAPSVECNRNERDEAQLYQVYQCVGRDGKSPVHCPRHLESRCTEKSSILSSLSKAKREMCSAIPEFGCVHA >Et_7A_051976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3369647:3375382:1 gene:Et_7A_051976 transcript:Et_7A_051976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDFTVTKWILEVTNNILFPILILWIDASRKHVLDWSARFKIIKGVARGFLYLHQDSRLTIIHRDLKPSNILLDAEMSPKISDFGMARIFGANQQLANTTRVVGTYGYMSPEYVMNGAFSVKSDTYSFGVLLLEIISGLRVISQKFITDFPNLIAYTWRLWEDGNATELVDSSFIENCPLHEVLRCIHVGLLCVQDNPNARPLMTAVVFMLENETTLLPAPKEPIYFTTRNDEVEELRRHMESSLNTESITTLEGRYVRNFACFVTFLLTGLYLAKLVARNSHMNKGQTETDMVHYTIFIFLLLISSCKSQDQLTHERPLSQGEMLISKNGVFALGFFSPTISNRSLYVGIWFHNLSASDSGRTIVWVANRVRPAANTISSPILAISNTSDLVLSDSDGRTLWATHNNAQGAGAAATLLDTGNLVLRLPDGTLIWQSFDHPTDTLLPGMRLLLIHGGRAAEPLVAWRGPDDPSTGDFSFGLDPVSNLQLAIWHGTRPYCRINVWNGVMVAGGMYENSASTIVYQTIVNTGDEFYLVFTVSDGSPYTRITLDHTGTMKLLSWNSNSSSWVVISERPEGRYGLYDSCGPNGYCDFTGHQPACQCLEGFEPIGANSSKGCRRTEELQCGKGSHFVAMTGMRVPDNFVLLRNRSFEQCAAECSRNCSCTAYAYSNMSIVGAMGEHSRCLVWTGELVDTWKTSHYSERLYLRLADPPGKPFSLSLMDLASLPASVILLLSLVSLCISDDQLEPAKPLVFPNDKLISNNGFFALSLFSPANSSSTLRFYLGIWYNNVPERTVVWVANRDSTTIWQSFDHPTDTILPTMKFLLRYKAQTATHIFAWKGPDDPSIGDISGGVDPDSNIQFFIWNGTVPYCRTTVFNDASLSSRTYQSNVTSIFYQAMVNTGDEYYYTFRVSDGSPYTRLSLDYTGKLSLLSWNGKASAWAVLSEYPSTECDLYASCGPFGYCDHTVPVPACRCLDGFVPRMPENGSIDVRKKKHFLTVPGIKVPDKFLRIKNTSYDQCAAECSANCSCTAVFDRLQLRLQRQKNNCEHCSGCRNIVAAAEEITETLCCKETAAKQRGEHTECWHYDRYVEVLGLDWGSR >Et_3B_030328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32272728:32276472:1 gene:Et_3B_030328 transcript:Et_3B_030328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEGQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTVAVSPSFPSDRMWLNGKEISLSGGRFQSCLRQIRKRACDFEDENKGIKIKKEDWENLHVHIASYNNFPTAAGLASSAAGFACLVFTLGKLMNVKEDYGQLSSIARQGSGSACRSIYGGFVKWCIGKKDDGSDSIAVQLADEAHWNDLVIIIAVVSSKQKETSSTSGMKDSVETSPLLQYRAQTVVPSRVLKMEEAIKNRDFASFAKLTCADSNQFHAVCLDTSPPIFYMNDTSHRIISLVEKWNLSEGTPQVAYTFDAGPNAVMIAPNRKTATILLKKLLYYFPPQEKDLSSYLVGDKSILSDAGLHSMEDVEALPAPPEMKTPDQKFKGDVSYFICSRLGAGPKVVAEESQALIDSVTGLPKGV >Et_7A_051109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14580529:14582570:-1 gene:Et_7A_051109 transcript:Et_7A_051109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHLLVPLLLLLAGLAGGAAATTGADAQAACEPTNLATQIALFCAPDMPTAPCCEPVVASVDLGGGVPCLCRVAAQPQLILARLNASHLLALYTACGGLRTGGAHLAAACQGNHALAPLSLSLRILLWPGEFLVLFEFFCPSPPAVPVIAPPPPAAPRHKLPSREAPPPPPVTAKPSPPPQQQPGGAVQGKAVPAIPATTSSQVPAAAPMPPASPTSGSGSDSPGLRLTYWVIFAIAIIVILE >Et_2B_022401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20270370:20272559:-1 gene:Et_2B_022401 transcript:Et_2B_022401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGGKGVGAVLLVLLVAATLQADAAAARRLGIGGVPINEALSGPKPNPCTNDPNNPGQKCHSPGQQEAAAVSVEKASSGPNPCTFNPNDPTKGQSNSRVESIKVSCFDEDVEPFKRPPPMMLGCRRLLELVEEWVVGVSDDGVGGVEGVAVVLVERRVGDGEPLRQVRVGEEQPAEGHQVRVAFVDDLVPLRPVVPAGGDEGAPERLPERQQAVRDLPAAVHQRHARLDHVAVENSQVA >Et_1A_007845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38792598:38795752:-1 gene:Et_1A_007845 transcript:Et_1A_007845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding APNTAPGMLSSGSDASPEASPTRTSPSKEQGSGEKQANLDHVGDRNDTKKARGRKKFTSEKDSFDKREERPSADEKKDNTPRRGTPKKNLVTLSSGSDASPGSSPSRAGEADHEAEMLIPGRRKNDQQAKGKKPKVAGSKAVQDQPGDTLEHQEGVAEEDMEDKPTGNSISQRLPLVLPDKVQRSKALIECDGDSIDLSGDVGAVGRLVVSNGPTGNPDLLLDLKGTIYKTTIVPSRTFCVVSVGQSEAKIEAIMNDFIQLEPQSNLFESETMMEGTLDGFTFDSDEEGDKLPEPHASQNDQNNEDEDQPKQKTKRKAEKPVVCDLALTSFDMLLFSCLIV >Et_2A_015750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17497849:17507719:-1 gene:Et_2A_015750 transcript:Et_2A_015750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVNSAAAALVTIATLALALAASQPIGKPGCNTTCGDVSVPYPFGFGSPHCYWPGFNLTCDVDGGTRHGTNPRLLLGDGTLRVAEISLRNATVRVVRAGSILNTTGEPVVGDAGWNVSFGSSFRDHGFLLSSRNELVVSGCNVVATLLADIGERTPRIISGCATFCTVGDGGHDMGHDNMEAAIRSSSNNGGKHCTGTSRCCQAPLTLTSPPTGVQVRWLCTGNHTGEQELAPVNVFVAEEGWVDRTGLVGAKELQEAPLVLRWMVTQGLPLRNHCADDVRRKLGKSQNSECRTEQPAGYTCICQSGYDGNPYIDGGCRGYSSYSSSSSYHILSLCSLSTRLISLLATDIDECKNQQYYGCFGECTNTIGRYDCRCPEGTQGDHTIPNGCIPIAMGKSFSKGLIICIAVGSGVGSVLLVLATIYITQSLKYMRATKLKEMYFKQNRGQLLQQLVSQKADIAERMIIHVDELAKATNNFDKARELGGGGHGTVYKGILSDQHVVAIKKSKITIQKEIDEFINEVAILSRINHKNVVKLFGCCLETEVPLLVYEFISNGTLYQHLHIEGERSLTWGTSPITLLEDSLTSKVSDFGASRYIPVDKTGLTTKVQGTRGYLDPMYLYTGRLTEKSDVYSFGVILVELLTRKKPFSYFSTNGDGLISHFINLFAEKKTGPNSRSTKEGGQEVMEVAKLAASCIKLSGDDRPTMREVEHRLEGLRASRKCNIEFGRTEMNYSLTNKKWQSMEESSRRYSMEEDLLMSATQATMALVTATALVLAVAVLSTQVSAAAAAPPAPAPIGLPGCNTTCGDVRVPYPFGFEDGCYREGFNLTCDTTTRGSSPRLFLGDGSLRVVDIFINNATVRVLRDGSMINGADNITSDGLNVTFASIFAGGHYRSSLSNELALFGCDVKATLVARMIQSGNVSIPMLESCDSLCLRARPEGVTEHYCSGDGCCQIFDWFHMPTELQLTPLQSRHNNSDLKDYSHVSVFLAERGWLDKWGNQRVSMSGTPEPKSDIPLMLRLDIMPGLTLPAESGSNKECPRDVANLCKSNNSTCTSDVEVYLCTCARGYDGNPYVDGGRQDIDECEHPQENGCFGDCTNTEGSFECRCPSGTFGDATVGDAPQLPGMPPARIGLPDCDTTCGDVHVPYPFGFGPSRCYMRDFGLTCDANHRPPRVNIFLNDSTVRVIHASTFDVTNATDQSFYSDYDNQVGVHFPDIGGPYMLSTRNEFILKGCNVQATLHGTDDDNIISSCVSNCTSSVIGDGTHTDNEYCSGRVAAAMRTSPQEASPRKSNNSPHVGSSPTPPLAFVAEEGQIDLWYMIFNKSTAYFYIRNESWKDDLPAISRNMASQVPLVLRWMVKQDISTSVRFQAYAMRALAIARIYMDLTSAGAREEAVVTPASSVAASRSSQYSLTLVHVQTCAGIQIGLLAASGPALLFLKQKYFKQNGGQLLQQLVSQRADIAESMVIPLEELEKATNNFDQSRKLGGGGHGTVYKGILSDLNVVAIKKSNVEVQREIKEFINEVAILSRINHRNVVKLLGCCLETQVPLLVYEFISNGTLHSHLHNEDLTGSLPWRDRLRIASETAKAIAYLHSFVSIPIIHRDIKSPNILLDDAMTAKVSDFGASRFIPTDQNETTTTAVQGTIGYLDPMYYYTRKMTQMSDTYSFGVVLIELLTRKIPTSYRKIGLVAQFNTLLAEGNLAQILDPQVVAEGGNEVEEVATLAAACTKYRGEERPTMRQVEMALEAFQTSRHPGLENAVGRNSEGNITRNHLLTRRRTNSEEGSRQHSLEKEFLMSASFPR >Et_9B_066131.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:4501389:4501868:-1 gene:Et_9B_066131 transcript:Et_9B_066131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLRLMMFGTPSVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPHHLAASGRPARGQVHGFRMSLWYEHLGLVDDAFARPETVECVRKVNAMADRYWDLYAGDDVPDHDLPGHLLTYPVKVAADGTVTQKPGVEFFPDTQARVLGAKSDYLPPILTT >Et_2B_021629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3741780:3746155:-1 gene:Et_2B_021629 transcript:Et_2B_021629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLTRLHSLRERLGATFSSHPNELIALFSRYVNLGKGMLQRHQLLAEFDALFDADKEKYAPFEDILRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVSELAVEELSVSEYLAFKEQLVDGHSSSNFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLKVHNYKGTTMMLNDRIQSLRGLQSSLRKAEEYLLSVPEDTPYSDFNHRFQELGLEKGWGDTAKRVLDTLHLLLDLLEAPDPANLEKFLGSIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLDIKPRILIVTRLLPDAVGTTCGQRLEKVMGTEYTDIIRVPFRNENGILRKWISRFDVWPYLETYTEDVASEIMKEMQAKPDLIIGNYSDGNLVATLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHIAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSVYYPYTETDKRLTAFHPEIEELIYSDVENSEHKFVLTDKKKPIIFSMARLDRVKNMTGLVEMYGKNARLRELANLVIVAGDHGKESKDREEQAEFKKMYSLIEEYKLKGHIRWISAQMNRVRNGELYRYICDTKGVFVQPAFYEAFGLTVIESMTCGLPTIATCHGGPAEIIVDGVSGLHIDPYHSDKAADILVSFFDKCKEDPTYWDKISQGGLQRIYEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYIEMFYALKYRSLASAVPLSFD >Et_9A_062233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2159793:2160998:1 gene:Et_9A_062233 transcript:Et_9A_062233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSACNGFMMLMVAMAAVLSTASPVAGTLQYDFYSTTSCPKAEEAIRNATWSIITRDRTMGAAFMRLFFHDCFVRGCDASVLLSQSNSNPQPEMIAIPLRGLAAVDEIKTAVDGFCGKGVVSCADILAFAARDTAAIQGGFTFAMPGGRRDGLVSSASDVIQFIPSPAMNADQLIQSFGAKGLSALDLVALSGAHSFGVTHCSFVTPRLYPTVDPAMDPTFAANLKNVCPRNSGGGRALSMNSVSADPNVLSNQYFSNVLAGKVMFTSDQTLASSGATADLVKQNAGGDPVPWMARFAAALVKMGNIEVLTGAAGEVRNVCSATLA >Et_2B_022529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24846404:24850896:-1 gene:Et_2B_022529 transcript:Et_2B_022529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAFLLLAMSILCTAGCSASDTISANSPISGSQTIVSRDGNFELGFFRPPGDNKTSSSSRNYYLGIWYKKGVSQCTPVWVANRAAPVTDAASSQLAVVADGNLVITNKAGKLVWSTNVTSAATTSNGTVAVIMDSGNLVLRGDNGEVLWQSMEHPTDTWLPGARLGRNKLTGEVQTLAAWKNYGDPAPGVYSFGIDPDGSSQFFIYWNKTMRFWSSGEWTGSIFTGIPEMTSHYIYDFEFVSDANASYFTYSMQDPSVISRLVLDVSGQVRQLTWAPAPVEQWMLIWTEPHKLCDVYAVCGAFGVCDEKGEPFCSCLDGFRPTSAGDWELGDRTQGCRRNTPLQCDKGGRNISVDAKEEDAFVLMPGISLPRNPSFAQTSGAQECRLICMRNCDCNAYSYGSGCALWYGDLLNLQQLADGTAGGNGLYVRVSAVNVASKGRKKTIALASVGAILALSAVVSALVLLCCKRRQRTTRFMQAASESGNLVAFKYSDVRRATKNFSEKIGGGSFGSVYKGTLPGSSAAIAVKKLEGLLCLGDKQFRNEVRTIGTIHHVNLVRLRGFSSSRGGERLLVYEYMPNGSLDKALFRRAAAPLSWRARFQIALGAARGLLYLHEGCRDRIIHCDVKPENILLDEGLVPKVADFGMAKLVGREFSRVLTTVRGTIGYLAPEWISGVPITAKADVYSYGMVLMEIISGRRNARSSAAGEPGAMSDYFPLVAARKVSEGEALVGLLDERLNGDADVEELERVCRVACWCVQDDEAHRPTMEQVVQMLEGVVTMDVPPIPPSLQAFDDDAGTGAYDDFFSRWLGVNKNVIKTKKRLRFDARQASKLDSASTYTAKGMSMKPEWISGVPITAKADSYGMVLVEIISGRRNSRCSTAGEPGMMSE >Et_1B_013694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12758014:12762342:-1 gene:Et_1B_013694 transcript:Et_1B_013694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRFLLPLLVLSLAAAAAAAAIDAEDPLIRQVVPGGGDDYDLELNAEHHFASFVRRFGKSYRDADEHSYRLSVFKANLRRARRHQLLDPSAEHGVTKFSDLTPAEFRRTFLGLRKSRRALLRELGDSAHEAPVLPTDGLPDDFDWRDHGAVGPVKNQGSCGSCWSFSASGALEGAHFLATGKLEVLSEQQMVDCDHECDPSEPDSCDSGCNGGLMTSAFSYLMKSGGLESEKDYPYSGRDGQCKFDKSKIVASVQNFSVVSVDEDQIAANLVKHGPLAIGINAAYMQTYIGGVSCPYICGRHLDHGVLLVGYGSSGFAPIRLKEKPYWIIKNSWGENWGEHGYYKICRGSNVRNKCGVDSMVSTLPHI >Et_6B_048295.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:10464969:10465115:-1 gene:Et_6B_048295 transcript:Et_6B_048295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPPSGAIIGYKKGGLWTCSRHWQATIRLDQLLYNKLCTAPGWTPT >Et_1B_012512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32700047:32704613:1 gene:Et_1B_012512 transcript:Et_1B_012512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSPLLLLSALLLILAAAAVQPGAAAFPASPTGSIVKQLSSVVRWPRGASPHAPKHQPAHSPYSDGHVGVALQFESGYFVETLVEGDKLGVTPHTIRVSPVEGGELLAVDAAHSNIVRITPPLSEYSRGRLVAGSFQGHSGHIDGKPSDARFKRPTGVAVDDMGNVYVADTANLAIRKIGESGVTTIAGGKSNIPGYRDGPSEDAKFSTDFDVVYVKKMCSLLVIDRGNAALRKIALPQEDCTYPDSTLLSSDIILVIAAVVAGYLFSILQHGFGSSSSEKIEAPEDEQRESSTIGKPPLVVESLKEELGAGWPSFGTLVADLLKLAFEGAGNLLINTVPQTFRGGKKKTDLTPGKDRLVMPEDRAETVVAQKLSSTPMRSDTLHAPDAVNESAPKAQKSVKSSKFRDSTLSSKHRSSKRQEYLAFYGTSESAQVSAKVPKDRLRHRHREKSGEVAYGTGHPEAKPTELKPTDYSDPKYDPYIRSKYGPDSGYRY >Et_10B_003978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8779949:8789777:-1 gene:Et_10B_003978 transcript:Et_10B_003978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAREHVERIRRERFYIGREERNPLAEDIHQAVSYLSEELYSKDVHFLMELIQNAEDNEYPLDVAPALEFLITKQDITATGADSTLLIFNNERGFSSANIESICRIGKSTKKGNRHLGYIGEKGIGFKSVFLVSSQPHIFSNGYQIKFNEKPSADCDIGYIVPEWVDSKPNLEDIQAVYGCSKSLPTTTIILPLKTDKIFSVKKELSSTHPEILLFLSKIRQLSVREINSDPKASKISQISISSEVDYRTRKDIDAESYTLHLAMQENGKEKEEECTYYMWKQKFAVKPECRIQKRMERLSRGAQSPGVYAFLPTELVTNLPFIIQADFLLASSRESILFDSQWNRGILDCVPSAFIDAFGALLKSSSNAPLFALPPIFRFLPIEASSIVLFDAIRLSIKDKVAAEDIMPCESCTTEKVFCKPTEVSRLDSAFWRILNMARKQGIDMQNLSSHETFILSSYLDCQEYDDVLGFLGIGYVNKEWYSKCIDGLNLVKEASDAVYLEILSFIAENWTKFSRTNMILVPLIKYVGGNGRLSYCSVQRARMQSRICTASNLNDLSWLISWNKELSATSSLLFLPLDTQRSLDMFSGGVQIMGWLKNTFSMEILTPHEYAFTIAKALNDSTLALIYCHFLYHSHAKKYISERVIFNLCHEMPVVDSNGHVVKQRNSLLVPAEGSKWLTLMGKNPWPSQSYIDLSADYGSSRTYVGNFTSDGQIIKFLRTYAQAADVPFVRPPNASFPTVNSPLTMENALLLLQWIKNLRLSLVHLPHNFLGCIRNGKWLKTSLGFMSPSKTFLSSAGWGSKMQVQIVFADLPIIDEEFYRNKISSYKEELRLIGVQFEFANVSIQIGSQPLTMENAILLLEYLNEINKTPPHLIERMKNGRWLKTCHGSTSPESSILFSSEWANASVISILPFIDTSFYGEEIANFRPELELFGVLVAFKQNYQLVVDNFRFSTNTITPAATILMLKCIRCAESSEDFVERLKDLRWVKTNLGFRAPHETFLLDDDWKCLLKVSDTIPLLDQEFYGNEIRLYKEELTKTGLIAGLKDSCKKIMHGVKKLVHTSGVTKERGLALLECYRDLITKHGRLPVDFANFMHRERWLHTSFGFRSPKEAILFSSEWVSIALVSNLPFIDDSNTQYGLGKEIYCYKGELMALGAKVGLEQGAAFVISGIHMPNDVSDVTPEAVLYLLKCIRSWRKNGSALPDDFMSIININWVKTTAGYRQPNGCILFDSVCSSHVQRDDGPFIDEVFYGHELVSYESELQAIGVIVNARAGCGLMVQHLKGLSNADAILRIYLYLEAFRWKPRYTNDDWIWIPYGADEGKWVNPASCVLYDKSNLFDSQLHVLVKWYSFKLLGYFNTVFGVKRHPTVSDYCKLWSMWQGASHTLTEEDCSAFWEFFGKNWSSNLANFLAECIMKVPVYSGDQILLLEKQDVFIPDDLLLEDLFKKQAGRPMFVWYPSAGLSCLTPTKLNDIYRSIGVQKISKAVSSDESEDLNIESVTSFDKSTMIKPGLLRIVLAFLADPILDISAEKRCEMVACLANVVVHETTTPLTVRYQVRLNSGRSIVVTSAGLFRWERENSRLFVTKFDGLSAMDNAKKIEYAAYFAEEISKGLLFEKTDQIPALTDLVRTGFLLDFDVHAIQIFLKLKNLRLFEEDEQFLLHFAISC >Et_9A_062256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21098488:21102427:-1 gene:Et_9A_062256 transcript:Et_9A_062256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPKEMKYRRRARVPEPSEYGQCSERNSGALDWGALKQDPVELLRKLDEIRDQITRSCELMGHPPQRHPMSRRAVSLRPSHAEPPPTGREPEYYRSRYAGRYGASLPPSPYDQLQRQSSGRFRQYPERQWESSGFAQGGRHHSTCQCAQCLQGQRAMAPEEHILMAKYFAGQQGSFRYDRSQPISSELDRRSVASSLYSQHLSMSKRRVEYFRKKAESFCRPMRGAAPFVVCSSCCHLLQLRQGKCTSRKKSQVKCGSCSEIVTFKPKEVKVHPLITSSSLPVLKSVRSSDHRDPKNLGWYQHQDEDNFNFYKLQAHNNHRQKKDSAESSSPSSIASFGRTDSERGSNRSIQLKSVPASRSRFSDNPKDILCQGDSESQAAASVHHTVNPQGPILEDKQIDPFSSQRKDYNGGEQIRDKRYERNTDCQANVRDERIDMKSIQNSKGGYAGALEDEHSNQTQEKIGRQGKTGSPKDEILGNRYKNGFDGDVTSSLEDEGMRQKYDYNSSFRGQVVNKEYNKYVGEDSNDTLEDESITKRCEQENTKDGKLVHSDSKKAITSAKNGSFVNGRTNSISRVSSEAEVDETQSSTAKNGDSSFFAGFLKKFSQSVDSAKVSINGHPISERALRKAEKKAGPVGPGSYWINLLSEINSFGSLFLEHVDAGLLVILFHNALYDHRAGFWGVFGRECSGIIPPFIKEFNYPMPKDCAGGNTCVFVNGRELHQKDFDLLVGRGLPRLAGKSYSVEISGSVIDDTTGQKLRGLGRLAPTIEKMKRGFGMHVPEET >Et_4A_034056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29559742:29565473:1 gene:Et_4A_034056 transcript:Et_4A_034056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPPPPQGSEDDFLDQFFSMAGGSYSAAAAGGGRTAGDQPFSLALSLDAAAEASGSGKRLGGDVEGGKADRDAVQLPGLFPPVFGSGVQPPHLRPNPPPQMFHAQQPKQGGAGVGPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVSDIPLSVKGEASDSGSKQQIWKKWSTDGTEKQVAKLMEDDIGAAMQFLQSKALCMMPISLAMAIYDTQQSQDGQPVKPEPNTPSYSI >Et_7B_054730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3879724:3895465:-1 gene:Et_7B_054730 transcript:Et_7B_054730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWKEMEIRIRKQLQTVELPPSSYDTAWVSMVPVQDSDQTPNFPQCVEWILQNQQKDGSWGTSQFDPSVNKDILLSTLACVLALKRWNIGRENIQKGLQFIGRNFCISMNEQIVAPIGFNITFPGLLSLAIGMGLEIPVRQNDIEAILHLQEVELKRQDADNSYGRKTYMAYVAEGLGDLLDWNIVMKFQRKNGSLFNSPSTTAAALIHGHRDQTLQYLTLLVNKSGGAVPAVYPLNIHCQLSMVDALEKMGISLYFSSEIKRILDMAYCCWLDKDEELVMDIETCAMAFRLLRMNGYDVYSDELSHVVDVSAFHDSLQGYLDDTKSVLELYRASKVSLSGNDVILDNIGYWSSNVLKDKMCFNAVQKTPIFGEMYYALKFPFYATLERLEHKRNIEHFDPRGSLILKTEYSPYSASRDMHALAVEVFSFSQSIYQDELRHLDSWVKVSRLDQLQFARQKMTYCYLSAAATIFPPELCDARISWAKNAILTTVVDDFFDGGGSKEELKNLIALAEKWHEHHEDMFYSEQVKIVFSAIYTTANQLGAKAFAVHGQDVTKHFAEIWLDLLRSMMTEAEWQKRQYVPTVEEYMKNAIVSFALGPIVLPALYFVGEKICKNVVEDKECRELFNLMSTCGRLLNDIQGFERDCSEGKLNSISLLVLHSGDSLSIESAKRSIQNSIDTSRRKLLRLVLRKEGAVPRPCKELFWKMCKILHLFYFQTDGFSSPKEMRWKELEIRIRKQLQTVELPPSSYDTAWVSMVPVRSSDQTPRFPQCVEWILQNQQGDGSWGTNRSDPSVNKDILLSTLACVLALKRWNVGRENIRKGLEFIGRNFCIAMNEQIVSPIGFNITFPGLLSLAVGLGLQVPVRQTDIEAMIHLREVELKRQDADNSYGRKTYMAYVAEGLGDLLDWNEVMRFQRKNGSLFNSPSATAATLIHGYSDQTLQYLTLLVNKYGGAVPAVYPLNIHCQLSMVDTLEKLGISQYFESEIKSILDMAYRCWSEKDEELMMDIATCAMAFRLLRMNGYDVSSDELSHVAEASTFHDSLQGYLDDTKPVLELYKASKVSLSENDVILDNVGHWSGNVLKDQMCFKAVQRTPIFGEIDYALKFPFYATLERLEHKRNIEHFDTRGSLILKTEYLPYSASRDMHALAIEVFSFSQSIYQDELRHLNSWVKANRLDQLLFARQKMTYCYMSAAATIFPPELRDARILWAQNALLTAVVDDFYDGGGSKEELENLIALVEKWNEHHEDMFYSEQVKIVFSAIYTTANHFGAKASAAHGQDVTKHFADIWLDVLRSMMIEAEWQKSLYVPTVEEYMKNATFSFALGPIVLPTLYFVGEKVFKNAVEAKECRELFTLMSTCGRLLNDIQGFEYYFAILTFIGLGPPLQKDCSEGKLNSISLLVLHGDHSLSIELAKRSIQNSIDTSRRNLLRLVLRKESAVPGPCKELFWKMCKILHLFYFQTDGFSSPKEMVGAVNAVINEPLKLPNSPSNHLRQ >Et_4B_036234.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12396473:12397051:1 gene:Et_4B_036234 transcript:Et_4B_036234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRSVSVADRALRGVSDLIKLLPSGTVFLFQFLTPLVTNNGHCAVFNKVLSGALVALCGAFCAFSSFTDSYVGADGRVYYGVVTRRGIHTFAADPGAASRDLSAYRLRAGDFVHAGLSLLVFATIALLDNDTVACLYPALELNERTMMAVLPPVVGGVAGYVFMVFPNNRHGIGYQPAAAATEDVVEHKY >Et_6A_046853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21493500:21502927:-1 gene:Et_6A_046853 transcript:Et_6A_046853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAITTPLRAALLSPASSSPRRRAPSSVRSSSSAAAALPLDPDFDKKAFRQNLARSDNYNRKGFGHKKETLELMSQDYTSDVVKTLKENGNTYTWGPVTVKLAEYYGFCWGVERAVQIAYEARKQFPDERIWLTNEILHSPNINERLYEMGIEIIPVDAGIKDFNVVDQGDVVVLPAFGAAVEEMYTLSQKKVQIVDTTCPWVSKVWNTVEKHKKSEYTSVMHGAYAHEEMVGTASFAGKYIIVKNIAETMYVCDYMLGGQLDGSSSTKEEFLEKFKNAVSSGFDPDVDLAKVGIANQTTMLQGETEEIGKLVEKTMMHKYGFENVTDHFMAFNTICYATQERQDAMDDLVKEKLDLILVVGGWNSSNTSHLQEIGELAGIPSYWIDSAQRIGPGNRISYKLNHGELVEKENWLPEGPITIGVTSGASTADKAIGDALQKVFETKHHTM >Et_6B_049322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3242155:3251983:1 gene:Et_6B_049322 transcript:Et_6B_049322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWGWPWGRRGPSGFSGRSTAEEVTAGVDASHLTAIVTGATNGIGKETARVLALRGAEVIIPARTLESGLKVKESLADQVPASKLHVMEMDLSSLSSVRSFAESFNSSHKNLNILINNAGIMACPFQLSKDGIELQFATNHVGHFLLTNLLLDKMKKTARETGVQGRIINVSSVAHKGSEGSGFDLNKLNDKGRYIAFVSYSHSKLANILHANELSRRFQEEGCNLTANSLHPGVILTNIVRYVASNRVLDPLRPVAELFLKGVPQGAATTCYLALHPDLKDVSGKYFADCNEATPTAVARDAELAKKLWSFSEELVGTSAEPSSK >Et_4B_036938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12873693:12873930:1 gene:Et_4B_036938 transcript:Et_4B_036938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFQGEPKVVAKLSSECLRMDRDTRPEMIDVVERLRTLSKASHQDLQQRINLLMDAEE >Et_5B_045581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4949229:4951027:1 gene:Et_5B_045581 transcript:Et_5B_045581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERKPGFFAALKEEVVRGLSPARSRGKSPAPAARSASPARMLIPRRRKTPPPPPPEKVLQQYLGEQLVARSGSLRPGGEALAPLIEGPDAERLAAGDPDAEDSGRREGFGNWVRGHLTRTPSTASAAAGGPGGSSGSFRRSDLRLLLGVMGAPLAPIPSKLAEPLPLHPVKGTPILLLRIYEMRPVSVHLVSCRSTDSGKMQESSSAQYILHQYTAASGGFKLLQSVRNAYAMGKVRMVASEFETATRVVKNRGPNGRGAAAVEQGGFVLWQMAPDMWYVELAVGGSKVHAGSNGRLVWRHTPWLGAHAAKGPVRPLRRVLQGLDPLTTAGLFAEARCVGEKKVNGEDCFILKLTADPQTLKLRSEGPAEIIRHVLFGYFSQRTGLIVQIEDSHLTRIQPHAGGDAVYWETTISSSLEDYRPVEGILIAHAGRSAVTLFRFGEAAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPADIRSGSVGEACELPSQGERARPYTAVHPARVAAVERAGVCNANAGAHNSNAGGVGSRSGEKIVWRVEV >Et_3A_026882.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2548090:2549424:1 gene:Et_3A_026882 transcript:Et_3A_026882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVGHGHCHDLKPPAAARIRHCRLRSVAQLDRPDHHQEHGSDADGGGGHTGLSPKRRTQSPPCFTTVAASGAGGQSHAEQAEKKTTMPRVEVVAGRHARGVRELIAEAAGAITSGTRLVPAQSGLGGALLLNDGRSGEHVAVIKFLDDGDDSSSAAANGTTGGYAKEAVLREVAAFLLDHDGFASVEPTALVKISRPASSTTTMASIQRFVAHEYDAGELGPSRFSVASVHRVGILDVRLLNIDRHAGNILVKNPSTTTVSPPLLDLVPIDHGLCLPDQLDDPYFEWLHWPQASLPFSGDELAYIASLDPFKDAEMLRAELPSLKEPAIRILTLCTVFLKRAAAAGLYLADIGDMMTREVSAMEEGMSALETLCKECHDSVRPPTPGGVGEGAATTVSSGGRKHVSFGHLSGEEWAAFLDRFERRLPAALEDKRSAAPGVKL >Et_4A_035288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17582182:17594131:-1 gene:Et_4A_035288 transcript:Et_4A_035288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGQSDAHGREPGQRRPMCNVCTKPLRLCLCGRLRSPPVDTAVGVTVLQHAMEVHHPLNSIRVARLGLRNLAVVQVTDVNHCAHFSLTTLGAGGGAAASDLGGGIAAPVAAAAPVAAAAPFGNRGPSDGDGEIVKQIGDGLGRYEGERFEFALLPDGICGQSAGKICAAYNFEKTTPNGCGDLVMEDSNLGGSDEKGDLGDVHDGILCSEVDSLGCSHNGDVKFGLKKSDGPPPDFERPNSVENQIAKSDINSVNGESCYGSEAGLTYKTNGNSVPVLANGLEVNGTMHHSNGVGNEKEEDCAVPGQHWTREKKDKCTIAYTEKELQIDIERGVKPKIRWLSRGPLGQAAVLNGFVVTKIQTKKSKLTGEVTEFEEFSITIPPKSALLFPCQQAISIDASGCQSFLDRPEHRLGSPNVRALAFCTSDIYKESCCSLEAKEARRRRGSSKKTRWRCRQRATAPTACTGRAHPLRDPGLSLADLVLRRAAACPTALALVDAATGRGLTFEALRSAVLATAAALSSRERVRRGNVVLLLAPNCVLYPVCFLAVTAIGAMATTANPLYTPREIAKQVADARPKIFVTVSDLLPKIAELRLRTVLLDDGAAAAAAGGASVTLYSDLVAGVRETDYRRSPATTRQGDTAALFYSSGTTGESKGVVLTHGNFVAAATMVTSDQDTRGEGGAHVFLCFLPMFHIFGMSVVTLGQLQRGNAVVVMPRFDMDAVLAAVQRHRVTYLFCAPPVMIALAKYGSGGKYDVSSLKVIGAGAAPLGKDVMEAVASNFPHAEIVQGYGMTETCGIASLEYTQMGQARQFGSTGTLVTGVEAKIIDTNTLKHLPPNQLGEICLRGPNIMQGYFNNVEATELTIKQGWLHTGDLGYFDEGGQLFVVDRLKELIKYKGFQLLYPFSRSPDVEAGQALIVAYYKRLRKVTFVDSVPKSASGKIWRRELIAQMNG >Et_1B_014343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6301606:6305759:-1 gene:Et_1B_014343 transcript:Et_1B_014343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKLDDQEAVALCRGRADLLAAAVRHRYALADAHGALGDSLASVAAALHLLMTASSGAHHARLVLPAGGKGVDPPPPPPQKPPGGSSPPHSSSHIDFAPSSDSESSSSASSSPRRRDHHLDRRHPHPPPTTLPYPHYGHGGYGYPDEPPFGVYPQGSLRLYSSRSRPPPPSVAVVEQRAAAQPERVYFGYSEPAARGYPEYYHSYGGGEPVKAGRAPPPPSPPRESSWDFFNVFADYDVYDNYCYDTGGAWDASAAAAAYTPSRTSRELREEEGIPELEEEDVVVVKQVDSEYSTPGSGARSRRSSLGGVSSGNAEADQVENSVVDKEVTGRVNVAHQQATTQRNVAAPAPAARGPVQGSDVAGQIKRQFVGASDAVRALAPNLEVGRRRHHPRSSVYHVSSRMVSAVVAPYPNHAPVELLELGEEKVTGGTSLSLTLQKLHIWEKKLYHEVKAEEKMRLLLAKNAKRLKFLDQKGAETDKIDKTRNLLRKLSTKIRVSMRVITKVSKKIDRIRDEELWPQINALVRGFMRMWQDKLDCYQIQCQVMSDAKSLDFISGGSSRELAMELELELIKWIVSFSCWFNAQRSFVKALNGWLALCLNYKAEETADGVPPSPRRVGAPLVFVICNSWSQAMDRISVKEVVTSLQALVSTVRRLSEQHTVEQTEQIIAIREREKWNKILERKTLEINKEADALNRKLALVPGRQSLLPSANTYKEHHLEASSLQASLGRVVHALESFASSSMKAFEEILRHAEGERAPRENAKDAVQMMDCSVRTADPEHGSNVRKADTP >Et_7B_054258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18614864:18615161:-1 gene:Et_7B_054258 transcript:Et_7B_054258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWSLTPFCKNMLGIHWFRYTKDRNVVNLFKAQLKCAIMYGKNKSHGMEHNQIYSLSGNKHNGSSIGSKICEGIHLGYP >Et_3A_023011.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:16952912:16954285:1 gene:Et_3A_023011 transcript:Et_3A_023011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSATPPDAHTFACIVRACADCSHPTAFRVVHGVASSCGASSHPIVGSALVSGYSKLGLVEDARRVFDGLREPDLVLWNAMMSGYGYQGMWQDGIVLFRAMQRAGKRPDGYSMVGLVSCFSNPEAFAFARGVHGFCVKGGYDSGHHVRSALVTMYLRCGCMESGRFLIRNLPDADLVTWSSLVTGLLQAGNYAESFHLFRQWCYSGRRPDCVLIASVLSACASTTDIACTREVHCYAVRLGADVDIKVLSSLIDAYAKCGFPELGYWVFHKMPHKNSVMYNTMISNLGSHGFSNKAIEVLDEMVNDGFSPDSATFCALLATCCHAGLLDEGWKLFRRMRDEFHIVATTEHYVYMVRLLATFGQLKEAYDLIQTMSVQPDCGVWGALLWGCCIHRNSSLGRMVAEKLIEFYPDNPAYRIMLSNMYASQEMWWDAHGVRDELTKEDLHKNIGISKVAE >Et_3B_029183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22834090:22837899:1 gene:Et_3B_029183 transcript:Et_3B_029183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAVHSRRRLLPYLHRLLHTGSAPSPSPSRFLRHASPVPRLADHSPFLRFPAARVSTLPSGLRVVTQAYPANTRMASVGVWVDAGSRFELPGTNGTAHFLEHMAFKGTGRRPNAQALEVEIEDMGARLNAYTSREQTTFFADVQARHVPAALDVLGDILQNPRFPERAIQRERGVILREMEEVQGMMEEVIFDHLHAAAFEGHPLGDTILGPEENIRKISKRDLEQYISTHYTCPRMVVSAAGAVNHDEVVDQVKELFTQFSTDPTNADQLVEANPAVFTGSEVRVENAEMPLAHLAIAFKGSSWTDPKSIPLMVIQSILGSWNRSIGVGNCSGSALARGISNGNLAEGLMAFNTNYRDTGLFGIYTIAKPDTLRDLSRLIMEEFRRLANGVSETEVARARNQLKSSLLLHIDGSTAVAENNGRQMLTYGRVMPFLELFARIDAVDCATIMETAKEYIIDKDVALAAVGELYNLPELSWFRSQTCSDDTFLRKIFF >Et_1B_010847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14725292:14740469:-1 gene:Et_1B_010847 transcript:Et_1B_010847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAVRLMAYSSNNQRIDGAERRSSSPVRQLLRRLWRRGTARPRPAAPVSFGYDLHSYSQNFDDGLGSMASVLSLYGGSSRRSAAQTMSGSGASRSAAPVKQLLRRLQYTLRRSASRSRRAAPVSFGYDLQSYSQNFDDGLGSSLHRLFQKVEDRKKCTVHVHRLCRGLRASAYRP >Et_8B_059636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19985157:19990158:-1 gene:Et_8B_059636 transcript:Et_8B_059636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPGLGHSPLPLPIPRSRRRAPLELPNRCPLLPAGPPLLRPCRATLVTPPTPLDDDGESPKSRVDLPLLLAATVAVAAAASPHAAIAASGGTMGGRSYSSSRSSSSAPSYSSSSRSSSSSFSSSSSSSSSFSSSTSTSTSSSSWPQLSSSSSSLAEQQKEAMHVSVGTAEPPRVYTAAEREANLRFWGYLASASVSAVALFLAARHYTRPRTTVVKLQVALLGLAKSFQKDLNEIADKVEASNQRWYKFILTETICSLRRHNNCCVSSSLSVNLKDRDSWEQNFDKISIEERSKFDEETLYNLEGIKRKKTYSRKPDGFRNEYIVLTILIAADGALKFPEIRNYSDLDAVVEKLNSIPAGQIQGIQVLWTPQEENDVALRGSDAAKSLQKDLNETAEKVQPSNRLWYKFILTETIRSLWSRKDFCISSSLSTIGRSFLIKISLEERSKFDEETFSNLNGIKRKKIFSKITDGSRNEYIVLTILIAAKGVLEFPEVREYADLEKVLSKLNYIRAEEIRGIHVLWTPQEEDDVLSEEEMREDYPYLKPLGRSRL >Et_1B_013300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7157407:7165046:1 gene:Et_1B_013300 transcript:Et_1B_013300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLATDYFAPSSSAADVSSDQALALAALPFPSLPAPTLPPDPYLLDLDPFPADLLTPVSVAGDDLDSLPAGSALSELLAAFIPRPLPVPDIPDAEGVDDYLYGRGGNGKGFSSTDPIAWSGLDEISNEKCEKEEGTSLGTSTITERWDLLKELRFEVIEVDFPQLPALSQPCGFVAPKVLQRKLASLDGEELDRGVTVSFGIPEVKFHLDFIDIDTETTIAYPVELAESIYQVEKIPVIHDVDKDCSYARDNSCLEIAKLEPDVMIPQLEMSRYSWELDECSTKAEISNIFLSVVEHLNHGAQVHHPECDSTEFLKSDVDMLSFVCKDAPRVDYQADKPITDKAIAEMDLVRIDDNILVDKKSAIYPLKPDGTCSDLPCSVRFEEIEIFDIPSNDAFEMLVHSEKVEMKPSDEIFKDDFDSARKFYESLVSSELALVDDTFRSLPVPILNDDKLMKSVLPSTEEVLSSLKPLPLSAADGIYLDWHLLLEEPCNREICSTYASMVEEVKPYSLSSELKTSCQQTSALGIDFLEDFQRSPIDQHEDKKREIYAPMPISHDPTANSETNHRKESDSRDHSHMKKLSSEKVSSLFESMPQSDGLNFYLNARSDTNGVRNNRSVATLDIPPKQQAVPVSVRPKIDKLIEIHPVSLSDLIRGLIKDIHVSYTSALGESAYLRHSFSIGQGLSISKQKLLELITGDGSDGLYNNCKVEDKIVLTVLYALKQVAYYLCFFGLHAAHLYIGNLTGSFENIPERLRHIQCCIAEAQFNAERQLLESHPSLSEIGTILRSNTHIGQRILIVADRAFWLTLGQKLTSMKMTFVEAGKYATTTYLDPVTKTSSKDWVLKHSQIYDCILLDNKEIPASFPFSEFGIILEYGGPNKSSALLSLAPKLDGLPPLHFLYVKEDGEDFPVDLIEDNHTDQDLKTTMDTVLHTLQKDLREKMNKMCIVDSLNFIPATNQVQHLQEKLNKHLTSDLSAKLPVGGHKHGNHGEKNIVGSHNFVPSSEQLKSLKQITIGNPQSFVPAIEKSSSTSSVSANVMKGPRDNHSANDFPVSAKIGNTESRRLSAPEAVIVVNTGNHGKCMLFSRRSSYQQILALEKGGMQVVERDVDLPVDLILSAAMCLVWYDVKTFGSSDLMVSAETPSLTYFTEDIATNILMSLSFSFSGCIMIFEGESHLLSAVTVASDSLYASAASLDMSLQIFFSQTPKFTDQIILNCIRNALRINRVPSPDVFETESLAESFLTAFPSVNPLSAHMILSSGSLVNFLSWSHEQRIQAMEKYLLPPQSISLFSALCKFGELGESRSVMTDCSSVDSDISSALLHSPRRRKKRALQDVSVPINDPVRANILNQLCGDYVEHDKVFSPPKLRKFSDMEDTLPELPEAFMFDQSLNLGSEGACYQPRKHDMNAVTGNQMMYDDFSNGLTPNLRTYNEKTSSMVDRCNFSSQSELGGKKPIRSTFATNRPSLDRTYSQPTFPTALEINNDLGEWDIPCSTNQTGSSHLYGEFATNSFRDGPGSSYHEPGEDIMQNTASSLAFLSQDFGSRPTSRGSGWEIDYLRQMNEKRISRQERYGCKASATLSNPRVRDCSSRTLNAPPIESFRYQRNTHTPSRDQNPSSNGAHRYGPAGSVRYQITIDTPVRDQGPSNGALRYGKGREGTRVQSNRLRKDFMIQPSINHEKSMAPSIEPSWTPVDKRARQKLSFTTNGKEKQSKLVWRHQNSPDVRCGFRKRYREEGT >Et_4A_031796.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:15755922:15756206:-1 gene:Et_4A_031796 transcript:Et_4A_031796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDAAEVYVISFSSFSSTEEADDAFCKSDLRLLDTEICPLCATLLEDEALTLAAAWLLFREAGTSWLCFPSYVVITAFGSCEDLSICFFTSHP >Et_9B_066090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3004180:3005190:1 gene:Et_9B_066090 transcript:Et_9B_066090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFTVNLRDGFNFLTAVRGEDLFLLLLHVVRAPFGRAISAVLIRPQARAVSTSSPATRTTDCTLELSYWLDSFGDIPRLSMHDQRSLFRVGCTDLSDGMPDPNVSFQFVVPSYVPGHNEDLIEVTASK >Et_2B_021614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3610929:3616652:1 gene:Et_2B_021614 transcript:Et_2B_021614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDDVEEDDMDFNPFLREGSPSETSSSLTSEAECEEHCTGNQPSRETYPLNSQGTENSSGSALPQNRLLSKPVSKDDFGEKSSTQVQCENDDGSCNVLEKEVLPNEGARSSTVQSSDQLLLKGSEEDAICRRTRARYSLASYSLEELETFLQESDDDGDPQNVDEEEEYRKFLAAVLSGAGNDTQACQGDENQDEDENDADFELEIEEALESDGDENAENYEDTIIRKDKDGHRPQTRKRRPFTELSGPGSYRHESTKTQLRPLLPYVPPALLTPERTLGWQHPSQNALFPSPLISATCAPLVSGFTDQQLGQLHVLIHEHVQLLIQTFSLCVLDPSKQDVASNVKKMIVELVGYRDQALTTSAPFRRFFFESQHLRSLSSVPSESSGSKWVPLIKGPVISILDVSPLQLAPGYLSDVATAVVKYRRSHVDGTADQNRRKEPLFPLLVMDSSKDADNASQGRSNSVPTASSLSSGQIQQKKSLAATLIEGTQKETVALVPSDIARLAQRFFPLFNFSLFPHKPPPAAMANRVLFTDAEDRLLALGILEYNNDWGAIQKRFLPCKTNHQIFVRQKNRSSSKAPDNPVKEVRRMKTSPLTIEEKECIREGLKVFKNDWTSVWRFVVPHRDPSQLQRQWRAASGLQKSYNKSEAAKERRRSYEAKRRKLKASMPDSRIAREQEADNNSSEGVENDDDSYVNEAFLEDTDRSMNTMPCQLSLLSKNAGQSMVMQSGTSHDEECDATCNYIEPRKGSGRNLDVTTSYVPFISGTSDGPSSVRAPSTTPPTVSSGPLDQLQASRYCKEKGSCVVKLAPDLPPVNLPPSVRVISQVTFHPNATHFNGTSDNAAKDMYHVRPMPFTESAYRQLNLFPNHGTSSRLRQSGISNENNTEDGAEQDFQMHPLLFQYPRDVLSSCSHPVRNLINHSRKYDSYPFEKVQIERTNNQTTGSTPGNRSTVNANTIDFHPLLQRTDDVAHEEVREDDYQHSTCNMTEAQVDDQSTAGQASTNPYDKENNIDLNIHLCSPMELKKANDLRDTVGKLNVQDMGSRKDKASVSELEIVKACSHHCIQEPNEESMQGIVMEQEELSDSEEDSQHVEFECEEMDDSEEEQIESVEASLIENKGTSASVICGDFHGSNVQSQIQQGLVQGGATLAQNLQGLSRSARAKLKPETAKRIGSRANQRLPTSRTGEPSGTKSRSSKMQQGQSSAVRRSNDSRRTRKNPAPS >Et_2A_015243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:105709:107173:1 gene:Et_2A_015243 transcript:Et_2A_015243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQQQPPVSLQEERRLRREADEAALQGRYSLLAERGKGQFAKVWEARHRLTGVKVAVKIIRRAKSGVPMAKVEREVWVMRLLRHHPHIVHLYEAVVSGDGRKAYLVMELAEQGQLFDYVTTSDRGRLPEGEARRVFRQVADGVALCHRAMVVHRDLKMENVLLDKERNVKIADFGFSKPFRYTKVLSRCCGSPEYAAPELHDGRKYVGPEVDVWSCGVILYTMLCGAYPFSQGDDDDIARMQRNIRGGMLKLPPHLSRDARDLIAGMLVVKPDKRMTMDEVTAHRWLLLPPSTDHPSHVGDPAHHHQQSAAVEEAAALCGVDRNALLHALRNGVENEATVAYHLILRTRRSSQQAPAPAGWSLPGGVDVGDECPRQTMRHIAAVARDLGILCAFQTPTTLLCAQQQQQQDQDAVFFEIQLYRPEADNNTNYVVNLKRLSGPQLRYLTICSHLASKLRQIYSYSP >Et_2A_018628.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3606432:3607889:-1 gene:Et_2A_018628 transcript:Et_2A_018628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARIKAIIAEARFGSGGAGYDSSSGGGSSPASSVHSDSDDSSSADELCHELREAPRHASPLRFSSARDDTDTSPVSGSSPCAHHHHDDDDGIKYQQRMLLVLPAFAFPASAAARAEALTHWLAGFDVAWVVGATRESLPRREVARRVRAWAQALSAMERVFRLRKPELPAEKVPALGELAAASAGAMLNLVRAVSALESSPSKLLAALDVYAPVWETYPVLARLFSWAPSHPVLAAAETALADLVDAARRCRRDLGAFIRSHYPWQMPQGGEVHPCVGFWMGYFRCMLRNRISLYFVLGNEDGGDGEGGRSLVAELISRLDAVLEEKAAALAFPGLRQLFMLNNTCAIMLHAAGSDLKLFLPPEWVRVREERMEGYIKGYMAASWAPVVFRLDGGGGRATPDIVVVSRRRNGLSKFCSALENACSAQRCWKVRNPVLRGILRKVVSESVVPVYRRFLEDHPEVQVAAGLAAEELEHKLSDLFEG >Et_7A_050496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19739572:19740580:1 gene:Et_7A_050496 transcript:Et_7A_050496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGYGGRAEEQAAAIQEAAAAGLRGMEHLITQLQLSSRAAGETTAPTSAAQPEQVDCREKVISMLNRRTGHARFRRGPVVAQSEGPLSPSLPPAAAPARSAPPPPPHPVTLDFAKAGYDYGAKELSLSVSGGASSSFLSSVMTGDGSVSNGRGGSLAGQKRRCSDHAHSENLAGATGGRCHCSKRRKHRVKRTIRVPAISPKVADIPADDHSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERDPADPAMLIVTYEGEHRHSNH >Et_9A_061866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17279698:17281607:-1 gene:Et_9A_061866 transcript:Et_9A_061866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTSLPPGFRFHPTDVELVSYYLKRKIMGKKLIVDAISEVELYKFAPWDLPDKSCLRSRDLEWFFFCPRDKKYPNGSRTNRATPNGYWKTSGKDRTIMLNSRIVGSKKTLIFHEGKAPKGDRTDWVMYEYKMEDDSLVSAGFSKDAYVLCKIFKKSGLGPRIGEQYGAPFNEDEWENAEGQTSMFNLRPSSEVVNPVADENDQHDVPATFVREESSFDATAGTCVEDLTFGIVAAPAIQDGYQSENNVSDEVNNPPEFDGFLLEELSKFLADSPVNDNAVGEYSGLPPMSEAELQAFQVNTFDLYNELSGFSGSGGEPNNFGTVAGPMEQNILPADRQLSTDDFIELNDLLAPDTSFPCEYPVQNNQFSQYPHAQATYNVHCNDMAVLSSFEPSGSLQSMPSIFDMFPPASNNGFVTDQAANLSDPSMQFRFP >Et_2A_018492.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:360829:361269:-1 gene:Et_2A_018492 transcript:Et_2A_018492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYSEMPRVVLHLLFLLSHLRRISSWLLRLTGLDIAAAADADHHHLHHSDEGAVHRLEEHSPAVRFDSLSGGGSAAALPEGCAVCLGDFDGAAEVRRARGCRHVFHRGCLDRWAAHGQHTCPLCRSPLLPPRLLPLPLPLPAS >Et_7B_055730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:323627:328235:1 gene:Et_7B_055730 transcript:Et_7B_055730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSAPDPPAADSPIHHLPPDALHNVLLRLQLRDAVACRPVSHLFHEALSAQFLALLPTLRLLLLRHPRPEGGGCLHAFDPDRRHWLRLPFTHFLPYQSFSPVASSTSLLYLWVETSTATSPAALPSASSSSSPAHPPKSLAVCNPFAGTYRLLPPLGSAWARHGTVLAGPGGTVLVLTELAALAYTPSGSAKWMKHPLSLPSKPRSPILASSAAAVFALCDVGTPWRSQWKLFSCPLSMLTGGWAPVERAAWGDVFEIVKRPRLLAGAGGRRVLMIGGLRSSFAMDAPCSTVLILRLDLATMEWDEAGRMPPNMYRCFTGLCEAAAQGNAMPTAAAGGNNKVKVFGGDGKVWFAGKRVRGKLAMWEEDETGTSGGKWDWVDGVPGYSDGVYRGFVFDEPFIRMHSVKLLGLDKAHAVGENAAEWKECIIITSYTDNRNDLAADSF >Et_4A_034676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5371578:5372663:1 gene:Et_4A_034676 transcript:Et_4A_034676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGMADLRLGDFEFFKILLPGMSKNKLRLPSKFEQVLGERRDVKMRLAGAASMPLWEVEVVSGGDDGVYLGRGWRQFARTYELREGHLLVFRYDTGDVLNVTVFDPSTCRKHYPLHADGGAGAAGAGKSLPHINEPSHFAVTLRKCNLGAKQNQYLNVPVEFQDAHGYARRRQVSLRMGGKSWTVNLKRGKRARGDRTAFKYGWHQFCVDNGLEVGDTCFFRVIRERSGIKEEDAEDEQWEWEEEEEDDEHVLKVEVRKKDGAFVA >Et_6A_046625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18411319:18415426:1 gene:Et_6A_046625 transcript:Et_6A_046625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLETYSLDAHKKFIKQCVDKVFNDSDDEEVAEDKSNHLSKEGSEDSQAMSASKKGSSSADEQVVRSSEKEKDPNGEKDQASGSNINEDIIKGAIDKRASYFRENSETLTLQGVRRTLEEDLKLAKKALDAYKELITKELDRVLQEPANGTKKSSKQGPRKDAVQKSSKASKRAREDSDTSELNDSQSEMEDSDEDARPRKRRSEKGKVMKRQKKATDEKKLSTPKAKKVVKRESDRSHVEQDGNSAEEDNSRSSDEEDNKRKRQPTPAYGKQVEHLRSIIKSCGMSVPPHVYRRAKQAPENKRDALLIKELEDILEKEGLSKNPSEKEIKAVKKRKERAKELEGIDTSNIITSSRRRNASNFIPLPPPKVVVDSDDEDDAEDDEDDEEIIEGAEESDNDDVEGDDGSADGTIPVISYI >Et_3B_031439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29951139:29954188:-1 gene:Et_3B_031439 transcript:Et_3B_031439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRELRPLRSIRITGDGRCLFRSVAYGACLRRGKQSPSESLQKELADELRAKVADEFVKRRGDTEWFLEGDFERYVSQMRKPHVWGGEPELLMCSHVLRMPITVYMHTDSSDSPRIIAEYGQEYGKDNPVRVLYDGYGHYDALQSSLAQDLATSSASC >Et_3A_023389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24982448:24983019:-1 gene:Et_3A_023389 transcript:Et_3A_023389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDFLSARLIPTSPSSQSKTSRVAFASVGSARVPTWHSAATFRCWNLTSTVGIWTPARSMRARSFLRKRALSSSATRNTRSTKAWRCARPMARTSTSSCPNRRRLASSSARMRPPRCGAGRTRPRSRRSRPG >Et_9A_061708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15607033:15609583:1 gene:Et_9A_061708 transcript:Et_9A_061708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEKQEVRAVAAATEARQRLVIGVGFWVQGFRLFPWLGVNFFLKDGMGVAASSLQILQASANLPMVAKPLIGLLSDAVPIRGYRRLPYVAIGAFLQAISWLAIALWPAISLQVLTIFLLLSNFGASICEVANDAIVAEAGKQAASSAGSGQLQSFAWMFGSSAGALGNLLGGIALSYFSPKVMFLFFAILLLLQFITTVAIPESSLRLPKAATNLSAISSIRKQMNELSCALCMPEMFWSIIWFSVSYAVIPFLLGTMFFYQTEVLRLDSSVIGLSKVFGQVALLAWSMSYNKYFKTMSARKVLSVVQFVTALVMLSDVLFVQGIYRKIGVPDSIYTIVFSGLLEGLLFFKVLPFSVHVAKLCPAGCEGSVMAFVMSALALAIIISGYLGVALAAFMGVSGDNFSALPACLLIEAACTMLPLCCSSLIKERREKEKKEQ >Et_7B_053658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10891877:10897415:1 gene:Et_7B_053658 transcript:Et_7B_053658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLAAEHDLPSTSGNLGYFHLLLVDPAPALLVLRSDRLYSLSVSRRRGHRLRLLLDRPRRRRAQGLLLSTYGCVLRLTHRSPGAGGARVNGRPLRAGTPAELAVGDEVSLLRCGARYVFVVESFVSCGEHEGGAAGVARSRAEGLVLRAESLRKRLRAISESEDPLSFFRDSQFSGNGSAAAGIKEFGQDGDAELRPDHAISPVPDVNFSREDCSIEQDKLEHYADVVKDDNVELLQESKGCSNGKQNKFTDVSEQYHNEGCYSDGSKFFLNRLVGFGPDTVAKPDSGVTLPQLLHPMESLVRVFIATFTSDISWFLDYCKIPLHLPVTIACHNKERCWSASRDSRMAAPFESHPNVLLVYPRFPEEIAFGKDRKKQGVACHHPKLIVLQREDSIRIIVTSANLVPRQWYLITNTVWWQDFPLRTSLDYSALFGAAEKSESDFAAQLASFVASLVHEVPSQAYWINEIAKYDFEGAGGYLIASVPGIYVQSPSFFEPNYFLSAKHILRTRSARSMVLGSVQTSVVGISRRFHIPSDAGSQLKALSAYLGKCHVNMRGTTEVILKRNTNIPADANAVSVHVADLNNFSEEEDSVQLGFLPREVAKWVSPLSDLGLFSFSGFIYPREALEAAFGVTTTKVQLLLCVSKGPEFSRISELILDEHFPPLCSLVASLDRCLGLWRLEEVLSNIKWPETLETDFIYSASSIGTSINPQFIASFASATGKRSNQDPDSQESDPEWGCWTAKNELKKPSISLLFPTIERVKQGACGIQLSRYLLSLPEKTWQRLRSTGIFHDAIPHPSARIGHPMHVKVARRRFEARHGGRSFGWIYCGSHNFSPAAWGQLLSPPSKANATGSRAAPCGQKLHICNYELGIILISPPPGISKHARKRMTVIDDISLPFVVPPPQYKQGDRPATPLAMREAMAEACLLQSNIPVDLSEETDEDTPDEEDDDVVELSDCSQEEKEEEKIYAETLWGQVHSSQGQDKD >Et_10B_003047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15890492:15893562:-1 gene:Et_10B_003047 transcript:Et_10B_003047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMNFRDWISYRLGSSLLSARPFALSGADDGASEGDAQGTTNDEFVETVSANRFLSNDSRASEVSTNPHAAAIHSGLLHPDDDSKMSDPLRKVEALQIKFLRLVYRTGVPPSTDVVAQVLYRLQLANLIKAGESDARRTNLAINKARVIAAQQEAPGGPDLDLPLRILLLGKTGVGKSATINSMFDETMVATGALSPATDRIKKIEGTVKGIRVTVIDTPGLMPHYHSQRRNRKILHSVKRFIKRNPPDIVLYFERLDHINSRYSDYPLLKLITDTLGSSMWFNTVLVMTHCSSSPPEGPDGYPLEYNAYTRYCKNVVQRHIQAAVSNTQLDNPVVLVDNHPMCRRNAKGERVLPNGQVWVSEMLLLCGATKLLAEANSLLKFQDSFLLSQANARLPSLPHLLSSLLRPRLSSCADGIDSEIAEMSDEEDEYDQLPPFRILKKSEYEKLTKEQKCAYLDELDYRETLYLKKQWKEGIRSQKLAEAQTNDSSYAAPDDYEESTSPDVVHLSDMEIPLSFDSDYPAHRYRHVITDDQLFRPVLDPQGWDHDIGFDGINFEASKEVKKNVSAAVAGQMRKDKEDMYVQSECSVSYSDQRGYSAKGGMDMQTASRDLVCTINGDAKFRNLSWNTTGAGISVTKFGTKYFTGAKLEDAIAIGKRVQLVANAGRMAGCGQAAHGGGMEITVRGKDYPFFLRRKPAAADKGDQQFDNYLDD >Et_1A_005252.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35431523:35431657:-1 gene:Et_1A_005252 transcript:Et_1A_005252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNCYCCQNGEYCYKTRKACQDNCPACSPKCPPAPQPLPRLSI >Et_1B_009679.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:13977080:13979973:1 gene:Et_1B_009679 transcript:Et_1B_009679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATLLAHIAASRFSRVEAVTGASTAAAAHRVLGLLLRTAPLPPLPSLVSLARWSRSHFRAPLPLPLDALLLARLASHGGHSLLRSELHALAAARLHSPAAILRALPSSRSAPLIADMLVHAFAKASQPLVAYEAFVLAGADHPRHRPSTFSVNQLLAALVRADRVDLAEKAFRVALRRRVSPDLATFNTVISGLCKTGQLRKAGDVAKDIRAWGLAPTVVTYNTLIDGYCKKGQAGKMYHVDSLLKEMVEAGISPNVITFNVLINGYCKESNITAAMRVFEEMKQQGIAATLVTYNSLVSGLCGEGKLEEGLKLVEEMEEAGLAYSVSTLNSVLNGLCKKGMMEDAEGWVDGMAGKNVKADVVTYSTLVDGYRRLGKMEEAVAVKEAMAEKGISPSINTYNCLITGFCTSGDWRSVSSLLDEMKEKGVRADVVTYTVLIGALCRKGDVQKALKLLDEMVEVGLEPQHRTYNPIIDALCAKGDTKSFYKIRSRMEKCKKRANVVTYNILLKYFCRMDKMGAANDLLNEMLERGVIPNGITYEIINVGMVEKGFVPDIRGYACSDTSKT >Et_7B_054503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22151338:22155472:1 gene:Et_7B_054503 transcript:Et_7B_054503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNVFRFCTALRGLGSIMILLVLTIVGVTYYAVVLCSYGPALLAGGATTLAALAVLLLFHFLLAMLLWSYFSVVFTDPGSVPPNWNLDFDVETGETAPLTSSEFNSQMNSQQSMAHGGTGNPRVRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTLSLLPHFIAFFSDVEIPGSPAALATTFLTFVLNLAFSLSVLGFMIMHISLAYEKKTTPHWMYDLGRKRNFAQVFGNDRRYWFIPAYSEEDLRRIPALQGLDYPVRPDFAGQEL >Et_3B_028775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19015739:19018554:1 gene:Et_3B_028775 transcript:Et_3B_028775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRLHTLAPALRRAAATAAPAAPAASSAARAAPLSSAAAAFRRTSPLLSGDKSVTVEDVMPIATGLEREELEAELQGKKRFDMDPTVGPFGTKEEPAVIESYYNKRIVGCPGGEGEDEHDVVWFWLKKDEPHECPVCSQYFVLKVIGDGGDPDGHDDDDDAHH >Et_5A_040174.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:19117216:19117590:1 gene:Et_5A_040174 transcript:Et_5A_040174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYFSLPDGAPKTYCRRTTLPPLVVDASSYGLMQLVNHIAEHFMWGSKQYISLWRQSEHDEDVRFPIKSDEQLLKWIELNLDKGVVHIIAEINDFEGSLQCSRTKRSLHPKVRERLLETPSTPS >Et_3A_026974.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28503963:28505885:1 gene:Et_3A_026974 transcript:Et_3A_026974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREPSTSAAAIAGDASLTEVTEPWSARVRSLTRLGRHREALALLRHGDPSPPPHALALPAAVISCAALSLSAGVAQIHALAAKRGLLPSADAYLVSALLTSYSRLGRLPLAHQLLDEMPLASTPHTTLRTAFNSVISGCALHALPSACFILFRRMRAASVPFDAVTLLALVPAAPLSVVPQVHALAERAGLAAETAVANCLISTYARGGAASATLARRVFDEMPAASRDLVSWNAVLSAHAQNGLAVDALELYRRMRGPDGGGVEPDAVTLVGVLSSCAHLGARGVGLDVERYVRERLPGFRTNVQLCNALINFHARCGGLPQAQQLFDEMPRKSIVSWTAMITGYGMHGHGDVAISFFERMVSEGIRPDNVAMVGLLSACSHAGLYDQGRKYFSEMESAYKLRPTLEHYTCMVDLLGRAGRLEEARELISSMPMPADGAVWGALLGACKIHKNVEIGEEAFEHIVKLEPGNVGYYVLMSNIYTDTGQLDGVARVRAMMRQRGLKKEPGCSYVEHKGKVHLFMADDHSHPQAKRIYELVIRLEQMVKEKPGVQESWERMEKEAAPPLVGFHSEKLAVAFGLLNTAAGSEIVVIKNLRVCGDCHLFLKSVSAIANRAFLVRDASRFHRFEGGVCSCKDYW >Et_10B_002546.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:15074306:15074536:1 gene:Et_10B_002546 transcript:Et_10B_002546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NKNITSSAAVSLDALVFAFPLVFGAGFLSALLAVTVAPVAGALVMVADVACTSSFFGLCLAEYKRYNTWLTKEQED >Et_4B_036309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16385016:16386152:-1 gene:Et_4B_036309 transcript:Et_4B_036309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDVRIHGKSATVYVVAASTYRPENSTIKIRPYARKWEQGTMSKIREVSIRSSPIPPKCAVRHDVPAVVFSTDVYRTNYFHAMSDVIVPLYITAREYNGNVQLLVTGYDGKWLAKYRQILAALSLYPVIDLDADAVVRCFPSARVGLEGHKILGVDPGLSRNGYTMMSFRDFLRSTFSLQRPWSTPTSRSSGQKPRLVMVLRRHSRALTNEADAIAAMNDLGFEVVAATPEEVRDMDRFAAVVNSCDVMVGVHGAGLTNMVFLPHNGTVVQVIPWGAMKRACWYDFGQPVPGMGLKYVEYEVTAEETTLKEKYPREHPVFTDPLSVHRKGFDDVWATFLDGQNVTLDIDRFRGVMQQVYQSITTE >Et_8A_058099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19874661:19876991:1 gene:Et_8A_058099 transcript:Et_8A_058099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPGVLRRARAPPLPPRRHLSRLLDRYGFVAPASLTPAPREPSNPDAPDTAGKKRRTKKPPYRPPSSLDRGGRPPARSDLPFDFRFSYTENSPGSKPIGLREPKYSPFGPGRLDRPWTGLCAPAVDATLRDVEAEDPLPDAEKGLEEARRRERERVLGEPLTTAERAFLVDKCQKNRTKRQINLGRDGLTHNMLNDIHNNWKCCEAVRIKCLGVPTVDMENVWHQLEDKSGGLIIHRQGGQLILYRGRHYNPKKRPVIPLMLWKPAEPIYPRLIKTTIEGLTVEETKQMRKKGLHVPVLTKLAKNGYYASLVPMVRDAFLTDELVRIDCKGLPKSDYRKIGVKLRDLVPCILVSFDKEQIIVWRGNGNDGSLQDQTQKSPSSVIDSDGAAVKNENGEQEQTPSDWSSDECSEISSSDEVPDDKKGKSGTANIELRALHHYIKV >Et_1B_012754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34952404:34957404:1 gene:Et_1B_012754 transcript:Et_1B_012754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRKRRRRDGSEAPTIHPRNRYAVAAPDFAALAELYPSFRPFVSVSERGRASIDFTDFAATRELTRVLLLHDHCVNWWIPDGQLCPTVPNRSNYIHWIEDLLSSDLIPPISSSNGRVRGFDIGTGANCIYPLLGASLLGWNFVGSDVTDVALEWAKKNVESNPHLAELIEIRNANAVSCASESETNGKEAARDETLEPVDDPAKSKPPILVGVVKESESFDFCMCNPPFFESIEEAGLNPRTSCGGTTEEMVCPGGELAFITHIIEDSVSLKNSFRWFTSMVGRKANLKSLISKIRESGASVVKTTEFVQGQTARWGLAWSFIAPRKTVFRSNTPAKTHHSFMLEVTLSDDHNEAAMIHHDDSARSLEDSSAKLQYTVKSASFRIMVFEQIPGTLLIKGSLLNKALSDSVVTHMVNPCGCGVGCDIWKRFVEYAVFALKFFELQ >Et_4B_037260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17374279:17375015:-1 gene:Et_4B_037260 transcript:Et_4B_037260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVATKDEVNAAAKTNAKHDLGKKESAAVTKLKTVPEVVKDKILTNVRDHPNGELNNRKVTNARTKPLDKGSFNSTTRTKAKPDIANDELISKVIDHQRRGELRLLTVADLKCFLSARKAKVGGTKEVLIQRVTELLA >Et_4B_039379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12474052:12482860:1 gene:Et_4B_039379 transcript:Et_4B_039379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSTSRVVGCFAPADKAGVNLEFLEPLDEGLGHSFCYVRPGGIADSPAITPSNSERYTLDSSVMDSETRSGSFRQEAVEELAAAGLQRPSRSFSETTFRTISGASVSANASSARTGNLCVSLAGEMQEPAAAFESTASFAAVPLQPVPRGSGPLNTFLSGPLERGFASGPLDRAAGFMSGPLDKGTFMSGPIDASNRSNFSAPLSFGRKKAGLGHLVHRISRPMKTALSRTFSRSSHGSGWVQKFLLHPMAHLAWSRDAKGRSEGSQNGLEAGIPEPEYSVTRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSNLYKAIDKELEGLLWVYEDTAEKGDHVPTNGDGQSVAASLGPPCGDSTEFQIENRKQDQLGSFENQSVSAGKDSDESSLQGQPYSSSTEQKDLVTQVSNSQELDADEIVEETAGADVGDNLQNRDPNNLNKNLSSADGNTSCSCTMENSSNCNQDAKLLKQSRKSKRLFELLEMELVEEHNRSISRLSAEERKRQSLLNMQAGTTEESSRNASELTRCSLSATRDFDDTEEDPGSSRRCDSVLGVDPKGFGECSISTSSSGRKQITRRFIFGSKLRKVYKKQKLLQKKLLPWNYDWHRDQPHEDGSAITPSEVTRRCKSGPVDHDAVLRAMSRALETTEEAYMEIVEKELDRHPELALMGSCVLVMLMKDQDVYVMNLGDSRVILGQDGDQYNSSNFSKGDLRYRNRSRESLVRVELDRISEESPMHNPNSHLSSNTKAKELSICRLKMRAVQLSTDHSTSIEEEVLRIKAEHPDDPQAVFNDRVKGQLKVTRAFGAGFLKKPKFNDTLLEMFRLDYVGTSPYLSCNPAVLHHRLCANDRFLVLSSDGLYQYFSNDEALHDVAIRARPTVGCVNSARTPDVVIYFSIFYCKTTLPGQRATGGGGQTGGGVGRQTGGVRQPQLHPGQHGGFFSSGFFSSGFLGGSGFFSSFSGPTLRTTGENMAFFSFLATRTTSTSPITVSFSPSNAIDFTPALA >Et_2A_014733.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:10804418:10804639:-1 gene:Et_2A_014733 transcript:Et_2A_014733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATYDEDTPDRWQKVARAVGGGKTVDDVKRHCVELYHDLHDIVSKGRQGSLYGGGSSNSNSNGGSGSNEQR >Et_3A_025912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33964265:33966744:-1 gene:Et_3A_025912 transcript:Et_3A_025912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSHVLPFLSPTGTASVRLTPGRRAGLLRCSAAAGQAGFFTRLGRLIKEKAKSDVEKLFSGFSKTRENLSVVDELLTYWNLEDTDRVLDELEEALLVSDFGPKISFRIVDTLREQIRDGKLKSGSEIKAALKRCILELLTSKGGNSELKLGFRKPAVIMIVGVNGGGKTTSLGKLAYRFKNEGVKVLMAAGDTFRAAARDQLEVWAERTGSEIVIDNDKKAQPPAVLSQAVKRGKREGFDVVLCDTSGRLHTNYGLMEELVSCKKVLAKALPGAPNEILLVLDGTTGLNMLQQAREFNDVVGVTGFILTKLDGTARGGCVVSVVDELGIPVKFVGVGEGMEDLQPFDAEAFVEAIFP >Et_7B_055608.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:16713326:16714768:1 gene:Et_7B_055608 transcript:Et_7B_055608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYPAQGHVTPMLQLAKLLHARGFHVTFVNNEFNHRRHLRARGPRALDGAPGFRFAAIDDGLPPSDADATQDVPALCRSTMTTCLPRFKDLVARLDAEDEESPPVSCVVADSTMTFALRAARELGLRCATLWTASACGFLGYYHFRHLVDRGIVPLTAEDLADAGAGGHLDATVVDWVPGAPPDGLRLRDFPSFVRTTDPDDVMLNFFIHETAGMAQASAVVVNTFDELDAPLLAAMAGLLSPAPIYTVGPLPLTVRNNVPKDSPVAGVSSNLWKEDDAPLRWLSTQGRQPRSVVYVNFGSITVMTNEQLLEFAWGLANTGYPFLWNVRPDLVKDSGDSSGLPPEFLAAVEGRSLLTTWCPQEAVLAHEAVGVFLTHSGWNSTLEAICGGVPMLCWPFFAEQQTNCRYKCNEWGIGMEIPDQVRRDDVEALIREAMEGDKGREMRRRVTELRDSAVAAARPGGRSMRNVDRLIDEVLLA >Et_8B_058975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11856799:11858358:-1 gene:Et_8B_058975 transcript:Et_8B_058975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARHVFVSSVFLFVLALCIVALLPPQGSPSPRSFFQSRQPPATNSKGSTEHGRAGGSGCDYSDGRWVRDAAAVTTAYSEDCPFLDPGFRCIRNGRRDKSFRGWRWKPRRCHLPKFNATEMLERSRNGRIVFAGDSIGRNQWESMVCMLASAVAASGGVYEQSGKPISRHKGYLSMVFDGYNLSVEYYRAPMIVRVDRVPSANATGDDGVRGAVRLDVLPRHADRWAGADVLVLNTGHWWNPHKTVKAGNYFMVGNRLNKTMGIREAFRLSLQTVKAWELSSARFSKSYFFFRSYSPSHYSNGTWDTGGSCAEQREPLNTNNHFGEEYSWINEMIAKTTEGIKSHGRKAQFLNITRMTELRPDGHPSRYREPGTPPDAPEDCSHWCLPGVPDVWNQVLYAHLLSMGYDTRRKDR >Et_8A_056828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17547972:17555017:-1 gene:Et_8A_056828 transcript:Et_8A_056828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKGTNKKLYAASAGLQGKFIQNWNENATWLGKERDLDEYHLVSEAEGTVQPLIDQVRAMLRSINEGEINASAYDTAWVALVPKLDGGEGPQFPATVRWIVNNQLPDGSWGDSALFSAYDRMTNTLACVVALTKWSLEPEKCKTGLSFLHENMWRLAEEDQESMPIGFEIAFPSLLQTARSLGIDFPYDHPALQSIYANRDIKLKRIPKDMMHRVPTSILHSLEGMPELDWEKLLKLQSSNGSFLYSPSATAYALMQTGDNKCFNYIDRIVKKFDGGVPNVYPVDLFEHIWVVDRLERLGISQYFQEEIKKCMDYVNRHWTEEGICWARNSNVQDVDDTAMAFRLLRLHGYNVSPNVFKNFEKNGEFFCFVGQSTQAVTGMYNLNRASQISFQGEDILQHASAFSYEFLRQREARGMLRDKWIIAKDLAGEVQYTLDFPFYASLPRVEARTYLDQYGGNDDVWIGKTLYRMPLVNNDVYLVLARNDFNRCQVLHQLEYRSLQMWSIENSLESFEVTSEDVLRSYFLAAACIFEPNRAAERFAWCRAALIANTVSVHLRKNLEDKERLLCFARCLYEEPDAPRLNMNINDAILARTLRRLIDSLAQQGQSIHQGKDDIHNLLRLAWTQWMMQMINKEDNRCSKSIVKEPLYMVHDGQTCLLLFQIIEICAGRISDASSLMANKDIDRLIQLSCSVCDNINNKILLSEDTGSNDTEIKCIDKEIEWDMKALVQSLLPRSDEITSNRLTKQTLWNIVRSSYYATHCPSYTMDRHVSKVIFEPVCEDPFGAYDAQHMR >Et_6A_046351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13559345:13564279:-1 gene:Et_6A_046351 transcript:Et_6A_046351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRVAPALSPASAVLPFPRRPAYLLHPRAPSRCSLACAAVTPKVPLPIASPATLGDDPSKWDPAECEALLRGGEQVASVLQEMLTLMEDMEMDGAFEPVAVELVAQGVIGKRIDEMESGFLIALDYMIQLAQKDGDDERKSLLDVIKQTVLDHLTKKCPPHVQVVGLLCQTEKKESRHELLRRVAAGGGVFKNDKGLKCQIPGANLNDIANQADDLLESMESRDTIPDRKLLARLVIVREEARNMMGGGLLDERNDRGLTTLPEAEVNFLSKLVALKPGKALERMIRDVMHGKREGADNVEGSNAELHSEQEHLSGVSGRGSVSGRKPRPVRPGMFLETVSKVLGGVYASNTSGITAQHLEWVHQTTLKILQEMAF >Et_5B_045333.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:18520318:18520692:-1 gene:Et_5B_045333 transcript:Et_5B_045333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRPSSTASTASIRPAFSTSTPAVRAGPAGPGARLLRPPRKLPAGGRDVDCRQGASFSSSVATPKHSACAAAAPWGLAMLPLPPFTGLRFAVPSLPKVLSCINECCYLDDGVDNLSCALQYP >Et_8A_057168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21907850:21923510:-1 gene:Et_8A_057168 transcript:Et_8A_057168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMSDACLKACNTTELYNLCTEKLQRGLEAAEAWTTLCENTLSSASSPATVEVTVFALAAAAKAKLMYETTIATVMDPMLGAGNMPADLKAAVDNCKVRYGEAHGLMASIANQLFFCDFSQARQEYLDAQVAVESCHDGLSAFQSLPLYAAVLADYDMTMVAYQLGAQIVGKAAMTFVLVLFVSLAALFVAGDACNNVPTMTWTEACHKACDKQPWYNLCPETLKSAPDTAEVTVYALVAARIAKLKNEDTMAAIDQTLADGTIPAGERAEVENCKVKYGVARGLVVSVVDQLFACDFSRARQEYIDAQVAVQSCQNGMSSFKASPLYAMVSADYDLTMVASELGELIVGKIASITMVLVMLFSLSTLFIVEGVCDWAPIMTWKDACLKACTTTPALYNLCQQTLQMAPNTAQVTFYALVAAKLATTSYQTTTAAAKKLMADASVPADDRAAYKYCVDQYAVATERMDGVVDDMYNCKFDGTIREYVDADSAVLRCTDALSTLKDSPLVKRNAADHDATAVAQGLGSLVVGRSMKREAMNMAIATLVVFLTALFISSDACNLVPKLKYSDACLKACATADQYNLCTEKLQRGPEAAEVTVYAILVAKLAKMSYDDTVALAVRMISGGSLPGDERAAYQHCIDSYATVRTEIAGVVTDLTNCDFARTRREYDYAVDVNSCVSGLKPTTPLA >Et_2B_019724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12997935:13003791:-1 gene:Et_2B_019724 transcript:Et_2B_019724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAAKEAPPPPPFPPAAEEDGMLSATAAMARDAAVLFQSRRYAECAEVLAQLLLKKEGDPKVLHNVAITESFLDGCPDPKRLIKILGDVKKRSEELACASREQADSANGVANNVSAASRGTGIVPTFSAVHNASTYGDEFDTTIITFNTAVVLYHLHDYETALSVLDPLFRNIEPIDETTALHVCFLLLDITLALQDATKAADIIQYLERSFGVANTVNQSENASIAQQQSAQPKPVAKSNTPPDSDSNAYAGGCDNLSAGNFSDDTLEFETFYSTLDGGHQNLGRPVLNDFSKASDLAATAADLKVRLQIYKVRLLLLTRNLKVAKRELKVLMNMARGRDSSTELLLKSQLEYARGNYRKAVKLLSTPNNRSEPAMLAMFYNNLGCILHQQRSNHTSIWCFSKALKYSLSLRSEKPLKLSALSQDKSCLISYNCGIQHLMCGKPLLAARCFREAMLLFHKRPLFWLRFSECSLLALEKGLICAKGASSCNDEMEVNVVGSGKWRQLVINSVNSRSDSVSAGVTSDENGNLISLGFARQCLLNSLLLLDASEKENLDPASGAEDCNQGAAQGHKSSGQKSTANTDSKTPGPASANANGEQKGASLNATLQSSLALYDDICRKENLKIRQAILGNLAFIELCLENPLKALSYAKSLQQLADCSRMYVFLSHVYAAEALCALNRPKDAAEQLSVYVRDGNDIELPYNVENCEKAHVEKDSDGEDSIIPAVTKLNSEESQHSESLKPEEARGVLYIDLGMTAAMQGELEQANYMVSRGLAMLPNNPRAVLASVYMDLLQGKSHEAIVKLRQCRSVRFRPSSVAASS >Et_1A_008296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6076249:6077305:1 gene:Et_1A_008296 transcript:Et_1A_008296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHASPLGLKSRCSMGGGECDDEVENQRWPPWLKPLLSTSFFVQCRVHADAHKSECNMYCLDCMNGALCSLCLAHHRNHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCDVCERSLLDCFRFCSLGCKIVGTSRGYRPKKKLVVVSGGSKKKRAALKEVRSDSEDSCLSTSGGSSDKSSVVQSFSPSTPPPTSCRPWNKRRKGVPHRSPFGSLIVEF >Et_1A_005688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12812010:12814922:-1 gene:Et_1A_005688 transcript:Et_1A_005688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQANGRGEPNGGALDAGEKMMRKKGSMATHPHRQEEEENGLDVGSSLLTKLDAQEKDVDSYNTEPNSFHANHLPGKGQNSKEVELADIAKDLNKIKRQNTITHVLLGTVIIMTAVWQVNEMSFLLWVQKKLSNPFKTLGDLIKGSLKMKGRKPVIESSPLPPVGIPDVTRADLPTLVISVSGQRLNTLQCHFSLASHPDQQFRTTSVELDQLSKVREKEQSYKT >Et_6B_049925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18219737:18220263:1 gene:Et_6B_049925 transcript:Et_6B_049925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPVSIPVIDTIVGIVCAITDAAKMVRQNKEECDDIAKSLASVSAVLNESTVMAKGPAMAAALVDLAASLERALNLITECQEKPKVLSLLGAKDKARELRWVNDDINRKVMLGIFAANAVCFSSAFAARGALTARGTVADQPMSVVPGGTVSGASVHGRVLLPE >Et_2A_018656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4305659:4306651:-1 gene:Et_2A_018656 transcript:Et_2A_018656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVPSLPLETPSSVLQFRPYNGFWLTEQFSTIIPAIHSQFKVRSSDIILASYPKSGTTWLKALSYATPNRATHSPFSKEHPLRRHSNPHDCVSFLDIDLISQGDVERNAMLDAFEALHSPRLLATHLPYSLLPRAMAGELASTLASDKHSHTLQVAFEEFCEGRSFGGPYWCHILHYWEKSSRSPDMVLFLMYEDLVHDPKSTLKKLAKFMGCAFSEEEEEGGMVDAIVELCSLKQLKNMEVNKHGYTNLGVKNEIYFRKGEIGNWRNHLTPDMAERMDKVVEDALQGSGLISFADNSYVTS >Et_1B_010710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13268561:13272951:-1 gene:Et_1B_010710 transcript:Et_1B_010710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMAQAASLKQILRRCSSMGRRQQDVGVPRGHFPVYVGESRRGTCGRCCGGARREGAGASTRTTLRACRSRYIVPVASPAFQELLRKAEEEFGFGHDGGITLPFDEHTFHAVLAAAIR >Et_7A_052044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:481256:481709:-1 gene:Et_7A_052044 transcript:Et_7A_052044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACCGLLILFIVHSGCLVATKCRAEVLDNMSIDVIDVSNASLTKSKIAVQYCIKRYCEASGAACYCCLNQKPEVVCYNREDDCKSICPKCNPICPPQTPRGIRALDLSSHVRENNITL >Et_5A_042651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24156733:24163429:-1 gene:Et_5A_042651 transcript:Et_5A_042651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIECESCGAHLIFTALTSWSPAEVANAGEAFAEQLDASHQNDCPWRGNCCADSLVQFHLTPSALVGGFKDRCDGLLQFVSLPIIASSAIDSMKHTRRAEIERVLSQSVAILSGELGYKTGSTTGIDINHQDENCSYSHAQKLISLCGWEPRWLPNVQDWEENSTRSAINAGSAEPKDLFHSQFPEQHQNSCSASVKKEKGKGKMRVKDTGCSMRSPLLDCNLCGATARIWDFRSVPRPSHFSLSNIDIPDTGRKPALTRGISAASGINGGVAEGAEKDNAEGRDEAGTDERRSLLNAQVDLNLTMAGGLPLKHSALPPMPEHFNFGGMGKDLMIGQPTGSELGGHAASFESRGPSSRKRNLEEGGSTADKPMNRLHPADSIEGTVIDRDGDEVDDAAQDSGTRSKRPRGFNLFDVNRPSSSGVGPSRNLSFELDIDVNRLDTSKAEGPSARQNPSAMDSMRASSVIAMDTVHNMAENSMESVEYHPCDLDDVNKPSSAIRSAGMSEALDLNYSNQAQQSSFVQPAAESNAREVEGSSMNAGEEVLNAETAPAFARDQLSLGVSGGSVGMGASHEAEIHGIDVSEHKTCSVVGDADPVPELIETMGHTGESAPGPGLMDESAPEEIGREDPNGDSQDMASRLAVRADSGSKICGSTRADSTESGKKMIHAIVQENSAHPSLSCNARVYSGIDASKEEVTGIMLTNDDYDPGNGLGATNGENDYETDLPEFDPIKHHNNYCPWINGNVAAACCINGSSSTSSTALSGWQLTVDAIETMQSLGQGQNQTMKSDSAASLYKDDHAAPSRKLLKRSHHSKC >Et_5A_042941.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:7299767:7299994:-1 gene:Et_5A_042941 transcript:Et_5A_042941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAMENKAYMAVTLGAAIELKEQVAKPCSSAAKRGVSVLAGRTSSAGNGKVDGGGRAAEESLRMVMYLSCWGPS >Et_1B_012896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3969847:3973023:-1 gene:Et_1B_012896 transcript:Et_1B_012896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPLSHQALFSAVRSADADAVRALLADAEASGTSVALAAAQTDAGETALYVAAEAGSEELVRLLLPLYDFEAATVRSRLDLDAFHVAAKQGHAGVVKEFLERWPELCSVCDSSNTSPLYSAAVKDHLDVVTAILDVDDSCVRIVRKNGKTSLHTAARIGYHRIVKELVERDPGIVPIRDRKGQTALHMAVKGKNTDVVEELLMADVSILNVRDKKGNTALHIATRKWRPQMVQLLLSYESLEVNAINNQNETAMDLAEKVPYGESKMEIMEWLTEAGAKNARNVGKIDEASELRRTVSDIKHNVQAQLDENRKTNKRVTGIAKELRKLHREAVQNTINSVTMVATLIASIAFVAIFNLPGQYFQDVTSGGDIGEAKIAKLTGFRVFCLLNAIALFISLAVVVVQITLVAWETGAQKQVIKIVNKLMWSACLSTCAAFVSLAYVVVGPQHAWMAFTISAIGGPIMIGTLLFLAYLLLRPRFKFGEDRQRRIKRASGSKSFSLSLHDRLSDLEAFSDHEKRIYAL >Et_3A_026716.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:16853110:16853583:1 gene:Et_3A_026716 transcript:Et_3A_026716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGVMSSTVTTSPSSAGSDDGAFPAPPRAVPASVTDERKRKRKESNRLSAQRSRARKQRQLDDLTAQVAALRAQKGAMAAAAHDAARRCATVQAENQLLRARSLELSARLDSLLEMIQFMDAASSAAAVYNPSPFAGGMQYLQPQLLDATTFNCY >Et_10B_003443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19907333:19909351:1 gene:Et_10B_003443 transcript:Et_10B_003443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRRQVLEAEEHNKQDPHKLVPDIRNSGEAPVRAIRIAGGVRNLLFGQRSILFPEHRWMSVLAVGIEDNNVSIPPNTGMLLNSVCPYDPILHTMDDRVVTVGLQQQRRPVEDYEMAQITKH >Et_1A_007116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3124298:3125474:-1 gene:Et_1A_007116 transcript:Et_1A_007116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPILNPPRRYRRPTPPDSRSHAPDSITTVPRNPFQKHEIEPINTGRTAARRRTPSRIPTGQEPPNSPPATLPTGGHRRWSPTPNTSATPPATSPDRSSPWRPSGSGSFSSSSRAARRTPASPSWAHTFATPPRSAPRQPAFSRTAVSDLVLLKPRAMPGDSVVIQLPDPRAIRVVARSVLLAVALLSLPWLRAAEAPARSRTAIDACGAAAAHAELLLRDLRREGLLLPGARAVVLGANGDCDAHTPKHDQNDAMRPMSLRRMLMIGDSSVDFLLDFGYFDEDPDRFGYADRVLKNGGILVAPIGSLSVLSLPQNYRVIYFRQFAETFVGIKKIVHADDNANAKMGLPSPAALNEELVSAN >Et_5A_041094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18278482:18284483:1 gene:Et_5A_041094 transcript:Et_5A_041094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGGGGGGRAKVTPNLAVDGEGTRTLNLTVLQRLDPAIEDILITAAHVTLYDFDTDVNQWSRKDVEGNAQPRFQFIVMNRRNTENLVEDLLGDFEYQLQVPYIMYRNAAQEVIGIWFYNTQDCEEVANLFSRILNAFSKVPTKPKIHSMKSEFEELEPAPALVEGPLELPSSNIMPTTTQIQEDPLSAFFNAAANSGSTSSVAAIGQQNQPYGATPLSTHAPATSVTVSQSPALHHLLPSQASSVSGIPTEVHGGGGPILRSTSLVNPSHFSPLVSSQTTMVRSNSAVPTAPLQHSRTSQQPQSAPLLQPFPSPAASPSPPYGTPLLQPFPPPNPSPSLASAPIYSSVLSRDGVRDALQKLVEVLCGHCSVEGSSYRKHVLINHKVR >Et_10B_004181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17881851:17883092:1 gene:Et_10B_004181 transcript:Et_10B_004181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPDIKIMRQVVGLYIPCGRKGNKTGMPLDDDKMLIESHIYDARHLSGRSENTIKNHWNAVLHILKAKRRLNKKKIGYAPSGWFSIMEEYLDDAGHAYPSPSSIASITLHL >Et_10A_000996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2024500:2027158:1 gene:Et_10A_000996 transcript:Et_10A_000996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVPDSVPDDQLDLILRRLDSPIWLIRAASTCKRWRGIIVAADDGRAFLRRARSLHPHPRTPTIDGHYHQRATSIEFIPASPIDASCFSLDFLLPLGKTAKWELKDCHGGLVLLLQHVEPRYLVVCDPLTRWYRKLNVSPRGRDQHLIGNFFLIEGEDGSAISATNFRVFCRYFHYVNAPFSFVFSTADGNHGGGWCVVPPSKDDDFNEQVTFAGPADGYLYMGTKCGSVMVLDNTSLELTKVHLPSRLNATRWNGTSAFCVIVHCAGGDSTSPRIVHVKCDGLEVFRRVHCGGGGGCGWVLEHIIHRLSDAFCRLPGYPPHYDWIPKVVGGGDRFIVLLVCDYNRWRWPFSVCLDTMEVKALPELTYYRGTSDCFVYTLPWPPLIRACIGQNVLVVAVLSLVVRNDRCAVAARGAGTPDTLGAAPSWAFVLDVDSSNKDKQGHHILKQDYAQLAGSPQGTAWTCTVYLHLLDGGKRRDVALVNSLQDMFEASRSSMLERRHGNPDAKSEKNDTTLAKPSCNPSNESVYYMQMQFANKLTSKGKGIRVLKQRQVT >Et_5A_041714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26231447:26234111:1 gene:Et_5A_041714 transcript:Et_5A_041714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQQPPGGAEDDFLEHFFAFPSAGAGGHAGAGAGSSGDHPFPLALSLDAAAEASGGAKPDRDPVQLAGLFPPMFAGAGAMQQTNLRPAPPHQVFHAQPKPGEGAMAPQPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLSVKGEASDGGSTQQVWEKWSTDGTEKQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQHPQEGHSLKPEPNSSS >Et_4A_035699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3908759:3909174:-1 gene:Et_4A_035699 transcript:Et_4A_035699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTTCAGERRCIDVWEDMGLYCQNYLMHPDYPIIPPSDRCCDAVQQIDIPCLCSFVDALYDKGVSMVKLVYVMDVCKKPLRPGCKCGIYTVPSSGQQDGDC >Et_7A_052916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25240534:25242470:1 gene:Et_7A_052916 transcript:Et_7A_052916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLTLPEPQRGEDESRSETSIDWDWEDDDRYTRSLHCDDMRRSCSLGWIEQVESNPDGLSEAPPLYIVLFPDLLEEGWGWQRLLPYRPSPVGWSAFKEYLKDYFRHNMDEAAVLCAHQKPDPVGHVDPADALHSAASLCLKMENSLLENCFGGLTAEDIELSCAIKERASYMIQSGGESRSAAAGFVFDTSGLVDCSNDIRESALNLMLNEEPESAAALLEAKYMRYYMSTELTLVDSDLYMEYAFCQSIRNATETVLTMLEDDFGFEHAGDGTPSAKSEKLTGATLSEMKCQESDPKINSSKDSEHFEKDNNLKRKRTMFKDKENRLRNKNSNNEIEKKLRKRKDKKRRLNKIETDTKVAA >Et_4B_039513.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:289396:289773:1 gene:Et_4B_039513 transcript:Et_4B_039513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPEFYKPSTPAFSPCGSPLRVPLAVLDEEDYYSCRTPTGSGISYLREPTTCPPAPRKAPPPPCKKRLFQQQGDVPLLSLRLDELERIFRPHPATSKSPASKGDKRRRSARQQRKLDGVPVEA >Et_4A_032875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1491529:1494629:-1 gene:Et_4A_032875 transcript:Et_4A_032875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGRDLPETPTWAVALVCAVIVVLSVAMEHGLHKLGHWFHTRQKKAMREALEKIKAELMLMGFISLLLAVGQTPISQICISPDSRAIKIMLPCKRRDNVKSDGGGDGRRRLLWYPGQEADHRRILAGAATDDYCGKKGKVSLISAKAVHELHIFIFVLAVFHVVYSVATMVLARLKMRKWKKWELETSSLEYEIANDPSRFRFTHQTSFVRRHVGFSGTPGIRWIVAFFRQFVGSVTKVDYLTMRQGFINAHLSPNSKFDFHKYIKRSLEDDFKVVVGISLPLWFVAIFILFIDIDGLGTLIWMSFVPLVVLLLIGTKLEIVIMEMAKEIQDKATVIKGAPVVSPSNKFFWFNRPDWVLFLIHFTLFQLTPGLKACYQENMGLSIMKVVVGLALQVLCSYITFPLYALVTQMGTNMKKTIFEEQTAKALMKWRKAAKEKARQREAGFDGLMSGDTTPSRATSPSNSPVHLLHKYMGRSEEPQSAPTSPRRGQELGDMYPVVEQHRLHRLDPERRRVASSTAIDFDIVDADFSFSAQR >Et_1A_008450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7808070:7810807:1 gene:Et_1A_008450 transcript:Et_1A_008450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGQLGTCFPSFVLPDHFALSFPSPLHLPTSNPKRLLQMPFDQGEAGNHGMILPSDQCGLYPLPALPFGCSGAAAVSGSGKPTAGFMPSVEEVSSVNKVSYESNTCNGSSTWWKGSNTMTERGKMKVRRKMREPRFCFQTRSEIDVLDDGYKWRKYGQKVVKNSLHPRSYFRCTHSNCRVKKRVERLSTDCRMVITTYEGRHTHSPCSDDASSGDHTDCFSSF >Et_3A_024595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22457060:22457886:1 gene:Et_3A_024595 transcript:Et_3A_024595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQQFRRPNRGTPSAWEAAFLEKSKLPLASLEFGLPFDLAASDQLVVVGAGGHRPPGVLCGRPWMRISSLTSRWGMRRRFWPPTQATGPLNVINVPRLEAKRAGFIKLQKIRRELHLLRARVQPAGGDLAARRNRFACILGTDADLDLTDIDTDANPNVVPLTNDDAEDLLARWEDDLDKARAAAMMARERVILAVHHAVEFRPADDGLVMIGEGVHDTILCLTPEAHDNIFVGPRHTDPCLESPCRTATAHGSFAKGELR >Et_7B_053679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1160930:1162480:-1 gene:Et_7B_053679 transcript:Et_7B_053679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAWPSTAFARARASSPSSLLLSRSLPRPGFTLAPAPVSSMRRRILLGVGTPAVAALAAAAPRAVLQDGAATLFITAGAYTLVRAFDVLTERRLIEQSLSRKIVHVLSGVLFMSSWPLFSNSTEARYFAAVVPLLNSIRLLTYGLRLYSDEALVKSVTREGKPEELLRGPLYYVLVLLFSVLVFWRESPIGIVSLSMMSGGDGFADIVGRRYGSLKLPFNKKKSWIGSISMFFSGFLLSALMLFYFSSLGYIHVIWEEVLGKLVLVALAATIVECIPVTDVVDDNISVPLSTMLVAFMLFGSNAQ >Et_8A_057355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2445366:2448449:1 gene:Et_8A_057355 transcript:Et_8A_057355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYPPPGYEPRPRSEHKDPLKKESHKGKKHRKENDRDKGERKQKFREKGERKGKGRDHKKLKREKHRERRKSNNRDKQNHQTLRKETQKNDAFGNRRPEERRQNEADKDEFPGQEVHTNHKVNSTSELLTQSTKGFGATTSKDKESSLSRMVKKSGLPAQHYHGMVRKNDGTTHTDNKGMADHVGSNTRLKNGKNPQVGSGEKYSSRRHSGKLVDLLQDNSYTQRSSEGVSDAKAVVSGSGTEPNGRRELNGRVTPTPNTLQRAEGRKQSVLSNTKDLMRKGNQISKNHHSNTDLQLEQSTCDAVEGEAKIKEVKGNYLKCVEGKDGDQYVKKRKNNSRKNVKTMEKSGDLNKQNKVNGLMTSDDIKKRKNVDVNSSLREHCMRTTKLPRMSPTNISCVNVKVTPSSQRTAPYPSSELAGGNTQELDWHKPQDGYSNGITASHYLEQQKVYVSSSGDDSNKGYLKPSHLDTMYLSQVYSIPPREDISENIDQDWLFSDNHVERNTATFEVAESHQVWSDAHLIDTSDVVAFPYVVPL >Et_2B_020401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19915143:19916325:1 gene:Et_2B_020401 transcript:Et_2B_020401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHEYRLPSLIDPTLPKTPRDENIAAEDAWAICRIFKKPCSVAQKVMSHSRASQSVAAIEPDLLSASQSIQTSHFALESSSCSTNWFNSQQYLQARQHQKLNSKDGSSCKVINFNHRPSLAHPSEKEIHSGPIILPPETQTLQKSSDVTSVLLSMAPRIINSMNEALPNTEFRQLQPSNEYEVDWAIYTNSGIVNRDDDPYTRKHGNEYSSGSECEIPQKIKFPFDLLVASPDDWTSNKPCDFYALSPTSHRNVQ >Et_10A_001265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22718420:22719170:-1 gene:Et_10A_001265 transcript:Et_10A_001265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDHANWDEHTTFVLLDLVAKQKEQCHWSDRSPTSLGWTNIVRAFNESTKLGYRMKQLQNKFNDLKRAYFNWRDGCRHTGLGSDPDTGEVAADPVWNKANPVRSTNVRHVVTSCSHFSHPPPPPLPRDRGELVSAGGHGTDQTCSSGGSPQTPHDLSDEPLGIRSGGQSSKRSSREYSLCHLIAQGRRVSTSLLCTQWYLLSVPIVAVQKL >Et_6A_046597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1865744:1868955:1 gene:Et_6A_046597 transcript:Et_6A_046597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAVDLYHVLTAVVPLYVAMTLAYGSVRWWRIFTPDQCAGINRFVALFAVPLLSFHFISSNNPFAMNLRFLAADTLQKLIVLALLFLAASPRVSPLSRFLSLDWVITLFSLSTLPNTLVMGIPLLRGMYGPDSAGTLMVQVVVLQCIIWYTLMLFLFEFRAARILIADQFPGDAAASIVSFRVDSDVVSLAAGDAQAEVVEVADDGRMRVTVRKSTSSRSEAACSHSHSHSMQNQQQPRVSNLSGVEIYSLQSSRNPTPRGSSFNHAEFFNIVKGPGTTDEEKGAGGHSPQPLPQALAAKRKDLHMFVWSSSASPVSERAAGGALHVFGAADHGDVLAKGAQAYDEYGRDDFSRNNNGEEKGGPTLSKLGSNSTAQLHPKDDGVERPATMPPASVMTRLILIMVWRKLIRNPNTYSSLLGVIWSLVSYRWGIEMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNSLAAFAMAVRFLVGPAVMAAASIAIGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPNILSTAVIFGMLIALPITLVYYILLGL >Et_6B_048402.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18764610:18764825:-1 gene:Et_6B_048402 transcript:Et_6B_048402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PTPARDPTGAGAGARFHPRVRVRVKKFTRVILLRGRVFAPPDPLPSPSLQFLITSTRQMRDRVAQDVANDG >Et_4B_039761.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28891733:28892374:-1 gene:Et_4B_039761 transcript:Et_4B_039761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLFVLFLCVSLHVVLPSADAARLPPGSSPIVETCKTGPYPESCVSELGQRLLDIQTTLASVSDKSATIAGAPGQVDPKALVAVALEAAAEAGSVAASVFEGKLPGFNTSMPDFQKCLANCTVTMKGAMKKIHGASAAIKAGANDIAKTLASRAIGDVSSCTLSCKELNGDVRLILQQSLVEFQKMLQIAVAFISKLKPKPGPPPPVPPMA >Et_2B_019984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15840087:15843031:-1 gene:Et_2B_019984 transcript:Et_2B_019984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPARAPLLRRLLLAAALAASCSYYLLVLQAQASVPPRYDGFAYGGGAAAAWKETVLVEAFLDPLCPDSRDAWEPLKLAVERYAPRVSLIVHPFPLPYHTYAFHACRALYIANKLNTSSTYQLLELFFKNQEKFYNSATSSLSSTAVTLEISKMAAQAVGNSVSEFHSGFSDVRTDLATRVSFKYGCTRGVTGAPFFFVNGFLQPGGGSPIDYNTWISMLDPLVSQHGNRIEVFTSL >Et_5A_041413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22296787:22298416:1 gene:Et_5A_041413 transcript:Et_5A_041413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVGEIGMGSDLSLDLRYFASKAVRQTKDSPASEMDACIRRLEEEQGKIEVFRRELPLCARILADVIDVMKEEAEKKKKNDRKEAEEEEEAAAGDKSKWMSTAQLWTGAETGKQQDKVSRSSSEAKSYGGAFAPFRAVGSGLPAFARPGVRNDDKAADGGVPDLSLLAPPPPAIIKSAGDDSRRQVVGFAQAAARAAAAAASGPALGLQPQPQQATQQQQQQQARKSRRCWSPELHRQFVAALNQLGGPQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHNRRVPGSAVVNQPIVLVGGLWIPQEQSSSQSGSPQGPLHFSTSGMALSSAATASTEEEDGRSESYGWK >Et_5B_043332.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:4335212:4335472:-1 gene:Et_5B_043332 transcript:Et_5B_043332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LPPETRSETLRLWLHVFVTVLLPAALCLLVLYWFARCCCRCCCGRYGRRRSMAAPGRGGARMPRDVFEDDPRRYFRDLRARKPLVY >Et_6A_046426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14907630:14909736:1 gene:Et_6A_046426 transcript:Et_6A_046426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGIKRPLLHDGGLPNATKKSSSPAPAGAPKRFRRCRTAPSSDATQDSPPTSENTRHHGPNAMEKPVSPKEMLRGPRPSFRLVGVLLVAYLAAGTTAFYLAMDHMSGDRTGNQVIDALYFCVVTMTTVGYGDLVPASDAAKLLACAFAFAGVAMVGTFLSKAADYLVEKQEAILFRATHLRDAADRTTLRAMEANKVRYKLYTAAALLAASLAAGTAFLVEAEGMRAVDAFYCACATVTTLGYGDRSFSSASGRAFAAAWVAVSTVVVALFFLYAAELCTERRQRALARWVLTRRTTTTDLEAADVDGDSRVGAADFVLYKLKELGKISQEEIAEFLEEFDKLDADNSGTLSPNDLIVAQHAIGRNAMRKAIRLSSEAIVALVQPWRGPGKAR >Et_1A_005906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15340847:15342529:-1 gene:Et_1A_005906 transcript:Et_1A_005906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISILAQERLLGFALGTVSMGGYVLHQRRSIYRSLAEAEGLAYSYQPSEITSRSTSTELAHVWNKAVDETLGRLVVYLSSRGW >Et_1A_004995.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21989401:21989451:1 gene:Et_1A_004995 transcript:Et_1A_004995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLGKVAIQSQDLTY >Et_8A_057557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4435282:4451152:-1 gene:Et_8A_057557 transcript:Et_8A_057557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAFSRLPSDAFVDILQRLPTSSRRRFRLVCKHWRDVIDGRTAERQVRTKILAFISNRASSRALVFDDRDGRRRRNWTYSSSHGFAGVVKMVGTCNGLLCLHETAPCGDSSISIVTVANPITGETRVLPPVPKTLDPCTSPGTYIFGYHPITGQYKVVHVPARVAQPRVFDAVQLRASDLSCKRSCFDRAVVSVDGSIYWITARADQIMALDLEDENTTFFDAPPPLQSDKTPAQTVSSQLTNVHARLRVAVWWHERAATRVHVWALDSNRERPRWSLRYHIIEPGIMDQWSRSCILTPHFTYGEYVLRGSSFGGRCLNRHKLGDRMNDDGGNNAPLDPLRGAELIMSEIFFGGLTTFAYILLLIPGILRHKFRHVCKGWRDLIDERTPKHKYCAQILVFINKRGGSLARVFDGTDGLLKYEWPFPSCSEEGHVYMVGTSNGLLCLHDKRSFQGFSCSTITVTNPITGKRLELPPVPTPWTWDQLRSHGKYSFGWHPVTGEYKVVHIPCVRHQAVEAVQVFTIGKKKWREVQVLAPGASCNLSSEPLSVGGCTYWLTESSDRVMALDLKEERVTSFAVPSIMQPAGTIAPGTRWWHLTNVHARLGLVATYRRRWETTMKVWVLDGRGEQPRWSVRYSLIVGKRDHWITAPHLTHGDYVLRQSWDVVIDHRYYIPCDRKRLFRHKLDLSNGQLLPPEGTEPIMSAEEISKGEFATFQYVETLDPLPSNMDDG >Et_1A_004721.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:33932097:33932357:-1 gene:Et_1A_004721 transcript:Et_1A_004721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RLSSRRCVVSTERTILSPFTKEYRVLATHSSIRIFLEGAHSLEWAPNISNDRFIFFFKTFWSSSSFWVVLRSFSVTSFWFVTLGIA >Et_1A_009457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5905245:5907949:-1 gene:Et_1A_009457 transcript:Et_1A_009457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMDKVSALGERLKITGTEVSKKMTAGMSSMSFKMKELFQGQTPADKIVEDATSENLDGPDWNLNLEICDLINLEKVNSVELIRGIKKRIMLKDARVQYLALVLLETIVKNCEKAFSEVAAERVLDEMVKLIDDPQTVVNNRNKALMMVEAWGESGDELRYLPVYEETYKSLRSRGVRFPGRDNESLAPIFTPPRSVSEAEVDASLPQQAYEDVHVHTYTAEETKEAFDVARNSIELLSTVLSSSPEQDALQDDLTATLVQQCYQSQHTIQRIIETVADNEAVLFEALSVNDEVQKVLSKYEEMKKPKTSENAEQRPVVIPIATEHEDSSAVGHEDDALVRKPAASRTRSGGDDDILDDLDEMIFGKKGGSNSQEGPKKEDPKKDDLISF >Et_2A_018495.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3011659:3012738:-1 gene:Et_2A_018495 transcript:Et_2A_018495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLGLATGCCFLAAGEVIKAQKDNSTSSPSSPPAGEDGSSSKKNHTVVVVLVTLAAVVVFSALLLLLLRFLLRRRRERGEGGVGASAAAGGGGEESALQRQLQQLFHLHDAGLDQDVIDALPVFLYREVVGAGAGAKEPFDCAVCLCEFAGDDRLRLLPPCGHAFHIDCIDTWLLSNSTCPLCRCALGADAAALLDDAFGEAGWKHEEDAVLPVRLGKFKNTSRAVAAAGHGGDGIVTREAGETSSSSSSLDDRRCYSMGSYQYVLAEASLQVSVHRRNGRTAARPRGAGTGSTNLAGAAVAAEGKRIGAGSKGDSFSVSKIWQWPRNGKGKLPVLASDDSPSVNGRLPWQRRSPGDS >Et_5A_042277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8199855:8200655:-1 gene:Et_5A_042277 transcript:Et_5A_042277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKSGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELTKLLGGATIASGGVMPNIHQHLLPKKAASSKASHDDDDN >Et_8B_060875.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:9911744:9912931:1 gene:Et_8B_060875 transcript:Et_8B_060875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDSLVCPKQGEHCHARVSVTDTRFIQSLVLPEKRQWMAGITDRFNLNLCLTCSKDDGQSYTNDKMVPYRTEKSAGSALHKSIIPCTPKTYSGIDKETIDIRGSSAQQFEPLDVHIDIGEGISNTNVVLMEAVKAVIFVMDRVKSLVVHDNSSITTATPLVPGKGDDICWNKLKLCRVEKCSKLDTVFTNNCSKISFPELETFWAVDLLVARSIWSKRVIRDHLQGSFGALRFVHICFCPRLTFVLPLPWSSMCCLEILQIICCGDLTHVFPADAEILNEISTGPQRGVLEFPNLKHIYLYELPKLHQICDAKMFAPSIETITVRGCWNLKCLPFTRILPTGDRPDDLPVVNCEKDWWEKLDWDGKEQGHHHSLFKPRHSKYYKKNMLRGTALR >Et_5A_040585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1013060:1015457:-1 gene:Et_5A_040585 transcript:Et_5A_040585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLDHCNGLLLCNITGRSLCVCNPATRKWELFPWRKEASYLDDYDAYYYTKRCAGAYLAFDPAVSPHYQVFLIPAVPPEKPKTPYRRWDDDMERRALRWREELANPFCLDWFFESPEGTLLAAEEPAGGEDSSVDEEGEEDKNDPTRLMEWPPSPWTLSVFSSKTGQWEERDFVREGDPAGLVKHVRMNNSELSWWDGPRRRYSVYWEGALYLHCQGVSIPLSTIDKYWIITVPAIIKGGQPYYMGRSKEAIDYTPLRVWILSESHGQMEWVLKYQHDLLKQARHLRSFSLLDGEQLDGP >Et_9A_061073.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:14139941:14140129:-1 gene:Et_9A_061073 transcript:Et_9A_061073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSQYKSAEQNFSMGLEWLSIELRREMLINLSNGYRPPCSFFSFYFRSFVIALLINQYINP >Et_1B_013044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:574126:576767:-1 gene:Et_1B_013044 transcript:Et_1B_013044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAYAAAGETAAALSRGRVGGIRPSPSHLAFLAKSPSQGTTISLLGPRHATPAAAASEGRVAEEEEEEEEGPALVELEPICNEQQLDRALAEAQQLDHPIVLLWMASWCRKCIYLKPKLEKLAAEYYPRIRFYSVDVNAVPQKLVNRAGVTLWSDSQKQAEVIGGHKSWLVIDDVRRMIEQEE >Et_3B_027545.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26591947:26592543:-1 gene:Et_3B_027545 transcript:Et_3B_027545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRLRRGRGRLQGLLQLLLGPRDYSHRRARPGLRPRLACPRRREGRLAVPLRAGQRHDGAHVRPVAHRRPAQPQMGHAGRARRAVRRRAPLRGPPRRRRPGVGRRRGEADGPARQPGVPHVGRVVVAGRGAGRRRGGGVRAAERRGGVRAGAQAHRRVQVPPGACRREKEDGLDVPAQAAAPGTQFMVPVFGEAVRCY >Et_1B_014137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3277107:3279895:-1 gene:Et_1B_014137 transcript:Et_1B_014137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHLRNHFLSGVRAASSLHHLLLSTTAATPSRPGFVAADFLVSSCGLTPAQAFKASKHIQHLKSPAKPEAVLAFLADIGLSKADVAAIIARDPLLLCSKVDKTLTPRIAQLRDLGLSLPQISSLITIAPRILRNPYTTHLQFYLSFLGSCDKVCTVLGRNWGGRLLNQNVERVLKPNFAFLQQCGLTDCEISKLLMLAPIVALDPEHAREIVECADKLGVPRHSTMFKYALHAIYLISPARIDAKLDLLKKVFGCSEAELRNAVCKFPPVLTLSEVNLSSSVEFLKMEVGLEVEYIVRRPSLLCYSTRRRLMPRYYVLKVLKAKGLVKKDIDFFSVACLTEMRFTERFLDYYKEIVPGLAKAYAAAREAGSSIPPGIQLRERLPVLGLCVQMMTSKPNFLCFQGGENELVAAEF >Et_2B_019929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15319300:15323352:1 gene:Et_2B_019929 transcript:Et_2B_019929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGGEAEAASRPGRSGVAGTGMRLFSPEYYALCAGGGMLAAGATHLAITPLDVLKVNMQVNPVKYNSIFSGLSILVREEGPSSLWRGRGGKFFGYGVQGGCKFGLYEYFKKRYSDMLVGSNKSTIYFLSSASAQIIADIGLCPFESVKVRVQTNPTFAKGLVDGFPKVYATEGLSGFYRGLLPLWGRNLPFSMLMFSTFEHAVDFLYQNVIQKKKEDCSTVQQLGATCLAGYISGAVGTVVSNPADNIVSSLYNKKADNIIHAVKSIGFRNLFLRSLPIRITLVGPVITMQWFFYDTIKILTGFYNDNSSIQVHLSYLLLSFTSGLRIGLSHMSAITLDDMLHSTSCPALAAAAAARLFLSALPSP >Et_4B_038932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6062402:6070085:-1 gene:Et_4B_038932 transcript:Et_4B_038932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLVGGDLAVPDMAQPYMKKDDDEEDVEYSPFFGIEKGAVLQEARAFNDPQLDARRCSQVITKLLYLLNQGEAFTKVEATGVFFAVTKLFQSNDAGLRRLVYLMIKELSSSSDEVFIVTSSLMKDMNSKTDMYRANAIRVLCRIIDGSMLTQVERYLKQAIVDKNPVVASAALVSGIHLVQANPEIVKRWSNEVQEAVQSRSALVQFHGLALLHQIRQNDRLAISKLVSSLTRGSVRSPLAQCLLIRYTSQVIRESSMNAQNGDRPFFDFLESWLRHKGADMVVLEAARKITEMDVTSRELASAISALQSFLSSPKPVLRFAAVRTLNKYRSMLNFLSSSLREEGGFEYKKAIVDSIIALISEIPDAKELGLLHLCEFIEDCEFTYLSSQILHFLGNEGPRTSDPSMYIRYIYNRVILENATVRASAVSTLAKFGALVDALKPRIFVLLRRCLFDTEDEVRDRATLYLQTLGGEIAVGNNEKDVKDFLFGSFDVPLANLEASLRTYEPSEKPFDISLVSREVKSHPNHEKAPGKKPHAAAAAAGAPSAPVSAVDSYHKILSSIPEFSGFGKLFKSSEPVELTEAETEYAVNVVKHIYDSYVVLQYNCTNTIQEQLLEDVTVCVDAMEAEEFSGICSKPLRSLPYNEPGQIFVAFEKPEGVPAIGKFSNVLRFTVKEVDTSTGEAEEDGVEDEYQIEDFEITAADYMLRVAVSNFRNAWENMDPESERVDEYGLGVRESLAEAVSAVINILGMQPCEGTEVVPKNARSHTCLLSGVFIGDVKVLVRLSFGLSGPNEVAMKLAVRSDDPEVSDKIHEIVASG >Et_7B_053588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10015662:10017409:-1 gene:Et_7B_053588 transcript:Et_7B_053588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFRDGNGAALPDPFAGGGGFFIRRVASPGTLAVRAARKPLARRYVSPSNNKENRQPFWAVRATPPKRRSPLPDWYPRTPLRDITAIAKAIQRSRLRIAAAQQQSQRPEQSPQSVNVATPAQAEQHAPHCTEASQTFASGSGSTERENVANPATILAEENLKVFSSPAESSQKTPCNSMDPALADVVEKKLSSSIDQIEKMVKKNMKRTQKAAQPSKRAIQRRTLMSMR >Et_3A_027050.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30811254:30812366:-1 gene:Et_3A_027050 transcript:Et_3A_027050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEISEDVLTEILARLPYKSLARFQCVSTSWHRIISGDYLRRRLPLIMSGVLFRDGPRGDGVDNGGGRRKQAYTYACASDGAEGVVEAADMGFFPCHGTSTVIDGCNGLLLYYAASHPATAAAFHVVNPSTRRWAALPPPPPPRGRTLLSVLAFDPRDSPHYKVVCFTGWLPRGASMEVFDSASGAWREHRDVDFGVDTDAMSATMHYFGGALHVLAYSGHVVRVDLDTMACAVTALPAPVSCRARAGHCRGRLRFASSDGARLRIWELVDAGGGEWAMKHELGVKDLVADANADEKSNGASQAVTFLFMAFHPEREVVYLWAPWKLVAFDMETWRVEEEWTFGSEKEGAHLIQVWLFPFTRHLANCLA >Et_5A_040574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:152901:156202:1 gene:Et_5A_040574 transcript:Et_5A_040574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PGAADRRLPSSVASPRRLPRFSSSSTAAKATTPALQGCCNCLASRTEMGTTYKCCLIFKRRFHSRDAPPPDDVRSLFSVHAGGGPHMGSDGLRRYLDTTGHSEAGALDDAEVERLLDRIRLQGRGAGPRIPRLARPLLALDDFHRFIFSPELNPPMAKPQVYHDMTQPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALERGVRVIELDMWPNSSKNDINILHGRTLTTPVSLLKCLRSIKEYAFVASPYPVIITLEDHLPSDLQEKVAKMVVDVFGSILYYPDTDNLKEFPSPEELKGRVLLSTKPPKEYLETKVDGTMKEGDAEPHLGKGAGDDAAWGKEVPDFQTEIQSAKKHDDDASKHQRDDDDNDEEEEEDDEEQKMKPHIAPQYKHLITIRAGKPKGSLADALKSDPDKVRRLSLSEQQLAKAAEDHGTDIVRFTQRNMLRIYPKGTRVTSSNYNPFLGWVHGAQMVAFNMQGYGRSLWLMHGFYKANGGCGYVKKPDFLMQKEPEIFDPRKRQPVKKTLKVKVYMGDGWRMDFKQTHFDQYSPPDFYTRVGIAGVPADSVMKKTKAIEDNWVPVWEEEFSFPLTVPEIALLRVEVHEYDMSEKDDFAGQTVLPVSELLPGIRAVPLFDRKGIKLNSVKLLMRFEFE >Et_8A_056485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10649689:10654630:1 gene:Et_8A_056485 transcript:Et_8A_056485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLEVLKHVDVFRQSFSLIQNLSQLMKLRSLQLFFHHRSYEEYVKGHHYKEYMDSIVSSLCKLSTHRLHCVSIDTDRRQEEYKFPQDLGSHALYRLRKFVVKEFFISCAPKWMCSLSNLQKATIHFKELKKDDFQVLGRLPCLVFLRLIVQESFKGTVAICSDDGFRCLRSFEIGCSVLVTFEVGAMEKLESLWIMFSAEDTLSARPYLQQLRSCWNDEVGIQHLLSLSSVNFTVHGDGKMDDDAERAIISAACAHPRSLKLQCHWTRQWMIAANDQAHNGKGPETVGHDGYYVSIVTILCKQNKAATLGPNRPFQAAAGLRILRHAAGDGEERATLGPPAPSTSLEPGKKRWAAPSTGRRGGPRRRGEEEAAPGARHARALPPGAAPRRLQICAGAPPSWVAPRRGSASMDAAGGSARQGSATMEAVDDDGDAKPACSTTGVTHAS >Et_2B_019186.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:16231590:16231751:1 gene:Et_2B_019186 transcript:Et_2B_019186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDGFMDGSEMFLKAAVLCQHRPTRVQFLDLKDRDARIEFINFIWSNHDARI >Et_4A_033922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28037493:28039725:1 gene:Et_4A_033922 transcript:Et_4A_033922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECIMFRSADENFLLKHNERGILSMANSGPYGNGSLFFVTFKAMPHLDGKNVVFGKVVHGMDVLKRLEDAGTGNGRPSCRVEIAECGELSQPFEDKDSNSRMSRGLSFSSDCKKKRKRSGSLISQPPTPAAEEHVEEPSLREIIDTMLVESGEKEKLMGLLRKRLEECGWREEMEECGRDYARKKGKNNVTRDDIIYDITKKGR >Et_2A_014852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18687804:18688984:-1 gene:Et_2A_014852 transcript:Et_2A_014852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEDLAAETAEAPAKAPYWDPPPAPLLDTSELGKWSLYRALIAEFMATLIFLYVSVATCTGGVSGGHINPAVTFGLFIGRKLSLVRTVLYIVAQCLGAICGAGIVKGIMKHPYNSLGGGANTVADGYSVGGALAAEIVGTFILVYTVFSATDPKRTARDSFIPVLVPLPIGFAVFIVHLATIPITGTGINPARSLGAAVLYNQHEAWKDHWIFWVGPLIGAFVAAVYHKLVLRGEAAKALGSFRGTSSSTV >Et_8B_059484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18359562:18362995:-1 gene:Et_8B_059484 transcript:Et_8B_059484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSDLLAVIKPEALKAYIWLQCSDGSIQQVEEEVAMFCPMICREIMKNGAGSSKSHAIVLPERVNPASLSLVLDYCRFHQVPGRSNKERKLFDEKFVRIDYDRLCKLASAALSLQLRPLVDLTCGALARIIGGKSPEEVRDIFNLPDDLTEEEKLEPLENINDDPTIRLLNRLYAKKRKELQERQRLKDVQVQEEQKDERSLDEILSFINGNGGSEGGKAAKNKKKNKRRKDHAKNSAKANTDPVNKEGVSCGVQCKADSGNISRFPCGSQKIQDDIEFPFEDCESDDGLDPAMREELDREVEDFARRLNLVWPERVHLTEDKRVGSHLW >Et_4B_038254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27606503:27613175:1 gene:Et_4B_038254 transcript:Et_4B_038254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IGPRKGFSHRGWPMCFLKAIASSITGWALQGLPPHLVVGMPALSPTMNQGNIAKWRKQEGDKIEVGDVICEIETDKATLEFESLEEGYLAKILAPEGSKDVQVGQPIFVTVEDHEDIKSIPADTSFGGEQKEEQSTESAPQHSVANVSEQSSVVSRISPAAKILIKEHGLDASSLKASGPRGTLLKGDVLAALKSGASSSSTKEKKAPATPSPQPAHDSQAQPATTPKTDSYEDIPNTQIRKVIAKRLLESKQTTPHLYLSKDVVLDPLLAFRNELKEQHGIKVSVNDIIIKAVAIALRNVPEANAYWNTEKEEAQKCDSVDISIAVATEKGLMTPIIRNADQKTISAISSEQQHGSVRGSQEEGVTLTGGSRRQVD >Et_7B_055336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9468351:9470822:-1 gene:Et_7B_055336 transcript:Et_7B_055336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGEEGKQQPHLVLAHKLFLLSHPDVDDLAKVDLRADVLAAVKSDDMASLYESLAADGVLEMDAALLAEMRARIEEEIRKLDEKIADAEENLGESEVREAHLAKSLYFIRVGEKEKALEQLKVTEGKTVAVGQKMDLVFYTLQIGLFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEALYCMATRNFKKAASLFLDSISTFTTYELFPYDTFVFYTVLTSVITLDRVSLKQKVVDAPEILAVIGKVPHLSKFLNSLYNCQYKSFFIAFSGLTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMAAAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQATIKQGDFLLNRIQKLSRVIDL >Et_3B_028266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12532329:12536970:1 gene:Et_3B_028266 transcript:Et_3B_028266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRIRSRDGTDRVTVPDAATTTVADLQRLIESALTVPVAVQRLSLDPSLLLPSTVSSAAAPLLSDPSARLASLRLANGAFVYLAYPPGARAAVPPPPKALSAAGSFGRKMTMDDLIARQIRVTRQEAALCSAASFDRDAANAFQLHVAESLAFAVKRAGFLYGSVDAETKEVFVDFIYEPPQQGSEDVVQLMRDPNEEARVDAIAEGLGMRRVGLVFTQAVGRKASETGEYTMSNREVVQAAQLQAEGGIPEWVTAIVKLEVGDDGTGDVHFEAFQMSEICVKLFKDGVLETEVGDNDDPRLSKMRKEVVAGGKDTMEVDNDFFLVPVKISDHQGPLSVGFPIENRGSPVGMGALRSHLDRVKHLPFVKRISDFHLLLQISVFLDVKADVPALAACVKTQSRVPEGYQLLIESLASQG >Et_8B_059869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3578225:3581882:1 gene:Et_8B_059869 transcript:Et_8B_059869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPWGTAGMAAGVAVLLAILGPLLNSSIAWRMGRFFADRSFDAPRKLRELEFETIPDLKKTLRDVVEQRIVRAEDEGSKSDLRPLDNLATCLRSALYQAEDILDLIHYHRIEEERAISDKWSWFWRFIDFSRAMLLLCEPIFNRLGRFVGKILRRPSSELPISNATSHSTPQNISGRSRHHSNWYQPILKWLGSAIKAILKWLGSAIKAPYFWFLNMKMDISDAINLRKRIEDVQNIANDSKKSPLLNRQSCSSEIPMKDTNMKGSSRHEEKKINYERKVIGRNEDLKLICRKLREGQDTISVIGIHGIPGSGKTTLARYVCEYEKREGNHFDLIMFIHVSTRFTVDDIFSDMLDQMEQNIDPTSAVRDRNNLQQKFTETLSGRRFLLVLDDLSVNNRNDQVELLDTLLNALKVEKSKSRILVTAKEKGAYAQEQILIRKLNEEEYLELFMHYALQDNVDGDGKFLSIGRKIANKLHRSPIAAVTVAKRLNKNNNICDWERTANLDLLNNTIKTLWWSYDQLGADIKRCFEFCIIFPRGYKLQRDRLVRMWIAQGFVETSNASEELEEVGQSYFNELLKFSFLEELPRTGLATEHFIIHDMLHELVERIAGNDFFRIDVNDSPEDIRPDVHHLYIGTYERAKIKDKHLKLDNLRTLIIEEKFPSNLDTNPKYLLPSNEPNSEERHDLAKEEVFLGMFKRLRKLRVLIVEVNCSEVLVFSVPSSIGKMKHLRYLGFRVINKLEMIFPSTFSKLYHLQILDCPYVELSCPANMTNLIHLRHISGHLDFPNIGKLTELQTLPSPASFQVRGEEGYELKQLKHLNKLRGTLEIYGLGIVGSNEEALEANLACKKGLTELTLDFRSHKFDPNVEADVLEGLCPPVHLEKLRIWNYRGSRYPGWMLSREHRDGPNDLNLNLLEFCGCSRLVSIPDDSQIFRCLRSLEITDCVWDSLPENMAHLESLHTLIITYCNNIQLLPDLPQSLQRIQIIGELSKSCKEIGHINWQKVRNISTKEFY >Et_4A_032794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1431034:1434765:-1 gene:Et_4A_032794 transcript:Et_4A_032794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRARAPPTVEKRSPCPAPSGWVPGTRPRIAIPNRASVIAVRLPDSAAARQGKGADTNGRARRRRSQPARGGAAGASVSGKNRCDKQTVDNSSSMETVHVNKSVKPSHEENSKAKDRSIIGEFQHSPSGDIDLNNPQVVEEQTNDSVAASRATNIIIPDPTPQAANNLFYNVSRILSPILEKDSVPRFLRYLKEDGRGVRWDGMITTGTFNHMMIDGSLKCAKVALEGAAPELKGHRANPNCMNQYGYFPLHEAAESFCVDMIKLLLDHGALPNVRTAGTEVVDNLLPLHVAVENTCLHKYLEDNLFPNVDHPHYPAKVDDTYIYKLIHLLCLPEMRIFLDTTRVLAENTDNLVDEIWNYVKDCKVVQSAIILMAAQKHIRGGCSSKRNCGPKVDGFSTITNRLHESLTFKMGMFQTGETTAAASEAGEAIEAYIRSHTEASHAEILDGVSSILKLYEFYLTEEVINIDNLYLPIPFHVSAMQLGSGAYHVS >Et_9B_065628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8366396:8368394:-1 gene:Et_9B_065628 transcript:Et_9B_065628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLALLETLPGFALFYIDDRALIEPKNLWAWFTEKKIAQNVLKLLGFTKLDDKSVARHTDVGPGVSIDYNVIVAQIQIAGSFVAKIGELHRCDMILAKCVKDLREACDELVPGVGIIIADDKLFAEVMAKILTPERFPNEVALRLKAADAAAEDARKKMNLRHLPRLKAVLLHTVKSQAVKCSESQKLKTSKKRKNLEEVEAAEGTSQDED >Et_9A_063325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2033201:2040562:1 gene:Et_9A_063325 transcript:Et_9A_063325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNKCRLPLHLLLWRRHRQFSTAPDSIHPQRIHGETRRWEPRPPPAPDTGDSARAHEATVKRLAAAGDVDGVQYALQEMRLRGFSCPEDVLISAIDAFARAGAADRALKTFYRARDLGCSAPTARLYNHLIDALLRENMVAAVAPVYENMKRDGVEPNVFTYNLLVKALCQNDRVDAARKMLDEMARKGCPPDEVSHATIVSAMCKLGRVGEAREVLAATPPVCASYNAVVLSLCREFRMQDVFSVVDDMLQRGLQPDVITYTTIVDAFCKARELRMACAILARMVITGCSPTVVTFTALVKGMFEDGRVHDALGIWKWMLAEGWTPSTISYNVLIRGLCNVGYLKGALSVLDGMEQHGCFPNVRTYSTIIDGFSKTGDLDGAMSIWNDMTSAGCKPNVFVYTNMVDVFSKKLMFDQAENLIDNMLLENCPPNTVTFNTLIKGLCDCGRVGRALGIFHAMRRHGCPPNDRTFNELLNGLFRDGNHEGALQMVIEMMNHGIVLNVVTYNTIVSGLCQMKMSKQAMLFLGRMMVQGIQPDAFTFNAIIHAYCKEGKVRMAASILGGMNTVNCPRNIVAYTVLMTELCNQHKLENAMVYLLKMLYEVVYLNTSHDVCMSGSSGGNPSLASELRNTGPTDGWPSFPGFPSSTLIVKMFFGFASISQRGP >Et_4B_038087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26109505:26113406:-1 gene:Et_4B_038087 transcript:Et_4B_038087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRCPPVVAGLALVILLLHAAAVAHGQPPLLARQDVAALYGLRASLGVAARDWPARADPCTFWTGVTCRAGRVVELRLAWVRRTRAGARRASFSVDPLRGLTALEALNASGFPLPGRIPAWFGRGLPPSLGVVDLRSARVNGELPADLGTSGNLTTLLLSGNSLSGPIPASLFSVPGLRYLDLSGNNLTGLLPNVSFSGSEGAGVLLNVSGNSLYGAIGDAIVGSLKKRFWVVDASSNYFDQVVGIGFGNGTDGVVDLNMNCLPGVASQRSRGDCEAFYERNGARLVEPPQALPPPSPGKRGVKLKYVLAGVLGSAAFVVVLGLIVLVLCLTRRRGRRKPRTRGIEQNEEGIRSGRRSSSVNPVSMSPNASPGANGSPKAIIDDLTYEQLDHATGGFGDDNLVKHGHSGEIYHGVLESGFQVVIKKVDLKSSKKSQGELSFLTKNSHARIVPLLGHLAKDEEELLVYKHMAKGDLTTALHKKPVEVEEGLLSLDWITRLKIAIGVAEALCFLHDECSPPLVHRDIQASSVLLDDKFEVCLGSLSEICIQQSEGSQSFFSRILRSSRSLDKNTTGPPASRTYDVYCFGKVLLELITGNFVVSGSNDAASDEWLVSTLGYIDANDKESVSSIVDPSLVVDEDHLEEVWAVAIIAKTCLNPKPSRRPIARYILKALENPLRVIREREELHSNSSRLRSTSSRSSWRFAFHGNSHHSWEVMPTSGRAPPRRNTAKSQGTEGSDGEDDDSFSFKRASREGFPDPVELEDNDVV >Et_6A_045794.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:1104400:1104987:1 gene:Et_6A_045794 transcript:Et_6A_045794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHWIENEQLRSDSPGTVIHQLQESRELITHLADSTSIHRAETSRWQAALTPCSSSTAQGAPGRRRPVAQHRPQGQSLVLYAGPDGQPQQRIVFAYPILPGDAFERLDGATLTWAEPESGDEFALCFADEAACAAVCGAISPVMRSPAVDGVAETLAGLRVAKEGPRRRRAEGTLPRGLRSSASAARDRISNIF >Et_4B_038947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6225431:6229344:1 gene:Et_4B_038947 transcript:Et_4B_038947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAAAALLVLLALAAAGGVAADGSDHRYKAGEPVPLYANKVGPFHNPSETYRYFDLPFCSPEKVREKSEALGEVLNGDRLVDAPYKLDFRVEHDSKPVCSKKLTKEDVAKFRNAVAKDYYFQMYYDDLPLWGFIGKVEKGGKADPSEWKYYLYKHIIFDILYNNDRVIEINVHTDQSALVDLTEDKEVDVEFLYSVKWKETPTPFEKRMEKYSSSSNMPHHLEVHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEESGWKYIHGDVFRFPKNKSLFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYIATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKTEFQAPCRTTKYPREIPPLPWYRRTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGFFVYGYCLYYYYARSDMSGFMQTSFFFGYMACICYAFFLMLGMVGFRAALFFVRHIYKSIKCE >Et_10A_000068.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21296744:21298322:-1 gene:Et_10A_000068 transcript:Et_10A_000068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAKHEEQNNGDLGRRSHFLVVAYGVQGHLNPARTLARRLARTGGCTATLSIPIFGYRRMFSSHKNSSEEIINDGVISYIPYSDGKDDGSWPMNSEERTQSREATFKSLSAIINRFASSDQPITCMICTLNMPVVAEVAREHRIPLAVYWIQPAITLAAYYHYFHGYDELILPRVNEPTSEVSFPGLHPLKIRDMPTFFTESKPSELSKTVMQALRELFQHMDQQRPMVLVNTFTALEDVALNAIKPYMDVFAVGPAVPPDALQVSEAQIHLFKQDEKDYIEWLNVQSEKSVVYISFGSLLTYTKRQVEEIAYGLQECGWPYLWVVRKEGCAEEVDLFLQELEGGNGMVVGWCDQLQVLSHPSVGCFVTHCGWNSTVEAIVAGVPMVAVPSWSDQPLNAHLVETEWRVGVRAERDAEGILTKKELVRCVELLMVGSEKATKIKENATNLKKQARDVVGTSGLLEISLRGFIKRTQDLERDVNK >Et_2A_014933.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:22108474:22108575:-1 gene:Et_2A_014933 transcript:Et_2A_014933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDQLLNLRWKFLLLISLGNLLLTTSSQLVSL >Et_4A_035347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2103012:2111011:-1 gene:Et_4A_035347 transcript:Et_4A_035347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIFEEDATCMAMPNVLRIEDISSPIAAHILDFCDDGLGDDLFAAVATATDPFPAASEDVSSSTTTTTPPLCSYSDETPAAAAATTFSPLPSFDSTLTALLEQEQHHDLDNDLLPQTDGLPEAAYYPPVTDEASIEQFSQIELSGTIAEPVPPMQMSSSASVFMPPATGYDECFTAALAGGYMGLDGALYQQTGTIVPSCNAEVSQGGFFNSASNGSNDMVMIGEYQKMMEGEGGLTRTYSDEDSIQGALYNTAELQAGGNNQHLTNGSNGSPPTLPQTELSGLEDPTFKHEFNKVQHPAFLLTSWPCEAEDPDAATAPTAPPLHAGPYGDLGGDHGDLDDHPERVDPVPEPLVHLEAEESLDDLVRVLGQLVP >Et_2B_019435.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29603547:29603822:1 gene:Et_2B_019435 transcript:Et_2B_019435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAASSVVALALCVLLAAQALQAAPCNPSALSPCAGALVGGLVTRGCCVQLRAQQGCLCQYARNPAYSGYVNGPIAQNVARSCGLPKMKC >Et_3B_031742.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:9475778:9476365:-1 gene:Et_3B_031742 transcript:Et_3B_031742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPSAISPPTTHLTVRFGSAAIDTTVTRDAVAADAWVRSVRATAPRVSGGEGLIVGLDCEWKPNRSPWTTSKVAILQLCAGTRCLVFQLFYATSIPASLRRFLADPAVSFVGVGVGEDVAKLDADYGLACAAPVDLEALCDQYLGGRVGGRRRGLKGFAREVLGTVMEKPYHVTMSNWEKHDLDTAQIQYVRLH >Et_5B_045188.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:11232028:11232420:-1 gene:Et_5B_045188 transcript:Et_5B_045188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSHAPSAAAAAHGRPAGSSSRVRLLPAPCAHGSAAARAAEALRRNGLRSESVPRHVAVVMDGNARWARARDLPKEEGHLAGRRALERIVRLSRAWGVRALTAFACSHENLSRHKARHLSTASADRLR >Et_6A_047103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25375518:25380171:1 gene:Et_6A_047103 transcript:Et_6A_047103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAGLKSVEGAHEESVWAATWAPAADHRPTALLLTGALDETVRVWRPDDLAAVGTPARGHALGVVSLSAHPAGALAAAVSLDSYIRVFDVDSGASVATLEAPPSEVWGVQFHPKWQPITSLAVPRPEGARPDKTGSGKFVLSVAWSPDGKLLACGSMDGTIAVYDAVRMKFLHHLEGHHMPVRSMVFSPVDPHVLFTACDDCHIHIYDAKEKSLIGAMSGHASWVLSIDVSPDGMAVATGSSDRTVRLWDINTRASVQTMSNHSDQVWAVAFRPPGGTGVRAGRLASVSDDKSISLTLEIPE >Et_7B_054514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22322963:22327550:1 gene:Et_7B_054514 transcript:Et_7B_054514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTSLLRLTFLALGVLLVVRSAVRLPRGIDTPTSSLLDAGAGSCTRFAPWACRRTEKKTTKQPRSSHESDVPRHPLDPLTVGEINRARELLHAYPPFASSLLLVHSLSLDEPDKPVVLRWRKGLDALPPRRAVAVVRFRGESHVLAVDLSASGEVTPLPVPASGYPTMTMDEQRSLCWAPFGDAAFNATVARRGVRMDDVACLPISLGWYGPTEEGRRLIKIQCFSAEGTANFYMRPIEGLTVLLDMDTREVVHISDRGAGIPIPAADNTDYRYSTEEDKSTTNTFQDVRAPSMGGLEVEDGHTVRWGGWELHLKADARAGMVVSRARVQGRDVMYKGMASELFVPYMDPAEAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRHARYMDGVFVAADGRPYVRENMICVFERYAGDVAWRHSESPITGMDIRESRPKVTLVARMAASVANYDYIMDWEFQMDGLIRIKVGLSGILMVKGTPYAHMNQVRQNEEMHGTLLSENVIGVIHDHFVTFRLDMDVDGADNSFVKVEMARQETAPGESPRRSYLKATRHVAQTEKDAQIRLKLYEPAEFHVINPTKKTRVGNPVGYKVVPAGTAASLLDPEDPPQKRGAFTNNQIWVTPYNKSEEWAGGLFVYQSKGEDTLATWSERNRPIENKDLVLWYTLGFHHIPCQEDFPIMPTVSSSFDLKPVNFFESNPILKQRPTEENDLPVCTAAA >Et_4B_039978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7062434:7065312:-1 gene:Et_4B_039978 transcript:Et_4B_039978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTGSNGAGAAPGHRRRSSSHGHGHHHQAPPPPPPQEAAPNRYVFAAATPYPPQYPNPNPPQYYPQYGNYYPPPPPSVPVPLPAPYDHHHRPPTAATGEFPPPAHPHHYPGWAGRYPSYGPHLPMPTPYVEHQKAVTIRNDVNLKKETLRIEPDDECPGRFLVAFTFDATVAGSMTVYFFAKEELNCNLTAMKDDKIKPVTVSFKEGLGQKFRQPSGTGIDFSVFEESDLLKQGDMDVFPLAIKAETALSVDQPLEGEAEKIKTPNSQITQAVFEKKENGDHQVRVVSQILWVNGTRYELQEIYGIGNSMEGDADANDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRYQTTRCPICRQPVERLLEIKVNNKSEEQQQTPQPPALPPLPEEV >Et_2B_020435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20049699:20054818:-1 gene:Et_2B_020435 transcript:Et_2B_020435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAAGDAAERETARGATLPAPTWGRVRKAGLGAAAHALLLCFTALLALKLDGIFTHSWWVLFVPLWTFHAVSARCRFSLPAPSSPQSSHVPCHSIVATPLLVAFELLLCVYLEGIDGHDEPFIDLKLVFLPLLALEIITLVDNFRMCGALMPGHGETITDEAILERLPYFWVAISMVFLLAATSLMLLKLCGDAVTLGWWDLFINFGISQCFAFLVCTRWSHPMDLGGPVLIIPIIVFQVLLCMHLEGTPSYARFIPIRVILLPVILLQLAGVSFALWRFFNRLLVKLQDGTISERHVSVSSKVDELSAMIQYGSRLLYWWSIDDDSKEEQAHLCYTNNNGYSTFCSYPPDVVKEMSKKDLIKEVQRLQQALEEQTEMANHSQQQCDYLKNERIFCRICFERDICIVLLPCRHRVLCEICSNKCQSCPICRLTIESRLSVNDAVSPNLLCDAV >Et_2A_016764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27939808:27942928:-1 gene:Et_2A_016764 transcript:Et_2A_016764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKIAPSMLSSDFANLASEAERMVRLGADWLHMDIMCRHFVPNLTIGAPVIQSLRKHTKAYLDCHLMVTNPSDYVEPFGKAGASGFTFHIEVARDNWQGLIQSIRSKGMRPGVSLRPGTPVEDVFPLVEAENPVELVLVMTVEPGFGGQKFMPEMMDKVRTLRKKYPSLDIEVDGGLGPSTIEVAASAGANCIVAGSSIFGAADPGEVISVLRKSVVESQNKN >Et_4A_035269.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:15895490:15897007:-1 gene:Et_4A_035269 transcript:Et_4A_035269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIVSSAVAEEIASQIVSSMIDRQDRPPSGKEQLERLEMAHVRLEAALETSDKWRIRDASLLRWRNKLKRAAQECDDTLRRCKQRVTEDEEKQRVVRNSSFPRRLAHATKSVVSSVLSGHEHGNPAVRRFEWFADGAAEFLRFVELGGTPRRYTFFDPLIARLLAGDELRYRLVRGSQYRLFCVRPVSLEGRGVEAKLIYIYEDDDEPERNMCIGSMLRLSESTDVVGITIKCLQLLVTPHFKPTAEAAARQLADLPTQDFTWVSYADSSNTEHWNSIHRDMSQWFRPDPLCCNQHDQSCKPSGTSSEVPLESVIEVYWQCQIPLSEYNMRRSSDVQVVPPNPTTSSKDLPHLKLGLLFTPHCCLGDVIPKPATSLVEVIRGEEQQSMQTNISLQQFDETMLPKALHSLYHKAEATVYQILWKSNHGTAYFQVKKTMPQKNVQGCQIKMSLMKQYNQDPKLERWTHVLTNFLNLWVARAPERLRWSMVEWMRKANEKKLVCFDH >Et_8A_056526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11395447:11400467:1 gene:Et_8A_056526 transcript:Et_8A_056526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAATTLVGNLGQLLGDEYRLLRGVGSDVAELRNDLATMNALLHMESEVDEGAVDRFVREWMKQLRELAYDAEDCVNHYKLRIKCRSEGGMRAWLKHMFETLFPRHHLAGEIRALRARAIAIGERHARYCVNRDAMRGFPSPSAAPVLASSVYAHALRPAIDGRPERHQPIGIDGQVDTLATRLKAGADGEHQLKVFSIVGFGGLGKTTLAMEVCRRLEPEFPCQAMVAVSQAFEPGRDVMALLKRLLQQLVKPKMENQKGVKEEEALGKIDGLDANELVDKLKEIVKDKRYVRRMYVQLVKNLADAHIYYDQYLITQLTMECVLLNRYLVVIDDVWTIRAWEAIQSVLPENKCDSRIIVTTRIESVANACSPASVGGHFIHHMEALKLEYSKKLFLIKAFGAMDADYPEELEDVISDILRKCAGLPLAIVSLASVLAGFGSSGCKDKWVKICKSIGSQMESKPTLEGMKHIVTLSYNHLPYELKGCMMYLSIFPEDYEVNKDRLLCRWIAEGLIPEKRGLNLMDVAESYLVELVNRNMVEVRSCADVYWKAEACRVHDMLLEVLISKALEYNFVSLLGGQQYATISYGRCRRLSIQEGGDETGSSRSRQQNVVVVEQQPKTRTRRKMEAAQRSIIEGMDVTHVRSLSIFQHRGQKLLDQLDKFALLSVLDLEGCEDVTNNHMRHICRLYLLRFLSLRGTDISQVPPEVRKLEHLQTFDLWESNVIELPETVTKLGKLECLHLRNRINWKFMWSLPRGLKNMRALRELGMVILGNDVQVAQDMGELDNLQDLKLFLNTEKISGNGDVVQELGKSLCKLYSLRRLIIEDHGYYGGCYDYYRGSFILDFLHDLSSPPRLLRFLKLSGVIKSIPTWVGSLTYLVEFRLCWGQLVDDQLFGTLSNLPNLKCIQLEWDCYKDANLIARTTHNFPSLTKLRVICGAANPKVFQFEAGSMAKLETLEINFSKNRERSIVGIEHLSQLREVKLTGAKDNTALHRALEQLEADISRRRTESGSKQFHVSVQYW >Et_2A_014709.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8401081:8401527:1 gene:Et_2A_014709 transcript:Et_2A_014709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFDGEQKELIKKLVNFRMIDGKRTRVRAIVYKTFHRLARTERDVIKLMVDAVDNIKPICEVVKVGVAGTIYDVPGIVARDRQQTLAIRWILGAAFKRRISYRISLEKCSFAEILDAYRKRGISRKRRENLHGLASTNRSFAHFRWW >Et_1A_008401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7327046:7330313:-1 gene:Et_1A_008401 transcript:Et_1A_008401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTAAVDTAALPPPPPPPSTEPAATAVPDQKVVHSVILPDVRPPAPAPAPAPAPAAMHPVILPDVRPPAPAPAPAPAPAPAPATRKRKLEDLGFHNSDYYKIRATIADLRIRFVQVSQATDFRNCDAATEILKEIKVVMELSKKMRLNLGAISAPVKPSERAPTVPVKDLPMKPPVNPSIGDVKDVPVKPSVEASDGPAKDEPMKPSEEPVAGTTKDEPMKPSEEPSAGPVIGEPRKLAEEPSAEPVKDETTRPTPPGENNQAPQVAETALPPSNVSADAPSKLNNSESASVPMEE >Et_4B_037377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19169038:19172589:1 gene:Et_4B_037377 transcript:Et_4B_037377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYDGIQWSFETSGRSSMFPNETCLLKFWPARRSELHNNGMVSIGEDGTHEGIKSIPIQRACEFTTSSVLCVCIITWNMNGKMSVEDVTKLVSSNRKFDLLVVGLQEVPKCDVLQILQETMAETHMLLGQKSMQSLQLFLFGARSSEKYIRELKVDKQAVGGCGGIIGRKKGAVAMYINFSGIRMLFVSCHLAAHEHKVEKRNSEFQHISHSLFSKNDMAYTQSADVTVWLGDLNYRLQGISSKPARQMIEENRQSMLRRKDQLLQEADKGEVFNGYCEGTLKFKPTYKYNVGSSSYDTSHKIRVPSWTDRILFKVDHSSGLDAILSSYESLDCVSSSDHKPVKAHLCLKVRSDDD >Et_8B_059920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4037318:4038622:1 gene:Et_8B_059920 transcript:Et_8B_059920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDESGLKKGPWTPEEDEKLLQYIQKNGHGSWRTLPRLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILHLHSVLGNKWSAIATHLPGRTDNEIKNFWNTHLKKRLIQMGFDPMTHRPRTDFFAALPQLIALAALRDQLAAADPAAAAAAQMQQPPGAGVDVAIQAAKLQYLQCLLQSAATTIASTAAPDADETAALGGAISSLHQGTTHGGAVTPVSAAGVQMPCTAFDDMAAPVSSEELASQGFSYGGAHDDMMVACHGGDSSLPPLTDLSDAANPCDGCSATASSSLGNGASSPLPWPEFFPDDPFITDFL >Et_3A_024423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20640892:20645055:-1 gene:Et_3A_024423 transcript:Et_3A_024423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAFDPEAGANGAGHAAKPAPGTAAEPDAGAAFVLESKGTWWHAGFHLTTAIVGPTVLTLPYALRGMGWALGLTALAVMAAVTFYEYSLMSRVLDHCEARGRRHIRFRELAADVLGSGWMFYFVVTIQTTINAGVSIGAILLAADCLEIMYTSLAPNGPLKLYHFIIIVAVVLAFLSQLPSFHSLRHINFVSLLLSLGYTILVSAACIRAGFFKSVPAKDYSLSTSKSEQTFNAFLSISILASVFGNGILPEIQATLAPPAAGKMMKALVLCYSVIVFTFFLSSISGYWAFGSHVQSNVLQSLMPDSGPALAPTWLLGVAVLFVLLQLLAIGLVYSQVAYEIMEKNSADVAQGRFSRRNLGPRLLFRTLYLAFCAFIAAMLPFFGDIVGVVGAVGFIPLDFVLPVLMYNMALAPPKRSLVFIANTTVMVLFVGVGGIGAFASIRKLVLDAGEFKLFSNNVVD >Et_5B_043398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1000450:1002434:-1 gene:Et_5B_043398 transcript:Et_5B_043398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDGEARDLPPPGDGGACGGRAEVDTSAPFRSVREAVDHFGGSAAWSSQLVKRLFAPPKKGEGAEESTNLEEQTAQLEKELSVKEKETLDVLKELESTKKVIADLKLKIQSEEYATFSICEDTAQDEAPIEEPEEKQNENAEVPVAVPEERQIENAATPIAGTDVNMGGLDKQLQQTPGSSVLKGLEQAKANLNRTTSDLAAIRASVESLRNDIAKEKVLVERCREKVCSSTTLISSLENELDQTKQKLQTLRGLQKRREDPSDIFIEIKKMTSELGQLRNTASASKAEAIMLAAEIEQTKASIGTAEVRCLAAKKIEEAARTAEALALAEIKILLSIEASSEDLQSTDVVSLSVEEFSELAAKAQEADESLRKKVEAAMVHVDEANRSESDSLRKLEEAKLQVDECKKALQEALTRVDAAKQGKIAIEEALRRCRSASGHKRRSLHEPLKFKNAAHRCKESHSMDIVDASKGSLKQTLSIGQILSMKLMGPDGYDKSVSDGTTETSNVSLGQILNRRRAVVYSSDTTAHKKLSGKRKKFAFTGLSVFLAKQAKSKKKKGSHEN >Et_2B_021007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25631873:25632417:1 gene:Et_2B_021007 transcript:Et_2B_021007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRLAFIATPKARGAHLSVGEALASRLARANEDPSQPASTSIRRGGLSPNAATELSTFPTVRAPSKARPAEAATHDNAAPDSARSRRTVCLSVTTPPGLCRRKMEKCPSELQLEAFIREDDRNEPSRRPQAGGLDEPGGGGRASSGVFGRLPGIGFGDQVSTANHEQCTNSISFSR >Et_5A_042392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9532813:9535910:1 gene:Et_5A_042392 transcript:Et_5A_042392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYISPERHLEGSCGDPGPLFGDHDGSLLDHLDYQGGGFQGGVSQHESPALDDGLLVDPADSIPYLSSDSLPFINDQITCNSMKSASASPEPLPKQAQESFNVESDMQSDAAEQNVHNSNSEAEVAPVDCDDAHKSPEAIGAMLPQELPESSANGTSNFQPEDAYHGDSLLTENSSHRLNNSGDDEDEMPNSPVLQMENEGIKKSHETSYSGKNVSEDGYMNGRKSSPMDSQDKENFNTSDGRGSPERFERLERDTPSADGRLSPQVKSPHAPRSEKMESHHPARDDDLGRSESPPARCQSRSPERHDTNRKRASPRESSPHGQNNSPIEKRRRRESRHGDGSPRRRSVSPRRRSTPSRRRSTSPRRSSHRRRSSPRRDSPRRRDTKRDSPRRKDSPRRKESPRRRDSSRRKDSPRRRDRSKSKSPSRRTDSSRHRREHDRSRSRSPHSRDHHRRSPRRHSPRRRSPLSSHRHHSPKRHWSPPANRKTGLGKPGRNLFVAGFSYATTERELEKKFAKYGRVTSARVVRDKRTGDSRGFGFLSLEKDEDADAAIRACDETEWNGRIILVEKSKAPTW >Et_5B_043020.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:13612299:13613581:-1 gene:Et_5B_043020 transcript:Et_5B_043020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFPLLMMHAGKTTMVKTRYVVMSEEILKTYPELAEEGLPTMKQRLDISNKAVTQMATEASLSCLRAWGGSIPAITHLVYVSSSEARFPGGDLHLARALGLSPDVRRVMLAFTGCSGGVAGLRVAKGLAESCPGSRVLLATSETTMVGFRPPSPDRPYDLVGVALFGDGAGAAVIGADPVAPRERPLFELHSALQRFLPDTEKTIDGRLTEEGIKFQLGRELPHIIEANVEDFCKKLMKERVDGGGGGELTYDDMFWAVHPGGPAILTKMEGRLGLDAGKLRASRAALRDFGNASSNTIVYVLENMVEETR >Et_4A_035053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9044611:9045636:-1 gene:Et_4A_035053 transcript:Et_4A_035053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DIKIDEEAPSKSASTFASILNKKSKAGITFAANSFHFDGARGFAFSNHNVTCLLEVQREKLLEGSSDVQTPRKKSTQEILTQYKFKGDAAAAAAAAKIKLMERQEKLALMPPRLCGDAGLPLLQGMLLHCTCPQRLLPNHVPRNYSFCIELVPCSCLRTVPS >Et_4A_032057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14295431:14296342:-1 gene:Et_4A_032057 transcript:Et_4A_032057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRFGFDGELPRAFKFDPTDADIVTHYLLPRALGLPNPLEHAVIDDDPAGCPPWELMRRHGHGDSDHAFFFAPPRHPTKTVRTGRVVPPGEDGGVGGGRDGGEGDEIKIKYKRYNLSYCRDGEKKTSGWVMHDYQIIDPPLLPVIARVKVADAAKNDRRQQQKEAGAAAEGNIEQPGPSGNDFIVGDYAPGYAAMASDGEGTSNSRAQVVVGQTDDGGVLVGEQTVSFSELLGAGVDDCFFADNSISYFAGTNMNHGFNQGAGAGNNIYGCRSDNYHCFSDGQVY >Et_2A_017912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7816740:7820818:-1 gene:Et_2A_017912 transcript:Et_2A_017912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFLQLFHPPPTAAGGGVQRTFKIFCAANDAFCLTVCDGKVAFAPANSSDEQQHWMSRGILPFSIVNKATGLAISHFGGPFHPLIPPPPPPTLRVMLVPFDPYYLDDSVMWTSTSDLARSFGCIRTRSDICLNLVAHHSSTVRLSDSCEGNHQHWKILPWAAYPVSTANAGRTFRIQCKASEDLSVTVRDGTVCLAHTNPSDEYQHWIEEPKYGCLIKDEDGGASFALVNKATGDAIKQSEGEGSPVKLVPYNPSYLDKSVLWSKSADMGGGFHFIPMVDDIYRNLCAVPSDRDHGRVDDGTKVVLSYWCEDDKHQHWKMLPCCKSPGLAHFTKMRCAGAALVIASREISNRSVIAGAGAAFGAYDV >Et_4B_038780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4852169:4855300:-1 gene:Et_4B_038780 transcript:Et_4B_038780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFDRWEKDPFFLAAEEVQESADRMESLYRVWMQERNGGDPEAAASGGVLAAGELRRELHTALGTAKWQLDELERAIRSNDAVILAGKDTRARHNDFVAAIAYLILEVENSLKESNVAEGRGPLSWVHLNEDERDDLAAFLSASPRQPRDKVVSVPSAGDIEVGSNTKRVRMDMSAESSKDSSGSTELGIGRVKEDMRPGHRRAASASADIGSWSISIPDECEGAAESGGPQKVPLLKIVKSSALTSALQSKPRMKCKNGAVRWAGVDQQDVEEAAPLRSSQLSQDLDGCFERNKHCLSTCDEGAYNKKLYGWLGVLHRQLQRSHYQIRYGHPVQLMVLILVALFS >Et_2B_021361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2916196:2918305:-1 gene:Et_2B_021361 transcript:Et_2B_021361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHIGPMCNGEWSPSDIDEIKSLIVNHNNVINDSGCDGMNIKHGDIVDVLQARFPRKEKHQVTDLYLDIMVEMMQCQEKSDTRSTMGSIDLVNNNIGMPVKDPSMDNMEMIRGSLTTEDTTPRKVAKKTSRQLPARHNKRFWSTEEHKLFLRGLHVYGRGTSPETLSKLEHQCKSPAMHRSTSVGRPVPPHVQKVRRNRASKMALVSILHRALKSSGRPCRRLEGTTSRQHYTINDVGLYNADPWMVRNSVEWDVPAFTGGSYKSNDYITNG >Et_6A_047553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7254480:7261540:1 gene:Et_6A_047553 transcript:Et_6A_047553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGTHRTPEDVFRDFRARRAGMIKALTTDVEKFYQQCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMDEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKESSRHASQNLTQGVPRCHLQRMRMIAEVRKKKRRKNMKMHCVVHVVTTMDRMSSGYAVMLVRHGSMVSVSRSLLPRPSISSTTSARTAAVVARGPEHDPGDIHCLCRKEAKFDSA >Et_3A_023131.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30001058:30002539:-1 gene:Et_3A_023131 transcript:Et_3A_023131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARHVLHELAVAEQRRHGLRREAHLDVHAAVAGAARERRHLAIELVGAAAPHREGTAPPEVVALVHGEPVRAPHGDHRPVGVAQREAPGRGLPRRRGAPDRAVAVRREARVQLGRRPAQVVVRQLGHGRRRRRALDGVAEVDRGDRRAQTVPAVHRHSERGRRVGRQSFPRARHREPYRGAQRLERRDLAAPFGILGVMCHLAVNLGVLLRAALTAVGDRFQLANRIRAEVEDALPERRVHVEGHRRARAAGEAEDGGGLAEEIPAEVQQLGRRAAGDGGPGVEEVPERGAVHDGVVGDDADEDGAVAEHGDLERRERAAVAVVAHGAVHGEQVLGQLGRHVRVRDEEVVQRQARRHGVVELDARVVAADDDAVVRQSDEPPGEGRDDGQRPRQRRLQQRDEGGHGQVGRREEEPLLDVVARQHGQVGDGQREVAHRRRGVRAHVLPRHDGYARGRRVDQAAGGLQLGHNGGRGRGGVHHGHGGERLSH >Et_1A_007375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33964106:33966245:-1 gene:Et_1A_007375 transcript:Et_1A_007375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQATPPAAAAAGVTATVDLSPVSDDLGGAHLLPCGIRQNGGTPVSDYFKPRSTGVEVDGVKVEEAFFRGRKLQGATLALPDGYRGYMLEKKNGGKDKQNSDDEMHKPVSAEELANISLFDNSRYYKTVDALTPSATKTSNTNFTA >Et_5A_040944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15412955:15413546:-1 gene:Et_5A_040944 transcript:Et_5A_040944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVGRNMVAPLLVLNLIMYLIVIGFASWNLNHYINGQTSYPGVAGNGATFYFLVFAILAGVVGAASKLAGIHHVRSWRHDTGATNAASSLIAWAITALAFGLACKEIHLGGHRGWRLRVLEAFVIILAFTQLLYVLMLHAGIFGGGGGYRDHHEYGAGAAAAGEPKGPRV >Et_3B_029450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25051024:25054397:-1 gene:Et_3B_029450 transcript:Et_3B_029450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRDLAIASISAAVGAVAAAAALRFLTSCRTSSPRPQNQLLSANGSAAEAERPPAQSPFNPAKREGYISWDDYFMAIAFLSAERSKDPNRQVGACLVSQEGIILGIGYNGFPRGCSDDKLPWAKKSANGNPLETKFPYVVHAEVNAILNMNHASAAGQSGVSEVIYFVEKRLDNSDYVYVASHKLLSMAGVKVRKHQPQMSQIPIKFLEPQSSTSEKNDARC >Et_1A_007500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35206046:35207976:-1 gene:Et_1A_007500 transcript:Et_1A_007500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASFRSASPDAGDIDAALGLDDISGGGADCISALACGRRSSFSYRRLPEPRLLLTVRKLDASCFDVQIARTAAVWELKAAIEDVFFAVYDDPERTISWQHVWSHFCLCFKDEKLTDDKATLRAFGIRDGDELHFAQHLSVDYNPCKSLSRNHKAASHRRSRTSLDDFSPRTLLDELNEDEGEKFTDMRRSSTSVLEEDLWVYQHDEQCSEESCKKGSFFRGWFSYSRLRSNRRTHAEDTVPSSCEKKNTRPKLGKWFSSKRSKTRCN >Et_5B_044811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6025923:6027164:-1 gene:Et_5B_044811 transcript:Et_5B_044811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPERRPFLGIVQEQLGYVHCYFCTSILLVSVPSTSCGGGGLQLRTVAVQCGNCGGVLSVTLPPRTPPPPVVLPLQVTPPSFFRNLHDLTRNYLCDSLESNSVSMMKQEPGVDTPPRESDESSGENMEVEAAEDFVVSPMVNKPPVRRQRTPSAYNCFINKTSFV >Et_2A_015932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19221054:19224916:1 gene:Et_2A_015932 transcript:Et_2A_015932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEERIVVSVRLRPVNAREAERGDGSDWECTGPTTLAFRGAIPERAIFPASYTYDRVFSAECSTRQVYEEGARRVALSVLSGINSSVFAYGQTSSGKTYTMVGVTELSMSDIYDYIDKHPEREFVLRFSAMEIYNEAVRDLLSTDATPLRLLDDPEKGTVVEKLTEETLRDKGHLLELLSVCEAQRQIGETALNETSSRSHQILRLTIESSPKQFTGRANSSTLLACVNFVDLAGSERASQTAAAGMRLKEGSHINRSLLTLGKVIRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAHCHIEQSRNTLLFANCAKDVVTDAQVNVVMSDKALVKHLQRELARLENELKFPGSASCSNHAEALREKDDLIKQLEQQLKELMEQKDTVQSQLDSFRRVASEGYIDECTSRRWNERNWSSGSLPHNVSEDALSSSDTYDATYEEQDDVGSKALDASHICNGHHHNPELSGRTERQHQQIIEEQSMFSLDPTSNPNSESTDTHQANKEATSEASEEHCKEVQCIETNELGRRKTQVFSSAIRSYADADVNEEKHGESITSTAYNAIQLYTCDTDPSSDTEKPKTDESLALKRCVVSSRDGVLSRSRSCRASFMVIPNSWFYNSADMNMTPPGEIFKSSPSRPEKVRRSLYTENGDHQNDLSLECPVVTGRAASDEVTDKSTCNFEEEGITSDISCITETNTKGCTVSHIEKNEKDVGANSSVSTAESPPQWSINFEKKQKEIIELWQECNVSLVHRTYFFLLFKGDKADNIYLEVEHRRLSFIKSSFSAEGEPNATVTSSLRNLRHERDMLYKHMLKKLHLPERESLYTKWGIDLNSKQRRLQLSRRIWTQTDMEHVRESAALVTKLVEHLEKGQAIREMFGLSFTLNPRDDRRSFSWVGA >Et_3B_028783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19081212:19088246:-1 gene:Et_3B_028783 transcript:Et_3B_028783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRLGRRAQSPQLPGKGECQCAWEHEGRRSFRSFDSARLLPPHAAHLHPMALAACCPRFITTPPAPGSGSRFGCGCSPRLRAPRSAASRPRARLRRGHAMAANGAEHSATDGACASTAPPSLLVFSGGTAFNGVVEELKKVTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTSEALSVRRLLGHRLPLDPSEAKLEWYQIVEGDHSLWDGVSRPYRETIRAFLVYFHNEIIRRSADIFCFTNGSIGNFFFAGARIFFQSLDAAIFLFSRVSQIPAESLVLPVISTNDRLTLGCELWDCNSCTALPSRIKRVFYMSSEGSNLLHEVFPAVNHTVLEQSSKVDCIVYAMGSLFTSLCPSLVLRGIGETIASRAIPKVLLLNGSHDRETAGLSASGFVTAITDSLNRTYGDAHKSLKNRPNDYVNAILVPEGGQIPLDVENLASQGIFHVVTVASIHDPKVGVVFDPRSLIQALTSLISEDMHLHLSHQKENVNIMS >Et_3A_025319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28884940:28887895:-1 gene:Et_3A_025319 transcript:Et_3A_025319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKKTKKAARVLDGEQTEGSPLVKIKESGESDLQDNTNTTGQVSAEKKESAKKKERGKKRTSSALDSTNDTVDEGNPEYNLNEPTMEEKLATLNLINRNVENNDTQEQSLSMAPPSADSVNILLKQALRADDNVALLNCLFNRDQRVISKSVSLLTPADVVKLLKFLVSQIQSRGAVLVCLLPWLQTLLSQHMSSIVSQESSLQLLNSLYQLIDARTSTFKSALQLSTTLDYLFSDVADDEGDEEEAIPPIIYEDKDTDEEESDFDAMETDGEAEELGDVTDASEHSDESEIMSD >Et_1A_005285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38158381:38158746:1 gene:Et_1A_005285 transcript:Et_1A_005285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSPAVPPPTLAAAAGGRINKKRAGLPKLLHKLFIKVLRLRPTAVEVEEPTAFEAYYGAAYRYGSSWAGVLSSIPEEDDDTSDDDDDKDDGAPEVAVVHVEVLL >Et_1A_005126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28198958:28201522:-1 gene:Et_1A_005126 transcript:Et_1A_005126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGARGAPASSGASLTEYLDGPDALHRRATSFAIVRSGAARDGPRIVDGPGRDDRRTQSSRRFSLSSWRGAVSGSTTKSTVSSVAEEEGGDSSSKKGSSSSTSAWKSWKPVRALSHLRMRRAGCLFSVEVSAVRGVPASLEGYRLAVTVRKAETRDGAVQTMPCRVRDGAADFDETVFVRCTLHFAGGAGTGKPLRLEPRRFVVSVVAPEARGVRLGTHAVDVTALVLESAQKSSAEGRRVRWFDRTFPLSGKAAGAELVLRLGFQLMEDAGLSLYTKMAEPTSYVPPAAPAGRARAHNRDSFSISNMSPKVLAEPDGAISPSMRAYKQLVDRLRIDEHVSSSDDAANNARSLVPWINKPGDDEVSVDAGDTQSLPEYEVVEKGVESVKEVVHYHPRRDVLRELDSIAEQIEAIEALMNKGGKTRSPRAADQAAAAAEQHLDAEKLEVHEEDMRAKLKQAVTPPRSQSPSPRRSPAAADHHSPPVVPDLGRSLGPVVQTRDGGFLVSMNPFDFPLASRDGPPKLAMQVSRPYVLPGAVAATGFDVLQMMAAASGADQVRDRLASLGAMDNLTGKAPGQVGFEGIAEAVIGGRRSEGGATSSAAQSVQLVRKLAAAASRGRSERVATGIWSVGDDPETLEEVLAFALQKLEATAVDALAIQAEMADEDAPFEVGASASAAAAGNASVFDALVPHDEWSESGGSSDGRVTLVAAVQLRDPSRGYEAVGAPMVAVVQSARMLGAAGHSAGRFKVRSLHVGGVQMRCPATGAGGSATWVAERQKLTAMQWLLAHGPARPGKRTPTARARTQQRPDVIWSLSSRVLAGMWLKTVRNPDVRIGAGSG >Et_4B_039712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27568780:27569816:1 gene:Et_4B_039712 transcript:Et_4B_039712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSLVFTPRVSGPSLPPAAATAAAVPLKSRRISGARLVPRRWRRAARLSSLSAVAAETPRTEEAPSPSPSGEERFDWLDQWYPLAPVCDLDPRAPHGMTVLGLSVVAWYDRGAGEWRVFDDACPHRLAPLSEGRIDDKGRLQCVYHGWCFDGAGACKFIPQAPALGPQVRIAISYSSVLPCRKLKSKSFSRFVPQVHKNSKACVASYPCVVQNNILWFYPRAEPEYKDVLQRKRPPYIPEIDDPEFVTVYGIRDLFYGYDVLVENLMDPAHVPYAHKGLMRGIRKKEDPGRYVSDLYALNSSIWQ >Et_7B_054757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4057168:4060387:-1 gene:Et_7B_054757 transcript:Et_7B_054757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPESQTAVEENSPKSVDGKEQLVSRKDQDISTAVVQGATSLKSKKDAQEGTTFMDEGLEQRRFGYQPNMHSSQPQTLFSGGYLSPLGQWEEHPHFVNVEGLDAASPMIYGAFSPPPAIGYSQPYFFLHYPFSSPYYQPHAFPSIGYSDSSTGMLQFDHMHYYYVPDELFYPPAPGFYQPFGPFEGAPIQSSGNPGEGYVCQGNMPLTFEMDQEFMYGSGSHKALQQVGKYGGAIPRLGAAKNRFSTFNKFKHEKVDSEQYNNPEFVTGYKDAKFFVIKSYTEDHVHKCIKYNVWASTAMGNIKLNAAYREAKEIGDHCPIFLFFSVNGSGQFCGVAEMIGSVDFDKSVDYWQHNRWCGQFPVKWHIVKDVPNNILRHIILENNENKPVTNSKDTQEVKLEQGLQILAIFKNHESDTTILEDFDFYERREKAMLDNRMQNKPQCPDAKAQKIVEASAPVGLVTHISATFSQDVPLEEAKGNENRLQVDDTVSAESASAAPVKTEEGMPRTEEAGILSKEVS >Et_2B_022876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7084155:7097195:-1 gene:Et_2B_022876 transcript:Et_2B_022876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPHVLVVPYPARGHVQALLDLASLLAARGVRLTVVTTPATAHLLAPLLAEHPASVRPLSFPSAAADHDTSGPAPVGTDIHALGAALRGPLGDWLMKRSRNSDGEEERVAAVLSDFFCGWTQPLAAAAGVPRLVFAPSGLLATAATHSLFRRTPKPPPAGDDASGNGYAVSFPDLPGAPAFPWRQISRMYRGCVERGGEHAEAIKDNFLWNLESSAFVCNTCRPIEGRYLDAQPLVDLAGKRVWAVGPVAPAAPPATTGGDVTAWLDAFPDESVAYVSFGTMVVPPPPHAAALAAALERSGAPFVWAASTAALPDGFEDRAAAGGNGLVIRGWAPQTAVLRHRAVGCFVTHCGWNSVLEAAAAGVPMLAWPMAADQFINARLVVEEARVAAAASWGGFGVVPDVEHLARSLAEVVGEGGADMRARAEELAARVAEAANEGGSSRLELDGLVQELRELAVQFHKIFRNGLIEVDTLVHGPQVTYLTDHKPKKTDTNLRSRENPSFIIIKEFIDVIIYRLVDVWHLTYYKVPNIKWERNKYKEKRPKINSEVNVSVTILNPTCQRLINPIIKITSIMAKSGKSWLPIIVPMKPVFIDITNTIRWNLIDGGNPSVSSVSWEC >Et_1A_008975.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:2288126:2289184:-1 gene:Et_1A_008975 transcript:Et_1A_008975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRPEKGEVVKLAGGAGLILAEAEESGQEAKTSSHVLPATAVTFTAAKKIMKYIRKSASPVATILFHGTVVDQRPSFPRMASFSSRGPNVQAPEILKPDVTAPGVDILAAWSGVVSPTRLKSDTRRVKYNIISGTSMSCPHVSGIAAMLRQARPDWSPAAIKSALMTTAYVLDNTGNVIRDMSTGKASTPFAHGAGLVYDADANDYIDFMCALGYTTEQIAIFAEEGSEIDCPMGMRYAGDLNYPAFSVVFNSYEEEITQRRAVRNVGSNVRATYTASVTSPAGVLVEVKPRRLRFSARRQTLEFEITFNPWGTGNVTEKYTFGSIVWSDGEHKLRSPIAITWPESRVATM >Et_1A_009146.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:3094712:3095968:1 gene:Et_1A_009146 transcript:Et_1A_009146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMAPPAQDFMLDSSAYAPEPAVTNPFASADDTAAGNPFLSATAVTAPPSPNPFEHLPPSATDADPFDLFQHFTSAPASPTRAAAIYAQFDSAEHGDGIGGHGNVHDDNDDDGFQPRVSYSTVASTVPFDWEERPGKPKPEFASVTADGDADADFDFGVLLDKAAQTPELTTADELFDEGKIRPLKPPPRLLDGGSVGSSPRSARSAIWSPRLRGTRGRSGVSGPSGADFDPFAAALEKAARAPSPLGAGSVSRDDAAGGGVESASSPMNTDPATSPTSAPPAKSNGGRKKWRLSDLLLFRRASAKGRAAGNISRDPVFKYAPVQPLGAVVKDNNAGAEPVVAANGGDASSVGKHKKQSKKGAAASTAAEGGMPMPHRQGVMGCVRLHPGLHRLAKGFNGHSVHLGGRGAARSAMKG >Et_10B_003928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7714879:7718422:1 gene:Et_10B_003928 transcript:Et_10B_003928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAGVDPGSGYGWAGVWSTVMSMLFVWSMVQQHLPFNLEDLFTPLTRRLLALANPYVTITIDEHATEYFGRSEAYLAAEAYLGDAFAGRASRLRASPTDFDSGRVRLALDDHEEVADEFRGARMWWRKATRTSVRRRGGAPWAPPVEDERRAYRLTFHRRHRALVESAYLPHVLAAGRAVAERNRQRSLFTNSAGEEDGGWTHVKLDHPSTFATLAMDPDRKRRIVEDLEMFRDSKEYYKSVGKAWKRGYLLFGPPGTGKSTMIAAMANFLDYDVYDLELTAVKSNAELRRLFIETTGKSIIVVEDIDCSVDLTGKRGGKKKKKKKPTNGEDDDSNKVTLSGLLNTIDGLWSACGGERIIVFTTNHKDKLDPALIRRGRMDMHIEMSYCCFEGFKVLAKNYLGFTEHKLFGDIRRLLTEVNISPADVAENLMPRSKTKDVDASLASLVEVLEKAKEAASSKAQPGTKEDGNEETTDDDDSTDDDDGNSTVTDEDDSHSYKGKKKTKKGMFGRLSLIKATDARGVL >Et_10A_001709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7084224:7084980:-1 gene:Et_10A_001709 transcript:Et_10A_001709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLDVKPRDDSWGTVYISCDHGTSETIAVTLLYDDVMEYIHLRAVCTSWRSSTADPSILLPCFFPPQQDDASGRGIRRF >Et_4B_039202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8731356:8732161:-1 gene:Et_4B_039202 transcript:Et_4B_039202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDEMDADRNSFVDHADFVTFLCGGGEQEALATRRRRPISGKRSACTTPNATGTSPHRELHHVLRQLRDKCSVADSYRMIRSVNADGDGSIDFDEFEKMMGANFGRVIYKMHSTTSCARSKLSKQSRERETSMGGTKDKKQ >Et_10A_000673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15109152:15110945:-1 gene:Et_10A_000673 transcript:Et_10A_000673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LQPLSCSISDPWPEQEEGQHQDDDKLCRKNVKRKYRRVVLPAPRAGSPAAVLAIGTANPANCVPQDQYADLYFKVTKSDHLAKLKAKMNKIFKLQKRYFHLNEDMLRGNPEFIDRTLTSLEARQEMAASAILELAAAAAKKAITEWGRPSSEITHLVFSTYSDIQMPGADLYLASLLGLAPSVQRTMLYYQGCAAACSALRLAKNIAENNQGARVLVACAEVSLVFFREPDEAHLDTLVVQALFADGAGAVIVGSDPETACERPLFEMVSAVQNTVPNTGYAISSRMTKSVIVYHLSKDVPSLVAGGIEQCLRDVCEPLGLNNDWNNLFWVVHPGGRAILDGFQEALKLEPSKMLVSRHVLSEYGNMSGPSVIFVLDKFRRSRAKNCKDTVEECEWGAMVGVGPGLTVETILLRALDV >Et_4A_034616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4911655:4914492:1 gene:Et_4A_034616 transcript:Et_4A_034616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVRCLRDGRLDGEHAPALAVEGSLQSCPFAGGAMLHLVAALASQVAAGKAQSRGLVIVAFDRSPELYLDFMRRRGLDSNSLNRCIRILDCYSDPLGWKQKIQNQQQQENTRKELSTNKENITVFRDVKDVKKFLYSTTELGGGFEGEGKKYFSVAVDSISSMLRHASVPAISGFLSNLRSHDQVSSIFWLMHSDLHEPKVTRAFECLSTMVACVEPALVDPACEARGNMSFLEQNYSKAKFIVRLKRRNGRVKHLYEDIHIEGNDVKFVSALSVSTEVNQSLLPKVQFNLELSEKERSDKANVVLPFEHQGKGEPIRIYDGRRSLPDAQQDPSLTALSLVEEVKAPKSGNGKGEIHYIRDSDDEQPDSDEDPDDDLDI >Et_3A_025357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29121764:29123811:-1 gene:Et_3A_025357 transcript:Et_3A_025357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSGSHEGGGGGGVREQDRFLPIANISRIMKKAVPANGKIAKDAKETLQECVSEFISFVTSEASDKCQKEKRKTINGDDLLWAMATLGFEEYVDPLKNYLQKYREGDSKLSTKGGEGSVKKDAISPHGGTSSSTNQLVQHGIYNQGMGYMQPQIRLSLCSSGCLALA >Et_3A_024948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25619357:25625362:1 gene:Et_3A_024948 transcript:Et_3A_024948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVDGEYDEFNAANRRAEVIDWLGGLLPDFDLPLDSSDEELREYLIDGTALCYIADKLMPGVQEGMWGGFASDQRSNVKKFLSVVAEMGLPGFSVKDLEEGPVSSVVECLLALKYNVTNGSGQNISNNAVKTPLRRRLELRGDSMSQQRSTPHSGQKVHDVFQPKWGSYTDLSAAKISEMMHSSSLDNAPTQSLLRVVNGILDESIERKRGEIPHRVVYLLRNVIQEIEHRFSIQADHIRNQNNVIKSREDKYRSKIKALETLVNGTNEENEMTINRLELVEVEKSKIDEKRKLGEQDMVRLMREKENAESRIATLQQEIQVISRMHEDYRKKMDKEARQMEEHLANRVKEAEFLLMQSQKKVEEIESASHLKSQLWSRKANVFQSFMDNQKLTIKDIRISSQSIKQEMFALQMKWRDEISNIGHELNGLVNAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDGKATAVDYIGENGEILISNPSKQGKEGHRMFKFNKVFSTRASQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPGTSKEDWGVNYRALNDLFDISLSRRNAFSYEVGVQMVEIYNEQVRDLLSNDIPNGLVVPDASLLPVESTSDVLELMEIGQRNRAVGSTALNERSSRSHSILTVHVRGLDLKNGSMSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFALAQKNSHVPYRNSKLTQVLQSSLGGQAKTLMFVQVNPDVESYSETISTLKFAERVSGVELGSARSNKEGKDIKELLEQVSYLKDTISRKDMEIEQLQLLKDKAKYSSSITERNGSSQQTPQSSGAGGSGEAECEDNLSDDGCSVAGTEYSVGTASEATAERIQKTQSRIARIFTKNGQPANSKPKPRESSLKPPARTKSAPTQVTGGGSAAKPPKKK >Et_2B_022126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9491429:9491985:-1 gene:Et_2B_022126 transcript:Et_2B_022126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIFFIVLLLREYGRLSLKFFVLLLSCCFDGINGSWRGMITIWSRIIPMLIKRMGIVVPGEVLGHCIAAETRRCRASRAGCLSWRSHIGLTSRRWCGWWSGVRVCVHARAVVGDGVECAKLQRCATASEKWICGEHIQQSGEGGGARKGISGRSRQN >Et_10B_002572.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:16445919:16446638:-1 gene:Et_10B_002572 transcript:Et_10B_002572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLAANGGAAPPPERKYRGVRRHKGNQNYSAEIRDPSGGRDGRKLWLGGYDTAVEAACVYDAAVRTLRPYDANAMTNFPEPMPEEKEKRAAVVMAYINELKQKREEEEAAFLDADAGSPEGEDLVASTDAAPAAAPVVNNASASHFPPAFATPTPLNLAFTPNPLQAQRSAFRPYRSDVGYPRQEFLTTGGCTMANVPPAQFQNLYLQFVGASGLGDAGGSDPGTDAGMKAPRRS >Et_10B_002874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12944361:12945879:-1 gene:Et_10B_002874 transcript:Et_10B_002874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLGSAVVQGMVSGIISNITYEGRSDPKEHMERLEMAHIRLESALETSERWNITSAPLLRWKNKLKRAVNECDETLRKCKQRVHEEEEMEEGVRNSSFPNRISHNVRSFVSSIFNDGVSEFMRFVELGSTPRQLMFFDPLVRHLFAGKMIRHKLVLGSQHHLIMVQPISTPLGMEGRLLYVVIDGDAPEKSFFFTIFLRLSESTDIVGIAVRCLKLFTPHFKSTADIVTTMLAQLPMRDFSWVQYVDSSQKQRHWEKFYTIFSKWFRPNPHCCQQQHDRYTQAYGAHNNISSSSLPQDINLEPVIKVYLQGHVPVPTGHKRNNNFSYVKLRLLLSPHASSEGMLSTVEGSATEMVHGQEPHADGMYANISFEQLDEIMLPKAIDCLRQNAGATVYQMIWRSRHGGAYIQAEKFTPRRTLRKDRRGHRLQRPDEKVETWSLVVTDFLGRWAAHAPDQLQGSIANWIQKEKKMQLAQTKV >Et_2B_022520.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24456138:24457277:-1 gene:Et_2B_022520 transcript:Et_2B_022520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTAVAQQPRVETLSGLSAIPPEYVRPADERAGLGDAFDLARRTSHAGDHHDGPRIPVVDISPFTTTGGGEGKDRCVEAVRAAAADWGVMHVAGHGIPGELMDRVRAAGAGFFALPIHAKEAYANDPAAGRIQGYGSRLATNAGGHREWVDYLFHLVHPDGLADHSLWPAHPPGYVAAAREFGRRVREVASTLLAVLSLGLGLRDERGLEEALTAGAAGEEADLRLQLKTNYYPPCPQPELAVGVEAHKDVSALSFVLHNGVPGLQVRHGGRWVTARSEPDTVIVHVGDALEILSNGRYTSVLHRGLVNREAARVSWVVFCEPPPDAVVLRPLPELLTDDQPARFAPRTFQEHVDRKLFKKQQQLDDQLDHGKPEQK >Et_9B_065478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5675441:5679359:1 gene:Et_9B_065478 transcript:Et_9B_065478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGGGSWPACVASVAAALLVGAVIVRLFVPTVWSLVGTKRRRGTTSGGAAGEARLPAGSLGLPLLGETPAFIFAAYSPRPESFVEKRRLLYGKVFTSHLWGSPAVVSTDPEARLTADMHRRVRRAVESSWTSSGAAHVRVQDEAKSIVFEVLVRALIGLEAGQEMQFLKQQFREFIAGLISLPIKIPGTQLYRSVKAKKRMTRLIQNIIQERRRKRTLDDQEGARQQGGNPSDMIDVLLNNGSEELTDELISDNMIDFMIPAEDSVPVLITLAVKYLSDCPQALQQLEEENMELKRRKSDTGEPLEWTDYMSLTFTQHVITETLRLGNIINGIMRKAVQDVDVRGHLIPKGWRVLVYFRGVHLDAGVHDDPHAFNPWRWKDRADVATSGGGRVFTPFGGGQRLCPGLDLARLEASIFLHHLVTSFRWVAKGDTIVNFPTVRLKRGMPIAVTPRTT >Et_3B_027622.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:467494:468663:1 gene:Et_3B_027622 transcript:Et_3B_027622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVADGAHHESVLVALGAPLDQLVAGGEHDHLAAAAALPAGDESRAAAAHAPAAVLGGAGLAAGLGPAHHLPELAGLGEPDALLGAAHVAAHHEEPRRRSALLAGRHEVAQLAEVVGVQRDVALVDGADAGRLGAGLDQLARALAVLEGAPHAAERRRVQHHAAAGGAGRAALRAALERVPPPPRLGRGGVDAFVLAAVAHQKGVVLDDAPPRQLRRRRLRRILSLIIRHGLQGRCLLMMSSWWFFFFFWLLLLLLLLLLLLLVRRTWRRRLGVGVLQGGLHVLQGLAHLRPRRRSQLVSLQLFQERQRHHCLGLLILFFFVVVLIVVFIGRLFLCFIILVLGDEPGLLDGADVEEGDAGGRRPVHVGRHGDVPGGGLGAAAPHAFCGVE >Et_5B_043062.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:18748830:18749291:-1 gene:Et_5B_043062 transcript:Et_5B_043062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNLGVCGRAAPAVGPMGGGAPQTAFAGQDVGRHLRYREGGGARLRRRHLLLLRRPHSEDAQDQLPHRAAPKHRRGRACRAHKCRHQGNRRGVRPHPRRVRSTASANGGGAAPATNVDGGNVMMYRGEDITTIADCLLSINTEELDPIDLEY >Et_5A_042060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5854275:5856016:-1 gene:Et_5A_042060 transcript:Et_5A_042060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEQRDQQGAGEEAFEEVDPTGRFGRYADVLGLGSVKKVYRGFDQEEGIEVAWNRVRLRALADHDPGMVDRLHAEVRLLRSLHHRHIIGFHKVWLDRDAGVLNFITEVCTSGSLREYRQRHRHVSVKALKKWARQILEGLDHLHTHDPCIIHRDLNCSNVFINGNNGQVKIGDLGLAAIVDKTHVAHTILGTPEFMAPELYTETYTESVDIYSYGMCVLEMVTREVPYAECGSVVQIFHNVTRGVLPAALKRLKDPELRAFIERCIGQPRNRPTAAELLQDPFFSGIGEDDDDALTDAAAVVAAGPPVPRPRSYVDDLAGLRLD >Et_6A_048102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6290368:6291718:1 gene:Et_6A_048102 transcript:Et_6A_048102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGAVDESTRDQNNMVVTKSEDVSMVGIKDKGDLPIPCSEPASSPEDALEPVEEKGGNTTAGSSSFGDTWSGFDGKANGGEPVVNSQALAPAHGDSASLLAWRPPFLPTVAPNISVMSNSAGSAKPGASQSKWNRRLASGSSTLASPNIIPAHIRRPAPNGRNSKSEPLKSTSLASSSNRSGRKSSGSPDQYLSSRPRDQTFTITVVPCGMSYPSTWQGSLASRGSSKGIGGWSRNVSRMTS >Et_7A_051393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17923838:17926227:1 gene:Et_7A_051393 transcript:Et_7A_051393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NVLNKFTVIGCNTLGYISDINGMGYQSGNVLISSRTAWSGIWPYAEQLSTTTTARTVGHLSKSTEGMKVVSDLMKAPTLAATMQEFSKEMTMAGVMEEMVNDAVDSALDSEDMEENIEEEVDKVLASVAGETASQLPDAARAQKIQQAATSTVPGEYIATVAAAPPALPPDPDPDPGMVAIATRAAHRSYCSMVVGSAAVGLAVARSGGSVVHQRGLSMWNGVAAAGASNGRGAPNRVRGGSEVG >Et_1A_008264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5788733:5792971:-1 gene:Et_1A_008264 transcript:Et_1A_008264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSANSNQKPGDDNVSKRLHFGDGSDYPPYKSTAQAKDDLEVLAARYGDMKIVCSPSRYATTIQKLSDKHKDAIKSAGFQGMLQLKPMFLRRLMLVQLAKRYITETESFLIAGKEIPMTQLDAFHIMDLPIEGKNIDVSAVRETNTELFQSYRSKKPGENHITLKALEHSITISKEPDDDFIRQFVLYTIGILLAPTTKDYVDSKYLAFVEKVKDIPKFNWGLFTWRNLLACMHSFKIDEKVNLQGNLALLQVWYFEHVQSYSHHGVSYSPAPHPLMARWDEKMAKLRADAYNEDGLDGGVVVTTISNRKPGRNTINNEATNGQDEETRCHDQDGHHTHSEQHPITNQQMEIILQAITKNRIQNERTLMEVEHRFHSNILTVQEDLAEYRTQDAIRTRDIKNALNTRATPFKCQNFEGEASLADEETSASEGEGTLPDSKTVENMKMEQHRTQPVEKSTKHIFSTTDYNNDDYILTEDDEEALQFIIHSYKWAGVVYIPDHPLLRVEKLKKLAEGGWVSDVAIDAYASLCEIENKYTTVLTTFQSRMLLGTNGVFNPRNKRWTAELGKRCATHGLVFVPFNAHKCHWTLLVLNYRRKEIQILNPLASSPNLRDEAHEATLEQMNVYRKKICSRLLHSESNQIGRASYRQPITKQEYKANKEKDNEDQGQDSDIEIVTWGDETSKGIKGTLSGRKRGRPKKSGQNTKAATPEASPKTPKTVAQRVQGTHRRSIHKLFSTTSEPDISWNRAINLKQWTTMAKPDMLVI >Et_3B_028647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17692370:17698308:1 gene:Et_3B_028647 transcript:Et_3B_028647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKAPAAEQPRDAAAGDFDPIYEWLDDGGSYLLRLSLAGFKKEDFRVHVDAGGRLTVIGQGAGAGRLHKAFQLPNTANLDAITGRFDGAVLTLTVPKLRQPDADAVAAATPPAQQAKEEEAAGEPKSLQEDKTRRQAEEEEADKRLKAEAAREKADAARRDQDEKARAAEHRASVEREAARAKVAEAKAAAERTGGQWKERAAAEGLKLAETIGKNKEVVAAALKRSAAAKGGAAPLAGDVDIDPKLEWHDGAAGYIIRLALPGFKKDDFKVQVDAGGRLTVRGERPAGYVRFHKAFQLPPTANLDGVAGRFDGNTLSLTVPKKASGVAEMVAAKMAEAECAAAAPAAQEKEEEAAAGFKWAAEAAVGKGQMVLRLSAASFGALRPHIGHGRQ >Et_1B_010921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15417191:15420015:-1 gene:Et_1B_010921 transcript:Et_1B_010921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFKGPAESIYQGGVWKVRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRPAYEQKVKEYCEKYAKPEDAGITPEDKSSDEEVSEEEDDSGDEAILGNPDP >Et_4A_032865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14788751:14791841:-1 gene:Et_4A_032865 transcript:Et_4A_032865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPKNTHAAIAPPTATRISADGQWLAVPLLEALEQRVDVLTLVDCIEHLVKLLAWRPRLLAGEVLRPDLLAHEPVQRVRAALLDPVGDRGGAVLDGRPRGLDRLGQRGAQLLLEPRDLGEHGGPRVELGGAVGERALHEHVAQLLHERVAGAAGVVRVCEHGLALDLQEHVLLAEPGGVEVARGVGGAQRPLGHRRHAGLDGALDVLLDVAQVDGLAQRDEEGGRHELEDVDGLGGLPGGDEAQRVDVLVVLLRALHVVGHRVAQELQLRAVGRHGDLGALEPVVQARVPAAGEVGGEAVVVEVVDELRELREHELSNGGDGEAGVVHGHADGRALEVAAVDRLAAGHVDQRVVVDGVDLALDRLGRCPDDLDLRAEPLRRRAERVPVLLRLHQRVQLAHPLGELHVGAPLQHVLHDGGRLDLARVVLEPVGEVVRVLGLPVHHLAEHGGEHLGEEREDVGLEQHGGGEPGPHGRAVHHGEPFLGLQLEEAAVDAGDPERLGGVHLASVRRHGNRVLAAGDEAGDVGERDQVARRRDGAAQRQARRHVGVEQLRDGLQDLEPDARVALQERVDADEHGGPRRLGGEHVLAAVAPGAAERAGVEEADELALQRAALLCAAVRRGAEPRGDAVAVGAVGHAAHHPVAAGLDALAGRLVELHAGLPGAVRHGGHLGDAQAGALDLHQGLAVLRHHPLHLLQVAARQLRRSLAPVQRVGARRRRAQTGVLALHFSCR >Et_2B_020479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20637073:20638198:1 gene:Et_2B_020479 transcript:Et_2B_020479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLNTIVVTLLLLLLLLLTVAARASLRRLRGARRRNPPEPTALPFIGHLHLFKKPLHRTLARLAARHGAVFQLRFGSRRVAVVTSARAAEECLGPLDVAFANRSQLPSGAILSYEWTTMGTANYGPYWRQVRRIAVTEVLSAHRVREFADVQVREARSMARRLYRAARDGRARVELKSRLFELLMNAMMSMICARTYYGTTPAEEEEETSVEVSEETRWFRTMVEETMSYFQLRFDTLRCENHYVLFSLKYSSLEAGTTTSADTIEWAVSLLLNNPHVMKRAQAEIDACIGQPIRLLEATDLPKLQ >Et_1B_010140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25662718:25663052:1 gene:Et_1B_010140 transcript:Et_1B_010140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLSLVACGCDILFHSRGTFQTIQPFIYTGYIILFSLYDKVMPSDVIWADTCVAHVHFY >Et_3A_025679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31856566:31858780:-1 gene:Et_3A_025679 transcript:Et_3A_025679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGVKIYSVFFKLFLRHRLQSLANGAAGDPDAAAFGVSSRPDEATAPANPAFSAADGVASKDLHIDPNSALSVRIFLPTPPPHLAHPRRASDPAPAAAGAPYRGYLPHAVSSPRAAASARRRLPIVVQFHGGGFVTGSSSGAANDAFCRRVAKLCDAIVVAVGYRLTPESRYPAAFDDGVRVLKWIAKQANLAMMSKVGGGVDTFGASTVEPWIAAHGDPARCVLLGASCGANIADYVTRKVVEDGKLFDPVKVVAQVLMYPFFIGSVPTHSELRLANSYFYDKSTCMLAWRLFLSEKEFNLDHPAANPLAPGRGGPPLKCMPPTLTVIAEHDWMRDRAIAYSEELRKVNVDAPVLDYKDTVHEFATMDVFLKTPQAQACAEDIAIWMKKYISLRGHEFSY >Et_7A_051051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1407643:1414826:1 gene:Et_7A_051051 transcript:Et_7A_051051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPPSGFAAGGLFLQHIDGKNSSPPSVIVIGGGISGISAARALSNASFKVTLLESRDRLGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIRMLGLRLYRTSGDNSVLYDHDLESYALFDKHGRQVPQEIVAKVGETFEEILNETVKVRDENANDMPLKQAISIVLDRNPHLRLEGLQYEVLQWCICRLEAWFATDTDNISLQNWDQEHVLTGGHGLMVNGYDPVIKALARDLDIHLNHRVTKIIQHYNKVIVCVEDGASFVADAAIITVPLGVLKANIIKFEPELPEWKLSAISDLGVGIENKIALKFNNVFWPNVEVLGRVAPTSNACGYFLNLHKATGNPVLVCMVAGRFAYEIEKLSDEESVNFVMFQLRRMLPDATEPVQYLVSRWGSDPNSLGSYSCDLVGKPADLYERFCAPVGNLFFAGEAACIDHSGSVHGAYSSGIAAAEDCRRRLSTQLGISDLFQVGKIVMREEMTEVMVPFQISRLRCTSPPSVIVIGGGISGVAAARALSNSSFKVTLLESRDRIGGRVHTDYSFGCPIDMGASWLHGACKENSLAPLIGYLGLRLYRTSDDNSVLYDHDWESFALFDKQGNQVPKETVAKVGETFERILAETVKVRDEQEHDMPLLQAISIVFERNPSLKLQGLDDQVLQWCICRLEAWFAADADEISLKNWDQERVLTGGHGLMVNGYYPVIQALAQGLDIRLNQRVSKITRLSSGVVVTTEDGTNYFADACIITVPLGVLKANIIKFEPELPSWKTSAIADLGVGIENKIAMHFDKVFWPNVEVLGMVGPTPRACGYFLNLHKATGNPVIVYMAAGRFAQEVETLSDEEAVGLVMSHLKKMLPGATEPTQYMVSRWGSDPNSLGSYSCDMVGKPGDVCERFSAPVDNLYFAGEAASADHSGSVHGAYSSGLAAAEDCRKRLLTQKGVPDLVQVAAWEEVAGTVALQICRT >Et_3B_029992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29685794:29688545:-1 gene:Et_3B_029992 transcript:Et_3B_029992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTKDMARIEKVAGDGYGGGEGQVEVEVAVGMEGKELIECRICQEEGEEDAMDSPCACTGTLKFAHRKCIQRWCNKKGNITCEICNQVYSPNYVLPPSKCCSDEMDMDLRQNWVGRMDPHDSHFLAIAIAEQQMLQAEFEDCVPSNSSGATCCRSIALILMFLLLVRHVIVIVRDVSMVQDATVLFSATLQFAGFFLPCYVIARSCYALQHRRRRQVYILPFRCTNPNFC >Et_6B_048898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15210467:15216298:1 gene:Et_6B_048898 transcript:Et_6B_048898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLAVFLLALSLMPIANCELLGEFCWGMPIYGDSANTYHDNLKLPSATLTKNASSSPFLFAKGSVGSGTGILYGLAFCRGDTTNASACSDCLDSAFGSAQQLCWNKTDVAIFEDMCLIRFSNEGLNYTGNYDQITYGVGVISNMDTTNISVPLLPGWDPGSAASITDIFRVLLQETSQAAADYTNRRYVTGFMDATNTMPPLYSMAQCTPDFSSIECWACLQYIMNLVMTNFTGQQSGRVLAVGCSLQYDTALFYSGTPIWRIIPPTEVVATPLLPAREQNFGHCHSYFTTCLAFRDGPLVQIHQKAWERSAIYPQLFLYTGRNSEFMVYDFAQVIEATGNFSERNKLGKGGFGPVYKGRFPDGLEIAVKRLAARSVQGFTEFKNEIQLIAKLQHTNLVRLLGCCTHGEEKILIYEYLPNKSLDFFIFDPARKYVINWKKRLLIIEGVAQGLLYLHKHSRLRVIHRDLKASNILLDRKMNPKISDFGLAKIFGTNESEGNTGRIAGTYGYMAPEYASEGIFSTKSDVFSFGVLILETVSGKRTSSFQRYGDFINLLGHAWQLWKDGLWLQLVDGSIDAECYTLEVMRCINIALLCVQENAADRPTMSDVVAMLSSESLILPEPKHPAYFHVRVTHEDASIILVPASVNDVTVSALDGR >Et_10A_001201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22078709:22083948:-1 gene:Et_10A_001201 transcript:Et_10A_001201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKEGSSRAIFCFVLLSLVGYKCLASEIEATQTATLKVDASPQLARKIPETLFGIFFEEINHAGAGGIWAELVNNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFTRNIVALRMEVLCDNCPAGGVGIYNPGFWGMNIEEGKTYNLVMYVKAPETTDLTVSLTSSDGKQNLASATITVDGSSNWTKLEEKLVATGTNRTSRLQITTNKKGVVWFDQVSLMPADTYKGHGFRTELISMILDLKPRFLRFPGGCFVEGDWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLAEDLGAAPIWVFNNGISHHDEVDTAVIAPFVKDVLDSLEFARGTADSKWGSVRAAMGHPEPFPVKYVAIGNEDCGKTFYRGNYLKFYNAIRQAYPDIQMISNCDGSSRPLDHPADLYDFHVYTDSKTLFSMKSTFDRTSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDIVQMASYAPLFVNDNDQTWNPDAIVFNSWQHYGTPSYWMQTLFRESSGATIHPITISSSYSGSLAASAITWQDSENSFLRVVNFGSDPVFLTISTSGLQASVNALGSTATVMTSSNVMDENSFSNPNKVVPVKSALRNAATQMQVTLAPHSFSSFDLALAQSELVAEM >Et_4A_034019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29149985:29170969:-1 gene:Et_4A_034019 transcript:Et_4A_034019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GCGACVVLVSKYDAATDEVIEFSASSCLTLLHSVNRCSVTTSEGIGNTKDGYHPVQQRLAGFHASQCGFCTPGMCMSIFSALVKADKEAGRPTPPAGFSKLRTSEVERAISGNLCRCTGYRPIVDVCKSFTADVDLEDLGLNCFWKKGDEPADVNKLPGYNRSAVCTFPEFLKTEIKASVDQANCATVTISDDGWYHPKNIEELQRLFDSNWFNEKSVKIVASNTGSGVYKDEDLYDKYIDIKGIPELSVINKTSKGIELGSVVSITKAIEVLSNGNMVFRKIADHLGKVASPFVRNTATIGGNIVMAQRLPFESDIATVLLAAASTVTIQVASKRQQLTLEEFLEQPPCDSKTLLLSIFIPDWDSDDITFETFRAAPRPFGNAVSYVNSAFLARTSVDAASGDHIIKNICLVFGAYGVDHATRARKVEDFLKGKSVTFTIILEAIRLLKENVSPSVDTRHPEYRISVAVSFLFSFLSSLADILNEPAKVIAPNGSFTRVENSPEKFLKVDNNDLPIQSRQEMVFTDEYKPVGKPIKKAGAEVQASGEAVFVDDIPAPKDCLYGAFIYSTRPHACVKSINFKSSLASQKVITVITAKDIPRGGENVGSGFLMLGDEALFADPVAEFAGQNIGVVIAETQRYAYLAAKQAVIEYSTENLQPPILTIEDAIEQNSYFPIPPFLAPTPVGDFNQGMSEADHKILSAEVKLESQYYFYMETHVALAIPDEDNCITVYSSTQAPEITQSFIARCLGIPFHNVRVITRRVGGGFGGKALKAAHVACACAVAAFKLQRPVRMYLDRKTDMIIAGGRHPMKAKYSVGFKSDGKITAVYLDLGLNAGMSPDLSPMLPTTTIGAFKKYNWGALAFDIKVCKTNMSSKSMMRAPGEAQGSFIAEAIIEHVASVLSVDTNTIRKKNLHDFKSLAVFYRESAGEASTYSLVSIYDKLASSPEYQQRAAMVEHFNSSNRWKKRGISCVPITYDVRLRSSPGKVSIMNDGSIAVEVGGIEIGQGLYTKVKQMTAFGLGQLCLDGGECLLDKVRRHEVARVDPSTTLLGFLRTQTPVRGPKLGCGEAIDVFSDGNLVFRKIADHLSKVASPFIRNTATIGGNIIMAQRLDFASDIATVLLAAGSTITIQVASKRLCLTLEEFLQQPPCDSRTLLMSVFVPDWGSDGITFETFRAAPRPFGNAVSYVNSAFLARTSGDHLIEEICLAFGAYDVNRAIRARKVENFLKGKSVRSPVILEAVRLLKDIVSPSEGTSHPEYRISLAVSFLFRFLSSFANSTSGPAKVFIPNGIDSNDLPLRSRQEIVFTDEYKPVGKPIKKAGAELQASGEAVYVDDIPAPKDCLYGAFIYSRHPHAHVRSINFKSSLASQKVITVITAKDIPNGGENVGSNFPMLGSVKEALFGDPVAEFAGQNIGVVIAETQRYAYLAAKQAVIEYSTENLQPPILTIEDAIKHNSYFQILPFLTPKPVGDYDQGMSEADHKILSAAVKLESQYYFYMETQVALAIPDEDNCITIYSSTQLPEITQSVVARCLGIPFHNVRIISRRVGGFGGKGMKSTHVACACAVAAFKLQRPVRMYLDRKTDMIIAGGRHPMKAKYSIGFKSDGKITALHLDLGINAGISPDLSQLLPPAIIGAFKKYNWGALAFDITICKTNVSSKSAMRGPGDVQGSFIAEAIIEHVASALSVDTNTIRRKNLHDFESLTVFYEESAGEASTYSLVSIFDKLALSPEYQDRAAMVEHFNSSSRWKKRGISCVPITYEVSIMNDGSIVVEVGGVEIGQGLWTKVKQMAALGFGQLCINGGEFLLDKVRVIQADTLSMIQGGFTGGSTTSENCCGAVRLSCGALVERLMPIKESLEAKAGTVEWSALIAQASMMNVNLSAHSYWSPDPSFKSYLNYGAAISEVEVDILTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFIQGVGFFTNEEYATNSDGMVINDGTWTYKIPTVDTIPKQFNVEMINSAREQRRVLSSKASGEPPLLLASSVHCAMREAIRAARKEFKVCTGPANSATTFQMDVPATMPVVKELCGLDVVERYLESVSTDDPIPLEARSCKMVNEASEEMGTATVVLAVNGKRYEAAGVDPSTTLLEFLRTQTPYDAATDQVTEYSASSCLTLLHSVDRCSVTTSEGIGNTKDGYHPVQQRLAGFHASQCGFCTPGMCMSIFSALVKADKEAGRPAAPAGFSKLTTSEAERAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNCFWKKGDEPAEVSKLPGYKSGSVCTFPEFLKSEIKSTQDQANSAPVALSDDGWYHPKSIEEFHRLLDSDWFDENSVKIVASNTGSGVYKDADLYDKYIDIKGIPELSVINRSSKGIEIGSVVSISKAIEVLSDGNFVFKKIADHLNKVASPSIRNTATIGGNIIMAQRLRFKSDIATVLLAADSTVSIQVASKRLCLTLEEFLQQPPCDSSTLLISIFLPDWDSDNITFETFRAAPRPFGNAISYVNSAFLARTSVHAASGNHLIEDICLAFGAYGADSAIRARKVENFLKDKSVTSSVILQAVQLLKEVVSPSDGTTYPEYRISLAVSFLFSFLSSFANRTSAPAKIVIPNGNDLPLCSRQEIVFTDEYKPVGKPIKKAGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHPHAHVKSINFKPSLASQKVITVITAKDIPSNGENIGSNFPVLGSGNEALFADPVAEFAGQNIGVVIAETQRYAYMAAKQAVVEYSTENLQPPILTIEDAIQHNSYFQLLPFLVPQTIGDYKKGMSEADHKILSAEVKLESQYYFYMETQVALAIPDEDNCITIYSSTQTPEIIQNVVAKCLGIPCHNVRVITRRVGGGFGGKVMKSTHVACACAIAAFKLRRPVRMYLDRKTDMVITGGRHPMKVKYSVGFKSDGKITAVQIDLGLNAGIALDLSGLMPHGIVGSFKKYNWGALAFDIKICKTNVSPKSAMRAPGDLQGSFIAEAIIEHVASTLSVDTNTIRRKNLHDFKSLAVFYGESAGEASTYSLVSIFDKLASSTEYQQRAAMVDRFNSSNRWKKRGISCVPITYVVSLRPSPGKVSIMNDGSIAVEVGGVEIGQGLYTKVKQMTAFGLGQLCLDGGECLLDKIRVIQADSLSLIQGGFTGASSTSEISCEAVRHSCVALVERLKPIKESLEAKGGTVEWSALIAQGSMANVNLSAHAYWSPDPTFTSYLTYGAAISEVEVDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGVGFFTNEEYATNSDGMVINDGTWTYKIPTVDTIPKQFNVELINNAREQRRVLSSKASGEPPLLLASSVHCAMREAIRAARKEFSVCTGPANSATTFQMDVPATMPVVKELCGLDVVERYLESVSTNNPNIAKACGAYLTGWMGIRLGQRCSACLLPSVGPRRQPPIWAAPSGAPLVGLMPVGECAAPELHEPDGTGGAAAGEGGLSQVGLVRPRMP >Et_2A_017367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33651209:33662294:1 gene:Et_2A_017367 transcript:Et_2A_017367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTELERETTRADQLLLTDASISDRGIVNSDRNKGSSGNSLRPAILDLNEGYTEGSEDGEVGEEDDDGGSTSEVAGGGGSSSNNSSTNHNSGSNKDHDINSSGKAEGSGDRVPTVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDHETGHERAGISSVFSPMDLHMRRGDPRFHDMFFQRAAGSVLSSRPLENGGFFGSRNAVSSDASRIYGFLQRRQPTMQTFDFRNYSSLRNQEMVFNHHAAATSRAGAINDNGPAKGLIHDMMFSKDGKPMSHLFDVRDAIASKRASSASSGAPDRGGRIGSSSWIGNSSRPLSRTMSAAASTGFALGNHHLLSRWRGAACSNGYHLSGDANTTSSDPVVTSEALGARLEKHHEPRTPKKAIDEMHTGTGAKRTKTTSTGENGWAPDLQLSLSPDVGEEAEKAKKRKCIRNASSEQEEDSDKMPPLSLSLSLRGGNSGGEGGSGGDAGRLDTAIGSSSSKAALGLSTLDLTMSIKALE >Et_4B_039165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8387587:8390263:1 gene:Et_4B_039165 transcript:Et_4B_039165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPLAVPHSAASASARPAAGLVAPPPTPPCLRVSAPRSGSLLYQRRFRLLTPAAKSGAIGNAAEAAPVEGLASKVQGVEVFDLSGNAVPIVDLWKDRKAVVAFARHFGCVLCRKRADLLASQQDVMQAAGVALVLIGPGNVEQAKAFSEQTKFKGEVYADPTHSSYDALEFAFGLFSTFTPSAGLKIIQLYREGYRQDWELSFEKNTRTKGGWYQGGLLVAGPGIDNIPYIHKDKEAGDDPDMQDVLRACCS >Et_2B_021518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30280963:30286587:1 gene:Et_2B_021518 transcript:Et_2B_021518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKHRPSSTNNARIWSTNSGAPVWNNNSSLTVGQRGPILLEDYHLIEKLAQFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVVHERGSPETLRDPRGFAVKFYTREGNFDLVGNNMPVFFIRDGMKFPDMVHAFKPNPKTNLQENWRIVDFFSHHPESLHMFTFLFDDVGIPLNYRHMEGFGVNTYTLINRDGKAHLVKFHWKPTCGVKCLLDDEAVTVGGTCHSHATKDLYDSIAAGNYPEWKLYIQTIDPDHEDKFDFDPLDVTKTWPEDIIPLQPVGRMVLNKNIDNFFAENEQIAFCPAIIVPGIHYSDDKLLQTRIFSYADTQRHRLGPNYLMLPVNAPKCAYHNNHHDGFMNFMHRDEEVNYYPSRFDPARHSEKYPIPPRVLTGCRDKCIIEKENNFKQAGERYRSFDPARQDRFLQRLVDALTDPRVTHEHQNIWISYWSQCDATLGQKLASRLKLKPSMSNMFIPNAHPQARGSKFSEIF >Et_10B_003095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16456486:16463296:-1 gene:Et_10B_003095 transcript:Et_10B_003095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSGQPNPVGSGGQPSLLRTSSSLLSAGGQPGMGMSGGHVGPGGGGGMLPSQSPFGALASPRTQYGGVGGGSNGLLAGASNVASLLGRQSFGNGGHGAMPGGGLPMGALQQRGGLDGVGDLDNNIEYWRNFVNEYFAPSAKKRWMFGIVRYAIESPGEALVLPRLCQIKYASGTLEELLYVDMPRESQNTSGQIVLDYTKAIQESVFEQLRVVREGHLRIVFNQDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQSSAQNSAALSSQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSKQTGSGPIDSLHKFPRRGPSGISSGQPQQQPEEQQPVPQNSNQSGQNSAAPTGMQVSAAGNGDATSNNSLNCAPSTSAPSSSSVVGLLQGSMNCRQDPPTSSSNGLYNTGNSASVAKANSTNSMPSNAPTSFPSPAPSGSNGNMMPAPQQTSQLNSPTMSSSLPPMQTPTSRPQEPEPNDSQSSVQRILQEMMMQSQMNGAGPVGSDMKRVNTITPGLNGVNSLIGNPMTNNSGINGMGFGAMGGLGQSMRTAMGNNAMGMNGRTGMNHSAHDLSQLSHQQQQRDIGNQLLGGLRAANSFNNLQYDWKSSQ >Et_4B_038912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:632388:637326:1 gene:Et_4B_038912 transcript:Et_4B_038912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGEVPAAAAAAVANGLDGGEETAPAPVSAEQLDVEAYAAQYSGRTRLSRLIFIADRCGVEAMKLEALRMAYDEIKRGEDTQLHRDVASKINGRLGPRYGLDQAWADTVNRRAEQRKEKLETELNGYRTNLIKESIRMGYNDMGDFFYAHGQLSEAFKSYIRTRDYCTTSKHIVQMCMNVILVSIELGQFAHVSNYVSKAEQTPDTLDPIVVAKLRAAAGLAYLETKKYKLAARKFVETGIELGNNYSEVIAPQDVAVYGALCALASFDRSDLKSKVIDNINFRNFLELVPEVRELVNDFYASRYGSCLGHLEKLKPNLLLDIHLHEHVETLYMDIRHKAIIQYTLPFISVDLNTMAAAFKTSVPLLEKEIAALITQNKIQARIDSHNKILYARHADQRNTTFQRVLQTGNEFERDVKSMLLRANLLKHDYVQRTGQRKM >Et_2A_018187.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:17173522:17174829:-1 gene:Et_2A_018187 transcript:Et_2A_018187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVLDAFSATPRHRHRQIRSPAGGCRSARLHATPFARADWQTTCAILASNHSGGGDPDAARQQPTPRVNGQKPLPLPPLEADKSPAQVPAELDLVPVSNLPRPLSISDLSPAPMHGAQLRVAYQGVPGAYSEAAAAKAYPGCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRRELLTRVISHPQALAQCELTLNAMGLNVAREAFDDTAGAAEHVAALALRDTAAIASARAAELYGLQILADGIQDDAGNVTRFVMLAREPIIPRTDRPFKTSIVFAHDKEGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYIDFQASMADVRAQNALAEIQEFTSFLRVLGSYPMDMTPWDAAPSSSRVPPKDVDNSSSSKYQ >Et_9A_061021.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:10191797:10191862:-1 gene:Et_9A_061021 transcript:Et_9A_061021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSSELLFHLFRLGRIASST >Et_6B_049530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6133478:6140415:1 gene:Et_6B_049530 transcript:Et_6B_049530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGEGEETAVQLLEKKPPALYSADCPGCAIDRRKAEFKGIPYMFFFHIWSINLVSSASYMFGRTLTSVFWGVVADRIGRKPVVVFGIASTIVFNTLFGLSTHYWIALSTRFLLGSLNGTLGPIKAYAIEGCRPEHQAIGLSLVGTSWAMGLVIGPAIGGYLAQPAEKYPILFPVNSFFGRYPYFLPTLCISVLCLVILVSCIWLPETLHMHTLVKHRDQENESFTERSATDSVEFLEQQISSTTNKNIFKNWPLMSSIILYCIVCFDDMAYSEIFPLWAESDRRHGGLSMSSEDVGQVLAITGASILLYQTFIYPHKVKVLGPINASRVMSFLSMMVLFTFPSMAHLSRSSLSIVLTIASALKANAVITVLTSSFILQNNSVTQDQRGTANGLANTLMSFSKALAPAGAGVIFSWAQKRQHSFLFPGDQMVFFCLGIVVFIEFIWTFKPFLAVTGPLPSS >Et_4B_038161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26916579:26920537:1 gene:Et_4B_038161 transcript:Et_4B_038161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMFLVVAAALAVAFLPALAAGTEHWVGDDQGWTLGFDYAAWAETKQFKVGDTIVFKYSNPKHTVVEVGGADFKACNKPADATVMGTGEDRVTLDDAGRRWFVCSVGEHCNNGMKLKINVLAADAALAPGSPSTPPASSPAGKVQASFAQAVAAVAVVIAAVLAAQTKLALTHSMASKNKKSTLLVMVAALAVAFLPALAAAAEHTVGDGAGWTLGFDYAAWAATKQFKVGDTIVFRYSNPRHTVVEVGGADFAACTKPADAAVMRSGEDRVTLDAAGRRWFVCSVGKHCQNGMKLKITVADADEEGAGAAPAPAPWSSFTAPSPAFNPADIEGGGRGDLDVLAPGSPSTAPASSPAAGVNGHGPCFGRWRCGLGRRAGVLGLF >Et_6B_048935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15737152:15739167:-1 gene:Et_6B_048935 transcript:Et_6B_048935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVVGVLPNIITKLGELLVGEYSLQKEVKGGIIFLQAELRSIQGALEKISNTPADKLDKQDKIWARDVRELSYDIEDKVDAFMVHCKGSNPGKQHGFKKIIDRSLDLLMQPKIRHKIAINIRDIKIRVKEVSERRDRYKVNNSDVPKPVTIDPRLLGQYKAATELVGIDEARDELIRILIQDDEVSLLQGNTISIVGFGGLGKTTLANAVYEKISAQFECRAFVSVSQTPDMRKLLKNILYDLGRIISSDTLDERRLINEFRQFLQEKRYFIVVDDIWDISVWEIIRHALPHNNSGCRIIATTRNVKVAEHAGGAYNMKPLSPHNSRILLNRRVYGNNDTVKCPDEELVEVSDKVIKKCAGMPLAIITIASLLATKGRNKMDWYEVYTSIGTGMENSLDAENMRKVLSYSYYDLPYHLRTCLLYLSVFHEDSQINKDQLIRLWIAEGFIQYEENRKSLFDIGESYFNELINRSMIQPLYDNVGVEIEFCRVHDMVLDLVRSISKEENFVTMLSDMNHKSVSTRFRRLCR >Et_8A_057358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2479863:2482800:1 gene:Et_8A_057358 transcript:Et_8A_057358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTQKIVKKRVKQFKRPHHDRYICLKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDIVVTNKLARLRSQEDDLLRTAAYNLDWAELGKGLLRACDCKPVSDQRGFLIASPRSLCLSVPIPGQDGARKLGPTHHHVDELSGNLLRVGIVPGLLHRHPNRREPLRLREDEDEECQGQLEGFVRTTSVVSQGADLMANVTRSSLKDSLMTTLSQKSGVDVGFCSPSTGCSLMRVSQVRLTL >Et_6A_046893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2189105:2199785:-1 gene:Et_6A_046893 transcript:Et_6A_046893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPEQTWRRSGNCPEGTIPIIRKPTGTDEIANNSTKDNIANGGKLEIAAAYAVNGPYHGARAAIPIWKVQVEPHEFSKNYLLIASPHDRNFVPIIGKNPPDIKNQIAVRMAVYPSVLGDDNPRLYIYATKDGGEKSHCVNHQCGFIQTNNQYALGTKFRDSDSRVGGKLFFVHVALYRDSGPGVWWLAINDVAIGYFDAGWFPVPFIEGFHNEMGGRVMDTRPGGRHTMTPMGSGQLAEAGPNNSASIAYYMAIDNKGTDQVDEPVNYIVTSPKRYDVKNLGPDRERPGTDVVYGGPGGQYCDQKSDSSSASRRPITMPCLALAASPAAISKPAIRPKMIALERLLQFRRVYAMKVNLQDLHLKQPGIFYISTKGSIETVLGES >Et_7B_053353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12797086:12798374:-1 gene:Et_7B_053353 transcript:Et_7B_053353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAAADGHGHRAPPPPRPAPRHAKLKILLVVIATNLVSVYLFSVQRVVAPPRVRARRARRRARRRAGGPGLRRLARGAQGGDEAGRGAPPPPARLQRQLRHGRAVPGAGIRVPQLPGRAGALHVLRRRRRVPRRRRRGPAADAERVRAAPSPALPGPVAGGVPAEAPPGAAAAEPVVRPAGHHRAVGAVHVQELHVPRQPRLLRPGRQGAAAVARRQRRSGVQHRRRAADAPARDGAGRARRRRRHRHVRGADGGAQRHRGDHDAGPGRAVQRVRGGQGPRAAADQPRAAAALRRRRAGHRALHERARQLGARRPARGRALRHLPGAQARRHLLARPLLLPGAAAQRHLRAHLRPRRVPPPPLERRTEA >Et_4A_031834.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:20949276:20950919:1 gene:Et_4A_031834 transcript:Et_4A_031834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLFPLVVLLLHAAAAAVPAARAQVAGGDAPAPELPRSELAAVFRVTADLLGDPTWAQLHPRPCTDTPWPGLQCELDPEDARRLRATRLHFGPDVATPPCRPGARLGADSLRGLPHLKTLSLFGCFAAGAGAGAVELPPALFANASSSIEQIVLKSNPGLTGAIPATLSGLPSLRVLSLSQNGFRGSIPRELGALAALQQLDLSYNNITGEVHTHRGAKWTRILLPRRLEHLVTCQVNKRLFSLQIPEEIGGMASLTILDLSWNSITGGVPSTVGKLQKLQKADLSYNRLAGRVPAEVGSLRELVFLDLSHNALAGPLPPSLAGLGKLQYLLLQDNPLGTAVPAVVGSLRRLQVLGLSGCGLTGPIPRAAFAALGSLTALSLDRNRLDGPIPATLAALPHLGQLNLSQNRLAGEIALPGDFVARLGRRLDVRGNRQLCVGNGLRGTTTAYLAAPPCANGDAALAAGRAERSAAGAAAAAGGWRRCYEGWGTPACSVLLVLLSSLVVFQL >Et_10B_003196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17576727:17584791:1 gene:Et_10B_003196 transcript:Et_10B_003196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIETNKVYDLNVGGVEDHSNGVSQLGNLTCEMMKGQRECIEKNQDVEPDDVDQNLVHAQDDNEEGVIKSLMGANDNKVVDIKSKGRTKSTQKETCVTQGDDASEIVVHLKKPIHEPMKKRRRYIVTSGDDEVEVDGGDHNRVGIEDKARVESKVEAVKPMDCPTEVRMPFISELVEQQCYCCSKPIDKPIWRGTLKIYGKEYTSLAAHLSTKSGEKVWKLSRSLQTSIELMKLSGLKVRSKIWDSSQPNDDNIGLYFFPHGLSHDANMDQLINEVMENDLVLHAIIGEVEMLIFPSSLLPERYKTFRRKHYLWGLFKPRERQVAVAADPLNGTVWRAQEEERGKEHVSKQHNDVDAVESYQELILMERAMPLVNQGSEYNARVGMDNSVYYKSPAEGTQQVSASCLPSASNIGFQLKTPEERENEDESHHTVHTAETTVAATNSADVNTEAAVTTTIQAGVAAIGINAANRPANYGQINSSMGAPPNRIIWIFKIGQKYVSLVGHLSTKYGEKVWKLSRSLMPIVEVTKISRSKAWPKVWDVSEPNGNNIGLYFFPNEMRPNEELDQLVKEVIENDLVLRAIVGEAEMLIFPSILLPEQYKTFKGKNYLWGVFKPRKHEGVAVAKPLNVSGCCAHEVEKETQHLSAHQYEVQCKEQGQETIGMRNDIPFESQDLPRNNKNTCKAQASSVRGTHDKGFDRKALEEGRQGDALGRGMVEAMDNVTGGATLPANHGEIDRSRGLSSGKVFGFVVGRTPRLLQLIREMEQEGGVVIAMQGETIGAGPWQRNITTYVQ >Et_8B_060100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:677547:679124:1 gene:Et_8B_060100 transcript:Et_8B_060100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEVEARKWIEDSRRETAAMLRIFGRESLLWIILLSKVSSPSSRSTMSNSKTCNCSSHTTLFFWNALDTRCHLLELGVANCFDRISNVDSSIKRVMSLASDNNLTTLNPSMSEEALLFIFLNCFCAFLAKRAHIVSSLENKLCLPDEGLKLRKAILHSITEVKKDQRALELLLHNFHLLGLAAGQASEHIDVAIDAPELSQTINHGIDGH >Et_1B_013409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8105071:8118385:1 gene:Et_1B_013409 transcript:Et_1B_013409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKGTKERLAGVERLHEALDAAARRGLTDAEVTLLVDTCMDLAGDGNFRVAQGGLQALSAAAVLAGDHFKVHLNELVPAAVERLGDGKQPVRDAARQLLVTLMEVSSPTIIVERAGNYAWTHKSWKVREEFARTVAAAVGLFASTELPLQRVLLAPILQLMSDLNHSVRDAAISCIEVEMYRNMGSQFHEELQRHSLPSYMLKEINSRLSKIEPNIPSSGGATMQSRTKDSGSISASDTDINERPVELVKIHSEKELVREFEKVTSSLNPEKDWSIRIAAMKRIEALVYGGAIDYPSFLVLLKQLVRPLSSQLSDRRSSIVKQVLFRLVVITVLVIAESADICIKTILRNCKVSRILPLIADTAKNDRGAVLRARCCEYALLILEFWADAPEIQRSADLYEDLIKCCVADAMSEVRATARACYRMFTKTWPERSSRLFMSFDPAIKRIINDEDGGMHKRHPSPSLNERGVQLSRASSHEDVSHFGYGTSPIVAMDKNAAISSEPSHLSSNLLLSGKSAERSIESMRSSSNQKVSAIESLLNGVSMSDWSTFSAVHSTSLNHGVDHPSSRGPPNLRAAPALDHVVTKERSRSPYLCNLSSEPISGLSLPYLRRSSGRSQDGSTMDDNNDAWTGRSPKMQMDRHYTGLPYRDANYRNSLNHHVPHFQRPLRKQVVSRASASARHSFDGGHVLSSDMSGYRDGPASLNDALSEGLSPSSDWVARVTAFKFVQTLFQQGQKGIQEITHSFEKVMKLYFRYLDDPHHKVAQAAFSTLADIIPAFKKPLEGYVERILPYVFPRLIDPKELVRQPCSLTLEIVGRTYSIDTLLPALVRSLDEQRSPKAKLAVLDFANKSFNNYTVDSEGYTNRGFLKLWLSKLAPLVHEKNSKLKEAAVSGIISVYSYFDSAAVLNFILSLSIEEHNIVRRALKKHTPRIEFDLVNYLQSKKERPRPKSYDSVDSRASSEDGYALTLKKSFPMEPFSASMLDTEGGKKMNTVEEPTLLNVPIGQTASDLCIDHAKKCLEHASETELFTSINELKNGGRSVVEAVHSWTDYPERSDAIIDDDNSTGTPHLDFGHRPSNGHDSVAASAGENTQEGDPFVDISSVKIIPHASDGSSIPQLLHQILTAVLEVLDDSDSSVREISLLLVAEMLYNQKDPVEESIDIVLEKLLHVTNDDGAKVSNEAYKCLNVALEKYDPFRCLAVIVPLLVSDEEKTLVMCINCLTKAVVFCLVDIYIMLGKAFEPYLEGLNSTQLRLVTIYANRISQASSVMMPPGQL >Et_8A_056925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1932463:1935544:1 gene:Et_8A_056925 transcript:Et_8A_056925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPGGGGGRQQWPCDYCGEAAAALHCRADAARLCVACDRHVHAANALSRKHVRAPLCAGCAARPAAARVGGAGEPTFLCADCCDDGDGDAGAGAPVEGFSGCPSAAELAASWGLDLRGGYEDTAAAAAAEEDAFFSALDYSMLAVDPDLRDLYVPCDPPEAAAASSRGAARRLKGEALGHQLAEMARREADTAQAHAHSDLSPRTPRRSSAASSGRLPDKQGPPPLPPPPAVQEVPLPYTSLLMMASGGNCPELIGGGDRMADDDEQLMWDCTPPSVPPTQIWDFNSGRSRDHNAKAEVEFGPNNGGFMIKTYSDMLKEISSGTTKDLEDIYDTRYGAVAEDIMSTNIGQLSSVSTGSNKRKVSSCASTIDGPTTSGNHVPTSGPALSREISFGDQTVAPAGAERPAMKIDSETLAQNRDSAMQRYREKRKNRRHGSLN >Et_6B_048658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12239618:12240947:1 gene:Et_6B_048658 transcript:Et_6B_048658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNDWRGRRLAFAIRRRSVRATCYVGSPRFCVYEMDFNFNRPAKVDIVSMARTGVVAVTARQGGGGGTEVHIRFIMLQGQMWI >Et_5A_042678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25510861:25514528:1 gene:Et_5A_042678 transcript:Et_5A_042678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKCGPWGGGAVCGSASIDDGGGSGRYTHNAAAFLPSLGAHSRRPRLRRWIVSPYDPRYRLWENSLIALVAYSAWVSPFEFGFVRDDPRGALAAADNAVNAAFAVDIALTFFVAYADRRTFLLQDDPRRIAWRYATTWLALDVASTVPTQLSRRILPPQARSYNFFGMLRLWRLRRVGALFAQYVPCRDGSYIIAILSSSVIRVTLFAVHSAGCFYYLLADRYPDPGSTWLSTSMPDFHNESLWNRYVAAMYWSITTLTTVGYGDMHAVNTREMVFTTVYMLFNLGLTAYLIGNMTNLVVHDTSRTRKYRDTIKAATSFALRHQLPERLQEQMESHLSLKFRTHSEGLQQLETLDALPKAIRSSISHHLFFGLVQNVYLFQGVSNDLIFQLVSEMNAEYFAPREDFILQNEAPTDFYIIVTGSVELLELQNGAEQVVGTAGAGDVVGEIGVLCYRPQLFTARTRSLCQLLRMDRTDFLRVVQSNVGDGAIIINNLIRFLKEKKDSEAIAGVAEEIEHMLARGQLELPITLCFAANKGDDYLMHQLLKRGHDPNDSDKYGHTALHEAASSGSEQCIKLLLDHGADPNARGMASNNKGRVPLWEALRGRHDAAVQLLVDAGADLSTGDVALYTRVAVDDDDAALLDDVARHGGDVTVACWDDGITALHRTAIDGNVQLAKVLLEHGADADREDGSGRTPRAIADEHGHSEMQALFTRSSRQEQAQQSPKQHGSSTDHHHRRAAAPPVTRFTSAPPARIPFRDSLDSTPRSSMHGSPLRMTSFRNSLFGVLSTSHANRHDGGGGISRRERERPRVRVVISCPECGSRARKLVFMPETVRQLVEIGGSAFGFVPSRAVMADGAEVDDASLVRDGDHILIVTDRWVPDTVATSRIQ >Et_3B_029880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28802267:28807117:1 gene:Et_3B_029880 transcript:Et_3B_029880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEPVRDIGSGNFGVARLMRNRETRELVAVKCIERGPRIDENVYREIINHRSLRHPNIIRFKEQICHRDLKLENVLLDGSPAPRLKICDFGYSKSSVLHSKPKSAVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDPDDPKNIRKTIEQIRQVQYTIPDYVHISTECRQLLARIFVANPIRRITMKEIKSHPWFLKNLPRELTETAQGMYFRRDNRVPSYSDQSSEEIMKIVQEARTMPKSSRSGYGYEVTDDEEEKEEEHRPDDNEEFEYDRRVREVHASGELHFETLRI >Et_4B_039509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19747746:19748393:1 gene:Et_4B_039509 transcript:Et_4B_039509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRIRFAATCRTLRRDILSPDFLHRRVFGHDAAPPPSCVLGFLHAYDDARGSPRPPAPYSLAHPGTPGAARFAGLLARFVSRSAGDLLDHYKNSVVKNFELRPRSSGIRRVCHESQQRRAAGDASSSSILAPFQSRTR >Et_6B_048371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16714063:16714302:1 gene:Et_6B_048371 transcript:Et_6B_048371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKRVQVSLAA >Et_4B_037429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19711664:19714920:-1 gene:Et_4B_037429 transcript:Et_4B_037429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSYVKTLALTVDQHGLIEPNITCEAKPSGTVHAVIEVPLVNWIDKGFRGPLQVSSASARRAIRKAAHAIKEELTVWKKRSWRFAKVCKEFSEERDELENYAHLKKKRGKLLAENARMKEQISYCMEDKEENQKLVKENKVLKRKISALMKRLAEARNEAT >Et_3B_027608.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:31832133:31832895:1 gene:Et_3B_027608 transcript:Et_3B_027608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PGCDVVAAVAAFARRRGVGVSVLCGRGAVAAVTLRLPTSPTTASTVTLHGRFEVLALSGTVLLPSTSEGAPALQQQPPFSVSLAGTGGQVIGGTLAGEMKAADGMVVVAATFGAAEVHRLPAADEEDAGGVIGGPNEEGKQHHQLPTMVAAGAGGLGGVGGDGFTGLQGGGGNGGHVGHHQPQQPGMVFWAQPSSTRGPDQPNSTHF >Et_1A_004826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10533974:10534504:-1 gene:Et_1A_004826 transcript:Et_1A_004826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAARSGADRGRGSVHLERYAGRFVHGKVCVYDAIQGDICDCKLEQAVERWGGNDMVEKGDTSRTCQQTKEEPAVDHLHMLEHMEREKQAQIRKERNNGGGCFRYD >Et_7B_055335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9458872:9461839:-1 gene:Et_7B_055335 transcript:Et_7B_055335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEYYHAGLSLHLPGTTRRRKRDDDDEPPHMDPPADAEAHHAAVPPSTFSSPSPSRFSARAFLARSSVGWSAAGCLPREVGGLGDWGRLSSWLRVSDAVPDKLKAVAQKLGHEIRVFSSETFAAIPSKLPGADQEEDDDFYELQPADYFNLISNRMAEQSKMLKTRKMREAELAAQRAKITKAVMRVRFPDGYILEADFLPSERIHSLVDLLMKVIARPDLPFYLYTVPPKKRIQDTSQDFFTVGFVPGANVHFSYDLAEGSSVNTDGVKSGPYLREEIRSLDGLSLLSKPASQPVDSGINSSTHQPNESQSDSAAATNKKPNRPKWFKR >Et_2A_015733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17387312:17388706:1 gene:Et_2A_015733 transcript:Et_2A_015733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAPKRFLPCALLLVVVLAACSPATAHRHAGDKANTQTQGDGHRMMERFQRWKAAYNKSYATAEEERRRFAVYARNVRYIEATNGEAIGLTYELGETAFTDLTTEEFMAMYTAPPSPATTMEDDDDDVAVMITTRAGPVDAIGGRAAASKLPVYMNLSAGAPASVDWRESGAVTPVKDQGRCGSCWAFSTVAVVEGIYQIRTGRLVSLSEQELVDCDTLDSGCDGGISYRALQWITDNGGITTEADYPYTGVTGDCVGAKLANNAVTIAGLRRVATRSEASLANAVAAQPVAVSIEAGGANFQHYRKGVYNGPCGTRLNHGVTVVGYGQEPGDGGDKYWIVKNSWGKAWGDGGYIKMRKDVAGVPEGLCGIAIRPSYPVM >Et_10B_002622.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18638178:18638366:1 gene:Et_10B_002622 transcript:Et_10B_002622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHSCYKLVVALLLLVAAHFGPASAQYGDSSGAAGTGPADGTGYFLGVAAAVLAGAAFVWT >Et_4A_035632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31741936:31743468:-1 gene:Et_4A_035632 transcript:Et_4A_035632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLCSAIVADRPRGYHELVIDGRVLDGDVPAGEALSSAPFTVGGIRWRVVCYPNRHPLLLTTEAPFFYRLVLDEDLAKPVMARFKFGVEVEQQQRKRSLFFLNSKPKVLFKSEGVESFVWKDDSGGWNFRRSSLRRLLRHHGADRFTIRCEVVLINRFRTVPLVYERLKACWHRRRAMQHHCGKPRHCKHVRSDIVFHESVIREPPLALALSPCMPAGRESSPGNGISG >Et_4A_031955.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:4581235:4582212:1 gene:Et_4A_031955 transcript:Et_4A_031955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPGCSSGRRCGASFFPIAFAGFPTAVVPSGTSRSTTAPAPILAPAPTRTLPSTLAPAPMSTPSPTLGWRSPDSLPVPPSVTPCRMETSSPTTAVSPMTTPVAWSKRTPQPMRAPGWMSTPNTSEARLWRRSAGAARPSDQSPCAARWACRARKPL >Et_10A_000614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13768877:13769638:-1 gene:Et_10A_000614 transcript:Et_10A_000614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTPSASSSSSSCVSFSSFDEAPAARPPGPPASSNGIVKLACSYGGKILPRRHDGKLRYVGGHTRVLSVQRSIRFHDLHRKLRELCGWDAVGVRCQLPMEDMDALVSVTSDGDLAGVLDEYDVAAARQDRPPPQQQQLRIRVFLHPLAGAWARTTSHAPFTPHRASRRRPGHHLMQARRAWPPLAVRYIVASTPLVTGSPAGAEPCPCWQ >Et_3B_028518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16414681:16416317:1 gene:Et_3B_028518 transcript:Et_3B_028518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMSLQRSLAMSPRCSLYDSVHRIGSARGRWASCRSQSSCFVYNARLSPIVLNINSAVDPSEPKNQGTNSSASRSGSYRGSCHPSGTIGVIGASSTSCLRFLEKFVCWSNSDGEEAPPFVICNDPLIKKELLSSGNQLTSDCDITVGKVMQKRLVLEQSGASCIVMPCQFLHAWHDKISQNCSVPFLHIGDCVVKELKAANLKPVEYGSNVRVGILATDNTLATNCYLDKLESQGFEVLCPDKASMEHTVLPSVNAFRKGDMEGARNLLRVSLQVLIVRAVNTIILASDDLVDILPDDDPLLKKCIDPLDALVREAITCTRKPQP >Et_6A_048154.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7888625:7891573:-1 gene:Et_6A_048154 transcript:Et_6A_048154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSSLLIFVAALFLVNAAAGGNGGDAALLRRDAMALLSLKAALNCRPHALPSWSAGNAESVCSWTGVRCAGGRVAAVDIANMNVSTGAPVNAALPSGLDALENVSLAGNGIAGAVSASSLPSLRVVNVSGNQLGGGLDGMGWDFASLPNLEVFDAYDNNFSSPLPPGVASLPRLRHLDLGGNYFTGEIPACYGAMPAIEYLSLNGNSLVGRIPPELGNLTTLKELYLGYYNAFDGGVPAALGRLQSLAVLDISNCGLTGRIPPELGALASLDTLFLHTNQLSGAVPPELGNLTSLTQLDLSNNALTGEVPRSLASLTLLRLLNLFLNRLHGPVPDFVARLPRLETLQLFMNNLTGRVPARLGAGAPLRLVDLSSNRLTGVVPETLCDGGELRTVILMNNFLFGPVPAALGECASLTRVRLGHNFLNGSIPAGLLYLPRLTLLELQNNLLSGEVPSNPNPSATAGTGSQLAQLNLSSNQLSGPLPLTLSNLTQLQTLLASNNRLSGAVPAEVVGSLRRLVKLDLSGNALSGAVPDAVARCGELTYLDLSRNNLSGPIPAAVAEIRVLNYLNLSRNALGGAVPAAIGAMGSLTAADFSYNDLAGALPDTGQLRYLNATAFAGNPRLCGPPLSRPCSEYDAGGAGGEAKRRAGNGELKLVLALGLLACSVVFAAAAVLRARSFRFGSGEGGAGAWRFTAFHKVDFGMAEVIESMKDGNVVGRGGAGVVYLGRTRSGGAIAVKRLSPSSSSSSSGDHGFRAEVRTLGSIRHRNIVRLLAFCTNANGHGNVLVYEYMGGGSLGEVLHGKVGAGLLLPWDRRYRIAVEAARGLCYLHHDCTPMIVHRDVKSNNILLGDDLEAHVADFGLAKFLRAGGGNGGGAATSECMSAVAGSYGYIAPGTRALSYVLICVFCCSVCLCIFRLDEVYRISQSIRRLGLIGLARSPSACRVARTVAECTYGAPPVALSLLGPTAKSMVAASEMRVS >Et_9B_065415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4805745:4807466:-1 gene:Et_9B_065415 transcript:Et_9B_065415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMVFGAGDETVVLAHGYGGTRFIWDDVVPALAARFRVVVFDWSFSGAADGKRYCCSYSGLADELVALMDDLGVRSATFVGHSMAGMLGCIASVARPDLFSHLVLVGASPRYINEDGYEGGFEPGEVDVMLAAVEADFAAWAPCFAEAVVGPEHPAAVAKFAAQLAAMRPDAALRVLRAVLTSDLRRVLPDVEARCTIVHCARDAVAPLAVARYMQRAVGAGAADTVVIEDSGHFPQLTAPDEFVRVMEAVMLVDH >Et_7B_055078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7009426:7011805:-1 gene:Et_7B_055078 transcript:Et_7B_055078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHRADAFRAGFLKPHANAKPITEKQGQKGQKERGATPETPPQRSHPSKSKPDATMLPVGGDDGKRRGGVGAAADDAAASSSSAEDSAASLNDLCATAAAGGPAPLPFPRAAAWALAALLAVGVGVGALVLAVVHSAVMLVVSVVLAAAVAAFLLWNAAAAASGRALRRFVDGLPAYSLRVAADGQLVKINGFVSCGDISLISSYEKVENCVYTSTLLRKCSRWGSKILNPMNRCSKWKLTHAERFAADFYITDAKSGKRALVKAGHHSKVVPLVDENILVTTSRDTELSSTLRYWLEERNLSSEESQLIRLEEGYIREGMRLSVIGILSKKNGDAMILPPPEPISTGCVFRSFLLPTYFDGIVLRLVDRRYFVPNSSVP >Et_3A_027322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7539150:7540624:-1 gene:Et_3A_027322 transcript:Et_3A_027322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLLRCCCTAKVCLPAKTPYYSTYRIYCLLSIRLVVSLPEQQYGDDEDKIKFGGGNVHVVTSKEDWDQKVSEANKDGKIDFSSSWDIRATPTFFFLKNGKQVDKLVGANKPELEKKVAALAGAGA >Et_7A_051499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19671736:19678008:-1 gene:Et_7A_051499 transcript:Et_7A_051499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQSLFLLIPTPLHVPSFPRLSAPCASSLSAPAARRFSRLAASISPPPLAASPPPPGGFGDGGIGGGGDEGGGGGPPDPGDGWRRWLDGLRPEHLVVLLMLLQSGAAAVLAEALGAGGSDDPVVWEVRRGTRTPLVPDPTGTSYQVAGDEGSKRAEKVGDGREGLVALRRQLELSWRRCTDVAVQLLLPDGYPHSVSSDYMPYSLWRGAQGVASQISGVLSTQALLYAVGLGKGAIPTAAAVNWVLKDGLGYLSKIMLSKLGRHFDVNPKGWRLVADFLENTAYGLEILTPVFPHLFVPIGAAAGAGRSAAALIQAATRGCFYAGFAVQRNFAEVIAKGEAQGMVSKFLGIVLGIALANQIGSSVPLALISFAGVTAVHMYCNLKSYQSIQLRTLNPYRASLVFSEYLLSGQVPSVKEVNDEEPLFFNLSLGASQEAKDAANKICRRLQLGSKLSEIIENKEDVCALFELYKNEQYLLTEYRGKFCIVLKEGSSPEDMLKSLFQVNYLYWLERYMGFKPNTVASECRPNGRLEASLDYAQREFSHVKHDGSHGGWVMDGLIARPLPVRIRIGDSRSELGSGDYLLRLAGKQPGFELPSTHQGTVDFLAPLAGGLWLLGLAQQVDTEN >Et_3B_031676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7579836:7581399:1 gene:Et_3B_031676 transcript:Et_3B_031676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVRRWVLANIASSWFLLAPLLATYAPRRLFQTYFNLFLRRHARRVLAAVDPYLSLDISEKPSPAAGFAYSRYESAAKRDTTFEEVKAYLSGACSQDARELHAEEGDGLVISMRDGQDVADEFRGVTFWWSSVALEDQIMQEQAGPGIQNGGGQRRRRCQRLTFHQRHRRLVIDEYLPPRPRDPLPQPPTQAVHQQQDVPVHYQQRGVEPRRLRPPDDVRDARHGPGQEEGDQHSGTTRTSTGKPWKRGYLLYGPPGTGKSTMIAAMANHLNYDIYDVELTMVGNNNDLRKLLIQTTGKSIIVIEDIDCSLDLTGDRQAKKKKKEERPLYYPDDSSKVTLSGLLNFIDGLWSACGGERIVVFTTNHVGALDPALVRRGRMDMHIEMSYCGFEAFKTLATNYLGIDSPHRMFAAVEEHLQEVDITPADVAECLMSAKRAGYGEDSSLEYLVEELKKKKAEAVAAAEAKTDSNQDDSEDSEE >Et_4B_039131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8076236:8080395:-1 gene:Et_4B_039131 transcript:Et_4B_039131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPAPRPASGAGSTGGSGSAASAAPVDALFLQNLMSRVQLRPPFLDTNSFLTQDLDDFLLNEFAALSAAAGASDDDDEEEDEDGGLSGGEGSGEARRRRMLAREEAKLEKEIVRMVLAGEAEEKLKPNSGQSVAVGDHHLCVGFHDESGGEYRVWEWHGHVMLLNDEDGFSAEYIYGNHFEPLAAATARAKKKEKEKREKDLSMGLRDLVVDTNDSGNASKQNGSSGGARVVRRNVVNSSAAPARSSTATTILDNKDGTAEDKLFAA >Et_2A_016251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22577695:22579492:-1 gene:Et_2A_016251 transcript:Et_2A_016251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEIQITKKQPSELAEPPREDDATAHDLEQQEQGLHAAAAHQDHHRSKLTVLPLVFLIYFEVAGGPYGSERVVRAAGPLFTLLGFLVFPFAWGVLESLVTAELAAALPGNGGFVLWADRAFGPLLGTWKYLSCVVNIAAYPALVADYLGRAVPALADAGSRTRTGTVVGMKVLLCLLNCTGVGIVGWGAVALGVVSLAPFVLMTGIAVPKMRPRRWAARLDGTKQDWRLFFNTLFWNLNYWDSASTMAGEVDRPESTFPRALAVAVVLIAASYLLPLMAAIGATDAPPEEWANGYLADAAGIIGGGWLKYWIQAGAVLSSIGMFEAQLSSGAYQLLGMAELGLLPAVFARRATRFRTPGSPIPPPPPFDDVVATANFLYSLGTLLEFAAFLWLRARRPDLKRPYRVPLPLPALATICTVPSMFLAYVCVVAGWTVFALAGALTALGVGLHYTMRLCRSRKWLRFNTAVVA >Et_5A_042409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9812178:9814781:1 gene:Et_5A_042409 transcript:Et_5A_042409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQDPSSSPSAAGGGGARPKRTSSAPIRPADYAHSPAHHCVALRDAAGLAAILAGLPPLAHPSRVLTAADAAREARLAASVSAALDRRDVPGGDTALHLAVRLRLPSLASALAAAGADPTLQNHAGWTPLQEALCLGCKDIAACLLRAHRLAAWAKLRRRAPALSAALRRVQDFYLEVDFHFESSVVPLLSRAAPSDTYRIWKRGADLRADTTLAGFDGLRIRRADHSFLFFGEEANAGGRRLPPGSLLVLHRGRREVHDAFAAAAAAGDEDAATSDAAAYRPGLNISSARLVPRTTWLRKEKTENVGEWKARVFDVHNVVFSFRTLKAANAGRKDFTFEFVGEEEEDDDEFLPLEIRDDDEDGDFLVADIPPPTARRSCYVPGRRSVAGPPSHMGTPQRRRNSVDLPRRLPACASVGRGEDGIFGRHAGSTGGAKWKEEETVKTLRPAVWLTEDFPLTVDEFLPLLDILASRVRAVRRLRELLTTKFPPGTFPVKVAIPVVPTVRVVITFTKFVPLIEPEEFFTPMSSPSLLASPGPGSIMAKSDTHKSSYLKWSSKNSRSKSVNLSQVADNTDPFTIPSDYTWVNSLGSKSQDKKSSKSKKGKGKET >Et_2A_017007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30074611:30079035:1 gene:Et_2A_017007 transcript:Et_2A_017007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPESPARPSFSGLRGARWRADLGVLPGSAAVSTDELRRAAADSRRRYANLRRRLLIDPHLSKDEEGTPNLVVENPLSQNPESTWGQFFRNAELEKMLNQDLSRLYPELGDFFQTSTCQSMLGRILLVWSLRYPEFGYRQGMHELLAPLLYVLHADVQHFKQVRNFHEDLLGDDFDGQTFPDRSKLNRTDRKNNVEGSTAKIRSLDDLDSDTRELFLINDAYGAEGELEPQYFSLRWLRVLFGREFSLDNLLFVWDEIFSYPNHPYCTDIRSRAEYQFKILCSPRGGLILSMAVSMMLHLRSVLLGSEHATSCLVRLLNFPEDIDLKNLIEKAKLLQSFALEANLPSSPLRGKSPLTPPNYWEETWKMLQSSIDQKDGGPFIRMKGRGILRRSLSNKESNVSKTRASNFENENLTSARKSTANELYNADVMPIQEQKDHVGRGTEEAIGSDSKNACDTDRHDGYCSTSCEIRDPLGAASGYLSRSSSTSLSCGTEYDHDIRQVEEPCVVHDNNVVDEPDPLYGHSSRTDEATSISNQPSGLMDGQSDQQSVLCFADGKSKLRDDQNPTMAGSAKNETLAIRSISNVADKELTRTLRSLGDSMVENIQVIEMVFRPNAPLTLVDNGTVPGSTEQVKALAALKELRKISDLLRQI >Et_6A_046350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13546645:13551191:-1 gene:Et_6A_046350 transcript:Et_6A_046350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASVTNLGSNGRPGPMPSAVARRAHLVTRISFSGFDGIPRWHYAPGRLCRCMVITNLIEEKGAQFSSRGSVSVKGDDDNDILLKAPQKPVRPNGPPEGLKAVSPPERKPAAAMLEDREKVRESLDEVLEKAEKLEASSSGNLGPGNAGFRQNDVSTRTGPGAMTADENGNSRKTKTLKSVWRKGNPVPTVQKVVREQPRTDSRNQSISATKPSVSSPSKSTPPLLSKPSVAPPPRRPVKPDASNEKKGPILIDKFASKKPAIDPVVPEELLDPQKPVRRTPTKVKVDRRNKQSTPVGSRRRMPNDDGVDEDTADVPIAGVAVRKGRRWNKAKRRAARLEAMQAAEPVRVEILEVGDEGMLIEDLAYDLAVSESEILRFLSVRGVMLDNVQTLDKDLVKMVCMEYDVEVLESGPVKVEEMAKKKEFLDAEDLDKLEVRPPIVTIMGHVDHGKTTLLDYIRKSKVVASEAGGITQGIGAYQVLVPVDGNPQACIFLDTPGHEAFGAMRARGARVTDICIIVVAADDGVQPQTSEAIAHARAAGVPIIIAINKIDKEGANSERVMQELSQIGLMPEMWGGDTPMIQISALTGDNVDELLETVMLVAELQELKANPHRNAKGTVIEACLDKAKGPLATLVVQNGTLNKADIIVCGEAYGKIRAMYDDRGRLVDKAGPSNAVQVIGLNNVPLAGDEFEAVADLDIARERANERAEALRIERISAKAGEGKVTLSSIAASVSSRNQAGIDTHELNVILKVDYQGSIEAIRQAIQALPQENVSLRFLLQAPGDVSVSDVDLAVASEGIIFGFNVKAPGSVKTYAKKKSVEIRLYRVIYDLIDDLRNAMEGLLEPAEEEVPLGSAKVRAVFSSGSGKAAGCMVTTGKIVQDCNVRVLRKGKEVYVGTLDSLRRVKETVKEVGAGLECGIGVDDFDEWEEGDVIEAFNTVKKARTLEEASATVTTALKSAGVLV >Et_1B_013150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5947372:5951489:-1 gene:Et_1B_013150 transcript:Et_1B_013150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWIWKGTMYRTATILRQACQAATIRSPKMASPKQCSYIAVPWRWFSCSDTAMIGSTLNKIKAIDQKQHTISVAKICSLHFSADVNHRWLTLKYRYNPSPVFSGVHSLCRMYSSDTRTESEVAKHSASNVSPSGVSEIGATNDGGNTWINMSENTHRPTTDASITAGKNVKELIDTIRFHAQEFYGNHPTLEKVIVPLGGTLIGTTMAWFVMPIILRKLHKYASEGPFMKLWGDSNKKDMSYQTSLWSALEDPAKYIITFMAFSQMAAVIEPNLSAYLPQAWKGSLVVSFVWFLHRWKTNVIAHSVSKKTDIGIDQERLSAFDKVSSLGLMALGVIALAEAFGVPVQSILTVGGVGGVATAFAARDILGNMLSGLSLQFSKPFSVGDYIKAGSIEGQVVEIGLTSTSLTNTEKLPVVVPNSLFSSQMIVNKSRAMWHVSVTRLPIRTADIEKIPAITEEIKATLMSNPKIEAPYCYLSQLGSSQGELTIGCNIRSTKRAEWSSTEQDILLKAAGILKSHQLWGTV >Et_2A_015474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13517072:13520291:-1 gene:Et_2A_015474 transcript:Et_2A_015474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGGTLQLVHPSGVLGAFVVALLVASTAISWRTMNDLSEVDASAMDVAFRHVAGNMLHLLEANRSAFAIAGTMQPMTNKSALFSHVGHKMFVAFAMQPLLAQVSYAGLDGAAFSYYRADNGEPRALFTDVPHQKWFTQAVDLATGHRTGNATAVARQSSAC >Et_2A_017240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32296536:32298632:-1 gene:Et_2A_017240 transcript:Et_2A_017240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEASAAAWWWTWRAAAAACLLVVVVHVAARVADALWWRPRRLEAHFAAQDVRGPPYRFLVGCVREMVALMAEAAAKPMSPQDSHNALPRVLAFYHYWRKIYGPTFLIWFGPTPRLTVSDPELVREILLTRADAFDRYEAHPVVRQLEGDGLVSLHGDKWALHRRVLTPAFYPDNLNRLAPHVGRSVAALAERWRAMASGGSGEVEVDVAEWYQAVAEEAITRATFGRSYDSGRVVFRMQGRLMAFASEAFRKVLVPGYRFFPTKKNRLSWGLDREIRRGLTTLIGRRSDEADDAEVSDSKSNGFRDLLGLMINASAGSGKKQAPAIPVEDMLEECKTFFFAGKQTTTNLLTWATVLLAMHPEWQDRARQEVADVCGADELPSKEHLPKLKTRQ >Et_7B_053970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13880943:13885960:-1 gene:Et_7B_053970 transcript:Et_7B_053970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPREIPRRGLFIGGGWKEPALGRRLPVINPATEDTIGDIPAATAEDVELAVAAARHAFSRDGGRQWSRAPGAVRAKFLRAIAAKIKDKKSDLALLETLDSGKPLDEANGDMDDVAACFEYYADLAEALDGKQRSSISLPMENFKSYVLKEPIGVVGMITPWNYPLLMATWKVAPSLAAGCTAVLKPSELASLTCLELGAICVEIGLPPGVLNIITGLGPEAGAPLASHAHVDKIAFTGSTETGKRIMTAAAQMVKPVSLELGGKSPLIVFDDISDIDKAVEWAIFGIFFNAGQVCSATSRLLLHEKIAKQFLDRLVAWTKHIKVSDPLEEGCRLGSVISEGQYEKIKKFISTARSEGATILYGGARPQHLRKGFYIEPTIITDVSTSMQIWREEVFGPVICIKEFSTESEAVELANDTHYGLGGAVISNDPERCERITKAINAGIVWINCSQPTLVQAPWGGNKRSGFGRELGEWGLDNYLTLKQVTKYCSDEPWGWYQPPSKL >Et_7B_055577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15134236:15135747:-1 gene:Et_7B_055577 transcript:Et_7B_055577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRNYASPMLPQGQPGRRGATMLWPYVHHLDVMQLQWGEEENITPWTLQKQRVQDVTRHGCAQEMGRRLCGEGDDGEDAAGGAADVREDRVPARDDLSGGARRAGAGEAARQRAAPVGAQNYQSLILDVRKVKQCNKPSHTTKGNRLPRKGQADKNK >Et_9B_065395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4604147:4607251:-1 gene:Et_9B_065395 transcript:Et_9B_065395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTRGVAGALLRLARCSTAVHRNGAAPLARAAFSRGFLDLHKMGSKEAIEKEKARLTDEMSRGYFADIAEIRKNSGKIAEASKVIIPEVDAVKFPDLAVESPDGGSLRLPLVAPSPEGDDHEAGSTEVPDASLVCLSFRASSQKMTESWTLPFLDAFGASKNIPVYEVSFIDSWLLSSSPVRRVFLKVMRKSNNPQRHVVYAFGDHYYFRKKLQILNLLTGYIYLVDRLGRIRWQGFGSATQEELSSLTACTSILLDGK >Et_9B_064448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15191937:15195552:-1 gene:Et_9B_064448 transcript:Et_9B_064448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SIFLAAQICDLLETFLLLVQSSIVLSAEGKVMAQTSLPPGFRFHPTDVELVSYYLKRKIMGKKLIVDAISEVELYKFAPWDLPDKSCLRSRDLEWFFFCPRDKKYPNGSRTNRATPNGYWKTSGKDRTIMLNSRIVGLKKTLIFHEGKAPKGDRTDWVMYEYKMEDDSLVSAGFSKDAYVLCKIFKKSGLGPRIGEQYGAPFNEDEWENAEAQTSMFNLMPSSEVVNPVADENDQHDVPAEEPTLQRSSATFVREESSFDATAGTCVEDLTFGIVAAPAIQDGFVSENYVSDEVSNLCSTPEFDGFLLEELSKFLTDSPLRDDAVGENSGLPPMSESEFQAFQVNTFDLYNELSGHSGLGGVPNNFVTVAGTMEQNILPADRQLSTDDFIELNDLLAPDSSFSCEYPAQNNQFSQYPLAQATYNVHCNDMAALSSFEPSSSLQTMPSIFDMFPPASSNGFATDQCTEVELLAKLGSLLRMNKNLACKSSLMSDICTYVGRGTTDEAAKGSAPIAVPLAPAGAGNASIGAPGGRISTLLALPENPCALATVALAVAWPYIPSSTIMLRPPPSSARWFASALADCTSAVSQSSADEPDGLPSNRASASCCPCSSQDMASAFRFKKSASPLAGSAARSRGSGSGSGCAGSPTLPSSLCAAATGGSSAAGRALAAFMSWSSTRGIASGLATGSGSGDGGDGSRGCDSAARLSRTNSSMRSSFFCVTTSGTSDWRHTQATLHHA >Et_8A_057539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4131649:4132499:1 gene:Et_8A_057539 transcript:Et_8A_057539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDSVPNVHSTLDSSNKTLLKSEALYQYVLDTSVLPHEPEPMRELRLVTDKHELGFMQSSPDEAQLLRMLIKLTGAKNTLEVGVYTGYSLLATALALPDDGKVIAIDVDRSCYEVGRPFIEKAGVAHKVDFREGPALQHLDDLLVDEANHGRFDFAFVDADKPNYVKYHEQLLRLVRVGGTIVYDNTLWGGTVAMPPDTPMSNLDQRFSVAIRDLNRRLSEDKRVEVCQLAIADGVTICRRLV >Et_10B_003127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16926937:16930006:-1 gene:Et_10B_003127 transcript:Et_10B_003127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARWGPLAVLLLALALAPAVRADRPARGGLSERESASSAVFPLYGDVYPHGLYYVAMSIGNPARQYFLDVDTGSDLTWLQCDAPCRSCSKVPHPLYRPTKNKLVPCVDQLCASLHGGLNGRHNCDSAQQQCDYEIRYADQGSSMGVLVNDNFALRLANTSVVRPSLAFGCGYDQQVGSSSEVAPTDGVLGLGTGSISLLSQLKRHGITKNVVGHCLSSKGGGFLFFGDNLVPYSHATWAPMARSAFRNYYSPGSASLYFGGRSLGVRPMEVVFDSGSSFTYFAAQPYNALVTALRSDLSKTLTEVSDPSLPLCWKGKKPFKSVRDVKKEFKSLVLSFSNGKKALMEIPPENYLIVTKYGNACLGILNGSDVGLKDLNIVGDITMQDQMVIYDNERGQIGWIRAPCDRIPNDNTIHGFEEGNCWPQFPGIIGLRNEDCPAYYRSNKE >Et_4B_036743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11115045:11134663:1 gene:Et_4B_036743 transcript:Et_4B_036743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSLKSDTAPSGTGADADAPPPSPHFRWNLHGSAMKVAVAGNVIVVFLFFAVIVWRLFFARRGQDAVAPHGADGDAARASTSSSGASTPCASPRKGGLGEEDLLALPVFVHGASPEKGAAGSEGKVECAVCISELRDGDTGRLLPRCGHRFHAECVDRWFRSHVTCPICRAVVADGASGQIDSKVASLIRTYGCFRSCNSVSYRRFLNLESSGLDGDLRFDLYAALGALGVAVVLATLFWRLYKLTVSARPQDMMPVAAAAAGDAKKKLRRRDVEALPVFVHGGAAPVECAVCLAEMKDGERGRLLPGCGHRFHVECIDRWFGANSTCPLCRAAAVGHPGPVGAQKSAVAPVLAVVNKKHARDQQRRYRGLAGLRLIRSAAVLAVAGVGAMPRVAGGALPVFVRVEAGSGAEKADCAVCLGELGVRRGSRAPRAGVRAQLPRDMYRGVVPALHYYAASPWRYRKMDGRGNAPAPVGSSTAPHRHVSSRASSALAAVSIVLILLYLLWRFIWQAKKQQGTSSGASTAASPSSSSSAPQPSCPSHGAGEAAARRALPVFVVRVEAAAEKKADCAVCLGELGVGEAAARLVPGCGHGFHAECIEAWFRVDSTCTSPSLLPLFVRVEMPAAGGVEKVDCAVCLAELGDGQAAARLVPGCGHGFHVECIEAWFRVNSTCPLCRAAVAAAGQGAGGAPQCDGV >Et_9A_060929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18633362:18633796:1 gene:Et_9A_060929 transcript:Et_9A_060929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPKRLPASKEGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Et_1A_005207.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:32959540:32959800:1 gene:Et_1A_005207 transcript:Et_1A_005207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSASPAMARRSLDRLTPRFPSPSSSWKMHRYELELPSRAPAVVVRTTCLSRNRALLDDVESSTAAPPTRNRTLDSCMAWFFPM >Et_9B_064556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16399360:16400764:-1 gene:Et_9B_064556 transcript:Et_9B_064556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETIDLTGDGGVLKTVVRKAKDDATAPSDSLPLVDVHYEGSLAENGEVFDTTHEDNSIFSFEVGQGAVIKAWDIALRTMKVGEVAKITCKPEYGYGSAGSPPEIPPNSTLIFEVELLACRPRKGSSLGSVSEEKARLEELKKQRELAAATKEEEKKKREEAKAAAAARVQAKLDAKKGKGKGKGK >Et_1B_011956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27511485:27522718:1 gene:Et_1B_011956 transcript:Et_1B_011956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGSRILVIGGTGMIGQHLVNASLAAGHPTAVLVRAGSAAGDPGKMKLLEAFEARGASIIRGDMNDHESLVAACKQADVVISAVGHHGTDDLAAGQLQIVAAIKEAGNVKRFVPSEYGCDLDRAGEEATVVVEMVRSMVLAKLRVREAVRAAGIPHTFICSYWAQGFILPRLGDPQVDGPPATRATIFGDEKTQATFVNEKDMSMLVIRAVEDPRTLNKILYIRPPGNTCSFSHLVSLWEDKTGKCLDKYYMPEEELVKKIKESPYPLNFQLAIVHATVAAGVFEQRIIDPSAGVDATQLYPDVKFATVHDYLDALLLAHPHLNCSPTKAHWILVIGGTGMIGQHLVNASLDAGHPTAVLIRAGSAAGDPGKIKLLEAFEARGAKIVCGDMNDHESLVAACKQADVVISAVGHHGPDDLEAGQLKIVAAIKEAGNVKVLSWTLYHYYHQCIVPMN >Et_9B_065276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2945845:2978442:1 gene:Et_9B_065276 transcript:Et_9B_065276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPFGRAISVLCIHPDASGSPSPSKEIKCELVYSGYVSCKDGDQVVSHYQQSEFRVACTDLSNGLPDLNERFQFIVPRFAYRDVEEDAIEVTCIAGHVVCSPCRNKLIKAPGGGKCPVCRVDVHGYSLRNHAMERLVESVRAPCPNAAHGCAARPAYYEWRRHRETCPYAPYSCPREGCDFVGAAASLLDHISRVHFNVKAMQQFWANEVTALMAKLDMAADVAGFEREDIDLIVVQTGLKRGEAIKALKEKNGRRTTAATPTPRNMCRCPAGDACRFAASTAELLPHLAAAHGWPCATKTSVGDIASFTVKLQDGFNFVYATADGGVRKKMRSYLFLLNMAMEDAGCAVSRYVDVRRGGAWGDGNQLVNHYQKSEFRVVCTDLSDGLPHPNDGFRFVVPWSVLGDDEDTIQCFVGHIVCSACRSKMTVVERCHVCHGSTSFHRCYDTEKILNSILVPCPHAAHGCATKPVYFDREDHAHACAHAPCRCPGKTCSFVGSAAALLNHIAAAHGWPCTVETSTGSSFNVDISDGINILTPVRETSEHLLVLDVTRASFGRVVTVFCVHPHPAATAELKLSYSCLNISSRHHQCSEFKLACTDLSSALPADSKERLHLVLPRTCDVGHIVCAACCAKLEAAGRCHVCHGSTSFHRCYDTEKILNSILVPCPHAAHGCKTKPAYHDRDDHARSCAHAPCRCPGETCGFVGSVAALLNHFAAAHGWPCTVETSTGSGFYVHLRDGINIVTPVRATAQHLFVLNVKRAEIGRVVTGFCMHPHPSATAQLTVTYFHLTSIGSCRWHLQSSKFQLACVDHCSALPATDSNECFQLVLPMNRTVHGEDERQHPGTMTMTVDTDYLDCGICNHPLKPPIFQVHTVEAFIRTDSDSWECNHGPRIQCKNGHMLCSPCRDKLAPAGKCHMCGITTRGYDRCNLMEKLMENSFVKCPNTAHGCGIEPVYYDLVGHLQVCPYAPCHCPSESCSFVGTTKQLVDHITGSHGWPCTTKVIMARETRIRLQDGFNFLALDHLVDKQGAGAIITSTTGKFLFLLNVARQPHGQHFLFNISVLCIHPHHIYDGQGQFSKAVKCALTYGWSSDNFLCHNSLHSEIKVDCTDLSDGLPSHEMCFKFLVPNSVLEDKNNQEAIDVDAISASAMAPSKRQHTETEKMTVEDAKALDCGVCFNPLKPPIFQCDNGHELCSSCRDKLALTGKCHVCGITTHRGYRRCLAMERLVESVCVACPNTAHGCDARPVYYDLAGHCKVCPHAPCHCPGETCSFVGTTKQLVDHITGSHSWPCTTKVFIVVEMRIRLQDGFNFLVLEHLADKEGATTTSTTGKFLFLLNVARQPLGCVISVACIHPHHVLDGQGQPSKAIKCALTYSRYFDEPIFRHYLWSNLKVECTDLSDGLPNHEMCFKFVVPNSALEDKDKEAIHVKKPGDSLLGVARAEKL >Et_10A_000606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13650670:13651145:1 gene:Et_10A_000606 transcript:Et_10A_000606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CNSSSGVYSVLGFGYGRRNKACKLLLSFQQKMVMNGLHFYPPFEMHSKVMLVYTLGTARSKILAFYIDSEEVTRINMPGSNAMHLSME >Et_3A_026874.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24638509:24641883:-1 gene:Et_3A_026874 transcript:Et_3A_026874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPWLVTVLALFVAAAVAAADDAQLLDQFKAAVPSQPELRGWTAGDGACKFPGAACRGGRLTSLSLAGVPLNADFRAVAATLLQLASLEALSLRGANVSGALALPAGGARCGAKLQTLDLSGNAGLRGSVADVEALAAACAGLRALNLSGDGVGAPAAAAAKSGSTGGSGFAALDALDLSNNKISGDGDLRWMVGAGVGAVRRLDLSGNKISGALPEFANCSGLEQLDLSGNAIVGEVPGGSLSDCRGLKALNLSGNRLVGAFPPDVAGLASLVALNLSNNNFSSELPADAFAKLQQLSVLSLSFNHFNGTIPDSLAALPELDVLDLSSNTFSGTIPDSLCQGPNSSLRMLYLQNNYLSGAIPDSVANCTNLESLDLSLNLLNGSLPASLGELGNLRDLILWQNELEGEIPPSLASMHKLEHLILDYNGLTGSIPPELAKCKELNWISLASNRLSGPIPSWFGQLSNLAILKLSNNSFSGPIPAELGDCQSLVWLDLNSNLLNGSIPAELAKQSGKMNVGLVIGRPYVYLRNDELSSECRGKGSLLEFTSIRSEDLSRMPSKKLCNFTRMYMGNTEYTFNKNGSMIFLDLSFNQLDSEIPKELGNMYYLMIMNLGHNLLSGVIPPELAGAKKLAVLDLSHNQLEGPIPNSFSTLSLSEINLSNNLLNGSIPELGSLATFPKSQYENNSGLCGFPLPPCDHSAGRSSSDDGQSHRRKGTLAGTIAMGILFSLFCIFGVLIIYIESKKRRKQNEEANTSRDIYIDSRSHSGTMISNWRLSGTNALSINLAAFEKPLQKLTLADLVEATNGFHNDSLIGSGGFGDVYKAQLKDGKIVAIKKLIHVSGQGDREFTAEMETIGKIKHRNLVPLLGYCKVGEERLLVYDYMKFGSLEDVLHDRKKIGIKLNWTARRKIAVGAARGLAFLHHNCIPHIIHRDMKSSNVLIDEQLEARVSDFGMARMMSVVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGKPPTDSTDFGEDNNLVGWVKQHTKMKITDVFDPELLQEDPTLELELLEHLKIACACLDDRPSKRPTMLKVMAMFKEIQAGSTVDSKTSSACTGSIDDGGFGIIDMTLKEDKEEKD >Et_5A_041047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17202607:17205443:1 gene:Et_5A_041047 transcript:Et_5A_041047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLETIAQVAGVDALSLIALIVRAANTASQNKKTCRQLAKQVEQIRELLQSLENQPGVAITQRPETRAPFLELHETLRRARTLVESCQKGGYARQFCAGGARAANLRDVQGRIDSFLRLFPIISHIDSTRLLVQVINSAANFSFSQLMAATDSFSFENQIEQGPLATLYKGHLCGNDVTIRKLSFSSSNQQLPQSVSGYQLFKNEVKILPKLQHKNIVKLMGFCAERSERILVYEYMQNGSLEDVIFVRMTGGPTVEWPVRFHIVEGVAQGVVYLHNHSRQRIIHRDLKPSNVLLDSDMNPRLSNFDLGKIVSEDSDQGIADCVVGSVGFIPPEYMEKGAFSVKTDVYSFGVIILEIISGKRWTKPLQETYYNDLRRWAFKKKPWRGAKLEQRLKGFIHPSLHSVSFIGKIVPRCLSFPARRTKLLQHKEVRRCIRVALLCIQQNPDRRPEMIEAARMLRPQKASVPFPRRPGYAKESPMYAGDRS >Et_7A_053052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5850801:5854292:1 gene:Et_7A_053052 transcript:Et_7A_053052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRALFLLLMLALAPFQAPAQPPASTPPVARTAEVQAEIDALLAFRRGLRDPYGAMSGWDASSPSAPCSWRGVACAPGGAGRVVELQLPRLRLSGPISPALGSLAYLERLSLRSNSLSGAIPASLSRVTALRAVYLQSNSLFGPIPQSFLSNLTNLETFDVSGNLLSGPVPASFPPSLTYIDLSSNAFSGTIPANISASAANLQFLNLSFNRLRGTVPASLGTLQNLHYLWLDGNLLEGTIPSALANCSTLLHLSLQGNSLRGILPTAVAAIPTLQILSVSRNRLSGAIPAAAFGGQGNSSLRIAQLGGNEFSQVDVPGRLGADLQVVDLGGNKLAGPFPTWLAGAGGLTLLDLSGNAFTGELPAAVGQLTSLLELRLGGNALTGTVPTEIGRCGALQVLDLEDNHFSGDVPAALGGLPRLREVYLGGNSFSGPIPASLGSLSWLETLSIQRNRLAGGLSSELFQLGNLTFLDLSDNNLTGEIPPAIGNLSALQALNLSGNAFSGRIPATIGNLQNLRVLDLSGQKNLSGNLPAELFGLPQLQFVSLADNSFSGDVPEGFSSLWSLQHLNLSGNSFTGSIPATFGYLPSLQVLSASHNHISGELPAELANCSNLTVLELRGNQLTGTIPSDVSRLGELEELDLGYNQLSGKIPEEISNSSSLAILKLDDNHIGGEIPASLANLSKLQTLDLSSNNLTGSIPASLAQIPELQSFNVSHNELTGEIPAMLGSRFGTASAYASNSDLCGPPLDSECGEYRRRRRKQRMQRLALLIGVVGAAVILLVLFCCCCVFSLLRWRRRFVESRDGVKKRRRSPGRGSGSSGTSTENGVSQPKLIMFNSRITYADTAEATRQFDEENVLSRGRHGLVFKACYPDGTVLAILRLPSTSADGAVVIDEGSFRKEAESLGKVKHRNLTVLRGYYAGPPPDVRLLVYDYMPNGNLATLLQEASHQDGHILNWPMRHLIALGVSRGLAFLHQSGVVHGDLLTGRRPGMFAGEEEDIVKWVKRQLQRGAVAELLEPGLLELDPESSEWEEFLLGIKVGLLCTAPDPLDRPAMGDVVFMLEGCRVGPDIPSSADPTSQPSPA >Et_4A_031794.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:15696881:15697546:-1 gene:Et_4A_031794 transcript:Et_4A_031794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVFRHMNWNPRMIAIFSCTCKWFDEIAKRVLWKEFCHARAPKMMQDLHSSGSHIVDGNWKALGKLLLYCSGCTRGGLFGETHVPGHFVYRTRFSRTLGKCLLPPQCRTDVLYVSDSCEHLDLGAEGDVGFFRGIIKSFAVSNTKRVLIEKQVKFHPQEVCPYCKAKLWNLTQSKMIPRSASVRLDAYDDSVEYYICLNGHILGLCALMPISDSEGAKEE >Et_10A_001241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22544805:22548712:1 gene:Et_10A_001241 transcript:Et_10A_001241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGVSVLRSRAFLLTPPPRFLSRRPRLRAVSSSSLPPQPPEMEASYKFGPYKIDAREVFHSTPLSYAMVNLRPLLPVKRFVELTSDETSDLWITAKEVGARLEQYHKASSLTFAIQDGPQAGQTVPHVHIHVIPRRTGDFEKNDEIYDAIDMKEKELKEKLDLDIERKDRTMEEMAHEANEYRRKSLVYISPKEQTNEQVYGRGHGMRSYQTAAAWRQKKAMAVVTAVKKNSRP >Et_9A_062970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7663927:7670171:1 gene:Et_9A_062970 transcript:Et_9A_062970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLLRSPWLALLLLGLPALLASAEPLPQYYNAIFSFGDSFSDTGNFVIINSGKLPNMPKFPPPYAQCRDLFGKSLFVFGEFGGNDYSFAWKADWSLEKVKTMVPDVVAAMVRGVERLLDEGARHVVVPGNLPAGCIPITLTMYPSEDRAEYDPRTGCLKKYNSVALYHNAMLRIALDQLQRRRPESRIIYADYYTPYIQFARTPQLYAEAVGLPLVPPFLSRQPQANFSRGANFAIVGGTALDVGFFLRHNASGVPPFRSSLRAQIGWFRKLKRSLICNDTTTTMGCRERLLARSLFVVGELGSNDYWYVLAGGRGVHEAKPLVPEVVRAICTGVERLVEEGARHVVVSGTPPAGCMPMALARYADAAASMSPKELAREYDLRTGCLRRLNGLAQYHNWMLREAVRRVRAKYPDANLVYADFYKPVAQIIRRPAKFGFNNNPLQACCGGGGLYNFNPEAACGSPGATVCDDPSVYVHWDGIHLTEAAYKFIVDGWLDGVYAYPSILNLTQHHQISAHLV >Et_3A_025008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26009169:26013531:-1 gene:Et_3A_025008 transcript:Et_3A_025008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGPSSRAGSRHHQFRARAKTRVDDLQEMFSGLQSARKDSRSSDAAVLEEQLHQMLREWRAELSAPSPASSLQQGNNKELSDPPSETLRLLHLAAAKEEEDDATSKLVDQQQQPPPPAPVNQGPGHVQGGQDMKLEPSEETVTVAVAPQQPPLGQVILGNGGGIPAPTAAAAVFHDQMYYVNQELTVEDFLYDDDYKLNLTGSNPDVLNNLEGIGQLEYPQFNFPQELPPNMYLDMSNCGQSAGDTFLHMSDLLTTMTPAPAAFLRPKCALWDCPRPAMGSERWQDYCSIYHADLAVKEEGPPGTMPVIRPRGIDLKDGPLFAALSAKIQGKHVGIPICEGAATSKSPWNAPELFDLYIFEGESIREWLFFDKPRRAFDSGNRKQRSLPDYGGRGWHESRKQVMKDFGGLKRSYYMDPQPSSSYEWHLYEYEINDCDAFALYRLEFKSSDAKKSAKSKLACNPLSEIQQQMVRLSADSPVDTKRLSRGRIKANPKDVNANIYSAPNTTIQANVPNAYQAVPQVDQMQFLNGDVVYGPHLPYGYSTERSDFYWNSNNGA >Et_1B_014312.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5722236:5723339:-1 gene:Et_1B_014312 transcript:Et_1B_014312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLPPPAPLAVGGVDPLAASIPPSLLTPPPPPSSSSSLNLSPSLLIIAALLAFVCCASVSIHLLLRCFARASSSSNPAPSPLPRARRASEADVEAGAESARRSSAMAAEREHVEVDDEKERLIASLPLFTMASALAALPKSSPDCAVCLSPFSPDAELRLLPACRHAFHAACVDAWLRTTPSCPLCRAAVSLPHPPLPTAAAAAAGQQEPLDSNNNSRSFRVEIGSVSNRRSSATADDRRTYSLGSFDYRVDEEVEAVVARIARPAAAAKSATPAAAAPATPGEALAEAAGSRGWLREYVDRLASSASSLSGRWSARWSQGHHGHSHRRDDADSWRWDPEAPSAMSRAPDEEEPGFVSLYRWIVGV >Et_4A_035525.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27871659:27873227:-1 gene:Et_4A_035525 transcript:Et_4A_035525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAAATYLSFSSAQHQQPSSFRARPTATTRAAATVTDRQEPSPAVVSPTRRLPLRKVPGDYGPPVVGALRDRAEYFYGPGGRDGFFTSRVRAHRSTVVRLNMPPGPFLARDPRVVALLDAASFPVLFDASLVDKTDLFTGTFMPSTDLTGGYRVLSYVDPAEPGHAPLKQLLFHLLSHRRGHVIPTFREVYGDLFGRMENELARAGKADFGQYNDGAALSFLCRALLGRDPAESALQGDGPKLILKWVVFQLSPLLNLGLPKLVEDSLLHSFRLPPALVKKDYDRLAAFFRDAAGAVIDEGERLGIAREEALHNIVFAMCFNSFGGIKILFPTLVKWLGRAGARTHGRLATEVRDAVRAHGGEVTMRALAEMPLVKSAVYEALRIEPPVPMQYGRAKRDMVVESHDYGYEVREGEMLFGYQPMATRDPRVFARAEEYVPDRFLGEEGERLLRHVVWSNGPETAAPTLQDKQCAGKDFVVLIARLLVAEIFLRYDSFDVQVGSSTLGSSVTITSLKKATF >Et_9A_063285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1881452:1892660:-1 gene:Et_9A_063285 transcript:Et_9A_063285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQIPMDNHNSSPQIAEVKMDISPSASGAAGSKVCKGAPCDFSDTSNASKDATERFASMRKLLIAVILCVIFMTVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPQQSYGFFRIEILGTGQHDAEEPLLKHEADCEGTQSGARAPKKSRRNINVHSAYLHVLGDSIQSIGVMIGGAVIWYKPEWKIIDLICTLIFSVIVLFTTIRMLRNILEVLMESTPREIDATRLERGLCEMDGVVAVHELHIWAITVGKVLLACHVTIAPEADADQILDKVIGYIKTEYNISHQAN >Et_1A_005150.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:29543953:29544213:-1 gene:Et_1A_005150 transcript:Et_1A_005150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINVDAALAKNSSKVSLAAIVRDEAGIFRGASALVVEGIQDPEIAEAMACREGLSLASDLILQKVRLVTDWSNVVRSLYGSAMDT >Et_5B_044237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21880111:21880736:-1 gene:Et_5B_044237 transcript:Et_5B_044237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASDASVVAPVLYPPPASVFVAATVVSLASLGLAELRGDIMPYSQFWHAAAAHPATWPSPSPWSTRIASRAASRTSPPSTCSGPAGVLAFAVGVAGSLYHHRLLARQTSGGNEDMGYKIPRGGLFDLVASPYFLAGATPPGNGTRPSLRINPPGSKSSCTVCLLVVPSKLCIAQDTHFVTVPTLEEGPPWDGRTENYHQV >Et_1B_011119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17799699:17807836:1 gene:Et_1B_011119 transcript:Et_1B_011119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARQARARTSEGTEEPGRPGPQLLSSRRALSPPAVSISTTRGALALAVPKSQMLKAFSKAKRASTTGAIAAHGWRIHKVAHIDQISVFDQNFHNSFTYDIFGIFLQLNGIKMIMEMPTIPSNGHEFAKEDMAWGSNPGVPTLIAMASFTTMASAVVTGRHFMQQRGRLRVAPRCNLTSDNRYNISAAATVFAMEYNPASTYHKWRALASSYRGNEPSSYSGYRKDKGRHKRLTAQKRKEIKEAFDLFDTDGSGTIDARELNVAMRALGFELTPEQINQMIAEVDKDGSGTIDFDEFVHMMTDKMGERDAREELLKAFRLIDKDGNGKISDVDIQRLAIETGERFTLDEVREMIEAADENGDGEVDLEEFVKMMKRTNFGARF >Et_8B_059843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3227208:3229490:-1 gene:Et_8B_059843 transcript:Et_8B_059843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHGGRGGNSSEDVEAPLLPPPGAKDREEEERRRRPASSWARALLAHRYAAVASGPAACAAVCALADLGAGRREARNMLGVLAWVFLWWVTGAVPLAVASMAPLFLFPLLGVASADAVAKAYMGDVIALVLGSFILALAIEHYQIHRRLSLNITSLFCGDPVRPPLLLLGITGTTMFVSMWIHNTACTVMMMPVATGILQRLPRGDDDADAGSREVRRFSKAVVLGVVYASAIGGMATLTGTGVNIILVGMWSSYFPEQQPITFSSWMSFALPLALIVFLALWVTLCLMYCSKNTGKALSAYLDRSHLRRELSLLGPIAFAEKMVLAVFGGLIVLWMTRNLTDDIPGWGALFHNKVGDGTVTIMMATLLFIIPSRKNEGEKLMDWNKCRKIQWDIILLLGAGFAIADGFKSSGLTDILSDGLIFLKGAPTVVIVPVACIVSATITEFTSDDSTTTLVLPLFAELAKSINVQPALLMVSGAIGAQLSYLLPTGSPSNVVGFSTGHITIKDLVATGLPLKIVGIAALTVLLPSLGSMIFGFSSGS >Et_3B_028844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19747562:19750241:-1 gene:Et_3B_028844 transcript:Et_3B_028844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLDANFDGSMIIDLWPELPLDCPELNLRTMHWMQRHHCMWCMSIGCREFKLELLKDQANSHLRLKECKILANANAWAPSKWEECPWILGRLEDSFREPLRLEIVHVTSPRIRIMVDEQNWHFNHHTGWVRDISDLHLLVCFLAEHNSRWVQSENLVKDHCHLKE >Et_9A_062475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23297417:23303942:-1 gene:Et_9A_062475 transcript:Et_9A_062475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKTPFASSQEKKARTPKEPQRKPDGVSREVYALTGGVGMAPLMPTVEASHLKRRPAAEKEKVAWQWLPFTSSARTDNLQLYHWVRVVNGVQPTGDYQFAKYNKKVDVLKYTDEEYEKYLIDPAWSKEETDQLFELCERFDLRFIVIADRFPTDRSVEDLKSRYYSATRCLLIHRARSFEEVSGNPLVKTLAEAKRILESRAASKNADEAGVPSSSENAMVPVEGVSPLSGTQPPLTNPYAAANSSIPNSLRTLRVYLRTYALDQMIQAASASAGLRIIKRVDQTLQDLGVHLKPKVPTKAVCVEHLELRNEILTLLNLQKQLQNKEAEVSANRESSFMEAPSTPMRSNRDMDRPFVPDTIGFTGERAGKRDHKRKSTTGRFIDTPPSPPQSKRPRKLKASD >Et_1A_008079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4229077:4234402:1 gene:Et_1A_008079 transcript:Et_1A_008079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSGAPLLAAVICVTLAFFAPRAAGDAATLESVPDLVKAMYTNIESFPCVRLLNLSGEIGCSNPGSEKIIAPIVRFRNSSSHLVQPSTILLPLDQMSNFFSRISNDPELHHKVAGVLVESNEFSPDRTFPQEAFAPYANLSHNWNPAGSGIMWNRYDFPVFLLSEESTLTLQKVAEKNEKANNGYQPNVAEFDLIMQTTKSHTHDSASCLKEQTCLPLGGHSVWASLPPIKNTSAEQRKPIILTIASQDAASFFRDRSLGADSPVSGLIALLTAVDALSRLDNLGNLKKQLVFAAFNGEAWGYLGSRKFLQELDEGAHSVNGISSSMLDQVLEIGSVGKGIIEEHPSFYAHAAGNSSASKKILDALQSASDSLGSDNVKVKQAASSNPGVPPSSLMSFVRKNSSTSGVVLEDFDSQFSNKFYHSYLDSPANINSSSIAAAAALVARSLYILASAESPVNLMTLNSIRVNVSLVQEIVGCLLTCDPGLSCGLVKRFISPSKSCPSHYVGVYLDDPSGTQFPSYADDTSRFVWNFLADRTSTSVGNGSSCTGKCNDEGEVCVGAEVKGGGKCVVSTTRYIPAYSTRLKFEDSVWHVLSANSSDPMGAADPVWTESFWNTIGLRVYAVQSTSYDWLVLLAGVSVTAASYLAVIIGRTYISKVIKRD >Et_9A_062407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22576547:22586893:-1 gene:Et_9A_062407 transcript:Et_9A_062407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQGLALKLRAAPPAGSRRAPRGRPTVTAAYGGALQRRVRGAVSLDCGRFLGAPPLPAAQAHGAQCAARRRDEVAPSAAAEVRSMSKVPGSSIGLYNPSFERDSCGVGFIAELSADPSRKTVDDAIEMLERMSHRGACGCEKNTGDGAGILVTKDSGFQLPQPGEYAVGMFFMPTDEGRREKSRLVFHEIAKRLGHVVLGWRRVPTDNSDLGRSALETEPVIEQVFVSKSSRSSADFEQQMYILRRLSIKSIRRTLGLQRGGPKDFYMCSLSSRTIVYKGQLKPTQLKGYFFADLGDESFTSYMALVHSRFSTNTFPSWDRAQPMRAVGHNGEINTLRGNKNWMKAREGLLKCKELGLSRDEMTKLLPIVDATSSDSGAFDNVLELLIQSGRSVPEAVMMMIPEAWQNDVNMDPERKALYEYFSALMEPWDGPALVSFTDGRYLGATLDRNGLRPGRFYVTYSGRVIMASEVGVVDVPNEDVMRKGRLNPGMMLLVDFENHCVVDDDALKNQYSKARPYREWLERQKIQLADIIGSVPETKRVAPCISGALPQKTEEAVGIHGILAPLKAFGYTTEALEMLLLPMAKNGVEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLSETTEQQCHRLELKGPLLDINEMEAIKNMNFRGWRTKVLDITYPKKYGRKGLERTLDKICAQAREAIREGYTILVLSDRGFSPDHVPVSSLLAVGAVHQHLVSNLERTRIGLLIDSAEPREVHHFCALIGFGADAICPYLAIEAIWRLQIDGKIPSKDDGQPYPQEELVKKYFNASNYGIMKVLAKMGISTLASYKGAQIFEALGLASEVISKCFEGTPSRVEGAKFEMLAQDALRLHGLAFPSRTLPLGSADANSLPNPGDYHWRKNGEVHLNDPVAIAKLQEAARINSREAYKEYSRIIQELSKACTLRGMLKFREIPNKISLDEVEPASEIVKRFCTGAMSYGSISLEAHTSLAEAMNIMGAKSNTGEGGEQPSRMEPLPDGSRNPRISAIKQVASGRFGVSIYYLTNAVELQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLAQLIYDLKNSNPGARISVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAVLQTDGQLKIGRDVAIACLLGAEEFGFSTAPLIALGCIMMRKCHTNTCPVGIATQDPVLREKFAGKPEHVINFFFMLAEEVREIMAQLGFRTIDEMVGRSDMLEVDPEVLKGNEKLENIDLSLILKPAAEISPGASQYCVEEQDHGLDMALDNKFIASSRAALEKGFRVFTETPVQNTNRAVGTMLSHEVTKRYRMLGLPTDTIHVKLNGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRNSRFVPQDNIVIGNVALYGSTKGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTVVILGKTGKNFAAGMSGGIAYVYDVDGKFNTRCNHELVDLYSIVEEDDIITLRMMIEQHRLNTESKLAKDILLNFDDLLPKFIKVFPRDYKRVLDNLKVQKAAKETEEKERKIGVDKNANQVIDSLNDIALITKKMKGERKSSRPTQVANASKDRGFVTYEREGISYRDPSERIKDWNEVAIEMVPGPLLKTQSARCMGCGTPFCHQESSGAGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCESSCVLGIIENPVSIKSIECAIIDKGFQEGWMVPRPPLHRTGKNVAIVGSGPAGLAAAEQLNKLGHFVTVFERDDRIGGLMMYGVPNMKADKAGVVQRRVDLMAAEGISFIVNAHVGTDPLYSIERLRSENDAVILACGATKPRDLPIPGRELSGIHFAMEFLRANTKRLLDTNVEDSNYISASRKKVVVIGGGDTGTDCIGTSIRHGCSNLVNLELLPEPPRGRAPDNPWPQWARIFRIDYGHQEAVSKFGKDPRTYQILTKRFVGDVNGKVKALEVVRVEWEKVNGRFQFKEVEGSQETIEADLVLLAMGFMGPETVIADKLGLERDKRSNFKAQFGNFATNVEGVFVAGDCRRGQSLVVWAISEGREAAAAVDKYLSTDKINSAEDIAAPSPSDVLVQAVAA >Et_3B_028121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10505432:10521149:1 gene:Et_3B_028121 transcript:Et_3B_028121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKMRGLKAMARLDAERAAPAWLRILVETTFFNECPEHPEASRATRSGGCNFFCVDCAGLALCCSCIEGEHDGHRIIQIRKSSRQNAVKVKDIESLLGVGEVQTYPQNNDLVVFLNERPMVRNGKAGAYRCKKCDRALLKKEYRFCSLGCKLECLEDDFSVSFAVPKGHTESSEDEDLSRPTKRHRSIGSGLKEMARLDAERGAPAWLDVLLAAVFFDECPEHPEASRATRSGGCNLFCVDCASRPLCSDCITGEHDGHRVIQIRKSSRHSVVKVRDLESLLGVEDVQRYPINNDLVVFLNQRRMEGNGKAGAYRCKKCDRALLKKEYHFCSLGCKVLRAISNLSDMLSSLNKPCVVWFVMRRHVSWSIGFEHGVHAWKLMMTSPFHSLCPRVKPSHQKMVPLVPAPPPSKPPANTPASDPAPLEETEDSAVISEVSCAYAKVCGFVSEGCRIRDSHRLNLQKARANGLRVLNRQESNILNWFAHSLGSSFLGRDPIYGAQHLRPGHLHHLFFLLCSHHVILFGLHVFLEDLHQISHSGILDQSIITRH >Et_2A_016521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25484343:25493588:-1 gene:Et_2A_016521 transcript:Et_2A_016521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAHSAAVVDRLLRRLASDARRLDLPANADEDVAYVGRTLARLQDVLVSLERKYFKMPAQVQEWIGNIKQIAYDMEDLLDEFEDPNSMASQSSGWAAKETPFCCSCPCLLHSTGINRLKAIKRRLGVSAKDSVVFSLMQHSCPDLEQFDNVTFDGATIVGRYNDKAMLKDMFLQNNAEKLSVIPIVGLVGLGKTSLARLIFYDQGEGWKFDIRIWIYLNRKSDLREIASDIISQCSQEEENFSVYRNCEMPENLQLLKNRLRDVLLEKRCLIVLDGLSSTDKMEMEELKEMLAGTNGSTKVLVTTSNEITAELVHTVGPYKLNPLSEDDCWEIFSQKAFWNVDTTDAHLKEIGRQIVKRCEGIPLLAHSLGSLVQSQVKNVWLAARDEELWKLERRHTRMEMFSPFYQIYYALPSAVKLCFLYLSIFPKGSVIDKEKLIRQWIALDMIGSEYHSLPSYVHGEICIQDLLSTYLLQVLRKPSVEGIDNRIAPTAFYMHNFVHEFASHVACDDAIIFNGSEIQTGIAKRQTFQYALLTYYRGQLALSHSLLTRTRALHLRNMEATVFDNESFEFLKHLRVLNLSGCCIRELPVSVAHLKHLRYLDVSGLEIQILPYQMSRLTNLETLDLSKTSLRELPSFIDNFPKLKYLNMEGCENLQTLPSALGHLQRLEHLSLSSCNNICELPDSMCNINDLRFLDLSRCTELQLLPPLFGNLMNLEDLSLSSCFSLKKLPESFGNLYFLRYLNLSSCYELQHLPESLTNLEKLEVLMLRRCCRLQSLPPSLASIKYLRVLDLAGCKALHVSTEILTTNLEYLNLQECIEVQNQPYCFENFNKLKFLNLSHCLTTTDCLNSVGYLFNLEYLDLSENFLDMPMSFTRLQKLHTLDLSGCPPMHPTSRVHKTLLDIICKLTALKFVLTKDPVLMASLPRHVRWSVGIDEHCHVASDELDITDMTGGSRGLNIAEKLNLQNRLDLRFLKLEWIHSSRSDIDELIDDVNEDKVLERLRPNQSLEHFKLVEYAGCTFPTWMTNTMITSLPYLSSLWLFHLENCTNLPPLGQLRNLRYLHINDMPNLRYLDMGLSGSSEPFRKLTHLKLEMLNLKELHILLPANNENQRFMFPVLEELSVLSCSKLIFKPSLPKCSKYEIKESNMILSCGQPLGPLSSPSPQKIEISGCRIPSAWLYWLKSLQTLEKVVIDGEPLTSFKLPDVRGVQESSSSLTSKKDQSSYRINISHELTTQDDTPKNTGIESSTIGTLEKVVIDGEPLTSFKLPKVRGVQESSSSLTPNKDQSSYGINISHGLTIDPASSSKMSTPFKEIPSSSSTLYKPKMSAEVPRVGPLHLSLKQILKATRKFSPLFKLGEGEIWTVYKAVLPDNQIVIVRRAKKGHVQEAKHLMKGNLLTEINHCCLVRFLGFVDKGNECIRITEYVPNGTLRQHLYGQHKRILDFNQRIVIALDVAIALAYLHLSCVLIHTSLSTGEELICYNLKTSNILLTESYRAKLCCSGLSGSGHVVPLGGTVGYIDPEYFRTSELTAKSDVYSFGIVLLEILSSHGPQDWNLLMIHQNSSVVQWALEKFYDDLVNEILDYRLEDRVDGKVLRDWLSLALSCVATSGDDRPSIEVVGERLWKIWKDHRLCIGAQFEYEGSWAEFVEKEGFLSHHKSTVKRKLDIGMPMGRRSFTTMDEGWSGYIRQIEMTQEAYIAHEAKSYLGSRSGSFDDITVSPR >Et_2A_015110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30261477:30263843:-1 gene:Et_2A_015110 transcript:Et_2A_015110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGRGLYNKPTLPAGPRKRTATPLLPAAPPPPSPSSLPLDSLLLHLTAAPAPAPVPRRAHPTPTPAQSFLSASAQALVLDISSHPLPTLPAFLASRRDELLRSDIPSLLKALELSGHWEWALALIRWAGAEGAADAASLEMVVRALGREGQHDAVCDLLDEMPLPPGSRLDVRAYTTVLHALSRAGRYERAGVAPTLVTYNVVLDVYGRMGRSWPRIVALLEEMRAAGVEPDDFTASTVIAACSRDGLVDEAVAFFEDLKARGHTPCVVTYNALLQVFGKAGNYTEALRVLKEMEQNDCKPDAVTYNELAGTYARAGFYEEAAKCLDTMTSKGLLPNAFTYNTVMTAYGNIGKVDEALALFDQMKKSGCVPNVNTYNLILAMLGKKSKFTVMLEMLEEMSRSGCTPNRVTWNTMLAVCGKRGLEEYVTRVLEGMKSCGVELCRDTYNTLISAYGRCGSRTNAFKMYDEMTSAGFAPCLTTYNALLNVLSRQGDWSTAQSIISKMRTKWFKPNEQSYSLLLQCYAKGGHIAGIEAIEKEVYGGTVFPSWVILRTLVIANFKCRRLEGMEKAFQEVKTRGYKPDLVIYNSMLSMYAKNGMYSKATEMFDSIKQSGLNPDLITYNSLMDMYAKSSESWEAEKILNQLKCSPEVKPDVVSYNTVINGFCKQGLIKEAQRILSEMIADGMAPCVITYHTLVGGYASLEMFSEAREVISYMIQHNLRPMELTYRRVIDSYCKARRYDDARDFLSEVSETDLNFDKEVLHTLAARVEDAQFGR >Et_4A_032395.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7547723:7547851:1 gene:Et_4A_032395 transcript:Et_4A_032395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPELVNLLRHEAGLLGQLSGMQTYMPSGPSSIAASNNVT >Et_5A_041008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16656195:16657708:1 gene:Et_5A_041008 transcript:Et_5A_041008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTERLKQVIVEEAPLLERFVIRHPEDGLLVRISGAPKLEFLGSLTSGITKLELGSTIFVEMMAVNLTTVVCTMKTLVVRMSPPSIHDAISLLKCFPCLQNLYVVVFLDGKSRKVKHHDPCDYIKWLDVHLNKLVLINYRGIKRDVEFAKFFLLNARALKFIELATRRQSSDAEYLSKQFNKLELKNRASQDAKCAISCYSYNNDEMHISHIHDLSIRHRNE >Et_7B_056000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:959093:961318:-1 gene:Et_7B_056000 transcript:Et_7B_056000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTASWSPIFSRSQSSPKLNLSRGATGGATSCNKLGKGKNVIVANHGNQPPGARLVNSSTSTEAKLGLNGMGNLGANPANSSPLTPLGFLERAATIFGDCPSVIYHDTVFTWSQTHRRCLRLASALVSLGISRGDIVSVLLPNVPAMYEMHFGVPMSGAVLNTINTRLDARTVSVLLRHAGSKLVFVDQGSLPLIRDALRLLPPGHPAPRVIPVEDPHDESPADTLTYETLLETGDPEFAWVRPASEWDPMVLNYTSGTTSAPKGVVHCHRGVFLIVLDWLVEWAVPPQPTYLWTLSMFHANGWGFPWGTALVGGANVCITRVNAATVYAAIARHGVTHLCCAPVVLNMLANAPEVTRKPLPGTVRVLTAGAPPPAAVLQRTEAIGFEVSHGYGLTETAGLVVSCTWKREWDALPESERARLKSRQGVRTPSMAEVDVVDAETGRAVPRDGCTMGEVVLRGGSVMLGYLNDDAATRAAIRDDGWFYTGDVGVMHPDGYLEIRDRSKDVIICAGENISSVEVESVLYGHPAVNEAALVARPDELRGETPCAFVSLKEGVAGSVTAPDIIAWCRERMPRYMVPRTVVFRAELPKTSTGKIQKYVLRNLAVEMGPTRRGSSKG >Et_3A_026419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7889293:7889661:-1 gene:Et_3A_026419 transcript:Et_3A_026419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSQTFRRSGSSGLVWDERLMTSGEPEDEAMEFKELRYSRSVGSIGLQRRHNDRVEHRRCKDNSRGFHTRSVTPVLDPPSPKVPGCIFCGIFSKAGASEPSKPRRYK >Et_8A_056315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22086151:22086525:1 gene:Et_8A_056315 transcript:Et_8A_056315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFRKEKYPRCTQ >Et_8B_059540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1891190:1898212:-1 gene:Et_8B_059540 transcript:Et_8B_059540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDGHRIELHGTWRARRSLTVVTGCVAHKSNSDLAAASQDSCVVLVRRIADWRLTTILGVPGSPKTPTSNRQQPNPLCNIRATPAPLLCFRKEKHKHELRRRHQGKMVSLSDDMVTEIILRLPVKSVARSMCVSKNWRATISDDYIRRRLPPHMSLVYFPDDPARGKAPRFACAGGEGQLEDCDLGFFPYRDYAVACDASNGLLLLRCPVAPRFYVVDPVARRWAALPPPPTEPLLSVLAFDPFGSGSPRYRVINFTGWRGRVGEVEVFSSETAEWAARDADFGVPAGALTGAMHFHGGAVYVLAADPDCIVRMDVARGDLSCAVIELPEPTDGEGPVTHSGGVLHYVTSDRDRFNVWALDESSSSSTHQWRLKHAVKVDDVVEGGCAAGEVRFLAMHPEKDAVYVWSPWKVVEYDLTRKEVTGAWEFGGDKGRKAEKNRVIKTWLVPSSFYLSDCLADDGRLRSSSSIRKGNKSFAEERAVTNLSDDLIAEIILKLPVKSVARSKCVAKNWCAAISDDFLRRRLPLQMSVVYFPDDPSRGKGPRFACAAAGGDGLLEDCDLSFFPFRDGAVVCDACNGLLLFRSAGTARFYVADPVTRRWAALPPPSRDAMLSVLAFDPLSSSSQRHYRVINFTGWRDRGAALEVFSSEAWAWSAPRDADFGVAADFLLGSTHFHDGAVYLLSTAGDADAAARVVRVDVTATGGDGPACPVLELPEPMGGGGDGRVAHSGGRLHYVTSDGELLKVWVLEEGAQWRLKHAVKVDDVVEGGCRGGEVRFLALHPEKDAVYMWWPWKLVEFDLARKEITGAWQFGGKDLEGEKNRIVKTWLVPPSMYLSDCLADGPTSWPSSASHVAPSSPYRQFPWSDAHDEVEVRELVEVLHRQLVAVVAAEVVEEEAHIGGVGERVPAAVAEEEREVRRDVAHVVHRRLGLAVVGDVPEWKHVVVLL >Et_10B_003892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7321623:7324094:1 gene:Et_10B_003892 transcript:Et_10B_003892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRERSAAVAVAPATGAAAEDSASSSSSGAPAATTAAARGERWSAAIGNLGELGANVDSLQKLLARKAVFVDDDIFSKASLASDQARTIKVLEQRVQSLERELDAAISAAARARTEKRQAEAAQRAAELRAQEVTKELENTSKVFALHMEELRLKQEEIAKKDGDIKVLEAIIRTLSSKDDGGSSE >Et_3A_026089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4172151:4173477:1 gene:Et_3A_026089 transcript:Et_3A_026089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LVGTLDRVRSLRRMEDQHQSQQGRSGGADGLVLPPGFRFHPSDEEIITCYLRPKVRDDNYTAIAIGEADINKSEPWELPYKAKMGEKEWYFYCLKDRKYPTGSRANRATEAGYWKATGKDREIYLEASSVPVLLGMKKTLVFYKGRAPKGVKTNWVMHEYRLDGQGRVPCAASSSNTKSKKPCSSSKEEWVVCRVFDKSLGVIRKEAESAPVPALVSTPPYRSNMSTGDTDLRSMNFPVPTQFPMGIQDFNMNSNGLHSIMGDSSASYYSADHMGTSVPSPLLPSLLPMVGMSSMGHQINNGYFGNVAAITEPVPFYPQVGTETSECGFMAELDVRSTLLQDVGVCPGQIDDADISSVVNPRHVTSSTEDMDNVWRF >Et_5B_044077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19669697:19680568:-1 gene:Et_5B_044077 transcript:Et_5B_044077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIDLKSHRVRKVCDEECDNDGVHGVVPYISFYTPEHHIQVELDHFGTRSPQSKSHPSSPPRPNPRSPPARIRSAPAARSMAPRPPALMVELIEEVLLRLPPDDPASLVRAALVCKEWCRIVAGPGFRRRFRELHRTPPMIGVICDQRIPKVGQGYLGSRFIPTSPCPHHAVHYGWRTLDARHGRVLRCIQPWISCLQVWDPVNGRCWEVPDMPCLKITIFNLNAAVLCAAHGACDHLDCHHGFLIVLVDSATGNIRVHVYSSEADGWSEPIYSIHSANDGVKIMPPALVGNALYFLIDGSKGFLKYELSTQNMSIIQLPSDFTVDCSVLTTVENGGLGLASLEDSRLNLWSMETRPEEYVRWEKIRVIQLQTLLPVNVTFSYGFVSFAHGIGVFLVGTKEGLFSIELKSQCVRKLGNEACDDDDSIRCFLPFMSFYTPGIVHDWLMIRSAPATRSMAPRPPALMVELIEEVLLRFPPDDPASLVRAALVCKEWCRIVAGPGFRRRFRELHRTPPMIGVICDLTEDEGYLVSPPLARTTTPTTTAIAHSMPATAVSSVALEVWDPVTGERRVVPGKAHLEAWSFNAAVLCAAHGTCDHLDCHHGFLVILVASDEDENMYVQVYSSETGGWSEPVFSIHSPNDGVVMSPPALVRNALFFFLDERKSIFKY >Et_5B_043842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16759871:16761024:1 gene:Et_5B_043842 transcript:Et_5B_043842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYATKAHIQHGAWGLCVQPLNATTVLLKQTGESLCNGPIAKALLPLMASSFPSISSSWVSRASNNLQVICYKPWAGK >Et_6A_047535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7003560:7005980:1 gene:Et_6A_047535 transcript:Et_6A_047535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYVASDEERVVTDRIRRKLEEVNAAAQKHLAGVQDHVNFTMQQAYFKCAYECFDRRRSQEGINGCVENCSVPVLTANNVVETEMAKFQERLNRSLMVCQDKFEAARLQKMKTDATQELEACVNRSIDDSIRVLPHLVDQIRSSLSIN >Et_1A_009178.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:3189830:3191095:-1 gene:Et_1A_009178 transcript:Et_1A_009178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRHGAHAALLAALLASALATASAQSPNDDYAPGDQVHVSTAMIALLAAVIGLFLFIAFSTVYLRHCTGYGAARSDADGRVAVRLDHTFASRRQQRRPRGLAAEVVETFPTMKYAEAKALRVGKGGAALECAVCLSEFEDEERLRLLPKCSHAFHPECIGEWLASHVTCPVCRCNLDPDKETSSDDEPAAFPAPQLPNSVSSEIASVRQEDGAPPVAVVIDVVTEEEEEEERRVEAMELQQIGTQRRRAMRSRSGRRPVTTQLARSHSTGHSLAVRLDRDMERFTLRLPEHVRREMLAAGEHSLQSLRGRRVIGEGSSGPLGRAGRWQSLLVRTFSGKVSFFSASRTTVSSDGGEVSSTSSSRLRGKRVAAVDVANVPAKGNFRLDRIGGSPSGAKAGTVPHEAAAKDEEKAVTQQVLT >Et_8B_059288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16090710:16092426:-1 gene:Et_8B_059288 transcript:Et_8B_059288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GAVAAVGRRHLARSASGYVAKAARGFHVFRIDGYSHTLRLPAGERITSAMFYLGGRHWGIDYYPNGADRSKDFTDSISVYLHAHSRNCSHQQKERVRAQFKFSLLDLAGNAAYELPVETGFFSFPDPNDRAGMEEVRCGYDEFIGKDELERRRESLLQGDCLAVRCDVGVMDLRHMFIEPPRKRAGHDDDDEYELISMSAAPQLLAAGVGRLSRSASGILVKAATGFHVFRVDGYSWSKTFGAGERISSEKFSVGGNSWYVDYYPNGADSSSKDSSSSDYISLHLRLSCSGEHKHLARAQYKFSLLDHAGNAAYERPAETSTFTYGGRIRDPNSSWSWAPECSGYGVAESIRREELERRSESLLQDDCLAIRCYVGVAQQEFVDVAPKQRNRHDDDDESDRRRRQPLDDFEYIRQCLVRRRN >Et_6A_046105.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:24734217:24734582:1 gene:Et_6A_046105 transcript:Et_6A_046105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METPDTQLKLQDISSSAAHLKEKYGCTEAIVDSELRRSDRIKNYRKGFKHATYDSRNCFACTSEAPSLSPSIIINLGTEFCNLSPEKVNDDALKKKKKAKTAVGQKEKEKKEDKKTDGKKS >Et_3A_024557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2264138:2269643:1 gene:Et_3A_024557 transcript:Et_3A_024557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEHPLLTITPDASTPEDAPSPRPPAASQLPPAQPEPPLRADRLSFSFEVPDPFRPSRRGDGPDPSASSQREREDGDEESRALTIGDPSTAAAFAGNAIRTAKYSVLTFLPRNLFEQFRRLSYVYFLAITVLNQLPQVAVFGRGASVLPLAFVLFVTAVKDAYEDFRRHRSDRQENNRHAAVLVPGTDREFQSKKWKHIRVGDVVRVASNETLPADMVLLATSDPSGVAHVQTVNLDGETNLKTRYAKQETHLRFSQDGSIGGVLHCERPNRNIYGFQANLEIDGNRVSLGPSNIVLRGCELKNTTWAIGVVVYAGKETKVMLNNSGAPSKRSRLETQLNRETVILSIMLIGMCTTASVLAGIWLLNHRAELEFTQFFREKDYTTGKNYNYYGVGMQIFITFLMAVIVYQVIIPISLYISMELVRLGQAYFMGADKDLYDDSSRSKFQCRALNINEDLGQIRYVFSDKTGTLTENKMEFQCASIRGVDYSFGKDTTGYSVVVGDHLRTPKMAVKTDPQLVALLRNGGTNEEADLVREFFIALAACNTIVPLVVDTRDHKQKLIDYQGESPDEQALAYAAASYGIVLVERTTGYIVIDVLGDRLRFDILGLHEFDSDRKRMSVIVGCPDKTIKLYVKGADSSVFGITNKSSEVDIVRATEAHLHKYSSLGLRTLVVGMRELSQPQFEEWQLAYKNASTAVLGRGNLLRSVAANIECNIHILGATGIEDKLQKGVPEAIESLRQADIKVWILTGDKQETAISIGYSCKLLTNDMTQIVINNNSKDSCRRSLEEALATTKKLRDASSMNTQSSALPSECSSVTLALIVDGNSLVYILETELQEELFKLATECSVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFSMGQFRFLVPLLLVHGHWNYQRMAYMILYNFYKNATFVLILFWYVLYTAFTLTTAITEWSSLLYTVLYTSLPTIVVGILDKDLSKATLLAYPKLYGSGQRDEKYNVNLFVLNMLEALWQSLVVFYIPYFAYKRSTIDMSSLGDLWALAPVIVVNMQLAMDIIRWNWIIHAFVWGTIAATTICLFVIDSIWILPGYGAIFHIMGTGLFWLLLLIIAVTAMVPHFVIKAFMEHFRPSDIQIAREIEKFRNVNQVNRSEIPMRGLS >Et_4B_036785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11539098:11541406:1 gene:Et_4B_036785 transcript:Et_4B_036785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAEQQLVVGSEPPGQQEEEEPKTSAHREGETEAEAEEDGGGAEASCDYCGAAAAAVYCRADTARLCLPCDRHVHGANGVCSRHARAPLCADCRAAGAVFRRAAAGALFLCSDCDFGRQQRRDGGAGPDPPLHDRCAVQAYTGCPPASELAALLGVPLFDKPAGAEGDGWWNIWEEPQVLSLEDLIVPTTPCHDFQPLLTPSSPKNRSISADGKTNEEILRQLGELAEADGGVQAAAGHDEAEQTGDQFASWEPPPQYNFGTENTDEVATMPTPVFENGRWNSSDYHDLSAACKVELAYEQAPLSSSEACLSSFVQMSEICPSMSNGNSMEDNQQANPGIGVPVQTVPKRGGFDVVPCPDRGSVISRYKEKRKTRRFDKQVRYESRKVRADGRLRIKGRFAKAKQI >Et_2A_016095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2153050:2158622:1 gene:Et_2A_016095 transcript:Et_2A_016095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEGRRGIPSPAETEAGWTPLIELKIITNSDSLNARIVGKLESYQPLCSVKDRSALRMIEDAEKGLISPGATTLVEPTSGNMGIGLAYIAVLRGYRFIAVMPAEYSLDKQILLRYLGAELVLTDPTLGFQGQIDKVAQLKKDIPDVHVLDQFANAANPEAHFKWTGPEIWKDTAGKVDIFVAGSGTGGTVSGVGKYLKMKNPVVKVICVEPAESPVVSGGKSSRHKIQGVGPGFIPKNLDTSLIDETITVTAEDAMEDARRLAREEGLLVGISSGANLSASLKVASREESKGKMIVTMFPSSGERYMNSDLFAAVREESSMAGEESGRRGVPSLLNSSSSSSDCGVGQEHIAADVTQLIGWTPLIELKRITSKDGIGARIVGKMEAYQPLCSVKDRSALRMIEDAEERGLIKPGVTTLVEPTSGNLGLGLVLIALRKGYKFVAVMPGQYSFDKQMLLRYMGAELYLTDPALGFPGITDKVEQLKKELPNVHVLDQFANKANRDAHIRWTGPEIWKDTAGKVDIFVAASGSGGTVSGVGKYLKMQNPDVKIICVEPAESPVISGGEPGKHKIQGIGPGFLPEVLDTSVIDEAVTVTTEEAMVNARRLAKEEGLLVGISSGANFAACLKVASREENKGKMIVTMFPSGGERYMNSDLFAAVREECIAMTF >Et_2B_019600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10846346:10847892:-1 gene:Et_2B_019600 transcript:Et_2B_019600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKMTAEEMRKAQRADGVATVLAIGTATPPNCVYQADYPDYYFRVTKSEHLTDLKNKFTRLCQKSMVRKRYMHVTEELLQENPNMAAYSAPSLDVRHDILVEEVPKLGAEAAEKALAEWGQPRSAITHLVFCATAGVDMPSADFRAAKLLGLQPNVNRVMLYHQGCFAGGTVLRVAKDLAENNRDARVLIICSEITAVTFRGPCATHIDSMIGQALFGDGAAAVIVGAGPDEAAGERPLFQLVKAAQTIVPDSDDAILGHLREEGLTFHLQERVPDLIGMNIKGLLETAFKPLGIFDWNKIFWVAHPGGPAILNMAEAELGLDEARLRATRHVLAEYGNMSSACVLFILDEMRRRSAKQRLATTGEGLDWGVLFGFGPGLTAETVVLRSVPIAK >Et_1B_011396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21667643:21674685:1 gene:Et_1B_011396 transcript:Et_1B_011396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWRDAGGSGASSGRDLNGGPPCGQVRVLVVGDSGVGKSSLVHLLLNGSALSRPAQTIGCSVGVKHITYSSPGSSSNSIRGDAERNFFVELWDVSGHERYKECRSLFYSQINGVIFVYDLSQRKTKTNLSKWAVEVAESGTFSAPLGSGGPGGLPVPYLVIANKVDIAPRDGRRVSSGNLVDVARQWVEKQGLLPSSEELPLVESFPGNSGLLTAAKEARYDKEALVKFFRMLIRRRYFSNELPAPSPWSLSTREDTVLPVENMNDEDLFQRKSYGSQSYKYNGGAPLPAQRNLTPPPTLYPQQPMSSSSENYRYHRFSSSSIPDAEVPILDDIQDMIPM >Et_4A_032518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1100604:1104507:-1 gene:Et_4A_032518 transcript:Et_4A_032518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELIIYYLKRKINGRQIELEIIPEVDLYKCEPWDLPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATKSGYWKATGKDRKVNSHKRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECEHDTGLQDAYALCRVFKKTAPGPKIIEHYGVVQHHIEQPQWTASSVDHRPPTLDLSCDARGDEFESSSFSFPTDAPMDSMHGGFGMQMTTPHEDGKSWMQFLSEDAFNATNPFFMNPASSSFSCIPSKVDVALECARLQHRLSLPSLEAEDFPHDVSLDTKSSVLRSNPNEVDILQEFLSVASASQELINGPSSNDAAEMWPGACTSSTGTHYSNELSSLVELGVKAKVEVDNFYHIGCVGTSSGLGLKSGHADEPVRLVEIAEMEEELIEEKKQVENLRGVRLHNNDLGEIVVEGDESSPAECITQYPIADAADNSGEAGHLTDLTDAGGLDTAPIFSQSQPDDFAIGFDDVNPSASFDLYEKVDVNHGLFVSRVGTAKTFFHRVAPSKKVSFHLNPIANDDSKAIEKFHFPKVSGRVSIFSKFKALIRDKFLLKPSYKRSLGSKESATGSELLQIVSLLLTPKEVTGPTTEQQLVQKNAKVMKPGWGCDGNIVWLPLSKGSKGISSMFLSGKWAFLTSALAIRTPGYNH >Et_5A_041548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24254710:24255215:1 gene:Et_5A_041548 transcript:Et_5A_041548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVHEFDGSTFKECFSLTWRNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFRSVDRNTWLQVNKIQLTKQSSS >Et_4A_035036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:959025:960485:1 gene:Et_4A_035036 transcript:Et_4A_035036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VQKTIERYRTYTKDNVSSSTVQQDIEQIKADAEGLAKKLEALEAYKKKLLGEKLEECSIDELHSLEVKLEKSLHIIRGRKTQLLEEQVRKLKEKEMTLRKNNEDLREKCKNQPLLVAPLAVVAAQDEQPEQKGDDMDVETELYIGLPGRDNRSNKAVAAIQANHA >Et_4B_039470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17883998:17887261:-1 gene:Et_4B_039470 transcript:Et_4B_039470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPDIYPLTGLQIGDMQSYVSRAFLYLAPLSKKVFILVDNQPWRTSNQSRSARLWQFMVTKKKGTISPRSMQYRMSPFVNSRALLAKDKDMDTKQQAQPSAAAGAGEWFTELSLALHGFLVFEVSWRDVHGINYYNELLTDTSLALEARYMKKWEFHSADQAAGCTRLWFPGQLASSEATALRGHLRAQCSQLQEEKDDDADPSATAPTDPTKYSDTLLQFRFRDSLLPLRLRQIIMSDIRLLTLLESGLPPWVIFFQSYPLLCHLYRPWMRPLARTLYLLASLATVLIGFYDLYKNVPLLRAAAARIAGPLFGWIEAWDMVSRIQYLGTILFLRNLRRCLQSLLALLRTAASLLAALAAPLANAAAPLVAAAADVLAAGVGPAWALAVDVAEAVWTPVDLVLDHVAGCLWPLLQVAMLPARFAAKIAGVAGSLLSATYNFGKDMWETVTSIFELNHMSEAQQSGFDMSLLKTLWNDLFSQIFRAIRGILNGILVFFASCNRHRLRLTIYSYILFVVQSFQSSLPASINNHLQAACSIYNHVQSRLRHMLRVAGLETPPSCRCKTKHRSGQNKQDDDDDAVECDRNAI >Et_4A_031905.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28450385:28451442:1 gene:Et_4A_031905 transcript:Et_4A_031905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILSTARPPRPLPPPPTPIHPDQISSTTGASKRTSPPPPPPPRNRAEKNPKSPPPSMADASALVVSSAGEAPARRQHPLSQIAESGTHRLLLKQWLKEEDLLARRVALREARLDGARKEIAFLYCAFFAFHAASVLLLFLSSASSASAPVACKRSWIPCLVSLLSSLAMLWALRYKSDTEAVLERLLAREREDALLLGKCVAELKRKGLEFDLLKEVDALRRAKSLRVEAKGADKARRWQVRDLAVFALSGAACGVLVLTRFLLCN >Et_1B_013042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:544242:549024:-1 gene:Et_1B_013042 transcript:Et_1B_013042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLDQSSAAESRQAKPSLAPRLSIFGTKAGFVIPKNKLAGSLVIRGTSTKNETPTASKEEHSKHVHRKTKWAPDLSVEPAVCKRRASAYQIRLEQITKHLKSGAMEMELECSLSAALGSNSDGVDNLKENEGKVQHLELESREIIGEVLHLNPGYKAPDDYKPVLKETKIPLQGKAHPGHSVIGVLIGPESNTQKRLQEETGAIIRVYGTKKINREKREICHQDIDEAQAAYEDLHINVSADSYDKIDAAVNSAATSTTPTVSSAVTSDAVNPADVQLVQSTTSQPGLLHYQSHNAPWLSAPPTNAPSVPSSGPVSSPLPNNTFQLQSPLSSFSIPYIGQHHHMNTIPRNPLPNPGPLPSIPNIQQPPPQFRANPSVGPVFGQPPGTVCPQPTPPSTVRPPVRPLQAPHASGGWPSFSPVTSQSQRPPQASPSFMPVRSPNSVSPLGATLPRGPMAMAPPSITPTNYHSQHPQVANFNPSATLLSRPHGGTQSFTSVPPQGPSSMPSPYPPPMQGHPTMSTPDTVRGPSPAFPQAGPTPGMVPSLIGSSGPPASAPASASCSQASRAALRPPRPAVGDFTFRPAVSPAPTPEYAASGSQMGMRGSINPGLPHAPFFPHGNQGFQRPLDGRPMGQPWMHAPHPHLSGAFPRSQLPAGFHPAVQSGGRIISPPMPAPQNVSNFSPSRPFHLVPQSQQNPFANTNGQGNNPIYDPFAPTAASGAKKTDADPEYEDLMASVGVR >Et_4B_038165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26951120:26956219:1 gene:Et_4B_038165 transcript:Et_4B_038165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREESNKKSKLSWSKSVVRKWLNIKSKAQDFYADCDVSRGREGHGGEWRTSCSEREAGTAKKSRTDRLSKVATDRIRGRKNDFDAARVTEVQDYRIFASTWNVGGKSPPKGLNLDDWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNVPAKKWVSLVRRTLNKNPGVSSYSGYRTPSPVPDPVVELDADFEGSFRRQDDFSFLHRRSFQNLSRSLRVEGNYMSSQPRLDRRFSVCDPATLGVRPSDFDGNYPFMGSPDDNIEEDASNGPHYSPFLYAYGATAPVEENYEQSNKTRYCLVASKQMVGIFLTIWVRSEIRNDVRNLKVSCVGRGLMGYLGNKGSISISMSLHHTTFCFICCHLTSGEKEGDELRRNSDVMEILRKTRFPRVRGAGDVKSPETILEHDRIIWLGDLNYRIALSYCSAKALVEMHNWKQLLERDQIDTLGKVCVPKKSEEHQLGVTGFCGTVTGSFSCLMFVESLGSLTTDQCIAFSWLRLKLPARGDGTWDYSILELRWKSFCPILAVLVVNNVSPLRSQQASSMYINVGTMGEYGIGFDMADESIVWMRCAKRSRIIWPTISSR >Et_9B_065375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4380567:4381347:1 gene:Et_9B_065375 transcript:Et_9B_065375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRNIGVAVDFSACSKNALRWAATSLAASGDRLILIHVKTSYQYEEGVAHLWGHDGSPLIPLVEFSDPRVSKIYGVSPDRETLEILTRAANQKGVEVFAKVLWGDPGKKLTEAVQRIPLQWLVVGNRGLSTVKRVLMGSVSTYVVNHAACPVTVVRENMVPAAVTTNN >Et_5A_040307.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:12714299:12714625:-1 gene:Et_5A_040307 transcript:Et_5A_040307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLKLTEISKKWNGGKVSSPSAAACPRGHFAAYTRDGRRFFIPIDYLATDTFRELLNLAEEEFGAPCGDRPIVLPCSADHLEKILDAFRAGGKKKSAGAGRIGRIW >Et_2B_022670.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:290398:290850:-1 gene:Et_2B_022670 transcript:Et_2B_022670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYSEMPRVVLHLLFLLSHLRRISSWLLRLDIAAAADVSWAADADHHHLHHSSCRDEGEGAVHRLEEHSPAVRFDSLSGSAALPEGCAVCLGDFDGAAEVRRARGCRHVFHRGCLDRWAAHGQHTCPLCRSPLLPPLLLPLPLPAS >Et_9B_064586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16718382:16727112:-1 gene:Et_9B_064586 transcript:Et_9B_064586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKSLPFIAFEHKRCVPFPAAPAPAFAASIAYGEDAYGFAVRPQHLQRYKEYAGIYKEEEEERSDRWKNFLARQPELSGQDVDQNAGGGSSESLPEKATPGPRKIEIWTPIRSSLSNIEQMMSLRVEKTQHSADKQDAKDETHPVKVEQGKLSEDSDDEFYDVDKVDPAQEVHSGDAASTDVGNTNPEEDYISKEELECLVHGGLPMALRGELWQAFVGTGARRINGYYDSLAAEAELENNKSLDSSTSEAVHEKWIGQIEKDLPRTFPGHPALDEDGRNALRRLLIAYARHNPSVGYCQVDQLVLEELVREKFPKLANHLDYLGLQVAWVTGPWFLSIFTNVLPWESVLRVWDVLLFDGNRVMLFRTALALLEFYGPALATTKDAGDAVTLLQSLAGSTFDSSQLVLTARMGYQSVNETVLQELRNKHRPSVVSSMEERAKGLNDWTDTNGLASKLYNFKREPKPLVSLSDSADQLSDVGDGDANQESDLGNMDDMYGGVTVNSEIDSLPDPKDQVAWLKLELCRLLEERRSAVLRADELETALMEMVKQDNRRQLSAKVEQLEQDISELRQSLSDKDEQEQAMFQVLSRVEQELKIAEEARISAEQDAAAQRYAANVLQEKYEEAMASLAQMENRAVMAETMLEATLQYQSSQQKALSPCPSPRTSMLDASHNPSQEFQPKKKNLLGSFSLSWRDKNKEKQINLDESTTIMLSNNDDEMVEISSKDDERQMETLKPDNEHTAESPKKDGKLRAETPEKHNELPKVQIVASDMNGQHEQMQEIHLD >Et_1A_005808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14201259:14208863:1 gene:Et_1A_005808 transcript:Et_1A_005808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAMLLGETVLEIVQASQFARDIVAVADSDGITSREPKTPKPVPRAMASAAAAAEATPLRAPGRSRASVAASTPPSRGRVRSRIQFKPASPLGHHAPVVGRPSVSANRVSPKNRPWVKKTVMFPNPMFNASTSSSSAAAAACAASPSPSPNSKPDRQTAAALAAAALNPALVRETLKKVDRCMARLQELQYTVAGGTKVVSGFSLSPRSTRGYLRTSLRCKQETVRMRGGASAQKKSPNGKFGGGPGGGGGEGAQWKRMSLPAMLLGETALEIVQASQFARDIVAVADNAGVTSREPKTPKPVPRAMAVAAAAEATPLRAPRAREKQSQRGGGPRGYQASTPPSRGRVRSRIQFKPVSPLGHHAPVVGRPSVSANRVSPKNRPWVKKTVMFPNPMFDASTSSAAAAACAASPSPSKKQKRFYKTRSPVMVRQTPHKFLVKSPPSTLGSKIRSQGKAIPARPAAAVSPPQPVKAKASPAKSRRCSFSPSKLATRLVSPIKARLSLNRSRDSSVGVGVGTAPMSGLKQRPGVSLTVRTVSSKISSR >Et_4A_033004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16890245:16890695:-1 gene:Et_4A_033004 transcript:Et_4A_033004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTLLPAALIAVVAVAGLCSALAGGWSPIEDVNDPHIQELGGWAVAEHVRLDKDGLRFSKVVSAEEQVVSGMNYALILHATDRRGKNETYGAVVYEQEWTNTRKLLSFVPAN >Et_6B_048916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15442085:15444869:-1 gene:Et_6B_048916 transcript:Et_6B_048916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISLASITPVPYDSGELSSSPAAGDGGRHGLEADLGFRQVGEHDGVWTHADGAAFQGAELAVAIHSEEAFRVDSDGGGESGALQLHIDLAANAPVRHRHHVLPHPSDDRPRHNGLGDVQVRQLALLVGCISCHHKD >Et_3B_029715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27323378:27326094:-1 gene:Et_3B_029715 transcript:Et_3B_029715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIRLPSFGSSPPSPRQQSTARAARPSSSSNVVHALAVLHSHRHRSSMESLGDPREGPSTERAFEVKPVPPWTEQVTGRAVAASLLLGALLSGIMMNLVFTSGIIPTLNISAGLLGFFLLKAWTRLLGQLGVPYQPFTRQENAVVQTCVVACASMTHSGGFGSYLLAMDRRTAEKTGTADLAGPNVTEPSVGRTMAFFFLVSFVGLLAIVPMRKTMIVRHRLTFPSGSATAHLINSFHTPHGASQAKRQVTLVLRTCFASLFWSVFQWFYTGGPNCGFTSFPTFGLTAFQRGFYFNLNGTYIGVGMISPYLINISMLVGSIVSWGFFWPFIQSKKGSWYAADLQDTSLKGINGYKVFSAIAMILGDGIFQLVAISLRTIHTMRRQRIAAETIRSFSDIDAMPRQVLSFDDRRRTQVFLREHIPGTFAIGGYVVLAALSSVAVPLIFRQVRLYHVAAAYVFAPLLAFCNAYGTGVAETNFSAQYNKLVILLFASWMGLRDGGVVGSLVICGVVSSVVSTASDFMSDFKTGYLTLTSPRATLVSQVVGTALGCVVNPVVFAVFHHLYENNPKKIYQAPMAKVYRAIAVLGAGDHEPPKHCVNISIALFMLALAISAVREMAAHNRWPVQHYIPSVTGMAISFLLVPAVSIDMVDREAAQVFAPVFASGLICGDGLFSIPYALLARYDVTAPICIRFLGREQNKALDAFLEKRAAAAHR >Et_9A_063503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5076519:5078371:1 gene:Et_9A_063503 transcript:Et_9A_063503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHKKVKLAVLQFYKVDDSTGKVTRLRKECPNADCGAGTFMANHFDRHYCGKCGLTYVYNQKAPTHAHSDALDLAWFRRSREPVTAVDSPTLTSVTG >Et_5B_043969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18469176:18476101:1 gene:Et_5B_043969 transcript:Et_5B_043969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKFICNFGGVFLPRPSDGELRYVGGERHLVQINRDMSWYELTCKTTKFIRRAHMIKYHLPGEQLNMLISITSDDDLHNMIEECIALERNKERLTMYLFSDDDDQHRAHFVVSRASNAEKEAKFVALINGLTSPSKALGVQNLGNTSHSISAGPQTLSEQQEKTLPTPTFLTRMAKKECRKQVSEGDNLITSDKKMAEVQFGSSMTSESMYAAKRETHIADNVSRQQGLQRTTTIMIEMGDQARGAQDKGLQRKEMLMPLDNSNVDASSLKSNNNSLTPRTKRSTYEMSTSLVRDSQKTIYQQTSQEAIAPKKKTNKMEMQEPSHESGTVQCHDDVHLRTNMHIQEKLVAANNREKQQPALPITCTHTKNDTHSKPTNNGGEKVLSCAFTPTVEPKPNILVRASSERRLERSNSPRPDEQSLKMIKSRSVGADSNSLQIGSPSPELEDDAAPLISEIEDHETKNDEKGLPKDAMLSRGLTSNVQIIRNEDLEDLREIGSGAFGTVFYGKWKGTDVAIKRIKNSCFMVPSTQADKLITEFWREASILSKLHHPNILSFYGVVNNGPGGTLATVTEFMVDGSAKKVLLRKDKYLDWRKKIMVAMDAAIGMEYLHSKNIVHFDLKCDNLLVNVKDPSHPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSGTKVSEKIDVYSFGVVMWEILTGEDPYDGMHYGGVIGGILSNTLRPPVPGSCNPEWRRLMEQCWSTEPERRPSFTEVASRLRTILQGSH >Et_9A_061069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13959822:13960991:-1 gene:Et_9A_061069 transcript:Et_9A_061069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGGTSPAPVSLPDNDDLHGEILLRLPPLPSSLLRASLVCKRWRRLLSDPGFLRCFRAHHQERIKLWKRTAITDHVVRWVVHKTIELDKLISLRPSMEARHSTAIMGFDEDSNVIFVWTAIGVFMIQLESMKSINISKESCVRPYYPFTSFYTPGNSSSSHFTLQNSIQSF >Et_6A_046888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2250426:2255612:1 gene:Et_6A_046888 transcript:Et_6A_046888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKNNYYKEKMMRRKEEKKEELDAPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGTDLRLADAQKLSIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPDTEDDKDVKSRSTKEDKAVSFRTATAKEDGLTNDIPTTLHRSKADCPVPEEMVTVSVDGSVLDRIAKIMAYLRLGSSGKVLKKKKKERDTKGKNNLASGDYEDSVKPSQPNGSALKNPSEKAMPPPPPPRNNNSNGTEKQPVPIDRTDDDDIFVGDGVDYSVPTKEMSQSPVSEDMEESPRNNQKQSYFNEPMYGPVPPSEPTQDWQQPNGYDVVQAQMAAAGYQGDWSNYAYAEQQLAYPEQYVQQSAQEYDVLADPSVSQDPRFMTQADKDRGLGSVFKRDDDRLKQLREKDAREKDPNFVSDSYSECYPGYQEYNYEVAGSDEEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNDEKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINKILARKKGEKDGDDDGGHYDDDLPSAKKHRG >Et_1A_009393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4635649:4639673:-1 gene:Et_1A_009393 transcript:Et_1A_009393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPQARAPDGNAKPHVLVVPFPAQGHLLPLLDLVSLLAARGLAITVAVTAGNAALLDPLVAAFPSVDAVVLPFPSSPLLPAGCGENAKDLPAGHLLRPFMASLAALRAPLLAWCKAQGQGRVTAMLSDFFMGWTQPLAAELGVPRVAFSPSSAFYLAMTESLWRHVPRRRRPDDPDEAVAFPEIPGSPSFPWRHLSSLFRRHVPGDELSESIRRSFLWNQDSECVVVNSFADLEAPYLQLRQTGTGRRMLAVGPLSDAVGTANVDRGGKPAVATADVTAWLDARRDGSVVYVSFGTQYAMSPEHAACVADALARSSAAFVWAVRRGTAVPDGFEAATASRGVVIRGWAPQVQVLRHRAVGWFLTHCGWNSLLEAAAAGVAVLTWPVEADQFTDAWQVAEAGVAVPVAEGADAMPDAGKVAEVIDAAVMGKEGCSVRERAVELSRKAAAAVAEGGTSHRDLEELSVLSSLVSNSVLMLLACLPDASLEEIRDWVDQNQIRLLDV >Et_7B_054495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2205281:2208365:-1 gene:Et_7B_054495 transcript:Et_7B_054495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HGFGERNHRRGSWRYIIYTLNFRNLYKILDLELQISLVQKEKVVQLERSLQGLSEQLSFAHAECIEKEAILAKQAKVAEEAILGWEKAEAEAIALKSELDDTLDQKAALEQRICQLDEALNVAMVERESLIKDTSQMISCEQNKVLKLEENLAEKMNVIASLDAENDKLSEILSVKEKIISQLIESNRVTESNLKNVAVKLESAERSNSSLRYEVCMLQKQLEIRSEERKFSLKSADAAHRQYLENVKKITKLESECQRLRSMVRKRLPGPAAIAKMRSEVQKSHDTSNGNSPLLARLHVIEDENKAIKEALSKKDGELQFSRTMLARTTSKLSQVEAQLEELSRDRTAAELVKGSPTVVENHLSSISEVSCSKDNVSCSGSWTSALLSELEHFKKGKLTAHSSKSTRVSDMSFMDDFAEIEKLASICDNNKHMEPVDSKIEVIESSGKELVPIDCLTGTTSQIHQLKIEKAVLKLIELIEGVIQRSSKECSSKLVLSGAHEDNSQKPLSGYVARAFLWSTSELTSVLQNFVFVCNELLYGNTDIESFVHELHHTLDWIISHCFSLRDVSDMEQTIMKHLELSNNDEVEVVSLTKHIGIHTTDGINEAMATDNVEMLSISESRFTDTGPKADYGTQNISNKIQASNDQGIEKTALNMRLELNELKESGKAIANTAASASESCTHESTLHLESCSANEGFKNVAENKEKHLQMLSSVSQQLEISTASEKLIECRETILNLGKQLKALAAPKDAVLFDKVLDTAVKSEQKPRSRSLSEILSMDDPTSPKTKEIICTEPRVPRERNRSADERDGGSAASSSRPVPVMPHIKPRSAIRTCRGEADARVAALALVPSKQKGNSSLFKRILTGKRKDAMVKPK >Et_2A_015684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16935102:16937000:-1 gene:Et_2A_015684 transcript:Et_2A_015684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILDQAADEAHHGYRHQRHGKDHGGGDQQEAAAADDDDDDVLNKGVRHLCERAGGGGVTSLPPRYVLPPSQRPAPVGVGFSTAAACRLPVVDLARLRATAAADDRAAALGELDAACREYGFFQVVNHGVDVDAGGGALDVARRFFDLPFDARSRWMSSDIRAPVRYGTSFNQLNDGVLCWRDFLKLVCDDIDDGVVGSWPHDPADLREVVSAYARASQRLFRELMEAALDALLGSHDTATKAAMRAGCAAGSQMLIANCFPACPEPELTLGMPPHSDYGLLTVLLQDQVRGLEVRHDGRWLLVDPLPGALLVNVGDHLEIFSNGRYRSVLHRVRVNAERPRISVASLHSLPPEAVVAPAPELVDDGENPRRYMDTDFAAFLSYLASAEGSHKSFLDSRRIPHPQ >Et_5B_045315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1811294:1813527:-1 gene:Et_5B_045315 transcript:Et_5B_045315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTAAAVDTEAAAVRGVAWERRERRRRARWAVKSGEEEERARGMREGEAKGRCHSCGRREATEAAGFDIPGCRNGGGGGSPLRSSRSRRDDPPSATATRTASPSAATRRRIAAPSSEAITLSIASRIAAAGVTSYRCSHGRTRTPAPRYLHTSSASHGWSLCMGHARTGFPWLRLSTVEFHPQWLMNAAVAPCARISSCGAHPVTTTPAPRAVASPSSDDAAAARHASVPAASRMSARSASRSTQMNRWLLPRSAAASSRTCSCRSDDVVPNETYSTDDGGCLSSQSRHSCRARAARARALPLPSGRSTGFRGPTANSLRPSEEGIPASTSRNSPSSARQVLTTMPAPGARRRSWPIRLASATNSGVAQAPGGWKTRPWSRRRRCPGSAHPTLYEAARQCTPSDSPLGSRAASAAANAAMRSCSTTTRRWDAESSPRSAASGARAPPQKASKRGSMCVGNGDDGSGTGEAHAGISSGRKRTAARSASAPSQPCSRARASPRWGSGAAKWTGRPRDASNRDRCRSWLRWPCAGNGTVTTATGDSIAAVRGFAMGPFVGFS >Et_2B_021444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29765694:29769201:-1 gene:Et_2B_021444 transcript:Et_2B_021444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFGLNMVQMAARKENHVLMDCQAPTGRVTRAQAAANRRFGGPSIPLPTKTEQKQAAKGKAKRVISDQSTSVTGPQPKRRTVLKDVTNTSRANSIRKCTAPSKLQTRPSQKGAQVASKYRQCVKKVPKIPPPAVNGSSFVNDSKVAEDTQVNLLAPKEDPPVLLENVGSLSLQNIDRNRDSMCHEAFFEERNARDIPEPAESKTGESPAVDILDIDKDTGNPQMCASYVVEIYSNLMASELMRRPSANYMDTIQRDITKGMRAILIDWLVEVSEEYKLVPDTLYLTVYLIDRFLSRNYMERQRLQLLGITSMLVASKYEEICAPRVEEFCFITDNTYTKAEVLKMECQVLNDLGFHLSVPTTKTFLRRFLRAAQASRKPSFALGFLANYLAELTLTDYGFLRFLPSVVAASAVFLARWTLDQSDLPWNPMLEHYTSYKSAEIQTCVYALRELQHNTSNCPLNAIREKYRQQKFECVANLTSPELPQSLFS >Et_7B_055369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9769551:9773091:1 gene:Et_7B_055369 transcript:Et_7B_055369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLRAPELLGCALVVIYATFLLFKQLAPLTRRGRTTSLPCPRGLPLVGNLLQLGALPHASLAAMAEKHAAPLMLLRLGSVPPTLVVSTADAARAAFQANDASGPRACVGSATEAAALVAAVTSASGNGSPVNLSDKLVATSNMIARRVAFGDDGELTAESKAILEEAQKLFGAFFVADYVPWLGWLDTLFGTRRRLDRNFHELDAFYERVINDHLNKRAVSKEEELVDVLLQLHGDPAHRDTFGSRNRIKAILMDMLIAGTDTSAATLEWTMTELVRHPDALAKAQLEVRSVVRDRDMVRESDLPPLHYLKLVIRESLRLHPPAPLLLPRETTEPCTVQGCAILARTRVLVNAKAYRFGSRSVGGGRGARHEGDGVDLSNHKPWHDNFALVPFGIGRRSCPGAHFATAVVELLLANLLLCFDWRAPLAEVDVEEQSGLVVYRKNPLVVVAERRCFHSIYSPQIGALFGRFSKLQGQRRLDVHPHHQSATMETLQANELLVGLFLVLVTVLLIKQLRLASSKRRPSSAPSLPCLRGFPVIGNLHQLGALPHNSLAELATRLGAPLMLLRLGSVPTLVVSTSDALRAAFQPNDRAMSGRPATYAATRLSYGLQDIVFSHPEGAFWRAARRASLSAPRACAASATCGRARPPRSSRQSQTRRPKPDLP >Et_8A_057114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21197978:21201575:-1 gene:Et_8A_057114 transcript:Et_8A_057114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVSVVTGVMDFLHKKLGKIRIGDLAPGDIKEFLELEKVLRHMRDVVNDYGRTKTPDAVVREWMRQVREVAYDIEIFIDLWVHQLDLWVHQPDAKESSLSPRASNRSGFAGKIRNLRKRLERALERRKWYRLAEPSSDASASTADVARGVIIDYRLISMQRAAPVSIDNSLNELLKVLNLAEGTHTKVASIVGMAGIGKTTLAMQVYRSLQKLFDCRAFVHVGPRPSILTVLLNIIGEVSQVKKHDDMGDESEAIRRLREILHDKRYLVIIDDIWSISSWKAINCALPQNHLGSRIILTCFHDLAQSCCNNRSDSTYAVKILGVSDSIKLFHIRMFGSEHNECEKDCKDIHDSVLKICGGHPWTIISVASLLVRREPEEWKMFLETYLSALEQYTPSQRMSKILYLSYSNLPLPIKSCFLYLSVLPENHKIERDRLTWRWKSEGFIGRGFMNETGRMFAEDGHSEDDPVGCTVHGAVHDFIVSLSSEENFVTLDGELQSMPRDVIRRLSLNYKQDNTGTDFKLDLDSMNLTKARSLTVLGRAQQMPGLVNFQLLRVLDLEDAVGLDSSRLKEIGRLFNLRYLGLGGDRVTDLPEDIGNLQQLETLDIRRRYEIFLELQTD >Et_3A_024224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18678942:18679335:1 gene:Et_3A_024224 transcript:Et_3A_024224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLSRAPPCGAGGAAAQDRRDCLLLTWGKWSSRRLLIVSPEWMTARQAVEGSSGAGTSDAAAAVLDPVAPETVGSTAVVSIICSSVFQ >Et_8A_058329.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:5012554:5013486:1 gene:Et_8A_058329 transcript:Et_8A_058329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEHDDGDEEMPPMPVSSGYDAPMQPGLQGLGGGGTPKPADSGGGSFGTPRGDGGGGDGGRGGGGAGGGGGTRYRECLKNHAVGIGGHAVDGCGEFMAAGEEGSLDALRCAACGCHRNFHRKESAGSPTTDPAAALSSPAAAITAYGAAAAAAHHQFSPYYRTPAAAGYLYPHQHQQLTGGGHMQMQQRPLALPSTSHGPSEGDDMAGMIGPMVVAPMVGMSLGSGSGGGGPSGSGSGSAGKKRFRTKFTPEQKDRMLAFAERLGWRIQKHDEAAVQQFCEEVGVKRHVLKVWMHNNKHTLGRKPLLP >Et_5A_041819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3140365:3144777:1 gene:Et_5A_041819 transcript:Et_5A_041819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPWVANLPAPLPSTIWPNVLLMLLWRVWNCRNALVFNNDDQNTVASLKILLQDLDIRWGNAPPPPPDLLKKKQGPLHVYRMVVVRDAVDRNRNIIFYRKRDTCQTFTEKDPFLVLTGPTRAVVLTNPVTFEVDLKVKGATECEDKVLSLLAKPLILHDPSTFSSRVFYKDYTSTRSTLEFTFADIGRSVEATITIRITDGSWPDGFHGQFTARTDAIDHEKVILLDFGYENNASVTGDGSIMLYRRVVTVGARGKLKISVEAWQDDFVVVEEDEVDFTPNDAGLSSGLHRLRIGSCQMEVIVAWSLISSYPEPA >Et_4A_034398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32277655:32279294:1 gene:Et_4A_034398 transcript:Et_4A_034398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKIKTVVVLVQENRSFDHMLGWMKSVNPEIDGVTGEETNLVGERAVRFSDRSEYVDPDPGHSMQAIYEQVYGTAFVDASATPITPPGVAAPPMSGFAQQAEKERPGMAGTVMSGFRPDAVPVYRELVGQFAVCDRWFASNPASTQPNRLFVHSATSHGLVSNDSKILVAGLPQRTIFDSLHDAGRSFGIYYQYPPSTLFYRNLRQLKYIPCFHQFELAFKRHCQEGKLPNYVVIEQRYFDLKMLPGNDDHPSHDVAEGQRFVKEVYEALRASPQWEEMLLVITYDEHGGFYDHVPTPVVGVPSPDGIVSAAPFFFNFDRLGVRVPAIFVSPWIEPGTVLHRPDGPDPTSEFEHSSIPATVNKIFNLGSFLTKRDAWAGTFDCVLTRDTPRTDCPLTLPEPVKLRSTDAVEHTPLSEFQEELVQLAAVLNGDHTKDAYPHKLVQGMTVAEAAKYCNDAFKAFLDECDRCKKCGEDGCYIPTLKPSSTPEKKNNNTSFASKVLACLACGHGHSSST >Et_1B_013080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5337019:5359254:1 gene:Et_1B_013080 transcript:Et_1B_013080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLQSSSGHNAAAGGKTIEEMYQKKSQLEHILLRPDTYIGSVEKHTQALWVYEEGAMVNRQVSYVPGLYKIFDEILVNAADNKQRDPKMDSLRVDIDVDGCCISVYNNGDGIPVEVHQEEGVYVPEMIFGHLLTSSNYDDNVRKTTGGRNGYGAKLTNIFSTEFVIETADGRRQKKYKQVFSENMGKKSEPQITKCKQGENWTKVTFKPDLSKFNMTHLEDDVVALMRKRVVDMAGTLGKTVKVELDGQRMPIKSFLEYVKLYFRDKPENEFPRIYEQVNDRWEVSFVNRIATIRGGSHVDYVANQVATHVMNVVNKKKKQANMKAHNVKSHLWVFVNALIDNPAFDSQTKETLTTRHGSFGSKCELSAAFLKHVERSSVVSNLLSWAEYKLSKELKKTDGSKRTRISGLAKLEDANNAGGKDSENCTLILTEGDSAKALAMAGMAEVGRDNYGVFPLRGKLLNVREATHKQIMENAEIQNIKQILGLQHGKQYESTKGLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKVPNFLIEFITPIVKATKGDTVLSFYTMPEYEAWKESLGGHANGWRVKYYKGLGTSTNEEGQEYFQNINKHRKEFYWLDDQDGNDIELAFSKKRISDRKEWLRNFQPGTYLDNSERSIKYSDFINKELILFSMADLQRSIPSMVDGLKPGQRKILFCSFKRNFTKEAKVAQFSGYVSEHSAYHHGEQSLASTIVGMAQTFVGSNNINLLFPSGQFGTRNTGGKDAASARYIFTKLARITRSIFPKDDDILLNYLNEDGQKIEPTWYVPILPMVLVNGSEGIGTGWSTFVPNYNPRDIIANLRRLLNDEHTEPMHPWYRGFKGSIQRTTTKEAGVTYTITGIIEAVDNTTLRITELPIRRWTEDYKKFLDTLELNEKFIEEYSMQGDANDVYFEITLTEEKMNQAKEEGLEKKFKLTTTIGTTNMHLFDSNGKIQKYDTPEQILEEFFKLRLLFYEKRKKAIVENLELELKKLSNKVRFIKLVVDGEIEVRKKKKADLCLELQHLGFDPFPKKKKRDEPAAVGAIEDEEENEESPEDSTDIIGSEYDYLLSMSIGTLTVEKMEELIAQRKKCENDVEEMRKTPPKSLWLRDLDVLEKELDLLDQMDAKDAETRRKNREKNAKKQGGKKQAPKKQSKKPVAKSEKLESATTDTEEYAAEPAVPKPPAPRKKPAKKTIGGAGSENEGQNAKPASDTDDDDVPALKDRLAAFNIDDSSPDNSAMDTETTEGQQNAATTRKRAPAQGKVLKQKVLDEMLKPVEDSSTSVPSPEKKVRKMRDSPFHKKSGSILQRGAAAASTSSENTAESSPPSGSSIEPVIAPQPRRTARATKKATVVYDESDGSEEDDVVERPSIPSLVDGLTPDQRKILFCAFQRNLVKETGVSHFAGYVAEQSGDSHGVDSLANGIVKMAQDFVGSNNINLFCPLPSRPVWYQKSGGLTMSKDEYHRGGEDFMAPRYSLTKLSPITSSIFPKDDDGLLTYLKKDGKSIEPTWYLPIIPLVLVNGAEGTGAGVSTYIPKYNPRDIVTNLRRLLNDECIEPMHPWYRGFKGSIEQTSSKAAGVTYTVTGVIEAADSTTLRISELPIPRWTEDYIKFLEKLKVREGFIEHFIRQGDGDDVDIEVTLREDNMNRAMKQGLENRFKLTSTVRTTNMHLFDSDGEIRKYDTPEKILEEFFKLRLGFYEKRKVYEPCFVFLGPFAKSKKASLDNLELHFTMLDNKVRFIRCIGDGDIKVSNRTRLELLLELDQKNFHRFPKKSEESPEAATGLNASEYEYLFSMPISTLTAEMIVELVTERYELKNEVEKIRQTSPRSLWLRDLDVFEKELDVLDQMGAEAEEKRRMMREKKARKGAAFKAAPKKQPKKTAANCQKVENTEGHASEPVVTKRLAPQKKLANKRLSIPSVVDGLTPDQRKILFCAFKRNLIKETRVSQFAGYVVKQSACRQSKESVGDTIIKMAHAFVGSNNINHFYPSGPSGSRYQGGTDCASTDYILTKLSPITRTIFPKDDDDLLDYLHEDGQSVEPIWYVPIIPMVLVNGYKTAGVEVSTCIPNYNPREILANLRRLLNDECTEPMHPWYRRFKGSIEKTRKKVSGVMYTVTGIIEAVDSTTLRITELPIHCWTEDYKRFLDSLLMEGFIQVANYTMNSFTWEYMMQGNANDVDFVVNLSAENMRKTKQKELEKKFYLTATIGTRNVRLVGSDGKNLKFDTPEKILEDFFKLRLGFYEKRKKVLLENLESDLKKLDNKVRFIRGVVECNIIVGNRKKKDLVMELQRKCFDPLPTKLKATEPAAGKGVNASSYEYLLSMPISTLTVEKMQQLITANVKIENEVEKQRQTSPRSLWLSDLDAFEKELDLLDQMDTEATKGTFMRENNAGKRVASKAEPKRKSKKTAAKSQKVENAITDTEGNVAEPVVTRRLVPRKKPVNKVRILIFANLVMKLKMPTAKPGPQKVRAHQWSTHCAGMDIEVVQQHQKGKRGRREPSKRGAAKEASSSLAPTATQNEAAGANVAAEEQNEKRRVTGQPMTLQQSRDNLSQFGIPNWSTTTADPSNYLSSPAAAAIAK >Et_9B_066227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7794691:7795685:1 gene:Et_9B_066227 transcript:Et_9B_066227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAAFAVLLTLLCRLASHTVDAQYWWTPATATFYGGSDGSGTMGGACGYGNLYNSGYGLNNAALSSAIFNDGAMCGACYTIVCDTSKTQWCKPGTSITISATNFCPPNYALPSNNGGWCNPPRRHFDMSQPAWTSIAIYQAGIVPVNYMRVSCKKSGGIRFTINGRSYFELVTVTNVGGSGVVSQMWIKGTSTNWLAMSRNWGANWQSNAYLNGQSLSFMVKADDGRVVTANYVAPSNWYFGGTYSSWVNFY >Et_9B_066139.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:4694764:4695816:1 gene:Et_9B_066139 transcript:Et_9B_066139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTGSKCPAPALSSLPQRRRARRLLLRPRCCEDSVRVQQLRRSPPQLFPERAAAAGRYHPDPPIPRPRRIILVRHGESEGNVDETAYTRVPDPRIGLTAKGWRDAEDCGRRLRHLISSCPANSASSDDWKVYFYVSPYRRTLETLRGIGHAFDDDPRRIAGVREEPRLREQDFGNFQDREKMRVEKETRLRYGRFFYRFPNGESAADVYDRITGFRETLRADIDIGRFQPPGEEHSPDMNVVIVSHGLTLRVFLMRWYKWTVRQFEGLNNFGNGGSLVMQTGEGGRYSLLVHHSADELREFGFTDEMIQDQMWQKTAQPGDLNYTFMTNGQAFFDPHTGVRSGVNSCVI >Et_10A_001919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11109571:11110083:-1 gene:Et_10A_001919 transcript:Et_10A_001919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPEETLSKEGFAVLSGLLTSNLNKRLSVPWFHNIEPPSLMSEKKEEVATVPPPAGAQEEEGDDHTTGDAPVALCGSMSFVRSFFALHPCTLSTTASFFNSSLLLAGALFLG >Et_8B_060208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7464262:7467618:-1 gene:Et_8B_060208 transcript:Et_8B_060208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYATKPLSSFKSHPETASRPPPEGRNSGYLVVKGPDDDSGLDETCCWGTCDGSRVSATAHTYVFVPVPDQPLVSNRYYAVVATGSSRKARKGLGVLTGGRHDPVLAASAGASTTSSRSRSFDPADVYQQRGRFTARAVAADGFPYFLFRKKYWLLRPRREARGLDAALRSRELWDAGGLLGASPAPASTAAVGKWYCPSFYLVKEDGVSLREQMDRSAFYVVALEQRWEPVHVLGGGSKLGSKKAKKRSRRLGTPHGDAYVWFMAAATGQRVGLCMSVWERMRWEEYRGGWVDEEEDAGKVAGESVLVERFVLKRMDGSVSVAFDFVHLNKVRAKRMRNLSTTKGRTARHAAGAREAGRACGNSCSRCGTQETEAETSLGDAVVFVPVLETSIDLPLSSNRYHDVIDTGEHKGLVRGSGRARARRIQYSNVVLPPMRKGRGAAAVLNPAYVYETEILQRWRGRFTALPVPKEVLACVCDQTHELGPCHRASTPLSRRTSHRLLASACAVAPCDRRRTQPSVNGTAHSTSWISLVVG >Et_8A_057692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6176832:6179214:1 gene:Et_8A_057692 transcript:Et_8A_057692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVPIGFATRPWLVQATREKTLNLVDTLDRSLHELFIPEMEGKICLGCVHGGNWLIALDESTGECFLLSLTAPRRHKIQLPPLREPPEFLSTCAVLEHPGHPDCTVVVTSAAEADDRFLLHCRPGDQDWTRLVSPFDGITFSDSVVTHEGKVYVFASMGDLIVIDLVDGVVRVHQHMGTINDDEIVGRGSYHIHLVESCGDIFAIWIQELGCFGSDGVLTDIAVFRLDQSDSESMVWRMVESIGLDRAFVISGGYGFSYNTTHGPLEGNCVYLLWSCCDCERLYKFCLDDMTISFHQILPKPTHHWCRAFWSVPANMQAVESHISQTTNDLDNQVEGVQAATSPAWHDLPLGLLEMIVSNLSHRIRFPAVCKSWSLVTNPMEQAKVWPWLMHCSKQDGTCKMYDPLCGKEYTLRVATFESDTERHTFRSSKDGWIIVSGGSDDDDIFIINPLTGDLVEDSPFIDGRYNYNGISFSSTPTSLDCVFFGINSSHSGEFVGLYTWKRGEDDWKEQELEYQVPFPVARNNPILFHGKFYCFGRKGNLGVFDPRSEDPEHAWTIPDKPEPIHAEMDVLDDDHEGREFCYLVELAGELVSVFMRNSAEPPRDIDGAVLFLDTKASYSVASPEGGRGNRVYFPRFSEHEKQPAFYDMETKMYSRRSMVLNNL >Et_10B_004243.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:2039267:2039956:-1 gene:Et_10B_004243 transcript:Et_10B_004243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHRCRRVATLVATALCLVVVHEGRCAAAARPLRRPHAVEERSATAAASEAATLDVAAAAEAPEGVRWGGVGGDEALNAGKWLPMPMPMSLTFPAASALRFPPVSLFPGAAGVSMPWLPGAPPAFAGPGGGLPGLVPPYIGATRQEQLSLWASLFNPMQVRPRLPATTLGGGGGGETTGGQVDRGAPAIASGGKAVEEGETMDVPAAGAVPLGEPKWGVFLGNIDHRH >Et_2A_017692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5147211:5150387:-1 gene:Et_2A_017692 transcript:Et_2A_017692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPDSARFSLPLAAAPMSDPTPSPSPTSAAPPPNPFAAASSFLHHHLSRLASHITALRPALAAAATTRAPGPQGASLSLALAPDEVARTLTGTPVFTVCNSNNEFVLVSDPAPATGLRSLGLLCFRSEDADALLSHVRTRQPVLGRGAKVVPITLDQVYMLKAEGIAFRFLPDPLQIKNALELKSGLTAFDGVPVFQSDLLVVKKQKRRYCPIYFQKEDIERELTRVSKTSRGPALSKQIMVGSLEDVLKKMEINERNSGWDDLIFIPPGKSLNQHINEVST >Et_1B_009664.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:12552677:12553309:1 gene:Et_1B_009664 transcript:Et_1B_009664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQATGDAESQAPLLAANGGGIGRAPATTTTVVGKALSSTADLAKHLPTGAVLAFEVLSPSFTADGRCTAANRALTGCLVGSCALSCLLLSFTDSYRDPATGAVRYGFVTPSGRLLPVDGGAGASPPPRDERYRLTARDVFHGLLSFVVFLAVAMVDRNVVACFYPVESASTRQLLAAVPVAAGAAGSFLFAMFPSTRQGIGFPVGAS >Et_1A_009308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38106870:38109401:-1 gene:Et_1A_009308 transcript:Et_1A_009308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSAIALLFLLLSLLAVSHCRTPESDPSTDESGPAEQDVLLSLPSHRSKEELKAVAEPDPDREEDDDKAVKRSKKEMPSGKLIRHHHHERDGEQEEDQGTAGVKQFRHHHDDDGEEDEENKMKLFHRHHHHDDGDEEKEMKPFHHRHHEEEKTGKPFHHADADSDIDDEDDEGELEEIVRRFRKAILRRRFGHGRHHHAEEAEQQEEGGVMAWLTKLLHWSKRRSRKETISVQGNLNSSLGILLLLQQQRRFDVMRGLDWADAGGEHREARDRRVRR >Et_3A_023895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14122267:14128443:1 gene:Et_3A_023895 transcript:Et_3A_023895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQPPQARRGLTIEDLERPVEIDHNISIPYYYRIADSLLIQANAYRNDNNLVDLYAILLRYMSLLCETIPKHRDYNTFKSRQKEFLKKMPHNTEKLLSVIKELESLKPVVRQEISNINSIAELEEPNGVHGTYASSSMEQGTASPYNSKLLSGSSNGQLQKSSSGWKHQATPSDRGRPDRIIQKPYSSLPYPKEETLSRHSILGPNGLHGQWTGPVTGIRIEYPSNPDLTQCDISSLVPAILNQDGLDGPRQVLQDKNDDMQSVLSLDDGRWSLPVEKPASMSLGLDDEFSQLNIRQPSPPPVLAQVHPERGPIPPSKVADPRPGLATSDNGRFKNLHVPVALMECFLRVAEANTLKNLETCGILAGTLKKRTFYVTTLIIPKQKSTSDSCQATNEEEIFEVQDKGSLLSLGWIHVMLPEAIAIVMAPTDTTRKHGIFHLTDPCGMGVIRDCQETGFHPHEEPLDGTSIYEHCSHVYMNPNVKFEMLDLREV >Et_4B_036768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11383410:11387044:1 gene:Et_4B_036768 transcript:Et_4B_036768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWLLSLVRHRRRVYAKRCQQRIYRVVVHQRIPRRPEESAKHPRTDQSCRQQMGFRFARLAARAAAASRQGPQTAGAAAARRALAPLTSRAEPVGVPHWLVPARGHGGHSHHHGEDGGEASERIFRLGLASDVALTVGKAITGYLSGSTAIVADAAHSLSDIVLSGVALVSYKAAKAPRDKEHPYGHGKFESLGALGISSMLLVTSGGIAWHAFEVLQGVMSSSPDMISNTMHDHHAHGSGGHHHGIDLEHPILALSMTTLAICVKEGLYWITKRAGEKEGSGLMKANAWHHRADAISSVVALVGVGGSILGLPLLDPLAGLIVSGMILKAGFQTGYER >Et_3B_029055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21705553:21716008:-1 gene:Et_3B_029055 transcript:Et_3B_029055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAQGAALKSAPLAADRRARRGRTVAAPNRSAWQAYGGVSLESGGFLGGVPRTEDRVAPRAPRAMARDAEVIRPLSKLPESNIGLYDPSFERDSCGVGFVAELSGDYKRETVNDAIEMLERMAHRGACGCEKNTGDGAGILVALPHDFFREVSKDAGFELPAPGEYSVGMFFMPTDEKRREKGKAEFKKVAESLGHTILGWRLVPTDNSDLGESALETEPAIEQVFLTKSSRSNAEFEQQMYILRRLSIRSIRAALNIQSGAERDFYMCSLSSRTIVYKGQLMPSQLKGYYYADLGHENFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNKNWMTAREGLLESEKLGLSKEELSIILPIVDATSSDSGAFDNVLELLVRGGRSLPEAVMMMIPEAWQNDENMEPEKRALYEFFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVIMGSEVGVVDVPPEDVLRKGRLNPGMMLLVDFENHTVVDDEALKAQYSKAHPYGEWLKRQKIHLKDIVESVPEKDRVAPTLTQKNENKEHVGVDGILTPLKAFGYTVEALEMLLLPMAKDGVEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLLETNEKQCHRLTLKGPLISVDEMEAIKKMDYRGWRSKVLDITYPKKSGRKGLEKTLDRICAEAREAVQEGYNILVLSDRGFSTDRVAASSLLAVGAVHQRLVANHERTRIGLLVESAEPLLAKMGISTLASYKGAQIFEALGLSSEVIDKCFEGTPSRIEGATFEMLARDALRLHELAFPSRTPPPGSADSKALPNPGDYHWRKNGEVHLNDPLAMGKLQEAARVNSRAAYKEYSRRIQELNKTCNLRGMLKFKDISDKISLDEVEPASEIVKRFCTGAMSYGSISLEAHTALAIAMNKLGGKSNTGEGGEQPSRMEPLPDGSMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPRARVSVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAVLQTDGQLKTGRDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHTNTCPVGIATQDPVLRAKFAGEPEHVINFFFMLAEELREIMANLGFRTIKEMVGRSDMLEVDPEVVKSNEKLENIDLSLILKPAAEIRPGVAQYCVEKQDHSLDMALDNKLIALSRTAIEKQIRVFIESPIQNINRAVGTMLSHEVTKRYHMNGLPAGTIHVKFTGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRNSSFNPEDNIVIGNVALYGATKGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVYDVDGKFSSHCNHELVDLYHVEEEDDIITLKMMIEQHRRNTESVLAKNILSDFDNLLPKFVKVFPRDYKRVLESMKAEKAAAKHVKDPKMTNGISVTTKSISYRDPNERVKDWKEVAIESTPGPLLNTQSARCMDCGTPFCHQESSGAGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKGFEEGWMVPQEGVTFVVNANVGNDPLYSIERLRSENDAVILACGATKPRDLSIPGRELSGVHFAMEFLHANTKSLLDSNLEDGKYISAKGKKVVVIGGGDTGTDCIGTSIRHGCSSIVNLELLSKPPSKRAAENPWPQWPRIFRVDYGHQEAATKFGNDPRTYEVLTKRFIGDENGKLKALEVVRVKWEKVDGRFQFKEIEGSEEIIEADLVLLAMGFLGPEATIAEKLGLEKDNRSNFKAQFGNFATSVDGVFAAGDCRRGQSLVVWAITEGRQAAAAVDNYLSRNDHNAAEDITPSGAGLVQPVAA >Et_4A_034217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30933533:30935067:-1 gene:Et_4A_034217 transcript:Et_4A_034217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVTSLSMARHDDPALPVPSTAAFFSSPALALISSPSLSVMSRRMAASAELAAQLEAHLPLVLHVPGVPHLIAEAGASQHRHPLRDALHRRVPAVVRPEAAHGAVAQHLLLRRPRHHRPAPARRRLELLRQQLVVVGDQARPDHPEERHAAAGDAPGRLDKVSLGHASQAAEAHVEHRRRRLGVQPFQAAPVLAQQAALGRRGEHVERPDVEHRDGKLPPDASELLPFERVERVEYEPRGGLHGGQLHGVGEVLLHQDVAVAAVENYQVTHSVRRQLAHRHGHRIIGHALHGEVSFLAEHRARKAVKHAALPVRSVSVGTPSCFATSTIDSRCTSAMRQATSSSPEYSSRMPLRRSRNAASHARLSARMRATTSLTATGAGPDTPSGTGTKRYSGWRDASGALKWVWKTVTAKPRAWRMPASRNMGVMWPWYGSGNRSACRWRPAAVLDGAGGGGGMAFFAECRSARSATFLSVE >Et_4B_036866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12113342:12116028:-1 gene:Et_4B_036866 transcript:Et_4B_036866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGDYYGYVSLLGFHPYKEVVFLDASLRRGVAYHWNTSKFQDLGNTYPNDYCEIAGHVASIDASFPDGSEMVNSVGDLPDDVLASVLRRLPPRSLAACRCVGRCWRDAVDARRLLRADLLPRAVGGIFIEYCLLYRPEFLRRPFAATAAGGAPPPPRPAGARPLRRPPALRVLRGRALRRQPRDAAVRAAAALSGVGAPPPRTGESSDHALCLVYDPAASSPQHYEVFAVPLVPADGKHLDAETLRSEWPPSSCTMPVFSSETGRWQERPFAREGGAAGTVAYLSSSGRADVPQRAYWRGALYVHCCYNVNFIFSSHCGQNANRYLGRSEKGVYCAESNYNFHGLSIWFLDESNGHMEWVLKHHASPFTFARRLHACVEYGGQQPCDGPWVLDDINYYYRWQRRETDDTLDPACKEDLEWSSDDDSAPDDTDDMIEERYIRNTNFLGFHPYREIFFLDVSLRRAVAYHWNTSKVENLGNISPKEYDMEAGTWAAIEMSFVYTPCRLEKFPGTNL >Et_10A_001086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2158949:2161339:1 gene:Et_10A_001086 transcript:Et_10A_001086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVVQSVSGDHRAEDQHQQNKQKQAEPEDQQEASVTSSGSQTMVGTPSADYVAAYAPHDMAHAMGQFAYPNVDPYYGSLYAAYGGQPMMHPPLVGMHPTGLPLPTDAIEEPVYVNAKQYNAILRRRQSRAKAESERKLIKGRKPYLHESRHQHALKRARGAGGRFLNSKSDDKDENSDSSHKEKQNGVVDRNNGQPSSPMSPNGATSANQADNRD >Et_5A_042650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24043015:24061235:-1 gene:Et_5A_042650 transcript:Et_5A_042650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAAGGTTPSTHPALRHCVALLRLHLASPSLSAAKQLHARALRAGVPPAHPLLAKHLLFHLASLRSSSSSPPPLRYAVAVLSRLIPNPDPFPLNTVLRIAASSHRPRLALALHARRLAPPDTHTYPPLLQACARLLSLRDGELLHAEATKNGLAKLVYVKNSLVHLYGACGLFESAHRVFDEIPVLNRNLVSWNSVLNGFAANGRPNEVLTVFREMMDVEFAPDGFTMVSVLTACAEMGALALGRRVHVYLSKVGLVGNSHAGNALIDLYAKCGGVEDARKVFEEMGMERTVVSWTSLIVGLAVNGFGMEALELFSVMEREKLVPTEITMVGVLYACSHCGLVDDGFKYFDRMKKEYGITPRIEHLGCMVDLLGRAGKVKEAYDYINTMPLEPNAVVWRTLLGACTMHKKLELGEIAWSRLVELDPGHSGDYVLLSNLYAAVGRWADVHVLRKTMIKDGVRKNPGRSLVELRNSVYEFVMGDRSHPDSEQIYQMLAEMAERLRREGYIPHTSNVLADIEEEEKVTALNYHSERLAIAFALLKSLPGTPIRIVKNLRGNPFIRLQYLKSSAAGARPLLKAESLTPIPTLSPPEGNMTFIDGMTWCVARPGATQEDLQNALDWACGPGGADCTPLQPGGRCYQPDTLLTHASYAFNIFYQQNGNSDIACNFGGTGAIVKRDPSMYQSDLDFCCLIPEFGTDGLGKHRIVGRTLAGSSPRYELSGYNSRDEHDKSNAYFKAVGRLIVLQRKAVRNSPRPNKRYHHLIMASNA >Et_9A_063282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18776423:18781190:-1 gene:Et_9A_063282 transcript:Et_9A_063282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDCGNVNWGGHNFVKEFIHPFALKDPRPTKPVPLLRGVLGRSLRDGSDTTLQPVPTISTSAATALVAAPSLGLVVRSGSSPLPPLPTSFTADLLVVPAPLVAVSPGPLFEPVVLAGPNLQDSTDVISVSAENDPHDGKRKCEALWPIFRISHQKSRYIYDLYYRKKEISKELYEFCLDQGYADRNLIAKWKKVMNAFVASAAYKHETTTLQPPVSAGSPST >Et_2A_016292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2320560:2332675:1 gene:Et_2A_016292 transcript:Et_2A_016292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKPEGGDADGAAAAAEVGSPRSGYFRQRSMHAAAPDPEASRRPFDVENPPGSASAASGLRPSESVTKLESLERAERAALSPAVVLKTGFYILVWYAFSTCLTLYNKELLGDKLGKFPAPLLMNTVHFALQAGLSKVILFFQSKGPDNAAVEMGWKDYFMRVVPTALGTALDINLSNASLVFISVTFATMCKSASPIFLLLFAFAFRLESASVKLLGIIVVISTGVLLTVAKETEFDFWGFIFVTLAAVMSGFRWSMTQILLQVRLKNPITLMSHVTPVMAIATLVLSLLLDPWSDFQKNAYFDDPRHIMRSCLLMLIGGSLAFFMVLTEYILVSATSAITVTIAGVVKEAVTILVAVFYFHDEFTWLKGAGLITIMVGVSLFNWYKYEKCKRGQTNEDDVNSPPFNGDAKYIILDDLEYQDEEDTCFSNEHGNPMNHSYVSCDSL >Et_7A_050275.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:18669665:18670576:-1 gene:Et_7A_050275 transcript:Et_7A_050275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGHHAARETGVPGVALWTASCCGFMGYRNYRRLLELGLVPFKDAAQLADDEHGHLAAVVRGVRGMCDGVQLRDFPSFVRTTDRDDVMFNFLMRESERLSLHDAVVVNSLDDLDGATLDTMRDILPPLFTVGPLLLLERRLVPESPLGSSLWKVQPGILEWLDGRAPRSVVYVNYGSNTVMTNEQLLEFAWGLANTGYPFLWNVRPDLVKDSDSGGGLPPEFMAAVDGRSLLTTWCPQEAVLAHEAVGVFLTHSGWNSTLEAICGGVPMLCWPFFAEQQTNSRYARTEWGVAMEIGSKVRRS >Et_2B_022835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6335581:6337418:1 gene:Et_2B_022835 transcript:Et_2B_022835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFAVAVVAIAVLAHAAIATGAASAPATPPAPTTTKAPVIYIFGDSMSDVGNNNYLLLSIAKCNYPWYGIDYKSGWPTGRFTNGRTIGDIMAAKFGVPPPPPFLSLYMTDDEVLSGVNFASGGAGLLNETGIYFVSYKCDVVVSVHLALKNAWPFHQVDGFTDSQIQYLSFDNQISSFEQIKDAMVAKIGKKAAEETINGAIFQIGLGSIAVDGCEPDTTGAASFAGSNDYVNNFLRPFMADGILYTHDEFISLLMDTIDRQLTRLYNLGARHIWFSGLAPLGCIPSLRVLSDSGKGCLEEVNEYAIEFNAAATELLEGLNAKLPGARMVLADTYSIVMDLIDHPYKYGNHKHARHCHHVHDSMLEQNTSGDVCSMVACAGFKTSHTSCCHVDTTVGGLCLPTAQLCDDRKDFVFWDAYHTSDAANQIIADRLFEDMVGSGAVVPGNGTAAPRVADVPPKPARAAPRVVTKPKPKPKRAVPRVVTAPKPTHAVPRVVAGSMPTHADPRVVTAPKPTRAIPRVVTAPKPTHAAAPHKP >Et_4A_034478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3638145:3641260:1 gene:Et_4A_034478 transcript:Et_4A_034478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVVASTVPALRPLVRRTALLNPKPLLRSRLPPQPFRALLSSPPSAGIADDAVDVEEEHLTRCAAASRAPLRVAVLVSGGVDSSVALRLLHAAGHRCTAFYLKIWFQEDFRNFWSECPWDEDLKYAQAVCDKIDVPLEVVHLSNEYWNHVVSHIINEYRCGRTPNPDVLCNTRIKFGAFLEAIENLGFDYIASGHYAHVTHPSSENTEDPSVLQLSKDKVKDQTYFLSHLSQSQLRRLLFPLGCVTKDEVRKLAAQMGLPNQGRKDSQGICFLGKVKFSEFVERHIGEMEGVILEAESGDYLGKHRGFWFYTIGQRQGLRLPGGPWYVVEKDVQNNVVFVSRNYYSLDKRRRMFRVGSLNWFKNFGPANNEQLKCKVRHSPEFHDCSVKKEHNGENGDVLVVHLSEDDQGLAAGQFAAFYRENECLGSGIILDSWDEMSFPVCSRALELARLEDKSTLGKPVRIMNLEHIVKSEQEATKVA >Et_6B_048653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12161871:12164168:1 gene:Et_6B_048653 transcript:Et_6B_048653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQNEYINARYMGPAERALFIAGGGEVDKDKDEGEVVGEVEGKGEDCDKVDMDADIGGKVREPKQSENIGMPVRPLQSELHLQPGSAWETIQGAKLLTSQGNDQASLITWHPMVMKTNDPEVFATKKARNEHLPQDNILAEAIGTSDSSHQTNIYPDITTQKSSFQFPFVKTASLWSHIEELEDKYKMIQQRLHFLRLQQEHPLKRELFF >Et_2A_018326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23066599:23070034:1 gene:Et_2A_018326 transcript:Et_2A_018326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVAPRVAGAARRLSPSPKTAGGGREKDLSPASREGDAAADKEKAKKRLPAAGVPSLLLRRPELLLRRGVGVGGGGRTGPAHHHHRPPSSLTASFASEASTDSFCSRASTGRIGRAAGPPGVRRRSAGPPAGRPTSTTARKAAKDGPGVAPPAAVLIPAVGSLNGDAASPAGAARRLFEMLVLSGALAEMSWPVILSKRNSFREVFMDFDPPLVAKLNEKKILGPSSPASSLLSEHRLRIIIENARELLKVIDEFGSFDRYCWSFVSNKPLIGRFRHIREVPLRTAKADAISQDLMRRGFRGVGPTVVYAFMQAVGMANDHLVTCYRFDECCTDAGAVCDGGHGDKPPAVAVSEQEVSMVCGLVQCVGLEPARAATVISIS >Et_6A_048039.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4101859:4102143:1 gene:Et_6A_048039 transcript:Et_6A_048039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTNLNCGSRCGISAWVLLYYYYGQLKADGPCSAAKPETRTTTGHPIRVFLRIKLPPEASCLCFYFPDGAAMKTYSATAVMAAHGDSVLFQM >Et_2B_022280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16779881:16782014:-1 gene:Et_2B_022280 transcript:Et_2B_022280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHMAASSLLLRPLLPPFTGACAARAPRPPPRRLVVASRPVARAPHLRDAQTCPAAHAARSEIAVRCASVDNDAGAGGEEEEEVDPYARLWPWGDYFPEFAEESFQEVQERFSRESKDARAALKDEVAGFFRPLLDCFDHLRSLKTGFDTEDYHVGMPFGALVACVGCYRLCKMNPSMFLDAALGYAFYRLSVVSLKLRRQGLSNDLFTRALVVAAAAVALLQLLKLAVLPPGPWELPVIGGMHHLANVLPCRTARCATWLPSTAR >Et_2A_018632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3738017:3739930:-1 gene:Et_2A_018632 transcript:Et_2A_018632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAAAARRFSRRLRLPLLRRFTTSSSDSPPSPDPEPPAACSPVRAPPEEQFAAWVTRLRPGFTASDLAAAISTETDPDLALALFRWAALRPGFRHSPDSYLAAVTAASSGRRPAAAEALVQDVFAGACPPDLRLFNACLRFCCARRSLFPLAFDMFNKMRALPASAGCRPDVETYTLLFTAVVRRVRRPPASMVYLHAVRSLSRQMKASGVVPDTFLLNLIIKAYARCLEIDDALKVFREMPLYGCEPNEFTYGYIVKAMFQKGRTDKGLVYFAEAREKGFVPSGGVYMIGVSALALEWRFEESRRVLLDMLDCKRKPDMITYRTLLEEMCRAGRTEEAFEMLEELKERKRGALDQRMYSKLLDGLHWISQPHRDNRSPHYKGGNQDHLRLIMLVIEA >Et_3A_026825.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:22241563:22242396:-1 gene:Et_3A_026825 transcript:Et_3A_026825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEDRGVVGQSHDPAESSPEECPAVEDEDDGFSFPVPPLPVDAGIVPVYPIFGRPPSPTSPAGDGEDEPETATLRVPLGRLLLEEREFRARQQEGRSVSARHRQQEEDDEDDDDGEASAGAGEELEGVPPESYCLWAPGGGGSSAPASPRRCRKSGSTGSVLRWRRISDRLVGRSHSDGKEKFVFLNAPGALHHPAPPTTPSNKGHEEEEVVVVAGGGGIKGDAAGGAGRSLRYYSRGGGAGGGGSGRRRSYLPYKQELVGLFANVSGLRRSYHPF >Et_6A_047531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:684790:687760:-1 gene:Et_6A_047531 transcript:Et_6A_047531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVEKYEKLEKVGEGTYGKVYKAQDKVTGQLVALKKTRLEMDEEGIPPTALREISLLNLLSHSLYVVRLLAVEQATKNGKPVLYLVFEFLDTDLKKFLDPYRRGPNAGPLPTQLVKNFLFQLCKGVAHCHGHGVLHRDLKPQNLLVDKEKGILKIADLGLGRAFTVPMKSYTHEIVTLWYRAPEVLLGATHYSTGVDIWSVGCIFAEMVRRQALFPGDSELQQLLHIFRLMGTPTEEQWPGVSALRDWHEFPQWKPQSLARAVPTLEPEGIDLLSKMLQLDPANRISAKAAMEHPYFNSLDKSQF >Et_8A_058277.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4035626:4038628:-1 gene:Et_8A_058277 transcript:Et_8A_058277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQKAPSFLDVPKDTPIATKSLTIRTSAVGCGSSSDRSNPISPALSLTPHLYSPSPPSSAFVSALQSPYISPRVLEPPLPPAAQPQPRQDSKAAGFSATTTAAPSPTSCSNGSHSEDIDAPSASRTPPSERYDSGIDAAKISDGGGVGPLPPRVSFSFPVPRVSFTRGSVASPSSNAKLRSCDVYIGYHGNGGLGRFCKWLKSELELQGIASFVADRAKYSDTQSHEIADRIICSVAFGVVVVTMSSFLNPFSLEEIRFFAQKKNLVPILFDTEPSEIAGLFDGKLEDKEGKEAFEGLMRCHEFKLEANESNSRSCVARTVTLLRSKLGRKNIAEKENEASEGLPFPRNRHFVGREKELSEIEGLFFGSTVDIQEVDCPRGSITNDRSSGVSDGYGDEESDSARTSNARYISLEMRKCKEPTLEAWIDPVIKLSSGKGRGLQKQRSKHRRSRFRCNSKSYGSANVICINGSSGIGKTELALEFAYRYSQRYKMVLWIGGEARYLRQNILNLSMNLGLDISAEAEKERGRIRSFEEQEFDTFQRVKRELFHDVPYLLVIDNLESERDWWEGKDLHDFIPRNTGATHVIVTTRLPRVMNLEPMQLPQLSYIDAMALIQGKRKKDYPPEETEVLRKFDERLGRLSFGLWVVGSLLSELMIAPSTLFEAVERISLSDNLFPIGANDDGFCRNNSFLIKVLVFCFALMDRAKGGSLTSRMVIAGSWLAPAPVSSTLLAATASKLPMKGSGMHMFGESLKTAFLCGTHCFLAPNGRKAEVESSLLLVNLGLARKANRHPGCWIQFHPITQLFGKIRGGLAPTTAAVNGVMRAGNPSVYSDHLWASAFLVFGFKSEPPAVQLKAVDMVFFIKKTALPLAIDSFMTFSRCGSALELLKVCTNVLEEVEKSYASRMQDWNRGSLCWRKKLQPNHRVDEFVWQEVTLLKATLLETRAKLLLRGGLFDTGEELCRTCISIRTVMLGHGHAQTLAAQETLAKLVRYRSKV >Et_1B_011918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27140031:27142832:-1 gene:Et_1B_011918 transcript:Et_1B_011918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HINMDLPENKLREYIHDNGKPMWTAHSNHNLRYFEEEDIRTITKNYSTVIGKGAFGEVYRGILDDNSLVAVKRYINNVKENFAKEVIVHCQVNHRNVVRLIGYCIGENALMMLLSTDETLHATYVIGSIGYMDPLFAQSGRLTSKSDVYSFGVVLLELITRRKALEDGKISLTENFIKAQGKPKKIREFFDEKIADDSNLRILEGIGKLAAKCLQMDTDKRPEMKDVAEQLRILRKEQYHAQEKIALFDWVLRRKPAAQNIISVDKMGVSLINRSFGPLQKTELDQLLRASPETLGSGKYGITYKAMLENGSILTVKRAKGMVVPETVFKKHIKAIGAIEHELILPLRGEFNNVKPVSWETRSAIALFIARAVAYIHSTNSTASHGSIKSSNILLTESYEARVSEHGINTLVCSTPASKFDYCTAPEVESLQNVSQKADVYSFGVLLLELLTSKSPVPIGESMEGTDLVRMISQVVRVDEVLDQELFADDIVVEEMIEFFHLATNCCNQHPNMRPVMSSKVVPWIEEIRASSAWRREDMW >Et_4A_035149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:179487:180415:1 gene:Et_4A_035149 transcript:Et_4A_035149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEWEAAAMGMDLGMGIRHNTTMPPPPQQPLMGHFYGGGGPVDAMLDFFPMPGGATSSSSNSTPSSGSCFNLSFAGDDEFSIPVPREEAAELEWLSNFVDDSYPDMPPSYPPEVQAAIAAAAVAQQQQQQRPNNSNNCSVACTGARTKRSRQNRPGDKDKDKEKASSSDSNNNNNGQAVRRCTHCASERTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTQHSNSHRKVMELRRQKDIRTSSPAAAASFRDYATLC >Et_5A_042799.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4645881:4646480:1 gene:Et_5A_042799 transcript:Et_5A_042799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLSLLHRGLHLPRLPLRRRDGFTTHVVRARLSSPEPGSQRQAAGRLAVARPHESIEAAAATEAAETQLLLQLQEPAASAAEDSSNGMTCALPTWALIGGITAGVAAALALSAAGPAHALGPEGPLVEEFWDNMRRYALYALTVSTGVAYTVLQPIVELLKNPITALLIIAFLAGTGFFVSQVLNAMVGNSEFIYRYE >Et_5B_044589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3945488:3947910:1 gene:Et_5B_044589 transcript:Et_5B_044589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFAVNSEAGLKKLDEYLLTRSYITGYQASKDDLAVYSSFSTAPSSKYTNVARWFSHIDALVRLSGITEEGQGVKVESSAVAQASTPDVADAKAPAADDDDDDDVDLFGEETEEEKAAAEARAAAVKASGKKKESGKSSVLLDVKPWDDETDMAKLEEAVRNVKMDGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDTLIEDHLCEEPVNEYVQSCDIVAFNKICSDGVCIAITSSFVFHIPGHNAAASGPGDVVGH >Et_6B_048298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10679925:10680833:1 gene:Et_6B_048298 transcript:Et_6B_048298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPGRRALAAGGCALLLAAFYLVATLVTSPTPFPFLLPPLALPCLPAVDGAASGSGYAPPGVAALADAAVSYAVAETVPQQSLAEISLSLAVLRRRAPLRLLVFGLGRDSALWHALNPGGATVFLEEDPAWYRAVRAQSPHLRAHLVAYRTRLDAADRLLAGYRRQPACLPSGDNGGAGDVKPEVYENEWDMIMLDAPKGYFPSAPGRMAAIWTAAAMARARTGEGDTDVFLHDVNRRVERVYAEEFLCERFRGGGTGRLWHFSIPPVSRRGNDTAAANDGRRPFC >Et_4A_032351.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31325665:31326210:1 gene:Et_4A_032351 transcript:Et_4A_032351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPLRCLAVLLALSVSLRVAAAVTVQEACQQHTRYPELCVKALSEAKPSVTGGLPELAEIAVALAEESNTAMVAFVKSLTSQPGGMPPECLEKCVGQFQAAVAELKRSKVALEQFGENATGVKNWVEAAKTDGDTCMKGCHKVEGGADPDIDSKIEDLGKLCSIALSLTEASVRNRTA >Et_7A_051707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23372526:23375408:-1 gene:Et_7A_051707 transcript:Et_7A_051707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVLSYIHHAIPDPPVSVDGDLCILFDADGGGIDRLSGLPDALLRNIVLRLPVKDAARTTALSRRWRPIWYSAPLILVDSHLLPAGDDKIPKHVERDDSTAVAAAVSGILDAHPGPIRCAHLACCYMDEFRGQGARWLQHLAVKGVQELFLINRPWPLDLRRHMPATIFSMATLTRLYLGFWRFPDTFGLPRGAAFPYLRELGLCCVIIDDRDIDYIFARSPVLDILCFEGHLFTSLKLRLVSQSLRCLQLHGCKLESITVVDAPRLERIILWNNMESKARIKIGSNAPALRFFGYFELGKDVLQIGRTSIKAGIPVNPSSMVSSLETLALPVQFGVRNDVKLLPSFLRCFPNLNTLHILCKKTTESTGRLNLKFWQESGAIECVQSHITMLAFHDFRGERSELAFLKFFIENAQMLKTLVLQFAHGYISSEPEAKWYVNALFAGKRGAASCKVMVCQNRLEEGGDFWDFNRGFDYSDPFALFRCQGHSLFTNAGLVIVLAKGWFSSMLFSGDM >Et_4A_031848.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22592997:22594053:1 gene:Et_4A_031848 transcript:Et_4A_031848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASHRHAAFLLVLLLCLLSNAPLAARAGVDAEQKLSPAGYNKKPVVKPPYVVPGTGANKPGGKAPPMYPTPGANKPGSNKAPPVYPAPGTGTNKPGGKAPPVYPNPGSGGAIPTIPGFTIPGMGGIGGAIPGLGGGWGGGVGGPAGGYARGGVVAPTMVCAEKGPCRGKRVTCPKKCFSSFSGAGKGYGGGGGGGSCNFDCKVKCTAYC >Et_9A_063452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2753366:2759688:-1 gene:Et_9A_063452 transcript:Et_9A_063452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKGSRKGKKAWRANISTDDIDDFFEKQTRDAHAGAATIPTLPSDSLFFVDKPAASASTSASGTSTKDIPVKRKIEKKREKVLYHESMLKRNPFVQPVPSSVASKKDKKKAMKKDEDSPVPSSVARKKDKKAKKKGKKKLQETHEQNIVPMDDDSAEKNLNISGGDGKGDSKGVKDDESVEKNLDIWGGDGKGDIKAKKRSIKTTSVIPAVEVEPPGCSFNPPVEAHQDSLAQAVADEMRKIYAKELGPKPVPLIVTGEAITEEDKFFLDADDEDEDVEEGEGDQDADVLAGQRKNKTKRVTRVELNKRARRKERLRAEEEAKKKESLSKEIDSLPNIIDEIAKEDVEKEKRRIRRTVIKEERLKSGPPRLGRHKFEPAPVQVLLSEEISGSLRKLKGCCNLARDRYKSIEKRGILAPTKRIRAMFGMHVRQFFDGT >Et_3A_025884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33617228:33623711:-1 gene:Et_3A_025884 transcript:Et_3A_025884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQPPPPPQHPPPQSGGGGGEFYRGPPMRQLSAASSTNLPADYAAHPGPPQQHQHQPPYDAYGDNFGAKRMRKPVQRRTVDYTSSVVRYVQACMWQRDARDRVTLQPTPAAVLDMLPSVAYPDNPSTSFAAKFVHSSINKNRCSINRVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQPVRSMVWSNNENWMVTGDDGGAIKYWQSNMNNVKVNKTAHRESVRDLRTDLKFCSCSDDRTVKVWDFARCQEEKSLTGHGWDVKTVDWHPTKSLLVSGGKDYLVKLWDAKSGRELRSFHGHKNIVQCVKWNQNGNWILTASKDQIIKLYDIRSMKELQSFRGHTKDVTALAWHPFHEEYFVSGSFDGAIFHWLVGHEAPHIEINNAHDSSVWDLSWHPVGYLLCSGGNDHATKFWCRNRPGDLTRDRYNSGQTQGYGDQQSTFGARAVGGFQAESPITLGIPGVGAAMPLAGQSLDGSDQGEQRPQIPGLPPGPPPLPPGPHPSLLAAGQQQQYQQMPPQQHHQFPQQLNSRPPAPNMPQLQPPAHMLPHPQGSRPPLAQLPAMGGPSMPSPVNPPLPPMPHPTAMQGSQNQMMSQIPQHMMGRNQMHQGPVPPGNIPPMGGFPNGMGNIQGAPGSSGMQNFPMGGIYNRPQGQMSSIPPGLSSYQGMGNVGLTPPLPPSQHPPPRGSTPQ >Et_5B_043974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18439734:18445738:-1 gene:Et_5B_043974 transcript:Et_5B_043974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPGFFKWVVTKYPGVISPAKEEPAAGHGGSKGTPDGIVYDNLYLDMNLIIHSCFHPQDQMHAPTHVRAPTTLDEVFESIFAYMDCLVRVVRPRRLLYLAVDGVAPCAKMNLIRKGRLHGARMQIATEVEENRLAEESRAQGKEVPPRETSSEVSDANVITPGSEFMEKLSQALEYYVRSRLNSDPGWQHMAIGITPSALDALRSRCLIYNTPDTKVILSDANVPGEGEHKIMSFIRAQRSVEGYDPNTRHCLFGHDADLIMLALASHEVHFSILREDALLPYKAENNAGLTEANLKKPYLVCQPQTSTPHTHTHTHTTDNCSCSHFNYVKNSMVFQFLNIWVLREYLEIELKILDPVCEPDIERLIDDFIFICFLLGNDFIPKIPSLEVHEYAVDLLIEVYKTTFNKMGGYIVITDKIKDKHAAYLDVSRLEIFFHELSMYEEKIFLKRYGMEQEFLKQIYLEMLDEASDSERLRMKRVLDDLLFNEDRPYDTIRRGLPGWKSRFYREYFGIETSNEIGKLKNDMAQKYLEGLCWVLQCYFADVPSWSCRSPLPKCYRKLMARELSKTQPFCPNLQTDMNGRRFFWNVISEELLLSATKEADRELSVHEMKRNTTRQETIFLKRDSNALGHNEVFVPTPYSPPQKVQIDSAMSGIGGWLSPHGDNDDGLISGFFGSPIKDLHDSTNDQAVSATFFNPEAMNPIPGLLKNARVPEKTVTGADISKRPLWHTYPGSRPPRLKPDTLWKPSTPVTPREEHKHAGIGWLGRGRGNAAEAGRSSSSSSHRRGGAPWIGDGGGGGGEGGAGHGGGSAQPRGW >Et_3B_029760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27765823:27771400:1 gene:Et_3B_029760 transcript:Et_3B_029760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMAEAVQEGCVENRQPLAASSSSVSDGSSCGGGGRAGMSPPVSSSANSISGLRRTSGPIRRAKGGWTPEEDDTLRKAVEAFKGRNWKKIAEYFPDRTEVQCLHRWQKVLNPELIKGPWTQEEDDKIIDLVKKYGPTKWSVIARSLPGRIGKQCRERWHNHLNPDIRKDAWTPEEERALINAHRVYGNKWAEIAKVLPGRTDNSIKNHWNSSLRKKLDVYSPTNVLAVPKLFGRDDFKDKMKPVATENHLDLNIMPRVGSKDFPGIAHLQGRESDSVRDKGLEFDSFHEKGIEVSSTPGPVGEVCTIQLESARAGSGLESSLKNELHSTLGPLCYKTLDMKDVDSVSSPPRSEHHSAHQITHEGLMSPNGFTSPSPTGLTVDSILKNAADSFPGTPSILRRRKRDKSTPASDSELKIGGGTTDSFFTPNGKGTTTDTPRSFKTASFLSLAPLDGLLTSVRSCDTSPPYQIRSKRMAAMKTVSHHLDFSVDGLDTSGSEILNSPCDKSQGANSITEALRLQAKELNEHVTQLETLTKDVAHTTDLDELISILRSMMGHKCLPN >Et_1B_010866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14899845:14901964:1 gene:Et_1B_010866 transcript:Et_1B_010866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GADSFFRSVMSNMEKVYLSRNPTAKTILELVRSYDGDHIFYDHFAFRTFGVDGYGINSLAEFFTDFGYVPREELRFPAKKLRALWFSPPTNDCYTGTGIYGPLPRIFISELLVNELTAQSQEIIHKYIKTSGKGNKHAAHASTSGELTWEKPMYSDFLVLSRESEYAAWTLVNGYALNHATIATHRLESDIKSINKFNKFVGDNGFKLNSEGGILKVSPDGLLQQSSTVADSGLFTFADGVTEYIPRCYIEFAERLLLPQFKDLQDEEVKEHHRRDGFEVGNADKIFESTSKEQLTRRYA >Et_1B_012047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28398319:28415336:-1 gene:Et_1B_012047 transcript:Et_1B_012047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGADEGAVLSEVKKQLRLAVPLAVACLLQKIILTISLMFVGHLGELALAGASLATSFAGATGFYLMMGMSSCLDTLCGQAFGAEQHRLVGVYKQRAMLVFALVSIPVSVIWALAGEILVLSRQDPEIAAGAGSYVRWMIPALFLFGQLQCYVRFLQAQNLVVPVMLSSGITAALHVAVCWLLVRRLGLGASGASLAIVVSNFFNLSVMALYVRLSPSCKKTWQGFSREAFRGILGFMKLAVPSAVMMCAGRVLLSGLLPNPKLETAVLSICFNTYVLAFMVPTGLGFAVSIRVSNELGAGRPQAARLATRVVKLLSFSMGLFLALVMVLSRKRLGYVYSNVEEVALYFSKMIPILAVCFLFDSIECVLSGVVRGCGRQNIGAFINLAAYYLVGMPAASIFAFVFHLRGKGLWFGIFCGVAVQMLLLLSITLCTNWNKEASKAKDRVFCSTSPDDMKTSGAQQANGCCSDGNETQGTTEETNYCVDPSYGMEAPLLAAKGSEKQHGGDEEISSARSEVTKQLYLAGPLVAGYLLVNVLQVISLMFFGRLGKLEFAGASVATAFANVTGFSVLAGMVTSLETLCGQAFGAGQHHQVGVYKQRAMLVLAVVCVPVAALWTYTGEILTWSVLSEVKKQLSLAVPLVVGCLLQKIILTISIMFAGHLGELALASASLATSFATASGFYLMTGMSLSLDTLCGQAFGAEQHRLVGVYKQGAMLVLSLVSIPMAVVWAYTGEILLWFRQDPEIVAGAGSYVRWMIPSLFLFGQLQCYVRFLQAQNIVVPVMLSSGITVGVHVAVCWLLVRRLGLGVNGAALAIVVSYFFNVSCLALYVRFAPSCKKTWTGFSREAFRGMPAFLKLAVPSTLMLCLEGWAFELLVLFSGLLPNPKLETAVLSICLNIDLLAFMVPMGLGFAVSIRVSNELGAGRPQAARLATRVVMLLAFSVSLVEAFVLVLSRKRLGYVYTNVEEVALYSSKIMPILAACFFVDSMQCVLSGVVRGCGRQKIGAFINLASYYFVGIPAASIFAFVCHLRGKGLWFGIFCGAAVQMLLLLSITLCTNWNKQVSIRLKLQRVATKAKDRVFCSTSPADMKTSGTQQANGCDSVRNEAQRTTEETNSSVDPSEGMDAPLLLAATAAATEKKKKHAGDEDSSVWSEVKKQRRLAGPLVAGHLLVNIVDMVAIVFVGHIGKLELAGASIAIAFTTVTGFGLLSGIATGLDTLCGQAFGAGQHHLLGVHKQRAMVVLALVSVPVAALWARAGEVLAWCGQDPDIAAEAGQYIRWLTPALFAYGPLECHVRFLQAQNVVVPVMLSSGAAALGHPVACWLLARRLGLGSRGVALADAVSYLVSLSILAIYVRLSPSCARTWTGFSREAFRGVLGFLRLAVPSALMVCIEWWSFELPVLLSGLLPNPELETAVLSICNISIT >Et_2A_018419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27380655:27382293:-1 gene:Et_2A_018419 transcript:Et_2A_018419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVKRIAGMVKGAPAVPVRRDEDESLVLFGELYKNEKEKDVNLLEPMFSVEFEAIQGDGRMFKLPSGKRDYILPDGEKHDYDWLKTPPATPLFPSLEMEANSNQMIFQKELPILQPVRTSRFSSKPDSTSTSTRSESPTSSSSKSVTPTARPSSSSKKSQAKGAPPPSKEKDSAYIIDKRSSYTPLTNRQHNVVPAARTASTTPIKASKKTSGNKPAWPGNTNAVKNVAKPDKALKNIAATAPKARSDDSSAGGKGLKSDVGTVMRLSRPPAATMGSNGDVQLEDKQGTAATKGRGRAGTGGVRAIRKGAGATEAIANGRRRAGGEKEQRPKLGSHAKK >Et_3A_024078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17086489:17100475:1 gene:Et_3A_024078 transcript:Et_3A_024078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRNDKPAPVAAAAEGARRIILVHGTGHGVDAPDLAASGADARPLRDAPTFEDYTRPLLDALAALPDGERAVLVGHSFGGMSIALAAETFPEKVAAAVFVTAFMPECTHHRAHVIEQRLTYTIHPLFSPVFPVFEIKLPPLDWMDSVKDDDHVPPTVFLGPEFLRQKLYQLSPPEEYTLSQSLARVSSYYVADQKSKPPFSEARYGAVTKVYVVCKQDKAMLESFQRALISACPVAEVREIADADHMAMFSTPVELAEHLADIANTPPEEYTLSQSLARVSSYYVADQKSKPPFSEARYAAVARCTSSASRTWPCWRATSGRWSHQSAVTTASTMFAPVAAAAEGARLMPRIILVHDTGHGGWCWFRVATLLRAAGHRVHAPDLAESGVDARPLRDAPTFESAVSTVITMSAPVAAAAEGARRIILVHGTGHGAWCWYRVATLLRAAGHRVDAPDLAASGADARRLRDAPTFEDYTRPLLDAVAALPDGERAVLVGHSFGGMSVALAAETFPEKVAAAVFVAALLPDCTNTRSHPIEQLPISDWMDSEMDEKHVPPSVFLGPEFLRHQLYNTSPPEDYTLSHSLIRVSSYYVADLQSQPPFNEARYGSVKKVYVICKKDVAVPEEYQRRMVAGSNAVEVRELSGADHMPMFSAPVELAGHLADVANSEAHHPCARHRPRRVVLAPAFEDYSRPLLDAVAATPDGERAVLVGHSFGGLSVALAAETFPEKVAAAVFVTAFMPDCTHPRSYVFEQLTPREWDRMDSVTDDEHVPPSVFLGPEFLRQKLYQRSPPEDYTLSQSLAWVSSFYIADQQSKPPFSEARYGAVNKVYVVCKQDKAIAESYQWTMVAGCPEAEVREIADADHMAMFSTPVELAGHLADVANTY >Et_9B_063944.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:4504835:4504978:-1 gene:Et_9B_063944 transcript:Et_9B_063944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVDVKRDRNLIFDDFRIRVVPSSVFVSFLRLHTANLSNFYGHNAL >Et_4B_036525.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28036908:28037063:1 gene:Et_4B_036525 transcript:Et_4B_036525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYQIRNEYGLSDPELYAAPGEEDDPEALLEGVAMAGLVGVLRQLGDLAE >Et_6A_047023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24135074:24137779:-1 gene:Et_6A_047023 transcript:Et_6A_047023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSGANFHQQPPQGMPPPRHNGRAPAGLQTSLSLAASSEQVGSPPDTQEPLSNSDPGQDSATESASSQGTWPGEPSKSSGGGVPGPVIAAAPITAVRMADKEKEVVGNGVHELQQAARGRIPSAGRVTLREVARDRVDLVADKMKVMSEDLLEEIKTELRSILEGTGGSQHIEEFMYLQKVVQGRVDLTPAMLLMAHHVQLEILVAIKTGIQAFLHPSVNIPQSRLAEVFLYKRCRNIACQSALPAEECRCNICTNRIGFCNLCMCVICNKFDFEVNTCRWIGCDVCSHWTHTDCAIRDGQIGTAHTIKNGVSHAEMLFRCQACQRTSELLGWVRDVFQQCAPGWDRDALVRELEYVCKIFRLSEDSKGRSLYRKCAELIERLRNASAESISPRMVLQALQELEIDSSKNFENEEPGRLITPQEACNRIAEVVQEAVRKMEIVAEEKMRLYKRARHAVEACDRELEEKARAVQDLKAERVRQMQQVEELESIVRLKQAEVEMFQLKASEARQEAERLRSIALAKSAEKAGQDYASLYLKRRLEEAEAEKQYLFEKIKLQENQRPAAPPAAVAASSSGLGVGVGSGDPSQMMMLSKIEDLLKNVRSMPSSKSHQSK >Et_7A_050715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10318908:10321056:-1 gene:Et_7A_050715 transcript:Et_7A_050715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPSSSSVRLVLLCLLGFWFAVSQSIDSCRESKLAVSDLVPFDTASFRCIAAWKDEDFILRYKNTAPSEWSFIVSAPDKGSYVAVGFSGKGLMVGSSAVVGWAPHGKGTVKQYYLGGKSQDEVSPNKGLLKLVKNKAVVVSHSDRLYLAFQISTDYPQPHLIYAVGPENDLPSSDGQLPVHRNMASQRFNYTSGIAYKTAGALDGGFPRQRQHGLLSMMGWGVLLPIGMITARYFRQLDPWWFYGHMAIQATAYVIGLAAVVLGFRIDSAGIKNVDIHRALGIGILVMSTLQVMAILARPDKTSKVRRFWNWYHHNIGRAAILLAIGNVFLGLSIAQEVNAYIVSYGVFAAVWVLAVAAFELKRCYADDD >Et_1B_014188.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:3549305:3549865:1 gene:Et_1B_014188 transcript:Et_1B_014188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDHGGASAAGMDDAEAAFFARQGRRCCCFPWPSSGHQRVGAAAAAEEESWWQRAVDAVLKVREWTELVAGPRWKTFIRRFGRNGPPRPHHHFGRKLNYDALSYALNFDEGHGASPEGDYTGYRDFSARFVAPPQSAKSSMDLGGRDAPPLFNPPPPAHDGAGRA >Et_3B_028523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16391370:16391831:-1 gene:Et_3B_028523 transcript:Et_3B_028523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVHALAVTLVVVHIDGKKGQERPAWGHLAQDAVDYRGCPADKSSTGGWVAAALALGIELCERLSTMGIAVNLVTYLTGTMHLPSAAAANVVTDFMGTSFLLCLLGGFLADSFLGRYLTIAIFALVQAIVRRG >Et_6B_048535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10473198:10474335:1 gene:Et_6B_048535 transcript:Et_6B_048535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGYLFREYIGAQSTGVKFSDVPINGNLSFHFILAFAIDYTPLNQKPTPTPTNGVFHSFWDTAALSPAAVAAVKSAHPNVAVMAGLGGDSVQDVVKAVFSPESIDSWVDNAVSSLMGIINTYGLDGVDIDYEHFAASIDVDTFVECIGRLLTRLKARMPHITTSIAPFEDDVVQRYYQPLWKKYSGVIDYVNFQFYGYGANTDVPLYVRFYDQQAANYPGGKVLASFLTGDTTGLISPELGISAARELQRQNKLPGLFIWSADSSKKSSYGFKYETQGQQIIANH >Et_2A_017127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31183343:31187266:1 gene:Et_2A_017127 transcript:Et_2A_017127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAERAPPAAATQSLVESFCAVTSATPQEAAFFLESHNWALESAVRSFYDSAEGDANGDAADLVPPPVAPHAAQDGEESDDEDYVGGGDEDEEDDDYVGEDEDEDAALAAAAANERRRPSKRLKKSHDARGGSGSGSRPSAASARGNVRTLSDLGGGKRGAGSDEDSDEDDEWAPPPEYYTGGEMSGMVVKDRSKRKNNADEVFKQAKKKGAKQGPFEPRRRSSRSFSGTGRLLTGDTVQPDATQPPEDIVHNIFFWSNGFTVNDGPLRSFDDPENASFLESIKNSECPTELEPADGKSKVNVNLVRKEEECPEPVKRAAPFQGERRTLGTTPSDTTSVGAASSTATAIKPITVDDSLPSTSLQIRFADGSRLVARFNTSHTISDVRTFIDSTRPQSSDYTLQAGFPPKELDDTNKTIEEAGVANSVIIQKV >Et_4B_036472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25920929:25921265:1 gene:Et_4B_036472 transcript:Et_4B_036472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQFGLQRSTRVNNYHWTPDEVNQLVEGISALGVGRWTELKSTYFPTSIRTAQHLKDKWRNLLIGCGLQIGKKRKVNFIPRIHGC >Et_10B_002670.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:630629:630781:1 gene:Et_10B_002670 transcript:Et_10B_002670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRPTRHPTGAGVGAKVHLRVRVRVTYFTRDIFLHERVFVSPDPNPTRCHP >Et_4A_032571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11410478:11411123:-1 gene:Et_4A_032571 transcript:Et_4A_032571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSLVLAALLLAVVAAAVLVPHGAEAATGATTTNVLISGIVPCSTGSSINVATVPGFPNAGVQLVCGGKVVAGATSDGAGAFLINLGNVATDLLTVLLGNQCKVVVVTPLAACNVSLAGATGTLTAPLKLLGTSTGTGSSGSDPLGLGGIIGLITGIISGIVGGILNIPSGQFSFI >Et_9A_060948.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:20515790:20519488:-1 gene:Et_9A_060948 transcript:Et_9A_060948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATKWDRRCLNTLGSAEYDFCDRQAAAADEEISALKEELMQARNRVHELEAESRSAKKKLDQFLRNLAEEKASWKSKEHDKIRSILDAIKGDLNRERKNRQRAEIMNSKLMGELSELKSAAKRYLQDYEKERKARELMEEVCDELAKEIAEDKAEVEALKNESMKMRDEVEEERKMLQMAEVWREERVQMKLVDAKLTLDGKFSQLSELQAYLEAFLSYHRGSTVDKETVRDGEMLKEAISSMKVHGKEFSYKPPPSEDIFAVFEELKQQEDTKEKETGQCNGDTPVSHATNIHTVSPETDILLENPANKYPNRPCARNEDDDDSGWETVSHVEEQGSSNSPDGSEPSVNGFGGGNDASVSGTDWEDNCENCRSNSDISGVCSTTGEKYRKKGSSFARLWRSSNGEGGRKTGAQLNGRLSSGRMCDVAPSHDFNNSEVCQMSPSVGDWSPDLLNPHVLRAMKGGVEWPQDAQKHNLKSKLLGSRTNGRKVQLRQALEQKI >Et_2A_016131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21338779:21340576:1 gene:Et_2A_016131 transcript:Et_2A_016131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFAKLQDSKGRTRAPPPWTPTTPPNQATRFPSPPGSTQLPLLPRSDLSRLSRQAAFGRPAPASSALHRPWVSLRVNHGENLPSSLRCEQYSRLHCPRPGRYGWYRRVLTATDQRLTRPKLALSRRHRRPPERGAPALGGS >Et_3A_024023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16227720:16237071:1 gene:Et_3A_024023 transcript:Et_3A_024023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYRSSTVLRQGLTPLWINPRPQIQLPNQGPTVVPGIVNWEIHDFVIVCDLGSGNFGSVMKGIHRTTGVRVAIKSLRDLSGFWQEAIMFSQCSGSNGVVQFFGVARDRSRNMMYIVMELGGTPLEDAIRIGHAHGRALSEDDVRVIMKSLLVGLKNMHEKSIIHRDLKPSNILVDSNGKHVEGKICDFGLAIYYDQAVATWNRTPRGTYGYMAPEVHKAKSSCTFESDMWSLGAVMYEVIAGSPLIQGRDPAGMITCMRSLFGTLSNEASTSLGVADGLQAVPKWATDGALIHRQFSRQCLEVLHGLLKLDPSERLSAADALEMDWEFIGRRVSVLLLSRCMIFLCLDFGMKQLSLFNAQVPLELCNILEWHTTEIATLFIIGTKTQHEKGIIHRDIKPSNILIDSDSDCVVGKICDFGLATYYDEAVTTWCGIPHGTYGYMAPEVYDMWSLGVVMYEFITGCPLISERDSTGTITHMRSLFGSPGKGADLETTAHDGPNPADEENNPVQTTVDSSDEETKTHADQEREIRHAIFHRRFSIQCSELIAGLLRFDPFERLSASKALDMDWFVKHRIA >Et_7A_051721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23486623:23496760:-1 gene:Et_7A_051721 transcript:Et_7A_051721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPWWLTTTACAPPPAGSLADLLAFAFLSPCPQRALLGAVDLAFLAACLLRRSGGGGSAACAPESEPLLDKAGSPPRARYNAAALGASAVLAAASLVLLVLALLRSPRTHSWRAAESGFLAAHAAAHGAAAWTVASSSSSSSSSSARGAAGDAVPVRVHLRVFWLATAVGAALASASAAVRGAAGSLLLPDDAVAFAALLVSLPLAYVAVAVAAGCFTGEDEAEHDAGADAASFLSRATFSWVNPLITKGHATSDSLTAADVPAVSAGHRAEASHALFMSNWPPSSSSRHPVAVALWLSFWPQLVLTAFLGLARMAAMYVGPSLIDRFVLFIRRGGTPWEGLRLVLVLLAGKAVQTLASHHYNFQGTLLGMRIRGALQTALYRKSLRLSTGARRAHGAGAIVNYMQVDAGMVSSAMQGLHGLWQMPLQIVVALLLLYAYLGPAVLTTLAVIAAVTVLTAFANRLNLNYQLRFLGERDKRIKAITEMLAHMRVIKLQAWEGTFGEKVRQLRRDELGWLRKIMLFMCASNVVFSSGPLAMTVLVFGTYLASGGVLDAGKVFTATAFFTMLDAPMRNFPQTIVSSMQAFVSLNRLNKFLTDAEIDIAAVERVDSIAAGAVAVKVEGGVFAWDVPDELLAKGNHGSPADQNGRGKEPQTETVLKGINVEVRKGELAAVVGTVGSGKSSLLSCIMGEMHKIAGKVSICGSTAFVAQTAWIRNGTIQENILFGKPMQPERYSEVIHACCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDIFSAVDAHTGSTIFMECLMGLLKNKTVFLVTHQVDFLQNVDTIFVMKDGLVIQSGFYNELLASCPDFSDLVAAHHSSMEMTGEEGCHVQNTLSSQPTKSGNENGETTAIAPSNEAGSSKLIQEEEKESGRVSWRVYKLYMTEAWGWWGVLAFLAVSLLSEGSSMASNYWLSYETSGGAIFDTSIFLNVYVLIVAATILFEMISTLVVTFSGLKSAQAFFNKMFDSLLRAPMSFFDTTPSGRILSRASSDQTKIDIVLVFYVGFATSMFISVVTNVAVTCQVAWPSVIAVLPLLLLNIWYRNRYIATSRELTRLQGVTGAPVIDHFTETFSGAPTIRCFRKEDEFYQTNLDRINSNLRMSFHNNAASEWLGFRLELIGTLILSITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYYTILISCMIENDMVAVERVNQYSTLPSEAAWQVADCLPSPNWPSSGDIDIKELKVRYRQNTPLILKGITISIKNGEKIGVVGRTGSGKSTLVQALFRLVEPADGQIIIDGVDICTLGLHDLRSRFGVIPQEPVLFEGTVRSNIDPTGRFSEAEIWQVLERCQLKDTVASKPEKLDALVADMGENWSLGQKQLLCFGRVILKRSRILFMDEATASVDSQTDAAIQRIIREEFAECTVISIAHRIATVMDSDRVLVLDAGLVKEFDAPSMLMGRPSLFGAMVQEYASRSSSTQAIDELIE >Et_8A_056884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18467294:18469262:-1 gene:Et_8A_056884 transcript:Et_8A_056884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGHDQTPESTTATQVGDLPEECLAYAIALTGSPRDACRCAAVSPAFRAAADSDHVWRRFIPDDLRPRAPASKRSDKEAYLGLCDARNATAGDDDDGCRVWLERATGAKCYALSARRLSLPWDDGEFSWRWTTPHRRSSRFGEAAELVYCTGLDIYARLPAAALTPATPYAAYLVYATAEEEAPRGLSYPDQETAVTVGGREVARHAVCLRPDDEEARKFRGAGTAAVAGGEEVRRPKVRDDGWWEMEMGRLCTASSAGDEEEEDVVASFEVLGWYPKRGLIVEGVEFRPLPFPAS >Et_2A_017917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7979915:7983523:-1 gene:Et_2A_017917 transcript:Et_2A_017917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAHTGGKAGGFGGSGDRFRFAPSSAAARSRMKLWVVRATTTVLLWTCVVQLTAVGDTWGPRVLKGWPSCLSAPEETAAAAVARPEPVVEKAALLPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLVVPELDKTSFWNDPSEFQDIFDVEHFITSLRDEVRILRELPPRVKRRVELGMYHSMPPISWSDISYYHNQILPLIRKHKVLHLNRTDARLANNGLPIEIQKLRCRVNYASLRFTSQIEELGKRVIRILRQNGPFLVLHLRYEMDMLAFSGCTQGCSNEEAEELTRMRHLFTLQSTLGFRYAYPWWKEKVIDSDLKRKDGLCPLTPEETALVLRALDIDRSMQIYIAAGEIYGGKRRMAALTSAYPNVVRKETVLEPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFMGFKKTILLDRKLIVELVDRYTNGSLPWDEFSLLIKAAHAKRMGSASKRTVIPDRPKEEDYFYANPQECLQDRALLQAS >Et_3B_028820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19538899:19544865:1 gene:Et_3B_028820 transcript:Et_3B_028820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRGSRHHRHPVDQQPAPPAQPKPQASPPPPAQQQPKPKPQPPAPAPAAPAAGDVGRVLGRPMEDVRATYTFGRELGRGQFGVTYLVTHRETGQRFACKSIATRKLVHRDDIEDVRREVQIMHHLTGHRNIVELRGAYEDRHSVNLVMELCEGGELFDRIIARGHYTERAAAALCREIVAVVHSCHSMGVFHRDLKPENFLFLNNKEDSPLKATDFGLSVFFKPGETFKDLVGSAYYVAPEVLKRHYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLRGHIDFTSDPWPSISSGAKDLVKKMLRQDPKERLTAAEILSHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKVVAENLSDEEIMGLKEMFRSLDTDNSGTITLDELRSGLPKLGTKISESEIRQIMEAADVDGNGTIDYAEFISATMHLNRLEKEDHILKAFEYFDKDHSGYITVDELEEALKKYDMGDDKTIKEIIAEVDTDHDGKINYQEFVAMMRNNSPEIVPNRRRMFTFMPTLKISELVMLDCRCLLGKEDVDDSLPEI >Et_8A_057775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:779836:787440:-1 gene:Et_8A_057775 transcript:Et_8A_057775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVPSSSTLALPPPDAAAAEDATSLAPGFRFHPTDEELVSYYLKRKVHGRPLKVDAIAEVDLYKVEPWDLPARSRLRSRDSQWYFFSRLDRKHSNRARTNRATAGGYWKTTGKDREVRHGPRIVGMKKTLVFHSGRAPKGERTNWVMHEYRLEGEEAAGIPQDSFVVCRIFQKAGPGPQNGAQYGAPFVEEEWEEDDENVGLLPLGGDAAADAIAEHKVPGAMEKGYLQMSDLIQGLGDQNGNGTIGLPVSDTSNNSNQSEDVDGSSGDILSDPNLGSNFLQYLEPGEQNSLMFNANMLSNASSGNLFNTSSPSDGFLELKDFADAANLEHPLGDDSTIWPSDGWAWKTPDSFEAVNGANNEIPPLPDDQTFQSEELERLLQSIQEDSHLGSSVIDPPHSSITNPVGAEDDSLMFYDAPFDSTVCDEGFRQFNGIHGSPATNVSGIDMVDDGIPYYDAMDDNLFITDILGSIQQPAGSNSHAFNGPILTQEVNNTIYTYSPGQKVLEPNFVVGAPSARLPEAGSQLNCVVLPDGQATSSTTGKRFVKLLDSISAPPAFAAEEFPSNFSKTFAPISGARPNTFHVSAEVISIGSLAVASRPDNWDVQKDQGMELLFTGFEPESRMHCGCNTITTVLRGGFCLFFFSAIMLLVSYEVGLCIYGKRYSPGKADDLISFQPHLTQRYSPSSSMTLSSHPVFRSPEGTTR >Et_7B_055674.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:20953583:20954029:-1 gene:Et_7B_055674 transcript:Et_7B_055674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLRSPGKVSTAVRRSTPRARREQDHRHQQDRGALEEPGHRAAAKSSGTSSGREDPDDRPELARIAMALCEFTMAICEFMLYAAANTIAALLRADLSAQSWRRVHKVYAEATSLQRTVRGWTLLPVQAGEGRAWRSLLVHQSPSGAS >Et_1A_007352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33676197:33678465:-1 gene:Et_1A_007352 transcript:Et_1A_007352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLRASSRTAERGNHQHRFLRPGALARLRDSRIVARSLRSSACLLLPRSVPASPAPLPVSAAEQGGVPRFLGTGGCGAGRYPLRRRVAAARGVAFLPPPVCACHSEIISNFSSEELLAAMTEEGSFGEAREDWKRKKKKTMGRQKIEMKPIASMEKRQVCFSKRRAGLFKKAFDLSVLCGAHVAVVAYSPGGKPFVFGHPSVQAVIDRFTDASSEPATAAAAAPVHPALLEEFSRDADLLAKAIDAEASRKKALDAATRQAGVWTGDADASRSLPELLDMRGQLERVQAEVAERAQELMAKEAMMQRATSTDIVGDGVFHYPGAGTFMADAAGVDGYHDQVAMDTQMTMMLGGGNVGHALPFAPMMLPPPPLPFPPYSHCFDLNNSHCPVSGAEGFYGTMACNFF >Et_4A_034473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3558110:3563400:-1 gene:Et_4A_034473 transcript:Et_4A_034473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLPLVFAVLVIAVAFPAGMDATWTTVTNPRDLVITQVGRFCVLVYNLPHRTSFEFQRVVRGETERLSTGTNYRLVLEVAPTHGARTRLYQFTMRSPAVALLGAAFLVVAATLPASSSAAWVQVPDVHRDLVVKQVAQFSVLVYGLAHRKDVRFVDVVRGETEAAVGGGTNYKLVVVAARAEDGSTAEYECLVWGVPGSRSDTWKLRRFRKIHS >Et_5A_042335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8871846:8883112:-1 gene:Et_5A_042335 transcript:Et_5A_042335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSDPKQVAGLCCDRMIHKTSSSCGIVLRAERERHHALRGVSPVRRAAASKERAFKEVGTRASTCSAPNIFGIAAWSFGHRDYSTKRILVRMNTFVFIQLLVYTSVFALFTMPQAMGEQDCYDEKLTFKHKCYYSIRHGGGYMHPTESCCKAARKVDMTCVCRIITPEEEERIIDAHYVFWVSQDCHNPVPAGNKCGSELDNSWIMGTATTTQLLAAFLPYLQCLKLWESRTVTDCHNLVPAGHKCGSEFPLLLSFSNSFMFSCFHKTVFFFLIQKHDNIFFFFKVGQFLNQGNLHHHITTCSSKGASNRFHQGKQINE >Et_2A_017128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31099776:31101151:-1 gene:Et_2A_017128 transcript:Et_2A_017128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCFRLVRPAAGGDADPSSAASPRRPSLPFAASLFAASPSTSGRGKQPWPSEADDVMERKRWDSMESWSMLLDTAMGPGGEAGASRDSGRREEWMADLSQLFIGNKFASGANSRIYRGIYRQRAVAVKMVRIPERDEARRAVLEDQFNSEVAFLSRLYHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKDPYSLSPETILKLALDISRGMEYLHAQGVIHRDLKSQNLLLNDEMRVKVADFGTSCLETRCQASKGNKGTYRWMAPEMTKEKPYTRKVDVYSFGIVLWELTTCLLPFQGMTPVQAAYAASEKNLRPPLSSSCPPVLNNLIKRCWSANPARRPEFSYIVSVLEKYDHCVKEGMPVMVHQELRIWRSFAKIFRMGCITNNLSITVHA >Et_3B_029648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26810092:26811158:1 gene:Et_3B_029648 transcript:Et_3B_029648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSVEFCIISARGLGRRASLLKPQWFSVAWIDPNSKYCTKVDGSGNSDPSWGMKFSVPVDEHDLNSLQRMALIVEVYRREPIFLREHLHGAAVVQMKEYFDKFVKGEEHAGTIDETASFHLRRKKSDKAHGLVDISIRICKEEDTRAQFPGSHEGLKHPNQVGITLAIEDGPVYNYPPLPSSHFRGHSQDDDHYGDTMPTNPITHTDPSPTGRNNYGYEPPVPLPPQTSNPNFFAPSYPARGQVPQSYINLPSRRIAGQSSAPNLRLGLGAGALAAGTMIFGEN >Et_1B_014441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8848762:8851976:-1 gene:Et_1B_014441 transcript:Et_1B_014441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRKRHRKAKLPGDQAEREMIDGDSTTANQAMAEPSAAGEGEKASEAEEEDEEEDVRMLLDPFTRDELLDLLADACLRDPALLARLADSAASDATHRRLFVHGLGPGATSAALAAAFSPFGALDECHAVADRADGRCRGYGFVTFRRRSDARRALADASKRVDGRLVACQLASLGPVAPSSSHSDRKLFVGNVPERAAHDELRGFFSSFGEIEKGPLGADSATGMFRGYAIFFYRTPEGLRKALEEPNKVFDGCELQCRRAHRVTKRKHDAAAAADMSNGPGVQLKDLALTSGKPMLSSNPAVGLTAKGSSSPSATAVFRQNVAAGRAGILGAPPVAIAMPCSLGKSPSSAPPSSVNARDGASRMAPTTIGAKNSVSSLQIQGSGAVVRCIASFNAPAIAH >Et_3A_026940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27149268:27154432:1 gene:Et_3A_026940 transcript:Et_3A_026940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAATSGSGGAADAEELFRTKRIPEIRAAEGATRREISAKEEELRQLVGRSYRDLLDSADSILLIKQSSDSISDNLSRISGSLSSLSPPPEASVSSAASPSPSGGRARLYSLAARAKYLVDTPEHIWGRLDEGLLLEAAGRYLRAQVVHGRLSRDAVAAARFPLLAHQAQLVEAFRPQIAQRARERLSDRRLSVAAHADALAAVAAIDAPSLAPPQALLLFLTSRRAWISQALAGFASDLSSYSVLCDVARIVRITLGHVGQLFVPALSDLPLFFKTVLEKTPPEQLFGGIPDPDEEARLWKEHMNQIEATMVLLEPDAVARACTDWLKECCCEIFGVVAGGHRLVDAIASGELLGSVQRLVRDALDGREGLEGSLEQWLKSVFGSEIESPWDQIRGLILKEGKDIFENWMEEAFVRRMKDILHSELDSLGANVHVKESLEAIGANADKKDTGDFLAYTRKTSNGGGFWFSESKIKKGGILAHLKPIADENDFHSCIISYFGPEVSRIRNAIDSKCKNILEDLLCFVESHNSVPRLKELVPYLQEKCYGTISALLKELEAELRKLSASLGTQKGDNEKPGASIIVEKSLFIGRLLFALRHHSSHVPLILGSPRQWVKEAGGAAFSRLPSPTPRHSRASFDSSASYTPRRQTFDTPRRHAFDSPRSPGRQFSDSPRRQTIAAAASLFGADDSSNPRLDELNKTLQSLCITAHSVWISWVSTELSHILSYDLNKDDSLSLSTPLRGWEVTVIKQEETAEGPLEMQIALPSMPSLYIISFLYQACLEIHRVGGHILDRVILHNFAWELLQKVIVIYEKFVVSVESGNSLVSEKGVLQVLLDLRFLGDVLSGGKNSSAKANETLTKQDSLPSTVTKTSFRRKQSQTQADSAAIEPVNKLINKLSQRLDPIDWATYEPYLWENEKQSYKRYVVLFGFLVQLNHMYTGTVQKLPTKSNTDSNIMRCSQVPRFKYLPISAPAISSRAHKSSLQSPSGDSTSKSTWKSYSNRERSTAPEFDDNASLVGAAPLLKSFVTQVGSKFGENTSRWGSMLSDGQVGKLSDILPGPAAGFFSSFTSGVRYDS >Et_5B_044729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5153225:5156483:1 gene:Et_5B_044729 transcript:Et_5B_044729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDPGVHVGGSANHPGSIKMSDSQETDRNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQIARVAKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINVSLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDINRYVLKNSATQEIVIKHLNKEQEADQSNFRDAATNAELEVQEKMSLLEWFANEYKKFGCSLEFVTNKSQEGSQFCRGFGGIGGMLRYQLDIRSFDELSDDEGLYEDSD >Et_1A_005629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12126268:12127806:-1 gene:Et_1A_005629 transcript:Et_1A_005629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GVRFGYSGTTGPKHWGSLSPNFTLCSKGIYQSPINIVKDDTVYNPKLGPLERDYTATNATIVDNVFNIALRYNDTSETVMVGGTKYKLKQLHWHSPSEHTINGQRFAVELHMVHSTEDGNITVVAILYRYGKPDPFLFQIMDRLAELHAEGCKAEKGDPLPIGSVDMTELRQGADIYFRYTGSLTAPPCTENVIWNILGEVREMSKEQVADLMAPLEGSYRHNSRPTQPLNGRQVWLYDRSAKIRKML >Et_2B_020341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19161199:19172162:-1 gene:Et_2B_020341 transcript:Et_2B_020341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCVHGLFCRTENLWRRAFRKIGAIGRSSSAAETLGRAVGLVAEPSARDHRLHGDQDQEAAADDDVLSVNKGVRHLCERGGVLTNLPARYVLPPSQRGRPPPEHASRRASPSDGGGGRAAAVAELDAACREYGFFQVVNHGVDGGDAVLDVARRFFDLPLAARAPYMSSDVRAPVRYGTSFNQLNDGVLCWRDFLKLVCDKDVVGSSWPHDPADLSQRLFRELMEAGSHGTATKAAMLEGCGAGSQMLIANCFPACPEPELTLGMPPHSDYGLLTVLLQNQVRGLEVRHAGRWLLVDPLPGALLVNQRPVQERAPPRARQRGPPAHLRRSRADDDDLIDPSALSLPPAARENLHRVADCPNGLVLLRRWNSATPEDGFCCTICNPATDEWAAVPDPLTRGGVRTLVRIAVDPAAASPVRYFVFQFRRRGARGRAVSAEIYSSESGEWTCREELGCEGVVSLRDQTSGVFFGGMLHLAPLEPVIVSVDGGGNTWNTIPKPSHPTNPSPGFIGVSQGRLHFLNTKENDRLTLCDWEQDEGGGWVMKHCVSLRGLFAGRWDFGAEGFDYSVIAIHPDCDNIVYLLQKQNNTLLSYAINRGEGCVIRDLGLDNFVPYLPYVPRFSVPLMPWLHILCNSVEAAEQPVAQVLLNCLFCQRFEEAKKAVEEESLHPIISGGEPSARSDGERGDTWRSLSAAETLGRAVGLVAKPSARVHFRSPASPHLAAPRSSCLAMAAAAAARLLCSAVTATTANPGPRCPGGVPSRLAKRSRCLSCHASLGTDGSLVVLGAPGPRSVPTGGRPYLREHSCLIFPPPRGRRPLAVVKFLGGAFIGAAPEATYGYLLELLAREGFLVVCVPYNVTFDHEAAAREVFERFHACYDALLASGLPKAGLSAPDIAELPLYSVGHSNGALLQLLVGSYFSERIPKANAIVSFNNRPASEAVPYFEQIGPLFSQLMPMVEASPVYSVARNASGDAWKALFELAGGIIREYDQEAMVSLSKFVDQLPSVMNQVTEGVSEFKPTPPENREYCKNSYNVPNTLLVKFSVDAIDDTDIVEDVLRPRVESIGGQIKKVILSGTHLTPCAQDVKWQVGSEYTPADALAQGLKSLALNETRVLSRTIADCRLALALQRDIGVHC >Et_1B_014249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4288246:4296119:-1 gene:Et_1B_014249 transcript:Et_1B_014249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLEACWAASFNPHYHVATDDFLFQYSNFLVPHSTSYQDVAHLVHEASFPVGNKSNSDESDDYQRSLAEERRRRRMISNRESARRSRMRKQKQLSELWAQVVHLRNTNRQLLDELNHVIRDCDRVLYENSQLRDEQTKLQKQLEKLPEVTTEGSDMDLQEGKLRILMQKTLEHKRITIPVTTPIDPVRGSKHLAPKLHVEDAALADSLPWGLHEHPELHGELGPPPELLDLERRRRGRRLLGLTGGGRLLFLGGPGRGFHGPGRYAERRREDAARGER >Et_2B_021877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6287734:6290489:-1 gene:Et_2B_021877 transcript:Et_2B_021877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLDVPLDDLIKSRNGRGRGRGRGRGQGGGRGRGEGQRLARGSWRGRGASTFRGRGLGVPSRRPLGSFNKTKDFVWRHDLFEDSMVAAGLSGIESGTKLYISNLHYGVTREDIQELFSEMGHLKHCAVHYDSNRRPTGSAEVIFTRRTEALQALKRYNNVRLDGKEMKIEVIGADLGLSAASAPRVSVVPGARGRGQREVVMTGTSGFGRGGSSSSLPGWKRGGFAQRGDGHGRGRGCGRSFAQGRGRGRGYVRKTPVGKSADQLDKELDNYHSGAMNVD >Et_5A_041421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22472407:22477044:1 gene:Et_5A_041421 transcript:Et_5A_041421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFDLGRRTLSVIDTPGRKYGAIPMMAEDGGLGFIAVQDDSIYTWSWHVGTHGNTARWVQQWVMELVPLLPNVREVIGLVEGTQTIFIYSRPQVTAGEEGSTTISYLTGVYTFQVLMEELVEEILLRLPSDQPAYLRHGALVCKLWHRILSDRGFCRRFREFHRSPPLLGYIHSRDYRCDVPTSTASFPFLLHWWALDCRHGRHLYWVCACAVGCDHLDYHGRPFRVVSVAEEHVTGGYITRVSVYTSRRACACKATEPDACAKRTCMATLTCRRRSPPVLMEDLIEEILLRLPPDEPERRVHAALVCKSWLRILCDGVFIRRYRKFHGKLYLLGFIHDGPSLMSPGLVSTSVAFTFSRQAVAPQISWLALDCRHHRVLLMLRLYKPLGLIVWDPIPHEKHRLPLPSHPHDYSHGAVLCAADGCDHLDCHGGPYLVVFVGTRNYEPVAWASVYSSETGVWSAVTSTTIENGSHVDIMKPSLLIGDTLYFSLSESDMLKFDLGGRTLSVIDTPAIYGAIPMMAEDGGLGFVAVVQDSICTWSWLAGTHSNSTGWVRQWMMDLVPLLPNCHQKTMREVIGLVEGTQTIFIYSPRVGLFTLDLKLCLFFSRTNPSLMYS >Et_2B_020105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16954404:16957045:1 gene:Et_2B_020105 transcript:Et_2B_020105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGALIASRLARSSHVLASAISQAPAAHRTAPPLLSRLGAVARAFSSKPAAADVIGIDLGTTNSCVSVMEGKTPRVIENAEGARTTPSIVAKNQNGDLLIGITASRQAVTNAQNTIRGSKRLIGRQFDDPQTQKEMKMVPYKIVRAPNGDAWVEMGGQQYSPSQIGAFVLTKMKETAEAYLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLEVMRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDGALLNYLVGEFKKSDNIDLSKDKLALQRLREAAEKAKVELSSTMQTEINLPFITADASGAKHFNITLTRSKFESLVSDLIERTRIPCVNCLKDAGISAKEIDEVLLVGGMTRVPKVQDVVSQIFNKAPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGVKVLQGEREMASDNKLLGEFQLEGIPPAPRGMPQIEVTFDIDANGIVKVSAKDKSTGKEQEITIKSSGGLSEDDIEKMVREAELHAQKDQEKKSLIDLKNSADTTIYSIEKSVSEYKDKVPAEVTSEIESAVSDLRAAMAEDDLEKIKQKLEAANKAVSKIGEHMQKGSGGSGSDGGAGSSGDQTPEAEYQDAKEAKM >Et_1A_006968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29669671:29672253:-1 gene:Et_1A_006968 transcript:Et_1A_006968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGKATATAAAEERAGGGELPLGYVKVMTDEQIEVLRKQISIYATLCEQLVQMHRLLTDHQDSVSGMSFSNLYCDPLILPGGHKITARQRWQPTPMQLQILENIFDQGNGTPSKQKIKDITAELSHHGQITETNVYNWFQNRRARSKRKQAASLQNNAESDAEADEDFLTDKKPKSDRHLQESMAMSFHNADRISEMHHFETAQNQMGGMIYGSNDSNLRMSGSSGQMSLYETIMSNPRTDHFPGKVENSRSFSQLQHGEGFGIYGLRFSYAEAIFVLMEKSDLSF >Et_7B_053760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1191332:1194903:-1 gene:Et_7B_053760 transcript:Et_7B_053760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHGRSLHALLGGGAVADVLLWRRRNASVAAVVGATAVWFVFERAGYSFLSVLSNALLLLVAILFFWAKSASLLNRFQMRSLRRLQIMLLYGSIGCWLLAMILPSRETEKFSYRLLSFSDTLGYTSCMVPDCMLLFVSKGDTDFVGVMLSLLVPPLYERYQDHVDEKLGLAHSVLSRHLDTIISRAGQSNKQKKTECIAGSPMELALGLSSLTHTREPPLKLISAFLSNSAALLRKIVNLARHYDL >Et_2A_016383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24061208:24067178:1 gene:Et_2A_016383 transcript:Et_2A_016383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDFRVGAVGWALGDVAAADVKEAEEEEKGAREDRADDDWSVQLETRKGEAGRKRKREGPPYGPPPKRQCVEAAADLTPSPASSESEPASPSPAQCKSEPASPTPAQCKWEPASPPAAHVEPPPVVRATAAAKEEEEEEEDAVEDKVKEGKDRAPSTRGRKQGPSRDRRSCHQCKRVKPKREVMIRCQCCDLRIYCAACVRNRYPALSEAEAREACPFCRGVCICSLCTDKGKQAKPTSSVSRKCNGSISVARKNKTSATGVRSPRASNVARRTKAIDHSFTVTNASARSDDVDTSVVRADEVDADTKTTYASYLLHYLLPCLTQINKDQMEELQAEARIQGLELSELNVEQAVSSITIAIRQYLIYIEAVQTAARLNCALSVELREGLKTMCQELVPKPKFRGIDYMHGGEPDKLINNDETDVSSYQSKCIKWDAEADGSIYCPPSELGGCGSHILKLKRILPNDRLSKLEMDASQMSKQLGTSDIVRTDTCECLCSTNHESTRKAANRENSTDNYIYCPLSDNGKPDDLKHFQRHWVKGEPVIVQGVLQKMSHLSWEPSEMWSEVHGATTSSDMKKVKVVECLSCCEAEICTKDFFNGYSEGRIYANFWPEMLKLKDWPPSHRFENLLPSHGTTYINCLPFQPYTNLKSGLLNASALLPDDVLNLDMGPKSYMAYGHAQELGRGDSVTKLHCDLSDAVNVLMHTAKVNTSDEQEQAIGNLKTIHTAQDRRDCLGNVAIDGNSTSLEHADISTPKYCEDDEGGALWDIFRREDVEDLKKYLTKHSKEFRHFNCVPVEKTFNPVHDETFYLTKEHKRKLKEEYGIEPWTFVQRLGEAVFIPAGCPHQVRNLKSCNKIALDFVSPENIQQCISLTEDFRRLPKNHRAKEDKLALISLLAPLRLEPAGETYVQLAASSSSSSGQQT >Et_5B_044258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22030537:22034866:1 gene:Et_5B_044258 transcript:Et_5B_044258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRLPSLLSPSKPLLRRRLPAARLSASASRGQASATAGAAAPAATETRGGDREGQVTPRSVDFNAWYTDVISAAELADYGPVRGTMVIRPYGYAIWESIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTKWIQSYRDLPLMINQWANVTRWEMRTKPFIRTLEFLWQEGHTAHATLEEAEKEAMQMIDVYTKFAYEQAAIPVIPGRKSKVETFAGANRTYTIEAMMGDRKALQAGTSHNLGQNFSKAFGTQFMDENGQIEHVWQTSWAISTRFVGGIIMTHGDDAGLMLPPRIAPIQVVIVPIWKKGDEKAAVLEAVDSVQRTLKEAGIRVKVDDSETRTPGWKFNFYEMKGVPIRLEIGPRDVTNKSVVVSRRDVPGKQGKEFGVSMEPSTLVNHIKGRLEDIQASLLQKAITFRDSNIVDVSSYGELKEAIAEGKWARGPWSASDADELKVKEETSATIRCFPFEQPEGIKKCFMTGNPAEEVAIFAKSY >Et_4A_034706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5670484:5680714:1 gene:Et_4A_034706 transcript:Et_4A_034706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKKLPRSGSRHGNIEREQGSRVRSCSCTIHVSLDFSPAPLFAARELSDKPNPTHAQLRRAEMPPPASPPPAPPSWVILGTTPRVGAADSDLALALAPPPRVSLLTIPPRIFPDAEATARNRPFVLAADPSGLLLLHANQGRATGPYRIRRCGSYEQAGWREFEAGYFVLDAGSASALALPDAELLSDKNTVGIMLAPGGDGHYVVAELQPIIGRGARAVLCFASDVGEWVMKDQPGPVSAVVARRRALVLRAALVGWVDLSFGLITCDPSIDEPSLAFVPLPPGKVLRYREAAGVLDRYRHVGVSAGKLRFVDMYRNRDARGAFKVSVWTLVDPDSTEWALEHEAGFRDIWADQSYKAAGLPVKIPVLALIHPRNPAIVYPFLDEYLFAVDLVACNVVECKVYELASLPEDAVSTRFVRTPNASPNHRPGGVHRRGDMPSPSSPPSWVILGSVPRVCTADADLPPGADLGLALVPSPRASLLSIAPRIFPDATIATDLRHGPFVLAASSSGLLLLQANQGRTTGPTIIDRPVNGYYGTDEFVPGFFVLDAASASALALPDPILINDQFHLGLLLDPRGGGHYMVAELQTIGGADYGFLFCFSSDVGEWVRKQVPFPYPRRWFPRGVLAHYGRLWWVDLSFGIITCDPFADEPVLAFVPLPPGLVLNHKVDDFVLDKYRDMRVSDGKLRFVDMYRNRDRRGAIKVSVWTLSDPDSTEWALELEASFPDIWSDQSYQATGLPKMIPALALVHPENPAVVYFFLMDNLFGVDLRARKVVECEAYELVATPKDVVSSRFVHPWKLPRALCSESDRMASASPSWVILDVVPRVSAAAAEGQAGDDDISLALADPPRVTKLAVGPRVFPADPDTEAGVPFPYVLASDPSGLLLAVAPPSMSEREPKEPRVWRAPDGTERTVYIGHVSPPAYLVLDVSAGTASRVPDPDFENSPSLGVIAAPAGGGAYMVVELQTMVGGREAELICFSSETGEWVEKEVANPLPRWIWTFYDVVSHDGKLWWVDRAAGLLACDPFADEPDMMYVPLPEGDDKPHRGCSYCSERMAASRRFVKLSNGKFRCVEMGCARKGGAPTLTMRTLVDPATAEWTLEYKVSFAEICAGESYKAAGLPEKALVVALIDPKNPDVVYFFLEENIFGVDMPARKVVECAAHELDVASSKGGASSSCVLAWELPHTLTAGLPEEVPNKGVKEELQAEKSS >Et_10B_002906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13317518:13321534:1 gene:Et_10B_002906 transcript:Et_10B_002906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGLPANGDGRRFCAVSPLPLLLTRRTVSAASLLLAALPFPALLPQLVPIASATEVPARVQGSEGAAELELERYTDQEQSFTLLKPTSWPTVEKAGAMALFQQEGKGSNNIGVIVNPVRLNSLPEFGTPQFVADRLLQAEKTKRTIETSALKTTQWPYREYGSLVQASSYWIRLDTVANVEDCETPSRIHQNSSHEDMVSRLQKGEAAGSKTTGMPESLSLAARSEREQDKDGETSSYWSTRREQENDTLFSGARGQDPDAL >Et_1A_006044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17061645:17067318:1 gene:Et_1A_006044 transcript:Et_1A_006044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVVDAGSKLLKAGIALPDQAPALVMPSKMKLEVEDQQLADGAVVEEVVQPVVRGFVKDWDAMEDLLNYVLYRNIGWEIGDEGQILFTEPLFTPKALREQLAQLMFEKFNVSGFYDSEQAVLSLYAVGRISGCTVDIGHGKIDIAPVCEGAVQHVASKRLEIGGVDLTNLFAQELKKSNPSINIDPSDVERIKEQYACCAEDQLAFEAIESSCQPERHTLPDGQVIMIEKERYVVGEALFQPRILGLEDYGIVHQLVTSVSNVSSEYHRQLLENTMLCGGTISMTGFEDRFQREANLSASAIRPTLVKPPEYMPENLAKHSAWLGGAILAKVVFPQNQHVTKGEYDETGPSIVHKKCF >Et_5A_042376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9311479:9312206:1 gene:Et_5A_042376 transcript:Et_5A_042376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPCTIQLSARAATSRRTSPRAAAAKGLRTPLLAGAGRRLGWLRPSRLSGVVPASESGRVGPTCWFRFGNKDAEGAGIYGSQDRDDFDRDDVEQYFNYMGMLAVEGTYDKMEALLNQDIHPVDILLMLAASEGDKPKIEELLRAGAKFDVKDVDGRTALDRATHDIREFIVGFAVKKA >Et_2A_015683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16919175:16924086:-1 gene:Et_2A_015683 transcript:Et_2A_015683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKEEAIRDQATMERRCRGPAWRVTVVYYLCRNGRHLEHPHLMELTLASPNHALYLRDVIQRLDALRGKGMAAMYSWSCKRRYKTGFVWHDVSGNDVLLPAQSRSEYVLKGSLLPKSHSPPPADQEQPSVDATIVPTVQCVKPIADEESPARSQGSQQAGWMTNSSPSSPPPPPSKQLQQPHHGLSSISPSSSSTTKDSDQEVQDTARSSSSGSSSSPNKSKRGSGGSTPSSSGSRRSPSPPPSLTPNNKEHKLVQRSNTTVQGTGEQIDGVPTGRKLQRTKDASGGRSGTLESLIRAEAIVRRGGCGTTSKRTLLEDDESSLVDDKEAVHSLGARLKPANLLMRLVSCGSTMSMRHHPGCGFMRVTHKPQYLSHHLEPPPPSPDLSPLGALIKRPEATGSRVVSETGDSCNCSCRWSVPQTVGKGNEPGNGMPNSSYDPDRDSEKDAFKGNSENLGCISRIVPQIIRMAPSEQSTGETLVTITTDVRHNSAERECGNEPSSTTLSRSKSIRMSDLSSEKTRSSKLVSFQDEKQKVAQVEERLASGARVIIQCTPLLKETYVSAKAMNPTIEPLHLQEPLAVCHPQILILIKVMHIELRLESLHQHSVGSSPSDIHLPPSIDTFLNPPCEILAAATNMD >Et_6B_049462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5104461:5108208:1 gene:Et_6B_049462 transcript:Et_6B_049462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRAALLCADARKEEWNLRKIRVESKKLWEIVGPAIFTRTATYSLNVIMQAFAGHLGDLELAAISFACTVLAGFNYGLLLGMASALETLCGQAYGAKKFHMMGVYMQRSWIVLLMCVVLLLPTYVFAEDILLLTGQSKELSAMAGRVCIWFIPLQFSQAFLFPLQRFLQCQKKNFVNAGAAAIALFIHLFISWLFVYRLQFGLVGVALTLSFSWWAITVMLFAYVTCGGCPETWHGYSLEAFTGLWEFIKLSSSSGVMLCLENWYYRILILLTGNLKNAAIAVDALSICMMINGWEMMIPLAFFAGTGVRVANELGAGNGQGARFAAIVSSMTSLVIGIFFWVIIMCLHDKIALIFTSSIAVLDAVDKLSVLLAFTILLNSIQPVLSGVAVGSGWQSTVAYINIGSYYVIGVPLGILLGWLFNLGVLGIWAGMIGGTMVQTLILAVITIRCDWEKEAMIASTRMEKL >Et_5B_044692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4770689:4771880:-1 gene:Et_5B_044692 transcript:Et_5B_044692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPRGDLSRLLSRLLVVAPRAGCRVTLELRDGRRFRVRVHATDGGRAPALGDGWRDVSSALGLAPGDLAVFERPAPEEAAGERFLFLVRFYDAYDNELLPREHSRDEPDWQLTRSAREARGGGFALQGGGLTVEEATVDLIRNQAVTRSLRSHDGSSRSSSTSSSGERGRAVTPPPRSRSDRIRRHSLASFEQRVRIQDCDRWMVLRGGLARLFAPHAGYRVALELRDGRHFPVQVDANARDGCGETVVLGDGWRAVSASLGLLNGDVAVFVETAASFLFLVRCYDGDGDELLPRVLGPDEPGELARSRIPRSRTHAPRTYRSN >Et_7A_053059.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:5968944:5970878:-1 gene:Et_7A_053059 transcript:Et_7A_053059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGAPWRDPRQGYAAAYGLGSAMQIPLQQQQQQQRTDAAGGGVLKRSLGELERWQLHQNQQVAAQQALYLRAVRQRTAAAADIAALLGGAPSHHHQHMVLPGSSYGGGALASPSSTLSSLTTASRAAQAVPLMQPQMQRQVQHPLMASCSPQQTQALVVSRAPPPPQPAASSDLFLLQELEKQLLGDDDEPVAAMSGTGSAVTNSEWEETIQQLNSITAAPSPPPGLPAAATPNHNTNNNNNAGMTRSPSNSSSSTASSSASCSPPAPGAPATRQLLSEAAVAIADGNIETAAAHLAALKRAANQRGDVEQRLIAMMVAALSSRIAPAASAPATQHLAELCGAEQRNGSQLLHERSPCFRLALHAANVAIVEAVGDRRAVHVVDFDVSAPQHAALIQYLADRRVPGTSLKVTAVTDPTSPFTQQPLTATLVAVGERLKKLAERAGVEYRFEVVSCRAAELDASKLGCEHGEVLAVNLAFALSHVPDESVSPANPRDELLRRVRALGPQVVTLVEQELNTNTAPLYARFTDACAHYGAILDSLEATLGRDSAERAMAEAALAKKAANAVGREGPDRLERCEVFGKWRARFGMAGFRPVALSPSIADQVVARVGPTPPGFAMKTENGVLRLGWNGRVVTVASAWR >Et_9A_062363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22162659:22166990:-1 gene:Et_9A_062363 transcript:Et_9A_062363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKDPEADGGKPGYSSSGHLPPSAPPHLEGQPPQQYQYGYGTFQGAQSGSGEFKNPPIGFPQPAPPPGFGGGGYHHQQQPYYAQGYQPVQGYDPVVEGRPVRRRRLPCCGIGLGWFITGFFLAAIPWYVGAFVLICVRVHDHREKPGYVACTIAFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRSPCIQIIKTATVHFKLCKRDNTKQFHNAKIKFPLVYRKVRPPTRKLKTTFKASRPNLFMVSLI >Et_4A_033769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26697074:26703766:-1 gene:Et_4A_033769 transcript:Et_4A_033769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSSSDAEDLPARRSDATDVAGNVWDLAAISPPPAGGREIYIYRNTYNLVPRSVGRGGGLRSLKFFGNDVEVLPPDAEGELDGLESLQVKVSAPRVSGAPLRRMRLLKELELSMVPPRPSSCSILAEIAGLRCLTKLTISHFSIRYLPPEIGSLRKLQELDLSFNKLKNLPNCIIELSSLKFLKVTNNKLVDLPPEISSLRCLESLDLSNNRLTSLGSVKFVSMLTLQYLNLQFNRLSHLHEIPTWVCCDMRGNNEKASKGGKLQCPGVETRISLAESKTLSRGSDGAHSCSHPEASPNLKHHAPQKMKKGWKRRDCLQQRARQERLDSSRSKLKTSSISDDVSSIIDDDFDGLMKDSGMMLQDHPCEEKPRMNMINFRDNNSCISVEPAFRRGRVHSVENELEDTASSAHDVGEIVQESSSETSKCTSKSKRHPDMDSNPKPSKFPRPIDECSKISYKYSVESFCSIDDHLPDGFYDAGRDTPFMSLEGYERSFGLNAREVILLDREKDEELDAIASSAQLLLSSLKRPSSFETDEDSGHDLLRASVLALFVSDCFGGCDRSASLGRTRRAIVSLRKEQPFICTCFIGTVCDSSEASKQTNILAGHFNVNGLCDRSIHIIKERRNSGIVPIGALQFGVCRHRAVLMKYLCDRADPPIPCELVRGHLDYTPHAWNVVPVRKGNGWVRMIVDACYPTNIKEETDSEYFCRYFPLGRLNDPLDDESCTTRCSFPSISMCKEIEVTASSSVYHCKIGAADAAAKVRYLDTRSASNDEIKSFEYKLLGEVRMLGAFRKHKSIVDIYGHQFSSKWVQDDGGKEYRILQSVILMEYVNGGSLKGYLTRLLKEGQKHVPIDLAFYIAREVACALSELHKKLVIHRDIKSENVLIDLNSKSAGTPLVKLTDFDRSVPLHSLSHTCCIAHLGAHPPNVCVGTPCWMAPEVLQAMHEKHQYGLEVDIWSFGCFLLEMLTLRLPYQGLPDSEIYDLIMRKKQRPRLTQELEAFWTVDEPVTRLKLGITSDSHADKLRHLIDLFYQCTRGNASKRPKAEQIYSSLCSLPTCYDIR >Et_7A_051900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:26208644:26220412:1 gene:Et_7A_051900 transcript:Et_7A_051900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENTPPPPPQPELAGEKRKREELSPDASIEEASAASLGGEDASTNGGSRHPMWKTSLCSFFRRRGAGAEGCSHGDSCRYAHTEEELRPRPDGTWDPTSERAKKLRKVAEEAQEEAEEKVTVDEQSLDKCLVGLPRGSHRPVAYNGTSTENSSNPATGAGETSAPEVAGSGKKSACDAVTPLAHMSYKDQLEHKKHSMAQILKRLTRNARKACPSTVPLPNWVFKSKEIGGLPCKLEGILESPVVNGYRNKCEFSVGYSLEGKKTVGFMLGNFREGVTAVEEPVNCPNVSEISCKYGLMFQDFLQSSSLPLWSKIDNSGFWRQFTVREGRSPAQPVSQNAESQISEVMLIVQVCSTGVDEALMKEEFDKLSAALLQGAATCSPPLPLTAMVVQDHKGISNAAPADCPLIPLLMPKEGDQLESGAEDKTRIHDHISNLKFSISPTAFFQVNTLAAERLYTLAGDWANLNSDTLLFDVCCGTGTIGLTLAHRVGMVVGIEMNESAVSDARRNALINGINNCRFVCSKAEDVMGSLLTEYLGSPHQEIAASDNNSVISDTSKTGDILDRSESNGESVDGSTQKSDNGESQQPRDTPVDHPTCASDGEIKGNSVDRVDKEVDGSHSEYDDSDGEQKCGEASSVNDESIKEALTESLEHSKTCRDDSTTPKSDILDSTACQFKNVVAIVDPPRVGLHPTVIKALRTHPRIRRLVYISCNPDSLVANAIELCTPTAEKQEKNKGNRGWRNMSSAGLARQRTKSMPNSEPFVPKRAMAVDLFPHTSHCEMMSVEMGRRRQYTSLEAALTLAVLRSCRSPILDTIVVHGGPLPPIILTSLEMHSLLKIQQ >Et_10B_002850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12494768:12497383:1 gene:Et_10B_002850 transcript:Et_10B_002850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAFPLVSHLPPKKSPPIRPSPPPVRRYASSAGAAPHPPVPPPRPPLPPASAYVHLPFCRKRCHYCDFPIVALGSSSPSPSPRGEAAEDPRITDYVRLLLREVAATRPVSDDAPLETVFFGGGTPSLVPPRLVAAVLDALRGRFGLSACPEVSIEMDPGTFDAARLRELVGAGVNRVSLGVQAFQEDLLRACGRAHGLAEVREAVGIVTACEGLQNWSMDLISSLPNQTEEMWEESLRCTVDARPTHVSVYDLQIEQGTKFGQMYTPGVHPLPSDTESANFYKIASKRLSEAGYHHYEISSYCKPGYECKHNVTYWQNRSFYAFGLGSASYINGVRFSRPRRMKEYAEWVQNLEDGTWSHESGNSDMKEMAMDVVMLSLRTAWGLDLRSFSKSFGKSLSLSLCNVFKPFVESGLVVAMDVQRQALSPTDFELDLQSEFDFGSRAAFIRLSDPDGFLLSNELRR >Et_7A_051537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2032999:2036276:-1 gene:Et_7A_051537 transcript:Et_7A_051537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNIPAAAGANACDEFPYVSAPPPSLLPIMEHEQQQESSIQRGEHHHHHHQLGYNLEANSLALLPPSNHAAAHQTTIASHSPHDILQFYPAAAASSHHHYLAAGAGNYFGAAGSTFQSYYPQAAAAAAQPEYYFPTLVSSAEENMASFAATQLGLNLGYRTYFPPRGGYTYGHHPPRCQAEGCKADLSGAKRYHRRHKVCEHHSKAPVVVTAGGLHQRFCQQCSRFHLLDEFDDAKKSCRKRLADHNRRRRKSKPSDADAADKKRAQANKAAAAKDKAGSSSKNMDIGDGLTTQVLGSALFSKEQDQAMDLGEVVKEAVDPKGKSSMQQHAHHQGIHQQNHHGFPFPTSSGSCFPQSQAVSSDNTSNIAQVQEPSLAFHQHHQHSNILQLGQAMFDMDFDH >Et_1A_006403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2190214:2197958:1 gene:Et_1A_006403 transcript:Et_1A_006403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TSALLSCCEGGLEGSNLNSSGSNHPDSTGRPFTSSFSGQSGSIPGFHHSGLHNMPGNFNIPNMPGSLAQRNAAMSGLPSSGVQQPGGSMPGRFTSNNLPVAMSQIPHAHSGVSGRGMNVGAGPAFSSSMNIGGTIQGLSSNLGSGGSRNSVPGMSVSPALGNLGPRITGSVGNIIGGSNIGRNISSGGLSVPSIGSRMNLSGNAGGGNLNVQGSNRMMNGLLQQASPQLMNMLGNSYPTSGGSLSQNQLQAGNNSLSSLGMLHEASDTAPFDINDFPQLTGRPSSAGGPQGQYGSLRKQGVGVSTIVQQNQEFSIQNEDFPALPGFKGNSSDYAMELHHKEQLHENVPAMQAQQYPPMARSVGFNLGSSYPSNRQQHQQGSSSNAGLRPLGQTSSFGSYDQLLQQYQQPQTQNPFRLQQMASATHPYRDQSPKPVQGAPTPPDPYGLMGLLGIIKMNDAELGSLALGIDLTTLGLNLNSPDNLYKTFGSPWSNEPAKGDPDFHIPACYLTEPPPLLQPGLFQRFQSSTLFYIFYSMPKDEAQLFAANELYNRGWFYHKELRLWFTRFPNVESLVKTPLYERGSYACFDPNSWETVRKDNFVLHYELIEKRPVLPSAAQNARYLLRPDSIFSPVGWDQ >Et_9B_064425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15002456:15004084:-1 gene:Et_9B_064425 transcript:Et_9B_064425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTVLLVLSVLLIILSKLLKSLVVAKPKLNLPPAPWTLPVIGSLHHLISSTPSPHQAMCRLAKKYGPIMMLRLGEVPALVLSSPEAAEEVLKTNDLKFADRNLNATLNALTYNGTDLTFAPYGERWRQLRKICVTELLNPGPARLLSYRHVREEEVSRFVQNLATLAGSPVDLTKMIYKFINDTFVRESVGSRCKYQDEYLDAFRTALRQTSSVTVADIFPSSRILQLLGTAPRKVFAARSRMQRVLELVIKEKTEAMDRGEEDGPGNDCFLGVLIRLQKERSAPVELTDNTVVALMFDMFAAGSETSSITLTWCMTELVRFPAVMAKAQAEVRDAFKGKNKITERDLEGLRYLKLVIKETLRMHPPGPVLIPRVCRETCQVMGYDIPKGTVLFINVWSIGRDPKYWDNPEEFKPERFENNNLDYKGTNFEYLPFGAGRRVCPGMNLGLDNIELALASFLYHFDWKLPDGIEPKDVDISEAAGMAASKKTSLILQPVTRIPPANNA >Et_4A_034306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31571896:31573501:-1 gene:Et_4A_034306 transcript:Et_4A_034306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPTEGLGPWFLRFRLSRVRFPATAPWRWRRRRGGIRSSLTLPRFVGVPLRRRRRVCGGGAPVEMPLYRSFGSVLRRLVEVVRLPAFWFQDAKGLEGFVEDGGVGRPQDSLGGCLRRRRDLIYGKENPGRGPGRRHLGDGLIPSFEAGVYCGSTKSFQAMGYSLLWGRWMPVLLVLVGRRQVPAAEASSSWSSEQDGLDSCPFCIFVACHQSIGIESPPATMGGRVVTQNVVMVNE >Et_5B_044792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5950778:5967955:1 gene:Et_5B_044792 transcript:Et_5B_044792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRSIRCRCLFSSHHRHHGFVAERSGASLGRDWASLDAGPAGLIAERVLSNDVVDLVRFRAACRPWRACSAHLRAQGVLDRRFHPRQWIMLPDVFNDVVHHRRCFINVFTGERIYPSALPKPDICHLLGSTSEGLVLLSRKDADLVQLLNPITGQVVDLPPASKLLEDPCERPEERELRGAGLADDSTIAIHLKSFSLALAKPGDKRWTYLRSRHRITSVLPLAGRIYCATEKNISVVQTMANQRPQLVAAADHNLDMSTADFGGEPYVGRTKIFLVENNGELILCHRRCWEPGSTTHGSCCLYRVNLDTWNLQPLARLDGRALFISRRRSLLVASRVSPSISADTVYVCWPKVVAIDLSGGCAKPKFKKGDDAAYNIYRAITMASPSAAESHRRRDWANLDDGPVGMIAERVLSNDVVDLVRRCAGRGASAQLTCVLKASWTDDPYTFHVIGSTSEGLVILLLGQRNTDVVQLLNPMTGQVTDLPPATKLLRNKQESVVGSELRNAGVADDSTVVLRLESSALAVAKSGDKRWMHITSHYRITSVLPYAGRIYCSTRKNISVVQIMANKGPQLVAVADHKLEIRGLELELELSEERSQIFLADNNGKLILCHLRRSQPRLHGSCNLYRVNLDKRDTHSMRRLLLVPSRVSQSVNADTVYACCQNVVAIDLLGGYSEPKFMKDDAAYYLACYVHKYIKLDDRRRAKHKRTGLGVGFDDTTRDWTNLPEGPAGSIGERLLSDDVADYVRFRAACAAWRACSVEPRAHSVLDRRFHPQRWIMLPSTLNAAGNRRLFLNVFSGERVGVRLPDSRLCYVLGHTAEGLVLLCQKDTYLIQLLNPLTGQVADLPSAATLLEESPSLVFINPLAQKRTNHDSPITDTDTASDEEWSLDDELKAFRLRSRFYCINDDNAVLVVETAAEQQPQLVQVSNCMLGRRVRWLDRIYPVDNDGMLFICFRRHNVDFEETYCAYRATLDAGEMIPTKVLDGRSLFVDQYDTRSVSVPAGVSSSIKPDTIYVCKGYDANSHRPRIDAFDTLGGSVEQPNFDEDDIAYYLSCYACVYVCKGDNNSGRLRIDAFDALGGSAEQPRFDEEIAYYLSTSARGRMRTPGATGRTCLKGPAGSIAEQLLSDDVADYVRFRAACASWRACTVEPRAHSVLDRRFHPRRWIMLPRTMNAAGNRRLFLNVFTGERVRVRLPADSRRCSVLGHTAEGLVLLCQKDTYLIQLLNPLTGQLADLPSAATLLRDSPSLDLIRQLLKKRSSHQSLTSDPASDKWSLDDQLEAFHQLRSAGLIANSSTVAIYFGFVELGLAFAKPGDESWTTPSGILPRIQSAFMFEGRFYCITVDNILVVEITGNQRPQLVQVASYTLGRPVHLLDDIYPVAKDGMLFICFRHDKYSLAEKYSTYRADVETGKMIPTKVLDGHSLFADQYNMRSILVPARISRSIKSDTVYVCMGDESPGRPRIDAFDASGGRVERPSFDKEDIVYYLSCYARVRRHQARLGEPAGGAGPAGLIAERILSDDVADYARFRTACASWRACTVEPRACSVLDRRFHPRRWIMLPPTWNVAGNQRLFLNVFTGERVRVRLPDSRRCYILGHTGEGLVLLCWKETYLVQLLNPLTGQLAELPTPHSQLDEQLKDFRLCDAGLADNSTIALLFGYSNIAFAKPGDQSWTRRRDFPPPPPPKSYLRRFYCITTKNILLLETRVNQRPELVQVANYTLGRPIYGFDSIYQVHNDGMLFICFRHGLAKFNHNLEDKYRTYRANVDTGEMIPTKVLDGHSLFIDQYGARSISVPAGISPSIKPDTIYVCKGYYANSRRPRIDALDALGGSVEQPNFDEDDTC >Et_3A_023885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1478057:1479677:-1 gene:Et_3A_023885 transcript:Et_3A_023885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGFVLGCLADEWAHLGVDVIFAADVFHDLHEQVIATSARGRKVLTRVQNIEAALPSLEKAVKNQKSHIHFAYVPGNHVFTSSMSKYTDQKSNHHAKGSDWHTQLQNEQNHLLSSDLPRFMMDSYEECRDPPRLYLLDKFDNAGAGACLKRYSDPSYFKKAWDMMSADKNANLKREKRSQKIKVPLFIPVFGVHICTQLLLFIAVSGGSQILRINMWSLIIVTFNDDSPRFIA >Et_7A_052749.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15313323:15313541:-1 gene:Et_7A_052749 transcript:Et_7A_052749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDARYLMQQAAAAAAGDDRTGRNGGVSPAAAADAPVVPVVLWSGDDRRMKQELVACAKAVASKVARESMR >Et_5A_041085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18023700:18025952:1 gene:Et_5A_041085 transcript:Et_5A_041085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPATVPGGDTLLPGKRERDSDDSGSGDLREIVCLLRLIKGGANKDGQKMCQEIIASVAEDIQTMLEETQMKFEKERQNLLSVLSNTSKEQCESSLNKEYNKCQETYEMFCREKDAHMRTFRDLFSKVDVEKEKLLQQYEHHKKAETATLSELDKTFTEKIAYAERSVRRMKQDDRSFIIFRKSIGSFLECGSDDDFDLDDE >Et_10B_004339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4242077:4244011:-1 gene:Et_10B_004339 transcript:Et_10B_004339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKDSKASLSAGMQLRPSVPSALPGKKVAHVVRRKTSKGVVVACMLEEKKYFAVNFDAFEHPHQNSWLRHCSSARVFGHGRYWTGHDIYTVVAKISSTASGPRTSPCFSPSAETISLPTTRSARDVAERLWRAYLAAGSGKGVFRVRPFGDAVIGGVDFYMIEHCVSARYDELARRLPGYKKAPVETPPDGDGEVTSSDAAPDGEALSSRALPLVQDTPGYGGVMLWNRYFDSRRDHYRIRIYEAHRLIKALSVGIQIDRLYQLVACSNNLLVITANKQ >Et_9A_063150.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:12080167:12082803:-1 gene:Et_9A_063150 transcript:Et_9A_063150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPTFLVVLRCLPLLCLFSLAAAADNKSTISGPIRLDCGSSTTTGPDTDNRTWDGDNGSKFAPSLKGAAATASYQASGLLNTVPYMTARIFTSNYTYSFPVSPGRMFVRLYFYPSIYGNYAPENAYFGVTAGNLTLLDNFNASQNALAIPAAFFFREYSVYITSGMLNLTFSPSTHPNGSYAFINGIEIVPTPDLFTTTPTLANGGNPNPFPIEPTWSFQTMYRLNVGGQYISPGNDVDFYRIWNDDSPYIYAADYGVFFGKDDNVTIKYTSSVPNYTAPVNVYATARSMGTNAQVNLNSNLTWTLPVDAGAYYLLRFHFCEIQYPITKINQRSFFIYINNQTAEKQMDVIARSGGIGRTAYTDYAILTVGSGQVELWVALHPDLSSQPEYYDAILNGLEIFKIQSTANNSLAGFNPTLPLPQGVPSGMPGGGKSKSVAPAIIGGAVGGAIVLVACISLCIMCRRKKKVAKDSGKPDDGRWTPLADYSKSQSNTSGKTTNTGSRTSTLPSNLCRHFSFGEIQAATNNFDQAFLLGKGGFGNVYLGEIDSGTKVAIKRGNPMSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEDMNEMILVYDYMAHGTLREHLYNTKNPPLSWKQRLEICIGAAQGLHYLHTGAKQTIIHRDVKTTNILLDDKLIAKVSDFGLSKTGPNVDNTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPSLPKEQISLADWVLHCLKKGTLGQIIDPLLQGNIVPQCFTKFVETAEKCVADYSIDRPSMGDVLWNLEFALQLQESAEDNSSLTEGTSSNTSPLIVPRLHSNEPATDTTTTTSSTMSFTGRSITSTESDGLTPSSVFSQLMNPGGR >Et_2A_018324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22991178:22992721:1 gene:Et_2A_018324 transcript:Et_2A_018324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAVDAFKFKDDPRGCNHSVHSGFLTLFLTGPDGVRRPRPSGSGSRRRPPLRRPSVTRRIAFGQEIIVAELAKIERAIALRSAASHRGTASSSAFPERANPAAVHFAAVGQQFVPHGGGALGAEHQVCADKPRDVNEERRCGVSSVHVGVKMKLEKPDMEDDAVDSKAPDQEKEASVQSHSDQALLPKRANPAAHFAFDKQFMAQGRGTIGAEHQVRAHESRDVKKEEKMCGVVQIKSEKPDMADDDLVRECSKTSVSDGMAPDQEKGASDDERKEESTEAVLPKKRSPSVKWSCAICLVEASSEGNLLRHFAGLKHQVNVIALKAKARAEKSRKARQYAEKPHLAWVCKFCPANCTGKSVLESHLKGKKHHAKIQALLEE >Et_10A_001022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20282460:20283847:1 gene:Et_10A_001022 transcript:Et_10A_001022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLGVQVTCPPTPGEDFAFAATETDAAFLVLAHLPGYAKDDVEVRVGAGGAEVAVAVARKDAFSVEATAAGRVRVAHRQVVDGFRRVFAVPAGVEVGRITVGFEEDDGLLVVIMPKLRPAPPPSTDCDCESLSGVEVEVESEPEPGDVEVEVEVEVGDDEASSLEMEHEDWVDVESEEEEPEPRDVAVETPVEVVEERDVAVEAELAVETPVPVETELAVETPVEVVEERDVAVETEVAVETPVPVEPPVVDIECDVVFAFEPPYQEPLVETPIEVVGPPRSEPDPPPDVPNPPVDIPCVVPPEPEEPKPPPPPEPVQEEPPPPPPRARTPPPEEPVVQEPPRARTPEHSESSGESTDGNDGENQEGGGGGRRRRGGRGARRRRGRRRRFPLGMVVAPAVIMLALLVAAARRRRQQQRGAPGAR >Et_2A_016646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26899688:26903406:1 gene:Et_2A_016646 transcript:Et_2A_016646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAMAVAVAAAAPAPAAQAVHRSEFPPGFLFGAATSAYQIEGAYLENGKGLCNWDVFTHMHPEGIMDGRNGDVADDHYHRYMEDVEILHSLGVNAYRFSISWARILPRGQFGGVNPDGIAFYNRLIDALLRKGIQPFVTLNHFDMPHELETRFDGWLGAGIREEYAYYADTCFREFGNRVRFWTTFNEPNLSTKFQYMFGVYPPIRCSPPFGNCNSGNSLREP >Et_8A_056738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16053047:16053633:-1 gene:Et_8A_056738 transcript:Et_8A_056738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKKFHVRVGQRNPCFSVDTAAPAPVVLFWDFSLPALLLALALTLLSFAFAVYLVAVCAVAVVASAAEPGRRGPGAVARAWRLVRGTAPRAFVYVAATCALSVAARRARRVVVTGWLLPQSLAGAGSDENLVADVDAAFKYVLDYVVEVFSAAATTGYYSVLRLPEEQGGEQGWSC >Et_4A_033730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26227816:26230217:-1 gene:Et_4A_033730 transcript:Et_4A_033730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVERPRPESAIEENEIRITAQGLIRNYVSYATSLLQDRKINEIVLKAMGQAISKSVAVAEIIKKRIPGLHQDTNISSVSITDVWEPIEEGLVPLEMTRHVSMISITLSPGELDQNTPGLGSSSASSRDHHSANLADHRATSSSLIMKIPMLGVEVEGAVDVEGAGVEEAMVVMVDMETTKGGTTKAVGTMTIKVDMADMIIKVDMVVDMATTKADMETTKKMVDMAEAEVVCVEEATIITVVATKEVGVDTEAGEAMKAAGAAMKVVGEAMKAAGVAMKAAGEGIEEAGVAMREAGVAMREAGMVMKEAGAAGILAEGDMVAVEGEGWVAVGKGTET >Et_4A_032829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14399926:14404291:1 gene:Et_4A_032829 transcript:Et_4A_032829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATADGGIGTILVSGDRDFLVRNSGEQVNVSSIKASTVAIYFSASWCPPCRRFTPKLIETYNELASQGKSFEVVFVSGDQDEEGFKAYFAKMPWLAVPFSDSEGREGLDGRFKVSGIPHLVILNAKTGEVYTEDGVEFVSEYGVEAYPFTPDRINELKKQEEEAKANQTVHTVLGTPVRDYLISNKGDKVPISELEGKYVGLCFVVNGYGPVDDFTAVLAKIYEKLRETGEKFEVVAVSLDNDESSFNESFAKMPWLAIPQGDKMCEKLVRYFELSALPTLALIGPDGKTLNNNVADIIDEHGFEAWEGFPFNSEKLEILAEKAKAKAASQTLESLLVSGDLDFVIGKDGAKVPVSELVGKTVLLYFSAKWCGPCRAFLPTLVKEYNKIKEKNSDFEIVFISSDRDQSSFDEFFSEMPWLALPLEDERKASLKKTFKIRGIPSLVAIGPTGQTVTKDAKSLLMIHGADAFPFTEERLEELKKKLDEVAKGWPEKLKHELHDEHELVLMRTEVYSCDGCEDLGSSWTYRCVECEFDLHPKCALAEAKKDEEEKATEETPAGYVCEGGVSVDDEVDCQRELASPSGSAHDHQAGTGWSI >Et_9A_062986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7917325:7919503:1 gene:Et_9A_062986 transcript:Et_9A_062986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGYEIDLNTDPVLRWVPLDDGKMKVNVDGDYNEKIGAAGLGGQPLLTATRVLFHCRDDEEAKVAACLDDDRHDCAAVVSKIVDGGVERLLTGPLINDIWLEVAQLQEQAVKKVGRSQNKIALHFLRRGRGCRQVHDGAIWVVVTATTGALVVMVPWVGSMLSKQVFGHNIVMVADWIVCL >Et_2A_017076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30732261:30737170:-1 gene:Et_2A_017076 transcript:Et_2A_017076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAGAAEEGGGGGGGGGVERMKLLCSLGGRILPRPGDGTLRYAGGDTRIVSVPRGVALPDLQSRLSEAYGGATGQHFAIKYQLPDEGLDALISVSSPEDLDNMVEEYLKLDGASPKLRVFLFPILDASGGSGVGGEELEGGSFDAGLRYLEAVNGIVRKDSIASVSSAQYSDAGLPPPASSAGGAPGSPVGLSPTSTSSNDAARSAFSGAAPPPLVDVFSNAAPAPVPVKPQESAAEVRAPQASPHAHPHPHPHPEATRYRQPLSQLPPLPPVFMNDHRDAMQGLNQPQPGNGVRFEDCNMCLKSLPHAHSDPVVNEYGNEVQGGAAPDPGPVFMSLRPEDVARIMMPERAVQAPMGAYGYTHMHQVPQDRVYVPKVEGVANPVFIDQSGMHQHVYVQQQHHQQQLPPQQMPSTYGFSHIPVIPSEKDRVVSPSSAHSDVASSHQQFMQQPQQQLPSGHGMAQYPVKPVSPNNPLAGEGSLSGNSRHREDGQVYRDNAPPVAPVAVPTYMANVDRMMDSLRVSPNESSAEQRKHAMSPDNALPQHAMPEHSQGLPENNISARPDTRAKEVHLSNTNTFFDVNEPKVLLQTESMPPPSVANSYMHNEPNVLLQAESMPPPSVANSYLHNVQHVNMSHMPHMMSIGGPYSSYVVATVGPGGVPASAYGMDMVYANATVNPMSERKDVPPEVYLKEAPHEVITPPNTAQVATAALTSHAPSVEQHQETGLPGQGQQFSNEDPWKVATNAHPLPPRPKRVASRENISPKDPHPHNNLLNCKGPDLNLPAEEQQQSEHRDAYAKHARFIKGDDITSPDLAGMEDGLPASKTESSDAYLSKTPESIPSLRNEGIGAATAKSDDANEVVKSKPADLPADLQVTIMRYFFSSAPIQYCILLMISCILHVFQIIKNNDLEELQELGSGTFGTVYHGKWRGTDVAIKRINDRCFVGKPSEQEKMRSDFWNEASNLADLHHPNVVAFYGVVLDGPGGSIATVTEYMVNGSLRTALLKNAKSLDKRKRLIIAMDTAFGMEYLHNKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVLWELLTGEEPYADLHYGVIIGGIVSNTLRPPVPDSCDPEWRALMEQCWATEPSERPSFTEVANRLRSMAASQKVQN >Et_1A_009060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27749404:27752170:-1 gene:Et_1A_009060 transcript:Et_1A_009060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATAAEAEAALGRAMSPAEALWFRYTADVPDYYLYCCNILFLFVVFTLAPLPVALLELRAPAAVAPYKLQPRVRLSRADFAKCYKDVLRVFFFVIGPLQLVSYPAVKVRELLPTISSSMVGIHTGLPLPSLGEMAAQLLVYFLVEDYLNYWIHRLLHGEWGYQKIHRVHHEFTAPIGFAAPYAHWAEVLILGIPSFAGPAIAPGHMITFWLWIVLRQVEAIETHSGFDFPFSPTKYIPFYGGAEYHDYHHYVGGQSQSNFASVFTYCDYLYGTDKGYRFHKAYLAKLKDLGQNDCQKGDDNGFSNTKLD >Et_3B_029651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26853678:26856228:1 gene:Et_3B_029651 transcript:Et_3B_029651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QVTTMQHLTIIAFVAASLLFASIPHAKSADLNSDKQALLAFAASLPHGRKLNWSSTTQVCTSWVGVTCTPDKSRVHTLRLPAVGLFGPIPSDTLGKLDALEVLSLRSNRLTIDLPPEVGSIPSLHSLYLQHNNLSGIIPTSLSSSLTFLDLSYNTFDGEIPLKVQNLTQLTALLLQNNSLSGPIPDLQLPKLKHLNLSNNNLSGPIPPSLQKFPANSFLGNAFLCGFPLEPCPGTAPSPSPVSPPSPNKTKKSLWKKIRTGVIIAIAAVGGVLLLILILLLLICIFKRKKNTEPTTASSKGKAVVGGRTEKPKEDYSSGVQEAERNRLVFFEGSSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVVGKKDFEQQMEMIGRIGQHQNVIPLRAYYYSKDEKLLVFDYVPSGSLAAVLHGNKAAGRAPLDWETRVKISLDVARGIAHLHSEGGGKFIHGNIKASNVLLSQNLDGCVSEFGLAQLMTNPQSAARIVGYRAPEVLETKRPSQKSDVYSFGVLLLEMLTGKAPLRSPGREGSVEHLPRWVQSVVREEWTAEVFDTDLLRHPNVEDEMVQMLQVAMACVAIVADQRPKMEEVIRRITEIRNSYSSGTRTPLEDKPGTSQAP >Et_6A_047554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7275134:7278210:1 gene:Et_6A_047554 transcript:Et_6A_047554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFNPLISHLLSGKSMRYQAFQESKFCYVGIRPINFADRGVEAMIGFVCKEFGNPTKGFNLGFMLRISESTDVFGVIIKCMESVTPRFMFAAEAVKRELIQLPTQDFSWVPYSPSEGEYWINVHSTLTQWYRPDPLCCNTAVSPSKQMSSMFPEEVISVFLQCHVSPSDDRKKGIRSSAAEHGDTSSLNPDMPSLKLGVLFIPHDSADDIDTADESYALEVIGKEVQEMVHRNVCPQDIDEKLMAKAMDYLYQNKGPRMYQICLKSRHGTANICVEKMRVHNKGKTSRSRVRDKRVLQHGDHYSVAGWKDASRDLSKLWVMHSSDQMQGSTRSWCILMNMNGKALVCMRIDEGVKRSRVAHVVFLGRDAERPICVGSKHFNGGLVGRRKRGWRPRRTAAAALFQTNNQAPGVRQTWETDQANKKPANNGERRADEEKDGERWHHAERTAGRFWRRFRMPAGADVDRVTVPKVAEHRRREPRVISIAGEDGDGQGGRGQGVQEGRDVSLLPVQEQPGCQ >Et_8B_060257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:874191:875640:-1 gene:Et_8B_060257 transcript:Et_8B_060257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRLLRSPASIAFLILSFFQGSVCGITFTFTNRCTGTVWPGILSGSGTPPLETTGFALSPGQSRSLYAPQGWSGRFWARSGCSFDSSGKGSCATGDCGSGEVECHGAGASPPATLAEFTLDGAGGKDFYDVSLVDGYNLPMLVQAAVPDCPDTGCLVDLNERCPDELRADDGRACRSACEAFGSPEYCCNGAYGNPDTCHPSQYSQLFKSACPKSYSYAYDDATSTFTCNHTDYTITFCPKSTPSGGNSKDSPKRPSHEQLEDDVWLASLKASDAGAPTAASWSATSAFHLALAIAVVITLAVFDHPLLNLL >Et_2A_018669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4730992:4731864:1 gene:Et_2A_018669 transcript:Et_2A_018669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRACCAKEGVKRGAWTSKEDEILAAYVKAHGEGKWREVPQRAGLRRCGKSCRLRWLNYLRPNIKRGNISDDEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSTLGRRAGAGGGGVSTPDAGYHSTPTASASNDAGQASAPGGDAASGSAGTTDSAAAVSVWAPKPVRCTGGLFFLRDARPEDETQTRTGGSGEGSDDCSSSAASTFAGADEPCFSGGVGGDWMDDVRALASFLDSDEEWIRCQMA >Et_2A_017187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31882034:31884145:-1 gene:Et_2A_017187 transcript:Et_2A_017187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SCKEGICLTQMHMSLLVSNDGVQVEVMIDFLIWGLRHSIQGCPVSCCLTFAVSPLAAAIFHLFANVLKLQMLALDVRPY >Et_7A_051188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15278420:15283733:-1 gene:Et_7A_051188 transcript:Et_7A_051188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSVLRNLEGLRSLAGSTSTAMKAANPKPSSGAGGRAYGSFANLKITAEKLAKGQASVKTDLEMAHTKLRRATEQINLLEGKLQQAVNENAKLKVKQTEDSILWQGLDKVSSTKTLCRKLTKTPQQLFSRTEQAEEDKKLFEEKLGKNSTALDEFYWLLHSFNNKLERAEETNISGEQQMLQIKHEKEEIDQSWHSCSIEREKTLEKQKLQVKASLAATECQLVEAKKQYDLMLEGKQIELSKHLKELSMKNDQAINDIRKKYELEKIEITSAEKQKAEKLIWEMESKCNENISENKKDSESYLMHLKEEHGSMVARIQQDNEHKESTLRAYHKDELQRIQSQAENELERLSLLGKEHELQIKSLRIQHEEECQRLQEELELQKSKEEKQRALLQLQWKVMGENQQVDQEVNSKKVYFVSSIKKMDSYGRKEHELQLVCPEVKRKDVNLSQNISQMPITNMLNKLQTGYHDFTKNIKDPLINTKRCFSTDAKADKEWQELRKMSIEGNTALVVIDGYNRGAFKRSYVRGLRKLIAWCRRNGIYRDLS >Et_7B_054112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15613055:15615924:-1 gene:Et_7B_054112 transcript:Et_7B_054112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTLTAGRAVMPSSDVETGAGNNNNVVKPVAADAGAAFVLESKGTWWHAGFHMTTATVGPALLSLPYALRGLGWWLGLAALTALAAVTFHCYLLGARSLRGRRTTTRSKWASYLVLTIQTAINAGVSIGSILLAADCLQIIYLRLSPHGSLKLYHFIIVVAVVLALLSQMPSLHSLRHINLCSLVVSIGYTVLVSVACICAGVSGNSPVKDYALSYSRSERTFNAFLSIAILASVFGNSILPEIQATLAPPASGKMAKALVLCYSVLFLTFYFPAITGYWAFGNQVRSNVLKSLMPADQSAPSLAPEWLLILAVVLVLLQLIAIALVYSQVAYEMIETRSSEAAQGRFSRRNLLPRLALPTAYMAACALVAAALPFFGEIIAVVGAVGYIPLDVVVPVVMYLMVLAPARGKRSPARVANVAIAVIFVGLGGIGAVASVRKLALNAGRFKLFSNGLS >Et_9A_063561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7477547:7479249:1 gene:Et_9A_063561 transcript:Et_9A_063561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQANTS >Et_8A_057078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20859421:20861314:-1 gene:Et_8A_057078 transcript:Et_8A_057078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCFICGTDMKQNIGCEGVNKVKVYSYNEMRKATHGFSGASKIGEGGFGSVFRGRLKDGTIVAVKVLAANSRQGIREFINELAAISDIVHENLITLIGCCAEGSHRILVYNYLENNSLAHTLLGPGRSNIRFNWRARIKIAVGVARGLAYLHEEVRPPIIHRDIKASNILLDKDLTPKISDFGMARLLPPNATHVSTRVAGTIGYLAPEYALRGQVTKKSNIYSFGVLLLEIVSGRCNHNARLPSEDQFLLERTWTNYEQGKLEEVVDFDIGDDLDIEEACRFLKIGLLCTQDAMKLRPNMTSVVQMLIGEKAVSTEKVVKPAVISDSELKVNNEQRPTDALSPTMKSFATTDLSTSSEVTTQSSL >Et_8A_057555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4415572:4432538:1 gene:Et_8A_057555 transcript:Et_8A_057555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GEAPQWRTWAVYPTTSSCGSLPFSVSSRRRLCLVCKRWRDIIDRHALERHVRISVLAFTSRRGRGCGAVVLDAKDGSHRRHEWTYPCSHKNSRVKLVGTCNGLLCLHETLLLRSDGKLSTTITVSVTNPVTGETMALPPVPSTSWDHLGQQLMSQQYGFGYHPLTGQYKVVHIPCLQNQEVKSVQVFTLGGVSSSWRDVPVLDPAGASYDPYHNVVSVDGTTYWLTATADRVMALDLKNERVTSFNAMAADADLTNIHARLGLAGGWSTWPAAVEPVVQLDLRGSLIASPHLTHGEYILSASWELSEKPTWLLYRHKVGNLTGKDSQKRQLQLSNLPDDLFMRIVLPFSMSSRRRLRLVLAFTSRRGRGCGAVVLDAKDGSHRRHEWTYPCCNKNSCVNLVGTCNGLLCLQEIMVSSSDNRLSTTLRVSLTNPVTTETTVLPPVPSTSWDHLEQQLMSQQYGFGYHPVTWQYKVVHIPCLQNEEVKSVQVFTLESTTSSWRDVPVLDPAGASYCRHRRIVSVDGTTYWLTACADRVMALDLKDERITSFNAMAAERPDATPMKDVHWMLTNIHAKLGLALLTWARVEVWVIEGGGLQPRWSQVYNMTRGSLNRGSLITKPHLTHGEYILSSSWDFVEILSRIPPSARRRLRLVCRHWRNVIDERTPESKSRAVPLAFVLNDYMSAAHLVREGGPRRGSALWTTYARHRQPAYGQWFCWWLWEPGSYHRFDTDLVGTCNGLLCLCDNTKPGGAVTLVNPATKETLAVPRLPDSEHWDEKFVARWSEAYSFVFDTMRGTYKIVHLPCCFDRNGQFDKVQVLTLGGGASSSWRDVPAPAGASCCLKLGVVSVDCATYWVSKDTERVVSFDHEEENITSTVTLPVAARPGCSRHLTEERGRLGVVEYRVDRKSQASIQVWVLEDGTNRRQTWSRRYRVQVHKVQERLALPLFVHGQSLTYDKDRIEEEDHIMIYGHKLQRDPRWVQCSDIRISEHRTGMKLTSMLKGDRLQIFAYVETTEPLRCPRRREAVAWSVQSVGLWISFLEMHREQGWMKDGCTEDASSVVGFSE >Et_1B_013447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8469820:8472401:-1 gene:Et_1B_013447 transcript:Et_1B_013447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGRSSILVHILVIALCLTAFGFAIAAERRRSTGSIVTDSTNTTYCIYDSDIATGYGVGAFLFLLCGQSLLIVLLDCWSNEERIPHQVQRYGNWTCQSLRKGVFIAGAVFVVFTMILDVYFYMYYTKATSQAAKNISKTNPSVGMTGYA >Et_2B_022405.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20533944:20534477:-1 gene:Et_2B_022405 transcript:Et_2B_022405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGTEAFPDLGAHCDQADCNQLDFLPFHCDGCGKTFCTEHRTYLDHGCAKAADQGRTVVICAACGVAIERMPGQDDRAVLDAHARSRRCDPAKKNKSRCPARRCKETLLFSNTSQCKGCGVKVCLKHRFPADHDCAATARAAAAARRAGQCGRDAQRKDGDGLVLPATMRNLKIH >Et_4A_034667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5264608:5266269:-1 gene:Et_4A_034667 transcript:Et_4A_034667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVHELIYEAAAPRALFFVGLLFPHLALLPLLARRSARSDGEMLLPSPPRMLPVIGHLHHLMGALPHVSLARLAARHGPDLMLLRLGAVRAVVVSSPRAAEAVLRTHDHAFASRPRTLAADIVMYGASDSCFAPYGDHFRKARRLVTQHLLSAGKVRSQRPAREEEVRVVLGRLVAAASGEAVVDMSELLHSFVNDLVCRFVSGKPEQAVPELTDINAALLGGFHVVDYFPSLARLELFSKVACAKAKGVRKRWDQLLDKLIDDHATRATQQEADTADFIHVLLSLQEEYGLTRDHMKAILIDMFEAGTDTSYVTLEFAMAHLMRKPHLLAKLQDEGAQGARNGHRRQPYQHDLPKAVIKETLRLHPAVPLLIPHFSREACSIGANTIPTETRVIVNAWALGRHESYWEHANEFIPERFMDGGSANNVDFKGKDFHFLPFGSGRRMCPGIHLATVTTETMLANLIYHFDWQLPAGLNKEDIDMTEVFGITVQRKQKLILVPKIV >Et_7A_051876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25933817:25935073:-1 gene:Et_7A_051876 transcript:Et_7A_051876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHQQYMELSIYCIFLVHTDLVLPQLAMPLRHEGFGRGECGEGLLDDSVAGASGRGVCSCGFGTGASGCVNGMRWFP >Et_3B_029470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25324946:25326482:1 gene:Et_3B_029470 transcript:Et_3B_029470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPATTAAVTESAELVLPWLPPQGLAAAASACRALRSAASAVTARRAADAARGLEPLPVPVHNAVDSKPYAYFLYTPSPSPVPPFPVPAVGLLARRTASAHLAPPQLQWFPVGCVRVRVLGGGVRRPECACADEEADAWGSGAEAEMGSLRECGDECACEPSCGNRRTQRGVTVRLRVVRHLQKGWGLHAAEAIGRGLFVCEYAGSSKRLRSAHTGVLAHEQPAADSLGGVQPPSFLQVAHDAQPHRDSALRPPVPQEGSHAHSSPHS >Et_4A_032661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12333155:12340116:1 gene:Et_4A_032661 transcript:Et_4A_032661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGIGLGLKMVQLTLANLVHAFAWRLPDGVSAEDVSMEEEFALSMLRLVPLQVVAEPKLPAHLYAEPTTQRPQSRSDVQVSRQLGLSDGLERDLAQHVHPEVLLHAELLGRHAVGEPPVEGVEQVRQGDLHHLEPERVAGAHPASRPERQQLEVLAPDVDAAPDEPLRPELRGRVPHGGVAADGHHVDEHARARRDVVAAHGGVLAALPRRQERRHRVRAHRLLHDGLHVREVREVALGDQTVAADDTVQLLGGPGEDLGLLHQLRHGPLHGRRRSVRTRSKYTFSRNASSALLTLFILLTYPCGSSHPSHGITSPTLTAPLRKKSSSIIHPNSSGVVSRDEEEPDDPSLLPCSLSATAAPADVADDARCRSRSIARTSSPRARSCSLSCLALRSSVCHSRRAWRQYSPYADHSMLELLYEVYLPAAVLGRSMKSRSLVFRMSLAASTDEPTTTGTEPNRSDMSGPYRAASLWREWCGSGPTRFRLPITGHGRGPGGSLYDLLVAWRRRWRRMVVTRKRTVASTTPRKKPDGDLFSIGIIILIILRKVVLGAVPI >Et_7A_051483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19220637:19221868:-1 gene:Et_7A_051483 transcript:Et_7A_051483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPRISGVNFIENDKDRNLTYFKRCSGLHKSTADLSTLTGAKIAVVVEAERGKKSAFGTPSANIIIDSFLSEHNPNIDEVEMKKITHLQNELFQQEKDKEIEDKRERESKARLKAIQDTSGMDQLVSSEVEDLGAEELNELLQKLTRVSEDINNQANLPQQHEVSGQSGPFPPLSSSLWHSQINMPQRQLPWVSLQPSLQHSSLLHQTSLPSAKAPSMQQLHQQAHMMPPLPNETQYNHYFPQPQPPSLPLQVELPLNPMVPLDPNNAYTNNFSINPVPPPYQNNAYAHNFNFNSVQSPGQDHAFHNFDFNPVGAWGHPQPMQTLAILFFQWHPVTPSNEPYSDPSLHALSDYFELDDDYGGQAAAGGYEDELDPLGLHAQADDDWLTMNLFDSPFHGETFGDGV >Et_7B_055719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2705012:2710290:1 gene:Et_7B_055719 transcript:Et_7B_055719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKRKPDPAESAAAAEPAAAKAASGESKPRGTIYFPINDDPPEPTAAAEEDEDGVAGDEEEELEDIGKLLEPLSREQLVALLRTAAEASPATMAAVRRAAESDPASRKLFVHGLGWGAGAEDLRSAFSRFGELEDCRVISDKQSGKSKGYGFVLFRSRRSALRALRRPQLQIGGRLAFCHLAASGPAPPASQSQNPSSNANTNSNSNSGTANNATGSSSQPDNMQRKIFVGNVHADVDVDRLYQYFSQFGEIEEGPLGFDKNTGKPKGFALFVYKSVESARRALEEPVRNFDGKMLNIQKAIDGRTKGGSGANANANSNTAAASVAAAAQMAVPASAAISPYDASLYGTTAVPDLGFAQQAAMLGLGAQQQAFAQPNAAMFAMMAAAMQNPAMLAAMNPLAAAALGAGGQQAHTAGLTGFGAQGFGTQAFGAGGAAYPNAAVWHLRVLGKKSINTGTQRFSWRVELLVTWARSLGGSDELERREPDSFIDDPDLNGQGRLLGAGEGSKVAAPTGHRDMMVKD >Et_4B_038390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28687103:28695831:-1 gene:Et_4B_038390 transcript:Et_4B_038390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRKRQRCHPPNPRLSPPPPRGSSLIDFVKWSRHSGEVIVLFETPSGFALLSFNGIELFRDNALENIWADFVMESDAEMIVSLREFQNFEDKANAIKHDTGVSDQLANMIRKHVRPGLKLAVGKLDHKEIIEASLSIYCLFDEVVMEVMWGLKNLMKSLVPEEKLDLPKEDRLLMSHGMKIVLDRHGFNAKPEMVNRHIIELAHALHSCDSCMDHHAAFLRRGREQLEKVSGMNSQNWDLLKVATALKLICYPKEKVDVTLTPDSREVLSIYEARKLMTDAPLYESKLDKRACLITYKQIRHAHGVRIKALRALRFFMTMAGGGGGGAEEAVCVPMMKATSEGAWQGDNPLRFSLPFIILQVCLVLALTRGLAFALRPLRQRRVIAEIIGGILLGPSALRSVFPTESLTFLVGLEPDPASLRRTGRTALAIAVAGMSVPFALGVGSSLAPDAPRGPLIVFMGVALSITAFPVLARILAELKLLTTDLGRMAISAAAVNDITAWILLALAIALSGTGSPFVSVYVLLCGVGFVGAAAILVRPALVYMARRSPAGEPVKESFVCATLAVVLAAGFVTDAIGIHALFGAFVIGVLVPKEGSAYAGALTEKIEDVVSALFLPLYFVSSGLKTNISGAKAWGLLALVTATACTGKIALGLLMNTKGLVELITARCSTRRRSLITTFMTTPAVTAVYRPARRGASYEHRTVERADADTELRVLGCFHASRGIPTLINLVEASRGTRRGKLTMYAMHLVELSERSSAISMVQRAQRNGLPFYSTTDRGSGGGGEEVVVAFEAFQRLRGRRRTWRRPSCQCRRPTTRPLGRTSQSRRSTARETRMVNLVTLGWVYKAAKCSRECGDILLLFETPSGFALFNYDGVMLFNPKALQMLCFAFLCSADKIWADFAKDYLAEDVVLLKEFRVFKDKASAINLETGVSDELAKMIKKYILPGQKLAVGKHEYKLINHRSKIGECKASLRITCLFDKHVMEVMWGLKNLMKSLVPQEELELTTEERLT >Et_3B_028986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21089921:21095122:1 gene:Et_3B_028986 transcript:Et_3B_028986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQARVRLAPAGPTPATAFLSGSTPRPAHLSFTPKPTSASLSAANAPPPSIVVVGSANADIYVEVDRLPLVGETVAARAGRSLAGGKGANQAACGGRLALGSTYLVARVGDDANGRLLEGALADAGGVRIDRVARAPYAPSGHAVVMLMPDGQNSIIIVGGANMEGWAAGVESEDLELIRQAGVLLLQREIPDWVNVQAAQAAKSAGVPVIMDAGGMDAPVPGELLRLVDIFSPNETELARLTGMPTETFEQISQAAGACHKMGVKEVLVKLGSQGSALFVEGEKPIRQPIIPATEVIDTTGAGDTFTSAFAVALVEGKSKEECMKFAAAAASLCVRVKGAIPSMPDRKSVMKLLESVQGCLGTRNSGDPSSSAVGPSRALQIMPYAILK >Et_7B_054046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14692773:14694245:1 gene:Et_7B_054046 transcript:Et_7B_054046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGVAAATAGGSASSSGTLPSFPNWIMLEPYVFTRHDLSTFQKDETMSPQRYTSEGEPFRVSFRFAAPPSISRFYLHLPTRHPWHGETETTCRILGSHRTPCSSALDKFPADFPYEELPRFCRQDLFVYTAGTSPSLVPLPICHEIPVEVDEEDGASFEEELYDPLAGIFHFSDLEGVGVLCNDDRQITVGSGAQDVEAQMCLYSSSKSEGELRALPIHCEEEDIGELLRWSTKQVVAFGTYLCWIDYKSGMLFCEVFREHLRVPSQKKKKRAPASLILRGHIPKHLCFFFDNNICLVEEEGKCIKVKFIDVGPSDGYVQHPRSDGFEINFWTLITEDGKMSWKQEREFVVTDNELRISNHLPCRHGLLTFPIVSMEEPHVAYFVFVVGELGCGVKMLLPVDFFFGTVLPVDLISKSAEHVFPYLNGKEDLLGKDAGMARSKPHCFDPFPLSNITKFFGR >Et_6B_048970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16119310:16120679:-1 gene:Et_6B_048970 transcript:Et_6B_048970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQSVSGGMHANACSDMNQDRKCYPPYLDNEVWRLKHIAREGDFHERLTQNNVRNVKDFLRMLAVRPDELREVGFLSTAFDQAT >Et_8A_056377.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:5853352:5853375:1 gene:Et_8A_056377 transcript:Et_8A_056377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTLAR >Et_4A_033907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27921214:27924829:-1 gene:Et_4A_033907 transcript:Et_4A_033907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSLIQGISISVSDDDEATGKVRVRVRRKRHREPVSVRRRRLLFRWVRRGVPLLLAFLAVSLLLYESYRLGPFRSPSPRPPVAAGLSRLDRSNRAGADGAKKSCLKFLGPRELQNLELPEIPETLRLIKEVVYRSGQPRIEDDISLHRENSRFNSFTGYQTLTEREESFKIKESVTAHCGFYDENGGFRVSDVDKEYMRSCKVVVATCAFGGGDDLHQPIGMTENSIRKVCYVAFWDEVTRVAQEEEGNKIGKDLMIGLWRIILVSNLPFSDQQLNGKIPKLISHRLFPMARYSIWVDSKSQFRRDPLGVLEAVLWRSNSSLALSEHGARSSLYDEAKAIVKKHKATPEEVNVQLDQYRQDGIPDEKRFNGKKALAEASVIVRDHAPLTNLFMCLWFNEVVRFTSRDQLSFPYVLRRLNPPGVHLFPVCARKELVNSFGHRRKVKPLVKEAR >Et_4B_038348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28346885:28349168:-1 gene:Et_4B_038348 transcript:Et_4B_038348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLQWHSRRRRFSTAPASHNLPSAGRAAEQHCLRLLERASTPAALLQSLAFLFKSGLHSNPLVLTRLFASSATAAPALLEPLVAALLNPYVAIDAFLVNTLIRAHVTSPIPSVRLRAAAFFPLMLRSAVVPNKFTFPFLLKSCAAQPGSPAVGLQAHAAALKFGFATDHYVSNTLIHMYSCFGGGFLGDARNVFDRMPKESAVTWSAMIGGYVRGGMSSDAVELFREMQASGVRPDEVTVIGVLAAAADLGALELSRWVERFVQREGIGRSVTLCNALIDTLAKCGDVDGAVAVFKGMEVRTVVSWTSMIDALAMEGRGKEAVGVFEEMKAAGVPPDDVAFIGVLTACSHAGMVDEGCSYFDSMKMEHGIVPKIEHYGCMVDMFGRAGMIERAMEFVRTMPMKPNPVIWRSLVAACRAHGRLELGESITKNLLNEYPGHEANYVMLANVYALTRRWKEKSEIRREMSKRGIKKVPGCSVVELDGEVHEFIAGDESHPQYKEIYRMVEEMARELRRIGHISATSEVLLDLDEEDKEGALQWHSEKLAIAFVLLRTPPGTQVRVVKNLRVCSDCHAAIKCISRVYNREIIVRDRSRFHRFKDGSCSCNDFWLSCSSRFIEECIQLQQMMIFMILFLESHPWYKEIYRMVEEMATEFRRIGHISATSEVLFNLDEEYKEAPHSEKLTVTFVLLRMTPETKVQVVKNVGVCSDCHAAIKYNREIVVRDPSRFHCFKDDFCSCSDF >Et_6B_048590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11076247:11079731:-1 gene:Et_6B_048590 transcript:Et_6B_048590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWTTNVTVLLVIVAALSCCHASTSGTKISVNRCELSEISVSTVRTGKLVKGQPQYQVTIENYCICPQFDIHVRCLGLPSVEPVDKSKISPVGDGKLCIVAAGSLIFHDLGPVTFTNTEANQEEIAMACTFKLTVILFLAASIFTSHAYIDRPGACKLSELHVTVARTGKVVAGEPQYKVTIDNQCWCAQTSVTVGCTNGLPSTEPLDTSKIRPEDGGACLVNDGLPIDKGSPVTFTFASKTPQSFPVTMAVPRC >Et_10A_001010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20121887:20125341:1 gene:Et_10A_001010 transcript:Et_10A_001010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLFPAMASSFSPTTAPAAAASARALLPASTSRPLTLAGRIPSKKGFPRGRFAVCNVAAPTAAEQEAKASGAKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPDIRVVVGDPFNSDPDDPEVMGPEVRDRVLQGDQDLPVTTAKITMLRVKIVEERARFDRDPKGFRESYKEEQEKLQQQISSARSNLGAVQIDHDLRVKISKVCSELNVDGLRGDIVTNRAAKALASLKGRDKVTVEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEMTSFYGEQ >Et_9A_063569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7619670:7621728:1 gene:Et_9A_063569 transcript:Et_9A_063569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFTTQTILLVCSVPGMAATGTVKWFNDEKGFGFITPDDGGQDLFVHFKAILDDGFKSLKEGQKVEFVVEQGLKGSQAANVRVIG >Et_7B_054954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:641697:643726:1 gene:Et_7B_054954 transcript:Et_7B_054954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGEWTPPCGSCCTKKYASLMQIPWRVFCKKGCNADGDTWDECIGKCTEICYKDPVLEDRQWSAYIDRSPGQDSYSLECFNACVSGCGFRFDIPTEKVEEIKPNRPSKPPPPEVKRTNHPDSTVKAEDVPCTSA >Et_2B_022531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2522821:2525880:1 gene:Et_2B_022531 transcript:Et_2B_022531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPLFLHLPPNPAPVHSFPIHRTRHFFLRAAPLPRRGAVAAAAAEAENPSTAPAVADVEMVRGRDGVWTARSPTVVVLWDLDNKPPRGPPFPAATSLIAAASLLGRVVSVSAFANRHAFSHLPAWVSAERRDRRALDRAERAGVVAPPVPYSCAVCGRRFPTRPDLTRHFRQLHERERNKKLNRLRSLKGKKRQKFRERYIAGNTKYNDAARELLTPKVGYGLASELRHAGVDVRTVPDKPQAADQALKRQVKHSVACGVDWLVLVSDDSDFTDTVRNARDADLRRWLVTGAVHSAMLLTFGCHGIESKMGRLMRICCDLEEVEEGQQDEQFVVEWDTADLDDVVDDILGTRTSLLGATTMSAFADAEISDGIFEVGLNGDSMFWSSDDEDEDDDGDSSIQQPNLPTQLASIPDTDLTLLKYHDVQSEEQTLRYPLQFVGNNLTGLSETREVRGWRSI >Et_3B_028531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16519878:16535631:1 gene:Et_3B_028531 transcript:Et_3B_028531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRDEQLKLLGAWPSPFLHRVQVALHLKGLQYEYVEEDIFNKSELLLASNPVHKKVPVLLHAGRPVPESMLIGQYLDDAFPGAGPAILPADPYDRAVARFWAAYVDDKLHPAIIAWLKATTEEETAAATASTFAALETLEDAFAELSGGKGFFAGDTPGYIDVALGGFIAWLRAWDKLFGVTLLDASRIPHLAAWAPRFAALDAAKGVLPDVDPIVEFGKATHHRSCEQARMAGGVRDEQLKLLGAWASPYVQRVRVALHLKGLEYENVEEDLVNKSELLLASNPVHKKVPVLLHGGRSVCESLVIVQYLDDAFPGAGQSILPADPYDRAVARFWAAYVDDKIASSTRAALMATTDEGKTAGTANLLAALETLEGAFAELSGGKGFFAGDAPGYVDVALGGFVAWLRAWDRMFGVTLLDPGRIPLLSAWAHRFAALEAAKEVLPDVDYLVEFTQATLQARRAAAATLEGAFSELFGGKGFFAGNAPGYVDVALRGFVAWMRALDKLVGVTLLDAGRIPLLASWSHRFASLDAAKEVLPDVDKLVEFEKALSTEAGKATAKTTGRSIDFYTDRSGDTLTAREQARMAGGGRDEQLKLLGVWPSPFVIRVRVALHLKGLEYEYVEEDVVNKSELLLASNPVHKKVPVLLHGGRPVCESLVIVQYLDDAFPGAGQAILPADPYDRAVARFWAAYVEDKIFPSMSKVLTATTDEVKTAATANLLAALETLEGAFSELSGGKEFFAGEAPGYVDVALGGFLAWMRAWDELFGVTLLDAGRIPLLAAWAHRFAALDAAKEVLPDVDKMVEFAKALRATPARMAGGGRNQQLRLLGEWPSPFVHRVRVALHLKGLAYENVEVDIFNKSELLLASNPVHKKVPVLLHAGKPICESMLIVQYLDEAFPGAGQAILPADPYDRAVARFWAAYVDDTFFAAMLRALKATKEAESAAATADMLAALDALEGAFTELSKGKGFFAGDAPGYVDIALGGFVAWLRAWDKLAGVTLLDTGRIPLLVAWAQRFAALDAAKGVLPDDDPIVEFAKELQARWAAAAPATH >Et_3A_023654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10473882:10485079:1 gene:Et_3A_023654 transcript:Et_3A_023654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTNKILHDAGGHSASANEGGLPLTAAPRGGRRRRRRRARPYRPEEQFVETRKCEPDAGADVVQSCERLRNENGSIIEHTDPVCCPCGPHRVSISCGDFSKLNDYVCIFAYFFRTLPADTCFTIINFVVDTMAHGKRNTAHCARYHVFEIGKWSLGFSIRVQVKKGSSISEVVVSPDNTTVLSGDKFLGAHLIGDFAAYARIVPSFENFYLGASTDHPADIGTEYSRWMLLDRFHFTYNGLDCNKIGDDQNRAKKGEQPQFIVEGRYQRINQHPVRHVHSFSVGVTDVLQTNLLLELKADDIKYVYQSQVGTAKVTTKNIGKLEASYSLTFNCSSGINLREEQSFTMKPEESSIRLFNLHTTTDQAAEYQCTAILKASDSSELHRKEYRFSTAATVLNNGTQVLKNGPPDKQKKGGTMGFHETVKAFLHLLWDSVIVFFTGRCQFQYLRIGWVAMSLLSLATVLTVAMLARFLHRKGYFDPMYNWWKDRFGHHRAHLNHKTGHHRHFHLQRHQAHQKHRSEPSHRHHHILHRREEEEPDAAGEGPQHRHDRPALGVQHKDTRHKKRHGKIKAARHVDMDDLGLGVDKRVFEPFT >Et_1B_012158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29563310:29564737:1 gene:Et_1B_012158 transcript:Et_1B_012158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGASDRLAELKAFDDTKTGVKGLVDAGVTAVPRIFHHPPDPHRHAAAADGIIIPVIDLQQLAASRAQLVARVKAAAETTGFFQVVNHGVPEAAMSEMLAAVRRFNESPAEERRPYYTRDAAARRVRYSSNYDLFQAPAASWRDTLFLEMAPNAPPPEEVPPACRDVVFEYTRQVQSLCSVLLGLLSEALGLRREYLEHDAGCRDGLSVAAHYYPPCPEPHLTLGAAKHSDATFLTVLLQDGVGALQALLGNGGWVDVPPGALVVNIGDFLEIMSNGRLKSVEHRVVATAAARVSVACFFRPYGPSASTRVYGPIVDAETPRYRSFTAVEFLRSRLDGQPPLDRFRL >Et_6B_049904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17094242:17096802:-1 gene:Et_6B_049904 transcript:Et_6B_049904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQAAEPTSSPWSSLPPEAAAAVLRRLASHADRVRFAARQGSGRPPPLPWLALPDGTFFSFPSPAAFRFPAAARFHGSCDDWLIVSDDGSRNGRYTLLNPFSGATMRLPRLSSFRYVAHDSRRSGGMTKPPPVDIHDGLVLRKVLVLPDCQVVVALVADERQRGKLAVWIPGTEDDRWLLSAHDPWRGLRDLAFYDGKLHAVDAYGDLYARAVEINNGGEAYYDGEPMVCAANRVVAAPAKRCAPAPAARYLVASGGRLLMVHRMLREDDGDAATSELFEVFAADTVASRWAEVPRVGGDTALFVGQWSSVSRRVSRHGMLGNMIHFLDDDVVDSKGERRRRGGFGSYDMVGGVTVPLLAELRNGAGTPMTWLFPRDPEVATSWHDLSTDVFRQVFRLLPSGDDRTHLSQVCRDLHAVVPTEWRPHAAATNVQNHEAAVFKDDALETNRPFPATAAYLAVPNGTLFDYPDYHAKSRRLADAAADYRGAAADGGWLLYYEDDEGLGLLRLFSPFTGKTMLLPSLLGIRTCHEPIDMDASLSRGSEQWWDETETKAVQKLVVCPDDEGNGVVVAALVGRDNRSKLALCSLESFGWQFSARDRWRRYEDLLFFRGRLYALTSGEDLIAFDYAVDPGEPPRVTRVERVVSGAHSIPHDAVDVVTVHYLLASTRAGDEGLLMVRRVFPPARREQQRFAVFRARLAEWPAARWVEKRVLGGETLFVGRQCSRAVAPGPPPPGGVRGDEIFFLGDDCLGMAIWADRGCRRPLPSEYHTSVYDMRSRTVTNLQLRDLSGDGPAPPTWIFFPDDNVDQE >Et_7A_050754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10778628:10781224:-1 gene:Et_7A_050754 transcript:Et_7A_050754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGPPAAPTPPLLLPESSGEDGGGHDSSSRAAGSAPKKRAETWVKEETLSLIALRREMDAHFNTSKSNKHLWEAISARMRDQGFDRSPTMCTDKWRNLLKEFKKARSYARSSAGGAGGNGNAKMAYYKEIDDLLKRRGKAVGSGGSSCGGGAGKSPTSNSKIDSFLQFPTDKGFEDANIPFGPVEANGRSILSIDDRLDDDRHPLPLTAADAVATNGVNPWNWRDTSTNGGDNQVTFGGRVILVKWGDYTKRIGIDGTAEAIKEVIKSAFGLRTRRAFWLEDEDEVVRTLDRDMPIGTYTLHLDDGMTIKLCTFEDADRMTVRTEDKTFYTEEDFRDFLSRRGWTLLREYGGYRIADTLDDLRPGAIYQGMGSLGD >Et_5A_040768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12111119:12112223:1 gene:Et_5A_040768 transcript:Et_5A_040768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSDLVTLMRCAATCRAVRHRAAEDPAFRRLRLRHADRFHKRSCSWWTTYASRLLSTNSGHDGEFLGRRKKALASRDGLVLLRTTTDSGEEELLSVCDLVSGRSQILPPEPSFPDVIEGDYCWNADTAEPESCCAVKYVLLGGDGEGSTVGRPFRVVKVKASLVLAEHDRYLQVQTFSSDNGTWGRYTRTPTLQICGDYFLSSGRCRPLAVGNTTLHWLCVTNFASYLVKVRLRTSGATVTKLPAGFPRRNRCSCLLAMMSAGERSPVVLVADDEKREISAWMPAKRGHEPQVVIKYEAILRFHDQVGWKRGKQTDLQVDLKWFAERSGIVLVKIRGMWWIQLGSCFLLA >Et_1A_008436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7676579:7679390:-1 gene:Et_1A_008436 transcript:Et_1A_008436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLVESSAASWCDATAATRRRVGRSGARFLSCACSSRDGMPTRAWASYSVSRMLRTAKSVARTNGWNGNGSHMWTTALEDDSSILEACEDEYGGMVVDADRLPSDTAKFGRSLAASLSNWKSAGKKGVWLKLPLDRSEYIPIAVKEGFRYHHAEDSYLMLTYWIPDEPCLLPANASHQVGVGGFVINDQMKVLVVQEKYSASTFLDAWKLPTGFIHASEEIFTGAIREVKEETGIDTEFVELIAFRHAHNVAFQKSDLFFICMLRPVSSDIKIDEMEIQAAKWMPLAEFVKQPFVQDDRMFRKIAGICVHRLRKRYCGLTAHSVVSKFDGGTSTLYYNGAEPDVSSDGA >Et_8A_057069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20847448:20850963:1 gene:Et_8A_057069 transcript:Et_8A_057069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCEAAPTVNIAAGGQFRFVVIVLLADKILEHSRHYLESWEIKCDCAAGESVNGELVVSSPCPGGLLHYGMLALAQIWKTGCLPQLKVNISLSLSLSLSLCERNKQAAGMNSISTMEARMPPGFRFHPRDDELVLDYLLQKLSGCGRAHGGVAMVDVDLNKCEPWDLPEWYFFSLRDRKYTVGDRTNRATRSGYWKATGKDRPVIAGEDESAAVGTRKTLVFYRGRAPKGRKTEWVMHEFRLTVPASQLQIPEDWVLCRVFYKNRATTQRPPSEDKADMPSSETPLPPAPSVAPLIATYNAFDDSQQVSCFSGLPSLSFRSPASLGDLLTIDNSKKEAVETMLSRMTSNISSGLQLAHSWEQENDMAQMWNPL >Et_7B_053723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11499523:11511536:-1 gene:Et_7B_053723 transcript:Et_7B_053723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLACLFPLLSTAIRKFCLATVVAIRSAASIFVLISSSAFNLKSKDLQDPCDYQPTGTIGMETTHVEKVKFASHEVHGASMDELDPWNPPYPPRRPSPPGLDLQSHTKLINEWCQEVNKVIATLRRTKIIIPDRTPESVDGDFWDALPRLVPILERDDVRGFLDLFQRDDRGLAWGFIITPLTLTQMVKQNALQCAKVALVGKAPQLRGFRANPNCMNRYGYFPLHEAAEMFSVDMIKLLLRYNASANKIFLDTTRLLGEHTDNLIDEIWNYMKDGKLVESAILLLASQKQIRGGRNKQDGFRVLIKRILEHIVSLDRGQTGKENRQLVVQKKLITAALLLVHAVSDAGEALDVYIRSHPEVLTNGTPRGWGIEYARRSYFPFWRSVLKQKSPVKVIPAVHVSTHEEPENSRNKLAGQGSPLVHDLNLSLAGRFKQFSNQHKRTFCSVAFPLLK >Et_3B_027892.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25127563:25127820:-1 gene:Et_3B_027892 transcript:Et_3B_027892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVHVSSDRVEDLVRAAATGKKKSAALASKIHEHKAAGAAVAMETIAEDAPCEFGAGVLPPPSRRRRAAAGGFGAVKAGSEVVAR >Et_9A_062387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22398748:22400170:1 gene:Et_9A_062387 transcript:Et_9A_062387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YLGAMSASNSGDSIEWGRGRSSGSRKGKRTGNSSSDKPRQPQRGLGVAQLEKIRMESEMAEYLHRPLGYRPPIHRTGSFNLEDGRLAHSLPSSPSSSFHANIGVSSSYPIHHPNLAMAYGERGDIRYGEFQTNPVIRSPYYHGAIFGSEAHYSHQGNVTLPLFEPEESISLKRQHDINQSADPLNSDDDQQEVDLELKL >Et_8A_057636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5526102:5528446:-1 gene:Et_8A_057636 transcript:Et_8A_057636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QRKGFYQDLILAYKTLGVVFGGLVTSPLYVYPSMNLTNPTEEDYLGIYSIMFWTLTLIGSKYICIALHADDHGEGGTFAMYSLLCQHANIRILPSKKIYMEEEDTVPARPVVTGRPSRLRSFIERSIFARRLLLLTAILGMCMLIGDGILTPAISVLSAIDGLRGPFPSAVSPYYIVRFLMTNQTRGWQLLGGTVLCITGAEAMFADLVGHFNKRSIQIAFLSSIYLPLPGAHTAHLINNADDFSDGFYKFVPRPVYWPMFIVATLAAIVASQSLISATFSVVKQSVALDYFPRVRVVHTSERKEGEVYSPETNYLLMLLCVGVVVGFGDGKDIGNAFGVVVILVMLITTVLLALVMLIIWGTHAVLVALYLVPFLALEGAYVSAVCAKILRGGWLPFAVSVVLALVMFGRQRKAEYEAANRVTLERLGELLACPGVSRQQHAGGVAHPGAGALRQERAVAAPRHRLLLVARVGAKERVAVRRFGPRGVYGCTIQYGYADPLDREDDDDVAAQVVRALRDHIEREAAASLAAAEEETAELEEAREAGVVHVRGKTRFHIGRDTGAFDRVLLGFYEFLHGTCRSALPALGIPLQQRVEIGMLYKA >Et_3B_030384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3404615:3405872:1 gene:Et_3B_030384 transcript:Et_3B_030384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKQNQALLLSLVLGQLVVASLSAPPPPSRPLDFSEWVKQPANAGKDDAECTRKDPALVAAEAQRVNKLIDPDTVLDPEDGCYKTIGDAIASIPDGSTKRHVLTLKPGVVFREKVFLNRSKPFVTIQSGETRNPATIIWNDTAATPGKADGKPLGVDGSSTVAVESDYFIAYGVLIKNDAASSKVEAPALRVKGTKATFYNCTVDGGHGALYDQSGLHYFKSSTIMGTVDFIFGAAKSLFEDCNIVSTAKEAAANVAKVPHRPGNAMDANAIPGEVGFSFKTCTVKGAGPQVYLGRVAWPFIFSYTDIEKELVPLIYDDRGNIQTPDERGSYYADFKCFGSGFESSPSFTMQYDQAKSFVGTHFVSGDSWILTLPPPEE >Et_2B_020757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23346359:23354480:1 gene:Et_2B_020757 transcript:Et_2B_020757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLGWSKDYQISSLIVKLQWPWIPPWIQVKTGEGGNFECTHGKIRQLVIEFSLESLIADDLGEALEAHDGASKGKYTIGLGQDCMAFCSEVEDVISMSLTVVTSLLKKYKIDPKLIGRLEVGSETVIDKSKSIKTWLMRIFEESGNTDIEGVDSSNACYGGTAALLNCVNWVESNSWDGRYGLIVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRASHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYRQFSNKYEKLTGKQFSISDAGYFVFHSPYNKLVQKSFARLYYNDFLRNCSSVDDDAKAKLQAFSNLTGDESYQSRDLEKASQQVAKNLYDIKVQPSTLLPKQIGNMYTASLYAALASVLFNKHDSLDGQRIVMFSYGSGLTSTMFSLRLNNGEGPFSLSNIASVLDVTEKLQSRHEVLPEKFVETLKLMEHRYGAKDFETSKETSLLPPGTFYLTKVDSMYRRFYEQKPADETVDSKAKCCNGTRVPGCLPPRLYNPTVNKMEDNKLTPQHPPLTKFGEGYNPKPITGAKSIQSSQNRRIGRKQEDIRASIADGDTGREIGESAYEGGELGEALLEGVAEVVGGVGGDDEHRLADGGEEDGEDGAAGGLADAALAADEDPLEGVLVEEVPHRGLRHVAGVHQRRGRHAPIPTE >Et_9B_063941.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:4020053:4020253:-1 gene:Et_9B_063941 transcript:Et_9B_063941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAFAPHFDLEGGHVELCNGAQGVWSQQCIQVVTSSTMHWTSMGMYPLTVRPSHQIIRSCYATNS >Et_3B_029245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23423096:23427436:1 gene:Et_3B_029245 transcript:Et_3B_029245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASASPATPGATKAAARAPHLGPAFPRPSARATPAPARLRASSLHLGGAAATAANGSGIHVPPAIAPLAVPKMAGARGTHKNVLLFYCEEMRELAQQVVARNDDIELRSISWRTFADGFPNLFISNAHSIRGRHVAFLASFSSPSVIFEQLSIIYALPKLFISSFTLILPFFPTGTSERMEDEGDVATAFTLARILSHIPISRGGPSSLVVYDIHALQERFYFGDSVLPCFESGIPLLKKRLQELPDSQNITIAFPDDGAWKRFYKQLQHFPMVVCNKVREDEQRIVRIKEGDPKDRHVVIVDDLVQSGGTLIECQKVLAAHGASKVSAYVTHGIFPNKSWEKFQPDNGEGPEHGLSHFWITDSCPLTVKAVKDRQPFEILSLAESIASALQQSQALDFGGLGLDGSARPKQFSQASKTRQAGVPEKDMRL >Et_5B_044395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23805331:23807317:1 gene:Et_5B_044395 transcript:Et_5B_044395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSEKAVLYCIAVSVTLCLFSNALVGCTATGETDRWSFSSVRRHHDKYLYADEDESRVNQDRNASSPNARWLVEPVPHSPGVLRLRSRYLSASNEPFLLGNVSGRKVLQTLPHRLDSSVEWVPDPAADDHDGRRAVRLRTRYGNFLRANAGLPPWRNSVTHDVPHRHAGWVLWDVEIVQVRSPDDESPAAALDDAAPSYKPPSPSPAPLPTSALRPPPMPHHHHPEVTSTPFRAQPPPPPPGYIAPPAPGFARLESADSFSVPLHKVDGRMIHYHIGDNNGNVGEDDDPRSFTFNGTSLEELLERLQEETGLADLIICSRSPINGKLLPLRLQLPPNNAAMHIVLVRKSSR >Et_3A_026090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4095777:4097404:-1 gene:Et_3A_026090 transcript:Et_3A_026090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ESQGIEQTNSKHVHCTNPPSLGSLFIKTFVHSFNLLENLWPSLHRLSSFLFGPAIGTPLNSGNRVDPHHHRGHLLWHWGRCSVKEYSKGDSRYAARDTATVTVEGITAVLAGPASLLAVYDFHLLPNIMQNAFLDRTEHIVSKLSAYIIISFMTEVYCLQLCHCISEILQPYSPLHCLFGPTYICLFTSSLPTYMASTSFVQTVQGRIPTVIAIRSWKKICLAIRAEKMKTK >Et_5A_041853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3534495:3538786:1 gene:Et_5A_041853 transcript:Et_5A_041853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSALLRALRRPSSEAALRLAVSANGQTATGYRHLNNRNLSVFNEFSKQLKGEVKSNPEFQKTVKEFSEKLGVVKEDLKVRTKKTTETIYKSVDDVWSEAEETSKTVSANIKEKMSAAKEEVKESFGLGKEETSSCKDGSPEASKHDSTGASTHADGSSNYGMSGHTLFTKLKSTISSASPAVSGAFAKLKDTKVSTLAKQGYEIMRGHPVYKKVNEYTKPVVTIGQEVAEDVRERWETSDNPVVQKIQDWNESVFEETTTAITFKEIRQRDPSFSLPDFVADVQEMIKPVLTAYSKGDLETLKKFCTTHVIERCKGERQAYAAQGMFFDHKILHISDADVLETKMMGSSPIIIVYFQTQQIYCIRDKEGQITEGGQDVIQTVFYQWAMQLMDSDEVPEEESYYPVWRLREIQQAGVKALI >Et_5A_041226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19755787:19756919:-1 gene:Et_5A_041226 transcript:Et_5A_041226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIGDINYIDPVYMKTGRLDEKSDVYSFGAVLLELITRKKPRYDGNNSLIINFCKSFASDEKAGEMYDEDIASSENIEFLHKVGSVAADCLKDDMDARPNMRQVVDRLHLVRMEYKQKHGDQVPDEISMESPPMSASMDATGAGTPGYSPLLSCTCGVKVEQLRIKNTLFYKVIAVVCAISVSFDTSHVKSNGGGGAHGPPNFRDFSEDVPPGPSSPKAPAPDLQFAAMASKKTAAVQTPEEEEGMKGYWANGPLNLTSKKVQPE >Et_10A_002316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8680590:8682002:1 gene:Et_10A_002316 transcript:Et_10A_002316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAIVYSSQTGAWGDVAPVNGPWSCISHWEPGSVLVQNKELYWPEGHKEYYHNQYSQPRRVEIPDHSSKLFGYNFETNWLQHVRRLDISHDDPYDCLQVFKDGNGELGLAAVRGSRLHLFEPVLEDGDEDGDIAAWSEYGDLDLDALLPPPSSPVGFSPPVRKRPVGFDEDGNTIFLETENGVFALHLESLKVDKVLDAGVLRPYTSVADSTMIPYMSFFVAGSSGGDDGVNE >Et_1B_010126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25195330:25197363:1 gene:Et_1B_010126 transcript:Et_1B_010126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLPWHAVLFLFAHCLLCSSAAAVDFVYNGFLQRGANLSLDGSASVLSGGALRLTEDRSHLTGHAFLDSPVRKLGRAGDAVVSFSTAFVFRVVTTGSGGAGSGMAFVVAAANGTTGNASSNHFFAVEFDTAQETEEGNRVGVDLNSLVVSNVSAPAAYFADDDGRRVSVPLEGAGAIQAWVDYDGLAKVLNVTIAPVSVATRPRRPLISRAIDLLPVFEKDMYVGFSASTGKSASSHYVLSWSFRTGGGAAQSIDLSLLPEVPKPRAPPFSRSAIIKIVSLSCAGTLVAIVAAVVAALWLRHRAAVAETLEEWELDLPHRFAYKELHRATKGFRDTELLGAGGFGQVYRGVLPRSGGDAVAIKRVSSGGTQGAREFVAEVASLGRLRHRNLVELRGWCKRGQDLLLVYELMPNGSLDARLFGSPRAGEAPRPLLTWEQRVGVLRGVAAGLAYLHEGWEQVVVHRDDHHPATTTRVVGTLGYMSPEIVRTGRATTAADVFAFGVLLLEVACGRWPIDPATGVHLLTWVRELGVKGELVHAMDERLGGWCGQMSPAARPSMRQVCQYLDGELDMQEAMVADTDSVDLESLASLTWSSCATISVGSLQSGR >Et_5A_042047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5668810:5671764:1 gene:Et_5A_042047 transcript:Et_5A_042047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTAAALLLSLALALALALPASGAAAGADCHFPAIFNFGDSNSDTGGLSAAFGAAPPPNGRTFFGVPSGRYCDGRLVIDFIAESLGIPYISAYLNSIGTNFSQGANFATAGSSIRRQNTSLFLSGFSPFSLDVQSWEFDQFMNRSQFVYNNKGGVYRQLLPKAEYFSQALYTFDIGQNDITESYFINKTAEEVEGIIPDLMERLTSVIQSVYWHGGRYFWIHNTGPLGCLPYALVHRPDLAALMDSAGCSVAYNKMAQLFNLRLKETVASLRKMHPDAAFTYVDVYTAKYRLISQAKKLGFDDPLLTCCGHGGRYNFDLNIGCGGKAQVNGTWVVVGNSCNDPSKRVSWDGVHFTEAANKFVFDQIVAGALSDPPVALRQACHSRGH >Et_2B_022049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8439315:8441063:1 gene:Et_2B_022049 transcript:Et_2B_022049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGLRLSIVGVIAIKRSKQRRAEYDMAQDKLIQLTQTNDQLHAELNSDRAGLLEKRLKESDTQLGYKQRHQLMLLLNNRMIEDLKKFLKPGMRMLNRNLNEDASFLRGRVEGLENSLRQANQLKTSTAKDIGIKTKIISDLVAKLTLERERLHLQIVTLTKKNTMLAQKCRKNINETPLFSKKKSIVKEGELIPIEAKEEAFVDTSSTESKLIPPLEVDSGTENKLETVRTIKPTAKLEVHFDNSPRLLALLAAILVYLLYKEGDRVQQLLWQFL >Et_3B_029142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22455427:22458516:1 gene:Et_3B_029142 transcript:Et_3B_029142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLGSSELPGVLAVIPDTFVQLETTHSWGFLGLGSNGQPTTAWRLDGRFGEDTIIGNIDTGVSPDSASFRDDGMADVPSRWRGTCDRRGDSSFKCNKTRACRKLIGARLFNAGFQAQSFLALLLGQGVQPASPEDLSSPRDYVGHGTHTLSTAGGAFVSGAGVLGRGVGKASGGSPRARVAAYKACFAPGCSGVDVLKAILTAVADGVDVLSLSLGSPASDYLNDPIAIGAFYAVQKGVTVVSAAGNSGPGPSTVSWRRGCVSNNQRGAGQCREPTNGQFTCRFLDLNTVSSATTTSLACRAFCLPNSLDPAKVNGKIVVCVGTRNRAAKGLVVKQAGGVGLVLCNDEIFADGVLVDAHIIPAAHCSFSQCAQLFSYLRSTDNPTATITTTDVKFGVKPAPEMAAFSSRGPNPISPQILKPDITAPGVGIIAAYSEEISPSGQPSPFDDRRVTYNVMSGTSMSCPHVSGIAGLLRTKYPAWSPAMIKSAIMTTATVGANDGNVIRDQTGAAATPFGYGSGHVDPVRALDPGLVSGSSFRPENLNYPSVTVPCLTGGSTTVKRRVKNVGRTACSYSVTAVEHPAGVRVTVLPDKLYLGVGETKEFEVKLDVVDAAAAANYAFGSFEWSDGLHHVRSPVVVKTTC >Et_3A_025623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31379740:31383194:1 gene:Et_3A_025623 transcript:Et_3A_025623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWLSSCALSLLLLLLSSQQTPSHASDTLKANQQLSADQKLISQDGKFALGFFQPAAEASKRKWYIGIWYNKIPVQTIVWVANREIPISDPNSSNLIISDDGNLALLVNDSKSPTWSTNIKHNTAARSTVAVLLNTGNLVLRHDYNTSIVLWQSFDDFTDTWLPGNKLSRNKKTGLIKRMISWKDRGNPAPGMFSIQLDPNGAKQYILQWNNSRVYWASGNWTGNSYTGVPELSPTNMYPNSQYTFQFVDNDEETYFTYNIKDDTQLFVRATVDMSGLFQALLWIEAAQAWTIFFTQPKAKCTVYRVCGENSKCSEDAASPCSCLKGFRENYPTNWKLDDHTAGCRRNVPLKCENNGSVKLKQDRFYEINRVKLPDNAHILDAANIHSCESICLRNCSCTAYSHNATCLLWYNHLMNLQDNIVGSSDSIFIRLAASELPNPETKKWWLIGIVIGGLTLLSFGVTILYYVHRMRRRINGLSHGGGSLISFKYSDLQFLTRKFSDKLGAGSFGSVFKGVLPDTTTVAVKVLEGFHQGEKQFRAEVSTIGNIHHINLIQLLGFCSEGEKRLLVYEYMPNGSLDQHLFHSSSTSLSWETRYQIAIGIAKGLAYLHDDCRDCIIHCDIKPQNILLDASLVPKVADFGLAKLLGREFSRALTSMRGTVGYLAPEWISGEAITIKADVFSYGMLLFEIISGKRNLEQIGTGTETFFPVLAARKLLGGEVHTLLDTESITGVNVGELEIACKAACWCIQDNESSRPTMGTVVKILEGVVHVEIPPIPRYLEVLAEGSENVEFFSYETTE >Et_1A_006845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28221951:28225151:1 gene:Et_1A_006845 transcript:Et_1A_006845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAAQAGVVAACVVLFVPMGLAGWHLSRNKVLFFSGALFISLAVGVHLSPYLPSLPHLLAASFFLPHPGGGASSSSSAAASSTCVPFLHRVSWSDAPAAGGGARGRAWAWPPSLASTCGFARLSRDEASLLLNGSWVMVAGDSQARLLVLALLRLLLDPAAAAAAEPDLFRRHSDYRAAVPKRGISVDFVWAPFESNLTRLLREDLRLAPRVPDVLVLGSGLWHMLHVTDAARYGDALASVAAAANSLHSSLPVPPPHMFWLGLPRLVNSMLNTEAKRAHMNDTMLRAYDQEVDRRGLLRADGGPCLLLDVGKLTQGCGQQCTADGMHYDGEVYDAVLHIMLNALRSAYYRRPSPLPPFCWCRCSAVVLLLSTDLRRGAFFVR >Et_5A_042408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9779017:9780891:1 gene:Et_5A_042408 transcript:Et_5A_042408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSPFKMEHPLEKRQDESARIREKYPDRIPVIVEKAGKSDVPEIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKSTLPPTASLMSAIYEENKDEDGFLYMTYSGENTFGSA >Et_2A_015257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1017634:1019529:1 gene:Et_2A_015257 transcript:Et_2A_015257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLSRALGRRLFSAATASESAAAASSSAVRKAQNPLEEFFEVERSTEEDQPRPHYGRSWKASELRLKSWDDLQKLWYVLLKEKNMLMSQRQMLHSENMRFPNPERISKVKKSMCRIKHVLTERAIAEPDPRRTADMKRMINAM >Et_5A_042267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8157203:8159322:-1 gene:Et_5A_042267 transcript:Et_5A_042267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVLRFQKYSGLVYIHSALLHNLKKGDLFRCILVDEQDNVVGHESKYNCHLMEKIESENLLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIKENYLGVRNAAQRKLLDELGIPAEDVPVDQFTPLGRMLYKAPSDGKWGEHELDYLLFIVRDVKVQPNPDEVADVKYVNREELKEIIRKADAGEEGLKLSPWFRLVVDNFLMGWWDHVEKGTLTEAVDMETIHKLK >Et_3B_027439.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:17180588:17182126:-1 gene:Et_3B_027439 transcript:Et_3B_027439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAYAPAAKPVPVRVANGVGPARPAPPSMAPGGGRVPPPPMYRPKPLQSRPPPRRPRRSLRGWCCVCFLWLFLVVTGLAFLCAIAAGVFYVVYHPQLPTFAVTSLRLAALNVSDSDAVTSRIEFTVTARNPNDKIAFAYGDIAAAFTADGGGIGDGVVPGFLHPAGNTTVIRAAATATAATADPVQAAALRSKKTHAMSAQMDSKVGFQIGRFKSKRIDVRVTCGGISASLAKPEPTAAADAPAPAPLAADAAAAPAPAKARGRGHGRSPKSVARTSTSSSSSTGAGGAKMTTTDAKCKLRVKIWIWTF >Et_3A_025485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30140339:30144445:-1 gene:Et_3A_025485 transcript:Et_3A_025485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATASREDEEAKKMEAGGDTVGQKLDAGALFVLQSKGSWLHCGYHLTTSIVAPPLLSLPFAFAALGWSAGIICLVIGAVVSFYSYNLISRVLEYHAQHGRRQLRFRDMATDILGPGWGRYYIGPIQFAVCFGAVVASTLLAGQSMKAIYLIANPGGTIKLYVFVVIFGIFMMILAQLPSFHSLRHVNLISLMLCLAYSFCAVAGCIYLGTRPFPLTVDNAMPKSAICYQEMGFMIRNMTGTSDRAPPRDYSVAGDDKDRVFGVFNALAVIATTYGNGIIPEIQATVAAPVTGKMFKGLCLCYAVVVTTFFSVAISGYWAFGNLAQGTLLSNFMVDGKAIIPQWLLLITELFTLLQLSAVAVVYLQPTNEVLESLFSDPKREQYAARNVAPRLVSRTVAVAFATTVAAMVPFFGDMNALIGAFGFLPLDFAVPAVFYNLTFKPSKKGVVFWINTAIAVVFSALAVVASVAAVRQIALDASTYKLFANV >Et_3A_024816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24517012:24519675:-1 gene:Et_3A_024816 transcript:Et_3A_024816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVEARATTAAASFSFSSQQARGDAMEHHPHPYFNGASAFFPPPAAAGPAQLDVFDYLSDEGVAVPAGAFGPPPPRRTPVEQVVPDAAGGYYALAAGSAAAAAAALAGQGSSSRTDRIAFRMRSEEDVLDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCNVKKRVERDRDDPSYVVTMYEGVHNHATPGTIYYASQDAASGRFFVSRMHHQLGYERQHLKDSPLNPERWDRKSAKGARVFF >Et_1A_005813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14212961:14218487:-1 gene:Et_1A_005813 transcript:Et_1A_005813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAWRAHSPSSSLPALLLLLLLLLAALCFSPASGARATALIVFGDSTVDAGNNNAVATVVRSNFPPYGRDFPGRRATGRFCNGRVATDFYSEALGLGRAFVPAYLDPDYGIRDFAIGVCFASAGSGLDVATSRVFKVIPLWRQVAMFREYKSRLAAHLGAAEAGAVVSGAVYAVSIGTNDFIENYFALTTTRFLEFTLPEYTEYLVGLARGFLAELYALGARKIGFTGLGAMGCLPLERARRPGLGGCDEDLNAAARAFNAALRDMVEELHGELPGADVRVAEVYDFFEELVRAPARYGFARADVRDGVRVRRVGPADVPRRRRLRVLGRRPPHGARQPDPPACHSPSTPHSHVASRIMASWRFSSSLPALVVVLAALYCFSPASAGSRATAVIVFGDSTVDSGNNNFWPTAARANFPPYGRDFPGGRATGRFCDGRLPTDFYSEMLGLRNFVPAYLDPSYGIQDFATGVCFASAGSGLDAATASVLNVIPLSKQLDLFREYKSRLEQHLGASQAGAVLSGAVYVVSIGSNDFLENYFPLTTPRHLEYPTATAYAAYLMGLARSFLSDLHALGARKIGFLGLGPIGCLPVERARVLGLACDESHNDAARAFNDALRATVAAGLRGGSSFSGADVRVAEVYGFVEGILRAPGSSGFDRADVGCCGSGRVEVGYACVAWDPRTCPDAGRYVFWDAVHPTEHANRVLAGYLFNTTFGSF >Et_8A_056110.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:20878244:20880162:-1 gene:Et_8A_056110 transcript:Et_8A_056110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLHPPDLPREPAHVVPRAPPFPGEPRGELLHPPLPHQVLDDGAVVRHRVHPRLLRRRGVPREQVAAFAVATAVVGHERGGHGDPAPHHVRERADGGVGVHLEQLRHDAGVRRLVPLLVGGDGAADPRERRVAAAPLAADEARRVSMRHRGGALLERDVDEAVVRAPRRRAAGEGVHAGGDEAVHGAVDGAHVDAAREEEVPAEHVAVAVLLGGPPADPSGPRGGVGAGEVADALEPVGHALVRREGLLRHHVAHQAHQHGVGHPRGARADVEARRVEAVGAQGRRVREEVRRLPRGVGGLEERQDVRLRPGLQVGKHLDLLRRQVLLHQFILLLRLLLLPPAGYCHASASAPPACMDTRKSTTMLSCADSTPSRSFYTSNYYSLRSEILVLCFRNGLARNEQLLSFV >Et_2B_019328.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:23954393:23954542:-1 gene:Et_2B_019328 transcript:Et_2B_019328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEKEQLMAKEMISKLTSKCWDKCITGTPGSKFSLGECLPKRLSAEVF >Et_3B_029749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27618725:27622963:1 gene:Et_3B_029749 transcript:Et_3B_029749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LINQKANLSRAKLHGTKKIALRLVSSSPLPTSPPNSPPSHQPLPPPGRAPAMKQLHKSSPTHVPSSAHAPAPKAAKPARPGPRSWLGYILREQRLLFVLLGALIASTFFLLRPYLSLSPSSQYPDHRPLFSFAAHSRVPAGFRPPPRRVVVTGGAGFVGSHLVDRLLEQGDSVIVVDNFFTGRKENVAHHLRNPRFELLRHDVVEPILLEVDRIYHLACPASPYKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKESYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGGGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKQPMTVYGDGKQTRSFQYVSDLVAGLMTLMESDHIGPFNLGNPGEFTMLELAQVVKETIDPMATIEFKPNTADDPHMRKPDITKAKQLLHWEPKVPLREGLPLMVTDFRQRILDEGTSCSDNGETGGCVVPPCPSDHRDVRLFMVITVDPEEPEAVTGAVARERGLHRHHVAAVVCQAGDEDALQPPFQRQPKLLPAVDLLVDDGHRARPECAWPRQGAQPAGGVAYRALAGEDRVVLRERRRGFRRCGSGGVQGDRLVGAVGDRRRTVARWAAAGHRICYGQ >Et_10B_003248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18175814:18179704:-1 gene:Et_10B_003248 transcript:Et_10B_003248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDGDAAAADLAADELQSLSFGSSERSRSASTVSTATASCSTSYSGPIVVPHPPRATTNPTSTFASGPVPRLGTVALSDIRFLRRLGAGDIGSVYLAEVKQQQSKAAPGDSSSLVVAAKVMDRKELAGRNKEGRARTEREILEAVDHPFLPRLYGVAEGERWSCLLTEFCPGGDLHVLRQRQPHRRFSEAAVRFYAAEVVAALEYVHMIDIVYRDLKPENVLVRADGHIMLTDFDLSLKCDPTAPTPAHVISDPVALAGGHSSTTSCTISSCIVPAVSCFQLFPGRGRRRRRWRSKNKKTSSNGGSGGSNSFPSGGLDLEFVAEPVELRSMSFVGTHEYLAPEIVSGEGHGSSVDWWTLGIFIFELLYGATPFKGYDNEMTLANIVARALEFPKDPSVSSAAKDLVAGLLAKDPARRLGATVGAAAIKRHPFFNGVNWALLRCAAPPYVPPPFTLASVNKSGSGGGGGNNVAGDDVSDDDSCPGTPVEYY >Et_7B_055208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8262535:8265658:-1 gene:Et_7B_055208 transcript:Et_7B_055208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRAAACSQPQPRPRPFPALDPAGGRGGKTLREQILLHPDGYIGPLEKRTQKLWVRDGVYMAQRLVTYSPGLLKIFDEMLVYAADGKQRDPAMDILRVNIDVVNCRISVYNNGEGIPIEIHQGEGVYVPEKIFGHISNFDPDAEEDGITRKRNGFGVKLANIFSTEFVVEIADGPSQKKYNQVFSENMGKKSEPKITGHRKGVNYSWTMVTFKPDLAKFNMTHLEEDVIALMQKRVFDMAGILGGTVQVVCNGRKMHLPRGFRDFGYQYMPVSIHNLPDLPWVYEEVNDQWKVGVTLSGGEFQQVSFVNKVATISGGTHVDYVSDLIVAHVVSFMKGTLEMDNIKEHDVKRHLMVFINLLMENPTFSSPTKEALTTPKEGFGSDLEFSEPFLMRAILGNVYFAYVVNYQRS >Et_2B_022833.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6202206:6202730:1 gene:Et_2B_022833 transcript:Et_2B_022833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMVARQGRELQRYSDSTGGRIVVGCVPYRVRDSDGGVEVLVISSQKKGAAGGVLLPKGGWELDESMQEAAAREAMEEAGVAGEVGDQALGVWWYRSRSYDATYEGIVLPMRVTRVHERWPEMGARRREWVDPAEAVARCHHAWMREAIQKFVDDRRSSQRGAEAALLGSAL >Et_2B_019198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17082988:17083410:1 gene:Et_2B_019198 transcript:Et_2B_019198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRGGKTKRQTSQASKTEDVDAGSGNDEAVIPAYKRRGRPHKHFKADSDDEEEDSVKVESVEDSDGAKQSVNGGKKRRRRRRRQQRLGSDCVVEEKGDEPVRQVGFRHHGSRRKSTPRRAAEAGVECN >Et_3B_031317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25651261:25653593:1 gene:Et_3B_031317 transcript:Et_3B_031317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVARALHNILTCGAADADDAALRPVVARRQRREAGGDDDWLPPPPTTTCPGIGTDGCGLRVSRKARLRKGGKEKQGKRDGGKRDGPGGAHKPAALPRCSQCGKEFKPQELHSHMQSCRGLKERMRSGSTSSGRVSVDRSRQFAARAAEHRSRPGRCTPERPAPASAVFLLTES >Et_10A_001842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:897014:917758:-1 gene:Et_10A_001842 transcript:Et_10A_001842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREYGVPSESLSSLEVWNQQEFFPGQRVVCLDRDDGPCLGIVRSFNFKDQTTRVSWFQASEEEEEAEETLSAYHLELSSEPLPFILRMCDSSLSTDDGEEEQVPAQQRKQDLSWFGHIVDLCDQQYIQVKWGDGNTSKVMLHEIAVVEPQNVEEMLQEIGDRENGPAAASSVMGWANDVTQAVIRLAGNVIAQGKRYLLRREVVVSGDDVDDHAMEAATAVARESDIGGDCSAQQGKTEAYDIGGDDLSRCPHFDVQQSPPDRHYFNNMAEQGTGGGTKWIKRVQNEWKILEDNLPGKRHEHLYISFILIFFNAVIEYKTQFFSLVNTIYVRAFEYHDGLFFFDLQLPPSSYPESPPLVNYHTFGLRVNPNLYESGTVCLSLLNTFGGEGAELWSPTMSTVLQVVVSIQGLVLTAQPYYNEAGYESQVGSQQGRRNELPYRENAYLLTLQTMLHLLRRPPAGFEAFVREHFRRRGRHVLRACDAYLTDGYNVGTLDRIVPERLQYQPAVAVCAFWGLLELHKGNCGGTKWIKRVQKEWKILEDNLPDTIYVQAFEKRMDLLRAVMVGASGTPYQDGLFFFDLQLPPSSYPDSPLLVSYRSYGLRLNPNLYESGTVCLSLLNTFGGEGAELWSPKTSSVLQVVVSIQGLVLTSQPYYNEAGCARQHVLRACEAYITDGCTVGTLDGEARPTELSMERLCSAGFRLALGNIVPRLGTVTKVAMAGALVYWLASPRPGGTPPPAYQRNIDDLTFFSSPKICYWSVGDRCFFRNNPRDDDSAGDRHESPSSSSSAPPAAARGLTTRKYYQKSRQMRRTRRQVLPPHEFARTMTVADTRTVADVLWQDGTRQRGVPSASLVPFLARNQHDFFPGQHVRKAWPPVVGAPGVASAADVGVVRSLDCKDRTVRVSWFNKGKTTTEPNGGGETLSAYHLASLGRNVFYGEVVVRVDGGAAGSTGTTEDDLSWVGRIVDICDMLVQVKWGDGNTTKVLPREIAVVKEQSFSEVLRRMGSLGDWVYDDGNVDSDAQDEMAQVPAANNTGEGEDDDSSSGSDDDDGPAVTRIMGRVSTVIQRAVIRLGNRFRVSGRQTVAEVSSSQPAATANVEAVPSGIGDAKQTANAVEGSNGGGVGSHGEGGIATGSVESVRFLRFDVAQRSPPDHHYLDNSVQGTTSGGRKWTKRVQREWKILENDLPDTIYVRAFEDRMVLLRAVMVGARGTPYHDGLFFFDLQLPPSYPAAPPLVSYRSFGLRLNPNLYESGTVCLSLLDTFGGHDTELWSPEASTLLQVLVSIQGLVLTAQPYYNETGYEAQVGAPLGRRNELPYSENTYLLTLQTVLHLLRRPPAGFEDLVRDHFRVAGGACSGRARRTWRRDASSARLTMRRAQWRGAGSGRARPGSGSHWQALCRGLLRRLPISAPMGASNSTCTGCALLPITIRLARQASAKSVAASTVAAAKAFPAAQAGRSALRRAASMGKPRRSGSRRRWQRQPSPPPPPEGETARRKATQQASVGAVGGAPAATCGRHGEMDTIAAAHRAAASWAE >Et_7B_054010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14156469:14160860:-1 gene:Et_7B_054010 transcript:Et_7B_054010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRLGLRRLLTLRAPPPLVTTLPSRALYSSPPASGGGGGGDGDGGSSVAVKQVTRGNLAESLEELRVRVREAAFVGIDLEMSGVTSAPWRDTFELDRADVRYLKLRDSAQRFAALQLGVCPFRWDPAKSAFVAHPHNFFIFPRKELSSDSLSHEFLCQTTSIDFLAKYQFDFNTCFREGISYLSRAQEEEELQKLNLLMKMKFNEWRDLIISKPIVHNHLSENINYNTGQFQTVFFKMRPAIILNGFSSHQLKLIQQVLRKHFRDLVYICTFGEDDISEKRVVYSDTTEDQMLLMKDLREDLLRSREARVKSAIGIRHVIDLLSSERKLIVGHSCFLDIAQVYSKFIGPLPSSMKEFALGIHKIFPHIADTRHLMSVSLAVQNLMRQKSKSLSSVFSLLCPASYTSPEKSSVLRPVRIEVKGDETTSSRFISGAKHEAGYDAYMTGCVFAQLCSYLDIKFENLPPQENLATNNKLQKHINFLSPSFNSGTVVDLSTGLERPDPSYMHRFPAAVYDNIVLIWGFQSKVRPKDIKDCMSKVFGPTSVSSVFSIDSTAVLVQFSKQEFVNDFLDLKAVLERTDSAISLLYPLSTILEGGHTRAAKYDTYRDICSSSESKYLFADQAEAVCSLPEHQSRINVDDNVISGAHEGIRELASVKKVDGTKCGSKDQDNNDISCQDILDALQDGRALVGKGMRS >Et_7A_052073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4403046:4405060:1 gene:Et_7A_052073 transcript:Et_7A_052073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCRVFLVAVVWLLVAVAWPRAAVARGSKYAAIFNFGDSLADAGNLCVDGIPDYLATARLPYGKTYFGYPTGRVSDGRLVIDFIGAGAAAAAAVQGAQRDARGLRHAVWNSGSLHTQIKWFQDMKPSICKSTQECRDLFRRSLFVVGEFGGNDYAAAIFAFRPLEEVHEFVPHIVDSIGRGVEALIAEGAVDLVVPGVLPTGCFPLYLSTFRKDDQPETYGPRSGCIRALNTLSWVHNALLQRKVDELRRKYPGVRIVYADYYTPAIQFVLHAEKWGFLRQTPRACCGAPGVGEYNFNLTSKCGEPGAYSCEDTSNHWSWDGIHLTEAAYGHIAKGWLYGPFADPPILGSQAG >Et_8B_058555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17438122:17438886:-1 gene:Et_8B_058555 transcript:Et_8B_058555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRESYLDALLIPAGLLFPAAYHLWLWRTVRRRPLRSAVGISAAARRLWVAGMMQDNGKKAVLVVQSLRNVIMGSTLVATTSILFCTGVAAVVSSTYAFVSALKYGALLLFFLLAFLCHSLAICSLNQAAFLINALSPASPAAALHIPAVTREYVADVLERGFLLSLAGNRLFFAGAPLLLWVFGPVLPCLGSMAVVPILYCIDMAVPAAADDGAGSDGSKGVMDISRSTADQSMQQV >Et_3B_027682.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:12183531:12183872:1 gene:Et_3B_027682 transcript:Et_3B_027682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSAVIWSIWKLRNEMYFQGKRWTGDRELTGRIARTMKSWCLLLKEEEKEGLASIVQQLEKKASEPPALMWLQEGTASTISRNGLANEEQCMTDGIEPVNNNTVPNSFHCFE >Et_9B_063756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11844374:11844651:-1 gene:Et_9B_063756 transcript:Et_9B_063756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHWVKGPNAGKSEPLADLPGYPDNVRDDVLAVRIDKDGKVVQVMRGPKSVRPTEVMERKDGKLYLGSVELPYVEVVSA >Et_2B_021197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27332885:27335405:-1 gene:Et_2B_021197 transcript:Et_2B_021197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDRAERGKASSDKMRKLKELLHKSDNRICADCSAPDPKWASANIGVFICLKCSGVHRSLGTHVSKVLSVTLDQWTDDEINSMLEVGGNSYANAIYEAFLPVGYDKPHPDSTQEERTNFIRSKYDLQEFLQPSLRIVSSKSSLQDTHSRKDTDNASHSVSFNSEAGMVEFIGILKVKKAKTSIIKRNLNPVWNEELKLSVPQKYGPLKLQVFDHDVLSRDDKMGEAEVDLQPMISAEMAFGDPDLLGDMQIGKWLKSPDNALARDSTVNIVGGKVKQEVSLKLQNVESGEVDLELEWIALNQ >Et_2B_022952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9215549:9220987:-1 gene:Et_2B_022952 transcript:Et_2B_022952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQRSHNCFLTIEVRRKEGREEREEMDKVLLDTFVEYYKKGDRCQNGWKSHVYTAAIKNVREKCGVNIAKSNIESRSKTFAKHYNIINGMLSSSGFGWDWERNKVSIDSESVWESYVEKNKAKGHKQSHQIPIHKE >Et_5A_041246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2018193:2021993:-1 gene:Et_5A_041246 transcript:Et_5A_041246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRRRSAAPAGEELVSLDDGCLMHIFSFLSPIPDRYNTALVCHRWRFLACHPRLWLRVERPIRDVMEPGVYPSLEAAVSAARPGDTIFIASGGTHIARNIQIKKPICIIGGGELPDDTVLTCSRGSDNALELLSTCKIANLTIRAELGCCLLHRSGKLTIEECLLQCEQNPLDYLSFPIISTAIEYDSLPSLKEQGHGVTVVRTRIEGGAKAVRTNGTLALQHVRAIYSRSSVFFWFEVGEKLRMCPLPKLALVAKAELPLSVSPFENTIRYTFLKIYSSTADSCST >Et_7A_052223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5841521:5845003:1 gene:Et_7A_052223 transcript:Et_7A_052223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METGPGPTLQSLLCLAWLAVTFPIAAAALPIPEAAGGRLLHRLLAAFSSRGKTARPSSSSSSSKAKFTVPQKFFLHFYVVGVTATTVLLLAIWFYAYMKMTPLSTEPSSYSAIASHIVGGSNSFSLSNFLSRPKEHKYRVWRTVFVLLLMEVQVLRRLYETEHVFHYSPSARMHIVGYLTGRARMPDLVIDPSSLLKPLVKLGWCQWIGAAIFIWGSLHQIRCHAILGSMRKHRDSDEYVIPCGDWFDRVSSPHYLAEIVIYFGILIASGGSDIPVWFLLLFVITNLSFAAVQTHKWYLQKFEDYPRYRYAIIPFVC >Et_3B_028332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13527287:13534573:-1 gene:Et_3B_028332 transcript:Et_3B_028332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPQRGRPRAPRFFRSGNLASRVFERQLMSPRPGANVNTVRQFYENLVPSYTIYDIDCPDYSFRKFTDDGKYLVAFSRNHQDLIGAIHGVPSIEKITFYLVRLEDGVILDEKAFCNDFINLAHSIGAYLYEDLLCIVSLRYQTIHILQIRDSGNLVEVRKIGPFCREDDELFLHSHVQNSRGGSFLPGIKQRLLSYIFRKTWSEVPDQNLRVQHLKKKFYFHFQDYADLIIWKVQFLDRHHLFIKFGSVDGGVSRSTDQNLAFFAVYNMETTDIVSLYQNSSEELYSLFEHFYDHFHANPQNSSHGKFISSHSNNFHALDQLRIIKNKASSSSQFVKKMMASLPYTCQSQSPSPYFDLSLFRYDEKLISAIDRHRHCTEHPIKFMPVRQPNVVKFKIKPGSDSGTSDNRAKRISSFLFHPFFPLALSIQQTYMQPTVVNIHFRR >Et_1A_005238.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:34746329:34746412:1 gene:Et_1A_005238 transcript:Et_1A_005238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFISPCAHSLLSISQESLRIRTRLNS >Et_1A_004905.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:15263210:15263362:1 gene:Et_1A_004905 transcript:Et_1A_004905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSFFSGEHHILYPKEDRANKILLFACRNCDHQVHHPFRHLGNSSLGL >Et_2B_022058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8492056:8505392:-1 gene:Et_2B_022058 transcript:Et_2B_022058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SNSPRDVNSISALCLFRFVLVVGIGGDYPLSATIMSEFANKRTRGALIAAVFSMQCRASAYWRAPGSPWPSPRPLTGSRVTVHRSTRRRPPTWLADYTNDRRHPRCAHLLLEDGYSRDGNPRIPHTIVPSRAFAQNPTHPNKQPRAIEMPEIRVLTALDQARTQYYHFKAIVIAGMGLFTDPSSAASTTIPAAAATCPGSATVGVALLGAVVGNLVFGALGDRVGRRRVYGMCLLLMVCSCVGSGFSVCRTRGCVLASLWFFRFVLGVGIGGDYPLSATIMSEFANKRTRGGFIAAVFSMQGFGILASSGVTMAVSAIFDRFTGHRAPLDRPAAADLAWRIILMIGAIPATLTFYWRMAIPETATAEDEEEEAALRRTTPPAPFRPASPNPHSLFSRRFLRRHGRDLFACSQVYRQWFPPSHRVNAFQEAFNVAKFQAIITVPSTIPGYFAAVFLIDRVGRRRLQMAGFLLMAVFLFALAGPYDRYWHDIATAPPYVVLYALTFFSANLGPNTTTFILLAELFPARFRSTCHAISGASGKLGAVIGSIAFLWASQERDMRKVEAGYIPGIGMMYALVILGAISLMGLAVTYLFTPETMGRSLEENESERGQSQVGDGELHELTGLPKSSASLLSSQVSSHARAIEMPAIRVLTALDQARTQYYHFKAIVIAGMGLFTDSYDLFCIAPVMKLIGRVYYAAGGEDGRPGATPPAVISATVGVALLGAVVGNLVFGALGDRVGRRRVYGTCLLLMVCSSVGSGFSVCRTRGCVLASLCFFRFQLGVGIGGDYPLSATIMSEFANKRTRGAFIAAVFSMQGFGILASSGVTMAVAAAFDRFTGRRAPQDTPECADLAWRIILMVGAIPAALTFYWRMAMPETARFTALVEHDVVKATNDIGRVLGNLDLNAEDEEAAALRRATPPHHGLLSRRFLKLHGRNLFACASAWFLLDIPYYSSTLFQSQVYRQWFPPAHRVNAFQEAFNVAKFQAIIAVASTIPGYFAAVLLIDRVGRRRLQMAGFLLMAAFLFALAGPYDRYWRGHATDASYMVLYALTFFAANLGPNTVTFILPAELFPARFRSTCHGISGAAGKLGAVVGAVGFLWASQERDRVHGQAGYRPGIGMMYALIILGGICLLGLAVTYLFTPETMGRSLEENKSSERGHSLVENGEQEELHEPEEVTKSPASAVSSHVSTSPIYPHRFSA >Et_2A_018025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9173499:9174190:1 gene:Et_2A_018025 transcript:Et_2A_018025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKSVLLLGVLLASVMLLCQDPVYARELTEANESEGKNVKPAGVPALKNEKWFGGYKNGGGYGNDGGYGGGYDQPGYGGGYGGSYQPGYGGGHKHHGHGGGYGPGYGGGYGPGYGGGYGGPGYGGGYGQPGYGGGSGRPGYGGGQGSGYGGGYGGGYGSGSGYGGGGGYGGGYGGGGNPGGGYNGGGGYHGGGN >Et_3A_025268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28415389:28419047:1 gene:Et_3A_025268 transcript:Et_3A_025268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAWTLPDHPKLPKGKKVAVVVLDGWGEANPDEYNCIHVAQTPVMDSLKNGAPEKWRLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDSALASGKIFDGEGFKYIKESFDNGALHLIGLLSDGGVHSRLDQVQLLLKGASERGAKKIRVHILTDGRDVLDGSSVGFVETLENDLSQLRDKGIDARIASGGGRMYVTMDRYENDWSVVKRGWDAQVLGEAPHKFKSAVEAVKTLRAEPGANDQYLPPFVIVDESGSAVGPIVDGDAVVTFNFRADRMVMLAKALEYADFDKFDRVRVPKIRYAGMLQYDGELLLPKRYLVSPPEIDRTSGEYLVKNGFGHVTFFWNGNRSGYFDESKEEYVEVPSDSGITFNVKPKMKAVEIAEKARDALLSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAVEQVGGIYLVTADHGNAEDMVKRNKAGKPLLDKSGSIQILTSHTLQPVPVAIGGPGLHPGVKFRSDIETPGLANVAATVMNLHGFEAPADYETTLIEVADN >Et_3B_030233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31604861:31608049:-1 gene:Et_3B_030233 transcript:Et_3B_030233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPVQPVPTPAAGNGDAFRLGFIGAGNLAESIARGVAASGVLPASAIRTASHRRPERGAAFASIGAKLFDSNAQVVDNSDVVVISVKPQIVKQVLVELKPLLTEEKLLVSIAAGIKMKDLQDWSGQQRIIRVMPNTPSAVGQAASVMCLGEKATQNDESRVRSLFGAIGKVWTAEEKYFDAVTGLSGSGPAYIFLAIEAMADGGVAAGLPRDLALGLASQTVLGAATMVNETGKHPGQLKDQVTSPAGTTITGIQELERGAFRGTLINAVVAAAKRCRELS >Et_9B_063712.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21361435:21361842:1 gene:Et_9B_063712 transcript:Et_9B_063712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILRHAWMSPRRPRSISTTMASAMLLSSAVGVARLRSTSSITAAARLLRTAAADPSSSASLRLRRRASTTATPTAAHTTTAVPTAAAFPIAAADTLLTAATLARTDPRAARATRSGSEANNDESFLPSSRPPAA >Et_6B_049687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:893788:896461:1 gene:Et_6B_049687 transcript:Et_6B_049687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSKRFGDGRKMKRNASSPVTTVAVVSDDLIREFFIRLPDLPSLHSAALVSKRWRRVASDPATLRRFSLSRRPALLGVIFSDRGDTSFPWRCPNLRFVPSHTGNPRLAAAAEAGDFLFQHLPHGNDDGAGAARHRDDKWRLRGCDGGLLLLSRGKDSRDLAVYDPFARTAVFFPPPPDALPIRKWWHVASLAIVADEADASFRVIAALFWHDLEAAVFSSRTRDWAAVPTNGLVFDHRSRCEGGERAGRIWDDAIYIGGGEEILVLDTATMEWSIIAAPFAVGESYCVADLAEHGGLCLVSSKDQLLQLWVRGNDDDEEWVIKKEVSLLKEFGFLKDIRRKEWMKRVRPLALRGDYVLMEFWSIRKSHSYLLVLNLKTMKLDMFRNDSTQPHRGPAFPFFMSSESPLLSLDKQTPTNAQADVWLTGKAKKKMECLVFRLTGTWIDSFSLI >Et_6A_046844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21359555:21362770:1 gene:Et_6A_046844 transcript:Et_6A_046844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIRAAAKAVLLLDHEGDTDEALAQAHVLAAEYSESAIAQRLVGDLRYAAAIRAAAGEGSAEAREAEAAVHLRAARNALSATYRLAPDCVEVAVALGDVFCASKMYGLAEAEFRRAQRILYPVDPAVNNATYGLYGGEDQEESTAAERVEEARERARSLYASMTVEKLVPIAVQRVLDVARDHGAMEGRRQAKRVAESFPNLGRAQYLQAYMDLEFVRSLDAAIDRTAFLRRTLTIAERAAQAFPKSAVIASFHARLLFVLGEFDAAERECRRALGMKEPDDPQHDCIPPGSISGENRGARLVSLAGEFHELLNRILVLASDYWNSMSSERQHDFLFVRLDVLQDEYNKVDPSYAFAVSDVQSFVKEKGSWRYWVCPICAGKKKFLDTDLLLSHMCSKHPRAVLPRLQSVLDPKLSEKALEGDDSMDGLSFCQDSDQKDMITFEKRSDLFKWLFYAPSSGVGAKPFAEIREIKRQSGNMLLESIKERMKTLPTDKSASEFAEALAEIHKLWRNFIRASVMDYRAIILTLSRSFLWKELNKCMTEDQKAAAKFISGADIDAVFTKEDASIFTKEDDTDGKIVESHEESGVHAADESSETTVNDTELSGPPVKVTESVDDLDTKVKNLQIDPNSDGSITTSDASSSDQNGQQA >Et_4B_037815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23666647:23667615:-1 gene:Et_4B_037815 transcript:Et_4B_037815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALHLHLRPAAALPAEQPFAVPGGFPHPVKLRRAQVNEEALAHLPLFRAAEAETCAVAFNSFSAMEARSAAYYRTQLAGRPKNVFLVGPTRAAAVSPRGGGFLNEAFLVEVLRVGVRVREEVADLEAVVPAEAVARAVGRLMGDGDEDDAARRARATELGVAARAAVAEGGSSCRDWVCLVDELKALCSHKNGAPQSDNN >Et_4A_034641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5055895:5057450:-1 gene:Et_4A_034641 transcript:Et_4A_034641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAIDAKRDEEEFEGVVVVIREYDPSTDSDGADAVDRECELGPPGGMSLHADLLGDPVARIRHSPAYLMLVAETSGPGGGQIVGVIRGTVKEVATGKGGSGSGASASARVGYILGLRVVPSHRRMGIALRLVKQMELWFEGQGAEYAYMATDTSNEASLRLFTDRCGYSKFRTPSLLVHPVHSHRLRAPRRATVVRLDARDAEVLYRGSGRFAGVEFFPDDIADVLRNPLSRGTFLAIVDQGYEWRGVEGFLSSPPSSWAVASAWDCGGVFRLEVRGASRLRRAAAAASRALDRAAKWLRVPSVPDLFRPFAGWFVYGLGGEGDDAALAAEALFASVVNTARGRAAVVAVEVAACDPLRRRIPHWRRLSCAEDLWCMKRLGGGGGGGHEDGWDWSRSAPGNSIFVDPREV >Et_4B_037997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25381530:25382354:-1 gene:Et_4B_037997 transcript:Et_4B_037997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPCAACKLLRRKCTQGCVFAPYFPPDSPAKFASVHKVFGASNVSKILNELPQSQRGDAVSSLAFEADARLRDPVYGCVAYITVLQAYAPGGATVPHDVAAQYDGGFFLQQQQQQSACASQAQTAVALTYQMDSSPPPSSCGQSPAEEVSQQQHTDGSDEGSGGVALPAC >Et_2A_017621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4231839:4232101:1 gene:Et_2A_017621 transcript:Et_2A_017621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLYHTFRIYVFLPEKDGGIWYFHNIAEPIEDLHYNKINESIIIVSSMIIRCGYKTETLIMYFFHVERVYNNT >Et_1B_010724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13359181:13362696:1 gene:Et_1B_010724 transcript:Et_1B_010724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLSGPPEHRLSSALDGHYEEKRKSNVEYSEDEKKAMIASLKKKAMSASQKLRHSMKKGRRSSKVMSISILDERDPEEMQAVDAFRQLLVLEELLPSQHDDYHMMLRFLKARKFDIEKAKQMWSDMLQWRKEFGADTILEEFEFEEAEKVAECYPQGYHGVDKEGRPVYIERLGQIDVTKLMQVTTMDRFVKNHVKEFEKNFAVKFPACSLAAKRHIDQSTTILDVQGVGMKQFSKAARDLISQLQKIDGDNYPETLCRMFIINAGQGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFFGGTCKCEGGCMKADKGPWKDPEIMKMVQSGAGRCGKLGSASFEAEEKLICEDDIIYPKKQASFNGESPLVGDVQRTLSRKLSRSRIEHPQLSPVHEEHIPSSFPTPGSPYSCDVPMVEKAIDAICKSQGTPDEKLAITNAVVNATNGPNPPLFGGIMALVMSIATMLRVSRNMPAKVLGSAIGGSKTATLAKSKSKIQVRQRSKLSPEAVKAAEQAASAKRLADLEEKVIALLTQPTAMPADKEEMLQAAVSRVSALEEELAATKKALQETLERQGEIIAYIEKKKKKKSKVTNWERKNIWQNKTCLKANLRSVLLNSFFFGFSLKQRGSNTITLIY >Et_2A_014992.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24961315:24961638:1 gene:Et_2A_014992 transcript:Et_2A_014992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLDVEKCYVFSKPEVVGYWANMAEDHSHAEKLKITVPSQTTARLQRHDKQNGEVEIKPGEDRVWLIPCQQQQHGDDEQEGLKIKTKLEKSQAIATIDKSEKIWLW >Et_3A_026306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6671291:6674935:-1 gene:Et_3A_026306 transcript:Et_3A_026306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTPLRPTAAAGSSSGGSGSYHQRRRGRGAGLRRPRVRRCKMKLMYFLMDRDEQRGKRLELEFEVAELETTLDKEQRLGRVLQCSLQGRVVCHCCLSALVLPTKVRSLLGELAMVEDEIFYLEKKVDDLRLRLHRERKWNDHCVVQQTPPRSWPPQDRQPPRHPSRGLGCRKELKGAEQQLPRLPCPATDEALECESKASVGSASVKGEKVEHARRSSHCHSPETPTPPDRKLCLNSPNKLSEDLIKLTVTIFHKLNKTSPDAATTEPELSTGEPKLNISCIGASRSLVPKVAATGAAAAMSPLKSRSSRTPKGSDRGAAAKEAAAAGGGAGGCGGHRRFVEFTRASVDVSRVSLCLVDIKNLRGLMQKLSAVDPSFLTNKQKLAFWINIYNFCVMHAFLQHGLPPSPDKLLALLNQASVNVGGTVLNVLSIEHLILRHSPDGKQGIVDDGEMDLLRSYGLGFPEPNVIFALCRGSRSSPALRVYTAEVSNELELAKVEYLESSVRVVGRRQRAVAVPRLLHWHMRDFADDAASLLEWVHSQLPRGPLKRAIREALGNATGGGSRAPKTLVEVEPYDAEFCYLLPVW >Et_8A_056525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11373667:11374297:-1 gene:Et_8A_056525 transcript:Et_8A_056525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAQARRGAGDEQMQQQQGTAPAGQQQHQGPIKYGDAFGVKGELAGQAIAPQDAAAMRSAEQSVPGVQVPQEKGGGFSAGGFMQSAAEYNQAVGAVRPGEASEAAAKQGINLTQDPVPGGRIVTEFVAGQVVGQYAVAEPTAAQQQHQDANKAASAGEGAAAGDAGATAARRT >Et_8A_056886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18511740:18515050:1 gene:Et_8A_056886 transcript:Et_8A_056886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMRVSLYRSVYQVFIHGVAELGFKRATLSLRVKIIILVCFLSRGISIHKGSISMERQVALQVAEFKCKVMEEELKKLSLKVNHHEGNIQFLKSELNAIEETCIDLRIKLGNYHSSAAANANNDTSSLEAEQRTIRGILDHDKTVAGILCQVKVRHCEEASKMPLMKNIIGFVATLGKVNDGNLSRLLSEYLGMDNMLALVCKTSDGVQGLENYNKDGSIDKNSGVHELGRTVGKYLDGRFTGFVNIDDPQRKLILEKPRLPGGESPPGFLDFAVNMIHLDREHLSCLTASGNGLRETLFYSLFCHLQVYKTRADIQHALPAINDGAISLDGGIWRPNGSFCLGDSKNLEVKFAVSLGVSSLPIDINDMEEQVKLKNWEKERLLEDMKREEDLLRQVKELYIYRKQKKEHMDYLTQPTVAQTAHDPGSNLFGAKPSRMC >Et_10A_002187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3816099:3817387:1 gene:Et_10A_002187 transcript:Et_10A_002187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALRPSARPPAPAPAPSPADAFVAGVISSPPSPFDHDAVSPGPGPGPAPEVAVTAARRGGGASLSPPLIAMLAVVGAALLVVLYARLVNRVFRAARRRWRRRRLRRLLMFPGSPSSSGGAGGDSFASFTTYDNYYHTFSPSYFGLDDAAIKSLPSAQYLGPSSSASARGRGPRFADGDELRALPLCAHAFHADCIDVWLRAHASCPLCRAAVALPPPVASPLRRAIGARPSLDDLLFFHPVPQPPEGGGGGLALPEIAPASPDQPLNPRDFLLKRSYSFGFERSLAVDAASTASPPWRYRASAAADGAAASRGRGGFWSKRWPSPFGGGGSAAARVFSFRSYRSAAGKSSPFSRRRGGAAATGGGGGGGGSGFFFMSLASEPPSILAAARRARAAAAASSRLRCGDPEALLSPDRLSSR >Et_9A_061793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16529111:16530182:1 gene:Et_9A_061793 transcript:Et_9A_061793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGAVVAAALLLAAAAVVEVPGAAGFHLGGDESGLVRGMLAALREKAEAEDAGRFAVAQHNRNQGASLEFKRVLKSKRQVVTGTLHDLILEAVDAGKKSLYNAKVWVKPWEDFKSVVEFRQVTDSESKSSIASDGNPGQGIVGLGCLDDILVHNPTAELSLPAHLVQEARLNTVENELNSDFSSPA >Et_7A_051143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14941997:14945975:1 gene:Et_7A_051143 transcript:Et_7A_051143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSSSSLAIVLSLLLLSRPGTVAADGQAPSKPIVTPITKDPSTSLYTIPVKNGAPLVLDLAGSLVWSTCQEGHRTVPCKSSVCKVANRNHPAGCAWSKIGGQAGNPDPHCACSAYPYNPASGQCGIGDLTVVPLAANATDGNHPLFPVSFNVIGSCAPDGLLESLPGGAAGVAGLSRLPLSLPSQVASKLKVPKQFALCLASDGNTGAAIFGGGPFLLQTGFSPQPKDFSQGQDSQLPLVKNPKNGAYYFRVHGIAVNQQLVPVAPGALDLDARSGKGGVVFSTVTQYTTLRSDIFNALITTFEKAISDLPRVKPPPPHDLCFQTSAFTSTAFGPGFANIDLMLDNGRNWTLFAASTLVSMGSETYCFAFQNMGSPASEAPDAPAIIFGTHQMEGHLVQFDLEKSTFGFSGPLVGARTAAHSISIFLVAMDPTLARQTGRALDGSFTTYWPCASAGLLASLPSGAAGVAGLSRQPLALPSIVASRMKLAKQFALCLPSSGQSGAAIFGGGPFSARPDDLAEELRQQGSQLPLLKNPKNDGAYYFRVHGIAVNQDRSWCPASRPAPWTSTPTQAPAASRSAPAFDKATSGVPRRQAAYPFMMCYEASAFGGYPGLGPGSVANIDLMLDNGRNWTLPGASSLVRVDEHTLCFAFHSMNSEAGIPNSNAIPESPAIILGAYQMENNLVQFDLEKSTFTFTGLLSGRRTTCGNFNFNTASS >Et_2A_016817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28534475:28535956:1 gene:Et_2A_016817 transcript:Et_2A_016817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASKMTHTRTPKLGVLAPDVEDIDSFMLVEHEDAQDDDSELPEFDVIVDKGVDVIVEKGVAGQEEKAQLKEEADEANTESEDVSVDPGDEVSKEALEEATPNGVLDAVAGVVEFVDAEVEGSAAVSVVDRDEVVAKLETKALEKATPSDVLNAVPQHGEDKGTAASKLSRRRRFGWQILALLVTPAAVLKRVPEHSICQRIRNSGQYLFGEKLLPCPTRSPVFVWATGGSSPTAEDTARKRRGSSSHRCPIPDCYLFGQFQIVA >Et_5B_044678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4549722:4560483:-1 gene:Et_5B_044678 transcript:Et_5B_044678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTLLKLLLLLLLGDVTAASVGGEREALLKFKAAVTSDPGGLLRDWTPDSADHCRWPWVSCGAGGEVVALNISSSPGRALAGALSPAVAALRSLSVLVLPSHALSGLLQPAIWSLRRLRVLDLSGNRLQGEIPATLACVALQTLDLAYNQLNSSVPAALGALPGLRRLSLASNRLGGAIPDELGGAGCRSLQFLDLSGNLLVGGIPRSLGNCSKLETLLLSSNLLDDVIPPEIGQLRNLRALDVSRNSLSGLVPAELGGCVQLSVLVLSNPYVPLDGSNSTGYGGLDDFNYFQGGIPDAVAALPKLRVLWAPRATLEGELPGNWSSCQSLEMMNLGENLLSGVIPKSLAQCENLKFLNLSSNKLAGSVDPSLRVPCMDVFDVSGNQLSGSIPLFISKKCYSAQLPSDDLVSEYSSFFTYQALAGFMPSMLLPGADLTSYHSFARNNFTGTVTSLPIAAEKLGMQGAYAFLADGNHLEGELQPGFFDKCNSSRGFIVEVSDNSINGTIPAEIGSLCTPLVVLGIAGNQLSGAIPTSIGQLSYLISLDLSRNHLSGAIPTSVKNLLHLERLSVAHNLLDSTIPADINQLHSLKVLDLSSNLITGVIPDSLADLKNLTALLLDNNKLTGKIPSGFANSASLTKFNVSFNNLSGPVPTNGNTVRCDSVIGNPLLQSCHVYTLAVPSAAQQGRGLNSNDYNDTAPSDPQNDGGNNSFSAIEIASITSATAIVSVLLALIVLFIYTRKCAPRMSGRSSRRREVILFQDIGVPINYETVVRATGSFNASNCIGSGGFGATYKAEISPGVLVAIKRLSVGRFQGAQQFDAEIKTLGRLRHPNLVTLVGYHLGESEMFLIYNYLPGGNLERFIQERSKRPVDWKRLHKIALDIAKALSYLHDTCVPRILHRDVKPSRAREFFIDGLWDVGPHDDLVETLHLAVMCTVDSLSIRPTMRQLTDLLLNRYEVFSNIYSYMVPGVIAGQRAGPARPGPPREKHQPPSH >Et_1B_012218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:337983:338961:-1 gene:Et_1B_012218 transcript:Et_1B_012218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYSERQPIGTAAQGSEEKDYKEPPPAPLFEAEELTSWSFYRAGIAEFIATFLFLYISILTVMGVSKSSSKCGTVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYMVMQCLGAICGAGVVKGFQQGIYMTAGGGANSVNPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIVYNRSNAWDDHWIFWVGPFIGAALAAIYHVVVIRAIPFKSRD >Et_3A_027191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4419598:4423129:1 gene:Et_3A_027191 transcript:Et_3A_027191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVTCVVEPLQALPPPGPSLQSCARETSRMLRRSFAGGGMGKRERNRRTFQQKEAQPEQVFAMIKEEMTKNRRVTANKESRGDVPRVVTKVASKLLQSKAHSEHCIPGQENRLFPVPLTPINGD >Et_4B_038898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5791457:5794188:1 gene:Et_4B_038898 transcript:Et_4B_038898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMAEEEAAAAAAWEEEELVKGKGKKKRYGLVEYRALPGYLRDNEYIHRHYRCEWPLPQVLLSAFTIHNETLNVWTHLIGFFIFLALTIYTATKVPNVVDLQTLQHLPDVLRNADVHKIQAELVSCLPDLHKLKDELKSSWNSMEVLPSLSRWHLLELLSNCLPHRFTQYNETSLSILQSMKDDIANMIAPQLIRPIARWPFYAFLGGAMFCLLASSTCHLLSCHSRRLAYIMLRLDYAGIAALIATSFYPPVYYSFMCYPFFCNLYLSFITILGVATIAFSLLPVFQNPEFRTIRACLFFGMGASGVIPVLHKLVLFWHQPEALHTTGYEILMGLFYGLGALVYATRVPERWMPGKFDIAGHSHQLFHILVVAGAYTHYHAGLVYLKWRDQQGC >Et_1B_013385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:821067:827890:1 gene:Et_1B_013385 transcript:Et_1B_013385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLLKRNLQTTAKAFMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAAAYLEAQQIKAREHQQQMQIQQLQLMQQRHAQLQRTNPSHPSLNGPINALNSDGILGPSTASVLAAKMYEERLKHPHSMDSEGSQLLDASRMALLKSAATNHSGQLVPGTPGSVSTTLQQIQARNQQTMDIKSEGSMGVPQRSLPMDPSSLYGQGIIQPKPGLGGTGLNQGVSGLPLKGWPLTGIDQLRPNLGAQMQKPFLSTQSQFQLMSPQQQQQFLAQAQAQGNLSNSSNYGDMDPRRLTALARGGLNGKDGQPAGADGCISSPMQSSSPKVRPDQEYLMKNNRKRKQPTSSGPANSTGTGNTVGPSGNSPPSTPSTHTPGDGLGMAGNVRDVPKNLMMYGADGTGLGSSSNQMDDLEHFGDVGSLDDNVESFLSNDDGDARDIFAALKRSPAEPNPAASKGFTFSEVNCWRTSNSKVVCCHFSSDGKILASAGHEKKAVLWNMENQQTQYLSEEHALIITDPGFSLHTFTGHGFQVTSLDFHPKKTDLLCSCDGSGEIRYWNVTQPTCMRAMKGGTAQVRFQPNTGQYLAAAAENVVSIFDIETHSKKYTLQGHNTDVQSVCWDNSGEYLASVSQDLVKVWSVSSGECIHELSSNGNKFHSCVFHPSYTNLLVIGGYQSLELWNMVKNQSMTVQAHEGLIAALAQSPVTGMMASASHDNSVKLWK >Et_6B_049255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2300401:2305131:-1 gene:Et_6B_049255 transcript:Et_6B_049255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAHEVAVYIDRFHNLDLFQQGWYRMKISAVWEEDEGRGPITPARVVQYEALDIGGKGSFGFWKIDDDDNSFYTQPFLVKYARQDIYLSVMVSFYIPNSEDEGPATSSVILKFELLYIPALGNGWAQDQDSSDPYLVPIHEFRLPHKALLGLHSYCPVHFDALHSALVDLTIHIVYLKAGVTKSSLKEQGLGLKAYDIVKALLFSRETLLQEVMKISKVIGITLEDLDDADLTLGKYETVQPSKSGFTNYSKGHGAPTKYTRQMTGILRDFLESSDGVGGSTDDIMLYNLSKEELLELFETVSCQVSLIWNAFLNFHRVQRIKILDYLQSVWDDDRKAEWSIWIIHSNIEIPHRYLRGMTDDSSPRHLLRISSSRKFNQDNSISRAELHRKSVAKMKINAGSIQDMHIYADPSCIPVVRIEQHVMVVPQHGSSKDLATDSTEPVDCIVPPQQREECASRISGIVTKSGLILRAVIFVHGFQGNHLDLRLVRNQWLLIDPGAECLLSQINEDRTSGDFKEMGRRLANEVVAFLKRKLDKYNKNGGCKEVKLSFVGHSIGNVILRSALTEPKLQPFLKDLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGMQCMHQLTFSDDENPQNTFLYKLCKLKTLENFKNIILVSSPQDGYVPYHSARIDLCHGASSDNSKKGQVFTEMLNNCLDQIRAPTSDTRVFMRCDVNFDLSAQGRSLNTMVGRAAHTEFLEDDIYARFIMWSFPEYFR >Et_7A_053135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7637368:7641937:-1 gene:Et_7A_053135 transcript:Et_7A_053135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVRLKWVKNRGLDHLIERTTSIRASCLLLDHLARLPAASPVPARSLARLQKPLGLTVPVLRFLRRHPTLFAEQPHPRFPTLPSFFLTPASHTLLARLADASARDAHLRLARLLLLTRSRSLPLASVLPLRFDLGLPFNFATAFPSSHPGTFAVANNRISLRSASGLPDDIAVSSLQRRHAAAIDAATYRALSRPPSSSSAPLAFPMRFPRGYGGMKKVKAWMDEFHRLPYISPYDDASGIDPDSDIYEKRNVGLLHELLGLTVHKMVRRNAIRLLREELGLPHRFTRLFTRYPGVFYLSLKCKTTTVVLREGYERGRLVEQHPLAAVRDKVHYVMRTGVLYRGKGLSKLVLDDDGAEEDGALDGEEEFHGEGMDEDADVECFGMEIVDDDGPAEYEEDEGESDALLAV >Et_4B_040012.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7717508:7718317:1 gene:Et_4B_040012 transcript:Et_4B_040012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDTGSELSWLLCNGTTAFNASPDCQWRGRDLPVWPSCAAPPPAASRSPPTPTRSPSPAGAARASPLRLHRLLLVVHHGKWQRHQLLRRGGDGSPRHEPGQPVLRDADGHPPLRLLPGDAPGLLVLGNVTTAAAPPLNYTPLVEVSLPLPYFDRAAYSVQLEGIRVGRTRLPIPKSALAPDHTGAGQTMPDSGTQFTFLLADAYAALKREFATQAQAGARALPQLAEPGFAFQGAFDACFRATEAAASRTLPEVGLVLRAAGRTACGA >Et_3A_024384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20297175:20303192:1 gene:Et_3A_024384 transcript:Et_3A_024384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQSSVISYLRLLILPPGQCRLTITEAPPRVVTPARTLPVDLVLEIVLRADAATIVRSAACSKPLRRDILSPEFIRRVRQHPGSTACLLGFLQAYDNKVGAPRPRALFSLVHPATPAAASLSDTRIVPLLSCSVAADLLGRYVPVTSRRGLLLLRRRNIDHPRNICVFDPFTGGYAFFPRPPHDSSNIYALLTAADGVGSSYLLLSSEIQTVSSDSTDRKWGPIITAKHPIPPESYALCPCGGAFVIGTLIHWLMCDDKGSMILLTYRVGAMTVGSIELPTSTLLRRGYEGFNLHLTSSADDRLILLVSDRFMVSIWLRLSTGGGRWKRQAVVDISSLVPKLTELWPEPDRAIMIKGSGGSSGVVLLLPYNTIKEQEGNGSATADNILVLDMRTKELRRICADTNNIIKRLRAITAARTLPDDLVLEIVSRADAATIVRSAACSKPLRRDIHSPEFIRRVRRQHPGGGATCLLGFLHCAYDGAPRPRELFSLLHPTTPAAASLSEKRVAPLLSGGAAADLLGRYVPVTSRRGLQLLRCRHIYRHGRTDLCVFDPFTGDRAFFPSPPDLKDDSINNVLVTAADGVGSCFLLLAVKLGVLGTARSVVIQSQTVSSTSADRKWGPVTTATHPLPPQSSPNLYLYPRGRAVVLGTLIHWLMHNSDRNGCSLILTYRVDGTAAGLIELPDSGLLSRFESFNRHLTSSPDGRLRLLVSDKSTVSIWLLLSTDGAGWERQAVIDLSPLVRKLIKQWPERDVPVGFEGAGGRSGAVLLRPFMRNNGDASHSNGEDEGGAMDYQDE >Et_3A_024136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17726080:17727323:-1 gene:Et_3A_024136 transcript:Et_3A_024136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDWSELPPELLVSVLSLLDEIRDLFACAAVSRAWRAGCAAVRRLGLWPDQGPYLVYSSADRDPGTATLHNLSTGRSFHAALPEPSFRGRYIVGSSRGWLVTADERSHLHLLNPITGAQIALPPPDTMFGVGPSFNRHGVFRGHYIHELDTEFILSSDPSSGGDCIVLLMHRPYEPLSFARVGDTRWTWINAMENCSWYRDFFYNDEDSLFYAVRANGEIHTVDLRGSSPEVKVVYKVDSRNMSHTGVMG >Et_3B_029619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26533784:26538038:-1 gene:Et_3B_029619 transcript:Et_3B_029619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRNAIPRRAHKERAQPETRKKFGLLEKHKDYVVRAKAYHRKEETIRKLKEKAAFRNPDEFYFKMINSKTVDGIHKPKPEANKYTEEELLLLKNKDMGYIFQSIQSEKKKIEKLSSVLHELDNKCPNKHVYFAEDREEAKEIRSRIEENSKLPVLDNIPSRIKKKTASSYRELEERKQRLQKLEKLYADMALQKELKKPGRKRKLREDEMENPTSQPVYKWRAQRKRNMEFKAIISDMPADNCCVI >Et_2B_022414.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:20958722:20959231:-1 gene:Et_2B_022414 transcript:Et_2B_022414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTVPTARCAVIRTNNATVFGFGRSSRCKVKAVATSSGRAAGSCSGSSRKDYYKVLSLEHSAAVGAEEIKRAYRRLALRYHPDLCPPSRRAEFTELFLELRRAYETLSDKTKRVRYDAELRTVGGEASSPRVEFARDVWEAQLCALRARSERRQMARSGGLCRSDY >Et_9B_063935.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:3184480:3184689:1 gene:Et_9B_063935 transcript:Et_9B_063935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VCWSIWKQRNNWIFQNIDPTVANCRYKFLSELGSERSTLSFLSYECRCPLFFYGPSYNDRIHMDRETFY >Et_2A_014862.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:19020666:19021007:-1 gene:Et_2A_014862 transcript:Et_2A_014862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IPVFHHGHYTLYAVRFNENDNSVYVLDTIDYEQRGSKLDDHHKNVYPRVIMRINTLLQKKSKGVLREFTDFRIVRFPCPYMTRPNDCSFLSFKYVEHFTGEPGCLDNVVDPVC >Et_10B_004418.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:6685256:6685876:1 gene:Et_10B_004418 transcript:Et_10B_004418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAGAAPTVFLAAGVPTMPESPRWLAMKGRRDEAKAVLDKTSDTADEAEQRLLEIEEVVNGGGGGGAWTEVATKAGVRRVLATVLTLQFLQQASGIYTVVQYGPRMLANAGVTSKPLLLGLNVLLGVAKAGSILAAMALADRVGRRPLMLASTAGMTESLLVLGSLFAAFAGARDDAAAVVSAVSSLAALLAFVVSFSVGYGPLA >Et_3A_023613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:99008:101324:-1 gene:Et_3A_023613 transcript:Et_3A_023613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGDKAAAGGGDYGTFQGPPSYPPPRPPPVGFPQPVPPPGLSSARQRAAYQAIPVQDYETGVRGNSHDRLPCCGIGFGWFLFILGFFLGAIPWYVGAFLLWCSRVDYREKPGYVACTIAVSKQFILNIQMAHHLSYITEQVRIKVQTGKMGDGVGSQKSSYSLLEVEGLEVLAHELAIVHGEMELGVIRPPLLQPVSFKELAWPFGNDVELGANLIVLLSSNSCDTAAINVKAAYVIAVVYIEVWHPSLDLLDEIFFPNFNLRH >Et_9A_062537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23938296:23940491:1 gene:Et_9A_062537 transcript:Et_9A_062537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASIERASPTPQLLNLIRDEWKVREADDEGGSRNTSADAEDTKLELKLGLPGVQQEERAAGPGEKIEHEESYTALSLGSFPTHSKLTTNTATNTGAKRGFFDTVDAKPEGCNQRHRDREGCENVLTLGGEDMAGERKKGCCPPSSSHDSAAGPVHSSSNPQGRGTVLPVVGWPPVRSFRRNLTNGSSSKQSPERQNDEAGDKAKLECNRSPLVKINMDGIPIGRKINLAAYDSFHKLSSAVEDLFRGFLQAQKDLACTGSGEQEKEKTFSGLLDGTGEYTLVYEANGGGRMLAGDLSWSVFVSTAKRLRVMRSSELPHGLIKNAAGTGGRWLMTNRK >Et_3A_027307.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7298417:7299205:-1 gene:Et_3A_027307 transcript:Et_3A_027307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGIQKQLVSLLLVLLVAITASAQAPTAAPTTPATPTPAAPAPAAGTTNITGVLAKAGQFNTFIRLLRSTGVAQQIDNQLNSSGNGLTIFAPTDNAFTSLPSGTLNSLSDQQKNALVQYHVLSTLIPMSQFDTVSNPLRTQAGNNSPGQYPLNITAEGQQVNISTGVVNATVDNSLYSGDNLVVYQVNKVLLPAALFGAPAPAPAPLAPAKKKGKTPASVADAPAGADDASPDATTSVAAARLTGSGGVAVLLALAGVWWGL >Et_2A_018545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32305407:32307545:-1 gene:Et_2A_018545 transcript:Et_2A_018545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQALPNVQPVDYPAFKLVLVGDGGTGEMLSLSLARQYYLPVSESLLNDAWYLVVFLAGKTTFVKRHVTGEFEKRYEPTVGVEVRSLDFHTSRGKIRFNCWDTAGQEKFGGLRDGYYINGQCGIIMFDVTSKITYKNVPTWHRDICRVCENIPIVLCGNKVDVKNRQVKAKMVTFHRKKSLQYYEISAKSNYNFEKPFLYLARKLSGDMNLRFVEETALVPAEVTVDLAAQRQIEAEMAAAAALPLPDEDDDNMD >Et_8A_057463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3248053:3250770:-1 gene:Et_8A_057463 transcript:Et_8A_057463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELASVPYLTSSSSSSFYSGYSRFACRCRRASKTVVATSAAGPSRAADSTCLGIFEQQNVDAHSGPRSSWCFRRRELVSAILLPFVLPHINISSAAEPYDGLIIQNGVRKFLTMGKAAGVLRLVFHDAGTFDIRDKSGGMNGSIIYEVDRPENTGLNKSIKILGKAKEEIESVQKVSWADLIAVAGAEAVALCGGPEIPVRLGRLDSSTADPAGKLPEETLDAGALKTSFSRKGFSTQEMVVLSGAHTIGGKGFGSPIVFDNTYFKVLLEKPQTSSSGMAGMVGLRTDWALAEDDECLSWIKIYAEDQAKFFNDFRDTYIKLVNSGASWRTA >Et_6A_046214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:140742:142974:1 gene:Et_6A_046214 transcript:Et_6A_046214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEATPKVPAGANMMVLQSLIGLRSVVSYLVVFFIVASSITFLFNRGQEAQVRMVVEHGHQETQVKVGEDEHHDQQLRGKEAEVQWRADLQDSSEECNWSTGRKDVMYQHWRWQPNGCDLPRFNATKLLEKLRDKRLVFVGDSVNRNQWVSLVCMLEASIPDNRLKARIFNDSLISFKAFEYNATIDFYWSPLLVESNSDNPIIHRVAYRIIRADRIEKHTSVWRDADIIVFNSYVWWRKQKNETMKVMYGSFEDGDERLDEVEMMDGFEIALKKMTEWLGENIDKNKTRIFFAGSSPTRLDRNKCLNETEPIYKVGYKAPTTDYSMMAKAKSHFVTLEKKGIHVQILNITELSDYRKDGHPTVYRRQFVPLTKEQIANPASYADCTHWCLPGVPDVWNEFLYGYLMYR >Et_7A_052974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3814703:3818661:1 gene:Et_7A_052974 transcript:Et_7A_052974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRQPRSPSTAAGGDHLRFLRPGALARLRDARLRRRSRSSRLPPPSSPEQEATSPPPAAAGDGDGGMVVPYFVPASRLLAPRCPQRKKLTAAKSVVLFSPPLPSSDLPIEAVIEFLNQPDMYFRAWH >Et_3A_025536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30532899:30545486:-1 gene:Et_3A_025536 transcript:Et_3A_025536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSPEQVVREVGKRLAQPRLGKDALIKLLKQAESALSEFSQSSALQDALHALNKSLVQTTLLKHRDKDVKLLVAVCFIEVMRVLAPDPPFSDENLKEIFRLFISIFADLAETISPYLTRRMKILENVAALKCSVIMFDIHCEDLALDMVKIFFTLMKQGLQQSVYQAIQSIVTQLLNEKVTQPLVDVILRNLVKEDKGPSHKLAVDTIKNCAEKLEPAICIFLSSCIFEKDVPANELRKLHHKVILEIFQCAPQMLLAVIPNLTHELLSDQVDIRLEAVHLIGKLLAFSNLRFGQDNKLVFIEFLKRFSDKSAEVRIAAINASKAFYMNVLSGNEAQEMLNSLEGRLLDFDDKVRLQAVHTVCDLAKSNTGSFPTEMILKAAARLRDKKVSVRKNVMNKLLELYRDYCEKCSKGTATINTHYEQIPAKLIVLCFDKDIESFRPQNMELIFAEELFPSSLSPKERAIHWIEFFSHFKQEHIKALNTIFSQKRRLQLEMQAYLSLRAKKAEPSEETRKKFCGSFRKMSTSFTDTSKAEECFETLHQMKDNNIFKDLVELINEETTYATCRLTRDSFLKRIGNKHPIYNFCKVLSIKCSHSIFNWEMICAILESCLSCRNELISYSEPACVLLLEVAMMFPSLFHGSEEYLLKLFSEESILVNEKTLRMLAHLTKSTHHLSTNFSNIIYPVLEQKCIEGSRAESKYAIIAIASLRPSDDKKFAKLYKKVVSGLSDYHNVPTLLQSWGSILEYSPSMHELDSRQIINSIQDILLSTEFISTSGQQSIDENSACSFSCKLKIYCLKALVKSCLPRSTTHTRINSVQGMLLKFEKGLFQDIALREDDTPYLKLAAGKSVLRLATRWDSHVSPELFRNTVLMARDPSYIVRKSFICKLYNLLKKRAIPVRYACAFALASTDSCRDIRTESISYLSEVLKEQRRAFVHQNRASHDSIVDNPAYAVVFLIHILAYDGRFPSKNCENETSFPEFCSPLCVMLRKLVEIDSLNRTEHGPTTSSVSVLSGIFRAIQKAEDLADSDITPKLHIISKIGLLMVKELDEHGKMSDSPRHILLPSSYYRLSGSERKPDECCQGNSISDSLVKRILEAHEPYTHQVVVIKGKGTKVRYLGKPSPRKRIRILLIALRKKRCHLVVLLAQSSHLRAQWVCLRKLILEIASLYWIINFVQWVDVPLEGQELQRQIITIVGKLCSFEKDHGKSLSGSQKHEVLLPGSSIVCSDLEDVGDCDDNFVKLPVSKKKTGDLKKKGKRSLESMNEENNSGVTGVGLRDNVRRTRARKVQV >Et_4A_031758.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:11047528:11051074:1 gene:Et_4A_031758 transcript:Et_4A_031758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVLLAAVLLAASAASASAQAGGSNSSSTKPFVPRDDILLDCGATGQGNDTDGRVWNGDAGSKYLPANLATAAATSQNPSVPQVPYLTARASASPFTYSFPLAAGRKFLRLHFYPANYTNRDAADALFSVSVAVPGGKVTLLSNFSAYQTATALNLDYLVREFSVNVSSPTLELTFTPEKGRPNAYAFVNGIEVVSSPDLFTISSPNLVTGDGNNQPFPIDPGTAMQTMYRLNVGGQAISPSKDTGGYRLWEDDSLYIFGAGFGVTYPNDKDITITYRDNLPEYVAPVDVYSTARSMGPDQHVNMNSNLTWMMPVDAGFRYLVRMHFCEIQDQFTKVNQRVFSIYLNNKTAEKGADVIAWATAVAGASTGSGSPVFQDYVVNTFGSGTWDLWVSLHPYADQKPQYYDAILNGMEVFKLQLTNGSLAGLNPIPTVEPSDDDGGSKKKSAVGPIVGGVVGGLVVLALGCCFFVICRRKRTAGKDAGMSDGHSGWLPLSLYGNSHTSSSAKSHTTGSYASSLPSNLCRHFSFAEIKAATNNFDESLILGVGGFGKVYRGEIDGGTTKVAIKRGNPMSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEEKNEMILVYDYMAHGTLREHLYKTQNPPLTWRQRLDICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPSMDHTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPALNPTLAKEEVSLAEWALHCQKKGILDQIVDPYLKGKIAPQCFKKFAETAEKCVSDQGIDRPSMGDVLWNLEFALQMQESAEESGSIGCGMSDEGTPLMMPGKKDPNDPSIESSTTTTTTTSISMGDQSVASMDSDGLTPSAVFSQIMNPKGR >Et_4B_039994.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7302811:7303971:-1 gene:Et_4B_039994 transcript:Et_4B_039994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVFETTEQLQPCHGAVEQGGGDKVAAAGATAAEKAAVMLKETDHGGDGDRPERDDVWNMIQAQQKPPAAAARAKAPYVHPLVRRSSSLLTQKSLEICTESLGSETGSDGFSDADGATDRSDDDEEGGGARVAAAARAPAPPPPPRAFPPPLPSLARRTVGGAVQMRQHRSDGRLVVQAVPVPSPTLFRAQRKGGRLLLSFADTAAPPVADELDDKNPGHQVEAELEKDDDEEDDEEEEEVEVVDRGTVVEVKVSTQPQARSGTRVHRSALVINKFVGAEPGATCETISDAAAPKPPPIRRCAGSTTTAIAALAAASALSAAGNGEEEEAEDDDAVPGATIGENKLLMTAKRRRSKEELMKHMRRCGQLSGKLFFWEPRIATSS >Et_3B_029647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26805468:26808407:1 gene:Et_3B_029647 transcript:Et_3B_029647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERSLLEALATAAQGGSTGTSVLSMLKYAVLPIAKVFTVCFMGFLMASKYINILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTIIHIGIGNIGNIPLVLIAALCRDPSNPFGDSDKCNQDGNAYISFGQWVGAIIVYTYVFKMLAPPPGQTFDDAEEDELPIKASGENTVPHLGKYPSNTLTSTVPEDEPLLSTEEVQKERATSPGSKIMDYVKCVVKFLKDKQLLQPPIIASLFAIIIGIVPFLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSKRLGVRTTVAIIFARLVLVPLAGVGIVLLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIIFYLSLLF >Et_1B_009845.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:32427556:32427882:1 gene:Et_1B_009845 transcript:Et_1B_009845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEECTPAYIAAVRRRFSGRWVCGLCAEAVAEEAAKNGGDREAALAAHVAVCRRFNGFGRTHPALFQADAVISIVRKLSGGLGSPRSPVKPGAAADAGLASGPAVPAA >Et_4B_036549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29336426:29337847:-1 gene:Et_4B_036549 transcript:Et_4B_036549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINARGGPDLISRLPDCVLGAIVTLLSRRWRHVWLYAPLNLDDRLHGHYSDRHRLEVVSQILAGHVAPARRLAFTSLREPASASRYKHWLPLPIFDGIRELVLHFPLAADHPRVLPASALRFASLRVLDIHNCTFPPATGGGAPPTPAFPCLTRLSLCHVGVSEELLHGIISNSPGVEELTLDTNSGHRRLRLISSLPRLRCLAVLVRTFSREHEIELDELVIEDAPSLERLLLHVVEHGPSVRITGSVTRLKMLGYIGTGFPVIELGTSIFKGMVPVSLVDQFSSVTILGLHMPEPNLNVATGYLRCFPCLEKLHIKVMNIWTTPPEDALICDPSSAPIDCLDRSLKTVVLQSYSGLPRHVEFARFFVERARVIKFCTCYSRCDPSWLGASVGSST >Et_1A_005098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26906845:26907750:-1 gene:Et_1A_005098 transcript:Et_1A_005098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLERKQHQQQPAAACHSHEMPFLRGIDVNRAPAAESRRGSCSEDEEPGASSPNSTLSSLSGKRGAPARSAGGGGSDDEDSGAGGGSRKKLRLSKDQAAVLEESFKEHSTLNPKQKVALARQLNLKPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCESLTEENRRLQREVAELRALKLVAAPHHYARMPPPTTLTMCPSCERVVASAGDEQAAGRAAPRAPAGPWGPVPVRPVFVDGPARRS >Et_7B_054018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14206573:14206854:-1 gene:Et_7B_054018 transcript:Et_7B_054018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNPVGRSGGRACYPRRVRPPRLSLNRRPGQASRLRPMGPTRILKNGNASSPPRLPRPKLAKRDKIEREIVERRH >Et_2B_021917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6904138:6905602:-1 gene:Et_2B_021917 transcript:Et_2B_021917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVLCSRAFALAMACLLLAVPSLVAQDPSNLSLQYYSKTCPNVEHVVRTEMECAVRADTRNAALMLRLHFHDCFVEGCDGSVLLDDTATMIGEKQADQNVNSLKGFELVDKIKEKLEAECPGTVSCADLLAIAARDAVVLVGGPYWDVPVGRLDAKKASLDLANRDIPTAQQGLLALISKFWEKGLDATDMVALVGSHTIGFARCANFRDRIYGDFEMTSKYNPSSQTYLSKLKEVCPLDGGDDNISAMDSHTSAIFDNAYYETLIKGEGLLNSDQEMWSSVVGYSTADTVNKYWADPAAFFKQFSDSMVKMGNITNPAGGEVRKNCRFVNT >Et_7A_053082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6277320:6283859:1 gene:Et_7A_053082 transcript:Et_7A_053082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSMSKTSISITFKLSGELEGFVNLSGTSKWLFVCLGKKYTCCSTRQQNVVAVGIDFGCKNSRVAIMDSLNSYVPYEWGLQHLDRVGKRVAVGELAKHIMWRQPSDVVYNIKKLIGKHFEDSSIQEMRKRVHFSIIKGPGGEAWVEIHGMEFSPVDITTAIFAKLRDIVLMDEFHHELQAVISVPAFFDEQQKENIMAAAHGAGIKVLRLIDEPIAAALSGTTIESGTVIVFVAVEQAKVELSDKSEVTISIPSFSGCAQGPIDLNVTISRQKFEELIDSLIEQTKVKCQCILEDAEIPVKDIGEVILSGGMTRVPKIRRTISEVFGGKQNSLVNPEEAVVMGSAIQAALMIEDEHMISDDMIPLSIGIESSEGYFTRVIPRHTTVPTTQTVKIPGWCAYGEHKRVRVFFGEHVMVQHNVPLGEIEVINYQSPYLDTVDFELTFEVDKDFVVKVSARNAGHDTDDGCEVFETFPIAKEDISKENVEKAVRKALHDWQMSATEVRARLRNVATHIMGTLADALSACKDKLPKELCEDAENVSADLQKSLDGDVIVLRHKMLSAKSMELAILNQVQPPASLLNDDSDCED >Et_3A_025625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31320116:31323324:-1 gene:Et_3A_025625 transcript:Et_3A_025625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVNALRYLCKEDFRVLTAVEMGMRNHEIVPAELVDRIAGLKHGGTYKVLRNLLKNKLVHHDNAKYDGYRLTYLGYDFLAIKTLVNRGVFASVGRQIGVGKESDIFEVATEDGTVLAMKLHRLGRTSFRAVKSKRDYLVHRRSFNWLYLSRLAALKEFAFMKALGDHGFPVPTAVDCNRHCVIMSLVQGYPLVQVKELQNPDDVFDTILGLIVRLAEHGLIHCDFNEFNIMIDDDEKVTVIDFPQMVSVSHRNAQMFFDRDIECIYKFFKKRFNLTSEKNEEQNGFESDGEESGRPSFLSVKKVAGSLDKELAASGFTRKEQVEMDKFIEEDTEEDNSSSDDDSTSDKNIDEIGDAVPMDSLKIEDQQGTSCSGENRLKNPVSGSNEDAMEPLESGGTMPSQDDDDSDEDTDDEDDAALTRQLNKERKKAIAAAHGRRRPVSSRNTYKDKGKGTMNSKIQRQACKW >Et_9B_065835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14563129:14564319:1 gene:Et_9B_065835 transcript:Et_9B_065835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRPCCLAALLALCAYAMAPAASAANVPITTCRSFCGNVTVDYPFALRPGCGHAGLRDLLFCINGALMLHLPSGSYRVLDVDYAYRGLTLHDPAMSDCRALDRSAAGRGNGFVVEPWREPYLAPDPDNAFLLLGCRATSPLFQGFPDRHLPCRNVSGIGCDDYYACPAWDDYVGGSGRRPSGDAYGAAAGTPPECCAVPWDAIRAVNVSRLECEGYSSAYSLAPVRAPGGAAGWAYGIRASWTLPEANRGFCGACRATGGACGHDMESHADLCLCGGWNSTSNCDSSADAARSGAAAAAPWAALRWAVLAAVLLESSPISL >Et_4A_033840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27227323:27229825:-1 gene:Et_4A_033840 transcript:Et_4A_033840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAYDSLDPNGNITIKWDIVQWTPDGYVATVTMFNYQQFRHIGAPGWQLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGNTPHCCKRDPTIVDLLPGTPYNMQIANCCKAGVINTMNQDPANAAASFQISVGLAGTTNKTVKVPKNFTLKTPGPGYTCGRAIVGRPTKFFTQDGRRATQALNNSRKGSVSVVVLSKFYRREFSCWFLARTFSLFAVTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVNCPTCSCGSNGLGVFHSEDSPMLQSAIDGPGKWTGQPLVQCTSHMCPIRIHWHVKLNYKEYWRVKITITNFNFRMNYTQWNLVAQHPNFDNITQLFSFNYKPLTPYGGRINDTAMFWGVKFYNDLLMQAGKLGNVQSEILLRKDSQAFTFDKGWAFPRRVYFNGDNCVMPPPDAYPWLPNASPLTKQPLTFSFLVFWVVLATLLAYA >Et_1B_012711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34647018:34649435:1 gene:Et_1B_012711 transcript:Et_1B_012711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPGSRIVTEVPDSDSGYEGSSEALGSIRLDVDSTRKPWSTALTNVALSSLSGLNDLLECPVCTNSMLPPILQCPNGHTICSSCKVRVENHCPTCRQELGNIRCLALEKVAEKLQLPCRYQSMGCTEVYPYKSKLKHEEFCRFRPYNCPYAGSECLITGDVPMLVSHLINDHKVDLHEGSTFNHRYVKSNPQMENATWMLTVFKCFGQHFCLHFEAFLLGMAPVYMAFLRFMGEESEAQNFGYSLEVGGEGRKLTWQGTPRSIRDSHRKVRDSYDGLIIHRKMALIFSGGNRQELKLRVTGRIWKEDSQAGGMRSFVVNPTCSISGG >Et_4A_032586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11592242:11596781:-1 gene:Et_4A_032586 transcript:Et_4A_032586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASAMAIWSVITWLGRVLSRLVSVLVVVRAFLGAGSCVDLVGAAVFLGPAPLLGCSVRCSMESPDLENNGDQHSSEASLASDVIYDDLPVCPVGREHQAEIPRLAIEDERRELMTSSLSGSTFTGYGYPIAVGLALPITWTSPGEVEKKEEELPLQTMLEAEARISLKDEESQMNSIGPASSNTIKCDPTNGDPHTGVPVVQCDSDNNHAHDEKLASCPTQESLNFTANMQQRETKQLDPLPYSALAIWSDLETELFLLGLYVFGKNLKLLSRFLGTKTVGEVLSYYYGKFYRRDAYKRWADCRKAKTKRCILGERIFQGSRLPELTSRLKSKIPKEAHDLLVEVFRSFSASQTSFEEFVFTLKSTVGPEAFVEAVGIGKGKLDLTGFVTDQSKPSNPDLPTGKDCSSLASEDIIKFLTGDFRRSKTRSNDIFWEAVWPRLLAKGWHSEQPKDVSKTKNCLVFLVPGIKRFSRSKLTKGTHYFDCVSDVLKKVVADPVLLELEADGIDNGFPAEKNGCTTDMMLSQDSPLDGYQELPKFTIIDTSLVEGEEPVKVRELRNLPADANINFVFQHHLSKTVSDSSSEEQDASDGLSDDQGASRRFTADVKEIEMAPAGSLQNMMTANGHSSNDRDDKIDLTSSYGLKTKTERRKYLSPVSKRRKLASCSNEQTSRRAFSFSKGVDLEKEKIKPLSTSSKPAAADAGESSQTKILASCSTKEKPSKQKMGAKNSFVNDGANEKMSMGKLIEDKSYECKEDAVAEVRSKINVAETKFAKKRAQVNAAIKSNKQETHDDAKTSGSIHIMSSGNHGGMKAGEAPSISNSSMVNDTSEEIQKGQVSPQPDPANPRRHGTRNRPPTAKALEAVAFGFLGSVKRKGDPKNTVTNRPSQRARKATKDSGSMAASGEAENSMRATTDSTSMAATGDAEESRMNAEAQQ >Et_7A_052835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18802894:18803794:1 gene:Et_7A_052835 transcript:Et_7A_052835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLALALTFCVVISSVATFAAAGKTGQITVYWGQDWNEGRLREACESDLYSTVIISFLTNFGGGNYNLNIVGHSLSEVGRDVNLGTSSSSFVLSIRGGNGQNSFFKEDANAVADYLWNHYLGGNSYYRPFGTGVVLDGVELDIEIGTSKHYDYLAKYLKGYNSKRNNYKKVCVTAALQCSFPDRMMGEALRKGLFDRVHVKFYNNPTCAYQAGNEAAFDRAWNKWTRNLPPQSSVYLGLPADPNAAWNGYVDPATLKYKVLPIVKRSWNYGGIVLWNRYSDVQTGYSRAVKRAV >Et_8A_056848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17784966:17793135:-1 gene:Et_8A_056848 transcript:Et_8A_056848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLEGVSSGELPAMLQLHDDARNRRHNELGDSAHMAITLAYRCLPAPPVCLVAHLYSHLLDIVATTQRITVAGEDDAASRAVAAAASRALAAHPGPFRCLDLINRPWPLDLPLPAALFKCASLTRLHLGVWRFPDTAALPRAAAAFPHLRELFLTMVLMTEQDLAFLLSCCPVLEILTIISNRFSVRLRLVSHRLRCLQLVMCSLEDIAVEDAPCLERLILVGAHGRRIGGKRSARIKIGNAPNLCMLGHWRPGEHQLGIGSAVIEAGTKVSPSTIAPSVKILSLEMQFEVRNEVKTLPSFLKCFPNVEALHISLRPLTTAQWASENFKLIVLKSPVCEEGSRPWSFRMACDFSCRDPFDLVTAGLRRVGQMATGSLPLHRLTIIEEVLLDFNELGNCLNPGVSSGWITSQSDARIHRRLVFVPVLCNTGSWFLPDFRGQL >Et_10B_003022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15531579:15536025:1 gene:Et_10B_003022 transcript:Et_10B_003022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARAPPAALLLLLLLLGCLACVCRAAPSGAEVTSVPGFDGGALPSRHFAGYVTVDEAHGRRLFYYLVESERDPATDPVVLWLNGGPGCSSFDGFVYEHGPFNFESGGSPGSLPKLQLNPYSWSKVSSVIYLDSPAGVGLSYSKNVSDYQTGDLKTAADSHTFLLKWFQLYPEFLKNPFYIAGESYAGVYVPTLSHEVVKGIRGGIKPKINFKGYMVGNGVCDTVFDGNALVPFAHGMGLISNDIYKEANTACQGNYWNVVSDKCEKALSKVDMEIDGLNIYDILEPCYHSTSIKEVIPQNSKIPQSFKDLGVTNKSLPVRTRMHGRAWPLRAPVRDGRVPSWQEFASKVASGAPRGVPCMSDEVATAWLNNDGVRSAIHAEPVSSIGPWLLCTDQLEFHHDAGSMIVYHKNLTSQGYRAFIFSGDHDMCVPYTGTEAWTSSLGYGIVDSWRPWFVNEQVSGYTQGYENGLTFATIKGAGHTVPEYKPQEALAFYSRWLAGSKL >Et_4B_037077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14379122:14382112:1 gene:Et_4B_037077 transcript:Et_4B_037077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASKSAIDQRRPARYYTRGRRGRTRSRSIMPEAPDSQLRDSRGRMTGFSMSEIVHVETANSGKSEHSKTFHLTQMQWHHSQRDLKGCSNEDAWFDSVSILEDDSDDEFKSVSGDSTPSMDENESHDSVSRFAEALNRIGDICRGVPMTLSIEQYLKRDNGDDPGRRSQSMSLCATKCLPTSFSFKGLKDKNDTDDNNKESTTPSRLRKLLHSISFNDRMQQLTGGSPAKKKSTVIHVSYKRTSCDGCEDSNELSKSKKYVVRPKVGQTIPCGGEKPTTECWSRIDPSLFKLRSETFLKDKKKCAAPNYAAYYPIGVDLFACSKKVHHIAQHIDLPQVKTHHKLPSLLIVNIQMPTYPAAMFLGDSDGEGLSLVLYFRVSEYFDKEVSEHFKESILRFIENESEKVKGFASESTVAYRDRLKIMAGLVNPDDLQLSSTERKLVHAYNEKPVLSRPQHNFYEGENYFEIDLDIHRFSYIARKGLESFRERLKHGILDLGLTIQAQKQEELPEQVLCCVRLNKIDFINQGQVPTIVTVDDK >Et_1A_006152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18500482:18505525:-1 gene:Et_1A_006152 transcript:Et_1A_006152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAASGALTKHGFPRGYRFVPDPLEIVELLAARLAGTPLRPPLAGIFHDIRILDHHPRDLYEAYKEYEEAGSIYFFSLRVFPKAGGGGKKRRPVRTANGGGWKPSGGAKQLKRPRHKGGGVVGRMVTMVFYERQRDDNAAGVKTNWGMHEFTVPLNKPDKFSDLAVYRLYKVKNGNKENMQQAAVEANEQAAQEQKPPTWTAAGYPGAGASTSNQAFVAAASTSQQEHKPLTIAQIQHFHNQYAAGMPGPSSWAVPPAATNPQDHASFAQTDHVKFIAPPPAVNPGEQQAPTTHGSFAQLPTPAASSPAPEQLAVPAMSSPPPPPAQSAGRDETMEEAPPQPEQQLLPPIDEDEYALWNGVDNNSYFTFGKASTLMSKLNDRCCTGKLAPGCAAEFIGISISRIRTTALNTATAKHHIIARTKGKIEDGASIREPPKNY >Et_4B_037903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24582927:24593449:-1 gene:Et_4B_037903 transcript:Et_4B_037903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IWFDATGSTSLPLSPEECNERKTCNCCNLSNGIGWPSKNAGSIWRFFVTEKNLRHNGNMKRNSKQRLHGTIGKRRKVPKDPLSNLPADVFSQILSRLPINDAVRTSVLSRKWKRIWRGHTNLTFSWDTMRKHYFNTGTGYTSLSDTEFIKRVDAVLHQHSGRGIEHMEINFGLHKKHADHIDRWVDFAIAAKTKKLTINLLRGSNASLSRKLLYGTLIYRPREELYNIPSQVFDGGMGSYLQCLELTCVDLQLPADFKGFMKLKNLTLVDVSIRDEGVQCMLSRCSLLESFKISYCRMVTSIQVSNPLSQLKHLLVDRLTNVRIKFLCYLAALDYIVTGFPASLPSLETMTFQCCALRKKNILPERPFIFTHLRYLKLELVLHGKKNKRKTDVLDYAYLLEAAPFMEKLELHMWMDCRRQPYCKEDGKLRIRLPQQHTHLKFVRISGFFGHKDQVELALHILRSSVVLEKMEITPRIEIAECDDSTKQLYERIAYADPRNVVDAVKASFTRSPPINYGHWRGGDSQRRGWLSRQHRSCRRRCLETNMKRNKKEGLNGAITKRRKAPQPQLCNLPMDVLSHILSQLPINDAISTSVLSRKWKNIWRRHTNLTFDSATMRKHYFRTPSSYGFVNDKEFIERVDTVLYQHCGVGVERMGVNYSLHKKHADHIDRWVNFAVAAKAKELIIDLSGGSRIRDEPCNVPSQLFSTCSYLKRLELTSVCLQLPADFIGFLNLKHLILVDVSMTDEDAQRMLSGCHLLEFLKLAYYRMVSSIKMPHPLDQVVDNCPLLQEIELNCGPTTLVYIGTDASKLKSMLIKFMMPYHAALWYIVTRFPSTLRSLEPLTLHVIELQRPILPGSPFKFTYLRYLWLELVLGGIGNEERKNDALDYAYLLEVAPFIEKPELLMFVTCRHQPYHEKDGKLRNLNPHQHAHLKFVRISGFFGHKDQVELALHILHSSVVLEKMDITPKIEIGYSPDFARQCFERTWYVDGYNIATEFVCKKDHRNVVEAIRASFP >Et_8B_059006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12160018:12170529:1 gene:Et_8B_059006 transcript:Et_8B_059006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKMKEFDGASPAKIFIGGLSKDTGMGTFKEHFGKYGEITDAVIMKDRLTQKPRGFGFITFADPAVVDRVIEDEHVINGKQVEIKRTIPKGAAPLKDFKTKKIFVGGLPSALKDDEFKEFFSKFGKVMEHEIIRDHATKRSRGFGFIIFDAEKTVDELLAKKGNMIDLNGSQVEIKKAEPKKPSNQPPRSFDSEPRSRPYVDSYEGFGSSYVDSYGGGFGPYRSPGSFGARPGGYSSAYGPTDYGSSYGGYGGALGGYRGEPSLYSSRYGSSYGGSFGGGYGSGGYAGGLAGAYGRDAGGYSGSSYRPSYDSPGADAGAGAGFGMGGLYGARTGYGSTGGSGATDDWTSLDHHSMRRGARRQVAAVVGNTAPPPWPVYIEVPQRHEREHVHERDAGVEACGEHVVVPHPPGLMPAVHDVVEHVAHEPSHDEVDGARGQQPAGAGEDEQQVDVAEHGAARVRALQQPGRHRREEPREEELVHLPMFFTVVSSHHAVPKCTVDATSVPASCAKNMDLGGIFM >Et_6A_047408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5199985:5200636:-1 gene:Et_6A_047408 transcript:Et_6A_047408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSVGKAVLDGVVGYAKSAAAEEVALQLGVERRGSFLMTADEERDQNDKVLNTWVKQVKNVSYNVEDSLVDFEVHAEREKPPLLGCIPRNPCDRRRIAKEVKQLKAKVEEVSSRNLRYRLIKDGASATGSKPIGIGGEHGRAGAMFGIGEAWQVAMEREKPKVDFRQLIISDVVDLQVMAVWGTSGDLGKTSESARSLKTQL >Et_5B_043957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18254064:18258947:-1 gene:Et_5B_043957 transcript:Et_5B_043957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFFLPGTPIDQPTHHHPSTPSSARSRATMAQESSVDVVATPRAEEDGAAAAAAPAAPATPFKFNVHAPEFVPMSPPAASPMASPMSAPAGGYYSPFMQMQPGLGPDWNFFPDHAEHVFFMPDFAHAKFGTAAGGGGSNSTQGKGPGATADVAQKIVKQVEYQFSDINLVANEFLLKIMNKDTEGYVPLSVIASWKKIKSLGPTNQMLVKALRTSTKLIVSDDGKKVRRRQPFTEKHKEELQASGMKQDLPFLSHDHSRKFARGLLKKQPRKDLCCCRKVSQTKQFLILQHHTTYSCITICIQKEKKLTVRMYMYAASVKNIKICHPQEPSSARASKSDTLVSNKVFHMLSELNHSVQNVPKSYTSILQMHALVEYETSQQAEKAVEKLNDERNWRKGLRVRTVLRRSPKSVMRLKRPDFDHFVGSDDDSPHSQMSSDSPTPDYSPEAHHEDHQNGSKKGWARGRGKLHVMAPHSPQSAPAGMVGHFEPLSPRATSHKCPSSPRQASQKCPFSPRQPPQGPRMPDGTRGFTMGRGKPPVSPTSRAVTAPAPVLGQINKQFNVDIEFFRKHIPPTFTEAASDDGSI >Et_5B_044871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6693027:6695737:-1 gene:Et_5B_044871 transcript:Et_5B_044871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASLWLLLQSTTLLFPGQKLNPESQCSTCIPSHREASMKKTVVLYPGFAASHFVPMLQLADALLEEGYAVVVALIDLTMDHDAALAAAVARVASAAKPSVTIHRLPRIENPPAMTNDSRMLLGYFETVRRYNEPFRDFLSSLRRRGSVHAVIMDGPSAEALDVTRELGVPAYLFYATNASAVAAFVQLAQIRKEGDPSFRELGDAPLDIRGVPPMPASHLMAEFLEDPESEVYQEMTNVKRRDTKPDGILVNTFPSLEPRALAALRDPRLLLPGWRTPPPPVYCVGPLVVAPGERKEKHECLAWLDAQPERSVVFLCFGIIGLVSHTAEQLGEIAVGLERSGHRFLWVVRAPNVEGPERAFDPNADRDLDALLPDGFLERTSGRGLVVKLWAPQVEVLHHRATGAFVTHCGWNSAMEAITAGVPMVCWPLYAEQKMNKVFMVEEARIGVEVDGWQKGMVKAEEHRDTAAIAWKHGGSSRAAFAQFLSDAGNLRLEQTLA >Et_2A_016672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27073366:27076286:-1 gene:Et_2A_016672 transcript:Et_2A_016672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGPTVSVSMAKANGGVDGQRQQQPERKENGGGGGRCGVFGCGFRMPLHYPRYKKADYEEMPEWRVDCLLREYGLPADGDLDSKRRFAMGAFLWPGHMSMAKATAASAGTKNVVVAVADQQQGHSKNCSSYAFQMPLHYPRYKKADYETMPEWRVDCLLREYGLPVTGDLDSKRKFAMGAFLWPDQY >Et_6B_049968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2169082:2172779:-1 gene:Et_6B_049968 transcript:Et_6B_049968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHNNVDKVVESKNDHLPYSLESVDGPNHPASNPTSEIKEDINVHKAMVGFQPSINSMEGLDLPKGKDSCFVLKHAEESISQGTKAGLFPSTNDIERCHPFSSNGSGPISPSMEQTDGSSLMLEPSLEQTDGSNLMLEPSVEQTDGSYLMLESVEQPHPLEVVKPDGSGHSALGPALESEVIGLENSMGGLGSTLNSMEWLDHPKKKDSCDSSSKNVAGSIPQGTMNGLYPSIYDVERSRPSVTDGSSPSIPSEGNVGGSNLTLESWKQPPPLEVIYPLVNMPMSIQGSKSSPHHLQPVQGLDQNIQNDPLNPSKELSDSRSMSKDIGPSCSSNGQGSSNIEKDGLDGRIFLLDNIIPALENLTGSSLSMAKDCLLFNADNSDEGNVSDKQGQSVVMSHQIISSGEVHCSSSCLNERSESWKVNESSSPKSAPAGNQQGSNLQNDVQKTSIHADKNLVCRQVSQMEGINSEKLPSETCSPCKATESSSEKRHNRPNRHSRHLAKNRKSKIINADPARLISDRSSKQLGVTHPSASLELSSKSKEARDANGTEARCSNARQTFENAVPKKRKVLVPYNKDEDAEARQTEDLDQSYENDGQVKKNRTHAENGVMNPTISVENRAEAHPGTSNDHVVPKKRKGLVLPYNKDEDAEAMQATDLNQSCDNDGQVNKNRPHAENGVINLTRFVENHAEELCPVISNDHVVPKKRKGLVLPCYRDEDAEAMQAANLSQSCENDGQVKKNRTHAENGVMNPTRSVENPAEALSPRILDHQYADNHTQAKKKSIEANDDGNALLGDPNGGCAQKDNAQLTSQDVVAAEHNSLSRMPVISAPTDQQCDISTQPIEKPYWTGVMKIGQEYISLAAHLSNQACKKVQELSRSLPPVMKVTNHSKLKAWSNRWEELEPTAKDIGLYIGIPVFQGKHYLWGVFKRRMATSKGDPLVRKQDRTPQAAMKRKDRQDKVQGDTLDQEKPVSKHSIPNDSQPSPGTVNGVGTKTIPCHDEEARSSSEAPAPEVLIHINLQAPRLEHYIRELEKEGAQLVTGNGPVRI >Et_2B_019366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25682553:25683242:-1 gene:Et_2B_019366 transcript:Et_2B_019366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCEGAERCELCGAPAAVHCAADAAFLCAACDAKVHGANFLASRHRRTRLTTASAAVEEDGYESATSSCVSTADSTAAPRARPGPGPGPGRQRPRAEAVLEGWAKRTGLAPGRRAGRAEPTSPPRASRCASRWQRRCGERLTPPEALPGATRCGGWRRARTCRRGSSWRWRRPWRAVAPQGGGPPRKAGTNARGPGPYPTQHVLDCWFLSSLLHKLARK >Et_1B_009813.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:28993841:28995034:-1 gene:Et_1B_009813 transcript:Et_1B_009813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTAKTGRSRDRSAAAAALPEDLILWEILIRLPAKALLRCRAVCCAWRRLTSAAEFLLAHHRHQPSLPLVSFRGEASFEPGRFVDAALDALDLWQRPTERNPVLRFNDYNHRRRYAVHCSCDGLILLSLSNGRFYLCNPATRQWIALPRLNGAIVAGMYSHSSSGEYRILYSKGAYDAVYYLLTVGSPAEPRCIGQPVASPSVKQFIKGGLPFVNKCPPVLLRNSLHWVRYGRQENVILVFDTVGKSFRLMRLLMPLRRHHICLRWMVLWALAGQVGITQW >Et_4B_036686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10416773:10422139:-1 gene:Et_4B_036686 transcript:Et_4B_036686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASAAVPPPPPPPPVGVPPYGPGLAGILPPKPEEEKKEETVDYLNLPCPVPFEEIQREALMSLKPELFEGFSVLMGSLEVPSQSTETIKVPTAHYEFGANFLDPKLMLIGRVMTDGRLNARVKCDLTDNLTLKVNAQLTHEAHYSQGMFNFDYKAGTDYRTQFQIGNNAFYGGNYIQSVTPNLSMGTEVFWFGQQRKSGVGFASRYNTDKMVGTLQVASTGIVALSYVQKVSEKVSLAADFMYNHMSKDVTSSFGYDYLLRQCRLRGKIDSNGVVAAYLEERLNMGVNFILSAELDHPKKNYKFGFGLTVGE >Et_2B_021513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30147934:30151520:-1 gene:Et_2B_021513 transcript:Et_2B_021513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEKNRMAGLWDREVGRLPPKNFASSVMASQDFVHSLNIQKRLRKHRGCVNTLSFNADGRLLLSGSDDRTVALWNWEEAVPTFAFHTGHSNNVLHAQFMHFSDDRSIISCAADGEVRHSKIQEGGCVITDELVELEFAVHKLAVEPGSPHTFYCCCEDSSVWLFDLRGKDAVELFKCGAADHFSGENVELFAIAIDPRKPCFFAVAGSDEYVRIYDTRKISLDGSSRFGRPTEHFCPPHLIGENKDGITGLAFSQTSELLASYSYDNIYLFSTEHGLHFNNIEVGERLLMDETEGACNINTAPLPFCRDKLPVPQTFKGHRNIHTIKGVNFLGPNCDYVTSGSDCGHIFIWRKKDGELIRVMKGDKRIVNCVEQHPSGTAVASSGIENDIKIWEPGECENPSITHIEEVDTSMWESSSSDYDAFFNDYDYMVDSDDILYHEDDDTSEDSSEDDEYGDNSAKRSSDGESSAKEDSDVDNSSKDMSDG >Et_9A_062602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24385992:24389959:1 gene:Et_9A_062602 transcript:Et_9A_062602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHINLFLLRRAEWMRSVDALVAMLPAGLIIRDMCWIGKFQPMDLPVNPIEVAKAYKYKAELLLKDYMLADSYVLYAAVLGGILMCKLSYDVTHVISSVYFKGYPSLTKMRKIEWNNRGMSTVHALFITTMAAYLVFLSGLFSDQLDGPVTFRSSHLSNLTLGVSVGYFIADLTMIFWFYPSLGGMEYVIHHILSLVCVVYAMLSGEGQLYTYMVLISETTTPGINLRWFLDVAGMKSSKAYVVNGVAMFVTWLVARIILFIYLFYRIFINYDEVKQMDTFGCILVSVAPTILFVMNAIWFSKIVRGLKKTLDKRHVEGGFSHCFLGFRFARNPNITSALSVTYPNFYYIGLDGVSIVGGGDGTAGAVANAAPPRLSSIDPGGNGGVLVDTGTTDTHLPDPLYAWIMSSISSMVPYYSRSHELEARTGFDLCFKIPCAGGRAAAGKQ >Et_1B_011805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26145443:26148472:-1 gene:Et_1B_011805 transcript:Et_1B_011805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLALAALLMLPTALATDPYAFFDWDVTAAPLGVIGINGKFPGPVVNVTTNWNVVVNVLNDLDEPLLITWCVPMPRNTRCCSLLAEFSFAPSHQCRECSDSKCRNGIQHRKNCWQDGVLGTNCPIPSGWNWTSRTRSAASSTSPPPACSAPPVAVVNNRDVIAVPFGRPDGDITILIGDWYNKNHTDLRKMLDSGKDLGMPDGVLINGKGPYRYNDSLVPAGIEYETFNVHPGRTYRIRVHNVGTSTSLNFRIQGHNMLLVETEGSYTTQQNYTNLDVHVGQSYSFLVTTDQNASSDYYVVASARMVNESQWRRVTGVAVLRYSNSKGPASGPLPDPPQDQNDRTFSMNQARSVEPERGRGPAQPAGLVPAYLLRSAAPVSVAGRRRATLNGISFAPPETPLRLADEYGVKGAYTLDFLERPPPRGAPPRVARSVINGTYRGFMELVFQNNDTRMQSYHMDGYAFFVVGMDYGEWTEDSRGTYNKGDGVARSTIQVYPGAWAAVLVSLDNVGIWNVRSENLDSWYLGQEVYVRVVNPEDTSNKTEIAIPDNALYCGQLHKAQTPHHKMGLSSAAVARSLSMECRLVAAAMLLLGAVLFAS >Et_1A_009299.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:37917131:37917673:1 gene:Et_1A_009299 transcript:Et_1A_009299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGVSDTPPPPAGGRGGCCSSGGTLELVGGFTAVCLVLYGVILYLNYLYVRWSGRGDGVHRTDSGLGGGAARKRPGGGGIDRAALAAMPVLRFKAGRNDAEECAVCLSAMQDGDAVRALPGCGHAFHAACVDAWLCARATCPVCRARPALPPPQMAPKAGAKAAAAPSARQPDLESQL >Et_5A_040205.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:22435828:22436100:-1 gene:Et_5A_040205 transcript:Et_5A_040205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTVVAEEHGVEEDTPPELAYKGGVDEMRRLVRRHAEENLADEVVHQLRQRAGAAWRRHGDVSIGLVGESGSLMRCSQRQDGGSIQRST >Et_4A_034101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:293680:297335:-1 gene:Et_4A_034101 transcript:Et_4A_034101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRIASRGAIRPAPLLSGWLPRSPPSSLQIQNHIYSMPTLSYKVPTMATCHSSLATNYTETPEVADLDWENLGFGLVHTDFMYVAKCGADGIFSKGEMQPFGPISLSPSAGVLNYGQGLFEGLKAYRKTDGSILLFRPEDNAVRMIAGAERMCMPAPTIEQFVGAVKQTVLANKRWVPPTGKGSLYIRPLLLGSGAVLGLAPAPEYTFIIFVSPVGNYFKEGLSPINLIVEDQFHRASPGGTGGVKTIGNYASVLKAQKIAKEKGYSDVLYLDAVHNKYLEEVSSCNIFVVKGNVISTPAIKGTILPGITRKSIIEVAQSQGFKVEERLVSVDELLDADEVFCTGTAVVVSPVGSITHLGKRVEYGNQGVGVVSQQLYKSLTGLQMGHMEDYMGWTVQLNQ >Et_1A_005942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15818902:15820940:-1 gene:Et_1A_005942 transcript:Et_1A_005942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPEEEEAFEHTLLVVREVSVYKIPPRTTSGGYKCGEWLQSDKIWSGRLRVVSCGDRCEIRLEDPATGELFAACFVLPGQRESAVETVLDSSRYFVLRIEDGRGKHAFVGLGFNERNEAFDFNVALSDHEKYVKREQEKETAGEESHESQIDIHPAVNHRLKEGETIRINVKNKPTTGSGMLSSAGLSGGATAKPKASMLLAPPPGASGKLRSPLPPPPNDPAAARMNSGKNSGIRDPKEPAKRNNDPFSDLSSMKKNLPSSTEPGQTKGTGAGWAAF >Et_10B_004335.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4135312:4137165:1 gene:Et_10B_004335 transcript:Et_10B_004335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAGTALRPAPRWGGAPSHRRLVEQHLASLPHGLPRLRHLQELHAQLLKQGLHRDPHAASKLIASYALLRRVPACRRVFSAAAAAALPSSPHGAGTAMLANTLLRAYALNALPHAALSAFAAVPAHQRDSFTYSFLIKALAAAGLAPVRAVHSHVVKLGSVEDTFVGNALMDAYSKNGGFLDARKMFDEMPTRDVVSWNTAMAAMVRHGEVDGARRMFDEMPERDTVSWNTILDGYAKAGEAEEVFELFQRMPERNVVSWSTVVSAYCKKGDMDMARVIFDKMPTKNLVTWTIMVSACAQKGLVEEAGRLFTQMKEAAVELDVAAVVSILAACAESGSLALGKRIHRHVRQRKLGRSTHVCNALMDMFCKCGCVNRADYIFDSEIIEKDTVSWNTIIGGFAMHGHGDKALDLFAQMKQQGFHPDAVTLINVLSACTHMGFVEEGRRYFANMKVDYGIVPQIEHYGCMVDLLGRGGLIKEAVDLIQSMPWEPNEVIWGSLLSACRLHKNVEYAEMAVNELSKLQPSNAGNYAVLSNIYAEAEQWSDMAKARMQMKETGSQKTAGSSWIELDESFHEFTVGDKKHPESDRISEMVDRLSLHAKNVGCVPAGHELLVQ >Et_1B_013266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6947382:6951073:1 gene:Et_1B_013266 transcript:Et_1B_013266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALMANYASDSDSDGDEPAAVPSAATELPEASALLPPPPLDILQPPGFGSRVRNFPHVDGNYALHVYIPVIIPSDARKQLALAMKRAASLVPDLYAVDADYALSELCKDEPKLERVLLNREFHVSLGRPVAVQVHQIDSFVAMLRQKFQSQQRYWMEFNKWERFVNDDCTRSFLSLEVTRTGLAEISKQILMVDEVYRLHGLPEFYKNPRPHISLVWALGDISCKLKQAVKDIEKYLSSMSSCQKCNVRCKFNRVVCKVEKKNKHLVLVPLYKHLLKIVWKLLHPGRAENMAEREAFEF >Et_4B_039238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:966051:968060:-1 gene:Et_4B_039238 transcript:Et_4B_039238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVPAASLPLPRRASSPAAAARRPATPSFNMRHCAVRPVAAACSARPPRQHENEDEEDGSGRRQVLVAGAAAAATFLSRPNPAAFAAESKKGFLPVIDKKTGYSFLYPFGWQEVAVQGQDKVYKDVIEPLESVSINTIATSKEDIRELGPPDKVAEALIKKVLAPPTQKTKLIEAKENEVDGRAYYTFEFTVQAPNYTRHALGTIAIGNGKFYTLTTGANERRWDKMKDRLHTVVDSFRIENRI >Et_4A_032521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1164835:1167020:-1 gene:Et_4A_032521 transcript:Et_4A_032521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLDEIEHGDEYEEKLATLLVVSRTQEGRAGLSDELRDTLQLLPVSPSRLLLLRLRLLRNLVAGDELNQITFIHCSGPSVVVSSVLSFPSVAPDVARPALQALGNAALGGEYHRAAVWDALFPEALREFTGIKDAGVLDPLCMVLDTCCSGEGGCGRLEELCHDDLGLPILVEVITTASKVEHKEEWLEWLLFKVCVEEQKFETLFRALCSTDDVECSNSGEYNANHAFVLGMLSKCLTNHPKEVTVSDSFALSVFNVHKHAVDTVDFTHRGSCSLPTGFPAIDVLGYSLQLLRDICAWESPSSETQLPVDSLLQTGLVKRLLKYLGELEPPSTIRKSMARGQGDQQPALANGKVCPYIGYRRDLVAIIANCLHGRKQVQDEVRQLDGIMLLLQQCVIDEENPYLREWGLLAVKNLLEGNEENQKEVSELELQEPVITPEIANIGLKVEIDKETGRPKLVNTP >Et_10A_000583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13112810:13113618:1 gene:Et_10A_000583 transcript:Et_10A_000583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKLALPWLCIILDIKWQVEPGRAEADFGRFDARRIMRRLYIFFSKSDVPVAKEGQEVMDLVEESTPIPDWITEEDLSAYTTLYEKSGFITALQIPYRTKPSKTEYGKPRFEMPMFVIMREKDYILKFSPLKDYISSKKLNDIAPDHEITYIPEGSHLVQEQFPGIVNKLIIDFVSKHV >Et_4A_032633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12049153:12050695:1 gene:Et_4A_032633 transcript:Et_4A_032633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAVPTPLPSPAADAESLRKAMQGWGTDEKALIEILCRRTAAQRAEIRRAYAGLYRESLLDRLRDELSGDFRNAMVLLATDPAERDARLANAALAGGGGGKRSLGDQHAWVLVEVACASAPDHLVTVRRAYRSLFGCSLEEDVAACAALADPLRKLLVSLVRSYRCVEEHADDDVARMEAAQLADAVARRKQPHGDEVIRIVSTRSKHQLRATFRWYKQEHGSDIDEDITKHSSSQFAKMLRSAVWCLTSPEKYFAEVIRYSILGLGTDEDTLTRAIVSRAEIDMKNIKEEYKIRFKATVTSDIVGDTSGYYMDFLLTLVGSEE >Et_2B_022659.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29607707:29608075:-1 gene:Et_2B_022659 transcript:Et_2B_022659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGGGGAGGGGKGGGGGGGGGKGGGGGGRSGGGGGGGKGGGGSAGAGSGKSSGGSYAGHGGGCGGAGKSSSGGGAGSGGMMKAPGSGGAYISRPGFESNPQGYFQGLHGGSKGGAWTCLC >Et_7B_055424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10258711:10260609:-1 gene:Et_7B_055424 transcript:Et_7B_055424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLSMLKVGYTILRSETPATDLVNTFMDWAARRSLMLLAVFLPPYYVYKLTTSAFKAAAPEDVAGKVVLITGASSGIGEQIAYQYAKKGARLALVARREESLHEVAAKAKHIGSPDVLVVAGDVSNPEDCQRFVQTTVEHFGQLDHLVNNAGVASVCWFEEVPDVADFKQVMAVNFWGAVHPTHCALPHLKKSGGNIFVNSSAAAVLAMPRMSFYNASKAAVLNFFETLRIELRNQVGITIATPGWIESEMTKGKHLSKEGTEEVDQDMRDSQVGLFPVVRAERCAEAIVDAICRGRRHLTVPTWYRAMFLWRMLAPEVGDISQRLFYRRTAGGRGNQAKARRFLDATGVKGMLQPSSLQSSDIKRA >Et_7A_052793.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16510183:16510497:-1 gene:Et_7A_052793 transcript:Et_7A_052793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQIVLAASAGIGALAGLAAADRCSPAGNKLPLAGAGGAGPSCATCGGTGKVACGLCSRWSDGDVGCRACAGTGRTACRSCRSGSGPGRRAPTVRLVAVRAQA >Et_4A_034438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32624286:32636608:1 gene:Et_4A_034438 transcript:Et_4A_034438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHQQAPPLQALGASSSSRRSLGSSISQSFRHMDATEDPFGRAQSEQGHRDDEENLRWAALEKLPTYDRMRRGIIRRALDDGGGDDAVELLTVEADVVAAGRALPTLWNATTNFLQGLIGRLGSSNKRTITILKHVNGILKPSRMTLLLGPPSSGKSTLMRALTGKLEKTLKVSGSITYCGHPISEFYPERTSAYVSQYDLHNAEMTVRETLDFSRRCLGIGARYEMLAELARRERDAGIKPDPEIDAFMKATAVQGQDTNIVTDVTLKVLGLDICADIIIGDEMIRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFQIVKFIRQLVHVMNETVVISLLQPPPETYNLFDDIILLSEGCIVYHGPRENILEFFESAGFRCPERKGVADFLQEVTSKKDQQQYWCLSHEQYRYVSVPEFAERFKSFHVGHQMLKELQVPYEKSKTHPAALTTRKYGLSSWESLKAVMSREQLLMKRNSFIYIFKVTQLIILALMSMTVFLRTQMPHGQISDGNKFFGALTFSLITLMFNGFAELQLTVKKLPVFYKHRDFLFFPAWTFGLANIVLKLPVSLVEAAVWVVLTYYVMGFAPSAGRFFRQFIAFFATHQMAMALFRFLGVFLKTMVVANTFGMFVLLIVFIFGGFIIPRNDIKPWWIWGYWASPMMYSQNAISINEFLASRWANPNTDTTIDAPTVGKAILKSKGLFTGEWGFWLSIGALIGFIILFNILYLLALTYLSPSTGSNSLVSDEDKENEDAAAASSSVGTNGATDRPDQPHVTLPFQPLSLCFNHEMKEQGFTENRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGTIEGDITLSGYPKKQETFARISGYCEQTDIHSPNVTVYESIIYSAWLRLSSDIDDSMKKMFVEEVMALVELDGLRNALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFESFDELFLLKRGGQVIYAGELGRHSHKLIEYFEAIPGVPMITEGYNPATWVLEVSSPLSEARLNINFAEIYANSELYRKNQELIKELNIPRPDYNDLSFPTKYTQNFYGQCVANFWKQYRSYWKNPPYNAMRYLMTLLFGLVFGTVFWQKGKNIDSQQDLYNLLGATYAATFFLGASNCITVQPVVSIERAVFYREKAAGMYSPLSYAFAQTCVEVIYNVLQGVLYTVIIYAMIGYDWKADKFFYFLFFIIASFNYFTLFGMMLVSCTPSALLANILISFALPLWNLFAGFLVVRPAIPIWWRWYYWANPVSWTIYGVVASQFGENGGELSVPGGNPVVVKQFLKDNLGIRHDFLGYVVLVHFAYIIAFFFVFGYSIKFFNFQKLIITKQPSM >Et_6B_048816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1466982:1470160:-1 gene:Et_6B_048816 transcript:Et_6B_048816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASTVLTLLGFCVSVVFIVFVCSRLVCALVRRRRRSRARRAPPPPLPQYAVATTYAFAFHAARQPAGGASGGLAPAAVAAFPTRAFAAGRRGSGASDADAHTGHSSRASEVPRHEPDQENQVASGTSVDGAANNLPLSEVNPPETNSQTVRKEVEISTQLGRCK >Et_5A_042363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9177802:9185052:-1 gene:Et_5A_042363 transcript:Et_5A_042363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEHLYTASPTAGGNSSTVVAAADDDNELERDSINQTQMSQVTRVYLVSGTNGAANRRTQTGMILPFQPLSLSFNHMNYYVDMPAAMREQGFTESHLQLLTDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGTIEGDIKLSGYPKKQETFARISGYCEQTDIHSPNITVHESLLYSAWLRLSSEVDENTRNMFVEEVMSLVELDVLRDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYAGQLGVQSHLLVKYFENETSNEIPLTQAIPGVPKITEGYNPATWMLEVSSPLAEARLNVGFAEIYANSALYRSNEELIKELSVPPSGYKDISFPTKYAQNFLNQFMANVWKQFRSYWKNPPYNAMRYLITALYAVVFGSVFWRKGKNVYVPTLYYDVLRFGSCICSNCSLFMKQEDQEDLVNLLGATYAAVFFLGAANLLTCLPVFSIERTVFYREKAAGMYSPLSYAFALTAVEVVYNIAQGILYTVPIYAMIGYEWKADKFFYFLFFISTSFIYFTMFGAMLIACSASQLLANILVSFSINGWNIFAGFLIFRPNIPVWWRWFFWTDPLSWTIYGVTASQFGDMDDTVKVPGSATVIVVKDFLKQTLGYKHDFVGYVLLAHFGYIILFVFLFAYGIKALNFQKRKIIKIILEGQKEVYKDAMLYICSSPNMNVD >Et_9B_065710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9456337:9459233:1 gene:Et_9B_065710 transcript:Et_9B_065710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVADPGTERGEGSLLQCPYGDSEAMHRLAQILLPGLAAVCVDGTTGDLFRKPSAVAVDLRKEMVDYITQRSETFISDALIESEANQETENEMPDDPFEIVSIFMDDFSSTKRNIIGHVSGWLLSDSREDKIDDFVQEMEMTKFWPLERREAIAEVLLKNVDLKTKFHCPEKYENKERLAHHKEQCTFRPVICPNDGCRATVSVRCMQDHDAACPFKVLLCEQNCEKRLLRRDMDRHCVTVCPMRPMKCPFGCDSSFPERNLEEHCSEFLQPHMQKVLKVIHKKGLTADELKERALLLEKADDNGKLAKARDTRSLTNVVKDLEAKMKNDASRKSPIATTGARSIFEMVEPAGVSHYDLMVNNLGDECERTVTVPTNTKVLCDPYRTTRRCRKETICKSKLLTVLSKKVIFLLIINIMQCKVRLRDITHSFDAVN >Et_4A_033412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22385118:22390264:-1 gene:Et_4A_033412 transcript:Et_4A_033412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHVVGSSKMEMEQLPRKFNSVQVSSRKNSFVEPYKCSKRLTVPAEETTPTPYKPHLLAGQVQEGIMAGAMVSASTGAMNSLLAKLTTLLSEEYTKLKSVRPKIVSLRDELSSMNALLVKLADVERLDGQLKEWRNNVRELAYDMEDCIDAFMLKLVHGDAKPGVIKKMTRKMRKLWARHNMANQIEELSARVKEVSERRSRYKLDESLPIATPTFIDPRLPVFLAESKGLVGVSGPRDMISGWLMDGVPQLKIVSIVGFGGLGKTTLAMEVYRSLGGQFNYKVSASVSRNLDLKKLTKDLLTQLEPREYAHGQLDALEVEQLIRKIRGFLQDKRYLIVIDDIWSISAWELVKSVLPENSHHSRLITTTRNTNVAKSCCSDSEELVYEIKPLNDYDSRRLFLRRIFRSEDDCPPQLEESSNAILKKCGGLPLAIITIASLLSTKPKIKEQWERIKNGMSSAQEEGSTQMKNILLFSYYDLPYYLKTCLLYLTIFPEDHRIVRERLIWKWIAEGLIYGEWGQNLQQAGEAYFNELINRSLIQPVDIQYDGRAKACRIHDVLLDILVSLSAEENFVTIFDGQEAEDKYLVGKIRRLSLHRNYRASEVQQVGLKSLGHVRSVHAFGSSKDVYDNLDFPPTIRVLDLEYCSLCVQVRNIHKCLQLRYLNIAATGITEVPKEIGHLQYLETLDMRWSAMKGKLQPAIGHLTRLKHLFVSHDSILPDEIMNLRSLQVLWVPTIHSVKLVEWLGKQTKLRELFYCSIKSDKENDLTSYKESFLSFVRDLGKNLRVLHMFGYPDGADKVFVNPLMDSCCESVSGSLRPMSSLIHLCYLATCVPSMESRYLDALRELPSLLFLFIITNYASGEENCIVSNKGFKSLKEFRFAVEREGRIGLAFAPGAMPDVQTFYLTLTAAGTKSNHGVDAGFGLEHLSALKRVGVATFCFGATTVEVESIEADIRNAVSRHPNHPKIELEFSRVLEEMLKDSQEQESSAGQDKTG >Et_4B_036601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:922891:923255:1 gene:Et_4B_036601 transcript:Et_4B_036601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRSSKTSRRTMTMTTTTMMTTKTTPVS >Et_7B_054924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5619507:5626872:1 gene:Et_7B_054924 transcript:Et_7B_054924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSLHLTALATIPNRVREMFLHGGFLGDSSDYTLLQYLQEWPQLYSPCFWMDAFALIQLIFITSILAQFLFKKIRWRRQRLKAETLENNKHPCQQQESADIKLGISYQASKACCLLILATHVLRALFPRLHEGISYCKYPPFVLSEGLQVLSWIILSLAVFSFQKTKSVKVPLIIRAWWIFNFLQSITRVVFDLRSILSDHRYVGLEEWIDLCMLALCTYLSALSARGKTGITLTDNSLTEPLLISSVGQQAEAKRPCPYGRASLLELVTFSWMNPVFATGYKKPLEKNDVPDVDGKDSAEFLSDSFKKIIDDVERRHGLSTSSIYIAMFLFIRQKVMINAGFAVLSACASYVGPSLINDLVKFLGGERQYGLRRGYILAVAFLSAKVVETITQRQWIFGARQLGMRLRAALISHIYQKGLRLSCSSRQKHTSGEIINYMSVDIQRITDCIWYTNYIWMLPIQLSLAVYVLHQNLGVGAWVGLAATLTIMACNIPLTRMQKRLQAKIMVAKDNRMKATTEVLRSMKILKLQAWDMQYLQKLEALRKEEYNWLWKSVRLGALTTFIFWGSPAFISSITFGSCILMGIPLTAGTVLSALATFRMLQDPIFTLPDLLSVFAQGKVSADRVVKYLQEGELKYDAVTEVPRDKTDYDVEIDRGIFSWELETTSPTLTDVELKVKRGMKVAVCGMVGSGKSSLLSCILGEMPKLDGTVRVSGRKAYVPQTAWILSGNIRDNILFGNSYDKEKYEKIIQTCALTKDLELFANGDLTEIGERGINMSGGQKQRIQIARSVYEDADIYLFDDPFSAVDAHTGSQLFKDCVMGILKDKTVLYVTHQVEFLPAADLILDGKIVQKGKFDELLQQNIGFEAIVGAHSQALESVVNAESSSRISSDSQKSADSEDEFDTENETNDQLQSITKQESADDVSEDISQKGRLTQDEEREKGGIGKKVYWTYLRAVHGGALVPVTIAAQSFFQIFQVASNYWMAWACPPTSATTPTVGLGLLFSVYISLSMGSALCVLARSMLVSLIGLLTSEKFFKNMTQCILRAPMSFFDSTPTGRILNRASNDQSVLDLEIANKLGWCVFSVIQIMGTIGVMSQVAWPVFAIFIPVTVLCFLCQRYYIPTARELARLSQIQRAPILHHFAESLTGASSIRAYGQKERFRKANLGLVDNHSRPWFHNISAVEWLCFRLNMLSNFVFAFSLILLVSLPEGFINPSIAGLAVTYALNLNSQLASITWNICNTENKMISVERLMQYSRIPSEAPLVVEHNRPPNNWPEDGTISIRSLEVRYAEHLPSVLRNISCTIPGRKKVGVVGRTGSGKSTFIQALFRIVEPREGTIEIDSVDICKIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPLNEYSDQRVWEILDKCQLGDIVRQNPKKLDSTVVENGENWSVGQRQLFCLGRVLLKRSNVLVLDEATASVDTSTDAVIQETIRKEFGDCTVLTIAHRIHTVIDSDLIIVFSEGNIIEYDTPSKLLQNKKSEFSRLIKEYSRRSHGFNSTAIN >Et_2B_022236.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:14878452:14878766:-1 gene:Et_2B_022236 transcript:Et_2B_022236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSPSLSSMSSPFFRTCAGRLQWKRCSKDASMRWTMRMASGIPGHILLPDPNGRSSKTLPRKSTSFCAKNLSGVNLSGSGHASASRPIAHTFTRIRAPLVTV >Et_1A_005941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15814592:15817847:-1 gene:Et_1A_005941 transcript:Et_1A_005941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFTKLQTREKSIGKKKELPPNGKESSDDAPSSATKQRVAAAKQYIEKHYKEQMKHLQDRKERRCTLEKKLADANVSEEEQHNILKQFEKKETEYMRLQRHKMSVDDFDLLTMIGKGAFGEVRICREKATGNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDHHCIVKLYCSFQDSEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIEAIHKHNYIHRDIKPDNLLLDKYGHLRLSDFGLCKPLDYSNFPHLNEKDVTPTKTGSSHGDGRQQSMPKRSQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMTTCRKIVNWRTHLKFPEEARLTADAKDLISKLLCNVDQRLGTKGAEEIKEHSWFNEVEWDKLYEIEAAYLPQVTDELDTQNFEKFEESSDSAQCSAKTGPWRKMLSSKDLNFVGYTYKNFELVNDHDVPGMAELKKKEKAKRPSVKSLFDSPEGEEQQSDESAEGSVRKRESELSTSFSSLSPRDSTSNSGSQEFRTSTS >Et_8B_059267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1661834:1670803:1 gene:Et_8B_059267 transcript:Et_8B_059267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLSMAHPAITLSGIAGNIISFLVFLAPVTTFVQVYRKKSTGGFSSVPYVVALFSSVLWIFYALVKTNSRPLLTINAFGCGVEAAYIVFYLVYAPRKARVRTIAYFLLMDVAAFALIVLTTLKLVAPAHRVKFLGSVCLAFSMAVFVAPLSIIVKVVKTKSVEFLPISLSFCLTLSAVAWFCYGLFTKDPYVMYPNVGGFFFSCIQMGLYFWYRKPRTTNAVLPTTTEVQGQTIELPAAHTVVIRSVSPISILGVHKVEAVDQLVVTAAADATAETCKIAAANADGVGNKGPEVIEIVAAVSRRVLLHGSPGGDHLRHARTTFWEVCRRRTTGGFSSVPYLVALFSSALWIFYAHVKTHSHLLLSINIVGCVAEVIYTALFFAYAPRRERLWTAGAVLLEVAAMGAVIAATLKGFTARDHRVKFLGGVCLAFSLAVFAAPLAVILALVDYFLQIDLHVLDDHQLDRPIDLRAQVKVVRTRSVEFLPFGLSFCLLLSAVAWFFYGFFTNDNYVMYPNVAGFLFSCAQIGLYFWYRNAGNDDDGSALPPPPPPPLPNGGAAPGPAAQGEIIELAPV >Et_8A_057838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8103050:8134944:1 gene:Et_8A_057838 transcript:Et_8A_057838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLVSGASNGYIRPRPGAPSYQSMRIWETTNGGRIRNVGDVLWPSHGNPSFPSCCCNIVFFSVTPSVVDGELLEVMTNGRVSAPIHRFVSKPCDSLTVHQRTSSSTTATRQSTDLRLRWVRPVLVRQGWVQVKLPVKEILRTYQRRTTNAGVGCHPRRGDRVHDGVAVADDAVGPDLWRALFGRAMPELFALPLHAMRRNVSAVGPFKGYVGQIPSMAWESVRVEDPQRRRPPLAAGQHRSFFISCDANSFFLPRHLCAHPCQRHGHTVMSFAKNMLKLREMVTLEGLGVEEESIAAQLGSLAQDQNVHEGRDDGMVAAIVQHEVEGLELQAADKTWLAAPLELDTVVTNGRVPACVHRVRTPSNRERFSVLFGSRTSAEVRALDELVEPGRPQLIYNPPRPDEHSAFRLSKVDLCGVEPGGPGWQEARAAVTASMEALSAVLVVHDALGPDLRQALFGRSSSRSRRRSSKGSSPAPSTGTSGRDLRHQRTRACGSGRRPTAAASATWATYFGRLAAILHSVATFAKNMMGLQLNVGRMILEGLSVRKEHIDSHLQNLNYSVRLSHYGLSDTGHGMSMDPHTDSTVLSIIVQHDVEGLEVQTEDGSWLAVPPEPDTFAIVAGDLLEVVTNGRVRASLHRVRAPGGRERLSAQVVSMPCDGLTVGPLDELVDDDHPPLYSPCNFDEYIRFRFAGDGLKLSDPLKGVESASRTPACVCRVRTPSNREHFSVLFGSRTGAAVRALDELDEPGRPPLVYNPSSPDEYSVFRLHGRKIRSDETMAAGEQLTEMPRGGPPRCGARRAGVGRRPRRGDRAMPELFALPLDAMRRNVSSVGPFKGYDAARVPPLAAGQPGVLNMLKLREMVGRLTLEGLGVREESIAAHLGSLAHGVRLSRQAHRDDGMIAAIVPHEVEGLELQAKDGAWLAAPLDPDTVTFVAGWMFMVRDQIDHKSMEIAKVDLRGVEPGGPGWDAARAAVTASMVAHGCVVVAAPDALGPDSRRALFGRAMPELFALPLETKQRNVPGAAGKKYKGYLGHIPGLAFESIRVAEPSDADRVREFADLLWPQGNNPEFCETVVSFAKNMLRLEETVETLTLEGLGVGGESIRAHFGQLSHGLRLSHYDAPLDKETGMSMPHHRDDSMVTAVVQHEVEGLEVLVADGRWVAVPPEPGTITFLAGEQFTVVTNGRVKACDHRVRTPSNRERYSVLFGRRRHEGVAVTVLDDLVDAEHPRIYNPLKHEEYSLFRYSEEASKFDDPLKAYCGVQEDGIMDMGIAMVDLRGLEHGGPRWEEARAAVTASMAAHGRVFVAHDGLGPELRRALFGRAVPEFFALPLEAKQGNDSAWGPFKAYIEQVPGTAMESIRIAEAADAGRVRDFTNLLWPQGNKEFCDTIVSFARNMLALEQTVEKMTLEGLGVREESIASHLESLTHSVRLSRYGVAPPDAETLKPHCDDAMVTAIVQHEVEGLEVQAKDGSWIAAPLEPGTVTFVAGEQFRVRINHTSPLPSRFSD >Et_5B_044146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20744201:20751369:-1 gene:Et_5B_044146 transcript:Et_5B_044146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDRFLEKKTRLFNGRCVLSEAVVAGGESWRIAFYPNGKFPGGTGDAVSVYLHLDDAGAVAKVINVEFRFKLYEVGGGAPLFTSAKFVGNFGGRLVEELGFERFVTMDDLDKAGILDHDRFTIGCEFSISSSPSPEPSSVEMPVPPPPSASPDPPSVEKPAAPEVLVPPPPVQTDPGLHADLGRLLETKEGADVNFEVRGKVFGAHKLVLAARSSVFREDFFGPTKKKATTSYVCDMDPKAFEALLHYIYTDTLPKMEKQAVLALDLLVAARRYDLIGLKSIAEDKLCNYVNVRTVWQILAVAETHQCSKLKKTCLEFIPLMRDTKRIMVTKDVERLARTCPSVVKDVLVEVLGARKETPWNSLISSRMEETFSKTKLPNGKCIRSDALVAGGHSWRICYYPNGRFSSDANTASVYLWMDDAVAGAVGVEECMFMLHEVGRGLSRCVSPTLTGVFVKPQTGLGLPRFPALEDQDKCKSFKHDRFTIRCDFAVVPPSSHTSAVAMALPSAPPEQHKKAPPSGSGLLVDLGSLLKTKEGADVEFEVCELFAAHKLVLAARSPVFKAHFFGPAKEERTSYNRICDMTPEAFKALLHYMYADTLPETMPLNSREEGAVLAEGLLLAADRYELKNLKLLVEDNMCKHIDVSTVLPMLALAG >Et_9A_062457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23110038:23113480:1 gene:Et_9A_062457 transcript:Et_9A_062457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDSAYYDVLGVSVDAPPAEIKKAYYLKAKLVHPDKNPGNPDAAQKFQELGEAYQVLSDPAKKEAYDKHGKEGIPQDNMVDPAAVFGMLFGSDYFEDYVGQLALASIASVEIEENSNSQEARAKVQEKIKDLQKEREQKLIQSLKDRLQPYVDGRKDEFVSWASAEARRLSQAAFGEAMLHTIGYIYVRQAAREIGKSRLYMGVPFIAEWVRDKGHHIKSQVNAASGAISLIQLQEGMKKMEGSENKEEQLMKSFEEKKDAMLNSLWKINVVDIESTLSHVCQAVLKDNTVSKDVLKLRAKALKKLGIIFQGAKSLYRRENSLRVETNTNQEATPSH >Et_4B_038626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3510548:3516547:1 gene:Et_4B_038626 transcript:Et_4B_038626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAAAPDDRIRSYEDFVRVHAYLLAAAGIPPSLHERLYRKLADEVFDGGEVFSVEPCEEGRQRRLVLEAERPLGRESDVFLVDHAWSFRLPDALKQLREVPGLAERMASLMCVDLDRRVELEESHEQDDVKSGSLEHILQVLEQERTRIHERGGDSAAWLELEELGIDDDMLVALDLSTKFPNLVALNLWGNRLQDPEKTMQELEKCGRLKALWLNENPVLGKGIDKAVLDGLPDLEIYNSHFTKKAGAWALGFCADIVGADNPCSSVESTLLESIVAIDLSDRCIHNLPEAFSPRKMPSLSTLNIRGNPLDQNSSEDLLKLISGFTQLKELEVDIPGPLGNSAISIIESLPKLSLLNGLNASSVIESGKHIVDSALQPRLPEWSPEEPLAERVIGAMWLYLMTYRLADEEKIDETPVWYVMDELGSAMRHSDDANFRIAPFLFMPEGKLVSAISYTVLWPTRDVHTGEECTRDFLFGIGEDKQRSARLTAWNSGETKVAPSTKSIRPSDGRALRVFTDIPHVEEFLTRPEFVLTTDPKEADIIWVSMQVDAEVKNALGLTDQQYTNQFPFEACLVMKHHLAETIHKAWGSPEWLQPTYNLETHLSPLIGDYCVRKRDGMDNLWIMKPWNMARTIDTTVTGDLAAIIRLMETGPKICQKYIERPALFQGRKFDLRYIVLVRSIRPLEIFLSDVFWVRLANNQYTLEKTSLFEYETHFTVMNYIGRMNHMNTPEFVKEFEKEHQVKWLEIHESIRSMIRCVFESASAVHPEMQHPFSRAIYGVDVMLDDRFKPKILEVTYCPDCGRACKYDTQALVGSQDTIKGSDFFNTVFGCLFLDEQTNVSPL >Et_4A_031939.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31143953:31148578:-1 gene:Et_4A_031939 transcript:Et_4A_031939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISYSVLLALLQYIEVFNVNVSNAAMKLLDLILKKRDKKVIYFHGWSGFGVTPVLRSIVQNLSSMKSSAELSHHRLIYIDCSEWKSRRSLQRKIAEELKFDQTTMDIFDKQDEEDDFNGVDQTSRDLKIDVSFMIEKTLKEHNFMIFFLNGSGEEVDLTSFGVPFFSALVNSRMIWSFNKMCMTITPPDYNYSRLKSQLRYTHLFVSWWYSSKFNTSQFSDLLHEEAASIIARHPCILGIDDLTMIKDCCLYEMFLYYSFHRTTDFAWDPHASNYWICDGIIKGDRTKEISNALHEEIRWEWDNYGLLDSVCKNWMKNHQDASFLVINDDTVNKKKPSRWVALTSKNLRVPDQDMKSVMEKASSLFVAFERTDNTPTGLPDGLFKHCNNLGVLVLFYCAFNFAAPPFLQCHGLRFLGVDHCTNDLTREGEGDDIDWAFLCNLCVLDLRYTCWDEILAEGKIDLMANLEELRIEGLKCWEYITQLQTRLSNLQRLRILRPMQKAKISYDTSNSFVDKTKLEVLDLSSNSDMKKLPTSLSNAKQLQVLILDGCDGLETVEVVHGLRSSLTSFSFDSYGPSPHYETPSNELPPESSRPKSPSDQHMKDVKTSKVSVQGCKQLRNLFLRGLSNLMELDLSGSALKELDFGSMVVDVPRLKRLFLLGCEHLRAIKWGSSMHNWELICIDIRPGTWTQPSPAQHDPCRFQVHAILADVRLARSLWTLIDKRRELGFHIHVASSSYTIVDCGTIQQLEATSNEMTEPVDEQHHCLGSQYGDVLTEMGDARPRWRLSRTRSLLLRACWTGMSRSPAAAIF >Et_4A_033191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19863547:19865241:1 gene:Et_4A_033191 transcript:Et_4A_033191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLPPGFRFHPTDEELVTYYLTRKVSDFGFTTRAIADVDLNKCEPWDLPSKASMGEKEWYFFSMRDRKYPTGIRTNRATDSGYWKTTGKDKEIFQAGMLVGMKKTLVFYRGRAPKGEKTSWVMHEYRLQNKFPYKPSKEEWVVCRVFKKCQIIKMRPPTGSPTMDSPCHDANASLGELGELDVSSFLGGLTPASVHTSPSSPAEGFGHRVDMSAYMSWMAAANQGAAAAAAAAMLPWATAPGLFGNVFAANQLGQKPMQFAGCSPSQTRDLGGVLANVGGDHVMFGSSVAKVDMECDQQQQLPPPEPQLGMDESTWRTF >Et_2B_022258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15584448:15590143:1 gene:Et_2B_022258 transcript:Et_2B_022258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRRQGSDSDGEDDSFLYRYPLPSSIGSSASASGFSGGGKPRGGGGSGGLAPSKSTVYVSNLDYALTNSDLHLLFSRFGRVARVTVVKDRDSRRSRGVAFVLFVQREDAAAAAAEMHGKVLNGRTLSASIAADNGRAKEFIRRREYRDKSRCYECGEEGHLSYECPRNQLGPRERPAPSKKSRRGAGGGRGGGGSGGGRGTEASWHSDDEDAAAAFEDDRWASVVDTRGEEEKAAGKEVGKAKAVRKEKKKGYFSDEMTTRRVWQTLRMVPDKESNTAFCSSSASQSVGRKNSSTKSAVPVRVHRNRIATAPFKLKILAW >Et_1A_007987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:422805:427365:1 gene:Et_1A_007987 transcript:Et_1A_007987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGISGEVGLAAAPYDQWVLLGPAEGSSRPSARYKHAAEVVQDKLYVVGGSRNGRSLSDVQVFDFRTFMWSALNPTRDQNQLNHENNAADQPFPALSGHSLVKWKNNLVVVAGNVRSPSTLNKVSARSGQSVSLLGSRLIMFGGEDTKRRLLNDLHILDLETMMWEEVKTAKGGPAARYDHSAAVYAGQYLMIFGGSSHSTCFNDLYLLDLETLEWSRPDTQGAHISPRSGHAGAMIDENWYIVGGGDNASGSTDTIVMNASKFVWSVVTSVSARDPLACEGLTLCSATVDGEKFLIAFGGYNGKYNNEIFISKVKPRNPVQPRLLQSPAAAAAAASVTAAYAVITATDDKTRDIVATDDLEIKRAQPVNSSKKFVAEIEALTVEKCKLESRLAEVRDENSKLKDKLDMAKLSYGELAKELKSVQDQVAAEGSRCQKLETQIAAAHKRLESAGSLENELEVLRREIAQVEQTMSTAQRQKSGGVWKWVAGSAEVSDDD >Et_7A_051907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:26188449:26191473:-1 gene:Et_7A_051907 transcript:Et_7A_051907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVNTTEEEPMLAVVRFTADLAWADAGPEVAEPEVARLCLEAQEHILAGRWVDMASLMLASADLLLKSSRCILSVICSLVTKAGTEAEALQIARDICDKLSHQPDDKPALRLKVLFSLYNLLLPSPTGKAFVYKKALELATAGKAAEYIIPSFKNIDSFVSDWGIGNVEQRELFLAVARILKDQKGMSKEYFNFLNKYLATFKGEEEDADAIGAAKEESVAAIIEFVKSSTLFQCDLLDMPAVAQLEKDEKYGLVYELLKIFLTQRLDSYLEFQAANSTLLKDHGLVHEECITKMRLMSLLDLSSRCSGEIPYSAITDALKISEDEVECWIVKAIALKILDCKVDQLNQTVIVSRHTERIFGMPQWQALRTKLGVWRGNVASAISTIQANKIADEGTQGMQGLMIR >Et_3B_028364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14257473:14260997:1 gene:Et_3B_028364 transcript:Et_3B_028364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGALLAHLPPQLQLGGVLLHHGRRPLLRPRSLPMLSFAAAAARAGAQRGHRRRLRCAAADGGGGPGEPGSPPPVPQREESPSSGVGAALEDPPPAPVENGSFGGLSEEEEQSTLYNFLYPSKELLPDDKEMSIFDHLEELRERIFISVLAVGAAIVVCFAFSKDLIKLLEAPVSVQGVRFLQLSPGEFFFTTLKVSGYCGLLLGSPVILYEIIAFVLPGLTRDERRFLGPIVLGSSVLFYLGVFFSYTVLSPAALNFFVNYADGAVESLWSIDQYFEFVLVLLFSTGLSFQVPVIQLLLGQVGLVSGDQMLSIWRYVVVGAVVAAAVLTPSTDPLTQMLLAGPLLGLYLGGAWM >Et_9A_062317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21865943:21868865:1 gene:Et_9A_062317 transcript:Et_9A_062317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRGGALLLLLAVFFVVAVAEDTLPAQKNGDRSDAHLGVGEIGRPDKTNLNKEVAHEEGGLKNDTSGNNKKDNSTEGTSVGRDEPIQEPRDKDSKATKSSRATDFLQDPLIMECDPSHRCIIEKNKFIACLKVPGEDSLALSLLMDNKGVNPIDASIMAPDYVTIAEDTVHIEANGHNETQVSVSISDAANDTAIVLKVAKGTCTINIHSAIARETGPVMRMRLTSTYTLVPVFILIAVVGVCIKLRKTRKQDGGPAYQKLDTAELPVSVGGKKEADQSDKWDDNWGDDWDDEEAPLTPSKPMANPSSKGLAPRRSTKDGWKD >Et_4A_033741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26372806:26378257:1 gene:Et_4A_033741 transcript:Et_4A_033741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRHGSSRGQAWFCTTGLPSDVVFEVHDMSFHLHKFPLMAKSRKIHRMLTEQEEQRPARERRRRRRSSDGGDVGGGDGSAETEIEEAEEEEAEEEEQQQVKGDGQQPYSIAFPDFPGGPGTFEAAAKFCYGVRVDFTAWNVAPLRCAAEYLEMTEEHSEDNLAARAEAYLEQTVLRHPGEATKALKSCEELLPLAEELGIASRCVDAIAAARSSSSRSWFDDLAVLGLRMYKRVMAAMAARDDARATEARESCLVSYARGTIPGLSRSMRRRLASAPVASEVEQRERLEAVVASLPAEKGSGRVVTARFLFALLRTAHILRASAAARAALERKAATLLEHAALEDVLMPSYSGAAETLYDVDCVGRVAHPRLTAEERDRVVGVVDCRKLTVDACTHAAQNERLPLRAVLQVLFFEQLQLRRAIAGTLLAAPAGQQQQQQARRRDQQRAGSGGEAWRGAVAQESQVLRLDMDSVASRVQELERECSSMRRAIKKIDGGGRSGGSRSPGSADGSRPAAATGWRARHGCKFSTQVCDSHARNVVASRASRMGMSPYSVSVIVPKTLSLFRMYSVSVILVLTGVQAHLLLTAEERDRVMGVVDCRKLTVEACTHAAQNERLPLRAVLRVLFFEQLQLRRAIAGTPPAGQQQQQEEARRRDQQRAGSGGEAWRGAVAQESQVLRLDMDSVASRVHELERECSSMRHAIKKIDGGRSGGRRSPGARRRRGGGRLARAQRSRVQGVPDGDEPVAGARVESGRCAEGPVETKSLPFHEIKSMTERRNIA >Et_1B_012221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:382688:385571:-1 gene:Et_1B_012221 transcript:Et_1B_012221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVPLDSSPAAAAAEVPLAPGFRFHPTDEELVSYYLRRRILGRRLRVDAIAEVDLYRLEPWELPPLARIRSRDAQWYFFAHLDRKITGAGAGGRGGPGNRTNRATPRGYWKTTGKDREVFHRGKAVGMKKTLVYHNGRAPKGARSNWVMHEYRLLDADGPQVALYFDLDLHVVCRIFQKHGAGPQNGAQYGAPFMEEEWEEEEDDAVDNKQAIRASANLAAISDTADEESNEEDDNGYCKTSELAQAHEMLNPPEMSALQAQGSNETSDGSYADGAFSLEEIFSSTVSAENIDGSEGQIATEDNFSVADFSVSPMKDEGYVGQDGIMNWSDPANGDCTNWPLRAYSNQNPVNGTLSGDEFFNTGNGTNEDAYSGQQQICQSDYQNLNLQADGFAAPRQVDDGMMFYDAPPNWVDGSDDLYVSDFLKEPLENEPLFDGIDLMDYFVDATEGDFKYDMSGSVEGSDYQLTEMSNFPQKGDNKDKSTFGGISKTLVADGQYGASSSGSHKDLYPDTALPDGTADKTFGKRLVNMLGSIPAAPAMASEYPPDTGKLARTGVNPSSIRVTAGIIQVGGLNFTGSEPWPLQKNGDFSLLLSFTVESDVTSKSIGFEPGTRVGAIPMMMRSGFYLFFVSAMILMLSYKVGSCIYS >Et_2A_015013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26108027:26108737:-1 gene:Et_2A_015013 transcript:Et_2A_015013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAATAMLEAGVGRFSRAPALAAALLAEMWAPLAVALAALATLPSLLRRLQVIVLRLRSRGKEVIQSHISTYYSSGDEDDDDDEEEDDQSSSDEAGTGSSGDEEEEDERTRRIGYFFDGGADGGGGFPWGNAVVKTWQGLPRRFSGCGFSAAGAGAGFPAVRLWGAGTASGGGDPWWSADEGGCRGAAAEASSSSSAADAVVVGWRRDHGGSSRRRRRALHAAK >Et_4B_036106.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24326068:24327123:-1 gene:Et_4B_036106 transcript:Et_4B_036106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RLSAAGEAVEPCIAELELDGVAEALRPGEAEVRAEPRRRADAAGVEHVAGRALHPARLHHQPPHLPRRLGGAPVHRRGRQLLHQDDPVRPALPAGPPPAAHVRPALRRRGLPPHREALLPAVVAAEEVHQERRLPDVAHDLRDRLPHPPLHHLLADLLNHIVLLLLSAAKRAPQQGHLPPLKLQRAGRVRNRRAQAYRRRPPRASHGHEGRRRPRPRKRHGRRRRRRAVPPHGRRRRRRRHRSARSGDLRRWSAGEFGSDRRQEDESRLRLRRRRTGGGRRNRLGDGVRLGQPASKRIPTRNRLFCFSERLSLSRGVLRGRNFGIPCGGKGSVLAVVADLKAVVGGGPCVV >Et_7B_054835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4742320:4750957:-1 gene:Et_7B_054835 transcript:Et_7B_054835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKSSKGRGAAAHGLAGQTPTPKSLVKAVEPNPSPDAELPHAAAAVAEDLKVPDAETAAAAEALERLQVSAPADGGPPEEPPPPEHEAPPPPQPPAEASSSGRAAVGWIREEEAVMRLHELAGVAGEDVELTEEEVRANDQRQEDEICALEAIFGDAVVFLNKKGGQRSFQVHVHIEIPDAIDVSSRLSYGDGTLKYGATSDGDADDLVYKFRVEHLPPILLTCLLPSSYPSHRPPLFTLSTEWLDKRMISSLCCMLDMIWEEQHGVEVTYQWVQWLQSSSLSHLGFDNEIVLSKGDATCDEEGGDKRACADSAPPDVIIPRMMRYNDNKHHEGFLNAIHCCMICFSEFPGLLLQRTLDAMSDVVYCPRCQTACLEDVGNEAVCSNCLFSFCTLCRNRRHVGEQCLSAEERLIILEARQKSGQMQGKQQEILNELYSLKEIMKDSKQCPKCKMAISKTEGCNKMVCWNCNEYFCYQCNRAITGYEHFRGSCVLFPQEEIDRWEMQMNQRVQRQVVAQAHAEMYAQQGQGHPCPTCRQPSPKIGNNNHVFCWACQKHFCALCRKPMLALEAIYGEKIGILGEIAGSRCFQIHAHCEIPGGISVSAELFQGVDDATDSLIHTFNVQYLAPISLTCLMPQSYPMYNGWDGRKFPPFARCSTQSGSSNYEWVQWLQRSALSHLGFDDRIVIQEPDCVMGPTDLRAVVQVMPLESIIQWLISYNEEQCHDFFLSGLHDCLICLSEYAGIDFIKLPCLHYYCRRCLESYSRMHVKEGTVQKLLCPHDKCKGAIPPNLLKRLLGDADFERWERLILQKTLDSMVDVSYCPRCQTACVEDEENNAQCSKCFFSFCTRCRERRHIGDKCMTPEEKLLSLQNREKARPASIPKGINLVHELYSIKEILRDSVQCPHCRTAISRVSGCDHMVCRNCGTPFCYGCGKARDRNHTSNGTTPETMLLFESEQCRLDQEQLVVRQRDVIKKVQKELVTQHVRTYPCTSCRQRHPKIANNNHIFCWACQVHYCALCAKVVRKCSEHYGPRGCKQHTVDQEIAPVKKDNDLDRSSAPLS >Et_2B_020989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25561422:25562482:1 gene:Et_2B_020989 transcript:Et_2B_020989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IRSLSSMSLLSKLRLITVDVTGTLIAYKGQLGDYYCMAAKSAGMPCPDYKRMHEGFKIAYTEMARQYPCFGFAAKMPNIDWWRTCVKNSFVKAGYDYDDETFEKIFRRIYSAFGSSAPYSVFPDAQPFMRWAREKGLIVGIVSNAEYRYKEVILPALGLNQGSEWDFGVFSGIVGVEKPDPKIYKLALEMAGNIAPEEALHIGDSMRKDYTPARSIGMHALLLDRFKTADAESWRQSGAMVLPDLVAAQELLARNEKEDSPKKNDGEETIASQVLKRMSERL >Et_3B_028712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18316281:18322035:-1 gene:Et_3B_028712 transcript:Et_3B_028712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCLLRLLGAAAIIFVLFVTLILPMSSAHARAIEVRGCIASERRALLSFRESFLDPALRHSSWRGQDCCQWKGVRCSNRTGHVVKLDLRGGQDFPEATPLRGELSSSITTLRHLRYLDLSSNYLKIRKIPLFMGTLKNLRYLNLSNANFVGSVPSQLGNLSRLQCLDLSGNFDLYLSDLSWLPRLPSLSSLNMNMVDLSLEKDWVGRVNMLPNLKSLVLSDCSLNSTLSTTPILHSNLTHLEILDLSNNQFYSALRHNWFWNLTTIKELHLSGCGWFGPIPDALENMIRIEAIYLDNNYLSGIVLKSNNINGEIMGRMPECSWSKLRTLDLQGANLTGQLPVWIGNLTRLSYLDISINMFVGSIPYGIGNMTILCYVDLSQNILVGRVPLGIGNLTSLTYLDLSRNKLVSTIPDGIGKLGNLTYLSLGVNNFSGVLSKEHFSSLHNLEYLNLSQNSLKLYLGEDWIPPFRLTEGYFGSCDMGPRFPAWLRWQTGIDVLDISNANINDVLPYWFWVVSSKTSSLYLSRNQLSGDLPTKLQLPLISEMDISGNNLSGKLPSNLIAPRLTNFLFHNNRFTGTIPPYLFKMPNLLEINLSNNQLSDDFPECRNNSSLTGIYSTDQPFSSIIMVDLKNNNLSGEFPCFLQNATAVSFLDLSHNKFTGSVPTWIVETMPGLEVLILRNNMFCGILPKQLTKLSALHFLDVAHNNISGSIPSSLAGLSAMTSYSYGLNGADYSSDSISTFVKDREVNYTHEITKQIALIDLSSNSFTGYIPKELYFLKGLRSLNLSRNHLSGEIPGNIGSLRGLESLDLSYNYFTGKIPASLTDLTFLSSLNLSYNDLSGIIPTGQQLQTLNNQYMYIGNPGLCGPPLLNNCSTTETNQDVNQVHDISTLCLSFVTGFMAAQGTSLIVFFLLVTLPLPSSSSHGRAAAGMGCVASEQEALLSFKQSFLDPAGRLSSWRGKDCCQWEGVRCSNRTGHVVKLDLRGREDYFGAIELRGQMSSSIATLRHLRYLDLSFNFFNYTRMPLFLGTLNNLRYLQPVECTFRGASAFTHLDLSSVSDNMEVSDISWVSRLSLLKTLNISGLDLSSMKSDWVHKVNMLPNLEILSLLYLIPTSHILRFSICPIMALTALCSKTTGFGVLLPSKSFFSVSVGGPIPDVLANMSSLEVLYLDDNLLTGVMPTKLGKLCNLQKLDLSYNDLDGDMMESLPECSWSKLRELDLQGAGLTAELPVWIGNLTNLSYLDLSQNMLVGSVPSGIGDMRSLSYLDLSYNMLVGSIPSGIENMRNLLIWTSLKIC >Et_2A_015368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11700606:11702737:-1 gene:Et_2A_015368 transcript:Et_2A_015368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVAPRMSRSSTRYGPIGSSAASFSGPVRKWRKGWVPLAQSGVGGAGSGSVGGVSRDNKVMLFKWTPANGGSGGGGASGGMEPTATRRRYVPAAGEAQNTSKKGTSSELNLNLELEDPDDDTDVDLSIEEQRDMDNKPRPESRLKRKAF >Et_6B_048468.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:7933251:7933301:-1 gene:Et_6B_048468 transcript:Et_6B_048468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTFGQLRGSPSSES >Et_8A_056428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:180395:181525:1 gene:Et_8A_056428 transcript:Et_8A_056428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFGSPCGACKFLRRKCVKGCVFAPYFCHEQGAAHFAAIHKVFGASNASKLLMHLPISDRCEAAVTMSYEAQARLQDPIYGCVAHIFSLQQQVVRLQAQLESLKAQTTQGYGDGSLIPIPQNGNCERLTPSMQDGQFFVHPTMPSNNSSVKEEDQLYLANDCFTSDSTQYSEGYEQDLCMPDYSSSNPSCTAQRSGYHGMDDLQSVAFAYLNQA >Et_1A_008072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4101356:4104157:1 gene:Et_1A_008072 transcript:Et_1A_008072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPRREPLKQRVNRCLLKLSDRDTEAMAAAELEAIARALDADELSAFVSAVSDARPTDKTPLRRHSLRALALVAASHPRDAVAPLVPRILAAALRRVRDQDSSVRAALVDTARAAAAASASASAALRPLTDALLHEQDQCAQLAAALATAAAVEASALTADLVSYLHKLQPRLLKLLRSNAFKAKPALITLIGSSASMGGAVEVTASIPCLRDAIASDDWAARKAAAEALAALALEHTDLLVTYKSSCITMFEARRFDKVKVVRESMNRMIEVWKEIPDAEEDECSSAAPAASQSQRRSSFTGSASDGRYPAASLGSNSAPPATRRRRSLPLEVSPSVTKTNSPSSIRNKKLSPPSHRKVVRAKNSDSKIDSTVAPDATPIKMVTEEKLVKGGNVRERLEARRTLFQGSEVAGLKTGSRVVPYESGGDLDEISEVEGGSERFQSVHKEESLSEIRSQLLQIENQQSSLLDLLQKFMGKSENGMGSLETRVRGLEMALDEISRDLAFSSGRMSNREPNVNTCCILSPKFWRRRDGGRHSSRFSLSDAANSSEESRTSYKWERQKFGLNGGFVTTNPLAEPNISSVGKTVVTPEGRRKDTTLQKS >Et_8A_058472.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8736075:8737112:-1 gene:Et_8A_058472 transcript:Et_8A_058472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSLQSSSGGGDDEFDSRCGGGGGADSSPLSALLRPPPPAPMSFYGLRQELATAAAPPMSHSHWSSTPLPGAGAGGGASHADHVAAASAAQQQQQQAAPRGSRKRMRASRRAPTTVLTTDTSNFRAMVQEFTGIPAPPFAAASSSARSSRFDHLFPSRSSYLLRPFAHKLPAPSSYPPAFITATPSTSSPASANISVATSTAAPNQLAGGGGNYLSFQQSAIGAQPDGRYPANAHHMFDAAGEPQAQRLPDTAGFIGHTHHLHGGDHGYGGDELSGLVGAASVPGSDGCKAAAYSSAAGSASRAAPLLERNARTNAGGATMTPAAAMSTQAMESWICTSE >Et_4A_035234.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:13788486:13789676:-1 gene:Et_4A_035234 transcript:Et_4A_035234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSAGAPGDGRAQAERWLEIAEKLLSARDLVGCKRFAERALEADPLLPGADELLAIADVLLASQTTLPTGQANPLAVLQLPTGVNPEQAAVSRAFRRLALLLGPTNTHPGREMALRLVNDAYAALSDPSRRPPLTAGSSNPATGNSSQPAAPAPAADPAEFWTACPFCCYAHQYPRDLVGRALKCPNEGCRRGFVAAEIPTAPTVVPGTEMYHCAWGFFPLGFPNAADLGGNWKPFYKMFPWNTAPSGHGSGGRSHGNRGGSYSARQADNGSARGGSSRGRVKKTTARKKVGAGLKRRSLGGGGGVESGIDASMLGQEEWAGGEDGEHGREEDVRGININEAAQATDGTGRVNVSGAAGVEDMGNFHIDVEPTEDILGNLHNLPFLRVDNLGRML >Et_2B_022789.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5167433:5167891:-1 gene:Et_2B_022789 transcript:Et_2B_022789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLVGSGSPAAAEGVVAASRRAAGDGEEEGCWVPYGWRRGGLRRLPPPIPSLGRLARARTSDGRLVLSREAEAAAHRVCGGKVEDRLVLRLVDSDDTTAPPQQQGRRWSHPLAAQDASAPTAIDDDEEEGTSAAVLQSHAPAPTSSLAGYA >Et_10A_001273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22886107:22886802:1 gene:Et_10A_001273 transcript:Et_10A_001273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHWAQIKEIAAPQGLLICSTLDVSDYLSCINSTPFRLRHDQGIRILFTVMDHDMGEEG >Et_2B_021921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:721228:722991:1 gene:Et_2B_021921 transcript:Et_2B_021921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKQLHARALRRGVRLLQPLLLRVIAAGDLRYASVLLESYPSSSPPSAPLHNRLLHALASLRHPLLLPFFSRVNRLRLLSPLSFTLLFSASATAASSSSMRYSICAHAMLIKSGHFASGGDPFLASALVSFYAKNRLLDEARRVVEEIPRRDAAVYNALLSAYTKGGLVDAAEKLFEEMPERNVVSWTAMVSGYAQNGRHEQAVETFLEMWEREGVQPNELTVSSVLPACAAVGAMELGRKVEEYARVNGLLKNVYVANALVEMYAKCGSICQAWEVFQGIGRRRDLCSWNSMIMAFAVHGLWREALAFVLHAMCVVDLIMFDSMKLVLYFLANYNNAVVKLEADFSMTGLKPDGITFVGVILACTHGGLVDEGKLLFNSMLADFGLHPRIEHYGCMVDLLGRAGLLKEADTLIARMPVEPDAVIWGALLGACSFHGNIELAEIAVDNLMRLEPQNTANLVILSNIYASCGKWDGVAKVWKLLKEKDHKKSAGYSFIELDGRMHKFLVEDKSHPRFEEVYATLDSVTRTIKFAGLESPDEVEAEGLFCPSLPALECKPPR >Et_4B_039840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3765962:3768224:-1 gene:Et_4B_039840 transcript:Et_4B_039840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKHHPTAPTSPPATGDRLAIPFGPLSLPRLLVSAANCFLGGGAPAVSALHAAGLKLGVLPSSLPASNALISAYSLAGLLPSSVRAFSLLPRPSTASYTTVLSALSRHGRAQEALSLFAASAVAPDAELLSCVVSCCRRASAFLPARAAHAYGVKNLPAFAFYASAGPALVALYAARGKVRAARRVFGYMDGEDVVSWNAMIGGFAGAGMNNEAWDCFQEMRMRGVRGNARTAVAVLGACDLESGRQVHGYVVRSHDSSSKPILWNALMSMYTRIGCVTDAERVFLEIERKDVVSWNVMIGAFAKNGYGGRALELVDKMVQWGMQPDSVTFTAVLMACCHCGLVDEGLALFQRFVSVVGLVPTMEQCACIVDMLARAERFVEALEFIGRMPLKANAIVWGALLSASRMHHNVEFARVAFEQLVKLEPENAGNFVTMSNIYAKAGMVEDAKRHICIAVKKALAVRILGLFGRLQLRLRLQKNNLRTL >Et_7B_055025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6514889:6515616:-1 gene:Et_7B_055025 transcript:Et_7B_055025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSQLLSLVAVAVLWSLLHPGASIKFHRKLTSSSDEAGGTWYGEQWRGAVDQAPFSSMITAASSVIFNSGKGCGSCFQVICTDNDACSGNPAGHCRRHRRKPVFE >Et_3A_027104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3381307:3382763:-1 gene:Et_3A_027104 transcript:Et_3A_027104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAGVRHRTVEANGVRLHVAEAGPEGGAVVLLVHGFPDLWYGWRHQMAALAARGYRAVAPDLRGYGDSSAPPDASSYTTFHVVGDLVALIAGLGQPQSARQAAYAMLITVSPLDCVLCCARRPAPQVFVVGHDWGAIVAWQLCLLRPDLVRALVSLSVAYHPRSPEMSPLQAIRAACGEDHYMCAFQKPGLAEAEFARNDLRYAFRMMFGMREAAPLILAKGKSFFESLDSDGTCPAWLSEEDISYYADTFEKTGFTGGLNYYRCMDLDWELSAPWTGAPVKVPTKFIVGDLDLVYHTPGVKDFIHKGGLKASVPNLEDVVVMEGVGHFINQEKPKEVSDHILEFFSKF >Et_3A_023710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11125785:11141854:-1 gene:Et_3A_023710 transcript:Et_3A_023710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSWADLPVELVDAVVDHLDLFSTTRLAGVCTSWARAVTTNTSLAFGTPSLLMMTPEEDDLVEAHDDSGGDDNDDDDQECTFQLLDHSRGKELSFPAFVGAMRGRWWAGAKDDWLATIDKHCNAELVNPYTGRRISLPPLATIPEVRIEGARTVVFEGVRYEALGKTGSRWQV >Et_8A_056055.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:13479725:13480296:1 gene:Et_8A_056055 transcript:Et_8A_056055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEEYGSDTLTQGPPPHACDGDGGGGCCGCVCWCCCFLLLFVAAAAASGAYLVHALKPRAPSYSVSDMSVADFDASASDLTPTTRSASGTAPARAPSWRTGAPRSAPAACPPSTRATATPR >Et_9B_065715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9625115:9629815:1 gene:Et_9B_065715 transcript:Et_9B_065715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDGDRREFLAAARGRLAAAMKWRLAAPVEKVESGGWGFRNAYPRELLALPRQMQLPGPLAEELRETCPAPVNEQEFRGLAPLAESLEKAHELLRLVRDEGRIISVLERDTVMKTFQEVIAQLVHTLSDVSYSKLDISDGVRDQVLNSATERMICYYYQAVNTLNTYKFTLQVELVHAQLKRAKERVDMPDDKIHSDFISLSDAELAILERLTTITLTNLTQEYTALHKMLASYGGQDSQRYIEKMSVLKKIEDLVLPKNSDMGPPTASILMDSNRDGSETIADEFQTKYAGQVTIYPIQFFHTKFYANCVHIMRCLLLISLQTYASACIVECLASGHQIHQSTQQRTPNTTSTPNYASSYSLTDRVNIDALLYALERLLSKQNASNRLCIAEAGAIPLLLNLLSSSDLQAQVHAITALLNLSLHVDGKERIISSGAVPSIVHVLNAAATLLSLSVIDKYKVTIGETGAIPALVVLLSEGSQRGKKDAAEALFNLCTYQGNKAHAVRAGLVSVIMGLLTTGALMNEATAILPVLSSHPEEKAAIGAAEPVRVLVEMISSESRRNRECAAEVMLHLCSDEQKLKQLACLPECRIMVPLRKLALNGSGRGKRKAVQLLERISRFLVEQQEEHEAKLQVPQATPRAIEQEAE >Et_8A_057159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21830355:21836581:1 gene:Et_8A_057159 transcript:Et_8A_057159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEDAAAVRAEESASGGVDVWSDAVSSHVADHLLVMVHGILGSQQDWQYAANEFVRQLPDDVIVHCSEKNASMLTLDGVDVMGERLADEVLDVISRKPELTKISFLSHSVGGLAARYAIAKLYRHPDGVSDGKTKGTICGLEAVNFITVATPHLGSRGNKQVPLLFGSVAMENVASRIVHWIFRRTGRHLFLTDGDEGQQPLLQRMVEDHDDLKFISALRAFKRRVAYANADCDHIVGWRTSSIRRNTELPKSLESLSEKYPHIVHEERSEEIYDEKCQDSTKDCDLDILEEKMVTGLRRVSWEKVDVSFHTSFTSFAAHSIIQVKYAFMNEGADVIQHIIDHFQV >Et_9B_065055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20884514:20888775:-1 gene:Et_9B_065055 transcript:Et_9B_065055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKSVLFGKKSSSRSGSTRTKDLSKGASNKGYAAVAKDPAFSESSPVISEPVLVSSHNNETVPEVTKAENSNSQGEVAARDVSHDLEKQGTAGSDASNEAERLREEQAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVSTLRATWLIVKFQALVRGRNVRLSRSPMQANLKLGQLNFGGAKPDAWKEKLSSNAFACKLLSSPIVVEALHFQYDEMDPNSAFNWLERWTISRVWKPISQPKRVGVDAKPQSRKASYAMETESAKLKRNARKSSAILSEPTQTNTAIETEKTKRNPRKFTSAPADPVPDAQLTELEKVKRSLRKVTNSMPEASKIPNPATEILENQEVQSERPLRSAQHVQSHPENEEPQNGYLSDNAKMDTPVTDLQPDVEVVSHPVTTEEKVNEPAIVAPAAEIMPLQDINSEENALVNDVEHRSKEEPLSSESLKSSKRRSSFSTKAEYPENGSKNSPSLPSYMAATQSAKAKLRGQASPRLSSDSAEKNGFTRRHSLPSSTNGKMNSQSPRTQRPIHAGGKDAVKSDKSMVSSRDASERPLKAEWRR >Et_1B_013704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13337473:13343215:-1 gene:Et_1B_013704 transcript:Et_1B_013704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPRPPSPPVRSPSPSPQWVALRRRVERVSHADPDLPHGAAFHLALDAPPCLARLAVSPDLIAIGGDAPDRFSPFVRAADPSGVLLLSGSPATETGGAASYFLCDAVSSTVRRLPEMPGGAAGTVGLVVDPGGGDGGHNNFTVVELVPSSARDVGATLHCLSPEPGVWIQKPLSFPDRVRLPWHSADAFSHDGKLWWADLSQGLLSCDPFSGAPELHFVPLPAAVRLAKGDKQRDVSKHRCLNLSGGKMRFVVITAHACVPKIKLWTLADPAAGEWTLDHEARLEDVWDDPSYTTTGLPKKRPALALVHPANPGVVYFFLQEHFFGVDLRTKEVTECAPHECGEGDEAMPSSSSVLGWELPPSRTTSSSGPPLHGQELRTTSAFDRIANSFYDAYPRVFADMEFEQLSKIALGYGSIKTKKEENKSKLGRPASAVSCFLRTRHGRRGDHAPPLASALRSGAAMDPRGHHPPEDGFFLTRDADPSQRTECQGQGSTAARKVQKADREKMRRDKLNEQFQELGNALDPDRPRNDKATILGDTIQMLKDLTSQVNKLKAEYASLSEEARELTQEKNELRDEKASLKSDVDNLNNQYQQRMRVLYPWAGMEPSVVIGPPASYPYPVPVHIPSGAVPMHPQMQAYPFFRSQTAGTMPSPYMSYTQPCHPPTDQPSNQFNTPVPHSSSHQSNSPAQDCRSKSSTLQQASCRVRSGDAGDVATDLELKTPGSSAPSHSDTANKDSSSDSKTKKQCLKQINGSTLTEGSSSSRCSSSGPPDVSNSVGDG >Et_9B_063920.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21619768:21619986:-1 gene:Et_9B_063920 transcript:Et_9B_063920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARILGIALLVTILVVGAELVAVPEARRIGGGAAVSDGHWAGRQSTKWNTRRELGGDKRSVPGGPDPQHHY >Et_10B_002663.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:5103378:5103554:-1 gene:Et_10B_002663 transcript:Et_10B_002663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TLLVFCILLSLLLLFLLQLSEAGCSDLGISDNRSHQFRVCACLHQLLLSVCRVRSVQE >Et_5A_041081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1879773:1882641:1 gene:Et_5A_041081 transcript:Et_5A_041081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRELPGTIKVQLHWFAERSGIVLISVSAYGFYWLDLRSMEIVKWYRPFPTLYNSIGCPYEMDLTSWLVGTHKQHVPGDDRQHAMASLPLDVLLEIASRSDPVTLVRFAATCRAVRRRVADDDPTAFRRRLRLRHCDRFVPSLLRGHLMMAYTDRSLRNVELQFVDTTTADATARPGGTTIEHVASRDGLVLVRGSNLRPPPYDPDLWVCDPAARRSQALPAEPTFDGVPAPNSCCTKTEQNWEPYVLLVGGGAATDDDHGGVGRPFQVLKTNLAVSPNRRFLQVHVFSSETGAWGPYTEIRTPHLYPAWSQEKQTAKWRHKAQDVVKNDDIPLEKKRYCSSTFDVRLYWFAERSGVVLFYLFGYGYFWLDLRSMKITRQFKYRALYPANSHPYEMHLSSWVPTFSANI >Et_8B_060676.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:4673813:4675042:1 gene:Et_8B_060676 transcript:Et_8B_060676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRMAGLTPAAHAAGLRRLSTRAAAGPSSASASPRHGLHSFASLAAAVLAHLRACGVAVLPGLTESELARAEAELGFAFPPDLRAVLAAGLPSGPGFPDWRTRAGLRAAFDLPIAAASLQIARGALWPRCWGPRPADPDRALRLARAAIRRAPLLVPLFDRCFLPCRPCLAGNPVFFVTDDRVLCCGLDLLHFFTRDSCFQALDARAPVVPPPSSVAPSHGESSSAAATPYMRRSLDAACGGKAPRWIEFWSDAASDRRRRDSSSSEASTTSSSGCASPPPAARRSRTPHWVDSYLDRLGSVLRQGGWRDAEVTEMVEVDASGMFDGEEAAAPATVDADAVLDALVLKADRCSDSLRRAGWSSEDVSDALGLDLRRCKERPRPAVRIPPEIAVKVERLAQSVARR >Et_3B_030599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5252876:5255557:-1 gene:Et_3B_030599 transcript:Et_3B_030599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLSPPFLATSFTPSRAAPRRRNRARLFSPSAQGRAPCFKRPYTSVLIVPTGVGAAIGGFAGDALPVARALAAVSDCVISHPNVLNAAMLYWPMHNTLYVEGYALDRFAEGSWALQPVHQNKVGLVLDSGIEEDLRLRHLQVADAARASLGLPIVEYIVTDAPLEIKTWFDPKCGKSTGSVGNSDSLLRAVDALMKHSDVNAVAVVARFPDDDPEDTDCYREGKGVDLLAGVEAIISHLIVKEFKIPAAHAPAVLSPPLSSIVCPRSAAEEIGYTFLPCVLSGLSNAPQYVRRRQGTLDNGCIVASDVDSVILPRDSCGGDGTLAFARTVRKNKPLIITVQENETVLDDTPDKFGIETLNVKNYWEAIGVIAAHKAGVVPNSLRRHGIDGLKSPPRVYSKHSSGPRPSANSMMHEKVHMQELVRQI >Et_7A_051735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23769697:23779515:-1 gene:Et_7A_051735 transcript:Et_7A_051735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAITATELNFLVFRYLQESGFIHAAFTLGYEAGIHKGSIDGNTVPPGALIAVVQKGLQYIELEANTEENDEEVERDFALLEPLEIITKDVEELQQLVKKRKRERSQNDREKDKGKDKERNDKDERRPGAERERDRQDKEKEQEREKDRAEKDREQEKEKEKEKEKQHTERTDKVKHDEDSHAGGGPIPMDVSTVAHEISSNNVTVLEGHSSEVFACAWSPAGSLLASGSGDSTARIWTIPDGPCGFTQHVPPVVHVLKHFKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWSRDGELKQTLFKHKGPIFSLKWNKKGDYLLSGSVDKTAIVWDTKTWECKQQFEFHSAPTLDVDWRNNNSFATCSTDNMIYVCKIGESRPIKTFSGHQSEVNAIKWDPTGSLLASCSDDWTAKIWSMKQDKCVYDFKEHSKEIYTIRWSPTGPGTNNPNQQLLLASASFDSTIKLWEVEHGRLLYSLAGHRQPVYSVAFSPDGEYLASGSLDQCLHIWSVKEGRILKTFRGSGGIFEVCWNKEGSKIAACFSNNTVCVMDFRM >Et_9B_065603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7873629:7878354:1 gene:Et_9B_065603 transcript:Et_9B_065603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCLHQSLVTSEDTFTNLQIDYFRLQKVQFLDHLLLTNMMFWGLRFHIICYITFAYSYSTIANINIYLCKRAFLAPTNEIAAAIKLPNGFHANGKEKAMLPRLFIHVKDLIVIILLEIMIVMEVLRASRSFWRYEEYDSIMGEDIAYHMKHRTTPVDAHLILSLEQSVCPIKINIPLAQLIRQTTFVMSNEGHDILPGNDPSLSAKQFGGKHFLFYNARKNEVLAYINHRFPSTTHSPICRLVTSDCSRSISWIINMMLCGMWIEIPKYLTLPLSKQLLIRLRTFVNEHSWH >Et_9A_061274.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:4844157:4844411:-1 gene:Et_9A_061274 transcript:Et_9A_061274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GIRAGHSWGKTRPTTEPQPSPPPRSTGRVRRLVRRRGTRLLAEAGGFLQNYHHRDGLETGVVHALRSREHVNLSTAYKLATKIG >Et_3A_025458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3008741:3010111:1 gene:Et_3A_025458 transcript:Et_3A_025458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHAYAKFSVGKSHIMLCFVEFRIYIFHFYRHHIKEVPDYTETPSAERVAAEAVLWSAVYIFPLKLLNSTVYNQDLIRAEKALASQDVLVVKIVKLG >Et_9A_060942.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19725862:19726152:1 gene:Et_9A_060942 transcript:Et_9A_060942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAGILISIFLSKCRSFLWLSQNICCLSMQINYRGGDYLTFSDSYCSGILFKRLVWSSGYVMLLQFKGSEEAPEIFIPDMVSNAKFTLHMFFPCL >Et_5B_044133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20463159:20468195:1 gene:Et_5B_044133 transcript:Et_5B_044133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSFGNLGGSSSGGSNSKAAAPASSSSFLQLPLSSAAATGGVAYYGAPLALLHHADGPSSSSQYGRHAEISLAEADAIKAKIAAHPQYSALLAAYLDCQKVGAPPDVMEKLTAMAAKLDARPPSRHEPRDPELDQFMEAYCTMLVKYREELTRPIEEAMDFLKRDDMDTSGRENEPPEIDPRAEDKELKYQLLKKYSGYLSSLRQEFSKKKKKGKLPKEARQKLLHWWELHYKWPYPSETEKIALAESTGLDQKQINNWFINQRKRHWKPSEDMPFVMMEGFHPQNAAALYMDGPFMADGMYRLGS >Et_6B_049767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10411347:10413638:-1 gene:Et_6B_049767 transcript:Et_6B_049767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSANASTAVAIFSWTRRRAGDAADGAVHAVPDRLVRDDALRPLIAATASVSSTSSPPPPPTSSPPATASRPPPEASRPSTSHARRACGFSISFTILPNMDCNHYVPSHFHACMDLLVFFTSACLISSSFDNVVLHLCLFAELHRITHERAPRHDNHERSHWPEKKFKSRALVCVLARRPCLNRPGVRQRQVATDLRHSACLPQFNTVPRNVRVPLSGSNVLLLASHWLTAIQLHETGCTGNNEEVP >Et_7A_051435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18413787:18417426:-1 gene:Et_7A_051435 transcript:Et_7A_051435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGTPVRLTFCTAPPPLVSYSYLCVWCTFLSPTDLAVRNTVLAAESDLLLLCVSLRRWKNRECCDCFVYKAGGGRLSLTLLPDPTEFPTRRHNVTLQGPQMDHEGRLHRLSNALLPHHQKCRLPRRRRRRRPAGLRRLTVVDLRHVSDPSHTRVCSWEVSTWSRMEEEWHQQHTFRSTSIAVDDDTDNVHLLPKLKDSDGVLRPKLGSLYFDHPTLSFSDAHIVYVMAKPLGQEGTGGVLSIDMRTPRVQGLAVFDAERMLGYTYMQSRIPGYFHMASGKEPDHIDAT >Et_9B_065984.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19197638:19198534:1 gene:Et_9B_065984 transcript:Et_9B_065984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSITARNPHDSLSFSRRHFKWPVLGKSRSHGATVGDAEFLNSEAEEEDEAAMAFSSACPSFHSEDFVSPPLKSAPAPAPAQQPHPPQRRKKVRMAVSRLRSALANAVAGRHRQVGMGARLTGTLYGHRRGHVHLAFQTDPRACPALLLELAAPTAALVREMASGLVRIALECERAKLGSAALRTPTAIAGTGNGNGKKLLEETVWRAYCNGKSCGYAVRRECGAADWRVLRALEPVSMGAGVIPAASCGGGEGDVMYMRARFERVVGSRDSEAFYMMNPDSGGSNGGPELSVYLLRV >Et_5A_041626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25117733:25120975:-1 gene:Et_5A_041626 transcript:Et_5A_041626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDAGDASPPPAHAAPLPPPPPLHLPSAAAAAPTQRDLSASPTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASETFTVGGYQWAVYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTIDYSRPHSIHVPDSDIGYHFGSLLDNQEGIDVILNVGGERFHAHKLAMLHFIYRDTLVDDDELGASSSDGSVFDSLAAKLLAAADKYELARLRLLCESYLCKGITVASVASTLALADRHRAMELKAVCLKFAAENLSAVIRSEGFDYLKDNCPSLQSEILKTVAGCEEEHSSGGKSQSVWGQLSDGGDTSGRRVRQRI >Et_1A_006373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21443694:21446520:-1 gene:Et_1A_006373 transcript:Et_1A_006373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGTWRKIMPFMAMIFLQFGYAGLFLISVASLRQGMSHYVLVVYRNGVAAVVMAPFALWFERPVLDQNFFYMGANNTSASFSSALTNILPAVTFVNAIILRVERINIKERRSQAKIAGTAITVAGALLMILFKGPIVHFPWTKHVNHAISGSSVHNSSHWLMGIFMILLSCFCWSAFFILQSHTLRSYPAELSLTTLICTLGSAQSAAVALVMEHDIKAWLIGFDMRLFTAVYSGIMCSGVAYYVQGIVIKERGPVFVTAFSPLCMIIVTMLGSFILSEVITLGRLIGAIIIVAGLYALIWGKNKDHVNQIDKEKNFDKQKTFELPFSSADLNKTGTLSNI >Et_8B_059684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20682679:20685053:-1 gene:Et_8B_059684 transcript:Et_8B_059684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSRALLSRIGGALLRRSFSASAGPESGSAAGYHVAGGPSYMRGAVFWEPGRPLTLEEFQMPRPKAGEVLIKTKACGVCHSDLHVLKGELPFSSPCVVGHEITGEVVDHGAHTPAEITNRFPVGSHVVGAFIMPCGNCFYCVKGQEDLCESFFAYNRAKGTLYDGQTRLFLRGNGKPVYMYSMGGLAEYCVVPANALAILPNTLPYTESAILGCAVFTAYGALRHAAEMRAGDSVAVIGVGGVGSSCLQIAKAFGASEVIAVDVLDEKLQSARTLGATHTVNAAKEDAVERIKEITDGRGVDVAVEALGKSLTFAQCTRSVRDGGKAIMIGLAATNVVGEVDITRLVRRQVKIIGSYGARARQDLPQIVMLAESGAFNLQNTISRKCKFEEVNSAYDDLNHGKIIGRAVVEIM >Et_6B_048493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:185914:187166:1 gene:Et_6B_048493 transcript:Et_6B_048493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAHRARRSRSRVGCDPTDTNSGGISRSNTRSIYSINPQASSRASPVHHHFLASSKKDGDGGRGGRFTPTPEELIRHYLNPWVTGEHVFPGVVVAADIYAMDPDALTSRFAHDGNWYFLCVARWKGGKAGTRMNRCVNGGGTWHGSSKRKPVGGQEDGVDHGGVRDRPQGGHRRRRRPRHLQGVHRGQRHRRTTTRKLRATTRYELAETKRPRLQLHGEQQHGLAACTVTVAPPPAEVSYYYSYAGKSAAAFSTTTLQQQQPAMEQGMGFYFPNSVNGRVGIGDGEPEQFSPVEEGKALSQMDYSFFTTAEGMDVNEMVG >Et_3A_026115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4451891:4452303:-1 gene:Et_3A_026115 transcript:Et_3A_026115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLDRSLLRLACYINSSHLTSLQMLASLDFLSILNLSYNNLEGRIPESPHFLTFSNLSFLGNIGLCGLEVSKECNMSPGTVLHQSKKASVDIVLFLCAGLGFGVGFAVAVVLTWKTSRSSSFVFHSE >Et_10A_002190.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:3936087:3936344:-1 gene:Et_10A_002190 transcript:Et_10A_002190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTAAAASGLAGGAWFGEIASALQGNWQAASGGLVENNNNKAAACAEKNRTATKKDEAEDVVACGGAISDATLYLLLDWFTPS >Et_3A_025514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30427579:30427924:1 gene:Et_3A_025514 transcript:Et_3A_025514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLIRVTNDPRSPQRSNPLPPDRPPTIRVLPPQTQSKPSNSLQSNAGNTISGHGTPAPAPKIFHFSRPPLPYIKHPTAPLPFHPAIPNLPSKQLSSPLTKQALASIPQ >Et_10B_003118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16876661:16881122:1 gene:Et_10B_003118 transcript:Et_10B_003118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHHLIRRGVSGGSPLHPLRGLLFASQEVGRRPLSSTAVDAAAELRGAREEVKQLLKAKSCHPILVRLGWHDSGTYDKNITEWPKCGGANGSLRFEIELKHAANAGLVNALKLVQPIKDKYSGVTYADLFQLASATAIEEAGGPKIPMLYGRVDVAAPEECPPEGRLPAAGPPSPAEHLREVFYRMGLNDKEIVALSGAHTLGRARPERSGWGKPETKYTKNGPGAPGGQSWTSQWLKFDNSYFKDVKERRDEDLLVLPTDAVLFEDSSFKIYAEKYAEDQDAFFNDYAEAHAKLSNLGAKFDPPKMENKVMFIGKQ >Et_7B_053677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1185653:1188131:1 gene:Et_7B_053677 transcript:Et_7B_053677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRALAASTAAAFLAVLLLASSAAAADMSIISYNEEHGAPGLERTEAEARAMYELWLAEHGRAYNALGEYDRRFQVFWDNLKFVDAHNARADEHGYRLGMNDFADLTLDEFRATYLGAKPVQRNRAAGEMYRHDGLEELPASVDWRAKGAVAPVKNQGQCGSCWAFSAVSTVESINQLVTGQMITLSEQELVECSTNGGNSGCNGGLMDAAFEFIIKNGGIDTEDDYPYKAVDGTCDVNRRNAKVVTIDGFQDVPKNDEKSLQKAVAHQPVSVAIEAGGREFQLYKSGVFSGRCGTALDHGVVAVGYGTDNGKDYWIVRNSWGPKWGEAGYIRMERNINATSGKCGIAMMASYPTKTGANPPKPSPTPPTPPPPVAPDHVCDENFACAAGSTCCCAFGFRNVCLVWGCCPIEGATCCKDHASCCPPDYPVCNTRAHTCSASKNSPLTVKALKRTLAKLNTA >Et_9A_061760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16152320:16163684:1 gene:Et_9A_061760 transcript:Et_9A_061760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAAGATRSSLAALLLLFAAALVSAEESDVRLVLPSDGVHGSSRSRHPCLDNPPGMTASGAEAGHVVRNYYGLDAYLTGSNGTDRAVILASDYYGFKAPKLRKIADQVADLGYFVVVPDLFNGDPYKDGISFFEWLKTHSPADAAEKVKELIYILRKTGKTVGIGSYCWGAKVAVELAKTDEIQAVVIAHPSLVTVDDIKDVKCPIEVLGGEYDVLSPPKLVHQFESALEQMKGIDYLVKIFPKGLHAKEADVRVVLPPSHGPGPAPSPHPCLDNPPDMTGTGAEAGHVVRDYHGLDAYLTGYHGAGRAVILASDYYGQCSLHILSYQRVTFEHHPKTNGLGYFVVVPDLFNGDPYKDGISLSEWLKTHSPDDAAEKVMELIAALRKTGKTVDVGGYCWGAKVAVDLAKTGEIQAVVISHPALVTVDDIKEVKCPIEVLGGEYDTISPPKLIHQFESALEQKGTEHLVKIFPRVAHGFACRYNSSDPFAVKTAVEARIDMVSWFGKHLTS >Et_9A_061325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1057344:1061651:1 gene:Et_9A_061325 transcript:Et_9A_061325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRFRLWPACSDVAVTPAGLKSRAATSETRPAPPPLRPTVQNPPCTSAGERSGGSGNEGPARTAAEEESGEARAADPVQAMPRAGGVGGSRALAKPHHRPRLRPRSPSPPTPSRRASAAAAAPEQLKEASALEATVVSSVEETSFTFEFKRGFKRAKKADSLSMDAPRGEDKSTEGLSNKRNAVAVKIPAAKQGPEKVELTHCAPSIVARLMGLDTMPRPKKVLDRCQSDIQANRQRHFSGGIQEVARASSGDLPRNASANELPALKDVFEVSEMDNMAVREVMRSGNKKPDLRSSEADLEFVRQKFMDVKRFSTDEAQRNSKEFGEALEILHSKKDVFLEILQENRTAVSGFSGNILNHSGLQDSPHASSAASAGARSFEQEILCRMEDGGEGVFDAETDSEEPSSNVLLKETSVTPFEPLEGDGCKRGSGHRSPIVVLKPNLRRKSFTPVLSSQEASQYDWRNSKQYVKSRKPGVMHSAPSNEASEGDIIRQRARKQTPKSCSRRRSSKEECKLAVDSERVQVASTSNDDTIPICSSTGSSTGRKARKHLSERWQTACQFGSENSNPRDIKTLGEILELSDRDTTTKASSYNRSSDAKSNHDNSRQVPASPLGISSKDGWKKGISCEDHSRGGISRNFPRSKSLPASSTSSTKLSGRRQSASTCRLPILKDILNTSTDESEHACVKKRSSIRNGKQKNGIVHAGKENMLPEKEIHVTSEKERHSICISDLPRAANTYTEYPDDVIRSRDQQASEFAVQHEQQNFKVHIGCSDRELTTSSGYDFIGCSDRELTTTSFPATEDKPVYHQDIIALKEGRNPSIEIVVVEDDTEAIESTRIASTEGCECSSPTALSQLSSCEYMSYSGIFNSVNVGIQGLREQLKMLKREDQDDTCGYYSDTFSSDECSNMNTSTYSVMEEQVPLFKDEEDRDFSYVQDMLDSVCDFPAYPEDWQVSSDMFLWLENKYNKLLLWSKSDRKLLFDLVNSVVADMTAPSSSLHSKILVRCWSELDRGHFATYVWQMVQKLRYYEQVAWDCILPLPLDHHSELELIKMEVLKMIHDDIIEESIAEFMSKEK >Et_2A_014793.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:15284107:15284355:1 gene:Et_2A_014793 transcript:Et_2A_014793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLGKGCSRCYEARDVEGGEQGIYFHDDDSFDHPAHVAYGYRGRRYSVCDNGHWERPGTNPHRWYDWRLASNSSGPVWYMQ >Et_2A_018277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2146250:2148521:1 gene:Et_2A_018277 transcript:Et_2A_018277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHQADAEALPGFGAEPWVIRFRGTGKLINPFDGSSRDVLLPVAVGKTTTCLGCFGDRSVLLDEETKACFLLDLATLSTVPLPPLLEPLPAWPGPGCALSSPATAPDCTVAVVVSDGAEFLLHCRPGDKAWFKFFIKKDEILRPMHGSNGRIYVTSSLDWELLVINMDDSDSGVHVEKPADDAGEDARCYVPCAYKTSPVNGDYLSQWVESNGEVFLLRFYLYGYVGMGLRDWDIHRLDTSKLCLELVESIGDRTIFSGMESVAVSPASEAGTQPDCIHLLYPFPSDGLRLYTIRLSDRTVTFKLVPTDSPHALHWAIPRSFRLEQPRSRSPNLVDPVNDLSGKMIQDFKPYPMLMSLRSNSGDKYHLIDPMTAKQYNLNIGKKLFCGDKLLLLFSKHGWVLITEGNSSVTALNPFTKESLSLPPLDLSLFNGISFSTAPTSPDSVFFVFHEWRGVVEVLVWRPGDEDWTSSSYFPDTKFKVTYNNPLFFDGEFYCMGSLGNLAVFSPSDMEWRVLDKPERIYDDDANMVINDRSRAHLTEFSGELIAVFMPQDCVRIEMFKLDMSLMVWTKLERLDNATMFVDNWGAIIVPQPESSLCNRIYLPKFGFGEDGVTKVSAYYDIGAKMYQPTFYGLMEPMKSIWIQPNFTACSSRLE >Et_4A_032733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13163202:13168494:1 gene:Et_4A_032733 transcript:Et_4A_032733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLEKPLNPNKLLKEQFVSNLTGSSLAEIAALSTIVPAVVVLRKWSSEEHSAFDLFHHVLDKIRRDSAKKNDDARPVRKDWVHYFSALVVDYLTVVLPILLVFTVLAEWAYTCAASLVILISICILFKRSQSHLKAELNRLSSLRADVSSYRVSVVLVTCLCILAVDFKIFPRRYAKAETYGSGIMDLGVGSFVVANALVSRQARNITSMSFKAALSSTSPLVFLGFARIVSTSGVDYQVHVGEYGVHWNFFFTLATISILTSIFRIHPKHCGLVGFLILAGYQIWLSFGLNDYLISDERSADIISQNKEGIYSILGYWGMFLIGVSLGYYLFVDTSSKGKSRNAQVIKVWVLAASFWILAIALDSYIERVSRRMCNFAYVMLVFGQNFQVLSILTLAGYVSHEKNLVLEDAFNQNMLGSFLLANILTGLVNLSFDTLSASSLTAFIILSAYSFTLCMVVGFAHFHGVRMKFW >Et_9A_061076.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:14344817:14344981:1 gene:Et_9A_061076 transcript:Et_9A_061076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTGTVRNPTGAGAGANFHLRAWTWALFFTRDILLRGWVFAPPDPNLTRRWAF >Et_5B_043293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22413150:22413875:-1 gene:Et_5B_043293 transcript:Et_5B_043293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKAAPRAAAAAAEPASPMGLGDPQPPSPPASKLARRGNGGGPPSLLQSTRSLPSLFSTECPASMGKQSKNPPCFVPSIRSEAAGLAGVLVAGEADGGYLKNGRVLLGMRLRVQLPPPPMIEFGVKNRDAQLALLSPVQRSPLSSAARAARRSEVEELAEDYTCVIARGPNPKMTHIFEDRIVESRAGAGAGDGDACYLLSACCECKEEGLLLPRYR >Et_2B_021532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30312816:30318520:-1 gene:Et_2B_021532 transcript:Et_2B_021532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGLRWKKGKEGKDFLALAAANPMSSIVAELQVSLRQSELLAILSNQRRGSGGHTRASWAPEPCRLWPSCGNCGRRGNGSSWDPRSCSSFANCIAVESADKKQMDEGELWNHLCSTSEPFPEMYKAYQHLRVKNWVVRSGLQYGADFVAYRHHPALVHSDRTCELTSPYCLEQLIVHERTVTRWIPQQCREQGPKPCREESNTVEQVHARESEGSNYWGATLGFTVLSSLLVYKLKFGRKEHEGQVSPSSCTGHSIWLKHIGQEGKPAIFGAPHPCSSTKHILAPENFL >Et_2B_022434.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:21727006:21727440:1 gene:Et_2B_022434 transcript:Et_2B_022434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKESRRRRKNAKKRKRNQQVPTTTIDDLTVELLELIFLDLDSPACLIRAASSCRRWRHVIADANGGGAFLRRFRTLHEPPVIGHYCYPVDTYPPCSFGRNHCFPNKDPVFVPSSAISRSISCRAPTSPRGRPSTAAASSARAI >Et_8B_060807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:800113:802211:1 gene:Et_8B_060807 transcript:Et_8B_060807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARGVATRLATRPPRISSTWAANLPTRSPATFPSVPIAALTLFVLAASPFPRDETMASIAGSALSFGLVKATNANSLSFSPARKGNSFLRMQPMPMRLAVSCAAQKATVDKVCQIVRKQLALNDDATVSGASKFSELGADSLDTVEIVMGLEEEFGISVEESSAQTIATVEDAAELIDKLVKEAK >Et_1B_010857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14885237:14887315:1 gene:Et_1B_010857 transcript:Et_1B_010857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSKAERKAALDAGAWMFNVVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLMTAVMKWLGYIQPSYLPLPELVKFVVFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCILEILFDRVRYSRDTKLSIVLVLVGVAVCTVTDVSVNSQGLLAAAVAVWSTALQQHFFIVLSCIIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFLFFGKEGLNFHVAFGMVLAVIGMVWYGNASSKPGGKERPVYSVPSEKTQKHGILSSQSELDQKV >Et_3B_030764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7147158:7150273:-1 gene:Et_3B_030764 transcript:Et_3B_030764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFRLGTAVEGKFQGAGGMVPSPRLLSPNHREEATAANGGGPARPGPIRPKMFPTGKPRKRAPFWQAAVFASVALNVALLLHNYVVVVNQLAPPHQEHHEACSMHDEAGKSRAATARAPSTGKPAVTPDSVINLDHGDPTMFEAFWRETGDAAEIVIPGWQTMSYFSDVTNVCWFLEPGFDHEVRRLHRLVGNAAVDDGYHVLVGTGSTQLFMAALYALSPPGAGEPMSVVSTAPYYSSYPAVTDFLQSGLFRWAGDANSFTGDTYIELVCSPNNPDGSIREAVLASETGKAVHDLAYYWPQYTPITKRADHDIMLFTVSKSTGHAGTRIGWALVKDRAIAQKMTKFIELNTIGVSKDSQLRAAKVLKAVSDGYEVEAGAKGAHRLFDFGRRKMVERWSMLREAAAASGIFSLPEETSGYCNFAREMAATNPAFAWLRCDRADVEDCASFLRGHKILTRSGSQFGADPRYVRVSMLDRDDAYDIFVKRLASLK >Et_1A_004768.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:39441535:39441873:1 gene:Et_1A_004768 transcript:Et_1A_004768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARAGSGKLPCCRSPASMRLVMARRCRSRSFVRSFSRSRSRSPRRRGGVVPAKGEVAEAKPRGRRCGGGGGERDASSSGSGGCGCFMLMARCHPPAPAAICDGERDVLRSC >Et_6A_047458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6070057:6073228:-1 gene:Et_6A_047458 transcript:Et_6A_047458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSRAWISLLLALAFALSARAEEAAAAAAEGEAVLTLDAESFDDAVAKHPFMVVEFYAPWCGHCKALAPEYEKAAQQLSKHDPPIVLAKVDANEEKNRPLATKYEIQGFPTLKIFRNQGKNIQEYKGPREAEGIVEYLKKQVGPASKEIKSPEDAATLIDDKKIFIVGVFTEFSGTEFTNFMEVAEKLRSDYDFGHTLHANHLPRGDAAVERPLVRLLKPFDELVVDSKDFDVAALEKFIDASSTPRVVTFDKNPDNHPHLLKYFQSSAPKAMLFLNFSTGPFESFKSAYYAAVDEFNGKEVKFLIGDIEASQGAFQYFGLKEDQAPLILIQDGDSKKFLKEQIEADQIVSWLKDYFDGKLTPFRKSEPIPETNNEPVKVVVAESFDDMVFKSGKHVLIEFYAPWCGHCKKLAPILEEAATTLQSDEDVVIAKMDATANDVPSQFDVQGYPTMYFVTPSGKMTSYDSGRTADEIVDYIRKNKETAGQPATEKVAEKVESTTPPKDEL >Et_9B_064879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19520252:19520828:1 gene:Et_9B_064879 transcript:Et_9B_064879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSRHALWLLSAVLASLVAGSTAGVYHIVGAGKGWRMPPNKTYYEDWARSRNISIGDKLSKATPFRVKILVPLQVLVSSTRLFLYRSGVYNIVEVPSRELFNACSMRNITNRYQRGPTIIELTEPGMRYYFCGVGEHCEVGQKLAIDVCAVAPPPPDEPSAGAVALISRAGLAVCLVSALLIMAL >Et_3B_028177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11326683:11328382:-1 gene:Et_3B_028177 transcript:Et_3B_028177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASLTLRPPTTSFPFPIGAGGNSRQHWSPPAAPVPLPPAVTPAVPRRLLLPAAAGIWDFVSGGQGGAAASFLAVRRGMELFRQGDVAGSLADFDKAIEMDPQQKQYLWQRGLSLYYLDRFEEGAEQFRLDVAANPNDTEESIWCFLCEAQLYGVGLDSRPVMREAYALFKDGGDPEKLASNFSSGSGGEVFYSSLYAGLYYESQKNSDMAKSHIVTACKSPYGSRSGDYMASLAFVHCQCRGWILEG >Et_3A_025470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30013870:30015498:1 gene:Et_3A_025470 transcript:Et_3A_025470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLLPPYAVAAAAVALASAYLVWFWALSRRLSGPRMWPLVGSLPSVVLNRARVHDWIVDNLRGTGEAATYQTCILPLPLVARRQGLVTVTCNPRNLEHILRARFDNYPKGPMWQAAFHDLLGQGIFNSDGDTWVLQRKTAALEFTTRTLRQAMARWANRIIKNRLWSILADHSQAAASVDLQDLLLRLTFDNICGLTFGKDPETLSPGLPENPFANAFDSATEATLQRFLFPSFLWRVKKALGVGSERSLRESLAVVDHYLTEAITARKATPSDDLLSRFMKKRDSNGRAFPEDVLQWIALNFVLAGRDTSSVALSWFFWMVMQRRDVERKTRGDDTGRWAEEPLDFDELDRLVYLKAALAETLRLYPSVPQDSKYVVADDVLPDGTVVPAGSAITYSIYSVGRMESIWGKDCSEFRPERWLSADGTRFESPKDAYRFVAFNGGPRTCLGKDLAYLQMKSIASAILLRHSVELVPGHKVEQKMSLTLFMKNGLRVQVRPRDLAGYAAPPEEASRQGVVVIPTTTAAAA >Et_3B_029680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27021356:27024655:-1 gene:Et_3B_029680 transcript:Et_3B_029680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARLLLAFAGLLLAATTSALTDDVLALVVFKTGVSDPSGRLAAWTEDDDRPCAWPGVGCDARTGRVTSLSLPAASLSGRLPRALLRLDALVSLSLPRNNISGPVLPNLLAALPRLRSLDLSSNRLAAPVPDELFAQCRSVRAISLAHNKLTGYIPPAVASCASLVSLNLSSNRLAGPIPDGLWSLPSLRSLDLSGNELSGSVPGGFPRGSSLREVDLSRNLLAGEIPVDLGEAALLKSLDLGHNLFTGGLPDSLRRLTGLQFLSAGGNALTGELPAWIGEMWALERLDLSGNRFTGAIPYTVANCKNLVEVDLSRNALTGELSWWVFGLPLQRVSVAGNKLTGWVKVPHDAAMALRVLDLSSNAFSGEIPPRITAFAGLQSLNLSSNSISGHLPAGIGGMRLLEVLDVSSNQLDGAVPPEIGGAVALRDLRMGRNSLTGRIPAQIGNCSSLIALDLSHNSLTGSVPRTMGNLASLQVVNLSQNKLNGTLPVELSNLPSLHIFDVSHNMLSGDLPNSRFFKNIPESFIADNSGLCSSQKNDSCSAVMPKPIVLNPNSSSNTSSQATPSAPNNMHHKKIILSISTLIAIAGGAAIAIGVVTISVLNRRARARASAPRSAPVVALSDDYLSQSPENDATSGKLVMFGKGSPEFSAGGHALLNKDCELGRGGFGAVYKTVLRDGQPVAIKKLTVSSLVKSKDDFERQVKMLSKVRHHNVVSLRGFYWTSSLQLLIYDYLPGGNLHKHLHECTEDNSLSWMERFDIILGVARGLTYLHQHGIVHYNLKSSNVLLDSNGEPRVGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEVLTGRRPVEYLEDDVVVLCDLVRSALEEGRPEDCMDPRLCGEFPMDEALPIIKLGLVCTSQVPSNRPDMGEVVNILELVRNPQDSAEDELV >Et_2B_020129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17014151:17014819:-1 gene:Et_2B_020129 transcript:Et_2B_020129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQAFDAVAAEHEPELERAEPAGERDGPVLVVHDVNTLRGRVLEDRRDEQRRLLHPQRATVVVGQEPLVSVHAEGVHVLDAGDHVRQLRAYERRPRVGRVHVDPDAVRRRDGAQLPDRVDGRGLGRSHRGAQEERDQPSVRVRLHCGAQLGRREREHVVAARRDLTTVFAGDAGDARGLGEGEVGLVGAVDDEVPNPLAADLRELPVPRGHDGA >Et_1A_005734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13208133:13212161:1 gene:Et_1A_005734 transcript:Et_1A_005734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRRGRSCAGDGTERKGTPWSRAGDEAAAAPSPPPTPPPAAPARRCCHGCAPAPSNQRVGPSSRRRPGSPPWTPTAPPPRRSTPPPLRRPDASPPLLCRPGGLDTERGEAHGARACGTWRGASRHGAGEVAALVEPEMRLRGVSPRPHHGHAPPPRLRLPARRRPEPGRGGGGPEPGCTAETASVRLPGSTTIQTSCASTRRSTGAGAGRGWRRGGGGRRGGSTLAREGDAVARGRVGVATPEGAEGAARRRVVRRWREAKKGIPREKGRSRTSPWQPPAPCKGWCCLPGHADLFQPPAILFVKLDEHADKRKIAYLKSLGSEAFEKKEYCFASLLYSKRDCQKITVNIPYKEAIDLDHDDATLFSNRSLCWLRLGDGEKALQDALKCREMRPDWPKACYRQGASLMLLEVGYESACQTLLDGLKLDPESAEIEHALWYPSTPFHCGMHISQEVFLNLHGRFEHGADRDGFL >Et_4B_040048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8274814:8277292:-1 gene:Et_4B_040048 transcript:Et_4B_040048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMKIPAEVLRKRRRALKLKRKIAEDKPGSESVDAQKGDDACAICDNGGNLTCCDGGCKRKGENKDDEDMQFAVCRRCPTTYHRKCLPKEILFKTKKGPNGSMRRAWEEVVPDRVLIYCMKHEIVRKLGTPRRDHVVFPDAGKLRAPEYSPMEQDIPEGDEPLRHHFSEPSQSPPLAASSKNQSSYPTTEF >Et_2B_020455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20375125:20379868:-1 gene:Et_2B_020455 transcript:Et_2B_020455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSAASPDLSLHISLPSSAPGSGSSAGGRAGVGGGGGGAGGDPWRRLNGSTASTELSLSPPAEPDADALPWRHRPSAAAAAASSSSAAAATTSASGAALMHMPPMMQPLDAAGGGGGASAAAPIRGIPIYNGPGGFPFLPPAASGGVDGGHHHHAKVGFCNTYHPATWPSSLGSTSPSPLAPPGAPGSFDPAFLSPAAAAHHHHRMLAASGRLNGMLSDTLRGYGAGAGGGLGGIAGHHHHHHLHGAQPFGLGSRFMPKLPAKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAASSGPTDGGSGDDEFPSAGQAASGGDNNMCARPFGEHRSTSEGAASSVGGGGDMDQSSAGNTSTRWSNSSRDPWVSMNSCNMDAHRLVGLSSPIENAEPCRSSSSQVSNHELSSPSLEFTLGRPDWQGADHD >Et_5B_045156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10171905:10177002:-1 gene:Et_5B_045156 transcript:Et_5B_045156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAMPPRPFMGPGGPMPPQQQFGLVETRPQLAAVLRPRFNIPGLNPTAAAAAAAGGAGSKIASTYDLVEPMRFLYVHVVKARDLPSASPAGGAVDALVEVKLGNFRGTTPAVGVGADGHGAAWRQVFAFSAAHLQSHQLEVAVRARDLAGGEGVVGVVGFDLAEVPIRVPPDSPLAPQWYRLQARGGGKLPRGELMLSVWLGTQADEAFPDAWHSDAHAAAGPAAVASTRAKVYFSPKLVYLRVAAIAAQDLVPHDTSRPMNACVKLQLAGQVRRTRPGTGAGGTPNPMWNEEFMFVASEPFDEPLVVTVEDRVAPGRDEPLGRVILPLQAAMPRHDHFGKYVEPRWYSLARPNDDPDKKEAKFASKIQLRMSLDFGYHVLDESTYYSSDLQPSSKPARKPSIGMLEVGILGARNLIPMKPKEGRTTDAYCVAKYGPKWVRTRTILDTLNPQWNEQYTWEVFDPCTVITVVVFDNGQIGSKNGGGPDQRIGKVRIRLSTLETDRVYTHFYPLLVLHPSGLKKTGELHLAVRFTCTAWVNMMAMYGRPLLPKMHYTQPISVMQVDYLRHQAMQIVAARLSRAEPPLRREVVEYMLDVDSHLFSLRRSKANFNRIMTTFCGCAAMVKWFDGIRSWRNPITTMLVHMLFLILICYPELILPTIFLYMFLIGLWNYRYRPRHPSHMDTKLSHAEMTNPDELDEEFDTFPTSRPADIVRMRYDRLRSVGGRVQIVIGDLATQVYKKLRITELRGSRGRGGAHGGGVAGGRKKKKKRVQSV >Et_6B_048613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11506428:11508409:-1 gene:Et_6B_048613 transcript:Et_6B_048613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQILGKCNHPNLLKPLGVWECQVDKNKAYLIFEEVNGALSFDRIEEILLIENSSIWGFSDLGFKSFREIFSVVDYVNNHYQRDVGPSLDKGFIPLIPLRLSATSIFYKLTPEQELQVMVGDFLEDYPPSLQKTKKIKSDKTAQFVAKGLIFFGAKLYFTEEQWITWVKQKQEGDGGPKTSAQSVEEAGPPV >Et_1A_007815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38503098:38504195:-1 gene:Et_1A_007815 transcript:Et_1A_007815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGIVPVKLLYRRSNVLRFGRSSSANDGELTQKEDGIHPWKLLLLALMAIRFLIFSHIVDGNSPVKRTPLRRLKLTSRIVMLPDDISSDGRPPESELCDRLMCNKPLSSPRDGEMLPSKLLNGRETSITDPLTLHLMPSHLQQSVPSLHDIAMPSSCVNPAVNWSRELLSCSLHEAARDAMQSSSTRGRPKKGITSLWILLLQEE >Et_9A_060918.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17254021:17254618:-1 gene:Et_9A_060918 transcript:Et_9A_060918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKALGVPAREAVVLGALLNVKGYADVLAINFSDKFGVWGETAQVVLLLSSIVNTFMVGPASATIVRWQHHASRHRSRCLQDLRADHELRMVVCVHGAVDVHPMLTLAYISKAAAPMTVNVLHLVELVTSRKYAITHQLYHASGGGDGDEWGYARDIERVAAAVTCFTNDNAVPVRQMTAVSAVASMDE >Et_3B_030142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30931447:30932387:1 gene:Et_3B_030142 transcript:Et_3B_030142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARLRNAAGGGGLAGLPIGFRFRPTDEELLLHYLRRKALACPLPADIIPVADLARLHPWDLVPAGDAADEERFFFHLPTTKCWHRGGGATRAAGTGVWRASGKEKLVVSPRCKRPVGAKRTLVFCHRGGRRSDWLMHEYRLLPAVLAAGNPFASLVNFHGAAPRADDWVVCRIFKRTKPSGRGGRGREDAEEEIPSSPSSASSCVTDNSGNVDQEEEDEQSSNGSSCSDASS >Et_9B_066240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8203244:8204488:1 gene:Et_9B_066240 transcript:Et_9B_066240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKISWIQFLVHDVGSEYEDPHLLYNVGSQALTDATIDELQDYRCFETPQGWVLALEPTSQRRVCIINREIIVQERSKMTNRFLVAPLLIAAFLALPCRLFRPTAAVAFTGQGDYARDRVAQLPGQPAAVDFTMCSGYVTVQERAGRALFYWLQEAPAPAQPAPLVLWLNGGPGCSSVAYGASEELGAFRIDHARRRGALPQQASMEQRYLRTLIVIACLLVWMMNSDAETEIEFYNAAAANILFLNSPAGVGYSYTNTTSDLYTSGDNRTGKWSHNRLTLAYLTLSCYQ >Et_4B_036978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13041341:13053748:1 gene:Et_4B_036978 transcript:Et_4B_036978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRTDLDATEVGDLCDGCTAAGHVAAPDAVDHDGLHGGEQAAVGDAAGLALHRLDEAVRHGPDRSHGLSAAGALACAIRAALILPLPVAGAGDAALEGEVEDAGAVAGVDDGVQLGAGHERADDGLVDLVVKDGAPLERVHGADGLVVAVRLVAVAVGHLRAHAGEVEHQGVAIAVVLHQPVHRHADVVLGRQTERVLLRVMMHSLGNPNFWQRTCLMHLTSLMGPLSWPPQPRREPAYVMPIRTARLLPRAQGKPAAGGRKIREGDRRTRVTPLHCVHWTVEQSGVTARLALQCLQRVLELDVLERPRKPQQALPCGTATVASRNKAKKKQRPHLDATEVGDLTDGHADGPLQVAVPAAVDHDGLHGREQAAIGDAAGLALHCLDEAVRHGANRSVGFRRLQHGLATAGALPSTRRRAVILPLPVPRPGDAAEEGEVEDGGAVAGVDDGVQPDAGHQRAHDGLVDLVVQDGALLERVYGADGLVVAVHLVAVVVAHLRAHAREVEHQRVAVAASLHQPVHRHPDVVLGRQPEGIPLVVCINNVRVTGHDQVLDVTSEHDDALAGEAVALAEELPHALDVVDGAAQLAAAPPHAGVRDADQHGALLAPGRGEAHRRRRRHRHGWQVGPMESPDARHAAALGALDSALAGGHDEARAAVLAANSRRPSAEVRFPMGRRILPFICVRLP >Et_3A_023337.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:21461383:21461604:-1 gene:Et_3A_023337 transcript:Et_3A_023337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPVCVQCGTRSNPCRCKVLGPTVGFVAFVVAGIIEWPLGAAVYLFRHRKGRRIMSHPATVVYPRITSAIPI >Et_6A_046132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:345731:346082:1 gene:Et_6A_046132 transcript:Et_6A_046132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELGSLIEMIGPKRCIIMRGTVLIEYDMKIKKGEQEDDLQLIDWSIRLR >Et_6A_047794.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:16650621:16652300:-1 gene:Et_6A_047794 transcript:Et_6A_047794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPESGECAGDQPSSVADCLRLMEQVPAAAASAPAFRRHWPSVSASLATLSTALSSPAFPPAAPLLAPLAAALSALLSVAADAGRLGHLHTVSLLSSSAASLAQLAADARVLAAPGSAASGGCGAGGVDGGGVEGVISRLRMGSAASRAAALEDLAAAVGTLPASSAAAAVSAVASLLDSGDLLPASRERAVSLLAAFASSDHARPFLAQESSALLPHLCRALESGTTAAAEHACAALLPLTASSRDAAAAVAARGGVAALLSACASGTPAAQAAAAGVLRNLAAFPDLLPYFRDEGHGAVLLRLVSLGTPRARELALGCLQNLTAGDGDDVQRMKVDAFQDGALACVRDFLDGARGDEPALAPALGLLRNMSTFRYVAEIAASAGFLSLVAAALAGSDSPATRAEAAMALAELCHVGSKARRRRHQELGDAAVPRLVWMLEAKSAAERDAAARALAALLASGSGGGAGHYRKLFRKDERGVVNAVQLLDPARAGGVDRRFPVAVLLAVAQSRRCRKQMVAAGACGFVQSLVDAEVDGAKKLAECLGKGKMLGVFPRT >Et_4A_033121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18777823:18783924:-1 gene:Et_4A_033121 transcript:Et_4A_033121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRGMQIPTTQVCEWVHLRTSLRLRGWHGDLCSCEQVRWYKMRPRMVVRGMRVPRAQLCEWVYLRTSLRLGGGHAELCISALGISSGSKGSGGTKSGPGWWCVACEFLMAQLCERVHLLSSLHLGGGHGEHCHGAQENLQPENLLLDSYGNLKVSDFGLSALSQQIKDDDLLHTTCGTPNYVAPDLLPPRLLRITTISDGRPQALLVTVSPHHTHTHTVEGEGSNPSMAAAPRVLVLPFHAQGHVTPLMELSHRLVEES >Et_7B_053744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11745060:11747785:-1 gene:Et_7B_053744 transcript:Et_7B_053744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKAPRPRPERAASRRLLGVGGLGIAAAAYVGVDYLRYLAPAWHDRLQPALWAALAVAAAARAPFYRHWAAELRAALPFLGSIAFMLAAFLCEAISVRFVSAVMGLQWHRSAAPLPDTGQWLLLSLNEKLPQTPGLGIATRYMFTMAIGRLLRTITFIATILPSARPWCAAARYQIPEHPHPWAQKYYVPYASDSSAIRRVITDDMAYAAVQAYPGEYRPDWGRMSFLVDILRPTLGEGPSWYHLLKKASGGCNDLMYSGHMLVAVLTATAWTEAYGGWISVAIWLLVLHSGQREIRERHHYSVDCVVAIYVGVLLWRMTGFIWGLLKEVELAGQERQGFSQRAILAFAAVTIMFTLSCVLLAFTMTSDG >Et_9B_065637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8486204:8489446:1 gene:Et_9B_065637 transcript:Et_9B_065637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGFRRDGVVRRMCVVLLCAAWLCSLAHGGRTTKAAPAYPSLPVRAACLGGWLVTEGWIFPPLFEGIPNKDLLDGTQVQFKSALRKTYITADQGGGGAVVANKTQASAWETFKLWRINETTFNFRTAGNQFVGIGASDGIIVATATTPSLPETFQIVRCPFDKNRVRIKAANGYFVQAIATGEVIADYGEPTKWSDFDASVFLMTTVGQQMQGEYQICNGYGTDKAAPVLRKHWSTYIVEDDFKFLSSNGITAVRIPVGWWIASDPNPPAPYVGGSLQALDNAFKWAEKYKLGVIIDLHAAPGSQNPWEHSSSRDGTQEWGKTDDNIAQTVQVIDFLASSLTKYYRAGYIAVRKHSPAAYVVMSNRLSSGNPTELLRLAGGLPGAVIDVHYYTMFNSMFDNFTAQQNIDFVRGNFSGELTAVTTQNGPLTFVGEWVAEWKVPNATKEQYQTYAKAQMEVYGQATFGWSYWTVKHVNNHWNLQWMINNGYISLKS >Et_10A_001629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6269202:6272887:1 gene:Et_10A_001629 transcript:Et_10A_001629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLDAAAASSSSILASPQTPLGHRTLSIAWRLRLRAASPGVAALRLHYLAPKCYITKVEVDVSNVNKEEAFDDHPSLAPSCSIPVVPFRGDVLDSTPFPLHDRASCPSNFEELPVLSEGEQQALASTPAHPAGLYALYASYLFGNLVEQLWNFAWPAALAILHPSLLPVAIVGFFTKFSVFIGAPIVGKLMDHFPRIPMYTALNAVQVTTQLISAGMVMYALRNVTHASTTAVVLRPWFIALVAAGAIERLAGLALGVAVERDWVVLLAGTNRPVALAQANAVLNRLDLLCETLGASVFGLLLSRYHPVTCLKIASGLMICSFPVLIMLGQLINNVSCHALDSSRTASDESICIDLLDIRKIVQNSLNAIRHGWNEYKQQTVLPASAATVFLNLNVALAPGAIMTALLMHRGISPSIVGAFSGLCSVMGLVATFISSSLVKKVGILKAGAAGLIFQASLLSVALTVYLAGSISQRTPLLLFLASIALSRLGHMSYDVVGTQIVQTGVPASKANLIGGMEVSIASLAELVMLAMAIIANDISHFGFLAILSVSSVAGAAWMFCRWLSNPTDEQRELFMFDPLYQVQEM >Et_8A_058440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7850471:7854065:-1 gene:Et_8A_058440 transcript:Et_8A_058440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSKEAPALPPPPVPELARPDLAKARALMCLCLASAWVGGAGAGAADLILAFGSVSVALAAFLIVFLGGILVSSVLVGVVGLLLLRAALRGADSVPKLMKACGPILRKMLLDIAMLEYIGALILLLPSAVGSLVLLSMESQLGRIGTIILHAGVLGSAAIAVFLVLPATTLKLWRMKPGGAEAAGSITLLKMR >Et_1A_006883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28628610:28634577:-1 gene:Et_1A_006883 transcript:Et_1A_006883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARFALNLKGVAYRYVEEEDLFGDKSDLLLRSNPVHKKVPVLIHNGRPICESRNIVEYIDEFFQDRSPRLLPPDPYDRAVARFWASYVDDKEAFAKCSKGKDYFSGESLGYLDLALGSLLFWFEATRRMFGLEIINASKTPLLAMWAVRMGENAVVKKVVPDMDKVVEYGNKRRAAAAAAAAAASAAE >Et_8B_059283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16130509:16133552:1 gene:Et_8B_059283 transcript:Et_8B_059283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLIRRLFPFLVGTAVGMYAAQNYKVPNIRHLADQGVDAAKRYEETYRKKKPGTDADAGTSGRKKKLAMGRMLRLLFPFLAGVYVAQNYNLPDLRRLAGRAVDAAKQYEEAYRKKANAAAGASGRRKKAQRLDMDDEEE >Et_3A_023527.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32785526:32785750:-1 gene:Et_3A_023527 transcript:Et_3A_023527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPSTDWGPIIVAVILFVVLSPGLLFQLPARTRVVEFGNMATSAIAILVHAIIFFCLLTIFVVAIGVHVYAA >Et_6A_046389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14173151:14174745:1 gene:Et_6A_046389 transcript:Et_6A_046389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLNEFTGASKTYPQQAGTVRKNGYIVIKNRPCKAVEVSTSKSGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDIKSGFDGGKDLILTVMSAIGEEQICAVKEIMGKN >Et_9A_062293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21621781:21623916:1 gene:Et_9A_062293 transcript:Et_9A_062293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPDDLLTDVLGRLAPFSLAASRCVRKSWCDIIDTRRLLRADLLPVRLDGFFLIKDVPCLTPIRHFFSRPSTGRKISSHLDFLRDEIPSPFVCGQRIIVTASSFSRRRLWSTLPHDSGCPCLPSRSHGSERRNSISTFSSHTIPWCRCTLSSLHKYTIEFKEESEWPPSPFTTYVFSSSRWRWEERSFVREGKAAGTIADMRCGFDEYVDPRFRHAVYLRGALYVHCQNDAVMRMTLWNDKYQMIKSPTKNNGSNQGVVYLGKSQKGVYCASIPQENSWPRLRVWLLNESSSEVEWILKSDVNLQTIVENFPSAYTDTCNMPWILNDNNDTCEAWTEDELEWDFERGIVLHEINDDKKTTTCNKSVLFLGFHPYKEIVFLKGSLSRVVSYHLNSSKVQELGILNRGYIVKSYPYTPSWTEFENNCLENVQ >Et_10A_002181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3239346:3244558:1 gene:Et_10A_002181 transcript:Et_10A_002181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKALAAIPEAITPTRKSERRSDTSDEDTMERGDKVKAARNLESSTAKDNHKFLEAKPSEPTCISRPISTRQ >Et_4B_036365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20278407:20279078:-1 gene:Et_4B_036365 transcript:Et_4B_036365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSAKADHHNHPHHLRAEAAFPATSAAVAAARAGDTPRPRPRLRRSSAFPPRRQARPPRRCGSERNLAQQHQQQHRGCGEVAGGTAAGCAAVCCCFPCVMVEVVVLATVRAPAALCRKAARARRGAGGRRRSASAGQAAEIYELLVDDGGVADAAAEDMAMPAKPAMEDSAELEKEVWARFYGAGFWRSPSQLGDENR >Et_7B_054456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21578232:21581184:1 gene:Et_7B_054456 transcript:Et_7B_054456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNRAWFGGLFNSSGKRRQVSAEKLFELSPLQEQRLQKLKERLNVPYNETRPDHQESLRALWNASFPDTELTSLVSEQWKDMGWQGVNPTTDFRGCGYVSLENLLFFARTYPASFKRLLLKQQGIRVTWEYPFAVAGINISYMLIQLLELNSARPKSLPGINFIKVLTEHEDAFDVLYCIAFEMMDAQWLAMRASYMQFKDVLEATKQQLERELSLEDLHGIQDLPAYNLLYK >Et_4A_032524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10999716:11001249:1 gene:Et_4A_032524 transcript:Et_4A_032524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCHQPPSSSSFSFICEGRTRGAEMSGKGKRRSARLLKLEEQKNDDDSTVCLLDPWQIIRNSISGSSSRGKRKRNEEVPSQGEASCSHQPLPAANSNNLSSQSSVGQIIEYILDELEMRDRHELFAMPDDIQVADYAERVNRPGDFATLRQKNKDGMYTALEHFENDVYMVFQKAMTMNSQDTVPFREAMSLLEQAKHVFMSLKSNQMYSESELAAWRQRHLDQLQQPITPARREGDMGVPSPHHPPTTPAQRPPATPRKKGSAEKKMQENAKNVGGALENQRARQRGGNKQINKGKKIRKGIKP >Et_9A_061121.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17024333:17024533:-1 gene:Et_9A_061121 transcript:Et_9A_061121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KTSEAQSKGHTGRTVLIVLLVVAAAILLSFFLFKYWQKKKREEQHARLLKLFEEDDDIEVELGLRD >Et_3B_028474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15894553:15897424:-1 gene:Et_3B_028474 transcript:Et_3B_028474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVATVWTLSAKLSPTSRFTIRASVDSLAPIDPLKQKLKVVTHVRQYKILTLARSLADESAENKHYQAIFSLIVVENNTGSWLTRPIFSLIHPRFILLMSTPSIKTSPACGSSRYMHS >Et_5A_041497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23627500:23628970:1 gene:Et_5A_041497 transcript:Et_5A_041497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDCNLTKEKMDSKMNSDHGDQGEEEVVLVGDEEEGDDMVLPGFRFHPTDEELVTFYLWRKVAGKRLSIEIIKDFDIYKHDPWDLPKASTISMDKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSAATGRAGGCIGLKKSLVFYRGSAGKGTKTEWMMHEFRLPPRPESPGSTPSSQEAEVWTICRIFRRSITYKKQPQITGTVATAAVAQPDSSCSITGSLESDTGDEYMNGLPPQAPVNNNVSDVYSSYQFQGQWNSDAVVPPVATACPQPAPAMAGAFHHGPAVQDDLYYKDGCNWDDIGMMVMELTDPSVFYDTTSYA >Et_7B_054170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16432099:16433790:1 gene:Et_7B_054170 transcript:Et_7B_054170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRLLTCFSCVLFLVLQNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKND >Et_3B_030401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3539588:3540022:1 gene:Et_3B_030401 transcript:Et_3B_030401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLFLRAVIGDGGDEGLASARTPKEGARHQPEKMRTMRFPPPRSVTLCSFGDGVAEEEAERWLVACLQWPRVDRKSAWMQISIFINVVLVVSKGQVTVPLHVQKR >Et_4B_038255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27620187:27622850:1 gene:Et_4B_038255 transcript:Et_4B_038255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTARAVACLLVAVICLSCAAAAAARSPAARLHRHLKRLNKPPVKSIESPDGDTIDCVHISRQPAFDHPFLKNHTIQMRPNYHPEGLYDESKSNVASTSDAEKPMVQLWHQGGRCPKDTVPIRRTKKDDLLRASSMRRYGRKRHTTANPMSVSPTMLNEGGHQHAIAYVQGDKYYGAKATINVWAPKIEQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNILCSGFVQINSEIAMGASIFPTSSYSGSQYDISILIWKDPKEGNWWMQFGKDYVLGYWPSFLFSYLADSASMIEWGGEVVNSQPDGVHTSTQMGSGHFPEEGFSKSSYFKNIQVVDSTNNLKAPKGVGTFTEQSNCYDVQNGNNAEWGTYFYYGGPGRSSSCP >Et_1A_005427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10243287:10245261:-1 gene:Et_1A_005427 transcript:Et_1A_005427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPAGRLVGAELKAGGAFGVKRVVFSVGKMSGAARRLLRKRLGSELDVVRGAIRKAELFPGADPAGKAGAAGSGEVGRVSAAEPQLLKAPAVEARCSSEAKRKKASSPLALGEQAEEASQTTPAEERERLARRLAALAAALPDRAVAFLQNRRAGDAAERHANGNGGEAGADMQSVNGGGLVQLKLLLDKFAPELTGRAALMEASGVSCSMSQQQQQEEEEDASGKIGGPVEKEGAAGAGGRVLQLQDIVKEYRELADALGGVVLHSPLSRKHVDLAEGDDLDVDICGDASPVVLPPPETVDIRRRSSPSSSSSSSSSGSSSSDSDMESSSGDPDSSSSDSGDSSTSSHPPGFPNKYNPSEQDEQQSSLKPAPETAQVAEPGEIQEQCSAPTVTISQPAPSVLPNENGGISAPPPVSAPEPEELQNQCATPTAHPIIIDIDTPSPVHKENGTSALFAAPEAVQSVEPEEELQHQLLAAVAAPTAHSTTTSPPAPAAAALPNGNGGPSPPPSPEAVAHVVDPEEEDPEGAPAADGAMPGLIAKAQEALKRRQREEARRELLEVERAALPDERIHPRDMERLGIAAFQHIVSTVEEDARRPREIGGGRVAPACPTVMQFLGLFLKPDDDDGSEEPEQEQEQGVVDMEVEEGEIQ >Et_2A_017904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7691858:7695888:-1 gene:Et_2A_017904 transcript:Et_2A_017904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKYANEKSGALGRGKLWLQVGKLEVKSQSQKKRGKLRSKKLYTARSAWFHLADVDKPRNKESTPCQSRSRRCNCCCVSSWPRELDGLHQQRRVVGEVGVAPAEGEVRHLELVRVGALRRLPPLREPVALQHPHHLRHLRPVDGKVLRAQQSHLHHLLHLDPVVAAVQALVYQHVQLLLLHGSLLVCCFAELQRGLARDQLQQQDAEAENISLLRRLASAEVLRGNVANRAPHCGGDMRVPVVKELGETKVTDDRLAVFIKEYIGCLDVPVDDLGVTLLMQVQ >Et_10B_003930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7756626:7758422:1 gene:Et_10B_003930 transcript:Et_10B_003930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRRRLSLVAALLLVVAAATRSDAGMTSAYRRKLEATEDMPMNADVFRVPPGYNAPQQVHITLGDQTGTAMIVSWVTENELGTSTVMYGAAPDKLEMRAHGTHTRYEYFKYTSGFIHHCTLKNLKHSTKYYYAMGFGHTVRTFWFTTAPKPGPDVPIKFGLMGDLGQTFDSNRTLSHYEENGGDAVLFMGDLSYADHYQYDNRRWDTWARFVERSVAYQPWIWTAGNHELDFAPEIGEETPFKPFTHRYPTPYRASGSTQPFWYSVKLGPAHVVVLSSYSAYGKYTPQWTWLQQELKLVNRTETPWLVVLMHSPWYNSNGYHYMEGETMRVQFERWLVDAKADLVLAGHVHAYERSHRVSNVAYDIVNNRATPVRDASAPVYVTIGDGGNIEGIADNFTRPQPAYSAFREASFGHATLDIKNRTHAYYAWHRNHDGAKVVADGVWLTNRYWMPTSDDSS >Et_1A_009601.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:8998667:9001102:1 gene:Et_1A_009601 transcript:Et_1A_009601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEAPGTGPTLPDEMLTEVLLRLPIKSLLRFRAVCRSWAATLSSDEFCALHMAADASSSAAAPPKLLLVAPTAAYDATALYSCSPSTRGGSGPSATPLLTLDDLRGDFVDGVAAQCRGLTLLYDAVAPAYYVVNAATRAATRLPPCQDVMYSSVGLGFDARAKEYKVMRLFMKPDEQDASCEVYTLGGGRGGGDRWRPAIGAVPPSLSDASELAVLTAATNNLPPVLANGSLHWQLDHEFVAMDPGAVAILTFSVADEIFGQVRSPPFGTLGMHLVELDGCLCAVRNLRHASPDGCSSTLEILRLQDYPAGIWFLDTRIDLSHHFTKSLQGPPLVARVLGAFGDGRSAQKIIVATSNHDVHAYHVMSRTWETILPIAETDISYRSNRTAIRVCLYKETLAPVHKTQEEMSISSPQAKATKEILLRLSVRSVTQCKLVCSQWRRFTEDKSFIHSYFAHKVTEKKTKIMLVGKGTGGSFFSFAPLDKWLPEADNKDTWLDTKVVCSKQCHGLNLLCTEKMDYLYNPCTGYHKTKIYPGSLAHAPWKTPSDCWRIPDHAFAIGNKNVGLGFNPIKQEHVVAIILYQFKDFKSREYRLTCSVWHCNYGSFQVGLVPPLPVNDMPPAYVAGMLYWMSDPALGPCSEHAIVSFDIASGAFDVISLPSHIAIWSSHFARRLFVVELEEMLCVVIADLVANELVVWKLEHGEWNRAYTICLKASPDYSLISNVVVPLAVDSKDGRVLLSTGSRVGFYDPVKQTIDELYATEKRRKKMNGARLDWSLAPCDKSAIPLVPMLYEESLVSYSRIRKRRYMR >Et_2A_015010.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:25952744:25952842:-1 gene:Et_2A_015010 transcript:Et_2A_015010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAVHEQARRRPVRTVPSRRRQQWRGSMSPR >Et_1B_013430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8375952:8380979:1 gene:Et_1B_013430 transcript:Et_1B_013430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDQPVISLRPGGGVGLRGPRLFPTAFAAATGAGDFLRPHSGISSGFAAKLGDSRFEPLERVRYTRDQILEMREIVDIAKEIIKLKQDIDVELHVEDQNWARSDSDVQAQSYNRHTETDNRDWRSRPQNSVSLSEEKSWDNIREAKETHASSWKQEQLSSQFPAKSQPGPAPALVKAEVPWSIQRGNLSDKERVLKTVKGILNKLTPEKFDLLKGQLIDAGITTADILKDVITLIFEKAVFEPTFCPMYARLCFDLNENLPSFPPEEPGGKEITFKRVLLNNCQEAFEGAGNLRAEVERLTGPDQEMERRDKERLVKLRTLGNIRLIGELLKQKMVPEKIVHHIVQDLLGSDNNSCPAEENVEAICQFFNTIGKQLDENPKSRRFNDVYFNRVKELAMNAQLASRLRFMARDVLDLRSNNWVPRREEIKAKTISEIHSEAEKKLGLRPGSTANIRNVRTGTGGPLSPGSFPMNGPGTGGMMPGMPGVRKMPGMPGLDNDNWEVQRSKSRSDPSRSHTQLVNKPQSVNSKLLPQGSGALTSGKTSALVGSGGPISRPSSFSTTVTPAPTARESLVPEPSVAPVVEKPTAAPKSNSAGLQKKTISLLEEYFHIRILDEAQQCIEELKSPDYYPEVVKEAINLALDKGANSIDPLVRLLEHLHIKNIFKAADLGTGCLLYGSLLDELAIDLPKAPAHFGEVIGRLILSHCLGFGVLEDTLRKMEDTFFRSAVFKAATKTIEADPSDQAILSSHAAEIDACNNLLS >Et_1A_008529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8459939:8472470:1 gene:Et_1A_008529 transcript:Et_1A_008529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGETMSSARGIILVGAMYLALGCLLAAVAPASVDASSAGDGGGGLLHIPSNASLAHCPSNCGDVDISYPFGIGPGCFRQGLELTCDHTAQPPQLFLGHSTTQITDLQLSKYGTYAVIPMFINITMEPGMNTYNMSWEWEAPAKGITIDADYNNFYVLGCDLDVNLFDYVGNPVGSCMSRCHGEKVMPNGGPCNGVGCCFISLTNDMIGFRATFVRAVGIAAQSEPPHSRIMAFMSEEDSQNMTVLFSAWTNASNVDGAYLEIAIMDQPSCESALRNNASYACATDSQCSNASYGGYYCYCSTESATNNPYLSDGCQDYNPDHKEHCPTSCGSMDIPFPFGLKEGCYANKRFQLNCTSDNITVFSSQIAQYRVSGVSVENGTLTVGSLLNNASLDNEVVFAVAEDDGRIVAGVYVENLLDFSMAYDIVIRWAVTNLNCLEALQKNTTTKYACRSTDSYCLNVTHGKLFMGYRCKCSSGFNGNPYIEDGCKDIDECLLPGKCNGTCQNLPGSYSCTNCPHGKEFNPIKRRCVTPTKQRNILLGIAIGTGCGLGSVIIALDIILLTKKWRKDIQKRIRRAYFRKNQGLLLEQLISNENATSKTKIFSLDELEEATNNFDPTRILGRGGHGTVYKGILSDQRVVAIKKSKIVEKIEIDQFINEVAILSQIIHRNVGKLFGCCLESEVPLLVYEFVSNGTLYDLLHLDVSSKCLLTWDDRIRIAVEAAGALAYLHSAAAIPIFHRDVKSSNILLDDSFTAKVSDFGASRSLSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLTRKKPIFINDSSAKQNLSRYFVEGIQEGALMEILDTQVVEEADQEDIDDIASLAEVCLKVKGRERPIMKEVDIRLQLLRTKRLRKAQKLPEYNGEIEPLLCPDARSHRQMNSVKAAPLKPQGTSGCYSLEQEFSDKMTGETMSSARPGVIILVGAMSLALGCLAAVAPTFVEASSAGDGGGGGLLHIPSNASLAHCPSSCGDVDISYPFGIGPGCFRQGFELTCDHTAQPSKLFLGNTTTQITEFSNNAPIVPMFINITMEPGMNTFNKSWEWEAPAKGITIYQYNTLYVIGCDFDANLFDNVGNPVGSCISRCYGEKVMLNGGPCNGIGCCYISLINDMIGFRATFARADGMAAQSEPLQPRLMAFMSNTEIYQNNATYLFSGWTNVSNVYGAYLRVAIMDQPSCESALWNNASYACASDGQCVNASFGGYYCYCDGYSTAGPYLSEGCLPVYNPRHNEHCPTSCGSMNISFPFGLEEGCYANERFQLNCTSGNTIFSIEDIQYNVTNVSVEDGTLTVSNLRNNASSREEALFIESHSDSFGSFFRSSPIEEQFDFSVEYDDIVMGWAVTNLTCQQAKQNHITTYACRSLNSYCLNVTHGNIFMGESVHTRWLHRFIPPSFASNMNYSITALRLIMPAKVEKTHQQFTQSRIVVVGLKEFI >Et_7B_054148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16052501:16056043:-1 gene:Et_7B_054148 transcript:Et_7B_054148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGDGGASKAGDLRLLLPFTHSSLEIGSDGEACLVGPRSRIWHVKLEHDGDGAFLGRGWPEFAAACGVGEGWLVVLRHRGNGLLTIKALPAAALPSSVRHRMLQTISYSFHAAAVPRKAYTRTQESSMVSTKKASSWRKSFYRTVPPFMIRREINANTLNKELSLSKSFCDAVKLQGTSTIKLRTSRNNTEWQVSGHPQKNGSYIIRQGWRRFCLDNNLKEGNISTFHVKEPTLWVLVIITRSEEYHETPSASSRKRKREYDRSSSMGQRTLLRCVFNSMQPGAWMEKKVDTSTAAKTLYLTQSFCEGIGLSEPCIITLKTSMRSVMSWKVRVVPYKNSCHHVSGLGWRMFYKDNGIKLGDVCTFTVIERTLWLAVVTPSIT >Et_1B_010900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15255622:15258308:1 gene:Et_1B_010900 transcript:Et_1B_010900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LVRQPLKHTPAMSGSGYMGVVVIIVACCVAVIAMVACFLLLRALRRSKATEASLQSSLLRQKEALQQAERKSLNKTNAFAGASHDIRSALAAIKGLVDVSRAEARTNPQMMRNLEQMDVCTKKLLGILNSVLDTSKVESGRMQLEDVEFNLACVLEESMDMINVVGISKGLEVVWNPCDLSVLKCGNVIGDCRRLKQILDNVLGNSVKFTQDGHVVLRAWANRPIPRSSNNVPSRFVFPGPLGNLLCFFKRRQHHAHRHSFSSVQNDPNSVEFYFEVDDSGIGIPKEKRELVFENYVQINEGQGGTGLGLGIVQSFVRLMGGEINIKDKEPGETGTCIGFNVFMKMGVIEEEHDLEQGRSVPSVQRSKYHICASSFREANSFEGGQCVLLVHGDQTRRILKAWMENLGLEVWLVSEVELLSSTLEKVCHVNASLARISSDSFECRTDQCFMPRDTAKQILPMSLNNSSSCKRSIPSGQFSGVLLIIDAHYGKMEEICSEINFADMRNQIPFKFVCLADKKTSSTDLGRLSHTCCDLVLQKPIHGSRLHDLLTVLRDLKVSHTKQPSTHANPDGIGTSTPGSSGAGTSAMIAHSAPEAEVEEDKPLTGTHVLLVEDTLTLQMVGKKILNHLGATVEVAEDGSKALSMFSATLDQADDSETKDAIISTPYDVILMDCQMPVMDGYEATKRIREAESSYGIHTPIIALTAEAMEEERQKTILAGMDLHLTKPMERRSIVQAIRRVCSDHN >Et_4A_035104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9537710:9541353:1 gene:Et_4A_035104 transcript:Et_4A_035104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CGETKEAAGKLRTPLPQFKPTTPVRLPVPSCARAFASLHLPRHPAASGRNHPFHRLRSPDPAMARSVVPQAVVLGLLLLAGLAAAQNRTAPAPVAPAPAPEPDCNGILLTYNFEGRTKIRPFVSDRNKQPYAFRANATVLNSGTRPLKSWAILVTYAFGEILVGVDGAVLTGGGELPYNTTEHAGNATSFSGYPQTDLLTPIATAGDLSQIQASVGIVGTLFAGPGASFVPLPTALSLDDPAYACPKATNRSASILSTCCVLTPEAEANATVIDANATDPTKNFLPRGTGDLVITYDVLQAYPSSYLALVTLENNAKLGRLDNWRLSWEWRRGEFIYNMKGAHTSEVDTSGCIYGAAGQYYKDLDFSQVLNCDKKPIDHCCRNGTILPKSMDETQSKSAFQMQVFKMPPDLNRTKLFPPANFKIAGASSLNPEYTCGQPMPVSPTSFPDPSGLDSTTLAVATWQVVCNITTTKGAKPKCCVTFSAFYNDSVIPCNTCACGCPANRRGPTCSTTAQSMLLPPEALLVPFDNRTQKALAWAELKHYNVPRPMPCGDFCGVSINWHVSTDYNKGWSARVTLFNWEDVDMANWFTAIVMDKAYDGFEKAYSFNATAVGNNTVFMQGLEGLNYLVKQTNMSGSDYLVPGKQQSVLSFTKKLTPGIDVVAGDGFPTKVFFNGDECAMPQRIPMHNGGFRTHLSQVLALVLSFENEEHNINEGSLRILFPSKLQLQSSDGPRIPT >Et_9A_062896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6374701:6387152:-1 gene:Et_9A_062896 transcript:Et_9A_062896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAGMRDGRITTWNFSSKEICVECVISLSVSTTSLFSAHLRRPPKYVSFQGWAHIIYYLAPWTRLLRSGLSEFGSLEMQHTEEQAAARGSRSASASAAPVSGVAVAAPFSSGVGEAAREHLGRRHERKVWRRL >Et_6A_047950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25259535:25261087:-1 gene:Et_6A_047950 transcript:Et_6A_047950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLRWPSGARVSSGSASSRQISDIGGDLLAMKCRHLRSLAISYLVRDGAARCVRGGLKKVKMLGGLRSVLSPELLQMLQACGCRVRWIDKPLVYKG >Et_2B_022308.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17569771:17572641:-1 gene:Et_2B_022308 transcript:Et_2B_022308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLDAAASRLARLVPLALFALLLLLLASPCHCVNEQGQALLRWKESLRPAGGALASWRASDASPCRWFGVSCDARGDVAGLSITSVDLQGPLPGNLQPLAPSLRTLVLSGTNLTGAIPKEVGDLGELTTLDVSKNQLAGAIPPELCRLAKLETLALNSNSLRGAIPDGIGNLTSLAYLTLYDNELSGAIPPGIGNLKSLQVLRAGGNAGMKGPLPPEIGGCSNLTTLGLAETGVSGSLPETIGQLRKIQTIAIYTTLLSGRIPESIGNCTEITSLYLYQNSLSGPIPPQLGQLRKLQTLLLWQNQLVGAIPPELGQCTQLTLIDLSLNSLTGSIPATLGALPNLQQLQLSTNQLTGAIPPELSNCTSLTDIEVDNNLLSGEIRLDFPRLRNLTLFYAWKNRLTGGVPAGLAECPSMQAVDLSYNDLTGPVPRALFGLQNLTKLLLLNNELSGPVPPEIGNCSSLYRLRLNGNRLSGAIPPEIGNLKSLNFLDMSENHLVGPVPAAISGCASLEFLDLHSNALSGSLPDTLPRSLQLVDVSDNQLAGPLSASSIGSMPELTKLYLGRNRLTGGIPPELGSCEKLQLLDLGGNAFSGGIPPELGSLPSLEISLNLSCNRLSGEIPPQFAGLDKLGSLDLSRNELSGSLDPLAALQNLVTLNVSYNGFSGELPDTPFFQKLPLSDLAGNRHLVVGSDGSSSRRGAVSSLKVAMSVLAVLSALLLAAATYMLARTHRRGKMVHGEEGGAWEVTLYQKLDIAMDDVLRGLTSSNVIGTGSSGVVYRVDTPNGYTLAVKKMWSSTDEAASQAFRSEIAALGSIRHRNIVRLLGWAANGGTRLLFYSYLPSGSLSGFLHGAGAAKGADEWGARYDVALGVAHAVAYLHHDCVPSILHGDIKAMNVLLGPAYEPYLADFGLARVLSAASSNKLDSAKPTRIAGSYGYIAPGPNFRYAPLSYT >Et_7A_050446.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15774260:15774454:-1 gene:Et_7A_050446 transcript:Et_7A_050446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKPFVVEFKEVKIKHCRRGQNRVAHTLASHVCVTGMCAVWVRHASSHVSHLIDADCNSILI >Et_4B_039892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5337300:5341464:1 gene:Et_4B_039892 transcript:Et_4B_039892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPRRLAALLLFLLLAAASPAARAWKKDEFRNCNQTPFCKRARTRAPHSLDAPLSLAAGSLSVGPDGSVSAELSHPSRPRPLVLRLSALLPHALRLQIDEDYSTSPPPHRRFHVPDVLLPDVEARRLYLPEPKTAGGVSTVALSSDLEVVVKHDPFELTVRRAGSGDPVLSFNSHGLFDFEPLQVSKPEGETWEEQFRSHTDTRPRGPQSITFDVSFHGADFVYGLPEHGSTSLALRPTRGPGVEESEPYRLFNLDVFEYLHDSPFGLYGSIPFMIGHGARASSGFFWLNAAEMQIDVLAPGWDDAAAPKNGRIDTLWMAEAGVIDAFFFVGSEPKDVIKQYISVTGTPSMPQQFAVAYHQCRWNYRDEADVDGVDAGFDEHDIPYDVLWLDIEHTDGKRYFTWDRSTFPNPEEMQRKIADKGRKMVTIVDPHIKRDSSFHLHQEATEKGYYVKDATGNDYDGWCWPGASSYPDMLNPEIREWWADKFSYEDYKGSTPTLYIWNDMNEPSVFNGPEVTMPRDALHYGDVEHRELHNAYGYYFHMATADGLVKRGQGNDRPFVLSRAFFAGSQRYGAVWTGDNSADWDHLKSSIPMVLTLGLTGMSFSGGYNYFTSSLSNRDAKSFTNVWSFDREASVNGIPVMRPLWLEFPDDKETYNNGEAFMVGPSLLAQGIYEEGQKYVSVYLPGKESWYDLRNGSPYKGSASHKLQVLEESVPSFQRGGTIVPRKDRFRRSSTQMVNDPYTLVIALNSSRAAEGELYVDDGKSYDYQQGAYIHRRFLFADNKLTSFNVAPDNLGKKKFTTECVVERIIILGLSSGAKKAIIEPGNHEVEIELGPVSLRSGSSPVAPTIRRPNLNVQSIIYGHTMFMELAKFTTTQCRK >Et_5A_042786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4321284:4324989:-1 gene:Et_5A_042786 transcript:Et_5A_042786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLQLPDQLRTLNVEVISTCRNTFELPKSSAPPGSIPHPPLQDAVLSQNYLFAPLIKPPRDQVEETEEDDEGPNPTSSTEQELIVLKVNRTEQAIYLSPGLVHVRRIAALLDAYSWRRFAAVSVQLDGGATIAGALPPARPVLLLLHDLPRRGSGGSPLARQVPDLLFFVGSRRVVGGATGRGGAAGRVPAACFRTWPAPATSGSWRG >Et_8B_059293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16253896:16254226:1 gene:Et_8B_059293 transcript:Et_8B_059293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFENRDFRAMFLVAIMVQAMVLASYPAQGLNVNCVDLKGIECNQDTCSTACKGLGYVDPVAQCKTRSTCCCLVKCCGRKMA >Et_10A_001974.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:1586142:1586525:-1 gene:Et_10A_001974 transcript:Et_10A_001974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPACVSHSQSTDTCLSRSAYGKQHVSNPTPPKQASPPCTGVARREEEGEKMKAAGTAAAPAPAGDAARCAVCQADYADGDELRLLPDCQHAFHRGCVDQWLLRRPTYPVCRASPSPARSNEERGR >Et_4A_033321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21456570:21464368:1 gene:Et_4A_033321 transcript:Et_4A_033321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKALMDARKQIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKAETRDWLNNVVSDLENQIDNFEAELEGLSIKKGKQRPPRLVHLEKSITRHKAHIKKLESILRLLDNEELSPEQVNDVKDFLEDYVERNQEDFDEFSDVEDLYSTLPMEKVEALEDMVSLAASTLVKGVASVTTSAVLSSKSSVTTSSTQPTVSTAASQGTSQDQTEETVSQESNPESAPQTPPSKGGNLGPSVPVVPIAVTTGTMPASVSTDTISSPVRPTVPATAAAVLSGSATARSASESTPAVTSIAANLSSSLKDDDSMNFPPRRPSPAIELGIGRGIPRGITSQTLGSAPISIGPLPGNGSLVSPHGNKVQPQPGLRTNDAASSEPANANENTILGTRVFSPPVVSGVQWRPQTGAAFQNQSETGQFRGRPEISADQREKYLQRLQQAQQQGSLLSNSHITGINQKQFPTQQSNPLLQQFNSQSSSLPSQGNLGIGVQGPDSGHIKSEEQQSLAEDVAMESAATTGANKHTSEDDTKIPFSNPSASTAESTQLSRDTDLSPGQPLQHGMSSSVVGVIGRRSVSDLGAIGDNLTGTAASSSHDHIYNLQMLEAAYHRLPQPKDSERAKNYVPRHPAVTPSSYPQVQAPIVSNPNFWERIGSDTMATDMLFFAFYYQQNTYQQYLAARELKKQSWRFHRRYNTWFQRHVEPQVTTDEYERGSYVYFDFHVTEDGSGWCQRIKNDFTFEYNYLEDELSVQTN >Et_8A_056150.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:6263518:6263949:-1 gene:Et_8A_056150 transcript:Et_8A_056150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLRLRQQLGAASRPQISQLLHDVDHGRPLARLLGCAPERHRQDLAHLLHLHRAEDFFHEVRVAHGLDLVGAVQHEGHPADDVHVVRERRIHCHLPGEQLEHHDAVAVHVGLDAAPGGERQFCMTEHRQALGNQSIFEVHT >Et_3B_029726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27437416:27439354:1 gene:Et_3B_029726 transcript:Et_3B_029726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGLGRACNPGSFCRRTALPSPTLRSRSRSGRSLASGRAVRTNASATRSVQMAGAQQSEEQARTVKLRAVEATPESFAPFGQVIAASPDGDQFGPHDAQLDLSRGIPRFYIMRLENRPLQFSSITHHASVTQCLGSIGGHDWYLGVAKPSIVEAPEQSGGQEEERKPVQSRAGHYYLPPDPAEVCVFRVSGPKFLKLHKGTWHAGPLFKADAVDFYNLELSNTNVVDHTTHHFKKQDGITFVVKD >Et_2B_022294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17122710:17127820:1 gene:Et_2B_022294 transcript:Et_2B_022294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLDHGSHGSTHQEQRQTLNIFPSQPMHVEPSPKGEISLVLSPAPVGSKQPRSPDHLHHHQQQAAMEELAGSRRQDHNHLQHQPFAGAGADQGTTAAGIKDVKPLAKKEHKRGASTAERDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLAQLEQELHTARAQGVFYPSNGLLADQGVAGKGIPIGGIDGLSSEAAMFDVEYGRWQEEHYRLMYELRAALQQHLPEGELQMYVESCLAHHDEMMGIKDAVIKGDVFHLTSGVWRSPAERCFLWLGGFRPSEVIKMLLSHVEPLTEQQIVGVYGLQQSALETEEALSQGLDALYQSLSETVVSDALSCPSNVANYMGQMAAAMNKLSTLEGFVRQAENLRQQTLHRLNQILTTRQMARSLLAVSDYFHRLRTLSSLWVTRPRVPQDQQQGHT >Et_4A_032817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14242313:14247399:1 gene:Et_4A_032817 transcript:Et_4A_032817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAPPLCTWLVAACLSAACGDGEKEQQRRHGVGGLFGSRRRLGFRHRGGARSGMTMAVALHPQRGAVENKKTDVKRRRVVVTGMGVVTPLGHDPDEFYNNLLQGLSGISEIEAFDCSNYPTRIAGEIKSFSTDGWVAPKLAKRMDKFMLYLITAGKKALENAGITEEIMNELEKSRCGVLIGSAMGGMKVFSDAIEALRVSYRKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRKGEADVMLCGGSDAPIIPIGLGGFVACRALSQRNNDPTKASRPWDVDRDGFVMGEGAGVLVLEEFEHAKQRGAEIYAEFLGGSFTCDAYHMTEPHPEGRGVILCIEKALEDAGVAKESINYINAHATSTQMGDLKEFEALLRVNSTKSMTGHLLGAAGGIEAVAAIQAIKTGWIHPNINLDNPEKNVDVSMLVGPQKERCDVKVALSNSFGFGGHNSSILFAPFK >Et_9A_062655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2967155:2969029:1 gene:Et_9A_062655 transcript:Et_9A_062655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGGLRRLLAAAATAGAAEARAAIFGHALNPTGKRAATKLMRKKMVGDQVAQWYPYDIKRDDPLVMAREEKERLSKLEMLKRRGKGPPKKGQGRRAVKRSK >Et_7A_053175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9031755:9033989:-1 gene:Et_7A_053175 transcript:Et_7A_053175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPSLRIRDSFKHGYLQKLELNLGRMKCEKRQGGQGQHQDGQQGPPDCDGKAPLLEDRSPSGSFVAGALELAWDAVLLFTCCCCCFCCGGRVRLPFLSDAPSLVLH >Et_2B_021939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7019444:7025585:-1 gene:Et_2B_021939 transcript:Et_2B_021939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRRRRRAPSGLRALMHSSNLDAHLYNKSENVLTWPARHSAALGVGPVLLCVPALHEEAERRVVHRDVKPSNVMLDASFNAKLGDFGPARFCSPEIPHVAPDRDYERVPPDDRAAWSLLLGFITPSASNNCLLRLRRDEALEILDDLDYGSQNQSARICASAVTPCPDSSTLSLCLFFREFGLDRPSKKIEPPIPVELHLDLNTSRITRSPLPNLAQDPLMPACPISAGAHLWAPYFTQRCPPQLMMRRLDKEFKKWEEVGEAFLHRTLNCAIHGYAVPRHFFLAFDCSTLKWTVVTTIGSQETDYIPILDRGLYVEEENTIYFLREGTVYAYNLCVDQDEHLYSMAPPTVVNHVFPFRSKGYGFLTYLGCRIMCSVWIGVPSRYGELRCNCDALHMLITTFRVMGIKESNGKPFVPKGVQVLHSTCRRLDKLPSVPSDCRFYFLQKYWNELPFVDAVRLEGSAIQINKALYIICQDDLSSTVYEVTVSGGRLLCQNKTLTKCCVIDSFPRDDAHDPMKGPRPWHFVYYSTYIFAFPYGKSEMYRCGIEMGTVELHHAKKPSKDEHVSIALVLRVGDKIVAISDSLDHVYVLHYGNWEYLMICHPVDSGRKIEISGYVVLNDESFMVSDAKSYNNTRIVVQPYSEDSSFLRTSVKGLLSGRSRVLFTRVFGGLAAYEIFEAEDSFYIGSQIKLDIPWRKEWKRESMCLDYLGEDEKSGAVIFCVVQGDTYYPRPGALHKQPVWITTIQVKTERMPNDKLKPVVIGHLDVSTSFTERDEGRVWTTNCFVDT >Et_9A_062793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:563193:564620:-1 gene:Et_9A_062793 transcript:Et_9A_062793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARSSSSSKQSRAQAQQQQQQNGGHALPSKLARYLDPEASWDKDQLLDAVHWIRQALGLICGLLWGAVPLVGAVWIALFLAISTGIVYWYYAYILNIDEEEYGGHGALLQEGLFASFTLFLLSWTLVYSLAHF >Et_2A_018080.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:67533:67997:1 gene:Et_2A_018080 transcript:Et_2A_018080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSAAVTAPSFAAPARAVARRASSLSVRASLGKAAGTAAVAVAASALLAGGAMAQEVLLGANGGVLVFEPNEFSVKSGETITFKNNAGYPHNVVFDEDEVPSGVDVNKISQEEYLNAPGETFSVTLTVPGTYSFYCEPHQGAGMVGKVTVN >Et_1B_010575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11781535:11784601:-1 gene:Et_1B_010575 transcript:Et_1B_010575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLKAARVADVPSLDVVAPGLVVAAEEASPAAKKNRGAAAGRFSVIGHRGKGMNALASADRRLQEVRENTVRSFNDAARFPVDYVEFDVQVTKDGCPIIFHDDFIYTEEDGNISKKRVTDLQLEDFLQYGPQKEQGKGGKPLLRKMKDGRMLNWNVQSEDALCTLQEAFEKVNPRLGFNVIFEYAKDRPVLFSSFQPDAAQFMRKLQSTYPVYFLTNGGTEIYTDVRRNSLEEAIKHCLASGLQGIVSEARGIFRHPAAIPKVKEANLSLLTYGTLNNVPEAVYMQHLMGVNGVIVDLVPEITEAVSELIAVPEPDTEVEGLSNQPAKVAATPNFSQREISFLLRLIPELVQ >Et_1A_007859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3924365:3928739:1 gene:Et_1A_007859 transcript:Et_1A_007859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSSASKRNKRKRGRKPKASAASPERSSPSPPPASDPVPAVGGRRGRKSRRQEAPADADASHPVSPPRRGELKPVANGGDAIAVVEAGPASWEEVVRVVPCMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHHTQVKLKKRGSDTKYLATVLAIGTECDIALLTVSDDEFWEGVSPVEFGTLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFSDRGKCVGIAFQSLKHEDAENIGYVIPTPVIKHFIQDYEKSGEYTGFPILGIEWQKMENPDLRKSMGMKPDQKGVRVRRVEPTAPESGCLQPSDIILSFDGVDIANDGTVPFRHGERIGFSYLVSQKYTGEKALVKVLRNSKVHEFKIRLATHKRLVAAHVKGRPPSYYIVAGFVFAAVSVPYLRSEYGKDYEYDAPVKLLVKHLHAMAESPDEQLVVVSQVLVADINIGYEEIVNTQVLSFNGQPVKNLKNLVTMVENCKDEFLKFDLEYDQIVVLETKTAKAATQDILTTHCIPSAMSDDLKS >Et_7A_050558.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:24626160:24626354:1 gene:Et_7A_050558 transcript:Et_7A_050558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLILTVAMRWTRFVILVLQLIMTRLLQLCVESRVALMTTWHQRCIRQGALAPLNLTCGLWVL >Et_3A_024920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25314939:25316185:-1 gene:Et_3A_024920 transcript:Et_3A_024920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSNTSVHHAVPDEYIMPLQKRPGNDELVDPTVSLPVIDLATGRHLVVDEIIEAGKEFGFFQARTSTSTTETTIIIHCFFVGLNAWIVANKQVVNHGVGDDVVTAFRSAASEFFAMPAEEKLSYYSNDLSKPFRLDTSTAYVDVDRSPRYWRDYLQLQCFPVDRFAPDWPAKPDVFRGNLAAYAGAVQQLAATVLGFVAEGLGLDENFFRGKLSSGGTLMNVNWYPPCPDPSLTLGLLPHCDRPLLTVLLQGDVSGLQAKHRGRWITVQPVPNAFVVNFGHMMEIVTNGFLHSVEHRAVTNSSAARMSVVSVIMPEMDSCIEPAAALVSDEQPAKFRPFLFREFNESYADVAANREDVLRRFRIHPNPPGGPLE >Et_3B_027640.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:9200812:9201322:1 gene:Et_3B_027640 transcript:Et_3B_027640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIF >Et_7A_051966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3229763:3231600:-1 gene:Et_7A_051966 transcript:Et_7A_051966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAFLDQRRPSFKRRWQQRPWWVRLVLSLLLALACVLLLAVLLGSPDPGAHSSSSSTVSSGSEATSSPLLRQRSYLEGITDGLNMTDEMLSAHSFSRQLMDQISLAKTYVVVAKEANNLQFAAELSAQIRRTQSILSRAAANGGTVVEEEAEKAIKDMSVLLFQAQQFRYDSGVTIMKLKGQIQSLEEKSKAEAEKSTKYGQIAAEELPKGLYCLGVRLTMEWFKSPELQRKFSDRSPAVQSNLRDNNLYHFCVFSDNILAVSVVVNSTAVNSKHPEKVVFHLVTDELNYAPMKAWFAMNDYRGVIVEIQKVEDFTWLNASYVPVLKQLQNSATQKFYFSGSGNRGTPIKFRNPKYLSMLNHLRFYIPEIYPDLHKVVFLDDDVVVQKDLSELFTINLNGNVMGAVETCMETFHRFHKYLNHSHPLIRAHFDPDACGWAFGMNVLDLVEWRNKNVTGIYHYWQERNADHTLWKLGSLPPGLLAFYGLVEAVDPKWHVLGLGYTTVDPATIKEGAVLHYNGNMKPWLKIGMEKYKSFWDNYVDYSHPLLQRCFMR >Et_7B_055456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11025900:11028277:-1 gene:Et_7B_055456 transcript:Et_7B_055456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQFADSASNVVIEEVNKGLNPGMIVLLVVASFLLLFFVGNYALYVYAQKTLPPKKKKPVSRKKLKREKLKQALKGSRAANNTLSAQRHVNPSSPAAR >Et_1A_005754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13573065:13574446:-1 gene:Et_1A_005754 transcript:Et_1A_005754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTDRFLSRFFMIPICFRSSLLVLQNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >Et_5A_040474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24772980:24774008:-1 gene:Et_5A_040474 transcript:Et_5A_040474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVQAATTGAAAAPPASSDVVEDLFGFLRVLGDGTVLRSPAGPAFCPTTFPESHPSVQWKEAVYDKAKNLRVRMYKPVASGEAGKTKLPVLVHFHGGGFCLGSCTWANVHAFCLRLAADAGAVVLSAGYRLAPEHRLPAAVDDGAGFLRWLRDQSAANNASWLAEAADFRRVFVTGDSAGGTIAHHLAVRGAAGELDAVVAVRGYVLLMPFFGGVIRTPSEAECPAEFWRLSLPAGATRDHPVANPFGPESPGLDAVEFPPRLAAMGKPVELAEFAGEPHGFYTLDPGSAATGELIRAVSRFVDAASKADA >Et_4B_038754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4643371:4646614:-1 gene:Et_4B_038754 transcript:Et_4B_038754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVPPTLPRSSPASAVAVAGAAKGRRRKVAARLRTPAAALGGGGGMEQHCGDAPRIPVKEKPVMSNIGKSTNVLWHNCPIGQSDRQNLLGQKGCVIWITGLSGSGKSTVACALSRELHYRGHLTYVLDGDNLRHGLNRDLSFKAEDRAENIRRVGEVAKLFADAGIICITSLISPYRKDRDACRALLPDSRFIEVFMDLPLEICEARDPKGLYKLARSGKIKGFTGIDDPYEPPLNGEIVIKIANGECPSPKAMAKQVLWYLEENGYLQP >Et_5A_041360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21796972:21798178:1 gene:Et_5A_041360 transcript:Et_5A_041360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPALPEELRSSPDDPARLLGAALVCKDWCRLICDPGFRRRFRRRFREFHHGTLPLLGIIYRGGEASTTHGSWNIVTKTSFMPLSTFTLPSACKISSRDAIDALHSRILFCDTEEDSRGPSTWMEFVVWSPMPSQVRRLPLLRLGRRRIRYTWAAALLCAAAGCDHLDCSSGAFAVVFVGTESILGLTTSAYVYSSEQDVWSEPVSTRDRIVEGICRPSARVGNTVYFLCEESAKLLAFDLRKQQLSFVGIPPMCWNHSFTAFTIADDDGGKLGIAAARDSKLATWSREAAGSVDGGDAGWTQTRVFELDKLLPSCTLSSEYDLYATTNNHGVIVVKGHDVLFAIDLKSGNVTKNTFMIHGCDLEHVYDVVLCMGFCTPQCSKLASGHATTVPLSSTNT >Et_2A_018490.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:338820:339365:-1 gene:Et_2A_018490 transcript:Et_2A_018490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGGGVERLFEKVVTPSDVGKLNRLVVPKHFAEKHLPLLGPAARAGTVLCFHDARGGHATWRFRYSYWGSSQSYVMTKGWSRYVRDKRLAAGDTVSFCRDGARLFIDCRRLRHVKLPAQAFVTNNKVPAPPAGVVEEVAQRGSRRLRLFGVDLELRAEPLLLDLQLALTTPIIAPPRVA >Et_4A_032484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10562639:10576599:1 gene:Et_4A_032484 transcript:Et_4A_032484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAHHLWRSHRIDQFDLIRLPPPIELDSRNQRKKRRSMDPRLPAIGVPAVRLASRLQLATFFSTASASPSWRRSGRHGCTGPPPALMVDVRVVIRRHFPVHSPCGTRIVVEKVAEDIAMRRRHSRQLRQPERVDRALAKDLLPLVRHPFDRNAVVAAGKEICAHVAAACADPRIAHGGAHVLVLIDTFACPVVFRRTAPSNKPVPRVVFAAPKSFVVVKTADPCTVPEEKPKPVGVIGDKRPKPVGVIGDKRPKPAAEEMFKGWVPWKRRSMDPRLPAGGVAAVRLASRLQLATFFTSASPSPSSRRGGRHGCTGPPSALMVDVRVVIRRHFPVAGLGGARIVEKVAKDIALRRRQSRQLRQPERVDRALAKDVLPLVRHPFDRNAVIAAGKEICAHVAAACADPRIAHCGAHVLVLIDIFACPVVFRRPPPSNKPVPRVLCAPPKSLVVVKTADPCMDIEVTVPAEKPKPVGVIGDKRPKPAAEMFKGWVPCSIQETKGRGAAAWIYVFPPAAFQRCVSQAVFSSPRSSSRRQRRVRRAAVAEDIALRRRPARLLRASENVDRALAKDVLPNVRHPFDRNAVVRSGKEICAHMAAACADARISHGGAHVLVLVDTFASPVVFRRLPMQRVVCAPPKIVAVVKTANPSEDFKNTVPTEKPKPVGVIGDKRPKPVAEEMFKGWRFVSPAVFSSPRPSSPRHRLRRAVVADTAAQDRAPGADGGREGRHTTTLSRPRPGRRSDRQEGRRGYRPATASVAPAVRARERGPSSKDVLPLIRHRFDRGAVVTAGKEICAHVLILIDTFASPVVFRHPPPSLPMQRVVSAPPKSLVVVKTANPCMY >Et_8A_057713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6347801:6354375:-1 gene:Et_8A_057713 transcript:Et_8A_057713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAMGLRRDIPVPRPIILRKHSAHYLAYQASPPLNPNSPTRSAGESPARRRRVLAGRTLPPPSAWPRPQPAVALLDRKHFALKALPHPNALAWASQARRLFLAGAGAPARSFHAQPYQAKVGVVDFLNGMGKGVETHAAKLDQAVGGDLQWLLETRTLRLKKLGLLGIPCKHQLNIICKPPTSCNFTCGTEWTKTASADLDCSP >Et_6B_050187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9518010:9522125:-1 gene:Et_6B_050187 transcript:Et_6B_050187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALEAEKFWSMAQSTMASGMFQAFKEGMWSMLRSVRDVNPFRKSSGREENLLSSRTRTLSESIPASDGTAPVSSLPWSCSDCSAVRVDSEAGSVPDKPLSARLMPVTRPELSHLMPTTSQASPPPPPKDKDLTRSAMAAASPDVAVAASATAAREATATAARRRRGTNPSLPMADWGANAAVSWRRISCRGREKMFVCASRLSLSWMDLVSSSSPPVPALCGVRPVKCGLALACLHVEFEA >Et_3A_023340.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:21519830:21520054:-1 gene:Et_3A_023340 transcript:Et_3A_023340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESTVSVYHGDTSIAWGELPAICVEKRSKADLDVSLSSNGAILSQALCDKMASEQQQVAGGPVLSLEMKPIHP >Et_5A_041931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4476493:4477693:1 gene:Et_5A_041931 transcript:Et_5A_041931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGVRKHPPAASAGEDPRDARVVRKILRSVGLKEGEYDPLVVPQFVEVARRYAGDVLVEARAYADHAGRASLEADDVRLAIRAKAASSPGPPRREVMLDLARSRNTIRLPKSNDPPGSIPLPPLQDTMLSQNYLFVPPIKPPPDQVE >Et_5A_040182.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20196244:20196666:1 gene:Et_5A_040182 transcript:Et_5A_040182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKELSRLMVFASVFTLFAIPPARNEQECYHEKVLFKEKCGMNVLKNVGFIIPTDTCCHVVLEVNMTCVCRIMTPRDEKKISMQAVFEVSQYCGNPVPAGNKCGSKCPIVSQKILTFSWFFKYKKMHIYRFFLIPIYVK >Et_1A_008534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8504086:8514285:1 gene:Et_1A_008534 transcript:Et_1A_008534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTQNENQTDIKQDDGAHQSKQDDEEARLEEQKRIIDQKTSLRRINLNPERPDANYLRTLDSSIKRNTAVIKKLKTINDEQKDSLMDELKSVNLSKFVSEAVSYICEAKLRSSDIQAAVQVCSLLHQRYKDFSPCLIQGLLKIFFPGKSGDDLDADKNSRAMKKRSTLKLLIELYFVGIVEDASVFVNIIKDLTSAEHLKDREATQTNLSLLSAFARQGRFFVGLQSHGQEAYDEFFKELNVTADQKKFFKKALNSYYDAVAELLQSEHASLRLMEAENAKVLSAKGELSDENTASYEKLRKSFDQLLRGVSSLAESLDMQPPVMPDDGNTTRVTTGTEVSPPSGKESSAMEPIWDDEDTKAFYESLPDLRAFVPAVLLGEAEPKSNEQHAKGREQSSESTTEPETEVHDSAQASATEHQVDVKTDAGAGSSEDKDKGKDGEKEKSKDKDLDRKPEREKEKVRALDGASLDNLLQRLPGCVSRDLIDQLTVEFCYLNSKANRKKLVRALFNVPRTSLELLPYYSRLVATLSTCMKDVPSMLLAMLEEEFNFLINKKDQINIETKIKNIRFIGELCKFKMAPPALVFSCLKACLDDFSHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARIAKVRPPLYQYIRKLLFSDLDKSSVEHVLRQLRKLPWAECQQYLLKCFLKVHKGKYSQVHLIALLTASLSRYHDDFAVAVVDEVLEEIRVGLELNDYGMQQRRLAHMRFLGELYSYKHIDSSVVFETLYLIIVFGHGTPEQDVLDPPEDCFRIRLIITLLQTCGHYFSKGSSKRKLDKFLLHFQRYIISKGPLPLDIEFDIQDLFAELRPKMSRYSSIDELNAAIVELEESERAAPVDKAESERHSDNESQKRQPRGADTSVNGQTPANGVEENGNDHEAAGSESYSDNGSIDGQEDEEDLSEDKSNDGSDNEGDDEDDDIPVGSDEDEGVEIRQKVVQVDPKEQEDFDRELKALLQESLESRKSEARSRLALNMMVPMNVLEGSKDPRATESESGEETVDEEGGNAGGSSKVRVKVLMKKGHKQQTKQMLIPADSSLVQSTKQQEAAELEEKQSIKRRILEYNEREEEELNGASQMGNWGQTGSNTSSIRSGGRGSWDGSRGGRQRHHIAGAGGFYHSYGRRR >Et_10A_001184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2197359:2199851:1 gene:Et_10A_001184 transcript:Et_10A_001184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNYISSLHREFEMKLWEHRSSVSRLNKNWEEKVSEIGVLRDELNSVLLNIVTGSESGTHPPSHSGLERSDDHNTLRMKYDNEPHVMEKATESSAVMLEIPDFSLLKHMPSEEITAFLKSEWLKLRRHHESELHEKTEELFRVKREFAKEKSLLPLRKERELEFIKSNLLQTIAKLDEMTLRKNNPYFDYNENDEMCRLKDRISSLLHENECLRGQLDDKREEIKHLSSQVLDAKSQIAQHSLSEAKLLNLVEKLRDELEDLRIERQLNNLLDSSIFREVFSNYQNQISDMNREESFLKELLIEKDDQLNIIYEDRQKLKYENNQLVSIAGSTLMQHHEQVNLVNDELTMFREKVCEQELLILESKSESNSMKSCLYEALQQIHVCKEEIHELTENLSYMSIALDKAKEQNASLDAIIREMKKTPAQCIGSHMGLKGPADFDLTNLEKLSKAYTDFESRLAETMKRNETRLTRIICQINPLVQQVAALKKKAFWYQQILEIKCSNLQKAEAEVDILGDEVDTLLSVLGKIYIALDHYSPVLKHYPGVIDILRLAHKVLKGESI >Et_10B_003761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5638374:5649392:-1 gene:Et_10B_003761 transcript:Et_10B_003761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTGKEHPSQPTEANAPADAIAASLMMATCRDDCQKLKDLIIQKDATTMVVVMASATGNQASEEKSSPAVMHPLLAAAACKGSLEELKFLLNKGPLPCQEFRDQVEAYYPGYFSNRSLAMQLTGTNIEEGMNASLILEGVTVEGDTALHLLAGNGHGDNLMDCANLIYDEDKSFLCKQNYNGDTPLHCAVRMGNAQMVSHLIGLARGENRVTDFLRKENNSKETALHEAVRIGDNHIVKELLRADPELVRFPEEGSSPLYLAILLEAGDIAQTLYDESKENVLSYRGPDGQNALHAAVLRCTVWINIRNPYAEMVQILLNWNKGLSSEVDCNGSTPLHFLSSQTKDAWIYRTIHGFRVRCVSQWPIDMLLEANPGALYQPDNNGMFPLHVAASVGADETITTLIEDSPSSAGLRDRKGRTFLHIAAKKSAWRVVAFACQTPSLAWILNMQDTDGNTAMHLAVQARCLRSFSSLLGNPRVHLNLVNKKAQTPRDISEFNMPQGIYYNQNNQAIIDRALDFCCARYGNCRWDHFTEVRDKEEPHDQRKEAEKLKDSTQTLCIGSVLIATVTFGATFALPGGYRADDHTNGGTPTLAGRYTFDAFMIANALAFIFSSVATTGFMFSGRPMVDLESRRVYYITSSYLVSTSFTSLTAAFSLGVYMVLAPVAHKTAVAICVMSPLVMLCRRMETYLKLAYLVRPLCSRVGRTMVLMSIPIL >Et_5A_041982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4838493:4839804:-1 gene:Et_5A_041982 transcript:Et_5A_041982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFEWYAASAGEFLWFMEHVDPLIGRLLAGEELFYRTPTVDLIFPIFRKSRGDKVFTGSIMRLSESTDLVGTVVKCLGLLFTPHFRPTASPPADFSWVPYLDSGYKEQWNAMHSSLTQWFRPNPLCCSHHTPTDSDSSGSGMMSTTTLTVESDIFLVIEVFLQRHIPPEQSRQRRHTLGRRPGRASKVEELAAEAKEAFVLAEEGDPGAIAIRNDSLVTVGCDVCGQDQRG >Et_9B_064383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14592495:14594224:-1 gene:Et_9B_064383 transcript:Et_9B_064383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGEGESLMQRCKPYVAMISLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATLSIAPFALVLERKIRPRMTPWVFLQIFVLALLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVLAVLFRMEKVNLKKARCVAKVGGTLVTVAGAMLMTLYKGRVVEMAATLKRYDAPLSLTTLICFVGTLQAIVVTFVMERSVSVWRIGFDMNLLAAAYAGIVTSSIAYYVQGLVIKIRGPVFASAFSPLMMIIVAIMGSFILAENIYLGGMRL >Et_8B_058622.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:10969409:10969531:1 gene:Et_8B_058622 transcript:Et_8B_058622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTQFDGCTISFCPRTCNSVADSLASFGAHVSDLGSVYDS >Et_9A_060973.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22631793:22632077:1 gene:Et_9A_060973 transcript:Et_9A_060973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVASIGLLAKSKFSVQTYFDGWSRISIEGVFGGLMVRNLSATASISCGLSFAILKY >Et_2B_021540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30468085:30470063:1 gene:Et_2B_021540 transcript:Et_2B_021540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKAPADAEADERRRLRSLAFSSGLLQRGDPAAPRKPLAPSSAVTRLQGRDIVRRGGQRKSRFLFSIPGLLAPVASGGRLGELADLGTKNPVLYLEFPQGRMKLFGTHVYPKNKYLTLQMTRSAKGIVCEDVFESLIVFSQAWWVGTKEENPQELKLEFPKELQNDAAAAADCDFRGGAGAAIDESTSDRPAKENAEPLSPKFESYASEDSDLKDENGTQTTSETPSVRQSARTAGRTMKYTELSSGRDSSDNDNEIPVPEEVDEKVASPVVKNESQSEDIKLADSSARPITSKKEPLVQATLSNMFKKAEEKKRSTRSPKGSPATKGPAAKKQRASPKEKQPAGKKGNGTVVTCIL >Et_5A_042668.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:2541323:2541970:-1 gene:Et_5A_042668 transcript:Et_5A_042668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGIKEEPRKNKAAEATGQATTTTRTAGVMADATFKSIGDVLKLLPTATVIVYEVLNPIVTNAGDCHVANKVVTPILLSLCAFFCAFSAFTDSYVGADGKVKYGLVTPRGLLPFNDGDAAGGQGRDFSKYRLRLADFVHAAFAVAVFAAVSLLADANTVACFYPALKEQQKKVVMALPVVVGAVASVVFVVFPSTRHGIGYPPPTPEPAALAAQ >Et_8B_060718.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5466267:5466761:-1 gene:Et_8B_060718 transcript:Et_8B_060718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPSSEAPAKKKRSDSILGPLRAAIKKVRFLLSFSATRWMLLSSVVSRGAAPRRALSFNPRSPSLLDAAEDGSPAASSRTSRSASMETATTRSVSRTSSAGVSSPEVLTRTSSGTSASPGGDEDIDRRADEFIANFYRQLKMERQVSLQLRYVRGNSWDRSP >Et_10B_002645.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:2599696:2599899:-1 gene:Et_10B_002645 transcript:Et_10B_002645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KNSLLKTFSVVVVVGSSGSTKTRWSSATNRSQLIVCSELAKMKHLFGSVDCRVRISASRIHGATSFV >Et_7A_052550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9133826:9136840:-1 gene:Et_7A_052550 transcript:Et_7A_052550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKHHMEVKEAEAVEMMDLAPVPSRFRRICVFCGSSHGKKKSYQEAAVELGKELVARNIDLVYGGGSVGLMGLVSQAVHNGGRHVIGVIPKTLMPREITGETVGEVKPVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEEFISPTARHIIVLAPTPKELLQKLEEYSPRHDKIAPQTKWEMEQLGYCKTCEIPGLKEGATIIQAQRGSML >Et_8A_056937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19118797:19122467:-1 gene:Et_8A_056937 transcript:Et_8A_056937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMELKALPLGFRFHPTDEELVRHYLKGKITGQINSEVEVIPEIDVCKCEPWDLPDKSLIRSDDQEWFFFAPKDRKYPNGSRSNRATEAGYWKATGKDRVIKSKGDKRKQHIIGMKKTLVFHRGRAPKGERTGWIMHEYRTTEPEFESGEQGGYVLYRLFHKQVEKTERPSPEEMDRSGYSPTPSRSSPDNMEPNEEAHTPLNKESPESALHDSPINLPASTVEAHAVPVTMWLADRTDNLAANAVNVPQMPFNGHLDGVAKVDPSAAGLALIDSKVQHGDPNVFVPGSASILPHEGNGHFPDFHQGIFGFDGNTNAHDALDDFLIKTLGDDEHSSTTSKVQYDSDNGIMPLEFENYGHMQGELLDDQSWWADLSFMPDEPNPQLSGLYENTPCLPYDTTDQDILSMDSGAESLHDLFSNMEDSSGKKDVWSNEPALQGTGITVMPRHVQSSVQPNSFLAHQGIAPRRLRLQECLSPNVQSGESVTNVDCEDETSCIVNSKYLDEAVEESTADKGVPSDEDDAESTGIIIRSRHPALSSSAGGSFTQQGTAVEDDAESTGINIRSRHPAQSSSAAGSFAQQGTATRRLRLQSDLKTGPCSTTDDSSSCIIDETESQHNARTSEIEDDDAGTNFAESVDLSGRSHDDEIKTMPEQDDLKAVPEAKSVLRLRKSPEKSDKDMKQEDGVDSLVRAPGPKGGFRSHIMVTVTVALLLLLGVGVYGWI >Et_4A_032065.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:15113406:15113639:1 gene:Et_4A_032065 transcript:Et_4A_032065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSGGWGYIIRDSDRDVVQAGISERESESCYGQFSGSDNRMYAGVRGCRRPLILGLAMQYSKPMLKWCNRRPPMMK >Et_8A_057861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8389839:8393541:-1 gene:Et_8A_057861 transcript:Et_8A_057861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVEGMGSVVATVSGYGGQERHRLIKLITDTGASFVGSMSKSITHLVCLRLEGMKYDIAKRVHAHVVSHRWFLDCLREGRRLPEGPYLNECGEEAGPVPDVPVKPCKRANKNAVMEDRVLKDLPNDFSSTPASYMIQLDDSDSDSKRDSSDVKGRRKHLKRMHKSMDKDVLHLKDNVSTVMTRRGLHESSNTMSRSISKKRNLSRFPLNEGPSRMRQSNDVTENCEDDNLFDRFDEPQTLDTLSTEAQRKVTRINASSSLRQSTLESLFKYGETSSQKPGIRKDQWNIDISESSRSLSPCDLSGQEPDFCTQENMYENGLDIPADHEMGEDKRPTEKPANLEAELSCVICWTDFSSTRGILPCGHRFCYSCIQGWADCMASKGKVSTCPLCKASFAWISKVDEAGTSDQKIYSQTIPCEASTNIFVSGNEGSVSESMAGQGACYQCHCREPEELLRSCNVCRSQWVHTYCLDPPLMPWTCVHCRDLRMQYYRYR >Et_4B_039141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8171524:8176502:1 gene:Et_4B_039141 transcript:Et_4B_039141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMEAAEAAALPLPDDALAAILARLPPRTLAASRCVCKAWRAVVDACGLLLPHELRGIFINFMDYSCPNFFARPSPSFPRICGDLRFNCIIDHCNGLLLCGWRNHYVVNPATRRWDRVPEPRTETAAQDYVPYLVFDPAVSPHYEVFLIPIVPEKPKPVDPNEIPTTQFNLKGFFSDDTLCTEDTEGEEDEECINEPAESPPPASIEVGYFPTKRLSLSERWTLGELEDTYGSMEWPPSPCILHVFSSSTRRWEERTFVREGEAMGSVEDMRLDSSRMFWGPRWRYGVYWQETLYIHCRGGFVMRLSLTTGKYRIIKTPVGIEDKCQKSYLGRSVRGVYFATISGSLQLQVWILNESCGQIGWVLMCHIDLESSALRAAGRLSNYHTIDGPWILMNPYTDNIMLPEGQSEWDSDDDDDNTLRYEDVDEEEGLNLVDVIGFHPFKEVIFFMVSFGGVAYNLNTSKVQWLGKSLPEDYDTWCKELAPPLLEDALAAVLRRLTPGTIAASRCVCKAWRDVVDARRLLLPRAVRGIYLNFIDYRCPRFFARPSSERPGTHGNLGFLPRYSRSFGSVLDHCNGLLLYDGGFTREFYVVNPATRRWECLPPRMGLLDYVAYLVFDPAVSPHYEVVLMPRVPEKPVPVEPRYAPPSPVNLGGLFSLLYDDALGAEDTEEENFEEETEGSPPPRSIEEGFFPARFMPASSEPEDPYGSMEWPPSPQTFHVFSSCTGCWEERLFVREGEAVGTVEDVRLDSWPTHLALAGATAYTGRGHFIYIVAVLLLSLTNGTYQVIQTPAGTEESHHTRFYLGRSEKGVYFATVQSYSQLQIWILDESCGQVEWVSRHHINLDPYVSRAAVGSDYHERIDGPWILEDEYNDHNKMLPDNCEWDSDEDNILDENGDVVQSTHIDFLGFHPYKEIIFLMASFIGIAYHLNTSRISVSLAMAAYNLSNSKVRYLGKLCPNDDYGTKHPNVYESFPYTPCMIGELLETSQENHPDDQQQI >Et_3A_026186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5235261:5236672:1 gene:Et_3A_026186 transcript:Et_3A_026186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVGVGHRRNGSQEEDMEKRSGDNTLANDGTPKKMRAVRKVAVTETDEENKGAEGPKTLNVRLEVDTLECPLCLAPFTASIFQEAIKYAEKLTHEAVFCQHAPCACPIPGCAYTGLLLHEHIWGAHAAGGGEDAAVSFVREATVTLHRSMLFRVLLHPPDSRVFMLLNSGEIQSGRCLSLLCIGPRPAGDQALEYTMVVRAGCEPGVLSLSASGNVPYSRRWPGPGHPPAEGFLFVPDAYWSSSGSISVKICLRSRPLSRSLERRLPK >Et_1A_007533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35607995:35610921:-1 gene:Et_1A_007533 transcript:Et_1A_007533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KPTIFKCLLNMVSVNIGLVHYVLDHIYGTLLHRTKLGTPFFSKGWGGTKLDLLERMVKQLFPGAQCQNWPPPAVHPMWKTIWETQNSCLREGVFRTTCDERLIDALPLESHKARVAFLTPKCVTPEKMACVVHLAGTGDHTFERRLRLGGPLLKHNIATMVLESPYYGQRRPSMQRGSKLQCVSDLLLLGKATIDEARSLLYWLQNEAGYGKMGICGLSMGGVHAAMVGSLHPTPIATLPFLAPHSAVVPFCEGVYKYATAWDALREDATAWKALREDAEAITRDVTSLAEDAAQKTGITIEQVRDRLRSVLSLTDVTRFPVPKSPQAVIFVGATDDGYIPRHSVMELQKAWPGSEVRWVTGGHVSSFFLHNDAFRKAIVDALDRFVSLLR >Et_4A_035817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6716032:6720839:1 gene:Et_4A_035817 transcript:Et_4A_035817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAKSAPGTRQWAAWTRQEEENFFNALRQVGKNFEKITHRVQSKNKDQVRHYYYRLVRRMKKLLGPEFSLDAKDSKDTIAAMLRWWSLLEKFSCSASKLHLKPRRFKSFVEALGNQLLKDRNKSRRKCTRVDMCLSSPSPVLSKASGNEPFPVKFLSEDAQNGSKLVSPKGTFLKRSTEMNSNKSGATKGDLSATRTVKQKRRAGGVVASAAYKKWERAAMAGVSLVADAAEELERSTVDPGLCNVEAGTFTSSSNHLSTADGISINPVKEADPQAPAKLKLQLFPINEATRKALEKDEHNPHLELTLSSRKKISSVLEHLNRKWGNSNIASGELMLFPYCAHQEDLATYQRWTTRDTVAVADVYVSVNSPSVFRLRYGWFSLVELEAGVSEISLTHFENCMIPEDIQIKSTSGGKAWVQKDNTLLSNCATEQHPCNSKAQSTMLHLRPSTSKNSEVPEQLTNVPTSQFGGQKQVQDNQGMNGAAISEGDWADTLTDISVGYLLTEASKGANSDCVGTSIVKSALFLENPCSYDSFDAAVALHASRYQSSEQQAHTSHSTIWGGEETCDEFSFHLSAARRKKGGSNTCASSPLDSDNEVHPLNSEGFQGFLQDLVGAEAADNPYTDDAKDTDELCAKSPTQNGDHNELKDQSLADIYWPDSLGPLDLEIPSVRYQADDLLIGDSQNSWSRMMANSLDAFRNLSFFSMDKSDSISPIV >Et_4B_039708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27292643:27301811:-1 gene:Et_4B_039708 transcript:Et_4B_039708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFFSTMFTPPPADDDPNSAVVAAHSKATYDQQWEAHKSAGKLVSAETPASLPLSFLVIDFSASWCGPCRFIEPAFKEMASRAPDAIFVKIDVDELAEVARTWKVEAMPTFVLVKDGKEVSRVVGAKKDELERKVRILFDNEYMDLFAAFKI >Et_2B_020230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18165393:18168150:1 gene:Et_2B_020230 transcript:Et_2B_020230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALLRAASPCLHPASTCAAAAGSADCGRGHGTVKVSCSPLYSHFIDTWFFDLLICGLCGVRQIGDARPRDVALRVGGSSRRELSCVTKASRDHSSLTRELLDFQHEAVDEVGAEHDPFSDLKGRFMDFKKRNYMENYSNYQNLAEQQTPKFMVVACADSRVCPTGILGFQPGEAFTVRNVANLVPPFQVENILVVGHSRCGGIQALMSMKNDSDSGSFIKNWVSIGKSARLSTEAAAGNLNFEMQCRHCEKESINSSLLNLLTYPWIEKRVSEGTLNLHGGYYNFIDCTFEKWTLVYRKGLAGGSKYAIKNRSTWS >Et_6A_046246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1151932:1155439:-1 gene:Et_6A_046246 transcript:Et_6A_046246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLLLVCLAASAGAGAEAPLPPATALFVLGDSTVSCAATTLPLNLTSPSLSGSGPCLFPSTRRLLPALLAAKMGLPPPPLISTLNGTAAAVAGGVNFGGQYGAGGAGGVFRAGAAVGQQLRLAAETLQLLQLEAATSRDAAEETARAVFVVSFGADAYARLLARGPAAADASAPKHGRRGFGRLLADRIVRTVAELYEAGVRRVAVMGVAPLGCAPRVMWDGMNVLHGRSCVEEANELIQGYNARVAAQLDDLRARLPDADVVFCDVYKGMVEIISNPGRYGFEEAREACCGLGPFKASMGCFSKEMACRSPERHVWWDLYSPTEAVDALVANWSWSPPLGSDLSICSPISLQQLAGSPA >Et_1A_006413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2264116:2271213:-1 gene:Et_1A_006413 transcript:Et_1A_006413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGVVSREVLPACERLCFLCPSLRTRSRHPVKRYKKLLAEIFPRTQDEGPNDRKIGKLCEYISKNPMRVPKITVYLEQKCYKEMRAERYGSVKVVMAIYRKVICSCQEQLPLFASSLLTVVETLLEQNRQDDLRKIACQTFFDFVNNQIDSTYMFNLESQIPKLCRLAQEMGEKEKICILHAAGLQALSSMIWFMGEHSHISAELDNVVSAVMENYESPYANSDNNDATVEDRRIRWVSEVLKAEGHEPPAVTILTKVPSWKDVRAAHGELNLTIEESESPNFWSGICLHNLARISREATTVRRVLEAIFRYFDSYNLWSPSKGFALCVLLDMQIVMDKSGQNAHILLSMLVKHLEHKNVSKQPDMILDITEVTARLAEHSKAQSSTALMSAISDMVRHLGKSMQSLVTDPGDMDNWNNRYGKAVDECLVQLSRKVGHAGPILDTLAVVLENISSSATVARSTISAAYRTAQIVASLPNLSHQSKAFPEALFHQLLLAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFSGTSQTSKIDLRRTLSRSTSVFSSSAALFGKLKRDMFSFRESPLLDNTKLVPIGENVDHISANDTKLFKLFKGCLVQKILLFPLQRIQLQDAVTLMLSSRQANLLLSSLWTQALSPENIPRSYEAISHTYSLMLLFSGAKGSVIEVLVGSFQLAFSLRSISLQAGFLPPSRRRSLFTLATSMLVFFSKAFNVPTLIPIVKHVLTESTVDPFLRLVEDCRLQALDRQARVYGSKEDDDLALKSLSNINMNEDQSKETSVSVILNSLENLSESELSSIRKQLLEEFSADDVCPLGSHFTETPSKSSAQTGKLHQKSLEVLPMGFVFEDDTLIEPDCLGEPQLRHTLDSSILDVSQLLESVLETSQHVGRLSVSTNLGLPFKEAANQCEALSTGKQQKLSVCISGHQKEDGETLMRKLESSEPVSQIDNLWCTADEQCHTSIYKLPVLSPYDQFLAHAGC >Et_1B_012034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28313035:28317076:1 gene:Et_1B_012034 transcript:Et_1B_012034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPTSPSTCQAPSPAGLPLLTSPHSRASTLAFGRRRLRPRGAAASTAEPTGHVFLGLHQSRCSSMSAVGDVAAVPDDCTENMPSSSGYPNSGVSSHEDNQAQRINKINQKPRDSNKMVKICDKLIGVFMVDKPTPTDWRKLIAFSREWDNIRPHFFKRCQERADAEPNPEMKHNLLRLGRKLKEIDEDVQRHNELLEVVKSTPSDKLGAVVAKRRKDFTVEFFNHLYYAAESYSDDPEKQNELAKLGNDCVDALQAHDDTTGSLEALNAAELKLKDILNSPSVDAACRKIDDLAEKKELDSALVLMLSKAWSAAKGTDITKSEAKDIMFHLYMTAVANLQRQMPKDIRILKHLIMIEDPEERLSALNDAFTPGPELQGDNVDTLYTSPEALHTWSSAIVDAYYNSRQGTLLGQARDLMNPKIIRRVEEIVKIIKDKFLSRRMQCSCPLVYLRISKESNGTTASSTCCEVKKDV >Et_1A_005091.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:26234754:26234906:-1 gene:Et_1A_005091 transcript:Et_1A_005091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDNFFTIVTVAKYGHFIYVNIQKFVQFKLAISTALLMVPCLKCSYGEW >Et_1A_007983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39919750:39921970:-1 gene:Et_1A_007983 transcript:Et_1A_007983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCKFISVRPSRHHFSAPLEKEKGERQKETNKFFTLHTSLVVSPSSSPPRPIPQTAGDETPCSAAMEGTVLCAANHVPLTPLSFLERTALVYPDRPAIVASGSGAAPRTWRETRAGCLRLAAALAGLGIQPRDVVAVFAANIPAMYELHFGVPMAGAVICALNSRLDATMASVLLQHSEAKVVFVDCALLDIAQQALRLVSQAGAKPPVVVLIKELLDEEASPDHLIPAAGRCYEYEAFLSSVGESPDFAVRWPGDENEPIALNYTSGTTSRPKGVVYSHRGAYLNSLAAVLLNDMPAMPVYLWTVPMFHCNGWCLTWGVAAQGGTNVCLRKATGAAIFDSIARHGVTHMGGAPTVLGMIVNATAEERRPLPGGRRVTVMTGGAPPPPQVLFRMEELGFVVIHSYGLTETYGPSTVCTWKPEWDALPPEERAALNSRQGLHHLGMEEVDVKDPAMMQSVPADGRTMGEVMFRGNTVMSGYYKDPAATAEAMAGGWLRSGDLAVRHADGYLKIRDRSKDIIISGGENISTIEVEAALYAHPAVAEAAVVGRPDEYWGETPCAFVTLKEGASVGAEEVIAFCRSRLPHYMAPRTVVFVAELPKTATGKVKKFALREKAKAMGSISSSNQGGSSDSARSKL >Et_3B_030111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30602993:30603582:1 gene:Et_3B_030111 transcript:Et_3B_030111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TPALVTVLRAGSGSAVVFIFFFRTYIIRILLKGREFYNIKLRPNTSSAAGTSGEPECKGEKLDKQIRIGLLQHISGTEGLQMQSTPDSFQGQFQQVIVIATSMLVLRQVLMSENSKPLEVKNAVAGLFEALVKLLDNPLMPVPRRDCGGDDELVGLSWATVQ >Et_9A_061896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17538245:17544499:-1 gene:Et_9A_061896 transcript:Et_9A_061896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAASSGGGGDSAGELLLRAAALVQWTHYALAALALAAALLYRFLELHLLGDLLHGFRGGRVVLTFHPESRVYQRVASKCRSLHGRYLATPWLASPHLQTLFLSISGRPPSFTYRRQLYTVRDGGTIALDWLLAADLEAEDTDSCDETISKDDSTPLLVVIPGLTSDSSAAYVKHLVFSMAGKGWNVVVSNHRGLGGISITSDCFYNAGWTEDMREVLNFLHQRYPNAPLFTVGTSIGANILVKYLGEEGEDTPIAGAASICSPWDLLVTNRFISRKLVQRCYDRALAIGLKGYAKLHQPVLARLANWEAITSSRSIREFDRHATCVVAKYETVDTFYRRCSSANYIGNVSVPLLCISALDDPLCTREAIPWDECRANKNIVLATTPNGGHLAFFEGLSAGRLWWVRAVSEFLCALHDSHYMHRPKVAQEHGLHTSLESSIDKSPYVNFMEDGMVAAVTNDGHDIDSQGESKLDDGVAAVQQNENTGEIQNKHDSADNTNSQGNVVPDSGHGGSQEQQELYVNKIRDAIAPVKRSVNQLARSQGRSVWLLAYIAVVTSWPLLGRLGFFLFRKKFRNSLAAKKL >Et_2A_016320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23299336:23303357:1 gene:Et_2A_016320 transcript:Et_2A_016320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGMAIAFKAITSSTTHQQWLHQTGVQCQYGFAHLNKTKCRNRSSVLHVRATSEKLDLDFSDPSWKQKYQEDWDRRFSLPHITDIYDLKPRPTTFSLKKNRTPLGDGDGSSADMWNGYVNKDDRALLKVIKFASPKSAGAECIDPDCSWVEHWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLEIYGVKNIVGIPFGYRGFFEKGLKEMPLSRHVVENINLNGGSFLGVSRGGAQTSDIVDSIQARRIDMLFVIGGNGSHAGANAIHEECRKRKLKVSVVAVPKTIDNDILFMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQIDVCLIPEVSFTLDGEHGVLRHLEHLLNTKGFCVVCVAEGAGQDLLQKSNATDASGNVILSDFGVHMQQKIKKHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSGISNTHYAYLPITAVITTPKHVNPNSRMWHRCLTSTGQPDFH >Et_1B_014412.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:866647:868329:-1 gene:Et_1B_014412 transcript:Et_1B_014412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHARTPQAFLQFLAAEQLSSSAAAQCHAEATKLGYASNVFVSTSILGLYSRSSRLHEAQRLFDEMPHRTAVTWSTLIYGHARFRGPGVAVGTFRRMLREGVFPTESAVSSALVACVKQEDVCIGAMLHCVGLRCGVSYDIVVGTALLNMYSKCRHLCAAHKVLEEMEEKNVATFTALIGGFAANGRSHDAMLLVKEMEQSGIAPNMKTFSSLLSSFQSPNDINHARQVHCAVLKKGLEDNLYVRSALLTVYSKCDTLEDFRRVQIAVSCDDQVSSNSVISGLSCLGRGDEAFWHFLEMRRQGVQTDAITFASLLKSVGNSSTLLKGRQLHALILKIGYVRDVQVQNGLISMYARCGEIVESKVIFSSMEAPDLVSWNSLLSGCAQHGYGKEVIEIFEHMKRLQVQPDHTTFLSVLQVCSHVGLVDKGLEYFNLLKDKGSSPGAKLEHYACMVDLLGRAGYLSEAESLMNDMPMKPGLSVYRALLSSCQIHGNLEIAIRVSKRLTELYPQDSSAHVQLSKAFAGDGRWDNAAEIREAMKDKGVVKMPAWSCMEDRMQIR >Et_9A_063580.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:8025373:8025675:-1 gene:Et_9A_063580 transcript:Et_9A_063580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFAPADELLVELYLLRRVRGQPDLFPGLIVDDDAAANTQPWELFDRHGRSDAVPAFFFVIDPKGGARPDRRCQGGGTWKSQKRLHEDQMVWRATAAAGG >Et_1B_011658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24588281:24589972:-1 gene:Et_1B_011658 transcript:Et_1B_011658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVGCSAGGAAATWTPPFCTIVAADTSDFSYLSCPRCEFALPDRAASCFACGCEQAPARVYRLLLSVATHDRVIPVVLFDRAARDLMGCPADELSRLFAAHLGAARAAAEALHGEMWCMALRAPRKGAAAERIRAVSVVPLRNGFRPVVDTLRKLFAQKGFKYSEKTVSETRQSDNAEVCESFP >Et_7B_053681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10983031:10986447:1 gene:Et_7B_053681 transcript:Et_7B_053681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPWERPEADGDDGDEAGRSSSDANTSSSANASTSSSSGARRSGSAAAGRRGSPMSTSTINLSQEYTLAIHTSSYQEIWTKIHVDEDRRREEGGGSHGSSEEKEDEEDRVTLAVVLRPEDAVVERALGDAPDTELTRLAADYLRSTHQASLLCLALRRALRRARALYGPITDLLGLIPLAPQLAAHHCDCAFDAFLLFDQMPNPFPAPAAGFQGMHQSFAGLKNHLHLRLLKARRRRQLIRCATRGSGVCLVACAAGAAIVGILLATHAITALLATAPVCAASSSSCCPLAASMKRLQRHMDRLDATARGTYVLNNDVDTIERLVGRLHATVESDKILVRLGLERGRGQHHTIEEVVRQLRKNHPSLLRQLADLEEHICLYFAAVNRARLLLVHHLNSQSDPDTELPFIVIESREIPAGEKFLSISYKPFRQILLFCA >Et_3A_025938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34130026:34130718:1 gene:Et_3A_025938 transcript:Et_3A_025938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFNGDEVAPFFGFFGAAAALVFSCMGAASRSGVGVAQMGVMRPELVMKSIVPIVMAGVIGIYGLIMAVIITTGINPTANPYYLFDGAAHLTAGLSCGISGLAAGMAIGIVGDAGVRANAQQPKLFVKVIIILIFAEALALYGLIIGIIVSSRAGHSRT >Et_8A_056236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17195288:17195767:1 gene:Et_8A_056236 transcript:Et_8A_056236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPPYMRMVIKESVRLSPVIPMLVPHLCRETCNIGGFQINRVRCPGDTFGVASLELIVAHLLYYFNWSLPDGLRADKLSMEMSVGATMRQKDRLQIVASPCTEIPVQVRSYMKG >Et_3B_027607.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:31669534:31670543:1 gene:Et_3B_027607 transcript:Et_3B_027607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNSSNEMRPSPFWSTPSIMRRHCATDADSPSPRSTRASSAAEMVPLPSASKTRKAWRRSSSTAAASPATVALRAANSSRLMKPSPSASASAIIRSSSSSEAAWPRLSKSAASSEREIRPSPLASNLRNTRSISSSGVRGGDPPPDTSSPCCCGGGDLERRPRRKREGTGILILPMASSWYVGGPLGCFRLFPHGGCGRILGSRGDGVFIG >Et_2B_020115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1708471:1710640:-1 gene:Et_2B_020115 transcript:Et_2B_020115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSYGMSTHPLLGRATDSARPHRSVSSSAASSIRFPRGRMAAPLRGGSAPPAAAAATSGSIAPAISLTEKALKHLNKMRAERNEDLCLRIGVRQGGCSGMSYTMEFENRANASPEDSVVEYDGFAIVCDPKSLLFMFGMELDYSDALIGGGFAFQNPNATKTCGCGKSFATGKETETTATACNN >Et_3B_027557.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27502846:27503124:1 gene:Et_3B_027557 transcript:Et_3B_027557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVDLEGDWIIEENSRTETTLHADSTATFLDEPQMMTSVDLPVRLLIWTWRLEVQAQQSMLSYSVTNFNGKVANLLKERNIFGVSIQSRHAQV >Et_2A_017390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33944647:33947895:1 gene:Et_2A_017390 transcript:Et_2A_017390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASVHKSWRKAYGAIKDSATVGLVKVNGGGRDHKDLDVAVVKATTHVERPPKERHLAAIFAATSSSRPLADVSYCVHALSRRLSKTRNWVVALKTLIVIHRTLRDGDAAFREELLSYRRKGHALQMANFKDDSSPVAWDCSAWVRTYALYLEERLECFRVLRYDIESERLRPVEGNPKGQSRTRSIGKDDLLEQLPALQQLLFRLVGCQPEGAAFGNYLIQYALALVLKESFKIYCAVNDGIINLVDVFFDMTKLDAIKALDIYRRTGNLAQCLSDFYELCRGLELARNFQFPVLREPPSSFLGTMEEYIREAPRTDHVPNKTIEYRQLDFVPYQEEEKSPSPIPEPFEEPVVEEMLPEPEEEPQYAVEEEDEPETPTTADLLGLHEVNPAVAALEENNALALAIVPPGGSSAPAIGYGDITGSSGWELALVTAPTSSSSSQLTESSKLAGGFDKLLLDSLYEDAGRRQQAATDAYGNGQVDPFAMSNGVAPPTGVQMSMMAQQQQGMFGMPQQFQPPYNNGAASQFNPFGDAYSVVPYQSAPLHGSSSLI >Et_2A_015331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11009458:11019693:-1 gene:Et_2A_015331 transcript:Et_2A_015331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFSAAAALELCAPGIRGRPAASSPATRYHPASSIRRRATAPRRTAALAASRRGPVAPRAVATTPADRASPDLAGRFTLESNSELQVAVNPSPQGSVAEVDLVATNTSGSLAEYEAARAELIEELNRGVSLEKLRAKLTKAPESSESDSPASQSNVAKVPEELVQVQAYIRWEKAGKPNYPPEKQLIEFEEARKELQAELDNGISIDQLRKKILKGNIESKVSKQLKNKKYFSVERIQRKKRDIMQLLNKHKHNVVEDKVEAAPIQPTVLDLFTQSLQEKDGREILSRKLFKFGDKEILAIATKALNKTKVHLATTDMEPLILHWSLAKKAGEWKAPPSNIVPSGSKLLDMACETSFLESKLDGLDYQDTSDAGKGTAKALLDRIADLEEDAQRSLMHRFNIAADLVAQARDSGLLGIVGLFVWIRFMATRQLIWNRNYNVKPREISKAQDRFTDDLENMYKNYPQYREILRMIMAAVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALIDYIKSDFDINVYWDTLKKDGITKERLLSYDRPIHSEPNFRSEQKEGLLRDLGNYMRSLKAVHSGADLESAIATCMGYKSEGEGFMVGVQINPMKGLPSGFPELLEFVLDHVEEKSPEPLLEGLLEARVELRPLLLNSPERMKDLIFLDIALDSTFRTAIERSYEQLNDAAPEKIMYFISLVLENLALSTDDNEDILYCLKGWDQALEMATKRDDQWALYAKAFLDRIRLALASKGEQYYNMMQPSAEYLGSLLSVDQWAVNIFTEEIIRGGSAATLSALLNRFDPVLRNVAHLGSWQVISPVDVSGYVVVVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGVVGVITPDMPDVLSHVSVRARNCKVLFATCFDPNILSELERHDQKLFSFKPTSADVTYREISESELQRSSSPNAEAGNAVPAVSLVKKKFLGKYAISAEEFSEEMVGAKSRNIAYLKGKLPSWVGVPTSVAIPFGTFEKVLSDELNKEVAQNIEKLKSRLSQEDFSALGEIRKVNELKEKMLGSGMPWPGDEGGQRWEQAWMAIKKVWASKWNERAFFSTRKVKLDHDYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRAMSFVCKKEDLDSPKLLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDEVVLDYTTDPLIVDGGFRSSILSSIARAGHAIEELYGSPQDVEGVVKDGKIYVVQTRPQM >Et_9A_062071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19523815:19525026:1 gene:Et_9A_062071 transcript:Et_9A_062071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVGKQVQQMVRFILQEAEEKASEISVAAEEEFNIEKLQLVESEKRRVKQDYERKEKQVDVRRKIQYSTELNAARIEVLQAQDAAVSRMKESAGEALLRVTKDAAAYKKVLRGLIVQSLLRMREPSLLLRCREADRGMVEAVLETAKKEYAEKAKVNHPKVIIDGKVYLPPQKTARDAHGPACSGGVVLASQDGKIVCDNTLDARLSVSFRQKLPEIRKKLYSQRGS >Et_6A_047479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6257652:6259885:-1 gene:Et_6A_047479 transcript:Et_6A_047479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRITGVDRVRPIKRFMDHLLLRLDRSNLDVCFLEFVGESKDGGDYMKLWIRHALLCQVRKLSVSGSFVLGNLHLVSQYLTELHLDGVTLWAKCTDFSSCLALKHLEITDCFIDAEKIYSRSLERLNLHDCWFPMDMRTRIYAPSLILLQLINFEGRTPFFEDMPVLVRAEVTFNSNCEDSCENNDPGYCEDASCDSCYGIDHGGAGSVLLRGFSAARNLKLIAQPKMFILKRDLRWCPTFHKLEILLLGEWCVANDHRALICVLQHSPVLKKLTLQLYKKQKPKSLVPSKAIFNSVDKSFASDKLERIEMKCHEVDQRIHNILMSLVTYGIPLEKISIQQKNKSSQCFSFVCTGFSSMDT >Et_7B_054830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4733083:4740575:1 gene:Et_7B_054830 transcript:Et_7B_054830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVKTEGCNKMSCGNCGQLLCFRCGRAISGYDHFWEGCELFALREYDDVTPFERHMEEVQIERRRRVQLSPIGSTIRCPKCRQRNFKEDEKYIFCWACRIHYCTLCRMRVEDRYMRSGHYGSSDRKRDDVHLHTGDDSSPKLRAMAAPASAGSASPPARDPHHGPTLDFAAEASSSSSSPSLRAAELGPSASEWSGEEGGELDLDFPWVAAEEAELRLEAAALGALSLSVEEPREEDEIRDNQQRQEDELVALEAIYGDDLAIFENKGGFRYFQIYIRYDVADGVELCAKLLSANVCATDPGCSDGTGAEHGDKSEEFSYTCNFECLPPLILTCLLPLSYPSKDPPYFVVTAKWMDGHHVSQLCKMLDTIWAELAGQEVIYQWVDWLQNSSRSYIWVDGNMTLGPDIVTCNADNRAISRTNSLESIIPLMLLVIRAAFLDDLHMCMICLNQGKGSSFVRLSCQHLFCMKCMETVCRMHVKEGSVFQLICPDTKCSASIPPYLLKRLLNEDEYERWDRLMAQELFNMKEAYKDVRLCPKCGMAIAKTEGCNKVVCGNCGQYFCFRCGKAIDGYEHFKTLSLESVIPSMLSYSGNKQYQAFLDDLHMCMICLNQRKGSNFARLPCQHLFCLKCMETLCRMHVKEGSVFQLVCPDTKCNASIPPYKTLDSMSDVVYCPRCVIGCLEDEDNNAQCPECSFIFCSFCKEPCHPGKQCLTPEQKIQRRQASGRMSEKEVAQELLNIRELYDDVMLCPKCRMAITKTEGCNKMTCGNCGQYFCFRCGKAITGYEHFRGNCKLFAATEIAEWERQMGAMDLGRRMRLRPIGGTVRCPKCRANNFKEDERYIFCWSCRDNYCTLCKRKVAGKKKSRHFGSPECMGLR >Et_3B_030439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3831237:3835624:1 gene:Et_3B_030439 transcript:Et_3B_030439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDDDQRLLHSLGAQTDPKRDDEPGTSANGNDSNVTPEADAQAKLHQKLRAVQLEIDAVASTIKGAKHAAGKQTDSSDSGDAKGKKKQRPEHTTQDDPQGGALQQALASERLKSLKKAKVQIQKEILQSDPSASVSDNQKDKMLAMLVEEEPRRNKKPLKTPVGHKKMSTPRLKTKTYNDDDEFDAVLDGASAGFMETEREELIRKGLLTPFHKLKGFEKRVELPGPSHRQNDPAEQAEEAMEASRIARVAQSMQQIAQSRPTTKLLDAESLPRLDAPTAPFQRLGRPLKRPLSPSSEDHERKRRRNKTKRPLPGKKWTKANSVKESLLDDEDVGDTGTDVGDTGTSVSEDEDQEAEDSDGSGPVILEGGLKIPGTLYEQLFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTVQVLSFLGSLHNSGMYKPSIVICPVTLLQQWRRETKRWYPKFKVEILHDSANGSSKKSKAYSDSDSEASWDGDQEQVTRAKPAKKWDDLISRVLNSGSGLLLTTYEQLRILGEKLLDIEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFETEFSVPITVGGYANATPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAQLPKKTEHVLFCSLTQEQRATYRAFLASSEVEQIFDGNRNSLYGIDVLRKICNHPDLLEREHAAQDPDYGNPERSGKMKVVEQVLRVWKDQGHRVLIFAQTQQMLDILENFLSICDYQYRRMDGLTPPKQRMTLIDEFNNTDEIFVFILTTKVGGLGTNLTGANRIIIYDPDWNPSTDMQARERAWRIGQTKDVTVYRLITRGTIEEKVYHRQIYKHFLTNKVLKNPQQRRFFKARDMKDLFTLQDDDVSGSTETSNIFSQLSEDVNIGVPNEGQQDHVSVASALPATSGAEPSGGNGSVVQNSDQADEESNILKSLFDAQGIHSAINHDAIMNANDDQKLRLEAEASQVAQRAAEALRQSRMLRSRDSFSVPTWTGRSGAAGGPSSVRRKFGSTINSQLISSSQSSETSSSRGQSLQVGAQNGKVLSSAELLARIRGTREGAASDALEHQLNLGATSNQITSPSGNGRTSNSSNRSMIVQPEVLIRQLCTFIQQNGGSASSASITEHFKSRIQSKDMLLFKNLLKEIATLQRGANGAMWVLKPDYE >Et_1B_009807.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:28602932:28603522:1 gene:Et_1B_009807 transcript:Et_1B_009807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDGWETFFSSHFTEGIKQMVTKLKVLKKELDNSGQYLQRTMEDLSERTKRVGEMLEEAVLVRKQITSSVTDVEHMKHDGDKSQESINTLKTSHTKNIKELEEKIKELEGKLTEERTSLGLLESLEARNADFFAKVAAVREAITESSSSFEDVVANGEALRNELQKRRQVMEEDRGLPAPLQAMRSLIAAYPIKE >Et_1A_009619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9702933:9706402:1 gene:Et_1A_009619 transcript:Et_1A_009619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAMPPAAKARALHGTSSRPNGLPAAIVRARAPWTEAVNQRTPPRLHRLALSFRGPHHRPRPPRSSTPPPRLLGFAVPSPKCRSRSGAPLSAAASASVRSRRALSTAASRPPWAMIYQTKLVDSPAARRVSLELAEPPSPSHLLVPERLVKAPPLPEQGWMNMVCVFDGIVNSLSGDGLLLLQFLDLRVRADIPEDKRMDAILSPDVAFFVCNPISGQLLRLPDIDGSKKSLCYSKIGIVTQSELPHQPPARYAVAALGQDHYWEWGQQKFFMRRFLSQSQTGEWDNLVGLPSPLPVARRLQCVDHDVVAFAGRLWWVDVGWGAVSADPFSDQPDLRFVELPKGRVTEYVEGMRTLGRYRRLGVSEGRLRYVEVSQEKPFVLSSFVLDNDGSSWMLEHQMALSPLMASGVHPREDDTPRIGVVDPLKSSVVHITIGNIALAVNMGKEEVLGCSALAEACECVGPGAPVERECGFLKACVLPPWLGSSQIPGQRWWIRKLFGHSCKSMYYSHFRGKSGLMAELWAF >Et_2B_020508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20887946:20894645:-1 gene:Et_2B_020508 transcript:Et_2B_020508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLLLRGIRGGGGGAPALLNILTHIKLLLATWEQKRRSPAILLASKVCSYREPHMLRMVNASIRDGPDLANANGSSTTKRSATLLPRGEGDFDANSGHDATIANVSISAKISKKITRKGRTSPPPPLFGPRVQGRRASPTIPPDTSCTAGAEPAGVEMAEAAAGRRTLALVNLAAIMERADEALLPAVYREVGAALHATPVGLGALTLYRSAVQAACYPLAAYAAVRYNRAHVVAVGAVLWAAATFLVAVSGTFAQVAVARGLNGIGLALVTPAIQSLVADCSDDNTRGSAFGWLQLTGNIGSVIGGLFSLMLASTTVMGIAGWRVAFHIVALISVIVGALVGLFAVDPHFINVKNGEQPLRKSAWSEIKDLVREAKAVMKISSFQIIVAQGVTGSFPWSALSFAPMWLELMGFTHNETGLLTTIFALASSLGGLFGGKMGDYFAVRFPNSGRIVLSQISSASAIPLAALLLLGLPDDSSSGLSHGLVMFIMGLSISWNGPATNNPIFAEIVPERSRTSIYALDRSFESVLASFAPPVVGFLAEHLYGYNPISYGAGAASVGRDKSNAAALAKALYTAIAIPMLLCCFIYSLLYRTYPRDRERARMDSLISSELRLIELERCHGVGAYYAERKDSATVTDMEYIEDDFDADDDDEKALMDQQAEETSMEPQRERERRRTLLLVSLASVVERADEALLPAVYREVGAALHATPTGLGALTLCRSVVQAACYPLAAYAAARHDRAHVIAVGAFLWAAATFLVGISGTFLQVAVSRGLNGIGLGLVLPSILSLVADCTDDATRGSAFGWLQLSSSLGHVSGDFVGLLLAQTTVLGIAGWRVAFHLVAAVSVLVGTLNWFLAVDPHFRPRDDDDEPPVGKPQRRVAARRVVAEMVREAKFVVRIPTFQIFVAQGVSGSFPWSALSFAPMWLELVGFSHEATAVLMAIFWFARSLGGLVGGKLGDLLASRYPDAGRIVLSQISSGSAVPLAAVLLRGLPDTPTAGVAYGVVLFVMGVFISWNGPATNFPILAEIVPERSRTSVYALNKSLEAMLSSFAPPTVGILAQRVYGYKPDDKGKSVELDRQNAESLSKALYMAIAIPFLICASIYSFLYCSYPRDRERARMQLLVESELEQMELDSSCLKDGNGLVEVSDPVNGGECEKDDTKITLYRDSECLRLRSHDGRDAAYSGSSSVSSSCSSSASEPSSPPRAASARALSLSTPSRRRRAATPERGGRHQEADGGAGHGAGLGGHREPPEHHDPACAVDRFRDEGVDRRDLQRQSYAVERRGHGHLRMEPTASPISRNTAGSSAASARSIACAANARSSIALRERRCLLPCSAIAFAAPLALLPLDSL >Et_4B_040016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7811847:7815346:-1 gene:Et_4B_040016 transcript:Et_4B_040016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAGVQGNAEINPSFSAPNSSDACNPGTGSKNSSKNATDSSTFGTKTSGSSSSVPPTPRTETEILESSNVRKFTYSELKGSTRNFRPDSLLGEGGFGSVFKGWMDERTLAPVKPGTGMIVAVKKLKLDSFQGHREWLAEVNFLGQLTHPNLVKLIGYCLEDEQRLLVYEYMQRGSLEHHLFRRGSHFQPLSWNLRMKVALEAASGLAFLHGDQAKVIYRDFKTSNILLDSDYNAKLSDFGLAKDGPSGDKSHVSTRVMGTQGYAAPEYLATGHLTTKSDVYSYGVVLLELLSGQRALDKNRPPGQHNLVEWARPYINNKRRVIHIMDSRLNSQYSLPAAQKMAALALQCLSMDARCRPGMDQVVTVLEGLQVWKGALKTGKIADHQNYKHDRLGIGYGAQYHDRDLCPHHGTLDNLDDHQRIRTGQRHTPDGLHKHLHQQQGEGLQLSDDNHAAPQARHYLHNGSRWPADIAAGEPEIPCARIPLYRLLGELEVLRVSPGAAH >Et_8B_060081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5851441:5855567:1 gene:Et_8B_060081 transcript:Et_8B_060081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFKTSSSFYSTQQHQCLHSAKDQFLYGSSHSKVRQCKIKKIKETAQLSVKATSSKVELDFNDPSWKQKFQEDWDRRFNLPSITDIYDLKPRPTTFSLKKNSDENDAPIDMWNGYVNNDDRALLKVIKYSSPTSAGAECIDPDCTWVEQWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNIVGIPFGYRGFFETGLKEMPLSRGLVENINLAGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVLGGNGTHAGANAIHEECRKRKLKVSVVAVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSNGQVDVCLIPEVPFKLNGERGVLRHLEHLLNTKGFCVVCVAEAAGQDLLQTSGATDASGNVIFSDIGVHMQQKIKMHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSCVCNTHYVYLPITEVITAPKRINPNSRMWHRCLTSTGQPDFD >Et_3A_023794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12342535:12343016:-1 gene:Et_3A_023794 transcript:Et_3A_023794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFGLGGALGLDLNKCEPWKFSETGTRSAWARAPLSTSPPSSTTSPPRSRARRQRHPRQQEPMIQRHVLLAIPNDEELGRLLAGVTIAHGGVLPNINPVLLPKKAAERVEKAEKTGAKSPKKVKVATKSSQEVDSRTCRILAD >Et_10A_000569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1341981:1344216:1 gene:Et_10A_000569 transcript:Et_10A_000569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVERGAGGVEVAKVAPGAVSAGERAVKRRRVAAAGVRRPGGGGGGGGGGAGVGRRGRRRRVQADAAAVQRLFQACRAVFRGPGTVPAPAEVQLLCAMLDRMKPEDVGLSPDLRFFRTRDAVEGTPMITHTTIYKSPNFSMVIFFLPPNAVIPLHNHPGMTVFSKLLLGSIHIKSYDWVDPDSDPSVSCSSSSSDQLRLAKLVVDDVFTAPCDTSVLYPTTGGNMHRFTAIAPCAILDILGPPYSIEEDRDCTYYTDIPYTPCSTADGPGDLSSVEQDQGRLAWLKEIDMPRELKMCSVQYGGPPISDK >Et_2B_021282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28251687:28256736:1 gene:Et_2B_021282 transcript:Et_2B_021282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLVAAIPGGGAAAAAAVGGLVAAAALADWAGLVRPKNRLNVPPERCGDGIRFLQSPPPLRTKLPLVPAMPEKQNLHAFISFRVAVPGLPLVGNLLQLKEKKPHQTFTKWAEAYGPIYTIRTGASSVVVLNSTDVAKEAMIAKFSSISTRKLSRALTVLTRDKTMVATSDYGDFHKMVKRYIMTSMLGTSGQKQFRETRNRMVDSMLSTFQSMLTDDPNTPLNFREVFKNELFRLSLIQALGDDVSSVYVEEFGKVLSKEEIYQATVVDMMMCAIEVDWRDFFPYLRWIPNRSFETRVLTTEARRTAVMRALINEQKKRIERGEARICYLDFLLAENTLTDEQLVMLVWESVIEAADTTLVTTEWAMYEIAKHPEKQDRLFQEIQEVCGNETVTEDHLPRLVYLNAVFHETLRRHAPVPLVPPRFIHENTTLAGYDVPAGTEMVINLYGCNMNKNDWDEPEEWKPERFLDGRFEAADMYKTMAFGAGRRACAGSMQAMNISCMSIARFVQEFEWRLKEGDEDKVDTIQLTSYKLLPLYVYLTPRGKK >Et_3A_025276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28420049:28427335:-1 gene:Et_3A_025276 transcript:Et_3A_025276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMRASPRASGARRVFARKRGRPDDASANSARKLQRREVAAGSGRAFSPCLRRERFRNIQLQNLILMILWRKVFFILPSLRAQSAVREIFGAQDIIFALSHSGVCAALSRVTKQRVCFLNGSPDEVIVSLFYNKANESIITVSAYASEDLRNLFCRTTPIECIRQAMPGAGLPLFEEELLNEKCFVEVDDVNGKVLIHWAHDSPGILVLIYRKEGYVSLEVRSIEDNKSLRPLEHLLHRSKPVEFIEQFDEKFFIKQKGEDLQILDIRDSSLAKVSRKEFVNPTSVIFLQRLQMFLTFHGRSMSVWNYRGERVTCFVDHVLWYPKCHETCSIYISEMLTGKCLAKIKAGDLCKQKKALKFQKTPAEALRDITALYYDEEHEEIYTGNAEGLVGMEARRVSIDRRLSCPRRVLARKRRRLDASANSARKLQRREIAARPSCAFAASVTRERFRNIQLQEEYDTNGSNAACVIPPYLKNSSEITEIIGAHDIVFALAQSGICSAFSRVTNQLICFLNGRSDEVIRSLFYNKNNDSLITVSVYGSEDYSALRCKTTPIEYIRRAKPDAGFPLFETESLGLPGFVEFDDANDKVLTYSADNSTYKVFDLKNYRLLYAICDKNVQEINISPGMMLLQYPKVGGYIPLEILSIEDGKRLMSFKHLLHPNKKVDFIEQFNEKLLIKQEGENLQILDLRDFKLPEESKAEFVSPPAFIFLYERQLFLTFNFRSVLVWNFRGELVTSFEDHLLWNPDCNSNNTYITSNQDLIISHCKDDICSDDNNAFSINISEILTGICLAKIKGGDLCKQKKSTMFQNSPSEAMRDITALYYDEERDEIYTGNGQGLVH >Et_9A_063423.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:23809968:23811419:-1 gene:Et_9A_063423 transcript:Et_9A_063423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPNPTPTVVLHACLGVGHLIPMVELAKLLLRRGLAVVIAVPTPPAATADFFSSTASAVADLAAANPAVTFHHLPPPDYPAPAPDPFLEMLDALRLTVPALGAFLRSLPSVAALVLDLFCVDALDAAADAGVPAYFYYTSSAGDLAAFLHLPHYFSKSQDGRSFKDMGKEELLRLPGVPPIPPSDMPHTVLDRADRTCATRVAHYGRIPEARGVLINTYEWLEAPAVRALRDGVCVPGRPTPPVYPIGPLIVRAKDSEVEGEPVRHHPCLSWLDAQPERSVVFLCFGSLGAVSAAQLTEIARGLESSGHRFLWVVRSPPEDAAKFFVARPEPDLDALLPEGFLQRTRDRGMVVKMWAPQVEVLRHRATGAFVTHCGWNSVLEAASAGVPMLCWPLYAEQRLNKVFVVDGMEAGVVMERYDEELVKAEEVEKKVRMVMESGEGEKLRERMALAKEKASEALADGGPSHMAFEEFLKDMKKLAK >Et_1A_007878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39147075:39152676:-1 gene:Et_1A_007878 transcript:Et_1A_007878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLGTPASPQTKYELIRAITSCGIMDEIGCYGHVNFTAKGNQKDSKEELFFAEVRREGYLNFVPTCVVSLEGGKSVGEFETINSSGLYNTRYDNYNGMGVPMDAQHCYGCGRKLKHPKDVTELFFTEICCVRDTYVTTCVLSLEGGKKVGGLRESVYDNYMGKGIPVDAKHCYACGNKLKHPRDDTDTEDDDDPCKYLVDSFTATAEDVCRPTLPEIMFSLFGRLQLQLRLQKMDTGLVMVMVRSKEDSSPVPSRGHCSRENFALLE >Et_4A_033457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2329604:2332820:-1 gene:Et_4A_033457 transcript:Et_4A_033457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSATPRQSSTSHLFFSLSFSLALLCCIALCNAAGDEVTALLAIKKSLVDPLGKLQGWNSASASTHCTWNGVKCNGKGVVTGLNLAGMNLSGTIPEDITSLSGLTSIILQSNAFADELPLSLVSITTLQELDVSDNNFGGHFPAGLGACASLTYLNASGNNFAGPLPADLGNATALETIDFRGGFFSGTIPKSYGKLKKLKFLGLSGNNLGGALPAELFEMSALEQLIIGYNEFSGAIPAAIGKLANLQYLDLAIGKLEGPLPAELGRLQYLNTIYLYKNNIGGSIPKELGNLSSLVMLDLSDNVLTGAIPAELSQLTNLQLLNLMCNRLKGGIPAGIGELPKLEVLELWNNSLTGPLPPSLGSAQPLQWLDVSTNALSGPVPSGLCDSGNLTKLIMFNNVFTGPIPAGLTACSTLVRVRAHNNRLNGTIPAGLGRLPRLQRLELAGNELSGEIPDDLALSKSLSFIDLSHNKLRSALPSNILSIPELQTFAAADNELIGGVPDEIGDCPSLSALDLSSNRLSGAIPASLASCQRLVSLSLRSNRFTGQIPPAIAGMSTLSVLDLSNNLLSGEIPSNFGSSPALEMLNLANNNLTGPVPATGLLRTINPDDLAGNPGLCGGVLPPCGTSSLRASSSEASGLRRSHMKHIAAGWAIGISAVLVACVAVFLGKQLYQRWYVNGGCCDDAGLEEDGSGSWPWRLTAFQRLSFTSAEVLACIKEDNIVGMGGMGVVYRADMPRHHAVVAVKKLWRAAGCPEEAATVDMEAGGEFAAEVKLLGRLRHRNVVRMLGYVSNNLDTMVLYEYMVNGSLWEALHGKGKGKMLADWVSRYNVAAGVAAGLAYLHHDCRPPVIHRDVKSSNVLLDTNMDAKIADFGLARVMARAHETVSMVAGSYGYIAPEYGYTLKVDQKSDIYSFGVVLMELLTGRRPVEPEYGEGQEIVSWIRERLRSNTDVEELLDASVGGRVDHVREEMLLVLRIAVLCTAKSPKDRPTMRDVVTMLGEAKPRRKSSSATVAATVVDKDKPVFTTSPDSGYL >Et_4A_034732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5978879:5985828:1 gene:Et_4A_034732 transcript:Et_4A_034732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPAIRKPHLHRRDRDEASPSSPPPGPGHTPSTRGFAVSDRPATGTPAPWTSSSLVARISTSKRADRTGDSDQIQPVHVAEFPQVVRNAQASLLKKNYYGKNMLAGGIDKDTSLAWMICGNELFIWSYLAAVAKDCLVLVVPSSLTGNEDTKPLSGSEWAVCITRWHSSDASQMSSGELLHRRSSTGAILCNKRTQAVAYWPDIYDENRSPIVSSVGYVEESASDGASDCSRFNSIIAAAVPGGVHECTAIASEPGGALWLFRCSAAGIHRRKVHRDTLGDSSADHSQESNGGRSLAWLPSNVSSEAGDRIFFLLTNHEVQCWSISLLHDANLKKIGSQEIVGTDGDVSIKKDIAGQKNIWLLDMQIDEHGKEFSILVATFCKDRVSGSNYTQYSLLTMLYKPNQKFPSEDNALKIERFLEKKAPSQVIIPKARVEDEEVLFSMRLKTGGKPSGSVIILSGDGTATVAIYWRGSTKLYQFDLPWDAGKVLDASVIPSAEDRNEGAWVVLTEKAGVWAIPEKAVLVGGVEPPERSLSRKGSCNEAVAEEKRRTQGFSASVVPRRASSEAWGSGERQRPALTGIAQQTVVDEESEILLNRLFHDFVLSGAVNEALQKLRAVGAFEKEGEMNIFVRMSKSIVNTLAKHWTTTREAEFLASTIVASLVEKQQKHEKFLQFLVFSKCHDELASKQRAAMLTIMEHGEKLSGMIQLRELQNKLSQQYSSTHLSPQSKTQTSGALWNLIQLVGEKARRNTVLLMDRDNAEVFYSRVSDIEDLFYCLSHQLQYIIIREEHPSVQIQHALELSNACNNLIQAALHFREEHKEWYPSPEGLITWNSHLIVRSGIWSLAVLIMELLSESGAADMSVKSSLWFQLEGLTDVLLEAYICLLTAKFERGEEHGVLVQEYCERRDELLGSLYNLAKQIVEAKYQESREGTDNMELKESIFREVTSPILATAKRHEGYQTLWQICYDLSDTGLLRSLMHDSVGPHGGFSFFVFKELINNRHYSKLLRLGEEFQEELASFLKDRSDLLWLHEICLNQFSSASETLHNYALLRGREEDASLTTSRKPLSFAERRRLLCLSKIAAAAEIVQNDPEYAQGKYANKLLDPSELIEMCLKRDRELSLKAFEVFASTSSSFRRSNKGLLEACWMNAANQDDWVKLLQASTSEGWSDEVIQTSLQGTVLFNASRLCYSPDALVYDGTFEDVLPVKKEDVHLRGLESKCFSVEEVLMQHKDFPDAGKLMMTAVIMGKELSYTAAEPVEMES >Et_9B_066042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21194468:21196942:1 gene:Et_9B_066042 transcript:Et_9B_066042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTFVLYPSLGVGHLIPMVELAKRLLRHGLGVVIAVVDPPDADAVSTAAVARLAADNPAISFRLLPAPASPDTAAHPVKRSLDTLRLANPALRELLVALPAVDALLLDMFCVDALDVAAELGVPAYFFFASAAGDLAVFFNLPYLYPSLPASFREMGNALVRCPGMPPIRALDMPLTMQDRDSDPTKVRLHQFKRIPEGAGVLVNSFDWLEPRALRALADGVCVPGRPTPRVYCIGPMVDDGKKKKKGESGERRHECLVWLDAQPKQSVVFLCFGSKGAFSAAQLQEIARGLESSGHRFLWAVRSPPEEQEKFPEPDLERLLSAGFLERTKGRGMVVKDWVPQAEVVQHEAVGAFVTHCGWNSALEAIMAGLPMICWPLYAEQGLNKVFMVEEMKIGVEMTGYEEFVKAEEVEKKVRLVMETEEGKMLRERLAVAREKANDAINEGGSSEVAFAEFMKDLEKRQSTSENGESKNSSNAICDGPPSASASAAFSFANAILFLSFSPSSDSITSLTFFSTSSAFTSSSSYPSITTPASIPSTTNTLFSLCSAYSGQHSMGTPAEAASSTEFQPQCVTNAPVAR >Et_3A_024486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21243988:21249793:1 gene:Et_3A_024486 transcript:Et_3A_024486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNAAGAGAANNNPNKSIEVNPAPADSVSSLSFSPKANHLVATSWDNEVRCWEVLPGGQCQAKASIKHEQPVLCSAWKDDGTTVFTGGCDKQVKMWPLLSGGQPTSFTGHEAPVKELAWIPQLNLLVSGSWDKTLRYWDIRQPQPVHVQQLPERCYALSLSYPLMVVGTADRNMIVYNLQNPQAEFKRIVSPLKLQTRCLAAFPDQQGFLVGSIEGRVGVHHIDDSQQSKNFTFKCHREGNDIYSVNSLNFHPVYGTFATAGSDGGFNFWDKDSKQRLKAFSKCSAPISCSTFNQDGSIFAYAVCYDWSKGAEKHNPSTAKTNIFIHSVQEADVKGKPRTGKK >Et_10B_002443.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4299252:4299782:-1 gene:Et_10B_002443 transcript:Et_10B_002443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKIMARSSSLYTEARYYHMLGKTVAECKVGYQPLLKSLHSLAGHDVRKDKDYMVAIAVLIVMLFEAPRFPAVFELNMNLLEELKDGLVGDDNEDWITNWSTKSWNFYVANGEREIIPFTEQTNDAIEKVASGLRILCRSMWDSWLEMNDSNKKEKEKFTPGASSKIREVLDSRK >Et_6A_047207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26794489:26796779:1 gene:Et_6A_047207 transcript:Et_6A_047207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRYLIVVDDIWETSTWEIVKCAFVDGNCGSRIITTTRISHVSKEIGEVYKMAKLSDMNSKKLFYRTTFGGEEKRPCSAELDEVSDKFIRKCHGVPLAIVTIASVLANKPLEEWSTVYTSIGFGPDGDEKVENMKKILSFSYYYLPCYLRTCLLYLSILPEEFTIEKRELILRWIAEGFVQEEKGKDYFEIGDNYVRELINRNMVIPIEEKGSGLLIGCRVHDMVLHLIRDMSSKENFMVVLAGEQQPPAQDTNIRRLAIQMSEVKTSNYKDMPQVRSFNAIICGARLMPPLCSFNVLRVLVLELCIGMEDYPAEHITKLLHLRYLGLSHTPVLKLPKEIGCLKCLQTLLLDDTGIEELPLSVRQLTQLMCLRVDKKMSVPKWIGKLTSLVELDMYHEVPEWSSVVGPGIYRGVPNKCSTSQFVKELGKLTNLRVLKTGINLQDEGQGRDFLESLSKLSKIQAIDISLPSAFLPMDLATMEPEFALSSSLRVLDLYNLEFSRVPAWINDERLPNLCQLAVLTRTSSSREDEQDLAVLGKLRGLRKLVLVFVAVYRLDLAVCGSDGFQNLISLEVTAPLKFAHGAMPRLEYIKFSIPVVELKDAGIDLEFGLENLSSLRSVSATIHCADACSMEVDEAEDVLNRAIDIHPNHPAREVTKMNEDKIGPADAFSRTWNRTNYKILKAVEVARYHGADIIVQQDLYNQLYNELAEVARSMPSNHPCVAK >Et_5B_044225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21686186:21688225:-1 gene:Et_5B_044225 transcript:Et_5B_044225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLNMEEIVRGAEKVGFEVVVSEEEHEVALFAEMANSPSRDMALRYLKYQMTPEESTLIDQCPRDPDGVKSEG >Et_10B_004306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3358871:3360397:1 gene:Et_10B_004306 transcript:Et_10B_004306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVEAAEEWSSCAFSLICEEDGADLGDGVVDDGELFSLYNAVDEEEEEEYVEQLVFKEASFCSSSDSAAEDDGDDDVDEDGDRAATSEEWFQQARLAAVKWILETRGCFGFGHRTAYLAVAYFDRFWLRRRVDRAAMPWAARLLSVACVSVAAKMEECAAPPLSEFVAGGGYEFCPASIRRMELLVLSTLGWRMGAVTPFDYLPCFASRLHQHDGGDHGGARVALKAIGYIFATAEAGSVLDHRPSSVAAAAILAASYGPLLTKEALDSKMSCLPPSCLIDKAHVHSCYSMVVGDMNRGSGKRSLPCSGSNEVATSTYDSVLLDDVTDTAAFATAAVARNKRIRLELPGIR >Et_4A_032674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12430289:12433655:-1 gene:Et_4A_032674 transcript:Et_4A_032674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLEWPLTSYALQVFSSQTGRWEERMFDREGGAARVIGDTRRCQPWAYYGAVYWRGALYFCHDDDSFMRVFTSNTKYKLVPMPTDVECLHHGTFRRSEKGVYCTFNHDWHGLWIFYYLNESHEWLLKHRVDFTTFARKLHARKEYDQPITRPWILQNINDDNCSYGNDKKEIAEESYEWNSDDDNVLNTEDMVEGNYDGFTGSLGFHPYKEVVFLDASLRRCVAYHWNTSKFQDLGDLFPTDYHEIAGHGRSEMVDNVGDLPDDVLAFVLQRLPPRSLAACRCDAVDARRLLRADLLPRAVGGIFIEHCLLFRPEFLRRPFAATAAGGAHPPVSASLDFMPRVRRVLDHCEGLLLCEYYEDALYVANPATRRFARLPPCPASAPPPRPRDPSDHALCLVYDPAASPQHYEVFAVPLVPEGKHLDAETLRSEWPPSSCTVPVLSSESETGRWQERPFVREGGAAGTVAYLSDGRADVPQRAYWRGALYITFLLKISLSDSFYLGRSEKGVYCAQSNYKFHGLSIWFLDESNGHMEWVLKHRADPAIFGRKLHASVELGDDQQPRGPWVLDDINHDRQQPVQTDDTLEWNSDDESVPDADDTIEGRYKVGTTFLGFHPYREIFLGVSLRRAVAYHWNTSKVENLGNIFPEEYDIKAELWADIEKSFVYTPCWVEEFPGTN >Et_3A_024302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19319116:19323419:1 gene:Et_3A_024302 transcript:Et_3A_024302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPPPEAQPPPAAVAEPVEKPPAAPLTPEEPDAAEEEAAVGDGAGEADEEEEEEYVSDPDDAPLPTMRRRDAASDDEGSEDGRPRARIGLDLDDDGQGAPEAYDDEEDDEYYDEEEEVGEGFAEEYEGRAPQAPAPAKEDGGAGSGQGARVEDGEKAGEEGMAEEEGEGEEKEQEPFAVPTSGAFYMHDDRFQEENRGRRRRMFGGRKLWDAKDDQAWVHDRFEEMSVQDERYEDKRMSRGRFRGRGGGGKPRGGGRGFVRGGKHRNYHEDVNTQNPPPKVVRGRGPRRYEAVARNSRDVGSQRKQAARFRESAPNAAPVRESSQVSHAQPEAAPPKRNVINSSLNYASPPFYPSGASNQEFSVGRDMQAGGSNKAIPSSVKMDEKRQQSGPMGRGRTAVDYSGRDRFHADGPVRSSPGRATTTSSGFTGSSVNSGQSSIVRSPGGSSSIGNQPTSSLRQTSRTSTQPQSNTSVMQQKSGQIANTSATRVPSQQLSNRASNPSSAVQHLSVKSTESGENGAYPSPNNPKTPPAVSIVNNQESGRGSFVYGGAQVIGAAGAVGLSQGDQNFPGTPALLPVMQFGGQHPGGPGVPTIGMALPGYVAQQQMGMGNNEMTWLPLLAGAAGAFGGSYPPYITLDPSFYSRPSGQTSSSVPSREPNGNRGAKSPPQNDMGNEELDQRQNKPR >Et_9A_061120.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16925791:16925904:-1 gene:Et_9A_061120 transcript:Et_9A_061120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKARMLLALGSGTRIRRSGESPTSPASSSSDRFRR >Et_3B_030337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32284791:32288932:-1 gene:Et_3B_030337 transcript:Et_3B_030337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLAVATLVAVCASVVAAQKQPPLPSNYHVITPGKFNRAQQLACNDPKNNQPGCTAKCDKRCPNQCIVLCPGCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKNQDFCILSDKNIHINAHFIGKRNPTMNRDFTWIQALGIRFAHYRLYLGAQKTTKWNNNVDRLEMSLDDEPIRIPTEAGARWESVVVPGLSVTRTATTNGVRVQLTGVFDIVANVVPITEEDSRIHNYGVTEEDSLAHLDLGFKFYDLTDNVHGVLGQTYRSDYVNQLSVSSNMPIMGGAPKYVTSDIFATDCAVARFDASRTGISMQPPAHHPPSPLPPNYHVITPGKFKRDQQLACDDPDNKKPPCNAKCDKRCPNQCIVLCPGCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDQDFCIVSDKNLHINAHFIGKRNAAMSRDFTWIQALGVRFAGHRLYMGAQKTAKWNDEVDRLELAFDGTPIDIPAEIGAVWESTVVQGLTIARTAIANGVRVQLKGVFDIMANVVPISEEDSRIHNYGVTKDDSLAHFDLGFKFYDLSDDVHGVLGQTYRTDYVNKLDVSSKMPVMGGAFKYVVSNIFATDCAVARFGASHAGISMVTARAY >Et_7B_053408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16525513:16526586:-1 gene:Et_7B_053408 transcript:Et_7B_053408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEHHLFKIPGAVLDQSPPYCGFNLSSGSSSWSCSIGPYTFESCSSVKPQRSGSSLAYSFSLVLPDGSRGVPLDARFKFSLLDRDGLPVPSRTQASSFQNWLTHHRWVWSCDEFITSDDLRRPVYLDGDGYFTVRCDVALKRATSAEEHLGKLLTEEEGADVTFQAAGGKTFAAHRCVLAARSPVFRAQLFGQMKEGKQQSTGDVTIPVPIDMEAQVFRSMLHFIYTDSLPETDNDDDDDWVVLQYQHLLAAADRYGLDRLKLVCQEKLRKHIQASSVATLLASGERYHCRGLKDACFDFLSSSANLESFTKTNGFDLLLSTCPAVLKELLAKLSTTLIFE >Et_1A_008221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5339090:5348699:-1 gene:Et_1A_008221 transcript:Et_1A_008221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDPPAMQVDCQLQSDVEKASSISYDPKHTLSHDDREWIGSDVPPTNEAVICNPDEVNNSSHMCIDEVSLENSPENSQGIELRKANNIDDSSSNDVKLQLSVTTGNNGLQSEDGDFNNKHNKEDKHHPQEEIHPPPGSSPRSCKLNGGALPSQGEKIAECVEVDDNIDAASKKVGMDSIACHAVQTDLQCTLEDLSEAACSIDLVPNKSSMPEESERSVSPVNGTDQPVHNSSCNGDASIKGSESSMGNNGGEEDHVVALWVKWRGKWQTGIRCCRADCPLSTLKAKPTHDRKSYIVVFFPRTRTYSWVDMLLVLPIEECPLPLVNGTHRKWRKLVKDLAVPRRFIMQKLAISMLNFSDELHIEAVVENAQKATTWKEFAVEASGSTDYTDLGKMLVKLQNIILRDYVSSQWLQNSFGLWKQKCMNANNAESIEILYEELRQSVLWDKIDELWNASVQPELVPEWKTWKQEVMKQYFSSHAAGNAGNYDKNSCYDDPALDQQVSRKRPKLEVRRGETQNSHMGDTNCRPLSEDPNWVNVPMHETAGVLESVNQNNTVIFPINSGGQEITESSSANRTLRNARLELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFVDHSSREDKALAFETPLCSGTTNIGRKCKHRAQHGSTFCKKHRTQTNLDTTHPENIFSSSDVFHGREESPKSVQEVSNSHAIYGIGSERDMNSQVVAQGKLTLTVAADVSDEKARPMGDLCTTSTSMTNTDSDTSLCIGIRSHDDTAECHDYAKRHTLYCEKHLPKFLKRARNGKSRLISKDVFISLLKGCTSRKDKICLHEACEFLYWFLRNNISHKRSGLVSDHMPQILAEVSKNPDIGEFLLKLISSEREKLANLWGFGTNRSKQISPENKEVSMVLTQGEGFNLSSGLKCKICTHELSDDQALALHWTEVHKKETRWLFRGYSCAVCMDSFTNRKVLERHVQERHGAQYLQYSTLIRCMSCNSNFLNIDLLWQHIVSDHAQEFRILDVPQRPRGRSVQRTGGRSGMPLYNGHHQVSHVNPGTDDFIPPGLGKYQLNRGRHYYSAFKKSLRPTSTLKKRSSSGIEKNFKYPSSGLPMVTSQIVESETASLGKLLDYQCSDVAQTLFSKIQKTKPHPSSLDILSVARSVCCKRSLLATLEVKYGCLPENIFVKAAKICSDSGIQIDWHQEEFLCPKGCKFRSYSNALLPTVTTTVDLSEPPAVTDPSNDHEIWGMEEYHYVLDSAHFRWKLKKERVVLCEDVSSGREKVPIVCAIDVDAKDSLLVKPEELLLDNNSVPWQGFQYITERLMDPTLVDSENSMAGCACSNAQCSPEKCEHVSFFDRAYENSVDIQGSPMRGRFAYDESSKIILQEGYPIYECNSSCTCDTSCQNKVLQKGLLLKLELFKTENKYVGEVVKGDDVMRNAEREAEGGGCSYLLGIASQIDRERVQATGTVVYMIDATRYGNVSRFINHSCSPNLSTRLVLMESKDCQLAHIGLFANRDIATGEELAYDYRKKLVPGSGCPCLCGAKNCRGRVY >Et_10A_001574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5296971:5301198:1 gene:Et_10A_001574 transcript:Et_10A_001574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KKSEVVRVQEMWLNWSCRFVAFIEWIANGVGGISLVWTGAVVLGTFGSLLVPSDFWSATAITFIEAFRLFNRENKLGNKSWFETRRAIRYITFPLGPKSQQWKEFNVVLLLASLCINSMKTCRHKALIGIGIAVTVILLKKLRFPWVHFLRCYQRFFLWAILFAVITVSGTATYGFWKEGDIYLVTLSLAALVPQVIAVLLLNSRPQIELTHRPLICKLLHLVKFFSAVCLLIPEQWGISLHAHFFMVFSYLGMPFSMLLIAIHSLQSFEQSLRGCSIHSFLQLCLLCKWTAILFPLDSGSTLLGIFVVTLVGNLQVPTSVGCIIVSVWRLQEQSGAYKKSENPNLASAISLFYYLVLFQACLYILACIVDATLFFLRKSVADCCGLTGSHGAKFVDLYYEHIFKEYLEGRVHELEELDLPTFAIEALNSKSCEIQVNGLQALHCFLLQKDTGIISKISNPGKTVTQLLRKLGWTRPEQCQIRVLASTVIADLAPRIRMSGIPGGMELVSSLLDYQIQPLGDNSEANIGFKFPIVAEILKVSGLIPKIVAFTFSTTYTSNTDNEPQRELVNSSLNLVKTLASTGGKLGVKLRKEISENPLLLSNLTEILSDSRLDPELLEPTIDIITKLAMDISTRLEIGRIPVIMTKLMKTFLDGSSNCALRKLAGSSLAMLTMDITGNSLTILNKPLHHLIVDLNKMLLEDDYTLVSARILRNLCTYTRSELCPPGSYVQISSPIQQVMELLMRAEGKELEALVCLTSQIRNVIPICFAQYLDSLTNVAPFVQKLVSELNARKKPSPDCPRMRRAIVELAISIVESSPRYAMIFKECGIMEALDRVDMSPSGVENYILFYGGEGVVLERSCDDLSSLVAKAKQIFGITRPRDDPADHA >Et_9B_065457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5202778:5203834:-1 gene:Et_9B_065457 transcript:Et_9B_065457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLLHRAIVPLLLLLAQAPEDGDHGASWRLAVETNNLRDWYSIPAGCRGYVSDYMFGELFRQDCATVAGEAAAYAEALEVAGDGKEAWVFDVDDTVLTNLPYYADTGFGAEPYNATYFDEYVANATAPPLPEVLVLYERLLALGIKVVFITGRHEYQREPTIKNLRSAGYHTWEKLVLKPSSLGSSVVPYKSGERQKLVGAGYRIVGNMGDQWSDLLGAPEGDRTFKVPDPMYYVS >Et_3B_031497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32043363:32051174:-1 gene:Et_3B_031497 transcript:Et_3B_031497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSPSRPNACAAGEPPEPLPSRFTRFRRRLRLHRRRSGSGDDSARAILADEFAGIARIRIVKADMQFKDKFFACLSLGERTYRTETSDKCEKKVIVETNGPHIARISVFETNRFSKNTLVGYCEVDLLEMLTKELDEHSEDLSLMDPSSPNTTVGSISISCYIEDPVETEQSFARRVLAIVIEELFRQADTNGDGIVDIYELSALLADQQEREPLISNCPVCGEVLGRYDKINDMIHMTLCFDEGTGSQIMTGGFLTDKQASYGWMFKLSEWAHFSTYDVGLHSGSAASHILVFDRRTKRIVEEVIDGKIVLSMRALYQSKVGLTLINTGVKDILKNLSEKQGKKMNSLESAKDIPKFLELFKDQINMDEVKDPIESFKTFNEFFIRKLKPGARPIAYNDQDSIATCAADSRLMAFSSVDESTRLWIKGRKFSIEGLLGKSVQSDALNNGSLVIFRLAPQDYHRFHVPVSGTVEKFVEVPGFLYTVAFVAVGATMVGSITFLKEEGDYVQKGDEFGYFSFGGSTVICVFEKDAIQFDADLLANSERSLETLVSVGMTLGVSKRNKGLQVPELQKCSLE >Et_3A_024166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18005581:18014777:1 gene:Et_3A_024166 transcript:Et_3A_024166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDALVPPPDPVAATDAAVLTRRRSHLDSASYRTLSRLFAHCFHLHPSRREAPAPPEAEPATSKPTGVESGDSPQAPEDADFDRRKDVEKEAVSAGSSPRQETVSPVMDRPVLAKPTGVPGETLQGSLEDAGELFVKSTCSKAGDGGEELGVDAGLLVEDEALKSVKSCLEGEIDESMEAVGHENGQLLDAMMTNFTGLIDDVGAGSVPEQSCAILGGELQNIDEESKQLGDGVEEDGPAGNSGYGKVDVGGFEEGEIEGELQDLDAEESGDSEPADENADGEEQGRDSVSKGSGANESCDHDTRCGNVHLTPEMCATRAQAVSYDEVLDWNETPLPDDEDLKPGKKRKRNLTEERKAKKTKNKRIKRAQQRIAEGVHKLKLQPVIKPKEVKPCHFYNHGKCQQGDSCKFSHDFIPSTKSKACKHFASGSCLKGDDCPFDHELLKYPCHKYVSGKCSRGDKCKFSHVKSDAPLAFGKTNLTGQAGSQKTSSVHSGEPMISAPSKQQYSILKTLAGISINSQGASTRIPKGVQFLPFGRDGSNSSSVHQDLSAEKHRNANGTRNQFAGHQAEGQKIVTQNDQKPVKPLDVKDSLKEATLPTDSTATPGSIHTQSEVSEASRILQDFLFGVGKTEESMPNSLNLFLKEKPYPYRMIMPRIPTAP >Et_6A_048105.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:6408926:6409405:-1 gene:Et_6A_048105 transcript:Et_6A_048105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLAAYAAHPVHVAAVRAHVAPNALDATAVGWVNAAPIASPVKPGSAVRLTLAKPKKRVTPAQIVETVTAATNRADEVLGANKVSFGLSFGENFSPERAKGYQFGMVAMFDSVEDLDAVEGNHKVLEARASVRALLLDDVLVLDFVVGPAADDASVRQ >Et_10B_003539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2978139:2979858:1 gene:Et_10B_003539 transcript:Et_10B_003539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEVANRAAVESCHRVLALLSQQQDPALLKSISSETGEACAKFRKVTALLGNGGGDRSGHARGRFSRRGRPMGFLNQKGVLGSGSGNPMEAMPGCSAAPSPSTSYAQLRARVNGASESRGLDLASSSGAHQFGAPKLVQPLSVQFQIGNVAHRYPFHQQPARQKLQAEMFKRSNSGISLKFDSPSASGATGMMSSARSFMSSLSMDGSVVSLEGKRPFHLVGVPVASDPADVQHAPKRRCMGKGEDGRGKCAVTGRCHCSKRRKLRNKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPAMLIVTYEGEHNHTRLPAQSAQT >Et_4A_033984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28755620:28761589:-1 gene:Et_4A_033984 transcript:Et_4A_033984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLRSTLRLCSRPSVFPGTPRRRPGATAQRDPPPLKSAASALPDKLLGRPSRLAYEPWNTRLGIGVGSEKKVELDGTIKDKDLLHEQRAQQIAVSIAGKCGPIWFFVMDVGEMSNKEMKDGYANYFKVTDDIWPAVICKGSSHRDGSIYKGKWEEAYSMDIADRDETVLQRKKRSTDFDCFPDQETCICHGPDDMIQIFSLSLAKTPSNSGPTQLYGYMAARDDIDGKFNYVFNHSRDDPVIVQQGSLLEMTGPKRGIVMLADILFEFDMRIKTGEKEEDDILTHYNDRMTPLPITVRISGNCGGAVDMSFSLVQSAVEAVIEVVISASPEHFRFMSQLHCLRCRSVQRS >Et_6A_046885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2203878:2204860:1 gene:Et_6A_046885 transcript:Et_6A_046885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRKIPKCLCETSQTFEVAGRGSGTFPAATAAGWAWELYLELLHGDHLKHTDPLKFSFTLLDQAGNPVPKVPRLHQAQRLEDSGCLKDDSFTVRCDISVIRDSTKSTCRPTVTVPPSDLHKHLNKLLCEKQGTDVTFDVAGETFHAHRWLLAARSPVFKAVVASNTKDDKSSSLCSMKIDGIEPKVFKAMLHFMYTDALPDDMLLENQDTTVVQNLLAAAQRYELVRLKLMCEEALCKQINVSTVAATLAVAEQHRCQALKAACVEFIGRPGNLKAITETQGFEKIKATCPAVLMELVMKQVA >Et_8B_058852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1003486:1007310:1 gene:Et_8B_058852 transcript:Et_8B_058852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EHGGDLNEAVNAYFNEGDRATTRINQNPVPSSHDDMMDLDEPLDPMFDRPSFPSSFGNPFSLLDPSFPRRAAAGIFGMGPQVTHPREVRQIPIEVKDNDTHTGSSGQGPVIEDVTGRESLYGPEVHGTVIVDEDDDEDFPSAPFAHDPNTPSNTARPNHSVPSAPPLVDVADYNNDIEEEMIRAAIEASKRDAEGMTNGLNRGERENASRGSGDDELARAVSLSLETAELERALRPEGTHVADHSSDLSEKEYNEGPSRAVERHGPTTGKAGTSERTVDEDDFQEDSEDVEEQPLVRHRSRQVRYADAEQPEDMQQADSPPPNPQPRNTQTDRQNNGGFPSEEWGGISSEEHDEAVMLEAAMFGGIPEGAAYPFSFPTHGSSTRYPRVTRPPSPTLTAQRLLREQQDDEYLAALQADREKELMAVQEAERRRQEEAAAREAALERQKKDEEEKLRKQLEEEELESELAAKQASLPKEPLSNDDGAVTIVVRMPDGSRQGRCFLKTDKLQCLFDFIDISRTFKPRTYRLVRSYPRRAFTEGESHMSLSDLGLTGKQEALFLEKISG >Et_3A_023573.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:5580439:5580681:1 gene:Et_3A_023573 transcript:Et_3A_023573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIWILEIAHLKFNLDLRATPDGRPRPTRNPTGAGAGAGFHPRVRARVPVSTRGIFLIWWVFAPPESDPLPSLLSSTELD >Et_10B_003853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6805092:6811387:-1 gene:Et_10B_003853 transcript:Et_10B_003853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAFVPSSIFSVALYTQQELSKNEETLGRALVGSASAILTKCSGSIPPPLFPCLPRLLLPAPGLRLLAKCAYISSGGDPLTISTSSSSPEAADAAASTTMWLFPTKLTQTGTSPTAATVSPDSAMESVTNGDLETVTPSAEGARREAASVARGPHSNTVREGEGLEWGEVGEPEEEGRRRQRPSRRRRRCRERRRQRAASGEDWSGRGGDKGTPRRTARARGSAGEEVAAARRRQAQAAAAASGGGRRARIASSASSGRSSSAVPPVTAAAAGKLPMVVVARSRE >Et_4B_039207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8828072:8831026:1 gene:Et_4B_039207 transcript:Et_4B_039207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNFLTTIKSLKLIEGCKAAQFYAFSSVGAAASTSGSCDAGAASSSGGSRPPPPPPPKSFSMRSGSSLYYPHAAPPPSSASAAEAHHLPCGLPVAEALEPRLDACLRPADLVGALGASFRRVSAAAADGDEDGLCDAYLEQHALFASTGDARLLRRALRCARAHADDPHRRVVLSAWLRYERREDELEPAPPPLAPCTVTTPFLECPRAAVFASAGAVDAAVCPCRRPPPPPSTPPPHRLRRNASSVAGGAGDEYLEEAETSDLWFVIGEEEVACERSCIAALSKPLNTLLYGGFAEAQRDRIDFSRDGISARGMRAVAAYSRHGRVDGFPPNTIAELLGFANKFCCEGLKSACDDRLAAMVRGVDDALALVDLGLEEASHLLVAACLQAFLRELPKSLANPEVARLLCTPEGRECLDVAGNASFALYYFLSSVAMEQDMRSNATVMLLERLCESADLPWQKQLALHQLGCVMLERGEFEDAQGWFEEAAAHGHVYSLAGVARAKFRRGHKYAAYKLMNSVVADHDDHAAAAGWMYQERSLYCVGKEKMPDLQTATELDPTLTYPYKYRAVALLEEDNVESAVAEISRVIGFKMATDCLELRAWLYLVLEEYELAVQDVRAILTLDPGYMMFHGRMHGEQLIELLRGHVQQWDMADCWMQLYDRWSAVDDIGSLAVVQQMLTREPGNSSLRFRQSLLLLRLNCQKAAMRSLRLARNCSAHEHERLVYEGWILYDSGHREEALAKTEQSIRLQRSFEAFFLKAYALGDSSLDTESALSVVQLLEHANSCASDNLRKGQAYNNMGSIYVDCDMLDEAAECYGIALNIKHTRAHQGIARVHYLRNRKQVAFEEMTKLVNIATNNASAYEKRSEYGERDAAKSDLNTATLLDPTRTYPYRYRAAGEST >Et_5B_044953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7633179:7635262:-1 gene:Et_5B_044953 transcript:Et_5B_044953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRVRASRRRRRRNGDADRVSGLPDELLHEILLRLPSTADAARTSLLSRRWRRVWTQVPAISLTDGDQARGASILDAVDAAIAAHPAPALDRLAISLEDEWDSPWCRELDIAARVAPWLRFASRRHAGELKLHLDRPRRYGWIDISSAPWAALKVPVCERATAIDVNVEHYGLKLRFRASGEFSALRVLKITGGFRLHERSLERAVSSQLPRLQELTLCNNNDCRRNLTIRSDSLERLKLGLQVKGTITVQTPRLVRFKMPSLLGLDRLQRAHIAAPNLAEMTWYDTYDPRHNRITEAPRHLRRLKVRLYCLPSWRRCSNGFDTVDELDLRVRIPPPRLGLGILRPFGGPSALPSFMRHQEWEFECKAFMKETARLPQCKVLKLSIDPYELQEYSIVVLHLLRSCANAIKIVLYLDLHGQKVSDFSHPIKKEQGRSSCGSQLTTDGLVLDSLEELELNFYWLEDREVDMVNQLLPSCGADLKRVVIRALGGLSSELSSGKLETVAGFCRPETTIEFHGP >Et_3B_031095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15543565:15544358:1 gene:Et_3B_031095 transcript:Et_3B_031095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTTRITFATEPLLTLDRHEPPPCTAGPPSSVHRHAKVLVPPARLAGVELSPENWQPHFAETFMQTLEPGGAENNRCAICMESLENDAVASGCGAMDPVNLPCFHTRCITVWLFKGRRATCPVCRHDLRDMPVSRPPWASSTPTLPKL >Et_2B_019455.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3772657:3772995:-1 gene:Et_2B_019455 transcript:Et_2B_019455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSILFCMNFAPIKILQLLNVPVMNGFSLLEIFLISYMVNGINWQPDSMSRHCRQKWIKLDGYGLRVENSLLSPYMNILLETIMAIHINIYGRQRYHRKLKFLCGWLRIMLY >Et_4B_037878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24302165:24303304:-1 gene:Et_4B_037878 transcript:Et_4B_037878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSWATPPPGYPHGHGQAHGYGNQQVAAPHPQATAVAVTSASNGVGNPYVVVTPAAATPSTCQSKQAHLQIRSYFATPLPRFNVFIHLLLGRAAAVMKALGRYGKLLEDGTRKAADTTGNIWHHLRTAPNIADAAVARLAQGTKVYAEGGHDRVFHQTFGAMPGEKLRKAYACYLSTSSGPVIGTLYVSTARLAFCSDNPLCYQGPAGQPVECMYYKASSTSISVQSVFHTQQQLISSVQLLVVLPLSQVVSVNPSASTRNRAERYIQVTTMDNHEFWFMGFVNYDKALKNLYEALQHRDVHGHHHS >Et_7A_052814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17437506:17440557:1 gene:Et_7A_052814 transcript:Et_7A_052814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGFSDINLLHTPYRFSNVDNKFTVIGCRALAYILDVDNPGGGDHCGCQRMATCRRGDVTTLTNGSCSGIGCCQTAIPKGLQYYTAWFDQNFNTSAIYNFSPCNYAVLMDSSNFTFLTSYATSLDFNNTNNGRAPQSIGEETCKDASKESSTYACVSSNSECFNSPSGKGYICNCSKGFQGNPYLYDPELGCKDIDECKDPEMYPCSGICLNIPGGFQCVCPPATISVFHRDVKSSNILLDAHYVAKVSDFGASRSVPIDQTHIMTNVQGTHGYLDPEYYHTGQLNEKSDVYSFGVVLLELHLRKKPLFICETGIRRSLSSHFLEEIKRRQITEIVAPQVLEEASQNELNSIGALAESCLRIRGEERPTMKQVEMALQNLRTEKLRSGPVPPVKDEEIQPLVSGRPANNMQTFFISKSSSTNFASSACYSLEGEFISSASLPR >Et_3A_025546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30613502:30615404:-1 gene:Et_3A_025546 transcript:Et_3A_025546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGYRGKPPSGLEDQLQVYNSVAVENREQGRPPLFPRSLCGILHPLAPPSVCRIPHLRSGAWSAIHSGPARTDSFLSTGNFTSSIIMSLACLVCHGMSSPSHSFRSYSVSSSEEENRCGAVVACLSRKVLPATTNGIGTSKVTPFPLMTTGQGTEGAPRLQRSRAVSRDLVRNWNFEEVVAGN >Et_4B_036245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12985065:12985383:1 gene:Et_4B_036245 transcript:Et_4B_036245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPPPPPAPPLPPHPTKPAKKRPRASRRPPTTVLTTDTSNFRAMVQEFTGFPAPPFAAAPPPAVRPRLFGGPPSFLMRPSPLNLPALAPPP >Et_1A_009135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30432108:30436467:1 gene:Et_1A_009135 transcript:Et_1A_009135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIQSVKARQIFDSRGNPTVEVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVNNVNSIIGPALIGKDPTAQVEIDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLAVCKAGASIKKIPLYQHIANLAGNKQLVLPVPAFNVINGGSHAGNKLAMQAMTRTEFSHPIGLFTNEFMILPTGAASFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWVHYAKMTEEIGEQVQIVGDDLLVTNPTRVAKAIKEKSCNALLLKVNQIGSVTESIEAVKMSKHAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKYNQARLRKSLAQLLSTLVPSSVPPWSPTKPFDGNQCGCLHEFCEPYFQMKLAEVVMVEQ >Et_7A_052931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2732886:2734865:-1 gene:Et_7A_052931 transcript:Et_7A_052931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSGTGNGVFGRRMNLLVAKVDSKISFHKDATAEYTELKHLIDDIAEEKARMEQEALRMVVAEPPEPVQEQLVTAREELVATKEALAARNEELASTKEALAAKNEELAVIEDVFNARTQKLLATKEELAVTRAALSVATEESDDKDEELKAIIAKVQELEAMKNQAEQQSPSGVVQYQPSERLAVHHASAADDFERLREALIKMKSHSKLPPKEAKKAASELYTTWEMLLKDPRWKPFKTDAVGDNCEDEAIDADDEMLQDLKRKWGEDAHNAVVSVLMEMKEYNCLSNRSVSYELWNRKEGRKATMMECIEYMSDQVKLSSSKRRKTDREAWIP >Et_2A_015020.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:26321868:26321996:-1 gene:Et_2A_015020 transcript:Et_2A_015020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVLSEHLLSGSMIGPAITRLVQSFSVVFLYWFYVFSVAA >Et_10B_003750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5547971:5550813:-1 gene:Et_10B_003750 transcript:Et_10B_003750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLKDGRSFVSHTHDTLEVAHFLTYTSVCNHSVCELTRSFVDLKRIFQHASSFWQEFSCDEKLHIVDSEFLICLMGYKWLESCTSSLFSYGYKALDFISCAQNLIWKLNREGFAIHYSRKKLAERVKLALNEAEEALHDSTMVGDPTIRASKLTQSAIEDVNMQGGRSLVSHTHDSLEVAHLPICFSNEIRTSATTSNVMPFKHTYTNVCNHLVYELTRSFVDRKTIFQHASLWHDFSCDEKLQIVDSEFLICLMGSKWLQSCPSSLFFVMALDFTSCALNVLREFLTMRGLSVSFRSLYVLSPLLEEKTC >Et_7B_054423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21104166:21108227:1 gene:Et_7B_054423 transcript:Et_7B_054423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGGGGDGGRALAPPDGPACPPEWRVFFGSLVALLCRMDAQANALSVEHERLLTEIEFLKREKSKRLEIAQYDAQQVRVSSLVGVYLWDSGATTPLTVARVFGWVQIRSYAERTIASRDQLAEILGHKDPEASEGLGDNDMECFTKLISTLADENAKLKKKLKEVESQVELTENNVNQQHSTKDSKAQIKKLKEAYKKMVSEKDKEISALRAERNFAWNQFNTMDTEYRETCKKKSIEAKHATEEAKHATELAEKIKQVVHEKDDEIRRLRAEVASTRENMSILEGELEQMRSLVKSKDAETDQIETSQKSKKDLNETNRKSKLEGPVSREKSRISQVTPVRREVKSSRKCVSSAKGTHNQSGSKSRMHNTEKRGQSETSQKRKRGSSSLHLLVRIILQLIISWSSAMLRKAASQTCRFTHAFHPLFYNSEADRPNSSPDLKAL >Et_9A_061641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14724439:14729595:-1 gene:Et_9A_061641 transcript:Et_9A_061641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEAGGGQQQQQQQPSAAAAEGGGSGRGGGGGGWCGSGCRGAVRLQCVAALLLGAAVLLSALFWLPPFAGRGGPAGPPDPGGAFAGQPAFRDLARLASCFLSRLFKSVASYGPLKQHSFLLLKPYLFAADIVASFVLQKTVPELNETKSKLEFDIYEEIGIPNTTVAVNFLQPLDASNWTNVIFSIVPYPKYSTISSTWLSLLRASFMSLVVEQSTLYLTESVFGSSSFFENLYIKLTNSQGSTVAAPTIVQASVVLEVGNHQPSPPRMKQLAQTIANSSSGNLGLNNTVFGRVKQISLSSYLNHSLHSGGGTNAPSPAPMPHKDHHRSHHHHHHRSHDEKKHFAPSPVPVHSPVQQPKYRSPSPSCCPYRYTNKPKNRAPVAPAAEPVASNHHRASPATIPHAVPPSISPSPSVRHSPNNPKGYHSSPAPSPALVKHHLHALSLAHAHHPAKTPGMVPAPHASHATRRHSSEWTVALLMCMLMVP >Et_6A_046581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17743822:17748897:1 gene:Et_6A_046581 transcript:Et_6A_046581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAAAAAAQAAGGGGGEGDGSAAAAVGRGGGGAPPEDGMYTELWNLCAGPLVTVPKVGDKVYYFPQGHIEQVEASTNQVAEQHMQLYNLPWKIPCEVMNVELKAEPDTDEVYAQLTLLPESKQEENASSVKEMPAVPPAALARPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGAEWRFRHIFRGVRRAMRQQANVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPAEFVVPCDGYIESLKRNYSIGMRFKMRFEGEEAPEQRFTGTIVGMVDPDSGGWPESKWRSLKVRWDEASSIPRPERVSPWQIEPAVSPPSINPLPVPRTKRLRPNVLSSPPDSSVPTKEAAAKVTAETQHNALQRAFSTQENATPKGGFVDNDMETAHKSVLLPPGLDGDKNAICAPRKLGSDGWIQMNIPGSYNDMMSGYQPPKDVQNSQGFCPIPEQIAPGLSNFWHTVNAHYQDQQGNHNMFPGLWSMLPPSSGFGLNKQNYPMMQEVGGFPQRSTHPKFGNGAFTAVPGRGIDQHSPAWFGHMKPSANLEDPQPRVIKPQPLIIAHADGQKSKGNACKLFGIHLDSPAKSEPLKSPSSVGYDGMPQTPVAAEWRRSDTSEIDKCSDTPKTPKQLDTPKADSVSEKHLTCPQISRSVQCKSQGGTRSCKKVHKQGIALGRSVDLTKFSDYKELISELDEMFDFNGELKSSNKEWMVVYTDNEGDMMLVGDDPWNEFCNMVHKIFIYTREEVQGMNPGTLNSKSEDSPSDSMEMGSVAKGVQGCLSTTSLNSENC >Et_1B_012799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35116207:35120033:-1 gene:Et_1B_012799 transcript:Et_1B_012799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRAAVHRSSPLMLLAIILAVVVSSASAIGDKCAACKAVAVSSLSSSRFLLSSVHTRGLENSTVIAELEIGISSEKPRNHLDLRNRLNSKGQREGKVIDYRVSELRVVELLDGLCDKMQDYTLQKLESGEKGWVKVRDWNSFQTEKKAAARAHSKNLSTFCGRLLEETEDELAEWIKTSSAESGSVSKALCEDISKHCRSTRVPISVAFTFDLYPTN >Et_5B_045265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15665901:15671992:-1 gene:Et_5B_045265 transcript:Et_5B_045265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPAPALLLDRRPPHSERVVSSWKLRDTAARRARAASSLQNGGRRPANHVPSDQASSIAAVDAPAPDLGPARGIGLGVVSSWRLRNTPSSRARALAQAQKAPTIRQKRRPRCSELNLDVLFDILLRVPAKDLCRLRAVCRPWRSLTTDSIFIGWHTRHRGPLFLVKFRHDPAHIHVMDVSATVMKTFVNTSAAHRSKVWRMDIMGPISGDHEAVKKYHDSFDGIALAELKGSLVLVYYHQLPDILELWFLTDPENGIWVKEYSIRLLQEPRFRFLAMPLSVLDDGRLVIFQDATGLLRIYNPETHRFAEVRMRPIDSERKSSLYIVLLSWDQGKRGHTLFTNKQRLTKKIVIRTTMEPQNGPLVDGRRKKKDTRKKKPMLKFAYRNVGRRSLGAFKIEGKSFNFGVMGGYKAAVWLA >Et_2B_021123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26700256:26702933:1 gene:Et_2B_021123 transcript:Et_2B_021123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSEREGMGTIPCWRRQRGGLLGCRAMSSSTDLSVAAELAVADRSGRTEPLPFVNDKHGGVIIEMTNPMDPQVFSVSLKASLAKWREQGIRGVWIKLPISLANLIQAAVEVLAVQEKSGVLRGMGVWKFPTGVVEPGEDINIGAVREVKEETGIDAEFVEVLAFRQSHKAFFDKSDLFFVCLLRPLSFDITKQDSEIEACEWLPIEEFAAQPFVQKHELVKYILEVGLAKVDKDYAGFSPISIKSAFTDKESFFYFNRRDVDRAKSGSSNL >Et_3B_029729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27392783:27395392:-1 gene:Et_3B_029729 transcript:Et_3B_029729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLVLLGLPILLFCSDVVTLFSPQPPSAPKPSHNQHPASDGLRPDDPSAADASVQEAHVDGPGSGTTVELKFCASCSYRGNAMTMKRMLETSFPGINVILENYPPPFPKRALSKAVPILQVGAMATLMTGDQIFPRFGMVPPPWYYSLRANRFGTMASIWLFGNFAQSFLQSSGAFEVYCNGQLVFSKLSEQRFPSEVELQELIGNRLPESENQDSQFGENLDSLSVENLDSQSGETLEFQFEENLEKDSS >Et_6A_046827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21053923:21057845:1 gene:Et_6A_046827 transcript:Et_6A_046827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQGTKTATSELTPFKSTNPPSPPPPLPPLTTNIATTVTPPCAPSEAATNARGARGSNKRPVRKHQQEGSAISSPIRIRWMCLMISLFICWTCLELFMPPNLITALNQWDRLEMKVVHPSIMLSRLIVFRVQSTDHGSINSEPSVDEDSLDNAQKGGVEQHSNENNGDKQENLEITAMSDGTSMTSMEDSLDQKNYLPSDSADISNRTPDLSNGKSSNRNKNVFQSAKSALTAKKAKRTSSASTRKPLQSTNRSNQDDQNASTLTNLKMSTGKPTVPSGPVFRCTERAEKRREFYLKLEEKHQAMEEEKIQLEAKLKKEQEEALKQLRKSLTFKANPMPSFYHEAPSPKAEYKKLPTTRPKSPKLGRRKTTTAMETSNSSSESDGAASASRPCCHASRRDGGFDSNCKCSSKTQAANIKPAAAKKRQQQPKHGVHESAINIAVH >Et_5A_042870.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:639426:641177:1 gene:Et_5A_042870 transcript:Et_5A_042870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGGAVMLDHQRVALAADHGIPEKVLFGFGGGRFVGEVADLVVPAPAAAGVQEESFPDEGSDDDVEGIEELERRLWRDRVRLRRLKEKQSSRGAGEEQRNRRQTSGEEQARRKKMSRAQDGILKYMLKMMESCNAQGFVYGIIPENGKPVTGASDNLRAWWKDKVRFDRNGPAAVADFLLQANSSSSNAAAASASSATPPTSLHELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPPLQAGVPYKKPHDLKKAWKVAVLTAVIKHMSPDVDKVRRLVRQSKCLQDKMTAKEIVTWLAVLKQEEDLCGCLKSTHPGLPPPSSAAGALSFLHAAVSGEYDVHPAVDAEEKQPSSCSDPAAMGTANFMMKEEETSDAEFFQKRSAPAAADDIQSARVYACDSNGCARGFLDRNARNAHQYGCAINNSSAGENKLLAPAVFPAPYEPQGQAVGGFDFDLPLDGQRSLAGLMDMYDANVVGGAHRSLSTGDTAGVPSVVQMQGTFLAPCLFGDVNNNVMPPPQQQSTPFFVRGDAPFVAAASPELRFSSCLNVPGGTGALYGGALQLQQPQPHKSVGSNWFY >Et_2B_020621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2256040:2266644:-1 gene:Et_2B_020621 transcript:Et_2B_020621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIIFSLTEGAVRSLLCKLGCLLSHESWLLQGVRGEMQYIKDELESMNAFLRTLTMAEGHDDQVRIWMKQVREIAYDAEDCIDEFVHHLGEPSGMGFLRRLICMLRTLVCRRRIANQLQELKGRARDVGKRRSRYGVEVPKTVLRGESPKLTKHASLYLDPQLHALFTEETQMVGIDEPRDALVSWLMEDDPCLRVLAIVGFGGLGKTTLARMVCESPTVKGSNFHHCPLFIVSQIFNIRTLFQHMIRVLIQRPHHKASAIVGDKHGHFIDENLERIERWEVAALAEKLRRYLQDKRYLMILDDIWTISAWESIRCALPDNNMGSRVIVTTRNEDVTKICCSRPQDWIYKIQRLSDATSRELFFKRIFGSVDNLPNDDLEEVSSCILKKCGGLPLAIMSIGSLLASKTNRTKQEWQKVCDNLGSELVKNPTLEGAKQVLTLSYDDLPYHLKACFLYLSIFPENYVMKRGPLLRRWIAEGFVSQRHGLSLEQIAESYFEEFVARSIVQPVRIDWNGKVRSCRVHDIMLEVIVSKSVEENFASFLCAGSTFITHDKIRRLSMHSTHKLVQKINTSVSHVRSFTMSASVQEVPRFFPELQLLRVLDMQGCSCLSMNTLECVCDLFQLKYLSLRKTNVSKLPRRLGNLKHLETLDIRATLIKKLPASSKNLSCMKHMLAGHKVHLTRTASVKYLIPRSGLEMAPGVIKNMEDLQSLVHIVVKDQSSILQEIGLLQKLRKLNVLFRNVEANWKAFVESLGKLASSLHSLSIHILDEKEHSSYLNILDYLESPPLLITNFGLIGKLERLPYWISSLRSLSRFTLCNTGLHAEVIGVLGNLPNLLCLELYHKSYADGCIIFPRGKFAKLRMLVIDDLENIDKAHFEEGSVTNLERLTLSFLREPKDGISGLNNLPKLKEVEFFGNITLSVVNEVVSCLKTHPNHPRVVAVRSLLCKLVCLLSQGSWLVQGVRGELQFIKDELESMNAFLRTLTMYGGHDDQVRIWMKQVKEIAYDAEDCIDAFSHHLFEPFEMGFHRRIICMLFTLGCRRRIAIQLQELKTRAQDISERCSRYGVVLPKTMLRGSTQQLGNHSSLRLDPQLHALFTEEAQLVGIDEPRDALLKWLLEDDPRLRVLSIVGFGGLGKTTLARMVCESPLVKSADFQCYPLFIVSRNFNIRTLFQYMIRELIQRPHKAMAIAAGNYGPFIEENLEVTDKWEVAALADKLRRYLQDKRYIMILDDIWTISSWESIRCALPDNKKGSRVIVTTRNEDVAKTCSPHPQDWIYKIQRLSDATSRELFLKRIFDSADKLPNEDLEEEMWRLAFSNNEHLCLLASKTYRTKQEWQKVCDNLGSELESNPTLEGAKQVLTLSYDDLPYHLKACFLYLSIFPENYVIKRGLLVRRWIAEGFVSPRYGLSIEQIAEGYFDGFVARSIVQPVRIDWNGKVRSCRVHDIMLEVIVSKSVEENFASFLRVNGSLFVSHDKIRRLSIHRSQNLLETTNASVSHVRSFTMSASVEEVPRFFPELQLLRVLDMQDCSCLSMNTLECICNFFQLKYLSLRKTNVSKLPRRLGNLKHLETLDIRATLIKKLPASANNLNCLKHLLVGHKVQITRTASVKPPLEMPLEMSPGMVKNMVALQSLVHVLVKDQSLVLREIGLLRRLRKLNVLFRNVEANWKAFAESLGKLSSSLRSLSIHILDEKEYSSSLDILASVESPPLLLTNFSLTGKLESLPPWISSLRSVSRAIEILGDLPNLLCLKLYNKTYADDSIVLPLGKFAKLSLLVIDNLENIDRVHFEEGSRLTLSFLREPKDGISGLNNLPKLKEIEFFGNIIIKWYPVLRHIQIIQEL >Et_3A_025259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28328561:28330417:1 gene:Et_3A_025259 transcript:Et_3A_025259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGREPCHGDSSAPKASPQPTLLKMTIAVINTADRVLDREEQQQSIPWVTTAVLLVVLPKPDKTPLTKSPSGAAGSSSMLRRVLGIPLSTPAASGFPKPLGALHFGASRLSASSRDPVPDGCGGGEDNPFYLPDQHHQELPPDVARAVDAVVAAAEGEAFPADAERRRGLLERCGAEASEPLVVAALARLRNSCAAAHAAFRWAKARPGYAPGRRACHSMLDILAKHRRFDAARALLDEMRRASTASPASVLLLIRRHCAARDVAGAVAAFRTLPTFGFRPGVAEFQGLLSALCRYKNVQDAEHLLLSSEKEFPFETKSFNIVLNGWCNIVCSVREAKRFWSSMEIKGIERDVVSYGSMISCFSKSGSLDSVMKFFNRMKEAGIVPDRKVYNAVVYALGKGQCVDEAKALVHGMEDKGVAPDTATFNSLIGPLCKARQVQNAMEMFEAMLGRGLSPSVRTFHALLNVARSPSEVFDLLDKMKELRCEPEMDTYIMLIRKFCRWKQHESVEKLWNAMPANGLSPDRSAYIVLIHGLFLNGRLEEAAKYYEEMKAKGFSPEQKTEAMIQTWLAGRELAKASASIRSKGGSVSLRLPRR >Et_9A_063449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2663828:2665228:-1 gene:Et_9A_063449 transcript:Et_9A_063449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEVKVQKVKKIEPVYNLVTRPSVYGNPKMVTVKKRPAAYVAVPGMKIERVLSAEDIDRYIKTKKEQYEIILIHRGLRKDAQGSHFSIPKESRQSPNKK >Et_2A_018241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:279381:286367:-1 gene:Et_2A_018241 transcript:Et_2A_018241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDDADFFDKLVDSDDDDDRRRPPLAAADEAEASAGDLAALTLADQPPDPVAPAPPAADPRAEAAAGHPEAEAEPEPESSPPPTKKEAEPEPAAADPIPESEAVVLSQPAVVHPESEAAIVHPVPEAPEPTPKAEAPEGGSPQSADKGGHTAIKQVQWNDFGASSGADPFGEFLADGAEDAFFEGSVPGDQALQASLQPTPSVVDHSFSSVVENNANSQWDSSATALDHSDNAQLDSADPKYWESLYPGWKYDEATQQWYQVDTLSAQQNAADNTSTVAAHDNIQQQQQQQQQQQLGASYLQTTSHAALETIAEESSAATWAQDGSYAAPIEYPSNMLFYADYPGWYFDTNTQQWQSLESYQQTIAQTAAAASDGFAGTGHIAAHTQDSYTSSYAQQSQWQPGHLGNSMQPDGSTDNSLLGSFYGSEIQIGQQADPQPLQSSTNYINTFVPSNEGLQPSASHQSAYQGLEQSTSDLSSSMGYQSGYTGSAPYTGHQGFKTFTSNQSWTKGFGHSTGHEVGNKGFEPSAGYQTGFEPSKDHQVSHMAYEPSTNHGYGSFDQKEQLHADLATHMHLPNNYWGTRSSGDFAQQQSVGPNGPSQQFGFSPHEQRSSAGRPPHALVTFGFGGKLIVLKETSSITANFDSRSQGNSGRTVSILNIPEIVADKIDHSIIPSSSVLSYFHALCHHPIPGPLVGGNAAAKDVSKWLDDTIGGYESSLREFQGRDVQKLLISLLKILCQHYGKLRSPFGSDLSQEGIDGPEMAVTKLFASYKSNANMKGYGAVVHCVKNIPLESQIQANTPLQATAQEVQNLLVSGRRKEALQCAQEGQLWGPAIILALQLGDKFYADTVKKMAHCHFVSGSPLRTLCLLIAGQPADVFNFEDPVDSGSMHSSQPPAEAAPKGMLDEWQENLAILTANRTKGDDLVITHLGDCLWKEKNEVASAHSCYLVAELNIDSYSESARMCLIGADHLRCPRTFASPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLMYAYMLAEVGKVSESLRYCQASLKVLKASGRAPELETWKQLFSSLEERIRTHQQGGYAINLAPGKIVEKIFTSLDKSLFRMMGTQSAPLPQPTQGTAKEREISAVPDTKVANTQPVMSMSPLMSSTSELSMSEISDAPDRKAAHNRSISEPDFGRAPQQGAGSGKTQSTSGSGSSRFGWLVQKTMGLVSKSSKQAKLGEQNKFYYDEKLKRWVEEGAEVPAEEPPLPPPPTKAPSQNSVPDYNLNGPVGGHTANGFADAKTSNPSDLSSGMPPIPPTQNQFSARGRMGVRSRYVDTFNKGSGASAFGAPTSFSKPAASSMSPLSGAKFFVPTPAAVAPEQTADAKVDAHVEATHQDETSSSTALEAAFSSPSPSALMPSTIQRYPSMDNIMTPSDSGSSSLSKSRAASWSGTYPDQFSSTAVSRSPDGQTIQSPLMPGKRPPHSRSSSNSSAQFGGMGEDLQEVEL >Et_3B_031522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3619672:3620809:-1 gene:Et_3B_031522 transcript:Et_3B_031522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGNLPSCLSPRPPEAAPTRPAPWVILGCIASVSSSPSDGKLEEGDDLAIEWRDPPLVSHLTLRQHGSLSAMTGDFYHDRDNYPSVVAVDSSGALLLRTTMRDPEGYDDDAWWTRSERHGGSVYILYDVCSRRAAQYRVPDHRLRRESGNIGFIAGPHGVVAELQPIPFTDRASLLCFYTERQEWASRDVRVSHAALWNRPWGSDGVVSHDRKLWWIDLACGLLFCDPLDDDLEELQFVALPEGCGTPAIAGDLDKSRCVRASAGRLRYVHIDAADADAPHWADHTSAWTCDFEVAFNDIWEDSSYQESPMPRRVPVVALVDPTNAAVVYFFQITARRSWMFSVDLCTKRTLRCMEYSMPNVPRR >Et_6B_049785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11863177:11865336:-1 gene:Et_6B_049785 transcript:Et_6B_049785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARHGKLPCAVLWMSCLLLLEALVQPAAAGTCSVDAIYSFGDSIADTGNLLREGAAGFFANIGSYPYGQTLQKPTGRCSDGLLIVDYFAMALNLPLVSPYLDKGADFSRGVNFAVAGATALDRVALLQSGVMAPPASVPLSSQLDWFKSHLNDTCSSPEDCAKKLANALFLVGEIGGNDYNYGFFQGMRSVESMKAYVPQVINAIVDVTKELIELGATQIIIPGNFPIGCSPSYLSLFSGFGSTDHDERGCLKSYNSFAQYHNEQLQAAIEGLRKVNTDINIIYADYYGAFMHLLDHASILGFDGGSLLQACCGAGGSYNFNINLMCGAPGTSACANPARRVSWDGIHLTQQAYRAIALALLMEEFAQPADAVQEIWSC >Et_2B_022420.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2187499:2187852:-1 gene:Et_2B_022420 transcript:Et_2B_022420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANHCLRRLASASASALSRPSQAPPPAAALLLRHALSSSAPSTDPPAEAEATKRREGEDTAAGAKSGEDGAPGKEEDADNDGDEGVNKKTGEIGGPRGPEPTRYGDWERGGRCSDF >Et_3B_031131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17362992:17366893:1 gene:Et_3B_031131 transcript:Et_3B_031131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQSMPELKTWWLDRMEGGGAGGLRFFLQFDPGKVDRTLLGVSLSALFSRLLGWTRNGERRGSMAIAEDGGESPAAAAVISAAALAAAVSVCFAAMHASGQRPRRAPLSAAVLGGSSSARPRALPAPDDGLKILSSKDESLENVIHGASIGAGDDEPVIVARVVTAPADVPRANQSEAEEERQEAERLRELWLSLVEREQILELRLQELEDLREQEATARELENRVAAAATEARLLQLRVASLQEENGRLRAQLEELDDARAALARAKEKLREIKARVEAALREKVAEMERGEEERARALATEAAALREANAALEEENMELALRLQEAEQAAASSVHLALEQEEMADEAKHLRETNERLTQQIEQLRSDHCAHLEELVYLKWVNACLRHELSGDDGGTGAMDLSKSMSFRSSERARQLMLRYGHPGLDPALFSPLHESVYGDELATPARNYASAERSPAATSSVATTTTAAAAAAQGKKSGTRKFLGNFKKLLPGGGKKKSHSSHGHGDRDSSTAPASDEYLEKAMRWLSSTHDVLDVDRSYETTPLSSCDRTPPSSVTTASPRAGGRSERVPAAAPHGEPATLPRSRSDAGASYGREAHRYHSLRPDHPAADETGAAPEVREPRRRSEELRTRGGAARA >Et_8B_058556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17460850:17461491:-1 gene:Et_8B_058556 transcript:Et_8B_058556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VASSDTIDNVKAKINYESGIPPEEQRLIFAEEELKDGCTVINYNIQNESTLTLVFNPPSSGFKIFVKTYTGKYNTLDKQLKDEHKLADYRIYRDSTLHVGICPLDVMQIFVKGLCGRTITLMVKSSDTIDNVKAKIQDKDGIPPHQQRLIFAGHQLEDGRTVADYNIRKGSTVHLVLRMRG >Et_2A_014973.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24250140:24250289:-1 gene:Et_2A_014973 transcript:Et_2A_014973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEIRSRLAQKLIAKNLAVADSITGNSPRAAAAGGGGRKKKKKRFFAF >Et_5B_043451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10583677:10584648:1 gene:Et_5B_043451 transcript:Et_5B_043451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NHFQQEPKPVPLSACSVSDDSADHHVHRRESCCLVPSRHPGKRPAPPGPEGADGGGEADWISGLPDDLLHLVLVRLYCAREAARTSILARRWRDLWTRLPEYTFSWDMEPEEVETALAQVTRSALDDLDIDVVLQPEESGLDRASSLLRAAARISSETVSISLYSPVEEEEAIELPCFDRTSCLILKFRRVPLAPPPAGEFSRLETLQLATGSNIFPGLLPNCPRLRVLVIHAYRELEEVTIHSATLEELAVQSLEMFSSIPRIDIDTPELKKSNLNVNMDLEFSLTFSAPKVE >Et_4B_036220.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:11345253:11345405:1 gene:Et_4B_036220 transcript:Et_4B_036220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEEEDGSLAITAYAAPCQPCGSLRCKVITYLTLLVLECTCPSVRFSF >Et_10B_003306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18718760:18733381:1 gene:Et_10B_003306 transcript:Et_10B_003306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSAPAAAPQTDAEREEALDRILTRLALADDARLAPLLARVLPYTITSLASPAPAVRKLVMDILSHINKRVKHRPDIQLPMLDLWKIYTESVSSPMVRNFCVVYIEMAFERLPTEEKGRIAPDLLVNISTAPPQHQGVILRLVSKAIGECNKHKVDDTVASKYQAISGSNDGLVFVEFCFHTLLYQTPPQGVGCPAGLSISQSDRVNGKQPLKGDMLTSRKQGTLNVIEAMNLAPEIVYPLYLSAASDSQEPVSKKGEELLKRKASTVNLEDSNLIKRLFTLFNGTVGAENIAAEQKVSPAHTSLRVRLMSVFCRSIAAANAFPYTLQCIFGCIYGSGTTSRLKQLGMEFTAVTDQLKLIGPVILSGILRSLDGSSITETDSSSRDIKIFSYQAIGLLASRMPNLFSDKTDMAIRLFTALRLEDQSLRLTIQEAATSVATAYKGASMVVLKDLEALLLEHCEAEQSEVRFSAVRWATTLYDLKHCPSRYICMIGASDVKLDIREMALAGLNLLNDKRQSSAGDIDFNYPDVAEMLNYICLQRPQLLHSDEQGNQKLLFPSNTFLAMIKFLMKCFEASDSSDLLQENLSHSPVARMCVILEHAMSYEGSSELHALALKSLVDLSSREQKLVSSRYADRVYWLKTLLGHIDSDAREAASRLLGIASASLSSSAALNFLSELTSTLDQHRPSRFENCHGMLCAIGYLTAGCLKQSYIPEEMVKSVVDILVKVVYSEGSTLASIAMESLGHIGLRCALPSISKNSSTGPVLNVLHERLSKLLSENDNKALQKILVSLGHISWNEMSFPHLNNALDLIFSLSRSKVEDVLFAAGEALSFIWGEVPVTADVILETNFVSLSQATNYLTGDAPLISSNSYERSGCEKARAMAREEVIKKLFDTLIYSSRKEERCAGTVWLVSLTMYCGRHSKILELLPRIQEALSHLLGDSNELTQDLASQGMSIVYELGDASMKEKLVHALVNTLTGAARKKKAIKLMEDSEVFQEGTIGNNPTGGKLSTYKELCNLANEMGQPDLIYKFMDLANYQAALNSKRGAAFGFSKIAKQAGEALQPHLNSLIPRLVRYQYDPDKNIQDSMAHIWKLIVTDPKKAIDEHYDVIVEDLLVQSGSRLWRSREASCLALADIIQGRRYNQVSKHLRSIWTTTFRAMDDIKETVRNSGDSLCRAVSSLTIRLCDVSLTSTSDANETMNIVLPYLLSEGILSKVSSVQKASISLVMKLAKGAGPALQSHLPELVSCMLECLSSLEDQRLNYVEMHAGNVGIKTEKLESLRIAVAKDSPMWETLDICIKVVDKNSLGLLVPRLAQMIRSAVGLNTRVGVASFITLLVQKVLIDIKPFTTTLLKLLFSAVLEERSSAAKRAFASSCATVLKYASPSQAQKLIEDTASLHLGGKNDQLSGAILIKAYLSNAGDVLSGYNATIIPVIFASRFDDDKDTSAVYEELWEDIPSSERVTLTLYLPETVSLLCDCMSSSSWAGKRKSAKAIKKLCNTLGESISAHHRNILNSLLKELPGRFWEGKEAILDALASLCSSCHAAITAEDSSLPSVIQNAVCAACSRKAKVYREAAFLCLDKVITAFRDPGFLNSIFPMLYETSNQSIIRKAKVSSSATSSGTSEKDENEGASVSLDKVLNCVTSCISIAFPQDIIDQKKNVLEVILNSLSPEESWQVKLSSFLCIKELCNKFHNSGDSNTWPQDAPYLVQELFHLVSPKVVESIRLVKIVQFHTAASECLLELSKLYRDFPLIDRTEAKFEDELAELCESEKSEQAKALLKQCLAILKNLPGVSMTMD >Et_4A_033361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21967734:21970850:-1 gene:Et_4A_033361 transcript:Et_4A_033361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GPPTCPSSSRPLGDSVRPDEDLHLVFVCSRRRGLVADQRLKMAAPSLASDVTSTASTLPDDLVRLVASRLLAGDLLDYVRFRAVCTPWRSATASPRGRGIVDPSFHPRRWMMLPEGDGLYPGHPKLHGYIRLLHLDTGAIVRVQVPMLKDHCILDSLDGLLVLQRDHDTAILLLHPFTGDILELPPLSTLVPVMDEGLATLSVKKQLSFHRSVFTAGTFVDGAVTVMLAFPRLFNVAFATPHDQRWTVSTWEYEFGRPALSSRGKIYVADTVLVIGHNDRYLSKIVVYKLADLIMGRYVPVSSIGDKAIFIQAPRTLCASSKALHTITGDTVVYTHPMEQYLAQYHLTSGMWSPAMDECSLRGHAPGPCSLIHHIITCCSRERWNKGLLCWRKDDAGQPNGGYLRWAVKGEHRRGA >Et_6B_048892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15009314:15022713:-1 gene:Et_6B_048892 transcript:Et_6B_048892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGTEISDKGFDGTAPFSSDLETPRRRSPKAMDSGAGTSRKFLIPCITTREVLDGKPEKRYESDAEVSSWADIRPEILGIVLRFLVCVADRASVRSVCRHWRAAARSHRLPPPLPLLVFANLRFSCLSQEGTLRPLRRLLMPDEVAADDVRCVDSFDGWLVVATRSKDGDCFLVNSSSHAVVHLPPVTFTINDLYTMSFSKVVLSASPDLGSNFIVAASSDLKSSASLALWQPGMISWHICDGVAIDGPKDITFYQGKLYVLQRSSGRLFAFVLDEENGGIVICGVERCVTKGLPLHPMFHHGSSICNMVVWHEKLLLIVRYYYRTHYFLRVKVFALDFSTNPHGLTEMHSLDGDCIFLGSGSCKSFPASLLDEAEGDLVYIVPDVWNHDMCVYNIRNGKKRPFDIKSLRSKSELPDNYNDFPVWHGVRRNVPWLRSQRSTRRASRSTGHGRTSCGTYLASCRAASHGSKTAAGCAWSAARGAPHQASAGGRHLRRRSPLLVLFNFFFSSFCTDGAVTGTRRVPLPAGDLASAAWAHSKDGSSLCRCSQMQILSGDIRCFLINCFSWEVIHLPPPSPYTLLAEASSRSLPVINVNGSCAVDCTVHAPKSLMSFHKGKLYIFSTLDLDIFTFEISEDDSGLIVLFSRIERDGETASSQRWKVAERHGKLLLVVPFFTGAECWQNICKIGVFEVDLSPNPARFIEINSLDGDCIFTGPCSSKPFCYDGGQDDLLYFIDSCIFPRINAPRYDKFVYSMRDGTVAPLLHPYQKRTFRYQMEDRCIQQGPPRSPAGMGSGADTTGESPLLPVLRVFPVSVGVNCCVRSVGKVFDERLQEKRPRFDPEPASPWAGLQPDALGVVLRFLPCKADRSRVRSVCRHWRAVSRGHAVPPPLPLLVLSRFRFASLSPRGALTPARRAWMPREVAGDHVRCVGSFGEWLVGTREAYSECFLVNAFSHEVVHLPCLSDSGYRCSMYLLHKVVLSASPESGSECIVAAFGFRGFSPELALWRPGMTSWHVCRDPLIAGHIDMAFLNGKLYMLWRFMPCLVAFELGRMSVGSLSLVLKIASLNHVSLTLLGTMIRYYGGSQARHNVRKVGVLALDFSTKPYGVTEINGFGSDCIFVGSGGCKSFPAGLHDGFEDELIYFAPDHSNPHDAFVYNMRDGAVRPFAIESRPGSIVCTPEDSLSFPVRDPARHGRLPRGHRATPSEPSVTAVQTRCSTKCFLPPETPWLDAAGQEPHQSWADLPVDILGVVAGRLPRLEDRARLRSVCRAWRAAARVHRPPPPPLPLLVLADFAFASFCADGAMAGARRIPLPAGEPAAAHDVRCVGSFEGWLVGVQLNKGRYFGDGRCFLMNAFSREVVRLPPPTTATHFIDSYSRSLPIVNGSGVVHCTVNAAQYVMSFCKVILSASPESGSNCVVAAVSVHRSTAKLALWRPGMTSWCVCHGGCISKFSDIAMYQGKLYMFSKVTTNLFVFEISEDDSGLMVSRVERCVTQLPEVNSKYGQRWNIVEWHGKLLLVVTYLGAAEGWHNICKIGVFEVDLSTNPFKFTEINSLNGDCIFISPCSSKSFRACQYDEVEGDFIYFIDGGLHPAKHAPPFDKFFYNIRDGTMGPFAAEISEDKLRAVDGMLMNPTWLFPSE >Et_2A_018519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31466030:31468011:1 gene:Et_2A_018519 transcript:Et_2A_018519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGKTMKRVCVTGAAGFVASWLVKLLLSRGDYVVHGTVRDPSDPKNAHLAALDGAGERLRLFKADVLDYASVAAAVAGCEGVFHVASPVPATNPANPDVRNSTPFFIADSAEVLAPAVTGTRNVLRACHEANVRRVILVSSVAAVIMNPKIPADGAVVDEECWSDEDYCRTTQAWYCLSKTLAEREARAYAGRTGMDVVTVCPPLVLGPLLQSTVNTSSLRLLTCLKGETAEEKTRNVVDVRDVADALLLTYETPSSSGRRFICSAYSMKMSEMLGVIKSLRPDLKLDYPTKKFVQAEDEKVVSSKRLQALGWTFRTVEDALRDTIASYEAAGILN >Et_5B_044424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2389007:2393194:-1 gene:Et_5B_044424 transcript:Et_5B_044424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCDATAVPFTLLGALLTAGPAAWPACVGGGRAFLRDYAQRGTNALLWAGLIAATWVLVLRVNALLRLWALGSRIPGPHALLADPGLAAVLRAGGDITGFLSKLHGCYGPIVRLWLGPSQLLVSVKEPNLIKEVLTKAEDKLQLTGRTYTLACGRLDLFISSFQKVQTRRESLKAFLDKKLTTDGGRSSLKIIDVALGRIRSVSTDFVDSRSFSQHMAFNIIGATLFGDAFFDWSDAAAYEELLMMVAKDGCFWASYAVPPFWKPSYRRYRTLCAKLKILTQGIIRKSRGQDTTLSHFDQRSFQRNEGMIKDPRGGVLNGMTSCHGAPEGSLSSEEEICDLTIESGITVPAGAVLVVPLHLVQMDNSIWGNDASQFNPQRLLGKDADLEEMLGLPKSSNGFDFYPGCVKTQSFLPFGSGSRACVGQKFVILAISMLIASLLRNYEVRPHPGLSEEMDSAVGSSSSFHHLPNPKIAFIKRGI >Et_4A_035481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26256490:26259034:1 gene:Et_4A_035481 transcript:Et_4A_035481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPPSPPSPSPSRLVPQLLVALLQRRRFDATLRPSPTFRGFSPHSIAAALAAIPRLLLPLSPRRLCPQRPFPSSSASSSPANRRLSAALTLAFLSWSHDHAAHPRRVPLSEAPLRAAALALVRANALPALFRLLRAHAPLVSTAALTDVIRALGEEGLPRHALAAFHRMGQLRCAPDAQCYNTLIAALCRNGRFREARFLLDQMERPGARCAPDSYTYTVLISWYCRIGVGTGCRKAARRRIYEAGRLFRRMGEKGLQPDVVTYNCLINGLCKTYRIERAHELFDEMLVKGCAPNRVTYNSFVRYYSVVNEVGKAVEWMRQMVEKGHGVASSSTYTPVIHSLCEAGRVGEARKFFIDMTESGHLPREHTYKLVKDAIEEAGEEPLQAELCESIEDGIKERCRQEFRDMPHHGFHADGGGGGVGNIGGIAEKMVFLSIAYLPVSMSAREISAATKPAFAARVGSV >Et_6A_046559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1715330:1720283:-1 gene:Et_6A_046559 transcript:Et_6A_046559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRLQNDTSFPWNFDLWKMPWETENAASTNSGESDEPEDRLELDDDEVDEEEEVEYEEIEEEVEEYEEVEEVDEEEEDPEETEVVHEADDDEKSKHAELLALPPHGSEVYIGGISSNVSSEDLKKLCESVGEVVEVRMRGKGDNRLYAFVNFRTKDLALKAIQKLNNKDLKGKKIKVSSSQAKNKLFIGNVPRNWTHDDFNKAVEEVGPGVIKVDLLKVPRSDRNKGFGFIEYYNQACAEYARQKMSIPEFKLDTKSPTVSWADPKNSDSDSTTQVKSLYVKNLPKTVTQEQLKKLFEHLGEITKVVLPPAKAGHENRYGFVHFKERSMVMKALKNTERYELDGQLLDCSIAKPPADKKDDTVPVSKAQAGPLLHTPLGYGLTGAYPQPGNGLASAYGVLPARPAQPMLYGPGAPQGSTMVPMLLPDGHNNLQGSSPCLWLRLRLSSVDITTVAVAVAAVAGPATVEGGSEEMIVVVTVTTAAGADTARIDVKSLYFKNLPKTVTQEQLKKLFEHLGEITKVVLRPAKAGHENRYGFVHFKERSMAMKALNKA >Et_9A_062249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21015056:21018885:-1 gene:Et_9A_062249 transcript:Et_9A_062249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGEVSSVADVAGDPLAPAGNPFARMGKEEALFHGVWKDNWLLEFSSILHRIRRRPGRLYISVDLEFCGAADVDPQYWPQDPEDWYNYVTGFVHTGDVLQMGLALAFEQPASAPAEAVVAWDVNFRFDASSRNYHPDTLAFLTGAKHNLADHRDRGVLPEWVCSALLRHLPLGDPTVTWITFHGDKDIAFLLKLLLRGGHGHLPLERGDFIQLLKEKFPCLYDVKVLAQLVEAGYKAGLQKLAEILKVTRIGQSHHASSDAVLAMACFSVLIKRYNGVRDSMLRRQGLLSGLEQIHPVTRNALLIRDTRMPTVVQVRPDNFDAEARRIAELIPANFNIVFLVVRLPGLPTLSFSANAQEEYQLLQCSLRERATDAAEAHEFWALLTACGALHEPGTIWVTCHGGPGITWLMKSMLFPVELPFEWSDFVHQRTACFPSIYDMGLLATWSSEVAILPSGCKGRLGDIVRSLALIVDPEAVANAGLAARAVLLMRCFMALSGQEQFKVHAKAARGKVMSCCCWTCPGHDAEGEPPSKSEIGVRHSRTIATKPPNASRPAAAARGREEAPVRGARRVAHVEPPHAAVEAGEGHADLREPHAVDTGADGLVPAVERVGRRGGEGPAGDVVRRGERHDREVADQGDHARLLRLLVVRPHVHLAHLAVEISLRRRRHVPLLMPRLLELME >Et_1A_007808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38411497:38415338:-1 gene:Et_1A_007808 transcript:Et_1A_007808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSQNGRPRPAKPETIHGLVRAGDLAGVQRKLLDNSDLLNDKNPVMCQTPLHVAAGYNNTEIVRFLLNWQGIETVDLEAKNMYGETPLHMAVKNSSCESTQLLLARGAQIEAKANNGMTPLHLAVWHALQAGDCSTVSVLLSYNADCFAKDDEGKIPLNHVPVGAGSEKLLKLLSRQMEEQRKRKALMSCREGKAMAEFEEAISQIVGLQELKMQLRRWARGMLFDEKRRAMGLGIASRRAPHMAFLGNPGTGKTMVARILGKLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIQDAEGGILFVDEAYRLIPMQKTDDKDYGLEALEEIMSVMDSAKLVVIFAGYCEPMKRVIASNDGFCRRVTKFFYFDDFSTTELAEILHMKMNSPSDSSLLYGFKLHPSCSIDVIADLIANETSEERRKQMNGGLVDTLLVNARENLDLRLDFNCDDVDTMITITLEDLEAGLRQISRQRQLQ >Et_8B_059996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4963513:4967028:1 gene:Et_8B_059996 transcript:Et_8B_059996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFLGHLLPGVAFAILGMWHLLNTVKSYKLKGASGFRSSTWFPFPSPLPGLKHLELYLLLSFSVLAIVTQLVDFPFLALSLQPDSLEHATMYLHLAVYASVALAADLLLSPSPPRRDAFADVVAALAASVFGQELFLLRFHSADHAGLEGHYHWLMQLAVAACAAAAAASAVAPRSFAVAVVRSAAVLFQGLWFVVMGFALWVPALVPTGCRGVEGSSGDAMKSAVACATEDAARRAVVMANLQFSWALAAVWVVTAYLCLRVDCRELEYRQLQAPISGVFAGDDGDATQKRVFPVEEQVYSQRRRAMGTLVGHVVPGVGFLIIGLWHLYNHIRLFLLRPRSYVAPVWFPVRGARHLELILIIAGAVASILMELVIGPARHHPFDDDGTIPTNHLHNLEHASISLALLVYAALAVLLDRAGRAPRGDAVAQLAAAAAFAQELTLFHLHSADHTGVEGQYHLLLQGVVAVTLAATVLGVASPRSFAVSLVRSASLAFQGVWFVVMGVMLWTPALLPKGCFLSHEDGHDVAR >Et_4A_035257.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:1549588:1549917:-1 gene:Et_4A_035257 transcript:Et_4A_035257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAGVQLGTSKPQIATQAEMAEERVPLAYRDQCAHLLIPLNKCRVAEYYLPWKCEPERHAYEKCQYELVMERMLQMQKIREAQEAKAKGGASIGLIPAATAKLA >Et_8A_056689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15067219:15072015:1 gene:Et_8A_056689 transcript:Et_8A_056689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RFGAVRDANVFYWFQNRRSRSRRRARQLQQACGAAAGNLPSSAAAVNDSASHHYVMHGQARAGGGMPMSAAVPSTTAAASHFFADDMDGGGDDLFAISRQMGLLPRGAGDDHHRCAGYTASDAASQLMSYQPTGTTTSIQVFINGVVYEGPGGGALLDLAGTFGRDAVLVHSSGEILPVNEHGVLMKSLQMGECYYLSSSNTNRLHQAMNLIHMLSKNKYINTKVDTGGDMTAAVSIGALTISSSKNP >Et_7A_050925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12450393:12458879:-1 gene:Et_7A_050925 transcript:Et_7A_050925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRFSWPYGGRQASFSGSFTGWREYPMVLVGAEFQVVFDLSPGVYQYRFLIDGVWRCDEAKPCVRDEYGLISNEVLVENNAHPVVQPEPSSGRGINMDDGTILTTMPPELSTQNPGVQLTVFRHRISGILLDSTIYDVVPVSSKLAVLDAQLPVKQAFKIMHDEGLPLAPLWDDNQGTITGMLTASDFVLILRKLQRNIRALGHEELEMHPISSWKEAKLQFYVAPDGAAMQRRPLIHVKDSDNLVDVALTIIRNEISSVPIFKSLPDSSGMPLLNLATLPGILKFLCSKIQEQPEGCSFLQSQLVNIPIGTWSPHTGRPSNRQLRTLQLSSPLNSCLDFLLQNRVSSIPIVDENGSFRDVYSLSDIMALAKNDVYARIELEQVTVQNALDVQYQVNGRRQSHTCLQTSTLLEVLEELSIPGVRRLVVIEPSTRFVEGRQNKQSIYVESTGICNPKRESNSSDSSDLRRLVNNRTAANIPLTNIFIHQLPNPCNILPPGSICIDPRDGRVDPTVVRVQFQQMPGCNLCSLYMPVHSTASKYTSPNIRVCFHGHLSDHVYGFIDESRPTKQVGHAPIVLQLPGYIVHTFHLAKDTPCHAHKAGVAATHQHSKESDPVWLNSFSNHPVEVLQHHRAMAMHSQPNDESIPGEYVPLGACLEHLPCSLDAPALGVEINESRAQEHVWPGPVLLDMLMHLPPFLQCPELRAARQHRGKRDIVGHEPLLHHSVKQPHSLLRAPGLGTPGDHRAP >Et_8B_059048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12976754:12979453:1 gene:Et_8B_059048 transcript:Et_8B_059048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGALFSLCLAAVIAAATAASHQHSQCLDNPPDLSLRGGEAGKVVDDLPGGFRAYVTGAASSNRAVLFASDVFGFEAPILRKIADKVAEAGYFVVVPDFFHGDYYDTSKNISEWIKPHSPVKAAEDAKPLIASLKKEGKSVGVGGYCWGGKFGAEIAKTKDVKVVTMSHPSTVTPDDMKEVKCPIEILGAQNDTATPPKLVRKLVHVLRQRREIPYFAKIFPGVAHGFACRYNTADPFAVKTAEQALAYMLDWFNKYLK >Et_3B_029734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27458188:27461526:-1 gene:Et_3B_029734 transcript:Et_3B_029734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFSAVRKVFSSSDTDGKEAKAEKADKSKSRRKWPFGKSKNSDPSTSMVSGTGPVAPSPPAPSTQPTQPHSQEIKDVKPVETDSEQNKHAYSVALASAVAAEAAAVAAQAAAEVVRLTAVTTTSSKMPVSSREELAAIKIQTAFRGYLARRALRALRGLVRLKSLVDGNSVKRQTAHTWHCTQTMTRVQTQIYSRRVKMEEEKQALQRQLQLKQQRELEKMKIDEDWDHSHQSKEQIEASLMMKQEAALRRERALAYAFSHQASCLFSFLDWRNSGRSVTPTFTDPGNPNWGWSWMERWMTTRPWESRVTPYKDTKDRALTNTTSTSAVRTSVPRAISIQRPATPSKSSRPPSRQSPSTPPSKAPSTSGKTRPASPRGNWLYKEDDLRSITSIRSERPRRLSTGGASVRDDASLTSTPPLPSYMQSTESARAKSRYRSLLADKLEISDRASLVHSVVKKRLSFPVIDKPSIVPTNKPKERVRRHSDLPKVDPAMLKDVKPREPLRHRRLRVEVETGVGNGIVGGGIGRPLRTPQIEIVSVHRAPGRRLGLGWGRTLGAAALGPWGRWRLDLGGAGAWGRRRLGAAVIEGLGRRGGAVSWRIGGGAWGRRQLRAWGAGAAPFPGESAAGPVDRGRRIEQSLRWSEGDRGRMNRVG >Et_9A_062901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6436498:6440026:-1 gene:Et_9A_062901 transcript:Et_9A_062901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGEEELEGADHIMDLGEGDNTPRVKRAKATNWPRVMSKFFLDWYLEKKKGMPPKTKFKKEHHVRCTSAVNTKFKTSFSVDQVHRHFRRFKEIWVVVIRYVNENGSRFDKKHKMLVLPPSTMACLPIAERAIIAKPIPFFDHLLALFNDGQLNAVCMRNPVLLYDSDEEEMEVQDALNGEDNHHEVAASSGDVPCEVMSGTNPPSAQPSGSAESTLAALKPRATKMKIVSKAKPNPKPQAPVLREGRNIGMLNSTLPGIRDSVTKPVRPSPSDPNAPLWNMLKEIPLTPADRLSVGICLCKPEFEVHRSFFMNMGKEYLEAWARKFLSGEEPGT >Et_4A_032112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18672370:18673683:1 gene:Et_4A_032112 transcript:Et_4A_032112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKVLLYSGHQPLDGGILLQETTETKSTENLQNNQGRTSAWRKIKGKKQLKKEVVDLRTLLIHCAQAVSVNNHTLARDILNTIRQHSSASGDDSQRLSFCLADCLEVRLAGTGSQIYHKLISETKTAGDMLKVFHLCLSICPFLWAPYYLSNKTILDVSMGEPRLHIWPSLFEQLSKREYGPPKVRITGIELPQPGFRPNRMTMNTGRRLAEYAEMFNIPFEYQGMSSKWETIRVEDFDIKKDDVLIVNCIYRMKNLGDETVSINSARNRVLNTIRMMKPKVFVHGVQNGSYSTPFFLTRFKEVMYHYSAMFDILNKTVPPDNEAKGSERIERPESYKKWKARNLKAGFEQIPLNPDIVKRTKDIVKRLYHKDYVVDEDDQWLLYGWKGRIIYAISTWKPNAPNDGN >Et_2A_015831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18260621:18264611:1 gene:Et_2A_015831 transcript:Et_2A_015831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YYLHTHTHRQAPVKGKRVVVGGSGKSAFDIAAECAEANVTRRRILAKLTSIALARVRVRRAPVHNDLRRSPLWVVHDTDDVWGKVNLWVREPQPSRSLLPTTWKQSTRMERKRVGIVGAGVSGLAACKHALDKGFGPVVFEADESIGGVWTHTLESTRLQATTGAYRFSDLAWPESVTEKYPSHRKVLDYIKSYACKFDLLRYIRFNSQVLGVEYFGATEEEIMSLEHWSGNGTAFGTGKDGVWRLTVKDLKVGNTEVLQVDFLILCIGRHSGTPNIPEFQANGPELFKGKILHSLDYSYMDNVAKFVKGKHVTIVGSGKSAFDIAAEVARVNGTSQPCTMIYRTKHWLVHKSSVWGVDLGYFYLNRISQLLFHKPGEGFLHYILATALSPLRWAISKVIETYFKRSIPLQKHGMVPDYGFSYAMSACLIAMLPEGFYEMVDEGSIMLKKSKTFSFCDNGIILQDGNERVKSDIVILATGFRGDQKLRDIFTANWCKRIVAGSPDTAVPLYRECIHPRIPQLAIVGYSESLTDIYAAERMANWIAHFLGGGFQLPSIRCMEKSIAEWTKYKNRYNGKYFRRSCISTINIWFNDLLCQDIGCNPKRKKGFLAEWFQPYGPADYAGLY >Et_8A_057008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20060355:20064065:-1 gene:Et_8A_057008 transcript:Et_8A_057008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEREIAVGSCSKPCGPLEEYFIPDYILKPDAEQVLVDHAPPCPVVVFINSKSGGQLGSSLIKSYRELLNEAQVFDLSEEAPDKVLHRLYANLERLKIEGDILAVQIWRTLRLIVAGGDGTASWLLGVVSDLKLPHPPPIATVPLGTGNNLPFSFGWGKKNPCTDPEAVKSFLGLVKHAREMKIDSWHILLRMRAPKEGPCDPIAPLELPHSLHAFHRVSSSDSLNMEGYHTFRGGFWNYFSMGMDAEVSYGFHSERKRNPEKFKNQMTNQGTYAKVGLKQGWFCASLSHPSSRNIAHLAKVKIMKRAGGHWEELHIHHSIRSIVCLNLPSFSGGLNPWGTPGTWRTADRDFTPPYVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHGIRFEFHKGAADHTFMRVDGEPWKQPLPTDDDTVIVEISHLGQVTMLANEPCRSKSVNDPSSPSQAHDSHGDDEIKIEDEDEWDDGKRKFGAADTFKIPDEIDIAHLS >Et_1B_012146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29409536:29410622:1 gene:Et_1B_012146 transcript:Et_1B_012146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKMKGIFKGLKIISQMFVHKEHEMEIGYPTDVKHVAHIGLGTSDTSPSWMNEFKATEDSSLSTAGQSRQTSWASADFEQPRSMLPVEIFTDNRPSQEPSSGPDAPRGARKVKRRKNRASSPTSSARSSSSRSRASFATAYDAFSESRRGFRVA >Et_10B_004007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9101903:9102422:-1 gene:Et_10B_004007 transcript:Et_10B_004007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLKFPTAILLLLMVVQGNCQQCAPSSIDIQQSNAGKKVGALDTVFMVTVTNRCGCAVKNVYLQSNGFSSSTPVDPKLFRRDGDSYLLADGQRIPSTKSVSFQYAWDHYFKMTPESIQAEC >Et_9B_065713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9499044:9499882:1 gene:Et_9B_065713 transcript:Et_9B_065713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSAGSLWAVVLWLAVLGVGVSPGNGDLLGNLSSLPPVRIICNQNDKLSIAIRNNKVVLATTDLFDKSQLWVQDYYSVGRLAADDGCRKPFALVNVGTGMAMLAPSNKFDPMVLVPYNPFACLPLSMLWTQGTPVRNNFYQIKLFRDESKAFNGLGGNVRDGTIIGWGSAGPTIGDNTLFKIVNFLFTP >Et_3A_024083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17173538:17176085:1 gene:Et_3A_024083 transcript:Et_3A_024083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATRRGRAAAESQPSSSVPARAPGAIEVQRRRVGGGGWTSRRISIYASRVYFLLIILQIPLFRVPCRAGTCTTPIQVTSSQLVSNEIFPPSVVKAMLYPGAIVSNLTKSMTFPRWSDIFDIYNLTEAKNASAVVDLQRLEILAGSYFCVAGALLGIINPGRMTLFGTLLVIWGLVKEALFGKPVNSDPTESSYVYPTIMIALVCAFMSITYNVKKIARSSPSVTVAKPLQSSAKSKLK >Et_2A_016768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2800647:2804332:-1 gene:Et_2A_016768 transcript:Et_2A_016768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAILRSASVIAVPSAATTRPPPPPRPVPPDPPLRRAAATMTPTLAMSTTHEIGEWYAVPGLSLRDHRFEVPLDYSDPNGSSITVLAREVVAAGKEDTYLPYLLYLQGGPGFESPRPTEACGWIKKACEDYRLVLLDQRGTGLSTPLTPSSLAQISSATEQVEYLKHFRADNIVRDAETIRLRLVPCAKPWTVLGQSYGGFCAVTYLSSAPEGLQAVLLTGGLPPLGEACTADTVYRACYKQVQQQNEKYYKRYPQDTQIIHDVVRYLNESEGGGVPLPSGGRLTPKMLQCLGLSGLGSGGGFERLHYLLERVWDPILVPGAKKSISYYFLKEFEMWLGFDQNPLYALLHESIYCQGSSSKWSAEKIRSEYESLFDPIKATEEGRPVYFTGEMVFPCMFDEIHALQNLKEAAHLLAAKEDWPPLYDVNVLNNNKVPVAAAVYYEDMYVNFNIAKETASQIAGIRLWVTNEYMHSGLRDSGSHVFEHLMGLLNGKRPLF >Et_3B_029397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24691066:24693220:1 gene:Et_3B_029397 transcript:Et_3B_029397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCIGCLLSNGDFETAPAGGFAKSASVADGASSIPGWTINGTVELISAGQHQGGMILIVPQGDHAVRLGNDASVGQVVQVEKGSEYAITFSAARTCAQLEALNVSVLGGVSQTVDLQTLYNIEGWDAYALAFQATDEEAHIQFMNPGMEDDPTCGPILDNVAVKKLFTPDKPKDNVVINGDFEEGPWMFPNTSFGVLLPTNLDEQTSALPGWMIESNRAVRYVDSDEYKVPQGKRAIELLSGKEGIISQMVETTPEKVYSITFTLGSAGDSCQPPMAVMAFAGDQAQNFHYSPMGNATSQVANVTFTARAERSRVAFYSVYYNTRSDDHSSLCGPVIDDIRVWGLNAAAGLKASIWLVFGIVAVVSMLLF >Et_1A_008818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14728672:14731140:1 gene:Et_1A_008818 transcript:Et_1A_008818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMENTSEKVKLLMGVLALQCCLAGFHIVTRTALNMGISKIVFTVYRNSIALALLVPFAYFFEKKNRPPLTFSLLVQFFLLAFCGITCNQGFYLLGLHYLSPTYASAIQNTVPAITFILAACLRLEQVNINKWYGMAKVIGTVVSIGGATVITLYKGTPLMNFFKSSHILGDNVVSPNLVWNWTVGCLFLLGNCFAWSGWMAPVLKKYPARLSVLALTLVFGLVQLLAIAAFCENDSEKWKLHSGGELFTILYAVIFFELLIGLVASGVSLCLQIWCIDRGGALFTAIFQPVQTVMVAIMAAIILGDELYTGGVIGAILIVIGLYFVLWGKSEEKKRISSQTDEPDLSRRLLGQESSQAAKSVTTDVP >Et_4A_031868.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25067739:25072801:1 gene:Et_4A_031868 transcript:Et_4A_031868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGLSAPIRRLSAAAATPLSGLTDALLATRLASHLLTTPHLPDALLPATPLPLPVHLHIIRHPALPPASKLSFFLAATPPASPLLTATFPVLLRTLAAVSPPLLDALLPFALSSPSPSTLLPALLSSLLSASRLDAALSLLDAAPPDLLPRLAAAALPSLIASPDLISAVPAIRRLLPIASHPPPVQATNRLLLALSKENLDDDFRHVFDEMSRRGLPSNVRFYNICIHAFGKWSQLDMSLRLFAAMKAASPPVVPDICTYNSVIRALVVGGRVTDALVAFEDMKSAGIEPDVFTYRAVMNGCCKSFRIDDALRVFQEMRGSSGARGDVVVYNSLLDGLFKAKKLDEALGFFETMVADGIQCSASTHNTVIDGLFKNGRAEAACRLFYELRRKGQLLDGIAYSIMVREFCKEGVGDQVAEAVELVKEMEARGFVVDLVTITSLLIGFNKSRRWDLEEQIVKFIRDGSVLPDAIRWKSNMMAALRGPQDKGKDGTSLFPFDGKMDDVMSLVNPLGRTSTNEETPSNDQKDDWSLSPHLDHLAKNADSLNGSAVFTMLRGQRVQGMGAKTFDADMVNTYLSIFLAKGKLSVACKLFEIFTSLGRKGTSYTYNSLMTSFVKKGYLKQVWGILHERGGQLCPNDIATYNLIIQGLGQMGKPEVASSIMDQLSKKGVYMDIVMYNTSINQLGKVGKVEEASCLFEQIIRSGMKPDVVTFNTLININAKAGRLKEADKYLRRMIAEGIAPNGATETIMIFLAKEIEKKRQQPRFVD >Et_1A_007226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32322142:32324157:1 gene:Et_1A_007226 transcript:Et_1A_007226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKRFKRTPGYEDPYVLADETIFSVNEVEALYELYKKISYSIFKDGLIHKEEFQLALFRNSNKKNLFADRIFDLFDLKRNGVIEFGEFVRSLHIFHPDTPITEKVAFAFRLYDLRGTGFIEREELKEMVLALLSESDLLLSEEAVEQIVDQTFKQADMNGDGKIDPDEWDDFACKNPALLKNMTLPYLKDITIAFPSFVLTSGASDDEL >Et_5A_040264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8493630:8494068:1 gene:Et_5A_040264 transcript:Et_5A_040264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRPVSRASSTPASPPSRASSTTPHHAHGNLEHHCLLHTTRESRSSTSRVQKDLGLFQRSSITACRATSSPRRPRPSGNSTRSPRRPRGPTTPGTRHDASGTRATSTCSSRRWRTGATPSSWR >Et_8A_057675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:670095:674626:1 gene:Et_8A_057675 transcript:Et_8A_057675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAKASNYPRAPAAKELCSFYIPAEENGKTCAVSQASEDTLIEDVVKGSTLLQRELPERKEVDKGESSALRSPNSMNCLLTKTAIVQRQLLEAKGVNRSYINRLVERGPNATAVDLTSVDDTRRFKIQFCTLNTCDKKDCYCCQNRKPIAYCYRTRDMCQKQDAYAYINVAPPDLAADLEAGGGGGGVVDVGGGVVVAAAGNAPRPAAIFSISFISVRVHGVVFL >Et_3A_027142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34139686:34142385:-1 gene:Et_3A_027142 transcript:Et_3A_027142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDREERGVEPPTARNADPDGCSGGGKKGSPCEECGEQPWKYRCPGCAHLTCSLPCVQAHKRRTACTGKRPRTDPVPLARFDDNQLISDYNFLEETNQARESAHRLITDFGRNYGGPGGAQLPTWLFYLRKAAQRRGIKLYFLPRGMARREQNRSRHHHRIDEHATLHSLLEKHLSPGPWKDHLTQYRNTELRDLKLFIQKSAKDSDSPYRQLNVEEPLISQLRGTLIVEYPTINVFLPSDNFDFEVEKMVNRVTKNEKPHDSSTHAPHLEGTEFHEEEIEDGEFAPDTQIIDLKDSGPSQPTNLAPVEITSISQIDNRIDSSDRGSSHAGNPAEAEGAAISKIDTKTDSLVPSSISVLTSDAVSGPQQEHSEQSKQTPHATPEALKRKSGTKVYPLVLDIEDNHGSLFTDLGFEQEMRDNYPELFGDVDNDDFFSYDLEMINGDDPVEAMTALLWGGDLEEGEIPSASSS >Et_2A_018617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35132788:35135342:1 gene:Et_2A_018617 transcript:Et_2A_018617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSDLNTHLPPRKRLLAGLRTAASAFDADPPPSPPASVDLAARLREMALAANASSSSPEEMIEAARAAASAAADAAAAARATAEEKAAVAAKARAAARAAMEFLDSFSRTGASRNGLQLKVRSRKKHVQVKLLYKPNGRVEGRGALRDAPKPRRRRDSDEDVARTLHRAMNSSPRISPSGPKRPRSTTRDECEGVADASNVLSTHAPTEVGGLPNGGSSERKSCDTNVPLFKHEAPDGVSEHSSRNTAKSSDIMDNGVGIGNSSAARKVKIKRKELLINQNNYEEMEETKETEPSIHSVVCGESKSNGNGAEKCMSPSDAKAPCDGLVPMKITSVWKFKKFKTSHCSSDSKVLHNVRSSPSAAETSASVFAQIHVGRCVGCC >Et_10A_001474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3834023:3837259:1 gene:Et_10A_001474 transcript:Et_10A_001474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLTWRRAVDAAPLAGAEPVAVKPVPARAPVSQRPARRPHVEARRVRRAPVAAGAGAGAVAVEPPAELRHAELQRRHPRRRVPVHRRRERVLAAGRAHRVPRHELAPAARRGGAARRRRHGRHARPRGVVSAAAAALSRAEEPRHEVRGDGAVGARRRRAAGAAAEEEAGAGGDAVAGAGERSPCLGDGAVARGVDEGEEGGGRGVEREDRVRRRCRRRRVAVPAVREEDWRAGDGRVAGQEDGAIAGEAEWVRDGGAVAPALATSSGVIAGGGGGEGCIGEQD >Et_5B_044070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19700817:19701325:1 gene:Et_5B_044070 transcript:Et_5B_044070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLHLHELCQQRRWALPAYELTCEGPDNMPLFRATVAVNGTEFHSPDEGVPSARKARSLAAMAALQNLSAVPAPQLPAPGKIRSEQ >Et_8B_059123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14109346:14113362:1 gene:Et_8B_059123 transcript:Et_8B_059123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVVRSLRQLRLLTQHHAERHSSAYRFIRQRNALILCNSAPRSLSTLHQNGEISRMMSPGVELLRSMYSTVAADSIKDIGRGGPMAEYEKKIASGELVDGDSCQLDTIHQLQRLYEELMENEEECRLDRYKSSEKEGRSRWLWSRLLTQPSTYAPVKGLYLYGGVGTGKTMLMDLFYEQLPANWRKKRIHFHDFMLNVHSRLQMHKGVSDPLDVVAAEISDEAIILCLDEFMILISTSNRAPDKLYEGGLQRDLFLPFIDTLKERCIAHPIGSATDYRKLGSAEQGFYFVGKHFSSLLKQKLQSLIGDEEPRPQTVEVVMGRKLQVPLGANGCAYFRFEDLCDRPLGAADYFGLFKKFHTLALDGVPKFGSSNRTSAYRFVTLIDVMYENKARLLCTAEAAPIELFENIVTVAEAQKLSPRSSRSQKSDDHDLCVDNELGFAKDRTISRLTEINSREYLEEFEEKLQQQPLQGVDSDDVVIA >Et_2A_016816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28530280:28531815:1 gene:Et_2A_016816 transcript:Et_2A_016816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVAAVAALRCPSASSAVGCDLFRGRWVADESYPLYDASTCPFVPAVFDCRRNGRPDDGYLKLRWRPDGCRLPRFDGLDFLRRWRGRRIKFVGDSLGMNQWVSLVCMLHAAVPAPARVTVIRTNGSRAVSTVRFEDYDVSVVLHHTKFLVDVVREDDTGRRVLKLGSMKRKASSWRRADLLVFNTWHWWTYRGAGKEWDLVQDGNRTYRDMDRLTAFSKGLATWARWVDANVDASRTKVFFQGISPSHSRYMPKELASDEPEAAPAGSCYNRTQPLDDAATEAAAESGSLVTTARDVVREVIGSMSTPVSLLDVTALSQPRIDAHPSVYRDAGRTAVDCTHWCIAGVPDAWNQIMYAMLLRQE >Et_6B_049950.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:19292273:19293691:-1 gene:Et_6B_049950 transcript:Et_6B_049950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSVCRLHPGVAVTGFCPACLRDRLAGLQPPSAADLRRCKSFSYARSAAAAHFEPQRRSCDLFGQAAEPMPVPVPEEEEEAVQLQRRMQEPSRKSFGGLLGKKLQQWRRKNKPKKEEAPMTMPPEIMPGFRDDDGGRRSCDHELDPSFSLFSRLPPAMEAEEMPPVDVPRSDGQIPVEEEDSLFYPNYYDNAVPGGCAQTRDYYFDSSSSSRRRRSVDRSTASGRNSFSDANVDWTTMNNNANARVSPAMAAAEFYQAQSSILVHHHHHHHQYSGSFGSASFRDQRHTNNKQLGGANKPAKKGIKGWSIWGLIHKKSRKPPEPEHGSFSVSAGVGEEVEYPWPELRARGYHGQMLRCNSSISARSSFSGGAAAMLGGGSARRSISGMDTHDVMNGHGSGRTRRDEILLERNLSTRSRSGHDHPMGAAANFSNNYQFSRPNPHVVPKGRSSKSSLPRRSMLGLYSTDSIPRH >Et_5A_041807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2994689:2999105:1 gene:Et_5A_041807 transcript:Et_5A_041807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAGCTTLRWRFHSSPSVSTSPLPRRRPMCGLMRFLGYSPVRSESTCLTAFTSAKHTFGLAPVQYTNSDPFAEVRLHYGDEVVVVDVQRDAGGIGTEPLDLGKVADEELVPRAADALRAEPPGDGVGAPNQVHQGVERPRRRHRRDGRGHQPSQTHGHCSLRLIRIGGVQDSESESVIYTALFAAGLTLCGPVAQVLGALVGFEKVGEVRSEMMHMHASFVNALFGRDVPIDKESRVSGVKGNKKRRRIYLVLEEKLLHKLDSCHHGHWRQESDSEGLPSILHLNKPIYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYYIRVLRIMGSPCLGLSVSTSIGACTYLGDSSSENLCRIMATTALASIIAKFCPMQDLGPLEKDSNALGCLAALVTPFSNLSGLNSPASSPQTSLSRWMVAIGMYRALGHPEATDVEVGTRLPVDLGHGAIKPQCLEEEHVDEPELVEHVASGHLIAALPAHLLPELVLPLRMRAQKEGGPSEEVRGGVVPGEEEGLALVDDLVHAQYRALAVLRSTGLQHQPQQVVPVPGRVLVGDTGLDDVHEHGTHLLVELPCLEVLVGRKVPEKWDLDVEDGGEGEEL >Et_9B_063917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21408298:21408885:-1 gene:Et_9B_063917 transcript:Et_9B_063917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRLARPVEPSTGGGELQVDGEARSVRCECCGMAEECTPTYIGRVRERFQGKWVCGLCAEAVKERLAREPHLTVAGAVDAHAALCERFNSTVRLNPKLSLASSMRDIARKSCLHRSTTATATAPSPSCALPYV >Et_6A_046207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:10242:13972:-1 gene:Et_6A_046207 transcript:Et_6A_046207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQVISSEVVSSLQRRRSRRNREGERRLRPSFLSSIRHRRSRRPHHKWCLDIALRCPSSSRLLHRAPAKKERMQQTQTQTQQMQRRRPAADQEAAAKATEDSQFFDAGKPPPFRIGDIRAAIPAHCWVKSPLRSLSFVARDLAVVLVLAAAAARLDSWLVWPLYWAAQGTMFWALFVLGHDCGHGSFSDSATLNSVVGHLLHSFILVPYHGWRISHRTHHQNHGHIERDESWHPITEKVYRELEPRTKKLRFTPPFPLLAFPVYLLYRSPGKNGSHFHPSSDLFSPKERGDVVVSTTCWCIMLASLLGMACVFGPLQVLKIYAIPYLVFVMWLDLVTYLHHHGHQDLPWYRGEEWSYLRGGLTTVDRDYGWINKIHHDIGTHVIHHLFPQIPHYHLVEATKAARPVLGRYYREPEKSGPLPLHLFGVLLRSLRMDHFVSDHGDVVYYQTDPTLNAAGNWAETDKLKK >Et_1B_013737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14805239:14807518:1 gene:Et_1B_013737 transcript:Et_1B_013737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKDLAARKPVLATIRLIVPAGAARPAPPVGPALGFYRLNLMAFCKDFNARTQKYKAETPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIETASSRPGHSNVSSLTLRHVYEIAKLKQADPFCKHMSLEALCKSIIGTANSMGIEIAKRL >Et_1A_006532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2435150:2444277:1 gene:Et_1A_006532 transcript:Et_1A_006532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLLKMAGFCVWALFWLGRQSATVNPRAGEAFAGGEAVVVDARSAIAVTDEDFDLSNKILLNAVKAFSPLKLRLGGSLQDMLIYHTGDTQQPCTPFVKNSSAMFGFSKGCLPLHRWDELNAFFKESGANIIFGLNALNCRVPMPDGSLGGPWNYTNAASFIRYTVNKGYGIRGWELGNELSGSGVGARIDAYQYAADVITLKQIVDSTYQGSSKPLVLAPGGFFDAAWFTELVGKTKPNQLDAITHHIYNLGPGVDDHLIEKILNPSYLDGMMSTFSNIQGILKSAGTSTVAWVGEAGGAYNSGHHLVTDAFVFQLLTLVGGNYGLLNTTTFEPNPDYYSALLWHRLMGTKVLSTTFNGTNMIRAYAHCARNSDGITLLLINLSGTNTDHIYVTTEGLKEGGSGFSHIPGLGKAAVLTREEYHLTPRDGKLQSQQVLLNGNVLATDANGDIPKLEPVQVEGTQPITVAPYSIEGITLLLINLSGTNTPRVQGRREEGSVTFLNLVKLLCSQEEGTISHPKKENFRANK >Et_3A_026293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6497671:6498977:1 gene:Et_3A_026293 transcript:Et_3A_026293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGSFASAVEKTQLAVQDAVNSSSRSAAAFSDQVPQILVPRAAGRVVSLSTCTKVGAISFAVGVVVGFTLKRRLRRWAAKLLKRIKDDD >Et_10A_001791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8283684:8289303:-1 gene:Et_10A_001791 transcript:Et_10A_001791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGALHAGGRLLVPLRHSPAPTPWSHIRSHLISSRQPPPPPRCPPTVAPSRLAGPTFVPPSRKAGAVGAGPGAGAGVIGWYLGLIDTRPVLTKGVTAAAIFTVADLTSQMLTFGPEDSLDLLRTLRMASYGLLISGPTLHFWFNFVSKVFPKKDVLNTFKKMFLGQAVYGPIINSVFFSYNAALQGETAPEIIARLKRDLVPTIKSGLIYWPLCDFITFKFVPVHLQPLVSNSFSFLWTIYITYMASLKKADVEIWQKHHELLGYGGSSPTVVMKAEEQLFEKQDHERTKSK >Et_3A_024605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22513193:22516153:-1 gene:Et_3A_024605 transcript:Et_3A_024605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGEFHFHDELAPLFAQRPAPGDMMMQQQQAPPGSWFADFLQGPMAPDYDLLCRALDLPLVAAEDVVKRELVVDTGGGGARTPSGGGTAPVTPNTTSSMSSSSSGAAGGGGGGGGGGGGSGGFGAGEEDSCKKEEGDGEESKEFGKGEEDGDKSKKGSVSAAKAKGGKGEKRQRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQRCPVKKRVERSYQDPAVVITTYEGKHTHPIPATLRGSTHLLAAQALHHHHHHHLGGALTPHTMGAAPFGRAGGGIDVLGLLQPPPRGGASHLAMPPATMASHGLAAGPMSSGVATTTMTTKICTAWIDLIACRHDGTVRVVGTKSRYGKMVKASFCSLFRASTAGQVVPRARTSTTHMHSDVNLPT >Et_1A_008232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5504943:5506861:1 gene:Et_1A_008232 transcript:Et_1A_008232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASVAALQTAGSRRRSGAASPHVGLNGGRFLMMQRRELVTKAGIALSVCCSMATSPASANGSAQALEMLPFKADGYNFWTWKGRRIHYVEQGSGKPIVLIHGFGASAFHWRYNIPELAKKYKVYAIDLLGFGWSEKALVDYEATVWMEQVSDFLREIVKEPAVLVGNSLGGFTTLFAATEAPELVRGVVLLNSAGQFADPDKPAAAAPAEEEEEQSNPLSRFVVKPIKEAIQRVVLGFVFWQSKQPARVEKVLKSVYIDPRNVDDYLVGSITAPTADPNAGEVYYRLMSRFMSNQSRYTLDRLLGKLRCPLLLLWGDLDPWVGPAKARRIQEFYADTAVVHLQAGHCPHDEAPEQANAALLEWLASIDARAAPPAEPSLQAV >Et_9A_062719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3697739:3703276:1 gene:Et_9A_062719 transcript:Et_9A_062719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYGDSVVEAYGTDTFSLSVSGDLKVMTDEVLYRCPFCSDAQNGCSLLDLLQHAMGLGAAHKLDGKEKANHLALANHLKGKPAESPLGLLMQPTLTNVQPPQHKRDELFVWPWMGVLVNMPDEYIGRSANRLKEHFIAFHPTKVHHVYSKGMPTGNAIIEFGKDWSGFRNARAFESHFEMKGCSKKHWKEMKCGGQEPVGWIARADDYNSLGAIGEHLRKNGDLKTFRDIENEETVKTDKLVAHLTSHVKEKETHVMELESEYNKSAASLEKLMEERDKQMQSYNKEILKMRQLPQQNTHKAVEENRKLRLDLQGMMDDLHARNKQVEELAAQSEQDKKNLELEKQKNAMRTNRLRLATLEQQKASEDFLKLMEKQQVKTVPLMCLHARTDLQSDEPTYVLERDNRSRRPARTDKDQNTDHRVGQLTP >Et_3B_027966.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28655527:28655616:1 gene:Et_3B_027966 transcript:Et_3B_027966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLPHRVRQRRARARAAALQPRLPREVH >Et_10B_003900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7375271:7379318:1 gene:Et_10B_003900 transcript:Et_10B_003900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSDGSWTESSVDYLGRTKASLYWYVGDRTVAALDRRTSEFVTSKLPATGKEDWDWQRMIIAACRNDEPRIIINGDREGGALKFFARSQDGSEWALDKRIQLSDVLPGCDPLYFFGGAGWVRVTAVDTGTVVVDRGRAWGYRLDFETMEAEQASKDAYRDVAFPCELPWPAAFHACSEHTNVGTYPYSLVSVSIYIADAEAVSIRAGKYLRIRVLGRERHGSHTYSISDEVLELILLRLDSPISLIRTASTSKRWRRIVTAAGFLRRFTSINRAHHIAGSYYSGGSIFPHVFIPAPPSEIVDGRHFSLDFLESNDEDDRGSTYALGFWEGRAMDRIPDEVLELILLSLDSPISVIHAASTSKRWRRIVAAASFLRRFASVQGQPHRLVAGSYYNSGFSVVPQLFVPAHPSPLVDSSRFCLDFLQSDSDHDDVRPFHPLTWAIRDSRGSLLLLAHNEYDLHITCVTCLHMIVCEPLTRRRRRITLPLVNGWSIHSYRVCTIFLDASDDDNAGFSHFRLVCVLLHVALNSYRVAVFSSACEHGSWKSTSVDWKSMYFLGKTKKSLYWHVADGIVAAMDRRTALFSTSKLPRCSEDWDRQRLIVVACRDDEPRIIVTGFWECGFLKLFARSQDGSEWALEKTIKLSNVLPGCDPSYFSTRGNMAMIVVECQSWAYRLDINTMEVERVLNDAYRHVAFPSELPWPPAFLACTDLV >Et_7A_051564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20475504:20476531:1 gene:Et_7A_051564 transcript:Et_7A_051564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKEMAPSWLELLLVTQFFSTCTNHLRSSRNECNLFCIDCEEQPIAFCYYCKSLHHSTHRVIQIRRSSYHDVVRVSEVEDILDISTVQTYVINGAKVLFLNERPQVRGCGVSFGKGLSSSSHKCETCFRPLLDEFRFCSLGCNLKAMRKDVELPNISDNGADCAKMEDVVGSENAGSKSKNENHGDNNEEEPPAKRVARRHRRKGIPQRAPFF >Et_5A_041764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26830284:26836306:-1 gene:Et_5A_041764 transcript:Et_5A_041764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLRRGVGSLALRSFAASLRRHAPPPPPLPLENLFPATPAHIRRTLAPPCRHFAAPPQVNKKSKEDDDEGPRVNNAITAPFVRLVTDDGHKVVSRKEALDLAARMEMDLVEVHRKSDPPVCKIMDFHKEKYKKDVKEKERLKTKSAIVLRGGDNKEVRFKGKTELKDLMVKADAITRLMERGYRVKCMAMPSGNEGEDLGAPLSRLLGLIQDVCIVESGPHLDSKHAYVIVRHVKFATKKGGKKASKAMEDAGKGTHGTASESPVAGDNKDEPIECVTEAGDRAFSAQKGGQDRDFRRDLNRSVANPGDHEKLHNTNSGGSRANPGKWGSQTPEHRHGSKDAKPDMEKRENSNQVKEPGETNRYAARRPPVRRDNQGFSQGRSPQDPKRTENEGRYAMNDNQRPLEQHNRPVPRFNQGRLPQDPRNERRGQFPLNNSQRQPQGSDSGSPNPTTKDFGIFSTPKHSSSEPRKTNDAPASKPANTDSPKSYGIFSSSKSFANSDEVEPAKKSNLAARYGTSAIK >Et_3A_026628.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:10837317:10838840:1 gene:Et_3A_026628 transcript:Et_3A_026628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRHQEEVEEENHARALPEDVLAACRGACAAWRAIVDAHRLLRADLLPRTLGGVFVDFNMGARSEFFRAPTPPTTTVVSGDLGSFMPKPPKVPVDGHCGGLLLVPADSRVSVVVNPATRQWATLPPHAPRMASWADQHLAYDPAASPYYEVFLMPVVGRGAKLDDDAAMAESEWPPSVCETHVFSSRTGRWEERSFVREGGEPAGTVAEMKESWVSEKRYSVYARGALYVHSRNDFFCKVSLAGNTYRVIKPPVQQTTRWDRSTQQACLGRLGNTVCCAILDEAQLRVWTLVEESESPGGGGGQQMDRWVLKHQNNANGLLASRDLSWKQRGEEEEEEEEEEEEEEEEEEEEEAWILEDKINYDNADSRDYYDDTDYDDEEGDYYYEQQPPYVDGDDEEEPDEYYDDEEEGDYYYDHQPYVDGDEEPDELGSGCVSFLGFHPFQEGVFFNCNALSRGVAYDLNTSEVRDLGNMLPNSTEGQHTAYIRSSFPYTPCWMEDSLPTTH >Et_2B_020270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18562136:18564113:1 gene:Et_2B_020270 transcript:Et_2B_020270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLALLWGIIGPGVAGAVFGAGWWFWVDAVVCSAVQVSFLHYLPGIFASLAALMFNAVSKDEIGYDYYSPYGDDSEWRAKLWLFVAYVVSFVCLAGSVGLLVQDALTDKGPSVWTGVAGVLQCVLVLISGLIYWTCHSEEY >Et_10A_000596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13401285:13405236:-1 gene:Et_10A_000596 transcript:Et_10A_000596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLAGGHRKGWCHGGEGAATWEVSELQGAAVLAGGAVGVLNIETRQCTKQTSFFSGALVPKLGEKPRVLPASPAVTRATYADSRFLAPQNCTRGRCKHLAPSYSLLSQNFSEQLNHVSSSRFRHKRGSRFIVRAEADFYSVLGVSRNASKSEIKSAYRKLARSYHPDVNKDPGAEQKFKDISNAYEVLSDDEKRAIYDKYGEAGLKGAGMGSGDYSNPFDLFESLFEGFGGMGGMGGMGGRAARNRPTQGDDEAYNLVLNFKEAVFGVEKEIEITRLEGCSGPPGDLYVFIDVLSDPVLKRDGTNILYTCKVSYIDAILGTTVKVPTVDGQVDLKIPSGTQPGTTLVMSKKGVPLLGKSNARGDQLVRVQVEIPKRLSSDERKLVEELANLNKAQTANSR >Et_2A_017527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35155114:35168495:-1 gene:Et_2A_017527 transcript:Et_2A_017527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGRRPDMSSPSPSPAGAGASGGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKVEEKQKLYVPYNILPLDPDSINQSIMRFPEIQAAVYALRNTRGLPWPKDHEKKPDEKNTGKDLLDWLQAMFGFQKDNVSNQREHLVLLLANVHIREVPKADQQPKLEDRALDAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPKDAYPSHLDGENRSAGSAHWMGKVNFVEIRSFWHIFRSFDRMWIFLILSLQAMIIIAWNGGTPSDIFDAGVFKQVLSIFITAAILKLGQALLDIVFGWKARRSMSFAVKLRYVLKLVSAAAWVVILPVTYAYTWENPTGLARTIKSWLGGGQNQPSLYILAVVIYLAPNILASMLFLFPFLRRFLESSNVKVITFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLAMKLIVSFYVEIKPLVQPTKDIMKEPIRTFQWHEFFPHGTNNIGVVIALWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPVAFNERLIPSDTNKSKGLRAAFSRKPKASGDEKEDEKRAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDRDLTKRMGSDPYFSYAIRECYASLKNIINTLVFGQREKNVIKEIFDVVDKHIEDGTLIKDLNMRSLPALSKKFVDLLELLQKNKEEDLGQVVILFQDMLEVVTRDIMEEEQLGGVLDSIHGGNYRRNEGITPLDQQDQLFAKAIKFPVEESNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRNMLPFSVLTPYYKEDVLFSSHNLEEPNEDGVSILFYLQKIYPDEWKNFLERVERKNEEELREDEGLEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDDDLMEGYRATELMSEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSGDTCAHDILRLMTTYPSLRVAYIDEVEAPSQDRNKKVEKVYYSALVKASVTKPNEAGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLQEFTKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLSRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEALATGKRFVHNSSLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVYEIFGQHYRGALPYIFITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVAPEKSWESWWDKEQEPLRHSGKRGTIVEILLALRFFIYQYGLVYHLRITKNITKDTRSVLVYCFSWVVIFVILLVMKTVSVGRRRFSAEFQLVFRLIKGLIFITFISIVVILIAIPGMTVLDIFVCILAFMPTGWGLLLIAQAIRPAIQKIGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRATRNKE >Et_1A_004957.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:18971326:18971580:1 gene:Et_1A_004957 transcript:Et_1A_004957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FFFVLGAFCWALWLTRNDFFFRNKLCNTPQSVAHRAVAFVQTWKSLSIQETQAKVEAIIEAFKKFFELSANHSGGIVIGVPGIL >Et_2B_021038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25903097:25905259:1 gene:Et_2B_021038 transcript:Et_2B_021038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAITTIQHTARVVHGLHGHGPKCPEVHAALVVHERVLLHLLVVVDVFVFLLLLVAAVLRLAVVRAALVHLHESVRVLDALHPDRLAGRRRRVGVAAQDAHDGAHVRASVRLALHAERGALDDARELRGVEGAPEARVDEVTEASPRDERLGPLGDGALGPRGEALDGLAAGDELQQQDAEAVDVAGARDLAPHGVLRRQVAQRALHPGGHVRHPLRHQLGEAEVGHERREVRVQQHVAGLDVTVDDVRRHLVVQIMSNVHEALCGSDGDVDASLPVEADLAGELAVEVGEEAEVGHVVVDDHALLGAGAVAAEAEKVLVAHAGQHLHLHRELHLRLGIVGRLQLLHRDLMIRSHPEIEFLNYLEAVGGLSPVDAAEAALAELVLLAEPVGRGVQLLVAEYPPRAAHRRRVHAPDERPARTTSWSVISVA >Et_4B_039034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7183130:7189585:-1 gene:Et_4B_039034 transcript:Et_4B_039034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSTLLFLLIKLGHLIVAATNETVFTFNGFSGANLSLDGMAAVTPAGLLMLTNGSTALKGHAFYPTPLRFHGGANHAVTSFSTAFAFGIIGQYADVSNQGMAFVVSPSKDFSMALPGHFLGLVNASDNGDARNHLLAVELDTVLNAEFRDIDDNHVGVDVNSLTSVTAASAGYYDDGTGSFRNLSLISRRAMQVWVEYDGHATELSVTMAPLETPKPRRPLLATVVNLSAVVTDTAYVGLSSATGIIFSHHYVLGWSFKTNGTAPALNVSALPALPRTSDKARRPKVLEIVLPIASVMLVLAVTAAAVVIARRRAMFAELREDWETGFRSHRFAYKDLFHATEGFKDKNLLGRGGFGRVYMGVLPRSKTKVAVKRVSHESRQGMKEFVAEVVSLGRLRHRNVVQLLGYCRRKGELLLVYDYMPNGSLDKHLHDHENPTLDWGQRFKIVKGVASGLLYLHEDWEKVVVHRDIKASNVLLDAEMNGRLGDFGLARLYDHGKDPNTTHVVGTMGYLAPELGHRGKATPCTDVFAFGAFLLEVACGRRPVDENAGGCPALMASSASDERFAFEVFAGAKLALDGAAAITPSGLLALTNDKHTKGNAFFPAPVHLRAPMNSTAVASFSATFVFGIISEHAQLSDHGLAFVVAPSKNLSAATGAQYLGLLNINDNGKASNHIFAVELDTVLSPEFHDIDSNHVGIDMNNLQSVTSHTAGYYEEGTGKFLNLTLMSRKAMQVWVDYDGQAMELNVTLAPLDVMKPKKPLLSSTLNLSEVMTGASYVGFSATTGLSIAYHYVLGWSFSLNGAAPVLNSAKLPAVPRVPDQKSSRAETLLIVLPLATAVFIIALIAVVFVFVRRHLRYAELREDWEVEFGPHRFSYKDLFHATEGFASKQLLGIGGFGSVYKGVIPATNSEIAVKRVSHDSKQGVKEFVAEVVSMGQLRHKNLVQLLGYCRLKGELLLVYEYMSNGSLDKHLYDKNKSVLNWDTRFNIIKGVASGLLYLHEDWEQVIVHRDIKASNVLLNNEMNGCLGDFGLAKLYDHGTNPKTIHVVGTMGYLSPELLRTGKASPATDVYVTCGRRPLEHDLQDNQVVLLDWVHEHWNKGSILDTVDPRLNGEYSAEEADLVLRLGLLCSQPMPNARRTTREVLQHLAGTLPVPEMAMTNLDYSTLMFLQNEVFDSHAMFDASSFAMSIGPGSELSGGR >Et_7A_053042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5462863:5465315:-1 gene:Et_7A_053042 transcript:Et_7A_053042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSKTKSGSSEAKSNGKASEKKGTPPTPKSRKPAVPKASATHGTPPSAPRAADKSPGSADRKAPTPKTTARLATPPEKQGKAAKQPHEKPSQEMQAQLAAVQEELLKAKAQLMEKEKEKGKVLEELERAKKVAEEANAKLQEALHSQRQAMEATEAEKSPSADSEQAGIESVQRKLESMQSQQEADAVALRSIVEQLEKARYELADAIDAKNEALNQADDATRAAEVKAEQVELLNAEIKRLKELVDSKMDGKTKKTAERIQNLGSENSKLKLELGKAKAAEERVAELERMVEELNIDVADEWQKKAQMLEDRLEEAEQSNILKGESLDSAIKELDTTSTLLRDRESEAVVLLDKVRLLEDEVARQKGDIDISGKNLDAAEKEAADLWREVEDLRLKLRAAEEEKMEALSSDRISEIDALTEQNNQLAKELEASKDEVDKVKKAMEGLASALQEMSAESREAQEKYRSKQDEIKRAQAQVEELNMSLTNTKENYEVMLDEANYDKVCLTKTVERMEAEARNAHEEWQSKELSFVNSIKKSEEEMVSIRAQMGKTLEEVKEKETENAELQKKMQQLEAQLIEANKIREEAKAETFQWKEKLLDKENELQNIKQENDDLQTKEAAASEKIKELSSLLANAKDGMTAGSNEHDDHEKGGSEEDDEPVVVVAKMWENSKYTDNDSSKEENDGDSQADLESNKGDAALDSNGLHSTKENSGSRSPTKQYQQQKKKPLLKRFGGLLKKKSDN >Et_5B_043913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17617830:17624790:-1 gene:Et_5B_043913 transcript:Et_5B_043913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPSSSGWLDWAVEYTKAAQAEARPPPEWAARVAAAAASAAAGEGGDVPWSAGLAEMLAGALLSGGGPAAWKYAEAALAARLASPALLIALLSTRVIPQRFSRPTAYRLYLELLRRHGFNFSYQMKASNFRKIMGLVDENLSLSKTFGISMNQPGVFVVCFVLCILWQLIDAVLDEEGLLELTPEKKGQWPTRPDDVSAFEGAFTEQRTEKVENLQKRNSVITIELIEHLLCDKVITCILSLARENMQSHWVSFTSRLHLLTTNSSTLQTSAISLEPFQQLIQGDCNVYGGTKHNTRKRFHPIVASSPLSSPNGRCLGASYSALRIPIDMYLEDCLDGSIAATNSIETLSGFVKVLQAINRSTWHDAFLALWIASLRLVQREREPIEGPVPHLDTRLCMLLSITTLAVANIIEEADSLNNETELNSFVKGNEAAANLRKELMLSLQALGDYESLLVPPPCIIPAADQAATKAAMFISGLNISNGYTDNINGMNYSGNMRHLIVESCISRDLLDTSAYYWPGYISGHASSISHTLPSQLAGWSSFMKGAPLTQSLVNILISTPASSLAEVEKLFEVAVNGSDDDKVSAATVLCGATLLRGWNFQEHTVRLVVKLLSPSDPVDSSGRESQLIKLGPMLNVILTGISHVDYPPIFSFHGLIPELAAALMAICEVFGCLSPSVSWKLRAEEEISAHTVFSNAFILLLRLWKFNHPPLEYCIMGDGAPVGSQLTPEYLLLLRNSHVLSPSILANQRNGQKQLQDTSTSSSEHPIFMDSFPKLKLWYRQHQACLASTLSGLAPGTPVHNIVDSLLNLMFRKANKGGTSIGSLSGSSSISNSSGHGGDELHLWPQLPAWEILEAVPFVVDAALTACAHGRLFPRELATGLKDLADFLPASLATIVSYFSAEVTRGVWKPAFMNGTDWPSPSANLSMVEEHIKKIVAATGVDVPRLVTGLSSLATLPLPLAAFVSLTITYKLDKASERFLNLAGPALENLAASCPWPSMAIVAALWTQKVKRWSDFLVFSASRTVFHHNNDAVFQLLRSCFTATLGMSSTSVCSCGGVASLLGHGFGSHCSGGLSPVAPGILYLRIFRCIKDCSILAEDILSLLMLSVKDIAETTIPRQRSDKLKKAKYGMRHGQVSLAAAMTQVKVAASLGATLVWLSGGTALVQSLIQEMLPSWFLLVQNLDQGGASGGMVYKLGGHALAYFTVYSGMFAWGIDPTPVTRRRERVMRSQFEFLASALDGKISLGCDPSLWRAYVSGFLGLVVECAPCWVQEVDLKVLKRLSSGLRQWNEDELAVALLRRAGPQTMGAAAELILGSEW >Et_4A_033037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17436908:17443971:1 gene:Et_4A_033037 transcript:Et_4A_033037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPRHSPLLLLMLALAVHASAPPPLLQRNFYAASCPRAEAIVRRVVRRRAALDRSVLPAMIRLHFHDCFVRGCDGSVLIDGTPGHPAEKDAPPNLTLRMLDVIDDIKTAVEKACPGVVSCADILALAARDASVMAGKVRYELPTGRRDGTVSRADEAHLPSPSVPFAEALSEFRNIGLSLLDLTALLGSTILNFANLFSDIPFRHSSSGRSHTMGFCHCGLILDRLYNFNGTGGPDPTMDAGLLATLRGRCPPHTVTTPQNESRDAIVPMNLVAPLGPFGLDNSFFPTVLAGRAVLRFDQELTSSGMARRIAAVFAARPRNFQKQFARSMVKLGSVNVLTGKQGEVRLNCRRLVLASSAAARRLRPWLRVGYYKDSCPEAEAIVRKVVAEVSAEDPTVNAPLLRLHFHDCFVRGCEGSVLINSTKGNKAEKDAKPNLTLDALDIIDDIKEELEKKCPGIVSCADVLAIAARDAVSLATKGVTKGGWGKDGKLYEVETGRRDGRVSRASEAVKNLPDSFDGIRNLTKRFASKGLNLKDLAALSGAHAIGTSHCPSIAKRLRNFTAHHDTDPTLDATYAGKLRRQCRRPKDNTTELEMVPGSSTSFDTEYYRLVVERKALFHSDETLLRNDETRSLVFSYLRSQRTFLQDFAASMVKMGRVGVLTGNQGEIRRRCALVN >Et_1A_009511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7059017:7060118:1 gene:Et_1A_009511 transcript:Et_1A_009511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERNTQYHQGGETQEIKAADQVEVNERSILDTLLGRKKPEDQEKKQEEELVSGMENVKVSEPEKHDEVRKEEHQGGEKKESLLDKLHRTSSSSSSSSDEEEEVIDDNGEVVKRKKKKGLKEKIKEKLPGHKDNDAEAEHKPYVPTPVPAPTHAHHQEAEHKPYTPAPAPAPTHFHQEPEHKPYAPPAGVETHAYKEDDDHKAYAPASAPAPAPVTTHVHQNDNAVVVQKVEATPEEEKKGLLEKIKEKLPGGGHKKPEDAAAPADHHAPAPPHAEDVSSPDGKEKKQGLLGKIMDKIPGYNKAPAAEDQDHKHADAGEHKTSSS >Et_1A_005023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22092386:22092756:-1 gene:Et_1A_005023 transcript:Et_1A_005023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGEPSDDPSKIRGEILSSGDPQTTLPLTYICTECSYGNICTECSYGKVNSWVWNLGATPGGADTPARITGDGYKNGGEVKSTRRHSGMNIDPSGRDNHSGPGRGGDTSLS >Et_2B_022638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28771125:28778681:-1 gene:Et_2B_022638 transcript:Et_2B_022638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGAGGSGGGGGLGGTRVPTWRERENNRRRERRRRAIAAKIFAGLRAYGNYNLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKVIRLLPYPPLVPCLLCCCSPPSNGFALACGSARWERNRGRGWHDLKSGCRAYGALLCSGVANAMRLFGWSHMGCKPPPAERHDPIGRSASPSPCSSYQPSPRASYNPSPASSSFPSSGSSSHITLGGNNYIGGVEGSSLIPWLKNLSSSSSFASSSKFPQLQHLYFNGGSISAPVTPPSSSPTRTPRIKTDWENPSVQPPWAGANYASLPNSQPPSPGHQVAPDPAWLAGFQISSAGPSSPTYSLVAPNPFGIFKETVASSSRMCTPGQSGTCSPVMGGVPAHHDVQMVDGTPDDFAFGSSSNGNNESPGLVKAWEGERIHEECVSDELELTLGSSKTRFDPLNSHHKITHHKNGNDGGNKLLGENELHKACRKKQHYQATTLQVLSQEEYVQNRHSYLQGVASKLNKPNAGKIK >Et_6B_048401.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:18640950:18641243:-1 gene:Et_6B_048401 transcript:Et_6B_048401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIILTAAFWNIWKSRNAKVFDDEVHPTAMLLSRCASDLKLWAFRSKMPIHSTLLRSSGGPYSFFGFLFITLFHRFCVTVMVFPPLPPTLHVNYSML >Et_4A_034178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30543595:30545889:1 gene:Et_4A_034178 transcript:Et_4A_034178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGEGNGETVLVTGASGFIGSCLVRNLLDRGYSIHAGVLNPDDKAETDHLHALAAGAGDGRLSVFRCDLLDGAALLEAARGCSGVFHLASPCTVDRVTDPQKQLMVPAIQGTLNVLRAAKDAGGVRRVVVTSSVSSIVPNRGWPAGEVVDERCWTDIDYCEKNGVWYPASKTLAEKAAWKFAEENGLDVVVVNPGTVLGPMIPPTINASMAMFLRLLEGCTEEYADFFMGAVHVEDVALAHIMLFENTAASGRHMCVESICHWSDFAAKVADLYPNYKVPKFPKDTQPGLVRAEVGSKKLMALGLQFRPMEKIIKDAVESLKSRGCIS >Et_8B_059945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4341747:4344847:1 gene:Et_8B_059945 transcript:Et_8B_059945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASPHVLVVDDCCVDRMYVTRVLNKSHVRVTVVDGAEEALNFLDLEHDVNLILTDYSMPGMTGYDLLTKVQESPKLKHLPVVITCIEECPKMIEKFMEGGAKGFILKPMNLADAHNGMVGSLGIGVK >Et_4B_036547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29110501:29111832:-1 gene:Et_4B_036547 transcript:Et_4B_036547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDGYSRTLNSHGDRPAFSSSPFRAGGRTWHVSYRPMGSPHHPENTEFISFYLVLDDTVNVPVMAEVAFTLLNRDGTPDLDRAFGYEEFIKREELEKSEFLKDDCFAVRVHVHVIREAPYVAVPPPDLHQHLGHLLASKEDADVEIEVGDKRFPAHRLFGPMKVPDTTKVIRVHDMEARVFDALLTFMYTDAWPDHLQNEDDEPAMTQHLLVAADRYGLHRLKLICEDRLCSRVSAASVTTVLALAEQHCCARLKKECFEFLLRSSTTTEVLIGSQELEFLAQSCPAVLKELAHILKLKLRFSCGSNSGAVVPSVVGPRTLARLSHDRLRLPRLLHRRPLPGHFRQPHRSRMTAQVLLKGSCVSSDGNRFDVPGSAADSSSSGSVADASPKFAKPVNAVILVGMFVASCLFSR >Et_3A_025690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31939939:31941851:-1 gene:Et_3A_025690 transcript:Et_3A_025690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSAYCGKYAEELKKNAAYIGTPGKGILAADESTGTIGKRFSSINVENVEENRRALRELLFCTPGCLQYLSGVILFEETLYQKTKDGKPFVDVLKEGGVLPGIKVDKGTIEVAGTDKETTTQGHDDLGKRCAKYYEAGARFAKWRAVLKIGPNEPSQLAIDLNAQGLARYAIICQENGLVPIVEPEILVDGPHDIERCAYVTEVVLAACYKALNEHHVLLEGTLLKPNMVTPGSESKKVAPEVIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLSTKKPWTLSFSFGRALQASTLKAWAGKEENVEKARAVFLVRCKANSEATLGTYKGGAAAGEALESLHVKDYKY >Et_7B_055671.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:20663167:20663484:-1 gene:Et_7B_055671 transcript:Et_7B_055671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIWSEIIWEEPVEDDFQACVQVQAKKTPMLSEPRGMGCVLDYCRQDPIGVTTSPASLHPPHLDDDPVSIRWVLLDLLAYIADRRNATTAMAFTSYGHAIQATL >Et_6B_048948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1623116:1632781:-1 gene:Et_6B_048948 transcript:Et_6B_048948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLGGPQVKRQPLLSSGSGSGIPPTLPTAEEMEATALALYPPAAAARRSPASTISASTRLQCSCTGFLHLRAARNPALRALSWRRGTGLVVRAEMFGQLTSGLESAWNKLRGVDVLTKDNITEPMRDIRRALLEADVSLPVVRRFVSSVTEKALGAGTIKGVRPDQQLVKIVHDELVQLMGGEVSDLVFSKGGPTVILLAGLQGVGKTTVCAKLAFYLKKLGKSCMLVAADVYRPAAIDQLTILGEQVGVPVYSEGTEAKPPRITRNAVDDAKRKNIDAIVVDTAGRLQIDKAMMDELKEVKKAVNPTEVLLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGRGERLEDLELFYPDRMAQRVLGMGDVLSFVEKAQEVMRQEEAVELQKKIMSAKFDFNDFLKQSQNVAKMGSMSRVVGMIPGMNKLTPAQIREAEKRLAFVESMINAMTAEEREKPELLAESRERRIRVAEESGKTEQEVGQLVAQLFQMRAQMQKLMGMVQGQEAIAGMGDLMESLKADEKAPPGTARRKRRHGKPVQKDLNATTGTVAFSPSPAAARRSPEKAVVASIHIRRFCTTSSLQLRVAQAPVLRAPSSPFQGWRRQRRGCGLVVRAEMFGQLTTGLESAWNKLRGVDVLTKENIAEPMRDIRRALLEADVSLPVVRRFIESVSEKAVGTDVIRGIKPDQQLVKVVNDELVQLMGGEVSDLVFAKSGPTVILLAGLQGVGKTTVCAKLAFYLKKMGKSCVLVAADVYRPAAIDQLTILGKKVGVPVYSEGTEAKPSQIAKNGVKEAKGKKTDVIIVDTAGRLQVDKAMMNELKEVKKAVNPTEVLLVVDAMTGQEAAGLVSSFNDEIGISGAILTKLDGDSRGGAALSVKEVSGKPIKFVGQGERIEDLEPFYPDRMAQRILGMGDVLSFVEKAQEVMRQEDAEELQKKIASAKFNFNDFLKQTKMVTQMGSFSRLVGMIPGMNKVTPAQIREAEKNLKFMESMINVMTPEERERPELLAESRERRKRVAKDSGKTEQQAAAGTGQRRRKYGNLRRRQLDAMRGFRRF >Et_6A_046342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13410113:13411292:1 gene:Et_6A_046342 transcript:Et_6A_046342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQASSGSERQPLASSLVALQTGAGVVGALRKPDIEKSRFYLRAQKLFVPGKFEEIFKKHAKTRPDTLTAELQELLVANREPKDSKDGCGASRSGKCCTASAKTRMCFFTRTLSGLCMMAACLIGWSKRGNDGNITKSLAVRKNCELIPCFSWI >Et_4A_034004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2975622:2976092:-1 gene:Et_4A_034004 transcript:Et_4A_034004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPSRRSGGGRRRGITAALLLFLVFATALTGHCTSASRVMRRGSSGVAPEEGYTSWDPASSTAAARRGLVGPGSSPPTCRSRCGGCHPCRPVHVAIQPGRSFLLEYYPEAWRCKCGNRLFMP >Et_7A_052032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3978476:3979435:1 gene:Et_7A_052032 transcript:Et_7A_052032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGERKPSLIRSLDYGCVTRFRMRRLLAFLWLHGYDAAFEGLSKYTRVLFRPDHLQHLVREGLWYDAIRYVYCFQPAGHLPRGAGFLVKFLRFVSRVSNSNTYEYDPSSPLQIFLHGGTVSPDGVKLAKIISSVRSEEVRASINWSHVRKKAAEIVGQLAAQIDGLGDMRRLPLCPTKAANVLPIGPGYAKSFHFIRFSVTVVGRSIDVFCDLANSRSRRGIQKKSLRKMPAYDLAQSFLAKK >Et_9A_061224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22870433:22871212:1 gene:Et_9A_061224 transcript:Et_9A_061224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLWLCWGYCLQGSKRALIYDGLEYLHRGCSTRIVHFDIKPHNILLDQDFCPKISDFGLAKLCMTKESIISIDGARGTIGYIAPEVFSQQFGQISSKSDVYSYGMMVLEMDGEISVDTELIRKLIVVGLWCIQLQPNKRPSMTRVVEMLESRANDLEVPPQSLVSISVPCDWNTSKALNNQQLEVATHL >Et_1A_004770.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:39490431:39491936:-1 gene:Et_1A_004770 transcript:Et_1A_004770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNTIKHVQASNGDVFDKRHDELDFEFLGNIRGKPWRVQTNVYGNGSVHRGREERYVLPFDPTTEFHRYSILWTPAAVAFYVDDVPVREVRRSPDMAGDFPSKPMSLYATVWDASNWATSGGRYKVNYRYGPFVASFTDLALAGCRVDPPASGGGNGGGIRMVTSALGCAAAEEALRASEPAVMTVEKQQAMRRFREQNMVYSYCYDTLRYPVPFPECDVVESERRRFKDSGHLRFALRRGQQR >Et_1A_004533.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:13427499:13431987:1 gene:Et_1A_004533 transcript:Et_1A_004533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILFEDLHQHFYILVPALLFLLSTLPSPAIAAKKTYKPSFFDKAYIDRQTLLYFKSQLSDDPLGTLHSWDNASANICDWQGVTCSKGQRKRVIALNLRSMDLVGQVPPSIANLSFLTDIDLSDNHLQGPIPHEIGGLTRLRKLNLSMNSFNGEIPATLSSCSSLEEISLWNNSLTGEIPANLSRCLHLQFIHFSSNKLQGRIPPSFGELPTPLQALILSGNNLDGNIPDSLGTASSLNYVNLGQNYLIGEIPSNLANSQSLEYLFLLHNSLSGRIPPKLFNSSSLLELDLTRNNFTGPIPTVHTMSLPLFYLSLGQNSLSGSIPPSLANYSSLVTLNLGENNLVGSIPDSFGMLHLEELDVSTNYLSGLVPHSIYNMSTLTFLDMGNNSFAGKIPLDIGFLLPSINTLVLQANRFEGLVPFSLANASSLEVLDLGVNSFHGFIPKLGSLTMLQELDIGVNNFEEQDWGPLSSLTNCSNLIKLLLDDNKFNGSLPESIGNFSTNMQWLWFSKNKFSGSIPSSIGRLKSLTVLFADQNMLTGSIPSTIGNLYNLGSLSLARNNLTGLIPSSLGNLYQLEELYLDHNQLEGTIPSSLEGCKNLLILNMSSNSLDGNIPLELFKVSSLSLGLDLSYNHINGSIPSQAGNLINLCQLYLSGNLLSGTIPSSLGQCVLLQSLRLDGNFLEGSIPDSFNNLKGIEKMDLSKNQLSGQIPSFFESYTSLQYLNLSFNDFSGPVPTGNPFDNRTEIYLEGNNMLCTLTIMPGLKACMASNSRGKRISYMLKIIVPLGVASLFSLSCLFWLFCIKRRRQSQKIYISNQKLKKVSYANITKGTNQFSPQNLVGVGRFGTVYKAALDGVVLPAAIKVFNLEQHGALKSFFDECKILKRIRHRNLIRLITLCSSVDHNGKDFKALVFEYMPNGSLDKWIHPATYGERPLSLGQRISIAMDVAYALDYLHNRCAPALVHCDLKPSNVLLDYDMTAHVSDFGLTKFLYASSSVRHSSSSIGPNGSIGYIPPG >Et_8B_059770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2574086:2580128:-1 gene:Et_8B_059770 transcript:Et_8B_059770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGTGSGGAGGEGGLVEGKGKKVIEELWYACAGPLVALPPAGSLVVYFPQGHSEQVAASMRKDADAKIPSYPNLPSKLVCILHSVTMHADPETDEVYARMTLQPVAQCDKETLLASELALKQSRPQTEFFCKTLTASDTSTHGGFSVPRRSAERIFPPLDFSMQPPAQELQARDLHDSTWTFRHIYRGQPKRHLLTTGWSLFISGKRLLAGDSVLFIRNAKQQLLLGIRRANRQPVNLSSSVLSSDSMHIGILAAAAHAAANNSQFTVFYNPRTSPSEFVIPFAKYQKAVYSNQLSLGMRFRMMFETEESGTRRYMGTITGISDLDPVRWKNSQWRNIQVAWDEAAPSERRTRVSLWEIEPVIAPFFIYPSPLFTAKRPRQPGILDDDASEMDNPFKRTMPWLGDVIDKKDFTNQNSLVPGLSLVQWMNLQQNPSLASTVMQPDFLNSLAGKPVQTLAAADISRQISFQPQFLQQNNIQFNTSLLPPQNQQIEQLAKVITTPNQLGSVIVPQKVVQDCNSEQKQHTTTQPMQGGQPSINIAQPQLQQPQVILQAQPQQPQVIVQAQLQQQQPLAQNHTIVKGGIQQIHLLQQHQPHLQQQQVQQPMQEQQQIKIHPAQVPSDANMIPQLSDHQVKMQLFKALQPQQPLNMDQQKMILELQQQMVHSQSTAQHSQVVGMQNSSTIQYPVQQQHLIQGFSGNDVSVAKSEIVTSMGAHSLHMPGGVQPLKTDDIPPSSTSPSTNSNPVYLQSIPSTTKNQSLLTVAKTPQSSIALGPTIEQEMKPHHSTKQTVAIPKMTDQRPATGQDYMNNTPQVDYLDSSSSATSVCLSQADGSLQQNFPPSSFNQHQLLRDTVPDSEFEVADPGNNLLFGANIDSQLEPLNADALLSNNIENEKYMGQMPGNGISNYISSRDSQQELSSSMISHPFGVADMPFNSIESSMNDTPFLNTNTRASAPSHQRMRTYTKVHKRGAVGRSIDINRYSGYDELKHDIARMFGIEGQLIDQNRFGWKLVYEDHEKDVLLVGDDPWEDFVNCVRCIRILSPQEEMQMRLVGDFGDSFLPNQACSSSDGGHPWRITGD >Et_10A_000381.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:6880496:6880588:-1 gene:Et_10A_000381 transcript:Et_10A_000381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKGECSLVSLRAKPSLKTLLGDWLCNLP >Et_10B_003829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6566152:6568923:1 gene:Et_10B_003829 transcript:Et_10B_003829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAVEFRVETKGCQQNAVDVGNKTYFFSRSDDGSKSSITIKILDKLARTWVVPTVLGKQPTLTKSLSAIPVNDEKILVVEKGVPLIDSFWFLEIDTPFVKQQQKIKGVDVVVWSKGVVGVGQKPVVISGPSGVGKGTLIAKLMQDYPSKFGFSVSHTTRSPREKEIDGIHYHFTERSKIEKDISEGKFLEFAHVHGNVYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLRARGTETEEQIQKRLRNARAELDQSNSPGLFDHLLVNDDLETCYENLKKLLSLDEDEEDSDELSIKEGNYSIVSKVNSEILLQSETNEAEKGAANMLVLDLSSLTGGAPGRTRGLQIRPVNSS >Et_7A_050701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10239370:10245045:1 gene:Et_7A_050701 transcript:Et_7A_050701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIIRRRKYILQHINAPILPPSSTGAIQQGKFGKETEQRSVCLFLDENSPESSHAKAQHALNVQKFYGLSNGFMCRPTCLVSLPNHRSGAQNLGFPLGVRCFLQSVRTASNTTGQPEVTFVGKQNEEEKQKQQKKEASPEECDQAVEGLSTAKAKAKAKLVQEVQKTDQSIIHKIWAKILGIGPALRLIASMSRADWAAKLKHWKDEFISTLQHYWLGTKLLWADVRISSRLLVKLAGGKNLTRRERQQLTRTTADIFRLVPVAVFIIIPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARIEYAKFLQDTAKEMAKEVQISRSGEMKRTAEDLDEFLNKVRKGGHVSNEEILSFAKLFNDELTLDNMNSIKNDDKMIQAEGVDTLSEYELRQACRERGHLGLLSTEEMRQQLRDWLDLSLNHSMPSSLLILSRAFTVSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERKRKLEFLEMQEELIKEEEKKQQKEEKAKLEELKAVEEDLALKEMVEPTSREAEELKKAKKLDKKEQLYNISQALAVLASASSVTKERQEFLSLVNKEIELYNTMLEKKGTEGEEEARRAYMAAREESDRAAEAAAGEKVSSALIDRVDAMLQKLEKEIDDVDAQIGNRWQLLDRDRDGKVTPEEVAAAANYLKDTTGVEGVQELISNLSKDNEGKILVEDIVKLASQTEENNENEKAAR >Et_9A_063307.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19592594:19593265:-1 gene:Et_9A_063307 transcript:Et_9A_063307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVHPNVAAPTLGQPAAAAADDEAVSLTVWRKSLLFNCRGFTVFDARGNLVYRVDSYASDSRAEVVLMDAAGRPVLTVRRRRLIGLGADQWLVHPGEETRAPPLYAVKRRAPAQYMRAGGGNAKSMAHVVPCSGAGAAAATKSGSGGAGGYEVEGSYLRRCCTVYDERRRAVAEVRPKEAVGSDVFRLVVQPGMEVSLAMAVVLALDQMFAKPSLLRSWSS >Et_3A_023315.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:20259406:20259948:-1 gene:Et_3A_023315 transcript:Et_3A_023315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNAAEKARALQQCESDLDQVIARLTNLGLDPAPEGTATTAHPAIAAAEEESAAALPAVPVPPCGSRAEWAEALFDRMNGAADAGDAKSRAAALLEAFERSVAADLRTAAWQNGVLKKAVLLQHRLHKAQEEANRELRRQVAGCQERMRKLEADNYALSMHLRQAQGSSMPGRFHPEVF >Et_8A_057342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23823651:23830813:-1 gene:Et_8A_057342 transcript:Et_8A_057342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGQNLVTPAAVVKKTNSLLQPRLTSRLGTQNIPPEHSTTCRPGKSKCVVPCIRALDVAFLGDRLYGITPVEELVADNFNGDGQVPSGEDIVEDEEVPYEPKDYIHTFRRLVKLRGDGDLIMVKQQTQSPPFTNHSTRKIEVFKADINAGIWVPITDNALAKGEALFLSRCFSKSTRAYGDIKEGFIYSMELDDVFDMRTLALEPFSLTTQTNSEARELLTWLFLPELNVWSNLRQDLLGRIMARLPFPADRAVCRAWRSAARKHATSQLPWIVPQERNLPRRRRRRLGWLALDCTDDVFRRTPHWDTFCNGTFLRPSPDVKHSHAYLLHNPFSGETVPLPELDAIVGLVAETLENLSDTTNNWNYNVILCRPGKGKCVVPDHVRQLPWIVLSDCSIGDDGAFFNHRIPGLPENATCLGAAADGWLALDCTDDCLGWYMGRRRDAKYRHTYSLHNPFSGETVPLPRLDSIVGHVAQTFEIRKVLMRSASSPDDDVIAVATNNSDYPVILCRPGKQGRCCVLPYLRVFDVAFFGGKLYGITPDADLVAFDLTIDHKDDIVLTGYKRVIAHPLLPDGEEEEEEEEEEEEEEEEEEEEEDLWSFMDEYCCHVNVDGSGDDDDDDQEEEEEEEADYDSSSDASADDMVPSGKEIVRDNEVPYEPKDFITTTLHLVQSCGGELLLVRHRYQTPSLLTCRPYTRNVELFKADVDAGNWIPVTADGLAQGEALFLSRSFSNSARGYGDTQDGAIHFVEVDDAFDTRSWTRRQFSMPRERNLVVDDDLLTWVFPPQVVL >Et_5A_042661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24505194:24507583:-1 gene:Et_5A_042661 transcript:Et_5A_042661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLMQARGMVDRFRLRAKGGDGGNGCNSIRRSRSNRLGKPDGGNGGKGGDVILECSRSVWDFSGLQHHTIAQVPVGTVIHLFQGEQPSVAENKPTRALDPWDTRDAAGRSAGKSNKPIRALDPWDIPDVPEHSTASSNQISNTMMKDLDGCLPHQRIAPNDFTDGNGCGSWSAKHTYSNTCSKPRLSITDHDANSYQHQGETDETDQSEDEDEEFWEDEDGFDMDDEEEEVEEDKEEQDRVQYSVAEMTKPGQRLIVARGGEGGLGNAFIFKEMHLPKENRQEEMSRLRAGHAGTETLLILELKSIADVGLVGLPNAGKSTLLSALSRARPEIADYAFTTLRPNIGSLTYDDYFSVKVADIPGLIKGAHENRGLGHAFLRHIERTKVLAYVLDLAATLNGRKGVPPWEQLQDLVVELEHYQEGLTKRPSLIVANKIDEEGADEIYEELKRRVQGVPIYPICAVLEEGVPDLRVGLRNLMDDTLDPQGIDLRKIIVD >Et_6B_050044.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:4662476:4663588:-1 gene:Et_6B_050044 transcript:Et_6B_050044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVTPLLTPYKMGEFQLSHRVVLAPLTRQRSYGTVPQPHAAVYYSQRATAGGLLISEATGVSETAQGYPDTPGIWTAQQADAWRPIVDAVHATKGAVFFCQLWHVGRVSTAALQPNGQPPVSSSDKPLAPQRNLDDRTDVFSPPRRLATAEVAGVVDDFRRAARNAVAAAGFDGVEVHGNSGFLLEQFLKDGVNDREDMYGGSVPNRCRFALEVVAAVAEAVGGARRVGVRLSPFSDYMDCHDSDPEALTMHLVARLRDMGVLYCHMLEPRYALAGGLRHRLLLPFRKAFAGTFIVSGGYDRAAGDKVVAEGDADLVAYGRLFLANPDLPRRFQLDAPLNKYDNATFYTSDPVVGYTDYPFLLSQEK >Et_10A_001579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5381639:5388867:-1 gene:Et_10A_001579 transcript:Et_10A_001579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKRFDRQSPGCLEGLFNFLALNQRLQMPKMIAYRKHSEGSNNTLRVMVPKPKNRGENDENIPKETNNVSPTAKVNTFMWRTLMLKKKAPKKDRKKSSSPSSSHSSTSSRLLRSRSIHHSKCFEYEVPDELSAHYHKMNESTSSEMDTCHGEPPLSRGSPQHPINQEHCKACGSVRGKDSIDLESPCEIGPRNITEENEASCKQKIRDAATHHSQEFVDFLELFNAHRELFLKILHDPSLLVTPEQQGQEASSSNAVPLNKLESFPRPGGSSGKRNPIFDRSDSEKSRRPEIQRSPSRNNADPEATKVISTRMPTGVDGSAVSLAESRSLKKSGTTSNQFKAISRKIKDVKENRKELARITKDGVFHNLPYGQKTPELTRSPSTEKFVQEEKQIRRSYSIAESVDKYSTLYESITRDSKVSPERLSITMEGSRLKDKKPPTGFKRIISLPEMQLCSHHQGVLTDISDSQIGPKTCNVEPYHFYSHQTNSFSIYSEGSFYADDIIERIGDSHGEVSFVGSMEEDVRSILQGPSLSSLGRSFSHRRVNSLPSFDRSFFQDPIGNFVECSVTDMEPTFENVKLEDGDWLVKPPDPPDAKGAEDEEWLVKPGQPLTADALDSDFHFIHEIAEQESAEPMHIYVSDKNEADFQYVKDILKKSGFSCGEVGWYASNQPVSPVIFEEAESSCQELRMVNDDPHSVVRHMLLFDLINEVLLDIYDSSLVIGPCHSRFDSRTRPIPMGSHVLEEVWAKVSCYLSMQWKAGQTVEHVVAHDVMRKDGWMNLVYDAECAALDVEDLIVEDFLDDVVIQIETALASASSILVHHASASHALGRVASLADVTVVLRSTSGNKNADYELEDVLLRWKLLLWELRLAMYHGFAEKNTDTTGSMVLGVSPETEIGSVKASENATESGEAGHSCSCGSGCKCSPCNC >Et_5B_043666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13939213:13939453:1 gene:Et_5B_043666 transcript:Et_5B_043666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVALEQMRSAARGFQGHHPQHLEKDLADFFPPVYTIRPLAEVLASSSDTDTGTGLGAIDISIWELGGQAVPAVA >Et_4A_033413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22406815:22409718:-1 gene:Et_4A_033413 transcript:Et_4A_033413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAMVSASTGAMNSLLAKLTTLLSKEYTKLKSVRPKIASLTDELSSMNALLVKLADVERLDGQLKEWRNNVRELAYDMEDCIDAFMLKLVHGDAKPGVIKKMTRKMRNLWAQHNIANQIEELSARVKEVSERRSRYKLDESLPIATPTFIDPRLPVLLAESKGLVGVSGPRDMISGWLMDGVPQLQIVSIVGFGGLGKTTLAMEVYRSLGGQFHYKASASVSRNLDLKKLTKDLLSQLEPREYRHDQLDALEVEQLIRKLRDFCNIRAWELVKTVLPENSHHSRVITTTRITNVAKSCCSDSEELVYEIKPLSDYDSRRLFMRRIFRSESNAILKKCGGLPLAIITIASLLSTKPKIKEQWEKVKNAMGSAQEEVSIKMKNTLLFSYYDLPYYLKTCLLYLTIFPEDHKIKDSFTEKGGKIWSKQGRPTLMS >Et_5A_041904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4024881:4027993:1 gene:Et_5A_041904 transcript:Et_5A_041904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGASQRRTGDAIPTVHEPSTAKNNHGPHHSLSLPVSPVPRSIASPRRLRLRSAKRGAALCQIPAGIDAMETPPPFQESAHCDVCHCTFSTFRRRHHCRNCGRTLCHEHSSYHMALPQYGIYTDVRVCHDCFNKSSSHGRAGNAGSSGNISSATESFSGLNLGNEDATSPTKNSLVQSAPAPIECKCGMPLCICEAPKPEPAPVKQNIGVVSSAAPSNPRPKKPAPSQQKASTTSGSNSSSFFNLGLTSNDNNNKGVSDYEVSGEGLREAIKSGDVNSVKKLLSQAALFNQTEIALVLMDHGANVQSKNGQGETPLDCAPAMLQYKMRQKMEELVASQPSE >Et_3B_029656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26912282:26915533:1 gene:Et_3B_029656 transcript:Et_3B_029656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRDDFIASSSGGSNGTHKRLLQDSSGYAQEHAKKKVRISTRTEYTYAPYHDGYQWRKYGQKMIRGNTYPRCYYRCTYHQDHGCPATKHVDQTNSQDPPLFRVLYTNEHTCISTDASSIHIQQMADASLRKAEMELPSLTHCSVGHEVIKEEKDAIVSSLLNVMCGGDVATSTDVMHDMQENTTALLSSKYSNLSSMVRRSSHEAPVLLPASNERKMDFVEPLESHWLEPLDLGWFIEYTGNS >Et_9A_062337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2269821:2275009:1 gene:Et_9A_062337 transcript:Et_9A_062337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVGAMGTLAPKLLKLLEDEFVAQMGLRREVESLCRELPMMDAALVKVSELPPEKLDDIDKLWADQVRELSYDMEDAVDEFMVRVDRREPANATNTKAALKKIKDRHQISDKIKDIKDLSKELSELRLKYKFSSTAHAVKTIEVDPRVVNLYENMGRELVGIEKAREELLRLLTYPKDHTGLKIVSIVGFGGLGKTTLANVVHDDLKAQSFERSAFVSVGRDPDLTKILREMLEKFDKAYLNKDMTSWRTERFYEELHNSYMVRGTTLLWMTFGSKKYGSQSVALYRTVIVEVK >Et_2A_015913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19043913:19047812:1 gene:Et_2A_015913 transcript:Et_2A_015913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKRGPWTAEEDRKLINFILTNGHCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLTDAEEQVVIDLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHEPLDRTGSPATASQSVVTAESKSDEVDEFSSIADGPELPWDGATDWLLDYQDFGLGDSNLVDGYVIAIKARIKVVRDKPDCKSDQTRVAIHKFNGAVELGN >Et_8B_058715.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:18289020:18289157:-1 gene:Et_8B_058715 transcript:Et_8B_058715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQCFQMMLLVSSRKNPTMNAGMQCFYSSIKLKLPQKRFIGITK >Et_2A_018027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9126338:9133109:-1 gene:Et_2A_018027 transcript:Et_2A_018027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGNKRPHGGGGELSQVAEKVMVLAAAGEVRGGLEPTAAERALTAEARCELTEVVEKVARPRELFPSEAVRAVVQDLGLGHATDPAAMGYRPRRASIADKLLLTKRVVCPRFSPLVFYISVAARTACVLASLMEEVKEAFVHSTTNSSRSLFLPNGTIAGASYVKPANTPPIVSLLPVGCADIKVGKGVNGSHVSQSGVRHRNIWIKIYQWERKHFYGTSHQTPSGELCVHKKSSLPHHKEIANEVECILYQSSDHPSWVVPSTEYMSTRLDCQICKVPIADMESLLVCDSCEKGMHLNCLPSANQLLTTTWHCPPCLVRSNGKVLPLKYGKVKRTFIAPKTSMTSGAAQPFSQVAAESPAKKDCNKKAAANESATNQNSNKVGSTVNKSSTLALDTASLKPISISSAGPQKENVKLDGTSFVGKERAAHPCGGIHTETAILSCNEGQGSGASRYGSSNLSGSSRMHIDSSSVNLVKDSILQANAQAGFKHSNNSSVMPSSTIPTNEIHQADGAVKDGVRKPHKEEIMAKDAISDHGSVHQMNSNGHIFPDREIMGDRKDGYVGCCTSSIVGWVGDALKAVDNKTYYNSCNIDGIIYNLHDHILIAIEGSKPVPCKLQSLWQDHDSGSRLAMVNPYFFRSVIPELISKPCTDEENEVYGSSNEITVLLTAICGPCEVLHVGMVREEAKRRCQLDSSGSRLHPMFFCRWNYDESTNSLYNDYGPVKLGEIQGEG >Et_2A_018573.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33465384:33466163:1 gene:Et_2A_018573 transcript:Et_2A_018573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQEAAAEETMALYDACWFRRLVLLPSPAPAPEQAPVPRPAAQQAAPERESETSSSPTAGLRHRRTRSDEATAAASQGLEPLRVPNHRARLETILSGKDGMVAAPQPLPEPSRRRPAARAGRRRRQRRGRSMSELEFEEVKGLQDLGFTFSDAEVDAELASIVPGLRRKRSEEIEPPLAATASAPPATAPSAREAEEAVDHDAAAAPRRPYLSEAWDDEEEEVRAMLRNWRIPPAVDGADLKEHLRMWAHTVASAVR >Et_10A_001358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23729969:23733825:1 gene:Et_10A_001358 transcript:Et_10A_001358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRPLEAFLAAARGAVDHLRLPIHIPGSNSTIPNPNLKQQREHDRLLHLHIVVTNFLHKPLRSLARCFGSSDTKRPRVKQSAPLREHSPPQQQLELLLCIAFDAFAHNLQLLQGACKQKGAEFGLATRQGDQFDLFRKVIDGKRADFDGFLSNLGFAKVGPPPPPSPTTASVPVSDYEDSSGIGDSEGTDSFSGTPQQPQRLAARLLDVPLSNVERLRSTLHAVSLTELIELVPQLVGRSSTSADGHPDKKKLFSVQDFFRYAEVEGKRFFEELDRDGDGQVTLEDLEAAMRRRRLPRRYARDFLRRSRSNFFSKSIGWKQFLSLMEQKEATILRAYTMLCLSKSGTLHKNQILTSLKSAGLPANEDNASAMLRYLNAGSEGSISYGHFRNFMLLLPSERLEDDPRNIWFEAATVVAVPPPVEISTGSVLKSALAGGLACALSTSLMHPIDSMKTRVQASTLSFPDLISKLPQIGLRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLINLAPTLPEIQVQSVASFCSTVLGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMQRDGPKGFFRGTGATLCREVPFYVAGMCLYAEAKKAAQHVLDRELEPWETVAVGAISGGLAAIVTTPFDVMKTRMMTAPPGTPVSMQMIVLSILQKEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIKDESERLNT >Et_2A_015453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13180055:13180781:-1 gene:Et_2A_015453 transcript:Et_2A_015453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKETLPLRDGLIGPMCLCGTPCKPLQRLHFSDGRPKSPPSLCEFIEYIDTEHTPENIAHVYRVAERARRHWFDMEAKERREEERRKMRQKEEERRREYEAELK >Et_6B_048483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:23085:24941:-1 gene:Et_6B_048483 transcript:Et_6B_048483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVTLSKTKKKPGLERKGKIVTEIKDAVDHYTSVYVFTYDNMRNQKLKDLREQLKSSSRIFLAGKKVMQIALGRSAADEAKTGLHKLSKFLQGDSGLLFTNLPRDDVERQYSDLMLFREFEEHDFARTGSTATETFELKEGPIEQFTHEMEPFLRKQGLPVRLNKGVVELVADHVVCEEGKPLSPEAAQTLRLLGIKMATFRLYLVCRWSSDDFEVYKEGLAQLRADDSS >Et_2A_017252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32458015:32466585:-1 gene:Et_2A_017252 transcript:Et_2A_017252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMTQPLRLEIKRKLAQRSERVKAVDLHPTEPWIMASLYSGSVCIWNYQTQQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPCVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTAKIWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKIWDYQTKSCVQTLEGHAHNVSAVCFHPELPIIMTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRVAIGYDEGTIMIKIGREEPVASMDSSGKIIWAKHNEIQTVNIKAVGEIADGERLPLAVKELGSCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEIVWSTDGEYAVRESTSKIKIYSKTFQERKSIRPSFSAERIYGGVLLAMCTNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVTIASDSSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKGFNVVGYTLLLSLIEYKTLVMRGDLERANTVLPSIPKEQHNSVAHFLESRGMLEEALQIATDPNYRFDLAEIAVEARSESKWKQLGELAMSTGKLQMAEDCLLQATDLSGLLLLYSSLGDAEGVTKLASMAKEQGKNNVTFLCLFMLGKLEECLQLLVDSNRIPEAALMARSYLPSKVSDIVSIWKNDLQKVNSKAAESLADPAEYPNLFEDWQIALNVETTLAPKRGIYPPAEEYITYAERSSESLVEAFKSMQVQEEEVPSENGDLAHEVIEDDEVEESQEDAVEVEADDSTDGGVLVNGNDGEEHWGMNNKELHQLAINQFGMYYGLTNDASESVRMGGHSVAVWLDQENRLK >Et_2A_016106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21010219:21014551:-1 gene:Et_2A_016106 transcript:Et_2A_016106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRLAVAHRPPLPVPAPSHLRHRHVQHLPTHFSLPRTSLSLSSPHRHLSPTPRRHLLPPVLASQTPTNEPESDPPAAGAKLVPLVISLAVGLAVRFLAPRPAEVSPQAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTAAVATHTLPFTAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGSSTLGLSYGLTISEACIAPAMPSTTARAGGVFLPIIKSLSLSADSKPNDKSSRKLGSYLVMTQFQAAGNSSALFLTAAAQNLLCLKLAEELGVTIANPWVAWFKAASLPAIVSLLATPYLLYKIFPPETKDTPDAPALAAEKLKSMGPVTKNEWIMIGTMVLAVSLWVFGDAIGVSSVVAAMLGLSILLLLGVLDWDDCLNEKSAWDTLAWFAVLVGMAGQLTNFGIVSWMSSCVAKLLGSFSLSWPAAFCVLEASYFLIHYLFASQTGHVGALYSAFLAMHIAAGVPRVLSALALAFNTNLFGALTHYSSGQAAVYFGAGYVELPDVFRLGFITALINTLIWGVVGTIWWKFLGLY >Et_5B_044127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20208872:20216802:-1 gene:Et_5B_044127 transcript:Et_5B_044127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTAAVICAVSGCDHPDCHGGPFRVVFLGTDNYDVLIKASVYSLETGAWSVPVCLAESSGATIVQPMRVALIGDDIYFTLRLDNAIIKYDSGKNCLSVINPPTPNVRNCYITLMVMEDSSLGFACIESSSLYLWSRKVNRRGAAEWAQCRVIELDGMVPIANPDDKPRVVEGVGIIFVSTGVGLFMIKLNSGTARKVGEPEFYFSVLPYMSFHTPGTILDLAYVLSHAFYRLPRTMAPPTELIDDIAAEIFLRLPPDEPEHLFRASLVCKPWLCVISDPGFLRRYNTFHRTLPLLGFLQRRQVLQGRPDHRITPTTAALDCRHGRVLFRANPGVGGWCFIVWDPVTGEKHRLPEVHIGLLIYSVAVFCAVSGCDHHDCHSGPFQVVLVATTESYFLTKACAYSSETGAWSKPVTLGNDCEVYAQYLQDASESRSNSIPYVQPRRGVVIGDDTYFTLWWANAIIRYNWAKNCISMIQPPTCDVYYIALMEMEDSALGFVCIEGYLWSRKPSLETAAEWMKCRVIKLEGLLHVAEPDPDDEALVVGSAEGKFKLPKKVCVCWSKLSKY >Et_5A_042926.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:7012497:7012868:1 gene:Et_5A_042926 transcript:Et_5A_042926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKKSSHALLLLAVLAAACLAQLGAAARPGPVQAQKTAAVAAQAQKTAEDVKRPDTFQEGTVLIPGIGRYEIGSHYIPDITGLDHSIPAAVNGQYLPGADDTWVPNPGFEVPNPFRPGSATP >Et_4B_040001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7508200:7511610:1 gene:Et_4B_040001 transcript:Et_4B_040001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARPSNGWYLFLVSLLARLRDGTAKFELVEDSAPAPPSWPRLHCFARIAPTLYVCVLVPFLLNSISISESFGVIGYGAVITSPECRRGGWSAALNKVEHYGVQRVTGDGRCMFRALVKGMAKNKGIPLTPREEVQDADDLRLAVKEVICDSAAERQKYEEAVISITVEQSLKRYCQRIRRPDFWGGESELLVLSKLCRQPIIVYIPEREYHGRGNGFIPIAEYGLEFTKNSKQWKKKTPETTCFM >Et_1B_012756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34969870:34972634:1 gene:Et_1B_012756 transcript:Et_1B_012756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSDCSSARLLPLRRALLPPPAARFRSSLALVSPRRLFVAAPAPLPLLSRRMDSVSVAAASAADSATISTDATAKVIDGKMVAKQIREEIAVEITRMKDAIGIVPGLAVILVGSRKDSQTYVRNKKKACEAVGIKSYEVNLPEDSSEEEVIKHIASFNSDPSVHGILVQLPLPRHMNDENILNAVSIEKDVDGFHPLNIGRLAMQGRDPFFVPCTPKGCMELLHRYGVEIKGKRAVVIGRSNIVGMPAALLLQKANATVSIVHSQTKNPEEITRQADIVIAAVGVANLVRGNWIKPGAAIIDVGINPVEDPESPRGYRLVGDVCYEEASKVAGAITPVPGGVGPMTIAMLLSNTVESAKRIHKFK >Et_3A_024609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22547546:22552274:-1 gene:Et_3A_024609 transcript:Et_3A_024609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTVPDKNAGQAAAVAAADSFEFHVYGPRNLSPPSWKDLLRSSWKDPNYRRMVIACFIQGVYLMELDRQEKRDERTGLAPQWWRPFRYRLAQALVDERDGSVYGAVLEWDRQAALSGYVPFRPAGAPAAVVALRGTLLRAATARRDVTDDLRFLAWDSLKGSVRFAGALAALRAAARRHGAGAVCVGGHSLGAGFALQVGKAMAKEGVFVECHVFNPPSVSLATSLRGLAEMAGDVWTRVRAWLPYVGSSAASAQDAGQDAKQLAAAAFKWLPHLYINTNDHICCYYTDAASGTATVTAGGGSAGSAAGGDGGSTTGKTELAKIVLVSKGPTKFLDAHGLQQWWADDVELQVALNHSKLIDRQLRSLYAALPAAAQQARPPDNALATNKGKTSWRCHGMYWCRIILCICVMPKKAVGGFLGAPSSPFPLGFGLAFLFGLLLGLGASATVLSGFGPVCDLVFILHGDALLSLLGREGGTRSRANSE >Et_8A_058152.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:2271999:2273813:-1 gene:Et_8A_058152 transcript:Et_8A_058152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSPPPVADAEQERVMAAAMHIVKSLAVSKNAANDMMRFLSTYDPRLIPLSSPEAGEEASGYGDDDDEEELIASAEDVIRRCNSLSSSFSGGMTDYLYAVDDAIAAAAHSSRAAAAVHAAMPRLEEEARSLLCSSSSRRLSLSSDDLAAGDASSPPPPPRHDDALSPAAAAAVRGVADRMLRAGYGPELAQVYVAVRRDALAEAVAGLLGVEAVTIEEVLRMDWAALDQRMRRWSHAVRAVVRTFLAGERRLCDEVFESDEELGRECFADVARGCVLQLLGFADAVAMSARAPEKLYRTLGMYEALADVRPELEALFAGADEDGDGEALAREFFAGEVASAVAQLSRTVRLTIEEFGHAIRGESSRKPVYNGEIHPMTRYVLNYCGLLADFRGTLDAVLDAGDAAGGDLDDAAPTPSARCMRELLTLLLRKLDEKARLYDDAGLQNIFLMNNLYYVVQKVMDSPPLRELLGDDWLRRHRGQIRQYEMGYLRASWIAVLARLRDDGSAPAAAAATQRALKDQAKSFGAAFEEMYRAQTAWKVSDPQLREELRIAVSERLIPAYRSFLGRASRLPARHVKFSLEDLENYVLDFFEGVPKIVRW >Et_7A_050679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9985998:9994189:-1 gene:Et_7A_050679 transcript:Et_7A_050679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGLSIESGSAIRVAVLPVGGPIPPACLRDYAALVARHARVDLASLRPYYKEHQKSPFAHQPWDAGSLRLKFVLGGCVPSPWEDFQSSRKVLAVVGICHLPSSPNLDRVAADFVDAARSYPSALASRCFAFCPTDAQLVEKKRDDIIIFPPSDQQSLEVHMLTMIQDLAASLLMEFEKWVLRAESTGTILKTPLDSQSSLGSEEVIKAKKRRLGRAQKIIGDYCLLAGSPVDANAHYTTAIELAKLTGDVFWHAGALEGIVCALVVDRMGQSDPVLEDEVKYRYYTILNLYRRATLQDNAQRVSPVSFELEATLKLARFLCRRELAKEVSDLLMGAADGAKALIDASDRLILYIEIARLFGTLGYKRKAAFFSRQVAQLYLQQDNAYAAMSAMQVLTMTTNAYHVQSRKNIKTDHDSRKELRTSNTDSGKVHPQSIVSLFESQWSTLQMVVLREILMSSIRASDPLSSWSAAARLLRSFYPLITPSGQSGLASSLANSADRLPAGTRCADPCLPFIRLHSFPLHPSQRDIVKRNPQKKDWWTGAGPSGPFIYTPFSKAAASGTSKQEVTWIVGEPVQVMVELANPCSFDLVVESIYLSVHSGNFDAFPVSVSLPPNTSKLVLLSGIPTKVGQISIPGCIVHCFGVITEHLFKEVDSLLLGAAQGLVLSDPFRCCGSSKFKCVSFPSISVVPPLPLLVASVVGGEGSILLYEGEIRDVLITLTNAGTVPVEEANIALSGKNQDSVISIAHSTWKSALPIKPGGEVTFAVTLRAWHLSLADSEADGSRSPANSRRTAREGINPFLDIHYAGSVANAENGEVSLPPGRRLAVPLNICVVQGLRLVRARLLSMEIPAQFTEAHLRSISGKDDISTGTDAAHSDIHLLKIDPCKGSRGLRLLELELFNPTDVVFDVDVAVHLDGANVDQNLIAEGTATDAAWHKTRIDRDYSARVLIPLENFKLPVLDASFFVKESGSAEPYGSKAAAIAERNAKAELTASINNLISKIKVKWHSGRNSSGELNIKDAIQTALQASIMDILLPDPMTFSFKLAKNGTATNDDSSKISGNSDDDSSHSTDRNVLSKSVLRHEDPISAHEMTHMEVQIRNNTKEIIQMNLSISCKDVAGENCFDENSATVLWAGVLSDVHLEVPPLQEVVHPFSVYFLVPGDYSLQASSVIIDATDVLRARAKAESPDEPILCRGSPFHIRVVGTA >Et_3B_028138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10831691:10846699:1 gene:Et_3B_028138 transcript:Et_3B_028138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALASSVPSPPPSTAVYLPRTSQNPVRDSTHRGVPIYRLGSQLQRRHVPCGTGVKLPSAQTTPRSAMSAARAALLALVLAVTTLLALPSAATAAANGFRCRAGAKPVVFAFGDSNTDTGGMAAAAGWPLMRPEGRAFFPRPTGRFCDGRLTIDFLCESLNISYLSPYLKALGSNYSNGANFAIAGAATLPRDVRFALHIQVMQFLYFRDRSLELISQGLNGPIDAQGFQNALYIIDIGQNDVNALLDNYPYDQAVAKFPPVLAEIKDAVQTLYNNGSRNFWIHGTGALGCLPQKLAIPRKNDSDLDQYGCLKTYNRAAVTFNAALGSLCDQLRSQMKDATIVYTDLFPIKYDLVANHTKYGFDKPLMTCCGNGGPPYNYDPKKGCQSPGAALAACDDGSKFVSWDGVHLTQAANAAVAAGILSSQYSKPQIQFDQFCRKLVQYPGDVMFGGMARVTTSGGGGVLAAAAVIVLTVVAVLAATAAGQDDPGEGGAPACTRRPVVFAFGDSNTDTGGAVAGMGYYFPLPEGRAFFRRSTGRLCDGRLVVDYLCESLNMSYLSPYLEALGSDFTGGANFAISGSTTLPRNVPFALHVQVQQFLHFKQRCLDLIAQGVSAPVDAEGFRNALYLIDIGQNDLSAAFGSGASYDDIVHKRIPAVISEIKDAIMTLYYNGAKNFWIHGTGPLGCLPQKLAAPRANDTDLDYSGCLKPLNDGAYEFNNQLCAVCDQLMSSQLRGATIVYTDILAIKYELVANHSAYGFEEPLMACCGYGGPPYNYDPNVSCLGPGFRVCEDGAKFVSWDGVHYTDAANAIVASKILSGEFSRPKVPFDYFCKA >Et_3A_026495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8780219:8786706:-1 gene:Et_3A_026495 transcript:Et_3A_026495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEMAEAPQPAMAVGVGGVTAEPLAAVAEEGDGEADEAVGSTLTMERVAAAKKFIENHYRAQMKNLQDRKERRFKLERQLASSQVPKEQQINLIKDLERKETEFIRLKRHKICVDDFELLTIIGRGAFGEVRLCREKTSGNIYAMKKLKKSDMVVRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDIMTLLMREDTLTEHVARFYIAETILAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEEEPMGEENLTESMEVDSSLSDATNGRRWKSQHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYADDPITTCRKIVHWRNHLKFPEDARLSHEARDLICRLLCDVDHRIGSAGADQIKAHPWFRGVAWDKLYEMEAAFKPQVNDELDTQNFMKFEELENPPTRTGSGPSRKAMLNSKDLSFVGYTYKNFDAVKGIKRSGPPSMDSHVEPNGRDTHMHTVSSGDPMVP >Et_4B_038416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28889346:28889764:-1 gene:Et_4B_038416 transcript:Et_4B_038416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASCGMAYAAALFLFVLLSASSAHCRPNLLGAETSSRIYRHNLTNISSNATAVASTTLDDRKLKLVFCKKTKCGKFPWVDCYCCINQMPKEVCSYKLDECRSSCPVCNPHCPP >Et_1B_013277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:700426:703654:-1 gene:Et_1B_013277 transcript:Et_1B_013277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVQDKRHRKKQDLQVLAPFPGCLGRVINMFDLSNGVVATKMLTDKAHRDVSPAGKDRSNTFKMAISPPAQAEDKQRDCQARKNSPTKRSNSPTKRSGATPVKMLMEQDMWKDGMPDEEPLNVVARLMGLNDAPVQQSDCVSGRLMDNEFRSGWFEENARSLKPKMDIKGHLNQKTGTRHQYTWDGLSDQSSRVNNSKTEHLRSEPCCERKMSLVREKFAEAKRLATDEKLLHSQEFHDALQFLSSNRDLFLEFLDEPNPLLSSNNYEFRPVTPSEVKQITILKPSESAKRKDSISVGRQQISDGDESERNRYRRYQSLDVSSANSDLSEPTRIVVLKPGLAKSHDARIARSPLSSAEDSEDDSMLAADEALCSRRLAKEITWQMRMQLKDKQDEENMLSCGYPDFYIGDDSFSKLEAEITKEASGETSEHLEFGTPTSGRSWNFLSRSGSPYSASCSSQTSHRREPSVVREGKKKILERWSMVSSTASSEEEREARRSTGTLGDMLTIPKVNRDKEEVGTVTLDSQTTEPESEEPFSCLPRSQSLPVSLSYGGGVSNGVASGPQEADKERIRKSSSFREKVSSLFSKNKKSTREKIDPSANDRLKYEGAVTTGDHLALENLQKHNICLNTDDGSMQRLANSCHTDRTNIPAKDFSSISCLGAPGIFADPQDQPSPVSVLDGPFICDNNRRLLYSSENFITASPRKPVNHFIKLLTNDTFLCDLYSVTFLHVNAEALSRSPLIGSFSRSLSWEDPPIEVMSPNSLRLSKLFSKADEDLDSLTFIQKLVQYSGMDKEGCILADPLEPQLLEKFSGYQEDEIKSRERWSKQKLLFDAVNEALTELTWTAELAVYPWGRSCSLGHRDCKTGFSNSAAEEIWRVIRNWSILDRYPSGEVIERNQLLEMILKKEVAETASADMTRLEIFELNSTVCAVVLEDLVEETLVDLTNN >Et_3A_025922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34002348:34012252:1 gene:Et_3A_025922 transcript:Et_3A_025922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEWRLLLVDPAGELVFIVVEMLESDRSGVHPTRSSKRNERSDDLTRNPKKNKRINPTRSLTKNEIFFGGEETTGLLISDGLYADTKQGVWSELSEDVAQKLSKSVVSLALVDGIKVLFACSGIAVLSMKNVTRFLTSANLVHVRHGDNVETGFLGQYDLDQNVASVHAMNFSYLQTVVFCNLMEFPPRSVVVSVGMDIAGKLIGTNGILNGDVSGPEYSGKLTLSTFSKVCDGRPLFGFDGKFAGMNLLLGMERTFFMPTSSALMWLAQCTFLGDIRLPSRLKSNQESRRYVYNKDQIANLESLGYPALPKSKSNDGMILVNNFEDHFGDKYGEGVWSELSETVSSNINENIVALASFRGEKRCFACTGVFIKWNESTIILTSASLIRDKRDRIVENLRIEVLRPNGQRAEGLLQHYNLHYNVALVSVKDFCSHEPTVIQHRWCNSCELLAVGLCFESGILMASRGHQFVGPFTFDCQYLGYSRCRITKTGIGGPLLEFDGKFVGMNFYDEVVGTPYLYWNEILAVLDNFKTKRTVADGGYDGKASHALDWTMDKDKSVCPNRVYPFVVRLLYSGGGLHSGCSYPG >Et_3A_024373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20018188:20023195:-1 gene:Et_3A_024373 transcript:Et_3A_024373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHCAPVAAAAAAVLAVFVSLAISAVAEPPASERSALLAFLTATPHERKLGWNTSSSTCGWVGVTCDSSNSTVVEVRLPGVGLIGAIPPGTLGRLPNLRVLSLRSNRVLGSLPDDLLQLPNLKSLYLQHNLLSGAIPAGVARLTGLERLVLAHNNLSGAIPFALNNLTSLKVLRLDENRLSGSIPSISIPGLAVFNVSDNSLNGSIPRPLSRFPRDSFAGNLQLCGDPLPPCGSPFFPPAPAPGESPTGGEPGPGGGSKKRKLSGAAIAGIVVGAVVAALLLLICVVLCAVHRHRQGAGEGGPKAAATSAAAAAAPARGPASGDGGGGMTSSSKEDLGGGGTSGSTAAAAAAAAAAAAGGAAGEQSRLVFVGKGAGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAAERRQFDAHMEALGRVEHRNVLPVRAYYFSKDEKLLVHDYLPNGSLSAMLHGQFGIQPPLAFDRQLMQCNASLQLYAVKFSHWSFIHPLAGSRGSGRTPLDWEARMRSALSAARGLAHLHTAHNLVHGNVKASNVLLRPDPDAAALSDFSLHPLFAPSSTRAGGYRAPEVVDTRRLSFKADVYSLGVLLLELLTGKSPSHASLEGDGTLDLPRWVQSVVREEWTAEVFDVELVRLGASAEEEMVALLQVAMACVATVPDARPDAPDVVRMIEEIGGGHGRTTTEESSEGVRGTSEEERSRGTPPAAPTP >Et_2B_018955.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18861069:18861593:-1 gene:Et_2B_018955 transcript:Et_2B_018955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITDCDVYLYRALTFSSYLWDRRGISKFYNGKSRSFTFLRDAITPSGSSRDIAKAENAYTRKRKNLLAYSIMYDKSQHTVPEANESGSRKRLASWSSTTLRPLAGISSSRTSSSNSISSEENELPLEFSLGQSPDNTARTIPGSRLGSCASMLDLHRLHRSCSSVRLKDKLKVE >Et_5A_042010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5091556:5092200:-1 gene:Et_5A_042010 transcript:Et_5A_042010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WPSGFGGSSTLGRGGSSGFGGSSTLGNGGSSCFGTSGTSGWGSSGFGSSGTTGCGCSCLGSSGTSWCGGSSGLGSSGTSGCGGSSGFGSSCTSGWGGSSGLGSSGTSWCGGSSGLGSSGTSGCGGSSGFGSSGTSRCGHRAALLMPLHESSSTMRRSEDMVLLEPEALSSGFAPVGSVCLNELELAGSLCGGLGRRRAWLFIGRD >Et_1B_011131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17905116:17905914:-1 gene:Et_1B_011131 transcript:Et_1B_011131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVRSFASLYCQKLRSTTRLGPVTAAPRFQVCDRPRFLASGGAGATRHPPALPRQYKQEKTPTFFTWARLAIGSVLAAATPFVHSKWASFLRIQSEVEAVKDAAEVVAEVVEEAATLTEKMSSEVAEQLPEGGRLRPVAVLVEHASKEVAVEAHLAKDIIHKVATVFNDPVPPSHSACNLLPATHSEVDE >Et_9A_063211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15609618:15614967:-1 gene:Et_9A_063211 transcript:Et_9A_063211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPQTAWAASLPLFLLRTCPRSAPNPHPASGRRLPFLVPVGKRRMSTQAQPRFVPLRTEQSESQGDAGAEGYQFRLVSYNILAQQYGSSQELDEYDTFYKKNMESSGYSSVYIQRSGDKRDGCGIFYKLKSAELVQKETIHYNDLVEQYVPSDHVNSAPSNNSSAEEGKKRMQSQIITNVETQMIHADPEWIDVKLAQAKYLLSRVSKFEKLVSDKFNCKPSVVIAGDFNSTPAQHSFAPRLTEFHNFQDHMKARVKT >Et_2A_017424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34213312:34215477:1 gene:Et_2A_017424 transcript:Et_2A_017424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSSVTVKQMAAPDKTVHASTSTQPLVHKLLDAKLDHQVLVDDTLSSTSQSSSIKTELMRSSSFSMSLTLSLQKRSPDTDPESPLSHVSHPNFSDPIPSNSSTFCTSLFSSSLSNPEPTRQIGTLPFLPHPPKCEQQVSAGQSSSSSLLLSGDISNSIDESEHSDDLKDLLNLSGDGSDGSYHGENNALAFSEHMEFQFLSEQLGIAITDNESPRLDDIYGTPPQLSSLPVLSCSNQSIQNPGSPVKVHLNSPRSSSGSATTNKARLRWTLELHERFVEAVNKLDGPEKATPKGVLKLMKVEGLTIYHVKSHLQKYRLAKYLPEPREDKKAPSEDKKAQSDSSSNDSGKKKNLQVAEALRMQMEVQKQLHEQLEVQRQLQLRIEEHARYLQKLLEEQQKAGNSLSLKTPTEAQAESPELTSKERGESEAGATSPQPSKKRAPDVEAESKSPAGNKRTKVQVGSQSEASCS >Et_3A_027286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6950431:6951295:1 gene:Et_3A_027286 transcript:Et_3A_027286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTTTDATVSALSMKLLVDTTTRRVLFAEAGKDVVDFLFSLFALPAATAVKLVGEDAMPGSVGNLYASVDKLDATYVLPGAAKDALLCPAVFSPASANSSLLRLPAPSPKTLYRCTNSSSYSSSCRVYITDVYGKVCPQCGSQMVTAAQYLSSAASGGGSSQVVQGGDAKGFVQGIVTYTAQDDLTVTPMSAVSSITMLNTFAVADLSALQEKTVQIGYAEGVEILKASLQSKTVLTDVFLRDKGHADDA >Et_9A_063517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5924864:5932497:-1 gene:Et_9A_063517 transcript:Et_9A_063517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTKGDVYSFGVVMLELLTGRPPTGQEEVEGGGHLVGWVRWMIARGKENELFDPCLPVSSLWREQMFCVLSIALDRTVDEPWKRPTMREVVKGLKMAQTMECGLPPLVAKVGRDSSSSSDKDWDASEAKASILLSVLGRATRLLAAAFSAELSPPPFTSASSASSTAASSSSSSIAISSESGSSAHTSSSSAAPIVPPASPEPSTFGFAARLWRLCRGRARETSRSARSSSGASASRVCFAGALLRRRLRGSSRDTFSRLLISASLAAAVLTRLREERLGSASGGAASGSGNMAIEEGGGGAGAAAGSGDMAIGDGGEMGQKARRA >Et_6A_046278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1275844:1278534:1 gene:Et_6A_046278 transcript:Et_6A_046278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWVRQAQVWAGQAESWVRQQPPEQIYVAAAVVAFTILVLIAASCLKSSKANTIVLSGLNGSGKTTLFYQLRDGSSHQGTVTSMEENDDIFVLHSEQERKGKVKPVHVVDVPGHARLKPKLDEVLPKAAGVVFVVDAQDFLSSMQAAAEYLYDILTKATVVKKKVPVLIFCNKTDKVTAHSKEFIKKQLEKEINKLRESRNAISSADISDEVKLGVPGEAFNFSHCQNRVTVAEGAGLTGNVSAVEEFIREYVKA >Et_1A_005456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10525092:10529818:-1 gene:Et_1A_005456 transcript:Et_1A_005456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EYSTLRLYFNGRNPKDCRSLLCFPAPRKSRAPSVPDGEMPASNTTVPAGEKPHAVCVPFPAQGHVTPMMKLAKVLYCKGFHVTFVNTEYNHRRLIRSRGPGPVAGLPDFRFATMPDGLPESDADATQDPAALCDSTMRTCLPHLTSLLARLNNGSDGVPPVTCVVADNVMSFSVDAAREIGVPCALFWTASACGYMGYRHFQFLMDEGIAPLKDEEQLTNGYLDTPVRWARGMSEHMRLRDFPSFIYTMRRGDILLDFMMHEVDRASAASAVILNTFDELEETALDAMRAILPPPVYTIGPLTFLLDRLVPAGAPVGAIRSSLWKEDLTCLRWLDGRPPRSVVYVNYGCITTMSNQELVEFAWGLANSGYAFLWIIRNDLVKGDDALLPPEFLETTRGRCLLASWCEQEAVLRHEAVGVFLTHSGWNSTTESLSAGVPMLCWPFFAEQQTNVRYACVEWGVGMEVGGDVRREVLESRIREAMAGEKGKEMRRRAGEWKEIAVRATQPATMSPSAVPTTGEKPHAVCLPFPAQGHITPLLKLAKILHSRGFRVTFVNTEYNHRRLVRSRGAAAVAGLPDFRFATISDGLPESDADATQDPPTISLATRDNCPAHFRALLAELGGVTCVVADNLMSFSVDAAREIGVPCALFWTASACGYMGYRNFRPLIDRGIIPFKGMCSVLCSSLALLYLPNLRFRSSNKFCTASVCADEEQLRNGFMDLAVDWAPGMSKHMRLKDFPSFLRTTDRNDALLTFQLHQVERSEDADAVVVNTFDELEGTALDAMRAIMPALYTIGPLASLAEQVVPSGGALDAISPNLWREDDACLAWLDGREARSVVYVNYGSVTVMSGEELAEFAWGLAGSGRDFLWIVRPDVVRGDGDAASSALLPPGFLDATRGSGLLASWCDQEAVLRHEAVGLFLTHSGWNSTTESLSAGVPMLCWPFFAEQQTNVRYKCVEWGVGMEVGDDVRREAVEARIREAMGGEKGREMARRAAEWKEAAARAMATSLDNLDKLINEVLLSGTTGR >Et_6B_049372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4013806:4026190:1 gene:Et_6B_049372 transcript:Et_6B_049372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RLVPSPYSFRLHGLHLRLLVLRILQFLAGQNGHFSTHLTLLTLKTDGRAKKGMKIADIEKAWHKSLLVRPKTRPTIFNDMESDKQRLNIFLFVGNMLSGAGAVFQTSYPLTLQQILGVPLTVVSGMLMPVVYSFLTVAAIL >Et_10A_001149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21593569:21597475:1 gene:Et_10A_001149 transcript:Et_10A_001149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKGRPASSGLAASLVPHAQGAAPTFGFGGYHGATRVEPAAPSDPEAPIRPSPDVDSEVLQHLKRLGRKDPTTKLKALSALSMLFAQKSGEEVAQIVPQWFVAPTFGFGGYHGATCLELAAPSDPEAPVRPSPLKALSALSVLFAQKSGEEVAQIVPQWHSWIDPEEFGIGIHRMNF >Et_8B_060539.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20339526:20340842:1 gene:Et_8B_060539 transcript:Et_8B_060539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSELLHGNGKKPGGAAFRRRYCSTTAVTLLLFLLTNTVSILVSSGAGTSLLRRYKPGTIRLWDDSAALLADLNATQAALAAGRAELAGLYARIGTANELLRTLLDGQKEQQHQQTDVDGWPREPAGELKLAVAPHRLQVTGGETAAVFPAVGHACSRVQDDLERRYMNYTPGGECPSDADALEHRLMLAGCDPLPRRRCRPRSPKGYALPRSLWTTPPDTAAVWDAYSPCKNFSCLQAKTAAACDGCLDLRRERRRWARDDGALAYSVDAVLRTRPNGTVRVGLDISSSASSGTFAARMAERGVTVVTAAVDAGAPFGSFIAARGLVPVRAAQGRLPFFDGTLDIAHQLGGAGWVLPDDDGVALEFAMFDVYRVLRPGGLFWLDHFVCPAARLNATFAPMLDRVGFKKLRWNSGRKPGRRTGNEWYVSALLEKPMT >Et_2B_020278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18579217:18582489:-1 gene:Et_2B_020278 transcript:Et_2B_020278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRASSCALCEGSNLPSCCSTCVNSRLIEYHTKLRMMRSLRDSLHSRIAARLESQSKADEQRTWRVRKAQDIKELKDRLIASKKKTAIENTTVEQASSDLKAQKASLNLALVTLKKKRGDATTMHTNLMKLAQMNLMAATSERLKMQSKAVKQLCRLFPMQQVIKEGEKKGDYSGPYDSICGARLPRGLDPHSVPSEESSASLGYMLKLINIAIRILSAPDLHVSGFGASCSHIWQRNSYWSTRQSQSKIYPLFIPRRNVCTGGEETSMTGSGSGNFVVDSVKKPSLDSKRSNSFNFSATSSHSTERHQDLQRGISLLKTRVISITTYYYNSLGLDVPSDLSTFEAFTKLLHMLSSSKALRAALESNIASRSAEQAQHLNKSIWKASSAISSESSIMDSMHTIIMPSSLDNLLLKSNGSFLFTGQPVKHGGVPDSVFDGWDLVEREVLPPPPSQVEDVAVRVRVLDDLIPPTLDGWVYIYPTYMGLYMGQHTPTPPRSLN >Et_3A_023539.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33448039:33448650:-1 gene:Et_3A_023539 transcript:Et_3A_023539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEVNLSAEEEEEEEEEELDEEFDPTEDELVLHFLRPQLRGFPPRVAGAVVEADPCGAAPWDLLARYGLRERGHFFAARVRGRASVRRSVGGGAWMQSATKSGRSVSELGLVVRWSLVKFCFYVQQRSTGWVMEEYEITDPRCYRRDDDGEEDAYWVLCRIRKSRSTAVTAAASSQAASSLRKRMLASGCPMGVEAERFLRF >Et_3B_028706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18275025:18281456:1 gene:Et_3B_028706 transcript:Et_3B_028706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGAATPERSPSASPPPPAKAPSPTPPSPTQPLDWRFSQVFGERGAGEEVQDVDIISAIEFNKSGHHLATGDRGGRVVLFERTDVKYHAGRKEAEKADYSISRHPEFRYKTEFQSHEPEFDYLKSMEIEEKINKIKWCPETNGALFLLSTNDKTVKLWKMHEKKVKKVSEMNLDRSIAPAYGSPGGVASPNGTISNGGVGSLALLRLPVVTCQETSLCASTRRVYAHAHEYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIIDVKPTNMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLVDMRQSALCDTHSQIFEQHDAPGSRSFFTEIIASISDIKFSKDGRYILSRDYMTLKLWDVKMNAGPVSTFQVHEYLRPKLCDLYENDSIFDKFECCQSGDGSRVATGSYSNIFRVFGSGSGSNEATTLEATRNPTRRQLQNPARPARSLNTLTRAVRRGAESTGIEANGNIYDLSTKLLHLAWHPTENLIACAAANSLYMYYA >Et_1A_008733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1153184:1159609:-1 gene:Et_1A_008733 transcript:Et_1A_008733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQGTNLADVQRKGDIADLFSEAQRNILHLNKQRLVAIEELKKLRDENKSLLQDIQVIETEAQGVLLEAAQSSSFCELLLRIDTMAISGLITTAEASDIRKKIVDNQRTIQRAFSDVHLKPDTELLSELRLFMRKPIEKPLHIVHMCSEMDPVASFGSLSTYIAGLSCALQRNGNLVEVIMPKYTSINENGVRGLRRSEAEYESYFGGRWHKNRIWTGSVNGVGLILIEPVQLSYFNREMLCGYPDDFERFSYFSRASLDYIVKSGKQPDILHIHDWETAIVAPLFWDIFAHQGLENTRITLTCQDLDSQCLVEPAKLELCGLDPHKLHRADRLQDPNKTHLVNIMKGGIVYSNKVVLVSSTHSKDVLIQGLRHGLEATLTAHKDKILVASHGLDGELWDPSKDSYLPRRYSVNDIEGKSFCKEALKRRLGYRSGSSIIVGCICDGDSNIHNLKEAVQVALLKSAQVIFLENLGPVVNSTVRALKEELKGDNITFVEVYDEALVHLIFAGSDIILCSSFQDRSLQIAIKAIKYGSVPVQINFPSDKSRLSEGHNCHNKKMSQYIFSTYGDLSLSEALDDFKNDPYHWDGHTKDGMAKGLSWDAECYELHWEAYSSVKQL >Et_9A_062163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20233525:20237679:1 gene:Et_9A_062163 transcript:Et_9A_062163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLLLSVSIALVAIPLSLALLNRLRLGRLPPGPRPWPVLGNLRQIKPVRCRCFQEWAEQYGPIFSVWFGSGLTVVVSTSELAREVLKEKDQQLADRPRNRTTQRFSRNGQDLIWADYGPHYIKVRKLCNLELFTPKRLEALRPIREDEVTAMVESVHRAATAAGNEGKPMVVRNHLSMVAFNNITRLAFGKRFMNDNGEVDEQGREFKTIVNNGIKIGASLSVAEFIWYLRWLCPLNEELYKTHNERRDRLTKKIIDEHAKALKESGAKQHFVDALFTLRDQYNLSDDTVIGLLWDMITAGMDTTVISVEWAMAELIRNPRVQKKLQEELDRVVGRDRVMSETDFQNLPYLQAVVKESLRLHPPTPLMLPHKASTNVKIGGYDIPKGANVMVNVWAIARDPKVWSNPMEFRPERFLEENIDIKGSDYRVLPFGAGRRVCPGAQLGINLVASMIGHMLHHFEWSLPDGTKPEDVNMMESAGLVTFMGTPLQAVAKPRLEEEELYKRVPVEM >Et_1B_010222.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30695277:30695390:1 gene:Et_1B_010222 transcript:Et_1B_010222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKNCDEKVMCMLNGDFKGLELQDRKSFPDKLAKA >Et_4B_037205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16393306:16396923:-1 gene:Et_4B_037205 transcript:Et_4B_037205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METRTAARMSPLAPMAARFLFLLLLLAASSCAVAAAGALVVGEDYVRPPARLHRKALLSIFPWSNKKASSSASDPQQVHISLAGEKHMRITWITDDNSVPSVVDYGTKSGTYTSTSEGEHTSYSYLLYSSGKIHHVVIGPLEENTVYYYRCGGQGPEFQFKTPPSQFPLSLAVVGDLGQTSWTTSTLNHIKQCEYDMLLLPGDLSYADYMQHLWDSFGKLVEPLASTRPWMVTEGNHEKEHIPFFESGFQSYNARWKMPYEESGSTSNLYYSFEVAGAHIIMLGSYTDYDEGSDQYAWLKADLAKVDRKKTPWLIALLHAPWYNSNWAHQGEGDSMMAAMEPLLYAAHVDMIIAGHVHAYERAERVYNGRVDPCGAVHITIGDGGNREGLARRYRNPKPAWSVFREASFGHGELKILNSTHAHWTWHRNDDEEPVRTDDVWINSLAGSGCIQDSSRELRKILMAP >Et_10A_002136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23578939:23586357:1 gene:Et_10A_002136 transcript:Et_10A_002136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRKRGSDAGEVQDLHNKVPRAAATSQEEPEQAKQQQQEKLAEMAGRAPEIDEDLHSRQLAVYGRETMKRLFGSNVLVSGLQGLGAEIAKNLALAGVKSVTLHDDGKVELWDLSSNFFLSEKDVGQNRAQACVPKLQELNNAVIISTITGDLTKEQLSNFQAVVFTDISLDKAVEFDDYCHNHQPPIAFIKSEVRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVSFSEVVGMPELNDGRPRKIKNARPFSFTLEEDTSSYGTYIRGGIVTQVKPPKILKFKPLKEAIKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRNELSRFPVAGSADDVQKLIDFAVTINESLGDSKLEEVDKKLLHHFASGSKAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVEPLEPSDLKPENSRYDAQISVFGAKLQNKLEQAKLFMVGSGALGCEFLKNFALMGISCSPNGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEALQNRASPETENVFNDAFWESLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPSGYATAARTAGDAQARDQLERVIECLDREKCETFQDCITWTRLKFEDYFSNRVKQLTYTFPEDAMTSSGAPFWSAPKRFPRPLEFSSSDPSHLNFLLAGSILRAETFGIPIPDWVKDQKKLAEAVDKVIVPDFQPKQGVKIETDEKATSLSSASVDDAAVIEELIAKLEAISKTLQPGFHMNPIQFEKDDDTNFHMDLIAGFANMRARNYCIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHKVEDYRNTFANLAIPLFSMAEPVPPKTIKHQDMSWTVWDRWTITGNITLRELLDWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDKKVVDVAREVAKVEVPSYRRHLDVVVACEDDDDNDVDIPLVSVYFRRNFKSSAASQDE >Et_4B_037012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13491819:13500186:-1 gene:Et_4B_037012 transcript:Et_4B_037012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPGKFVSVNLNRSYGQHTHSNHGGRPSRPANASSSSGGGGGMVVLSRQRGSSLAKPQPPKLSVPPPLNLPSLRKEHERFDGAASAASGAASAPARSGAPAAGWTKPAPVAEKPPGSAALPGSVVRPPSYGFPERAVVLRGEDFPSLKAAVAPPPPPPAQRQKEADGGRVATPETRPMPLGMRPQVTPSRAAEPVGSGPGISAGNRPSADKAQKHDLGPLPMVRLRYDSDWADDERDTGLSLPERDSRERGFGRSEAMIPGRDLYGGAREPFKKELFGRDVVATNKESGQDGLWRSPMSSQLDRERTEDRPYSAGRGSNGQSYRESIAAGGSKDLWSNIREPQVAHGQSGETASERYGYSSNNWPKVNSFQNNVGSKAQPFSGNKGPLINEPVSKFGREKRITGSPAKPLIEDGGFDSISAISLSAIKKKKEASKPTDFHDPARESFEAELDRILRVQEQERQRVMEEQARARDFARKQEEERERLIREEEERQRLVEEQARHAAWQAEQEKLETAKRAEEQRIAREEERKRIAMEEERRREAARQKLLELEAKIAKRQAESNVDRTRVGDLSSTINDELAPGALKDREVSQSTNFGDKKDINRIGERINTSVPLEPSSLNRYSDSIPRVHTMRDGHSSLIDREPAYYGGRATFPEQGSVHQSPQRNSFAMRGNFPKKDFNDGYGSTSFRPSSRGRTTDSPWALEDYGHEKVPRWDTPREIERFDKQSTFDAEIFNGDRFGDSAWLPSSSHGNLSAQQGDRMLQSSEANDFSAFTRPRYSMRQPRVPPPPTMTSVHRTAIGASAQHPNSSFVDDGMVESSCRDDDQAMRGQYGSVYQEASHQRGIPAEHIAVAEHQIGDRESPVLGSQSSLSVSSPPSSPPHVSHDEMDVSGDSPALPTSADGERTVMSDNDPSAFTLDAANTSRIARSTSVSHVEDDEWSSENNNERRKQDEYDEDGDSYQEDVINGADDDNLDLDDEFLEEQQTSVELEPVILGFDEGVQVKIPSNSELELSSMKSTERVIGGHLNVGIAEQENVGGSIVHPDPVVETEKTLKDLTLDSSNGEPSHASSCQLPQASSTASITSSASAVAGQNEIPVNLKFGLFTGPPLIPTPVPAIQIGSIQMPIHFHNQNNPSLSQMNSSTAPLYQFGQLRYVRPIAQSARQVTSQPILPAHSSAPAQYTHQLNRNVCCGLPELVSRDTHQNISSQAVSSTAIDKSVPPTAKLPLVMNNSDSQYLKAPSNNQMVGAEGFHGQVDRHFSGGTPSGVSQAEIQRNHDHSLKRSYKSTSNNIESSQSGLEGKSLSGPKAPGAVSGGRGRKYGYAVRDINMKSTGSVVEPSHKDTKGFQRRARRNVRRTEFRVRENVEKNQIQASESFTYCEQDERPYSHGTARDLSGRNTSTREVDLNKSSRINEISDQTVSSSIRTTQKTPYERSHGGNKKSRTCTITEGDMASLQAGTVHVVKQQGIEVPVDADGFIEVRSKKQIMSVRRELREKENRSKMRMAKTLRKQHQVSLQSSGGPSVNKRVALSGEVAKKVSLDPAIPIEGRVADSAEPSVALKGDTASMNSIGPPLTNAETHANCYAKQPSRGQASSDMATSTKLSAGLSEDNNKGTPVSTPFNMVSWDNSQINQQVMPLTQTQLEEAMRPAKIEQQTGSAFSLESNNALSPTVTTEKAFPSSASPINSLLAGEKIQFGAVTSPTVLAPVSRTVSSGLGAPGSSRPDMKIDRSLSSDKSGNDVFFEKEKATSKESCPNAEDAEAEAEAAASAVAVAAISTDEGSPADATTASAPDNKSFSSKDLSALTSGDGKPEAGTITGQAGQSSTEEPLTVALPADLSVDTPSMSLWPLASPQASGPMMSQFPGAQPPHYSCFDMNTMLGGHIFAFGPSDESAGAQGQHPQRSNVLPSAPLGAWPQCHSGVDSFFRPPTGFAGPFISPGGIPGVQGPPHMVVYNHFAPVGQFGQMGLGFMGTTYIPGDKQPDWMQNQGPSVAVSQSDPNSQNVASGQVNSSGVPTPVQHLRPTSIMPIPSQLTMFDIAPFQSSADIQMQPCWPHMPVPPLHSVPLSVPLQHHPVEGTSAQQFVHNVPIDNKASAHNRFQEPSASAAASDGNKTFPNVASARLTNELGLVEQPPSSSSITQTVQPSFGHAGVISNEISNSAKVVVKTIPSNVNPGIGTGASNTNGGQVTNMTSNSKSNQSSSSSDQQVHPVNNQDRRARATQKTGSGNEWQRRQGYQGRNQNSGSDKNSGTGRMKQIYVAKSSATSGRAPSG >Et_1B_012634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3397553:3400277:1 gene:Et_1B_012634 transcript:Et_1B_012634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPPRKRNFKIEAFKHRVELDPKYAERTWKVLEHAIHEIYNHNASGLSFEELYRSAYNMVLHKYGEKLYDGLQNTMTWRLKEISKSIEAAQGGLFLEELNAKWMDHNKALQMIRDILMYMDRTYVPTSHRTPVHELGLNLWRDHIIHYPMIHSRLLDTLLDLIHRERMGEVINRGLMRSITKMLMDLGPAVYQDDFEKPFLEVSASFYSGESQEFIECCDCGNYLKKAERRLHEEMERVSHYLDAGSEAKITSVVEKEMIANHMHRLVHMENSGLVNMLIDDKYEDLGRMYALFRRVPDGLSTLRDVMTSYLRETGKQLVTDPERLKDPVEFVQRLLNEKDKHDKIISVAFGNDKTFQNALNSSFEYFINLNNRSPEFISLYVDDKLRKGLKGATEEDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSMIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQDFYAKKAEELGDGPTLDVHILTTGSWPTQPSPPCNLPPEILTVCEKFRAYYLGTHSGRRLTWQTNMGTADIKATFGKGQKHELNVSTYQMCVLMLFNSADGLTYKEIEQATEIPASDLKRCLQSLACVKGKNVLRKEPMSKDISEDDTFYFNDKFTSKLVKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVSEVTKQLQARFLPNPVVIKKRIESLIEREFLERDKVDRKLYRYLA >Et_3B_029673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27052397:27053606:1 gene:Et_3B_029673 transcript:Et_3B_029673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANALLVVLFSAFLAHRAAGDYGAWQSAHATFYGGGDASGTMGGACGYGNLYSNGYGTNTAALSTALFNDGAACGSVPCVKKGGIRFTINGHSYFNLVLVTNVAGAGDVRSVSIKGSSTGWQPMSRNWGQNWQSNSLLDGQSLSFQVTSSDGRTVTSNNVAPAGWQFGQTFEGGQF >Et_1A_008994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24074924:24079885:-1 gene:Et_1A_008994 transcript:Et_1A_008994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKHFLICRKYFPMADWSMEPAAPWAPCDLQLVEWSVEPAVYSPVLLAVECASPEKFCNYLETSIPVSKEGQLVEYDPVETAKHEIIVDLYNVEVIDDHPIHVFKDVADEYKVDIDNTKWKIHEYPASIQALHLNERYTVPMLVAIGPYHRNRDNLKQTEKVKYVAAYNCIKNSGCTIQRMYSEVVSKANVARQLYGNAVVEGITDKDFLPMLFFDACFLVQYMICMSDPGADIDDSLCHFFNSNDEEIFHDIMLLENQLPWPVVQAIMEFVDVPLKEFIDSLKGCLQDRKDPVEKTSILIPDPPHLLGLLRFYIVGENTTKPKNKPERNTISFSLSAIELAEIGITLKPCESNQLIDMGLKTEGNLFAELYLAPLSLSSARESWLVNMAAHELCTTSNFTDAKDEESAVCSYLLLLAMLVGREEDVQELRAKGILQGGGFTNKEALAFLTRVQGLRLGSSYLRVMKDIEDYRSFNRPIRANLHARYYKNSKNIACVVSAFITVVALLGTYLGIKK >Et_9A_063573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7813029:7815292:-1 gene:Et_9A_063573 transcript:Et_9A_063573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METIYNTVVEKIASRSVSFFIDKFVMMREPSTEDESGHNLQRLLLRARVIVEEAEGRDITNQAMVHQLNILRKEMYRGYYTLDNFRSQEGEPAKVVTFGSADPEEQPKLASMAMEIGMGMNGSFIGANLIGGLLRSNLNIEFWSKLSAELGFSIKDQSCCGNKHASIGVECSKILVKVTQSKFHNISI >Et_2B_020831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24040018:24049478:1 gene:Et_2B_020831 transcript:Et_2B_020831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFLLLVAGAAATAPPAPGQGCRAGCPLALAAYYFSAESNITFIASLFGLPDYSALLPYNPNITDPNYIVTGDRVSVPFRCSCLSLPASATYFLAGSLPYALSSGETYDDVASEFANLTTAAWLEATNAGPAGKVPAARTVNVTVNCSCGDERVSKRYGLFLSYPLWEGETLASAAERYGFSSPAQMELLRRYNPGMDGVSGKGIVFIPVRGKSLSGGGVAGIVIACTVVLIVGIWLTAMFYRRQKLRKAMLLPCTEKAVQLGNGKATLQVIRSSSKDSQVEGMKVDRSIEFSYRELFNATQGFSLDHKIGQGGFGSVYYADLRGERAAIKKMDAQASQEFLAELKVLTHVHHSNLVRLIGYCVESCLFLVYEFIENGNLSQHLRRTGYEPLSWATRVRIALDSARGLEYIHEHTVPVYVHRDIKSANILIDKDFRAKVADFGLTKLAEIRNVSKSLPTRVVGTFGYMPPEYARYDEVSRKVDVYAFGIVLYELLSAKEAIVKSSESSNKDKGLVYMFEDALNMPDHKEALQELIDPSLGGDYPIDSVLKIAYLAKACTHEEPSMRPTMRSVVVALMALSSRDY >Et_1B_014421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8216236:8217840:1 gene:Et_1B_014421 transcript:Et_1B_014421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRLLLAVGLPVAALVAVVFLVYRRRRLPRNPAPELPETAPTATGEPTASPGLAKLNMRYSAASGRAGIRFQQLHHHTHHHVARHRGPGGGGAAQQGPFQWADHPRLVTEAAENGWSQFVFAVAPPRARSASSSPLWGTCPLCDAGTSRDMSEAAWEVPAGSSERMQAVRLNPSIASASAAASSKKWLNPGSLLASPLRGGVDAADAAGANHPSVQLCVARMSLPLPGPPLAGAPFPQDAYFEVTIIYLNTRRPEWSASRASRRGKDRESDRVKLISFAPDAAAASPVQENRDDQQEKQQRHLVMSMGLAAASAAPSRPSLAGTYASSIGFHSNGAVYLDGMKLVYESDKSSWAGVDKVVGCGFEPAKRKVFFTVDGALVHAVSCNAEAFACPMYPVLASSFDVMALVNLGQGKFRYAPANARRTANPSFVRAASGGDGRGSGGGSLGLDFDDSGDLFSMGRVDSGWMESSRMGKSRKESAGAGDPEAESDLFEISLRD >Et_4A_032426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1025444:1048555:1 gene:Et_4A_032426 transcript:Et_4A_032426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTAWLTTWATVVVAYSLCALVAMCAGFPGGYGYGGLQPNFYGFTCPQAEAIVRQEVVKGLHAGLVRMHFHDCFVRGCDASILLESTADNTAERDSPVNNPSLRGLEVIDSAKARLEEVCAYILAYAARDSVALSGGLRYDVPGGRRDGTVSLASEVDDNIPAPTFNLDQLTQSFAAQEEMVTPSGAHTIGRAHCTAFSDRLYNFSATADADPSLDPAFLAQLRHACPASSDDDGSPHTPHALDTLYYAGVLHNRGLFASDQALLTSAPTAAQVHQSAYGVYQWKAKFVAAMLKMGQIEVLTDGGSGQIRANSACQSLRSLSSTRSPSPNPSPPRWHPPPPSSFRPAPRPLGRASSCGRRGGSKGRRRRRRTPTTSEMHGRPRRPAKPEDAEAAAAKAAKLRDLQTQVLHNHHSRTYTKEALGLSFKLLEINPEAYTAWNYRKLAFQHSLSELSEPEAIKSAVDDELRVVEVALRQNPKSYGAWYHRKWLLNQKLAPVDFKREFGLLDKLLKADARNFHGWNYRRFLARFIGVPDEEELKYTMDKIRDNFSNYSAWHNRSILLSNLLTQQSKGFESKQKIFSEEFELVTNALFTDPSDQSGWFYHLWLLAQTSTPENPQLIASWPCNGAKLYLSLEGIVPVVLYFDEPVKGINPSNVKLESDLVFGKDIHWKPLSVTDFGYSHCWVTHLELTNECSGSKQFSVEISIPCSEDIVSRSGSHHNCPVHFTFSIELVNHGDTAEDINLFHDPFSWNCSEPFQSHGNNSIPFDHLKVTSTLVQEDSKWHFERLSEEIELFRDLPDDNSKFVKLTLARLLLACAAIKSRGRSLVERKGYCEEALGFFSALIHLDPSHKRYYEDERSLVLMDQLTCDAETFRKHFSAQVQANSAPLNHVQLRRLSLTCTSFAERLFWVQVLDLSHNSLRSVEGLEALQQLLCLNISNNKISNFTALEPLTKMTSLKVLDLSFNEIGAHSIDTTRYICSSPFSHKVEACGAFEECQKKNINVEEYWDAILFFKSMNLAQLDVQGNVIASKESFRTLVTTLIPSLKWLDGECLCDASMLAAGQRSSCLNWCLLSSRGSLLSAKAAMSRPCAIAAFVDPGLAVPMEPHTPRVLDTLYYAGIRHNRGLFASDQALLTSAPTAAQVHQSAYGVYPWKTKFVAAMLKMGQIEVLTGGSAQIRAKCSTWTKRFQEAERLVDDVAERIAERESVPPSWPRELQRRTSEIRRKVTILGTRLDMLHEDLSDLPKKQNISLKQLNKLAEKLSGLRSKATEVGGQFMLKYSTDRNDPSGKWAKIDVNSIAGMDNREVVDLQRQVIKEQDKNLEILEETIVNTKHIALAINEELDLQTKLLENLEEEVEDTSNRLQRAQKRLKVLNTRMRKGGSCLSLLLPVVAVIKSSSVELVVSMALKLVGSVVVVVAMLCSLGAQAQLQVGFYDQSCPQAEVIVRDEVGRAVSANPGLAAGLVRLHFHDCFVKGCDASVLLDSTANNTAEKDSIPNKSLRGFEVIDGAKQRLESACPGVVSCADVLAFAARDSVVLTGGSPYRVPAGRRDGNVSVAADAQANLPPPTADVAQLTQAFAKNGLSQDEMVTLSGAHTIGVVHCSSFSSRLQYSNSSTSQDPPLDAALASQLAQACPPGSDNTVPMDAGSPAAFDTSYFQNLLANRGVLVSDQTLTTDNATAALVAQNAYNMYLFATKFADAMVKMGGIQVLTGSDGQIRTNCRAMEARGSNRGGGGGATGMMRVLLLSVLLLATASRSRAQLQVGFYDTLCPAAEIIVQEEVSKAVSGNPGVAAGLVRLHFHDCFVRVSTEIPCNSVNGCCFRSPTVARSTTSRCLRALRRVPASDPKQRDAAVGDGCDASVLLDSTPGNTAEKDAQPNTSLRGFDVIDSAKTRLEQACFGVVSCADVLAFAARDALALVGGNAYQVPAGRRDGNVSVAQETNGNLPPPSASVSQLNQIFGSKGLSQAEMVALSGAHTIGNAHCSSFSNRLYSYGPNAGGQDPSMDPSYLAALTQQCPQQQPAAATVAMDPVTPTAFDTNYYANVVANRGLLTSDQALLADPTTAAQVVGYTNSPDTFQADFAAAMVKMGGIGVLTGTSGTIRTNCRVVS >Et_1A_006344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2112653:2112918:-1 gene:Et_1A_006344 transcript:Et_1A_006344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATSSSGRWGFIVRDSDGDVVQCFDTDTLLQQAITSEDVDLSVPVLGCVDSGVEENPILNVLPTSCIQVI >Et_3A_026116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4452431:4454965:-1 gene:Et_3A_026116 transcript:Et_3A_026116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LIFPPLMIPARTCSQLHRQVLPSLLVHMFFLAIQLSRSLAAHGNETTVSSSPATASCRPDQASALLRLGRSFSVTNDSICTLASWRAGADCCGCGAADGRVTGLDLGGCGLESAGGLHPALFNLTSLRYLDLSGNSFGESELPAVGFERLTELTHLNLSYTGFAGKIPHGIRRLSKLEHLDFSNWIYLVEGDNDYFLPLGEGRWSVVEPDIGSLVANLSNLKVLNLGNVDLSGNGAAWCDAFANSTPRLQVLKLRNTNLDAPICGSLSAIHSLTEVNLMYNKVHGQIPESFADVPSLSVLRLGYNLLQGSFPQRIFQNRNLTAVDISYNIKVSGLLPNFSSDSIMTELVCSNTNFSGPIPRSISNLKSLKRLGIAAAAGYQQELPSSLGELRSLTSLQISGASIVGEFPSWVANLTSLEILQFSNCGLFGQIPSFIGNLKKLGSLKLYGCNFSGQLPPHLFNLTNLGVMNFHSNSFIGTMELSSFFKLPNLFRLNLSNNKISVVEGEYNSSWESIDNFDTLCLASCNISKLPDILRHMRSVQVLDLSNNHIHGIVPQWAWDNWLDSIILMNLSHNQFSSRIEYSSVISANLFVIDISYNLFEGPIPIPGPQTTLFDCSNNLFSSIPFNFGSHLSSMSLLMASRNKLSGKIPPSLCEATSLSLLDLSNNDLHGSIPFCLIEDMNKNSCEFIKLRIFDLASNNFSGLLQNEWFRTMKSMMTKDVNEPLVMGNQYDLLGQTYQFTTAITYKG >Et_9A_062406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22557982:22562297:-1 gene:Et_9A_062406 transcript:Et_9A_062406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVGAQYSWASAAAPRRGGTQCQAALAPRRVRCRASAMEPLPSVRTVTIPFADLKERDKDLGGKIEEGLGPRGLGIISIADKELEDPESRYNFGWSHGKEKLESGKLDTFKGSFYANPVLDAPTTDDVLVSRYPSYCRPNIWPTDHLPELEIAFKALGKLILEVGLMLAHHCDRYVKQQGVGSYDGDSLEQTIARSRCHKGRMLYYFPRQFSKQNEVGSVSSWCGWHTDHGSLTGLTCGLFTKNSVEIPCPDSVAGLYIRTRDNQIVKAVFEEDELAYQIGETTEILSRGHLCATPHCVQAPSSENASGVERSTFAMFMQPDWDEKLKFPSEIPYHQELIQPNGTLTFGEYSERLVNKYYQART >Et_2B_020511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20879935:20882496:-1 gene:Et_2B_020511 transcript:Et_2B_020511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYSVSAPSPVGVACRAAPTSRYTAGSSASSARSMMDAKFTSTSVRRSHPNAMPLLALSTDSATKAWIAGICSASPTPLNAAVTAICIAPKLSGKVLEKGMRKVAVAQRNAPSAMMVSRSWRADRYAASGKQAVCTMERERAMDPSAVGEACSASPISRNTAGSSAASAHCTACAANASSSTVLRERRCLLPCSAIASSHRLCFLSPPCQCFF >Et_9B_065611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7945584:7949775:-1 gene:Et_9B_065611 transcript:Et_9B_065611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQQSATGGRKRGRRGGVRNRRKNSSSSQQAHTSSPEAAPPPPSSPPAKRQRKAVAAQGAAKSKGGNTTSLLDKYHAGYQEQMSHWPEQPVNVIISWLKSHNPSWAVADFGCGNAAVAKNVKNKVFSIDLVSDDPSVIACDMAHTPLEPSSVDVATFCLSLMGINYPSYLEEANRVLKPSGWLVIAEVRSRLDPNTGGADPEKFCKAIIQLGFSLVSKDAKNKMFILFYFRKKLFYKDTQ >Et_1A_007712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37483666:37487025:-1 gene:Et_1A_007712 transcript:Et_1A_007712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVGGGGAAGAGAGGNGGGGGSWPVRVPSWRERENNRNRERRRRAIAAKIFGGLRAYGNYRLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKGFMPLPPLGDHTGIFRSAMASACPSYPLTPSPGSSSSHITLGGLMTGGNNYFFPGADGSGAGSSRFIPWLKAMAASGGGEPSFPGYGANNFSAPVTPPDSSSSPPPLPRLKMPRWGDYPAGAGSSSHLPPWLTGASSSRYAASLTQTSLPSPPGATWLPGLDMSSAGGKSPADPAAWLPGLQLSSPAGGKSPPFSFAMPPPPPFGAYGKAGAASSSRKRSLGQSSRPSSPLGAHGGGVALSRLGNAAKADEPVPMESSPEAGEAAVSAWEGEVIKDCPEEELELTLGSASTRADGAN >Et_3A_027372.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:9139227:9140084:-1 gene:Et_3A_027372 transcript:Et_3A_027372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGKPTPQPPAAGNGAGGPPKMYQRPIYRPQQAAAKRRRGRSCPFSCCCCFFWTVLVILLLAFIAAVVGGAFYLLYRPHRPAFTLSVARVTKLSLSSSATAPALTDAIDFTLTAKNPNKKLVYFYDDFTVTAATAGNAVPLGEGAVPGFAHEAGNITVLNATVSASALTVDPSAAGSDIKKSGEFPITLDLETKAGVKVGGLKTKKIGIQVHCDGIKVAAPPRPAPAKKNKLGKAATVAPSPTVADAPSPAAVDDAPPAAATATTVARVCEVRIRVKIWKWTF >Et_4B_037593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21583318:21585187:1 gene:Et_4B_037593 transcript:Et_4B_037593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLTIPPSRAVIETDALLVKQACTSDAFDLSAAGSLIRELKEVTVNNFCLIRFEFVPRNCNKVAHALAVLGFECLEGDDPAVGVLPICIRNLVASDLTPVE >Et_2A_016210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22006117:22014164:1 gene:Et_2A_016210 transcript:Et_2A_016210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVKWLMHWHPNPGATLNSQILLEACACAETLGGVKDGRWKTSIIFYRPTTRDGASAGGAGQQQHPDVPRELLGVALHERPGLYFSIVRAQRLVLQADAAFPQVMEKLQSYKARVALNFEGFQYQLGDFCLRIGKCVPHNSEALRGIMMEVEYYPLSSIEKSRAIMEDFFDIWQETVAKKSLPGHFIHVESNFSDYGLSDQYSFQYTAVQYAICLQQLMAAPGRGFAKKDSSSFGNSPEAETAENSCPPYLQIGFSVVAREREPSARPDLADAEEQADAAEGEEQHVPELPPLPDPQPEPDIHSAAGGARALEDAEPEVLLVALMVVESAGAAPGRLRGVRGRAPEAVAPRRARGADLELDLAGAALERVRPAGHRAGGHAGAVQALVVVQVVRPAAAAAARCPGGAAAAVHGLVPHAGCLCSESKRPSSRTGGR >Et_2B_021987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7812818:7820101:1 gene:Et_2B_021987 transcript:Et_2B_021987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSGNPNPGQSAPFELGMLFRPPVNPNHPTTAPTPTGVFPGGPVPASAPPPTGPYSYPPATPPFHRGPYLQYPNDPHGFQHPAAAAFANANPTANPIPNQGPNPGARLMQLLGNTAPTHLESAASMPPSSSEPAAPLPATSSAPPARMPSSKMPRGRLLGAGERAVHDVDSRLPGEAEPPQLEVTPITKYTSDPGLVLGRQIAVNRSYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMGFFAEDVHRLASASVDGRIYVWKIDEGPDEENKSQITGRIEIAIQITGDAEIYHPRICWHSHKQEILYVGIGNCILRIDITKVGRGRDFCAEEPLKCPLDKLIDGVNAIGKHDADITDLSISQWMTTRLASASKDGMVKIWDDRRVAPLSVLKPHNDQAVYSVSFLTAPERPNHINLVTAGPLNREIKIWASTNEEGWLLPSDSETWKCTQTLELVSSLESRPEEAFFNQVAVLPQASLILLANAKRNAIYAVHIEYGPDPSSTRLDYIADFTVTMPILSLTGTHETRNDGEQVVQVYCVQTMAIQQYGLELSLCLPPTADTGFGRDLAISHIYGTSSEMAVVDSSTEATPVDSSTVVSTKPSSGTQGTVVLSRRPSGGSSYGDRDADHSSLSRKDSFGKEELKGGQSDGVVASAEMGANGSGNVEADVKHIDERKASQTFEHEAVKETQILTENKEKSEKTVDTISERTITTDKYSMNDSLALADMPTPSKQCSGSGAENAVKKVNEAPERTDDPSASRDLQIPSATKEEKVMHPQMSGQLSPSTSTLNSTGSSYEPQINANPPVDSSLQAAAIQGTLQQLISMYNDLQKQLSTIVSAPIAKESKRIEASLGRNMEKSIKANVDAMWARFQEENVRHKKIERDRMQQMANQITTSLNKDIPVMLEKSLKKEVSSLGPAVARTTAPIIEKSLSSAVSDSLQKVLGDKVVNQLDKSVSAKLEANVARQIQTQFQTSTKQTLQDAFRSSFESSIIPAFEQSCKTIFGQIDNTFQKGMPEHSAAIQQQVVGGHTPLAQTLRETITSASSITHGLTSELLDGQRRILSLVTSGNPIPHSAGALQPINGPVTNLPEVDALLDPVKELGKLLREQKFDEAFTLALQRSDVSIVSWLCSQVDLQALCGAVPIPLSQGVLLALFQQLACDMSNDTSRKLQWMTYVAVAINPRDPVIDMHVRPIFDQVYGVLVRQQSMPTSSAADATNIRLIMHVITSVLMSHQ >Et_5A_041449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2288499:2295624:-1 gene:Et_5A_041449 transcript:Et_5A_041449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNISDQMVEGMISKLPDDVLLLILGKLTQLSIDIKDFLHEPHADPTVNDHINKAMSSFVEAVRSMLAPTRRKSIITWLCISLFLINSYSSEIGNLVSEAIENGMVKDIKLTSGVERLAFDVSKEEMVKHGERVHSFFHKYHSISCCLATLRLYNATIAESDMHNLLANTLTHLRSLYLFQCDTGFAKLFKIDAPNSKLNVLEFCCCSCLRVELVCLPKLELLIYGYWPTPYLPLTLGSVPCLKEVEIYSATVSYQAPFNTSSINTLMLDFLGQKIWLQPEKNKLRSAFGSLKEWSLFGIFVVFGLLWTTTLLEAAPALEILHNEVYDHICLNEEQNKEKYGERTNASWDVSEFSPSFKPLPLTTLQLSGFNATEDHIVFIGAVMKRASNLQKVILEELYCKQCSAIDVRANECKFPKNVKTKVDEDHLSLAESKAENGDNPRFERSKMVTVATRLKELPLCGFNATEEQSRDGECSQHLHAVVLKEQYCKDGSTIGTPPSGGRRFMKNDDGQEAAVNNLTKKKGRGGGGGSSHTRIIFRDD >Et_7A_052167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5262780:5264784:1 gene:Et_7A_052167 transcript:Et_7A_052167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASFKGRAIAGSFVTRVLAGKAASPRRAVHASAYDKNLDEQVRPAFVPEDVIGGAGNPDKYWGPNPKTGVFGPAAGDAKLAAGAPEAGVNGGGSVLDQKVWYRPLEDIEKPPPVA >Et_2B_020921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24870207:24871650:-1 gene:Et_2B_020921 transcript:Et_2B_020921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVWWTALKKSLNCKSKDSCDVIKREDSRGSSARGLRKSFVRSPSSLSASLLRRSSAGCSRSISNLRDVVIHSGRQKEQQRREEAPAAVASGCASPRSIGSNDVVGAATHDALLAAAGRGSASGRDLGGTPGRGAWAGGGMPFAHSPFLMRCSTTPFSQRRSPRSMSPLRPREGGAGDAGEVWSPPPARASCEIGVRCRRCGCRVANDDALEWHHLANHAVTELVDDDSARGVVEIICMAGWPKPESALDRVERIVKIHNLERSVSRYEEFRKAVMGRASQLAKKHPRCIADGNELLQFHGTTVSCSLGAGGSSSLCASGRCSVCRIIRHGFPATSREAGAKDGGGVGGVFTTSTSKRALEHCIQETSDGDGEAGTKDGVRHALLVCRVIAGRIHRPMGNLQDVATQPGFDSFAGKVGADSSVEELYLLNPRALLPCYVVIYKS >Et_7B_054449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21459535:21459890:-1 gene:Et_7B_054449 transcript:Et_7B_054449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCQKKIHLERKLNPLQAKSVELVRALQQGKIAGAGLDVFENEPKVPAELLAMDNVVVVLTPHVAVVTSESRSDMCDHVIRNLEGFFSGKPLLTP >Et_7A_051511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19799886:19800139:-1 gene:Et_7A_051511 transcript:Et_7A_051511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSPMKPVKIEGVAKAEDGGLLNIKVPDGAGHFFSIKRGVRLRRLMDLYCGKNSLDPKTVVFLGPEGGPIKPAQTPEEVGL >Et_3A_026680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13614816:13640162:1 gene:Et_3A_026680 transcript:Et_3A_026680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFRVKHGNGRQRTKDKYLDMCANAPENCKPDEFNVCKSETVCIENESIESMNRGKLNHSPVNSPAMIHISNAIPPAEYDPNNCALSDSKESASSVASEHLPQGNEQQAQVAALQVDCENGQPKDVTLVSCKIKRSSNHLQERRELKKCSSNKTAMLGSQHSRGTQKSTGTLNRGLDLGSPKGIHFTADQTISDKDTKIKQEKPLSADHSNNSCVEAICEKADSLNVRFKERRGAPVVNLHSSAMEDLEESRSPCRTSFNASSREEASKSHVDEAGTEAKHIVSKGRVSGISTISLNQELKLKKKRKQKVHDSVKSSIEFDPDDTIRYSRLTNRPVKYDRKLIARRTVPDHLLQRVEQKAQMAMPQVQQQSLTVLPCKRNRSSKLLHETHESKIHIPPRKTARLIPQNCLGLQKLSGMSNREGLELGSSKEMHVKFKLAQAIGIKSMEVPQQLPLPVLPVSHICSSSNSNPCIEKVPKNTKSMNLSFEEGCEASIVGLDNSGVADLKGSVISSVNSFNAGSRKASCKPDEDKHVTEPQCAASNRKVSGTSTVGLNLEINSKLNKQGTIATQIKPPCESRITEPLFVNRRSKEARVTGATSSQLSTSKVKLCTEYPMDTGEPDIEKILSKVILTSQSLSCVTGIVDDSHYTLCLLESQAPDDHRITVGTIYGSEHMHIATLPTSQFEDVSQGCCETGEYAQYLMLSPSVVNSSATKENTSIGSSFQDRLLDFQLVSMDQYWQRRNDVPGFSDMYGMGTSSSSYGQWRPVPTQLGSVVYQWDLPACGRQINNSPLLEDERCIPWSELQPIGSPQMSLKGMESDDDSVTSTPVEQPMPLPFQYLPH >Et_5A_041351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21738534:21752126:1 gene:Et_5A_041351 transcript:Et_5A_041351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITGPLIPITLGINAATPLQIRYDADGGARRGDPVALSAGRSDTPLSCRRRLQGLAPPHLWLWLAAVSTSTTAGRRLFSDSSAAAVIRLIRMAAGSTKPTSCPPHPPSVAYPLSDAPSTPSIGRVLFCDMAAPSPSPAWPWTRFLVWSPSELRMLPYICLARYRWSAALLCVTAGCDQLDCTSSDAFTVVFIGTDSMTTSAYSKMRGASRSPSKIDTTPSMAHDALRWRHLICSRGFCRRFREFHQRTPPLLGLIYRFRTILGSWIEKTYFMPLSSTFRRLPSAVSISNWNAIDTLHGRILFWNPDGVLLTVSPEGPPLKRFIVWSQSEVRMLPLITLNAYTWSAALVCATAGCDHLDCTSSGAFSVVFVGKDATTTSAYVYSSEQDAWSEPVTIEDRHRDVSCVRHPGPLVGNTVYFTCLGRMERLLAFDLSKQQLSFVSLPACIDYFNTVLITVDEGKKLGFATALQSKLFTWSRDDAGGLHGDAVWIQHRVFEFDKLLLSCKLSYRYHLFAATDNPGVIVVRGQHVLFVLDLKSGGATKLLEGSAHSRILDIHDRVNAAERIFYPPRNPIRGMLPPAALMEELTEDILCFPPDDPASLFRRPRLQGLVPPRLRRRLLPPVPQDPPGAPSSRTHLPQRRQKTHFTPTSTLRLSSSNISNWDAVDALHGRILFRDRLCSEFVVWSPIAGEIRRLPMPDRRWFGWSAALLCATAGCDHLDCGSGAFAVAFVGWDEINGVTYASVYSSEQLVWSEPISIEDRGVRVYGGHAAAQVGTTVYFQCVRDDSSKLLAYDMCKQELSFVSLPFVGRLNAWVTHGTARCQTLYVVEGSCSGGDAEWRQQRIYELDKMLPSWVRWFHYKLFAAPSRNDVLVIKVDGLLFTVDLKSGGVTEVLLDGRRADKDMIHVLPY >Et_2B_022718.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3646144:3647148:1 gene:Et_2B_022718 transcript:Et_2B_022718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSTTPPAAVNVFPTGGTTSGGQQQLAISNGVLLAAVIFLFMVVVFVFLLYLYAKRYLGANPLLVPGTPSSRFVFLDASPLASRGLPTAVLRALPVTVYSKTSSGAGGEGSPKGEALECAVCLSEVADGEKVRALPKCGHGFHVECIDMWFHSHDTCPLCRAPVGAAEEGGLPRVPREEPAIEFPIFPTNVLFWGTHDEVTNAGFAAPPPPPPIVAAAASSSSAASGRRKENLVIDIPSRPVAVNSPVTSSSSPTTPLPASRMAGNADDMRSPVSARLRSLRRLLSRGKQAVVGTSYSPRGAAATGGDIEQGFAGAEAARPPKTPKTPPSTN >Et_6A_046174.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7482474:7482572:-1 gene:Et_6A_046174 transcript:Et_6A_046174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QITSSCGALIKKHYYLLILERRRVYFCYRILD >Et_2A_017490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34912033:34912531:1 gene:Et_2A_017490 transcript:Et_2A_017490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTLRSVIMEWSTIVGQIFWGMNFVQTVRGGVWSNSPTFSIPTLTRLVALLPVPVPTPLSSHLLYLSPCMGHPSIKSSIDPQGSTTVGAKMSSSESESDGEYEWIEAGIPIPEASLHPPVHDDDDDDSAPLTTWPTWTTGAPTPPPAAPRRGPGTASR >Et_3A_023624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1068592:1074038:1 gene:Et_3A_023624 transcript:Et_3A_023624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLSPTPTWCFFLSTSPPQPPPPLPSPHPSRRLASSSGSLARLRLPLPQSVASAMRCRRPAPPPPAAAAGGEAAGTDADEKRDGTDLKTLATRFWKVAAPYWWSEDKTQARLRLAAVFTLTLATTGISVGFNFLGRDFYNALADKDQEKFTTQLLYYLGAFAGGIPFFVLRDYARETLSLRWRSWMTSYYMKRYFRNRTFYKIQSQSMIDNPDQRINDDLSAFTGTALGFSLTLFNAAVDLISFSNILYGIYPPLFIVLVVYSLGGTAISVFLGKNLVNLNFMQEKKEADFRYGLVRVRENAESIAFYGGEENELQLLLDRFRRAFDNLSELLIASRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILSDFSLIVFQFQSISAFSAVIDRLGEFDDLLDGNGSSQSSQQDIIDGINIIFKTSGPSVLSSNGSLTQSDPCVILEIRNLTLLTPRSGNILITDLTMELKDKDHLLVMGPSGSGKTSLLRALAGLWTSGTGDIIYHVRGSESKSSSDESSNKKSEGEELGEELLQSSRQRRDNGIFFVPQRPYMVLGTLRQQLLYPTWTENVRNSPNDDPQNTDTLPFLSEASTSDVVGMKPEVPSTSELIRVLEVVKLGYILPRFNGLDSMHDWASVLSLGEQQRLAFARLLLAKPILVLLDESTSALDEPNEAHLYSQIEAAGITYISIGHRKTLQKFHNKALFISKSDSPDINVPNWELKSIGQISAEESSPSPS >Et_10B_003066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16157119:16160090:-1 gene:Et_10B_003066 transcript:Et_10B_003066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRTVVYDAEAGDEHERQGTVWTATSHIVAAVVGSGVLALAWTVAQLGWVVGPLVLLGFSGVTYYTSALLADCYRYPDPVAGAVNREYIDAVRCYLDRKNVMLCGCAQYVNLWGTLVGYTITASTSMIAVRRVNCFHREGYGAGDCTTSGSTYMVVFGLFQLLLSQLPSLHNIAWLSVVAVATSLGYSFISLGLCTAKWASHGGAVRGTLAGVFGAGVAGPPRDKAFNVLLALGNMAFSYTFADVLIEIQDTLRSPPAENKTMKRASRYGLGITTVFYLLLGCTGYAAFGDDAPGNILTGMAFYEPFWLVDLANICVIVHLIMAYQVFAQPIFARMESYVACQWPDAKLINATYYVRLPWSSATVAVAPLKLVLRTILIMFTTLVAMLLPFFNAVLGLIGALGFWPLSVYFPVSMHVARLGIRRGEPRWWMLQAMSFVCLLISVAASVGSVQDIVHNLKAAAPFKTVT >Et_6A_046931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22484870:22491984:-1 gene:Et_6A_046931 transcript:Et_6A_046931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLKSASLGAMGSLLRKLDELSCSTYAATARRLRCDLGIISTNSEDPSIPMNYWIKDVRELSYDMEDCVDQFFLADEEHAKETWNSNLLGFMSRLDEVRERYDRYKLEYGRLSHPTTGLRHHSRTMYREPTPVSPVGMETQINQLRSKSNDENDLQLKVVSILRVQGVGKSTLALKVWRELEGQFERMAFVQTAKTPDMRIILKSILSQICQQQMPEACSVPNLIHDIRKHLQDKRYLIIIDDLWAVSVWDALSRSFPEGNRCSRIVTTATVDDVGLACCNYDPENIFQVEPLDADDSMCLFINRVFGSGKWCPQQFIDFSAEITRKCGGLPLAIICIATVVASQPQTIYHWEFVQKFLHQNLRTSSTFAEIIKQVLRLCYSSLPHCLKTCLLYLSAYPENYVFLKDDLVKQWIAEDFICTMEGTNLPTVALMDKIHRLALHFGRATYASIAENIGLSKVRSITFTGLFSCMPSLVEFKLVRVMILHLQGDVENTRFSLAEISNLCLLRYVQVRCRITVTLPDQVQCMKHLETLEINAVVAAVPSDVVDHPSLLYVQLGRKTKFRHLTLGPVSSGSARPLDGLNSTSTSPLQIFQAVLDPCIFPVLPESIQNLHQLRILEVAVRKLCMNDICLLGELPALTALYLNVLTPLARHIRLYRAMFPALKYFKFVCGVLLLDFKEKAMPKLQTLKIGFNAQRGGNYDHLLVGVQCLSNIQEISASIGASPGAEEQDRIAAVSAFNDAIRTHRRDLKFKVKRVHRFDEAYDLSKKQSLQKQCPFEQVPLDRPTWKLAFKSPPQLPIYTGKNIEGLSGYPLEIILVDADTRVPSALQQLELPIELVPLSGDFPPGYREDWDAEDFHNVIVKGRDGMGLPLAGDVRLTMRRGRPPVNNLQFTDKLPSRP >Et_7A_052462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8319112:8322831:1 gene:Et_7A_052462 transcript:Et_7A_052462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASGLGVKAAPFTYAAHALAAAAAVMVLVWCVHFRGGLALEAENKNLIFNVHPVLMLIGYIILGSEAIMIYKIFPNWNHDTAKLTHLILHAIATVLGAFGIYCAFKFHNDSGIANLYSLHSWLGIGTISLYGIQWVFGFVTFFFPGAAPSVRRGVLPWHALFGLFVYILALATAELGFLEKLTFLQSGGLDKYGAEAFLVNFTALVVVLFGAAVVVAAVAPAHVDDCEEPQAGLGVRATPFTYAAHALAAAAAVMVLVWCIHFRGGLALEAENKNLIFNVHPVLMLIGYIILGSEAIMIYKVFPDWDHDTAKLTHLILHGIATVLGAFGIYCAFKFHNESAIANMYSLHSWLGIGTICLYGVQWVFGFVTFFFPGAAPNVRRSVLPWHALFGLFVYVLTLATAELGFLEKLTFLQSGGLDKYGAEAFLVNCTALVVVLFGAAVVVAAIAPADVEEDRKGYDPLRED >Et_2B_021346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28987509:28988729:1 gene:Et_2B_021346 transcript:Et_2B_021346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISMSTVRYMICRFSKKPNGGMFGLLGLQVLLEYGRPGAARPPVTAALLVANSLVYLRLGPLDDILPMNCDVSFNPYRIIEGGQWSRLLSSPFVHLHEPHIFFNMTSVLWVGSDLEQSMGSARFAAMVAALLGLSQGITLLLCKGLYLLGDGTDYYVHHAVGFSGVLFAMKVVWAAWCPSDAMSVVLVMPVKYTTWAELFLTQATVPQTSFIGHLGGILAGYVYLWLNHLFGGSDPPTRRFAPRSTGGRHASARKTPPPGLWRCSSCACDNLLSTNICGTCSTAREDLAFTRRRLEPPSSRDAS >Et_6A_046070.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21607706:21607759:-1 gene:Et_6A_046070 transcript:Et_6A_046070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMATLTSLKFDPPIS >Et_4B_040042.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:8209183:8211765:1 gene:Et_4B_040042 transcript:Et_4B_040042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALLGTLLQLLVLSALFAAAHAFTPADTYFVLCGTSASATIGGRNFVGDASLPSSVLAAPQSAAANASASSSPVNGADEPELYRSARVFTAPSSYTFAIKRPGRHFVRLHFFPFRYPSGDLAADARFSVSVQGVAAIDDGVGHTPKNGTAAAREFSVNVAGDTLAIAFTPTPARGKVAFVNAIEVVSVPDDLIPEAATTVSPAGTYTGLSTQALETVHRVNMGVPKITPNNDTLWRTWVPDRAFLRGAPGSDLAVARDTPPSALQRTNGFATKEAAPDMVYATATELNGRSLSAGTMNLKLNVTWQFEAPPGSAYLLRLHFCDFISTAANVLAFNAYVGGAQVLREYEISKDTYGALAVPLYKDFVLSAKDAATGTITVSIGPSTLGNVDPDGFLNGLEIMRMIGSTGGGGSASRHGSKKLTTIGIVAGSVAGVAALMVLGLVALRTLLRRKKPKKKPSSTWAAFSASALGARSRSRSFGKSSSSGSLRHTVTLGQDVSPGAGYRFPFAALQEATRGFDEGMVIGVGGFGKVYKGALRDGTQVAVKRGDRWSKQGANEFRTEIEMLSRLRHRHLVSLIGYCDERGEMILVYEYMARGTLRGHLYGSELPPLSWKQRLEVCIGAARGLHYLHTGSAKAIIHRDVKSANILLDEDFMAKVADFGLSKTGPDLDKTHVSTAVKGSFGYLDPEYFRRQMLTEKSDVYSFGVVLLEVLCARTVIDPTLPREMVNLAEWATQRLDNGELDSIVDQRIAGTIRPDSLNKFADTAHKCLAEYGVERPTMGDVLWCLEYALQLQVASPDSSEPDSMGLLPATPARFQRNQSILSDGADAGVAANLGDLDGMSMRRVFSRMIKSEEGR >Et_7B_053510.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3905346:3905528:1 gene:Et_7B_053510 transcript:Et_7B_053510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRIRSLGDGHKRVNTRRNRPTKYSAMEHTVRLMQVRCGEQGLKGNKKSMCGSHCRTVF >Et_3A_027047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30863386:30866358:1 gene:Et_3A_027047 transcript:Et_3A_027047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNGRPLKRARVRVEARDFAGFPAVGDGGATGTFREAVRGFLAKHARLLPLPSIFSPAAAAAPPHLLIWRVSLTVGEEGEDGGGGRVELNVVEEDVLRSRSVYCDQCRVVGWSGHPVCGKRYHFIFENDSNQLSGCRRTCCLRCGTPMVAGESRCLLCNFDMEGEEFEECAYLHLDDSSHLLHAVVHANGYGHLLRVNGREGGSRFLTGHDIMSFWDRLCKVLHVRKVTVMDISKKHGMDYRLLHAVTNGHPWYGAWGYKFGAGSFALTSDTYQNAVDMLSSIHLALYFSRRSPIRTQLQNTIALYWTLADRQLVTLRDLFCFVMHLLHQAKKMSKPSTDKHKELASNVLCMWRKEDFDRAEAAMLKVLRAVQTGQWVSWRALRGAASKAVDSQELLDHSLRELGGKQLDDGHFVAVRCNAETSAIEYRLESSSIQPPTNATAFEPSIDHLLHDLRFLYDALLNPESMLSSQPEVVGKSSHSAAARILDCKQFIKHYDEHALQSPSNPFLLCVRCSVELLDHPKDYTTPPEELVLLPASATLADLKIQASRAFQETYLMFQSFQAEQLPDFLNFSDTTPVKHVLGPGQLVRVRGRCTGDYRRIVQFRMERGLENWTVDCSCGAKDDDGERMLACDMCGVWQHTRCSGISDFEEVPENFICRKCASPRKGKGRGGGGSNGGRMEVSAAGRCKDEMPIGGAGKFGRMATVG >Et_7A_052293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6648706:6650272:1 gene:Et_7A_052293 transcript:Et_7A_052293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVATQQKFRWGELEEEDGGGDLDFLLPPRVVIGPDADGIRKVIEYRFDDEGNKVKVTTTTRTRQLARARLSKSAVERRSWSKFGDAVKEDAGSRLTMVSTEEIALERPRAPGSKTEEPATSSDPLRVGQGGALMLCRTCGKKGEHWTSKCPFKDLPCVSVKEVPLQLVLIQMEQI >Et_4B_038359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28418549:28422833:-1 gene:Et_4B_038359 transcript:Et_4B_038359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGLLPSSLFAVTAIRATAPSTRANYRLPRRRHSPPGSRSASKMSAPHAFQVSETADPQPLLRPAAGGRPRLRVRTHAQGEGDAGRGATAEGDAAFAWAPVILPFLFPALGGLLFGYDIGATSGAAISLQSADLSGTDWFSLSSVQLGLVASGSLYGALGGSILAYRIADFLGRRIELVTAAGLYISGALVTGLAPNFIVLIIGRLLYGFGIGLAMHGAPLYIAETSPPQIRGTLISLKELFIVLGILFGYLIGSLEIDNVGGWRYMFGCGAPIAALMAIGMWTLPPSPKWLLLRAVQGKGPVEDNKKKAMQALRKLRGRSASDKVLADDIDDTIVSIKAAYAEQASEGNIFKIFEGPSLKAFIIGGGLVLFQQITGQPSVLYYATPILQTAGFTAASDAARVSILIGLFKLLMTGVAVLKVDDLGRRPLLIGGVSGIAFSLILLAAYYKILNNYPFVAVGALLLYVGSYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLQEILGPANIFFLFGAIALFALVFVILNVPETKGLSLEEIESKILK >Et_2B_020554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21336937:21339659:1 gene:Et_2B_020554 transcript:Et_2B_020554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAALRLLCSPQFWRMAVLWTVSLLYSYLLVFLRGRTAAPRHRRQRPADGRRPVCVVTGATSGLGKAAAAALAREGFHVVLAGRSAHLLFETVQEIRTQQPYACLEAFQLDLASYKSIRKFGTSLKQWLQETYSEPAIQLLINNAGILAHLHRVTEDGIDETIQTNYIGPFVLTNTLLPLLKNSRTPSRVVNLTSFTHRCVSYIDVSENALRGMKPGQCSTAKSYPFASTYEYTKFCLLLFSYELHRQMHISSAVSVMAADPGVVETKIMRELPPWLSWFAFYVLRILNLLQQPDTGVGAVLDAALAPPEASGKYFFGGKGRTIRSSRLSYDMQLGKKLWAESSALFKELQLKHVILVN >Et_4A_033356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21914834:21918578:1 gene:Et_4A_033356 transcript:Et_4A_033356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICGEHGIDASGQYTGGSGPQQLERINVYYNEAGGGRYVPRAVLMDLEPGTMDSLRSGPIGGIFRPDNFVYGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVEMFRRVSEQFTAMFRRKAFLHWYTSEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEYDDEEAAGDEENA >Et_5A_040546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7489418:7489669:1 gene:Et_5A_040546 transcript:Et_5A_040546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEERREEECRKMRQKKEERRREYEAERKAREAERERMRERARRARAAGPDAFRKEKYPRCTQ >Et_8B_060244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7951296:7953185:1 gene:Et_8B_060244 transcript:Et_8B_060244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQSVVLPPPPTAAPLLPYPPPRALPPPPGVAASAMRGNKYLQAQMEQHLAKAAPGRKINGLLESMRASSPTHAKAAAALAEERAAWMAKHPSALAKFEQVVAASKGKQIVVFLDYDGTLSPIVDDPDAAYMSDTMRRAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKASRHTKAKAKRVLFQPASEFLPMIEQVHESLIEKTKCIPGAKVENNKFCVSVHFRCVDEKSWGTLADLVRSVLKDYPKLKLTQGRMVFEVRPTIKWDKGKALEFLLESLGFADCADVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKYPKDTHASYSLQEPAEASDGVPAAARRVGAPHQGPPQVVM >Et_3A_027374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9095211:9096755:1 gene:Et_3A_027374 transcript:Et_3A_027374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDLAAIQQQQQVGAEENMSNLTSASGDQASVSSHPLPPPAKKKRSLPGNPDPDAEVIALSPKTLMATNRYVCEVCGKGFQRDQNLQLHRRGHNLPWKLKQRNPKEALRKKVYVCPEAGCVHHDPARALGDLTGIKKHFSRKHGEKRWRCERCGKRYAVQSDWKAHAKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAVAVAVAGGAVAVAGAGQHQHAAGTTVLFPQLPAAMDQPPPMGGMSLQEMCLKREQFAAAPTWLTPPPQYHQHPVELVGGNAAAVFGAARPPLDQQQEYVGSSTPPESNSQPAGLTFGSTFSPSSSATAHMSATALLQKAAQMGSTLSRPSNQGQMAPTHSTATTNVATAGSAPVPATSNVTTSSGLKFGPAPHHFGSVDNERADRRGETAGNNVTGGGNDGLTRDFLGLRAFSHGDILSMAGFDPCMSSASSAAAAAYEQHGHHHQSGKPWHA >Et_4A_033807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27000777:27003655:1 gene:Et_4A_033807 transcript:Et_4A_033807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHKLTLVLLFCLVASGRAEYAKYKDPKQPVSVRVKDLLSRMTLAEKIGQMTQIERENATADVLAKYFIGSVLSGGGSVPAPQASAEAWAAMVNGMQKGALSTRLGIPIIYGIDAVHGHNNVYKATIFPHNVGLGATRDPMLVKRIGEATALEVRATGIPYVFAPCVAVCRDPRWGRCYESYSEDPKVVQSLTSLISGLQGDVPANSVGRPYVGGSKKVAACAKHYVGDGGTYMGINENNTIIDQHGLMTIHMPPYYNAIIRGVSTVMVSYSSWNGVKMHANHFLVTDFLKNKLKFRGFVISDWEGIDRITTPPHANYSYSIEAGIGAGIDMIMVPFRYTEFIDDLTTQVNNKIIPMSRIDDAVYRILRVKFTMGLFENPYADPSLAGELGKQEHRELAREAVRKSLVLLKNGKSAYSPLLPLPKKAGKILVAGSHADNLGFQCGGWTITWQGQGGNDNVTAGTTILSGIKATVDPSTQVVYSESPDATVLGDRYDYAIVVVGEPPYAETFGDNLNLTIPAPGPSVIQSVCKATKCVVVLISGRPLVVEPYIGAMDAFVAAWLPGTEGQGVADVLFGDYGFSGKLSRTWFKSVDQLPMNVGDKHYDPLFPFGFGLTTQAKK >Et_3B_030820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7756058:7760553:1 gene:Et_3B_030820 transcript:Et_3B_030820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGSSSPPPPACAACMDRRRKCEPGCVLAPYFPPEHWERLRRRNVANLLAELPLEQRAHAAGTLIFEARAAIKYPVYRCVSYIVVLQQMLKQKQAQLAAVHEELAGYAAAAAGTQPSGGAGSAPSPATQTGAVPMRTGGGPEAKEAGVVVGTGSGSGVQRGQGGHAHPHPHPQRAEEAREAATAARAARKQVMKERRDAAAQQQDRQVRHRAGTGPAVLPEPRVHGPSSRPDGRVQEPPRHQTAQEMADEVARVQHLLAVQVQAEEEFARQQDERMRQALAAARERNYPHQAQAGQHQQAMGFGAAPGQMALQAMSGNVSGATNMAASLPGGSADAGTFVVQHQPQQPQQPVNALPPPLADLPRQQRGDGGADDQFSSDPNRPPDARAMSSSSSEVTPCVACKHRRAKCEPSCVLAPYFPPGHGAQFAAVHKAYGASNVSKLLAELPRADRARAVETLVYEAEAYKEDPVYGCVAYIIALSQQLHESHGRLAAVRGELARYVGAAAASQPLAVAAGAAVPSLAPQAGGGVWPGGGGPEANEAGVGTGSGVQVVQRGQGHPPQPQPNKQTMQQRAEARDAAMVARVARMQAMSEQRAAPARQQGRQVRHRAVAQHGAGTGPVLPDQRVHQPTGQQRLAVLNGRVHEPPRQQTAQQMAEEVAWAQHLLAVQVQAEEEVARQQHERMRRALERRN >Et_10A_002043.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20275807:20277903:1 gene:Et_10A_002043 transcript:Et_10A_002043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSQAPYKSSSFSPATTRDDGGGRAREAVDRNLSLGALRDRPWDAAAAGGGAHREQSIREEDEEEEDGGHGGEGKPDLAALSAEVDAFLAGRDGGGGDVSGIAEATLERFAAAVEREIARSEGKDQQDKWAPGADGEPPALLAAITRIAALASALGKTTDQGSGKYTAAAHRVTAVTHRAMAFLEDEFHALLEDPRVPKPASDQGAHEHDRCVLPPSTAAASSDSGAGDAAPPSPPYPAETVDRLRLMADAMINAGYATECTQMFLVARRNAFEASLRGLGYENRSIDEVGLMAWEALEAEAVSWIKAFRHAANVGLSTEHDLCARVFAGPRHAAAGRAIFADLARCVMLHMLSFTEAVAMTKRATEKLFKVLDMYEAVRDASPVIDAFLLSAAASAGDGDTSSSNSSSALTELKSELAAVRSRLCETAAAIFRELESSIRADAGKQPVPGGAVHPLTRYVMNYVKFACAYNNTLEQVFREHNTHHNNSNSNSNSDNANQNPFAAQLMEVMELLHENLESKSRLYRDPSLSSIFLMNNGRYMLQKIRGSPEINAMLGEAWARKQSTSLRQYHKNYQRETWSRVLALLRDDGVITVKGHVQKPVLKERFKQFNAAMDEIQRTQGAWVVSDEQLQSELRVSIAAVVVPAYRSFLGRFAQHFSAGRQTEKYVKLSADDVETLIDELFDGNATSMARRRT >Et_8B_059769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2564213:2567686:-1 gene:Et_8B_059769 transcript:Et_8B_059769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPISNGNLPPGFDPSTCRSVYVGNVNPNVTESLLIEVFQSAGLVERCKLIRKEKSSFGFVDYYDRRSAALAIMTLHGRHIYGQAIKVNWAYASTQREDTSVMLESCGTTKLDVPEVMALSPSEAENAITEMTGKWLGSRQIRCNWATKNNSEEKQETDNHNAVQRTVVRMEEVNRDELHRHFFNLGVGAIEEIRVQQDKGFGFVRYSTHGEAALAIQMGNGLVVRGKPIKCSWGNKPTPPGTASKPLPPPVAAPYQPAVAMPGVPQGFTAAELLAYQRQLALSQAAAGQIAGQHGLAGQVSAGLLAAGSQALYDGYPNQSSAQQLMYYN >Et_2A_016105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21001823:21002844:-1 gene:Et_2A_016105 transcript:Et_2A_016105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPRGGSPSLLPMASPDDDSYGGVGMDADGDAEEEMMACGGGGVGCGEKKRRLSAEQVSALERSFEVENKLEPERKTRLARDLGLQPRQVAVWFQNRRARWKTKQLERDYAALRHSFDALRHDHDALRQDKDALLAEIKELKAKMGDEEAAASFTSVKEEPAASDGPPPAGVGSSESDSSAVLNDADAVGATPLTQAPLSEIQGALLDSPTAVASTAVAAARHGEVFFHGSFLKVEEDETGFLDDDEPCGGFFAVEQPPPMAWWTEPTEHWN >Et_10B_003209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17699922:17703927:1 gene:Et_10B_003209 transcript:Et_10B_003209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGAAEARAMLQRHQPFAPSPGEYHHFGAPGAGAEDMVEAVIFRTPLKRKHNREENGAAEQNDWMISPGYANAAGSPIPTPPSGKGLKTSVKPKTIKGQKSCPQTPLSFGSPGNPPTPVGGCRYDSSLGLLTKKFLNLLKGTPGGMIDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGIDDSRPGEVSDDMSILQADIEALTMQEHNLDERINEMRDKLRELTEDENNQRWLYVTEDDIKSLPCFQNQTLIAIKAPHGTTLEVPDPDEVNDYPQRRYRIVLRSTMGPIDVYLVSQFEEMSGMETPPRPTQTINMDSLENPRTPLAAEPNKAATVESNIQEGFTLPPDAPSSSQDVGGMMKIVPSELDTDADYWLLSDTGVSITDMWKTAPEVEWDGIDKFNAEDFLEVSTPRQQDKPADVVDPPSCIS >Et_1B_012362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31131111:31132812:-1 gene:Et_1B_012362 transcript:Et_1B_012362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IQAPNSQRYGVKDFLIQVKRLDITDITALHCPPVIPPVLLVYLAHFCFRGGTIPASSSLETLGFKQNTCQKGDIQAFTNKVDQILKSRPGAAVKTLELDVFWCRNLDPCFLDNWLQIGVTAGIENVTLNLPIRYKEEYIFPCSLLFGGNGGSIQHLRLNQCGLRPTNHLCCLRNLTELYLCDDAFSPALMELELKLCSEIICLKIPCMLERLRCLTVIDCSMLQMIENKAPNLSTINLEGDIEQLSLGQSMQVKILDMDCSSESNFLSYAITKLPYIVPNVEALTLVSISEMVNTPMVAATFIHLKFLEVFLDGDLSRGYDYLSLVSFFYSSPVLQTFSLSVHQREMNFESVLDDDTHMRQMPERKHRSLKNVTIFGFCSAKSMVELTCHILENATSLGCITLDSVFDEDDEDDMGRCSVNSDRKAGECCKLSNEIIMEANKGLMAIERYIVGKVPSAVKLEVRGTCSRCHTLDL >Et_9B_066120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4220651:4221353:1 gene:Et_9B_066120 transcript:Et_9B_066120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAFDEFGRPFILPRAAAAAAAAPAPSDPGPSRSFRSDQSGAPCSAKAAAMKLAFDEFGRPCIIRHEQQEKRSSPRGLNAAQKANNAVAEAVALARIIVQASLEPKGYKDKMLQSPDGDVIIKNDGATVLEHMDVNNNLMTAKLIEEMYRGEDIKIVDGDGVDDIGADVDVDGGGAEEAPTVGGDDEAVVATAPE >Et_5A_041429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22397944:22403758:1 gene:Et_5A_041429 transcript:Et_5A_041429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVVSVWEGAMGILLGKLGVLLTDEYKLLAGDKSEIIFLKSELESMHAFLKNMSDAEELNEQAKCWAKEVRELSYDIEDRVDEFMLCVEHESSSSPLHGFKGLIDRCLSLLATIKTHYQIAKELHVLKRRAVEVSERRTRYKIDDALSKPNYMAEDLRLLAIYAETSGLVGIDEPIGKLIQLMLEDKGMSEQQLKVVSIVGFGGLGKTTLANVIYRKVHGVFQCRAFVSVSQKPNVKKILRNILHQVGYVPPMHTNVETWDPYLYLIIVDDIWDVSPWHIVRCALPECTNGSRVITTTRIEAVAKACCASHCEYVYKMKPLSDQDSRMLFFKRIFGLKNVCPPCLEEVSTQILKKCSGLPLAIITISSLLASQPYKRQEEWQFILNSLGSNCELNPSLDGMRQILNLSFINLPHYLKTCALFGNLS >Et_3A_025674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31885104:31886779:1 gene:Et_3A_025674 transcript:Et_3A_025674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMSSVTRRLHAAASSQTPRLTKLALHPPKSVEVAFADGSSFHLSAEFLRVYSPAADSKIRSVGGEKVIFGRRHVGIMSAESVGNYGVRILFDDLHKTGIFTWDYLHHLGSNKFSLMRNYIRTLRKHGLSRDPHRRK >Et_7B_054764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4143800:4145087:1 gene:Et_7B_054764 transcript:Et_7B_054764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAAAASSHVLRFSSTTPRLAPLRRRLPSVRCSIAATPSARAPPELVESILSKVKGTDRGVLLPKDGHQEVADVALQLGKYCIDEPVKSPLIFGEWEVVYCSVPTSPGGLYRTPLGRLIFKTDEMVQVVEAPDIVRNKVSFSVFGLEGAVSLKGKLNVLDSKWIQVIFEAPELKVGSLGFQYGGESEVKLEITYVDEKIRLGKGSRGSLFVFLRRG >Et_1B_010651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12570330:12574325:-1 gene:Et_1B_010651 transcript:Et_1B_010651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANASASCSYSSSSPYRTLGGAASLHHSHARPCVSSRFSPPPQPHVLLWRRPRRVALTTFCSAPSLPRVGRVGWPRREGNAWLLSFHAETAALATAAGDPSQALSALLPLVVVATAVAALGNPATFSWVSKEYYAPALGGIMLSIGIKLSFDDFALAFKRPVPLSIGYAAQYVLKPLLGVLIARVFRMPSAFFAGFILTCCVSGAQLSSYASFLSKGDVALSILLTSCSTISSVVVTPVLTGLLIGSVVPVDGIAMAKSILQVVLVPVTLGLFLNTYAKGVVNVIQPVMPFVAMEQDPLFGRILFTPPHSYWISKLPQWRQEEPVCRTISVCTGMQSSTLAGLLATQFLGISQAVPASCSVVIMAIFGLTLASYWGSGSRIRDGVAGFFPQASEREYVSTKVYVMDSSHMVSCNVLVVH >Et_1A_006929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28993158:28997424:-1 gene:Et_1A_006929 transcript:Et_1A_006929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGFARIHPRRIPFLGYAVEFSFVNLRVIVWKQYSSELCSILRHSLRPAGVKEQPLIMASSRFWTQGDSDSEEEEEEELESEQGSDSDDNGDHDDEKRSKVQNRYLRTQDDDSDESDSGHRVVRSLRDKRNEEMKSIVDQLRNAMKINDWVSLQESFEKLNKHLEKVARVNESTEVPRMYIKALVLLEDFLAEAHANKEAKRKMSSSNSKAFNAMKQKLKKNNKQYEDQIQNCREHPERFEEDDADGKDADEDEEEDEDSDAEIEDPEKIAADSDEEKSDEDVPWIRKLTKKDKLMDKQLLKDPSEITWDIVDKKLKEIVASRGKKGTGRIERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVNVWKKCVDNMLLVLDILQQYPNIVADTAVEPDEKETQKGADYNGTIHVTGDLVAFLERLDSEFFKTLQCTDPYTKDYVLRLRDEPLFLVVAQNVQDYLERVGNLKAAAKVALRRVELVYYKPQEVYDAMRKLAEQTEDYGEDGDAEAGDEHQIVDDNSGPPPFVVIPDNVPRKPTFPDSGRAMMDGLMSIIYKYGDERTKARAMLCDIYHHAISDEFAVARDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLCAFKTGLITEAHGCLTELYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEATHLICAMLIEVPYMAASTYDKRRPMSKTFRRLLEVSERQTFVGRPENVRDHVMAATRALNKGDYQKAFNVISSLEIWKLLRNKEHVLEMLKLKIKEEALRTYLFSYSSCYESLSLGQLTTMFDLSDSHVHSVVSKMMIQEELHASWDQPTTCIVFHSVDQTRLQGLLFQMADKLSVLVESNERAYEARTGGTLEGVPPRRRGDGQDSSNLGKWQENFVSSQGRQGGNRFGYSGGRGGGSGQGGGHQRNDRGSQGSRGGYGGSRFQDGRGRNQSGSSSRGGDGVARMVSLNRAVRV >Et_9A_061435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11846560:11849981:-1 gene:Et_9A_061435 transcript:Et_9A_061435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGRGAMDTPGPRRRCIKKAIETQTLDGMKDKNRTTANQNHICLSKENYPTMNRIQDKMINANHIASKAEQTNPSLTNNQDISTNSIRNQTSITKQKYPIVTRIQDKMINANHIASKAEQTNPSLTNNQDISTNSIRNQTSITKQKYPIVTRIQDASITNIHDTQKIVYQLDEFDSWNNKQHIKVTKESTGWVAGQVSSSHSDYMNNQVVMSQGESNTVMDTEVEQNYQQTELLNQPHIDSFTDLLNTPMDYDLFETHVNEEDTRNNDDVEASNEGGANNEEQITEEDIAMFRHNESIN >Et_10A_001304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23107408:23116847:-1 gene:Et_10A_001304 transcript:Et_10A_001304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGAARLTAERPVHLERVDDIGDGSGGGGDGDDEAAEAERLEADPLDASSWNLALLDVHLDGEVDGERPERDGAEEPHHVAEEGQQHGDDGGEAHERRRPRQPEHAEREGAHPELLGDEGAVRPGGVGPALDEREDGLAEDLVRADEVNDDGHGGDVEEPEGLVEAETGEEVVRRVVAERGVPHATAQHVEDGSSPSSSAGSISLLLLVVSLCSVTVAKQQYHEFVIQEAAVTRLCNSRKIMTVNGQFPGPALEVNEGDCLAVKVTNKGAYNVTVHWHGVRQMRTGWSDGPEFVTQCPIRPGGSYTYRHAHSSWLRATVHGALIIRPRGKVPYPFNNGKPPAREIPIILGEWWDMNPIDVVRIATRTGAAPNISDAITVNGQPGDLYKCSSKDTTTFPVKSGETNLLRFINAAMNMELFVSIAGHTMTVVGADASYTKPYATSVLMIAPGQTTDVLVTFDQPAPAERFYLAARAYASAQGVPFDNTTTTAIFDYGNGAASTPAMPTLPAYNDTATATAAAGPTTRGFTASINISFVLPSTVSILQEHYHGGATPDAVFTADFPANPPVQFDYTAQNVSHALWQPEPGTKVVLQGTNIFAAENHPIHIHGYDFYILSEGFGNFDAATDTAKFNLDDPPMRNTVGVPVNGWTVIRFIADNPGVWLMHCHLDVHITWGLAMAFLVEDGVGNVWTALVVEEDRSTRRRRKARCRPS >Et_4B_037917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24849334:24850759:1 gene:Et_4B_037917 transcript:Et_4B_037917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLQVLASSSLSATVLRRFRMPRLGDPDANPFHKPASELLFLYAVTVTRRSKLFFQGLRIWATTIGFGGFFINCIYLVLDTIDSPGARRWRHGEP >Et_9B_065095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21162440:21163284:1 gene:Et_9B_065095 transcript:Et_9B_065095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSFPVVAQRNALLPQLSAFLVSPPAAAPQQQGQQQTCSSNAAVPLADAGGVSCPPLVDWTSVILPSASGLQAGTPPQQTMAEAHVAPGAGEDESGGGSSSKETAKKGGGRRKKKASRPRFAFQTKSENDILDDGYRWRKYGQKAVKNSAFPRSYYRCTHHTCNVKKQVQRLAKDTSIVVTTYEGVHNHPCEKLMEALAPILRQLQFLSQFH >Et_6B_049822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1479748:1484719:1 gene:Et_6B_049822 transcript:Et_6B_049822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVRRLVRLRSGVGGGKYTQQRSINRIRTALLAAFITFLVVRATIGINRLAYSGAVSVVSDRASATKLAEDIERVLRAEDSDDDANDATHPSSTTASEYHHAGASWSTDYRLGPRVERWNAKRRRWLHQNPGFPSRDARGNERVLLVTSSSPRSGGGPCATALGDHLLLRASKNKLDYCRLHGIDLALHDTAPPRPDEELTGPSWSKLPLLRRLMLAHPETEWLWWLDADAAVITDMGFDLPLDRYVGINLVVHGHQDRLFDRRSWAAVSTASFLLRNCQWSLDLLDAWATMGPKGRVRQDAGKLLTATLTGRPEAEADDQSALVHLLLTEKDLWIERVYIDNEYHLREHWTKLVDKYEENIAKHHPGYGDDRWPFVTHFVGCNPCGAGGGSTSPTTTRSSTKKEEYAAERCVRGMERAFNFADNQVLRLYGFEHPSLLASAEVRRVANRSADPLQAKEEAIAYLKKPKDPPGPKKKSTTREERLERKRLAKIIGLSLPGERYVLGQAAVVGLRGPRRSVTHKSAHVSEIPKRFHSSSAYQLPPPNHSAPSQGAVSPSPPPPPAGTFRGASATKACQPPPLPSCTTKGFQAKEPYLCITAMADSLQERVS >Et_8B_060226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7730998:7735525:1 gene:Et_8B_060226 transcript:Et_8B_060226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LIFDYAQTGTYALGAEIIQIISVCVVHFTRFAVTASERLIHVHLQEKIGYEDSDFSRILFKDYWNVIKDREHLTLIDLQEAGGLLDRDINGTHEEDAEKFPDGDHKSDEDFLGDSELFPLDPKSKPDTMKRKRSKRKTYVGWGTEELIEFLSSFGKDTKEPLDEAEVVGVVKEYIGQKDLFLGDKKKYFHCDDKLHPLFMRKVMCNMLRSKLRTHLAANADSEDEDDDDSEDDNGPVLKKKLLTDIEPKIAMRISERNKRCFASLNQHNINLLYLRRSLVITLLSRSNTFEQKVVGCFVRVKHNVRSHLYQQATEPFQLGLVTGIKKSKEMYKVKDTCTNIFLCVAGFLDDVEIPVLSDENIEEDECNDLIRLVEKGLMKRDTVRIDKEVQRLEREIDRALDQKKLLSTPAGRLRFAVVPEIIADVEDERETEVRIAASNSCLVNRDTLMA >Et_1A_006875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28514443:28517596:1 gene:Et_1A_006875 transcript:Et_1A_006875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AELTWAAWSGARAAPSWPCQFNHHQPLRFNASTINSLSLAIVRSPSTARVLYHYLLPSPGVVLRALLLLLLLLPEERGVGARTYVGTKAEMAAARSALACAGRAANEAVSFVVFMVLDVLELLLCVVYKVADYLLEGAWRPCYCSRSSSAPAAATGKIVVSERGGSKVVSMLSATRLHLEDISDTLYTRPSLLACAAAAASSASSSPRLGAVKAAPASGSTTAVTVHSAIVQMLRGKVGVDGGGKHRPYPSPRWSDCHCANCNPADTDRLFVHVEAPPDGAAAEEDVLFIHGFISSSGFWTETVLPNVSRDARARRRLFAVDLLGFGRSPKPADSLYTLREHVEMIERSVIDRHGVRSFHIVAHSLGSILALALAVKYPAAVKSLTLVAPPYFPVPRGEVGTQYVLRTVAPRRVWPTIAFGASVACWYEHLSRTVSIVLCKHHRLWELAFRVFTLYRVRTYLMDGFFCHTHIASWHTLHNIICGSAGKIDECLEIVRDQLTCDVTVYHGRDDELLPVQCSYAVKSKIPRAEVKVIDGKDHVTIVVGRQKDLARELEEIWDRKRPSNT >Et_5A_042216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7645556:7650238:1 gene:Et_5A_042216 transcript:Et_5A_042216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKELGEAGARSRRSMAPSSPHQLLFLLCAFAFAWLCSAAAAADTDTLRLGDQLSPGTTLVSSPSGVFELGFYAPDPKQPSRLYLCIWYRGISPRTVVWVANRAAPATSASPSLALTDRGELRVLDGPANGTAAVLWSSNTTASRANYTVVIDDSGSLKRRRRRRRAVGQLRAPDGHHAARDENQLKGPKEQMLFTSWASATDPSPGQYALGLDPNGSGQAYIWKDGNAKFWRSGQWNGVNFIGIPWRPLYMSGFKPAIDSLLGGTYYSYTATNTSLQRFVVLPNGIDICYMMQKSSQEWETVWLQPSNDCEHYATCGANAKCTAAQDGKAQCNCLKGFQPKNLNQWNARDWSQGCMRKQPLGCQVNQSGDGFLKIGNIKWPDFSYWVDTVSDETGCRSACLNNCSCGAYVYTTYTGCMAWGSELIDLYELPSGAYTLYVKLPASELPKHHPVWKIATIVSAVVLFILLACLLLWWKRGRNIKGAISRSWRSSARSQQNSAMLDISQSIRFDDDVEDGKSHEVKVYSLDRIKAATCNFSDSNKLGAGGFGPVYMGKLSGGEEVAVKRLSRNSGQGLEEFKNEVILIAKLQHRNLVRLLGCCIQGEEKILVYEYMPNKSLDAFLFSPEKQRLLDWRIRFDIIEGIARGLLYLHRDSRLRVVHRDLKASNILLDADMNPKISDFGMARMFGGDDNQFNTNRVAWRQWNEDKAADLIDPSMRATCTVRQVLRCIHIALLCVQDHADERPDIPTVILYLSSDSLNLPNPRPPTLMLRGRDPETSKSSENDQSHSVGTVSMTQIYGR >Et_10A_002072.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21323262:21325109:-1 gene:Et_10A_002072 transcript:Et_10A_002072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGAALVGLTGAFSVGCSRSIKTSVYVMALVLAVLFYIGIQILVFLCKPVEDFLHVVQEKMRKFLRLDNLNPENNHHGEASSQQGSSSEAHQLLQKSRMYLLLLGILAAGVTYQAGLNPPGGLWQSNGSAGPHHYLAGDPVLHITYSRRYLVFFYCNATAFVASLVILILLLSNIFSTQGIKYCALQIAMILVLFGMIGAYAAESCRQVFKSVYISVLVVPVFLYVSIHVLVFMLEVSPACAAWREMVMEMLDRRVPKWLKDLLQLQTIEEDENMEWKLEKSRKLLLLLAILAASLTYQAGMSPPGGFWQENASGHIGDPVLNDNYRRRYMAFFYCNATAFVASSAIIMLLVNMKLSARGIQSYALRVCVILVLMGLMGAFAAGSCTKVSTSIYVFVLVLAVLLCIAIQVAMVVSQSVGRLVQKILPFGILQEEASDLLPHKTDNNVKPDLWDKLPKDLLLLAALAAAVTYQAAMNPPGGLWGDDQSSHTPGDPVLRSSYPRRYKVFFYCNATSFMASLVIMVLLLIKRVSKARPALLALHAAMILALFGLMGVYAAGSSRRVRTSAYILALVIGVSAYIVVLVIVSIGVAKWLKGVMNHMAERVAMCFSVNDL >Et_5A_041209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19445219:19461977:1 gene:Et_5A_041209 transcript:Et_5A_041209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPASTSSWFSGLARASSSSMAGGVASTPASASFPDAPAAAAKAVVAAAGGKRKQLQGTLFKYGPKSAQDALELDQLISYLINKENSEGVILLGHSTGCQDIVHYMKTNFACSKAVSGVILQAPVSDREYRATLPETAEMIDLAAKMISEGRGMELMPREANPDAPITAYRFHSLCSYMGDDDMFSSDLSEDQLRQRVGHMSTTQCQVIFSMGDEYVPEYVDKEALVDRLCRALGGAEKVEIEWGNHALSNRVQEAVRAILDFVKREGHKGWDDPCPEKVAPLYCDCGGRRRRSEHGHVQLGQIVGRDAAVVAVEERAHGVDARRGDEPPPRLVGVGGAAPPEHLRGVHAHDAPHLRQPLYLGALPAREQAGVRLDLRNGGGQQPGKLLLLLVVVAGGRDAARRRTPLMPPAQVRVELRHADGAPDAAGVVCARRVERRSDLADEPAREHVVDAAGHVVVEHGHLHVHPQEAALERLPERAAVDELLDERVGSAGDDGDAAARRDGARRAGGLAPEVGGGELLIGPRHAEQVVRHAGALRRRHLVGGHVKAAVQLDLVGVDHLAAEREGQVHGEPGLASARGAHHHHGLVLGAQLPIRAADNQRRRHVKCHVALEPPYVDGRRRSEPREGGDGGRWRQ >Et_5A_041891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3936213:3937915:-1 gene:Et_5A_041891 transcript:Et_5A_041891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GHPLRRHSVHPSLREDRNSVLGLGGCGELEEVGSGLDFFDAAGAMFPVDTDEVVRELMEKEMDHLPQAGYAERLEQGGLEASWRKDGMDWICKAHSYYGFGPLSLYLAVNYLDRFISSYNLPHDKPWTQQLLSVACLSVAVKMEETVVPLLEDLQVCDPKPLFDAKLIGRMEVHMMKALSWRMQAVTPFAFISYFLDKFNGGKPPSFALASRCAEIIVGTMKGSAFLSLRPSEIAAAAALAAVSENQGVGLGDLLATFEIPVNKEMVVRCYELMQGQALVKRGHHGSPSVPQSPIGVLDAACFNFRSDDATLGSSQSNNEASIPASKRRKLRISPI >Et_1A_005321.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:40297778:40297849:-1 gene:Et_1A_005321 transcript:Et_1A_005321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLDFTVVMKNPLRARIVPRT >Et_6B_048514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10040705:10041634:-1 gene:Et_6B_048514 transcript:Et_6B_048514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTYRSVSLVLLSAATCFLAATPTSAVLENRTGQVTVFWGRHKDEGSLREACGSGTYTAAIISFLNVDAARGRYDLDLSGHPLAGVGDDIKQYCQHVGVPVSLSIFIAGNNDNSLPTNKQSAALRLVDHLRNAYLGGDGGKMKAVPRRPFGDARLDGIDFFLTHGTPLVGQILRRRSQAHRRRAAGKKPLQLTATPRCDFRRSLAAGIFERIHVRGYADEDDVSCDGWWDYWTAAYPSSRIFMGLAASPEAAKVGYVYPKSLYYDFLPEVQTSANYGGVMLWDRFYDKASNYSSYVKRWA >Et_3B_030951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9348877:9353617:1 gene:Et_3B_030951 transcript:Et_3B_030951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRAASHLLRATASATGAPRRLPPAAPSLPLSPRIASSSYYYATQAAAASAAPKAARPLRTVGSLLRLNDLRDNPGATKQKTRKGRGIGSGKGKTAGRGHKGQKARGKAMFGFEGGQTPLRRRLPRRGFKNKFSMTFQETGAIGKQIKDGIRLMGRGAEEIKWPIHLEVSRATARAKAAVEAAGGTVRLVYYNKLGFRALLKPEWFEKKGRLLPKAARPPPKQRDKVDSIGRLPAPTKPLPFTPEELDFAAKREAARVTVELVLCYRPHTSTLRVSAAPTYIPSMAKQ >Et_10A_001283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22937313:22946356:-1 gene:Et_10A_001283 transcript:Et_10A_001283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMASRLVHSSSSASPSAPLPNHRQSHITDDLPVANGPEPRNGLDADEEKPAPVAYLPQLVVLLEQRHEGLDEAAAAAAWPSTSGIVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALENIGKTLHSQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYAKSERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITELDSWLKTPSIYVFDCSAAGIIVKAFLERLDWSSSSSASSLKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWFCNRSLLRGSLDPSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPYLPPTHQHHMWDAWDMAAEICLSKLPQLVTDPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAIELRQILVFIWTKILSLDKSCQVDLVKDVGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRKGQEACINAGLIDVCLRHLQPENPHDGQTEPLLLQWLCLCLGKLWEDFPEAQLLGLQSNAPDIVTLLLSEPQPEVRASAVFALGNLLDMGSSSLSGVDDDPDDDEKVRAEISVVRSLLQVASDGSPLVRSEVATALTRFALGHNKHLKSVAAEYWKPQASSLLKSLPSLANINNPTNVYIPSNILQGSSGLASHIGPVLRVGSDSSGAGRDGRISTSSPMATSSILHGSPQSDDSSQHSDSGILLRENVTNGGLSHNRSMPADSAIYSQFISTMCSVAKDPYPRIATIGRRALSLIGVEQVVMKHKRINSGGAHQGETSAPPSNFGMARSSSWFDMNSGSFSMAFRTPPVSPPQHDYLTGLRRVCSMEFRPHLMNSPEGLADPLLSSVAAPSNADLSIAPQSIIYNWSCGHFARPLLTGSDDNEEATARREDRERTALDCISRCQRSSCKMTSQIASWDTRFELGTKAALLMPFSPLVVAADEHEQIRVWNYDDALPVNAFENHKLSDRGLSKLLLINELDESLLLAASSDGNVRIWKNFTQKGGQKLVTAFSSVQGHRAAGRSVVIDWQQQSGYLYASGDMSSILVWDLDKEQLLSTIQSSASSAISALSASQVRPGQFAAGFADASVRIFDVRAPDRIVYTARPHAPRTEKVVGIGFQPGFDPHKIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPVVASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHPYKSLLAAGAGDNALVSIYAEENYK >Et_5A_041221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19684840:19687015:1 gene:Et_5A_041221 transcript:Et_5A_041221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDKPSTAASPDPTAAAGEGKEEEAATTAEKEVLLRVPDAQVHLVDRSRSHPLAAGDLFLVRVRSSAGGGASLAAFALCPLARDVAAVKLDATHYSFTLALPGDTETDAKPLLHYGLTLSRPDLRLDTLLAAHTTFKVRSVVGEGDGEAESAAAYWTAVAPNVEDYGAAVPRGTWRTAYSGDGGEAPVGAPRHPKEDLARRRRRRGKPRDATAHQKLFMQKVPSRAKKVTQNTETAANGILSGVVRVTGYFTGSVINSKAARKVFSLLPGEIALASLEGYGKICDAIEVAGKDVLSTSSTITTELISYKYVALCTEGRLPTQRTKGSVLLVTLSGLGGPYSRSDRPGIR >Et_4A_034040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29379589:29382972:-1 gene:Et_4A_034040 transcript:Et_4A_034040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFKQAAKLLALDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCTPGELSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLAEHGKGIAGQRFVIQGFGNVGSWAAQLITEAGGKVIAISDVTGAVKNTNGLDIPKLVKHAAENRGIKGFNGGDAIDPNSLLTEECDVLIPAALGGILSKKGVLILPDILANSGGVTVSYFEWVQNIQGFMWDEEKVNAELRTYMMRAFKDVKEMCRSHNCDLRMGAFTLGVNRVARATVLRGWEA >Et_3B_031272.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23589579:23589998:-1 gene:Et_3B_031272 transcript:Et_3B_031272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWEEELYESEVLWPEASHDDGAAPASAPPGCSSSSSVASPSRSPARRRGVPEKPHYRGGSRSVDIPKPAPERLAWRRDDAHDDDGGPRGTMVPPHVLVSRRRSEGAAAFSLRSGPGRARELSHLRNSVLRMTGFIEG >Et_2B_021557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30503233:30508398:-1 gene:Et_2B_021557 transcript:Et_2B_021557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRDLGILLLAAFAVFFSVHHEGDFSFRESWYHLADDDFPIKYEADRLPPPLVADLNGDGRPEVLLPTHEAKIQVLQPPHARHLDDDTGFHEARVMADISLLPDNVRVATGRRPIAMAVGAVDRSYRDADVRKQVLVVVTSGWSVMCFDHNLKKLWEANLQDDFPHGAHHREVAISITNYTLKHGDAGLVIVGGRMEMQHHSADLFDEFMMPEHTREEHRRSANEKQGSETGAADLRHFALYAFAGRTGTLRWSRKNENIQSQPSDASLMIPQHNYKLDVHALNSRQPGQIQKMRYIPTITNHTQVWWVPNVIVAHEKEGIEAVHLASGRTICKLHMTEGGLHADVNGDGVLDHVQVVGANGIKEQTVVSGSMEVLKPCWAVATSGVPVREQLFNVSICHYNHFNLFHHGDFSRSFGRTFDPAGLEVATPILLQRDDGHKHKRGSHGDIIFLTSWGEVTSYSPGLLGHDAIWRWQLSTGATWSNLPSPSGMMENIVVPTLKAFSLRAYDPKEVIIAGGDQEAVIISQAGSILAVIELPAPPTHALVLEDFSGDGLTDIILMTSGGVYGFVQTRQPGALFFSTLVGCLIVVIGVIFVSLHLNSSNNGKPRALAEYR >Et_7B_055607.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:16688138:16688614:1 gene:Et_7B_055607 transcript:Et_7B_055607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLIAMACLLAFAAASSAAAQCRYEVVVQTGDGTNAGTDARVSLQLSSATTNGSKLVVPNLESWGEMYADHDYFEKGNLDRFGGNGPCMPYEPLDMVITSDGSGNKPSWYVDYVQVTQLGVDGVPSVMHKWAVNQWLATDMAPHLLNATRNGCGVAG >Et_7A_050464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17076418:17076792:-1 gene:Et_7A_050464 transcript:Et_7A_050464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_7B_054433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21322380:21322747:1 gene:Et_7B_054433 transcript:Et_7B_054433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQ >Et_3A_024237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18810665:18829270:1 gene:Et_3A_024237 transcript:Et_3A_024237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSYAAVKCLNTCSSSRKRFSFKTFSQRVEEIDIDVYRSLHAVKAEPSSGSSFFLDALVEWRELNTAEDFISFYDEMIPLVQTLPQIVLHREELFSALLQRVNMSARLSLEPILMLIAALSRDILEEFLPFLGRHANAIVALLSEGGDRDPDILEQVFTSWSYIMMYLQKYLVKDVVQILRITAPLRYFPKDYVREFMAESVSFLLRNAPNKQLTHGVMKVLLEAAKKSSPIRIDGATALLWHVMKGTSIKLHSRAGKVLKFLLSKSIVTAIHDKFPDGSSTIHEVMTGLIHRLCDEVDIKELPVIFTCLFEEIMSCIKDGCVEHLKCLIDFLTFAFQNSKHSDVFDKVKMLKLVEVLVSKYVLPGSNIGEASSSEVLGSILDFLLCVLDYPVITGNLSIVSPFYAPVFKLTNLSVIGFIRKLLAKGSQIIQHFESQILSAMDNFLESSSEEVLYILLTFFKESKKQINLHSSDGNHPVPGKKVCKFFESKFSFWIKLLDDTVSTVNHSSNQVTEKEAAILWGSICCYSKLNDVHQDSCLLLKKLICNLDQLLEVGEENINGLPKTTWRSLLGTALSSYHELLLVKTSRNSELNLFVSLAKRHSTCPQVLSAVAEYLESLHGVGSREVTEEFDSQNLLDLFSIFAVNLSSPNKNIRILTLRVLSYFVKMDQRIGTNEERPHKRQRTEDSGEETVAKYTNVVDTLLDVESTPISVSSSRKIAIFISRIQMSLSSKMVHDDYIPPLLHGIVGILYNRFSDLWPPALDCLAVLIRKYKELVWTQFVQFISVHQSKSLSEKNLEKSEPATHPQSILDCFSLYLAVDFDCTPVETIATLLLQSLQRIPDVAESRSRQLIPLFLNFMGYDDVGVISADSYISDKCKGKHWKMILKEWLSVLRLMRNARSLYQSKILQEVLTKRVLDDSDPDIQAKSLDCLLNWKDEFLTPYSQNLKNLIDVKTLREELTTWAVSRDSLSIQKDHRSRVVPLIIRVLAPKVRKLKLLGSRKHTGVSHRKAILRFLLQFDSSELDLFFSLLLKSLVPSSLQLKIFGSQSDSVLRNVSDIVGASTEICIENFTCKKANGFLHLVEEIFGTFDMAHISPFLNVLLIIVARLLESCMRNLRNESNKNYPCKQSDETDNDSSLNLDADNNSAKMEECPKEMTASGSIKQLKDLRSLCIRIVYSALSHYENHDFGEIFWNTFFTSVKPLIDCFTQESSSSEKPSSLFSCFMAMSRSPALAPLLGGNNLVPAIFSILTVKTASGSITSYVLEFIENLLKLDIDLQQQDDHSVKKILAPHMDVLLHSLHDFVNHCKELNRRSGTWLGHRELGLFKLLLNYITDPSAAECFIDLILPIFSKKGLNSDECLDALRVLKGIVPNLRCMVSVKVLRALNPLLATVGLELRLCICDIYNGLLHESSLSFLASLMRDLNAVSTSELGEVDYDTRLAAYDKIQPRLFIGMREEHVGAILSHCVYDMSSEELIFRQSASRALQSFLDFSASVMNNESKYYVETADIEPEESNTKSISTNGYIQQILEKTYLHNMGVAMTKDISIQKEWIILLREMVYKFDHIASLSSFRPLCKDDLEEDFFHNITHLQAGKRSKALSLFRKATKENDFSEDITMKIFVPLFFNMFSDVKAGKGEQVRDVCLDTLSSVASKVQWEHYRTILMRCFRELSLKPDKQKILLRLICAVLDAFHFMKPSNDDSRSAETTSVDYDASLTFSLTTVSSDKQHYLQKSVFPQVQKLLGADPEKVNVNINLVALKILKLLPVDYFESQLSSIIHRICNFLKNRLESIRDEARSALAASLKELGIGYLQFVVKILRAILKRGFELHVLGYTLHFLLSKTITTDMNGSLDYCLEDLLAVVDSDLLGDVAEQKEVEKIASKMKETKKRMSFESLKLIAKSVTFRTHALKLISPIASHLQKQLTPKLKSKLETMLHNIALGIEGNPSTETSNLFIFVYGLVEDTVTGSESGHKDSVESGPDREHTSTKNFPGLVASGWQNSHMITKFALTLLRNRLKSIKLDKEDEQLLPMLDPFVNLLGKCLNSKYESVLSVAFRCFALLVKLPLPSLRDNANPIKNVLMDIAQRAGNSNSPLVTSSLKLLADLLRGFRISLSDDQLQMLVHFPMFVDLQINPSPVALSLLKAIVKRKLVSPDIYDIIVKIAELMVTTQTESVRQQCIQILLQFFLNYKLSEKRLQQHIDFFLANLSYEHASGREAVLEMLHDILTRFPQRIVDDQGQTFFLHLVVALSNEQHHNVSAMILRTIQKLFGRIGDQGKNSIFEYSLSWYTGEKQSLWSASAQVIGLLVGDRTLRTGKHLKSILAVAKKIMESSVIASGGMQLGLTDETALPFWKEAYHTVAMMERLLLRFPELYFEKNMEEIWIVVCKLLIHPHSMLRNTSSSLVASYFATVEKKKHEGKMDVSWLLVQPSRLFLIAVSFLKQLRTELSDTTANNLIVQNLAYAVCNLHTLVRRSTSSRQFWSSIGSSNHGAFLEGFELLGSTKAKNTFLLCTSTSSDAAGSSLDSSEEPTSLLVSSILKRMGKIATQMQDTQTKIVLNCFGMISSALGPEESLTYAVQLLSPLYKVSEGFAGKVVSDEVKQLAEGVRDKLRDLIGVEKFVEVYNSVRKSLKEKRESRKQSEKITAAVDPERHAKRKLRIAAKHREHKRRKIMAMKIGKWMR >Et_4B_036772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11473577:11484526:1 gene:Et_4B_036772 transcript:Et_4B_036772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNRIVPLLSKRYISSFQTSPLSSSTLRPPIQPWLFIGLGNPGEKYQSTRHNVGFDMIDAFAQSQGITLTTHYFKALFGEGMVDGVPVLLAKPQTYMNLSGESAGPLAAYYKLPLDRVLVAYDDMDLPCGVLRLQPKGGYGRHNGLKSVIHHFRRNREFCRLRIGIGRPPGQMDPKAFVLQKFNRTGREWIDSAIKEGVTILKMVATKGLTEAARLSNMDQKLLSVRLQRSQKEAIPL >Et_9A_063032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8871566:8871933:1 gene:Et_9A_063032 transcript:Et_9A_063032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQ >Et_5A_041866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3662504:3664971:1 gene:Et_5A_041866 transcript:Et_5A_041866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAPVRKSHTNTSDLLIWPEGAPQDLAPGATPPSNRRPHQPSEAISKVVFGGQVTEEEFESLNKRKPCSAPKWKEMTGSGIFAAGGEVEEDESGNASATPVRTAPKNYQAISTISHISFAEEESISPKKPTSIAEVAKQRELSGTLLSEDDSKMKKQISNLKSKELSGHDIFAPPEDPRPRNSENGSTSQTPGKNAYVVSTIKFGEADEDSVVKTAKKIPTKKFTDLTGNDIFKGDATPGTAEKHLSTAKLKEMTGSDIFADGQAPARDYLGGIRKPPGGESSIALV >Et_2A_014886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20358312:20359097:1 gene:Et_2A_014886 transcript:Et_2A_014886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRAAIFITAVLAVLSSPALAQKSSPPAPAPVSLPPVAGPFHTFLNYLKQTNVIETFQSQANRTDEGITIFVPKDSAFAALKKSTFSNLTADQLKTLLLYHAFPRFYSLSEFRNLSALNPVSTFAGSPYTLNLTDDMGTISVKSMWSKPKISSSVHATDPVAIYALNNVLLPMQIFTKEPPLAPAPAPAPESGASDAPSPAAGKAGELAGKGDSNAAYNAGVSVVNCLMLAAAGCLMLVW >Et_7B_054157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16206771:16229994:1 gene:Et_7B_054157 transcript:Et_7B_054157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQSTSSIVKNIGVTEAMVIGASIGEVWPVEVGWDSDGTFLRRGWPEITNTCCVGGLLTFEAFDTSSCIRSLARAFCDAIGLLKPCTIILKTSVDSTRYWQVQGARYNNSSYTIQLGWKRFCQENSLKEGDICTFNVIETTLWHVVIMRCKEKINQFSYILKITSCWKQKGEKDKSSSEERKGTKGSMTLMKKASSARKCVFEIGPPAWIKKTINTCTIKNLFSLPLAFCAGIGLREPCRITLKTSMSSCMSWLARVAPYKYCSQMGGSGWKRFCQDNDLKEGDVCTFHVTETTLWHVIILRRRRPEELPGAASFLAQESGKSKSFLRRGAARSAAEKSQPEQPPDLAFRSASPTRSPRTLVPRRPTSSSRSERARSGASRSGGTATARSWGAGGPSSQTREASARGGSWSSGTTARACSLSRPAMSNKNPPRRPQFINVLPRGFMDKMEVPSKIVQDYMSKEHLDNQMAIITGTFGKKIQIQLEMNQSSMFFAAGWSQSMAFHGITEANYLLLRHEGNMMFTVKVFEPEGCQRDFTHEGTGMQQTSALPSASGQKRKKGWPNSEGQKKPKAYNSSLQKASLQRRSFYDIGPPSWIKKVINTSTLENHLALARDFCDAIGLRKHCTITLKSSMDSTRYWSVQGAGYNNNSYILHQGWRRFCQENSLKEGDVCTFNIIETALWHVVIMRCKEKIKQFFNKGEQDRSISEEPRETKGSMTSVNKASSARKRVFDIGPAAWIKKTINTSTMEYQFSLPLSFCVAIGLREPCIVTLKTSMSSSMSWQARVVPKKYNNHMCGSGWKRFCQENGLKEGDICTFNIIETTLWHVIIRIPDELAAEIGAAEARIRSGGKVWSVEVGQNGDGAFLGRGWRAFADACVVGGGWVLVLRYRGGGVLTVKAFDDTACIRELGAPTTPSAEKTRSSKGVIRKPQFVRVLSKDFMEKMLIPAKFVQRYFPKEHLSSCTATVLGPRGKVCSIDLELDRLDLFFAGGWPQFVALNRIREGDSLLLRYEGNVAFSVKLFGPDGCRRECELRVQQTSTFPETGKQQEAPSSSFWKHMRRNKASRKNSTYKIGPRSWIRKQINTDTLERHLALATAFSNAIGLREPCTITLKTSMDSTESWQMHGLPWKKRSFLLVQGWRRFCQENSLKEGDSCIFNVVKTTLWHVVIKHCKEQETPSASGRKHMTKYDSSGNEVQKRPKGSMSKASSKKSGIFDIGPPAWITKEINTSTIKNRLYLSQVFCEAIGIREACTITLKTSRSSTMSWQARVLPYDYCSHHIVGPGWTSFCRENRIKVGDVCTFNIVEPTLWDVPGAPAARKHLRVLLPFSRNSLVRAMFSSSRRALLRQRKPRNLWQPTDLATLQRIPDSLAGEIGGDGALVVVPSGGKQVWPVEVGQDGDGAFLGHGWRAFADACGVSGGWLLVLQHRGRGMLTLKEPRVSKRLLVSHSSSVSFPLISCKRWFAYLCYCSPNQSKDFFVADLLSFIGMAYRYSLYDFFQRLSSKFVQRYIPKEHLNNHMATILGPLGKVYKIQIKMDQLDFFSGGWSQFVAFHGITEADFLRLRYEGNMSFTVKVFGSNGFQRECKHMEIRGQQSDMCICLSDQASTLPESGKQQEAPFDCIKKHKCKNKATLQKLSYYKIGPPSWIRKQINFSALERELSLAPTFRGAIGLQEPCTITLKTSMDSTKSWLVCGFQSKKGSYLLAKGWRLFCQENSLKEGDICTFNVIKTTVACRYHSSQGTVQSILLCELSSIDELETTSASSRKRKIMYHKSGGKRQKRPKCSTSLGKASSRKRDVFEIGPPAWITKKISTKAIESCLYLPQAFCEGIGIREPSMITLKTSMSSTRSWQARILPYKNSSHHLWGLGWTIFCRDNGINAGDICTFNIVEIILWHIVITHH >Et_10B_002681.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:7003597:7003824:1 gene:Et_10B_002681 transcript:Et_10B_002681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRRPHATSRMTTPKLNTSDVAPVLPVSRHSRSMYPIVPASAVVWDCLSWSTSLASPKSPSSARRIRQALHCLA >Et_5B_044398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23833674:23844487:1 gene:Et_5B_044398 transcript:Et_5B_044398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASSLLSPHAPHRLLPLLLPLRLSSSSAAAMPHRRDRTQPPPQKWKPKATEGASASSTASSAAAEIAAPVERLTLASQSASRAGAMQLWVPRGYATSTIDASVASASSAAAAGQAVVGEKLSKLFKEAPNFTVDNSTFTEAQIRATFYPKFENEKSDQETRTRMIEMVSHGLANLEVTLKHSGSLFMYAGHLGGAYAKNSFGNVYTAVGVFVLGRLFREAWGKEAPKMQAEFNDFLEKNRICISMELVTAVLGDHGQRPKDDYAVITAVTELGYGKPKFYSTAEVIAFCRKWRLPTNHIWLFSTRKSAASFFAAYDALCEEGTATPVCKALDEIADISVPGSKDHVKVQGEILEGLVARIVSRESSAQMEEVLRNFPQPISDGVDSDLGPSLREICAANRSDEKQQIKALLENVGASMCPDHSDWFGNNGLDAQSRNADKSVVTKFLQAHPTDYATKKLQEMIRLMKQRHFPAAFKCYWNYHKIDSLSSDNLHYKMVIHVHSDSVFRRYQQEMRKNQGLWPLYRGFFVDVNLFKANNKKAADLSKDGDTLLRNINGALDSNSSSIDGLADEDSNLMVKLKFLTYKIRTFLIRNGLSTLFKDGPSAYRTYYLRAVYIRRKYGNKPLSSSTYLSEAEPFLEQYAKRSPANQALIGAAGNLVQTENFLAILDSERDEEGDLQSERGAASSSSTLTPVDVVPKTEGLIVFFPGIPGCAKSALCKEILNTPGGLGDDRPLHSLMGDLIKGRYWQKVAEERKKKPARITLADKNAPNEEIEDMCGTTKAAAVPVIPDSEGTDSNPFSLEALAVFMFRVLQRVNHPGNLDKASPNAGYVLLMFYHLYDGKSRKEFENELYERFGSVVKMPLLKPDRAPLPGDVKAILDEGISLFRLHQNRHGRAEPSKGSYAKEWAQWEQRLRKILFGNTDYLNSIQVPFDLAVKEVLEQLKAVAKGDLKTPDTVKRKFGNIIFAAVRLTPSDIMGLLRKVAEKNSEINSFINGIKLEDCLSKAHVTLAHKRAHGVAAVASYGIHQNQEVPVSFNALYYSEKMAALGAQLGTVNDEQINSRNEWPHSTIWTAPGVAPKEANTLPQLAAEGKAKLVPIDPPITISGVLDFY >Et_10B_003444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19905772:19907329:1 gene:Et_10B_003444 transcript:Et_10B_003444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAVRAAAADAVVTFLWVLCVSTLGASTAAVTSYLSVQGFHYALLITVSLLSILIFVFNLLCAALGGASFNPTGVAAFYAAGLTSPSLFAVALRFPAQAAGAVGGALAISELMPAQYKHMLGGPSLKVDPHTGAVAEGVLTFVITMAVLWIIVKGPRNPILKTWMLSISTVSLVLSGAAYTGPSMNPANAFGWAYVNNRHNTWEQFYVYWICPFIGAILAAWTFRAFFLPPATKPKAKKA >Et_7B_054649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3184524:3186768:1 gene:Et_7B_054649 transcript:Et_7B_054649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLLGSLASSSPACSPSSRTKCSSLNQKATVKKHGVISKQLLSCFASSLLFISPPSQAIPAETFAQPGSCQIAAVAVIDNASVPLKFDSPSDDGSAVMMMMRGMTAKNFDPVRYSGRWFEVASFKGGFAGQGQEDCHCTQGVYSFDEKAHSIQVDTFCVHGGPDGYITGIRGRVQCLSEEDMASAQTDLERQEMIRGKCYLRFPTLPFIPKEPYDVLATDYDNYAIIYSRTPNPGPEFIEKYKSYVANFGYDPSKIKDTPQDCEYMSSDQLALMMSMPGMNEALTNQFPDLKLKSAVAFDPFTSVFDTLKKLLELYFK >Et_4B_035997.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:11638742:11639416:1 gene:Et_4B_035997 transcript:Et_4B_035997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYHMMELNNVQRWLPSEILQDIGVTTADEPHDLAIIEELAAHLVGVLNNNMKRHQRHRLPGVKATKSYQRPWPRQPQVHRLDHQVCIPNNNSNAHTLQVRPFFSNGGMLDQAIKQPRFAPAKQRPVNTILVPAIKSSRGTGVFLPCTEHHIARGAKPPRTGTTTMKPHCGKQLCHQKQQLEPHLYTHAMAIMHKKQARKTNGANAASYDCSYELGLPQEWVY >Et_3B_029287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23702528:23707908:-1 gene:Et_3B_029287 transcript:Et_3B_029287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPDRAAAAAGAPHLRGHAHLTNCIHLRHHHAHGGGAGVGASSSGRRRSPTSVASAALMRDVLALQRSRSLRDPSTRRSVESSRSRVAADPDAYTDDDEGSGSIGLPAKSRRGATTGALKTLLDQLAENPHPHPKPGRRPPRRFKRRSGRRAAAAGKTPGRAAAVSVHSSSQEAVCGNKYLFHGGEDDDDGDGELQQHVSQDSRNVCGIPWNWSRLHHRGKSILDMAGRSLSCGLSDSKSAAGRKSSERLASSTSSDSDALPLLVEAVTSELGIFSNQTSEMDSDLLSEAQSGQKSRASQRSRGQHRSLTQKFAPRTFKDVVGQSLVVQALSNAVVRRKIGLVYVFYGPHGTGKTSCARVFAKALNCLSPEHPRPCDSCTSCIAHKLGRSKSVMEIGPVGNIDMDGIVDVLDNAMLSPAPSHYRVFIFDDCDTLPADTWSIISKVVDRAPRRVVFILVSPNLELPHIILSRCQKFYFPKLRECDIVNTLQWICTSESLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISLSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGSYAFTRERLRRKFFKHPTLSKNDMEKLRQALKTLSEAEKQLRVSNDKTTWLTAALLQLAPDKQYVLPSSSTSTSFNHVARNSGSKHDGNMAGTSYGERKPVDHTENGQVMSTSAVRANERSKHGKTENEMIWQAVLESIQSDTLRKMMAKDGRLSSVRLGTAPTVQLIFSSRVNKSKAENYRGQILQAFESVLRSAIILEIRYESNDARADHAPSAFPYLEIDSSNTTARKSFTKHSPLSSGGDNLIGRLKKDSVVKGSSYSKTRWMQSDPHILTEGEIIEVGPSHVHRHAQTNNDVVDTNGRRKDNVWEEEASSSPNQEGLVNRRAGNGNRQRRQNSIVKGKVSLAHVIGRAEACSQRGGWSRRKALSIAEKLEQENFLDQGVYFVGELQGLDGRSLQSRSRIEGHELYRGLSYVEDAFLQNLRDRRHQSSKAN >Et_9A_063362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21644661:21647764:1 gene:Et_9A_063362 transcript:Et_9A_063362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAAGAGGGRGGGSDGGFVRADQIDLKSLDEQLERHLSRAWTMEKRKEEAAAADPRGGGKRRREDWEIDPAKLVVKGVIARGTFGTVHRGVFDGHDVAGTHSHSHSRAENSPCQCGGGGGRGLGPGPAAVKLLDWGEDGHRSEQDIAAVRAAFSQEVTVWHKLDHPNVTKFIGAIMGARDLNIQTENGHIGMPSNICCVVVEYLAGGALKSFLIKNRRKKLAFKVVVQIALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPSDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPVDQRPGCLSCFRKYRGP >Et_9B_063997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:111087:111808:-1 gene:Et_9B_063997 transcript:Et_9B_063997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTLLSSSFSMPAAAAARRSSAASLGFATSQLAGLSLGLSVSAAGPLLPKHQQQLQPITNRANKVSFSNHKTKKQQFVNLQYKKLWWEAGKRFVKLRLSTKALKTIEKHGLDAVARKAGIDLNKK >Et_5B_043503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11231034:11231940:-1 gene:Et_5B_043503 transcript:Et_5B_043503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKQEEVDYLMGIFEAYIRDSVDEYAREGIRLHVIGDSPRRPASLMSAAREAYDATMNNSDLVLMLAIGYSGRRDIVQACQRLAEEAQHGMLMPEEIDEAQIAGKLATSVAAGEELSCPDLVVRTSGEQRLSNFLLWQSAYSELFFTDTMWPDFDEDEYLRALTSYQSRDRRFGNRKV >Et_6B_048654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12160767:12161714:1 gene:Et_6B_048654 transcript:Et_6B_048654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTMKQIKIDSLSRQASLLSKNEKAILKLEQKLGQLRQERELIQKKKELDEADISRLNVEGCGIKTELDQDKQEFEKHLLYRSSQKDSCSPFSSLPPPVKHSTGRGSSLPCAPHNHGGVATTYVPLPPLPVSTLLMPQPPYEVEIGG >Et_6B_049692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:958577:961506:1 gene:Et_6B_049692 transcript:Et_6B_049692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTPGVLLKLLQSMHTDERVAGEHRSPVLQVTAVVPALTASTADSLLSPTNGFLLNLSDGLHSTYVQLPPADADALLSARPQLVGHLVHLDRLRFARPVPRAVGLRPVPSSRALPCAGNPEPLVARSASCARGYVIQPAASPSDAAPPLMPSSGSNLNDSIAVKRTVLGPKNAVSDEAPPSGNSEVKRRFSSPAPSKQRYPSPSVKGGSRASSPSVKGASRASSPAVRGTPRATSPAPSKCVVPSLVAAKEENRRAAREPAIVVPSRYRQPSPAGGRRGAASPAVGGRRASLSPSSRRLSGEGCGKKKVGVLVAGISKMTDLGNGSAVKPGRKSWDDQTLALAAAAAGSVMKSRAKVDKDTILRTQEAMSRRLSDATTEQSSNDDSSVDERPKPRKKIDSCSVKMKITAPKIIVHDPKWTDGSMPLDAVSDKLSKIGKEAMERRDAAATAAASALQEALITESVIRNLSKFSDICSSSTTSNPLPTIDLFLAVYEDTLKWKTMAEAVVANGEDEAFLEKSTAHWVDAALATDLEVLKLLNGATDSISRTKSTNKPKTPSVAEPPRTNLPRKQSLGASAKTQSKVSPSPPVSCTWSNAEGMNETVELAKTLWREMHMWFLNFVNEALDVGFHLFEDQNVATRAKHSSHITMVLSQFKKISDWLDGVGKIAEEKTTKEKVECLKRKIYGFVISHMGSALEGSVAISSRS >Et_6B_049758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:146458:149294:-1 gene:Et_6B_049758 transcript:Et_6B_049758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWNRSRHVRGQRDRRPWRPPLPPPDYALILKSKEEMQPAHNFCQNNQYVELCGNLGPWDDSGALENFQNAKKRFWAHYHGQPSDIPLPAPDMYIDKVDHHCKVDPELVADLDKVGLPFDSDYNSASTSEADKKPSQNQSGNWDIFIEEPAEVNKWDWEANSRPDPTWAVKHEPLGNWGNSNSGWGDAAADSGWRSSSNNHYSSNNWNDSRGGSNNRYQDRNNMSGNSNSGWGDAEADSGWRSSNNNHYSSNNWNDSRDGSNNRYQDRNNMSSRKRSSGGHFQPRKSKQRNQAEGYQRSGWQDHRGRRNSEWRPVNNRDRQNGP >Et_3B_031028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10516123:10521146:-1 gene:Et_3B_031028 transcript:Et_3B_031028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDDALIQDATVADLVEVLQEDMEAKEDHMVAAQEEEEVVEVTRSEVLRSINRVTPKKRRAKTMREPIEDVRFLRRSTRKKNETQGFKDAQSAAAANAGPSSAMEPIKTLEPPLYVGSFDRKAASSVPPHLSVENAKAIGSGFLKIQPMAVSDAAPLASSDEENEYLHTNLETDVNVLFDIRDK >Et_6A_046169.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7133500:7133901:1 gene:Et_6A_046169 transcript:Et_6A_046169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDSELKTLPGDNWIRSSCSRALACLTDDGIPQTSGSTTALKRALMDRLKDFNLAFEELCRPQISWAVVDSQLIEEMKNFISENLLPVYRSFVGRFEGQLEEGENSAKYIKYNPEDLENLVSDFFEGKKPNA >Et_1A_009351.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:40066273:40069488:-1 gene:Et_1A_009351 transcript:Et_1A_009351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRAVILLVVYVVVILVSSRPESCAAQRCGSGDLAALRGFSAGLDAAVGGWPIGNASDNCCVWPGVVCGSTAAGGGSLAVVGLVLPNRTLTGEVSASLAGLAALRVLNLSSNALRGALPAALLRHRSLEVLDVSGNTLAGAFPAGDLPSMRVFNVSNNAFTGSHPVVRGAGNLSVYDASGNGFEGRVNASALCGESPSLRVVRLSTNRLSGAFPVGFGQCQSLAELSLDGNGIDGELPDDLLGVTSLQVLSIHTNSLSGAVPPRLRGLVGLVRLDLSFNAFTGPLPDVFDALAGLQELSAPSNRLSGELPATLSRCRRLRVLNLRNNTLGGDIRLDFKALKNLVYLDLGVNSFTGPIPASLPECRGMTALNLGRNRLTGEIPASFVGFTSLSFLSLTGNSFRNLASALRTLQGLPNLTSLVLTKNFHGGEAMPSDGAIIVSGFPSMEVLVIANCELRGAIPPWIAGLRKLRVLDLSWNRLAGPVPPWLGELDHLFYLDISNNSLQGDIPGSLTRMPGLIVAGNGGGDEARVQDFPFFMRRNASVTGRQYNQVSSFPPSLVLSHNALSGAVPPGLGALTRVHIVDLSWNRLSGPIPPELSGMTSLESLDVSHNALSGAIPASLARLSFLSHLDVSHNNLSGQVPVGGQFSTFSREDFEGNPFLCGIHVKRCVEQRPPPRAEEAVDGGNHSSNAGVVAAVSVGTALLLGVAAAVTWRVWSKRQEDNARVAADDDDDEGQLESTNWVARNKSTTLVLLFPTDDDERTLTLLTLEDVLEATGNFDESRIVGCGGFGMVYRATLPDGRDVAVKRLSGDFSQMEREFRAEVETLSRVRHRNLVPLQGYCRAGKDRLLIYPFMENGSLDHWLHERSSSSLTWPARLGVARGAARGLAHLHASSEPRVLHRDVKSSNILLDAAMEPRLADFGLARLLACPADTHVTTDLVGTLGYIPPEYGHSSVATYRGDVYSLGVVLLELVTGRRPVDMARPVGGGRDVTSWATRMWRDGRKDQVVDATFIEKSHREEAARMLDVACACVSDNPKSRPTAQQVVERLDAIAAASSSPPTDTEHCSN >Et_9B_066271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9400065:9405486:-1 gene:Et_9B_066271 transcript:Et_9B_066271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCNWIQPGVKKRLDGKLVDPSHVYYPCAVSSLLRTLEIVYTQSGHIQQTSSFGMEDAETRPRKMPRAGADSGLTLFALRLAKTLAEGVNDAGKNMVFSPVSIYSALALVAAGARGDTLDELLAVLGAASRDELAELVVGMADGALADRPRGLIVAFAWSLWHEKTVLIKPAYRAVAAESYKTEIHAVDFEDKAEESREEINNWVSNATKQRITSILPEGSVHRFTRLVIANVIYFKGAWNKPFPERYTDDGLFYRLDGSKVRVPFMSSQQDQFVEWYHGFKVLKLPYCQDSILQAESDDERHGSEESDERSQFSMVILLPDDRRGLPSLVERMASKPSFLWDHLPDSSDMVGEFLLPKFKISFSSEINGVLKYMGIKMAFDEHKADLKDMLEEDKTKLLPTKDPSVDLYVEKVMHKAFIEVNEAGTEAAASTTCSMRVKQSARRVNFVADHPFAFFVVEEAISDSPPANTFSRIYPTD >Et_9B_064207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12642679:12643313:1 gene:Et_9B_064207 transcript:Et_9B_064207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPRLAWALQLQLPVPPPPTPANINPTRQSFAMVCPLVHVAAWAPNLTIVEVTQAERRVHVADLGVANLSQWLELLNLFVARSGGPPSLRLSIINDEDNFLSGASGLLTQEAVRLHVPFVFIPVRSDIDGLAPQHIAALGVRTRHGRGPGDHLPAAATTSQYQMTKAEALLHVLHDLKLKLMVLMEQEADHVQNAFD >Et_4B_036022.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13915532:13915932:-1 gene:Et_4B_036022 transcript:Et_4B_036022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHTASCKLACAKAMNGHEQELAAANGLDWLEDSISFLTADVNIAGWWSTIPPAVQQDDIGSVVAQTLSPPAAPAAAAQYASPSIASPAASAEPPNSSSKKRKSPVH >Et_3A_026973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28501466:28502928:1 gene:Et_3A_026973 transcript:Et_3A_026973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEEVKSRFGRCPYCRAMIYQDPNAVIFYCSKCRTPIRGKNPKPTDENEYALSQLEILSADTASVFSDDVETPNQRTARVVDDEQWSTPYRIVKQSSSHGAEVSSSLPYRPLGSVRTGRGSYRDEPAKEESTGSPLRSRVAELRPSSRRTRRSMSGDVDAQSNGGFGTDSESDTPASAASYRRRASPLSSQELDPAAAMDMAGLDPSYVTRSALSDPAFQQDLLRALDNLRKLIAVVDQPRGLDAASWHDTGAARMTASYSESGSGGGGGGGTRTMTRRGSRLMRRLESQLVQALPAERLPRNASASSSSSSSASGSRRGGGNRAARARHCRPLLGGTPFVVCDQCSEILQLPADLPAGGRVARLQCGACGEALALTLPASGSATGRPNKIFSAPQPAVRAAEDTAEDYHAVSRRSSLSSEQTRPAGPLHRVLGYSSVSSVLRSRRYGDEHD >Et_7A_052408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7769035:7771829:1 gene:Et_7A_052408 transcript:Et_7A_052408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAETGTPLWRNGPPEKPVLCNACGSRFRTKGSLANYTPMNRRDDIDDEEPRVSKLKPPTSKPKAQKAKKKPPTIVENGPFSSQNFRRMADADPSNRSSSGSAVSYSESCAPYGSADASEMTGSAQSHAWESLVPSRKRSCVNRPRPSPVEKLAKDLHSIMHEEQLYYLSGSSEEDLLYHSETPLGSVEMGSGSVLLRHPNSKLLEEESEASSIPAENKSYITSESYSGSASFVVHSGSKAASNLNAATEGPKRSLPQIEDNVRRDTLHYENPHILESVDSPLVSIDLEAKEFKETGEEENISGLKGTKPAMKQLKRPRDTHFHTSAELKVAMRSPKRVLKQGDLAPQCRSSSLPKSGYADLACTGRALNLFSLPPEKLSSLISPQYTDNYSDQDLLLDIPVNARHPEAELLYQPSQLSSVTRISTCMGGVAEGEGRLKQQ >Et_2A_014984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24574746:24575996:1 gene:Et_2A_014984 transcript:Et_2A_014984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRDRAAGGAAGRPALRVGRTQEYRTGVDTELLAVDGVAGAPVSLFVLCGDRFEAAQLFRTGGLSVRMLRVEGHPVSMASCTVGDHQWMLARDALVARLDARVFVFELPGFFYAVVVPPDAADRKCATMADIFNRFCAYHDLTTADGAADEAIDLNQQNNSPWVRAHARIQRLKRANSPARQATADAPSDSARQMERAVRTSAVVKLLTRSLLAGVIQPSRHLTITVGVVGPQRRGRNAAAPGRPGRPREEAAGVDGGAGSGGEAAAGRGARGAGHPERRRRWRRWRCGVRRQRGAAVVRREAEEAGEHGWRLWELVTLEGLRVCRSQRGTED >Et_7B_055798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:494610:500550:-1 gene:Et_7B_055798 transcript:Et_7B_055798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYKLGVEVVSAHDLMPKDGQGSASACVELNFDGQRFRTAVKDKDLNPVWNERFYFNVSDPSNLPELALEAYVYNVNKSIEGSRSFLGKVRIAGTSFVPFPDSVVMHYPLEKRGMFSRVKGELGLKVYITNDPAIKASNPLPAMDPVSNNPPPAPSPAEQIAADISGTNLHTSQEHRSEVKTLHTIAKEVHHHQQHGHLPASFGEHPSKYPVEHMKPEHQPPKIVRMYSAASQQPMDYALKETSPFLGGGQVVGGRVIRGEKHATTYDLVERMQYLFVRVVKARDLPDMDLTGSLDPFVEVRVGNYRGITRHFEKNKNPQWNAVFAFSRERMQASVLEVLVKDKDLLKDDFVGLVRFDLTDVPVRVPPDSPLAPEWYRLVSKSGDKSMGELMLAVWIGTQADEAFPDAWHSDAATLEDPSAVTHMKSKVYHAPRLWYLRVNIIEAQDVAIFDKTRFPDVFVRAQVGHQHGRTKPVQARNFNPFWNEDLMFVAAEPFEDHLILTLEDRVAPNKDEMIGRVIIPLTMIDRRADDRIVHGKWFNLEKPVLVDVDQLKKEKFSTRLHLRVCLDGGYHVLDESTNYSSDLRPTAKPLWKPSIGLLELGILGAQGIVPMKTRDGKGSSDTYCVAKYGSKWVRTRTIMNNPSPKFNEQYTWEVYDPATVLTVGVFDNGQLGERSGEKTSSGKDGKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSSTSLVNMLYLYSRPLLPKMHYVRPIPVLQVDMLRHQAVQIVAARLSRMEPPLRKEVVEYMTDFDSHLWSMRKSKANFFRLMSVFSGLFAVSKWFNGVCAWKNPITTVLVHILFLMLVCFPELILPTVFLYMFLIGIWNFRYRPRYPPHMNTKISHAEAVHPDELDEEFDTFPTSRNPEIVRMRYDRLRSVAGRIQTVVGDIATQGERVQALLSWRDPRATAIFVLFCLVAAIVMYVTPLQVLAALGGFYVMRHPRFRHRLPSVPVNFFRRLPARTDTKMGAAESSSKLAGSVHDFIVKDARGNDVELSRYKGKVLLIVNVASQCGLTNSNYTELGLLHQKYGDKGLKILAFPCNQFGGQEPGSNEQIAEFACTRFKAEYPIFGKVDVNGSNAAPLYKFLKSEKGGIFGERIKWNFTKFLADKDGHVLSRYAPTSSALSIENDIKKLLEA >Et_9A_063333.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:20419406:20420017:-1 gene:Et_9A_063333 transcript:Et_9A_063333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEWDMLGVGPHGGGGVAVVDGGAVARGVSVAGGGDQLLPPLPLPMRGGEGHYRGVRKRPWGRYAAEIRDPWRKTRVWLGTYDTPVEAALAYDRAAVALRGTKARTNFGRGSGNGRYPPSPAAQPRTAAAPHHHQAHPAFGGLDISQPSPWRFVYFPSRTHQAGLAPPGAEFAGPSTVLELRTGPRSLPFDLNEPPSLLFGS >Et_5A_042526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18526110:18529774:1 gene:Et_5A_042526 transcript:Et_5A_042526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRGSKRTRASPAAGDHITDLPLELRARIASFLHFRQVVQLSVLSRPWCHIHHHSPVVKIYLVPGRLDSVLAARVALRRRAQDASASKVDTLKLAYLADDLDMRRHADRIISLADAREIHIRSLYPGHEVRDEWTVHLPPAARRLEVDAVHQVAPAIAGPGSAALRTLFLHHVMISEWPHLPSLRSLDLNCVTVETPFAPGQWCPLLEELELFCCKIEQACVDIRLPHLKFLEMDSVDVCPRDQFEGPPFGHITVHAPELVRLDMIFDPGCTADFKSFALRAPKLRLLCWHNQFAERVHIDVGKPGSVKVGKIEFMSVYFRKMEYYREQMMRMLQGLLPNVSPDSVADIVKPYITLEECPDSDSEDARGGKDHLRPQRPHHTITETCSNSQRDNMYCTRLSTAKPADPLFMTHRLASIFRRLALCRLASVPSSSAINPLIAFAMFALSIISPSASPTPHWLVTYLVVTVWSAEYGCASTGTPAQMVSIMEFQPQCVQKPPTDGCARTSRCGAQLTIFPLCP >Et_5A_042915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6892702:6894732:1 gene:Et_5A_042915 transcript:Et_5A_042915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCQGVSSGNAQLLAFPGRLSSLKSTQFRVRGNAFPVQALRVGADSFHHNKLTALPEENSVKGIPSLSTRHNQHRRSKVVCQASSLASFSYPELTSKPRWWWRTLACVPYLLPLHNMWSYADAIYQLHTYLQGFTLLYTFIDTMTLLPGWLFLVIFMTVYFFVVRRKWSPHFLRFHVILAILLDTGSQAVATMCTWMPSIVYQGKPMQYFWMTMAFIQIFTVLECMRCALCGMYPNVPFISHTAFIHSDLNLFR >Et_4B_038144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26708827:26719527:1 gene:Et_4B_038144 transcript:Et_4B_038144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLRSYLGRLLLEEITPVVMVLTTPLAEAACRKNGLSFVDMLSPFSLFKKIDVPVRTASDQPYRLQMFKIRMVYASDVRKQDYEVADERIKPVVSEASENALQDLLADPPKLEDVLGKPEADLCPLWIKKFNRELMRTVSFSEHETFDHPVACLLVVSSMDKEPISKFVDLFNTNQLPSLLNEGIMDPQILKHYLVLHDQQEGPQEIAVNVLAEMRSTLGLNDCKLLCINSSTEADASNAEDSLLPYKALGLNYHEGACFLNMDDLNEIKDFMQDFASNHIIPYMEQKIRVLNQQIRVLGDFAFMLRDYELALSNYKLLSTDYKLDKAWKRFAGVQEMSGLCYFMLDQSRKDAEYCMENAFSTYLRIGSSGQRNATRCGLWWSEMLKTRGQYRDASSVYFRISNEEPSLHSAVLLEQAACCYLLSRPPMLRKYGFHLILAGNSYYISDQKQHAIRAYRNALFVYKQYPWSYINDHVHFNVGRWYGVLGIFDVAIKHLLEVISCSHQSVNTQSVFLNDFFHFVQSMGKKFDVYKLQLPVFNMASLRVIHEDHRTYASDADVDVRESTWQELEEEMIPSSSIVRTNWLDSQPKASALRKHKDLSVCVAGEPVKLTVELKNPLQISVAVSGISLVCQLSTNLDDLSSEVSALTIDAGEEKAITEPSASMFETDENKFTVSNLDIVLGGGESRKVQLDVTPKVEGILKLVGIRWTLSDSVVGYQYFEIDRRKKIKKGKRGHRRSLNNNLIVIKGLPKLTGHIDDMPSRAFAGDLQLLRLNLKNHSDYAVKGIKMKISNPRFVIPSDSSDIDLEFPRCLRKHAQSESNAISPKISKEKFKGLLFEFPQDIEIQGGGALSWPIWFHAATPGNFSLYVSLYYEIEGSSDMKYRTLRMHYNIEVLPSLDVSFAISASSSRLEEYIVRMDVINRTPSESFVLHQLSCVGSKWAVSALPSCDSITSVETVFANQSVSCFFKIKDFGTASCKEAENDSYRTDMALRPGSSTDLFDIARSPLADFHCQEKYKQMKSAEGRTSLLDFVLISKAVAGPQTVSHDFSKSYCEVNIQLVIHNSAGHEISVSVVTFDGMPEIRKSVHPQDSTSALGGWYDVSLENGIKVISSAKDTHYEKKSSESIPPYVWCSLSSAQIDLQPDSSATVPLRVCIFAPGTYNFSNYELHWKVHPSKGSQVDENGRWSSGGDQGHPFYVTVLQSAQ >Et_8B_059589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19633216:19638683:1 gene:Et_8B_059589 transcript:Et_8B_059589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATARPAVVIDNGTGYSKLGFSGNSEPSFTLPTVVAVNESFLDQSELLSSANWLAQYNAGVMADLDFFIGDEALSRFRSSGLYSLKSPIHHGQVDDWDTMERFWQQCMFNYLRCNPEEHYFLLTDSPVSTPESRECTGEIMFETFNVPGLYISVQSVLSLSAGYAYLKSLSDDNSDSTSDMTGVVVDIGDGAPHVVPVVNGYVIGSSIKSFPLSGSDITQFVSQLLQERGELLPPEESLDIARKVKEMYCYTCSDIVKEFKKHDKKPDKYIKQWSAIKPKTGVPYTIDIGYERFLGPEIFFNPEIYSTDFSTPLPELIDACVQSAPIDTRRALYKNIVLSGGSTMFKDFHKRLQNDIKKIVDDRVAATNARHRVEVRPVEVNVVAHPIQSYAVWFGGSVAASSPEFYEYCHTKEEYEEHGASICRTSPVFKGISDTRFAVVKMAK >Et_6B_049024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16755507:16760692:-1 gene:Et_6B_049024 transcript:Et_6B_049024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKQAARWAAAQREVEVGVDLVPAARRQLQFLAAVDQRRWLYEGPLLDRAIRRYKACWLPLLAKHTEAAVIEGPLVVPLDCEWIWHCHRLNPVQYIRDCKKVYGRILDNNKIESSAQTELKAQSEKVWTELYPEEPFELEYTSSSHTTTDVNSEVAEGISYDLVSAVKRQTSFYYQVGTPTMYDQRFLEQASARYKGFLYLIKMNQEKGMQLFRVPTYDVDLMWHTHQLHPVTYRNDMLKLLGRVLEHDDADADRSEGKKLDVGFTGTTEQYESTFGVRYWKAGAMYRGNLPSPVTSTPHIFKREDGNGHGSGTAENHLSVLRSTTVELYLQIVDIKNLPSSIPKETVYVLFTKSQPDNFISNSGRLDISAITGKSIGAGLQCEPTGDIVLTLMVDHGSKKSEQIGKVSVPFEELMGRDYKLSFEKWFELKVHGRYATSPPISLRVAASCTVPTMAQHVIRMIKMEPFSLKTCLLPHSFKDPKLSSWISFVDDYGTELIRLQIREHKAKNGMDFIQELVGIVKSSKKQSRLAEFKENKWALTDSSISIVDDIKASNGADGCILQLRGDNTMIKLYRGRRLEYQRKCCNHHSEDESAITAVRFSADFPYGKAIALLDTKSELIMVDENWFILPWIVISFLFMYAEGKDDARLLASTMVPKDVVSGTDTTMVPEATTVIAAGAATAPACKAGHTSTRSTVPATGGANGHMESAGCGGGCGGGCGNMVRASTKVEPTKSGGCGGGCSGNCGNMVSLSTKAGHVKSGGCGSGCGGNCGGAIVIEGGAKGGNVESGSCGSGCGGGCSAVIIEGAKSNTVKSGGCGSGCGGGCGGGCGGGVMVIEGTKGGNVRSGGCGSGCGGGCSAVVMEGSKSNTVKSGGCGSGCGGGCGGGCGGGAVVLEGSKGGTVKSGGCGSGCGGGCGGGAMVLEASKGGTVKSGGCGSGCGGGGGCGTMFKESTMVGQAKSGGCGSGCGGGGCGGGCGTMFKESAMAGHAKSGGCGSGCGGGCGGGGGCSTVLSASTKAAGCGSGCGGGCGSMSNA >Et_1A_006740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2760370:2774125:1 gene:Et_1A_006740 transcript:Et_1A_006740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAADLLAALSPPSSHAGLHSRFAAYLEPFAPHLPSSNPNPKPPPKRTTKQNKQQAPPRPDAVALRPLAKRFLPFLASALQLLPSLVRKSPVSGNTGGGGADELLEIYGLLLDCLAVISPCLAGKPYSVLLQRGRFVCCLESRGHLARVEAEAAATLDSLRSVLSPPTTSTKSRRGAASAVPILPDPGIAGDAGTDPEVTTLAVELTVCLANCSSKGKVKEVAPYERVLSLVQQLQPWLRVVTEDVSRKYSTLLVNAMSRCTFFLVAESSFFDANLVHEFGVATLKECVKAQMIEHLLAVARKICSLVDLSWAGSTKLLLDVLKFVIDSVAHVKVSPLSTSMLLLYATGLYLSTEQAESEENPCISEDILDSEKHLQMLLLQANTIWDKFSNGKEINYSGNMDHVLTALHQFIDSSLAAYSCSKMSEGDNERLHEQRRTLLKALVSAIKVSFVMNKDVQKSLSSVNCAVSSTWLTPEELNFFICSLGNIGVTLHNTGHFQEAPKALELCCETIWAHTRLSYFRLSAKSEGNKSNKSIEDPPKYTLRDIIVDAFTRIAKMIDTLNRCGAKMTREIIVKSLSELLSYGNVSEYFNSCLILIKLWVKTTCKDFKDDQGMHNTPLLYHSLLSYPSPLPNELIGLIVEQELLAYGLIEARDTTFCANMQMRIIDVLLDEIYCSKEFYLERSRVLVRKAGALRASGVKYIHSCLECLSEVISLLDFSRDSKAVINQLAIAYCLHAHCAQEANLDSKVILENAESAYKLWSKMETFDHFSPGMVFEQPSKDVLPLLCSLVDLLAMKGCFELQFDLCKLMIKIWKRENLPLEKLFSSLFTNGRLSHAGCHIPMDQQFISYVAEHLGVECQHIGFWRNCFKGDLPSLAMFLQKMLCSDLFFSPSCECSSGRLFSFDASVDEVNKAASSLVSEVNSDDQSSFVAGHLYYDLSERFFSGGQLFQAFSFGKEALHLRRKLLKKKFKLTSAQTGKESQGLVSLEAWGPTIAEIWPDFARSGSKRDSSLTPWNVLRCYLESTLQVALMNELIGDGAEAEFLLRTGKEISIFHGLPVFCIAFTSLLGQLYRKRHQWDDAECELKYARDLVENEAAMSCKLCKLTLEISVDMQVGDLFWSLFEKDFQKQSAANMSSALGMYQSVLEKLNSSGLEFHAGSYNSHKTGSQLCCNGCVALTEHEVSNLGKEPLALKSCMLPSCHVCVLLRQSSIDQCNGPTALKGRRKNSRNAEAGPTLDVKAKRASARLAKEQNMETNARTRTRSKRMTHVTTDNGISRNDELPADVLTRSKSNYLPNDVDCNKDDLCSMFGCWNCLLVKSLSSGRVQNILQFRWDCLRRRYLLSLLLKIARVSGAHKGHFGAHEVHCVYWQCISLLYFRSLPQDSYKFYGPHLVKVIMDGTIGDFLPLERAEILYSLSFYLLKGIISEQSRDDCCSFSSVEMSDVVPWLLKAFVLSREYPLLLQQVCRLLACIFLLSTVDASIQLPLFSQGSLSLNHWVAYFHQISVGTHLNCQYLATLQVLPRENISKVSLVETDDNVSKLHRFPSVDVLHIEKYITEFFTKLPDVPIICISVFGDDYVNVLGESLLLPSSFPAWMLLSRFDSTCKPTTMLLPVDAIPEEIQPEDPSNKDLGNPTRVLEKKWQCPWGYSITDYVAPAFRNILEENFVSLSSATLTINDVKENHVKWWSHRMKLNNYLDNFLKDIEESWLGPWKCLLLGRQLADQHIDPASSSIIAGLEKEFKLEINPALIKAILGGGVSLDEVQECIYQLILYKGYFGRGGCCGKDRLRAFSFRHVVDKALQTLRCLIKDAAEELPEPVHRDPVIFVLDTNVQMLPLENLPVLRNQEIYRMPSVGSILFALTRSSDRYKDGNVIGSPFPAIDPFNTFYLLNPSGDLSSTQKEFDQLFKNYEWKGKAGSGDPIKAEELILALTNHDLFLYFGHGSGTQYVSGKDIEKLDNCAAALLMGCSSGTLHCKGSYAPRGAPLSYLSAGSPAVIANLWDVSDKDIDRFSKALLDSWLQENIADDNNCSQCCQLTQELEAMNIAAKDNDRTRRKGTRGKKSKQITDSTNCCSCRRRRIATYLSEARRACRLPLLIGASPVCYGVPTIIRKK >Et_9A_061849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17121078:17123427:1 gene:Et_9A_061849 transcript:Et_9A_061849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIAIANAPLPPANGGAYADTFEPSSSEEWMRERADHLKGQVALKLEAIKKMGTGDMMMLVDTLERLGIDHHFRKDIDLALSHVHREEPAKIVSSHDLHIVALYFRLLRQHGLWVSTDVFDKFRDGTGKFSQSLSNDVRGLLSLYNAAHMATPFEEILDQAIVFTRKHLEVAKGKLRPPMSGQVTRALDIPLPRFMPRLEAVYYISEYEQEEGHDMEMLELARLDYALLNSLHLKELRDLTLWWRDLYKEINLPYTRDRIVEMYFWAFGVSHAEEHSRARMIHTKIVALTSLMDDTYDVHASFEECKKVNEAMQRWDASAVSLLPEYLHALYIRTLSEFKEFEDSLEPHEKHGVHYTIKAYKLLSTFYLKEATWCHGNHVPSFREQLHLSGMSAGLPMFSVAAWMGSGRVATKEAFEWGVSIPEMLRACGEVGRLLNDIASYKKGKNKQDVASTVECYKKEHGCTGEEAMAECAAMSEHAWRKINRGCMEIKPVLLPAAHLAAVNLSRTSEVFYIGGVDAYTFGANLKDVVTSIFLRGPA >Et_2B_021157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2737900:2744426:-1 gene:Et_2B_021157 transcript:Et_2B_021157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLDSSIHVGAANSMALAIRLLGRRRLLPPPLAGAVAHLSAAIQSPSRHYQHHLPPPTPTLPFSTRVLPFAVPTRNFSWYSRSSPSPSLSPGPGPDAREAPSEDAYIERESNYLDRVHIIDDGEGAASAAGAAADAVGEATAEGVGGVSDLATSTVVDLIDGLHTLTGLPWWLTISLSTVAMRLLILPVLTLQLQKAAKIGELSRKLPPPIPPPLSGVSFRDQFSLFRKKRKELGCPSFLWNFAYFSVQFPCFILWMMSIRSMCLTNHPGFDNGGALWFHNLTEFPHGASGLAFPILVAGLHYLNVQISFQGSQIKHYPGIFGLLAKYYKIYLDILAVPLFLIAYAVPQGSLVYWTTNGLFSVAQQLSFRNDAVRNMLGLPTRAHLGYGAQKSPLEGPKMMQQSPLSHSDGQNKLTSSDNGTASENTTNFIFDSSDIMEGKTSESSSPEELLEQALHYFGTGRRDQALPLIRIAIDKNPDLSVALIGMGQTLFSNKLFPEAGECFEHALPKMQEHDPLLVLAYFGAGISHERQGDNEMAIKHLQRIAELREPEEPRSKACYFQGIVYLGSILSREGRNSEAAKYLRMAITYDPSIERLLKECEDGIEGQAKSAEQ >Et_3A_023638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10119396:10123730:-1 gene:Et_3A_023638 transcript:Et_3A_023638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASFAGARLLLRRLLSTATEAVTEAGANAAANAAKKKGARPLYRQLSALGKAGEGSVSRVMNKWVREGGTVRVDDLVKHVKDLRKYKRHAHALELMDWMVNARGMNMSHTNHAIRLDLIHKVRGIQAAENYFDDLPDPAKNHRTYGALLNCYCSEKMEEKAIDLHRKMDELGIASSSLPINNLMSLYMKLGQHRKVDSLFEEMKVKNVKPVSLTCCILMTSYAASNKIDAIEELLKEMAEKDVDLQWSAYSTLASIYVNANLVEKAESALKKLEELVCDSDDRQPFDFLMSLYASAGNLSEVNRVWGVIKAKFSKVTNISYLGMLQALYKLNDLDRLKQIFEEWESSYEAYDMRLTNMMIRAHLKSSKAEEAELLSEKAKEKVEEFDSKTCELFLDYYVGKGDMTSALKWVENMTKLPKKAGKLDQDRIHKFQKYFEEQKDAEGAERFCKCLRTFGCIDGKAYESLLRTYLAAGKTNRSLRQQIKDDKIEICYDIGKLLKRMGDKGR >Et_1A_005768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13844098:13848643:1 gene:Et_1A_005768 transcript:Et_1A_005768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGEEVVRALGAGFDLTSDFRLRFAKAVGQGRRLVELDDSACRDVPLPGGGGATLRGVPRDVGVDKGDRIRFRSDVLEFNQMSELLNQKSSVQGKVPSGYFNALFDLSGAWLTDAKDTKHLAFDGYFISLYNLHLKASPLVLRDEVKEAVPSKWDPVALSRFIKTYGTHIIVEMAIGGQDVICVKQSHSSTVSTADLKLHMEDLGDFLFSDGKNHSPIHRKTKDGKGKVPDVFVRIVQQPNNLHLSTYSETSTKDGLTVTCSKRGGDVYIPSHSKWLQTVPKNPDAIMFKFVPITSLLTGIPGSGYLSHAINLYLRYKPDLHDLQYFLEFQVPLQWAPMFNELVLGPQKKKGSCPSLQFRFLGPKLNVSPSQVSSSQKPVVGLRLYLEGRKCNRLAIHVQHLSSIPSMLGDSIASSMSEWRESEDTDPGYIEAIQWKNYSCVCTSAVKYNPEWHRRAPGGVFIVTGAQLITKGTWAKKVLHLRLLYTHIPNCSIQRTEWTSAPASSQKGSFLTTISTTLSSPFTQRDAPPAPRHEPAQLNSGVYPDGPPVPLRSRKLLKFVDMSEVVKGPHDVPGHWLVIAAKLVKDGGKIGLHVKFALLNYDGQPQGDSFIG >Et_5A_041111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18468585:18469384:1 gene:Et_5A_041111 transcript:Et_5A_041111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRHAPIFFAYALLFFFFAAVTPAPSTAALAEAETCVPSLQRMLSCLDFIEHRTDEIPVPCCIQVNSTVAQQPCCLMHVVRGDVAKLIGPEYDNHRAMVDVTAKCLGNASALVSIRRNCSGKPLPPLTPEFTTEVPPSSSGATRLLGSSYAALLLAFLACFVVLRSC >Et_2A_017326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33247117:33250234:1 gene:Et_2A_017326 transcript:Et_2A_017326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGTNRLLPTLHVLVLLLLLLLAGEGMAVPTTGDRDTLLAIKAYWGSPQQLASWDPAADHCGWKGVTCADHGTGGVVVELSLPSLNLVGTVPASVCALRNLTRLDLSRNNLTGAFPAAALYACTQLRYLDLSSNNFAGPLPRDIDGLSPAMEHLNLSTNSFAGEVPPAVARLPKLKSLRLDNNHFTGVYPASEISELAGLEVLLQESNPFSPAPAPPEFAKLTKLTHLGMFNTSLTGEIPEAFSALTELRTLQMSWNNLTGTIPAWVLQHKKLELVYLYRNSLSGELTRNVTAVNLIELDVSKNELTGEIPESFGNLKNLQVLYLYLNQFTGTIPASIGLLPQLSDIKIFNNQLTGELPPELGKHSPLGNLEICINNLSGPLPETLCANGLLTDIVAFNNSFSGELPANLGDCVLLDNLMLDNNRFSGDFPAKIWALPNLNTVMIQNNSFTGALPAVISSNISLIKMGNNMFSGSVPASATGLQVFNAENNRFTGELPADMSKLANLTEGLIILFSMLAGIVLIGSVCIAWLLFRRRKENHEVINWKMTAFTQLNFTETDVLGNLREENVIGSGGCGKVYRINLAGRRRDEEGGAGGKMVAVKKIWNSRKLDAKLDKEFDAEVKVLGNIRHNNIVKLLCCISSQEAKLLVYEYMENGSLDRWLHHREREGAPAPLDWPTRLAIAIDAAKGLSYMHHDCAQAIVHRDVKSSNILLDRDFQAKIADFGLARILVKSGEPETVSTIGGTFGYMAPEYGNMPKVNQKVDVYSFGVVLLELTTGKVANDSNADLCLAEWAWQRYQKGAPFNDVVDEAIRIPSSLQDILSVFTLGVICTGENPLSRPSMKEVLQQLFRCARVCEEAEAYQMDCDDDLEANKNGTDLRA >Et_1A_006701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26542386:26545588:1 gene:Et_1A_006701 transcript:Et_1A_006701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRSSTSLLSPVDPVSKLLHKAGAEDAPCFVAVPATAAPSRRVRLLRAGASAAPAQEAELLHGGAAQQQHGRPRGGVPVYVMLPLDTVGPGGQLGRARAMAASLMALRGAGVEGVMVDVWWGVVERDGPGRYDWEAYAELVRMVERAGLRLQAVMSFHQCGGNVGDTCNIPLPQWVLEEMSSNPDIVYTDRSGRGNPEYISLGCDTLPVLKGRTPIQVYSDYMRSFRDRFQDYLGNVIAEIQVGMGPCGELRYPSYPEANGTWRFPGIGEFQCYDKYMRASLQAAAIEAGHEEWGRGGPHDAGEYKQLPEETGFFRREGTWNTEYGRFFLEWYSGMLLEHGDRVMAAAESVFRGTGATLSAKVAGIHWHYRTRSHAAELTAGYYNTRHSDGYAPIARMLAKRGAVLNFTCMEMKDEQQPAHASCSPELLVQQVKDTAAAAGVELAGENALERYDDAAFSQVVATARGAGLSAFTYLRMNKKLFDGDNWREFVSFVRAMADGGSRPALPRCDTGHSDLYVGFLDAAKERKAAPEAEGAVAAWINKPSASLASSNALSFSTVTGDATRQLRNPASHTLAALADFCRFACASLKRPFLIAAAQPGCLAAISLYKALHARRHLRLVAAPFCSRLSIFAAVLVTLAVKRSTAMLARLS >Et_10A_002203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4187575:4188359:-1 gene:Et_10A_002203 transcript:Et_10A_002203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNSLARSTDAAEKPAAMPVPDLSPSSPATDAACPAARRVTRSSVTGAGAQRQQQAQRKARRCWSPELRRQFVAALERLGGPQAATPKKIRGLMKVDGLTIDEVKSHLQKYRLHTRRASSDGGDQQAATTLWSAPEQQYTTSQHSTSQSGLPQGPLQPTVSSCAVSVTGGDICDGDEEEDGKSEMQQCGTKSSSS >Et_1B_010939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15716171:15724218:1 gene:Et_1B_010939 transcript:Et_1B_010939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIWCILGYKAWRGSETLQDKCSSIDQATSYMHVQSLRNFPIEKLCGEVVVVRLDSANLLGPVEPCNLSLHKTLLTIKYLYEARAKVVIVTSWDTVIQSDNPVLKSTEAFAEYLSSLLQLGVIPVNGAPGLTSVKQEEWVQNDIILFENLRNFKGEVSNCNDFSRKLASGAAIFVNDSFSLSHKILASTVGITRFCYASLAGFHFEEELMQLLKITDTTRSPYIAIIGGSNFLRNTPALHLLASLCDGLFFVGKLSFQIMNGLGIPVPSRFIARNAVAEVLQLIQLARARNIPIYYPTDFWCLNNDDSERLGIFNSTDLSCGWTPADIGPSTLEKISSLILLYKKILWIGPTSYDLTQEFSVGVTRLGQILEKASSDIYDIILVGNAACKAVKGMSDSSFRYTKFQNASVVWEFLKGRILPGIAALDKRYPYQIPWSTVFSDPMLPLVVDIGSGNGLFLFQMARNCEKFNFLGLEMNEKLVIRCLQSLASDEKSNLYFVSTNATSTFRSIVSSYPGQLTLVTIQCPNPDFNKEQNRWRMVRRMIVEAVTDLLEPNGKVYLQSDVESVLLGMKQQFIAHSKGRLVADGGGRGHRMENPFGVASDWERHVLAREAPMYRTMLRKV >Et_4A_035688.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3700800:3701120:-1 gene:Et_4A_035688 transcript:Et_4A_035688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSSTEKLVCVVVAVLAVLSPLYIDRRPEPERDDEDDGGGASALWLPGLLAALILAINVTCFMDRRVVRFDPYWIHRVWGSSGGLMAMLLLLGFVLKCKAYLYS >Et_7A_051157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1539754:1541959:-1 gene:Et_7A_051157 transcript:Et_7A_051157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALAASRRLLHLRPGMELLWARANSTLSSGAMGVARFRHDPPRRKLVSCQRGSFEEGDTAMDKAMPRTDGHLGHCKDENATDPMENNFADEFIQLSLEEGSDDVMCGISESVVRDVQKSAIEFLAARAFTVSELRKKLRGKNYPDDAVDSVVAEFKSRGLLNDGFYAESFSRSRWLSSTWGPRRVPEAEVDQATRSVFQDGHGNANQTMYGISEASMNHLFAQASKQWQRGQSLTLENRRARVVRWLQYRGFNWAVTNAIVKKLEAKHPP >Et_10B_003165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17201612:17205790:1 gene:Et_10B_003165 transcript:Et_10B_003165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FSEKGRASAHFLIRGGTTAGGGNAAGPARPGQGEGRRSQCPRAAATAGDGGSGGRPASVLPHKTANVRDHYRIGKKLGQGQFGTTYQCVGKADGGEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHPNVVRIRDAYEDALFVHLVMELCAGGELFDRIVAKGHYTERAAAQLIRTIVRVVEGCHSLGVMHRDLKPENFLFASTAEDAPLKATDFGLSMFYKPGDKFSDVVGSPYYVAPEVLQKCYGPEADVWSAGVILYILLCGVPPFWAESEAGIFRQILRGKLDLESEPWPSISDSAKDLVRKMLTRDPTKRLTAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKNFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITYDELKNGLKRVGSDLMEPEIQALMDAADIDNSGTIDYGEFLAATLHMNKLEREESLLSAFSFFDKDGSGFITIDELSQACQQFGLSDVHLEDMIKDVDQNNDGQIDYSEFAAMMRKGNAGGAGRRTMRNSLHRNLVQRPV >Et_8A_056328.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23038886:23039038:-1 gene:Et_8A_056328 transcript:Et_8A_056328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AFFLLQGAYHPNVAYSTFLGLASCGLLGAGGCIHMLRRWRKHTRQSIRSL >Et_8A_056169.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1267318:1267410:-1 gene:Et_8A_056169 transcript:Et_8A_056169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQTWHPTLRGTLLLALLWTVWKRRNRKIF >Et_1A_006838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27999217:28006888:-1 gene:Et_1A_006838 transcript:Et_1A_006838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSAAEVAALAQKLEEINVADVEQSTEMDVGGDVDMMPQEDAASTRTSGGIKVKTLTGKEIEIDIDFADKVETIKERLEQMEGIPPEQQRLIFAGKQLADDKTARACNLEPGCVIHLVLALRGGDMERAQAVECYYLSGSFHGLCVWSSRCAKTCRREPSKQRPGQYYDGGVCQDIPSRCWCSEPCLKASAGAMLYGSQGDEVRAARGGWRPVASVVARVERLGYPRDPQELCYEDKFTMYDDSSGTLADYDVKNGSEITLFLDQRAAVAAEEDLAAAMERQKRNGLKSSPPIMYLRN >Et_10A_001742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7568609:7575350:-1 gene:Et_10A_001742 transcript:Et_10A_001742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLIRTARCCLRARARAMATIPLAPAAISSSTSTTRPLSSSLRPHRLLLARFLHSAPAPAGARALRTAAAASAVEVGGVKIAREDVVKEDDPTNNVPDTIFSKIGLQLHRRDSHPLGILKNTIYDYFDKNFAGQFDKFDDLCPLVSVKQNFDDVLVPADHVSRSYNDTYYVDGQTVLRCHTSAHQAELLRDGHTHFLVTGDVYRRDSIDSTHYPVFHQMEGFRVFSPDDWSGSDMDGTAYAAADLKKTLEGLARHLFGAVEMRWVDTYFPFTNPSFELEIYFQDDWLEVLGCGVTEQEILKRNGRTDHVAWAFGLGLERLAMVLFDIPDIRLFWSNDKRFTSQFSEGKLGVKFKPFSKFPPCYKDMSFWINDAFTENNLCEVVRGIAGDLVEEVKLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINDLQWNVREAVKKYTRGN >Et_1A_009058.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27604212:27605066:1 gene:Et_1A_009058 transcript:Et_1A_009058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVGPTAGGGITLPSSGAPMPPLHPTPTSPTARPHHHYYLFSIKQLNTLGAAAVLAFSTTVPLSEIAFALLALPYLLFLAAVAFPQRPGKPDPAAPVFPGLAGRLRLALHTALGFAVGAALPALYILDGLRTGDTAGVAHAAPHAFLFSAQVFTEGFTSAFPGKFSLPVRAAVAVMYGVRRMFSASEWLRQEMEGRDELGRGPPVDPRRIMAGRALAAANMVFWGLDLFLYVLPFYLPKALRRYYLGRDHEDDDGKDRSQTKEQQQKQLHEQKGKEEGKKDS >Et_1A_007764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37990997:37993769:1 gene:Et_1A_007764 transcript:Et_1A_007764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAVVLAVAAVFMVLAAAGGVEGKAKEDAAKGKYYALFNFGDSLADAGNLIQNGTPEILATARLPYGQTYFGKATGRCSDGRLVIDHLAQEFGLPLLPPSKAKNASFTHGANFAITGATALDTPYFEAKGLGAVIWNSGALMTQIQWFRDLKPFFCNSTKECKDFFAKSLFVVGEFGGNDYNAPLFAGQGLEMAYKFMPDVIQGISDGVEALIAEGAVDLIVPGVMPTGCFPVYLNMLEEPADGYGTRSGCVRRFNTFSWVHNAHLKAALEKLRAKHPNVRIIYGDYYTPVIQFMLHPEKFGFYKQLPRACCGAPSTPEKAAYNFNVTAKCGEPGATACADPTTHWSWDGIHLTEAAYRHIAKGWLYGPFADQPIAQSS >Et_3A_025154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27369696:27373271:-1 gene:Et_3A_025154 transcript:Et_3A_025154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHGAALLMIASLLVAASLVDARFTVRPDDFGAMANQHGAALLMIASLLVAASLADARFTVRRDEFGAYVVSDVAAVPALSCSQVHGVQVGETCSSVAQSAGLTQEQFLGFNPNINCLKVFVGQWVCLAATSA >Et_9A_062936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:712169:714306:-1 gene:Et_9A_062936 transcript:Et_9A_062936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RKIVPNAKPLRFWTVDFFALRFTDQAAHRKRHRHLCQRHSSASTWLLESNARTGFHSPPKKFCSYSSLLHPAPYSVSPVLCVCSELLASSMAEILASMAVGPLVSMVKEKASSYLLEQYKVMEGMEEQHEVLKRKLPAILNVIADAEMQAAEHREGAKAWLEAVRKVAYQANDVVDEFKYEALRRKAKKEGHYRKLGMHVIKLFPSHNRIVFRYRMGNKLRMILQAIEVLITEMNAFRFKFRPQQQLSMKWRETDSNIIDPMKIASRSREEEKRKVVHTLLGQRSNKDLTVLPIVGMGGLGKTTLAQLEHFELRLWACVSDNFDVDLLAKTIVEAAAKEKGTVIDETGKSPLDSLRGVVSGKRYLLVLDDVWNRDASQWEKLKSSLQHGGMGSSVLTTTRDETIARFMGRSSSFLEKSSVGLQTLLCSRYGTKELQDLPKCKLLRALRTQVYSSLKPKYLHHLRYLDLSES >Et_6B_048610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11473827:11477812:-1 gene:Et_6B_048610 transcript:Et_6B_048610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVKDDFVKKFVAKHIARSEFAGLNPKLLKLSLEGRAQIVRRKRQIEAYSKCLKHVMTDEKVKDRLKSLMSKLESEDFFDVTNNSIDWEKRSLQKLIDDFKELVFREHAFPRYIVIQGIMVVEVVSRRWRDDLQQIWMDTRYYDHSYYDLLRQPLKK >Et_1A_005348.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:827387:827449:-1 gene:Et_1A_005348 transcript:Et_1A_005348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGFRQQALDTISTDCNDN >Et_4A_033178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19565539:19567172:-1 gene:Et_4A_033178 transcript:Et_4A_033178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFTMEEAFVQAPEHRPKATVVEASGIPVIDLSPLLAGEHDGVDAVAAEVGAACREWGFLVVVGHGVPAETVARAAEAQRAFFALPAERKAAVRRVETSPPGYYEAEHTKNVRDWKEVFDFAPHELPPEAVADGELVLVNRWPDEPPGFREAMEEYGRAMEELAFKLLELIARSLNLRPDRLHGFFKGQTTFVRLNHYPPCPNPNLALGVGRHKDSGALTILYQDEVGGLDVKRRSDGEWVRVNPVPNSFVVNLGDITQVWSNDIYESPEHRASVNSDKERFSIGYFFNPATDTMVEPLEELVSEESPARYNAYNVGDFYNTRKHSNFKKLDVENLQIAHFRRSLLRQ >Et_1A_006168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18705355:18708128:-1 gene:Et_1A_006168 transcript:Et_1A_006168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFSFTAQSKLGSKSCSSSNPSSTTKSGSTQSSLTLPSYKERSEPPIPRTEGEILASPNLKAFSFSDLKSSTRNFRTDSLIGEGGFGYVFKGWLDEQTLAPSKPGYGMVVAIKKLKPEGFQGHKEWLLNVYFPSFLGGEPLSWGVRLKVATGAARGLSFLHDAESQVIYRDFKASNILLDSDFEAKLSDFGLAKAGPTGDRTHVSTQVMGTRGYAAPEYVATGRLSVKADVYSFGVVLLELLTGRRALDKSKPVSEQNLVDWARPYLGGKGRLYRIMDSRLGGQYPKKGAHAIASIALQCIRNEAKMRPQMSEVLVKLEDLEDPKYNITTPQIDTRRTTSSSGSVPRSPMKMQPSPRRSSGSASPFPAMASPLPGVRTAKVH >Et_7B_053260.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:17193532:17195228:1 gene:Et_7B_053260 transcript:Et_7B_053260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPPEERSPPHAVCMPYPAQGHVTPMLKLAKLLHARGFHVTFVNTEYNHRRLLQSQGAAALESVPGFRFAAIPDGLPPSDADATQDIPALCYSTMTTCLPHLLRLLGKLNDEAAAAGGSGSAAPQVTCLLFDAVMSFGYDAAREIGVPAVALWTASCCGYMGYRNYRQLVDWGLVPFKDAADLADDGNEGQLATVVRGARGMCDGVQLRDFPSFIRTTDRDDIMLNFLMRESERASLHDAVVVNSFDDLEGPTLDAMRAVLPPLFAVGPLLLLERRLVSPSSPLGSSLWKEQAGLLEWLDARAPRSVVYVNYGSITVMTNEQLLEFAWGLAASGYPFVWNIRPDLLKGDAAVLPPEFAAAVDGRALLTTWCPQRRRCGTRPWGCSSRTPAGTPRSRASARECPCSAGHSSPSSRPTAGTSAPSGASGWRSAARCGVTRWRRS >Et_7A_052730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14508909:14514087:-1 gene:Et_7A_052730 transcript:Et_7A_052730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESVAAPEVVAVDWRGRPCRPDRHGGMRAAVFVLGIQAFEIMAIAAVGNNLITYVFGEMHFPLSQAANVVTNFVGTIFLLSLLGGFLSDSYLGCFWTMLTFGFVELSGFILLSVQAHLPQLKPAPCNMASMDGSCEKAGGIKAAIFFVALYLVALGSGCLKPNMIAHGADQFAGTPGGAKRLSTYFNSAYFSFCAGELVALTALVWVQTHSGMDVGFGISAAAMAAGLISLVSGAAFYRNKPPQGSIFTPIARVFVAAFTKRKQVCPSISSNSVNHEQARLVGNFRHANKFRFLDKACIRAAQQGPNTKPESPWRLCTVGEVQQAKTLLAVTPIFACTIVFNTVLAQLQTFSVQQGSAMDTSLPPGSGSGSFKIPPASLQAIPYAMLLALVPAYELLLVPLMKRLTGTRSGITPLQRIGVGLAAVAFSMVAAAEVERRRRDASVAGERMSVLWIVPQFLVFGVSEMFTAVGLIEFFYTQASGAGNGMQAFLTALTYCSYAFGFYLSSVLVSLVNRGLKISGTAPRNTMRCKSWPSIEVGRELGVSDSLKGHLARHGEPGLLLHAELLRRHTVREPPRERESGWPGSGAPSSGRGQGTYVGRSRTATAQSDAPSRRRCSRRTSRAGRNSPGESHTAGSRPTTRSSSSVALARASGCLRSSDMAHSTVTAEVSVPPAMRPTGPG >Et_8A_057482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3461407:3472038:-1 gene:Et_8A_057482 transcript:Et_8A_057482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPTDDGAAAAGGEGGRQDEEWRKELAAAWGQSHAERSALRSQYAGVRATIREVKDDPGLGQFDAAMGKIEKLHDEGQWSRSRTVKALLDLANVLVEAAKSENRDGPTPSNFVSALLQKFGPRTSPLDDSNEPFSWSGLGAAVSPLFMTATGCRTMYDLRSLTRDLVACICSLTTSSFRDICSPVGELAPDQDERNDTDENIAVMFGRLRTHRRVKLENLILNRQSFAQTVENLFALSFLVKDGKAEITVDDKGDHVECSCSWTITSREVSASQFVFRFDFRDWQMMKDVVEPGTELMPHRNTQQHGGQHQNTTPCPARDMEENVPSCSSGLKKRNRSGVARRLFSAVPDPV >Et_3A_026151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4941364:4942727:-1 gene:Et_3A_026151 transcript:Et_3A_026151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNGRGNNDTVKNGTVLENKSGTEGQLASSAESDSPPGSSFMKPQRADCDHNSRDEGFPYAKPIICQMWGDARVAPENSSVALAMAMQKDEEMPRIRPYARQNDTLPPLEVVIRVATGENGAPKCSVNHEVPAVIFSIGGYTENFFHDMSDVLIPLYLTSFRFKGRVKIFITDYNHWWIQKYKSMLCMETIHAILGLVRDRYLIIRQHPTRNPKGYTMLDFTRFLRHSYGLSRDRPLILGEQPCKKPKMLVISRRGTRKLLNLRLVAAMSRALGFEVMFSEAGGNLEFCDDVHGAGRTNHSQGLKDGMLWHLADITMSQDVKLNLRRFKPTLLQALDLLQL >Et_8A_057208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22375669:22379568:1 gene:Et_8A_057208 transcript:Et_8A_057208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRGHVAHCLGSVLARRAAATVAVSGSRRLTGAEFVDGVRRLAAGLAERGVRPGDVVAVVAFNSIEYIELFLAVTYVGAIIAPLNYRWSFEEATQALELVQPSLSSWALRLTASNKIPCIGLYLALGDHSSVSHAAKFVSVDHIKRSVTETTVLEPVSAPSDVALICFTSGTTGRPKGVAISHTSLIIQSLAKIAIVGYGEDDVYLHTAPLCHIGGISSCMAMLMAGGCHVLTPKFDTKLALDAIREHGVTSFITVPAMMADLLSYARKDRISDCGTTVTKILNGGGGLSDDLINGASQLFPRAAIFSAYGMTEACSSLTFMALNKPKLQEPNSQAGNYYGGVCVGKPAPHVEIQIAMDGNNNSSSPTGNILTRGLHTMVGYWVNNKVDHSDSVRNGWLDTGDTGWMDKSGNLWLIGRQKGRIKTGGENVYPEEVELVLSQHPGVAKVVVIGLPDSRLGEKVIACVNITDSWKWVDARDEQQGDDKQVSTRILQDHCRLKKLSRFKVPRSFYQWRRPFPVTTTGKIRREELKREILASMQLPSNL >Et_8B_060228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7749174:7750152:1 gene:Et_8B_060228 transcript:Et_8B_060228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FLDILNELKVEDRFTTQNGWTAEGWNSIHRKFNQITSPGKNKDLKATYKAIRDARKDSGAGLDPASGMVTGGPNVWDKIEKYHKKVVKFRKEGFLHYNRSIATGDLSFTSTDPVHQSFENFKEGHLEAARVGQEASLGAVAARMSSDAARVGQEASVGVVPASSTSVGAVAANYASVGAVAASSVGVGALAASSTGVPVAQEAGESSSTGVGAQEVSEDSGKKRKPGRVAAVLDDYLEHKKAQSGKQWRL >Et_3A_025449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:327297:329086:1 gene:Et_3A_025449 transcript:Et_3A_025449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLWSKVLPRLNKIFDKDGKKAAALEFLKSFNKEELDKEIEDKKTELEPKVVEAYEGSPPEVKALFKDKKPVKVTKKNSAAVTKFLDELAKIEFPGAKLVSDAVAKSGTTPLAPAITFILEKAAPFIPKSPEPEPEPAAAEATPRERGGVPNLGTYSV >Et_2A_014654.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32376083:32376691:1 gene:Et_2A_014654 transcript:Et_2A_014654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSARSRAAYPSCAARSTLRRYGAPSTATFTSLHPAAPSSRSALRRYARTGGHPTARALQVVARTRHPSRSVGTVRNVVNTSSRARRLVPYREEEKGAYVLWGGRLVVVGVGALAVRGGVGGGAGQGEGERGVVVVEAGHGRGRRGVGRARRHGVALLASSALRRRGNPVPVGDAVARSLSIRRRGRWCVVVVVVEWRTSV >Et_7B_055045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6856662:6860578:1 gene:Et_7B_055045 transcript:Et_7B_055045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPCLGACGGGSLAIPVPRRRALCLSAARPRSPVSCAAGSGKASPRGKDNVWSVDNERAAAERSRAPKHRRRRRPGGRRLPPPPSQGRKGKDAGLRVLVSGAMLVEVETVLQTQEPVIKPSWDTFASSLSGNWKGVGAVFSPITAEMEPVGVGSKQEYLYDCYTLSHIEKSFDSIHGPEIRRKTNWVPINPFGEADKQNSAHEVGSHDASGGDLPAFESFDLNRSNVLDEETFAMEPGIVFFEDGSYSRGPVDIEIGEYDESKYFLSPTYKFEQCLVKGCHKRLRIVHTIEFSEGGANIQIVRIAVYEEQWASPATIHVEDDTAFDLKPFSQRSRTKPSELTGSWKVYEVSATPIFSDEMQELEGGAPFVYLCMETVKKRTLPESSRLFGEEEMLDMQDVTVLWLPGGVTAYVDIDKDGVLCIGVGWYSEEGINLVMERDYGTDGRLREVRSKTEVKRRWNQPIPH >Et_1A_007962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39822438:39827171:1 gene:Et_1A_007962 transcript:Et_1A_007962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPRKISASSLDAWTPGPSPASATALLSSPLLSGALPPAQQLSRMGGGRKRRRRDGSEAPTIHPRNRYAAAAPDFAALAELYPSFRPFVSVSDRGRASIDFTDFSATRELTRVLLLHDHGVNWWIPDGQLCPTVPNRSNYIHWIEDLLSSDLIPPISSSNGRVRGFDIGTGANCIYPLLGASLLGWSFVGSDVTDVALEWAKKNVESNPHLAELIEIRNANAVSCASESETNGKEAAIDETLEPVDDPVKSKPPILVSVVKESESFDFCMCNPPFFESIEEAGLNPRTSCGGTAEEMACPGGELAFITHIIEDSVSLKNSFRWFTLMVGRKANLKSLISQIRESGASVVKTTEFVQGQTARWGLAWSFIAPRKTVLRSNAPAKTHHSFMLEVTLSDDHNEATMIHHDDSAGSLEDSSAKLQNTVKGASFRIMVFEQIPGTLLIKGSLLNKALSDTFVSLFSQLEDTLKMEFLSK >Et_3A_026486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8682020:8684373:1 gene:Et_3A_026486 transcript:Et_3A_026486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLKKLVVNYCDWGGSSRGIRTFMETYLPALKEKNPQLEVVTELVRGQHPNLKGIYKNHNERVVCVRNLPPEDILLQATRLRNSLGRKVVKMRTRHVTKRPSVQGTWTTEMKICEPASMKHPNWILLRREKDQHP >Et_9A_060883.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:10850567:10851723:-1 gene:Et_9A_060883 transcript:Et_9A_060883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLLIEHLHNDGAVFAAVLWEEGPATKTSEVPCQERRQRDDGRTARRVQWVQHMFASIQTTDQEAAVPAVRDDDSSVEGAGTADDGGELRGLYEGHELRAPGEDEVAVEAQDAWRVELAEAAEEVGMGGDAVPAPADDGGADEAGGEAEAEEDQEEEVVGAEHRSHRGRLPLRRRRDGLSRFVAWH >Et_7B_054776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4353724:4363859:-1 gene:Et_7B_054776 transcript:Et_7B_054776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARARLLACLYALALIVVLSSPVTAGERITDNLEILWGQTQVLNGDQTIALSLDRSMGSGFRSKTSYLFARIDIDIKLVPKNSAGTVTTVYLISEKQWKTHDEIDLEFLGNVTGQPYTLHTNIFANGVGGREVQFRLWFDPTEDFHTYSIIWSSDQILPKLVGSSSLLLLAVAVLLGTHRCAADDRTLDQKIELIWGTAHTYFFMDGDTETLALSLDEQQGSCFRSMELYLYARIDVDIKLVEGNSAGTVATVYTISEGPWQIHDEIDLEFLGNVTGEPYTLHTNIFANGVGGREEQFRLWFDPTADYHTYTIEWNPKYILIRVDGKVIRAFKNHEEQGVPFPTWQQQRIYGSLWNAEDWATQGGRVKTDWSQAPFVSYYRNYNVTWCQPSPGVPWCGAEPKDSTHFDLKPQDLADLQWVRSNYRTYNYCSDDRRFNATEFPKECYLD >Et_4B_037933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24944501:24945325:-1 gene:Et_4B_037933 transcript:Et_4B_037933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WPKAHPHQLQARGCLRDVPGGLVVRRAGVAGKEAKILFHGLDNAGKTTLLHMLKDERLVQHAPTQHPTSEELSIGRIRFKAFDLGGHRIARRVWKDYYAKVDAVVYLVDAADGARFGESKAELDALLTDDALAGVPFLVLGNKIDLPHAAAERDLLYYLGLSGCTTGKGAADLAGTGVRPMEVFMCSVVRKMGYGEGFRRMAQYIK >Et_1B_011656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24661122:24661584:1 gene:Et_1B_011656 transcript:Et_1B_011656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNHLFFWKNRPGPTATVECRCVKPRVPATAPSTSLTCGPRAGTFKPTLQRGSVRDATAKIRKRAALIQLGCSVRRDRLCRKKGSSETTKRSTKRDMGLCALRHEAAAGGVGGEGRRGCMAGAREQRSRFYIFRRCVAMLVCWHKYKKI >Et_10B_003649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4295606:4298505:1 gene:Et_10B_003649 transcript:Et_10B_003649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSEENYRELVEGEMTTVEIDPSKWWYIMLRLTYTDGTYQPYTVDDIPRVSYDPEEQSKILYATPIEGLHQSDFSLHSNLAITNVGDCPEECRCYPMHLLQFIDIQIAGYRHTILDVPRYMVFVAARETAEPFRNYVYKRDIENSEAVSVKRKTGMARLSLSSPARVISMPTCALIEFELHAQNKDKLDGEDSLIIEGCTELDHRFKLKSSIEHHHLYGERCALDIKYAVLRAPTHGVDLKLYAKTSGFSDVIRLFRGVASEVGLKKSFAVGVKMYNYLDLYIEGSVHDPVLGQKLGPGSWWQCSFGSSYHGTVELVAELGDFAAVLVKVTWKCYTRRK >Et_5B_043207.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:17397008:17397424:-1 gene:Et_5B_043207 transcript:Et_5B_043207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLLLSAAVLLAGAASASAPPYCVPGQRIPLHPLPSCRWYVPSRTCGTELAPYLPVEMLKERCCGELEAVAPECRCKALRVMMDEMSPRPDMPVEQQQCWHAQAVFAATVVTGPECGLRTIHGAQVCSALSAEN >Et_3B_028053.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6138117:6138227:1 gene:Et_3B_028053 transcript:Et_3B_028053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbI MLTLKLFVYTVVIFFVSLFIFGFLSNDPGRNPGREE >Et_7A_051267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16278411:16283450:-1 gene:Et_7A_051267 transcript:Et_7A_051267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAAAAAPPATGATASVRVSNIPPTAIASELLAFFDSAVAAAGATFACKIESAHRGWLSRGYGAVQFDSSSAAALAVDLASSGRLPLFLGYRLSVSPAYVDLLPRAPDVSLRIAAASLVLGNRVAERELEVVDGWDGVRAEVIPAKRRVDIYLTHDSDKYKLEVFFDDIRECFGCSVDNTVAILLQLTYAPRIHTAISGSAVNSKFTDERFSACKEEAKYAWVRALDFTRNNSFGKCSNLLLRLGEGARVSDILDSLPFSGELGELVFSSVDVLGPSSKIVPLVDCPTGFSVPYETLFRLNSLVHMGKLVARHVNAYLFKILQKLSVDTSRRIFEKMSKLNFTCYEPLQFIKQEARTMKISKNALLSNKGKGKGKLMKCYRVHITPSKIYCLGPEEEVSNYVVEHHSEYAADFVRVTFVDEDWSKLPANALSARTERGFFSAPLKTGLYHRILSILKEGFCIGPKKYEFLAFSASQLRGNSVWMFASNKSLNAECIRGWMGQFQGIRSVSKCAARMGQLFSSSRPTFEISSYDVEAIPDIEVTTDGTKHIFSDGIGKISLKFARRVAAVLGLDPANPPSAFQIRYGGYKGVIAVDPTSFFRLSLRPSMKKFESKSSMLNITSWSKSQPSYLNRELISLLSTLGIRDEILESMQQDDMSEANEMLANKDAALSALGKMGGAETKTAAKMLLQGYEPSSEPYLSMVLKAHRANRLTDIRNKCKIHVPKGRVLIGCLDEIGKLEYGQVYIRVTKNQKEQKVNKQPFFHFDDGKTAVVVGKVAVSKNPCLHPGDIRVLEAVYDDGLDARGLVDCVVFPQRGERPHPNECSGGDLDGDLFFVTWDDQLIPEKADAPMDYAAARPRIMDHVVTLEEIQKHFVDYMINDTLGAISTAHLVHADRNPLKARSPECLQLAALHSMAVDFAKNGAPAEMPNALRPREFPDFMERWEKPMYISNGPMGKLYRAALRHAENTEALLPEPEGLPSCAYDPDLEVPGFREFLDAAEERYEVYAEKLGTLMNYYSAEAEDEILTGNIRNKLQYLKRDNMRYFEMKDRIVAAVDALHDEVRGWLKECHEDDRARMASAWYHVTYHPDRRGGKRLWSFPWIACDNLLAIKAARRQVDGAVPMDWGT >Et_8A_058020.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1454214:1454591:-1 gene:Et_8A_058020 transcript:Et_8A_058020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHSSSTITSASVNSDMDAHSSTATSALLPPPPPPANEMKVAAAPAEVFEFEFEDMVFAVTEGNEVAEVLDGGAVRVLDSESFFDEDTGTREHLVDVYRERESMLLLVSVREDQRCIVGIRRWG >Et_2B_019807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14135045:14138803:-1 gene:Et_2B_019807 transcript:Et_2B_019807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALAIVAIMAAAVFGMASAATYNVGEPSGSWDLRTDYGTWAASKRFQPGDQIVFKYSPSAHDVLEVNKAAYDSCNTDNAIATHTTGNDVITLDAPGTRYFICGFPSHCTNGMKLQIDVTSGGSSLAPAGAPNSNSPPPPPSPATPSSAPATVAAAGFGLAALAATMARPISRALALAAVMAAAVFGMASAATYNVGEPSGSWDLRTDYGTWASSKRFHPGDQIVFKYSPQAHDVLEVNKAAYDSCNTDNAIATHTTGNDVITLDAPGTRYFICGFPTHCTSGMKLQINVTPGAGSLAPAGAPNSNSPPPPPVTPSSAPATVAAAGFGLAAIILAAGLMA >Et_9B_063750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11441702:11442781:-1 gene:Et_9B_063750 transcript:Et_9B_063750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEDRLSTFGDDILHRILHFTPAKEAASTGALSRRWRSLWRSSGAVNLAVRALNDGSQHNNSPQRQKEAEDAFFSHRDAFVRAAEAALAGAAAPVTRLTFHVEGENDAMVERFLNGGRDWLETDRDVVGAVVTHAAARRVEELVVAAVGSSDNYSSDMHEATESCVQEGYALRSLPSDTLRVLDLTKCTDLRTPESAAFPRLATLRLRLCTVALKNLQAVIDAAPKLATVHLESVQFRPQHHSAETEEVEELPVLRLRCSVATVLVMLLCGFEVDNKYDHYGCTRWAIEIDAPRLTSFRYKGLARTFQLRSPAPDMVHADLHLLHDLGTEDKDTKRVLFW >Et_7B_054596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2640486:2646742:-1 gene:Et_7B_054596 transcript:Et_7B_054596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVCIVDVSYVAAPADGALPPEPIKFNAMEAQWVALPLLQHILFFDGEQLPPFDAVLHSLKSSLAAILATYRPLAGKLVHLADTGDVAISCSASDDGVKFVVAESDADVRRLAGDEEHDVLTLERLVPAVDMSVLPAPVLAVQATRLEGGGVALGVTVHHGVADGRSLWRFVEAWAAACRGDAPPPAPVFDRSRVRLPGGEELARSLLRKYAPNLPVASTFLTEERLKFTRRTFTLDAGHIDRLKQRIVSLAESRGAPLQRPPSAFVAVIALTWTCCVRSRPFLADDDDVFLFFFADARNHLDPPAGEDYFGACLTGCHRRLPARELHSDHALAAAASAIQGAIREMVDDPVAGWDFLKLAAEIPMNRFFNVSGSSGFRAYEVADFGWGRPRRTVPVRMNQDGQVALVRARDGEGVQVSVAMLERAHMDAFKSDSAPAMAPVRIVDVSYVAVAAAATLPSEPIKLNAMEAQWLLAPVLQYLLFFDGDQLPPFDTVVQSLKSSLEATLANFAPLAGKLHYLPDTGDVAILCSAASDDGVRFVVAESDADVRLLAGDEEHDVRTFERLVPEVDMSVLPAPVLAVQATLLEGGGFGVALGVTVHHGVCDGRSLWRFVEAWAATCRGDAPPAPPTFDRSLVRLPGGEELARTVLRKYASNLPVATMHWLVDGEERLRFTRRTFTLDAQHIQRLKQRIVRLSEAKGAPLARPPSSFVAAVALAWTCAARARPFPDDEDVFLFFFADARARLDPPVCAEYFGACLTICSARLPARELRSEHALAAAASAIQGAVREMEKDPLHGWEFMGLAGKVRMDRLMNISGSSGFRAYEVADFGWGRPRLTAPVRMNQDGQVALVRARDGEGVQVSVAMRERAHMDAFKSEFLKLIVE >Et_7A_052286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6522994:6525340:1 gene:Et_7A_052286 transcript:Et_7A_052286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQEALCAPASSDVLIYDTFNAAACAAAASSAGSFLFGNAPPAAVALPAPVEEVKVEAVAPAREAEGESRVSQGRRKRRRRERSVKSREDAESQRMTHIAVERNRRRQMNEYLAALRELMPDSYVHRGDQASIVSGAIDCVKELEQQLQALEAQKRSLLTAQQQQSSNTAAERDPVRATAQQGSPNAAEEATEEDAAPASPPPFAGFFRYPQKVWRHPRPQREEDGVCGEETSRASGVADVEVSVVVDAHASVRVMAPRRPGQLLKLVAGMQALGLAVLHLNVTAANALVLYTLSLKPQFTQLFPALNQPQFTPFFDPFRVFHIFAVWHLTQVEEGCALAAADEIAAAVHHVLCIIEAEATAQRMLAVGGVGQPDLS >Et_8B_059432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17931456:17941986:1 gene:Et_8B_059432 transcript:Et_8B_059432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQRKRMKQTSPDSTAGVSASDWAALPGDILLIIFHKLGQREIMLGPELACTAWRRVALEEPDLYRHIGWRTIDELLQRQIDIGAAAEMALGRVALARAAGQCESFKGRLSYEDLPYLAESAPSLKTLDIEEFGDYEGTEELIMTLHKLPMLEILEIYFTYVINPDVKMLRSVCQACPNLKKLVLMYAGPCDLECNEDDFSKEPIDGEIPKMHELRTLELYECDLTGYGLTSILDSCPLLESLHITGYFNKRHIDGEELRVKYAGVKKLTLPTRLKPSDRCYYDYVGYHGSEEDYEE >Et_4A_033921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27995741:27999262:1 gene:Et_4A_033921 transcript:Et_4A_033921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNSSQNVSSCSTGGSDAVAGGSWLGFSLSPHQMAAMDAADGAVQQQQQQHAGGVLYYTPATTSSPAPFCYALGSGQDCAVTQAGGANGGGYYPALSSMPLRSDGSLCLTEAFRWSEEEQHHGVVVSSVSPKLEDFLGGGPAMAQSLDNSAAFYYGGHGHGSAYLQQQQPLQCAMIAGHDVYGHGHASLVEEQSAAALAASWLAARSVGGYDVSSSGNAVVHPLALSMSSSGSQAAASCVTMQMAAADPVEGSKKRATDRGAGNKPTVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTNINFTLEDYREELEEMKNMTRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGPTQEEAAEAYDVAAIKFRGLNAVTNFDITRYDVDKIMESSTLLPAELVRRKKDGNAIVAVHEGVANAAAALVEAGNAVEWKFQAAAALPAPPTRTDEHGGQNHEQLLSSEAFSLLHDMVSVDAAAGDNAQHMSNASSLATSVSNSREQSPDRGAGGGLAMLFAKSAAAAASTKLASYHPRLPPMGSWVSPSPVSARPAVSIAHLPMFAAWTDA >Et_1A_009008.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:25015265:25016629:-1 gene:Et_1A_009008 transcript:Et_1A_009008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPRRSRRSTRSYSSLAAEEPCSSRGSLSARRKPSKRARPIADGGTPLSDEILVGIFAGLPDLADLVRCAGTCRRWRRLVSAEAAFICRASRRRTTARGFFLRGLALGFFHTHRRGAAPRFAPTASASRRLGLRQPTSLNALVDGLDDGLFDSSRLVASRNGLLVVELRHNRHVLKLCVCNPMTGEVTVLPPLTGKDSLGDYACTVLTADATTDQTRSSWYRLLIVYRRQTFAACRSYSSDDGGAWGPEARVIMGSVPTASQMTTMTGTGVALGNTVYWHTKNQVFVLCLDFDPPMAYFKDMPRSKHDPVGNAMLGVSPDGRLRALQIMVQVTFPSVTVTERGAAISVSTMGAADFKWEPDQEVIPVAQWLPAEATHVRLRWVCEKSGVVFFSAGCDDRTSDMYALNLDKKEVEMVASHQHGGRDPSLWCNLHGYEMDLTLYLSSLGMDEDYS >Et_1A_007523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35576276:35582030:1 gene:Et_1A_007523 transcript:Et_1A_007523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRRHLLAAAVLLAAFASAAAFTDPPDGAYPGPRFCRARRSSSCLPRGALYSVWRVCARARSCVVSPTALVMWLLALECVRSGPRFYGEVVHVAIGLWGLYQTLESPWQLSGWTFQGGDPCGEGGEREKWRGVFCKGPSVVTINISGFGVGGWLGPELLKFQSLKKLDMSFNNIAGEIPSTLPPNLEYLNLAANKFEGNIPSSLSWLHSLKNFSYNRLSGVIGDVFVNMDTLETMDLSFNVFSGNLPRSFSSLTNLHYLYLHHNEFTGSVILLADLPLSTLNIENNRFSGYVPGTFQSILELRLDGNQFQPGFRHASSPFSRRTHSPPPQPLHPLQSLSPPPPPSGPPPPAARQNSKHRPKSPKPSSGYSSLQSNSHHKKSQSRVRAAAIGSATCTVFVLLIVGLVLKSWKSSSCRPKSTSNHTKTLPANIETVPKANEVLYSWSSLLIGSEISSSNNDITSVPKIKSWSKTSKNLPTAKQFTAADILAATRNFSEECLIGEGFTGRVYRGDFPGGKLLAIKRIDMVDLSLSEQDELMDMLWNISRLKHPNICALMGYCVEFGHCALLYEYAGNGSLDDILFSTATRSRPLSWKYRMKIALGVAYALEYMHSTCSPPVAHGNVKSRNILLDAQLMPYLSDSGLTKLNHFASTIRMKDSEALTSAKGYAAPELLDPGADSIKADIYSFGVILLVLLTGKKAFDCSGRQSEQFLVNWAIPHLRDVDCLKRITDARISGYMPPEAISSLGEIILLCINQSPELRPPMTDIADKLVKLVQTTGLQKTSRTQHLEGDTQDPSFVTTRPYFEPSSTVSQSGTESCISR >Et_1B_010391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10060564:10064374:1 gene:Et_1B_010391 transcript:Et_1B_010391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAASHRAPKNDQGCGVSHGQENDKTQESDGCVHSRGLEEPRWLMLFSRQWGLAESLVALADKQSMLDYGLSITLDSIWFLRTKQDLEGLHCLVSKIVASGAKDFARAILRMSLLASCIVACQSKALTMGDNKEIVAERVKDRLRDCHGDDHLKIEAGVKVQEFMDLALHCFHMHHCSEEAQRYRWNLNTLHEVQLHLSTFRAFLDLAGDNLSGKIFTDAFDAACFPLTLFSSLFEPGWSSGSLAVSIKGLLSLLVEGGADNVNQCFLEAARFGSTELVRILLEIAHQNSLAVYIELALVYASHCCKFETMECLVDEGNATSFIAPLIKAAERGCLPVVHWFVDRGVSDIETCLALTIAASNGHFVVGSYLLACIPLNILEDLSQQILKSVRGQSKKSLDGVAFLLKSNFLRDAAATYDAADKIAMEGAVGMSQDLVAFLNEHWSKAAFAAGMSAGEAHFMNIMRILRGGASPMCLQDLPAPIVLGIAYLPLYRACLSAGGQLLPQRLRGELVEAVNRLGEPANMESQGKDLVLALERHLPSFLIGS >Et_3B_030916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8801491:8818964:-1 gene:Et_3B_030916 transcript:Et_3B_030916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPGPPLPAAVSAELERLEARLGQAADPWARSRLAELGEAAAARIIRRIAGPPRPVANLSAYIKWLANNETMNRNADGIRESAACFSGPFRASQREDSISGPFYQDDLQMEVQSPDAEVSFGLSNQARIEPASPVRQVRCRLQCHESPGRTDSWMSGIEIESPPGWISLGITPFSPVASPMAYRGTTWAGCLQDQMPDTPPRDSTPSPVRYVTQRVQQMYGPSGRAGLATQPSFAYMNSLRAKASPQMLALGELEFDRFFLIRVYLADKKIEDVIEDPNYISHCPWIASSQKSGTDLEKSASDRRKGPYVENTRTHLQKVVGDDNVLIVNFAGIDIPVGMNNDNFGIYCTSYNGVAKNGILLGLRRYRFFIYKDGGKEKKQKESNKKERNKKFSSSVRCYFVRTESGWDRDEPYKLSGCMIDQARKLFMHIHTAPTVAKYLARFSLILPSTITLDVDFMKVHVAIIDDEPCKDEFGRTVIKHGERLIHTDGTGLISVDLAMKCPTSDAMDSKERQHATAEHPLLMQFRMFYNGYAVKGTFLVDKRLPPETICIRPSMIKIKPDPNLLGWNSFKSLEIITTSNRPKRVMTSRFLIALLHYGGVKAEYFVYLLRKALEDVNKARHTARDLLEVAFNHANLDDSMSARMILSGIQPENEAYLQHQLALMTRVERKGLQQGRIPIDECYYLMGTTDPTGTLKPDQVCIILDHGQVSGKVLVYKHPGLHFGDIHILTATYIDGLEKIVGNSKYAILFPTSGPRSLADEMANSDFDGDMYWVSRNPQLLEQFTKSKPWVPRAKPDKDIKQKKSQDYDVFELESLLFREFLKARFTPSEKKELIEKMLDLVDIYYMALDAPKEGHKVNFPEKLMVKLYPHFMEREHYASYHSTSVLGKIYDEVNSQEAETISPITIVPLECFTEEVVPDECKRRWWCLYQGYLKESSPLCKVDDKTIKTIKFRALYQKYKRILYDAEEFEESPRSRSELFSEACAIYQVVYELAMPRNEISKCGFAWKVAGRALCQLYVLKRGGDTALSSLPVLEDALKRNRSPPPPLPAAVSAELERLEARLGQAADHGARRRLAELGEVAAARVIRRIAEPPRPVGNLSAYIKWMANKDTMKRNAEGIPTAESAAGLHIRTILPRP >Et_1B_011980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27702002:27703410:1 gene:Et_1B_011980 transcript:Et_1B_011980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCKFPPLPSTFQGFPQLKNLQLRELIFEALISMCPLITRIFDIQFHSLRVTTRTTFRMSEPEVEVDGRSLTFRHLLRQINVELEGPQITRILSGITKMVLFWNNCLQLFHTTFNQSSRALSIFCILRNDPNLEDLEITLANYEKASPQAEVLASRLSCVDPAHACVYYVMKSLPN >Et_10A_001296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23039782:23043759:-1 gene:Et_10A_001296 transcript:Et_10A_001296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFKGEEDHDHYPYYRPTSGQSGSCDQPAAAPPPTHQQVTGSHGVAALALDLLNFESTSMVPEGLSQNVTSSRKAQVKWYWNILEAYKNTRPPPKTPAEAAQLVATALSRIQRDDLEGVLAFYNLPIPPHPAASACSDHFPSSLPEGIQFVLNTLPVSNRCIGDGDGFTAYVDTTDPRESATVPLEVHELVIARTQARSDRDYQTADALLSTIGEAGYKIITISGEEILARKYRIRMRGIDAPELKMPYGKESQNALVKLIGGRCVTIYVYGQDQFGRYVGDIYCDNVFVQEQMLKFGHAHHFKTYDKRPEFAKWEREARAANRGLWASQNIEKPWNWRREQRNGRQDAVLNEAKSQKPTPEGNTRRFVVTLMVSSGPGDGAGQGHFVEHPLGFVQEAAFRVEVEERGEDVGVGVGGELEGACVELQAG >Et_5B_045504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3285716:3287360:1 gene:Et_5B_045504 transcript:Et_5B_045504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVGDTLPSYSAFLDDDHHHPLYLDHPSAAVSSSFPTAAAAQEPNQPPPPAAEARKPRKRARASRRPPTTVLTTDASNFRAMVQEFTGFPAPPPFAPQFIGHGAGALFGGGPSPSGSAAAPAFHQLLRPSPLKLSAAATPQTSLFAHTLFTSSNANPTAAAAAAAAVAPSLSSELYSRFGPFVGGAVPRYDEGFPAMAEDRARHDHGLATSFLHAGDRTI >Et_7B_054389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20828884:20831867:1 gene:Et_7B_054389 transcript:Et_7B_054389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARGSHLLQGPLLGAGPAADSAAQHRRSSRRYRCTALCIAAGLLVLAGVVLLQSSAGVDDEQRSNLSGSGGDGVRREVKSDVGAVAADDGRCSEVGAAALRAGGHAVDAAVATAICLGVVHPMSSGIGGGAFIVVREAASGEAVAVNARETAPAAATSNMYAGKPASKSKGALAMGVPGELAGLHAAWSHYGRLPWKDLFAPAIRLAREGYAVVPYVAKAIKMTEKDILADPGLRAVFAPEGRVLKAGETCRNPALAEALEAVANEGVKAFYDGHVGEQLVRDARATGGVVTMDDLRRYKVEVTAATSADAMGYTVLGMPPPSSGTVGMGLILNILGGYKSLEFLKGFLGLHRLIEALKHMLAIRMDLGDPDYVTVSDNVSKMLSPLFADKIRQMIVDNTTFPPGYYFPKWSQLRDHGTSHFCVVDADRNAVAMTTTVNYFFGARMLSPSTGIVLNNEMDDFSVPVEHTPDDRLPPAPANFIAPGKRPLSSMTPLIILKNDQLVGVIGGSGGTNIIPTVTQVFLNHFIVGMEPLAAVKHPRVYHMVIKRFGATVFSRPCSCIVLISTFVLLHQLEPNVVLYENMTVVKDEMIELSAEARRFLEWRGHRLRSTVWVAVCQFIVHDVLDPNALGSDGILRGRLTAVSDPRKGGNPAGL >Et_2B_021924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:690837:692072:-1 gene:Et_2B_021924 transcript:Et_2B_021924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSSHQQKFRCHLEFPKQNAVVTSTQQMSSKGARMMRPALACCKLYISEARNAPALREIERAAAGFRPAAVLVNAFADDAYNRVGYTLVSRLSGGGDSAPGPLHRAAFGVVAAALEAVDFGSHAGTHPRLGVVDHVAFHPLAGAKLDDVAALARAVASDIGNKLQVPTYLYGAAHSEGRTLASIRRQLGYFTPNSPGDQWQGASDASSLPVAPDAGPGTPSRSKGVVVVGATAWVDNYNVPVHTSDVGAAKRIARAVSERGGGLRAVQAMGLAHGDGVTEVACNLLDPASVGAEQVQERVRELAAAQGLDVGEGYFTDFSQGKIIEMYLRSAEAAEASQQ >Et_3A_025616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31227359:31230178:-1 gene:Et_3A_025616 transcript:Et_3A_025616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLLRVTRYPLLPSTPRPAPRLVPQLLARHAPSPLRFLSLSTSSVSTSSDGPSDGGAGRKGEEEEAGKEPADYLGMSDDELMKQCEMGTFKVSGPGGQHRNKRESAVRLRHRPTGIIAQAVEDRSQHMNRASALARLRTLIALKVRKPINLEDYTPPVELLQILPLKSTIRGKDVGPQIGPKNSKFSPGMQALLDLLYAVEGSVSDAAKILGLSTGALSRLILSDDSLRAAANELRASKGLKPLRSFRDINWMLQIFYNRYVISDFKSMPARAKFNQHEN >Et_7B_054774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4299464:4301434:-1 gene:Et_7B_054774 transcript:Et_7B_054774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQYLMALCEFAEEGNYAVTVAISDWIERIYKEPVLAEHPCFATLVADVLFLNSDHARDFLNCRLVRTKAAEMVEKMVYETPELKDKLRFARGPNNLCHVVPIWSRRHAKITRRKQSTDHAQLYLKMKRRLPSPSHGASLDYSAEEALQAGTDPMLKQGHIAEDSSSIEVCFNFKRGSTVARSCWALLPADILEEISGRLSTEADHLHVHQVCAHWRASTSLPDAGRRPPAVGHRQLPLLLGQNRSRRPASRRGAAACPAAGSRCRRTTPDEEEASPWAGSQE >Et_4A_034862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7254927:7259220:-1 gene:Et_4A_034862 transcript:Et_4A_034862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAGEEKLSVSSDPERTGPWQPRNHEGTLAGAAESKIISDRSKGHLSPPRLNATSPCGTTTGCISKTASREGCPALQAAYDARSQNRSCSPSPPPHTSLLSSMTACSTGQQSWPWRSLLPRDAAAQASGAKPRSSSTSTTPFPAHEGAQVAGAGLRLLHRHAPAHERLVKLAPGVRARPVAPPAQAGRLARPDAAVRAARAGAGGLLVGLRGARGRRARAQARGDQGRVPHQAAGHGMVALREVALGTCHTLTHLTWVQHLPCLETLNLLSGCNGLVGLTRLMGSAAEEAAGAAEAGGPPWRGGVRVPGVAARADEGKPAAEALPDAAGGVREQSQVRIECDKHWWDALKWANEDVNFGDCEIVRSPWTRAAAILAPEDSCFGLFGGEDTIDGEDNESTGKSSPDPTTEDAFCAKHNRESSNFSASALTCSAIKLNQVAAECRGLPLSLVTVGRAMSNKRRVEECSGHPQSVAALEHSELGQGHTRSFEVCYDNLESNMAMEWFLTSALWPEDHNISKDELVQCWIGLGLLPELADMDEALRFGHSVIAVLEAARLLEQGDNHRYNMFPSDTHARGAPPRRQLRPAPPTGAPAAQKQRWRVSMGRDGAHYSPPLVAPSRWSGEGVVSHGGMLWWVDLSYGILACDTFADEPAMLHIPLLRVLWHKVCCNTIVFSEACHARDFVCDTRLVLPQT >Et_6B_049115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18128891:18132381:-1 gene:Et_6B_049115 transcript:Et_6B_049115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGKNLSATVLLIVIMAAVLCSMPTCTRSECHQYPNGWCECRHLSGAYSGPCLGLDDSCNDTCLSESSDNIYGECNRDLQFEFHMIVGSQENDLLVRVETKNVEGVRVHVLIIVRILIPIHSLDDVVVVRAPINISSCLPLFIII >Et_5B_045261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15363055:15364202:-1 gene:Et_5B_045261 transcript:Et_5B_045261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVTLQWIANDSTRRATYKKRRKGLMKKASELATLCGVDACVVAYGEGESQPEVWPPSVADAARVLARFRAMPELDQCKKMMDMEGFLRQRIDKLREQLHKAQRDNREREATLLLHDTIAGRRPGGLAGLSVEEIASLGWMVENRLQCVNMAIDKLHLQGLPATALQLQPPLPPPIVSGASSSAAYSFGGELGALVYGGFGGSFNYASHMPPLGNIGAGFGGTTCVSTNQMDMYVHNRSKQEMSDGGYVYGFHLRRGRLVSRMLTSDEKNRACRVGGREETLAHEYVYLCHGCL >Et_6B_048213.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:11137536:11138209:1 gene:Et_6B_048213 transcript:Et_6B_048213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLSGNGLVHPVASCWFWNLTSLKYLYLSDNRLYGMVPNSLGDMSSIRVLDFSYNRPVPIGLSDLLPSDQADMYTFSDADDTEFTDGWGLTIRTADIRKLCNLETLDFAQSLISGNITEIFESLAQCPSSKLQILSLRYNNITGILPNYMGMFYSLVKLDLSENYITGHIPSEIDMLKRLTYLDLSNNALDYLPYEIGMLKELTYLDLSNNALD >Et_3B_028080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1027272:1037158:1 gene:Et_3B_028080 transcript:Et_3B_028080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFVVVASTVAFFFLPSRLLIRLPELTVTKMSSSRHLRCAAALLLLLVSLRPADVSAGSATDDDSRRYDSIFSFGDSFADTGNKPIAFAMFSVPVTVMRPPYGETFFGHPTGRTTDGRVILDLVAEALGLPFAPPSLAPNGSFRQGANFAVAGATTLDAEFYHSRDIPAPGSKFPINTSLNVQLEWFESLKPSLCSNVEECKELFGRSLFFVGEFGVNDYHLSLKKLNLQEIRSLVVPNVIQTISAAIERLIVKHGARTLVVPGVIPSGCSPPVLTFFADRASPADYDPRTGCLKEINELGMHHNSLLKDALCELRAKHRHATIIYADFFVPVMEMVQSPHEFGFRDDVLMVCCGGPGRYNYNDSVACGDPDATPCKDPSASLYFDGVHLTENGYRHVANGWLSSLRSAARDSTGSERLGIPYVPPYLGPPFASPSPANNSVFFRRGATFAVGAATALEVEFFRERDIPGEGSKFPLNDTSLSVQLDWFEALKPSLCRTTQGRNMKGAAADQYCRELLGRSLFFVGEFGVNDYHFSFQTKSVPEVRSYVPKVVRTITVAVERLIKHGAKTLVVPGVIPSGCSPPVLAMFPDAAPAEYDSRTGCLKEHNELGLYHNALLQQSLKKLRCRHPDATIIYADFFSPVMEMVELPRNFRRGANFAVGGATAIDAAFFHNGVGEPPEPGLFPLNTSLAVQLQWFESLKPSLCGTNQECTELFGTSLFFVGSLGFNDYSFLLSRNTSVEQLRSFVPDVIASISVAIERLIKHGATTLLVPGMLPAGCAAPILVMFAGADTAQYDARTGCLENMNELCTHHNSLLQQALIDLQSQHPDVKIIYADFFGPVIEMVKSPSKFGFEGDALTICCGGPGKYHFNQNIFCGDPGSSTCKDPSARVFWDSVHLTEAANRFIAGDWLSSINSTASASSQ >Et_4B_039758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28820387:28823341:1 gene:Et_4B_039758 transcript:Et_4B_039758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGRSSCFVAALIVVASAVAVAGEVFFQEKFDDGWEDRWIKSDWKKEDNTAGEWNHTSGKWNGDADDKGIQTSEDYRFYAISAQYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDPKKFGGETPYSIMFGPDICGYATKKVHAILTKNGKNHLIKKEVPCETDQLTHVYTLIIRPDASYSILIDNAEKQSGSIYDDWDILPPKMIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTAPTIPNPEYKGPWKQKKMKNPDYKGKWKAPLIDNPEYKDDPYIYAFDSLKHIGIELWQVKSGTLFDNILITDDVEYAKKFAEETWGKIKEAEKAAFDEDEKKRLEEESANTKDDDDEDDDDAAEDEDDAVDEKADTTAEEDKDSAGAKTEDNKAATDEKTEDSKEKASDEEKTHVR >Et_10A_000971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19760075:19765669:-1 gene:Et_10A_000971 transcript:Et_10A_000971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPPGAPGSGGGGASGAGLKAYFKTPEGRYKLQYEKTHPAVLHYTHGGRTVAQLTVAYLKEKPSGQGSAPSTPSSSGGMRSAAARFLGSGNGSRALSFAGNNGINRAVSGSSRIGGGLGASTGLGGSQGVPNFDGKGTYIIFNAADTLFISDLNSNDKDPIKSMHFSNSNPLCHAFDPEAKEGHDLIVGMGSGDDHEGVFVVSHSDGNLYVYDKNKDGNTDWTFPAVEDQSQFQVSHAKSSKCNPVARWHICQGSINAISFSPDGAYLATVGRDGYLRVFDFSKEQLLFGGRSYYGALLCCTWRLVELLLIRIGLRQVLMELEKMYIALVLLVSESILTICREGLIKIWARPGESENNQRPNSSEFVLGTVVAKDRAITSSNKASGSSFKKPSSVLIT >Et_6B_048994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16524442:16525995:1 gene:Et_6B_048994 transcript:Et_6B_048994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVPWCGATTTSLIETTLADEVYRTVATFFDCHAWVPVSPSCVWKNILIDILRQVCDDAHGGAALRCWAWANWILSRRLGSHYSIKDDASLSRAWDIIASVLPRNSLGSKTIVTTHDAAEFEGRSLWDGHTYRIRSLMSFHDSESARVLFHRRIFGSTGSCPPDLIDIADKILFECSGVPLAITLVSGVLANKQCTRKIWDDVYNYVAAPIRDDTDSGTRNIVLLGYHSLPHILKTCLLYLNIFPEDYPINRDRVVRSWIAEGFVFEKHGRTLEEEGESYFSDLVERGLLQPAGGSYDGKPEAFLIHGLLRDLIRSKLVRDNFVTLLDKGKATVSKPTHKQVRRLSITSEDQGIPESMATSNIRSLFFFGGVMPKLSFKKLVFLRVLDLEGCKDLKNHHIVEIAGLKHLRYLSIKDTPISELPDQIGQLQQLTTLDLRGTEVRIRAGM >Et_2A_017091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30902757:30905510:1 gene:Et_2A_017091 transcript:Et_2A_017091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVEGSVTVSAQQDAAAMKGGGGKKRRRQPKGEGGEKKKYTLVSYHELPDYMKENEFILNYYRSEWPILDAVLSLFSWHNETINIWTHLLGFILFFGLTLVHLAQYFPQVADLIGHLSWPISKVSENVSTNIGDVLSGAAMFIQTNPSLASYGMAVTSQTTRWPFFVFLAGAMFCLLSSSACHLLSCHSHRLNLFLIRLDYTGIAVMIVVSFFPPIYYIFQCEPHWQVVYLSAITAAGVATVYALMSPRLSAARYRAHRALLFVGMGLSGVVPAVHAAAVNWHEPRRNVTLAYEGAMAASYLIGTAFYLTRVPERWRPGMFDLAGHSHQIFHALVIAGALAHYGAAIVFLKARDELGCPA >Et_7A_050758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10833004:10836706:1 gene:Et_7A_050758 transcript:Et_7A_050758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSIRQESTGAETREHEEEDRLSKLPDDVLVHILDKLELLSDAVRTFILSKRWRHLAGLLSEIVLDVTHYQQEVAGPGCTLQELVQSNVSVVEATKSILAHKSQNTIKSLSIIDEAIGIVRAVDKAMENREILAAEFIIIPERQDADCTDDDMINYGGRFKTFVDTCPGVFGALTDLRLHSIRLDKSDIYNALNLRNCDAGNKSELQIEHSQLAELAIVSCAFERVELKWLPRLTHLTCRNWLPSQDKYPLSFGHVPEFWALTLSLAGLTLHKTIVLSEFLGNALICELNLNFACERIWIQPEAPILLASKLQKLQTVRLCCIHEECDLTWTMFFLEAAPLLTVLHVQVWDHTCNLEYTENNEIREFYQKTGDSLLNWEVRDGFSHYNLRKLTVRGFQVEEKFTRYVRRVMKVAVNVELVSLLDSRPCAHCQLRPSTSFPRTDEERDLMRKQMSEGRSSSIRFEFDI >Et_4A_031824.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:19217089:19217688:1 gene:Et_4A_031824 transcript:Et_4A_031824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCAHCVSAKEKSCRVARQNDDKLQKALVLHRIDAWDIVILCLEKGLTQRNNVPNDYPVVQLEKDGTLTPGQDVFALSHQNNFMYSFCSGKVSYPCKDKVKSFSKLSSKSSEGKKAVKDVPPITSEYRTAKEISTTLDINGDLPVIEIRNIDIGPGGSGGPIVLRAGGVVGMLFSGGLSRSYAVHVPALRIALEEAKKK >Et_6B_048421.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:2060894:2060998:1 gene:Et_6B_048421 transcript:Et_6B_048421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKLSNRLKAGYPGRGISLVFGKVYQAAEASFV >Et_7B_053472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21433941:21434468:1 gene:Et_7B_053472 transcript:Et_7B_053472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMYFGEVCKGQLSVWTLDESCGQMEWVLKYQHDLRKQAQHMRSFYRHGEQMDGPWMVVEDNVGMHESDGSGAQNTSGTFEVLGFHPYEEVVFLIEAFGTAAYHLNDTVIEYLGNSRPKSYEETQLNGVYESFVYTPCMIGDLHGDSLSQT >Et_2B_019773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13925198:13926025:1 gene:Et_2B_019773 transcript:Et_2B_019773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDPGYGYGGYGYSYGYGYGAGAGGYDYDMSGYGGGGGAYYAAANDRYPPAPAAYEDPLGGRRQHDFPAPLTGLEFQPSDTCPKNYVIFDQTTEDGDDEDDVLSTGRTPGCRAGGSPDSTCSSGYGAASGGGRKHETGGGGGEKKKERMKKMVRTLKGIIPGGDRMDTPAVLDEAVRYLKSLKVEVKKLGARGSSS >Et_1A_006926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29056072:29060258:1 gene:Et_1A_006926 transcript:Et_1A_006926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKRSRVDLLATAGSSCWSSIPGDLLEQISGHLATDADILHIHQVCAHWRASTSPPAACRPWIRAVRTAWSRPPHAPGDTDYSFWLPRPSAASGVPYCLGASRGWLAMGDRRRAPWGPASDATPLTRLVLWDPASGAEVLLPCLRDATRVFLSGDPLASPHWMAVATQRPSSGGPGTPPPPGAPWASATPPPSPPALVGARNAGAMVPPLLLPPERGAPGDDPRRRAAASRLAPGAQRSRRAARRSARRSPWCSSWTGRGTSPELGERVTDLGEHALFLGHGESFALSTKEFPGIKRNHVYCVPAPEWNVSSRHDRTLPDWAFVFDLGSGTLQEIPYPGELRDDGTNWRPFSWFLWLARPDGRRAVPHAARAVGPRLQGRDPSPAPGLRDPGLPLRRPYRRRRVELDHQEDGHNFLRLLVWRPGANWSHWTLLHRRFARRIETVAFSGTKMYCTDGIHSILRCDLRTGFTRTFTVAGAVEWLRAGSISDDDWCGTMVGSHVVACNGDGEVDMIVLMHVACRRPRAEVCRLQRRRSTASRPRVPSLPGHHFVVEVGERVTDLGDYSLFLGRGNGFALSAKEFPAIKKNCIYYVAGEEIRLFGEKHWALVFDLELDVVEVMYADEFGIFRLITLVKIDI >Et_10A_000530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12180458:12185653:-1 gene:Et_10A_000530 transcript:Et_10A_000530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQKSMAAMEQPKLRSLAARSSWLLLLLYQLARAQPDSNGFISIDCGHAGAGYVDDTTGLSYVPDATFTNGAGENYNIPPHSITPQLQRYLQDVRSFPDGTRNCYTLGPLAAGNKYLIRVIFMYGNYDGLSRPPIFDLYTGVNLWTTVDASGSRPMIWHEATVVVPDDFLQVCLVNTGRGTPFISALELRPLNSSLYPQVNATQGLNLHTRRNFGPTYETDIVRYPDDPYDRIWYPHIDTTNWNVTSTEKRVQNMNHFEVPSKVMQTAVTPRIASDNIQFQWVPNPLPNDPSPWCIHVMHFSELEPLLGKAVRQFDININSNLRYTLGFTPVYLTSVAIFSREPYRGYAKYIANIKATANSTLPPIINAYEIFTVISTANIGTESQDVSAISTIKMKYGVTKNWMGDPCVADTFRWIGLTCNSASSGAPRIISVNMSSSGLNGDISSAFANLTAVQYMDLSYNNLTGSIPDALSQLPSLKALYGNNPNLCADVDSCTTPKVKSMLPFYVVVPVVLVLVLVSVIVLLFLLRRRKKGCVNNCVKPRNEASMSHVPTGGMHSSLRLENRRFTYKELERITNNFQRAIGRGGFGYVYDGFLEDGTQVAVKLRSESSNQGVKEFLTEAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGSLQEHITGLEYLHRGCNPPLIHRDVKTTNILLNAKLEAKVADFGLSRAFNRDIYTHVYTNTLVGTPGYVDPEYQATMQPTTKSDVFSFGVVLLELVTGKPAILHDPEPIGIIKWVQLRLTRGEIESVVDERMNGDHDINSVWKAADVALKCTAQASTQRPTMTDVVAQLQECLELEEDSVGSNATRSFHTGSQGDLHLSRANAYSDGASRSIAAFEMVDIMATGPVTR >Et_8A_056904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18762500:18763382:-1 gene:Et_8A_056904 transcript:Et_8A_056904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSWQLDLVLVPLGLAVMLGYHLWLLLRIRRRPETTVIGINAINRRIWVRHIMEEPSGKHAVLAVQTMRNSIMASTVLASVAITLSSLVAALMASGAAHGLFSGSSGSGGSAERNRLVVGAAGEAALTVKYFAILVCFLVAFLLNVQSIRYYSHTGLLVNVPLRAHRRPARAVDYVTGTLNRGFYFWSLGVRAYYFSCPVFLWLFGPIPMCASCVAMVAALYFLDVYKEWDKDDGCDDDEGDDTGGQERKPAAAGRVMPREVV >Et_4B_039622.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24180684:24180944:1 gene:Et_4B_039622 transcript:Et_4B_039622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLKAEPWVRLLIILVLLISASDGSDAARPLKEEAVAAPGSGTRAHSSTNWFLVALNRVVVVSKSSAGPSGCTYNPNNRGRRCP >Et_1B_013499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9000460:9002546:1 gene:Et_1B_013499 transcript:Et_1B_013499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TEFSDPLICTATAFLESCSGLMDATSSGTSPVMHFHSIADESASHSSPLRTVLERSQRHCYGDGNPGEFPLAVSPSIVLHATCTFFSKPAHFAPNVALPLPELAAFDLCHKRTMFKLMTQEERECLKQRCGGSWKLVLRYILVIEKNSSRVIAGPGHSIVITTNGLVYSFGANCSGQLGLGDTEDRFKPCLIRSLQGIKITQAAVGSRRTMLVSDTGSVYAFGKDNFRGAELSEASHADHITTPKIVESLKGVFIVQAAIGGYFSAVLSREGRVYTFSWGQANRLGHSSDPSDVEPRLLPGLEDVPVAHISTGNCYLLMLAYQPNGMSVYSVGCGLGGKLGHGCKNSKGMPKLIEHFQSLSFKPISIAAGTWHAAALGEDGRVCTWGWGHTGCLGHGDEEFKSVPTIVEGLSNVKAVHLSTGEYTTFVVADNGDVYSFGSGEAMIVDLQEDDDVEVPSFSIPTLVESLKALNKKIVQISPTNASYWLNADMGQSHTFAVTESGKLYAFGGGIRGQLGVKLAEGLERANSPMLVPVDLQ >Et_5B_043484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11019916:11022321:-1 gene:Et_5B_043484 transcript:Et_5B_043484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRLIMPLHHVLLGLLLLSLHMAPRCAATDTVSPGNGLAGSDRLVSNNSKFALGFFKMDSKSSHTYIGIWFHKDPKMTPVWSANGESPVVDPVSPELAISVDGNLVILDQASKKVIWFSPANVTTNNTVAVLMNNGNFVLRSSTNSSITFWQSFDYPTDTLLAGAKLGWNKVTGLNRRLVSRKNLIDQAPGQYTLELGEDRIGHLLWNSTVAFWTSGGWNGQYFNLAPEMIGARTPNFTFFNNENESYFMYTLRDETAIVRCAIDVYGQGLVGAWLEPINNWLINYRQPILQCDVFATCGPFTICAENEDPLCSCMKGFSLTSPNDWNLGDRQGGCRRNTPLDCESVGNKTGLADKFYPVQSVRLPHDGMKVQSATSGDDCERVCLDDCSCTAYSYGKGGCSVWQEKLYNVKQQSDASSDGNGDILYIRLAASELPASVSMKKKSGINIGAVVGGSASALVLMILGLIIWWKKGRWFTGRMENAQDGVGIIAFRYADLQRATKNFSERLGGGSFGSVFKGYLSDSVTLAANESVLDWNLRYQIAIGVARGLAYMHTGCRDCVIHCDIKPENILLDATFLLSGEIGSLVDSNLHGDVNLKEIERVCKVACWCIQDNEFDRPTMAEVVQFLEGVTEVDMPPVPRLLNAITAEAGSPA >Et_7B_055101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7279103:7289585:-1 gene:Et_7B_055101 transcript:Et_7B_055101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKKAGRLARSLSDTAPCPAVSGGVYVFFAAVVAGAFVSACWMSASARIPSFTPLATSPAAQNATPRPEAAPRLLPRLTSPAPECPAYFRWIHEDLRPWRATGIKRDAVEAAARRYAPKFRVTVVDGRLYVARYGRCFQTRAEFTQWGVLQLLRRYPGRVPDLDLMFDCQDLPVVVRAGDGQPPPPPLFRYCGSEATLDIAFPDWSFWGWPELNLKPWEALRREIKEGNARVNWTDRAPYAYWKGNPAVGAERLDLLRCNVSGKRDWNARIYAQDWGKEVQAGFRESDLSKQCNHRYKIYIEGRGWSVSEKYILACDSVALMVRPRFHDFFSRGLEPLQHYWPVRDLGKCRSIKFAVDWGNAHADRAQQIGENASRFVQEELTMDRVYDYMFHLLTEYAKLLRYKPAVPRGAREVTVASMTGGRRGLQRKFMMNTVENVSSGGGGGPRRTVLAAALSRTSAAFLFLSVVAVGAIASARWMTATTALQGRLTRLPATAATPAGAAAASALHHGTQHPQQPRPSAPRPPPPPSRPPPLTYSIACPARNLSHAQTPQASQTIARALASSASSCPSSPTRLPPPTAASTSNNACPSYFRFIHEDLRPWRDAGGITRAMLDRAHLTATFRLVVLDGRAYVQRFRPAFQTRDLFTIWGVLQLLRRYPGRVPDLDLMFDCVDWPVVRTHLYRGEFAKIMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALLDDLKDGNPSVSVTRQELVKCNISSTQDWNARIYNQDWFKEGKAGFKDSDLSNQCAHRYKIYIEGSAWSISEKYILACDSMTLLVTPKYYDFFSRSLMPIQHYWPVRDENKCNSIKYAVDWGNSHKKLAQRIGKQASNFIQEELSMDHVYDYMLHLLTEYAKLLKFKPTRPAEAVELCSESLACQAEGIEKKFLMESMVKSASDTGPCDLPPPFGPHDLKMLKRRKENSIKEIETKTIQVSLFHYSNAFFALPSGNAASMCSKSKAPTMEMLAIVLLGYRMMTPCSETSPTPRGQIKYGTMSFSACIGRERAQTAKLKLELVPEDFNLRRRDKDQNSDKL >Et_1A_005488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10882754:10885011:1 gene:Et_1A_005488 transcript:Et_1A_005488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFDPFTPAPAWPQDLVFAGSSWSNSVAPSLADCAEIGFHLQNGSSPALLNNSAKETVSSLELQEQFLQELLQLQGGAMHGLNTEVERALVAGTLGSAMSLADSASVFCSSNDSSGSEQSGLPQFLLGEQQVPASSAWSSALLQVSSLVGEETSQSFGFGAVGNGDLLHDACTPDGKKYPQLGNVPSAPLQDDAKCNTGKMLSFAPGQQLNANFDNLQINQKEFSGLHHLNLSSLVSGQLSSFNASGAALSPKQTNEVISGINGPSATFVTQSEVPNGNANGGNGAPKPRIRARRGQATDPHSIAERLRREKISDRMKNLQELVPNSNKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGATEAVVPLLTESQTESSGLLLSPKSGSRKAGGDMLGQSEHRDSAVFEREVVQLMENNMTTAMQYLQSKGLCLMPIALASAISEQEGTSSMAVPPENRGKGDMKKVPIVMNHFSIAKEMKSTGTA >Et_7A_052864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2090739:2096571:-1 gene:Et_7A_052864 transcript:Et_7A_052864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFGARGRRSPEEDGEDDRSGSGRVKRRRLSPEEDAASPAGSSPGWLSSFVSGAKRVFSSVLLFSSPEEVASGEDDEGSEDGNGLSSDENEDVCDTRGAIVPYSESKVAIEQMVMKEKFSRDEFDKMVELLKSRVTDSTLPEACEYGTPKETPSRNVGIGHDFTGAWRSLSRDRNFPESAPFSSMRPGSFSPGSPLQSSPELCTAAVTEAKKWLEEKRKGLGLKPEDNGPCTLNTDMFSSGFDSDMGSPIDLAKSYMRSLPPWQSPFLGGQRFNTSPSGGVCIYDDEEKSKHSISSSKVTMKEDYLSKFWANLEESRRAHMGSFGGSADASKSRHYGSTSRLFENDTSIFSLGIDEKVGEPAEANHGYDKVTATEPVSGCPVPVTQTEDGNNGIVEPLNPAKDNGNAHQEYHDAPEVHPDKIAEVDNMSHTSVAKDATGHSGDVKDPVAEPQKGESHINSGSASKVSEFQIFFVERNEQYGRPPNAFKQLLTIMSLLDRSNVNSGLESSGHDNPSCTNSNSAVPPTSEDLTESAADAADVVSVENGTGMNPEEPSKGSKQPYVRRGRKRAARGTRGRGK >Et_6A_046639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18550286:18550588:1 gene:Et_6A_046639 transcript:Et_6A_046639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAASTLFRASAVVITGLSDPKQLEAIACRESLALAADFGLQSFTVASDCANIVKNIKEGTAMGPTAKLSRKSSP >Et_3A_024499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21317063:21319867:-1 gene:Et_3A_024499 transcript:Et_3A_024499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLHLKAPLERVGIDDDDTAERAAPPRRGAAGTASSATGNVDVTTAEGHTVVPSAADAFAASALVPAPAGHAVAATSGTFRPQQQPVAAVRAIYGENARRGGRVASAGEVADAVPASALSTAGEPVASSPFSVDVAPVPTSQFLAAGARDIDTAVAAYGIEPATGVATTFVVREAHCSQRILLTSPQLLVSVHASRRDTITAAVGRSANDA >Et_1B_013122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5710967:5714827:1 gene:Et_1B_013122 transcript:Et_1B_013122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHYWSMAAAAIGFRLVLVLFGGDLHLSSRPEVSTPLTSLRRLAEGYWLKQASMSPYSGSMYHGSPLLLSVLGPLTNNSLIFVAVDFLAAMLIRATGNKLQMARNRSLSSLDLTKAVNLSVNLSSGDVASLIYLWNPWAIVTCVGSCTSPIENLMAVIMIYGACSRLAPLAAFGYVMATHLSLYPAILIVPVILLLGYGPDAPPPKVFLRKASNGSKQDRLRVSPRFSWKPVVHFIFWLFFWSFYVLLLSSIILKKDLWFHSYSEGSITKYRYFFAEVFDFFRNFFLMVFNMNIIFMVLPLAIRLKHRPCFLAFVYTAIVAILKSYPSAGDSALYLGLLGLFANELAEMQFTFFLFFGYIGVSLLSPVMHNLWIWRGTGNANFYFATSLAYTCLQTVLVVESVSSMIKHDRKLRLLVTS >Et_7A_050426.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14858465:14858623:-1 gene:Et_7A_050426 transcript:Et_7A_050426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFQRFKRSVEHVVVSNNAFIQQTELGECADGTESEDDQETGTPPPAGCV >Et_10A_002195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:460140:463172:-1 gene:Et_10A_002195 transcript:Et_10A_002195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVDWCSAMRRVEREMADRSGCRRKTFDHLAPRTEKSYLASTFEQYYSTNDNPGRVEPGRPRCGPGCCYLGLTGPDLSCCKSLFDQQHSRKRLPGECCTLDSTVKSSVAVIGSGQNEQRGAYIPGQEQEQKAKSHASVSLVLLLPLSIIS >Et_4B_037363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1900290:1904128:-1 gene:Et_4B_037363 transcript:Et_4B_037363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FCPLQIFQEDISSPIAAHILDFCDDGLGDDLFAAEQHHDLDNDLLPPIDGLPEAAYYPPVTDEASIEQFSQIELPGTMAEPVPPMQMSSSASQTGTIVPSCNAEVSQGGFFNSASNGSNDMVMIGEYQKMMEGGNNQHLTNGSNGSPPTLPQTELSGLEDPTFKVTRLSPEERKEKIHRYIKKRNERNFSKKIKEHMKEEDMLDSSDILAHFSGLNSYNYKYNLGFRFAIPNQDQTSWPCEAEDPDAATASTGPPLHAGPYSDLGGDHGDLDDHPERVDPVPEPLVHLEAEESLDDLVRVLGQLVPGLEDGEHGDAGGGRGGDGRGGRGEAEQRRGGEGGLEELGGGQRREAGGGPERARGLVGFCGGFRRGEERGDWGGGGGHHPRRPERGDGGGGGGGERLERAGAGEDDEQAHPQRYAERGGRGGREVERGRLRHRGEAQAIGGETWVRAGNGRGGSG >Et_10A_001467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3747277:3750231:1 gene:Et_10A_001467 transcript:Et_10A_001467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLLHPAARLAGVVAASGGRGGGASPPRAPPRGARRGKPRFSRQSAIKKSFHQEQVVFATPVPADPSVAVIGGGASGLACASALAARGVRAVVFDTGMHGLGGRMATRVVDAGEQLVFDHAAQFFTATDARFQKLVEEWLDRGLVREWRGLIGELEAGGRFRPIPSSTPRYIGVNGMRPLADAILPESELIQVVRPCWISKLEPFNGLWRLFENEKQRGEYDAIVIAHNGKCANRLLSTSGLPLLTKQMKSLELSSVWALLAAFEDPLPIPQSDSHGTFEGAFVRDVDSLSWMGNNTRKLFPMQAGAPECWTFFSTASYGRRNKVPQENIPKVTAEKVKQEMLGGVELALGLSKGSLQQPMYTRVQLWGAALPMNTPGVPCIFDPLGRAGICGDWLTGSSIEAAVLSGFSLANHIADYFSSNSERPEEFATGLHENLNQVEEHDIGQFPGLDFQKPQADEAQLTPSL >Et_3A_023587.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:8174923:8175078:-1 gene:Et_3A_023587 transcript:Et_3A_023587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGRRMSSALSALSCSHSSALLFPRCSSTLIRPTAHNNGGVRSARREVCL >Et_9A_063357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21374530:21380690:-1 gene:Et_9A_063357 transcript:Et_9A_063357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGRGGGGRQPYAASDGADAAAIPPANRKMVQSLKGILADRSEVEIYATLCDCGMDPDIAVERLISQDTFHEVRRKRDKKKETKTSQETRPRPFHKSLYRGYKAGSDRGGRFQAGLGDSTGGAKGPIKKEAELHAPNNSVALDSVKTSSPTETISAPGNIAKNEIPVESSAHADAKNYTSQPPSQVQHGWGVPGRPSMAEIVKMGRPQAKVASRSVASTIGMPAIVDSVTSNAPNRTPKESNHIVTATKLPNGTSEVHLSNVVSSVDITPAAEVSEVAESAGALSSNANTKGLSVADDIEKEADLDEVKEVSRNAESTEISGQFSGSGKEVEPQQTPTASHLDNGATVKTDDFQYNEHSFEHNQNSNGDTTMEQFDHLTLHEENKSKPSDDNPAVIIPSHLQVSNADCAHLTFGSFVSGTLDASLSVKPLNADGEVPTVSDNQAIDNSDVRTHEDENKATATPSTNEYVASATNSNMENLNVTSQGNIPNGLLPPAIPPLRDLDPAFSLLLTNPPLATMVHGTVPSSTSNAAVSTQPQENVNPGGLSNAQQFAQSQPNTSIASGPPLPQHLPLHPYAQATLPLAYASMMGYPSLPQSYAYIPPAAFQQPYVNSGLFHQAAAAVPNSSVKYPLPQYKSNIALAGLPQPASMLSNYPGAFGTANNIPGNFNPNQSTAAASAPLGFDGTVPSQYKDGNQFISLQQGENPAMWMHGAGSRAMPPLAANTLYGYQGQSHQASLRQGQLASQFLGQSQPGLGPEHRNPSDSNLSAAAQPNQMWPSTY >Et_1B_014147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32723816:32726818:1 gene:Et_1B_014147 transcript:Et_1B_014147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPALKGKRTRLAGFGAGHLIAIDVDDKLSAVLVNPLLPTAGSTTLPRLPEWCDGGLTYGFATDPEMTPGDKDIFVVIYNWWPCPHALERMDVAMWRCGSDAGWATVPAERFWPRMPLLRRRLTEHGPVGLEVHEEDGVGNGGGMRWVPGMANAHLMEHESQVCFLFRQENNWLPFPWPRVSFALQEMVGEDWTIVDWADAPDLHDKVILQSSHSTCYVLPARDDFGELSKNSIYFFSWQHLEEGRQECCLCRWDLLEHVATVVKQMPGVWDWAEARWFLPTLKHYLEHTEHESRCTAGRSSLAGGRTRPPGKSCRARTGHAPDHRNLCGRAVLCFPPLSSSILPVVAPSVKPSITEAVSMAC >Et_6A_047010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24003773:24005879:-1 gene:Et_6A_047010 transcript:Et_6A_047010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELPEDILLLIFGSLEVPDLHGAYTTIRDDLVLWKQRQPQAPCLLYTAESASGESTTVLYSVTGKKAHTLRQPIGSWYFIGSAYGWLIAADERSELHLVNPITGDQIELPSITTIKQVTPIYDDNGALYEYSSWPAGNSERGPPSAFGLSELREYFFDKAFLSSDPSTGSYINCCYHAQHLSIARAGDDSWTPLPPHDCFNDCVFKDDLMYALTRLGAIHAFDFSGPEVKQSVVLEKMKDYICEIMQTGWQFYPWVWAPDGCGRGYNFSPMGATRTRPDSDLDQEQEEDDDDDSSEPEWDDSEPYTRYSTVSKVYRVNLAAKEPVEISSFGKNVLFLGQNQSICLSAQEYPQLKPNHIYTDMDEYIILRKDMERDIAVLDSNLVQLAKPRVDNTKPEKGEAAEGKKYRRRKERVPTAMPEVTRWCTTGECPAGGKTSSLNNVAVKTRNYFLVLIGIFMFGLLGEIRAICCLIVQKASLYRER >Et_5B_043748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15226420:15230424:-1 gene:Et_5B_043748 transcript:Et_5B_043748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEPSSAAAESPPLPIRRRARRLVFDRRYGWIFDEWTDPADQALSGGRGMLVPPTHLYPTSLFFSPRLAFVPSSLVPPILQPKVVLILGLPLCSRFCVVPMAQSLVNAAASSICFVASSVTGRLGRSRNIHLPTYTSSLEYHGKQETWFRELEHSRVIAELKKKPVQTLVVGIAIALWGGKNKGLWGHRTGGCVSRRGNSVAFAGNFTSS >Et_7A_051659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22028368:22028866:1 gene:Et_7A_051659 transcript:Et_7A_051659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEKERSPGRFDRNNTEITETGPERLHNSPVLPSFCMGTINYVKHIATSEKERSLGRFDRNNIEITETGPEQLHNSPVCLSAAKLTFFRVFVREPSSYIKHIATSEK >Et_7B_053954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13736197:13736958:1 gene:Et_7B_053954 transcript:Et_7B_053954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDVVVEHGESSKAPLVAPVASGVNRAVSIIDVFLRRSLSSRIRGQVQRPPVLHASLALLTAGASAAAAIVYLAHKGNVRANWFAICQQFDSFCERISGSLIGSFAAMVILIALITLSAFALARRHH >Et_3A_025889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33762486:33764464:1 gene:Et_3A_025889 transcript:Et_3A_025889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATASAASSSSAEFVGVPTTLPLDKAAASRGGDQAADCGVCAICLDKIALQETALVKGCDHAYCVTCILRWASYKQTPLCPQCKHPFEFLSVHRSLDGCIHDYLFEESVCLLLRAAWFEPLLVEAHEEALEEEEFYHQYQYDDDEDDLDEESYYMSRSPSIRIGNRRWGDNGYVRGGRREARPRPAQNDSADAGPSRTPKKKEAAASGSGSVPSRTPKKKEASASGSGSVSKDVAGRRAKRAQKREAADKAAAEKHLKHLQRLGLSKTPEVPAAAEVGPQVNE >Et_5A_040939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15332579:15335545:1 gene:Et_5A_040939 transcript:Et_5A_040939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLPPCAPPPHLHHHRPLAPSAPAAAKTARGATTMCLGMPRTPEERRARMRQRLPKFQDSSSSAAAPPALKAPAPTAPVAAPSPAPAMATRTTAERRGSLREMRRVWWVCGVGYWVQGFRCFPWLALNFHLTRGLGLSPAALQLVQNAGNLPLVAKPLFGVLSDAVYIGGAHRLPYISIGALLQLVAWGALAVIPVTGDTFPTQMACILIGNFGASVTEVVSDAIVTEFSRTQKAGVLQSYAFIALAAGSLLGNLSGGYVLLRTQEPKIMFMAFSVLLGFQLALSLSTKETLPSSQENSRSSRVRSSLTTNLRKQFSNLMMAISDDRIFQPLAWIMTSFAVVPILSGTMFCFQTQYLKLDPSVIGLSKVVGQVMVLSLTVLYNRYLKRIPLRRLITGVQLLYALGVLSDLVLVKQINLMFGIPNEIHVLCFSALAEAIAQFKVLPFSVLLSSLCPPGCEGSLFAFFTSGLVFSAILSGIFGVGLSTLIGVSSLDYSSLPLGILLQSLAALLPLGWISFVPENWTADDKVVIKR >Et_3B_030216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31412271:31412744:1 gene:Et_3B_030216 transcript:Et_3B_030216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSREEFVGSAFIAFGITLFVGFFYAAIVSKLLPPYENRLLAAIQNDWYYCLLLPLTLPVIIVAVYLHWLSMKMFKHA >Et_7B_053842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12738279:12745403:1 gene:Et_7B_053842 transcript:Et_7B_053842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAANPKPSSDAGCSTYGTFANPKITAKKLVKEQASVKTDLEMAQTKLRRATEQINLLEGKLQQAVNENAKLKVKQTEDSKLWQGLDSKVSSTKTLCNQLTETLQQLACQTERGKQQMLQIKHEKEEMDQSYKERLCASDTTIKEKDSLIKQLESSVDESKACLICLDSRLQCMEQELKLKDDVCISLKENLANAEGERNSLKLRNQGSSLEIAKLCKNNKDLNDLLSSFVAKVTELDKEHASMSSHVSQLLSSFETFQGMVHEEKMLTARSSKEKIEELQNQYVELMSENNGLKIEIEELKCRIIELHKTQEIVMVQHVEECQVAEDKIRRLESEAEISASNINWLEKLASELQVRIQKLLGDSTVAENHQQELLEKISKLESDNQELVGKVQSITDEKSNNAESLQGERAKRDQQVDTLESQVNQLRGILDEKKQLCSCSLEREKTLEEQKLQVEASLAATECQLIEAKKQYDLMLEGKQIELSKHLKELSLKNDQVINDIRKKYELEKIEITNAEKKKAENLIWEMESKCNEKISDNKDSESYLMHLKEEHGAMVARIQQDNEHKESTLWAYHKEELQRIQSHSENELREVCNKLYLMLGLVTICFEFELGRGWLACVLCPSLHGSQRLKKMSRVKRKRVSNTATIVLDPNDWHASGRSFRRGIRKAQAWYRSEGIYVDLTDHLGEGFGAFTTPADGSFHMKIRCLERELTFYLHGRDVYVKGWTGGKFGTFEIQSDGEESSDEEVINYNQDSECTVLKTGINYHDLCTLEKVRIGPALMDSFEVLYRCNGVITAAVIEAVSEFCVNLAEPIRNEDVLDKVCDSFVNCHLDTLDTEDSCPSYWVRNYEYYSRLIMKAVNCFYEGKPVIEIIDKRDQRVIPLKELIRRYPILLRDALNDGKFKHEEAPKYPMLCPVYSEAEEDDGEDEKQWPEKKEEEMEKKPQ >Et_5A_042016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5231025:5235282:-1 gene:Et_5A_042016 transcript:Et_5A_042016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHERCLLPAVVWMTFGRFPARYPNRPRIAQKKTPNRPRILIAGRASSSSVLVRSSLGFLLCSRSERQKPKPLATSAQHAAAMATRTLSVSSLASTAFLPRACKPRAPPPLRGLLGPNLPPAPRLRASPLSTSAAAAAADPDDGVDTVEQLLHPNPQPTSVGVSRGRIDRLMKLQRRADDAEVPRPVAAGGTRRWFPYLDAFRSVGGADLSSQEVVEVLEPHILEARRDRIRRAVDNRSYAVCLVVEGLTDFGNVSAAFRSADALGVQSVHVISHESNKRYRDNRHVSMGAEKWLDIELWNSPAECFCALKKRGYRIATTCLATDSVCVYDMDWSQPTAIVVGNELRGISDEALKLSDLHCSVPMKGMVDSFNVSVAAGILMHHAVCDRVSRLGHHGDLLPEESRILLAEFYLRHRESTTTIVHEYAKRKAGNSMARL >Et_6A_047826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18727847:18730521:1 gene:Et_6A_047826 transcript:Et_6A_047826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGPYKTENERMGFHGCILLARIYSAGHVGFQPTTHAHKLWHRGSCCWLTYEQAISLVCNIHVLQPASSSSPACSCPPPGTRGSPICIVTVTDASHAVPCVRARSGGHDYEGLSYWLRRPEEEFAVVDLANLRAITVLTAADESTTLWDDSGAMVGELYHAIAKTQDKQGACVPGWRVHDVRRRRPLQLR >Et_10B_003985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:906321:909994:1 gene:Et_10B_003985 transcript:Et_10B_003985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAATGRHGRGRTRGRGWREDIALCDPRTGEEYADENNLIPQNSTVLVHRIAGQPSDAIIASSIVIKGDAMASHELVAESTLKLHGFTEIGDEETAAIRTVIDAAEINLGGSSSGGGHAVGSFGSHFGIRSLEGEVPPPAYVCRLCHIPGHFIQHCPLKSKLPPPGYICYKCGVPGHFIQCCPNYDDRKYESRRSCSLMPLVSSFDGEVPRELVQAMSSSIGDSLPAELHCPLCKKVMTDAIAFAVPKILTDDLIPNQTLRSTIASMLSSRGADFSSGTGKLTCSSSSNVDGKSHSFTASAALKGDMKQYKDNMPSVITEGGHLITACKNPSEHRDKLSHSDLQSKTGKCARTPVKKTRVTAEASAAIPEPTYQNQRPPDGLAVVSGALELKVNRRKTKKKQKTTGTTGSGITNCSGYDFHIPYDPSCYNSFGFGGLTWPFDPYNMYLMLNMPSSSYPMGMYRVNGISNLPPLAPGMPAGMQGYPISHYRYAYSYQMESARKPKWGTIKFCKCNTTLFHIFPNKSNIHPILLYCKTDYFEFQPTVHQDRKASAHARQAESGKDTGMQYHKSERYHSRPSADKGRSKNGSRSVSERRDSSVESHDHDEFHSKKRVRPARSPRNADRHRSRKSSSRHSYSSRHAYEDSTSSDEESNFKRAW >Et_2A_015504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1432963:1438750:-1 gene:Et_2A_015504 transcript:Et_2A_015504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTSSPPPLPCLVLDDCSGSGQPATARTARSTPRSCAASGAGPPPAAGCSPGTRRHPPRSCGTRPRPPGSTGSTCALSGRPTDGTCTVVLIDSAALWYLLPGAAAWARHEYDVGSVSMSFPNGESYSSKRTVSRLVPSEWTASATGPFLRAPALGGGWCPATQFGLLPNSVYWMSPVDKRLHVFDIGARTEKLNAEELRGKRSWPTSSGWVLAWDPATSATFLWNPRTPGGTATRVALPPLAHPPPADSICALSIHPTAAGGCTVVLVEPPESAVLWYCHAGAGASAAWTRHEYDLGGTSVPVSGGSCWCRRFITHLSQRRGKFYHFYSATQYGVIEFNSPTGTPEFSTLPMRKVPMKHPAGQLVAMAVVSSHRLWIAAEYRVLDEPRRQTLARVRHRNGKEGSAGALQGCCGANMPAFLDDP >Et_1B_013670.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11822438:11822830:-1 gene:Et_1B_013670 transcript:Et_1B_013670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYAAAEQAWYMPAPAPAPVESAAARVERLASESAVVVFSVSSCCMCHAVKRLFCGMGVHPTVHELDLDPRGRELERALARLLGYGHGAAPVVPVVFIGGKLVGAMDRVMAAHINGSLVPLLKEAGALWL >Et_2B_021044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2590291:2599781:1 gene:Et_2B_021044 transcript:Et_2B_021044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAINPFSSGTRLRVWPMLRRDMIRAIRTCKTAAEERAVVRRECAAIRAAISEGDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLAPEVERLLQNRDPNTKKKAALCSIRIVRKVPDLAENFMGAAASLLKEKHHGVLISAVQLCTELCKASNDALEYLRKNCLEGLVRILRDVSNSSYAPEYDIAGITDPFLHVRVLKLMRTLSQGDADSSEDINDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAIAVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNDANVKSLTKELVDYLEVSDQDFKEDLTAKICSIVEKFSQDKLWYLDQMFRVLSLAGNYVKDDVWHALIVLMSNASELQGYSVRSLYKALLACGEQESLVRVAVWCIGEYGEMLVNNISMLDLEEPITVTESDAVDAVEVALKRYSADVTTRAMCLVSLLKLSSRFPPTSERIKEIVAQNKGNTVLELQQRSIEFSSIIQRHQSIKSSLLERMPVLDEANYLVKRAASTQATISSVKPALVATPGDPLKLPNGVGKPPAAPLADLLDLSDDAPVTTSAPAAAPNDFLQDLLGIGLVDSSPSGGAPSTSTDILMDLLSIGSPVQNGPPTSNFSAPGKGETKPAPVTPQVMDLLDGLSSSASLSDGNAAYPSITAFQSATLRITFSFKKQSGKPQETAINASFTNLTTTKFTDFIFQAAVPKFIQLRLDPANSSTLPASGNGSVTQSLSVTNNQHGQKPLAMRIRVSYKVNGEDRLEQGQISNFPAGL >Et_7A_052363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7216223:7219227:1 gene:Et_7A_052363 transcript:Et_7A_052363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRQRAASRSTRPSSSGQHGSVGGTATLALIKPRTLAHTPSFSASRGHLPDGVGVDGVDGVGQLPPQALAMANTTRLTARNRAIEALPAIAARTAISALTTKHKEERALDITRLRSACDGLMWCWNVALQHLNPSGEVLPQWLRMRLRSMGRLRLMPRMLPLMAVQRQTAASRSTRPFSSGQHGSVGGTATLALIKPSTLAHTPSFSASRGHLPDGVGVDGVDGVGQLPPQALAMANTTRLTARNRATEALPAIAAQEFFFFRKRK >Et_10B_003561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3119948:3123439:1 gene:Et_10B_003561 transcript:Et_10B_003561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AILFLLWGLCCCKISWDSVMRMSADLRDLFLYEAFLYYNPLLLVALMIWLWGINLWVFAQSSVNYAKVFDLAQTHLSHREIWRVLLYAVLLIILLSPFDMFYLSSRFYFLRTVWRILLPLQAITFPDFFLADIFTSMSKVFSDLERSVCRMVNRQVATIAWFEADSICGSHSIAIPLVLVFPYLCRFFQCLRQYKDTKEKTCLLNALKYSTAIPVIFLSALKYHVFPEHWVGFYRPLWLISSLSAHLRHNYLTVFAITALEIVRRFQWVFFRVENEWNKMTSKQSLEMSSDMPSEGDRLLDSSSHT >Et_7B_053871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12976633:12981707:-1 gene:Et_7B_053871 transcript:Et_7B_053871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSTDVNSPSVTNRISAWMFFFCGIWLIFSRSASVSSQSKISRFCFSRSLLWLFTIGVTPCSYIHQSTGQTHLRDGLPSGLGDLSEHRRLHHGVAAPVKVLPAQRRVGHDADALGGAARNVLCCHAGFSSTWFTAGGIVATARSFSRCLVAKLLTPMALARPIRWHSSMARHTPSKSNGSASSLLFGNTGGPGLMHTGQWIRYKSTYSICRLDSYCSVSRRDGSTRSGCACEHHSLDVTNTSSRDRTSPRRTASATASPSGFSVPYMEAVSKWRYPTSMAPSTAFLVFSSTSGDATVPMPTAGIGLALPDGRATSGTAVPFVAMISVYAGLQTYTGMQSKRERAEEWKMLMPDAVPSRFSRTPLVFPPASDTLLCTATTDSLLTTFTDASSPAPLAGGPSSMVNLIRGTGPPLASSSSSSSAICTLDRNRSAMVRPKSSRTTTRSTAMCSRFAGIVYAGTIHPNRRSAAESRNASAVYSRPLNVKATSGTPCSLEITVKRPVRSRPSRSVRALSMQFSITLRYPCNHRKISYFSAFRHHSDCVTRKWELNRCLPRSPIEEIEVEREGGLVGAEVVDVEDELLGEVLLAPPDDPSDAGVDEAVLVAADVDALHQRQPEVPLQLRVQERRDEPAARRVHVDRRVPPASVTSRHITTAVRKEQNHKGEATDCTKEKLVVEALDVLELAGERGAEDGGDAYGVLVHHLHRLVGVHHVAPFPQLHLLELHLERANFSQHTCTSAHLLQKRNSENVLCFTRLASYDLHVGARDDVGLVVGLPRRLPPVLPPPLERQPGEHDRLGGPDRAHPDGRLTGAQWRVEQPRYDVHAPGISVDESFTWRRGGMNACLELGGDGVLVHVRVVLVDALEYESVALGLHPRGHEGRQVQPRAAVQQQLVVDDLVRRVLGYRLPPELIPADVARAQKSSNQNLNFVPFRHQKRFCAFGRNAKGRERKDV >Et_10B_003323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18885771:18886394:1 gene:Et_10B_003323 transcript:Et_10B_003323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVEQILSKEQIEEFREAFSLFDKDGDGTITSKELGTVMRSLGQSPTEAELQDMVDEVDADGSGAIDFHEFLTLLARKMRDAGADDELREAFRVFDQDQNGFISRDELRHVLQNLGECLSDEELAEMLREADVDGDGQINYNEFAKVMMAK >Et_7B_054511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22341189:22348499:-1 gene:Et_7B_054511 transcript:Et_7B_054511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRHATASAFRPHQQLARLDSDRYTQYACQCNRRQIYTYQSEKSDLHNSTSQKPPPIGTPQQRRRRRQSRSEMGAECRYEVSQAAYVKLALHALKHPAAPVNGLLVGRLAEPSATPSVVSVIDAVPLSHHPHHLPLLPTLELALTLVEDHFAGQVGGLAVVGYYHANPRRDDADLPPVAKRVGDHIFRYFPRSAVLLVDNKKLEEAVKGKSRDPVVQLYTRDSSKSWRQAGSDGSSQLALKEPSTNVVLADHVTTKKWEKIVDFDDHLDDISK >Et_8B_058573.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:19944352:19948848:1 gene:Et_8B_058573 transcript:Et_8B_058573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGDDERSTVSPASRAKHHARDKDRDRSSSHHHRDDEDGDRDRHRRQKERARRREERDREERKDRERSRRREAYDDEEEEDDERGRKRRRRSSHHHRDADAAPALRGEGLERRRQGVSEVDHMEGEQDRLEDEMERRRRRVKQWQEERREQQQQLPLLDAGAAAAEVNSGGEAGKKWTLDGEESDEGDKEGAMDVDLLKGGNAMEEDDEIDPLDAFMNSMVLPEVAKLESAAAAGSGVPGSSADVKSNGDKTGLRKAIGRIMQGDGSDSDHDGDEDDGAALDDEDDEEFMKRVKKTKVEKLAIVDHSKVEYQPFRKNFYIEMKDISNMTSEEVAAYRKQLELKVHGKAVPKPIKTWVQSGQTSKILDTMKKLGFEKPMSIQAQALPVIMSGRDCIGVAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIVAPTRELVVQIHSDIKKFSKMLGINCVAVYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSNGKITNLRRVTFLVMDEADRMFDMGFEPQITRILQNTRPDRQTVLFSATFPRQVEMLARKVLTKPIEILVGGRSVVNKDITQLVEVRPENERFFRLLELLGKWFGKGKILVFVHSQDKCDSLLKDLFRHGYPCLSLHGGKDQSDRESTVADFKGNFCSLLIATSVAARGLDVKELELVVNYDVPNHYEDYVHRVGRTGRAGRKGSAVTFITEEEERYAPDLVKALELSEQAVPEDLKALADRFMAKVKQGTERAHGTGYGGSGFKFNDEEDEARKTSRKAQAKEYGYEDDKSDSDSDEEGIRRAGDDLAEQAGGDQDANRDGRVRMGDEHSNARARAYEFLERIRRDAAPEHFVAELEINNFPQNARWRITHKDTLGPIQEDSGAAITIRGTYIPPGKVVGANERKLYLYIEGDTESSVKKAKTELKRVLEDCASYAMNVTRSAQTKKYSVI >Et_8A_056475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10525161:10530191:-1 gene:Et_8A_056475 transcript:Et_8A_056475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEKGALDLVLVPCGLVIMFSYHLFLLYRILRHPGSTVIGYENHNKLAWVRRMVQTSPDETGLALSVISSNISASTNLASLSIALGSLIGAWVSSTTKVFMTELVFGDRSQSTATVKYISLLICFLASFTCFIHSARSWEYRSNSILTWLLLNRYYVQASFLITTLDSDVPASYVQHAVIRGGNFWSMGLRALYFATTLLMWIFGPIPMFACSVLMVVILHMLDSNSLPLHQHQFTVRKRQEQRTLTPTIVARQPSPQNPILNKKSVLTKVTLPRALLLPSEPTPTISQSTASAFVKLTQPQPTRSKTKQLKQAIPSIWLRRHCHLVSSEVGSSLTVTSPSAGGDGSAASGGGGGSSRFFRLPRWVAAGRWGSLAWSCAQLGFRAEGPAGFVLGFRFRFGLRSELGFAAVAGGVEDGGGAAMEGGLRRGRRIIASLGSETNSDILYLTKEKLDIRKPSQTGVGG >Et_4A_033185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19674267:19679987:1 gene:Et_4A_033185 transcript:Et_4A_033185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPQRILSASKPTVLLAQDKKLSTLPNLNGLQKHVEPNYVLSRGSKLSEKQKEKVAKLVKEIRSEIPLFVAIMRPSHVILRSPSLAIPIHYGDAYFPRKSQIVTLQRRGKNKEWHPNFGIRKDGSSYKYLLSGSWLEFVKDNCLRQGDICIFQPTNGVDETFVAIVHLLRESKDYSLGENAPYSRCNYVHRAHLRPLESDESEVHSKHLYMLSDHARLTREQMKNVKEKVHNIQSEVPIYVAKMNKISVGTNSLDTIVFDRPYATEYLPDGEQTLTLMRIGKKRKWKVKMNPRSDVQIVTLGWHKFVDDNRLDVEDIFLFQLMKNERRLTMTVYIIHHREKSPLLDCYRCEVKQEPDVFDSEGPSELSLYMVLGGTGLTPAQEKIVQEKVMDIQSEMPVFVATMNRNIIGGKGIYAVDFSTLKGAPYLPDGNQTLTLHRIGWCRSWCTEMHDQRMLEGELCEFVRDSRLKIGDICLFEPVGNERLAMMVHIIYSEQYCVALSAAVIMYKVQGCKLNRDPIVHF >Et_3B_027419.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:14727805:14728624:1 gene:Et_3B_027419 transcript:Et_3B_027419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKCGRTRVSRTVFDRMAGRNVVSWSSMIDVYSRHGHGEEALDLFKLMEDAAPVVLPNAITFLAVLSACGHSGLVDEGRSMLHLMKSKYGIAPGPEHYGCLIDMLGRAGQIDEAWDLYCRLTANRYGRSVAICVAMFNACKANMDVVRGKKVAVRLLEIDPKNPGIHVLISNFHSAIRQWSESDESRRVIGDKGLRKEAASSHVSVG >Et_2A_018536.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3205303:3205830:-1 gene:Et_2A_018536 transcript:Et_2A_018536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQRSRSTAAAAGVNRHSRRRGAEVRGGEALGRHRVKAIKPLSRYTKTRPVQFITCVILVLNQSSRVGARRVQAKNNASFSLGPALELEEKLAARQRNTDVLRQRLAKAKAELAEAKKASTAETRNAWDAAVREYLGSTGHLRRLAEHALAPLPAPRPGAARRAAGWFAAAGRH >Et_1A_009419.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5058629:5059792:1 gene:Et_1A_009419 transcript:Et_1A_009419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMTEKEREKQELLGRTGGGAAVQRAPVDKPPFTVGQIKKAIPPHCFERSVLKSFSYVVHDLVIVAALLYFALVVIPALPTALQFAAWPLYWIAQGCVSTGVWVIAHECGHHAFSDYQLLDDIVGLVLHSWLLVPYFSWKYSHRRHHSNTGSLERDEVFVPKKKEAMAWYTPYVYNNPVGRLVHIVVQLTLGWPLYLATNASGRPYPRFACHFDPNSPIYNDRERAQIFISDVGVVSVAFALYKLAAAFGFWWVVRIYAVPLLIVNAWLVLITYLQHTHPALPHYDSSEWDWLRGALATMDRDYGILNRVFHNITDTHVAHHLFSTMPHYHAMEATKAIRPILGDYYQFDPTPVAKATWREAKECIYVEPEDRKGVFWYNNKF >Et_4B_037551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2151612:2153893:-1 gene:Et_4B_037551 transcript:Et_4B_037551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGRNGLEEDDDIAEVADAFGGVSDDEEVPPHLRALAEAAQSGDVAGLLAALDNHDGSIDVPVEDGDTLLHLACLYGHLPCVQLLLERGASLECKDEEGAIPLHDACAGGFTEIVQYILNFAATKDGCIVRMLNTIDSEGDTPLHHAARGEHLDVVKLLLQAGACPKKENTYGQTPADMADHDTEVKTLLTAKQIEASTHMSDN >Et_7B_055466.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:11233433:11234173:1 gene:Et_7B_055466 transcript:Et_7B_055466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHRSRRSPAGERFIGMFSSPSPSSSPTEPSFVAGDELHEDDFLFSSPDAAAGAAAQPDGPRSPGRGVPQGLLAALALHEGDRRLLVRGGGGGAAAAAAASSAGTLLRRKATIAAAAASASAASGNGSATSPARSPASAARTIPATARPVGAAPAPPYHQSAPVKVPVRPPRKPATGRWNEFDDDDDDFRRGDAAMLPPHEMVARSSAGGAAAPFSMLEGAGRTLKGRDLRRVRDAVLRQTGFLD >Et_9A_063329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20211034:20218214:1 gene:Et_9A_063329 transcript:Et_9A_063329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGGASHWRSRSNGAVIRVFTASTNPAMSAARPCSEGITFGMVSKRTRGPKSAMAPSRASHSSVTTTVAETRPSWATRRRHRFSIALMWPRPGYGTATMWHGAAALDPIAAGSRPIQLWVGGGMKKKLPLTITDCCISSYKDLNTVRQAVQKWIR >Et_7A_050430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14929087:14930388:1 gene:Et_7A_050430 transcript:Et_7A_050430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPSSSSSSLAIVLSLLLLSRPGTAAADGQAPSKPIVTPITKDGVTSLYTIPVKNGAPLVLDLAGSLVWSTCKEGHRTVPCKSSVCTVANRNHPAGCAWSKIGGQAGNPDPHCACSAYPYNPASGQCGIGDVTVFPLAANATDGEHPLFPVSFHAYGSCAPDGLLQSLPSGAAGVAGLSRQPLSLPSQVASKLKVAKQFALCLPSGAGNTGAAVFGGGPFVLQSARPENLAQVQPSLPLLKSPKNPGAYYFRVHGIAVNQELPHTGRGGAAFSSVTQYTTLRDDVFGAVLAAFEKATKGIQWVKPPPPHFRCFPASSFTPTRLGPGVANIDLMLDNGQNWTLPGFSSLVEAGPGTLYFAFQNMGSPASEAPDAPAIIFGTHQMQDNLVQFDLEKNTFGFSGLLLGRSTHCGNFNFNYGKH >Et_9B_064134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11653971:11659084:1 gene:Et_9B_064134 transcript:Et_9B_064134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFAVADEASRRLLPRCSCFGLLPCCLSDGFVPRRAPNVGTKPQHETIWPAAIPGDGFGGGGFELRTGKSATFTAPAGWTSGRIWARTGCSFDNASGPPGGGNATTCATGSCGPTLKCGVAGATPASLAEFTLAGAGGEDYYDVSLVDGFNLPVLVEPVHGEGNCSAAGCFGDLRESCPPELAVKVGGRTVACRSACDVFDTDQYCCRGLYGGPATCKPTVYSSKFKDACPTAYSYAYDDPSSLFTCTNADYVITFCSNKKCSRHDHGHCSGSSRSWPTRVSSLLMPVLIFSVLSSRIPV >Et_8B_059930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4160452:4164897:1 gene:Et_8B_059930 transcript:Et_8B_059930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPGITAWRYPMTHVLGIPWDVDTEGLREYMAKFGPLDDCVVMKERSSGRSRGFGYVTFSSADDAKNVLDCEHVLGNRTLEVKIATPKEEMKSQGTKKATRIFVARIPQSVDESMFRRHFEAFGEILDLYMPKEHGSKGHRGIGFITFQSAESVDSIMQESHELDGTTVVVDRATPKDDDVRYPPSRPSQGGYGAYNAYISAATRYAALGAPTLYDHPGSGYGRGYYGSSQGMGKKIFVGRLPQEANTDDLRQYFGRFGRIVDAYIPKDPKRSGHRGFGFVTFADEGVAERVARRSHEILGQEVAIDTAAPIEGDTAAGAFMEPMDLYGAYGSMRSFGSTDTVPVEVVDQDQIGDTDLIEHAVQLMTGL >Et_10B_003614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3808122:3809745:-1 gene:Et_10B_003614 transcript:Et_10B_003614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSNTACNATIDISSEPRTLASMYEYDRVLVPPMPPGSRQFCLGAFLLNADEIFGTVRVLCVCVSYNYDAHAGGSLFWSVKGFHVFQVNETTGAFSSFCVLPKPAPEPECYTRGDERRKLRVVGRDARSVRFVRIVDEELQVFQLVDGGGTCVDQKKRVNLSELCNVESGTDRPSWHFLELSEAVPPSFVALSQDDEKCGWTFYVDVESMKVERVQRRNWNKRPLFPYERPWTPTIKECLKTRKRRTSMADLSILSRSLKELLTKVI >Et_10B_003182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17308801:17310979:-1 gene:Et_10B_003182 transcript:Et_10B_003182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACMIAFSFLICAIVPMANSVTPWRPVYYVNDPFIQRLGKWAVTEEHTTLSFCKVESAWVQTVDFLTDDHNYKLIIDASSRAGDSCNKYRAVVFVTSQSIPTKLLSFEFIG >Et_10A_000064.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21294338:21295809:1 gene:Et_10A_000064 transcript:Et_10A_000064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGRHQHNSCRRECHHGHHHFLIVVYGIQSHINPARVLAHRLTRLGVDGSIRATLSLPVAAHRRMFSSSADDEATDDGIIPYVPYSDGLDDGSLPKDADERARRRRAGFESTMVVPSVLDVAVELGIPLAVYWIQPAHVLAAYYHYFHSYGELIASHAADLDYKVSLPGLSRPLRIRDFPSFLVDTTGAELVKVVNESLRELFEHMDQWRSKVLVNTLDELEPTVVAEMKTHMDVVPVGPMAGSSTEARLHLFKHDDVDRKRYMDWLGAQPEKSVVYVCLLEAYQSIRGDRSRIGAVWTAVVTGRAEGWA >Et_4A_034692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5420214:5439706:-1 gene:Et_4A_034692 transcript:Et_4A_034692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAHLLCCAPTVLRGSVCGDRTGNGDRVLRVGVGHSEMRHARCDSSGSWGQWWSGFGARSSRTAGVLLGSRDAAPVPVDRILAPTNPIPVADWTVAIEPPSRKRQGPGAGKANAHRRAPACSTFRAAQAPARHASLKVQSRLAPKLHFPFLPSKTPAPSGSPGSDAEMLALLPRLTSALRGNYDADQAYLLRKPVLQALTLRRPHDEWELARKIVPCWDDAPTEVRQAYKQFIGAVVEVLNGEAVSEELQQVAQAAYALFGGDDTEYDATKIALGKRNELESLVGYTIQDSILKKVAQLAQKLGSLQRTSTREFVQRKAEDADENDRSEFGVDFDFKPPARFIVDASLDDDISLEIGGLSTEPFEKGQSDAFGTSSTHDSTASRGSLSLRWLKDQCALITKSGGSMLSGDELAMALCRVLISNKAGDEIAGELLDMVGDAAFETVQDLLLHRKELVDAIQHGLMILKSEKMSSSNQPKMPTYGTQVTVQTELERQLDKIRRKEEKRAKRGADNGTNDIGIDDFSSLLLASERKQPFDDMIGTGDGVDNLATSLPQGTTRKHMKGYEEVKIPPTPTASLKPNEKLIEIRELDEFAQAAFQGYKSLNRVQSRIFQATYYTNENILVCAPTGAGKTNIAMIAVLHEVKQHFRDGILHKNEFKIVYVAPMKALAAEVTATFSRRLSPLNLVVKELTGDMQLTKNEIEETQMIVTTPEKWDVITRKSSDMSLSMLVKLIIIDEVHLLNDERGAVIEALVARTLRQVESMQSMIRIVGLSATLPTYLEVAQFLRVNPETGLFYFDSSYRPVPLAQQYIGISERDYTKRNELFNTLCYEKVVESIKQGYQALVFVHTRKDTGKTARTLIDPKVGELELFSNAEHPQFELIKKDVSKAKSLEVVEFFESGFGIHNAGMIRSDRSLMERLFADGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITTHDKLAYYLRLLTSQLPIESQFLGSLKDNLNAEVALGTVTNVREACAWLGYTYLFIRMKTNPLVYGTAWEEVYGDPSMGSKQRAFIVDAARALDKAKMMRFDEKSGNFYCTELGRIASHFYLQYSSVETYNEMLRRHMSESEVITMVAHSSEFENIVVREEEQDELENLARKACPLEIKGGPTDKHGKISILIQVYISRAPIDSSSLHSDAQYISQSLARIMRALFEISLRRGWSEMSSLLLEYCKAVDRKIWPHLHPLRQFDRDLSPQILWKLEERNVDLDRLYEMEENEIGALIRFSHQGKLVKQYVGYFPYVNWYATVSPITRTVLKVDLNITPEFVWKDRHHSMSERWWIIVEDSENDTIYHSELFTLTKKMAKGTPTKISFNIPIFEPHPPQYYIRAISDSWLHAESLFTVSFHNLTLPQTQITHTELLDLKPLPLSALGNKMYEDLYRFSHFNPIQTQAFHVLYHTDSNVLLGAPTGSGKTISAELAMLHLFNTQPDMKVVYIAPLKAIVRERMNDWRKRLVHQLGKKMVEMTGDFTPDMMALLSADIIISTPEKWDGISRSWHSRSYVMKVIVSRMRYISSQTERSIRFVGLSTALANARRDFFTMRFFPLEVHIQGYPGKFYCPRMNSMNKPAYAAICTHSPNKPVLIFVSSRRQTRLTALDIIQLAASDEKPRQFLSMEDNSLDMILSQVSDTNLRHTLQFGIGLHHAGLNDRDRSLVEELFSNNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYIDYDITDILQMMGRAAVILVHEPKKSFYKKFLYEPFPVESNLREHLHDHINAEIVSGTISNKEEAIIYLTWTYLYRRLVVNPSYYGLEDTETSTLNSYLSRLVETTFEDLEDSGCIRVDEHSVKYLILGKIASQYYLSYLTVSMFGSNIGPNTSLEAFVHILSAAAEFDELPVRHNEDNLNRVLSGKVPYPVDQHHLEDPHVKANLLFQAHFSQAELPISDYVTDLKSVLDQSIRIIQAMIDICANSGWLESALTCMHLLQMIIQGLWFERDSSLWMLPSMNANLLDHLNGRGISTVPALLNLSREELHRLLQPFAASELYQDLQHFPRVDVKVKLQDEQEGQSKPRTLNIRAQLKNSRRSTSRAFAPRFPKAKQEAWWLVLGNATSSELYGLKRISFADGVANTRMELPQMFNIQETKLFLVSDCYLGFDMEYSLDHLTKG >Et_8A_057800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7399042:7408219:-1 gene:Et_8A_057800 transcript:Et_8A_057800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDNAADSESESTKRYTRDLDNSAPNERMLNMVFAGTWNVGGVSPPDDLELEDWLDTKANSFDIYVLGFQEIVSLNARNVLGPKKRSASIKWNSLIGDALNNRRRRQDEGEAMSGWRDDDIALHPEQGMFRCVMSKQMVGIFVSVWARSALRRHVRHAAVSCVGSGVLGRLGNKGAVSVRFLLHGTSFCFVCCHLASGGDDGDALLRNADAASVLSRTSFHGHGHGGAPPPKQILDHDRVVLLGDLNYRIAMDAGEVRQLVRAKKWGMLLGNDELLLELCKGRQFDGWREGAAFPPTYKYHRDSDQFYWCATVGGDKQHRAPAAWCDRVLWRGKGMKQIRYERCGSYRLSDHRPVRAVFHVVCEVPEGC >Et_10A_001490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:449512:450270:1 gene:Et_10A_001490 transcript:Et_10A_001490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMTRLLAAVTAKRSSASASGALRRRFASSARHDDAQEAVKWERITYFGIATCTVLAIYNLSKGHPHFPDPPPYEYLHIRNKEFPWGPDGLFERKKHSDEHH >Et_1A_006345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2128296:2139508:-1 gene:Et_1A_006345 transcript:Et_1A_006345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGVGRSKKEGDEELRAAKRSFNEARTEGNREEEARWANVIGDIHKRRAEYVEALRWLRIDYEVSVKHLPQRHLLASCQSLGEVYLRLCRFSEALTYQKKHLQLAKESDDLVEKQRAMTQLGRTYHEILQRDESDYNAIRNAKKYFKSSMKLARTLKEKSPSEKSSYLKELIDAYNNMGMLEYELENYEEAEKLLIQGLKICDDEEVNAYDDARTRLHHNLGNVYTKLRNWNKAKGHIEKDIGICRKIRHPQGEAKGFINLGGLHHLVQKYEDAKLCYKKALQITRCLEDEDALSEQIEQNIEVVEKAAEVLEGLKEDEQKLKKLVRDTSNARGTSKERKLLLEQYALLDELIVKSDLIFAWQKHKEFSKGKKRVANELRDKEKLSDSLLSIGESYQKLRNFSKARKWYMKCWNMYRSIGNLEGQALAKVNIGNVLDSYGDWAGDLPKVQLKALENTHYIHMIRFDNIEEANKLQQNIDNLKQTLSQHAARDSVINYCPETDTEGSDASDNMLHPEDGNEQDASKYTVEHDDDDDLTLASLVHKTRSSSKIKTPKIRNPSRNVDELCDMAEGTGIVSSRSCTNHTVGRKRVRVVLSDDEAEESPETIQSKRTSTSLADSVSISGTDHGANVNRNKDALHPNETEDAQCPAEESICSFKSSSPNGQALEGIDLAVSNVGKASASISAASGSKFCIPTLNSQHESQNVVGLHSTDVDHQFWAFKIGEHMVYLDGRACVHEGAFSVESLKVEVACIYYLQIPDDKRAKGLLPIIGELKYCGKMLDDTLSIYYIDQLASEQKCFDVVIDDWVPKRLMKLYVEFCTKLSEAPNKKLLTKLYNLEVSEDEVIVSDCGLQDLSVTPFLDALKSHKTIAVLDLSHNFLGNQTIERLQHIFASSSQTYGGLTLDLHCNRFGPTALFQICECAVMSERLEVLNLSGNRLTDACSSYLFTILQKCKALYSLNVEQCSITSRTVQKMADALHEGSVLSHLSLGKNHPISVNTMLNLLSKLASLTRFSELSLTGIKLNKLIVDKLCILAQSPCLSGFLLGGTSIGPVGAIRLTEALSSASQELLWLELANCGLTTPAFTQICANLARINILDLNIGGNSINLEGCDAIHAMLVNPHCSIRSLTLDRCNLGLNGIIRIIQALSDNDQLEELHVAGNTNLAQGAMQYDEDMQEVSTTELGKQCNSSGVANDIAPQSIDFENMLVADSEDEADNENHCAVVGPRGNHEGSSQRNTCQDIQEFVHALVSAKQLKLLDLSQNGLSEGSVQSLYSAWASGPRGDGTARKHVNKEVVHFSVDGMRCCGPKPCCRRDMQIKTMIRNSESLNHDVSVVLY >Et_8A_057067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20708694:20711868:-1 gene:Et_8A_057067 transcript:Et_8A_057067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTSAIALAEVLPPNPVLRSCLRLHSRLCKSAARSSSSLSLSLPPSTAETPSSCTRVLVNRPVRAGCGQREAMTKRKVHAISSCRERSQNWHEDQTKKQHTGFKFRKPHHMLCADALNKKFAMRVTVGQVDRHYRYHKQNWKYIVTALSKSGNSFDNTRCLVIISESKKSSLCPIKFFNEMQELFTGSSADGSFAVNQNTCMGDSDGSDSEDSRDLIDLNCYTQPEDPLGEDSDTLPTPTRHGNVDNNSSSTSRGNNKRPKGKKTPPIEKPQNKSRLAESTEEITATMKSLRETLATTAPPQMPQLIDPHATLWQKLETIPTTSDQRVLVGEHLSSKKNKGKHSWLCSASAETLHAWVFKFLCEKEGINL >Et_5B_044595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3978204:3981634:-1 gene:Et_5B_044595 transcript:Et_5B_044595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRKQVKAPAWRSLLGGCLGGGFGGGGAGDKQRKVRPGGGGGGRLSFTDLSGAAEQDLSVSLVGSNLHVFSVSELRDATRGFVSGNFLGEGGFGPVYKGFVGEGVKKGLRPQAIAVKLWDPEGAQGHKEWLAEVIFLGQLRHPNLVKLVGYCCEDEHRLLVYEYMEHGSLENHLFKQIPAVLPWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKASNILLDSNYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLEILTGRRSVDKTRPTREQNLVDFARPCLKDPLRLARIMDPALEGQYSARAAHQAALVAYRCLSSSAKNRPDMSAVVEALEPLLKLTDDVPLGPVGPVVGPVVLFVAPAEEKKQEQREPRKVDGRRRRPMSQKVSPRKRGAAQKEEFWVWHLPAEQKA >Et_1B_010751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13685025:13687738:-1 gene:Et_1B_010751 transcript:Et_1B_010751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANQIAVLTALVVLLLIQASSCAVARRPQAVNGDTPAVMTVNGFEKGEEGGGPSECDGQYHSDSLHLAALSTRWYANGARCHKMIRVTSTQNGRSVEAMVVDECDSRRGCKDNIVDTSKSVWEALGLDTDIGEVPVTCTLASKSKGTRLLTQRADEVMESRHLPGSANKVAVPATLVLLLQACSCAVARTTTAPSGTPAVMTVNGFGRGEDGGPPAECDGKYHSNHELLAALSTRWYANGKRCLKKIRITSVENGRSVEATVVDECDSRHGCKDRDNCPATIHNRDHLPIPH >Et_5A_040761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1281709:1284095:-1 gene:Et_5A_040761 transcript:Et_5A_040761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLRFRHPPLPLKLAFAISLAVSFSAVAADLLAVLAGPRAAARVPAAEATRLRSCLRFLSPARPVAPRAPASWRGGSRKFLLEGFDAAEADEMVMWPPAPVMDLARLAVDSGGDPGAIHRALDPTMLPVPDVEGSQENKCELTRTPYGRRFANEEINSYFAFLFELIVARGPSVGLNASLSRFDLFHGHLFLASETGRLGILFHAKEYPAFDKELFPYNLGYCQAGSNVPYDYSMNLRNILWLAPLPSNQTKAWLAPGVLVVLDAHPDGIIYQEMIPDYVQVVRTIYEGDFGEIAVDVNYLNFANAAPTEGLLMFIEHHCHHSYCTC >Et_10B_003731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5324322:5327651:1 gene:Et_10B_003731 transcript:Et_10B_003731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFSSFARGCSRSFDDLPHNRDIPAGSIYFLDDTRLYGLVSGNQQNNIDIGVYYMDVSIVLSTAPQDAPTHWQQVIVFSFLQNHIDFCLYFGTYGLINISTTPDCDFSLFFQTLLHIFEPIELNKDQNIKGSVTISQNIVMIQSMQLNDRQLLSQSKEATGLQ >Et_4B_039026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7072707:7077792:-1 gene:Et_4B_039026 transcript:Et_4B_039026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPREGTLVLLLLLLCLAGGSSAANVTYDHRAVVIDGVRRVLVSGSIHYPRSTPDYDFEGRKDLAAFVKAVADAGLYVHLRIGPYVCAEWNYGGFPLWLHFIPGIKFRTDNQPFKAEMQRFTTKVVDTMKGAGLYASQGGPIILSQIENEYGNIDKAYGDAGKAYIRWAAGMAVALDTGVPWVMCQQADAPDPIINTCNGFYCDQFTPNSASKPKMWTENWSGWFLSFGGAVPYRPVEDLAFAVARFYQRGGTFQNYYMYHGGTNFDRSTGGPFIATSYDYDAPIDEYGLVRQPKWGHLRDVHKAIKLCEPALIATDPSYISLGQNAEAHVYKSGSVCAAFLANIDGQSNKTVTFNGKTYNLPAWSVSILPDCKNVVLNTAQINSQVTSTEMRYLQSSTEASDDSFVTPELTVSGWSYAIEPVGITKDNSLTKPGLMEQINTTADASDFLWYSTSVTIKGDEPYLNGSQTNLLVNSLGHVLQVYINGKFAGSAQGSASSSLISFQNPITVVPGKNKIDLLSATVGLTNYGAFFDLVGAGITGPVKLSGSTGALDLSTADWTYQVGLRGEDLRLYDPSVASPEWVSANAYPINQPLIWYKTKFTAPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCATTCNYRGSYSSSKCLKKCGQPSQTLYHVPRSFLQPGSNDLILFEQFGGDPGKISFVTRQTGSVCAHVSEAHPIQIDSWLSPQQKVQRSGPALRLECPKTGEVISSIKFASFGTPSGTCGSYSHGECSSSQALAVVQEACIGVSSCSVPVSSTNFGDPCSGVTKSLAVEAACS >Et_2A_016306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23001943:23003349:-1 gene:Et_2A_016306 transcript:Et_2A_016306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVAGERRRKGDAFTPSSALTPYSFPSGSSPLPAAAAIILALPVSRATAAGSPLPSTLLAGEMDGGGGGEALLVRRSKGKKRPLPAAPHADGREFGGGDRFRALWRDYHDLLQETDAKKKMQDRMNRRKLSLLAEIKFLRRKYEFFVKCNSQPIHYKLKGQARQIQSPMGINEALTFVEHGVEHEVPSTSKSTKLDLNQDSAMKDEGFDGRGHQGHSELVNFDQDGVTEDIMTIDANFSVCRNPGNSPSSEDKRTISWQDRLTLQA >Et_6B_048364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16205365:16206507:1 gene:Et_6B_048364 transcript:Et_6B_048364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEKQSPRPKPKPPKPKPKPKAKTGPEQKPMRDSAPGGGVPFLQQPFACETYRLCPPPPPSAPPATVHLRSGRLPTPLIALSASLLAVSALLLLALLIYRLVLRRRRRNAPPQQEELAGEGEEEVDSGDGGGVHHVWYIQTKGLDERAIAAIAAVVYDGAKMKKHGGVDGDGGCAVCLAEFRDGETLRLLPRCGHAFHRGCIDTWLRAHVNCPLCRAPVQVDAGAARPRGGEAEANLGAVGGARTEESAIGGLPDPAVRRAASMVALPRRAWPDVSLRWPASSSGREEELTGLGKIRRLLKLSDAPVMAGVGRSVSFGAESCQRLPTWSGPSTAAGVNGDELTIEMR >Et_5B_043543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11597236:11602709:-1 gene:Et_5B_043543 transcript:Et_5B_043543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRCGCLSSLCRPRRGGRSAGAPLQPDPPRREHDPESKVIEERKTVMENILSNNEFSEGLHSWQPNSCHAFVAAEGSGYHYGVTPYSGSNYAVLTHRTQSWQGLEQDITEKVTPGTEYFVAAYVRVHGELHEPVGVQATLKLEDENSSTSYLSIARILASQESWVKIEGSFDLKNLPRRLVFYLEGPPPGVDLLIDSVSIRYKKTERTSSLVGGEENIISNYDFSKGLHPWNPICCHAYVASQWSGFLDGIRGNSGENYAVVSKRTDSWQGLEQDITDRVSMGTTYAVSAFVRVDGNVQGQAEVKGTLRLQNQDGSTHYNPVGSVLASKEKWVNLEGSFSLTNMPKHVVFYLEGPPAGADLIIDSVTITCCRHKESKEVKVPSGVETIIMNPHFEDGLKNWSGRGCNICRHEFTAYGNVRPLNGSYFASATGRVHNWNGIQQEITGRVQRKVQYEVNSAVRIFGSAHDTEVRATLWVQEYGRERYVGLAKIQASDKQWTHLKGKFLLHAPFSKAVIFIEGPPAGIDILVDGLVLSPARKLHAAPCPKIENVLYGANIIHNSAFTKGLAGWSPMGSCRLSIQTEAPHMLSSILKDPATQQHISGRYVLATNRTDVWMGPSQVITDKLRLHVTYRVSAWVRAGSGGHGRHHVNVCLAVDNQWFNGGQVEADGDQWYEVKGAFKLEKRPSKVTAYVQGPPPGVDLRVMGLQIYPVDRKARFEYLKEKTDKVRKRDIILKFQESNAVNILGSPVRIQQTGNSFPFGSCIARHNIENEDLADFFVKNFNWAVFENELKWYHTEPEQGRLNYKDSDELLEFCEKHKIQVRGHCLFWEVEDSVQPWIRSLQGHHLMAAVQNRLQSVLSRYRGRFRHHDVNNEMLHGSFYQDRLGRDIRAFMFREAHKLDPSALLFVNDYNVEDGCDTKSCPERFVQQVVDLQERGAPVGGIGVQGHISHPVGEIICDSLDKLAILGLPIWITELDVTAENEHIRADDLEVFLREAFAHPAVEGIILWGFWEMFMFREHAHLVDADGTINEAGKRYLALKQEWLTRTGGNVDHQGEFKFRGYHGSYTVEVDTPSGKLVRSFVVDKDNPVQAITLNI >Et_3A_023211.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:11448183:11448347:-1 gene:Et_3A_023211 transcript:Et_3A_023211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGSLALLWSTVVLLGGFVTLLKRIDFGYLTFIGFIQAAGSVLSLSRSNYIC >Et_2A_018095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10266899:10268411:-1 gene:Et_2A_018095 transcript:Et_2A_018095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLPIESMDISMSEMQADLQQSTRLDHPDLFGAGTAMFLVYMYDSIPDPPVSPAAPLASAVAARTPADGVDRISRLPDELLKNVVSRLPAKDAARTGALASRCRGLWRSVPLAVVDEQILPRHALTERMAPGGDNIWSRLAVAAASSALAAHLGPFRCAHLTRGHMASHEAKAKRWLQLLAAKGVQELVFINHPYPINTPLPAEIFGCVSVTKLHLGLWMFPSTAELPRSTRFPHLRELVLGFILIRDRDLAFLIERSPALENLTIMARPTMVSLRLGSRSLRCVQVAMCGVDNITVVDAPRLERLFLWMSVPVKRSRIKIGHAPNLRMLGHWQPADHELEIGSTVIKVVHFDVRNDVKMVPTFLKRFPNVETLHVYSQDAAESKLDLKFWLDAGPIECVQSHVKKSFSKSFVGREVN >Et_1A_007673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37079799:37084219:-1 gene:Et_1A_007673 transcript:Et_1A_007673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGGGGRGKSGGKKGEESLAYRAWRQYLLQLQQHPLRTKMITAGCLAGISDSVAQKLSGFQKIEKRRLLLKMLFGFAYGGPFGHFWHKVLDYIFKGKKDTKTTAKKVLLEQLTSSPWNNILFLFYYGYVVERRPLNEVKNRVKKQYPSVQLSAWMGNISQPSCKDYVFETGIDNLVHLVVTPQRTRSWNPQERDSRLTMVNSNEASVVMDG >Et_10B_003184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17398078:17406511:1 gene:Et_10B_003184 transcript:Et_10B_003184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSGTWLLILALVAATMAAFSDARAVAGGGGSGNPTAGFTKVQLADGDFQVQSPYNVPESQRFQFRNGVRRFWVYKSDKPFNTATHTNPRSEVRLRGHDYSSGVWQFEGYGYVPSGTSGASVMQIHNDEEAAHATILMLHVYDGVLRFYSGDAVEADIYDRWFRLNVVHDVGASTVAVYVDGVRKYSASVIPSQSYYFKFGVYMQHHDVMALVVLVLCMVVLSKPSAAAGDNGDITAGFTKVDLPEGNFVVQSPYDVPENQRYSYDVATGVRTFWVYADDKPFNTVTPTNPRTEVRLTIHNEDGGAQATTLMLHVYNGTLCYYSGAVVEDGIYDRWFRLNVVHDVGASTVAVFVDGVPRLVDVAVKPTALHYFKFGVYVQHHDVSARVESRWRNVTVYTKPY >Et_3A_022984.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:12586872:12587130:-1 gene:Et_3A_022984 transcript:Et_3A_022984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSVEPTSLPPMNTAGTAGLRPSSLASAFSISFPLGSSSSSTTMGFTPRSRNRRITVWHMQQEL >Et_6A_048133.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7274749:7275060:1 gene:Et_6A_048133 transcript:Et_6A_048133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGTPPCPPLAPRAHAVARWPRIPLGAARLDPDPHRPPPSPVPIPVLLLPRPSPPRPVPPRPATASPRAAAARLCLALRPRDMPLPPASTQPDPAQPASTL >Et_2A_018646.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4019702:4021201:1 gene:Et_2A_018646 transcript:Et_2A_018646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKHAIFVRRPTPHVPSPAKHCPIPPAFPICGGDGVDRVSLLPGALLSNIVGRLPVKDAARTTVLSSRWRRVWQSTPLILDYDDLLLPVPGHEAVGGGTDAVSCILDAHPGPFRFVRLTHTCEHAAAASSNSKDDDDVGGGAALLLRKWIGAIADKGVGDLVLFNHTRPANGTLPTDVLRVLPSLHRLDLGNWDFPTTDNLTRDAIFLHLRELGLCQTDIRTTDLDRVIRFSPNLEKLALIAGDNNNSPASVLIRSFSLQCLLLWKFVAQNVHVLAAPMLRRLILWKLTAGACCQRTKLSIGHAPKLEAVGYLDPRLHVLELCNTVVDQSAWTSPLPVLPSVKILALKFRVGVPTEANVVPLYLRCFPNVRILHVMFDEEIDDDEPSVKPDFRTWQEAGHIRCLLLTIQMVILKKFRGGELEFEFFMFLSDAAKVLKQVVIVLADGNEETANAVVAKLKSMWADRAGRKPRIKVQIGGTTCSFRTASDLFATDPFHF >Et_1B_009926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11466230:11466688:-1 gene:Et_1B_009926 transcript:Et_1B_009926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQRQLSMTKLSDAARDLLVLMALVKCRELWERLITRGGSRARPADDDYFRWNYEFSCTTTPVNVLAAKGRRRRRLPPCVGGRQAREMMLASVTPRDEAWSPEPERSPGPGAAGHEIDGLAEEFIKRFREQLRMQGVA >Et_6B_048454.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6584706:6585227:-1 gene:Et_6B_048454 transcript:Et_6B_048454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEDIRNTGDDHFCASSGLIPEESPLINLDVDEDDSEDDVDSNDEEEEEEVTPPLKDKGKRAATAAANDKVKRPKSSGGIWMQEQVGKILTLVERSAASVQSIATRKVDDTGMSIKEVMAVVKACGAAPGTKQFFIASELFTKKEEREMFTTMDTPQKRFEWLTMKHFVKYGH >Et_5B_043052.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1874473:1874955:-1 gene:Et_5B_043052 transcript:Et_5B_043052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARVPINPPMRVSGTEMQNHRARRVKKSEMGRAPVLFLPHRMEFNTVRMMKTIPGKKQAVSQATDFHPSEVPLIVLQTRTPTYPAIIPRKRYRMIIPVKSIPLEAGDRKPRAANTIVTIAIPRIWIPSATMTHNSFEQQGSLKTSPTTSFHPESSSFI >Et_4B_039754.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28548948:28549562:-1 gene:Et_4B_039754 transcript:Et_4B_039754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIGKDGQDLLRQTLARAVAAVSPRASYSTPVFDIRPLHTFSPVADSGVPFLWVVRPGLVVDDHQFLPEGFAAATRGRGMVVAWAPQEEVLRHRAVAGFWTHNGWNSTTESVCAGVPMLCRPYFGDQMGNARYVEHVWSVGFEVGGELHRGKVKAAILRLMRDKDGAEMRERAGELKKAAAECTGKAGSSCQAIDKLVTHIMSL >Et_1A_008337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6683736:6688603:-1 gene:Et_1A_008337 transcript:Et_1A_008337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCGRIIACLVTLVRLDERFMGLMYIFETYLDMRQHRALKSPTLPKPLMGVISNEKFERSRAYSLDKSNFHFIHEAVTIVMDTTILYYKVLPWFWKKSAALVTNIGLNADNETIHTLAFLAGAMVWSQIVDLPFSLYSTFVIEARHGFNKQTIWLFIRDMIKGILLSMVLGPPIVAAIIYIVQIGGPYLALYLWGFMFALALLMMTIYPVVIAPLFNKFTPLPEGVLREKIEKLAASLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCSNEDEIVSVIAHELGHWKLNHTVYSFVAVQHTIIPLQHLLSFCLNLVSRAFEFQADAFAKNLGYAPQLRAALVKLQEENLSAMNTDPWYSAYHYSHPPLVERLQALEDSDSKKED >Et_1A_004679.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30251104:30251373:-1 gene:Et_1A_004679 transcript:Et_1A_004679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLVALLVVAAMSAGGAWADGCDPESLSPCLSSLVSGSKPTADCCTNLHAQQGCLCEYAKDPKYSKYISGPNARNTLTSCAMAIPSC >Et_3B_028407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14815985:14817077:-1 gene:Et_3B_028407 transcript:Et_3B_028407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFCPNCTINRALPFSSETQHHSSRTLECVVDDGKRDSTFMVRVIAVTQFFSTCTNHLRSSRNECNLFCIDCEEQPIAFCYYCESRHHSTHRVNQIRRSSYHDVVRRLRMSLILAMCRLYVINGAKVVFLNERPQVRECSASIGKALSSSSHKCETCCRALLDEFRFCSLGCNPRGMRKDTEMPNLCDNGPSDQAEIQDVTGSGNTSSNAKSKKENSGDNNEEEPPAKRVARRHCRKGIPHCAPFF >Et_5A_041747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26593120:26594544:-1 gene:Et_5A_041747 transcript:Et_5A_041747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGAVIACHTPDEFKSQMDKAKAANKLSRSWVIILHPDGALNSLVLQVIIDFTASWCGPCRLIAPVFAELAKKFPGAVFLKVDVDELKDVAAQYKIEAMPTFLFIKGEDKVDTVVGARKDELAAKVEKHHGPVVATASA >Et_2B_021305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28485733:28487460:1 gene:Et_2B_021305 transcript:Et_2B_021305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNASCQQFGVDAMMSGSRCFASDCVVGADAAMVHVPAEYVPHDGGFSAHEDMALAGQMQLLRSHGEASGWYGAAPSEVTVALPPTAAKLAGEPESGWIQEPPYYCGPTWFAGDPFAAAAMELSLRLAAESSSTGTVVNLPDQSSSDVSCSGLTHASSGAGHGLFQPPYGGELVRPHFSQVLARSGYAHIVQQTLDEFVGCLLQDVAGNAGSVVGDASWPLPSSSCSMKTPSNQSMFISSQEHPHQKLKNDLVKLLQLMDQRCNQCLDEMQSAASKYGGMVRPGGGGALPAPFAHRTVIATHRRLRARIVADIAAATQTPRSREQASSLSSLADRERSWESTFIQKHWALRQLRRGDQQSWRPQRGLPEKSVAVLKAWMFENFLRPYPKDNEKEMLAVRSGLSRSQVSNWFINARVRLWKPMIEEMYEDLKKASADSESMAV >Et_2A_018422.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27476469:27477065:-1 gene:Et_2A_018422 transcript:Et_2A_018422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLGVWCFSILFSIAVTGLIILVPTNNFPVELTVTEVSLIRSLGPVARGNVTASVAHSLSFAVTVYNPNTVTRVWRAAPLDAELRRRGGGRPFAHVRLAGGAEPERIGQMRSKVYRAAVTVPESVAMALGRDADAVAVSASRLELIVHGEFKYVPRICSASINTTVRCPLRLPLSMARSRLELGRELPELCTTEQLI >Et_4A_032615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11949826:11953289:-1 gene:Et_4A_032615 transcript:Et_4A_032615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCTAPHMFAHNATLCACDPGYYLTNGSSSCAPAPAAGWEWQVGAVGGPRNQSLYFLAPVLSSDVVRRLTQSQAVLLEVALAVLLAWLAFCAAARIAGRNPRGEKRLYRARFWVSRLDFIFDNSHWAEDQTVLRKRKTELGGTCSVASLILIIGLLTALLYQAINKRSIEVHRVKPANAPDLLSFVNDLEFNITTISGMSCAQAIAPSTIAMGTPGFMDFRVVPLPTLFTYSCTNTSWGPSISLTCNGCRIPPRDHYVSWHFVDLPGQPAAAVGFQFSLTAKQHGDNKHVSFVSGAMNSDGYADDIKLKTFRGRDSNVLKVQLFPQICNKLGNLRLLQPLVQDFTEGSAFSDVGSLNASLQNAGDGMVNSTLYISYLSDYIVEISNESVVGPVGILASIGGLYAFSMAICLCIMAQCEARIKKLRDEDTRMLNILSKRRARRNWDKVRKFVMYTWGPSNLDPTDRSGKQPEGSVMDSLHRTFHKRKGPIRQTNSDFNGSTKVPAEMGAIDIERTGEMQQSSSS >Et_6B_049652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:831474:833826:1 gene:Et_6B_049652 transcript:Et_6B_049652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMRLVRRCWEQSRLLWRLAFPALLAEVFQFSIGFVTTGFVGHLGELELAAVTVVENILDSSAYGLLFGMGSALDTLSGQAVGAGQRHLLGTYTQQSWIICGATAAALSPAYALAAPLLRVFLHQPPHVAAAAGPYALWAAPRLFAHAANIPMLIFFQAQSSVWPVAAISGAALAAHAALTYAAVVRLGYGLRGAAVAGNVSQWLLVVAQLAYMTGGRFPDAWKGFTMRAFRNLGAFLKLSLGSAVMICLNYEFLTIMVAMGFSTAVGIRVSNELGANRPKETKFAVVVAVCTSVLIGAIFMAVVFIWRTSLPGVFTDSKEVIHGASKLGYLLAATVFAGSIWPVLSGVAIGAGWQVPVAFINVGCYYLVGIPLGILFGFKLKFGTLGVWLGMLTGTLLQMFILLTIIFRTKWDKQAVLAQERMEEFGENNEKLPLLEPPTHG >Et_2A_016016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20161205:20163046:1 gene:Et_2A_016016 transcript:Et_2A_016016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLALIAAELGDSSDFEVEGIQNLTENDVSDEEIEPEQLARRMWKDRVRLKRIKERQQMLALQQVELEKSRQLKPVSDQAMRKKMSRAQDGILKYMIKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVKFDKNGPAAIANVAEGGNGQTYSSSNEYDVDGFEDAPLSASSKDDEQNLSPVAQSTEEHAPKRGREKAYNKRPNQIVPYKAGTKEPPKRKRARGSSTVIEPEAQRIDNGPDNSRHMIPDMNRLDQLEIPGMANQIVSFNHGGNTSDAFQHRGDAQVQVHLPGAEVNSFGNTQAANAAPVSIYIGGQHLPYLNSDSARSKSGNTFPVDADTGLNNLPNGYQTLPQKQPVPLSMMDHHVVPMGARAPADNSPYGDHIIGGGNSTSVPGDIQPLIDYPFYVEQDKFVGSSFEGLPMDYISISSPIPDIDDLLLHDDDLMEYLGT >Et_1A_007489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35120217:35123036:1 gene:Et_1A_007489 transcript:Et_1A_007489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLLLPARPSPPPPAAMLLRPALSACASACQLRLPLLGSSVQLSCRGGESARRPLTVSASSSSSSSPLYPTPGPTEQEVQQVKLEQVIKRLDKTARYFKNLGTLGFWSQLVCTVVSAGILSFSTVVTGKVTAPLTFYATAAGIAAAFISVFWSFGYIRLSERLRKTAKDPEKAPPRADVVKSLKNGIVVNIIGMAAAVLGMQATVGALVAKALTTSAVPIYQAVPGQSPVLALDVFLVQASANTILSHFLGLASSLELLRSVSLPPAEPAPAPAPARA >Et_1B_011397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21597903:21612815:-1 gene:Et_1B_011397 transcript:Et_1B_011397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFCPVAPVGWGSQSLTHTSFSSSGKRKKEPVRNKWRRSRGPSRGSSFQCCCRRRPSLLSFRGSRRRWTAQGAGAGASAAGMAAPAPAPRKWEGVVDEALERDVVGACLDQAPERRRVREAFKDVQLNIDHCLFKGQYSGVVTKESYEKNSRGVEIFTKCWFPENYSMKAIICLCHGYGDTCTFFLDGIARKIASAGYGVFALDYPGFGLSEGLHGYIPSFDVLVDDVAEHFAKVKGNPEHTGLPSFLFGQSMGGAVALKVHFKQPNEWNGAILVAPMCKIADDVVPPWPIQQVLIFLARILPKEKLVPQKDLAELAFREKKKQEQASYNVIAYKDKPRLRTALEMLRTTQEIERRLEEVSLPMIILHGEADLVTDPAVSKALYEKARSSDKKLCIYKDAYHAILEGEPDETIFQVLDDIISWEGAMARKWEGVVDEALEREVLGACLDHAPERRRIRELFKDVQLNIDHCLFKSYERNSRGVEIFRKCWFPENQGIKAIVCICHGYGDTCTFFLDGIARRMALAGYGVFALDYPGFGLSEGLHGYIPNFSTLVDDVAEHFAKVKGNPEYRGLPSFLFGQSMGGAVALKVHLKQPSEWDGAILVAPMCKIADDVVPPWPIQQVMSLMATLLPKFKLVPQKDLAELAFRETKKREQTSYNVICYKDKPRLRTALEMLKTTQEIERRLSEVSVPMIILHGEADSVTDPGVSKALYEKAKSSDKKLSLYEGAYHSLLEGEPDETIFKALNDITNWLDQHSTNKVPLS >Et_10B_003270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18370132:18372325:-1 gene:Et_10B_003270 transcript:Et_10B_003270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHSRARATNENVIRFNDLPRDILYTIVSKLPPKEFARSSILSSNWGSMWSACPRLTFDAVTMCKCDRDDLHKYTTKFINEVNAILQKHQDKVVETLEVRIDFVDSPLASPHINSWVGFALSSRTKNLTLDLKPKTFWDYNYNDRYVFPFQLLDGGRGSMSHLRHMQLSFVSLEPPSHFGGFPNLRKLHLQTACVNGKDLEHVLSRCCKLEWLRIDRCHIKDGLIVDALLAHLLYLRVEYCKLLTQIKFHAANLATFEYAGPFIPIDLTNSLKLQSANIVLDEANFQHALASLLNGFPSVLNLTLRIGSPYLEKQWLWDNPVKFYNLRRLQLFMLIFPEGLDKVLCSFSFLRATPFIEELEVHFVGYSLWLAEVGPCRQDLGPPCKYNCLKTIRFTGFKAARGQLELLLHLVENAPALEAINIKVANASEEYWLRTEGRPPFEEARRIVRNCLLPLNVKFDLV >Et_2B_021094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26478785:26481839:1 gene:Et_2B_021094 transcript:Et_2B_021094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGSGSGGSEAAEEEQVMSEVHLGCPPGFSGPHISRFTFSSRPLDSCGVGDGDGDGSGESKLVTATSTGLPDAVAVDEDGDLVLDRRKRKRNVTSDDHVLTIRHGITSSLMNVGLQVWKAALLLSDFVLHKSFTSSDFEGITAIEIGAGTGLVGLVQARVARKVFITDRGTGILDNCLANFHLNSSMLKFDEAKVYVRELDWKMAWPPPVGACDPSDPSSGYLWSTSEIEEAEEATILFAADVIYSDDLTDLFFDTVKKLMSSGAKKVLYLALEKRYNFSLDDLDVVANGYAHFRSFFTVQDEPGNAEDTFEPGFVGKQIDLSQVPQYIREYDRGKDLEMWEIMYVPEQKLVPNQ >Et_10A_000776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17049780:17054570:-1 gene:Et_10A_000776 transcript:Et_10A_000776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEAWLLDRDVADMFVCLLLRILAGPAGISVRPDSSASCFVQKAAVLSGGLPGATTVQVPRRRNKARNGQAGKQQGAVSTASSGDQTKGGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGAIELEGLEKKAKASGACQLVVKDLKEEFVSEYIYPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFYALNPELKVVAPWREWDITGREDAIEYAKKHNVPVPVSKKSIYSRDRNLWHLSHEGDILEDPANEPKEDMYMMSVAPENAPSEPEYLEIGIIAGVPVSINGRDLSPASLLAELNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTIMAAAVRELEALTLDRETMQWKDIIALKYAELVYAGLWFNPLRQGFDALMEKLTATTTGSVTLKLYKGSVSIASRKSPYSLYREDISSFENGEIYNQADAEGFIRLYGLPTRVRAMLEKGL >Et_7B_054343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20078031:20081995:-1 gene:Et_7B_054343 transcript:Et_7B_054343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAVRRGIPFLWILLFLSSLLLPVSPASVDFSHCGGCDDVDDGSLWSTENILQCQKVSDFLIATAYFSIPLELLYFATCSDLFPLKWIVLQFGAFIVLCGLTHLITVFTYEPHHSFQLVLALTVAKFMTALVSFATAITLLTLIPQLLRVKVRENFLIIKARELDREVGMMKRQEEASWHVRMLTHEIRKSLDRHTILYTTMVELSKTLELQNCAVWMPNESRSEMILTHQLRQRDIMEPHSRSIPVDDPDVVQIKATKDARVLGPDSALGNASRSQIEAGPVVAIRMPMLRVSNFKGGTPEVMETSYAILVLILPQSDSIGWGPRELEIVEVVADQVAVALSHAALLEESQLMREKLAEQHRDLLRAKQEAVMAAEARNSFQTAMYDGMRKPMHSILGLVSMMQQESMNQEQRLVMDAIVKTSSVASTLMNDVMQTSTTEREYLSLVRRPFNLHSFIKEAVSVVRCLTGCKGVDFEFQVDKSLPERIIGDEKRVFHILLHMVGTLINRCNEGCLSLYVNSYNELEERHNQDWMLRRANFSGGYACVKFEIRIRKSKDIILSSSSSQISQGHKPNNSEMGLSFNMCKKIVQMMNGNIWSVSDSKSIGETILLAIQFQLQPVTPASGASSDLYRSSPVPNFNGLRVLLADSDDTNRAVTHKLLEKLGCRVLSVTSGIQCMSSLAGDSSFQMVIVDLAMQTMDGFEVALAIRKFRSNSWLPVIVALAARTDDNTRERCLRSGINGLIQKPVTLAALGDELRQDKKCCHYLFVNP >Et_3B_028492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16107576:16107806:1 gene:Et_3B_028492 transcript:Et_3B_028492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTDVVLTEALRELEFEVEMRMLFSKGYMDRHCSSCAFRQGFQLCTANPGQVYYTACEQVHLHKV >Et_4B_036850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1231566:1237686:-1 gene:Et_4B_036850 transcript:Et_4B_036850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAGPSPRWRLAAAVLALALALAFAPAAARPDKEMREKFYGTLVTNGTQNATGDGSIAEMFGRVLEKEFSDSDTPDAPDKNSFNNSISDHQAVLETVAVITHDKKKNDSQQTNSPKPFQIGDMFGGQDENSDDMETVIDKEDNVFVMSNRKTKYPTLQLDIRLIKDLVVIIVSATGGGIIFSCMGQPVIVGYLLAGSLVGPGGLNLISEMVQVETFAQFGVVFLLFALGLEFSLTKLKVVGPVAVLGGLLQIALFMFLCGLTAALCGAKSSEGVFVGAFLSMSSTAVVSKFLVEKGSTNTLHGQVTIGTLILQDCAVGLLFALLPVLGGASGIFGGVMSMAKLLLVLSIFIAVTYMMTWSIVPRFLKLMIQLSSQTNELYQLASVAFCLLLAWCSDYLGLSLELGSFLAGVIISTTDFAHHTLEQVEAIRNLFAALFLASIGMLIHVKFLWNHVDILLAAVILVIIVKSIVVTVVVKAFGYGIRTAFVVGLSLAQIGEFAFVLLSRASHLHLVGGKMYLLLLGTTALSLVTTPLIFKLIPVVMHLGILMRWFPTENSIQNEEKATMLDTHNRSL >Et_1A_005117.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27982679:27984079:-1 gene:Et_1A_005117 transcript:Et_1A_005117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AFPSGEERDLAVVLVGLAAPRRDRLPPAEVASVHVEPRRAADEHGVGAGEPERRHRRRPGGQVRTDGRVRVRRHRAPHGDRRPSVAARRQELRRRHGPRRHGGDAVPEVLRRQRRPEAAAVVGGRAEHHLLLLLRRRAPRAGHAAPQQRAQRLEPGHGRRAGRVAGGEELLPRPLLERVQGLRREVQHPLAQRRRRRRRRRPRRREARNVGAREPNWRGGRASSVGVGRPTLQQGKRRGRRRTVTAREAGPRVEEVPEGGAVGERVVVREPEEHAVVELRDLHRQQRERDAVVVDGVAEREEGPDQPLRDVGVGREDVANGDAVRDGVRQLGALPGALDGHRAAAVVVDLVDGEASGDRVVDGEGVDEGGVEVVEEAGDVGEGRRREEEALLDVVGGQQGDVPCGERDHLARPSRRPNRARRHHAHRGRHHPSAIHFLLASFARGMGLQICCGPVVIYRSPGFETQ >Et_10B_002444.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4690386:4690664:1 gene:Et_10B_002444 transcript:Et_10B_002444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHMKEKQEEEEIKKNGIVGLHFLFCREQASPCLTTAVIGRYASEFVLPPTALHSCHRLLFGLDVLLPSFLTGLLRILWGKEDRRASNTRLR >Et_3B_027747.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:1781182:1781232:-1 gene:Et_3B_027747 transcript:Et_3B_027747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIQALSIQVCLSFS >Et_9B_064537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16306561:16308955:1 gene:Et_9B_064537 transcript:Et_9B_064537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGYANLPTSHLLGSVPVPTQSLPLFLAPYSCRLFSATARLQEFPPPAPGGNGGGYRPPGAPADGDVENQANWKGYFNVASYTPYFNVDTDVVVDRLISSVYPMDGFFRKIDANPDMYGPLWITTTLIFMLATFGNFATYLIQKKKDLDIWNFDVGYFNWAASVMYGYAIIVPALFFFLFQYFGSRPSLVRFWCMWGYSLFVFIPASVLLLIPVEFLRWVIIALAGGASSWFIALNLKECTEGADMMVLIASAAVLQFALALFIKVFFFA >Et_2A_017642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4530121:4532071:-1 gene:Et_2A_017642 transcript:Et_2A_017642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLGLGEEFFVDRMGERAGAYARFTYYPPCPRPELVYGLKPHTDNSVITVLLLDRDVGDLQVLLRDDGWVDVPVLRRHELLVVAGDEMEIMSNGSFRAPTHRVVTSEDQERVSVVMFYQLEPHGDLAPAEELLKAKTFGDEFWDAFALGEHTLDFLEVKIDKQDAVNFKRLKPRTQAAEANRTTDTHIHMMPASSVINLRTSSHLSRAGQWLPNPSLSS >Et_2B_021137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26854274:26857514:-1 gene:Et_2B_021137 transcript:Et_2B_021137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVASPSPMVRSEEDLGPPWLRPLLGTSFFVPCREHPELSKNECNLFCLSCTGDALCAYCLPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMQWDPSLTFAIRPKRQGSGDDGSGSDDSFSPKKPRRLGGLELGRFDRHGIRWSDDEGSRSHNGSMTPGTPPINRCRPSRRKGIPHRAPFYG >Et_2B_018940.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17645030:17646549:-1 gene:Et_2B_018940 transcript:Et_2B_018940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPSIFNFLKEGALLPTHNRKLFAAVFVLAAASNALLLLGSDLGVQPLADKLSLDAKALNGTDPGSPEFAHLVQEIQGDTRRLLLVGAAYLVSAVVVVSIVRIVLLFAAVTTYSRELHTFGSLLGRAKAGLKGPLVTLAFVYALEVAYAALVAALAALLAFLVARGYRLLLLAEALVLLVAGLFLVYLSFLCALSVVVAVAEPGSQGPGAVGRAWRLMKKGRMSRVVLFFFVVGTLAAVFSPVHALAKAFALRNVASGLLLGLLYTVLMAAVELFAVCAMTAFYYECKGSTEASATDYVQVPDQEQTNA >Et_1B_010377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1039531:1040000:1 gene:Et_1B_010377 transcript:Et_1B_010377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWPREAAALILLCSIVCAYQASSEETVCTDKQMEAILTACEEILTRGSVRIIIPRRGSECCRRVRDVPNRDMKCIVKRLTYQEGMNYVDTRILELEQQCNSWAHAGLSSYGAL >Et_7B_054085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15138225:15151898:-1 gene:Et_7B_054085 transcript:Et_7B_054085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTVHDVLTFHRPDRAAYEHLVALGAGRQPARDAVALLMWLHRRACAGDDAVTRVPAVVCTRADAARLVYEARTVLAGVPLPEMMSSPAIVARVSGADTRRVRGLLALTPADALRRGVEEVVAGIGTLVFDDRLYELMWLYEEGGSGGVLPAALAAPYRGCSRASGAAAAAAAPEEDDGRSLFVTFSKGILPLTPDEIEDYFAQRWGNCVEKVLMEKTPLGEPPSYGRIVLSSAAAMAVILGGQSLVKLVVNGRQVWARKYIPRQPIVHDVLTFHRIDRAAYDRLVALGAGQQPARDAVALLMWLHRRACARVDAAARVPALVGDRLSAALLVAEARAVIDGAADDDDDDGDALHLVRSCLCGEDDVRVRRFLASSCAADVDAPRRGVAEILRGVGALVFDDRLHALMRLHEADGGELPAELAAPYRRRWSTSAAAAALEEEDGRSLFITFSKGFPLTREEIIEFFTHGDSEFFIR >Et_5B_045015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8438732:8440524:1 gene:Et_5B_045015 transcript:Et_5B_045015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAAAKSASFTFALPPLGPFGAYGNAGVASSSRKRSLWQSSLSSSPGGMALSRLGKAKAQEHALMDCSPEADKASVSAWEGEVIKECPEYELERTLRSSRTPDEASVSAWEGEVIKECPEDELELTLGSARTRADRT >Et_6B_048926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15623568:15627712:1 gene:Et_6B_048926 transcript:Et_6B_048926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRGEACCLLLAFLLSLQLTGGLAGAAGDITVYWGRSQCEGTLREACDTGLYKTVIISFLSAFGQGKYTLDLSGHPLAGIGDDIKHCKSKGVVVLLSIGGHGGEYSLPSNKSAADVADYLWNAFLGGSRAGVVRPFGAAARLYNYTKNNRVGQRIVLTATPRCGYPDQSLKAAMDTDLFNRIHVRLYSLYGLDRPCTTTPWDSWLKTAVMYLHTKVAVGVVALSEGDNKAYLSPRDLNDRVLHAAKQMPNYGGVMIWDSRARRPWRRCGLLGPPQGRGHPPRGMRHRRLHNRHHLLNTFGHGNKYTLDLSGHPLAGVGDDIKHCKSKGIVVLLSIGGEGGEYSLPSSQAASDFAGYLRDAFPGGSGAGVHRPFGDASVDGVDFFIDQGASKHYDELARRLYAHNKNGDSLMLTATPRCAYPDPHMAAAVATGLFTRIHVRLYGADRRCAQTPRESWEKWAEAYPGSRVLVRVVASPEVDDAAAYMSPNDLYYRVLQFAENLPNFGGVMIWNRYYDKKTGNMGGF >Et_9B_064328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14014692:14018639:-1 gene:Et_9B_064328 transcript:Et_9B_064328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSPILDSLVDVFPQVNLSTLIEVSIQFKDDIDAAADYVIQNVLPNMVPHPSHPNTNEALLSHELQQASDDTSTQLLPDPVDNSSNSNMVQSFQTQSPTGLHSDVSTSGEECVSEGFSSDSSLDDSQLHASETNPEISASESVMLPHDNGSPDMFMRSSYSVNVLESIDGFIADEQQKKVALLSNAAAVSEMLQEVELNEEKTKHVVASVSQAGNDIFRKVVELKELTDVVVNDNSKVTGEIIAEQSILATEAQALQTRLFHISEETKKFELTIDQMNQTLQKRLAASEVERAAAEKAKLEREASAQKSLREQQLSLEAATEEFRWLEQLSQENAKLKELLGDRGHAVDALHGEMLGIFDSITELMQRVDMQLPVDEAPSLPCSAVFFQGPCSAVDEPLQQASSSLSGSAVDIPLQPVSTGSLLKTTSSNSSSIKSLASKSSWSSFAESSSKDNNIDISDENFALDDSWDVVDDDDDEVPASPYAMPILL >Et_1A_006338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2080447:2084087:1 gene:Et_1A_006338 transcript:Et_1A_006338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPEDDILNEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKKINDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >Et_4B_036230.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12279987:12280229:1 gene:Et_4B_036230 transcript:Et_4B_036230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAINWNHHPTAPSGQTCHHMAKKETSGVFLHGHHHMMCWSIWVVRDNWIFSNIDPTVENCRRKFMKEMKLVTFEPKAGT >Et_2B_020140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17221632:17222168:1 gene:Et_2B_020140 transcript:Et_2B_020140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LFSSSGNSPRVRSQNRTIFAARTTGFSLAMASLATPLKQLWHRFCVAGFPGKPQLLAQSVISGARSSVGSSFLSR >Et_7B_053947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13675789:13682193:-1 gene:Et_7B_053947 transcript:Et_7B_053947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAASCSGGGGGGCDAVKKRPEQSVAFHELFSFADPLDWLLMAAGSAGAVVHGAAMPVFFLLFGELVNGFGKNQHNLRRMTDEVSRYSLYFVYLGLVVCASSYLEIACWMYTGERQVGALRRRYLEAVLRQDVGFFDTDARTGDVVFSVSTDTLLVQDAIGEKVGNFIHYLATFLAGLVVGFVSAWRLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRDSYANAGIIAEQAIAQVRTVYSYVGETKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGLSLGQSFSNLGAFSKGKIAGYKLLEVIRQRPTIVQDTADGRCLDVHGNIEFKEVSFSYPSRPDVMIFRDFSLFFPAGKTAAVVGGSGSGKSTVVSLIERFYDPNQGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTILDNILYGKPDATMAEVEAAATAANAHSFIALLPNGYNTHVGERGLQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSESIVQEALDRLMVGRTTVVVAHRLSTIRCVDMIAVLQQGQVVETGTHDELLAKGSSGAYAALIRFQETARNRACPSTRKSRSSRLSNSLSTRSLSLRSGSLRNLSYSYSTGADGRIEMVSNADNDRKYPAPRGYFFKLLKLNAPEWPYTILGAIGSILSGFIGPTFAIVMSNMIEVFYYRNPNKMERKTREYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLAVILRNDVGWFDQEENNSSLVAARLATDAADVKSAIAERISVILQNMTALLVSFVVGFIIEWRVALLILVTFPLLVLANFAQQLSMKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKILSLFCSELRVPQMHSLRRSQISGALFGLSQLSLYASEALILWFGAHLVRTHVSTFSKVIKVFVVLVITANSVAETVSLAPEIVRGGESIRSVFAILNSRTRIDPDEPDAEQVESVRGEIDFRHVDFAYPTRPDVMVFKDFSLRIRAGQSQALVGASGSGKSTVIALIERFYDPLAGKVMLDGKDIRKLNLKSLRRRIGLVQQEPVLFATSIFENIAYGRDGGAAATEEEVVEAAKVANVHGFVSALPDGYRTPVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESECVLQEALERIMKGRTAVLVAHRLSTIRGVDSIAVVQDGRVVEQGSHGDLVSRHDGAYSRLLQLQLHHG >Et_2A_016037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20426785:20427405:1 gene:Et_2A_016037 transcript:Et_2A_016037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITVRPVSTVFRTVLMTMAAARASKPVVGSSINIMGGLATSSTAIVSLFRCSADRPVAPGMPTMAFLKSPPVFLPANTSSNVFFPAPVIPIRAVSTPGVKAPLTSCSN >Et_10A_000155.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:13095747:13096124:1 gene:Et_10A_000155 transcript:Et_10A_000155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSASKPAAGSRFGWALIRDAAVAARVRNYLEESSIGDSRDTLLRMLRVVKVILPNLHRGAGDDMFAFARDEMTDRWRRLDAVLTRGVVSVRKIPPQYCTYFKRVREPLPAFAWVRCEKDHDCR >Et_1B_013265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6944377:6946739:1 gene:Et_1B_013265 transcript:Et_1B_013265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSGRMLACSGLGPGRFRPPRVHADRLRPLAPARRWRVAASAAASGGSPDLPSSSSSPTPPFGAGDDQSAVSPGFCIIEGPETVQDFAKLDLQEIQDNIRSRRNKIFLHMEEIRRLRIQQRIKNVELGISVEEPLGELPDFPSFIPFLPPLSAANLKVYYATCFTLIAGIMVFGGFLAPILELKLGLGGTSYADFIRSVHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSSTGALVLTEPVSTFSDGDQPLSAPRTERCPNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD >Et_3B_030589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5142239:5143254:1 gene:Et_3B_030589 transcript:Et_3B_030589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSDNCAIRPCLQWIRSPDAQGNATVFLAKFYGRAGLINLITAGPDHVRPAIFRSLLYEACGRMLNPVYGSVGLLWSGNWQLCQAAVENVLRGVPISPPPPGAVTAVPPLKTCDIRHVAARRSGGDDVDHGGAAAGDNIIHRVSNSSRGQFKRRARSDTTAASSFELVFSRPPSSAVLVDVQQAQPLSWAPAGGRPSQEYYSASHVDAADSNTSVDTVEVSHVSQSEPEPPRETHGRGEVGLDLALGLLPTVHKTEPLHVENYQQRDRIGEPAVKLGLGTTASRARC >Et_7B_054579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2514169:2515783:1 gene:Et_7B_054579 transcript:Et_7B_054579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPDHLFGLRNSFYIGAYQAAITSSQSVPASALSPDEIVDRDAILYRSYLAIGSHQLVIDEIGPSAATPLQAVKLLAVYLSGDAGNKESVVSKLHELLGDPAVGSNPILRLMAGTIFMHERDYAEALKNTNSGGNMELLALNVQIYLQMHRADHAEKQLRVMQQLDEEHTLTQLANAWVDLVMGGSKIQEAHLIFQDLSEKYPTTCMILNGKALCSMHMGHFEEAEALLLDSLNKDAKDAETLANLMVCSLNLGKPATRYLNQLKLTHPDHMLVKRMSSAEDIFDRACQAMA >Et_5B_043618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1342182:1346684:1 gene:Et_5B_043618 transcript:Et_5B_043618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEVGADARQGQWKTYCKTVSLLAFQSFGVVYGDLSTSPLYVYRSALSGRLDSYRDEATIFGLFSLIFWTLTLIPLLKYVLIVLSADDNGEGGTFALYSLLCRHAKFSLLPNQQAADEELSTYYQPGGSRPGVSSPFKRFLEKHRKLRTCLLLFVLFGACMVIGDGVLTPTISVFSAVSGLQNPAPGGLPDGWVVFIACVVLVGLFSLQHRGTHRVAFIFAPIVVIWLLGIGAIGLYNIIHWNPRIYRALSPHYIVRFFKITGKDGWLSLGGVLLAMTGTEAMFADLGHFSAASIRLAFVGVIYPCLVLQYMGQAAFLSRNMSAVEDSFYQSVPSLACITVMFVTTWLMALVIIFVWQKHLLISLLFLVLFGSIEAFYFSAAVVKIPQGGWAPIALALVFTFVMYVWHYGTRRKYMFDLQNKVSMKWILNLGPSLGIMRVPGIGLIYTELVTGVPAIFSHFVTNLPAFHQVLVFVCVKSVPVPYVPADERYLIGRIGPREYRMYRCIVRYGYKDVQKDDDNFENHLVMSIAKFVQMEAEEAASSASYESSTDGRMAVVHTTTDDAAGTGLVVRDNSFTDDAGASLQSLTRSSRSDTLRSLQDELSDLLQAKEAGVAYIIGHSYVKARKNSNFLKTFAINYAYSFLRKNCRGPSVTLHIPHISLIEVGMIYYV >Et_9B_064138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11780596:11783985:1 gene:Et_9B_064138 transcript:Et_9B_064138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRNSCTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKVPPRPPGYAFVEFEDPRDAEDAIYGRDGYNFDGHKLRVELAHGGRGPPSFDRSSSYSSAGRRGGASRRTDYRVMVTGLPSSASWQDLKVDHMRRGGDVCFADVYREAGATIGIVDYTNYDDMKYAIKKLDDTEFRNAFAKAYVRVREYDARRSRSISKDRDRSRSNSRGRSRSRSYSRSRSRSYSRSRSKSRSPRSRSASRSPSPVKA >Et_7B_054288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19295358:19295982:-1 gene:Et_7B_054288 transcript:Et_7B_054288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CITLASLTTRKAEDPSRSPCPLPAAASGDAVHGSRQRHGPEKEALIDRILSYIHNAIPDPPVSIDGDLCILFDADGGGVDLLSSLPDALLGNIVSRLPIKDAARTAALSRRWRPIWRSAPLVLVDAHLLPAGDDEIPHHVERADSNAVAVAVSRILAVHPGPIRCAHLTCCYMNESRARSRAGSSTSQSRASRSSSSSTARGRSRTS >Et_1B_012574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33323876:33324577:-1 gene:Et_1B_012574 transcript:Et_1B_012574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >Et_5B_045648.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6187565:6188146:-1 gene:Et_5B_045648 transcript:Et_5B_045648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLRQEEAPVPGSRRLELKGGYSIHKLDADDMPPGRPPGPRRAALRVTKPEHGPVAFFALGTSIFVATNVYCNRGRAPRRRHGERLYAITHEWSDHHKSFSTSLEVLSWAHNVVEKDRDLWDPTMAWSWKKSEQTPPPLLTEKDVVSYAVHPAGRAHRLRVHELRHHGLRHRQRRVDGPRGLDAAVPGAGIL >Et_5A_041109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18449858:18455088:1 gene:Et_5A_041109 transcript:Et_5A_041109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELWLLCATLAVTLLYYVLTTRRRSSVTGRPLPPGPRPLPFIGNLLDLRHGNLHHTLARLARAHGPDVMRLRLGLVTAVVVSSPRAAREAFTSHDRRLAARAVPDANRALNFCGRSMIWLPSADPLWKSLRGVVAQHVFSPRGLAAARGARERKVRDLVDHIRGRAGEAVDVGDAVYGGVLNLVSSALFSQDVVVVGAASAQGFRGLVEELIESIVQPNVSDLFPFLRLLDLQGWRRWTAGHLAKIFQVLDDIIDRRLAEEDDVKQHGDFLDVLVELMRAGKLVRDNLTTVLFDVFTAGSDTVSITVEWAMAELLRNPPIMARLRADIAGALLPGNDAAVEEPDAARMPYLQAVVKEAMRLHPVAPVMLPRKAVEDGVEIAGYTVPKGSTVIFNTWAIMRDPEAWERPDEFAPERWMEGRAAEMDFRGKDYEFLPFGSGRRHCPGVPMAERTVPLILASLVHAFEWRLPDGVAPDQVDVTEKFTTANVLAVPLKAVPENRTSDLPKLSNEVSNRRLAEEEVIKHGDFLDVLVKLMDAGKVARNNLTTILFDRHRLEITVECAMAKLLRNPPVMARLRAEIDGAIAGKDAVEEPDATRMPYLQAVVKEAMRLHTRWRR >Et_2B_019173.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15712596:15712853:1 gene:Et_2B_019173 transcript:Et_2B_019173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAKIPMRLIENARARARTFAQRKDGMMKKAQELATLCGVGVAVVCSGPGGGAPGGVWESEEGVIRRYRALPPEKRGARHTHLS >Et_4B_039210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8877703:8880484:1 gene:Et_4B_039210 transcript:Et_4B_039210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECRMYEPRFPEVDAAVMIQVKHIADMGAYVSLLEYNNIEGMILFSELSRRRIRSISSLIKVGRQEPAIVLRVDRDKGYIDLSKRRVSEEEAHACEDKYNKSKLVHSIMRHVAETLEVDLEPLYQRIGWPLYRKYGHAFEAFKLIVSDPDAILDALTYEEKETGPDGQEVVKVVPAVTPEVKDSLVKNIRRRMTPQPLKIRADIEMKCFQFDGVLHIKQAMKKAEAAGNDNCPVKIKLVAPPLYVLTTQTLDKDQGISVLTDAIKACTSEIEKHKGKLVVKEPPRAVSEREDKLFLDQIDSLMEQNAEVDGDDDSEEEEDTGMGDVDLTNSGVNAY >Et_7A_053026.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:5162924:5163397:-1 gene:Et_7A_053026 transcript:Et_7A_053026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPRDAEDDEFRLSRRADAVPQARARHRLPPGRPCVFVSARHRAPRDNEIRKEGTWSFDTRRSAWTWHGEWQLPFHGRGYYVDELDAWTGPSAPAPSLHAEAALRPRRWSGSSGGRDACSARSPRGMHVGQPTLTCMGHGRFCLVECAARQGVAN >Et_9B_063818.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:16073584:16074078:-1 gene:Et_9B_063818 transcript:Et_9B_063818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLWRALMGAGDSSSSSAAPGGDAAGGIEFWHGAERTGWLNKQGEYIKTWRRRWFVLKQGRLFWFKDPSVTRASVPRGVIPVASCLTVKGAEDVLNRQFAFELSTPAETMYFIADSEKEKEEWINSIGRSIVQHSRSVTDAEVVDYDSRPQPKASEASEPSA >Et_3B_030266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31896636:31902883:1 gene:Et_3B_030266 transcript:Et_3B_030266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPISCRPSARQPLLLRVPAERAGGAAAALGVGPPRREALLTLRGNVRPIEPEPWAAARVWMNRSRREDAARCAAAGQVAGSTGVGRSAGMEVFLAAAAVVAMGTGNRVLYKLALVPLREYPFFLAQFATFGYVVVYFSILYLRYQAGIVTDEMLSLPKKPFLAVGILEALAAASGMAAGCNFLKPNSTYSPDSSYLVWQLLLSAIFLKRRYKINEIAGCFLVAVGVIITVASGGTGASLKGTGIVWPLLMIISFFLQAADTVLKEIIFIDAAKKLKGGSVDLFVVNSYGSAYQALCMCLLLPFLSKLWGVPFHLLPAYVKGGAACFLNMGSLSSGCEGAPLLPLLFVLVNMGFNISLLHLIKISSAVVSCLASTFSVPLSIYAFTLPLPYIGVASSLPPGFVAGAVVLTAGLLLYSLPQAQHLGNSFHNRND >Et_4A_032000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11387958:11388812:1 gene:Et_4A_032000 transcript:Et_4A_032000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPRRAASPEYEPAMSGEEYTPASPEYTPVSRSWRAGSSPDYTPATPTIREASADYTPESTSWMAGSPVYKPSSPTTRAGSPENTPASPEYTPVSTSWRAGSPEYTPSSPDYTPASPTPPRRAPSPDYSPPSPVVSDAESRTSTPRRHHPYQRSGAGTCAMRAGGISRVHRRLALAVHGY >Et_3B_029672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2780480:2784931:-1 gene:Et_3B_029672 transcript:Et_3B_029672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPATTTKKKHHARLNNPFPRAVPASAIRSGDAAPRLSFAPTSKLAHAHDFPVGTRFRLRWDPSLGGEVSLSKVSSSSGAGDDAQRQRRMWETVPGVAFLSAGSAATEADECRGSFSLRDGRARLVPDRQHVDKIKAFYRCDAGEADELLRGAAFRPSDATRFPVLVIAGVVYARKARASRSPFCCCGHAGRRKSSARPALSARYWILLEEKSDTQVAFSVRIGDYQWTCAHAADPAKPPPPATSPRPHHRPGGLRLRVAAARVQQRAAGSKKSTTMTRLSSSREEVASLLPPPSAPETEREEEFNRVFLTYASSGDERFYGFGEQFSRMEFKGKRVPVLVQEQGIGRGDQPITFAANLVSYRSGGNWSTTYAPSPFYMTSKMRALYLEGYDYSIFDLTKPDRVQIQIYGNSVQGRILDGDSPTELLTSYTESTGRPPVLPRWITSGAVVGMQGGTDTVRRVWKQLQDYDVPVSAFWLQDWVGQRKTAIGSQLWWNWEVDDAHYNGWKDLVSDLRQHGVRTMTYCNPCLVPMDQKPNTKRHLFEEAKKLGILVRDQSGEPYMMPNTAFDVAMLDFTNPEARTWFKQILQLMVDDGVSGWMADFGEGLPLDARLHSGEDPVAAHNRYPELWARVNREFADEWKAGTPSRARASSATEEEKDAGDRDDDGLVFFVRSGFRESSRWAMLFWEGDQMVSWQANDGIKSSVVGLLSGGLSGFPLNHSDAGGYCTVDLPLLRYRRSEELLLRWMELNAFTVVFRTHEGNKPGSNCQFYSNSRTLAHFARCAKMYKAWEFYRAQLVREAAATGLPVARHLFLHYPDDERVQALTHEQFLVGTEMLVVPVLDKGRAAVTAYFPAGAGAWRHVWTGDDYGAAAGGDKVKGRRTVHGGVEAEVEARVGYPAVFVRAGSAVGERFVSNLRDLKLLLH >Et_1B_014039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28342308:28348158:-1 gene:Et_1B_014039 transcript:Et_1B_014039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLPLAVAVLFLSAPLRLCSAAARSSAPPPPAARPAPLAPALFVIGDSTSDVGTNNYLGTLARADREPYGRDFDTHRPTGRFSNGRIPVDYLAEKLGLPFVPPYLEQNTRTGAGGAGLTNIGGMVQGVNYASAAAGIISSSGSDLDRIHFVEKSVSKMHSMVAWCRVQARQPRNSMGSQILYGALVGMHVSLTQQVQQVEDTYEQLSLALGEAAAADLFKRSVFFVSIGSNDFIHYYLRNVSGVQTRYLPWEFNQLLVNTMRQEIKNLYNINVRKVILMGLPPVGCAPHFLEEYGSQNGACIDYINNVVIEFNYALRYMSGEFIRQYPDSMITYCDTFEGSVDILNNRERYGFVTTTDACCGLGKYGGLIMCVLPQMACSDASSHVWWDEFHPTDAVNRILADNVWSGQHTKMCHPLNLQDMRASWNSSCEEERRQLELVQLKASESHGQKEWFGGRTASRLYLVNQSVFDDGMAYLPVQLVEGLHLIYRDCNNKSEQVSKNTRNRMIIDPFLNCKNERKMDLSSAVIQVVSLAKILGSSCPEVCLNCLAHTQPMQTKL >Et_5B_043180.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:15490780:15490968:1 gene:Et_5B_043180 transcript:Et_5B_043180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMEVILIAAWAIWRVRNAKIFQGVNPSFGAWWHYFREDMTLHSIRFRQHECQEMQDWLESL >Et_4A_032588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11601291:11612410:1 gene:Et_4A_032588 transcript:Et_4A_032588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGWRTLLLRIGDRCPEYGGTADHKEHIIGLINLENEEFAKAIVDTTQANLQDALHTENRDRIRILLRFLSGLMCSKVVAPNSIIEMFETLLSSAATILDEETGNPSWQPRADFYVYCILASLPWGGQELFEQVPDEFERVLVGIQSYLSIRRHFDDIAFSVFETDQGHSPNKKDFMEDLWERIQTLSRNGWKVKSVPKPHLSFEAQLVDGKSHRVSSISCPPPTPSQSSSEISKGQEKHEADLKYPQRLRRLHIFPTNKAENMQPVDRFVVEECILDVLLFFNGCRKECAFYLVSLPVSFRYEYLMAETIFSQLLLLPNPPFKPIYYTLVIIDLCKALPGAFPSVVVGAVHALFDRISSMDTECRTRLILWFSHHLSNFQFIWPWQEWSYVKDLPKWAPQRVFVQEVLEREIRLSYFEKIKQSIEDAAELEELLPPKAGPNFKYHSDESNENSDGHRFSKELVGMIRGKKNTRDIILWAEEHIIPTNGAEFALGVVSQTLLDIGSKSFTHLITVLERYGQIISKLCPNEEMQLLLMDEIGAYWKNSTQMTAIAIDRMMGYRLLSNLAIVKWVFSSANVDQFHISDRPWEILRNAVSKTYNRISDLRKDIQSLKKGLQVAKEVSAKAAKELEEAKSVLEIVEGQPAPAERPGRLRRLQVHADKAKQEEITTEESLEAKGALLVRALEESKELLKLLFKSFVDVLTERLPPVSADGEIPNLRAGDLNVNFAAHDPETATMEIDNENGADNSSEPNGQSSKTGYNVGELEQWSLCTLGYLKSFSRQYATEIWSHITMLDEEVFAGDIHPLIRKAAFSGLCRFPSEGSHL >Et_4A_033598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24872230:24875508:-1 gene:Et_4A_033598 transcript:Et_4A_033598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFRSQMPWSSAPAEPLCDAAAAVVAARRGMETPLTAVAEAFEELARGMAADGGELRLAPFGDTCALVSVLFSSLGMAFKFAEIEYVAKVNDLIGAGKSYRTLNDILDKDVENDSVKKQGSHSRNLRRVRLGLGLIKALFEQFLATEGSLYDAATTAYGQVCAPFHSWAIRKAVGAGMYTLPSREQLILRLNETDSSVQKEMRRYINASSPIIEYIDNLFLSRKISLDWPSQLQRTINSQELRAALQLST >Et_4A_032690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12648816:12653003:1 gene:Et_4A_032690 transcript:Et_4A_032690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAALCTDPFVLSSTFLCLLLHLALRRSLHTASSSSSGRRGRLPPGPPGLPILGALPLIGPAPHAGLAALARKHGPIMYLKMGTCGVVVASSPGAARTFLKALDARYANRPDVASAADITYARQNMVFADYGARWKLMRKLASVHLLGARALADWARVRRDEAGRLVRGVAQAAHAGRPVVVVPEVLVCALANIVGQITVSKRVFDAQGDQSNSYKDMIVSLLTGAGLFNISDFVPALAHLDLQGVQAKLRRIHLQFDALITKLLAEHAATAEDRAREGRQDFVDKLRASMDHDEEGETITEVNIKGLIFDMFTAGTDTSSIIVEWALAEMLQNPSVMARAQEELDRVVGRGRRLEESDLPNLPYLQAVCKEAMRLHPSTPLSLPHFSFDACDVDVDGGGGYHVPANTRLLINIWAIGRDPDTWEAPLEFQPERFMPGGKAAGVDPLGNCFELIPFGAGRRVCAGKLAGMVFVQYFLGTLVHAFDWRLPDGEEKLDMAETFGLALPKAVPLRAVVTPRLAPEAYAA >Et_7A_051394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17930208:17933508:1 gene:Et_7A_051394 transcript:Et_7A_051394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAQGRALLGLLLLVAAAAASPEDLLRLPSSAPRQLAPRFPRADAVDLHPTDAGTLVDWPLRLASLAGPSVGDLGHRAGYYCLPNTHDARMFYFFFESRGRSKEDPVVIWLTGGPGCSSEIALFYENGPFHIADNMSLVWNDLGWDQVSNLIYVDQPTGTGFSYSSDSRDTRHDEASISDDLYAFLQAFFTEHPEYAKKDFYITGESYAGHYIPAFASRVYRGNKNSEGIHINLKGFAIGNGLTDPAIQYKAYPDYALDTELITKSQYSKISKIVPTCEFAVKLCGKSCFLMSTPLSFIVNLTSALQTYQHSHMFVQRLVHISFTYLLMKPVPSFHAGTSGTVSCLAAYFVCSTIFSSIRTIIGSKNYYDIRKPCVGSLCYDFSNLEKFLNLKSVRESLGVGDIEFVSCNPTVSHAMLLDWTRNLEVGIPELLENDIKVLIYAGEYDLICNWLGNSRWVNSMEWSGKEVFVSSSEKPFTVDGKEAGVLKSHGPLSFLKVHDAGHMVPMDQPKAALEMLKRWTSGNLSGPSSSFEKLDFTM >Et_3A_024688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23267457:23273892:-1 gene:Et_3A_024688 transcript:Et_3A_024688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCWRQWVREAGRVGYLALPMLVASLSRFAVQVFSNMMVGHLPGVLPLSAAAIATSLATVSGFSLLVSNAPFPLANLSRHRFPFPLTSSTRVANELGAGNPEGARSAVRVVLSMAVMDAVVVGGALLASRRLLGAAYSREEEVVSFVAAMVPLICVTVVTDALQGVLTGVARGCGWQKLGAYVNLGSFYLLGIPVAILLGFVLNMGGRGLWMGVVCGSVTQVTLLSAVTFSIDWPKMVRSSMASAATVAEEAALPLLPRTREKDAAAPSPCWRQWAREAGRLGYLALPMLVASLSQYAVQVSSNMVVGHLPGVLPLSSAAIATSLATVSGFSLLVGMASALETLCGQAYGAKQYHKLGVQTYRAIVTLLAVCIPLTILWVFMGKILVLIGQDPLIAHGAGRYIVWLIPGLFANAVLQPIIKFLQTQSLIFPLLWSSVATLAIHVPLCYVLLFKTGFGYTGAALSISISYWLNVFMLVGYIALSSSCKETRTPPTMEAFKEVDLFLRLALPSALMICLEWWSFEILILLSGFLPNPELQTSVLSICLTTITLLYTLPYGFGAAGSTRVANELGAGNPEGARFSVRVVMSLAAMEAIIISGTLLALRHLVGQAYSSEEEVISFVATMVPLVSITVITDGLQGVLSGIARGCGWQHLGMYVNLGSFYLLGMPMAILLGFVLKMGGRGLWMGVVCGSLSQTTLLSAITIFTDWPKMAEKARERVFNEKPAETGSRHLLE >Et_5B_045352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19709308:19710436:1 gene:Et_5B_045352 transcript:Et_5B_045352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVVDNGVVQVALSKPQGQITAVKYNGEQNLLDYNGRWNSGDGTKFKVVTWTEEQVELSFRSSYDPSLPNSVRLNVDKRFVMMRGSSGFYSYAIFEHAREYPALNISVACLTFKLHKAK >Et_6A_046475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15735905:15738047:1 gene:Et_6A_046475 transcript:Et_6A_046475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVARSLYFSFIEQDFSICQTLDMAVLCSAHASASVNANILVGWVPPNDRPVMYSRIPQYGTSLKRRNRHEQVKRFAKGSSLQDPVPSVKPSRLLPTEDLMIFPNSVLKEIFSTIRLDNCDAFYVLELGTSREFSSSLVDKNSAILVCFIDVVGDSLLQRIPAIYSDQSGRVKAEQSIPFQSGSLDVVIFKGSKLQRIKEIWIGLESGSWRLDGLSLKVIHGPLDASKEIDATSGFNFSGLQYTFEKINALLGEDGVSVAEVKPVAVNDLSGLSVSDLQEGQLSSKGATTMAKEVKEDGLKEYADLKQSLLLYDAAIASLPSPWLERTMLPTRSWSVALEGFSICLLLQRSVDGLPVISTPSEVGSAQPSVSGFSGAKRPWLILSLVLVASAVALKYGAGGDSFELTPTELFAGTAGFLANKVAVLLAAFKPMQSNSKE >Et_5A_041222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19631846:19637082:-1 gene:Et_5A_041222 transcript:Et_5A_041222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCPYTGRHHRRSPEPLRAPASRTPVRTLAHRHAGPAAEPRPRAGAAGGPGPTRSEHDAATSAGRRYGHAGRRTRGRRWGHRTLASKAPFCSVSFLPRRFPTPPPGKPHRRRTRPSPQHPTVRHGRFLPSLLPRSCGDLQISAAAPSLPLSLSLSLGTQPKPPEPPAAAAGGAAAVTAAAVAPQTHAEWAASVQAYYAAAGHPYAWPAQHLMAAAAAGATYGAPVPFPVYHPGAAAYYAHASMAAAGVPYPTAEAAAAAAAAAAAAAAEGKGKGKGGGASPEKGSSGAASGEDASRSGDSGSDESSDTRDDDTDHKDSSAPKKRKSGNTSAEGEPSQAVVRYAVVESPFPVKGRSASKLPVSAPGRAALPNATPNLNIGMDLWSASPAFAVPAVQGEASPGLALARRDGGPVDERELKRERRKQSNRESARRSRLRKQQECEELGKKVAELTTENNALRAELDNLKKACQDMEVENSRLVGPSVTTTLGMSVEAPKAQQHDDEGQLRKNTNNNSNGNYVGGSHKQEANTRVVQAAWARQQQTSVIGPLGHGACSLREAAPF >Et_10B_004158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16634560:16635590:-1 gene:Et_10B_004158 transcript:Et_10B_004158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSLHVASPLLKSKALFESTSFPCLRQWNLTMHLGVTMEPFRQYFAPLKLELHKFLRYIPDIRSLIIRFTGPDRWIAPSSSPSSYLPNLRRLLVADVPSSWDVTWPLVLLEMAPSLESLHIHIAPCEEKPGEEISWQPTKLQQNHSKEFVVAGFEGTARQICLVKFVVAVCTVLRHVAMFKIGHARYNGLWGWEMVTEQHSWTDEEKDNTLKQIMDGVSSLTAPLELEL >Et_7B_053239.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:13850151:13850933:-1 gene:Et_7B_053239 transcript:Et_7B_053239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAADAAARLSFSSSSSATSFSIFSSLSLLLSHCTLDSSESLTSPALSSSSLSASCSNSAASDLETGLSAPPLEELERAVVPTRPFFRAWIFDPHTAQTTPPTRNACTAESALQTSPSTASQRLPRRQTLAARKRSEIATSRWWAATEPAREATAHRAAEAHSPPPTSNSEAWNTATTPSAMPTRKYTALEPGTHPGEAPASGFKKAAPETLLLLLPPIVTELGRKFLQIQVRFPRKTQALGACVAILIEFAWSWMKS >Et_6A_045864.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20515140:20515475:-1 gene:Et_6A_045864 transcript:Et_6A_045864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFDVVNCSTLVVTTGRPIRLPAAALARRRIDSSTLVAVSSWTDDGVGVVAVPHRRWLIGPGSSPPTCRARCGRCNPCRPARVAIQPGVGPQWEYYPEVWRCKCGNKLFMP >Et_4A_032485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10600130:10602079:1 gene:Et_4A_032485 transcript:Et_4A_032485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGATPCRSPLAWLFALAAALFFASWYLLLDSAAGPPGHRPHHQGLHLGGGGGRRTGRKCDPAKALLRVFMYDLPPEFHFGLLDWKPPAGSAWPDVTGAAVPEYPGGLNLQHSIEYWLTLDLLASEQGAPTPCSAVRVRDAADADVVLVPFFASLSFNRHSRVVPPARASEDRALQRRLLRFLAAQPEWRRTGGRDHVVLAHHPNGMLDARYRLWPCVFVLCDFGRYPPGVASLDKDVIAPYRHVLPNFAANDTAGYDERPTMLYFQGAIYRKDGGFIRQELYYLLKDEKDVHFSFGSVAGNGIEQATRGMRASKFCLNIAGDTPSSNRLFDSIVSHCVPVIISDEIELPFEDVLDYSKFCVIVRGEDAVKKDFLMNLIKGISREEWTRMWNRLKEVERHFEYQYPSQTDDAVQMIWKAIARKVPSIRLKINRLRRFSRFGTNKTNETPPQSSSWLQNQIS >Et_7A_053182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9343421:9344208:1 gene:Et_7A_053182 transcript:Et_7A_053182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHATGHRDAAADQQADTDFIYSRRLADSSRGDMFEAGTDTSLRDSGICHGPSHAKPHLMAKLQDEVRRSVPKGQEMVTEDDLANMTYVKAVIKETLRLHPPLPAGLNKEDIDMTEVFGITVQRKQKVVLVPKNL >Et_1B_013622.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:174712:174936:1 gene:Et_1B_013622 transcript:Et_1B_013622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWMQYTATRSQRAATACLFLTGAALIFAAARLSYANIEPQRAKAAERRRVLEAFIRRKLGSDSSSPQDPPPKP >Et_9B_065662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8894444:8896470:-1 gene:Et_9B_065662 transcript:Et_9B_065662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQCLPLSVEPCVLDHIDQIINIIKQAAKDGEPWSDSPWGPERPGWHIECSVVSVHYLVLLLTYMVVERTSYFLTMRRCHSSAVMLIKGHTSATLHDCDDSCQQQQISIGDSLPANTLNYIQKLHEEFKTSISDDLHTSAALAAMSEPLEVRNGLFHTWKKQEKRLESLSALNEKVRVVLSVLGLMPSNYHE >Et_1A_005985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16399464:16405764:1 gene:Et_1A_005985 transcript:Et_1A_005985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAASSLGLLLIHLVSTIVTDPSLARTSCAAVVSGGVEAEVTGAGVGAPDLDVLKRATVLFALGCCACAAAAVLGCGAARAAAEDSIKASRFGLHVAESQRRLGWPEDAVVFALATLPVVELRGAIPAGYSMRPHPSASPSYPNMVPVPFIILYLKKLAVFLSQRNASATRIMDRLFEWARRKAAPVEEFQCLGLMLFVAVPFPGTGAWIGAIIASVLGMPFWSGLSANFVGVVLAGLLVNLLMILGLKYAVVTGIVLFIISSVMWGVLRSLKKSLQGLF >Et_9A_062828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5533353:5539371:-1 gene:Et_9A_062828 transcript:Et_9A_062828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFATTLTSWHLLVTFCSLHVALWMKFFEHKPFDSRTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRTIQMSLSVLLLGVGVATVTDLQLNVVGSVLSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQSLTLFLVGPFLDGFLTNQNVFAFDYTSQVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNILGILIAVVGMVLYSYFCSVEAQQKGVEISQQQVKETESAPLISDSLSKVENGGGVADDEPLKKLF >Et_9B_066216.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:7477110:7477760:-1 gene:Et_9B_066216 transcript:Et_9B_066216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAPELPPRYYAAARSGSSSSSAVASCLVAVLFLLLAAGGAAVALFLLYHPRAPAIAVTAVQLPAFAAANGTVAFTFQQLASVRNPNRSPLAHYDSSLRVAYAGGEVGYMYIPAGQIGSGRTQYMATSFTVPAFAVSPSSSSAQPVTISIPASGPSPAVSSRVEQQPQALELDSLLLVKGKVTVLKVFTHHVEAAKVCRIGVSPADGRVLGFRC >Et_7B_054812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4633769:4636162:1 gene:Et_7B_054812 transcript:Et_7B_054812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGMRGAWAVDLKVGNVSNIRSSPPQSKRRRRSRHDRLDLVVPGEGAVHDEVERAERGHGPEEVVEVSLVEVVGDPPWPPADRGERVDDGVDERAHVAPQRHGEQRERRADALHGVGRLVVEELQLRDVAEHLGAREHEVLRHLPRDADGLAPPRRFFSTSAAAAMANAMSRRPAPIRCSCDGSSRSGEMLLRAGTMTEP >Et_3B_027894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25115918:25116397:1 gene:Et_3B_027894 transcript:Et_3B_027894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFEQPSPCAKGCGFFGSPATQNMCSVCFTKHLVAAGEPAAATSTAAADVAVKKTTGDAAVVAGAGEEALTAARAENYWGNRCSQCSKKMTLVGRFKCRCGRTYCPTHRHSEAHACAYDYQRAGVISIIRNNPLIEGEKLRDRI >Et_10B_004147.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:15712432:15713379:1 gene:Et_10B_004147 transcript:Et_10B_004147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPMHVRKALHFASLRAKLAQQGKGGLLLAACLAAFLLVFAARSLSSPTPLSSSSSLSSLSSSHQAADCVGGGDGGSSKKQQQQEAIPSAVAEALVHYATSNTTPQQTAAEIAVTLRVLRRRAPCNFLVFGLGLDSPMWAALNAGGRTVFLEEDASWIASVRSKHPSLESYHVAYDTVLTDADALLELRSHPSCTAQPDLSAAAEASCRLALRGLPPAFHETEWDLIMVDAPTGWTPQSPGRMSAIYTAGMAARARRPGDGDTDVFVHDVDRPVEDAFSKAFLCEGYLKEQVGRIRHFVIPSHREEDGTKPFCP >Et_1B_013741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14856973:14858548:-1 gene:Et_1B_013741 transcript:Et_1B_013741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTGAADVCAICLDDVGRGQAIFTAECSHTFHLRCISGNVAHGNRECPLCKATWRDVPAVDPVPVPRPRHPPVYADDDPVSARDAHAQGAGAQAAADTHCERPAVPRGASRDGFAMLVHARAAPGAGEADGPRAPLDLVTVLDVSGSMSGSKLRLLKQAMGFVIDNLGAADRLSVVSFSDKARRVIRLARMSGDGKASAKRAVECLAACGSTNIGDGFRVASQVLADRRHGNAVTSIILLSDGQDTTGVGRNADYINLVPRSFRGAGNRSVPIHTFGFSTDHDAAAMHTIAEATGGMFAFIENQEAIQDSFAQCIGGLLSVAVREGRIAVTCAHQGGRVREVKSGRYESLVAADGRAASMDVGELYAEEERRFLLFVDVPVADVAEEATQILKVRCTYRETATGRAVDVAGSDAVVLRPVPVEVPEGDAELSMDVELERVRVAVTEDIAAVRAAAERGAHAEAARILGRRLEAVRRSAPALAGDPTCAALADELCDLRAGVASRRESTGRWGAP >Et_5A_041914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4118246:4121800:-1 gene:Et_5A_041914 transcript:Et_5A_041914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGDLASALYVRGDRAWRRRRRLWATTRRSAKLARRTTSSALVDRYRGVFVGSDMAADSYVVFAAGDTRTHIRVYVHERFSGPNATVATVAPSPLGDNSTWARWTTTSAPARTPLPPSWVGTVGSDLGDDNYVSAITVVFDAGEHRGSTLSLQGQHSFPGDEILERAVVGGTGRFRMAQRYSLLRVVDTPPEASVFQLDLFVFTPRVRTGRLRADVADYVSFVLPVCSPHVCVHSSDQTSAMAISATSLSSSSGVLNF >Et_3B_029392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24591129:24595907:-1 gene:Et_3B_029392 transcript:Et_3B_029392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLNMVDEAPPQAVCRELWHACAGPVVALPRRGSLVVYLPQGHLAAAGAGAGDVAVDLPPHVVCRVADVELCVSTVPYWVKFWRRRRIWGSIADAATDEVYARLALVAEGEAFDRNLHGGGAEGEDDIDDGDAGRKSGMLHMFCKTLTASDTSTHGGFSVPRRAAEDCFAPLDYNQLRPSQELVAKDLLGAQWKFRHIYRGDDGELRLGVRRAIQLKNEALFKDFSCDSSKRHTLSAVADSVKHRSVFHISYNPRATGSEYIIPYRKFLKSLNHPVCTGTRINFRCENEDVNERRSGMIVGISEVDSMKWPGSKWRSLLVRWEDGTDCNCQGRVSPWEIEIVGGSVSVAHPLPSSRNGCTDSVETGKLPRVLQGQELMGFRTHHVSCAPQTAEVAPFQSSNARRFLTNARGCMLNGPTSRLAVQNSGLTYQSVGFSESSGFSEVLQGQEISQAIPMFQGMMSEACSVKGRYGLHGFMHNSAAVNGLSAAAQEFSLTLSTSPAAQVPSPYPNHVFNQSVVSQPGLASKTDGEGASGSQPNPFDMLWGTRTRPPHETPGQISMEQLETRRASTPGDAARFGAAGGGGREVRKTSCRLFGFSLTDKMLPADDDGVKEGNYETECQNPRMLDLFGYNCSTPGAALPALCTAPLGI >Et_4A_034470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3485773:3491160:-1 gene:Et_4A_034470 transcript:Et_4A_034470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGDGELELSVGVRGGGGGAAELAEPPVPISLGRLVLAGMVAGGVQYGWALQLSLLTPYVQTLGLSHALTSFMWLCGPIAGLVVQPCVGLYSDRCTSKWGRRRPFILIGCVLICVAVIIVGFSSDIGVALGDTKEDCHLYHGPRWHAAIVYVLGFWLLDFSNNTVQGPARALMADLSGRHGPSAANSIFCSWMALGNILGYSSGSTNNWHKWFPFLKTKACCEACANLKGAFLVAVLFLTLALVVTLIFAKEEPYKGNQNLPMKANGQVEAEPTGPLAVFKGFKNLPTGMPSVLLVTGLTWLSWFPFILYDTDWMGREIYHGDPKGTDAQIAAFNQGVRIGAFGLLLNSVVLGFSSFCIEPLCRKLGPRIVWVTSNFLVCVAMAATALISWWSLKDFHGYVQDAITANKDIKAVCLLLFAFLGVPLAILYSVPFAVTAQLAASKGGGQGLCTGVLNISIVIPQVIIALGAGPWDALFGKGNIPAFGLASGFALIGAIAGLFLLPKISKRQFRAVSGGGH >Et_3A_023291.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:18428947:18429306:1 gene:Et_3A_023291 transcript:Et_3A_023291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKQHCICVSKCSYASYATQVWEKICSRDENIIQAPSATTESIQEWWNQHMSETERKQKRLKAALLIYTAWHIWNERNRQVFTQTAKQPDEVVRLIKEDAACGKPAIFASTQEEQFIV >Et_7A_051884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2592014:2595824:-1 gene:Et_7A_051884 transcript:Et_7A_051884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFRNLKNLRELHLGSNQLNGGIPASLFELPHLEYVDLSGNLLQGHIPVNLSMNLPSLQTLKLSANNLNGTFDFFWLRNCTMLKKIDLSGNTGLAVAVNFRGRVPPFQLKALMLSVCNLDKSIIAGPNFLGTQRQLQILDLSSNNLTGSMPDWIFTNVATLVYLDLANNSLVGSLDSMWQRQSNLQMINISTNYFVGHLPANISSVLPDMKVLDASNNIISGYLPPSLCNISSMAFMDLSNNEFTGEVPTCMFTNFPMLKVLKLSSNKLGGSIFGGASNLSVGAIFLDRNQFEGTLPSNLSGTMNIVDLQDNKLSGKLNASFWNLSSLLVLSVASNNLSGEIGPAVCKLTSLKFLDLSDNNFSGSMPNCTRKLTVSFLNVSGNSLSGLPSGFFNSSYVIALDLKYNKFTGNLDWIQHLSPVKLLLLGGNEFEGQLSPNLCDLHDLNIIDFSHNRLSGSLPPCIGGISFGYHTDDFGYWSLLGYNIFGEGYSNMDYDDPSFMYTSRYDLQGFTFSTKGNIYTFGHNFLNLMFGIDFSANMLSGEIPWEIGNLSHVKSLNLSHNFFTGRIPDTFSNMSAIESLDLSHNELSGQIPWELSRLWSLGVFSVAYNNLSGCIPSSGQFASFGMDSYQGNENLRNVSLGNGCSPGSGPVSPALDGVGGTSGSDDTILYVVSAASFVFAFWATVAFSFYHPYGQSVRGSAPLSEFRVGLQGDGCTHPQSIEKRNFRNKITTK >Et_9B_063975.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:8147072:8147197:1 gene:Et_9B_063975 transcript:Et_9B_063975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFSFVAPGHCVQEEMEGDMGRNSHCAIKTVKASSVARNL >Et_4B_037852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24044012:24059803:1 gene:Et_4B_037852 transcript:Et_4B_037852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFPCRVHVHCHRSLLLLRLVVLPLLSHAASVVTHLPGFDGPLPFYLETGYVGVEEATGTELFYYFVESERSPATDAVVLWFSGGPRCSALTGLLLELGPLQFALKPYDGTVPQLVSSPNSWTQMASVLFVDSPVGAGFSYARDPKGYPTGDVSSSMQMVTFLRKWFHDHPKYISNPFYVAGDSYAGKLGYIVGNPVTGDKIDDNYEILASHSFGIISDQLYEAAMKNCDGDYTSPKNKLCADVIQTITDLMSEVPKENVLETVCNSVTLKRKSLLEERYGLGDPPYEPSPECAVSNPFYCNCSISRKKCQQQEKRTDTTGTCHTFGQMTMPLKLLLGSRSGDHDLLVPFSSTQAWIRSFSFAIVDDWRAWHLHGQAAGYVGVEETTGTELFYYFVESERSPATDAVLLWLTGGPRCSAFSGLAFETGPIRFVLEPYNGSLPQLVRNPYSWTQVASILFLDSPVGSGFSYARDPKGYYVGDYSSSLQVLTFLKKWFSDHPQYLSNPFYIGGDSYAGKGYLVGNPITDPKFDQNAIIPASHGFGIISDQLYKAAVDNCKGDYVNPVNEFCAAVLHTINSVRNVCVHTIFQRYGFQASLRSRRRTLPSLSSAASRSPSSAVAGARQRGVDGGHPPAWFRRPSALLPGDRGYLVGNPITGDKIDDNSQIPYLHSHGIISDQLYEAAVKNCTGDYVNLTNKACADVLKSEVNRGHILFPVCPFTSSKPRRDGLEIKSVADGDHRVNGPPDEPHFGCFGTVTEWIRCKNSVGLPYTKDLPSSIRYHFNLTARDIALSYTGGNTQPRIIPYVHASCLNISWSLVKSCNSGDHDSLVPFSGTHAWIRSFNFSVIDDWRAWHLDSQAAGFTIAYANSLTFATIKGGYHVATENRPEECFAMAQRWLDHMPL >Et_2A_017710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5384058:5389022:1 gene:Et_2A_017710 transcript:Et_2A_017710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDIMWLCCGLAGWDGRNLGLLGFLVRVSDFPVRGLRFRRSNTICLISSGCLEEKTNMDVIQTSDSLHHGIVENSPYRTPYDRHAERGQLGSSWYFSRKAIEENSLSRRDGIDLKKESYLRKSYCAFLQDLGMRLKVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVIIVSYGIIQKKDPDAMERIKNNKELYEQQKELILIGERVVLVTLGFDMNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVVPPPSQGNDTEGSSASVANQRVPGKPPGTPDELPAYEHHQASRQSSYQNISSHHGYDHPHSEKQNSNQRIPKDEAKDGTSNSNDVANMTSSMMDAMKKIDKDKVKAALEKRRKSKGDLSRKVDVMDDDDLIEELEHSVELAAENEKIKQERRQREDHPNAARENTEEGELSMDSLEYSSPKLNNRKRKDVHEHRNYDRSERDSKRLMS >Et_5B_044042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19358477:19363343:-1 gene:Et_5B_044042 transcript:Et_5B_044042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGAVGEAEHREERPDAPPATGEDAGPTRGGGVEAEEDEDQGVVGERAEAVLAAGMRALGSDLTAAELHGRKEKGNRLGTSPSLKCGPHPPSKQGARGIEKGDVKSVNGMKPLQKPQKRTVDFRAVSPIYKKNIGGQPLELRHLAKLDEARIIHIPPVERLQLRS >Et_2B_022787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5097164:5100520:-1 gene:Et_2B_022787 transcript:Et_2B_022787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPFGVEKHADAMVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKKCGSALHHRFAKTVG >Et_4A_031830.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:228414:228788:1 gene:Et_4A_031830 transcript:Et_4A_031830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLMPRMLALRAVQRQTAASRSARPSSRGQHESVGTLPSDTFTSPSTLAHTPSFSVSLGHLPWELDGGGGHGFGDGGGGAGCEHPPQAATSPTIKLALATSTTIITANLFFDAITLSVCVDLY >Et_2A_017506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3490792:3491079:-1 gene:Et_2A_017506 transcript:Et_2A_017506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFMIKKKLAKKMRQNRPIPYWIRMRTDNTI >Et_1A_006952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29358974:29361401:-1 gene:Et_1A_006952 transcript:Et_1A_006952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEAVRETPQLMAAADDGCWQGPAATELKRLLRLAGPTVASCFLQNAVNIISLMFIGHLGKLPLAGASLAISITSATGLNIITGMATALDTLCGQAFGARQYHLLGVYKQRAMLVIGLALILAFIAQDAAVAAEAGAYARWLIPSIFVSVPLQCHVRFLQTQSLVLPVAASSGVTALCHLAVCWAVVYKAGMGSKGAALSNAISYAVNLLMLAMYVRMSGACKRTWNGFSVEGLKELRPFAALAAPSALMICLEFWAFEIVVLVSGLLPNPQLEASVLSICTRVSNELGAGQHQAANNDKEVVSYYAKMLPVLGISFFVDGLHASLSGVLTGCGKQKIGAAINLGAFYLAGIPMALLLGFVFHMKGMGLWLGMMGGSIAKVLLFASVAWFIDWNKEAVKAKDRVFSSSLL >Et_4B_039709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27391651:27396682:-1 gene:Et_4B_039709 transcript:Et_4B_039709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASPPQDQPQQQPAPVDGEVSRESAALTPRRARFPRACHNRPLVAPPTPAPAPTPPPPRPQPRRSGNAGDETPEYRVVTPLITEPEAPAELPRFRLRGMWELASVLNFLHVFRPLLNIAVEFTAEELEDAIITPNATLDDVHMPLLKSIPPVTQMAMGRGTWVTMYEDDPMLGHRLYREIRRVEYVKEQTRKSKGKGISSVPVMSYQWEAVATNFDEFKIAAEKLFSSRNRTEVSLGKKLNMNCLPEIEQIHKKKEKLLKKQQREALLIDSYLTANGFTSGRSRRERKRVSYTFDDYDRSINEAIKTTKQRRRSQRYTKDFVEAVSDIDPNFDSDDDIMGEAIYDEEYLRSRRQQKTRSSEDDEEFRLEQVADDGDVEMDHSLIAKEDAEEPQWYKRLPIRSPQEINLRFVDDIQIGIRRSKRSSRSRINYQQYDTSGVDTECGQPEKHNASDPDADAGSDALNDMEVSTTSQDRDEEDDEVNKGWQHHTEKMHASGRESRNVRRKFRDLNEVAPVAGVDDTPALVKDEH >Et_3A_027300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7121238:7122319:-1 gene:Et_3A_027300 transcript:Et_3A_027300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALPTLLGLLLFLLLGAGAPAFSAAATDTLSTGQVLAGDDRLVSNNSNARRDRRVAVPSFRLAFVDDAAEEYLQYNVTGDAAVVTRNFLDVTGQNKHQVWLGATRGWLTLFASPKSQCDVYASCGPFSVCGHSSQPICGCMKGFSVRSQTDWDQGYQRGGCVRNAPLDCATPSNRTSAASSSTDGFFPIPNVGLPDRAQRIQNVTSSEDCSTACLNNCSCAAYSYGSQIGCLVWQDGLLDTKSNGTSSNNPLISGQTLHLRLAASEFQQGSGGSSSNNNKRGVTIGVVTGACALLGLLALAAVLLIIRRRNKKTRNMQVGGGLVAFTYRELRSATRNTEMKMKADKKNTRK >Et_6B_050138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:732619:736084:-1 gene:Et_6B_050138 transcript:Et_6B_050138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELRVDSFYSRLRAAATAAGAGAASSSPLLILPSAADADSLCALRVLAHVLSADSIRFSVYPVASAAAAATLLASFSADQPLCLLLINWGAHRDLRAVLPRAATAFVVDSHRPIHLHNLCAANDRVVVLFTTDDEHAADLSYDFDLSSLADASDLAAEGDADDHLRVPDEDEDSDASDSDSDGEGGRRKRRRLSDDAEADGYPVTLFGKLRREYYRLGTFHGKPSGCLMYDLAHALRKNTNELLWLACVSLTDQFVHERITNERYQAAVMELEQHINGSGNLDPSGVGSVVTLKDGTKIRAPETSRIAYEDEPRLMLLREWSLFDSMLCSSYVATKLKTWSDNGLKKLKLLLARMGFPLADCQKNFQYMSMEVKRKMRDEFDRFLPEYGLTEFYYRSFLRVHGYRSKVSAADVVYGVTALLESLNAESKDSKGSSAAEQFWIAYSALSLTNVDQLRKGMQSAIEIQRAILRQGSSAITKTGFIRSAKKFRWVKLDDPVDTGKLCQPQALTKFCFFLMDALKERGARMKPLICACLAKEPEKVLVVGVCGKPRLGAVQGNAFGNAFRSAAEEIGADYFHDMFESSWIVLDVVAAPRRPGSALNRRRQKSDAWESCLSQADSSVLKANRP >Et_2B_022154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9893197:9894940:1 gene:Et_2B_022154 transcript:Et_2B_022154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRIEGLVLCFVISVQLLCCVTAGVRPPAIYVFGDSTLDVGNNDYLKGPDVPRANRRYYGVDFPGSVPTGRFSNGYNIADYIAMNMGFTRSPPPYLSLAQRTGLLHAGNNIPLSKQVKYFGGTKSHMVAKRGSGAVNQLISKSVFLISVGSNDLFVFAESSQNKLGAVHESNDIAALYSSLISNYSATINELYALGARKFAIINVGMLGCVPAARLFDADASCLDSLNKLASDFNDALEPMIAGVAAKLPGLAYSLADFYGLTEATFADPEAEGYTDISAACCGGGTLGAEEDCLPNSTLCAKRDQHAFWDRVHPSQHGAMITAMNFYHSRPGRYTMPTDFKGLAEAT >Et_5B_043972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18407966:18408677:-1 gene:Et_5B_043972 transcript:Et_5B_043972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKIYIVYYSTWGHVATLAEEIKKGADSVDGVEATIWRVPETLPEEVLGKMHAAPKREEHPVITAAQLAEADGVLFGFPTRFGMMAAQMKAFLDSTGGLWQSQALAGKPAGFFFATGTQGGGQETTALTAVTQLTHHGMVFVPVGYTFGAGMFGMDEVKGGSPYGAGTFAGADGSRTPSETELAMAAHQGKYFAGVAKKLKA >Et_1B_014270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4705405:4709114:-1 gene:Et_1B_014270 transcript:Et_1B_014270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGEGGREGNALKTAVIVAGGLALAWVTVETAFRPFLDRLRAAVSRSTDPARDPDQEEAPAAPPAPAAAEEEEKAPAEPSAPPVPAEEGEKAADKVAELEEKLEEAAAKADVTLRRVCYFISLPPKRTWILYSH >Et_6B_048609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11425261:11426586:-1 gene:Et_6B_048609 transcript:Et_6B_048609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFIPLAAATIPFPHEFKFDPTDEEIVVHYLRRRAVNQPLPSDSVTDKEILEYNPWDLLPEGASEIYYFHHRVRCGSGTRYKRGARDGFWKPSGKQVPILSNALSGRDRTKVGFKRTMVFYLGRAPAGERTEWVIQEYCLADEGLAPFFVMKPGTNLNLGQSSFTADISSMTAGIILEPDKSWVVCRVYKKRKNTPRVSNRSNCSLGGDKLRFIDFLGVGNDEGTSS >Et_8B_060178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6874464:6877161:-1 gene:Et_8B_060178 transcript:Et_8B_060178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVAEEPTPVLSGKDLVSLWLAGQWVAGVAVATAAVARRAWGVDSPVVGASFMASIGAFLFSSLILLAVGQETLFKDFPPKHTYTRDCFRSLILTVFEGGNSAAEDFFACDLRVGSFHLGGLRWWSRDGVVCSRTSREMDWSLADVGVLGITALSCLFVIPTIALEVWRTKATGCCIAVSEFSLRHFLMHL >Et_2A_018084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:180870:181749:1 gene:Et_2A_018084 transcript:Et_2A_018084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCIAHLRDLKILPVNVYFSENQIGNIENGSSSYSHKSSSSLGGFCEAEKRKVALKEYWNIVQMTFESEDECYRFYNKYAKDKGFSIR >Et_3B_028059.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:7030359:7030511:-1 gene:Et_3B_028059 transcript:Et_3B_028059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKPSHADGESKERKGLWSPEEDERLFTQITRHGVSTWSSVAQLAGKIH >Et_3A_024799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24367585:24371356:1 gene:Et_3A_024799 transcript:Et_3A_024799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAVRSRRRLLPYLHRLLHTGSAPSPSPSRFLRHASPVPRLADHSPFLRFPEARVSTLPSGLRVVTQAYPLNTRMASVGVWVDAGSRFELPGTNGTAHFLEHMAFKGTGRRINAQALEVEIENMGARLNAYTSREQTTFFADVQARHVPAALDVLSDILQNPRFPERALQRERGVILREMEEVQGMMEEVIFDHLHAAAFEGHPLGDTILGPEENIRKISKRDLEQYISTHYTCPRMVVSAAGAVNHDEVVDQVKELFTQFSTDPTNADQLVETNPAVFTGSEVRVENAEMPLAHLAIAFKGSSWTDPRSIPLMVIQSILGSWNRSIGVGNCSGSALARGISNGNLAEGLMAFNTNYRDTGLFGIYTIAKPDTLRDLSRLIMEEFRRLTHGVSETEVARARNQLKSSLLLHIDGSTAVAENNGRQMLTYGRVMPFLELFARIDAVDCATIMETAKEYIIDKDVALAAVGELYNLPELSWFRSQTCSDDTFLQKIFV >Et_5A_040943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15412949:15413654:1 gene:Et_5A_040943 transcript:Et_5A_040943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SGSDPGPLGLSGCGGSGAVLVVVPVASAAAEDAGVEHEHVEQLGEGEDDDERLQHAEAPPAVAAEVDLLAGEAEGQRGDGPGDERGRRVGGASVVAPRPHVVDARQLRRRAHHARKDREDQKVEGRAVPGNACTSRLAVDVVVEVPAREADDDEVHDEVQHQQRRHHVPAHHRHSSSSWTGAFVLPLLLLVELADLAWQRSKGAGRTQES >Et_3A_025869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33520365:33520805:-1 gene:Et_3A_025869 transcript:Et_3A_025869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSLPAPPPGYPTAAGAEQPAGGKKSRRGSTKARGEKGFIEGCIAALCCCWICEMCCD >Et_1A_007507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35309460:35313772:-1 gene:Et_1A_007507 transcript:Et_1A_007507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSTVAARFAFFPPSPPSYGVEPSPAAAEGAGADDAASVPRRGSVEARRLRTKRGTEVVSMYVRQSAARLTLLYSHGNAADLGQMYELFVELSSHLNVNLMGYDYSGYGHSSGKPSEQNTYADIEAVYRCLVETYGASEENIILYGQSVGSGPTLDLASHLPRLRAVVLHSPILSGLRVMYPVKHTYWFDIYKNIDKIPLVRCPVLVIHGTADDVVDCSHGRALWELAKVKYEPLWIKGGNHCNLELYPEYIRHLKRFVAAIEKSPPPPPIDDSLEGTGPSDHTQTEPEGTEDSRKSTDCRDKTRSSIDHRQSTDRREKARGSTDRRDKSRKSVDHPEKPRASVDQSDRPRKSIDRFGGMMKSVKLCNIDCFKVPPASGS >Et_2B_019593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10624742:10643152:-1 gene:Et_2B_019593 transcript:Et_2B_019593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGGMRGSCRVGAVLLFTGWIALAALSRLLRPVPNGCVMTYMYPTYIPIAAAPRNVSSDRYGLFLYHEGWKQIDFDKHIRGLNGVPVLFIPGNGGSYKQVRSLAAESFRAYQNGPLEPTFYQEASSTLSKEELNEFSVPTRYRRMLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYKESHLERTKGVAQSSDTVPSSVILVGHSMGGFVARAALVHPNLRKSAVETILTLSSPHQYPPIALQPSLGQFFTHVNEEWRKGYKMGGPHAASPKLSNVIVVSISGGIHDYQIRSRLTLLDGIVPSTHGFMVESSSVKNVWLSMDHQSILWCNQLAVQVSHTLLSIIDPVNGKPFSSTQKRVIVFAKMLQSAVPQSLSWMSYVPGFQQSNLVVSDNLDARELQQKDSPSCPPSVQWASDGLEKDLYIQSNSVTVLAMDGRRRWLDIKKLGSNGRGHFVFVTNLAPCSGVRIHLWPEKHHSSVQNDVPSSRNIVEITSKMVQIPAGPAPKQVEPGSQTEQPPPSAFLLLSPEQMNGFRFITISVAPRPTISGRPPPAASMAVGQFFDPEEGTSALSVGSITRSSIAPEEMFLAEDHPLALNLSFSVSLGLLPVILSLKTAGCGIKNTGDQMEAERNNLCKLRCFPPVALAWDSVSGLHIVPNINTETLVVDSSPAFWDSPEGTDRTTVLVLADPHCSYKATIRASPSAAASRFFLLYSSQILGFMVAIMFFGLMRQSSAWEHDSSVPSILSAIESNLRLPNPFMFLCFMPILLFLGFLVFTTKRNPQFWTFLFVSTICYIIANGFTILLILSSKLILYAAAILNVFIKRRWQSWEDTVQSAFLRQLLIFSLSFQSLKMVKNNENIIVAAATIPLICLVHPAIGLGLLLISHALNAHSTLCSFLAASFRSITQKKDIYKSNMGDNPAILSKGKPDGVHQLLPMDDSPKATKSFTDSQLELFDCRHGIMILHLLTTLMFVPSLVAWLQRIGMGQNFPWFVDSCICVGVILHGLLGSQPNVSCISFKLPGRRGGEVGLSFIYLLGGYYSFVSSMALAPYRALYALAIIGFICFASRIIERRKLVRGDISSRKSRKHSHRH >Et_9B_066229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7801740:7802220:-1 gene:Et_9B_066229 transcript:Et_9B_066229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRISPWTAAASAQRGFPSAGLAPEWPGRRARPPPLRASVGAGVRVGVLSAWGYGIAAAAGGISPGRWRRRCASCRWPVPCASACRTRSSGPTPTPADASTPSSTVPRHRRRAGGAEPRGDCLLAVVRRMKRDAVEPDVVQR >Et_8A_056245.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17985978:17986178:1 gene:Et_8A_056245 transcript:Et_8A_056245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRAKLRALLAAAVRYKIDRLKLVCESTLCASLEAGTVVATLAVAEQLQLTTLKMLASSLSSLRQGR >Et_4B_040008.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7683428:7683916:-1 gene:Et_4B_040008 transcript:Et_4B_040008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKMSGGGEAATGCKKRPPSRLQKKAPASLQLEQGSAGAGAGAGSAPAAAWGDGRAPIPLLSPLVVSPAATPTPVWEADQQAAAARREADAEARSGGGGEQLLRGAARHGERQQQPQHDAPAAGAGAGWRHPALATPVAEPASLVPMFQSQCAVEVRSAQQ >Et_5A_041763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26909895:26934148:1 gene:Et_5A_041763 transcript:Et_5A_041763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYKDSILTETRHGNYLCQTRFGDLPALYSYDFPRLSSDHFVQTTTIHYMMQDLCRRGPSPLSLFVPYNDPIRNGRSHWFGSQYRLYDESEISVNNAASFDCSNGCKCNPTDLLQIEVGGDESAVVLSPGRGKKRPASPEAYLSDGVDSSTAVDDDWKLSEEEDDVDEDQGDCRPFTVDDFPRFSNDHDEQTTTIYKRQDLCRRGPSPLSLFPPFNDLVIEGHRHVFGRQYRLHDESPISVDNAASVDCSNGCKCFPMDLLQLIDLKIAGYRHTQPGHARIFGFFAVRDKIEPLRNYVYRRDIDNYETVSVNPKTGMARLSLTSPARGICITSYALFEFKLCIRTEDTEDGPKEDILIEGCTEIDNILRTESVVETGRLYGEKCGLDVTFAVLTNAVQATVVVEIVRAPACGLNLKLYAKTSGFNNVICLFQGVAEADRKLSSVVAVCRRGHLDLRIEGSSAGNDFSQKSSWLEGSFDACYHGILDEQLELGDFATISVKIIWNVVYDRRRIHDISAEEKDRNALNEANQKNIIRLRMSSN >Et_1B_010745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13608966:13611869:1 gene:Et_1B_010745 transcript:Et_1B_010745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKHLAAALCTVLALASSLQLASCARRRHSPPVSAHTPAVMTVNGFQRGEEGGGASACDRHFHSDGERIVALSNGWLRLDGTRRCNRMIRVTAPRGRSVLAKVVDECDSSRGCDDNIVDSSAAVWKALGLDTRVGRVRVTWADAEQSRHRNSSVISFARGAVLLVIAVAVAILALFQFSCGKAGPCIDDEGCFDLLAVSRRGGDGGGGTPAVMTVNGFQKGEEGGLSECDGAFHSDGDLLVALSTRWYANGYRCHKKIRVTSTRNGRSVEATVIDECDSRRGCKDNIVDASKAVWEALGLNTNVGEVPVTWSDA >Et_2A_016057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20632960:20636021:-1 gene:Et_2A_016057 transcript:Et_2A_016057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTNTLSPIKIVPCYNLKHASPDEFCQINFRNGPFEEVALPSASSAMRKLAKAKPLYAGGRQYMYIRDVTFPTLDGASRGRAKLTCRRLPRMVPS >Et_2B_021812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5715652:5717789:1 gene:Et_2B_021812 transcript:Et_2B_021812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCCSPAASRSFGFLLLSRGLWLRRPVHALRTTTTVASAAPSATTSLSPPQQHQVALYVEALLDWNQRMNLTAVTDEAEVMKRHVDDSLSMLPPLERSYRAKSTSGGGDIDGLSLIDVGSGAGLPGLILAVARPSWRFTLLESMRKRCTFLEHAVEAMGLSNVDVNVGQILDYREAYDIAAARAVAELKVLAEYCLPLVRVGGLFVAAKGHDPHEEIKNAKSAVQKLGASMLELCNVESRGPHGQRTAVIYLKDRATPKKYPRLPGTPSKMPL >Et_4B_039746.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28385758:28387494:1 gene:Et_4B_039746 transcript:Et_4B_039746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSPASTTTTELDNSEDSSEAVTDDAVLAYINQFLLDEEFYPVTDASVEDTALLAVEKPFIDILTAVKPTDACEAKSWITDDCDSSGSIGSHDVSTSNQKFVQSPCEMVKEGAKCAVHKGRKKPHDNDLESEERRSKQTALCEEEVVREMLDRVLLCTDKNCEFRSPLPADAQIRGGYVKGSGNKRGRRKGRSSAGAEEEAVDLTTLLIHCAQAAAIDDQRNSIELLKQIRKHSSAVGDAGQRLAHCFADGLEARLAGSGSNIYRSLAAKRTSTNDIVKAFGLYVTACPFKKVSHFFANMTIFKASKVASKLHIIDFGIQYGFQWPVLIQLLSSRHGGPPSLRITGIDFPQPGFRPAERIEATGRRLHEYARMLNVPFEYRAIAAKWDTIQVEDLKINSDEFLVVNCLFRMRNMMDETVTDDSPRTRVLNTISKMNPHLFIHGVVNGTYNAPFFVTRFKEALFHFSSLFDMLEVNTSRTDELRLLIERDFYGREALNVIACEGTERIERPETYKQWKLRNLRAGFRQLPLDEDIMKRARYKVSKSYHRDFLVDEDNKWMLQGWKGRIIFALSAWTS >Et_9A_062560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24041703:24044908:1 gene:Et_9A_062560 transcript:Et_9A_062560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDNFTHKTNEALVAAHEMASEAGHAQLTPLHLAAALASDKSGIMRQAITQASGGDGAAGDSFERVLSNALKKLPSQSPPPDSVPASTALIKVIRRAQSAQKKRGDSHLAVDQLLLGLLEDSQISDCLKEAGVSAARVRAELEKLRGGEGRRVESASGDTNFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLLDVRLIALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQKVFVAEPSVSDTISILRGLKEKYEGHHGVRIQDRALVVAAQLSARYIMGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVELHALEKEKDKASKARLVEVKKELDDLRDKLQPLSMKYRKEKERIDEIRKLKQRREELQFSLQEAERRMDLARVADIKYGALQEIDAAIARLEGETGENLMLTETVGPEQIAEVVSRWTGIPVTRLGQNEKERLVGLADRLHQRVVGQTEAVNAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLGGMVGKNSMKVARDLVMQEVRRHFRPELLNRLDEIVIFDPLSHEQLRKVARLQMKDVAVRLAERGIALAVTDAALDIILSLSYDPVYGARPIRRWIEKRVVTHLSKMLIQEEIDENCTVYIDAAPKKDELAYKVDRSGGLVNAETGQKSDILIQVPNGVARGDAAQAVKKMKIMEVDDEDGMDE >Et_9A_061775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16325377:16325681:1 gene:Et_9A_061775 transcript:Et_9A_061775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RFWEIVLDRQHYGTIAAKWLCRKKMSWRNIKLTSYMAAALQGGYCGEYEEVDHLLGDYCTSATTNPKRNEDLGDK >Et_2B_021608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3547413:3550733:1 gene:Et_2B_021608 transcript:Et_2B_021608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQVHLRSPVQTTQRTISRHITILLLPGLQNLRRSGRSNQELASSSSPSYAGRGGKGTMGWAARFLTAVSFLAAGVLFAPDAVLGGRSGGGAAAAKLAHLLSLATAWGAGLWVTFIGAKIMFRFLPRHQFGSLQGTVFQAYFMLKSVCSAISVTAFAYLHPWKTAFTIERYQLGFLIVALGCDLSNFLVITPMTIKIMMKRQKMEKDLGIGSEVGYSRNAEVAKTSPALAAMNRKFRMIHVLSSLASMMSFGSLAIHSWYLANKLDLHPTV >Et_8B_060170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6744829:6747669:-1 gene:Et_8B_060170 transcript:Et_8B_060170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSKDTTPPRAADEPTAAPRAWVPDDMVTEILPRLPTRSAARFRCVCRFWNAETWSPAFLDRHPGLIGKFAFGEPALLVVPGLEIPRVIGAVHCRGLVLVEDRTRTAGYAVSVCNPTTGEVLRLPDKPSWSGGEIGPPGVVTGIGFHAEHYKVVQVTVAMGSNMQARVLTLGDDRGWRALDLDMTQAFGDLTDDACIDWNIDPVFADGCIHWYFRTNRQNPDEPHGIISFSLADESFRRAPLPPFVTSDRASYPHRLEKRQATPSSSGTRLAELDGRLCITRDVRRRSDAPGQFEVWKLHDYEAGAWSLDYRVDLKGRAARRVKASFVVPLTYMDGGWWCPPCPGDPKTKRRLLLVTSEQRVQVYDPNTNLLRTVASIGYIRRFEDTLRVVLYQESRVRFPGMERCDSEIRFREMDDVVTVGGQRCWRAPRGPRTPIICEDAIVAASTDPVFADGRLHWMLLSREGNGNQNGVLSFEMGSESFRRLPLPAFGDLPARPEHATMAEDDGRLCLLRDLRRLRLAVALFEVWMLRDYNSLSWSLDRRIDLTPHIWKKLTRPWHGDFFVVCYASSAGESRKILLATTGATVQRAYMYEPDTGELHVVVKRNDNVVQQRMPLSRKNNTCALCFTRRACCKWTAWSSATKTSNSRSRMKAWVLDLTRNLAR >Et_4B_040072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9023002:9026009:1 gene:Et_4B_040072 transcript:Et_4B_040072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLQAGYLFPEIARRRAAHLLKHPDAKIISLGIGDTTEPIPDVITNAMAERAHALSTIDGYSGYGAEQGEKKLRSAIGATYYADLGIEDADIFVSDGAKCDISRLQVLFGSKATIAVQDPSYPAYVDSSVIMGQTGLYQQDVQKYGNIEYMRCTPENGFFPDLSTVARTDLIFFCSPNNPTGAAASRDQLTKLVQFAKDNGSIIVYDSAYAMYISDDSPKSIFEIPGAKEVAIETASFSKYAGFTGVRLGWTVVPKELLFSDGHPVAKDFNRIVCTSFNGASNIAQAGGLACLSPEGLKAMRDVVGFYKENTEIIVDTFTSLGFNVYGAKNAPYVWVHFPGRNSWDVFAEILEKANVVTTPGSGFGPGGEGFVRVSAFGHRDNIIEAARRLKQLYK >Et_4B_038291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27907251:27910436:-1 gene:Et_4B_038291 transcript:Et_4B_038291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGNPLLKATEAVMKRPRSVASRKPRSTEQLASEYNDILRAQSHSSSHDDGPAVEAGGHGRKELFLNSPEMKGSTPHRSDVSRKSREEDRAGDYDGHTRSSKSKDASKRDNGGVLALACSTRNTESPDNPHLIPRDATVPGENKVGKVKLKFKTKEAVDGSIPATSEGSSHRPRHKDSSGRTNKDTRGNRIEGKHGDRHDISPSSDPVRKSKRVPKKKTFDSDSDNDDGELRYLEKLKGAKVAPEHPVPESYDDSLGDGFKKKKFSKVSKNKSTTYEVDHDFKMSRSGMKNLKLGDEDEFIEEEEAEMDEENSLMEVDSPSDVKIETPGLTTRQRALQGRGGNGENLIEFPDGLPTTSSRKQKEKLPDVEIQAKKAEAAQRRKMQVEKAEKEQQAEAMRKILGIDSEKKKEEKKQKEREEKEKQAKLEEYRKNCIRTVIGPSGTTVTFPESMGLPSIFNSKPVSYPPPREKCAGPSCTNPYKYRDSKTRLPLCSLACYKAVQGREAVQASDEKPAT >Et_4A_035820.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:6761952:6762719:-1 gene:Et_4A_035820 transcript:Et_4A_035820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVLLDRRSSSGSFELPRMSAAGRCRRGLGARAPATPRGAYHRLFRTCPPYMMAQPHKAGWSSAPALPYARPPPIYSSPSLPLLPSNQPPLLPLPPTATKYATFPCVPAQAPPPPPPSRAVMGAGAAATATVPAPAAPAPPRQKERRRRAPARPPPPPPTSATEPTKTQQKKPLQRATPLPPAPAVTEALDDLEREVARNFVQDLLHALAPPPSSLPLPRFSLVRGDRAVAPAAPSCNAEAAAAAAGIRGLFRL >Et_10B_003367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19152617:19153206:1 gene:Et_10B_003367 transcript:Et_10B_003367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSPSTSHKRGQIAEKSNSDETHCHIEIRICIRSQPSANMPMQPLCFLQYCGLCNRALGSDEDIYIYKGDSAFCSIECREKVMRTDHAWRDN >Et_5B_043102.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:3043056:3043466:-1 gene:Et_5B_043102 transcript:Et_5B_043102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGLGHAILENNGLEAALEEVLDSESKHVIELVLTLTKETIAVHAVEQRLTLKDTARVLLIQGEQLPCCISDAAQRILHTPQLTLAPQAILTHELQLSIKAFLLVWTPWLLESLPIYNMSPHRELLIYSIEQSCT >Et_5B_043879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17024097:17026095:1 gene:Et_5B_043879 transcript:Et_5B_043879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSRAALLAGVALPLLLLLAADVARAADCGAGDDAAAAAEGDRGRARTLKIAAFFSILVCGALGCCLPVLGRRVPALRAEGDVFFLIKAFAAGVILATGFIHILPDAFEKLASDCLGAPWNEFPFAGFGAMVGAIGTLVVDTLATGYFTRVHMNKAAAAASAAAAADVEKQSQIGAADGTGDHDGHVHVHTHASHGHAHGSTALMAAVGGGEDDKENTMRHRVISQVLELGIVVHSVIIGISLGASEDPSTIKPLVVALSFHQMFEGMGLGGCIVQAKFNARSIATMVLFFCLTTPVGILVGMGISSSYNENSPTALIVEGLLNSVAAGILIYMALVDLLAEDFMNPKVQSRGKLQLGINVSMLVGAGLMSMLAKWA >Et_6A_047731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1244913:1247993:1 gene:Et_6A_047731 transcript:Et_6A_047731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVARPRYRRRAPDNDDDIRGDHGLSNKRVRVVPVTDAAINRGLREVTSAKQQQTECAVCLTGLGRRRQAQRHALLRPRLPSRLHLHLAPPQRRLPALPHPASSSGSGQGGGGPNCSRQPGARGCCLDLSVCCAVLRPNKMTDSFYQDGPVTLMLSTALLPPVARTT >Et_10A_000710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15928259:15940584:1 gene:Et_10A_000710 transcript:Et_10A_000710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRPMVCYWRGVMDSLVDKLTEVKKMHPKVDPLLQDLESHREDLINKFDRGRETHKQVKGWMKQNRQLVYDIEDWIDLKLGANWDESESDLEEQVDEFKAKIKEARDRWERYDLARKAAISDAKVAIDVVPHKKVAVDSQLLWEKNGILVGIDGPRKKLEEHLKDTEKKRKVVSVLGKGGLGKTTLAAKVYEDLNRPFDCHASVTVGLNSSITTLMDVFLQVNPDMVAHDTRPSLNEQEVIRQLWEFLKDKRYFIFVDDIRNTRSWSIINCALPNNELRSRILITTRVKDLATFCSVRPTDVVYKMKGLDEGDSKRLLLTAISAKAENSSANFKNKCDAMLNKCRGLPLAIIVTAGLLASTKLAGLGPLGKPEESDEMRTVMDICFSDLAPPVKACFLYLSTFPENYTIQKDRLIRRWSAEGFIPKTSQESLLETGQSYFNELICRNLIRPVFDDYNDDQPVVCTVHPVIHDFIVSDSRQEDFHTAAAELILSGPFPYGTIRRFSVDCRQKGNEAYILESSAIHLSSLRSLTVFGDADRVLDLSTYKHLRVLDLEDTKSLDNQQVESIGFLLLLKYLGLRGANVTKLPERIMSLEHLSTLDLRRTEVRELPAFGNKKLVSLLAPILIIPRGMGGMEELEELSAVLFGRDTLLNLEGSHAVDVVELVRKSRRLRMLGIKLGYLADTEGVKQFLEEVRKSNLQSLSLDGYPLSSVDLLVDVWDHERSHCLHKLELKMSGCLPLVPEKMASVIAVTHLHIQVKVLQAKGVRALGKLPYLILLKLFSEEGTQESLRISSKDGFRCLKIFWFRSPYFLGMGLQFEAGAMPQLQRLQIEFNARKTKSGDIKFDFGIQHLCDLLQVRAIIRCKDVTDSEAKAVEAAIRGQVEATKEADSSASTGAMNSVLTKLATLMGEQYAELTGAGGMELILIDELQVMNARLKQLSDKADLNKQMKESRNQMRGLTYNIEDSIDDLLLRSVNEEVCSSTEKRIMPRDDLIVHSVGEKDTGSADKSVMPHDFIDQIQNLNAQVEKEDLRSMVSKTEIRSFGPCQMAREEWLFNVYDQVDGPMSALKEVHEQIVEACQGMPLAITLIAGLLASKPVETEHWSTVEKQLKSVQGNHFSVEWMRKVFEISYSDLSSDMKTCLLYLSSFPANCLIRKDRLIRRWAAEGFLPKQSVETWWQTGESYFLELIARKLIEPIYEDADSTFNFTFPEMNADGGLPTGCKVGYSVHDFITSLSSEENFVKQGYVSEKGSPRDWMYDDGIPRQYGVFSRDPRQDGVFLRILGTDYIYEELCDLEDMFELESSKVRSLTFEHSIRMPDISAFKHVRVLDLEDVKCLDEEVWRSVHSQNKGLRSVGHLSLLRYLGLAGTGIMDLPQEITALEHLETVDLRRNELFRGSPEIFKSTKLVSLIADSFKLPTGMEETQQVLEELFKVRVGNDGGRLAKVVSQSKRLRRLAVSIDGGAKSETGTEGLMNLLAVIGNSYIRSLRLEDDYYNSHPDLLGILLNCWAQKRPCYLEKLQLILYRARPEVPRDMLLSLSDLTHLRISVRRVDTEGLRILGNLPNLVSLHLIPYLVTERLVSKNSFRCLRVFWCECISQCSSWMGLQFEAGSMPQLRRLDLKFFAEEIESDFGIQFLPCLVHVHATISCYGKASTVEALEAAMRDQVSRNPNNPVLEITRRSEEFMVRDTT >Et_7A_052699.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1352473:1355577:1 gene:Et_7A_052699 transcript:Et_7A_052699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFHLWFLFLLVAVLLHVHGVQSLNQTCHPADLEALLAFSNGLDRKGERLVGWGSDAAAAAACCSWTGVTCGLGRVVGLDLSNKSLHGVINSSVASLDGLVTLNLSRNSLQGSAPAELARLATLRVLDLSANELSGPFAASDDGFLAIEVFNISSNNFDGPHPAFPGAANLTVLDISGNAFTGSINASALCVVPVEVLRFSENAFSGEVPSGFGLCKALAELYLDGNVLTGNLPSDLYTMPKLRKLSLQDNQLSGNLSNALGNLSLLVQLDLSYNNFSGPIPDVFGGLRRLESINLASNGFHGKLPASLSGCPMLKVISLRNNSLSGVIDIDFKLLPKLNTFDAGTNNLSGAIPPGFAWCTELRTLNLARNMLGGQIPENFTALRSLSYLSLTGNGFTNLSSALQVLQHLPNLTSLVLTKNFRGGETMPEDGITGFKRIQVLVLANCLLSGRIPPWLQNFENLSVLDISWNKLNGNIPLWLGNLNNLFYIDLSNNSFSGGLPESFTQMRSLISVNGSSEQTSTEDLPLFVKKNSTAKGLQYNQVSSFPPSLILSNNLLVGPVLSGFGHLVKLHVLDLSWNNFSGPIPDELSNMSSLEVLNLAHNDLNGRIPTSLTKLNFLSKFDVSYNNLAGDIPTGGQFSTFTNEDFVGNSALCVVRNSSSCSEKASVVEAPHRKKSKAFLVALVLGTAAGVVFVLFSAYMIVSRIVCSRMHEHNPKAIANAEDCSESSNSCLVLLFQNNKELSIEDILKSTNNFDQSYIVGCGGFGLVYKSTLPDGRRVAIKRLSGDYSQIEREFQAEVEALSRAQHENLVLLQGYCKVGNDRLLIYSYMENGSLDYWLHERADSGALLDWRKRLRIAQGAARGLAYLHMSCDPHILHRDIKSSNILLDENFEAHLADFGLARLICAYDTHVTTDVVGTLGYIPPEYGQSPVATYKGDIYSFGIVLFELLTGRRPVDMCRPKGSRDVVSWVLQMKEEGRETEVFHPSIHGKENESQLMRVLEIACLCVTSAPKSRPTSQQLVGWLEEISED >Et_1B_011953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27501829:27505834:1 gene:Et_1B_011953 transcript:Et_1B_011953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHCITFSTIATGAVNGSHLLKISGYSCTKELLENRKYVTSVSSNIGGRNWFIRYYPNGCQKDDLDYISLYLDLESADEKEVTAKFTFSLLDNDGVSVPSYNLISRIHTFTSKDSSWGYPRLIKKEDLEGSGHLKDDSFTIRCDITFMKPIRSEETKQFVLVPPSNLHQHLGELLKNMDGCDVTFEVGDDSFRAHRCILAARSSVFKAELFGDMAENSKKLIRIEDIDAQAFKSLIHFLYIDELPEMAAEENNDAQSDVVMAQHLLVAADRYNNCEHSAAKQPLQSSQANRLNVDRLKLICEEKLCSYLNPDIVATSLTLADQHNCHGLKEACFEFLSSPSNMKAMLAFFDHLKANCPPILEELIARIVPGELKQQKGNDSDFVSHYVTHKRRANGDTSSVIVAEALSRSHVLKIDGYSRTKELLPNGSCAKSIISGVTTHNWIIGYYPNGDNKQVSDFISLYLDLDSFAAKDVKAKFRFTLLDKDDAPVSSYNFISPMHTFSGKGSAWGYARFVKKEDLEGSAHLRDDCFTVSNEGNTKRGNKDKAVCAGFSPSDLHQHVADLLKNMDGTDVTFEVDGEMFSAHRCVLAGRSAVFKAELFGEMVENTKRLIQIIDGNKARHNLQCLKHGRGSVSIIDMEANVFKSLLHFMYTDTLPDMALEEGSDGACDAVVMAQHLLVAADR >Et_3B_028339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13651267:13655552:-1 gene:Et_3B_028339 transcript:Et_3B_028339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAADAAKKYVSGCAAGIAQVVVGHPFDTVKVKLQAHNTAAHGKLYKNAFNCTSRILLEEGIRGLYKGASSSFIGIAVESSLFFGTYSQAKQILQGKSEDGRPQLKVIIPSAACSGALISCILTPTELTKCRMQVQGKDAVLATRYSSPLDCAMKTLESEGLRGIFRGGLTTLFREAIGNAVFFCAYEYSRYWMHSYLDSPRISGSNQFAMAKDIGIGIMSGGISGWAFWTATLPLDVAKTVIQTDPDPHLSRNPLRILRMIYKRAGMGGCYAGLGPTLARAFPANAAAIVAWEYSAKILGINC >Et_9A_061711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15639962:15643494:1 gene:Et_9A_061711 transcript:Et_9A_061711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQLNLDESPAWGSRSVDCFEKLEQIGEGTYGQVFMAKETETQEIVALKKIRMDNEREGVSILIPILVFVLEFGVVVALIFSNPSQFPITAIREIKILKKLHHGNVIKLKEIVTSPGPEKDEHGKQIEGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTIPQIKCYMRQLLTGLHYCHINQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNGNLTNRVITLWYRPPELLLGSTKYCPAVDMWSVGCIFAELLYGKPILPGKNEPEQLTKIFELCGTPDEVNWPGVTKMPWYNNFKPPRTIKRRVKEAFKNFDRHALDLLEKMLTLDPAQRISAKDALDAEYFWADPLPADPKSLPKYESSHEYQTKKKRQQQRQAEEASKRQKTQHPQPHVRLPPIQQSGQPHPQIRPGQPMNPHPAMASGSHHYAKPRGPGGPNRYPQGGNQGAGYQNRGGQSGGYGSGPYPPQQGRGPQPYPGGGMGGTGGPRGGSGSGYGVGGTNYPHAGPYGSSGPARGPNYPQQGGSRNQQQYGNWQ >Et_7B_054694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3602189:3603558:1 gene:Et_7B_054694 transcript:Et_7B_054694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLTGIFNDPLPSLARGAHLSARKPHRFTCTIKRAKKEAERCRCHRPPRKESRGGSKDPGVMIAADVSSVARLLRGEPGKKDGPEIVTMDLLGGCGAGAGAAEDEVVDLEVSVPAGWERRLDLLSGKTFLTPRHPSVQEEAGQDLNLPPPASATATAAAAAAPASSSPFCTLEMVRSALERAEARWLAASSATSSTSSASTTSSSSSARKRNRSPAAVAPQPAAPAMSVAACSSCLTYVLIVVADPRCPRCSAKVPPLPAPADVPSPAVKHDVGARVKKPRIDLNAAADETE >Et_3B_030252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31755780:31756587:-1 gene:Et_3B_030252 transcript:Et_3B_030252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGVSRSSVISRSMASMELEEPMVLEAGKRSRPQWRPVARHDDDDGVKPRRYDVFINHRGADTKRTVARLLYDRLEYLSGGAIRSFLDNMSMRPGDHLEESIFGAVRECGLAVAIFSKRYCDSDYCLRELAALVEARKTIIPVFYDVQPSELVLPRELLESGEHPPRDIERFRFALREAKRTAGIGLTYDHATDDLAELVSAIANAVMQRIQEMEISAQQPRQMIVSRL >Et_1A_008692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9895087:9896449:-1 gene:Et_1A_008692 transcript:Et_1A_008692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RKLFAARHRNTQGWGLGNAGRRVGKRARQLLAVRSASCSGEVTFDPDSAVKELVKNILDAGVTSVEDEVSLEAYIEECFKISDDGCGVVLPTFPDIGFLRYQLGCHVGFRSRERCALGKLTVETRAKDDTRWDAFGVCAQGCCWGHGDGSRNIVKGYGKVISLLNVNYFGLILSAKILLWWCFDLCNVIAVSYLILVALEEIDSSSMPKGHNTCQRSVQRIKRQIISCAILAYILMIFFISYDCAMRISLGEATENLCSALNLGGDALTPGSFDDKDETDSEDQVSSEDQKVSSSVIRVSTEACIEIGAPCQETAKKARVGRSAWLPSLILLV >Et_7A_052224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5858991:5862142:1 gene:Et_7A_052224 transcript:Et_7A_052224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGGYAYHGTNFEQTYRCYPASFFDKPHLEGGDKVIMPPSALDRLASLHIEYPMLFELHNDADQRISHCGVLEFVADEGMIIMPYWMMQNMLLKEGDTVRIKNATLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTSGDSIMVAYNNKQYYIDIVETKPASAVSIIETDCEVDFAPPLDYKEPEKPQQTTVPTSKASDEAEETAIEEEPKFKPFTGSGKRLDGKASKVQASDLPSAARSAAPDSNKRASQQTTAPATSGASSSTRQKTGKLIFGSSASNKEPQKAPVKDDEPPKKDEPKFHAFSGKSYSLKR >Et_4A_032444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10117297:10121013:1 gene:Et_4A_032444 transcript:Et_4A_032444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPSLKLLATTATAAAASPRAAAAPRTAGLRGTCVRPTQRLRCSAAAAAVGASTEMSAPLNWAERALKELSSLPDKDTFCLMALSPLDGRYDRFTKDLMPFFSEFGLIRYRVLIEVKWLLKLSQIPEITEVPPFSEEAEHFLDAIIQNFSIDDATEVKKIEKVTNHDVKAVEYFLKQKCSSNPEIAKVSEFFHFACTSEDINNLSHALALKEGVNTVMFPAMVDICKAICSLATQNAHHAMLARTHGQPASPTTMGKEMANFAARLSNIGKSFSEVKILGKFAGAVGNYNAHVVAYPEVDWPKVAEEFVISLGLQFNPYITQIEPHDYISKLFNLFTQFNNVLTDFDRDMWSYISVGYFKQIPKAGEVGSSTMPHKINPIDFENSEGNLSLANPILSALSMKLPISRLQRDLTDSTVLRNLGVGLGHSLLAYKSTMRGISKVQVDESRLAEDLEKTWEILAEPIQTVMRRYGIPEPYEKLKELTRGQAVTKDSMQKFIDGLDVPEEVRSKLSKLTPHSYTGLAEDLARNIDKWIELESGFKTK >Et_7B_055751.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3479811:3480224:-1 gene:Et_7B_055751 transcript:Et_7B_055751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRLLLALCVVALFAVRSESHGYEEFPKMQTTPQMQTFFRKPEAANGAELPEALDTSMPAKPEAASAIPTTTTTAATTTSSTASAPPRRSVSVAAGVACGVAAVAVVGVAAAVAYVVRTRRGARRESAVQLGASP >Et_4A_034637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5026439:5035330:1 gene:Et_4A_034637 transcript:Et_4A_034637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGARLLLLLALLAAIFAVLLQLYRLRKPRLWTVVELSRYNGTDEGLPILLGILGSVFDVTKGRSHYGPGGGYHHFAGRDASRAFVSGNFTGDGLTDSLQGLSSMEVNSIVDWRKMYFEKYIYAGKLVGRYYDSQGNPTKYLKGIEVKAKRGAQLLEKQKNEEAKIPSCNSKWSEQEGGEVWCDTGYPRLVRRPGDIALTGKVSQRCACFQEDELNKPGLVLYEGCDYLSKSCKVK >Et_1A_009030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26312295:26313301:1 gene:Et_1A_009030 transcript:Et_1A_009030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFAAKLTEAEAASVAGKDEVLGVFPSHLMPLHTAHSPDFFWASAAGMVHAGAWDFFSSGGRRHAPVCNKKIVGGRSKISRHRALKGGHGTHTASTAAGNFVAGAGVMGSGNCTAAGTAPPRAHLAATRAATPPTCSRCRWAASPPGCSGTTRSPSARRAPWRGASLATTRRGSSPLAPARWTVVKLGNGLLFVGESAYQPNNAMPPTPLVAPFGRSIEDDCKPGVPGLAVKNAGGLGMLLTGHKADGNTAFADAHELPLVPSLLLLSSRASICIPTGLLRCDDEVCGNDDRRHGNPILDDKLNAAAAFAMGAGHVDPTKAKPPTRVWYKGA >Et_1B_012345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30989363:30993452:-1 gene:Et_1B_012345 transcript:Et_1B_012345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLNLKQALVLSAQSNNVGSLLAAPSPSPTTAAAARRGGARRHMPRISCSASSTEEVGGGGVSALTVDTALTVTATVEAAPAIGQMYATRGLDDFGDLFGKTLLLELISSELDLKTGLEKPRVKGFAHRTLVEGRYEAKLQVPASFGPVGAVLVENEHHKEMYIKEIKLITGDDESTAVTFDCNSWVHSKFDNPEKRVFFTVKSYLPSATPKALEALRKQDLEALRGDGTGERKSFERVYDYDVYNDLGDPDKNPAHQRPVLGGSAQYPYPRRCRTGRARTKADPLSERRNGHCYVPRDEQFSEVKQLTFGATTLRSGLHMVLPALRPMLMKKELSFPHFPAIDSLYSDGIPLPTDAAGLDAIRSVIPRVLKLVENTTENVLRFEVPQMLERDRFKWYKDEEFARQTIAGLNPLCIQLLTEIPIMSKLDPAIYGPPESAITKEILEKQIKGTMTVDEALAAKRLFILDYHDVFLPYVHKVRELPGTTLYGSRTVFFLTDLGTLMPLAIELTRPKSPTRPQWKRVFTHGADATDAWLWKLAKANVLTHDTGYHQLVSHWLRTHACVEPYIIAANRQLSRMHPVYRLLHPHFRYTMEINALARESLINADGIIEESFWPGKYSIELSAVAYGATWRFDTEALPNDLLKRGLAVRNDDGELELTIKDYPYATDGLKVWDAIKQWVHDYVRVYYKSDADVAADPELQAFWEEVRTKGHADKKDEPWWPVLDGRDSLVQTLTTIMWVTSGHHAAVNFGQYHFGGYFPNRPTTIRKNVPHVTTQKLWESPIGNDQNAKTSYDSDMLRVPVG >Et_1A_007928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39526928:39530129:1 gene:Et_1A_007928 transcript:Et_1A_007928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEINVKKNTKKPLGRILLKGDNITLMMNTGTLLLCLCAFPADLCHGGRDDGTESEHGHEDDVGDQIQPHVLDIRDVPAGPLADDSSGGRSQAGHYEEAVSHVRLLDVFLHITCNSCPMS >Et_3A_026314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6778378:6782323:1 gene:Et_3A_026314 transcript:Et_3A_026314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSLITGKAGASGFGSSSTAEEVTDGVDASRLTVIVTGGASGIGLETSRVFAMRGAHVIIAARNTEAASEARKSIMETNPTAHIDVLKLDLSSLKSVRAFADQFKSMNLPLNILINNAGVMFCPFQLSEDGVEMQFATNHLGHFLLTNHLLDNMKATAKSTGIEGRIVNLSSVAHFHTYPKGIEFDKINDEKVYNDKMAYGQSKLANLLHAKELSRRLKEEGANITVNSVHPGLIMTNLMRHSFVLMKALQVVTYILWKNVPQGAATTCYVGLNPQVKGVTGRYFADCNVEKTSKLAKSEELGRQLWDFSEKLIKSAK >Et_6B_049734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9883983:9885831:1 gene:Et_6B_049734 transcript:Et_6B_049734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEKKMITLKSSDGEEFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKILSKVIEYCNKHVHAAAAAAKAGSDDAGANNSSASTTAASGEDLKNWDADFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEIRRENQWAFE >Et_9B_065274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:337531:353023:-1 gene:Et_9B_065274 transcript:Et_9B_065274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKKAYLVAIVIQLIYTGMYVVSKAAFNEGMSTYVFIFYRQAAATVLLLPLAIVLERRNAPPMSFWLFLKLFMYALLGNTVSFNLYNISLKYTSATVASATSNSVPVVTFFLAVLLRLEVVRLRSPSGMAKAAGVALCLAGVLTIALYTGPSMSPAKKPYLIAIISQLIYTGMFVVTKAAFDHGMNTFVFIFYRQAAASLFLLPIALLLERSTFSLNLYNVSLKFTSATVASATTNSMPVVTFCFALLLRMEVVRLRSSSGIAKLAGVSLCLAGVFVIAFYAGPALSPVNHHRAFYAASTPSSAPNRVTWIKGTFLMVLSNMTWSLWIVMQAGLLKQYPNKMLVTVMQCVFSALQTFVVAVLAERDFSKWKLRFDVSLLAIIYTGIVVTGVSYYLQAWCVQIKGPVFFAAWTPLCFVFTMFCSSFFLGEIVPLGSIIGGILLVGGLYSVLWGKSKEAKTALCNGSNTVDDADCHKSQENEETIPSATATEEMEAKKPYMIATIIQLIYAGMFVVSKAAFDQGMNTFVFIFYRMATASVLLLPIAIVFERKNVRSLSLLLLLKLFLYALVGNTFSLNLYNVSMKFTSATVASASSNSMPVVAFCLALLLRLEVVKLRSSSGMAKLAGLALCLAGVFVLAFYAGPPLNPVNHHRAFAVAHASNESPSRVTWIKGTFLMVLANAALLQEYPNKMLVTVTQCIFSMAQSFVVAVVAERDFSKWKLQLDISLLAIFYTGFVVTGVSYYLQAWCMEMKGPVFLAIWNPLCFVITIFCSSFFLGEIVHLGSILGGGLLLGGLYSVLWGKSKETKITSPGIAGEVNTTYGSQDEQENKKPQENKGLGKQEEAQSEHKFEQAYVVAVTIQLIYTGMFVISKAAFDNGITTFIFIFYRQAAGSLLLLPLALLLHRKNVGYLSSWMLLKLFVCALIGITFSLNTYHVSLKFTSATVASATDNSLPAVTFFIALLLRKEVVKLKSASGVAKVAGVVLCLAGVFAIAFYAGPSLSPVNHHRAFASHVSAPGENITRGAWVKWTFLMVIANIMWSLWIVLQASVLDEYPDKILVTAVQCVFSAVQSFVVAAVAERDFSRWKLRFDITLLAIFYSGFVVMGVAYYLQAWCVEMKGPVFLAAWTPLCFVFTIFCSSFFLGETFHLGSVVGGVLLVGGLYSVLWGKSKEANLEPGNEKYNKNNVPQEEYMNHAEKNIKVDKEQEEAAPTPAAVEQA >Et_3B_027901.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25764636:25765283:1 gene:Et_3B_027901 transcript:Et_3B_027901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLWNDKRERELLESYADLYAIIRATEKLERAYVRDLVSAADYEAECLKLISQFNSLSSSLAGVVTIPRFVQAYRLDCPAALNRLLQSGVPATVELRAAASASSSGPASTAASATAIAQCVQSFITAMDAVKLNMLANDQVRPLLQELSTSMARLGPMLPPDFEGKVKVNEWLAKLHKMGAADELTEQQARQLNFDLDSAYAAFMAALPSAGL >Et_1B_010005.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17793338:17793424:1 gene:Et_1B_010005 transcript:Et_1B_010005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQDVAGGRPYTSFAHNIWKYADTPTK >Et_1A_007120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30988069:30991340:-1 gene:Et_1A_007120 transcript:Et_1A_007120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDARSLEGRENAANAAAGAAAVVAHAAGGSSSCRWSGISIFFSVEKAPGRSVTYRHRHGRADPSRPMLIAIEPHSFHLAPASPHSPTAPAAGRPCSAASGLPPAPPPLGPSRIMLRLHRCPRVYPPPPLPAVCRQWTASSPAPKRCFPPAVRAQNPPRRAALTPAASEELHRGQVEDDDHGEDLGEALDRTRQLVECAMFAAVAGLAYFLSNSLAIENYFSCFFPLPVVISSLRWGLDAGRKTVVATVLLLFTLSGPVKASTYLLMHGVVGLAMGTIWSLETNWVVSIILCSIITVNIHASLTYILAAAGVNTIPSMDAIYVLFGVLLLLNCGFFVFLLHIMYTVFLTKLGIKSSLRSPGWLDKVILMSR >Et_1B_012810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35275473:35276384:-1 gene:Et_1B_012810 transcript:Et_1B_012810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSASDLGTQCHAASRALQSNAMCRAADPGKQRADTQQTCDRILLYDKHPGGIGLTSQVKLLFGKLLVTALELVSTCSCTSLDGCPNCIQSFACGEYNKNLRSSFDSQRTLLYVSLV >Et_4A_035962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9504745:9505707:-1 gene:Et_4A_035962 transcript:Et_4A_035962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGGGSGNASGASGCCYYALLGIRKNASATDIRAAYRRLALVSCSVCSVRSPGRERARHARRRLLMIRKWHPDRWASDPGAAGEAKRRFQRIQEAYSVLSDKGKRAMYDAGLFDPLDDDDQDFSDFMQEMLLMMDNVKNEKPDTLEDLQKMLEDIVSGDGGSRGGGGRVPSDGTRRTRVSPYPQPRR >Et_8A_056660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14747767:14748565:-1 gene:Et_8A_056660 transcript:Et_8A_056660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HKILQTFPNMSGTDPDNEFLSRYRLVSLDKFLRLLGNGPVSWLDPRCRYVSSCIRPISVGMSPVRLQKERSSKERLVRLLITAGIDPKKLFELKLSLVSHLTHYYPMQGYEGFACFVPDPARSGLIGYCNEG >Et_3B_029878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28771575:28784143:-1 gene:Et_3B_029878 transcript:Et_3B_029878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSPEQIVREVGKRLAQPRLGKDALIKLLKQAESALSEFSQSSALQDALHALNKSLVQTTLLKHRDKDVKLLVAVCFIEVMRVLAPDPPFSDENLKEIFRLFISIFADLTETSSPYITRRMKILENVAALKCSVIMFDIHCEDLALDMVKIFFSLMKQGLQQSVYHAIQSIVMQLLNEKVTQPLVDVILRNLVKEDKGPSHKLAVDIIKNCAEKLEPAICIFLSSCIFEKDVPVNELRKLHHKVILEIFQCAPQMLLAVIPNLTHELLSDQVDIRLEAVHLIGKLLAFSNLRFGQDNKLVFIEFLKRFSDKSAEVRIAAINAAKVCYMNVLSGNEAQEILKSLEGRLLDFDDKVRLQAVHTVCDLAKSNFGSFPTEMIIKAAARLRDKKASVRKNVMNKLLELYRDYCEKCSKGTATISTHYEQIPAKLIVLCFDKDIESFRPQNMELIFAEELFPSSLSPKERAIHWIEFFSHFKQEHIKALNTIFSQKRRLQLEMQAYLSLRAKKAEPSEEMRKKFCGSFRKMSTSFTDTSKAEECFETLHQMKDNNIFKDLFELMSEEAAYATCRLTRDSFLKRIGNKHPIYNFCKVLSIKCSHSIFNWEMICAILESCLSCRNELISYSEPACVLLLEVAMMFPSLFHGSEEYLLKLFSEESILVNEKTLRMLAHLTKSTHHLSTNFSNIIYPVLEQKCIEGSRAESKYAIIAIASLRPSDDKKFAKLYKKVVSGLSDYHNVPTLLQSWGSILEYSPSMHELDSRQIINSIQDILLSTEFISTSGQQSIDDNSACSFSCKLKIYCLKALVKSCLPRSTTPTRINSVQGMLLKFEKGLFQDIALREDDRPYLKLAAGKSVLRLATRWDSHVSPELFRNTVLMARDPSYIVRKSFICKLYNLLKKRAIPVRYACAFALASTDSCRDVRTESISYLSEVLKEQRRAFVHQNRGSHDSIVDNPAYAVVFLIHILAYDGRFPSKNCENETSFPEFCSPLCVMLRKLVEIDSLNRTEHGPTTSSVSVLSGIFRAIQKAEDLADSDITPKLHIISKIGLLMVKELDEHGKMSDSPRHVLLPSSYYRLSGSERKLDECCQGNSISDSLVKRILEAHEPYTHQVVVIKGKGTKVWYLGKPGPRKRIRILIIALRKKRCHLVVPLAQSSHLCAQWVCLRKLILEIACLYWIINFVQLVDVPLEGQELQRQIMTIVGKLCSFKKDHGKNLSGSQKHEVLLPVSSIVCNDLEDVGDCDDNFVKLPVSKKKTADLKKKGKRSLVSMNEENNSGVTGVDLRDNVSTVNSNHDLIPSQKNNNNHDLICIIVI >Et_5B_045749.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8721693:8722301:-1 gene:Et_5B_045749 transcript:Et_5B_045749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMTVQSAAMRRLRREHETSRMRAALAPEGGVAKKPSPPPICSAPSASPAPRPARRADGVLDHAPPSPFLLSRARHAAAHAGGFRKGTHVRVRTPVATLSTGQRLVLWLSAVVVSAAEEEDGYLGVAYTHYKHPRTDLSGAVRVPEKDVKGMLPPPASAIAPATTASAGSSTVTVVSHSARPSQGKAAPRPTVAGKKPSLL >Et_3B_030357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32448290:32450347:1 gene:Et_3B_030357 transcript:Et_3B_030357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKSLLLPPPFTVSSPSSRAFAPLSPRRRRPPLIACAAAPGAPPPNNNKNNSNPLAALIEVPRTLWRRTLQPLGDYGFGNRSIWEGGVGLFLVSGAALLALALAWLRGFQLRSRFRKYHTVFEFTQACGICVGTPVRIRGVTVGSVVRVDSSLKSIDAFVEVDDDKIIIPRNSVVEVNQSGLLMETLIDITPKDPLPAPSLGPLDPDCSKEGLILCDKERMKGQQGVSLDALVGIFTRLGRDMEEIGVNKSYQLAEKVASIMEEAQPLLTRIEALAEEIQPMLSEVRDSDLLKDVETIAKGLADASGDLRRLKSSMLNPENTDLIKQSIFTLIFTLKNIESISSDISGFTGDETTRRNIKLLIKSLSRLL >Et_7A_052638.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1079601:1081178:-1 gene:Et_7A_052638 transcript:Et_7A_052638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSARLVIVLPVLLVALLSRAAGHANASVGAAHANDDDRPSPPCSPADRAALMGFKAGIAADTTGILATWAGADCCGAWEGVSCDAATGRVVALQLEAPPPKERQYMEGTLSPSLAGLEHLQTLIIRDMARIGGAIPAAALSRLTRLRQLYLEGNALAGGIPGRLGRLRSLQHLSLAGNRLEGTLPPELGAVAGLEQINVARNRLAGAVPLSYSNLSRLAYLDVSNNLLSGAVPGFLGQLKNLALLDLSNNSFSGEIPASLCALPSLTYLSLSRNKLGGRIPTQIGRLRSLSSLAIDDNMLVGSIPPLLLGLEKLWYLNLSRNALSGSLPTGARSNGLPSLESMDLSHNHLTGSIDEFLRSLSVTTLRANKNTTSGIVPLPEKLEHLDLSSNRITGALPDFAGGGLKWLDVSSNAIGGQIPSSVSKLRDLERLDVSRNRVRGVIPASIAGMARLQWLDLSSNAIVGRIPDNFTRLGSVRHASFRGNKLCGQIPQARPFYLFPAAAYAHNLCLCGKPLPPCRKIM >Et_1B_012103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2933785:2934547:1 gene:Et_1B_012103 transcript:Et_1B_012103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWISGSALAVLLLLVVGASPAAATSYTVGEGSGWTTGVDYTTWAASKSFKVGDSLVFKYAKGLHTVVEVSAAEYMACTATNPIGSDSSGMSTMPLKTPGSHYFICSIPGHCSAGMKLAVTVGGSSTPSPTTPTTPTRSPNTGTPYTTPTTGTPYTTPTTTTPYTTTPTSPACTGGTTGTPVTPTTPGSTPFMSYPSASGLGSAALAGFGLVWFVIVQLALLA >Et_3A_024583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22308722:22322853:1 gene:Et_3A_024583 transcript:Et_3A_024583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGPQALARGRFVYINDESYQNDYCDNRISNTKYTLLNFLPKNLWEQFRRFMNQYFLLIACLQLWSLITPVNPASTWGPLIIIFAVSASKEAWDDYNRYISDKQANEKAVWIVKNGTRKHIQAQDIHVGNIVWIRENEEVPCDLVLLGTSEPQGICHVETAALDGETDMKTRVTPPPCVGLEFEQLHKIKGVIECPVPDKDIRRFDANIRLFPPFIDNDICPLTINNTLLQSCYLRNTEWACGVAVYTGNETKLGMSRGVPEPKLTAMDAMIDKLIGAIFLFQLSVVVVLGSAGNVWKDTEARKQWYVKYDNAEPWYQILVIPLRFELLCSIMIPISIKVSLDFVKSLYAKFIDWDEEMYDLENDTPAHAANTAISEDLGQVEYILTDKTGTLTENKMIFRRCCIGGNFYGDESGDALKDVELLNAIANGSPHVIKFLTVMTLCNTVIPIKSPSGSILYKAQSQDEDALVNAAANLHMILDILEFTSDRKRMSVVVLDCQSGKIILLSKGADEAILPCAYSGQQTKMFVDAVDKYAQLGLRTLCLGWRELESEEYAEWSRLFKEANSALIDREWKVAEVCQKLEHSLEILGVSAIEDRLQVGVPETIEILRQSGINFWMLTGDKQSTAIQIALLCNLISSEPKGQLLFINGRTKDEVARSLERVLLTMRITSTEPKELAFVVDGWALEIILTHYTEAFTELAVLSKTALCCRVTPSQKAQLVKLLKSCDYRTLAIGDGGNDVRMIQQAHIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLIFSFLSGIAGTSLFNSVSLMAYNVFYTSIPVLTTVLDKDLSEKTVMQNPEILLYCQAGRLLNPSTFAGWFGRSLYHAVVIFLITIHVYSNERSEMEELSMVALSGSIWLQAFVVTLEMNSFTFIQLLAIWGNCIAFYAINFFISSIPSSGMYTIMFRLCRQPSYWITLVLISGVGMGPLLALKYFRYMYRPSAINILQKAERSRGPMYTLVNLESQLRSDKGTMTMVANATTPVKGKSSVYEPLLSDSPMASRRSLASSSFDIFQPAHSRTSHPRNIKAN >Et_7B_054617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2904243:2907318:1 gene:Et_7B_054617 transcript:Et_7B_054617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRLFCSIARSAGQGTTSMAATTKFINLHPLFFSMLILFLQASAAGIGTDTLSNAGNITDGETLVSSGGTFTLGFFSPTGVPAKRYVGIWFTASPDAVCWVANRDAPLNTTTGVLAISSAGSLRLLDGSGRTAWSSNTTTGASASTVAAQLLESGNLVVREQQSSGDDVLWQSFDHPSNTLLAGMRLGKDPQTGAEWSLTSWRAPNDPTPGDCRRAMDVRGLPDCVSWQGGVKKYRTGPWNGLWFSGVPEMSSYSEMFSNQVVVRPDEVAYVFNATAGAPLSRLVLNEVGVLQRLAWDPASRVWNVFAQAPRDVCDDYAMCGAFGLCNVNTASTLFCSCLEGFSPVSPSQWAMRESSGGCRRNVALECAAGNGTTTTTDGFVAVRGVKLPDTDNATVDMTATLEQCRERCLANCSCVAYTAADIRGGGSGCVMWTTDIVDVRYVDKGQDLYLRLAKSELVKRRNQEDQKKVMLGYLSGSEFGDENLELPFFGFGDIVTATNNFSDDNMLGQGGFGKVYKGMLEKKEVAIKRLGQGSGQGVEEFRNEVVLIAKLQHRNLVRLLGCCIHGDEKLLIYEYLPNKSLDFFIFDATSKKVLDWPARFKIIKGISRGLLYLHRDSRLTIVHRDLKPSNILLDAKMGPKISDFGMARIFGGTEQEANTNRVVGTYGYMAPEYAMDGAFSVKSDTYSFGVILLEIISGLKISLTHRKDFPNLLAYAWSLWKERKTLDLLDSSLMESCSSVEALRCIHIGLLCVQDNPNSRPLMSSVVFMLENESIELSVPKQPVYFSQRYSEAQESGENTSSSMNNMSVTVLEGR >Et_2B_019640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11637013:11653579:-1 gene:Et_2B_019640 transcript:Et_2B_019640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCATGSLVAMATAFGFSNYSAYPYLTFAMCLEILWSFALMFIDIYALKYNWDLHRIDNAWKYVLGDWIFGLGAFSAASAAGGLDILMERDVHFCTTYPYLSCSGYRISTNNDLHTPYYVWRSLIGDWIMGNISFAAVFAATGVTIYYETDARFCRAYPALACDQFELSVVLAFMAWSFIAASATSLPGASAFVSRVMIRNHGCVVSRVLPQVGYTERIMLSPDLHWQNMKDVVGSPGTWSSLALRVSQCLCAAATMVAMSTARGNSYSAFSFLGCAMEFQLLWSFILACLDIHALRTKLDLCHDNLIVFLFLVVTRFVAI >Et_8A_057867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8531061:8531469:1 gene:Et_8A_057867 transcript:Et_8A_057867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKPRDPGSYWMARMIGNPAVQEKKFEWDSDNDDAFQVDSEAGQRHHGYMTVLGFHPYKDVVFLNVTTLRAVAYHLNGLMVQDLGNLYPQDYDDVAVLRSFPYTPCLTEFPENNLECHDKDEYRFQAV >Et_9A_061877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17452470:17454693:1 gene:Et_9A_061877 transcript:Et_9A_061877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVVVETDAANLGCALISEDMDQCPEGGLFRTIRLIMLTSFVSCTVSVCSRVCNKVADCFAARGVSTLPVGECAFWCLAPCFVTELVSGDMPRATIKLLPYPVPCDFIAIGDGRKNPNYDDIINMDAANSLDAAVGDFAIVRNRTKIAEFTLPYIESGLVIVAPVKQATSNAWAFLKPFTLEMWCAIGFLYIFVGVVVWILEHRINEDFRGPPRRQVITIFWFSFSTMFFSHRQNIVSALGRFVLVIWLFLVLTMNSSYTTSLTSILTVQQLATGITGLDSLISSTLPIGYQAGKFTRNYLIQELNVPESRLVPLNTIQEYADALNRGPKDGGVAAIVDELAYVELFRSKHCNFRIVGQEFTEEGWGFAFQRDSPLRADLSTAILQLSESGQLQRIHDEWLSDPSCVSNDSEVGATRLDLGSYWGLFLMCGLICVFALVFFIIICWHYSKYSNSEAVDELSAVAADAAAVAPVTERQRRPTRIGSFNELIRFVDKKEEGVRRTMKRKSRGKDNQAAGSSGAHSVASA >Et_3B_030876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8182923:8186386:1 gene:Et_3B_030876 transcript:Et_3B_030876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSISRTSLNCISLADPDIQKSVALLKQACLESGFFYVVDHGISQEFMEEVFAESKKFFDLPPSKKMKLLRDEKNRGYTPMLDEILDPENQVNGDYKEGYYIGVEVPADNPEADRPFYGPNQWPSEELLPKWRKVMEQYHREALGVAKSVARIIALALDLDVDFFDRPEMLGEPIATLRLLHYEGGQKTGKVSNPAKGVYGAGAHSDYGLITLLATDDVVGLQICKDGNAKPQVWEYVAPVKGGFIVNLGDMLERWSNGIFRSTLHRVVLDGRERYSIAYFVEPSHDCVVECLPTCKSETNPPKFPPITCSAYLCQRYKDTHADLSSYSDNKA >Et_5A_041983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4855883:4857678:1 gene:Et_5A_041983 transcript:Et_5A_041983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVLATAVLLDYAPCERLRSQQARVAPGGARRRRVRAPWKSRVRENAAVRAFYSHPLRPYPPYITSPRTARALVPLHPAVHSAKKQPKKFVPERFEKEDVSVLSSDLCLAPFGVVCRACLSKMLALTTTQLWVAQLLHKFDFAPAVAGVETVYRHRRPPPAPCLPQH >Et_1B_010022.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:18724522:18724590:-1 gene:Et_1B_010022 transcript:Et_1B_010022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFSDMKMNPTLRLLLAALRT >Et_4B_039759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28879601:28880581:1 gene:Et_4B_039759 transcript:Et_4B_039759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLAQINMAAASPLRGLAVLLALSVSLRVAAAVTVQEACQQHTRYPELCVKALSEAKPSVTGGLPELAEIAVALAEESNTAMVAFMKSLTGQPGGMPPECLEKCVGQFQAAVAELKRSKVALEQFGENATGVKNWVEAAKTDGDTCMKGCHKVEGGADPDIDSKIEDLGKLCSIALSLTEASGKQGETGEELARARALPQHAPNPFAAPPSSCIASARSPRFIAADDAFMLFTAVPSTRTRGSAARRRRALGGHEAGRL >Et_4A_033847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27297672:27300251:-1 gene:Et_4A_033847 transcript:Et_4A_033847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLEEGAMALAVAPEGNSSSGVAGSPGPMWWVSECHGVLYSLAVMLPSLAFVGFLAWQARRSFRRLSYGRSHVVVVAYYALLWAVAILNLLWCFLQAWQCMPDRAFSWNVLSLFTKSGMLFLEVSLIAFLLQGNDASGFESLARTFVISGAVVAADVLLKTIYVFGFGVPLFIDVEQGTGGKWGLLFLHKLVLTGVYGLIVFMHHSRWRDRLPAKPAYYNYICAMLLLNGISLFGCLLVASGAGFGLWLYNLTTVCYHSLYLPLLYVTFLADFFQEEDMLLENVYYSEMKDAGFFDADWD >Et_10A_001419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2961586:2965383:-1 gene:Et_10A_001419 transcript:Et_10A_001419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQPTWRSPSSVATASTTYACRGRPARGTADAAAHTTSPPAPAPSMRAAVCTVASSDVAQDLTSPAIGGARHRLRVSFTCAASAEPARMSKEARTSARLAKGTLSATSPGRMAGDATSEKESVMVLPGRSCCSWKKRPVSAAICGLAVESKPVKSMKPGQAPCFAVTTLEGNSLTEVTTYEIKVPFRTPSAMASGIGEPGRACSQNCAAGVFAAWWPCAMAALAATRIRRNLLNASILDILNFYFMIAMLFTLQIEQSSTATRTPAIAKAQPSSKQGSRPPLFRVWGWTLFQIATTLWVIESHPMGHLQPRFAEGHIDRSLFPRLRAGRTAEASDRRRACMGTAWHRGAGARWRPQVPRPAGQCGGQTTWPPTSHRRGRRRRRRACPWRSARCVPMQEVGARLDLAGACRRRAQAQGSFHVHSLGEARRDAEPGEDVTRFPKGTFSATSRGRLAGSGSAGKETSMYGAARTQLRLVEEDAGRCRRARRAHSGPSTAHADAGKRRVVLHKRRVILARKQDTYVQNVGRVMIYLQYR >Et_9B_064492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15783316:15788304:-1 gene:Et_9B_064492 transcript:Et_9B_064492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQIGRGAFGAAILVNHKIEKKKYVLKKIRLARQTERCRKSAHQEMALIAWLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMDELMKKSNGTYFPEETLLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSMGPLPACYSVSMKTLIKSMLRKSPEHRPTASEILKNPYLQPYVNQYRPLSDASNPMRVPEKPLTTSRSSQRSMSDSQSSSISSSDVDSTLSSDRSTAGGPASTDRRIIETGSARDDSDEKCVTPEDVRGNKEISRTPFRRQDSSKSMHVDHHPRTENKQPKIIEQIMTTLREESRLRESNSPVTAVDVKLSSGLGNNNQAEKPSQISRTNSGISCSSKSGDILSRDEHANQVETSPPLKQLSPIAEHCTKIKTPGSTTPQPAKQITENGTMASGKIKSKTPPTTNRRPSPQRQGGLGTPSPPVTVTKRGHTKILTENVRTPQTPTCCPDNAASDRPRNVIMSANPSEGHVKLDTPQAKSANLWEFFTVAEKEQSSACSSSTVGCTEKMECSELSEPYSPVRLSSTCTSSSVNTVKEEHNPSILTCLEVNNNKNIVTINGSSSQSSTLEPSFLSSEQEFVPKDDMKSSKHGQSTIPCQGGEDKFTVQEFLTSAPAVAPPVSSAPEAVPFVSSAPEVAPVSSAPEVASLVLATEGTLLEELDSVQSSKNVVPHLNPPVDDIVQNLRHGRISVSDEQPISESVVREAQNMDIIKHLNVVGEDVNVRSNPSSALPSGLPLVANTASKILASSDVPRLSTATSEVSNRIDTSPVKETLDVTSFRQRAEALEGLLELSAELLENDRLEELAIVLKPFGKNKVSPRETAIWLARSFKGMMNDEAGRVSL >Et_2A_017644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4603099:4605110:1 gene:Et_2A_017644 transcript:Et_2A_017644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTVAATVGSGALPVRPSSTAPSGRPRRCAVRAQAGAADAPGDKSVEIMRKFSEQYARRTNTYFCADKSVTAVVIKGLADHKDQLGAPLCPCRHYDDKAAEVAQGFWNCPCVPMRERLSPSRRSKRRHRSTL >Et_1B_011257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19529149:19536763:-1 gene:Et_1B_011257 transcript:Et_1B_011257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LIPAALPLRPTREHTAPTPNSTPSPRTSPFPEPKPCLSVELLAAVQRLRSPLPQKPEPPGGREEDEEEDLDERERLRRLRISKANKGNTPWNKGRKHSPETLQRIRERTRIAMQDPKVKKKLMNLGHAQSEETRIKISEGVRRGWSLRLQKLMVQDGCFVEWRDMIADAARKGFAGGVTLQWNSYKILTEQMRQEWLENLQKKRSMPRPRGNRRAPKTPEQRRKIAEAIAAKWLDKEYRKRVCSGIASYHGTSTGTRAPRKPRPAGEPGVKRQTVKKKPMQTGDVGLEDAQGKAIQVKRKKSATPYKDPMAGEKLEMITKIRAQRAALEIEKKKAIRRARSLILEAERAANALETAAATSPFAQASLLEARKLVTEARASLECVNDVGHAESAPDETSENSATLDLHINDSDNQNQSSAIKQENKHVNGLKLPTSNVNGIGFHFDGSTPSETEKLYYRIEKSMERAFLLPAASSTLKDVNGDFGLIDFQVSQSMVDETERHNCNATESTEDCTLGAVEEAASTSAENAETKENCTPGILDEDKGKMRWVRGRLVKSN >Et_3B_028563.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16864476:16864966:-1 gene:Et_3B_028563 transcript:Et_3B_028563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSAGASRSSTLTSSLLENQIPFLVLGQLYDIVAGAQGGS >Et_6B_049464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5086484:5097990:-1 gene:Et_6B_049464 transcript:Et_6B_049464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSSSTEEVQSDKHEIQGTALGTQSYWDTDDDDNDHGQRPHELYGTFTWMVNNFSQLKTETRTDPVDIGGCKWCLLLYPQGLHASDNLSVFLCIANHDKLLPGWSHYAQFTLSLLNRDNTKSKLSDTLHRFWKKEPDWGWRKYIELSKLPNGFVNDDMLTIRAQIQVISLTTFWQDTDQSMRRNLTRENAGIILRALVKSFFVEKEVTSTLMMDSLYAGLKVLKQQGRNKEGMAGSKWLGTTPMVIFDQDEDMFILADDGIFFVENAALFNLESHQPILPWKNDTNSERYSKDRSISEQGDRRLVELGWKALEVFIVRHFFSQIEVAHQEASDLKLKDEHITDQSSDGYGLSSGGYGWSSGWVEKGRKASFIITCWYDVEDYLHPRQAVARCAATNGPR >Et_10B_003816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6426511:6428082:1 gene:Et_10B_003816 transcript:Et_10B_003816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCRGRAATGASCWVRGTETSGGVDCLTPTTPGEAFAMPSKKHGHLLHREVVPRADPPAGPERQQLEVRPAHVDAVGAAAGEEALGPELLRRVGPRRRVAAHGPDVHQHARPRGDVVAGDHRVLARVVRDQERARRVQPQRLLHHGVDVREVREVALRGPAVAAHHAVQLLDGGRHCVRMAEELRQRPLHRRRRGLRAAGDDVLYEALDSVAREPGLGLAARSFVFLGYLEQHVHQVPSGEINRSNSARTRLIRRANPCTSSRPSHGTHSPTLFTAPLTANTSRSDALNAAPSSLPYSPDHRSPIAMRRMFRIPKLSRCSLTSTPARPAAQRSNRAHTRARVSSARARSNRSSRRGEKSSVAQSLRAMRQ >Et_3A_024144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17827882:17832523:1 gene:Et_3A_024144 transcript:Et_3A_024144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDERVGLSGNGLGLLSKGFDNFLHQDQKNTDDSYKLGRSHLLSYGRGGHLDLAVVVLDEPLPVPVVGPDPVHLLDGDPLGLRQEEVDEDGHDHLPRAEEVEEAELEAAEQHEEDLRDDEGEGHVEDDDGALRGGPDLEREDLAGDEPAQRAPRPGERRDEDADANHHADGVRPRDVRHAAGPELDRDQLYLGDDHLCAALEEELAPAESVDGGDGHERGGHVDEPRDDGGHERRGAAEADGLEQHGRVEHDDVDARELLQHGDEERHGQLRPVPALHERAPRALHGPRGLAGRDQVVELEAHVVGAADAPQHGAGLGVLAPGHERVGRVREEHGAAHHDCRRDGSEAQAQAPAPAAGDLGSEVVDQVGRQDADGDHQLEADVQHAAHLRRGHLRQSRSIDRSDLIGESDADAEHDPSDDEHGDVDRAGVEGGA >Et_4B_036517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27874963:27875772:1 gene:Et_4B_036517 transcript:Et_4B_036517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RLEGKVALVTGGATGIGEAIVRLFREHGAKVCIADINDDAGQQLRDALGGDGQDVMFVHCDVTAEEDFGGALDIMVNNAGITGDKVTDIRNVDAAEVRKVFDINVHGVFLGMKHAARVMIPRKTGSIVSLASVASVIGGHAVVGLTKSVAAELGRHGVRVNCVSPYAVPTALSMPHLPQGARADDALRDFLAFVGGEANLKGVDAMPKDVAEAVLYLASDEARYVSALNLVVDGGFTSVNLNLRPFDD >Et_1A_004680.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30371726:30372430:-1 gene:Et_1A_004680 transcript:Et_1A_004680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RKGLTLIGPAVQRPVILPPLEAGGNRCSVKPASRIARHSRCGEAKAFAKEYAATTQLGTGGPQSATTDPGPSATHGIRTALMPPLGLQAGAAQLGETASEELVFKLVGICANCRGGTKQPRSGSLCAALVVERRAVGSGLVSFACGPASIFKLGSTIETILANSLVPVYYSDSSESLAGKKKKNGLLTSEKHAPKISFDWYQIGARKHVSPARPSSEVDREASVFTRVTVAARV >Et_2A_016978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:367994:373126:1 gene:Et_2A_016978 transcript:Et_2A_016978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEVKRSKAMWGMARIDEWKPVIAMLVFDLISAVTTALIKKALEEGLDRLVLITLRQLVATVFLAPIAYFKERAALSQYTFFYGLQYTTATFAITFTNMAPVLTFLIAVLLRVESLNMKSKAGVAKIIGTLMSFAGVMLLTLYKGVALTHQVVSSVSPDHHAAPAEPSKKSWTLGTVALLANCLCFSFWLLLQSKLTKKYPALYSSTAYMFLISSVQGGGLTAAIQRRASVWALTRPAEIVAVLYTMWSAGCTEQWMPTVAMVTTNIVIAVMTALLKQALNQGMNRLVLITFRQMAATVFLGPIAYFKERKTRPKFTLEIFMYMFLSGIIGPVLLQYTLFVGLEYTTATFAATFSNMLPVVTFLISLAFRYEALDMRSKSGSAKISGTLISLSGAMMLTFYKGSMLTGHNPSSGSTASGNGQSHTGAHGTMRWVLGSVSMLANVVGFALWLLLQRKLTRKYPAVYSATAFMSLFSFFQAGALALSIQRTSIAVWAIKGRIEIFTVVYCGVVASGIGYLLLTYCVEKRGPVFTSAFSPLSQIFVAGIDLFILHEPLYLGSVLGSVLVIVGLYLVLWGKKEESASAMASAKLVQGDVPKQEEPVYKFCSDFGGAMPTGGRDALHVSLTVWERIKRDQRTLLEPFIVTELTGWTLASRPPTRAHHLRARRILAIKIRARERARAISRKKEEAIS >Et_10A_001732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7543025:7545364:1 gene:Et_10A_001732 transcript:Et_10A_001732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVGFGRGPKKSRVAFVLVDGIGDVTIPSLGGRTPLEAAAAPRLDAVAAAGVVGLMDPVEPGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMAPGDIAFKSNFATLDESTGVIVSRRADRHFEEEGPILCAALDGTKLPSFPEYEVRVRYATEHRCGVVVKGPRLSGNISGTDPLKDNRLHLKAEPLDDSEEAKNTAAVVNELSKEITRILVSHPINAKRAAEGKNIANVVLLRGCGIRIEVPAFETRHGLAPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKAKAIAKALSAPMDTPPRVFVPGEDEYKSGKENGYDFGFLHIKAIDDAGHDKAVKLKVRGLEAVDRAIGQLSRLLWEAEKAGHYNYFLCVTGDHSTPVEYGDHSFEPVPFAICRLSDFVGALGEDNVMNTPLDDFPLPSVKSGEDLAENLELAKDERLKAFSGDSVCEFSEIAAARGCLGRFPGSEMMGIIKKFIKAKND >Et_8B_059824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3015407:3016952:-1 gene:Et_8B_059824 transcript:Et_8B_059824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TDNDAPPTYQNGGPRVLFSGNGRSLPGAHPQSRSRSKLDSDIHQIEQSAYTGVLRAFKMQSDDITWEKESLITELRRELKVSDEEHRVLLNKVNEEEAVHRIRKLRQGGGVIVNNHGPFKRQKTFHYAHSLPVQSPFTSLNASTDEKMAPEDTRWSSANQILPNHGARRAADGVMLPAGRKSGRFHINENYGLPNGFGVMDFSSIGIPDTRSIVKEVHIALDFKVERVLSHPDMYEIIKAKKLLRDHEQSLLDAIARLDETSDGES >Et_7B_053706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11261701:11263841:1 gene:Et_7B_053706 transcript:Et_7B_053706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPGARLRQREAAGVVAPVAACLSSEASARPCGRGSPASARPRSSCLVLPVATNRLLFLEANSRSRSLQKSSTNDHMISIGKQWKEFLDYKDSIGFIVHASSAYVRLHCQFPGKGRRKKGGQVYKIPISNLSAPISRRNVPLTKHGQHHSGDGYGRRGNPYRNPCSVLPEKHALEQGVAQRRTVAQEEELREHVAGREATASGVAVDHVPPTSAATLSTLSVVAGSSSTEQPVKSNTPSRYSFPSDALLVSSKPMVPTPTSSRRTLAQAKSWPVTALSAQNLCSFHHVRSATTTRPRFATDTSTRCCSADDTSTATEAAVLGKSGTPAPPRKKQSCGRVA >Et_8A_057365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23982983:23987546:1 gene:Et_8A_057365 transcript:Et_8A_057365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLLLPAAVAALLIAAAAAAQVGDTCSSDAGCGAGLHCSACGDGGAKICTRAKPIDPATHGTGLPFNNYSWLTTHNSYALTGATSATGATLISPTNQEDTVTAQLKNGVRGLMLDTYDFNNDVWLCHSLGGNCYNFTAFQPAINVFKEIQTFLEANPSEVITIFLEDYTALGSLPKVFKASGLTKYWFPVAKMPKSGGNWPLLKDMISQNQRLVVFTSKKSKEASEDIAYEWNYVVENQYGNEGMVDGKCPNRQESPAMNSKSQSLVLMNFFTTDPNPNGVCTNNSAPLVSMLKTCHDISGNRWPNYIAVDFYMRSDGGGAPLATDVANGHMVCGCQFDIRILCDTTTATTIPTQARRQWFESRQRLQCGSGPISSTRAMELLPRTGFRGSVVTLV >Et_5A_041138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18673547:18674647:1 gene:Et_5A_041138 transcript:Et_5A_041138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGISTVSGGELVKRTHGKGWDSNILELMPNHLELVGFKSFECVDYCTRGSLGSRFSGRILCWGACLGQTNPSLVVVAAPSRANDLKRRKHAALPVCSTSVGSPSPAAAFCAAVSVESMTRHLSLGSSSSSSSSSAMSDASASRTCPDASMTALSASILAMPLLGTAAGTASGTETKESPGCRAGSGALNSVWRTVTASPRAWSAPASCSIGLMCPWNGSGNTTTRPAPRLSAPAAILTSRRRDVSWFG >Et_4A_034776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6409850:6412317:-1 gene:Et_4A_034776 transcript:Et_4A_034776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPFDHLLGGADSSESSDDSSDSPSPSSDNSTSPSSSQSPPPSSPPPSTPSAPPPKSSGSGSPPPSPPSPSQSPSPPTPSQSPSPPTPSQSQSPPSPSQSPSPPTPSLSPPPGTPQESGGSPPAPPLDRGAPAAPSRALPTPPSGSSRSGRGKSGGGSNNDDGSAAVGAIVAGVVIGVLAFGLLMAMVACVCCARRKKKLPPHMHMPYYTDEHGNVFFANSMPKWQQNSSAMDGGHGGWHAPYSAASGEFSGSHGPPPPLPPSPGMPALGFSKSAFSYDELASATGGFAAANLLGQGGFGYVYRGVLPDSGTEVAVKQLKAGSGQGEREFQAEVDIISRVHHRHLVTLVGYCIVGASQRMLVYEFVPNDTLEHHLHGKGVPVMDWTRRLAIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDENFEAKVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGKRPVDPTNYMEDSLVDWARPLLARALSEDGNFDELIDPRLENKVDRLELERMAACAAAAVRHSAKRRPKMKQIVRALEGDASLDDLNEGVKPGQSMMFSSSSEYDSAGGNYASNISKFRKVAFDSSEYSNEYSATSEYGLNPSQSSAESGEPPRRQRH >Et_4B_037081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14461433:14471097:1 gene:Et_4B_037081 transcript:Et_4B_037081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNSSLQENNMGSLMDLDVVHDVELKECNDFGSAIHGVDTDFLNYDDDGDYDDANNDEPNPDEFQSLDNSGWSSRTRGVAKYLKTLFDEDSGLGRKSVAIDRLLNGKTRKEASRMFFETLVLTTKDFISVEQPNPFDFISIRPGPKLLKIVWGLHTVEKQIANAFDFLMKQRVNV >Et_1B_011046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1708423:1718611:1 gene:Et_1B_011046 transcript:Et_1B_011046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGLSEQIRAYISHPDPSSPAAVSHNPPCAPLIIISKRESRLCSEMAAAPAERKEEHSAPEDKDVEDCRPLGDDEVEAEENSLNSQRWPRSFREATDTYTIAAPPNFGCLVRSASKSSVLDGGDFSGLGSDDLRLPLLSDKLQGISNQHEPLGSVTLGDKPSDNSQQDAETSVTQGCSLTQTVLNGVNVLAGIGIFSAPFTIHEAGWASLLVLAFFAIVCCYTGVLLKYCFESKDGVSTFPDIGEAAFGRIGRVLISIVLYTELYSFCVEFIILEGDNLASIFTSTSFDWHGIHADGRHFFGVLFALVVLPSVWLRDLRVISYISAGGVFATLLVFLSVSLVGATGNVGFHMTGKAVKWDGIPFAIGIYGFCYAGHSVFPNIYQSMSDRTKFNKALFAICTFIYAAIAVIGYLMFGERTLEQITLNLPKDSFASKVALWTTVIIPFTKYSLVINPLARSIEELRPAGFLNDRVFSVTLRTALVASTVCIAFLLPFFGLVMALIGSLLSILVALIMPALCFLKIARNKATRLQVVASVITVVLGVVCARMAAGAEDKQHRKEQEEEKEDLTLEDGGIEESPRRSFEDGDGEESEEGDEAEDEVDDEGVGSPSSFQSRQWPQSYRETTDTYTIAASPSFGYLGPSTSKYSLLDLARSGVGSDLKLPLVLDKGDGKQESLKSLRKSLASIRDERISFHVQPTGEIYISQGCNVTQTVFNGINVLAGVGLLSTPFTIHEAGWAGLAVLVCFAAVCCYTGVLLKHCFESKAGIKSYPDIGEAAFGRFGRLLISSYCVEFIILEGDNLTSLFPSANFDWLGIHMDGKHLFGILTAILVLPTVWLRDLRVLSYLSATGVIATLLIFLSVGLVGTSDNIGFHATGKAIKWSGMPFAIGIYGFCYSGHSVFPNIYQSMSDRTKFTKALLIIYALLLNPIARSLEELRPEGFLNETSFAIILRTSLVALNVAIAFLIPFFGLVMALIGSLLSVLVAVIMPALCFLKIQQNKATRSQVIASVGIIIVGIISGALGTYSSVKRISENYQLSGAMLVGVSNTTYVRTPGLLEQELMDGLAIEWA >Et_1B_013885.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:22354329:22354958:-1 gene:Et_1B_013885 transcript:Et_1B_013885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDEDGTPLSDENLVGIFAGLPDLNSRPRALRGHLPPVAPPRVRRGRLHLPGRLAPPDAEILPPRPGPRLLLHAPSRRRATLRGKHVLGDYAYTVLTAEAKVSIGSVLMANQMTAMASPWATPCAGTPRTRCSCCHSTRWRHTSWTCPWLGSTPSGTRCCYCRRTGGCAPGLQVTVQVTFPSITTVTEGRAAISIVTRDAADRKWEP >Et_6A_046140.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:3516628:3516660:-1 gene:Et_6A_046140 transcript:Et_6A_046140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSRASRRG >Et_3A_024396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20418873:20421705:1 gene:Et_3A_024396 transcript:Et_3A_024396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGGSGSSGGRGGRRVEYGRTYVVRPKGRHLATIVWLHGLGDNGASWSQLLDNLPLPNIKWICPTAPTRPVAAFGGFPCTAWFDVEETSLDGRDDIEGLDASAAHVANLLSSEPSEVKLGIGGFSMGAATALHSAACYAHGRFTNGIVYPITLSAVIGLSGWLPCSRILRSKIESSPLAVRKAASLPILLSHGRADEVVTYRNGERLGHYTIPEEMDDVCKWLSSRLGLDRSRG >Et_2A_018092.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:10215523:10216536:1 gene:Et_2A_018092 transcript:Et_2A_018092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPASRKRPAPDDGASAAPACKKHELEAYDYEFRSIHDYEQLETLGEGSFGVVVKALDRRSGETVAIKMGRRGRGPPDPRAVVREAGCLAECLGHPSVVQIRDLAADEDTGELFLVMELAGPSLRRVLRQRPRLFTAAETRAHMRQLLGAAATLHDVLRIVHRDIKPANILVAGAAMKLCDFGTAAPVTRTTTTYPEPRVGTLWYRAPEQLQGGRSYGPGVDVWALGCVMVELLTGESLFGKADDEDELLVMAMQLRQEIVSAGTEVLNDLPEPLSPAGRELLRGLLCFDPEERLTAAEALQHRWFAGDEEEEEKAPAVAKAEHTGSMPLLSAA >Et_4B_038391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28701808:28703955:-1 gene:Et_4B_038391 transcript:Et_4B_038391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPRPALATNLFFLLLLLFLSGSAAPRLAEAGGDDEKTAAAAGGDQGGFVAVDGTQFVVGGGTVYLSGFNAYWLMLMASDPARRGKVVAAFRQANQHGLNLARTWAFSDGGDAPLQMAPGVYDEAMFQALDFVVAEAGRHGIYLLLCLTNNFPDFGGKRQYVRWARDAGRLDGLATADDFYNSTVVKGYYKNHVEVHQRKPSIHPSPLAFPSIGRLVSIRVGIRSSGSYSSISKRQICSNLFASTQTVLTRVNSITGVAYRDDPTIFGWELMNEPRCDADPTGAMVQAWVEEMAPYVKSIDAKHLVTPGLEGFYGDGAHESKDLNPWSIYYGTNYIATHLAAGSARDGGPLVGGAFWQLLDDGMDTFRDGYEIIDGAGSIIISSSTSDTGDIPSQSRVLLIRFLSLFRQHISSFLFQ >Et_6B_049551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6438296:6443429:-1 gene:Et_6B_049551 transcript:Et_6B_049551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMLLHGTLDATIFEAKLNIPVSKRCRDRILQFADWMVHLAPHIEGHPTGLAQLYTRVELGRAPVGRTCVVDDSQDNPRWNESLRIYCAHAAADLAGVPKTFFKQRQGCRVTLYQDAHVPDAFNPAIELAGGRAYEPRRHRCWEDGYDAISDARHVVYVTGWSVFPEITLARDGRRHPGGGETLGELLKRKAKEGVRVLMLVWDDPTSASSRATWAPTTPTRSATSGAASYAQGLRTLAFSHHQKSVIVDAKDGSEGRRRIYFHGSSFAWKPDGGATPEDIGALHLVPRELSLKIARKIEAGEPFAVYVVLPMWPEGHPCTWNVQAILHWQRKTMEMMYGDVAAALKAKGIDADPKDYLSFFCLGNREVKLEVPREYEPKGHPQPGTEYDRAQKARRSMIYAHSKLMIVDDEYIIIGSANINQRWMDGGRDTEIAMGAYQPSHLNANGQVARGQVHGFWMSLWYEHLAELKDEFKDPGSLTCVRTVNKMASEFWQRYTSDQVSDLHGLLLSYPVDVKRDGTVAELKGMEFFPDTTASVLGSLSLAVSVGHIFTNYDLTT >Et_7A_050943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12749903:12751222:1 gene:Et_7A_050943 transcript:Et_7A_050943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERTLVVISSAEVAHEALIEKGAEFASRPRENTTRNIFSSNKFTVNSATYGAEWRALRRNMVSGMLSTSRLREFGRARARAMDRFVARMRAEARDGASVWRSALAVRREQVDTLLPLINRRRAILRDMARSPPDPNVAAPFSYLDSVLGLRVDGGRDVADDDGLLVTLCAELINAGTDTTATAIEWAMARIIDSPSVQARIHEEIVRQVGDARPVDDKDTDAMPYLQAFVKELLRKHPPTYFSLTHAAVRPGSKLAGYDVPVDANLDIFFPTISEDPKLWDRPTEFDPDRFLSGGETADMTGSAGIRMIPFGAGRRICPGLAMGTTHIALMVARMVQAFEWSAHPSQPTLDFKDKVEFTVVMDRPLLAAVKPRNLSF >Et_7A_053087.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6364140:6365264:1 gene:Et_7A_053087 transcript:Et_7A_053087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSLPSSPAPPSGGGDIGATSPYSASSSFLPSFMIIAALLAFVFLASVAIHLLLRFIADRSSSSQSQPPLSRTTHRDEVHSDETVVDSVARPAAQAAAAGGKKEEEAPGDEKQRLIDSLPLFTMASALAALPKSSPDCAVCLSPFTPDAELRLLPACRHAFHAACVDAWLRTTPSCPLCRAAVALPHPSLSAMLAAAQQPPEPRSRVDRSRSFVVEIGTVSNRGSSPFAGGGGGGNSRNSRTTYSLGSFDYQIDEEVEAVVSRVARATIKEEKPPAVQEEDAPSPPGETVAEAAGSSRGWLREYVDRLASTAYTFSGRWSSRWSQAGHQTQTTRQEEPWLWDAEAAEMSAAPGSDEEETAFMVMYRWIAGV >Et_2B_019191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16551324:16552130:-1 gene:Et_2B_019191 transcript:Et_2B_019191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLLAAVLLLASPAKKTGGGACGSEKFPAGRSYANCTALSHLGAALYWTYDAAAATLSVAFVAKPAGPSGAGWVAWAVNPTGDGMKGAQCLLAMKGPKGSLGVRTYNVTGYDPLPATSTPIAFKATGLGADASGAGGKVRLYGTLQLKPGTEVVNHIWQVGSAVAADGSPAKHAFAKENLDAKGKLALVGGVTVAPSPAPEASSSSTANKAGGGSPPPSGGKSAAVTAATYVSAPVLAVLALLACFLAIV >Et_2B_022404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20562548:20562933:1 gene:Et_2B_022404 transcript:Et_2B_022404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLCAMGDTPSLKPISPGIVVSWCIGLAEEGISSCYQRMQQLVIGNVQRSVNLCSSDEVLTSHSSSSSSPPPPKRRKRSPPGT >Et_7B_055011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6437660:6439440:1 gene:Et_7B_055011 transcript:Et_7B_055011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVARILLLVLAALASLLPLLACQQQQAGTLCASEKRGGHGRYRHPVGVRKIVVDAGGAGDFLTIQQAVDSVPVNNTVRVIMQINAGTYIEKVLVPSSKPYITFQGAGRDVTVVEWHDRASDRGPDGQPLRTYNTASVTVLSNYFTAKNISFKNTAPAPLPGTQGGQAVAFRISGDKAFFFGCGFYGAQDTLCDDAGRHYFRDCYIQGSIDFVFGNARSLYKDCELHSTAERFGSIAAHGRRDPCERTGFAFVNCRVTGTGRLYVGRAMGQYSRIVYAYTYFDSVIAPGGWDDWDHTSNKSMTAFFGMYRNWGPGVDAIHGVTWARELDYFAARPFLGKSFVNGYHWLTPDV >Et_3B_031732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9094741:9097444:1 gene:Et_3B_031732 transcript:Et_3B_031732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPATPCADADDATSSPAKPRPRAPPITAAELRAEFAHHDGAVARLNNGSFGCCPASVLAARARWQRLFLSQPDAFYFHHLQPGLARSRAAVAHAIGGVDPSEVSLVDNVTTAAAIVMQHVAWSFAEGAFARGDAVLMLHYTYSAVKKSIHAYVARAGAAVVEVPLPFPVASAAAVVAAFRAALARARDGGRRRVRLAVIDHITSMPSVLVPVAELVAICREEGVDKVFVDAAHAAGQVPRVDVRAVGADFYASNLHKWFFCPSAVAFLHIRKDDPVAAQLHHPVVSSEYGNGLPMESAWIGVRDYSAQLVVPDAVEFMGRFEGGVEGISARNHDRVVEMAVMLAEAWGTFLGSPPEMCGSMAMVGLPGCFGIESDDDAMRVRTMLRDEFKVEVPIFHNSRREDQEQEMDKDANGDEVTGYVRISHQVYNVREEYEVLRDAVNKLVLDGFSCSKLRPSEKAHDLWIEIDEFFINIL >Et_1A_007196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31906239:31908998:-1 gene:Et_1A_007196 transcript:Et_1A_007196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPNSIGRSSMPRSNEGMRLIFSAVVGVMLGYLFGISFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWASANSHKKNSSNSKSDEIPKIYVPTNPKGAERLPPGIIVSETDLYPRRLWGEPSEDLTSEPRYLVTFTVGIGQKSNIDAAVKKFSDKFTIMLFHYDGRTTEWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDVVAKYDYIFIWDEDLGVEHFNAEKYIELVRKHGLEISQPGLEPDRGLTWQMTKRRGDQEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRNAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQAVPSLGNQGKSDNGRAPWEGVRARCRKEWGIFQSRLADAEKAYYLEQGITPPNSTAV >Et_3A_025190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27707988:27712549:-1 gene:Et_3A_025190 transcript:Et_3A_025190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLRLPCRLSPAPTWPPPPPSSYSSLPSLPVDHTASPPPKPHAPREGVSHRLARICEPRSYEQKARMPAQKRRLASPPPKPRDHVAASSAAAAGGGVGGGGGGGRPPLPSRAAAKRRRLTDPKPQREDDVKLADIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFGEEEKIRNKKIQETIEETIRKQSDAVVKKGSKAKATASVFARKYRRNMRRRVQSKAITPDIAPTGSDDEAREEENADDATKELSSADEHSSDSRQKRGRKRPVSHPSPAKSVGSSDHAFEEKTELVGGKEILATSPLRGEMLAWGKNGTRSQNRHGSAAGSNGRIAKGGRIAKLVDHLRTTDETDKQIHLYLILLPLDGQRIPNLEKPYLSCRSTLSIQHLAQFIAQQLSRQVEELDIYARIDHNHGSDGWKSSGTGGAKPHPFDGLERLREDKLLSELHPSFASSSGDLELMYGLKTGDNQV >Et_2B_022468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2359036:2361163:1 gene:Et_2B_022468 transcript:Et_2B_022468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFPNGHHVRLRSRVHGKYLHADEDGLGVSLRPLRGSPNAAWQVHVVVRDGGSCVLLHGAAYGRYLAATGEAAPPGHLGHRVVQGVYDDHEDDAVVWRAVGTGEGNYVFLRHFSYRLLRANGRYQVWHNGVSVDEFDNQSTMMHWSVEAIPPYPVLPQLPAPTHDVLLPSGGFMGLFRRRTQPIAEDRTIRYVRADAFGSFNDQGWQTFQFHGRSVFTLRQQLAALVGETVFFFNIIVCAKAGRFARVTPLITDLPRSDQPMDIVVLTAGSPATDQLRYPNVHAL >Et_1A_009321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38909528:38913958:-1 gene:Et_1A_009321 transcript:Et_1A_009321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPAPAAARPNSVFVYGTLMAEEVVRILLGRVPPSTPALLPNHQRFSIKGRVYPAILPVQGKQVNGKVFKDLTDRELEVFDIFEDEEYVRKTVEVSLTLKMVWNFQDASEKLFVYAYIWGNPSDPDLYGEWDFEDCQDSGRSEASRRSHHLSCCKPGHNEVADEAVSKLSIDGTMLTRFSGLILPVGDFVILVLLLMGKKQRLAAGPLLVLSWRWLLLLHRILENYLGLDKISLILAQRNCLVR >Et_2A_015904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1895592:1898468:1 gene:Et_2A_015904 transcript:Et_2A_015904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLAASAFFPGPGSSPAASTRTSKSTTGELPENLSVRGIVAKPNAPPGAMQVKAQAQALPKVNGTKVNHKNVGADTEESIPYSTPKTFYNQLPDWSMLLAAVTTIFLAAEKQWTLLDWKPKRPDMLVDTFGFGRIIQDGLVFRQNFLIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVDKYPSWGDMVQVDTWVAGAGKNGMRRDWHVRDYNSGRTILRATSVWVMMNKQTRRLSKMPDAVRAEIGPYFNDRSAITDEQSEKLAKPGNNNDGDATKQFIRKGLTPRWGDLDVNQHVNNVKYIGWILESAPISILEKHELASMTLDYRKECGRDSVLQSITTVSDECADAPPGSTIQCDHLLQLESGADIVKAHTEWRPKRAQGEGNFGFFPVESA >Et_10A_000410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:42793:46775:-1 gene:Et_10A_000410 transcript:Et_10A_000410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTYGDGRGPPLKHQPPPPPQRPSPRLKPAIEVEDFINLLHGSDPVRVELTRLENELQYKEKELGDAQAEIKALRLSDRAREKAVQDNLEAKKINDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKSKEAALLEAERTVQIALAKASLVDDLQNKNQELMKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEEMKTLDRELARAKVSANRVAVVVANEWKDGNDKVMPVKQWLEERRILQGEMQQLRDKLAIAERAAKSEAQLKDKFQLRLKVLEEGLRMSTSRTNVSAARRQSIGGVDSLSKTNGFLSKRPSFQMRSSASTTTTLVNHAKGASKSFDGGCRSLERYKGLVNGNSMNISTDSSDDKESNNSDEKPSEFPATESEDTVSGALYDMLQKEVISLRKACHEKDKSLKDKDDAIEMLAKKVDTLTKAMESDAKKTRREIAAMEKELAAVRLEKEQDNRAKRFGSSSGSANSSQLPPGRTAEGKCEPTSRGDQQLVCNEASKWKGVLLLDEEVFMIMVELETALC >Et_2B_021264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28007634:28008876:1 gene:Et_2B_021264 transcript:Et_2B_021264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASWSAHTRPLATTFWLLVITSCAANMAFASARRDAPGDMAMRERHHRWEAKHGRTYKDSAEREQRFKVFKANVEFIDSFNAAHAGAKNKSLPLLGTNKFTDMTDEEFEAMSAAPGSASKVAYQGSCTVTFRTCFPDWKAKGKVTDVKDQGHCECCWAFSAAAAVEGIHAIRTGNLVSLSAQQLLDCSTGHKNRGCNQGDIEEAFLYIAGNGDIPRKGSLAPIGGGIWNGGITAESAYPYNAHQSNCSAYGKQVAATIRGFQYVPANNETALRLAVSQQPVSVALDGRSKEFKHYKSGIYGANGATCNVGVNHAMTVVGYGTDEQGTKYWLMKNSWGKDWGEDGYIRMARDVASDAGLCGLAVQASYPVA >Et_3A_023975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15452651:15457675:-1 gene:Et_3A_023975 transcript:Et_3A_023975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVRDFGNAMRSPKARARASDGARESARDTQAIRTAGGDANPDREFLDRVIYSSWLRLPMLFGMEPISLFLSMCNSTRLFNLPISAGMVPDKLFLTSAVLRFPVKLGSLPVNVLFAMLMGFRFLHLENSARKSRFPYSWFILRYMSLRFSKLLNDTGTVPVNPFPNKSILRNSRFLNCPNSVGITPSSLFSLRSNPRSPVWLLSSIGNFPVRSFVDKYSFRFSLLGILRVAKILNILRQREYILVRFDSVANHDGTVPVKLFTARLITSRF >Et_5A_040673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1135472:1145062:-1 gene:Et_5A_040673 transcript:Et_5A_040673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CTVVLGMASSLSVLVLLCLAAAASAQLSPTFYSSSCPRALATIRAAVRAAVARERRMGASLLRLHFHDCFVQASPSHKSHFLLLINGCDASVLLNDTATFTGEQTAFPNVGSIRGFTVIDNIKAQVEALCPQTVSCADILAVAARDSVVALGGPSWTVPLGRRDSTTASLSQANTDLPAPSLDLANLTAAFAKKGLSRTDLCTNFRARIYNESNINAAFATLRKANCPAASGNGDSNLAPLDTTTATTFDNAYYSNLLVQSGLLHSDQVLFNGGATDGLVRTYASTPSRFNTDFAAAMVRMGNISPLTGTQGQIRKACSRFSALAMASSAPVLLLLCMAAVASAQLSPTFYDKSCPNALSTIKKAVTAAVNKENRMGASLLRLHFHDCFVQGCDASVLLADTSTFTGEQGAFPNVGSLRGFDVIANIKSQVEAICKQTVSCADILAVAARDSVVALGGPSWTVPLGRKDSTTASLSLANSDLPPPFFDLVNLTTAFGNKGFTVTDMVALSVLAMKFKFSHGAIRIFAGAHTIGQGQCQNFRDHIYNDTNINPGFATSLKANCPRATGNGDSNLAPLDTTTSYVFDNAYYTNLLSQKGLFHSDQTLFNGGSTDNTVRNFASNKAAFSSAFAAAMVKMANLSPLTGSQGQIRLTCSKLAMASASCLSLLVILALASAASAQLSSTFYDTSCPNALSTIKSAVTAAVNNEPRMGASLLRLHFHDCFGCDASVLLNDTATFTGEQTAFPNVGSIRGFGVVDNIKAQVEAVCPQTVSCADILAVAARDSVVAVR >Et_4B_039183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8546061:8548325:1 gene:Et_4B_039183 transcript:Et_4B_039183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLFSPGSRAVQKISNPPTPTATSHLPHLPHFPSHNSPSRLPTLFHQSIASQSSSIPSAALAAMDPNPTPFAGKRRSVAAPAAPAVKAAAPKPKSIAAARAARMAKRSPNGNANAVPQPRPPRRAFGTVRNNNAPAEKPPITLQKPSKVSPPPPQKPVKVSPPPVQKPSKMSPPAMQKPSKLSPPNPVRAAKPSRPVAKPLKKAAPATDVEAKAKKRSQRVSFQVAAAEATAPGSGEKAKVSAEDAAGRTPMVALKTAEKPAKIVAAETPFFSAQNCSSCTLDQLESASYWLAQIRLAESVGKHWVAAAFFRLAFQCQAQPNHRVQSELRNYTVRHESAGTLAPLFDDLLTAHGMLVNQPKFDTEGLEKVGTPFATNLLEQKLDSATVKVDEGMECDCHDDPVDLDAVIVDKHCEDSLDHTKFERKLDESFKFDDCEAVIVDGLEEANFDLQSNAEMKVLFSSEIVQSECRSSTEKLTPRKSTLDMDSSSERLLFDKPLNKLSPGMTLSSAKRLSSGGSPFNTKSPLLSKRLTSSCPSYKKSVSVRDRSSKRMPSDFHSDRENNTTAGTAEHQAKVTQNMGSEHPALGKLVQDFFFLWRCR >Et_9B_065295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3361784:3373823:1 gene:Et_9B_065295 transcript:Et_9B_065295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YLGSRERRNRKAEKPARQASQPDSLLLLPQQNSHSRFALQIRRPHSRAPQIWDPPPPDPPPPAPPRYSCDLAHQAAKLAPQRRSQRLAGGGAGSAAAAASQPPGASGAAQAAMGDSGDAVMARWLQSAGLQHLAGSSGAAPAGAGGDYRGSMAGLGGAGAGGMLPSLLMQGYGPQSIEEKQRLYTLLRSLNFNGESAPAGISEPYTPTAQSFGGGNPVEGFYSPELRGELGAGLLDLHAMDDTELLSEDVVSEPFEASPFMPKEVDDDEEELVSGSQQVPADNYGATAMNSEKESTVRENNVAKIKVVVRKRPLNRKELSRKEEDIIDVHDSSSLTVYEPKLKVDLTAYVEKHEFCFDAVLDEQVSNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMVRLLHQPVYRNQNFKLWLSYFEIYGGKLFDLLSDRRQLLMREDGKKQVCIVGLQEFEVSDVQIVKEYIERGNSARSTGSTGANEESSRSHAILQLAVKKHIKVADTRRQRDRDANESKNTKAVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEHTLNTLRYADRVKSLSKGGNTRKEQSMGPTGTSVRESSSAPSYPLSAEAEEIPTQIQEKRPVDTYRKAAESFASNSEPDRNSFSTIPSHSNRGREENGAASGFSDKERFDSKSSVTAYTSKAQMVQNSPNVQEEEKVTKVSPPRRKAYREDKSERQSNYTKKDNGPETGRAGYKVQPAKQLQQQQRPPSASASQASSRQSEKESSCDDEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVTQLSFLLSRKAAGLVSLQARLARFQHRLKEQEILSRDIHGQFVDLLRLFDLGGYPPSSTYVFLGDYVDRGKQSLETICLLLAYKIRYPEKIFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKMFSDCFNCLPIAALIDDKILCMHGGLSPELTNLDQIKDIERPAEIPDYGLLCDLLWSDPSPDGEGWGESDRGVSCTFGADKLVEFLEKNDLDLICRAHQILKPTDMGPPHARKNIPNKLACGDL >Et_4B_036382.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2160464:2161387:-1 gene:Et_4B_036382 transcript:Et_4B_036382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNTETVPLPSASSVAATASTGTHVLKLSGYSQAKLLIGTGSCVESAEFKAAGHSWRIRVFPNGDVGKSSECRPGCISLYLMLSGESEDNNVHADFQFSFVRHGNLTVTPPDCVRIGMPVTFQGNMDIWGFNNVETGGLLENPEYLKDDTVLIRCDITVLNKPVVKRHHPEMSELLCDCNDELCANLHAGNTKAAAASAKPQSTGYVPLSNHAAPLPSASAITVMASTGCHILHVTGYSQAKLLLGNGKHIESAKFKVAGHTWRIRCYPNATAKKALAASLSTSSSPANQRTCAPIFSSAWWLAAS >Et_3B_028275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12737120:12741057:-1 gene:Et_3B_028275 transcript:Et_3B_028275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDSSSAEAIGSIRREISVVVRMSSYFSIPDGLPKTYCRRKTLSPIVVDPSSYGLLQLVNHIADHFLWGSKQYISLWRESEHDDDVRFPIKSDEQLLQWFELNLDKGVVHIIAEIDDFEGPLQCSPTKRSLHPKVRERLLETPSTPSLDLDPRCKEAVTHHAIINNHAFRHTRSDSDKFRAVCKRAEQGCKWKFYATTSKKKYIGCKVKISGPKHTCGSVNQSVQVGLQVEAEVKCKCKWGCKGKRKRKRKRYGKRNKWRENCRMVWAVTHCDMAVISIRLYKGLINYDVPVIHASRKYDVREGKRVIQKCDSNLYNYNV >Et_2A_018650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4162938:4166153:-1 gene:Et_2A_018650 transcript:Et_2A_018650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSLSTFSAWLSVVSVEAGQNFAEKAGDDSLLLRVAELCIYKMVQYNFKKITVVPPGKDFIDIILSRTQRQTPTVVHKGYAISRIRQFYMRKVRYTQQNFYEKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIVAKISKDYLRLLKYGDSLYRCKCLKVAALGRMCTVIKRITPSLAYLEQIRQHMARLPSIDPNTRTVLICGYPNVGKSSFMNKVTRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLEGLSEDDMKLVMEMKAEAMKTIAQAGDPNEEGVLLTMSTLTDDGVMAVKNAACERLLEQRVDIKMKSKKMVDCLNRFHVAVPKPRDNKERPVCIPPAVLEARANAAAKEKKKLERDLEQENGGAGVYSASLKKHYMLANDEWKEDILPEILDGHNVADFLDPDILERCEELEREEGLRLEEEATQEAFQIDGHELTEEQKQILGEIRKKKALLIQEHRMRKRTAESRPTVPRKFDKDRKFTTDRMGRQLTSMGYDASAAVDRARSKSRGRKRERSLSRAAADGEDMEIDGQQSNKKLRTRSRSRSKSRAPEEVTPGEGFKDSDQKRKAIKKAHSSTKNRNKEARRGEADRVIPTLKPKHLFSGKRSIGKTSRR >Et_6B_048450.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6052095:6052211:1 gene:Et_6B_048450 transcript:Et_6B_048450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIEFYWKLTLRSWFGYGLHGKHRDHQYVQSLRRFMT >Et_5A_041030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1716373:1718862:-1 gene:Et_5A_041030 transcript:Et_5A_041030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EKALLLCWNSVWNSLVRQFSVADSVRSPRKAMPFSSMIQEMKGEIGAISRRGLRSRSHRPGRVRRVAAVEPDEAALRQSCWAQLPPELLREVLARVEEAEARWPGRRDVVACAGVCRSWRDVVKEIVRTPEVSGKLTFPISLKQPGPRDAPLKCFIRRDRTTHTYYLYIGLTDALADHGKFLLVARKYRRPACSEYLISLDVCDTSRGNGTYIGKLRSNFLGTKFTVYDNHPPCAGAMISKDRSAHVISSTQVSARVPAGNYPVSYVSYELNVMGSRGPRKMNCIMDSIPNENKMVLKNKSPRWHEHLQCWCLNFHGRVTVASVKNFQLVASDVSDPSNQERDDVILQFGKIGKDLFTMDYRYPISAFQAFAICLSSFDTKIACE >Et_1B_011130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17897687:17898993:-1 gene:Et_1B_011130 transcript:Et_1B_011130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPASRGSSVPVISQETPEQQEDSSVRYSMMEREDVVAGAGAYNEEEEEDEEDDDLGGGRGGLGEKKRRLAADQVRALERSFEADNKLDPERKARIARDLRLHPRQVAVWFQNRRARWKTKQIERDFAALRARHDALRLECDELRRDKDALAAEIRELREKAEKQMAVKLESGEDRPLAATTAAAAAVYKQDGSTDSDSSAVFNEEASPYSGGAAATATAFDHHHNPHLHPSFAGFTSFLASSGALSSSFPSMYSGGGSHLDQEGDHGLLGAADGFFAEDHHGTGLGSWYGGEGW >Et_1A_006963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29574916:29576120:-1 gene:Et_1A_006963 transcript:Et_1A_006963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSPCARFVSLLIVFLLAFDHRQFKQRYFEFLDHHRAPDGPVFLRICGESSCDGIPNDYLAVLAKKFGAAVVTPEHRYYGKSSPFKQLTTENLRFLSSKQALFDLAVFRQYYQESLNARYNRSGFDNRWFVFGVSYSGALSAWFRLKFPHLTCGSLASSGVVLAVYNYTDFDKQVGESAGPECKAALQEITKLVDEQLRSDSGSVKALFGAEKVIPCVVALIFRYFEGYFKHFSEDIYNRKMD >Et_1B_013446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8465294:8468531:-1 gene:Et_1B_013446 transcript:Et_1B_013446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNADGIKRYTPPVHRNRANNRRKSGDRVDKANYPYGNDGEKSNIPSLKNLPPLVPHEAFLSNVQNEYSQTRLAPLDGCSASEASQLLSDRWAAAMNLYNDQSIDSSEKPVMYSGSAGSSWGHLKLPHQMNFIEELRRAIDAQTGTNRIQDLHSKGWD >Et_2A_016920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29438367:29440714:-1 gene:Et_2A_016920 transcript:Et_2A_016920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLGWRIGSKMQRGWSCLRGFTSAAIPSHLENVGFIGLGNMGSHMARNLIMAGYKVTVHDINESAMKKFSDDGIPTKQSPLEVSKSSDVIITMLPSSSHVLDVYNGPNGLLGTGERLGPWLYIDSSTVDPHTSRKISIDVARCHLKEKRGHAEKPMILDAPVSGGVPAAEAGKLTFMAAKICNNMAMAISMLGVSEAFALGQNLGIKASTLTDIFNCSSARCWSSDTYNPVPGVMESVPSSRNYDGGFTSKLMAKDLDLAMASASGVGFKCPMGSEALQIYQKLCEDGCQSKDFSCVFRHYYAGKDEK >Et_10A_000021.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:1514575:1515090:1 gene:Et_10A_000021 transcript:Et_10A_000021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SGVATGLSTGGERQTGHVGRSRSHRSTQSWWKTCAHSGSTRSTSPPAYSPRHTAQHVSPGGAAAAIAVFPAAMAAAASSPYTTLGSVLSVASPSPASTSSADTAASATARRAGDAAALREHARSRKATATTARTLTTAKATSSCTIRVAGAAQKQSSARCCRVEFRCAARE >Et_8B_060011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4980252:5008057:1 gene:Et_8B_060011 transcript:Et_8B_060011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMMGDSGAKTSKSDTDYGWQLEELSSLQRATIDVDDYDIDRDFVQLLTCFTQVTHLEFHMPSTEGNALEGLTCSFQKLKSLTLHTNFRHTSIMLSTFSLLRSAPNLVELEIEIPQSYIQDEVDTVDIDFFNSLWTNDIFANLDIVTMKDVSCWSNEMNFIEFVLSKARLLSAFFIYRDDILPHSKPPEETVIKIAKYKRVSPKAMVFFRNMEPRAPSPEAPPPGLDCLPPEILEDIVSLLPVRDAVRTSALSRAWRRRWESASGLRFILRSGTLPMSAIGAVLARYACPVREFRHGWVVAKAFAHTDGWLRLLAGKGVRSLTLHFAEPTEVPIEFYMIDEAIFSCRELTRLDLARCWLRPAPSCFAGFPNLTSLCLARVGLAEHGEKVLETMIGAAPLLELLDLNDVWIDSTEVDEWVIRAPNLRSFTIDADHDYKLQIEELPSLQRVSINIDEYSDDRDFVKFFACFAQTRHLEFHMPSTEGDIMEGLPFSFRNLKKLELHIGFCDIASILCLFSLLRSGPDLSELEIKILYGVHNEEFNKDFYNTLWNNDLFTALDVVTMKDVRCWSNEMHFIEFVLSKARLLSALYVYRDDDDCHDDPDSKPSEEVVIELTKYRRVSPKAKVFFRNIDGSPAHRRPRLSPQDAPEATMDLESLPPELLNEILSRTSLASVLARAWRRRWESVPSLTFFGTDIFEDTAKPGAISGILRRYSCPVREFSYSYIVQGSFGHSDCWLRLLAVKAVQSLYLQFERLEDELLHTLHPSIFSCRELTVLHLYGCKIPAAPPGFAGLPNLIRLGLNNVGFPEGARILEFLIATSPLLESLCIEFLLLPDSNGGHYHWVIQGPKLQSLSIDAVSDDNWQINDLLSLEKAEIDCGEYSPDRDLVKLMTGLAHVKKLNISVPTINVNTLEGTSYTFVNLKSLSLRTRLGLLSSVSCVFYLLRNTPELEVLDFELSEDEYEDDEVDLDFLNALWTDDLFSSLIRVVVTDMNGKLSEMYFIEFVLSKARRLEELHVSLDALCTKSNEETVTKLAKYRRASPRAKASPACRKLRKPSPDAEAALGSLNSLPPELLNEIVSRLPLRDAVRTSALARAWRRRWESVPSLSFRWTGHSDPDAISGVLRRYSCLFSEFRHDYIGEELFLHSDLWLLFLALKGVQCLYLDFEKDDDELLHTLHPSIFSCRRLTVLHLRGCNVPAPAPGFAGLPNLTVLSLNHVGFPEGARALELLIATSPLLESIRMEFLSLPYSSGYHPWVIQAPKLRSLSVDGIFDDEWEINDLPSLEEADIDCVGFSPDRDLVKLMIGLSHAKKLKIAIPHNVSSLFQTDGRILEGLSCPFVNLKSLSLRTSLRFLSSVSSVFCLLRNTPELEVLDFELEENYFEDNEVGRDFLNALWTDDLFSNLIRVVVTYVACVSSEMHFIEFVLSKARRLQEFHVCLDAFCTKSNEEAVIELVKYPRASPRAKSPPRRKPRAAAPADALASLPLDVLDNIFSRLHIYDVVRTSALSRAWRRRWESLPSVDLCSSHGISASDVDALLLRRSAPVRSFRLLAGDSSLPESAFHDWLLHLSRRGVRELLLEFPHALGIIRVHSSVFSCRELTRLTLMKCCIRLPPSGFGGLLNLKTLHLMQLIIKGVSVQERAGTVLEALIAASPVLEELTLAFVGLLGDNSDSDWTIRAPNLRKLAIAGSFDYGGRTEKLPLLEEVELFDPNYAKFLTGMAGVAKLQFYCSAILPTEVDVLEQLPFLFENLRSLVVHVNFYRMSHISSMFCLLRSAPVLEELDVLGSSDGTEDIEANIEFLNAQCVGNMFTKLHTVRMKNIKYHSNEMHLMEFVLSKVKALQLLSIYPCAPYEKKDAVN >Et_3B_031501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32233026:32235123:-1 gene:Et_3B_031501 transcript:Et_3B_031501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSQVEDIKPTAKSIVEETIMPHLLNTVKQIKSAFYTLPKVFGESRIVEYTITQEKQIGPGRTEVSSHLDRYYLLVLWENEMDSCSANEVMDVCLLIDNKQFYKILGKPVDLASLITLEIQEDGKVLRHEDWWNKKPLKNRDTVGFPLLGRLAFATRRAAMLLTHGHHGLIEWSENSGDKHWSKLRQQDSLKNRGRARTEECATSLRVTALAHKEHTTAMAEAVLGVLIGKIGVALTNKTKAYGASLLFKEASALKSIFGERFDI >Et_4B_038429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28948225:28948490:-1 gene:Et_4B_038429 transcript:Et_4B_038429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWMPAAAPELGANLARLDFRFLFSVPAEGTSYAQKEGEDDDLGRGPEVIVWRLLGALSLMANQSTPGSG >Et_9B_064732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18153273:18154041:1 gene:Et_9B_064732 transcript:Et_9B_064732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHPASPTAGAGGDKSPSGPPPVRLSAAQAVAAIQPSSPRYFFSSLAAASAAASSPHRRIGIARQQGTPWARSCARCLKMSLCFMRRPRGRK >Et_2A_014829.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:17448719:17449270:1 gene:Et_2A_014829 transcript:Et_2A_014829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVEGIYKIRTGRLVSLSEQELVDCDTLDSGCDGGISYRALQWITNNGGITTGADYPYVAETRACDRAKLAHKAVAISGLRRVVTRSEAAGGRVHRGQRAQLAVLQEGRVQRAVRDGVEPRCHRRRVRPGARRQGQVLDRQELAGRRRVRQDEEGPRRRARGDLRHRHTPVLPPHATSRSC >Et_1B_013746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1522652:1523449:1 gene:Et_1B_013746 transcript:Et_1B_013746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLTIIPKMGSAEVPIPVPPPVIPAVNEVSAAAAPTTDPKPKKKICCACPDTKRLRDECIVEHGEAACTKWIEAHKRCLRAEGFNV >Et_6A_045849.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:19516917:19517354:1 gene:Et_6A_045849 transcript:Et_6A_045849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAGGDGKEAVNEQAIANMYANMRSEMNQLYTKITELELEVGEHSLVIGAIEPLDPSRRCYRMIGGVLVERTIKEVLPAVKRNKEGLEEVVARMHEALERKKKEITEFELKYKIRIRKADNDAEEGGKKEGTAQGVLVGPAGQ >Et_2B_021824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5822226:5825375:-1 gene:Et_2B_021824 transcript:Et_2B_021824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGGESQKQLLSIIRDFATEKSHGERRVSDLRRRLADSRAVADAAVEELDAAKRAREEAEQELRGSQVQAAIAAASIQALEATMARLQEEISKVGSDLDALKMASQELVEDSRSGLQSAEGQHIADKLKPVDSDSIMNDLTDKVSTFEAEVQLLEGEYKNDLLDHDKVRQELADVQAKRALMEAFMGDMKQVQELGGYPCIFSRAVSTTRSAELDKVRTSLAEELQRRYTCPGCGVNNMAGLEEAAN >Et_4B_039871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4697627:4701552:1 gene:Et_4B_039871 transcript:Et_4B_039871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEALFMNNSTPAAPPRDAGRKPALPPFRQEERVLDPKKAQNIAILLRALNVTREEVSDALLDGNAECLGSELLETLVKMAPTKEEELKLRDYNGDLSKLGSAERFLKAVLDIPFAFKRVDAMLYRANFETEINYLRKSFDTLEAACEDLRGSRLFMKILEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEDAKSEKDSAMISSSKDEQLRKQGFKLVSGLSSELGNVKKAAMMDFDVLHGYVNKLETGLEKIKSVLQLERQCTQGQKFFTTMQSFLKEAEKEIEQVRGEEKRAMVRVKDITEYFHGDTSKEEAHPLRIFMVVRDFLSTLDHVCKEVGRMQQDRTVIGSARSFRISATTSLPVMSLYGQRREDTSDDDSSFS >Et_3B_031489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31684676:31686271:-1 gene:Et_3B_031489 transcript:Et_3B_031489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDSQMTLSPWRSTGTFLVVLEQQLALVAQVLEDELVRHSLDAQGHLGAVAEPAAEYADELDRFTHPSLLLACSATYDDDAWTNAFFTDYRPQDNSSENTQNCPSNG >Et_5B_045787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9815374:9816619:-1 gene:Et_5B_045787 transcript:Et_5B_045787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTTHQVDNCLFLLASERPDWSYLRGLPRLRELELKGCLPSDHMQEEAEAYYNYDSDDELQEEGQDKDVDYNGNMNVPSRVTSIAIRIFEQRLRRVNLVGYRGRPVERTLAKSVLFKAMALEEFSVSLAPGRALHKDEMARELTAYDHLWSALGHVGPHMRDVFGIRPLHVMSPAPAAASNLRCGDDGFMAWLDGQANRSVVRRCA >Et_7A_052521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8846971:8847614:-1 gene:Et_7A_052521 transcript:Et_7A_052521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRYPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERKEEECRKMRQEEDERRHKYEAERKQREEAERRRKQEEDRLAYEAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_10A_000423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:170214:174072:-1 gene:Et_10A_000423 transcript:Et_10A_000423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWPSLPPTLAAARRATPSSFFRRSRLCSSSSNSGSVSASPYGRQQEEGDEDQSLVVVGGGAAGVYASIRAKRLAPRLNVVVIEKGKFLSKVKISGGGRCNVTNGHHIEPMGLARNYPRGNKELRGSFFSAHGPQDTMRWFTDHGVELKTEDDGRVFPVTDNSASVVDCLLNEARRLGVSLQAGKSVSSVSVTEHGKFILKVEKRTINLVDYMNSNYVLVATGSSQQGYAVAAKLGHSIISPVPSLFTFKIADKRLADLSGVTFPIVKAKLKLDGVQKIIPELTQTGPILVTHWGLSGPVVLRLSAWGARELHRCNYQGKLMVDFIPDIHIDDVKRNLFQHKDQHAKHKVNNTFPTEFGLVKRFWRFLLEQEGQFKDEFVTAGGVPVSEISLATMESKKQPKLFFAGENAWTGGYIAGTSIGMMASTSNLEKQSCLQLEGS >Et_1B_012861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3610168:3615377:1 gene:Et_1B_012861 transcript:Et_1B_012861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGAKQDDLTPHPVKDQLPGVSYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGNNEDKAVVIQTLLFVAGINTLLQSFFGTRLPAVIGGSYTFVLPTISIILAGRYANEPNPHTKFLRIMRGTQGALIVASALQIIVGFSGLWRNVARYLSPLSAAPLVALVGFGLYELGFPSYLPHTVHMMKSIFDRFAVIFTIPIVWLYAYLLTVGGAYRNAPPKTQFHCRTDRSGLIGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPIPPSVLSRGIGWQGIGILLGGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFAAIPAPIFAALYCIFFAYAGSAGLGFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTSVAGFGPVHTRSRWFNDIVNVLFSSKAFVAGFVAYLLDNTLHRHESSVKKDRGYHWWDKFRSYRTDTRSEEFYSLPFNLNKFFPSV >Et_2A_016422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24589326:24591661:-1 gene:Et_2A_016422 transcript:Et_2A_016422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSVVVVPEVGVAAVAPAQAACPCPGTTMFPYPPPRAGNIAAVRRKCLQVDCLAGAAAGLLGGAWGVESMRASSPTHAKAAAALAAGVDDELAAWMARHPSALGKFEQIVAASEGKQIVMFLDYDGTLSPIVNDPDAAFMSETMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKASSRHAKAKAKGVLFQPASEFLPMIEQVHDRLVEQTRCIPGAKVENNKFCVSVHFRNVDEKMWDELSETVKGVMREYPKLRLTQGRMVFEVRPTIKWDKGKALEFLLESLGFADCSNVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKETSASYSLQEPAEVMEFLLRLVEWKRLSRAARLRFQ >Et_8B_060739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5887007:5890265:1 gene:Et_8B_060739 transcript:Et_8B_060739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHFAPRQEAPLQLSLSGLLPAAAVADLLARLRASVTFLPLHDARSRRAGPGASFISALNDSSEPSAEAKNLVFPSAASSGRVLCVSAPPGADATYALAWRDALPRGAALRPGLAFVSESPYDYRNLWHGLSALVPFASWHARSGSRRRGGRCSSTARCGRGGWLASLAEAATGAEMEVETFTDETGPVCFEEAVVFRRQMEGLSRERLRAAYDFMRCKARAHCGVAIVSTRAGGGGPSSLRVRLLSATDVLISPHGAQMTNLLFMDKNSSVMEFYPLGWRQRAGGGQFVYRWMADRAGMRHGGSWWDPNGEPCPGSDDILSCYKDRQIGIDEAYFTQWAGRVFAAAKERKTGGCVKTPTEERQRETVECGCI >Et_9B_065504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5959853:5964710:-1 gene:Et_9B_065504 transcript:Et_9B_065504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSAPLPYSMRDVDAGAYNNAKFRHRSRLKVPPPLPIQPAIFFFQKITGMFPPPRLGIFIQALATNSGKYQCGKFTVGKFLSLLMVFGLIYLFLHKCPDGFVSGQIHDKEVQNKHVRQAPNIRTFWRKPPRLPPRLSPYEIYKNNSLLQQSPLSEWASRQKKVKEAFEHAWSGYQNYAMGYDELMPLSHRGVDGLGGLGATVVDSLDTAIIMGADGVVSEASKWIEDNLMKRISEKGQVNLFETTIRVLGGLLSAYHLSGGDHAGAGDSGIPETYKKANPERLLEVSKDLADRLLLAFTSSPTAIPLSDVILRDRTAHAAPDGLSSTSEASTLQLEFSYLSKISGDPKYDTESMKVLEHMRTLPTVEGLVPIYINPHSGQFSGENIRLGSRGDSYYEYLLKVWVQQEEHRDTSLKYLFEMYTKAMKGVKQLLVRKTLPNGLVFVGELPYGRNGGFSPKMDHLVCFLPGTLALGATKGITKKKALENNLLTNEDVENLQLAEDLAKTCVEMYFVTATGLAPEIAYFHIEGNSEEGPDGGNKSSEYVNDIIIKPLDRHNLLRPETVESLFVLHRITEDPKYREWGWQIFQAFEKYTKVDSGGYTSLDDVTSLPPHRRDKMETFFLGETLKYLYLLFDESNTLPLDKYVFNTEAHPLPIMRSVKQGHALNVHLALRSREQPPDAWERNRAGIRWRRANPVGRRAAAGPRYPAGSH >Et_3B_030986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9716538:9720842:-1 gene:Et_3B_030986 transcript:Et_3B_030986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDATTTAAAADESPSGPDAGSAVRPPLVLSDASGMPAEELDDMFAPYARRDAYGPMGRGPLPAAEAARLALVAAVLVPLRFVAGMLVLLAYYIVCRACTLGVVGAEQGGRFRLAGWRRDAVLRSGRALSRAMLFVFGFYWIRETHRGLPNAEDVHQDQSKELERPGAVVSNHVSYVDILYHMSASFPSFVAKESVSRLPLVGLISKCLGCIFVQRESKALDSQGVSGAVTERVQEVCQDKNSPMMLLFPEGTTTNGDYLLPFKTGAFLAGAPVQPVILRYPYRRFSPAWDSMDGARHVFLLLCQFVNYLEVVRLPVYYPSKQEKDDPKLYANNVRKLIATEGNLILSNLGLADKRVYHAALNSNSPSGSRHQKDD >Et_1B_010079.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:22863320:22863391:1 gene:Et_1B_010079 transcript:Et_1B_010079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLARFAPKNQKRLTISCCYIRP >Et_10B_003767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5728772:5731663:1 gene:Et_10B_003767 transcript:Et_10B_003767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPKSIESDWPEVSYINGHARFIGLLRMGVRGLSVLVFTWATAVLLGGFVSDLEEVDFRCLTAITFVQIFSSGLVFSPYEVIYNLDLSIFCLYFLRISGLFLLSINLSNLTGDHHLATYIYRIFDFLLNGKSGFSKNSWKFLWDTVSPMAKDIFISIKKRRKMVHCSTTTFHYFVWVMQLLVVVFVLCPLVVVYLAGLYISTGIALWRLIKHDYGDVKTNQQPALIVLYSLAVAQGWFQGFKALYARVNERGLVKEVVDFYLTSTHDAEDQQILHSLVSIYRDKTMMGCTKDPIMFTKDRNLVTYAVDLMMECNSSDSYLSGVQILGTILQKHYVRDETVNGQAALIKQKLAKSASFGNVVRRLIGTMGTGVPPDSRMISKHAARIVAHVADNINLRYLPKGSQFLSFLLSTIKDYMGKHSPERGTSDWQHPQAKGPQIKKLSGRRPERQQLAALDEKWPESGSDWLVVQGLRIIKNLAYNEDNRRIIINTEGVISKISAPLISEQVHSRDDHEEWSSIAELSLELMNQFLDDTGETGEKFRIEIFSKHKQIVILTLLRILDCLKCEVRVKIQAVEIFLLHLPEELSVVASGSNSSRTFIWVLLLIFLRTNNSEEDTFKRDRCENLRNLAGEKIEGNATVVLQSVCEGDDSLTKTLIDADKDISIRLQAAKFLEHFIDQTKDATNIQVVLKKAMLNVMPKVLNEVLGYGSGREVKKTVGGQSNGQSSLQDIESGPVTQGNAQENTSSSRQQIGEQHKRRKLKETLITLCRQVWSNWYYEDDPDATHQLEKIAEEICTKQGKRPKSFGHLVAKAEKRFTNEFQE >Et_10B_004272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2515752:2516839:1 gene:Et_10B_004272 transcript:Et_10B_004272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSGLHAAAGTPAARFWEQALPGSPMPDSIAELVQKGIDRSPLKERNAAPYLLPSDCSFSYKYEITCGGPPPGSEAEAATGLFFHERQARAGAVMTVSLPPAATPAILPLAAAEKTPFANATAVLAAFAVPPRSEEAARVANTLLGCRAPPLAGESKACATSLEGTVRAASRMLQGAPCCIWAAASAVPRDGLPRRAYVVAAVEELAGDRHVACHDEPFPYAVFQCHMTGRSATKAYMITLQIGAHGNGATATATVAMAALCHRDTSSWNPAHPAFEMLGTKPGGAPVCHFMPYAHLVFGQKVAH >Et_6A_046751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19999550:20004781:-1 gene:Et_6A_046751 transcript:Et_6A_046751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSNNDISSKEITPLQKNACDFYQRNCLSVNLFPYPWSVFHDFDEGRSYDKFKKGQICVLYNHIDKFPSCLPSTGAEETVVEILPQVGEIWVIYMKWAPDWALSRNDTCEFAIGEIMERIEAVTKLNFLTRVCGYRFVFRYDKQKGVLVIPAAEKLRFSHCIPSFLLTEERGGTLHGFYEHDPASVLDVARQAQRLYPDLENLSQLLTAKIDGGLDLYAILQFEVTADDTAIKKQYGKLAFWLHSDKNTLPGAEAALKLVSEAHATLCDQMRRSLYDLKRQHASRKVKNKATLISGKIHTSKSDGAGCKPLYDVTMVFWTICPHCQKRFVYYERNFFVSCDDWQKLLCIQAA >Et_7B_055763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3827032:3829664:-1 gene:Et_7B_055763 transcript:Et_7B_055763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRSALATLTRRSGGSSAATPIGGGGLELHRVFRSAVPRTPPLRSAAVRNHEVKIDVVKLAVHVNWGWGFDSQSGENSSSVVATVSGCFAIVGFTQTIVTLTLIPRAGTPHMASPCRLTVHQHLDVPAPVLGIPKPHRVDARDDEISERGFVKVLRRTSRIGGEERSKTTVLVCTA >Et_10B_002677.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:6619663:6620121:1 gene:Et_10B_002677 transcript:Et_10B_002677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTWLLQILTMDLAHVNMERRCPLGCRLDGCECHYACLHQCIPVRALELFQSRKEESSEQRPIYRLASGLAGMFFPCNLTKQAAFFFNGYGSQLGYILTCFSYYRMKYHRTVWHSTTSFAAWHICMYCKIWGNYVHTLAMAASGTKGFSF >Et_9B_065811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13435887:13439668:-1 gene:Et_9B_065811 transcript:Et_9B_065811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVMDTVVDSTELWALDDYDGIARVHLVCPVVPDESAARTEDGDDNRKAPKGRKRQVELAGPRRRKRAKKKNSRKNGNAAGSSPCAKFGKGLTKM >Et_9A_062579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24130045:24131686:-1 gene:Et_9A_062579 transcript:Et_9A_062579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAATFGEEQEALVLGAWNAMKGDSATLALKFFLRMGFSEAQEELVLRSWKAMKKDTESIALKFFFRIFEIAPGAKQMFSFLRDAGDAPLESHPKLKAHAVNVFVMACESATQLRNTGDVKVREATLKRLGATHVKAGVADAHFEVVKTALLDTIRDAAPDMWTPEMKAAWEEAYDQLAAAIKEEMKNAAASA >Et_2A_015119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30823316:30823957:1 gene:Et_2A_015119 transcript:Et_2A_015119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWYSWLSRTGLAPSLTYEYGLLFRRNELEPGDAAHFDHDLLKSMGIAVAKHRLEILKLAKKKAAEAAARLARGARRCLARCVRRLAGGGGGRRRGASSVSVVPRICSGDDAVRVGAVQQKRSAAAKKMVLMITDGGGHGATRPCAAGAARLSASSQKASLMFHDCYEDDEEDGEEEEARSSQDGDEGEIKWDSMFQDLKPT >Et_5A_040790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12632649:12633742:-1 gene:Et_5A_040790 transcript:Et_5A_040790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVYDPAVNDYRNLPGVETRVPKFGSVRAFHDKNPLHSKTCLDHVWESLEGLGYREGDTLFGAPYDWRYAPPVPGQTSQAYSHYFRRLKALVEAAASKKHHNNNNKKVIIFGHSYGGMVALEFVRSMPLAWRDTYIKHLVLAAPALAAGFTGQLRFLLAAGPLQAIYVPGAAPSAVTALWRSFETAIVDLPSPAVFGSDDPIVVAARRNYSACDMEDLLAAVGLAGSCVEPFRRRMVPKMRRSGQAPMVPVTCINGVGIPTPRRLVFRDGDDFDAAPEFVSGDGDGFINLVSMAAFEEDMCRQPRQKEQFKSVKIHKVGHSEIVTEERA >Et_7B_053314.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5190641:5190937:-1 gene:Et_7B_053314 transcript:Et_7B_053314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQLLLHLVCGGECLLRLLLKLVGDGAPADLRKVAMGFGIWRRQPAAVDSTVRQAQAPRVSLCYFYFLGEFVQNRQLPSVFFQNVSVFGSCVRFPYQ >Et_1B_012013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2871562:2873673:-1 gene:Et_1B_012013 transcript:Et_1B_012013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATQVHNYTRLAGWPKRGAKAKAPPVPQPKRRPESSGPGPSFARHRNSRGQERTRTPRHGAASHSSSPSRLTETSNRAGAPTQVDLHRKSKTKEKMELFVATTASARATTPFFAPLRRGGSPSVAVPLRRRLPARGWRSASAAVPDPVPSEEPASASSTVAVTDKPDSPADEKVGEEESSASSSSVEAAVVAPASSGASEEEGSGLDDILSKLDIEVTPTLILTGSGAFVALLVLSSVVSAIDSVPLLPKLLELVGIGYSIWFTYQYLLFKEGRDELFAKFEDLKQRII >Et_4A_031803.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:16892407:16892787:1 gene:Et_4A_031803 transcript:Et_4A_031803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HAQSSHDETQWIIRIRRILNEEIELCDDQHISIFDVPKALLSTKPESYISQLVSHSHGPYHHCREELCDMERYKLSAAKRAQIHLPSMILQQLVHGFSKLEHRICGHYHFTDYQKLATLFHTIEEF >Et_10A_002126.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:23110151:23110447:1 gene:Et_10A_002126 transcript:Et_10A_002126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVCGRRPIWVNAADGEPVVLADWVLAAWRAGSITDAIDPRLLTTEADYARAAEEEAELVLKLGLLCSHPVLSARPSMRLVMQYLQRDAPLPADLQP >Et_1B_012164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29630443:29633800:1 gene:Et_1B_012164 transcript:Et_1B_012164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSCFRTGSDRSSDLHDPLVVESRLGDAFLDDGKKLEANGRLEEDAGDAVGIDEELRQEANYLKSCGAISQTPPEILKVSSPVSAGDINEHNDISSNAPVVSREMLFEVNSSELFKTEELDILRKEGNITESSDTQTDEHGIVDAESETLSSLQDKTSCQKFRNQTTGSSDSPFPTPLVLRDDIQTPGTVYTALTSKAGKRARGRQFIYPVLRPIENKLQWMEVKLDSPMLSDNPSKRRYLSPGSSENPQEISPSSAATKTELPKSVSPIHDNSVSQNDAISPEESKVQSGNEQLVESGELSKQNSEHGKHGVSSLSYWLKTSSTDDKNQSDIEGSVGKEPFYEKSSFDVPIFATSGLNWDNDNPTPILPKAWDGNGIPNTTTKYKEDRVVSWHATPFEERLLKVLTSEKPSLERKISGKLIHLEENAE >Et_2A_015025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26457833:26458477:1 gene:Et_2A_015025 transcript:Et_2A_015025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLAAVAVAAALVAALAAVASATESYYAAVENRLPSPGGMDLVCHAVGGNGILTDFSVVPRGHLPRGDAGRRVVELIAERPGGDVAFVRCNWAYAGNYLAGITLLDSRWPEAKKCQDPGAAAAGGMCRLVFEDDGEALRLETPDGGVRVLGDLPVKRCRRSWLLFSSECAYPDHPHPYAGRRLGNAFQFFAV >Et_1B_011056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17010437:17017851:1 gene:Et_1B_011056 transcript:Et_1B_011056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSIRSSSQIPHFQNVLVSLPRVASAFATVIRSYHRPVHRPFQKKRDEKARPSTRSHGRTHSTPARRLRPHQARHTRAPLESAPASMAAWTVPALAAAWALRAAVWVCLVSSAMLVAEAACMSVASLVAVVGPPRLRPRRKYRWEPMPGCGGGGGDVEASAEAEFPMVLVQIPMFNEREVYKLSIGAACALTWPPDRIIIQILDDSTDPIIKELVELECQDWASKKINIKYEIRNNRKGYKAGALKKGMEHIYAQQCDFVAIFDADFQPESDFLLKTIPFLVHNPRIALVQARWEFVNYNVCLMTRIQKMSLDYHFKVEQESGSFMYAFFGFNGTAGVWRVSAINESGGWKDRTTVEDMDLAVRVGLKGWEFLYVGDTRVKSELPSTFKAYRHQQHRWTCGAANLFRKMAWEIITNKEVSIWDKHHLIYSFFFVRRVIAPLVTFLFYCVVIPLSAIVPGVKIPIWGLVYIPTAITIMNAIRNPGSLHLMPFWILFENVMSMHRMRAALTGLFETARANDWIVTEKVGDPMKDDLYVPLLQPVKPTECVERIYIPELLLALYLLICASYDFILGNRKYYMYIYLQAFAYVVMGPIIAPSFPSLQVGPGQGNKANRRPSAAGDGYGGGCRRGWHHREDDESANPILLGNGGEALGPARCASRSPSTSCDLPRAPGL >Et_10B_003153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17136180:17138908:1 gene:Et_10B_003153 transcript:Et_10B_003153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGTGAASMLVFAVFILTLAASAESQQAPAPAPAAGGCTALLAKVADCLQYVTPGSPLSQAPETCCTEVNDGLKDPAAVACVCGLLGGHTFNLPINLTRAADLLVACGAPATSLSQCNVSSSLTNIPHLAATSSSPPATPSGGSSKSAATWSPLKAVVLSSPAKHERLTHNVCGPYTGLKVEVGR >Et_2A_016633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26802360:26805094:-1 gene:Et_2A_016633 transcript:Et_2A_016633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQERDYIGLLPAAEAATELRLGLPGAEEEEAEGGEAAVRAPLTLELLPKGSAKRGFADAIVGEPAGQRPDAAARGKAVVEDEEEEEEKKNAQAPAAKAQVVGWPPICNYRKNTMAMSLPAPKIKDDVEAKQAPAQGCVYVKVSMDGAPYLRKVDLKMYKNYKELSLALEKMFSCFTVGHGESNGKSGREGLADCRLMDLKNGTELVLTYEDKDGDWMLVGDVPWGMFTDSCRRLRIMKGSDAVGL >Et_4B_037192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16254396:16254873:1 gene:Et_4B_037192 transcript:Et_4B_037192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VKMTLKNFFLGRSDLYLLQIDTAKSTDDENYFPHFYGPDRSFAPLQVDAVVKSDKIEFVNNDVTCNLLDGN >Et_7B_053299.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:23051292:23052559:-1 gene:Et_7B_053299 transcript:Et_7B_053299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLDLMGGCGGRAEEQSAAIQEAASAGLRGMEHLITQLQLSRGDTTGETPPQQVDCREVTDMTVSKFKKVISMLNRRTGHARFRRGPVVAQSEGQTLSPARSAPPPVTLDFAKAGYVGANKELSLSVSGGASSSFLSSVMTGDGSVSNGRGGSLVMLPPAHGAGGKPPLSGAGQKRRCSDHAHSENLAGATGGRCHCSKRRYVRQVLAYVLLLNPTTGLMIETESIWYVFLQEAPGEAHDPRAGDQPEGGGHPRRRPLVAQVRPEAHQGVAVPEGLLQVQHRAGVPRAEARRARPRGAGHAHRHIRGRTPPLHRELTWNGWSKREQTLF >Et_6A_046227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:997735:1000671:-1 gene:Et_6A_046227 transcript:Et_6A_046227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTETSFPSPLRCLQPAYLIHRGPTFFYNRAPPRSSPSVRLFLFTFPSISPSSASSEKPPDKNAPGAEGCLLLLLRLAMDSWEVTKVVFERVHALDHENASKIVGMLLINDNSEKEMIRLAFGPDHLLHSFVDRARADLAAKPASPPSPVVGPLQAPPRPWGMPSSGGEALYPDDDAEVAAAGAWRPCMFFSRGFCKNGSSCRFLHGLPENDTEREMVVERAKAMAPRPPQFMEPAFPFSPSAKGPNFLLHQQQSDLQRSAAMLLGSEDLSRFAVRSPRMMDRSVDFMTHASRQIYLTFPADSTFSEEDVSNYFSVYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILSKGNPHFVCGARVLVKPYKEKGKPPHHPEFAGCMTPTALHNPKDPFDLQQPQIGPRMTLADIASREAFLRTKLEEQQQAAELQRAIELQGRRFMDLQLLDLKNRGHHLGSPMSFGQTDDKGNVNGNGNAAHLEDVTIQDNKLNSSSLAMSAPAFSALSEIAAEGKHEEQQKEEDGDDTPKQAVNPGEEKKRESGPVTATPDVALSWITICRKGLLASIDSDSTGVIAQNENISPHHVASSLFPPTSTPDLPPYKSCFFQLPRFNSGHEAIEL >Et_5B_043378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:56974:62958:-1 gene:Et_5B_043378 transcript:Et_5B_043378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLRVASGVARLALRRTLATRAPPVATRRCFHSTALRPRASAPSPRAVPLSRLSDSFLDGTSSVYLEELQRAWEADPSSVDESWDNFFRNFVAQASPSAGVSGQTIQESMQLLLLVRAYQVNGHMMAKLDPLGIDHRPVPEDLDLSFYGFTDADLDREFFLGVWRMSGFLSENRPVLTLREILSKLQQAYCGPIGYEYMHIPDRDKCNWLREKIETAKPKDYDKDRRLVMLDRLIWSTQFENFLATKWATAKRFGLEGGETLIPGMKEMFDRAADLGVENIVIGMPHRGRLNVLGNVVRKPLSQIFSEFTGGTRPVEGEDGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQFYSNDSDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDLEAVVRVSELAAEWRQTFHSDVVVDLICYRRFGHNEIDEPSFTQPKMYQVIKNHPSSLKLYEQKLLGTGEVSKEDVQRIHDKVNRILNEEFTKSKDYVPNKRDWLSAYWTGFKSPEQISRVRNTGVKPEVLKRVGQAITALPESFKPHRAVKKIFEQRAAMIETGEGIDWAVAEALAFATLIVEGNHVRLSGQDVERGTFSHRHAVLHDQETGGKYCPLDHVVMNQNEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVMFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFVIPEMEPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMAPKNLLRHKDCKSNLSEFDDVEGHAGFDKQGTRFKRLIKDRNDHKQVEEGINRLVLCSGKVYYELDEERKKSERSDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYISPRLFTAMKALGRGSFEDIKYVGRAPSAATATGFQSVHVQEQTELVKKALQPEPIEFL >Et_1B_012287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30549419:30556935:1 gene:Et_1B_012287 transcript:Et_1B_012287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRDSMWLVLAILLQLLLLLKLKKRDNNNGLRLPPGPWRLPVIGSLHHLLRSPLAHRAMADIARRLDAPLIYLKLGEVPLRKISVLELLSARRVQSFRRVREEEVRRAVAAAAAAAAQPAGEAVNVSELIAVLITDSAVRTIMGDRFERREEFLENLARGVKITAGFSLGDLFPSSRLANAISGTTRLAEAHHPNTLQWAVSELMRNPRVMRKAQAELRGKLRGKPTVTEDDLADLKYLKLIIKETLRTHPVVPMLLPRECQESCKIMGYDIPKGTTVLVNVWAINRDPKYWQDPEVFWPERFDTATVDFKGTDFEFTPFGAGRRICPGIAFAQATMELALASLLYHFDWELPGGMPPNGLDMAEEADILGVLLRMQKEEGLDVPLTTGNIKAIILDLLGAGTETSANTLQWAMAELMRNPGAMQKARAEIRNNLAGKSSVTEDAMAELKYTKLIIKETLRLHPVSPLLVPRECRRTCNVMGYDVPEGTTAFVNAFKPERFESCKVDFKGNDFEFIPFGAGRRMCPGIAFAQATMELVLASLLYHFDWELPEGVSPGELDMTEEMGLSVRRKKDLYLLPTVRVPLQATE >Et_9B_065815.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:13736141:13739368:-1 gene:Et_9B_065815 transcript:Et_9B_065815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRADGQIKTWLSDRLMALLGYSQGVVAQLVVRLARDCASAGALAARLVDLAGFPSSPDTAAFAEDVYVRFPHRPGAAAVSEYQRQMQDAAALAKKQTEFKLLDDDEGDEAGLAASATKSSRKRFRKKDAVSQDDDDEEEDASLSDRGRNVRRRRRCPEEYEDSSDAGEPDDEEERLRRDQAERAQLERNIRERDEANTRKLMDRKPCKRDQAETSRRSEAMDRGDTSELRKASRYAYLQKRKEQRVEALRDEILDDGAMFDGVRVTDAEEREMRRKKEIYRLVSDRADHDGGEYYRMPDAYDDAAGVDQGKRFSVARRRNDDDGGKANAFSEQESWEEQQIRKFRMQFGSKDRGCDKDKYELVFEDAVEFVKSSAMEATEPDDDADELADDIDAKVRLRTELQDERKTLPVYKFKDELLQAIADHQVIVIVGETGSGKTTQIPQYLHEAGYTANGRKIACTQPRRVAVMSVAARVAQEMAVKLGHEVGYSIRFEDCTSERTVLKYMTDGMLLREFLGEPDLASYGVVVVDEAHERSVSTDILLGLVKDVARFRPDLKVLVSSATLNAERFSDFFDAAPVFKIPGRRYKVDVHYTVAPEADYVDAAVATVLQLHVTQPPGDVLLFLTGQEEIETVEEILRRRTRGLGTKIAELVICPIYANLPTELQAKIFEPAPPGARKVVLATNIAETSLTIDGISYVVDPGFCKVKAYSPRTGSESLLVHPISKASADQRAGRSGRTGPGKCFRLFTQHSFDVDMDDETVPEIQRSNLASVVLSLKALGINDLVSFDFMDPPATEALLKALEDLFALGALNSRGELTKTGRRMAELPLDPMLAKAIVASEEYGCSEEVLTIASMLSAGNAVFYRPKDRAVLADAARQRFNNAAGGDHVALLNVYTEWEASGHSAQWCADHFVQSRTMRRARDVREQLEALLERVEIERRSSKGNLDAVRKAVTAGFFRNTAQRRRDGSYRTLKSWRTVFVHPSSGLARADPPARWVVYHELVETTKEYMRQVTEIKPEWLLEIAPHYYKDGNLDKPEPKKKAANKAQGQSTGGTAAATTKPSQNLNDYFKL >Et_2A_014921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21978322:21979389:1 gene:Et_2A_014921 transcript:Et_2A_014921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding APPPPPDAAAEKDEVSQGLQLPEDIRWERLDKARFFVVGAGLFSAVSAALYPAVVLKTRLQVAPASMAAAAAAILRREGPLAFYRGFATSLAGTVPARALYMGALEATRSAVGPAALNLGAPEPAASAAAGAVAGLAAAVAAQVVWTPVDVISQRLMVQGNPCPASRYRGGVDAFRKILASEGVRGLYRGFGMSILTYAPSNAVWWATYSLSQKIIWSGIGCYLCPGCKTIMVVQGVSAAMAGGAAALVTMPLDTIKTRMQVMDGDGEPITIGRTVRRLIKEGGWGACYRGLGPRWASMSLSATTMITTYEFLKRLSAKGHESGLA >Et_2A_015532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14519354:14519958:-1 gene:Et_2A_015532 transcript:Et_2A_015532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIATSENERSPSLFDGSNTEITETGPEQLQNCPVCKTHIFEIFAREPSNYMKHIVTSEKERSPDRFDRNNTEITETAKLTILRLSVRELSNYIKHISTSEKVRSSGRFDRNNTEITETCPEQLQNCLEPSNYMKHIAISEKERSPGRFDRNNTEITETCPGQLENCPL >Et_2B_019228.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18765277:18765462:-1 gene:Et_2B_019228 transcript:Et_2B_019228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAWHIWNERNRRVFTSTALHPPDIAKMIRKDMALRARACGQPQRHFEQIEQEHSHISM >Et_4B_038860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5454896:5457594:1 gene:Et_4B_038860 transcript:Et_4B_038860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTYRAWGRILPSHLMAQTQNLLSQEQSAVHECVECIPRNPGRGVTASQWVKTERTGYCKIGELGTQIGGNYHQKRKRKAAVSHWYIILCGNHREGTMKETKILKWELEQKGASPGHEAIRLDAVLKAVELPARIPHLDARLPDMDAYDFPHLSLSGLPCFCFDCLGSSEAARGRGLRTEKACTLQLTAHGKGTSGRADGKKEG >Et_2A_015587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15468185:15468516:-1 gene:Et_2A_015587 transcript:Et_2A_015587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRHYCLLDVVGRNSCRHGNAAWSPYAAAKFVTKMVEDVLQINQIEERKERRRERWNPPAEGVLKLNTDGAFDGTTFSEGTGAIIRDSSGTMIRARDGMIIWKP >Et_6A_047134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25770270:25770945:-1 gene:Et_6A_047134 transcript:Et_6A_047134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSKPGTAVRIQMRRITYMYPDTFHVRGFHPYKEVVFLTTVSLAVTYLLNISKVQFLGILRPKDHNYTICALIRSYTKAYSQSPKTLAPTNFEGEEMVEDNDILTLILTRKKTDK >Et_4A_033220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20033459:20039889:1 gene:Et_4A_033220 transcript:Et_4A_033220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDGFARMLLLQVAALSTAGAVAAAAALARRLLRESRKKAPLPAPTMPSLELAESGRLEYLEKFSHYVARQMGFQDINECPQLCKLANNYLKNTKNCIDDIYGFFDNVHNADSLYIKFIEELDKCILGYFAFHWDHATYLISQALTMDSCKKKKLRNMVLEATRKQRFERVTRDLKVTRVFSTLVEEMKAIGITHTGSNGDEETHCTEVMAPVAHSDRSPVLLLMGGGMGAGKSTVLKEILQEPFWSNAAANAVVVEADAFKETDVIYRAISSMGHHNDMLQTAELVHQSSTDAASSLLVTALNEGRDVILDGTLSWEPFVEQTIAMARAVHRQRHRMGVGYKVDADDGTVTENYWEPDDTRPPSPKEERKPYRIEVVGVVCDAYLAVARGIRRAIITRRAVRVKSQLQSHKRFAAAFRRYSHLVDGARLYSTNSMGSAQLIAWKDGNSSLLVEPREIDCLEKVSNLNENATSVHDLYPDGTTTCGSRSIWEDMIVSPARATIQRELKDAIRSVELASTEPPTL >Et_6A_046233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:10051721:10056521:-1 gene:Et_6A_046233 transcript:Et_6A_046233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVIESIVGQGDLDAVEAVVCAHGVEVVISVMGGANILDQLRLIDAIRAAGTVKYTYICCNSIAGWPYFDNKHPSEVPPPLDRFQIYGDGTVRAFFVAGTDIGKFTIMAAYDPRSANKIVHFRPACNLLSTNEMASLWERKIGRKLPRVTLSEDDLIAIASENIIPTSIVASLTHDIFINGCQTNFAIDGCRDIEISSLYPDVPFKTVEECFDEYASSLHP >Et_1B_012951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4288126:4294120:1 gene:Et_1B_012951 transcript:Et_1B_012951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLVLRAAPYRHSSPLLAGTLLALAPSKHRHYVSFPSSSPFPTRRILPSPLRVPTRAVESSPGPTKEEQSPAAGEAQEPPPAAPAFEVEELGWGTQLAVKLRMLVEPPWKRVRKGSILNMKLRGEISDQLKTRFGSGLSLPQICENFEKAAYDPRISGVYLHIEPLRCGWGKVDEIRRHIEDFKKSGKFVVGYIPICGEKEYYLACACSELYAPPSAYVALFGLTVQQTFLRGVLEKIGVEPEIQRIGKYKSAGDQLARKSMSNEIREMLGTLLDNIYGNWLDTISSLHGKKKEEIEEFINSGVYQVARLKEEGWITDLLYDDEVMAMLKERIGQKDKKNLRMVDYSKYSRVSKRTLGLQGGGEQIAIIRASGSITRTRSPLSVPSSGIIAEQLIEKIRTVRESDKYKAVILRIDSPGGDALASDLMWREIRLLANSKPVVASMADVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFNLQKLYERIDFNKEILSKGRYAELNAADQRPLRPDEAELFEKSAQHAYALFRDKAAMSRSMAIDQMESVAQGRVWSGQDALSRGLVDSLGGVSQALAIAKQKANIPKDKKVQLVEIAKPSPTLPEILSGIGGSLLGVDRAMKGVLQDVTSRSGVQARMDGILFESLGDMSGGNQLFLLIKDLINYFD >Et_7B_055296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9042278:9043502:-1 gene:Et_7B_055296 transcript:Et_7B_055296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCKASLLINLMILCAGLLPNGNFEQGPPQSELNGTRVMGRYSIPNWEISGFVEYIESGHMENDMILPVPDGAQAVRLGNDASIRQRLKLHPHTYYSVSFAAARSCAQDEKLNVSAEPHSSILPIQTMYTNSGWDSYSFAFEAKYSLVWFSIHNPGYDENPACGPLIDFIGIKALRLPPRTSDSISTAGSNRHVQNQLTCISQIDRAGNMLKNGDFEVGPYTFPDVPGGVLVPPLAEDAHSPLPGWMVMSDTKVVKYVDAAHHAVPQGAYAVELVSGRETALMQEVDTVPGRRYKLSFSVGDARNGCEGPLGVDVYAAQATAQVSYDSQLGTGGHKRAELEFEAVENVTRVVFQSLNHYMTYQASLCGPVVDDVSLFPAYDTHQHHIPGNGARRLLMSE >Et_7A_053129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7437343:7441777:-1 gene:Et_7A_053129 transcript:Et_7A_053129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTKPHLSNSSLPSARRSNPAPSSSHVKPLPVSAAPRRGLRISATSAEAPAAPTAAAASAALNRVDVLSEALPFIQRFKGKTVVVKYGGAAMKSPELQSSVIRDLVLLSCVGLRPVLVHGGGPEINSWLLRVGVEPQFRNGLRVTDALTMEVVEMVLVGKVNKHLVSLINLAGGTAVGLCGKDARLITARPSPNAAALGFVGEVARVDATVLHPIIASGHIPVIATVAADETGQAYNINADTAAGEIAAAVGAEKLLLLTDVSGILADRNDPGSLVKEIDIAGVRQMVADGKVAGGMIPKQRITLLSGRSVPEFCFLITEFEAMTTNSGLLGTERRALKNTATVPRRYLSADQHNSA >Et_4B_037490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20332673:20337763:1 gene:Et_4B_037490 transcript:Et_4B_037490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAERTDDAASPAEDPRRVRNTCILAHVDHGKTTLADHLVASCGDGLLHPKLAGRLRFMDFLDEEQRRAITMKSAAVALRSRRGHRVSLIDSPGHIDFCSEVSSAARLSDSALILVDAVEGVHIQTHAALRQAFVERLRPCLVLNKLDRLITELNLTPAEAYAKLHRIVSDVNSIYSALRSQSYFSLLSALEDNPTSSSSSSTEDLPEDFEDDEDDEDAFQPLKGNVIFACALDGWGFGLQQFADLYAKKFNLNASAFLKGLWGPRYYDKETKRVVGKKAMKAADPQPMFVDFVLKALWKVYHVVLTEGGESKDVKAIIKNFNLEIPKRELQNKDPKVVLQSVMSRWLPLADAVMDMVVECTPDPIAAQAVRVARLMPEREVAQEDAECCPEVVVEAEKVRRCVEACDVSPEAPVVVYVSKMFAVPYKTLPLKGVNGELLNHNGAIESEECFMAFARVFSGVLRAGQKVFVLSPLYDPVKGDATEKHVQEVELQYLYEMLGQGLKPVASVGAGNVVAIQGLGQYILKSATLSSIKNCWPFSSMMFQVSPMLKVAIEPSNPSDLGALVKGLKLLNRADPFVEYNVSQRGEHVLAAAGEIHLERCIKDLEERFAKVKLVVSDPLVSFKETIEGEGASLIESLKAPQECVERTTPNGRCTVRVQVLRLPNALTKVLEESEQLLGQIIEGKTPKRDGVLDPWLSQDDGDSTATLRQRMINAIDSELEAISNQVDKEKLERYRKTWLGYLERIWSLGPRQVGPNLLLVPDVKSSDGVITTQDGRQGILVRGRSHVSEGLGFASESDAEAIILDNGESAEDAPDSLHLESMALRNSIVSGFQIATNAGPLCDEPMWGLAFVVEPYIFADSSDTANHSDQYNLFSGQVITAVKEACRAAVLQNKPRLVEAFYFCELTTPSEQVGSTYAVIRKRRARILKEEMQEGTSLFTVHAYLPVAESIGFSNHLRSITAGAVSALLVLSHWEAIPEDPFFVPKTQDEIEEFGDGSSIGPNLAKKLMNSVRRRKGLHVEEKVVEHGTKQRTLAKKDKNVVQMNGELTNEVLSFKLPMLLKMEAAYQVELSPNAHNQEARPRTALYTASGDQMIQSAGGTDIQEGVEDQAKGVRHLHQRGIQQQLPEARAPSRHQLPQQYRARAGACSVVVAPFEVMVGPPGPDEHIMLGAPSPMEESCGPCGTWHTTAEHGTKQRTLAKK >Et_8A_057435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:326129:329796:1 gene:Et_8A_057435 transcript:Et_8A_057435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGTASSPASAVDATSGVKVKVSDRYVEIKNGIFELTLSNPDGIVTGVRYNGVDNLMEILNKEDNRGYWDIVWNPPGERTGIFDVIKGTEFRIIYHDENQAEVSFTRTWNPSLEGKAVPLNIDKRFIVLRGSSGFYTYGIYEHKEGWPDFGLGETRVAFKLRKDKFHYMALADNRQRIMPMPDDRLPPRGQQLAYPEAVLLVDPINPDLRGEVDDKYQYSCEDQYNNVHGWISFDPPIGFWQITPSDEFRTGGPLKQNLTSHVGPTMLAMFLSAHYAGDDLSPKFTNGEYWKKVHGPVFMYLNSSWDGTDPTMLWEDAKVQMTIEKESWPYSFVLSEDFQKTEQRGCVSGRLLVRDRYIDDQELYASGAYVGLALPGEAGSWQRECKGYQFWCRADDDGSFYIRNIVTGNYNLYAWVPGFIGDYKLDATLTIASGDDIYLGDLVYEPPRDGPTMWEIGVPDRSAAEFYVPDPNPNLVNRLYINQPADRFRQYGLWDRYAELYPDSDLVYTVGQSNYSTDWFYAQVNRRTDQNTYQPTTWQIKFNLDSVTPNSTYKFRVALASSALAELQVFFNDQNRSVPHFGTGLIGRDNAIARHGIHGLYWLFNIDVDSAWLAQGMNTIYLKQARSQSPFQGLMYDYLRLEGPCGC >Et_7A_051101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14499150:14499969:1 gene:Et_7A_051101 transcript:Et_7A_051101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDDEGWVLHGPCPDAVNLVLVGKVGTGKSATANSILGCNAFKSDYSYASVTETCQMWSTTYRDGCADRTIKVIDTPGLYDMNMTEEDTCEEIAKCMDMSKDGIHAMLMVFSAASRFTREDADTIKSIKMFFGDKIIDHMILVFTHGDHVEEST >Et_2B_019417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28722534:28723130:1 gene:Et_2B_019417 transcript:Et_2B_019417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHDKAAPPPPQAFSSSCRGVDPALAVYNPRGGSREVEFSCSNTPSFTLIPTGGKRRRRNNNSRRTHRGANGDQPGWYNYDAADIARVFEILNNSEQILSSDDDAVSATPSPAFWASFGRTPAHVRQLRITDSPFSVRDDAAGDVQVDQEADEFIKKFYEQLRKQQSLAGATPDCGYARPVTGVA >Et_10B_004310.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:3525077:3525760:1 gene:Et_10B_004310 transcript:Et_10B_004310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAILDASATVTSSEEEVEDEGWAKRKRTRRRRNKRDAPPQLPREPTEEEYLALCLLTLRRDVPAPAPAGDLLHACSVCGKAFASYQALGGHKASHRNKPPSPEEESERKPAVAPAGGEATTTTSSGMAAAAHECHVCGKAFPTGQALGGHKRCHYDGTVGSAAAPPPANKQHHQKQGGRVATGFDLNLPALPDVVAAERCAAPDEDQEVLSPLAFKKPRLMIPA >Et_10A_000302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2232674:2233048:-1 gene:Et_10A_000302 transcript:Et_10A_000302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIRFSGNGQQIENTVQLRPMKYNSLEQCKTFQRPIYGEKVLTNV >Et_7A_052690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12778312:12780835:-1 gene:Et_7A_052690 transcript:Et_7A_052690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPAPSRTVICVGDVHAYLTKLESLWSNLQSALPADAFATALVIFLGDYCDRGPSTRGVLDFLLALPARHPAQRHVFLCGNHDFAFAAFVGALPSPPDGSPLSATWDEYLHNEEHEGWFRGPGHEGMHVQGRRWGGVIKERWNHKKGLPYKGSIYDAQPTFESYGVAHGSPDLAKAVPEEHKKFLYDLVWIHEEEGVPIDTDEGQTVCKLIAVHAGLERSMDLNEQLRLLRTRDSRVPKVQMLHGRQDVWDIPKESSLQIQLGPKLHWID >Et_4B_036567.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3725748:3725987:-1 gene:Et_4B_036567 transcript:Et_4B_036567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPCWCLLFTTRRGGGVGERKSILLFRNCQTFGHAEKKPSPFWTCLSSHLITDHLALFYSIVKVYLGTEAKRAKKKAK >Et_7B_054624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2968163:2972177:-1 gene:Et_7B_054624 transcript:Et_7B_054624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTEPLLAAGQANGGEEKRKKGGQRLGIDEALTAHAGEFGRWQLRHFVLVSAAWALEALHTMVIIFADREPAMACAAPGGACGDRCAAGAAGWEWVDGAASSTVAEWGLVCGERYKVGLVQAIFFAGCMIGQPIRIPSPLLRFNFPFAARAIEQFNVSTIVPFMTVCAVVAGAGVFGHLSDSFLGRKGSLQVVCVLNAAFGLLTSLAPNYWAYAALRLLTGFSTGSVGVLAFVLATEPIGPSRRGAVGMSTFYFFSGGIAALAGVAALFPHSWRLLYVVTSLPSIAFVAAVTPFVSESPRWYLVRRRVDDAMRVIRDIAVANGRHVPDDVTLKLDSEDEEEAGKNGGDESPAAASASGSIVDVFRSRTTRVRLVLSVFINLLTSVVYYGLTLNVVNLKTNLYVSVVVNSIAEMPAYLLTALLLDHLGRKPLAIGTMLLSGIFCTAGSLIPGAGVMRVVRMVCGMVGIFGVAATYNLLFIYTAELFPTSVRNAAMGCATQAAQMGAIVAPLVVVLGERVPFAVFGACGIIGGLLVVYLPETMNKPLYDTMAGMEEGEKSLLK >Et_1A_005412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10148668:10154636:1 gene:Et_1A_005412 transcript:Et_1A_005412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLVAPPPQSGGGLAQPPLRRHQWRRIAVELDGRIDARFQHLESRLLLDSFTEVGAFDHKYYTHSEDRCKTYVDRMINASSMHFHPVREGVSAMEFDRKGVYLASVTFSGCLTVHDFETLYCSIYGSSCSLPDDSSNYLLHIPNPTSLNTVRWNPGNQDEVGCTSSQSEKVFLFDIGYVSSVPTEILHKGKSKFPVLYSESRKSLTDLAFASDDKSRVFASGLDGAVYMWDRRLSKTHCLELAASSESQFNSVKLNTDNRTVFAASKNGTIYAWDLRRGRASAAFQSHNEVQPLLSVKISTLLEKIASLKEQANIVSSPILSIDFNPSCSYQLAFHLDDGWSGVLNVNNLIVSHLHCPPPAWLDSTDLALQKHLSKPTWLPTSSIYAVGSSSNDGIYLLDFHPDTSSACHVDYSEERRGSEDKQLAENKFIPLSQRVISCAAHPLNHTIIAGTQFSSLLMLSQKYQIVRNPE >Et_2B_022065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8629998:8631151:-1 gene:Et_2B_022065 transcript:Et_2B_022065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLSDEILAIFVPIIVYWVYSAMYMALGQSMDKYRLHSRSEEDNMNLVSKRDVVKRVLLQQLVQAAVAAVTAGESSSTAAAIVADERSSSSYLTVARQFAVAMVVLDGWQYGWHRYMHLNRFLYRHIHSWHHRLVVPYAFGSQYNHPVEGLLLDTIGGALAFVVSGMSPWASIFFFSLCTVKGVDDHCGLWLPGNVFHLCFWNNTAYHDVHHQLRGNRFNFSQPFFVTWDKVFGTHMPYVIQERPAGGLEARPQLTTATRAGHEVKTSK >Et_2A_016909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29315699:29318952:1 gene:Et_2A_016909 transcript:Et_2A_016909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMDRGKSVVAELAASLSDVRVTPRRKSASLLPAASFYSLSKKSKPRKLVSLCLGTLGQHLEDIIADISEFTYFFPPHIKLAILSIARRRRLLNDEVLTSLVESSWEILDISGSDVTNVGLATAANICSNLRAVDISRCENITTVGVSEIVSCCPSLEILRCGGCPRSEFTAQRCLNLLKPKLNIHEEDSWEELETVDFGGGAGSLRWLVWPRIDDNSKATLAEECPRITVNPQPSLFDLGGSRVPIEALANIPLDNSIVEDIDPKSWAVSAAPRRVADPPNPNAPPEIPIAERFRLAYVEREARLAPKRAKRERRQRRRAERDYMMNDIDARSIALAAQASRNLRKS >Et_3A_023538.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33428709:33428861:-1 gene:Et_3A_023538 transcript:Et_3A_023538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVFSCYKERPESNRSLTYTIGETYYTYCIVVYASSSLCQGDGCSRMYLYG >Et_10A_001556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:579973:584598:1 gene:Et_10A_001556 transcript:Et_10A_001556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAARRLPIAGARHRCFSTDAAASPSSQLPRGKRWDAVVIGGGHNGLVAAAYLARAGRSVAVLERRGVLGGAAVSESDLVPGFRFSRCSYLLSLLRPTILRELELERHGLKLLPRSPSSFTPCLDGRYLLLGPDAEMNFSEISKFSKKDAMAYPRYEEQLEKFCKLMDFVIDSAPPELRQEYHTSMVDRMKDRVDKSAFWGSLLRHVMQQGQKNMVEFFDLLLSPASKILNNWFESDVLKATLATDAVIGTMAGVRTPGSGYVLLHHVMGETGGQRGVWAYVQGGMGSVSSSISKAALEAGAHIVTNAEVSHVMVNQTTRRVEGVALADGTEVHSPVVLSNATPYKTFVDLVPADVLPDGFLSAIKTADYSSATTKINVAVDRLPQFQCCKGTNPEGGPEHMGTIHIGSESMEEIDVAFREAASGISSKRPVIEMTIPSVLDKTISPPGQHVINLFIQYTPFKLSEGSWQDSNVRKSFAERCFSLIDEYAPGFSSSVVGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPAKGWSDYRTPVKGLYLCGSGAHPGGGVMGAPGRNAVSIVLEDLRAK >Et_7A_053028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5187400:5189546:-1 gene:Et_7A_053028 transcript:Et_7A_053028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSLPAPSAPTAAAAAAQKAPPPLPADDDAFRLLLLSRPSTTLSAQKAPPLPAAMLLVKSSEFKFQKHQLEERRLQWKQEEKIMFCDVSKMDEHRKAYVMARRVEIARMPALRASLGESGSVSESGEWSKN >Et_8A_057723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6402491:6403783:-1 gene:Et_8A_057723 transcript:Et_8A_057723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GPIAKMAENDTVQLHRAIFAQYIMMKKLFMELEEEREASATAASAALSLIRKLQKEKDAERMEAWQYKRIAEEKMNHTDGALEVLKEVVEQKESEISYLKNQLQMYKHKLLDAGINVCDIADETTANNIPLSESNKVENPCHSIKRNFSLPSLQLKKLFSDTGINKNDGGAQSARSRLDGYTHNVIDNQWEQDPMKSFSKDINYCEKNREELNSPSSGVLQHSQPLDESSCCSSFSAAIQDVVDTVHSDQQKHFCLGSELDETAVHPTSDVCALQIPDRSKTETGPSCTENDIMTEESEMPITVAPKERGSHALSRFAATRKVGSMNNVDRHVRINTGNSTPRAVVQRNKSRLKRVQSEKMIELKDPRASKEQIIMLNEVYQQLNMIESHMRPSGSQESPRNDASLDSVMEVLVFF >Et_4B_037144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15297325:15305104:-1 gene:Et_4B_037144 transcript:Et_4B_037144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFVAPANKEFQSDIEKMQGALWLHTYTCTSPACVHAVESSDDGSHPSHRSVTSPTGADSSKKARNKVTKILLHLKPISQAFRSLSREAAKNDAADHDKDGGREISDSETFVSANASELRSFSTDDDSELPSSFRLSPLIFPTGSICRPPPPASPVKIIRKLPFGYVIGRQEDAPSLPTTSSSTTLARKIKKAMPLMPVLYLKSRSQMVKKKVVRAVKRTFRRGRAGGEEQDGGGRGDDEDVFWKKDVRGLRCRPVEEDGTLFTEGADACHYV >Et_4B_038668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3782507:3788818:-1 gene:Et_4B_038668 transcript:Et_4B_038668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPPPRPARSSRRAGPPAWILLNNDAFIDDTQNASTATACTSTGQPIRVTLAAAEPPRDSYFCVHYPILKKKRKHGDTSEGDLLLSGCVVYSEGDLALLHVPLPRGPTDYFVYKAGRRPSLRLLPAICSSEVDIYTAGLVPIGGDGEHFVVAAVSFNRMEEVYELHVFRSDHGAWTTTTLVFGIRYLSLEFTKAIALGGGELGWVDPHKCILVCNVLDSDGPKARLIPLPKWLPSNQKDEQRLHDHPREYHDVVAGADGSITCVEIEHCYRRLEPRDVSAADELHDSELPLDDYAEPDRRSLTVVHETTQVPSLNVHGGDVVYVMAKAN >Et_6A_048129.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7203371:7204501:1 gene:Et_6A_048129 transcript:Et_6A_048129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDPHVELIPGLPDDVAVDCLARVPHASHRALRRVCRGWRRAAAAPSFTSARAAAGAAEDLVYLLQFGNPSAAAAADDGPKEDAPANAPAYGVAVYNVTTGEWRRERDGAPPVPMFAQCAAVGTRLAVLGGWDPRTFEPVSDVHVLDASTGEWRRGAPMSSARSFFACAEAGGKIYVAGGHDKHKNALRSAEAYDPLAVSSASGDGSLVGAWDPLPDMSAERDECDGMATVAGDRFLAVSGYRTARQGGFERDAEWFDPAAREWRRLERVRAPPSAAHVVVKGRVWCIEGNAVMEWMGARRGWREVGPFPPGLKAGTARAVCVGGGEKVVVTGAIDGEGGRHAVWVFDVKNKSWTVVKPPPEFAGFVFSLASLRI >Et_2A_018296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21757694:21762013:-1 gene:Et_2A_018296 transcript:Et_2A_018296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPFLAALLLVAAAAATPAAALYSAGSPVLQLNPNNFKSKVLEANGVVLVEFFAPWCGHCQQLTPVWEKAAGVLKGVARVAALDADAHKALAQEYGIRGFPTIKVFVPGKPPVDYQGARDVKPIVEFALQQVKSLLKDRLNGKASGGSSSKSSGGSSEKSEPSASIELNSRNFDELVIKSKDLWIVEFFAPWCGHCKKLAPEWKRAAKNLKGQVKLGHVDCDAEKSLMSKYKVEGFPTILVFGADKESPFPYQGARVASAIESFALEQLEANSAPPEVIELTGSDVMEEKCASAAICFVSFLPDILDSKAEGRNKYLELLLSVAEKFKKSPYRQVFVWAAAGKQAELEKQVGVGGYGYPAMVALNVKKGAYAPLRSAFQRDEIIEFVKEAGRGGKGNLPLNGAPTVVQTEPWDGKDGEVIEEDEFSLDELMGDSSPVNDEL >Et_3A_024814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24466686:24490541:-1 gene:Et_3A_024814 transcript:Et_3A_024814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMCRTTGQRYNLLESARHIAFAHSWMDLCLLYITSEQNASMSNIVRGSHVWVEDKDLAWVDGEVFQIDGQNAHIRTTKGKTVVTNVSDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYAKNTIYTYTGNILIAINPFQRLPNLVDVRTMEKYKGASLGDLDPHVFAIADVSYRQMINEGKSNSILVSGESGAGKTETTKLLMRYLAFLGGRSGTGARTVEQQVLESNPVLEAFGNAKTHLKKYKLGDPSSFHYLNQSACIKVDGINDAEEYLATRNAMETVGITEQEQEAIFRVVAAVLHLGNINFAKGREADSSVIKDDKSRFHLNTAAELLMCDCQKLENALIKREINTPEGVITTTVGPHSATISRDGLAKQIYSRLFDWLVNRINASIGQDPTSNQLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVTYQSDQFLDKNKDYVVAEHQELLNASKCSFVSGLFPPVTEENTKSSKSSIAARFKLQLHELMETLSSTEPHYIRCIKPNSVLKPAIFENSNVLQQLRCSGVLEAIRISCAGYPTRKQFQDFLHRFRVLAPEILKEKNDEKVACQKILDKIGLQGYQIGRTKVFLRAGQMAELDARRTEVRNNAARGVQSQFRTHVARDQFLILRNASICLQSFVRARLACKRHEFLKQQEAALRIQKNTRWFFAWKTYCQLRSSAITLQTGLRAMAARNEFNFRKRNKASIHIQSRWRCHRDYSNYMKLKSAALTYQCAWRRRVARKELRNLRMAARDTQALKVAKEKLEERVEELMSRLSLEKKLKADLEKSKAEEVSKLKAALHEMEQRIEEVTAMQERESAKKAVEEALAQERERISLLTTEIEGLKALLVAGKEENDLTKKSHANALEQNEELNKKVKDADEKIKQFSDIIQRLEGTVREGEALLLIEKQQSEAASAALAESQVRNEALVSKLEDTVKQNDMLCETQQHEASVIELAEAREKIVELKREVGDTDEKSTLLETTIQRLEERLLEKDALFSTEKQESEATKMLLSEYHDKNQDLIKKIEDAKKEIAHFQDTIQRHEESIIVLETSLRTERQQNDAIMKQLADSQGVIGELQRKLDDADDRNSLLEDSLQRLEEDAMEREVLLVAEKQENKVTKRTLTEALDQIEGLVKEVECANQRVHQLQDSIQRLEQSAVAREAMLLTELQGKDATSKALADSQGRIEGLVKEIHSANSKIDQLQKTVERLEEGATTTDALYLAERQGHDQTKKALADAQEINKELIMKIEESDKNRDQLLENLERLQKEATTRESSLLMAKQSYDDLIKVLAEAQERNQDLMNKVEDSDRKIVLLEASVKRLEESTADKDSLLAIERQESGETKKELTGAQKKIVELLNEVQDTRANIAELEDSIRRLEQDATAKEGLLLTEKQAHETTQKTLAEAQERNEELLKKIHEDDKIILQLKLEENTAANENMLLREREQNDTTTKAHIESQERYEELLKKFVDVDRKIDLLQGTIERLGENTTTKDSLLLTERHEKDAIKKALTEAEEKNEELLMKVEDANEKIGHLENTINKLEENIAAKDVSLEAALQENDTTKKLLTEAQEKNDELLKKISDSEYRIHLLQDTAQKLQVDAISRLSSFVMEKQESDAAKRAVTEAHERNEDLLRRNEDLLRRNDDLIKKIEDSGKFVSQLQEALQRLEGKAANLEAENQVLRQQSSATPPSTAKSSASRSKITRIHRNPDNGHSLNGDIKQTEMKPSTGTSEAIPSAGNAPDLGNQKDFEHGEKLQRVFNQKFQPSQHQQPQDDQQWLLTCISQYLGFSGSKPVAALLMYQCLLHWRSFEAMKTGVFDNVLQTINSAIEAQNDTRTLAYWLSNLSTLTVLLQRSFKTTRTAISTPQRRRFSSERIFHANQTSNAGLAYLTGQAAVGSSGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSGLGKGHLNGMGQNNQLAHWLGIVKILTSYLDVLRANHVPSILVNKLFTQIFSLIDVQLFNRLLLRRECCSFSNGEYVRAGLAELKHWSDNATREFAGSAWEALRHIRQAVDFLVISLKPMRTLREIRTDVCPALSIQQLERIVSMYWDDVNGTNTISAEFTSSLKSAAREESNTVTTFSILLDDDSSIPFSLDDITKTLPAIEVADDDLLPFVRENPHFAFLLQRGENNILCPNEMK >Et_6A_046163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5974334:5975224:-1 gene:Et_6A_046163 transcript:Et_6A_046163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASDRAEVDTARPFRSVREAVAVFGDRILVGESHSRPNANANSSASAMATTAAKHEASSSSSTITLSPNPMAESETEAMPAVGPMYSAPSSPPSLASSPSPINTRGGDDDRNCAAATPAGLTVVRSSIEKLEAEVAETRQEVAQLRKRSSEMEMAVASLNAQLHRGLSKLAEMEADTEAAAARRSIGGDTDVASTVVRSERYWGAGGDKLGSSEYYLPSFSHALSLGELDDDDDELIGGGGRRRKVQKVKPIVPLIGDILFSKRKSTKEKGDGLHSGDLYSVIS >Et_9B_063771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13415805:13417613:-1 gene:Et_9B_063771 transcript:Et_9B_063771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCGSSSSSASPTSPAEGLLKSVSFTASSSSILAVVGPSGAGKSTLLRILSGRGAGSEIAKPGTVALNGRAVTSRSQLRRLCGFVTQDDNLLPLLTVRETILFAARFRLRGAATDAERRDRVDALMQELRLSEVADRSSRRGVSGGERKRVSIAVDMVHDPPVLLLDEPTSGLDSRSAADVLSLLRDVARARRQVVVLSIHQPSYRMLAPGGYISSLLLLSRGAVAHAGTLASLEDALARLGHGNVPAQLNPLELAMEVTAQLQADHARFSSANHDDDDDEAHLAHHAAHGSYHCGRATEVAALTVRCWRTMHRTRELFAARAAQAVVAGLGLGSVYFRISPDSPDGVALRLGLFAFTLSFLLSSTVESLPILLHERRVLMREASRRAYRLSSYVAANALVFAPCLLAVALLFSAPLYWLAGLRAAPVSAFAFFVLAVWLIVLMASSLVLFLSAVAPDFVLGNALICVFLGVFFLFSGYFIPRASIPRYWAFMYYVSMYRYPLDLLLINEYGGSARDRCVAWVAGGGVCLRTGADVLRDRGIDEGMKWVNVGVMIGFFLLYRVMCWAVLVRRAKRTTL >Et_6A_047075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24946949:24955070:-1 gene:Et_6A_047075 transcript:Et_6A_047075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGGRSREERWSLAGATALVTGGSKGIGHAIVEELAGFGARVHTCARNAAELEACRRRWAEKGFIVTVSVCDVAMRADRENLMDTVKATFGGKLDILVNNAGQSMFKAALECTGEDYARIMATNLESCFHLTQLAHPLLAGATVAGGGSVVQISSIAGFIGLPALSVYSMTKGAMNQLTRSLATEWARDGIRVNCVAPGGVKTDISADLTDGCIEQKAIDPELVKKEMARLPMGRIAEPEEVASMVAFLCMPAASYMTGQRWTLAGATALVTGGSKGIGRSIVEELAGFGARVHTCARNAAELEACRRQWAEQGMQVTVSVCDVSVRAEREALMATVKATFNGKLDILVNNAGQLLVKPAAECSSEDYASVMTTNVESCFHLSQLAHPLLAGATVAGGGSVVHVSSIAGVVGLPGLAVYSASKGALNQLTRSLAAEWAAEGIRVNCVAPGGVNTGITHATINPELVKKEMLRRPMGRKGEPEEVASMVTFLCMPAASYVTGQVISVDGGRSITYIRTYTGAKKDTRSYIMAAGAGKTSREERWSLSGATALVTGGSKGIGHAVVEELAGFGARVHTCARNAAELEACRRRWAEKGLVAVTVSVCDVAVPADREALVAAVKANFDGKLDILVNNAAQLFFGAAAECSGEDYARVMATNLESCFHLSQLAHPLLRNAGGASVVNVSSIASYIAFPGLALYCTSKGAMNQLTRSLAAEWAQDKIRVNCVAPGVITTDMTKNVPPEAMERELTRIPLRRCGEPAEVASVVSFLCMPASSYVTGQDITIDGGRTISG >Et_3B_029558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2599491:2603132:-1 gene:Et_3B_029558 transcript:Et_3B_029558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPAGDTPDYPTIDPTSFDVVICGTGLPESVLAAACAAAGKTVLHVDPNPFYGSLYSSVPLPSLPSFLSPDLSIPLPQPSSSDGSHTVVDLQRRSIYSDVATSGAAPEPSRRFTVDLVGPRVLYCADEAVDLLLRSGGSHHVEFKSVEGGSLLYWEGQLYPVPDSRQAIFKDASLKLKEKNVLFRFFKLVQAHIAAVSAPGNEAEEGKIPEEDLDLPFIEYLKKQQLSPKMIAVVLYAIAMADCDQDSADSCEKLLTTREGIQTIALYSSSIGRFANAEGAFIYPMYGHGELPQAFCRCAAVKGALYVLRMPVSALLMDEEKKHCVGARLASGQDILCQQLILDPSYKMPTLDVPSDGSDSNLLRKVARGICIISKSVKPDSSNLLIVFPPKALEEKQIAAIRVLQLSSNLAVCPPGTFMAYLSTPCADASSGKQCIRKAIDALFSPQASDSLEGHQETTSENNEDLKPTLNWSCVYIQEITEGTSSSLLSCFTPDENLDYRNILESTKKFFANICPNEEFLPKKSAPVYADDDSDSAEQQRGRNVNEGVLHVTVCLVVQSAKEHTK >Et_5B_045005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8247021:8257804:-1 gene:Et_5B_045005 transcript:Et_5B_045005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSKLKSVDFYRCPPRCTQSSALFSVGVLTRCGKIPRDLTEASLSGAGLSIIAALAMMFLFGMELSSYLAVNTTTTVIVDRSPDGEFLRIDFNMSFPALSCEFASVDVSDVLGTNRLNITKTVRKFSIDRNLVPTGSEFHSGPIPTVSKHGDDVEEDHVDGSVALSSRNFDSYSHQYPVLVVNFYAPWCYWSNRLKPSWEKTAKIISARYDPEMDGRILLGKVDCTEEVDLCRRHHIQGYPSIRVFRKGSDIKENQGHHDHESYYGERDTESLVAAMETYVANIAKEAHVLALEDKSNKTADHTKRPAPMAGGCRIEGFVRVKRVPGSVVISARSGSHSFDPSQINVSHYVTQFSFGQKLSMKMLYEFKRLAPYIGGHHDRLAGQSYIVKHGDVKANVTIEHYLQVVKTELVSLRSSKEMKLLEEYEYTAHSSLVHSFYVPVVKFHYEPSPMQVLVTEVPKSFSHFITNVCAIIGGVFTVAGILDSIFHNTLRLVKKVELGKNI >Et_1A_005533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11137847:11148696:-1 gene:Et_1A_005533 transcript:Et_1A_005533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSNQTLSRASLYLPRSRHKLPHGGRARRQARQGRSRRRSAAGEDDYVPGNIVEIELCNFMTYDRLVCHPGPRLNLVIGPNGSGKSSLVCAIALGLAVDTNVSLLYPNLLHRFAISLSAKVLGRASSVGAFVKREEVSGHVKISLRGDTPEDKICISRKIDTQNKSEWLLNGTHSLTQAPSLFVSWQMHDISCNHLSYSETVLKKEVIDVIKKFNIQVNNLTQFLPQDRVSEFAKLSSIQLLEETEKAVGDPDLPIQHRQLVDTRKEQKALEVALKQMEQTLNNLKALNAEQEKDVERVQLRNELLRKAELMKKKLPWRKYDMLNKEFVEVIQKQEETAKKKMEEASKILEDSKKPIEYVPWFQKSLTIRFFHMVPLYKFWKFVALHGLVFVIKIDLKKVKETHASSINKISSQITQNTGSRRGAADDEQHLDALLKRTFDDTEDLKKQEKSREHRILKAKKDLAAAEKELEDLPAYEPRGAELAQLTNQVAQVCFDIKGLKEDRRAKNSELARAKESMDQCSNRLKDMESKSSKLLQALRHNAHCEKIVDAYRWVQDNRKHFRKEVYGPVNVQDRDLATYLENHVSQYVWKSFITQDASDCDKLVSKMKHYDIPMQQLGIFSRLDQVFEAPPVYIGTEETHRRAYDVPIKLDIFDFWTPANHYRWSKSLYGSHMPASVQPVYPSRLFKCNVDVSDIERLRAEIENHEISSQGMQEELKTLERKQRQLEDEEARIHKIKILKEESWSTCTKRKMWNPAKKKHVDDVTKLNDQRFKAVMRFKNLLMEAVALKWSYAEKKMAYIELDTKVWEMERGLKKLVKDAHLAVAEYERCKRVTQERKRRLSIAKQHAESIAMITKELAKEFRALPTTIEELEAAIQDIESEANSMLFLNQNVLQEFQTRQQEIETISNKLQDDKEAYKRCCSKIETVKANKSVAGAKCSSPIWRGMDPINERKMFQQLVRAASKLNTPQCFLLTPKLLPDLEYSDACSILNIMNGPWIEKPAKAWSAGDCWRTVMSLGGHS >Et_3A_024767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23996610:24005111:1 gene:Et_3A_024767 transcript:Et_3A_024767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRESKPAAMEVERVEAASSKPRFRMPVDSDNKATEFWLFSLARPHMSAFHMSWFSFFCCFLSTFAAPPLLPLIRDTLGLTATDIGNAGIASVSGAVFARLAMGTACDLVGPRLASASIILLTTPAVYCSAVIDSATSYLLVRFFTGFSLASFVSTQFWMSSMFSPPKVGLANGVAGGWGNLGGGAVQLLMPLVYEAILKIGSTPFTAWRVAFFIPGVLQTFSAIAVLAFGQDMPGGNYRKLHKSGEMHKDSFGNVFRHAVTNYRAWILALTYGYCFGVELAVDNIAAQYFFDRFGVDLKTAGFIAASFGMANIISRPGGGLLSDWLSHRFGMRGRLWGLWVVQTIGGVLCVVLGVVDYSFGASVAVMIFFSFFVQAACGLTFGVVPFVSRRSLGLVSGMTGGGGNVGAVLTQLIFFHGSKYKTETGIMYMGIMIIACTLPITLIYFPQWGGMFMGPRPGATAEDYYSREWTAEERERGFNNASVRFAENSVREGGRSSGSQSKHTVPVESSSPKSQMAEEQFNKPAAMEVEGAEAASSKPRFRMPVDSDNKATEFWLFSLAHALMAWFSFFCCFVSTFAAPPLLPLIRDTLGLTATDIGNAGVVSVAGAVFARLAMGTACDLVGPRLASASIILITTPAVYCSAVMNSAAAFMLCRFCTGIALASFVSTQFWMSSMFSSNKVGLANGYAGGWGNLGGGAVQLLMPLVYEAILRVGATPFAAWRVAFFIPGLMQALSAMAVLAFGQDMPGGNYHKLHKSGEMHRASATTVLRYGLANYRGWILALTYGYCFGVELTVNNIVAQYFFDRFGVSLHTAGVVAACFGLVNLFSRPIGGFISDGLSVRYGMRGRLWGLWAVQTFEGALCIVLGLVDGSFGASVGVMILFSLFVQAAEGLVFGVVPFVSRRSLGVVNGMTGAGGSACAVLTQYIFFSGSKYPTEKGILYMGIMIIACTLPVALIYFPQWGGMFKGPRPGATAEYYSQEWTAEEREKGLNNASVRFAENCGQEAGRRAVARHTVPVESSPIHA >Et_9A_061203.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21982308:21982841:-1 gene:Et_9A_061203 transcript:Et_9A_061203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGREAAADAQCRGGGGRGGAVVAAAAARRGRCFFDNDDEPPTTTERRRCRSCAAVAIADCVALGCCPCAVVSLLGLALVKAPLVVGRRCVGRLRQRRRLLLHNKRVRDVAASIHGEKGGVKAEAVAPGAGNAPPGSAVASAPGAGTEAELAWLEEMYRVGHWGFGRVSFSGKTTP >Et_10A_002048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20441085:20445093:1 gene:Et_10A_002048 transcript:Et_10A_002048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAKSCNGISLEEERLASMGWKNDSTLPLDHSKLRIPLMTNNVGSLRSTEVQTMEGVVHKAKKVDGSGTSGKTSRSKGRPSSRQAIRNNDTKKVIGRGVQCQDDPSSKMIKSHRRYIEIDEDESGDIGDISDESIESNIVYDLNVGGAEDHGNGVPQLDNLGMMKRQRECIETNQDVAPDDVDQNLVHAQEDKEEGMRTGTLVENDWGDKSLMGANDNKLDDIKSKGRTKSMQEETYVTQGDDASETVVQLKKLTHGPMKKRRQYIVTSDDDEVEIDGGNHNYVDVEDKARVESKAEVVKTMDCPTEVRMPFISEFVEQQCYCCSKPIDEPIWRGTLKIDGKEYTLDAHLSTKSGEKVWKLSRSLQTSIEVMKLSGLTRKHYLWGLFKPRERQIAVAADPLNGTVWRAQEEERGKEHVSKQHNNVDTVESYQELILMKRAMPLVNRGSEYNARAGTDNSVYYKSPAEGTQQVSARGDPHKDFPLKKQTS >Et_10A_000469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10855636:10859956:-1 gene:Et_10A_000469 transcript:Et_10A_000469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGMLGGAFWATRALEVVKRNDGPGLLWKRIKLTTTRKNNAKKRLKRLWQVRLPSSPLPFRHGFPYHYMAFSRDSLRSVRRCVSSKWSCSIDASSIFDGDAMGLVSSVMSACGIVVRIWGAVSSVTLKNNAKKRLKRLWQVRLPSSPLPFRYPQLLLWREFMAFYRGSRTLGAGYRFHAGNEAVIRACGQQESAPTSDTTSAAGKQ >Et_2B_022793.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5219693:5221489:-1 gene:Et_2B_022793 transcript:Et_2B_022793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPSSAAAAAAAAQQLESLLPRLTTLSHYKQFHARLLTSDHLHCNPTLRARFLDRLALSTHPAALPHALLLLRSLPFPATNDLNAALRGLAASPHPARSLLLLAGRLLQPPAPPRPRLDALSLSFALKATARCSDADATVQLHAIVFRLGVVADVRLMTTLVDSYAKCGDLASARKVFDEMTIRDVASWNALLAGLAQGTEPNLALALFHRLARSFRELPPREQPNELTIVAALSACAQLGTLQDGMAVHEFARTLGIDHNVRVRNTLIDMYSKCGSMARALEVFHSIKLEDRTLVSYNAAIQAFSMHGHGADALKLFDEMPTWIEPDEVTYIAVLCGCNHAGLVDAGRRVFDSMRIPPNIKHYGTIVDLLGRSGRLAEAYDTIMSMPFPADIVLWQTLLGAAKMHGNVELAELSATKLAELGSNVDGDYVLLSNVYASKARWSDVGRVRDTMRSKDVRKVPGFSYIEINGVMHKFINGDKEHQRWREIYRALDDIRSRIGELGYEPETDNVLHDIGEEEKQYALCYHSEKLAIAFGLISTPPGETLRVIKNLRICGDCHVVAKLISKAYGRVIIIRDRARFHQFEDGQCSCRDYW >Et_9A_061974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18481844:18482898:-1 gene:Et_9A_061974 transcript:Et_9A_061974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEEAKSAPASASALARRRRPRVEMAVAAEGFRPAPASDQGGARGGKRRRVACAGGPRAGSRRWPRYGVTAVCGLRPEMEDAVSVRPDFLPGDGNKPHHFFGVFDGHGCSHVARMCQDRMHELVADEDSKAAAAEAEPAWKEAMEKGFARMDDEAANWAATRHGGGDPACRCEMKTPPARCDLVGSTAVVAVVSSTHVVVANAGDSRAVLSRGGVPVPLSVDHKPDRPDELERIKAAGGRVIYWDGARVLGVLAMSRAIGERRAPQFKIQAY >Et_3A_024068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1764943:1778702:1 gene:Et_3A_024068 transcript:Et_3A_024068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMARAQLALLAVFLTASSCAARDFIVGERDGWTTNPGEPHNHWAERNRFEVNDTLVFRYNKGADAVLRVSQSHYDACNTTEPWIRLAGGDDRFTFDVSGPFFFISGDSGRCLAGERLIVVVLAVLPHPAPPKPASPPPPVPTPAQSSLPPPAPPPPPYPSSAGNASSPSQAPLTTAAMPGTQKGTGPPSTSSSAVAGRAGVLACLLIGMASPLGVSLLLVLLTGSICAGRDFIVGEGPSGWATNPAEPRDHFQINDTLGECVVNRHTCIYCPGRSLALHTFNSFPCADFKYDKDLDAVLSVNKSQYEACNTTGPLFRCDAGECHFALDSSGHHYFIGADATRCQAGERLKVFVRAAHDNDTTPAPPPKPASSSSAPPIMPASPSPPALMTAPVPRTKNVTSPLSTSSSAVALRAGVLACLGMASPVGVITFSLLLVLLTASSCAGLDFVVVLGRDGHWTTNPAEPRDHFQINDTLVFKYDKDVDAVLSVDKSHHDACNTTEPFLRLEGGESSVVLESSGYYYFISADAERCQAGERLSVVVNDNTPSAPPPKSAPHPAPAPPKPASTTPSSPPPVPSPAAQSVLPPSSPPYPSSAGNASSPSPAPLTTAPVPAGTKNGTGPSSTSASAVALRAGSLLLVLLVASSAAGPESHDFIVAGFGDGWIAYPNEPQDLFQVNDTLLFRYDKGVDAVLLVSQSHYGACNTSEPWFRLDGGNSRFVLNIPGSTYYFISANAKRCRDDGERLIVNGMASPLGEITLSLLLVLLTASGCAGRKFLVASGRDGWTVPDEPLEHFQIKDTLGE >Et_4B_038366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28463213:28467153:-1 gene:Et_4B_038366 transcript:Et_4B_038366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSTATVASSSYHCDLLLFPTSRRSWRGPRSRGAAGAGARLAVLERASTAGAGARLAVLERAGAAAAAARREELVPAAAAGGRNSYEVESLIERLGNLPPRGSIARCLETARHRLTLQDFAAVYREFSRRGDWQRSLRLFKYMQRQSWCRPDEHIHAIVIGVLGRQGPALLDKCLEVFEDLPAESRTALSYTSLIAAYARNALHEEARALLDQMKSAGVAPTAATYNTVLAACARATDPPVPFDMLLGLFAEMRHDVSPSVRPDLTTYNTLLAAAAVRSLADQSEMLLRTMLEAGVSPDTVSYRHIVDAFAGAGNLSRVAELFSEMAATGHTPDASAYLGLMEAHTRVGDTAEAVAVLRQMQADGCAPTAATYRVLLDLYGRQGRFDGVRELFREMRTSVPPDTATYNVLFRVFGDGGFFKEVVELFHDMLGSGIEPDMETCEGVMAACGRGGLHEDARGVLEYMTREGMVPTAEAYTGLVEALGHAAMYEEAYVAFNMMTEIGSLPTLETYNVLANAYAKGGLFQEVEAIFSRMSNNAGIQKNKDSYDALIEAYCQGAQLDDAVKAYMEMRKSRFNPDERSLEGVLNAYCIAGVIDESKEQFEELQSTVTEPSIIAYCMMLSLYARNDRWTDAYGLLEEMKSNRSSSTLQVIASLIKGEYDDSSNWQMVEYALESSALEGCDYSLRFFNALLDVLWWFGQKARAARVLDEAVKCGLFPELFRDTKLVWSVDVHRMSVGGALVAVSVWLNKLYDRLQGDEELPQLASVVVLRGQMEKSTITRGLPIAKVVYSYLNDTLSASFHYPKWNKGRIVCLKSQLKKLQLTIDSSNGSAMAGFVPMTNSDLPSPGSIIYTREAQADNGTGHLPDEPLVEEKESELLPL >Et_8B_059141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14298794:14300558:1 gene:Et_8B_059141 transcript:Et_8B_059141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLISGDRDRSRPRPEWGSSRGRVLSTGRRKGRLCFAAANPTMEAATKRARSGGGSAARDRLSTLPDDQLLCVLFFLPTLQVVRTTVLSKRWRSVPAINLHISDFGWKKMDFTTDLLRLHNAECLDVFKLAIVLIRDDTRQDADRWIRSGIEYNPVVLDVTVPNSRSSYQSHSPRLGSSLCRLKKLALTGMHLDHSFAKQISSGCPVLEDLVLRECRNEFPAIQSDTLKNLVVRCCTSKVADVLLIRAPALSSMRLDFPYYNYENVASLEAGHSLVRASVSLTGHNISQRGETGMLRSLFNVTSLELYGFSTEAVLNLGNELDELPIFYNLRTLSLNYCFYSECDLHKFKALGRFLHQVSYSGEAYFEKFLGSMRAQQMLGKPRVKT >Et_6B_049603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7024846:7032754:-1 gene:Et_6B_049603 transcript:Et_6B_049603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDLATSTAPPRPPSPGVGAVPLASAIADLLRFVLSSRVAAPDDPTFPLSPSYCSRLLHDDGDLFGKLAAGLTQCIEEGRMPSPPAAVGVPVVEEVGSEQREKEWEGVLLEKGAELKRMYDAVDFELHVQEPYFTQLRAEAKTVEGRLATGNYNRITQGSLLLFNKCLLLNVEALRKYSSFSEMLQAEIIANVLPGISSIEEGVQVYRKFYTEEKENVHGVLAISVLKPPAQPYITMTDILGGLGYDGLGRLLGMGKTAGTIPDGLPPPRSTLISSCMKVHQPHVKGCSLTDVARALAKHVHRSTEGWWGRYHGSDSSKNHLASEAIDYLLRECCWMNVHLTQPFGPVFEIRMHEGYGARWCEDGSKVQLCVSCFLRSVFWIHVSKRDGNELDEPKLGAKYL >Et_1A_004820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10309106:10309795:1 gene:Et_1A_004820 transcript:Et_1A_004820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FQKEIIIRLSVKSEKCQAKAIKVAAAVSGVESVTLAGGDKSLLLVIGDGVDSNKLVKKLKKKVGGAEIVELRTVDSFEAALPLGAGGRASKEMAAVYSYAAAPSPYAYHYYPSPVGGYGYGYGDGVSSYSLAVARNHPANYSPLVERHDYQPMDGHRSSVSSKKKTLAAVPRRESDTNRCTIL >Et_5B_045436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22336820:22339539:1 gene:Et_5B_045436 transcript:Et_5B_045436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVDCESNCAPPLCYLPCLPKSKEDAGSDPVPQPPSPAAVAEEKPPLVQKIEAVAAGVDDEEGCKEVPVAPKSSLKRSDCVDSRNVVKGHVKWMDLLGKDLTQVKEFEPSYYSTIDCVWADTFSGRWAGLYLTH >Et_2A_018780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7369814:7371986:-1 gene:Et_2A_018780 transcript:Et_2A_018780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSENRCSLVARARALVFSCGPARPALANALLLPCAAVVSCRAEHGAGGGYHHHVGDGAIPPDLPRSPNPSSKSSSNLTAATFAHPLAAAYGRVAASLGMAAPGMATTTEVGRFCQPWPQFENWGDSGIVVTSPLAETTSTDVDNSGDKQHTAQMGGGGAQRVDPSVVSKERQGDHKIQRRLAQNREAARKSRIRKKAYIEQLELSRAKLAQLEEELRRARQQGMFIASGCSGGEHGHSTSAFDAEYARWLDEHQRHINDLRAALSAASGDGAEQLRAAVDAVMSHHEHLGYRLKSAATRADVFHVLSGMWLSPAERLFMWVGGHRPSEVLKAVAAASGGGTLELTERQLASVCGLQQSSMEAEDALQQGMDALQRGLAEMLANAATAGAGAGADSVTNYVGQMAMAMAKLGTLEHFVRQGDLLRQQTLQEMRRTLNTRQTARALLIVNDYFSRLRALSSLWLARPRDV >Et_7A_052449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8103869:8105160:-1 gene:Et_7A_052449 transcript:Et_7A_052449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMNCNGFLPEETADEAAATANAAQVERDGFSVEDLLDLEEFGEADKDDPAELEDAPPPPAAPAAEEAVKEEKSSDDSQPLSIVTYELPPPPPEMVDLPAHDVEEELEWVSRIMDDSLSELPPQPHAAVMAPRPPLAQQLQQQQRRPYDGAYRALPLPPPPKRTPTICALSTEAMVPVKAKRSKRSRAAAWSLSGGAPLFSDSTSSSSTATTSSSSSSSTSLSPFFLLDAPAPFGGGPDFEGGYTHFLPPPPSSKKSKHGKNSKNGSGKPKKRGRKPKHQPHLLTGAAAASAAASQAVQGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSSIHSNSHRKVLEMRRKKEGGLLGTAAPAVASF >Et_9B_064972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20034403:20039471:-1 gene:Et_9B_064972 transcript:Et_9B_064972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAATAALAPGELDAMLRAAADFASYPGNASSIPPSRMHGEEGVKQFLEQFPLPKLIGALQSESDVPEVEETVTECLDKVFSSRYGASLLPGYGAFIQAGLLTYSKNIKKLACKAVFNLLNKAEDSSAAVETVVQHNLYPLLVDCLIEGDEETSAIVLDAIKRLAEIPKGSETIFPSDGQRPVQFDKVAAQSSSMARIRILSLIAKLFTVSSYTATAIRDSNLLSLFEDEIRDRKDMLKTLSALEVLYELVEHPHSNIFLLKTNLLQLIIDVINDSSADSIIRSRAALISGRLLSSADAFTVIDHSCVTNLLLAIEKILKMEESHNADEIESALETLGLIGTTTQGAHFLFISSNVVRHVVESSFGRQGRGRQLAALHAFGSICGVDRQEDQMKLDGQAEECLKRLVYTTAANSPKLRPSALLLSILQQDPDIRIAGYRLISGLVVRDWCLREVCSNSEIIRFVTDPTVETTKLGMEGRYNCCVAINKALSSSHLLHEASLSELNGKLNDAVRRGPYLSERKRKEAMPVVDTAERF >Et_8B_060018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5062583:5062958:-1 gene:Et_8B_060018 transcript:Et_8B_060018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSRTSSPCLPVGVQRRNAARVDVDTRPGDAEPVHAGEGAHEGHGHASIERVDGRAGILQAVVEEVVDGDLGLGLAWVAGRRVPAAQVGDAEVLGQSEGGEGKQGEQGRHEDDEDHYCLGHG >Et_7A_052348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7085535:7088155:1 gene:Et_7A_052348 transcript:Et_7A_052348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPATTTTASLPPPSESTTTTDPMQQQAPPHNAPPLASDSNPPPKKRKLEDVGFHHSPYYKIRATVANLRGRFLQTNESKLCLLLNLCFTDIKVVIELSKKTRLDLYSVVDPVKPSVITAATTSKDEPAGKNPYVDKNQIPPINLAGNFVQNTSGNVPLKPDNSEAARHNLVSPVEINKEARTSEITDYGKQPGKVLRGSYVIGGSPIGCNFLMWPGSKVVYYGLTKAECLNIKIRWLFGGLPGQNLKFYRLAFLVALNGKFDGD >Et_5A_042079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6003981:6005435:1 gene:Et_5A_042079 transcript:Et_5A_042079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAKVLAFLLLCLCATASSRSGSRSRPFRKMMPGPFIPHDYTRPADVARHCQSVLSSAAELKVDADDVHALMHQLSFTNGDWSQDDAGRAPLMPFEGTHDDTGVARIGAGLATLDVVFEGVYTETMASSSSGGGGERVLCMVGNAVLPVRGGNASDPWDWAKANNGGEEKPLVIADSNVLLVLRYPKEHTLTTRAVLGEMTSTSAKSSSAYFDTSSPYQFRPEVELAVTEGCHSEHPSLFDDGGGGGHLYRGASFCDFLELFTPGENDVLPVVPNWRCASTDDEFCSRLGPFDAPATGGAFSRSGIALQDLHCEPTTSDAAAARVSSVFRVVPPREHHMVAARRTGLSGTTMSAEGVWSASTGRLCMVGCLGVGADDACGHRVSIHVATAFSITRRGVIVGEITSTNGIRGSPPLLFQQRVSPGQAWNRFGWNEESVRMVYEYTKVEQAVESYNGGGSRTPGFVTASLQGHC >Et_2A_015468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13429075:13431259:1 gene:Et_2A_015468 transcript:Et_2A_015468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSRMSSLGSNGWGDEEEERNSPISFRAGPLDYEPAVLCECEKKAARWISWYDENPGRRYFKFYRARVRLDSGLIYLDLVDVVVGCVRFGPTMLIDLRNVVWALRRQKRAQKEAPKEAREMTQEQEERIEEMDKDVMRLLSIEAEKEYVEGDMKKVKL >Et_3B_030806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7673466:7679434:1 gene:Et_3B_030806 transcript:Et_3B_030806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPVRFRVTGRSCCATWDLLQQPVLLTSTSVGSSGHYLVDQLRQGICLSCHTSCNLWYPFSLTSNTKKKLLFLPSSLQPVMDPGIVVSSIGVFVQVIFDKYLSSKLEKWAASANLGSEFQNLRDQLEMAKAILATLEGSTTVEGICQLAQELKSSAYDAEDVFDEFDYFCLLEVVEDRSQNKVAASCNSSFPSALQSLLHSLSYVRLKSIPGAGTRHHFSILYIALINQRIAGAFLPPPFKRARQTVDGLPYDWYSVSCKMKSIADRLQRITAQIERVAQLKKLVAFSSQQLKLPNSRQTSSLLTEPEVYGREEEKTAITKMLLERNRHGSNYESFAVLPVVGIGGVGKTTLVQYVYNDPNVMSSFEIRAWACVTMDILQSIDEEGYHQFISSRSLDNIQKILAKKLKRRKFLIVLDDVWSCSNWELMCAPFSCGISGSKIIVTTRHHDIANTVGTIPSVTLRGLEDDPFWSFFKQKAFGGAKIVDNLASIGRKVANKVNGIPLAAKTIGKLLHKQLTSEHWISILDSNLWELRQGPEDIMPALLLSYQHLPPNIQRCFAFCSAFSKDYSFTEEDLVFSWMAHGFIQPVRDRTLEDTAREYLNELASASFFEVSTNGNLYRMHGLLHDLACSVAQDECLRGIQHSVRHLYLLYPEQAKSFCLNFSLVEPTSQSDEGLPERRLPGGSMELEKLRSIWFKNAPTVVSSDDEFWKLPIFYSRIVNLRILCLHHIKNESLLATVGDLIHLRYLDLKFSDISELPESVCKLYHLQVLDIRCCKNLVRLPTGLNNLISVRHLLLDDSNKFLAGYAGIPFIGMLTNLQHLNWFNVSKDKAFNIEQLKELRELGDLFLLSTLRTLLARKKLEKYRLNELNLSWSGNLEDVACDAKINILEDLQPHPNLKHLRVTHYRGTVSPTWLANDLDIKLLESLYLQDCSGWEVLPPLGKLHFLKKLHFIGMEAIPCIGSEFYGSDSEGGFPCLEELHFEKMPEWHSWRGVEDTCFFPKLLALTIMDCTSLQLLPDAQWYDQVKYRWFPRLGTLNIENCPKLDQLPPLPHTSTLTRISMKNVGMISSMELNDQDFVICGNSNLMTHRQYSLQFHNLKRVKSFSISNCENFTVLSWKLQGERDISEASIIMHDVVCSLSIINELKICGSGISQDILHEILTDAGFLDCLSIKCCPQIASLELNPMTRLDYLIIEDCRELRPHKCMQTLIHLRELTVLRSPKFIEGWKNLIERTEGSCQEITASLKRLHIDDLSFLTMAICRTLGHLQYLMIESDQQIVSLTEDQEQALVKLTSLQTLAFNDCPNLRALPESLHQISSLKRLDLLSCESLRSLPHQGLPELERLFIVECNLSIENCTNVGIDQNKITHIK >Et_7A_052609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9822058:9825966:1 gene:Et_7A_052609 transcript:Et_7A_052609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEGGVAVEPRGSGGGGAYEARRRQALRARYAYGFVFFATNLLAWFVRDYGARALRGLHHVPVCGAGDSKCFQSGGVLRIFFWVMFATTFGTRKLYEVRNSWHSGCWILKLLVYVVSIGIPFVIPNIFIQLYGEIARLGAGIFLLLQLISMLHFISWCNKRWMPDPGSNQCGLFGLFLSTISFIASFAGIIVLYLLYVPNSSCAFNIFTITWTAILVMVMMAVSLHSKVNEGLLSSGIMGSYIVFLCWSALHSEPQTGKCHSQMKIAMDGDWATIVSFIIAICSIVMATFSTGIDTRSFQFRNDEVQMEEDTPYSYEIFHAVFAMGAMYFAMLFISWELNHPTKKWSMDVGWASTWVKFINEWFAASIYIWRLISPVVLRSQRVNDEEIVAHRPAV >Et_6B_048464.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:7827191:7827319:1 gene:Et_6B_048464 transcript:Et_6B_048464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFWLIINLLHGDSLLDQVMARPSWQVQGKLLLCMMFCVQR >Et_1B_011372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21248816:21250568:1 gene:Et_1B_011372 transcript:Et_1B_011372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPRSVAVVLLVFLVLWREGEAATFTFVNRCPNAVWPGILSNAGSPRLEPTGFELQPGGARALPAPAGWSGRMWARTGCSQDGATGRLVCATGDCGSGAAECAGAGAAPPATLAEFTLDGTGGLDFYDVSLVDGYNLPVLVEPSRPSAAGAGPASCAAAGCAADLNAMCPAELRAGGGAACRSACDAFARPEYCCSGAFASPAACRPTAYSQVFKSACPRSYSYAFDDPTSTFTCGGGPDYTITFCPGATPSQKSTTMPGATGTTALQGATPTGTMLPGTTFTDATPDSAMPMGGGGGLGIEGGGNQGVLLGSSEAGGVSWLANMATGDVAAAAPVAVVASARLVASLLCLHLQLFGRI >Et_1B_012564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33210394:33220930:-1 gene:Et_1B_012564 transcript:Et_1B_012564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LFTVHALLAVFSLALQGSNGSPGGQICEVSGLEICTICWFRYASEERKPKTTVLDPQGPFLQRWNKIFVISCLVAVFVDPLFLYIPVIDGNKGCLYLDQNLEKAASIMRFFTDIFYLLHMIFQFRTGFIAPSSRVFGRGVLVEDTFAIGKRYLSTFFLVDILAVLPLPQVFVLAVLPRIQGLEIMKAKTILLIIIICQYVPRLLRIIPLYLQITRSAGIITETAWAGAAFNLLIYMLASHTYLQSASTRIEEMRMKRRDTEQWMAHRLLPDNLKERIMRHEQYKWQETRGVDEEGILANLPKDLRREIKRHLCLSLLMKVLGALWYILSIQREDTCWREACNSQDGCDLASLYCGSAASANNYLILQGACPTNGTDNPDLTFGIYLPALKNVSQSASFFEKLFYCFWWGLQNLCSCGQNLKTSPYIWENLFAVFLSTSGLILFALLIGNMQTYLQSASVHIEETRVKRSDTEQWMAHRFLPEYLKERIMRHEQYRWQETQGVDEEGLLVKLPKDLRREIKRHLCLSLLMRVPLFKNMDDQLLDAMCDRLKTMLYTEGSCIVREGDPVNEMLFIMRGSLESMTTNGGQTGFFNSNVLEAGDFCGEELLTWALDPSSTSTLPCSTRTAKTLSEVEAFALKADDLKFVAIQFRRLHSKQLQHTFRFYSQQWRTWAACFIQAAWHRYSRKKLEDSMYEKEKRLQAAIVSNASSSLSLGAVLYASRFAGNMMRILRRNATRKARLQERMPARLLQKPAEPNFFAEVQSSSS >Et_4A_032404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9418447:9419784:-1 gene:Et_4A_032404 transcript:Et_4A_032404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQIQRIARNAKLTVVCLLLTVVVLRGTVGAGRFGTPQQDLIELRQHFVSHPHRALAEHHDASRRDDEPDPQPRSLRDPPYTLGPKISDWDEQRAAWHRRHPETPPFLNDVKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRVHGIEIFYNMALLDAEMAGFWAKLPLIRALLLAHPEVEFLWWMDSDAMFTDMAFELPWERYNSYNLILHGWDEMVYDDKNWIGLNTGSFLLRNCQWSLDMLDTWAPMGPKGPVRIEAGKVLTKFLKDRPVFEADDQSAMVYILATQREKWGDKVYLENGYYLHGYWGILVDRYEEMLENYKPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQMYGFTHKSLASRRVKRIRNETNNPLEMKDELGLLHPAFKAVKTST >Et_8A_057457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3231709:3234274:1 gene:Et_8A_057457 transcript:Et_8A_057457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EWRPRSREKLWVDTFATPKKAAHAYDATIFCFYGDHIPKRGGSTFPRHHALSSQKTCLTAANVMKDEHTHKLVDYVPPPLPQVVLPAAASMMVEAMVNASIDEGGAPSATDDGGNMTMDMGKDITTVANCLLSINTDELDLIDFEWMAVLFMSWTREKVWIGMFAASKEATHAYDVAILCFYDISRPKLHAPSTREVWIGTFTCPKEATHAYDAGGRRSSSQAGGAHLHHATIYSIYGNDIPMMRRFNFSYMPRPNISEEARAVGIPDVNVRAIA >Et_10B_004003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9077779:9078249:-1 gene:Et_10B_004003 transcript:Et_10B_004003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNVLSCSEPVCGPTLNGQAAMTFTPGPIISGLRIPGLAVLGPRDEKYATIVPLNRIDAVGLSELLIYFLISSASGEFKYDAGKTCAVAKVVWPLTSLPSSIIPAPPASLTASPIPTSIPSVATILPVTFPETSKSNNSGKGPRFDG >Et_7B_055278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:955901:964298:1 gene:Et_7B_055278 transcript:Et_7B_055278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGANPANSSPLTPLGFLERAATVFGDCPSVVYNDTVFTWSQTYRRCLRLASALVSLGISRRDIVSVLLPNVPAMYEMHFGVPMSGAVLNTINTRLDARTVAVLLRHSGSKLVFVDPASLQLIRDALQLLPPGHPAPRVILVEDPHEKEFPAAPAETLTYEKLLEKGDPEFSWVRPTSEWDPMILNYTSGTTSAPKGVVHCHRGIFLVTIDSLENWAVPPQPTYLWTLPMFHANGWSFPWGMAVVGGTNVCLRRVDAAEVYATIARCKVDHLCGAPVVLNMLANAPEGVRRPLPGKVRIFTAGAPPPAVVLDRTEAIGFEVSHGYGLTETAGLVVSCAWKGQWDRLPASERARLKARQGVRTAGMAEVDVIDGETGRGVPWDGSTMGEIVLRGGCIMLGYLNDEKATKAAIRDNGWFYTGDVGVIHPDGYIEIRDRSKDVIISGGENISSVEVESVLYGHPAVNEAAVVARPDEFWGETPCAFVSLKEGATGTVTPADVISWSRERMPHYMVPKTVVFRAELPKTSTGKIQKYVLRNLAKEMGPTRKGASASSKIAIVGPNPVNSCPLTLGFLERAATVFADSPSIIYHDTVFTWSQTLRRCLRLASALVSLGISRRDVVSVLLPNVPAMYEMHFGVPMSGAVLNTINTRLDARTVAVLLRHSGSKLIFVDPASLPLVRDALRLLPPGHPAPRVVPVEDPYEKEFPPADPSTLTYEKLLANGDPDFAWIRPASEWDPIVLNYTSGTTAAPKGVVHCHRGIFLVTMDSIVEWAVPPRATYLWTLPMFHGNGWSYTWGMAVVGGANVCLRRFDAAAIYDAIARHGVTHLCAASVVLNMLANAPAAVRRPLPGKVHILTGAASTPAAVLGRAEALGFDISHGYGMTEVAGPVPEWDELSLPERARLKARQGVRTAGMAGVDIVDRDTGRSVPRDGSTMGEIVLRGASVMLGYLNDDAATTGGSTRGTSG >Et_3A_023707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11113339:11114968:-1 gene:Et_3A_023707 transcript:Et_3A_023707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLGASTSAKFTKQIFTDDVIEAKDDGGDKDCTLQLLDHARGKELSLPVFVAAMRDRWWAGAKDDWLATIDNHCNAELVNPYTGRRISLPPLATIPEVQIQGPRTVVFEGVRYEEGINVVFEDGDYPFCRIIVCETPSGDDDGGYLVVAMVNVFLLAIARAGDESWTLLTTAGGRCGVAFRDVVLHKGKVYAVTTSGDIYAWDMRAGALLPDPEPEPIRPPHIIGQDDEPDHIYRCRLNLAESADGRHLLLVAFPHNDRTEDAVYLYERDVDADVAGADDGAGWSTVTTLGDHSLFLGANFPFLARVVNDQDDRELLRPNCVYRTDSDLFEASMCSEYYFNVYDLRDKTFKPYREFYANHMGSIQNPIWFRPTLKNFLRAS >Et_9A_063205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15515934:15518030:1 gene:Et_9A_063205 transcript:Et_9A_063205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGGLGGADLNPLLDALAALGATTPRCVCRKKLSANDLNLNQSRLLIPCASGDEGDALAAFLTEGEMDLVREPPAPRTADVMSRLRGIEVSVFDRHGNRYDVMLSCIGSSKRYYRFLGHGWVRFLRANNPDLAELEIQLWAFRSKELLWLRHTEAAAAQHPDGALGIAILTCVAEVFWKVFLGWVLPMFDRLVNALLSKRAATASLRLIVPLDDEDVQNAVVTHGDPEVTERTLLEGPDLHHVVLLEAAPPDEVEGPSPLTIVPWLLSQRDSRSTPLAPPARSTSLTGRPPCTLLFLFFLFIFCSPALLAAALFSGSGSRFFFGFCLGSGS >Et_1A_004958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19050894:19051352:-1 gene:Et_1A_004958 transcript:Et_1A_004958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGEGEGEAGQRRRLPPAGLPRRAARRPAQRHLRRRQAALGAAAPGPAGRRARVLLHHGADAAAVGAGAGEPEPEPEQDRAVPRHRRRGGRGRRGLWLPSNSGGRGRGRTRQRGEQRRQLRLVRAREPRCR >Et_8A_056779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16593101:16597015:-1 gene:Et_8A_056779 transcript:Et_8A_056779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNMNFAETIGSVRMEISVVTKEWCTLLLKSTTLKTHCCSVHPLNVHCTLKIRERLLEISSTPSLDLDLRVDPTQLTQSTPTKERATPKKERTGLQVFAPQDFDHRNQHTGLQVFAQLHLQRKHEVI >Et_5B_044538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3220015:3231131:-1 gene:Et_5B_044538 transcript:Et_5B_044538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVLITLLAILGPVACQGASVCFNGWLRVLNPATCPGGSRNNFFTRQRRPAPSGPGLSYGYYNNRGSYCPRAEGIVRNAVKAAVDQNPGIGAGLIRLFFHDCFVRGCDGSVLLTTTASGNADTEREGPPNKNSLRGFEVIDTAKAAIEAACPGVVSCADIVAFAARDASAILSNGNVRIRMPAGRYDGRESFANETDQLPGPFSNLTQLQDTFSAKGLSSDEMVTLSGAHTIGRARCRFFNSRFADMDPALAAKLTAQCNGNDDTNVNQDDVTPNVLDSQYYQNVIDKKVLFNSDAVLNSTETIAQVTQNANGGGAWERKFEKAMENMGKIGVKARTDTGAEIRTVCWKVNSFSAPWMTSQHTCSPLPTFATSCIDQPSYSIELGRAPCPAAVFNPFASSCVRSPSPPAFAAAPSPSPAFRAPSSEPRDDDQVKRGSSSSERETTQSTAGPPPNPSRRCLILAALVVLLAFFGPVACQGAICFNGWLRLPRFCPRGSRHGFSTRQRRPAPSGSGLSYGYYNHRGSYCPPAERIVRNAVKKATAQNPGIGAGLIRLFFHDCFVRGCDASVLLTTTATGNADTEREGPPNKNSLRGFEVIDEAKAAIEAVCPGVVSCADIVAFAARDASAILSNGRVNIPTPAGRFDGRESFANETDQLPGPFSNLTDLQGSFSAKGLSSDEMVTLSGAHTIGRARCQFFSSRFADMDPALAQKLRAQCNGNDNTNVDQDDVTPYVLDSQYYRNVIDKKVLFNSDAVLNSTETIMQVTQYANRRGAWERKFEKAMANMGMIGIKSRTDSGAEIRR >Et_1A_005405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10059256:10061520:-1 gene:Et_1A_005405 transcript:Et_1A_005405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPQSHPILAYVLSRLPSLSARSTPRSPASLSSPRTRDLEQPSPRAPSGAAEAELVALMPGLRHPSVLSAMTRAVADVATARDAIRLLGPRPDHELVDASRAVLAAASSSEGNEVEGEDEKVAASREVVRLEGEHEAYDAMLRDAEEKLERVYRMAMHGRDIQEAGAGDGKGEEEEGSEAVDEEVVRVLREAEEGKPVERVSLADHQLRLLPEPIGKIRGLLALDLSRNQLKVVPDAIGGLEHLEELRLASNALVSLPDSIGLLSNLKILDVSGNRLKVLPDSISKCRSLVELDASYNALVYLPTGIGHELAHLQTLRVHLNKLRSLPSSVCEMQSLRLLDAHFNQLHGLPAAIGQLSSLETLDLSSNFSDMRDLPPSIGDIAGLRELDLSNNQIRTLPDCFGRLGRLERLRLDQNPLAVPPPEVVSRGVGAVVEYMAKRWAEAVAEEERRRAGVVETPKASTPREWLTRSVSSLSTYVKEKVVGQDKVAQEDEFLQQQF >Et_1B_012094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28912227:28916099:1 gene:Et_1B_012094 transcript:Et_1B_012094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMADVPEPTYIGPTCPYTLPWPPLFQVSVGRSRRSRRQRMPNARRQRRKNKKKAAELRPVTGPSSIDDIPDDLVKLILLRLDSSVWIARAASTCKRWRGVIAADDGGAAFLRLYRALHPPAIAGHYHLCEETKEFIPSSLPPSVEGSRFSTFDFLPGFGDKDKEWWVADCYGGLVLLCQLKSYTRFKRSKLFVCDPLARLCRRIHHPMRRVQDPNLYYSTDLSHGDAATLIDGEADGGGISFSNFRILYRSDYFSVEGCVFSTARGGDRHWRTLDTVPDTDHGLFITAHVAGRVDGSLFLGVKSGRLMALDKATLDFSEIDLPSTSTDPSNPDSSFRVVHSSGAGTEQQTVRIVHVKGEFLEVFRQVNGGWTLEHSVPRLSEATRGLPGYREKNGSDWTVEAVGDGPGFVVLSVHQCEQRLLVFSVNLETMEMAAVPDKAYRGATRVVTITDLLLYRLASIYCRTSSAGSTADLYICMGLHVVGGVDGGSLCFEFTHIYLPNNLLSFRVVNSSGGAGMEPKVARILHVCGEEHETFRRHPSPLGGPGKRIKRFGLSVLDCGRRWFFSVDVQTMNMAVVPERTYWLATCPNTLPWSPVFMACVGKSRTTVRICTSIGEGTYFVLT >Et_2A_018777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7341920:7344230:1 gene:Et_2A_018777 transcript:Et_2A_018777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVESILVPCPHAKHGCTQQIAYYQKAGHAKACVHAPCFCPEPGCGFAGPVAALLGHLTAGPHKLPSTEFRYNELFDIRATATPTATCASSSSRRRRRHHWGTPDGAAESALGSCHAAGAVVCSKFGCCVQFSCYPSHYQVAVVRGLRCSDLAKGPPADYVCVVPMVPDGGDNAIVLKFNIYIIKPGNGDVDQMARLQI >Et_9B_064315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1411384:1417661:1 gene:Et_9B_064315 transcript:Et_9B_064315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSPQRIALIVAFFGLLAFVLGVIAENKKPAYGTPIQGKDVVICKFPNDPTIAMGSLSIVALVLAAIIGHVAIFHPYSGKSVPRRALFQSTSLSVFFVVAELVSALAFAMLLWATITESLHRSNSIHHNMDYKCPTAKTGLFGGAAFLALDASLFWLVCQMLALNARADYLEEDDNKGEYGQVYTSEADGSKRAVYLSRSSFDAFLRLNITPPLRQVEESKCTQGNIAPKKIKFHKTNENPFESD >Et_9B_065737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:122275:123202:1 gene:Et_9B_065737 transcript:Et_9B_065737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMREIVFFDVETTAPSSEGRWWLLEFGAILVCPRKLVEVGSYETLIRPGDLSAVSRRFTDVEATISSAPPFEDVAGEIFDILDGRVWAGHNIQRFDCPRIREAFAEVGRPAPEPAGVIDSLNVLASEFGRRAGDLKMATLASYFGIGKQKHRSLDDARMNLEVLKHCATVLLLESSLPHVLRHHHGGGGAVTRSKKTTTTTTSRPPPSRMLQTKLPFTPVVAPDAPADQATQHKVNNGGPCKRDSLGKVVGRASKEALSTRRPTTPFHMILRHSRAILR >Et_5A_040520.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4239483:4239662:-1 gene:Et_5A_040520 transcript:Et_5A_040520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPLMIRGRQ >Et_7B_054702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3656874:3659286:-1 gene:Et_7B_054702 transcript:Et_7B_054702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKEACRRRRASWSNQPAEKEAQPAPPNEKGVVTRSVDDVGRGAYSSQRARSRTGLRGPTDKPCPLLDTDTVDRVCLQLRRPNKNALLLIPSRSPSIRPKPVAPRDYTNTRWACAAGTNGDAQPTTSPLAGHLSLRRAAARDAETAASTVSRVSILFDVSYLLHKPADGPDDPADAAPAPAPGRPSVSSSAIRAAQGWIRHAARDAVKYFFLELHLPWGYNCQNDDEVIVLLDEHLPAATAKLETLHLALSGARLQLPATAALSAAAFASLTDVSLECVTLASGSGGGDHHLLAGLLSTASCPRLRKLRLRKLVNLRELLLDTAALRELSLEEMESGCSLELRTPSLRSLRLLDCYDRPGSLIISAPKLEELMFLKERPDRLVVHGELPFMESLKVELICSRGRLLPAKMTLASASSGAVRSGGGGQVLGRMPPRLPHVTSLTVHVSAELHSLGTGLEDIMKRCSNLKYLRVHCPDSGLESYSACEHPDPWKLHENISLPHLQEVEFAGLAGIDCELWFVRFVIRSATQLRKATVSFNRDYMRKRRRGAFEIISQLGSVWTACQHVDAHNLSYEWRSHRTIL >Et_8B_058935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11142266:11142807:-1 gene:Et_8B_058935 transcript:Et_8B_058935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWNALAPAATVAQLVGADAAGLVSATLHAVRTARRNRAECRSLARRVMMLGDLLQLVQAGSSETMRRPEVRRALDGLGGTLRRAYELVESCQERGAVYGFVMAGRQAEQFREVQGEIDSYLLAFPMVSHIDVTIRLDRIYNMLLPPDHSQQYDFGEENKINGKSYIY >Et_1A_005796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14020906:14024369:1 gene:Et_1A_005796 transcript:Et_1A_005796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLATVLASSPICSLACGAVAARTTRSVAVPRCRRDRSKKLITEATAPPSLLPESSRGTWLIPCLHELVAAALALALSASLLPPAAHASSASVGRRSPADAAAYPCEDVQRYHAGLEGLAGEDLRTKLASVVSPHAALRYEDVWDALKILDAADPEHPEASSEVIEIYAQRAVPKLLAGKPDGWNREHLWPRSYGLTSGPSLTDLHNLRPADVNVNSSRGNKYYGECTAKSLRCVRPANHEAAPDTETDNEKWAPPFQVRGDVARSLMYMAVSYGSGQKDETPHLELSDSPSIQRRNMGLLSALLRWNELDPPSRSEQLRNHRVCSLYQHNRNPFIDHPEYANLIWGNPPTKSSNFMGKPQMAWVNEFHYENKGKDNNEFVELVIHASLDVKDLMLVLYNGTNGSVYRSINLADRKAITVTEGGSGYLLYTVFTTLQNGPADGIALVYCRDTSKAEVLEFLSYEGSLRAQDGPAKGIVSTDIMLKETDQSSDQDSLGLTGLKVGEFLWRKMAKNGTPGKLNSGQMF >Et_6A_046645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18624502:18633494:-1 gene:Et_6A_046645 transcript:Et_6A_046645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARTATPSVVLILRLLSLALLAASLAIIATNKVTDNTEPGSPQKLTFKDVYAYRYLLAVAVIGCAYTLLQLPFAAVSIARRKRVVGGSDDVALLLLFADVAAVLLLATGVGAGFGLTYDAKRFFDSFLDSIQTPEFQQLRRDVNRFFMLAFVSSGLMLAAAACVGLMVMVSAYSLVKALSVVALLLRVKTLLLLVASLIIIATDKIYGPFAEVADPPNFTFRDIYAYRYLLSAAVIGCAYSLLVIPFAAIHVAQGKRVGRTGATTFLIFTDVVFAILIATGAAAGLGLTVEIQRSVLHDGSDVDTFYRLIDTSCGLMLAAVLFMVVTIMISEIMSSTVLRLPYDGMVNTPMVYQSGVDCKVASFTFVDQPSV >Et_2A_014775.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1410065:1410466:-1 gene:Et_2A_014775 transcript:Et_2A_014775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LDIFEKEGVLAEDGDEPPCRHKIQYSQYDRQCLLMMWQSTKHILQGRAVIGGFRVDDTFEHLKPNDIYDYYEARAVKTAIRKPYLHCVTFAGFGWRSELNYLVYLNNHVTSYCEKGYGRVYFDHRIHVSTLQF >Et_5A_040154.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:16273853:16274122:-1 gene:Et_5A_040154 transcript:Et_5A_040154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAASAEIAHGICNLSSDGIRACLPAAAIHNPTDTPSAECCSALVGADLPCLCRYKSYAGVWVRVYRIDINRAMGLPAKCGLEMPANC >Et_4B_038603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3239812:3243688:1 gene:Et_4B_038603 transcript:Et_4B_038603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAVFPVLRFSDYFTRPSIDELVEREAADPGYCSRVPNFIVGRTGYGQVRFLSDTDVRGLDLNKIVRFDRHCVVVYDDEANKPPVGHGLNKAAEVTLQLYLKELPEPSILDGLLRRSTKKQGARFVSFNSVNGKWKFEVDHFSRFGFVDEDEEDVAMDEAVVRQPIAEVRDPPSEGYEMELSHSLPAHLGLDPARMQEMRMAMFSNEEDDEDMEEGFPSDQRYITRDRMNIDSPTSSAKGSRLKSLSPMNASSQRVSRRSGMHARKEPQALLDYSLNSSDIGPSQGILMSGHNKGLPVRMAKVEGFELPAEQETPVAGKRYTNCVVDAALFMGKSFRVGWGPNGILVHSGSLVNSPGTGLSSVIHIEKVNVDKAVRDEKNKTKDELTQLCFSDPMDLHKSLDHEFLETESDLFKLKLQKVVTNRFVLPDICRSYIDIIERQLEVSDLSMPSRVLLMHQVTVWELIRVLFSERAVGNQLETVGDDDQEGMALDKKEGSINIDPEALPLVRRADFSNWLQDSVCHRVQGEVGSLRDGQYLEHILLLLTGRQLDTATEVAASRGDVRLAILLSQTGGSMLNRSDLAQQLDLWKMNGLDFDYIEEERLKVYELIAGNIHAALQDSPIDWKRYLGLIMWYQLSPDTPLDIIIHSYEQLLGEGKVPYPVPVYIDEGPLDEALHWSPGDRFDISYYLMLLHANQDEKFGLLKTMFSSFSSSYDPLDYHMIWHQRSVLEAIGAFSSSDLHLLDLSFVHQLLCLGKCHWAIYVILHMPHREDAPYIHEKLIREILSQYCESWSKDDAQRQYIVELGIPEEWMHGALALYLEYYGDKQGALENFIQCGNWKKAHTIFMTSVAHSLFLSCKFSYTASFIMTQTPSLIFSTLVSAKHKEIWDVISVLENHKSQIADWDLGAGIYIDYYILKNSMQEESAIDDSDPLEKKNESCSSFFGRLNDSLLVWGSKLPVEARACYSKMAEELCELLMNTPGAPDLYMGSFETMLSAPVPDDHKSSYVQEAVSVFTEILCSSS >Et_3B_029275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23594002:23595390:-1 gene:Et_3B_029275 transcript:Et_3B_029275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNAALSSSSSKCASSWALEREERRRPFTDPIEIPAVASSGARPDGDERAASRREWEDHPGDDGEVVPPHVLLARRRAAFSMCSGQGRTLKGRDLRRVRDSLDEEFQEADILWPDAAQALELPQLYYYSHADMDDDDEYSSDEHQPRRLQIGQKASSPIDIPGRKVSASGGEARPGGLSKLGASRASSGGGSVMIGGHVFVPPHVMVFVVPNRRARKIRQQF >Et_7B_055485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11692755:11694296:-1 gene:Et_7B_055485 transcript:Et_7B_055485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQDARKEETPSWVVEIESLLNDDDGVADPAAEAAVWRKHSIYRVPAHVKNGKHTSPYGPQLVSLGPFHRDDPALAPMEAHKRRALLHLLRRTGATPRELVAALEAVADRLEDAYMGLDVDDDERPRGSGGGGRDAFLRVMVTDGCFLLEVIRAAEAGGAPGDYAANDPIFSRHGELYMFPYLRRDMLMMENQLPLLVLQRLVAVVSGPDAATDDAINNMVLQFVSLTPDPPELHGGGLALHPIDVCHRSLLHGTPSQVCKGRRDEFVPSATELDQSGIHFARSLTRSLHDIHFFRGVLHIPELAIDETTEHKLFSLMAFERLHAGADGGNNEVTAYVFFMDNVIKSAADAKLLSSRGVLWNGLGDDKAVAKMFNRLARGAVLDKRSPLRAVHGEVNAYRETRWNEWRASLIQNHAGNPWAIISLAAAVFLLVLTVVQTVYTVLPYYDQQQHPKAWSPNFHDEL >Et_5B_043291.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22290662:22290895:-1 gene:Et_5B_043291 transcript:Et_5B_043291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQRNLILMPLLATRLAGLTSCVRSRSLVAVVPSLLSYISVQSFWAPHILDKQLLGILPSLPLIDSICKERINLQF >Et_10B_003268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18344457:18345707:-1 gene:Et_10B_003268 transcript:Et_10B_003268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGPGGPKLFQTHMYTYLWTPTSKTLLSLCTTLPLSVVSRSDSGRAVKKVTGKKIPRLHPVSWTKDLLGGQVCDLKDSALFICGVWSLWSGRNARNHGKANWNAGAAVRHIAKMIEDLGVWKPPDASWVKVNTDGAFVASTGTGASGAVVRNNHGRVLCAEARWYDNLPDVLTIEAIAARDGLLLASAKGCDRVVLELDNLTLVESLNSSTPIAVQVNLPMIIVCTLGLITSQCGFRRWQPRIVILPLSMSKTLVFALKKSKISPLSL >Et_2B_019491.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:7596787:7597038:1 gene:Et_2B_019491 transcript:Et_2B_019491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQAVESGGYMMSTFGGLIEELRDGPRFNFNSFVFCFVSRDSNRVAHALAAIGCVASNGGQSDCGCPSAMYSSFGCQRYCST >Et_5A_040614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10183288:10187753:-1 gene:Et_5A_040614 transcript:Et_5A_040614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPAPGVSVSTIATTATATTGRHMLKMHHHGKYVASCAFEAAGHTWRIHCYPNGIAGGGYGFTSLYLVLDDDDAASAAAGGVHAEVRFSLLCQRGRPLSRSIAATFTKRTPMGYSQFIYNEKLEKRRSEFLKDDCLAVLCDITVLEKSTKWIKSRRDPLFRRHPTRAHPRQIAALTSARVGATSPHPQHRAEVHRKLPRSPPPPQPLVSPSSPPDIASSIRCPLSSIFRPLSSICCTRPRSTALNVNPPHRGGLAERGPNTWRRTWPRGRAARGPSGVQR >Et_3B_029134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22317875:22323559:1 gene:Et_3B_029134 transcript:Et_3B_029134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEIVGRHALLFDDDATAEVVNSGGSLVPWAAVGAADLLLDRHDVRHLLDRVPPRPRRSYSAALASAPSPDGVSEAELDRERFLDLPADDGGDDDEGSRDAPPTGDGEGIGQSGYSAVPFSYGSTVESNDPNSSSSYYRPSFYVPESLLNKLPPSEKVHQIIARTALFVSQHGGQSEIVLRVKQGSNPTFGFLMPDHHLHGYFRYLVDHPQLLRGDAANDDTDKGNKTVNSETEHTSSGGALSLLGNAYDSGDEEEGTLPASSKGMDLENRASPVVQGHEKPASIIPDNEELWKDQTVRAEAAGKPILTKKNPVLTGNSLLAAQREKKKDANVKSQNTKSGLYETKEMILEPPSFLKRTMEKIVEFILRNGKEFEEKLIDQDRTSGRFPFLLSSNPYHSYYLKMLQETQESKSRGRSPEKDRRSSEHKDRRSSSERKDISHGKEGTRRKERLTTGLDARASDRSSAEPSEKQIHDQRGKEGKFEIVVGGVKKEPPRNVTADEAAAIVMAATRGLAPANGSRNNLKETRDSVHTLGSTDRSSSFGSLSSLKDRDAPSKHTSNSEADTSLTSSGQLQNEGTGIIDDDWIANTIAKAAAVAASKEADSSEATMTKEQKLKAERLRRAKMFAAIIKSGVSKVNDLATETDTSNEPVKSPAADDMNVSTPDLPPAVEEREGSSVPFEREGSIAAKEKDIDDEQNRARKYRKKHYPESDEEKNDSEESYKPSRKKHRSERSRGHSKDVHKHKHKSHSKEGESRHRRHRHSSSEDEHEHRSSKSRHRHRDHDHHYDDDDDDHRRSRKHRRDHRSSSKRNYEDDTDRIEQTLGHSGTSPSTSGPKIVSEKPPMDTTQSSEGATEVPNELRAKIRAMLLENL >Et_2B_019990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1671325:1681405:1 gene:Et_2B_019990 transcript:Et_2B_019990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVVVGRSPLSVLFYVLAIVAAAAQPTAINKTADEEYWAKREQVAGSYNRGAFVSDPIETMNRFNNDVEKSMATNSTTTPGAARRGLRREYKGPCVATNPIDQCWRCRADWAENRKQLAKCAMGFGHRATGGLAGEIYVVTDPSDDLFNMVSPRQGTLRYGVVQDRPLWITFAHDMIINLANELIVNSDKTIDGRGAQVHVTGGQITVQNVRNVIIHNLHIHHAVPHFGGLIRDSEHHFGLRAASDGDGISVMGSSNVWIDHVSMFNCADGLIDVTDGSTAITISNGHFTKHDHVMLFGGSNGLSKDKIMQITVAFNHFGKGLVQRMPRCRYGFFHVVNNDYTHWLMYAIGGNMNPTIISQGNRFRAVDDINYKEVTKREYTPYSEYKNWVWKSQDDVFLNGAFFNESGGQNERVFDKLDFISAKSGQHVGELTQFSGALNCRVGKKSHLLILFYVLAVAAAQTSNVTSDEEYWAKRAEEAAARNRAAYVSDPIAAMNRFNKDVHRATTRRSLARYRGPCMATNPIDRCWRCRSDWATDRKRLAKCAMGFGHKTAGGADGEIYVVTDASDDEMIIPKKGTLRYGVIQDRPLWITFARDMVIRLRQELIVNRNKTIDGRGAQVHLVGAQVTVQGVEHVVIHGVHVHHAARHGGGLIRDSKRQRRRRHLPTCGSTTCPCTTAPTASSTSSTAPPTAVTVSNSHFTKHDHVMLFGASNSNPQDKIMQITVAFNHFGKGLVQRMPRCRYGFFHVVNNDYTHWMMYAIGGNMNPTIISQGNRFIAPDDAHAKEVTKREYTSYQRLQGVGVEVTGRRLVDQVRSLKRRISLESRRGPPPPSSPSRPWSGPPSSAAAGRRVLRQLRQVVHREDHGGRAAAAVREAVRVYLDDPLADAGRWLELRPPERIAQIGSSHGPTGSWRTRRTSDGARRAGLSSRRCPSRRSWRCSGRRTLQGWLETFAAVPKERFTRTGSSSRFMAYETDFGWGAPSRVELVSVFVRELVLLLGAADGGVQVTVALDHAHMEGFAANFARGHRNRRSVAAMPRRGRAQALLLGSLPHPLEAPIQRLFFFDGPDLPPFPSIVSTLQSSLAATLAVYLHLAGKLAFRASTGDVVVDCSPAAVASGVRFVGAEFSGSADDMRRLARDEEHDIGAFEQLVPEPVPVLAVQVTRPAALTFFRAAEQKNLRAVRRRNPDPEAAHCSTNQSPGSEPSKAPTTYVAISSLGWTSLVRAKPTQHDDETDDTYFMISAGCRRRLSAPLGDGFFGNCVKACYARARESDLRGEAIQDAIREYLDELGDDPLSDIERCLAVHRAIPRGRLAAVGSSHRFMAYETDFGWGAPTRVELASVFQREMVTLLAAREPGAVQVSVVLDRAAMEAFAACFVVPASTSRDASGK >Et_2A_014867.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:19277288:19277440:-1 gene:Et_2A_014867 transcript:Et_2A_014867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWKHRNEVVFRSETPSLPRLLAACREEARMWRCRLARDDAHIVSSRCPM >Et_3A_024847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24833252:24836813:1 gene:Et_3A_024847 transcript:Et_3A_024847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVIPGGQLLLPHTQIKPSLRSPWPPPPPPPPAVSSHPAFTPPPPASSMQGASREVSGSFIHPAAIVHPDATIGQGVSIGPFCTVGPSARIGDACQLHAGSHVMGDTELGDGSVVLTGAILGADIPGRTIIGENNVIGHHAVVGVKCQDLKYKNGDECFLHIGCNNEIREYCSIHRSSKSCDCTVIGDNNLMMGSCHIAHDCKIGNNNIFANNTLFAGHVVVEDCTHTAGAVVVHQFCHIGSFSFLGGGSVVRSLRKAYQRVFMPSITNGSSLEDRLVELEKEIKLSESPAVSYMVESIRMSFTQGRRGICKFRSWNSS >Et_4A_035079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9251777:9254084:-1 gene:Et_4A_035079 transcript:Et_4A_035079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRRLLCLPAALLLAAALLPPRGSASPATEAGKKVSLELYYESLCPYCSRFIVNRLAGIFKDWIIDVVDLRLVPYGNAHVGSNSQISCQGSAATKVDVALYYESLCPYSARFVVNYLAKVFADGLLDAIDLTLFPYGNARVGPGGKISCQHGEYECLLNTVEACAIDAWPELNKHFQFIYCVEDLVVKRQYRDWESCFAKLGLDSKPVTECYKSEQGHQLELKYANQTDALVPPHRYVPWVVVDGQPLLEDYENFEAYICKAYKGNPPKVCEGLSTLQQTVGARNRVSYTSAEINLEPVVSH >Et_2B_019870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14783933:14786831:-1 gene:Et_2B_019870 transcript:Et_2B_019870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLSVRPDAARTMAGAIFMSNTFTREQSFKTGVFGLPIEYESFVYDVRKGMPLFLFDHNLRKLYGVFEAASDGGLNINRDAFQRAFPAQVRVNIIWKCRPLIEDEFAPAIEENYYQPWKFYFDISYKQVVRLYELFNDKRVERPIRDCPVNESLGTKDVSKGALDKRSLTPNVPYPDDQSHLLVPDISTIIRRYSSHTSKHTVVPPSSEVEPSRTMPSATKSFGGQITSITTRHRQLANSQSFPLYPDYPHKVLSSGRIIQVPSSHDFTHNNSMPSGFVTQNPTYENPVSATSTTYAPLYPHLSLENSQGHANYQEQCDICIRQHQLSAHEEKSTRYSYQRQHLSEGKAPPSAELSQQGYPEFPEFGGKTVSAVDQQINGSSDYIPLSECGINFENASGPSNIGNDMGVNVSDRCHKNYCIGAESNADVPQSSAFFRLSPSEQPPSQEGMGPTLSQLVSSLSQKAKQWNDKSGPDDFFYMIREQATDRPYSHSELNLPNQLELEAEGESTEPQSPFLNFKRRSEAHKGDTNLGNEISGKLKRRKLVRPSFGENNTCSGNCIQERKHGHPKVGGNHFDIDLNVPATVDGDPVEKQNSIEVCSSVLTKTQTEDAYKSNSSNVIKTIKEVEKHNSIEVCPSVFTKTENADKTNSSSAMETIKEQVNDAPAKKVLFDINLAELNMMDESKLQTIYDQASSLLQALGKIASVKSNNFEEDKSNIRGEL >Et_10A_000997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2038826:2040059:1 gene:Et_10A_000997 transcript:Et_10A_000997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQRRRRKRRTRYRRRCASTTSGPDDLLERILLCLESPIWLIRAASACKRWRGIIAGDGGAFLRRVRSFHPPTIVGHYHHRQQYPPPIEFIPTPSPPPVRIDTSCFSLDFIPPREVEEINAKLEVIDCHGGLLLLQNGDGWCSVQPSKDELEMEDLVNFAGRVDGSLYMGTGGGSVMVLDNTSLELNKVHLPSRVNALGLLGPSTFCVVEHCAGESSSPPAPRIVHDKCGELEVFRRVHGSGEWVLEHSISRLPEAFGTLASYKMKSDWVCKVIVGGVGFVVLLVYDSEKLRWPFSLCLDTMEVNVAPELSCYRATTDASIYTLPWPPLMSACT >Et_8B_058795.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:6206198:6206308:1 gene:Et_8B_058795 transcript:Et_8B_058795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVRWRRELKDELTLTVIKVKADVKEAMKDWIENF >Et_1A_008572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8975605:8978368:1 gene:Et_1A_008572 transcript:Et_1A_008572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNNNGRRRRNTRSISPSPSSSSPRPGSRVPRAAQLLPQCKLAQVLGDLPPATRDQHNAALDDDGISPQNNTYNVWFLRTRADQGVLYARSRDELERTDQAGAPPPRYSYATEFQSHELEFDTLHSLEICEKIKKLRWCARPNSSLFMLATNDRTIKLWKCTAIHLSLYGLFGHEEPECNDSVASGQVSKHKQKKGNGDHPRRATPASSTDPFRRSQKGGDAGDGYSAKCRKVFGRAHQYNINSISNNCDGETFVSADDLRINLWNLEVTNQCFNIVDTKPADMEDLVEVITAAEFHPSSCSMLAYGSSRGLVRLVDLRRSALCDRSARIFRDRENRIQPKTFFTEIISCVTDLKFTGDGKHLLIRDYMNLKLWDLRMESSPVVTYKVHEFLRPKLSELYTDDYIFDRFSCCASNDGSYFATGSYRTQAYRPSKGPGMLKNFASRNNRKGQDGQRSAGNKEETPCNMASKVTHMAWHPTENFIVCAANNSLYMYHT >Et_5A_041868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3593483:3594776:-1 gene:Et_5A_041868 transcript:Et_5A_041868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCCMEKICHVGIRHLCCVNVRCRLGGELFPSELGYGHYCGNTVGEDDEWDTRRRDWETFEEKDEFLMRSKKKGPEYYKKALSTRRSNIRHKYLTVPPSFIYNTICSELKLKREGPFWEGPEADVAIPYWRRNRDKYPPKSSWELVDPPYGLQFNSAKECMDWKRKKEEEERKKHAQEELEARQKDSKCWEHYFYKLAEKKKKKKRKIEEEEEAREDRKRKAEEDRLAIQLMMDAEAIDK >Et_3B_029337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24090097:24094386:1 gene:Et_3B_029337 transcript:Et_3B_029337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWNNGVHFGLAEPAGNIQPGPEDFLEPAYCGGTWRHLSCYVPGGQPASPSPMASVSAVMLQRSSSRAREYLRLQRTAARTVALHLEGRARWCGHSLLRDPRHNKGLSFTEKERDAHYLRGLLPPVVLSQDLQEKRLLQNVRQFQVPLQRYMALMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGRILEVLRSWPEKSIQVIVVTDGERILGLGDLGCQCLPITIDVGTNNENLLKDEFYIGLRQRRATGQEYSELLDEFMAAIRQNYGQKVLVQFEDFANHNAFTLLEKYRENHLVFNDDIQGTAAVVLAGLIASLKSVGGTLADHTFLFFGAGEAGTGIAELVALAISRQSKLSVDEARKKIWLVDSKGLVVRSREETLQPYKKRYAHEHEPVKDLLGAIKAIRPSGLIGSSGVGRSFTKEVIEAMSSINEKPIILALSNPTSQSECTAEEAYSWSQGRAIFGSGSPFDPVKYNDKLFVPAQANNAYIFPGFGLGVVIAGAIRVTDDMVLAAAEGLAEQVTPEHIDKGLIYPPFSIIRKISANIAARVAAKAYDIELASQLPRPKDLVKYAESCMYSPVYRSYR >Et_1B_011333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20516720:20518995:1 gene:Et_1B_011333 transcript:Et_1B_011333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YCKTTFRSSRSSRFCHSSQYYHKMDLSKNDLREYIQDNGKALWTAHNNHHIRYFNEDEIRKITNNYSTLIGKGAFGEVYKGNLDDNSPVAVKRYIDNVKEDFAKEVIVHCEINHRNVVRLIGCCIGETALVMVTEYISKGNLSNILHCTEIPISLETRLDIAIGCAEALSYMHSQMYGQVIHGDIKPANILLDENLNAKVSDFGISKLLSTDKTLYITHVIGSIGYMDPLFARSGRLTSKSDVYSFGVVLLELITRRKAIDDEKISLTEIFTQALAKRKKMRELFDIEIADVNNLRILEEIGKLAAKCLAMETDKRPEMKEVAERLRVLRKAQYKRQEKVALFGWVWRSKQAPQNTFPGIDVVTDHVLRADAQAHKVHEAGTTENAEIIWIDSSKSIELEELLKASAEVLGKGKYGTTYKATLQDGSVFAVKRLKHVDVPEPVFKERMASICAIKHEIIVPLLGYYFSRDEKLLLYDYFDNGSLASNLHGKNMAPVDWETRSSIALSAARAVAHIHSSNTTTTHGNINSTNILLTTSYEARVSEYGLTALVSTATSVPSDIISQKADVYDFGILLLELLTGKSPHRHTEISEEPDMLKWVMSVPQDHWPAQVLDQKLLKNNDVEEATLQFLKIAIDCCEQSPNLRPVMSEVAIRIEEIRRSTTGNKHSMGSNA >Et_2A_015373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11796980:11800752:1 gene:Et_2A_015373 transcript:Et_2A_015373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLQILGYEKQCLKHARMHVVVTGTLHDASNVNESLSLASIYWQNTGTEALIAMFTLGGFIISKDDLQPWIRWGSWASPFTYSLNAVALNEFLDNRWTTICTLQAITDGTTVPQKHCFRTKPRKSQDMKYIEQVHGGWKASINRGNLPFQPLTLVFSHINYFVDTPNELKRQGVTEKRLQLLHNVSGTLRPGVLTALMGITGAGKTTLLDILVGRKTGGYIEGTIKLAGYHKKQEIFSRIFLHTFACLQVIIHIKEIMWKAECIYLFPCIFQMVVDEVMGLVELTNLGSAMVGVRGATVRTTVNTGRTVVCTIHQPSIEIFEYFDELLLMKRGGQLIYSGSLGPLSSCLIKYLEAIPGVPSIKGGQNPATWVLDISSNAMEYAIGVDYSEIYRNSSLYKENMSLVDELNKQTADQKDLYFPPNYWPNFKVQCLASLWKQHCSFWKNPELNVARFLNTIGISVTFGIEQDVFNMLGIAYASALFLGLVNCSTLQPIVAMEKVVFYREKASSMYSSMAYVIAQIGVEIPYMLTQVVIFSAIVYPMVGFQLTVTKFFWFVLYMIMSFMDYTLYGMMVVALTPNIEIAAGLSFLIFMIWNVFSGFIVSRKMMPVWWRWMYWADPAAWTVYGLLFSQLGDRTELIHVPGQQDQPLREFLEEYLGLQDDYFSLITALHIALSTLFSIVFCIGIKYLKFQRR >Et_5B_044655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4330868:4331801:-1 gene:Et_5B_044655 transcript:Et_5B_044655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIGAAAQAAAGAGAACAALVAPAAAHHTFTVRRLAPAAAAQVAAGAGAACAALLGPAAAAAPAAGALMNAPGAPGLVISRAAFEKNPQLYFELLRTSGAKAAVKAFKPR >Et_2B_019939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15410955:15413703:1 gene:Et_2B_019939 transcript:Et_2B_019939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFGNGGYGYSSGSEGEDEDEGTEGYRKGGYYAARPGDRFAGGRFVAQRKLGWGNFSTVWLAYDTLHSKFVALKIQKSARDYAHAALHEIELLSAVAKGDPTNSKCVVQLLDHFKHAGPNGQHICLVTEFLGDSLLRLIRYNWNKGIGLNRVKEICRSVLVGLDYLHSELGIIHTDLKPENVLLVSMINPSKDPVRSGFTPILDRPVGNQYGGTVISFSEKMLKMRARRAVAKIMQRRVSLGGVATEIEKERSLDRISLKCKIVDFGNACWADQQHAGEIQTRQYRAPEVIIGSGYSYSADMWSFACLAFELATGDLLFAPKSCQGCSEDEDHLALMMETLGKMPRKIASSGTRSKDYFDRHGDLKRIRRLKFWPLDRVLVERYNFTEPDAQGFADFLRPILDFTPENRPSAAQCLKNPWLN >Et_5B_045083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9368959:9371606:-1 gene:Et_5B_045083 transcript:Et_5B_045083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQAEDFQYEDVSVAPLTVARRRGDDDLLDVVLARHEKAELARSEITRFFIDIFLAASNSTRITVEWAMSMLLKHPDKMEVVQAELVTNMGSKNFVQETDLSKLPYLHAVVKETLRLRPAAPLLPRAVHGRRSRTACRCAAGFSLPNGTCVLVKLLEFVPERFLGGISPAAFGAGQRMCP >Et_10B_004084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11962706:11978789:1 gene:Et_10B_004084 transcript:Et_10B_004084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METQTSDDSPSPAEYPRWVLLDRSGKHKDHDDANTVAAALISSGHLIRVSFRLIAPPAVSRVRLEHPGLPDGVGIDLRIMANHRDSVLMKVDTVYDDWCRNVTDYFVYIAGNGAATAEASLQQSFSLLPPCYLNKREEDEDTDHKTQRHMDFRSTVILSRGKEIPLAEGLSYWDEIDMVIPVGDRFRYWVNLLRGIIFTDVLEKDPVLRYVSLPLNPLLRRRYNSEARPGMYQMVCATGGGSMVKFVDVAPRCCCGGPGTTSCARSRNAFTITTWTLRMDDMTWEKDGVLDCDELWALRGYQGLPRVRPSHPVVNLEDSDVICFVVDKNCYMCDVDGEDATWVIEVDTRSKKIRSVRYDQIH >Et_1A_006752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27039839:27043198:-1 gene:Et_1A_006752 transcript:Et_1A_006752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSWKARDVAGAFSIDVVDEEDAVPAIPPPQTPLEPMEYLSRSWSVSASEISKILVGGSRKSSVAASRLPEMTIPEDSVLATTTSIVPLPCHQQQQRDSRRNSMSSSGSGHHRSIGKWFQVHHREACRTKQSSKEKQRAEKAHVHAMVSVARVAAAVAAVAAATSSDIQTSKMAAAMASATELLASHCVETAQHAGARHEQVAGAVQAAVGVRSPGDLMTLTAAAATALRGATTLKQRVQRETRSNASVLPYEKGHSWSPDIWCKEGELLKRTRKGDLQRTRVSIYINKRSQVILKLKSKHIGGALSKNSKSVVYGVYSELPTWSGLGKGSMEETRCFGLSTAQGLVEFECENGISKQKWVDDVQNLLCQAAVDDHLGNRLGSYKDKNQSQRIGRGHTLPQTQMPLEGRLATTAIPGCIGFGFRRDRESAVKHCWIEKMPTPMGTGRWGHGR >Et_10A_001213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22187168:22188750:1 gene:Et_10A_001213 transcript:Et_10A_001213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KRRQLHAVQDADVNHVDLGAAGGLDTGEREPRAGLVEPALSATVSWLVPRDGVGLGPGRHCVPFFSILMKENLAKVELGTSRVQRSDSTVKVGKQLLALVGYVEIFFIIIVDLRKKDFVSRKVVCNEKCKHDLGRDFCCIVTKKNYLLDSTSSGFNSRATHIENRKDQSEF >Et_2A_016661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2756826:2761198:-1 gene:Et_2A_016661 transcript:Et_2A_016661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSSRGRLFEFSTSSCMYKTLERYRSCNQSSEASAPLEAELNNYQEYLKLKTRVEFLQTTQRNLLGEDLGPLSTKELEQLENQIEISLKHIRSAKGQQLLDQLFELKRKEQELQDANKDLRKEIQETGSCEDLPPMTLQDVGPSSHANEPSQELRLGVCDPSLHIGYQVYMDHLNNESA >Et_2A_015018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26340388:26342091:1 gene:Et_2A_015018 transcript:Et_2A_015018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNHLFCHCFNGRAVLPALSAVMHLAAADFTTSSAALERSAKQGRGNIEDALKVLELVPTKYNSKDEGPSHHRLINDCMHDILGVKSKHHATRKGTQLHALLVKIGYDLSVLVGSSLINFYSKHSQLENARQVFQSMTVKNTVSWTALISGHAQDNQVEPCLHLFALMRQSVCKPNDITFATIISVCTSHAFLALGKSVHSLQMRMGFDSYVHVSNALISMYAKCGNISEAQTIFENITCKDLVSWNSLIFGYSQYGLAAHCLVLLKEMERYILPDALTFLGALSSCRHACLVAEGRRCFRTMVERGIKPELDHYSCMVDLLGRAGLIDEAWNLIQTMSMPPNGIIWGSLLASCRVHGRISTGIHAAQQRLKLEPSCAATHVQLANLYASIGCWGDVARVRKGMKERGLQTNIGCSWIEVGNKLYTFTAENRSKSLVNNVLAILDCLQLHMECKYDILIDCLSCDDPERVMLEHSINLEQHVLPYSTLYEEAL >Et_1B_013898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2316819:2320223:1 gene:Et_1B_013898 transcript:Et_1B_013898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAWRQSGVAAVADHLGVSVCAGRARPARLCLYSLALSFAAFAAFLAFAPSIPAPAPSSPAASWLDGLIASASPYRAQVSGFLSSLFPANSSDTGLPGGGAVAARRGGPSGGRFAARGSLPESFGPSAAPPGGQLGSGGGVPTSSLGGILSGGGAPSKAPTAAVPSAAPPNDHGRGAAEGRNSTESPVPEATGAVEVQRGGSSQSGSRAKGGVPVRSNDADVNGSSAGAGDGNAVKANSRNAVGSTHQLGSGTAASSNGTAVPFQNQTGSAIAAAMGGEGAASQRSEAAHSNQTVLVQAPADIQNRAASGGSNSSVNSQKESNSSQQGITSLVKGDHSAPAVAAVANGSSAVPVNQAATASGRRRKDYNCSVEFFRSPFLVQEWEMPIRNGKGTRETLRLDIIDRNLPRYKNADIIIFNTGHWWTHDKTALGKNYYQEGDLVYSELDVHDAYRRALNTWAKWVDSNVDPRKTTVFFRGYSASHFSGGQWNSGGSCDHETEPITNEKYLTPYPTKMSILEEVLHGMKIPVVYLNITRMTDYRKEGHPSVYRKHKMSEQERKSPEAYQDCSHWCLPGVPDSWNELLYAQILAAGQ >Et_8A_058166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22517408:22519010:-1 gene:Et_8A_058166 transcript:Et_8A_058166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVTSRVIALVDVAPCSVKKRGARTSSTALWAWMLAAATCMTTSAPSAEPSRSAATASSGECSGAGGSSKERWWRPLDLEAVAGDEVGFLELATRRADTVRSSGFRPRRADTQLRCNLNSFVESTYLASFIGNLQIISKDELIARLLKPVTTMLLCQL >Et_7B_055342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9573605:9573976:1 gene:Et_7B_055342 transcript:Et_7B_055342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSPRRPKAHSGGGDAPLSLFLDTDLGTRLALLVAPDTTIRRLKCMPLTLHPRPPRLEPRRPRMWPRNTPQPSPSSGPSPSSPSRCFFVALIAFVFPVNRRDCNKLVWIT >Et_2A_015709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17090649:17093720:1 gene:Et_2A_015709 transcript:Et_2A_015709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVLTLLPEAEARATSGGCKWSGKASSVWVFGDSYADTGNLGDLGRELTHAWYDPYGLTFPGRPTGRFSDGRVLTDFIAGAMGIPTPVAYKLRRGAAARLLARGMNFAVGGSGVLDTGYLQRNISAQIDLFQGQRAAADNVKRGCDVAVVVVSGNDYAYAADKDNSTNAAIAYIPTVVRGLREQLRRLRDEVGMRKVVVTNLHPMGCTPLFTRALNYTSCDALANAGAAQHNAALQSVLAALDPANATFLLLDLNSPFAAHVVSVSSDSGDAASRFAEPRRPCCESFAADGYCGQQDDGGRRMYALCADPAKHFYWDDVHPTQAAWAAVAESFRPKILEFLSA >Et_8A_057972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10547603:10549143:-1 gene:Et_8A_057972 transcript:Et_8A_057972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFRRSSRPSVATSASDAGNGPEKPLSAADSSKRHGRVPSSGGSAPVNRLPEMISCERFLAAATAGPATSPESSFPASSRRYSRGRPHTPGGTAPERRLLGSRTRVSVRHSPSSDGMGPTSWLFRSSSCSSLPAPQRPAGIRPEMRLSERSRNSSGQAVPNSGGSSPARRLEKRRRSASAAARASVAGRRPALQPRREEQVGGDLAGEAVHGGVEEPEVPERAKPGRHAAGELVRVELDLDRALQPRDGSRDLAGEVVGGEVEVDEAREVPDRRRDRADEAAPGEPQHAEVAGEGGRRRQQRRRREGVVREEGLLERGEVGEEEPRERAGELVAGEVERREGREAGAVARRDGAGERVAGEVEVPERRQRGGEAGGQGAREGVVREVEAGERAQRAERRRELAGEGEVGEEEGGDGRGGGGGAVGAEEVRPPAWGRRDGGIPGGERGGGVGECGLGGEQGGEVAGDGAGAGGVGEREEAEEEEEQGSHGGGVEWGPKWRESWA >Et_4A_035360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21387495:21390737:1 gene:Et_4A_035360 transcript:Et_4A_035360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAAASAVPRLRLAAPPPPLRQAPGRSQWLLPRRRSLSSSVPNVTPAAGAGALEPPDLPRLANAARISLSPQEAEEFAPKIRQVVDCYQLRECIRRFGVKMAEPRMVSMRLRLRSSASIYCLGSFKQAFSGLPVAPDWHLPDATRRALSRQLHGQLYEPRRWVKLRVACAEIAFLKPYHGGRRIAYLLRCQEMGWPGGCSEVA >Et_7A_052831.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:18654190:18655632:-1 gene:Et_7A_052831 transcript:Et_7A_052831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSTPRPHFLLLAFPLQGYIAPALRLAKRLLAAAPDALVTFSTTAAAHSRMFSSSGEDNCSDGDGRLEFLPFSDDEVAAKPGRGAVVDAAACSAYMASFHGASARGAGALMDALRSRGRPVTRVVYTLMLPWPARVARARGVPSTLYWPQPAAVFAAYHHYFLSGYAGVVDEHRHDPSYVVHLPGLPPQRVGDLPSFITESTDPSHLFHGVFTTLRDHFDVLRDREEEATVLVNSSRELEPGALAAVGAARNLLLLPVGPVVASHDEAGLFRAEYGSKHMEWLNTKPARSVVYVAIGSFVLMAREQLAELLRGLEDTGRPYLLVVRKDNKQQAAADYGVLDLLKNGIVVEWCDQVRVLAHAAVGCFVTHCGWNSVMESVASGVPIVGMPHFSDQRTNARLVERQWRVGVRALADNGSSGLVRAAELRRCIQEVMGDGEAAAKLRRNAREWKRVVAQATAKGGSSDSSLMEFVQGAAGTC >Et_1B_013883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22311321:22312624:-1 gene:Et_1B_013883 transcript:Et_1B_013883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELVGSAFVQDAIGRVASYIFSKREEKASTWHNIERLEMAHTELEFALERTGKLPITDVSLLRRRKLLKRSFEECSGILHGCKIQAQEDREIEKGRTVVHSSFPKRIARATQSSIVYLFATKQDGLYRSDVRRFEWLADCAAKFVRDVEYGCSIRHYTFCSPLLRQLLEGKTLSLHGFVDEAGRNDLVDLSPPLQLWAGLVPHGSFEGLVKSNEIKSEDASHPDGKCV >Et_3B_029525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25747248:25749778:-1 gene:Et_3B_029525 transcript:Et_3B_029525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGSKPEIFVLEGLTWRCMTELESDVIVEVGEMSFYLHKFPLLSRSGVLQRMISEYQPPEDGGGGMCTLQLDDIPGGAKAFELAARFCYDVKIELNALNVVCLRCAAEYLRMTDEYAEGNLITQAESFLGDVLGNWKDSIKALETCEGVLPTAEDLHLVSRCITALASKACASSSDAATQTALRNSASVAVDRDALWNGIGSADTPRGGLLAASSCGMDWWYEDVSFLSLPMFKRLIQAMEAKGMRDESIAGAIMFYAGRFLPGLKRNTSFSNALAGFGGGAGGGDGMSSRNVTPRAANVSAPSEGDQRYFLEEIVALLPAKKGACTHAAQNERLPLRVVVQVLFFEQLRLRTSIAGWFFVSDNAAADGGARPHPGGAIVPKGSAVAAGALAETDADPDADAPAVGKEESITDVKARVSDLEKECKCMKQEIRRLGKPRRSWSLLTRKCGFGAKVQQQQPQPAMSGK >Et_2B_021710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4713170:4715751:-1 gene:Et_2B_021710 transcript:Et_2B_021710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPLPSRFRATRGPTVACRIRASIRGKSLCHGLVSDRPAVGKEDSAGLVDSSALSLDIAFCPAGLQGLGKDPSFESTNFSLPVVFQYWVSQGNKWCDFCKIFISNNPFSIRTHELGKRHKDNVTKRLATMQKESDAKDKETQQAARALQQIEAKAKKSYQKDLENSQRNVDGDTSAAPGDGWVFDSASGYYYDKSTGLYYDSNSGFYYSDGIGKWVTQEEAYKSVKTSTANVGQSSTSQTKPPVVDSSATAIKGGPAPGRVVTKPLNPMRPIKSTPSAAATAAANNKRKREDKKPKVISKEEEAALKAREAARKRMEDREKPLMGLYKSY >Et_4A_032520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1157150:1160793:-1 gene:Et_4A_032520 transcript:Et_4A_032520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTRPRGYRDLDECSSDTVITRNTDQRLLIACEIYLMGYSIDWPYMHPEYRDHFEHIWECDTIGQRLYFAHVVHTDRVLEQVASHFGHLVGVKLLPVLLPNAPHLPHAFQTLRSGEALLHGSDVLSPKRHPIAKNLQSNVSFCDFDNFFVPVRFAAFNHRSSKFFKTSYAAGPSILECSFHDETNEFYALDAGAADQNYGSEL >Et_4B_040084.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9203745:9204395:1 gene:Et_4B_040084 transcript:Et_4B_040084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTPKAAAMRKKPSIGTRAWRLLRLAGLWARRGGAAHSLRLLRTLRRHGLGGGARGDRLRYGEREFSIDETPAFRFRTPSARVLRLIPCIAPSVPDTPYGDDRYFFSAAALRGKEEEEDDDDAESYYGYGCCGADEDSLCEVEESCDGAADEEQLLERAMAEARRASTATPGEGGEDAGVDVKAEEFIARFYAQMKLQRQISWLQYNEMMQRSVS >Et_4A_032799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1475127:1476959:-1 gene:Et_4A_032799 transcript:Et_4A_032799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSTQLLLPLLDAQHHRALLAPPACAAFVPSSSSSLPLRRLARSPARTGLRVISPEASNSVVAEAASIDAQPGNTTWSEFAARVSGEWDGFGAEFTAGGDPVELPENVVPEAYREWGVKVLDWQTQCPTLADPSARAPCALHYRLVRLLPTVGCEADAATVHTSHQRHAASAAAFAYAAAGSYVAAWPKGPAPVLEVEHCLVRNDEEEEGVARVRVVQTVALGREARLRGVKVFSEQWYGPFRNGEQLGGCAVRDTAFATGEKLHVSQVVGRWETTDAKAARFSGELDPETGKFAELSPDEPSTLSRDADGVVTLPKQLWSAFKAHGDGEFLCEVGWVLGGGGSAVTSRCVVSKDGDVKASVSDLSEIATAYESRVSEGT >Et_2A_017552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35354080:35361883:-1 gene:Et_2A_017552 transcript:Et_2A_017552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGDSFVLRSGVRAGLKREFAFAIASQAALEPLGRTRRSSRTLLDPKPKLKRPRQETNPQQQPQVEEEEEEQEHGVEPPASPVLALMAASSHPPPIPASAPTTDADPAQHTAHLLESSPRRITRSMLLKPPPPSPDNAAPKPEPPQQESEARRRFTRSLLLKDDDDLSGTTTASNSSSSPPPNTTTPNKGTSILNTNKIPTNLKELLATGLLEGQPVKYIMRKGKRAVLRGVIKRVGILCSCPSCKGQKVVSPYYFEVHAGSTKKHPSDYIFLENGNNLHDILRVCANATSDMLESAIQKAIGPAPQTRTFKCQTCKRSFSTLRRGKFALLCDSCLEFKGARNSSRSSKIGRSPTSSANRCKSASPGSKTVSAGRLTRKDKGLHKVVFMSGVLPEGTDVGYYVGGKRLLDGYIKELGIFCHCCNTVVSPSQFESHAGRAGRRKPYHNIYMSNGVSLHELSISLSKGQKMSNRQSDDLCSICSDGGQLLLCDTCPRAFHRECVGLSAMPKGTWCCRYCENRQQRESCLAYNNNAIAAGRIEGVDPLEQIFTRSIRIATTPETGFGGCALCKLHDFSRKKFSPRTVLLCDQCGREYHVGCLKEHDMADLMALPEGAWYCSSDCVRINQMLAELVSRGAEPITVVDMDLIKKKREERGLTEDGDLDIRWRVLKDKCSVDSKLVLSKAVAIFHESFDPIIQITTGRDLIPAMVYGRSVRDQDYSGMYCAVLTVGNNVVSAGLFRIMGSEIAELPLVATSRDSQGLRYFQVLFASIERLLASLKVKHFVLPAAEEAESIWTHRFGFTKINQDELREYLKGGRTTVFQGTSTLHKPLGDVNVKPRIILVAH >Et_1A_007137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31165349:31166689:-1 gene:Et_1A_007137 transcript:Et_1A_007137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMTQSARRAAVGSAPALKHLGTRLFPTRPVAGSGCPAMSAAVAVRSPTVPAPWMACIRSVGTAAAPPVTDGLGAATPAAGDKKEKEAASYWGVAPTRVVKEDGTEWKWTCFRPWDTYEADVSIDLTKHHVPVTTGDKVARWTVKALRWPTDIFFQRRYGCRAMMLETVAAVPGMVAGMVLHLRSLRRFEQSGGWIRALLEEAENERMHLMTFMEVSRPRLHERALVVAVQGAFLHAYLAAYLISPATAHRMVGYLEEEAVHSYTEFLREIDAGRIDDVPAPAIAVDYWRLRPGATLRDVVEAVRADEAHHRDVNHYASDIHRQGHALREVAAPIGYH >Et_3B_028749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18775949:18781823:-1 gene:Et_3B_028749 transcript:Et_3B_028749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCFQFTVYENGTMVTASPEDSDKTEDVSIEIDPWNPPYEPRPAAPRDLDMVSQIKLVKEWINQTEAMIAAYRATHTIIPDRTPQVASDAFFNILRRLEPILEKDSVRLFLRLFDQRNGFGMAWGFVITPQTLNQIVKQNAVRCAKVVLEGKAPELRGHRANPNYMNRYGYFPLHQAAEIFSVDMIKLLFSYGASANLRTAGPDVTENLLPLHVAVENTCLHKFLEDNLFPYQEKHLDYNEADANNIYKLIHLLCLPEMKIFLDTTRLLAEKTDSLVDEIWKYIEGSSIATGSSKEDTGIFCKGNGTNKMNGFSIIMKHIMDHTVALDATLAQNRRAQKQLKAKRKHFSNSLMLVHVISHAGGFLDTYIQAHPEVTHVMRVSHGEVLERVSSILKSRGFFPTEGGINIGSLCPYEHALPNPFNEGLPDRHVAEMHYLHPAAEKAGRKKQPRGWDLKYTRSSFFPYWRSILSPRLVRIMPQMLSLEQIDSVRNESAGNGFSLISNRDLDFVGRFPQLRSYQPIKPFGAAGALTAMKILKNA >Et_10A_000034.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:17457233:17458060:1 gene:Et_10A_000034 transcript:Et_10A_000034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFVLYQMHRIIAKPEAKSALEFIAESVEDLLQEQLYISRTTRPLHPGSSFSHLLHMVYSYFEVTTPPQEGPPGAAPRRSLTGRWRRATDYSRYADLKFKPRRFKQDDKWTVLDIDLQGGTLYIPFLRVGSSTLTMLRNMMALEEQQEKRPVTAYCYFMSQLACKEVDVDLLQRANILEHFLGSDEQAAQGFAELCNGVALDMDNLERNYLKPIWHKLDNRCRTPVRTFMGFFRQRYWNNVFYQLAFLAVLLLFLSELTQAIYAVIAYHKPPKA >Et_4B_036694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10739595:10743059:-1 gene:Et_4B_036694 transcript:Et_4B_036694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYGRDPWGGPLEICHDSATDDDRSRNLDIDRGALSRTLDETQQSWLLAGPGDQGRKKKKYVDIGCLVVSRKLFVWTIGVLVAAAVFAGVVAGIAKAIPRHHRPPPPPDDYTVALHKALMFFNAQRSGKLPKHNNVPWRGNSCMKDGLSDPAVRQSLVGGYYDAGDAVKFNFPAAFSMTLLSWSVIEYSAKYEAVGELGHVRDIIKWGADYFLKTFNSTADSIDRVVAQVGSGATSPGSSQPNDHYCWMRPEDIDYPRPVVECHACSDLAAEMAAALAASSIVFKDNKAYSQKLVHGATTLFQFARDRRGKYSAGGSDAAKFYNSTSYWDEFVWSSSWMYLATGNSSYLTLATHPKLAKHAGAFWGGPDYGVFSWDNKLTGAQVLLSRLRLFLSPGYPYEEMLRTFHNQTSIIMCSYLPIFKSFNRTKGGLIQLNHGKPQPLQYVVNAAFLASVFSDYLEAADTPGWYCGPHFYSVEVLRNFARTQIEYILGKNPMKMSYVVGFGKRYPKHVHHRGASIPKNGVHYGCKGGWKWRDTKKPNPNIIVGAMVAGPDRHDGFKDVRKNYNYTEATLAGNAGLVAALVALSGEGHGVDKNTMFSAVPPMFPSPPPPPAPWKP >Et_1B_012152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29485477:29486277:1 gene:Et_1B_012152 transcript:Et_1B_012152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKRLHFSEGRPKVIPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAELTEILFF >Et_5A_041836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3210406:3212761:-1 gene:Et_5A_041836 transcript:Et_5A_041836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGTYSPAAEAGGKRREKREELRRHLAEDADWPRADGRSFHDCRAAFLQTGPTTAASGSAYTEFGKTKVIVSVFGPRESKKAMMYSDVGRLNCNVSYTTFATPVRGQGADNKEYSSMLHKALEGAVMLHTFPKTTVDVFALVLESGGSDLPIIMSCASLALADAGIMMYDLVTSVSVSCFGKNIIIDPTSDEEAWQDGSLTVAYMPARKEITQLTLTGEWSDGKITNAVELCMDACSKLCDILRDRLKDPATLANE >Et_5A_040695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11148938:11151506:-1 gene:Et_5A_040695 transcript:Et_5A_040695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQIIGFGSSAALTRDALRDRLTAAYAEEEAAGDDVTCLAISCLLTWMNFHSKVPLEEITCPICRFINDVKFAIFAAELGPRFLTYGPEPRDAMPGRLEMAQVYSVTVKGIKPELGFHWPLHVYGFVAARDAIDFKRNIIFHRDRDSCQTLTEEDSSLVLTGPTRAVAAGDPINFEIELKVKGSRESEDKILSFLVIEHNCIGARYSYRKFYRQAHSNKYCTTEFVFAQLRDGVEATIDVKIVEGLWSQFRPRFVARTKSFPDVDFVLLDHRGGAMVESEEGTIKLSRSVVSVESSGELEFTAEAMEPGCSTIVVSDRVQFAPKRSGTTEKHINLGFCKIE >Et_5A_042646.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:2447252:2448337:1 gene:Et_5A_042646 transcript:Et_5A_042646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLPSSPSPVQLLLLLLVAATATPTTVSGIRVDVIRLPSFPAFREAPAFRNGDECPQRGSAEGRVDVAMTLDATYLRGTMAAVFSILQHTACPENVAFHFLAARRHAGDHHHQSHPDPLAAIRATFPYLDPTVHRFDPSRVRGRISRSVRHALDQPLNYARIYLADTLPPDVTRVIYLDSDVVVVDDVRKLWSVDLAGRVVAAPEYCHANFTKYFTDAFWSDAELSAAFRGRRPCYFNTGVMVMDVARWRAGAYTRRVEEWMAVQKRKRIYHLGSLPPFLLVLAGDIKPVDHRWNQHGLGGDNMEGKCRSLHPGPISLLHWSGKGKPWLRLDARKPCTVDYLWAPYDLYKAAATALEE >Et_6A_047944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2574853:2579035:1 gene:Et_6A_047944 transcript:Et_6A_047944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALLVLAATALSLPAASLAVTSPYVRPPPRETLSLLKDDDADGQTPQQVHISMVGLDKMRVSWITDEDAPAIVEYGTMSGQYTSSATGDTTTYSYVLYKSGKIHDAVIGPLQPRTTYYYRCSSNPSREFSFRTPPATLPLKFVIVGDLGQTGWTDSTLKHVGAADYDVLLLPGDLSYADFGQPRWDSFGRLVEPLASARPWMVTQGNHEVEKMPVVEPKPFKAYNARWRMPHDAGASPSGDNLFYSFDVAGGAVHVVMLGSYTDFAAGSAQHEWLRRDLAAVDRGRTAFVVALVHAPWYSSNEAHRGEGDDMRGAMEELLRGARVDAVFAGHVHAYERFKRVYDGKEDPCAPVYVTIGDGGNREGLAEKYADPQPAISAFREASFGHGRMEVVNATHALWTWHRNDEDEPVVADQEKAWRQNMFRLRHEDVNI >Et_10B_004436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6994794:6995752:-1 gene:Et_10B_004436 transcript:Et_10B_004436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFNVGPQKITKAVYEKCRCSHPGSEACVEDHVKVTRSKIKSPFMSCGLDAMGEQVLKLLTAADKKKLNDIEKLIPQKKHDNFMKIVLKQFSSERKTDLAKYYFNVFHPRRLANLTRAEATNAIDLPKRLSLLCGALLHPTSPMRGIGEGKVLAQRQ >Et_3A_025672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31846513:31853072:1 gene:Et_3A_025672 transcript:Et_3A_025672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRRRERQVIALAGAAALVAVGLNIALSAVAAHRRRKRRELPGFTAQVKLSAPEIKRLADRIIAKSKNTYDSVAAVALDKVSFSNVIAPLAELDALQFPLVQACVLPRMVSTSEDVRRASAEAEKQLDSHFLLCRQREDVYRVVKAFTVKGERIGPEATRFVQCLVKEFERNGVKLTQSKRKEMERLRSCINELNLKYIQNMNDLTKFLLLTEDELAGMPIEFLKDLEKEDGKLKVLLTIYHVTPILEYCKVGSTRREVAVAYGQKGGKENLEILQNLVQLRHRLARLLGYPNYSDFAIEPRMPRTSRKVLEFLEEMSEQLSDIANRELGILKDLKMKEEGNAQFGMEDLSYYMKRVEELKVDIDIGEIKQYFPVNLVLSGMLKMFQDLFALRFEEIKDLEVWHDTVRVFSVWDASSSDLLGYFFLDIFAREGKYAHTCVVTLQNGYLCSQGRHKVPAAVLLSQCPKEFDGSSALLRFPEVVRLFHEFSHVVHHISNRATFSRFSSLRLDGDFVEIPSLLLENWCYESVSLKMMSGFHQDITKSITSEACQSLKTRRDLFAGLKLKQEILLCLVDQIIHSSEDVDIDDLIKDLHPKVMLGIPLLEGTSPASCFPRIAVGYDAVCYSYIWSEVFAADLFVSKFKDDLLNQHVGMRFRNKVLAPGGSKDPLDIITDYLGREPSLQPFIKSRTRNGL >Et_10A_000999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1990326:1991950:-1 gene:Et_10A_000999 transcript:Et_10A_000999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVCAQPKPPRPPAAILEAAAMAEEQRLSTASSTGAPNSSLSSASSGGSLPRCSSLSSRLSFDCSPSAALAAATCSPQPPPPPRALSRPHRSGDAAWAAIRAATTSTAAPLGPKDFKLVRRVGGGDIGTVYLCRLRSNSSPAEKEKKNGAPSPCHLYAMKVVDRRLVAKKKKLERAAAEKRILRVLDHPFLPTLFADFDAAPHFSCVVMEFCPGGDLHSLRHRMPSRRFPLPAARFYAAEVLLALEYLHMMGVVYRDLKPENVLIRADGHIMLTDFDLSLESTSSPALEDDDDAANPADQPDDDAAAVFCFPDHLLPRFTRRRRRQHRKTATTQPASSTQHPRFVAEPVSARSCSFVGTHEYVAPEVARGEAHGAAVDWWAYGVFLYELLHGRTPFAGADNEATLRNIVRRPLAFPPGSGSSCGPLDAAARDLVAALLAKDPAARLGSRRGAADVKAHPFFKGLNFALLRSARPPVVPGAAPAMHRSKSCAAAAPAAKRVEFF >Et_3A_023179.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:6108821:6110653:-1 gene:Et_3A_023179 transcript:Et_3A_023179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VDGDEVVLRRQAQRAAALEPQRHGGHLDAGAVGEVVAGVEQRHPGGAGQRRRAADEGVAEGGVGRGLEPRDGGAGVDDDAARAGGVHGEGGRRDGQRLPADGDPGEADVVECVGARTAEERRRDGVCIGAGAVAELERGRGGGVAVDEAVGEGGRRDLGREGERAPAEREQARGADEEALVVVAAPDGDAGDGRGVAQRERLGGEVPHGVGPVAVGHLVEAGARGVAAGGGVEVAAARGVAGDRGVGGGEGRVEHRVRLLVAVGAGLALHPRHVAPRVQHHVQLPRRAAEPHAGEVLAAALAHARHHGALDRRRRRREDGRVVGGPEVGPGQALRHQRRAGRHRGGPRRVAPEAGEDQGAVALVTAMLAREEVAGVETHRQAPRLLLPRPPGARPHGLFGDGLVQQRDAVGGDGGAGAGQRRGDVERLGHRRGVAAGDGESWRDGEDGCNEEQREEGSRRHCGRYSWWWGVEWSVRGMSGGEEGGWIFQEPAAGCSDEGGRNRMEWNGTGGSRHLGASSLSLTRRLISELQQKMGRFGDGGGGQGEHALGRELRVNNHFFLRSPDGHTPPLRKNLLLTTTVAVAGLLRLVESLCRFTVLFTLFDACAVLR >Et_7A_050682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10021913:10023933:-1 gene:Et_7A_050682 transcript:Et_7A_050682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTTTFQQECRWGQNEWQRIWTSIKQGAVQGKDKKQLLDIIFHSKYERNHFARTNKDKTMCDTLSPIHVALILGNPPDQPDPVIYKPQCPLFDELLPMPPYARRLSILDSFFQGLSVDLDFDHLIQGIFYHIFQKCLKTSSGRWNIHILRVVNEESEDVHVDLALCILQYLTKNAQIIPSILILVIITKIFNVTFQETFPVGLPGNIQREASCKDMLKLEFVQSLRGNPLDNYNNIVKLLCQEASRGVTNNNIDTSITDIELPNSQDFASRFQYKKS >Et_1B_012368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31240034:31242635:1 gene:Et_1B_012368 transcript:Et_1B_012368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVVDDVTGATSAAPGVGNGVNGKPASPDGVTPRSEEEHEERANEDNSGESEVINPPEEACGEATSPPGGRKPRLSKRDKDPKVVKSKSPRSGDEGQTRRRDPNSSLAKAPIARVSGCRTNGDAGVGSNKTEKNEAHPPPKDKEAPLPDDSKEKRKTQKPLSQQSSVKRDEAESNCESSKPRKIGSTPSYGFTFKCDERSEKRREFYSKLEEKIHAREIEISNMQAKSKETEEAELKMLRKSLKFKATPMPSFYKEPVPAKVELKKTPPTRARSPKLGRPKSKSTPETNQPVRLSLDGKIPQNGVKQSTPSNSVKKPHRKSLTKLPSEETGPLDAAANTKESHGTEVNTDFVQGPIRAEVTPDEQEVSEHIVA >Et_2B_020144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17246670:17248960:-1 gene:Et_2B_020144 transcript:Et_2B_020144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPRCVWESSSEDVTRPLLPLHDDHAPTEGGLGRSCCSGLRAVLAANKYLAVASGPLACALICALADLGGGRHRAARNMLGVLAWVFLWWITDAVPLGVASMAPLFLFPAFGIASADDVAKAYMDDVISLVLGSFILALAIEHYSIHRRLALNITSLFCGDPVKPHLLLLGICGTTFFISMWIHNTPCTVMMMPVATGILQRFPRDAAGAAADEARRFSKAVVLGVVYASAIGGMATLTGTGANIILVGMWSTYFPEQQPITFSSWMSFALPMALVMFLALWATLCLMFCSKNTGPALSAYLDRSHLRTELGLLGPMAFAEKMVLAVFGSLIVLWMTRSLTDDIPGWAVLFDGKVGDGTVTVLMATLLFIIPSGKNDGEKLMDWGKCRKLQWNIILLLGAGFAIADGFKTSGLTDILSEGLSFLRGAPALAIAPVACAFSGLITEFTSDDATTTLVLPLLAELGRSIGVHPLLLMVPGAIGAQLSYLLPTGSPGNVVGFSTGYISIKDMVITGMPLKIAGTAALTILLPTLGSLVFGMDQKV >Et_4B_039261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9208748:9212905:1 gene:Et_4B_039261 transcript:Et_4B_039261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERGGAKVIGGGGGGGGIFNLFDWKRKSRKKLFSNSPEGAKLVKRSEDGLPSGRLHLLDEDEGLGVSSFKGSSDYSCASSVTDEEGREMKAPGVVARLMGLDAMPSTGVPEPYCTPFRDTRSFRDSHSLKRSPEYSMNDQFSNVPRRVDGYMRKPLDMRAQKMPSSPIERFQLEALPPRESIPATQRNVPLKTQSSRTTPHELPDVRFSRGQQMNRSWNGEEDIVIFRPSVDSYEINPSCSKSNKSKSVSLALQAKVNVQKREGLSGSGRNSGVQKEQDNQTTNQPFRSHSNHQRNKQQKKPSSSGTSSPVLRQNNQKQNSMVNRGKVAPNKSASTQQGRKVMAGDSSSGKAKSGSKLSKVGGRKDIVESISGDREGSSSNNKDFPQKKRLIERNNTNEKGTFVPEKPAGKLQKQVQPNVVMDEHIKWNKESKDSTDVVSFTFTSPLVKPSAGTSRLAGKWDTRNNFNLESGCDKDDSDNKAEGPSSVGLNFVNGDALSLLLEKKLKELTSKIDPSITFTRGDTFVPVTFNLEEPPTSSCSNWGSESGVFDCSPPEVKPSKYVDYCQSAQSSTKGQIFRASKLQVDEPEECSSVSNARKEQEHEDLSPLSVLEPTFISESCWSSECSGSSDGNSSSTKCCSFFLNRTFVSMKTSTNHLYLVIGSKGYSSSSEVKNVPGNFFMNPPSPDIQAKTTDSASSASLDASDISDVTQYSKKSRNTELEYIGDVLSNVNLTTDKLGSLFVHQDGTALDPLLFEKLENMNVYTQGKDHLGRRGYRKLLFDCVNECLETRRLTYFRAGYAAWSKGAAALSRGIETEVCKEIASWKNMGEWVEDELVDKDMSTGLGTWVDFRAEEFEAGEEMESEILSSLLDEVIGDMIVRRRQDCKFVKLDVFYGGQVYRTSRHQKPSY >Et_7A_050580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25921041:25922677:1 gene:Et_7A_050580 transcript:Et_7A_050580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVAVVVTVVVAGIWWRRRGRDEPPSPAGGLPVIGHLHLLRPPVHRRLQELSSRVGVGGAPLMHLRLGSTPCVVASTAEAASELIRGHEASISERPVTAVARQFAYGSSGFAFAPYDAHWRFMKRLCMSELLGPRTVEQLRPVRRAGTVELICHLLASASSREVVDLTRHLIRLSNTSIIRMVASTVPGAVTDEAQDLVKEVAELVGAFNAADYIAACRGWDVQGLQRRAADVHRRFDALLEDIIAHKEDARRDKKQHKDLLDILLDKAEDEAAEVKLTRDNIKAFIIDVVTAGSDTSAAMVEWMLVELLNHPDALRKVQQEMDAAVGGDRIVSEAELPRLPYLMAAYKETLRLHPAAPIAHRQSSERMLLLRARGGGFTVPPQAAVFINVWAIGRDPAFWEDPEAFRPERFMPGGAAEALEPRGQHFHFMPFGSGRRGCPGMGLALQSVPAVLAALVQCFHWNKLDDIIDMEESDGLVCARKNPLLLRPVARLNPFPAVV >Et_3A_023489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30504879:30506792:1 gene:Et_3A_023489 transcript:Et_3A_023489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLNLNPVNGLWPATQFGEGVIIGVIDTGLWPESASFNDDGMPPVPSHWRGTRKLIGARYFNKGLVAANPHINISMNSTRDTEGHGTHTSSTAGGSPVPGASFFGYGFGTTRGAAPRAHVAMYKVIWPEAGGRYASDVLAGMDAAIADGVNIISISSGFDDVPLYEDPVAIAAFAAIERGILVSASAGNEGPRLGTLHNGIPWLLTVAAGTFQQTILGTRPAPVVSAYSSRGPSQSYAGDSILASIAPVSPSGIIGQTLLGSNFAVLSGTSMACPHASGVAALLRAAHPEWSPAMIKSAMMTTATATDNTFQPINPLAMGSGHANPNAAMDPGLVYDAGPGDFVSLLCAANYTNAQIMAITRSSSAYNCSISSNDVNYPSFIAIFGANATSGDMRFSRTVTNVGKGSAVYHASWVSPSNVKVAVSPGKLEFNTVGQTATFEVDIKLTAPTGGDPAFGAIVWTDVSGKYRVRTPYVVL >Et_1A_009451.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5895084:5896955:1 gene:Et_1A_009451 transcript:Et_1A_009451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLVLSQAQSHQVATTPRTTAPAPAPALLEKKQREQTKPCSTAAAAAVFTQPARSLDEVRKAHARHVKLGLDRSPRHARPLLAACALSGWPGGMELAASIFASLDEPEAIDYNTLMRGHVRGRDHAAALSMYVDMLEAGVGPDNYTFPFALKACAQLAALQAGRQLQGHVVKLGFRTDEHVQNSLISFYGRCGEPSMARRAFDQMDAEERTAASWSALLSSYTRSGLWSECLDSFGAMVRDGWRPDESSMVSALSACAHLGAHDVGRAVHGALLRNAAALNTIMRTSLVDMYAKCGRIEKAAAVFDAAGREKNAWTYSAMVSGLAMHGDGRKALQVFDAMVREGHAPDAAVYVGVLNACSRAGMLDDGLRCFDRMRLEHKVAPNAQHYGCMVDLMARAGRLDDARALIGSMPTTSPTDTAWRSLLNACRIHGDLELAEHALQELRRLGAANAGDYVIVADMHARAKEWDAAAALRTEAVDRGLAQSAGFSAVEVRGEVHRFVSQDMSHPRAHDIYEMLHQMEWQLRFDGYRPDTSEVALDVDEEEKRRVVAAHSQKLAMAFGLLTTPEGAPVRIVTNLRMSKECHEYSALISEIFGREIVVRDRNRFHRFRRGACTCGNNW >Et_7A_051148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1505469:1512408:1 gene:Et_7A_051148 transcript:Et_7A_051148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AQIAPQASTARLTGARSCLSYGASWSHSPWGRRGGRGTKDLKPNRRSLPTSPRKHSNPPPKSNSNTTPPERREAPRSGEEAMVVRRLGRAVLSLPNIRRRASNSLAAVQDTFLSTKQVFENHRIVFTVGTSVASVLTAWAGYSLRHMQQSRMEGRLQKIEESLKDSHKVEHEEIKKIVASGNVSIPACVATALTTTVVGYALGWRGGAWYARRAFRREQQKMMGQIKSHSHHRWHWRPFNRLKNRLRNRASKTKSADPLQLSGQSTDTPVSSGARRLRAEAMKTQQEKEQTEVAVEESFPVRETAPPELADYPTAPTDDSWVVKLEQSVNIFLVESVIVVLDNLYRGRNYARFFVLETIARVPYFAFISVLHLYETFGWSRRADYIKVHFAESMNEFHHLMIMEELGGNSFWVDRFLARFLAFFYYFMTVGMYMLSPRMAYHFSECVERHAYSTYDKFLKLNEENLYDVFVNVRDDEAEHCKTMKACQTEGNLRSPHSMKNSLETDA >Et_1A_006258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19705217:19706279:-1 gene:Et_1A_006258 transcript:Et_1A_006258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSKIAARKSQAKDFHGKSKFFLEHQAMWEKEGKNKGYDPSIHSSRFAYQLPLPEGKWPSLSKFPRRPLDQHDKERLRGREEKRLNQEAERKEEQRRRRIEEELRRRREQFASGKVPWRHKTLRKDALPRDEQRHVSNVQMAVDFINGKCPEKKYELCEITAKNIIVDIGSEHSHYNFVAYSPTHGFEFFFAEVDNSLESKRRVLQCCTVSTGPHGYCWDCQNHGDFLIHPSNDKFFAGDQEDICWL >Et_7A_053030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5231470:5233470:1 gene:Et_7A_053030 transcript:Et_7A_053030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPKVERHKYYTCLQKRTKVHILSEGARHCFDKMSVGGGYFDGSPDQSLMAESFIHDSSQAPKNNDNTSIELQKFTVPSFSTEVLSKPADFYFQDAGAINHHQLN >Et_1B_011954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27522754:27523534:1 gene:Et_1B_011954 transcript:Et_1B_011954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRFVPSEYGCDLDGSRKEAMVEPARSMILAKLRVREAVRASGIPHTLICSYWAQGYVLPRLGDPQVDGPPATKATIFGDEKTPVIFVDEKDMSMMVIRAVEDPRTLNKILYIRPPANMCSFSRLVSLWEDKTGKSLDKYYMPQEELVKKIKESPFPLNFQLAMVHATVAADVCEQTAIDVSAGVEATQLYPDVKFATVHDYLDALLLAHPHLSSPAMAH >Et_3B_030499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4261344:4262347:-1 gene:Et_3B_030499 transcript:Et_3B_030499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQILNTAKPTAAPTSSQRHHAPSPPQAPPKLSRRAAATAIAIAAAPALLSVSPASSKAEEAGAESAAAAAPGPCVAELPVTAKAFLDVSIGGEPAGRITVGLFGDAAPAGASRFLSLVTGVGYRRKEFVKIVPGYVQHAGVVSYPAVPAATDRLAAEADALLARCVAGGGVVHGSAGAVSIVVRDPSLPPPKPKLVARGGKLEVEEEQVGVAPNGTEFVITTGAAPELDASAVLVGRVLEGMDVVEKIASVPTVKDNAGSPYFRVAKLIGDKRAVVAERGFNRPYTKILVTNCGVLN >Et_6A_046290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12138041:12139139:-1 gene:Et_6A_046290 transcript:Et_6A_046290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLALSGIFASDRNSEAETYSLNPLSLLLVDGEIGEEHGYQKNFVLGLYSRYCMEAALNLANWFKKDMAPPLPSPFEDLHGLPLFDESTPLLDKEQDEMVNASCAALDNFGIGTLIRECTDLFNGLQSLTDCCGGDGRTVRALIKAFPHIKCTVLDLPKVIKINTAKDHGINFVAACTAPLDCVKILSQCRKAIPSRDEGGKVIIIEVISDPSLGPIMYEAQLLLDMLMFVNTCGRQRGENEWREIFMNSGFSDYKIVKKLGARAVFEVYP >Et_4B_039959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6829021:6832592:1 gene:Et_4B_039959 transcript:Et_4B_039959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLTGTPGSAAAAGAAAAVKPQFHHYHHHHRLPPRHHHPSLLSKLAFWSVCSLSLLLAFLLLSPSSAPAPRAAPESPRRSLHTSASSTASWGGAAWEKKVRASARVRRARGHSVLVTGAAGFVGCHAAAALRRRGDGVLGLDNFNDYYDPALKRGRAALLARSGVYVVDGDIADAELLAKLFDVVPFTHVLHLAAQAGVRHALVDPMSYVRANVAGLVALLEAARAANPQPAIVWASSSSVYGLNSHVPFSEHDRTDRPASLYAATKKAGEEIAHVYNHIYGLSLTALRFFTVYGPWGRPDMAYFFFTRDILAGRPITVYESAGGSHQTTISRDFTYIDDIVKGCVAALDTAGRSTGSGGKKRGPAPFRTFNLGNTSPVPVTQLVDLLEKLLKVKAVRKVVKMPRNGDVPYTHANVSLAQRELGYRPSTDLQTGLKKFVRWYLEYYHPELAEKQKQRGSSNGKGSRGRNGLHQVLKLRIVLVY >Et_9A_063271.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:18465680:18465937:-1 gene:Et_9A_063271 transcript:Et_9A_063271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIIPFIYRAVVQYRKEGQVSFADLLFDEPSPTSYFHLPGDSGRYQVIVSDLFSHSAADSGVTRRSPARCPSPRWRRPQDRESR >Et_2A_016250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22551124:22552669:-1 gene:Et_2A_016250 transcript:Et_2A_016250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRNQWHPHQEEPVDDLAYVYQQQQEEQPRLFTHPLPAELLQQQYYTSPPATEPLNSFHQSQSSNFPNMGVPAAMPSPPFGCLALNNEPVQPSSSNMLSFSGQPPRTLSFSAGGDWPDEIEAAQQVSERRSWPHANAQEHVIAERRRREKMQQQFVALATIVPDLTKTDKISILGSTVEYVKQLEEKVSALKEQSATRASEPNRISSDNDASWTNRSASPDAVSGYVPTVEASIHGDTVLLKICCKEKRGVLVMIYSEVENQGLSIINTSVLPFPDSCLSITITAKARLLVLHSTS >Et_4B_036968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1322880:1327989:-1 gene:Et_4B_036968 transcript:Et_4B_036968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRKTKFGSEDADGPGTEEKWAAVAAEGTGKESESTTRRRSVRSGSEMSAPRPARKWAAARPAKQSACAAAAVEALICAPSARMAGPRVGWSRDERGGERRGGVVARRGRSWGGIGGRRSRLPVAGRVRFTVVHLCSSSARETEYSPSQRRATGSRGIQDRRYGAVRALGPPGTFCFREVLGLVAASLHRSGKMAKPLPPLRRGRATTLLLLAVLVGFLASAARADLVISKAERRVDLTSHIVRVLASLKVENVGPDPVSQVLLAFPNIQAKNLAAIRAFGSEGKAKSQSIVLPIEVVQPSGAPPELTFFSALLPKPLEKGKSLNLDVLTVFTHSLQPFPEEITQAEAQLVVYQDSAHYLSPYPVKSQTLTIRLPGGRVESYTRQPNAKLVDSELKYGPFTDLPPFSYSPVIVHFENNNPFAVAKELIREIEISHWGNVQITEHYNIVHGGARLKGEFSRIDYQSRPYVRGVSSFRHLIATLPPRAYSIYYRDEIGNISTSHLWGDSKKTQLEIEPRFPLFGGWQTTFTIGYGLPLEDFVFTSDGKRFLNITFGAPMEEILIEKLTVKVVLPEGSKDIEVSVPFPVKQSQEVKYSHLDTVGRPVVVLEKPGVIPEHNLYFQVYYRFNNISLLREPMMLITGFFLLFVACIVYMRTDMSISKNSPSYVAKLQWDEVQATVQKIKGMFEQYLAVHDKLEASLRELSRTGDIQTCKAARKAADAQFKELSKELKPLLTSLQSSPQSYQIWPKVEDLIAKEREMQEKLMTRHSTVVDSFEKKLRGQDVENRIAQQQQKIAALRQEIESLLEYISEI >Et_2A_016189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21960878:21976217:1 gene:Et_2A_016189 transcript:Et_2A_016189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGAGFLLIGLWQLFSHTRLFLLRPSSYSAPVWFPVRGARYLELILIIIGTVMSILMELVIGPAKHQPFDDDGTIPSYHLHNFEHASISLALLLFAAVAIHLDRVRAPMRDAVSQLVAAAAFAQQLLIFHLHSADHMGVEGQFHWLLQTVIAVTLATTLLGIPHPRSFAVSLVRSASLVLQGVWFVVMGVMLWTPALIPKGCFLNYEEGHDVVRCRTDDALDRAKSLVNLQFSWYLTGTVVFVVVFYLQMVKLYPEEPRYLPLVKGGSGGGSDSDGRFSIGDDRDDEDDIEAAKSGFGHVVSGTKPIEIERHSAPVMGTLVGHVAPGTLFLLIGLWQLFSHIRLFLLRPSSYSARIWFPVPGVRHLELIVIIIGSAKPQPFDDDDDGAIIPSVHLHNFEHASISLAWLVFAAVTIQMDRVRAPLRDAVSQLVAAAACAQQLLVFHFHSADQMGVQGQFHCLLQLVIAVTLVTTLLLVPYPRSIVSSLVRSASLVLQGVWFVVMGVMLWTPSLVSKGCFLNVEKGLEVVRCHTDEALDRAKSLVNLQFSWYLTGTVLFVVVFYLRLVKLYSEELRDVPLVKGSDSSRFSIGNEDEDGLEVAKGGLGHAVELGEVIVPCNEPPTHRPAGDRAMGGTPRNSIGHILPGAGFVAVGLWHLFNHMRLFSLRPDSYVAPVWFPAPGVRHLELILVIAGSAVEFALEMFVDHSTLLPFAADGSIPSDRLHNHEHALICAALLVYASAALHMDRVRARGRRALSLLLVAAVFAQELLVFHFHSTADHGGFEGHFHWLLQLVVAACLATALLGVAFPRSFAVGLARSACVAFHGVWLVVIGAVVWVPSRVPKGCELVREDGRDTVRCHGKDSLHRAKAIANLQFGWCLTLMTVFVVVLYLCVCKMYPAEAAYVRVPVAGEDEDEQLEEAKCGGDGRHGFTALEIEM >Et_3B_029604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26388986:26391814:-1 gene:Et_3B_029604 transcript:Et_3B_029604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTATFLSVALALAAIASASLLLLNRLLYGKLPPGPRPRPVVGNLFDVKPVRCRCYQEWARRYGPIMTVWLGTWPTVVVSTSELAKEVLKTHDQQLADRSRDRSSERFSRGGQDLIWADYGPHYIKVRKLCNLELFTPRRLEALRPIREDEVTAMVESVHKAITAPGKEGKPVVVRNHLAMVAFNNITRLAFGKRFMNADGELDEQGREFKGIVSNGIKIGASLSIAQHIRWLRWLAPVDEQMFNAHSERRDRLTVKIMEEHAMALKQRGAKQHFVDALFTLKDKYDLSDDTVIGLLWDMITAGTDTTVISVEWAMAELVRNPRVQEKLQEELDRVVGRDRVLSETDFQNLPYLQAVVKESLRLHPPTPLMLPHKASARVKIAGYDIPKGANVVVNVWAVARDPKVWDSPLDFRPERFLQESIDIKGADFRVLPFGAGRRVCPGAQLGINLVASMIGHMVHHFAWALPDGTRPEDVDMMESPGLVTFMATPLQAVATPRLDKEELYRRVPSEM >Et_7B_054140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1633476:1634079:1 gene:Et_7B_054140 transcript:Et_7B_054140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRTVVKVDTSCAKCKRKVLQAVSGLHAPFQPHGSCVDPNDRVFLQSADEQRKQPVILTRLPCRVPVFEPGVDKIEVDSENSTMTVTGSVDPVDVIVQARKAGKRASVITVGSPPKSAEEKKPEQQQKKAEEKTTEQQQQKKTKTEEKKSADAEKKAPEPAATVFVHHVPSSWPCAAAPMYHERVVYEQEPPPCSIM >Et_5B_044167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2091239:2093817:1 gene:Et_5B_044167 transcript:Et_5B_044167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLLIPDAAGAAAALSFEQPLAPCRVSVHSPPPAAVKMLRLESNDPKKHVSLSASPSKTSSITAAAQSFLIPDAPAAAPPSDDQPLLALCRVSVRSPPRAAVTTRRREKDPKKRVVITGMGVVSVFGNDAGAFYDRLLAGESGVVPIDRFDASAFPTRFAAQIRDFYSDGHVDGRNGRRLDDCHRYALVAAKKALESAALAVGSRAMNKIDKERAGVVVGSCIGGVNEFSAAVEALKSEGPMEISSLAIPLAMSNAASALIAMDRGIGFMGPNYSVSAAGATANHCLHSAADQIRLGRADVMVAGGTEAAVVPAGLGALAASGALSQRNDDPGTASRPWDRDRNGFVLGEGAGVLVMESLEHAMLREAPIFAEYLGGAATCDAYHLTIPRPDGRGIALCIKRSLEDAGVAPEEVNHINAHAASSLAGDLAELVALKQVFKAPNQMIKMNATKSMIGHCLGAAGGLEAIATIKAINTGWVHPTINQINPDPACPFDQFDTVRNVKQQHEVQVGISNSFGFGGHNSVVVFAPYLQNSFY >Et_7B_054124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15778395:15785434:-1 gene:Et_7B_054124 transcript:Et_7B_054124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNPEALWVKVLKGQMPLLLQDKAPQHQSAPALTKHKLSESPASVADICPRLPPRRFSPSHLHRRPRLLSSPLRPRTRPAAFLLPFAPAPASTSPAAASSAKAPLSTRNQRTAASLPPRRRTWWRNPRVCLQGFAEAFAYYCWYAKLLLANATNVLVSALMGNILNTVKRFSKNDSGAIPFLRWSNLPIEASRLNKCTCVDSDGSVTAKIDSVPKGTIDGCEGFHKKVGNDGFHLTTGITSREGCFDDVNFITLSTAHIEQSGSAEADKNRGTRNETVDTVAKELRFDECPEKAGLIYSQTSDNMLHNLKDESTEIPTSVMISNDFVNKVCSRNSELANELETSASMDRHKRKEFRLQRVSHADSLSGSSVSDEQDVLGFGCKSSIVVAFEEQRHDRTQHKWLCDDTAPIETVTACATFDVRGLEGTTRTSLKRRAIRKTITTKASNQMLVSKENIRTLVPEASLKGQGKRKRTTIKASNQMFVPKENSRISVPSDVTCVEIEKEPMSPLVEQSCGKKVLQSTPRSRMSKTPLSYLIISETAYMLKFDAWIQHTDSKIDSKIKVHESPLTRSIAKALSISTPESMKRSRTVPNFICLDPSKKISSGRLIVPRLDPGSQNIIYGPRGIRSHLLRGGKVF >Et_1A_008303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6110115:6113432:-1 gene:Et_1A_008303 transcript:Et_1A_008303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPALKDAVGGLDREPFVALLGKLIGESARLQNDPPNHVPQENLVAQHVVDALRPVSTDAGGPLIVRKVSYDEGRSNVIVEYPGTVPGRVVSFVGMHMDVVPANPSEWDFDSFSLTFDNEDKDKLQGRGTTDCLGHVALVTQLMKRLGEVKPTLKHSVIAVFICNEENSSVTGIGVDGLVKDGLLDKLKTGPLFWIDTADKQPCIGTGGMIPWHLKATGKLFHSGLAHKAINAMELNMEALKEIQKRFYADFPAHEKEKVYKFATPSTMKPTKLTPFYSTSSVVKKLKDYVQDINENIESLDTRGPVSKYVLPDENLRGRLEITFDGDVMNGVACNLESRGFQALCKATEEIVGHVEPYSITGSLPLIRELQDEGFDVQTAGYGLLKTYHAKNEYCLFSDMAQGFQVFVSIISQLEAEA >Et_3B_031643.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6666501:6667163:-1 gene:Et_3B_031643 transcript:Et_3B_031643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMETEAEEIEIEAETTWKGLFQRRVVMADTHCHTVHGLLRGMLEFVTADAGEWGRDQQPPCAAEVQRALDSAAMELGLALASMGAARHIALRGGAPSPSAPLESADDLAGDPDVWCVLDRLEKAAVLATGVHDRLECARGHLGAAALLLALDDEGGGAPWEQSPCLSERLNGVMELSEALSKAVDLVAATAAASEAAFGFRDGSLASQDPVVRLRSPAP >Et_8A_057501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3760129:3764908:-1 gene:Et_8A_057501 transcript:Et_8A_057501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRRGHGGGGGGEPGGGGRVPASLAALLCCLVALAGGAAAQKAPGPATYKTLSGNAPVIMAQGGFSGIFPDSSKAAYAFAMLASAPDTVSWCNLQLTKDGVGICLRDINMQNCTVVSQAYPARHKRYVVDGVLKTGWFPVDFTMAELRNHDIFYGQHGLNMRNYILSIKKRVSVNYISSPELGFLQSIARRVGRKTKLVFRFLDQTSTDPSTNQTYGSLLSNLTFVKTIASGIMIPKNYIWPVTSDNYLQPHTSIVTEAHNAGLEVYASDFANDRVIPYNYSYDPLEEYLSFISSDGFSVDGVLSDYPITASEAIENPLVISHNGASGDYPDCTDLAYRNAINDGADVIDCPVQVTSDGVLVCMSSINLLDTTNVQQTTFSSLASIIPQVQSTPGIFTFNLTWDNLTSSTLKPRMSSPLSSYILKRNPRYTNQGKFIKLSDFLEIGKDKDLSGVLIIIENAAFVAESLGIDILGAVTTALNDAGYNNLTTKEVMIQSKDSAVLVKLKQQNTKCKLVYTLPSDIGDASPSSLVDIKKFANAVVVDRFSVFALSGDFIIRINRLVNDLQSAGLDLYAQVFRNEFVSQPWDFFADETVEINNYVQLVNISGFITDFPKTVGSLAQLAQPPAIAPMPTLNASSVEEPPLPPVASKNTSGGAPTPGTTSTPGTGRSDAHSTIVSTGMLLAT >Et_6B_049294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2866183:2870717:-1 gene:Et_6B_049294 transcript:Et_6B_049294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTQASKKRRSVYISSESEDSDTDSDVEGSKICQKSGVASNSTCEHQSSYKKKTESMDARKIRLCGNILRKLMDQGDKSLLFLQPVDPALYGIPDYFDVIRNPMDLGTVKKKLANRQYASTNEFAADVRLTFSNAMTYNPPGNYVHNVANELNGIFESEWESVERKCRDRNLVKEQQSTKFIKVRVNSKSGVAKALLSGPNSKPVIDRGPVPCANSVAKKALTDVISSKVKIKFSVRSSEQSSSKGIPFRVDGSREGSVKQSSLAVHESLNRSLPCIKETVKMSRLQAPEHTSELIGNESRSCNDTSTSPLTSGQGEESYLQNEPLSPSRALRAAMLRSRFAGTIVKAQQKALLDHGKNIDPAKLQLEKERLEKRQQEEKARIEAQVKAAEAAAQLKLDEEMRMKREKEREAARLALHMMKKTVDIDNSDFLKELENFSKTLQSSPPSTLIVDFVDGIDLPPGLGSPLERLGLFMKKDFEEEVEPEMEEVEHESGDSVSPPTDVDVEEGEIDCCR >Et_3B_030906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8685040:8688977:-1 gene:Et_3B_030906 transcript:Et_3B_030906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FTRRRRTTTDRPGDKLSTAAISSSGQPTCGSLPSSSPRGRPGIQGERQRRCSVRLPPRSSDSSGGRALSSLLPLGWARERRMFSLSLIEHNLPMPPHLLSRPLVDAVKAELESREALLGQGYPDPRPVRSIEGGSIHPGEGCSTYTVSFRLLMFKPFTGEVLVGRISGYDEKGLQVSFDFFSDICIPGHLMQYDTVRGPDGRWMVVTTDGDELYLDLDDEIRFSVSSIKYPSIPVEQTEEDAPFAPMQIVGSIKGEGLGLLAWWAGDEEEGEEAAEQ >Et_9A_061186.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21263106:21263258:-1 gene:Et_9A_061186 transcript:Et_9A_061186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSQWRTTNEWTHQTWHEFKAELLAKQGINTMSTEEYNSACQNFNWSYS >Et_3A_025302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28763686:28765593:-1 gene:Et_3A_025302 transcript:Et_3A_025302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPSSAHWLSLVGSIWLQTINGPNSDFPVYSSQLKDLKHISQVQLNFLAFASDAGKLFGWFSGVAALYLPLWLVAFVGAAFGLVGYGVQYLFLDSAGLRYWHLFLLTSLAGNGICWINTVCYLLCIRNFGSSSRVAVSLATSYLGLSAKVYTSLADSIPGLANSKAKAYLLLNAVVPMLVTVLVAPSLRVVDLKSAASSDAAFLVMFAITLATGACAVVGSIGSTANGLSSREHMISLSVLLATPVLIPLVLRVRESMNKIRETKRENRIHDLGTDELADTMGAVVAIDIAPDAESSKEGDYVAEKPQEEVGGLRLLRKLDFWLYFFSYMFSGTLGLVFLNNLGQIAESRRLAQTSTLVSLSSSFGFFGRLLPSFLDYYSAKSGYSISRTGSMASLMAPMAGAFFLLLNPSDFFLYLSTAIIGTCTGAITSVAVSATSELFGTKNFGVNHNVVVSNIPVGSLCFGYFAAFLYQRGARGSHRCIGAACYQETFVVWGITCAVGTLLCAVLYARSRSFAGRLPDAVVRIPCLARLANLVGCNKAPEVSDI >Et_5B_044004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18862407:18866870:-1 gene:Et_5B_044004 transcript:Et_5B_044004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPDGGGGVRAKMVERGGLMVTYIRVTAGCQSPKIQTEIEKRTRIRIVTEIEEETETAIGTGILTEIEEETETAIGTDIMTEMKEETGITIGTGVMTEMKGETGIAIGTGIRSPVSGITMKERSTETILMITIIIEATILKGAEIAEEVGTGGIVLTHVLIQGAGVGDLDLVLVLKVILISDVPTDKETAKGIGIGGIARGLVQGTGIIDLDLVLFQKVWEEANKVENIHSPRRSPIRSRKMKLLNLDLNGLLADINRDHHNTQMPYAKFRGQFVFKRPYCDDFLRFCFHNFHIGIWSSRLRENVESVVDILMKDLKQHLLFCWDLSKCTATGFNTLENKRKPLVLKELKKLWNKEEPDLPWKEGWFSPSNTLLVDDSPYKALRNPPYTAIFPFPYSYKNEKDDSLGPGGDLRLYLENLASASDVQHFVKEHPFGQPPITQEDPHWDFYVQVLEGRT >Et_7B_053693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11155502:11157801:1 gene:Et_7B_053693 transcript:Et_7B_053693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGPRGATRRATPAIHYYARRGAEANGLYCSLIHIPIARDRPISTLLPHIPLAVCLLRRLVYNYKTTRQMATFTSPLLCNFMATAQTNLCLLVPSPSCSTKQSLSLSFPRSTPPTPSGLGAARDVRVAAVYKVKLVGPEGKESVIKVAEDSYILDAAEEAQLDLPYSCRAGACSSCAGKVLEGTVDQSDQSYLDDEQVEAGYVLTCIAYPTSDCVIQTHREANLF >Et_5A_042570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20674123:20675198:1 gene:Et_5A_042570 transcript:Et_5A_042570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSLLGVVLLAVAASAIPAARADLQYDFYNATCPGVEALVRTELNALFTADVTLPASLLRLHFHDCFVRGCDASIMLKSHNKTCEQDADPNSTVRGYEAIEAVKAKVEAQCPLLVSCADIMAMAARDAVFFSQGPDYQVETGRRDGNVSILEEALQFLPPADGNVTVLTKFFAQQNLTIKDLVALSAAHTLGIAHCGSFSSRLYNFTGAGDQDPSMDPAYAKGLASVCAPGDTVSVAPLDAVSPKTFDTGYYQTVYNRQALLTSDQALLDDSLTGLYVERMTNATYQDTFFADFAVAMINMGRAGVRTGTDGEIRATCGVYVD >Et_2A_017458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34592930:34594814:1 gene:Et_2A_017458 transcript:Et_2A_017458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALTKSMCWDLVCINKDRLNGVGVAFYRKPASNDCYDGRRRQQPPMCSDDDDANAAWYIRLNSCMHRVPTAPAWPPEWPRRVRTPPHWLNASLPGVYGKQAPEDFAADHDHWSRVVEKSYLNGLGIDWARVRNVMDMRAAYGGFAAALKDKKIWVMNVVNVDAPDTLPIIFDRGLFGMYHDWCESFSTYPRTYDLLHADHLFSKIKDRCAVLPVVVEVDRIVRPGGSIILRDEAGAVGEVEKLLKSLHWDVRLTFSKNDEGVLYAEKSDWRPDLLEEPS >Et_3A_025522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30437074:30438299:-1 gene:Et_3A_025522 transcript:Et_3A_025522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADGHAGGEEAKRPAPRLNERILSSISRRSVAAHPWHDLEIGPDAPAVFNVVVEISKGSKVKYELDKRTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCTDDPEYRHYNDLSELSPHRVQEIRRFFEDYKKNENKEVAVNEVQPVSAARDAIQYSMDLYAQYIMQSLRE >Et_1B_012814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35316376:35319985:1 gene:Et_1B_012814 transcript:Et_1B_012814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHLPLRLSLLSRHPHAPATLSRRALCTSNLETPASVVESPEEIPEGSAPPPAADPNPPRREEPLHETILYMIRRRQWTTRLENSIRLLSPTLEAPLVHGVISGAADAGRADLALQFFRFAYRRAGFRPEPATFALLIPILSSRRMLNHARCLVLDTMPSFSIAPDEATLASLIAAYGKASIPQEAVKLFRMMPDLGIPRTALSYNAVLKAILCRGREAMARRIYNAMIADGVTPDLSTYNTLIWGFGLCKKMEAAVRVFGDMKGHGVTPDVTTYNTLLNAWVRAGDLESARKLFDEMTGEGIERNSISYNVMIKGYVAAQKVADAVSLFTEMGEKGLRLSEKTFAALMPGLCDDEGKVAEARKAVDDMAERRLTPKDKSVFLRLVKTLCKAGDLDGALEVHRKSGQFKHVLVDPRQYGVLMEGLCAGGKSEAAIEVLDELMEKGTLQSPKSPVLEASAYNPVIEYLCNNGNTNKAETFFRQLMKKGVDDKAAFNSLIRGHAKEGVPEAAQEILAIMTRRGVPTEPESHALLVDGFLKKNESADAKRALDSMMEQGHLPSAALFKSVMVALFNDGRVQTASRVMKSMIEKGVTENMDIAHKVLEALFVRGHVEEAIGRVNLMVENGCMPDLDKLLVGLCENDKVMEAQKLADFALDRDFDVSFSTYDRVLEALYTEEKTLPAYSMLCKIKNKGGVVDQKGCDALLKSLKSEGYSKQADILSRILVENAPSTPKRGKRAAMGSFVFCLHLCRLFKNQVLSS >Et_7B_054287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19271752:19295349:-1 gene:Et_7B_054287 transcript:Et_7B_054287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTIFSMATLTRLYLGFWRFPDTRGLPRGAAFPYLRELGLCSVVIEPRDIDFILDRSPVLDMLNLEGHMVPSLHLRLGSQSLRCVQIHASKLESITVVDAPRLERLIISNSLEPKMKIKIGHAPALCVFGYFELGKDVLQVGNTIIKAGTPINPSTMVPSVKILALPVRFGIRNDAKMLPNFLKCFPNLETLHIHAETPIISNSGRLNLKFWQEAGAIECIQSHIAMMAFHDFRGERSELSFLKFVVENAQKLKMLVVQFANGCVNSGPEVVAQVKSLFAGKRGAAPPCSVLVLENRLSEGEFWDFERGSDYSNPFALFRYANVSLDGLPDSVDWRAKGAVTPIKDQGQCGCCWAFSTVASMEGIVKLSTGKLISLRSPNQNPPPHPAAAAAMGTQDAFLFVDAGTAASARRQGFDPRDLEEETQGIMEYLYTTLPGAPVSAAASLSALPVPDPSGADRPSALPFAILRDIVSRLPTKDAARTAVLSRRWRPVWRATPLAFADAHLVRGFLEGRRQPTRADTPDLAAAVSRVLVTLHPGPFRAVHLACCYNGGHPRHLARWVQALADKGVQDLVLVNRPWPRDMVLPPAIFGAATLTRLYLGVWKFPDPPVRPRSAGPLFPNLRELVLSYMDMGNRDLEFLLAACPALEKLGTQGNRSEGMRVRLVGQRLRCAQFSTSVTESIAVVDAPILERFFITGSVKLDCGSCVRVKIGKAPNLRILGHLKPGTHMLEINNSVINAGIKASPRTIVPSVKILGLAVCFGVRSDAEKLPSFLKCFPNVETLHILSEGADEAAGELDLKFWQEAGPIESIQSRIKEMTFREFRMSPSEVAFLKFVFQSAQVLKNAQIVVARRCVKSLSVSKVKDLIPDNGPSVLFCESSAPDALLVGNFQRGFDFSVADPFAVRELSDNSAMAARHEQWMVQYGRVYKDAAEKARRFEVFSNNVVFIESFNAGNHKFWLGVNQFADLTNDEFKAAKANKGFKPDFTSVPTGFKYENLSIDALPVTVDWRTKGAVTPIKNQGQCGCCWAFSAVAAMEGIVKLSTGNLISLSEQEIVDCDTHGTDEGCEGGWMDGAFEFVVKNGGLATESNYPYKAVDGKCKGGSKSAATIKGHEDVPVNNEAALMKAVANQPVSVAVDAGDQTFQFYAGGVMTGSCGTELDHGIAAIGYGIESDGTKYWILKNSWGTTWGEKGFLRMEKDISDKRGMCGLAMKPSYPTEYSIGSYQGVYRVEVQLSYQNRTFGIRCNLIESTKWYNYGPSWARIA >Et_3B_031229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2251556:2253256:-1 gene:Et_3B_031229 transcript:Et_3B_031229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSSQVAGTIDAGLEPWRRFFDGAGIFNVVTNAIIVAGEGPSSPPRHVSAEDSASNNNVEEMVVSDERISAECVNHDYNSAGAFADDCLNKLGDDMEEIQKVLRIKAVILNHRHEQPIDLFKSMRWLEVMPLTVETRKSTGIEDAVRELPKQKSSILRGLVRDITKGWKVGADGIAKKKLSGRQAPTSSRSSAPMATQDINIDFYHDKILTTVTSSAQEVESFIWKVRGDHSHHLIVGLDTEWRVVQEDGKLRHRTALLQLCVDKRCLVFQIHLTAVVPEVLKDFLTCPQCKFVGAGVEGDIERLSLDYRIEVPTWSDLQSRAMKLKGYSMPPSLKCMVQDMMDVTMNKDIVHNFWGEPELTLRQIEYAAIDAFVSSKLALLMGIKPATKDVNE >Et_2A_018760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6901498:6909566:1 gene:Et_2A_018760 transcript:Et_2A_018760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATPVAKEICAGTSASDPCNENVGLVQVTSAPAMPLASEGLLQTSHGSVPDKPIEKQPAPRRRRKKTSGGEDAGVSTRQRSAMKKSDNSTYVASGEVGSAMTPTAKARTVKEQDGSSLQGASNELPNINSPLHEKSGCDSQPSTPIAVPINEATLPTGFSDTYAAHSEITLAGECANPAVEGKPVDLPFETPVASQDQAQINAGKHHMAMSSGVPASNFEMVTTNKPASSQIEPSASLLQNSDKDAALHPSGVDSAASNKAPSRRRKGSAREPHTRTNSATAASERRARLAGLKQTDDMKKIEVPAIPATTVCVPSTEQQGAISLRPELPTSVCEAQKYLGSHVSSDISIPVGSCVSDAGHTEERVTTMITQTPAMPESEERNVPVGSGKQTKMVTAAGLTPTNDEDIPGSDEKSTEVNISEETKMISTVEPAPAKDEIVQEIEIDRLQQPTKVVSAAESSPSNEEKIMMPEDNIPPSSAAMDTFQAKVDSSAACQTEAVCVDETTKESYASIPHSTTPSDDKSTHVSTKRDDIGLCSEHTSADMTHSNQDNVNVDSNQTDNFSGDFSQLPDAEKEQPADQVISECNVSKSGSDKEQMEMVETLGKSADAGQTHANANEGSHDTALGRYSNDGGAAQVDDDTLGSKRTAVEVHAAVKTDGPQESQDTLSTKSDKEASIVEVSTDVHNDQGCHASSEEAIVTTGDNLTHSHTDGSNNTNENTIDPISTTGQQMEDTTASRPENYDLSQQRCTLHLEDDTPATTLATIESDKATGDAETASRLESSGIEIDTVGIPETASTDYKETEGTGDLSDRGGSPQHESVLGTSYSTIVMVYEKAPTGGELTVANHLEAPDSLVAEPAQETSLPNSEVIDSVDVKHDCNPEPSGDAAVARAELAEEMIHSAEDRPAVSELVETQTKPSEICGPFQNESVDASMLETDTSGMKHGDTTTSSEHIVVTPEPFNETCVMHVDHEATKPEDGYCTAAGGGASSEAVMELEANEEAAAAEAGETIAACEVSKNPESHVSGEVSMAIPSSDLNLASEICGRMQNESVDAAVLETDSSGMKHSDITTSLEHDVVAPEPINETCVMHVDHEATEPEDRYCTAADGGASSETIMDLEANEEATAAEAGETSAACEVIKDPESHASGEVLVAVQSSDPNLVSAIESDTLNRNMQAPVLLEPSESRSPGSDDLHGTEQQTKMASVAIAVSANNEECTEGIEAEQIKIVTTSEPASTPDDQGRLMLEVHHTTGDGAVLSTGEQGPLQDSISGSTDEHMGQSAEIEGDNNNATEIVLEDIQAPCVASDKDNSTDLPANTVMTAESNKFTCDTEVACAGNSESSDGGESGTIGVVETANVADHEETIGDLNETIIHDQALTQSGDGGVSGSDMQGDEVNISEETKMISAVEPAPANDEIMQDMENDHLESTKMVSAAEPASSNDEKLMMHEAHHQTSDDNIPTSGAAMDTLQAKVDSSVACQTEAVCADEITKEPGASLSYSIASPPGSTNEDDIGLRSEGTSVDMTDSNRDNVSFDVSQTDNFSEDLSHVPAALQSSQPADQGISECSGFENVSEKEEMKTDETLGKSADDGQICDEVNEATDGCSAQVDDNTLGNKGTTVEVHAAMNFDGPREAQDTFSAQPNKEVSMVEASTDVHSDQGCHVSSEEATVTTGSDNPTHSHTDDGSNNTNENTIDPIGTTGQQMDDTTASLLEKSDLNQQSCTLDLEDDTPATTLTTNESDKATGDFKIETMGIQENTDHKGTERIADLNDKSSSPRRDGVLGTSYSTIDMGCEKAPVGGELSIASHSETLNSLMEATQGASLSNNEEVIDSVAFKHDCNTEPSGDAAVPRGELTEEMARAVEERPTLAELAETQTKLTEICSPVQNESVEAEGLEKDCSGMKHGDTTTSSELIVAPEEINETGVMQVEQEETKPEGGSCTAADGSSSETIMKLEAHEETTAEANENIPACEACKDPENHASSVSIAVQSSDVNLASSIQSETLNTDMQAPLLLEPRETRSPGDLHGIEQIKMASASVAAPANDKELTQGVEAEQIQVVSTSEPASTPDDQDHLIHEVHCHGTDVEQDPSQDNSGRGTDVDMPPCQSAEIEGDVVLEGVRAPCDAPDKNHSTDFPSSTMVTAESNRITSDTEVVCAGNSESSGGGESGTTGVQETAAVADHGEAIRDLNDTSMHDQTSAGSGDGGAPASVLQGVESHGSEQMKIDSAPQAASNTALVGYSSSDDSDGR >Et_9B_066006.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:20279645:20280277:1 gene:Et_9B_066006 transcript:Et_9B_066006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPCCATLWIQSCAVLSLYYHFYSFPEAGQGFPSDADPGRSVALPGLPTGAADELPLMVRPEYIRAQPVGRHAPGAARADQQQQGRRVLGARQHLRGSRALGHRRASRPHAVGPLLDHDDHDGADDDGCMAAWLDAQAPRSVVYVAFGSLVNIGRGETLAVAEGLLGTGRPFLRDDSRDLLPDDMLATAGSWNGARRGACWRTAPSAAS >Et_10A_000699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15713036:15713871:1 gene:Et_10A_000699 transcript:Et_10A_000699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKRLVQAALPSAIEVFRQIWQWDDEVQVLVVTILWVLWTSRNDTNAGETCRSPETTAGLIRKHQASSNSISRNPRRRLSRVASGRSRQWTISRLMWTVVQALSFASDAGMMKIVLETDAINVKQALTSDSYDLSPLGMLIRDIKFLMFVEFTEVKVLYQPRSCNEVADRLAKFGCELQAGAVITWPEGHPAFVNSQVAADIQSASG >Et_1A_007991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:393963:397978:-1 gene:Et_1A_007991 transcript:Et_1A_007991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGELESVSQRHGEEVVYCAVGKEAAREWKANLLWVLANVPRTKRLVLLHLHRPASRINMMGAWVPVSQLAEQEVTAYRQLEEEKIGKVLDDLLDICKSQKVSASKIIIACDDTARGLVQLVDDHGVTELVMGAASDRAYHRKMRAPRSKKALMVQRRANPSCKIWFVCKGNLICTREASEGLHRAESSTCSTSPRSSTSDNSSRAKSSPRVTLSRQESNEPTTSPVDQTPAREDNDMYRNMEDLNLEATEDIAGSPTLSETVVPEEEPAAGVPLRQEAEEDHETPSPYGSDDGEMDAALYERLKDAIMEAQNVKHEAYEETRRRQMAERDLAEANKMADEAERSYQRESKLRKDVEEMLARERAAMERDRQELNEMLEQIEKVNDRSNELEAQIVSSERVMSDLEAKLSESYSILDTLRRERRHHAPAMEPEVEDGDQRVMSLSRFGYSELDEATNHFDESGRIDGGGDGGRGKVYRGDLQINPWAVAVKILGRDVAVQDEARFTRAVQAISEVKHPGLVAVVGACPEARAVVYEFVPGWSLEQHLDGESGAPPLPWPARCAVAHRACSALSFLHSTRPRATVHGDVRPANILLDEHGASCKLAGLGTRRLVGEHHPGGGLAYAHPEYLATGDLSPACDVYSLGVVLLRLVTGRPPFLARKAAQEAAAGGKAWREVVDDGWPVERAREVALLGLKCCDTKRPGDLLEEARAVLEDAMSAALGRSSSAMSDGDGVPSYFLCPIFKEVMRDPQIAGDGFSYEAEAIREWLDGGHHTSPMTNLKLPTRDLMPNHALRSAIHEWRQRHGLRHR >Et_1A_005707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1293120:1294656:-1 gene:Et_1A_005707 transcript:Et_1A_005707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELRLVQGSLLKKVLEAIRDLVTDANFDCSETGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNLSMGMNLNNMAKMLRCAGNDDIITLKADDGSDTVTFMFESPKQDKIADFEMKLMDIDSEHLGIPDSEYQAIVRMPSAEFMRICKDLSSIGDTVVISVTKEGVKFSTSGEIGSANIVCRQNQTVDKPEEATIIEMQEPVSLTFALRYMNSFTKASTLSDQVTISLSSELPVVVEYKIAEMGYIRFYLAPKIEEDEEMKS >Et_9B_064323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1470942:1471589:-1 gene:Et_9B_064323 transcript:Et_9B_064323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDAAQSSRAATVDDAAGDIETGSDSSSAICCPVCTEPAEWVAIGPCGHREVCVNCAVRMRFFNKNLRCTICRAFCPTVVITKAVVVADDAAAFSRPSTAFGGAGRVGVYFWFHGGAAAYFDNQEQYEAVRKMCLRRNPSTPHDHEACCGVDPMFVSFCVSTHGRSLARVCLLLRGIRMPRLEKKASGILFLIT >Et_5A_041132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18669939:18671526:1 gene:Et_5A_041132 transcript:Et_5A_041132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASVLMNHWIVRGSAHREHTSNGSIDGVKVLAWNHSMIKRNQLLPIERVASTGRQTIRAVPKNSSNAIHLRMKTKWWETEMKANMKSIKSQQDLDDQLLVAGDTLAVVHYFSPSCPACKALHSKVHQFAGMHPELQFLLVNYDEHYEMAKSHNVHVLPMFRFYRGAEGRIFSFSCTISNIHKFKAALKRNGVQTESLAAEKGLTEFEKCFESSTDIPNKVDASENTDEN >Et_1A_006153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18506045:18508548:-1 gene:Et_1A_006153 transcript:Et_1A_006153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASFLAQPGTPWSKIAIPFLPEKSPETGMCPFPVWPASAPNTGHPVLADGGFFLPPGKARRVAAPATWNGRFWARTGCDFTANGAGCLTGDCEGRLACNGSIGSPPATLVEVSLHEDPGKGSSYDVSLVDGYNLPVAVSTKPAGSSKCAIAGCAKDVNAVCPPELQVTAGAKTTVVACKSACLAFGLDAFCCRGNVYSRVFKDACPAYYSYAYDTTAATASGCYAQEYVVTFCPNRWGAAEEEEADRAAQI >Et_7B_053413.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:1748647:1748805:-1 gene:Et_7B_053413 transcript:Et_7B_053413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILQCWLPFIEHLFSDYLLRVCLYSHLYQSSYRFKIEFWSYMLSDTNCNLFVL >Et_4B_036192.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9435449:9436117:-1 gene:Et_4B_036192 transcript:Et_4B_036192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSSVSGLSLQNSSSGSAPFWRSRAWLAAALSSSTSSHAATHSPLTGSSAHVSCACLMLSDTLLDRSDGVAAATATSRRCARTGQATNDTAFWRGGSGAWEDWTTVLGAGKAAMAAMVVTVVAMAWRVGSLLLGRSDGVKEEGMIFGWVFRVPAFYHVRLLSLSAQLCGDRSATADFHSRNLGQCSRRAVPHCGRPHGDGDASGDLERSILALFFRRSLTL >Et_3A_025963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34241033:34242185:-1 gene:Et_3A_025963 transcript:Et_3A_025963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKCRPHGFQTRAWYRGGSTRHQIDRSTWRLLCRALRSGRSCSSFTCLLLSHITCYRRLISLALHLLPAIVTTARGMAEEKKVGGVSERIMPHLLNIYGSRATAADFEIYAPNATFEDPLMRAHGVKQIKSAFYTLPKVFGESNIVEYTVQENPMGPGKTEVLIDNKQHYKVLGKAVDLTSLIRLQVDEDGKVVKHEDWWDKKPLKNRDTVAFPLAGRMAEATRRGAMLLTHALMGFGKDPTSSSS >Et_2B_019471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5786479:5787196:1 gene:Et_2B_019471 transcript:Et_2B_019471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAYLGCSSGPITLCFVSEVIDATDRHCNKLNQRNDHVQLQFFLNELPGEALPPYYICGLSGSYYTRLFPCQTVHLFHSLFCLHWRSRAPEEWRAREKHPKMKKTFTSQRLRLRPIVKLFQARAVSERFLSLFLKLRYEELVFGGQMVLTFIGKKNEDALSGASNHYAVLF >Et_6A_045918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6794633:6795016:1 gene:Et_6A_045918 transcript:Et_6A_045918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGARPCGAGKVWPWRSSLAVAARSCAWRGQVGTGPKAAELEPGEVVGSPRVAEREFGDGGEAREERGPRAGEGAGVAVGGGERGEDEREDLVGQVVRVVPR >Et_4A_034489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3691305:3694476:-1 gene:Et_4A_034489 transcript:Et_4A_034489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNNGWLGFSLSSSAARGYGDGGGGGSGSGDGKRSCSSPAAASPLVAMPLQSDCSLPYGSADWRRAEAKGPKLEDFMSVTCSNKSGSIHDSGSHADQAKYQEVHDLQPLSGSYYYHHGHGGGGGIGLEINVNAPPCSDHRVLAPQDHHHHHQQHQFLPHHGQQYFLGTPAANPGPAGAAMPVMPMYNAAAGVGNSMSISGIKSWLREAMYVPERSSALSLSVADVPGEPLPAPMPVARRPAQTFGQRTSQFRGVTRHRWTGRYEAHLWDNTCRKEGQTRKGRQGGYDKEEKAARAYDLAALKYWGPSTHINFPLSTYEKELEEMKHMSRQEFIAHLRRNSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDISKYDVKRICASTHLIGGDAACRRSSPTRPLPDAPELAVAGAGADRADAPPGGGELQGGASDNSDASEGHRGAHLLHGLQYAPAMKFEAGEGSGGGNWMTAAERPVAGVASVHQLPVFALWND >Et_4A_034409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32353227:32356797:1 gene:Et_4A_034409 transcript:Et_4A_034409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEEAITGAAQGDLADVVARAAAMPALPSTSHQQPPASSPAMAGQIVMNPYEEDRRLAVMFEAPSSAVDPCLSSTTAPHGGYWLPPQQLEAQISQHAYFGHDVVMTGATADVDGDDAIIRSSPVTPASAAHQMMKRKNDVKKVVCIPAPPAASSRPGGEVIPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCMARKQVERSRSDPNMLVITYTAEHNHPWPMQRNVLAGYSRPHTHVATICKKKNSCRVDQTSPSSSSKNIDQNVFVSGDLEYQQSTNMMEGDVAAYGACDVGGLSRESVVMPVPTSCNGIQPADEVFAALEELESSNNPVNANAYSRGVGYEWNKF >Et_8B_058636.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1270366:1270629:1 gene:Et_8B_058636 transcript:Et_8B_058636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTTRRLLLAAIVVSLVVLACSARPASAARPAPAEALMRLDGGEEAVRHGVGCHTTANLGERTMETLQLLRARLPAGPSPKGPGH >Et_7B_053636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10560622:10565249:-1 gene:Et_7B_053636 transcript:Et_7B_053636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTLVQSAIVPTLYRNSSGRSRVRARATMLRSMPTRTLTLGGFQGLRQTNFLDTRSVIKRDFGSIVASQVARPRGRGSRTVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPNNIRTQVIRMVGESTEAVGAGVGGGTSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRKDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNEDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYELHHKLRYTDDALVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKELRQITKQKNEAVRGQDFEKAGELRDREMELKAQITAIIDKSKEMIKAETESGEVGPLVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHTRYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKDIDLQVTERFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLNGGSGVAEPLEPALSI >Et_4B_038978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6540099:6541981:-1 gene:Et_4B_038978 transcript:Et_4B_038978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METAKTVCVLLLLLVCIFVREGGAVTFTFVNRCTGTVWPGIQSNAGSARIDPTGFVLPPGTSRAVPAPSGWSGRLWARTACAQDATGKFVCGTGDCGTGALECDGQNAATPATLAEFTLDGSGGNDFYDVSLVDGYNLPMLVEPAGAAAATTTTCAAAGCAADLNTRCPAELRALGGAACRSACDAFGKPEYCCSGAYANPNTCRPTAYSQVFKSACPKSYSYAYDDPTSTFTCAGGRDYTITFCPVATPSLKSSSGPGAPTGPTPSVPGAGATPDMPRPATGGQGVGGGGADGQGVMLGDNSWLASLATGDGSSAPSRLALARALPLAPLLLFHLLL >Et_6A_046090.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:23389674:23389970:1 gene:Et_6A_046090 transcript:Et_6A_046090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSAVVALLLLLATVVAFAATATTADAAVSCNPTQLTPCAGPALFGGPVPEACCAALRGQQACLCGYARSPNYGSYVRSPNAARLFAVCRMPMPRCR >Et_9B_064236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13048063:13049513:-1 gene:Et_9B_064236 transcript:Et_9B_064236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDRRPLPEDVVSTVLRRLPPRDLAASRGVCKAWRAVVDGDRLLRPELLPLALGGIFISLLQEPAPPVLFSPPSMATGPNRIAGKLQSFVRMDGCCNGLLFLDDMVANPATRRWAPVPPCPVGREVYNHRGEVYFAFDPSVSPHYQVLFVHDPPCGLEDESTEWPPSTCTMWVYSSGTAGRWEEKPFVREGGPAGTVAEERSARASKYLHSVYWRGALYVHCNNNYIMRASEYHDHHLVKSKNGVYFALFGDRCQLRVWFLNEFSEWVIKYDINLQAVPAHFSRDQDYPVGKPWVLHYGKYDNREAAAEGVSDWDSDNDNVFKIDGEKCKLSQFMCIFGFHPHKEVAFLYLPNNERVVACHLDTLKIQDLGRLHLPYRFEAIDKTFVYTPCRTGQLSEND >Et_3A_026763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19561293:19564656:-1 gene:Et_3A_026763 transcript:Et_3A_026763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAARLAQLRSKAAQAAEFAAKHGEVYYKEAMEKNKQYVVQPPTVEKCQELSKQLFYTRLASLPGRNEAFWKELDGVKQFWKNRKELKVEDLGVATLFGVELYAWFCVGEIVGRGFTLTGYKSRTRSVVKLLLASTSIHALPVLHVLSSVSSLPAKRKSTRSHTSLKSARHAKKRLMDSTASSLFCNVSCTARISSMLDLQPACLRFSATLGW >Et_6A_047055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24638841:24640751:-1 gene:Et_6A_047055 transcript:Et_6A_047055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRKNSVGTPSRWTSDESISPRCVLDGCTTTSHSTATNTSSSTAAATSSFTATYTADDPPSTSPRPQSPPSPAAASRRPDGPPPWKAVAEAWRSRSKRRLPSLRPTMSSALRRLSLRRPDLPDAVEVHEFCVLKPSLRTFSLAELKKATGNFSRDNVVGRGGFAKVYRGSLPGGELVAVKRLTAAQGRDRMEGFLAELGHVVNVSHPNIARLVGVGVDGGEHLVFPFSRLGCLSGRLHGGGGGGAAETLPWTARYRVAVGTARGLEYLHERCARRIVHRDIKPANILLMDNYEPLICDFGLARWLPASLTHLQVTVFEGTFGYVPPEYTTHGVFSEKTDVFALGVVLLELLTGRRAVDAAKLSLVAWARQFLDGDDDEEFLKMADPALGGRYDGKQLRDVAWAAKLCINTSPDLRPRMSQVVQILQGVGSCHRGEGHNSGTDPSGELQHMDGYDASRYTDDLSRHKALAFDFGAESAATSCANTPRTLRLS >Et_2A_015889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18839985:18843817:1 gene:Et_2A_015889 transcript:Et_2A_015889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIALLCLLAGLLVASPAVADFGVYYQIGFMWPGAYCAQTSRGCCMPTTGVVPASDFYISSFTVYNATTNAPMTRCKQDPFDLNEITAIDGLTKYWSNIKCPSNNGRSSWKNAWKTAGVCSGLEEKDFFEAALDLRKRFNPLARLTANGIKPDFGLYSTKGIKTVIRKAMGVTPVIQCSKGPFDKFQLYQIYVCATSEEKTFIDCPVQPKYNCSSEILFHPFKKWMLKTQQPKGEDASPFELPGIAMDQYWEKIIPSLIS >Et_8A_057769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:774735:776805:1 gene:Et_8A_057769 transcript:Et_8A_057769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVVAAAMAAQTFLIAATAPPAALFASPSSSARPFHSLRFVAGPGGAAAARALVVADATKKAVAVLKGTSAVEGVVTLTQEDDGPTTVNVRVTGLAPGLHGFHLHEFGDTTNGCISTGPHFNPNNLTHGAPEDEVRHAGDLGNIVANAEGVAEATIVDSQIPLTGPNSVVGRAFVVHELEDDLGKGGHELSLSTGNAGGRLACGVVGLTPL >Et_1A_005857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14785691:14789715:-1 gene:Et_1A_005857 transcript:Et_1A_005857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKAARSSVKPGIWRRRVGAPLAALLVVLAVVVFSGLLAKGPNASSQFTNTLQPVRDKPDSAGIGGSPNKEDLATGTSDQELDAGNPVGGNVEHKQASQGTNPAEGEGGAATVVPPQEGEASTAGSEPLEKEVPPQDLEGEEATAEAGSVPYTKCTPQNGTTICDLSNQRFDICELCGDARIIGQSSTVLYIPQPRASNSEEWSIRAQSRKTLPWIKKVTVKSLNASKPEPRCTSRHGVAAIVFSLGGFTGNVWHDFSDVLVPLFLTARQFDRDVQLLITNNQPWFIKKYSAIFHHLTSHSIVDLDADNKVRCYPHVIVGLRSHLDLGIDPKSSPQNYTLMDFRLFIRDAYGLPAPEVAIPYRSDKDDPNKKPRIMLIDRSKTRRFMNAPDVLRGLDWFGFEVVKADLRVDSNLDEFVRIVDSCDAIMGVHGAGLTNMVFLRSGAVLVHIVPYGIGFMADGLYGAAARDMGLKHVKYSISPHESTLLEKYGWNHTVIKDPEAIRKSGWEKLGELYMSQQNIVLNITRFAPTLLKAIEFIM >Et_2B_019897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1556492:1558960:1 gene:Et_2B_019897 transcript:Et_2B_019897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPNGAAAAAGPSGAPVVDKEVDFANYFCTYAYLYHQKEMLCDRVRMDAYHSAVFRNAHHFRGKVVLDVGTGSGILAIWSAQAGARKVYAVEATNMAEHARELARANGVEDIVEVIQGTVEDIALPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPDGVMYPSHARMWLAPVRTGLGDKKMEDLDIAMDDWGQFVGETQAYYGVNMNVLTKAYRAEHEKYYLKSSIWNNLHPNQVIGKAAVIKEMDCLTATVDEIREVRAQVMLPISLDGARLAALAGWFDVHFRGSKQNPAAEEVMLNTAPDENGGTHWGQQVFLLTPSLSVSKGDSVKVSFSMVRSKENHRLMDMEFTYELHEFSGKQHPAITTKMYLE >Et_1A_007031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30274748:30275316:1 gene:Et_1A_007031 transcript:Et_1A_007031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATIVELDPSHDRAGRVIEDIVRLEKRIFPKHESLARSFHDELKRRNSGLIYSTSGDEVVGYAMYTCNTSLCATITKLAVKESCRRQGHGEALLAAAVDRCRCRRVQRVSLHVDPARTAAVALYRKAGFEIDATAQDYYAPQRHAYRMYIDL >Et_5A_042089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6126438:6135242:-1 gene:Et_5A_042089 transcript:Et_5A_042089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSEEEKVGMKEKGRQRGDTLLVLPTSAMVKMDNSYEAEMDEPLGRVTILSYGSGHMLNDITSSCWFTYLLVFLTDIGLTPSDAAVVMLSGQLADGFATIFVGELIDRYGHFKLWHAGGSILVAISFSSVFGTCVPCKLTGTNSATLETVGYSTFAAIFNVGWAVAQVAHMSMVNCMTSNPTSRVSLVSCRNAFTMYRWIAYVSISLGSCFVALFLIGTKEPGSNQHCQDKSISRISWAYWFKKVLYYQVALVYTLTRLVTNVSQAFLAFYVINDLEMHQSSKALVTSISMEGVLVGEDLNGCAFVYGSLSFADKVACGLALYMLESYQGTTKIKSNLGTAFGYSVTRLGLGLVPAACSLLAAIVTYTMDLPDTRRRPLKVAEITRGLGSKCHPRIHT >Et_1B_012822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35386452:35388363:1 gene:Et_1B_012822 transcript:Et_1B_012822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAMALAILFFCILLLCSAAIAFFLIRHCLAAAHRHAVDPEAPLTTPPQPEPEPEPELSSLLAAGANKEEPRRLTWREVEALTGGFDEAAVVGRGGSSVVYLARLRQGTPVAVKVHRWSSGGERRLRAFRQELDLLRRIRHPHIVALLAYSDDHEEGGALVLEFLAGGTLADRLHGDAAAPLPWAHRMRIVHDVACALEHLHDASSGAPPVVHGDVSASNVLLDGGSNGARLCDLGSSCEGFSAAVAPSRAAVGSPGYADPFFLRTGIVSKKSDVYSFGVLLLEAITGSPAAASGPDGTANLTARVLPRVRKQGVEGLVDGRLGEDYDVEEAGDLATIAVECVAAQPGLRPTMEQVRAAIAEKAARSIARADYGHHIQLSKLLELT >Et_6B_050095.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6153444:6155894:-1 gene:Et_6B_050095 transcript:Et_6B_050095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPRRLHGRCLLLLLLSFLLLAPAASALSTFALAKADNTTIVCGLLPSPASPALVDLNCTAAGGDHARQETYPSAHPFNAVAGGEDFLCAVGPASGGGNGSGGAGGEVDMVWWDLSRNGAGSRAKRVYAGPPLRALDSGEYRVCGVLASGALHCWRWRGLAPPPAGLRFAAVAVGDGFVCGIVDAEGDSTNSSSSIRCFGDDPAEDAVAAAPRDGSYDVVVACGTRACALSTSGGIHCWGRGAPDVGPDAAAGGFAALALGKSGLCGLRTNGTIHCFGGAGVAALPGELAGAQYLSVKAGEGGAFCGVLMANYSLVCWGGREFTATNRLVFGQVMPGPCVPMSSCKCGVLPGSANLCAAEGLCVCVDCAFELNVAVPNASSAGGGKNSRRTMWIAIAAAAGALFLLVAMQVALLLWCRRRDKHKHDIGGDAQQHPAPPAYQRDGSVPGSVVERFTLEALAAATDGFSDARRIGSGSFGSVYRGTLPSGREVAIKRAEDTSRPSSSARLPARRRDREAAFASELTALARANHKNIVCLLGCCCTDESGGESGERVLVYEFMANGTLHDQLHSRSPLAPPLASWRGRLAIALDAARGVEYMHVYAVPPIIHRDVKSANILLDDAWTAKVADFGLSSVLDDRTNAAASSAAADIADIAAGGSQQVVYTGGTVGYMDPEYYRLQHLTDKSDVYSFGVVLLELLSGCRVVQRYAESVTPKNVVEFAVPLILADDVARVLDPRLPAPTPDEAEALAYVGYLAADCVGPVGCDRPSMTEVVDALERALGACSPPQVSRSFTGRRVLSRSGTDQFDLTDTD >Et_7A_050509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20852566:20852995:1 gene:Et_7A_050509 transcript:Et_7A_050509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVQLLALSLALVVSLAILRRSTGRLRARSGAPRQPTIKVRDPAVARHALIDQADAFSNRPPTPFPVPLVTGRQQLSQLPRHHHGGVRPALACAPVQPHGHDPPALAPGPPGSDQKARHPWLRRGRGGGRP >Et_7A_051084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14207652:14209435:-1 gene:Et_7A_051084 transcript:Et_7A_051084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQGYGLPGSPKSFRYPRADFDLEAGVSRKGRKPKNPHLDAPGLVSSALMRARYFYEAHPVAVALILLSFGLGVLILLSVYETRFRTTRGGGAWSSEVGEYPLPRLRNLVMVAGHSIYTSSSCGKMDSEDSWFLEPYQKHHGQAATFLAHIKEGVNIAARDEDALLLFSGGETRRDAGPRSEAQSYWAIAESKGWFGNDESVRSRALTEEHARDSFENLLFSVCRFRELTGKYPQNITVVSYDFKEERFAQLHRSALGFPEERFFFSGTPATPTAKEAALKGEAAVRSQFLEDPYGCLGSLHVKRLKRDPFHRTIPYPDGCPELKGLFSYCGPVPYSGHLPWAQ >Et_5A_040301.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:12085150:12086007:1 gene:Et_5A_040301 transcript:Et_5A_040301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAWTASAASTTTCSSRCSPVSVVSVKLRAPASCPAAGPASGLASPSSPSRTWSPRRWRPRSTASTSRRTSGRKRRWGAPPRLRLAPETFSGFLRNRVEEAAAIRLPCFDRTVSLVLRVYAVPVTPPPAGEFSRLESLELTTGCNIFAALLPNFPRLRVLRVHGCQALEVTVHSSTIEELAVECSYCSESGTRRIDIDAPELKKANLQIDMSRELDVSFSAPKVEKQVWEFVNTISIRCVGVPMLGLYMLRYSLKDGVRKLRVDVHHMVRFSSQLVFCILFV >Et_4B_037442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19887222:19890135:-1 gene:Et_4B_037442 transcript:Et_4B_037442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GKIGLSMGPPKQRWTSEEEAALRAGVGRHGVGKWRAILKDPHFGSTLRCRSNVDLKDKWRNMNVSKKPQSSTKDGDHTMAITTVTSDIDNEIVDVKPIAAVPSEALNASNPKKSQLRLDMIIMEAIKNLNEPTGSHRTSIANYIEEQYWPSSDFEHSLSALLKDLTADGKLIKDVQAKPFKIGRDDSKTLTRSLVDAELARMATMTAEEAAAAAARAVEEAEAIMAEAEAAAKEAEAAEADAQAAQALAKEASLALKNRNATKLVITLLHGSFFMPYYPFTCRTKILSASGRLFLVTLKSRVSFPSSIAYSITIMIPDDTFEKA >Et_1B_012504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32544545:32546695:-1 gene:Et_1B_012504 transcript:Et_1B_012504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAILAGIPPACRPAALIAVTCALLLLATALLLPRAPVPAASQLAAASSAEDGAVRLDARVEQRSGNEVLWQLPPASPPRAVLFAAPGCTIRATDFFDASPGCPRCEGLPEERRFTRAALARGYAVLAISSRAKCWSLDDAGEGSELAAVESIIKWWTKEKHPQLAGLPLVGIGASSGGYFLSALAARVRFSSIAVMIAEGVYGTMGDIPAGYPPALFVHMPKDAERARDVAASIGMLKAKRVDVREVQCGDFAVSAEFLAERVPGLTRAVADALVDVLRRKGFVDEKGFLKNDGRSTPWKKAAEEAKVLPEGFRLQRHVTEEFNVAYAFHEFTSLKNGEIFEWNPAIKRLTNAHKLRKSDDAGRKQLKKDIAIVVLNRAQGCVAQRSRSESI >Et_8A_058451.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8109499:8109738:-1 gene:Et_8A_058451 transcript:Et_8A_058451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSALTMMPRMDLRGVEPGGPGWDAARAAVTASMTAHGCVIVAQDAVGSTKNSSMARPNRDRRIIIGVCMCCSFIRR >Et_3B_030256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31835079:31836310:1 gene:Et_3B_030256 transcript:Et_3B_030256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATTAAAAQPQAETVLRVAAISGSLRRASANTGLIRAAAEICKDSIPGLQIDHVDISDLPLLNTDLEVDGGFPPAVEAFREKVRGADCFLFASPEYNYSISGPLKNALDWGSRPPNCWADRAAAILSASGGSGGSRAQYHIRQVGVFLDIHFINKPEVFTKAHQPPKKFDDDGNLIDPETKEQLRKMLLSLQAFALRLQGKSANS >Et_3B_031069.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:1463248:1464882:1 gene:Et_3B_031069 transcript:Et_3B_031069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAVGAAAVAAANQAGDLDPRHHHRVPHGGDGAGGGGGGGGGAHQESDEQQQQQHHRLLQLHQEVQDREPPSLPVFQLQQLQEAAAVRQRGGLSAEYALMGEAGQSHHHHGGFQPQLLSFGGGQHHHHLHQFTAQAAPASGAASRSRAGAGGGGEIVAATSASRVRNGGGGEIVAVQGGHIVRSTGRKDRHSKVCTARGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKNAKDAIDKLEVLPAWQPTNASTAAQPPSSSTHPADSAENSADDQAQAITVAAFDYPGAAGAGGGGANTGGFLPSSLDSDSIADTIKSFFPMGGGGGEASSSTAAAQSSAMGFQSYTPDLLSRTGSHSQELRLSLQSLPDRMFQHQQQEHDRSSHGHDGNGSAQQALFPNYTFGGGAMWAEQAQSQRMLPWNVPDPGGAGGYLFNVSQQAAHMQAAAALGGQSQFLFQRGPLQSSNQPSERGWPETVEADNSPMQHHHQAGLSSIGFAPGIGFSGFRIPPRIQGEDEHNGGSNGDKPPPPSVSSASHH >Et_2B_019956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15600437:15603999:1 gene:Et_2B_019956 transcript:Et_2B_019956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQAWLISKVTGAYYKKGQKAIPMREHRMEPDLALFAGSMSSCMPDWHAAGERMERKRVGIVGDGVSGLAACKHALDKGFSPVVFEAEESIGGVWTHTLESTRLQATTGAYRFSDLAWPESVTEKYPSHRKVMDYIKSYACKFDLLRYIRFNSQVLGVEYFGATEEEIMCLERWSGNGTAFGTGKDGVWRLTVKDLKVGNTEVFQVDFLILCIGRHSGTPNIPEFHANGPELFKGKILHSLDYSYMDNVAEFVKGKHVTIVGSGKSAFDIAAEVARVNGTSQPCTMIYRTKHWLVHKSSVWGVELGYFYLNRISQLLFHKPGEGFLHYILATALSPLRWAISKVTETYFKWSIPLQKHGMVPDYSFSYAMSACLIAMLPEGFYDMVDEGSIMLKKSKTFSFCDNGIILQDGNERVKSDVVILATGFRGDQKLRDIFTANWCKRIVAGSPDTAVPLYRECIHPRIPQLAIIGYSESLTDIYAAERMANWVAHFLGGGFQLPSIRCMEKSIAEWTKYKNRYNGKYFRRSCISTINIWFNDLLCQDIGCNPKRKKGFLAEWFQPYGPADYAGLY >Et_10A_001161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21753408:21755536:1 gene:Et_10A_001161 transcript:Et_10A_001161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEPSRRSAILLVLFCSSLLLNAVFLLLRPSSGSCGLSWTLQAAKEAEAVAATDCSGHGQVFLDGVAGEEGRPGCECNRCFSGPDCSLRTPNCTADVESGNPVFLEPYWVRHAAASAVVIPGWHRLRYTTTDGLYQSVELEKHIRMLHRAVGNAVDGDKHLVFGAGSMQLINALVYALSPDANASTPARVVAAAPYYPDYRTQTEMFDGREYSWGGTTAAWANNASRNSSSGGFFIEFVTSPNNPDGQLRRPVLDGGSSVAIYDHAYYWPHFTPIPEPAGGEVMLFTIWALIRDARVAKKAYDYVEANSFGASRDTQLRMLGILKVMLANLHGEDIFAFGHGVMTSRWRRLNTVMSRSRRLSLHNKIPPQFCTYFRRIREPSPAYAWIKCEREEDEDCRAALLKENIITRSGVKNEASSMFTRLSLLKSDDDFDVLMERVTDLVNAENNDASAGSSS >Et_10A_000445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10473942:10478326:-1 gene:Et_10A_000445 transcript:Et_10A_000445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVPSDSSHHGVVENGPTEIKQATRGEAGQLGASWYFSRREIEENSPSRRDGIDLKKESYLRRSYCNFLQELGMKLKVPQVTIATAMVFCHRFYLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILEIYDKQKELILLGERIVLVTLGFDLNVHHAYKPLVEAIRKFNVSQKSTLPQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKMKPPSDGDKVWWQDFEVTPRQLEEVSNQMLELYEQNRSPQAQPSEGNEAEGSSAGVRNQRSSARSEGNTKESSAHGHQQASKQSNSKNSGAPDAKHTNPGMQISDHNMLRNGNGDHGSSKDRSCKSVSRLDGTDKSHPSYDNPNETRDGIPNGSEAPCASSSMMDAMNKIDKDKVKAALEKRRKSKGDVAVKENMMDDEDLLERELEHGVELAVEDEKGKQDEGQNTCSGSMHQGDIQNADHVENGHHDTHNVPATAEDVASPMNNNEQNSSQLAKTTTAGDSKLPIKSEELSPQPVKRNDSPLAGRHELDGRDHKRPKLEG >Et_3A_023396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25307101:25307883:-1 gene:Et_3A_023396 transcript:Et_3A_023396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLFHLCSAYSGQHSRGSPAAIPSSVEFHPQCVTNAPAALWRSTSTCGAQDLTTRPRCFVLSRNPSGRSASRSGSPRGLRTTHRNLCPDFSRPTAISWSCFLENVPMLPKERNTTLSSGCASSHSRHSCFLSPPPSETNGPMQYTGGVGRPGTHSPSLSARTARASSDSNVLIRIPLDSGIRSAMRMKFVVSSSSSRTTLGRSVALNGGTPGRRTVESPSSENLLPVAAGKAGRLRNAARKLAPEAMKK >Et_5A_041102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18352046:18357281:-1 gene:Et_5A_041102 transcript:Et_5A_041102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAKAGDEPMITLEHTPTWIVAAVCSVIVLISLLFERMLHRLGKRLMKGSKKPLYDALLKIKEELMLLGFISLLLTVFQGATQKICVRESLMHHLLPCPVDFVHAGAKDGTTVFTGVLGGARRLLAGGGAVNDYCLRKGKVPILSVEAIHQLHIFIFVLAVTHVVLSAITALLGIIQIRNWRKWEENIQLNDDSGPQMIKHVQEFKFIRDHFKGHGKCWKPIGWMRSFFKQFYGSVTEEDYTAMRLGFITKHCKGHPKFNFYKYMIRAFEADFKKVVGISWYLWGLLMILLLLNVHGWYVYIWLSLAPFILLLAVGSKMEHIITELAIEVAQKHTAIEGDVAVTPSDDFFWFHRPKLVLLLIHIVLFQNAFEIAFFFWLWVTYGLKSCIMGKPAYVIARLVISVASQILCCYSTLPLYAIVSHMGSSFKKAIFDENVSVGLVNWAQNARKRKGKNKTNEDVAGSSIEGRSGSAVQMTSA >Et_4B_037837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2415536:2420298:1 gene:Et_4B_037837 transcript:Et_4B_037837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKLYQLPWPFLACWARYWADSACRAQIRHAAAHVAHAVLTRTGTAKKLAAAAEGFLLLCSPAAPSPIPRPRFAVISVAMVTGVVDVPDEDGGAPAVQRPPPPVGARTSVGTPTPSGAAHSASTSAGSAGSPSSRSEQHAPDGAGKGAAVAAAGPSASVSTPASESTFIRLNNLDINGDDAPSSQAPMSKKKKRGSRAVGPDKGNRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFSDPNNNFESPDPENPNAQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSISDVEELKTELVGLKGRIEKKSAYLQELQDQYVGLQNLIRRNEELYGSGNTPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSYVLKEMRFGGQEQHDGTQEPSSNGGESSSMPNMYRHQLQHPGRPNNSTVRLPTSPPIPGILKGLKAEPPADAPGSWNGNTGISMRPRTVMPRMARETVRNEGCPIIGQHLE >Et_1B_011352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20971018:20973759:-1 gene:Et_1B_011352 transcript:Et_1B_011352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERCVAADDVVVLRCFDGEEFRVPSALARRSEAVAAGIDAGEHEATGAVPVPGGVAGLVLAAVIAYWVGRDAVDAADHGRYDEEFVEGLSHDVRVDVINAAFHMSERMLFELFVAPPVVSAPCGQLLDQASDGIVYHASSGHQQQGEAGDEGVVVLRCFDGVKLAVPAFLARRRSALVAEAAGERVVDVPGHISGRVVARVVAYWEARASAATAEGFDGEFLGGLRHDARVDLIHAAYHLRDAALFDLFRFGA >Et_1A_005084.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:25758606:25759160:1 gene:Et_1A_005084 transcript:Et_1A_005084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVIISSDYQSIFIASFVQCKLTLLRCFKGKLDSLFSFTCIHLHTRSTYHWENIAQTPCSKVPLGKKDGRRGKRGKTKLKHLVPRYLHLQELHTATWWLISVGGCTILPVSWQGITMEKSALSTPSEASLPSKHITYSITALSQGSVILPSLVYPNSSCDSFRSSSKTAVRRYSRGISNRLPSEE >Et_2A_015496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1417098:1420836:1 gene:Et_2A_015496 transcript:Et_2A_015496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRLRFLLPFPLLLLLLSALATSARNEEDARALMALKRALDPTGRVLGSWDPSRDPCSGSYDGVECDPTGRVTAISLQGRGLSGSLPPAVAALRRLQGLYLHYNGIKGAIPREIGKLSELTDLYLDVNHLTGPVPVEIAALASLQVLQLGYNQLTGSIPPQLGNLNKLSVLAMQSNQWTGAIPATLGDLTQLIRLDLSFNSLFGSIPSKVAEVPFLEVFDIRNNSLSGSVPVGLRRLNGGFQYANNKGLCGIGFGLLDLCPSSEDGLKPSKPEPFGPDGSKQKIGSSLEVSDSRLSTDHYQQKETCRRSASPLISVEYSNGWDPLSGGGVGSSGEVGDSFRFNLEEVECATQYFSEINLLGKSGFAVTYKGILRDGSVVAVKSLNKTSCKQEESDFLRGLKMLTVLRHDNLVSLRGFCCSRGRGECFLVYDFMVNGSLSQYLDVKDVTDSNVLDWPTRVSIIRGIAKGIEYLHCKRSNKPPVVHQNISAEKILLDHHFAPRLSVPGLHKLLADDVVFSTLKASAAMGYLAPEYATTGRFTDKSDVFAFGVVVLQVITGRRDVSQLKVGAAVSDLEGLIDGNLNGVFSRTEATKLAAVAVYCTSEAPSQRPTMEAVVQQLSH >Et_9B_064273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13539880:13545937:1 gene:Et_9B_064273 transcript:Et_9B_064273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADDELAAATEVCDERINLSVATSHQTENGPTTTFSAVESEKAAYDFIPQTPIRSTDAHLFEFSEAMRTVAKTLRRVVEGKAAAQAEAAEWKRKYELEMEAKENKHHDVMKGCINYGKDNLEHLASQLTLETPSVNQTGCCGNHGICSHQIIQDECPGPNRKLDGSIVGRKAPFRLSWGCDGDKNGQYKHDFVSFEKGDIRTAERSKKQIFLKWESPPQTVLFVTKPNSNSVYDLCAKMVRWLKQHNNINVFVEPRVSKELLDEDSYFNFIETWDNDQEIKALHTKVDLVVTLGGDGTVLWAASLFKGPVPPVVAFSLGSLGFMTPFPSEQYRECLSNVLKGPFSITLRSRLQCHVIRDAAKDEVETEEPILVLNEVTIDRGMSSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPFNSRGQAWASFDGKGRIQLGPGDALICSISPWPLPTACLADSTTDFLRSIHEGLHWNLRKSQSFDGPSA >Et_6B_048631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11917510:11933920:-1 gene:Et_6B_048631 transcript:Et_6B_048631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVECAPAATSQVKCATAATCLDQQTKDDEERYVGLLVRASLMLRGANLPPEVQCHGAKMIEHLLNYRSEKLSSADCLNLIVGWFGSPDEDQILSEIANSRLQNKLENRLLPLSTKRNLRNLAEEETVDVCMPITHFVSSTKSEYVTPDGSSHSSSSNSSGARPDTSSEFSTESIKSSNLPSMCTLGLSFDANKSELEVQRLMSMDISENDDGDTEVQVPPKHQYYFDTRIMVSQKLTDIVNIHMLGSDGKAACHEVEGCLLLADHNILCDAFLITSNFRNCQYNAVLLDLLKPLNTIWTRPEWERTFLCNGFGLSCLLANGHFLKKIYDVVKYCEDELRSQDFTEDLCSLLHMYNIIGLSIRHEKALTEVLDCSTLSLAFVNLDSMEFRHLSKLISLIFLPLVYNCPREFWKEWMLDFLGPFLRHCEDVLYYAWFSFLHEGRARVSYYFGKLLGSSEDIEKLEHTILLQFTRDVSHLLGNLSSSKSCTLSHRFEDINNKRVTYLCDSGSTSSDSLIGYLFVHGCFGRLRMSLFGYWVDDVAAINAIPFCHALIQFACVSTAERLRLFVSDELLPSIIKRLHDKLPCAIRHLIKPSDIDLMLECKGRDSAADNFTSWLEKLKEDLFVKARCAAPEEFEKGVKWNWEFQDEFERYLPIYIDMLKEVDAMEDTLEHDYCDWEVLLQKLKPEFRLKYAINSREHPYLKAISYMRRRKFCSTTQVRNQRKVRDIVRKLIARTPYIKGSDCPHSVIHHLEQSSETPFSTFPLDDLEKSVHFLIDSVLYIWEPCFHPLIREGHKDFLLWITDQLTNAKEFQNFEYSRAVAQVLMHENYDDHLSSGVLDDKIYKLMPRGADYCDKDVDSWMAPFEFPELDSVLIKLSLKRRAEIVQIDHQVRTYLSCLRDVLEDDLEKKCFSELIDKFSNEVFTDHPLPRHYVIRGIIVVGEACDRLTAYLPQFWSETQHYKHDFYDILELPLEKTKLWF >Et_5B_043341.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5462034:5463239:1 gene:Et_5B_043341 transcript:Et_5B_043341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLEAMKPVPQLFHPPVINRLSMVQQMDARHKVPQMNGIHGGLVSSTDKAFVLLYAGGYQPGFSNNPLSGCYLVYDASNNSLSAIPQLPDPSSFFGIGRGAAILSTSKGTYMVAELVGASSGFPNAVLFLWKSCSRTQDGQWIRRDVLLPQVFSPDYFFRIDMVFTHADSQVCWVDLLKGVLICNLKEPTEPKFTFVELPMGFSIDIPHRRRPRTEEFRTMGSVGGAIKFATLHGYYEELSHEEVLLKTWTLSHDLKWVAGKDVCVSDLSDSYIDENLPMVTPSFPVLGIDKPEVVYLVLDKIDSVNVKDVLGHVSGVNNICKAQYVIGLDTDKKEVICCIKSVPDSTTPRFSNIIATEFKAHLKCPMEVLPSSFLFRSTLFLPSSWFHCAIINFGILY >Et_2B_021434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29628856:29632848:-1 gene:Et_2B_021434 transcript:Et_2B_021434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGHLGELSLSASSVAASFANVTCFSVLILTALGQNPEISFEAGKYARWLIPGLFAYGLLQCLTRFLQTQNIVHIMVVCSGLTLLLHILLCWFLVHYFGLGNQGAALATSISYWFNVALLAIYIKVSGRRSWRAWSREALNLKDVGMYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGAGNPQAARLAIYISGIICLTEGLCVAIITILVRDIWGYLYSNEEEVVKYVSMMMPILATSDFMDGIQCTLSGAARGCGWQKVCSVINLFAYYAVGLPSAVTFAFVLKIGGIGLWLGIICAMAVQILALVVMMLRTSWDEEAEKARARVQRSAGSITLA >Et_7B_054747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3983671:3984217:1 gene:Et_7B_054747 transcript:Et_7B_054747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSCCVDEQQDAGPSMERAFEGEPVPSRSETITARSVAVSVVLGVTLSVVAMKQSLTSSFLPTLAIPAGLLGFFLSRAWVRLADRLELAQLPFTRQENTVVQTCVVACSCIAYTGGFGSYILAMSKNATEGGAGSDAINVEEPNIGG >Et_2A_017977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8531383:8532767:-1 gene:Et_2A_017977 transcript:Et_2A_017977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLYNTNSGLCTSCWEGEKPWTSKMEAPAWFISFAPIGALYMATLCVHFLAYLSRCLRRPKDLRRHYGSWAVITGPTSRLGRSMAVELARQGLNLVLVGRDPDKLRDVSDAVARTHGVQTKTVLFDLSLAGTPQGGEAMRRLREAVDGLDVGVLVNNAGVAKPGALFLHEADVEPLMRIVRVNLLALTEVTAAVLPGMVARGRGAVVNIGSGSTLAIPSFPLYSVYSATKRYVLKFSRSLHVEYKSKGIDVQCQAPSLVDTNMMSSAVKSSFHPAFVVTPDAFARAAVRWIGHGVLCVPNVAHQVQWWLSGVPPEFLHDVYRLAKHLKQRAIFQVIRSSRVVLGSPGNKEN >Et_3A_024886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25069600:25073345:1 gene:Et_3A_024886 transcript:Et_3A_024886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYITATDDDVMKPPKGAPPHQQQAARRGCRAAVVTGLLAGVLIFRAALLAVEARASLCPSATGCQDWRARLGGWLYGGAGAGDDAMEEFMKEWRRGHREATLLDPVVVEAAPDSLDALMAEMGTMLASYEGIDMDAVVLKIMAMLLKMDRKVKSSRIRALFNRHLASLGIPKSMHCLALRLAEEFAVNAAARSPVPPPEHAPRLADASFLHVALLTDNVVAAAVAVASAVASAADPVRLVFHVVTDKKSYVPMHAWFALHPVSPAVVEVKGLHQFDWRDGDVVASVMRTVDEVQRSALDYHRCDGSDEREYRRLEASKPSTFSLLNYLKIHLPEFFPDLERVVLLDDDVVVRKDLSGLWEQDLDGNIIGAVGARRPDADGGGTCIDKTFGDHLNFSDLAVPSLGLRSSQCAWSWGVNIVDLDAWRRTNNRESGFRLWQMASLPPALIAFDGRVQAIEPLWHLPGLGWRVPDVELVQFSAVLHFSGPRKPAGVQRRGK >Et_1A_007567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3584500:3586809:1 gene:Et_1A_007567 transcript:Et_1A_007567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFRSHLFSSAIRAFSPLAADSFLHRLLLYSTTTKSPSVVDDFLVTTCGLTPAQARKSSIYLAHLKSPSKPEAVLAFFAGIGLSKADVAVIIAKEPRLLRSNVSGTLAPCVAKLGDIGLSPPQISRLITIVPAILLRPLAASRLTFYLSFLGSYEKVHTALTRSPYFLSQDVERVVKPNIAFLKQCGLTDCDTVKLVWMTPRLVMLQPERVKEIVSCADKIGVPRDSAMFKHALASIFSIRPGKIPSRLDFLKKALGCSEAELRIAVRRLPNILNFSEDRMSRLVDFLKMEVGLEPNYILERPALLGYSVKKRLVPRFFVLKALKAKGLVKKDIDFFGVINRNEKAFIKRFLDPYKDSVPGLADAYAAACAGQAMVAV >Et_4A_035681.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3644066:3644266:-1 gene:Et_4A_035681 transcript:Et_4A_035681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLMALAFSAAPLTLYVPPVRSLSLFVEAMEAVCRDCAPYSQGAVLRFRLGLSRILAGLARALR >Et_4B_038130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26574186:26575794:-1 gene:Et_4B_038130 transcript:Et_4B_038130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARVEPAGAGLDVDKLTYEIFSILESKFLFGYDDPKLFASSSPAAAGSPGAAAAAMGSGKATPVRVVPAPAAAGKVCILSIDGGGRAADGLLAGAALVRLEASLRRRTGDEQARLADFFDVAAGSGAGGVLAAMLVARGPDGRPLFSAEDALAFLLRSLRRGGNWSGGAGSGSGGRGLVGALLFRRPGAAAAFRKLFGDLTLRDTVRPVLVPCYDLATSGPFLFSRADAVETRAYDFRLRDVCAATCAGADGSNMAAVEVRSCDGATRIAAVGGGVALGNPTAAAITHVLNNKREFPLANGVGDLLVVSIGSGEADHRPAASTSEIVRIAAEGVADMVDQAVAMAFGHNRTSNYIRIQATGSPRAAAAKGSGGAAEEMLSQKNVESVLFRGKKVAEQTNAEKLERFAHELVKERDRRRTSPLSPAVVKQQPTTPGSGAPPASYSNLVSHMLTSIM >Et_4B_037668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22305302:22306813:-1 gene:Et_4B_037668 transcript:Et_4B_037668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSLADMVIPPLARKPAITKFLKPYALKMHLTNNFLILKRKSSGQASSMESTRDVAAAAKIGKLLAERCPSACQETRSIMAKVKVVIDSLRAAEPEEHNMTCEQHDDLANNRESDIPAVEVASAKRQATAGESKVSSLNTQQAPVLSVDRRCPASNDARNSSPPCMFAWQTTFHAALHLDPWTVSVPVHKNARIIDLVDKLDRHAGRTIAMEIYSGMDVSTDFTGVPRAFGCKVANVAPSKWESYWPPCLLQVVSALWGCA >Et_2A_016509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25461769:25464031:1 gene:Et_2A_016509 transcript:Et_2A_016509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPNDELSTVAECQNHKNIDGTPLKESEGDGGGSSEAIMAALKANQEWLQAKVAINNALIAMDDTPHLNGFFSVGECDGQKTIDGEQMPLVLTPSGEGKDHTDHAALLAAVKANREWLESKVIANSAVLLRGFDVRDAVEFNAVVEALGWPEIRYVGPAPRTHVHGRVWTANEGPLEQFVYFHHEMVLIKEFPEKLVLFCEVPPPEGGETPFVPSFRVTERVLEEFPEMVEELDAKGLRYTLTALSKNDTKSMRGRGWEDAFGTTDKAEAETRARALGMDLEWLPDGSVKTLLGPRGLTRVFPGRRGRRMWFNTVVGMHGGELSSATIADGGEIPTSFVRRCGEIIEEESIQFRWRRGDILILDNLATLHARRPSLSPRRILVATCK >Et_4B_039827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3376416:3391214:1 gene:Et_4B_039827 transcript:Et_4B_039827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTSALTGTSSATRCRSYEIALRTAGKQFLAHEGQSVLVLAHKAVDQALVATYLGDFMRNTFGFCSRSIVTSGTGASSSMAAPGKTRTPALAAAADAVAEARSLHAIHSALKDLEDSLEFLHVRLFPSLLCSPLAAELCQPAGLGRLLMVGANALAYSNPVTINRKIDILVHASNICIVVVQPLSNLNNVIMLQRINKIRKSHPGVQIKLVIDHLTSGGLRVISLVDEMLNKNSGGGVLKTFVASEVLKRWSLAPSAIEKARKKKQEGLGIIKPCCKDKAWGPIMVERKRRWKEDGQTAMQKAMSLKQRRNLEIPAKQGNSFAALDASFLNKIAEDVNICIGDDLVEKEDIISELVQKEAEINIQFADNNPDIIFL >Et_10B_003324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18795244:18797173:-1 gene:Et_10B_003324 transcript:Et_10B_003324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEETGARRWLVDISRWRPSPAQFEAATSLLPPLERPAIDRFVKEDDRKRALVSRLLQYTLVHQVLGIQFHQITIRRTDEGKPYLQNEDATFGNFNFNTSHQGDYVGIASEPFCLVGLDIVSISKPQGETVTDFISNFSSYLTGHEWSCIVRAGSPNGVLTEFYRYWCLKEAYVKAIGAGVGFGLHRLEFRHVDWTNISICIDGMESRRWKFWLFKLDEMHLYASIAKGHPEDAVNSYKKTLSNLIIEEEQLHATLETPEGGFLLWTVEQLARLHYSR >Et_4B_039309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9870083:9875408:-1 gene:Et_4B_039309 transcript:Et_4B_039309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVASNGSLAMAASTSATPGQVSPRPRLDPLLRPLAGHCCSLPCSCVWGSMEFRGNWCWYEEVVRWISVTGAGGLVRADVGSCATAPLNPGLVCGSGVIYLVLPSLAVSAILGFLWVFAAWAYAEVLFYKKNAASRKAHSDINLVIMDNSSAKGEDQTMLLEEGGQTAAVKPVYSSFTSQSFRLFSMDHTLLLENRLTLRAISEFGGYLNYSRDLFLFLYFLLIIVSAMTSFKVHQDKSSFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFNAKEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFFVIFCCIVLNNDYTLYYICPMHTLFTLMVYGALGILNKYNEVRSVMAMKFVACFLVVILVWEVPGVFDIVWSPFTFLLGYTDPSKPDLPRLHEWQFRSGLDRYIWIVGMIYAYYHPTVEKWMEKLEETETRTKLYIKASILTVSITAGYLWYEYIYKLDKVTYNKYHPYTSWIPITVYICLRNFTQEFRSCSLTLFAWLGKITLETYISQFHIWLRSWVPNGQPKWLLSIIPNYPMLNFMLTTAIYVAVSYRLFELTNTLKIAFVPSRDNKRLAYNFVAGIAISVALYFVSFVLVGIAGY >Et_9B_064140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11701755:11705274:-1 gene:Et_9B_064140 transcript:Et_9B_064140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVGALSGGAAGVAGLLSLRRRAAPAPAAPALATPQLPAIKCAAVPDAGQLVWGRQLRPSLLLSAAPLAARKQTLRPPAAAAAAAPAEEAKPASFAEKYPALVTGFFFFMWYFLNVIFNIVNKKIYNYFPYPYFVSAIHLVVGVIYCLGGWSVGFPKRAPVDSGVLKLLIPVAVCHAIGHITSNVSFAAVAVSFTHTIKALEPFFNAAATQFVLGQPLPLSLWLSLAPVVIGVSMASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTNMDSTNVYAYISIIALIVCLPPALIIEGPQLMQHGFADAIAKVGLTKLVSDLFLVGLLYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNKISTQTGIGTTIAIAGVACYSYIKAKIEEEKRAKKKLEEEKQNTVLNAKVEEEKKEYQSTSLNWKRKQGATDDHQ >Et_2B_020977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25396279:25405935:1 gene:Et_2B_020977 transcript:Et_2B_020977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASQRTTRELLDALTAHLSLYHAANPSPASSSSSSPSTSPRAAILRWLGSLSPAARAAAATSLLSPAAASALLSMLRRLRLRGHSSFFVLQPSSSREEPTVLSRLSRGLLARAAAESRARALVFSNILLFPSSPASSRCPDAITVAEALFADLDGFVAAMDEISGGKFLCCAEGDIDLTALSCEEFPELPWLNAKGYYVIEEFVVNRVEIALRMSWAAAGAGGGGRKAARVGKGVKEKAGLAANAFWREKGYVDWWMKLEPRVRARIMGAFFGKGAMTLANEIAEESDIASRDKFFFCLGEPGSFVADTSCEHTWQSFFRKNRLGSIDVANFLSCKKPIFAKQLRRLQLVQEITCLKGNITGCSGDAIFFTPLTSAATVADDIFMKLRGLLMVVSTESINLELIGDGAAKVPRKKDVEKTSGGSRKGKKKSNNSKKVTASSKTTKDDGCSISESQNCRVLPNQCISSVGPASEETPCKETALTIKEQTVGFDDHKNHCNKKKNKRKGKAKLSNLMRPENPESSKLKKAASHDAAEASHKPIEECEGSPHPPSCVCPFKSEIPEGGSCLDSPISLNRTKVKACRNNTKKEDTLFSPGVCSPVTTKQYQSVHESDAFNMNGHATSNVSNNVSVVQSSSCLPSRGDNVSSNKLCRNSIDPLERSAQDKNGFDEKHVDHKAAGATGKMLPSVIPASASMPLSNNRAVMKNNGGEYYVYNRGLPGGTSYEWPSVTPSHFVSPEMQNRPAAADRLHLDVGYKWPSQFNQPFLPPNHQVRNPPVEAGCNQMLPSLAVPLSFDWPPAFRGYGKLSHDAALSYDPLYTPQMQSSAWSGIPAQLMQRGGICSDKDRKYFGDSDVGEDAESYWFSEEESDARAHSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVIRVVDDLASGIPSTLNGVSSSPPSTPSCSQNESLDPVAQSVTGTDINNEALPSVSPMQDSPEDKTISVAKSPPCGSEVIKGDTLPYAMLRPIVVPSMSRRSSRSDFKGSRDHRSPCMPSTRRDVPLLRRPPSPVVLSVPRVPRPPPPSPAGESKRRGFPIVRSGSSSPRHWGMRSLFAEDKIFHRAQFCLDGPEVVWPSWGNKGTSAGTLVQSIEDTVLQDHLVKISQMSRDQHPDMALPVQPPDMLNSSSHKASLSLMHNVLHEEIDQFCKQVAAGNLVRRPYINWAVKRVTRCLQDLWPRSRTNLFGSNATGLALPTSDVDLVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLTNQDWVRSDSLKTIENTAIPVIMLVADVPCDTNMSSEYSSVLDSSQEYSVNVLGAQGSPPRSDTSSSEGSNMVCSKMNKDDCDTVQSIRLDISFKSPSHTGLQTTELVRELTQQFPAVVPLALILKKFLADRSLDHPYSGGLSSYCLVLLIVRFLQHEHHLGRPINQNLGSLLMDFLYFFGNIFDPRLMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFAVLENELLLFSAEYSAPASSFDILKKIIPSIDSDEL >Et_2A_017428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34277032:34279263:1 gene:Et_2A_017428 transcript:Et_2A_017428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMTKLLLKLQAAATAADRRHGLGFGFGYGPGRRHLLPLRHAAHGSRFAACFVRCLLLLAAAVTTVALALSLHRPDRAAAYDAASSPRALLSSGFAVVINTWKRYALLRRSVAHYAACAGVDAVHVVWSEPRAPPESLRQSIINSTQRGNVRFVINEGDSLNNRFRPIRGLATDAVFSVDDDLIVPCSTLRFAYSVWQSSPSAMVGFVPRMHWLADPRSNTKEYRYGSWWSVWWTGTYSMVLSKASFFHRKYLDLYTNRMLPFIREYVNENRNCEDIAMSFLVANVTGAPPIWVQGRIYEIGSSGISSLKGHSLQRSRCLNTFAAMYGHMPLVATTVKAVDSRRSWLW >Et_4B_039414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14591907:14593476:-1 gene:Et_4B_039414 transcript:Et_4B_039414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEKANAGYLTNFEVLDFLRSRGAKTDPMGCLGAVAASECKVYEYLLKTPACNQTRESIYEFVKRSEGFRLAEADKLNVINWRPSSAADAYAMIEECGRRFSRDERGEECDEDERVQELLDLVKEVLPPPPPKAEAMQE >Et_9A_062634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2582191:2594056:-1 gene:Et_9A_062634 transcript:Et_9A_062634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAEERAQATAPATMTTPQQLTFAVRRSEPVLVGPAAPTPRETKRLSDIDDQEVLRGHVPFVFFYRGGKGARADADPAGVIRRALEEALVPYYPLAGRLREVEERKLVVDCTGEGVLFVEADADVRLAEMEAAGLRAPFPCLDQLLFDVEGSRGVLHCPLLLVQVTRLLCGGFVLAIRLNHTICDAIGLAQFMFAVAELARGGINPAPTVTPAWSRELLEARTPPKQPKFPHREFDAVPMPPPPPAGDMVMRTFTFGPANIAAIKKRLPPNLRDTATSFEALTAALWRARTAALELPADAEVRLAIIVNFRGLPELALPAGYYGNACVPPATVTTAGALLAGSLGDAAEMVRKAKASVTAEYVRSTLDLMVLRGRPCVALANLFLVSDNRHAGFHRLDLGWGVPVYGGPADALFAASFLVAVKDGAGEDAVAVPVVLPRPAMDRFASEVETILEARRPPQPTFPHPEFENVPMPVPPPPPPSVGDMVIMRTFTFGPADVAAIKETPPAKPPHVVRGADGGAGAPAGQRGPPAGHRLPELALPAGYYGGNACVPTAAVTTAGALVEMVQKAKTAVKPHVAQANTFVVTDHRHAEFRRVDLGWGERIAKRRVLWGQLGCLHQQRRRGGCHRRVNSSCFRSRPWTEERASVRAQKPTPAMSTPPPLTFAVPRRDRVLVGPAAPTPRETKRLSDLDGQDLVRVHLPFVFFYRAGKGRAARGADDPAGVIRRALGEALVPYYPLARRLREVEERKKLVVECTAGRDGGGRADAAVPVLRSAAVRRRRLQRRAPLPLAACPGTHVYLSYLITLVTRLLCGGFVFAIRVNHTMCDGIRGGLNPAPTVAPVVWSRELLEAPTTFPHPEFHLVPLPPSGGDSMVTRTFTFGSDDVNTIKKKAAGALRNAAATATSFEALTAALWRARTAALELPPDDEVWLVVVVNLRTKFPDQLMLPTGYYGNATKASAVMSPSQSLLIGIYKNYDN >Et_8B_058674.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:15563204:15563599:-1 gene:Et_8B_058674 transcript:Et_8B_058674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKPPVVKIDAVYKGSYAYEADLKEEVFKQSVSVLIDATSRAFQSYKEGVFTRPCGIGQNHAVLIVGYGATADGTKYWIVKNSWDTWWGEKGYIRMKRDTIDTRGLCGIAMYPMYPIKKGAGADAAVAAY >Et_5A_042851.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:5598243:5598524:1 gene:Et_5A_042851 transcript:Et_5A_042851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVIDAFRKSHERSTYRSVSSDGSSRGGGSRRHLIDYYELPEAAAADNGASSGLHHRRSDLVQATGARRAEEYGRPAAVAAGSAYRRK >Et_8B_060009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:579646:582582:-1 gene:Et_8B_060009 transcript:Et_8B_060009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LVEQIVAITVFLLLVVAFYAFFAPFLGKKILEYVAIGIYTPVAFAVFILYIRCTTINPADPGIMSKFQDGFIDAPASTLDLEGRNLPAKTDDVAETNSPTSSYRSSLNGHSNRDALAAGDTDINLSSQPQRSSMSCLFGGLICALFVKEDCRKFDDSENQVDGEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFIALMISSLLWLAIEVGIGIAVLVICFTNKNSERNIQDKLGNGLTRPPFAAIVAFFTLLSLIACIPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPQEDNEEEGVNIVYSPTNSAATGFSGGSSLRIHHKGPWCTPPRIFVDQDEVVPHLEPGMLPSSIDPDAAGHAERANKAKKPVKISPWKLAKLDRNEAAKAAADARGQSSVLRPIHARRGPYADLSSSGNGSMRSSMSVDYSATKEKGFDKKLSPLQNSYPQSLASQDDYESGTQSGSNMSSPVHIHKPAPHIQINVPPRAPPAPSRPAPVIQRPPVPTPQITKPMFHSATSYVRENRKASVVWDQEAGRYVSVAPAPTRPGAGALPSEQPSERLTYTGQSIFFGGPLLGAAADPRRNEGGTRARTEERREFVAHQHDTGGERRRTAESFPVFAPGTIQNNPQFNR >Et_1B_009885.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7928780:7930712:1 gene:Et_1B_009885 transcript:Et_1B_009885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDNGNRIRLQPKWRKVAYGGRQPGYEDNYTDESFLEEMVMNANVVKRDLLKVMVDSVSISQYLCIVALVVSTWTLTLNLVIDEATLLKLDVGLLLVGFSVLLLTTCPFSVKLLSKYVLNISFFISGLYVLAPIYHTLTRSISSDSIWALAVSLLLLHLFLHDYSGSTIRPPGALNNPKLTSNISLNASIVASVLVASRLPSRLHVFAIMLFSLQVFLFAPLITFCIKKNHFKLHLLFSVSLMIVTLGVTYQLHRMFFILLLVLLIFISVVCPYWLIRIQEYKFEINGPWDEAKLCFDITE >Et_3A_026581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9969631:9973870:1 gene:Et_3A_026581 transcript:Et_3A_026581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHGKWNIAAEMRSLSGCTMALCSTLRYLERVSNADNECAVKGVNSEPLAIVPPDLQALHLVDVEQQCHGADVGVRRQADNLASLPARRVVCHPHGLRLPREHLQETFRLLQAHVRGHEPQQGRHHIRRLRGIPVDGIPQRRRLRWPESHVPRLRGAKHEVDHVRPVQLLGLRQDEGLAVSLDSLQAVRRQRVHVLGFERQLEELGDISPHVLDHLIRYPVVDDLHAIAYMKLYLEETLLTGRPKLAQLLFRRPRIEQPAEINDRDPAADDDLLVADLLCPDVPFRHLLARFVPSLRECLHIAGAGLLTFLSEHPFVIYILALAHRFEKTTNPQS >Et_3B_028186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11464868:11482217:-1 gene:Et_3B_028186 transcript:Et_3B_028186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLRLEELRAELQRRGLDDSGNKPALVRRLDAAIRKEEKAVVAAASAAAADGDGAVMDGEENGENKRKRKRAGDGEEEGNGDASSEAAKLEGMGYRELQGLAKARGIAANGGKKELVERLLSAPVNALAVVDGGIQDKKKPIKGGGERVEEEVKKEKMVTATKKGAAVLDQHIPDHVKMTYHVLQVGDDIYDATLNQTNVGNNNNKFYILQVLESDGGGSFMVYNRWGRVGARGQDKLHGPFTTRDQAIYEFEGKFQDKTNNLWSDRKKFKCYAKKYTWLEMDYGETNKESNNTTSKGSIADQIKETKLETRIAQFISLICNISMMKQQMMEIGYNADKLPLGKLSKSTILKGYDVLKRISNVISRADRTQLEQLTGEFYTVIPHDFGFRKMCEFIIDTPQKLKAKLEMVEALGEIEIATKLLEDDSSDQDDPLYARYKQLRCDFVPIEVDSEEYSMIKTYLTNTHGKTHSGYTVDIVQIFKVSRQDEAERFQKFANSGNRMLLWHGSRLSNWTGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYASGSSRSGVLLLCEVALGDMNELLNADYNADNLPKGKLSTKGVGQTAPDLAKSKVTKDGVIVPLGKPKEEPSRRGSLLYNEYIVYNVDQIRMRYVLHAQRLDAATQKDKGAVAAAATTAADGAVDANKSKINEASDGKDGGNGDTSLDAAKLEAMGYRELQGLAKARGLAAKGSKKELLKRLLPVPAPASAMTGADGGAQDNKGAAKGSDGVVADKAKKDKIVTATKKGAAVLDPYIRDDIKKTYHVLQVGDEIYDATLNQSNVGGNNNKFYIIQVLEPDSGGSYEVYSRWGRVGTRGTGKLHGPFATRELAIHEFMLKFEEKTGNYWFARKKFILYAKKYAWLEMDYGAAYKETNKTKKESSITDLIRKTKLETQTAQFISLICNISMMKQQMVEIGEFTIDTPQKLKAKLDMVEALGEIEIATKLLEDDSGDQDDPLYARYKQLRCDFAPVEVDSDEYSMIKTYLTNTHGKTHSGYTVEIMQVFKVSRHGETERFQKFADAGNKMLLWHGSRLSNWAGILSQGLRIAPPEAPSTGYMFGKGVYFADMFSKSANYCHASGSSKSGVLLLCEVALGDMNELLHGDHNADNLPKGKLSTKGVGQTAPDSAESKITEDGVVVPLGKPKEEPSKRGYLLYNEYIVYNVDQIRMRYVVHVSFKSKTR >Et_2B_021718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4826298:4832076:1 gene:Et_2B_021718 transcript:Et_2B_021718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDNRAPAQAAAEVKNPRCFMDISIGGEMEGRIVVELYASVVPRTAENFRALCTGEKGVGAASGKPLHFKGSCFHRVIKGFMVQGGDFTAGDGTGGESIYGSKFEDENFVLKHERKGMLSMANSGPDTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSIEHAPVGEADCPTTDVVIADCGELPEGADDGVVNFFKDGDMYPDWPTDLEEKPMEVSWWMDAVESAKAYGNENFKKQDYKTAIRKYRKALRYLDVCWEKEEIDEDKSTALRKTKSIILTNSSACKLKLGDLKGALLDADFALRETDGNAKAFFRQGQAHVALNDIDAAVESFKHALELEPNDGGIKRELAAAKKKIADRRDQERKAFARMFQPSGKSDKSSDVIFLYRLSYFCWVCGVVRTQLLK >Et_4B_037341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18759567:18765970:1 gene:Et_4B_037341 transcript:Et_4B_037341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAGAPPDGGDRPWQSYHTAYTNAKAGMDGVDKEKVQKVIYEMSKGSKYFENEQRKEALTKQKIEHLRAQCAKLTDDDISHFQKVAEKKILELEASRNLSKIWLHTDMDAFYAAVETLENPSLNGKALAVGSMSMIATANYEARKFGVRAAMPGFIGCKLCPGLVFVPPNFERYNHYSELTREVFRRYDPNFIATSLDEAYLNITNVCSERGITAEEVATELRAAIHHETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNDRKAVLTFVSTLPIRKIGGIGKVTEQMLRQVLGISTCEDMLQKAAFLCALFSECSADFFLSVGLGLGGTETPEQRQRKSISCERTFTATNDSSLLFDKLANLAENLADDLQKEGFKGRTLTLKLKTADFEVRTRAATARNYINSKEDILIYATKLLKAELPLSLRLMGLRMSQLHDDKDHSAASTQKTLDIFFRSSYSNSNAKGIDGQDNSISVTSKDECMEHDTGADGSMDQQAFLTHDESFYIPEHRSSVNCGSKDVLHSQVICDGLGGTKLNDVESSEQIKDTDKHDELCHLKSREATASSSKPDHQFWIDDYICSLCGFELPPGFEEERQEHSDFHLAEMLQQEEAVDSTKHTCNERLAERPCSATPTPKKKLKSSKDVKHVPIDAFFRKSEPPK >Et_1B_012405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31743758:31747715:1 gene:Et_1B_012405 transcript:Et_1B_012405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRFQNLLGTPYRGGDAVFAGDSPVLLSAVGNRVASTDLAASSSFTLPFESSSNVTRLASSPSGDFLLAADDKGRALYANLRRRAVLHRVSFKGAPSAICFSPDGQLIAVAVGKVVQIWRSPAFRKEFFPFHLLRTFPGFAAGVTSFDWSPDSAFLLASCKDLTARLLPVKKGLGAKPFLFLGHRAAVVGAFFATDKKTGSVNRVYTVSKDGAIFTWNLVEGNEGGNDDSPPPSPGTPEQESEQNDAMELDGGSRKRKKLGEQDTTPLHLAKWELQEKHFFMQAPAKLTACDYHWELDMVVVGFSNGVFGLYQMPDFVCLHLLSISREKITTAIFNSLGNWLVFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCIAYSPDSQLLATGADDNKVKVWTVSSGFCFITFSEHTNAVTALHFMANNHSLLSASLDGTIRAWDLFRYRNFRTFTTPSPRQFVSLTADQSGEVICAGTLDSFEIFVWSMKTGRLLDILSGHEGPVHGLMFSPTSAILASSSWDKTVRLWDVFESKGAVETFQHSHDVLTLAYRPDGKQIACSTLDGLMQFWDPLEGLLMYTIEGRRDISGGRLMTDRRSAANTSIGKYFTTLCYSADGSYILAGGNSKYICMYDVGEQVLLRRFQITRNLSLDGVLDFLNSKRMTDAGALDLIDDEDSDVEEGIDQQTRGNLGFGLPGSVANRGRPVARTKCVKFAPTGRSFAAATTDGVLLYSVDESFIFDPTDLDVDVTPEKVEEALSENQHQRALILSLRLNEDSLIKKCIFAVDPSNVRAICSAIPFKYLQRLIDAFADLLESCPHLEFILLWSQELCKVHGHYIQQNSRTLLPSLKSLQKSITRLHQDLADTCSSNEYLLKYLCSAGTKN >Et_3A_024331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19611453:19628970:-1 gene:Et_3A_024331 transcript:Et_3A_024331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKMIGCMLRLHEAAAIVFVLFVTLILPLSSAHARAIEGRDCIASEREALLSFRESFLDPADRLLSWRGHDCCHWEGVRCSSRTGHVVKLDLRGQDLSDMIILQGEMSSSITTLRHLWYLDLSFNDFNITKIPLFLCTLKNLRYLNLSSAFFEGSVPSQLGNLSHLQYLDLSGNNLQVSDLSWLPHLPSLTTLNMRTVDLSFAEDWVRMVNMLPNLKSLVLSLCSLNTTVSTISHSNLTHLEILDLSYNQFYSALEHNWRYISMTVDGQGPFLMHWETCPDSRPCIWITTTYRVSCQQNWKIYVLDLKSNNINGDIMRRLPECSWSKLQTLDFQGANLTGPLPVWIGNLTRLNYLDISMNMLVGSIPFGIGNMISLCYLDLSQNMFTGNVPFGIGNLTSLRHLDLSRNKLVSTVPHGVWKLGNLTYLSLGVNNFSGVLSEEHFSSLENLRYLNLSQNSLKLYFDENWIPPFRLKEGYFGSCDMGPRFPAWLRCQTGINILDISNANINDVLPHWFWAIVLVKKSTKWGLTNFVAAAMPSLLEINFSNNQLTGDLPKCPKNCSLSGPYSIGDELVSLSMLDLKNNNLSGKFPCFLQSAQTLEFLDLSHNKFTGSVPTWIADKMPYLEVLILRYNMFQGHLPKQLTKLSVLHVLDVAHNNISGSIPSSLATLTAMKSSYSIGAENYSSDSISTLIKDQELNYTHEVTEQIVLIDLSSNSFSGHIPKELYYLKGLMSLNLSRNQLSGAIPRNIGSLRILESLDLSYNHFTGEIPSSLSDLTFLSILNLSYNDLSGIIPTGQQLQTLNNQYMYIGNPGLCGPPLLKNCSTNETNQDVNQVHGGTSLIVFILVTLPLPLSSAHGRESAGTGCVASEQEALLSFKQSFLDPSGRLSSWRGKDCCQWEGVRCSNRTGHVVKLDLRGREDYFGAIELLGEMSSSIATLRHLRYLDLSFSNFNNAKIPLFLGTLSNLRYLNLSNAIFSGSVLDVSWLPRLSLLKSLDLSGVNLSSISDWVHKVNMLPNLKIISLSGCGLNSTVSNLSHSNLTNLEILDLSFNSFNSSLQHNWFWSLTTIKELFLSFSEWYGPIPDALGNMSSLEVIYLDGNLLSGIKPAALKGLCNLQLLDLTHNIFYWRGDLTHDYNMNGGDMMRRLPQCSWSKLRELNLQGAGLTGELPVWIGNLTNLSYLDLSQNMLVGSVPSGIGDMRSLSYLDLSYNMLVGSIPSGIENMRNLINLDLSQNMLVGSVPSGIGNLMSLLNLDLCRNMLDGSLPSAIGNMSSLISLDLSQNKLVGSVPFGVGNMRKLEYLDLSRNMLVGEVPDGIGTLSNLTYLSLGLNNFSSVLSKEHFANLVNLEYLNLSQNSLKIYFDEHWVPPFKLVEAHLRSCDMGPLFPTWLRWQTGIRTLDISNTRINDVLPHWFWVVFSNASSLDLSVNKLSAVLPAKLELPFIGDMDLSGNSLSGQLPANLTAPYLRSLLIHNNQFIGTIPEYVCYGFSEINLSNNQLSGDFSQCLATGSAIGSSLLMVDLKNNNFSGEFPHFLQNATILSFLDLSHNKFSGIVPTWIAAKMPRLEVLILRSNMFQGHLPKQLTELVGLHYLDVAYNNISGSLPSSLARLRAMRISKGGDAHNYSSDSISTFVKDRELNYTHELTKQIVLIDFSSNALTGYIPMEILFLKGLRSLNLSSNQLSGAIPSNISALRGLESLDLSYNFFTGEIPSSLSDLNFLSCLNLSYNDLSGRIPSGQQLQTLNDRYMYIGNPRLCGPPLVNNCSTNETNQDANQVNGGEVHDILSLYLSLSTGFMVGLWTVFCTMLFRKAWRIAFFRLFDMLYDKFYVEVAISKAAIPAPEVAPSNRSSRRCIPGERDALLSFRAGLTDPGGNYLSSWHGEDCCQWKGVLCSNRTGHVVELELHGLKDVNPSIALREGHANSSLLGLQHLRSLDLRENNFNAAPITQFIGGLKNLRYLYLFCSNFSGQVPPQLGNLSKLLYLDMENIGAGSHIVHSSDLAWLSRLTKLQYLDLSTVNLSKAIDWLHVVNKLPSLVTLNLAQCELQNSIPPPVHVNLTSLEHLDLYGNEFIFSSVGTKNLLWDLPSLLKLDMGRCGLQGSIPEQLGNLTSIMSLYLYENNLSGSLPVQLGHLSNLTILQLDNNNLSGELALGIGALAKLAELNLGHNNLHGTITESHFGALVNLRDLYLNDNSLAIEFQHNWVPPFKLSTAALKSCKLGPKFPEWLRSQNSIYILDISNARIASPIPQWFWITFSQTQHLVLSRNQISGMLSPTMFRKMEAETMDFGDNLLVGSMPKLPRKLKSLDLSKNNLSGSLPSDFGAPSLTMLALFKNSLSGRIPYYLCHLEKLGFLDLSRNQLHGEFPNCEKRSSNIFMLNLNTNNLSGEFPTFLSKCQDMIFLDLSYNQFAGMLPSWIGDKLPSLSFLSLRSNLFFGHIPQQLAKLKWLQFLDLACNNMSGPIPPSLANLIAMVIAPQDDNNLSDIANYGESFNEEDVVYYTDSSSVVTKGQQLEFTSGIMYMVNLDLSCNILTGPIPEEIGKLAALKNLNLSWNHLNGTIPDNIGDIHSLESLDLSHNEFGGEIPANLSNLLSLSHLNLSYNNLRGRIPSGNQLQTFDDQASIYIGNPGLCGPPMSKKCSETDLTPDSPEGNKYEGDTVSFFLALSSGYVIGDTA >Et_1B_013479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8932207:8937485:-1 gene:Et_1B_013479 transcript:Et_1B_013479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TEQGGWTVSGSTKPGPDGGRRDDLARGYKSGGGRRKGVRSIANPSLPYFAKSNRGTDSAPLIPVPKGRVVAAENLVAPGLYLKDEGNHTGIMSGRSSESSPFSGQSSFSSLPDFKDLEISSESGCLSIVVLGASGDLAKKKTFPALFHLFQQGFLQSGEVHIFGYARSNLSDDGLRERIRGYLKGASDEHVSQFLQLIKYVSGSYDSGEGFESLNNAISEYETSKTNESGSSRRLFYLALPPSVYPSVCKMIRSYCMNPYSRGWSRVIVEKPFGKDLDSAEELSAQLGELFDEDQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIDNVQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVSPIKYDEVVIGQYEGYKDDPTVPDDSNTPTFASVVLRVHNERWEGVPFILKAGKALSSRKAEIRVQFKDAPGKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGLRYQDVKIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHDIDAGKLKSIPYKPGTRGPAEADEMSTRMGYVQTHGYIWIPPTLSRF >Et_5B_043215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18129937:18130821:1 gene:Et_5B_043215 transcript:Et_5B_043215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLELDLTEKGPSRASPEQLNAWLRYAARHVVKSVQIDLGQWAATTTRKPDEQAVVIEVPSHGRAESIWFVLPSNHRLQLPAAAAACRYDALTNLQLFSPSLDEDGSAFSHFVASCCPRLRRLRISGVGGLRHLVLRSEVLQEFAIIAAMDMETLDLATPNLRLFELSACFTLQKIHVVAPKLEQIVNMYYFRASPTDLDIHDLASVRRLSQLHLDMHGKYHRDMDVGFWLLESCQSVEHVNIWLRHMPRTKHTMDEQLIDFTSEGRRRSPNSQPWS >Et_9A_061341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10240260:10243049:-1 gene:Et_9A_061341 transcript:Et_9A_061341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFPLLAAEAALALSLLFRTPARRLVLLAVDRAKRGRGPVMAKTVAGTMLLVLASSGYSIGKIRRRSGELGQLTPTDQVLASRHLLEASLMGYSLFLGLIIDRLHHYIRELRTMKKNMEATTKQSRTLEEAKLGGAEEVQGYQKEITSLKEQVQVLKSQSQAKTEELKTAEANIVALQKQSEGLLIEYERLIAENEELRNQVQSIDRRMSHSDGKKNS >Et_9A_062324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21919576:21921134:1 gene:Et_9A_062324 transcript:Et_9A_062324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATACRAPPCRWTRPGPLPTGAGAARYSCVYGIGRVVRPSRRAPRRAAVVRCGLLPVDPWAPTVDSQSIASQLFAASLFPYLGFLYFMTRSKTAPGLTLFGFYFLLVFVGATTKIHYGTSLSNVDWLHGTAESLLTLTNLFIVLGLRGALRKLEDTEEGISEASQDSKDKSSA >Et_6A_045808.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:14078998:14080302:-1 gene:Et_6A_045808 transcript:Et_6A_045808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGQCGCGGGEEEKAASVSGRDGVGYAAFSDGFDGGFQAATCDGAAVVGRLRLVGPPSLARLAAALDEPVTCVMYTLLLPFAADVARGLGVPAYFFWTMPAAALSVYHHFFHARHGLADPDRRVEVPGLEFLRARDLPSLLTGPTPYLPAFREMFDVVEASAGNGDGKKKPRVLVNTFDALEAAALASVPGIDLVPLGPMVGGAGEDEDVDGDLFEQDAAAGYMGWLDAQADGSVVYVSFGSIAVLPAAQLEEIRRGLEATRRPFLWVVRRDNRCDGTPMAASGGMVVEWCAQSRVLAHRAVGCFVTHCGWNSTVEALARGVPMVMAPQWSDQPTNARMAEALWGVGVRAEPDVDGTGTVRGAELARCVDAVMGDGDEARAIRRRSRQWKARAAQALRQTDGDGDGTVESHLRRFMRGVCAARTDSDNSAWPL >Et_6B_049867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15865692:15869688:-1 gene:Et_6B_049867 transcript:Et_6B_049867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNHFISAIDGGRRRRRMDSPQPLNFDGFPYLPGYRYPVDGGDGRGRRMYVEYVDPPEGLNSPDRRRRYMALTVVLGDDDDATLRRQLPVVAPASGANCAICLQDLEPEEEEDEEATPRAMPCSHAFHERCIFQWIRHNRDCPLCRRTLPTPEQQEYSYDDDDRLQMTMPVPREMLEIEKGQYCGLFILAMSNCLATAFASVQSNQPQSGRQKRLTKGEDTLRKLGRLPTGTKTPRSIDAKDASPRGCLHGVHADLQRLNPYVQFERNISA >Et_5A_042028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5363301:5365597:-1 gene:Et_5A_042028 transcript:Et_5A_042028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAPIAAAEGTQPAPSEGSADASPPSSGGKSAESLLPSLSIWPPSQRTRDAVVRRLVQTLAAPSVLSKRYGAIPEPEAERAAAAVEAEAFAAASESAAAASPSSVEEGIEVLQAYSKEVSRRLLELAKSRAAPAAEPAEESVKKEEDEEDSSATPPTSEAAASEESQLFVS >Et_3B_031155.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18961347:18962702:-1 gene:Et_3B_031155 transcript:Et_3B_031155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKGNQVEVWTQEAGSPVGAWRGGEVQWGNGHSYILRWHDGGPDSGRISRKSVRPRPPPAPVSMDLDAGDMVEVFDEEDCLWKCAEVQRAPADGGRQFRVKVVGAPKVLTVAPNRLRVRQMLRDDDVWVVLHKVISAHAPPPSSPLLPHAPRGFICTCVTVSLTPRHGSLPQDNQVAGASATPLRVNGNGNGGHPYKPLTPGFTPVKQKRPPSMFDADAIPNGQRFENTSKKLCVREEPKLEVEVIDVPPNVCLNKQDEIGSSSSEDCDVVGTGSNSDDANHHQQPQHQGDEDDCDSKSVSSSDASSDRNSSSSSNSDSRTRSDGAGDRAAVAPASVPCDDDQKPGLLQEFPKKEHRDDDIVVSCGSWGRPPLNNEKAVVQEQIHRRELEAYTALTRAFHATGDALSWEKADLLTDLRTHLHISNDEHLQVINAVMNRKGRRYGRPGNF >Et_8B_060087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5831551:5841422:-1 gene:Et_8B_060087 transcript:Et_8B_060087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRQSSDLRSRERITTNFGGDSGDASEAHEWRYVRTFNGIRIFEDIANSKGGKGTLLKSVGVVGANPDTVFEVVHSLDKRKRYEWDMLTADLELVETIDGYYDVVYGTYEPKYLNWWKGKKDFIFSRQWFRGQDGAYTILQSPAGHKEKPPRHGYERTKVNPSTWEIRRLNSSGSSTPKSIVTLMLEITPSFWDRWKRRHSSNFDKSVPFALLSQVAGLREYFAANPALTSDLPSTIVKSKASEQLIIQSDLEDSEPNDEFYDALARGESFEDEDSDDDDVAIPKAGKLKLKNVSWAIASLAFKPTKASVESSELVTNSSPVTVDPSHFQGTLKRAKNKNDPNSWSAPGGEKFMIRGKTYLTDYTKVVGGDPLLKLIAVDWFKVNERFDSVALHPKSIVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERPVNKDSLLGRFVDGTDAFRDSRFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTSIVVDLAVLIEAKEEKELPEYILGTVRLNRVNPDSAVSI >Et_3B_027396.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11354802:11356552:-1 gene:Et_3B_027396 transcript:Et_3B_027396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYRAFAEGVVVMVCPVLLAIALEKVDLKSKEHGLVIPVIMLVVAAVTLIAGIFPFLICRFPRERTGSPHAVTKFLVPLSSALLVVLACWIHFIILAKWSYTAIGAVLGASIVISSGIYCYRRNDAAGGNVEQLENSLEFSAGITALLFLGLEGLALEGQITAMQDRLTKPLVASLFACIVGVCLMFVETTSLLIRNRNVIREMTRVFDFFMTCAIFFVMFFTIQELMKIQALLLFAPPFLILMVLVYNNAISVQDGGNYELASLELTKVTFTGFLAVSIPSISKGSLNKGTHWFILLAAEAIVSGLGWRVLTHDKSAIFKKTAKVASFCTHLFIVIAAIPFTVMAGNALS >Et_4B_036910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12568549:12570231:-1 gene:Et_4B_036910 transcript:Et_4B_036910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDRQPTVPLLQRQQQEDGTNVQDAGDHHQHRHRGKLTLLPLVFLIYFEVAGGPYGAERAVQAAGPLFTLLGFLVFPFAWGVPESLVTAELAAAIPGNGGFVRWADRARRLAPRHVEVPELRGQHRRRSSPTTSGRSSPAARTGTVAGMTVLLSFVSYAGLSVVGWGAVALGAVSLAPFVLMTAMAAPMVRPRRWAAQVQGKKDWRVFFNILFWNLNYWDSASTMAGEVDRPERTFPRALAVAVVLIVASYLLPLMAATGATDAPPEAWVNGYLADAAGIIGGAWLRYWIEAGAVLSSIGMFEAQLSSGAYQLLGMADLGLLPSVFARRATGFNTPWVAIAVSGAITLSVSFLGFDDVLLEFAAFLWLRASRPELKRRFRVPLPLPALVAMCAVPSAFLAYVSAVAGTRVFALAGALTALGVVGHGAMRVCRARKWLRFNDAGIVAAEEEIMVTV >Et_2B_021724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4873938:4877222:-1 gene:Et_2B_021724 transcript:Et_2B_021724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISTTLHDSIIHWDGHLLNIISSAVNGLSKVKAVNLGGWLVIEGWIKPSLFDGIPNGDMLDGTQVQFRSVVLNKYVNAANGGGSNVTVDRDVASTWETFRLWRVSENEFQLRCLGGQFLTSNSEDGLILATASKPLSSETFYIERNDKRVHIKLLDGGYVQATNDHLLISTYQLQPGWDDNLATFELVIVANDLHGDYQLANGYGYEKAKMVLEEHRGSFVTERDFGFLSRHGINTVRIPVGWWIAQDPYPPSPFIGGSLAALDHAFVWAKFYGLKCIIDLHAAPGSQNGMEHSASRDGSVDWPSPEYISQTLEVIDFLATRYGGHPSLLGIELLNEPSAATVPLDVLVSYYMSGYQIVRNHSSTAYVILCQRIGNADPMELVQANIGISNVVVDLHYYNLFDPYFASLNSTQNIDFIYKARAPQLQALKSSNGPLLFIGEWVNEWDVQNASQSEYQMFGRAQLDVYENATFGWSYWTLKNDRMHWDFEWNIQNKYLLFNGSPLLKPPRIVLLLVLGWGSYVAGLLIMPR >Et_3A_027149.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:34601078:34603795:-1 gene:Et_3A_027149 transcript:Et_3A_027149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADARTRASKNASGDKGSTSTRPARLRVMRTDVQEVLKSPRRSRPKKQQPPPPSPPRPPSPSPPPRQQEEEEFERARYDCAFQDEREGGRDFAPPDLVWGKVRSHPWWPGQVFDPADASEVALQHRRAGAPLVAYFWDRTFAWNDASALLPFRANFARFSAQSTMSGFVSAVDAALQEVGRRVEAGLCCGCFGSPIATKQEVENSGVRQGAFGAVVDGAYMRDAFRGKAFLDYISALGKKPMAGADLLDLATAKAQLRAFNRSRGPRGLPEFVVFEGIEDVADAIPHTKRKRMHKSSDNDVLSKEKNSRHSGSSSRRNEAFTNEEVVDAPTRGVTDENAVSKGNKSKRTKSSAKKKPDISKHSDGLERASVIGSTIPASNAVNDVLSESKSGRMLRSTRKKEDALEGLKKLGKDGGGETLTGSSKDNPLLTENKLSRRASATRKKDKTIEDGDGLGDGSAASAKDSSGKRRSGPADKSASKKVLISEHGRKKKKLSELMAEAGTPNSAQPGRKNKTRGTPSLHESAEKAEDPDRDSKDTVKTRKRKKLDTLGDLSSPSQSLSRKKSTKVGELMTKASRRKPQTPPAVKVNGKASQTKSRGIKHKQESAEDKSPRSRHRQESAVDRSPHSVKPNRGKKDAFTEESPSCSEMLWQLSLASCDLKQREKFAPTVVNFFTDFRKNSNVSSSDVSEGIPEKAANTESAPSEQPIADHMQDDYWADILINVEEPLSSLKKKKDESNKRTSKKAHQGKKLSDKSSVTLESADEPRSEGKQDTENGKHLKAESKPFVANGSQLNAGTKSAEDMENSLLAGLVLHFSRPSAVPSRSDLIKIFSQYGPVKEAKTEIANNANSAQVIFKRRMDAEAAFAGAGKISALGPALDSFRLTDFPAAASGNEPSHGASKSE >Et_1A_004997.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21944340:21944564:-1 gene:Et_1A_004997 transcript:Et_1A_004997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGRKERGRHSLSFLNRSDHFSHSLLFVWGLGLVRFLLLSTQFSVFVRDHLRRKDRYKFFGGAAVKGRFPLFH >Et_2A_014881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20122473:20122935:1 gene:Et_2A_014881 transcript:Et_2A_014881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RIQCKKNLFKKNIKQPWALVWPVDTPRRHRDCLTLLCLCISGSRGNTGTEWSSGRRCPRSPVCLPLAVRKLAYDDVACCATIWNLVVPGAICLIPCKTFLLRCFFSKCNLSM >Et_7A_051287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16633209:16634113:-1 gene:Et_7A_051287 transcript:Et_7A_051287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGEDVVASEKLGEARLRAMHEFQRDFLLALPSFGVLVRYPRIGRFLYPSRWRRLVALRRRQEESFLPLVAEVRSRKQQKAGRQRGDDSSSTFTSYVESLPDLRVHEEDGVPWRHRVHGRRVGVDHGRARQAPRSAAKPSPRRGRHHRLRRAIRPGGQPGRLADGNGATVNFLVGKINRDPAAWSEPASFAPERFMPGGDGEGLDLTCTRGELRMMPFGAGRRARYLVANLVREFEWWEADGDGDKVDLAEFRGFFFTVMKRPLRARHVI >Et_2A_017245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32369898:32372834:-1 gene:Et_2A_017245 transcript:Et_2A_017245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENVPRGGGGRPPIPAAGRKPVLARHASFVRSSANNTKSETVTTFETMDTEFIPVIRSGGWADIGTTRRTMEDVYVCCDNFMQDFGFESTEEGPRAFYGVFDGHGGKHAADFVCSNLPRFIVEDKGFPGEIEKAVPSAFLQTDAAFADACSLNCSLDSGTTALAALVVGRSLLVANAGDCRAVLCRRGKAVEMSRDHKPSCNREKTRIEASGGYIDDGYLNGQLNVTRAIGDWHMEGMKALGGLGPLSAEPEVMTMDLTEEDEFLIMGCDGIWDVFRSQNAVDFARRKLQEHNDPAACCKELVDEAIKRKSGDNLSAVVVCFNSRPPPVLTAPRPRVQRSISAEGLRELQGFLDSLAD >Et_5B_044593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3940563:3942832:-1 gene:Et_5B_044593 transcript:Et_5B_044593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPMLSPRLAHLAVALLLSSCAAFLGADASVHEYAGERFTENGNGFVLHGGSEGVYASAAAGAFIRFEKVVFRRTPESAAAEAEDGNRTATVTAVIFEAGDRDTVGGTDAAGGRALCCTADMARLGRCTEGALALRRAPPNGWPRVLAASFPPGPGGLEAAFPDETVAVSRTGMYTLLFVHCDASLAGEVAAAEGSKTIWKNSRAGYLPGRMAPLLPFYGGLSLAFASLAAYWFARYARHWRDVAPLQSLATLVIALGMAEAATWYFDLAELGESGARPRGAAVWAATAGALRGAASRVLALLVAKGHGVVRPVRVGFTARVVGLGAAFFVAAEALEVAENVGAVSDHAPASPARRMFLVLPVAALNAVFVYWIFSSLSKTLSKLKARRMTAKLEMYRKFTNALIIGVALSLGWITFEFHFESTDEYNERWRAAWIIPAGWQLISFSLLCAVCLIWAPSHSSMRYAYSDEEGEECDLEDTRPLIRPGPLSYVDIWAISVSQDDTKVILRTDSGGVYAVKAGDGDKRV >Et_5A_042810.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4734231:4734416:-1 gene:Et_5A_042810 transcript:Et_5A_042810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDALVVLVAACACLLWPAAAPVIMMKAPGAAGFFISRAAFEANPQHYFELLRKAAAFAA >Et_3B_031746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9552418:9557903:1 gene:Et_3B_031746 transcript:Et_3B_031746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTAVRGGVKQWEDEMMGSSEDEQCSGDTDVADMGQEHSSRLADYELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARLVLLDWWNTHYRWPYPTEEDKVRLASMTGLDPKQINNWFINQRKRHWKPSEDMRFALMEGVAGGSSGTTLYFDTGTIGPLWMIADRQVIIEKMPFQYNVVHVTAEDKMVNYSTAEKQNVRIKAIKEHLLNQNISVSGIIKQALEEKKTVSAVKLLRLARLRSNAALAKEFGETVFLDIGRCPSPLKDFVPEAAGSLGLVPPQRADGIGDARGRHDHWRQVHQHADRLLRAPVVVLVAEEQVGELDPGATEPGEGVT >Et_1B_010665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12863954:12866436:-1 gene:Et_1B_010665 transcript:Et_1B_010665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRERRTVFVTVGTTCFDALVKAVDTPEVKDALLEKGYTNLLIQMGRGTYVPSKVSGGSGTTLEVDHFTFSPSIADYMRAASLVISHAGSGSIFETLRLGKPLIVVVNEDLMDNHQSELAEELAERKHLFCGRPQTLRETIRAMDPETLLPYVPGDAKPVVTLINRFLGFPIIQATIAITIGGAMKHKEYHRLECTSG >Et_7A_051160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1568811:1576122:-1 gene:Et_7A_051160 transcript:Et_7A_051160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYAVEIQRVSMQLTEAILQGLGLEPSYLEDKLEKGLQFMALNNYPQFSHQGDKVGLAAHSDYGFLTILLQSSPGLEVMHHDDDAWTTVPVIPEALHSLVHRAALNPNEARISIASIHGLSMDEKVHCAEELVDELHPMMYRGSSFQDFLDFLPLNMNNYKRFIGSLKIDRA >Et_3B_031006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9937515:9939608:-1 gene:Et_3B_031006 transcript:Et_3B_031006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IFDRFSSEDISGQNQVKASVQRRIRQSIADEYPSLEPLLDDLLPKKSPMIVVKCQNHLNLVVVNNVPLFFNIRDDPDIMKKFQVDRGAIKFVLSGANIMCPGLTSPGGALDEEVEQETPVAIMAEGKQHALAIGFTKMSAKDIKTINKGIGVDNMHYLNDGLWKMERLE >Et_6B_048704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12834344:12835721:1 gene:Et_6B_048704 transcript:Et_6B_048704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARRCLLAAAVLLLAGEGARAAAPRKPVDVPFQKNYVPTWASDHIHYVDGGREVQLYLDKSTGTGFQTRGSYLFGHFSMHMKLVAGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKEYHSYSVLWNLYMIAFFVDDVPIRVFKNSSRDLGVRYPFSQPMKLYSSLWNADDWATRGGREKTDWANAPFVASYRGFHVDGCEASAEAKFCATQGARWWDQREFQDLDAAQYRKLKWVRDGYTIYNYCTDRDRYPNMPPECARDRDV >Et_1A_006513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23508570:23512342:1 gene:Et_1A_006513 transcript:Et_1A_006513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTVAGCLRDKSILITGSTGFLGKLLVEKILRVQPGVKKLYLLVRAPDAASAKKLENDLFNSLREQHGANFNRFIDAKINPLAGDIVHDNFGCKIPEIKELSEEINIIINGAAMTKFYERYDVALASNALGVLYLVQFAKKCANLKIFLHVSTAYVAGEQEGILLEQPLKMGESRKQSSNLDIEAEILLIEGLKSNLKQRFSGISDQLENRAMKDFGMKRAKYFGWPNTYVLTKAMGEMLLSHLGGDLPVVIIRPSIVSSTIKDPMPGWIEGIRTMDTIIIGYGEQTIPCFLGDRNSIIDVIPGDMVINAILVAVATHWNENTQVIYHVSSSHENQVPLNLITESMYRYFYLNGSIGSNATRKEKRLLLFHTYAYFFVYTFIVYMLPIEVLHIMNILICGLFSRRYNMLNRNYNRLMILAKMYAPYAFCKGRFDGTNLTRLRTVATMDYSDAPMYNFDPKCINWASYFLDIHIPAVVKHACKKKQDVR >Et_7B_053304.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:292352:293596:-1 gene:Et_7B_053304 transcript:Et_7B_053304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLHYGARMGESMAVGEAAYIAGAGAGAGMVDVVTREAAAQALGTVVQLHFDKTVEKKRAADAQKQELWRLFLAFFLFLALVLSAVAGSPPARLQCRHLWAPAGLLSLAHLAFYAAVAHHLRCLNGFRYQRRCHKLTLALAADRLRMLKSGGDVVAAADVEVPYQEPPESYLAKFKRSWAIHFAFLITTFAFSVAAAVAILCF >Et_8A_058410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6778095:6779256:-1 gene:Et_8A_058410 transcript:Et_8A_058410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGAHAQQVSGAGGDAVRQRLRWTRQLHERFVRAVSELGGADKATPKSVMRAMAVPGLTLHHLKSHLQKYRLAVSRGLAASPADDGDRSSSSETESQPDEYVEDTVTGLHLTFADDDGDSAPKEALCDSSRSVAWMQREVHRNLQEQIEVTMKELADHNLKQNIQGSGCQFHVRLLIKLSFGSTWQVQRHLQLRIEAQGRYLQSVLRRAQEVLADHSLGSPEAAMAELSELTSAAASTSSSLSLSPPRHRSAESCVTSSSSSDQAESKAGAKRLCTSCADTRECTVEQPVSQSKRAVLQSHHQQQGGAEEEADAENGSSEIDLNR >Et_5A_041346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21531332:21532661:1 gene:Et_5A_041346 transcript:Et_5A_041346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVPEGEEIAAVTVREFDGDRDGAAVERLESACEVGPSGGKMCLFTDLLGDPLCRVRHSPAYLMLVRSSAIAVVSLLFTNPIVAEAAGAGIVGVVRGCVKTVSCGQGQGQGPNNLMFAKVGYLLGLRVSPAHRRRGVARRLVDRMEAWFRRAGAEYAYVATDAGNEPSVGLFTARCGYAKFRAPSLLVHPVFRHDLSPPSSSLSRRRKAQVVEISPREAEMLYRARFAGVEFFPSDIDAVLSNPLSLGTFLAIPAAGKASTSPEWRGIEAFLASPPASWAVASVWNSSDAFRLEVRGAPRLWRAAASATRAADAAAPWLRIPSVPNLFAPFGVHLLYGLGGGDGPDTPAMARALCRHAHDMARRAGARVVAAEVAAGDPVRAGVPHWPRLGAEDLWCIKRLAEGYGDGALGDWTKAPPGASIFVDPREF >Et_1B_012528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32803939:32806035:-1 gene:Et_1B_012528 transcript:Et_1B_012528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAVQEGIMVVRELNGFEANGYDRAVVTKPPRAEEGKWYEEEIDDDLKLCYKLNSVLHRGASKYQEIALIDTKHFGKALIIDGKMQSAEVDEFIYHESLIHPPLLFNPNPKTVFIMGGGEGSAAREVLRHNTVQRVVMCDIDEVVGMMETSQAIFLPWTNNSSNLNPSMATLNYGMEQEVVDFCRTYLSANWAAFASDKLCLVINDARAELEKSREKFDVIVGDLADPVEGGPCYQLYTKSFYEHVVKPKLTDHGIFAGPAGVLTHREVFSSIYSTLRHVFKYVKAYTAHVPSFADTWGWVMASDHPFNLSAQQINARIKERVDGELLYLSGESLISSTTLNKSVYKSLQNETHIYTEDDARFIHGHGRAHCA >Et_4B_037869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24264480:24266451:1 gene:Et_4B_037869 transcript:Et_4B_037869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRAATWVVFLVLALLSSSGGFAEASHQVLSEADFFQVSAEAAEQGHDGDALRTGYHFQPPKHWINDPNGVMYYKGVYHLFYQYNPKGAVWGNIVWAHAVSTNLVDWTMLPPAIYPTAPFDVNGCWSGSATVLPDGTPAIMYTGIDGQNRQVQNIAYPKDLSDPYLREWVKPDYNPVIAPGPGVNATAFRDPTTAWKGPDGLWRLVVGTKDNHLGLAVLYRSRDFKQWAPAPRALHSGDSGMWECPDFYPVANHGVSGAGEKYVLKVSLDLTRFEYYTVGEYDHATDTYAPDAGQRDGDDGLRYDYGNFYASKTFLDTAKRRRILWGWANESDSTADDVRKGWAGVQAVPRKVWLAPDGRQLMQWPVDEIESLRRIRFNTTDTLVKGGDSFEVAGLSPAQADVEASFQVMDLDKAEPFDPAWKAADAQTVCAARGDDAKGGVGPFGLWVLASDDLTEKTAVFFRVFKNGEDGKHVVLMCNDPSTSSQADGLYKPTFAGFVDSFGAHGKTCILSRVYPTKAVGDKARLFVFNNGESDVKVNHLHAYDMRSANITGSMEQST >Et_8B_058939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11254962:11255562:1 gene:Et_8B_058939 transcript:Et_8B_058939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKIFFLKRFDSELLYVQGQYGNSFRGFPLLCPCQQTIRNTSTFSEQFLSVSTPFFFETSKHEMDKFLLLGTHTRKRIMVALPLTTSFSFMNFIVIEIHVLPRQNFELTILLPNRQRLTSVERLIHSDRYEDPTPLHCQNPCSIFEAGTLDAVAVKNRQQGRSSAL >Et_10A_000803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17510978:17511434:-1 gene:Et_10A_000803 transcript:Et_10A_000803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPIPDDLRASVFVQGEDGYINLSMPSLILQGRGQRGSLRQLESCRLEQTTTRKSIIVSAHNMLDINSKEFTGVTLPPNYENRNLKYVEAEEGTLPETWKFPSA >Et_1B_010051.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:20873746:20874246:1 gene:Et_1B_010051 transcript:Et_1B_010051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGDALKTNITTLGPLVLPLSEQLKFLRSLVLRRSGIRPYIPDFRRAFDHFCVHAGGRAVLEEVQRSLGLGDGDMEPSKCALHRFGNPPAARSGTSSPTPRPRDASAAATASGRSASGPGSSATAPSGKRSATCRPTAPPDATRPKRTSDIHATVRWSGLFLSL >Et_1A_007829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38605508:38607669:-1 gene:Et_1A_007829 transcript:Et_1A_007829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSVVEGVELGKPDRRLPTPANDIMVSLGEHSAKELIDRYGDGRPAHGGGEDERRARMNAISGEEDSCSTSLEIIDSERDTTGVIMDVTGCCFFLERPDVTGCELSSDDKETRTAVAPCDPIESVPAIDILSNSSHCDGSIYKGATDFWKKDYRIADRNETRLEAMMLSDPTEDCYIEDGICRLHTTRHTLQFFSLKLSKILVDGGSVELYGYMAARDSVDQLLNYIFNCRRDDPLIIKQ >Et_7A_052745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1551623:1552304:-1 gene:Et_7A_052745 transcript:Et_7A_052745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKAVLKVDLHDYKDQQKALRAVSSLHGTPLSLPCSFFPYLLLCFPSNPWRRTETNFKFRILTTRVCFDSTAGIDSLSVDMKEGKLTVIGLADPVDVVTKLRKQWPAAQIVSIGPWPEKKDEKKDEKKDEKKDENKDAGEKKDDKKEAPKVVWYAQQHPYSQYPPQYIVRNHSAEEDPNSCVIC >Et_4B_037436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19870176:19874478:-1 gene:Et_4B_037436 transcript:Et_4B_037436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGEANDAGVRQRGRGCSCTKADFFPEESFSSWSAYGRALRSTGHRLADRVTSRRRSCADMKRDLTWWDLIWFGVGAVIGAGIFVLRGQEARDVVGPAVVISYVVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNILLEYCIGGAAVARSWTSYFATLLNHHPNDFRIHAASLSPDYSRLDPIAVGVIALVCVVAAASTKGTSRFNYALSVVHVAVILFIIAAGLTRANTANMRDDFMPHGARGVFSASAVLFFAYIGFDAVSTMAEETRNPARDIPVGLVGAMALTTALYCALAVTLCLMRPYGEIDPDAPFSVAFADTGMGWAKYVVAFGALKGMTTVLLVSAVGQARYLTHIARAHMMPPWLAQVHPRTGTPVNATVAMLVATAATAFFTDLGILSNLLSISTLFIFMLVAVALLVRRYYVSGETAGADRNKLAACVAAILASSAATATCWGLDAKGWVPYVVPVAVWLAATACLWAFVPQARAPKLWGVPLVPWLPSASIAINIFLLGSIDSKSFMRFGIWTAALLVYYLFVGLHASYDTAKALAAESAAAKVEDGDGKPVSIALEKIVKQIYLWSNVLAS >Et_4B_040085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9313652:9317492:1 gene:Et_4B_040085 transcript:Et_4B_040085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSGGLHNSRPSSIMRFSTPACEELNRDARMTSARAVATVSSPPVARLRRRRTRVDDEHLVREPVKSAQLKHVAVAQPQQRRASARPGCRTAARRRRRCRHTLRTMLPPADPGMLRHQSRDAVHRHQHALVLHPRRRPQVFSSVTPPSLATANEADDVSVASWRVVEVVLVGRLLAQRRQSGWTRVPFIYDGATIQFLCTDVTQHQSSHPALLQRAELLDLGPQFMLLDLPRGRLRERPKDHRLGHPVPRHPLPAELHDVVRQRCLVRALCTFLDRHERAWRLSPPLVGPGHNRGLEHRRVHVEDLLHLQAADVLPARDDDVLHPVLHLDIPVGVLHAEVAGVVPPASKRLSVGLGVLEVPLHDGVAAQDDLAVGLAVGRDGGHRLRVHDVEALHRDVPDALTAVQAGALLQRQVVPLGLPRANRRRAVGLRQAVRVRDAESADGQRREQGRRRRGAGHIDMDDAGERQERLACRSVDEVVEDDRRSTEVRHSLLSNAMVYSLSAHLQNTKRFDKLTELKRISFINRKI >Et_1A_009523.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:7141111:7142730:-1 gene:Et_1A_009523 transcript:Et_1A_009523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSMAMPRTFTARNGHTSHLHHSRASASSHTSPRLPLLPRRPSLLLTVASRPQLLPATPKASSSQSDLSPTPPSERTMTAGDLATLWVSLVVGVPAYYLAGSLVDLGMSALQGVATVAFANLIVLATLVLMAAPAVMHGLPFPVLARAAFGVRGAHVPAVLRALVGCGWFGIESWIGGRAIFLLLPSWLKSHQPLLAPIPGLGAAPLEFACFLAFWAAQLGIIMRGMEGIRKLEKYSAPILFLLTSALLAWAYTSAGGFGRILSQPPRLTRAEFWKVFFPALTANISFWSTVAINIPDFARYVRSQTDQVLGQAGLPVFMGTFAFAGLAITSATEAIFGRIISDPIELLGRIGGPATTCIAIFGIGLAIVTTNVAANVVAPANVFISMSPRRFTFATGALVTALLGVALQPWRLLSSSESFIYTWLLSNSALMGPIGGIVLADHYIVRRTALDVDALYSEDKGSPYYFQGGFNVAAMVAMTAGIVPLVPGFLRTAGVLPSVHKAFVAAYNNAWFVSFFLAGAIYCLLCRRSGAQGKQK >Et_1A_006595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2583697:2587237:1 gene:Et_1A_006595 transcript:Et_1A_006595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADLEEMPFDLDFHPSSSLVATSLITGELHLYRYAAESQPERLFAVKAHEESCRAVRFVDSGKVILSGSADHSVVATDVETGKSIARLEDAHEDGINRLVCLTENMVATGDDDGCIKVWDTRERTCCNSFHTHEDYISDITYVSDTNQILATSGDGTLSVNNLRRNKVKFQSEFSEDELLSLVIMKNGKKVVCGTPSGALLLYSWGYFQDCSDRFLGHTQSVDTMLKLDEETLISGASDGVIRLVGILPNRIIQPLAEHSEYPIEAIALSNDKKYLGSISHDKILKLWDLQELLNGPQVVQGDESVGAGSDDSDDDEMDVDIEPSSSKGSRSRKKGKGGSSSRPASDFFADL >Et_6B_049573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6770252:6774371:1 gene:Et_6B_049573 transcript:Et_6B_049573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKAVIMEQQDDDDGANKDRGTSPPTTRTPLASLVLLPRVRSERGAAAEAKVEWVRSQLVGRGAEFETPFGRRALVYADHTASGRSLRFIEDYILKHVLPFYGNTHTEDSYVGSRTTRTAKKAARYIKRCVGAGPDDALVFCGSGATAAAKRLQEAMGVACSLPPALRARLAAQMRPEERWVVFVGPYEHHSNLLSWRQSLADVVQIPAAAAGVDLDALRRALGSPEYADRPMLGAFSACSNVTGVVTDTRAVARVLHQHGAFACFDFAASGPYVEIDMRSGELDGYDAVFLSPHKFVGGPGTPGILVMNRALYRLAGAPPTTCGGGTVAYVNGFRQSDTVYYEDIEEREDAGTPAIVGKVRAALAFWVKEHVGRDAVALRERAHAEAAMARLLANPNVEVLGLAAAAARRRLPIFSFLVYPGDRDDDAAAPATTRRRRLPLHGRFVAKLLNDLFGVQARGGCACAGPYGHALLGVGEDLSLRIRDAIVKGYHGVKPGWTRVSFPYYLPREEFRFVLAAVDFVAAHGHRFLPLYAFDWRTGNWAFRRRTFKHRAMAEDLLRDHHSVPAAKKKNVADGTTADDYLSRKFESYLESATRIALSLPDTYNDERMIQSLPKGVDPDIVLFRV >Et_7A_050713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10290574:10294462:-1 gene:Et_7A_050713 transcript:Et_7A_050713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPAPSNRAGCNGNIGSPMGSSDDPFGGAAMMNFDGYSELCSPSVADQIFSLLNDPSAAQQMFAMWSSLGSSPRASGMNQDMLFENCPGPVETDATPSQKINLASPVNTAEVDRVIKDSDEVVPNNSQLQGSNIIPRSVVGNILADKMLVALSLFRKSLSDGVLAQVWMPVEHNGQVLLSTCEQPFLLDQVLAGYREVSRHFLFSVKEEPGLHLGLPGRVFISGVPEWTSNVLYYSKPEYLRMEHAVHHEIRGSLAMPIYDPSKGSCCAVLELVTNTEKTDFDAEMDSAVNLQTITDRSSQKVYSENQKSAFTEILDVLRAICHAHMLPLALTWVPTSNGIGNGYSVGKDISIGSESGKAILRIHESACYVNDTKMQGFLHACTERHLEKGQGIAGRAFKSNLPFFSPDIREYSIEDYPLAHHARKFGLHAAVAIRLRSTYTGSDDYILEFFLPVNCKGSGEQQMLLNNLSSTMQRICKSLRTVSEAEVDNVNACSAAMHEHTNECHLRPGNSDSSSQDEPPVTESAFQDLSLVDKRQDMEHEQAQTSTIRVAEKRRNTSEKNFSLDVLRKYFSGSLRDAAVSLGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVINSVHGVDRSLQYDPATGSLVPAASLPDRTLFSACDALPTPSVGKIVEKKSSPKSEQGFSSPDGCQRESSQLQVPGVHKREGDKFGVLASDNNGSNLLNITRHSNSEGAQGPLYPIGAIDSLHTTCYIDSSTSLHPSIDSIEDQTVGRNSSFVQQADVDMADGHDTKEHTHPSTSGMTDSSSGSASSQPTFKGNPGHTLKDRTSPALTVKATYNGDTVRFKFLPSLGWYNLLEEIAKRFKLATGAFQLKYKDDEDEWVILANDSDLQECVDVLDSIGSRNVKLQVRDLPCLISSSGSSSCLQVEAHSS >Et_1B_011962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27544771:27546357:-1 gene:Et_1B_011962 transcript:Et_1B_011962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAVAAMVLFVLVALCASHVAFSLRLGVCRASGYLPGRSGNCVKDNDPDCCVDGKQYPQYHCSPPVTSSTKAVLTLNSFEKGKDGGGPSECDNAYHSDQEKVVALSTGWFSNMARCGHRIKITTNGNSVYAKVVDECDSVHGCDEDHNFEVPCANNIVDASPTVWEALGLDQSVGEQDITWSDGEHICMHCQKLVKLVAKTQGYE >Et_4A_034588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4631836:4634103:1 gene:Et_4A_034588 transcript:Et_4A_034588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEATPTTAVSSSGGAQLPPPGPPPKKKRALPGMPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSGKEARKRVYVCPEPSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHTKTCGSREYRCDCGTLFSRRDSFITHRAFCDALAEESAKARAGAPAEEDGGSAAVGPPPAPPPSQAPAPAPLRQQQPPPPQPAPVPVPHHQEQRNAFVALHAETKAPELVQFTPPPPQVPMPVASSQAPATAANVSTGSSTTSSVVASSQSMLGGMFAPSSMAPGPPFGDRALPARPPALCLATDASSSIFSAAAAADRQQFAPPPPSPSPSAHMSATALLQKAAQMGATSSSSSFLRGLGLDVSTSSPGPSSQHHPETSLQQWPPRLEPEAAPMLSAGLGIGLPYDSTGAPVCLPELMMGQSSLFSAKPATLDFLGLGMSPTGASVSRGLPAFIQLRTDYN >Et_4A_035259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15002057:15003605:-1 gene:Et_4A_035259 transcript:Et_4A_035259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAVGDSGGCWYHLRSPSRCVWSNGHSGIPMYAGNITGHVLDPEGYAFFLSVNGYYTTNDKRCRGTISYDSTTMI >Et_1A_008564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8861477:8866374:-1 gene:Et_1A_008564 transcript:Et_1A_008564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTPSLRSLLGECRQSPLCTAVPDPEWIGFSPEDLARHQDDDFKIQSGTRGGGGGLAAARVPLEPPRESDTSGCFLMEPPRVDMERFDVAVKSMTKMDISKETAERVLNKLLKLYEYNWEHIEADNYETLAHAILDDSDSNEKKKKRAEKKNLDSETCNKKLKVKEHGQKPKSNFYSNGNKELSEVPRQQGAEALVGKSIRTRSQPSSQNFLKEPKKETNLRDDRTIGESSSALLLGSQDNHNYETPLAVMSPQVLEPGRRKEAALQHLTTQKSKGVPGSHEGHVADARKSKAIVCSKDYSTNFEVALSNSGKGKLSFSFNSSLANRSNFHIPNIESICKAMEARCLSTYKILDPKFSFMKLLDDTCQCIIELGSGNSEAGYSEARDRSIVRVLPAMDILSKPSVPQSLRSNQASSSCVPHNNIISRFVVLLRDLDRIILVIQHQPPSSLRRQFHDVNDITKGEECVRIPIVTGADNGVPPPFHYIAQNTTFQDAYINLSLARIGDENCCSGCFGDCLAAPLPCACASETGGEFAYTRDGLLKKEFLDACVSMLQEPHKHPHFYCKICPSEKIKIEQSFDSPKTNMNPDPCKGHLIRKFIKECWKKCGCTRNCGNPRHNSQSRGKSRNLVPFQFILFSIPAKYDALRCRLRVVACHPFVFLTPGGKGWGLRTAEKLPPGAFVCEYAGEVLTNIELHERIVQKTGNGKHTYPLNLDADWGTEGVLKDDEALSLDATFYGNVARFINHRCFDGNLMGIPVEIETPDHHYYHLAFFTKRQVEPLEELTWDYEIDFDDVDHPIKAFKCCCGSEYCRDKNRIS >Et_4B_037588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21493086:21495268:1 gene:Et_4B_037588 transcript:Et_4B_037588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRPPRRLGARPGPRGPALRMPRLARRREEEGRRSRGGGRGGVRRCPVRCAPFTDELWIGTPRVAPAGPCAPLCALRSFEEGRAREEQRSKPVALSGRRGKEKKAAAAMCHQVKCGTCGKSTWAGCGRHVASVHRQIPEGQHCACRGWPGVAPAGDGAAAGDGAAAEGSASSTSTCTIL >Et_4B_037999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25386783:25389443:-1 gene:Et_4B_037999 transcript:Et_4B_037999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLEEGAMALAVAPEGNSSSGVAGSPGPMWWVSECHGVLYSLAVMLPSLAFVGFLAWQARRSFRRLSYGRSHVVVVAYYALLWAVAILNLLWCFLQAWQCMPDRAFSWNVLSLFTKSGMLFLEVSLIAFLLQGNDASGFESLARTFVISGAVVAADVLLKTIYVFGFGVPLFIDVDQGTGGKWGLLFLHKLVLTGVYGLIVFMHHSRWRDRLPAKPAYYNYICAMLLLNGISLFGCLLVASGAGFGLWLYNLTTVCYHSLYLPLLYVTFLADFFQEEDMLLENVYYSEMKDAGFFDADWD >Et_6B_048886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1530841:1534560:-1 gene:Et_6B_048886 transcript:Et_6B_048886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFGGDATSSVMRPVLHLMVGLVLYGVAEEMTVPVLVDKVTAALCPADGRSCPEAIYLTGLQSSVGGIFKIIGFPLMGQLADEYGRKPLLLLTASTSIIPFAVLAWNSSRTAVYAYLILRTFSFLLGQGTISFLSVAYTADVVEPSKRAAAIGFLTGIISASHALGDAFSRFLPKNWIFQVSVVLLICSVLYIKIYLVETLERAPSSPCEHLTLASLVVRVTQQRWESIKENINIFKTSESLRRIAYVCFFYKLGMSGISDVRLYYLKSVFGFDKDQFSEILMVVGIGSIFSQIVVLPLITHIIGEKGILCASILASIVYALLYGVAWSRWVPYLSSSLGVIYVLEKPAIYAIISGEVLSTDQGKAQGFIATVQSAAISLAPLFMSPLTSYFISPDAAFNCKGFSFLVPSVFLAISLYFAWTIYPKGRDRCTEVAVSDQSDEEALQAPLLSQQPKP >Et_7A_051486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19292513:19293641:1 gene:Et_7A_051486 transcript:Et_7A_051486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLRSAAASTSGRSPAPPEDGGDDDEESVRAIAVSDQRTIYLVNMFIANTVEFLNSFAALCDDKLALLHRKIVKLDSSLALLEAKLHSIDVNNGLGDPTRHKAHQTLTHDKISDSANLTGESSRYVCLPVDMLLVQ >Et_7A_051219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15775789:15778994:1 gene:Et_7A_051219 transcript:Et_7A_051219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRLSGARPSHVVSASNPFGRSDCAVGSGDHMRHGGGTRAVRRLGRGDRTPPFSRNPRGRRLPSPRRPNQFESRSARRTHAERLNFKMASAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASYLAEFKTGSERKDAAENTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDPAEEIREAAPKSGEGQ >Et_2B_022377.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19553896:19554882:-1 gene:Et_2B_022377 transcript:Et_2B_022377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLETRIRCRVNEFLLVEGDQGSDQREEGPSYLRFRCKVTVQHASRRLGGWGLVEPDRAKPPTTSECKSKHRVKHPFAFLDYDRTLEIALDTTTAFDKLPGLGGLDLAPSDWYEYTPGVITASILLIVRDNHDRGLPGGRYRFRVCMDIEVMLVFSEPKALLRYCSEEVMQTLDTTTAANNPPCGICLNGLTTSRPSRTPPLNLPCGHAFHSHCITNWFFKGNACPVCRYDLSGLPFHSHCITKQPTYCVRDEALQQSSGQASMAVESRIRSRRSETRMEEGHDGTAPLDEGPSYIRLICNITLSVASRRLGGGGLAQVEHAAPARRC >Et_9B_066175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5829723:5831543:1 gene:Et_9B_066175 transcript:Et_9B_066175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRTATVVVFLLLLASSHSLVLARMIPGDHFQLVPGGEEIAAQNLTAPPALSSKLEIAAAKRRQIIQVDGSVLCMHGWPKMAPSKVLHELIDWVQTLVNAPD >Et_10A_001616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6081597:6084190:-1 gene:Et_10A_001616 transcript:Et_10A_001616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPECPSAANGAGAAVAAKLRKGLWSPEEDEKLVAYMLRSGQGSWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIVSLHAILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNSSAASSPAATECASPEPTINTNNKLAGGGIDVSAAASCPDLAGLDHAMTATTGLWMVDSSSSSSSSTLSMQSRPLAASRSYGGLLPLPDQLRGMAAAGADMPPSFFHGHVAPFKHQAVSALHGGYYGSSAHQHHGMAMEAGGEGHFGYVPPFLEPTMAAASAQEQQDQKPLMASSGNDPNRNNNNNNNNNNNNNNTTETTTTLSNNESNITDNTNHKDIGLVMNSSSSNVGAAVYWEGAHQQLHMSRNVMQGECWDLEELMKDVSSLPFLDFQVE >Et_1A_007617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36549144:36550719:1 gene:Et_1A_007617 transcript:Et_1A_007617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRSEHHVRPRHGAIPDDGRAEPEDSISGLPTDVLIKILLLLGCARTAARACLVSRRWRGLPPAPPLAVLHVQVHFDRLSNRTLASWLRRASRLAPVHLKLSVTGGYYGQWPSESELARTVTLPCSNRTASIELAVDRYYWDFRLALPQRSGDLVALERLSLKGFQYDLAAMLPRCPSLRVLELGQSMQVPASRAVHSPSLEDLLVGPRAHQKRLDVVAPGLKRLSLAADVEDLKLSAPRLEKLSLDCSRRFSQVELGGNIGQLRDLVLSGICHYGGIDEFLVVGRGVNSFVRQLPHQLHRLVTKIALRQLCSRDDMLHRLPKTSILTLHLSTYGHEFGGTVMRLLKTVEDIEELTILIDSPEK >Et_2B_021271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28131372:28144870:1 gene:Et_2B_021271 transcript:Et_2B_021271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSTTTSSGGGPQVAVAVRGDGRGSRRAARWANASLFPAAGRVALVHVIPPLAFVPAPSGERVPVERLERQAVEMYAEDRRARAQEVFVPFRRLCGRRPVETVVLEGDSVPEALVSYAAESGVRSLVLGSASLSWFRRMLHLRDVPATVLKTMPSSCNVFVVSRRKLTIKFANQAQTSKLNTIRIQSLSHNAFEEKQRDWFQDKQSLHNVVDGETPNHSGNTSLDSCSQASSSLSTSASAVKSSESHGRGLSGSLGRKTPGRDGSKDFGDIGRLKNVPYVALSSVQECQPIDEVAKLRKELKDALMLYGGACENPVHANEKIQLFSAECCEDVKRIHDALQREKVLKQTVADEKTKHVAAVGAVKMANESLTHEAYYMHKAETVASMVSIEKAKVVDALLSSGKSCRRYSRHEIENATDNFSDAKKIGEGGYGNVYRCTLDHTEVAVKVIQQDSIDKIDEFLREVKILSQLHHPNLVLLLGFCPEIGCLVYEYMENGSLEDQLFNNKGHQPLHWFLRFQIIFEVACGLAFLHGTKPEPIVHRDLKPGNILLDKNYVSKIGDVGFAKLISDLVPDWLTEYRETVVAGTMFYMDPEYQLTGTVRPKSDLYALGIIILQLLTGKRPFGLILSVEEAIKKGIVSDILDKSQTDWPIAEAEMLATLGLRCTALKCRDRPNLDTELLPELEHILSRVTASLKLKGPNVATPSHFICPILQEVMEDPYIAADGHTYEQRAIKAWLRKHKISPVTKQKLPNLSIIPNHSLHRAIQQWKERDPHPHPTSRMLWPSCTFALSQ >Et_1B_014238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4073828:4074945:1 gene:Et_1B_014238 transcript:Et_1B_014238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRGHDTDNHGKRSRPAHRKHLYLVLDDWKNGYSIRKIDPDDDDDEPSRPGHDPSRLPEPAALRVTERLNSDTRFVAMGSSDIVIPAPRERREADPGLRHGGGRTDRGPSAAGPPLLRLARRRGVDAVRADDMPASFEALSWGACGTRDDEPGLPRTHEWSWKSVTSPQPPFGTNHDSSIVAYAVHPDRSTIFVSTRGEEEHPDSDVATYSLDTKRGGQWRWHGAWVLPFQGQGYFDSELDAWVGLDEVTGHVCACQVPSRRGTDDEPPESDALKEKLFGEDGEGTRSAVSLTYAGDGMFCLVESVFSQDWQPGYNDGHGQMVHVTVFGLKFNRRGELVITNRNRRKVYSYVAPRYAPSFSPVAFLM >Et_9A_063024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8405524:8410479:-1 gene:Et_9A_063024 transcript:Et_9A_063024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKARPEAGDYTIFMGLDKHENEDLIKYGFPEDIWFHVDKMSSAHVYVRLNKGQTMDDISEGLLEDCAQLVKANSIQGNKVNNIDVVYTPWYNLKKTPSMEVGQVGFHNPKLVRTVKVEKRINEIVNRLNKTKVERKPDLKAEREAVSASEKAERKAQLRDKKRKEEMERLEKEKQAEIRSYKGLMVQEKMTSNKQIASGSKTLQELEEDFM >Et_6A_048189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9187029:9188312:-1 gene:Et_6A_048189 transcript:Et_6A_048189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPWNVLPAASPPGALLAGLQAAVLLLTVRSPPEVTTVGAGGTDASSTSSSLPCALRARIAAGRPTGARSRRGRFHADQAELESMLRRNAELKDMAWMGVARSHEAVAASLRATIDQLL >Et_2B_022524.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24578705:24578941:1 gene:Et_2B_022524 transcript:Et_2B_022524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGLATSEAEANGILASSKCKVSAEERLNVMSAGELGLGSLGELLQLWHPALQADGGGSLVRSDEVSRTAQAIVLF >Et_5A_041272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20476802:20481766:-1 gene:Et_5A_041272 transcript:Et_5A_041272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPPPSWSRSVTETVRGSHQYTVKGFSLAKGIGPGRFLTSDVFAVGGYHWAVYLYPDGKNPEDNSAYVSVFVALASDGADVRALFELTLMDQSGRGRHKVHSHFDRSLQAGPYTLKYKGSMWGYKRFYRRSLLETSDFLKNDCLVLNCTVGVVKNRVETPKNIQVHIPPSDMGNCFKELLRRGIGCDITFQVGDEKVRAHKWVLAARSPVFKAQFFGPIGKPDLQKVVVEDVEPVVFKTEGFSYLEETCPSLLSDLLATVAVVDDDPTSVNRKRGVCGNEVSVPVDSVEAFFERRSRRR >Et_5A_041533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2432178:2435171:-1 gene:Et_5A_041533 transcript:Et_5A_041533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNEGTGFKVGDEDRLGMLPDDILLCILRKVDITTAVRASVLSVRWKHLPWLLRELTIDVNDFLPVPKPEPIEAEHIDEAMSSLSKAVRSFLATPHSGGAIARLRLKFYLINNYVFDVGSLVTEAIDIGILKDLNLAILDEVGFPDSTMEHMLQQACLVRDFFSDHPSLSNCLTRLSLYNVCFSKWDINHCLFDCCQQLQRLYISNCVLAKLEMVCLPKLERLKWDTWFCSRAPLSFGLIPSLKKLYLICPGIKYIEGFILSEVLSDTTSLHTLKLDFQGEKIWLQQPEGKQPCTSFNTLRKLSIHGIFVQFSLLWMRVLLEATPLLELFDIEIWEHPCQIYDSRLPFGERTNLSWKVPELTSSNNCFMKEFQIIGFKPLEQQMEFIRIVLQRAPNLETVILKYDDPCEDCEKMDIFPPRSSTDSVFPKNKNEKDVTVNLLKAGLSSRARIIFNETVLVRRVCISNKSIFNCIKMDKPKVPIESSELHGRALHPAPVRRRLREVGTSTYGRCSVGTWKAQ >Et_4B_038568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:325196:328327:1 gene:Et_4B_038568 transcript:Et_4B_038568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGAQARAVPLLVRHPASLRSSVYVSCGGSRRSWAAAATAEGDESRGYEKVPMDTPGAYRLVDRATGRSVIVWGGTDEGDEVSMPSPAVLSRTTDRPRKGNGGSTGIGNFGRLKAQKVKSLVMRSAHLKRENSKRASINQSGESTFYDSDEEEDDEDVFERRKVVPSGSASRTKMSDNPRNERTRSAHSLNSVLSQNRGDDDLDFPSSEAASGSKRWGNVADVTFGRQNRKSKGPLDTAFFSRKSFKEIGCSEDILRTFRNFDFPRPSHVQAMAYGPVLEGRSCIIADQSGSGKTLAYLCPIVQNLRNEEAQGLHKSSPRNPRVIVLTPTAELASQVLNNCRSISKSGVPFRSMVATGGFRQKTQLESLDRELDVLIATPGRFLYLLQEGFVQLANLRCVVLDEVDILFGEEGFEQVLHQLITVAPMTTQYLFVTATLPLDIYNKVVETFPDSEVIMGPGVHRTSSRLEEILVDCSGDDNEEKNPETAFSNKKTALVKIIEESPVRKTIIFCNKIETCRKVENVLRRLDRKASQIKVLPFHAALDQAQRITNIKEFLNKQTADSMFLVCTDRASRGIDFANVNHVVLFDYPRDPSEYVRRVGRTARGASGNGKAFVFAVGKQVSLARRVMERNMKGHPLHDVPCV >Et_6A_047149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2634589:2638128:1 gene:Et_6A_047149 transcript:Et_6A_047149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFLGLLATMVLALSCTVPSCMSTSSDSNNGIGLNSDGAFQFPVFHKNHPSFSSWIQTSNLPEASVTRDDSLRENVFFMAISLGTPAVSNLVTIDTGSSLSWVQCGHCGMRCRWQPGSTRPVFNPFNSSSYQNVRCSTEACHAIHKSTGITSVCVKDACLYRIRYALGEYSVGYLGKDKLTLPNSYAIDDFIFGCGGDNLYNGLNAGIIGFGDESYSFFNQVAQQSNYRAFSYCFPSNHESEGFLSIGPYARDERLMFTGLISYSHLPFYAIQQLDMMVNGISLEIDPRIYSTAVTVIDSGTTDSFILSPVFHALDKAVTTAMLAKGYVRGSAANKICFVTSGDSINWNDLPAVEMKFVTSTLVLRMENVFSVNTDDSICLTFQPDDAVVKGVQILGNRALRSFRVVYDIQDRIFGFQAVKSHIHSNYFLDRQGSPGQEESLYLRSGDLLASSRQIGRPELSPVELQG >Et_4A_034390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32108373:32111739:-1 gene:Et_4A_034390 transcript:Et_4A_034390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTPLFPAALLLLLLCFPAAPRAAAGDDPTPTPWPHQFHAKLLMDYHGNLSLADLWYDWPRGRNLHVIRYQLAADAPYYDAEWNNGTSFFYTPARRTCRSAAVGVGILRPGWLVPGSVYLGRRDADGFDCHVWAKADFITYYEDVRTKRPVKWVFYTGRTTHVMSFEVGAVLEDAEWQAPEYCFSKDGGIPDLSDGHGESFIPRNVL >Et_4A_032542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11098226:11104000:-1 gene:Et_4A_032542 transcript:Et_4A_032542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYRYRSGVETFSVPVQAPSISVADLKRLILGTSRHGHGRTRGRGPRENVALSDARTGEEYTDDGALVHRSSTVVVRRVAGPPVETITVAPPPKAAQQDSDGKQSSSAEDKAISAVIDAAQLTWEGHRPSQGSRYQVHRGAQEQQRTLPAGYVCHRSRVPGHFIQHCPTNGDPRFDFGSRASSTANLPVPAPASTVTAPDDDGVPPELHCKISTKVMADAVLTGRCCFDSFCDACIRGHVVAKSECVCGAQARADELIPNQTLRTTIANMLASRAAGAASVGIGADNQRISAGSNASSPTSRSPSASQESRVTAACSEHSDDGSASSKSKSAESAQNVARGGYPEQFGYGGLFGPACDDPFFGGMPWALDPYAYYDVACGGVYPIVPGQAGHHDGGHGRKRTVGREDRRLEEPGYKRMCGSRSVVAPAQPRPIARPRAQGNVALSDGRTGEEYTDDALVPRSSTVVVRRVAGPPVETITVAPPPKAAQQDCNGKSSSSTEDDEDRAISAETPHPSQGWRYHGRYGAQEQRTPPTGYVCHRCRVPGHFIQHCPTNGDPRFDFGSSASSTANLPAPAPAASAVPDDDGVPLELHCKICTKVMADAVVTGRCCFDSFCDACIRGHVVAESRCVCGAQARAEDLVPNQTLRATIANILASRATVSGAEDNQRSSASSNATLPTSRSPSASQESRSRVTAASSGHSDDGIASSTSKSAVRELRSKKQATAESQTMARSGYPEQFGYGGPFGPACYDPYLGGIMPWAPDPYSYYGVPCGGVCPIVPVQAGCHDGGHGMKRMVGREDRRVEEPGFKRRCGSRSLVAV >Et_6A_046008.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:18026326:18026538:-1 gene:Et_6A_046008 transcript:Et_6A_046008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ICLYGCPNETWEVTLQAEEVPQDIPEPALGINFAMDGANDKDWLALVAVHSDCWLPSVAFYIGARFGFDK >Et_1A_007745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37835288:37840823:1 gene:Et_1A_007745 transcript:Et_1A_007745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNYTKVEQAGELLRRRERSGFRGYPLLVCCLNPQYIGYCGSIHVHSALPALNVLEVAHLPEIARRRKPTFESTQECGHSTTRARESSVVFTVAPWTGGGELGDGSRLQIRRWRRGRERGGRRSTSGSGGREKQRKGVGRSTRSYGSNRTEPSAPRGYPRSSGPTRPTPKLSWLARRKLPPIVEPSRSWFPVPGRSAGYAVRTKISRPSRGVEKQEVLNVSAEFAAPSWKFAGSTPVMSLEGVYNPEDGRMHLIGCRDVHALSTSRDDLEDGMDCSIEVTVEYPPTTTRWLVSLRMGQNPDQTSTRTWLVSPVAKVHVARTRRAGDPLHFNRTVLRSLPIVYRDQRDELTETQVEGRLCVAMLSATIAATASQLRHIGSHPDVAPYVSLSMLAVQALGYGATLVMDAKTLPAWPTGPRYREYIDHLRWDMDSSVKLLTLAALLLTVRLAQKVWRARARARARSPLEPGRVPSDVAVLICSVAVYLGGLFVVLAARWLSTYGTSTDNPQPGVLYFEAQGSTSPSRTHTRDLVVERYVGSVKEWFLLPQVVGNAVWRVNCKPLAVRYYAGVTAVWLPPHVYGYLRPPVVHGAHSDGQHGALDFYSNAVAVTVPIVGVVLAVAVYVQQRWNYMIVRLWVKGGQQNKLQHVY >Et_6A_046449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15241536:15245775:1 gene:Et_6A_046449 transcript:Et_6A_046449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALVAQVASRQAATRRPPSRNNATTPANRTQPPHRRRRATLPSPISLLRLLPHELLRGAPAPGLSPVPRQEQGRPEAPATGDPVPARGNSGEQCRVAGATRMEAAAAPAPAAERDPAGHGCLAVRTSLPRCSFGVGGGGGSSSSLPGSSDEASCGSPRWIGRGLSCVCIKRKGAYERICMNLTPVQEERLQRLKHRMKVYFDPSRRDHQEALKALWHATYPDQELEGLISEQWKDMGWQGRDPSTDFRGAGFISLENLLFFAKTFSASFQRLLKKQCGNRATWEYPFAVAGVNITFMIMQMLDLQSKDEWAFDLLYCVAFVVMDKQWLEKNASYMDFNEVLKSTRAQLERELMLDDVMRIEDMPSYSLLC >Et_9A_061353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10477541:10477979:1 gene:Et_9A_061353 transcript:Et_9A_061353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFVVVALVLAIAGVALRGADAAGECGRASADRMALRLAPCISAADDPQSTPSSGCCSAVHTIGQSPSCLCAVMLSGTAKAAGIKPEVAITIPKRCNMADRPVGYKCGDYTLP >Et_5B_043364.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8447156:8447347:-1 gene:Et_5B_043364 transcript:Et_5B_043364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TKARAYHKNIFFIGTITLKREISYLFFQLRRNTAHNRNSKVLFTSLNSYSRCNFYLQLQNRVA >Et_9B_065540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6502849:6503874:1 gene:Et_9B_065540 transcript:Et_9B_065540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLVPAAPSTLPPLSNTSLLSKVKKGTTGCKAGRPRKKVVLRSVKAGLVFPVYCIGC >Et_1A_007906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39338688:39341978:-1 gene:Et_1A_007906 transcript:Et_1A_007906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGEMREIAREVRHEGVVQSGSDTGGHKDKNFRSEKDEQVRMQEPRWRKFLAHVGPGALVAIGFLDPSNLETDMQAGADFKYELLWVVLVGMIFALLIQTLAANLGVKTGRHLAELCREEYPHYVNICLWIIAELAVISDDIPEVLGTAFAFNILLKIPVWAGVILTVFSTLLLLGVQRFGARKLEFIIAAFMFTMAACFFGELSYLRPSAREVVKGMFVPSLQGKGAAANAIALFGAIITPYNLFLHSALVLSRKTPQSVKSIRAASRYFLIECSLAFIVAFLINVSVVVVAGSICNGDNLSPADANTCSELTLQSTPLLLRNVLGRSSSVVYAVALLASGQSTTISCTFAGQVIMQGFLDLKMKNWVRNLITRVIAIAPSLIVSVVSGPSGAGKLIIFSSMVLSFELPFALIPLLKFCNSSKKVGPLKESIYTVVIAWILSFALIVVNTYFLVWTYVDWLVHNHLPKYANVLISIVVFALMAAYLVAVVYLTFRKDTVVTHVAVSERAQGQVESGAGGTLASAADEDQPAPFRKDLADASM >Et_4B_037224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16901530:16905174:1 gene:Et_4B_037224 transcript:Et_4B_037224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHEARGDDLEKKAEKKLSGNKHEDAADLLDKAGNFFKLAKNWGRAASVYKKIADCHLQGDSKHETASAYVEAANCYKKFSPQDAAEALNNAVNLFLEIGRLNMAARYSKDIGDIYQQEQDLENAAIYLNRAADLFDSEGQSSQANSMTQKIAEIYVQLEKYQEATQLFEEIARKSTNNNLLKYSVRGILLNAGICQLCRGDPVAINNSLERYQDIDPTFSGTREYKLLADLAASMDEVDVAKFTDAIKEFDSMTRLDRWKTTLLLKAKNELKKRDDDEDDLT >Et_1A_008172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4977372:4980983:-1 gene:Et_1A_008172 transcript:Et_1A_008172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSAPLLRSHAHRRTPFPPSPGRRPTAARATSLLGRPNPSLLRSSSTASPSAPETAQAIEDAAAAETAVGDEGKEAERVVLPTNESSESLLRIRHTCAHVMAMAVQKLFPNSKVTIGPWIDNGFYYDFDVDPLTDKDLKKIKKEMDRIIRRNLPLVREEVSREEAQKRIEALNEPYKLEILDSIKEEPITIYHIGEEWWDLCAGPHVESTGKINRKAVELESVAGAYWRGDEKNQMLQRIYGTAWENEDQLKAYIHFKEEAKRRDHRRLGQDLDLFSIQEDAGGGLVFWHPKGAIIRHILEDSWKQIHMQHGYDLLYTPHVAKADLWKISGHIDFYRENMYNQMDVEDELYQLRPMNCPYHILVYKRKLHSYREFPIRVAELGTVYRYELSGTLHGLFRVRGFTQDDAHIFCLEDQIRDEIRGVLDLTEQILGQFGFRSYEINLSTRPEKSVGSDDIWEKATIALKEALDDKGWEYKVDDGGGAFYGPKIDIKIEDALGRKWQCSTVQVDFNLPERFDITYVDSNTEKKRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWLAPTQARILPVTDNELQYCKEVASELKSRGFRAEVCHGERLPKLIRNAEMQKVPLMAVVGPKEVEARALTIRSRHNGEIGTMPVDEFIGRLQHAVANKSSL >Et_4A_035528.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2850145:2850750:-1 gene:Et_4A_035528 transcript:Et_4A_035528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCFSRLRRPTGSPAPEPMPASADEASTSAASTSAGSTSPCSSSSSARCVKVDAGISPHDHGDGAAVGKNPSALSESGLSSAIASRRFFLSSPGRSNSIVDSSAHGGAAVGMGVGVGAAGVAVPTYSPDPHADFLRSMEEMAAALRLDARRRGDRARLHELLLCYLALNDRRTHKYVVSAFTDLLLRLTATANLDDEHRS >Et_2A_015670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16832227:16835284:1 gene:Et_2A_015670 transcript:Et_2A_015670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRTPAAARGCTCHGAAVAVAVCLGNLIAAQFVARALCTLILSCLSVCGFSRGGEVFHAADEVGEESIWIRRAAEPVELIQPVKQLLIVFAREAKRRKELPLELKQKVLYEILQKHCDLGEESSNSTEKKTLSWNYCTVHQYSVLWIGVSCYPNEPREFLLLQCTIAGLEDHGKRQGLSDTTNDGGTQMVLSILIPGNCTGFVETMMLNGLTGTLLG >Et_9B_065128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21448415:21454908:1 gene:Et_9B_065128 transcript:Et_9B_065128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYYQGQAGGGGSSMEVVSTPNQELALTNCAYVSPADLRRFPNALAVVADAWRRQAKVSAGDSVTVNSFTPPDDFKLALLTLELEYAKARANRNDELDAVVLAQQLRKRFLDQVMTTGQRVPFEFYGTNYVFTVNQALLEGQESSTPFDRGFLSSDTYIIFEAAPNSGIKVINQKEAASSKLFKHKEFNLEKLGIGGLSAEFTDIFRRAFASRVFPPHVVSKLGIHHVKGILLYGPPGTGKTLMARQIGKLLNGKDPKIVNGPEVLSKFVGETEKNVRDLFADAENEQKTRGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLRPGRLEVHIEINLPDENGRLQILQIHTNKMKENSFLSPDVNLQELAARTKNYSGAELEGVVKSAVSYALNRQISMDDLTKPLDEESIKVTMDDFVNALHEITPAFGASTDNLERCRLRGIVDCGKAHKHIYQRAMLLVEQVKVSKGSPLVTCLLEGSAGSGKSAMAATVGIDSDFAYVKIISAETMIGFSESSKCAQICKVFEDAYKSQFSIIILDDIERLLEYVAIGPRFSNLISQTLLVLLKRVPPKGKNLLVIGTTSEVGFLESIGMCDVFSVTYHVPKLKKEDAKKVLQHLNVFDEGDLDAAAEALDDMPIKKLYTLVEMAAQGPTGGSAEAVYSGEEKIDINHFFSILSDIIRY >Et_5A_041654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25514744:25521141:-1 gene:Et_5A_041654 transcript:Et_5A_041654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTTSQLLEAPTLSHVWEDFNLPPEQQKTKLLNKPTWSSPAGIVRHSTRKWLLMEGLHLVSLGSGRFCVAKTVQVEETIPGCSTDEDDPWTDTVCEFTVLTGVEVARGGEGGEGLRMVKHKSKRYRFSDDCAIKWASYNSRMYSLRRLDIAKHLFYPSTAAAEAANEKDNNNGGKPQKIEKLRRLPQPSLRLQSCLSECQRWPRDAFSLVSPVDGGGRILHTNGGRNAILCDMQSAACSTVQTLPRLAEPKGHCPHPVSFTAGAGAGEESLCLYVLRSDLNWPAFNFEVIRLGGGDGGEEEEEEESLPFDSHRRPDPGRSKWHPLPPPPIGGAGDGGGGESGDPKITSSTLLDDGNVICVSTRPRAGSGTTYCFDTARREWRRAGDWPLPFDGRAVHVPELDTWVGFSPTHPHHLCAGDLSAMADRPELAPKLAHVWEDFNAPPEERKSIQLNRRYPHVFHHHTREWVAMERHLVSLGAGRFCVARTFMVEETDLITCSVADEPWSETVGEFAVLTGVEVVRGGEGEGLRMVKHKSKRYMFSDDCVIKWVL >Et_10A_002314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8400060:8406690:1 gene:Et_10A_002314 transcript:Et_10A_002314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRKWKHLEERDWAGLTPDLVSKIADHLLADDVTEYIRLRAVCKLWRSSTSDPSLLEPRFFPRNWLLLAGEHLHSDGEPERFVNVCTGVSIRIRLPDPHLHTHHGNAEGLLLLHDIFTDKICLLNPLTMAFTGLPTMLAVGDVVRPRGESPNHMYYTDSIRAAGVVVDVNEQGRALSLPTVVLSLTNGTGTAIVCAEPGDHVWRAVDTSCTDGIEGKQPAIQGGLSVRGHFYVPTRVGDVLTVELQPQPRLRYVAKMTRDPIHSSFNGSSYLVPSCDDTDCGMLLDTEGTSLYEGMRTNK >Et_3A_026385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7516685:7530601:1 gene:Et_3A_026385 transcript:Et_3A_026385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQTAAAADLGSLSASSSSFPLLVYDHGEQPDNSQIMLSVADGSSHTYRVPEMRNHRCLETPRGLVLLVNTSSLQCSLWNPQTGDKIALPAMDKALPEHCRCLLSTTVSSPNCVVLVYDLTQPELLFCKVRGSSAAWISQSYDIGLYKLPASHPDSHLPPTNRVITNMAAVQGKFYFRNLEWRDVVGVLNFAHHDTEPHLEMTTFDAPSPTSFGRVAATVTMTYHLESCQELFLVCLFFPGFTFEHIEEVCAYRMDFSKQEWRKVTDIGDRAFLLGAQSFAASCSAMEHGLKRGCVYFAFDVFGDNNNFHIFDLREGSRELADGPSQGVQPLAREPFWMITINGMAAVQGKFYFLKSRDVVGVLSFARDPEPHFEMATFDAPMPSFVSHLPQKVTMPYLMESSGELFLVCLFFHGCTLQHIGEVNAYRMDFAKQEWCKVTDIGDKAFLLGPGSFAASCSAVEHGLKRGCVYFAYDFLGDSNDYHIFDLAEGTRELAGPTQDMPVLSCKPFWLVPSKPAGDLGSLAAAASSFPLLVYDYDEQPDNSQIMLSVADGSSRTYQVPEMRNYQCLETPHGLVLIVDTASLQSFLWNPQTGERITLPTMDKALPKHCRCLLSDTISSPDCLVLVYFLTEPELLFCQIRGGTAWINQSYDVGLCKLPKSNSVPTKMTISSMAAVGGKFYFLDTQDVVGVLSFAHEPEPHFEMATFNATMPTFVSEAQQKVTLPYLLQSSQELFLVCLFFHGCSLERIGEVGAYRMDFSKKEWCKVTDIGDTVFLLGPANFAASCSAMEHGLKRACVYFAYDFLGDCNNFHIFDLKEGTRELAGPTQDIPVLSRKPFWMVPALPRKVHERKGNAFTIHIGSNRPILDIWK >Et_1B_014433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8551691:8559413:-1 gene:Et_1B_014433 transcript:Et_1B_014433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLTSMAEEGSYNFRCKLCDTEVVQSMTELLLRGLATASVDSTTGDIFKTASSVAVSVKAEMEGYLFLRTETLVRESVDGAEDHSDQLLKASTRPTEFLSDLIDDFVASKRNLLSHVSGFLSSEIRLNKIKDFVQKIDLENIWAPEERKATAETILENIDLKCSFHCPEKFGDQYKLDEHRSQCKFRAQSLNEQSGALEVRSLTLMIKEQEAKIKKLERDIKTQEAKMKKLENEFGSAAKPFVEGSKLALSSGMQDGKG >Et_2A_016046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20489172:20489884:-1 gene:Et_2A_016046 transcript:Et_2A_016046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHRGVALRAAVLAAVLGFAAAGFISNDALLQHEHDGTGRSLLQVKKDCPVSFEGANYTMVTTRCKGPLYQPALCCGALKDFACPYSTYINDPSTNCAATMFSYINLYGKYPPGLFANTCHEGDKGLKCPEDVPQIQPGQTASSGAANAAAPAAAAALAAGVAALLLLMSW >Et_1A_008580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:897869:899432:1 gene:Et_1A_008580 transcript:Et_1A_008580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSVDVPLPTEKLSIDPNRRDAAGRGCVVLVATGSFNPPTYMHLRMFELAKDELQQRGYSVLGGYMSPVNDAYKKKDLLLATHRIRFCELACISSSFVMVDPWEAMQKGYQRTLNVLSRIRNSLCNNGLADQDSLTVMLLCGSDLLESFSTPGVWIPDQVRTICKDFGVVCIRREGNDVGKLISSSEILQECRDNIIPVDEIVPNQISSSRVRDCIRRCLSIKYLTTDGVIEYIREHKLFTEAEGSDTKLRQ >Et_8B_058624.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:11030541:11030750:-1 gene:Et_8B_058624 transcript:Et_8B_058624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGIHGRRGIGGRLMQYNAQVFKWQRKPKKNWRHTCERQDKNNYMKHNRRSQIETSCYQFDNTGVSFS >Et_2B_020321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1945619:1949519:1 gene:Et_2B_020321 transcript:Et_2B_020321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLSPSLRRAVLSSAPTPGGRAIPNHNHSPPHALLPQLPRCASASSARTPPPPPPPPPPPKGAPRSGVGTTVSSLNPAEVAKFAAIAETWWDFNGPFKPLHLMNPTRLSFIRSSLCRHFRRDPYSSKPLEGLKVIDVGCGGGILSEPLARMGATVTAIDAVDKNIKIAGVHAASDPMTASIEYCCTTAEELVKQKRQFDAVISLEVIEHVANPVEFCESLSALTVPNGATVVSTINRSMRAYATAIVAAEYILRWLPKGTHEWSKLVTPEELVLMLQKASVSVQEMAGFVYNPLSGEWSLSDDISVNYIALGIKKSEAPSTMTEAPSTMTKAPSEAMTEAPSATMEVPSATTKAPSQKQG >Et_10B_003550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3024992:3028653:1 gene:Et_10B_003550 transcript:Et_10B_003550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLLHPAARLAGVVAGRGGGPSPPRAPPRGARRGKPRFSRQSAIKKSFHQEQVVFATPVPADPSVAVIGGGASGLACASALAARGVRAVVFDTGMHGLGGRMATRVVDAGQQLVFDHAAQFFTATDARFQKLVEEWLDRGLVREWRGLIGELEAGGRFRPIPSSTPRYIGVNGMRPLADAILPESELIQVVRPCWISKLEPFNGLWRLFENEKQRGEYDAIVIAHNGKCANRLLSTSGLPLLTKQMKSLELSSVWALLAAFDDPLPIPQSDSHGTFEGAFVTYVDSLSWMGNNTRKLFPMQTGAPECWTFFSTAAYGRRNKVPQENIPKVTAEKVKQDMLGGVELALGLSKGSLHKPMYTRVQLWGAALPMNTPGIPCIFDPLGRAGICGDWLTGSSIEAAVLSGFSLANHIADYFSSNSERPEEFAIGLHQNLDQVEGHDIGQFPGLDFLKPQVGEAQLAPKGSVRDRVYGLRVRLAVCRHSEAAFRVTVDVLSAGEECCHAMSTRHTIELPTAAQTAPVADIHNVCSLMRRNDLELCLVGSGHLVAPCTLNGLLHTALSCGHKDS >Et_7A_051957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3221944:3224912:1 gene:Et_7A_051957 transcript:Et_7A_051957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATATSSLASPAWACPRAAPSPRRTRRRRPRGYACAVAVETDLVFHPDVSRAAESLQAEFRAVDRALAINSSRVAAAFRRARVAPHHFGGSTGYGHDDGGGREALDSVFAEIVGAEAAIVRPQLLAVAGPPYDTLEEVIGIRGSANVGSLKDFGVTYREVPLAEDGGLDWDALACAVRPETGCAFIQRSCGYSWRKSLSVADIRRAVNLIKMQNPGCMVMVDNCYGEFVETSEPAMVGADLIAGSLIKNPGGTIAPCGGYVAGKQDLVEAAAARLSAPGLGVEFGSTPGHVMRALFQGLFLAPQMVGEAIKGGLLIAEVMSAKGYRVQPLPRVPRHDIVQAVQLGNRERLIAFCEVVQQTCPVGSFIKPTAGETPGYASEVIFADGTFVDGSTSELSCDGPLRDPYAVFCQGGTHWTQWALVLSEVLKVVWHNVK >Et_3B_030490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4119460:4123557:-1 gene:Et_3B_030490 transcript:Et_3B_030490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAATACATPAAARPPLSVPSRRSPPSAARPPAPARRAAGCCFCTAASASPSTWDWTRWSRHFDEVDQAESYASLLKFQLEEAVENEDFAEAAKLKKAILEAAGNDAVGHVMSELKSAIEEQRYQDASRLTRLAGTSLVGWWVGYAKDSDDSIGRIVRISPGVGRYVAKSYSPRQLVTASSGTPLFEIFLVRDDDETYTMKVVHLRPTKGTSSASSISSTAPDGPAKVVSESSLESSAISDSVTDEANTDTVLKENEDVEEKEQDVGNTKESSVEGLKSVLNFFKSRIPEFKVQVINVDVSEETELAENSSEDLVQDDVKSTSENSLEEPTTEELQEEDVPEEDMDEESKSTEVKLFISGVVHNKEDAGSKSYVRVPAEINNLEKDSFELYIPGKSSDRDLAETKVAKQKVADMAAKLASELMPSDVAKALWGTTKSSQKINKEVQELLRLTLSKARVKLTENTIFNRIITDTNSTDPFNGLYVGAFSPYGPEIVQLRRKFGHWNRTDDVEFFEYVEAVKLTGDLSVPAGQITFRAKIGKGKRLENRGAYPDEFGVVASYKGQGRIAQPGFKNPRWVDGELLVLNGKSTIPHLGGAELGFLYSVPEQSFLVLFDRLNLPE >Et_3A_025421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29771317:29774259:-1 gene:Et_3A_025421 transcript:Et_3A_025421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQEEREARSEAAFTDSADGSSSSSDAASTDEWPPVVAPPPPVPRAKGSGCASDTEVAKQQHKHKRRAASEMEMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCGKRTPPSLAVSTAMLCSLTPELGLAFAATIFGQLWRLEPLPPEKKAMWRREMDWLLCVSDHIVELVPTWQSFPDGTRLEIMTSRPRSDLYINLPALRKLDHMLLEILDSFTDPEFWYVDQGVSAPDCDGSASFRAAFHRRDEKWWLPVPRVPPGGLHDKTRKHLQHKRDCANQILKAAMAINSNALAEMEVPESFLDSLPKNGRATLGDIIYRYITSDQFSPECLLDCLDLSTEYQALEIANRVEAAVYVWRRRVAAKPVNGLGRSSSARSSWGMVKDMMIDTEKRELLAERAEGLLICLKQRFPGLTQTSLDMSKIQYNKDVGKSILESYSRVLESLASNIVTRIDDLLNIDDLNRHTEHFSSPVDVDCKITCSQTMVPSFPVPASGTPFVTAFATPSFSPAQLSSPVKKERSSLTPGRRSQHSRGAGTKKALTDHVGTDVKGMIVNRDVMIDVSTTTEL >Et_9A_062383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22341063:22344236:-1 gene:Et_9A_062383 transcript:Et_9A_062383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARQECGEREVAPMEGPAATEEENDDRWARLLPELVAEVVQRVEASGGERWPARKDVVSCASVCRRWRDAAAAVVRPLQESGKITFPSSLKQPGPKDFPIQCFIKRNKRNSMFYLYLGLTNNLIATKDKGKFLMAARRFRRGAHKDYIISLDADDLSQGSGAYVGKLRSDFWGTNFKIYDSQPPYNGAKASSTRSIRRFGSRHISPQVSSGNFDVGQVSYKYNLLKSRGPRRMLCTMECPSIQETWENSLKEKFLKRTGTSILRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATTNPSHPDSVGDDETVILQFGKVDSDIFTMDYRQPLSAFQAFAICLSSFGTKLACE >Et_3B_027923.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26784665:26785279:1 gene:Et_3B_027923 transcript:Et_3B_027923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNVPLPSTSAAEAPVAASEEATTLTVWRKSLLFNCKGFTVFDAKGNLAYRVDSYDAEPAGGEVVLMDPAGRAAFAVRRKRLSLSGEQWLICADEESRRPLHVVKRGGGGKTMARVAPCARGGGGAGAAYEVEGSYARRRCVVYDAERRVVAEVQPKEAVGSDVFRLVVQPGVDVSLAMAVVVALDQMFGRPSLLRSWSS >Et_4B_038982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6640463:6641278:1 gene:Et_4B_038982 transcript:Et_4B_038982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTPFALVSRLSPAARLPVRAWRAASPTLPASSRARSLAVASAAQENRDNAVDVQVSQNGGNRQQQGNAVQRRPRRASPLEISPFGLVDPMSPMRTMRQMLDTMDRLFDDTMGFPTSPSRRSSPAATGEVRLPWDIVEDEKEVKMRFDMPGLARDEVKVMVEDDTLVIRGEHKKEAGEGDEGAGDGWWKERSVSAYDMRLALPEECDKSKVRAELKNGVLLVTVPKTEVERKVIDVHVQ >Et_9A_062600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24349056:24350668:-1 gene:Et_9A_062600 transcript:Et_9A_062600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLLLLLLAMFSGSDGAFCVCKPGLPDAMLQKAIDYACGKGADCMQTQPNGPCYSSQKTLVCNYIVNSYYQKNGPIGGTCDFGGVATLTSSDPSTANCRFSSGPSSAGGAGAGTGTGTGAGTGTGTGMGGTGAGITTPGGALSPPFSGGALSPSGGGMGGPDYNDAAARPVLAAAVMAAAPLLLHLII >Et_1B_014058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29255834:29258831:1 gene:Et_1B_014058 transcript:Et_1B_014058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPVPSPPECQGLRRPTVSASDLSPLFAAQRRHLDHFFDRLDMSQAAAFAQALLDAPGAVFFTGVGKSGIVARKIAQTLASLGFARAGFLAPVDALHGDIGALFPGDVLVLLSKSGASDELLALVPCARAKGAYLISLTSAASGADCPLAAVCDLNVHLPLQGEVCPFGLAPVTSTAIQMVFGDTVVAAIMEARRLSRDQYASNHPAGKIGKTLIFKVKDVMKKQNELPLCKEGDMIMDQLTELTSKGCGCLLVVDDEYHLIGTFTDGDLRRTLKASGPAIFNLTVGEMCNRNPRTITAEAMAVEAMEKMESPPSPVQFLPVVDDNNVVCGIITLHGLVSAGL >Et_3A_024570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22161737:22168229:1 gene:Et_3A_024570 transcript:Et_3A_024570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQMQRQRQSWPDAPVEVLDLIVGRLPTLADRFRFGAVCRPWHAAERQIPRRKQLPWLALSLPSSPTAGRASFYSLSDKAVYHLPFPAGGGVGACLCGSADDWLVVAHPERGNFLLNPFTGATLPLPRQRTITRSHRRRDGDDQVVEYYPPRGQREPYIRKAVLSCPPAVDDPGRCVVAAIADSGELFFCRPGQTYNEQFWHDPYSLERQYCVVSRGRLLMVERHCRRGRNGNRSCVFKVFQRAPIPFTLRSSYEAWVALESLDGEVLFLGGSSSRSFAASDHDGDDSDCIYFTDDHYQVKDQNVSYNHSGSGYRLESLDDPTGMQPCGDIGRYCMRDKSVSFLKDLPSNERRSPPTWLYLSEGRR >Et_6B_048710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12953090:12964052:1 gene:Et_6B_048710 transcript:Et_6B_048710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELHLLSPFVAASHYGTPSRAPSRPCSGLATFALLYISPPGQSLLSPSRYRGSLCLLSTLLPSGLLLPWDSSIPGMAVQAQFGGFAGPLPCGGGGGFADEQLRVLLSAAAANNNGYRCPGVVSGAHSELTCNGSGGVLLARKRGREADHLEQYVSSSPSTSGRTCTGALSPAPDALMVAELCAHGAEVDALVRAQCERLRAGLEQASKRQCRELARAVAGAAAHRLREKEAELEAARRRAAELEERLRQAAAESQAWLGVARGNEAVAARLRATLDHVLLRAASASAGAAVAPLVEGFGDFSPAADADDALSCCFVSRDAAGKWACKACGEREATVLLLPCRHLPLCKACEPRADACPVCLAVKNASIHVAPN >Et_8B_060543.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20504249:20505694:1 gene:Et_8B_060543 transcript:Et_8B_060543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSAEAASSHGVRVVSRRLVRPSPSSISRIGQTARCEPSETVVQHLAPWDLQMMTIDYIQKGVLLPKPPAASGGGEHLVERLASSFARALALFHPFAGRLAVDEDDGSVTVSLRCTDDGAEFVHAVAPPEVTVDEVATSLYIPRVVWSFFPLDGLVGADAVAGAYPVLAAQVTELADGVFVAMSLNHAVADGTAFWHLFNTWAEMSRSDADPERIILIATPAPVLERWFPESCPVPVPLPFAKLGDAVRRFHGPPVQECFFSFSAATTRSLKSRANAEVAGVATISSLQSLLAHLWRAVTRARRLPPRQEISYTVLVGCRGRVSHIPHAYAGNAVVRCTATATAGEVLENGHGWTAWLLNRAVASRDEAALTDAAASWHRNPTFAYLSGWWNPAVMVTGNSPRFDVFGNDFGWGRPVAVRSGGANKVDGRATVYEEDNNGGGMGVEVCLAPEALARLVADDEFMATVTTPDGRSQQQEGN >Et_1B_009743.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:22887832:22888807:-1 gene:Et_1B_009743 transcript:Et_1B_009743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFEESGEDVVERGGGDGARELLEVDAAVAVGVGLLDHPCELLDGERVSEPGHGVRELCGGDEPVPVAVEDAEEPAELVLGVREAVGEELGRHERDELGELDEAVGVGVGALDERVELVGAGLEAQRAEQGAELELRQGAVGVAVEGAEDLAQLRQLLVVQPRLLLLRVADGGGHGAAGAAAGLRRRRGRGPVRGGGGGVSGGGVAHVEIERRGGRRGGGGVAIWVVWPEKADLGDALECRISSGGREARTLPRYLYME >Et_6A_046732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:280068:282029:1 gene:Et_6A_046732 transcript:Et_6A_046732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFEGGDDHAGGDHYLYHSPTSRPSYQPPPYPYYRHDQPSAAPPRPHQQALGHHGIRAAAAGVAGLDQNNINFKSKSMTAGSNTGNLQRGKEVCSNGVLAPRCRCKYLPVLFSLSRQEGSYRCSVDRSQDIDESSAEELYDAVSYAKANAMLIQVPVLGTTKRFWRLSDKATRISRKLALILKSHHSIRKYLAAPLQVSNVWISSTGSVKLRGVFLMLHDKIIKYLGRTRAGQAKKKKIISKLPYEDWLDTATANKQISQWVVNAQNQYKRTQYDLLRLNRNVRSHMHDYNDDDIEEILYCEWPELLMDMAKMLHEEGELEGTDIQNKFG >Et_7A_050454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16267094:16268053:1 gene:Et_7A_050454 transcript:Et_7A_050454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRCSACARACLLLLVLLPCRGLFQPAGEARLLLQIKRAWGDPPVLAAWNASAAAAHCSWPHVRCDAAGRVTSLSLVSANVTGPFPDAVGNLTSLTHLDVFNNSIFGPFPTSLYRCRSLQYLNLSLNNFCGELPADIGDRLTANLTTLDLSSNEFNGTIPASLSRLQNLQELWLGGNPFHAGNKLPASFSKLTNMRTLFAAVSNLVGDFPSVVMDMPELQFLFLHNNSLTGSIPAGVWSLKKLRTLYAYSNNLSGNVAVDGFAAASLTRLALSTNNLSGTIPKVFGSLQNLTL >Et_4A_033058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17878051:17883249:-1 gene:Et_4A_033058 transcript:Et_4A_033058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTHLSSSTPKPPFASSAFLSHLHPHLSLPRRHRRHHIARPVSAPAAAAPPDLLASVESVASAASVLAAIVLVHESGHFLAAVSRGIHVSQFSIGFGPALARFRAGPVEYALRAIPLGGYVGFPDDDPESGFAADDPDLLRNRPVPDRLLVVSAGVAANLAFAFLILYAQALTVGVPVQAQLPGVLVPEVLPGSAAARDGLLAGDIILAVPGTAPDPSVPVLVDLIKSSPSKKVPITVSRRVPGAADRESVELTLLPDTSADGSGRIGVQLSPNFQTAEKVSGPVAIIAVGAEVARSSADGLFQFAAVINLNLAAINLLPLPALDGGTLALILLEAARGGRKIPREVEQGIMSSGILVVLMVGVFLIVRDTLNLDFIKEILLCNERTLQEQRGASESRAHQPTGSGPEACAMTPDTISARTNGIWLPPRYDRLGH >Et_2B_022181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1139506:1141727:-1 gene:Et_2B_022181 transcript:Et_2B_022181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRLLLAVAVLAMAATTRSTALGINYGQVADNLPPPQAAAVLLRALNATKVKLYDADARVLSAFAGSGADFTVGVPDRLVPKLAADPSAASAWVRANILPHIPATSITAVTVGNEVLTGSDAAMLRSLLPAMESLHAALAACNLTSRVSVTTAHSLGVLATSFPPSAAAFRRDVLPYMAPLLGFLAKTGAPFLVNAYPYFAYKADPDGVDLGYVLFEPSASGAGVADPATGLRYDNMLHAQVDAVRAAICRANYGKALEIRVSETGWPSQGDDDEAGATPENAARYNGNLMRMVAQGKGTPAAPDEPLQVYVFALFNEDQKPGPASERHYGLFKPDGTPAYDVGVKAPTIVGGGKGSGSAGNGTSGGGGTGLVVAQGPGGVGQGTGYYTVPAAANKVKRRWRCMETLLMVAIFAIVSVDDRIRHSPLQPPAPRPRSSLKEASPNSVAKQDRPATAPSALKNKRFVLPEALKQTFLHEN >Et_10A_001455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3558313:3561067:-1 gene:Et_10A_001455 transcript:Et_10A_001455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLMLPALLLFIAFAAATTGAAETTTSTALNETCAFLGGWYVTPDLCTSVLCADPWDPCRAARDAPAVAALAARLAARNATATKGSVEAALAAHAATSALDHACACLGDKYVTPELCTSVLCADPSEPCRAARDAPAVAALAVRLEVRNATATKGCIEAALAAHGGNATVAKGIAACLEVYVGMVLYLKQAAQSVAAGRYTVAREFLNEAMRVPGWCEKGMVGDKAALPRENDAFASMAIVAHAVVAHMVRD >Et_1B_011770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25786511:25792905:-1 gene:Et_1B_011770 transcript:Et_1B_011770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSLTPRRELVAARGRSASAVPAPTSAACARRRSDTKMVRRDPIRSVTMGPYFLKRRRRRGSTSAAEWRSHSRLPNSGTAGGPGGRRRFLLPLRSKTTRGISTAPARSHQAKRTTRAATAMDAPQLLLGALLVILPAALLLLLRAGKRRRLPPGPPSLPLLGSVVWLTNSPADVEPMLRRLFARHGPVVSLRVGSRLSVFVADRRLAHAALVERGAALADRPALASVRLLGENDNTITRASYGATWRLLRRNLVSETLHPSRVRLFAPARAWVRRVLLQKLGEQGPDAAAPPRVVESFHYAMFCLLVLMCFGERLDEPAVRAIAASQRESLIYLSQKMPVFAFLPAVTKHLFRDRLDKARALRRAQTELFLPLIDARREYKKKRGGAAGEEPRKETTFEHSYVDTLLDIKLPEEGNRPLTDDELILLCSEFLNAGTDTTSTGLQWIMAELVKNPAIQDKLYSEIKATAGGDDDKEEVSEEDVHNMPYLKAVILEGLRKHPPGHFVLPHKAAEDMDIGGYLIPKGTTVNFMVAEMGRDEREWKNPMEFSPERFLPGGDGDGVDVTGTKGIRMMPFGVGRRICAGLGIAMLHLEYFVANIVREFEWKEVAGDEVDFAEKSEFTTVMKKPLRAHLVPRRAQSTTETQ >Et_4A_034110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3007212:3010907:1 gene:Et_4A_034110 transcript:Et_4A_034110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKTAEKGKDSKKAPVSRSSRAGLQFPVGRIHRQLKSRASAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTAKEKNGGDEVGDVVFLDLSRGEYVVRRHQLASLGHGVANKDVPTPVSEIDEDAAVADIDDLCVTTARDVAEGEGNGAGECAGGGSIRMGRLERM >Et_7A_051741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2392680:2402294:1 gene:Et_7A_051741 transcript:Et_7A_051741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSPAARFLRILLAVVIACATSVLARADPRFPHLGRLRELHRRESSPAAEQEAAARGLLERLLPSHSSSFEFRVISKEHCGGKSCFVINNHPLFQGEGTPEILILGASGVEISAGLHWYLKHFCAAHISWYKTGGAQLSSIPLPGSLPHVPTGGVLIQRPVDWSYYQNAVTSSYSFAWWDWDRWEKEIDWMALQGINLPLAFTGQEAIWQKVFQRYNISKSDLDDFFGGPAFLAWSRMANMHGWGGPLPQTWLDDQLALQKKILSRMYAFGMFPVFPAFSGNIPAALKSKFPSAKVTHLGNWFTVDSNPRWCCTYLLDASDPLFVEIGKLFIKEQIREYGRTSHIYNCDTFDENTPPLSDPNYISSLGAATFRGMQSGDEDAIWLMQGWLFTYDPFWEPPQMKALLHSVPVGRMVVLDLYAEVKPVWINSDQFYDFEMYGVLDAVASGPIDARLSDNSTMVGVGMSMEGIEQNPIVYDLMSEMAFHRRQVDLKVWVDAYQTRRYGKSVRGLQDAWRILYRTLYNCTDGKNDKNRDVIVAFPDVEPFVIQTIGLYSSTRIENSVMSSKNIIVKEASSDAYEQPHLWYDTSVVVRALELFLQYGDEVSDSNTFRYDLVDLTRQVLAKYANQVFLKIIESYKSNNMKQVANLCQHFLDLVNDLDTLLASHEGFLLGPWLENAKGLARNQAQETQYEWNARTQLTMWFDNTETKASLLRDYANKYWSGLLRDYYGPRAAIYFKHLLLSMEKNESFALEEWRREWISLTNNWQSDRKVYATTPTGDALNISRSLYIKYLRSPDALEQVTGTSGKSATS >Et_1A_005491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10842687:10846154:-1 gene:Et_1A_005491 transcript:Et_1A_005491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPAAPPSRMSASLLTFPSSHPYPSLPTPPKPANAKPRHLPLVPRVAAAAAVSRHASTDRLRVLVRHGNVDEALRLVDSLAALQPPAPAAAGPCAALIKRLCALGRTADARRVLAACEPDVMAYNAMVAGYCGAGQLDAARRLVADMPVAPDAYTYNTLIRGLCGRGRTGNALAVLDDMLRRGCVPDVVTYTILLEATCKRSGYKQAMNLLDEMRAKGCAPDIVTYNVVVNGICQEGRVDDALQFLKNLPSYGCEPNTVSYNIVLKGLFTAERWEDAEELMAEMAQKGCPPNVVTFNMLISFLCRRGLVEPAMEVLEQIPKYGCTPNSLSYNPLLHAFCKQKEMDKAMSFVELMDKGCAPVLISYNTVIDGLTKAGKTKEALELLNEMISKGLQPDIITYSTIASGLCREDRIEEAISTFCKVQDMGIRPNAVLYNAILLGLCKRRETHNAIDLFIYMVSNGCMPNESTYTILIEGLAYEGLVNEARELLAELCSRGVVNKKLMKKGALKMLESVLYKSRIVGARVHGSLNIHL >Et_1A_007782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38218192:38222654:1 gene:Et_1A_007782 transcript:Et_1A_007782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTSQYMDPYYSHYRNHTPYLYYSPPGWEAGQPQAMDSSYRPPSYGPWPYNAGMHHPHPPEFHCCCNHSYPPGYYGLRPSFPQELPPPHLYYHGPFPQHPNDFPPYFARPHPYPTEQIPYDYNKSKSHCCGCPNHVCHGGEKSNVKIEEERLDGKLNRELKGADNSSIIRHPNNQYPVIWLPSGNVEDKDKGKLYELPQFMNGWVPGGGNRADNVKQQGQDDQKEKQFQWPIVWMPAGYDEPKQKIKELKEKDENSNITEETPPPKIKIIPLSWFEDQKPTAKSVSRDDGDSSTLESQPTTTEYRDGRSVEGHCKTTPDMPRRVNGERKPPKENYKTIPVLSDKETDEKKMATYRTIPVMTEKEAEEKKPAVSENKEEKKASGAEKKGEHGKGRNHSESPTSKHSKLPPVCLRVDPLPRKKSGNGSSRSPSPPTRKDANKAKDENEAQSQNLEPKQSDTKKHVTVSEVKEKSPDDMKKDMGSSNETVPDASAKHSLEEENNDQKVQDRGTGVHAQENAGVETLKEGDKSTDRDDIIQSETLKHDSKTLRTNLSEADAAVHIQSAYRGYDVRRWKPLDKLRKIRDVIEQMQGVRKQLQSLEASSKKPTEKEQMAVGEAIMNLLLKLDTIQGLHPSVRDARKSVARELICLQEKLDSLCKQPFGQFDHKNEDEISDTAESIIHTAAPTLTSEAPDKEEKADGLREAKESSSVDCMELCDAVPEVSMELGQDAYSRELKNQTEESCTNTMEGRVAEQVEFQGASSIDMITAMALPEHPADNHKCQIEEPNTGSLEVTEEKNNAIMDEGQEVPLGDSMEPSNSAASTVDSSGLEKCTASTGQNLHSEELNKEVSPAINRKIKTTVATESLDNRLTADNEGSTNDQVTEAAGVERLELKHDVSPAEETPDVNSLVSLKDAEPHEPDPTTAAGSFMSNEDGQLEEARDRNMQEQDATKLSPEAPTDDIEPVASAESAQSALTRAETVFPELDSCGLPCARVDATSGYERPEMKVSLESQAADQQSVCSHSLCADAQVSETDECTEMLKAPAGVMGADSTEVDERVSETEECNGTPNGSPACTAGVTSAEEEADNSKEDVAVQTESKASEEALPTSVTPHALKDGDEKKLAEENQKLKEMLQKLLASGNDQMGVITDLSEKVKVLERKLARKKKPKVRVHRPSRHAMAKVH >Et_4B_040003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7538161:7540395:1 gene:Et_4B_040003 transcript:Et_4B_040003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRGNVFDPFSLDLWDPFPGFPFGSGSSSGSLFPRVSSDSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEIEDGNVLQISGERSKEQEEKNDKWHRIERSSGKFLRRFRLPENAKTEQIKASMENGVLTVTVPKEEAKKPEANNTEKFDDWIEKSSLFAQRGWAFGKVREEPRRWLTMKPDESCSCDDGDGDLNETHEADMNGSV >Et_2A_017380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33805169:33814480:1 gene:Et_2A_017380 transcript:Et_2A_017380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFALGIVAMACMVAIAQGGNFFQDAEISWGQGRGKIVDGGRRLDLTLDKSSGSGFQSKNEYLFGKIDMQIKLVPGNSAGTVTTFYLSSQGATHDEIDFEFLGNVTGEPYTLHTNVFAQGQGQREQQFRLWFDPTKDFHTYTIIWNPQHVIFAVDGTPIRDFKNHEARGVAFPKSQPMRLYASLWNADDWATQGGRVKADWSKAPFVASFRGFNADACVMANGKQQCPVGTMETAAAPGGRRGRAGNSWWNQELSDMSYRRMRWVQRNASSGERNVIVPIHLARPVLVVPPMPRRSGMRASGLWWLAPFLLVLAVAATVVDASYSGGHNFHRDFDVVWGEGNARFRDGGRMVELSLDERTGARLQSKERYLFGRFDLEIKLVPGESAGTITSFYVSAPPLLPSVLDLCRLGQLHFPVLACASLRLICTGGARHDEVDFEFLGNVSGEPYLLHTNIFSDGKGEREQQFVLWFDPTQDFHTYSVLWNPHNIMYVPAMTLPLLFVLLYIDGTPIRLFKNNAAYGVPFPTRQPVHVFASIWDAEDWATQGGRVKTNWSSAPFVAAYRRYNVSNACVWDGDHSRRGCLAPENVGRQPSWMAQRMDWWSWMTLNWVRMNYMAYDYCDDRKRFPHGFPAECIIPIGQTPNKEKTRKKTGGWPIEAVWFGLRRVNVVEPVDGSSSRTYVHTASSIWSNHPTDRKDGL >Et_5B_045335.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:18703336:18704760:-1 gene:Et_5B_045335 transcript:Et_5B_045335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPSMAVLALKCPDIEVAVVDVSKPRIDAWNSDRLPVLEPGLDAVVRACRGRNLTFSADVERHVADADMVFVSVNTPAKARGVGAGQGAADLAYWESAARVVADASRSNKIVVEKSTVPVKTAEAMERILLARNSSGVAFQVLSNPEFFAEGSAVRDLLFPDRVLIGGREEDTDAVRALRDVYERWVPGDRIVTASLPSAELAKLAANAFLAQRVSSVNALSALCEATGADVSDVARAVAADPRVGGDNKQYLRAGVGFGGAGLRRDVLALAYACERHGLREAAAYWREVVAVNEFQKSRFVRRVVATMFGTVAGKTVAVLGFAFKKGASSAGGGAGDANRESPAADVCRGLVGDRARVAVYDPVVGEKQIRRDVTAAKAQVRVARDAYDAALGAHGVCVLTDWDEFMALDYRRIFDGMQKPAFVFDGRHVVDVAKLREIGFVVYSVGKPLDPWLESMPAVA >Et_1B_012959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4386600:4388672:-1 gene:Et_1B_012959 transcript:Et_1B_012959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAGSEQAAVAEGDAGQPPAMSKSARKKLLKQERQAERKAARKAAEKERRRADVERRRREWEEALAAAPSEEARAEMVAARRETRRERVGQRAVERGARAERLRRAAEGAGQKVVLDLEFADLMRPNEIHSLTQQIMYCYAVNGRSANPAHLWLTGCNGEMAAHLQRIPGYDKWIIEKDAKPYLEAFEDRKENLVYLTADAEPVLDDLDMSKIYIIGGLVDRNRWKGITQKKAAEQGIQSAKLPIGNYLKMSSSQVLTVNQVFEIMLKFVETRDWKTAFFHVIPQRKRGDAEAGDEASKRRRQPGTWLPPRPSMPKNQSRTEEQ >Et_2A_017148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31441055:31451865:1 gene:Et_2A_017148 transcript:Et_2A_017148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AALESGLALQFSSSAAALQQRQHKNQTQTRGMEAARKSVCVTGAGGFIASWLVKLLLSRGHYAVRGTVRNPDDSKNAHLKALEGAGERLQLLKADLLDYNSVASAVAGCEGVFHIASPVPSGRSSNPEVEVIAPAVTGTINVLKACYEAKVRRVVVVSSIAAVLNNPNWPKGKVFDEDSWSDEDYCRKNEDWYYLSKTRAEREAFAYAAKTGLDVVTICPSLVIGPLMQPTVNSSSKILINYFKGDRDTVENRLRNIVDVRDVADALLLTYEKQEASGRYICNSPPIKVSDMINILKSLYPTYPYPKNFVEVDDNFAYSSEKLQKLGWSFRTKEETLRDTWQLHGEQHKQAEAKGRGGKNMEAERTSVCVTGAGGFVASSLVKLLLSRGHYAVRGTARDPGDSKNTHLKALEGAAERLQLLKADLLDYDCVASAISGCEGVFHVASPVPSVRSSKPEAEVIAPAITGTLNVLKACYEAKVRRVVVVYSISAMFNNPNWPKGKVFDEDSWSDEEYCRKNEDWYYLSKTMAEREAFAYAAKTGLDVVTVCPSLVLGPLMQSIVNSSSKILLNYLKGDRETVENRIRDTVDVRDVAGALLLTFEKPEASGRYLCSSHPIKVSDMINILKTLYPTYAYPKNFTEVENVIHHSSEKLQKLGDAKTAKKTMERKMTTTVCVTGAGGFVASWLVERLLAAGSYTVHGTVRDPGDAKNAHLAALDGAAERLHLFKADLLDYGTTAAAIAGCDGVFHVACPVEMVAPAVTGTANVLKACSEAKVNRVVVVSSLSAVMVHHEWQKIPVMDESCWSDVELCRTTENWYCLSKTLAEREAFDYAKTSGLDVVTVCPSLVIGPLLQSTLNASSAVIVDCMKGDHEVKMKIRNFVDVRDVADALILVYEAPEASRRYICNSHPRQVSGVIELLKSWYPTYKYADKFVQVSDEPVFSSKKLETLGWKFKPFEETLRDSVESYCAKGCTPLTVRIAIQQKIINIGITN >Et_6B_050008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3137142:3139893:1 gene:Et_6B_050008 transcript:Et_6B_050008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVVALLLLFLSLLVVSNAQLQSGGHHLAGRRSDAAPAVAPTHLHFYFHDTVSGKSPTAVRVVAPPDPSTMPMFGMVNVMDDPLTEGPEQDSAPVGRAQGLYMGSDQAKLGFLQAMTLVFTSGEYNGSTLALLGRNCPLDAVRELPVLGGTGAFRFATGYALLRTHWLDVRTGDATVEYNVYNLSYSSTAVWHPMVRPFRMLNVEKQVHYV >Et_3A_026729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17443288:17449281:1 gene:Et_3A_026729 transcript:Et_3A_026729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDISAASVPPAKRRKGSSATTGGAASLSNDVLHEIFLRLPSLATLVRRAHLPRVAPRATHLGLYIDSSGAVQSPALPAFPSFVPTRFAGRDQAAAVRGGDFLLTSLEDDSPRGPYGWEIHDSRAGFLLLGNGDQETMCLLNPMAPRNRRFLEFSQKDILDGHHGIPVVHKACLLCSEEDPFSFRLVRIANDKSRVRAAVFSSDTKEWSLSPWVDVRVTSLDGTLYLLNRSMQANGFFYWIYKRDRQAECYNGMKVVAVRNGFAYLATSKKPYYASSPSWIMSLSLDTMKLDKLFERTYEGRVHPYVMSWPSTLVGNYGFLALEYGT >Et_3A_026428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7947001:7949818:-1 gene:Et_3A_026428 transcript:Et_3A_026428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGWIAAAVALAAYVAAKLMEVLWWRPRRVEEHFARQGIRGPRYRFFVGCVREMVALMVAASANPMPRPYRSHNVLPRVLAFYHHWRKIYGSTFLIWFGPTPRLAVADPDLIREILLSRAEHFDRYESHPMVRQLEGEGLVSLRGDKWAHRRKVLTPAFHMENLKLLLPFVGKTVVDMADKWRDMAAATSGEVEIDVSEWFQVVTEDAITRTAFGQSYEDGKAVFKLQTQLMAFASEAFRKVFIPGYRFLPTRKNTSSWKLDREIRKNLVTLIGRRQEAADTEKLHGCAKDLLGLMIKASSSDGGRVSPITVNDIVEECKTFFFAGKQTTSNLLTWTTVLLAMHPEWQERARQEVLDVCSARGIPSREQLAKLKTLGMILNETLRLYPPAVATVRRAKTDVELGGCLIPRDTELLIPIMAVHHDARLWGPDATQFNPARFADGVARAAKHPTAFIPFGLGARMCIGQNLALLEAKLTVAIILQRFEFRLSPNYLHAPTVLMLLHPQYGAPVIFRPRASEPSDQAAASVIR >Et_1B_013169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:676015:680310:-1 gene:Et_1B_013169 transcript:Et_1B_013169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FRHPEGPQPLPSSLSAVVVTITTTWASPHRRLRFRPPPTTATMQAAAWRRQLLDQHLSPTSSATIAALRSAYQPGLIPQGLCGADGARYMASARTPAVKGSGHLVRKGTGGRSSVSGIVATVFGSTGFLGRYLVQQLAKMGSQVLVPFRGSEDSHRHLKLMGDLGQIVPMKYNPRDVDSIKAVMAKSNVVINLVGREYETRNYGFEEVNHHMAEQLAMIAKEHGGIMRFIQVSCLGASPSSPSRMMRTKAAGEESVLKEFPEATIMRPATMIGTEDRILNRWAQFAKNWGFLPLVGGGSSKIQPVYVVDVAAAIVNSLKDDGTSMGKTYELGGPDVYTIHELAELMYETIREWPRYVNVPLPIARAMASPREMLLNKVPFPLPTPSIFNLDQINAFSVDNLVSEDALTFEDLGLMPHKLKGYPTEYLISYRKGGPAFGSTVSEKIRSSEM >Et_7A_052536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9081568:9086390:1 gene:Et_7A_052536 transcript:Et_7A_052536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLHLTLNQTQRVRLEAALQSLAPAAASAAAVTVADTIPVNHEDNILKGHGTSDQDGEVVATLCGVVERVNKLVYVRTLRARYKPEVGDIIVGRVIEIAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRTIFEEDDVVCAEVRGFQHDGSLHLQARSQKYGKLERGQLLTVPPYLVKRRKQHFHHLEQYDVDLILGCNGFIWVGEHVVVDDSEKTSTMVDQKTSSSEVETLTPLETRKHICRIANAVRVLSALGFALTAELIIETAEASASSSVEINDMLGAEFYVQTAEREAKRRADVLKKKSGARPSLE >Et_9A_061444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12054251:12056752:-1 gene:Et_9A_061444 transcript:Et_9A_061444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCMTLPVTLICLTVLCIFPIMAMAANNYSGSGQIRLDCGASTVTGIDSDNRTWDSDNGSKFAPSLKEVAATASYQNPALPSTIPYMTARIFASNYTYSFPVSPGRMFVRLYFYPSTYGRYVPANAYFGVTASNLVLLDSFNASQATSAINNASVVCEYSVNVTTGRLDLTFAPSTYLKNSYAFVTLDLFTTPKATLANSLYPNPLPIDPATGFQTMYRLNVWGQYISPRDDVDFYRVWNDDSPYIYGAGYGVVFGKDDNVTIKYTPSVPNYTAPVNVYATARSMGTNAQINLNYNLAWMLPVDAGFFYLVRLHFCEIQYPITKINQRSFFIYINNQTAQDEMDVLVASGGIGRTVFRDYVTVTTGFGQMDLVVSLHPDILTRAEYNDAILNGLEIFKLQTGDNSLAGTPPLLPSPNVKQHKTSGQKTSKGAARGAIRGAVGGFALLIIAFISGCIISQRKKKVALEHGMTNKKRSSPLNGWNSLPSNVCCHFTFKEIQTATGNFDETFLLGKGGFGNVYRGTIDHGIKVAIKRGNPLSQQGIQEFRTEIQTLSLLRHRHLVSLIGYCKENNEMILVYDYMANGTLWEHLYHTKKLPLPWKQRLEICIGAARGLHYLHEGAKQTIIHRDVKTANILLDDKMVAKVSDFGLSKASLHIDDTHVSTVVKGTFGYLDPEYFRRRQLSQKSDVYSFGVKKGVLNDIIDPHLQGKITPECFRIFAETAEQCVADRSLDRPSMGDVLQNLQVALQLQQSTMDTTSNAMAAPLTNNLVASENLSTDSLMSVGGQGVIFSDIAQTEAR >Et_2A_016882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29013916:29017814:-1 gene:Et_2A_016882 transcript:Et_2A_016882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRKHIYIVGNSEPHENIGVLNELIGARDEFAKTMGCKSYAEFAIRPNMAASADVVMSFLGDLSNTVRLKADEDFKRRVCNEKSADLEPWDEDYFIGMMKSSVHNLDPSVVAKYFPVSQCLKGLNVLVESLFGATFHQIPMRHGESWHPDVIKLSLRHPDEGDLGFMYLDLYSRKGKYPGCAHFAVQGGGDHQTQTIKFHGITARLSHGQVETLFHEFGHALHSLLSRTEYQHFSGTRVALDVAETPSNLFECHAWDHRVLKKFAVDETTGDPIPEKLVKALNASRNMFPATELQRQIFYSIMDLTLFGEQASTPMDTVSTVADLKRKHTSGKYVEGTNWHARFTHLINYGAGYYTYLYARCFASTIWQQVCQEDPLSRSTGSAIRDKLLRYGGSKDPPTLLKDFAGDAIIRNSGTGIIPDISSLCREIGL >Et_1B_012549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33002057:33006193:1 gene:Et_1B_012549 transcript:Et_1B_012549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLLLLLLIAVAGAAALAHGRPIPADDDGRGFLAGSGGTVLRLPSEAAPVERCEKTYGFLPCTETVGGNLFLVLAYGFLMFKAATYLSAGSELLLEIVGPGVVGGLLLPILGSLPDAMLVLVSGLAGTNKVAQSQVLIGMGLLAGSTVMLLTLLWGSCVVVGKCDLSENSTAIDSRDTKRFSLFGSGVSTDLQTSYASRIMAISVLPFIIVQIPQILNLDSGQHLTVLLGLITAALLLLAYCLYQIFQPWIQRRRLEYARHKHVMSGLLRHVQTNAFGRIFHDDGTPNVPVIEKLFHKIDLDNDGRIERGELKAFIVGVNFEDVDSDSNQAVDQIMADFDRSQNSSIEKGEFVNGISRWLEEARRSVVDSGAHSKKLLNDFHQRTTEQSELPDKDDEGGEAVENSTWTWVKAVLLLVLGTGMAAAFADPLVDTVHNFSSATNIPSFFISFIAMPLATNSSEAVSAIIFSSRKKQRTLSLTFSEIYGSVTMNNTLCLTVFLGLVYVRGLTWDFSSEVLIILLICMIMGLFTSFQTTFPLWTSFVAFLMYPLSLIVVYVLDFKFGWSREPGPNHNPGLVSPWKTHAHRRAERSTSSVDGHHDLGRALFRQRRQHAAPADGARRVRPQPHVDALSVEHVLARRHPPHRLPLLHFAEAHRALERRGAVPVLVARDAAGGQGGLHLHADHHRKLRVPELVRRLITRRTRTLSASAVALLPLAA >Et_3B_029256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23448868:23459908:-1 gene:Et_3B_029256 transcript:Et_3B_029256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAVFCGTNSSSPNPLYVTSPLTSRLGSSLPASASRSVVLPEAGGPSRSVILDGRMAPETPLRMCSDFLSARLTPTSPSSQSNTSRVAFASVGSARVPTWHSAATLRCWNRTSTLLEEPGVVLLGDAEDALDEGLALRESHGAHVHLLVPPEPAPAGVLLRAHEPRAQPLERRQPLHGRPLQRLLVVHRVGRAAAPRRHARETTVRPVLTVFRTVLITIAAALASSPEVGSSMKMIEGFATSSTAIISPSIYPLVFLPDKTSMRVVFPDPLTPIRAISLTAVEASRQLFLDSSSPGTVLVLASLAPSSPRSQSATSRVAFASVGSARVPTWHSAATLRPLNRTSTKNFRDHVYLPVDARAKLLEEPGVVLLGVAEQALHERLPLREAHGAHVHLLVPPEPPGVLLGPEEARAQALERRQPLQRGPPQRLLLVDPVGAAAAVEARHVLQAATQNLHFRRARARTYVA >Et_8A_056763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16430864:16432002:-1 gene:Et_8A_056763 transcript:Et_8A_056763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGIHALSLLFLLTLASQGSWCAAVSSISADHHLQVQEFHGEKKLEIDIPRKLGHGQSTKHEDEVSAVEIKHHRRMAIGHKGGSVGSGAAGSGGRNMGGGGVATRPHKKNGAAALPVPVASVLVLSLACGLALSHDSQRKVLVHIAKSKATETRNTAGL >Et_7B_055707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:23315823:23319184:-1 gene:Et_7B_055707 transcript:Et_7B_055707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLVDHMDRIQMKQMIETKQTYLERLIYIDETTLSDSSNAVMPKAQEVHEVYIGINITVELLHWEVWNYRSGMSSPELVLLSSTWCPAR >Et_4A_033366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2218849:2219488:-1 gene:Et_4A_033366 transcript:Et_4A_033366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDVTAEDTVVARPYQVVDAGRSMEVVRERVRVEAAEDIAAARAAAERGAHQEAVDILENRQRAVAESEAALGGDRMSLALGIELEEMRGRVATRESYARSGRAFMLEPWLSYRRVMQDGAAPPDGLSDEVDETSSYATPAMRAMLLRSRMAREAPVQQQEQPTAGEAAGSSGPQQWRSQKTQRLVSPPLHLWCHHG >Et_1B_012498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32544033:32546207:1 gene:Et_1B_012498 transcript:Et_1B_012498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRSPSGSLRAFFPRPGGLPDPALFFPSRCLSIEHWREKAPRLSALLYIAIWTLKGVTIYIDSDLDLCATHPCARFKTTIAISFFSCFLPASSLFLSLWALTLYHKIHVHNENHMFYCSEHKPLMIHMRLEPLEDLTVLQASELMKGIRNVEFLCYMPLRNPSGKTLASSAAFFHGVLLPSFFRNPFSSTNPFRRSTSTRASATARVSPGTLSARNSALTAKSPHWTSRTSTRFALSIPMLAATSRALSASLGMCTNSAGGYPAGMSPIVPYTPSAIMTAMLLNLTLAASAERKYPPEDAPMPTSGRPAS >Et_3B_031167.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:19751542:19752777:1 gene:Et_3B_031167 transcript:Et_3B_031167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPADPPRRVVICGGGVVGSCTAYFLSTHAAAPTVPTLFEKCAPACAASGKAGGFLALDWCEFTPELRGLARASFALHRRLATTLDGAAAYGFRPLHTLSISVPAETGLGSPHPLLPAWVDPAASAASPRELSTPDTTAQVHPGLFTEAVLAASGADVVIGEVERVVVRDGRVAGVAVKGRDGVVDADAVVLALGPWTSRLDVARDLGVSALKGHSIVLRPREPDKITPHALFFSYQPEPGAKMLSPEVYPRPTGIPLPSPNSCLAIKPPSHEWLMLFLLVVITAGEVYICGINKDEDAPDDPATITTVEPDAIAMLHEIAGKVSSHLKTEEGAEVVAEQACYRPWTTDRLPIIGELPGAKGCYVATGHGRWGILNAPATGAALAELILDGKAKTVDLAPFSPGRFLNR >Et_5B_043149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11560077:11561339:-1 gene:Et_5B_043149 transcript:Et_5B_043149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTLHDIPDKLLELILHHLTSPLCIIHAAATCRRWRRIITGWRFLGHLNLYPPQVAGNYHLLPMSGGSKSWKLADSYRSFLLLAKKKSGWMRHCFPDLIICEPLTRSYRLIPRPSHMKYFECLGVFLNECSDDMSYFGVTCVLYQRYTGISDEVGTARACVFWECRSKVGGWKIGRPAVMDGLHLNLQGKDSLQFVGRAASSSFWWVKDDRPVRLVRVDWSGFSFVSLPEHIQRLCVDTSSFRVVGGRDNIVRIVCLEGKSNMKIFARHYQRGGGYEWTHEKHVNGSMVTRGPQGREEVNFGSTATNIITVIGHSVVLALAGEVRLFSVEVGTMKVTPWNMGSKKPIMAYSYELPWPPRFRACLCQCRRRGQGPCSEICIC >Et_8A_057620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5281938:5289357:-1 gene:Et_8A_057620 transcript:Et_8A_057620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSHSQGPQAAGARRRRWGWLLPLLVGAAFLAEIAFLGRLDMAKNAEAVESWTTSFYRRSAAWGKDAAPAGGGEDDEIRRCVERLEREDAVPYDRDFDKDPVLVGGAAKDFNKCYVGCEFGFSASKTPDATFGIAPDPSVDSILRSMESSQYYSENNINAARGRGYKIVMTTSLSSDVPVGYFSWAEYDIMAPVPPKTEEALAAAFISNCGARNFRLQALEMLENLEVKIDSYGSCHRNRDGKVDKVEALKRYKFSLAFENSNEEDYVTEKFFQSLVAGAIPVVVGAPNIQEFSPGEGAILHIKDLDDVASVASTMKKIASSPDMFNQSLRWKYDGPSDSFKALIDMAAVHSSCRLCVHIATKIHEKEEKNPKFMNRPCSCSSKKGTVYHLFVRERGRFKTESVYLRSGQLTLGALESAVLAKFRFLNHVPVWRDERPASIRGGNELKVYRIYPMGLTQRQALYGFRFRDDSELEKYIIDHPCAKLEVIFV >Et_3B_030258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31880071:31882951:1 gene:Et_3B_030258 transcript:Et_3B_030258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATSEFSRVFSAFDRDDDGKISAAELRLCMKAALGEDLLAEDAEELVASADTDGDGLLNQEEFLKLVQLEMEEEERCRGLKEAFRMYEMKGEGCITPVSLKRMLSKLGAHQDIEECQAMICRFDLNGDGVLSFEEFKCRSSATMVASSEFSRAFSSFDRDNDGKISATELRLCMKAMLGDDLSPEDAAALVASADTDGDGLLSEEEFLKLVDEEREEEERSRGLKEAFRMYEMKDEGCITPISLKRMLSMLGAHQDVGECQAMICRFDLNGDGGAQLRRVQDYDGSVKGLGRHRSSKLKTAVTNRCNAVQELRHEQQGIKSIDRSGFQYHTACTKAATTGTAPPARRERLSYQTSAAGAEAMTNGDHAAQLGNR >Et_1A_004748.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:37288199:37288525:-1 gene:Et_1A_004748 transcript:Et_1A_004748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEECTPAYIAAVRRRFSGRWVCGLCAEAVAEEAAKNGGDREAALAAHVAVCRRFNGFGRTHPALFQADAVISIVRKLSGGLGSPRSPVKPGAGADAGVTSGPAVPAA >Et_5A_041492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23544364:23544656:-1 gene:Et_5A_041492 transcript:Et_5A_041492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRIAHLVSLVQCRIAGVHRLLVYEFVPNQTLEHHLRTHAVALRFAIAWNGVPVMEATTWLRIALLGAAKARHKEYKNYPL >Et_2A_018532.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3228670:3229134:1 gene:Et_2A_018532 transcript:Et_2A_018532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTYAMMQSMRAEKVVVKSEPGGCDTPGATVSSAKKRKLAQDEARPNTPPAAADGSVPSAHIRLVYSRQQDMKKPQQVPDLHDGDTADLEAARQLLQGIVTPSRERAFTAANPSDVIDSTYIAILQVSIFIFHALPVRRRQCTPRSRRARTY >Et_6A_047982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:27031306:27032152:-1 gene:Et_6A_047982 transcript:Et_6A_047982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVRLVAPLLFCIAAMSLVVTSAQSGVQLILVNNCAESVWPGLLGTAGHPTPESGGFHLAPGDEAAFDVPHGWSARVWPRRGCSFDARGRGTCATGDCGGALRCNGRAGAAPVTVVEMTLGTPRSPLHFYDVSLVDGYNAPVSMSPVGGGAGCGVAGCAADLNVCCPSALEVRDRQGRVAGCRSACRAMGGDRYCCTGDYGSPEKCRPTKRCTSPSVNHSIIKATSQD >Et_8A_057532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4020969:4023598:1 gene:Et_8A_057532 transcript:Et_8A_057532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SIWARIITFMAGTNNRGALMEDWMLPSPSPRTLMSSFWNEEFSSGPFSNIFSENCSNKIQDVIDKNKSSFDSSGEETVQDTKASVQFESNMFDSNENSTSHGGLAERMAARAGFGVLKIDTSRVCSSAPIRSPVTIPPGVSPRELLESPVFLPNAIAQPSPTTGKLPFLMPHNCKYVTPSIPKKAGDCLHDSAFSFQPILRSKPPNFLTADKGASVVHQDHSSANDNQRGESSLQSNSGGTKDVTDTNLVKPKTCDSMLDNDHPSPADEQESEETENGEYSSGPVTAPAEDGYNWRKYGQKQVKNSEHPRSYYKCTHPNCPVKKKVERSQEGHITEIVYKGSHSHPLPPPNRRSNVPMSHSSDLQADCLENFGSKPGHSTAPLQGSTPNGHIHDVHSGVLETKLSGSLTTTEIADTSVTESREAVDVSSTVSSSDKDEKATHGTIPSTFDRDEDETESKRRKMDVSAANNTTTSTIDMAAMASRAVREPRIIVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTQAGCGVRKHVERASNDLKSVITTYEGKHNHEVPAARNSSGHPSSGAAPQVTSLHQRPQLAQASIAQFGGIAAYGSVCLPSQLSAASGGFCFGMIPPGMALQVPSPGTTMPVHIPGHPPAMQHYTGLMLPRGEMKVNHEVQPVAARTVSQTYQQRM >Et_3B_031316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25536153:25538075:-1 gene:Et_3B_031316 transcript:Et_3B_031316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQQALLGYRYLPHPLKPCFLLLSVIPYDSEISRKKLVRLWIAEGFVQEKDDETLETTAEKYLMELINRSMIEVAIASSNGRVKMCRVHDLLHDLAVSLSTNDRFSIILRDKGSSHNARRISLQTSHVSFSKEHKKRLRSVFMFSSGAPVVLKRNIVAKIFKLVRILDLEDGNVLKLPKEIGGLLHLRYLGLRGTKLKKLPRTMHKLYHLQTLDIRRTQIKIITFQIRCLRNLRHLEMRQDDQSIRIPMGLAQLDKLQVLTGLHASPAVVQDIASLSQLKKLSIENLNIEGAENLCSSVNNMKELSYLSISGDDTRPLDLATLKPSSCLQKLHLAGTLQTLPDWFDHLRNLTKLRLSFSQLEDDPLPVLGLLRNLLFLQLNNAYQGRVMRCCCPGFLKLKIFIITELEELEEWDVDEGAMPSVQEGTGKPDCRRIFFSGVNIA >Et_6B_049237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2165470:2166778:1 gene:Et_6B_049237 transcript:Et_6B_049237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLPPTSSRKGKVVGEEQQLRPLERGTGDLEKMVLSPCSEVSSKASADSCRVVRIMAEAISLIESTSRLLPPRASFQRREGCVRGAAVAKCEHLIAAWEILSFYLGG >Et_5A_042188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7217378:7225469:1 gene:Et_5A_042188 transcript:Et_5A_042188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAAVMAPAPAAPKSSLEVLLETIKKRDEQPKDAPPALPARPTSRGRLPTSRRPSLPSGFKLENGVAKEFGTDAMPVENKPDIGKDMAGLEPKEEKAAKGRIFGTKRKLANAEALDESPYVANSHEDRNEATVCKDLPSVSSAMAKMNGKPVSTDIMDYVLQKKLRVWCSSPNAKWELGQIQSISGDDAEILLANGTVLTTSPERLLPANPDILDGVDDLIQMSYLNEPSVLYNLQLRYSRDLIYTKAGPVLIAVNPLKEVALYGKASIMQYKQKIKEDPHVYAVADLAFSEMLRDGINQSIIISGESGAGKTETAKIAMQYLAALGGASGMESEVLQTNAILEALGNAKTSRNHNSSRFSRVVQRAQGERSYHIFYQLCSGAPPVLKKKLFLKSASEYNYLRHSDCLRIDGVDDANRFSVLVDALDTIQISKEDQMKLFSMVAAVLWLGNISFSVIDNENHVEVVSNEGLATAAKLLGCTTNQLVTAMSTRKIRAGNDNIVKRLTLTQAIDARDALAKSIYAHLFVWIVEQINHSLGTGRQSTWRSISILDIYGFECFDKNGFEQFCINYANERLQQHFNRHLFKLQQEEYLEDGIDWATVEFVDNTDCLSLFEKKPLGLLSLLDEESTFPKATDLSFANKLKQQLSGNSCFKGDQEGAFKIHHYAGEVTYDTTGFLEKNRDPLHSESIQLLSSCKCELPKHFASAMVADSQNKSSLSWHSVVDTQKQSVVTKFKVQLFKLMQQLENTSPHFIRCIQPNSKQRPRLFENDLVLHQLKCCGVLEVVRISRMGYPTRMTHQQFAERYGFLLFRSVASQNPLSVSIAVLQQLNIPPEMYQVGYTKLFFRTGQVAVLENAKKQMLHGTLCIQKHYRGLQCRQGYRELKKGAMTLQSFIRGERARIHFDCLVKRWKAAVLIQKYTRRRLTATMFNDQLKHIILLQSVMRGCLARRKYQCLQNEKETKITPKVQGDARKSISESRETNGHYPDQPVITELQGRISKAEAALRGKEEENVMLKQQLEQYEKKWSEYEARMRSMEEAWKRQLSSLQLSLVAAKKSLASDEVATRVARTDAAPTPAQYDSEDTVSTGTHTPELVEWRHQSYNPESKVSAGNSDRRVNAVNHLAKEFEDRRQVFEDDAGFLVAVKAGQVGSNMNPDEELRKLKDRFATWKKDYKSRLKETKVNLQKVGTHDERSRKRWWGKKSSK >Et_7B_053719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11406238:11408986:-1 gene:Et_7B_053719 transcript:Et_7B_053719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KISSVDMPRSESDRDEVFFDAFEDIRSPSETCYSECSTSDEGWPRKFEYEIWANEPMSVQERRQRFLKGMGLNEFAGTRMDSLQCSGEITAVDSFTDMEERTVSSISSSDSSVCDTESVADGACCIRDLDSGRKYIVHNGAHGSLTNMLKEVGSDKVMSFVEFESLLGLSRSVQKVLRRGYGNSPARDAKGAKDIKSSWKKFMVNRSFGGICKCDVHVKNCTTSIPSKARVQHRKKNFVEFSAVYMDQEIRAHKGAIRVMKFSPSGWYLATAGEDCVVRIWQIIEVETSPMLYRGKDSHEDVEKVKVFKTNLAKGQNQALAVIPKKAFRISETPLNEFRGHTSDILDMTWSQSDCLLTSSKDKTVRLWKAGCDGCLAVFKHKDYVTCVQFNPVDEKYFISGSIDGKVRIWDVLDKRVTDWADTRNIITALSYQSDGKGFIVGTITGACRFYDQSGENIQLGKELFVQGKKKSTASRITSLKLCPSDSSRIIITSKDSKIRVADGDAIQKFNGPSKSKALSSPYMTSDGRYLISAGKDSYVYIWNFANSSGDTKSVHSCEMFFSKDVTTAVPWPGVHEDSHMKPSVIVPTLRRQGEGRSPGPRSFTDCTKGSATWPEEKLPSVKPENDPQLGDCLSMVSAAWNTVIVTASRDGVIRSFPNYGLPVRL >Et_3A_027223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5122118:5123250:-1 gene:Et_3A_027223 transcript:Et_3A_027223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISIPMAAPAIRQGWCHGPALPMRRSPAAATRCAALRRSMSGDRGQYGGALVDEGMSVLRRRIREARMVETNYEAPAEWAAWEKRYYPAYVSDVSGVVGALQLMLMSTRPSVAIAVAALVLGSVPVSAVAALHHLAHKPILSQIGRSIGAVDKLFCLPPKH >Et_5B_043219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18564519:18566414:-1 gene:Et_5B_043219 transcript:Et_5B_043219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAILPSPAPTNRHIGVQHGALTADRAAALLTGCASARRASELHAAAVRTGVDQDRAVAFRLQRALLGMYARAGDAAAAHELFDEMQPDPHVVSVTAMLTCYAKMGALDDARRMFDGLPRKDFICWNAMIDGYTQHGRPNEALRLFRRMLGSGVEPDEVSVVLALSAVAQLGMAESGKWLHSYVKNSARVRLNARVGTALIDMYYKCGSLEDAVAVFDGLSDKDIVVWNSMIHGYAMHGHSREALEMFTQLRAQGLWPTDITFIGLLNACSHSGLVAKGRKFFQSMEQEYGIVPKIEHYGCMVDLLGRAGLIEEAFDLVQSMEIKPDAVMWVSLLAACRLHKNMALGQRIADYLVANGLANSGMYILLSNIYAAVGNWQEVGRVRSMMKASGIQKEPGCSSIELEEINDLVKEHGHVPQTELVLHDLDDATKEKALAVHSEKLAISFGLISTPPGATIKIVKNLRACSDCHAVLKLISKITGRKIVFRDRNRFHHFVDGSCTCGDYW >Et_8A_057102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21141242:21145346:1 gene:Et_8A_057102 transcript:Et_8A_057102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSDSETTNRGSMWELDHNLDEPMDEEAGQLKDMYREKKFSSILLLRLAFQSLGVVFGDLGTSPLYVFYNIFPHGFDDEEEVIGALSLIIYTITLIPLMKYVFVVLRANDNGQGGTFALYSLLCRHAKVSTIPNQHKTDEELTTYSRQTYEENSLAAKVKRWLEGHAYKKNCLLILVLIGTCTAIGDGILTPAISVLSASGGIRVQNQNMSTDVVVIIAVVILIGLFCMQHYGTDKVGWLFAPIVLIWFILIGSVGALNIHKYNSSVLKAYNPVYIFRYLRQGKSERWISLGGIMLSITGTEALYADLCHFPVLAVQIAFTLVVFPCLLLAYTGQAAYITCHKDHVVDAFYRSIPGTAVVIVMLVTTFLMVPVMLLVWKSHWILVVIFIVLSLMVELPYFYACINKVDEGGWVPLVIAITFFVIMYIWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPLIFSHFITNLPAIHSVVVFVCVKYLPVYTVPVEERFMMKRIGPKNYHMFRCIARYGYKDILKKDEDFEKMLLDRLFIYVRLESMMDGYSDSEDFTIMEQKGERSTRALLLTEKAGSNTMCSTGDLSYSSQDSIVPAKSTVTGNSLTRYSSQTFGDELEFLNRCKDAGVVHILGNTVVQARRDSGITKKIAVDYVYAFLRKLCRENSVIFNVPHESLLNVGQIYYI >Et_9A_061159.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19367051:19367272:-1 gene:Et_9A_061159 transcript:Et_9A_061159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQEEVVELLGYAGRRLLSSGPGSHPPRCTSKCGGCNPCYPVHVSVPPGVLVTTEYYPEAWRCKCRNQLYMP >Et_5B_043249.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:19993542:19994189:1 gene:Et_5B_043249 transcript:Et_5B_043249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESPWNAAVVCAAGGSSGDHSGGGPFRVAVVGKLKVSGVPCARVYSSETGAWSAPTTTDQAVDVDGGGGPVALVGDALYFQFGFSNDRVLRYDMAGHALSVIARPPHYGRGTVLMPAEDGDGGLGFAGVDLDNRLRLGLRKAAGPNGWAAPHRIIDLKEVLPLGVGRHKPRLMGVADDGAYAPTRMTTSSSSTSSHSRRGRFASVTDHPASFPT >Et_9B_063797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14489766:14490685:1 gene:Et_9B_063797 transcript:Et_9B_063797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHLQLQGGVQHAPAPRRHLRPPPRSSSSSTAAASRTRPPARPSTTSCAACSSRRARASSCPSTTGAPPSTGTRAPTTTAGPRSSGSCRSRGSAAALTPGPACSSPATAPAATSPTTSPSAPPTRGSRFPATSCSTPCSAATSAPSRSAGSTASTSSRSRTGTGTGRRTCPRTPTGTTRRATPSGRTGAASWGSPSPRASSSCRGWTSPAIGSWRTPRGSRKTATMSSWCTARRRR >Et_7B_054143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1588223:1591522:-1 gene:Et_7B_054143 transcript:Et_7B_054143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKAAQLSGRTLERVVVHPLVLLSIVDHYNRVARDTRKRVVGVLLGTSSRGSVDVTNSYAVPFEEDDKDPRIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHALFNGYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVSSKLAALKGLDARLREIRSYLDLVIEGKLPLNHEILYHLQDVFNLLPNLNVNELIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDSKPTAIPTAAGS >Et_7B_055319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9370864:9379973:1 gene:Et_7B_055319 transcript:Et_7B_055319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPKQQLDGASLTSAGHPNDASVSLLLPHCSAGPARLHRFACRRVGKTGPCGGAEEDDEEALWGVALERPPTHEPVRSAPAAAAAAKGAVDVDVLCLGPHERLERLMRVADEDSKRFLLKLKERFDSHVPCATARVEVVASSDNVHHRISTKTKERRAKGAPQILVIEVRFQNLDAEAEERVSSSGLPTVLKTSTQLSTRSRKRRMRYTYCPAADHARPPRRQRHYQALQVVKPPPLSFLFLISIMLGAETRCLLGQPGVQFYFFFCRALQIGLISTMNLLGLDFLATS >Et_2A_018425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27842671:27847547:1 gene:Et_2A_018425 transcript:Et_2A_018425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKVVRILLSPYVVLRYVTTFGLISTEGQQARVFMVTKRNKSAAANNLILSLHVRHHRKLNN >Et_10A_001882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9763269:9765062:-1 gene:Et_10A_001882 transcript:Et_10A_001882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRRRLSLVAALLLVVAAAARSDAGVTSAYRRKLEATEDMPMNADVFRVPPGYNAPQQVHITLGDQTGTVIWRCGRMARTRAKYTSGFIHHCTLKNLKHSTKYYYAVGFGHTVRTFWFTTPPKPGPDVPIKFGLIGDLGQTFDSNRTLSHYEENGGDAVLFMGDLSYADRYKYDNRRWDTWARFVERSVAYQPWIWTAGNHDLDLAPEIGEETPFKPFTHHYPTPYRASGSTQPFWYSVKLASAHVIVLASYSAYGKYTPQWMWLQDELKRVDRTETPWLIVLMHSPWSNSNGYHYMEGEPMRVQFERWLVDAKADHVHAYERSHRVSNVAYDIVNNKATPVRDASASVYVTIGDGGNIEGIADNFTRPQPAYSAFREASFGHATLDIKNRTHAYYAWHRNHDGAKVVADGVWLTNRYWMPTNDDDSS >Et_2B_022722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3667788:3670639:-1 gene:Et_2B_022722 transcript:Et_2B_022722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAAAAASTAATFATARPRARLAVSCSASNSDAGNTSTTTSSKNVVSLAASSVKTFSAALALSSVLLSSAATSPPPAAADIAGLTPCKESKAFAKREKNSIKKLTQSLNKYAPDSAPALAISATIEKTKRRFDNYGKFGLLCGADGLPHLIVSGDQRHWGEFITPGLLFLYIAGWIGWVGRSYLIAIRGEKKPAMREIIIDVELATRLLPRGFIWPVAAYRELINGELVKPKMAACRISCHYHPSSSSMAVVSTRSGARKAAFRLNTAAHGINSSPHLSFTAERNKEMFEDQLRGIVCYKDEKGDMICEGYDEGPRLGVRLAEKACFPWPVGVQVTDFIHMATLQVFEDADDLPLKG >Et_4B_039791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29876808:29879081:1 gene:Et_4B_039791 transcript:Et_4B_039791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQRRLALEQEVAELKQQLSNEETVHHILERALHQPNSVVLSSIPAFIPGKAKELLAELLLVEQEIARLEAQIHQMKGGLAAMRQQPPQLQAAAPIPASSPSSKDDTIKSMFFITQAMDGDYLNKVKSPKQDRLIRHAAGMLSPSKLTTLHNNSSSRHSLDDNNNNKQPPAPNKMMTQQLAELPIMMKTATNSNTNNKMVLQQPNKLSERIVKCLVCIFIRLLRSSRVAELADKQSSSGSNGSFSSRIDTGLLINVDKQERRGQQDHYGIFAIQDAIVRDVGPYKNLVRFTSSSFDLRGFSTSPLLTKLRGMLEALQQVDLRYLNHQQKLAFWLNVYNTCIMHATINVSGQKFNALVIENFILRQPSSVKEEFWKCDVDVEEQEVRGLYGLSTSEPNILFALCCGIRSSPALRIYKADRVLVDLEKAKLEYLQASLVVTSTKRLMIPSLIHSNMHDFAKDMESLVRWICDQLPTSWSLRKSMVDCLRWQAHSKVDDVVDVIPFDYEFQYLLPIGAIDKSILLDN >Et_7A_050566.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:25142176:25142205:-1 gene:Et_7A_050566 transcript:Et_7A_050566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRIFPV >Et_1A_005468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10661200:10661870:-1 gene:Et_1A_005468 transcript:Et_1A_005468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFFELTGVIVVSIFTPLLFRTVGFASQKAILGSIITDVVSLAATALAVDRRSLLVLGGAGAMAWIFGARLGADGGGDQAAMPRVYAAAVVALVCVYVAGFCASWGAVQWVVTSEIFPLEVRPAALGLGGAISGALTFAQSQSFLEMLCRLKYGAFVFYAAWVVVMTAFVAAFLPESKGVPIESMAAVWERHWYWKRFVRPAPDKQAADGPVRAGPH >Et_9A_061103.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:1595076:1595360:1 gene:Et_9A_061103 transcript:Et_9A_061103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LQQLTPSGTDNLSDLWLSARKKVQKDRRKGFDAAVVLTMWKVPTERQSVQWQDTTATATATNQRRDQMLHPGGEFTIVASKVRLWLVKSEQEVA >Et_1B_011208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18839113:18841079:-1 gene:Et_1B_011208 transcript:Et_1B_011208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVTSSISTWPILAKRAWSRCRTHHIDHITSELGVEPGLGDGLERDLARHGYPERLLHVEPLRGDAVGEPPREGVEQAGQSELHHPEAEAVAGAHPAAGPERQQLHVLPLNVDAAAGEPLRPELLGRLFVTPHPRARRDVVAGEGGVLAGQPHRLLDDGLHVEVLGDETVAIDDTVQLLGGLVEDLGIPQQLRHGPLDRHRRRVRAGDEEVQDHRLDVVALDGPRVLGELQELVRHVVGHERVLALAPPALVQQPVQPLSLNRFILRMYPCGSNRLSHGMMSPKLSAPFRNSSSLSIQPNSSAVAASAVDAHMTPRLSMNRWSLSDTTAPLDAASSFAADVLVHPELPRGEQLGLVQLAALAPVLAVRRPQHVGGVVEGVPPGRRLGPVDERRVVGLEKKSGRVHGGGHHHGERPEPERHELGQLVDGSVRQRADQVHVADHRPRPRARREVVLLLARAAAPDPEDGDDKESRGEHHAEDGGPWGLARCSSSFSSWFYIREWPYIMVVDRVR >Et_8A_056744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16171999:16176060:-1 gene:Et_8A_056744 transcript:Et_8A_056744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPGCSNAVFASFTVLTILLGASIFAFGIYLGAPCHRSGSVAATITDYERLLCEPTLILGAAVVVVSMAGIAGACCRASLLLWLYLFLAALLILLAIQTPPEAHILPNTCRFNALPPSSSLPVPVQAQYNYPPPLFSPASRRAHPLCTSNRLHPPMARPGCSNGVFASFNVLTLLLGASILAFGIYLGAPHAHHVSGATDCERLLRAPALILGAAVVVVSVAGIAGACCRASVLLWLYLFLAALLIVAALCFGAFALAVTSSGAGQAVSGRGFREYRLGDYSGWLRRRVENERNWGKIRSCLAGSGVCRSLQNNRTFDEFVNDNLSPVQSGCCKPPTDCNFTYLNETYWIKPPGPSNSSNPDCVTWSNDQSELCYNCQSCKAGVLGNLKNSWKKIAIINAAFIVLLIIVYSLGCCVLRNNRRHKYSRVG >Et_10B_004018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9305075:9312249:1 gene:Et_10B_004018 transcript:Et_10B_004018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPLPEPGGHPPAALVAGLNVGSLVHASSERGVRARGWGAGPRQFPYSDLAASTCFFSEEQLGEGGFGSVYHGYLEDMDLHVAIKRVAKSSQQGRKEYISELIGWCHDGVDLLLVYELMPNGSLDTHSHNKNNVTSWELRCEIVLGIGFALLYLHQDWEQCVLHRDIKPSNVMLDTSFNAMLGDFGLARLVDHNRQSHTTVLAGTMGYMDPECMLTGMASATSDIYSFGVVILEITCGPRPILVVQDSEEYATMHLVQWVWELYSHGRILDAADGRLNGEFDGEEMECVMVIVLWCAHPDRTLRPSIRQAVNVLQLEAPQPNLPEKMSVAMFMPPVDFFIKEMDRMLVTMLWFPHPADRTMRPSLQSMCIGFGPLPNLRYVCSTTDNFTAGSQYQVNLNTFLTNLQPSTIAGGGFADSTVGNGTDKVFGLAMCYADRDLNQCQGCLRNITRDMQQVCPFSREVKVCYDACVLHYSDQPFLSVADLDIDHYVLWVNSWVADMASMNATRWSLMTGLVPEAASSPLRFANGTKIYTDSQGSTQVMYGLAQCTRDLDAGECVRCLNKFVPELSRSRPNDTYGTVKGYSCYVAYRVGVDLGITILPMAEPPMQPPDPSPPLPPGTPSPSSAALVAGVTVGCVVIVICIGILILFLLRRHRGNARKLEEEPLEDGFERRVGPRRFHYNELAVATNFFSEEEKLGQGGFGLVYHGYLRDMDLHVAVKRVSKTSEQGRKEYISEVKIISQLRHRNLVQLIGWCHEGGELLLVYQLMPNGSLDTHIHNQNVVMSWQQRYEIVLGIGSALLYLHQDTEQCILHRDIKPSNIMLDASFTAKLGDFGLARLINHSRQSHTTVLAGTMGYIDPECMLGGKASTSSDVYSFGVVLLEIACGRRPIVVMSDTEEYATMHLVYWVWEFYGRGRVLDAADARLNGQFNPKEMESVLVTALWCAHPDRNRRPSIRQAMNVLRLDAPKPSLPVKAPVSRFLSPATHLRSERRSVTARSGMALLGV >Et_7A_051244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16031551:16032371:1 gene:Et_7A_051244 transcript:Et_7A_051244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIRGVDQSQTSYWARINEYFHANKSFESDRTQCSSMNRWSAIQRDVNAFCGCVTRIEDRNQSGVSVDDKIAHACALFKAEDKKNRKFGLMHCWGILKDKAKWIERHKQIAAAKAASNKKQKTKGNSSPSSAAPVLTPGTSGVDAAPAEDSSKRPDGKKMEKRMLRQLSTIEALDYLVAKLKEADDEKELKKELKKDERCNKSFALQEETIKLEREREKFEFQRELEDDRILSPDLSDMPYRK >Et_4A_032035.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:13029558:13029737:1 gene:Et_4A_032035 transcript:Et_4A_032035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGRVVLFACNRVNGKKAALLVPAVVSGLICGDSVWTFPSSSLAKIKPPICMKFTPGS >Et_4A_033519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24025820:24027225:1 gene:Et_4A_033519 transcript:Et_4A_033519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQEKCAESSGKPVRTVCVFCGSRPGNRPSFSAAALDLGKQMVERQLDLVYGGGSGGLMGQVSKAVYDGGRHVLGVIPSALLPEEVSGETLGEVKVVRDMHERKAEMAKHADAFIALPGGYGTIEELLEIIAWAQLGIHNKPVGLLNVDGYYNSLLSLFDKGVEEGFIDAAARDIFVLADTAGELLTKLTEARQLVAAVAEEEEEDAAGGEKGAAAGVKRKRS >Et_3B_031702.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:8089215:8090009:1 gene:Et_3B_031702 transcript:Et_3B_031702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNHMFAALKGLNRIASSIVSTANNGFGRVLEFTGSFNDRTSLLLADEAPGAVAEQEPTTTPPPPSQSQEASAAPVTTTTRVAFDIEAETLVGDSEQGQGPTAYQDAEAKRVAKSVHTVSLFAASASLMMFFNLPGAAAAAEHGGAVLYIADIAFIILAFFTSLGLSMYSIVARSGADDAASMARVQKRAMVMAVAFVLVSFTLRVCMMLPAAALESSAWLVFVLLAGAVAVYLALLAWRFGGAAARGAETAGGSGGAADRV >Et_9B_064690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17707879:17725352:1 gene:Et_9B_064690 transcript:Et_9B_064690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSSAQKLNTAFPCRAGQQQVAPGAMSSLLAFLLFCSLTLAAPASADPFYTYCPSSKNYTRRGAFQANLNTLLSSLPGAAAVLSGFVENAIGVAPDQAYGLAQCRADIDESDCLACLVTAAQDLTSKCPSQKSAMNIYETCLLRLSDTSFFGAVDTSVVVSLPTSQNATRPAQFTSTLVPLMGNLTEKAAYASPRMFAAGSVALTPLVNMYGMAQCTRNLAAADCNRCLVSAVAAIPSCCNEKQGGRVIARSCSIRFEVYPFYNVSAAEAAMSTAPSSSPGGGPINGSDHSVPESTGSRSKRTARTALLVSLPATVSLLLVLMVALYLRKRIRKPHGRAQMANVNGHGEDEEMRGSQLVLYDLTTLRAATDNFSDENKLGEGGFGPVYRGVLRNGQEIAVKRLSTTSQQGLVEMKNEVVLEIAVKRLSTTSHQGQVEMKNEVILVAKLQHKNLVRILGCCIQEHERRLLVYEFLSNNSLDKILFDSGRQQELTWGQRHKIIEGIARGLLYLHEDSRLTIIHRDLKASNILLDRDMNPKISDFGLAKRFSVDSSIGNTSRIAGTYGYMAPEYALHGIFSAKSDVFSYGVLVLEIITGRRNAYTQASGHSEDLLTFVWRRWSRGSVQELLDGCPADGRQPQEVLRCIHVGLLCVQEDPLLRPGMAAVVIMLNSRSITLPAPTAPACTTAVDAQRSGDHQGPRVAARLPSINDVSVSDLEPPMSSLLTFILLCSLILAAPANAGPTFTDCPTNTNYTRGSAFQANLDALLSSLPTAAVAASGFARNVTGAAPDQAFGLAQCRADVDASACRACLDASVQDMASTCSSKSAMLFYDNCLLRNADASFFGSVDTTGEALTNTQNVTQPAQFASALDTLMKNLTAKAAYSSPRMFAAGSAALAPLENVYGMAQCTRDLSPDDCNRCLATAVGAIPGCCNGKQGGQVIYRTCSIRFETSLFYNARAAEAAMSPAPSPAGGGPINGSDNSVPGSNGNKRTVRTALLVSVPVAVTLLVLLLVARYLRKKNRKPHKHVHIESVTRHEEDEEIRSSESLLYDLSTLRAATDNFSEENKLGEGGFGPVYKGVLQNGQEIAVKRLSATSQQGHLEMKNEVFLVAKLQHKNLVRILGCCIQEHERLLVYEFLSNNSLDKILFDPARRQELSWGQRHKIIEGIARGLLYLHEDSRLTIIHRDLKASNILLDKDMNPKISDFGLAKLFSMDSSVGNTSRIAGTYGYMAPEYALHGIFSAKSDVFSYGVLIITGRRNAYTQALGPSEDLLTFALESGERAGAARQLPGRRPPAAGDSEEDPQLRPGMAAVVIMLNSRSITLPAPAAPAYAVVVPSRTVTAAVDAQGSGMDLKTPRMAARLPSINDVSVSDLEPRWPIPAEFTTPKPGSKPLVPPPDSILGLFE >Et_2B_020530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20993001:20998298:-1 gene:Et_2B_020530 transcript:Et_2B_020530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSRRLHPVLRRLLLPASAPSPRPPPPHQFYSQTPKPFPILFRRHLSSTPPPPPPAAPPPAVVSSDLPAVGVNGVCPGCGITMQSSDPALPGFFLLPSQKSPDYRARLAPVTADDTSISTSLKSGQLREGLETSQGAEPPAPAEDKGESKVLVCARCHSLRHYGRVKRPDAERLLPDFDFVAAVGPRLASTSGARSLVLLLADASDFDGSFPRAVARIIAAAGEAHRKDWKHGEPANVPRALLVVTKLDLLPTDSLSPDDVHAWAQSRARAGAGTDLRLAGVHLVSSARGWGVRDLLDHVRELAGPRGNVWAVGARNVGKSTLLNAIARCSGVAGGPTLTEAPVPGTTLDVIKVDGVLGAQAKLFDTPGLLHGHQLTSRLTREEQKLVQVSKEMRPRTYRVKAGQSIHIGGLVRLDIEELTVGSIYVTVWASPLVPLHMGKTENAGAMIKEHFGLQLQPPIGQERVKELGKWVKKQFKVSGNSWDANSMDIAIAGLGWYGIGLKGEAVLGLWTYDGVDVIPRSSLVHERASIFEEAGFTVSKIVSQADSRTNKLKSPSRKNKKKESRASTSPSTIRAAYFYLEQKPHRGPSPYFS >Et_2A_017934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8012131:8013081:1 gene:Et_2A_017934 transcript:Et_2A_017934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNQAHDNNMPEGTEAVEEITKIPISILARLMHHVMPLNTKINMDTKEAMSQCVSEFAAVLIREARQVAREDSRVVVSGDDIISALGRLGFSDYVAPLSLFLRRYCVSQGIVPRGWKAELSQQAPSSAVAAAPVEIQQQPEPDLTLGLALPGPSDVTELGMNENVYKLWPGAAGSMPPAGGDQ >Et_7A_050695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10156997:10160530:-1 gene:Et_7A_050695 transcript:Et_7A_050695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVSDGGNGGNSAEGWRAEEAIAGNRRALEALRELVAYPFLYARESRLLGLKWPRGLLLHGPPGTGKTSLVQAIVRECNVHLTMINPYSVHKAHVGEGEKFLRDAFSEAYSQAARGKPALIFIDEFDSICPRRNSRRENESRIVGQLLTLMDGNRKSLQTLPHIVVVASTNRVDAIDPALRRPGRFDSEIEVTVPTVEERLQILKLYTKNLHLDENIDLQIIPASCNGYVGADLQALCREAAKLAYHRMSNLSEGDKVLKLLMEDWESARSLVRPSMTRGEAKEISTVSWDDIGGLKDLKKKLQQAVEWPIKHSAAFARLGISPVWGVLLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSKYVGEGEALLRRTFQKARLACPSIIFFDEADAIAPKRTGPGGNSGGNATVGERLLSTMLTEMDGLELATGIIVLAATNRSNAIDAALLRPGRFDMVLYVPPPDVEGRYEILRIHTRKMKLGEDVDLWKIAECTELFTGADLEGLCREAGMAALREDLSANLIRNTHFQAARNSLNPSLTKAVVDEYANAAINDSSTRRIH >Et_8A_057878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8639929:8653466:-1 gene:Et_8A_057878 transcript:Et_8A_057878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPAADAGLRRRRTLVLVNLASVLETTDEVLLPAVYREVGAALGASPTALGSLTLCRALVQAACNPLAAYASARHDRARVVAVGAFLWAAATFLVAVSGSFLQMAIARGLNGVGLALVVPAISSLVADYTDDHTRGAAFGWLQMTSNLGCIIGGSFGVLLAPVTFLGVAGWRLAFHAVAVVSFSLGALMWFFAADPCAKSKTSAASATATDEAREVLRGARGVLSVPTFQIIVVQGIAGSIPWSALNFAPMWLELVGFTHWETSVINGLYLFATALGALFGGLIGDPVARKFPNAGRIVLAQISSASAIPLGAVLLLALPNKPSTGVAHAAAFFVMGFAISWNASSTNNPIFAEIVPDKARTTVYALDKCFEAVFASFAPPIVGVLAERVFGYKPVSSDTSVDTDRENAAALAKAVYAEIAVPMAICCLTYTLLYCTYPRDRERARRDLLMASDGQLPLPEETRDDGAVRAREDEESSVALGRLSSSTDAARRLIERDPEFAGDA >Et_9B_065393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4593293:4596908:-1 gene:Et_9B_065393 transcript:Et_9B_065393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKRISLDQYSRNNCNVEPVDIGEIAQLQNLRLLVLGHNDIGGSIPEEIGDLKMLESVGDLRTLKELDISENKFKTEVLASIVKLGNVTRLFAKSAGLIGRIPRELGNCKKLNTVQLSSNSFTGSIPEELADLEAIDTFLEENNLSGLIPDWVHNWVDLHDISLGQNMFYGPLPLLSLQYLVSFSAETNMLSSSIPSELCESNSLKSLLLHKNNLTGNIKETFKGCKNLTELDLRESETTIFMVICLITWLSYLRLQLSLNNFTGKLPQKLWESSTLLEISLSYNKLTGSIPVSIGGLSSLQRLQIDSNYLEGPIPQSVGALRNLTNLSLRGNRLSGDIPLELFNCKNLVTLDLNSNNLTGDIPRAISQLSFLNSLDLSQPTVGFHGHIPIAIKNCAMVTVLNLHGNFLSGTIPPELGDLKNLAAINLFFNALVGPMLPWSAPLVQLQGLFLSNNHLDGSIPINISQILPKITVLDLSGNVLTGTLPNSLNYLDLSSNNFHGAIPCGICNIYGLTFANFSANHIAMDSLADCAAAGICIGNDIDRMTIHSSHRVLRAVMICVILAAIAVLILFVVYLIWKRLRSKPLALVPASKSNASVEPTSSDELQERKSREPLSINVTTFEHALLRVTADDILKATENFSKVHIIGDGGFGTVYRAALPEGHSRSRGFMVIRAALPEGQVVAIKRLHGDHQFKGDRRFLAEMGTIGKMKHPNLLLANRAEARPPLLGYCVCGEERFLIYEYMENGSLEMWLKNRTDAVEALGWPDDRLKICLGSARGLAFLHHGFVPHIIHRDMKSSNILLDENFEPRDISACETHVRTSGTFGYIPPEYGLTMKSSTKGDVYSFGVLMLELLTGRPPTGQEEVEGEGHLVGWFQVWREQMLCVLSVARDFTVDEPWKRPTMMEVVKGLKMAQTMECGRESL >Et_3B_029848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28427887:28431955:-1 gene:Et_3B_029848 transcript:Et_3B_029848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPPAQPDAAAAAAPSTTSDSAPPPAPPANPPTSAASTVAPPTSDSTSTTTTTTPTPSTGTTPNPAQTLDAPVPTPAVVRPPAPRMRPPYTHLASPITMSSASSPATSGASVSSASVPASSSAASAAPRGGVVIGVPAPRPAQTPVGYTGFVPPLPLSHQFGSAHRGPEQPPTSSAQFRQPSPGIQNIGMVGSLSATQMRPAAISGPQQPRPGLPSSTTPVSSISQMPGSQRTPAPALTRPMSVSSPSPALQQPPQSASSVFRPQQRPQLPQPRPQQSAPQQQQQQQKQQQQSQQQSISNQNQQNTALKNQQQAARAPLPMAQKPDTPATPSATVMQSVNTATIDTDGTENGNRLLTKRSIHELVAQIDPNEKLDPEVEDVLIDIAEDFVESVATFACSLAKHRKSDTLEAKDVLLHAEKSWNITLPGFSGDEIKLYKKQHVNDIHRERLYLIKKSMASDTKASAAQAAANQKNQTQKPPAPGSP >Et_4A_033294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21098692:21101939:-1 gene:Et_4A_033294 transcript:Et_4A_033294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPRMLLVAALAAALCLAQLAAGDTNGVYEPCSDARIQRGDGFTFGVVFAGYNSFFSGQTQLSPCDRRLNLASSGQLAVFRPKVDEISLLTVNTTTGFNPASVGGYMVAFAGRKYAARSPPIFVSNSSFTVSSFTLVLEFNKGRLQNLHWKKDGCDACSGKSNFICLGKQTCAIRTQSCKSQGPVDCSIGIQLAFSGTDKHESVLNSWYEVSNLRQYSLYGLYSNLKDTLSGQFNKFF >Et_6A_046440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1515084:1523125:-1 gene:Et_6A_046440 transcript:Et_6A_046440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQAWDGSTRVPDAPAASGPAPAASVEKMEAPDGSVAAADEVKMEGTDGIAVAAEPAAASGASEAEVTATAGEGFAAEQEPAVAPLVSEKKMDTDEGGATGEEHSAAPAASKVNDSSISGEVKDFVPVASEEKMEVDEGGVPNQEHSASLVGNKVNVQSIPGEVQDLAPVVSEEKMVVDEGGVPNQEHSAVLAGNEVNVQSIPGEVQDLAPVVSKPEMEVDDARISEEKSTASPVESEVKMEEANGRVLHQEAATPGGALPVKEEVGECLVGRYIGKTASRHGRILIGKVASYDSATELYSVVFEDGNGEDLGLSQLQQLLMAEENGASGMKVSCRKRKLDLLVSSGSGSEVKAPPSTRQRVDSSEMSAKPDVSQQSDSGSDMSEDADTSSNSSDCTKETPSDPSPPVQIVELPPSSGDIAVPEETISYLFSVYNFLRSFNVQLFLSPFGLDDFVAAINCTVQNNLLDAIHVSLLRTLKRHLETQSTEGSQLASNCLKYILYLPFLTRKFMYLDWALLDALTWPTFLLEYLCVMGCIKDLGGQSFGRNLLAIEYYKLPVAMKLRVLQILCDHVIDSEELKSELDDREGYNEEMEYEMDSSVLSEAGSRAVSNRAAKASAYKRMNDLQNQESAPNVTDPEAVVANASQDGNSDDCRICGMDGTLVCCDGCPWAYHSRCIGLNKASLPQGQWFCPECVVDKLGPTSSRIERGARGAQMFGVDMCGRLFLGSCNYLLVIGTSSHTESYARYYNNYDVVKVIRVLALSDAYTDICRRIMEYWKDLRDIFQREISKIGKEVGAGQTPQSNMLSFAPLKDNVDSKTVALTQTNTHHGSVANQFTVCSAEQMERKCMTSLGADTKKNNEVCRQAQSAQNSIHNTSVNGVCRPSSASHHNGSVVSGVSNIAQIQPAQSLFHPVLSSISGAMSGSKPAKLSSFRPQAYMNLYTHGHIAASAAANLAIITSEEGKVSASQVAANPRKKMAADNALQLKAFSPAAAQFIWPSTEKKLMEVPRDRCGWCLACRSSAIGNKKACFLNMATANAAKGSARFLSAMHLIKNSDSHFASIVAYLANMEESLRGLLVGSLQDMQQKQRWHKQLQEASNCRTIIPLLLELESNIRSVAFSASWSKLIDDWPVESAGVSTGASRPAAYQKRGAVGRRGRKRLLASESGAATDDDNSWTWWSRGNISKRILQRGALLCSTLRKAARQGGKKRIASISYHEGSNLPRRTRQFAWRACVALSQNSSQLAFQVRYLDAHIRWKEFVPPDQIPSDGKSSDADFSALRNAIVCDRKIVDNKIRYALKFPNQRHLPVRVTKNILEAEDIQDGNSKLWFSENHVPLYMVREFEQKASVSSLSIPGVSAANSFTNFNGMRVKASVRDVFSYLFHKGDVYPCTSCKKDVLYRDIVKCSSCQGNCHKECTTRSIGSKGSNAGSSLICKLCLQKRSLMLTSYSTNASYIRPQQKINGQQPVTAPKITFKVGSSHSAEPPVKVTGQPVAKVQAQPFVKVEAQKIVSVKAQPTAKVEAQPLVTMGTSPVTNVVTPKISSLQTEPKTKTKKSKPEKPKKHKKVQAITYFGLVWKKNKNDSDGSDFRANDVILKSKDGIGSSLRPSCCLCNKTYSPDSLYVRCERCRNWFHGDALQLEEERIGELVAYRCCRCRRRAIPHCPHSDDYAKPEPEINEQTVATSSQSTMLSSEGTFAFADQDPLLASYGIVEPIGNGTVDADLSTNIASFASGSNQKLSIRRAQVKNFEYLDQTSRSADEYYIHDQRPGSANINFSHVNEYQLSEADSVDASELLGWDFSQGTAYAAPPDFSASYQTNDTSCGSFVAEEFEPQTFFSFTELLEADDAQLGNAFEMSTGLQSDGNCTGSFDHQGVNFDDMAFTVEDGYSNMSFPANVPSDEVACHNCKNSQPPPDLSCAVCGLHMHRHCSRWDESAQPAENANWTCGACREWR >Et_3A_023534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33095053:33096021:1 gene:Et_3A_023534 transcript:Et_3A_023534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGPSREERWSLAGKTALVTGGSKGIGRAIVEELAGFGVRVHTCARNDADLQECLRRWGADGRLARVTASVCDVAVRGDRERLVAAALDEFGGRLDILVNNAGQTFFGALAHPLLREAATASGGASSSSSVVNISSIAGFVAYPALSVYSATKGAMNQLTRSLAAEWAQDNVRVNCVAPGGIKLDPELAHKYAEAETARIPMHRLGEAEEIASLVAFLCMPAASYITGQVVCVDGGRTIAA >Et_10A_000853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18214800:18220905:-1 gene:Et_10A_000853 transcript:Et_10A_000853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPWLVFLLCVFVQSIVISADTDAGDAVGLSGIADSWNKRPSNWKGIDPCGDKWTGVACTGNRIVSIRLSSFGLSGTLSGDIQSLSELQTLDLSYNTDLGGPVPTTIGKLSKLENLILVGCSFSGEIPKELGQLSKLRFLSLNSNRFSGTIPASLGSLSKLYWFDLADNKLSGELPVFDGINPGLDNLTNTLHFHFGINQLSGTIPSQIFNSNMKLIHLLLDNNNFSGSIPSTLGLLNPIEVLRFDNNHQLTGPVPSNINNLTKLAELHMENNNLTGPLPDLTGMTALSFVGMSNNSFNASNVPAWFTTLPSLTSLYLENLRVVGELPQALFSLPAIQTLRLRGNNFNGTLNIGADFSTQLQLIDLRDNQITQYTTGGSKYNNKLMLTGNPICTPRSTDKYCAPNGQSNEGTPPPYSTPKKCAGLPPPCIPEQLLSPNCLCAVPYRGTLFFRSPSFSDLTNSAYYNELEKGMRDKFVLYNLPVDSISIHDPFVDVNNNLQMALEVFPSGKVQFGEQDISDIGFMLSNQTYKPSKAFGPYYFIGKDYNFANEMLSTPKSKTSRMPLIIGVAAGGAVLAAIVLGLVIFIARRKRTPNKNEERSQSFVSWDMKSTSTSIPQLRGARTFTFEELKKITNNFSEANDIGNGGYGKVYRGTLPSGQLVAVKRSQQGSLQGSLEFRTEIELLSRVHHKNVVSLVGFCLDQGEQMLVYEYVPNGTLKESLTGKSGVRLDWRRRLRVVLGAAKGIAYLHELADPPIVHRDIKSSNVLLDERLNAKVADFGLSKLLGEDGRGMVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLEMLTARKPLERGRYIVREVKAALDRSKDLYGLHEVLDPVLGASPSGLAGLEQYVDLALRCVEEAGADRPSMGEVVGEIERMLKMAGAAAGVSGESASNSMSYASRTPRHPYGGDSPFDYSTDGLPSARVEPK >Et_8B_059501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18561770:18567304:-1 gene:Et_8B_059501 transcript:Et_8B_059501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNSSLLAHPTPPPCLRLPGVSQRGVAPPNRSQIAAEWVHAELLCVVGTVKSSSTKENNDLSGESVYDDDDDNKSKSENLRFDKKSNMPTFCLEMTFRGKEEFKKEMLKYGCLSRNNVNAWMTDDVHSTNSEETHLLSGFMELKWQDHLLKRIIVAYCICYFVGSGVNVKGHDFELLPFGSGRRMCPGLGLGLRMVQVTLANLVHAFAWRLPDGVAPEELSMEEKFGLTVPRLVPLEAVAEPKLPAHLYSTGP >Et_10A_001014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20167111:20169456:-1 gene:Et_10A_001014 transcript:Et_10A_001014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAHSQRHSIADPPMGSNAHGSEGMLLRVERRRRPKKRMSTIHGTGGTGLIDEISGRLLSVDVTEYRRFRVVCSRWRDLTGDPRATSLDSRFCPRNWAVLTITSRRRRCHPRPRRLLNLATAASLGAGVPALAFHCHMCAADGHLVLLDRDTTVIRFVDPLSNAVTNFPALSSTRTADSVAMVFRKPWGINLRVINSAGFDDSTSPRDICLHTTHKEWKLPINMMVWRQLAPSPPTLVLCLRDMVSNIIVAKPGDAHWTLFDAAGKLLFYSLLSWRGRCYLTSPEGSVYVPELQPLPRLVQVVDQRALCAPGTRHLRHVLSFLVHGGGRMLMVRYWRNIKFFGGNKEAYRESDELFTVAGVTGRIEMLELDLAGRRLVPVRSLGRHVVFAGETHCVLVSTETFPSLPADTVYLGYRNQRVVNFSAYHLNSRGTEPPHQFCYDEFQRLLPCARPCNLDHYLACYVDRAHALSSNCIGHA >Et_2A_015965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19773167:19775889:-1 gene:Et_2A_015965 transcript:Et_2A_015965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELVLDTAIRDWVLVPLSVVMVLIGVLRYFVSKLMRSPVPSSPPDPKHVKEGQVVIRARNLRSNSQYIPAKAFKARKVYYTNEENGLLHVPKEDAQKAQAAMFSDPNMAMDMMKKNLSMIVPQTLTFAWVNFFFSGFVAAKIPFPLTLRFRGMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENATDDAQKMMQMGGGMGINPTMSLGAEKDSLDIIQHDWALPKMEQHAEDTLRKLLKK >Et_2A_015343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11467703:11471890:1 gene:Et_2A_015343 transcript:Et_2A_015343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSADEAVDAGGGQTSDPGAYATELKRKLDLYCAAVAKTMVRFLSLYLSLSPIPSDEAKTQESSLDYSVSEASDTSQLIFQASLDANIGTSKEQSNGDGDLEENTDPTDSTSFKRLRRLLSNRESARRSRKRKQSQLNNLHSQVSRSNRTMLKISPAKPKDPHISLLTAENASLLKRLADVTQKYKEATIDIQTMQRKVNIAEEAVRRVTGASMLVSPTTSDMLGSGVSFNSYPSDAASAAVPVEESMQRSPGAVASATCPDRA >Et_3A_026727.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:17373716:17374093:-1 gene:Et_3A_026727 transcript:Et_3A_026727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPGNASSTYCTMTSDSQIGRPAWRSTGIFLCTGLDAMRRSLLAPSGSCRNSYATPLSLSATHARITNGLAHHPHRGGGGGGGRHAHWGSVRLCHGRAVVVGSWRGQEVVGACQHARVGRGRG >Et_4A_034166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30463149:30465413:1 gene:Et_4A_034166 transcript:Et_4A_034166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKRTPANFPTPQKAHPYGGTVYQRPLERLNEGACSLSPSVSSNTSPPQLVTPVQLPKSLDSGSSPRSVVRSDPAADPPFDICLSLNKCAFKLKRSLIEINREKRRVSKDVPPLQYLRPGMVLLKGFLKPNDQVKIVKLCQQLGVGAGGFYRPGYRDGAKLGLRMMCLGMNWDPDSRSYGRIRPFDKAQPPSIPEEFMKFVQDAIKVSHELLEQGMGAANAVGELPPMSPDICLVNFYGTSGRLGLHQDKDETKDSLDKGLPVVSFSIGETAEFLYGDARDVDKASKIDLESGDVLIFGGKSRLIFHGVSNVKPKTAPDWLTDGTSLRPGRLNLTFRQF >Et_1B_009928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11513466:11514197:1 gene:Et_1B_009928 transcript:Et_1B_009928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSYFFSSSSEKKASKRRQQQQQQQESGNATRYLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAEEAAIAYDRAARNIRGAAARTNFAYPDLPPGSSVTPYLSPDISADQLHHYYAGNPAAAAQPAAPAAFDHQAAAHGAMSAYGTDDASMGYGGNAEMDMYAGGAGDMAWCDASELEFAGYGGDSAGNASHGVYFEEGYVHSPLFSPMPAADEVDGFQLGGSSSSYYY >Et_9B_065739.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:109512:110510:-1 gene:Et_9B_065739 transcript:Et_9B_065739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETNKQLTPAVAGEPKRPRKRSRYLSPPYTDADDAPAGEVCAADVLSALRAAALLGLDSQQGLNTAQALRFLALYRNSSSSAHTLSADEDAPAAGVGVGRKPLLNLSTPAMPTPAPGSTKKKKKKQNPQQTPAPAANASADPAVNAPITNAKRKRKHKKMMNNTGHQQGQHFGNPVALVLDFAEATPLPSTDDLLSTFRKFGFLIHSETAILQDDRSARVVFATRAEAEAAYRCAQTLGAPFATPRLQDLPPITLNAPPPLPKLPLKDVRKNLEKMISSLTCRSSSFEAGADDAPQGAKPAVGNLVGEMQGLLAKVDKMLQGASSTGHHD >Et_2B_021223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27674280:27680267:1 gene:Et_2B_021223 transcript:Et_2B_021223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSGDPMRFFKGSKVEVLQEAEVPLGSWRPAEIVSGNGHTYLVKHDASPVDSSVVVERVSRRLMRPCPPPSDGPVCWTVGSILEAFDSYSWKVAEVVKVLGKKHYLVRLLGSSLELRAHASDLRSRMLWQDDKWIVTQKHSGRCSDGSFRSRSKGGKYGCKLGKNQQLHHGVDKHFELENQEAFDDDMSRGVKRKSSAISTNLQCSEITKRLQTPHRDGRHLKLVDRGSLHLDEKVDAVYSPCFKLGEKYMHASLNMRKNGDLKTNYSGVNADDSYKWYTRTSADLSDTESISSSVGSSSPNIRPHSSKYDNLSYQNGDICSRTDDAEASTSEREISEHDKDGSREEETHLLELHAYRATMLALYACGSLSWEQEALLTNLRLNLNISTDEHLAELRHLGQGWRLLKHPSVGSCRWSRLPHGLLCDKCGNISIELPLTLFDDRKLECMDSTEALATVVAPNCFTSVTKNQIWTCMPVSMEGKPGCSTRARPLNDASKWKDLLGEGRLCPLQPACEDQLQAFIGLHFPYLTYRPRISLSSRFREAGAEQTRDQATAARPALGPGCAAPVPVVPSAVAAAAADEHNDHRYVAAVLLPP >Et_5A_042049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5657374:5662604:-1 gene:Et_5A_042049 transcript:Et_5A_042049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASEDAVKAAKVLMVGAGGIGCELLKTLALSGFRDIHIIDLDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLKFRPNISITPYHANVKDTQFNVEFFKQFNVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHVKGKTECYECQPKPVPKSYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNQDNDLNVRSKDDDSSSKTDVFERNVEEGLDQYAQRIYDHVFGYNIQAALANEETWKNRRRPHPIYVRDALPEDAFEQNGCSRGSKNEEQEPSAMASLGLRNPQEIWSLADNSKVFLEALKLFFEKRAKDVGNLIFDKDDQLAVEFVTAAANIRASSFGIPLHSLFEAKGVAGNIVHAVATTNAIIAGLIVIEAIKVLKDDYQNYRMTYCLEHPTRKMLLMPVEPFEPNKSCYVCSETPLVLEVNTKTTKLREVIDKVIKSKLGMNLPSVMIGSTLIFEDGDDLEEDEAANYALNLEKVLAELPAPVVNDTKLTVDDFLQELKCSINVKHRDEFDVEKEPDGMVLAGWSGPVDKQITSNGEKRSIPSSSSVDDVDGTAEDISAKSGTKRKLNEILESNENFDAAQNPTEVGSSSAQVVEDDDDDLVMLDEDPKLGKRKRLQ >Et_7A_050306.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:23241623:23242453:1 gene:Et_7A_050306 transcript:Et_7A_050306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSSQAHTQRRRRGGLWIPDVHQPLLRRCLDTMRDLEPKICASYGDHRSISDLDILGKRHKLARMMLVDGCFILHRLLKYAGLASRDNKGDDDDDDDWTQVFGRCGIWGLVTRDLLLLNNQIPFFVLRELFKHLKSSSTSDHVLVDGALRLRLFASLRPAPAGSSSTGIPCSKVHHLLHLFYLSIDISPTRSPPLPESRHALPPELQGWVPCAKELEDAGVRFRARKKQGQTIRSFLDISFHGGVLEIPALELYDYSEPL >Et_4A_035346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2173956:2176901:1 gene:Et_4A_035346 transcript:Et_4A_035346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLTPQHLLLLLVLAAGSRAALGLGAVEDTCTKATAGGKRADLAPFCVSSLQVAPGSDGADARGLAAIATNLTLANYTAAVAAVKALQLRGGWSPAERAALATCRTRYIEALNVVHSAVHALATGRARDYAADMAVVQSAATDCERAFEGAGAGKEEAAWESPMRKVDEDAVNLTTVAMLIKTTQRPLALLCVTMMVVSWLEGRLGIIEPQTL >Et_2B_020437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20073456:20077220:-1 gene:Et_2B_020437 transcript:Et_2B_020437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRALLERLARPFSSSRRDGGRRREEQEEADLEAIAAREQKAFRYETLEAATGRFAEKNQLGRGGFGPVYRGRLQDGREVAVKLLGAGSRQGAKEFRNEATLLSRVQHRNVVNLIGYCARGADDKLLVYEYVSNESLDKILFSSSAAHGRRGSYSEGDLSRRAELTWPRRHEVVVGVARGLLYLHEDAHTPIIHRDIKASNILLDDRWVPKIADFGMARLFPEAGDGRSRVHTRVAGTNGYMAPEYLMHGDLSTKADVFSFGVVVLEIVSGRKNSSFEPPPDSEADSLLEYAWRLYKKGRSLELLDPAVKSTAVPEQVELCVRIGLLCVQADPRLRPDMKRVVIILSKKQSTLEEPTRPGVPGSRYRRRSHGLRGSHYSAGSSSGTSSPSTSATSHASASASNALTTSSTHTMRSQGLPSHREEPE >Et_6A_046969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23398894:23403627:1 gene:Et_6A_046969 transcript:Et_6A_046969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVQVVTGKRRWVAQRWQVFLTSAHIICSRAIVLDEMKSTVFSAVVVSIGYTLLGWDFAALLEANIHMKKEFELVNGPSINSTTIAVSAFGAIVITIFSGSLLDWLGRRVVLVHSSVLLFFGGILMLWSPNIYILLLARLIMGSGSGLVFTCVPIYISETSPQNMRGSLGTMPHFMFFIGTIFSYCLIFWFTLMSSPNWRLMIGAIFAPSIVYFALLVFYLPESPRWLASDGKISEARVSLQWLRGKHDVSGEIALIVEGIDIISETAIGGRGQSFSGTSASQILPHSTFYWHLSDPLVDLLGSIHESMSEAGSRRNSFFPVLNSFTFAEHEQVNEHRDDDSEQQTREAYSGGEVNNGDGLRVSLLSQAPSVEVNDTNTSFTSEGSSSYLRRHGTPALAQEFMASLHDYDIEEQEEINATTVPDQPAPHYMQNTGRHPFRPQIMRLSETADMKSKWRVLLLPGVRHALCYGMLIQVLQQSAGISVLLRYTPEILEQVGVVSLFTDIGLSQHSTSILISTLNALLMLPCITAAMLLMDVCGRRALLLFTTPILILSFSIMSLSNVVKMGSLEHAILFHLTLTICFCSYVIGLGPIPNILCSEMFPTRARATCASICSLAFWFGGLLSAYCFPVMLSTIGLGGACGFYAFVCCIALPFIYFRIPDTMGLPLELIAELFKFSRHEYEKKRNRGGTGELAQTVVPCH >Et_3B_027560.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27629042:27632828:1 gene:Et_3B_027560 transcript:Et_3B_027560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAARAKDIAEKKFAAKDLQGAKKFALKAQALFPGLEGIAQMVATMDIYLVSEMKVAGEKDWYSILSVAPTADDETLKKQYRKLVLQLHPDKNKSVGAEGAFQMVQEAYTVLSDKTKRAVYDQKRNIRVLQQRTSQSKKASAVPGPANGFYNFASNCAAASKVTTKRQTTGQATRAVRQRTSQPPPPSAPSPPPSAPPPQPTPAPAPAPARCPAPPPAMPPTFWTSCNKCKMNYEYLRVYLNHHLRCPSCREPFLAKEVPMPPTENVAQDSNAHGANQSANSTRNFQWGPFSRAAGAASATASSAAAAQAANVVHQTYEKVRREREEAQAAARREEALRRKHNPLKRHTNMSENVHPGAGDVASSKKMRTLSKDAGVGMSSIVSGPAANYFTVPGGSISFSANTGAYQFQGYNGGPSWKPRPPNLSRTFTPMDCRRILLEKAKLDVKNKLKELESKRSQVASSEKASKKHVVKENGGDDETPASNDPTTSKDAHAHPEENDSGDSIDAENEDDDPLSYNVPDPDFHDFDKDRTEESFQSDQIWATYDDEDGMPRYYALIREVLSVKPFKLKISFLTSRTNSEFGPLNWASAGFTKTCGDFRIGKYENNDVLNMFSHQIKWEKGQRGVIKIYPQKGDIWAVYRNWSPDWDEDTPDSVLHAYDVVEVLDNYDEEHGISVVPLVKVAGFRTVFQRHLDPNAAKKIPKEEMFRFSHQVPFYKMSGEESPNVPKGSYELDPAAISKELLQDITETAKEVNGTSGC >Et_1A_006064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17286806:17291050:-1 gene:Et_1A_006064 transcript:Et_1A_006064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGEPSASASDPKGKKDYSTAILERKKSPNRLVVDEATNDDNSVVALHPDTMERLQLFRGDTVLLKGKKRKDTICIVLADETCEEPKVRMNKVVRQNLRVRLGDVVSVHQCQDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCDGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKARSHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLELIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSQGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEQSRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRKDNPEAMEEDEEDEIAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFSEQSTATTAGAAADPFASAAAAADDDDLYS >Et_8A_058362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5923157:5926215:1 gene:Et_8A_058362 transcript:Et_8A_058362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADEPTTTENEPKRRWMSGPYFLESSWRERCGSAPMRLKLPMTGHGLGPGGRLYKREDVSLSLWRMAAASTMERKNICPILNYKY >Et_1B_010235.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31472790:31472930:1 gene:Et_1B_010235 transcript:Et_1B_010235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADLRCLTLTGTSYPCQSREVICLIMLQLLLNTPLTSTGYFLELT >Et_5B_044006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18920621:18921788:1 gene:Et_5B_044006 transcript:Et_5B_044006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLKRKAHELGEEGLSAAIGEIAARTVSAAVGWYDAQAAVEDQLERLGTLAVMVHSAVEAAERVHVRGWRLRRWLWRLRDAASDADEVLRSFRQRRRAAEEASAAAPASVSKLWNAARRFLVSAKTMLLFAGVSFRPPPVSPSLYPSHRESLHSAWDLRNYDMFHISHEGYNAKRRNIILLMAKRDIRQATDKFRPRARPAASCIQPQDAGRLHALVSGIRQALGATDNLKVQGRRCWLAEWRRERRAVADRANHALLQQLAAPAAVTTARGRGDDDVRRAVESVETQRLLIWEAS >Et_10A_001617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5998590:6003372:1 gene:Et_10A_001617 transcript:Et_10A_001617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILDLKKIENCLVSGISDGDRDKLTTAELALGTYSVMVYDQPLTGSDPVMTYDLVNTIRSVCRIQQSSAVMALNHLSQEAFDLFDRIILLGEGHVLYQGPRQDAVTYFAQLGYMKPPHVESWEFLQDIAAENGMQYLLPRSTPRDLEELVECYYSSDHYLDIIRIISMGNEFSTYWVESEPGIGLSLTKTSMCNSNATSNEHQAIKVVVSKLLNKSASGVESTGNIQVGDVRLDQYLTDSNTIRFFPLYAMPMAISVFKLNDPTRNENEPQWEKLKRPYVQPWRASTRTLIQRQLRILKQLHVLSMLRLIQVCILGIFAGTLFYKLGGHYNLQNMNSVRALGFVATMSILLINMPQLPLYMLQRPIFYKHRNQRFFRTSSYVVAHCVTNLPQAFVEAFLYSVCVYFLAGLTRENNGAVFFDYLILMFLVAYFGSSIFFFLSAVASIPEVANALAGLIVSIFLLFSGFVIYPTNIPHYWKWLMHINPIRWANLSFCDQQFLGGYKDPCNKYVNHLTFCTVNTSMASGKAYMLYAQLLTSVSGKPWVSYMILIGWTLFAQFMAFLSLNKIVFSQMSQSVPQINERKFSKNILYDLESHSSSLDGGMEGSFGSGRYKPLEIPNLESRSTKGIYDDDSGSVRSWMEEYIAETESEQLTIPVMPITLTFLDISFFRCGTVTKEDAFDFENVTGYATPGTMLALVGGGTNGSVATLLKCLAGRTPPYGNFTGDLLVSSTESAADFSKYMGYVEQLDAHQPFLTVRESLQFSASLRLANGVSNRRRRIHVELVIDQLGLQYYANQLVGSLKYGTGKTFEVAKKLTIAVELAANPSILFLEDPLSGLDSSGTSGILSILSQLQTSGQTVIATISHPNTRTLSYFHQVIILTRDRHQAYFGPVGLNCHEILGYFTMTPRAPQYTETQNPISYIMDITGHGIPRTRIAATDLAKEFQNSHLCEMVRKAVKTTVRSNKLGKQKDRNMITDNYKYPASPIRQLGMILLRTQRFLWRNVNYTYSRFTGCVMIGLLMGSLYFKIKYDDTYGVTSRSLYIYMQTILIGVISANNVIPQIGTDRLAYFREMRSKMYLPILYPLSWVISEIPYFLIATLAFVGIGNGMAGIATQTAANFLTYWSILFVFTLCMTYFGMMVTFLAPNPILAAFLVSIITSMWVSASGVVVLFSDIRFYKWMYWTNPFQYAMSALTTISFYCDTSQCQTNCSCPQLPDGSYVWERLSSIRSLSQEKLNSDVITLAGMCTTFVVLAFLFFTTLKHNSPHAH >Et_10A_002339.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:9516061:9516249:1 gene:Et_10A_002339 transcript:Et_10A_002339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVECSDEPVLIKITDKNYRRIAAHHIDLSGKAFGTMAKKGQDDKSLGRPHAHTDVRNNHD >Et_1A_008905.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:1803770:1804081:-1 gene:Et_1A_008905 transcript:Et_1A_008905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEFTAAQLRAYDGSDPSKPIYLAVRGKVYDVTSGRSFYGPGGSYAMFAGREASRALGKMSKDEADISGDLSGLSEKELGVLADWETKFQAKYPVVGRLVDA >Et_3B_027885.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24814446:24815228:1 gene:Et_3B_027885 transcript:Et_3B_027885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSRCVACYRQWIAGQEVGLGELEAASANAAAGLSTDADVRAAVERCMAGYQDYVTRRRALSRDVDGTALFAPPWCTAFENCVLWLGGCRPTLMVRLLYSLCGEGLEAQLDEFVGGTRHGPPGRMGVTAAQLALVNDLHRRTLRQEGALTERLATLQEDVADRPLLPIVRLGSVDDPEVDAAMDSYKAGLARLLVEADELRMATARALVTEILTPRQALEMLVAAKQLHLSVRDWSRRREGGQGQPPQLASSRPVRPVP >Et_3B_028187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11576273:11579184:-1 gene:Et_3B_028187 transcript:Et_3B_028187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSILVVGGTGMIGQHVVMASLNAGHQTAVLVRPASVDDPGKARLVENFKTGGASGDINDHDGLVRVIKKSDVVISALGHTSPEEVDSQLKIVAAIQEAGNVRRFLPSEYGWYFINEKDMSAVAIKAVEDPRTLKILHMRPQENLCSLDRLVSLWENKLGKTLEKSYVCEEEIVKKILNSPFPLNFQLAVVHWTLVAKEPKLREHGIGASAGDEVEATELYPDMKYITVEEYLDSLV >Et_4B_038289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27975300:27977571:1 gene:Et_4B_038289 transcript:Et_4B_038289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSASPSPAISASTWRMSSLRAALPTLRPSPAGRLRSSFSSAAVVTAASVGCLGSFSGLAPFSNLLSLGSGFEHRLFGIDARGRIVAMRHGKRIPRLNRPPDQRKALLRGLTTQLLKHGRIKTTKPRAKAMRKYVEKMITLAKEGSLHKRRQALAFIYEKHIVHALFAEVADRYGDREGGYTRIIPTFPRRGDNAPMAYIELECYSTFSYSRLSLAVDHYLAHMRSYRFSNSECPLSWEHGKVHCRRC >Et_7A_051179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15160100:15160627:1 gene:Et_7A_051179 transcript:Et_7A_051179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SQQHCPCLGKVRPGGVGAILEQLQLEALLPIRQDVAPNAKGKKQCSPCSGRNQKVAEAGEMWTERMLNILEKLALGSSHATTLEPYDFQQGVCCSIAPVHQNWHVSQWVHKQKKSVGSPPLFGPKKKVILESGVLRIVVALKKTKMTCRRLVSLSEKPRS >Et_10A_001935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12303548:12308648:1 gene:Et_10A_001935 transcript:Et_10A_001935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSQPPPPAKRAAPPSSTFILDLGDDLLLVIFLRLPSLPSLVRAAISCRAFLAAVRSSPAFRRQFRAFHPPPLLGIFFDYNGRESPCFTPVLRRSDPDHAAALRGADVFLTRVPHRDDAFPGWRIEDFRGGRLLLQNFSTEQIAVYNPLSRTLDLVPIWPDEIARGRRGKVHITSFFLIASDEAGSFRVVCFCHDKSRVRAAVYSSSTGEWQILPWSVPARGRPARDKIWLLGGWQVNGRLYWAHSREAYMVVMDTAVLQFSFIDLPEHLKGQGHLYAAGEAKDGELCIVSVVEFVLFVWFRRADADGVTQWMIDDVIPLEEELLRATEGSRDDHSELKVLKVLDGIVYLCTFETFIDPEVPCWYLSYCLETRKLEKLFLKKDSGHDHAYIVAWPTCLVNNNMRPGLSGAKIQQNVGYG >Et_2A_018493.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:385487:387298:-1 gene:Et_2A_018493 transcript:Et_2A_018493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPGLQRRRSPLAITALLSRLRVAAGANSPKRLLFKTLALILTSGLSSSHPAVSSRLLNSLLPHVDRRHLPSLLRLLPRDHLTLLLLSAKHHVHSLPAASALHALAVSSGHLPSDLRLANSLLSLYLSLGSPSSARRFLADIPRPDTVTWNTLLRSCLHMGLLPAARRLFDEMPERDMVTYNSMLSGYAAEGDMVRARELFDEMPKRDVVTWNSLLAGYTQHGDMESAKKMFDEMPVRDVVSWNSMLDGYAQAGDIKMARMVFDGMPRRSVVSWNVILALYAKLKDWRECLRMFDAMMAVGKNVPNEKTFVSVLTACGNLGDLERGKWVHSLVRERWERLVPDILLFTALLNMYCKCGVMDTAREIFDSMSERSVPSWNSMIIGYGLHGHSEKALELFLEMERSGPKPNETTFICVLSSCAHGGLVLEGWWCFDRMVRFYNIEPKAEHFGCMMDLLGRAGLLKDSENLIKNLHGKVSPALWGTLISASRTQNNSKLGEFVGRKLIEMKPTEVGPYVLLSNIYAAEGRWDDVEKVREIMKEQGVEKDVGLSLVGSSEHEPQAVISSHRSGVMLSMLSEMGVLVKQPSEESHHRGGGLLVVP >Et_1B_012752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34936138:34940367:1 gene:Et_1B_012752 transcript:Et_1B_012752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGKLVVGLTRRHVISSGCSSSRLATDYLMVRNANCSFILSPDGNRNETEGGDHKAALRQKKLKAKTMKWRSSNKDMNSKGEGSDGIYDDDTVLCSLTAASFNSLISRKRVRTLGKVAQQCDAIDPPVPRKLRSAINKRAGRIVPGSSRHVKKRRHLSAISAQISSVDQKTRFNESSLLTEEEEVADALLSLSQTPSICELTTERTIADSSNINVSSAYYSEGAVKEGDRITLLPNTADELANQAVGIVERTDSVAHVHPVPGSTNQSNNTNPPLPENAQIQDLSLGVVANLPSPCKDSVNNSVQKQLKVQFDDSKSYTAQKPQPQASLWLVNSNKSDTLTHENVKAKSDSAPEIVPRIQTPLPCTPDGYLIKPSSSRLAVHADNVSELSKVTASGSHDKLSLVKNIAPTKAWKRSLTHVYVSHVIQMHLNKEKASQNQVKPDERAHINAPRPPNGSIFHKGNARDETCYAVHLDVRLPVQPSAGICDISAGRQKIVSGNFLNLPTSTALPGAQHVQYLHPQIAPRGQMPYQFPHLLHSRANMAHAATLQQMQQYMCNPGYAPRPGLTANPSAMMKLQQLIPTQQQQQMWQFHVPQYQPRPDAAPPSEAAWHSMSSLRPMPMLSSPAMPPQMELLCSPYQGGSRHPQQLRLM >Et_7B_054817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4686086:4689561:1 gene:Et_7B_054817 transcript:Et_7B_054817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWADAALVLASPSPAAACSSSSCGMRPRAAVESRLFCKGFPYSIRSKAGFQINNYRTSTFKVKARKEAGDGYTRLAPLHFETPSGQLLVQILQSHPHLVPATVDQQLENLQSEKDAQIEEASKVPQDLLYKRIAEVKEKERQNALEEIIYCCIISKFMENDISMTPALTPGGGPVQDISLLPNQEDKLQSIHSPDAFEMIQNHLNLIMGEKMAVPRDTVVEISNLNLGKLYAASIMYGYFLKRVDERFQLEKTMKTLPPNPKQQIVFENLQPNPFWDMESLVQITPDGEEISLDDEESSPNKLRSYVSRLDADTLQRYATIRSKEAVSLIEKQTQALFGRPDIKVLDDGTVNAKDGKMITITFTELTHLVLEAAAFGSFLWEAEKTGVRLFGVRATTASSEYTTKPRPKSVGWVGVGPD >Et_5B_044063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19659092:19662044:1 gene:Et_5B_044063 transcript:Et_5B_044063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGHPPVASLATARAVAAPESGGIGSQSMGMRPPGGVGVEREAENSQVTRDRERNIRCGILSPSSSENGMHKIRNCQLLIEERKVLPNVAFLTISVFNGAHSFGACSFDVLRLCAGIRRLSLFFQTSRDKECHIDLTCSSLQAKSTCPTGCICDQPTNWKTEVLSLNVLQEVEITGLRGTEHEVVFLKLLFNWAAPALEKMRVTFDYSISQSKAKEFCQKLSSFSKPETCVQFCIYENCYMWSAHLLSLEDEGIGNSKCKYLYHKLKDTSRGSYA >Et_9A_063009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7997825:8004991:1 gene:Et_9A_063009 transcript:Et_9A_063009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPPPPPPPLRQDFPAFPFPPYPIQRDFMSFLYAAISSGPGALALLESPTGTGKTLSIICSALQWLVDHRAAATDPARARPSGGDGRGEDDEPDWMRDFTPLPLLPEKERRKKKKPPAAKKTAGSVKLDGIGEECGDGGEADSSEGEDEDAEEEEEVTPKVYFTSRTHSQLSQFVGELKKTQFAGQLKTVCLGSRKNLCINKDVLKLRSVNRINERCLELQKNKKNSKIKVEGEKRKVSQAKTSCGCPMLRNRSLQKEFRSEVSNQGALDIEDLARIGKRIGTCPYYGSRDLIRSADLVVLPYQSLLLKSARESLGVNLKNSVVIIDEAHNLADSLSNMYNSKIMSSQLKAVLSQFEAYLDRFHNVLGAGNRRYIQTLTVLTRSFLRILTNNQDDACATSSMTINQFLFSLDIDNINIVKLCQYVKESNIIHKVSGYNNKLSGTEGGVDQFDLQQENDERSSLACFQALADFLCALLNCNEDGRIIVVKQKLSGQSEDGYLKFVMLSAEKIFSEVKRDAYAVILAGGTLQPIEETRLRLCPSLPQGDIKFFTCNHIVSPKSILPISVTRGPSGMLFDFSYKSRSSPAMIEELGRFLCNIVTIVPEGVVVFLASYEYEKHVFDAWTASGTISKISRKKHVFREPKNSADVEAILSQYKLAIQQCSLSSQHTGKNGALLLAVVGGKISEGINFSDGMGRCVVMVGLPYPSPSDVELIETIKHIESISVGNDNASGSNYDDECELQPGYDVLRKCNKGGREYYENLCMKAVNQSIGRAIRHINDYAAMLLVDSRYARTSSGRCLSSPTDKLPQWIKTQLTCAQNYGDALDVQAPPPVAIAHGVVQYWVGTEHTWLQGGGHPEHLVAEIQRLSLAVGNVAPAPRPEPGCKSPGSDGVAPFA >Et_7B_055679.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:21037183:21038763:-1 gene:Et_7B_055679 transcript:Et_7B_055679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTQCMYHLKKENNAPKNNGGVGETGMTPEQRTTTRTLLDADEFRRQGHQAVEFIADYYARMDQYPVHPSVTPGFLRRKFPEKAPSRPEPDAFAAALRDVRDLILPGMTHWQSPRHFAHFPASSSVVGALGEALTAGINVVPFTWAASPAATELETVVVDWLGKALHLPESFLFCGGGGGGTLLGTSCEAILCTLVAARDRKLAELGDSRRIADLVVYCSDQTHFAFSKAARIAGIPRENIREIATWRGDMFALSPAKLQDAMQADSDAGLVPLFVCATVGTTQTAAVDPVRELCAVAAAHGAWVHADAAYAGSALVCPEFAHAIDGAEAVDSFSMNAHKWLLANNDCCALWVKKPSLLVAALGTEPEYILKHNEEDVDVVDYKDWSVVLTRRFRALKLWLVLRCYGVDGLRDHVRAHVRMAALFEDMVNLDPRFEVVVARQFALVCFRLRPPADDEKKANDLNRRLLQQVNDAGSGAPYMSAANVAGIYMLRCAVGSTLTEERHVRDAWKVVQEQATSLLSQY >Et_5B_045401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21069899:21072841:-1 gene:Et_5B_045401 transcript:Et_5B_045401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLLRRALASSSSSQTATCRRYLIAALLSRPLTPLPPDSICRAPLTPAPEPPRRAFHGSARPLGFRATPASWDGPGSEAGAAAAEEGLEIARLGISPLIVERLAARGITKLFPIQRAVLEPAMQGKDMIGRARTGTGKTLAFGIPIMDRIIGYNEKHGRGRNPLAIVLAPTRELARQVEKEFRESAPLDTLCVYGGVPINQQMRVLNYGVDVVVGTPGRVIDLLRRGVLNLSEIQFVVLDESDKMLAVGFDEDVEEHANGGKCIVFTQTKREADRLAYVMGRTYPCQALHGDISQNQRERTLSGFRDGRFNILVATDVAARGLDIPNVDLLPKVPVADEAADMFNVMRDTRSRSVGSRRMGGSLFSREGYGGFDDNRSRSFGDFDSFGGSRDSGLRYRGGSVGFR >Et_8A_057584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4809816:4814067:1 gene:Et_8A_057584 transcript:Et_8A_057584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAPLSSSSGCRCFDLQKKASLSTLSGSKRESGVRLAQLNNIYGQYRSSRLHFDNSVFASSASNSVQTISPICLESIDRLRKLHVCHAGDSSEPVIINGKANPSNTLQADAVALGTIAADMAPVVDGFSADDDELDLDCPTEGFSSIPEAIEDIRQGKFVIVVDDEDRENEGDLIMAASKVTPEAMAFIVRHGTGIVCVSMKEEDLERLQLPLMVTTKENEEKLRTAFTVSVDAKEGTTTGVSAKDRANTILALASPNSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPVSVLCEIVDDDDGSMALLPKLRKFAEKENLKIISISDLIRYRRKRDRLVECVCVTPLQLQWGSFKAYCYRSLIDGMEHIAMVKGDVGDGQDILVRVHSECLTGDIFGSARCDCGNQLDLAMTMIEKKGRGVVVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANLELGLPADSREYGIGAQILRDLGVRTMRLMTNNPAKYVGLKGYGLSVLGRVPLLTPITSENRRYMETKRLKMGHVYVSPPSDLGSDSTDTGMEAAHDQNDGSDGKEQAPEALEEKLFLPVQADDPIRPVGEDDDDDPLVKPTRQLASRWWREAKPEYQKKLEIWKKEKRQRKKGDAKWSWSP >Et_7B_054814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4665776:4669523:1 gene:Et_7B_054814 transcript:Et_7B_054814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTAHTSTATAPNFLGSRRRAFTFRVSCSTAADRTVVIGLAADSGCGKSTFGRRLTSLLGGRAPFGGRAEPPRGGNPDSNTLISDAATVICLDDYRSLDRAGRKAKGVTALDPSATDFDLMYEQVKAIKEGRAVEKPVYNHVTGLLDPPELITPPKILLVKGLHPMYDERVRSLLDFSIYLDISNEVKFTWKIQRDMAGRGHSLESIKASIEARKPDFDAYIDTQKQYADVVIEVLPTQLIPDDNEGKVLRVKLIMKEDVEHFSPVYLFDEGSTVSWIPCGRKLSCSYPGIKFACYPEVYFGDEVTVLEMDGQFDRLDELLYVETHLSNVSTKHDERVRGLLDLTIYMDISDQVKETWQSVGTAMRASKPALKPGNPISTLTLVTVLEMDGRFDKLDELIYVESHISNLSTNFYGEVTQQMLKHADFPGSNNGTGLFQTIVALKIRDLYQQIIAERATTPP >Et_8B_058532.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:13807500:13807856:1 gene:Et_8B_058532 transcript:Et_8B_058532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTAAVPFWRAAGMTYIGYSNICAALVRNCLKEPFKSEAASREKVHFSVAKWTDGKQEKPSECRSFQIRPSPSCIPCSWVDGVRSAWFVLGFERLRVDLLPYVDLIDYR >Et_1B_012332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3104453:3108759:1 gene:Et_1B_012332 transcript:Et_1B_012332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATGEHTAAVAIPAPPPPATATEDAEKEKKKFRRRPARRPKQAGAAPAAADAAEPRSCRSMPPMHVGGGARADAEAEAAAAGTSQSCPLLPTPSPAEALPARVVCKGAPGPGRRYFQSHWPEQAVEEAIKRGHAFVGKFRVNAYNRNEAYCTIEGVPVDVIITGLAQNRAVEGDLVAITLDPVACWTRMKGPSVACNPAGGDSVVREVGETNGNHSGKKAQADASCRFENCSNGVPILDRMHHHHENSGFSQAVKCENGNATVSERYERDLNDEKNEAARALERICAMIYSHPSRRPTGKVLSIMKKSLRRDAIVGFLAPFSVLTDGEQQKNQVNGHCLKRMNHRAQSVFSDLIILLPTDPKFPQMVVSVSTLPDSVRQSLKEADAALDKELVAAQIDEWNEESLYPSARVVKFLGRGGHVKTHMDAILFENVISDAEFSPESTACLPDVYWTIPQEELEVRKDLRSVLTITIDPPTASDLDDAISVEALTGGIVRVGVHIADVSYFVHPETALDAEAQHRSTSVYTLRRKIPMLPPRLSEELVSLNPGVDRLAFSVIWDIDPQGNIISRWIGRSIIFSCCKLSYDLVQDLISSDSSHCRSSALSLQVHGIFEQEDVTKSLRCLYEVTKNLKEIRFKSGALSLDTAKLMILFDEAGAPCDSYRYVRNDACFIVEELMLLANMSVAEVISSAFPDCALLRRHPEPNLRKFREFETFCAKNGFELDASSSGQLHISLSRLKEKLQDDPVLLDILMFYASKQMQSAEYFCTGNLISKQDDWAHYALAVPLYTHFTSPLRRYPDIIVHRTLTAVVEAEQIYMKRKRSPTGQNGVINTASCQLMNHCFTGIQFSKDAAASEEGKEALSAAAKEFKVPSSDSLGEVAEHCNERKWASRRAEEAGQKLYMWALIKIKENVVCNARVLGLGPRFMSVYVPKLAMERRIYYDEVEGLSVEWLEATGTLVIDACRHRSPQRRGTQVKFRAMEEVAVVVNPSESILSEDDEESGATDEGERSDKSVLLSDDAVIVQASPATLPLVIHYLSDIPVVLHAIGGEDCAVDIGVRLYMSSYFK >Et_9A_062930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6982569:6986235:1 gene:Et_9A_062930 transcript:Et_9A_062930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGMCLTETLDEMVSSGTLSPELAIQVLVQFDKSMTDALENQVKSKVNIKGHLHTYRFCDNVWTFILTDAQFKNEETTEQVGKVKIVACDSKLLSQ >Et_3B_028414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1567280:1568472:1 gene:Et_3B_028414 transcript:Et_3B_028414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDSNMTGYQNSHVIIQDAWQHTKIRQTRTQDTMPTCSDAGQLQEITNRCTKGSKCSDKDGGSVASERKRVIIHVHSQRNKNIRIPCAKIIKLPGSVEKLFSIACQKFAGYCPTKLFHQDFAEIDDISVIRDGDHLFLMEA >Et_9B_065641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8505485:8510847:-1 gene:Et_9B_065641 transcript:Et_9B_065641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSEGKHCSRVKPEKALAGAIGAKMMVTTDRGDCERLKGLLDEEDATSMVVVMASNKAPATKPSQASIHPQLLQAASDGNWELLSYILSKASSPGVLEGVTTQGDSVLHVLSANGDGDIFIQCVSFINNMDEHLLSKQNKMGDTPMHHAARAGKSKMVTHLIGLAGNNDNIKQLLRMKNESKETALHEAVRIGDIDSVKNLLAADPELPRFPAEGSSPMYLAVVLGKGDIVQTLYDISNVNHLSYRGPNGQNALHAAICRNSKELTEKLIEWNKDLATESDENGSTPLHFAAALLWSQVRRKNIHLKVFEAYKSALYQSDSNGLFPIHVAASAGETKIVAMFIDKSPSSAGLRDAKGRTFLHVAVEKEQVQIVSYTCSNWSLTSILNMRDNEGNTALHVAIKVGSLSVFCVLFGNRKVHLNLTNADGRTPLDVAEYNIPSGLRYPQNSPVRIKQALVAADARHGTSRRDQFLENYISNQKESGSERKELEKLKDSTQTICLGPALIVTMTFGATFALPGGYRADDHHNGGTPTLAGRYTFDAFIMANALAFICSSVATIGFLFSGTSTVELKIRRTYLIIAILFGTSSVTSLGAAFALGIYMVLGPVASYTAVAVCMLVPFAMIFTIFEYFFKWLLVTRVLCRRIGLVKGFLRSAIRILKYALYGSWPLIVTFSWAAIAMHYRRHYGHENSRFRQFRQSNN >Et_2A_018643.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3907180:3907464:-1 gene:Et_2A_018643 transcript:Et_2A_018643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRTSKLLAQPRKQQKLSTAFTCPFCNHAGSVECSIDRKHRIAEASCFICKEFYATTAHALTQPVDVYSAWIDACEEENEGVRRQVSVVA >Et_2B_022329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18015099:18016030:1 gene:Et_2B_022329 transcript:Et_2B_022329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEARKDSRPAARSKTTHRLLVALNCGMLALGATGGPLLSRLYFSKGGHRQWLSAWLETGGWPLLLLPVAASYAARRARHGRGAPVLLTPPRILLAAAGLGLATGADDFIYAYGLAYLPVSTSAILISTQLAFTVFFAFLVVRQRLTAATVNAVALLTVGAVVLGLHVSSDRPAGVTRGQYWLGFALTLGAAALYGLILPLVELAYKRAAAGGGGRAVTYALVMEVQLVMGFFATAFCTVGMVVNKDFQVRFGNMRI >Et_2B_021759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5143418:5144718:-1 gene:Et_2B_021759 transcript:Et_2B_021759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRKRSRNSGSSSSSSNPAMRRTTSLSELAPPARAARGTSAAPAPAGTGSGAVFSHMHRRHSLDCFPVTETAAFLKVCGLCNRNLGPGRDTFIYMGEVAFCSHECRQKQMNLDGFMETMCFPPAGGGGSSDQSGKGSTIAAA >Et_5B_045030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8656672:8664536:1 gene:Et_5B_045030 transcript:Et_5B_045030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQGSLSSHHVRPRQRPTPLRSQNGPKRSEPTHSLSISPSPFPSRRAGVHQPRDMPSAAALGSRAAVAATCVAVLAAAAVLLHRRRLRNRAPASPRRLGGRRGRPRRACEEEEKPQSRFKRVLADNSYSAFKHLRRQGGQPGGEAPPTPQEESSQKVHPFEEEITSLLNKPPGFHSFMLKCPEMSTSYIWVDTEAQLEDLAKLLSEEKAFAVDTEQHSIRSFLGYTALMQISTQKEDYLIDTIALHDAMGILRPVFADPSIYKIFHGSDNDVLWLQRDFHIYVVNMFDTAKACEVLSKPQKSLAYLLEVYCGVTTDKTMQREDWRLRPLTSEMIEYARTDAHYLLYIANCLVLELQAKACDSSNNKISFLLDASHRSNMVCMQLYTKEIECPPGASTAASILSRNLQTHGLDSKRSSEVKSFVWKLCAWRDLMARMHDESLRYVMSDQAIAALAVSAPKSSREVLAVIAETDLSTSTSSTFPSLSSPSPIVVAHVEELCYLLEDTTASMDDIFKSVLEKYKDPSGLCRLSVYNYNLISQLSLKQTSIFVCASNGEKLLAAAPNKKSSRDLFIKKFSCKSPVYHNCRIYASDGRLLCYCDRKKYTQRNLAKLIEDNPPAIMLLFEPKGRPEDEDNEFYIQSKKNICVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHAAAEKYKRRVAQEFGIPLFVQKIVNSGNISLIADSSESEDKLNRTGVSPLQLRTAAMALLRHGSNMPSKRCEELMQIVKSYYGGRDVTPEDLEMALLVGMSPHERKRLEKKKGYSFRTQAQNIIRKSSNVTTLENTVHDSDNNHALSEPFPDDVTESNSLQEFDEAEIQNQREGSTLSQGSSSFPVCTDDSALDHNTVTLKIDTDQHASGAHIPANGHFDRDPSICGDTNQAISKNADKKISLLGHGHHGKQIVELLLSNGGEEAINQFCQRWRQVFVEAVHPRYLPSGWTIKHSGRRDFGDFSVYKPLKKDPQPASD >Et_1A_008599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8988690:8990530:-1 gene:Et_1A_008599 transcript:Et_1A_008599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVARLLLSLACLAAAGPATASAARAFFVFGDSLVDNGNNNYLLTTARADAPPYGIDFPTHQATGRFSNGLNIPDIISEHLGAEPALPYLSPELQGEKLLVGANFASAGVGILNDTGVQFRLYELGARRVIVTGTGPLGCVPAELALHSQNGECAAELMRAVNLFNPQLVDMVRGLNRGIGADVFVTANTYRMNFDYLSNPQNYGFTNVQVACCGQGPYNGLGLCTAASNVCTDREGFAFWDAFHPTERANRIIVGQFMHGDTDYMHPMNLSTILAVDREGL >Et_10B_003411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19525231:19525675:-1 gene:Et_10B_003411 transcript:Et_10B_003411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAHQLAVAGVLILVALLVAAPAANAVTCGQVVSMLSPCIRYAMGKDATTSPACCNGVKNLNAAARSTADRQTTCNCLKQQTSGMRGINPNLVAGIPSKCGVSVPYAISPSTDCSRVH >Et_3A_025245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28242594:28248441:1 gene:Et_3A_025245 transcript:Et_3A_025245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFVCLSCSGIHREFTHRVKSVSMAKFTTQEVRALEQGGNQRARDIYLKDWDWQRMRLPVNTNPDRIRDFIRNVYVDKKYAGGSSNKPATDGESVKSNENDVRRPSSYHSYSQSPPYDFQYEDRRYGKQVDTLVRRPSDRALFDGKLGNLLFSPGRLRDQIHEDRFANESSGSRFSDFSASSPGDLRNDVLSPSSQDTGYSSPSVHHSRNVSAENPQSQKYPSAASQIDFNEARHSQQTTSSGSFGSCDGSSVSNKSVESGHPPVAPTEKPGHSHSTQLYAFSPNKNIRNSLDLKFVPEKFVDLGSQTAPTGKPVRPGGPHVEAIELSPIPAQPTAFTSLDLFDQSTMQQPVTTAGTMDLFAGFNEQSWSVSHKTVGLRSQPDVAKEPAHTVLVQNTVAPSSFPAEAPTTSHPVHQDIFSLSMLKEPVTSLSAPQIDLFAGFDQQLPPMSSIQQIPSSVPSPANEGWAFFDTQQRGSLTPVSHVQAQMTAAFSPADGSAKGIDQSTLPSMPQNVVGSQSSPSVMDKCSLNAGMMKTPAYKDNSQPWNAFGESIQGTSNNLFAFNAMSQVAPHQSATPGAPYVGLRRTQDWPRGEPERPTPVDMFSCFQASPNDMTGPPFPAPLQPRLGSMVSHPRKSTNPFDLAFESGVDSNDMFMDLTSLQETLPDPHAPTDYSGALPETWMSQNSTMPCIPPEPQGIFLMHKYPSIEIEGYPMWQQKALTCCKLIETCTYSC >Et_1A_008790.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:1311188:1312138:1 gene:Et_1A_008790 transcript:Et_1A_008790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNLHDHRLIAEVPAMVHGAVGTVDAEIDMISGLPNDILVDILERLVTAGDVRTVTRTSILSRRWRFLPWPQLTSVALDVGDFFFDTDDQRCIASAAFWDQHRATARFTDSLARFLASPPSQRVIEKLALKFILTRRDLVRRVGELVVKNVELEILTEKICETSGELPNMIGYSKRFTDFPLGDGVLARSLTKLYLQFLWFEDQEEEGRDVLGKLECLHVRSCGLFRPLQPDVDDPDFHFPAVLTVDAPAESRLRTLVLDGFFAWRVDLVRAPALVELRHRWFLDNDDHPPVSFSRAWPCVTARSTKAVAPGGG >Et_2B_021753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5058991:5062600:-1 gene:Et_2B_021753 transcript:Et_2B_021753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPALGGKAAHLVSDLATVILNPVSERERQHHHHPSHLPEVTEGKESLYGDDDSDKDSGIPDGPDTSSFRAFLLSFMSSSRSSDDSMETIPEQNLDIEYPTLTPVGKTSSGRKGLLSRGKHSIGKLMSKAGRLNNFRQKSTHSVNGEIINQTDSVSPGSELKGSKESTYDKLPEMSEPSMLLSERMRAILYSSLPVLVQGKNWMLVYSTWRHGISLSTLYRRSMLCSGPSLLIVGDRRGAVFGGLVVAPLQPIIKRKYQGTNDCFVFTNVNGRPVICRPTGANNYFTFCSPEYLAMGGGGHFALYLDEDLLNGSSSTSETFNNPCLSYTEEFKVKDVELWGFVNASKYDEMLTICRTEKQGIWNL >Et_3B_031304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25146738:25152056:-1 gene:Et_3B_031304 transcript:Et_3B_031304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRSAGAGAGGFVGDEAIWRKLREAGLDEDAVKRRDKTALIAYISRLESEIYDYQHNLGLILLERKELNSEYEKLKASSEAAEIMLKRERAVQQSALAEARKREENLKKSLGIQKECVANLEKALHDMRGETAEIKVSYEVKFAEALKMMEAAQKKFDEAEEKLLAAKSLEAESIRSRNSAMRSLQDIEDREDQLRRHRNSWELEKELKEKEISLLRKSMDDTKKILHEKEQALLKEQAILNQRDDNILERLAYITQTEKRLEEEKLNLEGERKVLVEEKNKLDLKMQAIFSREEAVVQRESLLDKRESELLIFQETIASKERAEIEKLRQEQEITLAGRKDEFDREMENKLQSFEAGIEARKALLDQRETALVEQECAVAHREENVRLRLAELANKEELLLKKSDELVEEEGKLSSQRETLLIELQKEREEIQRLKLDSEKEKAFFEEEKREAIQAQQDLAITQNEREDLLTLQLKLKEEIESLRAQKVELMVDAERLHAEKERFEIEWELIDEKKEELQKEADRIAEERSVITEHLKTELDIIKHEKENLRIQFKNNSESLAREHEEFMCKMQQEHASWLSRIQQEREDLKRDIDIQRTELLNSAKARQMEIESYLKEKEEEFEQKKSKDLEYMSSEKATISSKLEHVRLELQKLEDERKEATLERERREQELSEIKKTIDALNEQREKLQEQRKLLHSDREAITHQIQQLNELEELKIESENKQLSLRVCEKSKHGRMEKVKENRFVLPTDEGHNASPEKCSSPKLIFGKKLEVSPSVSTPMTWVRKCAQVIFKRSPEKNADHDNDGLSQNGVPTKLGNLKDSSLASGRASELFADQLENGAEEIQDFGGAKVGKKRLSNTLSQDQCEILEPKRKHRRSTIQTNGPSVLEEKFSKNEHDAVPVLLPGSNKDHEHINKGLPDLTNGDLATPDNVYVVNGKADSLGFPEEDEPSEEISVSATEPLNGDEDNDEHDEDSDDEGEEDEKTSSAKKLWRFLIT >Et_3B_031462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30404658:30408382:-1 gene:Et_3B_031462 transcript:Et_3B_031462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALDDCKWRQIPAFGDWNMWEDMPVTQYFQSGAFFFAAQADKEEEDLFKVPQFGAKPYNYKKFSISYFEYTLVLHTEPSPANRETDNSVTNVECVVRVKGEKASDVPVKKIGRRQYVKEQQKWKPKGAVDEDLYKISPQLLCKVKKAKEISKEFAGRVPGPELHRLSERSLIRNYENYKLHRSKQSNEDPYSDTQGVTLRSAELRLLRARQVR >Et_9A_061347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10385667:10389908:1 gene:Et_9A_061347 transcript:Et_9A_061347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDFSDAGDAWMLDAMATSLLFPADSPPLAPPWPCGDKQHPSTTPDTTHLDDDAPGAPTGNSETTEIGEYFNGKCQVHLSLVDDYSDSSFFLKQRLTLALRYLKESTNQHLLVQIWAPIRNGGRYIRSTSGQPFVLDQRSIGLLQYRAISMMYTFSIDGDSLQDLGLPGRVFKQRVPEWTPNVQYYRSTEYARLDHAISYNVHGTVALPVFDPTVKSCIAVLELIMTSKKINYSSEIDKVCKALEICNERHQAALADMLEVLTVTCEELKLPLAQTWVPCKYQNLLTHFGGVKKSCFSAHESCVQESCMSASDVAFHVTDAFMWGFRDACVAHHLLKGQGVSGKAFILRRPCFSSDVTRFSKMEYELVHYARMFGLAGCFSICLQSAYTGNDDYILEFFLPPDCRNNDDQKVLLGSILAVLRQHLHSLRVATDDGSNEVCLQVGAITVIGNEEIEDAHIHHLNFKSGMHGTKESDSMTIISSTNYERRLLHENEFKCHAKTTSDFTSDSSLVDINGKHNGRRRGKAEKTISLDVIQQYFSGSLKSAAKSLGVCPTTMKRICRQHGISRWPSRQISKVNRSISRLKKVIESVEGPEGAFNLTAITCPVSVPFRQFSSLNKEKGRENKVTEVSIPSAQERKGSPSHNKPLESGGLLRTSRSQHPFLADISTLVEVEKASNLRSSSGEPSTRSGTSDESCHGSPANNTLDVSVTLVEPQQNMCKQDELKEEPSQGQNLLFSSLFANGSESSRHFRNRAISSVTQPTVAPTGNLMSVQNSDIITVKACYKDAILRFRFPLSGSFVALKDEVAKRVQMDAGLFDIKYLDDENEWVKLTCDADLAECLDISLLPGTSVLRLFVTDKAVIVGSSCGSTG >Et_4B_036219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11268674:11269696:1 gene:Et_4B_036219 transcript:Et_4B_036219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLATSLLLVVGLALLLPSVCAAEPIKTTPTQWSFHLPLPEGVTGAESLAFDRRGQGPYAGVSDGRVLKWGGSALGWTTFAYSANYRKIPLCTASEVPSADTESVCGRPLGLQFYAKTGDLYIADAYMGLMKVGPDGGEAEVLATQADGAPFRFVNGLDVDQATGDVTEVMMNADSTGRLLNGDRTHVVVAHTAPCQAFRFWIKGDKAGQYELMADLPGYPDNVRRDLVGVRLDKDGVEVEELTAAKGVTLSDVAEKDGQLWLGSVELDYVGLVH >Et_9A_062393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22412830:22414684:-1 gene:Et_9A_062393 transcript:Et_9A_062393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQFQIKFGHTESRKLHGLTVFFTSIHTIPQRSQRKFNSQSVSLNLYPYSFAGNLPGHHEDQVVPRRRQLRLLGRFDGDGMAPPLVHHPGDGQDEQPLVHGVSVRGEGRRVHGDLHVGSGDALGGRGEPERERLSVPHAVRGHGPRVDLRAVGGELLAVVWRAESLDAHLGGEVDAAEVVGRVGVAAGDEHGGVGQHGAGGVVHARDGGRGQLPEARAPRLGRRVEHRVEDGLAREAPAGAALGGPVEEEQVAGGEDEHVAHDAAHGHVLGLPPRVGPGQVDAAAVAERRLEREVLAQLERRLERAAADDHLRLGVAAVCKVAEVEREERGHGGRRVVARPAGEPGQLAHHLAAVPVVEDDGAVVGEDEEVAVGEEVDERVQVVLRVVGGLAEERHVDGPVVGLPGERDELVAERAAAAEHDEAAVGEHVLRRVPPALGEAVRVLHPVARVAGLHSNSTACAQQSMVPSSLARGSTRQLPRDRRSRDRPVVVVSNMTALPWRITTMCIADTPEFWLTMVHLPTGPSPDSTRSFTLSLAALLGGSEGGTLVSPVAVAGAAPCSSCSVCAGAAGS >Et_3A_024036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16487073:16492088:1 gene:Et_3A_024036 transcript:Et_3A_024036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPPRIIAACVHSSSSSPTTSLFASAISGALPSLPRLAYVDMLRCIGAAHSDSGERSRTRAASGQIEGSIDPLRGGGELFFPSCFTCSEAAARYVKCVVVGDSSTGKTCLLVSYVRNRFSEDHLPTVFDNINADILVDGNAFNLSLWDTAGQEVYNRLRPLSYRGTDVFLVLFSLISKVSYENVQKKWVPELRHHAGSVPIVLVGTMLDMREDNQFFPDHPDLEPISTSQGEELKMMIGAVAYIECSAKTQQNLMAVFSSVIKAALCKPKSKKSNRKQSGCFPIEAYWAVEQVDLLKCR >Et_6B_050030.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:4117609:4118655:-1 gene:Et_6B_050030 transcript:Et_6B_050030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARRRCPLLGGDTEVFCASLVEGLAHLESTLEVDGGGGVSMRWCADAMRLVKRMQRELLAIFRKADVVAAVAAVPGRKGGADERCGWFEQYMQETAALLDFCNAFKAAVSRMHRYCMVVDFAAQVGRAGAAAGADNAGGAVVSLVAGIADGWASPAAAVVREKLTSVKAAVAEAERLGRTVASSAGGDAGGGMVVVTLVAKITMAVVSIFVLQALTSRSPLDVADAGSPPAAALGCAVVVPELELWHKSLSLIHGRFPSRATVVEHEKVSMAVQDLISGQMDGGGGGGHGDRQDELVRTNVELLRARSGELREGVEMFDSVLDEVFDEVIRGRNEMLGILRDKALT >Et_9B_065298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3350441:3355444:-1 gene:Et_9B_065298 transcript:Et_9B_065298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAEPKGAAAVGAAEEEDGGGKEEKAAAVFCSICLDAVVAAGGERSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRKIEKGNWLYANGSRPAQDVNMDEWAHDEDLYDVSYSEMVRIHVNLTLQLFVFMYSIYLLLVLLPFRFHWCPFGRLAQLPSLFEEGESSPPVTFHDFMGQHVFTEHVAVSAAPGATHPCPYVAYLHPLPPLTSSSSSHVPERTMDRPAYHDHWNPLAGPSDGRPVPTVQPADFHHNPWAHMPNSYAPPNSNNGATEQPGIPFGTRAARVDGDSQRRASIVSPSNFSNGSGSRSRTPNVPPLMPQFMRAHGSINEQYQQNSSSSLFAGAHRSGGMRPAPTPLPENPTFSLFPPGSSGHSSMETDDVGGNRFYAWERDRFAPYPLMPVDCETSWWTSQQSHNTSEPTPAPRRLFGQWIGVGRSSPENRSPEGSSYRQMHTPRM >Et_10A_001081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20950386:20955954:-1 gene:Et_10A_001081 transcript:Et_10A_001081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHHRRRRRRALQPRRRQVLSGRLSARRHPSRTGPVRLGLVPRVARHRRRALRAPPPQPRHRRAARAPAGRHRRASEPRPIRRRRAQQQAQRGAVPESNLVRLPVAVARRRSQHRHRHMLIHNPRRLLSFARVGDDDGDKRWHWVTTLPRASRTSSAPPPPAPHGAPPPSAGAKPSRKPPASSTPPPATPGPAHRGPDIWGSSHGWLATADARSELHLLNPATSEQLALPASSAEYADYIYHDGAFYAMSRQGGIHRYTVAGNFASCEVIFMDTAVRCV >Et_9B_064277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13570704:13571363:1 gene:Et_9B_064277 transcript:Et_9B_064277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAIADGVDVISASMASHFVNEYHEDALAIGAFHAIRRGVVTSVAAGNCGPMLGTVTNLAPWMISTAATNTDRKIVSKVVLGNGNHFQVNAINTFPDVVKQALIVDPGQVPAIYFCCASKG >Et_1A_005193.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31605980:31606825:1 gene:Et_1A_005193 transcript:Et_1A_005193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPHLQLVFFSDDPLGLVDSVLNKYTCCVDVFEVHFKRESIFMLEGWFHVLSAKGVRSIELYFVPATVWEMFSSLNHYVHRDHKVGTRDLQTSPLPSTFPGFIQLENIFQLHDVTFPNNREMIFEALISKSSSFCSLDIQFPRFEGHKKDHIYDEWVIHAPKLEDFSIRSDDDCGWQIIELRHLLHRILLHATLHLRTPRTTPRNTSFTHTAYYSTQHFVYAHRIATSAYSLLNNHTTTQHKDHKTAKPKLLPVVVILFLPNQAATPIPLLPTGRPTATLG >Et_6B_049254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2379656:2384439:1 gene:Et_6B_049254 transcript:Et_6B_049254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAERWRYAMVCSSNMNRSMEAHLLLARAGLDVASYGTGGHVKLPGPSLHEPNVYSFGTPYRAIYDDLRRKDPDLYTRNGLLPMLKRNIAIKTAPQRWLENADDGPFDVVFTFEERVFDAVLEDLNSREQRLLKSALIINMDVKDNHEEAGVGAKLALDLCQQLEAVGAWEDTIDDIIAAFEKQYRRKIVYSISCSTVVEGEATASDPPVLF >Et_4B_039253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9182540:9185374:1 gene:Et_4B_039253 transcript:Et_4B_039253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEAQKPAAAPPPRDFLAHLEAYLARRDGVDKILKISRYAARLALAAGPPLPPAASARLKSFESSVGLSRKAFRLGKFVQSVNALRAHPHPPQALAILAYGGEGVYYFLEQFVWLAKAGLLPAHLLPRLQRLSAWAELLGYVGSVAIKLEEMAKIESSIKKQLAEGCGEESEAVKTMRGKLLLKRMSVVQDVADAVMALGDVTDGKGLLGSSTLMAFAGLLSALISTHKNWNSSNKFSKFIQAAIVELVQ >Et_10A_002231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4926585:4927089:1 gene:Et_10A_002231 transcript:Et_10A_002231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIADARNNPAKQPAALMSLGVILSAAALSLIIFKAPGSVFVRLNGSAPGFVYYGILIVVARGGAPPMIQSSSLAPPLVVAVFGLAEVSFGFWVVPRNLNGWHVASKTMLWISILLLVLVAVLGGLTFLK >Et_7B_055612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1697264:1701455:-1 gene:Et_7B_055612 transcript:Et_7B_055612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCLSAPDDDDGVASAPVTHEFTIRRYSQTKGIGHGKSILSRYFSVDGRRWYVRFYPDGYGTAPSEHIAFFAQTLYKPHLCGVRVHFAFELLKPDGSVAYTKRSDRPVNFDRCCNCWGFRAFITRTEFESANLGVLHEDSVRVRCTVLVINKARTKRRGGGWFDGGGAGAVMVPQSDFAASAMAFLRSGRAPFDVKFSVDGGAVFEAHKLVVAARSDWFAAAVYGHDEAWMEASMPCIPVHDTSPEAFEGVLHYMYHDTMPDDAWMKANGDAEMTRQLFEAADMFLVERLKKMCANRMCRFIKADTVEGIMELAKELERACQNHLSRRRAIAPAK >Et_2B_021687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4505252:4507009:1 gene:Et_2B_021687 transcript:Et_2B_021687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGKVLNDVKPYLAMVLMQVGFSGMYIVAVASLKRGMSHFVLVVYRNLVASVLMAPFALLFDRGVRPKMTARIFIKVVGLAILEPVLDQNLYYMGAKLTSAGFASALVNILPAVTFLMAVLLRMEKVRLRSVHSQAKIVGTACTVAGAVLMILYHGPIVQFPWSKGHHHAAAGAAASQSSASWLSGTVMVVVSCVCWSGFFILQSNTLQSYPAELSLSALICVVGTVLSGAVALVAERRDMSAWAIGFDTRLFTVVYSGIVCSGVAYYVQGLVTRARGPVFVTAFQPLCMIITAVMGSTILKEEITLGSVIGAAIIVVGLYSLIWGKSKDHNDKPGTAAVAELPLSVAAGANGNGKHHVLGGHIAADVETPAVKCAH >Et_5A_040578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:167782:170053:-1 gene:Et_5A_040578 transcript:Et_5A_040578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLSVSPSHQSCCSLGHLPSLSLSGRRRIALPSSPARRLRVSVAAPTQGQGQGVSSSVRRNAPEEEASEFDAGAPPPFGLADIRAAIPKHCWVKDPWRSMSYVLRDVVVVLGLAAAAARLDSWLVWPLYWAAQGTMFWALFVLGHDCGHGSFSNNANLNSVAGHILHSSILVPYHGWRISHRTHHQNHGHVEKDESWHPLPEGLYKSLNSATRMLRFKLPFPMLAYPFYLWSRSPGKLGSHFHPSSDLFQPNESKDVVTSTACWLAMAGLLAGLTFLMGPLQMIKLYFIPYWLFVMWLDFVTYLHHHGHDDKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAAKPVLGKYYKEPAKSGPIPFHLLGDLVRSLKRDHFVSDTGDVVYYQTDPEINR >Et_3B_031299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24994193:24995162:-1 gene:Et_3B_031299 transcript:Et_3B_031299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLFFLLFLVAMPLKGSDASWCVCRSDATDAALQKTLDYACGHGADCGAVLPSGPCYSPVSVRAHCSYAANSYYQQNSGAKGATCDFGGTASLTDTDPSSGTCKYPASASEAGTSTSNTTTPGAAPGGASSSGSTNNPAATPRTGGSFTTPIGADGPAPSTFSAAPAAPFAGRHAVVVAVISVLAFLVR >Et_6B_048786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13690831:13691510:1 gene:Et_6B_048786 transcript:Et_6B_048786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGILPDRPSHLTGLDAVDLSNNNITGTIPLGFGNCTSLQILDLSNNYLAGYIYLGSNENIFTNLELANLSNNHIRGVISWAYEIQHSCGSLTFLTMTLMELYLKGLRIFLDLSNNHINGLIPQVIGNFTFPLESLDLSNNDLNGPLPQEIAQVFPNLRDLDLSNNHISGAIPPEIVNSSTLHTLILRFNQINGRVPSLPKSLTFLDIRKPYPYEHRQRPS >Et_1A_008193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5015008:5017323:-1 gene:Et_1A_008193 transcript:Et_1A_008193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVVDLAPFLGSAGDATEEDVRALCATVSASLRDTGALLVKDPRCSAADNDRFLDVVERYFARSAEAKRLQERPHLHYQVGVTPEGVEVPRSLVDKEMQEKIKSIPEEFQPATPKGPDPKWRYMWRVGPRPANTRFKELNSEPVIPDGLPEWKETMDSWGSKMISAIEVVAEMAAIGFGLPKDAFTSLMKEGPHLLAPTGSDLQRHGSEGTVFAGFHYDLNFLTIHGRSRFPGLNIWLRNGKKMEVKVPVGCLLIQSGKELEWLTGGECLAGMHEVVVTKRTLDAIALAKEQNRSLWRVSSTLFAHIASDAILKPLGHFAKTPTAHSYPPICAGEYVEQELSVINLKGKDGL >Et_1A_005606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1236228:1239297:1 gene:Et_1A_005606 transcript:Et_1A_005606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGGGGLNRSTSRGQLPPQELLDDLCSRFLLNVPKEELESFERILFLLEQAHWFYEDNSVENNPNLKSLSFRDFTSLMFKSCTALRPYIAHLDDIYKDFNKYKFRVPVSGAIILDDTYERCLLVKGWKAGASWSFPRGKRNKDEEDHTCAVREVLEETGCDVSTLLNRNDFIEVSIGQQRVRLYIITGVKRDTMFAPQTKKEISEISWHKIDELLPASEDAVSRGVNGLKLYMVAPFLTGLKAWIATHPPLLHHKSEASARGSDVPHIDNRPGRSFRNFRFDAASIMQSMEASFLST >Et_6B_049201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19304162:19307065:1 gene:Et_6B_049201 transcript:Et_6B_049201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGRPVFVLFGSSIVQYSFSNGGWGAALADIYARKADIILRGYIGWNTRRALQVMDKVFPKDAPVQPSLVIVYFGGNDSIAAHPSGLGPHVPIDEYIANMRKIAEYLKSLSEKTRVIFLSCPPLNEEMLRKSTSSTILSEIVRTNETCRLYSDACVSLCKEMDLKVVDLWNAMQKREDWMTACFTDGLHLSEEGSKIVVEEILKVLKEADWDPCLFWKAIPTEFAEDSPYDLVSSSGESTVNPSEWTIHRTIPWD >Et_7B_055882.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6773802:6774296:1 gene:Et_7B_055882 transcript:Et_7B_055882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADREHRGAMGGGYGEGHRGMGGGMMGGGMMGGEGQGQQQRQPAMMTALKAATAATAGGSMLVLSGLILAGTVIALTVATPVLVIFSPVLVPAAIALALMAAGFITSGGLGVAALSVFSWMYKYLTGKHPPGADQLDHAKARLASKARDIKDAAQHRIDQAQGS >Et_5A_040345.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:15835450:15835497:-1 gene:Et_5A_040345 transcript:Et_5A_040345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWIKFNWDYQQPKF >Et_8B_060373.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1100274:1102307:1 gene:Et_8B_060373 transcript:Et_8B_060373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLINKYLRSKDRSKGMPPEESSHMCMPGCVPVRGAKRFSSSAAATTTTVTTTTTARTSRHNFVKAAASGLLAGAPFTNHESLPSLADAHAEFAAAFPQYGAVADAVRGEEYAHLDRHVCLDYTGINLFSHAQMNAASLPSTSAPAPAWWQPPFFDIAYKSTSLRTQLQCGEGGAGAGVGAAVRRRIMASLKIPEDEYTMVCTANRTTAFRLLAESYSFQSGGGGKQLLSVYDYESEAASAMADAARRRGADVSSATFAWPSMRIHAADLRKKLSRGRRRGNSGHRGLFVFPLASRMTGARYPYLWMSAAHERGWHVALDACALGTKDLDTFGVSLLRPDFIVCNFYKVFGENPSGFAGLFVRNSSLAALERSVVARSIGVVSIVPARRWSLHDAGYSTELDHSLSFPNLDADLDTSCSFSGPLSATAISRSQTLQITDDGEGVPEIREVEVDDTAVEPEAEAAAVIADDDDKKETEEEETVVECRGLDHADALGLIAIGNRLRCVSNWLVVAMQKLRHPHGGDINDGAPLVRVYGPRVKFERGPSLAFNVFDWKGERVAPALVQKLADRHNISLTCGFLRNIWFADKYEADRAVVLDYRATAGDGDAGAVSGKKKRKDAAAGEAGIFVVNASLGFLSNFEDAYRLWAFVAKFLDADFVEKERWRYTALNQRTVEV >Et_6B_049134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18545374:18548791:1 gene:Et_6B_049134 transcript:Et_6B_049134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARLLVRCSSTSAGPGQLPVRRSANYQPNIWNYGPIESLGTGIHGQNPLNLQQIDTPKFEKLKFHVRHLLTRAVEPSLRLKAIDLVQRLGVAYHFDEEISAVLNSVSMDSPEFSNRLSNVNSRALLFRFRRQNYSPASPELLRNLLDGKGGFNKTLLKDIEGLCSLYEASHLAFEGETFLDEAREFSAGNLNELMPSMHSHQRKFVAHALDNPLHWTAPRLHTRWFIDHYARDIGADPRMLQFAKLDFNNGKFLMMSFVFCSWWKDANLGEKLPFARDRLMECFYFACGVVCEPSFGACREVVAKIFSLVVLLDDIYDIYGTLDELTIFTNAIERWEATATEVLPEYMKAIYLTIFDTSNELAKLAMKHTRILFFGEPDKQYCVSGMTYARLFYWKQNGTTITTIQPSKNIRRTDGCLSLGH >Et_9B_065981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19142136:19145057:1 gene:Et_9B_065981 transcript:Et_9B_065981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFERGLVPQYITYRMLMKELKRLGLVELVQKLMDLMRSVPHSTKLPGSYRDKEGDDAIEKKKSILQKAQAVSDVLKECKDPKKLKLQRPEETDVEAADRILTSIRRRVYGGWEAAVFTAVDGQHQGGHQSTSDDDDTQNANQVLTVNVQDIDAYWLQRKITQAYGEMDLQQYQKLAEEILKIIAEGDDRDVENRLVMLLDYKKFDLIKAEDQEQLKKIEEEMVGDPSLALILEQLHATRASAKERQKNLEKSIRDEAKRLLKSDAAAADAARDRRAVEWDMESEWLKGQRHLLDLESLSFHHGGLLMANNKCELPPGSFRTPHKGYEEVHVPALKAKPYETGEKIVKIAGTPEWAKPAFAGMTQLNRFRAGSMILLSSNEIISFSVLRLVLGKQTWPCLQSCSRLVCI >Et_1B_011650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24577130:24579025:-1 gene:Et_1B_011650 transcript:Et_1B_011650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVSYVSAAKLLSMARVNNRFAIIDVRDDERSYQAHIPGSHHYASSGFAARMPELARTAANGKDTLVFHCALSQVRGPSCARMFSDYLSENKDSGIKNVMVLERGYNGWEALGYPVCRCTDAPCKGTCS >Et_4A_033181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19659988:19666495:1 gene:Et_4A_033181 transcript:Et_4A_033181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALCVKFAEILVSNVSYYVAGTACVLLHTSIYCLEKVVFDASLEDWVCYECLQKRGEITCSRSIEIVSSEWPPSHAHFGSTVHEPVTKRVESAMSVGLWRNRKSHLDKYKSPNKIVSSRMDSLRKIHFKRKSIMQPMDNCTNRRRRICTSTDGAKALESCETIGAKIAEGSNGENLQVENETVAMNIKPSEGLIMKTSHVVLGSSKLESSNPIAEHRNSVLGKSRDTSKGMTNSGANLSFEYTNNNIPTNQHTSDIWNEISGSSGHDNIELSESSGRFVECQKCSFCQQGRTLKMATTSSSLEESGEDIPTGNMSSEWDDLQALPGCDYVLSRTSDLSEAQKERVMAFIQDTKPEITVFVSVIGKNNVQPPGPYLSPGMSNKWHPLFYKRNENRKNMLMGRWLDFVRDNNVKEGDICVLEPIKGEERFTFMVYLLRASATHSTGGDGFQRVGQYAGVSIAKITSEVYNEGPINEEHVSSERVIHEISLKSLESEDSDDPFPPFYIVACRNDLSKSQKKIVEERVRAIRSDDPELSVRYAAEYLPSGGRTVVLQRMGKTWNTQMVIHNGRRWFLNGGWTKFARDNGLHVGDICLFELTKKEEELTMNVFDIDVDIDVVPSDWYLTDGVRGVAEHLVAALPVADGDKHELLDVDEHAGMEVLQLKTAVVRQRRRGTGAAPRQWPHPHER >Et_10B_003295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18627740:18632429:1 gene:Et_10B_003295 transcript:Et_10B_003295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRLRTSAVLRRASKDGGVLAAIRAELAHELSSSAPSSPPSFDSQEAPDFTTVSDAPKAQDVLLRRRTDSEEVLVSALLGPLQFADQEPLPRAALMKVFVAKPGSRPALHFDCRTVGEDGGAVDYAITNFRYHSAPGDAGDDKYEGPQFRQLHHRLQAALQEYLVARGVTSNLACSILHHLLQKERFQYVNWLKTLEERFTKDN >Et_5A_041050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17347925:17353760:1 gene:Et_5A_041050 transcript:Et_5A_041050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARACFITFFVVVTFLFLKGAAAAGCTNRCPVQRRQQSPDGDTIDSVHISKQPAFDHPFIKNHAIQIRPSNLPEGMSEVACHQSTKPITQIWHQNGKCPENTIPIRRIKEEDVLRAKSVKRYGKKRPMSIPNPISVDNKKTSILTGHQVCSTTEKHAVASSVEDKYHGTNATINLWQPTLGTPNDFSLAQLWIMAGSYDNQDLNTIEAGWQVSQEIYGDNNTRIFIYWTSDSYQTTGCYNLKCSGFIQTSNQIAIGGSISPVSIYNGSQYDINIYIRKDPEDGNWWLHLDTYVLGYWPAFIFSHLADNASKVMWGGEVYSPDSNQTTTPMGSGHFPEEGFDKAGYIKNIQVIDSSNNLISPSALRLIAKQPNCYNLNNSNNSDWGTYIYYGGPGKSPNCP >Et_4A_035774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5658452:5659113:1 gene:Et_4A_035774 transcript:Et_4A_035774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTYEHVEGVGTRPYGGRGGVGLAEDPRRAASNARRLTPGAVVISNNDWVVISNSDHPWVLPDGWIEPVRLQLNTFQSLAPPGHLPASSSSSLPDDERPASPAPDARAPIPSRWRAPGSALPGRRDMEEPKAPARGHRRHGSMGWVMHDYSIAGSSSPKRVPDGDSLPAGEAATQRSRVAEDNSWSSIAVEITLANPNAPEITRTAYVG >Et_9B_065468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5448648:5451193:1 gene:Et_9B_065468 transcript:Et_9B_065468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGMCLTETLDEMVSSGTLSPELAIQVLVQFDKSMTDALENQVKSKVNIKGHLHTYRFCDNVWTFILTDAQFKNEETTEQVGKVKIVACDSKLLNQ >Et_7B_054122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15736663:15746321:-1 gene:Et_7B_054122 transcript:Et_7B_054122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCEARWYEWLDPSIKKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPNDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRKRNRKRKGIDYNAEIPFEKRPPPGFYDTVGEDKPPEHLQFPTTIEELEGKRRVDIEAQLRKQDIARNKILQRQDAPAAIMQANKLNDPEAVTKRSKLMLPPPQISDHELEEIAKMGSAGDPALVEELGEGSTATRTLLSSYSQTPRLGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGDNPDLHPSDFSGVTPRKKEIQTPNPMATPLASPGPGATPRIGMTPSRDGNSFGLTPKATPFRDELRINEEVDMQDSAKLELRRQAELRKSLRSGFASIPQPKNEYQIVMPPITEDEKEETEEKIEEDMSDRLARERAEEQARQEALLRKRSKVLQRSLPRPPAASVEVLRQSLIKAGESRNRSTFVPPTSLEQADDLINEELLRLLEHDNAKYPLDEKSQKEKKKGNKRQANGAAFVPEIEDFDEVELKEASSMVEEEIQYLRVAMGHENEPFEDFVKAHDACQEDLMYFPTNNGYGLASVAGNVDKITAFQNEFEIVKRRMDDEAKKASRLEQKIKLLTQGYQVRAGKLWSQIQDTFKQMDTAATELECFQELQKQEQMAASYRVRNLTEEVNKQKALERTLQSRYGDLLSSYQRMQEQLEERRNQLKIQEAVEAENRAREEVAAQNHAAGEENERNCNVEEEKDQMNSVAHEEPAGSKRINKDQMDADNSNADGEFVGPIPPAPEHAQENNGEASVQENSSMTQGADYGAGNGEACGSMSLDAGNQEDEKNKLPTVGASISEGNTALSSDQTVISEENDMVSE >Et_1A_008495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8107181:8109517:-1 gene:Et_1A_008495 transcript:Et_1A_008495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKACEKPKPSYRKGLWSPEEDEKLRDYIIRYGHGCWSALPGKAGLNRNGKSCRLRWINYLRPGLKHGMFSPEEEETVMSLHAALGNKWSRIARHLPGRTDNEVKNYWNSYLKKRVEGKEAGASTPAPTTATNSADDSDDSQSVKAAGDGTAHELPSSDSDSSEPRESSSADSSCLTVAPKVMFADWLDMDYISSGQVAAAPGLDAVGAGASPGDHHQVASQGSVQVDGPCGVDVSSLTSLHGGFGDSGAGCWEFQEQFDGMDQMQTGGFCDLLSMSEFFGLN >Et_10B_003647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4269130:4283318:-1 gene:Et_10B_003647 transcript:Et_10B_003647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVQFVSANIAGYEHTRSGPAKIFGFVAARDTIMPLRNYVYTMQTCKSLFAPSFLSFMQIGLARLSLISPARVISFSTRVLNEFELQARSDEETDGDNGSIIEGCTDLYNMHATESFVQQNRVYGEKCALDIKYLVLINALEACIEVKVLSLGATPGGIDMKLCAKSSGFKEVIRIFQGAAPDPGETMSFIVAAEKRKGFDLYIEGSARDYQKLLPLSWRRSFIPGYHVMNENVAKLGEFATVSVKVTWRTHRKKALSGLTATTPVHEPCRKRPYSPSSPDDDDEDEWFVSDSEDEDHEVEGTYSPFTVDDIPRPSCDFKAQKFVVFRNSDARRRGPLPTMLFPAFKSGNHVFEDYNLADKSEISVRDVENCLDECRCCSMNSLQFIDINISRYRHTRPGRAQLSGFIAAREADEPLRNFVYNREIKNCEVVFVKRKTGVARLTLTSPARAISMRSRVLIEFELHAHDEDQGDDDLIIEGCTEFEDMHESKSFMVHRRLYGEKCALDIKFLRLLNAVEARVDVEVLRLGANPNGINLKVYAKTSGFDEVIRLFRGAAPDPGSAAMSFVVVAERRGGFDLYIEAASPILGQKPKQFSCWERGFLSAYHGTKEEVAKLGEFATRPPPSPPTTPGDDEDSSKSSIDDDNIEWLVSDSEEDEDDQDDKGGSGSAETLDLARGKKRPASPSTPGDEVDSPTSATSDQGDQWLLSDSGSEEDEGNQVIFDAEIHRPFTVADFPRVSCDHDEQADLLYENPEIKLRGPPAIMLFHPFKTGKLGHFSDYNLADESEISVRDVGDCSNECRCLPMELLQFVSAKIAGYQHTGSGPAKIFGFVAARDTIKPLRNYVYRREMDKCEAVTVKSKTGVARLSLISPARVISFTSRVLIEFELHARNDETDDGDNGPIIEGCTELYNMYGSKSFMNQERLYGERCALDIKYLVLINAVEALVDVKVLSLGAIPGGVDMKLYAKTSDFSEVICLFQGAAPALGNTMSFVVAAEKRKGFELYIEGSAKDDQKLLPFSWRCGFVSAYHAMDEKVPELGEFATVCVKVTWRTHMKKALS >Et_3B_028592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17102942:17105869:1 gene:Et_3B_028592 transcript:Et_3B_028592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLPQQIAHPSLPIYDPLAKIQVRDQAKQAPSASLAAVHLMFHHHHQQQLLQEQAAEAAADQDSGMSNLTSSASGPNNPPPPAPAASAGNKRKRSLPGNPDPDAEVVALSPATLMATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRGTGKEAPRKKVYVCPEASCVHHDPARALGDLTGIKKHFFRKHGEKKWKCDKCSKKYAVHSDWKAHSKICGTREYKCDCGTIFSRRDSFITHRAFCDALTEESAKAIGLNAMAAGAAPAHHNALLFSPPQQPVMQQHQELAAFQQEVMQPQQHCGNYAMKTEMQAWPAMPYDHPLLQPLCNPAAQSSATSAPPLPAASSAHLSATALLQKAAQMGATISGVAGAGAGGGAHYTTQIAGSATFGLGLPGLQNAQPQGGLARSASSHGRSGEDGGGGGGGGGASDGMTRDFLGLRAFSHRDILNLAGFDSCIGNMTSYEPQQHAPPQAQQLQQSNDEPWHGMGSHS >Et_6B_049054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17136438:17139133:-1 gene:Et_6B_049054 transcript:Et_6B_049054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSGANFHQQPPPQGMPPPRHNGQAPAALQTSLSLAASSEQVGSPPDTQEPLSNSDPGQDSATESASSQGTWPGEPSKSGGGPGPVIAAAPVTAVRMADKEKEVVGNGVHELQQAARRRIPSAGRVTLREVARDRVDLVADKMKVMSEDLLEEIKTELRSILEGTGGSQHIEEFMYLQKVVQGRVDLTPAMLLMAHHVQLEILVAIKTGIQAFLHPSVNIPQSRLAEVFLYKRCRNIACQSALPAEECRCNICTNRIGFCNLCMCVICNKFDFEVNTCRWIGCDVCSHWTHTDCAIRDGQIGTAHTIKNGVGHAEMLFRCQACQRTSELLGWVRDVFQQCAPGWDRDALIRELEYVCKIFRLSEDSKGRSLYRKCAELIERLRNASAESISPRMVLQALQELEIDSSKNFENEEPGRLITPQEACNRIAEVVQEAVRKMEIVAEEKMRLYKRARHAVEACDRELEEKARAVQELKAERVRGMQQVEELESIVRLKQAEVEMFQLKASEARQEAERLRSIALAKSAEKAGQDYASLYLKRRLEEAEAEKQYLFEKIKLQENQRPVPPAAVASSSSLGVGVGSGDPSQMMMLSKIEDLLKNVRSMPSSKSQHSK >Et_4A_033229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20141178:20142422:1 gene:Et_4A_033229 transcript:Et_4A_033229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGAMGIARITSSASLSLPARASASTRQSYCYNLLLPNVSSFHGSLARIARTATTIAVAKQHRFAEDLAILKLHSTGAGRVADMTLVNCYDTVLGSDMYANKTLRFLDNVVLGLKSKRDFRSEKYEAIMWLGSASSGMMQCIDWLHDAGEEALSKQIVKQVIAGCNDVSPYMDMALNLGLPKNVLTEFAFRP >Et_5A_040423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20982352:20984247:-1 gene:Et_5A_040423 transcript:Et_5A_040423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAILPSPAPTNHHIGVQHGALTADRAAALLTGCASARRASELHAAAVRAGVDQDRAVAFRLQRALLGMYARVGDAAAARELFDAMQPDPHVVSVTAMLTCYAKMGALDDARRLFDGLPSKDFICWNAMIDGYTQHGRPNEALRLLRRMLGSGVEPDEVSVVLALSAVAQLGMAESGKWLHSYVKNSTRVRLNARVGTALIDMYYKGGSLEDAVAVFDDLSDKDIVVWNSMIHGYAMHGHSREALEMFTQLRAQGLWPTDITFIGLLNACSHSGLVDEGRTFFQSMEQEYGIVPKIEHYGCMVDLLGRAGLIEEAFDLVQSMKIKPDAVMWLSLLAACRLHKNMALGQRIADYLVANGLANSGMYILLSNIYAAVGNWQEVGRVRSMMKASGIQKEPGCSSIELEEINDLVKEHGHVPQTELVLHDLDDATKEKALAVHSEKLAISFGLISTPPGATIKIVKNLRACSDCHAVLKLISKITGRKIVFRDRNRFHHFVNGSCTCGDYW >Et_4A_032651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12227584:12232557:1 gene:Et_4A_032651 transcript:Et_4A_032651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DMDLFGARSDRWLGKRTRDADTGEVQEDSSGDQIDIDRAIHLMRFVFKEGMAFLDNGSGRSLDERIMVDMSGYMVNEMFRVCTLVRDRGVNLDNDLKKTGETSWGSYYEALVKFAAYFTPVLDALEAELRLKNRVYTYMVDKYCEEGIITQEGSNGGVGVIVSIHKENVLGEVLLQPEEVWDHVREVSATLEIVELEFYASHRWRFNNRLGPL >Et_3A_026357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7253346:7257396:1 gene:Et_3A_026357 transcript:Et_3A_026357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKRVCRVPLSGSDEAAVEEGDMVIDEEKSDLEARTTQAVEDLKSALSNQGKGAQKKKTEVLRALRRLLSQSEVPPVEAAIKAGAVPLLVQYLSFGSSDEQLLEAAWCLTNIAAGEPEDTKSLLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGGDLRNKLLAQGALLPLARLMLSNKGSTARTAAWALSNLIKGPDPKAANELINIDGVLNSIIRNLEKADEELATEVAWVVVYLSALSEKAISLITRSSVPQLLIGRLLASENLQLLIPVLRGLGNLVAGDGYMVDSVLVVGNSITDQALSSLIKCLKSENRVLKKEASWAMSNIAAGSFEHKKLIFASEAPPLLIHLLTTAQFDIRREAAYTLGNLCVVPAGNAVPPNIIVEHLVAIVNGGALPGFIHLVRSADIESARIGLQFLELVMRGYPNGQGPKLVEREDGIEAMERFQFHENEVMRNMANGLVDKYFGEDYGLE >Et_4B_036054.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:17887943:17892174:1 gene:Et_4B_036054 transcript:Et_4B_036054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQMVLQALTELREPGGSSRRAIAKYISDHFSGLPARHDALLSVHLRRLRSQGLLRMSGYSYLLPTTPNPLGGPNTAAHPPGQKRGPGRPRKNPDLALLAPFQVVKRGRGRPRKTPLAGVKRGPGRPPKNPTPLAVLPPSGVKRGPGRPRKSAVPVDSSAPLLVVKRGPGRPPKNATPVAPAPPSGVKRGPGRPRKNTIPMLLPHTAVPAAGKRGRGRPPKNSQILALVTVASAPVGKRRPGRPPKAKSALSNNVTGVKRGRGRPRKVVPPADSGIPFPAVPSLDAVTKRGPGRPRKEGNLGGEHLKPVVEAQPQAADQAGSVQNEGEAGNLPSSGTPLTEKRGRGRPRKRPLETETTETGVTALVVKRGRGRPRKKKYSAPRSAETGDGTTSGFKRGRGRPRKENTSAGTSAETGIATSVGIKRGRGRPRKNVSIGTESAEAAVEVSRDLAESRPEEDTLVLGKEAAVEVSRDVAESGPKEDTLVSGKEAAVEVSRDLAEGRPKEDTFVLGKEAAVEVSRDLAENRPKEDTLVLGNKTETENVLPVEKMEARPADTGGVLVPGQKALSAQNVEVAMLGADPIDSNLSNTSS >Et_3B_029659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26967383:26969540:1 gene:Et_3B_029659 transcript:Et_3B_029659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKRLARLVVVENKLVSLKGVEGMSKLQVLNAGKNKLTKMDEVTSLTSLGALILKDNNISSISKLDQLQQLNTLFLSKNLVVTIGYGLVKAKSTKKKIPSDLGKHVKFLNLDLGNNLIEMSSDLKVLSELRYLRNLNLQGNPISEKDSLAKKIKKFVRNLCILNAKPIEASSKNDKNPIKANDPNKDEGMHNHDAVDIGTKKKGKKQSKQKDPEEHDLTSTPSKSEVLHGKGMKMEKLAMEHANNSKLKRKDDKTSANDTGRKDKTEANRKKFIDKEDAAEVDNTEMSFADLVFSKVDSSEPKLKDKNQEAEGKYDGLVIDHTEKRKKTKGAITISNFSTLKMLASVPEVGAGGLGLSGLDD >Et_3A_024039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16530045:16537521:-1 gene:Et_3A_024039 transcript:Et_3A_024039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATIRDIAFSAGINIFTAIVFLLAFAFLRLQPINDRVYFPKWYLKGSRESPSHGGTFVRKFVNLDMRSYLKFLSWMPAALKMPEDELISHAGLDSAVYLRIYLIGLKIFAPISILAFAVLVPVNWTNNALQLSGAQHSDVDNLSISNIPVGSKRFIAHLTMAYAFTFWTCYVLLREYEIVAKMRLRFLASEKRRPDQFTVLVRNILPDPDESISELVEHFFLVNHPDHYLTHQVVYNANKLAKLVKEKKKLQNWLDYYQLRFERNPSKRPTTKTGFLGCFGSTVDAIEYYTSEIERVENEEAEEREKIVKDPNSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWDNLSIPFVYLTVRRLIVAVAFFFLNFFYIIPIALVQSLANIEGIEKVVPFLKPLIEMRTIKAFIQGFLPGIALKIFLILLPSILMFMSKFEGLTSKSSLERRSASKYYIFLFFNVFLTSTIAGSALDQLDRFIHQSANEIPRTVGVAIPMRATFFITYIMVDGWAGVAGEILRLKPLIIFHLKNFFLVKTEKDREAAMDPGSIGFDSSEPQIQLYFLLGLVYAAVTPLLLPFILIFFGFAYLVYRHQIINVYNQEYESAAAFWPSVHGRIVTALIVSQLLLLGLLSTKGAGQSTPVLLVLPVVTFYFHKYCKNRYEPIFVKCPLQEAMKKDTLERARDPGFDLKGYLMNAYIHPVFKGDDDDEKFSIVDEPETEQVLVATKRQSRRNTPVPSKYNGSDSPSLPEIVNDQRL >Et_1A_005450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10556256:10559081:1 gene:Et_1A_005450 transcript:Et_1A_005450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVALDVGELVASRADETAGLVPGKEESEALIGMVECRICQEEDLAKNLESPCACSGSLKYAHRECVQRWCNEKGDISCEICHQPYKPGYTAPPQVHHDETTIEISGGDWTISGNRLDLHDPRILALAAAQHRLLEDEYDEYTATNNNAAAFCRSIFLILMALLLLRHTLTITNSEDEDDASAIFSLFLLRAAGFLLPCYIMAWAISIMQRQRQRQEEAMLLPTEVAIILHRNGRTMQFAVAPPESPTSPQPEPNQ >Et_9A_062472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23339195:23342568:1 gene:Et_9A_062472 transcript:Et_9A_062472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGKDSIDLSGLAAAVPNAAELSAEDKANLVASIKNTLQGLASRHTDVLESLEPKVRKRVEKLREIQGQHDELEAKFFEERAALEAKYQKLYEPLYTKRYEIVNGLVEVEGITKESADEATAEQKPADEEGKEEKGVPDFWLNAMKNHEILAEEIQERDEEALKYLKDIKWYRISEPKGFKLEFYFETNPFFKNPVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKILKKKPRKGSKNTKPITKTEDCESFFNFFSPPQVPDDDEEIDEDTAEQLQNQMEQDYDIGRARKLLVGKDSRENDLQSASSSERLQFGYLIYAVSCLT >Et_9A_062026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1936906:1941707:-1 gene:Et_9A_062026 transcript:Et_9A_062026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRAGGGAAATSEASGVAGSPPALAPPHPPPPADLTELVKAQIAGHPRYPTLLSVGAPPEVAALLEEIGRERCAAASAAGEVGLDPELDEFMDAYCRVLERYKEELTRPFDEAASFLSSVRTQLTSLCGGAASLSDEMVGSSEDEPCSGDTDATDLGQEHSSRLADRELKEMLLKKYSGCLSRLRSDFLKKRKKGKLPKDARSALMDWWNTHYRWPYPTEEDKVRLAAMTGLDPKQINNWFINQRKRHWKPSEDMRFALMEGVTGGGSSSGTTLYFDTGTIGP >Et_1A_004939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17908735:17909472:1 gene:Et_1A_004939 transcript:Et_1A_004939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTAGGGKPSRSASAVVATTESGYHIFRIDSYIRTKGIPTGECLKSLPFTVGGHNWQIGYYPNVDESVTVPVKVQQRVVLVDKLEEKAPSLATEEVYTFGSHVGFGSPQCIKREDLEKSKYLKGDSFSIRCDIAVLGEFKVVETTDATEKGADVVLEVGGERLTAHRCVLAARSPVFSAELFGTMKESNTVGAGVIRIDDMESQVF >Et_10B_003144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16990956:16997755:1 gene:Et_10B_003144 transcript:Et_10B_003144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSAGGRGRLLALLAVAAALLSLASASESDHKVRAWSPFLSPEIHATVGIARAAPDLGPLVGRISRCFGCSGSYKAEEPVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPAHKWGGLGEVLGGNELIDSQLDIKFLRNEEKGFICTLELDSQKVQQFADAIESSYWFEFFIGFVGETDKNSEDKHYLYTHKNILVKYNENRIIHVNLTQESPKLLEAGKKLEMTYSVKWVATDVSFARRFEVYLDYPFFEHQERDVNEESGWKLVHGDVFRPPRSLMFLSALVGIGTQLAALILLVIVLAIVGMLYIGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKAMVLTASLFPFLCFSIGFALNTIAIFYRSLAAIPFGTMVVMFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFIILLIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTMMFCLGLGILCGAIGYLGSTLFVRRIYRNIKCD >Et_9B_064070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10940879:10945572:-1 gene:Et_9B_064070 transcript:Et_9B_064070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNPGGESPDNVGGGDLHLICEFCGYADDYSPDDAESGFFSCRQCSALHATQATAADPHEFHATGNISVRRVATQPTQTPKPRTPAPYLTPHAAAAAAPAAPVFDDFDMPNGPRDFALGADTCGGHEDLGARIRWRYVRGLQVILQHQLEVLVERFRVGALVCGVAGTIWVRWVAASKVFDEMWVHQKPHGVKLEFDDEILPQGRDRRKVEFSFLRSLRTLLPVYSTLAVSFLACHVAREAILPTDIYKWAMEGKIPYMAAFTEVDKLLGRSMQECPLDARQLFRPVRVIGAWQLETAAGSIAERIGLKLPSVNFYAIALRCSKDLSLPLEKLIPHACRIYEWVMPAELWLSSNPARIPTRVCVMSILVVSLRILYNINGQGIWEKICEEGRNTSGFYSGANSPPFMKPGGGDSEELEELLRTVAASYDKINNAHPKEQVKSESNGVEETAIPSGVNKRFRDGTFVEASTLSSSIEHDALQLIKSEMQDHGFHYMPPRKPRDSDGYLRYRRRKLMGGFVYVAHADYYVLLRAFAKLAEVDVRIMHTSVLKLERRLAFIEERIGRSLNTLKNLSSETKDEQRPVSG >Et_7B_055794.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:4800752:4801297:-1 gene:Et_7B_055794 transcript:Et_7B_055794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGRVCVATADGPSRTALWLLTPEDHRWEQRFACNLSLHSLAGAWDCGGGAFLFMTTRSGTVISLCDGSSSSALFECEAPSGTLTLRKPEYAFCWGYRPTLVSPRSIVGARSRVRRGRRGGLYAVVEPVIELHRERARERTVHVNCVMELLLQAMRSQPQEREQVIKQLMLIRRTRFII >Et_4A_032900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15448278:15454018:1 gene:Et_4A_032900 transcript:Et_4A_032900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDFLGVFGRRGREGAQPEDAAGGESDDIELSLGLSLGGRFGTEAKRPRLARSSSIASVCSVSSLDGDRDADPSPAAPLPLLRTSSLPTETEEERWRRREMQSQRRLEARRKRIERRNSLGSSSSSVPAKPGGDAPAVGNGFQLRRSIGSLGSSSVNATEQGLAGTAAVQSTDTRNTSASDNNTNQSNVLPPPASTAKPPNGTATEQPRLWTLGSLTTRTSSTGDIRKIMMEDMPMVSSKVDGPNGKRIEGFLYRYKNGEDVRIVCVCHGSFLTPAEFVKHAGGGDVSNPLRHIVVNPSPSRLDGECVDGVRLHIFILLSPRPQCIGGLPLALALLVETLNLALVLSSHPPDISTTTKPNSPLNSQQLETTPPRKAQRAGKRKAQRARRSLAAYLSMDFWSNLRTRSAPCLSLL >Et_5A_042654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24341141:24342747:1 gene:Et_5A_042654 transcript:Et_5A_042654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAGSPPKPWERSGGEGASGPAPFKPPSGGSTSDVVEASGTAKPGENVAATERNAAAANVNGTVSRQMPQRPWQQTGYGNTYGGYGGSNMYSSYGGYGNTYGGGGMYGNSMYSGYGGGYGGGGLYGSSGMYGGGMYGGMGGYGGYGMGGMGGMGGMGMGPYGNQDPNSLGPPASPPSFWMSFLRVLFDRSGMLYGELARFVLRLLGIRRKPKKGSIQGPEAPAFEGPGQQFFEAPKGNNNWDNVWGN >Et_5A_042461.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:12375800:12376957:-1 gene:Et_5A_042461 transcript:Et_5A_042461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANQPDDDAGSGKYMVPEWMTRADNYWNKWAIRWAAGSSLGLHILVLILADFRRDNRTCPALTLFLWLAYMSMDIAGSFALGNLMLNETGDPKEVADSEQLLVAFWAPFLLVHLGGPDNIAAYSLDDDNLRWRKVVAGLPKFFGVLHILLFKLWLDDCSGLLRPASGAMFLVGSLRFAENLWAMIAALTKQPATNNLHNSSTQQGSADVDSSGSEESLWDQVVECTDKIYKTLYTKAGVVHGWRGYLQRLLSPLATATAFILFRLYPKECLQAADVQITYVLLSCALFLDGVWLVVALASKYAKEFLVARPRIWFRHQILCHGWWQSLHDLAELLRPGRLLGARDPARSNYGANSTGRYNLLGACARAPPGWIARQWERLESFF >Et_1B_011450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22476969:22499343:1 gene:Et_1B_011450 transcript:Et_1B_011450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSSSSLASGGRRRSPHVVVLSGLLLLIASSSCLFAAAEAKRNVITHIKGFEGPLPFHLETGYVEVDEEHGAQLFYYFIKSERNPAEDPLLLWITGGPGCSALSGLLFEIGPLKFDVAGYTEGFPRLVYFEDSWTKVANVIFLDAPVGTGFSYSREEAGLNVSLTGSGRQHHTFLRKWLAEHPEFASNPLYIGGDSYSGYTVPVTALDIATNNQDDDDPINKLNLAGYLVGNAGTDDRYDTGGKVPFMHGMGLISDELYEAARVGCGGDFYKTPDPSNAQCANAMMAINLVTFAVNPVHILEPFCGAALRRAPGCRDNGYRLSYIWADDPEVRAALGIHDGSIGSWSRCTMLTHFRHDLATVIPYHVNLTKAGYRALVYNGDHDLDMTYVGTQEWIRSIGYPIVSDWRPWFANRQVAGFTRTYAHNLTFATVKGGGHTAPEYRPKECLAMLDREICCWMFIFLCCVSSSFLCCLSSSSASSSSSSQINKSISRVITHLPGFDGPLPFHLQTGYVEVDEGNGVRLFYYFVRSERSPADDPVMLWLTGGPGCSVLTGLAYEIGPLSFDINSDTVLPKLLYRPDSWTKVSSIIFLDSPVGAGFSYSDTEQGYKSSDTKAVNQIVIFLRKWFTEHPEFLSNPLYIAGDSYSGLIVPTGYIVGNPVTDSNFDGPSKIPFAHGMALISDETYECLEGICPNHILEPLCTFASPRIYKPKLQLNSSVREMLQLQDYAPDSISDIQLSEISLQCRTAGYLMSRTWANIDSVREALGIPKGTVPSWVRCNYYIPYTSDIQSSLKYHLDVTTKGYRSLIYSGDHDMVIPFIGTQAWIKSLNFSIVDKWRPWYVDGQVAGYTRSYSNNLTFATVKGGGHTAPEYMPRQCFAMIERELWFLVFIFLCCGLSSSSSSSTSRQINKTSSSRVITHLPGFDGPLPFHLQTGYVEVDEGNGVRLFYYFVGSERSPADDPLMLWLTGGPGCSVLTGLAYEIGPLSFDYSNVHGLPKLLYNKNSWTKVSNIIFLDSPVGAGFSYSEAEQGYKSSDTKAVNQIIIFLSKWFDEHPGFLSNPLYIAGDSYSGKIVPTVASQITIGIQVGSEPSLNLEVRIQKPCSVVSYTKEIFLSKAFKGIFWFSEIKGSTWTLIATALQGYLVGNPVTDSSFDTPSKIPFAHGMGLISDEIYEAYKKSCSVGEDRHQTIECANSLDDIDESFKDICTNHILEPLCTFASPRRNKPTPKINSSAREMLQLLDYSEDAELHLSEITLKCRTAGYLMSRTWANNASVREALGIHKGTVPSWIRCNYDIPYTSDIQSTVRYHLDVTTKGYRSLVYSGDHDLIVPFIGTQAWIKSLNFSVVDKWRSWYVDGQVAGYTRSYSNNLTFATVKGGGHTAPEYMPRQCFAMFERWVSGAPL >Et_2B_021220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27533829:27537011:-1 gene:Et_2B_021220 transcript:Et_2B_021220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYLLHGTLDATILEADHLVNPTRATGGAPSIFRKFVEGFEDTLGLGQGSTQLYATVDLGKARVGRTRVITGDPVNPRWYEEFHIYCAHFASDIVFSVKAAQAISATLIGRAYLPVRDLLAGHEIDRWLDILDAAKRPLPHGPKIRVRLLFRDVAADRHGWGRGVGGARYPGVPYTFFSQRPGCRVTLYQDAHAPDAFAPRIPLSGGRNYEQGRCWEDVFDAISNARHLIYITGWSVYTEITLLRDGARQRPGGDVTLGELLKRKASEGVRVLMLVWDDRTSIESLGMTKGFMSTHDAETAEYFRGTDVQCVLCPRNPDAGRSAIMGAQIAYTITHHQKIVVVDHEMPVRRSDRRRIVSFVGGLDLCDGRFDTQFHSLFRTLDTAHHKDFHQPNLDGASIDKGGPREPWHDIHAKIEGPAAWDVLYNFEQRWRKQGGSNDLLVNLKAMADLIIPPSPVTFPDDPETWNVQLFRSIDGGACYGFPNTPEGATRSGLVSGKNNVLDRSIQDAYIHAIRRAKNFIYIENQYFLGSSYDWKADGIKPQEIEALHVIPKELSLKIVSKIEAGERFAVYVVLPMWPEGPPASGSVQAILDWQRRTMEMMYYDISVALEAKRIDANPRDYLTFFCLGNREVKMSGEYEPAARPLDGTDYARAQNARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGGFQPRHLNTKGQVARGQVHGFRMSLWYEHLGMLHDSFLNPESLECVQRVNKMADEYWDLYASDNLEADLPGHLLRYPITVTKDGTVTELPGAKYFPDTQALVLGMKSKNLPPFLTT >Et_4B_036306.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:16259125:16259811:-1 gene:Et_4B_036306 transcript:Et_4B_036306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPVVSCSGAGSFAADSVFAGNLRQLLSVLEAKVPAAGGFDIATVGDDDDRVHGLALCRGDVARAACAACVRSARAHARRLCASKTDAVVWLDGCILRYAAGARPFFGEVDRDHRSVVPDVAVAEERSPELDREVAGMMKRLTRTAYLSPLLFAAGAAETAVVVGGGGAARMHGTAQCTKDLSGGDCKMCLEAAIAQLLARGCAKQGGRVLGGSCSLRYELYPFFDS >Et_10A_001349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23589887:23596032:-1 gene:Et_10A_001349 transcript:Et_10A_001349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPDDMVASILGRLPPCSLAASRCVRKKWCAVIDARRLLLLPDLRLDGFFCVADQPDTRTYCFFRRSTKPVDLQFLLGDDYRYHGSLQRPSPFLGPDPCLHGPRNYHFFDYFFLAYDPIVSPHCYEVLRFPLLPTTLQVPNIVNFPEESEWPPSPLTTHVFSSTTSRWEQRSFVRQGDAAGTIADMRSDWHPWNRHAVYFRGALHVHCQNNSVMRIALNSSKYQMIRPPADNQVLNDGNVCLRKSEKGVYFSLLWEENENGWPQFQVWLLNESCGQMEWVSKNNISLQPVVENFPFDNNDRYNRPWIVNEKGKRVNEARAQDDQFEWDFDNGIILPVTKDDKVITGSKAIVFLGFHPYKEIAFFLASSSRVVSYHLNTSRVQELGILTGQTIVKSFPYTPCWMGKHFKLSSNFTNLTLCGVGGEVEAPLIELSEPEVPKSVAPSPLDLKPESDDGPPKRQTRDLLPPKLWFVVESKPTETLIRCSLSEDFNQSSDRNDIRLDAHLGVAEDAKFASSAATGLGDVDEDDDGAGAAWDPWRRRVEGDGDGVEGAEATEPVAGRGGSAHEVTHGGGAREVRAHGRLHRGAARAGASPDRDLAISNSAHHKGLSLGLACSGDNAVTPLIILATSAMPGLFSFSRFVHALTTSHNAAISLSGTDDLSVGSAIAANGLLPSR >Et_4A_033189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19722417:19725916:-1 gene:Et_4A_033189 transcript:Et_4A_033189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRSVLAAVRGRTASSTSSLRAAAPLPSAPPRRVPSFAASSVPLSTARPLAAMMGSPLAVVLRLTGHSAVSVRACCELSQGTLFCRTFIASQNMLNKSRTCCDISVAPEAQMKSVGTKRESRTQRSRTKRPRTLRMTCTVIVGRRAPCITSKRQTSCSRRPLSSTKLHQPRPQRPRLNLLQL >Et_2B_021165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26997608:27003130:-1 gene:Et_2B_021165 transcript:Et_2B_021165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAELMVTGWFLSPIIREMQDTALTYIRTQYSWKKDQEEDLGRLNILLTEILSIVDVIEKREIKNGNQRKLLSILKDAIYRAVDVLDSFRYMVLKSKVDSQSTVSLVTSSCVDLGKRMLGTTKFRRKLTDILEKLGEVKATADTLLKVVSFDDATARLLPVTRLRVTSPMKGENHIYGRRDELDKLRDMLLEVSENSESGPSTPSVPVISIIGVGGVGKTSLAQMAFKDEEIRMNFSHRIWVLVSDSYDKVRLARDILESVTDASYHTVTEFDELQHALREKMEGKKFLLVLDDVWYDEDRTKWENELLWSNVLCSVNTGLEGSKILVTTRADKASNILHGRTPPLRLGGLDMNEYWLLFSSCAFGDKYPGQFPELKEIGKQIVHRLNGLPLAAKIIGRLLNVDLDVSHWKKVLESDLSDDVMKVLRLSYQHLPIHLQLCFSFCSLFPKDWRFDPKMLTEMWIAQGFVQEYPYDTDLNSEDVAKVYFDELVQRSFFERSWSSLPTEYVMHDLISDLARHVSKDEYLRIENEKQQNIPTNIRHLSISANMLGCMKKAELRNLRTLIVWRKTWPCLKWSFPDDVFKKSKSIRVLDLTGCCFERLPASVKVLKHLRYFAFRIPERPWQRSLIRLYQLEVLVTKGHSCRESERVDLPINMKRNLLELRKAYLFHVGGTTISGLSGQTHVHGQGEFHIKKECGYRLGELKDMKNIRGQLKIRFLENVEHQREAINACLDCKEHIETLELEWTFHARALTSELDYDVLNALRPHPDLNRLKIIGYRGTRSPTWVETNWLTALNSLVLENCMGWVLLPPLGQLPLLKYLELRNMHAVRQIGPEFYGNGDTKGFPRLEDMVFDGMLNWERWSGMEGGSLLPCLERLYITKCPKLRETPAFSATPRVEVGITSDFPPVSGFVDSLILRAAWLIFHVSSYSFLSDLTNEQLNHVAEINLKNCTEPMPSCGFLTLSSLQLLRISNCLTVQSSICSETVEDPKTHFLPPSLRHLEIAESNIESSSLPKFLEGLTCLSTLVLNKCSMTSLSFACGPHRLTSLETIIIRDCHELASLDGFINLVALRKLVVADCYSFLSLPSDLSTVESLNTLVICGCPMLSFLPQGGLPASMKKILFSRCHPEFDSQLQRKEGAEWKKIVHIPEKKLEILLITEHAMGGQISSPENSETIFAWATDNATRHPMVNGIRSCRLHGLPGFVFNSDSREHQREKERGRSLEHQDSVLTRCFQ >Et_4A_034607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4832802:4835213:1 gene:Et_4A_034607 transcript:Et_4A_034607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGFSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADRENMAIAKGELHDLLSKPSLTGIPLLVIGNKIDKPEAFPKQSFTDVMGLKTITDREVACFMISCKNSTNIDSVIDWLVKHSKKKN >Et_9B_063757.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:11902535:11902579:-1 gene:Et_9B_063757 transcript:Et_9B_063757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTTWPLTSLYYC >Et_4A_033548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24300938:24305155:-1 gene:Et_4A_033548 transcript:Et_4A_033548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEKTAEDIRRELQELQRQHREITERLRDPRGIRRGAGPGPGPGGPRPPRGFARPAAESGDQPPQKRRLLSAVVKVDGAEDKEEGAKDAETEGHAGDSGAAEGGDRRGASNGGFRRDGGQRMPRRVDYNSLPEPAPRELPKSEDQTLVMRNRRMLGQLLVGTLEKFQQENKKLSNSEAFLRRSETQRKAEQKVREESERLRQQEREQIAEKRKRDMMLRARVAAKAEEKRLELLYIQWTEHHKKLSNFLRTKAEPAIYYMPAKPLVDDPTIVEQNKEKAFEEWKSMRRAELTQFQKQVEEQYLSNVERQLERIQNARNARRGNVPANMQEMDKDLDTHRAEHGPKTRRILEEGGNDEDEDVEDMAAEDELMDEVLGVNDGINEDPSKPAEEAVTDGGEPAPEEAQ >Et_2B_019357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25220233:25220854:1 gene:Et_2B_019357 transcript:Et_2B_019357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGGWFGMAFFQNYPEEVPPVGVLHLLHLRRVVAHAAQGRSGEAHAGAAAAARDVRELMKLIYMRARCRRRGHGARHRGVQGGRVRRPHARPGRVGASGDPAEAVHVPLDAGRAVVAQLRERVAVAAAGCADLPRQGRHVHPLRRGLRYGPPTAAVVAALAWRLLTQPPTPTTAAVVQRRRRSWPSPRSACWS >Et_1A_006663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25910082:25912157:-1 gene:Et_1A_006663 transcript:Et_1A_006663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAEALSRRLSAAVRGLSGAWYGRHMAAAERAIRTRLPLVDLVLEVRDARIPASSAFEPLSRRTLEHDGRRVVVLNKADLADPAETKKWVAYMKQRSCPCVLVNSHNRESIKELLKIVQSRIREIKHGESNCTGTVLLVGIPNVGKSAIVNVMHQIGRIGAAEKGKLKQAIVSSHPGETRDITGYKVASHPNIYVLDTPGVLSPTFANDDSGSRLALTGAIRDSLLEEYDIAQFLLSFLNSKEEYSKWENLNQVADNFSCDTVCGSYTMKRQYVSDHTQDFIVRAVRKVLFESVSFCKEDLGNGDESRRLIESQIMSLREVFKISTELSEDMHKPVALKLLNLYRTGRLGHYTLDHVPDVNQDVLHK >Et_8A_057241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22606805:22614262:-1 gene:Et_8A_057241 transcript:Et_8A_057241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNILLLTCHLKFGVKFSCHASLACDEFDLQLALLQPMAVPANNNGKKHLQGDERSAKEKAIDEWLPITGSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGVAVLILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLVVEVGVNIVYMVTGGKSLKKFHDVICDGHNCKDIKTTYFIMIFASVHFVLSQLPDFNSISGVSLAAAVMSLSYSTIAWGASVEKGKLPNVDYHVRATTTPGKVLGFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWKGVIVAYIVVALCYFPVSLVGYWAFGNQVDDNILITLNRPKWLIALANMMVVIHVIGSYQIYAMPVFDMMETVLVKKLRFPPGIMLRLIARSLYVAFTMFIAITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPKRFGLSWFANWTCIILGVLLMILAPIGALRQIILNAKTYTSSSEKTTTSVDDWLPINASRNAKWWYSAFHNVTAMVGAGVLGLPYAMSELGWGPGVAVLVVSWVITLYTLWQMVEMHESVPGKRFDRYHELGQHAFGERLGLWIVVPQQLVVEVGLNIVYMVTGGTSLRKFHDVICDGHNCKDIKLTYFIMIFASVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVDKGKLPNVDYDLRATTTPGKVFGFFGALGTVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWKGVVVAYIVVAVCYFPVSLVGYWAFGNAVDDDILVTLNRPKWLIALANMMVVVHVIGSYQIYAMPVFDMMETVLVKKLRFRPGLTLRLVARSIYVAFTMFVAITFPFFDGLLSFFGGFAFAPTTYYLPCIMWLAIKKPRRFSLSWFINWICIVIGVLLMVLSPIGGLRNIILKAKTYKFYQ >Et_9B_064711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1792276:1795415:1 gene:Et_9B_064711 transcript:Et_9B_064711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRHDPGAMQRRRRRAASGDRRRPSLLRLLFFFSAAAVAGADDPAILATVCGSTPAANPESFDVSFVNTLELIYQNVTRSGFGAASSGAGADAVFGLGQCLAYLSPTDCQLCYAQSRVKLPHCLPATAGRIYLDGCFLRYGPDNFTAAAAAAAGAGDTAVCSNATVPSARGFAVAAAALLRNVTAAAPGARDSYYASSSSPAGSPATVYAAAQCWRSVNASTCAACVASARDAVLRRCLPAAAAAEGYGLNAGCVVRYSTRPFYLPANAAAGGGGSSTRRITIIVLASVLSALAVIGIALIWTKMRSRKSDLHDDMDGSGEIIRAITASHLSFKYEELRMATDDFNQINKLGQGGYGSVYKGVLLDGREIAVKRLFFNTRQWADQFFNEVRLVSQVQHKNLVKLLGCSVEGPESLLVYEYLCNTSLDHYLFDAFKKNALDWERRFEIILGTAEGLSYLHSASEIRIIHRDIKASNILLDERFRPKIADFGLARNFMEDQSHLSTGLAGTFGYMAPEYIVHGQLTEKADIYSYGVLVLEIITGRKNHNSVASSADGLSLMALIWKSYNAGTLMEMLDPNLREQCSEKDALKVFHIGLLCAQASPNLRPPMWKVVEMLSSRDKALPRPSQPPFINVKGSKAKSDSSGSASLQTNSDKSPFSLNEISVSGVEA >Et_6B_048647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11981121:11994197:1 gene:Et_6B_048647 transcript:Et_6B_048647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSRAGSPTYGRRRSPAGFYSAPASPAHPLGPPTGAAASPVHPLAARSKARAAAAMAHAMGRNHRDEYDDDDAGAATTTANGRYDGGRSPLHGGAYGYGGRSPLHAAAAAAAASAAGNGKGTKDKYFGFALPKVRIFYLLTDKLITTCRSSRRPGAGGAPADPRRAAAAREAMARMEEMMMAHAGAAGEFSIILDAPLPSLQQYRRNPTPAPAPSPPRHRGGGARRPDEGVPARLRREGSLPDAVGDADAGHASRRSASGTRPRPGGARGDAEVERLEPPRRPPGRGQTPPMRVAEEGVEPPVRLVDPRGGRRPPSRGETPPRKVAEVRRVVAEEEEEEEETPLQLLARGGRSSSATRPAEAPREVEVAAASRPSSRRSWRDGGVMAVAGDGLVSGEDEVESVGRLSSRGSEDGGEETAAVAALLPKPLAAVVTSARSRSNSPAISRNGVDSSAANRPPSNGRSTFAPPVGASVRPLQVEIPNGTPRDRRAVYPDPTYAQSARSRDSHDSSTVTEELEMLKDENVNLLEKLGLAEEKLRQSEARTRELEKQVANLGDGLSMEVKLMKRREEMLVRKEQEIRKALISKNGRNEELTALQQQLQTSREETTAAVKKLREAESETKDLRTMTRRMVLSKEEMEEVVMKRCWLARYWGLAVQHGIYPDISMSKHEYWSSLAPLPFEYVTSAGQRAKNGPDHTGSNGLEDADKLVHDLTVTAGEGNVETMLSVDKGLQELAFLKVEDAVLFALAQHRRSNVNEPADPDIKPSGDEKFTEAFDLSKEEEEDVLFKQAWLIYFWRRAKTHNVEEDIADERLEMWIDRHGEQPTSHDAVDVEQGIHELRKLGIEQLLWELSRQEANLEKGEETNITKGDRSDGEDLT >Et_10A_001116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21268426:21272402:1 gene:Et_10A_001116 transcript:Et_10A_001116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRAQPVQSSNNARCVHRHCGTRDRRTTPLSSPTVPAPAFFSSKPPRRVRGLRLLLLQSRGFAAMAPSRHADEGGQLQLMEADRIEEEEDCFESIDKLISQGINAGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVEKICEAAEKLLSQGFMTGSDLLIKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPIHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRVTTGGITDAKD >Et_7B_055149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7770432:7771274:-1 gene:Et_7B_055149 transcript:Et_7B_055149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGVELKGCVCRIKNCAVELFSMEEDLVMDDEDSWDLVGQDLRLKATFLYIDLSRVISCYESEEHKKAVSALANRFFYSMDELGDAVKSRSVPLTQVCYSDTTDALREVVAALVPTLPLGPHLEE >Et_1A_004876.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:13530330:13530488:1 gene:Et_1A_004876 transcript:Et_1A_004876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSWLDYWSLLQGGEERSKMREEAKRLRKVAADFSVLAKNWVPLRRRLKSL >Et_1B_011468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22636862:22639415:1 gene:Et_1B_011468 transcript:Et_1B_011468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARNAASSDLRHGLIAPCSAAGTGGASTSSGPLSTVRRTHMLRSRFFILSSPAEKKIVSFYSVMELNPVFVRNQIIGTVHLVVSLGIVLAADKFLKQAFVAASIKFPSALFGMFCVFSVLVVFDTFVPALAKAFMDFFEPATLFIQRWLPLFYVPSLVVLPLAVRDVPAASGLKILVITFAGWFASLAVAGYTALAVRKLVKTQMIPAEPMSKPSPFSTLEIWAWAAIFVASFGVAYYNPTALGTTARTCLPFLLSSTVLGYMVGSGLPAGVKKVLHPIISCALSAELAAVAYGYLSGSGLDAVLGDYLTKVPSNPGAGDVLMGFLGSVIISFAFSMFKQRKLVKRHAAEIFTSIALASAFSLYSTAVIGRLIGLEPSLTISILPRCITVALALSIVSFFEGVNSSLTAAVVVLTGLIGANLVQAAMDKLGLNDPIARGIGTASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSIICSVPAVRQSLILIAG >Et_10A_002282.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:7071448:7071972:1 gene:Et_10A_002282 transcript:Et_10A_002282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTLTLGDDTAIVCAETGADVWRAVDTSCTDDVDGNLPVIEGGLSVRGRFYIPTRAGDVLTVDLKPQPHLRYAAKISGDQVRSGYNEMSYIVPSCDDDDCGMLLVRSCSPNGKFGCTKFAVDLYNRSLFQKEPSGVTIFLPSITIRGSAFPSVSQNTIYMKCHMKRLLRGDYI >Et_2B_019378.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26063658:26063870:1 gene:Et_2B_019378 transcript:Et_2B_019378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPEKMKAWASMADDPLKRASSASSHPSSPLRRYSPTTMAVGGLLVVGALSYFMFGTSPKNRDDQLARRA >Et_10A_000145.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:12028988:12029284:1 gene:Et_10A_000145 transcript:Et_10A_000145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQGQKSAEMLMQVLLVASAVAAFLAGYVLADFQLMLLVYAGGVVLTALVTVPDWPFFNRNPLKWLDAAEAERHPRPQVSAGSGTAAGGKKKSGKNK >Et_1A_005080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25640917:25641615:1 gene:Et_1A_005080 transcript:Et_1A_005080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTILFDGIYSFEMLRRLPKDGSWCAVPLPKPPVGPLADNELAFVSAYFAVESGEWRVEGAWQLPFQGRALHVPDLDAVVGLAADTRLLCAYDFKEAGAPPVLRHAWKETLFPSHQAPRPRDVPSLAYLGEGKFCICRPMSTNLSVMAEYVTYEASSFLVVQVKRLPSGDLQLATCGKGSYMWPPHSKKWLYIGFVQPAT >Et_3A_026286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6444271:6446184:-1 gene:Et_3A_026286 transcript:Et_3A_026286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYAAARRAAASSAPLLRAAASGAPRGAALLRPLAAAAARPQPRAMPFSSVPATKPTSDAELLSVIDSEIKYAKDCDDHDRVEQIPENFPFKIIDEKGMNAITLTRAYQNEQIEVQVHMPSLVSGEEPDHDKDGEDGHDDAEGDSNQEDDGEKPPHSSIPLTVTVSKGDGPTLEFTCTAYPDEVIIDSLSVTQRSGEIEEDLIAYEGPDFNDLDENLQKAFHKYLELRGISPMITNFLHEYMINKDSREYLLWLMKLKEFFKQ >Et_3A_026734.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:17863659:17864090:1 gene:Et_3A_026734 transcript:Et_3A_026734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLKRKLCIVGLRCIQMKPQDRPTMGEVIEMLECGAGGLEMPSRPFFCDDEQYVAVADSYHFSSELTAISEEDD >Et_4A_032637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12037888:12039002:-1 gene:Et_4A_032637 transcript:Et_4A_032637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGVDEAALVSAVGRQPEKRAQFRRGFPGFFSASAGAGAGIERCEDEFVAHLEAEFARLRDAAVLWAMHPWERDARWAHHVLTNNHHGRHGHGDAHSPAALLEALYHRSLEEDVAYRLVKGANANLLLGLVTAYRYEGPLVKEELAKEEAKALAAAVKSSSATKLVQNEQVVRVLATRSKPQLRATFKIYKELHGKTLEEDFAGEPCLHEAVKCLDSPARYFSEVIHGAFKDGADKQAKAALTRVVVSRAEADMEDIKDAYAKQYGAKLVDVVAKNTHGHYKDALLAMIGK >Et_1B_014274.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:4821957:4822394:1 gene:Et_1B_014274 transcript:Et_1B_014274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSLAQAVAALVGTCARRLSRAARRLHLRPREGIAASFSSRAIVPFLGGGGSGGVKKALASSSSSKSSKSKGRRRKSTAEEEDEEEVWRKEIMMGERCQPLDFSGVIYYDAEGRRLAQPPPPRSPLRSPLPASLTLAANARGGY >Et_4A_033555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24403018:24404292:1 gene:Et_4A_033555 transcript:Et_4A_033555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLDVDGYSGCMYEFYIMMNHFFGDAFSHPPHESSQQLSSPITLLSVLPTQLADAHPPSLALSKSGTNPMNIGGCTSGRSFTAASPALLDAPVLAEPDRAPAEELGAEEVVVSRVGEQQHAVRPAPVAAAVGAEHLEHLVAAHGGERQARGRAAGDVGALRPRRVAVVVLAEAVAEQLHVAVGELHLLADLLLVAHHHEPPRGVGKERGRVVVAVVAGEEAEHHRGGHRGGEGDQLDG >Et_3A_025747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32382156:32383883:-1 gene:Et_3A_025747 transcript:Et_3A_025747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPNLLPAQRRHSHVHRRPPSPPKRDRGGAAATPPLRESRDSTPVEAYRDMITPVVDAFKYLTQLEYDILQYIVIERLAQGGRDKLKDDGLNLSDWLQCLASFLGHLYELIQQMANVQYTENMTEEQVDAMAGSETLHHQASLFGATRNYK >Et_3B_028204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11759049:11764849:-1 gene:Et_3B_028204 transcript:Et_3B_028204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NQSNASTWLPASQCSASVSISPKELAERSSHSLLHSATLFPPVLSAFSRALTAPMAELVAGVVVGPLLSLVKEEASNYLLDKYKVMEGMEEQHKILKRKLPAILDIIVDAEKQSSHREGPKAWLEELKTVAYEANDVFDEFNYEALRRRAKENGHIRKLGMYAVKLLPTHNRVAFRHRMGEKLRRIVEAIEVLMAEMNTFGFIFRDHAPASKQWRQTVSIIADQDDIVSRSRDKERKETVQILTDKSNTAALTVLPIYGMGGLGKTTLAQLVYNDTEVKKHFKQLIWVCVSDPYRTNSDKWLKLKTCLQQGGTGSFILTTSRDNGIAELMGTTKKMCLPIQTLMTGKYKLDDLQHLSKYIGGGFDCGNLRELQHLNISGSLRISQLDNVKEQDAEAANLVNKKELRELSLCWTNMRQDENNDHNKVLEGLKCPDGLLALRIYSYQGTTFPTWMGMLQNMVELYLYDCKNCEKFPPLCHLTALEDLCLESLEKLQCLCTGDTSFAFPKLKVLTLRGLNHFEKWSETDWGREENIIFPRLEELIILQCRMLTPLPEAPMLQESNGGDDGMARSAFPALKVLLLDNLESFCGWEAVHIAQGRHIIFPHLEELSIRQCQKLRSLPEASLLEGSYGRDNTAARSAFPTLKVLKLKNLEIFCGWEPVNIAQGGQIIFPRLKELSIRSCPELAALPEVILLGESLGHDDRTAQPAFPELKDLILVDLNRFNSWGVTERAFHMGKKIRCPELTTLPMPPKLSELKIEGSNPQIYPEIHRCIKSLSKVNMYCEDSETTLLAERNTFELVGSSRESPLKEMKLSGCNFLFCSTALALWTCFVQLKELDISNCDALVNWPEKEFQSLVSLRSLHISNCNSLVRYSQAAEQPTSERRRVLPQLEYLRIWECAILVQVEVSASLKKSLVIEECPQLKHIVFGDGHKDKPALNQGTSNEVMEPTYVRELSSPSDRDHFLPRLEFLQIWRCERLAEVLNLPPSLMKVNIYKCTNLRVLSGQLDALKDLEIDSCPEMRSLESCLGELPSLESLFLIKCKRLASLP >Et_4A_032139.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:20571459:20571689:1 gene:Et_4A_032139 transcript:Et_4A_032139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCILSSLSTIFWSLMWKGRHGGRLLHRIIGPVNLTWILVFSVDIKASCVTLITVTMKVTCQCGFLRTTLQMSGY >Et_8A_056429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:183000:185511:1 gene:Et_8A_056429 transcript:Et_8A_056429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRDFRSHRAALFDGIEEGGIRAPAYSSREIHEHENDQAMDSLHDRVSVLKRLTGDIHEEVENHNRMLDRMGNDMDASRGFLSGTVDKFKMVFETKSSRRMASMVASFIAVFLLIYYLTK >Et_3A_023327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20910687:20911776:-1 gene:Et_3A_023327 transcript:Et_3A_023327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRQLAEAAAGADPLALILHRRRQLGHGLRQPRQGQRTRLPPPPGGSAAVPVLLHPVHLRAAQASRRRRPPSPPAQPQRVARPHLRGLHRRRRLRSQVRPLRRAASSAASVVVAGSGREAEQAAGRGPAPYSWSSSSTATDDELAPFSSDEGGGEEAETRTLFSSLSFSSDSTSEFYHTSGGNNARTRRGNATRHAPRRALLPRTISGPTPPADGAFRPLISVEPKKKHGSGWKLVDSKEAGPGMAVVKRSSNPYADFRSSMVEMVVERRIGSVEQMEDLLGSYLSLNSPRHHPAILAAFEDVWEAVFGDE >Et_2A_018264.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20719016:20719519:1 gene:Et_2A_018264 transcript:Et_2A_018264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSMPAPRDSLMAYLLYNTVVSIAALAGLVRAALVFLDLQDALLPGDDGDQLASAGPGAAAHAVVAAGPGPTAERFRSSFPPARFGSMVRCEAAAADCRVCLTRFEPEAVVNRLPCGHLFHSDCLETWLRYDRATCPLCRARFLPLDDEPRPSLPAAAPLAAVAY >Et_5A_041431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22522050:22528252:1 gene:Et_5A_041431 transcript:Et_5A_041431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAQSQKAEQVLRIVNDSKEDWTLRNYTDMFERWKPETYRDVLNTKAKRSQPLEELNLMSVEEREQHLKDLQQRGTDFMNGLIQHISSDKNYCKFFVLACKEIVNTFMEQYKPRGRGLCEQTAPTTLYRKKEVARGIQVESMDLVAGTMGNLVPKVLQLLEDEYKLQKGVRKQVKYLSDKLKSMHAALCKVAQVPYDRLDPQVKLWASEVREASYDMEDIIDSFLVCVDDGRQPTDDKAKVKRLLEKMGKIFSLSKFKARREIAGTIEDIKEQVDEMAKRRERYRVDDHVANSSTTTNIDPRIFILHKKTSEVVGINESSVQLIKMLTQGDDDLKIVSVVGTGGLGKTTLVKVVYEKLTKNIHWKAFVPVGQKLDLKKVLRDILLGLNKEYYMSKTNFVILDEGQLIEEIRDFLKEKRYFIVIDDLWEVSSWNAIRFALEEKSLGSKIIITTRHNDVAELVHCSYQMKPLSPDSSKELFYGRIFGSEDECPERFLELSDKILKKCGGVPLAIITIASLLASKSDDISEWQEVCNSIGSGLSSRHDMYNNMIKILSLSYYDLPPHMKTCFTYLSMYPEDQEIKRDRLIWRWICEGFIQTQKTSHDLFELGKSYFNDLINRSLVQPESIDSFDGVLDLEGCSLENSQDSMLYVGNLIHLRYLGLSGTGFKQVPRGMEKLHFLEVLKMSGSIKLPMSIFELKRLMSLLSSKAGNLLRNLASLKVLSELCVDNESVGAVEELGQLTQLRELRIQIKMQMDRSMCDAFINSLGNLPRLQSLSIEQDWSLRNNLIKWECWTPPSHIRRLLITGDFLWDTVPKWISPTSFPHLTLLTLSVVYTERPEKIWIGDSYLWSKYGYRTSKPEKCYFMCTTAECNVRKYLERGGQNERAVIMNYKGKHNHAMPGQEIPRCHRAASQ >Et_6B_048283.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:9108844:9110163:-1 gene:Et_6B_048283 transcript:Et_6B_048283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTSRLVVNNHIPEDVMYDAIFPRLPYKSVMRLKAVWKNVLEKITDPKFSAKQSRMCPLCPAIVHIGRSTKKSYEYTMRLLSSTPADVGVPSSRLDFLGYPTEGVHFFLLGSSNGLLCISCKPYHKGPLTPPPTFHIVNPATQKSQLIPGATQHLDWRRLVGLVFDPLDQATTSLQKFQIVRAIPFIGTSDTFLEFRFLIFSSDTGRWIMSNTTLNANIEKSLCKKVVYTNGILFWDYVKDSVWFDVTTSKAGTIQVPKKIQESMSEEWERHSIDASNNGSLMCTMINKDGLAMYEIVEIGDQNWELKHRKGWKDIVEINDNAFQFCHSMKLRNAWQSKFHEKWFVRPLGLENGRWVYFGVKLRYKTPEKVLRYDVETGMVEDTGKELDNAYDMKPTFGYRNSMADIPPINFLASKDGICDGKPYCICALE >Et_5B_045196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11662256:11668758:1 gene:Et_5B_045196 transcript:Et_5B_045196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHFVVVDFEATCEKDARIYPQEIIEFPAVLVDAATGRLVSEFRTYVRPRHHPRLTAFCTELTGIQQDQVDGGVEIRDALAKHDAWLAASGADKNRLAVVTWGDWDCKTMLEFECRFKGISKPAYFDRWVNLRLPFEAAFGPGRRNLQDAVREAGLQWIGRLHCGLDDARNTAYLLVELMRRGVAISITGSLAPALAPKKQDETPPQPQPPVQPFGPNLSVWGGGAGAVPCCCYCGVASRCGVVMVPGPMQGRCFYGCGNCAPAFGPSWGQNSIAGGGMQEYILDDLLELTSVAVDQCPRCPINLFDGGGRLALTGVEENVWIQGGRNVVLNQDWGGCRFTWVEVLLYYVKHSKSPHFLNMTVLCIAPGLHQGPGQTRAKSGMVWLGFKKGQNPQKEGLQLQCKERVLHKTGKEFRIDGL >Et_3B_031749.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:9638541:9639305:1 gene:Et_3B_031749 transcript:Et_3B_031749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQEQQPTRPPQERRPHPPPNPPAMSSYSDDMAAFYDAWAEREEELAAALAAALGRRDVAVAPLVDAAVGHVATYYEHKSALADRDVVAALDQRWLNPLERTFLWAWGWKPALVFRFLNASGAGAAAAGSPQQQRRALEELRASTAAAEREVDREVAAVQESLAGPRVLAALRRQSAARNGEADEAVAAVGRTLRVLLGTADALRQRTVRAVVALLAPDQAAAFVAAMLGFHIAVRRAGRDWSSGHGVGRRGV >Et_8A_056357.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:3439990:3440076:-1 gene:Et_8A_056357 transcript:Et_8A_056357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVICHLGILDMSKQHANMEDHCRATARL >Et_7A_052906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24960497:24963579:1 gene:Et_7A_052906 transcript:Et_7A_052906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRASITTSSDAPAASSCTSTTTCPSSSSFPSFAAGTNVGSPPNRAAPYSRTATASPVSRSTLATPRHRGHGSATASRDRFTVPASRLSPAASARRLDSPVRRSKRTSVRVARTLGSPASEKSASGGDMQEQMTTTASASSHAFHTWKQKQPGRSNQRIRDASLSYLNENYQEMEQGTKPIECMCSRRGEIMHDSKGSVYSCADGMNRDVDWCVDTCMQGVWIVRTYRGRSPKREVLALSWTTGDAPRSIRQRSNTICWSGMTA >Et_1B_010245.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31854536:31854652:-1 gene:Et_1B_010245 transcript:Et_1B_010245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRRRRRRPGECGRRSPAAVGRRGDGEDQQDHHCVML >Et_7B_054375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20591065:20593106:1 gene:Et_7B_054375 transcript:Et_7B_054375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPHALAVKAVLPVPTPPSSAVLARRAAPGVLSLRAASSKARRGSALVAAAAVEDQRPAIDEYPEGILSGEWPENFSLLSYADLRAYLESQIVTSDKMSPTAKLGDVMSRPVQVATPGQRLADIDAFFAAQSGLPVVDDEGSCIGAVSKKDKAKATKGVSSRHYSMLPMESTVGEVMSSPAITLTPEKTVLEAAALMLKAKVHRIPVVNEQQQVIGIVTRTDVFQALEANKA >Et_9A_062165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20285494:20287723:1 gene:Et_9A_062165 transcript:Et_9A_062165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEAQAEGAAPPPPRSGEAPAQVEPAAASEKRAPAERKEDGDGEESKEQRPERKRRRARVAALESVPRAVKVAAAAAAATTSREDDSSTGGCDGGGFTFHARSFSGVQTTPKFGSFNPAAAVAAEFVAFMPRRRTDAAATEGAEDTADAAGGEEEEETAEARTRVFKWEQ >Et_5A_041304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20891734:20892456:-1 gene:Et_5A_041304 transcript:Et_5A_041304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVGLLKVRVVRGINLAYRDARGSDPYVVLRLGKKKLKTSVKKRSVNPIWHEELTLSVTNPNEPIKLEVFDKDTFSRDDPMGDAEIDVAPLLEVLHMDPEDLRNGAIIQSVRPSTKNCLADESHVCWRNGRFVQDMILRLKNVESGEIQLQLQWVNIPGAK >Et_3A_025083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26743204:26746152:-1 gene:Et_3A_025083 transcript:Et_3A_025083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINPISQLRIPPHHHHSRALRLHASAAAATMTQTPVPTATSAPAPGPAPKPAAEPEPGLPDAIAAALPLDPYEQLEVARKITAVAVAARASRLELEAARLRQRLAERDRLAAELADRAANLEQALRDADARLRVALEDNAKLIEERDSLAHASKKLARDLAKLQTFRRHLMQSLGDDNSPIQETVDIRTCEQVVAKANSWKDGLANSHPVSSLADGSSDAESINQEGMRPYEQKLTITHIAPRLTADPARKLRTAATSPVRRYSNAVSPKLTSHATSPRLEGHLAMSPWLPSSKMSSAANSPPRSRGHSVSGRTSRVDGKEFFRQARSRLSYEQFAAFLANIKELNSHKQSQEETLRKADDIFGAENKDLFMSFQGLLTRSRS >Et_2B_019647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11744992:11748276:-1 gene:Et_2B_019647 transcript:Et_2B_019647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRPAILLSLALAVTAMMALAPSPAAATKYNITKILAQYKEFSRFNAMLSETRLANDINRRQTITVLVVDNAGMAAMDHYSKQTRRHILSLHVLVDYYGEKKLKKGGNTASSTLFMTSGIASESSGYVNITHKSGKVNFQTDDADDSAKPAQFVKSIKEYPYDIAVLQVSSIISSAEAEAPVPPPAPVDLVELLSKKYCKSFADLLSANADAFRAVNATKDEALTLFCPVDAAVAAFSAKFKNLTAKAKTAILLYHAVPDYYSMQMLQGSGGAVTTLATASEAKKDYSYDVESSGKTVALRTKVVVSTVTNTVEDSEPLAVYAVNKFLQPKELFKVVEAPAPAPAPEPSNKKKKAKAADGGDDDSSDDSGDDTADKGAAAAPQGLKRWVAAAATVAAALAEIIGVFNKNCMISNSQYRNIFPIWALGEYRCRVLAAGN >Et_5B_043722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14823369:14830119:1 gene:Et_5B_043722 transcript:Et_5B_043722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGFLMDVDEAMESTSTAGAVFLDVSGSHKSTQPTKKQQKRKRASTELSLVNNIASSTEMQKKKDQELKHMKEKAEKEEKRIERENKRLKKHQKEAEREKKRQEKEQAELKRQACIQRQANIMERFLKRKTNSKMDNSGGQCVVRTTCSVSSENTGEIAIAATSAIDCTLSQAKLLGVEEVWLAHVDRWRKLTQNNRLCHWGVRRSPKTQLFQELKLQKSSTSALSDEMLLTPTKEQSSQENTQSHDFSTLLDELKTPSYGNKIRSKAIDKSSSSSVLFTKKLLQFDGSNRPSYYGIWRKESSTVCGRHPFKRDPDLNYEVDSDEEWEEEDPGERLSDFEKDDEETMNEQDPKIDAEEETENSFVVPNGYLSEDEGVQYEPLSGTFDDTCSILSTSGITVEELNVLLQRKKALNSFTEHALSKDRPLVISNLDHRKDYLLKAEDITGILKVEKLCLQALCMRKYPGGPIIDVPTDLNVSLDDQKFFGANNRTPVASKSISDLDMPEFVKLVTSCPRGMNKLVDLLLGTFPCVSKAQLKKKIREIAEFTHNRWQVKKEILDWYGLSFSPESIEEWARYSELRY >Et_2A_017453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34529743:34530748:1 gene:Et_2A_017453 transcript:Et_2A_017453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPSLLLQVAVVALAASAVAAAPSTSDDGTAVPSCAAKLVPCGEYLNSTSAPPATCCGPLKDAALNETACMCAMLLNKAALQAFGVAPEQGMALAKRCGVTVDASVCSKFTDAGSGAGSTTGGSTAGSSSSTGGSPSTVTKPAANGGTKNRLSLMGASSLVGFSFIWGMIMA >Et_4B_036385.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21066038:21066497:1 gene:Et_4B_036385 transcript:Et_4B_036385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFKGAAFCLQPPGDSYTRRSAFDAMLAGCVPVFFQATLLGVRAGGRRAQRLRGGGGRAPAVQPGAGGGDEGVGGPSHPADRVQGPQAGRRRRRRRVQGRRRRRHRQGGTDQAGAASPGGGRRRCAPVGRLLPQAV >Et_3A_023481.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30025114:30025356:1 gene:Et_3A_023481 transcript:Et_3A_023481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVIVETDAANLGCELTSEDMDQSPEDGLFRTIRSFMESNFVSCTVSVCSRVCNKVLLPVVLAQCRLASACSGALHRVL >Et_3B_028517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16410663:16413243:1 gene:Et_3B_028517 transcript:Et_3B_028517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATRRGRASAESQPSSSVPARAPGAIEVQRRRVGGGGWTSRRISIYASRVYFLLIILQIPLFRVPCRAGTCTTPIQVTSSQLVSNEIFPPSVVKAMLYPGAIVSNLTKSMAFPRWSDIFDIYNLTEAKNASAVVDLQRLEILAGSYFCVAGALVGIINPGRMTLFGTLLVIWGLVKEALFGKPVNSDPTQSSYVYPTIMIALVCAFMSITYNVKKTARSSPSVTVAKPLQSSAKSKLK >Et_2B_020529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21069172:21072717:1 gene:Et_2B_020529 transcript:Et_2B_020529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAQGDLAMDARGWDETAYRRGILRERDLSCRTLFRAVFFDHGEDADPDVLLAAASSDGSLASFSLSSCIASAAATPSQPDAVSLVDPVCIVQAHSGPAYDVRFYPDPQQPLLFSCGDDGRIRGWRWHEMQSCLVPLSLQGDHLEPALDLVNPQHEGPWGARSPIPENNAIAINKQEGSIFSAAGDACAYCWDVESGKCKITFKGHTDYLHSVAVREANRQVVTGSEDGTARIWDCRSGKCTQVIHPVKNKAFESSWVSSVAIDASESWLACGTSSGLSVWSLLSNECIFNLDCHAPVQDLLFDKNQILAVGAEPVLSRITINGTVLSQIKCAPLSAFSVSMHSSGMAAVAGYGGLVDVISELGSHLCTFGSRGLDKYP >Et_10A_000352.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:4755257:4755943:1 gene:Et_10A_000352 transcript:Et_10A_000352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAQERWVILAGIPNVLKDKEAERIIPPGADISVAGSDFPRASVLTVPYRVSSPPCYDYPYVAAVDSSGLLLLCSTEPDGVYFAIYTYHLCDARTGEAVRLGDHDEAMGRHGRNAGLMVRDGSCMVAELQPTGDGATLLCYDVGKKHKWVQKKLVLSPPPHDKWCGEGVVSDGAMLWWVDTRHGLLGCDPFADEPELLHVLLPPVPDQLPIDTANRGAYHCVKMSG >Et_2B_019611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1116223:1118024:-1 gene:Et_2B_019611 transcript:Et_2B_019611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YWKESELAFSSHDHGSESVISGHCSSGPSEQIEMSISAYKKQNSLGTPPVHRTNPFDSDSDSEVPSRPSRAQSVPVQRSEQSVQDLEHYAVVKAKETSSKVNDCVRAAEAIREDAAQTLMALHRQGEQIMRSHQIAADIERDLSVSEKLLGSLGGLFSKTWRPRRNQQIKGPASENNSFARTADNMESRQRLGIALTRQECPSPGHPSPATPATAMQKVQAEKAKQDDALSDLSNMLGQLKGMALDMGTEIERHNKALDTFSDDVDELNFRVKGANQRGRRLLGK >Et_1A_006958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29496810:29498598:1 gene:Et_1A_006958 transcript:Et_1A_006958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVTLLRLPLARLSTQLRSIPSPRLPPPRLCIATSHRLHSSLCHGSAAAASAEAAAAPNAEEELVDATEESHEETSAEAEAAEEAPRSFVLPRLPQPKLTVKERKELASYAHGLGKKLKSQQVGKSGVTPSLVSAFTDNLESNELLKLKIHGNCPEELPDVITKLEESTGSVVVDQIGRSVILYRPSSSKMKKRQEVAKSPRRFVKSEEAFEERPRTSTGKRFYSSGPTFRTQQKRRPMASKGSSYSRG >Et_3A_026892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25198146:25199449:-1 gene:Et_3A_026892 transcript:Et_3A_026892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAADSSGGDRYRSHLAGEGEKDTVWRHGAAPTYDAVNALFEAERTQFAWLNQSVARVGWRYVRTQEWPSGSLEETVQNAIKTWEMELSHKARLSDFKSVSPGRFSLSVNGGAARTGEETLAVGSYNALLASPVLASSGAYDAAAETFESSHDLFRSAFPRGFAWEVLRVYSGPPVIVFKFRHWGHMEGPYKGHAPTGDKVEFHGVAVLKVDEQLRAEDVEVYYDPGELLGGLLKGPKVTSSEEERREVALAERLREAATVSVSGADQPPQGCPFLSAGKQE >Et_6B_048986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16444515:16451693:1 gene:Et_6B_048986 transcript:Et_6B_048986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGMADVTMPQPPPSHGRLITVLSIDGGGIRGLIPATIITCLEAKLQELDGPDARIADYFDVIAGTSTGALLTSMLAAPDENKRPLFAAQDLTTFYLENGPNIFPQRKAGFLTPLANLLGVVRGPKYDGVFLHDKIKSLTHDVKIADTVTNIVVPAFDIKYLQPVIFSTYEARADPLKNAHLSDICISTSAAPTYFPAHFFTTYGPEGKSREYHLVDGGVAANNPTMIAMSMLTKEVLRRNPDFNPEKPTEYRHYLIISIGTGSSKMAEKYTAPQCAKWGVIQWLYEGGFTPIIDIFSHASADMVDIHAAVLFDNSTATAVDPVALAPPPSEGRLITVLSIDGGGIRGLIPATIIACLEAKLQIKSLTHDVKIADTVTNVVVPAFDVKNLQPVIFSTYEAKRDPLKNARLADICISTAAAPTYFPAHHFTVHAPAGAADKPPPREYHLVDGGVAANNPTMVAMSMLTKEVLRRNPDFTPGKPMEYRNYLIISVGTGSPKQAKQYTAPDCAKWGLIQWIYNGGFTPIIDIFSHASSDMVDIHAEVLFESLKCKENYLRIQDDSLTGDTSSVDIATKENMEKLVEIGKELLKKPVARVNIDTGMYEPVAGEGSNEDALGRFAKMLSDELRLRKKNYNSY >Et_4B_039492.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1947894:1948799:-1 gene:Et_4B_039492 transcript:Et_4B_039492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRDCSLACLAIVFLLSSVPMSHGGSIAIYWGQNGNEGTLAETCATGNYAFVNIAFLCSFGSGATPQLNLAGHCDPYSNACTNLTADINFCQSKGVKVLLSIGGGAGGYSLDSKQDAFKLAQYIWNNFLGGQSDKRPLGDAVLDGVDFDIEGGNPDYYGALASFLKSFGAKGNTKQVLLSAAPQCPFPDQWVGKALETGLFDYVWVQFYNNPPCQYTPGNMDNLVKSWNQWTTGIHAKYIFLGLPAAPDAAGSGFIPAASLDSQVLPALKSSTKYGGVMLWSKYYDDQDGYSKAIKNSV >Et_8A_057298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23318530:23321002:1 gene:Et_8A_057298 transcript:Et_8A_057298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPPAAPLSRQHLLRISRCLPPVWRNVAPAQAPASPPPPASSLSPTYLSSLLFSCTAQRAHRPGQQVHARAVTLGLGAHPSVLPRLTTFYITLGDLSAARSAVEQAARKARAFPWNLLIWAYAGRGLWEDVILGYKKMLTLGIVADRFTYPSVLRACGELQEITTGRGIEQLIQRRRYGLDMYVWNSLLGMYAKCGELEEARRVFDEMPARDVVTWNTIVSAYSSSGMCDEEFLERVPGANIATWNAAAAGNLKAGNYAKVTRLLSRMRSPHGPGMDFVTVVIGLKACGRDGCLRIGRELHGVAVRLCFDRIERVENSLITMYSRCRMIGCSYILFKKRSNRSISTWNSLLAGFAFMDQVEEAIFLFREMICSGFCPNDVTVLTMLSRIARFGHLSHGMEMHCYILKRGLGGSNLLQNSLVEMYSKSRQLAAAQRVFDQMQCQDKNAYTSLILGYGMQREGHVSLKLFDEMITNNIEVDHVTMVAVLSACSHSGLVTQGQLLFMKMVDVFCIAPRVEHFSCMVDLYSREGLVRMAEEIIDKMPCQPTAAMLATLVEACRIHGKTEIGDRAAKRLLAMRTRNPGHYKLIANLYISAKRWPELAKVRSLMSAMELDMIPTHSFLESSFDLCSVEQDYCLHLGSHSGLSDDMTDTDSCSGEEMKCSEAFVRTLKLAKVRSLMSSMQLDMIPTHSFLESGYDICTVEQDDCLNLGTHGGLSANTTDTDSCSGEEMKCSEAFG >Et_1B_012740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34870825:34873652:1 gene:Et_1B_012740 transcript:Et_1B_012740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWVSAVATLPLLMLNSSGKAGDALVGRGLPGLRLTAVQTWSRRSEWLHLAPAVLIVELEITFSSKMRPVFCGNLDYDVRISEIERLFGKYGRVERVDLKTGFAFVYMEDERDADDAIRRLDGIEFGRKGRRIRVEWTKEDRSAGRKGGSRRSPNSARPTKTLFVINFDPMNTRTRDIERHFDKYGRIANVRIKKNFAFVQFEAQEDATRALEGTNGSAFMDRVISVEYALRDDDEKGNGYSPDRRGRDRSPGRRRSPSPYGRGRERGSPDYGRGRERGSPDYGRGGDRRSPNYGREASPNGRARGDEGGSPNYERERREASPRRDRYEASPHRERREASPRRERREVSPGYDRPPRLVYSQCRHVTRNCKGRERLNLE >Et_6A_046234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:10116178:10118536:-1 gene:Et_6A_046234 transcript:Et_6A_046234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGCDMVPLLPDIDKSSGASEELLQRKPVPLGMLVRLAAWEAGNLWRISWASVLVTLFSFMLSLVSQMFIGHLGELELAGASITNIGIQGLAYGIVIGMASAVETVCGQAYGARRYMAMGVVCQRALVLQLVTAIPIAFLYWYAGPLLGLIGQEEDVAAAGKLYARGLIPQLLAFSLFCPTQRFLQAQNIVNPVAYITLAVLIFHTFASWLSVFVLGLGLLGAALTLSFSWWVLVVLTWVYIVWSPACKETWTGLSLVAFTGLWGYAKLALASAVMLALEIWYVQGFVLLTGFLPNSEIALDSLSVCINYWNWDFQIMLGLSYGASVRVANELGAGHPNVARFSVTVVVTAGITLSILALLLVIILRYPLSMLYTSSTRISEAVISLMPLLAISIFLNGIQPILSGVAIGSGWQAIVAYVNVAAYYLIGLPIGCFLGYKTSLGVAGIWWGLIIGVFLQTIALIVITSRTNWDKEVIIFLRSADLLKLLLGRGLHSWLYQCRSGGESEAAASSLTLFQLTTSSHHPTGDQLADTDLELRRMAKLFTCSLQRWCFLLHGHGRIHLNPALEPE >Et_3A_027161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3661317:3664359:-1 gene:Et_3A_027161 transcript:Et_3A_027161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRMRWMSDEDGQWELDAETPVTMEGTARPVPGDPLPLGLSRGPRVTRPKQLDFLHCFMASPLVPSFSASLDGLFVHHAHILHLANNWSCTILEKIHVQKLVSVVKEKLANRQEEAWSKDLKKHLHDVMSFGIGTELLVTPDTTLLLELYDIKKGDRGKAIFHHKAKNLDALKLFGIPLLPHQNLTFQASWPGLFVDKKGAYWDVPLSLSADLASVGSSSGLSYHLLLQQNSGEPKCFGGDGTDDVPAALLPGLCAKAAISIKKSIDVWRRKEDKLKNVQLYDIFLSDSHVSFTGIIGAVASGYFGDCSKRVSARDETRKSNAFRMFDERKKFAAFSDLFASVYFTAQYGNFQRLFLDLTRASARFDISSGSLFLCGASRLAQDFFFSRRPDIETFCDVCPDVVVSLQQQIIGPFSFRVESSVTIDPRRQDHFVRVDDSVFAIDWALKVLGSAKATAWYSPKHQEAMVELRFFET >Et_10B_004417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6668748:6671355:1 gene:Et_10B_004417 transcript:Et_10B_004417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCQCTLFCIGETSRMETTSRRLADRKSAKFQKNITKRGSVPETTVKKGNDYPVGPLIIRTATSGGMA >Et_7A_050302.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:22822645:22823013:-1 gene:Et_7A_050302 transcript:Et_7A_050302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVGIDNIDFTQEDEEPVKRTRSRTFNYSTDEDEALVLAWQSICLDPITGNDQEGSTYWDRIADQYHVLVSNNSIRTRKSLQQRWVSIQACCNRWAGCMESVTNSPPSGTTIVDYVSFLR >Et_3A_023833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13048159:13054539:1 gene:Et_3A_023833 transcript:Et_3A_023833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFILSFSDRTLPPLQGCGNSIRSCSEIGWASGRGLLKDGTGPQIWSAATPRRVGRRVKRDPDNNAAGGPTVMGPAQPERSSPAEGESRLVLDNPDVIEVSVEAAADAGLGSSGRQRRKRKQVVPHDIIELDADDDPDGVMITGDKTSDHVNKQAFGFHEDWSKHAKQLQSDVEVADNFVSPSAITATNVDPWDGLGRGFLKNVGGLNPITATIIYPWNGLGGFIQNARGPNPITATNISQWNGLGGFPQNAGGPNPLTATPPIYPWNGLGGFPQSAAGPSAVPATKFYPWDGMGGFPQNAASPNVISVANFYPWDGLGVYGATVLPTAHFGQYSGATGVDDHAFNKGKSNNHYSYNNSLMESGSNFSLAANYYTGIAPGVGALLPLGHMTSPKMPHQPRQTNIANNETDEKYDTFKQFDTVGDHNDHFYALPVPGNGNVQAVKKVKRIQCEWKILENDLPGTPYHDGLFFFDVFFPPQYPNKPPHFEEFTVGHFRKYGRKLLRGCKAYLDGAQVGCLVGGVQDVDEGDKSCSNGFKALLKSIFVDLQTEFTNIGVDCNEFKSLEAPMATPDTALKL >Et_4A_033968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28519056:28520224:-1 gene:Et_4A_033968 transcript:Et_4A_033968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERSESSTEKIMSSIMDTIADNLPRQKSGHFDPSSVSEKVKNKLFGRQDTVHRVLGGGKPADVLLWRNKKISSSVLALATAIWVFFEWLDYHFLTIISFALVLGMVAQFVWSNFSSMTSGSPSKVPRVELPEELFVNIAVAIGVQVNKFLSFLQDVSCERNLKHFVVAIAALWAAAVIGSWCNFLTVVYIGFVCAHTLPVLYEKYEDQVDDFLYSILGLLRDQYQKVDRGVLSKIPKGNLNAKKSE >Et_4A_034823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6956023:6960733:1 gene:Et_4A_034823 transcript:Et_4A_034823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMYKDPPSEISHSAHSGHKLKLVTPTTDGPPFRCDGCKEPGSGKWRRYRCDHCEFDLHISCALHESTLKHPLFGDLEFEFIRQVYPPSTDAKFCNACGSITPGFVYHCSEKDVDLHLHPCCADLKMESFLQDGHVLQLCKEAKQGCVICGEKARPPGSSSNKKFWASFKKEEKLWAYRWHYDGNDGYLHVACMKKIAVHNWERAYQDSAGAGSIVEESLPIMKGMLRWRQSKNTENSIGGFDQTAAGLVQLVEEFATSQYENSLAEGKKQNQTKLNMKLYEDPPAEISHNAHPAHKLKLVTTTDGPPFRCDGCKEPGSGTGRRYRCDGCEFDLHTSCAVPEPTLKHPLFGDLKFEFLPHAPPPVDATFCNACGYRAPGFVYHCFEKDLDLHPCCAALKTESVLRGGHMLRLCDEAKQGCVVCGEKAGRQSAANKRFWAYRWSYDGNDGYLHVACMKKIAVQSWEQAELDGAGVVEASVPIMKGMLQWRPARETEAPGSSGRIGIGIRGLDNLTNIAQIIAQASSS >Et_2B_021466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29982919:29983731:-1 gene:Et_2B_021466 transcript:Et_2B_021466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDYVFKIVVIGDSAVGKTQLLGRFTRDEFCFDSKSTIGIEFQTRTVQIDRKRIKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDITKRHTFEHVARWVDELRAHADKSIVVMLIGNKSDLSGRVVSTDEASAFAEEQGLFFSEASALSGENVERAFLRLLHEIHANVSKKSLETARKVNRNGREYGSDVLMLKGTKLSLSEEISLMETSAMKRASTCSCY >Et_4B_039040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7285859:7287427:1 gene:Et_4B_039040 transcript:Et_4B_039040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLAFSRCSLLLLLLLLATMASHALNVGNLLGTPPAGSQGCSRKCESEFCTVPPLLRYGKYCGILYSGCPGEKPCDALDACCMVHDHCVATHNNDYLNTRCNENLLRCLDSVSPAGPTFPGNECDVGRTAFVIRGVIETAVIAGKILHKRDDGH >Et_2B_020968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25378271:25384078:1 gene:Et_2B_020968 transcript:Et_2B_020968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMSTTPKPLDAAKAAAVAGGGGGVDEGGGGGGKQQRMPGGMVPMAPPPMAVPAPAPAPAGEEVRKARKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPQKASKNVSQAVLSQQLPLRDQGAVMSMDTSNVIRSANANGAGTSWDNGLVQPFGASQGAVGTNNCYSSIESPSGTWPTSEAVEQENVVPPLRAMPDFARVYSFLGSIFDPDTSGHLQRLKAMDPIDVETVLLLMRNLSTNLTSPDFEEHRRLLSSYSYGGGDHIKSEGIENLGSPQNCHIPFILGLAFTWTVKTADDETMTWVKDAVLDCTSGSGRS >Et_2B_022186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11397816:11399329:-1 gene:Et_2B_022186 transcript:Et_2B_022186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHSSENRWVVILPPNIKSFIDSVITTPLENVELSLKDFAWEFDKSYIKSRKDLQLEDNFLDEDPPFPREAVLQILREHNFLVSFI >Et_1A_005898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15200375:15206941:-1 gene:Et_1A_005898 transcript:Et_1A_005898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSTAPRAPLPAVAAAASSTSSSSSARQLDPNPSGRFHAARLRTVRRLAGAAPSRRAPVVRCASRSSDADAGGEPRRRVWEAMLHDAFQGAVRRWSEYVSNHWPSSPAAKEAGQGKVLESYLEEEVMSGDEEDEEEEADGKWSWERWKRHFALIEESERLVDELQLQLRAAMYREDYRSAHKLKLAVAATAQNDTVGRAISDLNRAIKEERYRDATYIRDHAGAGLLGWWSGVSGNLSDPYGLIIRISAEHGRYVARSFDTRQLSSDGPGFPIFEIYFAEDDGGYHLQVPNMLREKLGIDSINISNSSVGFKHEQFDGGVKMDDQDSDDSNIAADPAGLKNLSSDSTAVPRIKILKVVPMENVNQDYIINIFDQISEEDDDNDDPDVKTESSQDIGDEDNNEGAETVSAEENGDESDDESDVETLISVDFVSENSNNFDSHSSDEAFERMPARLEKRDRFSFSFYTEQYSKKKDAEKAQQTLKKTVSLGTSQQADNGFVQLDRVKLSGSNKKLSILQLGVKQHNNKVQQKLHGVTHFNRIQMPISSDPLTGLYMTASGFDSEVLSLQRKFGQWWEDSSSEEQEDLLFYEYVEAVKLTGDNLVPAGQVVFRAKVGERYQLPHKGIIPRELGVVARYKGQRKIAEPGFKNPRWVDGELLILDGKFIRDGPVIAFFYWTSNFHLFEFFRRLRLPD >Et_8A_056109.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:20795823:20803277:1 gene:Et_8A_056109 transcript:Et_8A_056109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PESSSESLRKLGDQLGIILGDQGGDHTGSTNLVTTGLATWKSQSSVGSSGSRSVLILLHLASKVSFISTLKQCRMSRDSSLRQLSRIISRPALVRGLSKSCREHNPRIFAIHWISSDTSLI >Et_4B_036918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12591343:12593567:-1 gene:Et_4B_036918 transcript:Et_4B_036918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSPVSRRLRLLGLDHVFCRSTSGFLGSVCASSQSYLPSPGPVIWFSHEDQSEASATVQSAISNSRPVMIFCLALLLRMEAVRLRSSKVTGVVLCLEGVFIIAFFDGRAFSPLSHHSAFHGVYHSLVARSHVTWIKGTFLKLFGDITWSVWIVLQYSISGTTDKFELIKLMEHGFIVRGINYYLQALSSKLSGPLSDLSVHYYAVLLGRDYSPRKIHISSYL >Et_7A_052993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3990312:3992418:1 gene:Et_7A_052993 transcript:Et_7A_052993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDFNKTGGVSSPDNYSCICTEGTVIKANPSTQRGKAKNCEILNRLDNHKSSDESIQGIGVQKQDNGTNDRASSSICGIRCFTDLPAALVCEILARLDPKELGIISCVSTLLHALATDHQGWKKFYFERWGVPNLPVTLNGPLLPGGLPDGKTWKSFFVEREFRSKSFLGRFSVDILRGHSDDVRAVILLASAKLIFTGGRDSVIRMWNMEEGFLIDTSRPLGCTIRAIAADIKLLVAGGTNAFIQCWRAVDGNNNLFNISGSGTDQNSEFRLWGHEGPVTCLALDPLRIYSGSWDMSVRVWDRSQMECVRKLMHADWVWAVAPHGNTVASTAGRDAYMWDIRNGKLTNIVSNAHVGNAYSLARTHLADVLFTGGEDGAIRLFDVSEVSDDDDVKPTATWVPHSGPVHSLAFEYPWLVSASSDGRIALIDLRKLLTPKKSSKHPFSIKNIDAILLKRKLHDIIAAIVSFVICPTNVDGRPPPVSATAVPWWSGAVPDQAVEQDTT >Et_6A_046574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17582101:17586110:-1 gene:Et_6A_046574 transcript:Et_6A_046574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VEKRQAATEQSSGGIGEMEAMAKKIVSDLNVTQTAVPAHTIGGYQVYAVAVENRGAEHTVTFYYAWSSQFSFEPVSSTLGCSAGPVPAPAPGPL >Et_4B_036076.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21466282:21466608:-1 gene:Et_4B_036076 transcript:Et_4B_036076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLPQDVLADVLGRLAPRSLAVSRSVCREWRAIADTGGKLLPTSLGGIFINLHEPEPPEFFARPSMAHKIAGELDEYVKSDDILWINIITCCNGLLLLDGFVVNPAT >Et_2B_021740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:555592:558060:-1 gene:Et_2B_021740 transcript:Et_2B_021740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKAAVEEGIVIGIDGGCTLLRLASKVDHLTGSLENSELWIYAGKYTDLTSAGIIDSTKVVDSY >Et_5B_044536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3260166:3263200:1 gene:Et_5B_044536 transcript:Et_5B_044536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHAASFVADDVVAAPVQAGRHFSSFPPPKGLDCKKRATAHMDLAAAGVVKGGSWMEIMKASSPRRAADADHGDWMEKHPSALAELEKLLAAANGKQIVMFLDYDGTLSPIVEDPDRAVMSDEMRDAVRSVAEHFPTAIVSGRCRDKVSSFVRLNELYYAGSHGMDIKGPTVQANAEEAVHYQAGSEFLPQIEEVYQTLTSKMEPIPGALVENNKFCLSVHFRCVQEEQWEFVNKEVRSVLKEYPDLKVTHGRKVLEIRPSIKWDKGKALEFLLKSLGYMGRNDVFPIYIGDDRTDEDAFKVLRDMGQGIGILVSKFPKETAASYSLREPAEVKEFLGKLVKHSKGDVATKMI >Et_4B_039844.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:3927654:3928607:-1 gene:Et_4B_039844 transcript:Et_4B_039844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRVAPPLLLLVVLLLSTAAGPSLAQVTTNGTSESHHSSKTAGGFTPTTVIVLVVLIAALVVLTLFSVYINRCAPPRRTAAPDHHQDDHAVSRARGGLDRELVESFPTAVYADVKARAGAAGPLECAVCLAEFDDRDELRVLPACCHVFHPACIDPWLAAAVTCPLCRADLTAAAAPPATAESGGGGDSTEEQDDEACLVVGVGAFTPESLVSSFGGAAAAMRPHELHHYRRTQSAMDVPDRHTLRLPEHVMKELAAVRRHRRAASLAGYPDAAERTTTPRWLASFWRSVAWQRQSADAGGGEEHNGGSKRVVPI >Et_9B_065645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8592642:8597541:1 gene:Et_9B_065645 transcript:Et_9B_065645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPCLSRLLLFTVLALLLLPMSDARVCPPCGSTAVPYPLSTASGRPLLFVGILALLLLLSPTIDARVCPPCGSTAVPYPLSTAAGCGDPAYKVRCAASANSSNSSSNTLFFDALNGTSYPITYISPTTQRLVVSPAPFVSPSACVSEGAPASRGVQLNSSLPFNVSSSNTIMLLNCTPALLQSPLDCSSNSLCHAYANATGSTASACAPLPLCCTFVAGGSSTSYSVRVSPRYCSAYRSFVGLDASQPPATWGARLGLELQWATPREPLCRTQGDCEDGVNATCADDPSGVAGVRRCFCVPGLTWSPLAGACQQNPSDCQRNGDCGGTNHAPLIAGLVCGLGGALLVAGAGLFAFRRQRRIRLAREKLAKEREEILNANNSSGRTAKNFSGRELKRATGNFSRANLLGAGGYGEVHHRSLVRLLGCCVDLAQPLMVYEFVPNGTLADHLFGTMPQPQHQKPLPWRRRLAIARQTAEGVAYLHFAASPPIYHRDIKSSNILLDAALDAKVSDFGLSRLAEPGLSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSFGVVLLELLTAQRAIDFARGPDDVNLAVHARRAADEERIMDVVDPALKEGATQMERDTMKALGFLALGCLEERRQDRPSMKEVAEEIEYIINIEAGAGHAGIDHQQQLHDD >Et_1B_013575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9652613:9654638:-1 gene:Et_1B_013575 transcript:Et_1B_013575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRSRLLDLERDDVFYGASDGDHVSLAVHATVGLAIFLLLRFTAAGFPLDTAVCGVVLCGAYYFLVDGHALLGFLCWAASGVLAARLGFSSGWKVLHTFAGYQSPPGFGAATPPPSVYTSARKASTVSTNAD >Et_1A_005669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12610176:12618405:1 gene:Et_1A_005669 transcript:Et_1A_005669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPHERTGGSRYLRPPNPSPSPKHGSDWRRSPPASVSPPAAAAAALRAGGSGTSSDSLLKIQSLRISGEENDSRTQEVGNISECHSSRHGNLDFPVDNVGPVSAYPDRPEMVGSLPAENSAMVSTGLPGNGAQIVARKNQAVNANHLLNFQYDPISRPQPRGPRTYPPRRQKKIKPYNKDLFLQANYKFVVLDTGNYQIESMDPDKMLQWDDIICVKYSSPSEVQCPICLDSPLCPQITSCGHIYCFPCILRYLIMGKEDYKGESWKKCPLCFMMVSTKELCTIQITQVQHFRAGDVATFTLLSRSRNSLTPCVKSSSSGCASANEDESNVFSKFILTSDVELSVREAKSDLSNWLRMADLGLVDDLEKLPYVSAALEQLEERMKHWTEYRNYGSSPPLNDSFSPGSSYNSRNSFDGHASHQNSGHKLSPVSDGDMMAGISALSISPGSNKSSDKRVLPKMGEKAHIDSNGHEPHDSFTFYQVLDGQHIILHPLDMRCLLDHFGGPDMLPPRITGKILELETVTQSETTRKRYRFLSHFSLTTTFQFCEIDLSDMLPPSSLAPFMDEIKKREKQRKRTAKKEESERVKAEVAAAVQATAMRFEHTNFSYSQNDFMFSLDDFEALGNSAGPSTSPPASERKLFSDVTRLGFASAQDSPPLRVETQDANGKNESTRDQGPSATPSLSFASIISSSRATGVADNSSEMPKPNGVGKKGKKPSRVKTSLDNLSFFETWRFTISLLVDRGENITTWFIDIRGGARGSSSLCYKVQITI >Et_8A_056683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1538041:1539709:-1 gene:Et_8A_056683 transcript:Et_8A_056683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASTSCASPLLLPSGPRQHASSTAWLRGGGNAFRGRALSSEAPCCCRAAGGSAAQCGAADEFAVGTRRSLLGVALSASAFGLAAFDAVAAGLPPEEKPKLCDAACESELENVPMVTTESGLQYKDIKVGEGPSPPVGFQVAANYVAMVPNGQIFDSSLEKGQPYIFRVGSGQVIKGLDEGILSMKVGGLRRLYIPGPLAFPKGLTSAPGRPRVPPNSPVVFDINLLYIPGLDDE >Et_4B_037366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1945126:1947427:-1 gene:Et_4B_037366 transcript:Et_4B_037366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNNRSRRRRRRRSKVEEVDAAENAPSSSDRIAQRDIPLPKSPATQQSINENPAPRMGARDDARALRKLLMSIIRGHYIDAISRLPAAVLTTFRAHGLLVGGHCYGPLRPVENIIINSVWYAAAFPFRSDPIDVAVVSAEAISRLAHRSLEGSVAILRHHCPGLSHDDALWHLCLAGADVHGAAASVRGAAPFARTELEAVPFQVAAQAARHPNPAALALFVTSVLPSVERDALSLLAAKRTLCLPDILRLSAMLLPFPLPDEPPPPQPSPGEQYPKILRIIGARRKYLKMWYQSFLDIADAKFARQTGEQYHLHTVYGQSIVKNEDYLLDRYIHINFMAWRQVGSSSSACQNEAPVHFFAEADNPPTRDCPEECITVCCMLAQISPRHVDNCYACMMEHQKIDHPDAETHFGGHPHKTVETENDSDFPSKDDIDVDFRFFDPDRDIDLMQFYADRIARIEAIRSEFRSKNRANCSKIPFDSDDEDISDEETSDEDDYCIQYI >Et_2B_022672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:351763:354659:1 gene:Et_2B_022672 transcript:Et_2B_022672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAKLRAKERHRQQTRDAARRLQAMARIHIRLCSGYVERTSSKPDGRRDQEEHSKLGKGGGDLVSQACRNASWFVHLTTDRCETALRSDKRSLAAKKPRDLALIAIDILERGAAEVDAIVVKQQHGGDKAMAVILETCHLYLDFVARITPLCRSQVQEPSSYDRHDLDTVDCAKKLSDAAGQCWDYIWDFRADDATKKAVGKQAQDAYYWGGLVQYMVQLMFPNVKDDPPMYVTNNNE >Et_1B_009904.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10066139:10066426:-1 gene:Et_1B_009904 transcript:Et_1B_009904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPGGRTAQLKYDDPGTPRGFCAKYFSVKSCLLLAVVTVLLLVLPLVLPPLPPPPMLLLLVPVAMLAMLLLLALSPPPSCRRNDEAVDPTYYL >Et_10A_001829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8946256:8948551:1 gene:Et_10A_001829 transcript:Et_10A_001829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWTLSTGYKKLLLVAAAALILPHSTSVTTGGAAGKAKPGCQDKCGNVSIPYPFGIGHGCFLNEGFEVTCNKTHQDYYQTVLNTSYRTVLEINLLLGEARVQSPISWSCNYTNGTLDRLDVRTGVVAVGPSFTVSRTKNKITAIGCSTVAFVGGSDQYEYFGACGSFCKDEYSIGAECDGMGCCQTAITGNQEFFIFEFADYLQDPRVQKFNPCSYGFIVEDGWFKFVPEYAKLSHFRERYGDGVPLVVDWVVGNQTCAEAKGNLSSYACLDVKSVCIDTSNGPGYLCNCSTGFEGNPYLQGGSANVGSFIFLVEKC >Et_8A_058129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2080174:2081541:1 gene:Et_8A_058129 transcript:Et_8A_058129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAPPPPWAELPADELSEIAGHLSDAADLVRFHAVCRPWRKAPTPGQHRLLPWLIAWPISELRPRLPIRSPFTKKNRYLRRMPDLSFRKMECADAANGRVLAVGIMNDDLMAALVNPLTGDATCFPVPSRPAERNEVGVFLGTIKFHLMTAMLRLRHDETGAESRTVVPLTYMPLSYPESVLLDRPGILAAALCSSGVLAGGACAMAELPQAKSKCDRYVLECQGKLLCVDVQQPWQHPEHAPASLSVYVMEVGGDSGRRPRWVEWRDANDGHVCFFLGGENDFFIGGESSFAIYARELAAGAELCKAGSCAYYVGRHPKWTTKELVYGVYSTAEKMNTQEGHDVAIRAGQAYNR >Et_9A_063283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18787004:18789435:-1 gene:Et_9A_063283 transcript:Et_9A_063283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVIINDENILLLVFFPSLCQTLLILFHERLNPKKPAKSKDKSSFKKLWQFTKSSNASTSAHDHHHQQQQQQQPPPPSSPSQPDQQQGQEIVGEAQSTETRFEQGGRAYPDEETAATQQAVAELVAGPTDTTPRAQHSRSKEDIAAIRLQAACRGYLARKAHRERGMTRLMSLVEGLAVTRQTEEALYCMQTMTRIQTQIYSRRLKTEEDKKALKSQIKIKQSFDKAKIGEGWDLSHQSKEQMEAVLTMKQEAASRRQRALAYAFSHQWSNRNPRNPSSARAAPAPMFMDPGNPNWGWTWTERWMAAARPWESQTTVPGNGRATAKSASVRPRVAAISVSVQPTTTPGGRSFRRPNWPSLPSPSTPPPRSPSVSARTAVPVPASPRSGPSGLKRTKSLQPDRRPHDRAAAASPRGGSGGSPVRASGSGGLQRATTMQTERCRPRSSQERAASSPRRAGANAALLRTTSLRSEPLRKLSLGGGVAPAENESTPVTPGYMQPTTSVRAKARCASPSSSSSPNKADAAEKTPAPPRTSSPSSAKKRLSLGPADKPGASSSSPNTAKAERAKRHPQPPSSPRRQLS >Et_5B_043024.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:14885253:14887422:1 gene:Et_5B_043024 transcript:Et_5B_043024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSESPKVTERKVDKDHDGQNDEEKGGFLDKVKDFIQDIGEKIEETIGFGKPTADVTGIHIPHISLEKIELIVDVVIANPNPVPIPLVDIEYMIESEERKLVSGTIPDAGTIHAHGSETVKIPVSLIYDDIRSTYKEIEPGSIIPYKVRVVLHIDVPVIGRISIPLEKTGEIPVPYKPDVEVGKIKFEQFSFEESTATLHLNLDNKNDFDLGLNALDYELWLSNVSIASAELKESANIKKREITTMNLPISFRPKDFGSAMWDMIRGKGTGYSIKGHIDVNTPFGHMKIPISREGGTTRLKKGDDDDDEVSVLFLILVFEFVHFSLTKPYGFGNTNI >Et_4A_032552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11270542:11271426:-1 gene:Et_4A_032552 transcript:Et_4A_032552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GAVVPCAAVEPRRRGVERREVVVSGAGGARAHGPERRREGAVQGGRLVVEAVPEVGAPGEAQRVASRQRDEVLDGEALRGEAGDEAAQAEGRRRDAVVRRRLARRPRVATAQRHVVPRPAQLRAMHAVPGGDGDDVGAGDDVAPAGVVDGGLDAVDHLEPADGVGVGRRVLLAREQGRVVKQDGSVAPLMNNQAAQIIVRITYPKPNAYACLNKRAQRMLTLTKQSWKWRRMSEAPMRGSTATASSTILLMVGSAAGHALS >Et_2A_017695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5192032:5195157:1 gene:Et_2A_017695 transcript:Et_2A_017695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARIHASSEYKISCQEVARRLYLQLNLFRVSRKQPLLTSHQHNNHYQWAVASACSSAPDGGEAEPWLAEHGDPCRVFLAGDSAGGNIAHNVAMRAAAAEALPAPIKGVLLMHPYFWDASNSMGPVLEDRIRREWRFMTGNDDASVDDPRLAPTSAELARMPAARVLVAVAGEDFLAPKGRAYYAALLASGWSGEAELVDTPGQRHVFHLQQPGTEVGVEVMDRVAAFITRGSCPISRSPSSSSSPSSTCPPGSRHPLPAAYDDAWAALQWTASNCRSGPDAWLAGHGDATRIFLAGDSSGGNIAHNLAVRAGAEERPLPGAAIAGVVLLNPYFWGSEPVGTEPGDRWVRDGLEKTWALVCGGRYGIDDPHVNPLASPERWRGMAGERVLVTVAGRDNFRDRGAAYAEGLRRSGWRGDVEVYVTEGAAHVHFIGNPRSEKAQRETDKVAQFISGRG >Et_2B_020236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18199983:18211994:-1 gene:Et_2B_020236 transcript:Et_2B_020236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSSMSPSSNERSAVSHCVPSDALKLQLARIFAQTSSEMTPRTATAFFLLSLAVLLGTPFADASTQEDQLRKFMASRALKRLANRRTANDIPDVTDNPWADPASSFAHLPERCKIPPSGSKEADRVDRLPGQPPRVNFAQYSGYVTVNEEPGRELFYYFAESPYDAAAKPLVLWLNGGPGCSSLGYGALEELGPFRVNRDGKTLRRNKHAWNNLANVIFLESPAGVGFSFSRNVTEYKNSGDRRTAEDAYVFLVKWLERFPEYKGRDFYVAGESYGGHYVPELATVITSMNHFPGQQTPINLRGIFVGNPLLDDQLNDKGSLEFLWSHGVISDEVWEKILASCSFNASDDWQCVEATRSFDEKGVDKYNIYAPVCLLSRNGTNHPTGYLPGYDPCIDHYVEAYLNNVEVQTALHARINTNWLICNYDMFWAWSNEDRALRMVPIIKSLLDHGLRVWIYSGDFDSMCPLTSTRYSVKELNLTVTKKWRPWYTPDIEVGGYVQQYQGGFTLASVRGAGHMVPSYQPKRSLEFSKAWLDVRYLYTHTLNPVLTSHEIIGIMVDGASFQGRPLTKLQKV >Et_5A_040353.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:16223610:16223804:1 gene:Et_5A_040353 transcript:Et_5A_040353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSSIIELIKEMLEITRNFISFKISFANRVCNRVAHKLAKQTIMKLRSQPLCCLLEADYNPVD >Et_1B_014020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27645266:27646532:-1 gene:Et_1B_014020 transcript:Et_1B_014020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCQTVLSAATAAAASRTPSWLHRLHAKEGLSFPSHLQIDDLLYGGRRPQPPPPQPLPPPPSSNHNPNPAVVKEPPPPKAAKPKQPQQQHQQRPPRNPARPNPSSSNSPQPQPQLNLNAVISDVFAIPSSDLPDTPALKAIRKQSRPRPRTDEQPAPASPPGPRKDKKDKVAKAKKRRRAERAGDADGERCSRTDVTVIDTSTEGWKKAKLLIRRGDAWKIRDKKPSATSEHEDAIAKGKRRAGLVSKLQRDREKEKQKETEATSSSTTHSLTPTVCCIKCQKLN >Et_1A_007277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3383815:3388123:1 gene:Et_1A_007277 transcript:Et_1A_007277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATGEHTAAVPIPAPSPAATAAEDAEKEKKKSRRRPARRPKQAGAAPVAADAAEPRSCRSMPPMHVDGGARADAEAEAAAAGTSQSCPLLPTPSPAEALPARVACKGAPGRRYFQPHWPEQAVEEAIKRGHAFVGKFRVNAHNRNEAYCTIEGVPVDVLITGLAQNRAVEGDLVAITLDPVACWTRMKGPSVACNPAGGDSVVREVGETNGNHSGKKAQADASCRFENCSNGVPILDRMHHHHENSGFSQAVKCENGNATVSERYERDLNDEKNEAARALERICAMIYSHPSRRPTGKVLSIMKKSLRRDAIVGFLAPFSVLTDGEQQKNQVNGHCLKRMNHRAQSVFSDLIILLPTDPKFPQMVVSVSTLPDSVRQSLKEADAALDKELVAAQIDEWNEESLYPSARVVKFLGRGGHVKTHMDAILFENVISDAEFSPESMACLPDVYWTIPQEELEVRKDLRSVLTITIDPPTASDLDDAISVEALTGGIVRVGVHIADVSYFVHPETALDAEAQRRSTSVYTLRRKIPMLPPRLSEELVSLNPGVDRLAFSVIWDIDPQGNIISRWIGRSIIFSCCKLSYDLVQDLISSDSSHCRSSALSLQVHGIFEQEDVTKSLRCLYEVTKNLKEIRFKSGALSLDTAKLMILFDEAGAPCDSYRYVRNDACFIVEELMLLANMSVAEVISSAFPDCALLRRHPEPNLRKFREFEAFCAKNGFELDASSSGQLHISLSRLKEKLQDDPVLLDILMFYASKQMQSAEYFCTGNLISKQDDWAHYALAVPLYTHFTSPLRRYPDIIVHRTLTAVVEAEQIYMKRKRSPTGQNGVINTASCQLMNHCFTGIQFSKDAAASEEGKEALSAAAKEFKVPSSDSLGEVAEHCNERKWASRRAEEAGQKLYMWALIKIKENVVCNARVLGLGPRFMSVYVPKLAMERRIYYDEVEGLSVEWLEATGTLVIDACRHRSPQRRGTQVKFRAMEEVAVVVNPSESILSEDDEESGATDEGERTAKSVLLSDDAVIVQTSPATLPLVIHYLSDIPVVLHAIGGEDCAVDIGVRLYMSSYFK >Et_7B_054335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1996264:2000081:-1 gene:Et_7B_054335 transcript:Et_7B_054335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPQIEMGGFKVNSPQLPNGALRPSTTGSWNTQRTLERTLKSIRIVIFTSKLNLLLPFGPASIILHFTSKRHGLVFLFSMLGITPLAERLGYATEQLAIFTGPTVGGLLNATFGNATEMIIAIYALKNGMIRVVQQSLLGSILSNMLLVMGCAFFAGGIIHRNKDQVFSKATAVVNSGLLLMAVMGLMFPAVLHFTHSEARQGASEVALSRFSSCIMLIAYASYLYFQLSGRSSAYSPIGSEEVPSEDAAEEEEEAELGLWEAVTWLAVLTLWVSVLSEYLVNAIEGASDSWNLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVIGWMMGQKMDLNFQLFETATLFITVLVVAFMLQDGTANYLKGLMLILCYLIVAASFFVHVDPQSSEWHFLVSHTCQAYSVGMLVHSRAEPV >Et_6A_047090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25057064:25061405:1 gene:Et_6A_047090 transcript:Et_6A_047090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFHAGLFRAPPRAPAAAVLAARGRPLPSLPLFHGRRRRKPSSSVVCMAEPYLIAKLDSAEKAWKEMSVRLADPDIVSDPSEYQKLAQSVAELDQVVTTYRQFKDCEKQLEETKVLQKENGDDPDMAEMIASELESLSNQLAELEGKLKLQLIPSDPLDARNILLEVRAGTGGDEAGIWAGDLVRMYQRYCERNNWKYKPVSSSEADMGGYKTYVMEIKGKQVYSKLKFESGVHRVQRVPQTETMGRVHTSTATVAIMPEADEVDVVIDPKDIELKAARSGGAGGQNVNKVETAIDLIHKPTGIRIFCTEERSQLQNKERAFQLLRAKLYEIKLREQQESIRNQRKMQDNRVTDHRLKMNFELTSFLLGDIESAIQSCATMEQQELLEEMALSAGAAKSQKAIISHLLS >Et_3B_031329.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:2626927:2628306:-1 gene:Et_3B_031329 transcript:Et_3B_031329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTSSSPSAPPDCVLLAVNAYPGQRMNLTTASTTTRNHDKIVVTLIPARPPLPSKLYIHCPDLTLTVRPRVIRAVEDLFLLRVAFDDGGSPEDSDYFVYRAGNEGPASLQRLYRPHPFFQDEDVGLLRREHGNYTIAELIATGTPAYDFHIFHSAGFGKWISRKVSVTEPQPRLPLLIPKNCERLLYHETSTVISIGGEAGTMGWVDLWRGILLCDVLRDEPTLREIPMPVPIDQVGCDNGRGNQLGCPTPFRGIAFVRGDGGDNPDDCLKLVHLVANATLPPGNNYYGIETLDFQMHHWTLITYTNTAMSTSWKDWRRDGWIDASDIAVGTQLQSELLQSGLLGPAGSGRALPNILVSHPAPDISAAAHEGVVYLMARKKYQHPDVWVLAVDTRNKTLLGAADFGNQPQLSPASSVMYCPSGIAKYIKPEPLAVRHRKMLFFKADKKDITYEQLPEW >Et_4B_037506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20761040:20763045:1 gene:Et_4B_037506 transcript:Et_4B_037506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSMKVAVKKFTGELRDMDDNKFIKEAQCLTKVRHKNIVRFLGYCADTQGKMATFKGKPVLADERNRALCFEYAPKGSLDNYITGICEGLHYLHENRILHLDLKPANILIDDNMVPKIADFGLSRLLDKNQSKHMAKKLIGSFGYFAPEIYSKIITFKLDIYSLGVVIMEILTGKKMFRTAKEVLMSWRNRLEISQDDVQQIRACAEIGIKCMEIDPAKRPSTHDIIRMLKDVEVTLSETQ >Et_9A_061066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13706189:13706899:1 gene:Et_9A_061066 transcript:Et_9A_061066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIADFVPAGAGRPAASYTDTISASNFSGAQTSFCISPLGEEEVTPRTPAPGRKTAYRGIRRRPWGRWAAEIRDPRKGARVWLGTYATPEDAARAYDVAAREIRGAKAKLNFPPAVGAGASSAATGAKKRRRVVAPAAEESSGSSPAATVVGGGGAGEETLRDCMCGLEAFLGLEDGGDVEAWDAVDLMFA >Et_3A_026972.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28437534:28439354:-1 gene:Et_3A_026972 transcript:Et_3A_026972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRFPLREIVSRAAPPSARLLHPLHAHLIVSGRLAASHAALTSLVSLYARGPAALHPAVPLLLTPSAPLPCFNAALSLPHALALQLFRGLRLAHSPDGFSFPPLLTSSPSPPHLLALHALALRCGLAQDLFCASATLRGYLRFGLADHAHRLFDELTDRDVVVWNAMVNGFAKLGCFGRAVECFREMRAEGSVEISSFTITGILSVCTATADFWRGAAVHGLLVKSGFDKEQSACNALIDLYGKCHHVDYAAMVFEGIADKDLYSWNSMLSAMQYSADHVGTMKLFARMRRAVVWPDGVTVAAVLPACAQTASLQIGRQVHGYIVTSGLGRDGAVDSFAHNALTDMYAKSGGLDEAHRVFYRMRQRDVASWNIMIDGFASHGRGQEALKLFQQMTEVEGLVPDEITLLGVLSACNHSGLVDEGKGFLKRMKEEFGLEPQLEHYACVTDLLGRAGRLDEARKVIEEAGDVDAGAWRTYLAACRMYGDKERAQEAARMLMSTEESGSGGWVLLANTFGWEGNFEALEEVRGEMRRQGVRKAAPGCSWVEVGGEKGGSGTVMHAFVSGDCGHPEADMIYEMLHALIGWMKDCSHLSNVTVLCPIEYP >Et_1B_012099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28932128:28934426:-1 gene:Et_1B_012099 transcript:Et_1B_012099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLSLSSPIFLAAAPARAGDGIWHGMASATPSWNTANVLYKGYTGRVHGQKQQRHITVVSVLGRKSKTRETIVPDPDYRLPIAILGIAGGLAYADNLLAAAPVGLLGLLLLFQTTRVRFVFDNEALEVKIGDQLQEGGENVFVGGKNRWKYSTFVNWELWWPQFPILVYFKETQTKPEGQIHFFPVIFNGRQLYDMMVERAGPSKTSGPK >Et_4A_032810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14166015:14173772:1 gene:Et_4A_032810 transcript:Et_4A_032810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLRLCSAAYYLAQFTSLQPIRSSFQSKSGKSNLLVLMGIGAEPEAGESEIFVNLTVGKEAQEDVNEDSWEEAALLESRYEGDDAVELVVSNQTEEEEEIAATEEHVVTPWEVSGGKGVIDYDKLVERFDRRIDVALIDRIARLTSTLPHRFLRRGIFFAHRGCDNESSCVHGFEQDTGYVREGGEVLPVHRERTLVRGATPWPPHPLHVHEVPLVIQLTDHEKFIWKNLGIEECKRLARENVKDIIACGFDIERTFIFFKYRLYGRLKGIFGIAGEDPIGKASFPPKQAAPSFASSFPHLFGKNKKLPCLIPCAIDQDPYFRMTRDVAAKMGYKKPSLIESRFFPSLQGENTKMSASDPNSAIYVTDNSKQIKAKVNKYALSGGQDSVELHRKLGANLDVDVPIKYLNFFLEDDDELEHIKKEYKEGRMLTGEVTQRLIAVLLELVAGHRRARANVTEEIVDAFMAARPLPNMFG >Et_2A_017960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8308237:8322409:-1 gene:Et_2A_017960 transcript:Et_2A_017960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQQPEVAIDLTHDAATPEPGNDSIVSSNTNGDGTEELVQVNSSTGQESIDSSSTRYHLSDSHESRVTVEFKILWRLRKYLMLLGILAVSVTYNAGLTPPGGFWTNNTNGHDAHDAGDPVLRAKFFPRHEFFFYFNATAFAASLVLIILLLSKHVTRKELWLRSMQFTMILDLFSLMGAYAAGSCRAVKSSIYIWVLVFAVFLYIVIHILVFMRLVPKFVHLKRFVPHWLKQKAKSVISWIQSKCNAHGRQRSNDQEKDVEEAHKFILMLVTFAATVAYQAGLSPPGGFWAENDQRKDPATSMLRSKNLARYNTFVICNSTSFVTSLVTIILLLSPKLSEHGIRSKAMLVCVVVDLLGLIGAYAAGSCRSMKTSVSVLGIIFVVWIFFALLAGTFLHKTVAIWLKEIKPDVIKCIDTIGRIVSFEPGRSRSRTREGDSSIASHQKTELLESAPEPYHHHANGQQVPNTRECEPLEKHQAAGKQDTANAEEIVSTSVHALVNDKQSENSNHVVHDLEGQSTAYMSATKDPISKTESHPTIDVMSNSDHLPTENLGVEDTKEQSFFVDETPNNIVGVHNVEHQSAGNLKDGIRIGNSLSTGHPKPRIMTSDDLSEVIVLASSHNGGSSNLKDEKDSSEELLETNEIQIAKTNDDTSIPVQNGNIQNYEEAPRQYSSNGNAGGNPTDRHLKKSRTYLLLLAILAVSLTYQSGLNPPGAFWSKRENNNSAGDSIPKDTHHRLYHLPGDPILEDTHHRRYIAFFYLNAIAFVASLVMIIMLLNRRMSNKAIKRHALQTAMIVDLLALTGSYVMGSSRKTMNSICIFLLLCLVFAYVTAHVLIAIHVIPEGWKKLVEEKLDCLFGPNQGDDPNKDLERRRNLLLMLAVLAATVTYQAGMNPPGGVWSDDKDVSGRPGDPILQHNNLKRYDVFYYSNSISFVSSVAVTILLVNKKSFEHGIKFYALRVCLVVGLVGLLVAYSAGSCRKMKETVYLIIIAVVVLLSLVIQFLLSSTYRKLGKKTGKFIDHWLDRFLGEKEATQETTSGQQDSSGHQEKKFRKRNSSGHQEEKVRKRHKYLILLAILAASITYQAGLNPPGGFWSDDNEGHVEGNSILNPPGSFWSDNKGHLAGNPILLDINPRRYEIFFFFNSITFMASIVVIMFLLNDSVRKKDLPLDILHGIMIVDLLALMTAFAAGSCRKFRTSVYVYLLVGGVVIYLVIVIFLSSGIAKYLCPRNPRKTTESTSQAAFSSLHHSLPLFLFKKSELGIYEGQPSSLQEIGDREEARKFVLMLVNFAATVTYQEGLFCRLAFGLKIVTRHVPVLLTNIVWILLFFAVTTSTELSRHGIRSKAVVLVVCVLAACFASLVVMLLDVAGIFDMHFLTSRDIYLQTGSRMATKDEVAHCTVHEYRGMQSRRVLMQGTSKLQSMFLVHQQKTVHLNQNMLPCTTKGGSFQYWASIIINELPRTCKYQESYIRSQPAYCRANDIPAEDFADHNGATKDLIVGEGPSLPVADSGISNSTEVNTSDIYNHNIEIVTANNNAKHFENGHTDSQFSLDQNSHFKQMEKHLKKTRTCLLILAILAVSLTYQSGLNPPGGFWSGSVKNHTAGDRILGDNDHARFIVKINITCDKEMDRRRNLLLTLTILTATVTYQAGMNPPGGVCSDDHPSGTSGNPILQDNLPGRYDVRGFLILRSLSGKLLLSHRKLQICEEERKRKRNKYLMLIAILAASITYQAGLNPPGGFWYDDGSKGHVVGNPLLHISHKLFKTFFCFNAISFMTSIVVIMLLLSKSVRKKEVQLEVLHLIMILDLLALMTAFAAGNFGH >Et_10A_000928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19230737:19236853:1 gene:Et_10A_000928 transcript:Et_10A_000928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPSEVAGNPYGAELAAAKKAVALAARLCQRVQRDILQSDVQSKADRTPVTVADYGSQVLVSLVLKMELPSHSFSMVAEEDSKDLRNDGAQEILEHITNLVNETVVSDGSYKVSLSKDDVLTVIDGGKSEGGPSGRHWILDPIDGTKGFIRGDQYAVALGLLDEGKVVLGVLGCPNLPLKSSRKNNGGSSGDVVGSLFSATIGCGAEVEALEGSNPEKISVCSINNPVDASFFESYEASHSKRDLTSSIAEKLGVEAPPVRMDSQAKYGALARGDGAIFLRIPHKGYKETVWDHAAGSIVVTEAGGMVKDASGNDLDFSKGRHLDRDRGIIATNKYLMPLVLKAVQEAIKEEQQAAALPPEVAGNPYGAELAAAKKAVSLAARLCQRVQRDISQSDVQLKRNRTPVTVADYGSQVLVSLVLKLELPSHSFSLMAEEDSEDLRNDGAQEILDHITNLVNETIVSDNSYKVLLSKDDVLAAIDGGKSKGGPSGRHWILDPVDGTKGFIRGDQYAVVLGLLDEGKVVLGVLGCPNLPLKSSSKHNGGPSGDLVGSLFSATIGCGAEVEALGGSNPEKISVCTISNPIDASFFESYEASHSKRDLTSSIAEKLGVHAPPIRMDSQAKYGALARGDGAIFLRIPHKGYRETVWDNAAGTIVVTEAGGMVKDASGNDLDFSKGRRLDRDRGIIATNKYLMPLVLKAVQETIKEEQQAASL >Et_6A_045954.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:13255928:13256008:-1 gene:Et_6A_045954 transcript:Et_6A_045954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDPILGSLPVCILNLVANDLVPVE >Et_6B_048243.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1619429:1620769:1 gene:Et_6B_048243 transcript:Et_6B_048243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QICLCVLYDLNFTSSLYFSFGPILFHLIFVSVANQNPISVLLNEPSSFLWISGIGLNSDGAFQFPVFHKNHPSFSSWIQTSNLPEATVTSDDSLRENVFFMAISLGTPAVSNLVTIDTGSSLSWVQCGHCGMHCRWQPGSTRPIFNPFNSSSYQNVRCSTEACHAIHKSTGITSVCVDEKDSCLYRIRYALGEYSVGYLGKDKLTLPNSNAIDDFIFGCGGDNLYNGLNAGIIGFGDESYSFFNQVAQQSNYRAFSYCFPSNHESEGFLSIGPYARDERLMCTGLISYSHLPFYVIQQLDMMVNGISLEIDPRIYSTAVTVIDSGTTDSFILSPVFHALDKAVTTAMLAKGYVRGSAANKICFVTSGDSINWNDLPAVEMKFVTSTLVLRMENVFYVNTDDTICLTFQPDDAVVKGVQILGNRALRSFRVVYDIQDKIFGFQAGAC >Et_10B_002620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18584745:18585449:-1 gene:Et_10B_002620 transcript:Et_10B_002620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIDKVDHHCKVDPELVADLDKVGLPFDSDYNSASTSEADKKSSQNKSGNWDIYIEKPAEVNKWDWEANSRPDPTWGVKHEPLDNWGNSNSGWGDAVADPGPGWHSSSNNHCSSNNWNDSRGGSSNISNNRYQDRNNMSSRKRSSGGHFQPRKSKQRNQAEGYQRSGWQDHRGGRNSEWRPVNNRDRQNGQ >Et_3B_027518.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24666587:24669611:-1 gene:Et_3B_027518 transcript:Et_3B_027518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISISRKAKWRPNPLASLVQINHRTSSVVSSLFSPFAAKPQLRQPKPRRPPQPPNPKPPKGHPMRTRKRGGDHGDAALLDAVGLGNFARLGLPDPPDGLDLVAAYDATSGCIAVSVGGAVFSASPFDLAAALALPPGAIGLFDGAGAEFLSSTEAIAAVRRFVRDRVLLGSEEDGWTASEEVAAALRLVEEGKAYEVDWGGLVWAVLKGELVAGTPRRYARYLIRLLEYQRPELFAEVDERLPLRKRCKGRTLLQGQWTDQKMGISDEIEVEGDKEDISLDYGLSQDIGDLEDMPIFGEGKEATDAVPVDCKRTFLEQEEIHGVGKGHDELGSRRWSPSDAKLSDNDMECDIGEGIACWNANNQIFAGNLSFRGQLCVRDEQEDSSGPHRLVYGAKSLPASNLQRVIEIEDEDDSDDVGVDPSSAAIRNVPLDISSYPAAQHRGTEVIHNGQSLSSFNDCVQQILVHVLVMKKRYLDKEKEHRQLQAEVQYLKKIVMEKERVIEATKSDILKDLGANKTEMYRFEQDKKVMNHTVQHCKMLFKKSVAEFREYKKKMLHGDAVDLYLGVSDMKRAWMRQLYIYCHWMQKTWSSESLKLDQMVKQMAQDGTNLEHEVKRLKDSISIPDLNTGKPKLYTIRIYILGRC >Et_2A_016706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27431862:27435559:1 gene:Et_2A_016706 transcript:Et_2A_016706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVAAATTATRMAAEEMRRASATAAAAAATAEAAPSAPAGSRWARVWPPALRWIPTSTDRIIAAEKRLLSVVKTGYVQERVNIGSAPPGSKVRWFRSSSDEPRFINTVTFDSKENAPTLVMVHGYGASQGFFFRNFDALANRFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVAAKYALQHPEHVQHLILVGPAGFSSETEHSSEWLTKFRATWKGMLINHLWESNFTPQRIVRGLGPWGPGLVQRYTSARFGTHATGELLTDQESTLLTDYIYHTLAAKASGELCLKHIFAFGAFARKPLLQCASDWKVPTTFIYGQQDWMNYQGAQQARKDMKVPCEIIRVPQGGHFVFIDNPSAFHSAVFYACQKILSGDGEEGLTLPDGLISA >Et_1B_014200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35223602:35232554:1 gene:Et_1B_014200 transcript:Et_1B_014200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHHHEQQQAFQSGSPHGMMGVGAAGSFPQSSGPVPPFQGQRNPPHSSGPQGLVGSQMQNQVAMQQQAYLKFAMMQQQQQQHQQQQQAKMSIAGPSTRDQDMVNSNNPAKMQEFMSLQAQMFKRQSEHLQQAEKQKEQGHPSNNEQRSGDMRPPMPPQGVPGQQMPSAGMIRPMQPMQGQVGMGSVGGNPLTPTQFQLIQALAKENNLDLSNPANMSKISQLLPMLQSRMATMQKQNEASMAMQQQQASPSQVNSDTPGHGNIPSHSASMKPRQPLPPNSSVSGGEDAKIANLSNLQLQQQLSAHNRDGSSDRAMRPPMTAGNSGQSLQVPQSSGHANKVPDQANSKNVIANSEAMQMQYARQMQQLNQGVAPATTPGGTGGSQASIQGARSQTGFTKHQLKVLKAQILAFRRLKRGDRTLPPEVLELIMSGLPTDSQGQQVSGSLAAVNREKPGLSTSDDHGKHMESGDRAPENPALSKVPCLPKVEVSASEDKATSASGPGPLQVLKASPKEPLRIGPVSVPEHSNTTMVKSEQESERGIQRTPGRNDCSAERGKSLPAESGSADAEQAKRAGSTSSAPAPREVPRKYHGPLFDFPSFTRRHDSMGPANYNSNLSLGYDVKDLLAQEGTIILGKKREDNLKKISGLLAINLERKRIQPDLVLRLQIEEKKLKLLEHQARLRDEVELEQQEIMAMPDRIYRKFVRQCERQRIELTRQVQQMQKASREKQLKSIFQWRKKLLEAHWAIRDARITRNRGVAKYHERMLREFSKKKDDDRNKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLTQTEEYLYKLGGKISAAKNQQQVEEAANAAAAVARAQGLSEEEVKAAAQCAGQEVMIRNTFTEMNAPRDSISDNKYYNLAHAVSERVTKQPSLLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKANYGPHLIIVPNAVLVNWKSELLHWLPSASCIFYVGTKDTRAKLFSQEVLAMKFNILVTTYEFVMFDRSKLSRIDWKYIIIDEAQRMKDRDSVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDSSKAFQDWFSKPFQRDGPTHSEEEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPRKDSIVLRCRMSAIQGAIYDWIKSTGTIRVDPEDEKTRAQRNPMYQVKTYKNLNNKCMELRKVCNHPLLSYPFLNCGKDFMVRSCGKLWNLDRILIKLHKAGHRVLLFSTMTKLLDIMEDYLQWRQLVYRRIDGTTSLEDRESAIVDFNRPNSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTREVKVIYMEAVVDNISSYQKEDELRTGGSGDFEDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETLHDVPSLQEVNRMIARTEEEVELFDQMDEDYDWTGDMMKHNQVPKWLRASSTEVDAAVASLSNKPSKSGGIALGTSDTPEKRRGRPKSSGKYSIYREIDDEDIEESDEDSDQRNISSLPEEEEIGESEDEDNDDSVPDNKEESEEEEPANDDRYDFPSGLRSGKASRLDEAGSTGSSSGSRRLPPPVPSSSSKKLRSLSALDARAGSMSKRNPDELEEGEIALSGDSHMDLQQSGSWNHERDDGEDEQVLQPKIKRKRSIRIRPRHIAEKQEDRSGDGVFPQRGAHLGFQVDGDYDSQFKFEQDARAFADPVARQQDAIHPIVKQKRNMPSRKVSPASRTGRLASMSGSGDGSAERSKENWSGKAIDSAAPDFGQSKMSESTQRKCKNVISKLWRRIDKEGDQIIPNISSWWRRNEKSSFKGAAGSTLDLRKIEQRVDEFEYVAVTEFIGDMQKMLKSVVRVEAETLHTLFFNIMKIAFPDSDFREAKNAMSLLGPGGGASSAAAPSTKHASSGHKRRSSTSEVEQHGSGHTRHNQHSSVNEIPSRGHSSRPDRDSRHSGSGTRGDSAGLLMHPSDLVIVKKKRQDRGRSSIGSPSSSGRAGPLSPGNPGRAGPAPSPRGARTPFQRDPHPSQQLMHSAGWGGHSMQQSDHGGSSSSPGIGDIQWAKPAKRQRTDSGKRRPSHM >Et_7B_053780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12133892:12141233:1 gene:Et_7B_053780 transcript:Et_7B_053780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLASQARPAAVLWLAGFLQAARLHRVIIFCASSRALSIRIAQCFLLNGLIFLGRYNDIAKHALDVVKSKSLDSTQALDSQNIAESEDRPEGFDRVALGIGEQVYSILLLTIFFVEVSVIGYIPYFGKVMNFVLLSLMYAYYCFEYKWNFFAVSLNKRLDFFESNWAFFAGFGGPCVLPIFFLSPLTSYGVMAILYPLFVLTAAGTQAEQVINESEPSHEGKLKRIPVFLVAKRLIHAILQAVDEPLGLALSRKR >Et_7B_054972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6082400:6084814:1 gene:Et_7B_054972 transcript:Et_7B_054972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFTKTYEIPITTEPSDQRRAPSSYGYGGGYHQQPGPERKPRDVPVTSTRRPSFRTQPPPAFPSGTRSSLPRRASSGEVGPVLQRPMVDVRTLFHLERKLGSGQFGTTYLCTERATGLKYACKSVSKRKLVRRADVEDMRREITILQHLSGQPNVAEFKGAFEDADCVHLVMELCSGGELFDRITAKGSYSERQAAAVCRDIVTVVHVCHFMGVMHRDLKPENFLLANSADDAPLKAIDFGLSVFIEEGKVYKDIVGSAYYVAPEVLRRNYGKEIDVWSAGVILYILLCGSPPFWAETEKGIFDAILVGQLDLQSAPWPSISESAKDLIRKMLNRDPQRRITASQALEHPWLKEGGAPDRPIDSAVLSRMKQFKAMNKLKQLALKVIAENLSPEEIKGLKQMFNNMDTDKSGTITVEELKEGLRKLGSKISEAEVQKLMEAVDVDKSGSIDYTEFLTAMMNKHKVEKEEDLLRAFQHFDKDSSGYITRDELEQAMAEYGMGDEASIKQVLDEVDKDKDGRIDYEEFVEMMRKGSYT >Et_3B_027588.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:3017702:3019306:1 gene:Et_3B_027588 transcript:Et_3B_027588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASRPDGHLDAARLAPRRAATAHFGGGGISRRLDHPDRRWPDADGLLAAAAVQETASAAALLPAGAAGHGGGELRGRLIRDDEAELLDDAAAARGRRAGEVGDAGRVERAAALGRGHAPHHAGLAGRVHALAQGRLGGDGGVVRREVVLGGVAPAAAPAVRGRRVRRRRREALEVEQVRARVAHRLLVRHRRWCAEEHGVSAAQRGGGHGRRRVREHHRVLVDGAEHLQRRAWRLVHQCAAAARERRCRERERASGAVHDAAADRRRESFDRRRHRGGATVRGETERAAARRYRHLHSSLGGLLLLGYYYLSSRRRRRVLLRLDKDGALQALIHGGLRAEPRVRRGAPRLGRRGGDGDHRLPASAGAVAAEQRPPLAGARGLLPATTGAIPIAARTDATPASLLPGGRARVAVAAGEQTGAAVPAGLRRRGGAPAQAGRVRRGDGHPLRRLVLMVIGERGAEDGVAPDDVGRAEVPLGREDADLGAARPASRGTARRRRTEVAGAGGQADRPRRGNPVPVHCDSVRAIPVSL >Et_10B_003442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19889904:19891399:1 gene:Et_10B_003442 transcript:Et_10B_003442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNGDDKQIWRVGYALTVRMCDLTVPSPPSIDARVRLLAAPRRPRPRSRRPLASVGSGDRCLGRGSHNMKFAGWYLKIAAVGASIGAAMELFMIHTGFYDKVTVLESEKRAWESSPEAQAMREALNPWRKHDEQQEK >Et_7A_051230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15842172:15846361:-1 gene:Et_7A_051230 transcript:Et_7A_051230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPREIPRRGLFIGGEWKEPSLGRRLPVINPATEATIGDIPAATAEDVELAVAAARDAFSRDGGRQWSRAPGAVRAKFLRAIAAKIKDKQSDLALLETLDSGKPLDEANGDMDDVAACFEYYADLAEGLDGKQRSSISLPMENFKSYVLKEPIGVVGMITPWNYPLLMATWKVAPSLAAGCTAVLKPSELASLTCLELGVICVEIGLPPGVLNIITGLGPEAGAPLASHPHVDKIAFTGSTETGKRIMTAAAQMVKPVSLELGGKSPLIVFDDISDIDKAVEWAIFGIFFNAGQVCSATSRLLLHEKIAKQFLDRLVAWVKHIKVSDPLEEGCRLGSVISEGQYEKIKKFISTARSEGATILYGGARPQHLRKGFFIEPTIITDVSTSMQIWREEVFGPVICIKEFSTESEAVELANDTHYGLGAAVISNDPERCERITKAINAGIVWINCSQPTLVQAPWGGNKRSGFGRELGEWGLDNYLTLKQVTKYCSDEPWGWYQPPSKL >Et_10B_003798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6239991:6244678:-1 gene:Et_10B_003798 transcript:Et_10B_003798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSRRRTKLGRLKEHPSDLLNGSSSHARPAKHLSLPSRDDAVTASVSGRADDLSYRCSSDTFDLDSRAFNISENWGVLPTEGDKPIPRFYHSAAIVGSKMVVFGGHSGHNLLDDTKILNLEKLTWDSTASKVRPSPSGRSTKLPACKGHCLVPWGNNVILVGGKTEPPSDRLSVWTFNTETELWSLVEAKGDIPAARSGHTVVRAGATLILFGGEDTKGKKRHDLHMFDLKSSTWLPLNYKGAGPSPRSNHVATLYDDRFLLIFGGHSKSKTLNDMYSLDFETMVWSRVKTHGPHPSPRAGCSGALCGTKWYIAGGASKKKRQVETWVFDVLQSKWCVCVVPPNSSITTKKGFSMVPFYHRDKIALVAFGGNKKEPCNKVEVLVVLQNERSFSWRSAPDVDRVLYEYSPSNKELADHLNKCAPLYTNSSVARHSLTSVIEHPPESLSESLLKQTNLGTSLHGHLNQIEECSIAQKLQKPVNDDRYEDDADDSSSCQENTLQVHRSSRTEAAIQIDMARSLAGKVENSVTGGSNPRRIARCSSDISQSQLYNTKIADLIRRNAALEDHLATALTSKEQLEKSLSSVIHSREQLEKMLTNKDKEAEMLKEKIAGLELAQEESNNLSNTVHADNVRLEREVAFLKAELHSTRRVLAGEQTRAFQLQVEVFHLKQRLQTLEGRSGTPTKQHP >Et_5B_044129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20334079:20337845:1 gene:Et_5B_044129 transcript:Et_5B_044129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNNGSSVNGGGGSNAAASGWLGFSLSPHMDDNHHHHVQQQQQQQHGLFFPSVTAAAAAYGLAAGGDAVATSAPYYTPQLASMPLKSDGSLCIMEALRRSDQDHHGPKLEDFLGAAQSQAMALSLDNASSFYYHYGGGGAGVGGGHHHHGGGLLQQPCGGDVYGGPSAAAALMADDDAAAAATAMASWVAAARAEQAAGFLSQQHHNHTLALSMGTASLSSCVTTAGAGGEYGGALVAAMDGGRKRGGAAGQQKQPVHHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPLEDYQEELEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDITRYDVDKIMASNTLLPGDLARRKKDDDVAATNANDADAAAAAALAPVADTWKIAAALSAARAGNGGGEHQQVNQHHHDVLSGEAFSSVLHDLVATGGAAQHMPMSSATSSLVTSLSNSREGSPDRGCGGLSMLFSKPSPSPSQQQHHQASNNKPMSPSSLPPLGSWASAPPPPARPAAVSIAHLPLFAAWTDA >Et_9A_063494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4857786:4866640:1 gene:Et_9A_063494 transcript:Et_9A_063494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHPREPSSLPWSSYLEKKPDVAPVGAQSTEETLMSQLPTFFLGSRYPDHWPTWSSLLGSNPLPRTNPTPPNPYAPRHGLCGSDGGGGGGGDRAARNPNPRPRGSGWYRPKLAKKFFHLLFFLQIHVNYLSISPGSLLSPSSPTIVVTLPSVERRGRGWLWGDETLRVGSSLFEFYCAPCREDHDQSPPTGFGGRLLVMGMGSSEMGARDEARLVASLATSLISLYRCDYFTSLLSNNVLPPARTMVGPVRTPRPLGKTRRPAEQSTTATMASPLPPPLELMDDNLEEVLLRLPPDDPALLIHASLVCKSWRRFLTDPVFLHRYRAFHRTPPLLGFLHNIRSHMKLVSITSFHPREPAYRYGYVLDCRHGRVLHQDRATLYLLVWDPITAEEHRIPGHDDASDSNFSAAVLCAVANCDHQNCHGSPFLVALISASNFGPEVFTEARLYSSETGEWSAPTTVEEYCVFGPELPPTLAGDTMYFICDHNSMVLGYDLHGERGLSMIEVPRVYCGGVALIPTLDGGLRFAGLNDRYSLDLWSLERIDPEGVPGWTHLTKLSIEVPVADDFAEYFVSLVGSKGPDPNVIFVRTEDCVFMIDLESQKGRMVYQYNSTCMWYAIFPYTGFFTPGTILLWEDCHHQRRASDVVIRCWKTVPGIDKVSSVILSLELLASWGAYSIEFIVVMMENYIHIFYPVSYCFKPEASACNLYPEN >Et_3A_025568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30815041:30817731:-1 gene:Et_3A_025568 transcript:Et_3A_025568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALLRRKAAAAQEQSAGVEATAGRRRRLYGFSASLVFTSWAAVFLLYLLVGHGDGQRDGGSSTAVHAFVEHTLGEGSVIPAIQGDHGEDFAVPVDTCVKSDKHVVLSEETLIEEHRLYSKDEAECQNTEALAGDGQVEISAGEGGSFPQTDVDSGVHQGEKVESEDVPKPARLSRVVPPGLDEFKTRAIAERGTGDSGQTGNVIHRREPSGKLYNYAAASKGAKVLDFNKEAKGASNILDKDKDKYLRNPCSAEGKFVIIELSEETLVDTIAIANFEHYSSNLKEFEISSSLIYPTENWETLGRFTVANAKHAQNFTIPEPKWARYLKLNLLSHYGSEFYCTLSMLEVYGMDAVEKMLENLIPVENKKVEPDDKMKESVEQTPLKEPTGGKESSQEPLDEDEFEVEDDKTNGDSSKNGAQDQNSDIRALQAGRIPGDTVLKVLMQKVQSLDVSFSVLERYLEELNSRYGQIFKDFDADIDNKDALLEKIKLELKHLGSSKDSLVKEIEGIHSWKQIASSQLNQLVVDNAILSNFDTLLTLLTMLLCLNRSEFEIFRQKQTDMENRSFVVIFLSFVSACLAIAKLSIGLMFKICRFYDFEKFHNVRSGWLVLLLSSCIIAAILDQGNVEANLPGKHVHGAGALYFISLRNQGMVPMGFHANHA >Et_2A_016583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26290390:26290985:1 gene:Et_2A_016583 transcript:Et_2A_016583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLGLAVAGAGAVRASRLTVFASASASSAGEPVRRIIRRRAPTGKNGSAAPPPPAQPSVAEVRRAIGVADDTPSAAASREAKNSAFMDMVAGTPIGQPESEPERRLREAAEWVVDTTETRACEAQKTILVLCMMTFPAWFLLLFVALGAIKLPFDIPNLDNLL >Et_1B_011288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:274140:275566:1 gene:Et_1B_011288 transcript:Et_1B_011288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSAGFHTPRPSSLRSSSSTPAARSSVGSSSSSSTAVAAAKLPAAASIPRDLASKVVAKCLDYDDDFILPAASADSTLPPDAVPEEDDLAPLLDLPDPEVSANASSITVISAASGDLATASADSCVSEVAAPAESTADSDAPLPEQINFVLSELHDASGLSPRSKRLLAALAEAATAELTSTATARRLRRAAFWGKVRVAVLAATVAIVAAVDVALAAYLYASRVSDRYHVLPPT >Et_1B_009970.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:15366940:15367563:1 gene:Et_1B_009970 transcript:Et_1B_009970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNNLVALSLVVLLGIGVSDAVRVARYSSSQGIGTGGGEGGGSVNGGGSGDGHGYGTGDSGTGAHATAGGGGGGGGVSQSGGSGFGGGSGMGVGAGRMSNDSSSGYGGSSSAGGAGHGGGGGQAAGGPGSTGYGAGSGTGSGYGEGTRNPGDYWGPVPRVYVNATANGSGKGGGQNGGTGGGNGGGSAYGDGSAHGDESPPPPST >Et_2B_019442.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29920008:29920154:-1 gene:Et_2B_019442 transcript:Et_2B_019442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGRCSCCWRWSRENDMQSSVDARQWAYCLSIMSLVVGVRATPFILK >Et_2B_022489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23507736:23511051:-1 gene:Et_2B_022489 transcript:Et_2B_022489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRVERSEIRPGDHIYTWRAVYAYSHHGTLLQPPPMVMARIRLRRRRRCEFSDRVRRAVCRCATQAVDLTGILSPRRGIYVGGSKVVHFTRKKEAEGSDSSDSASILISEAPSECPTFPDCGFQLPNSGVVLTCLDCFLRNGSLYCFEYGVPSTVFLAKLRGGTCTIAQSDPPEVVVHRAMYLLQNGFGNYDIFEKNCEDFALYCKTGLLPVEEPGIGTSGQASSAIGVPLAALLSTPFKLLAAGPLGMATVTAGMYCAGRYITDIGVRKDVAKVEVENLSSHIGFHLIEDEESVNKQSEKVKTLLPMKRQRERLVKVTVVRI >Et_1B_010484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1117965:1120142:1 gene:Et_1B_010484 transcript:Et_1B_010484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRLSPWTAAASAQRGFPSAGPAPEWRAPRRHALTRPLCAPPSAPVSPSASSSLGAGQLRTGTGHEWLWDCRGGGRDYAREMETAVRVVQVACTLCQRVQDSLLRPSSDAGGRVHAKLDRSPVTVADWGVQAIVSWLLSDSFRDENVSIVAEEDDETLSSSDGVALLESVVEAVNDCLVEAPMYGLRSPEKQLSAHDVIQAIRKCSSVGGPKGKFWVLDPVDGTLGFVRGDQYAIALALIEDGEVVLGVLGCPNYPMKKEWLNYHQKYYRLMSNVAPPPSGSWHKGCVMYAQKGCGQAWMQPLVHDFGKLDWHRPREIQVSSIRDPVSATFCEPVEKANSSHSFTAGLAHSVGLRKQPLRVYSMVKYAAIARGDAEIFMKFARAGYKEKIWDHAAGVVIIQEAGGVVTDAGGRPLDFSKGVYLEGLDRGIIACSEALLHQRIVDAVDASWNSSTL >Et_7B_055544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13887107:13891655:-1 gene:Et_7B_055544 transcript:Et_7B_055544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLRFESGTELAERVCVIGISMNLVTYLVGDLHLSSAKSANIVTNFMGTLNLLALVGGFVADAWLGRYLTIVASSTITTVGVSLLAASTALPGLRPPPCAPSSPASDGVAGAARCVSASGRQLAMLYAALYTVAAGAGSLKANVSGLGTDQFDGRDPREERAAAVFFGRFYFCISLGSVLASTALVYVQDEVGRGWGYGVSAAVMVAAVAAFVAGTARYRHRRPQGSPLTVVGRVLWAAWRNRRLPCPADASELHGFHRAKVPHTDRLRCLDKAAIIDANLATSEHQPPPTVTEVEEVKMLAKLVPIWSTCILFWTVYSQMTTFTVEQATHMDRRVGGRSGFTVPAGSLSAILFLTILLFTALNERLLVPLARRATGHPQGLTSLQRVAAGLLLSTSAMAAAALVERKRRQDAASSAGAMISAFWLAPQLVLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLATLAMGFFLSSALVAAADAATRGAWVRDNLDDGRLDLFYWMLAVLGVLNFVVFLVFASRHEYNKREANAADPAGVERGQEEEIQTEDDAVKLAVAVKSPERK >Et_2A_016690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27200947:27204065:-1 gene:Et_2A_016690 transcript:Et_2A_016690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVDAGVYEEMLQVVDACAARIRWRLRPPSKRRLLNDILFLCTGLRSVVLMDYGGTMPQLQKNLCSLLHHARQESSILKPLKVMVIKDMLYLIHVVGLAEHASQNSRSQHQLAFVDLEKSCCKLLSNTELNDNLMELVSIQDLFSAKFPVEASVNLPVGRPGIPKQKSEFPETSVACTDIHIADGNLLVDLSDYLGANQIALPSLNGWLLGYPVTYLFCNGSAEVATQNLSKHSLHIYRVYVRRSCQSSAKQSEEELMCFSVPCEVSTKRSEEPWAKSFLARMNEKLRRCNQVWTSMRLEIEFFQSQSQVIVL >Et_9A_062670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:320870:328783:-1 gene:Et_9A_062670 transcript:Et_9A_062670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFRDGAGPGDAPPPTPTPPLSQYLSLDPLAWAADTKHQHQHSRHAELRRALATDDHPDELKRIRASVSDSTSKAKDKVRSLQEAIQKLDRYKNIVTRKRQRSESGPEKLPSGALRMGAQNNSAVLSKRVRSSLADARVEGRGSVPTRQGPLVGNDKSSPVEKEKSCTRASGTGLSDDKLRGLSAGGEGWEKKMKRKRSVGTMINRGSDADREVKPVGQHRAANEVRPRSSDGLAYRHGASAGALAGNKSDGNSQQNNNASRSLSKTDVDYIAQPNERRERHAGIEKERAMVKGNKSHTSEDMQSGSLSPLPKAKACRAPRTSSLVMNSSSSFQRSTGGSDEWEETPYTNKASPLSGMANRKRSTHTNASSPPIAWVGQRPQKMSRTRRANVVSPVSNFDEVLSEGSPLDTAARSAPIESGSIFLTKNAPLAAKMDNISSPAGLSESEGSAATESKAKEKAMHSSEVGNEGANAAHSAMGLVFSSSKNRIPLKEELEDGGVRRQGRSGRGNMHVKGCSSISKEKLDTADIRKPLKGGRPALEKHESKVGRPPMKKGSDRKASSWHSQALNSEPMDIAELEMSMSCMSNGEHNVIAPGDYRRLQKMDEHSSQVLGPSNYSVISEQSKTNGVETKGSDCFSPSEESRSSGPQKVEADKWFNEMAPMAHRLLSALIMEDDLSDSNGVQSDLLVEFPNIHMPYTVNRYMENGLQSSAVTSNFGLSVDFTHSNTTSVVHQSLCNGFTASSNFIISNIGNTVHSDNLSDGTNFTVCPESGPLHGLMPQISHQCQNPGKTFPLSPYDYQYGHMSVDDKILIELQSIGICPEAVPKLDDGEDEDINKMILELRKRLHDQVTQKKCRLHKLDKAIRDTKDIEERSLEQHAMNKLVERAYRKLKGGRVGSSHKAGVSKSANKAAKQLALAFGKRTLARCQKLDETGKSCFSEPSLWSVLSAPLPSSDAKATEGVERLKHQKLDRTPFDQGGTKWKKSDRERDHNRDASAKGSSLKSGRHSSGSGRSGERKNKTKPKQKLAQLSTSGNVLGRVVEPFSAPAVQEPPEPASVKNTQHPRNTGNAAQRTTDASLPNLPGLDDILDVPGGLDEQGNDISSWFTDGLDDSLQDIDLSGALEIPDDDLTQLGFI >Et_6A_048035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4173224:4175830:1 gene:Et_6A_048035 transcript:Et_6A_048035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGSSSTSSSSAPPPLHVVICPWLAFGHLLPCLDLAERLASRRHRVSYVSTPRNISRLPPLRPDAAPRIEFVALPLPRVEGLPKDAEATSDVPLDKVELHRKAFDGLAEPFAKFLRAACAGGEDNKRPDWVIVDIFHHWAAAAAHENKVPCAVLMVSAARNIAVWSRAASGEKPPAAQPKAKTLTNNDPAVSEISVIQRFSLTLEKSSFLAVRSCVEWEPESVPLVPTFHGVPVVPLGLLPPSPDGGRGVSVNGEDATVRWLDAQPPDSVLYIALGSEVPLGVEQVHEVALGLDLAGTRFLWALRKPSGVVDAEVLPPGFEERTRGRGLVTTGWVPQISILAHRAVGGFLTHCGWSSIIEGLLFGHPLIMLPIYADQGPNARIMEGRKVGEQVPRDDKDGSSFDREGVASTIRHVMLEEESRKVFVANAKKMQEIVLDAWLEHARELEAAAAVAALAGAE >Et_5B_044849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6520456:6522789:1 gene:Et_5B_044849 transcript:Et_5B_044849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRAASGRPSGTDGSDFSYRMVVDSRYQRVADGRSRLARLILVQALHQVAGGVLLLLSLSKGAEPNKFAVLSVAAGLLAILVGELGRRRTMAALLRMYTSLSSIAVAFSVACIIRSELFLKVMERNMTAITSYELIDAVRVALGVLLQLVVIATTTRLLQNMSPPKRAS >Et_6B_049812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13484681:13485485:-1 gene:Et_6B_049812 transcript:Et_6B_049812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEGYRAIVDGLFARKGLVYSRQQKKPYLRKLQYGPPANEELLDQLFKGFTLYGSIAFVAGDDYGDNLEEEDEEEYQGTPTSSNSQRIKRNKRASTSTTKSTCTSPIKRSKSPMVKIVKDIANTYKESFAVNTKQLQQRVTEKAAFSVKRCQELAFECGVEKTIDSVYAMSKLFESEFQREFFCGQLTPDLRLGYFKKWCRDNNLE >Et_5B_043461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10728367:10731808:-1 gene:Et_5B_043461 transcript:Et_5B_043461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMELEILGMNFGCVLAALSDAKIPEKDCILPLASKLLGYAIVAASTTVKLPQILKILKHGSVRGLSVASFELEVVGYTIALAYCIHKGLPFSAYGELAFLLIQAIILVAIIYYYSPPMGTKTWMKALLYCGLAPTVLAGRIDPALFEVLYASQHAIFFFARVPQIWKNFTNKGTGELSFLTCFMNFAGSIVRVFTSIQEKTPFSVIMGSAIGIVMNGTLLGQILIYQKPAPKKQKKED >Et_8A_057552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4293790:4297737:-1 gene:Et_8A_057552 transcript:Et_8A_057552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSRVAAALLRRSRDQASAFVAPRLPISPPAPAVPRVGSGSCGGGGHLLPPRLGSGGPVSSLSRFASFNAFRSLAPKTLLGQCTRKMSTTAAALNSTVANGTVNSGLKLLVTKGPEAQKAVGIWLFGCAAWVFCLVILGGITRLTRSGLSMTDWKFTGEIPPMTDEAWLLEFEKYKQSPEYKRVNKGMSLEDFKFIYWMEYGHRMWGRALGFLFAVPFAYFVAKGYVTRQLGLRLSALFALGGGQGLATHLTSAFVIYCGILWTALSVVMPDPPTGSMNWVYGAAKVRKLAIPVSAIVGITAISGAFVAGNDAGHAYNSFPKMGDTWIPEDVFSMEPFIRNFFENTSTVQLNHRILATSTLLSVGALWLAARKIDMHPAVKSLIGSTLGMAALQVTLGISTLLMYVPTSLGSAHQAGALTLLSLMILLTHTLRRPSPALLKSLATAVKST >Et_1A_005934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15762652:15775818:-1 gene:Et_1A_005934 transcript:Et_1A_005934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFPGGTPDPQQLQSTMLAIEQACSLIQLHINPSEAEKVISSLHSSLMPYQACRYILETSQMPNARFQAAGAIGDAAVREWGILADENKRSLILYCLNYVMEHASSPDGYVQSKVSAVAARLLKRGWVEFPDQEKATIFFEVEQSIRGIHGPNRLFAAINFLENLISEFSPLTASAMGLPKEFHEKCEWSIEVHFLKDFYCWAQAAVFNTADKIINSNVTMPEERACSAAPGSLWRETLISSGHTAWILNFYTTLRQKYSYDTLWVDSPLAVSCRQLIVQLCSLAGSVFPNDNGDAQVKHLMHILFAAVLWIEPPDVIAASIRNGGSESEFIDGCHVLLSVASLTTASLFDNLLKSIRQYGTINLLSALTSEAVKSVLDNQSEEETWGSDALDILLETWNDTDADKSPISVDGALAASSLFKIIVDSHLMAAADSAFEDTDDTEYFHVSVSKRDEQLALYALIARAAADTTIPYLAQLFSERFARLSQKNVESDPTQTLEELYWLLLITSHVLTDSGEGETLLIPEALQAGFPNVFEAAQHPVVTLSWSIINFSRQCLDPGIRAKFFSPRLMEAVIWFLARWVGTYLVPLDVSRGQVSRVETDGVGTNGSLHSRKLLNTFAWENNQGELVLDFVVLISMLALTTYQGENELQTLTCQKLLATVVRRKHTCTCLVQLDSWRDLTRAFASGRSLLSLSGRLQRSLAETLACAASCIKDPEASAQYLRELMGPVAGCLVENASRSDLKSVAHQADVIYMVCCLLERLRGAARAAQPRTQKVLFEMGHTVMNSLLTLLEVYKDQSTVVYMILKFVVDFVDGQAVFLDAKETSALVSFCLRLLQIYSSHNIGKVMLSLSSTLRSESQAEKYKDLRALLRLLTNICSKDLVGFLSDSNGEGSPDIAEYFVLTSHLLEVYPEKVAHLNRDAFARIDSDIVERCLAGVNALASYHFRERLGGREGLNPQLMEAEGSHGKVQESISSHFLRLLLQLLLFEDFRMELAGSAADALLPLLFCEQELYQRLVHELVEKQQNPAVKSRLASAFHNLTSSNNLASSLDRPNRQKFRKNLQTFLADVSSFMQIK >Et_6A_046361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13704182:13710593:1 gene:Et_6A_046361 transcript:Et_6A_046361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAEQPPSDMEVDAAPEDKPTVRFSINVLELMREAQMQHGLRQSDYTRYRRYCSARLRRLYKSLKFLHGRGRYNKRNISESTITDVRYLHIVFYMAERAWSHAMEKKTAVPNAKQRIYMLGRFRKAVKWATLFSQLCSVKGDSRTSLEAEAYASYMKGNLLFEQEKNIEAAMINFKNTRAVYEELGKYGSIENQLLCRQRVEEVEPMIGFCSRKLGGSSLQEAELLDMENEGPANDLLKAKMEAVLSETRSQQAASMTDFYWLGRRFPISNAKTRVSILKAQQLERDLKGAASESIAADKKLAIFDKIFSAYHDARSCIRNDLASAGNAENIRDDLNGLDKAVSAVLGLRTIERNQLLVSIAKSKFTKHRDEKNEKITKPEELVRLYDLLIQNTTDLTDLVDSGRNKNEEENSFVHEYELKGLAFRAERCFFLAKSYSSAGKRAEAYALFCHARSLVDSALQQLANSPDKALIQDMKSLSDNCRSSSCIEHATGIMEEESVPLKLSKGVSTMSLADDKAKPNTKAQHRITQFPPPFQAVPCNPIVLDMAYNAIEFPSLENRMKKEKKGLLSRFW >Et_3B_031253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22855880:22859124:1 gene:Et_3B_031253 transcript:Et_3B_031253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSSSNAFGSRSSLTLGELACAALIPLLAVVDAVLLGAAQCFHKSPPRLLPALEARARLRSSGRLTFRELADLADESRCCKYGCLLCFGFSSVSCCGLRCFYVPVFGVTVNEVEALYELYKKISRSIVDDGLIHKEELQLALFRTPSGKNLFLDRVFDLFDEKKNSVIEFEEFIRAISVFHPNASLEDKIDFSFRLYDLRQTGFIEREEVKQMVVATLMESQVELTDDLVEAIIDKTFEDADTDKDNKISKEEWKAFVGYYSGIPQLRLQYTSR >Et_3B_028118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10407171:10408473:1 gene:Et_3B_028118 transcript:Et_3B_028118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGSPSRMNDLSSHRPVADEKARVSYSAGGHSVVSGVVSSSSRRRESTMARQDLHTQRAQARLRGACTRPSTSATTSSGSPCCSIGL >Et_1B_013867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21288359:21290060:-1 gene:Et_1B_013867 transcript:Et_1B_013867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMLLHGTLDATIFEAKFNVQVSKFLDGLIPHVEGRPTGLPQLYAAVDLSRARVGRTRVVDDNPANPRWNESFRIYCAHATTDVVFSVKARLPIDAALIGRAYIPVQDLLKTKGEVVDRWLDILDEGRKPLPNGPKIHVQVRFTAVADDPQWGRGVGGAQSYPGVPKTFFKQRQGCRVTLIEVPAAWDVLHNFEQRWRKQGGKEDLVHNVLWPWMAQKDVLLDLRGMEDVILPQSSPAVPTGDQEEWNVQVFRSADSSACDGFAKTPAEAADSGLYFLGSSFGWKPDDATPKDINALHLIPRELSLKIVSKIEAGEPFAVYVVVPMWPEGDPSGWNVQAMLHWQRKTMEMMYGDIADTLRAKGIDADPKDYLSFFCLGNREVKQEVPREYEPQGSPAA >Et_5B_044317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22606501:22609358:1 gene:Et_5B_044317 transcript:Et_5B_044317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANAELWNGRLAMLGLVALAVTEYLTGAPFINAPLAAGTGAIARPPMAALAPRRRALPVVRAQNKDNTGRLVDAFAFSGPAPERINGRLAMVGFVSALAVEASRGDGLLSQAGSGSGLAWFAATAAVLSVASLVPLLQGESAEARSGGFWSADAEIWNGRFAMVGLVALVTTEYLTGTPFINA >Et_7A_052498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8590300:8593828:-1 gene:Et_7A_052498 transcript:Et_7A_052498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRSLALFLLICILLPAPPISAALLFGGGKSAAAGKADMDMEWRPATATWYGEAEGDGSDGGACGYGTLVDVVPMKARVGSVSPVLFKGGEGCGACYKVKCLDHGICSRRAVTVIVTDECPGGVCAGGRTHFDLSGAAFSRMAVAGAGGRLRDRGELSVVYRSYESAAAEQWTTPCKYGGKNIAFHVNEGSTNFWLSLLVEFEDGEGDIGSMQIKQANSVQWLDMKHVWGATWCLVQGPLVGPFSVRLTTLSGKKTLTARDVIPRNWTPKATYTSRLNFDVSL >Et_2A_015282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10367879:10371408:1 gene:Et_2A_015282 transcript:Et_2A_015282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASTRILVAVLVLLSAISSSSPRPATGNGSDTDLAVLLAFKAQFSDLLRVLAGNWTPGTSFCHWVGVTCSRRRQRVSSLKPHKAPNNRFRGNKLSGQIPLLHLQNLKKIYLGGNYLSGQIPPHVFNNTPSLVHIDLGNNSLSGPIPHGVASLPMLELLILQNNRLSGPVPQTIFNMSRLQVMALGVNSNLTGPIPDNQSFSLPMLQFISLARNNFDGRFPSGLESCKNLIQIFLHTNSFSDVVPTWLTKLPLIQEISIGTNDLVGSIPAALCNLTSLTMLDLCFGNLEGDIPPEIGQLQNLLLLHLQNNQLSGSVPPTLGNITALNKLILSHNNLEGGMDFLSALSNCRQLMRLTLTDNSLTGSLPNYMGNLSGQLTLLTVSQNMLTGGVPSNISNLIGLQYIDFSYNQLTETIPESITSLENLGWLDISMNEVLGHIPTQLGTLKSLEHMSLKGNKLIGSRPDSIGNIRSLQYIDLSSNQLGSTIPTSLFHLDQLVYLNLSYSLFTGALPVEGVGLKQTDQMDLSSNFLTGGIPESFGQLKMLTYLNLSHNLFEGSIPDLLEKLTSLASLDLSSTNISGIIPIFLANLTYLTVLNLSFNDLEGPVPEGGVFSNLTFQSLVGNAGLCGNPHLGFSSCPDKSHSSNTRMLEFLLPSIIIAFSTIAIFLYLSVRKKLKKGVEKSSADPADLAIHQLVSYHELVRATGNFSDDNILGSGSFGKVFKGQLRSGLVVAIKVLDVQQEQAMRSFDTECRALCMARHRNLIRILNTCSNLDFRALVLPYMPNGSLEMLLHASQRDTSRHLGFVERLGVMLDVAMALEYLHHEHYVLVLHCDLKPSNVLFDEDMVGHVADFGIARLLLGNDNSMISVSMPGTVGYMAPEYASVGKASRKSDVFNYGIMFLEVFTGRRPTDAMFMGELNLREWVRQSFPAELDHVVDSQLLQGSTPTTCILDDGFLAPIFELGLLCSSHSSDQRMTMSEVVVKLKKVILEYNKRIRATSTV >Et_8A_057637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5531427:5535969:-1 gene:Et_8A_057637 transcript:Et_8A_057637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAATRRKLQRKFKLRGFTLKVDALEEAAAFLDRFPDAEDDALDLLLDELDKEPLHSSILDRDAVRRVVSLLVEAEEAVDAASPAATSARSALRVVDAFLVPRFHYDPIKKVFYEHTGRLAIHGEAGDKASLYRDRYQVLLQRLSRDKYFSKPAFDMVTAEDGSCEITSIQSLIGCSGRRWIMGVISQLEERQFYLEDLTGAVNTCGFPPLEDREASLSLLMGLDFFGGGVIPTEETLRLSSLEKKAVNDMFVILSDVWLDNPEKTMEKLAVVLDGYDSVEVVPSLFVLMGNFCSRPCNLAFNSFEELRCALPKYLIEELQKHIPNAIFVSNPCRVKFYTQEIVFLRQDLLYRMRRSCLIPPTAEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYTGITCFNPGSFANDSTFAAYRPCTKEVELSALEG >Et_7B_054158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16274751:16276720:1 gene:Et_7B_054158 transcript:Et_7B_054158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGDSGATAAGKHLRVLLPFSRNSLRIPDELAEEIGGGEALVRKQVGQDGDSACGVGGGWLLVLRHRGRDVLTVKVFDDRGFIKELGTPIPPAAEGTTSTKETARKPQFISVLPTDFMQKMLLPAKFVQHYILKEHLNNCTAVIFVTLGKICQSGMFFAGGWSQFLSFHNITGPNTLLCMRATWCLLSKFLSPMDA >Et_8B_060407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13926600:13927006:-1 gene:Et_8B_060407 transcript:Et_8B_060407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWSPEEDAMLKNYIEEHGTGGNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGDFTPEEDSIICSLYISIGSRCRPS >Et_10B_004480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8929902:8931038:-1 gene:Et_10B_004480 transcript:Et_10B_004480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGVKRPRERIRIAFTQDYEETSLLGAGGFGVVVKAHHRATGEPERRTKEQKQAQAEVMREAQFLDACKGLPFVVGYHGLASDLATSDLCLLMELVAGPSLHAYLTDAQRQQPLPEPTVRSLMWQLLTGAREMHARRVVHRDIKPRRQEQREDLRPGPGHLDEAAAAAGTLPYMAPEMLLGKTDYDERVDTWSLGCVMAEIILRRTLFEGDDDDENEEVGQLAAIFGRPDVARSLPLAAEVTKKMMHKKQRRRNRLRDTFPEETLSKEGFDVLSGLLTSNPAKRLTASAALKMPWFNHVEALSLMPEKKEEVATVPPEPAVHKRKRIVIIPPATPKKKRIVIIPPAMPQVLCAAA >Et_7B_055346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9580802:9584435:-1 gene:Et_7B_055346 transcript:Et_7B_055346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPKGFSAKALSFLKFLPYFVGLLILGLIKGVLLCPWACLVMAIGLSALILGLWPMHLIWTYYCIIRTKLVGPVVKFLLLIAATAILILWLIVGIPGSVLAGVVHGFLAPIMATFSAVGEGKEKPFVHCFVDGTWSTITGSCTVVRDMKDLLFHSYFSIMDDIRLQKPPDGKPYEIRLLDFPGAIIAAACGLILDGIMFTLIALYKCPVMLFKGWKRLIQDMIGREGPFLETACVPFAGLAILLWPFAVLGSVLASILSSIPLGAYGAVVAYQESSLLMGLAYVASSVSIFDEYTNDVLDMAPGSCFPRRQGEILVNQGVITMKDIEETKSGKVGSGVLNVGLPAYVILNALLGSAKANLDGIILSDGSEITSDNRPRSTLFDWFFDPLMVIKEQIKAENFTEQEEEYLKMRVLLVGDPNRSKGTLPHVPSLNERKKAEIDAFARRLQGITNSISRYPTAKRRFDDLVKALLSELERTMGSSQSANGSQGQRLRNSVARMLSQKTLGKTSNIRDEDPEAQVTSYSRTP >Et_5B_043619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1347242:1350374:1 gene:Et_5B_043619 transcript:Et_5B_043619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMKAEELLTRAHAGQVIRSGFRLVRPDRKSRTDRTSRGSSFLLPCGGNMAAAAGDLSDEERRALRGSKFAPLPPPPPSSRPQPRMAHPGGPLTTNKAAALAKFLERKLQQPEGLDSLNPDLVKLAVKNAKETIKASKGETSTSGRVVRHVSSFEDGSEDSEDSNDESEAKGIKRKRINKPQKPKAYKDDVKLSKKMKKKKNKKKKRKGKEFLLDLSFPGL >Et_3B_031559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4695825:4705473:1 gene:Et_3B_031559 transcript:Et_3B_031559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRAHWDPATTAKLIELCKEEKSLRNFNRIGPTHLGWTHIHQGLQAAFPNQFDHKQVSNKIGSLKRSTGGVSADDSFWDEHEKIDEHDETEPSEEDHEAYEPTLSQDRGTPPQFLEDLEFLFGRTPQDRGNMVCAEGVHHGSPSSPLPAPTPRRGHAAGPSRILDASPVATTRRGHAAGPSRVPDPFPAGTAARVSEDVPPGSPVGAAADKSASKRTSVDGSVNSPKKKKSRSSLEESVHVMADTLVQCNIMKAQQHDDDLLRSVSNIMRVDGFMDGSKMFLKGVVLCQHRPTRVQFLDLKDHDARIEFINFLFKRIAKPRVLTTPSFVRASSTRNLTPPAEMTPVSAGWPPPCGWKTVASSTTARRPPSSCKHPELSLVKAQPRVEPTASGGLFAFQHCRGRGKTNLMDGEDDGVRAEGPHVIEIDKPCGADGPRRSSRRRHLFRRRRRVGVIAARCGDREMEVEDSTGRQLVQTC >Et_2A_017675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:491197:491457:-1 gene:Et_2A_017675 transcript:Et_2A_017675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNQEQRSITHLMVESYKYDLCILQKEETPMHLCNFAGHIVKFLKIEEACCAILCGYHSTHISGEYGSQGTNGSLVAFIH >Et_5A_042204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7370039:7388268:1 gene:Et_5A_042204 transcript:Et_5A_042204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKNEDLRGKNLGVFICWLLGIGCLMGFNSMMIIEDYYISLFPKYHPTRLITISYQPLVLVTTAIYTYYEAKVNTRVRILAGYMIAFMCTFAMILVDVATSGSGGIAAFVVICVIAGGFGVADGHVQAGLTGDLSLMCQEFLQSFFAGQAAAGAVTSVLRFITKGAFESTHNGLRKGANPTLPERMSGKQLLLRNVDYALDVFLIYVVSLAIVPGFVAEDTGSHSLGSWYVLVLMASFNVFDLIGRYIPLIEYIKLTSRKGLLVASVLRFLFVPAFYYTVRYGDQGWMIMLTSLLGLSNGYLTVCVLTEAPKGFKGPEQNALGNILTFFLLAGLFVGAMLGWLWLIGKGHVVENSSQKSCYLSGEKIGHSHLFSSRKRMSLWNYHPTRVITLTYQPFVLTLSGIFAYHEAKVNTRLRNLFGYMMFFLSSLALVILDLAISERGGIATFIGVCTIVAVFGIAEGHVEGAMTGDLSLMCPEFIQSFSAGMAASGAITSALRLVTKASFENSREGLRKGASKDKHQGTQLGGLDVATSGKGGTATFAGLCTIAAVFGIAEGHVEGAMAGDLSLMCPEFIQSFWAGVAASGVITSALRLVTKKAFDQSRDGLRKGAMLFASISCIFELLCLLIYTIVFPKLPIVKLYHSKAASEGSITVNADLAAGGIESNSNPLAEECHVFPERLSSKQILLQNIDYSLDMFLIYTLSLSIFPGFLAEDSGSHSLGSWYALVLIASFNVWDLIGRYLPLMNCFKLASRKGLLAVTCLRFLFVPAFYYTVTYGDQGWVILLTSFLGLSNGYVTVCVLTEAPKGYKGPEQNALGNLLVLSLLAGITFGDLLGWLWLIGKGCMEYDDEEGKYWGIFICWLLGNGCLFGFNGMLTTEDYYVFIFPNYHPTRIITLTYQPFVLVTTAIFTYHEAKVNTRVRNLAGYILFFLSSFGVIILDILSSGSGGIAPFIGVCIIAATFGIADGHVQGGMTGDLSLMCQEFIQSFFAGLAASGAITSALRFFTKAVFENSKDGLRKGAMLFSSISCFFELLCVLLYAFVFPKLPIVKFFRSKAAFEGSLTVTADLAAGGIKSHSVPLVEESPAHAERFSNKELLHQNMDYAADLFLIYILTLSIFPGFLAEDTGSHSLGSWYVLVLIASFNVSDLIGRYLPLIEQIKLTSRKGLQIAVISRFLLIPAFYYTAKYGDQGWMIMLTSFLGLSNGHLTVCVLTEAPKGYKGPEQNALGNLLVLSLLAGIFCGAVSDWLWLIGKGW >Et_1A_005823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14440853:14442351:1 gene:Et_1A_005823 transcript:Et_1A_005823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVLLALSLLAACGAAAGGYTSPYRRSLQMLPDMPLDADVFRAPPGSNAPEQVHITLGDQTGRAMTVSWVTPAHPGSNVVRYGLSHDHLRHTAEGTVQTYTYGPSYKSGYIHHATLTGLEYATTYHYRLGFGYAVRTFSFKTPPKPGPDVPFKFGLIGDLGQTFHSNDTLTHYEASKADAVLFIGDLSYADNHPGHDNRRWDSWARFTERVVAYQPWIWTTGNHELDYAPELGETEPFKPFTARYPTPFAASGSDRPYWYSVKLASAHVIVLASYASYGKYTPQWTWLEAELKRVDRDLTPWLVVCVHSPWYNTNGYHYMEGETMRVQFERWLVDAKADLVLAGHVHSYERTRRVSNVAYDIANGMATPVFNASAPVYVNIGDGGNIEGLADNFRTPQPDYSAFREASFGHATLEITNRTHAYYEWHRNDDGVKVVADKAWFTNRHFLPTDTN >Et_9B_065591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7536033:7542025:-1 gene:Et_9B_065591 transcript:Et_9B_065591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFGGFFVDEKAARVENIFLEFLKRYKEPEAAEPFYEMEMEAMRSRESTTMYVDFGHVLRFNDVLQKAIAEEYLRFEPYLRNACKRFVLEHRAGENRAPIISDDSPNKDINIAFYNIPMLKKLRELGTAEIGKLTAVMGVVTRTSEVRPELLQGTFKCLDCGNVVKNVEQQFKYTEPIICINATCQNRSKWALLRQESKFTDWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEKARAGDTVIFSGTVVAVPDVMALTSPGERAECRREAPQRKSGSGVQEGVKGLKSLGVRDLSYRLAFVANSVQVADGRREVDIRDRDTDGDDSERQKFTEEEEDEVVRMRNTPDFFNKIVDSICPTVFGHQEIKRAILLMLLGGVHKITHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDEPDENTDYHIAHHIVRVHQKREEALAPAFSTAELKRYIAFAKSLKPQLSSEAKKVLVESYVTLRRGDSTPGTRVAYRMTVRQLEALIRLSEAIARSHLERVVLPAHVRLAVKLLKTSIISVESSEVDLSDFQDADDGTNVPSDNDAGQPAEADDAPQQQGAENDQAADPGKKKLVITEEHFQRVTQALVMRLRQHEESVMKDGDGLAGMKQGDLIIWYVEQQNAKGAYSSTAEVKEEVKCIKAIIERLIQREGHLIVIDEGTTAAGDGSGARRTSESRILAVNPNYVID >Et_4A_034796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6601172:6603151:-1 gene:Et_4A_034796 transcript:Et_4A_034796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEVDGALAAAGAWPGPSRRRHLLQFLLHASKRLDLRPIVKYSALAFFAGRFLPALPRKMGFCGARSGRSVRSWLLEPLRDSNLELFALVAVWIASKVHELKPFSVKNLKALGDRIIADQHFTCRDFANAVVEYNIGSSSIAFIYLEDLLLHFREISKLGDLLDLDVCMEILDILYETEDTSLLFNSPCSLAASTLVAAYAISVPKQIWEFPILPWVRFATSYDEEEIMKIALTILMHVLRPDEMKQKNMMEFDA >Et_9B_064590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16761640:16767426:-1 gene:Et_9B_064590 transcript:Et_9B_064590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAAAREDVKSSHFPASAGGGGGKKKPHQARNCGGAGGGGGGAEKKRLSVLGEEGCDVGGGGIDEKYALDRELGRGEFGVTYLCMDRGTRELLACKSISKRKLRTPVDVEDVRREPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPNVSDSAKDLVRQMLQPDPKLRLTAKQVLEHSWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKEMFKAMDTDNDGKVSYEELKSGIAKFGSHLAESEVQMLIEAVDTNGRGSLDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGYIEPEELQEALVEDGGTDSMDVVNDILQEVDTDKDGKISYEEFVAMMKTGTDWRKASRHYSRGRFNSLSIKLIKDGSLKLSNEKDGPLKLGRSNLVDYDTPLVSTAP >Et_9B_064058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10811211:10816620:-1 gene:Et_9B_064058 transcript:Et_9B_064058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGTRKRKSTRAAAGAGRARPAAAGGKKSNRAAAAAGAGLLKKRAQASVDWVEVPRERGTHERCLCWWCVTTPSSPDRRAPAADPVPCRAPSPEHAPEIPSPTRAASPDHAPSTPSGRAASPEYTPSTLASSSAATPDYSPSSTPSWNGAASPYYTPDEYTPSTPSGRAASPDYTPSTPAEYTPATPTSRAASPEYTPSTPSSRAASPDYTPATPSSRAASPDYTPGTPAPSPKVADAESRSSSGCSSFSRGSKIGRRCLHTKTYLAFFSPSMPVTLHHLLSAPAASPSRPLVVALLMAPAAAGTRKRTRAAPGRACSAAAAGKKRKRAAASGASSLKKRAQASVEWVEVPRERGTHERCCCWWCVTTPSSSHRRAPAAADTVPCRAASPEQIPSPNRMPEIPSPTRAASAPDHAPSAPPEFTPSTPYCTPATPKEYAPSTPSSRAASPEYTPSTSWIGAASPDHTPSSPSEFNTPSTPRSGAASPDYAPATPSSSRAASPDYTPGTPAPSPKVADAESRSSRCSSFSRGSKTGRRCMHTKTYLAFFSPSMPVE >Et_3A_026765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19761659:19764056:1 gene:Et_3A_026765 transcript:Et_3A_026765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSSPTHQPLAKRRKKPSTTNVAALGEDILLEIFLRLPSLATLVRAALTCRGWRRAVASSPAFRLRFRELHPAPLLGIYFETHSLVQTLPTFPSFVPAARRDRDLSTVVRGGDFFLTSIQEHPDLPHSWDIVDCRGGYLLIMNGDQETMAVINPLARRSERFFDLGHEATLEDSRGFPVCHNPCLIRSDEDPTSFRVVMIANDESRVRATFFSSDTGEWSICPWVDLPAKPGRGKFWLLRRNMQANGSLYCVYKNRKHMVTLDTATWEFSVAEVPRCLRNRRCSFVVGETRDGAPCIVYAIDFKVGLLLRGADTEGTEGWMLDRVVPLETELGQILGEQMDNYNELKVVAVRDGFGSQLVLFPVLENNEIGDDVSEDKGYWSVRFIIAHDESTVRATVFSDTGEWSVSLWVRCPGEPGRP >Et_1B_009658.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11966362:11967246:1 gene:Et_1B_009658 transcript:Et_1B_009658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVIWLSLVAVTTVLLSLLISRALLKTGANKLPPGPWNLPVIGSLHHLAVGAAPPHRALHRLAATRPADAAPGRRGAHRSGLLRRGRRGGAADERPGVREPAAGPHAGRRRPRRRELHLRAARRVLAPDAQALRARAAQRGAGAGENGARQAGRGRAPAVVDLGQALAELANNIVARAVFGGECRRQKEYLRELAAMATLAGGFSLPDLFPSSRLVRWLSGAVRDLTRSRDRVHRIVWIWANLLYHFDWTLPNGADPKKLDMGEVFGITVRRRSSLCLLAALPVRSSPSTPFM >Et_1B_010170.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27698235:27698279:-1 gene:Et_1B_010170 transcript:Et_1B_010170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQVLEPTLSLLQ >Et_4B_039501.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:19440426:19441580:-1 gene:Et_4B_039501 transcript:Et_4B_039501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSEQRSKRALHHLLLLCFVLPCLTQPLPAPSPSPTPSLPLSPFNDRLDAAYIALQAWKHAITEDPKNLTADWCGPHVCNYTGVFCAPAPDDPHTLTVAGVDLNHGRIAGTLPDHLGLLADVALLHLNSNRFCGTLPASMQHMRLLFELDVSNNLLSGAFPSFLTSLPSLKYLDLRFNRFDGELPDAVFGGHGGLSLDALFANDNRFNVSLSTRTLANSTASVIVLANTELEGCLPPSIGDMADTLVELVLLNTSISSCIPPEIGKLRKLRVLDLSRNRLAGELPESIGDMESLEVLNVAHNMLSGVVPGSICELPRLKNLTIAGNYFCGEPVPCLHVPLRDDRMNCIPDWPHQRTHEECIAFEHRPPVHCGADGCILLPK >Et_1B_009969.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:15294800:15295012:1 gene:Et_1B_009969 transcript:Et_1B_009969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFLIDEAVDYARRLPNVVVAHMKREQNEIAHVLAQLAKRTKHSAVWRFHAPLCGVELVARDCNFVMNN >Et_2A_018038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9315511:9323421:1 gene:Et_2A_018038 transcript:Et_2A_018038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDAAEIRNLPIDIAFARLQEWLVDRKRVPHDWRKRLAGIRARIAAAFPSMPRDLHPSLLSLEPEEIGYLEAKKIYNILLESNADSRNIFGRLTGSAGEWESIVKAYEKDHVFLGEAAQIMVQNVNYDIPYQRKQMQKNQQQLAELDRREADIKRLAALSATRYVEACQELGLQGKNVREELIESAKTLPSTFSKILEVLNSDPVSKAIEYYTAFVKECHTEDKGNCDSVLRNLKQLQANPPSLHVSVCTEVERSLKETSKSHGSTLTTAGQDDSATPAIDIDWDISVDANEIDWDIGAVEQPIEESGDGFGSYEIIDANIEMAGSENYDVSVSDNPSVKKESEICWDVSADSYEENADINNAPAELGESQMLDEGRSQLLEKEYRNDILDDLLEVKSFLVQRLGEMRNADTSSLQHQVQAVSPFVLQQYAPDTLENMLVEISAAITLLTNQKILDLIMILNSKRFLDRLVSSLEEKKHHEVKLREGLGDLSVKRMELQNALSSSWPKQEAAITKTRELKKLCETTLSSVFDGRPVHIIGEINTLLSSSPTEMREAASHRDLAELV >Et_5B_045546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4080246:4081993:1 gene:Et_5B_045546 transcript:Et_5B_045546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSDLPDDILGHILSFLPTKEAGRAAVLSTRWRYIFASVHTLEFQDTQPYNSWGDTYTFYSDSAERRSVNGYFIDGVNAALLCRRRCVGLSRDASLRAFRVGLNYYYGWDAEMLHKWISSALQQSFGHEFHLDLRLHEHELCERGSAPPPGEDDRYYYRDVEKEHRARAGWSLRFPRKLFSCAALRSLRVGHCRLNPPEAIALPSLETLHLTAVGDPEETIHRFIASCPRLDDVTLDSCSNLKRVSIVDRRLHRFSLRCCHDMVTVTLDASELRVLDYRGAVPAESLFRFHGSPRIRSSTIEFCGPSLSGEAELAAFPKFLANFTSAKHLHLHSRRLGCSVESEFFTGFPAFSNLRKLELTGCLDRKATICAVPRILEQTPNLEVLTLFLVPVAKETRSNANLATVLDASSVLCLRQRLREIKLVHYQGRNEQRMLAKLLLGNALVLQGLCVVFANPSLGLQTRLTNEFKRMVGKF >Et_7B_053582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10006457:10006942:1 gene:Et_7B_053582 transcript:Et_7B_053582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAVVAVLAVAVLLACLPPPAAAASSRAVLAMRRLEMAAMDAAPAVREKADVTKGAEENVSTTGFGAESEREVPTGPDPIHHHGRGPRRQSP >Et_1A_004803.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6947372:6948058:1 gene:Et_1A_004803 transcript:Et_1A_004803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATTQQYPTPTRSRAACNLVRLVSPLDRPACGHDVVALVPRLPLRRRHAAGGPGSRRSAGAARGGRRPPPVVPRALQRPPDLARDPPGRRRAAAARGGAVGRPPHGLHRRRVRRGRRGGHARGAPPRDRRGGARRARQAARQPRPQQLRRRGPHLRQPLRHPRDAIRHRQDGRHRVPVRRRRLDQHRGSRGRRGRALPYAGRAAGLRGRRYRRGDLCGSRYCCSEGADV >Et_9A_061824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16907611:16910249:1 gene:Et_9A_061824 transcript:Et_9A_061824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQRIFGASGMGQPPSDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKRFDSHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSANIVQTLGTMLDTVVF >Et_8A_056126.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23385779:23386135:-1 gene:Et_8A_056126 transcript:Et_8A_056126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCQFTSQLEGRLPNLERLRIVKPLRPAEASKDVDNSFVDKAKLEILDLSGNNTMKKLPTSLSKASNLQVLVLDGCDGLENVVLSNPLLRSFSFDGCGPASNWSSMVELPPKSSR >Et_8A_056162.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:97059:97319:-1 gene:Et_8A_056162 transcript:Et_8A_056162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFISDPAAYGFVTSKVACCGQGPYNGVGLCTRASRVCPDRSLYAFWDNFHPSERANRIIVSQFMDGTAEYMHPLNLTTILAVDAA >Et_7B_055502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12457142:12459782:1 gene:Et_7B_055502 transcript:Et_7B_055502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISERLSTSGSGRRTGAFPFGGSGRSFPPPAMQQVPEPKKNGSSAVADKPQEAASVLMPSDSTRTGDEAAEKLSAFGSTRSGGAFPFGSGRSSFAPPPDLQQPTTPALEIVSVVPPENGGALVRREPSLRLPEEGVVLSWEDLWVSAPGTRGGRRAILSGVGGIARPGEVLAIMGPSGCGKSTLLDALAGRLQGSNVTQRGDILINGRRQKNLAYGTSAYVTQDDVLMTTLTVREAVHYSAHLQLPSSMPAAAKRERAEETLREMGLEGAADTRIGGGWARGNRGISGGQRRRVSICAEILTRPALLFLDEPTSGLDSAASYHVVARIARLARREGMAVLAAVHQPSVEVFGLFHGLCLLAYGRTIFFGPAAGANRFFAEAGFPCPSLMNPSDHFLRTVNKDFDNEEGGVDEERVNTTQAIDRLANSYKSSVHMENLTRQIADIRKTSSGAPVKKQWQPSFLTQSSVLTRRSFVNMYRDLGYYWLRFVIYIALCLTVGTIFYNVGHSYGSIQSRGAMLNFVAAFLTFMAIGGFPSFVEDMKIFARERLNGHYGVSSFVVANTVSSMPYLALISVVPGAIAYYLVDLQRSFGHFAYFALVLFMAMMLVEGLMMIVASVVPDFLMGIITGAGIQGVMMLNGGFFRLPNDLPKPVWRYPMYYVAFHKYANQGLYKNEFLGLTFPNNQEGGAASLTGDEILRNYWQVEMGYNKWVDLAILCGMVVLYRLLFLAIEKLKPMVAGLRFRNTTRSLQVADRASS >Et_1B_011058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17036952:17038182:1 gene:Et_1B_011058 transcript:Et_1B_011058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKGHPRLNERIMSSLSKRSVAAHSWHDLEIGPGAPQVFNCVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQGEKDDKIIAVCVDDPEYRHLTDLKELSPHRLAEIRRFFEDYKKNEKKEVAVNEFLPPNTALEAIQHSMSVFSLR >Et_10A_000320.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:2317231:2317308:1 gene:Et_10A_000320 transcript:Et_10A_000320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISATLVLITLLQQPTRLLRRMGAN >Et_6B_048644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1244717:1247067:-1 gene:Et_6B_048644 transcript:Et_6B_048644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGNSFPSDDDEAAAPPGRSICHAGCGRPSRVCLCPYLPPTPLPTSTTVVVLHHPHALRRNPLSTLPLLARSLSNLHLLPGRRLLPFSTPLLPPPSPNPVLLLYPSPAAADLASWCRSAPPSARASPTLLLLDGTWKQAKEMRSASLPFLSSFVTPVSLPVDSGVDGDSMFESELVVKKEPHKGCMSTLEAVARALRLLEPEGRGKEIEEAMLGVLRAMVGFQAEHLQQKSVKPRVKMRKKKELKREEEIRRNAESNTSIARLAPWIVYC >Et_2B_019076.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29988054:29988557:-1 gene:Et_2B_019076 transcript:Et_2B_019076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVSLHHHGLPASLPPSSHHHRALPLPLNQRRPSTKPPAARLTLATRPTSPARSAPAAALPAAAVAAAATAHYSSRAATGYAAALADACARAGTLRRAARHARAALGLSQRQEAVGQLDARVAALVRMLVAKGNPGMVAEVLAEFAAICDKLLPLPPARAHGHGY >Et_2B_020810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23919420:23920705:1 gene:Et_2B_020810 transcript:Et_2B_020810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFTLPSYVCTREGPDHAPRFRAAVTFNGETFEGPSGCTTLRQAEHAAAEVALASLSLRGPSTSLAARVLVSPFSRDLVTAGVFCLPLARCSLDTDVIACFVLDGCVQDETGVYKNLLQETAHRAGLKLPAYTTVRSGPGHSPVYASTVELAGMSFAGDTARTKKQAEKNAAMAAWSSLKQMPEARKDSPGSGAGVEEQEHVVVARVLAALKARDDANGKAAPLPKHCGTGTGSSSALPSPPLYRHQWQRRNVPAPPPRTSAPHAAGPKILPPLHLLHQPSSSSRDAAAAAELVRLLERAMLSNRGNAMPPSPCYYAPATASAYHHHGGAPRSFAAGGFHAPAVSVRSVIPVCAAPPPPPPRPAAAKEEERSGPAAAAEAGKRV >Et_4B_036507.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27408227:27408667:-1 gene:Et_4B_036507 transcript:Et_4B_036507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGIVVVLCVALALHGAAAARTVPGGAGASATTGTASLPAAAAAASGENGVADKKNLFVGVGGMGDLPGFPAVGAGYGGGFGNNGGGVFSGVTGPLGGVGGGVGAVGPVGGGVGGIPFGGFAGGGTPFGGYGGIGGGGAGGVTP >Et_3B_029092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22040819:22046779:1 gene:Et_3B_029092 transcript:Et_3B_029092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRMLLSVNVARSPCVLAAAASVDGVDIAVYWGQDASEGTLRDTCGTGLYAYVNLAFLSTFGGGRAPVLDLAGHCDNAAGTCASLAADVAACQSDGVKVLLSIGGGALGGYNLSSPSDARGAPSPYYDELARSLTSLFRGDTDGRRKYLLTAAPQCPFPDASLAAALGTGLLDRVWVQFYNNPPCQYAAGDVAALRTAWLQWTAGLPAATVFLGLPASPDAAGSGFVDADALASQVLPVVEGAANFGGIMLWSRSYDKDSGFSVKLQGILQNRNNQGTGILLTKQLQDSEFIPKPFYVPVICADIIFGVAVAGVLLLFLLICTCFLCHKKYRGMPPPGEGSTVPPKTEPSKPKQRAQHLIRYTLSEVERMTKTFAHELGHGSCGDVYRGNLRDGRQVAVKVLNNCKGDDREFMSEVVSISRISHINIVSLIGFCLQGPTRDLIYEYMPNGSLENYAFSNNNDSTEENYSLWLYWEKLFDIAIGVARGIEFLHEEGSATMVHLNIKPRNILLDHELCPKISDVGVADLCLAIDKESKRSTHRARGRDAYDAPEVVSGKFGPVTSKSDVYSYGVMVLEMFCDSVSDISSETRDLVKKMIIVGLWCIQTAQSNRPSMSRVVEMLESSSVDLELP >Et_10A_000202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17100073:17100531:1 gene:Et_10A_000202 transcript:Et_10A_000202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSPPSLCEFIEYIDTEQTPENIAHVYRVTEERHWFDMEAEERREKECRKMRQKEEERRREYEAERKAREAERERMRERARRARAAGPDAFRKGNIPVALNRHLVVLVCMKFISVRRVRSIIVKVDYFIFM >Et_9A_062625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2524781:2528362:1 gene:Et_9A_062625 transcript:Et_9A_062625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQYRGKDSPGLRSGSTRAYELRYWTYLHQIRINQAKRKQKKNHGREMERSITENVAGFIERWYRRTNYILTEKGDTSCLVTVEPKRKEEEEGKSDMDCQ >Et_2A_017010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30059321:30060215:-1 gene:Et_2A_017010 transcript:Et_2A_017010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEHFMGSAHPSSGFLWHGKETTEETKKVQGLRPETMMETCQSAESKDGAIKCPIPCKSSRWYREQELRAVQDLSDFIVSKASPPYFMGSPPVRATNPLVHDTQFCAWKMQSVEQSLGVPIPTKGHNVRYGVREGSVSKA >Et_3B_028594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17134501:17136564:1 gene:Et_3B_028594 transcript:Et_3B_028594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSSLKESNHGIRQGINPVAKRITVAKLYSSRSSKYRPYEAQDIATGRHLTAKPKGGYPNSLTSISSNGTLPLNFSTYDAAVNSTTLVERKFELVFCAWRVVDEKDCYFCVPERPNPRCHATRKERQAICPYTWRPLFSFGLSGRAGTENLSLEINSIPTMIKEHASSGLAVLPDASIPRCSLTGAILA >Et_1A_006910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2889257:2893202:1 gene:Et_1A_006910 transcript:Et_1A_006910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTKEQLLARLQELKIDFACYDHPVVLTVEEQKKYVGHLGGALSKNLLLKDKKHRLYVVSALEHTKIDMKILSQRLGLGKGGLRMAPEENLLQVLQVPLGCVTPFALINESASTVSLLLDQGFKSKQSCYFHPLTNDVTIALTSSNLDKFLISIGKQPAYVDLEASPAVGKDNPPDIAHLVPSDVPVSSEQPAEHMTPAKVTHQNNAPKETGKAKPKVQGKGAESSQSKVEKPNNDTSVKKFVNDAFDSFMSPLFLSEVSKKLNLTNEEVSSKLDLDGLRGLVAPDLECVMTSLQNASYAAGFNAGFESMLNNGLKGRPSRK >Et_1A_007741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37755436:37759117:-1 gene:Et_1A_007741 transcript:Et_1A_007741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAHSSSCFEQFWDGVQIKRSDRFTIELLPSLGATIDHSHKLHKFTISPYDHRYRYWELFLTVLVIYSAWICPFELAFLRDLPSKLLLVENIVNSFFAIDIVLTFFVAYVDSKTHLLVDDRKRIAVRYLSTWFIFDVCSTAPFQPISLLLKHKGNGLDFKILNMLRLWRLHRVSSLFARLEKDIRFNYFWTRCSKLISVTLFAVHCAGCFNYMIADRYPSPENTWIGAVMPTFRSESLWTRYITSLYWSITTLTTTGYGDLHAENPGEMLFDICYMLFNLGLTAYLIGNMTNLVVHGTSRTQNFRDSIQAASEFAARNQLPEKIKQQMLSHFCLQFKTEGLNQQEMLNSLPKGIRSSISFSLFFPIIRNAYLFNGVSNNFIAELVMEAKAEYFPPKEDIMLQNEGAADIYILVSGAVNMITTINGNEQVYGRVTEGDMFGEVGALCDAPQPFTYRTAQLSQILRISKTRLTEIMHEHREDSNIVMTNLFQKLKPQESLPEWNQLDPRFIDNYQLFCGPHKAWLLPQTYLQYTKLERQNNIKKVPTLEADNNSTNLALETIQLRMPLQETSQYEPNSNYGATEGTANDKVGHVTHVDMNCETKTSTEEFSIQIRSEDCGAGRSWQASHETAQLGSSHHTSEGAMESRNQYYTYENSAKKRVTIHIYSHNAAHTLVQNGKLINLPSSLEELFEIGSQKFPGFHPTKLVSRDYAEIDDIGVIRDGDHLFLLQM >Et_1A_006612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25272345:25277568:1 gene:Et_1A_006612 transcript:Et_1A_006612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSDLGVSAFINILGALVFLILFAVLRIQPVNDRVYFPKLYLAGKRAPAHDSRSAFRKFVNLNLCTYVRFLSWVPSALRMSEGELVAHAGLDSVVYLRIYTLGLKIFLPITVAALLVLVPVNVSGGTLLNLRKEIVFSDIDKLSISNVSPGSNRFFIHLLMAYVFTFWTCFMLYKEYSNVAFMRLHFLASQKRCADQFTVIVRNIPHVSSHSTSETVDEFFRRNHPDHYLGQQAVYNANQYAKLVKKRERLQNWLDYYQLKYERHPDKRPTGRTGCLGFCGREVDQIDYYRARISELEKRMASERQKVLNDPKAVMPVSFVTFDSRWGAAVCAQTQQSKNPTQWLTDWAPEPRDVYWQNLAIPFFSLSIRRFLISVAVFALVFFYMIPITFVQSLANLEGLEKVAPFLRPVIDAPVVKSFLQGFLPGLALKIFLYILPTVLMIMSKAEGYVSLSSLERRTASKYYYFMLVNVFLGSIIAGTAFEQLHSFLHEPPTQIPTTIGVAIPMKATFFMTYIMVDGWAGIANEILRVKPLVIYHLKNMFIVKTERDREKAMNPGSIGLGENLPSLQLYFLLGLCYAVITPILLPFIIIFFAFAFLVYRHQIINVYNQEYESAATFWPQVHSRIIASLLISHVTLFGLLGTKKAAFSTPLLIFLPMLTIWFHKYCKSRFEPAFRKYPLEEAMEKDNMERASEPSLNLKTYLANAYLHPIFHLFEEVDKEEKIEVRIDKAQQHQHQHSESHARSSSQYHEETHLRSTHETTYYHEESHVRSTQYHEGIHVRSDTDSPSPPHFVYHYDMEP >Et_3B_029426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24927520:24931929:-1 gene:Et_3B_029426 transcript:Et_3B_029426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVASEAPVGVFSIGPSTALGRAVALRVLLCGSAARARRRLAAALRAALPLAAAWLHPRDNTRGILLAVCAVALLLRGRRGRAGLRARVQSAYRRKFWRNMMRAALTYEEWAHAARMLERETAYSRASDADLYDEELVRNKLRELRHRRQEGSLRDIVFCMRADLLRNLGNMCNPELHKGRLQVPRLIKEYIEEVSTQLKMVCDSDCDDLPLEEKLAFMHETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIVSGSSVGSIMCSIVATRSWPELESFFEEWHSLKFFDQMGGIFPVVKRIFTHGAVHDIRHLQVLLRNLTSNLTFQEAYDITGRILVVTVCSPRKHEPPRCLNYLTSPHVLIWSAVTASCAFPGLFEAQELMAKDRFGETIPFHAPFLLGTEERTGVPTRRWRDGSLESDLPIKQLKELFNVNHFIVSQANPHIAPLLRLKEIVRAYGGSFAAKLAELAEMEVKHRCNQVLELGFPLGGLAKLFAQDWEGDVTVVMPATLAQYSKMIQNPSYSELQKAANQGRRCTWEKLSAIRANCAIELALDECVALLNHLRRLKRSAERASASHGHGPTIRLCPSRRIPSWNLIARENSTGSLDEEMLISPTHLNSWTRSGGPLMRTASANQFISFVQNLEIDTEFKTVPSRDDGTDLVTPNTGYSHDTTAHRSTFGSSTSIVVSEGDLLQPEKTENGILFNVVRRDAILASSSGVESLGSSREADVETVHTDLCSVSASDDEDMEINVVDDEGSDTTSGKDIQDQGSSVAENVHQSSFFDCEDVTNTNKPEAASLFNICTEIHRPIISVEESLPEEPSAKAELEKVETECPDDNCADRKDEVGSSTAN >Et_2A_017486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34844421:34848787:-1 gene:Et_2A_017486 transcript:Et_2A_017486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPCSTSSSTIPALLFARLPRLRAATAATMPPRLLLLLLLAAAAAASPERDVYALGKLKAALVPATSTTPSRALADWDPAAAPPAHCGFSGVTCDAASRVVAINITAVPLHGGALPPEVALLDALANLTLAACSLPGHIPPTVAAMPELRYLNLSNNNLSGPFPSPADPSAYFPSLQVVDVYNNNLSGLLPPFGADHARLLRYLHLGGNYFSGAIPESFADLAALEYLGLNGNALSGRVPASLARLKRLREMYIGYFNQYDGGVPPEFSHLDSLVRLDMSSCNLTGPIPPELGRLANLDTLFLLMNRLTGEIPPELGQLTNLKSLDLSINELTGEIPPSFANLTNLELLNLFRNHLRGSIPDFVADFPRLEVLQVWENNFTGNLPAALGRNGRLVKLDVTGNHLTGPIPPDLCAGRKLSLLVLMENGLFGPIPDSLGDCKTLTRVRLAKNFLSGPVPAGLFNLPQADMLELTDNLLAGELPDVIGGDKIGMLLLGNNAIGGRIPPAIGNLPALQTLSLESNNFSGALPPEIGRLRSLSRLNVSGNSLTGAIPRELTGCASLAAIDLSRNGLSGEIPDAITSLKILCTFNVSRNRLSGELPSEMSNMTSLTTLDVSYNLLSGPVPMQGQFLVFNQSSFIGNPGLCGGPIAAAACSPSADSPFRRWDSSKKLLVWLAVMLGVLVVAFFGGRKGCEAWREAARRRSGAWKMTAFQRLDFSADDVVECLKEDNIIGKGGAGIVYHGATHAGTELAIKRLVGRGCGDHDRGFTAEVTTLGRIRHRNIVRLLGFVSNRETNLLLYEYMPNGSLGEMLHGGKGGHLGWEARARVAVEAARGLCYLHHDCAPRIIHRDVKSNNILLDSAFEAHVADFGLAKFLGVGGGGATSECMSAVAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGSFGDGVDIVHWVRKVTAELPDTSDTAAVLAVADRRLTPEPVALVVDLYKVAMACVEEASTARPTMREVVHMLSNSSAVQPNDLNTF >Et_5B_045435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22329101:22329692:1 gene:Et_5B_045435 transcript:Et_5B_045435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGGRITVRKSTMVRPAQETPRRRLWLSSLDLVAPRMHTTTVGIYRRRPGDDDDEGSSSFFDGERSAALDRMDGDYCRSALNYLDQLPPEELAAITQRRAGVMGYSLGVTSWARLPAHGADFGWGRPVFMGPAEIPREGVAVVFFPGADGDDGGGGMSVAICLRADHMDKFRVLMYDDAIGGGERTTARCSKM >Et_7B_054782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4433997:4435872:1 gene:Et_7B_054782 transcript:Et_7B_054782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSGFTRPRQRAEDSLPPTPSDVLYVANCGPAVGVTEADVRAAFGAFGEVAGVHAADDSGARVIVRFHKTAAAEAAMAALHGRPCERLAGRVLHIRYSVPVKPKARTGCSLPVAVTASELGVPGIYMVEEFVTAAEEQELLAAVDSRPWKSLAKRRVQHYGYEFLYETRNVDSKQFLGELPAFVSTVLRKIVSFPGVKECNTKLVDQLTVNEYPCGVGLSPHIDTHSAFEEMIFSLSLAGPCIMEFRKYPKGSWRAPSVVNGADEDSSQESEYVRKAIFLPPRSMLLMSGEGRYAWHHYIPHHKIDDVRGQVIKRNSRRVSFTFRKVRKGPCQCEYKQFCDSHSKTS >Et_2A_016561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26041892:26044422:1 gene:Et_2A_016561 transcript:Et_2A_016561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQQTLGQMPYSDVDRTLRGLAGSAEGFGGKAIGGLHGAIYRVTSLQDDGPGSLREACRRSEPLWIVFDVSGTINLSSYIRVSSQKTIDGRGQRVVIAGKGLQLWECRDVILCNLVFDGGRGDETDAIQIKSGSSNIWVDRCTLADYTDGLIDITRQSTDVTLSRCHFTRHDKTVLIGADPSHVGDRGVIRVTIHHCFFDGTRQRHPRVRFGKVHLYDNYTRDWGIYAVCASVEAQIVSQCNVYEAGDKTKKVFEYKPEKAADRRDTVAGWIVSKGDAFLNGAVPSLVDGPGSVEDVFKPQDYYQRWTMDPASAALKERLKVIAGWQKVPRPRDWRAANPIAARASRDVGVGRASYSSSYKHAGIFPKTKKRKKKHIFKLSLTRVGRLGSSVRGPVLLSVAGLPGLSVPLTASLGRFDVT >Et_6B_049977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2309750:2312377:-1 gene:Et_6B_049977 transcript:Et_6B_049977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRPRLAAAPSPPAPVLRRAFRSAAALEAIHSHSLPSCAASSSPDADDQAGPASLALYNYPTFAGAYAALAARLYHRRARRRLLVLPFSSVEPFRVEDFKAAGFQTCYLLDFVGPNKFAFELARFVPSVIAFDHRQSTLARIPQFGRCPSNVELHIDTAKTSARAVFDYFSKKLAETKSDAGVSNNLLEQEDHERVSNILEYIEDADLRRWQLPNTKEFQTALRDERAKLNCVTNPHVFEQAAMEFIYKPFKIKIRRGSYGECLAIRADGHSELGHEIGLELSRRSAAAGLRPIGAVVFMQRGILKICLRTTDSITNTAEIAKAYGGGGKPSSSSFTLTMDEFNSWTSVNS >Et_3A_026709.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:16148330:16149781:-1 gene:Et_3A_026709 transcript:Et_3A_026709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLCGAVTRVLGHASDPRPYSGLYLASSSPPAAGHLGLVRARPGLADLKSLLTPEALLLDATHALGAAALRVHPITGATTRRYRDAVAKEISEAEAEGNARGADLARILMALVDAEDGRFEDALDALSRLAEESPGRMTARLCAAAVCYALGRVEEGDKWLASVPGLVGLTRPCEDFGFQLAMVAATLGGGAVADSEGRVASAAFPFANEKLWESVIEGDMSLVKKLLVTGLLKRVANKAEHKDAVALFSVLKEAKHSRHPFASVYTHHALKASQALLFSAVLRAQPLSGERVRAALRVAERELARAVEEGDAAAVSDLRLLVALLAARDGRFDVALERYAEAARDEPSDPRPHYLAFQLSLLVGRMEEHNKWEASYERLDQGSLDSRAALKALSDEMLVAQALGGSPLAFRQDCPNASRLILGAAASRVDAALVSALRGQTMPMVKRLQVRAVRAFLHAEVWSALKDLKSKGGCSSTATN >Et_3A_027075.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32000292:32001470:-1 gene:Et_3A_027075 transcript:Et_3A_027075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQQHLYLVLKEPEDGFSIHKIDMGDLEPDDMAADDLDSRARPLPDPLFRVEAVGVRSAMHFAALGTRILALQPSPAGAAPVLDTRTMAITAGPLPQANEQIFCRSAFVAVGDSLYSMDRRSGRRGQCNFEVLHSAGSPPSGWSWSSIPSPPPFDPLYVVCYAVHPDARTVFFSVNGSPYIDPPYATHLVRDADNGATFSLDTETLEWTFRGYWRLPFDGQAFYDVELDAWVGLCRADANHGRVCSCQVLATGGGEGDRREEAPPSKLCKERLFRRKGRRRHMSGELVHTGGSTFCVVECVADRRLTKEERKRTTPRLHLYVRTFGLKYNEKGRLRVATCGRRACCFALPEGTWCGERLMWTLRALCLYGCDVFTINQSFLAKKKVSINRQ >Et_10A_000304.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22075869:22076060:1 gene:Et_10A_000304 transcript:Et_10A_000304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CQALYRGVVERQPGCAAAVKKGRFDEAKSSWLGGRNLRVRPRSASACAGQSIAAEACARLPVS >Et_1B_010145.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26082937:26083026:-1 gene:Et_1B_010145 transcript:Et_1B_010145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRFCWMILNAEKCGLNGQRPDIDRVHR >Et_5B_044257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2286286:2289206:-1 gene:Et_5B_044257 transcript:Et_5B_044257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWAWWPWPWPWSWRESALAAAAWLCLHVAARLVEALWLRPRRLERHFARHGVRGPGYRFFFGSSIELVRLMVDAASRPPPPDAPHDVLPRVLAFYHHWRKLYGPKFLIWFGTTPRLTISQPELIREVVLSRAEHFDRYVAPPLIRQFEGMGLSNLHGDEWARRRKILTPAFHTENLKLLVPFVGETVQRMLEERVLSPSASAANGGEVEVDVAEWYPRLPQEAITLATFGRNYAEGSVVFRLQGEHASHATVAHSKVFIPGYRFIPTRRNRRVWQLDREIKSTLAKFVVALQSRGGGGDHHHRRDEGRADDGLRDFMSFMAPAMTADEIIEECKNFFFAGKETLTSLLTWATVALAMHPEWQDRARREVVSVCGHRGLPTRDHLPKLKTLGMIVNETLRLYPPAVAIIRKAKRDVELGGCVVPAGTEVLIPIMAVHHDAEVWGDDATEFNPARFTDAEADRPPRHHMAFLPFGGGGRVCIGQNLALMEAKVALAVVLQRCEFRLSPAYVHAPQVLMILHPQHGAPVIFRPL >Et_10B_002940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14144249:14150669:-1 gene:Et_10B_002940 transcript:Et_10B_002940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGVAAPIASDLIDFLNASPTAFHAVDEAKRRLKAAGYTQLSEREEWTGLEPGRKYFFTRNHSTIVAFAIGAKYVAGNGFHIIGAHTDSPCLKLKPVSKVTKGGYLEVGVQTYGGGLWYTWFDRRVIVREKSEGGVSYAHKLVRVQEPIMRIPTLAIHLDRTISSEGLKINSQSHLVPVLATSIKNEMQKLVEENGPKESSENKNMKHHPLLLQLISKEANCEPDEISDFELQLCDTQPSIIAGAMKEFIFSGRLDNLCMSYCSLKALIDSTSAEHSLDHESGVRMVALFDHEEVGSNSAQGAGSPAMLDALSRITASFNTSNSQLLEKAIQRSFLVSADMAHALHPNYMDKHEENHQPKMHGGLVIKHNANQRYATNAVTAFIFREIAERHQLPIQDFVVRNDMGCGSTIGPILASGVGIRTVDIGAPQLSMHSIREMCAVDDVNHSYEHFKAYFEEFTELDSKVQVDC >Et_10B_003609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3767140:3767585:1 gene:Et_10B_003609 transcript:Et_10B_003609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPFEPDSSPFVQPNSRFEEAKQLGSFPATSVAGLPYSSAAQQANEEANAGGAVAGRAWIVNASKLINTWDSKPSEVVPGSVVIATFSDCLRIFSVDVETMRMERVKIDNWDVHVRVFPFELPWPSTIRACLP >Et_4B_039082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7680763:7682393:1 gene:Et_4B_039082 transcript:Et_4B_039082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSRWAGCFSGLSCFGSQKGGKRIVPAARTPDGNGSSARGNGHQSGSNSNQNVPLNLSLLAPPSSPASFSNSALPSTVQSPNNFLSISANSPGGPTSNMFAVGPYANEPQLVSPPVFSTYTTEPSTAPLTPPPELAHATTPSSPDVPYARFLSSSMDIKTASKEHNMAFLSTTYSGGSGLQASYPLYPESPCSSLISPASATPRTGLSSPIPEQEVPTAHWKTSRSACDTPYSRASPIPEQEATAQWKTSRSACDTPYARASPSNIFGLDSSASRNYLLDGNFFRPAASAQFYLDQAQQTYPYNGGRRSVSRDKQDADEVEAYRASFGFSADEIMQTQSYVEIPDALDESFSISPFGNNAPATEVSPFNDLPNEVQKAEKSSPKKSADQISNGSPHRVLHVDIFKGTKGGHPFEYEGIVKDGHPFRKTRDEISLKPIEVRKKSPPGHSCSDAEIEYRRARSLREANGVPSWRSTLSRQLQ >Et_3A_024327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19642474:19646176:1 gene:Et_3A_024327 transcript:Et_3A_024327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGSSQQQLLQRKGKAVAEKGVPATSAEMVVVAVKAATREISKTAIVWALTHVVQPGGSILLLVVLPAHSSGRKFWGFPLFAGDCASGHKSMVDQKSDIPELCSQMMEKLRNAYDVNKINVKVKIVAASPSGVVAAEAKRAQASWVVLDKELKHEEKRCLEELQCNIVVMKRSQPKVLRLNLVGSPDKDSKATCSISPVLDNSACKMSSDVKEPRTSIRGPAVTPNSSPDSETPFGSTEVGTSVGTSSVSSSDPGTSPFSASDTNGSLKKEVQASKDKTEHNDVNISDSDSETLSPPATFSLQPWVADIIKGSASSRSLGKGPRKTRTPTADALLEKISKLDLLNEISSMRSRSDLNFRGNVRDAVSLVRNAPLGPPPLCSICQHKAPVFGKPPRWFTYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEDKRRLLVYEYICNGSLDSHLYGRNRETLEWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVQTRVIGTFGYLAPEYAQSGHITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPFLEECAIDELIDPRLGDRYCENEVSCMLHAANLCIRRDPHSRPRMSHVLRILEGDMVVESGSVTAPSSDSGSRSWRMMNEQQQYQEYSSPARQDSQRAVEGKRSYNALRASWDRDKQSISNRF >Et_4B_038467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29226975:29229096:1 gene:Et_4B_038467 transcript:Et_4B_038467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTSPASRPNFYDFLDRMRRPAAADLFRSIKSFLVSFSFHEPNAEEDAGKVQAFLAEMEGAIRDHPLWANASDQEIDHALEGLEKYVMTKLFDRTFGSSAEDATVDMEISNKIGLLQQFVKPQHLDIPKVLQNEASWLLAVKELQKINSFKAPREKLLCVMSCCQVINNLLLNISMSNDRTLSGADEFLPANPPQLHSNLKFVQLFRRETKLISEVEYYLTNLISAKMFILNVSGHSLSMEESEFQKHMELARVGTQISAARPSSSQGLATSARELQEETDITGSKFPFMESDPESLTPVEIKQLHDHYRQVVTRYTMLSKALRKLSIDEHQLLNSVHDP >Et_3B_031705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8477696:8484567:1 gene:Et_3B_031705 transcript:Et_3B_031705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFNFNGGHSTSLKSRTILMGPAKGTRIINKAVIKGYEDRPQHEDPHSSSKAKQKKRKIDELDPEWTKDELTHFYEAYRQHGKDWKKAFLSFPERQATAKGFIALVAGYRILDESIRHRGGDQTVRESSKVRKQGEATEQKANEGPNLHHSCHDGTISGFSSSFKKRLYGKLVKKRRTHTVGKRTPRIAVTVPPERNANDAMLKFEKVKIDTNNNDDEINSVCTNIPMDKFSPNGSSGITEAKADQGQTLLDSKGTGDAEICQSKKHLKKRKNQQTVDEGQAGKDEHEIMMAANEGNKLVDTLDYHEMLSDFISEDDMLVLDVLQSLVNAPSKTSKLKINIPSGSLGTTYSALCQGGEVDHSPIDHSKQAKPVDKCSAPRTRQKRHKKILDAECNKSGIFYLGLEESDMSAKEQNISVDNSVIPEALRVNITEVSSICSDSGKAVMPETYTNISVEVGPSAPAETKTEIKISRRTKRNSLGKPRRFSDNFLAAEKEKLEDYREKVRKIYAQLSDGSRDSLPADLAKPFSIGQQVIVRHPSSRELCDGKVVMMGRDFYKVHFNNPDLGIDIVKDTDCMPVNWLDNRPDMRSYLSNKAHSVLEMKHIPNHTPSKKLLKSL >Et_8A_057127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21460065:21460661:1 gene:Et_8A_057127 transcript:Et_8A_057127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCHFEQLHLWFTFPRLPVWVSQLSTLSSLEIHVDELCEDDVAVRARLPALSRLVLWANHVPDEGIAGATPKLETLWLHMGVRQVKAWGGIRIAGIEQLPNLKKVPIGLGYYAGEESDGPIVEAAIRKFFNEHEGELVCPTVHITMFLFVRDEGELFSGVENFSAGMVIA >Et_10A_001488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:440193:441940:1 gene:Et_10A_001488 transcript:Et_10A_001488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARISEASLVVLCSCLLVAGGHLAAASKSFGGGGYGGEEGVQQQVEAAAANAGEQVTSAAAEATVTPTTTETSSTPVVEASSSSTSPATEQQAGAGAGYGGSAEASSEPLNGLNDKAINDIINEHNVFRAKEHVPPIKWNTTLAKFSQDYAETLKKDKNCEMIHSDSPPAMNRALQDKTCDSAISVESGAAATASRTAVRLSALVNLYFQPLKGIFYSDHQDSHLYISFYRSGEPLYFNLYTN >Et_6A_046652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18795061:18798525:1 gene:Et_6A_046652 transcript:Et_6A_046652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHASAAGGDMVSLAAKLSTVLGPKNELMAGARTDILFLRSELESMHALLQKLSTMQGPDAQIRCWMKEVRELAYDIEDSLDEFMHRVEACGGATACTHGSSSLIGFVGRMKRLLSTGWTHLRLANEIKGLKSRAIEISERRSRYKLEDDVWMPGTNMMAADPRISVLYADAPDLVGIEHSASYIENWLADETSRLKVLSIFGFGGLGKTTLAMEVYRRVGERFSCQAFAAVSQKLDMKKLLKDLLSQVAQNEVDHMDNWEEGQLIRKLRECLLNKRYLIIVDDVWSKSAWEKVRCALPQNNQYSRLLTTTRIESVAKSCCPDPDDRVYRIEPLDEFHAKVLFFKRIFGHKDGCPRQLNEVSDQILKKCCGSPLAIISIASLLASKPVMLKEQWEKLLTSIGSALENNPDLEGMKQILSLSYYDLPYHLKTCLLYLSLYPEDFKIERDSLIQQWIAEGFIGKERGLNVEDVAEGYFNELINRSMVQPMDIESDGRARACRVHDVMLELIVSKALEENFVTLIGGNSVAAKPQGNVRRLSIHGDPQIAKIQEGMCLQHVRSLHSPVKAHLVPPLSELRVLRVLNLEGCQGFSEDHLKDIISEPQRNMDFSPSTTNRRPANFGSTRYKRYKHGTITGLVQLKYIISGGHTWGKVKLPDGIGSMISLRAITGFDICRSSTNAVHELGNLKSLRELAINWTDFTSGNIKHQEAMLRTLGKLGTSNLQSFAVCSRNLGSLDFFDSWSPPPSRLQKFRLSAYYFLPRVPRWMASLCNLIYLNINIAELMDEDIHILRELPSLLHLDLWLKSPQKDRIVIHGVGFPYLKELYFSCEGSSLAFEPAALSKLERLQTTVHVIRARPYSYQFGIEHLTSLRQIDIQPSTSRQSRQ >Et_4A_032307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29235606:29237136:-1 gene:Et_4A_032307 transcript:Et_4A_032307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSAPAAEALGMNWGTQATHLLEPKIVAQLLKDNGIKKVKLFDADAATLSALAGTGIEVMVAIPNVLLDRMTDYDTAKDWVRHNVSRYHFDGGVTINCRYVAVGNEPFLAAYNGTFDKVTLPALMNIQNALNEAGLGDTIKTTVPLNADVYMSPFLANNSAPFTVNIYPFISLYLNDDFPVDFAFFDGGPTVTPVVDNGISYTNVFDANFDTLVAALKSVGHGDMPVIVGEVGWPTDGDKHATNAYAQRFYAGLLRRLAANAGTPARPNQYIEVYLFGLLDEDIKSVAPGNFERHWGVLKYDGQPKFPMDLTGQGQNTMMVPAQGVEYLPRTWCVVNTNSQNMDKLADNINYACTFADCTALGFGSTCGGMDSNGNASYAFNAFFQVQNQKDEACDFQGLAVPTQTDPSTQTCNFTIQIASTSAAGHRRLAGSVGAVAAALLAFLLLH >Et_1B_013918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23894577:23896624:1 gene:Et_1B_013918 transcript:Et_1B_013918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVSKQQMLLSFHKLESDFFHRLVHDLAQDPTNTRWVMAFWLWLESDGHHDFIRRAYALPGPVVLRFVEEAVVCLRCLAGEAAESAADGDARVRTLPCTNALLATPIDDVGYFVDRRDEVLKGVEHMYRNVCLVVCLDGAATYLPGGGNKGAAAPPVTSAPARVAAPPLTIGSPARAAPPVTSSPARAAQQMMMSYPEPAAAPMMMSYPDPAAAPMMSSHGLAAQMMMSSPSFDTQMMISAPGHDAQMMMMSAPAGYVAPLVMRAPGLAPLPMPSSTLNPMATPWVPMSMEDTLPEEYRSLFITFSKGYPIAEADILEFFTLMFGPCVETVTLQKVQPGGQPIHGRMVLRSHLMIPVVLAGQETAKFVIKGKHLWARVYFPNE >Et_1A_007393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34027705:34032382:-1 gene:Et_1A_007393 transcript:Et_1A_007393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISFKYWDDCLDPEDMRLMWQDPNVNKEWTDAGEEEGRKVHLSRDPDGEAYLTQTEMMAVAVITVHRHFETQLDPYMLGALAEIASGRRLFVDTYDRKTKETKAGMMQVTPEVAQWLFREMGYKNYDIEDNINLLYWPFVNVYFGAAYAKWLFSCDDKQRTEEFVVRAYKGGKKKATHKSTAPIFQRYLYVKESLLSIRYRAQFIYWDSKVSEGDMDAMWKHPDVVKEWTKSGERRGNVRFSQDAKKRPYLSRVEVKAVAEITISRHLSSRGVKPEALAALAEVSSMRFVHGVNCGYRAYTVISVDDLYNPFASMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPENVNLQETGPFWNQFLEALKHYQDPKKYTSAIMTTFLCS >Et_6B_048925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15592856:15594771:1 gene:Et_6B_048925 transcript:Et_6B_048925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMSGCETTDLRHGVKQRLNCWHDGAGMITQCPIQPNTTFTYRFNVDGQEGTLWWHSHVSILRATLHGIIVIRPKSGSYPFQKPHMDVPIVISEWWQDDLMLVDKDFANGGVVIDGGASRGDNPVAATINGKLGDFYNCSGVAEDNFVMEVEHGKTYMLRLVNGALFSEYYFKVAGHKFTVVGADANYIRPYTTDVVAIAPGETFDILMVADAPPCRYYMAALANQPPDPDPQIPVFRTRGIVQYKNIPQDAANKCRNDEPLMPEMPDQHDSLTSFYFHGNLSGLPSNPLLPRTRDHVDEHLHISLGKGSICRGNKSSCKRGGSDESLEVAYMNNVSFSLPEKMSLLEARQFGKMNMLVQNLPNRPPRAFNFTDPSLIPVVPGGELEKLEPSRKATTVRRFALNTTVEVVFQSTATMQSD >Et_7B_055876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6548669:6552193:1 gene:Et_7B_055876 transcript:Et_7B_055876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSHAAGQSAATASIPRGRQRLAPKPPAAAAFLRGLFPSRPPPAKADLLRLIADQRRGLETQSDPARRADIISCIDALAASAGPGADTVSDAARLSGTWRLLWTTEREQLFIVQNAPAFRTAAGDVLQVIDVPGGALSNVITFPPSGAFVVDGDIEIQPPQRELMVCVVVRFTRAMLRGSNWEVPFPPFGKGWFDTVYLDDDFRVAKDIRGDYLVVERFKAVVSVIVKPNFALRKFKVAVAQPCKALAGQLQWLEQDSGSPCENGPRHGPANVWIVYQPGVLWIVTTHIHRVIRGITQDAMKSTSSSSTAPQSARKSNPYPSARVALQRQRDQTKRAVSGAGRTRPESR >Et_2A_015248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:136019:140365:1 gene:Et_2A_015248 transcript:Et_2A_015248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAPEVDHLAAERTAAQFDVEEMKVAWAGSRHAVEVADRMARLVASDPVFRKDNRTMLSRKDLFKDTLRKAAHAWKRIVELRLTEEEANLLRLYVDQPGYVDLHWGMFVPAIKGQGTEEQQQKWLPLAYKFQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVIHSPTLTSSKWWPGGLGKASTHAIVYARLITEGKDYGIHGFIVQLRSLEDHSPLPGVTLGDIGGKFGSGAYNSMDNGVLRFDHVRIPRDQMLMRLSQVTREGKYVHSDVPKQLLYGTMVFVRQSIVADASKALSRAVCIAVRYSAIRKQFGSQVGGPETQVLNYKTQQSRLFPLLASAYAFRFVGDWLKWLYTDVTQKLEAKDFSTLQEVHACTAGLKAVTTSATADAIEECRKLCGGHGYLNSSGLPELFAVYVPACTYEGDNVVLLLQVARILMKTVSQLTSGKQPVGTMAYMGNIQHLLQSKCAVSTAEDWLNPAIIQEAFEARALRMAVNCAQNISQAANQEEGFYERSPDLLEAAVAHIQLIIVTKFIEKLQQDIPGHGVKAQLQNLCNVYALYILHKHLGDFLATGCITPKQGALANEMLGKLYSLVRPNAVALVDAFNYTDHYLASALGRYDGNVYPALYEEAWKDPLNETVVPEGYHEYLRPLLKQQLRLSRL >Et_9A_063572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7816651:7825485:1 gene:Et_9A_063572 transcript:Et_9A_063572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTADARSCGPGLCLTKWLKSESFPIPCPVADTLSKMTRSDLFGSYKELERATGNFNNEIGRGGSGIVYKGISDDIEIAVKSLENVRQGKQEFQSELRIIGRVNHMNLARILGFCSEGYYRMIVYEYIENGSLSNKLFSNNILLEWKQRFNIAVGVAKGLAYLHHECLEWVIHCDVKPDNILLDRDFEPKIIDFGLAKLLKRRGSNQVASQVRGTVGYIAPEWISGLPITAKIDVYSYGVVLLELVSGIPVSNMAISVDVELHMGLSKLVRILADKPQPQLQPTEQELGRTQEPRYVASGTSLTPSSLARFDHRLLVITDVVLHRQQIARFLGADSVGHLHGSLQRVRVAGGRGSHGQQQSRRTVVQQTPTPAPAILSRSMTLTQAS >Et_10B_004468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8387516:8389973:-1 gene:Et_10B_004468 transcript:Et_10B_004468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHELGGTGVRVSPIGFGGTSSATYYGDTATASGTRPSRTTASWSPPSAAAATWLIEGFHFSVARVTRSVDEIEPGSPRLASTTYVDILHAHDIEVTNLDQEYSLRNNDVSSVLVGINNAKQVEENVAAAKELSTKNFCTKLKHLLSR >Et_1A_004916.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:16398698:16398814:1 gene:Et_1A_004916 transcript:Et_1A_004916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFVLVKDGKEVSRVVGAKKDELERKVYTFILLSSSY >Et_7B_054015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14192619:14193233:1 gene:Et_7B_054015 transcript:Et_7B_054015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADRLSALPDRSLRRVLSFLRASALSRRWRALWLQADADNVDTDDHVIHGHMLPLQSSPWSQAGSQVLHSALHQRCNPHIDADSNVRTAPIYRLLMAGANNACEYAGYMWRNSTPPLVQCFT >Et_3B_028562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16789659:16795009:-1 gene:Et_3B_028562 transcript:Et_3B_028562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPPLLLYVLALALLAAAAWPAARAALEDPAGLLRRAKEPAFADWMVGVRRRIHENPELGYEEFATSELVRRELDAMGIPYRHPFAVTGVVATIGTGGPPFVALRADMDALAMQESVEWEHKSKVPGKMHGCGHDAHVAMLLGSAKILQEHRDELQGTVILVFQPAEEGGGGAKKMVEAGAVENIDAIFGLHVADSVPIGVLASRPGPIMAGSGFFEAVISGKGGHAALPHHTIDPILAASNVIVSLQQLVSREADPLDSQVVTVGKFQGGGAFNVIPDSVTIGGTFRAFLKESFNQLKQRIEEVIVSQAFVQRCSATVDFLDKDRPFFPPTINSPELHPFFEKVASEMVGAKNVRDRQPLMGAEDFAFYAEAIPSTYYYFVGMYNETRGPQAPHHSPYFTINEDALPYGAAMQASLAGLYLVEHQTAASPDKAKTHDEL >Et_10A_000475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1157274:1161115:1 gene:Et_10A_000475 transcript:Et_10A_000475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVHYRYRSGVQTFSVTVPGAFATVAELKRMIAATGRHGRGRTRGRGPREDIALCDPRTGEEYADENTLIPQNSTVLVHRIAGQPSDAIVASSIVIKGDVMASHKLVAESTLKLHGFTETDDEETAAIRTVIDAAEINLGGSSSGGGHAGGSFSSHFGICSLEGEVPPPAYVCRLCHIPGHFIQHCPLKSKLPPPGYICYKCGVPGHFIQCCPNFGDRKYESRRSCSLMPVVSSFDGEVPRELVQAMSSSIGDSLPAELHCPLCKKVMADAMLTSKCCYDSFCDKCIRGYIIAHLKCICGGKILTDDLIPNQTLRSTIASMSSSRGADFSSGTGKLTCSSSSNVDGKSHSFTASAALKGDMKQHKDNMPSVTTEGGHLITAFKNPSEHRDKLPHSDLQSKTGKCARTSVKKTRVTAEASAAVPEPTYQNQPSPDGLAVVSGALDPKMIRKKTKKKQKTTGTTGSGITNCAGYDFHIPYDPSCYNSFGFGELAWPSDPYNMYLMLNMPSSSNPMGLYGVNGISNLPPLAPGMPAGMQGYPISHYREFQPTVHQDRKASAQAREAESGKDTGMQSHKSERYHSCPSTHKGRSKSGSRSVSERRDSSVESHDHDEFHSRKRVRPASSPRKADQRRSRKSSSRHSYSRHAYEDSTSSDEESNFKRGW >Et_7B_053493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22980524:22981705:1 gene:Et_7B_053493 transcript:Et_7B_053493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTPPSVQPASSQQPLPSSAAGQSTRIDVRGIKSNIFKRIGPERAKKYFQHLERFLSSKLSKDEFDKLCLVALGRENLPLHNHLIRSILRNASQTCGPPVINEPKLARDGSGRTLGGSVWDNGVPLTQNFKENTPLNRRENALTQKSSLHQGDLKRCSPLEQSEHVEPLIKRPRVEKEPFNLRDSIHGNGPSALPPRENRGQEIVYHSQGPVQAPLGIQFHRTSFGRAQKPLPLASVTQNDISDTCIELGELSDTSSVKRRMEKMAEAEGLEGVSMECANLLNNGLDVFMKQLIGSCVELVKARSQHGKLSHAALKQQLCRKLINGVSLQNHFPGQGAIVPAEANSISVQDLKAAMELNPRLLGVNASLLLEKINSYD >Et_9A_061622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14574042:14576399:-1 gene:Et_9A_061622 transcript:Et_9A_061622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRAWLKAAVLICLLVFCSGRELKTKHAPIYDPSLARTLAEYTSAVYTSDLTQLFTWTCERCGDLTEGFEVIELIVDVKNCLQAYVGFARGMSAVIVVFRGTQENSIQNWIEDLYWKQLDLDYPGMPEAKVHSGFYSAYHNTTLRDGVVLGIQKTREVYGKVPVMVTGHSMGGAMASFCALDLVINYGLKDVTLMTFGQPRIGNAVFASHFKTHLPNAIRVTNAHDIVPHLPPYYQYFPHKTYHHFPREVWVHNIGLGSLVYPVEEICDGSGEDPTCSRSVSGNSVRDHIHYLGISMHAESSGSCRIVTHDDTLQYKMDSAGNIVLSKQPGLSAHQQHSAQ >Et_5A_041889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3980281:3983366:1 gene:Et_5A_041889 transcript:Et_5A_041889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNYLYAAVEARRNLTSALSIRNLMAEDMVTPTENHFPWTGSNKIVDTMIKAKNKLDNRPMNLYQGEYTMASCCTLDVMIKKGANLKIQSYDLLRDFQHETAIMLLDFYPEKKNPNHGRLVIPQVQNAFKVWLEFRGRSRLFDQTGHMTPMFEKMIIDFCDLIEKLHKKDLVLVNFSMEEDMYVNDFDEMPKLCILLCEVRKVDSLGAVDKKRNWNYVKEFLGWCEEQCKAKRDSLTQSFCNFIGSSMATVERLTDYPHNWHHQKKGKYLMALVAANHTSMTNALRGLIWPYLKKPYILDNLPDLLCLMLEWVENATGRGYDRLNFFEYILLLRHGYKHYKKMTGQLKTWFKTDIKLLLQDVFVNQKAFIDIIEEWTPQFWTTMRTYTFYNSLLMVIQVALAPEA >Et_4B_038707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4090041:4092386:-1 gene:Et_4B_038707 transcript:Et_4B_038707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIRESFTKSSGKTNRTRKCSTLKRTARSGALQLHHLPDDILRRILSRLTFRESSQMRLLSCKWQRLWRTCCSKVIFTRSTMFQPGNTSIRRTRTNFVRRVNSILRQLCSPCNLTKFIVKFGLRRKHTCHVNRWVCFCASSGARHITLDFTPGSKGNHMGFADDKYIFPLHVFGGPDNSFAHIKSLHLGYVCLNPATASFACFSNLKKLTMHKVSFLGGHLCLQDFTALEWLTISYCSLAGLTANQPLHCLWYLRLHYCYLSKIELEAPNLTTFALTNRPIPFALSDDSHKVVEASINLTCRGTTYGDNLDYIYTKLPAALPHVQKLSVTSKIFIFDELQGFAKTSTRFINLTHLTLSLPLCGDNQSIGGILRLAYLLELAPALEELELHFYWYGVSFGQTLRGDMVPYRHDKLKRVLMSGACSWVGLIELAYYILRSASKLECMIIDPVHRDQGPPAEGWMLNRGRQMTKRLLERDEFQSVLTIL >Et_4A_032957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15999569:16012562:-1 gene:Et_4A_032957 transcript:Et_4A_032957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHFASVATKRMDTGMRQETATKCTNKKEMGKIATNEVTSNNCMAADNIWFPTIIANSSHRDDTIFKNRLYWERNFDVDITDRNESRLEPMMYSEDTKRCIPDPENCMSHFTCDMMQIFSLTLVKSLINNSPIQLYGYIAVRDDRDHMLNYVFNCSRDVPISGSLIPMTGPKRGIEMICPVLMEFDVRVKTGEQADDDLQLIDGAIVCREQTSWRPVKHRICGNSGAVDIALAVVEHAVEATIEVVISEVHTGFRLSLSSFVDVMDDYEEIQLFHGLIGESCALRRFVVAATIDTFMLLQFKVGDSNDEHYCSFIAKRHGCATQQIRSELAYAYVKINI >Et_7B_056034.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:9888224:9888751:1 gene:Et_7B_056034 transcript:Et_7B_056034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSKAEEPGADMEKQQPLLLPPAASGKKPSPGDSGVGGCEALPRASPSATRTLALVVLVAGAAFAAQLAAREEYVLLAVFASQLVSFCVFTSLLALCALPEDGGRRRARWVARAAGQVLQWSLAMALPMSMACWVVQSSPIAVGAVLVGLALAVVFACYAELVRALWPVQEPH >Et_4A_032947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1677415:1680067:1 gene:Et_4A_032947 transcript:Et_4A_032947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAVVLRDLFDRVDTGRTGNITAPQLQSALAVGNLQFPISVVQQMIRMYDFDRNGTMSFEEFLALNKFLQKVQGVFSTLERGRGFISLEVVYEALIKLGFSLDSPAFYTVCESFDKSKKGMVHLDEFISLCIFVQSARNLFNSFDTSKQGRVTLDFNQFVYCSEFLFPVSTSRLLARSLCFRLMFLQSWYSGELQDIVAYWHLTLL >Et_6A_047071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24916568:24928141:-1 gene:Et_6A_047071 transcript:Et_6A_047071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDADRSDWLTDNPNHGLPESSRSRRRRRPPGNVHAFTPAASRPPAMDDDADFYAAAGHPPIERLEQGTDSFDLPPPPPPLPLRVPDYLRDRFPGRQITSPHYLASDYEFSRRRPSATPSENPEHSSDVSSSELEITNPATFEALSERDSTTTEEHPREMSSSELGGAVTDLPMLEALSLMSELPLLTPDETSEESDDEDVYFNQPKGISMVLDAGPLVITVSDDEEEQPKPDSDVDSEEFQHEMEEYFAAHPCVPLRQAFDRIFAIEQATLTAKAAEGGTEPPSAVIARAAAEVERQQETRPHQSSQKASAAAIQRHGSSTEDIVRNEKTWMCEEVMVCFNKYLERSADLAGLEYKLDELCHQCFNVENYNKVFHHYNFRIMMKMPGSVDWILELYFAQVKQIFGRKYYFCCPLEPDEDGDCFACRNQGVEDLKHPATDRCIFLPPQLARRSKEAEIAMH >Et_2A_015163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33844064:33845044:-1 gene:Et_2A_015163 transcript:Et_2A_015163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRSRERRLYTNRATGPGDDFHRLWTSHTFSHPSTFDTLAVDPALRDEIRADLLRFAARREHYARVGRAWKRGYLLHGPPGTGKTSLVAAIANLLEFDVYDLELTTVPTNSHLRRLLVSTTPKSVVVVEDIDCSLDLSDRNKKKNGAGATEAAMAVMGRESISLSGVLNFVDGLWSSCVGERLMVFTTNHPERLDPALLRPGRMDRKIELGYCSPAALRVLAKNYLGVVNGLMAEAEGLLAVEVRITPADIAEVFMGCDGAGAAAALRKLVVELRRRRDAPATATPPKAPTDDSKTD >Et_5A_040992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16193006:16196929:-1 gene:Et_5A_040992 transcript:Et_5A_040992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGDGESPNLLQVLFVFAIVLHLYNAPFPIPEGAVAGGAAGVVVETALYPIDTIKTRLQAAQGGNKIQWKGLYAGLAGNIVGVLPASAIFIGVYEPAKRKLLETFPENLSAIAHLTAGAIGGAASSIIRVPTEVVKQRMQMSQFKTAPDAVRLIVAKEGIKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLAAKRDLKDVENAIIGAFAGAITGALTTPLDVMKTRLMIQGPGNQYRGFVDCAQTILRDEGAGAFLKGIEPRVLWIGIGGSIFFGVLEKTKSILADRTNRRDAKLKV >Et_6B_049847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1554677:1557666:1 gene:Et_6B_049847 transcript:Et_6B_049847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPAADAADWSRLPADVLTSVLCVLEFPDVFSSASVCTSWRAAARAMGRLGRSYTRPQTPCLFYLTAAGAELYSLAAGKSYRLPELPAPPIADRYIWGSSHGWLVTADARSELHLLNPATGDQIALPSVTTIEHVTPVFNEAGELSRYDLSFYNTAVPRKETQPPQPYEPAELRGVLYLKVVLSGDPSLGDCTVMLIHNPRRLLSFARVGDDEWHWIATSPPYTQYSDCIYHDGAFYAMTRQGGIHRYTIAGSTASCEVIFKDTLPYIAYNVYIARTPSGDVLQIWRFTDVPSSESSDLHTDGFEIYKLDFDKQCIISMDTLGDDALFIGHSYTCCLSIKDYTKLLPSRIYFTDDSEYWLTDYKHCRRDVGIYNLEDESSDDLIATKELEVQIGELTYGGQEVTNPKLKHGLAG >Et_1B_014049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28942228:28943968:-1 gene:Et_1B_014049 transcript:Et_1B_014049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAANNTRAKKRRTEESRRRPEPPPAGSGGEREEGVNLFSLLPDDLLCDIISFLPTKDGARTRILASRWRHLWLSAPLNLDQGSLLLRENALVAGLFSRILAAHGGPVRRLSIHTLVALHCSPTVDNWLRSPAFDKLQELEFDDGGFNYSFPSPMSLPASASRLSPTLRVLTISKCNFKDGIDTLRFPQLEMLGLERVEISEASLRDLITGSPVLESLLLKNNDGFNSLRINSSGLKRFDLEASFFDRLVIEDAPLLQSHLGQSREIFGAVSIGTLSKALTSVLTIVLTNYRGIMSQVNFATFFVLNAKLLELMRFEGGANNDDEMFIAKQRRLLQLEKRASRDARFHFTTGECGHDLVGVNNLLLVNSFGVPPKLIWTGNDVVKKNSHVRKMESLSALGL >Et_2B_021056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26037694:26038045:-1 gene:Et_2B_021056 transcript:Et_2B_021056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGSGNARKQETAGSRAAAAGVSVQEWLQHVKATFLGLVRKVTARSEQEAAEADMLAAKAQVEATDEAEAKKKQLAEG >Et_6A_047052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24536426:24537981:-1 gene:Et_6A_047052 transcript:Et_6A_047052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRWGRVITTTPRAAAMLTEMRRRRRSAPLLKKKGNGSSIESCSKVAGRGPGRVNERDCSQSYVRRVSLQLSMSKLNCFGVQLAKLFAENEMVLDEVQTGNGNPEICKYMNGKVRNWFIQKGQKGRGREGGGHDPLSFREGAKISIFHTE >Et_2A_018017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8989723:8993395:-1 gene:Et_2A_018017 transcript:Et_2A_018017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWALSCPSVMAGNITCGSLLQKLQLVWDEVGESEEDRDRVLYELDQECLDVYKRKVDQATNSRDLLIQALDDSKIELARLLSALGEKAVARTSQIDQICGEIAGTTEVGEQVATPQVNEDDLTLERLEDFRSQLQELEKEKSNRLEKVLEYVSMVHDLCTVLGVDFLSTVTEVHPSLDDAIDDNCKSISNDTLSKLDKTVATLNEDKKLRLSKLQELAGQLYDLWDLMDAPKEERRMFDHVTCNRSASVDEVTAPGALALDLIEQAEVEVQRLDHLKYSKMKEIAFKKQTELEDIYAGAHIVIDTAAAHEKILALIEAGNVEPSELIADMDGQIAKAKEEALSRKDILDKVERWMSACEEESWLEDYNRDDNRYNSTRGAHLNLKRAEKARILVNKIPALVETLVAKTRAWEESRGESFMYDGVPLLAMLDEYVMLRQEREEEKKRMREQKRYMETQLHTDHEGPFGSRVTVSPNRPVSSKKVLGPKSNSSVSNGTPPNRRLSVSGIQNGGHRVKSGGKDGKKDAAKTASPVNANAVAPGNANAAAAVKEDATSHISGTDPVP >Et_9A_063217.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:1658267:1659571:-1 gene:Et_9A_063217 transcript:Et_9A_063217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPAASPGRAEKPQQLPAPAPPGLARLLLSKSRRGGRSRRAPATSPMFVSRGHRSRAADGEPSSPKVTCIGQVRMRKGKGKKKGKAAATKKQQNNSAASAPENARGYCRCLKKAFLCGGLFDFDRRRGGSTKAPSPETERVTRRSPWVFSSRDVAVAAAPKPVDARSARGEEEEEDDEADVGVGVFGSVAGRAEEKAQLGISCIVSGEKEEEEEEPEAQLVSSATTTPPKNALLLMRCRSAPQNRTSPLTSRFPVFAGAAAPSPSPTRDAAYLSPSPRKQQADDGVSPAPSPSPRKPAERFSPAPSPSPRKSEMSLVDEDCEQQRHGPFFAVHERAEEAARGDEEQEEEEADEDSEEDEMRCSSARPLVLQRCKSEPATTAAAKMAGGGPAENAATAGCFWANGGSSGRRRHAPPPPPPAAPAAAAPVALTGH >Et_8A_056967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19618206:19619828:1 gene:Et_8A_056967 transcript:Et_8A_056967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASANQLSVVPHRLASAWHSSRSCSPPVAYPASRATKPPVRFQAAVEQTAARARAAGFSTRVAFNPSGNYDLSLSMEEDDTPQVQPPPPPTEGRFEIVINNDTIRALDLSPVNEALGDLSSLTAADARNIMDRTVGFTINYEREDEHDMRELSELPDIRLWFVRLDAAYPWFPVVLDWRAGELARYAAMLVPHQMSMRLGVVFNPEALELFVLKKVFAVDAWLKEQSHPKPRLKTADMAKMLGFGIGDELFDLIEKHPVHPS >Et_8A_056718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15816613:15819718:-1 gene:Et_8A_056718 transcript:Et_8A_056718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSSWWGSAEEQRGTPVVVKMDNPYSLVEIDGPGMPGADKTKARGKNAKQFTWVLLLRAHRAVGCVAWLAAGFWGVLGAVNRRVRRSRDADAEPDAEASGRGRAMLGFLRAFLLLSLAMLAFETVAHLKGWQHYFPEHLPGNLQELEDQLQQLPEHLRHLPENLPEQLRRLPERREIEGWMHGAYVAWLDFRVEYIAWAIQKLSAFCIVLFMVQSVDRIVLCLGCFWIKIRGIKPRANVSSSSASKASRRRDEESGDADADGYFPMVLVQMPMCNEKEVYETSISHVCQLDWPRDRMLVQVLDDSDDEVCQMLIKAEVTKWSQRGVNIIYRHRLSRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPNPDFLKLTVPHFKGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVYLNFFGFNGTAGVWRIEALEDSGGWMERTTVEDMDIAVRAHLCGWKFIFLNDVKVLCELPESYQAYRKQQHRWHSGPMQLFRLCLPAVFKSKIPFWKKANLVMLFFLLRKLVLPFYSFTLFCVILPLTMFVPEAELPIWVICYIPVLMSLLNILPAPKSFPFIIPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVVTKKAGRTSSASDILALAEEDAHLHQLPPRKLTRGMSEGGLQEWGKAAEWASKDEAAAALAAAADDSSPAPATPKKSSKANKKPNRIFKKELALAFLLLTAATRSLLSAQGLHFYFLLFQGVTFLAVGLDLIGEQVS >Et_3B_028983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21032004:21039637:-1 gene:Et_3B_028983 transcript:Et_3B_028983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNNSVSEALSRTTPVFHLKLWVLIAIGVGIVMAILFILALCLSVRRKKKPVKGFDNASLAEIPIVSKEINVDRVDAQSLHDSEAPFMPVHDKYTQMKGAAQLAESRSVDVDTFSQCSSVYNIEKAGSSYSEDYSSSGPARKGSSPYAYSASPLVGLPEMSHLGWGHWFTLRDLEYATNRFAKSNVLGEGGYGVVYKGRLVNGTEIAVKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMSQHGVLSWENRMKILLGTAKALAYLHEAIDPKVVHRDIKSSNILIDDEFNSKVSDFGLAKLLNSDKSHINTRVMGTYGYVAPEYANSGMLNEKSDIYSFGVVLLESVTARDPVDYSKPADEVNLVEWLKMMVTNKKAEEVVDPNLEIKPPKRALKRAILVGLKCVDPDAEKRPKMSHVVQMLEAVQNAYQQDQRKLSQVGSMDIESQQSLEEVSKRQS >Et_1A_006094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17691657:17701341:1 gene:Et_1A_006094 transcript:Et_1A_006094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPFVSKRSHRSSEPAGPSSPSPQQPPPPSLDRSAAAVAAEDFISQEEEYQMQLAMALSASASALSGGGAGDPDVEQIRKAKLMSLGIGDLGCPAVIREYNFLDYNEKVIDGFYDIFGLSTESSRQKKIPSLAEIQMSIGDLGFEVIVIDHKLDNDLKDMKEVTQCCLLGCTDISVSVRRIAEVVVGNMGGPVLDANEMFTRWLAKSIEQRASRHTSLLPIGLIGISLSRHRALLFNIHSLFILICSSILADCVGIPCKLVKGSHYTGVEDGAINVIKMDNDREYLVDIMAAPGTLIPADVFDSKGTSFNTNQTLGQNHAPDSVSNMDNKPVALQIQRKHNQLHMPGNSNWVSDNHLAYEKTTSLPAQNAWADTLPVMTGSSASAPYALASPVQSNQPSTAGAFSTLKDNSKLLTGSQNEESRRLFSDIGSGKSPAALKGLDNRNNEFQRRRENVAPVPPRPQHPLVIKNWSASNDISNNKQYNFPRRNVVDNVASSSQVSWSAAKHYNYNFVERNNRPYAAPIRNNDNGTGGTSHVAIASTSGECLDRSNIGPASNFEIVVGSSRVDIMLDDVSECEILWEDLQIGERIGLGSYGEVFHVDWNGTEVAVKKFLDQEFYGGALDELRCESHGLQTYPLYQNIFQGEAYIGLFIVLIVKLMRKRRIKMALDVARGMNCLHTSVPTIVHRDLKSLNLLVDDNWTVKVCDFGLSRLKHGTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLRKPWQGMNPMQVVGAVGFQDRRLDIPKEIDPLVAKIIRDCWQKDTNLRPSFGQLTNYLKTLQRLVIPSHQEMLSPHAPQEIWVNYTP >Et_4A_034132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30102034:30103670:-1 gene:Et_4A_034132 transcript:Et_4A_034132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDAAFAAGTVTLVQGSHPDCDARLLPPPLARPRFHERHRGPPSSSWTGVATRRKQQRRTDGGDEDEWSSLAARAEAAHRGAILLRFVLPAPGATHDEEFFVYQAANAKAATLTRLPACGHTPVNRRVATNNMGIVCRDDEGGGAEFAVAHLTVATSEETRLGGRRRPVTAELCRMSSRDGAWSTSDPLPIRHGEELVLWKTDAVVPFGDSSSAICWVDYLRGVLFCDDVLSASPELRYVPLPVNPYDGDEARGGGRTVAPYRSLTCVVASDGGGGSPAVKFVDVAPPDAWFYGATDYSRRPAAVTSWTLSGDRRTWVEDGRIDAVDFLALAESNNLPCVLPKYPAVDVEHPQTVYVALNDGLPIDGMFLVAVDMQSKTIGEPVRYTCIFQSRDELSDVYDDTTSRNLAYLEPFLRCDLSNYPGMVVQPDDTAAASRVPSDRSSVPERRCASAPAETNAIRFGSVSFDGGLCGNKEEQVCTAAGLRSKLSFGRAAQLEKAEKRHSVVQIGSMDVKIKDGLFSAPLYQKPIMRFG >Et_4A_032556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11318834:11320688:1 gene:Et_4A_032556 transcript:Et_4A_032556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVHDFTVQDASGKDVSLSAYKGKVLLIVNVASQCGLTNSNYTELGQLYEMYKDQGFEILAFPCNQFGGQEPGTNEEIVEFVCTRFKAKYPIFDKVDVNGDDAAPIYKFLKSSKTGPFGDNIKWNFAKFLVDKQGRVAERYGPTTYPLGIQMPPLRTFLSTSNLLLIVVGLSLPSL >Et_2B_020076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16663860:16671901:1 gene:Et_2B_020076 transcript:Et_2B_020076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGAGGEPTRFDVIVVGAGIMGSCAAYAACSHGARVLLLERFDLLHHGGSSHGESRTIRATYTQPHYPPMVRLAARLWEEAQAEAGYRVLTPTPHVDMGPRDDAALAAAVRNGGAAEVEGAAEAWPGAGVFRVPDVWVAAVSEQGGVIRANKAVEMFQRLAVKKGAVVRERTEVVDIRKQGEGSILVKTSSGEEFHGAKCIVTVGAWTSKLVKSVTGTDLPVQPLHTLLCYWKAKPGRERELAAESGFPTFGSYGDPIVYGTPSLEYPGLIKIAMHGGSPCDPDGGRGSADDDAALVEPVARWIDAVMPGHVETAGGPARRVACMYSMTPDEDFVIDFLGGEFGKDVVVGAGFSGHGFKMAPAVGRILAEMAMDGEAGTAAEAGVDLGYFRIGRFVDNPKGNLKDSGVQA >Et_8B_058927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11055604:11064125:1 gene:Et_8B_058927 transcript:Et_8B_058927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNRVLTVLSAAAFGFFIGVSFPVQITPKVYSWSLGYANSTFASSDILVRFCEPIRNSTSSVEPTPIPHSNATSEKIIVLEKPKGAERLPPNIVAPESDLHLRRLWGNPREDTPPRKYLLALAIGYKEKTNVNATIHKFSDNFDVVLFHYDGRTSEWDGEFAWSKEAAHVIARGQTKWWFAKRFLHPSIVAPYEYIFLWDEDLGVETFDAEEYLKIIKKHGLEISQPGLDTTRGPRPFFEITTRRNDGSEIHKSTLRSPDAKCWRRPCSAFVEIMAPVFSKEAWTCVWHMIQNDLVHGHALDWNFWRCVDDPDEHIGVVDAQYVVHHAVVTLGSKGNATAEVSRSSVLARQRADENEAESDPDDIISGSNRVLHGNFFSFENNHAKDAIGNSTVGVRILEPFTNSTSTVVETITMLPKNATSEIIVAAPATPKGAEKLPPNIVVPESDLHLRRLWGNPREDTPARKYLLVLTVGYSDKVNVNATVHKFSENFDLMLFHYDGRTTEWDEFEWSKQAVHISARKQAKWWYAKRFMHPSIVSPYEYIFVWDQDLGVETFDAEEYIKIVKKHGLEISQPGLDITRGAKNYEINVRRNDSEIHKTTLVLEPRCKTVHERPCSGFVEVMAPVFSREAWTCTWHMIQNDLVHGWGLDWNFWRCVDDPEEQIGIVDAQYVAHHHGFTLGSQGNATVEDNRHAVRVRASHEFGMFKFRLHKADTARAAALLASPPGAGPRP >Et_5B_043366.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8489731:8490072:1 gene:Et_5B_043366 transcript:Et_5B_043366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRIAPDPMACEIKTDEQLVEWCDLNLEKDVVHIKAEINDFSGPLQFSPTKRRCHPNVRKRLLETPSTPPLNADPPIEHSQSTQVMANSTNECVTKKIKLPRKLLQMMMSLKC >Et_4B_039105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7919836:7938963:1 gene:Et_4B_039105 transcript:Et_4B_039105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCSELIQVLGEDFDNEMVKYSLREFLRRHQKIGIRTNNKALRRLKTACERAKRMLSSTSQTTIEVDALYEGIDFYTTITRIRFEELNKDLFSKCMMAVHKCLDDALMDKSSIHDVVLVGGSTRIPKVQSMLRDFFDGKELCRSINPDEAVAYGAAVQASILSGEAGDGTAADMLLLDVTPLSLGFEGVVGVKEDVMEVVIPRNTAIPTKRKAEGFKTARDNQKSVAINVYEGESSSTKDNNLLGNLKLYDIAPVPSDTSCIDVTFDIDANGVLNVSAQETASGRTNNITITNHSGRLHKDEIERMALEVESCQALSHSRKYCSSAFLAAAYLRTEMTAASSKGDGLAVGIDLGTTYSCVAVWRGDRGEVIPNDQGNRLTPSCVAFTSTEGFVGDAAVNQAALNPTNTIFGDNSQRQATMDAGAIAGLNVMRIINEPTAAAIAYGLEKMPVSNKGRTVLIFDLGGGTLDVSLLNIDSGIDIDMGIFEVKAVSGDTHLGGADFDNEMVKFFLREFIRKHEKTDIRSNQKALWRLRTACERAKRMLSSTAQTTIEVDSLHNGIDFCTTITRSRFEELNKDLFSKCMKAVENINPDEAVAYGTAIQASILNGGNGDGRLVDMLLRDVTPLSLGIQTASGFMVTIFGVMTVLIPRNTLIPTRKEMVFTTLSDNQLRVPIRVYEGESTWTKDNSLLGEFMLEGIQLAPRGVPKINVTFDIDANGIMEVSAEDKNTGQKNHITVTTKRGRLNKQEIERMAQEVKAIALNSQRQATMDAGAIAGLNIMRIINEPTAAAIAYGLDKMPVANQGRNVLVFDLGGGTLDVSLLNIDPGVDVGMGIFDVKAVAGDTHLGGADFDNEMVKYSLRGFIRKHDKMEIRTNQKALRRLRTACERAKRMLSSSAQTTIETDSLHEGIDFYTTITRSRFEELNKDLFSRCMNALDKCLQDAKMDEKVLSTMSFSWNMLREFFNGKEPSRSVNPDEAVAYGAAIQASILTGGNDDKRMVDMLLRDVTPLSLGIETSLEYRFSVVIPQNTTIPMKMSKNFSTMFDNKISKRISVYEVYRIRAQASPKSPAFLAAAYLRTEMAAASSSGDGTAVGIDLGTTYSCVAVWRGDRGEVIPNDQGNRLTPSCVAFTDTEKFVKRLIGRRFSDKSVQEDIKLWPFKVAAGRDERPMVVVQYEG >Et_1B_014352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6512702:6514878:1 gene:Et_1B_014352 transcript:Et_1B_014352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCDECKLKFLELKAKRSFRFIVFKINEKVQQVVVDRLGQPGESYDDFTACLPADECRYAVFDFDFVTDENCQKSKIFFISWAPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIIKDHTRRVKQAYNDNVVTDE >Et_4A_033431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22783434:22786723:-1 gene:Et_4A_033431 transcript:Et_4A_033431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYSSPGSERDSQTMYSAESGDVSYPMPSALGNMLYPNNASAGPYTEFSGIIQHQQNFMELSGHPSSVPHDSSSKESTNMVTSLAEQRSFGPLKDVRNEMLMHLMDGAQSSGSNLIHNDSHNSVQLDFGMLNNHNSTSVPSAPGQGLSLSLNTHILAPSYPYWSVKPDLLAPHSYQGDDDRIKNMQSEASQAIRNSRYLKAAQELLDEIVSVWKSVKRKEDKGHAEVGKADVKETEGGTNSEGVSSNPQESGANAAAELSTAEKQELQNKVAKLMAMLDEVDRKYKHYYHQMQLVVASFDMVAGSGAAKPYTAVALQTISRHFRCLKDAINDQISIIRKKLGEEDNTSGKEGRLTRLRYIDQQLRQQRAFQQYGMLQQNAWRPQRGLPENSVSILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEEIGEAELDSNSSSDNVSRSKIKVPSFDEKEDLKSSTSQPCQTSQLGESKANISMMSLSGPPACFNNEANPDDSFMNLMLKDQRPGEMDGSLLHDAVAHHSDDSARFMAYHLAELGRYGNNTVSLTLGLQHAENSLSVPNTQQTFASVGHEDIYNVTAPLSGAPASSDYESTNQIDQEQRFEPSPLMHDFVA >Et_4A_034125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30090136:30090452:1 gene:Et_4A_034125 transcript:Et_4A_034125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQNQEIEDLDPPSPGRSTDLAAASCVPPIEPVNVTTKLGPAAQEMWEDLDLIRLCSDAQGQLEAHYSDIHARGLRQPARPRRPRASPTTTATTST >Et_10A_000153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1385963:1386435:-1 gene:Et_10A_000153 transcript:Et_10A_000153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TGGAPSPPARTKAPNANTSDAVDAFPVWPSSGAMYPMVPTTSTLLALTSRWRTTLSQSSCRYSRPDATPLTMRNLCSQPKTTPLVLSNRYLSRLPLSAGAAPFILKLQPSARSGGRRGRRSPMRSRRSTPQRCRR >Et_4A_035656.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:32644287:32644943:1 gene:Et_4A_035656 transcript:Et_4A_035656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRARRIARRTRESCAAVFTNTLCSILLGLLLVAAVVLFVLWLGLRPHRPRFNIAAFSISGGLDPDSSPAGASLAFNVTDRNPNRHIGIYYDAIHASVHFYDALVASGPAFAAGWYQPNKTTTSITGLLDVVGPATTGTSWLSFSAAVQAGRVPLRLQLTTRPSVSGSATPSTPAARRCTSAATCSSARTATCCPSPWGAPATDTSDLVSSIPTAAE >Et_1B_011937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27353584:27358699:1 gene:Et_1B_011937 transcript:Et_1B_011937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FETTTVAQMIDNEVDFGNILDDAEEAQPAPKLSLKPRVKPRRASLQSKSSAPTPAAKIKDGKAGAISQGNSSEEHSNSQGRASVACPVSVTVDDIAGSKGSPDTPFEDVLTVPLGPLSNCGLVSGSAAGGSSAAGSVSQDDEHANDSSKIGTHHESLAVSDIHAAPASSCGKTIDDMVDFGETFDAQAKEETVSKLQPRVQVKLPKLAVKSQKKKVAASTIGVVIQNERSDTNQMGVKDDHIQAPRCHESGGQTSDSETLMGAEPKGKGKSVSFSLPDASDGVAPRDTNSEMDDFSRFCNEFYNSPQQTTQKEYSNDQESTESASQYPEEEPSDQAVEQQPKSHVGEMGSSMKLRSRKKLQKVGISQETAADYDEDFVEPSAPEHDNSVDYTAGSKRKIRRKSRGGVEEPQQQDVQNDGSQVPSRGRKKTSKDAPTEKTEKKLTHRIRQKRMKEVKTLLEKPYDEIDHKKLSAAHLRLLQEARERINGKETLSGPSSNASSSQHENPDDFDYNRYDETENFDEDRTETNVENVTKLNYHSYMNRKTRSKWSKSETDLFYQGLRQFGSDFAMIQQLFPDKTRDHVRQKFKAEEKKNPMLIHDAIIHRSGDNLYFKKVIKQLKIDDVVPDISSTRKQDNASNEGGPANENASDDFINEEENSSNQMDTEQDMHMSDVQEEEHAPGNSDDDLGDIFDCKRIPAQCLLLL >Et_2B_019503.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:9655246:9655569:1 gene:Et_2B_019503 transcript:Et_2B_019503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCREGLMSPQTETKAGVGFQAGVKDYKLTYYTPEYETKDTDILAAFRVTPQPGVPPEEAGLQWLRNLLLVHGQLFGLMDLPVLIVTKDDAITSNPFLGKRINISVI >Et_1B_009939.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:12946892:12947095:-1 gene:Et_1B_009939 transcript:Et_1B_009939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVLVCDEPERVVATYQAPGRCPYCGGAVVAADVESAPRLCYVPLCFRIRRRLYCSLCNRRLVAVA >Et_4A_035236.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:13882722:13882952:1 gene:Et_4A_035236 transcript:Et_4A_035236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDHHLKTSWPELVGMAGLLAVPTIRNERPDVKIETHFVGQPVPPAGPYNNKRVRVLLNRDALYTVAQTPVVG >Et_2A_017218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31998635:32001753:-1 gene:Et_2A_017218 transcript:Et_2A_017218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSLRDPAAAATSVTTARRRGGGGKVHPLPHEAANGAEGVKEMGAAAVGWRRPEWCSPAGVAGVLRRHPAPALFACGLLLFMAVEYTIPMVPPAAPPLDLGFIATAGMHAAVAARPWLNSLLAALNTVFVAMQAAYILWAILGEGRPRAAVAALMMFTCRGMLGCATQLPLPHEFLGSGMDFPVGNVSFFLFFSGHVAGAVIAAADMRREGRLALARLYDALNVLQGVRLLACRGHYTIDLAVGVGAGILFDTLSGWYFDGKNAIDDGYAPERHCRSCQCRKASQCALFFGIAIGTPILLTELVELGLDDLHDFSVLWVLLGNIHMQRLLLDELLVQCLLLDVTIHLLVEWVFKRKTILRGNSDHQQWILQSQSAIHHT >Et_4B_037074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14336377:14344099:1 gene:Et_4B_037074 transcript:Et_4B_037074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGAAFSAALVAEDFPWVETEEEMGMAPDKYREVFDLAQRGARAFRERRFDEAISLYSKAHNLRPGDPIILGNRSSAFCRISQLLRERSAADSEYQPLNGLDPTTHAELALKDAEKIVAINSNSPRPYLLKAYALILLEHYREAREALLAGLQVDPLSHVLQACLSDLDRNTNIAAGARRGRLDRTDDFECTLCFKLLFEPVTTPCGHSFCRSCLHQSMDHGNKCPMCRTVLFIGPRTYPISVTLSNIIQRNFPEEYAERRAEHETMTYAGVDLMPLFVMDVVLPCQKMALNIFEPRYRLMVRRIMEGNHRMGMVAIDSATGTVADCGCEVEILECEPLPDGRFYLEVEGSRRFRILRSWDQDGYRVAEVEWLQDIPLPEGSQERRDLIEMANGASELARAYIRRARETVRHARRTRNLDLESMPGSHDPEKFSFWLVNLIHLRPSDRLDLLRLRDTRERISRSLRLLSDAEQGCRVQ >Et_7A_050843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11499270:11514402:-1 gene:Et_7A_050843 transcript:Et_7A_050843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRPRRAGGHRLRRRRLLLPLALLLFALPPLAAILLRRANSFGRRCLPPAPDRRLPSPRLSFAIVTLSDEGLGAAASPGRTFRGVMAASARNKRAYAAAHGYDLHVLPVAAIDTRRPPSWSKILALRVHLHRHHWLFWNDADSLLTNPAITLFGSTKSGDNAALNYLIENLSPEEMKAHVRIAKMQCLFNSYPWRPIWRSMLRLILYLPTTWKGVYSDGDFMIHFAGVDDKQVLLLFLLPPLAALLLRRANSLGRRCLPPAADRLLLLRDPSPRLTFAIVTLSDEGAGTSQGRSFRGVLAASARNKRAYAAAHGYDFAVLPTAAIDTSRPPSWSKILALRAHLRRHHWLFWNDADTLVTNPAIPLFDSTKSGDNAALNHLIEHLSPEEMQAHVRIAKMQCLFNSYPWTPTWKPMLRLIFYLPTTWKGVYSDGDFMIHFAGVSDKQGWANKILTEIQTQDIQKKDISVGQNLGEIKPLREECLEEYDSQIVKNSLKISMHQLSQDKTCSNDNLSIRMTFSFNVFNEDQALNKQEGLGGADLDGGEGALAAAVAEDAVEV >Et_4B_039598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23497699:23501603:1 gene:Et_4B_039598 transcript:Et_4B_039598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSHGVGGSDASIHSTFASRYVRASLPRFRMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQASVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGENDTAVGVGTVGSSEAIMLAGLAFKRRWQNKMRAAGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLRDGYYVMDPEKAVELVDENTICVAAILGSTLNGEFEDVKLLNDLLTKKNAETGWDTPIHVDAASGGFIAPFLYPNLEWDFRLPLVKSINVSGHKYGLVYAGIGWCIWRTKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGYEGYRNIMENCQENAMVLKEGLEKTGRFNIVSKDNGVPLVAFSLKDSSRHNEFEISDFLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVLDIEKVLHELDALPARVPSGDLAALAIAEASESQKEKQRQVISLWKRAVLAKKKTNGVC >Et_8A_056509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11118523:11123497:-1 gene:Et_8A_056509 transcript:Et_8A_056509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKKVLPSSWEELPPDILDLVLRRLPSLADRVHVIAVCRPWSAGAQPQRQSLPPPLPWLAFRDGGLVDLQGAPVRCAPILRRGVDFGYLAFDNLAFLVDRDGKCSLMNPISGSLSLSHRERGKRRRSEDDEVGEDVDVDVPVPVRKRSSTSRMRRKNSPVKLKQVINPLVAEITMLGGEKGKNDGGSKHWRYNHCKKAFKRFVFIFWVLNWARNHKFSVVFPLPMMAPTVRRAIDGWRAYDPSYIQKGYVKAIISSPLDSTQDPHMAALILDGHSVAISACRKNDAVSIGIPDPEWPDSTLKIDDIAFLHGKLYPLTQQEGLFVIKFDAGGLSELKSSSCFHQCISEDPNQQEIYCSSPQQQTYHFEPYAEYLVMRYLAQSSGRLLLIRRWMSIPRNARLGDHDWTLQFEVFVVDPDTVPGRWMKADSLDGHALFLGSECSKSIIASQCAGGIEEDCIYFMHRVFDNPCSENFGQCVDPLGDSGAYNMRNGGIKPLVPEAVMVELLRKRQFLTWLYALQVRSTHLDPSEISRLIYNCFTYIDDFDFCCARQLMKMAKVLPSSWEELPPDLLGLVIRRLPSLGERVRLRAVCRPWRTGAQSQRHQLLPPPLPWLALRDGGIVDLNGAAVHCAPILREGVDFGYLTFDNLAFLVDRDGKCSLTNPVSGLIRLSLPKLAPAALRALDKSKFYYSQSHI >Et_7B_055134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7638605:7641711:1 gene:Et_7B_055134 transcript:Et_7B_055134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAAFHPAVALLVPFPAQGHVTPMLHLARALAGHGVAATVAVPDFIHQRIAGLAPDDECRGGGIVALASIPSGIAEDGAGAGDTPAFGAIAHAMEHHMPAHLERMLLARRRPPVACLVVDVLASWAVPVAERCGVPVAGFWPAMLACYRVVAAIPELIEKGLISESGAPISWNQHDKEEEEEEEDQVLIRGLEILPAPVELGARELPWLVGDSATQASRFTFWLQTMHRARSFRWLLVNSFPGEDGCSIDVNHQPARQQGPRILPVRPALLPGGNSSSSITSSAEHCAKPSKQQQPEACGGKSSQASMWRADASCIAWLDAQRAGSVVYVSFGSWIGPIGPDKIRELGLGLEAAGRPFLWALKRDPSWRSGLPDGFPDRTGSRGKVVDWAPQEDVLRHAAVGCYLTHCGWNSTVEAIQHGVRLLCYPVAGDQFINCAYITGLWRIGLKLGGMSRGHVRACIDRVMDGEDGRRMLGKIRALREKVVAQEARGDAERNVRSFVDDIVKEQPSLLMQMYNASL >Et_4A_031904.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28149283:28150020:-1 gene:Et_4A_031904 transcript:Et_4A_031904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSQGKVFWADLSQGVAYCDLRARDGAVKFNFIKLPKAYRIHWDWSTDEPRELPLMSRTMGCVGGSIKFICIDRSHRRRGSELVRVWTLDLDNGWWKESKGILWKELWKKVRFMPAEHRDVVPQYPTLMPDAGALCLLCKVKRPRSGAETDFICSFDMCSKSLLSSQRVVDYDTIGRVPMPYNFFTLCNPPPPPKGKLPSHASEQPAHKKKLPTQKRKLPTIWKETSKRSAVALQVVPPPHRVV >Et_2B_019851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14670187:14674024:1 gene:Et_2B_019851 transcript:Et_2B_019851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AQIRTLCGQDVGDVASLLLSAHPSDHTCLSLLPKGVARNASPPWREDDKDGHYVFAVGENLTSRYKIYRKIGEGTFGQVLECWDRVNKEMVAIKVVRAVKKYSDAAMIEIDVLQKLARNDAAGKHCVQIRNWFDYRSHICIVCEKLGSSLYDFLRKTGYRPFPIDLVRQIGEQLLESVAFMHRLQLIHTDLKPENILLVSSDYVKLPEHKDGAFSRKLPKSSAIKLIDFGSTAYHHQDRSYIVSTRHYRAPEVILGHGWSYPCDMWSVACILVELCSTLFQTHEDLEHLAMMERVLGPVPRHMLERADHHAEKYVRRGRLNWPEGATTRQSIRAVLKLPRLQNLVMHHVDHSAGDFIDLLKGLLAYEPSNRLTAQEALSHRFFTRYGDRRSL >Et_5B_043502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11214329:11215278:-1 gene:Et_5B_043502 transcript:Et_5B_043502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSLHAAPQLASSSSPRSRRVVRLPPPCSHENLVEAAESVPRHVAVVMDGHARWARARGLPVDEGHRIGLRTLGRIVRLSRAWGVRALTAFACSHENLSRPKARHLSTAGGDYLFHSNSFTLTAEVDFLMLNLEAYIRDSVDEFSREGVRLHVIGDSPTRPASLMTAAREAHAATSHNSDLVLMLAIGYSGQRDIAQACRGLADDARRGHLAPDDIDGARIARRLATGVAAGEELACPDLVVRTSGELRLSNFLLWQSAYSELYFTDTMWPDFDEGEYLKALASYQSRDRRFGKRKS >Et_3B_028109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10329398:10329944:1 gene:Et_3B_028109 transcript:Et_3B_028109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLQGCSANKLPEGPDGSFRERLLQFQEQGLFVNSSFAHCQSELPATWNNAPGGSPAIPNKVTDAAAILDEANISGRAFERVCVNVAQGIAKSVGDWYFDRAEVKAIDCPYHII >Et_9B_065824.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:1392879:1396910:1 gene:Et_9B_065824 transcript:Et_9B_065824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRAGAGVRLPRLDGGGEGSPDATEDEEESRATPSQESEARGSSGGEEENGGGGGEEPEEDGMEEDAEEEEEEDSGMGSDELEITELGEAGAEMCQVGDQSFAVPLELYDLSGLGDVFSLDAWNSLLSEEERLSLAALLPDMDQETFARTLVELLAGENFHFGSPLAALFDRLKGGLCDPRIVLYRRGTRFAERRKHYYWLQSYHNSMVRGLREIKDCWKGREGYSLDERLRMLDAVKAQRKKKKSLVLAGRAVSETDSESRDSGELYLARKPDKMELKKAGKLAKERSKGPLLLGVSKVEDEEYVGGSGRDAAAALSELSHENPYGYDPALAHRRRLHRSTDGLYAEDPGYDRDLTRFRPSRPLPKPGKKELGMSYDGNLYGNSHRDNHMGAPYYYGKPVGNQGVTLAAAFDPPYFDTGRTARYSERDWVHGGRGVQSKPLRGDEMHWLPGTNTGSLDEWQEGQAAGDYRSRKAQAGHGLKVKSYKSIEQQVNDAHHRADPRGKLAQEKMKGKSSSRFDETGQKHYRSVASHAQSEETESDSSEHFEDGADVKLLGQKSEHYYSGSHRPPSGAKKSKKHGKTMKMNHPAANADFDPSQIKGIKGKVSETGYSHDLHTGMAEHVSEIMKPPPASGERKRKGMATLDMHGYDTPGLHEFNENKESFRSTENERLASRSGRAVEDSNGDFGGNETVKGALLSCSSGSKKLKGRAEVTRLDDHVENAPSGPKVVENSGSSKKKSKKKPENTTDAVTVAEPAAAISENDVLPVEPEKPEKTEKPEKPEKPEKPKKKYVPITPTIHTGFSFSVVHLLTAVKKAMINPTEDMQAAAKQPDGEEGKKLSNNEEQNKTPQELGVTEQVQPVPEVADATAAAPPSATEQAQQVPEVAIANAAEQTVPSSSPAGPALTVQELVNRIRSNPGDPRILETQEPLQDLVRGVLKVLSSRTAPLGAKGWRALVAYEKSNKSWFWVGPVISVSFDNPDEETSAEAWGIPHKMLVKLVDAFSNWLKSGQETLKQIGSLPPPPPPNPANLDMKERFKELRAQKSLNTIIPSSDEAKAYFQREEFLRYSIPDRAFCYTAADGEKSIVAPLRRGGGKPTAKARGHPMLLPDRPPHVTILCLVRDAAARLPARTGTRADVCTLLRDSQYLNFEEANKESAINQVVSGALDRLHYERDPCVLYDNDKKLWTYLHRGREEEDFEDDGTSSTKKWKRPRKDSSDPADPGAANDDFEDDGTGTPSTNSVKKQKTDHADPTVSGEAKEGGDVATQNLSTGDISGGLEGNINAKADVSGPSASIDATPGSKVDDKPASLQPLPGGDKTNTTTPENNNKGFPEVIDIS >Et_3B_029712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27290950:27295825:-1 gene:Et_3B_029712 transcript:Et_3B_029712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GKNYNASRGDGVAGLTSAHMDPLLYLTTNGSHPIVYSVKDETQQSGSMVNYIAEHRLDTQTYYGIVASSDIYGFSIGQDARTGIFVQIANFGDGSRSVQNEINVGWHVYPELYGDSKTHFYVYWTRDGYQNTGCYNLKCPGYVPEAHVPIVPGIPIDSLSEPGGVKRTIICKIFKLDVSLNLYSLPLARKQTLLDLLGLPLTRTTNLVPMGSGFLPDNSKAASFSDIQVIDQNGVTSKLQHDQPVVIVDKKAYSASPISNEGKFTYVPPVIALDLLMGIKAIWVQQIETGGFKHQFHRSKTRTTRGLGWNAKLSHSRQLISLTHPSSTSLSQSISTPSTVTFLIECLSKYSDDRIPHPTSASSGQLGSSSSTTGFCTPSSSSPDFSPSSPSSSCSSSSFCFKMSPLPSRTSGLRSRMEAKTSSRRPRTKSSTAAASLRRPRRGLRGFVAGSPAAGSSGFWMRGSGLGFRDSAFGVGLGGRGAGAGGGGAEEAKRGLGRRPWLRPMGMAGVEGLSGRRVGRRSITIHEQGRRRQRERERAAVSAAGSCARAIRKKTKRFICSYGLSMGFK >Et_6A_045997.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:16543634:16543756:1 gene:Et_6A_045997 transcript:Et_6A_045997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSKAKGRIRRRDRVWQIAFGSGFKCNSTVWRALRSVNC >Et_1A_008106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4461872:4465102:1 gene:Et_1A_008106 transcript:Et_1A_008106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPHDKPKTFCCSSPVGPSKISLPVPSTFGTTASAFFASPPPAARRKTPVIPGRGRQASKLLLRAPDTERRIDGLRWQLFGPKTSRGMDIWCHIHSLMSMRDAAQVACVSSAFARFWRCHPNLVFTEETLGLNENACDTAGNMTDFSVKVDHILKNHSGIGIEELILNLSSVNGMYNFPSSLLSGGTGDSLRYLFLASCCFSPTPRLGCLRSLTRIQLYMVHITENELSCFLSSCSGLQSLELRYCSTITGIRIPFLQQLSYLEVLTCTKLQMIESNAPNLSSIYFAGGLNVRLSLGATLQIRKFNMNCNGAPFYTRTEFPTSMPNLESLIIHSYTEMVNAPMMPSKFLHLKFLGIVLGGPNYEFFSLVSFFDASPFLETFILNIRRGNMAYVSIFADPSCLRMMPEHHHDKLKRVEIINFSSYESLIELACHILESTTSLERLILDTTYGIPRCCTTKSRKCYLMHRDALAEARRALLAVETYIKPKVPFTVELTALKPCSQKSLTCFLMHTNALVEARRALLVIETYITSHVPSTIELTLFES >Et_2A_014900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21055235:21056323:1 gene:Et_2A_014900 transcript:Et_2A_014900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVRPDSTVTVNCQENPLECQRLCPGGPACYELAEPPPPPIPVLPRAAPDADRHAPPVRLLLTVSLLSAFLFLSLALATLLLYRRRRRILRRRRRAAAAAAADGDEEGGGGGEVVHHVWYIRTVGLDDATIASIAAVEYRGGVGRGGDCAVCLGEFSDGELVRLLPRCAHPFHAPCIDTWLRAHVSCPICRSPVVVVPTDLPDAAPEPEADGVQHEEREVLDEMPLPELEPQAEASEDLEICSDDQSEDTTAARDDSEKATPNPIRRSASMDSPLFLVSVPEANGDDMQSNSKLPIAREMKVFRVKEKEASGTSSSSCQAGRFKIGRSMSSSGLGFFFSRNGRSNGTVLPL >Et_4A_035943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9070882:9072923:1 gene:Et_4A_035943 transcript:Et_4A_035943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIVWAFGWISSKEWPNPVSKNCYGETAPVTGLNSTAGQVSGQDQVIQGVLRGMKSPVRLLDISALSALRKDAHPSVYSGDLSPAQRANPGGSVDCSHWCLPGLPDTWNQLFYTLLFYKYVHTHTPAVDKVYAITDRLNLLLDWKGVHASIGVQRRPPVARWTAATGVSQASPADTWNQLFYTLLFYG >Et_9A_062800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5080157:5085760:1 gene:Et_9A_062800 transcript:Et_9A_062800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLISGKPDSHKISPWPLLEASDPYSSSGPATALRRRQRGTVRFFAVFADVAKSKPSQPPAQPPNQPPNPSPERAGPTQPWRPQPPPPRSPPAAAPTAAPELAAAHFSRTAFAYRPPAPSTSAPVEGGGRLVAELVGAFNELTERMGEDLATSSSSRLLFRSLKLSLPALRDGNGGRALARALVIAASLADLQMDAEVISAGILREALDAGTISMRDVKAQVGISIAHLLHESLRLKHAPSKLDVLDDESASALRKFCLTYYDIRAVILELVLKLDMMRHLDSLPKYLQQIKALEVMKIYAPLAHAVGAGNLSLELEDLSFRYLLPHSYDHIDQWLRNQETESKLIIDDYKEQLLQALNSDDEMKRIVQDISIQGRYKSRFSTMKKLVKDGRRPEEVNDILGLRVILDPRCDGASSDLGSRACHRTHEIIRSLWKEVPGRTKDYITRPKENGYQSLHVAIDVSEPGKKRPLMEIQMRTKEMQTLAVGGEASHSLYKGGLTNPGEAKRLKTIMLAAAELAALRLRDLPASDQIRGNCKNRAFCLLDKNGDGRISIEELTEVMEDLGAGSKDATELMHLLDANSDGSLSSEEFESFQRQVELMQSLEDNDDHYRKILKEKLQTIDSAGLIHVYRKELSDKLLTSSDNHQLVATPVNSDEPDPSRAPVVVLTAGLMTLQLRLISPCVPDSTPIWPILIMDLANLVLHVASLRAKESTSAFMVAFLSSAASDWNMPRLLVSPT >Et_4A_035308.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:19033388:19035703:1 gene:Et_4A_035308 transcript:Et_4A_035308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRVLLVLALAVAAAAAAAEQRATYIVHMAKSAMPAEYADHGEWYGASLRSVSAGGGAKMLYAYDTALHGFSALLTAQEARDMAAAEGVLAVNPETRYELHTTRTPEFLGIAGGQGGLFPQSGTAADVVVGVLDTGVWPESKSYDDAGMGEAPPSWWKGECVSGPGFDAASACNRKLVGARFFSKGYEAAMGPMDTDRESRSPRDDDGHGTHTSSTAAGAAVPGASLFGFASGTARGMAPRARVAVYKVCWLGGCFSSDILAGLDAAVADGCGVLSLSLGGGAADYARDSVAIGAFAAMEQGVLVSCSAGNSGPGSSTLSNVAPWITTVGAGTLDRDFPAYVALGNGKNYTGVSLYAGKSLPAAPLPIVYAANVSNSTAGNLCMPGTLIPEKVAGKIVVCDRGVSARVQKGFVVRDAGGAGMVLSNTAANGQELVADAHLLPAAGVGEREGAAIKSYVASDRTPTATIVVAGTHVDVRPSPVVAAFSSRGPNMVTPEILKPDVIAPGVNILAAWTGKAGPTGLAADTRRVGFNIISGTSMSCPHVSGLAALLRSARPEWSPAAVRSALMTTAYAAYGNGGDASSSQLLDAATGAPATPFDYGAGHVDPARAVDPGLVYDLGVRDYVDFLCALKYSPTMIAAVTRGKDYACDDGKSYSVGNLNYPSFSVAYSTANGDGGEDSGAAAAVTHTRTLTNVGGPGTYKVSTSVAAKGVTVAVKPTELDFTTVGEKKSYTVTFTSKSQPSGTTGFGRLVWSDGKHSVASPIAFTWT >Et_10B_003979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8921705:8928855:1 gene:Et_10B_003979 transcript:Et_10B_003979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHSPAVDVLLHNGVVITMDGSLRVLRDGAVAVAGDRIAAVGPSAEVLAAFPGAAQTLDLGGRIVLPGFVNTHVHTSQQLARGIADDVDLMTWLHGRIWPYESHMTEEDSYASTLLCGIELIRSGVTCFAEAGGQFVSEMARAVELLGLRACLTKSIMDSGEGLPPNWSSCSTDDCIQSQKELYEKHHNTADGRIRIWFGLRQIMNATDRLLLETRDVAQKLNTGIHMHIAEIPYENQLIVQTKGIDHGTVTYLEKIDFLRSNLLAAHSVWLNKPEIGHFSKAGVKVSHCPASAMRMLGFAPIREMLDSGVCVSLGTDGAPSNNRMSIVDEMYLASLINKGREAYIGGTTNPTVLPSETVLKMATINGAKAVLWDNEIGSLEVGKKADLIVVNPFTWSMVPLHDCIANIVYCMRTENIESVMCNGQWIMKDHNIMNLSEEEVISSAVKRANDLLARAGINLPKRMNYV >Et_8B_060708.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5277549:5278211:-1 gene:Et_8B_060708 transcript:Et_8B_060708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGAGASRGGEGSSPPEKRYKGVRLRKWGRWVSEIRMPNSRERIWLGSYESAEKAARAFDAAAVCLRGSRAGSLNFPESPPNVRYIPAAQLTPELIQAEAVRHANQPASPAAAATAAASSPQDAAHAGGGNNDRAALSVPASSTYSGVDDALDWSFMDTLPSMSAPSSAGTSSELVPAMDDFMYGSPHPVMPPGVDGAPGMIDGDDDNTFIGDDLWRF >Et_7A_050956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12930009:12936167:-1 gene:Et_7A_050956 transcript:Et_7A_050956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPKQHIIGSITFKFLFLGLLTSPAWLHLKRSRHGRGSASPNTHIPNPKLIPYLSLRPRCRGGTSRALTLALTPTPPAAYAFRLRAAASVSTPPLKQSSLAEVFPYVAAEWVTIAKGWAEGAAAEAVVRAGLALAAFASARAAAAYAQQAPRCGRRGRLRERAFERLLERDLAFFEGRGGIAAGDIAHRITDEADDVADAVYSVLNTIVPTSLQFITMGIQMLTINPLLSLLAATVIPCMCVVIVNLGKGIRQISKEAHFSLAMLTAYLNDVVPSMLTVKANNGEHKEILRFQKLARDDLKYNLGKKKMKTLIPQAVRATYIGGLLLLCAGSIMISGTSFDAEGFLSFLTALALVVEPIQDFGKAYNEYKQGEPALERIFDLMRFILRDKPNALHLEYVKGDVKFHNVTFRYTDDMPPVADGVSLHIRPGETIAFVGPSGGGKTTLAKLLLRLYHPQRGYKLLDNHDIQDIQLQCLRTHIAFVSQDAMLFSGTIAENIAYRDPVRDINMIRVEYAAKIANAEEFIKMLPEGYHSNIGQRGSSLSGGQKQRLAIARAIYHNSSILIMDEATSALDIRSELLLKEALTNLVTNHNVTVLIIAHRQEMVLMADRIVSLEGGKLREITKSAFLSQDGRLSSPEITSHN >Et_1A_004551.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:15786970:15790827:1 gene:Et_1A_004551 transcript:Et_1A_004551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGDISCCGCGPWSHGSAPVSVNASMRCSRIRAHAVSLDYPTRTENKNEGTLVVMRPDGWLQDEFDAGAAHRSGKASVEFEHAVSLPEAKPIKLLNPQTKPRQPLNRNSDKVAYVSNHKALCYADSLRRFCNNGKLIQACRVIDEMMFHGQVPDSKCCVRLIRGLVRTGKASKARHVLEVMVLSGGVPDTITCNMLIARLCCEGQLNSALTILEDMRFTGCFPSAITFNTLIRCMCSQHMYDRAVSFWKEQLRVGWPPYEMTSTLLVDLVCKKCGPQQALEVLDELALEGCQPDVVTYNALISASCKAGSLKDAKTIVTRLEAEGLEPNGTTYCILFHSLCSKRSWSEVGDLLAHMKRVNHEPDVTAYNIFINYFCKYGYLDQAIDVLEMMVSEKCSPDIVTYNTLLNAISKRGMVEEALAIFQSIRENGCQVVLITYNTLIDALAKKGEVIKAMTLFDDVLGDGIGPDNVTYGSLIMGFCKKNMSKEALELLNQMLALGFDVKATTFVMIILALCRDSKAEAAAVMLRVMVSKNINLRSAFYLSIVTIVAKLGQLKEAQMLHQELVECKVFKEDSQFVLSS >Et_8B_059555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19151650:19155995:-1 gene:Et_8B_059555 transcript:Et_8B_059555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASALRTPNPAAATARPAVGAPLPSSLRVVPASSAPRRGAVAAHAMQPAKAVAAEAASPAMEKVANGAVAGLARPDAMGRFGKFGGKYVPETLMAALTELEAAFHALATDEDFQKELDGILKDYVGRESPLYFAERLTEHYKRADGTGPLIYLKREDLNHTGAHKINNAVAQALLAKKLGKQRIIAETGAGQHGVATATVCARFGLQCIIYMGAQDMERQALNVFRMRLLGAEVRAVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVREFHKVIGKETRKQAMEKWGGKPDVLVACVGGGSNAMGLFHEFVDDEDVRLIGVEAAGHGVDTDKHAATLTKGEVGVLHGSLSYLLQDDDGQVIEPHSISAGLDYPGVGPEHSFLKDIGRAEYDSVTDQEALDAFKRVSRLEGIIPALETSHALAYLEKLCPTLPDGARVVLNCSGRGDKDVHTASKYLDV >Et_4A_033270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20904203:20905748:1 gene:Et_4A_033270 transcript:Et_4A_033270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNQMGSDGKFGRGPRELSGAVDLISRYRLLNHHSFFCKKPLPLAISDTHYLSNVVGDTEIRKGEGMELDQLFQNSYQSEKTAYIQPFDMETLGQAFQLRETAPVDLPTAEKGTPTISGKSKIKSKDKVKKHKKHKEKERDKEKDQKKHKHRHKDRSKDKDKDKDKEKKKEKSGNHDLGGDHSKKHEKKRKQEGTGNLANVQNHKKTQKHKNQ >Et_3B_029724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27414264:27417490:1 gene:Et_3B_029724 transcript:Et_3B_029724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSIMQDWPEPVVRVQALAESGLAAIPSRYIKPPCERPLERQQQEELSEMSIPLDGAAGTEAVAAACREWGFFQVVNHGVRPELMRAAREAWRGFFRRPLAEKQRYANSPRTYEGYGSRLGVQKGAGLDWGDYYFLHLAPEAAKSSDKYWPANPGDCKEVSEEYGREVVRLCEVLMRVLSASLGLDEAHFERAFGGAECGATLRANYYPRCPQPDLTLGLSPHSDPGVLTVLLPDEHVRGLQVRSGAGEWVTVQPVRDAFIVNVGDQIQILSNSIYKSVEHRVIVNAKEERISLALFYNPRGDIPIAPAPELVRAADRPALYPPMTYNEYRLFVRTKGVKGKAQIEALKGQASPAS >Et_4A_032922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15689572:15689801:-1 gene:Et_4A_032922 transcript:Et_4A_032922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AESDDPPSSSMFNIYCDPTLDVMIMIVLVKLTFRPCDNPTVIQYLKENIEDIRMSFLNLIKQDNGIRSPTNSLC >Et_4B_036512.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27603883:27603966:1 gene:Et_4B_036512 transcript:Et_4B_036512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQRHSVRGESCSHGSLTDRAIVCCS >Et_1B_014220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3695232:3699645:-1 gene:Et_1B_014220 transcript:Et_1B_014220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELTEHFVLQKLRCREVAELLEAISTEPEEEEPLMPIPEAEDDIDKELQLVYDLEDEEVEEETEVRAREDDAPSREEEAPPRNRIRQEVKKLQQASTSTVHQRRVCAREEKTEPKKSTKSNVEGLFERNVKKDKSMLMTTSFECV >Et_6A_047635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8901853:8904130:1 gene:Et_6A_047635 transcript:Et_6A_047635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLGSHELGMDDCRAIICRFDLNGDGVLSFDEFKIMMHDGLIGRQTRREGAVEHKQQQHLYLILDDTRYGFAVHKIDVDDQVDYDEHGRLPEPPVTRIEYPTLGDHLSFGVLGSNILGMGSAKNILGDHGL >Et_4A_034436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32598498:32600265:1 gene:Et_4A_034436 transcript:Et_4A_034436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGVDFLEWLGPDTSAIVFTLLHNPADLVSASAVSRSWRTFVITNQFSKTQCLRVCPEASSFTGIKASSLGSDTPIKEDIGSSAAAEWENQKRDHSVYLHLAHSFLSPYNSKDCIIRCIGASSTDNFPEETIENTLDPMDHVDMRPSYWSSGGQSDPSVPERLLYSLHSDLCLIDEIKIQPFKAFFQYGDPIYSAQYVRFQMGYPKSPLHPEHLVSDENEGQLTADDKYVWTYTSPNFPMLQENVLQSFKLQRAVLCVGGVVKVELLGRVQKQAMDGLYYICVSHVQILGNPLSRELDVAPCENGVVLKYHPEPPSSTTCRCDSTGDGGSSPSKWHNFATRIWQSSTSRGIGWNQALLSRLLFGPPLQQFMEEAEDDPWDEEDNGEP >Et_7A_052965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3509884:3510518:-1 gene:Et_7A_052965 transcript:Et_7A_052965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMMVKALVALVAVASVAELAAGKSHTIQWTSGGDYSDWSAKNPVSVGDTVVFTYGSSHTVDELSKADYESCSFANSLSSEQSGSTSVTFDAAGTRYFACATASHCSRGQKVAITVAADGAPGAQSPPPKENPAPPKGNSPAPKGNAAAPVAGLSVKAVLGLGVGAAFLAAF >Et_2B_021915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6887153:6892780:1 gene:Et_2B_021915 transcript:Et_2B_021915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GSKLPLTNDPTHKALTPPTSDSQLPINPRRITLRLQNPSRHPNQSARTPSSAAAAAKSRSTAMGSDTEAEKKRAPVALAPIAKPLAGKKLCKRTLKLVRRASDAKCLKRGVKEVVKSIRRGNKGLCVIAGNISPIDVITHVPILCEEANIPYVYVPSKEDLATAGTTKRPTCCVLVLTKPAKGELEEEVKEKLKTDYDQVLTEVAEIKTILRMAIKVFEHVALALVFIMLTAHTTICEKDCYNEKFFATSICHDSIKLSGPYIHHSASCPSTVEASDMLCICGMFKSFDETTISIMKFIELARDCNKPLPAGTKCGSKFLMLLTLITMGVYVDWHPDLANAGTTKRPTCCVLVLTKPAKGELKEEVKEKLKTDYDQVLTEVAEVTSSMF >Et_2A_014698.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:6494109:6494845:1 gene:Et_2A_014698 transcript:Et_2A_014698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRFRRGVARRPRPRADDVHAQALSRAEPSLKDVVVPYSEEDDNVWLCKLCCEVHGVKDIEKCRRVRREQSRCKRCGLVHKDYDDSARIIHGFEKFYCELYISNVAELQMDGDSIILPPHVQSRIDELSASERSARAMARARAKRIKKKQEDAKKKTDT >Et_9A_061282.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:6107281:6107445:-1 gene:Et_9A_061282 transcript:Et_9A_061282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCADKVCRSWRRAARHEPELWRRIDMLGHAQLARRGLADLGKMAADAVLYSQG >Et_10B_004442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7286409:7292985:1 gene:Et_10B_004442 transcript:Et_10B_004442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSSGKRSGGMTRPNPAEPLNDDLLIEILSRVPYRSLLCCGCVSRRWRALIAHPNHRRKQPQTLAGFICYTPTRSFINVFGTGPLFVDPSLALLPDREREDLSVLDSCNVLFLCRCYSYKESGWEVDITLDPNFNSVFVDGILYVVATCCVIAAVDVEGRTWRTMKFLRTENSPFFGTHGGFVDLSQGKLHLANSNDIIGDKLAIWVLEDCNSEEWTLKHTVSFRHLVGKEYVQFGLFDFAVVAFHPDRNMSPAEWRLEIVLFVVL >Et_1A_006045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17069266:17071551:1 gene:Et_1A_006045 transcript:Et_1A_006045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFQDSVKALEADIEHANALASEFLRDYDGSVIQMRMAYSAVAHFLVQWADCRLAGKLGLLKVMLYKVYKDGSTALPDWEREASVREFYGVIFPSLLQLPSGTTELDEKKQRRLCLKKFRRDDELSEVDTERELECGICLEVNRKIVLPDCAHALCMRCFEDWNTKSKSCPFCRACLEKVKPGSLWTYTDDSDIVDMDTLTRENIRRLFMYINKLPLIVLHVVDLDIYEYRIK >Et_5A_040577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:157172:166940:-1 gene:Et_5A_040577 transcript:Et_5A_040577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPASFHTPAAAHDIGPRLLQLRELRRVPPPGLVARIAELHADEASPVRKLVAEMIGEVGSKHMVYLPDMIPCLMHLLNDDTPAVARQAIKTGTNLFAKVLEHLVIQGLFSTGGIEDQLKSSWEWLLKFKSAATSNEGVRLLAVKFVEKTVLMYTPDPSIPSDPPDQVTEGMGFNVAWLRGGHPLLNVRDLAMEASQSLGLLLEQLKSPKVKSLSTSMTIVFVSSLSTIAQRRPSFYGRILPVLLSLDPARSIIKLRVPGAFHALKNAFSACLKCTHSSAEPWRARLLEALNIINQEDSIEHSSNAARNDGNTSNREEPWPVTERSTDSGNKRSLAEDTNNVAEDDEGHSSKRVRQSHDDQKHTEEANMRNVESISVDESSSQPISTTGNSEAVYQLIGMFAALAAQGDRAAGSLQILSSSIASDLLAEVVMVNMQHMPVSCPDVDQQQQCQLPSAISGNGLSSSFPLLASLLERASQTSQINQDEEPTAKDSAVVPSVADDIATFPASSPVPTSVNLPMEEDSSTPVALLDIETAETNAGATNSVDILESSEASHASMEHPGTQEHAGILISSLPNDTSSAGLSLAQSSEAHSPSSSTVEASQSKISSLNTLNSQYVLPKLVVNNLDLSDEAKDLLQKEAFVRILESDKQEASAGSLARLPLLAHLGVEFPLELDPWELLQKHVLSDYINNEGHELTLCILNRLYREAEQDQDFLSSRTATSVYESFLLSVAENLQDMFPASDKSLGKLLCEIPYLPDGVLTLLEGLCSPGSSEKQDKDIQSGDRVTRGLSAVWNLIMLRPSSRDRCLEIALQSSTHHLEEVRMKAIRLVANKLFPMASISKKIEDFAKEKLNSVLEVTPSTDSATTEGATAEVHKDDGLENMSASVADAQTLMSLYFALCTKKHALLRHVFAIYGGLPQAGKQAVHRQVPILIRTIGSSPDLLGIISDPPADSRDLLMQVLQTLTDGMVPSHDLISSIKNLYSKTKDIEVLFAILAHLPKDEVLPVFPSIVNLPMDKFQTALSRILQRSPRNGPSLDPSEILIAIHVIDPEKEGIPLKKVIDACSACFEQRTIFTQQVLAKALNQLVEQIPLPLLFMRTVMQAIGAFPALVDFVMEIMSRLVSKQIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALSKNPILKAPLVEHANQPNVRSTLPRSTLVVLGLAEEQQQQQQQTPPSATQAQSGQTQAQSSQNQGAETSSSAADTTEVTQESSAA >Et_6B_049840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14713162:14722423:-1 gene:Et_6B_049840 transcript:Et_6B_049840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVTYRYQALKESLAAAISASQAGGDPRRAHALAVVSGLAANGYLASLLVARYSRHGDADAARGAFDAAVASSSSSAAAPPKTLLYNAMLRGYLSLGLPREAAALFRDMPPSCVPDRHTYHLAATACARAASLPEDVELGRRIEGDAAARGLASDLLVGTALIGMHAEARDMLAARRVFDGMPMKDAVAWNAVIGGYVRVGSLDEAVELFNRMRSTQGARPTEATLVSLISGYAAFGSWKARGMMHSIVIKSGFQHSLFACNALLEMYAEFGCLSETVSLFRHMAVKDSVTWSSMIGGLARNGKPDYALKIFHWMVSRSEVLVTRSILLNVFMACAELGDWREGKYIEDNYVMCHGGEFKRDPSVVTGLIYMYAKCGKLGSSISLLRGVAQVRSDVVAWNTMIKGCGELGRVEKAIGLAVEMHRIGVDPDAVTFLEILPLISLIPSLKKGMEAHSQIVKRGFQNNRPIANSLVCMYGHCGSLSLSVDAFSGIMDKDEISWTSMMQVYAWNGLAGEVVKLFELMKKLAVQPNKYTCVAVLSACKNTGLVDEGMAFLKCMKEQYGLEPDIEHISCVVDMLCRTGRLTDAYHLIQSSLSEHAKNPILWGTLLSGSRSWGDLVIGEAAARHLLSLDPENRANYKMLADIYVSLGRRDDANDLLRLLILTFGREARLLQRWIIFRGFLPKDHLTAHRALQKTCEICEWRQGSVTYTGSTVVVDVSVAVVGVSLNGGGGAAILFLTGGGGATTASCGLVMTALKVDRRFLPMPEHKQNLCFIATLGVDALRLP >Et_4A_032461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10377244:10378902:1 gene:Et_4A_032461 transcript:Et_4A_032461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMVNEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Et_3B_027579.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28878172:28880461:1 gene:Et_3B_027579 transcript:Et_3B_027579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFIAIDAVELVKREERERVATNCPPHALEIHPVGYQLALARRVDAIEARADNRGARDAHVHLARAGVAEQANDLARGGAAHERVVDDDHALARDNPAHGRELQLDGEVADRLRRLDEAAAAVVPPNETLLELKPRRQREAKRGVESRIRHGDDNVCAGRRRLLGERAAVGCAALVDGVPEHDGVGQGEVDVLEDARLARPVRDEAGGGHRHVAAVNDDHLPGLHLALVGGVDEVERAGLRREHHGAVGAAAHHQGPEAERVAHGEELVGREEQQRVGAGEALASVADAREQRARQRGGDEVQDDLRVRRGVEDGPLRLQPVAQRLVVDKVAVVRHGDLPEPVRGEERLHVAQRGGGAGRRVADVPDGGVAGELGEARRVAEHITDEAEPRHGLELAVGPRGGDAGALLPAVLQLLQAQVAERRRVRVADDAEHAALLSGVVISICVEVERRDAVGDEGLRRSEARGAVPQLERRRPGGVPELVVPGSRRARGPAEEARGGCGGGGGGGGGGGHTRSAVV >Et_1A_007096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30880793:30883536:-1 gene:Et_1A_007096 transcript:Et_1A_007096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILAATLSSSPDRDSCIGAGLIKRLNLIAGPRQLVFWRMGDDVAVDAMPHVLHQPGLELEDVIHYHGSFYLLTEDEHLRCCDPVFAEGVGLHLASDTVCWFEDRYHLQDEHVVARYLVESRGDLLMVVRLGNFSGGCMLPTTTFMVFEATQGKVTDGGEIPYVWKKIDLLDGRILFVGRGCSRSFEVKDYGPVPSDRNYPCSDNGFWSACLDQINHCFPKNQKSAFSSPGWLMPEEQEFHIFTLAYEISDLAKYKESEDVTTGLLLYSGIGMAN >Et_9A_062422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22762011:22762616:1 gene:Et_9A_062422 transcript:Et_9A_062422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAYKVRSIVANRKPSTIFYCTSQLVDGRGAGSSSPASTPSSGSSGHLPFPRAARRVDGVVLRCRHAGRSRRLRARRLLIWLMGVVRLLLFGQIGQQPPFPGELESATAYLSGGEADEPSPA >Et_2B_019992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1609681:1610809:-1 gene:Et_2B_019992 transcript:Et_2B_019992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPGGQVEVAAAYATDGPYLGARADIPYWKINVHPGEFSMSYILVGYTLDTKYKALPGGKPPAELTNQIAVGLVVSPKFLINIISSLIGKFYGLAFALWRLLNKIVRVLHLEMGGRVLNTRPGGNHTTTPMGSGMPVCAGSRFAATIMEYAGIDYEGVLFNDPVTQTMATTPSCYNARPIGFSTSRAGYSVSYGGPGGIYCDQKDS >Et_4A_033111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18700025:18701607:1 gene:Et_4A_033111 transcript:Et_4A_033111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLCCLGGHREPEGGVCCFCLPWPFPNNSHSGSAARQRGDTRVAPDHGRVPLVACAATVPVDPMDNFRSPPRPLPYDNPRSSHRIEQHPVVSGNEKALTQVEKPGQLKEGKTADTGSACTAQKVDDSSVKHRSGGPSIDGIQARDLLDVEDDCPICLEEYHFENPKIALQCNHDFHLSCIYEWMERSQSCPVCAKVRNYYFTSTMKHIPRKHGSVDSKNKIS >Et_3A_023467.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29289696:29290337:-1 gene:Et_3A_023467 transcript:Et_3A_023467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQSSTSLSRACIALALLLAVANTVAGGRPASHSSPSVPNNSDQMITLYTTGAAQPKATPPFAQHHPVFTSEGPISHSGGWLRALTRPGTLRPGTVTVVDEQVHGRKEFGLPLEGRLQGVLVTSLADNSSHIVAVKASFAGDGAGDSLRFFGVHRDDHEESHIAVVGGTGRYHAAAGFAVVRAAGVPETSGNFSNSRVLSFSVHLKSTKTVN >Et_6B_049585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:696694:699996:1 gene:Et_6B_049585 transcript:Et_6B_049585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRAEEERKVPLLEARPASDVGDRGTAGRKVEEDGDDDSSLSLGRRAWEENKKLWVVAGPSIFTRFSSFGVTVISQAFIGHIGATELAAYALVSTVLMRFSNGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIILFACSVVLLPVYVFTEPLLIALGQDPKISSVAGTISLWYIPVMFSYVWSFTLQMYLQAQSKNMIITYLAMLNLGLHLVLSWLMTVKFNLGLAGVMGSMVIAMWIPVFGQLAFVFFGGCPLTWTGFSSAALTDLGAIVKLSLSSGVMLCLELWYNTILVLLTGYMKNAEVALDALSICLNINGWEMMISIGFLAATGVRVANELGAGSARRAKFAIVNVVLTSFSIGFVLFVLFLFFRGSLAYIFTESREVANAVADLSPLLAFSILLNSVQPVLSGVAVGAGWQSVVAYVNITSYYLIGIPLGAVLGYVVGFHVKGIWIGMLLGTLVQTIVLLFITLKTDWEKQVEIAQERLKRWYMEENRRLQASRENA >Et_2A_017863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7163048:7176530:1 gene:Et_2A_017863 transcript:Et_2A_017863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVAFVGADELSCGLAASFLRSGARVSCFAPEADRSAAGLAEQGGVRCATPAEAATDATLVIVLSDADGIDELFFGVDGIVKGLCAGAVVLVQSTLLPSQLEKLEQKLAGEKKDVFLLDGYIFRGLSDELKQHTVVVASGRQDITERARQYFHGLDKTIYFAEGEIGTSSKIRLVNDLLESIHFVASIEAMYLGVRAGIHPSIIYDIISNAAGSSRIFVELVPKLLSDDPLLTNFLYSSRKNARSVMDMAKAVTFPLPLLAVAYQQLIHGSSAVIADAPASPPKVWEEAFGVNIMDAASQQIYDASKLADQLVMKSKTAKRVGFIGLGAMGFGMASHLLKSGFSVAAYDVYKPTMARFADLGGSTKDSPEKVGKADSVLYGNAGAVPAGTSVILSSTVSPGFVSRLNRRLEAECREIKLVDAPVSGGVKRAAEGTLTIMASGTDKALCCTGAVLSALSEKLYIIKGGCGAASSVKMVNQLLAGVHIASAAEAMAFAARLNLGTRRVFEIMQHSRGYSWMFGNRVPHMLDNDYTPYSAVDIFVKDLGIVSCESSNARIPVHVYETLTGVKVEGNSPMLSKEDVLHSLPAEWPEDPMDDVVSIASRSSTNVLVVLDDDPTGTQTVHDIEVLTEWPVEALVEQFLKLPSCFFILTNSRSMTADKAMLLVQNICRNLEVAAKNVPGVTYTVVLRGDSTLRGHFPEEADAAVSVLGEMDAWIICPFFLQGGRYTINDIHYVADSDRLIPAGETEFAKDAAFGYKSSNLRQGSVCIVNAASERDMAAELKGKRFLCRTAASFVSARIGIKPKPPLTPNDVGIKRSLTGGLIVVGSYVPKTTKQVDELRSQCTHLCVIEVSVDMVSMRSTEDRDQEISRVVELGNAYIQSRKDTLVVTSRQLITGKTPEESLEINCKVSSALVEIVRRIDSQPRYIIAKGGITSSDIATKALEARRAKVKGQALAGVPLWQLGPESRLPGVPYIVFPGNVGDNSALATVVKNWASPSRSSTKELLLNAEKGGYAVGAFNVHPSALKQGGVPLVACCIAAAEQSSVPIAVHYDHGTSKSDLLEALEMGFDSVMVDGSHLSLGENILYTKSIASLAHAKGMLVEAELGRLSGSEDGLTAEGFIDETSIDALAVCIGNVHGKYPPSGPKLRFDLLKDLHSLTLKKGVSLVLHGASGLPHELIKECIELGVRKFNVNTEVRNSYLESLKRPEKDLIQVMASAKQAMRAVIAEKIRLFGSAGKA >Et_3A_026962.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28139466:28139846:1 gene:Et_3A_026962 transcript:Et_3A_026962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCAPPSRLVLFLAAIAMLLAQTLASPASGAAESADPCAVSVSDGGDDAPSSCPVRCFRPDPVCGADGVTYWCGCPEAACAGARVAKRGYCEVGAGSAPVSGQALQLLHIVWLFVLGAAVLFGFL >Et_5B_043012.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:12164491:12172727:1 gene:Et_5B_043012 transcript:Et_5B_043012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFSAAQDVVMTATAVVSGASDWANFFQLIRPANIQEQNQAEQLKQNLWCLEMSLPRVRDLANYWEWWIHNEAVAELLPCLKDMVCDAEVIVEEYSYCELKRKLERDLNAVSNHSDSMKNVTYGSISKIQEIQQRIEHLISQMKEMGLHGELRHFDQSFRLETSSHVDVEKMFYCKDETSRLIQLLGVPIKRKRTGNCVSMRESTSHANENKSNASVLPIVGIGGAGKTTLVQQICNDCRVKRYFGVPIWICVSYKFDEKKIAREFIRSTTGEKMEASDSTDLLQRKIRDVVMRKRFLLVLDDVWDDVCINEGEKWQVLLQALIHGLPGSMILVTTRSHKVAELVSTMAPFHVDGLPDATFWSFFKFCVFGSAVSEISPELESIGKRITSKLTGSPLAAKTLGRFLCSSQDIEDWTRISERELWELRQNKNDILPVLQLSYQYLPSHLKKCFLVCALYPKGYMFDEDLLICIWRGSDLLGSQGFQQSRDVGQGYLQDLISRSFIRSVPNRSSRYMIHNLMHDMAQLVSRNEYRMIKDVSDLGDVPVNIRHLSICSSGNIDYSSLISLSKYKKLRSLVCCGDFKYMDFTPILKHWFQELKFVRILSLACKLQEIPENIVNLKLLRYLGISSVCPFKELPSSFCSLYNLQILDVPNIDLLYLPKGFDNLVNLQWLKSKSFQYCQDCSYFNAAKGHSEGIQLLKYIDQIHGNLKIENLGKVRSKAETAEVLSNKKHLEKLTLNWKHGGQTDPSNMVKFKDDNSKFLQLIRKNSSSQNNVYEPLPQSSNLKLRRHRSKNGTTTDMKVIESLSPHTNIKCLDILHFGGESMPNWLKPERLPNLRSLKFHYCDRVSNIQLPGSHNTRLFIRQGIFSRRHCTEFLFLTSISLESCTNLSSIAGFLQRAYLPALRSLSVICCPSINWERLTDLPSSLEVVTLDKFGKSSDYFVSSLLILSSLTYLHLSCPYLASIPLGIWSKELISLKKLKIHSCTSLASFEVSETSLNPSYSGGMIGSFLYLSELDIFFCPKLSSLDELLVPDYLPAIKVIKIANCSDLVSLPVERFADFLFLENLEIFSCPGLGGQRGSLALPSSLKRLYLATCGDISGWIPSCLHNLTFLTRLWLGECPCITAIPDNLWRRHLPALKELVVWGCQDLASIGGVQNIAYIKNVYIADCPELGKLNQPFRRGYNDTLSPTHAQSLPEVHA >Et_5B_044569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3606337:3608770:1 gene:Et_5B_044569 transcript:Et_5B_044569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPADLLDLVRLPVMVPPVLLFFCGRIGLDVLVVCSSSGWTDEKHMLYLRLLEESFVSQLHAGECSYKGLFNCSPRSCRHIESAKPIVTYEYADQGGLEIAEDDQAKSCIKVEHVESTSCGSHKDEQVLSMDDNASTSDPVEEDTPQTRTISSGQSSKCNSGKHRHSPSRSTEGSDQNFDEETEGIGGSRGCGQKRLKSADDKMKDQAVSSRKAEFQQVGCANASDKAIDNYDGS >Et_1B_010351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25112:27215:1 gene:Et_1B_010351 transcript:Et_1B_010351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATAHLRQYPLPLFSPVPDLAATQNIARQGGGGARRAPPHLSDCRRARRRRLDGDYKASQGGRMASRPSNIMFRRLFKTLTVSPALASGLTSQHHQLQQRAQVSGTAKGKAKLKSGQPLKRSSIAKKGAPSGGGGGGRGRREAIERITQISDSCLNAPTPLRYLSPKERLREAKREELGLISKERQRELDMAKAKAKAKSKGTGGDDEGRVLMGPPGLDYISLGLVDEEAIPKYELTVEDGRRLAKEYSRVLMRRHRARQTAESTLLTLKKEAIAALPEKLQAAALVPDMTPFPANRYMATLTPPIEGYLEKVRDAAKKYSVKEKLR >Et_4A_032077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15665187:15666254:-1 gene:Et_4A_032077 transcript:Et_4A_032077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAADSEDDDKEEESGARGANGAVAESGYGLRENPKKTRRLSGLDDDDDGEDDRGETEMFSSPEPALDDYADAVGEHDEVARDDDDEEHVRLIPAEAAAVLMPAPRRRRRSMRPLDAFDREPEDVALCLIMLSRDTPAGNNSRWAAAAAPAATADRRKRNGGYYHYHHDAEIARTKQKRKAAPCGGEENKRSGRYECPGCGRAFQSYQALGGHRASHKRINSSCCITKVALDQQPEPSTETNTSFSTASAPASPEVDRAAAAAKAKRMVSSKFECPICFKVFGSGQALGGHKRSHSIAGELYYDRADVVEPEQQLLVAARFLDLNLPAPGAED >Et_9A_062170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20281964:20284236:-1 gene:Et_9A_062170 transcript:Et_9A_062170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRQAYRELGKGGPISSSMDKTKGSPCQQDYLESSQAGKMMRYSIPNLPEEIWCHIHWCHIHSLMPLRDAARASCVSHAFLHCWRCRPNLILDNNTLRSEAHVSRANANRTLNCILRKHSGVGVKTLQLALRGIANNGDLDSWLQVVVAPGIEELILMPKSEMIKYNFPCSLLLEGFRNSIRLLTLGYCAFRPTPELGP >Et_3A_026657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12349971:12352063:-1 gene:Et_3A_026657 transcript:Et_3A_026657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLLVGVAIILSLGSISAQPAPGCQARCGEVEIPYPFGIGAGCAIEKGFEVSCNKTINGEKPFIANVEVLNTSVAHGKTRVLNRISTYCYNPNTRDMEYNVWWLDFSRRWPYRFSNVDNKFIVMGCNTLAYMYNKYNRTGYTTACATVCDSTRALTNGSCLGVGCCQNEIPQGLTRYDVTFYRVYNDSNSSQFNPCSYAALVETEAFSFSSEYITTTRFNETYEGQQPLVLDWAIGNVTCEVAKNMPSYACRDRNSICVNSTNGPGYLCNCSIGYEGNPYLRDNCTDINECKQNPSPCPKGANCHNTIGKYHCSCPPGRKLAAETNSCNPDINLIIGAATSWPRTKGSGSPSAAGLGLADLAYNNEIMGTMGQ >Et_1B_014388.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7439724:7441439:1 gene:Et_1B_014388 transcript:Et_1B_014388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGQIHRNVLALASSRSCFVLGDNLPFRMLSLPRAAEFHQSAWCSSQIVEDRGGPLTLASLEVQSKVEYGKKEKMARTGGPRSSTRMSSVNLKPKVSSLNVRPAKSALPRSPGIKKSLKVDEALFSATSFEELGLPPLLVDRLNKEGLTAPTEVQSAAIPIIAQKHDVVIQSYTGSGKTLAYLLPILSEIGPLKQSMEQGNSEKRSGVEAVIVAPSRELGMQIVREVEKILGPNDKRLVQQLVGGANRSRQEEALKKNKPIIVVGTPGRISEISAAGKLHTHNCRFLVLDEVDQLLSFNYREDMHRILEHVGRKSGGSSRDILGPLARRSERQTVLVSATIPFSVIRAARSWGHDPVLIRAKSVVPLDSVTVPRPVLSQNDANSSSPSNSVNQAAVGSLPPSLEHYYCTSKAQHKVDTLRRCIHALEAQTVIAFMNNSRPLKDVVFKLEARGIKATELHGDIGKLSRSTVLKKFKDGEFRVLVTNELAARGLDVPECDLVVNLDLPTDSTHYAHRAGRTGRLGRKGIVVTICEETEGFVVRKLRKQLAVPIKPCEFTEGELVVHKEEDVE >Et_4A_034058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29522321:29533299:-1 gene:Et_4A_034058 transcript:Et_4A_034058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TAFIPYITAGDPDLATTAEALRLLDACGADVIELGVPFSDPYADGPVIQAASAGALASGTTPDAVLEMLREVTPELSCPVVLFSYFKPILCRGLADFTAAAKQAGVHGLLVPDLPYVAASTLRSEAMKNNLELVLLTTPATPEERMKEITKASEGFIYLVSVNGVTGPRANVNSRVQSLIQEVKQVTDKPVAIGFGISKHEHIAEWGADGVIIGSAMACPSTSSSSPAVQSSLPRRAAAVATMPARRRAAAVRVKAVAAAPPAAPAPARPAGGRCQPVSQTFAKLKAQGKTAFIPYITAGDPDLATTAEALRVLDACGADVIELGVPFSDPYADGPVIQASSARALASGTTPDAVLEMLKEVTPELSCPVVLFSYFNPIVRRGIADFTAAAKQAGVHGLLVPDLPYFSACTLRSEAIKNNLELVLLTTPSTPEERMKEITKVSVNGVTGPRANVNSRVQSLIQEVKQVTDKPVAVGFGISKPEHVKQIAEWGADGVIIGSAMVKQLGEAASPKEGLKRLEIYARSMKNAVGETFSRLRELGKASATRALKKGTTLDSVIEMLKGVIPELSCPIVLFTYYNPILKRGMRNFMATIRQAGVHGLVVPDLPLEETTLLRSEAVMHGIELVLLTTSTTPTERMIEIAKASEGFLYLVSAVGVTGARSNVNTRVEYLLQEIKQATNKPVAVGFGVSTPAHVKQIADWGADGVIIGSAMVRQLCEAATPEEGLKRLEEYIKNIKAAMP >Et_3B_027977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29343387:29344838:1 gene:Et_3B_027977 transcript:Et_3B_027977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGLRADEYTLPPLLNSAALLRAPPAAGALHALLLRAGLAAHLHVANALVDAYAKLPAPGAARRVFDEMPARDVVTWTSLLTGLARAGAHDAAVGVYRDMAAAGVDPDHIVVAAVLSSCAGSTMLELGRSVHAAAVRRGVEPFLSVGNSLVSLYAKTGSLRDARAVFDGMPARCTITWTALIVGYAHAGLVDAGRAHFRSMTADYGIAPGPDHYACMVDLLGRAGRLEEAMDMLNRSTTELDATVWKALLAACRVHRNAELAERAAEVVWRLDPTDAVPYVMLSNLYSRARRWDDVARVRSLMKARGIAKEPGRSWVGVNGVTHLFHAEDRGHPRAAEIYEKVEEMTERIRDHGYVPDTDWALQDEAPERRERGLAYHSERLAVAFGLLAVPEAAPIRVFKNLRVCGDCHAAIKMVAQVYGREIILRDANCFHHMKDGVCSCGDYW >Et_9B_064624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17152059:17154883:1 gene:Et_9B_064624 transcript:Et_9B_064624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACRVAALVLLLLASALLPSAASSRVLEGEASSGKGAPAPNVAAGGSSKSVKPKESGQSSTAGKQAESKGQQEAPSPTRAPKDSKLPSSTGDSENQGQGGGDAQASVTSPPPPPAKDKDVPKESPPPPAVQDKDVPKESPPPPPGGSGPNDGGQAKGGTDHEDMGNQGKDDDTEKMKEVMKKCDDSRSPKCSVGEEFSACLQVSDNASISSFVIVLNKGRNDITVNVKEASNVDIDKNPLPLAKGAFGQMGIRSISPNGGEIILNDGNGDCTLHVGQPVSDWQQQFQQFAEYATHLNPIYGAYLFGFTVVLVGAVCLCCKFARKRSNGGVPYQQLEMSAPAPNASCADNTTSTADGWDEGWDDDWDDEEAQARPSDKNAASSVSGNGLSLRSHANNKDGWDVDWDD >Et_2B_022059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8531201:8533589:-1 gene:Et_2B_022059 transcript:Et_2B_022059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASDAAYGSNAGGQIAAVQQQQQQSNSDAMLYMHNGVANNNPPVPTGADAAVPVPAKRKPGRPRKYDVPAPAPLAIILSPSTATAAGAVGSSGPGTPTIPPGFGPNTEVGAMALASHQAPPPAASLASPAKKKGRPPGTTKSKKLKAQLKPPPQAQMAPPVGSILTGVNPHILTVEAGESSCNGWAVYVLSANGVVSKVTLRQGGSSGGTVTYEGRFDILSLVGSYMLSDCGGLSSRTGGLTVSLVGPDGRVLAGSIAGPLIAASPVQVILGNYLSDGKKGTDLSLAPGRVVYPLPSSSSPSGSSGGHGSPTIAVGSLNINQPGFPNFPSWK >Et_7A_052882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2374118:2374633:-1 gene:Et_7A_052882 transcript:Et_7A_052882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAITFQLLASALAGLMALSGCSESCGNISVSYPFGIGEGCFYQGFNLTCNDNGKQHPPKLLALSSK >Et_7A_051576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20576711:20586937:-1 gene:Et_7A_051576 transcript:Et_7A_051576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDAHAEAPPPQPVPPTEDTTVDDWAREDAEPMTVDSGAPAEGADEAAPDAAAAADAAPAPPAEGVKEIQSSLQSLELKTNVAAHEDAHEVEEEAGEQKRHLNVVFIGHVDAGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERAKGKTVEVGRAHFETEHTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVLLAKTLGVAKLVVVINKMDEPTVKWSKERYDEIEGKMVPFLKSSGYNVKKDVQFLPISGLLGANMKTRMDKSICSWWDGPCLFEVLDQIEVPLRDPKGPVRMPIIDKYKDMGTVVMGKIESGTISEGDSLLVMPNKSHVKVIGISLDEKKVRRAGPAENVRVKLSGVEEEDIMTGFVLSSVANPVGAVTEFNAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVELIEEIDMKKRKDADPKKKKPKRKPLFVKNGAVVVCRIQVNNLICIENFSDFPQLGRFTLRTEGKTVAVGKVVALPPGGSLTFSA >Et_9B_064859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19305882:19307678:1 gene:Et_9B_064859 transcript:Et_9B_064859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEAKQLPRIDPSAPGTGAWSAVRAQVMDALTTVGCFDAHYPALTPELRAALFDGAVKPLFALPVEAKRRNYYGADKPFHGYLGDIPGYDGYESLAVVDGPKSESVRAFAELMWPHGGYAGGFCETVHGAAKRIAELEEAVRRMVLEELGVAKYHEHLNASTWHLFRMSEYKAPNAAEKTVRYGSHQDTNMLSVVCQHEVEGLEVQTRDGEMILVKPSPTSLVVMAGNALRAWTNDRLHAPFHRITVSGDVTRYSAILFSAPNLRIQAPDELVDDKHPPRFKTVDHDDFIRFCVSEEGARHEDKLKAHCGL >Et_1A_008452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7831343:7833732:1 gene:Et_1A_008452 transcript:Et_1A_008452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSGRMLACSGLGPGQFRPPRVQAERLRPLAPARMWRVAASAAASGGSPDLPSSSSSPTPPFGAGDDQSAVSPGFCIIEGPETVQDFAKLDLQEIQDNIRSRRNKIFLHMEEIRRLRIQQRIKNVELGISVEEPLGELPDFPSFIPFLPPLSAANLKVYYATCFTLIAGIMVFGGFLAPILELKLGVGGTSYADFIRSVHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSSTGALVLTEPVSTFSDADQPLSAPRTERCPNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD >Et_3A_027101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32982682:32984981:-1 gene:Et_3A_027101 transcript:Et_3A_027101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSAPPPKTNEIAGGGSGGGDWGYLASDSMSGNSFPAPFQFHRDILSTPTPASMLLSMDSAAFFDLHAAGFPSSSSSAAAPSLPAFHDLAASMPFDDPAQFLAGPPQQPVVVEPIPKAAGFFLQPAPPLSPVSMGWEEDDELDQNVDASSMAITASMENDTGTAPAGGGGAGGNGRGKKRGMPAKNLMAERRRRKKLNDRLYLLRSVVPKISKMDRASILGDAIEYLKELLQRVNDLQNEIESAPNSSLLGPTSASFHPSTPTLQTFPGCIKEELVPTSFPSPNRQQTTVDVRMREGNAVNIHMFCARKPGILLSTLTALENLGLDIEQAVISCFGGFAMDIFRAERCVDGPGPVPEDIKAVLAHSAGLQNALL >Et_1B_010689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13010685:13011032:1 gene:Et_1B_010689 transcript:Et_1B_010689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKDGLVRRSGGGGGGRRKVLVHVPSGELVTSYEVLERRLRELGWERYVDDPCLLLFHQRSTVHLISVPRDFARFRLVHMYDIVVKTRNVFEVRDAA >Et_4B_039876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4916345:4919584:1 gene:Et_4B_039876 transcript:Et_4B_039876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDELAAAAAPGDSAAFSFSIWPPTQRTRDAVVQRLVDTLAGDTILCKRYGAVPAADAEPAARAIEAEAFDAAAVTGAAAASVDEGIEALQFYSKEVSRRLLDFVKSRSAAAKAETPAESEDSPAPAVAEGKLHCCSEKRFEGVIYKEQSYH >Et_7A_050311.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:24059894:24060394:-1 gene:Et_7A_050311 transcript:Et_7A_050311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDQANEDALTALAFTANVRKQAAGRQGWLLRKPLRLRPRRRHAPRSRQRRHPGPREAGQGSQCTGALQVCGQSRVHRRRDGLPPAGPFWVRHLVHDHLVEPWFRRRRLRRRRPGAGDGRHGAQGRASVHPICVWKDKADGIAAMALCVREDHLEAFLAELRKLQ >Et_7A_052213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5744500:5754989:1 gene:Et_7A_052213 transcript:Et_7A_052213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVCDVFDCFVVHSDRAVHSEFCLITRMEELNHDLDVPLAKLTAVKFDLMTSADMEKMSSISIIEQSDVTSPKLGLPNSSPQCDTCGSQNARDCDGHFGVTKLAATVHNPYFINEVVQFLNQICPGCLNPKQNVNMKRLELVPVQASCKYCSNDGAKTYPSVIFKALSSPRVLLSKSTLQRSPTVMEKILIVAEAADRVSNRSKNKGSLEVLPQDYWDFVPSENQTQSNTSKIILSPYQVFHILKKVDPILIEQFVSRRELLFLSSLPVTPNRHRVVEMGYGLSDGPRLTFDDRTKAYKRMVDVNKKIDDYRQLPQFNLYSKKTDGETSTDTYGMKWLKDAVLSKRSDNAFRSTMVGDAKLRLHEIGIPEDLASNLLVSEHINCYNLESINLKCNFHLLSKEELFIRRGGKLMFIRKANQLKIGDTALRPLQDGDLILINRPPSVHQHSLIALSAKLLPIQSVVSINPLCCAPFLGDFDGDCLHGYIPQSLQSRIELGELASLHHQLLNMQDGRSLVSLTHDSLAAAHLLLGQDVFLKKSELQQLQMSCFSVSPTPVPAIIQSTSSQGPLWTGKQFFSMLLPSGMNFNCDDKLQIINSELLTCLMGSNWLQNSTSGLFSVMFKQYGSRALDFLSSGQDVLCEFLTMRGLSVSLSDLYMLSDHYSRRKLSEGVKLALDEAEEALRIKQILLDPATIPILKCYDDIEEVSCSYREFHSFQSNQHIIRYSIMAFKDVFNDLLKMVQQHVSNENSMMAMINSGSKGSMLKYAQQTACVGLQLPASRFPFRIPSQLNCICWNKEKLANTEIIKGGNENLGGQNFYAMIRSSFIKGLNPLECLLHSISGRANIFSENATVPGTLTRKLMYHLRDVHVAYDGTVRSSYGQHIMQFSYDSANGMYCDRGPVGELGAPVGSWAACSISEAAYGALEQPVNGLEDSPLMNLQEVFKCHKATNSRDHVGLLFLSKKLKQFRYGLEYASLEVKKHLERVKVCNLVETIMIMYDGCEKARKGSPWTTHFHLSQEIMKKKGLGLRSVVKELRKQLNSLIPLIRISTGICSVDNVCIKNPACCVTVVVQAESDSISQLDDLKKRVIPIILDTMLKGFLEFKDVEIQCRNDRDLVVKIAMSEHCKTGKFWATLQNACIPIMDLIDWERSQPQSTYDIFCSYGIDAAWNYFVQFLKSITADAGRNIRREHLLIVADSLSVSGQFHGLSSQGLKQQRKRLSTTSPFSEACFSSPAQSFINSAKQCSVDNLCGSLDAISWGKEPFNGTSGPFEIMHSVKSQEPTQNESIYDFLCHPEIRNFEKNRMDTCKQSTKNTSRWRLASKSKGSVIVDGGTISTNQDFLHAKVGIWDNIIDMRTCLQNMLQENPLNEFVAEPGKSCLMEALKFHPRADEKIGVGIIEFKIGLNPSHPSTRCFILQRNDGTTEDFSYNKCVLGAANSISPELGSYLKNILYHRA >Et_2A_014782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14584508:14585011:-1 gene:Et_2A_014782 transcript:Et_2A_014782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNNYQYELPLQRLHFSDGRPKVSTCTLLFFCFYHRYLLTNTFITLQSPPSLCEFIEYIDTEQTLEHITHVYRVAERAKRHWFDMEAKERREEERRKMRQKEEECRREYEAEHKAREAERERMRERARRARAAGPDAFRKEKYPRCTQ >Et_5B_044839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6423307:6427464:1 gene:Et_5B_044839 transcript:Et_5B_044839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAVGGSDGPGAGGWGTWEELILGSAVERHGGAAWAAVADELRIHTPFAFSPEECEAKFAEIQARYSACNALLEELKKQRVAELKRELEKNDNNIGYLLSKVESLSNSKDDDGGSDCRTDNTESCSHSGNTADTNLSSKELSRDRSSAASFTEEASNCQKSQQVQRCDNDLIQANNTSAEEPFLKAVDEKACAKDSFFWGSRKQRGKRAGRILPKADSNSRDGDPTSIACIATEGSAEGGKDLKRPIVVSGEMKKGLKPRSVESGAVKKVLKTSNSESGSVKKVLKTPNEPALLKRGLTTPSVESCVTKKGLKAPKAESVVMKKGLKTPNVESDVIKNVLKTPNAESGVMKNSLKTPTVESGVSVIEREKPNLTDILNSVCLQGDCLMLQRQVDAQGKLVGYKKVIRRHMDFRTLHSKIKSGAISSTEELLRDLLIFVNNVIAFYPKATLEHKAAVELRDIAYKTVNQGSNVASQSGGATGASANVASQSGGATGTSCATAVKKNAQATGTDSATTVKKNAQPGRPGPGGAKGSKVPATASSRQGVGKDSPSDKPPAANSKTVQKSEPAKKRGVGRPPKSATLKRNAAPVQEDSPSKGRKRTRR >Et_7A_051119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14682107:14689298:-1 gene:Et_7A_051119 transcript:Et_7A_051119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSSNGVPPGFRFHPTDEELLLYYLKKKIGFEKFDLEVIKEVDLNKIEPWELQERCRIGSAPQNEWYFFSHKDRKYPTGSRTNRATTAGFWKATGRDKCIRTSYRKIGMRKTLEDGWVVCRVFKKKCFFKIGGGEGSTSQGADGAGVHIAMSPPLHQGGMASHHYMHPAHQYYHAASSYYTQMQQAPAPHAAYSHHVQVQDLLTNHRPAAAADAAGYDFTGLPVEHHPGGGGLDVGSSDGVAADGAQLGEGAGRDHQQASGAAAEQQWQAMDGFSNGGGAAVQQMAGALGSGQRGGEMDLWGYGRQLIKMHPPLTLHRHPICAEIIEEFQKCHVDHPVKKFFGECTDFKIKLDQCFRQESRSRMARVTAVMDSVPLLSPYKTGRFKFSHRIVLAPLTRSRSYGNLPQPHAMLYYSQRATEGGLLVAEATAVSSDKGMSLVPHTPGIWTKEQVEAWRPIVDAVHAKGGIFFCQIWHIGRASDMGKRFLHLPLSFLLS >Et_5B_043017.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:13026595:13027281:-1 gene:Et_5B_043017 transcript:Et_5B_043017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRDVQAWAQDPTSLAAGLAHIGALHAALGELLDIPEAQAALSGAACSTPSSASPTPTAASRRPSPWASPPCHHDVRRIASALRSQRKAGKELARLTAAARDGASPSRLGFSSGSAAEVEVVGLLAQFVVAMASPSAALFSASWARWCSCSTTARFACAPKVFDEMARRIGGREDGDVTSDVWALLPHGQNRLQKQPTEKKHLVSRVQGAILPGFVVQRGNPDSEKS >Et_8B_060699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5085296:5087639:1 gene:Et_8B_060699 transcript:Et_8B_060699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPLLASLLLPALLLSAASAADSKNNPADQLVSLINSNRTASKASSLADNQGLGCIALQYIKAFQGQCNQVGKNMKPVESSFTDKFAPDCGVQAATLSKITGRLLACQSNYASPNEAFDVLIDDAKSLQVLHSKNHTEVGAAISGTDGGGPYFWCVLFSDGKPSTSFKVDGGEVPKTAMHPGCFSGNNDDCMGPKSSAVSANKVTSRLVAAILFAVACAFAL >Et_3B_029097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22085544:22086558:1 gene:Et_3B_029097 transcript:Et_3B_029097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYQKCESYLNKIDDLLDTGPDWLLGIIIQMLCCLPDNNIMLVKEKKGKAQGCVVVEAEKTKMVPSARGTLKINVNGAYILKHELLVGALSYGMLTETDPLLMIHCLWVGFVSLSGWDSSRYKVVEVSMVLELDAALVMLKLNIADCDRSLIAGLISDIREKCHQPWRSKFVRLKRSEMQFSIQ >Et_3A_023679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10851169:10856810:-1 gene:Et_3A_023679 transcript:Et_3A_023679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAPAMAPVGVEEEGARDQDNMVVSKQEDGSMGGIKDERDLPVPCSEPAGSPEVAPEPVEDKGGDTTECSSSFGNTCSGFDDEADGGEPEVNSQVFTPADGGWASRLPRRKKVTAEWRNAVSPIMWRCQWLELRMKELSSQVSKYDRELALIKKEKELQQIVSKANGSMPESMQIGKDHRNGIMKRRYRKRHEDVTDTSLYIKKHQILSYYNDKQNKGAETDGLLLDDFNSPVGGAIAGLDTAALLDAEEYDMIFEQVTLNDILSTIDAAQSQVHFLRDRLNKAHLKGDKLAFSEENTDVRVPRKRQSTLKFCDASFTTYQNTKPQKYRNTRPHQKRKNLNILLKDDDGPALAMKPVLPDRKTYCRIEDAKGNNGAKRGEWNRSQDRTITMDLLLGVDNSLLNGHMGDLCQENADDILINNQAANEGCPQFEKLEHLPSETSSKGQNTSAPVEVKKSLAPVKVDGISSPLKVDNTSTLVVNQEPLLEKLPMIKPVKKRGWVAKKENKGWGSASSSMNQNQGASTPDAKQKTEVAPPPVKEKTESTPSDATDLEIMTPLAAWKKRKTGNKSTATEEREAGNSSPASKKKKTGKPSSTTEKQETENSSTAAKKRTENSSAAGKKQTANLTTAVKKQKTENSSPPAANKQKTESTPLKPMVEKAVLVAVNSRRSQRVRKPKVY >Et_5B_043442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10403101:10404045:-1 gene:Et_5B_043442 transcript:Et_5B_043442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTASLISKPLPTPHHLPRSPAPQLRRTPCCGEGLNSSPIDGMDLISALPDDVIFEFLVRLRCARAAVRTSLVSRRWRRLCNRLPELRFRDIAPDHLDAALARVATAGRALSLLEIHVSDHHPLQPPRVSSLLHAAARLAPAVLSVKIFGYERPWSAMADAVELPCFRRATFLNLEVPGVNFTLPPAGEFPAVERISFMPCHIDLADLLPRCPRLRKLRISSLKLLSLDVVTDGLLRRVDISAPSLKKLRLDALGGLDNELSLSFSAPLLEELWWMCCCSSSPDVWFGQIWRLSMLNLKIPKLD >Et_8A_057303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23312687:23318129:-1 gene:Et_8A_057303 transcript:Et_8A_057303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQRGRQRHRRQTRDRIADRLVSSAGKRKGSPCQEDEDLYSQAGKRTRGAVPALPEDIWCHIHSLMPMRDAARVACQSRSFLRSWRCHPVLTLNSIILGSNGNACSQDFICKADRILSNHSGIGIKIFNLRLFSIFDACPYHDRWLQIAVKPGIEELTLELCCRCERKCSIPCSLLSDGVQKSIRYLRLASCSFRPTAELAPLKNLTSLSLHLVKISWEELECFLSNSLALERLDLSNCNEIVKLKIPHVMLRLSCLDVSYCSELRVIESEARNLTKFSYSGGGLRKVSLGETLQMRSFSMYRPKLLCYARTKMPACMPNLEALDIGSSYEMIDTPMLHTKFMFLKHLRIHLISDCSFCPSYDYFSLASFLDASPFLETLILDVEQYLMKHKSVFGGSGQLRQMPDHRHGCLKTVNITGFSSAKGLIELTCYIVKNAESLECDRMSEVILMEARRAVLAIRTYIEDN >Et_6B_049021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16716126:16720140:-1 gene:Et_6B_049021 transcript:Et_6B_049021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNQEHITMDFVMGALPSLLPKLAELLVGEYKLHSGVKGEIMFIQVELESIKGALEKISNTPQDQLDNQDKIWAKDVRELSYDIEDSVDAFMVRGIGSEMAGPQGLKMFIERCYNMLTQFRVRRSIAKEIRNIKRRVVEVCERRDRYEINTAIATPVTLDPRLFSWYAKVTELVGIDEARDQVIKILMEGNEACKQQDKIISIIGFGGLGKTTLANVVYEQLKGQFDCSAFVSVSQTPDMEKFFKGVLYQLDKEKFRSINGEKWDEGQLMREVRELLLVKRYLIVIDDVWDIMAWKMIKCALPDNNVGSKIITTTRILSVAQQAGFAYKMKPLSPQNSRKLLYRRIFGNENKGNNDEQKIYPDEELVEVTDRILNKCAGVPLAIITIASLLASKGRNKIEWYEIYNSIGNGMEHSMDAKNMRKILSYSYYDLPSHLRTCLLYLCIFPEDFEIEKDRLIWLWIAEGFIRYEGHGKSLFVLGESYFNELINRSMIQPVYDKLSAMINHCRVHDMVLDLICSVSNEENFVTVLSNMDQISTPTTARRLSLQNHKVNNTTTHATKSMPRIRSAIVFPSSVDQMPALQSFKVLRVLDLQDCDLSECYSLKYLGSLFHLRYLSLKYTCIDQLPEEVGNLEFLETLNVRQAEVSRLPSTVVQLKHLMCLSISLSVRLPNGIKNLRSLEDLSRLRIDRESESAGCIEELCLLTELRVLRIFLFTDKWNDKLADCLRKLQKIQTVQITTVFGGQRTMGGLDTWVAPRHLRVLSIRSTFWFSVLPAWMNDPSHVRDLFSLHIAVREIQQEDLKVLGRMPNLRDLDLEVGHEDLGIIKRFIIGDGSFPCLIRCELWGFVVPVVFQQGAMPRLTRLEFAFFVPEARKSTDSNAGFDMGLGNLPSLKSVAPVLRARDASKEEMEEAEAALKQATKIHPNHPSIHVFHGK >Et_7A_051698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23133583:23134032:-1 gene:Et_7A_051698 transcript:Et_7A_051698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLLSGQIRVMQPWELLRGQLKFVSGQMWFNCFVAEVGFLASLEGVSYTMTQDEPVILKSYCLTVVQTLKLNKVNRSRLATLVKEIKLLLGELRKVEIGVAHNLATFACNNVSCKVGHNHSPSHVEHLMEAECNSILI >Et_10A_001945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13582359:13585473:-1 gene:Et_10A_001945 transcript:Et_10A_001945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAVILSNDVNVITPGIEFICYPSVGCRRTIMSFEDKAITSGVASPSPKAVMSESDQSRMATVSSNIEHSSQANAVLGPVAIFWDIENCPVPSDVRPEDVAGNIRMALRQHPVVKGAVTMLSAFGDFNAFPRKLREGCQRTGVKLVDVPNGRKDAADKAILVDMFLFALDNRPPSSIMLISGDVDFAPALHILGQRGYTIVLAIPSSVTVSSALSSAGSFVWDWPSLARGEGIVAPKSVARRTPDPSCYVSYGNVGQFPDIQNEEEAIVYTGTSRNEYGGRPTVNHMYCYNSSQVTKESTKALYTIADGNCGTSSRTPNLSGGLYDGPEAGQGLPDERSWWVRPGDLQGLKGQLIRLFELSGGCVPLVRIPSEYLKLFGRHLYVSEYGAVKLVHLFEKLADSFVVIGKGHRKMICLRNSGDKNLKNYPSTPIILKKEKRGDSAFEDTAIGACQQLVSSSDELSEDEHNINPDVGGAYAFDDHIDSFRKEIQELLVCYSCPVLLGNFETLYEQRYKKTIDYVSFGVTGLEELVLKVKDVVDLHEDQASKRKFLIANYTNG >Et_2A_017775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6111885:6114398:1 gene:Et_2A_017775 transcript:Et_2A_017775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGADFYHVMTAMVPLYVAMILAYGSVKWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLIVLALLTVWSHLSKRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARILITEQFPDTAGAIASIVVDPDVVSLDGRNDAIETEAEVKEDGKIHVTVRRSNASRSDIYSRRSMGFSGATPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFAAGDAFGGGAKKAANGQQQAKGEDGKDLHMFVWSSSASPVSDVFGNGAAGEYTDAGAIKAAASPRKVAADGRKERGEDYVERDDFSFGNRGAAERDAEAGDDKAALEQGKAGLAGPAAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIILKSISILSDAGLGMAMFSLGLFMALQPRIIACGNKVATFSMMVRFLTGPAVMAAASIAVGLRGTLLRVAIVQAALPQGIVPFVFAKEYGVHPDILSTGVIFGMLIALPITLVYYILLGL >Et_3A_025403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29550950:29551501:-1 gene:Et_3A_025403 transcript:Et_3A_025403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVHALPMPTPATAISTPRARASCFCPCLPAAAIGNARRRVPGPLGDPRIRVTRPVHPAFSNPNFAFQEAFDPCFGVPAGRPPPSARLPAVMPLFNGHPRATATPDERVGGVRPGALARIGQGQKRVLADSVVLPFRLVEHARTKGGRSELADQP >Et_9B_065903.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17128614:17129687:1 gene:Et_9B_065903 transcript:Et_9B_065903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRKFRLSDMMPNSWFYKLRDMRRAGGRSGATVLHRSPSSLGSKRTAQPAGTPRPVPAPMPHRSSYYYASVDRELIKPSPAAKPTAPTEQQPVPQTKSPARSSRRRHRVGPVGDRAPAPVAEAPVARHRRRDMRVARDGADDGKVRRHAVKAPASRDVPGGGKVITSETDIIFDLSSPHPDNMPERVLRPIVTRPARREAGSRRELERERHVVVHHGVTTPRDSSSSEQGNNSRRSSVSSSGRRLKTRANSPRLASRCSRKTTPAAAASARKTTSRAPPPPSPLAESFAVVKASMDPRRDFRESMEEMIAEKGIRGAADLEDLLACYLALNADEHHGLIVEVFEDIWRSLAGAVDP >Et_4A_031943.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31953691:31954772:-1 gene:Et_4A_031943 transcript:Et_4A_031943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPIRGLLLVVVMASVAASMPTMGAAPGPGADEDHFLGVNYGTLGDNLPPPRRGLELARSAGAGAVRFYDANATLLAAAAASGLVFIPSLPNDLIPNVSASQRAADAWVASALGPFRRSRGLRFLFVGNEVLSDPAQKPRWPQLVPAMANVRRALRRHGLGRVKVSTTVSMHELEHWNVFPPSAAAFKPEIADAVVRPLLAFLDRTDSYFFVDAYTYFTWSDNHTVVPLSYALLDSSSSGSYRYHDPGTGLSYTNLLDQMLDAVVAAMCRLGHCGVKLALAETGWPTAGDLDQFGANARNAATYNRN >Et_2A_016400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24246413:24248868:-1 gene:Et_2A_016400 transcript:Et_2A_016400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATAAAFLARPLPRLRRIGGRVADGALVRGGLVALPPRLRTPRCSMSLSIGAGAGAGGDREFSYEHVAVFPRYRIRDPYKLLGVDRDASEEEIRGARNFLIQQYAGHEPSEEAIEGAYEKIIMKSYQQRKKTKINLKTKLKKKVEESPSWVKALLGYFEVPPMDIISRRLFFFAFIAGWSIATSAENGPAFQLAISLFSCIYFLNDKMKNLLRASTTGFGVLVGGWIMGSLLVPLIPTFLIPPTWSLELLTSLVAYVFLFLGCTFLK >Et_4A_032758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13393534:13395432:-1 gene:Et_4A_032758 transcript:Et_4A_032758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NQILAMGAEAEENPPPQAAAGEPAATARAQPITAAQFLSWKQRKDAEESARKAEADQKRAADIASGAVPMNGRELFQHEPWVFDNNIY >Et_3A_026063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:414351:421999:-1 gene:Et_3A_026063 transcript:Et_3A_026063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLHALAPSSAKVGGQAQGALYEATDVLEVCYLDAEERCNKQGGRRCSWASMEEKAPGCLGPLLFCLRSPGFAHGMGGRIKELNKRLDGIREEMAEFNKEPAMMVVSIAGPGGIGKTTLAKKILNDTEINKAFGSKIWLSVTERYDEEKLLRSAITQAGGGGGGGDKQLLSLALIGALSSSGKFLVVLDDVWSDGAWTCALRDPIVEAARKHPGSRVIITTRNDELINDVTVGATHFLYHVQPMSDEDAWSLLKEQLPPQVMILVPLFFLLSFAPKGNPNDKRKCKDVGGERDLDHLKHIGMGIISKCGGLPLAIKAIGGLLRTKAATKHEWDSVLHDPAWKTDKSHQDLNTALQLSYEDLPPALKQCFLYYSLVPEGLALTHDMVIYMWMSEGFLSVPGGDGSLKEEYDVGVSHYTSLTRRNLIQPVVVDGQETAVMDGVIRSFAEFMAKEEVLVLRPGQEISTQLISSAKFRRICIESTKSTESAVLPDWNSILGKQELLRSLIIKGRMKFEPGTDPSLNRFPSLRVLLLRHVESDRFVESLAKLKHLRFLLLCQLPSLEFLMIDSAPSIVRVGPEFVVNLQQPSGSYFPRLKDLCFSRLPNWEEWVWEHSGKDGDVDEAPMPSLQTLRITQAGLASSKRIAPRKLSVHHAARITALENIASVETLNVFNCLRLKIIRGFPRLRSLVIELCPALEVLQGIPALDSMELADPSMKTLPEYLRGLHIGRDLVVPCHQSLHDLLTSDSGNDDEYWREVEKIEHCAGDFMTVPAEEQSITTTTQSSSVSNNHCRPEKEYYKVNKGKEPHITLFAGHQLLTESTARTRTFLLLLCGPHSSNDKVRV >Et_1B_012863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3626739:3648352:1 gene:Et_1B_012863 transcript:Et_1B_012863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFMSDEELRLLGGDTAAVAERADAAIRELRRQVDTVRAEADAAAIAAEQTCALLEQSYASLSAEFDRSQAEVAELAAASERRAAELASSQAEVHQLRIQVIAKDGEVERLKVEISELHKSKFQSLELIEQRDVEIKEKDGIIQSYYDKIVNLADTSAGKEARIQEVEAKLTHCQATCNRITEEKVLLEKHILLLDEELKAKVKKSDELRKENMDEEARMAAKIAELERELSESSSSLRRSKERISELEHRVSYMEKELCSTKDAAAANEQRLGAELSTVMKLAELHKESSEEWSKKAGELEGVIKALETHLTQVEDEYKEKLEKETLSRINLEKEAANLKQKLGKCEFDLENTRKSSELSLVPLSSVAPDSADLVDTAMHELPLSATMNQNDLMIVPKVPSGVSGTALAASLLRDGWSLAKIYEKYQEATDALRHERQGRRHAEAVLERVLHEIEEKAELILDERAEHERMVEAYALMDQKLQQALLEHDNFDNTIRNLKVAVLLKECQDIQLRCGSSLPHVGLGALSASIANSISNEEHNPKDSMAFKDINGLVQQNVQLRNQVHMLSVDLDKKDMELRESFQIELKKIVDDAASRVEKVMKKSEEQAIMIESLHRSVAMYRKLCEEQQKTRSNVESVPNNFEDSGRTDLMVLFEGSQEVSKKAYEQVSERARSLDEELNKLRTELVSLRSERDKAVLEAEFARDRLNGFAAELEHQQALEENSRKLSMEVSILKHEKEILVRSEKRVSDELHDLTERVHRLQATIDTIHTTKEVQENARSVERKSHEDNIKRLERDWAELKKELQEQRDHVRVLTLEKKSAFDSCMKQVEDMRKELQTSWKAASDAESRAAVVEARCSDLEAKLKSRKENDELFQLKEELEKYKEEAQANKNYMVQYKEIANSNDVALKQLESAHQDYKTESEIGKKAMEDEIAKLRDKLSEMEKSYVIKCDEAASVIESREKQATSFMNEISVLRTEISQKVSQIENLEMELASSKSALDEQYRRWRTAQDNYERQVILQSETIQELTNTSKQLSSLQHEITMLRQTSDAQKAENDALRTFGEQEKMGLLKEKDEALRKYNELNDQNRILHNQLEALHIRLAEKDRSIAGLPSQHTDSHAEGDLHSVISYLRRSKEIAETEISLLKQEKSRLQIELESALKSAKEAQDLLRSQADSARTLMFKDDEFKSLQLQVREINLLRESNIQLREENKHNFEECQKFRDEAQKAKMESERLHNLLLEKQVDAEMCQKELEMQKAEMANLNQRISELVESSKGIDLNAYEAMKEELQNVKSTLRENSIELQNAKGLLSEKEVTIKNLEDNLARCQSELDSREKKLNDVEASMKSESERLRKVQFSFKRKQDTLMKEKEEVVKVNQSLLKQIEDLKSSQKATSESTLEQAIKEKDFRIQTLERTLEKERDDNKKEKIARRKSEKVFSTAVQQAKQGKKEVEDSLAKHKQAVKEVIEHYPGLSSQVPSMSALEENFLKYFGYAKTVEESKFNDGATTQTPGAETTAVDAPAAAAEQLVDTPPRPAKAKVTEERAVATLPKPTTEVRRPGGRRPLVRPTLERTEEPQADTDASAVEGSMVGQDKGAPSLERETSGGVAVLQPSSRKRLISLSSQMIDNTSLDECNEANPPSKRPREEESSQGASELKSGQPLAGDVTAAHEGQQPVEEMYTDQAFVPIEEAEPTREDDTVDDTEAHVDASMHIKSQETDVNIDINATPVEDALAKSEAAVQSFDEDQKIDDLKEEAQLTTTTDADDEMEEGDPIAPAGGADASPSRTTDASPAREPSPNPAQTSASSEQRNTSTVTGGREPSPNQAQAGGSSEQQSTVADGDESRSTIINVTQRAILNRQARMQRSQQPTRGRGQQSPQRKGATGRGSRGRGGRGQT >Et_4B_039143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8139802:8142574:-1 gene:Et_4B_039143 transcript:Et_4B_039143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRTCAIYGGQATAAGSIAFDAGPTSHVPPRGASPFSPSPRHSSPPPASAHRPTMKQLHRQSSMSKQHRPHHHRTSLSRTLASYLLREQRLLFVLFGFLLASSFFLLYPSLTLHPGLRSSSAATTSTVLAAAVSTRKPRAGVSAAARRLPVGFRKPSLRVVVTGGAGFVGSHLVDKLLARGDSVIVVDNFFTGRKENVAHHLGNPRFELIRHDVVEPILLEVDQIYHLACPASPTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKESYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQTLRKQPMTVYGDGKQTRSFQYVSDLVDGLVTLMESDHTGPFNLGNPGEFTMLELAEVVKETIDPGASVEFKPNTADDPHMRKPDISKAKSLLNWEPKVSLKQGLPRMVSDFQNRIMEEK >Et_2B_021335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28812417:28814446:1 gene:Et_2B_021335 transcript:Et_2B_021335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVCFSQASEKKQSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQANAS >Et_10A_002202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4174179:4178744:-1 gene:Et_10A_002202 transcript:Et_10A_002202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLEENFGGVKAKNSSEEALRRWRRLCSVVKNPKRRFRFTANLDKRGEAQAIKHANHEKLRVAVLVSKAALQFLHGLSLRSEYVVPEEVKAAGFQICADELGSIVEGHDSKKLITHGGVDGIAAKLATSQTDGLSTAEDNIKRRQDVYGINKFTESEVRSFWVFVWEALQDTTLIILAVCAFVSLVVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKIQVQVTRDGFRQRISIYDLLPGDVVHLAIGDQVPADGLFITGFSLLINESSLTGESEPVAVNEDNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFIVLSQGLFSKKYHDGLLLSWSGDDALELLEHFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRNLAACETMGSATTICSDKTGTLTTNHMTVVKACICGKIKEVNGPQNASKLCSEFPEIVIKTLLESVFNNTGGEVVINQDGKYQILGTPTETALLEFALALGGDFKAKRGETKIVKVEPFNSTKKRMSVILELPGGGCRAHCKGASEIILAACDKFIDETGSVVPLDKTTSEKLNGIIDSFAGEALRTLCLAYREMGPEFREKTLEELLELVPKIQVMARSSPLDKHTLVKHLRTTFNDVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALLVNFSSACFTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKREPVGRTGKFITNVMWRNIMGQSFYQFFVMWCLQTQGKSLFGLEGSDTDIVLNTIIFNSFVFCQVFNEISSREMERVNVIKGILKNYVFMAVLTSTVIFQFIMVQFLGEFANTTPLTRFQWLASVLLGLAGMPIAAAVKLIPVGSS >Et_8A_057720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6485214:6487998:1 gene:Et_8A_057720 transcript:Et_8A_057720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLALAVALLVGAGAGVDRSAAATVEVEGSEVTYGSVVKLMHEKTKHRLHSHDVPYGSGSGQQSVTGFPEGDDANSYWIVRPTPDSSAKQGDAIETGSIIKLQHMRTRRWLHSHLHASPLSGNLEVSCFGGDGQSDTGDYWRLEIEGTGKVWKRDQKIRLRHVDTGGYLHSHNKKYNRLGGGQQEVCGVREKRAENIWLAAEGVYLPVNGSK >Et_10B_004261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2356663:2358129:-1 gene:Et_10B_004261 transcript:Et_10B_004261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSFFVTGRKGRMAKDRDKQDEADRKSVSSSAGSPTPSVREKRRWSVRRPAATIARSSRLSRRSASRRRRCVWWEHEQHAVAVVPEATTTAAAMVVPLPTPALETTERGGAGDDDVEAAAAIKIQPAFRSYLVPHFRHLLCNLTEQRRGSPAGDAQARKALCALRGMVKLQATVRGQLVRRQANTTLRRMQALVGAQRRAGDERLRLLEDEGRRQLATTATTPRQPTSRRSPQHQRSRMSLRSIMAEAWTVQKVAERSSEDNVKVVEVDDGGEAPGARRGGSGGCYTTRPSRTPAKAELYLKVSPTPSALTDASARTLSGRFDDASLASASEPPCRRKAWPFPSYMANTESSRAKARRSQSAPRQRLAAASESSASVAAAAASPAPSCGERPLSGWNGAGARRRASLDPLDPLGARAAAHWSSAAGRMERCATRARACANASLPGSECGSSAWRD >Et_4A_032229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25185788:25186972:-1 gene:Et_4A_032229 transcript:Et_4A_032229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVLPPLPNELAEDILLRLPVKSLAASRCVSPPWNGLISSLAFARRHRDAAAARAGVAPRFVSVPVCRDYKAPRPSPCAGCPRVFSGAVLSTGGALRLPPRRPACYFQGAGLGYNGGEGRLKAVLLERAGDPPRLQCGVVTVGAGEWHWREPRGQWTPAIICVDDALVAACTDPVFADGRLHWMLLDAARGNLDGVLAFELGSETFRRIPLPPPSADDENLPGLSSERETMAEADDRLCLVRNLSYPRRKVAVFEVWMLRDYNRLSWSLDRRIDLTPHVGKELTRLWEGEFFVVGYTGESRKILLATTGFVQRAYMYAPDTGELRTLACWNDYGVQPQLRLVLHQESLLQVDGMEYDNKDIKFTFADE >Et_3A_024267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19036446:19040824:1 gene:Et_3A_024267 transcript:Et_3A_024267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGWEMLSFNIHDGFLEAIVRGNRSGLLTAADYNNLCQCENLDDIKMHLTATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQANEPLSTFLQYITYGHMIDNVVLIVTGTLHERDVNELLEKCHPLGMFDSIASLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYKFCQKLGGATAEIMCDLLSFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVCEDVDQVRGVMEKYPPYQAIFSRISYGESQMLDKAFYEEEVRRLCLSFEQQFHYAVFFAYIRLREQEIRNLMWISECVAQNQKNRVHDSVVFIF >Et_5A_041630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25215864:25216749:-1 gene:Et_5A_041630 transcript:Et_5A_041630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LAYRKLSIFCFADTGGDRPHWEASECFRNGHRWRNSKSSYCSVYLIPAVEKWHMQRGGLLERFLGVLRIMLQRAASGFSTLLFACPIRQMNSDFYLYRFTIKNAFQLPEELGTTTF >Et_7A_050404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13066755:13068518:1 gene:Et_7A_050404 transcript:Et_7A_050404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAAGRPSPPVATILGRSLAQLHARILRLGLDNPHALLARFAAACDALGPPSVAAAARPSPPVATLLGRCRTTRCLAQLQARIIRLGLHSHHALLARFAAACDALACPSVAASFLAAVPDSHAAPLSLHNAVLASLARHAPLHDALAEFNRLRRGASPDSFSFPSLLGGCARIPYLPAGSALHAAAIRLGVDADLFVRTALIQFYGRCGNMEAARSLFDKLEDRDVFSWSAMISGYAQNGYPGEALRIFDEFQEQGIHPDELLVVGLMSACSQTGNIALAGCIEDYIAKYSIDMNNVHILAGLVNMNAKCGNLERATVLFESIPFRDVFSYCSMMQGHCLHGSASKAVELFSRMLSEGLSPDDAVFTVVLTACSHAGLVEEGKKYFDMMKNVYSIVPSAEHYACLVSLLGRCGMLEDAYELIKSMPGKPHPGAWGALLGGCKLYGDIELGKIAAKKLFEIEPENAVSLSNIYANTDRWGDVSEVRAEMSVKGI >Et_1A_005632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12168514:12175475:-1 gene:Et_1A_005632 transcript:Et_1A_005632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHHPSLVRPSLIAGVRLRLAPHSFPSTAAASAPPPALSVRLRPLRVAAGKASPVGDDGGRREQPPRPLSAVVFDFARSNFLPLALVGGVILALLDPTPGCLAHKYSLSKYSTFGIFVISGLTLRTKELGAALEAWPAFLFGLVPLSLAKYIAAGAGVSLPTKQLFKSLVTRLLIPLVIGKVARESSKGIANFVDGNRQGFSVASALLLSIVPWIQVSRSRSLILSVQVEAFAVAMIVGVLLHLALLAFNATMLQILLRLEQKGKSVFARKEYARAVILVASQKTLPVLIAVVEQLGGAFGEPGFLVIPCVAAHINQIIVDSFIVNWWRQRDQYQQFANAKSYSLCACHPPVAN >Et_2A_016244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22580300:22589236:1 gene:Et_2A_016244 transcript:Et_2A_016244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTVKDARGTACHWYFDAVGHVMHLLVSDASEGEEDRSIEAATAGIDSVVADTPPRSGHYSPASLVSAAAALRWVPYDEALSAVRALLCASHDDLCLDTHLLSRSLSGAFFGRVPAEAPPIAAGGAARFPEGGLYVCADLPPLAPALRDVQRALVRVSVEDTSHGSCDCFFDAVGHAMHLLVGDAGNGRVACGSVVFGRDTFESAFSLQWEDKSIVTAAGSESVGDLPPRSGGGGHYRPASAVSAAATLRWLPHDEALSAVRALLRVSHEDLRHDAQFLSRSFGDTFFGAVAAAAAPIAAGGARFRMEASTSAPTSRRSRRRCVSVTDASHGACHWYFDTVGYAMYMLVGDAGDGGVTCGSVVFGRDKFESAFALEWCMDSVGYGVPPASDEPEYDSSITENVAIINGQHRLVVDLLTGTTHILFLLLQLGILYIDHPQFVVLVLQFRDLL >Et_8A_057289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23231092:23231962:1 gene:Et_8A_057289 transcript:Et_8A_057289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPLLTISSVNQATELIGDRPPNEVRLKLHEMTSLLEAEAIKETTRPGRLGYVLTNPELLDCKSRAKVRLVRAYERLYQQTMRQCDRALLPLDKDIAALKVSIAMEDHEIPHAGPALTERNRGFQHCYYPQPQYPLHPSYEYGTTNQTRVPYQPAFATDEELAQATARDRRAQREWWEANLAFLEKIRKILEANRVELERALKSELDHHLRRQSDLGVGYHDYEFRPLPAPAP >Et_8B_059788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2883560:2886320:1 gene:Et_8B_059788 transcript:Et_8B_059788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSEQGLMAGRDLFGMPKSPPAAAPGPPSSPAMQSVRMAYTADGTPVFAPVSSSATPPAYQVHDTSMSAAAIAGGNGAAALPAMGEPLAKKKRGRPRKYGPDGSMSLALMPASASTGGRPKGSTNKPRMDAVGSSGVGFTPHVITVQAGEDVSAKILSFSQYGTRAVCVLSANGAISNVTLRQTATSGGTVTYEGRFEILSLSGSFLLTDNGAQRSRTGGLSVSLAGPDGRVLGGGVAGLLIAASPVQIVVGSFSSDGKKEPKQQGPTEPTSAPLKVTPTSGIGPNSPPSRGTLSESSGGAGSPPPPLHQGLAASNNNQPTILSSMPWK >Et_5A_041014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16829647:16832227:-1 gene:Et_5A_041014 transcript:Et_5A_041014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSAASSPSLPLKDGARTQVLSSRWRHVWRISPLNFDTYDTAIIDDPIVSRILSEHQGPGRCFHMWFPVPSDDILDGWLRSRSLHGLLELHFCYYNYKNRSLLLSFSSRRHSVRFPNLQHLTLRGVRISEDSLHAVIAASPR >Et_2A_014949.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23055440:23055664:-1 gene:Et_2A_014949 transcript:Et_2A_014949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSTSVKTEASDSAGQPAAPAPAPETKPKKKICCACPDTKKLRDECIVQHGEDACGKFIEAHLQCLRAEGFNV >Et_2A_018510.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3133298:3134872:1 gene:Et_2A_018510 transcript:Et_2A_018510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGLTAVHHPCQDMDTLMILLLALFSLLGYRLISYYYRLESNAVVPTEWPVLGHLVTVIANIHRFQEWATSASAAVGHNFEFRGGLTSVRYFITCDPANVRHIFTSNFANYHKGDHFTDIFGDVLGGGIANADGDSWRRQRTEIQMALTAPRFRAFAARCSLDKVEKSLLPFLAGAADVGRPCDLQDVFLRLTFDTACSIIFGVDPGCLAAGLPVVPFAGAMDDASETCFLRHAIPAPCWKLMRRLQVGPERTMAEARKTIDSFVAEAISKCRADKRGVDSADDMLSSFLCHDNISDDKFLRDMAVNLLLAGRDTTAATLSWFFYLLSENPRVEQKLLNELSHVIASREAGANNTAADGFVTFDASEVGNLVYLHAALCECLRLYPPVPIEHKAAVADDILPSGHGVKAGGNILVFSYAMARMEGVWGEDCMQFRPERWIAEEGKLRYEPSYKFIAFNAGPRTCLGKEMAFVQMKTAAAAVLWNFSVEVVPEHVVVPKLSIILHMKNGLAVRIGRRNAVKASS >Et_6B_048456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6756916:6757128:-1 gene:Et_6B_048456 transcript:Et_6B_048456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLHFIGIRSDHDRVWQKEEGREHKKEEDVAQFCFQECWSPFNRHIFPSCLLRCLAM >Et_5B_045316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1843701:1846762:-1 gene:Et_5B_045316 transcript:Et_5B_045316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTVFARIAMASVTYIDDSHAEVIDPPKNEEMLDVTELIGDHIQHSPKPNVTSYGNVRELLECPVCLSAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCLGIYPYYCKLKHESQCQYRPYTCPYAGSECTVAGDIAYLVNHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGCGRKMTWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >Et_6B_049893.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:16784289:16785056:1 gene:Et_6B_049893 transcript:Et_6B_049893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRKKSVAYFAHDPAHRLLPVSGDADDEGEGGKFTCDGCLVAGAGRRYRCGHPGCGFTIHEACARAFPRKLKSAVHPRHKLRRRVGPAAADIAGACEVCGEDVKGACYGCAACGVAVHPLCARMPGTARGAAHAGGGHEAWLVRVAVASSSSAPAPPDDDGDGEKKKEAAATAAASCAACGRPVVGAWRYRCVTCKGELHPRCLVPAADQCRGAGDSGEGEESMATSCCCGLLHDVTRCLATLGTARHYRGYYNG >Et_4B_040071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:948476:952227:-1 gene:Et_4B_040071 transcript:Et_4B_040071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTFILDKTSKDVQLLKNIDKPQNSGDEYEGGNSESEAGDNETEDDEEPAAEEDENDSEDSDYLQGDEDTSEDDEEATQFRTTARNQRRNPIATPAVGTVLASSESQKAPIKKKATRKKSSTQPCASGMSSQSSLNTPVKRKQPAKIKPRKSPGPKDAAKRRKASRSHTPLQIRHSANF >Et_2A_016064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20771924:20781015:1 gene:Et_2A_016064 transcript:Et_2A_016064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLFATEKFGGRAVYRFHAVTVFAGICLVLYYRATHVPASGAGRAAWLGMLAAELWFSFYWIITQSVRWSPVRRRTFKDRLAARYGERLPCVDIFVCTADPKSEPPSLVIATVLSLMAYNYPAEKLSVYLSDDGGSILTFYALWEASSFAQHWLPFCKRYNIQPRSPAAYFSESEKPHDLHILKEWSFIKGLYEAMTERIDSAAMSGEVPEQIRVKHKGFSEWNTGITSKDHQPIVQILIDGNDRNAVDSEANVLPTLVYMAREKRPQHHHNFKAGAMNALIRVSSVISNSPIIMNVDCDMYSNNSDSIRDALCFFLDEEKGQKIGFVQYPQNYNNMTKNNIYGNSLNVINQVEMAGLDSVGGPLYIGTGCFHRREILCGRRFTEGYNEDWDRAIKEKTQECIDQTEEKAKSLATCTYEQNTQWGNEIGVKYGCPVEDVITGLAIHCRGWESVYINPPRAAFIGVGPATLAQTILQHKRWSEGNFSIFLSKYCPFFFGHRKIRLRHQMGYLIYGLWAPNSLPTLYHVTIPSLGLLKGTLLFPEIMSPWIIPFIYVTFVKNMYSLYEALLSGDTLRGWWNGQRMWLVKRITSYLYGVIDTIRKLLGLSMMGFVVTSKVSDEDESKRYEQEIMEFGTSSPEYVIISTIALLNLVCMVGGLSQIMTGGGHMPLDVFFIQVILCGVLVIINIPIYEAMFLRKDRGRIPFSVTLAATGFVMFALLVPVI >Et_4A_033589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24848137:24848684:1 gene:Et_4A_033589 transcript:Et_4A_033589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSVQVDVMIRFLFCVIFGSLVVRSKWMPEVFFPTTANTTSFDEGKINIVLCSKKKCSYFSPDKQDCYCCPDGSRKEFCHLTMEECKDNCRACLPECAPKLPVQSATEGRPLDASHATTNATAYK >Et_7B_054146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1662980:1665128:-1 gene:Et_7B_054146 transcript:Et_7B_054146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSLLSLTLDSALLRIAHIADLSHLPDHLVIDLFRRTLSAGKLTEKVLKLFLATGCEEIILAVQLLNIKQPLVPVLPTRKMSLEIQDNEVDIVIAALQPNLTTFFEAWRPFFSRFHIIVVKDPELAEDLQIPSGFDLKVYTKSDIDGLLGATSINLSGHSCRYFGYLVSRKKYVVSIDDNCLPAKDTGGLIVDAVHQHMVNLKTPATPFFFNTLYDPFRKGADFVRGYPFSLREGVECMLSCGLWLHNADYDPMTHVVKRNQRNTTYVDAVMTVPIGAIMPVSGINVAFNRDVLGPVMFPGLRLRKEGKHRWDTLEDVFNGLCAKVVCDRLGYGVKTGLPYVTRSDAEAGKALESLKEWEGVKVMDDVLPFFQSLKLSKDAVTVEDCVKELASIVRDKLGPQNAIFTKAADAMVEWINLWKSHAAQSA >Et_9A_061400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1148306:1151753:1 gene:Et_9A_061400 transcript:Et_9A_061400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKVDEKCSSDMASWSVERLCMVLHEFLQGKRYNIVVDDVWEETVWKTISCTLPDSSCGSKVIMTTRNSHVRAKTNVVVYNMKPLPHDKSKELFSKRTNENGDNQLVDKIIDKCNGIPLAIIAIASLLADRPLEDWQAVYDSLISGSEEDHTRTILLYSYYDLPASLRPCLLYLSMYPEDILIDKYTLIWRWIAEGFVQPLKDRCGSLFEVGERYFNELLNRSMIQPAEKGRAGIIDGCRVHDIVLDLIRDLGASSIIGEYRKKQVGLHGLERKVRRLFIQSSSEQSIPEGTIGMAKVVRSLHSINCSIDAPPLSSFQACHVLVAECRIRDTPQLGKLLHLRYMELINTKYDWKDIPKEIENLKSLQTLVLSGISSNTHGQVLPSTVFGLMQLMCLVGEPSVPGGAEPWVAEVVDVLGKLTRLRVLRIDFGGYLYDISMQSLKALIQSLNNLHEIRELDLYVGIYGSPVSAWESWKPPRKLWSLETNMGLHPQHMDPSQFQHIRYLCLDVEEITEVDMGRLALLPELLYLTLQASSCTIDYWRWRIQESEGLCCEHIVQGAMPMLESLRFTVRPGDDLDFNLAALLSLKEVILT >Et_7A_051406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1859952:1862092:-1 gene:Et_7A_051406 transcript:Et_7A_051406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSLLSLTLDSALLRIAHIADLSHLPDHLVIDLFRRTLSAGKLTEKVLKLFLATGCEEIILAVQLLNIKQPLVPVLPTRKMSLEIQDNEVDIVIAALRPNLTTFFEAWRPFFSSFHIIVVKDPELAEDLQIPSGFDLKVYTKSDIDGLLGATSINLSGHTCRYFGYLVSRKKYVVSIDDNCLPAKDTGGLIVDAVHQHMVNLKTPATPFFFNTLYDPFRKGADFVRGYPFSLREGVECMLSCGLWLHNADYDPMTHVVKRNQRNTTYVDAVMTVPIGAIMPVSGINVAFNRDVLGPIMFPGLRLRKEGKHRWDTLEDVFNGLCAKVVCDRLGYGVKTGLPYVTRSDAEAGKALESLKEWEGVKVMDDVLPFFQSLKLSKDAVTVEDCVKELASIVRDKLGPQNAIFTKAADAMVEWINIWKSHAAQSA >Et_10A_001162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21687971:21690128:-1 gene:Et_10A_001162 transcript:Et_10A_001162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSSPSAYRRATTDDRNTNKYSNSCNGNSQMIFHGNSHLWQTQCRNANSSCDAGVELRDLPRKVLRDLPRFVKIVEVGPRDGLQNEKNNVPTSVKIQLIHKLVAAGLSVVEATSFVSPKWVPQLADAKDVLSGIPQVPNVRFPVLTPNLRGFEAAVAAGAKEIAVFASASESFSKSNLNCTIEESLVRYRDVTAAAKKHGLRIRGYVSCVIACPVEGAIHPSKVAYVAKELYNMGCSEISLGDTIGVGTPGNVVPMLESVMSFVPVDKIAVHFHDTYGQALANILVSLQMGISVVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMEAGDYISDHLGRPLGSKTATALRKLTC >Et_3B_029503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25523919:25533428:-1 gene:Et_3B_029503 transcript:Et_3B_029503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPECHGTRVQTLTFDNCWNVEYSLMAGAVTTDDSVASTSGLGEEDRSVSGDSLSEWRSCEQVDNGSPSTSPPYWDTDGEDDDPGPRPSELFGRYTWRIENFSKEKKREMKSESFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHEKLLPGWSHFAQFTIAVGNLDPKKIKYSDTLHKFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVISFRAFWLALDPTTRSRMVSEKSDLILKVVVKSFFVEKEVTSTLVMDALYTGLKALEHHSKGSCKGAVKSMALEELSTPMVHVNMDMFVLAGDFIALLERAALEPLSCQPQSPKDDKCSQGRAKDGGSGEVNKVSIEREERRLTEIGRKILEIFVLSHIFSGIEVAYQEAVALKRQEELIREEELLENEMKGKRGDKRAKKKQSKQKKNNRKAKDKEREEISDSNFSEKVRDGSTNHAREDSIQASQFATKVDNSEEGASDVSDNLNGSVDICQTDTSDKNAGAVNVMHDVGIEPENAQTGNKDSTMEIESLVSSVTATVNSIRGKINNLLDSTGHVPPTRGRVRRKRVISKMNTVRDEDYLLSISSCTDRNQSRCGPSPNSDQETSLLTLKDRLFRLGQRLHEKEIEGRELLKAHLEKKAAADTVTGSPSAPLPDFFEPQVLKCPDKSSVTISDVDINTPPSKAVTVGTSDGSDVTVPAPATSIISTKSVPTATLTPSKLEPVLCNEHVSSSRQQTDKATLTPPRSPLVDKAAPTPPKSPLPQVDTVVKAAPAPQKPSSSQTDKVAKATPALPKSSAPQIDKVAPHNSVSRQIPSTSISKAQEETIPDRVAITSVPRTPMPTSRPSSAPLFQAPRSTAPPTPTVQVSPLLSRSVTVSGRPSDEPSPSAPSYATQAYRNAILGKGSVDTSSASLEQSTSKLQSVAASQPLSAHASATTGILPPVERNYQLSGKPGSMFRLSKSEALDNWHPWKGNSDVNRHMGRDDMPNQQMTNIDTCAHPWKDISCQQVSSSGAEQRRFDEMQYRQFQREIPANFVSPQQQGPVGEEFPHLDIINDLLDEGQSSGTMTGSSLHEYHTFGLPFASNGNVTDSEMASISSSGRFNLTNHYYDEGYRGAYNVQNALHRLRDGQLSTLDVYSNGRLDSSASKPWMYSRPSPTMNLGINTNLLTQQMGDYTNLATGRMNGEYLEHLYRRANRQW >Et_4B_038727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4335541:4339062:-1 gene:Et_4B_038727 transcript:Et_4B_038727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTSTTSQLPLLFLHNGAANPTPANLSFPSTLRASSLRSRAAAAPPAETISEDGIPDAPPEGEGTGIPLPSSIGEDGEQLFFGATAGKETVVGPCAQLRSSFSDSFGISQEKIVITNRYGEKLVGVLHEAGSKDIVVLCHGFRSSKESRTILRLADALTSEKISIFRFDFSGNGESEGTFQYGNYYKEVDDLRDVILHFKKGKRDTHAIAGHSKGGNVVILYASMHRDIRIVINICGRFNLERGIGDRFGNDYMERMNHHGFIDVEDIIGRFMYRVTKESLMDRLKIDMQGACTSIDPSCRVLTVHGANDDVVPLEDAIEFDKYVNNHELRIIEGADHSYTSHRHELADTLAPKQKIRIKLRSYWVPLIEDSCKKIIEAAKTTNAKTMGPVPLPTKRRVYCVLNSPHVHKDSRFHFEIRTHQRLIDIMYPTAQTIDSLMQLQLPAGVDVEVKL >Et_8A_057259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22983145:22987513:1 gene:Et_8A_057259 transcript:Et_8A_057259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPREWSRGTKHDDISQPANLPPPPPVLRGPPTPNSGSLCDCAWPLWLLAESVRVRSSNTGSSVEPLLAAQNTEEQGIYVVDRVQVELGYTALATLQACSKQSSHPSDIGGAFPFPFERGIRGGRAQASSMMAAARPYKAPPARVPTRCVAALCAACFLLGICVVNRYWAVPEHPGCPNKAGSRDVLNQVSQTREVIMALDKTISEIEMRLAAARAAQATMEGVSPSDSEGDPGSMRPRISYVMGIFTTFANRKRRDSIRQTWMPQGDRLRSLEKEKGIVIRFVIGRSDNPNPNNEVDRAIDAEDKEYNDILRLNHVEGSEGLPMKIQMFLSTALTMWDADFYVKADDDVHVNIGITRSILARHRSKPRVYIGCMKSGPVVTKNDSKYYEPNHWKFGTEGNNYFRHATRQLYAVTRDLATYISANRHILHKYSNEDVSFGSWLIGLDVEHVDERSLCCGTPPDCEWKAQAGNPCAASFDWNCTGICNPVERMTEVHQRCWEGSATEGLTQF >Et_10B_004490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9209868:9213257:-1 gene:Et_10B_004490 transcript:Et_10B_004490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDAFRAKLHVLAVDDDRVSLMLIEKQLQHFKYKVTTVMHAKTALEMLQARRDAEDKLDLVITNVHMPGMDGFKLLELIGLEMNIPVIMLSANDKLETMMKGIKHGACNYLVKPTPLEQVKNLWIHVVRKNVNRPSDSINNYDDGHHQSQSEDSEDGSVGNQTISKSSRKKKTENEAVPVKIVEEMNVEGITRGHVASHLQVLVFSEVSAVPEKGQHRHIQEP >Et_9B_065705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9357262:9360174:1 gene:Et_9B_065705 transcript:Et_9B_065705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGKQFVNEYDDFIVLKLPYSYQNVQLESDDGCKDSNGCRQFSLVIFLPHERDGLLSLVQEMRQARASCGTTYLRDASRRCQGDQEATRGRHFRPHDELLAVLGASSRNELAELACNVADGALADRPAGPRVAFAWGLWHEKTVVMKPAYRAVAAESYKAEIRAADFKGKAEAAREEINNWVSEATKELITSILPEGSVHPRTRLVLTNAIYFKGTWKEKFDKNCTKVRPFHRLDGSDVRVPFMSSRGHKMVDEYDEFSVLKLPYCQFANDEVQTKSDVDERPQFSMVIFLPHERDGLPSLVEEMASDPRFLWDHLPGRRVKVGKFRLPRFKLSFSSEISDVLKVMGMKKAFAPFEADLADMLEEDKAKLPNNMMDRSVDLYVETVMHKAVIEVNEEGTEAVASTVCSSRVLQSARRHPNFVADHPFVFFVVEEVSSTIVFMGHVVDPTKSEYIIYTYESNL >Et_4A_034943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7990685:7995595:1 gene:Et_4A_034943 transcript:Et_4A_034943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISQGISLRSPPVGPRGQGGRRSASSSPAAAAASRSWGQAAAPGRQALSITSARYDSAAHPPRGGRSPGCSSSAIRAAASSGSQPESDRVPAEPRIGLPAIFSLFSEAAKTGAAFFIASSGAVFLLGSFGGFGGGAGGLFGGGGGGGGWGAGSGGGAGGGGGGFWSRLFSAGAAHADDKSSADWDAHGLPVNMTVPLTKLSGLKRYKLSDLKFFDKAAGGGGAYTGPEDSFFEMVTLQPGGVYTKSQLLKELETLVSCGMFEKVDLEVKPKPDNTIGLTVSFVESVWSAAKQFKCINVGLMSQSGQVDFDQDMTEREKMDYLRKQERDYQQRVKGAKPCILPENVRGEVLGMMKKQEKVSARMLQKIRDHVQKWYHNEGFVCAQVVNFGNLNTNEVVCEVVEGDITKVEYQFQDKLGNIVEGNTALPIIDRELPQQLRPGHIFNIGAGKQALKNINSLALFSNIEVNPRPDETKEGGIVVEIKLKELEPKSAEASIQPGGTVSFEHRNIYGLNRSIVGSVTSSNLLNPQDDLSFKLEYVHPYLDGVDDRSKNRTFKTSCFNTRKLSPVFVAGPNMDEAPPVWIDRVGFKANITESFTRQSKFTYGLVVEEITTRDETNSICTHGSRAMPSGGLSMDGPPTTLSGTGVDRMAFLQANITRDNTEFVNGAIVGDRYIFQLDQGLGIGSKNPLFNRHQLTMTKFINLNNPEKGAGKPLPAVLVLHGHYAGCVGDLPSYDAFALGGPYSVRGFTNGELGAGRNILELATELRVPVRNTHVYGFVEHGTDLGSSKDVKGNPTEFFRRVGHGTSYGAGVKLGLVRGEYIVDHNAGTGTIFFRFGERF >Et_2A_016443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24831926:24837058:-1 gene:Et_2A_016443 transcript:Et_2A_016443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKVHILRRYLWCTEVLRAGGNSTSNKMGFSAVSKYQKFISPQNFHDREEAKSEVTASSGKPETGNPEVVTAGSSGSLMETDSSSFKEWNALYTTQLTQKAKKYHDGIIRLIQVGSHARQIVLLDEDGGVLGSRYLKSVESVENGTKCQMPNYLIEVSELRNEKNEGKHSLEEVAQTGQRSEEDTSEKKGAKSKSPKFVSPFKCQDVRKRNCESAKSSTRLLIDKTTCNNTNGPPNIHDLRSGKPDSDVNGRADHCKSTLDIMDGPLKFNGTWAQLCTSFLFSTPFYVFGNDTAFTDTQRGTSTNFNWPQVGKSWSSSVGDPPKFHAVPTHVFPQFIDPQDGKLGCPPNFIRREVGKSTCGNIDDSIRTASQIISIMKPPSEVKKSSQCAPSGAACSLVSSESRIPLDASCTKNSVVDNSNRTFDGSGHSGLSHFATQLRTSVQSCLRLDVSRTNNSVVDDSNRTFDGSGHSGLAHFATQLRTSVQSCLSLETPHQKNLVTAHQWNELPGNSLAAQHIMRSTASESRDFAMVDILASGISNAKEQKPDSSNHHMGEVNNDTVPSDPGLQDDKSVTTDQLSTQSSAADGRCDVPTSTSAYTLTCKDPRIQELIDDCPSFDLGF >Et_7A_052352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7109949:7111357:1 gene:Et_7A_052352 transcript:Et_7A_052352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAVVAVPFPAQGHLNDMLHLSLLLALRGLPVHFAAPGPHVRQARARVHGWDPKAISAITFHGFDVPEYASPAPDPAAPSPFPSHLLPMCEAYVAGARAPVAALLASVSARHRRVVVLYDRLSSFAAPEAARVVPDGDAEAFCLQCAAASHDCAWTDAGRRLLRARGLDGAPPVEACMDREFVEYVVGTQGDSLSPAFAGVVANTSRGIEDEFVDVAARDPEYRGKKVCAVGPLNPLLLDTTAGTTEGQQQRRHECLTGSTGNHRRRTTTLRPEQVAELGAALRDSEQRFIWVLRDADRADIFADDSSGESRHQTFLSEFNKQTEAKGMVIIGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPILAWPMHSDQPLVAELLCKYLKAGILVRPWEKHGVVIPADAIREVIEKAMAGDEGLAMQGRAKALRESIRASVAEGGSSRKDLNDFFAYITR >Et_10A_001683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6831501:6838211:1 gene:Et_10A_001683 transcript:Et_10A_001683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFQGLLARDFGLRPQGKAAPMAAARSSSGSSSGSAAWANPRSAPAPAAAPSSAAPSYDDLFSSAPPKPPAPSAPLDNIFDSFKEPSSTAAPPPKPKHSSMPVFDKPVYDDDIFDGVPGVKSSSARYDDVFGGGGSHTTPPSYDDLLGELGGRKSGARGGGEAEEKRKPAPASSTGFDDLIPGFGGSSRSRQRDTVGAKEKKGPVSTSKPTASMASDPFDVLETTSSSAGPAPGIFTDPLDELSKAAKYQGKTREDTGVDSRLFEDSSTFNQAPKSEPLFPSEVNNGPKDWNNSSKARDSSPVQSFPKRSSAQQPSVVDFENIFSKSQSARYSDVHVDIASEKYNGNGTNAQSPRSDESEDEIWLTVSEIPLFTQPTSAPPPSRPPPPLASKQKQHVSKAKRNDDAYRRHSNQNYSHHRSSSSQAGVSSVDELEDFAMGKSQNADALNEEGFERSTAAAAAMKEAMDKAEAKFKHAKERETRQREEREQRRLEEERELERQREREKARQAVERATKEARERAAAEARAKAERDARQRAERAAVQRAQKEARERAAVDAKERVERAAAEAKERAAVEAKEKAANQTRDRAAAERAAVERAQQEARKRAERAAVERAAAEAAAAAAAAAARGKQSKPDDLESFFGARANSAPKQRAPTVDSMFDSQTHSRGTDASHRATSASASMKKASSTTNIADDLSAIFGGAPTSSEEFQEIEGESEERRRARFERQQRTRERAAKALAEKNERDMQLQREQAERHRIGETLDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKPTPTCLGLKGFLLVVLVLVLLVWSLFDFNDHWSNIGLLLHCVLRSGPSKDTMGA >Et_9A_062666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:348688:351772:1 gene:Et_9A_062666 transcript:Et_9A_062666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCLKSSTTLSINSEDTLVLGIDEFARIDLIDAPKLGRLTGANAPLRFGNVAPCLDNIALCCAALHWQMPFMLSHCMSNNTTTFLTILYLDFTDQMVLFGSNQGPKHLSVIFSNLRDVYPCNTFHECSFSKQHLLRIIFTSSLKCEDSADKVNVLRDQPSPPNLKHKHLNLLQIVAFQVDEKLLKYTRLLMERAVALKRIRFFRNQRHGLLYFLCRLLQFKFLKLTAISQ >Et_10B_004263.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:2436552:2436764:1 gene:Et_10B_004263 transcript:Et_10B_004263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAHNLLMLIGASAVVFSVVGEPSVHGGYAVAGFMVWLLGVALAQRQFPAAAANLAVGKLKHYILGR >Et_1A_005063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24889106:24889703:1 gene:Et_1A_005063 transcript:Et_1A_005063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEASSGVLIRNSEGKVLLSAWRMLRRCSSALEAEAEACLEGIRLAADWIREPSILEVQFGRTRRCSNKAAHLLAQLALKNKEFVVRRFNFPSCISGVIESEFLVCNNIPSGSRESGHQNFLSGYVEYGCNHTVP >Et_9A_062789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:569216:570983:1 gene:Et_9A_062789 transcript:Et_9A_062789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPAPVAPGNGRLGRLRAPRVGLRGGMMVAATAGEGPSCLYVGPIETASQEKLEALYQQARDSYYSGQPLIVDDMFDKVELKLRLYGSKSVVKYPRCSLIRHSTYADAEEDKSMFMALSSIWMLLLLFGSSAFLIPSLYALTLAFGDTFGARYLLYGANSLDTITRVNDLTLVGLGYLIGYPIASASVGALRGLVTNNLVALKGSCPNCGEQVFAFVKTDKSILAPHRAECHVCECPLEYRTKVKKLLSGPRRIWVYGRVYLVKAS >Et_9A_061131.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17791623:17791868:-1 gene:Et_9A_061131 transcript:Et_9A_061131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRSFSAADDAETLAPAKESESAPAKGSRGERSVAYQVFADIYVLVYVFSWRIGQLIIANAEEAIGRLRRYVLEKFSKKR >Et_1A_005474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10734337:10735762:1 gene:Et_1A_005474 transcript:Et_1A_005474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSQAPYAAATQGRPAPLVRSSSPRSRVRLPPPCSYGAGEHASLRRNGLQSESVPRHVAAVMDGKRAMGARPGAACGGGTPRRAARVGDDRTALPRLGHSCAHRIRLLSREPHAPQGTYSRYFHSSCHHHHLAAEGAEVDYLMGIFEPYIRDNVDEYSREGIQLHVIGDSPRRPDSLMSAAREAYDATRNNTELVLMLAIGYSGRRDIVQACQGLAEEAQRGKLKPEDIDDARIAGKLGTSVAGQELSCPDLVIRTSGEQRLSNFLLWQSAYSELYFTDMMWPDFDEDEYLRALTSYQCRDRRFGNRKV >Et_3B_028229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11994125:12004776:-1 gene:Et_3B_028229 transcript:Et_3B_028229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALARDDGKGGPDSARRRAGRRRVLTSRDVAGRLAGRRCGPTRRGKPARPHLHLARSCGAPAFFFPLQPLLSMDTRRRRGSRPLPCHGHARRRIAKLAEASAMASPTARYCAPSKLAFVDVQDDEARHGHAVDGGKTKLVDIVSRMAGQSTYEDAKNLKVLSLHDMNIFGVIPHSIGNLSSLRELYLDWKSFIGGLPWSLNNLTYLTMLSCDDSGLSGRVPSFTSLNRLESISLSNNNLTGLLSHLRQHQTSVILMVFHGHDEGATIRNMTLGVRSSDLIFVVPLE >Et_2B_021122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26693768:26698547:1 gene:Et_2B_021122 transcript:Et_2B_021122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALATAVAGVLLALAAVAGADTDAADVAALGNLFTSWNSPSQLAGWSAGGGDPCGAAWMGVTCSGSAVTSLKLSGVGLNGTLGYQLSNLAALKTMDLSDNNFHDPIPYQLPANLSYMNLARNNLSGNLPYSISNMVSLEYLNLSHNSLFQEIGEIFGNLKSLSELDVSFNNLTGNLPISMGSLSKLSSLYMQNNQFSGTIDALSNLSLATLNIANNNFSGMIPQQFSSIPNLIVAGNSFSNMPASPPLAITPPENPGNPQGPVSAPASPEILIDQDKKQGPHTGPLIGIAVGSIAAASCVLFTLVFCLHKSGKRNDDSNSEPKDIVSSLEVNIERAPNVEIRNNNHQNTAVTTSVLQPAGKNTPERVHGTNGSTAKKAKIPVTATSYTVAALQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSAALSLYEEDSFLEVVSNISRLRHPNIVSLTGYCIEHGQRLLVYEYIGNGTLHDILHFSDGMSKNLTWNTRVRIALGTARALEYLHEVCLPPIVQRNLKSSNILLDEEYSPHLSDCGLAALSPNPEREISSEMIGSFGYSAPEFAMSGTYTVKSDVYSFGVVMLELLTGRKPLDSSRERSEQSLVRWATPQLHDIDLLAKMVDPALDGMYPAKSLSRFADIIAICVQPEPEFRPPMSEVVQQLVRMMQRASIVRRHSDDLGFSYRMPTERDGGASDIV >Et_10A_001940.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:13380080:13380394:-1 gene:Et_10A_001940 transcript:Et_10A_001940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLGILHRDLKPDNILADGHGDVKICDIGLSRPTSSGGAPPYTSAVVTLWYRAPELILGSTEYDAGVDTWALGCVMAEPLSGVCYLQRRLLQHQVFQGLLRQS >Et_8B_060657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4075962:4076505:-1 gene:Et_8B_060657 transcript:Et_8B_060657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAAAAAAVFLVAFLGLLLQAACLPRLQASPLSSSAQQVRVVLLTTGAAAATAREEKVRLGSSPPSCRGKCYECSPCTAVQVPTMSSASPSGPVSSAAAVAARRGARLRTRAEVVPLVAALSNYKPVGWKCQCRDRVYEP >Et_5A_042205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7404116:7406790:1 gene:Et_5A_042205 transcript:Et_5A_042205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLNHPESTSATSTPRAGDGAVNGGNGLHPPTPAPAAAPPPAQAIANGGPQVRLMCSFGGRILPRPGDRQLRYVGGETRIVAFPRAATSFATLVAALAKVAPALFAPGAARPALKYQLPQDDLDSLISVSSDDDVDHLMDELDRLHDLTAAVAKPPRLRVFIFAPEIHAGAFGSVLSGTGGEAASDEWFVDALNAPAPHPIERGRSEASSIISEMPDYLFGLDTASDEPSPGTTATRNKSDSETANGDDDAPAPPPGENESFYSSSVASSWPPPPPPYMAQPVYYFPVPPVHYPSAQGGGYMPRPVYHIVGGGGSEAPGDLHPAAGGMGGVYGVPHPMQPYPPIMYAPPRPIVYAVEGKPPAEGGVHSSQASNRNIVPFSNGSLVDWDAACVLPFLLPPRVVL >Et_6B_048788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13715489:13721475:1 gene:Et_6B_048788 transcript:Et_6B_048788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTSAKFLVLVTCNFILVASALQQPKLAYDNVAMLISPSLLSLEYLEYLDLSSNSLASPNYSIPDFLGSMKNLRHLDLSNMNFSDRVPSFLGNLSYLEYLDLSGSFWEWSNLSYFPEFMSSMKNLRHLDLSDMPFTGTIPSFLGNLSNLHYLDLWGSYFYGSVPPQPGNLSNLQHLSLGAWSQNLYSTDISWLSHLRLLESLDMSCIYLSTIIDWPQVLNTLPSLNMLYLESCLLQSANQSFTHLNLTNLEMLDISYNHFDHSVASCWFWNLTSIEVLDLSGTYLYGPFPTALGHMRSLKSLSFDGNGNTAMMQVDLKDLCALEALSLDESLSSGNITELVEKLPRCSSNKLQYLTLEGNNMTGSLPDRLSHLTSLVILDLSNNSITGVLPPGIGNWTSLWLVDLSNNHITGAIPPGIHLTVGSTPLGPLDLCFGSIDLSNNHLTGAIPSEIGNQTCLRYLDLSVNHLSGVIPLGLGNLTNLETLILHSNQLTGQMPSLPRSLVMLDISTNLLSGPLPQDIRAPYIKVLTLFSNQISGHIPRSICGFQNMHVLDLSNNFMEGKLPKCFRMPNMVFLLMNNNRFSGMLPPSLQSCSSLAFVDLAWNNFCGTLPLWIGDLMNLQFLQMSHNMFYGDIPASITNLRRLQHLNLASNNLSGGIPWMLSNLTAMTKNHWKKRGVNMSRWYRKRVGEFEEVIPVVSKS >Et_2A_014658.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32526060:32527716:1 gene:Et_2A_014658 transcript:Et_2A_014658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTFPALKNIQKALDEAVVGGTVKAVVPLNADVYVSPDDKPSSGAFRPDINDLMTDIVKFLHDHGAPFVVNIYPFLSLALSDDFPFDFAFFDGGRNIQDKGGISYTNVFDANYDTLVHALKKAGVPNLKIVVGEAGWPTDGEKNANVKLARRFYDGLLEKLAKNQGTPLRPGKLEVYLFGLFDEDLKSIAPGDFERHWGILTYDGKPKFPIDLTGQGHDKLLAAVPDVKYLPSQWCVFDDKAKDQSNKLPGNIQYACASGDCTALGYGSSCNDLDEKSNISYAFNMYFQMQDQDVRACDFDGLAKVTDKNASTRGCLFPIQIVSAGGR >Et_4B_038656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3711728:3716434:-1 gene:Et_4B_038656 transcript:Et_4B_038656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGLGNPLLGLEKLSSEEVDLENLPLEDVFEQLNTSRGGLSSGDAAERLQLFGPNRLEGKRENKILKFLSFMWNPLSWVMEAAAVMALILANGGSQGPDWEDFLGIVCLLIINSTISFLEENNADNAASALMARLALKTKVLRDGQWQGLDASILIPGDIISIKLGDIVPADVRLLEGDPLKVDQSALTGESLPVTKRTGDLVFSGSTCKNGEIEAIVIATGIRSFFGKAAHLVDSTEVVGHFQKVLACIGNFCICSIVIGVILEVIIMFPVQHRSYRNGINNVLVLLIGGIPIAMPTVLSVTLAIGSHHLSQQGAITKRMTAIEEMAGMDVLCCDKTGTLTLNRLTVDKNLIEVFNTEMDKDMVILLAARASRVENQDAIDMAIINMLADSREARNNVSEVHFLPFNPTDKRTAITYLDSNGNWFRVSKGAPEQILNLCYNKDDIAGRVQIVVDEFAERGLRSLAVAYQEIPAKSRHSPGGPWILCGLLPLFDPPRHDSADTIRRALDLGVCVKMITGDHLAIAKETGRRLGMGTNMHPSASLFGRHNGEDAAAVPVDELVEKADGFAGVFPEHKYEIVKILQEKGHICGMTGDGVNDAPALKKADIGIAVSDATDAARGAADIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVVGFALLALIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSRRPDQWKLKEIFATGVVMGTYLALVTLLFYWGVTRTTFFEIHFKVKSLKEDVEKISSAMYLQVSIISQALIFVTRSRGLSFLDRPGFLLICAFVVAQLVATLVAVYATIGFASISAIGWHWAGVIWLYSLVFYVPLDFIKITVQYILSGKAWNLLFDRKTAFTRKRDFGKEDREVKWALSQRDVQRRAFSDHLLSSTMPSSRIADQAKRRAEIARLGERHALRAHVESVMRLKRVNSHIIRTAQTV >Et_1A_004974.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:20824461:20824736:-1 gene:Et_1A_004974 transcript:Et_1A_004974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVGAPRHREAAARERDQLHRQRHVVLAVRRLLDYPPQRRAHGGEVQAGQGHAIGLPDHELRALVVAAHLGEAGGRARRDAAGEREEAV >Et_8B_060773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6943644:6951371:-1 gene:Et_8B_060773 transcript:Et_8B_060773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVKMAEGLPSLWEELPPDILGLAPSVPRRPCPRQRCLPLRDGGLVNLHGGPVRCAPILRGGFFSYIAFDNLAFLVDRDGKCSLMKPISGLRDSLFLPCAEPLMDLEPMVSLTTKRDTSRPSSPRRLIRQRILSVGISACKQHDVISISTPDPKRPEGRLKIGDIAFLHEKLYALTQHEGLYVIKLDAGRLSELKSLSCFHQCIPEDPKQQEIYNSNPQCTNSYESYPEYLVMRYLAESNGRLLMIWRWMNITPKTRLGGHHESSCFGYKEANGVQLADFKNSFKQPNASPRSSTAATREALSKTDIMVIFAAMAFSFLRLVGGLVKEFGSVKGSLWERINCAIMDVGYSGWSSLCCLIVIPTLALSTWTMRRSGWHWCDSCESGGMEGERSAIDLDHSIKPNS >Et_7A_052106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4752830:4758961:1 gene:Et_7A_052106 transcript:Et_7A_052106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPGGGGAGPSRWPPEEEVRAGGIAPMRLERGDARVELRPANCNCGVPCAWTPGARTAPPPVGRGGRATADLVIRFALVLVYVRKKVAEISGRDEKEVRVAACPYRICPLGAHIDHQGGIVTAMTINHGVILGFVPSNDSEVVLQSGQFEGVIQFRVDELQKPIDNPENINWESYPRGAAYALQNNGYDLKKGIIGYISGVKGLDSSGLSSSAAVGIAYLLALENVNDLIILPVDNIQLDKSIENKYLGLENGILDPSAILLSRYGYLTLMDCKTASPSYVCFSEMSKSQQPPGLLPFKILLAFSGLQHNLPKKRGYNTRVFECKEAALDPAVYEAQKYILDENLSRRAEHYFSEMKRVAKGRDAWARGNLQEFGQLVSASGRSSILNYECGSKEMIQLYEILLKAPGVLGARFSGAGFRGCCLAIVEPDRAVEAAEFVRAEYEKAQPELVCKIPADRRVLVCEPGDSARVILPDHRS >Et_6B_049938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18915346:18916848:1 gene:Et_6B_049938 transcript:Et_6B_049938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQSSEDKRHVDLSTQNENRRPVPPDAFCTENTEALSVHKTHTTGVVISSSIIPAQVATVRGFTVGSILLGDVSLASVNHEEKTVAKEVHDTVNGRASPQQKMQSEKDQHGVQHAKLPYRNESVMHTPVEEASKKKQSEVNGLNCTAIHAPAKRSEKPACEEQHLQNLGPIPMAENHTTPETDSCGKEALDISTATKAEAQTEAGNRNDRLPEKKNRKDKKTSRHSGRSSTPRYQELSVKAQQVESKTHVGTEYLAQPTRTVLLPDDTWKMHREGQGNPQSNYTSYIGTRPPVPTAPLLPQPVFRPQVNALVNGVPGWTWDLDEGILMSDMENLSGLGTATVGRSSGELDQNVFSSGAVDLYGGQVVGDMAYQAANPEIQYNTGLEHQQLSPAWHLIAQHNGMHHGGSGTGVYWPMMERYAGMQTHVANYTSRPVGGLRWVESQLAPAASSGVTYGWTQGHPGSNLTAPQWE >Et_8B_059096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13904733:13908151:-1 gene:Et_8B_059096 transcript:Et_8B_059096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVFTRLFSSVFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVTAKEEFHAILEEDELKGAVVLVYANKQDLPGALDDAAITESLELHKIKSRQWAIFKTSAIKGEGLFEGLDWLSNTLKSGSS >Et_8A_058139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2177430:2185390:-1 gene:Et_8A_058139 transcript:Et_8A_058139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAISLLISHLLTLQKEREMQGKQTPMMHQSLLSMVNPVLSPIYGAPSAPMSAPVSLSGGGASALLGGGQGVDMDFMFSKRLTKSDVGKLNRLLIPRKEAERFFPTALGAMGGDALPFLIFEDSTGVTWHFRYTFWQSSKTFVLTKGWIRFVKEKKLSDGDTVFFYQRTGEDSKTMHRYIYFKKQDHISFVPHDVPPPSGTLLRKALISSSHYTTNLGWKPVSSRSMGATPANMPILSPSFVPQVPTLGSGLGPAKKRLRLFGVEINIPPHITTDETFPHHAGSNNGGS >Et_3B_027511.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23644300:23644977:1 gene:Et_3B_027511 transcript:Et_3B_027511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVILSSDPSSGNCIVVTLHLLEGIIFCTRVGDSQWTCLDVDHRCCRYHDVFYSSYHNLFYAIRQNGDVDSFDLSGPSPVFNIVIQTGIRRIDNRKYIVRSDWGDLLQVWRYHKYVGDDPRTDTMVVYKIDLVEKKLVKVKDLQGYALFMGLTGAFFLHVKDFPMLAPDNIYYTDDYVEWIFFNRVGFREIGAFSLKDGSFTDLLRLDSRLNWPPPVWIRPSCPG >Et_4A_034743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:651228:655773:-1 gene:Et_4A_034743 transcript:Et_4A_034743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSGQRSRRRRRAHTAEEAAATLRKAWCRLRLSARDPARVPPWDAVVLTAASPEQAALYNRQLARARSLGRFPDSTAAIAVPDPDGARIGSGAATLHAVASLTRHLASQASKEEIGEFLIESNGCSGDECSLAAAASFMAKKHVLLLHAGGDSKRVPWANPMGKAFLPLPYLAGDNPDGPVPLLFDHIIAISSSARQAFKNQGGLFIMTGDVLPCFDASNLVLPDDAACVVTVPTTLDVAANHGVIVAAKDGAEQDNYSLCLVDNLLQKPTLNELTEGQAILDDGRALLDTGIIAARGKAWQDLVTLAHSSSQTMIKELMTNLVAAWVPAKHEWLRNRPLGNELIAALGSQRMFSFCSYDFSFLHFGTSAEVLDHLAGSYSGLVGRRHMCSLPETTACDIAATSIILSSRISAGVSVGEDSLVYDSSFCGRVRIGSQCIIVGVNLHEIQRISRSFILPDRHCLWEVPLVDSMGRVLVYCGIHDNPKVPIEKDGTFCGKPWSNILEDLRIQDTDLWNSAKQDKCLWNARLFPVMSLPEMLNVGMWLMGSACDPDGEVASQWRKSQRVSLEELHRAIDYNQMCMDASKHQSNLAADIAKACINYGLLGRNLFQLCKEMLQEDTCLEVCKELLSFLPSHEDQFPGVLPQSRGYQVKMDLLKASGDSSTACLVEEKVWTSIASETASAIKYGRKDPSSHSLSSNSDKLHPRRAFVELPVRVDFVGGWSDTPPWSLERPGCVLNMAINLEGRLPVGATIETTDDHLGVLIEDDADRNVYIDDLISISSPFKENDPFRLVKSALVVTGALGHKILSRSGLSIRTWANVPRGSGLGTSSILAAAVVKGLFQLMEDDGSDDNVARAVLVVEQIMGTGGGWQDQIGGLYPGIKCTHSFPGQPLRLQVVPLLTSPQMVQELERRLLVVFTGQVRLAHQVLQKVVTRYLRRDNILISSIKRLAELAKIGREALMNSEIDELGGIMLEAWRLHQELDPFCSNKFVDELFAFADPYCCGYKLVGAGGGGFALMLAKNLSCAKELRQALEESATFDVKVYDWNIAMPR >Et_3B_027976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29378397:29378933:1 gene:Et_3B_027976 transcript:Et_3B_027976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRATGSGEERPRGYRGVRRRRWGKWVSEIRVPGTRERLWLGSYATPEAAAVAHDTAVYFLRGGGGGGGDVAALNFPERAAAAYGGHAAARSLSPRSVQRVASDAGMAADAQLVAARDSAAAPGPAAAWHAHSSAFGHARDGASAGMHDQHAASNNSTSAGREDSVS >Et_2A_018104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11129271:11132148:-1 gene:Et_2A_018104 transcript:Et_2A_018104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAERPKQLRGLRQESRRFRMMVIVAGFFLVSLTFVLVSKPDAILFNLNGKLPVDQAPTNIVIQQKVNSPPAAASRKTPTDALRGDPRVVDDDVDAKPKGTKGEEEESRVLSEPDPTSGMTEPNKDGRKSDEAASVGGDGKTKATAEEEREHAAKDQHKVTLPTVSNYTIHDAEDTEAAKQDGATNDQQGSKPLCDFSNFRANVCDMRGDVIVHPNATSILYMEPAGSQRDELWKIKPYPRKGDEFCLSHITELTVKSSKVAPECTKYHDVPAVIFSLTGYTGNLFHDFTDVMVPLFTTASEFNGEVQFLITDMALWWTIKYHTVLQKLSNYPIIDFSKDDQVHCVKRVIVGLHAYMEFTIDSSKAPHHLSMVDFNRFMRGAYSLGRDTVTVLGEYPKVKPRLLIIKRHRTRMFLNLDEIIAMAEELGFEVVIDEANVSSDISRFARVVNSVDVMMGVHGAGLTNCVFLPQNATLIQIVPWGGLEWVSRTDFGNPSELMGLRYKQYSIGIDESSLTDQYPRDHEIFKNPISFHKRGFDFIRQTFMDKQNVKLDCKRFKPILLEALNNLNP >Et_4B_039476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1813471:1818733:-1 gene:Et_4B_039476 transcript:Et_4B_039476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRDWRQGGGQCWRQRKVSMEAGGGDGVGLGNPGLFERALEYVGFDYRSNILWDAYIEFEKSQEHLASIFLRALQTPTDNLDAYLKGLHELVAKANTNFDVEGPVESRTSDLIADGQHKHAESQKYRSEIINFERKITKYHFDMTPLVNSQISNWHQYLDFTEKLGKPKRVINLYERCLITCALYSEFWMRYAQYLSDNGDAESALKVLERGISQFVKREPEILLFRVRFMEFHEKFHEARKEYQRIYLDVYPGWLESIVAHASMELRLGDTKSACAVYERAIANEKEKESSYLLPELFLEYAKFLLLAVIHLESASPEARAEFLDSIVNDFITRSEVVSHSDREEISSEFIKVLDRIGSARLLGKALTRHRYLFVYKRATISPSNKRNPVQGLTSGRDKILKTDAAASSHFPSEILHSNQDHPCVSEMCSKTGGGQPVHSQAQMTNREASYVKPKASSSAPKEVELKSGGFFFNCVVNSSSTTSGPATDSASCPCPGRAA >Et_8B_060524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:285193:286110:-1 gene:Et_8B_060524 transcript:Et_8B_060524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSADMERIFKRFDANNDGKISLAELTDALRTLGSTSADEVQRMMAEIDTDGDGFIDFDEFISFCNANPGLMKDVAKPGSSTLLLQHQYSPCSPVK >Et_6A_046221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:96583:98184:-1 gene:Et_6A_046221 transcript:Et_6A_046221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TIQGATQRRFLQHWHQHDSPSSSLKSGGNTQSDTSSKHSGLEHEASKSFKLPHWAIYTLAISGAVLLVIIITTSLYLSSEQRSYRHAMVYWTEWATQKSLRDRQVTYQLNLMCKQQIQTKTEQFQFKLKLPYLMDRAELETACEDFINVIGTSSDCTWYKGTLSSGVEIAVVSGSVKSTEDWSDRLEDQFRNKISVLSRVNHKNFMNLLGYCTCDEPFTRMMVLEYAPCGSLFEHLHIREAEDLDWPTRLRIIMGVAYCLEHMSQLDPSVMPTNLSSSSIYLTEDYAAKISDNEFWKDDKDAAMRNSSTDQQSIVYRFGILLLEVISGRLPFSEDHGLLVLWASSYLNGKRPLAAMADPTLRSSVPDKDIAALCDVVRACTNREKEKRPAMAEVARMMRGVTALSPEQATPRDNPLWWAELEIASSETG >Et_2A_015060.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28245821:28245958:1 gene:Et_2A_015060 transcript:Et_2A_015060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFSKDLEVCLKLQELTSPAWRLAWAWTKVHDESFHVHRYFSEW >Et_1A_006316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20623756:20624338:1 gene:Et_1A_006316 transcript:Et_1A_006316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLAFLPSSSPPMRDFTARSSSEEFTQLSLVLSVFIRHPYQTPQRMRCSAAPRKAATAPWAHQTTGSPGPSSSHSASRTPQRGRGPESLLLWSRGWPHEPLASRSLQETFREQLLDVGVSGVLSAGFGSPPQHPEETVPAALKPICHLTNLGWRKAPHATEAQQHDRRRWLGVQPPHTLVRLRGGWLER >Et_6B_048934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15736196:15737114:-1 gene:Et_6B_048934 transcript:Et_6B_048934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVRSIVFSHGFNQIFDLQSFKFLHVLELQDCKLSQGYSLKYLGSLFHLRYLNLCCTWIDQLPKEVENLQFLKILNLQCTKISHLPLNVVRQKYLLCLLVNWDTIVPNGIWSFRSLEELAWLRIDDESTNHMEELGLLTELRVLGIVFQTHKWNNQLGESLSKLRKIQKLLFRDSSDGQHNIGGLDAWVAPPYLRDLETRRFCLFSRLPAWMNNPSHLPNLLNLSIAIKEIKQEYVEIRGCQLSFETGEKIPSQCRWPHQINFRNTLI >Et_1B_014254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4333231:4336043:-1 gene:Et_1B_014254 transcript:Et_1B_014254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVSLLLHSSPARPHHRAEPPSRLLCSSYQRALLLPHAVPTATTPRPRLLAAPPRASNNNDNSGAVEAPDRLVAAVAYLYPFLDGAHHGRFLLAQFPVFTALLRPLDPAARLFHSSPLTPFLLFLTLYFAVVRNQQAFSRFVRFNAMQAVVLDVLLIFPDLLQQSFAPSSATGVGFEIFQSMESTVFLFLLVSLVYGGGSCLLGKTPRLPIVADAAERQI >Et_7A_050246.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15149683:15149979:-1 gene:Et_7A_050246 transcript:Et_7A_050246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSPAGASRPSPAHFSSAAVALRLARPSRKMRFRRSSSSSGRPSSSSPSGMWQRVTTMGSGSVDSVEKGPRRARMERRAEDRVARAAARRSTAAIS >Et_6B_050066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5242392:5243063:1 gene:Et_6B_050066 transcript:Et_6B_050066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAWWKSSQPAGTRPTRTHPVIAKTDPDDLDLTRLLDRPRRRINVDRQRSFDDDRLSDPEDDFEPTRLLKHFDLMWLLNHENEWDSQSDDSTFSPVGVTDTPASSARLSFEPHPPIVGGERRQDRHQMELPQRGCCSGCSPRRLEMERRTIGKQARKFQSTWSIAGLPGGQDDDGGPVPSPLRKTRR >Et_9A_061975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18484317:18487873:-1 gene:Et_9A_061975 transcript:Et_9A_061975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSAIRSALSRALLSPATRCSRYYATAAAVGETQPERVAAEMVRYALGGTAHWSAPEEAMRILEQGASNLQGGSEGSAEAVNMLMLAMSTLLYRSGRRQDAIEKLKATQQVAPSAAFRVAAWESLMGLLMEAGQDISSSMSPNDSVDLSIKDDDSEWHDQEHLKFRVNAMKGLVALLNGETDSAAQLFVDGCRDFAGGKNHTENAALSYGEYLHCVGDFPMATQVYERVLEAISMEDMSRNLLAAGNMVPEEVSIGATCSYGQLLSHSGKFGEAEDYLTRALQKAEEQFGGNHPKVGIVLTCVARMYKVKAKSEGSSSVMVQEGLYRKALEVLKAPAINSEGTSKQMDWRDIIALARGEYAELLLIQSNRKAEGERMKEWAEDAWRNRRLTLAQALEFSEPSKPTVVVDSRIGRVV >Et_2B_022447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22193526:22204358:1 gene:Et_2B_022447 transcript:Et_2B_022447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGCAGGDGGEGMLARWRRAAAKRIGLSCASFFSHEASPSPPSKTISCSAVNAPADSSDGEQKKLEEPTSTRMADKNLCAICLELLGTSSSDVDNGERPAIFTAQCSHSFHFLCIASNIRHGNVSCPICRAQWSELPRDLKVPPLLHNQSDPILRILDDSIASSRVNRRSSIRAARYNDDDPVEPYTLTEHVDPCLRFALIPAPVAAHHHVLGHYPCGRLLPLQQHCQYSSSSMLSPPQIASPSGQRRAYLSISLAPQPAMDLVLVASPNGPHLRLLKQAMALVVFSMRAIDRLAIVTNATTATRAFPLRRMTSHGKRVALQVIEHLCCVGGTDPVGALHKGLKILEDRAHQNPSNCILHLSDHPVRNCLGVDMNLSNIPVHQFHVGLGFAVQSGFIMHEFEELLARLLGGVIGDTQLRIGEHGGMVRLGELRGGEERRIPLDLVSDCGFILVGYSYLEGGREDQLRTGEVAVGFEEKSGSGHSGTREMGLSIGGGERRSCCVDRRDYHDPFMARRWAKHFNVYRASSTKPKQGGREGGREKGP >Et_9A_061939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18095951:18112551:1 gene:Et_9A_061939 transcript:Et_9A_061939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADATTAMTIDFLRARLLSERSVSRAAKERADQLAMRVAELEEQLRTVTAQRRKAERAAAEVLAILDFQGFGRVSDATDDSGSDADAEGPGAAEVGRGGGNARGEAPEDAMSGSELGVQGAAAAQAGGLSWKGCAVGPDWDRRRPHQQQQQKGRHLRQQRHGNGHRRDYFYSRTTDSSPKYHPGQSCRKMKKKELRSQTTGWEGKEDVAGESAEEGQERSDCTVCTDEPDLVGEVSQDGQNSSSNERVEDGRDRYDMDCGKDGEMERVLEKQAELIGQYEAEENAQREWEKKFSESRDSTVDSVNLCNKLDQTKGAYGKKETAQIMDQKATATDYSRNGELQVRKDVLATKSYPETVEITSNKLENSAPSHQGICDSSQNAEDNKGQRNGNSVSCSIYNANAHSFEQNMNTPSVGSPSSDTPKSEVSEWSSSCFHNHTDNLLDMQMYQSSSNDVGGVLEALQRAKMSLREKLSRPSPPRQNLLALPAPEDRFTEDDFPVNEMQLSVSRSTLLSQDMLALEAPAYYLNRIVPQDNTNIQVGHTGLFRVPTDSFPTNWMASSDGCGSRFSVTGATATQFNASPCYAVNHIGSTTPFSRYGSGCYPHSSMLLPVPSAGGCSIPESDFRIEGASFLPETRRPRNDLIRGEIPSGDAGMLFQQPAFVTFGPPDRKSCFLVKSRGGGSAVFPIRRFQTLVVSKSKSTLARGRCLLTTCQGLSYGTTIPPPYGTREFDRPYVPPNEIRAVPVQPALLIQPLSRKNFEHEQVSQCCGGVSWPSTHDFPAFLVPQAQSPDFFELMQFFEQQFLFQQRWTDY >Et_5A_042923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:716797:718208:-1 gene:Et_5A_042923 transcript:Et_5A_042923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSVINQHGGEEEQQQQQQLVLPPGFRFHPTDEEVISSYLLRKAFDRFFSCVVIADVNLNNCEPWDLPKMAKMGEKEWYFFCHKDRKYPTGTRTNRATASGYWKATGKDKEIFRGRGVLVGMKKTLVFYLGRAPRGEKTPWVMHEFRLEGKLPPNLPRAAKDEWAVCRVFNKDLAAKPGMMERSISQTFIPDDLTDLIDDLPPLEDPSFGDFKGAPGAGSSNTSGAVAGGMSSGGGYHHQIIKTEQPAAAPQNPPPPMPQELFFTMPAASNYLQPQTGRPGTHQSAIRSHCPANAPALPIPSRGEDLAPARPQQQQLYDPVLDADLFPFPDGFTDYSTMWNA >Et_4A_033612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2583801:2583989:-1 gene:Et_4A_033612 transcript:Et_4A_033612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFFSSASISTCSTLDMSAAACVAFLATSAVYRAVSGIFIRQYIAGTAPSMRMMRHT >Et_9B_064642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17273630:17275761:-1 gene:Et_9B_064642 transcript:Et_9B_064642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQGTIQRSATFVEDHRHSSSSGGGSPSPAIASPRATRFADDSRRPERSSALAAQAMLASSSAALGGALPAFGERRHSAAAAAASSRATDPVQDPVTQLLTSTTNLNDEGSKYDLELSKKDDARHGFWGLVARKAKVMLDENGTPRESQQSSQSRWSYDRVQSSSESPMSRRGSEGKLDIGGKIKNVLEKEGLAVAESREAVSPAVRRLQIRRKACSMDLRGANLSGLASPDMSPMMSDTESPQIKASRDVANAMAAKVKLLQREMKTVKADLAFSKERCAQLEEENRLLRDGNHDADEDLIRQQLETLLGEKARLAHENTVYARENRFLREIVEYHQLNMQDVVNLDDHIEEEYEEDIEGEEEEEYVEHGDGEQEAEQYDDLRASSQSLCVQEDAEHLPLPEVLDTAPLSPSQQTDEAGMLSQNSGSTIANESPRMMNTNSGGATGNESPRMLSTNVGGAIEEETHQSIKDDGSSPERTSDG >Et_2A_015260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1069827:1073372:1 gene:Et_2A_015260 transcript:Et_2A_015260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALGCAGLGERLAAAARDGDMAEARRLLEANPGLARCAAFGSLNSPLHLAAAKGHHEIAALLLENGADVNARNIYGQTALMQACRFGHWEVVQTLLVFRCNVSKVDSLNNRTALHVAAAGGHVKCVRLLLAAVAGDGDSFVNRTASGGLTALHLAALHGHVDCVHLLIDEHADLAAQTLPCVAAPMGSIGAGSTPLHYAAAGGEILVSRGADRTTINCKGWLPVDVARTWGCHWLEHVLSPKSHLPIPKFPPSGYLSSPLASVLDLARECGLSLSTSSECSESVDESEACAVCLERTCDVAAEVCGHELCMKCALDLCSVIKSYDFPGIAGNIPCPLCRRGVASFRKRPASEEPDPDLNRNLCRNSSSSSDGHQTASGLEKKPSMNSDQAILPLYHAPFTPAVVS >Et_7A_051887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2649031:2651680:-1 gene:Et_7A_051887 transcript:Et_7A_051887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSISGELAWTEVARELPGIDMEFAGDQSKRHGRNISSWHCLREAKKSVAKEGKNRSTRDEEEEDRMIVDEEEGDQDSFPSLAPMRYTSGTIPENACCQNVVQIFSVQVTEIKDGLEWPLHVYGYVAVRDLVDHNQNLLFERERNNCQILTQKDSYLLLTGPSRTVVILDPANFEIDLKVKGKTESEDEHNRITFYEKNAFVIHKRCSSKRSTIEVKFAVLLQTVEATVLLDHGQMIFEDKSFAAPPAQVKRILYYLILEMEGCLSPVMEKLKLSRSVVSVELGGELIFRVVASHFGDNSDVARESKAVFKPKVARQSHRTCDLGLCQVDFTVGWSLFTTMEDLRLRKRMLSSK >Et_1B_014279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4957761:4960535:1 gene:Et_1B_014279 transcript:Et_1B_014279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLMPFENNPHAPGTKAGETTASVARPATEDKRGHKICRTCRKKGDHWTFECPDKYLLAPQAQTFLDRSPSADGTTTSATCSVCMQAVDRHEADVLRQRAELQRRRAEAMRRWNGDVATGRNHETSIRVTNLPENTSQLDFYNLAESFRAGIITNTELASDEQTVSSRQYGIVEYAWRQSAEKAISRLNGYCYDGFILKAEWETPEPKQVSISPPICAVCVSDAEGAIRVTNMPENASQSDLYNVAAPFGLIDRVYLAVDEKNGSAGRQSGIVQFVQRKSAEAAITWLDGYIFGGGLTLHAEIATPTDLVVFYAVSN >Et_8A_057526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:448521:450205:1 gene:Et_8A_057526 transcript:Et_8A_057526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRVNLISFLLLLLLMLMQHRLWSSGASDKNKSMVEQLQRYGIIRSPKVAEVMQAIDRGLFVPPEGSPYFDSPMPIGYNATISAPHMHAACLELLEKNLQPGMRALDVGSGTGYLTACFALMVGSEGRAVGVEHIPELVASSIENIKKCAAVPQLNDGSLSIHVADGREGWPELAPYDAIHVGAAAPQIPEALIQQLKPGGRMVIPVGTVFQELKVVDKKLDGSVSIRDETSVRYVPLTSKEAQLHAN >Et_1B_014113.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31199954:31201282:-1 gene:Et_1B_014113 transcript:Et_1B_014113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGNGDEPVREIPEEITDEILLRLPSRSALARAAAACVDFRALVSSPRFLRRHRALHRDPGALLGVFTFNLCDYGADRGAAFHPAEPPHPAAAAASAVAGAADFSFGFLPAAADPPAAGKDGSGWMVRDQRDGRFLLDRASTAAGDDVLFTELAVCDPLSRRYVLLPPVPEDLAAAVVSPLTVFGGRRRSEPFLAPAAAGTDADADADADPSFTVIWTARCPRKVVAFSFSSRDGRWRALPSPDCFVWRRRRSALMCPVDAVWNRRHYAHGRFYWVDCLTNRWLVLDTAAMELSVATIPSPAGYWEEHVAVVEGPDGKVGVFAHDFHHAGGKAKLCYYTIEHDGDAPRWQLEKTIELPWPADRGRPFCVRGAANGCLILEVSDGEPAFMSSHKTRDVELFKIDVKSFQLERVCGARCPAGAAGEYSWPYFGFPPSLALPTV >Et_10B_003191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17450220:17456643:-1 gene:Et_10B_003191 transcript:Et_10B_003191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSNTSDGDKDKGKAKESEPSFKEGDKVLAYHGPLLYEAKVQRIENLDDEWRYFVHYLLTDENIKKRQELKKNQVGDKTVRAGRSAQPKPKGSNDAKGDKDDFKTLVKGKKRKIQPGTEEKEKRSSESLLVSQFPLTLKKQLVDDCEFVTQLGKLVKLPRSPNVDEILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKRERQQYNDEVKGDVSPSTVYGAEHLLRLFVKLPELLAFVNMEEDALNKLQQKLVDILKFLQKNQSAFFLSVYSDGPKTK >Et_1B_012337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3175333:3177764:-1 gene:Et_1B_012337 transcript:Et_1B_012337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLALLGGYSSAEDDETAAGAGPELSESGHSSAEESGSDGDEAPAPPKPASKPRRRPNPKGADAGGGADSSLPSALEAFADVSGPPDFLRHRVAEPEEATEALGVLDRRSKEGSKHPPPGAVVTAKPQLVAIRERVTTNGANPSGSVTSSSVDGKRVIGAANPGPEDAADLLRMCLQCGVPKTYSHGRGMVCPQCGNRPPAQTKEPEKKKGSTVKDKEKVKRMRGQSSHASWKSETEMALRQQFD >Et_1B_009882.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7165706:7166344:1 gene:Et_1B_009882 transcript:Et_1B_009882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGGSSHPSGWYGTDGKAKTPATVGFLCTSEQQLTPQEKEATLLAAGRLAAEYLVARGDLAPHVLENRPPAPIPSRQQPAPQRRTTHFQGRPPAPQQHGRFQWELRPYEPQRRQPWPRPFQGGPGPFPKRPRQGAQQRPFPYAARGPSAPPKPVHAAQGAAASVAASKVEEDDGRSAPAGDKSVSLPTAQTSGVSPGPKPSSSSVGANDSK >Et_4A_032971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16146191:16146693:-1 gene:Et_4A_032971 transcript:Et_4A_032971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMVQHMEAANKTDEIMAWFHPITTVATSSLRQAVVGRTSVASKMTTTSCHRNHLLASVWWPFDVCTTDWRRGPPMPPNLCLFRMGDDDPLVRLSLATSSASSLVVSPNAPTRVVPMKHDLLSKMNMYLRSLRHVPCGLCAPLSALTQATSQGTNQRWASPKRL >Et_2B_020635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22182256:22187820:1 gene:Et_2B_020635 transcript:Et_2B_020635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRRGYGGGRRDRLSSARGDDPRPRFGARDEGPPPPRRASGWGVAPPSRHLWVGGLAPGVTASDLSELFLRCGDVEGIARDPGRSFAFVSFMRVEDAVAAVRELQGARLGGAPVRIEFSKGDKASGIPMDDRYMQYADERHSIECGRKQQPSPEKTVDKSKRNRSTEPSEVLWIGLPHGLKVDEATLWEVFSPFGEIVRITTFPGRTYAFVQYTSISAACRAKEALQGKLFNNPRVSICFSRNEGAAEVGRHTYVPPYSPQPNARRIYEEDFEGFTRALPFDGPSRDFRLSPPQYDTDRLLRDADDVNFRRNNYFHQEPGLELGPISNIQPSRIREQHPERMPEEFYEQLRPSPSVRSDAPWHKIPVDRPRRPFPLKDHWDVEDNSYPVTKKLRGVVHDTELPDYPFSEFGPGKNFSDYPRRPLNDLPEDDLHTRTYQTSYEATRIHDRHQIDSLRNQTPLIDKHEPWHVQDSSARHLGEVDRLTPEYHAPALKEEWSWNGTIAKGGTPICRARCFPVGKVLNFTLPEFLTCTARTSLDMLAKHYYQAAASWVVFFVPENDADMAAYNEFMNYLADKQRAAVCKLGERSTLFLVPPSDFSEQVLRVPGKVSISGVLLKFLQSNPDYSSPNRISLERGPPSSSSHLKTDMSSHEDLDSLRRLNRPEIRAFPQGPDYIGSLAGSYDPTNTAFVPPYKPESASLYAGSQLLQERSPIDSRMGGIAHDKYQQLPNTLPSGWSNNINEPGPDSGNFSSLSQNAMSHVYNRTLELKGTASVYATGEASNSMPWPPVQPKAQEVARPNQPPLPVSLPQDQLAQLTARLAQQNQPGKEVPIDSSNKQFEFVQNSNPHGHAIVMTGCSSGSGSIPIQNSLPPVPPSMPQFQVHAPPIQGSLLPNPPIPRPANAPILSSTSFAVPPMHAPMNPPHSSMPLGSFVPPLPEGPPPHQQHTSSAPVQPVVPSGQQPSQQLTSQEELDGDPQKRLQATLHLAATLLKQIQQQSNPGTKK >Et_4B_036670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10244891:10247563:1 gene:Et_4B_036670 transcript:Et_4B_036670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NQNASVFSELTNKKIGVHGVHSCCKDWVRLECLFQRFEILSSLAHLIRPVSAYHLGMSIHSLVEQLKMYHQQQLQSHGHFLSSRQTYPQERHLLLQGGSVPAEPGLVLSTDAKPRLKWTPELHERFVEAVNQLGGPDKATPKTIMRLMGVPGLTLYHLKSHLQKYRLSKNVHAQANGGNAKNVSCAMAMEKPPEGNGSPVSHLNLGSQTNKSVHIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLAKQNAGSVGLETAKMQLSELVSKVSTECLQHALTGFEEIEGSQMLQGVQLGDGSVDSCLTACDGPQKDHDILSISLSAHKGKQIGGMAFDQMAKERVSEDLFLDKLSRTPPSHQERRERRDEFSMSCQAANLDLNINDTNDGPKNSKKLDLNGFSWA >Et_7A_050449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15847472:15847723:1 gene:Et_7A_050449 transcript:Et_7A_050449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPSCNQIHRPLLRFVMIRSDHDEGWQKDEEARQNKKKIMLNSPIRVLTAVLAPILSLFVECLFVQPSI >Et_4B_037007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13443218:13448602:-1 gene:Et_4B_037007 transcript:Et_4B_037007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSATRLTLVLCAAWVATLLYGEAGSYWASYLACSWPSSSPSRSSMETKKWWIYPNIFYLTILFLVSTFHGQNDHVKIAVVADPQLMDSTSLGLPASSIALQAAEFYTDLNMKRSFQSVVLPFNPDVVLFLGDHFDGGPYMSDEEWQESLSRFKHIFSLNEQRTKPHVPVYYLSGNHDIGYSAFHSVHSEVLSRYEKEFGSRNYKFSAGRVDFVVVDAQTLDGVKQNKERSSSWDFIKSLSPGNASNPTVLLTHIPLYRPNNTPCGPHHTSPVINQRVSYAAGHQGITYQNYLSKETSDLLLSTLKPVLVLSGHDHDQCTVVHSTPFGPVTEHTLGTISWQQGNLYPSFMLLSAGPKLSQNSTDPKYEVLTNLCFLPKQTHIYVWYICQFVFTILLLVFWPTNGLSSLPYANELVNLMRLVGTELFSRTKEKDDEDDGEYEMVWDAEGSMHLVKKAVAKAPSASSDSRTIGRGSVVARAAVRKQHQLEPDSSVFVEMSSEMASEDGGKLSRPSKSKIRKVLQRMFRVIQSIIVIAALNVPLYMMLLFKDWIDR >Et_9B_066005.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:20274390:20274926:1 gene:Et_9B_066005 transcript:Et_9B_066005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFLSCTLAKVPGGGRCARVILPDGVVRQVALPATAAELMMDAPGHFLADARAARLGARLAALAADEELELGAVYAAFPMKRLATPIAAPDVARLAAAATREARRSAKVANVAAAASAEATVVVAAEEEAPRLRLEEMVDDAAAAEIGVLKHRLSNARSRKPTLETIQEENYMASRA >Et_2B_021953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7206676:7211720:-1 gene:Et_2B_021953 transcript:Et_2B_021953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDLRQAVPGHGQTASDEVSVVVVSDDGGSTAEHKEWLKEMRGWLIVLATLAASVTYSAGLNPPGGFWQDDKDHHVPGNPVLHDGEFVKRYLTFYYFNATAFATSLVIIVLLLNERFYASEAKVAALTLTTMVDLMSLVGAYIAGSTRDMPNSIYIIVLTCFLFVCTVYFARYILNSPQRFLYRCTYAPHAVHEILAFTCPGPTVLPNLCFIVLFMAPPLFWLANKGWLPVTGHMKQRVKKAKEREQEEEKKQSAEGNPKAQKMRCYCCSCGRAYKYDAGLNPPGGFWQADDNEGHRAGNPALRDENRSRYLVFYYFNATAFVTSLVIMVLLMSERFYHTEAKVLALLFTTFIDLASLVGAYIAGTTRYFSCIYIIVITCVAFVGVIYIGEVMAEICSFVMMAFPCMETLAQKNWCPIPKGAVEKAKKMKAERQQRRAEKKRNTGTCSSCCAQPEVDGEWGINLQSVPLQGQISDERASSATAQQMSRGSLRLQDDDSFGKTQLQEMRAWMTLLATITASVTYQAGLNPPGGFWQADDSQGHHAGNPVLRDQHWLRYQAFYYLNATSFVTSLAMIMVLVSDRFFSRQRKVCTLVVTACIVVASLIGAYAAGSTLSNSNTIFMIIITCIAFVGVIYLGEYVPLSFNGAGRNILFRHDRVSLHGSAGAEKMLSDKHHSRYLIFYYFNATAFVTSLVLMVLLMSERFYHTEAKVMVVALTIFVDFVSLVGAFIAGTTRHPSSCIYIIVLSCVAFVGIIYMGELMVDICSVVMVAFPCMEPLAQKNWCPVPWGTVAHAKQIKADMQRRRAQRRNTGTCCSCCAPPDVAA >Et_2B_022857.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6859792:6860946:1 gene:Et_2B_022857 transcript:Et_2B_022857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSYGKQHPSSPWPDLPLELAGLVLCRLLSHADRLSFMSVCRPWRLAGQHHRPLPPALPWLLHLHDRFFQSLDGGGELRLFLKLAKDAHKSSSPRYLDCFGSWLFIARFNDGGSGTRFLINPSTGRTIRVPELRFRSGCTSPRKMIVCSPDHDLVAASSYDSSEVAFYRRGDVSWSVHPSTSSSQLGRYADMAFHRGKLYVLTTKEDLLVQGVADDAAAAAATGVSRACRRVIKGRKDQVATRLYLIAATCGKLLMVKIICRIGSSSLDQASCNKFVENVVFKVLEADLVTGRWLEVKALDCGQALFVGRGGSKAVTLTGHDDIFQGNRVYFTGDDLALCLPLGKDVPSYGFYDLTSGTFGQVFLKTKGYSGMYRSQWFSHCT >Et_6A_046791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20664936:20672643:1 gene:Et_6A_046791 transcript:Et_6A_046791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPDNSVSHTEMRWCAVTGGRGFMARHLVAVLLRSGEWRVRVTDLASTVELGRGETEELLGSGLRKGRAVYVSADVCNLDQLVKAFEGVDVVFHTAAADPSKNNLQLHYKVNVDGTKNVIDACKICKVKRLICTSSSGVVFDGVHELIDVDETMPYPDKFPDAYAKTKAEAEKLVLKANGFSELLTCCIRPGTIFGPGDIVVPHMDRYGRLLMIVGDGKNCDDFVYVENVVQGHLCAEKALSTKEGAKASGGKAYFITNMEPMNMWGFLSMIQEELGHKRPFKIRIPSLIITPAGYALEWVYKAMNYFGMNQPQLLTPARIKYATNNRTFSCNRAVEELGYQPIVTLKDGLKIAVQSYLDLKEQISLEEKVNEDEDWVGWG >Et_5B_043140.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:10431745:10431834:1 gene:Et_5B_043140 transcript:Et_5B_043140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKEVCHEIKEECWANCQPKLPPQQVKR >Et_3B_031084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14478592:14502624:-1 gene:Et_3B_031084 transcript:Et_3B_031084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRGESSSNRGDRNVGWRDQGKIYPYIEHQMVDICPTNGIKNYVNATFTTMSRIRMNQRRGGGGNEVVSSANVLANAESSVIMMLSNNVGYVNQLSDSDSDSFYHGHIHAVRPCNNDFFIEFDREEMPAEWTLDGYAVAVEPNPDTTQELGTALSREVHTEDFTLKCHQMEEKSTRPEILCSPT >Et_5A_041021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16891551:16893804:-1 gene:Et_5A_041021 transcript:Et_5A_041021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAAPPRAKKRRSEAPESPKSENAGSIVPPPATDAPDFNPAGGGGEEDGVDHISGLPDAVLGEVISLLPTMDGVRTQIIASRWRHLWRSAPLNLDGGCPPAANETHAGVVTRVSAHHIHHRAATVDAWLQSPALDNLEELDLCDNRIYGSVMLRPPPPPASAFRFSSSLCVATFSQCLLPDDITQTLQLPQLKKLALQQVSISEGSRHSLIARCPALECLLLDKSYGFRSVRINSASLRCIAVGTYYDRGVIGLREVIIEDAPGLEKFLYLQRRMDLHVTAIGAPKLETLSCLSDYSGTSRLMMGSTIIQVAIAFYSCQHKASMLLFCLQRQGFTDSRSLARILRLDLIIDFMKCFPCLEKLYIQSYQGGGKNLWRRKHRNLIKGLDIRLKTIVLNDYRGIRSEVNFASFFVLNARMLESMRFQGGTDNEKEWFVAEQHRMLQLEKRASRHARFYHTSSRCRHDFTHIKHAHDLSVADPFECAKTSDGVQEDLEISFRAVFRT >Et_8B_059853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3317799:3318732:-1 gene:Et_8B_059853 transcript:Et_8B_059853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGDTINTAKAIARECGILAEGGLAIEGPDFREKTEEEMHELIPKIQVMARSSPLDKHTLVKHLRTTFDEVVAVTGDGTIDAPAQLHFMRQILGLPWVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVRLQLTVNVVALIVNFSSACLIGSAPLTAVQLLWVNMIKDTLGPLALATEPPNNDLMKRAQVGR >Et_2B_019996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1662230:1667621:-1 gene:Et_2B_019996 transcript:Et_2B_019996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVALRRSARRIVRPLATATALPRALQQAERLLSSQASPEHGPRGVVSGSDLGLYTPERIRNFSIIAHVDHGKSTLADRLLELTGTIQKGHGQPQYLDKLQVERERGITVKAQTATMFYRHTSASSGPDTPRYLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTIANFYLAFESNLSIIPVINKIDQPTADPDNVKDQLKRLFDIDPSEALLTSAKTGKGLEQVLPAVIERIPCPPGKCDSPVRMLLLDSYYDEYKGVICHVAVVDGALHKGDKIASAATGRAYEVQDVGIMHPELTPTGVLYTGQVGYVISGMRSTKEARIGDTLHQAKATVEPLPGFKPAKHMVFSGLYPADGSDFEALSHAIEKLTCNDASVSVTKETSTALGMGFRCGFLGLLHMDVFHQRLEQEYGAQVISTIPTVPYIFEYGDGSKVQVENPAALASNPGKRVAACWEPMVVATIIIPSEYVGPVIMLCSERRGEQLEYTFIDAQRALLKYRLPLKEIIVDFYNELKGITSGYATFDYEDSEYQQSDLVKMDILLNGQPVDAMATIVHNQKAQRIGRELVEKLKKFIDRQMFEITIQAAIGSKVIARETLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKSSSSK >Et_2A_018620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35248652:35253428:1 gene:Et_2A_018620 transcript:Et_2A_018620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATFAAVSSLELPDKFSHHKLACSNAHALVSVPASVPDASASSPSAVSGLQFLPRNMQSLHSTKVPFASLPLPRDVQSVHSIKAPFASLPVIQTVYKYAKVVKTSRQEGVMSAIPSSSSDALYRWHLPNPRISGNSSDRSQTVVVLLGWLGSRQKHLKRYADWYTSRGFHVVTFTLPMSDIVSYNLGGKAEKNVEMLSEHLAGWVREESDKKIIFHTFSNTGWLCYGVILDNLQRKDPSAMEKIKACVVDSAPVAAPDPQVWASGFSAAFMKKNSVATKGVGSHDSRSDVLIVESNWDPKPAATEAVLLSALEKFFNVVLNYPAINRRLSDVMELLSSKQPKCPQLYIYSSADRVIPARSVESFIEGQRRAGHEVRACNFVTSPHVDHCRSNPGLYTSQLTKFLEECVLTNRCEDSATTSSSSSGFSLSSYAYSTEGQS >Et_4A_032213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24534466:24536835:1 gene:Et_4A_032213 transcript:Et_4A_032213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAVSSLWLLLWLAAAAQPVVAASPQQPPGCRRRCGNVTVPYPFGIGAGCHRGATANGFRLRCDAARGRLTVAGYGHEVAAISLATAEATVLLNASRACHDSGSGRRRVVSHREQPMALNGSCRGDDGCCQSNIPLGLDSYRPRLGSFGQEEEEEDTFLANSTACSYAFMVDAWWFWFAGSNFNRTGDFAVPVVLDWAVRDAPSCAAARRDAGTYACRSEHSVCLESGNGPGYVCNCTDGYEGNPYVTNGCTDTNECQKNRNEFPCYGVCVNTPGSFTCTCPKGSSGNATVEHGCRPNDRYTLALNSLTVSGVSIGVFMLLLACFAARVGIQKRRLLRAKQRFFEQNGGVLLQQQLGSLASSGVAFRLFSSEEMDRATDGFAAARVLGRGGHGVVYRGVLADGSVVAVKRSRTADAKQAREFAREMLILSQINHRHVVKLLGCCLEVEVPVLVYELVPNGSLHRYIHHGEGAESADALAYMHSSASPPILHRDVKSANILLDGDLAAKVSDFGASRLAPAGGEAAAAVATLVQGTLGYLDPEYLLTCQLTSKSDVYSFAVVVLELLTGRKAFVPVEDEGEDAEEGSLAFWFLAAAAEGRHREVMDRQVREELGVEVLDDAAELVVRCLSMAGEQRPTMKEVADKLQRLRSRA >Et_1A_005643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12294542:12297637:1 gene:Et_1A_005643 transcript:Et_1A_005643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKREGSAVAVASPSSVAMARGTRGGNPLEELTARVKAIEAVFRSWMAKQPIHIEAAVTTAVGAVQGGALGGLMGSLTADGGSPFPMPQPPPNANPQAMASLKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGAEDIQGSMAAAFGSGALFSIVSGMGTPNPVANAITTGVAFAVFQGGFFMIGQKFSKPQAEDTYYSRTRSMLHELGLQKYEKNFKKGLLTDQTLPLLNDSALRDVKIPPGPRLLILDQIKRFGFIGLRLYGTLTWGSQSEVALSTGGSLWVA >Et_4B_036130.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26482869:26483633:1 gene:Et_4B_036130 transcript:Et_4B_036130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKCGRLDLSHYIFSTMSAKDEVTWNSMISSFAQNGEPEEALNLFRQMSMEGIKYNSVTITSALSACASLPSIYHGKEIHGVIIKGPIRADIFAESALIDTYGKCGSLELAHRVFEFMPDKNEVSWNSIISACGAHGLVKMSVSLLHRMQKEGFKPDHVTFLALISACAHAGEVEEGLRLFRCMTKEYQIAPRMEHFACMVDLYSRSGNLDKAIQFIADMPLKPDAGIWGALLHACRVHRNVELADIASQELF >Et_4A_034662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5203879:5208087:-1 gene:Et_4A_034662 transcript:Et_4A_034662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVAIAVFSALGFAFYVFFVPFVGTRAFQIVAMAIYTPLITSVVVLYVWSAATNPGDPGIFISAKDSKLDKDEKQSHMSSEHGISFGGKTLSEDFSAVDNSEKLSSMLRRRDSPSRPRFSEILCLLCVPFSRLCRRCVHSDNQPSGQNIGEEGMFFCSLCEAEVLKNSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYKGFFFLMASAVILWLTGALVLILCILKRGEFSRQIVTKLGSSFSTVAFVIVVATCTILAMVATIPLAQLLCFHVLLVKKGISTYDYIIALREQEDQLEVPGHQSPQMSIISSVTGFSTTSSFGPLHRGSWCTPPRLFLEDQFDVIPPEVGVSQNPGSKKAEEGARRKPGTVKISPWTLARLNAEEPNNNRRPEQRDFSAELSLDPIATLSASGTESNFSDAGMEISGSLAPLQLEARSAFQPSTTASTRNVISSPESSFDSPDLHPFRISSSTADEMQGVVPHPAHKGIEFTRSSSDGYEASGGEDSDRIPSRIVHRSSNWANAILHSSQGGPSADMHLPSSEGMFTNMKPSY >Et_3A_025193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27800648:27802868:1 gene:Et_3A_025193 transcript:Et_3A_025193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRALLVGINYPGTKAELKGCHNDVDRMRRCLIDRFGFDEDDIRVLDDSDRSAPQPTGANIRRALAQLVGDARPGDFLFFHYSGHGTRLPAETGQNDDTGYDECIVPCDMNLITDQDFKELVQKVPDGCLFTIVSDSCHSGGLLDSAKEQIGNSTKQNKTQSREFEERPDSGSGSGFGFRSFLKETVRDAFESEGIRIPHSRHGHHGGEDQDEAYAQPTGPGGTKNRALPLSTFIEMLKEQTGKEDIDVGSIRMTLFNAFGDDASPKIKKFMKVMLGKFNQGQSGEQCGGVMGMEEAFKPALDQEVHSVDEVYAGTKSWAPNNGVLISGCQTNQTSADATTAQGVSFGALSNAIQTILADKHGKVTNQDLVMKAREMLARQGYTQQPGLYCSDDHVHVAFIC >Et_1A_007817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38509014:38512805:-1 gene:Et_1A_007817 transcript:Et_1A_007817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGGSRCLPSAAFIPQSLSAQPPQDSCSRNLADPRTRRVKGRRPEWQRRLGTNGFEQAVDMRAHSGDLRHLLSTCSGGSDIDKFSSCRTPSRRLKLTSRTMMLLENISSDGRPPDNELYDRLRCIMLVRLAREDEMCPSSLLDAKETPMTPPLPLQVMPSHAQQFVCFCHEEARPPSCERPERNWKRKLFSCSVHEVAREIKEKSIRRRAQHNGAMANLLVLQLHEEWGVCCITYIMALFSTSNYK >Et_1A_007508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35316024:35317969:-1 gene:Et_1A_007508 transcript:Et_1A_007508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSEPAPTPGQRDELAESLAELFTNVSLMVRGELQGTNNQLSLLEKMNDRVAQEYSNHGDVAAGLRVFVEKLNEKNQGFDEYISQIDTIDQQVTEFEAVVSMLDKHVSLLEKKVKSAYHTASNS >Et_4B_038022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25525713:25527817:-1 gene:Et_4B_038022 transcript:Et_4B_038022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAFVSVLLSFLFLFSIHRLLGLRRRDSKINGKNNKQGTRHRLPVLPSPPAIPVVGHLHLLGKPIHAALARHGPLFSLRLGSRDAVVRGAAEGDELQRREARPVREGHVVLREALSAHRVGCMLPAISAEVRAMARRMYRAAVAESGGGAARVELKRRLFELSLSALMETVARTKTSPAEAEADDDTDMSPETQEFKEALDEFIPLIGAANLWDYLPVLRWFDVFGVRKKILAAVSRRDAFLQRLIDAERRRLDDDGGGEGEKKSMIAVLLSMQKSEPEVYTDTMIMALCSSMFSAGTETTATTAEWAMSLLLNHPEVLKKAQAEVDASVGTSRLLGPDDVPRLGYLQCIISETLRLYPPVPTLIPHESAADCAVGGRHVAARTTLLVNAYAIHRDPAAWGSPAEGFVPERFEGGGADGKFMIPFGMGRRKRPGEALALRTLGLVLGTLIQCFDWDTVDGGEVDMAEGVGITLPRAVPLEAMCRPRQAMLDVLREL >Et_7B_055890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6846722:6847502:1 gene:Et_7B_055890 transcript:Et_7B_055890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVPCAARASVRVMMMNCRPSSMAPPARFVALALLVFHVVARGADAASYNVGNSAGWDISADLPSWISGKTFYVGDDLENTVQRHACPCVFFHSVTCCDHLLTIELPVRCVTPVFQYSKYHTLAEVDEAGFKNCSAANAVLSRSDGNTTVALTAPGDRYFICGNKLHCLGGMRLHVPVTQPPSPAGAPAVAPPQADPGAGLAPGTDDAGVPRLFFGGSHRAAVGPLLMVTWLCAVASLLVS >Et_2A_018540.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32008517:32009233:-1 gene:Et_2A_018540 transcript:Et_2A_018540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYLVALLKSAPVTRASTGLAKAVGALREHESQRIRGLSRDIVRVWSDLAEARSRVEELAKLSAEALAPKTRPPVAGTDHSEMKKKQVKDGPCKTEAACVAKISTASVPKKNAPAVVGADHASNLDKMMEATKRKLREGYQEAADAKRQRKIVVIEAPPKMAQQRKMHPIIRERSQARSTTTTVRKMAQRKTMHPIIREWSQARCAATTATVRRSLVPSFCGV >Et_3B_030976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9614165:9614794:1 gene:Et_3B_030976 transcript:Et_3B_030976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTERLKLSARRRAELLNGVFSVFLLQASFQSKYGGISPKKPLISKDHERAYFDSADWVLGKQGASSGNGTMAPAAEPLKPKLQRTAYHQLPPRRPACTSE >Et_4B_040068.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:8965867:8967357:-1 gene:Et_4B_040068 transcript:Et_4B_040068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPARRGTLARAVLLGVVALGLWLLFVEFTVLGGGDNITAVPATVIRTKTRAQAAVGPPAAWRTREWRRAVDHHAAVLGGLLKDGLLAASSRAVCLGGADGVQAATALRELGVVGAVAVARKRAPPLAVAGADGRLPFPDATVDFVFAGRALDAAKLPAALAAEVARVVKPDGHLVVLTSGATDAYSRRSLRALLPSLRLLRSREIDGPDGSTLRELVFRKHADAGVPTTTSFSNGNCPDRDHKLQIVGLAEPLIQDEPAKPWITLKRNVKSVKYLPALADIGFKRRYAYVDVGARSYGSSIGSWFRKRYPKQNRTFEVFAVEADPAFHAEYAAKRRVTLLPYAAWVRNETLAFEINDGPAGSKGDAKDKKAISGGGRGMGRIRPAAGAAEGAASGGEVRRVQAFDLAAWLRGTFSEQDYVVMKMDVEGTEFDLVPRMIDTGAICLVDELFLECHYNRWQRCCPGERSPKYRNTYGECLELFTSLRNRGVLVHQWW >Et_7B_053506.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3632959:3632994:1 gene:Et_7B_053506 transcript:Et_7B_053506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWPTYQILN >Et_2A_016923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29502619:29506536:1 gene:Et_2A_016923 transcript:Et_2A_016923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSPPCTQLSLLLAFLLFLLAVPWSAGSGYRVVLETDSRLHVRITDAEHPRWEVPQDIIPRESPRHISLDAAATGAPRSRVLSAATSDLTFTLHASPFRFTVSRRSNGDVLFDSSAALVFKDRYLELTTALPDASSLYGLGEHTKRTFRLQRGETFTLWNADIAASNVDLNLYGSHPFYLDVRSGAAHGVLLLNSNGMDVEYGGEYLTYRVIGGVLDLYFFAGPAPLDVVDQYTQLIGRPVPMPYWSFGFHQCRYGYKNLADLEGVVAGYAKARIPLEVMWTDIDYMDKFKDFTLDPVNFPAGPMRQFVDRLHRNGQKYVVILDPGININSTYGSFVRGMQQDVFLKRNGSNYQGQVWPGDVYFPDFLNPRAVEFWAREVSLFRRTLLPVDGLWIDMNEISNFIDPAPLTPLDDPPYKINNSGSRRPINAKTVPASAVHYGGVAEYDAHNLYGFLEARATYGALLKDTGRRPFVLSRSTFVGSGRYTAHWTGDNAATWDDLRYSINTMLSFGLFGIPMVGADICGFGGNTTEELCSRWIQLGAFYPFSRDHSAIGTVRRELYLWDSVAQSARKALGLRYRLLPYLYTLMYEAHATGAPIARPLFFSYPSDAATYGVDRQFLLGRGVLVSPVLDPGATAVDAYFPAGRWFSLFDYSAVSVSASGGKTVTLPAPADTVNVHVAGGTVLPMQRPAVTTSRARGTAFHLLVALDEDGAADGELFLDDGEAPEMGGSRGKWALVRFAAATDRAGGVTVRSQVVHNSYGPSRKLVVGNVVFLGLQPKESPREFTVYVNGVKTTTNSTGRAHGYRRSGGLGVARVGGLSLPVAEEFQLKVVMS >Et_8A_056399.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8088863:8089129:-1 gene:Et_8A_056399 transcript:Et_8A_056399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMTKKMRKAIDEINDAAPPAEKDEVQFITVMTFVGVNDALKEAMDAGDEKKVVSMARSYEIASEKVLAAPPPEKLKTMEVTFKAVA >Et_10B_002492.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:11462412:11462540:1 gene:Et_10B_002492 transcript:Et_10B_002492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPVPKPFSCAHFSCIEVRIFFLLICFVKDYYLFLIRVCLI >Et_2B_022443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2200136:2201728:1 gene:Et_2B_022443 transcript:Et_2B_022443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSKADGGGGGSSRPPQAPRPTTPRQQVKVKHIVTTEVSTDEASFKDVVQSLTGKDSGATRAAAVAAGGSSRRSNDAVRVDSNHNRGVASGEDTVAGVLPSEEEMKWFWHGGENLKYSSTSTTNESFVKHSSVRAEPRTGGKVFILRPASSIQHALVLLAAMPIQPVALGGAV >Et_5A_042269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8191494:8195958:1 gene:Et_5A_042269 transcript:Et_5A_042269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCRSKWLLALCLIAIQLLAPMVFKGLIVSAKDNAPPALTPPLVNQVDAMVEHVWLKCGLDTKSLEDVRKRFNYNHVLDILQTISGKDTKDTSPANEGANKALSPEIKQTLLSCLSKQPLVVSLQESAKNLPVDYIRTLIASLRRDLTQAPSDTTATSGTPSAALGKNSSPKPDKENTVPPTKRSAATKEETNGMPTTAIVGLAVSGIALLALLCLWCCICRGNRTSSYADDKPLLSLNLSDSSAASRKSAQGNPIDVNKLGVLSLKSEVSQNGNVKLSSSEGTNAEVRPAVYNNLADPMTATGSVPVYNNLAEPMSASTGSIPGQIPMPPPVKPPPAPAPPPPKAPPPPKAPPSTHQAPAQTSTPAPAIPPEPSPPPAPKSAPPPPPPKSAGPPRPPPPAMPGSSKTRPPPPMKKSGNKVDDISDSHEAKTKLKPFFWDKVTANPDQSMVWDHIKAGSFQFNEEMIESLFGYNAIDKKGDAKKDLTSKDACQFVRILDPKKAQNLAISLRALSVSPEEVCTTVKEGNELPPDLIQTLLRWTPTNDEELRLRLYTGELSQLGPAEQFLKAIIDIPHIFQRLDALLFMSNLPEEASNVKQSFATLEVACQELRNSRLFLKLLEAVLKTGNRMNVGTFRGGAQAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGVRAARAANEQNSSVSGVGANDVTDDNDEKTEDDYKQIGLKVVSCLGDELQNVRKAAILDADQLTMSVASLGHKLVKTKEFLNTGMKSLDEDSGFHNKLKNFTEQSQTDVTFLLEEEKKIRSLVKSTVDYFHGSTGKDEGLRLFVIVRDFLAMLDKVCKEVKEASKVAPKKAQTKQPSQTSQDPKHQSFNDPRRNLFPAIQDRRADSSSSSSDEDD >Et_1A_005208.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:32959150:32959482:1 gene:Et_1A_005208 transcript:Et_1A_005208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHTALLQLNPAPKPIIHTRSPARIRPLASMYASSYHTELLDVFPNRWRVMREGSTCSSDKPRLRWISSITARPQEWTQKCSNAARKFGTYGLNLIMNRPDLLSNFPAT >Et_3A_024639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22870413:22873755:-1 gene:Et_3A_024639 transcript:Et_3A_024639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQRAAAVAAEAVMEVEEDGEPEDQEERWARLLPELLSEVVRRVEASGCERWPARKDVVSCACVCRRWRDAAVAVVRPPAESGKITFPSSLKQPGPKESPMQCFIKRNKKNSTFYLYLGLTNAPMDKGKFLMAARRFRRGPHTEYIISLDSEDLSQGSHAYMGKLRSDFWGTNFKIYDSKPPYDGAKASSSRSSRRFGSRRISPQVSAGKLGNYEVGQVSYKYNLLKSRGPRRMYCTLECPSTQETWDNALKTKFRKPIGPTILRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVAASDPSDPTSTVDEETVLLQFGKVDDDMFTMDYRQPLSAFQAFAISLSSFGTKLACE >Et_4A_031847.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22495330:22495923:1 gene:Et_4A_031847 transcript:Et_4A_031847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPGAAAVSRSSSSVAFSWEQEPGVSKQSPVEANKPAALGSKETTPASTKTAHPHRLRVPPPPGGPGAPAVSPPPGRGRPRSRGVRPADDPFLAAYLACTERGGNGGQRLLGWAGLGLGYGLGLRGFGLSYKNSCEAVEG >Et_2A_018685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5028299:5033323:-1 gene:Et_2A_018685 transcript:Et_2A_018685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHAYVGKFGYVKMIDLPAPRPVLPPADRFFQPPFLPHDGPRRWIIYTGPSGDADAPFFRRRRVPSPPPPATPRRPDDADDDDAPPLPPPPPPPPVPVGWDELLVGPNLDALIERLTQDDRPGPPPAPEPAIESLPTVRVSPAHLAEGSQCPVCKEEFELGEAARELPCKHAYHSDCIVPWLRLHNSCPVCRQELPQAAADASSPRPDGGGERSGETEPPDGAAPVVMAGWGPLAWLAWPRGLDAGGLERNEEGDDDAAGGVTILLPLPLMPLQQSRVEKLQSAMSPSSSQHTSPSSMAIGAGAKNALQGSIMAYSMHLGDWKNASMSAIDVAVADLLAVEGGLTLAGGERRLRGADRRGGVAGRAAAARRGAVAADAVGGIVDVVGAGRECRGAGGRGGGDALDGLGRHGGGGEVEREADREVEVGGGRGALEAEQGRVARAAASAVEWEPSHIRVFCPGARTSDTHDPQLRIRTPLYVLLPPCCFVAAAATAWSLIDAVVFTIARNLVIAPASCPTTAAWFLGLQCKVYACLCACVLLPAQ >Et_9B_063640.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14702273:14704766:1 gene:Et_9B_063640 transcript:Et_9B_063640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRLAQFLCRSVGSSGGCLRGRGEQEANEFYVPERTEETAPPSDRCPSSVVAGRREKRELAPAAAAAASGSEVEVVPEGGVGRHHPDPGGAADHAVVVHPRRADRWRAAGDGAVEEPVVEAGAAVVAQRAGGGVVEVPDLRRRMVVVVVVGRGAHGGPVGRVRVGAGGRAVAVAVPWREERDGPRGEQVLGGRVGAGAGGVASPAAPAAAPAPAAAAADPLHALEVEAVLLEVGGDVLAREAVDAHQLHYGLGHGVLDAEVRHRVHEPLVQLRRPHQARPLERPGRLVAGAAPAAAAAGPGGRRRAAAAAVHLRPARAAAAAAAGSSAGPAAAVRGDVEGDGEIGRDERLRQRHQLVRPRQLLLAPREPARLFLLPHGGSLLLSSAHHAPQQFLELTPSLDDVRRGSRRSCSRVHSKEQEQGGREEEGGVTSRWISLLLSFPFPPFSLSDLCGFGPFSPAAAAAATAAAVP >Et_9B_064873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19465370:19468094:1 gene:Et_9B_064873 transcript:Et_9B_064873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETSARDEGPAVCKVVAADGGKVASVAASARRRRRLGRTASAAAENEAAKRIRSGAAADRSSSDSSADPGGVQQGWPPSLSHGAVSVIGRRREMEDAVAVARTFLADADAGNGGEEDFFAVYDGHGGARVAKACSERMHVVLAEEFGRRRRVGAGDDVRWKDAMLASFARVDGEVTGGLAPLNKADADSSFRTVGSTAVVAVVGHRRIVVANCGDSRAVLSRGGVAVPLSTDHKPDRPDELERVEAAGGRVINWNGYRVLGVLATSRSIGDYYLKPYVSAEPEVTVVDRTDHDEFLILASDGLWDVVSNEVACKIARNCLNGRAASMSPETVSGRTAADAAALLTELAMSRGSKDNISVVVVELRRLKGCS >Et_4B_036741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11099526:11104899:1 gene:Et_4B_036741 transcript:Et_4B_036741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALRRLGGAPGSPSLSSSAALLLRHPALTRPISTGFREERDTFGPIRVPNDKLWGAQTQRSLQNFDIGGERERMPVPIIRAFGVLKKCAAKVNMEYGLDPTIGKAIMQAAEEVAEGKLDDHFPLVIWQTGSGTQSNMNANEVIANRAAEILGHKRGGKFVHPNDHVNRSQSSNDTFPTVMHIAAATEINSRFIPSLQQLHKSLHSKSVEFQDIIKIGRTHTQDATPLTLGQEFSGYATQVKYGIDRISCTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETDLPFVTAENKFEALAAHDAFVESSGAVNTISASLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVGVTVGGSNGHFELNVFKPMIAAGLLRSLRLLGDASVSFEKNCVSGIQANRERISQLLHESLMLVTALNPKTGYDNAAAIAKKAHKEGTTLKRAALDLGMLTEQEFHELVVPEKMIGPSD >Et_2B_019254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20285798:20286114:-1 gene:Et_2B_019254 transcript:Et_2B_019254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGKGAGAAAAAAVLMLLIAATLYADVSEAARPGPNPCTYDQNNPGHKCSPGQQEATTPSVSKASSGPSGCTFYPGGQGMKCNPPPSTAP >Et_7B_054383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20732576:20737107:1 gene:Et_7B_054383 transcript:Et_7B_054383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDAAGRMEKYQSNTRFAPFRDAPFALRGGLGSLNSNLEQAKGTSSPLGALRPKMSPSGSRPLHTSRPLSSPVANRPLSPHLPLKKPQLSATFSISHRIFGVALGAAIISIPLATKFSVMFGMSEGILNLTYIKKNKA >Et_1B_013253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6849181:6851164:1 gene:Et_1B_013253 transcript:Et_1B_013253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATAPAQQQQCVHIEGLQTALPTRVVPPGRARPVSVASPLPAAALLQRRARVVLYYRADGAPWEEAVWVKESLSEALADHPEMAGRLRRRADGASWEVKLNDTGVRLVLATADGAVEDFLGAEGQDHRARREAALAPWTDVKAEDPDMCALCFLQLTRFQGDGGYAVGVSCSLMLADPLSLARFLLSWARTHAAMKAQNKVATNPMMQYLSYFQRPDAMTKRIKSIPIDTVVPGAGGDVETVLFRATANNAGAPPDHRALARACLDQAGDKLGAANKVPRFSVVVVAGKGEGDNPAGMSVETCTADAVAESGAAGHKLEVVQWQELGLEDLVLREGKPAHVSYSIVTGSDEGLVVVMPDGDGFLVTATVPK >Et_7A_051579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20678817:20681974:1 gene:Et_7A_051579 transcript:Et_7A_051579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIGGVDRLSDLPDDILGHILSFLPTAKEAGRAAVLSTRWRYVFAHVHTLSFQAVKRYNFWSDTMTFHLDSQERRSTNGNFVDRVNAALLAHRRCARLARIASLRVFRIAFHAYQPWDKDVVTMWEDDGRSEDRFTSDEEEEDVSFGDDERRGRLKGWSFSFPRPLFSSAVLRSLRVSHGRLNPPAAIVLPSLQTLHLTNVPDSEETIQRLVSSCPRLADLTLESCSKLIRVSFPDKRLRRFALLCCHNVVSVSLDASELHFLHYRGAVPAGSLFTFHGSPRIPSSTIKLCGPNQSSEEDLSGFRLFLENFEAAKHLHLNFSRLRCSDGRKFLVGFPAFATLHKLELTGSLDSHSITRVLQQTPNLEILSLFLKRDPEHSPVIIPDATAVLCLQQRLKEINLVQYRGSPAQRMLAKLLLGNALVLEALCVVFRKKSLQVKTTLMTEIKGWMVSKSPKTSFL >Et_3A_027217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5049906:5052333:1 gene:Et_3A_027217 transcript:Et_3A_027217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRCSPPRRLQLLRPHHLRLLSAAALASAAPAPAQPPTDWAEAPIASVRPATADASLYHVSLDLSAHRGLLASHAAAGQFLPFRLPTAPYPIFLAIASPPPASLGDSSFDFLVKRLPGTPSASLCDLRPGDLVHVGASVVGRGFEVAKIAEARNVLVFATGSGISPIRSLIESGFGENGKIGVNLFYGVRNLQRMAYQERFSDWESKGVKIIPVLSRPDGQWTGERGYVQEITRVLVADGDASLKMLMYSKGCALRQRNKRAEVVESLVSIPLDL >Et_8B_060104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:679463:681483:1 gene:Et_8B_060104 transcript:Et_8B_060104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLICTSEGPSSLASDPDGLRVFKRETLLWIILLNKVSTPLSRSMTSNSKACNCSSSQIALFFWKALDTRDHLLELGIVTFFNRRSNVDPRIDTTISLASANSLTTLHPSMPEAALLLIFLNCFCAFLAKRAQD >Et_1A_008647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9483039:9485709:1 gene:Et_1A_008647 transcript:Et_1A_008647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LNLSQALKKEAFCSVMAFPARSAVFLDENPQIHMGKRADALRAKPLKPSARLQERKALKDLSNISERKALKDLSNISERKPLKDLSNISERKPLKDLSNISERKPLQNITNTKVTASKERPTLKEKSIWKQKPALPKTVIFADEDTKNCHKWAKDGVEGAQFTGNESQKFDKDVQDKRVKNEVENIVSAVQGWADVVFAPVMFPAEVVGKFFEEVNGLELEPEILPDITRHLSNSGNKAKLAEDSFNDDELDQYPFLDNKPVEFQLRDEPATPRVGVN >Et_6A_046959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23197144:23206623:1 gene:Et_6A_046959 transcript:Et_6A_046959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSALPFNNFGSRVVTTTKCWTTAKSCCTGSNTYDLGGLWASSTLFHRRIFGSMASCPPGLVDLTDKILMKCRGVPLAVGLISGLLANKPRSGPIWEGVHKFIPAVENQYSTEHVESILSLSYYGLPHYLKTCLLYLSTFPEQYPISRHRAVRSWIAEGFIVSGNYWKTLEEVGESYFNELINRSMIQPALGSYDGKTEAVVIPRMILDLIRSKSVYDNFVTLLVDGKAEVSVLHNRIRRLSIIRADRDEVIPKSANTSRIRTLCIFGGIIPKLRFKHFTLLRVLDLEGCKELKNHDVKEIAGLIHLRYLSIKDTPISELPDQVSQLQHLEMLDLRGTGVQELPMSIVQLKSLQHLLCDRMRFPEWIGKMTALSSLSQFDIFQSELRAVEQLGNLSELTELMLWWRPDAQFSNTERCEYLAVSLYRLKNLQSLYVHGSDCSVDFFDHLRHPLRKLQRIELNNCYLNRITEWFGLLPSLEYMCMDVKDIKNEDLQLLSGLPSLRHLSLTSKFLAQEKLVIGSKGFRVLETFHLHSARADLTFEPQAMQKLENLLLSLHVVPEETFGFSVNIDQLTCLRKIDVTIHGEEAAVLRWLEAAKHERYKLSRRVRRDIAAIADELRSAHDVVAATTAAEAQRDLHKAPSSGASAGFSQFESTLAAVRSLIDMLEDLDPRSAAGYCSSKLREVCYVVEDIVDEFTAYVDQPPATRLRRVVGGGLYRSAMEWMAMALTRRTVAIESGQLKRQALKKREDLDRLMKDIRFVPSSVPVVEDRPPMHRCLPPPTPALDTPPTNFNVGDQRDRVAELLFLGEPSVPPLAAVTIVGPAGIGKTTLAGEVWRIARTFFDFCFWVSTSPNSSYKSIFIDILRQVEDPCRRGNEDRMSESDIKEKIKKSLQHKRYVRRIHIYTVTPFSDISTRTAVTVYLVVLDNVSISTARSVINYALPRNSPGSRVIVTTTCDYLVEELVGCPFWNCHTYCMPLDSLVYGRDSARLLLHKRVFGSTGSCPPDLVDVADKILCKCSGMPLAITLVSGLLANKPFARTVWEDVYKFIAASTPDSEGGKRNLVLLCYHGLPNYLKTCLLYLNIFPVNHLINRDRVVRSWIAEGFVFEKHGRTLEEEGERYFSDLVQRGMIQSAGGCNGNPDAFMIHGFVLDLIKSKLVRDNFVTLLLDKGTTVVSKPTHKKVRRLSVTSEDHDIPKSMAISDIRALYVFTGFIPKLPFKKLVFLRVLDLEGCKDLKNHDIVEIAGLKHLRYLSIKDTPISELPDQIGQLQHLTTLDIRGTKVQAMPTSVVQLRNLTNLLCDKMRFQEWIGKMTSITCLSQFDIFQSEVRAVEELGSMSELRKLALWWFPDAESHNTGRYYELGVTFYRLKKLQSLYIDGADSSVDILDYLQYPLQHLQRIQLNRSCYLSRIPEWFSSLPRLAYVCTSVKEIKNEDFQLLSQLPSLRHLSLSSKVLPTEKLVIGSRGFPVLQKFQLNFSRADLTFEPHAMQKLKELLLSVHVLPEETYALSISIDQFLCLERIDIIISGDDTAASHLLEATNVAIRNAAEEHPNHPMVSVISSEKVGYAANRKKENEQESDVEFLVQRALDIVEDMEPRTAPGFCSSTLRELCYDAEGIVDSFLAYAEPPPASGWRRRLRLFRGATDWLAMALLRRLVSMVCGQLKRQATDQKSKRTGKFVAGFMDGDGRRRPPAASSTSTLVGVDRQRDEVTKLLFQGDRKENKAEHGEDDLADEVYRTVATFFDCNAWVTVSPNCGRKNILIDILRQVCDDAHATEGMGELDLVKKIGESLQHKRYLIVLDDASLSRAWDIIASVLPRNSLGSKIIITTHDAAEFEGRSLWDGHTYRIRSLMSFHDSESARVLFHRRIFGSTGSCPPDLVDIADKILLECSGVPLAITLVSGVLANKQCTRKIWEDVYNYVAAPTRDDTDRGTRNIVLLGYHSLPHHLKTCLLYLNIFPENYPINRGRVVRSWIAEGFVFEKHGRTLEEEGESYFSELVQRGLLQPAGGRYDGKPEAFLIHGLLRELITSKLYRDNFVTLLDKGKPTVSKPTHKEVRRLSITSEGQGIPESMTTCNIRSLFVFGGVMPKLSFKKLVSLRVLDLEGCKDLKNHHIVEIAGLKHLRYLSIKDTPISELPDQIDQLQKLTTLDLRGTEVQVMPMSIVQLRSLTHLLCDKMRFSESVGKMTTVSCLSQFDIFQSELGAVEELGNMSELRELVLWWLPGAESNNTQRYKYLAISLYRLKELQSLCIDGGDSSVDVLDHLQHPLEQLQRIQLSRSCYLSRIPEWLRSLKKLTYICTNVKEIRNDDLQLLSELPSLRELYLSSKVLPTEKLVISSEGFPVLKTFQLDSARADLRFESQALQKLEELLLSVHVLPEEIYGFSINIDQFMCLERIDIRIRGEGAAASQSFEATKLALRNAAEEHHNRPMVSVISSGDVDYVTK >Et_3B_029631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26617999:26620611:-1 gene:Et_3B_029631 transcript:Et_3B_029631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAADWLQSAAVTASGRPVLSAGEVERNLLPLVDLESEENQRLAPLRGCLLALTSHRLVFLHEPSRSARALPLASVVHAYPPHRRHGHNPLRSIFSSSSSSSNHRIRLQISLPPARSEVVAIVVTCKADVDVFFGRLLEAIRARAWEVAPAAAPTSGAPVAEGAAPAAEDIAIRMPVVGVSGILRMEQEAWESAGQNLQDAFQDLNALMSKAKEMMQLAEKMRLKLLTNSSTQSNSNDEEMGSKQDMQDWLLSVGIVSPVTKETAGALYHQQLSLQLADFVRIPLEKAGGMIALVDIYCLFNRARGTELISPEDLLQACSLWEKVDVPVMLRKFDSGVKVIQTKTHSDEEVFARISSLAQKPDALLKGISPSDAAFTLGIAPALAKEHLQNAENKGMTARMDSASSSICLMKLIRKIFICKNLMDHTTIGTLLQWHHTDNGWLRDVGNLADQ >Et_4A_035163.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:10282413:10283642:1 gene:Et_4A_035163 transcript:Et_4A_035163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIHVDAGRGLSPRELMHRMAQRSMARAARFLSEAETAPVTPGAIDGVIPDNEYLVHLAIGTPAQPVQLTLDSGSDLVWTQCQPCITCFNQSLPLFDSTLSSTFAKLSCGTAACQALPATSCETGSASPENQTCNYGYGYGDGSTTYGDLAADMFSFAGGGAAVPAAFGCGLNNTGIFKSNETGIAGFGRGNLSLPSQLNVGNFSYCFTNITGSAPSPVLLGVPTDLFSSDPGAVVQTTPLIQNPTRPSYYYLSLTGITVGSTMLPIPESAFALANDGTGGTIIDSGTGMTSFPPDVYNLVHDAFIAQTSLPVVNSTATPLCFTLSPGTTQYYVPKLILHFDGATLDLPLENYMFGVEDAGASYICLAVNPGGNNMTIIGNFQQQNMYVLYDLANNMLSFGPAQCDTV >Et_3A_023388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24754192:24755511:-1 gene:Et_3A_023388 transcript:Et_3A_023388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKLVLPVLFVLLVVSLVASATQPQRSLHLPVFFRDTVFPPDDAALASADQQLQSGVPYHSGEYFAAVGVGSPSTRAFLVVDTGSDLTWLQCAPCRRCYGQATPLYDPRRSATYRRVPFAAPRCRELSFPVRDARTGGCLYTVVYGDGSSSRGEVATDRLAFPDDARVAHDNVTLGCGRDNEGLFGSGAAGVLGVGRGALAFPTQLAAEYGRVFAYCLGDREASSSSSSSSYLVFGRAAFTPLRTNPRKPSLYYVDMAGFSVDGAPVAGFPAADLALDAATGRGGVVVDSGTAISRFAAGTYAALRDAFDARAAAKAGMRNLRRNISVYDTCYDLGGDVAAIRVPAVVLHFAGGADMALPRNNYLVPVDCDGGFVGFEPSENERNMLGNVQQQGFQVVFDEERKRIGFAPNGCSG >Et_9A_061102.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:1671335:1671436:-1 gene:Et_9A_061102 transcript:Et_9A_061102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LGFIVNLIGYFQLRKSIVQTALKGRAFPIPRES >Et_5B_043268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20988406:20989650:-1 gene:Et_5B_043268 transcript:Et_5B_043268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDASGPAADKESTVSDRRIGCITVEKFLSEVTVQEKPVRFKRSQIIGFTRNGSTRLGAGRFGAVFRGALPNGLAVAVKVLHRDLDVEEAQFTAAVRTMWISARRHENVVKLLGFCFQIVMRALVYEYAENGALDAYLLDDDAGRDVGVPALLDIAVGVARGIRHLHEECEEKVVHYDVKLSNVLLDAALTPKLADVGLARLMNPDTHVTMSNLPDTPGYIAPEARVQADITEKRDVYSFGMLLLEIVGRRKIHDEAAPEGQRWFPELAWRKYERGRDHTISIDDDDAQQWKELVERMCKVAFWCVQQQPTARPPMGNVVKMLEGEMDIDPPVNPFQHELAAPVVAYPRTMMASSGNVALPSGVPNGVEVAESVLNVIQSVMDLGKS >Et_1B_011979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27701560:27701999:1 gene:Et_1B_011979 transcript:Et_1B_011979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GSKRARVSPSSALHPVENLSAVDTEQLDEVIYRFPIKDVIRTSALAKPWLNNWKMCSHLLLVHFTREHICMLEVWFPVLAFKSVRSIELYFVLATVWEDALIPNSLFMRTRSQSW >Et_4A_034900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7758869:7760922:1 gene:Et_4A_034900 transcript:Et_4A_034900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAVASPLLLSLSSSSSPFLSSSSTSFLPPSSSIPASAHTTVRKKPAASILRALRAEAATLPVLSFTGDKVGEVVLDLKSAPPSTARAVVHRAIITDRQNARRGTASTLTRGEVRGGGRKPYQQKKTGKARRGSQRTPLRPGGGVVFGPKPRDWSIKINRKEKQLAISTALVSAAVAEDAFVVEEFDEAFAEGPKTRDFVAALQRWGLDPKEKAMLFATDFADNVRLSGRNIGSLKMLTPRTLNLYDILDARKLFFTPAAVDFLNSRYGTTVFDEYEDDGEDDGEEESKKKKAPQRRLLKTRLKRARQTTIH >Et_2A_016510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25405059:25414864:-1 gene:Et_2A_016510 transcript:Et_2A_016510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDGRGGRRLVVTELRHIKDLVLQLETHLDGSHDLCRHLVSQISSLTERSIGIITSSNFDNGRKRSWSGGGIASATPSPLGDVANTPFMSTKKKTTMRKHQVRVNSAEGGEAPVDDGHSWRKYGQKPILGAKYPRSYYRCRHRHSQGCPAMKKVQRVDEDPAFFDVIYYGTHTCVDTTAAGQAAPTAPEHNPDAHNLLQTLTVKTEELAAVTEPQGWNATAPFYPTSTPATSDLNHVTSFQPFDPARLRAKSELQDVVSALVAATSAPSVPAVDIACSEFVDIDTISSFFGERKMDSLEGNGGSQLVVTELSHIKDLVMQLETHLGGSNDLCKHLTSQITCLTERSIGIITSFDVGRKRSAADAGLASATPSPLSDVVDVPFKTTKKRKVTEKKNQVRVSSGAGGETPVDDGHSWRKYGQKEILGAKHPRGYYRCTHKYSKGCPATKQMQRTDEDPALFDVVYLGSHTCVQKAVAADQVKQQLPSHNPDAHSLLQSLSATLTVKTEGLAAAAGASSMFCFSSTPASECLAPERSPFSAPSSANWGVSPATSDSNYAASFPFFDGAAAGDDEFRAQSEHHEVVSALVAASGPAIDIDEFLNLEFFV >Et_3B_030191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31238702:31246490:1 gene:Et_3B_030191 transcript:Et_3B_030191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVAFKPAVGALVEAGGGADAGSIPAMVAAQQEMLHAQVDQLQSLVITQCRLTGVNPLAQEMAAGALSIKIGKKPRDLLNPKAVKYMQSIFALKDTIGKKETREISVLCGVTVTQVREFFASQRSRVRKVVNLSREKVLKIEAPKEHHDACSITTEQTPLDIEARAEIIEPLRTLGPVEVPRSSSQPTDVPQFYLQPINPDSVQKESKEEEVPGVESEDKKFFDSIFALTQKEETFSGQVKLMDWILQINNITILSWFVTMGGLTIVSAWLSQAAVEEQTSVILVIFKVLLHLPLHKALPAHMSTVLQTINRLRFYRTQDISSKAKIILSRLSKVLVRSKALRMPQKDLTEKQRISEILGDESWKSEVGITEEILALTDGANENRKPEPRKTPMLLTASADEPSKKSAPQTKSKQKRKVLLVEHPNKKAAGKSAHSVRSISTNNSRPLSADDIQKAKMRAMFMQEKYGKVDTGKASDKLQTMETQKSSGFHNSIVPPLPKSPVTSTTKEPVDLSPQTSKQSTVPQTDKAETTDGLKLKISSPKHVIEKLDSKRVLWQIPPAVWIDPSWSVGAGENSKELEVQTQRNRREKETFYANQRDIPLNPKDPWDLEMDFDDSLTPEIPIEQQPDADNMETDTVGTAPPATVDPVGDKQIESTSSTSVADASGANDADKPDFELLTVLLKNPQLVFALTSNKGESVPSEQTIALLDTLKRTGLELSDLVNNLANGAAVAPKGPPQPEPVPTSLPSPTPASDHTAMAGWGPEHPTQARAPSVQHPQSSNRGHTPPIGNTVQQSFSNVVSPLPSQPYASVSVLPAQNQMNVPSLPQLATSVNPPIQHVSPVNNHPNRASVHQHAQQYALVSDPVATSFHQQPAVNKVTHGLENISNPAVAHASMPESNACHTTFPWQSNVAPVASTGRGTSPDPWAVRTTNSYNIASTNTVPYANQNFYGNHSAQNGQGAYGSVSVSSHAVLPAHTPDRNGYSHPAEYQTLSRDSYRRHSKSPELVGSGHDYGGTQGYNARTWNGAGQQSYNPEPSRQLISARQSYTAAEPSRQQWSSGHESYNFEPSRPWSSSQQGQNPETSRPWNLGNQDHYNPNDVRRSYDRWRR >Et_2B_020118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1740388:1742447:-1 gene:Et_2B_020118 transcript:Et_2B_020118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMANPNHPSSGHRLEPAVPAPVRVRRVPWATAAVIVFLAANLALCVRRVGGGDRGALAFIAFAHLNLLILFWSMRRFELSPPGSAARGRAKLAVWLLTASLTAAFTWKMCALLPPPLAVAACIMAASTVGDGFYVLFVHDAKKQENYHLTFALTGREETCYSTVSKWQIMSRTKKEDQT >Et_2B_020389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19718013:19719515:1 gene:Et_2B_020389 transcript:Et_2B_020389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTYQYAWIIPLLPLLVIMSMGLGLFLILTETKNLRRIWAFSSILLLSIVLGRTVL >Et_4B_039387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12866317:12868728:1 gene:Et_4B_039387 transcript:Et_4B_039387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVIRLRHGHGGAKPCEVGIGDPLTQMDSGSSTPDSYLIRWTCRQGITCELFPEDDDHNIKCFTKQDLERITSNFSTIIKQVSFGQVYEGVLEDMTTVSVVRFVDSVKENFTEGLTVHSRINHRNVVRLIGSCVEENALALITEYMPNGNLSDILHHDNTPIPLDERLRIAEKCAKALAYMHHMYTPVIHGDIKPSNILLDGRHVKILLFGISSLVNTDETLYAGNVIGSTGYMDPLFARDGLLTMKSDVYSFGVVLLELITRKKATMEDGEGSITDLFTNALARGVRGVTDCRC >Et_2B_020943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2540801:2543564:-1 gene:Et_2B_020943 transcript:Et_2B_020943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKLPRAARRLLCCGGAAAWEDLSDEGSGSLRWVFSLRELRSATNSFNYDNKIGEGPLGSVYWGQVWDGSQIAVKRLKNAKNGTEVEFASEVEILGRIRHKNLLSLRGYCADGPERILVYDYMANSSLYAHLHGTHSAECLLDWRRRASIAVGTARALLYLHHHATPQIIHASIKATNVLLDSDFRAHVGDFGLIRLIPDGMNHEKITSENQRGYLAPEYIMFGKPTAGCDVYSFGIILLELASGKRPIEKSGSVKTYGIRNWVLPLAKEGRYDEIADSKLSDKYSESELKRMVLIGLACTQSESEKRPTMLEVAPLLKGELKEMLLKLEKDELFRPDLTASSHGTSTPDGSTDSVPKKDDESVGT >Et_3B_031200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20488708:20491574:-1 gene:Et_3B_031200 transcript:Et_3B_031200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGGGAEAHARSNQYGYRANSSLVLTADFRPGDTHEPTGEPETLRGRTAGSTPDRAVRNKPPERKDANSRRKRSGDALPSRGAKRGRRGPTHQHVSVLSLTDDVVYMPQTKEMRAAYEALLSIIQQHLGGQPLGVLGGAADEVLATLKNDKIKNPDKRKEIEKLISTISNQTFDQLVSIGKIITDFHGNTEDSAGGAHSGDGMGTTLDDDIGVAIVFEESDEDDECDFDQVQDELDEDDVTEQTIDLLPLSNTQLQDILEFFERFPSVDMAYEVTLERDMTNLPSEVGPVHASRFPKPKAEGWWLPGWSTNSSSMSRMLEGIDSEEWEVATPSFVYLSKETDGLLGLRFHEQWQSRQEPLSSLPPLPPPLQLQGHYVRSVVEIKVATNGHPKVGTN >Et_5A_042732.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:3171109:3171408:-1 gene:Et_5A_042732 transcript:Et_5A_042732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEKSMEIAAEDRAAFIKRTTEEQVAWYKSVLEEDYSRLYLTEDLIPYYPYGAEAARALNDFVLRKVKEFKDHAAASIKEYEEKGVLAGFPPAGLLPQ >Et_10B_002695.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:8479739:8479921:-1 gene:Et_10B_002695 transcript:Et_10B_002695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLSKSANASTPSCPCYATRQQRTMVLLRPVFRGDHFARFDLFPDPKNPITYLYEWDGW >Et_1A_006445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22338193:22339827:1 gene:Et_1A_006445 transcript:Et_1A_006445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESTSIAIDTSAPTPPPSQGRFITVLSIDGGGIRGLIPATIISCLEEKLQELDGPDARIADYFDVIAGTSTGALIASMLAAPDENKRPLFAAKDVTQFYLDNGPKIFPQRRAWFLTPVANLFSLVMGPKYDGSYLHNKIKSLTRDVRVADTVTNIIVPAFDVKYMQPVIFSTYEAKDDPLKNAHLSDICISTSAAPTYFPAHYFKTECPGREPREFHLVDGGVAANNPTMVAMSMLTKEIHQQNPDFHAGKATEYKNYLIISIGTGSAKLAEKYTAQKCAKWGLLRWLYDGGFTPLIDIFTHASADMVDIHAAFLFEALSCEKRYLRIQDDSLKGKASSVDIATKANMQALIGIGQELLKKPVARVNIDNGMYEQVTGEGTNEEALANFAKLLSTERGLRQNNVNSN >Et_3A_026383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7473001:7475766:-1 gene:Et_3A_026383 transcript:Et_3A_026383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFATTLTSWHLLVTFCSLHVALCLKLFEHKPFDARTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRNIKLSLSVLLVGVGVATVTDLQLNAVGSVLSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQALTLFLVGPFLDGFLTNQNVFAYDYTPQVLFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNILGILIAVIGMVSYSYYCTRETQQKPAEVSTQASQVKESESSPLISDSLSTAENGGSAGDDEPLKVPMWSSKYSKA >Et_4A_032681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12548251:12568049:1 gene:Et_4A_032681 transcript:Et_4A_032681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMTGYGYWFIGLSQGCLYATHMNHINYSSQLTVFVLEDCENHRWVMKHATTSLAEVFGRQKPWYYVLAFCPDRNMIFLQDRLGEQSVLMSYDMDNGKGHVVCSQEEYYQPKPYTPDVCRFKSVSRSWHVLCSNPDLRERTPQTLAGFFYRSPGGGYHLLNLSGEGQPLIDPSFPFLPSYKDFYLLHSCNGLLICQYTRKQKREGSGYMVFNPATDKWVELPETKFHHFHHTIRLGFEPAVSSHFTVFMLLLPAAGSPSNNSMMEAGIYSSQTGEWTYTQGGREWGANIVTISHQNSGNYILDKKGKTWRNIPLPDNKQSGWHMSIYHSQGTLCAVNNGNDPMLSVWFLEDYDRKQWTLKYMVSTERLFGCQGALDFVVVIDLECSSIFIRGLIRVKWCLMSCDMETGKGRVICTLDGCPAPYMPYVPYFGKWLSDVCVVPQYGAQEFQSSFTLQMDLMSTRPGKKQKQGVPVLPDDVLIEILSLVPYSSVCRFMCVSKSWLALCSSPVRKRSPQSLAGFFCYSCRDHGRRFLTTSGETTRLPPADPSFPFLRSLDGVMIGDSCKGLVLCRYWKPDVSLPKAEYVVCNPATEKWLVLPHSPRRSERQAMLRMHLGFEPAVSSHFTVFLFSFFSYGQQPPVVEGSTRLKLENGHTGGVSGPYQDRYQVQFRCTRRLSEWHSAHDYHRFLDAHSGQGYGNTWTKLKMPHTTGSGDWSIGYAQGCVYAAHTYINTCQLTVWVLDDCERKRWTLKHTINLAERPSGVLSFYRDRNLIFLRACMGLRKKLMSYNFDTGRGHVVCSLEEYYLLKPYIPSIDMMAPTSSSHKKQSVSILPNDVLIEILARVP >Et_8A_057058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20674940:20676260:1 gene:Et_8A_057058 transcript:Et_8A_057058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAASSSTSRRRRGRLRSGPLRRGDWAELPLDVILSVLGKLEHIDVLAGACQVCRSWRRTACDEPALYRRIDIHGHLAHWSLSDDPRTSFYYEFHSNGVAKAAVLRAAGQCNAFWVQFAATTDCFAFWLTSETLISSSMAPFLKSLHLRWCNYISIEGFTEAIRRLPLLEDLELTFCDSSVGKEMSQTVGESCPRLKHLVRNHFPCNRQHRDDTDQEAPGIATMTELRSLKIMGNQLTKEGLVTILDS >Et_6A_047601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:823725:824037:-1 gene:Et_6A_047601 transcript:Et_6A_047601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPSPAPLLQETTDHQDPQQTYSSLNMLPYTDYFALFHAGASSTNPVIDGYYRYAGCGSAGGSGGPAGDTNDMFKLASREFLMPLKDQVTLDFSRF >Et_4A_033567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24501819:24502019:1 gene:Et_4A_033567 transcript:Et_4A_033567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYDCERWDSSVVGADGSVVLPRCLDMILGLCVFGHGQRAKPDTTIVTVEHTEKNHWI >Et_7B_055180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8019205:8023661:-1 gene:Et_7B_055180 transcript:Et_7B_055180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPAQLLAAALVAAALLLAGAAAATTEEAYVTLLYGDEFVLGVRVLGKSLRDTGTTRDMVVLVSDGVSEYSRKLLQADGWIVNRITLLANPNQVRPKRFWGVYTKLKIFNMTSYKKDTIVVKSIEDLFKCGKFCGNLKHSERMNSGVMVVEPSETLFNDMISKVGHLPSYTGDFPNSRVYEPNSPLTPEPETQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTAWLDIRQKLEESLPGTGGGRNPHDQLVVKILFILPFCLLLFGYYQSCFQTNKDLLCIRYLCAFARRARYKYKSEEPLPSYSAVGVSPSAFGISNQRIMPWTGLLLMFEWTFVSFFLTFGSYLRFVYRWGSVCANHAGFNNSDLSENHMATGLQRNTSDCDTDATFYWAGMAVIATVAVLSPTILGITALFTKLGLMVAGGVVLASFMTYASERLAISAFNKGLKDRNASRTRSFCFLC >Et_8A_056408.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8941298:8941612:-1 gene:Et_8A_056408 transcript:Et_8A_056408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDSSPTKLRRSRRRAATVNEDSLEKAERLVARRNLEEAQGDDQKNSILSFSNEQVSDNIKNIGISLGRDTKTVQSSVMLIKNVEKDRFKIPVSKTNRIATWT >Et_2B_021285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28194022:28197800:-1 gene:Et_2B_021285 transcript:Et_2B_021285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVRALALLVAAAALLAAAANAAVSYDHKAVVINGQRRILMSGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPVQGQYYFGDRYDLVRFVKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAAMQTFVEKIVSMMKSEGLFEWQGGPIILAQVENEYGPMESVTGGGAKPYANWAAKMAVATGAGVPWVMCKQDDAPDPVINTCNGFYCDYFTPNSNSKPTMWTEAWSGWFTAFGGAVPHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFDRTAGGPFIATSYDYDAPIDEYGLLRQPKWGHLRDLHKAIKQAEPALTSGDPTIQSIGNYEKAYVYKSSSGACAAFLSNYKTNAAARVVFNGRRYDLPAWSISILPDCKTAVFNTATVTSPSAPATMNPVGGFSWQSYSEATNALDDRAFTKDGLVEQLSMTWDKSDYLWYTTYVNIDSNEQFLKSGQWPQLTVDSAGHSMQVFVNGQSYGAAYGGYDSPKLRYSGYVKMWQGSNKISILSAAVGLPNQGTHYEAWNVGILGPVTLSGLNEGKRDLSNQKWTYQIGLTGESLGVHSAAGASSVEWGSAAGKQPITWHKAYFNAPAGSAPVALDMGSMGKGQIWVNGHHIGRYWSYKASGNCGGCSYTGTFNEKKCQTNCGDISQRWYHVPRSWLNPSGNLLVVLEEYGGDLSGVKLMTRTT >Et_10B_004320.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:3862611:3862901:-1 gene:Et_10B_004320 transcript:Et_10B_004320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGIHDLPHDLIELILAHLRSHVGLVRATATCKRWRRVIGGEGLIRRFHSLHASCELTHHSRDGGFWTVYIRSTAPPGQEDRVLRRILFGNLEPT >Et_9B_066002.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:2086052:2086366:1 gene:Et_9B_066002 transcript:Et_9B_066002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSGKKRAAVLGFLGLATAADEFRQSQEYHQCQVYTAAVVGSGAPAAATRVRPSDDDGYVEGRPWWYAERDIDRRASEFIDRVHRRRRAVVLVIVSGAILRV >Et_1B_011870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26772414:26776873:1 gene:Et_1B_011870 transcript:Et_1B_011870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHLPVSSSSHHAHHLLDPAPHHQQRWRRRRRRTCGPPPPRRGARAPPLRCCAAAAAAPTPREATPAAVAATTRVFVVSDLHTDYPENMEWVRQLPAEVGARRGEDGVTDALVVAGDVAETRDNFARTMEVLRERFGAVFYVPGNHDLWLRREGGRYMDSLEKLTALLDACSELGVDTGPRTIGDLGIVPLFSWYHKSFDKEKDVNSVRVPSLDMACKDFHACKWPEDLGSDDEDLALYFDKLNDKNHDAIEEVKNNSKQILTFSHFVPRQELCPEKRMLYYPYLPKVIGSDFLERRLRAIHSKRKDGTACHVFGHTHFCWDSVIDEIRYVQAPLAYPRERKRRMNGGEGWLPFCVYRDGFNPEIYPALWSDYYNKNKREPDNTQLAPWVARHFAKYHKFH >Et_5B_044933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7418660:7430258:-1 gene:Et_5B_044933 transcript:Et_5B_044933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRFLVVLLGAALASLLLSSCADASEVGVSYGRVANDLPDPAKVSKLLQQNGITMVRIYDANPTVLNALANTGIKVMVMVPNENVADVARDPSVAQRWVRDNVKAYHPATKINGVAVGNEVFESRPDLNSQLVPAMVNVHDALRSLGLADAVKVTTPVAFDALKASSPPSAGRFRDEVAQPVMKPMLQFLKRTGSYLTMNIYPFWAYYNQPDDISLDFALGNSNPGVDLLDDDAGHKYHSLLDAQRDAAHYAMDDLEPGVELSVTETNWPPKGRPHHQGGSGGHHRGGRRLADDGDGVFTVANAQAYVNNLINRVVAGKTGTPYRPDAQMDVYIFALFNENQKGDGPDDIEQNFGLFYPNMQKVYPFSFQGGSGGGGGAPSGGGQTASSWCVANAAVGDARLQAALDWACGHGADCSAIQSGASCFEPDTKLAHASYAFNSYYQKKGRAAGTCDFAGAASVVYLEPSMCSARASWCVANAAVGDGRLQAALNWACSNGADCSDTQPGAACFDPNTMAAHATHAFNSYYQRKHRASGTCDFAGAATVVYEEPIMELSRLLVVILGAALALLLLSSRAEAEAGVSYGRVANNLADPDSVMQLLQQNGITMVRIYDANPTVLNALANTGIKVMVMVPNENVADVARDPSVAQRWVRDNVKAYHPATHIHGVAVGNEVFDSRPDLTQQLVPAMTHVYDALKSLGLADAVKVSTPIAFDAVTDTYPPSRGRFKDDIPQPVMKPMLEFLKRTGSYLTMNIYPFWAYYNQPDEIPLDYALGNSNPGVFDAETGLRYYNLLDAERDAAHSAMDKLVPGVELYLTETNWPSRGSPRHAGGGRRLADDGDGVFTIANAQAYVNNLINRVVAGNTGTPLRPDAQLDVYIFALFNENQKGDGPDDIEQNFGLFYPNMQKVYPFSFPGSGQTASWCVANAAVGDAGLQGALDWACGNGADCSAIQPGASCFQPNTKLAHASYAFNNYYQNHGRAAGTCHFDGAAYVVNQEPTDTCWSWCVAKASVGDAGLQGALDWACGNGADCSGIQPGAACFQPNTKLAHASYAFNSYYQRHGRGSGTCHFDGAATVVYDQPSELTICSSNASSSCPSTSQL >Et_4B_037131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15040926:15045499:-1 gene:Et_4B_037131 transcript:Et_4B_037131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVPRPTNQLALCHRFPAVRPHQTSAADPTRQRRCLVQSAKYTKSEENEEVPSRQGSVRGGIRSTPAGLKRPPLIRFPLPVSSSPVRSSPRELRRASKRAGEEEAEEEPARQATTQVPSSSSMGIVEEAHNLRVVGEGKRGVIVLAHGFGTDQSVWKHLVPHLVADYRVVLFDTMGAGPTNPDYFDFTRYATLEGYALDLLAILQELGVESCIYVGHSVSAVIGVLASISRPDLFSKLVLLSASPRYLNDVDYYGGFEQDELDELFEAMRSNYKAWCSGFAPLCVGGDMESVAVQEFSRTLFNIRPDIALSVAQTIFQSDVRTLLPLVSVPCHIVQSTKDLAVPVVVSEYLHRHLGGDSIVEVMPSEGHLPQLSSPDIVIPVLLRHIQHDIAV >Et_3A_026117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4472016:4490162:1 gene:Et_3A_026117 transcript:Et_3A_026117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METEAKKDSSNDTAAPGTGRRSSTSNNSGSSTTAAVERKEIERKRRQHMKNLCSKLASLIPKEHYSSKDTVTQLGSLDEAAAYIKKLKERVDDLQQKRSSAQLLATMRGVGVVGASTSSVVAATTSGDAGSSEEAAAEDEVVAPVVEVRHQQDESSLDVVMISSVERPFKLHEVVTVLEEEGAEIINANFSVAGRKIFYTIHCRVKEKRGRSSRTAEAGGKSSTSNNSSGSGTATAGVERKEIERRRRQEMRRLCVKLASLLPKEQYTSKDTMSQLGSLDEAAAYIKKLKERVEELQQKRSSVQLVADMTRGAGGALTSAATSTSGNSGSEEAAAEEAVPAPVVEVRHHNDGLSLDLVLVSSVERPFKLHEVVTVLEEEGAEIINANFSVTGQQIFYTIHCRAFCSRIGIEFSRVSERLRALNETPSIARLLHVCKEEAFLWRCRLPRTDVAVSDAWCSFLYMKSRRQSSGGSAGEGNTHSSGGGGGCKMERKDVEKNRRLHMKGLCLKLSSLLPPAAKQASLLQATVPATCSNPNKDTVTQLDHLENAAAYIKQLKGRIEELKRRKGGAGGDGSCKKQRVEVATAGVRMPVIEVRYQDGTLDVVLISEAGRPFKLHEVITVLEQEGAEVVSASFSVVGDKIFYTIHSQALSSRIGLEATRVSERLQDLLTDD >Et_2B_019295.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22082419:22082508:-1 gene:Et_2B_019295 transcript:Et_2B_019295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSEESNHHFSHGKLVSSAQLNNRCID >Et_7A_052927.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2720606:2721403:1 gene:Et_7A_052927 transcript:Et_7A_052927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKLSALLVLATLALSSTAREMAVVQPECNSCQTGAPSASTPAGGITLPPVAVPKLPSLPVPSVPLPSLPLPPVSVPKLPSLPLPSVPLPPVLGSGTPLPVPPVTGLPPVTLPPVAGVLPPVTGVLPPVAGVLPPVTGVVPPVLGGGGGSPKHSSPKVRHGGRKACPPLPSPPTPTPSPASDTCPIDALKLGACVDLLGNEVHVGDANVQCCPLVKGVAGLSAAACLCTAIKAKVLDISVYVPIALKLLLNCGCAVPPGYTCA >Et_9B_066123.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:4292614:4294059:1 gene:Et_9B_066123 transcript:Et_9B_066123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPEFRVLDTENVVIATTPDGDRACGVPLTFFDVKWLHLPPVERVLLYRLPADADAAAIVASLKSSLSHALRAFFPLAGRVRLVVAPGTGARIRHELLYQPGDGVPFTTAEYDADVDELAADDGVRVAAVAPLAPPLPEGRAVLAVQATMLRRRGIALGVTIHHSACDGRSSTHFLHTWASAAAAADGDGGKHVMPAAKVIDDPPVIDADRTLLPDPRGLYDTYLDAMPPIARSPELEFVRSKQPPPGDDVAVATFTLSAEALQGVRSAVAREAARRGEALSPRCSPLVAAYGLMWWCHVHAFPDKRRSTYYFLFSVDQRARLKPAPLPDRYFGNCMCPAIATVPHDEMVVVSSSDGGAVAGGLHAACAAVAAAIEEEVAEGAQEERWDGCVARVKRAVANGTLSVAGSPRFRVYGLDFGFGRPVKVHMVSVAKSGAVSVADARAGGRGLELGVALPPDAMGRFRDCFAKAMDAFLLRE >Et_3A_023993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15869201:15871423:1 gene:Et_3A_023993 transcript:Et_3A_023993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGRQGRSGGFSKALKEQRSKLYIISRCVVMLIRTSSSTQRENHTVKYCLCLKSEHSSYQDEAWEPKERRIQQSSQGAEIKALHHFAMCRYAGPVA >Et_8B_060778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7141770:7145987:-1 gene:Et_8B_060778 transcript:Et_8B_060778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEARVPMLPTAAATATARPRRGRLLKAVTAFLRLVENAVLYAFLAALWANSVGGTFVEILGRWVCGKGSSVEAAGKAVAAWCLFVVARLLPVCIPLFMMRVSKRAKFDLAMEAKERREEEDREKAMPASKHIITVASANEQLPERNRAQPRLPKGFNLTSLYACVPLFKLLSLGTVMQLRHEEGSFMWRVGSAVFDVAHLGIAIIVAFWGVRNLVILVTVPRSEDGDDVMLTSLCCTVTDLRRPHGYREYVE >Et_1B_010324.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7825715:7825858:-1 gene:Et_1B_010324 transcript:Et_1B_010324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVVDALGVAIDEKDALNDHERRHVEGKSLRDFERISVLEPMQLA >Et_2B_022836.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6361905:6362195:1 gene:Et_2B_022836 transcript:Et_2B_022836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEQRAATALAVQAPVQEQLPYFPYAAQGRASETLCAICVEPLRQGQLCSEGTACRHAFHRECLGEWAKRTGSCPLCRAKIVPGSDEVAVADDIV >Et_6A_047812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17857277:17862228:1 gene:Et_6A_047812 transcript:Et_6A_047812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTTSSTSPAPDGDHGHHHHHSSPSSPPATTQLPPPPSLPPLIPACDPHDGPACLQLIEDLTADAGAVQRRVLDRILAMNAGTDYLRGFLGDAVTDEGRRSSAAELAAAFKERVPVVEYEDVKPYIERIASGGAPSSLISSKPITELLTSSGTSGGQPKLMPSTEEELDRKTFLYNLLVPVMNKYVDGLDEGRGMYLLFVKPETATPSGLVARPVLTSYYKSRHFRERPDSPYTRYTSPNEAILCPDAAQSMYAQLLCGLARRGEVLRVGAVFASAFLRAVKFLEAHWRALCDDLRAGRVDPARVPDEACRRAADAVVQARRLPDGPAIADAVAAECAAGTSWRGIVRRLWPRTKYIDVIVTGSMAQYIPLLEFYGGGLPLVSTMYASSESYFGINLQPLDRPEDVAYTLLPNMCYYEFIKVEKDGEEVREGEVVDLVDVQVGGYYELVVTTFTGLYRYRVGDILQVSGFHNAAPQFRFVHRRNVVLSVDTDKTSEDDLLRAVTAAKRLLAPLGGAILSEYTAYADTASIPGHYVLFWELTPPPSLPSSNDGDDAKAAVGRVMSACCAAVEAGLDAVYRRCRSRDRSVGPLEIRVVSPGAFDALMDLCVSHGSSVNQYKTPRCIKHPDAIAVLEARVVGRFFSDTVPHWEPMKLVEATDTESSAAADGA >Et_1A_006500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23379176:23380313:-1 gene:Et_1A_006500 transcript:Et_1A_006500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GNCCALWRSQSSVYSGRLVLGPGCHAHQLPVTVTHPPSFQDNKGGRDSRSMATTMLPFLLLLLLPAAASGAGNGRKLVFILAGQSNMSGRGGATNGTWDGVVPPECAPSPRILRLNPALHWEEAREPLHADIDVGNVLGVGPGMPFAHAVLAAVPPRDGVVIGLVPCAQGATPLANWTRGTELYDRMVTRTKAALADCNGDLAGMLWFQGETDAMKREDAELYQGRMEALVRDVRRDLNKPDLLVIEVLIVTAQYGGKYLDRVREAQKAVSRSLPNVKYVDAKGLPIASDYTHLTTQAQVQLGNMLAKSYLAAR >Et_2A_014902.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20983722:20984039:-1 gene:Et_2A_014902 transcript:Et_2A_014902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRALPMEWEPESATRSVTERLRPAKRWISALALARGLGMMSSASLWLARRLSSRPSLTSQLGPPVCDKEKKERSTKSNQLANGVVYLTHRSSMQSCHSSNPI >Et_9A_062802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5041867:5046817:-1 gene:Et_9A_062802 transcript:Et_9A_062802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYRGMTNLRVPHFGTAVWYGFGCRCWLKWRWRLQQLSFSILMVTASHHPMDIEEHPELIFGEEFCFPATATYYPTLQMPTGISRAQLCISSIINLLSLGINVPAEFYGHQTIPGFNNRGHHYMGHQAEGTSRMCYVVPGYTHPPHGPHPLLPFAIANGRTARTQNHHADNVKHPYYQQVYSSHYAVLPSAADMALASTAQPLADSGLFSPCGPGQTVDAASERDVAHSPSLQSASVSSRKFQNHTVVPEGRLRGTGPWKRQLADRPRVSRQSWQAAVPSVQSSPQTNFSYEDHVPNVGSHYSKMSAENSQPCSETSSYSKRRLSFLNKYNVCKPEKPIGPMPSEIIVKSYTSRLNIGNPEGKIILKTDQYNRDEFKVVYPNAKFFVIKSFDEADVHKSIKYGVWSTSSLGNKKLDRAFREAQAIAARGSTPCPVNESNCFCGVAEMAGPVDWQKDMDFWCKDKWFGSFPVKWHIIKDVYNSCLRGILLENNENKPVTSSRDTQEIHYTPGTTMLKIFKFHKGNGCLLDEFMMHEKEESRRSRGRRFQLRQAAPQSDSIVMDRITREINGLTGKLEGLNLDRRQGSWQQFGNLTSITSTTNVQNYGMQALENIVNATTYQAHQPLISKAKPALNGVQQYWKNIEIAPTERPQPEAATSVSLTAPEEYGNEDQNVLMHNTSEVPEMIPEEQKIIEKS >Et_1B_010207.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:367442:367582:1 gene:Et_1B_010207 transcript:Et_1B_010207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICYSFETACLMQVSGSFLLKFLLVFNLENMSPKIMFCIFLSGGTW >Et_10A_000494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11336662:11349039:1 gene:Et_10A_000494 transcript:Et_10A_000494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IHLAPWPPPRCRCRRRRRQRRGTGRLPHDVLGSVFLSLGQPEVLQSAGLACAAWWRFARHEPELWRRIDLTTLGDCDEDEHDDDEELSDNSLSFNLFDHGELSDDCFSFHNLFQHDDDEELSNDDDEERSDDRLSSISLFEDEDNIIDPRSVIIVGRSRMKNATDKSTMATASSSSSLATLPPPPPAVSGWACLPCNVLLDIFLRVGHRGVLQSAGLVCAAWWRPARDELALWRRIDLTMPDDDALELVLNFHDCNGIHKPIEPSTNCWAELLLSACVACRYLNRFTMRHVGRKGTACCHHNQRVSKYSGAFAMPTSPGLLSLRLLGNSFTRGVLLRMISACPNLKSLDIRELYVDQQDQHLRIKCSRIDNVSLPFPSFYVDEESDEEDIFGKPLIT >Et_2B_021607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3537942:3541753:1 gene:Et_2B_021607 transcript:Et_2B_021607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGGVEVIERFHDERVKPTIPIHQKQAELAASRLGLGSSGKNKLFVVGHDLGFSKIIDPSSDFILRWTYIFRITCFIALFVDPLYFYVPKIVYGPRTCVGEDRRLAIIVTVFRSIADLFYAMHIVIKFRTAYINPSSTLGVFGRGDLVTDSKDIAKQYLRSDFAVDLVASLPLTQIIFWSVVPAIKYSSSEHDSNLLVVVSLFQYVLRLCLIFSLNSRIIKVTGTFAKTAWQGAAYNLLLYMIASHVLGALWYLLSFDRQASCWKRYCRQEINETGCLPWYLNCVSKPDPNWANKTSVFTSCDASNDSISFDYGMFKPLLSNTTPSQSFLKKYFYCLWWGLQNLSCYGQTLSVSTYMGETLYAIFLAMLGLVLFAHLIGKVQTYLQSITARVEEWRLKQRDTEEWMRHRQLPHELRERVRRFIHYKWLATRGVDEESILKALPADLRRDIKRHLCLDLVCRVPFFSQMDDQLLDAICERLVSSLCTEGTYIVREGDPVAEMLFIIRGKLESSTTDGGRTGFFNSITLKPGDFCGEELLEWALVPKPTVNLPSSTRTVRALVEVEAFALRAEDLRFVASQFRRLHSRKLQHTFRYHSHHWKTWATRFIQHAWRRYKRRKMANDLSMRESFSSVRSFNDGASPEQNLAIRRGTHIIKELPKLRKPSEPDFSAEHGY >Et_1A_007394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34076148:34099161:1 gene:Et_1A_007394 transcript:Et_1A_007394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTSSVLGAEAFLCSGRSRTFRGWCTHSTNCAAVCMTEDYHSGHCEGIVFRKCMCTKNCKDKPPGGEKGKPAPGVKPPPGGGGVKPPPGGGGVKPPPGGGGAKGPPSPRIEMSARALRATLCTARGSSYKGRCYHNMSCIPVCMTENYHGAYCSTSIILETMKGGKIAATTTALLLLLLALGAEAELCKERSRRFEGECYHSMNCAAVCVTEDYTSGFCEGMVARRCMCTKECGSEGPPGAGDEDSPPGQGPPAELTTARARRAGGHVWRLSCAPTSEGTAIPPWTAVTSATEKDSLEVNAKAWPRSACARWSAEADICKQRSSTFKGWCYHDMNCAAVCVTEGCTSGFCQGIIPRECMCPKQCEPGGGGDDPGTGGPPAELTARARRAGGHLCSYYKGHCMPGMNCHDICVKKGFLGGYCKGTLVLECMCTTECGRGDDPPPGPGDNAPPSDGGKGETPPGAEAELCKQRSRSFRGPCFYDMNCAAVCVTENYTGGFCRGFLFATRCMCTKECDSAGLSGISVLGPSGPPAELTERARRAGGPV >Et_5B_045259.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:15057613:15057921:1 gene:Et_5B_045259 transcript:Et_5B_045259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHDVDWAEAPELRDKIILHTWNNPCYVLPVPAGDDFLGLRKNGVYFFNYQHYLEGGMLQGGYCLCIYDWLERVTTVVKQMPGDNWNWHKGCGSCQPSTSS >Et_2B_019968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15738950:15741689:1 gene:Et_2B_019968 transcript:Et_2B_019968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGDDLDLLLSLGEAVPETPPSSPRPGGNSESYGAFTPPRAAPTSNSDMSVFRDAVKDCLEAVPAATSPLSNRPKRPKPSETLVDKYSGLRIKHLALSPVEISNRFADIRFMRISAMKNYVGSDKFSGCWATAGVVLDKGVPRVSSQGTSYSIWKMGALDDADVSVFLFGDAHTHYSGAAVGTVFALFNGNVRMDNEGRGFSVSVASVGQMMKMGVAADFGLCKGKRKDGMACTMAINKSKGSYCKFHSSNTSQKFTTGRVELKGGNFKFASKLRSEGIYMVNPSERPNPRKPFQPVKVINADRVTTKNQSQGIRFLSHVTANMDNVKPIVPSNGSSANQQKSKLNLNRSSASSGAKALPKQGLRKPEQDIKRRKMNNPPPNIVELDAVSSDDDEINIVLRR >Et_3B_031274.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23832272:23832694:1 gene:Et_3B_031274 transcript:Et_3B_031274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLATANPNIAFCFLPVPFCGKNHHSHPVMRTIDMLRVANPSIRDFLRVLPAVDALVLDMFCTDALDVHAYFFFASALGDLDIMPHLPYYYPTAPSSFKDVPETVLRFLGVPAIRALDMATTMQDRDSDIARAWMHQYT >Et_2A_017569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3705689:3718023:1 gene:Et_2A_017569 transcript:Et_2A_017569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLAGNGVAASAAVALFLCKRNAPRTQNGRRGLLKKLFVSGVPGFAACLSLLWLLMLIMEKFDGKNVENYELFFKFSQFITWVSVSLACANGPWFEILCNPIMCFSWILKILLEIPHLQYKLTLPKAMASVTEIVSFSTASIFGLFVIVAAVLGRSNNERRVNSIEAPLVPDNEKAESETMDLVDKDHNLWELLTFKIVNPMMNIGITRQLDFADLLELPAELRAASCYEKLVSSWEAEHQNHHDNSSLLRAMFGAYGWTYLRLGLLKVINDSISFISPLLLNKFIKFLQQGSDGMDGYLLAICLGLTSLVKSFLDSQYSFRLAKLKLMLRSSIIGLVYRKCLCLSLAERSRFSKGEIQTFMSVDADRTINLCDSLHDAWSLPLQIGVALYLLYMQVNYAFLSGLAITIILIPVNKWISTKIAGATEKMMKQKDGRISCAGELLAHIRTVKMYSWEKLFTERLVQRRELEVKHLATRKYLDAWCVYFWATTPTLFSLFTFSVFAIMGHPLDAATVFTCVALFNTLISPLNSFPWVINGMIDAVISSRRLSKYLSTPEHCSSESAALGDLTNCDFETHTESVHDPRTLVLQNVCCSWSSSSVVKPSVVLRDISLQLQKGLFVAVVGEVGSGKSSLLNSIIGETHVVSGSISSCGSVSYVPQVPWILSGSLRDNILLGKEFDPMRYEEVIQACALDVDISAMGRGDMSQIGEKGSNLSGGQRARLALARALYHNSDVYLFDDVLSAVDSQVASWILEKAIMGPAMKSKTRIISTHNLQAISAADVIVVMANGVVNWFGTLDSYLATPYSRISKMDSSSATSSTVSEEDKTANGLCGFKTDISLDDDSMVASEEQKDQVEAEARKEGRVELSVYKNYATFAGWFIVFIICLSAFLMQASRNGNDLWLTHWVDTSTGTDNIRFYLIVLAMFGIINSLFTLGRAFSFAFGGLRAAVHIHASLLENIISAPVCFFDQNPSGRILNRLSSDLYTIDDSLPFILNIFVANFFSLLGTLVVLSYSQVSFLLILLPLWLIYRKVQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKQDFFLERFIQHVTLYQKTSYSELIAGLWLSLRLQLLAGFIILFIAVMATVGFQSNSLANYGTPGLVGLALSYAAPVVSLLNGFLTTFMETEKEMISVERVVEYVGIPQEELQGLESPPRSWPTQGTIEFEHVTLRYKPELPSALSDVAFVIASGTQVGIIGRTGAGKSSILNALFRLAPICNGRILVDGFDVSRVAVRELRGHFAVVPQSPFMFDGSLRENLDPLNTTTDIRIWEVLEQCHMKGEIESIGGLDIHVKGSGASFSVGQRQLLCLARAILKSSKVLCLDECTANIDNQTAFLLQNTISTECKGMTVLTIAHRISTVMKMDNILVLDQGKLVRSTPSVF >Et_4B_038475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29270780:29272899:-1 gene:Et_4B_038475 transcript:Et_4B_038475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEMEVAGAQAEIEWDGGGGGADAVLGLAGGSASVSLCYHQAFGPHDDLVLLEAADDLLPDLLQGRVTIRGRPDEEAVLCTPSATYAMKFVGTSNSMFLIPPGEPSAPSLRPDNTNGDAVAAAIKLAPGSIELIQTAPRLDKLRNLLRERPYVLDEDLGDGFQHKKGLYTWNDLCELVQASDSEMSDGLNSLSAVEIDGFWRTVDSSSLNTILDMILHNSVLHDWPLNALPENDVISVMESDGFMHKIVAHCLSIFCKKVDQEARSFWSLDERRVCLQFARRALGAGKMKLGNFMDKWERSIPSGMHADLQMLEGEVLCEKLGAETWVHAFSVADLPLTPAERFAALFRERPKWEWKDLQPYIRYDLHQFHFRFCCGKICSPTLRTCAYLVSLQKDCLSNILEEPNQALTLIQFLPLDK >Et_5A_040985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16018161:16020020:-1 gene:Et_5A_040985 transcript:Et_5A_040985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTIEFRDSTSGVLTLEDQMEGCHSKEISSAEAVLVGALSSGVNAPTWFVLKITFLLLAVCFTAMLSLAFSSKNFVIVGHVLLLVTIGAVLFVLLNRFLAEVGLVPVEQQMQEIGIHKTEATDKDKRN >Et_10B_003261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18363323:18369415:1 gene:Et_10B_003261 transcript:Et_10B_003261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSPTTADRFRGFAPLRLEFGFWFRAFWLESCACASFHTVISEGINAGDVKKLRDAGIYTFNDLMRHTKKVLSLASLIFILFPKMYCLDGSLTGIKGLSEAKVEKICEAAEKLLSFEEIKGLPEAEVEKFCEAAQKLLSPSSQADEGVEDDEGFDSIDMLIFEGIHSVDVKMLKDAGVYNCYDLMKQTKEDLRGIKGLSEAKIEKIIKAADKLRSERLKTGRNLLINNYVVQSTTGSQAIDWDYIPDLSKEAMPPADAGAPSRPSGGQSWGLLNRMVFFVDQKGVDLDGVDPDSLKVCIVREPLVKTIHPDGVHHKEIPEVSVVVMDGSILSGSSSCSITGLVKCTDPAGNILIKVSQGPDTERLLVYQPGSGTALRLPPVPDSLRVQNPTPCCTGIVSDPCGGSKDFVVVQLLQASPASSSSTNLLQALCFSNREDAWTLKDLKADQLPRFEAKSVISHSDGSLVFVDLEYGMLIFDPSKEDVVRDIPFPESTRTSHSRCVATSEGRLWHVLVDGVPSDPKITMWWFDKEAEWKESVCIGMDEVSSLSDYKDAKLPDDVPQIQFIHPFNCNKLFFTLNNSMFSIELLPIELLPPKPLVLHNLSFEVFNNCCSPPADLYPWVCDPYQCPPPTGSPTWESVLKATETAKGLAAVAWRTLKKNKKVLEKAPVVAEAAGRIADKFLLPFPVTPMLRAGADVLVKVGQAIEISDSVAKILPSSSPQLDYIVVGTEQEARDAITKWDGQGRPGNMTVALAPSCCLSNDEKKRIRGIFISRDARSLMSGSWMDDFVALMELKAVMTDQNVTFLLIKQNGFVELPIMQNASRIN >Et_9A_061246.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:24250685:24250912:-1 gene:Et_9A_061246 transcript:Et_9A_061246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIAGIALLVILVVGAELVAVPEARRIVQGRAAVGDGHWAGVVRRQYSKWNTRRVLGGDKRSVPGGPDPQHHY >Et_5A_042039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5531097:5533387:-1 gene:Et_5A_042039 transcript:Et_5A_042039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTVSSRPSSLPSSPHRPALRPGSLQRLLRPPEPDPDADDAPTPRSRSRAALLQVTNITPALSGADPFSGHHGFYLRLSDSSRSCYVSLHADHDDLILANGLHIGQVIEVERLVPAVPVPVLRRFRVLPGRYPCVQQQDSGDDEVKEVVSERPRRPSPTPPLPERRARQPGSPAAIGHYHRSLSTTNISEAGSPSAAAAKRRVLDSPRSLRKINVPSVYGTSSDDDESDVSSSYSSSLSTARRNWDFTGAIKDVRPVATRRRGNSISPSKSVPNPIAQQNDAMNDPLESVRRKAEKAFKVLSKKNAHASSQTPRESLCAVVTPQSAPSSGIKWCENNVIWSSLSSSLVKHGKEAVKQRDIALQAVLDGLLEASASEKLIKCLSKYSELQSDRDDDPKELIDRFLRKQSAISLIRAAIEADLSSLPGHTRVTPESAKASVVEAKPVSPLFCSKPKCNCNSRSSKKTADASTEGSNLNAAMDLAIAMRSDCNRWFLRYIDKFLDDIESETDYTTCDAQIVGFLQQLKKVDDWLNRVVRHERMFLIDRSNKDCILSEEEESDACERVWRKIYSALLRHVQYAAMALESINSVTDGEKE >Et_1A_008270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5882915:5885261:-1 gene:Et_1A_008270 transcript:Et_1A_008270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDPNPFDEGTDENPFSNGGGGGVAGGRGGGGRSQFGFRPSEPAGFGGGRGDATVDIPLENMSDSKGKATELSQWESDLRRREADIKRREEALRSAGVPMEDKNWPPFFPMIHHDIANEIPANAQKLQYLAFASWLGIVLCLFWNFIAVIVCWIRGGDSKLFFLATIYGMLGVPLSYLMWYRPLYRAMRTDSAFSFGWFFLCYMLHILFCILAAIAPPIVFRGKSLTGILAAIDTFSDHAIVGVSTSIYSSGHVLFLQPRKYIIFYFVGFALFTLETLVSIWVLQVCYLSAVPFYHF >Et_1B_011231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19080154:19083865:1 gene:Et_1B_011231 transcript:Et_1B_011231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTTNSPTTSLASEMFRIRFSSSSVAEGRRRKTQERRRLYSGMLVAISWARVLSLFAEYFPPRVYIKSSGVAAEDNFFFFGCTTGTVPLATAEGATVGPMVLLGGFIGFGVMESCNFSKFFLCFFPCLWSKRTSKNVLWQRMPEMGIPLSM >Et_8B_058665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15205325:15206018:1 gene:Et_8B_058665 transcript:Et_8B_058665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIKLRLPDAAAHRRLASYLAPRLCRVHAQRNLFFDAAARPLAAAAAALRVRLYGPDDRAPARAVLSLKRRPRLDAGVSRVEEIEAGSGTRAPSSSSRTTARGWGSCWSLTRRGSISGPVTSSSVRRRSLTKPRRCWSGCSRLLECHTSTLEATSSGASWQGSCFLDLVCVLCITTWVQLCWD >Et_9B_065131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21414192:21415655:-1 gene:Et_9B_065131 transcript:Et_9B_065131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAECANVVRAKKEADLFLVDDLLDLPCDDDEEEEVVVEGEDTKAGVVDGAAGNASNDSSTVTALDSCSNSLSGLADGDFSGGLCEPYDQLAELEWLSNYMGEDNFPTEDLRKLHLITGVPPAAAATASAPAPAAAPAQPGGGVLPPEAPVPGKARSKRSRVAPCSWSSRLLVLPPPPASPPSPASAAISPSESGTGAAPAFPAKKPAKCSKKKDAPATPPAAAPSPAATAAAGTGEGRRCLHCETDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSKHSNSHRKVLELRRQKETQGLPHHPQPPMLAHVGAASSAGGGGGLIHVPSPLLFDGPSAPLLGDDFLIHNRIGPDFRQLI >Et_2A_015561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1529176:1536408:-1 gene:Et_2A_015561 transcript:Et_2A_015561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQRRGKRAKAQRHFQAGSRATIDGATDVFPFSGENRQKYVDHSASALTLKEPAVCSGQLTVDIAFRESITIAPPAVPQNTMDSSSSTFPSNREKLVVGAAKSASALASQDPDISSLEELVDKATLDQTCTVGHNTTEFSPSSSLSIGSAIFVRKPSNWYQTFFISMDRAGCFHTFPYVGGPFQSLQEADNAINHHVCTAPLQRAMFGQHELSPVDWLVQQNFYYRDGTPRKGPNSPARKNPHNELHYLVKALLHQYNDHRNLSKFLPPSLHAHFHAGLSTNNYHLYMHCDVAHELEDLRKYQWVFEPPTSYYHFNFTTKTKEAGIEYLFFAEVSRTKIERDWVVRCCCIIQSFDNGLCYGCKKNGSSKMKHPNNRRAYTGGHMNGYLPLGGDATSDSSEDEDQHDFE >Et_6B_048315.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:11639425:11639802:-1 gene:Et_6B_048315 transcript:Et_6B_048315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLASKTVKALSFLEVHYSSDNQLLVTTLQERDFRANPAHWRLRPLLYDFQQNNIAINFTVNKIPRANNSAAHNLAQKALRHPSSGNCSFTCSHLAHHDQCPVLEALQLVSWGDFTPVTITCR >Et_10B_003365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19140133:19144184:1 gene:Et_10B_003365 transcript:Et_10B_003365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPLNSVLPVVLLGCGGVGRHLLHHIVSCRPLHAKQGVAIRVVGVGDSSSLLVADDVHSSGFDDALLTNICTAKSAGSPLSSLLGQGPCQLFKNPEANVKVIDTATVLGRSTGLVLVDCSATYDTVGMLKHAVDHGCCVVLANKKPLTGAYDDFEKLVSNFRRIRFESTVGAGLPVIASVTRIIASGDPISRIVGSLSGTLGYVMSELEDGKKISEIVRTAKSLGYTEPDPRDDLGGMDVARKALILARLLGQRISMEDINVESLYPSELGPDVMSTNDFLESGLVQLDKSMEERVKAHL >Et_4B_039362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11868031:11869602:-1 gene:Et_4B_039362 transcript:Et_4B_039362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVAALAAAVLLFLPSLLAPVVVAQPKGAKAFCISQFAIASQACSILPPSPPDEHEHHDDDDDDDDEEEDDDHDDDEHHGGDDEHHDRRRRRGHRAAAIGLSALLAGNDSHGVVVAVSNRTGGGGHHGNRTGGHHGRGRGRGLSSFKGFWK >Et_4A_034709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5693718:5696504:1 gene:Et_4A_034709 transcript:Et_4A_034709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDKVDAKEREKIEAVRKLLRKQAPLSAKQAQYCNDACVARFLRSRGESVKKAAKHLRTVLSWRETVGADHIMADEFSAELADGVAFVAGHDDDGRPVVVFRIKQDYPKFHSQKSFVRLMVFTLEVAVACMSRFVDQFVLLFDASFFRSASAFLNLLMGTLKIVADYYPGRLHRAFVIDPPSLFSVLWKGVRPFVELAPATAVVCSLDFEDSLEDASFTAYPRTASLRFEPASAAAIVGKAGVGSASSRFSVVPTDNPIKPWYLSTIPASVGSRSVVPTSGSPSLVGASPLSARSFSFASPAALRSTPAATPPFQSGGGAPLTPFSVSGGKGQKTPPAMSQQQQFPRTPRPSFLQSPSMLFAFRNKDGQASRGERERESFLPFLRFYRRPYDEISYRAKMRPPLGGLISIVGEKFKQKPMQQQQPVRRHAGLHHHHQHQQQQQQHHYHHHQPQRS >Et_3A_026175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5090503:5093985:1 gene:Et_3A_026175 transcript:Et_3A_026175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRWPPEEPEIFPTRMVAAGVWGTIGAGGPPAPPGEMASDDDRSVAADSWSIKSDYGSTLDDEQRYADTAEVLLSSASTSSAAAPSASVAVNPSPDFSFDKDVPDPSDVEPPMLGLQNFQDGAYAEDVANFHERSHVDDWFGTEVMDVRVGWTKNLCSSKDLPSCSVLDIGTGSGRLLQQLAKQGFSDLTGIDYSEGAIELARNLAIRDGFEHINFLVDDVLESKLERRFELVMDEGTLDAIGHHPDGPVKRMMYWQSVARLVSPGGILVITSCSRTKDELVQEVDNFNQRKLGAMGSEGMPASDAVVFSYLDHVRSYPSVDSSCITTIAFLHKIDNCVLPLFFLFPFLAF >Et_2A_015838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18271360:18275133:-1 gene:Et_2A_015838 transcript:Et_2A_015838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPDGPSALARYGTYCTPLPHLALSVLPDLIVCVLLSPLPCLILQRNNSGGELEGTKSVEAFTVPANGLIGRYDLWRSELASNFYGCSNSNSKFLDSNMSTQPDRYLIIVTSGGLNQQRTGIVDAVVAACILNATLVVPKLDQTSFWKDSSNFSEIFDIDWFISSLSKDVKIIKEPPEKGGKAMRPYKMRVPRKCTPRCYLNRVLPAMMKKHVIRMTKYDYRLSNKLDTDLQKLRCRVNYHALRFTDPIQELGNKLTQRMREKSRYFIALHLRFEPDMLAFSGCYYGGGEKERRELGAIRKRWKTLHIHDPDKGRRQGRCPLTPEEVGLMLRALGYNSDVHIYVASGDIYGGEDTLAPLKALFPNFHTKETLSSNEELAPFLKFSSRMAAIDFIVCDESDAFVANNNGNMAKILAGRRRYFGHKRTIRPNAKQLYPLFMKRGNLSWDEFSSQVRIIQNGYMGDPMEIMPGKGEFHANPAACICGMPQRNSGVIPSSRSNRELVNITEITKTILQPPYPVYTDEEADISDTEDDPTGRGEAIDAEADDDSVVRREDPELEEILSD >Et_9B_064687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17628239:17632619:-1 gene:Et_9B_064687 transcript:Et_9B_064687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAQLTPSSVLPLSPPTSDSHIVVDLHRRNIFSEVETSGPVPEPSSRFTVDLVGPRVLYCADEAVDLLLRSGGSHHVEFKSVEGGSLLYWEGQLYPVPDSGQAIFNDAATLKLTDKTVMFRFFKLVKAHIDAADEDGEGEASDKISEEDMDLPFVEYLKKQQLTPKMRAVVLYAIAMADYDQDTDCCEKLLTTREGIQTIALDSSSIGRFANSKGAFIYPMYGHGQLPQAFSRCAAVKGALYVLRMPVSALLMDEERKNCLGARLKSGQDILCQQLILDTSYKVPILDAPSDGTDSNLLKKVARGICITSKSVKQDSSNLLIFFPPKSLEEQQIAAIRVLQLSSDLAVCPPGMFMVYLSSPCADTSTGKQCIKKAIDALFAPQASEGHLEETNENNEDLKPTVIWSCVYVQEITEGTSSSLLACPTPDEHLDYRSILDSTKKLFADICPNEEFLPKNLAPVYSDDDSDSADLQLGAFRKG >Et_4B_039790.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29772690:29773859:-1 gene:Et_4B_039790 transcript:Et_4B_039790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAQAQAQAQKEVEADEPPQQLEVVVFDAARLSGLSDIPAQFLWPEEESPTPDAAEEELDVPLIDLSGDASEVVRQVREACEAHGFFQVVNHGIDAGLVAEAHRCMDAFFTLPLPEKQRAQRQPGDCCGYASSFTGRFASKLPWKETLSFRAKAKATDDDDDDVVGYYVSKLGEAYRRHGEVYGRYCSEMSRLSLEIMEVLGESLGVGRRCFRDFFQDNDSIMRLNYYPPCQRPMETLGTGPHCDPTSLTILHQDHVAGLQVFAAGRWLSIRPHAQAFVVNIGDTFMALSNGRYKSCLHRAVVNSSVPRRSLAFFLCPEMDKLVTPPPQLLPDLPGDNQRRRPYPDFTWRTLLEFTQKHYRADMKTLEVFSNWLRHGQDKAALPPLC >Et_8B_059263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15974702:15978611:-1 gene:Et_8B_059263 transcript:Et_8B_059263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VIAINNQFPGPLLNVTTNENVRVNVQNNLDEPLLITWDGIQMRMNSWQDGVAGTNCPIPPGWNWTYQFQLKDQIGSFFYFPSLGLQRAAGGYGPITVNNRAIVPVPFDRPDGDITLFIGDWYTKSHIELRNMLNDGKDIGVPDAVLINGRAPYRYNTTLVPDGLQYEIVGVEPGKTYRFRVHNVGISTSLNFRIQNHNMRLVEAEGTYTNQQNFTNLDIHVGQSYSFLVTMDQNASTDYYIVASPRFVSNPQWSEVTGVAILQYSNSKGGASGPLPDAPNDYYDKYYSMNQAKSIRMNTSAGAARPNPQGSFHYGSINITHTFVLKNEVALRVNGKRRKTINRISYSPPETPLRLADLHNLTGVYTTDFPTMPSNAPARIASSVLSASYKGFLEIIFQNNETDIQTYHLDGYSFFVVGMDYGEWTPDRRNGYNKWDAISRSTTQVFPGGWTAVLVSLDNVGIWNLRAEKLDNWYRGQEVYVKVADPLGYNITEMVMPDNALYCGLLKERQKPQVHQSNSKSSAQAAAQWSARVLASSMLIIAAVFLS >Et_9A_061923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17965756:17967655:-1 gene:Et_9A_061923 transcript:Et_9A_061923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAPGFRFYPTEEELICFYLRSKLDGRRSSDIERVIPVADLCAHDPWELPGTSKVHRGEFAGGEEPWFYFCRRQEREARGGRPRRTTPSGYWKAAGTPGWVYAADGRPIGSKKTMVFYLGHAPAGTKTEWKMNEYRALEDADAPSHVLQVNNDSTTADKIRIHTRSEFSLCRLYIKSGCPRQFDRRPISAAAAAAGGGGENPSAPPSSTAALATVEETGNKRKRSTQNNDTSSSDGDGGRGHSRRQVDEGTSEGLVDLVDDWTDILDWF >Et_1A_009175.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31951264:31951995:1 gene:Et_1A_009175 transcript:Et_1A_009175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTPPAKAASPELLGSVLEDSNILRVILLDVESPATLSRAAAVSKLWLDTAAEPAFLRRFGDLHPPALLGFYVTTVDSPATRFVPMPQLPEELAAVVRRGSFDLGHDVVTVFSCRDGRVVTGTDDDDHAVRRLLFRNGGPPASLPRPPLLPERDYQVQDGDAIVTLGEAILLPDVRNGDATSCIALTLLRAEQQRTVWAYVRDFRAGGAWGEEGLSSNKIQLPERFAGEPRTSACSHVEWPT >Et_4B_039628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24255890:24260887:-1 gene:Et_4B_039628 transcript:Et_4B_039628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVERPRPESAIEENEIRITAQGLIRNYVSYATSLLQDRKIKEIVLKAMGQAISKSVAVAEIIKKRIPGLHQDTSISSVSITDVWEPIEEGLVPRAGPEHSWVSWICIKLQLMMSSLGSSSASSRDHHSANLADHRTTSSSLIMKIPMLGVEVEGVVDVEGAGVEEAMVVMVDMETTKEGTTKAVGTMTIKVDMADMIIKVDMVVDMATIKADMETTKKMVDMAEAEVVCVEEATIITVVATKVVGVDTEAGEAMKAAGAAMKVAGVAMKAAGEGIEAAGEAMREAGEVAMREAGMVMKEAGAAGILAEGDMVAVEGEGWVAVGKGTETEEKAKGAPLRKHKGEFHETRIATIPTGATKPSMRPYIPKATFASCHSFLTSRLLPSAASTAAPLLPVQALLTTAGLLPRYPDLSLLALNSLLHALSRRAACPAHPRLALRLLCLMFSPISPLPAPDHLSFPFALSAAAAEINDPSSSSGAGAQLHALLVKNALFPADHYVTTALLQLHAPRPDLARKVFDELPRREAIHYDMVIGAYARAGMAAEGLVVFRAMFEDGVAPDAVVLTTAVAACAQAGALDCGAWVHRYIERAAPGLLGDAFVGSALISMYAKCGCLEDAVRVFDGMPERNEYVWGTMVGAFAVHGMAARAIACLERMAAEEGVRPDGVAVLGALSACAHAGKVEDGLRLLKEMRRRYGVAPGHEHYSCTVDMLCRVGRLEDAVGLIETMPMTPLASVWGSVLAGCRNYGNVELAEVAARELERLGAGADEGVYVQLSNIYLDANRKDDARRVRKLIGSRGIKKVPAYSAVEVDGKVSSFVADDQAHPRRFEIWDVLRLLAHQMGHIPDEEEETLFEVL >Et_2B_022432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21618745:21619672:-1 gene:Et_2B_022432 transcript:Et_2B_022432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRATHRRHLVTRSSLTQIITLLVLLSHAAMALKATEAATGARFTAMVVVSGSMEPAFKRGDLLLFRSRSDDDPVRVGDVVLFGKPVPDDGPPVVVHRGVEVRDGGILTKGDANSVDDAAFLYGGAPYLRRDQVIGKAVGCLPGAGWPAIALDEAGVDRRAVAVGLGLVAVLVQMFSTRAQEVGVVNGNVQNLSSTIVSGICDQMTRNAAMKQQPCTGPVALRWIGVLFGYGQPPTAVRFRLHERLAIRVGFSFLSPVPLLPSI >Et_4A_033827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27114808:27119129:-1 gene:Et_4A_033827 transcript:Et_4A_033827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PAIYFGSPLTFPGELLEISWWKIGIRWRCLTCKKEVNSETERSRTDPVAQAVCKKARKPECITWSLLWCLLKEALSNWCVFHHRYCRQVVETWNDEFHSATCEKRVSLLYLANDIMQNSRKEGNGYITEFMRVIPAALNEVFTHGDDFGRNVVKRLIGIWEDRKIFDTQSQSLRDDFLRRLKYLRSKLKNPGGELLEKVITSYKHMLNAPIDEDTMTRKCQAAVSIFDNLNKAYENNSYLGNSNGSGFMEELQQQHSALRNSIEQLKMSESLKINLISHLKEALNEQEFRMEQVRSQLQAAQSRYKKADELCQELGVEVQSHQTSNQVLKKPSGSGIHGAIASDSVNAGGSLQKESAVLYSNEGDGIEHNVTATNVPTKISASDGIRSDVSSSRANGGNHVQQIEEHSLGNKRQKLEDDTYISQPQSQPPPPPPPFPHPDTFQPPPPEYPPSPEPSPPPPPTSMEPRLSPLPLPTVTTMPPQLVSPLPHAAGTFVPFPAAPPGPMTAMPYGSFPSYTPLVNFPMGNMPPGFPSSPNPPPAFQGFGGAFYGSPYSTAPPPVDKKQE >Et_6A_046418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14725637:14726124:-1 gene:Et_6A_046418 transcript:Et_6A_046418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSPRPPIPLLLLLLSLLAFSTFLAASAQQDANSSSISNVTAAAETAWTPRLRKTFVDGGLTAGRWRGRRLVGRFQVCAVCTCCGGAHGMCIPAPCCYAINCNIPNRPFGVCSFTPRTCNCLSCHL >Et_4A_031918.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29664900:29665151:-1 gene:Et_4A_031918 transcript:Et_4A_031918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKPPATTTAPSSSCDGEKAASGGGADARSTKQVQQAAVAAKAVQMILRPRGVGSGSAARVHHVARIDGGGGKKEARHHSSR >Et_5B_043898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17399203:17401848:-1 gene:Et_5B_043898 transcript:Et_5B_043898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGTSRALVLQLVRVCTRAWTGCPATLLARIR >Et_7A_051332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16980745:17006525:1 gene:Et_7A_051332 transcript:Et_7A_051332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAVNLDQLAGDSPTATALVTVLSPLILLILLLRYFASAPATTGTRAKLPPSPPALPLVVHAHLIGALPHVSLRDLAARHGGDEEGLMLLRLGAVPTLVASSLQACARTTSRSRRGRGPSAATCSRTGRQTSPWLPTASGGGWPRCWSPRTCSAPRRCSPIAPPGRTRSAAGGTVVDMSELLSKFTNDMVCWAVAGRSFRVEGRDRVFRELINEGMSLLGGFNLENFYPALAEVARGVLVWPVRRKAQRVRRRWDELLDKLIDEHAREVPGGAPSVHEDFDFIHVLLSVKEEYGLTRETIKGILVNMFAAGTDTAYLVLEFAMAQLMLHQDVIARLKDEVRSTQGTQKVIREEDLTRMPYLKAVVKETLRLHPPSPLLLPHFSVENCEVDGYTVPAGTTVLVNVWAIGRDPKVWDAAEEFIPERFIVEGDIGGVDFRGKDFQFLPFGSGRRMCPGMNFALAAIEIILANLVYHFDWELPKGVKGIDMTETFGLTVSRKDKLLLTPRLGGIVHPIEGYAEENLHPYRVESQITVSSGDGRVICFIGT >Et_3A_024929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25460924:25463432:1 gene:Et_3A_024929 transcript:Et_3A_024929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLVVALAVLLFMMLVGEPQPPRIIQATSAFSMFAFWSYGESKCAIAGHCRGGKIGVCYGRNADDLPAPDKVAQLIKQQSIKYVRIYDTNIDVIKAFANTGVELMVGVPNSDLLAFSQYQSNVDTWLKNSIMPYYPATMITYITVGAEVTESPVNVSALVVPAMRNVHTALKKEGLHKKITISSTHSLGILSRSFPPSAGAFNSSHAYFLKPMLEFLVENQAPFMVDLYPYYAYQNSPSNVSLNYALFSPQSQPVIDPNTGLVYTNMFDAQVDSIFFALMALNFKTLKIMITESGWPNKGAAKETGATPDNAQTYNTNLIRHVVNDSGTPAKPGEEIDVYIFSLFNENRKPGIESERNWGLFSPDQSPIYSLDWTGRGNVDVMTGSNITSSNGTWCVASANATEAELQNGLNWACGPGNVDCSAIQPSQPCYQPDTLASHASYAFNSYYQQNGANVVACDFGGAGVRTTKDPSYDTCVYLAAGKMSTMNSTSLPARSGSSPQPLAKYFGPLLSMLAVAIAAAVL >Et_3A_024915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25324185:25329288:1 gene:Et_3A_024915 transcript:Et_3A_024915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGAMKQTVVLYPPGGVGHIGPMTLLAKVFLKHGYDVTMVLLEPPIKSTDSNASFIDGIVAANPSISFHVLPPIPPPDFASSPRHPFLLMLEMMIQYNEKLESFLRSFPRERLHSLVVDMFCVNAIDVAWKLDIPVYTFFASGAGALAVLTQLPHLLAGRQTGLKELGDTPLEFLGSPPMPASHLIKDMLEHPENELCKTMLNVWKRNTETDGVLVNTFKSLESLAVQAFRDPLCVPGRVLPPIYCIGPLVGKAKTDQEKAERHECLEWLDAQPERSVVFLCWGSKGSLSKEQLTEIAVGLEKSGQRFLWVVRTPHSTDDPKRYLEQRPEPDFDVLLPEGFLERTKNRGLVLKSWAPQVEVLNHPATGAFVTHCGWNSTLESIMAGVPMLCWPLGAEQKMNKVFITEDMGVAVEMAGYNTGFIKAEEVETKVRLVIESEEGRKLRKRAAQLKKEAEEALQDGGSSQTAFVQFLEDVKKLHFLNHGYEVSMVLVPPPFESSDFSAGVVERIAAGNPSITFHVLPPIQPADFTSSGKHPFLLWLQTLRQYNEKLENFLCSIPRHRLHSLVVDMFGADAIDVATKLDVPVYTFVPSGASSLAVLTQVSTLLASRKSGLRELGDTPLEFLGAPPMPASHLVKELLEDPEDELCKTSEST >Et_6B_049127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18429248:18430758:-1 gene:Et_6B_049127 transcript:Et_6B_049127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHPGLHDGAGRTRDGPRVVSRGPQARRFLSVFRFTTWWSTACGTTKAKKKAAEHGGTADELSEFDARIAQLLAKKEAVLAKLCNGEDDGGAGASGETGLKAFLKDMRRRFPSLDDVYVWQALCGGWDGVRLEQVTRRAGWREGSGTCDGIFFGKQKQVGEEEGLGKRFHLQFKARGRMEEQGEMIAYQRARHHRQRISGRRR >Et_10A_001096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21030614:21034854:-1 gene:Et_10A_001096 transcript:Et_10A_001096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDGEAAADLAADELQSLSFGSSERSRSASTVSTATASCSTSYSGPIVVPHPPRATTTNPASSSFASGAVPRLGTVALSDIRFLRRLGAGDIGSVYLAEVKQQQSKAAPGDSSSLVVAAKVMDRKELAGRNKEGRARTEREILEAVDHPFLPRLYGVAEGERWSCLLTEFCPGGDLHVLRQRQPHRRFSEAAVRFYAAEVVAALEYVHMIDIVYRDLKPENVLVRADGHIMLTDFDLSLKCDPTAPTPAHVISDPIALAGGHSSATSCTISSCIVPAVSCFQLFPGRGRRRRRWRSNKKKTNSSNGGSGGNNNSFPSGGMDLEFVAEPVELRSMSFVGTHEYLAPEIVSGEGHGSSVDWWTLGIFIFELLYGATPFKGYDNEMTLANIVARALEFPKDPTVSSAAKDLVAALLAKDPARRLGATVGAAAIKRHPFFNGVNWALLRCAAPPYVPPPFSLANVTKTAAAGGGNNVAGDDVSDDDSCPGTPVEYY >Et_7B_055398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9962859:9965661:-1 gene:Et_7B_055398 transcript:Et_7B_055398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPGSPTANLPAPGKPRLCLAMIPAGCVFRPKSRELIDCYLIAQALHGRVPDNLIQDGVADGVDVCAARPEALPFPRCNRDRDGDHPVWGYFFTTRPEDAAAGGSGKYVREVAAGGRWCWRGGHDKGYAGYGGEVYAFRTKFAYYEDGGNLTPWRAKEYRLNEGAACFRCVAFRPGAFNLVVWKVYHELRIAEVKRSMEYNSSDEKSRTKRTKTGLSSSMAVVVHKC >Et_3B_028423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15000658:15006298:-1 gene:Et_3B_028423 transcript:Et_3B_028423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFRVPAHNGRECFTLTVGTPGLGDWVRTKEINTTMSMAELLGLFLVLALAVAVKADECEADRQGMKTKCHKYASFPAEPKIPPSDACCNVWKNANIPCLCKGVTKGVEESWCMDKIVYIGQYCGKPLEHGYHCGTFTVPRLGQPKRSIQAMSMAKLLGLFLVLALVVAVRADECEADRQGMRTECHKYALFPAEPKIPPSDACCNNANIPCLCKRVTKEVEKIWCMEKIVYIGQYCGKPMEHGYQCGSK >Et_3A_023143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31655430:31656949:-1 gene:Et_3A_023143 transcript:Et_3A_023143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLEPNTSVWPSLPLDRHLQGPILGPPGPPPLGSWSSPPRRSRMASPPRP >Et_9A_061179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20718779:20720155:1 gene:Et_9A_061179 transcript:Et_9A_061179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLQLVDQLGFPSVRVYPYNRRVGLDQAWRRRRLAGSGLTSLSHRLTKHHSYSGGAAGNLVLSPLSIYSALSQLAAGARGATLSELLGATSRDHLDEYGLGAAQHALSGCPHLGGPRVLLASALCHHATRTLDTAYLSAAAAWGCVPRAVDFLRKPEEEVKKQIGSCRREDAMLVTNAIYFKGSLERSFVDDQCNGVRTFHRLDGSAVAARFVRSEEDQFIATHDGFKVLKMLEYWLPRIPEYSMYIVLPDARDGLRSVQEKMAASGPSFLYQHLPEARVEVGEFWELGVQSVFSTAEADLGDMLLLQEHDDGDEPPPLFVADILHKALVEVNEEDGIRALTCPPPKRRPSTCVDFVADHPFAFFVVEEGTGAILFTGHVTDPTKS >Et_1A_004829.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:10637538:10637786:1 gene:Et_1A_004829 transcript:Et_1A_004829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMIGGDFVEAYVLKNAYKEKLRRMEEAEARSSRSSAPGEEKKTKAAGSGRGGGLFGGGLMKKKVHPKATGSAVEASPASS >Et_5A_040999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16297583:16298179:-1 gene:Et_5A_040999 transcript:Et_5A_040999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KLDRLGSAGEAARTSVLSHRWRGLRTELRELSFPSVCLNGKRHDALETALSRLGPKLDYLDMSFELPEDRTKLTVAALRCRSIGSSQRSSLSLWIDTGGSVSRSPLSFLASFGSTVSIHLLFRTLAQCYSDAASSIASLKELTLDILSWPADNLGVLLCSCPRLRKLTLAFIFWETSTLVIDRVQVVGGAHMSHVE >Et_1B_009724.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:20989897:20991328:1 gene:Et_1B_009724 transcript:Et_1B_009724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARRRRRVTTTTAREILAAPALRQEEEEEDEQEWRGFLTPERLEMVRQLEPWVEEQVLPLLKPVESSWQPSDLLPDPATLGSDGFQAACVDLRARAACVPDELLVCLVANAATEEALPSYPSGLNRIAGVRDATGRDASAWARWIRGWAAEENRHGDVLNRYMHLSGRFDMREVERTVHRLIRDGMVVRGPPDSPFHAFVYVAFQERATAVAHGNTARLVGASGAGDAALARVCGTVAADEKRRGQAVRGRPGRRRARHGLHDAPPHRHAHLAHQRRPARRPVRAPRRPRRRARRHLHALPLPWHPRAPHAAVGRRAPRRGALRRREARAGLPVRAAAQDPEDGGEGA >Et_3B_027875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24461767:24462951:-1 gene:Et_3B_027875 transcript:Et_3B_027875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNAVLESGLARALAAYPEWAGRLGVDADGNRAILLNDAGARLVEATADVALHSVLPLKPTPEVTRLHPSADAGAEEVMLVQLTRFACGSLAVGFTAHHLVSDGRATSNFFVAWSQATRGAAIDPVPVHDRASFFAPRDPPQVEFEHRGVEFKPARHQAKKQQQAASRNDDDVDDEVVVHKAQASPAGAHRPCSTVRCVVAHLWRCMTAARGLAGHVATSVSIAVDGRARMHAVDLINRELARINEAYFKSFVDFASSEAVEEERLVPTADAAEMVLSPSIEVDSWLRMPFYELDFGGGRPFFFMPSYMPVEGLLILVPSYFGDGSVDAYVPLFSRHMDAFKNCCYSLQD >Et_6A_045843.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:19080909:19085711:-1 gene:Et_6A_045843 transcript:Et_6A_045843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMKQNVRIFTEQEIEIITRNYSTPIGKGGFGEVYKGILDGDSDLVAVKKYIRKDLKKEFMEEVRIHSQMSHKNVVKLMGYCIGDNMLMLVTEYVSKGNLDDLLHCSDISIPLDIRLGIAIGCAEALSYMHSKHLSSDSLVYHGDIKPANILLDDNLTTKVSDFGLSRLLFGGITQFTTSLKGSIGYMDPIYFHEGCLTPKSDVYSFGVVLMELISRKRVKQGDVNLIQTFSKSSARGKDLRELFDAAIADENNMKVLKDMRKLAAECLTLDIRKRPQMNDVAKRLQMLKKNLKGSDQSILATHYVWRKDNKQGTSMPSFKKSFSFFKGNASNSKILSELGNNVRIFTKEEIDEITQNYTYLLGAGTSADVYKGTLEDNTQVVVRKFPYSSREAFINRGVILSQIVHKNIIKLLGCCLEAETLVLVYEYVSKGSLSDNLAGQENFPLDLRMRIAIKIAEALEFLHSSETGIIGHGRVSTSNILLDDNFIPKLTDFSVACKLLKESEITSRDNATTGSLLEKVLWDDPSRYESVLMNLESDVFKFGGVLLALISPERNIDHNELVMKFTQAYENDRSGKAMFDKKITAEEDIHILDEIGRLALKCTILKVDEMPKRPIMKEVAEHLRMLQRSWKERTDKAATQVIEAAAVVSPQPRLPNLMRHLYGYRRISVDDPVHA >Et_8A_057509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3813995:3821354:1 gene:Et_8A_057509 transcript:Et_8A_057509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNTDGDNYANVDLVCCLCDNGGEIARFAGKSQDLSLRSVHTLFLIRDVLFFYIYCSCEGRCLRSFHATKDSCVDCQTLGYSRLQFSAMKVFVCKNCEYERYQCFACGRLGSAKTDRPEVFPCASATCGHFYHAKCVAQLLFPENDAKATEYTAKISNGAKFACPVHKCDICKYGENKEVEELQFAICRRCPKKIAFEDFTENGQCFLQRAWDDLLPNNCILIYCLKHDIDPELGTPPRNHIKFPDDPAIIRKPLNQVNGMKKKLVKVQQIEKHPSAPLSSVKRSSTVKHASLNNLMNKRRKVPLSQEKPLVMEKSVTMSTLPFSSFPEIDRNTEMRICEFAQKASADITMEDIQKKLVVPSTHVTTQNADKITLGKVERSIEAVKAALHMLENGACIEDAKTVCAPSDLFQLAKWKNKLNIFLAPFLHGMRYTSYGRHFTKLDKLELIVEKLQWYIQSGDTVVDFCCGSNDFSLLLKEKLEASGKSCSYKNYDLIQPKNDFNFERRDWMTVQPDELPSGCRMIMGLNPPFGFKSSLANQFINKALSFKPKLIILIVPKETERLDKKYPPYELIWEDSSQLSGKSFYLPGSFDADNKVMEQWNVSPPPLSLWSRSDWAKRHSEIARSMRHVPSENASRIDMQSEITMHVEMDDAQGTAIHDSVIDQLLADTYHDATNSPGDCWNDTNGRSRQPCNYETPGWSDPTQKHHLETRAESDMSISLEPRDAPPVGRLSAAVQYQQMEDTPPTPTPEDSWSGETEDSPPATGHAGNLPQSRAFPGLPSRHGCYTSHQFISPKA >Et_3A_023007.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:16347152:16348231:-1 gene:Et_3A_023007 transcript:Et_3A_023007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPDAAGRVPADVVHPDAAAALEALLGAPSREQTRPPAPASARKEYPADPTLPDLRCSAYATDEFRMFRFKVQPCARAYAHDWTECPFLHPGENARRRDPRRHAYTAVPCASFRLADGCPRGDACDFAHGVFESWLHPSQYRTRLCRDGAACARRICFFAHAERELRRAPRDDDGGGGGVLLSPRSAAADDMAATLGPLPPPPHWLQQGGGSSSAFNASLLDAQVDDLGTLLDFASLGRPQPRLSRRQPAFAPPYVTMSPSRLGNDDHGGSAYYSPEAAKTSHLLARIRQQQQGLRLLPPVVVPRQVYDRSFPSLDKQKEQQQMLSPVAAPPLPTWGYSDASWINSGAPNGKIDWSFP >Et_7A_051858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25684140:25687736:1 gene:Et_7A_051858 transcript:Et_7A_051858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAIAVVICFCFLVHPGAAISTSSAASSDGNEVWGYAKPRAMGAKLIGGSSVGRGNFQEIGPLDVNLEPRNLTWLQNADLIFVDHPVGTGYSYVDDPSALVTTDSQAVEDIIELLRVLTKELPTLQQSSLFLVGESYGGKFAAMIGVAVLRANKAGTLELTLGGVALAGSPLTTTRSHTGSSCSVSRLDENAVAHTDRLAGIVKEQMLVGQFAAARKTWTDLLDYIDSITDSVNMQNFLLDSGMNPVLAQGLEESSDSAPNKIVSIMNGIIKDKLKIIPKDLIWQEGSIDVYNALAKSFMKPTINEIDELLSSGINVTVYNGQLDVICSSIDTEAWIKKLKWGGLKKFLSLPRQPLYYCDSSDCTKPIKAYVRSYQNLKFYWVLEADTWLVHPYHLLEKPHVPVDQPYVAFKIISSYIFNIEVQQSIRSLELECIAIQHGSKGWIAARCFKVTICDDEKLHVSF >Et_4A_032270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27761854:27762987:-1 gene:Et_4A_032270 transcript:Et_4A_032270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELLGGAAMDQLKSVNDGNPASLPMLLHPIVSNPSPTSSSSTSSRSSAQQQGQGQQGAAAEQAPLRCPRCNSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKPRPMPTPAAKPATISCKSIGAGPQLGLGMGMGMGGASPVMPWATSQQAAAAQLMALLNSARAGVQQGGHGAGSNVHRLLGLDTMGHHLPLHVLSGAGNAGAGALASLWPQSAPRPLGMGPLGGGHHDMLSSHGLKLPPSSTSASYYSDQLHAVVSNNNAGRAAHEFDATSLPCTTAATSLPPAVSSVSAALSCASAGLDLPLASLPTPEMQYWAGPAAMSVAWPDLPTPNGAFP >Et_1A_008449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7799479:7802766:1 gene:Et_1A_008449 transcript:Et_1A_008449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANAGSFVAVRRLSGTDRAAAFHHSSSAEVVTGSTAWIGKGLSCVCAQRRDSDARLSFDLTPTQEECLQRLQDRIEVQYDSTNTEHQEALQDLWCASFPGTELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLYFARNYPELLRKQNGDRAIWEYPFAVAGVNITFMLIQMLDLQAVKPRSLFGAIFLKFLSENDRAFDILYCITFKLMDQQWLDMHATYMDFNTVMKSTRRQLERELLLEDIQRIEDMPSYRLLAR >Et_7B_054618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2928572:2945183:1 gene:Et_7B_054618 transcript:Et_7B_054618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATEDEEASQSAASASPWTGEAEEEASSAASASPGGGSNSDVEGTVQLTGGGRRQRRRQPPGDPARVARHPTPPRLLAACCGAAGDHFATLPDMKIPAMPKLRRLRGGVPRQLLVHGLRLRQLEQRHERGRLDQVLVEEALENHFVALSEMKVPDKFLHIRNKSFDQCADECIKNCSCMAYAYANLSNAGSTGDTSRCLVWTGADLIDMGKAEFTETLYLRLAESPAPVKKKNNTLKILLPVIACLLLFAFTALAWTCKNRGKRHKKKLQKRMMLEYLRSTDEAGDKNLEFPFISFQDIVAATDNFSDSNMLGKGGFGKVYKGLLEGAKEVAVKRLSKGSGQGTEEFRNEVALIAQLQHKNLVKLLGCSIQEDEKLLVYEYLPNKSLDYFLFDPARKQMLQWRIRYKIIQGIARGIMYLHQDSRLKIIHRDLKSSNILLDMDLTPKISDFGMARIFCGDQHQANTNRVVGTYGYMSPEYAMEGTFSVKSDTYSFGVLLLEIAWTLWKDGKTEDFVDSSVKENCPLDEVSRCIQIGLLCVQDSPDCRPLMSAVVFMLENKTTLLPVPMQPVYSARRDAKPGQAIDNRVFTRFYLGIWYNYKDVLKREVVWVANRDNPITASSSTMATLTVTNRSVLVLSDHEGRIYWSTEENNITTTGGAGGAIATLSNNGNLVLVSSNGTQLWESFDHPTDTLLPGMPLRMNYRTRFVGRLVSWKGPDDPSSGDFSLGGDLSSGLQYFIWNRSELLWRSGAWNGKALPSYKPSGGASVLTETIVTNGDEILLSYGVSDGSPGMHARLSHTGRYDFSIWNSTALAWTVLDEYPGPGCDHFYASCGPFAYCDSTEAVPTCKCPEGFEPNGTTPSGGCVRKETLRCGDGDRFVTLPEVKTPAMPVFVRNRSSQGCAAECRSNCSCTAYAYANLSGAISGGDRSRCLLWFGELVDMGKYLDMDGEDLHIRLAGSSVQNKSNLPKILLPIIACLLLLAVTPFVWTCKNRGKRQKKKVQKTIMLEYLKSTNEAGSKSPEFPFISFQDIVTATDEFCDSNLLGKGGFGKVYKGTLEGSKEVAIKRLSKGSGQGIEEFTNEVALIAKLQHKNLVKLLGCCIHEEEKILVYEYLPNKSLDCFLFDPARKPMLQWPIRCKIIQGVARGLMYLHHDSRLTIIHRDLKASNILLDMDMSPKISDFGMARIFCGDQNEANTNRVVGTYGYMSPEYAMEGVFSVKSDTYSFGVLLLEIVSGLKISSPNLIRDFPNLIAYAWNLWKDATTENFVDASVKENCPLDEASRYIHIGLLCIQDSPDYRPQMSQVVFMLENKNTPLPIPMHPVYFARRDAKPLQASDSMLFSNNDMSLTALGGRLLGMSYLPIFLILFMVCSCRSDDRLTPAKPLLPGEVLISDGGVFALGFFSLKNSTPNSYLGVWYNNIPERTYVWIANRDNPITTNLPGKLVFTNSSDLVLLDSTGHTLWTTTNNITTRGGGAAAVLLNSGNLVVRFSDGTDIWESFHDPTDTIVPNVSFSLSSTNTAKHLVAWKGPNDPSSSNFSMGGDLELQIVVWNGTKPYWRRAAWGGNLIFGTFQSNTNFVMYQSIVDTGNGYYIKLTVSDGSPIVRLTLDYTGRVSFRRWDRNTSSWTVFNQFPSPSCDQYAFCGPFAYCDDTESVPTCKCLDGFVPDGLNLSRGCQRQEELKCGDADNFLTLPQMKTPDNFLYIRNKSFDQCTAYCSRNCSCTAYAYANLNNVDVTMDRSRCLVWMGVLIDTEKFNNGFGENLYLRHPSSSVGKKKGTLLKIVFPTMASLLLLLACIWILCKSKDKHQGKKTQYNHTLQHLDVSNKLGNENLEYPSIALEDIIIATNNFSDYNMLGKGGFGKVYKGMLEGGKEVAVKRLSKGSTQGVEEFRNEVDLIAKLQHRNLLRLTTSSFTTMEDAARKSVLDWPTRFKIIKGVARGILYLHQDSRLKIIHRDLKASNILLDTEMSPKISDFGMARIFGGNDQQANTTRVVGTYGYMSPEYAMEGSFSVKSDTYSFGVLLLEIVSGLKISSPHLVMDFPNLIAFVSIT >Et_5A_041414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22303514:22306517:1 gene:Et_5A_041414 transcript:Et_5A_041414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRSSSAPLAASVLVVLAAAIALVGADDPYRYFTWTVTYGPINPLGTNQQGILINGQFPGPRIDCVTNDNLIVNVINKLDEPFLITWNGIKQRKNSWQDGVAGTNCPIPPGANYTYKFQSKDQIGTFTYFPSLAMHRAAGGFGALNVYQRPAIPVPYPPPAGDFTLLVGDWYKAGHKQLRQTLDAGGALPLPDGLLINGMQSANFVGDLGKTYLFRVSNVGLKTSINFRIQNHTLRLAEVEGTHPVQNVYDSLDVHVGQSVAVLVTLDKPPQEYAVVASTRFSPANLTVVGTLHYTGASARAPGPLPAGPPGQFDWSMNQARSFRWNLTASAARPNPQGSFHYGTIPTSRTLVFASSTPQLAGKRLCAVNSVSFVNPDTPLKLADNFNIANVISWDSVSPRPDGGAPRQGTPVVRLNLHEFVEIVFQNTENELQSWHLDGYDFWVVGYGNGQWTENNRQTYNLVDAQARHTVQVYPNAWSAILVSLDNQGMWNLRSASWDRQYLGQQLYLRVWTAQQSFSNEYSIPTNAILCGRAVGLPH >Et_6A_046115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2669165:2669608:-1 gene:Et_6A_046115 transcript:Et_6A_046115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AIPGQSQQSPRPSPVAPTPQSVGTRARLRHRPKLRCQDQAARRAAAADRRSETAASGRSAAGRRWDAHKKPRPPPHADALDTDEEGESSSTGSNDMELDDKPQPKLGFYAGPGFLAPPEPSMLPMPSVLVLPRCMVA >Et_1B_013859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21005264:21006120:-1 gene:Et_1B_013859 transcript:Et_1B_013859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLAEALEAVGYKEGENLFGAPYDFRYAAAAPGEASVVFSSFLTRLRLLVERASEKNGNKPVILVTHSLGGLNALAFLNRTTLPWRRRHVKHFVMTSGSSSLSAQGSNVLSFANTSRSFATVFSVLPSPLVVTRARNYSAYDIPELLAADGFSDAEVARYVTRVLPVTMNLKAPAVPMTCINGVGVPTVERLVYPDGGFGQFDATPQVEYGDGDGGINLASMLDTIIGADPEQDYFKSILVRNASHGGIISEDFALRRVVNEVLEAGRAIN >Et_5A_041258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20147439:20149107:-1 gene:Et_5A_041258 transcript:Et_5A_041258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFAVSSKPGAGHRQFKGKITFYVWICGIVAATSGLMFGYDIGISGGVTAMDDFLVRFFPAVYARKHRAKENNYCKFDDQRLQLFTSSLYLAALAASFVASRVCTRFGRKRTMQAASVFFLGGSALCAGATGLGMLIVGRVCLGAGVGFGNQAAPLFLSEIAPAHIRGALNILFQLNVTVGILVASVVNYFASAVHPLGWRYALGGAAAPAAVLFLGSLAITETPTSLVERGQPERGRATLERIRGTRDVGDEFEEIREACARAAALREEERPYRRLARPESRPPLVIAVAMQVFQQFTGINAIMFYAPVLFQTMGFESDASLLSAVVTGGVNVLATGVSIALVDRVGRRKLLLEACAQMLVAQAAVGGIMVTHVGAEGSPTRGWAVAIVVLICVYVSSFAWSWGPLGWLIPSETFPLETRAAGFSFAVSSNMLFTFLIAQAFLSMMCTLRAYIFFFFAAWIVVMGVFVLALLPETKGVPVDEMVDAVWRRHWFWKRCFADADQAKINNC >Et_6A_047088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25041609:25046063:1 gene:Et_6A_047088 transcript:Et_6A_047088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVAKLTSERAVVVFTKSNCCMCHTMTSLLNDLGVNAAVHELDREPRGREMERELARMLGGRVPAVPAVFISGDLVGGTNRIMALHLAGELKLPMDRVAKLASERAVVVFTKSNCCMCHTVTSLLSDMGVNAAVHELDRDPRGREMERELARMLSGRVPAVPAVFVGGDLVGGTNRVMALHLAGELVPMLKSAGALWLEMERELARMLGGRVPAVHCSSAATSSAGQTGSWRFTSPASWCPCSRAPGRSGCRIKS >Et_9B_063911.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21056209:21056460:1 gene:Et_9B_063911 transcript:Et_9B_063911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRARQNAMRSGVVVLGAVAFGYLSFRVGFKPYLDRAQEAMDSSPDSSAAATDASGYSAGADRQEDGAELAASSKDPAVVLRD >Et_3A_024087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17181388:17182409:-1 gene:Et_3A_024087 transcript:Et_3A_024087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQMNMMASRKPGDWSCRSCQYLNFCKRDACQRCGEAKLGVDRTDYGTLAGDWDVKPGDWYCCVCGAHNYASRGSCFKCTTVKNDATAAVAQGWGYSVAGQAGMKSGDWICPRLGCNVQNYANRTECFRCNMPRSSYYAATLVLNMSVNSNGRISSTVTDCSSVPN >Et_8B_059911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:395241:395962:-1 gene:Et_8B_059911 transcript:Et_8B_059911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSALTSLPARLRGPSAAATTGRRLLSDGKGRVLSEEERAKESVYIQKMERERQEKLKKKLEQEKADADKNKSSDKVRRNIFVCIMYHHIGQHIEIPDLCVHFALLLLHSTLTDLTVQKIRVTECCWSFHVVAIASVQYLCCCLSARSRNRVQYGVRRCGLVLAVGHCQYWGDSGVQFAELSYAAWPYQIVHMLVACCL >Et_2A_014802.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1688043:1688795:1 gene:Et_2A_014802 transcript:Et_2A_014802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPQSFCQPALPDVGSNYPDRALLTVRGYIADLRNATTASSYTSQGYPIQVTFCAAEPPALSHICVHCPAAPAFFVEPQVLASQRNLVLLLVSLGSDYFVYRAAAGSGTQHHPRQLRPRPLRLRRRRRWIHRGHAHLCRLGVEAVHPLVVPREDAPVAQEGRAAVAGGIICCCFRKRQEWPAQASSLLTRQGDRAPGRLDRRLGRYPESRRALRRARQTRRRRVHPAARAAEQREQRNPGINRRPGVPP >Et_1A_006917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2972130:2975193:1 gene:Et_1A_006917 transcript:Et_1A_006917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLLSLAVLIVFLGLSSNLASGQILFQGFNWESWKQNGGWYNLLMTKVEDIADAGITHVWLPPASHSVAEQGYMPGRLYDLDASKYGNEAQLKSLIEAFHNKGVKVIADIVINHRTAEHQDGRGIYCMFEGGTPDTRLDWGPHMICRDDRPYADGTGNPDTGADFGGAPDVDHLNPRVQQELIGWLNWLKTDIGFDAWRLDFAKGYSADVAKIYIDNTEPSFAVAEIWTSLEYGGDGKPNYDQSAHRQELVNWVDRVGRSGPATTFDFTTKGILNVAVEGELWRLHGADGKAPGMIGWWPAKAVTFIDNHDTGSTQHMWPFPSDRVMQGYAYILTHPGNPCIFYDHFFDWGLKNEITHLVSIRNRHGIHPESELRIIEADADLYLAEIDGKVIVKLGPRYDIEHLIPEGFQVVAHGDGYAHSGSDRNINPTLSLVTYYHELP >Et_8A_057033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20399598:20404182:1 gene:Et_8A_057033 transcript:Et_8A_057033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPSAAPRGGALQVFASRVGLGDGVVTLDEKLRNGNMVLGVTREIEIRNEALVESLPELQHLVYDVEQILDEIDYFRIQAELDTDVKMLEETVHDDGNNGGSDDTSDKSDVSNFVDIASGHAIVRAAARVELERRKISPQLSEISWLLGQFIDHVRKALKLEELGGIALAKQGTENQRRLTTPYLTETKIYGRDHERDQIMELLMGNGCCDKNLTVIPIVGNGGISKTALAQYVCSDPRVEAYFDTMIWVCVSLNFDIEKLARQMLECITGTDQRGNIDLILLQEMLKDELKGCRVLLILDDIWDIKDSGEWSKLVAPLGCNQHGKGNVVLVTTRNLSVANIVCTSVPIALHGLNNEDFWECFKAYSFDKEKGNKKLHYIGRKIADQLKGYPLAAKSVGGLLRKDINSERWTRIFKSKECISHQDSEGIISILKFSYDYLPFHLRRCFSYCSLFPKCYQIYAEDLVYLWISQGLVYFVADNRRLEEVGSEYLDDSVNLGFFEKVDKDRTDIHYIMHDLMHDLSQNVSSKECFTMDGSQCQLLPSTICHLSIVATSQNSEFERDLVQLNTLQSKKLKSLILFGSLGSTFVTTHVICNLRTFRVSGIEDDGDILSGFGNCIHLRYLRVTRQEYDKDKPWLARYDRRFPKELCRLHRLQFLNVGSDCYLYNLNRKFSNLVTLRHFICHEENHSELSEVSKLASLQELHRFKVTKDAGFQIAQLTNLSALNSLSISCLENLETKEEAAKARLLDRQYLRKLCLSWDAGRMYANLNMEREVLEELQPHAELNRLQISGYRGVALPTWLGEASTLTHMCSIYIEDWQQVRTLPPFVHFKSLKKLHLSRICGTPKVSTHSLEELVFEEVQELERWVIGDDLSLLASELHVLGMTIVNAGSPLYESLSYYQFKSLSYYQTLILVGTEKLRAPCGLLRLHNLGALSELSLINCTNLTWFSWEQAFQQL >Et_4A_032231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25251720:25252427:1 gene:Et_4A_032231 transcript:Et_4A_032231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQHQQERPREVYRECMRNHAAKLGTYASDGCCEYTPADDGGHPSSAMLCAACGCHRNFHRKAFLDAVAGATGAMLPSPAGYGMHMGHMAMAAGGGGGMGDGGGGGAGSRRRTRTKFTDEQKERMLRFAERLGWRMPKREPGRAPGDDEVARFCREIGVTRQVFKVWMHNHKAGGGGSGGVGGAGGAVQTSSSTTRGGGVGGGGSLSPAMGDDDEEVRGNEMCM >Et_4B_039762.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28896709:28897293:-1 gene:Et_4B_039762 transcript:Et_4B_039762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTRPVVLALAASLLVLSFFLVGADAGFSIDEACSTTPHPELCKSSLLACPESKEATTPRALAEVAIRAASNVGASAGSYARAQLDVVKDNDMWTCLDECAEDIEEAVSHLDDTEGEIDEARFNDVKLFLDTAEKDSWSCDESCKYAPQTPVKAALLAKNRDFETIMTVTNALIKQATGGGAAAAPMPASTP >Et_1A_004902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15067068:15067682:-1 gene:Et_1A_004902 transcript:Et_1A_004902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGGWAWVAEVAGEELARLEAAHPDRFGPLKAELQRLVAEPELDAAAFAPLSPRAGAAVASSSQSAPAPAHLSCTQESSTTRKRKPGGACERRDGKRRRSATAAPPGGTKDRADMAIERAERCLERIRAVKRSLLAAWTH >Et_3B_027821.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20801313:20801981:-1 gene:Et_3B_027821 transcript:Et_3B_027821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWASCPGTTCSASRTWWPPVPRAAASVHLASCRPGLRVIVLPASQTARRWRLRRSNQLNWRSISVDHRSRMKPALPDKYLGNCVGLAFALASKSELAEAGAGGLLHGGRRGNRGRGERRRDGDDHGRLMERVKEVVATGVLTVAGSPRFRVYDLDMGFGRPVKVDVVSMAKTGAIAVAESQAGDGGMEVAVSLPLDGMDRFRDRRCFADAVAGLDQDAGSQ >Et_6A_046875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21866904:21868220:-1 gene:Et_6A_046875 transcript:Et_6A_046875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLASSPTSEVPTRENVFLNTPDKPECQFYMKSGDCKFGVVCKFDHPNVGTVPVTNCALSSIELPPRPGEPICTFYSRYQTFKFGLNLNSIIQCKPSCTTLLHHHPVRSQLFKVCWHMYLRIRIVFLPHIRSSDSNPSRTLMTSGRECHLIIPLLFAREIVFLNRPDKTECQFYMKTEYCNFVQSNCHYAQESPYGLSILAKECSSLVQNENLIIQCKPSCIDLLHHQPVRYQLLDVCWHMYHRIRIVFRPYIRSSDSNPSRTLITFGRECHLIIPLLFVVQRENVFLNRPDKPECQFYMKTGDCKFCDVCKFHHLKNRTVPVPDCALSSIELPLRPREPIWNVQVWSKMKIRHPMQAVMYSLA >Et_7B_055948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7900597:7903041:-1 gene:Et_7B_055948 transcript:Et_7B_055948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRREREYFPQDIHFLSNKISTRTMAPRSVVPFDETQWIIRIRRILDEEIELCDDQPISIFDVPKALLSTKPEAYIPQLVSLGPYHHCREELCDMERYKLSAAKRTQIHLPGMDLQQLVHGFTKLEHRIRAHYHRHLNLSNETLGWMMAIDVSFLLEFLQTLNKSNNERAVQMIPSRMSHLADLSRRTSSHSMLLRDIVMLENQVPLFLLQKAIEFLCSSAQPAQSVLCSMLIGFFQEVSTFRGIGCPCTNINQYVHLLDFLYSNIVPRCTDTEESSGTTEEAQDESHCKHDHRKRETLYSIMGFLVKRGSKLISVLIDFMAGILLKFVASLPCLSVLSQPIEQLTQAATEPSRAPDVQNKNLSPLLEEIAVPSVTELAYAGVRFCPTVGDLSMINFSSETMTLHLPVIGVDVNSEVVLRNLVAYEASVCTGALKLARYVELMNGIIDTEEDARLLRECGIILNHLKSDQEVAELWNGMTRSVRLTRVPALDKVIDDLNKHYNSCWKVKIRTFVKVHVLGSRELLGAVVVVLLLLFMCLQVLCVARGCVPAPYRMASRKVGA >Et_8A_056776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16598663:16601157:1 gene:Et_8A_056776 transcript:Et_8A_056776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVAAALRRQLLFPRLRFASHHLRLSTSHSLSDQSDFELDHPLPSGPDDDGELSSFLHRVSSAASAASSPKHALSLLHSSSASGPPPTSPSLLVRALWELRGDPDAAALALRYGDRCGSPGEAEGECLSPPVEAWHLAVWAAGKARRFDLAWAVVRRMQRRGVLTRRALVILMERYAVANEVSKAIKTFDVMEKFKVEADQTVFYCLLRALCKSKNIEDAEELLLLRKKFFPLTAEGFNIILDGWCNVITDVAEAKRVWREMSNYCITPDGMSYTLMVSCFSKTGNLFDTLRVYDEMKKRGWTPGIAVYNSLVYVLTKENCMKDAHNIFSKLIDEGLQPDVETYNSMIVPLCESHNLDEARMVMDSMILKGIVPTILTYHAFLKQEGIEESLKLLRRMKEDGSGPNGDTFLMLFNKFFQLNEAGNALRVWNEMRGYDISPSRSHYMTLVQGLVNHGFIPRALEYYDEMKEKGFASATQLEKEFKNFLLANRDHWRGAGKYNIIPQRGRHFTRRPRIY >Et_4A_035886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7982650:7983468:1 gene:Et_4A_035886 transcript:Et_4A_035886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVVVHIAKNFMDLPSIKVPLILGIWGGKGQGKSFQCELVFAKMGIKAAAPARLIRQRYREAADTVRKGNMRALFINDLDAGAAATQYKHGEQPAGERDADEHRGDNPTNVQLPGAVRPAGQPARACPSSSPGTTSPRCTRRALVRDGRMDKFYWAPRRLPWPVHRWWCSRADFFGALRARVYEDEVRRWVADVGVENVGRKLVNSAEGLPVFE >Et_1B_010478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10936810:10941124:-1 gene:Et_1B_010478 transcript:Et_1B_010478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARASPPAPPFGFGAGRPDDALAFLSKGWREVRDSATADLRLMRARADSLRTLADRELEHLLASASAVTSPPPPVAAGAPIAELEFVRSRIQPKISELRRQYADRDLGRRVLEGWGPARARVDLSGITAIRNAIVSEADGAERWRRAAWRGEADGDEGKEWEVVRMIRTSLKELERRSQNSEIFGGLRGPSEFVEKFKSSLKSFNVEPQGSKEVPPLDLTEILANLVRQSEPFLDQLGVRRDLCDRLVETLYRKQNHSLSEDASLLVSDNSSDELDLRIASVLESTGYHADDGFWSEPEKYEVSDNKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKGSKQDVTLVVPWLCKSDQELVYPKSMTFNSPEEQETYIRGWLEERLGFESNFKISFYPGKFSKERRSIIPAGDTSQFIPSREADIAVLEEPEHLNWYHHGNRWSDKFNHVIGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCDKVLRLSAATQDLPKSIVCNVHGVNPKFLKIGENITADREGGQTSFSKGAYFLGKMVWAKGYRELIDLMAKHKNDLEGFKLDVYGSGEDLHEVQSTAQKLDLSLNFYKGRDHADNSLHGYKVFINPSISDVLCTATAEALAMGKFVICAEHPSNDFFMSFPNCLTYKTSEEFVARVKEAMAREPQPLTPEQRHNLSWEAATERFMQYSDLDKVLNDEVAQPGQGGRRNRRRRNAQPNLSDIMDGGLAFAHRCLTGSEVLRLATGAIPGTRDYDKQHCVDMGLLPPQVQRPVYDLQCSYVAPFERCGCELKSM >Et_3A_025899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33886540:33889457:1 gene:Et_3A_025899 transcript:Et_3A_025899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPFSSSLAAPLPSDLAAAVEGGRVTGEIVRRFNELEGSALFRWLLQFQGFRERLLADDLFLAKLAMECGVGVIAKTAAEYEKRRENFAKEIDIVIADVVMAIVADFMLVYLPAPTVSLQPPLARNAGAIANFFHNCPDNAFQRNGAKLFAVGTSASLIGTGVTNAIIKARKAVDKDIVDEVEDIPVVSTSVAYGVYMAISSNLRYQVLSGVIEQRMLEPLLHNHKILLSAMCFAVRTGNTFLGSLLWVDYARLVGVQKVEEEA >Et_3B_030605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5331931:5336485:1 gene:Et_3B_030605 transcript:Et_3B_030605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCAVKGGGYVVASSRADRRSSAINPSGVRMPSSPGWCGGLRWRAPDLDGIEMPGLRGGVEGLFRPRYGRVRATAADPEDVPFENVQVKSSGHVLPYVGVACLGAILFGYHLGVVNGALEHLAKDLGIAENAVLQGWVVSTTLAGATVGSFTGGSLADKFGRTRTFILDAVPLAIGAFLSATAQDVRTMIIGRLLAGVGIGISSALVPLYISEISPTEIRGTLGSVNQLFICIGILAALVAGLPLAANPAWWRTMFGIAVIPSILLAVGMAFSPESPRWLFQQGKFAQAESAVKRLYGKEKVTEVMYDLRASGQSSSEPEAGWFDLFSKRYWKVVSVGAALFLFQQLAGINAVVYYSTSVFRSAGIASDVAASALVGAANVFGKFTILVRHLRIQYQLKNAFSDGHTLPVGTMIASSLMDKQGRKSLLITSFSGMAASMLLLALSFTWKALAPYSGTLAVVGTVLYVLSFALGAGPVPALLLPEIFASRIRAKAVALSLGMHWVSNFFIGLYFLSVVNKFGISTVYLGFASVCALAVIYIAGNVVETKGRSLEEIERDLSPA >Et_2B_022356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1916779:1929219:1 gene:Et_2B_022356 transcript:Et_2B_022356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKARGRPRAAARRKKAKEAAVGAAARVLFYPTLLYNVVRSKVQAEFRWWDEVDQAVTAKLTCLMRIIHMGEDKKTRHIEENVKKRKRFRCVARRSREPIDGRHDDPMPLPKPMTGFNLPDGRPRSVGRCLVSQAIGPPFFYYENVALAPKGVWAEMSRFLYDIEPEFVDSKFFCACVRKRGYIHNLPIENRSPLHPLPPKTISEAFPSSKKFWPSWDPRKQFNCLQTCVASAKLTEGIRCTLANSEVLSLRDQKDVLVQCRKWNLLWVGKNKVAPLEPNEMEMLLGFPKDHTRGISRTERYRSLGNSFQVDTVAYHLSVLKGMFPHGINVLSLFSGIGGAEVALHRLGIHMKTVVSVEKGDANRAVLRSLWDQTQTGTLIEIADVQSLTSEKIESFIGTIGGFDLIVGGSPCNKLTGSNRHHRDGLEGQQSALFYDYILIDRELEIRCEVQEYDSYKKVLDFHKGKVACVSESCKVQS >Et_4B_038633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3496218:3499833:-1 gene:Et_4B_038633 transcript:Et_4B_038633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCSRPHSLNEADAAENARSADIDRRILQETKAEQHIHKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELRSYTSVIHANVYQTVKILYEGAKELAQVEPDSSKYVLSPDSQEIGEKLSEISARLDYPFLNKEVVEDVRKLWQDPAIQETYSRGSILQVPDCAQYFMENLDRLAELDYVPTKEDVLHARVRTNGVVETQFSPLGESKRGGEVYRLYDVGGQRNERRKWIHLFEGVDAVIFCAAISEYDQMLFEDETKNRMMETKELFDWVLKQRCFEKTSFMLFLNKFDIFERKIQKVPLSVCEWFKDYQPTAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT >Et_3A_024610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22562012:22563964:-1 gene:Et_3A_024610 transcript:Et_3A_024610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSARKRVLLLYASFVILSLLATSNGAPNSIENINQSHNKTRQPLELTPKVSFQLKLHALFHWSSFGFLMPVGIILVRISNKSQNSRCVRVLFYCHVILQVAAILLATGGAVLSLMNFENSFSNRHQRVGLTLYVFMWLQPIFGFFRPERGAKVRSLWYFFHWLLGVAVCATGIANVYTGLHTYHERTTKNVRLWTGLLTLEVCFLAFFYLMTDRWSYMMKQGQLPVEQLRPIDNRKTYPTTLRKELSIVQEAKAPFYIPRVYTLFKERAGHNQSG >Et_2B_022925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8400730:8402659:-1 gene:Et_2B_022925 transcript:Et_2B_022925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKNNVATAGSGGLSRSTTILMGAVSGHHIFHVKGYSQTKEIGNGEYMTLGAFDVGGRSWSVQFYPNGIRYDDEDYISLFLSCDNNLCMSGMPARFRFSVLSPDGKQVLSFCRTSKIHCFNKSSWGFQQFMTRKEFEKSRWLKDDSFTIRCDVFVAAAETTEEDSLLDQPPPAVFPPKSDLQKDLANLLKSAHGTDVTIDVGGEMFYAHQWLLGGRSAVFQDKFLSLMETKTAAHMQINDMEPKVFESLLHYMYTDSLPDHDEDALLGMAEGLILAAERYKLEGLKKICEEMLCKRVDLNTVEANLVLAEHQHCPALKAKCMEFLTSRKNLKAVIAKGGFEILKKNCPNVKQGFEMLDFRG >Et_4B_038594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3132818:3138009:-1 gene:Et_4B_038594 transcript:Et_4B_038594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKPMRRRRSERAPPPPSESSFGATARPTSPRHSTSTAAVAADLDDLLLTAPPPSASEPRSFPYAVKQQCWEKAERVPGRDPERWRRDALGNIVFRKLVGCPGCLCHDYDHIVPYSKGGKSTLENCQVLQATVNRSKGNKTEVSKSELIQKSAYCRDATWILLNSLPMGMSGEGQIQGAAKSNDGDMNGKLLMTVWVAVFASSFSSNTLASLQPLPSFPTLSPLMPISDRIELLATSSASSIRIVFGTHTLPFPSSSPSLWRFPGVKQLDFVDAGEAELGDVADSDWE >Et_9B_063769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13381357:13381737:-1 gene:Et_9B_063769 transcript:Et_9B_063769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWRSEHIWIELLKGSHKRGNFFWACILFLGSLGFLAVGASSYLGNNMISGVVMSFYGIAGLFISSYLWCTILWNVGSGYDRFDRKEGIVCIFRWGFPGIKRRIFLRFLVRLLM >Et_1B_012159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29574018:29577176:1 gene:Et_1B_012159 transcript:Et_1B_012159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSSLILAVLLVAAMASPMALAFDPSSLQDFCVADYTSNVFVNGMACKDPSKVTADDFTFSGFHHPGDTSNAVGSNSTLADIHVLPGLNTAAISLARLDVAPFGLNPPHTHPRGTEMLTVVEGQLYVGFVTTAGKLHAKVISRGDAFVYPKGLVHFAFNLTPRPAWGIVGLSSQNPGLIRVADSIFGASPAVTDEVLAKAFRIDAATTIMATIVLALLVAAMVSSPLVLAYDPSPLQDFCVADAASTGASSHFSMLLHYMNKAECLETCARVCVGVHAVFVNGAVCKDPEHVTAGDFALSGLHIAGDTRNAVGSKVTLVDARAVPGLNALGVSMARLDVAPGGLNPPHTHPRATEALTVVDGELYVGFVATDGKLFAKVLSKGDVFVFPNGLVHFEFNRGPCPAVAIAGLSSQNPGLIRVADGLFGASPAVTDEVLAKAFRIDTATARMIKAQFGTN >Et_5B_045168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10751128:10752555:-1 gene:Et_5B_045168 transcript:Et_5B_045168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTSACAWHLWGPASTPAQHRDTLTALCLWQLQKHKNEVVLREAVFCLSRLLHNCRDETFLWRCRLRHNEATINPAVRGQFVHANENQCDVAS >Et_2B_018913.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:16323697:16325668:1 gene:Et_2B_018913 transcript:Et_2B_018913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVALLLLALFLPLGQLLPAAAYPHHTSPASIHAHLHHHGHYHGHHHRSPMMTATARFNTAPSMHQNHLESEESQSLLVADPFTAVAAQAPSGEEIMAAMGAAATVADPKPLDPPQAPSPPPSLDSAADLTSPTPPQPQAEAEWSTSGVAAPPPLDEPAATTTTLPLPNPDNAAASPSVPVHASAEAMVASGDDMGALQQLAKVLASLGYNEMASAAPLLADTPSVAGWPGAITVFAAPDVFLQASCPGCSRRHLLLEHIALGYYPYYELTAAPTVKLPSASVGFCLNVAAQPGPFVIHHVSLYVDGVEVSHRSSTTTAATSCTGSTASSRRSPAPPAATRPRTTTTTVATTSPPGRPPPLPQPPPPSCAS >Et_4A_035219.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:12929179:12929826:1 gene:Et_4A_035219 transcript:Et_4A_035219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHAPTLPDDVLVNFLLRLPARSVGRFRAVCKAWRAATTLPSFDCAHAARPAAVAKVASGYGYVLDRSELPVIVGFEFCGGRWRREGGPFARAISFVRTQISTCRVLGSWDGVLCLHPYTAFLWPNHPEEPARDDELLLWNPLTNAFTTVFAPAARGRVIGGYAHPVTGRFHLLSSSTKNGGRRKFQLLMVGSGDATPDGAGFTCDETITPPSS >Et_3B_030217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31414130:31419973:1 gene:Et_3B_030217 transcript:Et_3B_030217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRNKSMEFFKRFEVPAKNPSEDAQRRWRDAVGTLVKNRRRRFRMVPDLEKRSQVETQRRQIQEKLRVALYVQKAALQFIDAARKKEHPLPETARQCGFSASAEELASVVRGHDAKSLRHHKGVDGIARKVNVSLADGIKSDDAGVRAEVYGANQYAEKPPRTFWMFLWDASQDMTLMLLAFCAAISVAIGLATEGWPGGMYDGVGIMLTIFLVVMITAASDYKQSLQFRDLDKEKKKIDMHVTRDGFRQKVSIYDIVVGDIVHLSIGDQVPADGLFVDGYSLVVDESSLSGESEPVHVSSASPFLLGGTKVQDGSARMLVTAVGMRTEWGNLMETLSQGGEDETPLQVKLNGVATIIGKIGLAFAVLTVTVLMARFLVAKARAPGGGGLLRGWGMADALSVLNFFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKLMQERALVRHLSACETMGSASCICTDKTGTLTTNHMGVHKVWAAGAVQTVATARGFDELKASASETFAKVLLEGVFHCSGSEVVRDKDGKTTIMGTPTETAILEFGLELEKYTKIEHAGAKKLKVEPFNSVKKTMAVVVASPNAAGRPRAFLKGASEVVLQRCSGVVDGAGNVEKLTEAKTKRVASAIDAFACEALRTLCLAYQDVGNGNDIPSDGYTLIAVFGIKDPLRPGVREAVKTCHDAGINVRMVTGDNINTAKAIARECGILTDDGIAIEGPEFRHTLVTNLRGMFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFSTIINVAKWGRSVYINIQKFVQFQLTVNVVALMVNFVSASFTGSAPLTIVQLLWVNLIMDTLGALALATEPPNDSMMQRPPVGRGDNFITKVMWRNIIGQSIYQLIVLGILLFRGKSLLQLNGAQSDAQLNTFIFNTFVLCQVFNEVNSREMEKINVFAGIFNSWIFSAVAGATAAFQVIIVELLGTFASTVHLSARLWLISVLIGSISLVIGAILKCIPVDSSTSDSSDRHDGYQPIPTGPNAV >Et_8B_059331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16744320:16747516:-1 gene:Et_8B_059331 transcript:Et_8B_059331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPDQFLYIQNKSFDECMEECRSNCSCVAYAYANMSTRAIDGDGTRCLIWIGTLIDMEKSNQGGENLYIRVNKFGGIMSKANTLKIVLPVVASLLVMMFIGIIGICWFRGKQLSRIWNRLMLRDINATSELADLKLDLTFISFRQISIATNNFSDSNILGKGGFGSVYKGSLGDEEIAVKRLCADSGLGAMEFKSKVILIAKLQHRNLVKILGYCVDGDEKLLVYEYLPNKSLSAFLFDAARKSLLDWPTRFEIIKGIARGLLYLHQDSRLTIIHRDLKADNILLDAEKRPKIADFDTARIFDPNEKQSNTIRVVGTFGYMPSEYVLEGIISVKTDVYSFGILILEIVSGLKICATGPGSRNLIAYAWSLWKDGNMQDLVDSSIVESYPLDEVLRCTHIGLLMVQDNPNDRPLMSWVVSSLENEAIELPWPKEPALAGWRNYETDEAESVSERHRHTGDIWNRSV >Et_3B_028330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13546672:13552577:1 gene:Et_3B_028330 transcript:Et_3B_028330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFHVHLRPHAPRFLRRPASASARLHLRFKPLSAASPSSSSSPARTPLPLLEPSLRRGRAPPDHPDPYARAFDLAALRVPAAACAPLERRLRGHLLNWPRVRNVARLPNDQGLALALSLPSPAQPRHTGEEGGPAPPTAVERRAKLARDFNARGFVRFPNLARLSRPASPKRKAKKAGDSDEETTRERDNDKAYKVEVVGEEAADEWKGLVGEEGFGKGAWRMGPTRLLLLDERYADRRVDELPEAVKVVLDHETAQDGSSAYELVRCQLTLFYSYWPMNEVLEAILPEGIIIPTGFETVGHIAHLNLRDEHLPYKIFIAQVVLDKNKPKIQTVVNKTDAIQNDYRTMQLEVLAGKESLCTMVTENGLRFQVDLGTVYWNSRLATERQRLVDNIFRNSDVVCDMFSGVGPLAISAARKVQYVYANDINPTAVEYLERNIVLNKFERKIEAFNMDARRFISAIYSSQDVRPVTQIVMNLPNDAAEFLDIFRGILQNSRLGLHFVMPKIHVYGFSKAEHPENDFHERINLALGENVNDVEMHRVRLVAPGKWMLCASFTLPESVAFAKPKYINC >Et_6A_046928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22438062:22450118:-1 gene:Et_6A_046928 transcript:Et_6A_046928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRKSKLWIIATAVSLLVASICFILCFGWIRRRRKGKMSLRDRLKMNVHRDEVLEWDIEQSNSELKFFDFSQVFEATKEFSQENKLGQGGFGPVYKGQFIDGLEIAVKRLASCSGQGFREFKNEVQLIAKLQHRNLVRLLGCCSQGQEKMLVYEYLANKSLDFFIFDETRRVLLSWDSRLIIIEGIAQGLLYLHKHSRLRVIHRDIKASNILLDSEMKPKISDFGLARMFSSDDTDGNTRRVVGTYGYMAPEYASEGLFSTKSDVFSFGVLILEIVVGKRNSDFHQCQDFLNLLGYAWHMWREGRWLELVDASLVAECHHISQMMRCINIALLCVQETAADRPSMSDVVAMLSSESMTLSEPKHPAYFHVRVAKEETLTSAELCSANGVTISSPRVIWRLTTLAVTYRCNYFKWLNMNRKSKLWIIATAVSLLVASICFILCFGWIRRRRKGKMSLRDRLKMNVHRDEVLEWDIEQSNSELKFFDFSQVFEATKEFSQENKLGQGGFGPVYKGQFIDGLEIAVKRLASCSGQGFREFKNEVQLIAKLQHRNLVRLLGCCSQGQEKMLVYEYLANKSLDFFIFDETRRVLLSWDSRLIIIEGIAQGLLYLHKHSRLRVIHRDIKASNILLDSEMKPKISDFGLARMFSSDDTDGNTRRVVGTYGYMAPEYASEGLFSTKSDVFSFGVLILEIVVGKRNSDFHQCQDFLNLLGYAWHMWREGRWLELVDASLVAECHHISQMMRCINIALLCVQETAADRPSMSDVVAMLSSESMTLSEPKHPAYFHVRVAKEETLTSAELCSANGVTISSPRGTY >Et_4B_038828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5094263:5097465:1 gene:Et_4B_038828 transcript:Et_4B_038828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAKTPTKPLAPAALRTPIKPLAPAATTKTPAKPLAPAPRAAGKTPTKSPCSSRARFSHASENSHPNIPGSPPPSSTPSKPAKSPAVMGDKSASVKKKTSTPAPAAPPPPPRAGERRFVVAKKGARRRRNAGSVSGGEFDFDKAREAAREALRASQEEFFRKERVASTAAEEQLHPEEEEGGEEEKAAEEDVKAAALEAVQEETESELEGSSKVRALRTKVMAKAMSSVPDPGSGRVKHLVHAFESLLTISGATSDADRAGEDSWALPGLQPWKEENKGDLGLPQASVFSSADFLNVGPSRLCSSLDGNSDRLSWDSRTSAGGRRSRRNSSESLRSSWNKKLKVTSQHPFKLRTEQRGRTKEQQFVQKVQEMLKEEEKKRTHIAQGLPWTTDEPECLLRPPVKERTEPIDLVLHSDVRAVERAEFDQYVFERNKFAEQLRLERERQKELEEEERIRQLRKELVPKAQPMPYFDRPFVPKRSSKPATIPVEPKFHPRPEKLSCNAWSLES >Et_8A_057189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22107235:22113402:-1 gene:Et_8A_057189 transcript:Et_8A_057189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRALILVAMAAMVLESAAATTYTVGAPDGLWDMQTNYAEWVAARTFHPGDKITFTYSPELHDLVEVSKAGYDACSNANNISAFRTGNDVVTLTAPGTRYFLCGLTGHCDSGMKIRIDVVAVGSSPVSPAAAPTSAAAASAPGMTAVGVAVLLQ >Et_3B_031476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30997457:30998619:1 gene:Et_3B_031476 transcript:Et_3B_031476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPDTEDSPPAEAAAAASTPRSRLPRWTRHETLVLIQARRAMERRGPQQLVRPRPKWAAVSAYCRRHGVERGPMQCRKRWGNLSWDLKKIVAWEAKAPAAAGPQHESFWDMRGEERRARLLPSSFDREVYDAIVCGTAAARTPPCCRISARRWTGRTSSSRRSWSCPYQVSPHSYAKCVHVSRRYEPPPASSEHECSNPVTESEKKAAGAASDKNSTSQQNAGGGVKDSDATFVAGAEGPAAGTPAATVSIGKQVIEALERGNRALMQQLEAQKSNWDADREQRTPLLAALDRLGDAVTRIADKL >Et_1B_011718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25298143:25301034:-1 gene:Et_1B_011718 transcript:Et_1B_011718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GQKLRVLHPVYKKVPVLIHNGKPVCESQLIVQYIDETFGTTGLSLLPTDPYERAVSRFWAAYIDDKVGRAKTEEEKAEALKETFAALEILETAFKEYSKGKPFFGGDNVGYLDIVLGGMIPVVHYGQARHGIRLFDSTRSPLLEAWAGFSALDEAKVVLPGVESLVESIKETRKSTTSIKTYQKPALRNTTKLTHPHYTV >Et_9A_062541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23865580:23888033:-1 gene:Et_9A_062541 transcript:Et_9A_062541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTAKSDVYSFGVVLLELIAAKPAILRDPEPTSIIKWARLRLARGNIESIVDPRMHGDYDVNGVWKVADIALKCTAMSSTERPTMTDVVAQLQECLQLEMGRACSNDRNGGFYTGDSSEPYSGYNAYGQSTDVSQSSTSFEVEHNFVRVPTMTTGWWLHVPWGNQRRVQWRRQGPGCCFSASPSPSPAYSKLVPRRIPSVPGFISIDCGLPGTASYLGDIADLTYAPDAAFIDTGTNRNISTAYVTPTISKLYLTVRSFPNGTRNCYTLPTANSGHKFLIRAMFKYGNYDGLNRPPVFDLYVGVNWWTTVNVTDADTLVMSEAIVVVPDEFVQVCLVNTGTGTPFISVLELRPLKSTLYPQANETLAQGLVLVGRLSFGQIGIVRYPDDIHDRVWLPWADATKWDNISTTQKVNLYDGDNIFEAPSKVMQTAITPRNNASKIDFYWDTEPQPKDPTPRYIANLYFAELQSNAVRQFYVNLNGKPWYTKAYTPPYLTADYIYNENPLRDNPRYNISLDATANSTLPPIINAVELFSIISTTNVATDPDDVSAITAIKAAYHVQKNWAGDPCVPKNFAWDGVTCSFTSSSRPRITGVNMSFSGLNGNISSSFANLKVIQYLDLSHNNLSGTIPDSLSQLSSLTVLDLTGNQLSGSIPSGLLKRTQDGSLSIRHGDNPNLCTNPDSCQITKGKSKLAIYIAIPVVLLVVVASVVVLLCCIWKQKKKGSTNTAVQPQNEMPMSSNVTTNNSVPEQSSLTSLQLENRRFTYKELEMITKKFQRVLGQGGFGYVYHGFLEDGTQVAVKLRSHSSNQGVKEFLAEAQILTRIHHKNLVSMIGYCKDGEYLALVYEYMPEGTLQEHVAGNAHNGILLTWRQKLKIALESAQGLEYLHKGCNPPLIHRDVKATNILLNSKLEAKIADFGLSKAFNLDSDTHISTNSLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELVTGRPAILRDPTPISIIHWSRQRLARGNIENVVDPRMHGDHDINGVWKVADLALKCTAMASTQRPTMTDVVAQLQECLELEEARGGKGNNNFYSGSSSSGPYKGYNAYTPHDQYSDVSENSSAFDMEHNFGRLPMSAITAIKAAYHIQKNWMGDPCVPKNFAWNGLTCSFTSSGRPRITGLNMSYSGLNGYISSSFANLKAVQYLDLSHNNLSGSIPDSLSQLSSLTVLDLTGNQLSGSIPSGLLKRTQDGSLSIRYGDNTGLCTNPDSCQITKGKSKLAIYIAIPVVLLVVIASVVVLLCCILKRKKKGSTNTTVQPQKEMPMSNVTTNNSVPEQSSLSSLQLENRRFTYKELEMVTNNFQRVLGQGGFGYVYHGLLEDGTQVAVKLRSHSSNQGVKEFLAEAQILTRIHHKNLVSMIGYCKDGEYLALVYEYVPEGTLQEHIAGNGRYGILLTWRQRLKIALESAQGLEYLHRGCNPPLIHRDVKATNILLNSKLEAKIADFGLSKAFNLDNDTHISTNSLVGTPGYVDPEYQGTMQPTTKSDVYSFGVVLLELVTGKPAILRDPAPISIIHWSRQRLARGNIENLVDPRMRGDHDINGVWKVADLALKCTAMASTQRPTMTDVVAQLQECLELEEARGGEVNDNVYNDSSSSGPYKGYNAYTAHDQYTDVSQNSSAFDMEHNFGRRPSMPTGPAAPMEAPSWQLLLVLAAVGGVLRVRGQDIPDSTGFISIDCGLPEKSSYVDPVTNLTYVSDTGFTDAGVNRNVSAEYINPSYSTRYHNVRSFPGAAARSCYTLGSLTPGSKYLLRGTFMYGNYDGLNRLPVFDAYIGVNFWTTVNITVPDVSVLVEVIAVVPGDSVQACLVNTGAGTPFISGLDLRPLKNTLYPMVSATQGLVLYSRVNFGTSDPQAIRYRKDRYDRAWIPPSVSDQWQEISTTEQMQSSASLYFDVPSAVMQTAITPLKASKNIEYWVYAEPNHVYTTPGYIFILYFAELQVLPSNATRQFYITINGKLWYPDPYTPHYLLSDAVYSKGPQYGFSQYNITLTATANSTLPPIINAAETFSVISIANVGTDAQDVSAITAIKAKYQVKKNWMGDPCVPKSLAWDGLSCSYAVPDPPRITAMFEFICKAGPIRMSSYESERNLSSSGLSGDISFYFSKLTSIENLDLSHNKLTGSIPDVLSQLSSLKVIDLTDNQLNGSISSGLLRRIQDGSLKLRYDDNPNLCSNGNACQTKKKMSNTLLAVYIAAPIVACVVAGTVVLLFFVIRRNKETAKQKGLEPQDGNGHSRMQLENRQFTYRELEVITNNFQRVLGRGGFGSVYDGILKDGTQVAVKLQSDSSNQGDREFLAEVQILTKIHHKNLVSLIGYCKDGNHLALVYEHMSEGSLEDKLRGNDQNAGSLTWRQRLRIALESAQAHSIVNSFPVSHPYAGLEYLHKACSPPFVHRDVKTANILLSANLEAKIGDFGLLKAFKRDGDTHVSTARLVGTHGYFAPEYATMLQLTEKSDVYSFGIVLLEVITGQPPILQHPQPTHIVQWVRQRLAQEDIEDVVDKCMRSDYNVNVVWKAVDVALKCTTQEPAQRPTMTVVVARLQECIKIEEGLQQPMPRTMAAARPWVLLLCLAAAGVLQAHAQPDSNGFISIDCGLPGTATYVGATNLTYVPDADFIDTGSNRNISVEYITPKLGKFYHNVRSFPDGARNCYTLRSLVAGLKYLVRGIFMYGNYDGLGRTPVFDLYIGVNWWTTVNITDPDTPVIKEAIVVVPDDFVEVCLVNTGTGTPFISSLDLRPLKSTLYPQVNATQGLVLLGRLNFGPTEITSDTIVRYPDDPHDRFWFPFVNTAEWDTISTTNRVQYPDLEIFEPPSKVMQTAITPRNASQNIEFSWDPEPQPKDPTPGYIGILHYSELQRLPSNVSRQFFVNVNGFPWYPKAYTPPYLVADATYNSNALRGFNHYNISLNATVNSTLPPIINAVEVFSVISTTEVGTDPQDVSAITAIKEKYKVKKNWMGDPCVPKTLAWDGLTCSYAISGPPSITGVNMSSFGLNGDISSSFANLKALKYLDLSHNNLSGSIPDSLSQLSSLTVLDLIGNELSGSIPSGLRKRIQDSSLNLNYGNNPNLCTNIDSCQTSKGKSKLAIYIAIPVVLVVVIVSAAVLLFCILRRQKQGPSTNAVKPQNETPRNHVPTGDSAHAQSLLQLENRRFTYKELETITNNFQRVLGRGGFGYVYDGFLEDGTQVAVKLRSESSNQGVKEFLAEAQILTKIHHKNLVSMIGYCKDGEYMALVYEYMPEGTLQEHISGNGRNRGFLSWRQRLKIAMESAQGLEYLHKGCNPPLVHRDVKAANILLNAKLEARIADFGLTKAFSRDNDTHVSTNTLVGTPGYVDPEYHATMQPTTKSDVYSFGVVLLEVITGRPAIPRDPEPTSIIQWARQRLARGNIEGVVDSRMRGDHDVNSVWKAADIALKCTAHASAQRPTMTDVVAQLLECLELEDARAGSGDGNSGFYTGDSSDPYSGYNEYAADGQFTDVSQSSIEMEHNFGRAPTMPTGPAAR >Et_4A_032608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11831429:11833856:-1 gene:Et_4A_032608 transcript:Et_4A_032608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYGLFHAYGIDEIGQSVEAVRSSVLDLDRFGKAVKLAAFTPYSSAMDALNQCNAISEGIMTDELRSFLELNLPKPKERKKAKYSLGVVEPKVGSHISEVTGIPCQSNEFVQELLRGVRLHFDRFINELKSSDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWFSWHFPELVKIVNDNYLYAKLAKFIVNKSDLAEKDIPALADITGDEDKAKEIVEAAKASMGQDLSPVDLINVQQFAQRVMNLSEYRRKLYDYLVTKMNDIAPNLTSLIGEVVGARLISHAGSLSNLAKCPASTLQILGAEKALFRALKTKGNTPKYGLIFHSSFIGRASTKNKGRMARYLANKCSIASRIDCYSEASTSVFGQKLKEQVEERLEFYDKGIAPRKNLDVMKAAIDSMVNDISNEDDDEKIDASAKKSKKKKSKAEADAMDLDKPSNVADGEAEPGTEKKKKKKKHKLGEPQEQENGAAHANGDVEETPKKKKKKNCDVSEEAEPKTATEGKKKKKKKSKVEDDE >Et_1B_011437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22101828:22106815:-1 gene:Et_1B_011437 transcript:Et_1B_011437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNATMSLRMGGRLDVMRCSFRYDAYQFYDGEPMFRLGQEPSPGPSKVKKGRSKPFDEALGCGYNSRCSTSMLLLHRLLLLAQKSETTTERPDSQFSGDGAELAALGLEGKNSEFTVLEATNNFSEENKLGQGGFGAVYKGMFSEGLDAAVKRLASHSGQGFIEFKNEVIAKLQHRTLVRLLGCCSEGDEKILVYEYLENKSLDYFIFDENKRLLLDWNKLQTIIEGIAHGLLYLHRHSRLRVIHRDLKPSNILLDKEMVPKISDFGLAKIYSSSNTEGNTTQRVVGAYGYMAPEYASDILFSIKSDVFSFGVLMLEIISGKRNSGRHQCADFINLLGYAWTLWEQGKWDELVDASLILMRHSTEMMWCINIALLCVQENAADRPTMSDVTTMLSNETMILDKPKYPAFFYARVANEEISAVTKSCSVNDVTVSALSAR >Et_2A_017334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33326834:33337153:1 gene:Et_2A_017334 transcript:Et_2A_017334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVWESGRRMSRSISRGMGMEAWGVDDAFMPQHAGSRGGSRRVDDDEEALRWAAIERLPTYSRVRTAIFEAQPEGGGATTKPQYKEVDVRRLADGDRQEFIERVFRVAEEDNQRFLQKLRNRIDRVGIELPTVEVRFEQLNVQAKCHVGSRALPTLLNTARNIAEGALGLCGLRMGRQATVTILKDVSGVVRPSRMTLLLGPPSSGKTTLLLALAGKLDPTLRCTGEITYNGFALDEFVPQKTAAYISQTDLHVGEMTVKETLDFSARCQGVGTKYDLMTELTRREKEAGIRPEPEVDLFMKATSMEGVESSLQTDYTLRMIVGPTKVLFMDEISTGLDSSTTFQIVKCLQQIVHLGEATILMSLLQPAPETFDLFDDIILLSEGQIVYQGPREYVLEFFESCGFRCPERKGTADFLQEVTSKKDQEQYWADKQRPYRYISVPEFAQQFKRFHVGLQLENHLSLPFDKSRCHQAALVFSRKSVSTKELLKASFDKEWLLIKRNSFVYVFKTIQLIIVALVASTVFLRTHMHTRNLDDAFVFIGALLFSLIVNMFNGFAELSLTITRLPVFYKHRDLLFYPAWVFTLPNVILRIPFSIIESIVWVLVTYYTIGFAPEAERFFKHLLLVFLIQQMAGGLFRATAALCRSMIIAHTGGALSLLIFFVLGGFLLPKAFIPKWWIWGYWISPLTYGYNALAVNEFYAPRWMNKFTVDNNGVTKRLGMALLEGANIFPDKSWYWIGAAALLGFTIFFNVLFTLSLMYLNPLGKPQAVISEETAEEVEGNGHTSEIVRNGSTKSKDGGHNKEMKEMRLSARLSNCSSNGVARVMSIGSNGPAPTRGMVLPFSPLAMSFDNVNYYVDMPAEMKQQGVQDDRLQLLREVTGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRIAGYPKNQATFARISGYCEQNDIHSPQVTIRESLIYSAFLRLPKKIGDQEITDDIKIQFVDEVMELVELDNLKDALVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGQLGRNSQKMVDYFEAIPGVPKIKDKYNPATWMLEVSSVAAEVRLNMDFAEYYKTSDLYKQNKVLVNQLSQPEPGTFDLHFPTKYSQSTIGQFKACLWKQWLTYWRSPDYNLVRLFFTLFTALLLGSIFWRIGTKMGDANTLRMVIGAMYTAVMFVGINNCSTVQPIVSIERTVFYRERAAGMYSAMPYAIAQVVIEIPYVFIQATYYTLIVYAMMSFQWTAAKFFWFFFVSYFSFLYFTYYGMMTVSISPNHEVAAIFAAAFYSLFNLFSGFFIPRPRIPRWWIWYYWICPLAWTVYGLIVTQYGDMEEVITVPGESNQTISYYVTHHFGYHRSFMPVVAPVLVLFAVFFAFMYAVCIKKLNFQQR >Et_4A_033814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27064399:27064888:-1 gene:Et_4A_033814 transcript:Et_4A_033814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEAVAAATVAEEAASGTAGTRVDEAERKAPALKVVAAVDAKDESLHVLSSDATRTFAALVVGLPSTSSSTSSAPLPPARYWYWASTSPLKFLRAELTGSLTFSNCVCACAPTAALESMRKAQKENSWRIVVRALRHLGPIGARHLSSFEGSNEN >Et_7B_055899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:758911:764036:-1 gene:Et_7B_055899 transcript:Et_7B_055899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAVALDPATAAREGAEQEEDEEFYESLDRILSSSCSSTSASDDDADHRRRRRSHSHHHHPHASVSSAYDVWISEPTSVEERRRLLLQRLGLASEPAQPTSPRHSPRSPSRSASPPPSSPLLPRPAAAEAAAPEEPRSAGLGKPPLARNPSAGGVEQCRIRNLDDGTEFEVGEVHEEVVREVGTGRQLTLEEFELCIGRSPIVTELMRRTTTTTSSSPADHTAPASKPRRKPGGWLRGIRHLAGTVAYGRRSTEERDTEKEKKERETRRLSSATDDSLDGSGSRSAGRVRVRQYGKACKELTGLFMTQELAAHSGSVWCINFSLDGRYLATAGEDRVIHVWEVSEGERKGELLGEGSVTKENGGGCSPLLAVVGNGSPEIAALSLTGADGDGAYVEKKRRPRKQTNRKSVGSDHLVVPECVFGFRDKPVCSLLGHAADVLDLSWSKSQYLLSSSMDKTVKLWDITTSTCLKTFSHTDYVTCIQFNPVNDNFFISGSLDEKVRIWNVQDRKIEDWNDLHEMVTAACYSPDAQVALVGTHKGSCHIFDTSENKLQYKSQIDLRIRKKKSGQKKITGFQFAPGSSSEVLITSADSRIRVVNGDELVHKFKGFRNTSSQISASVAPNGKYVVCASEDSHVYMWRHENSSHPSRSRSAVDVTNSYEHFHCHDVTVAVTWPGAEATRGSFGSRSSRHSDSDGATNTGRDVPVQNNEQKSDAADHRCDESPAREGVSKSASKHPVDGASSPRPDEKLPSAKSSPGHCSSDLCIGAMDVQRRSAWGLVIVTAGRGGEIRVFQNFGFPVQVTIAQKLVHPPSATDHDSVI >Et_3A_026606.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:10367620:10368126:-1 gene:Et_3A_026606 transcript:Et_3A_026606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTYKGGIKAYWKRRGYYRLDAAAAQRRAPLPTAELGGGGPAPPQEGGRRRRRGWRVRRSLGRRVLRALSPRRWLVRLRDAYVSAMLRLASSPAAVGFGAGTPYCAAAATHGAFARPAQLKEYDEKVLVEIYRSILARGGHLAVAGDGVGAGAPATAAAVLRLPTVA >Et_5A_042227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7801928:7808175:1 gene:Et_5A_042227 transcript:Et_5A_042227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIRSILRLFDAKPPPPSPPKLPALADDILQEIFLRIGSFADLARASSACATFRRIIADRSFLRRYRSLHPPLLLGFLYADPADFQGAEAPHPSAAAAHVLACAVGFSFDYLPPGSWNRWEPCDVRDGRVLLKSCPERYKGVVLTDLVVCDPVSQRYLMLPPITDDLLASLQIVDETLQCFEPVLVPLGDDDDETSFKVIGRTHGVNKTVAFVFSRCSASWTVGATISWDALSFSEMPAYYEETLSLGWPCYAYGCFYFKVHRKSELLRLDMNTMGFSTVNLPFDHPRVRNMIIVEAGKGRLGMFCRNRHGQSVDYYTTMQSESEKASKWQMEHTIPMPTNYNCRVAGEDEGYIFLVGVPQGALPEVQGTKQAVCFSLQIETGKIERVSTIGRTPHLLHRSCSLPNRLVIYFEHEILQATKTTLEDYDEFKIKLWAVLDGVVFFSALTIDYACSPSWFLSFCLETRKLEKLFYRTCSSLVYPYIMAWPPALVRNNVSY >Et_1B_010557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11607733:11613572:1 gene:Et_1B_010557 transcript:Et_1B_010557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGKDQDATQDPVHGPLEESNDATAAAAEAVPPPPAPPAEDLHERPGEGGGSLSPDAAAEAEAAAAAAADRKGKKVAAPSPSSPSPAGSNSPPIAPVVSSVGRTSRLAAPVDSVSAVEDSSLALAVDSRREGTVSWKKPVVGEIVEVPEETRWIYMKDVFDSYGAKAEGDQGYGAAIGRGGGAREGADRSSVLWRQNKNSLWSKVKCGLTNMRIGRHKRHSDLNFRPHVDRLVIPMAGNGMIEHYGLLEKVVREGVEMNSNALLLRRQYSGFRPVLGDGECFYRSFIFSYLEQVLDREDKHEEYRLLAAVTEVARQYELLGWTSEFSNRRKAFEKMIKKVMQWKEGYSGWKHVPTTSSGYDATRDIFAFLRLVAAIWICSHQEEYGPRVDELREDYTLRDWCFREVIPEKIYTDHIQMTALVTALGVPLRVENLFQGVGQDLYTGQDPEDNMPRSTCWLLRHRLLPSDHAVPRVTVLFTNGHYDIIYPHRRDGPPPSIDESGSGETDLGESSTATGQSSSQQIALGRRPSGGSSSLVSDQGGCSIQEVIPPELFWDILVRVGTLDFGIQRLCSQFRNTIDNKEFLIAYARRWGSRILLFYRQEEETTVASPDPEQEEETTVASTDPEQVPVQLEALNWATCEVSPLLKFTGLSIGSRIQVLGTREGILLLSWNHQLFVCNPFTGHWGCVPQDQNERVSVAFYTCTGIGGKAFCILYRTGGLLEDRRYYVQFLNGTDLSCSREINLGKSCLPYEIILVIKQLPPSDECPPAIIGSQAYWLLPYHLFQVNYILKFDGHLEEFSRITVPEWEGHTEHGFQLLEVHDQLGFGAFDATHMWLRIWIHDMAWNLKYQIRLPVEHIEAWKGFNEWDEPNVFLLGAGDFLIQCPRLLSLAEGGVVVHNHELHEQEGLSLSIQYRMDVSYATHDFLTMRGDGMFFEFLDMQNIMLV >Et_9B_064763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18402796:18415606:1 gene:Et_9B_064763 transcript:Et_9B_064763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNSSRLHRLLTLLDTGSTQATRFAAARQIGEIAKSHPHELNALLKKVSQYIRSKNWDTRVAAAHAIGAIAENVKHTSLKDLFASVEAEKHASGLSYGTDDATSALPRTDATATSDLAFGSFDINRVLEFGSPLLSSGGQEYDIAHENGKNPAERLARQKKNLRRRLGLDVCEQFMDVNDVIKDEDLLAQKNYWGSNAQNNGFHSYNAGHNIQQLVVTMVPRYPRQSNSCSRRLSARELNMLKRKAKSNAKDHTKAVSEDDEVAQKTSALSNGASSDQVGAHNNSFDATVDEDNLDYSENGRWPFQQFVDQLIHDMFDPIWEVRHGSIMALREILTHQGDCAGVYFPDLSLSSSDLDDKTNFDSLKKPHVIDLNEGVDVEHLEPVLKRQKKEPNPSETTYMEYDKELVNGDCSKTEAGLSIVSTVSSGELNSAHVKVEQESHVESPCKGDTTYAPLHEKLNSISNPSSLPLESSKFLKLMKLAKYSYMKNWEFLQDCAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVMKYMHPSLVCHTLNILLQMQRRQEWEVRHGSLLGIKYLVAVRQEMLKDLLDYILRACKSGLEDADDDVRAVAAEALIPAAASLVTLNDEMLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQPEMVPKMLGTKALGEMGEFDLNKATQEDRLTSSENPYGLATLTPRLWPFMRHSITSVRRSAIRTLERLLEVGNTRSSAGTTPFWSTSMLGDALQVVFQNILLESNDEILQSSERVWKLLLQCPEKDIESAARSYFSNWVQLATTPYGSTLDSTKMFLPVALPRGSRSRAAAKIRSARLEHENTRIISFGSAGESTSHEKHFDAHSSVSKITVGADSDKSVTHTRVLTSMALGLLASKLPVSSWQVVLSPLANDLMSLSGVQRQVASMVIVSWFKDLRSRDPVLVEALLAFLSSVKEWLLDLLTCTDPAFPTKDSMLPYTELARTYTKMRNEANNLLQSIDSCAALKDYIKSSKLDVDVLSVDNAIDFASKLLLPSESDLPSESDKIVLNSIESAKQGLLSTSGYLKCVQNNLHVTVSSLVASAVVWMSGLPSKLNPVILPLMAAIKREQEEVLQDRAADALAELIFSCVIEDQNLLSIGKRFNSHKSRGHMTSGSEERLKLEGFISRRGSELAFKHLCEKFGSSLFEKLPKLWDCLTEFLKPVKTEDDLNVAHLGRSCEDKYPQSLINNIQVVRSITPYLAEPLRPQLLSLLPCILGCLRHPHVAVRLAAARCITSVAKSLTDDVMIVVIENVIPMLSDLSSDSARQGAGMLLSLLVQGLAMELVPYAPFLVVPLLRCMSDPDGSVRKTVTHSFAALVPLLPLSKGVPLPGGLSERLSSSTEDVQFLEQLLDNSRIDDFKLNINLSVQLRRYQQEGINWLAFLRRFKLHGILCDDMGLGKTLQASAIVASDIAESRAHNNDKDPASLIICPSTLVAHWEYEIEKYIDSSIMKPLQYIGSSQDRVMLRSQFDMFNVVITSYDIIRKDIDYLGNISWNYCVLDEGHIIKNSRSKITSAVKQLKAQHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKQFQATYGKPLLAAKDSKCSAKDAEAGILAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCNLSSLQLKLYDKFSSSNAKEEISSIVKANESEESASQPKATRHVFQALQYLLKLCSHPLLVTGENPPDHLVDVLKEIGVGTGGELHELHHSPKLVALQEILQECGIGSEISSPDASASIGQHRVLIFAQHKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMKDLQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINAENSSLKTMNTDQLLDLFTSTPASRKASVLPTSSSDDANKDSKRKSRGKGLKSILNGLDELWDQSQYADEYDLNQFLAKLNG >Et_4A_034808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6728170:6731247:-1 gene:Et_4A_034808 transcript:Et_4A_034808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEHGDGAVGRCILVGLHMDAVGRELLRWALNQAARRGDRVVAVHIYRKSDLSKTNTLTLIRTLDEYLAGYEELCRQKEIVLVGRVTPGSSIQKELVKEAMLCAAMVVVLGANKKYSFGGSTCLAKYCAKKLPPTTSVVAIQNGKAVFVREAPKPPLGAEPKPLLRTILHPSVGLEPKVIIPNPNRTARSMDFDAMGCAVVAAAAARSKDDDDARDGGVATLEQRLGWPLLRRAPPVATAAAPSPKHEEPEPRKQSVVQWVMSLPRRSSPPASPEPHSGLAAELKAMLGDGGARCRWFRYEELYESTNHFSSENLIGNGGNSRVYRGSLACGQQVAIKLSKASAEASKDFLREVDIITKLQHPRIVPLIGVCVEGPNLISVYSYLPRGSLEDNLHGEKSKPTLSWENRYKAALGIAEALSYVHAGCARPVIHRDVKSSNILLSDEFEPQLTDFGLAIWAPSNPTSLTHSDVVGTFGYLAPEYFMYGKVTHKLDVYAFGVVLLELLSGRKPISSDGTSPKGQESLVMWATPVLNSGDISDLLDSRLDVKHDAAEVKRMATAASLCLRRSARLRPPISQILSLLRGESTASTADQGAEPDCLDDEAYPVANVRSHLGLALLDVDDAESISSTEHSSGLSPLEEYLRERWSRSSSFD >Et_3B_028403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14691805:14702021:-1 gene:Et_3B_028403 transcript:Et_3B_028403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGPRSGGAREKLREAACNAAAGGSAGVISATVLCPLDVIKTRLQVYGVPSHLSSGAAPPGRVIISGFQQILKHEGLPGLYRGLSPTIVALFPTWAVTFSVYNHMKGLLHSQDDKNSELSVQANVLAASCAGIATATATNPLWVVKTRLQTQGMRLGVVPYQSVLSALRRIAKEEGIRGLYSGLLPSLVGVAHVAIQLPVYEKVKLYFAERDNTTVDKLSPTRLAVCSSGSKVAASIITYPHEVVRSKLQEQGRDHRGAMRYSGVTDCIKQVYQREGFAGFYRGCATNLLRTTPNAVHANVWDLQRDALRTHL >Et_2A_016585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26298415:26298831:-1 gene:Et_2A_016585 transcript:Et_2A_016585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLLSETIVSVNKIKLFVSDKNNKLHSAMRTTSQSKQKGKVARALKEHRARLYIIRRCIVMLLCWHD >Et_9A_063457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:344346:348216:1 gene:Et_9A_063457 transcript:Et_9A_063457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPDSTAAHPDDLHGFLRCGLRTHAAVLRAHAFLLRRGLLLGHPVTAGLLLTASACSAASPPGHVLRLLIRHLPPPLPLFSLDAALRALAPRIPFSALLSVFAALLRSHHPLFPDRFSFPPLLSAAAASSSARLHLPSARALHAQLVRRGLLFSSPPHAANALLHFYAAAGRLPTARHLFDEMRFRDIASCNTLMTAYAGSAGGVDTARQLFDGMLLRNTVSWNVIINGYVKAKRPEQALEVVRWMADVGVRGTATTMVGAATACARLGRLGAGREVHCAFLRRFEDDNLLVWTALVDMYGKCRRDKSARKLFDRLSMRNLICWNAMIIGHCVYGEPDAGIRLFHEMIRPGNMQPDGVTFIGVLCACARLGLLDQGKIYFEQMSTMHNLKPTFAHYWCMANLYGSVGHLEEAERLLRVVPEELKARALGGLLGLCRFRGEWGLGERIALRLIELEPSNNAHYALLCNVYAAAGRWEEAHRVKNIIKERDVRFSPGHRLVNLNDIVGEFTVRERQPEGQEIYAILDDLVSGLKLGCRQNEQESHAVKGLSQGDVFTNFGRKLCRWTSGSVSAGQED >Et_7B_055660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2045584:2047302:-1 gene:Et_7B_055660 transcript:Et_7B_055660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEAAWADLLPELCGLVADRLDAMSVLTFPAVCAPWSAAYKQQAPRLRPGAPTMATSGMDADGHGCTLYDYEAGVFALHDVATGKTFRAEAEGLRGRCWVGGKDDWLVTAGDRCDMEILNPVTGARARLPSFDTIPGVEVDGKGGEFKVRVEREEYSQSLRRVALCRTPAHREGHLAVAMFHPNLLAFTRFGEESWTALKNSAFPDGGVAWYADTIVYEGKVFAVSRTGGVYSWEMNNGETADPAVVRGPDPNNPMEERFNLAMSSGGGRILLIRMAGNRVSLGSRNRQVRRMIYDGNRYFHPYDIALHELDASDGTWRRVADIGGDRALFLGASYPFYVAVPRGSKELKGNCIYVADTSDSDAAVIDLGVGNEIRSHPQSKI >Et_1A_007977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39984049:39987191:1 gene:Et_1A_007977 transcript:Et_1A_007977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TKKIKGLCHGNVVKSQRELGEEKRQFRQFREIQTEGEGRDCIPLRSLSPEAGAMPRLRLPLLLLLLPAALTTILLLFPSTTPPRLPPPQPLPCGAALSDAYNGRWVPTPAPAPPPLYSPSCPFHRNAWNCLRNGRPQLAALSWAPSRCGGAVVPRIDAAGFLAAARGRRVGFVGDSLSENLVVALLCALRSADDGARKWKRRGAWRGGYFPRQDVVVAYHRAVLLAKYTWQPVENSKERQMDGVKGSYRVDVDIPANEWINITKFYDVLIFNTGHWWGPDKFPKETPLVFYKGGKPIEPPLGIHDGLKLVLKSMASYIEREVPRKTLKLWRTQSPRHFYGGDWNQNGSCVSDRLLEDHELDSWFDSRFGGVNKEARLVNSAIQEALAGTDIQLLNLTYMSEFRADAHPAIWLGKKDAVAVWGQDCMHWCLPGVPDTWVDILAAHILHYFKQGKG >Et_9B_065266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:364097:367152:1 gene:Et_9B_065266 transcript:Et_9B_065266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLPAAAGSGAYRNYYPPQPQPYSYSHSHAQAHVRRPPRPTARWVKQWLPQDLASSGGKCSLFKWVRADGHKSSKENPKVLEAEAPKPEPTSEILFLCSYENCGKTFVDVSALRKHAHVHGERQYICQEPNCGKKFVDSSKLKRHYLTHTGQKDFICPHPDCGKAFSLDFNLRSHLKTHALENYHICPFPACGKKFTSDFKLKAHVKAHEKTGTPVAVQHTPPPEKPQSTMKSSTPAPASYADRPYVCPYEGCDKAYIHSYKLNLHLKTMHPDHGQEENGKHTAPSGQHAVNEPTYQYNYAEIGDPAPNPKRSKPSSSHKVSSSKVYNVKVSSAMPADITGVKNQWSGKATYEDDSEETEEDPGNNLEGGWRYGNNADDEETPDED >Et_3A_024969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25761156:25763085:-1 gene:Et_3A_024969 transcript:Et_3A_024969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHRSTRVDAQPPPPLSSQPPPSSPLPPPSISRSQPITTAEHMAATSCAATPWWGTAFSGGSVGSSSPAAPTDKQASQAMAVENIFPFNSADFSSPSMAALFSSASSDPQYWSKDPRPADGFVNFLQNGPRFPFPQQFPHHTSMPQNVKLGSSPVPAHYAPFLPPRPPVVDVDAQEDGEVRTNKRLIWSVDVDVRLVCDVVAVYNSTTPKNRWRTAKQAKDRWHTINRLVYQFQYSWNKAYKIYSSGQSDDQLTDKAHAFYDSDWGGQFLLVKVWKVVRTSKNRSKILEKQERLSAEKLEAARLSHLAALENKEARKHEKESEMWKTYRAMLAQDTSHMSENIKDEYVKALKVMRETLNDKN >Et_5A_041841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3316768:3320736:1 gene:Et_5A_041841 transcript:Et_5A_041841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPDLSSSSSAAAAAVPDAVPAVAAAAAKKDRHIVSWSSEEDGVLRSQIAIHGTDNWTLIAAQFRDKTARQCRRRWYNYLNSECKKGGWSREEDMLLCEAQKVLGNRWTEIAKVVSGRTDNAVKNRFSTLCKRRAKDEEPYSESGTPCSNANAKRVLTQIRCLTPGAAESSLPIKPISSDLKENIVPNMRLFGQEKSAQDARQPLAIISSSNKDNVKIVETQNLVAKTVTKQLIGREKNCVKREREGSFLNKDDPKVATLLQQADLLSSLAVKIKTENTSQSMDEAWQQLQHHLVKKDDSEMSESSMSGMASLLDELDDLIVDPYENKKEDEQEQREQNGPINEHDEHCNASSQGSKEVISHMASDEMMDDCPRDVIDHSSLSENTLSGNVEPCPGTEIPASEKLSEVAEDSMLHCMESTPPVVTDFDDLIVDPYEGTEEDEQKLREESGQIDVHGEHSKGSTQTSMELTPDMVPVLNTEDCPVDNCREDNSLCISILSGTMESCPDADHPASEYLCEVAEDCMLQLQGVESASPVQTNSQSKECAEIPVSENRSKVAEGSRIQGIEFNSPAHVVLQEKAGAFASPKFGEVRKDSKLPSTEFMSPAHTVPTFQPYEADMPTPKFTASERNFLLSVLELTSPGSKPETSQQPSCKRALLNSL >Et_3B_028201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11788008:11788682:1 gene:Et_3B_028201 transcript:Et_3B_028201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PQSSSARQKLTKARDCLELARFRRRRTKVMVAKEMQNENWIRAIGETSMLEQLNEYIRLWKALRVISLIQVQHDTIQWRWTQDYSSLATSSEEEYGKGQKASSGNMEEAKSPNLPRH >Et_7B_053795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12259220:12260020:-1 gene:Et_7B_053795 transcript:Et_7B_053795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSMDASYLITFLQLIKVLDNVEVQLNKTKYLTGHDFLPADLRLVPIQYNRVLQFREATKFAIVKYFQSLGVRINGHPDPEDELGRTALSTLVRVSGEIPDDLALSKSLSFIDLSLARHAAVRAAVARARHAAHESVSNSRQGRTV >Et_4A_033247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20431931:20438069:1 gene:Et_4A_033247 transcript:Et_4A_033247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKGKKKLPAASPQPLDLASVASAAAARFPALVPRGGAGCFAGTVADVGPRSGSRMLDGRRGCEGSLWLSEPAMVGAGLRPGCLVSVSLISSTCDRLDGFPLDDLFEECTRFFDLDADNDLLSGEAGRNFVVATVFPSLEVQKNGIKLSWDLACTLGYPSVGESLFINLLHTSHAQKETEDVDILRVRKCKNIFLGLVPPKIGPSSGMESESDCHSSRTGVVMGSPKKIPSTPMRRNETHDFASKNGSSMCLDPTTARSALADDKVNELLQISATRWLSGKYLLKGNYVPLSVCGKLSLFVVIRAESDSSAVDVFYEKDSTLNNMETSKMGQAPVSFLVDRTTKVHLSESISSEKLGSDKPDVPSDSSVVGNKIIEDSNRAPTLGGLSKESATIKEIIAFSLADQIDVPRYKGVLLYGPPGTGKTSLAASCVHNAGANLFTINGPEIISQYFGESEQMMYDVFSSAKQAAPAVIFIDELDSIAPARKDGGEDLSLRMVATLLKLMDEIGPRERVLLIAATNRPDIIDPALRRPGRLDREIEIGVPSPGQRLDILHHLLIGIHHSLTGEELESLAQATHGFVGADLAALCNEAALSALRRYISLKENSTQLLGHPDTSVDKDNGQKTFDHLGDQISSLSSSLSKLTMASVDFPCIGHPGSSESEDGKDEKLLLVTSEDFEKAKMKVRPSAMREVMLELPKVRWQDVGGQARVKKQLIEAIQWPQKCPEAFERIGIRPPRGLLMIGPPGCSKTLMARAVASEAKLNFLAVKGPELFSKWVGDSEKAVKSLFAKARANAPAILFFDEIDGLAVTRGHENDGTSVADRVLSQLLVEMDGLDQRIGVTVIAATNRPDKIDHALLRPGRFDRLLDVQPPDEGDREDIFRIHTRSMPCSPDVDLNELARLTEGYTGADIKLVCREAAVAALDVSYSFLNITVTQPSACLLDGCNLLRFADKILHFKQENLDIPEVSIRHFKYAINRIKPSDVKFYQELAVQFRRFVDDASRRKQ >Et_8A_057910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9254431:9255913:-1 gene:Et_8A_057910 transcript:Et_8A_057910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLVRLGRGGNDCVDPPRADDRDNRNVLQQQNAGKHRGPEPVGENAKKKKKKSDLQCTICLEDHHTSTCGLLLGPKPSAICCGLAGSGEGFFQIPYDKADPIPKKITTTALITIVEGVVMDDVKPVNEDSTNPTQLNEPSKGSNGDKPTEEESRIMKEAIDMAVDQLLIECANNVIAEDAVALGGGMVAFAGSNAPPSSASQIENIMLEHHVSMPAAEDSPTGEHVQNVDVTRATREEQEVVAPGRSPMAAAIVDADMGAATLTGDLLRAQVLVRTELLGEGADSAPILVMAETGVKTSSLSVVDREAMSTPAPLVVRSAVE >Et_6A_046759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20241374:20247933:-1 gene:Et_6A_046759 transcript:Et_6A_046759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDTMDLRALGLLPGRKQEQNKEASKSRTRRRLIQWVAVGDATGDYPFSHHKLTVQFSEASTAQDRKLEGLVHKAIRELWRPKPSQLTLLQTKGIGALHKELPKACTLTGSSDPCYIEAYHLADPTDGRITLHLKILNLTELELNRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFYGSGGSADYEGDYAEEDSQVMRQKCSLRPELGEPVVLRCQPYKIPLAELLLPYECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSISAKPFHQVCSHFICTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDETTTMICKFVVRASDESITKEIESDLQGWLDDITDGTVEYMPEDEVKSAAAERLKTSMERIALLKAAKPKVPPAKTEQEEEEEKQNEGLDEFGNPRAPRRSPSSPPRKSSTVRSRRPCCRSGTSCARRKP >Et_1A_008609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9092848:9095378:-1 gene:Et_1A_008609 transcript:Et_1A_008609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQALRSCMEQLVMAREEREQIIVEAATEISSEKKRARELQHKLDDANKKAAKLAAEKSGLLRSADAKDALIGELRASEAAARGEIAAAAARLESAQKQAGSLQYEVRMLQRELEVRGQEREYDLKAADAARRQQAELTKRIAELEAECQRLRAMVRKRLPGPAAIAKMRDEVEPPSQMTPRASPRRQPRPATPSSPRSVASSFTPRTPSPSPSTPRRSSSVSEAESFAFRLRAVEEENRALKQALTRREGELQVVQMKYADEACKLTAVQRQLKELTEENMQLSDANCQSESWASALISEMEQFRSGNQNGASIMASDMSLLDDFADIEKMEMSSADQKKIPPPTSPKKTDMESVTPEQNGNDLVVNGNIPNGHPEKVQDIWKLVMHKHEASGESVDTILEEISHALDQKTISTEREDSNASYDRSEVEKVVRDLVEKLTSMIGESAEDNVARSRPLLPDKSELRGRLEQLVQVCHDFLLGKANLEKFSDEVCLLLEYTMSQYFSNQDQSSTAYSNTRNSDGGNSPGTINTEDQHNNDTHGAKIAAALDIKKEAQKEPIQSAKDQITASYEGKLDKETPGCVVDPDDDILPGRKSTCYEIQSPAAEASVEDWAAQKEEQLAADADIQAAADKLAECQETITILNKQLKALATPAPSAPQDSPVFNPEASLAYKPQSLASILAEEFANAKGSGSPTTPKQVQCTEEQDAFCAVPKSSPAQEQNRNAEDKDSIQIVVHPVFAEPRQDDVSPGPGKKKKKRGPSLLGRIMFRKKVEGSS >Et_2A_018569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32999639:33001663:1 gene:Et_2A_018569 transcript:Et_2A_018569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGAASAEACWLPHLSTVACCAAGVFFVLYFYAPYWGVRGVPAPPALPIVGHLPLLARHGPDVFSLLAKKYGPIFRFHLGRQPLVIVADPELCREVGVRQFKSIPNRSLPAPIAGSPLHQQGLFFTKDERWSAMRNTIISLYQPTHLAGLVPTMQRCVERAADAVAATADRKHGDVDFSDLSLKLATDVIGQAAFGVDFGLTAASGGGEAAEFIAEHVHSTTSLKMDLSAPLSVALGLVAPAMQGPARRLLSRVPGTADWRVARTNARLRARLDAIVAARARGGDAAPAAERRDFLSAVLDARERSAALRELLTPDHVSALTYEHLLAGSATTAFTLASAVYLVAGHPEVEAKLLEEVDRFGPPGAVPTADDLQNKFPYLDQASIYGYTSAVVMKEAMRFYTVSPLIARVTTQQVQVGGYTFPKGTWLWMAPGALSRDAASFPDPGAFRPERFDPACEEQRRRHPCAHIPFGVGPRACVGQRFALQEVKLSVVHLYRRFVFRRSPRMESPPELQFGMVLRFRRGVKLLAVERQSGGRQCPAANKKDKET >Et_7B_053766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11988773:11990758:1 gene:Et_7B_053766 transcript:Et_7B_053766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASTSSKHKEKLLTIVKLSLTDWWSNCCSFDTCLVAEGVLYAKKDYNLAKHPQIDVPNLQVIKLMQSFKSKEYVRETFSWQYYYWYLTNDGIEHLRNYLNLPSEIVPATLKKSARPPGRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRSSGGRPGFGRGGGSGFGAGPTSSSME >Et_5B_045171.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:10861635:10863932:-1 gene:Et_5B_045171 transcript:Et_5B_045171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFTKMMQKGEKDEDQILTTEIPLDNIKIDDILVENKLVIEETDKKLGLADVIHYITRGLPLAIVLLSGLVKTKEYPNEWKAVFKHLMSKQSKRLDSILTMCFDDLPHDLKSCFLYFAALPVNTLIETRKLVCMWMAEGFLTQKDGRTMERVGRIYLKELIARNLVKLVKNDDTNGNDEFVTVHHKVHEFLQVEAQEANFVDIHNGDSNHSFTTARRLSLQNYTDKLAPLKNSLPKLRSILSNFQKEEESDSEGEYDEDEYEYGDEDEDEDEDENEDEDGDEENDNIEEDRLRRVGDQEYEDVKEEEQKNREDEDGADETIEDEGEQDNEQECSDEEENKNEEGMQGSSDEKEKDIVNPTIMPWSGLSRCWGQGVTAQDNNKNNEEGVRHASHEEEKDIVKPTIMGWSGFSRCLGKGVITKDNNKSYIRRMLHYSQFLRVINLQGIDIGKDLPATIKNVAHLQYLGVTACLLEHIPSTVGKLQNLQTLDVRNTSVMQLPIEFWKIRTLRHVFGDCLILPKRVGDLKNLQTLETIQPDENNGWDSKTFERMKNLRTLRIWDFSDDDSAYANALPVVMEGSNVLQYLETMELYSYAYSIPLKVFTSNQRRLSSLTLDGKLDILPKEFKFRVPNLTFLWLENTMVTQDFIDKLSKLPLTHLILDTKSYVDDQNQILFRDGGFRSLTKLKLSDLADLTKVEITKFALQELKNLEIVWYPKELKILVHGEHEFVKKIQDEDLFNHITIASKKTGQVLTSRSMKLVENKS >Et_1A_009600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:902169:904183:-1 gene:Et_1A_009600 transcript:Et_1A_009600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Et_8A_056618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13680375:13684427:1 gene:Et_8A_056618 transcript:Et_8A_056618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAARSVRASFAAAGTRGSSLPPSRVAVLCSSRARPLRCGSMDSLLEELAQDDMVNATELVQWENGKSINDIAASQGIRIRRHRRPTATLEEIEKELGAPRNILEKIIWDKEIEVAKGLAKNPLKEVIQAAQQAPPTRDFFGALAAAHKRNGLPALIAEVKKASPSRGVLRENFDPVEIAQAYEKNGAACLSILTDEKYFQGGFENLEKVRNAGVKCPLLCKEFIIDRWQIYYARAKGADAVLLIAAVLPDLDIKYFLRICKELGMTALIEVHDEREMERMLKINGVQLIGINNRSLETFVVDTSNTKMLLEKHGDNIREKGILVVGESGLFTPDDVAYVQNAGVSAVLVGESLVKQEDPGRAIAGLFGKELLH >Et_8B_060851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9036550:9040366:-1 gene:Et_8B_060851 transcript:Et_8B_060851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDSPAVMPAPPEVKTLLNSPALYKYILDTTVLPNEPECMRELRLLTDKHELRFMASSPDEAQLLAMLVKITGAKNTIEVGVYTGHSLLATALALPDDGKVIAIDTTRADYDRIGRRVVEKAGVEHKVDFREGPALDHLAELLADERNVGKFDMAFVDADKPNYVRYHEQLLRLVRVGGLIIYDNTLWGGTVAHPDEAQLSDFDRPYCVAIKDLNVRLAADKRVEVVQLAIADGVTICRRVSQSGYILTPIYIPHEV >Et_7B_053581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9996820:10004988:1 gene:Et_7B_053581 transcript:Et_7B_053581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGKSIDDKFSKLHPSLPVDTRIGIVGAGPSGLSAAYALAKLGYRNVIVFEKCQTVSGMCESVDIEGRTYDLGGQVIAANSAPVITHLAKELGSEFEEMDSHKLALIDSQTGNIRDLEVAGDYVSVVSLTLELQEEANRSGRVGIHAISGLASDPTLEFLKQHGISSMPKSVAYGYTASGYGFVQDMPYAFIQEFTRTSMAGKIRRFKDGYMSLWEKLSESLPFEVLRGTEVLRVKRDSCSASVTIKSSNGDMEVREFDKVILSGALAFKNGKTYRSSNLTDGENEVVELNDLEKELFSKVQTIDYYTTVVKIKGFENMPKGFYYFGEYMEDPTTIGHPVAMQRFFADTNIFLFWSYGNSADIKGSYVAKCVTDVVTSMGGTVQKVLLQRRFKYFPHVSSEDMKNGFYERVESQLQGFQNTYYVGGLLAFELTERNAFYSISAICKHFAIDSELPMTPYVKRLFPLSRSNPSPPRDIGELEGVEFPDLPSLDGYLQYWGTHKVTEKNIIYTWINEEGKIMNRRTYQELHHNASHIAYKLLTSAKPVIKPGDRVLLIHLPGLEFVDAFFGCIRAGVIPVPVLPPDPMQSGGQALLKVENVSKVCNAVAILSTSSYHAAVRAGYVKNIVTIAKSAQKCSAQWPDLPWIHTDSWIKNYRRSPGSFNVEDVVSMISKPQPSELCFLQFTSGSTGDAKGVMITHGGLIHNVKMMKKRYRSTSKTVLISWLPQYHDMGLIGGLFTALVSGGTSILFSPMTFIRNPLLWLQTINDYHGTHSAGPNFAFELVIRRLEAEKDKSYDLSSMVFLMIAAEPVRQKTVKRFIELTQPLGLSEGVLAPGYGLAENCVFVSCAFGECRPISIDWQGRVCCGYVDPNDTDIVIKIVDADSLTEHLEDGAEGEIWISSPSSGVGYWGNTEISQKTFCNRLKNYPEKMFTRTGDLGRIIDGKLFITGRIKDLIIVAGRNIYSADVEKTVEGSSEVLRPGCCAVVGVPEEVLTQKGISVPDSSDQVGLVVIAEVRDGKKVSEEIVENIKTRVAEEHGVTIASINLIKPRTISKTTSGKIRRFECMKQFVENKLSLATSNHTAKKKSLFRSLTTGTGMERRPFFKQTGDPTVRPQLRSKVKSSTEIIEFLTQLVSDQSGIPKDKISPTDSLPSYGFDSIAVVRAAQKLSDFLGTPVGAIDIFTASCISELADFLVNLLHKSQPQPMAPPRSKVKKSKEIVDFLIQIVSDQTGIPKDKISPTDSLPSYGFDSITVVRAAQKLSDFLDVPVGAIDIFTASCIAELASFLESLVLKSRPQLELEESCYPEDESVVSPIDAISSDLSVFASGTLQLLGLTYVCFILLLPAYLASLTYSSMLSSVNLVKSSLLSYVSSLVMAPIAWLCYALFTSLSLSILGKSFLQPNYVLTPDVSIWSLDFVKWWALIKAQALAAKVLAVHLKGTIFLNYWFKMQGARIGSSVIIDTVDITDPSLLTVADGAVIAEGVLIQGHEVHNEVLSFRHVRIGQNASIGPYAVLQKGTTVHKGVVIPPLQKAEKGKSLYQTNNPSTHMKEEARAANMPFEHLVSIYAVGFLGALSSAIVFTLYTHFSGTKASLQLFSFACVAGAFYWLPAVITAYAVIVRETPTSPMEFSLLIALSYLSYGIVLSILTGVANKTLATRTGTQQKDVTTGLIQRRITIAAHLRFAKMLSGTEAFCMYLRLLGARIGRHCSIRAINPLANPELISIGDGVHLGDFCNIVPGFYSKGFTGAEIKVQDNTVVGSGSLLLPGTVLQENVILGALSVAPQGSLLQRGGVYVGAQSLTLVKNTLLVEDERIEEMDPVYKKIVGNLAANLAITTMNVKSRYFHRIGVSGRGLLKMYPNIPSLPKHKIFGAGKSFPVIVRHSNSLSADDDARLDARGAAVRILSDDGEVPLVDLTLKSGKAFYARTIADFATWLVCGLPAREEQVKRAPHIRDAVWGSLRNTNSYTELHYYSNICRLLRFEDGREMYAKFKLRPGDQNIPEESGQVVPRGILPPETGAIPRDESDTRPLLFLADDFHRRVESPEGVCYVLQLQLRDVPTDSATRDVALDCTRPWDEAEFPYIEVGEISIGHNVPTSETEKLEFNPFLRCPEVDVISATSCVQSASIDHGRSLVYEICQRLRNGEPLPGSWRAFLEQSDTKIDLSGCPVIAATRSRSNIFDAAKVTLARSWYQALWATLCQPLLQTFVPYFATGLAIFLPLRGLLAVSAATDIPLYWLLPIFWATSGVAAMGTCAAAKWALVGRRADGDTVHIWAPAVFLDTVWQAIRTVTTEYFAELTSGSVLFVAWMRLMGSSVAVSDGVYVDSMGALLNPEMVHLERGASVGRDALLFGHVYEGEAGKVKFGEVHVGEDGFVGSRAVAMPGVRVEDGGCLGALGLAMKEEVIKHKL >Et_1B_010071.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:22077844:22078143:1 gene:Et_1B_010071 transcript:Et_1B_010071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIQGNRVTEHRETVDKNLEQHVAPRLGVGHAFKLVERGLDARHGAPEVPPPPGQHAGLHDLESIQVGEGAVKDVSGELINPARRAGNASQGHGRVAG >Et_2A_014841.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18119430:18119795:-1 gene:Et_2A_014841 transcript:Et_2A_014841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRHPQAHRGVGRQPRKAGHKQFVLCTRVVPYQQHLQPRLVRRRRPCSLDNQHHRRHELCSIHRPRRSASERGQPRRPVSELVVDQEFFCGPNPNLLCKLQDSVVQQGPYASSLFFTLTS >Et_4B_039437.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:15720256:15720708:1 gene:Et_4B_039437 transcript:Et_4B_039437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRFLLPYGVTAPQTGHTPADGRVGLRGAGGGGHTHLRSLRCRGAALCRGGGLGGRAACGVEAAPPALLAFGGRMVGHGDDSASKAGWMSLKAPSAAAEGFLSGCTRRHSFRCCFLTASRVRATPAERRGRPRTSCQSRGVRAAAACTS >Et_3B_029687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27179931:27183340:1 gene:Et_3B_029687 transcript:Et_3B_029687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFRSAAAARRLLRLAPAASSALTAASRSSAAAASLLRPIAAVSGGNNPISRDLRRFFSSNEKHLPAISDPEIESAFKDLMAASWNELPDSLVSEAKKAVSKSTEDKAGQEALQNVFRAAEACEEFSGVLVTLRMALDDLCGLTGENVGPLPGYIEDAVKAAYNRYMTYLESFGPEENYLRKKVETELGTKMIHLKMRCSGIGSEWGKVCFLLVPCIYSKFTAIIVASVVEIKCLKFCGYIDSREILSAYNDHPDWHLRDLSYHANNNEIIKQLCTSRNSKCFSLMSL >Et_7A_051656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22020722:22021220:1 gene:Et_7A_051656 transcript:Et_7A_051656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEKERSPGRFDRNNTEITETGPERLHNSPVLPSFCMGTINYVKHIATSEKERSPGRFDRNNTEITETGPEQLHNSPVCLSAAKLTFFRVFVREPSSYIKHIATSEK >Et_9B_064570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16577536:16578102:-1 gene:Et_9B_064570 transcript:Et_9B_064570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGPKVPKKAGAGRRGGPKKKPVSRSVKAGLQFPVGRIGRYLKQGRYSQRIGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTASAAAKEGKESKEKKSPKKAATKSPKKAAAA >Et_9A_063330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20239254:20242620:1 gene:Et_9A_063330 transcript:Et_9A_063330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAVEFHTRKEFRLSDDWWICCRSKQSVRKKIEVEVEKIEPRIKKIMQADEDVGKIALAVPVLVVSKVPDMGTPDANADDKFGKRKAAEEGSEEELKRTRNESERHTINGRGRGRGRGRGRRGGRGSWREFSATHEKSDDQSSKPADLKLEVSDVTEAKEGTPMSSGRACLRNFDLNLDPADEDVEVAVPLQTQSTASTTSLSAVAGPSVHQLNEGATTARPSAPRSIEGPKLKDFLEWQLPDMTNMTMDPIQFALTSNHTLEDDEDYDNEE >Et_7A_052586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9597848:9599231:1 gene:Et_7A_052586 transcript:Et_7A_052586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKARNNDRAAARSTEGKGDAAVGNKVVEDTVKSGDKESYVLKSSDAGGKVGALHGMSPVEAKDSQTIVALQSPVTVMRPVRGDLEEHVPKPYLARALAAPDIYHPDGTTDEYHRHHHMSVMQQHVAFFDRDDNGIIYPWETYAGSRALGFNVIMSFMIALVVNVTMSYPTLPGWLPNPLFPIYVHNIHKSKHGSDSGSYDNEGRFVPANFENMFSKYARTSPDRLTYRELWSMTEGFRVTLDFYGWFAAKVEWTILYLLARDEEGYLSREAMRRTYDGSLFEYVERQRDQHAKMS >Et_1A_004854.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12336697:12336960:1 gene:Et_1A_004854 transcript:Et_1A_004854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFIHEEYVHKRREQRQQQQRRRRQTKMLQIETEKTQKRKPPPASAATGQGSPWDQPAGAWSSPVGSPTAETASFRDHLFEYLKPY >Et_3B_028333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13546789:13555361:-1 gene:Et_3B_028333 transcript:Et_3B_028333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRARAPGKIILAGEHAVVHGSAAVAAAIDLYTSSSIHLLPEGEGGAVDAVELDLKDSGLTFSWPCTRLREVLGQGGGTAEAPRQCSPDELAAMAKLLEENEIPEAKIWLSAGLSAFLFLYNSILGCKPGKVVVCSDLPMGAGLGSSAAFCVSMSGALLTAAGAVGVGGGNQVKEWEVFEKDDLELVNQWAFQGEKIIHGKPSGIDNAVSTFGSMIKFKKGELTNLKSRNPVKMLITDTRVGRNTKALVSGVSERASRHPDAMASVFNAVNSISEELSSIVEFAAEDEIAITSKEEKLAELMEMNQGLLQCMGVSHASIETVLRTTLKYNLVSKLTGAGGGGCVLTLIPTLLSNLILEKVIAELASHGFRCFKVEVGGQGVQGHHGLPVDMKWWLRSWGQQQQPGGAHPPCAFPEALFADQPLPLVGGLLPYHLHLIRLIIVTLASRLLVAISRFLRLPLRRGGPRETCEVREPNEAPGVEVAGQLRPSLHRGRRGGAALFPSVAGLGGRREGEGQGEALVVGEAGDVADAGPVEEVAPEAALEGRAGGGRDAERGEVEGAGVWVRVVRRGASAAERGLEERKRGPRGRRRR >Et_8A_057140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21556723:21559276:1 gene:Et_8A_057140 transcript:Et_8A_057140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ETYQVHTSALKMMMGLLAFESHQGLWSGGYYSQLFGIGGAKLLGDQLIVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGKIQLTFFSIFTRKSLFLIHYQHLTRKHSFYTGRILLTFKQKDPDTGAGSCDELKSQLSDFLPTSRRIMQFSNGKAPSPGARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGIHDDQSIRDRRGYPPIMHLHERTLSVLSCRYVDEVIIGAPWEVSKDMITTFNISMVVHGTVAEGNSAGEIDPYAVPKSMGIFRTITSPKTITTVSVATRIVDNHEAYKKRNLKKKASEDKYYTQKKFVSGD >Et_1A_008090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4279781:4282910:-1 gene:Et_1A_008090 transcript:Et_1A_008090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPLSHQALFAAVRSADADAVRALLADAEASGTSAALAAAQTDAGETALYVAAEAGSEELVRMLLPLYDFEAATVRSRLDLDAFHVAAKQGHAGVVKEFLERWPELCSVCDSSKTSPLYSAAVKDHLDVVTAILDVDDSCVRIVRKNGKTSLHTAARIGYHRIVKELIERDPGIVPIRDRKGQTALHMAVKGKNTDVVEELLMADVSILNVRDKKGNTALHIATRKWRPQMVQLLLSYDESLEVNAINNQNETAMDLAEKVPYGESKMEIMEWLTEAGAKNARNVGKIDEASELRRTVSDIKHNVQAQLDENRKTNKRVTGIAKELRKLHREAVQNTINSVTMVATLIASIAFVAIFNLPGQYFQDVTSGGDIGEAKIAKLTGFRVFCLLNAIALFISLAVVVVQITLVAWETGAQKQVIKIVNKLMWSACLSTCAAFVSLAYVVVGPQHAWMAFTISAIGGPIMIGTLLFLAYLLLRPRFKFGEDRQRRIKRASGSKSFSLSLHDRLSDLEAFSDHEKRIYAL >Et_3B_031756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9920447:9921187:1 gene:Et_3B_031756 transcript:Et_3B_031756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIYDYIPARRRVSAADFWPEEEADDSDHHHAPATAQRAARPKARRKRKNQYRGIRQRPWGKWAAEIRDPVKGVRVWLGTYPTAEAAARAYDRAARRIRGAKAKVNFPNDVAAASAAPPVAAPPAAAANALLPAPKLEAAEPGLSDEVKELSEELMAYENYMDFFGIPYMEGGSAAPAPAAAAAVVEEPQAPAPAGLWSFEDYYQPSLSLFTE >Et_10B_003725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5253194:5256901:-1 gene:Et_10B_003725 transcript:Et_10B_003725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDWEGICHLSERGVSLLCWRWVGRLPYLALLLGPPLRWATMSVSAAAVPAASKNGSHALSLDSHSSQDVRRRTVVVAKKKATPELLAEGGANGISEDKTASKNNLIHTIRGESVLDKSKYPSEARKDVASAAGAERRKKSASKQEKTKWEAALSVLVKLCLLISAVTWMGQLFWRWHNGELSFTAPDMDSRLSKVEGFKKTTKMLQVQLDILDKKLGNEIDKTKRDITKQFEERGNKLETKMKVLEDKTEELGKSLAELRDMGFVSKNEFEEILIHLKKKKGLDGADHDISLDDIRLYAKEIVEIEIARHAADGLGMVDYALGSGGAKVLSHSEPFKSVRTYLAGRSSTKAMAHKMLEPSFGQPGECFALKGSSGFVNIKLRTEIIPEAVTLEHVDKSVAYDRSTAPKDFKVHGWYEGPQDDLNKDNSMETLGEFSYDLEKSNAQTFQLKRSGGADSRAINMVQFDLSSNHGNSEHTCIYRFRVHGTEPPPSSAH >Et_4A_031760.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:11452854:11453489:-1 gene:Et_4A_031760 transcript:Et_4A_031760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSGLPTRTTRSPPRARRLRRRSATESSRKFARYGPVRSKPEGDLPKSRGSKTKTGRREEEAPTAAWSGSWSWRRRSARNQTSVRRRPAAAGAPEGKERERPGVEAGSGERTDDAAELRRVLRREEDGAAAEEGWRQTASVEIWVGRWMVEGMEARVGAAGARRERESEKEAKGRFVSSRDWAPAPASESEAAAMVGEMRESGEVEVCCG >Et_2B_019954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15522680:15525641:-1 gene:Et_2B_019954 transcript:Et_2B_019954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLSRASRSHPAPPSSPTVVPSPWASSPHPIPLRTSFISAYGTTTFPGSPTVAWVANRATPATSFSARSLVLTNSSNLVLSDANGRVLWTTNATTPASPSALPSSNYTTGAEAVLMNTGNLILRSPNGTVLWQSFDDPTDALLPGMKIRRSYNTQDGTRLVSWSSPGDPSPGAFSFIGVARPVPHGFILNGSRPVWRSPVWTGYSVSSQQYFVHANASVAVYMAYVDTADEITMAFTVSDGAPHVRCVMSGSGRFEILSWNAESSDWGTLMAWPTNECSRYGYCGASGSCDYTDAAPTCKCLDGFEPVNLEEWNSGRFSQGCRRKEALRCGGGDGFLALPGVQVPDRFVRVKNKALEACAAEYRDNCSCVAYAYANLNSSVSKKDPTRCLAWTDDRLIGTQKIGVSPYRSAGSDSEETLYLRVPGLSRKITSFCLESHVRSICERTKPNAVKITLPVVAGVIFLSSILLIWACKFRGRKTNTENQNKIMHGGRIASDEGGEDNATHDLEFPFLKFQDILVATNNFSQTCMIGKGGFGKVYKGTLEGGQEVAIKRLSRDSDQGVEEFRNEVVLIAKLQHRNLVRLLACCVEGDERLLIDEYLPNKSLDATIFSTSIDICQNFVFIITIVFHHIVGLNKSTYFLQIHNDLISSGYMAPEYAMEGIFSMKSDVYSFGVLLLEVVSGIRISSVDRIMDYPNLIVYAWNLWKEGKSNDLVDKCIAENCLLDEASLCIQVGLLCVQENPDDRPFMSSVVFNLENGCTALPKPNHPAYFAKRNSEMDQIENILNSNNTMTLTVIDGR >Et_1B_011886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26915040:26929949:1 gene:Et_1B_011886 transcript:Et_1B_011886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGSHDTGHVPFFPETEPSAATQKRDEDRTSAGGTQLAQTGNKSSSGVKTPRITRFLTPALSLFFHPLQRVDRRRRRAGQPRRRLRGGHHHERPPPASGRLHSGAGAARGRRPRVPLQLGLGPRRPALRRRGRAGRHRAFARGLCFAANRSSSAGACRACLSAAAEDVTRGCSGGANSRRTAVWRGGCFLAYADSSKASSAREHAFRGWFYAGPTTPAALDGGKCMLDDSATDCDRCLRSSARAAAKLGWLQRIRGEEVVMVGYPCVLRVRISVLPQGPEGQYVFSTSSSFLFFATFHRRPSGLSAPLLLLVLVVAEMAEPGVAFKLDADNTSSHLPPLLDCGPASPAPSAAFRANVVSLLGALPSAAAAATTGFAATRSHGRGPDRAFARGLCFGTSGGGGDCLACLSAAASDVAARCSGSRRGGTWPAGCFVSFADTNATSARERRFRDWFYDDDDGDGSSPTAALTSRCAGDRAAAAECARCLNESALVVPELKRRRQLSPIHGDSVVVVGYDCVLRVLLVPPSPLWETIRELSFDRSRRSVPRYRDFVDVFYIVFVIDVVGVAVVDRPVAFAFNWRATISISHGALTDRHGGVAGARQIEGSHLFSIAHGIEATRGKAMLSTLPQKGAAFFSKMTPRHLRRGAAAAASRDPSRTRFGTGGLPAPFAPPDLPAQRLHPRQVRQLQTRRTAVTGSTRATLTLNSYAEGGDGGGAATCTGKFYDDGEKVVALSTSWLHGDGGRNAVRALVVDECDSTVGCDTVHNFEPPCGNHVVDGSPAVWDALGLNKDDGQVRVTWREATAGRACTGLVCKSTHQSDTTKTCSPAFLLLAAAVGMLDTGIASVAAGSTRGQHHLPPLLDCGPAPTTPSTNEDAAFRANLSSALAEAQAAAATVPDAFTGRAFAHGACFPSINVSSPAVTRACTACLSAAARDVTGGCGATSVRAGAWRDECFVTYADSDDASTPREDAFRGWFYAGATTLDGGGYYCVLDSAADCDRCFHDSARAAPALGWLQRIRGEEVLVVGYNCVLRVTSSLPRGPDSESPLHLFVPPVTAFQLEADNTTSHFPPAPARSAPTCGRSLTLSPPRAIAALPRASRLRRRAFPRDHVAGPDRVFACGLCFGARAGGEKPTATASPSHRMPVHRHQEGRHQMPRHGSQRGGMWRAGMVDTGVAAVVEGSTGSHHHHPRLLDCGPAPTPTSRNGDAAFRTNLSSALNALPSAAASAPHAFATVHAGCAFARGACYRANTSSPAAARACDACLSAAARDVTGGCGATTSVRAGVWRDECFLSYADSDASTSLGEDAFRGWFYAGPATPAALAGGYCLLHSADDCDRCFRDSARAAAALGWLQRIRGDEVLVVSYSCFRRIRISLLPQGPESESLPFLLHLFLSGLSALLILLVVVVVAEMAEPGFASKLEADDNTISHFPPLLDCGPASASPSGAFHVNVLSLLGALPSAAAATPMGFAAAWSHGTGSDNRAFARGLCFGASWRGSSPPAADGGDCLTCLSAAARDVVSRCRGSRRGGTWRAGCFLSFADTNATSAREDAFRGWFYDDDDDDAPSPTATALVSGCAGDSAAAECSRCLKESARLVRELKRRRRLSRIHGDAVVVVGYGCVLRVALVSPPPQWQINCTLWDPLHCRDGRNRSRWSRRDTNRRSRSSWRQTTRAATSRLCSTEARPAPSSAFRANVLSLLAALPSAAAAAPKGFAAVRSRHAAGLDRVFARGLCFGASGQGEADGDCLTCLTAAAREVAARCHGSRRGGTWRAGCFLSFANTNATTAHEDAFRGWFYDDGDYDGSSPTASLVSQCAGDRASSECSRCLNESALVVPELKRRRQLSQIHGESVVVVGYGCVLRVVFVPPLPQWKIYRELSLTQSLCYPPKQKLTSSFVVVVGN >Et_5B_045298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1773512:1777104:1 gene:Et_5B_045298 transcript:Et_5B_045298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIPKGWHGTPEGSKASAFFTSSTTFPLVGETLALENPLASNGPPFGRTGPLMIGSDAFGKLSTDKSSEPN >Et_9B_065477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5532056:5538276:-1 gene:Et_9B_065477 transcript:Et_9B_065477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHHPKHRHHDDDLLPYRRSDDEAKPRRPYTPTFASSSPASAHRLLLFSGACLLIAAASLAFAVSSRRRPPLPQSPPVAFRCGRAEDSLRSFLASSSSPHRNYSAGDREKVLAVVGVHTELGSAALRAALRSTWFPPNPEGIVSLEHGTGLSFRFVVTRTNDKGKMEELQKEVDMYHDFLFIDADEDTKPPLKMLAFFKAAYHMFKAEFYVKADDDIYLRPDRLAALLAKDRPHHRTYESSWELLGNEYFIHASGTLYALSSEVVGALAAAKNDSLRIFDYEDVTVGAWMLAMNAKHEDNRAMCDPTCTPTSIAVWDSKKCSGSCNLADKIKELHNTTLCSKSPTLPPEVEEEE >Et_4A_032354.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31588234:31588263:1 gene:Et_4A_032354 transcript:Et_4A_032354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALSLQN >Et_5A_040855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13565936:13572845:1 gene:Et_5A_040855 transcript:Et_5A_040855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPRNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLSMCNNYQYDLPLKRLHFSEGRPKSPPSLCEFIEYIDTEQIPEDIAHVYSIAERARRHWFDMEAKERREEERKKMKQKEEERRRQYEAEQEEKGKKRREEKRKKERRGEERGGGCYPGVLQLRRAACSTPQGTSGVVAVRRSMDSETDLAQRIAVESGSVLQRMRQGIQLTAHEISTAMKRIYDTAADIVKLTSCSSCRAHTDAVRHPSQSAHRAERPTFQQPTYTPMAAPPPPRPTFATGSSGWRPSVDAAGPSSQMPSMAPGSWIDDYDYEEEEEASRHPDRYSRRSRRLSTSTRIWLRGSRIHFLHRS >Et_6B_049038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16978131:16979730:1 gene:Et_6B_049038 transcript:Et_6B_049038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGAATAPLLASCSDSAKQRRNLYPFACAMLASMSTVLMGYNLAVMSGAELFIREDLGLSDAQVEVLAGSMNVYMLASILAAGRAADLLGRRGALVLANAFFLAGALGMSLGRTYAGLMAARFVTGVGVGFAVVVAPVYTAEISPASTRGVLSSLLDICINVGILLGYLSNYTFAGLPVRLGWRVMYAAGVLPPALVAASVVLAMPESPRWLAMRGRDADASAVLARTSGTPAEADLRLEEIKHAVAVTPPPHPGGDGGVWTEVLVRPSATVRRALVCVIGLQFFQEASGIEAIVLYSPLIFKRAGMLSTTTVLGATVAVGFVKTVSILIAALLADRLGRRPLLLASAGGVAAAMAALAVALRLDAATSPAACVAAVLAFVVAFSVGFGPLVPAYCAEVLPLRLRAHGSSLGTAVGRLTCGVVSMTFISLADVVTMPGCFVLYAGVAMVACVFMYLWLPETRGRSLEDMDVLFAK >Et_9B_065406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4722150:4725217:-1 gene:Et_9B_065406 transcript:Et_9B_065406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALSKKLLDVLFRALGLTDEQVVAGETERKISDTLTAIMRLNLYPKCPDDQERAIGLQPHTDSCFFTFVTQNLVPGLQLLRRDPDRWVTVPALPGAIAVLVDDLFHVLSNGRFHNVVHRAVANSERQRISVINGVGPPADLKVAPLASAVLPGTKAAFRAVTWPEYMALQKKRFGTADDRSTMSSTTSPAAAAPYFELRTAERVPETHVWSGPHDHPTVEAAGRDAVPVVDMRDPDAARAVARAAEEWGAFLLVGHGVPAELAARMEEQIVRLFERPAPEKTRAGRRPFEPNGYDVGPYADKLMWSEVYTFPAATARSEFRRVWPDGGDEHLRFCEVMEEYHKEMRPLCSKLLNVFFRALGLTEEQIDAGETERKIRENLKATMRLNLYPKCPDPKRAMGLAAHSDTCFITFVMQNRVPGVQLLRRDPDRWVTVPALPGAFVVFVGDLFHVLTNGRFHNVLHRAVVNGEQQRISVPYGVGPPGDMKVAPLPSAVLPGTKATYRAVTWPEYMAVMKKMVGTNKSAMDMLQVAGDEGEPQN >Et_8A_056512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11134672:11140602:-1 gene:Et_8A_056512 transcript:Et_8A_056512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLARVPLPRAPLRPAPAVRLPAARRHLRAAPVASGEGAAGPALRTCKNCKRQYDPAANHPSACRFHTAHFGGETRRKFESVYAGGTMDTPDSGKVFQYWHCCGSEDPFDAGCTASPHFFVDVNLSCTQAGSKVDPHSFVAKGTDSCLSKTVEAIVFTQEHTGAGN >Et_4A_035785.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:5948297:5949313:-1 gene:Et_4A_035785 transcript:Et_4A_035785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRQRRQLPHLTLPLDHFPLRLPPQPAAAPSTSASDARLSDYERLSVLGHGNGGTVYKARHRRSAAQPPLALKIFAAAGDPSAAREAEILALAADAPHVVGLHAVIPSSASSAPGEAAPPAALALELMPGGSLAGLLRRLGRPMGERPIAAVARQALLGLAALHALRVVHRDLKPSNLLLGAGGEVKIADFGAGKVLRRRLDPCASYVGTAAYMSPERFDPEAYSGDYDPYAADVWSLGVAVLELYLGHFPLLPEGQRPDWAALMCAICFGEAPEPPAAASEEFRDFVARCLEKKAGRRASVAELLEHPFVAERDAADAQQALAALVAEAEQSDDL >Et_8B_059297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16354414:16366110:1 gene:Et_8B_059297 transcript:Et_8B_059297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCLIPAVDRIAYVHSLMEEAIPMPRHNGITKDDVPIEIDGVLYLKVCDQLRVPQLAGVCQSVQLAQTELKSEVGKINLKKIFQERDSLSEKIMMTINGAAVDWGLKCIRYEIRDITPPHGIKVAMEVQAELEWRKRMAAMEVQEELEWDGGNGDDEQKRKQVIITEGEKKKQELIAEGEKKKQEIITAAEKKKQEMIAAAEKKKQEMIEESERQRLIIVAEAMSLEKIIIAKAEDTFEEDEPEHLVQRPVEEKSGHLVQERETERNEIPLPLRLLDKGSLVYQRIDHPGKYHGLPSRQGAPEQAPEPDTSALSWPNETSLSDGSETLEIPSVSSLPPADVSAKMSPLPLPDPGSGSGFM >Et_5B_044944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7538544:7540567:-1 gene:Et_5B_044944 transcript:Et_5B_044944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGVSEVLARFCGRIRKLSLASARRRPRVVLHGFPGGAEAFELVARFCYTAGGSGVVTAANACALRCAAEFLDMAPASTAAPSLVRLTEKALDEMAQWTWQSVVDAVKQCQRLLPLSDATGAFDRAVAALVAHVAAAAAAPPAGAGGGDAGTPTTSSPESSAFRFSCDTKSSCLSLPTARTWWFDDLVALAPGAVRRVAAALAATGGGGADHAVVARFLFYYLKCRIAAGATAEEKKSMLEACVAAMSALDVRTAVSCKGLFGVLRLAAPLHVSDAAQERLVAMIGRKLDHATLDNLLVPAPAAGSSSLYDVGLVLRFVAAFLRGGGGVGGDEPARLKKVGRLVDLYLAEVAPDPSLRPAKFVELATALPAAARDCHDALYRAIDVYFQVHGRLTDDDKMKICRGLIYEKLSPECCKHLARNAAFPTRAAVQALASQHTVLKSLVLRDSGELKPVCPPPSTTGKKGRDGENDGQVILYAGRLDLSLENQNLRSLLDGMHWRVMELEKVCSRMKTQMTKMKARPRRAPRSCTARSLPRMCS >Et_10B_002750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10505517:10506432:-1 gene:Et_10B_002750 transcript:Et_10B_002750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAYAPMASQVMKGSLVQSRPRGLSGAALTRRPRFTVKAIQPEKPTYQVVQPINGDPFIGSLETPVTSSPLVAWYLSNLPAYRTAVSPLLRGVEVGLAHGYLLVGPFALTGPLRNTPVHGQAGALGAIGLVTILSVCLTMYGVASFNEGAPSTAPTLTLTGRKKEADKLQTADGWAKFTGGFFFGGVSGAIWAYFLLYVLDLPYYFK >Et_8B_060783.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:7560281:7561297:1 gene:Et_8B_060783 transcript:Et_8B_060783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPAVTAADAAPRVVEDCRGVLRVLSDGAVVRSAPPVAAEDVPAVDPSVEWKDVVYDAALGLGLRLYRPAAGADSGAGERLPVVVIFHGGGFCIGAYALPTFHAACTRLAAGTRAVVLSADYRLAPEHRLPAAIDDAAAVLLWLRDQAAGAADPWLSELADLNKVFVAGESAGGVLAHHLNVRFFSGGESPQLSPVRLRGFVPLMPFFTGAEEPTRSELTCPDGAFLNRDMSARFLRLCLPAGATADHPFVNPFGPESPALDGVSVGPTLVVVAGDDILRDRNVEYVRRMAEMGKPVELVEFPGQGHAFFSLRPWAEPVDELVRVFKRFMDKVLG >Et_5A_041323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21142775:21155603:-1 gene:Et_5A_041323 transcript:Et_5A_041323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDPAAGGGGGGGPGGRGFERACRLPNTVHSEIAPALPLPTLPPTFGFGDLLDDEPLVEPDRPDMIMQAADIARILAETDVSHLGFTEADSVDVDPSQCSWLWREVLKHNPNAFKCKTAPAPQPPLPQGPLEHPGYQNQEREKNFEPLVPNLTKTRKEPVFPLDDINSHREHLRNELTPDSVASKKPKVRKKEIDNSASSSGLSIPNSQELIANFCDTVEKFCGSAEIHDDADGGDWLSIPLNDVKALVNEITSIRSKNILHEVPVDTITRLLQVIDHQIRCSQGLSIDAKENPDATDAEPLVFSALESIHAALAIMTHHDMPKQLYQEELIERILDFTRHQITDCMAASNPTFRALYKPSENVANDGDDDEEDMENGPVSKKRRTASNPSSRKSSSNRVSASVYSAVQKLCLILGFLKDLLTTLRLSDSCILQLAKTCFTTFLADNMQLLQLKAIGVICTVFSSYTQHKTYLIDETLHLLRKLQFSRNAVRTYHLADEEQKQIQMITALLVHLVQFSAVVPDSLKGTVDWSTIVDASVDASYPNKCHEAATEASCLFWTHVLQRVTAAKSQDVSEAKGIIDNLVQDLLTILNLPEFPAAATILEVLCVLLLQNHGLKSKDNSARSFAIDLLGGIASRLKRDSVISSMEKLWILQELTDAGSDGTKFLKNKCCVCLGGRSINLACDVCGRCFHSDCMGAGNQDNLQRDSVCPLCFCKQQLSVLQSYCQLQLKENGKRTGSARKNSVTPDEVPAVEIVQQMVLSYFQEAGPQDDGNMFYLCMWYKDDPRSKEKITYYLARLKAKEILRDSSNGLILSRDWAKKICLTLGQKNSFSRGFDKILALLLASLRENSPVIRAKALRAVSSIVEADPEVLGDERVQSAVEGRFCDTAISVREAALELVGRHIASHPDVGLKYIEKVAERIKDTGVSVRKRAIKIIRDLCASNPNTDTTHAFVEIISRVNDEETSVQDLVCKTFYELWFEEPTGSHKHLVADGSSVPMEIAKKTEQIVDILRKMPNHQPLITVIKRTLTLDFLPQSTKAAGINSSILSSLRKRCELICKRLLERILQVEEGATSEMEVHALPYIVALQAFCIVDPTLCIPVTDPSQFVVTLQPYLKIQVDNKSAAQILENIIFVIDAVLPLLRKPPQTVVVELEQDLKQMIVRHSFLTVVHACIKCFCALSKSAGRGPGLLEQLVNFFYKHLSGLNSDSQLLGRSLFCLGLLLRYGYQLMLTSENQLDFPKIIDLLKRRYLLSNDFGLKVRALQTLGYILIAKPEFMLQKEILNLIEASLSSGVDYRLKIQGLQNLYEYLRDAESQLTAESTGKPPVQYATNGGSEVPVAAGAGDTNICGGIIQLYWNSILERCLDINDHVRQSALKIVEVVLRQGLVHPITCVPHLIALEMDPVEGNSKLAHHLLMNMNEKYPSFFESRLGDGLQMSFRFFETTFGNHQTTATMKSNPTAFVKPGISRIYRLIRANRNSRNKFVHSIVRKFEPDGRSRCTISFLVYCAEVLASLPFTCPDEPLYLIYDINRLIHLRVGAVEANLKRWTSMDQHQHKVGVPSLSSESHVVMHEPGGYSEHNLGHVSERTHNNPCSTSDVDIAKLQEDCHDAIAVQLLLKLKRHLKLVYSLTDARCQAFSVKEPPKSGETLSKQNAPFNIANNNINLPTCLQDVASVYQDFKTLLREDSTMDFGMYSTTVQRKRPTPRSSSRVRRTAPATVTRVRGGGGGNNDDTDDEDWTGGG >Et_7A_052482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8563040:8566072:1 gene:Et_7A_052482 transcript:Et_7A_052482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLFLLCLGAAAAAPNARPCAPPAANYPFCDESLPIAARARSLVSLLTLDEKIAQLSNTAGGVPRLGIPSYQWWSESLHGLADNGPGVNFSSGPVRAATSFPQVILTTAAFNRSLWRAVAEAVAVEARGMHNAGQAGLTYWAPNINIFRDPRWGRGQETSGEDPAVAAAYSIEYVKGFQGEYGNGGRIRLSACCKHYTAYDMEKWEGFNRYTFNAKVTEQDLEDTYQPPFKSCIQEGRASCLMCAYNQVNGVPMCARKDLLQKTRDEWGFQGYITSDCDAVGIIHENQTYTSSDEDSVAIVLKAGMDVNCGSFMIRHTKSAIEKGKIQEEDIDRAIFNLFSVQLRLGIFDKASENQLFTQLGPNNVCTKEHKDLAAEAVKQGTVLLKNDNSFLPLKKSEVRHIAIIGPAGNDAYAMGGDYTGVSCDPTTFVKGMQAYVTQTTFAAGCSDNPCNSSDGFSVAVEAAKKADIVVLVAGLNLTEETEDHDRMSLLLPGKQMDLIHSTASVTKKPIVLVLLGGGPVDVSFAKQDPRIASILWMGYPGETGGQVLPEIIFGEYNPGGKLPLTWYPESFTAVPMTDMNMRADASRGYPGRTYRFYTGPVVYGFGYGLSYSKYSYSFLQAPKKISLSHSSIPDVINVASCNSIMFSVHISVSNDGEMDGSHAVLLFARSKSSVPGFPIKQLVGFERVHTAAGSTTNVEIAVDPCKHMSAANPDGKRVLLLGAHVLTVGNEEFELFIEA >Et_3A_026917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26408157:26409259:1 gene:Et_3A_026917 transcript:Et_3A_026917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLTVHVELDLQFVFESTTIEPEYSPQVMQEGAKKGSDVAHRACWSVRCATCGHGQAVFFQVKAIATNAFLQLVRSSALTKTTDDMVQATARGEEGMMLFFVCCNPSCGH >Et_3A_024368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2041728:2045070:-1 gene:Et_3A_024368 transcript:Et_3A_024368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SFAPLGRSILSRLLATPPLFADLVGLPPSGKREREREREREREREMGRGKIAIERIDNRTNRQVTFSKRRSGLMKKARELGILCDADVGLIVFSCTGRLYEFSSTSMKSIIERYQEAREENNCRLLNPIAEAKFWQREVTTLRQQVQNLQLNNRHLLGEELSGLTARDLQFLQSQVEMSLQSIRKKKEQLLAEEIMQLNQKGHVLQKENIELKKEVSIAHRHKMELQKKLSGESTSGDREQASGSSDKAHGESSTHDASEHISLALSLEGHADD >Et_3A_024265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19015331:19016331:1 gene:Et_3A_024265 transcript:Et_3A_024265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRARFPVPLIATLLLALVATCHAGGIAVYWGQNDGEASLSETCASGNYEFVILAFVYKFGKGQTPQLDLASHCDPSSGGCTGLSSDIRSCQSAGVKVLLSIGGGDGSYGLTSEGDARNVAAYLWNNYLGGTSSSSRPLGDAVLDGIDFDIELGGAKYWDRLARDLKSMGKNGGGKAVLLSAAPQCPFPDEWDGGAINTGLFDFVWVQFYNNPPCQFDAGRAAFMDAWKRWESVPAGKIFLGLPASKDAAGTGFVPADELTSSVLPLIKDSPKYGGVMLWSKYYDDRTGYSSAIKSDV >Et_2A_018233.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:19444489:19445313:-1 gene:Et_2A_018233 transcript:Et_2A_018233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLLAAVLLLASPAAMHAATAPAPAPAKGASSSSKPSGACGTEKFPAGKSYANCTVLSPLGAKLYWTYHAAAATLSVAFVAKPAGPGGAGWVAWAVNPTGDGMKGAQCLLAMKGPKGTFGVRTYNITGYAPLPATSTSIAFKATGLGADESGAGGSVRLYGKLQLAPGTEVVNHIWQVGSAVAADGSPAKHAFAKENLDAKGKLALVGGVTVAPAPAPEDSAASSTANKAGGGSGSPSPSGGKSAAAATAATYVSAPVLAVLALVGFLATV >Et_1B_013496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:937962:942220:-1 gene:Et_1B_013496 transcript:Et_1B_013496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLKDRGGGGGRATATAAASRPLTPKSISSSSSAPRTTAAAAGKENSASKPSKPTSAVRWSTSSIPRASRIPSSVDPSKPASTLRASMLPGRASIGKDPLAEAALRRSVSGSIRASSVEKGRRSVSALGSRATEARRGSGGAGGDDISRRKEGFSAKAKGFDEISIKGDGVDAKAKQTDEIGRKRESFDARAKQISGKRDSFGVNMAKQCDEVKVKTEIFDATKKKQSEETGGRREGFDAKAKAGDEINRKKEGLNMKLAKETHPNTADSGEAPAKAATIPATHKDDEVGSSSVIPVFTVHVVDSSDVPYGVREQPKNNEDCKKQEEKGKLADKIRVFEQAAASAEGKPAKSVAAVNKYPSKLHEKLAALEGRVQKIATDIKKTKEMLDDNNPEEPKQILSNIQKEINAIEKAISHVKDDNKVQLGTADNSDSENPHAEMTAKCTVAKPVGSKHAGKVMNTDELEARFFPHHKLLRDRRSSTSTQPESSSNMKKDCNGKMGPAACDPDNDENSIAMEFLASLDGEENGFFKDRRAKNLEKNMVSEVADATSKTSSQGSTKNPGCPNFKEETELIASEKLEEFDEQENKPIMMVQEEPEEFSDDQLSGIGNKSSTGGWFVSEGEAVLLAHGDGTCSYYDIANHEFKSEYKPPSMVSNNMWGDCWLIRAPGVDGCSGRYVIAASAGNALEPGFCSWDYYTREVKAFHIEEVASHASAPSSRAVLGALSSVSSSRSSSGLSNVERQQWWYKPCGPLLLSTASKQRMVTAYDIRDGDVVMQWEVSNPVAGMEHSSPLQWRSRGKVVIAASESIGLWDVNSLNPQPLLSVTSSGKKVYCLHVNNTDAEVGGGVRQRVSSSEVEGNDGVFSTQESVNVFDFRVPAGIGLKIARHGGTANSIFSRGDSVFIGSTEGRLQIKGGLRSRVQQYSLRKGMLVASYELPEFNAHFHHSSITQVWGNSNIVLAACGMGLFAFDTFKEDTQPTYSFHRGNTIGVREAIGPDDLYCPTFDYSSSRVLLVSRDRPAHWRSSSVLAV >Et_1A_007084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30768210:30771684:1 gene:Et_1A_007084 transcript:Et_1A_007084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGPSTQLDLEKGPTHSGNEPSSPEAVQKLKVDMEDSGCEFESPTPEKPESRGKGVVVSSLARNLLAERYKDRLAGQLLEDDEDETDDEEYDVSGTRPEDTPIELLERHKILLNLFNRMESSIRLLRLRKKMTTFKNIATQVEVLTKRKFLYSHLAQMKHLFPEAIQIKRIILHDEKSLCMYPEMEITLILDVVECKSPDQSPSMAICEAFYSKLLGFLDAHDKDKDIPEAVLPEPFNSRPKENLYLGALHNGHSTESPLQISTEDGVSNASHFPQSFQKLISQKIVADGTEKTQLLSDPAELRPMSVHDTEGPNTSPKKQDKHVPDPVKSEISATPNRHLITCCQESTPKQGTSYSPLMTETPAMQTPKRPLPTPLEKLETTCGHISEPRSTSSARRTLMMQSPLKLEINPGHDVNTAKLELSAKKGLFSEGTSSSTNFLEENDSVYFTGEDKVNQGGPVETQEKLASLRATFDIVCDFSRSTKNPLITKQELFHHILANNLEIEETGNDGHVVLIVCSFFVFLHHLTGEIEEQLHILEDLAPDWISKKVQGGEILYRQIQDQNSVRARLVEGHELASHDLRYKQ >Et_1B_010925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15502991:15507840:-1 gene:Et_1B_010925 transcript:Et_1B_010925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRSPAAASPSASAAAAAAMAPGVGGVEPAVTLDQVPRWSDPDQRLFPAADEAAAEGGSEPPAYLSFSDPLTGEDGAAAGAGGASRFPVDQEINSRIYLWRGQPWNLEVDAVVNSTNESLDEAHSSPGLHAAAGSGLAEECATLVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKGKIAGVVFCTTSSSDTEIYKRLLPLYFPRDKQEEEIAISKLPADVGDENGETVIDERKIRIRPLPAGVVERTVMDLPLSDSGSSLKRGSFKLDSYLDPTFMSLIKDPDLRRKEQWEKSSQAQKGFNYARLLGYGDLGRPSLSAAEEYSLHSRYLAKANSLNLSEIAEMKITYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLHVVKEFEPLIQKPYSIVYFHSAASLQPQPDLGFMKRLQQILGRKHQRNLHAIYVLHPTLGLRTAVLAMQMFVDGEVWKKVVYVDRLVQLFRYVPREQLTIPDFVFQHDLEANGGRGLIVDPRTKHIYQRASG >Et_5A_042853.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:5647977:5648720:1 gene:Et_5A_042853 transcript:Et_5A_042853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLIEAVYLLELERQERRDAAAVAQQWWKPFHYRLAHELVDDRDGSVFGAVFERDHLHPTNPLAGAGAGAGAVPPASPSGAPSAVIAFRGTLLRAPTIRRDVEDELRLLAWNSLRGSARLHRAVQALRATVDRFGSENVCICGHSLGAGFARQVVRALAVTSSSTSSPRHQHQTQQASLEFHLFNAPYLSLPKGVRCVVKTADCLLKAVRSSVATVGRWHGKALRHVAYANCVLGYTRLESSRRFG >Et_6A_046633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18406122:18409867:-1 gene:Et_6A_046633 transcript:Et_6A_046633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDLSRSRSPPRRRRRSPSPRYRGRRGRRDRSPSPVRSRSPYRPSYRRKSPSPSPRRHKSCSISPRRRKSQSISPRRRKSRSPAQRRYRRKRSRSITSSPIRKSRSPHHGSAENKNTIDMQRLEEEKKRRQKEVELKLLEEETAKRVEQAIRKKVEESLNCEEIKQEIQRRIEEGRKRIHVEVAAQIEKEKEAALIEAKEKAEREKKEREEQEKRLEEERKKAEEALMKEAMEQQQKELERYQELERLQKEREEAMKRKKMEEEQQRQNQMKLLGKNKSRPKLSFAFGMK >Et_9B_064099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11199512:11199800:1 gene:Et_9B_064099 transcript:Et_9B_064099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSWRHHTLLQALLARGPLPEPDFHAVFTGISGKNPAHQVFDEMLTWQLHGAPSLYVCSAV >Et_1A_007221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32258787:32260202:1 gene:Et_1A_007221 transcript:Et_1A_007221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHNGATLLMITISFQVFAGGITVALAQLETSPAPAPQPAPPPVAQAPLPPPSLPAIFVFGDGALDVGNNDNLPEGQEMGDAPRANHPFYGIDSPNSEPTGRFSNGYNIADFIAKALGFAMSPPAYGSLPKPSPTTMQGFTGVNYASANAGLRESTNAQMTISLADQVKNFAATRSQLKDVLGSRKPLHNFLSKSLFLIGVGTMDLLPCCNFYLSYPLKDNKTEVQRIIELYENTLTKLHSMGARKFGLINVGLLGRLPSVQMSRYNGDPSLDRRAAEFNAELRILLSNLTTTLHHFRYSLADFYGFSNATFANPSGSGFLNTKYACCQDPCSLDSYTYPCDNRLEYWFWDNWYTTEKASKLAAAAFYNGKAFSSPVSIKRLIAMKG >Et_3A_024079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17148147:17151672:1 gene:Et_3A_024079 transcript:Et_3A_024079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATIIKIVGDTTYVVRYGTGKSSTEILHSCFIRPPPVFDRMKFEYELEPSAEVEVYQDGIWTGAKKIADVSCLERSTSLQHPLSQLQVSISKKSAEPSSFMHPALAVDLSSLRPLPAPSSSSIPPVFSTSSLLLMPDYKMEVFKMLPQKNLHFHEVRNCLPEFQEEKSLGLMLSFENMAESIKNMRIQDDPQLYQEKMSSLIDLEENGFEVSALKVRLDYLLRLRNRQIDLKKRKATLDMELLKLDKANYRLEQQLKLLEMYMTGLGEEPALFREKKASLVTQHSANNSYISKFQLEMRQVEESMVSVEADFNNTAACPWRSDGC >Et_3B_028377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14434756:14445288:1 gene:Et_3B_028377 transcript:Et_3B_028377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRSGGRCALPLLLLLSLGVAGFAEAEEEKAAAWTGGLSRRSFPKGFVFGTAASAYQVEGMALKDGRGPSIWDVFAKIPGVIANNATADVTVDEYHRYKEDVNIMKKMGFDAYRFSISWSRIFPNGTGKVNWKGVAYYNRLIDYMLKIGITPYANLYHYDLPEALELEYGGNSFADYADFCFKTFGDRVKNWMTFNEPRVVAALGYDDGRFAPGRCTKCKAGNSATEPYNVAHHLILSHAAAVQRYRQKYQHTQKGRIGILLDFVWYEGLTNSTADQAAAQRSRDFHVGWFLHPIIYGEYPKSVQEIVKERLPKFTADEIKVVKGSIDYVGINQYTAYYARDQQPNATTVLSYSSDWHAELVYERNGVPIGPRAHSDWLYIVPWGLHKAVTYVKEKYGNPTMFLSENGMDDPGNLTIAQGVHDATRVAYYRSYITKLKEAIDDGANCVGYFAWSLLDNFEWKLGYTSRFGLVYVDFRTLRRYPKSSAYWFRDVITGKK >Et_2B_020842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24114954:24118318:1 gene:Et_2B_020842 transcript:Et_2B_020842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSFDSDKLWKGSPKHRGRTKSEEVEMLRRWFCCTQFNASYREHENERPVSPDEKEGNGFAPISDSTKAPPPIEVPELSFEELKEKTDNFGSKALVGEGSYGRVYYAVLDSGKHVAVKKLDASTDPELDNEFLTQVSVASKLKHENFVEMLGYCVEGNQRLVAYEFATMGSLHDILHGRKGVPGAQPGPALDWMQRVKIAIDAAKGLEYLHEKVQPSIVHRDIRSSNVLLFEDYKAKIADFNLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDTVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLQHKPQQPPAAASTAPSDA >Et_2A_018503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30447625:30448819:1 gene:Et_2A_018503 transcript:Et_2A_018503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDRALQTAPAKASDDGRTFLTDRSAKVFVAGHRGMVGSAVHRRLAALGFTNIVGRTRAELDLASQPAVDKFFAAERPRYVIVAAGKVGGLHASSAAPAEFITENLRITTNVLVAARRCFTVRKLLFLASSVIYAVDASQPTPESALLSGPPAPGNEWYAIPKIVGIKMCQAYRAERAMDAIAATPNNLYGPRHPFPSESSHVIPALIRRFHHAKVTGAPEVVVWGSGAALREFTHVDDLADAVLLLMEKYSGDEHVNVGSGREVTVRELAETVREVVGYEGRIVWDASRPDGVKRRLLDSSKMRALGWQPKVQLRDGLEDLYKCYLRGGLTNSKA >Et_9A_061213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22196242:22196964:-1 gene:Et_9A_061213 transcript:Et_9A_061213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQRPDMITPGVDAQGQPIDPEKMQEHFEDFYEDIYEELSKFGELENLNVCDNLADHMIGNVYVQFREEEQAAAAYNALQGRFYSGRPIIVEYSPVTDFREATCRQFEIGRDLRRKIYGRSATRRYHGRSRSPSPRHRRGQRDRDDFPRDRDGYRGGGQRGRGSRHDRYDDGGRRRHGSPPRRARSPVRESSEERRAKIEQWNREREAKQ >Et_9B_066122.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:4227610:4228095:1 gene:Et_9B_066122 transcript:Et_9B_066122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVASLFVGMSFQAANQRPTGMPDPKDGLSFFFHKKHVNETNPADRAVTLAVGYVLINTATFSLALAVVVLLLLPNMFSAKGTMNLARTLMMFTAAMVATDYCYMISSDVRIDRMVLVVMAIYGGVAIIFITIYNKVSMAESLCTTPKGGNGDPATGSVPE >Et_4A_031941.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31417776:31418501:-1 gene:Et_4A_031941 transcript:Et_4A_031941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQSWKRNTTNRTTNFSWCIFLAIDAHQDLAPGLLCPEDGALGDLERGGGDVRVDELQLQRADDPERALGAASLVRQHRVQPQFHLELAVLFLLVELRHAGVGELDELAGAADLDVERHRLSRLVLQRRQGGLMELGVVEGERRGECVVADAVVDVRVPERQPGRDVVGDGGVGCGRAGGEVEPLQHGVVDGGLGRLDEGEDDDEGGDEAAEEEDAHDPGDDLPPLVEATIAAFIALAHV >Et_4A_035520.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27472890:27473954:-1 gene:Et_4A_035520 transcript:Et_4A_035520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAYVAVVVLSFLFLFSLHRLLLGRRRDSSETNGKNNNKQGTRRHRLLPPPSPPAIPVLGHLHLLGKPIHAARHGPLFSLRLGSRPAVVVTSAALARECFTEHDVAFANRPRFPSLQLVSFGGATLPTSAYGPYWRNLRRVATVQLLSAHRVGCMLPAVSAEVRAMARRMYRAAESGGAGAARVELKRRLFELSLSALMETVARTKTSRAEAGADDDDGTDMSPETQEFKEALDEFIPLIGAANLWDYLPVLRWFDVFGVRNKIMAAVRTRDAFLQRLIDAERRRLDDDDEDSEKKSMIAVLLTLQKSEPEVYTDTMIMALCSVSASSSVVSSVTGHLRTAVVICHFKSIFY >Et_2B_019371.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25845450:25845920:1 gene:Et_2B_019371 transcript:Et_2B_019371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIWSFVEGLGSGSWGSIIRDHDGTSVGSGVGRITHCSSAMQAEAVAVFQGLSFALDDGISKIELEAHAINIRTALTSQAFDMSTDGVLFRDIEYLMYSEFVDVRVMCKPQSCYAVANRLAKLGGYLEPGGVIIWPDGNPAIVNDLVAPNVQSASS >Et_3A_025332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2938879:2942005:1 gene:Et_3A_025332 transcript:Et_3A_025332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRVEACDSWRPPRSNGAPSVALLRAGPRVPAFASLSVGEGGVAGKVKEERAHKAKERRLPPAAQLVRHPLALLALVPNSAALFAAGAAAGAAAKTVTAPLDRVKLLMQTHSVRVAGESAKKGVGFLEAIADVGKKDGLKGYWKGNLPQVIRIIPYSAVQLFSYEVYKKIFRTKDGELTVFGRLAAGACAGMTSTLVTYPLDVLRLRLAVQSGHGTMSQVALNMLREEGLSSFYRGLGPSLIAIAPYIAVNFCVFDLMKKSVPEKYKNRTETSLATALLSATFATLMCYPLDTVRRQMQMKGTPYNTIFDAVPGIVERDGLVGLYRGFVPNALKNLPNSSIKLTAFDTVKTLISTGQKELEKLIQENQEKTS >Et_5A_041059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17505522:17517673:-1 gene:Et_5A_041059 transcript:Et_5A_041059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGHRSSSRCRDLKEEDVLGPAASGDAQASWRMTPRGEARRLAARGIGLGQGAPHGEVEATSSRPPRGAVARRPCIGWQGELRPPCLAGMESQAGDATNAPRSRRDPGVVEDITIPTNFPQQTFVTFLVLHAYMAGATLAARLTHYATASSLPLTSWAAAAGRLRRTSPPPSAQRSGYLPSAVAFSRGGLTAKFLGCGCYYSNMTDSSGNNGHGAVTRVLFCGPYWPASTNYTKEYLQKYPFIQVDEVGLDQKEMDTAVIQKDLGLPVGDTIFGKTILILGFGAIGVEVAKRLRPFGVKVLATKRNWSSDTLPCDIDGLVDKKGGPENMYEFAGEADIVITCMTLNNETVGIVDHKFVSSMKKGSYLVNIARGRLLDYRAVFDHLQSGHLGGLGIDVAWMEPFDPEDPILKFPNVIITPHVAGVTEYSYRTMAKVCTCSKHAPLFTFFSHVLFAVGSSKVSCSVGSNGYSKVTRVLFCGPFFPASTNYTKEYLQCYPFIEVDEVGLEQVPDVIENYHICVVKNRRIDSDIIAKATQMKIIMQYGVGLEGVDVHAATKYGIKVARIPESLTGNAVSCAEMAIYLTLGVLRKQPFDPEDPILKFPHVAGVTEYSYRTMAK >Et_4B_037252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17162875:17173356:-1 gene:Et_4B_037252 transcript:Et_4B_037252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLCCLGGHREPEGGVCCFCLPWPFPNNSHSGSAARQRGDTRVAPDHGRVPLVACAATVPVDPMDNFRSPPRPLPYDDPRSSHRIEQHPVVSGNGKASTQFEKPGQLKEGKTADTGSACTAQKVDDSSVKHRSGGPSIDGIQALDLLDVEDDCPICLEEYHFENPKIALRCNHDFHLSCIYEWMERSQSCPVCAKVGSGLCPFLLAVFWEEGEQFWEAKVRGDEFSRLRRRVGGLGQSTLGSVHQFDHMHKRSHSGVGTEEAILRLEPSFPFHSRAPDEPRRRCTARARRPRAAPCASHRSRGLAQLLPAGLASPRHSCRLASLPI >Et_8A_058104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:186890:190184:1 gene:Et_8A_058104 transcript:Et_8A_058104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSFVLLCNLKRIESSKLASSRWWDEGRVGLPDQEPSRSQVEQQREEEEEEDMSSSKLVPHLDQGDENNGEPKEGAVVTGNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVAESIAHFARRRQRGVCVLSGAGTVTDVALRQPAAPGAVVALRGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGTLTAAGPVMVIASTFANATYERLPLLQDDDQMQQQQQLPADPSAMPLLPPSLMPGGGSGLHLGHDALASAAWAHARPPPY >Et_8B_058913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10896536:10905887:-1 gene:Et_8B_058913 transcript:Et_8B_058913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAKGEKPASASRPLRKAKSGTTTAQEQGKAGERETGSKGRWSVLTLGPAELGDGVDEPVMEVRRPAQPGLGVGRQNDARPAAAPVQPQLAPSAVGLGEAATVVDQAGEHLLAGAGSAWGRGRSGWPPPKASPFRFLLAAGCGLFFPPRFSFGSPLLPPRPELGNDDYAVLGVFSSVSRGKDSIGRARRRARRDAGRLALARSREWRRKLQQSAIIMPSSPRFHPQPQLKNFRAEWDALRPAGDSSDPRSSLPHTPAAAAAAAMSSGGRYMAYSPSPSTGPHSPHHLPLADHEKYVAELLAEKQKLGPFMQVLPCTSRLLNQEILHVSALLGIPVLDQPGYQHGSPFINGGAMPNGRPVDMNGWAPAVPSEGADMLQPPSRNWLNPQGHSGFIMKKTMRMDIPVEKYPNFNFVGRLLGPRGNSLKRVEATTDCRVLIRGRGSIKDSAREELMRGKPGYEHLNEPLHLVIEAELPAEVVDIRLMQVQEILEDMLKPVDESMDFFKKQQLRELAMLNGTLRDDSSQKSGSVSPFHSSMGMKRAKTRGADEVHM >Et_9B_064022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10129307:10132959:1 gene:Et_9B_064022 transcript:Et_9B_064022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACLAIWLLNCPGFSVVSILSCPGGGSSWKFCTNLPSVMPMMGSARMIPGQLRRPTPKGTNRKSLPCASTAFLLLQEPLRPVLLRLDPLLGVVSQEPGVDKDLGLGRDVVAVELASFRFMCGTAADSHAQASVSFTTACRTGGVNVLLALLDEVVLEDLHPARLEVVAPVEVPAEEGAEHGDEVAVVVLEALRQAAALGVERLELVEERVRLRLEPAVEHDAEHVVVHHELQAAADDDAGGGGGGVAADVLHHEAGLLLPPGAVLGNDLVGEERNGHDAPHLAPVVAVHGEHHVLPLPGEDVEDDVAGAGPELDALGVEHLLGELRGGDHHQVADAHAEEEDVAELLRHGHQVAVVQVVADLEPVAHDGGAGRARRKAEALAGELRHGDGHHRRREEAQEGLLQKEEVHGWLAATRRLPVWG >Et_9B_065560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:730826:732255:1 gene:Et_9B_065560 transcript:Et_9B_065560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMLLAAPFLLCLLAPSFSNAAIANRWRELHGENSWTGLLDPLDIDLWRFIIGYGELTQATYDAFNGEKRSPHAGACMYGRADLLASAEVPAAGDYAVTKFIYATSTLPVPGALLLLPAPELWEEVWSRESNWMGYVAVATDRGVAALGRRDIVVAWRGTLTDLEWVNDFDFVPASAAPLLGSAAARNPLALVHRGFLSIYNSSNANSKYSTLQLFKPHADTPPVQVLEEVRRLLELYKHETTSITVTGHSLGAALATLNAVDIAANVLNATSTSSSSSSSSSSSQQKKPPSPVTAVVFASPRVGDISFKSAFRSFRNLRALHVKNAGDIVTKSPLVPYVDVAVPLRISTSRSPYLRSPGTVQTLHNLECYLHGVAGDQGSAGGFRLEVDRDVALVNKREDALRDQYPVPANWWVPKNKGMVKNPAGGKWELKDFEEI >Et_1B_012600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33656955:33662243:-1 gene:Et_1B_012600 transcript:Et_1B_012600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKCNHLGRDFSPIPSVVVPRPPPSGCGRGPATSVGVGAAAAVGEDDAAKSNARSKPESSGISPWPSLWPRRAPQPCWPSSAELVPRHPVVTAASSSTIHLGGEDAVHAVVGLLQSCGKLGLQHLAAQQRPRDKDKAVCRQFYKQPSAKIFPIDVIAAKETSSGEYVLNGIYDMRIKRGEEEKDDLQLIDGVSIIDRSMNCLTFTQRILGDCGAIDITASVRGSCRSCHIRSESHGLHDEIRLFDGMIGESRGLKRSVVAVVKDSQIKLKFKIGTDDSSVPTQRCCSFKASSYGHASQELETDFALISVKVTWSTVPLRGFKELALKVPGLRKIPLKVPANGKYH >Et_7A_050942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12712174:12715055:1 gene:Et_7A_050942 transcript:Et_7A_050942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWERPDADGDGGDEAGRLSSDANTSSSANASTSSSTAASSSGVRRSGSAAAGRRGSPMSTPTINLSQEYTLAIHTSSYQEIWTKIHVDEDGRRDEEGGGGSGGSSEEEEKEDEEDRVTLAVVLRPEDAVVERALGDAPDTELTRLAADYLRSTHQASLLCLALRRALRRARALYGPITDLLALIPLAPQLAAHHCDCAFDAFLLFDQMPNPFPARAAVFQGVHQSFAGLKNHLHLRLLKVRRRRQLIRCATRGSGVCLVACAAGAAIVGILLATHAITALLATAPVCAASSSSCCPLAASMKRLQRHMDRLDATARGTYVLNNDVDTIERLVGRLHATVESDKILVRLGLERGRGQHHTIEEVVRQLRKNHPSLLRQLADLEEHICLYFAAVNRARLLLVHHLNSQSDPDTELPFRLSLATLSLATSLSGHWG >Et_3B_030800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7489562:7493300:-1 gene:Et_3B_030800 transcript:Et_3B_030800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFTEEEKAVDDGLGYPKAYSRLCRGGAVGLPYCHGPPHAFLPYVLQPHEAMRAKDLNEMFPVVDAEAPPTANARGYANLLWKQLDHLGNAGFDPALFRVDAYGNVLYLHADSASPLAWDIDHWFPCARGGKTVPSNLRIVQWQVCRKKQNKLEFLMPWWDLQLGVSVNQFLSIFASKNADFRNRAFAFLFADGASEELNSLQVVEGHAFPQHFSDMKRKVGLAPAAIVSARGTDNSVLKSLDANRPLRPNYPLIAAKKFNGEKDENVNLAVSSHGPNSTKENNNPDADGYVSNPYLSIAMARDSLRQREETKKKQAELTELENEADELQQKNEEERVAIQGLEALLIKRKRRVEKCRRLAEAQSNYKAVLEKMIRDAMHQCVVYKEQLRLNQAATSSLMARLEAQRAMCDSSETELRKKYQQKDDLERQINQARKRHRVDDGLLEERHNESVKYLSARRFSSPLKQELRVFLEEDQRNSDAYISLRDEEIGEGTSRLGNARNEQFKVISFPRRSQCSEDNTADTERGRTFVREKLEELAIKEQRRGKRRERTSAPVRSRRTCTPMRSREDKGKATTVQYDPNESDTEKYHASETVSRPRTSSLPPSPPYRAVGVYGSRYPTDQTMLSQRNARNPSHGFGRSEDDENLNHVGKGNVDKWLHMLMDNQQEDPAAYHSSEEYNNDEENASEEQQMERRVDEESYKNEITECSDEIVEVKDETATVQGTARSGDSFDTKEREEKKVWFPRSDSSRGFRSLPSSPSKILGMRKGVECIGRKPKVAGDEDCRYGYEDAVSTSSSKFLSRCKQAIKKAPCFSLSHDVDLRHFALGVTVVALSFFPFGGVRRSMPLSESSTLATSAPMPPPNQNVLHLKKPPPPRRLLSEISLSTWA >Et_4B_039234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:893569:896535:-1 gene:Et_4B_039234 transcript:Et_4B_039234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWGMCFACMWSRGCDASVLLDSTPGNTAEKDAQPNTSLRGFEVIDSAKTRLEQACFGVVSCADVLAFAARDALALVSSHSHSCCYLLLRFINTSTSSLLLPRCKWSSSIVLGSRARRTQSIEEARPIRRLEYKTDRSTAHLVGGNAYQVPGGRRDGNVSVAQETNGNLPPPSASVSQLNQIFGSKGLSQAEMVTLSGAHTIGSAHCSSFSNRLYSYGPNAGGQDPSMDPSYLAALTQQCPQQQPAAGSAATVAMDPVTPTAFDTNYYANVVANRGLLSSDQALLADPTTAAQVVGYTNSPDTFQADFAAAMVKMGGIGVLTGTSGVIRTNCRVVS >Et_10A_001987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1732675:1735432:-1 gene:Et_10A_001987 transcript:Et_10A_001987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYILISIHLSFVRCELVFNAAACRTRSTLYCHLSLGLAEQRRCYGKLDWIKGYVPQHPSWKRHHLLHIRIKIADSYVIMPADPDSYNSLLPAGTLVVVRGHPNPEVMAASPIVRMTDDDNASRPLLSRIAPSKENLLSVSGSVVKQLPTGTVMTFQALSSSFTNQGKCHASNSWLSFVLVALLTLSCIFFWFTDSFVVEGKRRYGMVLPGGLKLFNLSAEEERTLHQYSPELRSLRLRCTDLVRAFFTATVLLAFVAGEVGIQKCFFPHADIDTKELLKNVPLLVALNSSAMFARFPTTRKGIDLLSPGGSTVRIGRVSTTGISL >Et_4B_038556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29814520:29815429:-1 gene:Et_4B_038556 transcript:Et_4B_038556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAAGSVFKSHTHHRKGPARFRALDFGERHGYLKGVVTDIVHDPGRGAPLARVTFRNPIRYGHQKELFVAAEGMYTGQFLYCGRRASLSIGNVLPLGTLPEGTVVCNVEQHVGDRGALARCSGDYAIVISHNTDSGTTRVKLPSGAKKVLQSGCRAMVGQVAGGGRTEKPLLKAGNAYHKFRVKRNCWPRVRGVAMNPVDHPHGGGNHQHIGHASTVRRDAPPGAKTGQIAAGRTGRRTGQAAVAATKQSAVV >Et_10B_003155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17078984:17084868:-1 gene:Et_10B_003155 transcript:Et_10B_003155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGRRGGRGRGGGGGGGGYGRRDARPGGAASRDDRDRRDRRPDDSPRRRSPSPRRHSRRPRGDDNDDRDVTRGNRGPTRGGRTNYGGDRDPPPSRGDLGYRNPNDEPGRGRREDYDRDRGLPNGGRMEDYGRDRGLPHEGRREDFGRDHDLPGRGRREDYGRDRDLHRDGRREDYGGIRDLPGDGRRDDYSRDLELPCGRDYERAPYREERNRRDRGANGTYDSPPPYMFPDHPSDLSRPSLHSERKESYYLGGPGCRSIDRERELLGDDGMTLRISASELGRTSALYPERRSPPSRAVLSPPLPPPPPLYPLVPPTDTGFLSRGSDMNAGDGFGPESTRFLHGDGKYGKHLRDPYDERGRGTGRHNSGGRDVPIEEDGGTDRRYPPDMPIHRDRETDRPYSSRGVQGSDLVPCTQLKQIGDSPPSLVAKDRPYRMHSEPHFEPSNGREMNDFHMPSNDSLGHGSGRARRFAGSSFDHGSGHSGEALLGVTRQERSKLALSAEPMEFDGHPYVTRDPVLDTYLASEDLRGNVSQNQRLLSGSASLTGLRDERIDHHMRLSHRMSDDEDSYKAMLHDSDHNLQNSDGPHASVPYPPARGGSGHYSHSPRFEPIRNVRRPARQHEFSSFEDGRDLSDQEVSPMISRKRYRSPPYPDHAMDMPLADDGFTGQGYNSDDMDARDLSPRRISRHYELIDEDEYDARYSMPNNRGVFSRLALPHEISGEWTDAEQGSHPHSKALTYGHSKHKPLSQRLSRPTGHIQFGESSIHGRGRGRGLTKIGKKKMRGALHQFHGGYSSPRNELIRPNKFLKTSEDDPKQSEMNHEDAQECDDLPVQKDPPEGSEEFAKQVHEAFLKYLKMLNESPATQKKFRGAEKRALSCCVCGSVARKFPDLDALLSHAYDICKAGLKTKHLGFHKALCVLNGWNWHVAPDTSKSHHSFPAEEVNAMKRDLMLWPPVLVIHNSSIAIETEDTEAKIVSKEAIEGLLADIGIPREKVKVSHGRPANQGVFVVKFQPTISGFQEAMRIHDHFYARNHGKEEFLQMRGGKGKQAAPVDNLEELLYAHIAVAEDLGCLDEETKRRCVIKSKMDIEAMADATLNLEP >Et_4A_034510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:471432:473729:1 gene:Et_4A_034510 transcript:Et_4A_034510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKQVLVRRTLRDDDDDDDGSSSSTASGAAPVLEVDQSPSPPPMSSCGRYILHRVCRFDTLAGVAIKYGVEVADVKRVNGLTTDLQMFAHKTLRIPLPGRHPPAATVSPPSSSSSPSHHASDRASSEPHPGHHGSLSDGFSIVNGDPTKEVDDSEKPIRRRQKADYESAAKEENGSGLLARTGQRLALRPKSGNRPDMNSSQQDLVAAGMLSYMDGLQAVRKSSSTPEFQDADNSSIASVWLRSTWSLKPDAFTIPLPLFDGIPKPLLDSIPKPFFDSIQKPIAAWRNKAAKD >Et_8B_060097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:638964:642285:1 gene:Et_8B_060097 transcript:Et_8B_060097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAHLFGGLGDAQMQPLPLQQAAPAAPPAATTPAPKKKRNQPGNPNPDAEVIALSPRTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKEAQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHYCRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARAPPIAAGMYGGSGGMALGLSGMAASHLQSAFHHDQAHSAGAQFEHLGSPAFRGAQPTSSSSPPFFLGGADDGNTSLLHGKPAFHGLMQLPEQQGSNGMLNLGFFSGATSAGQDARLVFPDQFNAGAAAGNGRGGDGGEHGNGGGNSESATIFSGNLMGNQMSGGAAGAGFSSLYNTSSAETVAPPQMSATALLQKAAQMGATTSGGGSSVNSLLRGLASGGGSGALNGRPAGAAGFMAGESSSSRSTSQAAENESQFRDLMNSLAASGGAGGGAAFAGVDDGKLSTRDFLGVGGRNNMNGGAAGLQMRHGAAAGVGMGSLEAQK >Et_1A_008155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4853289:4857599:1 gene:Et_1A_008155 transcript:Et_1A_008155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESNVDGIEISVSNDERRDRENVENSEDEPKHRRMRSLKKKALHASTKLTHSLKKRGKRKVDCRLPRISIEDVRDAEEEQAVVSFREVLFARGLLPVRHDDYHMILRFLKARKFDFEKAAQMWADMLQWRKEFGTDTIFEDFEFHELEEVLKYYPHGYHGVDKEGRPIYIELLGKVEPNKLVQITTVERYIKYHVQEFERAFREKFPACTISAKRHIDTTTTILDVQGVGWKNFSKIARDLVRCMQKIDGDYYPETLHQMFIVNAGPGFKLIWSTVKGLLDPKTSSKIHVLGTKYQSRLLEAIDASMESLREVGQVSDIEEAITGSLPERISDTSNAESGSDVDDLGSPIAHEDVEYPSLAPVCEEAKESGSTTHSGSDGMSHMADIVIESNKRYSPAGNEARQYNTERSLTNGIVHAPGGRVPNDGPGDADDGIFRHFSRKFVAVFLKFFTRRWRHLENVPPRTTVPSNQADLQITKEDRVNPCLERLDRLESMFNQLSRKPPELPKDKDRAIQDSFDRIKSIEFDLEKTKKVLHATVIKQMQMAETLEAVKDSDLRRRKFCT >Et_5B_044918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7271496:7289859:1 gene:Et_5B_044918 transcript:Et_5B_044918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVPPISSHHEAAVSLTDALLEEIFLRIACPADLARASAACVAFRRLVTDPAFLRRYRSRGPPLLLGFFTYEASEGFHPVEAPHPNAPAARALANISFDYLPPPRLEDWYISDVRDGRVLLGCVRRVDGAATVTGLAVCDPSSVSRRYLLLPPVDIDQVEPDLRYFDAVFDPCAARGKDDETSFRVIGILVYVKKLVVLVFDRATGNWIVRTSGSFDALLDCQRAFLWRPGYACGSFYWKVAEQNKLLKLDVNTMEFSRVDLPPGHDAHVVVAEAGEGMLGMFSYTPSNDGKFLNCYRSMQGQRTNEWQMSSIPLPDHDDFQIVGAPEGYVFIHGFSKVQDKQHTTIYSLDINTLKFEMATRISCYFHGIPHLEAPQSPQQLPALTDDLLEEIFVRIACPANLTRTSAACVAYRHLIADPAFLRRYRSRHPPLLLGFFSSEASEGFHPVKAPHPNAPAARALANMSFNYLPTGKLHHWSIRDVRDGRVLIQVIGMVCNEIKFVVLIFDSGSVSWTVGASASWEALSLSNESQPTEYPFLRRLFCVYGSLYREVDEMHKLLKLDIDRMEFSTINLPFGYDRCHIVIVEAGEGRLGMFSRTVDRKSLNYYYYNSMQNEHQRGNEWEVKNVIPLPDHGSWAFCAQQGYIFLRGDQKFGELVYTCYSLDINTLKLERAIHLSSHYFRIYPYFGFPPSILDGFQTAEAPHPTAPAARALAGAAGFIFDYLPPGNEGTSWNLCDVREGRVLLECSYVLLYDNIASIPNLAVCDPLTRQYLLLPRIPDDLLASIQLQDIYKFETSLVPTRENESSTSFKVMRKIVRKTKLVIFVFSSGSGRWNDVKSISWDALNVRLQGQMPRRFQHAYGCFYWKMDQINKVVKLDINRMEFSTVGLPPDHDRRCLVIAEAAEGRLGMFSLIDGGTSVHYSTSMQNKDKRNSE >Et_2A_017537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35211455:35217760:-1 gene:Et_2A_017537 transcript:Et_2A_017537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDSFVKRCTASLEDFAGQEACAALGIGDDVRGLLATLSRIEAVVSHEERRRLLSAKVDAWVAQVKDAMYEIDDVLDVCMIEGGKILAEDHPPTPKVRCAFVFSCFKHAGPRKFHHEIGFRLRDIDLRLREVEEDMPSLPSGSLHTDSRRDWFTDNICKHCHHTVKPQIVGTQVQKVLGGLVPRLLREGKKKVDVFAIVGAVGIGKTTLARVIYNDDRMTENFPICVWVTMSKDLSEVAFLRKIIRGAGANVGDTENKEELLGLLSSALSKRFLIVLDDLDSPGIWDNLLKDPLGDGVARGRILITTRSEEVATGLKATVHRVEKMDTQSGWDLLCKQVLPERNTQELAALKDIGFKIVDRCEGHPLAIKVIAGVLRSRGRSKAEWERILGSDSWSMRLVLPEVPRALYVSYVDLPSELKECFLHCALYPEECPIQRFDLVRHWIAEGVVNARDNKLLEESAEEYYVELISRNLLQPDPDNVEQCWITHDLLRTLARFLIAEESILIDGQQRLNASSSKPRHLTLCNMENGLEDPISLKQQMSIRSLMLFKSPSVKAIDLLLESSACLRVLDLSNTAIEALPKSIGNLVHLRYLNLDGTKVRDMPSSIGYLINLQTLSLQGCQRLHKLPWSIRALLELRCLCLEGTSLSYVPKGVGELKHLNYLSGLIIGHDNNGPEGCDLDDLRALSELRHLHIDSLDRATSGAAALANKPFLKDLCLSEQPPLIEEQQHEGQENQESKEEIEKEEKAINIGGCSAEESAKISEKIWNELTLPQSIEKLVIRNYKGGKFPNWMKGPKLDTSFPSLVFLDLDNCISLTRLPSVGLLSQLQTLQISNANSVTTIGLEFIGTTVLSPATSFPKLEVLKLRNMSNLEEWSLAVEENQILLPCLKSLHIQSCPKLKSLPDGLKHVALCDLRVEGAYSLTEITDLPKLSDELHVKDNKALLRISNLPMLRSLVIDDCSKLKHVAGLDMLQHLKLLFPPSTETFYFEELIIFWSIAFPQWLEILIHKCKGLCRFELQCSLPLLKSCLDGGKNWHIVQKIPEVRIMSCDGKRYIRYNKSRRVYETNAHRPTEPAPDPANLKCKLGHHHRLEFIGTTVLSPATSFPKLEVLKLRNMSNLEEWSLAVEENLILLPCLKSLHIQSCPKLKSLPDGLKHVALCDLCVEGAYSLTEIADLPKLSDELHLKDNKALLRISNLPMLRSLVIDDCSKLKHVAGLDMLQHLKLLFPPSTETFYFEELIIFWSIAFPQWLELLIQKCKGIRRFELQCSLPLLKSCLDGGKNWHIVQKIPEVRIMSCDGKRYIRYNKSRRVYETNAQSEE >Et_10B_003670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4664482:4668248:-1 gene:Et_10B_003670 transcript:Et_10B_003670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESSKHNKLDSLLQNQSLGPHKLPLEDLRNITNNFSDEQLLGEGGFGKVYKGVLQSGDMVAVKRLMSTMPGIQDMQFENEVDHLMRLRHPNIVQLLGYTSEIESILTEYNGRYVYAEKSEKLLCLEYLPNGNLRGHLSDESSGLDWVTRYKILEGICNGLHYLQEKGQVTPIIHMDLKPTNILLDDNMVPKIADFGLSRLFGAEKTRTHTLNFHGTLGYMPPEYVEKGIITKKLDIFSLGVIIIEIMTGRKDYPEEPGTSSQEFIELVLKNWRNRLEKAPGYTSRQIDCLQIRRCIQIGLLCVERDRMKRPTIQQIIRMLHIPVLERDYAMKFEAVITPLEQALLGFAFNKPNISDEVREQVEFIHAQLKSAKEWVHMPDDGFYNDMPSLDNNSYDPHTDPAMNKMLSQKLNLKTITYLTRASLALHEMVASDVGQDPGGHIEKMSMLLKKIKDFVQTQNPEIGPPIPSKLLLLDFNSEPRPVTIPDDFRCPISLELMKDPVIVVTGQPINP >Et_9A_062284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21547589:21552120:1 gene:Et_9A_062284 transcript:Et_9A_062284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASWCPIEPGSNKFRVQDELPGSGERRGGWGAVIIGVGPAEAAPQHRELRLGSSAFSLRDAQVSAVLCTLIVALGPIQFGFTCGYSSPTQDSIIGDLGLSLSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAVPNIIGWLAISFAHDSSFLFMGRLLEGFGVGVISYVVPVYIAEIAPQDQRGALGAVNQLSVTIGILLAYFLGMFVPWRILAVLGILPCSILIPALFFVPESPRWLAKMGKTEDFEYSLQVLRGFQTDITAEANEIKRSVASSRRRTTIRFADIKHKRYSVPLMIGIGLLVLQQLSGVNGILFYAGSIFKAAGITNSNLATCGLGAVQVVATGVTTWLTDKAGRRLLLIISTTGMTITLVVVSVSFFVKDNITAGSHLYSVMSMLSLAGLVAFVIAFSLGLGAIPWIIMSEILPVNIKSLAGRTFAIYAVVCTMTLIFVCLCVPETKGRTLEEIAFSFR >Et_5B_044812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6032836:6038251:-1 gene:Et_5B_044812 transcript:Et_5B_044812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEPCTYTTDEALSRLGFGRFQALLLGVLGTGWIAEAMEIMLQSFVGPSVKAEWGVSGAEEGLVTSVVFVGMLIGACAGGLGSDRFGRRLGFLFTTFITGISGFLCAFSPNYASLITLRFAVGLGLGASHVLPTWFLEFVPAESRGSWMAAFSCFFTLGTILEALLAWAVMPILGWRWLLGLSSLPCFILLIFSASIPESPRYLCSRGRASDAMLVLERIERMNNRSLPPGILTSDLKRRGDHKIDASITTLLLMPEDNHRIDDDTSSKSDGINEFRALWSHELIRSTLLLWLVYFSCFFAYYGIVQLTSEVSNGNRSCASVGPHLMQPKDSSLYINVLVTSFAEFPGLLVAALLIDRVGRRVSMGGLILLCCASVVPLAIYLRGGFAVTLLFCARTFSLGCFAVLRAYSPEIYPTSCRNTGVGVATSISRIGSAIAPLMTITLLENCLEKEAVFAIVLPLFLAGLGCAFFPLETKGRDMS >Et_1A_004617.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23241841:23242983:1 gene:Et_1A_004617 transcript:Et_1A_004617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NSCRAPQDFTSFRSSFPALSSPISRRLAPSSRKFPGDQETLMAAAAPPRKRPAPEGPFSSVSGKKRPRYEFGTIDDYERLDELGEGTYGVVVKARHRRSGDTVAIKWLRGVDGGAPDLRAVVREASCLAACRGHPSVVQIKDVVEDEATGDLFLVMELAGPCLRSRLRRPFSEAETRGFVLQLLRGLEGIHGTGTIHRDIKPDNVLVGRGGVLKICDFGMATPVRPPYKEECVGSLWYRSPVQLVGSQRYGLAVDVWALGCIMVELLTGAPLFEHVDTEEDMLMEVLHLRHEIESEGLQAFKGLPEDLSQAAGELLLGLLCFEEDDRLTAAEALKHGWFDHEQDSREAESSSALSEAESPVVSEAESPATSGTEHPP >Et_3B_029626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26654660:26656742:1 gene:Et_3B_029626 transcript:Et_3B_029626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKKAGQQKLAKGGLDRKAAPQDEPDSGGGSRGRSKNHRNQCMTMKYRCSVNSGKGSTAVISVPSRTLLLFRPCVIRVNYRSPNLFSIKVAKEEKDLLWPLHVFGLIAIRDSIDPRRNLIFQRDRDNCQTITEEEPFLLLTGPYRAPVMIDPARIEVQLKAKGQTVSEDKDLIFNVLTTFPPCTYSARIFTHSLCGIRSSLEFNIAVLANSVEATIRIRVIPGRGPWQGDMPGRISARTASIDHEDIVLLDSLSRRVVSVELSGELKVAVEASKCFNEVIREEITFKPKDASCSNAVLKFASCELGVCVAWSKFMPLAPGQTGPDDDYESIFAWE >Et_6A_047512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6833453:6840274:1 gene:Et_6A_047512 transcript:Et_6A_047512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSYLLVVFVVAALASLAIANLHDEWFAEGEPQNAKYADDANGVSLSLVNSSSGSEQRRCSSTGAFRASSSWSQATQQGLLPHSMPSTVGRGHDEIDFEFIGNEAGKPYTFHTNLYAADVGNKEVEFKPWFDPTADFHNYTISWSSCMVVWYVDGIPIRVFRNEARNDVAYPSSRPMYSYVSIWASTDAWAPHGGQVRTDWSKAPFVANYHDVKLDVCGCNGEGGGCGGNCLRVCELSPTQLQQMRAVQAKYKTYDYSNDNGKFKGQMPAECRSYLLVPVVVAALASLAIANFHDEWAAEWGPQNAKYADDANGVSLSLVNSSSGCRFRTKTPFIYGSISSLIKLVPGNSAGTVTAFYASTVGGSHEEIDFEFIGNEAGQPYTFHTNLYAADVVYKEVEFKPWFGPTTDFHNYTISWTPCMVVWYVDGIPIRVFRNYEARNGVAYPTSRPMYSYVSIWASTGDWATHGGQVRTDWSKAPFVANYHSVNLDVCGCNGGGCGSNCPRRMCQLSPMQLRQMQAIQGKYKAYDYCNDNRRFKGQMPTECKLPQY >Et_1B_011818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26224888:26227329:-1 gene:Et_1B_011818 transcript:Et_1B_011818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPAMFSRNKQVPEDVGLRNTAADESGGQGQLHRDEKEHKPVLKKVKDKVKKIKKSLTGHSHGHGDEHGRDEPRADDGASSDEEEEGDVALEREAALEQGGYMDDAEDKTVPMESDPEVHGAPMYESERTPAVQDLVAKYDPARAPAAREAHGADAPGVRFGDLGGPGFHQPAAQEARGADAFGTRPGFGGPAVHDTAAHGVRRDDAPGVRFGDLGGQAVHDPAAQGVRRDDSPKVRLGDLGGPVVEDPAAPRSRTPAAREGEDIGTTPVIRDFESMTLSDDPSHVGAGKTGARAEEWKDAAADQMGAGSTNASGGATYTDKLKNAAAVPAGYGKKLASTVYEKVAGVGGVVGVGAGKRDDDERAAHQAVPVSDAGAGGEEWQDAPAATDASTDRSSSGPGYTDKIKSTAAGTTEYGKQLASTVYDKVAGVVPAVAPSLRPQVGAGGKPEEEAEQRDRAMPVSDAAGVEERKDATVTGAPAATDSASGPGYTEKIKSAAAGTTEYGKQLASTVYEKVAGVSTAVVGKVQQATQSAGAASPAVGAQPQDTAAPVSGQDKGVTMTGYIADKLRPGDEERSLSEAISGAVQRRKEEVGGTVGQRVPAPASVITKAREAVSSLTGGNRKSEAVAVQPTTTVEGEDSVAVAATEEPMLHGEETGDRRLNTNTM >Et_3B_029707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27291092:27297572:1 gene:Et_3B_029707 transcript:Et_3B_029707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPTLLPLKPSTPAIPIGRSHGRRPKPLLASSAPPPPAPAPRPPKPTPNAESLKPKPEPRIQNPDDPAAGLPATKPRKPRRGRRSEAAAVEDFVRGRLEEVFASIRERNPEKEEDEQLLDGEEGEKSGEEEDGVQKPVVEEEDPSWPLDADVGWGIRSSEYFDKHSIKNVTVDGVEIDWEREVEEGWVKEINCLEWESFAFHPSPLVVLVFERYNRAAENWKFLQELEKAAKVYWNAKDRLPPRTVKIDLNIERDLAYALQARECPQLLFLRGNKMMYREKEIRTADELVQMIAHFYYNAKRPSFVNPEAEGEAFVRRRVETISGPKDFAAAAVGCCVAATSANGD >Et_5A_040259.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:7285245:7286732:-1 gene:Et_5A_040259 transcript:Et_5A_040259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRYGQIELLTNAVRLHGELGVGVGHLDVGADVHVLVADEPAPVPVRFPDEHHLLDGHVLGLGQEERDEDGHDDDPCAEEEEENELELAEHGQERLRDDEGAEHVDGDGDALARGPDLEREDLGGDEPPERAPGPGEAGHVGADEEHHDGRVQLGDLGHAGEPELGADEGAHDHLAGQHLRAALEEQLAAAEAVDGEDGDEGGEDVDEARDDGGHERRVVREPQRLEQHGRVEHDDVDARQLLEHRDRHGHGELRPVPRLQDVAPRVGDALGLVGRGDQVGVLLVHVVRPADAAEHALRGVRVAAVDEGVGRVREEQRADGDDCGGHGGERQADAPAPAALDLGGAVVDEVGAQDADGDHELEPDVEHPAEPRGRHLGEVDGDGLVGEAHAHAEEDAPEDEHGHVGGRAGERRADQEGHAAAEHGPLAARDARDRGGEEGGHQRGQVEGGGEHGQQLAVELAVLVALVPLRLLLLVVHRREELDQERVHRRHAT >Et_7A_051678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22627810:22630557:1 gene:Et_7A_051678 transcript:Et_7A_051678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLQIRQHEPVADDKGKSNLFSEAKEELQQLRSLVVKKIGREQNKIAHELAQLAVRLRQSCVFFNSCPEFVHDHVDRERVPPATLVHSIESGCNDIT >Et_3A_024380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20209746:20216602:-1 gene:Et_3A_024380 transcript:Et_3A_024380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGEIQKVASMRRGGSGSMWRRGDDVFSRSSRDEDDEEALRWAALERLPTHDRVRRAIVPLGLGDEAAAATAKGVVDVDVHSLGPRERRALLERLVRVADEDNERFLLKLKERVDRVGIDMPTIEVRFQNLDAEAEVRVGSSGLPTVLNSIVNTLEEAANALHILPSTKQTMPVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGRLDTDLKVKGKVTYNGHEMNEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGDRFDMLTELSRREKAANIKPDADIDAFMKARQEANVVTDYILKILGLDICADTMVGDEMLRGISGGQRKRVTTGEMLVGPSRALFMDEISTGLDSSTTFQIVNSLRQSIHILGGTAVISLLQPAPETYNLFDDIILLSDGQMVYQGPRENVLEFFESMGFTCPERKGVADFLQEVTSKKDQRQYWARRDQPYRFVTVKEFSSAFKAFHTGRAMASELAVPFDKSKSHPAALTTTRYGVSGKELLKANIDREFLLMKRNSFVYIFRTFQLVMMSLICMTLFFRTKMKRDSVTDGGIYLGAIFFGIMTIMFNGFSEMALTVYKLPVFFKQRDLLFFPAWSYTIPSWILKMPITFIEVGGFVFLTYYVIGFDPNAGRFFKQYLLLFAVNQMAASLFRLIGGAGRNMIVANVFASFMLLVVMVLGGFLLVREKIKKWWIWGYWISPMMYAQNAISVNELLGHSWAKVLNASASNETLGVQVLKSRGVFPEAKWYWIGFGALIGFTLLFNTLFTVALTYLRPYGNSRPSVSEEELKEKHANITGEVLNGNHLASGSTHRSTSINTESGTVEGGSAPTQRGMILPFVPLSLTFDDVRYSVDMPPEMKVQGVVEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNISVSGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPKEVDSNKRKIFIEEVMDLVELKPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLLKRGGEEIYVGPLGHNSLELIKYFEGIQGVGKIQEGYNPATWMLEVTTVSQEQILGVDFTDLYKKSELYQRNKALIKELSQPAPGSNDLYFPSKYSQSSFTQCMACLWKQNLSYWRNPPYNTVRFFFTTIIALLLGTIFWDLGGKVKTSQDLFNAMGSMYSAVLFIGIMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAMGQVVIELPYALVQATLYGVIVYSMIGFEWTAAKFFWYLFFGYFTLLYFTFYGMMAVGLTPNYHIAAIVSSAFYAIWNLFSGFIIPRPRVPIWWRWYCYICPVAWTLYGLVVSQFGDNATEMDDGTLVMHFVENYFDFKHSWLGYVALIVVAFTVLFASLFGFAIMKFNFQKR >Et_1B_013217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6469017:6471896:-1 gene:Et_1B_013217 transcript:Et_1B_013217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRTSKAAKSTINYHRISLGDSQLFGPDALLTKDHDANHQARQSPQAGSTNTDRRLTTPQFVSALTGIWSLIGDPESSCTTQRSNGHGASSREDPVCFSRDQQGHILTPFCEATSSGLISQNCSSTPKTIYEDLSSVKKMLMLTSFSSVVGASPTWRPMYSINKVGVAQFLPFGNTYSMQTERTESGTSGSSERSLSTVTFAASTNMSIRNDNYSKAGTAHDCESSVHDTKSSLEIFQADTKMSACSIQQVETVKETTIMAGNQIYSKACTEVHLDDLTCTSCLVDNSDVDATNADQYVYGDDMYKQQSVDKRSTALQATFWHRFDGPEYHSIAHCTAGGCSSIATSFVFTPSEYIKQQLQVGSQYQNCWNALVGCLRRGGIASLYTGWGAVLCRNIPHSILKFYAYESLKQLLLESEPANAKLDSGQTLLCGALAGSTAALFTTPFDVVKTRVQLQALIPTSKYEGVLHALREIFQQEGVRGLYRGLTPRLAIYISQGAIFFTSYEFLKTIMFPEQELPAKSF >Et_6B_050065.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:5100287:5101255:1 gene:Et_6B_050065 transcript:Et_6B_050065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAMHTRKAKLKTHLVSAKARLKQHVTARRVVLLAAAACSAFLILLTLRTLNAAAASSAGAAAASTPVAVAVHDSQPQQQNKQQKRECAKVPAAVADALVHYATSNATPRLTAAEAGAAARVLARRAPCNLLVFGLDAGGVLWAALNHGGRTFFLDADAETVAAVRAARPAGLDLDAHPIAYQEERAASLADADELLALRDSPDCTATTKPALSPDHLERSPCKLAPRALPPAFYEAEWDVIMVNAPAAASAIYTAGVAARARRPGAGETTDVLVHGVDAPAEERFTRAFLCEGYIKEEAGRARHFAIPSHRDKEAVPFCP >Et_10A_001342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23588498:23590139:1 gene:Et_10A_001342 transcript:Et_10A_001342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YTRCFNVQFLCRTIQGATQRRFLKHRHQHDSPSSILKSGGNTQSDTSSKHSGLEHEASKSFKLPHWAIYTLAISGAVLLVIIVTTSLYLVFSRRNKDHTVMPWSTGLSGPLRKAFVTGVPSLGRAELETACEDFINVIGTSSDCTWYKGTLSSGVEIAVVSTSAKCTEDWSDRLEDQFRNKISVLSRVNHKNFMNLLGYCTCDESFTRMMVLEYAPCGSLFEHLHIREAEDLDWPTRLRIIMGVVYCLEHMSQLDPPVMPTNLSSSSIYLTEDYAAKISDNEFWKDDKDAAMRNSNTDEQSVVYRFGILLLEVISGRLPFSEDHGLLVLWASSYLDGKRPLAAMADPTLRSSVPDKDIAALCDVVRACTNREKEKRPGMAEVARMMRGVTALSPEQASPRDNPLWWAEFEIASSGTG >Et_5A_040571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:132543:135111:1 gene:Et_5A_040571 transcript:Et_5A_040571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVFTAAAAAEAVLLPIPAQPRGAARPMIRVVSLAPVPMRGCRRRRVSASASTPSLGDSGSDRAGVPAPASRDPISLPRPLTSADLMEPTGDGLKVAYQGCPGAYSEAAARKAYPSCHTVPCEYFETAFQAVENWVADRAVLPLENSLGGSIHRNYDLLLRHRLHIVGEVRLAVRHCLLANRGVKIENLRSAMSHPQALAQCEQTLTKLGIEHREAVDDTAGAAKLIAEQKLQDTGAVASSLAAELYGLDILAENIQDDTDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALRKINLTKMESRPHKKRPLRIADDNCSTPLKHFDYLFYVDLEASMADPNAQNALGNLKEFATFLRVLGSYPTDVSEA >Et_6B_049591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:715425:719553:-1 gene:Et_6B_049591 transcript:Et_6B_049591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPRSFNSNTARYGAVFMTALDSSALFIFFPFITGRQLVKLHSCNPCWAPFLKKPFSRFPRVLGTKDGKSTSIRSSDCSINSTVDLKESPARSSMASPSASSSSFFKSLSESRSLKFSGFSSPATISSTHLEAFRVFAATWNVAGKTPDKGLNLIDFLPSDDYSDIYVLGFQEVVPLNAGNVLVIEDNEPAVRWLALINHALNRPSPSDASAFSDASMSLSFPSTAASPPALATPSSSPLDPSLFHKASHREVRRAAITRGRRLKSCSCPEDRRPRRSYRSPCLMGCGGGGKNARDVEGDATTSDDEEEVVVAVDDEASVANNDSFLGSDVKNLTAAAAAARRRERYCLVACKQMVGLFATVWVRRELVPHVAHVRFSCVGRGIMGYLGNKGCISVSMSLHQTSLCFVCSHLASGEKEGDELRRNSDVVEILKNTQFLRLCKRSGRRIPERILDHDRVIWLGDLNYRIALSYSEAKKLVEANDWATLFEKDQLKTERENGVFRGWNEGKIFFAPTYKYSWNSDNYAGEDVTSKKKRRTPAWCDRILWHGEGIVQLSYIRGESKFSDHRPVCSVFIVEVSVLDNKLIKAASGPNMKVGAEELLYTQTTN >Et_5B_045256.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1503252:1503782:-1 gene:Et_5B_045256 transcript:Et_5B_045256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTHRRQLRFRRRSPELRALATSTTTTTRFSITLHRHNHTRDACACYVSTSTRFVSPSPSCLASAGRDDRLLIACVHATRVDVWTQQQDGEPAVWIHAPLMIQIPSAAPPDPHSPIRRTCSSAVGFTLYSRFNAIFVVDLETWDMNKVMDLPQPLPYTMDLPEFFLRHLAFSRGY >Et_1B_011687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2496568:2511418:-1 gene:Et_1B_011687 transcript:Et_1B_011687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTHKSGRPPATPMLTRRNAIRQSSYVPRPVVPAPLSRSSDQPDALLHSSRTEESDSEDGDELLRATEEAGEVEVGGDRTALSEGSSLTAAMAVKRSITHWRKLELVGAGSFGKVYKAVSEDGFVFAVKEASLIGPESYAKQSASQLEQEILLLSQLEHKNIVQYFGAKKEETVLSIFLEFVSEGSLVSAFEKRQLDESTVSAYTRQILAGLSYLHHHNVVHRDIKCANMLLELNGTVKVGYFGMAKQIKVWKQKRSYVGSVYWMAPEVIREDPYGRSADIWSLGCTVLEMLIQRPPYPDEDWVSLLHRLSDRKIACVKYCSRNLCIQMQNWKCTCRGQLPPIPSSLSQLARDFLRKCLRTMAAPQRPRPRPRPQLARINAMRHSYSASEEEDEGPGDAAAELGAEFASQTSFRIRGGRGAEVADLFRKLGLSGPEDFTIPPAVYAAAMAHIPNSARRRGLSLELAADAEVPSPPELPETSGRDVMVATRIEAAGDGEEAVLATETVQIQPEVIEISARSGRSVRTKSNSRVVQLDTSEASRREVAAEVKEATAYDEKGNSDAAKVGRLRVERATAVAVETTRETTGGPGVQVAAESTSRDTEYFFSPSPHRRFKRTITSWLKGQHLGSGSFGSVYEAISDDGFFFAVKEVSLMDQGLNGKQRIVQLEHEIALLSRLEHENIVQYFGTDKGDGKLYIFLELVTQGSLAALYQKYHLQDSQVSAYTRQILNGLHYLHQRNVLHRDIKCANILVDASGLVKLADFGLAKEMSILSQAKSSKGTIYWMAPEVAKAKPHGPPADIWSLGCTVLEMLTGKVPYPDMEWTFALLKIGRGIPPEIPSTLSEDARDFIKKCVQANPNDRPSAAQLFSPIPIQEAASMLLTTISRHLMPEQSKHLANLPGLRMRPIAGHELVHAAVYLHGAVTRPFLHPACFHQAPDLPSAIPLPDGRHVVGVTTGVQVEEVLHQLLGHVHVPVHPAPGKESSQHHLVRPHWHGLYHAHGLVEAPGAAEQVDHAPIVLHPRGDAVLCRHEVVVPQPLLGEAGVAAGREHASERHRVRLEPLPPHRLEVLDGLRAPPVGREPDDHGVPGNHRPLPHRSEHLRCQLHLPAPRVHVDQRIGDADIALQPLPDDEPVHPHPEPECADLGAGRDDGNQRDVVRLHAVQQHGAEAVDCLVVAPVLDAPVDERRPGDLIRAGHSVEHLERLGHETIPHESRDHGVVCDDGPDGHRAEQLEGDVREAGREVEAREAGGEEKVGGEELERQSVEREREGAG >Et_4B_037601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21709640:21714140:1 gene:Et_4B_037601 transcript:Et_4B_037601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRAKLDTAAQRSRARLTISNLTKVYKKVGAVLVVVHGVKEPEARVGAISLKIHSKNKAIELDPNDATLYSNRSLCHLKIGEATKALFDANTCIKMRPEWLKGYYRKGSALMSLKEYKGACDAFMAGLKLDPNNAEMETMFRQAVEAMKKEHAGRKNLDSID >Et_9A_063319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19880276:19882241:-1 gene:Et_9A_063319 transcript:Et_9A_063319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGISMGRRHEDPIQERRRRKVAMPRISAKRLIQMAKKWQRMAALARKRLTSTLPEETDGPSTSVASKGHCVVYSADGRRFEVPLEYLGMTVFSELLRQSREEFGFPGNDGRITLPCDAMVMEYVMGLLRRDASEVERALLRAMVRPCNYGNNGFVESRLNRQVAV >Et_3B_028545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16678420:16683092:-1 gene:Et_3B_028545 transcript:Et_3B_028545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGTEDYEQEQEMEVEALQAILMDEIKEIDPSESGLSTTARCFEILLSPQDDDFDESAYVPVQLALIFAHTEKYPDEPPLLNVKSVRGIKPDDLVSLKEKLEQEATENLGMAMVYTLVSSAKEWLSEHYGQNGGDEEPEDTEAEEEVIVPHGEAVTVESFLAWRERFEAELALQRAKLMPDSALTAPKEKKLTGRQYFESGRHTVKGASTVADEDEEEEEDIEFDDDFEDDEEDMLEHYLAEQSRGKSSA >Et_7A_051378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17688043:17689086:-1 gene:Et_7A_051378 transcript:Et_7A_051378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLIPFSVISWNIFIAATVLRARNNPSTKMLYTTREAMSEFKVIVSGRMPLDVISLNTLVASFHRAKVEYPLIRLV >Et_8A_058300.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4540239:4541048:-1 gene:Et_8A_058300 transcript:Et_8A_058300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSHLAAAAAALLVVLLPLAAAAAATTGSKASSPTAAAPPAPPNITALMAKGGCKSFASLVASSPDAHSTFQSAVDGGVTAFCPSDDALRPFMATYKNLSAEEKASLLLFHAVPVYYSLRSLKSNNGVMNTLATDGTASNYNLTVQNRGDEVTLRTAATDGAAARIRSTVYDRDPVAIYAVDTVLEPVELFEPVEAPAPAPAPVADAPRAAKKQQARHRHVADAPGPAAADDATPADQKKGSKKNAAQGAPCLRWWLAAVAMAAVLLA >Et_10A_002139.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:23524132:23524734:-1 gene:Et_10A_002139 transcript:Et_10A_002139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPILPTSVPTASPAPAPAAPTAVSSDDAAASTPAAAFAFVDRSALSRPESLSEATSRLRKNLAYFRVNYAAVVALSLAAALLAHPFSLAALLALLAAWCLLYLLRPADAPPLAACGRTFSDKEVLGGLIASSAFVVFLTSVGSLIFSALALGAAVVCAHGAFRVPEDLFLDEADQAAGAGNPLLSFIAGATAGRV >Et_9A_061549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13520438:13524528:-1 gene:Et_9A_061549 transcript:Et_9A_061549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAWSRSSSSAAAARRLQSRYDLFMGFDDADAGVDDMVEPSGGAEPYNCPFCGEDFDFVGLCCHIDDEHSVETKSGRRRRVRKMSSGSHSLLSLLRKDWKDGSLQSFLGGSSYVSNPPAAAPDPFLSSLICNFPVAEPSKDLHSDPSDNNSLLNKFPDEKTVVRYVTSSLFFLPPHSAPSLPRYHCIWFQQHADAGSSEEDELRRLVAKTVEA >Et_4A_035500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2703203:2704213:1 gene:Et_4A_035500 transcript:Et_4A_035500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRVFVVLVVLAATFFAPAKAAWPGWNWATATFYGHADGSGTMGGACGYGNLYQAGYGTNTAALSSVMYNDGAAVKCWREGGLRFKIGGSNNFHLVMIMNVGGSGSLRAVSVKGTKTGWIQLNRNWGANWQCNSGLVGQELSFAVTSTGGQTLYIYNVVPSWWRFGMIFSSYYQFQY >Et_4A_033591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24879199:24886834:1 gene:Et_4A_033591 transcript:Et_4A_033591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPAQAGASDRDRSPPPPPPPPAQSSAAAGISSPLAVVCSFWKDFDLEKERIGLDEQGLKIAENQETSQKNRRKLAENTRDFKKASPDEKLSLFNSLLKSYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASMADQDQKLSELETENRKMKLELEEYRAESAHLKNQQATIRRLEERNRQLEQQMEEKVREMVEMKQRSMAEDSQKTLEALKEREQALQDQLRQATESVKNMQKLHESAQSQLFELRTQSEEDRTAKEAEVNLLMDEVERAQARLVSLEREKGDLCSQLQTTNEDAHQNSSLNAKEKIISELNAELRNIEGTLSSEREMHVNELKKLTALLSEKESALMELKKELQERPTRKLVDDLKKKVQILQAVGYNSIEAEDWELATNGEEMSKLEALLLDKNRKMEHELTQLKVKISEKSNLLEEAEKKITELTSKVEEQQKLILKLEDDILKGYSSTDRRMSLLNDWDLQDIGSSDASEGSDPRQASPDQDQSSMLKVICNQRDRFRARLRETEEELRRLKEKYEMLTVELEKTKADNVQLYGKIRYVQDYSQDKIVSRGPKKYAEDIESGSSDVEAKYKKMYEDDINPFAAFSKKERDQRYKELGFRDKITLSSGRFLLGNKYARTFIFFYSIGLHLLVFTLLYRMSALSYLNTTPGHDEIILDAGNQTLSHMF >Et_9A_063532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6305913:6309729:1 gene:Et_9A_063532 transcript:Et_9A_063532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALGSLVLSYPEFLLAALSFLSLAALRLALRSRRLLVPVSWPVVGMLPFVFGNLGRLLDAATDALRECGCTFMFRGPWLAGADFLVTCDPAVVHHCLTANFGNYDKGRGFAEMFEVVGDGLLVADAASWARQRLVVAAVFAAPAFRTFVLSTMARQAERLVAFLDHAAAFHNVVELEDVFTRFSLDVSYASVFADDLGTLSVAAAEAPMPAFGEATRVASEAVLFRHVVPAWWWKLLRWLNVGIERRHAEAKAVLDDVVYREIEKRKKSQPPIAGGQGEDGGGGGSDLLSMFMAWPRDPSMSDRERDQFLRDAAVGYMFAAKDLIVAALTWFSYMLCTHPHVEAAILAELRSLRPTAAVGKHAVFDADALRAAPYLHAAVLETLRLFPPAPFEEKEALGDDVLPDGTRVARGTPVVFCIYAMGRIEGIWGDDCREFRPERWLTGSGRVRHEPSHKFAVFNCGPRSCLGRNLGLSNLKIAAAAIIYNFRLELVEGQVVEPLNSVVLHTKNGLRGSRNWKTNQKSRSSKSWPLSRVPIVVLATIKKSCNSQQTAIVLKLRTPLSEQNLGEVSTNESWVPGE >Et_1A_008290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5991409:5994552:1 gene:Et_1A_008290 transcript:Et_1A_008290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIPKHTNGSLAELQGSSVAMLKAPPARFLASPDPRGWRRRARRRLMPQVSAALMTNPAYFEVGRYLGCYGFMNITSYSSSQFGQPPNADGIQELDLGYSAEEIERLRVQDVGEGEVKIRLYEGRVVQGPLRGTKAVFKVYPGAHAGASEADLMALNELRTHSFLQSDRRDICDNIQFLLGAFETATGEQWLAFRDDGRYSAADYAKFTSERQSKEQTDLPSWNPFSRAYKLELRRYFVLRLLNGAMCGLVHMHNRDRLHQSIGPSSVVLNTVIENEGRYLVPTLRDLAFSVDIGYGAGLLVAYMAFIPFCEAGIIDGISLQRLLESTFRLDIYAAREYCLEDDRLADAVNFLDLGDGAGWELLQAMLHPDYRKRPIAEAVLNHRFISGAVLRNYGKL >Et_1B_013019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4869251:4871342:-1 gene:Et_1B_013019 transcript:Et_1B_013019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHRARAASVLLLYVAAACHVLPINRAAAATLPDPAPLDPALVFPSAATPAQPTATGGTIPAFPEQSDTATSATCPLAPSPSLLPAVRSSCDATAPRLRCCPALAAWLFAAYAPTGLAARPARSAAAVVDMPVPPDDSEACAGAVDRALRAGGAALPRPRGGGNGTCDVAFCYCGVKLRRMACGPPMVQGGTWAPADEVAKGLESDCARPGVPGCSKCLRALTTIKPNPAPAGKKQAGRPSENDRDCQLMGIMWLLQRNATRYGAAATAVIQALMAVDEASAAGVAPLADAGPSAACSLPVDDMPLPAEYAQLNAAGGAPGACCFPLILLLAVLSFRVVYSL >Et_2B_019795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14003393:14007515:1 gene:Et_2B_019795 transcript:Et_2B_019795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPQAVSAPPSAAASANPGKRKRPSKGKGGKSKKKKIARSDEPLRRRTNKPSAKFFKLLKKRARDYNSDDEEEDKQQEEEPPSARRRRHDDNNDDEEAHSGDEEEAASSSGEESGGTGAGGVTRFEQGCRAFRVAFLKIMSKKLPDDPLGPILSANKKLVAAKLAEEVEEHKPKAEARKEKREAAEKGHVLPKEILDSHDKELMKIATQGVVRLFNAVSKAQKPRKDLNPSSTRDAKVLAKERKKTFLRELESTSHQDKKSQASSSFSKHIGKDDDEPGWAPLRDTYMLGSKLKDWDKMQDSAAANEQTEVPVGDSSDEE >Et_1A_007599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36299205:36306632:1 gene:Et_1A_007599 transcript:Et_1A_007599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESKRHRDAQPAPTLPDDVILELILTRVPASAAVRFRTVCRAWRAALTSDHFFRAHRAARAAGQPEIVFFAPRPGDGGSGSTAFYTCKLDLATPPNASSSPPLDKAVASGSELVTVDNLRARDLVLSGTRPCNGLTLVFQFRRGGSAYDVCNLSTGEHVSLPPCAPAWRLAPHIGAPRNVLSSTGLGFDPVSGEHKVVRLYKGWMDHRRCEVYGLRSGGWRPCAGQVPPHAVYGLNGRPPVFVDGCFYWHIDTFGNFGDALAQLFITSISLTVDTEQFGWVRPPEELAGYSFHLAELDGSLCAVLDLRLDSERYELWTLPAGSGSPPSWSLRLRISLASFPRPIRDRMSCGIRMLPLASSFRDPASHELPRGVRLRPRERQQVFSMSGFLTALLEIEKINTVTVPGEPRLLLNIAVHEDSLIGVRHRPAAGDGDQLKMKVGSGMVEACQPRRTRPAPKPFHSQAPPPPLPEDVILEQIVTRVPAAAAVRFRTVCRAWRAALTSDHFVQAYRAARSVAQTQPEIVFFAPSPGAGGSRRGTTFYTCKLDLTTQPNGSSLSDEAVASARELVTMGNLDASHLILSGTRPCHGLTLLFELHPSVSTYHVCNLSTGEYVSLPSCTPAKTWASYIYRPCYVRSSSGLGFDPVAGEHKVVRLYEDKEGQQRCEVYGLRSGGWRPCAGQVPPHATYGLNGRPPVFVDGCFYWHIDTLFSMREPILSMAVDTEQFGWVRPPEELTSCSFDLSELDGSLCAVRTLQGEYKLWTRTAGPGSPPSWSLRLRISLASLPQPTRDHLDLGTRMLPLASSFGGKILLATSRHKVHTYDPENNSANRVFSMADFTKTTGEARLQLLNIALHEESVMGVCHRPAAGDGDQLKMKLDSPASPPRGSSKRPRHRQPAPSLPDDVIVEHIPAAAAIRFRTVCRAWRAALTSDHFVQAHHRAFGADTQPEIVFLAPRPASGGSSTAFYRCKLELLTQENGSSSLASASARELVTVDNLRPSDLVLSGTRQCHGLTLLFQPHAPAHHVCSLSTGEHVALPLCTPARRWIPYIGAPCYVLSSTGLCFDPVAREHKVVRLYEDWETKQRCEVYGLRSGGWRRFAGQVPPHAAKGRPPVFLNGCFYWHIDIESKRNINAQQEAISFSTPESILSLTIDTEQFGWVHPPEERMHRVFDHLADLDGSLCVVVDLRLTVEEYELWTWPPGSSSPPSWSLRCRISLASLPGSMRDDLGRGIHMLPLASSIGGKILLATSCHEVHAYDPERNSSSRVFSTDDFVNAPGEAMPLLNIALHTESVTGVWHRPAAGHVEQLLKMKIGSNTVARREGLGRRPKGFAVTPQLVKLMLRPALEQYHSIINMYN >Et_5B_044712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:567817:578363:1 gene:Et_5B_044712 transcript:Et_5B_044712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSAASFFLLLIAASFFFLITHARRQARPAASCIPKERDALLDFKHGINDTYNLLATWHRGQDCCRWLGVTCHRTGSVLRLDLSDATLDGSLGGQISPSLISLDHLEYLDLSAINDWPGPNNTNPEFLGSMKNLRHLDLSGGLKFYGSIPPQLGNLSKLEYLDLSFTSFAVGRVPAELGNLSNLRHLGLGYIQGIDSLGSPLDISWLTRLHLLEHLDMTEVNLSTVAADWPHVLNMIPSLKLGHLNLTKIVQLDLSFNYLGRPVSSCWFWNVTTIETLELSATHLYGAFPTALASLTSLQRLGFKDNANAATMPVNLNDLCALEHLILGGSLSQGNIKDLVDKLPHGTNRCTSLFDLDLSNNHLTGVIPSSNSNSLVKETGLAKKRKSQQKNVSNRLGIFFLWPSGLPPREQSPPSPEIGNSTSLHTLILHSNHLGGQIPILPRSLVVLDVSLNSLSGPLPLDFGTPNLRALVLSSNYITGNVPRSICEFQDMIFLDLSNNFLEGEFSQCSRIPGLALFLLSNNNLSGQLPSFLFSSIVALMDLSWNKFCGMLPLWIGEMQNLIVLQLSHNMFYGHIPVSIANLTNLRYLNLASNNISGAIPWSLSNLTTMTGKHPIKEIDWFELESDPEHVFGVILSVVMKWREFNYGHRIYEVVGIDLSLNHLTGGIPDEVTSLKSCLDLAYNSLTGRIPSGGQLDTLYTGDPSMYDGNKGLCGTPLDRNCSGSELPEQGSRKASEEESVETMFLGLESGFMVGLWIVFCTILFKKTWRNAYFRLFDKIYDKVYVFVVVTWGKLAREVDT >Et_1A_007516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35437535:35444804:-1 gene:Et_1A_007516 transcript:Et_1A_007516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAALPEAWSQVRAPVIVPLLRLAVAVCLTMSVLLFLERLYMAVVIAGVRILGRRPERRYKCDPLPEDDPELGSTAFPIVLVQIPMFNEREVYQLSIGAACGLSWPSDRLVVQVLDDSTDPVIKEMVRVECERWARKGINITYQIRDDRRGYKAGALRAGMKHAYVRECEYLVIFDADFQPEPDFLKRTIPYLVHNPQIALVQARWRFGTAGVWRIAAINEAGGWKDRTTVEDMDLAVRASLKGWKFVYLGDVQVKSELPSTFKAFRFQQHRWSCGPANLFRKMLMEIVTNKKVTIWKKFHVIYNFFLIRKIVAHIITFSFYCIVIPATIFVPEVRVPKWGYVYIPCCITLLNSVGTPRSFHLLFFWVVFENVMSLHRSKATLIGLLEAGRANEWVVTEKLGNALKMKSANKANKRQFMKQLMRIWDRLHVTELGVGAFLFSCGWYDLAYGRDYFFVYFFFQSMAFFIVGIGYVGTIVPQWIE >Et_6B_048448.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:5387137:5387241:1 gene:Et_6B_048448 transcript:Et_6B_048448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTRYIILLSLYDKVLPSDVVWTDTCVAHMQFY >Et_2A_016693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27245214:27249141:-1 gene:Et_2A_016693 transcript:Et_2A_016693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEPGPAPANPACAVTFGRSTLLGRHLAAALAASGLWSAVAVLDPSPSPPNAPSDSPLARHLAVDLSDPAALASALSGAAAVFHVDPTTAASVSDGSFLSLHRLAVEGTRRLLAACRASGVERLVYTGSADVVATGARDVINADEDSVHYPDKFGNVVSELRAQVEMMVLSADGMDGMRTCVLRPSNLFGPGDSSLVRFVAGYARSPLGKFVIGGGSNMSDFTYVDNVAHANICAEQALCSNAASVAGKPFFVTNDEPVETWEFMSCIMEALGCQRPRIKLPAKLLLTAALFSNIIHHKLGFQMLSTPLLHPDTIYFLSCSRTFNTSKARRLLGYDSIVSLQESIMRTVGSISELPGPSGLSRQRDSCESSKAEKLLGSGTAADILLWRDDKRTFSYISVLFLLFYWFLLSDRTFIASAAKILLVTSLALFIHGVLPPQVFGFTVEKVTSDYFEVSGSSLRNSIMCLAAAWNGGIHKLRVLAEGDDWSALLKALAFLYCVKLMLNVQFRVLIGLVSASLFIVFIVYEQCEKEIDSFVAIASVKIKWLMEKAAKNLPASLKAYIS >Et_3A_026239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5930553:5938653:-1 gene:Et_3A_026239 transcript:Et_3A_026239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLAPFPPPPQNQQHKQERHLRLHRPGSTSEQLSPPCASHTIGAYISERPDTDGNPPQWVPKNCTSVSVPVREIVQEEPALLHSAAATTTNGTGGNASCAPAICGDLNITYPFTLGGVQPLECGFPAFELTCDASRAYLTRSFKERLYRVYSISYDTNSLVVAVEATFSGDVKCPVPDFNMSSGLALFPVNISQSNKNLTFVYNCLVPPRIQLSPRCANHTIGAYMTERGDPPPWVPANCSSVSVPVRATVQKAEPTRDYVQLINDGFLLEWPASRDCDACRRRNGECRFVELSFRCICSNGRPCHSSLGKEALAIKIGAGIAAALLCLTILGAVYVVTLHKRRKRKRSASLVGLIRREGTPLASLRKEFSITGSPRTHIFTYEELDEATDGFSDDRELGVGGFGTVYKGT >Et_3B_028255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12320249:12323229:1 gene:Et_3B_028255 transcript:Et_3B_028255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGRPADRDQARLQQLGYKQELKRGLSVVSNFAFSFSIISVLAGVTATYNMGLRYGGPASMTLGWLVVAAFNGCVALSMAEICSAYPTSGGLYYWSAKLARTDWAPLASWVTGWFNIMGQWAATTSVDFSLAQLVQVILLLGTGGANGGGYMASKYVLLAIYAAILVLHGLINSLPVHWLSWFGQLGAFWNAADSFKDVLTSIASNHDLTILGVIVLLILIPTVATKRTSVEFVFTHFNTDNGMGIHNNVYILAVGLLVSQYTMLGYDTSAHMAEETKNADWNGPMGIITSVALASIF >Et_6A_048094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6262753:6265145:1 gene:Et_6A_048094 transcript:Et_6A_048094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRVAAAALDLEAGGQVMPWGGSRLDTTLNTAAHVLWLAFSALLLFHYTIKDWLTAVYRRWKWRNIDYSCPIDPDVSVQLVRDHQPSFSKLKAEDKLLATECLEYYNARNPGFEYVLASGNVEQFAATNCTGAWTHGNFVARLRRKGCFSFLYGPPTLFFFELNDSPCNGGIVTCVTLADRPEAQIDPEHCPHQSINKKAVIIKGSITATSKGIGM >Et_8A_057395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2595373:2595643:-1 gene:Et_8A_057395 transcript:Et_8A_057395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNVRGRYSQRIVTQDYDSPHYGNPAIYHVERLEIGSLYELMFQAPWRKGLIAWDEDGLPFFSIKKASKIALTFLESLMSWR >Et_7B_053276.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:20270159:20270830:1 gene:Et_7B_053276 transcript:Et_7B_053276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHFVFPPSEHENLPIQTSFEQVKPEQDVQAASTSVEDYSFKSVGAKNDSVSESIEFFDEGRNLSVDDIEMRDDAPEYGSAHAEDGGRGFVPHDEGTEAGGESDERPDQPSKSADSKSDDAGASCKCWLKKHMTCLYHQAKETNAIWSVVVAAAIVGIVIFGRYKDKLHINPLKWCSGSAVRYVNILFPYTLFLRFEVYSNHICFSLLCKIVVGQLKYMLVA >Et_7B_056019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9396028:9398175:1 gene:Et_7B_056019 transcript:Et_7B_056019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKSPQNPREQAEEDEKRKAEEAEKEAKKEAEKSRLFRVRRTVLEMLVDRGYDVDKNEITISKDEFVNRYCNTPSIRDNLVLSHSLTEDKSVPCPVPFRSSLAAAARRRVSPRLGSLQIYVFFVDEVKPGMKTIRGYVDKMNAANVSNAILVVQQALSSFARSEVQKSSPKYNIEVFQESELLVNIKNHALMPKHELLTPKEKADLLERYTVKETQLPRIQITDPMARYYGMKRGQVVKITRKSETAGEYITYRYVV >Et_2B_020642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22211096:22216848:-1 gene:Et_2B_020642 transcript:Et_2B_020642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADLGAPRELTGLQWRRALYQPELPPCLQGMRIKVEFGDSTTTIDPKCADIIAQAFPHTFGQKLVHFLGPNAVIPDTQVKEEQPLIRVGVVFCGRQSPGGHNVIWGTYDAMKAQNPQNVLLGFIGGTEGLFAKKTLEITHDVLLSYKNQGGFDLLGRTVDQIRTSEQVNAAMSTCCDLNLDGLIIIGGLTSNSNAAQLAETFAARNCRTKVVGVPVTLNGDLKNQFVETTVGFDTVCKVNSQLISNVCLDAVSAGKYYYFVRLMGGKASHVALECALQSHPNMIILGEEVALSKLTLMEIINKICDGYHGVLLIPEGLIESIPEMYALIQEINVLYSNNVHTNDIPSRLSPWAAALFMFLPPFIRSELLLHQESDNSAQLSQIDTEQLLAHLVEAEMNKRMKEGKYKGRKFSPVCHFFGCQARGSLPSKFDCDYAYVLGHNCLQIIAAGLNGFMATVTNLKESTDKWRCAAVPLTSMMSVRRHLRGPGAVPVGKPVIHPSPVDLKGRVLREKASSFLLDDFYRTPGGIQFEGPGADTKPITLTIEEQDYLGDIEILQDYLDKLRTLLKPGCSREILKAAISSIESANNVLKVMSVPLNAELPLYRFN >Et_1A_009193.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:32785161:32786135:1 gene:Et_1A_009193 transcript:Et_1A_009193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTPRSSLAISSESHNNLLHVSQPPTTEALPLVLYKNYWLFPHHASNVMMLQDSFQARHDDTILATNPKCGTTWLKALAFAITNRSRYNISDPQHPLLTRHTHEVVPFIEIPLDDKELTYVDTLASPRVLATHMPVSLLPKSVADHGCRIVYLCRDPKDAFVSGWHFGNQVNLGSGAVDLDTAFNMFCDGFWPSGPFWDHCLEYWKESIARPDKVLFLKYEKMMLEPVKSVKRLAMFLGVPFTSEEENHGVPEEVVRLCSFEMLSGVQANRMEEIVDGNLIIQKSTFFRRGKIGDWVNHMSEKMGRELDMIVGEKLKGSGLVF >Et_7A_051972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3326615:3335553:-1 gene:Et_7A_051972 transcript:Et_7A_051972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATCSEVLTLLLSFVLLLSPRSASAVDTFSKGRNITDNGTTLVSADGAFTMGFFSPGLSTKRYLGIWFTVSSDVVCWVANRDRPINDNSGVLFVSDTGSLVLLDGGSGRVAWSSDSTSASPVEAQLLNSGDLVVRNQGSTATLWHSFNFPQNVFLSGMKVGKDFFSGAEWYLSSWRSADDPSPGAYTRRLDTNGLPDNIVWQGNVKTFRSGPWNGVEFGGIPEVRSYKDGLFDYQMVISSREITYGYQNRPNATYTYVVLTDTGVVRRLAWDASGKGWREYYQGPRDVCDAYGKCGAFGVCNISAAATSFCSCLAGYSPASPSAWPKDASAGCRRTVKRDCGQATDGFVVVRSVKLPYTHNATVDRGITVEECRARCLANCSCLAYAAAETRGGGNFSGCVMWSDDLIDLRYVDSGQDMYLRLTESELPPPSPPPPSPPSPPPDAKSFPTAIVAGASVGSLIGIILVALLILVVIKRRRKTERQADPRPVEHPPFSPPSTPSVEMLRATSPPTVPFVELSSLREATGDFSESNIIGRGGFGIVYEGHLPDGRNVAVKRLNQSSLEDEGGDDFMREVRVMSKLRHPNLVQLLSYCRDGNERILVYKYMKNKSLNLYIFGGDPRLRALLNWERRLEIIRGVAKGVAYLHGLSDEVIHRDLKPSNILLDDNWRAKIADFGTAKQFVIDQSDPTLVQTAVKLPDTHKASVDMSVTEEQCRARCLANCSCLAYAAADIQGGGVPSGCIMWTGDIVDLQYVDRGQDLYLRLASSELPPSPPPSPSRQFPVAVIAGSSAAALVVVLAILLVLVIRRRRRRRRRSPIPVVPAAQSIQPSPAWIVPFIELHKVTEATGNFSHDNIIGRGGFSVVYKGHLPDGKMVAVKRIIQHSFANGGAEVFMREVEVMSKIKHDNLAQLLSYCNDGNEWILVYAYMENRSLNLYIFGNPEVRASLTWTQRLQIILGVAKGTAHLHGLNEEVIHRDLKPSNILLDDNWRAKIADFGTTKVFIDGQTNQTLVHTPGYTAPEYAAKGSLTLECDVYSFGVVLLEIVSGQRNSSMPTLLSKIKAHMSVNPKGRTCLTTQPDLLPVKRVA >Et_10A_000663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14886096:14887162:-1 gene:Et_10A_000663 transcript:Et_10A_000663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMIHLVVAITALLSIHSASADWSSAVATWYGDRHGAGTDGGACGAPFSAMITAGGPSIFQDGKECGACYQVKCSGHASCSDSPVTVVVTDSCPDCVDEPVRFDLSGTAFGAMAAPGQADQLLNAGRLQIQYTRVSCNWGNGLAIAFRVDGGSNANYIAVAIEYEDGDGDLSGVELMQSGAAWQPMQRSCGAVWQYNSGATLQGPLSIRLTSGSGKTLEATNVIPGGWTAGATYRSVVNYNPN >Et_8B_058924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1183396:1187387:-1 gene:Et_8B_058924 transcript:Et_8B_058924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDETSPEPSPASASASSPSARLNAAAPEFTPRSAAAAQHHGNNHPHRRGPQHHHHHHHHHHNQHHQLHYQPRHKPAGDDEGDAAAPAEEKGEGAAGHAPRGLPDDLARRVVKQVEFYFSDVNLATTEHLMKFITKDPEGFVPMSVVASFRKIRELVYDRSLLAAALRTSSELVVSDDDKKIRRVHPFTEADVEEVQSRIVVADNLPDDHRYQTLMKIFSAVGSVKSIRTCYPQGNDVAGSAASKTSRIEMLFANKLHAFVEYGSVEDAEKAVAEFSGGRNWRDGIRVRSLLGCLKNGLGQGRKGGDEEYAADEDGPDTTGHPQDYEIDDAVQSSESHLDHQAEDGSHDKGGMRQGRGRGRGGRGRGRGQYYSHNRDAHHPVGTPPSSHGGLGEHPPVVSKPPPGPRMPDGTKGFTMGRGKPQVPSNAA >Et_10B_002580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17051318:17051995:-1 gene:Et_10B_002580 transcript:Et_10B_002580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASQSHAPQPLPPPPLSLPSPHATPRLGRHRASSSSSSSSSSSAASSYSFCPSPSPAASPRASTTSVVPFSWERHPGVPKNSFRDYLAETSSAAGAPLPLPPPLRPAPRRRRRRHSDPFVAAFAECTRDGDGDEDSKLWLARAKPTASGRAERRWWLAGGGFVGFLDLYGCKSAMAVADGAFLARRPVVAHGRASRR >Et_8A_058237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3158859:3161364:-1 gene:Et_8A_058237 transcript:Et_8A_058237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSRHRLRLLLLLLVALPVASVARIGGVKEEEHAAACDATDEAASLRPDRLTVLLSGYSERRLPLLRAIAGAYAAHPLVLAVVVRWCNPSTPDRVLLRGGGGFSPGVTLRRAASASLNSRFLPDPAAIRTAAVAVADDDVLPDAAALSFAFAAWQQQQQQHQPSAPLVGFFPRSHRLDLARGRWAYAAAEPARYSMVLTKLMVLDAALLRAYSCSPELAAARAVVDRERNCEEILMNFVAAEASGRGPVLVEAGSVRDWGDPRNDANVGSAGEEEQGGAVKDVGLSATGGTGHWEKRGECITEFHRLLGRMPLRYSYGKVVEAAGGEQGLCSKGGRLVRISLNCTKCPRVVRRSGAARANNVEAAGRGADWTDPFFGYDDDVHGGWELCVDSTAPRTDVEPLDVIPTAGPVRSAWMRRRRDE >Et_6A_046412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14659378:14663495:1 gene:Et_6A_046412 transcript:Et_6A_046412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILMFPWLAFGHISPFAQLARTLVSGDEVRVTFLTAAGNLSRVKDMLASAAVAVVPLHLPRVPGLPEDAASTAELSADGAERLKVAVDGTRPQVAALLGELCPDAVLFDFAVLWICEIVAPLGVKALKFSVFSAASTGHAACPPPTSPQPPPASRSAHRVGAAAAHPAPPQCRLLRGGNNNGVAGGRMHILMFPWLAFGHISPFAQLARTLASGEHGVRVTLLTAAGNLPRVKDMLASAAVAVVPLHLPRVPGLPEDAASTAELSADGAERLKVAVDGTRPQVAALLAELRPDAVLFDFAVPWVCEIAAPLGVKALKFSVFSAIAGAFMSVPARRLHGPCDLASAPAGFPPGSAVAGGVPAYQAADFAYMFRSFGPGEPSVYDRVVAGTEACDGMVVKTCAEMEGRYVDYLSAQFGNKPVLLAGPVVPEPPRGELEERWSTWLASFPDGAVVFASFGSETFLPPAAAAELLLGLEATNRPFLAVLNFPKGSTDAEAELRALVPPGFEERVRGRGVVHTGWVQQQHILRHRSVGCFVNHAGFSSVVEGIVAGCRLVMLPMKGDQYLNAALFARDLKVGVEVARRDEDGWFGKEDVRAAIAAAAAEGGDGEGTKWREFLIDDAVQKRFADNLVRDLQKVVTVA >Et_1A_006274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:204049:206011:1 gene:Et_1A_006274 transcript:Et_1A_006274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPALLRAAAAELRRRARRAPFPLPALSTLLSPPPQAPASSCPEALISIRRPSFHGRLVLPSSFFPASPLSTSSEPSSDKASPAPLTWVHKWLPEAARPYALLARLDKPIGTWLLAWPCMWSITIAAMPGELPDLKMLALFGCGAVLLRGAGCTVNDLLDRDIDNKVERTKSRPFASGALTPSQGVAFLGFQLLLGLGILLQLNNYSRILGASSLLLVFSYPLMKRFTFWPQAYLGLTFNWGALLGWAAIKESLDPAIILPLYSAGICWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDLTKYWISGFGAACIGSLALSGYNAELAWPYYPFLTAAAAQLAWQISTVDLSNRSDCNRKFVSNKWFGALVFGGILFGRLAT >Et_10B_002480.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:10489767:10489820:-1 gene:Et_10B_002480 transcript:Et_10B_002480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNSPAPSPFCRDWIR >Et_2B_021912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6858522:6859423:1 gene:Et_2B_021912 transcript:Et_2B_021912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSHRLLGAVNLATLLLSLPVVCTGVYFRTRGGATECDRALQLPVIALGCAAAALSLAGLVGACGRRVPAAPFLWAYVASVFLLTVAAFAFTVFTFVVTTTNRGAASGEYRLGDWLQARINEPGTWRRVESCLAEARVCGRFDVYGGDAGVDFYRRHLSPIQSGCCKPPTRCGFRFVNATFWEAPRPGTSAAAAGSDGDCRAWSNDRQALCFECDACKAGVLATVNKKWKVVSVFNVVILVVLVVAYTLGCCALRSNGGGRYRKGSGAEQT >Et_2B_022727.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3873137:3873544:-1 gene:Et_2B_022727 transcript:Et_2B_022727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSRPPERHARSPSTPGERMVKKYKGVRRRKWGRWVAEIRLPNSRDRIWLGSHDTPEKAARAFDAAFVCLRGAGAADGLNFPSSPPAVARTCDADMEDLPMAGDVNMEETESSAFLSLWSFDDSGDSSQRRRR >Et_1A_008857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16196150:16196694:-1 gene:Et_1A_008857 transcript:Et_1A_008857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLEAAAAVEDEYTRDGTVDLHGNPVLRSKRGGWKACSFVVAEPTNHHGLMSIISAALLIWFRSSPERNPRSKPPPEHDAVGA >Et_1B_012757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34974682:34977171:1 gene:Et_1B_012757 transcript:Et_1B_012757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENWIPELKHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTAQGEELRKQIGAPYYIECSSKTQLNVKGVFDAAIKVVLQPPKAKKKKKAQRGACSIL >Et_5A_041076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17787420:17790548:1 gene:Et_5A_041076 transcript:Et_5A_041076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPRPAAVDVAAAEEDDAAWEEALDVDDSDLRLSPPSSSGLLRPSTAATPHPDKPSPLPHGQRIPGPASAVQDAMRLRAAGASPVLGRADAQAADADFLLHPWLSALQFLGKDHAWEQRGIRAIKANRELDRAPLVLGVVTSCKPNGFGDLSLTLKDPTDTICASVHRKVLSEGSVGQNISVGCAIVLSKVAVFRPSHKACYLNITKEKVVKHRQGGSFPMFERGEGCGSSNSAMVKLFGCEKMMPGRKELTDPEDNMDIDMHKAEPCHGGNSTSKPEEHQQDFCAANAGTLHPSKEMSATNATANNVSLLSHPKKVVSVASFAEWTDEQLSQLFPM >Et_1B_013148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5928783:5931610:-1 gene:Et_1B_013148 transcript:Et_1B_013148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFPIFCQCPAAAADNATTVALVTYVMQPGDTYASIARDFAVDNQSSLVALNGPEQGTQLFSEILVPLRRQVPQWLPPIVLRNNVSGTPASPPPSASPNSTAVSNNRDGVVTGLAVGLGVVGALWLLQMLLLACLWRRLKAARRRGDQVVSGDGVEGGRFAKTGCGGGGDGGGRFLVSDISEWLDKYRVFKVEELERGTGGFDDAHLIQGSVYKANIDGEVYAVKKMKWDACEELKILQKVNHSNLVKLEGFCINSETGDCYLVYEYVENGSLDLWLLDRNRARGLNWRARLHIALDLAHGLQYIHEHTWPRVVHKDIKSSNVLLDARMRAKIANFGLAKTGHNAVTTHIVGTQGYIAPEYLADGLVTTKMDVFAYGVVLLELVSGREAVCDEGGEPLWADAEDRVFRGREERLEARVAAWMDPVLAEQTCPPGSVASVVSVARACLHRDPAKRPSMVDVAYTLSKADEHFADYSGESLPVDSSGEVAAR >Et_5B_045627.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5739365:5740084:1 gene:Et_5B_045627 transcript:Et_5B_045627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKWGSYSRVFVVLSGGNDGPEASTLTNVAPWMTTVGAGTVDRLFPANLNLGNGTVLTGQSLYTMKANSIAMISLASSACRKDDMTPEKIMGKIVVCMDQFPDEEEIENAGGSGFVVIDRTSWSLDGSLADAFKLPALILSYTAGEKLAAYMASVPYPVASFSFACETIIDENRAPMVVGFSSRGPNAVVPELLKPDIVAPGKNIIAAWQDSYRMDSGTSMSCPHVAGVAALVKKKHPN >Et_8B_058820.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:8890552:8890851:-1 gene:Et_8B_058820 transcript:Et_8B_058820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTGHDEVGGVRQSQGRQCSRGRSVDDGGWASAVGDPHHSEPGDVVVPYDDVQLRRSGVAQGEEIQGEVATIAHDCSAVSHPKFQPKLKQWINKKSS >Et_4B_037963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25151833:25153225:1 gene:Et_4B_037963 transcript:Et_4B_037963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLPRLLPDDLLADVLRRLAPRSLAASRCVCKAWRALIDDRGLLRANLLPLSLAGLFLCFSDNLNDMEFPRLFARPHAAAAGYSVPTYAADHCNGLILEFYSVLNPATRRRAYWPEPPPSRFDEYYFLDEQYLAFDPTVSPHYEVFLFPRVPDNVADPALLVSEWPPSPFILRVFSSKTGRWEERSFVRKGEAAGKVADVQKLDKRITETRHGVYWRGALYVHCQHDFVVRLSLSDHTYRVIKPPKGIQTGYCEELHIGK >Et_2A_015654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16509987:16516520:-1 gene:Et_2A_015654 transcript:Et_2A_015654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALSPAGRRAAAIARHLAGALPAPPSLAALEPAPCLSYAPPESNEPTRAFPPAELRALLDGHHLREREWVFGVMEESPLFCRRSRGGGKVFVSPDYNEGKEAQREATMRRVALLARRGVFRGWLTEPGADAELRKLALLECLGVYDHSLAIKIGVHFFLWSLEPSCCLAYVSPENAEHLPAFPTSDLRLLLDGHDLATRDWLFRVMEESTLFCCGRAGLGGRVFASPDFDGSKEAQREATMRRAGYLARRGVFRGWLTEGGAEAELRALARLDCIGLYDHSLAVKIGVHFFLWGSAIKFLGTKRHHDKWLLDTENYVVKGCFAMTELGHGSNVRGIETVATYDSKTKEFIINTPCESAQKYWIGGAANHATHTIVFAQLHINGRNEGVHAFVAQLRDDDGNVLPNIHIADCGHKIGLNGVDNGRIWFQNIRVPRENLLNLVADVLPDGQYVSMIDDPDQRFAAFLSPLTLGRVNIAVNSVYISKVGLAIAVRYGLSRRAFSITPDGPEMLLLDYPSHQRRLLPLLAKACLFSSAGNFMKKMYVNRTPEMSKAIHIYSSALKATLTWQNMTTLQECREACGGQGLKTENRVGIFKAEFDVQLTFEGDNNVLMQQVSKALYAEFLSAQKKKKPFKGLGLEHLNGPIPVIPDNLTGSIFRTSKFQMDLFCLRERDLLKRFAAEVSHHIARGESREKALMLSYQLAEDLAKAFTERTILQIFLEDQKNVPDGSLKDVLELLRSLYVMVSVDESASFLRYGYLSRDNVASVRKEVMKLCSELRPHTLAVVSSFGIPDAFLSPLAFDWIEANALSSGSH >Et_3B_030192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31247522:31249986:1 gene:Et_3B_030192 transcript:Et_3B_030192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVATHHNQGHRTPSRSEERRWWRRRGTIRCSSPAQEFAALASVFRRRLVVGASTAAAAAVGANFGGVTSFLLGLSPELGRSLRLDVLYPVGGFTRCLDSDNGFEFIYPSSWVGDQTLLYREAKKAELQRSLDPPPLGKLPSRPRNISEPVAAFGPPGSNGELNVSVIVSPVPQDFSIEAFGSPKDVGEVVLRRIATTRRSPDINATLIDAALREDAENVKYYKLEFRVESPSFQRHNVAVCCARNGKLYTMNAQAPESAWRTVQKEFAAMADSFSLMVDA >Et_10A_001104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21154502:21155894:1 gene:Et_10A_001104 transcript:Et_10A_001104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KRIGWRDNSLRGPIPAAAALGRLAGLLTLKLQDNLLTGPLPDVSAELPRLAEFSASNNQLSGRVPDAVRAKFGVASFAGNAGLCGPAPPLPPCSSLPNEPAPTPPSSVVPSNPAASSSSSSVASSSPALATPESLGGAGKGGLSPGVAGSCVLLLPPRQRRRRVRQEEEETRPRRPGGRRRQLVRPHQGGAAASSRHRRLAGMCSDGARSKLVFFGVDGDDADDSDGRDGLTLTSHLQRRSSRFQLEELLRASTGTWDLIGRLRHPNLVPLRAFYYAKQEKLLISDYFPNGNLHDRLLGYNLVTDKYYSTTVLVNCDQAATDADVLATVHRSVVSGHQMSGTTRVRLLLGAARGLACIHREYRASGVPHGNINSTNVLLDKDGAARVADFGLALLLSPAHAIARLGGYMAPEQSDNKRLSQEADVYSFGVLIL >Et_2B_020127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17022034:17022702:-1 gene:Et_2B_020127 transcript:Et_2B_020127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQAFDAVAAEHEPELERAEPAGERDGPVLVVHDVNTLRGRVLEDRRDEQRRLLHPQRATVVVGQEPLVSVHAEGVHVLDAGDHVRQLRAYERRPRVGRVHVDPDAVRRRDGAQLPDRVDGRGLGRSHRGAQEERDQPSVRVRLHCGAQLGRREREHVVAARRDLTTVFAGDAGDARGLGEGEVGLVGAVDDEVPNPLAADLRELPVPRGHDGA >Et_8B_060817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8127189:8129538:-1 gene:Et_8B_060817 transcript:Et_8B_060817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALAVGAVEEVDDEAVERGASGGGGPGAEEEGPEGLEAEEEGVEEAAGLGEGEEVGGEEVGLRRVPMLAWSTAATAGVPVLAWPMAVDQFFNARLVVEDARVATAASWGGFGAVPDVEHLARSLAKVVGNAGADDASSCTTARYWWQYPYFFVAFGVLTGRQLVSSSSNIKQKEENKIESAMSTTDGSPAEISGHPAHPEHKLKLVTTDGRNFNCDGCKESGSGARYRCEHDHCNFDLHLSCALLPDGGSTSGHGRRPPVSRFRKWKP >Et_5A_042872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:620256:624388:-1 gene:Et_5A_042872 transcript:Et_5A_042872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQEQRRPVPRAEEGGEQLARAEAHARVVGEAEPEQHHRAGILETVQQSARSFLGAVGRTLGVARDTTAEAAGNAAEKAKEAGDATAQKASETAEAAKAKLAECKDRAVGLKDVTAEKASETAEATTNKLGEYKDYAVDRAAGMKDTTAQKASEAAEATKNKLGEGKDYAADKTVEMKDAAAQTASETAEATKNKLGEYKDYAADRAVGLKDATAQKTSETAEEAKNRAAGTKDATAEKASEAAEATKNKLGEYKDSAAEMAKATKDSAAEKATQTAGAAKEKLGEYTDLAAEKANNAKDAAVSKSREMKDAAAAKATEAKQSTQEFSNTATDKTTEKSGPEDKMEEYKESAADAARKAMEYLTLRTEEVKEQSGDTKAGVDATEELARQEVDATRQRTEKVAQAERRWKDAKKQPIDTFTLIAFIPGVPKTHLSIAITLFGTQTMASVAHVLVVAAFVLLSPAPALSYVNHDGQLHQDAEAEELNSGYRTHIVLVKPPADAAGDGVLVAHRRWHESFLPTSRTDSGEPRLVHSYTEALSGFAARLTDGELDAVSKKPGFVRAFPDRTLRLMTTHTPEFLGLRQGPGFWRDVAGLLDAGIYGAHPSFADHGVAPPPAKWKGSCQQGSSSRCNNKLVGVRSLVGDDCRDDFGHGTHTSSTAAGNFVAGAHVNPPKATDPGLVYDLSAADYAGYICAVLGDAALAVVARNASLTCAKLPRTTEAELNYPTITVPLRPAPVTVSRTVTNVGPPAKVDTPTTMTVRVSPGTLVFGKAGEKKTFSVTVSGHGAGVLQGSLTWVSGKHVVRSPIVAVRRHLGGIPRRWSSACAHA >Et_5A_042438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10797535:10802056:-1 gene:Et_5A_042438 transcript:Et_5A_042438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYSPPTPPLPPHVTPPPSPPPPPFPLHDTTLTLSLEAPQQPPPLAWAFPSPPPCAPKRRPRPLSTLAPLRLRAPASASSGDTPPPSYPCTLCGRPFPSQKALFGHMRCHPERPYRGMTPPRFLGRHEAAGGAPPLVAAADGFTEPADREVADILLTLADGPRHKGKGKKRLRLLGSPCCWKETGGASATERNDDDHHKCGVCHRGFATGQALGGHKRCHMEKATTDDQAVVLPATSRSRVALAAAPEEETSTSTALDLNLPPPALPRKNDDDQGGRVNAAALDLKLGNGTVLECTTVTSELCQKMHEKLGKHPLQGEPSVPDKVDPSQPLLETPDRNARHSTGNLHVAVVLVDILVFAFLCLLAVRIVAALRLPLLLLWRIRKQREEGQHLQVLGVLEQDADGANAVVAGQAPLELQLPEPGQAAQGASEEAAVVSHRGAEGEVEERQVGAHGDELGDGGDLVRLLLVDMSMVSSLIAAWLESDRNDVSGGRPLLYRSERKRVVASTNQAAAAADRLSDLPDGVLGHILSFLPTKEAGRAAVLARLWRHLFANVNTISFEEEECPEPDPSDYYSDDDDGEERESKNGRFLRDVNAALLCRRGCGGPSPNASLRAFRVALADYHAWDGAMVSQWLHHVARQADPAAELPLRLDLRLRVGRFCYREHPRYNADRDYFRDEGSDSDTEKEARRWWPEVSFRLPRLFFSCAALRSLRVAFCWLKPPPAVSLPNLDTLHLTGVRESSKTIHRLISSCPSLADLTLEACAKLKRVSLRHDRLRRFSLRCCHNTSSVRLDAPELRALDYRGTVPVESLITLLPDGSPPAISSVAVDFCGPKLSKKEELVGLRTFLDKFVVGVRHLHLRSCKLGCSIERSDFFGGFPSFLSLRQLELTGRLDKRSTVDAVTTILEGTPNLEVLSLFLMPEKNDAAALTALNPEVSIECLRRRLRKVNMVHYQGNEAQRTLANLLLCNALVLHELWVVFPKGPLALQAKLMNEMKGWAVSKSARMTFM >Et_3A_023701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11032844:11034506:-1 gene:Et_3A_023701 transcript:Et_3A_023701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NSDIGEKVKPHRPLVITLLTRVEDVIPKWKIVPTKDVIDAAFKHPVKREKEKQTHLPRQAAAQRQRWRCSRTSMYIKTVCPSCRSSSCTARPTNKTINLYPVMSMWHGLITGEPDENVEAIFCDIVAWLNDRSRTWRRWRTASGSSCLAASSYFIDGEKNGQARCTGVGSSLTERFPLRAHRPEHHHAEL >Et_7A_052884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23088665:23095041:-1 gene:Et_7A_052884 transcript:Et_7A_052884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLPPFLLALLIVNTCTLSSRMDVRAEELRHGVKSGTAEGTSIDNHHAIPRPDYDSWSSPGNMPGNGHDMGARNFGTLENINTGEASGSKPTLGVAGATTVDNHHAIPRDQYSSHGGDDGVNVLEWVPFEQILMAPLDSFMYLCPALNLRHAVALPKT >Et_5B_045104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9614325:9616491:1 gene:Et_5B_045104 transcript:Et_5B_045104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFQVCRRYRRILFVPRADVAALPANGYGPWRRQYVLDFQCISASTSTSKILPWESPSRETLLRKIEGDMKDGNVDEAMQAFGNYKSLHGLPEPRVLNSVIVSLSYTSTRRWLLRAFDLVLSVYQINSSLLNSGSLMRLALALARDQMPVPASTVLRIVLENGMLPDVNMLSMSFMHMVKSQVGSFLAADVLAETCKCFLDHVTDRRQLKRLDPIKNNVTLFNMVLESCVNFKCMIKAQKILELMSSIGVLADVNTVVTVSRVFEMVGQRDELMHMKRSIDSLTSLPFIRYYLHFYDSLLSLHFKYNDMDAAAKLMIDLHRQRKPHAFFSNDLRKQGVIQIGSGNLKTGYRIMFDPGKVDKGFVLDTESQFGLVVLTDGNLLHSEKALAKLIVGCARARNMHALSSFFITLHKEDLNRVCPLDVIDACIQMGWLHAAHDILDDLEVAGIPVEISTYIHLLSAYEKENKSEEFNDLLQKIQKISSSMDDICTDSSFTIKNIAKIVKDDIPLIKSSLFSTLIKEIKHYSPRDHLTLEFNNSILFFCKAKMMEDALCTYKRMREQNIRPTCHTFCHILCGYSSTGMHREMTILWGEIKRRVEYGELDVDRDLLDSFVLNFLKGGYFARVMEVITCMLNHNMYCDKWKYRHVFLKLHKNLYRNLSLLHDKTEAQRKRIEDVQAFRLWAGIK >Et_1A_007297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33083055:33091443:-1 gene:Et_1A_007297 transcript:Et_1A_007297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSPIRVTMEVGADGVALITICNPPVNALHPRIFEGLKEKYTEAMGRDDVKAIVLTGAGDKFCGGFDINMFSQIHKTGDISLLPNVSVDLVSNIMEEGKKPSVAAIQGLALGGGLELTMACHARLSTPDAQLGLPELTLGIIPGSGGTQRLPRLVGLPKAIEMMLQSKFITAKQGKECGLEEKVFKELVPSTTSKALVHAFFAQRLTTKVPGVTDVQLKPRQIRKVAVIGGGLMGSGIATALLVSNISVVLKEVNPQFLQRGQKMIAGNLEGLVKRGSLTKDKMSKAMSLLKGALDYSDFKDVDMVIEAVIEKIPLKQSIFADIEKVCPPHCILATNTSTIDLNVVGEKTNSQDRIIGAHFFSPAHIMPLLEIVRTEKTSPQAILDLITVGKIIKKVPVVVGNCTGFAVNRTFFPYTQGSHLLVSLGIDVFRIDRVISSFGMPMGPFQLQDVAGYGVALAVKEIYSAAFGERNLDSDLVDLMVQNGRQGKSNGKGYYIYEKGGKPKPDPSVHHVMEEYRKRAKRMPAGKPVTLTDQDILEMIFFPVVNEACRVMDENVVIRASDLDIASILGMGFPKYRGGLIFWADTVGAPYIHSKLCKWAEMYGAFFKPSSYLEQRAKSRLPLRTKDIAASFNEVTDSCPVARSKYNLPSLSTDLFAYAPLRDQAKPRHPPAMFGAYSFRPWAETVGTPYTHSKLNNWIESYDAAFRQSYLKQRAKSGVPL >Et_8A_058098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19689465:19691155:-1 gene:Et_8A_058098 transcript:Et_8A_058098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVIDESLRRTIVMWLDLGSSVYQEEFERKFLEMSASFFSHESQQLIKRFACGEYLEHFKTWLDKERERAVLCYVSGFMTIKIYDKITAVVVKEMLANHLQTLILMEKSGLAEDRSVMASHIKETGKRLVTDPDRLKDPVDLVQRLLNEKDKYQHIIKDSFNNDNSFLNAMNSSFEHVINLNNRSPEFLSLFVDDKLRKVAKEANEEDLETILDKVMMLFRYLQEKDLFQKYYKQHLAKRLLTGNAASDDSERSMLVKLRTECGYQFTSKMEGMLTDMNTSHGTTKNFYSARSSDATDAPTISVQILTTGSWPTQPCSTCNLPPEILAVSEKFRAFYLGTHNGRRLTWQTNMGTADIKATFGNGSKHELNVSTYQMCVLMLFNSADALTYREIEQATAIPAADLKRCLQSLALVKGKQVLRKEPMSRDISDDDSFCVNDKFTSKLFKVKIGAVVAQKETDPELLKTRQIVEEDRKPQIEAAIVRIMKSRRVLDHNSIMTEVTKQLQPRFLPNPIVIKKMIESLIEREFLERDETDRKLYRYLA >Et_1A_007812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38564816:38566593:1 gene:Et_1A_007812 transcript:Et_1A_007812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGIGKVRAHGSRERSLSSSQHQMSIRRRTISARAKSCVASMNVTCCANQTQTAQRKSFSGPTSPPSGSVKEMVKKPRLDDGGVGFPPFRFGGGGGGGGGGGSNSSGGFILFVIVLLLDYLKEFERNLQNGPRRGSDYDNGLAPQ >Et_10A_001371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23810620:23812501:-1 gene:Et_10A_001371 transcript:Et_10A_001371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIYLLICSAFPCTEQKLLSPQTAGQLQAIYFFMQPDLHHLGSIQNDAILYIVRSLISTALRKKHFYKETIRIDRLLPYEQLDLTKFKLGDWIGWHSESCKEHLQSLEAIQPEPSSNKDERPSSPIYGVIQVSHVKLHKPDAPVIAKPSEQPTNGKEIISQTQDVTVQADVPTDCDLPILTTSFGHQNAVLYKTRNNEDVQAASGHSVNLKSADEHVEFVQDATESCTLNLVVDDSVPSTSVTGIKLPHSTLHQGLGLSGTSLQVKCPGQSSEILPDDGRSYPHTDCASGEMDMTPLDHTISSVSDVCFEDRDAGQSSDISVNTDAYSGTSKPVECPPGGKDDKEPMDEIIEQTAEGEIESTDMKTRNDTSMETVYQGQEISSTVNTYHKKSTDSDRESDDLKEQDTEQTIASLEKDVAKTHPRGYLPFLGFLKSTHFKKKVCKVRGLVKS >Et_4B_039344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10853176:10854457:-1 gene:Et_4B_039344 transcript:Et_4B_039344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPWRCYRQIKNKPYPKSRYCRGVPDPKIRIFDVGQKRRGVDEFPLCVHLVSWEKENVSSEALEAARIACNKYMAKHAGKDAFHLRVRAHLYHVLRINKMLSCAGADRLQTGMRGAFGKPTGTCARVSIGQVLLSVRCRDAHAAQAHEALRRAKFKFPGRQRIITSGKWGFTKFSRAEYLKLKSEGRVVPDGSNAKLLTWHGSLADRKPGRAVFPPSVAGSA >Et_2B_022881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7103902:7109088:-1 gene:Et_2B_022881 transcript:Et_2B_022881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSESPVQTQMAVSALDRALSSEYPTKSRSEFRPGGWKRLIVQTDTGCVLAIQLDRGANAHTVKRKLQVALNFPIEESSLTCGDRVLKNDLSTVRNDSPLLLTKTPMHRSSSTPCMSHAVKDTQQQKDRSCPIEVLVCPSCCSRTRQLVKDVARAIRNGVDPVPANGGLGGAYYFRNIKGENVAIVKPNDEEPFAPNNPKGFVGRALGEPGLMRSVRVGETGFREVAAYLLDYDNSANVPPTVLVKISHPVFHVNEGVNCANSNADEGSTQAVSKIASFQQFISHDFDASDYGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKQTGAGQFGNQTELIPIDHGLCLPECLEDPYFEWIHWPQASIPFSDDELEYIAKLDPMRDAEMLCMELPMIREACLRVLIVSTIFLKEATVFGLCLAEIGEMMSRQFTGKEDQPSELEFVCMEARRLATEREDCSTEHDSGDENLIQFELDAEDDEIKKEPSGYNFDFKGRNTRNPLSKLDEANEEYEDDDDDDDDDDIEEVDSNEGKLACPNPVNKWLANVSKLSTSLKAVTLTDKRLSAIPKAVDSVKTSENNSNLSRSQIGNWASANDKLPTSVSFVKLADMGSEIWMLFLEKFQELLPEAFRSYKCRTTALRAKQRLGTSVQRQQYADHDSQSHSIPTSKTISRKLSAKV >Et_3B_030754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:741538:742086:-1 gene:Et_3B_030754 transcript:Et_3B_030754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEAKKALRLATVARKWLEDPRVRYSGDVDPAATGGQALSALVMAGRARVRRRARPRGLLPPRARPAHRRGWEVARRGGRGGGGQHLLGAGVHRWKFIEHRSKQLFSNAAVVRCPQILSVCNDRAHGSLSGHRPPAAAASNSYSSIGAV >Et_6A_046256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11556664:11558970:1 gene:Et_6A_046256 transcript:Et_6A_046256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGHVVGDIVEPFITTASLRVFYNNKEMTNGSELKPSHVMNEPRVQIGGRDMRTLYTLVMVDPDAPSPSNPTEREYLHWLVTDIPETTGASFGHEVVAYESPRPTAGIHRFAFILFRQTMRQATYAPGWRSNFNTRDFAAIYNLGPPVAAMYFNCQRENGCGGRRFN >Et_2A_016582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26268723:26288043:-1 gene:Et_2A_016582 transcript:Et_2A_016582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERQLVVAVEGTAALGPYWSTIVADYKLAGAPPELALVVFHTHGPYSAFGVQRSGWTKHMDAFLSWLSGISFSGGGFSEAATCEGLAEALTILQGSLTTTQNHQNLEAQKHCILVAASNPYPLPTPVYCFPMQSADHKESNESSKEPSIADAETVAKSFAQCSVSLSVISPKQLPTLKALYNAGKRNPRAADPSVDQAKNPHFLVLLSENFMEARTALSRSLHGNLAPNQTITKIDSAPAVAMPVPTSNANPSVNGPMMGRQPVGVGGITTASVKVEPATIPAMGSAPAFSHITPISNMASQGVSALQSSSPSLISQEASIVNDSVHEQKPNIGVNQQSVRPGGHGSFLNNLSQASRLINSTSLGGAAAPMGLPNIGATPIQVHMSNMISSGMTSAPSVMPSISGSGQPISTQQMVQGASLGSFGANTSSVSGNSNIAVSSSLPNTQSSLGMGQSVPPMAQGGLMASSQSGQGGIGANPNAMSGLGSTAMSSAPAMMPTPGMAQQTGVNSLGVTNSSAMNMPVGQHPNAQQPQPSKYVKIWEGTLSGQRQGQPVFICKLEGYRSGTAPETLAADWPETMQIVRLIAQEHMNNKQYVGKADFLVFRTLNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKAGRLIGMLFPGPLTTEEQGSVQVVFHGAGKMPRKPKGR >Et_2B_021226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27631049:27637029:-1 gene:Et_2B_021226 transcript:Et_2B_021226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYYRDGGSGGAWTTVSGRSRKPAAPPAAAPAPRRGGGGDIKAAVGEVAEAVEGLEIGGDGERRLDKYDIPVEVSGDDAPPPADSFETAGLVEAVLRSVVRCGYDKPTPVQRYALPIAKAGRDLMACAQTGSGKTAAFCLPVVSGLVEAAAGGGRRDRGSFERGAHPRALILAPTRELAAQINEEARKFSSQTGLRVAVAYGGTPISDQLRDLERGVDILVATPGRLVDMVERSRVSLEAIKYLVMDEADRMLDMGFEPQIRKIVDRMGMPKRSRQTLLFSATFPPEIQRLASDFMSNYIFITVGRVGSSTDLIEQTIEFVSDGEKRSFLLDLLQKQSVGVANSKQPLMLVFVETKREADSLRYWLYSKGFPATAIHGDRTQQERESALRSFKSGATPIMVATDVASRGLDVPNVAHVINYDLPKSIEDYVHRIGRTGRAGKPGIATAFFSESNHPLAKGLARLV >Et_2B_022603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27686525:27688607:-1 gene:Et_2B_022603 transcript:Et_2B_022603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEASSAAWWWTWRAAAGACLLLVVVHVAARVADALWWRPRRLEAHFAAQGVRGPPYRFLVGCVREMVALMAEAAAKPMSPQDSHNVLPRVLAFYHYWRKIYGPTFLIWFGPTPRLTVADPELVREILLTRADAFDRYEAHPVVRQLEGDGLVSLHGDKWALHRRVLTPAFYPDNLNVRACRPVSLPPHFFVQFRSLSAARASGRVTLTRGISVQRLVPHVGRSVAALAERWRAMASNAAGGEVEVDVAEWYQAVAEEAITRATFGRSYDSGRVVFRMQGRLMAFASEAFRKVLVPGYRFFPTKKNRLSWSLDREIRRGLTTLIGRRSDEADEDAEVSDNKGNGFRDLLGLMINAGGGGKKQQAPAIPVEDMLEECKTFFFAGKQTTTNLLTWATVLLAMHPEWQDRARREVIDVCGADELPSKEHLPKLKTLGMILNETLRLYPPAVATIRRATRDVTLGNGLSVPRDTELLIPIMAIHHDPELWGPDAAAFNPGRFADGASRAAAHPLAFVPFGLGPRMCIGQNLALLEAKLTLAVLLRRFELRRSPSYVHAPTVLMLLYPQYGAPVIFRPISSSG >Et_3A_023956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15080552:15083069:-1 gene:Et_3A_023956 transcript:Et_3A_023956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWLELLLVTQFFSTCTNHLCSSRNECNLFCIDCEEQPIAFCYYSKSRHHSTHRVIQIRRSSYHNVVRVSEVDKILDISNVQTYVINGAKAVFKKALRGMGNDMEMLNLCDNGPNHQAETEGVTGNGNTSSNAETRTKTLVTITRKNHQQKGLLVVIIEREFLNVHHSSESKLMLTINVSVKWANVCEG >Et_10B_003072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16229328:16232526:1 gene:Et_10B_003072 transcript:Et_10B_003072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYICSLSPMSMRFVEKENRDDAAGGVGFHKNDLINQIILPWTWATTILQSSFVAREHGVSGPFWYASGATIQVLFFGAIAIEVKRKVPRAVHDYPTAVAGAARSGAALLPHPDQACGPVHGNFKGSFVRQPGRRWPADPPQLRPVLGFGCFMGILAIVLNLAGVSLGWMYGVMVSSAVIPLSLLLLRSKANPTLVPVTDTTSRQPGVHPGRLRVVHVAPQ >Et_7B_055184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8124416:8128849:1 gene:Et_7B_055184 transcript:Et_7B_055184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVIGGKFKLGKKIGSGSFGELYLGVNVQNGEEVAVKLISRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVFVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPVEVLCKSYPSEFTTYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQLDYVYDWTIMKYPQFRDKSKLQTKVKYAFSFAVEGLTRRTGSGSGHNGEHTKHRSLLDSLMPSKATVDSDKTRPTSSSRHGSSSRRAVLSSSKPSSFGDPSDPTRSSSRLISSGSSRPSSAQRAHHSGGMEVRSSSLSKIGRSAHDETVRNFELLSIGADRRR >Et_1A_008870.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:16930658:16931248:1 gene:Et_1A_008870 transcript:Et_1A_008870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYKLLAIGLVFLLNIGLFKAREFPWEHPNTSSAGQGGGGGAGGGSTNGYGSGSGSGYGAAGARNTPGGPYGTGNTLASGNGGGGGDGWNGGYGDGSGSGTGNSGTVGFYGGGFTTGEGSGGGGGQGGGPQTGGGSGSGSGGGSGSGNVYSPGGHASVNGEGFGHGTAGGRDGGYASGYGGASGSSTGEGRGWYP >Et_7B_053590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10032487:10035310:-1 gene:Et_7B_053590 transcript:Et_7B_053590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTPVPLVVFLFLSPLIAAASTSQLATEEAQTPQDLLLDFKSTLHDPTGALSSWSRAAPYCNWAHITCTSAAATANATVSVAVSLQGLGLSGELSGAASLCRVPGLAALSLASNRFNQSVPLDLARCASLVSLNLSAGVFWGPIPDQLAALASLVSLDLSGNSIEGQVPPGLAALGRSLEVLDLGGNRLSGVLHPALFGNLTNLRLLDLSGNQFTASELPPELGEMSSLRRLFLQGSGFTGTIPESFLALEQLEALDLSQNSLTGTVPVGFGLKLQKLMTLDLSRNGLSGPIPEEIENCLMLQRFEVHGNAFTGELPAGLWLLPDLRVIRAQNNRFSGRLPELPVSQSRLEQVQLDNNSFSGGIPQSIGQRRGLALAATVASFVAGAMLLATGVFAVYRRLRRGIKSSSPWKMVLFHPINITVDELLAGIHEKNVIGRGAFGKVYLIELQDGQNVAVKRIVNSVKLTFRAVKNEMKVLARIRHKNIAQILGFCYSEDEISVIYDYLQRGSLQDLICAPKFTMGWNTRLRIALGAAQGLAHLHRDQTPPVLHRDMKSSNVLLSDEFEPRLAGFGIDRVVGENAYQISLASNMNFRCYVAPEQNCTKRPTHLMDVYSFGVILLELITGKPAEQPAADDSVDIVRWVRRRVNVTDGASQILDPSISRTALQEMQAALELALRCTSVMPDQRPAMDEVVRSLQQMMLHTETALKP >Et_3A_025165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27453417:27455400:-1 gene:Et_3A_025165 transcript:Et_3A_025165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HLTLFPSYIPQIPLPTFLPFHPNLVPHLEQIVQHHELEETPARSIPSMADEWWSSASHRSHGASACSATPLPSTDRVACGGWNSPTAATESTSSISFQDPYRSATHQPASDAASSLGDPHMDWTQAFLSGTSDASFQAVLQDDVARAHPTADDAAMNNPLIRGMNDGFLLDQASLAPSQALFDATAAAHNISMYGDNQPSAGYDATAATQLSHLLKSSVPASSPMQGGGGGQMQQYLSGGYLPFGGPLPSQLLLQALQPKLGSRVSNANTLMAKDPCSPATRKSVSESPAPAKRPRIEAPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVASLSSPYLKNGIPFQQFQQKGAEDAKDDTKQDLRSRGLCLVPVANTYTVASETVPEFWHPTFGGTFR >Et_7A_052763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15740639:15754260:1 gene:Et_7A_052763 transcript:Et_7A_052763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKARELAILCDAEVGLVIFSSTGRLYEYASTSMKQVIDRYGRMKEEQQQVANPNSELKFWQREAASLRQQLHNLQENHRQLMGQDLSGLGVKELQNLENQLEMSLRCIRTKKGTLIQQDNMELYKKVNQIRQENVDLYKKLYEKEAASEVNRDSPTPYNFAVVENGNVPLQIELNTPPQETDADQTAPPKLGSYAT >Et_1B_010331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9057329:9058543:-1 gene:Et_1B_010331 transcript:Et_1B_010331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTARVLLATLATLLLVVSPCHAWPVPWKTASTQGKTVDGITAIYNFGDSLSDTGNLLREGDATGVLQYTTGLPYGSAIGGATGRCSDGYLMIDFLAKDLGLPLLNPYLDKGAADFTNGANFAVAGATALNATALARRGVVVPHTSSSLAVQMQWFKDLMRATTKSPQEIREKLAHSLVMVGEIGGNDYNYAFEANKPTAEAMVLVPDVVRAITNTARELLDMGATRLVIPGNFPLGCVPSYMDRMCGSPGTTVCAKPDEYLSWDGVHLTQHAYRVMTDLLYHKGFASPAPVQFQRA >Et_2A_015339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11285533:11288528:-1 gene:Et_2A_015339 transcript:Et_2A_015339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVEVKPGKPYTHRYEASHGRLRICQATLGNCDVTTRTVLQCNVGNKVPIKICSLNPKLAEMCHLEVEFEEVDDVLFSVIGQSSIHLSGHYVGASSKRGTADDESESYGEDVGQSDTDEELASGEDSYESDFIDDRDVVPEESHGSESMEEDSEKPAPKVGRRRRLKTLVMDSTDENDDDSPYKPAAKCSARSIFDSCSDDDEDNMPISVALGKKDSTKVAEEIKSSNVQAKDDTRKKSTNAQKRKSCAISEDPASPMDITDAKASSPSKQDAGSKKKSKKKMRNQVDAELEKGSNARTLDDGLIVEDLSTGNKDAKVASTGSKVYIKYVGMLKDGKVVESNANEKPYKFKLGAGKVIRGWDVGISGMRVGDKRRLTVPPSMCYGDKSVGDVPKNSSVVYEVELVKVK >Et_1B_012700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34536791:34540976:-1 gene:Et_1B_012700 transcript:Et_1B_012700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLRPPLPGPSLGARRASPAPALAPRRLGALALGSGRRCRRGMTVASAAPSWLEEVGMEVLEEGARRNPSVSDSYRPEGLPRPNATVLEAQARVCTGPGQTRPLGEEQAMRVLDTILRSAMGELKDEPVSNAQLGYEEVQCVLKDILPAGPNTNLTVVSEALLAAFFIGQRMNRETDRELKAYCLAFDDELGPPPVADVKSLTHYGEPYDGNTRFFRSTLFVAAVRACYGESCLLHGVEWMPPKGGITEGQMLKFMGANTHLSPTQAKTLLEDANTGFAYLSLQEACPPLHSIIGLREHIKKRPPLATSEKVQQFVRARGRESMVAGFYHEGYEDPLLMLMRRRTVHAGLVVKGEEGALSMTTKERSAHASKGLPVNHCSGFRTPNKTNFSEADGVSRESFRVVVNAQELGFESTETPRTDRSVLKNLELGLAALGGEKGAAYDRIILNAAMVDHLLGCSGAEDINVALDRAREAVDSGKALRRLMSYIKISHTVS >Et_8A_057129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21451212:21456086:1 gene:Et_8A_057129 transcript:Et_8A_057129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVLEVRCAGCGDTLEVDRGLTEFACPSCATPQALPPELMPPRPRRALPLGPARGSVPSATAPVRVPCEGCGAVLAVPRGLRRVACPLCGAEVDVAGSSGRLAAHSGVQVVLPPGIISVAATSSLREEEPISQAVSVGQVHVGRYNKPTHLEKSGGPFPAYSARGEASSSLRSNTRTAAHVDQARSSHASQSINIGQSRISLLNEAVDVNDIRKKCRPSVGHGSICINKERVEHPIKVIQRAREVPSYHLVHTEEAHGENQSCTIGRVGIQKVRHAAVSSVAEQEIEEPSGQIARAEQTEVDSCGDRIGWNRKRRRSSRTATGNHKPQSGGLSSSNEEIHLTGNRTQPGDARDMNPIQQPTPLDEDQFDPADIDGLVARLCPSSLPWKQVSQARCNALDNMDATLPPISVNHSTPQGDYFPKGYRQYRAVATGSIANQGLNSAQMHEVPEESSNDIVPCYNGSQQLRKNPSPGVMHRQHIQDPSGDSDSQQAQVESHRNKIVRHHKNRVSDSTYWSNEGNNIRDQPHHIHQQTTLVTACSNPPTALSPLLAATPLPLMSPSAVTSLFPSNSLDTSPTFFFGAQNFGIGSINESSKKRRGRGPTKLIEPRREADRPVLTPNNADTWDVDPLCPKVASTISALLKQWHPGSTFVPANQQTTEVHQEQLILHWHQYSPDTRATIMAEFLQRYKWAPGQEALCLKLFDQRIVRQFTGLLCDEKRRARVKLAASRKAKEALEAAKTGSKQTNLDNKDDKLKQVRRDPAAVGHDDDDPLQWKPFPPEWMQPKWWEMLCEHWASEEVLQVSAQKRKNRYTGGSAQHTAGSRSIVMHRKLMIIENGGRPVSDIELFNKTHKRDGGKGEFVSERAKNTVESFKRRLEEAGNAELDPHLLWAQEVGGRNRGRYYGLHGIIDKARIKAMAKSAPGRIDKKTQKEMFTQDQVQEMIRQAMQQLNETWEKRFESLEQKMHGVVSLEAPQHASSPCTAKPDGDEGSASHQDASDSSYEGTYQSATDDDEDYVDS >Et_1B_010503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11109712:11110677:1 gene:Et_1B_010503 transcript:Et_1B_010503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTKATTLEDLPADVLACALRRLDGPSLAAASCATAGLRALAADPETWRALCLARWPSLAARPDLLRAVSPQRLFADAFPWVVIDPRGGRAVNVSSRRPVAVDRHWYTGETLVRYAVVLGGCKFEAAVTCAEDTGHVREVSLAAEDADGAAVSGEGSLRLLAAAMAGPRKGGGDEEAAAAKGRYEEFVRSKRGGKESKARKEVFIDLCCSAVSAFAVLSFIAAVVLR >Et_9B_064357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14373713:14373997:1 gene:Et_9B_064357 transcript:Et_9B_064357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAEVTLPKFRKKRRKLYKCVLVHGHVLLFGHNQSICLGAEGHPSLKENTSSTELWVMG >Et_1A_006135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18344882:18361455:-1 gene:Et_1A_006135 transcript:Et_1A_006135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGRLQCRSAVGAAVLFLSASYTHAHPLPRGSSLRRRISKVSLHSVAMATSQVSEAAADKGLPLGMDASMVDEYAAQSKLLQEFVKIPTFGKAWMFNSKKESTSRAVVSIGQSDLLANKKRKFLLNSHISKGASKSVDFQWSPFPTEITGVSAVIPSPSGEKLLLVRNSEDDSPTKLEIWGPCQLENEIHIAKSVHGSLYTDEWFEGISWNQEETFIAYVAEEPPQPKPVFNDYGYTKDGSSEKDCKSWKGQGDWEETWGETYSKKRIPALFVVNISSGEVRSVKGIPRSLSVGQVIWAPSSSYSLVFVAWSSENGFQETPRKLGIKYCYNRPCSLYTAPDPFREEAEKSSTNNKGEITTMIKLTAELSSAFFPRFSPDGKYLVFISAKSAVDSGAHNATNSMHGIEWPADGKLHGSLGVADVVPIVMCPQDGCFPGLYSSGLLTDPWLSDGRTMILSSVWGSKEVILSVNVASCEVSRVSPQDSDYSWNVLALDKNNILAVSSSLITLPQIYYGLEVSQTERQWEWQEVSTPFPRPSDKISSIIAEHKLSILKIPISNPSDKLANGAKLPFEAIFVSHKDSASSPTIVVLHGGPHSVYPSSYSKSLAFLFSQGYNLLVVNYRGSLGFGEEALQSLPGNVGFQDVNDVLTALDLVIKRGLIDPSKVVVVGGSHGGFLTTHLIGQAPDTFVAAAARNPVCNLSLMVGTTDIPDWCFVEVYGKEGKNHYSDSPSVDDLSLFHQKSPISHISKVKTPTLILLGAQDLRVPVSNGLQYARALKERGVESKLIVFPEDIHGIDKPQSDFESFLNIGKENRLRTMDPMTSEEYASQSKLLQEFTNVPCIENAWVFKTSSDDRSTAMFSISQPKLLANSDRKHILYSHITKTDATSLHFQWSPFPIEMAGVSAIVPSPSGSKLLIVRNGEKGAPTKLEIVYQSHVEKDIHVDQHIHGPLYTDEWFQGISWNQEETLIAYIAEAPPQSKPAFNDSGYRKEGSSEKDCNSWKGQGDWEEDWGERYSKKGRPSLFVLNIASGEVRGAQGIARSLSVGQVVWAPPSSGSQRYLVFVGWLEDNGFQNTARKLGIKYCSNRPCALYAIRSPFEEPEAKDTLISRDGKLLVFLSAKQAVDTGAHNATDSLYMISWPSEWNMNEQVDVTELVPVVMCPEDSSFPGLYCASMLSDPWLSDGCTMILTSAWRSTEVIISVDVLSGKVTRLTPEDSCYSWSALAIDAEYVLAVSSSPVDPPQIMYGCQVTPEGQARTWTWDAVASPLTTASNAVKSLLSHHNVKILKIPVDNPSDDLSDGGKLPFEAIFVRYKDSSHSPTVVILHGGPHSISVSSYSRSSAFLASLGFNLLIINYRGTPGFCEEALQSLPGKVGSQDVQDCLTALDHVIKDELIDASKVAVVGISHGGFLTTHLIGQAPDRFVVAAARNPVCNLALMVGTTDIPDWCYMVACGTEVKQYASESPSPDLLHLLYQKSPVAHISKVKAPLLMLLGGADLRVPSSNGLQYARALRERGGEVKIMFFPEDIHEINLPRSDFESFLNIGVWFKMHMG >Et_1A_004618.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23210344:23211528:-1 gene:Et_1A_004618 transcript:Et_1A_004618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NSCRAPQDFTSFRSSFPALSSPISRRLAPSSRKFPGDQETLMAAAAPPRKRPAPEGPFSSVSGKKRPRYEFGTIDDYERLDELGEGTYGVVVKARHRHSGDTVAIKWLRGVDRGAPDLRAVVREAGCLAACHGHPSVVQIKDVVEDEATGDLFLVMELVGPCLRSRLTRPFSEAETRAVVLQLLRGLEGIHGTGTIHRDIKPDNVLVGRGGVLKICDFGMATPVRPPYKEECVGSLWYRSPVQLVGSQRYGPAVDVWALGCIMVELLTGAPLFEHVDTEEDMLMEVLHLRHEIESEGLQAFKGLPEDLLQAAGELLLGLLCFEEDDRSTAVEALKHR >Et_5A_040664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10953073:10959838:-1 gene:Et_5A_040664 transcript:Et_5A_040664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTVASPSRASPTADTTAPSPASPPRRLASAPPAVDASAASSPASPHSGDQLSASDPASPLLASRSEEYRLLFRLPPDEVLVQDFNCALQENILLQGHMYLFLHHICFYSNIFGYETKKTIPLQEVTDVRKAKTAAIFPNAIEIVAGTKRHFFGSFLSRDEAYRIIVDGWEQHNSDARVLLERQDTKSASSSEENGYVLLEGAKESKQDEDSSTPDRSSIGTAVASITDSGDSDINISKRFSKVQENGIEDNIIEPNPFSLVPIDDDTPNVPESYTMITESKFQVSVEVLYNKLFSDGAFGFLDDYHKKCGDKEFSCSKWCLDEQGRLVRNVSFLHPIKIYLGAKFGTCQEVQKLHVYKNRHLVIQTSQSIGDAPYGDHFTVEGIWDLEQDSKDESSCFLRIYINVAFSKKTIFRGASSSSKSDLQSGAANEENTLENTVPDVTCAQEESGVRTLIAPIQDQQQRTGRDSSMASTSQDLWGSLISFMKSSRFGPLLAVALITIIVLLTRSPQVQMVPHGSSASSFSSAESIEWVQKRISLLGEEMQLAEAHMERMRHEFAWLRSHLERLERLRGNL >Et_4B_037880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24335560:24342484:-1 gene:Et_4B_037880 transcript:Et_4B_037880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NALEQLASIDLIELCKEARIEHCRATRDLSSCGRYVHHVLNSCGHASLCAECSQRCDVCPICRTPIPDNGNRVRLRLYYKCIEAGLISKQHDERFQEKEDQINPVNMDVQRLHCLFDVALQNNLSSLICQYITDVCLDENAVSSDPLLAFLLDEVVIKDWCKRAVAALISEMGAIYRSGLEVMESKSSQLQKFAVQLAGISSVLESMISSFTEAVSAHVNDLHQLFENTLKAKQHAEAMIWCIRHRFLEHISSRYADFASWSSDVIERKESANKRQWPEIADEAAGFNETNRCTLFIEQALQNLGIEQSYRGNEEEVAITCLQNEASSSMFSSIITTDQFSINRYPFRNLREAVDMLFLHGASDMVVAKQAIFLYYLFDRHWTRPDSEWKYLIDDFAATFGISSRTVFECLVFCLLDDHSSQALEEACSLLPKICSKETHPKIARVLLERHRPDMALVVLKCTWRDRFSAAETVENDGMASLSEAVTAVRVRIEYGHLTEAFMYHRSYCSRVKEQRSADMSHVEDAVKSSWIYHVEVMMTEFCNICIERNYVDKMIELPWDSEEEKHLHKSLFDCASEMPSEPYGSLLVVFYLRRYRYLEAYEVDRSLQRIEQRKLETATEEIASKIRTIAQWRERLVAKCLDMLPEVQKETVKAINSGEQSQFSRTTKISSPVSAAVKPQHSTIELSSSFTSALQNKSNFHSKNINALTDSGGLIRSSRLEFGPKIPSLLQSRAVSQGTPASNTRSTAGGIFPSVGQNGDSPFLRGTKGISSTKRESGFIKGIKPDHNSLPMYFNLSSGDTPMKEYQTSLMKTEVNRTTPFQGKESIGKGEFQFGSRAEKPFIISGTGVSQNAKVFGNAGLQDHIQKSKVPTMENIFSFAKKSSANEAADGKGGSRWRSDESSEDEDEKRTYLESGASLSTRRRPRFSRR >Et_2B_020463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20471231:20473371:1 gene:Et_2B_020463 transcript:Et_2B_020463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFPFVGLYFNAVLDAIGFLARELICIRTTETHPKITSSLGRFHPYFEVDWHYRDFKEKWNIVEKAQLNDRARRLLSKPIKFFHEMQELFQGANADGSLAKDQETCMDDGEESDSDDRGGINDMSGYEKAVDIPSDDSDTLPSAKRLKTSPSSAEGGSSSSTPRSGAN >Et_4B_036027.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:14451567:14455628:1 gene:Et_4B_036027 transcript:Et_4B_036027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCCSGVLNGGGATRAAPPRTPRPGNAGFSPAGGHLVAPPKRRRGGRAGCRQPDPLCEERRRALASTENVVHMLRSAAGPAEALELFSTTARQPKLIHTTESCNYMLELMRSHGRVGDMAQVFDLMQRQIVKANVGTFATIFNGVGVNGGLRSAPVALPVMREAGISLNAYTYNGLIYFLVKSGFDREAMEVYKAMAEDGIVPTVRTYSVLMVAFGKRRDVDTVLWLLTEMESRGVKPNVYSYTICIRVLGQAGRFEEAYRILGKMEDAGCNPDVVTHTVLIQILCDAGRLSDAKDVFWKMKASDQKPDRVTYISLLDKCGDNGDPQSVMEIWNAMKADGYNDNVVAYTAVIDALCQVGSVDEASDVFDEMKRKGILPEMYSYNSLISGFLKADRFDRALELFNHMNVHGPTPNGYTHVLFINYYGKSGESLKAMQRYETMKSKGIVPDVVAGNAVLCSLAKAGKLGMAKRVFYELKDMGVSPDNITYTMMIKCCSKASKADEAVKFFSDMMESGCVPDVLAVNSLIDTLYKGGQGNEAWKIFHQLKQMNIEPTDGTYNTLLAGLGREGKVEEVMHLLEEMSTGSYPPNLITYNTVLDCLCKNGEVNCAVDMLHTMTMKGLIPDLSSYNTVLYGLIKQDRFKEAFMMFCYMKKVLAPDYATLCTILPSFVKEGLMKEALHTVQGYILQSYSNMDRSSFHSLMEGIIKKAGVEKSIDFAEKIASNGIILNDFFLCPLIKHLCKQKKAIEAHELVQKFKSFGVSLKTRSYNSLIRGLVDENMIDLAEGVFSEMKRLGCGPDEFTYNLILDAMGKSMRIEEMLKVQEEMHRRGFESTYVTYNTIISGLVKSKRLDQAIDLYYNLMSEGFSPTPCTYGPLLDGLLKAGKIEDAENLFSEMLEYGCKPNRTIYNILLNGYRIAGNTENVCQFFQKMVDEGINPDIKSYTILIDSLCTDGQLNEGLSYFRQLMESGLEPDLITYNLLIDGLGKSGRTEEAISLFDEMKKKGICPNLYTYNSLILHLGKAGNGAEAGKMYEELLMKGWKPSVFTYNALIRGYSVSGSSDNAYATYGRMIVGGLQPNSGTFMQLPNQP >Et_7A_052069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4317710:4321285:-1 gene:Et_7A_052069 transcript:Et_7A_052069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIINGINRTGVNKLVKVGSQSKQISCASSRTSCEYSPNDRKRHERFHRPPQNVDLPEIQPKNKKKPFPVPIKKMLKESRQDKRLAQMRIEKPLEPPKNGLLLPELVPVAYEVLDNWKVLIRGVSHLLNVVTVYGCRKCPQVHVGPVGHQIQDCLGSGSQRRNSHHSWVRGSVNDVLIPIESYHLFDPFGRRVKHDTRFEYDRIPAIVELCIQAGVDLPQYPSRRRTAPVRMIGKKVIDRGGFVDEPKPHRSEDSVSLLAELDTFNNRQNQSSSPSDVKELAERTLEAYLNVRRGVEQLMSKYTVKACGYCSEVHVGPWGHNVKLCGAFKHQWRDGKHGWQDAVVDEVIPPNYVWHVPDPSGPPLRSKLRSFYGKAPAVVELCVQAGAKIPDEYRPMMRTDIARRTWPSEKSLYVISLILYWLKKEYDIT >Et_8A_057891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8981975:8987677:-1 gene:Et_8A_057891 transcript:Et_8A_057891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVMSVFLGARKERQNGKMKQFDKADMMVRMDCEGCEGCELRVRNILVTMKGVQSVYINRKQQKVTVQGFVETQRLLRQIQNRAEVERNKLSVEHEPVTTEGDPRRGAAPLRHRQLATAAAAQLHQPPAHVLGQRAVVVAVPELADPAHAVLQLLGGRCLRRAPIFGYVDRHTRRAVVVCGISNRHVATYTFLSGGDHRSYHITCLCARLCPWLPRLLRKTLGFLRE >Et_8B_059424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17892989:17894299:1 gene:Et_8B_059424 transcript:Et_8B_059424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSATPPCKRIKPTSPESTTAEADWAELPWDILVTVFLNLGPGRVMLGADAVCRAWRRVAIEEPALWRRVGWHAVEHPWRVAGFGEEMKMAEIAMERAAGQCEAFWVSHCDYSDLCYVVERAPSLKSLDIQCFTNDTTIANLSLALRELPHLENLQIHFRYISRKHDDSNLLQSVCQACPHLKALVLTNGEFPKVMIDGEIPWMQELHTLELHGCDLSGKSLKSILENCPLLESLQITGYFNKHIMDKELEAKCARMKKLTLPTTVKPRHH >Et_4B_039284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9467989:9472787:-1 gene:Et_4B_039284 transcript:Et_4B_039284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKASDSSSQGFKRSDQGMGRDAAAASVVAIHSKLAQLRRQIQSGRLAYINEKVEANRKALLRHTCGLFDVAAAAEAESRGTEGDNALSQRAAEAQSRHRGLDLEKNLPGEREVVYAQEENLSAGTLVLSSSGGAAHKTVLRFVKLPLVERIPPYTTWIFLDKNQRMAEDQSVVGRRRIYYDPVGNEALICSDSDDEIPEPEEERHLFTEGEDQLIWKATQEYGSSQEVVNVLCQFVDATPSEIEERSEILFEKNEKCSGRPDKIESQLSLDKTLDIVLDSFDNLFCRRCLVFDCRLHGCSQNLVFPIEKQPYGFELDDNKRPCGDQCYLRRREEFQEMQDDGRDPYAMYATESRTVSEKVDSNIWSESEDSNREEENIKSTFVGVSGLKIVSSANNEMGNLGDTSETENLSSDLTLSGLGKHKISSHALSCRDHSPGKRQKIFSADFSSSTKNLSEPEHTLSHWSALERDLYLKGLEIFGKNSCLIAKNLIYGLKTCMEVANCMYNNGAKMAKRPFLSKTASGGFAEIEQDYTEQDMASRTRVCRRRGRNRKLKYTWKSAGHPTVRKGLSDGKQWYTQYTPCGCQQTCGKDCPCVENGTCCEKYCGCSKSCKNKFRGCHCAKSQCRSRQCPCFAASRECDPDVCRNCWVSCGDGSLGEPPARGDGYQCGNMKLLLKQQQRILLGRSDVAGWGAFIKNPVHKNDYLGEYTGELISHKEADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIYAKEHIGASEELFYDYRYGPDQAPAWARRPEGSKKDEVPVSHRRAHKVAR >Et_3A_026344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7056928:7061933:-1 gene:Et_3A_026344 transcript:Et_3A_026344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAATPRVTMKVLIDTGAQRVLYAEAGKDVIDFIFGFLAMPIGSVVKLLADVDVALGSVGNVYASVEKLDAAHMVCPRARSALLPNPAAPASGPSYRSYTSPPTPNKVTDLLSPPQYTCYPACSVVPHIERGYVKGVSMYTIMDDLTVVPASSMLLSKLGVKDLTGLEERTGLEILKAALHSKTVLTDAAMASTTPDASSPKLTLKLLVDTKAHRVLYAEASKDIVDFLFSLLTLPVGTVVKILSKDSMAGSIGNLYGSVEKLDATYVSSADAKNALLAPAGGYEGGKLLELPQAATSAELYRCRAFRYEDCHKNVTRAIGTPCPCCKAKMDVVTNLVGPSVALSGGEAAAAMSALAGTGFVQGVVTYTVMDDLTVAPMSTISGITLLNTFGITDIGTLQEKTVQLGYAEGLEILRVSLQSKNVLSDVFLGKKKQKV >Et_1A_006822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27970980:27978968:1 gene:Et_1A_006822 transcript:Et_1A_006822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLKRKATDGPAADHASPLKAPRAEPSPTTALAATLAAAEPVACVHDVSYPEGYDSSASASRVLAGGAEGSEPAKRFPFQLDPFQAEAIRCLDNGESVMVSAHTSAGKTVVALYAIAMSLRNQQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEVMREVAWIIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYVFPSGGDGLYLVVDEKGKFREDSFQKALNALVPASDNGKKKENGKWQKVIMAGKASEESDIFKMVKMIIQRQYDPVILFSFSKRECESLAMQVSNMLPLLKRGTGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDRFRWLSSGEYIQMSGRAGRRGIDQRGICILMVDEKMEPSTAKMMLKGSADSLNSAFHLSYNMLLNQMRSEDGDPEKLLRHSFYQFQSDRALPDLEKQIKELESERNSMVIEEEDSLKDYYDLLQQYKTLKNDVHDIVLSPKYVLPFLQPGRLICIQCSTNESTSFTIDENVTWGLIINFEKVKSHGEDRRPEDSDYTVDVLTRCSVSKDSSGKKTMKIIPLKERGEPIVISLPLSQIDGLSSIRMYIPKDLLPAEARENTLRKVEEVLSRLAKDGVPLLDPEEDMKVQSKSFRKATARIEALESLFEKHDIRKSPHIQQKLKILHTKQELSAKIKSIKKTIRTSTALAFKDELKARKRVLRRLGYVTSDDVVEVKGKVACEISTADELTLTELMFSGALKDATVEQMVALLSCFVWQEKLQDAPKPREELDLLFYQLQETARRVANLQFECKIQIDVESFVNSFRPDIMEAVYSWARGSKFYQIMEMTQVFEGSLIRAIRRLEEVLQQLILASKSIGETELEAKLEEAVSKIKRDIVFAASLYL >Et_2B_022018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8057540:8062179:1 gene:Et_2B_022018 transcript:Et_2B_022018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRPRHLRCCTSTPRKCPPTSAATTDDLCRRRKDAKEEAARWAAAHLRTNKNKTFVHANFGASGFLLKFLAYSDLYMLASYVYIKLTFSSYCDASENLDPSNATVTMPLVHHHGPCTPSQSTVTKPSFAETLRMNRARAEYLKNKASRSTFRRSHDNDEKVSVPTQLGSYVDSFEYVVTVGLGTPAVPQVVVVDTGSDLSWVQCAPNHIINIASWRTNIPTASKDAVKSLQYILTQGIGTPPLQQTVLIDSGRDLSWVQSKPCNATECYTQKDPFFDPSSSSAYNNNSCQSVECKYLTKDGYHGDCTNGSTGPSLCQFDITYGGGDLVRPKGTILGLGGAPESLVSQTAKQYGGAFSYCLPSGNGTAGFLALGTRSNDTSGFVFAPMHRFHGLATFYTVTLAGISVGEKQLDIMPAVFSNGMSVDSGTAITELPETAYSALRTAFRSAIDLGLDTCYNFTGHSNETAPKVSLTFSGGATIDLDVSSGVLVEGCLAFSDFPDNDSQFGII >Et_7B_053849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12800716:12804975:1 gene:Et_7B_053849 transcript:Et_7B_053849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEREPLLNGGGNNAAAKGSSSVGALPSLARTVLKFLMWALFLTWAAGIFLYPTKPAQAVFRNLIVGLTRESLFGITGGIFLAFSAPILIIAALAYVYSSFFPSDHVENKKLRSLSFRLWTFPVLVDGPFGVVSAVEFIGIVLFIIYVVYSMTYYAVESVSLVSEFHLPKSFESELILEVIGLRLGSVGLFCMVFLFLPVARGSVLLRLIDIPFEHATRYHVWLGHLTMALFTLHGLFYVISWSMQGHLLEEMVEWKQIGVANLPGVISLAAGLLMWVTSLHPVRKRFFEIFFYTHQLYVVFVVFLAFHVGDFIFSISAGAIFLFMLDRFLRFWQSRAKVDIIAAACRPCGTVELVFSKPASLRYNALSFIFIQARELSFLQWHPFSVSSSPMDGRYHISVLIKVLGTWTDKLRSIITDVQGQNKSDSESQSGRITACVEGPYGHESPYHLIYENLILVAGGIGISPFLAILSDIIHRIEEGKPCMPKNVLVLWSVKKSKELSLLSAVDAQTIRSSVSDKLRLDIQAFVTQESVPPLEDGVVGDDEKAPGMFVKNGTTMSGLVGTGDNFWAAMYFLASTLGFILAYALVQVYYVKPHNVVAWWYLGLLFVLCMVAGVALPGGLVVLLWHLSEKRRLEDDRWDAASSQSPRTVDGDDDAPSATVAGLRTTRYGCRPNFEAEFAAFAERAGDAADVGVLVCGPQGLQTSVARECRARNLRRGGGVAKSASRAVFHFNSHSFDL >Et_9A_061020.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:1049925:1050104:1 gene:Et_9A_061020 transcript:Et_9A_061020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMLLLPTMASARQSPPPPRPSTEAFSVLRKGPFGPDHITSDPPPPPQGLTPITPVLR >Et_3A_024235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18770378:18790570:1 gene:Et_3A_024235 transcript:Et_3A_024235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGGSQGGRPATASPRPRTSPRAATAAAAAEPAVRPWGDVFDNVLVTVSRFLRCRADRAHMACVNRHWHAAVTRPPPPPLPPLPDLPPQLPWLIFPNTEAPTFYSMFTRRRHRFRLPPNALHARLCGSGDGGWLVFALNSRHEHALYNPHSGQSVPLPPGFTSQSDNEYPLVVRAATLSAAPSPDPYMVAAIVLVASRSTAAFWSEGNDTWFTSGGFLPVRPQDVLYFEGAFYFLTVREGVISFRPGYGPNGSVNLHRVEYVMQKREDYDNDVGFVQGNGTMRRYLVQSRGRLLMVVRYIYDIIGTEMLRVFRFRVTAPFLRTPFATWEHLADELDGRMLFLGPGCSRSFEVAQYDGFQDHASMVFFLDESFDTVPSADGRRLYSFTDMGRYDLRGLASGRPPDEVRQRSPDLEGGGGRTATASPQRRISRREAAAMAAARPWGDVFDNILVTVFGFLDCRADRVHMARVNKHWRAAVTLPPPPPLPPLPALPPQLPWIIFPRAEPAAPVFYSPLTASYHLLHGLPDDVRGARWHGSVDGGWLVLAFDAPPAHALYNLNSGEEIPLPLWFTSPADNNVFPLVLQTATFSASPSDGPYAVAAIALVNDLPTAAFWWEGCPTWVSIGGPLAEMPQDVIYMAEAFYFVTPGEDLIRFGAAYGPNGDVILGRDTLHTLPREDYQENVAQMLDLGTYKRYLVNSWDTLLMVMRYDYHPGGTGIVRVFELTYTPAINGFLPHYTWEELFELSERMLFLGPGCSRSFEVALYDGFEEDEQTMYFLDETFYDIPPVADDDSGMYTMGNLIPESWPLERPLRLEGGRIEGGGRTASASPQRSISRRAAAAMAAALSWGNLFDNILVTVYGFLRCRADRVHMACVNRHWRDAVTRPPPPPLPALPPQLPWIVFPHAEPAAPPTFYSPLTSSYHRLWGLPPDVRGARWCGSGDGGWLVLAFDAPPAHALYNLNSGEEIPLPLVFTSPADNNVFPLVLQSATLSASPSNDPNYMVAAIALVDDHPTAAFWVEGRATWFSTGGLLEGMPQDVIYFADTFFFVTPGEDLILFGPEIGPNGDVILARDTLHTLPREDHQEELLDVGMTIVLGRIDRYLVNSGDSLLMVLRDVYTVGGTGMLRVFELNQAPAINGGLPHFTWEELFELRERMLFLGQGCSRSFEIAHYAGFEEDEETIASTTCRRWTRMTRAWDVFDNILVRVSGYLDCRADRVHMACVNRHWRAAPIQPELPAALPPQLPWIIFPRAEPAVPTFYNPLTASYHLLHGLPPDVRGARWCGSGDGGWLVLAFYAAPAYALYNLNTGRRIPLPLWFMTPADNIVLPLDLLSATLSASPSHDPNYVVSAIALVNNHPAAAFWWEGCATWVSTGGPFPVMPQDVIYFEGTFFFVTPGEDLIRFRPAAYGPNGGVILVRQIIHTLPRHDYQQDVRTMDRLGRIKRYLVNSRGRLLMVTRHDYNGDGTGFLQVFELSIAPAINAYPPRYTWEELRELSERMLFLGRGCSRSFEVADYDGFEESTVYYLDESFYDALPVEDDDSGRYTIRAVDPESWPLGRPFREEGGGRTAPASRQPRISRRAAATSWADIYGNILVRVSGFLPCRADRVHMAYVNRHSRAAVNRPPPPPLPPQLPWVIFPHAEPAAPTVYIPLTASYHLLDGLPPDVRGAEWRGSGDGGWLVLELKAPPAHALYNLNSGERIALPLVFTSPADKNVFPLVLQSATLSASPSNDPNYMVAAIALVDRRPTAAFWMEGRATWFSTGGPFPVMPQDVIYFEGAFFFVTPSEDLIRFGHQLGPNGGVILTRQIIHTVPRHDVGMLFDHGSMKRYLVNSRGSLLMVLRRDYNPAGGTGFLQVFEITRALAINVYQPPYRWKLLFELSGRMLFLGPGCSRSFEVAHYNGFGEGTMYFLDDNFYDMPSVGDDGSGRYTIGDLIPVSWPPGRPLSFLSCRADRVHMACVNRHWRAAVPPPPLPALPPQLPWIIFPRAEPEAPNFYSPLTGRCHRLFGLPPDVRGARWCGSGPGGWLVLAFDAPRAHALYNLNSGERIPLPLGFVFPPDYNNVLPLVLQSVTLSASPSHGPYTVAAIALVNNRPTAALWCPGCDNWFSIGGHLAAMPQDVIFHEGGFFFVMPEEKLIRFGPAYGPNGAVTLRLENLYMRPREDYDEDVAVMLDHGSMKRYLVNSRGRLLMVVRYVDTEAVTGMLRVFQLTVSAPAINGFLPRHTWVELFELSGRMLFLGPGCSRSFEVAHYDGFGEDMMYFLDETFYPVPPVDDDDTGKYTMGVQILESWPLGRPLREEAGGRTPPASRQPRISRRAAAAMAAARPWGDVFDNILVTGFGFLRCRADRVHMAGVNKHWRAAVRRAPPPPLPPPRDLPPQLPWIAFRGMARPTFYSPLTSRYHRLCRLPDDVRGARWCGSGDGGWLILAFAAPRAHALYNLNSGERIPLPLGFTSPADNNVFPLVLHSATLSASPNQGPNYMVAATALVNELPVAAFWCEGRGTWFSTGGPLPEMPQDVTYFADAFFFVTPLENLIIFWTVHGPNGELILRRTGFYIPRRVDFDDDFQTMYLDGRMRRYLVRSGAELLMAVRYVFNTAGTEMFKVFRLRTYGPVINAYYHRLNWEHLVELWDRMLFLGPGCSRSFEVEDYDGFEESTIYYLDKGFVPTPPDDDGHDDDTGSESEDGGEASAADSGPGSVDDDADGGRHAADEDDGGRYPMPEVETYWWPLQRPSTNNARYAPPIWWLH >Et_10B_003976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8862336:8864131:1 gene:Et_10B_003976 transcript:Et_10B_003976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLQHPWAFAFGLLGNIISFMTFLAPIPTFYRIYKTKSTEGFQSVPYVVALFSAMLWIFYALIKTGEGFLITINAAGCVIESIYIIMYFVYATKKGRLFTAKIMAALNGGVFGLILLVTLLLFKGDKRVVMLGWICVGFSVSVFVAPLSIIKRVIQTRSVEYMPFSLSLSLTLSAIVWFLYGLLIKDKYVALPNILGFTFGIVQMGLYMFYMNKTPVVAQGKDAGKLPTAADEHIIVNIAKLSPALPEKSSEVHPVAEMARTNCAAQAAAAAENRNFDAFVTRGPTVRVT >Et_1A_006668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2670101:2672249:1 gene:Et_1A_006668 transcript:Et_1A_006668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHITPPLLAPYQHVMALHSYDCCVLPPQLEWDWDWDLLQLHTLGGDAALQQEAAFQLSCGIVLLAAGLESPGSSEASSGYLQDAVAHWSDRGNKRQRTVATPPPRRPAGVSEDLQCLLESFWDPRAEGGDGDISHDLNTTILPEMSCSFVSGALGREEPGQRGPSAQVSAAQGGEAAVPSPRRSAVRAAAAPLPPLLMAPHAPARRDDRSRPVVHCCEPSRAAAAAASTTTTTMPGASCPSAPAAAGKKKTGAGVLYPFAVVKPLGLDDGCMTTLSDVNQRILRRPARPVRHPVGAFACGPAVTAHGLGLSGKAVVSLTRIRTGGTGTITIIRTRG >Et_2A_018574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33408721:33410018:-1 gene:Et_2A_018574 transcript:Et_2A_018574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARREDDSYMTNGTSVFEASMEEGTKDDKTDVYDDAVQPEPAADDAVLCGMPMTVSFIQQLVAEFFATFFLIFAGCGVIVLNQKNGMATFPGIALVWGMVVMAMVYAVGHVSGAHINPAVSVGFAVSGRFPWRKVPAYMLVQTVAATLASLMLRLMFGGSHEPVPVNLPTGSNIQSLVLEFIITFYLMFVILAVATDDRAVGQMAGLAVGGTIMANALLAGPVSGASMNPARSIGPALVGSKYRSLWVYIFGPFAGAAAGAWAYNLVRPTDKSLGEITKKISGAS >Et_4B_039021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:746236:749201:-1 gene:Et_4B_039021 transcript:Et_4B_039021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTSGAGNVRPLDRKGAAWIELLRRRVVLRTLAVPFSGRRPARVVIRQPAPWILMPPNRKRRAPAMEPAAGGAGQQRQNKASAGKKAKKGGGASGSGGRWPAVKPKKDLQINRLKGTHLLTVPEFFTSAEAKAFIDIAESMGFSHQGSLGPLKGEAYRDNDRISVSDPLLAQTIWEAGINRIFTDISVSGKVAAGLNPNIRFYRYTEGQRFGRHIDESVDLGDGSRTYYTLLIYLSGKGSAKDSSGQALVGGETVFYDQRGGVVAEVAPVQGMALLHLHGAKCMLHEARVVKKNAKYVLRSDVMLSTGDNIANFSTEGPTSVFPASQARITTAIEPAKR >Et_10B_002503.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:12118590:12118832:-1 gene:Et_10B_002503 transcript:Et_10B_002503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRVADEEEAWPGGWTGSRRRTSRSGRGRARSSSAAPPTRRRAGWKALAATSTRRTATATAATSSSSTRTFPMRGLCGG >Et_10A_000141.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:10687493:10687762:-1 gene:Et_10A_000141 transcript:Et_10A_000141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LHGCVSGGAADLISAQFKPSKKGCCLISAAPLKDPSLFSGSFTSKLLMRSLALLLTAGLSGNRRFCETTLASVSSFPGPRKGVLPYNSS >Et_1A_007091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30821355:30821745:1 gene:Et_1A_007091 transcript:Et_1A_007091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRANDGSSLTAALVSQCTDDRASSECSRCFNVSARVVRELKRRRRLSRIHDDAVVMIGYGCYPLLSSTQQKLTLRHPLHCRDGRDGSRWSLRDTVLHQESVGISSGG >Et_3A_024692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23319882:23330430:1 gene:Et_3A_024692 transcript:Et_3A_024692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVSRAVFTRDRLTQSNFTRLAQDKNENNNTPKFSEYYHVFLQSSLQTTQVTPKSLRIPNSHRKLYIDSSLQASPPRSSQKAPKPGMASPSPPSPHVLLVSAPLQGHVNPLLVLGRWLASRGLLVTFSTVPHDGLKFSHADGASIGVGRGTLRFEHLRGGGLWASGDPRYRAGSDFLSHLMDAAPAALAELVRRQAGAGRPVTCVVVNAFAPWALRVASGLGIPHAVLWTESCAVLSLYYHHFHRLADFPSAGAGPDAPVAVPGLPPLAARDLPGLVHAPDQFVWRQMLVADLRAIRDTASWVLVNTFDELEHETIGALLGANLRVLLPVGPLFDPEIHHHGGGHDHDDGVAWLDAQPPRSVVFVAFGSILKMSRDEAAELAAGLAATGRPFLWVVRDDNRALLLPDGDCLAPVDAGGGSGSRGKVVAWSEQGRVLSHRAVGCFVTHCGWNSTVEALAAGVPVVAYPGWADQPTNAKFLTDVYGVGVRLPRPMAREALRRCVEEVMAGPEAGATRERAGKWKAEASASLAAGGSSDRATQDFVDAVLSMGAGASSQPSEMSQAAPSPAVSWPPPPHVLLVTAPLQGHVNPLLVLGRRLASRGLLVTLTTAPHAGLKKFERELGDDDAAVGRGALRFESLSGAGLWAPDDPRYHVPDDMARHLDDAAPAALAALIRGQADAGRPVSCVVANAFSPWALRAAGTMGVPGAMLWTQSCAVLSIHYHYFHSLVPFPVREAEWSSPVPVPGLPPLTSGDLPSQIHAPEECVWRQALVADMRSLRETASWVLVNTFDELEHLPAILPVGPLFETTEGDAAGHHDECTAWLDARPPGSVVFVAFGSIVKRSREEMAALAEGLAATGRPFLWVVRDGSRDDLLLPPGDDAALVAGPGSESGNGKVVPWCNQRRVLSHGAVGCFVTHCGWNSTTEALAAGVPVVTSPAWSDQPTNAKLLADAFGVGVRLPVPLTRDGLRRCVEEVMIGPEAEAMRSRAREWKAKASVAVAAGGSSGRGVQDFVDVRGRSDVTRCNGAARLFSAHVLADVQKLPTSSSETINLEPPPPAQPRPHVLLVAAPFQSHVNPLLRLGRRLAAKGLLVTFTTVLRAGIRLDLKDGDGADTEAEAGPGRGRLRVELLRGGVWAPDDPRFRVACDMARHVEAAGPAALKEIVRREAEAGRPVTCVVANAFVPWALCVAGELGLPRGMLWIQSCALLSVYYHHVHALAAFPEADAIGSSVTLPGLPELDADELRPLLIYASGEDLWRQMLVADLGQAREAMSWVFVNTFDELEHETVEGLREHLPVILPVGPLLEPDDADNGESTTAAADDDDGSIIEWLDAHPPRSVVFVAFGSLVKNDSDEVAEIAAGLASTGRPFLWVLRDDNRAILSADALTAAGNNGCACACGDRAAGKVVPWCAQARVLAHAAVGCFVTHCGWNSTAEALAAGVPVVASPRWSDQRVNARFLVDVHRVGVRAPAPLTKDALRRGVEEVMSGPEAEAMALRAASWKTKARTAVRGGGSSDRGVQAFVDQIRHAGAGR >Et_5B_044826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6199688:6205274:-1 gene:Et_5B_044826 transcript:Et_5B_044826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSGGETARPWTASTTWTPAGGAAVEDAVSFETTDEDAEESPAGVVLTRPPPDESGDAPPCEVTVNFRGMYEIHRVYVKSTARIYEIYHCTSTKGTDKDYLCTVRCGLAIKEPRPSCEESVDQWSCNALTSEKREHETKSISSSSSDDSWVDVKVPESPMENNTPESQESNVAGTFQEKNLAHYEATAEMTDVSPCVSLTVRLLSLQSKTSVHIEEIYIFADPVEATNDDPVTGPGNMGGSSLLAMLVPGLMQMSKSRNYKIDDAYFSHGSRSQPTQDHAVLESSPCEKIAQEVGPCGTDDTKYKPAGIERGTDSTDGGAVSSEKSNQVQLQFKDPASVRSPAQTTENAQVPSVKDQLVSDKYRLPDPLMNGNFTPYNHIERKLDTLLSKVEKMELYCSRFEDSMVKPLGSIEARLQRLEQQFDSFSVEIQSLRDSSARMSAPDGVYDTNSQEAHNDGNSRTTIADRKPGSCFRAPEFSSEDSCGYNVTDGNQVNFRGPNMVSRLLVKAPEFIVQPELTSEKLQEGPSSPVHCAISSEQKERKTSPGLVVKVPEFPDDDDDDDDEVEEEKKAEVDDQDDHTRDDSLCSNTVDNSKSKNRVSVNGALASALEALLMSTKGISSSNSVVCTASNVSTEISNGSSSCSLSLGNVDEMSTKDVTVDQFPGSLGDANLDGTFFSGQEVDVAPETSLSQAVLDGKVDLNEDNNKLDSDNVAFVADTVSLDVPSQHHTIEESVDGGSWVNEQNNCPKLDPMPFVASTEPLDPLQPPTAFDSVDTGAQVNENKPAVSLAEFLTARDSRSCTDGTSEMCCSTSAAEILSFEKTSAGAGKNSKTISQLLVKKALEVDDEDESICSVPIEATFEGSSNTAHDYVAKRNGVNGIVTVSDKDCKLEKTENSVRLSLGIDSVFSRCSATGSQKEMVEDSNLDWSQGDSLSKPNTEDSWSDLSSMESFSGARANESVVSANTAAGKNVGDLLAGIGVGSTVNQVAGEELQKVCDLLYDYKDEMLGMASTVKRTSKSSPSLEVMLAESSDSEAQTSDLEDIDNGARMGSAHLFGSFSSSDEGASVTTEPLVDVVDLPTEPEAYASALKEPLVDVPTHSETTSGLNEPLVDPLPPSETASGVNEPLVDVVDPPTLSETAFGVNEPFANVDDLPKPLETLAGESRGEHPDSLI >Et_5B_044660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4393969:4397046:1 gene:Et_5B_044660 transcript:Et_5B_044660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELCPGRILSDTGGAFAMGAIGGSAFHFIKGLRNSPNGTRIAGGLDAMRNVPRLAGSFAVWGGVFSACDCALVYMRQKEDPWNSIISGAAAGGILSVRQGFRAVVRSSVHGAVLLALLCGTGLMGEHQPPPSKISMPVNSPEISSGGGLFGEKKVEDGAANWSKTDTFETSDVPSTSMPPSEYKDMISENASSPELNRRQNVASFGRTE >Et_6B_048350.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:15038457:15038624:1 gene:Et_6B_048350 transcript:Et_6B_048350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVILIAAWAIWKFRDTKIFDGINPTFSAWWHPFREDLILHTNRFSQQETLDCIR >Et_5B_045640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6141632:6146702:1 gene:Et_5B_045640 transcript:Et_5B_045640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRPLNELLPLLQLQANLPKLASFQVSTFIFPMIRFTRFMMRLRLIKREMKGVSSKSVPKDWANLGYGPTGLIAELLLANDVADYIRFRAVCHLWRLCCSTDPRDCGILEERRFHPRHWIMHRKPKGGGSTDPCRRTCVPVDLPELRRHAVFGPTTEGLLVLLDRATHVVRLLNPLTRQAADLPPATMLLRERHRDLLERSPDVDLTKYMEVSGAGLADDSTVAVYFYEIQTVAVAKPGDTCWTVVERGTYLLPAMSFASRFYCANTNAVMVVEMGNCAGAADHPPRLATVAKLTRPLSRMSIDTVHLVDNDGDLVLVDRRRNATRHKVYKVDLEAGRIVPVDGLSGRALFMGMDRAVSVSPSVSPSICADAIYMGFYDPLSSRLDHSRRVHLMDGTSEARSIVGSGVYGMPRHGPRGLDECLSWRVTRYSDDEHYWEV >Et_5A_042866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5807019:5809055:1 gene:Et_5A_042866 transcript:Et_5A_042866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRRFLNLIVDNGTPGSRSLSCIDPARFFNKTHNKNRSEESKGLQNTTTCASAAGAGSQKNNKQAVEAALKMGSLQLPNPIFNLEASRSDLSEQWKIDCFPLVDRRVICADHWGRCFLFEADTRLVETMPHLHEPKSMPISLFIPSRDVDDLKDGTGGTLFIMERIPKPHVDNQFEAFVYCKPRANSFFKSWVCQPLSLPPYLCNTTLCHPYPEISCYGVIGDGSHIFISVEGIGTYFLDTDSHTWSDVRKWTLPFYGKVEYAPDLNLWFGLSASANARVLLAAANLSMDSQPQLLGDCKELDRPEEWKQCNDPQLVNLGSRKLCIARFFDIKTANGDSGDELVEQKLAVLTGVEVVPRVGNGSRGNVELRMIPRKSECHTSNGTGEIQRLPKLLEPLAGLSSLAHASSFPSLHAPQPDSRPPATSCPTVVVHALALLWPNRHLPSHAPVASPPPPPPPSLPPPPPGGLPPPSSRSDRHR >Et_1B_012641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3405600:3407100:-1 gene:Et_1B_012641 transcript:Et_1B_012641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGVSDELLGTFVPIAVYWLYSGLYVALDGVGRLDGYRLHPREEAAAKNLVSRGAVVRGVLVQQAVQVAVSLTLFAVITRSSPSSRLIFTIISLLIYEDARAGWVIGDESGTEQKQPPALVIAGQFIIAMLVMDTWQYFMHRYMHINKFLYKHIHSKHHTLVVPYSFGALYNHPLEGLILDTIGGALSFLVSGMTPRTSIFFFSFATIKTVDDHCGLWLPGNILHVLFNNNSAYHDIHHQLYGNKYNYSQPFFVMWDKILGTYMPYSIEQRKGGGIESRPVKLNLD >Et_7A_052779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1666466:1668291:1 gene:Et_7A_052779 transcript:Et_7A_052779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHLFYQYNPHGALWDTGNLSWGHSVSGDLVNWAALDNALDPSAHFDSRGCASGSVTILPDGAPVIIYSGIDADRRQVQNIAFPKNPRDPLLREWTKPGYNPVVPLPADVSANDFRDPTTAWLGRDGLWRFAVSAVADGVGATLVFRSADFRRWERNAAPLQASRDAVMAECPDLFPVASRGAEEGLDMSPAASGAPGVKHVLKVSMPDTLEDYYAVGRYDDTADTFVPEDSSNDYRSWRRLDRGHLYATKTFFDARKSGRVTWAWVNESDSEADDVARGWSGLQSFPRALWLDGGSGKQLVQWPVDEIEALRTKRAAPLRGAEVDPGAVREVDGIVSSQADVDVVFEVPRLEAAEGLDPKWLLDPDALCREKGAAVKGGVGPFGLLVMASGDLREHTAVFFRVFRLHREHVVLMCTDLTRSSTKAGVYKPTHGGFVSVDIKDKSISLRTLIDHSIIESFGGVGLTCMTARVYPEHVATSSTHLYVFNNGTYTVKVSKLEAWELTTASVNAVEDDSFVATGGNMCRSEAYYQ >Et_3B_029458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25189058:25196718:1 gene:Et_3B_029458 transcript:Et_3B_029458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSISRDAAVLSAEMDLSTTSVLAAKAYKYKAESLVKDYLLADSYVSFTAVLGGILMCKMVYDITHLVSSFYYKGYGSLSKLQKLEWNNRGMSTVHAIFITVMSVYLVFFSNLFSDQLDGPVTFRNSNLSNFTLGVSVGYFITDLAMIFWAYPNLGGMEYVLHHMLSLVSIVYAMYSGEAQLYTFTVLISETTTPGINLRWFLDVAGMKRTKAYVVNGVARILLFVYLFYHIYFHYDQVKQMHTFSCILIFAVPTILLVMNTMWFAKILRGLKKTLAKRQ >Et_1A_008280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:604411:607946:1 gene:Et_1A_008280 transcript:Et_1A_008280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAPRTHQVLPPAMRCLPFLHGEIKGEDPVTKSASIRSFSTTSTERDARSGSDFNSMNVSDMSAESIRRTQYPSFTDRPSNLRVFSFSELKNATRNFSRSLMVGEGGFGCVYRGIIKTSDEPTERIEIAGQKEWLTEMNVLGIVEHPNLVKLIGYCAEDDERGVQRLLVYEYMPNGSVDDHLSSRSNSTLSWPMRLKVALDSARGLKYLHEEMDFQVIFRDLKTSNILLDENWNAKLSDFGLARHGPSEGLTHVSTAVVGTLGYAAPEYMQTGRLTAKSDIWSYGVLLYELITGRRPIDKNRPKSEQKLLEWVKPYISDVKRFPIIIDPRLEGHYNLKSMTKLASVANRCLVRMPKSRPKMSDVYEMVRKIVDSIETGTPQPPLHYHGAVSEPGAKRTKKGSLKRRFQEFKFGCRHIVWRGWKPEIIKTF >Et_3A_025481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30170430:30172860:1 gene:Et_3A_025481 transcript:Et_3A_025481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEEVRGRERGELRARRFEATARARRAASLTLSNRKEFATPHHGAVNSLQVDLTEGRYLLSGASDGSAAVFDVWNATEYDAGFIAKHRNILLVDKQHENGHKFAVSAAIWYPVDTGLFVTASYDQFVKVWDTNSTQVVMDFKMPGKVYTAAMSPVATTHMLIATGSADVQVRLCDIASGAFTHTLSGHRDGVMSLEWSASNEWILTSGGCDGAIRFWDIRRAGCFRVLDQSRSQLGRRPPFLERTTEKDHMDSAGPSPSARSYSAQKRTGKAKHITTMHKSQNPMHGQMQQRLHPGLSSSQNRATAHYGAVTGLRTTKDGMYLLSSGSDSRLRLWDIDSGCNTLVNYEAIRLQTGKPLQLAVTDDPSVVFIPCMASIKAYNLWSGTSFQTFRGHYELVNCCYYSAQDQELYTGSNDRQILVWSPSTPAFTEMEDDDKRHGLSAVDEDNWSD >Et_3B_029927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29153778:29156975:1 gene:Et_3B_029927 transcript:Et_3B_029927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSEDTAKRRTQKSKSFKEVEKYDVFVLEKSSGCKFRSLQLLLFAIMSAAFLTLLYTPSVYEHQLQSSTRLVNGWIWDKRSSDPRYVASSSVQWEDVYRAMKNVNVGEKRLKVGLLNFNRTEFGSWTQMLPESEFSIIRLEHANESITWQTLYPEWIDEEEETEIPSCPPLADPIFPRDTHFDVVAVKLPCSRVAGWSRDVARLHLQLAAAKLAVASRRGNSAVHVLFVTDCFPIPNLFTCKNLVKREGTAWLYRPDPKALMEKLRLPVGSCELAVPLNAKARLFTVDRRREAYATILHSASEYVCGAITAAQSIRQAGSTRDLVILVDDTISDHHRKGLESAGWKVRIIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKVIFIDADLLILRNIDFLFTMPEITATGNNATLFNSGVMVVEPSNCTFQLLMEHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEVKAKKTRLFGANPPILYVLHYLGRKPWLCFRDYDCNWNVEVLREFASDVAHARWWKVHNKMPKKLQNYCLLRSRLKAGLEWERRQAEKANFTDGHWKRNITDPRLKICFEKFCFWESMLWHWGENKTNSTKQNVLPATPSTGLSSS >Et_5A_041133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18679882:18680131:1 gene:Et_5A_041133 transcript:Et_5A_041133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLTVPSPSKMPRSQSSHKEQNQTLVCQAIPNKPCLALSSYYQLQEKSCEVAQLRILKHQAHLLFKLKKCVEDNA >Et_1A_007995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:480317:482263:-1 gene:Et_1A_007995 transcript:Et_1A_007995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKGVQVEELYSLDVDSLSELRPVYGLIFLFKWMAGEKDERPVVRDPNPNLFFARQVITNACATQAILSILMNRPEIEIGPELSQLKEFTGAFPPDMKGLAISNSESIRMAHNSFARPEPFISDEQRAAGKDDDVYHFISYLPFEGVLYELDGLKEGPVNLGECGGPDDLDWLRMVQPVIQERIERYSQSEIRFNLMAIIKNRKEVYTAELEELEKRREQILQETKATPDTEPLNNSLTEVVSAIETLTEKIIMEEEKFKKWKTENIRRKHNYIPFLFNFLKMLAEKKQLKPLVEKAKQQKSASPSSTR >Et_8B_060368.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:10320101:10320688:-1 gene:Et_8B_060368 transcript:Et_8B_060368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPQRFKLLATRCAAGAPSPSRSPAPGYAGGASPGYRLRRRRGAPRRRGRLRRFLCRRGGGGGGGGGAEPLSGAGKEDDDRKPLFGGRAGGGGRTLRDLFVASPEAGRRRGCGCDCEDSDDEEDGVDVRETVMAAGRADPGLNGGGAAWVGSRRFGGSGGLRSLLMRRSWRPVLVAIPEADGGGKVELGAIAE >Et_4B_036513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27776976:27778190:1 gene:Et_4B_036513 transcript:Et_4B_036513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCLVSGGGEEEVRIFYQRHGHRGTKVLLITGLAGTHESWAPQVEGVTGAADPGDEDDDAENVSAFIEACCFDNRSAGRSSAPARDAVALMDHLGWRRAHVVGHSMGSMVASLALIGATGGGYQCVPNLLDWRTLSLAYRFLRAATPEQRAAVDLEAHYTREYLDEAVGFGSSLTTRRETLYREYVRGLSPSSSPSGGMQSRHGFEGQMNACWTHELSPEEVETIRSAGFPVLIIHGRHDVVAQLCHARTLAEKLRPAARMVELHGGHLVSHERPAEVNMFLMEMIKASRSNTDLEEWSNVPDKKSDDGLICLAGSSAGSLGERESYLTIAYNLVAKIECFEAFYVIIEHARRVVGMLKPVTLRVPASAL >Et_2A_015178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34439857:34440630:1 gene:Et_2A_015178 transcript:Et_2A_015178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVDLWRSILLCDVLSSEPKLRGVPLPLPLELMNSNNGMGTHLGCPKSIRGITFVDNPPCDDDSDKDEEWEMRDWTITTWSNTKMTASWEDWNMDCEVKASRTSVSSNLNSKMLKCGLLSPGAGAEPERAFQNLLVTFPAPGIAHDGVVYLQARLKFRAPKVFVLALDTRDNKLLGAVEFSTERVRGTGVVYFPSNIGKYIDPEARIFPVPKGTSLAIPLIVTTRKILFEIAVNYYFHLT >Et_1B_013057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5088715:5090596:1 gene:Et_1B_013057 transcript:Et_1B_013057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASVAALQTAGSGRRCGAASPHVGLNGGRFLMMQRRELVTKAGIALSVCCSMATSPASANGSAQGLEMLPFKAEGYNFWTWKGRRIHYVEQGAGKPIVLIHGFGASAFHWRYNIPELAKKYKVYAIDLLGFGWSEKALVDYEATVWMEQVSDFLREIVKEPAVLVGNSLGGFTTLFAATEAPELVRGVVLLNSAGQFADPDKPPAAAPADEEAEEQSNPLAKFIVKPLKEAIQRVVLGFVFWQSKQPARVEKVLKSVYIDPRNVDDYLVGSITAPTADPNAGEVYYRLMSRFMSNQSRYTLDRLLGKLRCPLLLLWGDLDPWVGPAKARRIQEFYADTAVVHLQAGHCPHDEAPEQANAALLEWLASIDARAAPAEPSLQAV >Et_4A_031893.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27243964:27244218:1 gene:Et_4A_031893 transcript:Et_4A_031893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGTEEWREVHDIGDRTFLLSSWYFGASRSAAECGLEPNCLYMVYAWIKRLMIFNVRDGTMTVQDLDEVPASKQALWILPTHP >Et_10B_003606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3677270:3684128:1 gene:Et_10B_003606 transcript:Et_10B_003606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVLDRGTGEFSASMLPAMEDWDIRRWRSNFIIIEGRDGKPHMLTAFDDNLKVFARLNSGEWALEKRVLLSEVTRSQPGYQPSFFSRPQRILTVGVGFIILSPDFLAYERQWAFSLDLETMEAAPAAKGMGISVYQTSISDLDDDVVGHVLERIGSHVSLIRAASACKRWRRVIADAAFLRRFRSLHAATVAGTYHNDTPTSAAERESKGPVFLPSPSLPIDVGHHHSLGFLPHGGGKNKAWAVVDSRGGLLLMRCHGVNPEATYVRGGFRGDVMAVCEPLTRRYIEIPPPPAISNRFHHYVNASYLVDGDAAGIGMSNFRVFFDLCDTLGDGVPHTAAVFTAGAGDGSPWSEKSLDHVMRCRAARAFDYPRRSRARVLGTIAGSRYTYLEGGTLVAVDCGTGEFSSSQLPANSKYSWDLGSWPFSFHAVEGHDGEPRLFTLFFDEMRVFARRSGNGGDDWRLEKSVRLAEATRGLPGYDPSFFTGLRRQAFKLLTRGPGFVVLNTPCHTAPWSTVSLNLETMEVAPAADDMGKVVILAMDLDDTLGLVLERLDSQATLIRAASTCKRWRSFIADPAFLRRFRSRSVIVGDYFMLSTPPLRHLALGLEPTAAGRTGPLFVPSSPAIDAGRYSLDFLAAGGVERWTVLDSRGPLLLLHCTRPGHDAGDMVVCEPLTQTFTRIPPPPDTDEENFEFWGCYLVDGETEDDAGGRIGMSKFRVMRELYRRSDGANLAAVFTAGRGDVSSSWGEKAVVDVDPPWPLLRLMGRAAGSWYFYFESRTMIVFDGSTGKYSSSCKLPATEDWDLHAWQFNFFVTEGRDRKPRVFTVFDDTMKVLAMVDDDGGGEWALEKTVLLSEATRGLPGYQPSFFNQRRLNILTRGPGFVILSTPTEDCWTFSVDLETMEAALASTEEDMGAMAYPCELPWPPAFSACLDQ >Et_8A_056484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10630155:10632667:1 gene:Et_8A_056484 transcript:Et_8A_056484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSYACVSHKLRSVITDFAGNMINLFKIKDQKKDDAACAKGKPAAKKQSPGELRLHKDIAELNLPKTTTICFPNGKDDLMNFEATIRPDEGYYVNGKFVFTFQVPPAYPHDPPKVKSKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIVYGLNLLFSQPNDEDPLNHEAAAVLRDDPKKFEKNVQRAMAGGYIGETHFPRCY >Et_7A_052743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1522193:1527169:1 gene:Et_7A_052743 transcript:Et_7A_052743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLDSAIKTQVPALLLRRLFGAGRRDEAKQLPRPAAPPPAGRRRVFVQTETGCVLGMDLDRGDNAHTVKRRLQLALNVPTGETSLTFGDRVLENDLSFIRRDSPLLLTRNSINRSCSTPCLCPVSKDFEQKDCSGLVEILGCSSSCVRVKCLVDDVVNAIRSGVDPVRIESGLGGSYYFRNVVGDKVAIVKPTDEEPFAPNNPKGFVGRALGQPGLKKSVRVGETGFREVAAYLLDHENFANVPATALVKITHSVFNINCPVNGGNPAPAHDQNQQVNSKIASFQQFIAHDFDANDHGTSSFPVAAVHRIGILDIRIFNTDRHAGNVLVRKLNSGTGRFGCQTELFPIDHGLCLPENLEDPYFEWMHWAQASIPFSEEELEYIRNLDPMKDVDMLRRELPMMREACLRVLVLCTIFLKEAAAFGLCLAEIGELMTREFRGMEEEPSQLEVVCMEARKIVAEWEPFSAAAEQGEDTDFQFSMDVLGEYSDVIRSPRFTGSGMKGSSFRSPLSKLVESVHEGNDDHEDRYESDRVLSDGLNTVVVPNKHTGQNGNATRSATNRSADEQLPSSMCFVRLSDMSLDEWSLFLEKFKELLKEALHERARVAAGQRMKQRLGTSCNEYTNEDSVVERTFNFVQLSGIHGIIYKGCLLKNGNGNKQTSICGKLTLVQYVPIAGVAKDLQRHDSRRSDAQSNYWKYLGDQAIIITALDASGRHDLIDHHTRHLDTSRQKHLSSNPLLILLHSIDGCVKSWLIKADLAVAKLACTARNPRPRLLINIIQETFALVP >Et_4A_033164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19450687:19452333:1 gene:Et_4A_033164 transcript:Et_4A_033164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADTRLSVAHQTRFAFRLATALSSPSSASSAATNVAFSPLSLHVVLSLLAAGAGGATRDQLAATLGGGAAAAAEGLHALAEQMAQVVLADGSGAGGPRLAIGNGVFVDSSMKLKPDFQEVAGGKYKAETWSVDFQKKAAQVAGQMNSWVEKVTSGLIKEVLPPGSVDQRTRLVLGNALYFKGSWTEKFDASKTKDGEFHLLDGSSVQTPFMSSREDQYIRSYNNFKVLKLPYQQGGDKRQFSMYILLPETRDGPWTLAEKLSSEPEFLENHIPMRKVEVGQFKVPKFKISFGFEASDLLKGFGLQLPFSPDADLSELAHLHMGQNLCVSSIFHKSFVEVNEEGTEAAAASAVTVMLCSYTPPVDFVADHPFLFLIREDTTGVVLFVGHVANPLLGP >Et_5A_042203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7388806:7400927:1 gene:Et_5A_042203 transcript:Et_5A_042203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLASGREGWKNSSRCSCTRPETQKGHPKLQSMASKKEDFDGASSQGKRLGIFICWLLGNGCLFGFNSMLTIEDYYIYLFPKYHPTRIVTLTYQPFVLATTAMFTYHEAKINTRLRNLAGYLLFFLSSFAAIVLDVATSGRGGIAPFVGICIIAAVFGVADGHVQGGMTGDLSLMCPEFIQSFFAGLAASGAITSTLRFVTKAAFENSRDGLRKGAMLFSSISCFFELLCVLLYAFVFPKLPIVKFYRSKAASEGSLTVAADLAAGGIQSCANPSSEEDPSSAERLSTKQLLLQNIDYALDLFLIYILTLSIFPGFLAEDTGSHSLGSWYALVLIASYNVWDLIGRYIPLIEQIKLRSRKGLLLAVVLRLLLIPAFYYTAKYGDQGWMIMLTSFLGLSNGYLTVCVLTEAPKGYKGPEQNALGNLLVLSLLGGIFSGAVLDWLWLIGKAIMITEAAGAEAPQVKGKFIGIFLCWLLGNGSLFAWNSMLTIEDYYVTLFPDYHSPRILTLAYQPFAFGITLIMTYYEAKMNTRRRNLAGFSLFFIGSFALIILDLATKGQGGLGVFIGVCIISAIFGTADANCQGALVGDLSLMCPEFIQSFLAGLAASGILTSALRLITKAAFENTKDGLRIGAILFFSVTCLFELACLLLYALVFPKLPIVKYYRAKAAAEGSKTVASDLAAAGLSTDQQGQIEEDPQKQKRLTTKELLMENIDYAVDIYLIYVLTLSIFPGFLSEDTGSHSLGYALVLIAMYNAWDLIGRYVPLIKCLKMTSRKGLTVSILARFLLVPAFYFTAKYGDQGYMILLTSFLGLSNGYLTVCVLMDAPKGYKGPEQNALGNVLVVCLLGGIFSGVKNHPTRVLTLAYQPFALGVTVLLTYHEARINTRLRNLTGYTLFFIGSFAIIMSYLAGLAASGAITSALRLITKAAFESSRDGFRKGATALARFLLVPAFYFTAKYGDQGYMIFLTSFLGLSNGYLTGPEQNALGNVLVVFLLIGLFTGVVLDWLWLIGKGW >Et_8A_056446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10161462:10163064:1 gene:Et_8A_056446 transcript:Et_8A_056446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEASPPWSASRARSRTSSPPSAASNFCLGFHTNFVIAGARKRRARGARVALVVEAARVLPCLVLDVRLQITCLGSSPTLLLGKPRQHSSGSFKHYEEADLDFGLFQSKELKDHLQNVLAKNYPVSVKSGKQIAEVNPQGVDKGITVGNLIATMRTRGSIPDFILG >Et_10A_001861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9284604:9285996:1 gene:Et_10A_001861 transcript:Et_10A_001861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVVLVLCWLVLVASSPTTPTQAGVSIVAPVGKDPSTSLYTVTIKAGGHALVVDLSGPLHWSRCPPVHRSFPCLSSACHAVNRDIPPGTCTFTSKFINYTDPGCVCPTYPYNPISGECAITDATTFTLSATAWYGAQQDHPVTFMALGACSTELLYETLPAGSWGVAGIGAVIFGGGVGPFLSAQALHENQVPFFKYSQNGAYYLRVTGINVNNAPVTLPREGALDLDAGSGEGGVILSTVVPYTTLRSDIYRALLAVFDAATGGVPRAPAVAPFELCFQASASASALPAGVDLLLDNGRTWSVASVVQVDDRTACFAFLEMTMISRVGWEPAALLGGFQFEDRLLVIDLEKETLALSGSLAGGCHLLN >Et_3B_031704.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:8453347:8454144:1 gene:Et_3B_031704 transcript:Et_3B_031704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVRPSAAGAAAAMEGAGHQAEEASALVLVQVHAPASVSGGGLGGGGGKEPAGLPCPRCESTDTKFCYYNNYNLGQPRHFCKGCRRYWTRGGALRNVPVGGGTRKATPAARRNNKRPSSNSSSSAFPSPSPSPQQLLPSPAPLSMSAPAAAYAAARPAAAAYDLQSFMPSLASPMAAVDPDRRLLDLGGSFSSLLAPPPAPDVRFSAGFPRVGGGGGLAPHMMMPPASSAPAHAHHALLPPPPPMSQALPEGLIWSMGWPDLSI >Et_4A_033524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23992087:24000514:-1 gene:Et_4A_033524 transcript:Et_4A_033524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGGGDDEVPDGIGMARLQWTRLPTAEADGASTSAAADDELFSGAAVESLDYEVIENYAYREEQAQRSKFWVPYYIMLKWLFSLLIGVGTGLAAIFINLAVENFSGWKFTATFAIIKHSYFVGFVVYTLFNLALVFSSVYIVTNFAPAAAGSGIPEIKGYLNGVDTHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLSSRWVRIFESDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSHLMWRVFFTSAVVAVVVRSAMNWCNSGKCGHFGAGGFIIWDISGGQEDYSYQELLPMAIIGVIGGLLGALFNQLTLYITKWRRTYLHKKGKRVKVFEACLISLVTSTISFVLPLMRQCSPCPEVDANSGIECPRPPGTDGNFVNFYCSRDKEYNDLATIFFNTQDDAIRNLFSAKTFHEYSAKSLITFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKFYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLVSKAVGDFFNEGLYEEQARLRGIPLLDSRPKQIMRNLNARDACKNQKVVCLPRVSRVVDIVSVLRTNKHNGFPVFDRGQNGESLVIGLILRSHLLVLLQAKVDFQNSPFPCGPGVLNRHNFSDFVKPASSKGKSIDDIHLTEDELGLYLDLAPFLNPSPYIVPEDMSLAKVYNLFRQLGLRHIFVVPRPSRVVGLITRKDLLLEEDSNTATTELQSTSVRGLLNGKTLGGNAHLGRPLLDNLVIE >Et_10B_002879.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1312847:1313251:1 gene:Et_10B_002879 transcript:Et_10B_002879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSNKKARATVLSSRGFINRHLDLANRGGCRVLCAMALPAWSRDVRPLTRSCRGLVLLSNTSRGVYYVCNPSTGQTAPRAKAPTHCLGLGYDARAERHKVVRVYYHASVSSTTWAPPPRRATGGEAGS >Et_10A_000187.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:16135342:16135386:1 gene:Et_10A_000187 transcript:Et_10A_000187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRVVLNETTQK >Et_3B_030012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29881515:29884600:-1 gene:Et_3B_030012 transcript:Et_3B_030012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLPSPACTMGNLPRALSVAAAAVAAATTSLLLISVASRSHAHSSTSPPAPSTSASTTAALAPAPGPSPLPDAEHHHPPPPPVPPCPPNATHLVPCHEPPSGERHCPPRPPPPPLHPPKEPPPHPPHPPPPGYRPPPPWPARRDIARYANVEVASLPKAKVAAGPGKWLTFPKGVGNYVEQLEHVVPLRGGVVRTVLDIGCGAASFGDYLLNDGILTMSISPPSRHGAHVQLALERGLPAMIGALGADRLPFPARSFDMVHCADCLVPRTALDGLYMLEIDRLLRPGGYWVYSRPPISWKLPQNVSNQTVNDMQNEQLAMDDMAYKLRWIKLAEKDTIAVWRKPTNHLHCDHKETLFGLPPLCTGDDPDSACDALEKWPERLVAVPPRIASGEMKWLSIQTYKHDSFIWEKRVEFYITYLNNLSNGTFRNVMDMNAGFGGFAAAMSKYPVWVMNVVPSNATNNTLGIIYERGLVGTYMDWCEAFSTYPRTYDLIHAYGIFSLYMHKCGIIDILVEIDRILRPGGAVIVRDRADVVLKVKKDADRLGWHSQTDDIENGPLDPDKLLLVDKSFPLPG >Et_10B_003329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18933509:18938887:1 gene:Et_10B_003329 transcript:Et_10B_003329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIALDADLSTSSSCSEASYAGAPPLVFRQEGKIENLVRSVYAEVDEKDVNLDAAFSVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNYEVLKETLTRHGFIFESDTDTEVIPKLAKFVFDKAHDEKGDVTFSQVVMEVMRHLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSGQNSGKKFHDVKALTTNGKPKELFFSSDLCAIVEHTKNYLAIEDDEIVHIKDGSVSVLKFDQDKEKPASVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRGRLKDGGILLGGLKEHLKTIRRSRRVVFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALDYALANGALCVGVTNTVGSTLSRRTHCGVHINAGCEIGVASTKAYTSQIVAMAMMALAIGSDQISTQVRRDAIISGLSSLPKNVSEVLKLDAEMKELASSLNDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLIVMCSKGDASAVCPSGSCRVIEVPEVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSRDINLF >Et_1B_010234.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31372177:31372869:-1 gene:Et_1B_010234 transcript:Et_1B_010234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFALGAAGTWPEFVVQCLLFLLAAGMLVALHSLPRRVAQHRLRRAGGTAAAQSRRHFAQGAQLLARARAAAPKPPAQLARAAVAEADRAIALDPRDAAPLILKALALDLQGHRLPALRALDAALAPQLARSLEPRERGDALAKRAEIALALHRRRRLDQAAADLAEAVRLSPKNARAHALLGECYERKGLGAEARDAFKTAVSIDPKLDVALDGLHRIDGGNQGHESD >Et_2B_020606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21858706:21865412:1 gene:Et_2B_020606 transcript:Et_2B_020606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLGPGGKVERLSSIDAQLRMLVPGKLSEDDKLIEYDALLLDRFLDILQDLHGDDLRELVQECYEVAAEYETKHDHQKLDELGKMITSLDPGDSIVIAKSFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSAMTESDIEETLKRLVVDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRMQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYCSQIEDLMFEFWKKVPPNEPYRVILSDVRDKLYNTRERSRELLSSGHSDIPEEATLTNVEQLLEPLELCYRSLCACGDRIIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITTYLGIGSYREWSEERRQEWLLSELNGKRPLFGADLPKTEEVADVLDTFHVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLEAAPAALARLFSIDWYRQRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEDLIKVAKDFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPNAPKPEWRALLDEMAVVATKEYRSIVFQEPRFVEYFRLATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHALQKDIRNLHMLQEMYNQWPFFRVTIDLVEMVFAKGNPDIAALYDKLLVSEELRPLGERLRANYEETQKLLLQVAGHRDLLEGDPYLKQRLRLRDAYITTLNVCQAYTLKRIRDPDYHVALRPHLSKEIMDSSKPAAELVKLNPASEYAPGLEDTLILTMKGIAAGLQNTGWAS >Et_4A_035891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:809662:810335:-1 gene:Et_4A_035891 transcript:Et_4A_035891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSHQGSLGPLKGEAYRDNDRISVSDHLLAQTIWEAGINRIFTDISVSGKVATGLNPNIRFYRYTEGQRFGRHIDESVDLGDGSAKDSSGQALVGGETVFYDQRGGVVAEQGKPLQR >Et_9B_064370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14476600:14483077:-1 gene:Et_9B_064370 transcript:Et_9B_064370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGMSRLEEEYYELETQDTVGSSSAEVNEEFSKLHNDIFQLTRIRSRLSESIYKSVGSYRGTISTAKLLSRREIDCSGKGMFSSGDRAFVLGRYVPKMDSRAYVSQFSADGTLFVAGFQGSHIRIYDVDRGWSIHKDIHARSLRWTISDVSLSPDQRYLVYSSLAPIIHIVNVGSAARESYANVTDIHDGLDFSQHEDVQYSFGIFSVKFSSDGRELVAGSNDDSIYVYDLHANRLTLRLPAHTSDVNTVAFAHETGHLIYSGSDDNLCKVWDRRCLSTGEPAGILTGHLHGITHIDSRGDGRSFISNGKDQAIKLWDVRKMLSNAESYAAKIPAWDYRYSRYPQQHNQLKHPHDQSLATYRGHSVLRTLIRCYFSPAYSTGQKYIYTGSYDSNVYIYDVVSGSLVEKLKGHQPAIRDCSWHPFDPVLVSSSWDGRVAKWTNTRDCVQETSDVD >Et_9B_065812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13443330:13444356:-1 gene:Et_9B_065812 transcript:Et_9B_065812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGDVGMVVFAPGGPFHHQQRTAPPDDPMFPLVAAAQRVLDADASAAAASKTAAPGPAIQFWQPAAEAAAAADGGSSPTGNKALGTLDNGRGRADSGSGSGGATCHDCGNQAKKGCEHNRCRTCCNSRGYDCDTHVKSTWVPASRRRERNQQIAGASPPPAAAKKPRLACQTTAAAATNSRASTSNATTPRSFDASSSHQDASFRETLPRQVRGPALFRCVQVTSVDDGQREVAYQAAVTINGHLFRGLLYDLGSEDGRATSPAAAVQLGTSDLHLGSASAAAAAHDLYSGGSGGPLILGGLGYGNTS >Et_1A_006813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27808124:27808485:-1 gene:Et_1A_006813 transcript:Et_1A_006813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNHYPVSNTLQNFVHVVFPLGKTWCHRSWVMDISVHTRRSDWETFTCRTKLLEKIRRIMPPGDKRLLEETRDTIRRENRI >Et_3B_030850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:840501:844914:-1 gene:Et_3B_030850 transcript:Et_3B_030850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAVVHLSVHGRLRRSPGIPSTSSCRLSLLRCRAFKQEADRDREPLPASPEARKRRKGPLYKLKAAIQGLAGSPTAAAEVYGGEEYQRVVEKAEEIFFSVATQLGRYAITMMSSGVVVGVGFQLSGGDSQMNELIWYSWLGGVIIGTMIGANSVLEEHCKAGPRNVVITGSTRGLGKALAREFLLSGDRSSESVLQTIEELKENIQEGLSVAKKKEKKILAHAKVVGTSCDVCKPEDVKKLVNFAIDELGSVDIWINNAGTNKGFRPLVNFSDEDITQIVSTNLVGSFLCTREAMIVMQDQEKGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQFQASLLKESRRSKVGVHTASPGMVLTDLLLSGSSLRNKQMFNLICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWVRRGRWFDEEGRAVYAAEADRIRNWAESRARFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSSSGPLPGT >Et_7B_054129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15884329:15888108:1 gene:Et_7B_054129 transcript:Et_7B_054129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPILRLGLGLLLVLAAQHAPVTAIPSPQCQSQCGDVQIPYPFGIGVNCSRTEGFNISCRVQDGIPKPFLATTVEVLNISLAEGTIRVLSDIRSYCYNTSSLRMDLGGLPGLNATDSPYRFSDVLNKFTVIGCNTLGYISDSKGTSYQTGCISTCTNQSLSQVKEGTCSGIGCCQTTIPRGMDYYELGFHESFTTSEIWNFSRCSYAMLMEAAAFSFTTAYINTTKLNDTRAGRAPLVMDWALRDGPLSCQVASRNESGGYACLSRNSVCMDSSNGPGYVCNCSVGYEGNPYLPDGCTDTGVTIGLGSGAGILFLAALAVFLVRKWMKTAQKQLRKRYFLKNKGILLEQLISSDQNASDGTKIFSFDELEKATNNFDHARVVGRGGHGTVYKGILTDQRVVAIKRSSKLEASAEIEEFINEVSILSQINHRNVVKLHGCCLEAEVPLLVYEFVSNGTLYDLLHREQVDGVLSPFPWEERLRIAVEVAGALTYLHSAASVSILHRDVKCMNVLLNDSYTAKVSDFGASKSIPIDQTHLVTAVQGTFGYLDPEYYHTGQLNEKSDVYSFGVILLELLIRKKPIFENEDGEKQNLFNYYLWAMSERPLEEVVDEQILGEANQEAIAGMARLAEECLSLTRGERPTMKDVEMRLQMLRGRHAVVPGPVARSGDESTTRRRGRGVPPVPADHHGSRQYSLELEFMSSSRVPR >Et_10A_000652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14481615:14482224:-1 gene:Et_10A_000652 transcript:Et_10A_000652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVMASSATSVAPFQGLKSTAGLPMSRRSGSTGFRKVSNGGRIRCMQVWPVDNNKKFETLSYLPPLTPEDFLKQVEYLLRNNWIPCLEFSDVGFVFRENSRSPNYYDGRYWTMWKLPMFGCTDATQVLKELEEAKAAYPGSYIRIIGFDNVRQVQCISFIAYKPPGKE >Et_8B_060508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1921840:1923428:1 gene:Et_8B_060508 transcript:Et_8B_060508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQRGIGGGRRREDPGLLTRAVDKVFLFVRLAEFEILFVLFFLIAFILFKDLLESRTRSPGPSN >Et_2B_021674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4370598:4374351:1 gene:Et_2B_021674 transcript:Et_2B_021674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQSSAPPQSKPLAISSSLPTTTSAAATGTLARPTAAASRAPRIPERGNRRPVRPPPPPLPGGMKSLWKQSGLACADAGPLSPLGGRSRRRARLTLLGFAAAFAAFTAYVALALPPGGAAAAGGGGGGGASWFGGVYASTAPYRSQVSSFFSSIFPAPSPQPTSVPRGGSSGGGVGEVSRDSNSVRVGSAAGSNSSPAVGSGKQLGSGGGSPISNASVGSVPPASNLAATGIGGKDGSGALTNNSATSGGSTNDLVDRNKGSDGGVSSNQTGGGSGSLASNSSGDGAPAKASQETVHESNKQPGSRSRAPSNDDDGHGSTANVEAKDAAGIPSNNSTGSGSLAKAVLSTGSSSIKEGGGSAVPSSGSAAGNSTSVKAAAHEESDGSARNGTHISTKGSEAQTESGNGDASHKLAGSSAAAGNSTLVSSDAGNGRVELNNSSVSIVPAGSQAGSGAMEREKESRSTSKNHTLEASSPALKNQEQTSGTAASDGTGGTANSQKGAAPQGSSGTTQTITSQSANNNNVSGSSTTEEWETQVGNGKKKETLRLDLVEQSSPKYKDADFLIFNTGHWWTHEKTALGKDYYQEGSHIYSELNVEDAFHKALVTWSRWIDANVNPRKTTVLFRGYSASHFSGGQWNSGGSCDKESDPITNEQYLSTYPPKMSILEDVIHKMKTPVVYLNITRMTDYRKDAHPSIYRKQNLTDEERRAPERYQDCSHWCLPGVPDSWNELTSHAGNLYSSDGTMYHTMYIDAQRYAMMYIKSLKDICRRL >Et_8A_056276.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19404358:19404447:-1 gene:Et_8A_056276 transcript:Et_8A_056276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSFMKDPGHSILRRLLYEFKGNTTGRA >Et_5B_043203.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:17346213:17346494:1 gene:Et_5B_043203 transcript:Et_5B_043203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRKFHCNDAEEAEAAACLDGIRLAARWPEHWMVLECDCALVVGKIRGEVEDRSLIALLVHDFRVEAQQLLEVEVCLADDLLNTPYANFFFT >Et_1A_005179.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31023916:31024608:1 gene:Et_1A_005179 transcript:Et_1A_005179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIVVVTLNFSGSKVSAKHFSWQDGTSSEVRTSAAIELPSTWVHLNSCALLVKRKCYMLGEAGYILMLDLASSSLFIISLPYGVRQHQSMKNLELLRADGSGFDLIHLKGSKIHVWHRDTDGDNEGEWKLVDTICARQAIGHLAKPNWESILESGGPDVGVQRVGDNAEILLVVGREVFYMQIKTRKVEKVFEIARHGDPFSAVRPFTMVWPPSFPTLSDEQVDRGQDE >Et_9B_066075.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:2645226:2645738:1 gene:Et_9B_066075 transcript:Et_9B_066075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFFSTRRLAVAAVAVLAVAAFSGLPPAAEASIDSTCQAAAARDKRVDVPFCVAHLTLFHGAAEADAWGLAKTAALVGVNLSDDAKFDLANGKVAPPPAKAAAAAYDAVGTAFAEAADELAARRYAAATAKMAGVAAIARRCDAPALATYRAECEKMAVIGIAITSLVK >Et_9B_066159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5279022:5280938:1 gene:Et_9B_066159 transcript:Et_9B_066159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATVVVAPAPVVTTPPAQHKLLELKASSFAPAAKSAPARKATPAAKKKLNGGSGGYVLEDVPHLTDYLPELKSYPNPLQDHPAYSVVKQYFVNPDDTVAKKIVVHKSSARGTHFRRAGPRQRVYFQPGEVRAAIVTCGGLCPGLNTVVRELVCGLHDMYGVTSVLGIVGGYRGFYARNTVELTPRSVNDIHKRGGTVLGTSRGGQDTAKIVDAVQDRGINQVYIIGGDGTQKGAASIADEVRRRGLRCAVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAISAAHVEAESAENGVGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFHLEGKGGLLEFVEKRLRDNGHMRITEKQNKVVITDRMWARVLCSTNQPCFLSHEDVERAGEEDEEPLVEGENALLVKTSLTCNGNGHLCNGTA >Et_2B_022095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:956874:971965:-1 gene:Et_2B_022095 transcript:Et_2B_022095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLSSLAASAGLFLLSATAIASLLVTLYILGVVASFAVFCVKEFAQKAQDRPPLIGTVFWMLKEFDRLFDAHVQYALAHRTSRLVFPGHSEIWTADSAVIEHILKNNFSKYSKGDFNYQIMKDLFGDGIFATDGERWRHQRKLASHEFSTKVLRDFSSGIFRTNAANLAEKITHGAANRITINMQDLLMKTTMDSIFKVRFGFELDTLSGSDESSIQFSKAFDEANSLVYHRYVDILWELKRFLNIGSEAKLKRNIEIIDNFVMKLIHKKREQMKNELDHKVREDILSRFILASEKDPETINDRYLRDIVLNILIAGKDTTANTLSWFFYMLCKNPIVQNKIALEIDESLEWAKEDNIEKFTSRLNEYLHAVITEILRLYPAVPLDGKMAEEDDTLPNGYRVIKGDGVNYMIYAMGRMRYLWGEDAEEFRPERWLVNGVFQQESPYKFVSFNAGPRICLGKEFAYRQMKITTATLLHFFRFKLADESKEATYKTMFTLHIDNGLHLFAYPRLCLLLASAVALLLVVLYILGVVVSFAVFCIKEYAQRAPDRPPLMGTMLRQLKNFDKIFDENVQYAQRHRTCRLLYPGHSELFTSDPAVIEHVLKTNFSKYSKGTFNIGVMKDIFGDGIFATDGEKWKHQRKLASHEFSTRVLREFSSVLFRTNASKLADKISLAAANGTTINMQELLMKTTMDSIFKVGFGFELDTLSGLDESSIQFSNAFDEANSLVYHRYVDLFWELKRYFNIGSEAKLRRNIQIIDDFVMKLIHQKRKQMDGQDDKAREDILSRFIIASKKEPETMNDRYLRDIVLNFLIAGKDTTANTLSWLFYMLCKNPIVQDKIALEIKDSVEGAEADNSTANFTSRLNEGVIDKMHYLHATITETLRLYPAVPVDNKMADEDDVLPNGYRVIKGDGINYMIYAMGRMTYLWGEDAEEFRPERWLVNGVFQQESPYKFVSFNAGPRICLGKEFAYRQMKIVAATLIHFFRFKLADESKDPTYKPMFTLHINNGLHLYAFPRSA >Et_8B_059662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20417917:20418809:1 gene:Et_8B_059662 transcript:Et_8B_059662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSPIRPILHSSLSLLRHQGRKAEPKTNDRRKAKRSPHLTTSPSNRIEGTRAAATMGIGEHFEGVKQHWARNFAFLDYFKKVYGRAEPLPKWSDADVEEFIASDPVYGPQLKALRESRKFALAGAFVGAAHLGGVAFKYSKAPHGVVLATGFGALTGAVLGSEVAEHWYQLYKMDKQGANLRFIYWWEDKVAGLSLCRLHLLPFLRVLICDGPVSTCVVFSAN >Et_7A_052973.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:3727686:3730754:-1 gene:Et_7A_052973 transcript:Et_7A_052973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALDLLKLKISSNYIYLVLSTHGFGCAQVIQASSIDEAAEVILKKLREEDPADTSRHNAIYFDGWDGLGASAVLQAVGGRLTAAASSSQDKEPGGLKFSHIFHINCSKWESRRAMQRVIAEQVKLPPSVMEILDAQDEEDDYQGVAKGCRIEIPQVTEAIYQTVQKLIMNGRFLVLFLNGSNQEVDLNSFGFPLSAYFRNKVLWSFQGRFRIYPKMKVDAALKNARMTDTLLSAGSSTDVPEHMLSNILRQEAEVAAREMVNSTIGGIDWPAMASSYFLYMMKLYGMGNHINDYGMSTHGCNYWKCDNGMTLLQPLGDVDSDDGADRLWLSADALHREMQLDEDYYQYLSSPVERSLHKRMAYWTSPTYGFMVIPDPNGQIPKGMFQQYDKLCVLKLSACEFSFTSPPFLCCNNLRFLWLDHCQDGTNATEEAKEDDICQFFQRLWVLDVRYPNKVFLSSDMLDSMTQLKELSMVGNCMEYIMNDYLFQRQMHKIRRLRLKDCYCPTLLSGMDKMELFEYSNKNTSWGTFSIESNCSLETVIIKSFFQCVLSFMGCAKLKNIFLSGSFPELYTINITGAAVETLDLSAVTAPLLHELVLRECGELCSILWPAEEGRKIYLDKLHMDTTRAGGTAAAATGRVFDFLISVRDARILRSLEHVKDYFSRNHAHVEVSTPTHLYGDATFSKGNQISRCEQLKGTAVYADVILTSKAIDEGDSSDAPMRSYICPPPPDVPSKGCYLHIGDQLRTKSQANSSIITISGFICDGTKILHIHNSLHITCILAAPTASAVWNELEWCRVEGCPKLECVFSTQLGAGRPERPDSNILFNKLRTTWVSDLPSARYIWKCIGSSTTIDNYKGQLFGDLTLLHLDCCPNLIQIVHLPNFGLVWDRLERLEILWCSELSVAFHSGTPYVSSASIDSSHIWEFPKLKHVCLHELPKLENIRHIGKIYMPELETIKIRGCWSLRTLPIIERANIVECDCEKEWWDQLEWDSKGHADNYKPNHPRYYKNTMLRGSVLS >Et_2A_015222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8952214:8952726:-1 gene:Et_2A_015222 transcript:Et_2A_015222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRQLLPALASGRSTYVPPAGNALRFRHRRHEASGDGLLGASIRVPEGHVWLRYIYTHTHTHTYMIQLQWPQTRDGAHCHLDVSGSATIDLELSSDVLVEKCFAFVEGSPGNYCIGIIGNVNQRTFEMFYDLRYSWNTERVTSEFLNFPLL >Et_7A_050529.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:22719646:22719882:1 gene:Et_7A_050529 transcript:Et_7A_050529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPLFLLAMIMVNACTAAPLKEATRDELVHGYKTVTEEGTSVDNHHAIPRPEYNSWSSPGNMPGNVHDIGSEQAKP >Et_1A_004801.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6584428:6585021:1 gene:Et_1A_004801 transcript:Et_1A_004801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCAAALHSRLVRGGHVRSPPTSSPRFFTLGRRARSAPAGRIVQQAIEKIPGGPYENLEARRFKKAKNSEWNDFEYKFLGKKPSPNFELSKQELYVRVEAPKGELGIYLVGDDSLFPWRWKIRPPGFINLQILPQLPANESRRTRAAEQCAMTSFDKLGGRVRYGGAWKRAGNRPLFPGRRVASCCFFGALGESDR >Et_1B_012126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29142073:29148349:-1 gene:Et_1B_012126 transcript:Et_1B_012126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNTIISPNTSPAGSSSPDATAGSSPHVADASSPAMPAAPSYVLQRGVSIIKRRVPFFILYMINASYSLWRRFFETVFGNKLWIRDHIEPSTAAATTRNDDVPEISAELKAEGDKDGDDASIRSADLPFDITEKILHCISPLESARLPFVCKSWAAIVSPRHASYPLVPHLFVTETIPRPPGPSGRDFRLRGYMVSVPLDGSARLPSPAIMRLEDLITRCSAKLIGATPDGRLALAMSRRVIFIDPVTDESKTLDVTSVPVFTGIAGDSSDTVLSHDDHYQTYYYRETTRLFRREHGSEEWSCGAVRDAPRYHSSGISSVANCGGVVFVLYRDGSMAKINTIARPPLVIEAVALIYNVPRPAWWRQDHDPRSSRDYLLESDGNVLFVRQQLFAQKPKMFPGDYWHYDTVGFEVHRLDVIGRRWVKVETLDGDRTLFVSTRSSFSVRASATAGCMTNCIYFVGESHHAGSCREREDCMSTWGVYSMEQRKVLFEHAVVVTERRTVARNWAGLPNLTLQCISDRLNDPVDFISFRAVCQPWRTAIQRKAHGRFHPWILKSDGVSADGNVRFHRLSSDKLVKIHVPALKGRRTRLAGFGAGHLIAIDADDKLSAVLVNPLHPAGPRIALPRLPEWCDGGRTYVFATDPAMTGNDDVFVVVYNWWPFALERMDVAMWRRGSGAGWATIPAERLWSRMPLLRSRLAKHGPIGLDLEEDVVGDNGGMAWVPGMANAHLMEHQGMVRFLVRQEDHGLPFPWPRVTFALQDMIQSEDFDYSRSWGREEDDDGPLESKDYMLESDGEWDLRCTGWTKVEMLDGDRALFVSAKSSFSVRASETPGCRTNCIYFVGENQQHCVSCRDRGDYKCAWGVYSMAQGKVLFQHTIVATQGRTVARTSSGTDGASSGGPIDLPLDVTERILRCISPLESARLATVCHPWATTVSARLAGPLAPHLFLHTPPEHDNSDRSGVIVPVPLDGGARGRPPPPPAAIAVRVRKANTAGLVCIGATPSGRVAFLPCLSRPTVFLVNPVTGASRRIDLGMKIGPKQVLAPAAGDVEALLSIYDNRHGVVLTWRCAGGCGDDGVWASAIVKTGPWLMPENVLSVARHDKFFYVLHDMGCVSVIDAAAPPPLRMEPVVLLPRGDQQRQQFAKPLTDLSDARLVECGGEILLVRRLVEFKELAVPTCEHNRLDLAVLGFEVYRLDAEHQRWTQVEELARDQALFVSLESSFAVRASAGCRSNCIYYVRKKRYCSLCHTDSGNACWGVYSMGEQKVLFEHDLTATGRCAAAMWFVPSVV >Et_8B_059447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1867665:1869496:-1 gene:Et_8B_059447 transcript:Et_8B_059447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVLLNAGSVFSMTLSRMLTIFLQKCPNRVLQADADPRVLIPVLGESSRDACPTLVLAPTLVHNVYELELLMMHNRSSLKETDLGSCEQDILC >Et_7B_053660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10940037:10945420:1 gene:Et_7B_053660 transcript:Et_7B_053660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHIYATTSGRCGPAIPLLLPAPPPTLHLPSRFRRRMGASDHLGGLAVVAAPRHGGHVAADPRRPCGAVLGEPLAAAEEVAPGGGDDDGALLVHPSAEFAAQALVSSTQQYREMYQRSIDDPAGFWSEVAETFYWKQKWNPDEVCSENLDVTKGPIKIEWFKGGKTNICYNAVDRNVEAGNGEKIAMYWEGNEPHQDGKLTYSELLDKVCQLAHYLKSVGVEKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSADALAQRIIDCKPKVVITCNAVKRGQKLIALKNIVDASLAESAKNGVDVGICLTYENQSALNKEDTQWKIGRDVWWQDVVPNFPSKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMIYTATTFKHAFDYKSTDIYWCTADCGWITGHSYVTYGPLLNGATVLVFEGAPTYPDPGRCWDIVDKYGVTIFYTAPTLIRSLMRDGTEYVNRYSRKSLRVLGSVGEPINPTAWRWFYNVIGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATLPFFGVQPVIVDEKGQEMKGECSGYLCIKNSWPGAFRTLYGDKDRYETTYFKPFAGYYFSGDGCSSGHRIGTAEVESALVSHPKCAEAAVVGIDHEVKGQGIYAFVTLVDGVPYSEDLRKSLVMTVRSQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLAEPGVVDQLIALSES >Et_7A_050445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15755035:15755544:-1 gene:Et_7A_050445 transcript:Et_7A_050445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ETPPRAKGIRQSRQPRSRLRGCPRSAEGEVHLSGHSALAASKSGVDSLVPPLAPAPSRPCLVLRRNFTDLASSSAPWQRETPPVAASSSCHAWPIRPLWVGISPDMPLLSR >Et_1A_009616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9628008:9630550:-1 gene:Et_1A_009616 transcript:Et_1A_009616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRKRHRKAKLPGGQAEREMVDGASTTANQAMAEPSAAGECEKAEEDDVRELLEPFTRDELLDLLADACLRDPALLARLADSTASDATHRRLFVHGLGPGATSAALAAAFAPFGALDECHAVADRADGRCRGYGFVTFRRRSDARRALADASKRVDDRPVACQLASLGPVAPSSSYSDRKLFVRNVPERAAHDELRGFFSSFGEIEKGPLGADSATGLFRGHAMFFYKTPEGLRKALGEPNKVFDGCELQCRRAHRVTKRKHDAAAAADMSNGPGVQLKELALTSGKPMLSSNPAVGLTAKGSSSPSATALFRQNAAAGRAGILGAPPAAIAVPCSLGIRSCGEQLSVFLGRFDFAVSDWSAQPRPPQRPAGGAFGNGKSAVQFSRRHRPVLEFASRTTHIHTPLLPQLLSAALSGEPV >Et_2B_021870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6268970:6272860:-1 gene:Et_2B_021870 transcript:Et_2B_021870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTRINIKHPKTRPCLKKKNPKTRRNALRLKPPSTDRPLGLPDHGRETRESSPDQEPHLAGDGHDGVDRISGLPDEILGEIISLLPTKDGARTQTLASRWRHLWLSAPLNINHRGIPYGERLHVDVISRILASHPGPARRFSVCKVPTHPDHCTAMVDTWFKSLALNNLQELEFEAVPWRQKQHLPASAFRFSATLRVVTIGFCHVLDGVVESLRFPQLKQLALVHIMVSVAALHSIIAGCPKLECLLITHITKVDVGHTPSIRINSPSLIRIGFAGYLHHELIIEDAPSLERLFCLDLNCSHVSVISAPKLEIVGGFTYCDRTKLTIGGATVIEVNVFTQLLTASSHMLHKQICFLCLLKGSRTSFTTTVRSVKFLSINNKMFCLDTVINLMQCFPCLEKLSVQISNETKGKNLWRRKYRGLIRCLDIRLKVLVLKNYQGTESQVNFVTFFIMNAKMLDSIRFEGGPYKGYNKSIAKQHKRLQLEKRASRCVQIQFISCACPKPRIKHKRRTEAHEWQEAGELLIWLRHEKHHPPPVLVACLRPTSQAGALHAGRERPPGAGEEGEEVIDRISGLPEEILGEIISLLPTKDGACTQILASWWRHLWLSAPLNLAADRLGVYEERLSTLIYRILAAYPGPARRFCIPLRDLNCS >Et_3B_028603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17209189:17212488:1 gene:Et_3B_028603 transcript:Et_3B_028603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVWRDLDLQLPANGVAADDALHDSFWPAFADCTASFLAGDNSNCFGVDMTGSANTKVAEEMAVDMDASCCCFMADPQEPLSTMQPHEATTYSSSSLSSKRSLSIDSGGTTSSPFALALDPFAGDDAAIMRAMMAVLSSASPSSSEYSSPPQSQGESSSVQQSSSAAAYPRGGNVVVRSAAAPERTASLTANSATGGGRQQQDGGNSSQMYHMMSERKRREKLNDSFHTLRTLLPPCSKKDKTTVLVNAASYLKKLETEVSELEEKNAKLERHVPREDGAAASSTAARAAAHRRAKVQVSRAASDADQVNLTVMVMVECDIVDLVLHVLEQLRWMAGVSVLSVDADTYSPQVLLKAIASIKLKITDGDCWNEASFHEAMTKAVHDATSSPSSCASAAPLVVAA >Et_7B_054783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4442044:4448863:1 gene:Et_7B_054783 transcript:Et_7B_054783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGISPPAAETPSKDYASWTWTERLEDLIRSDPSRGIMPEHPDSAARIKAKMQDVYSRFIRTVSAKLDPALEKDSVKKFYQVCRGWSSAMGLSEFLYPDILTSMASYNALRCAKAALEGGDPSLKGRRADPNGRHRYGFAPLHLAAETFSVHMAKLLLRHGASANLRTRGERFIEGLLPLHIAVENTSMHKYIEDHWANGDPVDNLIFLLCLPEMKMFLDTTRLIARHTDNIVDELWDYIDKKEVVQAAILLLAAQKQLHGEALFTERKVSLNGFEIVKGRIDEAVDALHREGLAMVKEGKNGKALKKLKDTKDVLLTAHALVGIVQKAGEALEGYIQTHSEVPHQEIIEHVSLILNRNGIVCYGKGIDTGNLEWSDIYWLHFYHYNGGVPSDKSSLQRVDYGEANEADKASFRKDEVSKQMLIASCKQILRKQPKGLALKHVRSMFFPYWKSVISRRMVVKVIPMCQPSKKDLESAETSRKRTKKGTPIKSMRNLGSLGLPQLASNFECRRTFSAVAWISRKVREMKLSATQCYVVWTDLIQHDNCRNLPIIEEN >Et_4A_034367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32049550:32052718:1 gene:Et_4A_034367 transcript:Et_4A_034367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGEDRSFNFLQVLFEGSIAGGTAGVVVETALYPIDTIKTRLQAARGGSQIEWKGLYSGLAGNLAGVLPASAIFVGVYEPTKRKLLETLPENLSAVAHFGPLEALLLLSFVFLQRSAPDAVRLIVAKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLMAKRELKDPENALIGAFAGAITGAITTPLDVMKTRLMVQGQGNQYSGIVSCAQTILREEGPKAFLKGIEPRVLWIGIGGSIFFGVLEKTKSMLAERRNNKPLVHAAKSGSPKDE >Et_3B_029469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25318890:25322470:1 gene:Et_3B_029469 transcript:Et_3B_029469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKHLETARADRSVWLMKCPTVVSRAWQEAATASASSSSAAADAGGANPNPVVAKVVLSLDPLRDDQPLQASLLSISAPSSNSWLAADPWLGPFKMEMTQTDNGNTPKSYSLNMYNDFVPMCIFSESNQGKLACEGKVEHKFDMKPHRENLAEYGKLCRERTSKFMTRTRQVQVLADDSGMRMRPMPGMVGLIPSSGPSKEKKKTIPAKPSDMKRTRRIARKWKISYLSFLRSSQTGH >Et_9B_063827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16449661:16450615:-1 gene:Et_9B_063827 transcript:Et_9B_063827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPYTNTQGTFDNTTVAGVLDNDTSFVANYTAKLRSLASYQYPAAVPQQVDRRFFFTVGLGTHPCAVNGTCQGPNGSRFAASINNVSFVLPTSNGVYSTDFPVVPPVPFNYTGARLNNTNVTNGTKVAVLPFGTNVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPAKDPAAKFNLVDPVECNTFGVPAGGWVAVRFRADNPGVWFMHCHLEVHMSWGLKMAWLVLDGSQPDQKLPPPPSDLPQC >Et_9A_061453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12115632:12117128:-1 gene:Et_9A_061453 transcript:Et_9A_061453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSLGSVGTIVRIAQDITAAVATVNRNKSRCKKLQKRVQGISDVLRDAGATTAATQRLLDRLQDALARALRLVKLCKGRSCPINFIAGRRVADQFDELDGEIDRCLLDLGAANHVTIARLEKQLHQNMAGSTETDATMKKADEDENKGKVANDCGVDKDEKKGKVAGDGEWKDRDNITGSLTAIGVPVHGHHRGMPELVPPPSYGHGYWPYAADCRSTGGCCHHNAGGPADGAAAHGYNHHSPYPDYFSDENPNACSI >Et_5A_042165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6965098:6969857:-1 gene:Et_5A_042165 transcript:Et_5A_042165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWERGRPPRKPRPSPILVPPPPPSPPPPRLHLFLPRSLLALAARSMPWRRPSPILLLLLALALALLFLLLSPVSHSASRLSRSLISGSDAGFSSPASAGLPPPVKIYMYELPTKFTYGVVRSYMAARVPSGSADTAAALPDEKLRYPGHQHSAEWWLFKDLLRRGPRDRPVARVDDPHDADLFYVPFFSSLSLVVNPIRPPAAANASGAAAAYSDEAMQEELLEWLERQRFWRRHRGRDHVFICQDPNALYKIVDWISNAVLLVSDFGRLRGDQASLVKDVILPYSHRINSFQGDIGVEGRPSLLFFMGNRYRKEGGKVRDALFQILENEEDVIIKHGTQSRESRRAATRGMHSSKFCLHPAGDTPSACRLFDALVSLCVPVVVSDHIELPFEDVIDYRNISIFVETSKAVQPGFLTSFLRKISAERILEYQREIKKVKHYFVYEDPNGPVNEIWRQVSLKEPLIKLLTNRNKRMIERGANTTDCSCICSTNPTEISAGSDERDFFSN >Et_6A_048183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:935940:937756:1 gene:Et_6A_048183 transcript:Et_6A_048183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPAFQQQQQHAAAEVDVPAAQQQGQAGEAATTAWVDGIIRDIIGSSGAAVSVAQLIQNVREIIHPCNPGLASLLELRLRSLLDHQHPPALQPPHHQLPPVVAPAALPPPPPPAPAQIPADKPSPSPNPPSPKAPTAEETAAAAAAAAAAAAAAAKERKEEQRRKQRDEEGLHLLTLLLQCAEAVNADNLDDAHQTLLSIAELATPFGTSTQRVAAYFAEAMSARLVSSCLGLYAPLPPASPAAARLGTRVAAAFQVFNGISPFVKFSHFTANQAIQEAFEREDRVHIIDLDIMQGLQWPGLFHILASRPGGPPRVRLTGLGASMDVLEATGKRLSDFADTLGLPFEFCAVAEKAGNVDPEKLGVTRREAVAVHWLHHSLYDVTGSDSNTLWLIQSHPLNCYNLSNNVLGEPENKLRRPLWLVASLRF >Et_7B_055840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5619611:5626757:-1 gene:Et_7B_055840 transcript:Et_7B_055840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAQKKKKKKKNTCVITAQTGSSRLTSRINENAKTKLDNMFSLKQSHSTAEILWNHGLEWLSTRPRFAFLKRSFCPYALILDAPVSDSAKWCRIGALWICDKRASSLAVGVESKKDMGARRMHWVMFLKNFSDVNRPISDTSMDRAKTHSALPIDSDIYTEKRRPNPTVGVVADELGRIFAIDIWHVILLKWFLVSGSKDRVHPGEWPGKAKGEGMVGKERWPCSATEDMSQGREESRISLMHEPIFCMLAQGHGLAGIE >Et_6A_046800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20831728:20835859:1 gene:Et_6A_046800 transcript:Et_6A_046800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFFSESTCKETKLHSAPHSWLPLERGKLSKFSGHAGGSSIDSLMKMPEPAVLPHFKPADYVDILAQIHEELEACPPDGKSCLYLLQFQVFRGLGEAKLSRRSLQSAWEKASTIHEKLIFGAWLKYEKKGEEPISDLLSSCGKCSQEFRLLDFVSQVSTESHDMSYDDEEEDESDEFRGSAVVHFRIRDDMIACDRRKLAALSTPLYAMLNGGFKESYLEVIDMSQNGISPIGMRAVSKFSLSGRLPYLSADAILEMLDFANKFCCKGLKDACERKLASFVCTRQDAIEFMECALELGCSILAASCLQVLLNELPECLNDERVVRIFSSANKHQRLTMVGNASFSLYCLLSEVSMSTNQTSDVTVSFLEKLVESASDSRQKQLALHQLACSRLQSKDYAEAERLFNAAFSAGHLYSVVGLARLASLRGNKHFALKLLDSVMSSRWPLGWMYQERALYLDGDSKLENLNKATELDPTLTYPYMFRAATLMKKQSVEAALMEINRILGFKLVLECLELRFCCYLALEDYRAALCDVQAILTLDPDYRMIGGRVAAKQLRMLVLENVEQWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHAASDHERLVYEGWILYDTGHCEEGLQKAEASIAIQRSFEAFFLKAYALADSSLEPSTSATVVSLLEDALRCPSDRLRKGQALNNLGSVYVDCGKLELAAECYINALKIGHTRAHQGLARVHFLRNNRTGAYEEMTKLIEKARNNASAYEKRSEYCDRELTKADLRMVTTLDPLRVYPYRYRAAVLMDNHKEKEAIAELTKAISFKADLNLLHLRAAFHEHIGDISSALQDCRAALSVDPNHQEMLELHHRVNSQEP >Et_5A_040128.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:13943410:13944113:1 gene:Et_5A_040128 transcript:Et_5A_040128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKVFIQLLVYASVFGLFTMPQALGEKECYGEKVTFLQKCNRSIQCGYAYVHPSGSCCEAVREIDMTCVCGIITRLNKLDAQNVYWVSLDCNNPVPAGNRCGGKCPLPFNFLNYIIWSHVLPKYEVYMDSFVIII >Et_2A_018705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5439478:5440380:-1 gene:Et_2A_018705 transcript:Et_2A_018705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKMQDEDVRVGVDHFPERQPIGTAAGDLDRDYREPPPAPIFEASELSSWSFYRAGIAEFVATFLFLYVTVLTVMGVSRSPSKCATAGVQGVAWAFGAAIFALVYCTAGVSGGHINPAVTFGLFLARKLSLPRALYYAAMQCLGAVCGAGGGGANAVAAGYTKGDGLGAEIVGTFVLAYVVFSATDAKRSARDWHVPVLAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYDRPHGWHGHWIFWVGPLTGAALAALYHQVIIRAIPFKAAASDSTPY >Et_3B_030347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32319893:32323302:-1 gene:Et_3B_030347 transcript:Et_3B_030347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPSPSPAASRVDLDGNPVAPLTICMIGAGGFIGSHLCEKLMAETSHVVLAVDVYCDKIRHLVDPAPPHLAGRISFHRLNIKNDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPKDHPLRKEPEFYVLKEDESPCIFGPIVKQRWSYACAKQLIERLVFAEGAENGLKFTIVRPFNWIGPRMDFIPGVDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFVYIKDAIEAVLLMIENPARANGHIFNVGNPNNEVTVRELAQMMTEVYANVSGEPPLDEPMIDVSSNQFYGEGYDDSDKRIPDMTIINRQLGWNPKTPLKDLLETTLTYQHKTYKEAVKRQMSQASASKFRTRCFV >Et_1B_013894.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:22778648:22779583:1 gene:Et_1B_013894 transcript:Et_1B_013894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTTSSGSSTSAASQNPHLGVNKLGRSIRKATPPPPQQQQVAARPPQPQPQVYNISKNQFRDMVQQLTAGTPSPSPPPPQYNQQHRPYPPPPQQPKPPSMRLQRIRPPPIATPVARPPPVHPQHHPNPAVVHNPNHHANPAAFPRPPHPQPQQGMPPPPGPAWADSPVSAYMRILENSLFSATPPGAAAAAAAAAAASVAARQAPPPQHPPHPPPPPPVPSPGILPSPSGFLNLLSPTPRSPYPLLSPGFQHPPPLTPNFPALSPLPGTGILGPGPMPPPSPGLWFPQSPSGLLSPSGFLPILSPRWRDM >Et_7A_050362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1056225:1056895:1 gene:Et_7A_050362 transcript:Et_7A_050362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASRLGGRPREFSSQEERIIRSTFGLLGSPTQY >Et_7A_052150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5009583:5010230:-1 gene:Et_7A_052150 transcript:Et_7A_052150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGISPSGAETPSKDYASWTWSERLEDLIRSDPSRGIMPEHPDSAARIKAKMEFLFLSWIDRTDFLCNPWMLLISLLMYYLFLQDVYSRFIRTVSAKLDPALERDSVKKFHQVCRGWSSAMGLSEFVYPDILTSMASYNALRHRADPNGRHRYGFAPLHLAAESFSVDMAKLLFRHGASANLRTQGERFIEGLLPLH >Et_5A_041381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2272987:2275525:1 gene:Et_5A_041381 transcript:Et_5A_041381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLLIPDALPPLAPNRVSVRSPPPAAVKNLRRESTDPKKQYVSLSASPPKTSSITAAAQSFLIPDAPAAAPPSDDQPSLALCRVSVRSPPPAAVKTLRREKDPKKRVLITGMGVVSVFGNDAGAFYDRLLAGESGVVPIDRFDASAFPTRFAAQIRDFYSDGHVDGRNGCRLGDCHRYALVAAKKALESAALAIGSRAMNKIDKERAGVVVGSGIGGVNEFSAAVAALATEGPNEISSLAIPLAMSNAASALIAMDRGIGFMGPNYSVAAAGATANHCLHSAADQIRLGRADVMVAGGTDAAVVPAVLGAFAACGALSQRNDDPGTASRPWDRDRDGFVLGEGAGVLVMESLEHAMLREAPIFAEYLGGAATCDAYHLTIPRPDGRGIALCIKRSLEDAGVAPEEVNYINAHATSSLAGDLAELLALKQVFKDPNQMIKMNATKSMIGHCLGAAGGLEAIATIKAINIGWVHPTINQINPDPACPFDQFDTVRNVKQQHKVQVGISNSFGFGGHNSVVVFAPYRHNSFY >Et_5A_041324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21192249:21193633:1 gene:Et_5A_041324 transcript:Et_5A_041324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRSLPEDVVTIILRRLPPRDLAVSRSVCKPWRAIVDESRTLRPDLLPLALGGIFISLLQEPAPPALFSPPSMGPHKIAGKLQSFVKMDSSWDLLTIIGSCNGLLFLDDQVANPATRQWAPVPLCPVGWDVYNHKGEVYFVFDPFVSPHYQVLFVQDPYDLLEEESEWPPSTYAMWVYSSGTGRWEEKPFVREGGPAGTVAEKRSSRVHDYRQSVYWRGALYVHCNNDFIMRITISDYKYQVIKLPAGVSANDIHLVKSKNGVYVALVADQCQLRVWFLNELNDWVLKYDTNLQAVPAHFSRDQDHPVDKPWTLHYSKYNNREATAEGVSDWDSDNDNVIQIDDTVGKRNLSQFICIFGFHPYKDVAFLYLPNNDRVVACHLDSLKIQDLGQLRLPYRSDSIDTTFVYTPCWMGQLSEND >Et_9B_066110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:392371:395143:-1 gene:Et_9B_066110 transcript:Et_9B_066110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLATLGRSLLRFPNESMRLVMVTIIGAVLGFLIGISFPSVSITKLHFPASFASNLGLTNQAILNHAWTSARNAKGGSTGQSSNTTLKIYVPTNPKGAERLAPGIVVSESDFHLRRLWGDPSEDLPFKPKYLVTFTVGYAQKENINKAVKKFSDDFAIMLFHYDGRVSEWEEFEWSKRAIHISVRKQTKWWYAKRFLHPDIVAAYEYIFIWDEDLGYIKLVKKYHLDISQPGLEPDRGLTWQMTKRRGTQRRGQAGALTLIFHLVLRMWFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHERIGVVDSQWIVHQVVPSLGNQCHNFAFLIPSSCPFVLDNSQGQPENGKAPWVGVRARCRKEWGIFQTRMAEAEKAYYKMMGITPPNSTLV >Et_1B_011816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26285467:26287793:1 gene:Et_1B_011816 transcript:Et_1B_011816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASAFLIRWINFFTMVSLTPPSPLSPPPAPVLLCLPFEAGMLDSCSPCVRAADTASHFVLRKPVAFRILALLVVGFGFWMSTHNDACRRSLTIPVMGLGGVIFLISLVGFLGAWKSISCLLWTYLIMLFLVLVAIMVFTVLAFIITNTGTGHVVPGARYKEYRLQDYSSWFLNDTEKWTRLRSCLVKSDDCNNLSKRYKSLKQYKDADLTPMESGCCRPPAECGYPAVNASYFDLSFHPVSTNVDCKLYKNVRFARCYDCNSCKAGVAQYMKEEWRVVAIFNVILFIILSFVYFVGCCARRNAGGSDRKGRGR >Et_5B_043819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16419795:16427068:-1 gene:Et_5B_043819 transcript:Et_5B_043819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGCVVCMEEGLIISRLMDLSPKLFAVLLLLVISSTEMQGPVCLALARECQSQSSRFLGPCVRDGNCKNVCQTEGFTGGKCLGRCTDPWTWLWRGTASQRATSLWGPACAMPIVKVFARLKVLAEAGVVALATVAFALRFASCQPYAFVFSRK >Et_10B_004104.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:13020037:13020432:1 gene:Et_10B_004104 transcript:Et_10B_004104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSWTRGLRHGAVPRHAAQLVESRGFAIASKAKKGGKGGAGDAAKTPALTKELKSTTVYGANILKEGSDPKIQPDSEYPDWLWHMLDKRPVLSELRRKDPKTLPYEDLKRFVKLDNRARIKENNALTAKN >Et_8A_058131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2092430:2093967:1 gene:Et_8A_058131 transcript:Et_8A_058131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAELPPAKFVLDRYVLESQGKLFCVDIPRPWRYPRNSSASVSVYMMEVGGDGKPRWVEWEHRRDTDGHVCFFLGWESSFAIHAREFAGAEATGSFAYYVDRIPQENILMKDTWGVYRYGFEDRTTMILDKLPTGFDIMSMWIQTKH >Et_6B_048429.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:3628298:3628921:1 gene:Et_6B_048429 transcript:Et_6B_048429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWETESPARVSPPRAWVVPRKKKRHQPITRRNHFWLPKSHPYSFAPANAKPRDTNPLSLKSLGDSVSGIGNGTDSIRRLQRPEAKVPAPPRQRNRQRQRACSHCSNTETPQWRAGPCGPGTLCNACGIRFKNGKLFEEYRPSTSPSFESGKHSNRHRKVVKLRERKALLISRMPDDDDDDKKPVQALPPPSTGGEFMDVCTYIATG >Et_7A_052337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6993485:6997976:1 gene:Et_7A_052337 transcript:Et_7A_052337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVKPPFDSFTFICESIRGNIGHMKYDGMQASSVGVERDNGLAVIEAAFIRGSTTLHTSTVRSGLRLLLNSAHLKPICASWLACTKGQLPAVASMMECRFSFSMASSTISAILCGCFPVRRRKIIMPKEYTSDLGVSSPVVRNSGSIYEKVPLGKIRAEHISPIIRVRVCHVSGGVLFLHASRSSRLPFGKNSYTRPKASGHVPISVTRFGCLTVLRTEACNIVPKILAASPFGEELSPEFCDLLESIEKSSELPGTIAPRPTGNIGNKKELTGDHLCRAVDVSYDDRAPGGILGQVLQSPCQGALTVLELLWDGNENLILDSHRRARRGLPCAARKPARSCSEALLCGTLHFRLAASSSRKVALR >Et_4A_032199.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22997341:22997568:-1 gene:Et_4A_032199 transcript:Et_4A_032199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KSGWFWSPTASGGLHPLLGFLYSGVRGLELYVASVTFYSGEEMAVQRLHGMLVAPMCYSWCHHKTLKLLRRKGER >Et_8A_058497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9626242:9626770:1 gene:Et_8A_058497 transcript:Et_8A_058497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKGFGCATITNMTLRNSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKQREEAERRRKQEEDRLAYEAREAERERMRERARRARQQVRMPLERENIPVALSRH >Et_3A_025950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34181368:34181811:-1 gene:Et_3A_025950 transcript:Et_3A_025950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHFLGHHHKASEAGPAKITDWRKQEKHHKHMEQLGQIGAVAAGAYAMHEKHQAKKDPAHAHSHKIKEGVAAAVAVGSAGFAFHEHHQKKDAKKHRSHGHHH >Et_8A_056151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6910621:6911957:-1 gene:Et_8A_056151 transcript:Et_8A_056151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRREKKEVNRKGVTYLTSNSDWRPGVTFSTGRSSTPPPFPDATAARA >Et_9B_065063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20946942:20950664:-1 gene:Et_9B_065063 transcript:Et_9B_065063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEYEYVKREFEFDRRLPPSNWIVVRIDGCHFHRFSKIHAFEKPNDQNALRLMNACATAMLEKFPDIVFAYGVSDEYSFVFREETEFYHRRESKILSLCVSYFTSVYVTKWKDFFPNKELKEPPYFDARAVCYPNFKTIRDYLAWRQVDCHINNQYNTCFWMLVKSGKSEQEAQQALKGTFAKDKNELLAHQFQTNYDDEPAMFRKGSSVYREKVETIVKTDEYGDHIKRARLMLTLEHVDIIGPEFWQNHQHILREGKCRHGFLKKFDINHILPPCNWIVVCINACQFDQFSTIHSFEKPNDAAALRLMNATASSMMEQYPDIAFGYGFGNEYSFVLHEKTELYQRRESLLLSSFSSYFTSLYMMKWKEFFPHKELMQPPRFDAEARCYPKLKILCEYLSWRQAECHTGNQYNTCFWMLVKSGKGEKEAHEILKGTLSKDKNELLFQQFQMNYNNEPAMFRKGSCIYRQKVEELANMEGSENSTRREGWAVKVDHVDMGSGFWRKHPWILSTSDYN >Et_2A_015711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17163223:17168118:1 gene:Et_2A_015711 transcript:Et_2A_015711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAAPMETSAPARRSAAPDPKKPRLAQPQPRDPRSYAAASNGASQAAAAAELAMADELLGQYRTALGELTFNSKPIITNLTIIAGENLQAAKPIAGLICTNILEVPSDQKLPSLYLLDSIVKNIGKDYVKHFSAKLPEVFCKAYKQVDPSIHHSMRHLFGTWKGVFPLAPLQMIEKELGFQSSANGSSGAASSRPDSQSQRPSNSIHGLLGSGGKAPLTADAGDDLERANRISTDRNAGRRLDALNARPSIPRTQRDPFNNPIHEKQAGRDVRGLGFSNTQQQAVVGAGQLRSKAKVQDGIVGPYHTADVGSSEQRLDRRSNLYANKDVRPAVRLDGALLPTPSVGSDIIGRPSSNKSWKLSEEEEYVWDDVHSQAADYGSTNTVRKGEWMADEGSAKFTSLNRPKWTDVGAVEHLDSHKLDNLPRFGHVTVQDRRIPAYMDQEEYLHGKHEMESRIDREMRPDGQIFPPPRGSSLWMSQEKTIPDIGLDPRVSRFSNQPAGQYTGRSSQDVTSVSTRSTETFGQQKQRYWSPSPPPAQSPSSAAPFGRQRSPSPAETDFYPSRSFSQLGQNPQEEYRDRRALPQLAKDPHVLDQNALPTISPDLTSASLPPPPSVVSSTQLVDKAAPLSSLLSSLVKKGLISSPATDSSVAISSQQSKPSSMNTTDAIASASLAIINIKPSVGKETSTPDSSAPKTVEIKTGDLIGLEFKPEKLRKYNEHVIGSLFDEQSHQCKTCGERFRLEEELRLHTTCRGSRESESMYAGIAPKRWYPNKNSYIDGSLEMENSTEVSADADLGSAEEVCEFMVPADESQILCALCGDPFDDIYSIEKADWMFKDAVFLDYPKGEDSCGNNVEGENERVPIVHARCMPRSSDDGMEED >Et_4A_035428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24410780:24414015:-1 gene:Et_4A_035428 transcript:Et_4A_035428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRLLGVVCLTLTTAAAAASGDDEAALLAFKAGLSDGGGAALASWNGSTGFCSWEGVECTRGRVVALSLMKMGLAGTLSAAIGNLTFLQALELTFNWLHGDVPASLGRLRRLRYLSLGYNAFSGEIPANLTSCVALEQLFLDSNNLSGRVPTELGNALSRRLWLLHLRNNSFTGPVPASLANASLLRHLSLANNQFDGAIPPGLAGLTGLRLLNLAVNKLRGAMPLSLYNLSSLRVFHVEGNRLHGTIPADVGSKFPAMEDLSLANNRFTGVVPPSISNLTSLTSLQLSINGFAGLVPRDLGRLYRLQYLYMPYNMLQADDTQGWEFITSLANCSQLVQLSLSDNAFGGQLPSSVVNLSSTLQYLYLGDCSISGRIPQDISNLAGLSILDFGNTSISGAIPDSIGKLANLSELVLYRTRLSGLLPWSLGNLTRLNLILSYSSNLEGPIPASLGNLRNLYMLDLSENYRLNGSIPKEILLTSLSSRLDLSYNSLSGYLPSEVGNLVNLNQLILSGNQLSGRVPDTIGNCLVLESLMLDDNMFEGSIPQSLQNMKGLQLLNLTNNKLSDTIPEGLSDITALQELYLAHNNLSGQIPASLQRLTLLFAFDASFNDLQGEVPTQGVFENLTAISVTGNSKLCGGIPELHLAPCSTHPLSKSRKDARSKSLIISLATTGIVLLLVSIIVTIWKLKNGRKNQTTLAVVEEHFERVSYQALLRGTNGFSESNLLGKGRYGSVYRCTLEGDDTPVAVKIFSLQQSGSLKSFQAECEALRRVRHRSLIKIITCCSSIDNQGQDFKALVMDIMPNGSLDGWLHPKFSSPTVNNTLSLAQRLDIAVHVMDALNYLHNHCQPPIVHCDVKPSNILLAEDMSARVGDFGISRILLESANKTRENSNSTIGIRGSIGYIAPETALAYRNLEIVDPTIWMHNDAVADDKITRSRVQECLTSVFRIGISCSKQQPRERMPIRDAAMEMHAIRDSNLLFASSLAVEYEGEREVDTLI >Et_3A_026640.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:11217719:11218291:1 gene:Et_3A_026640 transcript:Et_3A_026640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAWKRWPHRGSARAFSPGSSSARHTTQSPAPALAAATGSARSTAGSSPRGGCPGDDDGQLATVQPPAAVAASPSSTCAAVVEVQRDERQEHARERPRCGEEEPGSDDVALRLAPHLLPLVLGDYVRPVVAARTDGNLVARCPRVLRCGMRFVGWLIDQLADSAAVRPSCSFAERFAVQRERERERDRE >Et_3B_029148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22446839:22448053:-1 gene:Et_3B_029148 transcript:Et_3B_029148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FEGYAHNDEKKECKSDEDNSEGERKARRGSFKKRAVTAGNKFRHSLRRKRKPRSDNHAFPIEDIRDAQELENVERFRQSLLAEGLLPERHDDYHAMLRFLKARKFNIDKAKHMWSEMLRWRKEFGADNIEEFDYTEQNEVLKYYPQFYHGVDKEGRPVYIVLLGKVDAHKLVQITTIDRYVKYHVKEFERCLQMRFPACSIAAKRHIDSCTTILDVQGVGLKNFSKDARELILRLQKVNNDNYPEVGVPSSCSVLVCAKYTSRVVNCMGPACRIPRLRYPNQGYFNHHHGQLMASA >Et_3B_030842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7959271:7962398:-1 gene:Et_3B_030842 transcript:Et_3B_030842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQKQRRPGEVRGAVFAARFIPSPQSNFRSPCRRNLQFWSFARYRGEADNVFWPKIVLKKWLNLRSKDLEFAADEDDDGSDIDDEDENLESAPYKLRRRNSETLRAQYIDTKELRVCVGTWNAGGKPPPSDLDITEWLGTGGDAEPADIYVLGFQEVVPLNAGNVFGAEDGRPAAAWESLIRSALRRAQPSRPRYRCYSHPPSPSRFDPANDAAAAAADELLPGGTDTETDTDDDAPFGVPVVAPQPDQPYVAAVVTPKKLSRLNHFSSVMEDSELVNHDELDQPPQEEPEPAAQQRTLIRSLSRADRVGLVWPEQPLDLLPARTASSSLSSTASFKASKSFRAYRSFRGSARVADAPAGDDELVVIPDLDVDADGALRRRSRSPFVRIVSKQMVGIFLTVWVRRGIRKCVQNLKVSTVGVGAMGYIGNKGAVSVSMSIYQTMFCFVCAHLASGEKPGDVQKRNADVHEIHRRTRFAAPGDMQLPKDIYDHERIFWLGDLNYRLDVSYQKAHDLILTKDWSQLAEMDQLKRELKKGRAFDGWTEGILEFAPTYKYELNSAKYIGDDQKGGRRTPAWCDRILSFGKGLRLMSYRRSDLRLSDHRPVTATYAAEVEVFSSRKLQRALTLTDAEVEGGQVVPDLDF >Et_4B_039066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7490291:7504680:1 gene:Et_4B_039066 transcript:Et_4B_039066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQDSSGTTLMDLITSDPSAAPATGSSSQQSSSGGSVGGSLLGKPTAAPADRKSKKGTLMQIQNDTISAAKALNPPVSYAQLARSIHELAATCDQKSSQRQLVNSVFPKLAVYNSVDPSVAPSLLMLHQQCEDKNVLRYVYYYLARILSDNGSQGLSAAGGIPTPNWDALADIDAVGGVTRADVVPRIVDQLSAESSSDDVEFHARRLAALKALTSSSSSSSEMRDKLYELVFSILEKVADTKQKRKKGIFTKQGGDKESIIRGNLQYASMSALRRLPLDLGNPAFLHRAVQGVEFSDPVAVRHALSIISEIAAKDPYSVAMALGKSAQPGGALQDILHLHDVLARVYLAKLCHSISRARVLDQRPDIKSQYSSLLYQLLLDPSDRVCFEAIHCVLGKVDNTESTEDRAGGWIRLTREILKLPEAPSVASKGVLSKSSEKSSKARRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAYALGAYDEGATLQAYSDNAESLDSDLENPMAEGTRKANPLSNGHGGMDTIAGLLASLMEVVRTTVACECVYVRAKVIKALIWMQNPHESFEELKSIIACELSDPAWPSSLLNDVLLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGLTSVDMVSASDPKSAMALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLASALTRLQRCAFSGSWEIRIAAVQALTTIAIRSGEPYRLQIYEFLHALALGGMQSNFSELQLSNGENQGASGTGLGSLISPMLKVLDEMYRAQDDLARDIRQHDNSKQEWSDEELKKLYETHERLLDFVSLFCFVPRSKYLPLGPTSAKLIEIYRNRHNISASSGLSDPAVATGISDLMYESKEVHKETATTQSGIDPDLAMAWAAGLEDDDWANNAPAVDKVKDFLAGAGTDAPDVDDEEYMNSRPSVGYDDMWAKTILETYDAEIREEPPSYSTSVLQKRESFENPLAGRGGRSFGSHEDEDKSSGNPQSGKALYDFTAGGDDELSLTTGEEVEIEYEVDGWYYVKKKRPGRDGKMAGLVPVLYVSS >Et_10A_001820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8857387:8861498:-1 gene:Et_10A_001820 transcript:Et_10A_001820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAGAPKRCYYEILGLSRDCSPTDIKLAFRRLALTLHPDKQPPGSDIAAATAAFQELQHAHSVLSDPHERAYYDSHRSQILFSDPSASASSGGASPVPDLFAFFSSSAFSGFSDTGRGFYKVYGDVFGKVFGQELSYARSMGVQEPPAPPVIGNLDSPYTQVTAFYNYWLGFATVMDFGWAAEWDAARGENRRVRRLMEEDNKKAMRKARREYNDAVRGLAAFCKKRDKRVVDMALKKKVEEEKRKAEERERKKAEEKRKKERAMAYQEPEWARVEEEEDMFDDEEEEEMRAKRKEEFYCVACNKKFKSDKQWKNHEQSKKHRDKIAELRMVFKEEEEALKEADEEDDLNDVDVGFDFKPTQESDENESEWSDAAEELAEELNEGLEVHDKDDGDKDFDNAEQEVGSYDETSVLEAMLSSRKNKKSGYVVPPEEAFSGGVEINDDDRSEYNVKRKGRRRRASKKEQEESTYGDNDQQRKYEVQPEESRDNNDENGVDDKMEGPSSSNEDPASANKGDKQKGKNSNPKKNKKDKKATEKKTSVSADQKSTSKGKKQKIHLLVKFDVSSTALYQEASNAASTDCDTCGRTFESRNKLFSHLEETGHAMLKGRQKKLHIEKTRLMTILPILQLLLPELGTIAAPKSVLLTEGALCACKHKKALERHKAII >Et_7B_053381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14552232:14554768:-1 gene:Et_7B_053381 transcript:Et_7B_053381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLRLLCLFLVAADAVVVGVLDVGNRDDEAEVLIDLKRFLQNNNKVTRGAYGSWPEESGSSSPCGWRGVGCGARGRVTSLDLSRASISGPAFGNFSRLTELAWLDLSDNTLSGVGDINHCRGLVHLNLSRNLISGPLNMSGLTRLRTMDVSGNRLDGDITANFPAMCADLAVLNVSTNMFTGNISGLFDGCGKLEYVDLSSNNFVGELWPGVARFRRLSVAKNRLTGSIPPATFPDGCKLESLGLSANQLVGKFPDSISKCTNLTYLSLWENGFTGAVPVGMGELAVLHTLILGRNMFDRQIPSRLTNCTKLQFLDFSSNMFGGDVQDIFGRLASLRHLMLHHNNYTGGLVASGVLQLPLLARLDLSFNEFTGELPAEVADMKRLQYLVLACNKFSGGIPPAYGRLAELQALDLSYNKLTGAIPVSVGNLSSLLWLMLAGNQLSGEIPPEIGNCASLLWLNIAGNQLTGKIPPEMARIGRDPAPTFAKNRNDPSVLAGSGECQAMKRWIPASYPPFSFVYSIMTWENCRGIWDRILKGYGVAPIRTNYSSPMRSETTSGYVQLSGNRLSGEIPPQIGGMRNLSLLLLDDNRLTGRLPPEIGQLPLLVLNVSRNSISGAIPLEICSMFSLEMMDLSYNNFSGELPGSLIRLTELNSFNVSYNPLLSGTFPTTGQFGTFDELSFLGDPLISLRRDASKQPPPGASDAVVSSDMSQRKITMRFLLGFIIVASLMLPPSLIFMTNLRARFPQAVDQGPHTPSNYRLDTTI >Et_3A_026431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:814595:826037:1 gene:Et_3A_026431 transcript:Et_3A_026431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDATTSSSVSTTCLYIVQDRPSESGGRGKPAAGEIEGGSRGTHGYGSSGGRQRQRGLVRSHAGGIEVLFSVPVTVMRPPYGETFFRHPTGRTTDGRVILDLVAEALGLPFVPPSLAPNGSFRQGANFAVAGATTLDAEFYHSRDIPASGSKFPINTSLNVQLEWFESLQPSLCSNVKECKEFFGRSLFFVGEFGVNDYHQSLKKLSLQEIRSLIVPNVIQTISAAIERLIVKHGARTLVVPGVIPSGCSPPVLTFFADRAGPEDYDPRTGCLKEMNELGMHHNALLKDALRELRDRHRHAKIIYADFFGPVMEMVQSPREFGFRDDVLMVCCGGPGRYNYNDSVACGDPDATPCQDPSASLYFDGVHLTEKGYRHVADGWLSSIHSATRDSTGLRLSAAILLLLLLVLASSPELAVSSRPVRRRYDSIFSFGDSFADTGNNPAVFAWYSVFDPVVRPPYGTAFFGRPTGRNCDGRLILDFIAERLGIPYAPPYLGPPFASPSPANNSVVFCQGASFAVGAATALDAEFYHARGIPGGGSKFPLNNTSLSAQLDWFETLKPSLCQGNMEKGAAAECSELLGRSLFFVGEFGVNDYHFSFQTKSVPEVRSYVPNIVRTITMAVESLIKHGAKTLVVPGVIPSGCSPPVLAMFPDAAPAEYDSRTGCLREINELGLYHNALLQQSIEKLRAMHPSATIIYADFFSPVMEMVESPRNFGDSFTDTGNNPVVFAWYSVSNPTIRPPYGSTFFGRPTGRSCDGRLIVDFLAESLGLPFVPPFLAHDGSFRRGANFAVGGATAIDAAFFHDGEAGLFPLNTSLGVQLQWFESLKPSLCATTQECGDFFGRSLFFVGSLGFNDYSFLLTKNMSIEQLRPSVPGVIASISMAIERLIKHGASFFLVSGMLPAGCAAPILAMFAGADSAQYDPRTGCLNNMNELCTHHNSLLQQALIDLQAKHPDVTIIYADFFGPVIEMVKSPSKFGFRRDALTICCGGPGKYHFNQNIFCGDPGASTCKDPSARVFWDSVHLTEAANRYIAGDWLSSINSTA >Et_4A_031953.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:4046860:4048259:-1 gene:Et_4A_031953 transcript:Et_4A_031953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEDVVSWNAMIGGFAGAGMNNVAWDCFQEMRMRGVRGNARTAVAVLRACDLESGRQVHGYVVRSHDGSSKAILWNALMSMYSRVGCVSDAERVFLEIKQKDVVSWNVMIGAFAKNGHGRRAVQLVNTMGQCGMQPDSVTFTAVLMACCHCGLVDEGLALFQRFVSVDRLVPTMEQCACIVDMLARAERFVEALEFIGRMPLKANAIVWGALLSASRMHHNVEFARVAFEQLVKLEPENAGNFVTMSNIYAKAGMVEDAKRVRMMIDMEDLAKPSGQSCVEVV >Et_9B_066204.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:713007:713537:-1 gene:Et_9B_066204 transcript:Et_9B_066204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRPKLTWHDRWCILKEATRLYGASWVRNIGPELRPNDYKKEETEDDEASGPNSEKKGKSSGEPSLLEDIAVAARGGAETLKPALRRIYMARASTYTDAMKNFVETYQEGLKDQLQEKADKEGRQQQQGDEPAKPPQPPPSTS >Et_2A_015445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1369142:1371335:-1 gene:Et_2A_015445 transcript:Et_2A_015445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTTLINGIRTDSNRLGIGNEKIFDPASNPQTYVPRTELDKETELLNTSSTRTCPDPSLLSERSLWLLDLAKSASALTLQEPDMSSLEELLMSLTNESAASHHQALYAILIPQGAATLHLPGRPFGFDGLRIGSSGIVYPDDLGGPFRSLQEAEDAIDHYVRYEQEEATSTESQIRRILFYPDGTPKRGPNSPGRKNPNYRERCLVQVVLDQYNDDHNRFGDHAYQLNGDVKFDWFDEDERSYYHFNFMTKIKGADGTDNLFFAELSHEQRFGDSVVSCCCIIEPKDKGIPAESPKMNHPNNTDAYAGGHKNYTDLTYGGDPCSDSDDDEDTQVAKLWIRLEGQPAPPFAREK >Et_2A_015894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18849820:18852761:-1 gene:Et_2A_015894 transcript:Et_2A_015894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKVTVAFLACAVLYIAFAVYSRRQRIAEVQLPAVTHRVYLDVEIDGQHIGRIVIGLYGEVVPKTVENFRALCTGEKGVGPNGKPLHYKGTPFHRIIPGFMIQGGDIVRGDGKGSESIYGGTFPDENFTVKHTHPGVVAMANSGPNSNGSQFYITTIKTGWLDGEHVVFGRVIQGMDYVYAIEGGAGTYNGKPRKKTLITDSGEITKEKWGEDITDYIYYGIRDKRGTVRQNSAVT >Et_5A_041584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24725813:24731523:1 gene:Et_5A_041584 transcript:Et_5A_041584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAMGEQECYEDKEIFKQKCQISIARGNIFFPRPSDSCCKAARKVDMACVCRTITPEEEHKINVHYVFYVAQDCKNPVPPGAKCGSWTVPPSPPPPTPHHMLQ >Et_2B_021511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30141805:30144575:-1 gene:Et_2B_021511 transcript:Et_2B_021511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSRGDSPDSTYQLVQSTSPPACFYCSDLSLGAAWLQASATKADASAMRVVWRRGAVRLVLVSAIAWAMLVLLALAFHLWSCSSSVGFLSALCRKDSKVLHVLDSMGLSSKPLHRCPIPVADDPNDVVIPKRTPNTIVKKLSYITVDKQDKDPSPLFGGRQNWKQREDSFKLNSTMKVHCGFMKNGGADMDSADVKYIQKCKFVVASGIFDGYDIPHQPSNISLRSQKLFCFLMVVDEMSLDFIEKNTTVKVDSAGGKWVGIWRLITLHRLPFDEPRRNGKVPKILTHRLFPQAWYSIWIDGKMELIVDPLLILERYLWRGKYTFAVAVHKHHRSIYEEGDAIKRRKRYARPLVDLQMKMYYYEGMEPWSPKKKLPSDVPEGAVLIREHTTMTDLFSCLWFNEVNLFTPRDQISFGYVMHKLGDALKFFMFPNCEYNSLFILHRHTREHSSKVEWAKTIDEIVKKGLKESRGGLGLWTPYPVDLSSVELPAVKRTSPAG >Et_5B_044411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23928972:23929899:1 gene:Et_5B_044411 transcript:Et_5B_044411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSKCACPARRGSSVLSLPLDALCEVLPAKELCRFRAVCRPWRTLLSDPHFIAAHGSRHPGPLIVAGYHPLHLDSTSLFFDIMDLSGHVLKLGALYVWSASQKVSIGAAFYTIRPLDPGLPCLKDMLKNIQGKPQDTSNFRHLIAFGQVASTGQYKVLRVIDRLSYQQTLCEVFTLDGGSHARWRGKKPCPGHVHLRYRSRVVINGIVYFLLCELSLDPGSIRIASFDLETEDWRANLRGPVINGSIDYDDLSMTSLNDCLVVIHCIRFHGSSMDLWFLMDFEEGLWVKQHTT >Et_1B_011365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21099001:21099737:1 gene:Et_1B_011365 transcript:Et_1B_011365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGYLIFFFLLALVSFKVADAADGEASSVVVGQAKCMDCTRKNMKAEAVFKDLQVVIKCNNSHGEFEHTAVGSLDSSGAFSIPLAANVVEGADCFAQLNNAEGTPCYGQEPSWIHPFSKSTYVAYAGKVHYASAQCSAVLWGWLKQHFHNHKQHFYNHFLNGGSPSPAPAN >Et_7A_053051.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:5782013:5784220:-1 gene:Et_7A_053051 transcript:Et_7A_053051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFDFHHEWYASTLKSVSSSELQEAEADDPYSRIVYNYETAFHGFAARLHEDEAERMAEADGVVAVLPETVLQLHTTRSPDFLGISPEISNSIWSAGLADHDVVVGVLDTGIWPESPSFSDKGLGPVPAKWKGLCQTGRGFTTANCNRKVVGARIFYSGYEASSGAINETSEFKSPRDQDGHGTHTAATAAGAPVQDANLFGYAAGVARGMAPRARVAAYKVCWAGGCFSSDILAAVDRAVADGVDVLSISLGGGASSYYRDSLSIASFGAMQRGVFVACSAGNAGPDPISLTNLSPWITTVGASTMDRDFPAMVTLGNGANITGVSLYKGRESLSSQQQYPVVYMGGNSSIPDPRSLCLEGALQPHEVAGKIVICDRGISPRVQKGQVVKKAGGIGMILANTPANGEELVADSHLLPAVAVGESEGIAAKKYTKTSPKPTATLSFGGTKLGIRPSPVVAAFSSRGPNFLTLEILKPDVIAPGVNILAAWSGEASPSSLPSDRRRVGFNILSGTSMSCPHVAGVAALVKASHPDWSPAQIKSALMTTAYVHDNTYRPLKDAATGKASTPFEHGAGHIHPLRALNPGLVYDIGQDDYLEFLCTQNLTPTQLKAFTKNSNITCKHTFGSPGDLNYPAISAVFADQPSVALTLHRTVTNVGPPSSTYHAKVTQFKGADIVVEPNTLHFTSTNQKLTYKVTMKTKAAQKTPEFGALSWSDGVHLVRSPLILTWLPPM >Et_5A_041617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25023118:25024072:1 gene:Et_5A_041617 transcript:Et_5A_041617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQVQLLATAAIVVAVACLPALTTAMDWTVGDNDGWRGGFNKTGWTDGKTFRVGDTLLFKYTMGQHTVVEVNGADFRACNLQGQRLGAWISGNDVVKLEKPGRRWFICGVPTHCDGGMKMLITVLDAGAPAPTTPAPPSPQNSAPAAAGYTVGGAVAAAAVVAAAIAF >Et_6A_047102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25304363:25304864:1 gene:Et_6A_047102 transcript:Et_6A_047102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSMLLETTTTAKQQLQYEARTSPQIISKATLLNATAAGSSGAFSPPPASPMALPPVTSCSFLHRCLLCRRELADGVDIYIYRGDRAFCSEECRCRHILIEDDDDGAIDCARVAAADRSRRRRRQAVAGGFAF >Et_4A_034273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31305043:31305693:-1 gene:Et_4A_034273 transcript:Et_4A_034273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEMDSSVEKQGSGSLDPDERPAAGEPKACTECHTTKTPLWRGGPCGPMSLCNACGIRYRKKRREALGLDANKSGAEQQQQQQQRKKKTKREKEREEEGEVTVELRTVGFGKEVVLKQRRRMRRRRRLGEEERAAILLMALSSGVVYA >Et_3A_026764.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:19605207:19605989:1 gene:Et_3A_026764 transcript:Et_3A_026764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSRAPAGKPVASSRVDVFGEGRITMRKTAAKPKVAASGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRVAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWSYATNFVPGK >Et_3B_031146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17996264:17998061:1 gene:Et_3B_031146 transcript:Et_3B_031146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENDPAAAAATCPALPEDVVVEILARVPDVVSLFRCAVACRRWHSLVADPAFLRRRIWPKGGRSLLGFFVQRNQLSVTAKRKVTRRFPSLAPSFVPAPGSVLGPERRFLTSFVRDDVGLLDQAKPLAVRDGLLLVRIWPRPEDRKSVLRLCVCDLLAGRWNLLPPFDTDFFNGEGVRGYALLTAADHGSGPHQHADGYSTLFQVFLVGIRRDERQMYLLKFSSSDTASHRVWTGYNCSSQIPRGILGPYGCRIAAVSGGTAHWLFHGSGPDRAPSLYSLDGDRSGTDGWHLTQAVVIGVEVGLFGIESLSSVCIGEKSGTLLALYHSEPDRAYALDLQSGLTTKIAGWNRK >Et_8A_057357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2455608:2459602:1 gene:Et_8A_057357 transcript:Et_8A_057357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGHRSVPGAGAEGASGGLRCHVCGYQYPHAHPSAKQRRTHRKHCGKSTGSTAAEEGGAGEGEGRVFCVRVLVALGLTRALVAASFPGEGGEAGVVGGERGGIGASAAECGGGLPGSAQEAGSAAVGSDNPAHSSHDGAGPQVIVDKCAEDHNNIASDIAPETNITDDGGTLNEVAAQYSEEASLPEEGSLSVPELAVSSEQLQDVSIPVLPPEPGYAEGFSPELSASEMQNSNVVSLPSDATGGEISEQANDLITEPNDTTVTGVDTIVKDVPNEEESVKGGDFDSSCHENLQTEVGEGHTNTAAEKGSSDKNVSAVQTEEIPSDEPEPDQQSRPVMVTSSTELVPTIEESVKSGTQVPVGTDIFHSEATDTVKPQEQPDSTSVTEDHLAVPEQTDIVEGQHYDIKDGSIQPVSSAIGPSVDTEDTSASDADNISKNIISSDEIMGDGVQENVSCNTILPSQADIVELSTYSAGHETNMVGSTNGVDEKGQSEKSGADLATHEMNEVPITELIEENQQDKDIVADSIPHETNAVCSTENYGENKKETIAEASSFNIPSMRSIEEKKQIEEVIANPALEKINLTNNRDIVEENKQSEVCREIDVVCSPEAIEEKDSTSGINAENITDDVKDKKSEEVSTGDISDDNSMTYSTVNEEKMHNEAMTEDPNSHETIVAHIPDNVEEKKYEETMAGHTADSIGLVTVLDSVEERKNKETTADLTSHGIHASDNVEEEKKGEPTVGSVGDVEEKKQIGETTIDPTPAENSTPQSKDGAEKGMQSEDNTTVPVPENSEVAQKTNDVEEKRETVAKEISTVESLDDLKGTVQNEEIADKEVIVDSDRSHVSLKVLLADKNVETKEKKPSTKDRVLSFRRRSSKDSESPVKPGSPKAGSGQQDWNSPARLPAEKKPKGKKQQWVPFICCPSIH >Et_3B_029972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29496106:29504461:-1 gene:Et_3B_029972 transcript:Et_3B_029972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMEGYPKRPATQLEAQMDALGDASSSSSSHAPSHHAAGKKRRYSEGTKILLFIITNSVTTLLAVSFPNLAGNSDEESGDSALDFALSVLSTSQSLAVDLHRRVEASHRRRHQEAHRQLRSSRSLPFGYTRNLDSHRLYPAVGAACHRHRDDLREYMEYNVSGDCPPDAALAERLMLMGCESRCRGAGAIRRSASGAYGPPAETPFPQSLWWVVPPDKSRGVPSRASWTALAAARGATSTTRAASTSPVGRKGAGDDIDSVLPSKPRGTVRINLDIGGGTGTFAARMAEPERGVTVTSARRLASELVASRGLVPLHLGAVAGRLPFSDGTLDIVHAARIMLGRWVTGAVLDAERYDIYRVLRPGGLVLAGPLPLHRKEITEVYVPSIEKVAVHPDPLRIVFPYTTAAPRSLPSPTEAQTMARTDRANTVLEELLGRRQGVIKALTADDERLLLWGKPDGTFVLSHPAPDTGFGNPAPQPLPLYYGALQGLKWLEVASAYCDGWLFGMAAYQAAMFDSAERKWIRDKLEVLPMVSRVVTERYELLKERGEPDPLSVSRDRAFGNRSLSPCEKDSCQYATEVFLLHLPLAKHQLHKKGDMQLVERPSIPTVQLTARTSYDF >Et_6B_048582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11007576:11008406:1 gene:Et_6B_048582 transcript:Et_6B_048582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGNPCCSEEKKLRKGLWSPEEDERLASHIARFGVSSWSSIPRIRRCGKSCRLRWMNYLRPDLKKGRFSQQEEELIISLHKALGNSWSQIAARLPGRSDNEIKNFWNARLRKKLRQKEASSSTGCSDRKHPPPAVFNPLQVLKDGFTDSSPASSAAVDTNQGTTAAAVFLESADRAGCAIAVERKHGGGWTKQRRRLLESLGR >Et_1B_010708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13246862:13253966:1 gene:Et_1B_010708 transcript:Et_1B_010708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSTVLGFFGFGFGVTLGLVIGYYLFIYFQPTDVKHPEIRPLVELDTKSLEGMLPEIPHWIKNPDFDRIDWLNKFLETMWPYLDKAICKTAKEIAKPIIAENTAKYKIDSVEFETLTLGSLPPTFQGMKVYTTEEAELIMEPSIKWAGNPNITVVVKAFGLKATAQVIDLQVFAVPRITLKPLVPSFPCFAKILVSLMEKPHVDFGLKLLGADLMAIPGLYAFVQETIKTQVANMYLWPKVLEVQVMDPTSAQKTPVGILHVKVVRAVKLTKKDLMGKSDPYVKLKLTEDKLPSKKTSVKRSNLNPEWDEDFKLVVKDPESQALELTVYDWEQVGKHDRIGMNVIPLKDLTPDETKSITLNLLKTMNANDPANEKFRGQLTVDVTYKPFKEGDSDFDASDESGVIEKAPADTPEGGGLLVVIVHEAQDVEGKHHTNPYVRILFKGEEKKTKHIKKNRDPRWEEEFQFMCEEPPINEKMQVEVISRPSSLGIHSKENLGYVVIGLADVINNKRINEKYHLIDSKNGRIQLELQWRTS >Et_10A_002068.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21155931:21156305:1 gene:Et_10A_002068 transcript:Et_10A_002068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTLPDGDADPHTRDKKSATAAVSLPEWVRSVVREEWTAEVFDAELLRYRNIEEEMVEMLHVALACVAQRPEQRPSMADVVRMVQSVPVDQSPMPEEDRDVPVTSPSIGVPTDDDGGDGRLSY >Et_5A_040534.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6212312:6212419:-1 gene:Et_5A_040534 transcript:Et_5A_040534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDKARSTRVRRRCGEHWPASAPEPRAVTRILQA >Et_4A_035302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18610709:18616401:1 gene:Et_4A_035302 transcript:Et_4A_035302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHILLLEHQYSSLKHCNPGVAKFRSVNSSHIVIAISTIASLALFISLSMRISLSSTLSARLLAVGSFLMTKKVLSVLSNIIFLFLAADYCCFTISASPSDVAACEPGDGQPEQAHHHQEADQCATQQFVSYSVRSPHHKNQSSVPDNCTHPGKENHVENRSNLGMSDDTTPFERLDEDVPVSSKLDLVMLDEEADSITLETVVIAEPTSRTTPELEKLHIDELNKKFEEFIRSKRIKWVEEQEASLQWQEKGDNKSSAVLASSSFVNEGESSVVGDGIASDISSDL >Et_1A_008941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2005712:2007626:-1 gene:Et_1A_008941 transcript:Et_1A_008941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDRSPFSRTGQHAGSARKAFPDFSLPESSDISFIGGAPGAAAGGRASTERYPPRLSNGSDGFEQHSFEAARTPSRWGDSFGNDSSSHSQTSTSSWSSLPGLPTEDMETEMKRLRLELKQTMDMYSTACKEALTAKQKATELQRWKVEEEQRAQESRFTEESAMALIEQEKAKARAAIEAAEAAQRLADFEAQKRISAEMKALKEAEERLRSMGAAREGAVRYRRYTIEEIEVGTDHFNDARKVGEGGYGPVYKGHLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMASGSLDDCLFRRGGGSGGPVIPWQHRFRIAAEIATGLLFLHHTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADNVTQCHMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIITARPPMGLTHHVGRALEHGTMADLLDPAVHDWPVDEAIRFAEVSLRCCELRRKDRPDLATVVLPELNRLRALGEDNMQFCNNLTDRGGGGMNSSPFYSNSSYSQPRHDASSDPMLGRPHYSSNSNSNQGGMATRRSNYN >Et_9B_063660.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17004687:17005145:1 gene:Et_9B_063660 transcript:Et_9B_063660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCGALDVVRVVHLSGHVDEFAVPLTAADVLAAHPNHALTAAGSAGGPRKIAIVAPDSELKRGRIYFLIPSACSAPAAEMKRHKHHRSSAAGGKRKHGQHRHKSGAAVAASTAEQDNYLRELLSEKREATHRRRRSGARAGVWRPRLESIAE >Et_7A_052181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5374551:5385748:1 gene:Et_7A_052181 transcript:Et_7A_052181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASAGPRAASSRALEAHRSTSPDFSAEPSSSSSCTTSRAAELKPLACEGGGEEDLLDLDSPWVAAAETEAVLEVTAAACSTAALEISGEAELVEDEIRDNQQRQKDEAIYGDDLAVHGNKRGLRYFQIYIRYDVPDGVGVCAKFSSANARCKDEGCSDGSGLCDGPEVFSYNCNFEYLPPLILTCLLPPSYPSKDPPYFALTVKWMDGHQVSQLCEMLDTIWADDNRAISRQSSLDSIIPMMLTYSSKKCHKAFLDDVHMCKICLNQSKGSNFIQLSCQHPFCVKCMETSCRMHVKEGTVFQLKALDSMSDLVYCPRCGIGCLEDESNNAQCPECSFIFCSLCKETCHPGKECLTPEERIQRQQASGRMSRREMERELLTIQKICKDIQLCPKCRMPIVKTEGCNKMSCGNCGQLLCFRCGRAISGYDHFWEGCELFALREYEGVTLFLRHMEEVQIERRRRVQLSPIGSTVRCPKCRQRNFKEDEKYIFCWACRIHYCTLCRMRVEDRYMRSGHYGSSDRVRRCRFAAGMGSPPRTYPGVRGGGFLVIVLPLLGPSASEWSGEEEGELDLDFPWLAAEEAELRLEAAVFGALSLAVEEPREEDEIRDNQQRQEDELVALEAIYGDDLAIFENKGGFRYFQIYISYDVADGVESCAKLLSANVCATDSGCSDGTGAGHGDKSEEFSYTCNFECLPPLILTCLLPLSYPSKDPPYFVVTAKWMDGPHVSQLCKMLDTIWAELAGQEVIYQWVDWLQNSSRSYIWVDGNMTLGPDIVTCSADNRAISRTNSLESIIPLMLRYSSNKSYQAFLDDVHMCMICLNQGEGSSFVRLSCQHLFCMKCMETLCRMHVKEGSVFQLICPDTKCSASIPPYLLKRLLNEEEFERWDRLVLQKALDSMSDVVYCSRCAVGFLKDESNNAHCPECSFIFCSSCKEPCHPGKQCVTLEEKIQRQQASGRITEGEMAQELLDMKEAYKDVRLWPKCRMAIAKTEGCNKVVCGNCGQYFCFRCGKAIDGYEHFKGDCKLYAQRDTAGWEKQMEAMQHKRQMRIQSHPLGGYVRCPKCRARNFKDNDKYVFCWACRVSYCTLCRRRVEDMKAGHYGSMESSAGTASTRALEPCGGPSLYFSAEASSSSSSCSTSHAAKLGPVACNSSGEEEGVLDLDSPWVATAAAESRLEEAAKSVAVKLGLGDEGEQEEDEIRGNRQRQEDELMALEAIYGDDLAVFERKQRRAPLFPECRSLVLMVYIRYDVADGVEVGAKLSSFNVCPRDVGCCDGPDEFSYTCNLEHLPPLILTCLLPRSYPSRDPPCFAITAKWMDGPCVSQLCEMLDTIWSELPGQEVIYQWVEWLRNSSMSYIWFDGRMMLGPDILTRNGDNRAISRTLSLESVIPSMLSYNSKKRYQAFLDDLRMCMICLNQSKGSNFARLPCQHLFCLKCMETLCRMHVKEGSVFQLVCPDTKCNASIPPGFLRKKNLNVGIVLQKTLDSMSDVVYCPRCAIGCLEDEDNNAQCPECSFIFCSFCKEPCHPGKQCLTPEQKIRRRQVSGTMSEKEVAQELLNIRELYNDVMLCPKCRMATTKSEGCNKMMCGYCGQYFCFRCGKAISGYEHFRNGGNCKLFADREIAEWERQMEAMDLGRRMRIPLRPIGGTLRCPKCHANNFKEDERYIFCWSCRDNYCTLCRRKVASKKKSRHFGSPECMGRSNLIMTLEISKQAFEIPGMQIESIFYLPTYEPERNRLFCQETGKHETIQQPLDGFKWYRGSIERLLTHIRTEDN >Et_1B_010817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14390923:14393562:-1 gene:Et_1B_010817 transcript:Et_1B_010817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLREMDSALPPGFRFYPSDEELVSFYLRKKVANERVESGTLVEVDLHAREPWELPDMAKLTAEEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDRMVHEPGTRTMVGMRKTLVFYLGRAPNGQKTSWVMHEFRLETPNSPPKEDWVLCRVFSKRKSSETEQSSSTLHHNHAATLLCSPPDTTATAAKLYDQRQEALAAPAVSHCGSTGAPQMLNQGSFLDYYCSLVVDNDVAEGPHITGCGGGDAVVAMAPRQTVGFEEHGMGEIETEYDSQALLLGSCGYKDGLYF >Et_4B_037784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23353522:23355546:1 gene:Et_4B_037784 transcript:Et_4B_037784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATAALRSPTAAAAPSRRPAVPGASSLPFDRRRSFAFGSIKGLGRQQLTPRRRSSVVRAARSPSPSESLPPSSPIAPLRMESPAGQFLSQILHTHPQLLPAAAEQQLEQLQTDLEAEKEKVTGGDKPAPSGGDLVLYRRIAEVKEKERRRTMEEILYALVVQKFVEADVSLIPALSYSIDSTGRVDQWAGTVEEKLERLHSREAYEMIENHLTLILGQPPSSSHPEMASWTAPNFNANGPNQVVKPCRLRSYVMSFDSDTLQRYATIRSKEAFGIIEKHTEALFGRPEIVITPEGTVDSSKDEHIRISFAGLRRLILEAVTFGSFLWDVESYVDSRYHFVAN >Et_4B_036241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12713091:12713984:1 gene:Et_4B_036241 transcript:Et_4B_036241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKGAGVKKGPWTPEEDLVLVSYVQEHGPGNWRAVPGRTGLMRCSKSCRLRWTNYLRPGIRRGGFSEQEDRLIVHLQALLGNRWAAIASYLPDRTDNDVKNYWNTHLKKKLLPLNHQQPTPQQSNNKGQWELRLQTDIDLARRALREALSVDAARAPCPPPGTATTSAALLPADEPAAAEQPTSCYALSARNVSAMLGGWCAAESGASAGSSSTELTDCSASNRVGGAPPLRRRGVDDGDVPPLSAIESWLFGDDGGEQQQQQLAQESLLLDAALHSFAF >Et_4A_033937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28208860:28213628:1 gene:Et_4A_033937 transcript:Et_4A_033937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPTRAIQSLAAPPRPPAPATVRPPLRCRSTAASTLRESSGPPLTPPNQNSRRAALLALILVASPARPAAAAFSFSIPGPKELLREQKKKSASYLLAPIAASRDTLIKAQALLASPNASAEDAEEVRGRIGAAGRDCVERQRNSIVSFQSKTGVEVCTFSLILKNAASLLANKDPLKVEADSRLGELIQSFSDLGTVVETSNFELAEDREKMKDGLRSTISALDNFEQSVKDCLVSKEYCAGGGAAGCNGLQDLHCLRILKELREVKFKYRAFLEAAQSNSKRRIYVRTCPEEHDLRRAAERVDGGLDARVEPERPHGDHDAVHLPRAGALVAAHLVAEAPEVSLPGQHRRRRVAHRAGPQRARRRRLARALQHGAPLRLHPRVQSPHARRRQLRHRRRELGLAFVVGVVFFSDAAAVLCLHVVVVVVAIGDARRLHLLLLLILRRHGAGTLRRRLVRDVDDARRRLHLLLLGRHGQQKPSPDLSDNTTTLRGHTMRIAARCV >Et_4B_036250.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13000012:13000092:-1 gene:Et_4B_036250 transcript:Et_4B_036250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHDGENPNGTVLSSFLHKRKLLLH >Et_9B_065067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2166101:2173194:1 gene:Et_9B_065067 transcript:Et_9B_065067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSSRSRPAGHSGVFPVGSAAAVGSGGGGGGGDGGVQLADKLKIFKTDNFDPDAYVQSKCQTMNEKEIRHLCSYLQDLKKASAEEMRRSVYANYAAFIRTSKEISDLEGELLSIRNLLNTQAALIHGLSEGVQIGSLTSGPEGSADDDISNVEDQEPTEIQKWSADFPDMLDVLLAERRVDEALDALDEAERVALDAKRQQTLTSAEILALRRSVSDNRQRLADQLAEAACQSSTRGIELRAAASALKRLGDGPRAHGLLLNAHNQRLQCNMQTIHPSSTSYGGAYTAALAQQVFSVIAQALSDSVEVFGDESCYASELVTWATKQVMSFALLVKRHVLSSCAAAGGLRAAAECVQISLGHCSLLEVRGLSVSSVLLKQFKPSLEQALDANLRRIEESTAALAAADDWILTYPPTGMRPLTRSSAANLALQPKLSNSAHRFNSMVQDFFEDVAPLLTLQLGGSTMDGITHIFNSYVNLLISALPGSMDDEANLDGLGHKIVRMAETEEQQLALLANASLLAEELLPRAAMKLSTINQDDLRKRGTDKQNRVPEQREWKRKLQRMVDRLRDSFCRQHALELIFTDEGDTHLSAEMYINMDNTVEEPEWVPSPIFQELYAKLHRMAGIAAEMFVGRERFATLLMMRLTETVILWLSEDQAFWEEIEQGPKPLGPLGLQQFYLDMQFVIIFGQGRFLSRHVHQVILDIIDRAMAAFSATGMNPDSVLPGDDWFMDVAHDVVSMISGRGRVANGDREINSPTASVSAHSISSFRSHGSS >Et_9B_065133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21441371:21441949:-1 gene:Et_9B_065133 transcript:Et_9B_065133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSINPLAYGGKKYRYAYACGAHRPCNFPNTLTKVDLAEKKAVTWYEDGALVSAKDGSGYAVLLDGTTFHELARAKFPYGLPYGLHGCWIPRA >Et_8B_060586.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:2908587:2910500:1 gene:Et_8B_060586 transcript:Et_8B_060586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFKHLPRNCLAATRRSSRRRLHSHPYPHPLLDTFSRLCAEGPLTAALALLPDLAAAGLQADPVSLCRLVKLCVRQGTASDGRLIHRHVTHGASDGIGGLFVSNSLLSLYVKFGLLDDALRLFDAVPQRNVVTWTTVVAALANTEGRKEEALRFLVAMRRDGVAPNAYTFSSVVGACGTPVVLAAMHASIVKVGLDSDVFVRSSLIDAYMKLGDLDGGSRVFGEMITGDLVVWNSIIAGFAQSGDGVGALELFMRMKHAGFSANQGTLTSVLRACTGMVMLEVGRQVHAHVLKYNRDLILHNALLDMYCKCGSLQDADALFHRMPQRDVISWSTMISGLAQNGRSVEALRVFDMMKSEGVAPNHITMIGVLFACSHAGLVEDGWYYFKSMEKLFGIQPEREHYNCMVDLLGRAGKLNEAVEIIHEMNFKPDATIWRTLLGACRMHKNANLAAHAAKEILKLEPGDQGARILLSNIYADLRQWTDAEKSWKAMRDRGVQKEPGRSWIELEKQIHVFIAGDLSHPCSDAIVQELNRLIGRINALGYVPQTEFVLQDLGSEQKEDLLKYHSEKLAIAFAMMHAMEGKPVRIMKNLRICGDCHSFMKLVSKSEGKVIIIRDPVRFHHFQDGVCSCGDYW >Et_3B_028021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31959727:31960683:1 gene:Et_3B_028021 transcript:Et_3B_028021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAHVVEFTVHDPDQWAAVVQVRQRASHRRTLLHLEQLLVRHGAAAAAIRVDAAAGGLDLFFASRSHAARLVDFVCSVAPARVGTAKQLAFAVELCPICRDDLVFLPREASRDLGGLGPLVLCVKVTNAIALLDASNARVAVLGIKEYDRYKFEPLLTSRRLVEYVVLDIEPDRSSDTVTVNGSRHTMAWAQVARASDFGKNDTIFTVKTHLGHRLQPGDHAFGYDLYGVNANNQDLDKYGQSHQLPDAVLVKKSYKKGDGKLQDGRNRESAEIEEIAIGLGCIDLNSSDEKELDELLEDLTL >Et_8A_056238.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17557903:17558127:1 gene:Et_8A_056238 transcript:Et_8A_056238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISKSRLSTKKRRPPGPWNLPVIGSLHPSLSWIASTRCSPGPGQQIWPGDVPPDGPDRHCRDLLTCGSEGAAP >Et_3A_025957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34269128:34272199:1 gene:Et_3A_025957 transcript:Et_3A_025957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLQPWACLLVLVLFSYESNLQGKKNGVEATQRVFLYPQSPKVSSIVSSKYRTAYHFQPPKNWINGPMYYNGIYHHFYQYNPNGSVWGNIVWGHSVSRDLINWIRLEPAIERTSPSDINGCWTGSATILTDDKPAIIYTGADPDKRQVQNIVFPKNLSDPYLREWMKSDKNPLIQPVGPGLNSGQFRDPTTGWIGPDGLWRIAIGAELNGYSAALLYKSEDFVSWTRVDHPLYSSNASTMWECPDFFAVLPGKNSGLDLSAAIPNGAKHVLKMSLDNCDKYMIGVYDLKTDAFVPDTIPDDRRLWARIDYGNYYASKSFFDSKRGRRVIWGWTNETDSSSDDVAKGWSGIHAIPRTIWLDSNSKQLLQWPVEEIESLRSNEVSHQDIELKEGDLFEIKDIDTTQATSIYKIRFCHFSIETVAHLAYHFLKQADVEIDFELADLDRADAFDSSWLLDIEKHCREADASVHSVVGPFGLIVLASDNMEEHTTVHFRVYKSQQKYMILMCSDLRRSSLRPELYTPAYGGFFEFDLEKEKKISLRTLVDRSAVESFGGRGRVCIIGRVYPVALVDGGTRTYVFNNGTSIVKVPQLKAWSMRRAEVNVKKG >Et_2B_022508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24146247:24152738:-1 gene:Et_2B_022508 transcript:Et_2B_022508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHHHLLALLRRATTSSSSTSAASHGADPLHPLPPRNGAAGPLSFRLFSSRARSTAGAAKSLIEDEADLSDWVSDLKTDNFHLGLSSGDEGDAASSRRPAAASRGGRGGRDSRGSFQKSRFGGDDFRGDRCGFERRGRVMSSDLDDDEDDSGFGSGRGRRGRGDRSSGFSLRGGRGNGFDEDAGFRSPRGQRGRGGRASGVSRRGGRFSDLDEDIGFRSSRGGRGRGGRMSGFSQRRGRESNLDDDEDDDVAGFGSPRGRQGRGGRMSGMPQRRGRGSDLDDDEDDDDNVVEFESPRGRRGRGGRMSGMPHRRGRGSDLDDDEDSDDDAVGFGDSGRRQLRGRRGGKTESLGPHRGGKDDKKFDFGVSDDDDDEVGEFDEDDEPSGFEDDLSGGEVDEDVGKIPESKPFSFESIEGESTKQEKVEGARGTGSGDSYLSQKRFDECPLSPPTLKGVKAAGFERMTAVQEATLPIILQGKDVLAKAKTGTGKTVAFLLPAIEVVSKLPPVARDQKRPPISVVVVCPTRELADQAAAEANKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPSQILVATPGRLRDHMENTPGFATRLMGVKVLILDEADRLLDMGFRTDIEKIVAALPKQRQTLLFSATVPDEVRQVCYIAMKRDLEFVNTVQEGSEETHSQDHISDNVDYKVIVFCTTAKVTSLVAELLSELKLNVREIHSRKPQSYRTRISKEFKESKGLILVSSDVSARGVDYPNVTLVVQLGVPTDREQYIHRLGRTGRRGNEGAGVLLLAPWEEYFLRSIKDLPITEATQPLLDLDTIKKVEKALAHVEVKDKESAYQAWLGYYNSNKHIGRDKYQLVSLANEFSRSMGLNNPPAVPKLVLRKMGLNNIPGT >Et_2B_022685.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:30225935:30226342:1 gene:Et_2B_022685 transcript:Et_2B_022685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAWRQADKDLSRIASSVRGGACRYWPQTVGAAAEVEVSGMLAEALAAVASASAAVSSAASSAAAKKSSSATTIISSLVKRTAATTTTTSDDALDKFQDLDQCISDIEAGSDKVFRSILHTRVALLNIHTQTC >Et_8B_059261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15922496:15923112:-1 gene:Et_8B_059261 transcript:Et_8B_059261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAVVKSVSKAPTSWLSTDLRNSILIHANCLSLEYIQQEISAATKSDIQIEHGMLKESWKKMKDP >Et_7A_051734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23753159:23762589:-1 gene:Et_7A_051734 transcript:Et_7A_051734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKQATPKPFVPLPYHALLMEEASPVTPNKRKSEDLLGHDLPYPSTTNKKFQETSFVEEGENSNGICRLDLLPKPHPVDEEGEESRNKDPQPTGEVGGGGGVDRISSLPPDALFTIISKLGTKEGARTQILSKYWKPLWRLSPLNLQLDAGLAKQECKRIRILDKILSSHTGGGRRLYLRNTRMHNIYKQCNKWLGDRALNNLEELDFRYRFVPSGKEQPLLPPSALRFSSTLRILSLGNCRFPVDGNNCLDFPKLKQFSLHGVTISESALQGLLSGCTALESLQILDCIGFHRICLRSPSIRSIGVRAWFCMRFQPDIILQELVIMDAPCLERLLTYPPHGPAIIRVTRAPKLQVLGCLSHAISKLEIGGMIFKVVLALLDSLDSFYIKRYLVSFSHTYVLLQEMIPTVLNAAICTLKVLVLLSTGPNLGAVLNLLQCFPCLETLYVTLSVARVSVAEAQQLYLPGPIHCLGHHLNKIIIRGYQGSREDIDFANFFIVSARILQIMQFRVPKKFSNKWVANQRKALLLNHKASGDAEFYSANYYSMIEMNCQRRTHDLTISDPFDNETLSPVSNNKG >Et_5B_044954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7660878:7665139:-1 gene:Et_5B_044954 transcript:Et_5B_044954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDAAAMAVVRAARPALRGAHDGVAFAAHAAFLAAGYSLCAVGPDALTDPPPSGDEEVGIDGWNSMENCYAFLYNKEEKGKKKRVLVKCLVIGDLLAIDVLDLEEQKKAPYNVQINVKDFFSEENPKNYGDMYKNFAGLIENINSNVLSKLDEKDAGAAAAKKNNVESSSSISENLGPRVGEPSGYPGPRSTDPSGLVYPPVAPLGSDDLYPSPGAGFYPHSGIGSGGSMHVGPNDPRFFPSNPFPAPFGGPGSVPPGGRYDPIGPPDVPGFEPSRFVRTQKPTFFPSL >Et_9B_065347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4068598:4069268:1 gene:Et_9B_065347 transcript:Et_9B_065347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDHYLCKELDGVYFEIWKRVTKLKKSFRAALGEVYNLDKFPLRQHRMKHSLESDGSEMELEFNICTAGVTDEVSEDKARELIADAITKLEGTPKFYVHYIIRKLDIARDIG >Et_1A_006589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2521956:2523827:1 gene:Et_1A_006589 transcript:Et_1A_006589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTLTFGNKQSLFVSQSTDYGQPIVRLRACISYPYNGAGSGGVWASYGSRTSTVLYQKQSHPQITGGGAAGRIPLPLELAEDEPIYVNPKQYRGILRRRQLRAKLEAQNKLAKARKPYLHESRHLHAMKRARGSGGRFLNTKQLQQQQSARSNKDSANSLGSTHLRLGDGTSAPKTMASQENSKKAGSLAPELFQFPGQHLSFSDYFGQVGAQTGGIDVLHNGTRHQVPIMR >Et_10A_000542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12435946:12440308:1 gene:Et_10A_000542 transcript:Et_10A_000542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIDKMEAASADSPVAIPDEIKKCSTSTFHPSLWGDFFLSYELPTKGKEAEMRERAGVLREQVRDMLNRTTDLPEVLDLIIKLQRLGLDTNYEDEINRLLDVVYHSDYDVGDLGVVSLRFYLLRQNGYNVSSDVFLKFQDSDGNFIDTDTRNLLSLYNAAYLRTHGETLLDKAICFTRRYLQCRLEHLESPLAEELSSALDTPLWWKELRIESNLSFIRDRIVEIYFWMNGACSDPHYSLSRMILTKIIAFESTIDDVLDSYATTEEAMAIMDAINSWNQSALHLLPEYFKDFYLHMLDTFRSLEDELGHDNNYRVVYIKESFKDLIQKHRKELEWRDQLYVPHTMKEHLEVSFVSIGGFLALCASFVGMDDLITKMTMDWVSSCPELLKSFGIFARLSNDIVSTKREQKGKHCASTIQCYMKEHATTMADACQKFKELTEDSWKYMIQQSLVLTQQPKVVPRTVLNFARTVDYIYKTTDSYTFSYVIKDMITLLYVKPIPL >Et_2B_022242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1497843:1499344:-1 gene:Et_2B_022242 transcript:Et_2B_022242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVAAVEGQERQYGGKITAFVVLSCMTAGMGGVIFGYDIGIAGGVSSMEPFLRKFFPDVYRRMRGDTRVSNYCKFDSQLLTAFTSSLYVAGLLTTFFASRVTAGRGRRASMGLGGAAFLAGAAVGGASLNIYMVILGRVLLGVGLGFANQAVPLYLSEMAPARLRGAFSNGFQLSVGLGALAANVINFGAEKIAGGWGWRVSLALAAVPAGLLTLGALFLPETPNSLVQQGRGREDVARLLRRIRGAGVDVAGELDDIVAANAGEVNGDGLRMLLTRRQYRPQLAMAVAIPFFQQVTGINAIAFYAPVLLRTIGMGESASLLSAVVTGVVGVGATFASMLAADRFGRRTLFLDGGAQMLASQVLIGAIMAAELRDAGGVSKATAAVLILLIAVYVRAAGQSVTVAVSFAFTVFVAQAFLFLAMLCHMKAGIFFFFTAWLAVMTVFVYLFLPETKGVPIEQVAAVWRDHWFWSRVVGSEDPSDGGKL >Et_5B_043501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11197665:11203359:-1 gene:Et_5B_043501 transcript:Et_5B_043501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANKLVLISLLVAFAVVAPALQPSAAIRAEAPMPAPAAAVLPTALLFDPEFPCFSFLPPILLQLCHALFPPSPPPSPPHPEAEVKECGSWLGRMKPCAGFVAKNATDEEPSDECCDGFGSIADDGATVCFCRAAVGDFGHIMPAPVSQKRMFELPGACDLPDLKLEPFAKCNKTMASSTRISLAFLLAFAVVAGAALHPSAAARDAAAAVKQEEPSSPSAGGWPNIPGLRPFPALPPLPSFPSWPRRPSFPCIPGWPRPPFLPPCNATHGGLPTPTPPSPPAPAPAECHTSLAAMAKPCADFLTKTSVTGPPPAACCDGLKAVVKDAPICMCHVVNRDFASLLPAPMLRLRMMQLPRVCGAAMPFGTLRQCFSKSWINLFLFFFEMKRTRAADEPSENAISLDYVPHVAVRDGGADFLTNKASGSRAACCDGFRAVVTVCMCHVVNGGFAKLLPAPMLRLRVALLPRVCRVAFPHAALHQCISKYYIDCQGPVPPLNPPSAPAPAATPPESPSTPPPESPSAPPPKATPPESPSSPPPKASPPESPSTPPPESPSSPPPKATPPESPSAPPPEAAPPESPSAPPPKACPPESPSSPPPEATPPESPSSPPPKATPPQSPSSPPPESSSSPPPKATPPPESPSTPPPESPSSPPPKATPPESPSAPPPESPSSPPPKATPPESPSSPPPSATPPESPSTPPPAATPPPKSSPPTP >Et_2A_015612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1685055:1687354:1 gene:Et_2A_015612 transcript:Et_2A_015612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAMDRIATRLSAVEGLYFPSSFLAPAASSRVDESQSHPPPPPSPPRRREELRALLSRDAPLFLERYGASLSVDELAAFDALSPDYEVDWHLRRLRAAAAGQARPTSQVRNRRRAYLDRLVREGDYFSEEAMREREPYLHHEYLGRFQDPLGRAMARPGERWSETLMRRAEEAVVADEDVPRESNNVGGTLAGTFTQTLSSEEMQDQLEQFTYVMQEKFLSGQDTEHMDYSQIDNDELLDDHWSREANYDAEEKYFEED >Et_2B_020630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22101867:22102965:-1 gene:Et_2B_020630 transcript:Et_2B_020630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLALSEHLLSGSMIGSAITRLVQSFSVVFLYWFYVFSITMSSGNSSGSSLGTRSSRSEDDLDLKAQMENRRKRRKESNRESARRSRLRKQQHLDDLTSQVNQLKDQNKQLGAALRVTNQNLVAVQAQNSVLQTQKMELESRLGSLTEILLYMNASTTSAASNTTTMNNSITTINSGCDVLGASTWGQPIHLYYQCF >Et_5A_040489.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:25435140:25435640:1 gene:Et_5A_040489 transcript:Et_5A_040489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHPAPRKRTIAVQRCAAAALGGKKLRRLPHIFAKVLELPFAADADVSVEEDAAELRFVAAADGFSPAGARAHAVEIHPGVTKVVVRDLSAGAGADDDDGAAAFELDRWRFRLPPCTRPAMATATYAEGELVVTVPKGAGPDDEDGSDGAAVLGGAGAESVLLLV >Et_4A_033375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22037149:22037953:1 gene:Et_4A_033375 transcript:Et_4A_033375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPGRRNSTATMALGWLAACLALVIATATLSSAQQAAPFPTMPTCPPAPLSLSPCIGYVFGAGSATLESCCSQLRTFVQAQAPCLCAASKLAPSPVGLLLGQAQGMIPNTLRHQLPLQWLPPAARYQLLLRRHLQPLPRLPRQQLKRRTCRLLIRMTILRPLQLHQKMLRRLALDLPSSQNCCTQRVREAPGTQLQAHY >Et_6B_049275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2614553:2618862:-1 gene:Et_6B_049275 transcript:Et_6B_049275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAVAPRRRGRSRAPSIASSGWTDEPVPTGAAAANGNGRVSTRPPSPNYAARERTVKKLRLSKALTIPEGTTVSEACRRMASRRLDAVLLTDAEGLLSGIVTDKDIATRVIAEGLRVEQTIISKIMTRNPVYVTADTLASEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAISSLEKAMEQGIALAAAMEEAEQGGGNLSAPHTHIETLRERIFKPSLSTIITETTKVATVSPSDPVCVATQKMRDLRVSSAVITSGNSLQGIFTSNDVLMRVVTKNLCPELTLVEKVEGGPGTASDVANTIMQKFWDSALALEPSEEEFDSHSELSVVMPSEVRDGRSSIYPPAVGNSFAFKLQDKKGRIHRFTCGSESLDELMSSVMQRLGIAGEKDKIQLLYEDDEGDRVVLMKDSDLASAVLYAKSSGLKALRLHIDDSGSKDEVTRPLPELASSQGYKLTPVHYGLMACAIALTGMTMIVYLKH >Et_8B_060832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8702935:8706292:-1 gene:Et_8B_060832 transcript:Et_8B_060832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEHDADTLYIFLILYAGADVNFVGGSGQSVLMTAVDFGFIDTVKFLVVAGADPNIAGEDGKIPIMFAAVHKQHELVEILFPLTRPILSLPDWSIDGITDPKSKGKEAFAKGGYLHAADLYGQDGKIPIMYAAVHGQRELVEILFPETRPIPSISDWSVDGITRSMNHLHFEAQDAALVGERIADAKSQGKEAFAKGENLAAIFFWCQDYKGAVDGFRASGKH >Et_5A_041786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2790110:2793162:-1 gene:Et_5A_041786 transcript:Et_5A_041786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRIQFENNCEVGVFSKLTNAYCLVAIGGSENFYSTFEAELADVIPVVKTSIGGTRIIGRLCVGNKNGLLLPHTTTDQELQHLRNCLPDQVLVQRIDERLSALGNCIACNDHVALTHPDLDKETEELIADVLGVEVFRQTVAGNILVGSYCAFSNKGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREGQPTAIVDDMRKSLIDSYSNNISKLLLFYIATVSEQKTSR >Et_2B_021005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25627627:25630498:1 gene:Et_2B_021005 transcript:Et_2B_021005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGRLLLAVLAAAAVAAAAQTEADTLVAFRDTLRGPQNRAPAALDGWGATPGPCSSGGNSLWYGVRCNDTGSVLVLQLESLGLQGPAPNLALLAPLPGLRSLSLANNNLTGAFPDVSPLPRLRMLYLSRNRLDGRIPDDAFATLQGLQKLYVTGNAFTGPVPSSITSPKLLELQLSDNGFEGPLPDFGQKDLKLVDFSNNNLSGPIPAGLQRFDAASFQGNKDLCGPPLDVACPNSLDSSSHSPSSGSSSNSGSMKILLIIAIVVVVIGALLAVAGVFAAVAARRNEPRHARATETLGGGAGAVDTAKVKVLSAPAVKIEQQAGAEQHGVAVTPGKRGGGRRDDHGRLVFIQASRQRFELEDLLRASAEVLGSGNFGASYKATLLDGPSMVVKRFKDMNGVGREDFSEHMRRLGRLAHPNLLPVVAYLYKKDEKLFVTDYMANGSLAHLLHGGARSSLPPLDWPKRLRIVKGVARGLAYLYDELPMLTVPHGHLKSSNVLLDAAFEPVLSDYALVPVVRPQHAAQVMVAFKSPECAAPGGGRPTNKSDVWSLGILILEVLTGKFPANYLRQGRAGTDLAGWVHSVVREEWTGEVFDADMRGTRGGEGEMVKLLKVGLGCCEPDVARRWDLREALARIEELRERDAGAGDDSSTGASSFLSDGEVAPRSGEPHPHSA >Et_1A_006793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27678229:27682867:-1 gene:Et_1A_006793 transcript:Et_1A_006793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATGFVSLSSSPWCPRPTPRRSSFRVRLPPPRSGGGGDEEPTTTSWVSPDWLTSLSRGLGRGGDDSGIPIASAKLDDVQDLLGGALFLPLFKWFREEGPVYRLAAGPRDFVIVSDPAVAKHVLRGYGSRYEKGLVAEVSEFLFGSGFAIAEGALWTVRRRAVVPSLHKRFLSVMVERVFCKCAERLVEKLEAYALSGQSVNMEERFSQMTLDVIGLSLFNYNFDSLTTDSPVIDAVYTALKEAELRSTDLLPYWKIDLLCKIIPRQIKAANAVNIIRNTVEELIMKCKEIVEAENEQIEGEEYVNEADPSILRFLLASRDEVSSAQLRDDLLSMLVAGHETTGSVLTWTIYLLSKDPEALKKAQDEVDSVLQGRLPRYEDVKELKYLMRCINESMRLYPHPPVLIRRAVVDDVLPGNYKIKAGQDVMISVYNIHRSPEVWDRADDFVPERFDLEGPVPNESNTDFRFIPFSGGPRKCVGDQFALLEAIVALAIVLRKMDIQLVPNQKINMTTGATIHTTNGLYMN >Et_8B_060184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:733707:739269:1 gene:Et_8B_060184 transcript:Et_8B_060184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCFDRRHNVESRREAATDLALIISLTSLQSSLSDVVFLLVFLNCFCAFLTKQMRATLLWIILLNRSSAPCSRSPTMHSNACNCSSLQTIMLLFWNAFETRDLLGMATCFDRRHIVDSRREAATNLALIISLTNLQSSLSDAVLLLVCLNCFCAFLIKRISSAPLSRSMILHSNACKCSSSRTTLFFWDVLEIRGRFGISICFDKRPHVDSRSKVVSSLASASTFTTLQSFSSEVVFLQTFLNCLCAFLRSSKTSDRASSSSSAFLCRVWQRLTWLLGVHIISSMLSWMLPSLLKPSHIVSMVVKDEEMAVFRLCSCCSTPASYKQGLEGALADLIWQAMLEVELRVFRRETLVWIKLLNRFSTEPSRPSRPNSSACHCTSSHEILDALEIRDHLLELQMVICFDKSSTADLRTETVIPLAAVNKLINHPSSLELDLLAVFFR >Et_5B_043644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13487066:13489173:1 gene:Et_5B_043644 transcript:Et_5B_043644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRWLRASLLGAAELLVSAVVHLGYGFYIFGAAVAADVAASLLEGLTGGGGGVAKGGGVADGEDEAAAVLDGAVPPIVLVHGIFGFGNGRLGGLSYFAGAEKKDDRVLVPDLGSLTSVHDRARQLFYYLKGGQVDYGEEHSRACGHSRFGRAYERGHYPIWDDEHPVHFVGHSAGAQVIRLLQQMLHDKAFEGHDDTSENWVLSVTSLSGALNGSTRAYIDGMRPEDGRSVRPVCLIQICRVGSILYHWLDLPWLKRYYDFGFDHFAMSRRDVGLRGLADLLLSRAATSHGGDPFAAGDWILPDLTIQGAARINAAARTFPGTFYFSYASRRTARVGGVTVPSGVLGVHPLLFLRVLQMARWRFPAGVDPPYKGYRDEDWEDNDGALNTFSMTHPRIPEEHPSLLVENDADCHPLRPGIWFVRRALRALSLFHGNSVTVGLGCLCSVFGAKKSRYYKIVEADHMTFVINRQRGGVQFDLIYDSIFQNCRKHVFRTAPPPTLPNQS >Et_1B_010734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13443157:13444204:-1 gene:Et_1B_010734 transcript:Et_1B_010734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPVHAWFTIILVSIIVTIATKVARTRTVSQLARRGSPQPPVAKGAPLYGVLPAILSNSLPDVIYDQYSKLGSVFTLKSFGVQVTFLVGPEVSAHFFHGTGSEMSVADVYKITVPITGKGVGHGTHSDTRNQQNRLFAECLRPAKLRTYVEPMVRELEASICKYFLEYFAKWGQCGAVNLKHAVDNVLMLMASRCLLGKEVREHMHDEVCSLMLELIGGRHIVSMFYRDPHAFDPDRLGPGREEDRAGGELAYTSFGAGRHACMGEGYAYLQIKVILSHLLRNFELELASPFPATENMISLRPKGEAM >Et_8B_059536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1908131:1909757:1 gene:Et_8B_059536 transcript:Et_8B_059536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAWGDIYKVVEAMAPLYFALALGYGSVRWWRFFTPEQCGAINTLVVHFSMPFFAFDFAARTDPYSMNYRVVAADAVSKALAVVAVAAWARGAKGGGCGAVITGFSLATLNNTLVVGAPLLFAMYGRWAQDLVVQLAVVQSLVYFPLLLLAFELRNATDVICGRVEPVSSPAEKNGADDVDMEAEGRNRIRLWPIAKAVGLKLARNPNVYASVLGVAWACVAYRWHLRMPSIVTGSLQVMSRTGTAMSMFSMGLFVAQQERMIACGAGLATLGMAMRFVAGPVATLVGAVALGLRGDVLRLAIIQAALPQSITTFVFAKEYGLHADVLSTAVIFGTLVSLPVLLAYYAVLGIV >Et_8B_060143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6388029:6396259:1 gene:Et_8B_060143 transcript:Et_8B_060143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YLYRSRATVRVGGDIQVSAAMVAVGELLASALVKMAVDKLGSALMEQASSMWNTSKHLKDMKVTLETMGAVLKDAEMRSIKEEEVRLWLKRLKAATYDISDMLDDFEASTQAAGQMTGIIPVAIRGILQANKMKRMRENLLKIEEEHKSFSLTNITNPHVDQQPYDQRETTAYVNESEIIGRDAEKQALIGVLRANHDKTIIVPIYGLGGIGKTTLAQLVYNDIQFKKYDNRVWVYVSQVFDLKKIGRFIISQLQTGGGQQNTDTLHMINLCLDDLFRGKRILIVLDDFWEDRTSELEKLKCMLRVHQKGSNMIDVIVTTRKEDIAKKICTNDPYMLQPLNDVMCWDIIKRLSSFEHNVNKERLEQIGFNIAKKCGGVAIAAQALGYMLKFKDLRGWSELNNSDIWNQYSQDETVLPSLKLSYECMPPCLRMCFSYCAIFPKGHDIVEDDLIHKWVALDFINPSEGMEYVKQLLGMSFLRHSALPTSSRKHDVRYTMHDLVHDLAISVIGDELVVFDAATKRNAIEPIYCRYALLKNYERSRKLSSILANKVRSLHFQHSSKMDLPRGAFSFAKCLRVLDFNECSSVLLPASIGELKQLKCLNAPKVQNERLPECITELSKLHYLNLNGPSRISALPESIGKLGLLTYLSLSGCSGISKLPDSFGDLKSLVDLNLSHCSGIVKLPESFANLKELLHLNMSGSGITELPGSLGNLTNLNHLELSECFDLRALPESLCGLTTLEYLNLSLCPHLGRLPEAIGSLVNLRYMNMSRCGRIKELPKSFQNLKNLEHLDLLHCCCLKGLPAALSGLTALKHLVMSFVSNGCRNKNELLSNGDISDSLGSLTNLKYLALSRSMNECFGYAGERSDRYVDFIGNLTNLEHLDLSNNRMLVNLPESIGNLKRLHTLDVSCCTELKSLPKSIDAINIKSLLVDGSSDELMDHVHSRFINYSLTLPLFKVCADNCCSNLHQLEGVNADELRIRCLENVMFLQEAQRVKLLDKHNLLNLTLAWTLDADRLLEDKDLLEQLVPPSCLQSLDLEGYRSISFPSWLMGISHHLPYISFICLTDLPTCNKLPPLGQLPNLENLFLEGLSCVRVIDRDFCGGKGAFCRLSVLSMERMEGLEEWNTTYYAEDGVEEYMFPLLDHLQVVDCPRLRLRPCPPTPRAWLIKKSDQVITSLEEIRNISHLSSNPSTRLIVRECGCQSLNLFHHFPTLEKLEISKCPNLTSLPESMRHLVSLWSLELRACERVSALPDWLDDLSSLRSININGCKNIKFLPPCIQKLKRLLKLRVDWELKQWCESEENKTWRAYIKDKVSTRLKGILSSFELYYYINGTRHI >Et_5B_043117.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5444607:5445074:-1 gene:Et_5B_043117 transcript:Et_5B_043117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARCSLVIGFHTAISLRLQLLRRTRPWRQQQTLQPSSWGNSKGKARGGDAIGLFQHWDEKGVAAFVGEEAEERGRPVVRAELAVELGVREEAQPALADDSGADKGGRQRRDPDEDLPEDVLVFRHERRRRRRRRVVAAHQTLAHRNWTGVVFG >Et_4B_035989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10969398:10969876:-1 gene:Et_4B_035989 transcript:Et_4B_035989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFDALYRVVMRRNAVYVTFVVAGAFVGERAVDYGVHKLWEMNNVGVRFSPPFHLSVFLNRCPRTNRHDP >Et_3A_023041.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:20280592:20281833:1 gene:Et_3A_023041 transcript:Et_3A_023041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGRRSGVRFIESDKDRSLTFFKRRAGLFKAVADLSTLTGARVAIVLESESGKFSSFGTPSAQPVVDAFLAGNAPIWPYANGTITELQNELFQLEKDMAMGEKRKKCSTTLAKELEERSRTGKLVFGKEEDLDDSEICEKYHGLSRVQQEIQRRRLSIVHHGKQQEVGGLRDSLLLQPSWWRRSLPSKMPPPRALPWTPIQPSLKSPGLSITAPTRPQSSILNPIMLPSHTPPPRSLAPSMVPLPVPNESIPSNYRIWGVDISSNASSSFFQSPILSSSPPIPPSLHIPQLNEPSHHLSPPQQFSPSLSLGGQLPFKDLNNNTTEPPQKYPSSGSTSTPPNKPYYATFDGLNIELPDTNENGGKIDDTHSMLGFSGPLQSDGWIDDMISESCFIEGQSGAGAGNNLGGMNLP >Et_1A_005166.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30382221:30382643:-1 gene:Et_1A_005166 transcript:Et_1A_005166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAFSVVRKNLCITYFFSCVGATKLWVDISEVLNLEIGIDFESVGKCWLSDKKFSVVNMVSSAAIWGLWKVRNDLCFQRCYWKGMSMIWEKIIPMMERWKVLCPANKLDTMCQLTAGLKEMSMRPGRIKMGPAHISSGG >Et_2A_016743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27841295:27841881:1 gene:Et_2A_016743 transcript:Et_2A_016743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSGTMRAVQYDRYGGGAKGLKHVEVPIPSPKKGEVLIRMEATSINQVDWKFQKGVMRPIMPKKFPFTSGHDLTGEVVKLGSGVSNFKPGDKVIAFNFPVTSA >Et_4A_031930.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30486205:30487038:-1 gene:Et_4A_031930 transcript:Et_4A_031930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALPRALREQDHLHRQRGPLLPLRRRPARLRHHAQPPRAQAGAHLLRRPRRPGPRQRRRRRRQALRHGAGVLPALRKKGPLRGLRLLHHTQDAVVQPHVVASPLAAAAAAVRPRRRLRGRPDPRARRARRRLPPLHLRRGHRHVLLRHGEPGVEPRRRLDAALLRQGRVRPGARPLVRPLGEGPPPLRLRPLRRAQGPEADAAQRLEERVPGRVEPDGDHQARRPWVREVLHPRVL >Et_2B_018901.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15031789:15032451:-1 gene:Et_2B_018901 transcript:Et_2B_018901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SELERQVDLLPVPGVAEASAAAVSNGRASCPAAHIDDARQLRRRHVLLRRGRGAERRRRHRPELPDAGGVRRQSMERRRRQGRRRRRGGGGGDDVAAALGERALELVRVLVAAKRLRAVKLAVAVVAGEGLPAVVGAAGGCGGGGDGTPAGREGEAQVEVDAGSVRGSLVLHRSEEGGDDGDETLVLSRREGEERKLWQRVVWEQRSQEQEQLVWFETGI >Et_7A_053166.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:8722925:8723548:1 gene:Et_7A_053166 transcript:Et_7A_053166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIQHLLLCSLLAVSLSTIAGAAPPPVYDTDGHPLSSEASYYVLPAVRGHGGGLTLAPLRSRCPLYVAQEADERHRGLPVRFTPLQQGSDAVHVSFDVRIHFDAYTTCVQTTEWHVAGSQGRVATGPVLPRSPPTSGREKLFRVEKLGRVGYKIVSCRDKNCQDLGVLRDARGVWLGATDQATEALEVVFKKAPRVFPLPPAPSQA >Et_4B_036815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11702436:11707009:-1 gene:Et_4B_036815 transcript:Et_4B_036815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIVGLGLGDERDITVRGLEAVRSCAKVYMEAYTSLLSLGLDPSSLTNLEKLYGKEITVADREMVEERADQMLREAADADVAFLVVGDPFGATTHTDLVVRAKNMGVEVKVIHNASVMNAIGVCGLQLYHYGETISIPFFTETWRPDSFYERIQNNRRLGLHTLCLLDIRVKEPTLESLCRGKKVYEPPRFMTVNTAISQLLEVEEARGGSAYSKDSLCIGVARLGSDDQKIVAGPMEKLLDVDFGPPLHCLIIVGETHPLEEEMIEFYMMNAASEPKLWWLGDLRDLDRAGVMGPLTTRGDPRATGGLVDLAKPMSLAMEGMGDMEGMGESMRSANGKQCSE >Et_3B_029784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27935902:27939157:-1 gene:Et_3B_029784 transcript:Et_3B_029784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPPGASPQPDQLAGSVSTPQNPNPNPLLSPQIPPSPTVSDLSAHISSPQQLDQAAASAGGSMDYPPRPPQMQAPSPSQAAAGAGGFGQIHRSGSASRLSTASQLPQYAAMARMYGGQMSFSGGGGQVTQQQQQQQLAARAAMLGQNQLGLLQGQGNAHFGLQSQMMAQPRQKGMVQGINTANAAQALQGMQSMGVMGSLAMNQMRPNGTIPYAAQQRFAQMRPQVSQQAALSPQKVTGQGLSRKASIAALNSQIPGSSQNGQMVAMSMPQQQQSQQWMKPLHSSMGSPVSPQQQYQHQQRLLLMQQLQQKAGLSQQQIAQAQQNPHLTANQLMQQQQLLQQFQQQQSQQSPRISASGSQKSTNLTGSQPGTPLSGGTMTGGSASQGAEGTSQLLGKRKIQDLVTQVDPLGKVDPEVEDLLLEIADDFIDSVTAFACTLAKHRKSSVVEAKDVLLHLERNWHLSIPGFSREDKNPQRNSVKPLVDPQQLESDAAGIRGANNKLIANNLAGNHQIRPQVADPHPSPMPVVGPQSKMPRF >Et_1A_005055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23977817:23978326:-1 gene:Et_1A_005055 transcript:Et_1A_005055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPRLGGRFAVWSGLYSAVDCGLVYARGREDPWNAIAAGAAASGLLALRRGLLASGGNALVGGALLALLEGSGIVLQRLMATVPPPPMPEEIPAPAFPPAPPQFEVHGELPAPERSGPTGWFAGLFRRKQQEEKVVTNSVVLELELPSMSVPTFDYK >Et_9A_061052.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:12520616:12520774:-1 gene:Et_9A_061052 transcript:Et_9A_061052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAPRLCNSCAHELARSSLSWDPEQSFVWLHPLPSLLSNLVVRDIDEPDVHE >Et_1A_008563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8835791:8836163:-1 gene:Et_1A_008563 transcript:Et_1A_008563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAALNSFAVACLLALIVVAGAARTEPVPDMHATRPCHSNNGWSNHLCKDVCKASGFVAYDFQLPVFATGEQARCCCCPQDDMDACLDA >Et_1A_008592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:912659:913200:-1 gene:Et_1A_008592 transcript:Et_1A_008592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASDDGAACPAYPWPQDGAQGGRKVFMQSDCAACHSMLPYAGLRDAAPARGVEAKVAEILVVNEEQPATARPLQSAYTPVVTKAALFMVMGNQMLEGLRHSNLYTAEELKKKMALPPPAWLQFLQPSHA >Et_4B_038056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25833669:25837191:-1 gene:Et_4B_038056 transcript:Et_4B_038056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFHFLNCAALTFGPHVVYYSATPLSEYDTIGTSVKAAVVYLGTALVKLVCLATLLKVPENDSFDPYQELMKIFIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWIGARGLEFTWEFIFQGLEANANLVMTLSLAALGSLMWLRKNKPKTLIPIIYACALLLATMPSITSYLRRSLEWQTPKVVGFELFSSLAMAFISWQLFSACQRPM >Et_8A_056160.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:86146:86367:-1 gene:Et_8A_056160 transcript:Et_8A_056160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWNLSLSRMEMVEDQKRLFTHGCYMEAIIVAGWAIWRHHNNIIFNEVAISFPRWKQEFRNLFKLTCIELSIV >Et_9B_064728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18061652:18061987:-1 gene:Et_9B_064728 transcript:Et_9B_064728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSPALPLLGPAMAGREKNTCAGGDGRRGAACERSCFGGQSTSGSRKESKRYGNPPGHPAADRTGETALVTSKDETTAVYLDGPTCQNPTTRVVLARLK >Et_4A_033131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18940083:18942844:-1 gene:Et_4A_033131 transcript:Et_4A_033131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRRRGGGPSPKPRTYSGDRSERLTQNRSALLGVINGLYAAALERLPVDEMPALVPRLLKAGLCVGFSDPVSNIVVNTVSTYSRRVPERRRRSPASSSSEAAASRRRKRRRDKALSRAVADAGGVTHFSPRRSTLRDMPVAARSLEALVAFLTYYFRYLTVSEAIEYLVLAKADVLAAVRLVEADRNSNPSGGFSLASRTTKTAFRCAALASCHPKPRALVNRSYAMAARMEEASQLLGTTEGCLSCDTIETITGLLTTTKPRAALNVDVAGVTPPQFLHELTNQPPFYPTKSLKSVLLDRIYRLYLDALARLPPDALRQRYHRGLLRAGHCYGPLKDAISNIVLNAVWYETVFPPQKKPSAVSMISSRSLVRVACRSLRGLVEFLRASFRMVSEQQAMRYLLFAGASLWEAMDMARREGHVERTGLGQDGAYKAAAIAAMYPDPDAVAGFFVSTFPSMSLSLKITEPSLLFDVQVLSQMLMPYRSATNGTAQIAPALSDGGSKVLSWIQNDFKQEELFVCGKVTAALKKYTQQTGGPGYELHVICGLNRNVANSYGWGLEYGPGHMRPRKTQYSHVNFLASPKDVQSSAPILFFAECSNNEDVIGESSCWPVTGDPAKLRCFHCEKEGAKIIHLDTKYKDRDIYFERMACKDLGVMTVDDTSERLITNSIDICEVDCIYFDANRDTKCANFLNARARIFERSFLVYTTLLLGAKPRK >Et_2B_020864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24417141:24417581:1 gene:Et_2B_020864 transcript:Et_2B_020864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFSNISRALLESKLAIGLFAGVFLASVIFFSVSPQFGWHSPNVLLPEVPRNGGSAPSGSPTKEHNDLAPPPGEALSGECIPPSRIVFRHAFHQSCLRRHSLLEITESESMK >Et_3A_023928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14829835:14835596:-1 gene:Et_3A_023928 transcript:Et_3A_023928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRRAAHAGSWYTNNDLRTASCSQLARKLDEELDGWLRAAGLNKSPDVRGVIAPHAGYSYSGRCAAYAFGNIDPTNISRVFLLGPSHHYYTPKCALTRATVYSTPIGDLPVDQEVIEELSATGKFEFMDLSVDEAEHSMEMHLPYLSKVFQGHAVKVVPILVGALSSQNEAMYGQLLSKYVDDPKNFFSVSSDFCHWGSRFSYTYYDKKHGAIHKSIEALDHMGMDIIETGDPDAFKQYLQEYENTICGRHPISVFLHSSQCKSMRDSSVSYASAAAKVDKSGQEENKD >Et_4B_036864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12177794:12178299:1 gene:Et_4B_036864 transcript:Et_4B_036864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVTCEFLVFALVCTLFTVHEVWGELAECYNDKITLLAVCAGPLSKNGHFIYPNHRCRSVVETTDIPCVCMILTSADEEKVSAKKLVQLARECGKPLPVGTDIYSSSTKENNTAYKRSSMSSWWEETNQR >Et_6B_049931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18552043:18553209:1 gene:Et_6B_049931 transcript:Et_6B_049931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWVEWTFVAEEATTAGKEGAKDIYAAKPKGEASPPRMRLLPSLKKLYLASCPRLRALPPQLGQEATSLKELQLTSVDSLKVVEDLLFLSELLSIDDCKDLQRVSDVPQVRDLRVARCQRLWRVEKLDNLQLLGLHESMSAIWIDLELGRLHLAVCISRLDLRMMHEDWAWSQFGDPNVTLNGEPCND >Et_1A_008798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13626023:13628897:-1 gene:Et_1A_008798 transcript:Et_1A_008798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVVSSRRVALKCFVAALVTAALLVGFAALLFWVFFQPGLVEYSVTSATAADFSLVNATVTATFGFAIDTYNPNPAVSVLYDRAEFLVPYSGAGGEHQLAAASSFQPQEDESLLYVRAVAPSTPVTGRVIRSLEQDVAAGEVPIDVLMRARLRFKIAGFTTWGCHLRAFCNNRCVRLAAKAALQRLLCSSYSVSVISSAAVTGFPSSSMAKYTKQMDAIVFLSLLLLGYFANPAEA >Et_7A_052529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:977093:978690:1 gene:Et_7A_052529 transcript:Et_7A_052529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCHVSLGRHPACPGPLPRRAHTNPTPPIYLAALASLTITTTSPLLRLSQLSSRLPQARICSPSSGMHAPGAACMAERLLQTHDVSDLCIGKPPLRWLPPTSTVADAIAELEGGGAARGPDAAVAVWDGEVAGRVCMADVLLFLCADGNLASPAAALQATLSDLLAAGAPPVRRIEPDASVLEAADAFLDGAHSLVVPVRDHRRRRSASTGELCWLTVEDLVRFFLSSIALFAPTASRSVSDLGAVRPAARAVAAGDAALSAVPLLRAALASHAAVAVVSGELNFPRRLVGDISPSTLCSSADVSVAAAIAALSAGDLVAFIEWGGAPPDATLHAVRSRLRRRGLHGMLDLLYGGDPSSSSSSSASSSSSSSSSDEDEYYGKHGMASPRAKRGGKQSRLYSARSMGRRRTEEAIVCHRGSSLVAVMVQAMAHRATQVWVVEDDRDLVGVVGLLDVLRVLRHHLHQPQPL >Et_1B_010646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12468388:12470982:-1 gene:Et_1B_010646 transcript:Et_1B_010646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFRSWLAKAAHLLMCCCNPATDGPRDPERLARETIFSVNEIEALYVLFKQIDSGAVEDGMISKEEFNLRVFGPNKGGTIFADRVFDLFDKNETRGLEFEEFARALSVFHPDAPVDDKISFAFRLYDPKNQGFIERIELKQMMEATLAESNLNLSDETFEEADTKKDGKIEFEEWYTLVKAHPSLIKNITLTYLRDITVAFPEFVFHSQVKESNEVYR >Et_7B_055789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4602656:4603804:1 gene:Et_7B_055789 transcript:Et_7B_055789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSESRRRGRDQPHCDDAAAKRPRRRQKHLYLVMDDWNMGYSIHKLDVDAWEPDTGGSEEPRRRLPEPAALRVAAPEDRSTAYFAALGRRILFVPDRYIDDGPILVYDTETVALAVGPRPTPALLPLGHILFAEIDGRLYATRSEPTRSAPSRWSRLCPATWRSTSRCAAAPTGGPWRAHELVSAYAVHPDDRTVFVSARNRNPGRGVRKEGTWSFLRRPARGVDVARRLPFRDRGFYVDELDAWVGLLRDGFLCSCAVPSRGGAAALPECKLGKKTMFREEPEWHVGTPGATLAYIGDGRFCLVECAASEGVTYEDAIDGAVDGCVLHVTVFGLKYDKRGDLETTAPQPSRSYILSRCSGAFPAKMFWM >Et_8B_059221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15555493:15557388:1 gene:Et_8B_059221 transcript:Et_8B_059221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGEKEAASPGVDFLEWLGPDTSAVVFALLRDPADIARASAVSRSWRTVVLATHFSKIQCLRICPDVANFTRIEQITSANDSSNNVAVAESSAATAAAWETHERDHMVYTHLAHTLLSHPTSQNCIAGCIGASSTDNFPEESIENTLDPWEVVDLMNLEPSYWSSGGQADPAVPEYLIYRLSSDLCLINEIRVQPFRAFFQAGHPIFSAQYVRFRLGCPKMPLQPEDLVSDENEGKLIADSNYIWTYTSPEFPMLQENVLQSFELPRSVLCIGGVVKVELLGRVQKQQADDLYYICVTHVQILGTPLSSEYSPAPVNSSCSKLSGSRSKWRTFEARLWREVTGPGIGLNQELLSRLLGPSFQFLVEDDDEMKDASPP >Et_4A_031884.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:26427657:26427995:-1 gene:Et_4A_031884 transcript:Et_4A_031884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIRIVMKSFASQSNQVSKLMPNAKKIGLPESQSLYTVLRSPHIDKKSREQFSMHVKKQFLEQKVEAHELRKKYFWLKRLRAPGAQCEIQISFKTRLDKKSLESKLLDGS >Et_6A_046437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1570725:1579924:1 gene:Et_6A_046437 transcript:Et_6A_046437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFLCGLSPDEDDIVERLCEAIADGRLFASLIQAALDVGSDDDDDDNDEPDDGFVGVPASAATIAGLEKEKYHASGDDDNAECSICLKDYVSADDISVIPCTSTGFIRSVSMHGSRATTCRHSLPTEEQGRGEFEEGQELSVMPCSHAFHTQCIAVWLEQSNMRPLCRHALPQAQDDASDYHGDILVDFQLHNDNDDIAEDRFLLGPLGRSLLVPHIEDTTTMFNEAYSNNGFGGVPASTAAIAKAKVRWTDDGCVICMKDYKKDDRLVVMPCSYMHRYHDKCLKKWLSQSHLCPLCRHPLPAEEQNEHKSANIIL >Et_3A_023507.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:31489620:31489817:-1 gene:Et_3A_023507 transcript:Et_3A_023507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRVSFFAVLVVLLAATLALSLAPCAVAARPVPPSPAATSAYETVVATLMEMLPQGPSGGGAGH >Et_9A_063369.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21696219:21696707:1 gene:Et_9A_063369 transcript:Et_9A_063369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRHAHGEGGARPGHAGDASATLLRRVQTHAPNSTQVVGFLTLLVSGAVLLLLTGLTLTGAVVALVFLGPLALLTSPIWVPVAVALFVLAAAAVSACAFAVAALAAGTWVYRYVTGRHPVGADRVDYARSQIANTASHVKDYAREYGGYLQNRSKDAAPGA >Et_2B_020687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22724079:22729753:-1 gene:Et_2B_020687 transcript:Et_2B_020687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCKHMVEHLGFDVDSVATNGSGLTPLACAVSNGKVIAVRYFIAKGADLNKQDYIGFAPLHYAAKKGHDGIARLLVARGAQVDLFSSGGTPLLVAAAYGKSGVMQILLENNADPNIWGDISTLAAVVCATPEKVNESACLKCVKLLVKADADINSMNPDTPLVIATSKGLTEVVTYLLEAGADANIPIKHDKASLEDRKAQLKSLGGKAVAGMDYAGASKFYTEAIELDPADGTLYSNRSLCQLKIGEARDAVRDANECTRLRPEWPKGYYRKGAALMSLKEYKEACDAFMDGLKLDPSNMDLQDAYWEAAEAMRKHSAGQSASSFD >Et_3A_026031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3636859:3639414:-1 gene:Et_3A_026031 transcript:Et_3A_026031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGVAPSGYKNSSSTSMGEKLPDQMNELKIRDDKEVEATIINGKGTETGHIIVTTTGGKNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHYNKMNQRMPLIYVKLYMYQICRALAYIHGSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRMPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNTRLPNGRFLPPLFNFKPHELKGVPADIVAKLIPEHARKQCPYVGL >Et_1B_012548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32998841:33000849:1 gene:Et_1B_012548 transcript:Et_1B_012548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPTLLHSQPIHTPLAPSAGPGAQDHWSAAGEFHGFAAARRGAHRRSASDSAAFLETVPMDDVIGGGDEFDRLDDEQLMSMFSDVDAPAVSDGAGDRAGEAQLMDMGDAEDTSPAGVRAAAAAAQATADGAADPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQMEVSALSPRVAFLDHQRSLLTVGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQLYHQQQIKATGGTDIATAASMQARQELLACEGAAIR >Et_1A_008503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8268642:8273541:1 gene:Et_1A_008503 transcript:Et_1A_008503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVEAWVREKPIRTFLARLAQRRAEAAAAYIAASSAAAAADGDPSIPQLSSIANSVVSRCSRILGIATENLQQNFENDFPDNCKEQKTYSRELLEYCCHKALHEVTTRPDYLADKNLRRLMFDMMLAWESPGAQDEFLVNNSTSNSLETEDEDEGSIFYANSTRLAVQVDDKKTVGLSSFARIAPSCPIIADLVTVHNLFDALTCSSGGRLHFLVYDKYLKSLDRELRSVKGIMQSPLSSGFQLEAGECILAIEGDRPIHPVLQHIGISAWPGRLVLTTHALYFQSIRVGYGDKIVKYDLAEDSNQVIKRDLTGPLGVRLFDKAVMYKSSMLTEPIYFDFPELGGPSRRDYWLAITREVLQVNRFIRKFNLGDVQRAEALSKAILGILRYSAVKEAFHIAPSHFKTTLTFSLAEKLPKGDMVLEALYKNYFQLLESSLSHLEIDESTVDKLSRTHSVPFSLYALSRMGLLLKRKDEIEKEISFCAVCFGVTKSLEAALEESICYSERIESARATVDQVKVEGVDANLALMQELIFPFIQVAKLIYSLSQWEDPFKSLLFLAFMLYTIQRGIVGYMLPFGFLVFAVVMLWHKYIGGGKLLEVVEVKPPPGKNAVEQIVTLQEAISKLEDSLQAANIALLKFRAVLFGSVPKATEVVAAILIAAAVFLVFVPSRHLFLIVVLEMYTREMPLRKQNTEKFRRRVREWWARIPAAPVQMIRPNEDKKKR >Et_3B_028430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15260269:15261098:1 gene:Et_3B_028430 transcript:Et_3B_028430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAGFLLAAFAVLLALPAPSVAGDPDMLQDICVADYKSLKGPLRLNGFPCKRPENVTANDFSSNALASPGNTGNAVGSAVTAADVEKLPGLNTLGVSMSRIDFAPWGVNPPHAHPRATEMILVVEGSLDVGFLTTANRLVARTVRKGEAFVFPRGLVHYQRNNANSAAVVISAFNSQLPGTQSVAETLFGASTAVPSDVLARAFQIDGGLVEGIKSKFPHK >Et_8B_059768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2525355:2529038:-1 gene:Et_8B_059768 transcript:Et_8B_059768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPLSCRIEAPPPPGPYPAPPFLAGVEARLGEAVPQPDRRGGGIHEALGMMLRCMGFDNTTALYVASGKIYNSEKYMAPLHLMFPLLMTKETLALPEELAQFEGHSSRLAALDYTVCVQSEVFVTTQGGNIPPFTIKPDKRKLILSFDDLNIRLLFSIHLTVNNLECDLITFDIRQEPGQNEEQAAYAAFCCVK >Et_4A_032944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1667107:1671969:1 gene:Et_4A_032944 transcript:Et_4A_032944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLRRRPDPSPSSSPPPLLSRLRSAASNLLVSRRAAPLSLIQCQAFHRAPRRRALYRTDHAMWLQMRQYSTKKERNGSGRRKGFVDPSSWRYFDSRAVGINNSAIPKDAWTVLRALKQKGFDAYLVGGCVRDLLLKRVPKDFDVITTASLQQIKKNIFRRCMIIGRRFPICQVRMRGSVFEVSSFRTTGYYSNRSEGVDCFEELNGYDDADVLRWKNSMRRDFTINGLFFNPMNYKIYDYVNGVRDMRKNKVCTVIPAHVSFNEDPARILRGLRIAARLGFQFSSETSTAIRDISPSVLNIDKARLIMEMNYLLSYGAAEPSVRLLRTYGLLDMLLPFQGAYLSDQMKNKSSDRDLMLMKLLANLDKLLSADRPCHCSLWLVLLAFHSALVTCPQDTQVIRAFAALLYFGTWEGAVEFLKEDVGAHVQFSPETLGPSHTKLDILMEQTSHLASLVKSSVHILTHSESLQQSLARFPDTPRFSGLVFVSKNERSRLLRIFEGLDSDLASYDDQRRGRYEIDYESLKDGDFAEVRFVLGKVIMDTLRDELPCESTKDAAVTNANRADGNHPPLSRLF >Et_7B_053833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12606574:12611914:1 gene:Et_7B_053833 transcript:Et_7B_053833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPASDWDACACDPADLEKFNPFLTHAFLSSLEESGSAVKETGWLPFHVVARDENKSIIGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILIRNTPFQDQVFNTLVKALKGLTTKMNVSSLHITFPSEGEFSKMKDSGYLQRIGLQYHWRNRDYKSFDEFLMDLKQPKRKNIRQERKKIPAQNLKMKRLRGDEIKSSDWDAFFKFYRNTTDNHWGRPYLTREFFHLLGEKMGDKVMLIVAEHDDKLVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLRKVEAGAQGEHKIQRGYLPVTTYSCHYFSDPGFAAAIGNFLARETAQVKHVIKVLHDSGPYKEDILKEFAPQQD >Et_3B_028073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9597407:9597965:1 gene:Et_3B_028073 transcript:Et_3B_028073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVSQCVAVGARPLVVGPDGGRSWPEDRTGVAELMIDAPGHVVARAADVARERRVRAMAADELLRAGEAYLLVPAARAGARLGDREVEAIARLVSGKKKTSRKGRTGGGKRVFPAVNGDEEVGAIRNGLRSMGLEPGSGGPSWIPSTRLKKRLAKSKNNRFVLVLGYIGNPIL >Et_6B_049828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14110026:14112350:-1 gene:Et_6B_049828 transcript:Et_6B_049828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCKNDEGEKTWKVIHGVWVEMICFSASRCRGFLRAKSLGKGGEYLSYVWLLREYMGMETFAQRMQWTEFPVVGDLGAAAPKSTPPVTPQAQVQLRKQHVDQLVKEEVDLCTNGTCGPGGVVETTARPMEPRLASSLLSEPAGGGGDGGGRTLYSVSSNKILFLVVGKIMKMKLDMTAIPSGKFLSSCLVSSVAFGKKQFCSPTSLPATVRNTTKVKKA >Et_3A_026915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26366333:26368470:1 gene:Et_3A_026915 transcript:Et_3A_026915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGGAQLERRSSVRRSQSMVLEEDRGSPAAEEQMLRSQGANCEPVGGGAALRIGTVLDKDSAAPKSCLVKHEPSGKPRSIYLGSRLRCAARAGLGFDEASDGCEMDQMKEKFAKLLLGEDMSGSGKGVPSALALSNAVTNLAASVFGEQRKLEPMAPDRKGRWKREVGWLLSVADHIVEFVAKKQVLDNGTEMEVSVAIPCIKLSSSPVMGTQQRRDLQANIPALRKIDTMLLDYLDNFKDRNEFWYVKRDSASESDKEESNEKWWIPIVKVPPSGLSPASRGWIQHQKELVNQVLKAAMAINANCLMEMNIPESYLEALPKFSLTGLWQNGRASLGDALYRIITDVEFDPDVFLSTVDLTSEHKILDLKDRIEASVIIWNRKVHNKDGKSSWGSAVSQEKREQFEERAQTLLLIIKHRYPGIPQSTLDIAKIQENRDVGFALLESYSRVLESLAYNVMSRIEDVIIADNHAREKAKKDAPPAPAETAERGVPQVAGEEVEDQSRTLLDFMGWTGDSEQKNDDNSPPPPPPEPPVDDGRLMKLPNIMTNLKQTYMDKLDFLGGHRSPTGRH >Et_1A_004882.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14039720:14040049:-1 gene:Et_1A_004882 transcript:Et_1A_004882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDAAAISRSARLQGTGSDELGQSLRIYLPVTGKVPFEWEDEPGKPKSSSARLDDIPPLCPSPAMQSARLTRGRRRKAGARKHSAETGGFEGCIPLRFHWGRAMKRWM >Et_7B_053487.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:22627971:22628150:1 gene:Et_7B_053487 transcript:Et_7B_053487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASLCLLGGRYCTGWSKKKGLNEGLQSRFYRKSGWNRDVRLPDKPVRNQIKIQMTNFE >Et_6A_046168.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7036601:7036942:-1 gene:Et_6A_046168 transcript:Et_6A_046168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGKVPTGLLLMYAILLPHLVSGIDPATCTMTQRNQILINCYRYIRRHPEAIPSVENNSSCCESVRQVPNRNMHCVDELTKADKEEYRPLRILSLQKLCAPAPPPTREVKES >Et_10A_000105.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:2832969:2833247:-1 gene:Et_10A_000105 transcript:Et_10A_000105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTQRIRHMCINAKVQGLLYGDLVGQPVCYKRPTGLSFPMFFSDPYVHLCNFQSLEDACMRAPPACPSLRLRSPSQHACSFSSFWCRLSHG >Et_4A_033787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26968466:26972146:1 gene:Et_4A_033787 transcript:Et_4A_033787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVPLFSRQPAAAPGGGREGGKRAVRTVRVRNISDLATEREVREFFSFSGEIEHVDIRFDGVATGRTAYVTFKEPKALEIALLLSGATIVDQIVNITPAEDYIYIPVTEQQVVVNDVTSTSSVRTADLEYSTEATASPTRHVYVSKAHDVITTVIARGSAMRQDAVNKAKAFDEKHQFRANASARISSFDKRVGLSEKINSGVSVVNEKVKSVDQRLQVSDKTMAALLAAERKLNDTGSAVKTNRYVSAGTSWLNGAFSKVAKAGHVAGSRTREKFQIAVSNLTAKVSDS >Et_8B_059235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15716077:15719233:1 gene:Et_8B_059235 transcript:Et_8B_059235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAAAAWPVCTICYEDLRPLSDQHLYCLPSCGHVFHALCLEQWLEYCPGGKKKGTCPICKHPCGAAHPPTRLFFQSTGACPTQTCPSSQDESEGADPEALAAEVARWREQATAAEAMREAARKEKVCVQMLLNVKTEELSRKTAECGRLQEKSLALAKELAALKLSSDMNLQEEEILKLASLGNHGNLENAVDVLKRSLAIRNKSYKELMVQCNVLGRSETRMQQKLEKAKELVKKLKARVQELEKELEEKENSLIRDLRSSKKFKADQIKSWNVTANSGFPNPSSGCGSEAMKLDEVMEDPFSGKSHSDLLMPEGKSDLLNAEGNLGKKDADVIDLDADDSVFRNVQKTEFSAKLFWTGGNTLDSQNKSGLCQNDNRQSTTFECNTTYAAKETSFLKHTETVGKSTFQESLTTKPHILQENSILRTMKMTDSTREKETLTIGGISKQASRLTSGTGPQQIHNFDSLCDDDFQAPKIIGMDGSRKSIGKWCKGSTAPGSASTDTNRGHLISVGHDGRGGKVKILRDLGRFQDSKSQALWPKAQKVGGKGGQSQIDHFFGKR >Et_4A_035622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31274136:31278401:-1 gene:Et_4A_035622 transcript:Et_4A_035622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKAASKGHGFFSLFDWGKNKKSKKRLFSGSGSSSPNPRNTGDEKEVDVRTPSTQSNSVLEDAPSLRESSEHSCSSSVVDEEAQARRCPTVVARLMGLDSMPAASSSEPIPIPLSLQPPLETNSHENLAGRSYVGSPHKMPGSPIDRFKMEALPPRYAKRTLSVAQYKLLSPMKNNNHMSSRNAADIMEAASRIIGSGVENISPYRVNDAGHTNAVRAYNTREIIGIQQRSHKLNEELRNRGASASASSRLPSGKPLDVISKGSETTSSSRISVSNGYPQVGPKVKVSNRSSNAARAVQAQGKEEIRKGSRKLETRSRNPENSMILRNGLNQRKDNNQAGTTSSSNVLVPNNRKQNAMVIKHRVNSNPATPSRQRSNTHQINASPRKVGATNTFSGNNTHGNRKVDLQPTSHANMRTYSTAKAIPKPRRLQERRPYSNTSQSNDSLISDRSQRRVRHNIVIDEQSTFSTNKKKISTEIVSFTFTSPVDKSLHGSHLPNHSTEKQFIENLNAVPTSSDTSNTKLDVIDGDYLGLLLEQKLRELTSGVRSPYSKPAKGVRIYAPSPVLEDTTSACETSSIASTDYDRESLQSFNDGKASLSQTDRIPQNHQPSQSVKYDNNVADQGELEHLRLSPLSTWEAALSTETCSSSESWRSANGTKLLSSTDGATTSDSTHFNKCLEVDALSEYSDTASSITVATAEIPRSESSSSCHMDYRQEVEFIREVLNAASTGLILSCLERFGSSEILDMRLLEELDSNSSLFVGEDGKAYRSRRRLLFDCVNELLSVKCAYYFNAGYSSWYMGMAVLQNLSADELHREMTSLKVAEEWMVDELVYREMSTPLGNWVDFKMDSYQVGADITMELLGSLVDEVVADLLTGSEQRFNCYQYDSSIVACCRVSWKILAL >Et_7B_054663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3216981:3221792:-1 gene:Et_7B_054663 transcript:Et_7B_054663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGASRVANHGQDSSKPKTTPSSSLSSRCVTETDTATHSFEVPSFSRLLEGMGAGKFVASSTFRAGGRGWNIKLYPDGLKEEHKGHASVFLCLADGAADVWAKYTLSLLDRHGQVSQLCNVSMKSSHTFESVGNSWGYPRFVEKSKLEELLRLDAADCFTIRCDLTVILGSRAEDLVSSSVKIPHSSNIHHHFERMLKDGKGTDVTFSVDGQLFRAHRCVLAARSPVFDAEFFGPMKDNAAAETLIQIEDMEPLVFESLLHFMYTDRIVLDGVGDDDRYEAMQHLLVAADRYGLDRLKMMCEAELRDSVDVETVATTIVLAEQHHCVDLKDACLTFVASRDVLGAVMKTSGFKHLTQKTSSSCYTQAITAAHNFEVINFSLLVGMGVGKFVSSRNFSVGDSDWNITLYPDGEKTEQNDHVSAFLILKGGKTGARVKFSFSVLGRNGEVKLLNTSYTFKGVNRGWGWADFIEKTDLKPQLILNNDSFTIRCVMTVIKEPVIQDVSNIVVPQLNLLQDFGQMLMDKESADVTFSVGGQSFPAHRCVLDARSAVFRAELFSPMKEKAAKHIEIDDMEPTVNNHVLPETTSRCLSQHITGAHNFVVTNFLELDGMGIDKCVSSGTFSIGGCSWKLDFYPDGESGTKSAYTSKSSLRELVASSGCFTIRLVLTVSKVRTDDAGTIEVPPSSLHQDFARMLEDEEGADVTINVGDRFFLAHKHVLAARSRVFRA >Et_9A_062863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:669266:671068:1 gene:Et_9A_062863 transcript:Et_9A_062863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRVARLATPCFSPGGGGGHHGGDGSAADAYYNGAGEAEDGGHGVVGHILSFDGRRDGPAKGIGAIHGILLPSNTSTMCSSGGGGGSVLNDAMSFSGSSSFDSSNSFSFRQLQPGPYYSGPLESSPSTSTSGRSASRHGQQRTDEQILADLYATRHRRRRASAGSPLLGGLRRAVSSALRASPCVSPAAAAAVGDGGGEGIAGAGEEDVEERVQWARGKAGEDRVHVVVSEEHGWMFVGIYDGFNGPDATDYLVAHLYAAVCRELQTTTAEEEEEDDDHHQDEVLEALARALRATEAGYFADAEARAGECPELAMMGSCVLVVLVRGAHVYVMNVGDSRAVLAHQPDPDLDLSPAGVVRTTEEIVRRRLDACDMGELGALQLTMDHSTSVYKEVRRIQSEHLDDPACIEVVAQVEAFTASYPGEDPAKFLSHEILRRAAKQAGMEIHELLEVQQGDRRRYHDDVSIIIISLEGKIWTS >Et_8B_059826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3047616:3059645:-1 gene:Et_8B_059826 transcript:Et_8B_059826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISYPHHLKPSSNHTVSVSASCTARSTPALCSFPRAAASSSPFSSPSSLLTRGRPRRGKNTIGCVPSSSVRSEAGASSSGSSGGAAADSAMASVRVTAVATIKVTVGGFLNNLRPSRAIDDIKDLIGRSLYLELVSSELDAKTRQDKPTIRSYAHKVDDNDDEVVTYEADFDVPAGFGAVGAVLVTNKHLDEMFLVDVKLSSSAVADGDDKDDDDGAVITTIRCNSWVQPKSGDNGKRVFFTNKPYLPSQTPAGLVSYRKKDLEQKRGTGQGQRKSTDRIYDYDTYNDLGDPDSDAGKARPVLGGSKQFPYPRRCRTGRPATAKDPKTEKKGGENYVPRDEAFSDEKTLQFSVTTLRSVLHAAVPAVQSTLIDSDQEFQSFFVIDKLFEDGLKLPEADKLDFLRGIVPRLLQALRDGPGDQVLLFDRPANVQKDKFAWLRDEEFARETLAGVNPYAIELVREFPLKSKLDPAVYGPPESAITADVLERQMGHVMTVAEAVKQKRLFMLDYHDLFLPYPYILAANRQLSEMHPVYQLLRPHFRYTMKINALARSALINAGGIIELSFSPGKYAMELSSAAYDKLWRFDMEALPADLVRRGMAEEDPDAEHGLKLTIEDYPFANDGLLVWDAIKGWVTAYVSRYYPDDGSVIGDTELQAFWTEVRGVGHGDKKDAPWWPALDTPASLAHALTTIIWVASAHHAAVNFGQYDFGGYFPNRPSIARTRMPVEEPISTGGFLSSLVRPWKTIDDAKDIIRRSLYLEVVSCELDAGQEKRTIRSYVRRVDAATDDSVSYEAVFKFDVPAGFGPIGAVLVTNEHQTAMFLEHVKLTTAADDAGGADAPLLAIRCRSWIQPKHSAGAGAATVKRVFFANKVNLARRMGCDLALPQSREPFATPNKTLPADPDTAGAPELPEEGPEQKRGDGRGQRKSTDRIYDYDVYNDLGDPDSDAAMARPVLGGSKQFPYPRRCRTGRPRTVKDPESETRSADIYVPRDEEFSEVKALQFSLETLRTVLPAAAPTVVQVQPTEQRVLERDRTSSFPSFFAIDRLFEDGLKLAPPRAADQLGFLRDALLRLLQAVRDFDQSFSSSRVLVDKLFADGVQLARGDKLGVLRAVAQGLLKAVRDGPGGQVLLFDKPRNVQRDKFACLRDEEFARETLAGVNPQAIELVREFPLKSNLDPAIYGPAESAITAELLEAQMGRVMTVAEAVNRKRLFMLDYHDLFLPYVHKIRGLETTMYASRTIFFLTDDGTLRPLAIELTRPASTSQQPQWRRVFTPSAASNATEESWLWTMAKCHVRAHDAGHHELVSHWLRTHCAVEPYIVAANRQLSEMHPVYQLLRPHFRYTLRINALARSALVNAGGIIELAFSPGKYAMELSSVAYDALWRFDMEALPADLVRRGMAEEDPTAEHGLKLAIQDYPFANDGLLVWDAVKGWVQTYVSRYYPDDGSVAGDTELQAFWTEVHAVGHGDKKDAPWWPRLDSPETLARALTTIIWVASAQHAAVNFGQYDFGGYFPNRPSIARTEMPVEEPADAGIEN >Et_4A_034897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7717740:7719559:1 gene:Et_4A_034897 transcript:Et_4A_034897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNCIGRGGFGTVYKGNLKNGTQIAIKKLSAESKQGIDEFLTEINVISNVRHLNLIQLIGCCVEGTNRLLVYEYAENNSLAHALLGPKNKCIPLDWPKRAAICIGTASGLAFLHEEAQPRIVHRDIKASNILLDKKLVPKIGDFGLAKLFPDTVTHISTRVAGTMGYLAPEYALLGQLTKKADIYSFGVLILEVVSGQSSSKSTWGPDMQVLVEWTWKLREQGRLLDIVDPYLDKYPEEQMLRFIKVALLCTQATSQQRPSMKQVVHMLSNQTEIDVQNVIPPGILKEPRQRTSGYPGLTLDTSSSQTTRCNPADSFTIQTNMNSYAGSTFEVSPR >Et_4A_034509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:459943:460830:1 gene:Et_4A_034509 transcript:Et_4A_034509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHIEQRVRALAVSAPETEHSFAERAENYYQKRPQLLALLTDLHHRYLYLADRYSQSLKSLHTGLTTASDCCSFQQPINSSEEVADDASLMVAELVLAWVDRDLLADEAERRRAEAARKIELQGSLVEVLESERLVLLGENARLGSRAAEMARLVVKLREDHRVCMLGRKIEALQAQVYGLELRNRECYETMAAWEAERKVGAAELERLRRKKKKKKTEGWWWSRVRLAAEWTPCTPSAVTVRKVGQQIKGNDAKYYGGCFCI >Et_4A_034531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4096069:4101111:1 gene:Et_4A_034531 transcript:Et_4A_034531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYPCHPGFLLRQLPKYAPLRPEPNAFIAALQDVRDLILPSMTHWQSPRHFAHFPASSSTVGARGEALTAGINIVPFTWAASAAVTEMEMVVVDWLGKALHLPEHLVRGHPLRHRRHEGPEARGLGDMRIGDLVVYCSDQTHFAFSNAARIAGIPRDNCREIVTCRDDMFALSPAKLQADADAGLVRLFFCVTVGTTQTTAIDPIREMCVVAAAYDAWVHVGAACGSGARLPGARTGSTSWTTYKDWFVTLTRRFRTLKLWLVLCCYGIEGLRDHVRAHLCLAALFENMVNLDVSGKVTIESMCSYTCSSPPWDHLARISTKRIDGRIVNKTFRKLGPRFEVVVKRQFALVCFRLRSREKCDCEKKANELNRRLLEEVNADDSGPYMSSANVAGIYMFRCAVGSTLTYGGKTRL >Et_4B_037651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22104593:22107501:1 gene:Et_4B_037651 transcript:Et_4B_037651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMLLHGTLDATIFEAKFNNQVSKFLDGLIPRVEGRPTGLPQLYATVDLSRARVGRTRVVDTNPANPQWNESFRIYCAHVTTDVVFSVKLRLPIDAALVGRAYIPVQDLVEATKGGGVIDRWLDILDEGRKPLPNGPKIHVKVSFAAVADDPQYGGGVGGVQYTGVPKTFFKQRQGCRVTLYQDAHVPDAFKPAIQLAGGRPYEPRRCWEELYDAISDARHVVYVTGWSVSHEITMARDGGRPHPGGGVTLGELLKRKAKEGVRVLMLVWDDPTTVLNLGVLQGMGTKDASTFRYFRNSGVHCTPCPRDPDDAASFKQGLQAFAFSHHQKSVIVDAKDAAAGGDGRRRIVSFIGGLDLTNGRYDTQDHSLFRTLNTAHSNDFYQGNIPGADLGSGGPREPWHDIHCKIEGPAAWDVLHNFEQRWRKQGGKDDLVHNVLSFPWKKDKDVLIDLRGMEDVIVPQSSPAVPAGDQDAWNVQVFRSTDSSACDGFAKSPAEAANLGLVSGKNHVMERSIQDAYINAIRRAKRFIYIENQYFLGSSFGWKPDDVTPKDINALHLIPRELSLKVVSKIEAGEPFHVYVVVPMWPEGDPSSWTMQAILHWQKKTMEMMYGDIAAALKAKGVDADPKDYLSFFCLGNREVKQEVPREYEPKGHPPRDSSYDRAQKARRSMVYVHSKLMIVDDEYIIIGSANINQRSMDGGRDSEIAMGAYQPSHLNANGQVARGQVHGFRMSLWYEHLAELKEEFKDPGSLECVRMVNKMATEFWQRYTSEQVSDLHGHLLSYPVDVKRDGSVAELKGMEFFPDTTARVLGSLGLLVSVGSPLTNFILTT >Et_1B_012259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30196435:30197777:1 gene:Et_1B_012259 transcript:Et_1B_012259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGLQGRYWGVGGRRCGACGCSPAAVHCRTCPGGEGAYLCAGCDAGHARAGHERVWVCEVCERAPAAVTCRADAAALCAACDADIHDANPLARRHERVPVQPIGSSVPADAMLFGAAPADDDAAVFFGKDAAGTLGAKGDDKLDFLFADVMDPFLARFAHADSVVPNNNNNVVSAGGGAVVELDFGGAKAKPSYSSYTAASLAHSVSLPWPTDLCFLHGTELVFFLADLSLRLVEQGSSSEVGLVPDAIGGRGGSVTGGVIELDFAQSKAAYLPYATTPTHSVSSVDAGAVPERGDGAMAGRVVATAESREARLMRYREKRKNRRFEKTIRYASRKAYAETRPRVKGRFAKRNDDNETDAAADINEAAVAAPQPQQSQQQPAYSYLLDFSGYGVVPSF >Et_3B_031490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31691678:31694447:-1 gene:Et_3B_031490 transcript:Et_3B_031490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPPKNLFPLSCASRSVRFLFVSSTNSSCTSPSVHSDSQNGFKHFSPEACLCTVAGFLRQKRWPVKGLVDVLDDDQGLADGVVAVEEHGDHVVDRVVREQQLALVLQVFQDELVRHPFQLQGHLGTMLSARQARLHSFIRGILPSPAAQSRALVSHQAHASRLLQNAEPMSQRTECYVKVAGGVTIEEPIATKLRLQECQVLFRLLQEPLLQLTLRP >Et_5A_042447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11143066:11144615:-1 gene:Et_5A_042447 transcript:Et_5A_042447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVQRVRCWEYRQQPAIVRLTRPTRPDRARRLGYKAKQGYVVYRIRVRRGGRKRPVPKGIVYGKPKHQGVTQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEVILVDVAHKAIRNDPRINWLCNPVHKHRELRGLTSAGKKFRGLRGKGTKHHKNRPSRRATWKRNQTLSLRRYR >Et_4B_038830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5113464:5116747:1 gene:Et_4B_038830 transcript:Et_4B_038830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPKMAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRKYSGNFLVNLLGKWKDSEYSGHSIPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFERQSFSRVCVYFQARLMLATIHPSEQISNLFVMENVLDL >Et_2A_014641.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:30864698:30864991:1 gene:Et_2A_014641 transcript:Et_2A_014641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVQLETDAANLGRALSSEALDQSREGGLFRKIREFMRLSFTHVSISVCPRCCNKVADALAARGVRALPDGEHVFWCQAPSFVTELVAGDLPGARG >Et_8A_058015.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:13375712:13376149:-1 gene:Et_8A_058015 transcript:Et_8A_058015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAMEATKEAAANLGASATAGMHKTRAAAARDASEKAAAEATQRERIGAAEAEKRDAMSANAAAKEHVTGAATYLRPSQGAPGIDAHAAGGVGGANPVGGHVEDGVGQTRPIARATGTGRPSAAHNPHAGSDFSQGRGTGGQYQ >Et_10A_000921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19152729:19159375:-1 gene:Et_10A_000921 transcript:Et_10A_000921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSGQPNPMGSGGQPSLLRTSSSLLSAGGQPGMGGMSGGHGHGPGGGGMLPSQSPFGALASPRTQYGGGNNGLLAGASNVASLLGRQSFGNGGHGAMPGGGLPMGALQQRGGLDGVGDLDNNIEYWRNFVNEYFAPSAKKRWMFGIVRYAIESPGEALVLPRLCQIKYASGTLEELLYVDMPRESQNTSGQIVLDYTKAIQESVFEQLRVVREGHLRIVFNQDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYESSAQNSAAISSQDMQNNCSSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQTGSGPIDSLHKFPRRGPSGISSGQPQQQPEEQQPVPQNSNQSGQNSAAPTGMQVSAAGNGDVASNNSLNCAPSTSAPSSSSVVGLLQGSMNCRQDPPTSSSNGLYNTGNGASVAKANSTNSMPSNAPTSFPSPAPSGSNGNMMPAPQQTSQLNSPTMSSSLPPMQTPTSRPQEPEPNDSQSSVQRILQEMMMQSQMNGAGPVGSDMKRVNTITPGLNGVNSLIGNPMTNNSGINGMGFGAMGGLGQSMRTAMGNNAMGMNGRTGMNHSAHDLSQLSHQQQQRDIGNQLLGGLRAANSFNNLQYDWKSSQ >Et_4A_031836.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21084564:21085574:1 gene:Et_4A_031836 transcript:Et_4A_031836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAASSSPPPPAEPASASAPLSPPTTEAVAASTPQREAVAPPTTEATDPAPKEAPAADAGADGAAETVVVDVAAESGKEGEGEEEGECGFCLFMKGGGCKEEFVAWEKCVEEAEAAGKDDVVERCHDVTATLRKCMDAHADYYEPILRAERAMAEDLEAAQACEASGSEPTPSSQEASASAPASEEEGQKKQVDEVPNSNKNNGDPAA >Et_10A_000734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16131655:16132012:1 gene:Et_10A_000734 transcript:Et_10A_000734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEKERFPVYAREPSNYIKHIATSEKERSLGRFDRNNTEISKTAPEQLQNCLFGSPIAKHTVSTVFAWEPSNYMKHIATSEK >Et_5B_044929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7459903:7460970:1 gene:Et_5B_044929 transcript:Et_5B_044929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAMASMTGLSQGVLPGRRVGRARTAIRASAAAEGETSRRAVLGLVATGIVGGALSQAARAETVKTIKIGGAPPPSGGLPGTENSDQARDFGLPLKERFYLQPLPPAEAAARAKQSAQDIINLKPLIDKKAWPYVMNDLRLRASYLRYDLKTVIASKPKDEKKSLKELTGKLFNTIDDLDHAAKIKSTPEAEKYYAETKSVLSDVLAKLG >Et_7A_051126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14708092:14714064:-1 gene:Et_7A_051126 transcript:Et_7A_051126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIFSIELAEICVDGGLVELYGYLAVRDSLDPLLNYVVNFSRDYPISVNQGSLINMVGPKRGIELLAPILIEYDMKIKTNKHEKNDLQLIDGVSIISNFGSSDKPYKIRIPGDCGAIDITLARIGIAVEATVQIFISEVQSSFNLSLNCLTSDLKFEMGAQSSISDQRRCCFKAKVHGHDIQNLKTCFALISVKVTWSTLPDYFPIKPNTRGWVENMEKEAKEQSVSNAENNNQRQRAGAVRQKESGRGKGAALLVRREYATERTQKRWQFPIGGSIEEVRRPGGQWNAKRIEKRTTVVDPVLILVSEENHHDSCDKHLLEP >Et_7A_050419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14267881:14270097:-1 gene:Et_7A_050419 transcript:Et_7A_050419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRWWDLNRRGEEAPSKRVYSGAALSAVSGGGEHVCGLAEGRIKCWRWNSSAVPEDVRFSAVAAGGGFVCGLVASTGEVRCYGDGDGGEAAVVGREPKGKHVLLAAGEGHACAVKADASGEVACWGEPAAVAAAAPLKKIAGRAVSSVAVGDAVTCVLWGNWTVSCWPEETAAPPPAVARQQFVALEAKGKAVCGVLMSDYSLVCWGGSVFNGEVSKVFDRVLPGPCAPWASCACGVWSGSAPLCGGDGRAAVCYPCGYTPPPMALVPTSNSSSPASGGGRRRMSDLAIALVSAGVGSGLMAAFAAVLVVYCLRRRRGGAEPNGTPPSQQPRVERRLSSLLSKGPNTTVEQFPLAALRAATAGFSPAHRVGSGSFGTVYRARLPDGREVAIKRAEQRRAMAAAMAARRVVDHEAAFVAELALLSRVNHKNLVRLLGFCADGGERVLVYEFMPNGTLHDHLHKRPCPLSPPLASWPARLRLALGAARGIEYMHTYAVPPIIHRDIKSPNILLDAAWTAKVSDFGLSLLNDFDDLTATDDDPCATMTAGTVGYMDPEYYRLQHLTDKSDVYSFGVVLLELLSGCKVIQRFEGSGTPKNVVDVTVPHIEADRVHRVLDARLPLPTPGEMEAVAYVGYLASDCVRPAGRDRPTMSEVVSVLERAVAACEEHEDAAAASEQAVLSRSCTDGSTTT >Et_7A_051471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19078511:19080531:-1 gene:Et_7A_051471 transcript:Et_7A_051471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVFSMDGFLHLWDWDMLERDMLLIENQLPLLLLHTLVTIQRGTSPSAKEINNLVVDGLFPGYPEMIVTLEHNLGLHILDIYHKSFCCDSVVVRGQGCDVYHDMPSAMGLHEAGIHFKAGDNWNLGRIHFEHGVLYVPMIHFYDNTEYEFLNAMAFERLHPNAGNHLMDYVFFMDNLIDTAADVRLLRSSGVIVNLLGNDKELATLFNKILSKEAVLSESSHLHDVHRQCSLSKAMEQVESQPHTHLLQQPWVFISLVVAAILLVATLMQTVYAVVPFYRNRDPSYRAHCSRDEMWDNDSSRYDVVKTKYMN >Et_3B_029437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2581020:2583472:1 gene:Et_3B_029437 transcript:Et_3B_029437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTERGREEEDCRTALLLRSYVRKEQEHLGRRVFEESKKLWVIVGPAIFTRIANYSMNVIMQAFAGHIGDLELASVSFACTVLVGFNYGIMMGMASALETLCGQAFGAKKFHMMGVYMQRSWIILTGCAILLLPLYFFSEHILLLTGQPPELAAMAGRVAIYLIPLHFSFAFLFPLQRFLQCQLKNNVVATTATAALCFHVAITWLFYAKFQFGLAGVALALSISWWVTALMLFAYVVCGGCPDTWHGFSVEAFAGLWEFVKLSSASGVMLCLEHWYYRILIVLTGNLKDAAVAVDALTICMLINGCEMMIPLAFFTGTGVRVANELGAGNGNRARFAAIVSSTTSLLIGIFFCALTIVLRDKIALIFTTSAAVLNAFDKLYVLLAFTVLLNSIQPVLSGVAVGSAWQSKVAYINIGCYYLVGLPMGILLEWCFNLGVMGIWGGMVGGTAIQTLILAIITVRCDWEKEAMIASTRMHKLSQVR >Et_3A_026520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9170543:9174310:-1 gene:Et_3A_026520 transcript:Et_3A_026520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLSLIEHNLPMSPHLLSLPFADAIKAELERLFLDKAIPTLGLCVSVYDIRSIEGGSIHPGEGCSTYTVSFRLLMFKPFIGEVLVGRISGYDEKGLQVSLDFFSDICIPGHLMQYGTVRGLDGRWMMVTKEGDELYLDLDDEIRFSVSSIKYPSIPVEQTEEDALFAPMQIVGSIKGEGLGLLAWWAADEEEVEEVAEQ >Et_3A_027098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32877339:32881483:1 gene:Et_3A_027098 transcript:Et_3A_027098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASSDDRAERRRRGRALEQGEASTTGLLGQDQEPLARKRRGCKVAPEPNEQEDPAASEPAMPGSPSFRYYCQKAAAVDALVAEAEARDGEGSVRITGTYRLFRYSPSLAPATAISLELQFRALRLKTSQEPTTSELPVSVEDTTKNKIVEVSKPKQVTKWLRFRGLAIVAAAWYNLFSRRSSDASFCGGSKVSFSQPRSRPCCSCKFSYLTRHREYGFAKKQFSQYATHAPKINTNTIEHKDQV >Et_2B_020086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16697755:16702592:-1 gene:Et_2B_020086 transcript:Et_2B_020086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLASSALLLLVSLAAAGSAAAQDAAVEGVVPAAEEVAANARAKEAAVLAAERTSDEQKRRIQKTEQALKAAEEELLKVQLETTTKLEQLREVHGAWLPPWLATHAARSVEVISNHWNEHGKPVANSLLQKTSEISVEAKKWAKPHLETTKTKWVPVAKENWVILKKNAEPYVRMASTKSVEVYQATKDFITPHLVNAREVADPYLQEAKKRSKPYVDQVAMVTKPHVEKIRTTLKPYTKRAVHAYGMFLEKATTYHKQAQATILDYLRQHELTKELATEEVAWYLASGLLVMPFLVVYTLLIETFCTKKQKKSPRSGTANHAHRRHKRRHADK >Et_5A_041276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20520576:20524330:-1 gene:Et_5A_041276 transcript:Et_5A_041276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAVRGGPVLMLLLAAVALVLPFAAAQPWRRCYSRSGNYSSGSTYEDNLFQLISVLQDNATSSPSLFASGSVGTGAGAVYGVLLCRGDLSSSDCYDCATFAGNDVQRACNRTRDVVLCYNQCYVRVSNTDFLASPNNTGVVHLISGSSIGGGANVTAAYDAAVTALLNATAKAVEAASPARMFATGHLVGLDPTFPNIWSMAQCAADLSPAQCRRCLDGLVTGWFGIFEPNGTGARVAGARCNMRSEMQNTQFFTGSPMVKLQLNGLAASPAPSMRIYRRAKLPHRTEDLESIKSILLSLSSLQVATDNFNESNKVGEGGFGAVYKNLVRLEGFCLEAGERLLVYEYMPNKSLDTILFDPDKKGQLDWRKRFNIIEGVSRGLQYLHEDSQKKIVHRDMKANNVLLDTDMNPKIGDFGLARLFGQDQTRDITNRIVGTIGYMSPEYVMRGQYSTKSDVFSFGILVIEIITGQRNNGQHFNKQNEDIITIVWRHWSEGTIADIIDDSLGRNYSETEVLKCINIGLLCLQQNPVDRPTMSEIMLMLNSDASLPPAARPTFFWDGSSGYSCSTVPHPSSR >Et_2A_015552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14982860:14983991:1 gene:Et_2A_015552 transcript:Et_2A_015552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQVGAAGEATNGEPHLNRCLNDMLIWKAKLPLKIKNFVWPMRHDRLPTGQTLKNRKWRGDGKLATAVFDCIVGRFLLCAPTNREHQ >Et_7A_051642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21938251:21939692:-1 gene:Et_7A_051642 transcript:Et_7A_051642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYIKHIGISEKERSSGRFDRNNTEITETGFEQHHNSPVRPFSCGNHPVKSSTSQQVKRSVPRVILTETKQKYQNVSRTAPFGPSAAKLTFLRFFLLEPSTYMKHVAISEKERSPGRFDRNNTEITETGPEQPPKLSFLRVFLREPSSYIKHIVTSEKERSPGRFDRNNTEITETGPEQPRLALFAWEPSSYIKHITISEKERSPGRFDRNNTEITETGPEQLQNSPVWPVCSKTNIFKSFLEGTIQLNQIHRNK >Et_6A_046000.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:17283389:17283547:-1 gene:Et_6A_046000 transcript:Et_6A_046000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSWLEYWPLLQGEEAASKLNMGSQRLRMVVTDFGKLSKTWVPFRRRLEAG >Et_4B_038980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6594873:6598758:1 gene:Et_4B_038980 transcript:Et_4B_038980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVATTGGLADEKAAPETVGVGRYVEMEQDGDSSNAVKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLMGSWTAYLISILYVEYRTRKEREKADFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLAIASLIHGQVDGVKHSGPTKMVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKAIYLMATLYVLTLTLPSAASVYWAFGDALLTHSNALALLPRTAWRDAAVVLMLVHQFITFGFACTPLYFVWEKLIGLHDCRSLCKRAVARLPVVVPIWFLAIVFPFFGPINSAVGSLLVSFTVYIIPALAHMITFRSASARENAVEPPPRLVGRWTGTFIINAFVVAWVLVVGFGFGGWASMTNFIHQIDTFGLFTKCYQCPPPPPASLPFPSNITMPFNDTVGPAPAPSPAHFLHHHRHHSHGL >Et_10B_003608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3760936:3766287:1 gene:Et_10B_003608 transcript:Et_10B_003608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGPTSIDDATGDLLELILLQLRSPVCLIHAAATCRLWRRVIAGAGFLRRFRSLHGPHVLGCYHIKHALCNENIFTPAAAPPGIDGRRRLSLSLDFLPYRTDRLRLTDSRGGLLAFANDTKNCIVVCNPCKREHKEVRLPLIEENVVFRWRCSYISAFLLDDDETDTRPLMSRFRMLYVRVQDTYINGLAQVYMFSKRDDRWVLLSSTAAGDIISAVEGLYTSDTRNAASYTYFLGRTGSSIFWGIPEVKSTVLILDECTGGFSVSALPMPAGRRMLEYRRMNLRVISGDARTVRFVRIIGDDLEVLTAVRDVVGTCVVESKVVGLSQLANIKAARNLLLFMHLYVESTGCISVPDSAQLFAKK >Et_8B_060374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10997380:11001529:1 gene:Et_8B_060374 transcript:Et_8B_060374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPVRPFHLAVVGLGLACLLLLLLASTATGNGTDTELLHASVLPQDDTTLTLAGRPASSSSTSASTTLEVSAVAREDVSTASATTATAASAPSACSSCPCASVVVDMREAAVEHLVPQPGGVTAAAMIRKALLLHALPLLAVPFLPTPLAALVALSALATPVRAGHLSNGTCTRGDHATCTVYRYLPDGSVDRALPFAGLRKVCNAGSDELARSVACACREGQVGNPIQRYCHVRTLELSEGPEGLDWCVLPGHVPPIANPGAVVAAGGDVCYVELEHHDHKEGYYILCPVRKCPRFPFLCCPELPHGAAAVGAVNAENVAVAQRTDGVTVGALAVLPHGRRHRLLGELRAGQAGAAVTGGAADVVALRVVHVSELDVADVAAGGRGRVGVGDGQVGAPGAPPGEEGRWRQRGLEGVHGAEDAQRVVPAAVALRVGHGERALVGGGVVAGEGQAERAQAHLALQAGKNRARAVDGAGVAAVRVDGAGGVVGLVLEDGGPDLVLRDGGGTLVLEGRGVMEEAPPRHGRGVGDGVRGSRSAMVLEDGWCRRRRVRRVRHRHGWGCKRAMRVQKRQDDDNDDGLTPVSA >Et_1A_008691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9892719:9894767:-1 gene:Et_1A_008691 transcript:Et_1A_008691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASEENKTRTVNANKVSSIFSNFRRNCLLAADLRASPVNCYLLEHLTINVEGTTYLLDICERADLHAADSQGDCSIIGSYRLNKSSSVGPSRVRAVLASVLN >Et_7A_050721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10395789:10397180:1 gene:Et_7A_050721 transcript:Et_7A_050721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQPERLRGKIWGSNRTVTGIQRESRQGDSERAEKMMMAEVANHSKRNHTDSYFSGKAVTPTSSEEFGSMSSKKPRNASPRAAPISPKTDTASVLQEASGYIKFLHQQLEVLSSPYMRPPPVAGVAPEDPDHYSLRNRGLCLVPVDLTVQLTQSNGADLWAPANTTRRR >Et_4A_035764.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:5364703:5366181:1 gene:Et_4A_035764 transcript:Et_4A_035764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEAALRMYFIPFPTPGHALPMSDLARLFASRGADVTLVLTRANAARLGGPVARAAAAGHRIRIHALTLPAEAAGLTGGHESADNLPNRELAGPFAVAVDLLAPLFADLLRRHPADAVVFDGVLPWAATAAPELGVPRYAFTGTGCFALSVQRSLLLHSPQSGAASDAEPFLVPGLPDAVRLTRSRLAEATLPGAHSREFLNRMFDVERATAGWVVNSFAGLERRYVDHYERETRKPVFAVGPVCLVNGDGEDVTERGRGGEAGAAAEAARVLAWLDARPARSVVYVCFGSLTRFPREQVAELGAGLADSGANFVWVVGGDKDDAPPPLEDAGRRGLLVRGWAPQVAVLRHAAVGAFVTHCGWGAVTEAAAAGVPVLTWPVFAEQFYNEALVVGLAGTGAAMGAERGYVWGGEAMGGVVVRREAVAERVRAAMADEALRRRAAEVGESARRAVEAGGSSYEAAGALMEDVRRRRRQRREESGGATARAGLI >Et_7A_050209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11935980:11938199:-1 gene:Et_7A_050209 transcript:Et_7A_050209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGATDGGVVNMTRAMEALSPLLSNPRRTIIQVEALMTVAAGLLFLQFILGNCKRRWHNSIVKGALSVSNGLMFPLIIYTLGLMVSSPIKNSYYPVWIVSLIIASGGTTAVKQYDFDDSKMWMRFCIETARYYAYLIVYVPELLPYHVADIRELRTTVMEEVKEILASSKLDEWYQKMNSLEETYKEDKPPSVFRKGVKLGKQLESIPRRWTVMADFWAETITYIAPSHFTAKQHMRHLENGGEFLTHIWALLSHAGILNLDRDKDQGPNHAQSTAETV >Et_4A_035448.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2578786:2579160:-1 gene:Et_4A_035448 transcript:Et_4A_035448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKKEGKYRGVRKRPWGKFSAEIRDPERGGSRVWLGTFDTAEEAARAYDRAAFAMKGATAVLNFPAEAGRMSSGSSSSSSTAPATGGRGRGRVPDSEKVELECLDDRILEEMLAEDKYSKNY >Et_6A_046768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20356973:20365538:-1 gene:Et_6A_046768 transcript:Et_6A_046768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARAAASASHHLNQLRAHLLRRGHPFPPAPHPEPDRAHLSALRSALSPRLALAACAWLRRAGLPPPGRRALPALLRAAARCDADAHAFVGCAHGLAVRVGVQDDGFVGTALVGAYVARGRVRDARGVFDGMAVRDVVSWGVMLDGYCQTQHYEEALLLFDEMKRSGVVPDQLILASVVSVCGHTRHLRTGKAIHSYMLVSDVFINAHLNSALINLYASCGNMEMAEKLYNGSQIKDLVSSTAMVFGYAKNGKVEIARSIFDGMSEKDVVSWSAMISVYAESNQPNEAFNLFNNMIEHGVRPDEITMLSVISACANMGSLDKANWVHSFIENQGLSKTLRICNALIDMFSKCGDLSCASNIFNAMPQNNVITWTSMISALAMHGDGRSTLGLFEQMKSQGVEPNEVTFIGLLHACCHAGLVDEGRSLFRCMVQEYRIEPSIGHYGCMVDLLGRAKLLQEAVELIESMPLGPNVAVWGSLLAACWMHGDLKLGAFAAKKVLELDPKHDGASVLLSKIHAQSGNWNDAWEVRDAMELHGVSKGTGSTSPNRPIPPMDSYFVDQRPLSPRTREPNETQMSRAAAVAPVDPQLLAAAVESAISSRSPRLGRASHARALRLLAPAIPPFICAHLINLYSKLDLPGAAAAALAADPHPTVVSYTAFISGAAQHGHPVPALSAFAGMLRLGLRPNDFTFPSAFKAAASMPARATVGPQIHSLALRFGYLPDDAFVSCAALDMYFKTGQLALARRLFDEMPNRNVVAWNAVMTNAVLDGRPMETLEAYFGLREAGGMPNVVSVCAFFNACAGAAYLSLGEQFHGFVVKCGFETDVSVSNSMIDFYGKCRCMGKARAVFDGMGVRNSVSWCSMIVAYAQNKAEEEAFAVYLGARRSGEEPTDFMISSVLTTCAGLLGLDLGRALHAVAVRSCIDANIFVASALVDMYGKCGGVEDAELVFSEMPQRNLVTWNAMIGGYAHVGDARNALAIFDEMIRSGQTSPNYITLVIVLSACSRGGLTAEGYELFETMKERFGVEPGTEHYACVVDLLGRAGMEERAYEIIQGMPMRPSIPVWGALLGACKMHGKTELGRIAAEKLFELDPQDSGNHVLLSNMLASAGRWAEATDVRKEMKNVGIKKEPGRSWITWKNVVHVFQAKDTKHEMNNEIQAVLAKLKRQMQAAGYMPDTQYSLYDLEEEEKESEVFQHSEKLALAFGLICIPPGVPIRIMKNLRICADCHRAFKFISGIVGRDIIVRDNNRFHHFRHYECSCRDY >Et_8A_056084.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17794764:17797085:1 gene:Et_8A_056084 transcript:Et_8A_056084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPTPKSAPAMEHQIIMGRSMEELGANLDPTMQDLMSLLGGADISQVLGLGVSMPLTVAYDAMPDPPVSPAARLASAVARRAPTDGVDRIGRLPDEILRNVVSRLPAKDAARTGALARRWRGLWRSAPLVVVDEHVLPDRLPAGAGQTAPGGDDVASKAVVAAVSRVLAAHPGPFRCVHITRAHMASHQAEIERWLKLLAAKGVQELAFINRPWPCDVPLPATLFSCGASLTHLHLGAWRLPGTRSLPRAAGFPHLRDLTLSMIVIQDRDLNFLLDRSPVLENLTIISTQTGLRLRLVSRNLRCVQLGMCPLDDVAVVDAPRLERLLLWMIVSDDKRPRIKIGHAPNLRVLGYWQPGDLQLDIASTIIKVLVVFLFRSYLCVFMSCPQCFFIPLNIISFALCWIGAFCNIAGKY >Et_5A_042796.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4521307:4521984:-1 gene:Et_5A_042796 transcript:Et_5A_042796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIRHFAHPHPLVRSQYSSKAGHHCDICGLKLAGLDGYRCSKCDFDIHDVCAEYFKDSISFFAHPWHTLKLSRMPSSYVVGWTCDLCQDDALPGSLAYRCIECMFDVHPLCTMLPQTIRSSLHPKHDLCMTPGTGTCSACEESLPIWHYRCGLCLIKLDIGCAFANNESTTTSSTSQSIVASRPSRRRRVGKFLLKGAFRLAIDTATGGLGSPVLDVLSAVLD >Et_4A_034748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5993714:5997324:1 gene:Et_4A_034748 transcript:Et_4A_034748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGATKAAPLLFLPCLLLLLRAADAGNGSCARSCGPLTVQYPFGFSAGCEIQLGCGGAADGAEAWVGAKRELGLRVRNVTARALVVELRPDCDRLLSASLDALFSGSYAPSARNALVVSSCAPATTSALGRVANCSIPPRNYLGNSSHCSSAAADSIRCVPPPQPSPSSNTSSSVQQRYFLNKTEVLELGSECGLVSAVSFSPSPEPAFMLGALDLEWWVPGPCRCSDHANCTTLTTPAKQQAFRCDCLDGFEGDGFLDGVGCRRVPKCDTSKYLSGECGKTVQIALLMAGILFGAMVTGVTCLVYHLLKRRSASLRTKRSTKRLLSEASCAVPLYSYREIERATDGFSEEQRLGTGAYGTVYAGRLSDRRLVAVKRIKQRDNDAAGGLDRVMNEVKLVSCVSHRNLVRLLGCCIEQGQQILVYEFMPNGTLAEHLQRERGPAAMPWTVRLRIAAETAKAIAYLHSGVHPPIYHRDVKSSNILLDHEYNSKVADFGLSRLGKVSASSSMDCASHISTAPQGTPGYVDPQYHQNFHLSDRSDVYSFGVVLVEIITAMKAVDFARVPSEINLAQLAVDRIGRGCVDDIVDPYLDPHRDAWTLTSIHKVAELAFRCLAYHSEMRPSMAEVADELEQIQLSGWVPSADDAAFMSTTSSICSSAPSTRATDRSWGAGRNRTEAAAPPAVNSVVVQETTKGAVESPVSVQERWFSDRSSPSSNSLLGNSSLH >Et_8B_059031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12629724:12630500:1 gene:Et_8B_059031 transcript:Et_8B_059031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLAQTLTLARPAPTSLRGLATKVEVIEIDLSEEDPAAAGPSSNPSSVEVVGIRRLEEAIHGVMVRRATPDWLPFVPGGSFWVPPVRRPHGVAELMGRIAAAGRAEGVVGAIGGSAVEVVELDAPMTEEEALSFSTARGWPSASYFVEGEPVIWLLKSFQLWVYGQTDHSPMFSIHLNAIDKNLRLAVRVLLHRR >Et_8A_056791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16960237:16962285:-1 gene:Et_8A_056791 transcript:Et_8A_056791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSTAERVALSSCTAAGGKKQMLSDGVDADLRWLEPPSSAAPVVLEGEFRRMLSARASSGDEKDLIPGDDSEDYSSSVSSYDDVQQQQSICEAAGLFPAADAIGDLHAITSRMAAAGYGSECVQAYASVRKTAVELALRRLRVEKLGVDDVRRLEWGALKAKIGRWISAARAAVRGVFPRERHLCFRVFHGFPLLSNSSTTAADVPFAEAVKGEAQQLLAFAEAVSFGSRRSPEKLFKIIDMHDALADLLPDISAIFAASKATESIYMQAAKARTSLADAIPRMLSEFESAVHRDPSEVSLVPGGTIHPLTRHVMNYGNLISDYKASLSELVITSGPSSCGFRRINSTIAVTPAATLFPDIDIVPTDRPDRSQLPYATHFAWIIVALEHNLESKALHYKDAALSHFFLMNNVHYIVHKITDSSELRSLIRDEYLKRLKCKFRAGSYKGLHVGTGFSTEISKLILQERFKGFSTEFGEAHKVQSGWYVPDIQMREELRISISEKLRLAYRPFHGKYQRHIKNGEHPNFYIKYSVEDLEEAMEDFFEGASPSKHKSLFGRVFKIEIPGI >Et_9B_063851.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17527210:17527257:-1 gene:Et_9B_063851 transcript:Et_9B_063851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMMKPKKKLSNCN >Et_7A_050252.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15654815:15658918:-1 gene:Et_7A_050252 transcript:Et_7A_050252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIAGALLRRSTSSAHRRVLLPLLSHLHRTSPQPPSPWVLPQNRFFSADIAGDPNQKPQPLDPKQLWREISASEPSSGSSRLPKATWDAVGNLVRGFGKNPAMSDQALALYIPASAFPTYARRFREYILPRLSEDFAGRLLALPAEDAHALLLPAFAEFCVSNFSDELRQHRSVMAAADLTAPHTWYPFARAMRRRIVYHCGPTNSGKTHNALTRFAAAKSGVYCSPLRLLAMEVFDKVNALGVYCTLRTGQEVKEVPFANHVACTIEMVSTEELYEIAVVDEIQMMSDPVRGFAWTRAVLGLKADEIHLCGDPSVLKIVRKICADTGDDLEVHQYERFKPLVVEAKTLLGDLKNIRSGDCVVAFSRREIFEVKLAIEKFTKHKCCVIYGALPPETRRQQAKLFNEQDNEYDVLVASDAVGMGLNLNIRRVVFYSLAKYNGDRMVPVPASQVKQIAGRAGRRGSVYPDGLTTTFLKDDLDYLIQCLQQSFEEAQKVGLFPCFEQVEMFASQFPNLTFNELLDKFRDNCRIDKTYFMCQQDSIKKVANMLERVQGLSLKDRYNFCFAPVNIRDPKAMYHLLRFATHYSQSRRVSIAMGVPKGSARNDTELLDLETKHQVLSMYLWLAHHFEEDNFPHVQKAEEMATDIADLLGKSLAKACWKPESRQQVRQRQEKADEDKSNEEHTDGAKDGSNDGYERSRSLAKKVLR >Et_7A_051565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20481431:20483781:1 gene:Et_7A_051565 transcript:Et_7A_051565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EPQRNLPSTPAQFNPIIKPPASLSPATHRDGAPVAATPAAPATNKGPAVTAALVLLSALGPVCCTAQDIDVVELTLLAGAQDKGAVCLDGAPAGYHLQTGSGTGSQNWIIHLQGSGWCSTVQGCSNRKMNALGSSNFMKPQSFSGILSNDQQINPGFYNWNRVYVRYCDGASFAGDSKLEGQNGNKLFFRGQRIWGAVIDELMEKGLADSRQALLTGCSAGGLATLLHCDNFRARFPPNVSVKCLPDAGFFLDVKDLSGQRSMWSVYNGVEHLQNVAKVLPKDCLTHKDATECFFPLELMKSIKTPTFVLNSAYDSWQIRNVVAPDGSYSDSSWNTMVDELKSVEENMGWGWFIDSCLTHCQTVYDISWNSAVSPRLGNKTVSEAVGDWYFGNQQEVAVREVDCEFPCNPTCSSQLPNTAAA >Et_5A_041744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26644407:26644688:1 gene:Et_5A_041744 transcript:Et_5A_041744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFKPLFFSGQAFGACRWLTSLRRQCEYVTALHDRDAPACINTGEKGYLGDIPKGDGKLLCIRLRDH >Et_1A_009405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4914830:4916275:1 gene:Et_1A_009405 transcript:Et_1A_009405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPKLLLLALLFSYHSLVAHAADARSHKVVDIESMKPKTVCSESKGAVVSMLHAPVPSSSVGTTLPLHHRHGPCSPVSSSKKTPTLEEILQRDELRAAYIQRKFSRAAGGGSVQQSDATVPTTLGTALNTLEYVITVGLGSQAATQTMLIDTGSDVSWVQCKPCSQCHDQADALFDPSASSTYSPFSCTSGACAQLGNEGAGCSSSQCQYTVQYGDGSTTTGTYSADTLKLGSNSVRSFQFGCSNVESGFNDQTDGLMGLGGGAQSLVSQTAGSFGKAFSYCLPASSSSSGFLTLGAGTSGFVKTPMLRSNQQPTFYGVRLQAIRVGGKQLNIPSSVFSGGAIMDSGTVITRLPQAAYSALSSAFKAGMKQYPSAPSSGLLDTCFNFDGQTSITLPTVELVFSGGAVVSLDGNGIILDNCLAFAGGGNPSIIGNVQQRTFEVLYDVGGRSVGFRAGAC >Et_7B_055096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7261233:7261594:1 gene:Et_7B_055096 transcript:Et_7B_055096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLDKNSGTVAVFLAALVLMAAVFTPSDAARGKDDFNIGEEKYACARLQGCNISMCMGYCTVLGYEGGACNHNYPDICCCPH >Et_7B_053452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20228802:20231771:1 gene:Et_7B_053452 transcript:Et_7B_053452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYIDAKTIKDAVQQIIPYLEDTSNTAYKAIYFDGWGGLAASSVLRTIAEEPPPSLKKIFDRIIHIDCSRWKSRRALQRTIAEQLKLPPQVMSALDRQDNEDDFSAVDEGSRAEVANVTRETFQAIRSLSCLVIFHNGSDNMVDFTGFGFPLFNWFSPNILLWTFRGRLRLNKEIIGKVDSSHMFLESQNLSSIIYSAELREIVLHTQYKEIITTETVTECLAYMTWLNTMGHDFMDYNWETQASNYWVCDGIIQEDQFENPWEVSAALHQELRIEDCFSWGFYSPRIQQWQAVNFCGREYISPNITLYFFATKITRDIYVLYKIEETKNAYAPLPRNLFQKSERLRVLKLSGCRFSFYSPPFCCCRGLRFLALDHGKDLQQEKDDEERKQGRPAMEFFQSLWVLDINQTDWEVDFSPDAVEKMAENIRDVHIREGRIWGNNLAWRQLQNIRKLRVINPTSSWETGNKELPPSLESFSFNARSIPMHDRAKLSKISLAGCVHLKSFLLCGAFPVLEELNLSETTIEKLDLSGQMVEVPRLKKIFLMGCRQLRAILWWKDDTRKLKVLRIDTLGTNEDIGPPYSVFVVAGDPRFIQSLMYSPELRVLIVNSFHLDLHAPPSSSIRSPSCTSSNRVIAKPCFYNDVLLEGMVSYDDEIPWPPPSDQHADVGEGISLTDAESDRANKAIATLKHEHTHSLHVHDNSRMLNIMPKLPTDFLVYDFVFRWCRVERCPKLQAVFTLINNRTYGRRFDKLEKMWASDLLMAYCIWSKGFFRDYPNFVALRTIHVHNCPRLKFVLPFSSKSPIARLEALHITHCSDLRQVFVWDDNVPREYTMSLVKEFPKLKRIHLHDLPNLQEICAARMSAPKLESVMIRGCWSLRRLPAVVSRRGSNHRPVVHCEKDCWEKLKWDGLHAGHHPSMYQHYSSVYYKKRLLRGTVLR >Et_10A_002005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18152407:18153190:-1 gene:Et_10A_002005 transcript:Et_10A_002005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLIPLLLKALKKKKAMKHYRSLSSTGHVTHGDDVAELSPNGCLFMTPQHPRASRRQAGDYEYEDLFMTPQHPRASRWFDEGDGTCEPTAVVGHLAVAPPREQATDPQQLGAMEAPRSGTRHRLNEEGRLPP >Et_9A_061478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12457521:12457850:1 gene:Et_9A_061478 transcript:Et_9A_061478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGLRAYREVLRLVRRLPADARPYYAKYARENFVNYRDLSADDDLAALLRRAHAHSSWVLSKYSIDEGEAGRLKELCDSHGGQ >Et_7B_055444.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:10880094:10881110:1 gene:Et_7B_055444 transcript:Et_7B_055444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQQCLSHAFLPHELGQAFRALDGAAGSIGGGMWFDELGGGGGCAGGIGDAVFGQPRSEVTCNGNDDIAAFVPRKRARVSPSAATGLMEAGQQGLALPLAVTQGQMFAGDVQSRAVGCGAASTSGRSASASNGSACFSQGVVSQLYHQGAEVDALVRLEMERMRLGLQEARRRHLRAVGAAVERAEAGRLRAAEAELERARQRNAELEERLRQLTAEGQAWLGVAKSHEAVAAGLRATLDQLLLQQPAAVAGADGDAEDAQSCCFVAATGACVADDAASGRRAAQQSCKSCGAADACVLLLPCRHLCLCRACEPAAESCPVCAATKNASLQVLLS >Et_1B_012753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34941819:34943302:1 gene:Et_1B_012753 transcript:Et_1B_012753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATGEELELEVGAILCDLTRIIRARDRRRRMRRQLAEAQEIPSWGRRRLRSVLEDGNNKPAAAAGVAVRDGAASPDTPLAFADSLVAEEEDDAAKKARAQDEWAQEQRGVVASLSQENADLLKQIEEYRTRLQSSRSANESLKQLHKVRQHREQEQARKMRMLALRQGTAAPVSNFRPVVLVLDLNEPAVAPDDGDEAAARARARAAAEERFWQMQQRVALLKAASTAEARRRRLEILRAKVASPLVSCRTRRVAG >Et_3B_031706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8466251:8468945:-1 gene:Et_3B_031706 transcript:Et_3B_031706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAGDPLEAVPAAVLADVLGSVADAGDIAASRLASRALLAGSYLCPRVRICAADRARRRREGGGGILPFRAGIGNVAAFLGSRLRSLALDAADGQGSPDDAMWVEEGEFDEADDLHLTSREAVMAWAATDAGTVLQEVEIADYWPQACWRKAEALPLISHFCELTLDCGPNLVKMGLKNAWLSVDGLKKMQNLTHLTLEFIRLDDEDLDKLNECFPCLHTLNLIGVGGLKGPKIHLPQLKTCRWEVSNVPESLAIQAPNLVFLDLKCVRPDRLILDTPSLSILKLTIAKLGATVDVDGLVSLTNLHLESLDLNSLLPVFVETRAISTLELELPRAASQYELLQEVNCPDYLLRMLASVSEVKLGPRFSCGLIRCLALCTESQFQSCLKKLLIHIPPSASTYEILPLFKICAPRCEVTVLFHTASADAIHEVAITTWTLSFPEIRWQCGTWT >Et_7A_052436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8007964:8011794:-1 gene:Et_7A_052436 transcript:Et_7A_052436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFSIAIVSSFDLVPQRCNIEGAYLEGNRGLSNWDVYTHIPGTVQDGSNGDTADDHYHRYMEDIELMNSLGVNSYRFSIAWTRILPRGRFGNVNPDGVAFYDALIDTLLKKGIQPFVTISHYDIPHELDKQYGGWLSPEIQKDFGYFAEVCFKMFGDRVKLWTTLNEPNLFAKFSYMDGWYPPGHCSHPFGNCASGNSSIEPYIAGHNMILSHANAVNIYRKKWYEPFRNTTADILAVERALAFSGPWFLDPIIRGDYPPEMRMILGPGLPEFTRTQKRKLLETKLDFIGLNHYTAVYVKDCMFSPCEVDPVDGDARVVTSSERDGVLIGEPTGSGHYYSVPYAMERVVMYYKQRYNNTPIYITENGYAQASNSSMTAKDFTNDTQRVDYIRSYLIFLASAIRKGADVRGYFVWSLLDNFEWTSGYTIRFGLYHVDFKTLKRTPKLSAKWYGKFLKGSLLGTGLLRKESSQALHYSA >Et_4B_036009.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12738438:12738707:-1 gene:Et_4B_036009 transcript:Et_4B_036009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRSWSRLLALSAFVCLLVVVLPAVKVNGLRREEFVLGPGRAPAPAEAPDAASVDAAGKRFTAATVGAVQMSKWRVRRGSDPIHNRS >Et_5A_040188.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20490913:20492357:1 gene:Et_5A_040188 transcript:Et_5A_040188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTTAMEEMTKYYWGMLGARAAAAAGTTTATSGLRSSWPPPAHGGGGEPSWEELAFARDAAGQLGGCVWPPRSYNCSFCRREFRSAQALGGHMNVHRRDRARLRLCDSPDQDIVKVRDTQQQQPIAPLSDHHHHQDQQEAPVFRAKAVLLSTNPNSTWDHQSYHSKEEGAISTSPSSLSTNIKEDKKTVVISIPAAAARRKEALHSYEEEEAMAVTRKRRRVDQPSEVVLPFFLQLPVPSCQRVNGPDAKVPTGPSSSGSLHLAGRQELDLELRLGSPKVV >Et_1A_007758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3804331:3806175:-1 gene:Et_1A_007758 transcript:Et_1A_007758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSSAVALPSSCRARPAGGSRRARLLVARAAATSPKLPDGRRLRVAVVGGGPAGGAAAEALAKGGVETVLIERKLDNCKPCGGAIPLCMVSEFDLPLDLVDRKVRKMKMISPSNVAVDIGRTLQPHEYIGMVRREVLDDYLRTRAKSAGAEVVNGLFLRYEAPKERNGSYVVHYNHYDSSNGKVGGEKRSFEVDAIVGADGANSRVAKDMGAGDYEYAIAFQERVKIPDDKMVYYEERAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKADIKKFQAATRLRAKDKIEGGRIIRVEAHPIPEHPRPKRVSGRVTLVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVAGSANGTRMVEESDLRKYLAEFDRLYWPTYKVLDVLQKVFYRSNAAREAFVEMCADDYVQKMTFDSYLYKRVVPGNPLDDIKLAVNTIGSLVRATALRREMEKVTL >Et_1B_012183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29695647:29699724:-1 gene:Et_1B_012183 transcript:Et_1B_012183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGTGGDLVCMMIITFAVLLGCLMLPAQCRPSQLVAVAVRDSYPNSLTNSLDANATMVNSASLDESKLKLVFCTYKGLACVVGEPCFCCVNGTPNPECYCTREECRNHCSACNPKCPSKTKVGGARNSHPNSLTLTNSNTNGNVVNSTSLDESKITVVFCTYKGISCWVGEPCYCCENQKPEKECWHTREGCRKNCRPCNPHCPPEMAVDSQPMYYHPDTHLPKKNQLSGHLSQLEAGGARNSYPNSLTLANTTPSDESKIAVVYCTEKPLCHVTESCFCCENRKPEKQCWKKREDCQKNCVTCNPHCPPETVVKKGHPSLHKR >Et_3B_031149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18262006:18265544:-1 gene:Et_3B_031149 transcript:Et_3B_031149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAARLAQLRTKAAQAAEFAAKHGEVYYKEAMEKNKQYVVQPPTVEKCQELSKQLFYTRLASLPGRYEAFWKELDGVKQVWKNRKELKVEDLGIATLFGVELYAWFCVGEIVGRGFTLTGYKSRTTSVVKLLLASTSIHALPVLHVLSSVSSLPAKRKSTRSHTSLKSARHAKKRLMDSTASSLFCSVSCTPRISSMLDLHRHPPQKQIL >Et_7B_053729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11634159:11637612:1 gene:Et_7B_053729 transcript:Et_7B_053729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGAGGVVDGAKPAAAMVLVEFIFSALQIFIKLALDDGMDERILVAYRLMFAAAFLLPLAFLVERITINQNLLVLAMKLTNSTTIVTALSNLTPQSTFIVAILSRLETVKLGKASGRAKLAGTMVGLGGAMVVTFYKGPELRFLRRLSRTRLGDVVVHDAQHQAVASSAAGVVGSFLAMSSCFSYAVWLSIQAKVGEVYPCHYSIAALVCLFGALQSTLLALCLHRGDAAHWRLGLNVRLYSSAYAGLVASGCAFPLMSWCLRERGPLYVAMFGPLIIVFVAVLSSIFLDEKLHLGIALGAVLIVGGLYMVLWGKAREAKEKEAGGVAKDEERGKESTPVDAADVNGRSKVENSSSFK >Et_8B_059606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19965265:19968286:1 gene:Et_8B_059606 transcript:Et_8B_059606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLRRSPAARALLSPALSSRLVASKPHSSAPAPPPPSKAAPNTKTFSIYRWDPDSPSTKPHLKDYKVDLSDCGPMVLDALLKIKNEQDPSLTFRRSCREGICGSCAMNIDGDNGLACLTKISGASSASTISPLPHMFVIKDLVVDMTNFYSQYKSVEPWLKRKDPPPQPGKEIPQTKADRAKLDGMYECILCACCSTSCPSYWWNPEEYLGPAALLHANRLPLWGTLIKPKPNMFMHLQARGYHGVSEKRNLRDHKRRLLAEKYELRGKLYKAVCRDPDLPSDMRDKFRYKLSKLPRNSSMTRLRNRCIFTGRSRAVYKKFRMSRIVFRTLANKGELLGVKKASWWIQDSRDQFTKERLDSINDEFKLYRCHTIKNCTHACPKGLNPAKQIDTIKKLQLDA >Et_5A_040870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13810961:13811666:1 gene:Et_5A_040870 transcript:Et_5A_040870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVDWFYGVLESLAWLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHAPTQQPTSEELSIGRIRFKAFDLGGHRIARRVWKDYYAAKVDAVVFMVDAAVGARFGESKAELDELLTDDALAGVPFLVLGNKIDVPHAAPESDLLYYLGLAGCTTGKGAVELAGTGVRPLEIFMCSVVRKMGYGEGFRWMAQYIK >Et_7A_050804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11095580:11103174:-1 gene:Et_7A_050804 transcript:Et_7A_050804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDLSSCAWSCGTWRSVARAGGGAAGAGRPAAVCTALACANWAAHLEPAAAEGLARRGVGDIAARVAAMGFNCVRLTWPTYLATNATLASLPLRWSLERLGMLESVASVRVNNPALLELPLIDVFREVVSALASKDIMVILDNQMTTPGWCCSRTDGNGFFGDKYFDPDEWLKGLSTMATIFKNTKNVVGMSLRNELRGPNQNVSLWFRYMQLGAEAVHVANPNVLVILSGLDYDKTLSFLLSKKVEISFTGKLIYEQHWYGFSDGGDWESQNQNDVCGMVVDSIRTKGLFLLEQGWPLFFSEIGFDMSGTHIGDNRYLSCFLSVAAEMDLDWAIWALQGSYYIREGILAYDESYGLLSWDWCTARNPSFIKRINSLQSPFQGPGLPNSREPYNVIFHPLTGLCVLVKSLKSLELGPCDESNAWNYTSDYELVLKNSGQCLQAKSAGETARLGTDCSKSNSKWQLISNSKLHVSTELTKNGTRLCLEASPDGAITTNQCKCLSIEAATLSTASRWIVDESGNRVKLACVNWPSHLEPMLAEGLSKQPVGVIAGDVAAMGFNCVRLTWPTFLVTNASYSDLTVAQSFQRLNLTDSLAGIRANNPGIVDLKLIDAYKASTSYLGEKNVMVILDNHLSKPGWCCSNTDGNGFFGDTYFGPDVWVDGLTKMATMFAGVPNVVGMSLRNELRGPRQNPNDWYKYMQRGAEAVHAANPRALVIMGGLSFDNDLAFINSRPLNLSFTGKAAFEVHWYSFSNTQEWASGNANQACARTSSGVARKALYLLDKGWPVVLSEFGVDNRGVNSNDNRYWGCVAAVAADMDLDWALWTLQGSYYLREGGVDTDEAYGVLDRAWARPRNETALRRVQALQRPFRGPGLAEAAPYTALFHPATGTCVTTRPNLELGPCNETEAWTYDARQETLALRDSSGGSAVTCLRADGAGRPARLGAACGDAMARWRLVSESKLHVAVNASSSSSSSASDGGGGLLCLDVGADGRSVVTNPCRCLRDDNSCEPGSQWFKLVTSTRSLPSRTMLARLPLKLKKWKIRSF >Et_2B_021851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6080440:6084040:1 gene:Et_2B_021851 transcript:Et_2B_021851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSREDMAGDDAVAAALEKAVRFLGRGLDMTFDLRLKHCKGAGGCLVVRSDEKKAAAKVAVPGLVVVADVPADVKCGKGDRIRFKSDVLEFNKMSELFNHRNALAGKIPSGLFNSSFDLESSSWAEDAATTKCLAFIEKYGTHIIVGLSIGGQDVVYVKQDKSSPLSPSEIKEHLDRLGDQLFTGTCTLPPSHCKSRDHKFKVPEAFNVFDAQITQQRIEGMTIPVSSKEGVTVIYSKRGGDTGASNHSEWLPTVLTMPDVINFKLVPITSLLKGVTGVGFLSHAMNLYLRYKPPLEELRYFLDFQHHRLWAPVLSDLPLGPCSHRQGPSPALHFSLVGSKLYVIVPKLPVTGMRLHLEGKKNNRLGIHLQHLSNTPTFINERSDQLPIWRGTEMIADERYYEPVQWKMFAHVCTVPVKYDPCWCSADHQTAYIVSGAQLHVKAHDSTNILHLRLLYTELSGYTVVQSRWAHNTARLSGKGSFLTKSFAASSGGIEKEQQQPARAHIDSGVFAGGPPVPVGTQRLLKFVETSQVTMEPQDSPGYWLVTGAKLDVEKGKISLHVKFSLLAPVS >Et_1B_012296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30582005:30600705:1 gene:Et_1B_012296 transcript:Et_1B_012296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLLMYLLLVLLLFVIPLIVFTSTKRRTPRGAAGRLPPGPRALPVIGHLHHLAGALPHRALRDLARRHGPLMALRLGELRAVVASSPDAAREILKTRDPAFASRPLSPMMALGYHGADGIIFAPHGDGWRQLRKICALELFSSRRVNSFRPVREDELVGLLSSVASAAAASSPVNLSERVAGYVADSTVRAIIGSRFKDRDAYLRLLQEGLKIMPGMTLPDLFPSSRLALLVSTVPGRLRRHGRRMGEFIDAIIKEHHESRASRHDDQEEDLLDVLLRLQKEVDFQYPLTTQNIKNVMLDIFGAGNESSATTLQWAMAELMKNQRVMDKAQDEVRRALSDHDKVTEENVTNLHYLHLVTKETLRLHPPAPLLLPRKSSSPCQVLGHDIPEETMVIVNAWAISTDPAHWDKPDEFVPERFQRDGRDFKGGDFEFIPFGAGRRMCPGMAFGLAHIELALAALLFHFDWKLPGGMAAEDLDMTEAFGVTTQRQSDLVVVPVLPAMAVDLLLYSLLVLLLAIPLFVTRRRARRRHGATGRLPPAPWSLPVIGHLHHFAGALPHRALRDLARRHGPLMTLRFGEVPTVVASSADAAREIMKTHDAAFASRPLGRMARLLYQGAEGVIFAPYGDAWRQLRKICTLELFSSRRVHSFRPVRQDELGRLIRSIAEASSSSSSAQPVNLSKQISAFVADATVRAIIGSRFKDRDGYLRLMREGLERLPGASLPDLFPSSRLAMLVSRMPGWIQRRRVRTRLFMDSIIQEHHESRARGNDDEDDEDLLDVLLRLQKEAGSQYPLTTENIKTVMMDMFHAGSETSTTTLLWAMSELVRNPTVMRKAQHEVRQALAGRPTVEEDCLTSLHYLHLVIKETLRLHPPVPLLLPRECRSPCQVLGYDIPEGMRVIVNAWAICRDPAHWDRPDEFVPERFEDSEMGFKGTNFEFIPFGAGRRMCPGVAFGLAHIELALAALLFHFDWELPNGMAPEELDMAESFGITTQRRSDLLLLAVPRYLLLLPLIAIPLIFLALSCGRDGQPRLPPSPWALPVIAHLHHLAGAAPHRAMRDLARRHGPLMMLRFCELPVVVVSSPDAAREIFRTHDVDFASRPIGPMLQLVFRGSEGLIFAPYGDAWRQLRKICTLELFSSRRVHSFRPVREDELGRLLSSVASAAAAGEPVNLTERIKAFVADSAVRAIIGSRSKHRDDFLRLLEEGLKIMPGLSLPDLFPSSRLAMLVSRVPSKIERRRRGLYAIVDPIIQEHKDKIAAGVDEDEDLLDVLLRLQKDVDGQYPLTTLNIKSVIIVSSLHSSEDSTVSNNLKSVLTFTLFLPQDMFGAGSETSSTTLQWAMAELMRNPAVMRKAQDEVRSALAGQDKVTEDSLTKMPYLHLIIKETLRLHPPAPLLLPRECRNPCRVLGYDVPEGTMVLVNAWAIGRDPEHWDAPEDFVPERFEQIGRDFKGMDFEFVPFGAGRRICPGMAFGLAHIELALAALLFHFDWSLPEGMVAEEMDMTEAVAITAPPRFELVLLPLYLQFALLLVIPLVLIAIARRSAPRRHGAAARLPPGPWALPVIGHLHHLAGALPHRALRDLARRHGPLMTLRFGEVPVVVASSPDAAREIMKTHDAAFSSRPMSPMQEMAYQGNYGVIFAPYGDGWRQLRKICALEILSARRVQSFRPVREDEAGRLLRAVAASSSTVINLSERISAYVADSTVRAIVGSRFERRDEYLRMLHEGVKIVPGMTLPDLFPSSRLVWFFSRAPGHIMRHRDGMMEFIDAIIKEHHESRASGDDEDEDLLDVLLRLQKEVDSQDPLTTTNIKTVMLDMFGAGSETAATTLQWAMAELMKNPRIMEKAQDEIRRALADHDKVTEDDLTNLHYLRLVIKETLRLHPPATLLLPRKCGSTCQVLGYGIPEGTTVIVNAWAIGRDPAHWDEPDEFMPERFERNGRDFKGADFELIPFGAGRRMCPGMAFGLAHIELALATLLFHFDWKLPGDMAPEDFDMSEAFGLTTQRQGDLLVVPVARVPVSVECTESYKKASSFHVPTPKQSNLRAAMAAVLLLVPLFAVPLFLFFLTARRSAPAARLPPGPWALPVLGHLHHFAGGKLPHRAMRDLARRHGPLMLLRFGEVPVVVASSPDAAREVMRTHGVAFASRPISPMSRLWFQGAGGVSFTPYGEAWRQLRRICAQELLSARRVQSFRPIREDELGRLLRSVAAVASTVNLTEKISTYVADSTVRAIIGSRRLRDRDAYLRMLQGLFSIVPGMSLPDLFPSSRLAMLLSRAPARIRAYRADLRRIMDGILQEHEDRRAAGEDDDEEDLVDVLLRLQKEANSEFPLTTENIKSVMLDIFGAGSETSATALNWAMAELLRNPRAMKKAQDEVREALAGRDKLTEDGLTDLHYLRLVVKETLRLHPPPLLLPRQCQTPCQVLGFEVPAGTTVLVNAWAIGRDPAHWDEPDEFVPERFERGGRDFRGMDFDFIPFGAGRRICPGITFGVAHVELALAALLFHFDWVLPDGMAAEELDMTEVAGLATRRRDDLLVIPVPRVPLPNE >Et_8B_060477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17542809:17544766:1 gene:Et_8B_060477 transcript:Et_8B_060477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASQHSDKIVMASPTKTPPSPSGPPDDVELRSTWLHRVWTLAGSVAILSSFFTCGRLVAASGSVTTELVAVAMAAFVAYSLADLTTGVYHWLVDNYGGEATPVFGAQVANFLDHHRRPSAIARLDPCNNLHVLAGVVAVALPAAGAALSGAAAHAFAGAFAACVALSVQFHAWAHERPSRLPPGVAALQAAGVLVSRSEHARHHRPPHNTNYCTVSGMWNGVLDRYKVFEALEKVIYLGTGVKPRSWVDSMARIDYAPQGQNPPHTHPRATEILTVLEGSLYVGFVTSNTDNKFFSKMLNKGDVFVFPQGLIHFQFNPSHDKPAVAIAALSSQNPGAITIANAVFGSKPPIADDVLAKAFQVDKKKYQ >Et_9B_066030.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:2121998:2122615:-1 gene:Et_9B_066030 transcript:Et_9B_066030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPELVDDLVGEIFLRVPPDDPALLLRACLVCKAWRRLLTSAAFLRRYRAFHGTPPLLGFLRNPSLP >Et_2B_019726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13030026:13047886:-1 gene:Et_2B_019726 transcript:Et_2B_019726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTISKIRLENFMCHSSLQIELGSHVNFITGQNGSGKSAILTALCVAFGCRAKSTQRAASLKDFIKTGCSYAAIIVDINNQGEDAFKPELYGNTIILERRIHETSSSTVLKDQHGRKIAHKKDDLYEIIDHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQQVNELLGEIRRQLNFANSTIEELENSIRPVLKELDELREKIKNMEHIEEIAHEIENLKKKLAWSWVYDVDKQIEEQESKLQKLKARIPVCQERIDHNANIMEELRRELLEKKENVKSFVEKTKEVRMMKQKMEHDIGEAKRLKMELEKTYARETKVINDMNKLMKQLQAQVHDYKLKSMQETQAEASQIEQDMQKIEEEINRAYVNVTRIKEGEKKLSDELQCIRIDEGEDRFRYLKSQIRDLHRRQGNKVTAFGGDRVLQLLKSIEKHQNRFKRPPIGPIGVHVQLASEYWSVAVDCALGKLLDAFIVSCHNDLLILRDCGKEANYRNVQIIIYDFARPRLPVPDHSLPSTTHPTTLSVIHSEIPTVLNVLIDMGHVERQVLVPNYEAGKSVAFDHRIRNLKEVYTSDGYKMFSRGSVQTILPPFRNRRAGRLCTSLGERIAEMEKESTEIERINIERKRQGNELVSKRDKLEYEIKTLKRKREDEERHFERKKVELDNAKKISTNNSHDTALDTTEMEAEMTQLLEDINNQKIVLQKINVKLTRALEDENNLSTSLKEFIESTNAEMDSMNNLEHDLRVVEEKVHAAQEQKAHYEDVMEKKVLADIKNAESQYRDLQQLRQDNFKKASIICSESEVESLGGVAGSTPEQLSAHINKLKQRFQQESRRYTESIDDLRALHDKKERKILRKQQMYTGFKDKLNSCQMALELRWKKFQRNASLLKRQLTWLFNEHLGKKGISGHINVDYNNQVLSVEITMPQDASGDTVRDTRGLSGGERSFSTLCFTLALHGMTEAPFRAMDEFDVFMDAVSRKISLDTLVDFAVEQGSQWIFITPHDISMVKPGDRIKKQQMAAPRS >Et_3A_023346.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:21817359:21818525:1 gene:Et_3A_023346 transcript:Et_3A_023346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHAHTLHTALAARGHRVHVFTSPPPHTEAAPSPSPNGPELHFLDGVPGQWRCDEAWKLYEAEGENDPFDVIHSESVAVFHRFARGVDNLVVSWHGISLEALHSGIYQDLARGEDEPMSPAFNQSLAQSVYRVLSEVRFFRSYAHQVAISDSTGEMLRDVYQIPSRRVHVILNGVDEAQFEPDAALGREFREEVGVPKGADLVLGVSGRLVKDKGHPLLFEAFSKLVLHHPNVYLLIAGKGPWESRYMDLGRNAKVLGAVPPGKLKAFYNALDVFVDPTLRPQGLDLTLMEAMQCGKPVVATRFPSIKGSIVVDHEFGYMFAPNVESLLERLEAVVAEGARRAARRGRACREYAKSMFAATKMALAYERLFLCVKNETFCAYPAGFD >Et_3B_030154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3130607:3133432:1 gene:Et_3B_030154 transcript:Et_3B_030154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLWRIQQPCGWDMALSSVDAGLVKCSSSSRAFDRLVPTRDESGATLELFVNLEEKETNRALVQVGCIFAVSCGGVTVAWRTNHVLVDGSALSLLVRAWSELARPGMLSAEARPNHDRSVFCPRVLPSYNAFLDEAFTSSVEGKRQVNVLMHSQSLVERLYYYIEASDVARLREAWRAGSASARHAVSAYLCKTLASVVGTAERTAACEASALRATMRNYVGNVTTFAAREASVAEILTLASVDRGWQAGLEGRDDGAEVGGRRSGRQRQEVEVGDGEDVRLDAGVGGLHEAPAHDPDMQLIG >Et_1B_014329.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6139731:6140019:1 gene:Et_1B_014329 transcript:Et_1B_014329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLAALARGEEWTEARHAAYLDRMELAFVQQVVLGGSDVRQASRRLGRPQSAASGGRGHVPLPLDRPLPDSAVESNRGAPSRSSHASRGK >Et_2A_018351.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24142674:24143237:-1 gene:Et_2A_018351 transcript:Et_2A_018351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAQVQGWSSLPADLLHDILARLPWSSHPSFAATCTHALAQHRDAILPGLAHSGPPERRGRRLHQRPLLQPVSHHKMFEVDDILQSPDAKICCADDGHHLTLCFRDGDELIVSRADLVTGVIDDLIHPVEPCYFDFLACDGEDRMFRVSAFAGFLQVARSIECDGGWFHDRDSDAMRRRRLERRR >Et_9B_065425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4984731:4986768:1 gene:Et_9B_065425 transcript:Et_9B_065425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMVTATALGSGILARFPTNREPSQFHSRNRAPPPPAHNPPHKSPALRPRTTLALSPAAVAPAAAMVSAEAGIDRVLWTEAEVSARVREVAAELAADLRARPEPSPPVVVGVATGAFLFLADLVRRVDAPLAVDLVRVESYGDGTESSGAPRVTADLKVDVAGKHVVVVEDIVDTGNTLSCLIAHLEKKGASSISVCTFLDKPARRKVDIRLVGDGKFYRGFKCPDYFVVGYGMDYAELYRNLPYVGVLKPEMYKKDSCN >Et_1A_006424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22020952:22023628:-1 gene:Et_1A_006424 transcript:Et_1A_006424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDTIADLLTSIGNADMSKKGTVRVISTNITENIVKIFLREGFIESVRKHQESNRYFLVSTLRHQKKKTRKGIYRTRTFLKRISRPGLRIYANYQGIPKVLGGMGIAILSTSQGIMTDREARLNRIGGEERKKNPREAKVTFEGLVTEALPNGIILGYISGKIRSSSIRILMGDRVKIEVSRYDSSKGRIIYRLPHKDSKRSRKKVRIGLRRNARFSLRKSARRITKGVIHVQASFNNTIITVTDPQGTCGFKSSRKASPYAGQRTAVDAIRTVGLQRAEVMVKGAGSGRDAALRAIAKSGVRLSCIRDVTPIPHNGCRPPKKIQEVTGFTQTLQWKCVESRVDSKRLYYGRFILSPLRKGQADTVGIALRRALLGEIEGTCITRAKFGNVPHEYSTIVGIEESIQEILLNLKEIVLRSNLYGVRDASICVKGPRYITAQDIILPPSVEIVDTTQPIANLRDPIDFCIELQIKRDRGYHTELRKNSQDGSYPIDAVSMPVRNVNYSIFSCGNGNEKHEILFLEIWTNGSLTPKEALYEASRNLIDLFLPFLHAEEEGTSFEEKKTRFTPPLLTFQKRFTNLKKNKKGIPLNCIFIDQLELPSRTYNCLKRANIHTLLDLLSKTEEDLMRIESFHMEDRKLIWATLEKHLPIDLPKNKFLL >Et_6B_050183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9400581:9402566:-1 gene:Et_6B_050183 transcript:Et_6B_050183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPHSSASAVEYTSEETGRRAASCCPVCFEPPEWVASGPCGHREVCVDCAVRMRFFDENFRCCICRAFCPTVVMTKPKEADLRGATTFSRPSTAFGGGAGRVGMYFWFHGGTCAYFDSQELYDKVRKMCRKEEPPLAEARCLVDARSPSKLTFISGQIWPLPSSSGFLPVWDWARDPSCTGVVGALPQRVPIAFAPKLSLVVVAAMADFPVGFLPDGRSLLSLVLVKPGVARSRSTACTLSTSHHGAGFEATVRGAAGESINQHLEGLFPSVLRCFLPSNAPSGPCVDGGILDW >Et_2B_020652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22394890:22403735:1 gene:Et_2B_020652 transcript:Et_2B_020652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAAALAGARDPLPLPRLLLALLDDCLPLPDAGADRCPPRSPADLAYAAEAVRPHGLLAERDAGGDPGLREEWRAAVDAWVGRLLVLMDSERVRVCWPLSLPCIRSFRYITVEHSRWVGTSFLGLTFQECCDQRFINSYSAWFQKVLEKMKTEATNLARKVVKPSLQLLNENGPVTEKAADLLGLLMKFFPSSVYRDFKSKLASTLALLPCVRISKNRHTDQRILLALPGSKLVPPLGGRTICRDQQTLSTTKVTAISALIHCCSVMLTSSYPIQVTNIPVHALLSLIQKVLLIDGSLHKSPFQSNTHFYQEFICSEIPTLHSTFLDLLASTIKGTRSSLIPHAGIVVMVIAEYFKRAKLPAIRRKLYTVVRLLLSSMGVGMVMQLLQVVVSNIFYDLDDNSGRSLLPACTDPVKPIIWSPSKSSSKMEQRHQLQRSTVTSSESICNSQMMSPLCAKIGALETLEVLLNVGGSFRAYSWRTQMDLLLVNVARNAFYKAGMYEERQPWTEEPSVSVFQLASFKALLASFLSSPHERHPYLEQGLELFNRGMLETRTELGNFCAHALLTLDVLIHPRQFTPQRVAHSDVGLRCGGPEQSVLGSDISQPPCSGHKGRTNDDLGASIAEEPSAKRIAVEKHAPIELSRDLSVQKDAQWSHAVTGERLEMDMYCCPAEGGQAVPVPGSSWIDVNMADDSWCNVLAGFDGLCDPLDSFSVYPAPTDITTLDSRYPGDEIPNKKSACQGGNLSSDACSSQNVPALAAPILAATSVSSPEWDPLDSLLNIGGADADIPTWLGSPGSD >Et_6B_049344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3471786:3472892:-1 gene:Et_6B_049344 transcript:Et_6B_049344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISTTETGETPGRSRRSKRSSDSSVSQHLTWVILNKAGGRRENYAGDRTTSSTCRTSDGERISVSFDLVEPPGSAVLIVDRPETHGGPAGPHPEVLAADGNAVLFRMTSTAKKRSPFVQDYFVYEVSRSGHSRRPSLSRARVTMQISGSDEWTVFEHVRVRIASGRHDMVCWSTDAVVPYRRRFLIWVDYYRGMIVADISPCSGNKKPAPPELRFVPLPVDMTDECPDDIECGRGCPQFCRSVCTTRSGIKFVSVDH >Et_3A_026011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34534453:34539288:-1 gene:Et_3A_026011 transcript:Et_3A_026011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPSPSDASPHERLAALSLRRDLPPDFAGAEIGNDAEAKDDDDDDDEDEGYLTAVSRGGSSTCAAAAWREAAEDLEEDKEGEDIAPPSPSSSGYAGERGSSLASSAGIEEPDPESEGVGVQDWARDKKHLDEDDASASWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENSGDHIKFVRAGKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQILLILTKSINRCFEKNPKFDMAPLLGGTDAVISLVGAQKATLHPDDILLLANFILSSESFRTSESFSPICLPRYNPMAFLYAYVHFFDEHTYVTLLTTRSDAFYDLKDSRARIQNVLLKSNVLIEVQRSLRESALHVEDLPTEPSPRSESQPSESSQSLPPEMVIGGPAGLWHFIYKSVYLDQYVSSEFPSSISNPKQQKRMYKAYKRLYASMHDKATGPHKTQFRRDEDYVLFCWITQDFELYAAFNPLAEKTQAIKICNRVCQWIRDLENEIFVYGESTLSW >Et_2B_021886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6547535:6555783:-1 gene:Et_2B_021886 transcript:Et_2B_021886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSPPTLNCLKSLMKVVVNNFLFFIVVALATILLIKAAQLGPVKLLAWLHVVRRSHVMLPMFLSIILGTLYIMHRPRSVYLVDYACFNHTSNCRVSTATFVEHLHQMPYIDDKSVHFMTRLLEHSGLGDSTYLPHASHYIPPHFSFSDAREEAEQVIFSSIDALFSKKSIKSKDIDVLITNCSAFNPTPSLVDMIVNTYKLRADIKNIQISGMGCSAGLISVEVARNFLQMAPKDAYALVVSTEILSIQFYTGRNHAMLLPNVLFRMGAAAAVLSTSRSKSRFKLRSIVRTLTAAQDKSYQCIFQEEDFEGEMGVNLSKDILTVAGDALEANIAAIGYLSLPFSEQLKFGFSLLSRKFLNRKMKPYVPDFHKAFKHFCIHAGGRAVINAVQRSLHLTDEDVEPSRMTLHRFGNTSSSSVWYELGYIDAKGRMQKGDRVWMIGFGSGFKCNSVVWECIQASSNIDGPWADCIHQYPLRINKNLSKNMMIRSPNLAHVKYLYQLILKSGLLVIAVPIVALMLLRAPEICFNALLAQLHALGLIRFFLTAFPLAVSATYYLVNRTRVVYLVDYACFRPRVEYRLPMALFREFIHLMPNCSDQTGQFISRVIERSGIGNETCVPPAFHCIPWSCSFTEGRAEAELVIFSAIDDLLAKTCIKPTEIDILIVNCSVFSPTPSYSDMIINRYKLRSDIRSMHLSGMGCSAGLISVGLAQELLKNTTCVRYALVVSTETISNIYYHGRKRSMQLPNVLFRMGGAAALLSNSGNKKARFQLKHLVRRITSTSERAYRCVILEEDEDGHIGINLSKDLITVSGDSLKTSISAIGNVILPTSEKLLFLLSYIRMKLLNGKTKLYVPKFCVAIEHFCIHAGGPAVIDAVQNNLGLSDVQAEPSRMTLHRFGNTSSSSLWYELSYIEAKGRMQKGNRVLMIGFGSGYKCNIAVWECIQPPCNPDGPWANCIHRFPVEASA >Et_3A_024244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18953697:18964860:1 gene:Et_3A_024244 transcript:Et_3A_024244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFLTKAVEGTIGIEVIQGDFDGRITACTTSILNEVVLYERELYGAMTGDDKGAIQLMRPVVCVSLKDMLETRDGMSERTIRFSPMVNGSEDNEIRFVAIEMRVKVTWSISHFQHLLNTDSNDGSQQLDASVIVTTTTSHDTSKFAIVKHEWMAAERWAAIEAHLERCRALRDEDFSGMTEPQRAAEAKKRREQVLEEAHRTERKARMELSQEAASRMMHRVKWRQGRARIFDLSQAGGRRLLQPTLYHAMFDLDEESPIGPMRYTNRGSQPGQQPFTTSAGLNVFSVKIGTSDVGFPIHVYGTVIARDSINKKCIYLLCRDRDHCQLINSVDESLMLTGLKRGMALINDAYVEMDLNIKEHGGQDRGLSKGILTIKGIARRYLDKCEIESESLATRLSTVDVMYAVVMDAVEATVAIEVVQRYFYGEITAHTTSIKNRLVLFNNNEVTGCMNGEATGLIQLMPLVISVHVKEKLVIVARTRDGKDERTIDFTPKLNGDEEGDITVGATTMHVKVLVQARNLRFLLAEAMNKIFAQSIYLDISMDLRK >Et_5B_045462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23970071:23977203:1 gene:Et_5B_045462 transcript:Et_5B_045462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHILDLSAGNIVVNRELRLEKPCGGEIMSSHLDLLCIYGDRRSSGGHQCVVANPATGATTVLPDAIDVQHERRAHALLTNCVLGQVPSTGDYKLLRIHWYITHHNTFAQLCEVITLVGDREWRAKSSPSIRVAMSHGSTAVVHGVAYFLFGLGAGDMKEVLEAGATSMMQAAIRVLQRQGRCVVLRGIRGPQRADEDDEEVAAPHMSNGQGGYPDCEYPPSR >Et_7B_053837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12592315:12594407:-1 gene:Et_7B_053837 transcript:Et_7B_053837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLVPLLLLLAGLFGGAAATTGADAQAACEPTNLATQIALFCAPDMPTAPCCEPVVASVDLGGGVPCLCRVAAQPQLILAHLNASHLLALYTACGGLRTGGAHLAAACQGPSPPAVPVIAPPPPAAPRHKLPSREAPPPPPATAKPSPPPQQQPGGAVQGKAVPAIPATTSSQVPAAAPMPLASPTSGSGYDSPGLRLTYWLIFAIAIIVILE >Et_3A_025581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3158411:3163086:1 gene:Et_3A_025581 transcript:Et_3A_025581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMACIIPNIDGQSGKTMQSLPDTLSSLMGFNKYLTPSWIESVSHIIKELSPTKPKMEVMVQKAQHDGPDDTETEAKVAMIQDELASLNAQLKKITLQRRQSLNNYLDLKGNIRVFCRVRPFHHEESYQSRSLFTLDESNVSLKVAETKIKQYTFDKVFNQSSTQGDVFAEVEPVIKSALDGYNVCIFAYGQTGSGKTYTMEGKPTDLGVIPRGIQALFDRASESNIRFMFTFSMLEIYMGNLRDLLGPGSKTHGFKKVPSLIRISLTSVNTPERKKETNKLWMIDLGGSERLVKTKATGKRLKEGKAINLSLSALGDVIDALQTKKAHVPYRNSKLTQVLKDSLGCESKTLMLVHIRLNEDDLCETICTLGFATRVRSVRLESEEPPEVKARKEHLLMEFEQEISSLEQECENITRRIKKLEEAMEHLKGPQPFVSSYIAISHSSIEELKIDMSKNTRSSNNSRDVSSKLPRFMKPTASSQHRIGLNKHIPASNRTKPPVPPKRRPSSVYAESVRLPVNTATWQSECSSECSISMTSDMNWIPSMPDETECSQDTSECETKQVIFSEHENVSQSKVISVTECQHAEPRKMQNKTEEMGIIDIESWIHQQIIENTGICQSAEVLDTPEMTEHGTYHSSTTSRLDMEWAEDFKQGRDENNGMNLHFSAHNVEDIKQTKDVNKFRKTELCTPPSEEFCSNTEIKEHKNQRLAYHGSSRRSLKEILDSCIPKQPDKEAKADPSTQPEISFRDKEHYIGNLTKFFRALRTAWIGALLGMGNMSLGLEGDFFQSLML >Et_1B_011224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1894690:1895282:-1 gene:Et_1B_011224 transcript:Et_1B_011224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELFDTLLHLPEVFDRIAAADGNRRSAGDHAHHVPHGHAHVHALGGSGGAPVDIVETPGEYTFLLDVPGFSKSDIQVTLEEDRVLVMKGGNGKRKREDEEDGEGCRYIRLERRAAPRSFARKFRLPEDADTTGIAARCENGVLTVTVKKQPPPEKKTKSVQVTIA >Et_8A_057094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2173588:2174624:-1 gene:Et_8A_057094 transcript:Et_8A_057094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNGTLRRLSTTAEINQYVELWALIQEVPLRSVINRTTLGADSQPTAHIPTSFGLQITLSKNAGQANAICSLYGLHSPPWELLHCSCHPTATEGLSDGGHLARVFDSKAMTAVQLVLIIKQDIQAWRTVHTNWEE >Et_6B_048834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14251653:14252464:-1 gene:Et_6B_048834 transcript:Et_6B_048834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHLAVDRASYSMFVPKDAMRNGFMQVEDVLRRFGPAQVAAMREQVLHLLLETGRDAAGRGDAVGHGARRREEEGAGAAARAGAAGISRERNRHMIRQPLTFRFQRFDGSDKPC >Et_1A_009316.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:38554843:38556477:1 gene:Et_1A_009316 transcript:Et_1A_009316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPMLRTDKVAPKVFELPVYSTQSLQYRTPSAFPKVLNLCKNNFTGVIPKEIGQLKALLLLNLSSNKFYGEIPQSICNLTSLQVLDLSSNNLTGTIPDALNDLHFLSRFNVSNNGLEGPVPTAGQLSTFPNSSFEGNPKLCGPMLVNQCGSAKTRLVSKKRPDKRAIFVLAFSVFFGVIAILFLLARLLFFFRSTSLMNKNMSNNKDIMEIMPSNFDTEQSLVMVPRGKGEQNKLTFADLVKATNEFDKENIIGCGGYGLVYKVELPNGFKVAIKKLGSEMCLIDREFSAEVDALSMAHHENLVPLWGYCIQGNSRFLIYSYMENGSLDDWLHNREDDGRSFLDWPRRLKIAQGASQGLSYIHDVCKPHIVHRDIKSSNILLDKEFKAYVADFGLSRLILPNKTHVTTELVGTLGYIPPEYGQGWVATLRGDMYSFGVVLLELLTGRRPVPISSKSKELVQWVQEMRSRGKQIEVLDPTIRGTGHEEQMLKVLEIACHCVNRIPSMRPTIQAVVTCLNSIDANKNMQNSISQSNDITNCASTD >Et_9A_063326.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19979010:19979372:1 gene:Et_9A_063326 transcript:Et_9A_063326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQVWRRWNRGSVQQLVDGCPADGRQPQEILRCFHIGLLCVQEDPNLRPSMTSVASMLHSRSITMPEPKSPASLITARRGSSGREDKMSAAQEQWINDASVSDMESRVDGSARTTSMDQ >Et_6A_045981.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:15356613:15356669:1 gene:Et_6A_045981 transcript:Et_6A_045981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVLWKHRNSCVFERQ >Et_9A_061114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16532460:16533662:1 gene:Et_9A_061114 transcript:Et_9A_061114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEKSAHHSLRPPRHGYPPHNAPPSSWALLDLRAYIADRENATSACCRMSSGKAIRVTFCTAPPPLVSYICVWCPDAEIAMEPSVEAADGDLVLLRVSVRGRAEFFVYKASGPSLHLLPDPEPCLSASYNIALLARRDVDAAAAAEEDDDGRYYVAALNRRIGSRIGDFQLWVFDSEQGKWSSTPFSLDGIYLHIMSKTIALGDGGLLGFIDPWRGIVVCDVLDQGSSDSDYWSWELSSWSRAVTDHWEDDWEPDYTICSGDVLVDSNTDNVGLLPALRENNEAAHYQASLAKLYIAHPTLSLSDEGVVYLMGKVHRRDKKALVLSVDTRIPRVQGVTVFDAERMFDAAFSYT >Et_1B_013335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7466941:7474102:-1 gene:Et_1B_013335 transcript:Et_1B_013335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPASSGSGSVIADVEMNAGADQGAATVRATVVQASTVFYDTPATLDKAEKLIAEAAGYGSQLVLFPEVFVGGYPHGSTYGLIVGNRSAKGKEDFRKYHAAAIDVPGPEVSRLAALAGKYKVFLVIGVVEREGYTLYNTVLSFDPLGKYLGKHRKLMPTALERVFWGFGDGSTIPVYDTPLGKIGSVICWENRMPLLRMAMYAKGIEIYCAPTVDCMPSWQASMTHIALEGGCFVLSAVPFTRRKDYPPPPEYTFGGLEEEPSPESVICSGGSVIISPSGTVLAGPNYEGEALLTADLDLGDIPRAKFDFDVVGHYSRPEVLSLLVKTDPKHAVSFTSAAEKNSAGKSSGVGPVIAEVEMNASSDPSTTNVRATVVQASTVFYDTPATLDKAERLIAEAAGYGAQLVVFPEAFVGGYPRGSTFGFGISVSTMNPKDKGKEAFRRYHAAAIDVPGPEVIRLAAMAGKYKVFLVMGVIEREGYTLYCSVLFFDPLGRYLGKHRKLTPTALERILWGFGDGSTIPVYDTPLGKIGALICWENKMPLLRTALYGKGIEVYCAPTADSRPVWQASMTHIALEGGCFVLSANQFCRRKDYPPPPEYEFAGLDGEPSPETVVCPGGSVIISPSGQVLAGPNYEGEALITADLA >Et_8A_056184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13338779:13339358:-1 gene:Et_8A_056184 transcript:Et_8A_056184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLHRLLPLLLFLPFVLFRSGTSPDGDPGLHPVLLVPGNTCSQLEARLTDAYEPPSPQCGAGKGNGRWFRLWKNTTAERDPAAAACLADQRRLVYDPAARDFRNVRGVETHVIGFGSTRGFLADDPADKDLCMGTLVEALERAGYVDGETLFGAPYC >Et_3B_028922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20464358:20468009:1 gene:Et_3B_028922 transcript:Et_3B_028922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSSATSLAIGRKTLNPSRAPAAATSVSFPSAQAPCLQAASAGRRRAVAAKVSSPSVIGTAMPSLDFETSVFKKEKVSLAGHEEYIVRGGRDLFPLLPEAFKGIKQIGVLGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSKSFEEARAAGFTEESGTLGDIWETVSSSDLLLLLISDAAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSLGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINASFAVHQDVDGRATDVALGWSVALGSPFTFATTLEMEYRSDIFGERGILLGAVHGMVEALFRRYTEQGMDEESAYKNTVESITGIISKTISKKGMLEVYNSFTEEGKKQFIEAYSAAYYPCMEILYECYEDVASGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGERVRSTRPQGDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESLIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKKAPINQDLVSNFLSDPVHGAIEVCAQLRPTVDISVTADADFVRPELRQSS >Et_7A_051074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14108191:14111260:-1 gene:Et_7A_051074 transcript:Et_7A_051074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGMPGINILLMPIVLSVMTVAELSLILKMQKISLAIFRTLVKMMPSKDASYLTTFLQLIKVLDNVEVQLNKTKYLTGRDFLPADLRLVPSIIGLESDLGIGRKRGLKSSGNGNMEESLASNRGASLV >Et_3B_031549.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:4259997:4260557:1 gene:Et_3B_031549 transcript:Et_3B_031549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAASRASPAYTAFLIVALLCAAASVLPRSSADASFIAQTCHRTPQESLCVSVLQSINGTSTAATVQELAVAALLGARRAALRAKLRSLDLGKNGPEKGTPVGELLAQCDAIYSDCLRAGSRVVGSVSAGMYGGAADAAGALRLCPARCEGLFQARRVASPMEAVDREMADKMEVAYQIVRLLP >Et_2A_014538.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20544149:20544671:-1 gene:Et_2A_014538 transcript:Et_2A_014538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPNHALLSLCLLLSVAGALAATTMTEVASRRWLQEITIDPNEPEDSLQRNNGFPSIPGPIVPLPPAAVACWKSILASRECVDDLLDSLARLELRVSKVCCSVLEKIGDRCVVSAFSSFPFNPLFPPVVKRAAASPLETESYRQQHEFDSTNKES >Et_10A_000194.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:16662213:16663094:1 gene:Et_10A_000194 transcript:Et_10A_000194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQMGRIMLKFRPIAPKPAAMAPAPTPAPVAAAAGAGRGKRKAVGGGRRGRKPKKAATTVPSPVVTTAAHAAPAPAAVQVVVPGPGEKESEKEKSLSSRSSSSSAMTSVDSAPPSPPPPAPRPPPATTLPLMPVSPAAADQEKAVETPRTAGAQPAVVPPAATPTTSCWVTVEDVTSTWRDGEAPSSAAAVAAASDAPAFVSDQWGRVTWANAAFARAVSEDARDNTPVVGLAGALPAWGTCAGFTCRVRVRHAADARSVVAPCDVWRLDAAGCYLWRLDLQAALTLGGGLP >Et_4A_035443.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2566090:2566806:1 gene:Et_4A_035443 transcript:Et_4A_035443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETSKSSGAEGRIMDTTMQQAVEADSGQGRDWSELLIRVFSRLDLPDLVYSGAVCTSWYQSYSAVRRFRLCSPDRSPYLVYSSADQDSNTATLHIVCTNKIYHASLPDPPFRSRYIVGSSQGWLVTADELSNLHLLNPISGAQIALPLPQSIKDVTPSFTEDGVLAGYYIMAVNYQRAAPEFYPAKEARHYLYDKVVLSSDPSSGECTVLLKHQPYHRLSFAKVDLARDGGLQGLP >Et_4B_036609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28409:30864:1 gene:Et_4B_036609 transcript:Et_4B_036609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTCCMQTAEQPQLTVEQGQAQAQEEHPGRTMDGSVDWRGNRCLRDKSGGWLAGFLILLNQALVTLAANGVGTNLVRFMAAVMRLDNADAANHANNWNGTTYVFSIIGALVSDSCWGRYKACIIFQLIFLAGLVELSISAYLFLYKYCDFGEQRQANCRPPTKTEALVFYVAIYQIALGNGAYQPAITTLGADQFDEADIKERKSKTAFFGYFFVANNLGSMVSVTALAYIEDKGRWVLAFWISTGAAFIALLLFAVGTLRYRHFMPNGNAILSVCQVIVASIKNRHVKTPQQAEDLYEVEGTPSKSGGRKMLHTPEYRCLDKAAVIKDPRVLLPGEHHSPQPWSLCAVTQVEEVKCILRLVPIWLCSILYSTTYSQMSSIFIEQAAAMDDSLSKFKIPPAGISVFEIVGVTAFVFIYEFCIVKLCSKMSRELTELQRMGIGLVISTVAMITSGLVEQQRLKYATGEAEMSSSLSILWQIPQYVLIGASEVFMYVTMTEFFNHELPDGLKSLGSALTVASMSAGNYASSLLVTLVMTITCKGDQPGWIPEDLNKGHVDRFFFVIAALNAMDLLVFVVLAKRYRRAPLMKTGADA >Et_6A_047510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6751108:6763279:-1 gene:Et_6A_047510 transcript:Et_6A_047510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRLFDPESPERAAPEDGSDRLSELPDGPLQQVLSFLPSREAVQTSILARRWRNVWKSVPAVRITDVWWSYLSVNHLNTFVNSLLHFRDPLQPVREVELNSYAAFDAEDRRAGCTWSNGFGTVYLMGLGNDRLDCWPLPDGLLISNDLRHLEFVSLHVEFSCLDFSSCPALVDLRMKNCVIVARRILSPSVRRLIMNNCRFNLSDRTQLYFPCVTYFVLADCWDRTPLLERMPLLVSAFVRIHICEECDDDEGDTSEGYLDSNYGHKTYYLDGLSASTSLELISPETEFIFRKDLTRCPQFPKLKTLLLNEWCFTANFGALRCFLQHTPMLEKLTLQFNEVHAELVDNGAKYDMVREPVALDYLTVEIKCHEVDERISRILELLTAVAVGVRNLFHGMPPRTKGRKGKGPARPEVSLDDLPDEVLQHVLSFVPAAEAVQTCVLARRWRRLWRYATGLRIECSESDSFDDVREFVDHLLLLRGGSPLDTCELALTDFDTSRVNLWIRHILLCKVRALSLDLIILQDFAQIYDLTLVSEHLKTLQLTNIKFNNEFLDFSCCPALEVLEIKDCNFSGTDRISSQSLKCLSISKVCFFSEEYRFRICAPNLHSLRLDVGRLRTPALERMPSFVEAFVDIVDYDESIDSCDNAYSGDCGNEDCFACYGIDGDTNSCVLLQGLSEARNLSLRSDTKMFIFRRDLKRRPIFGNLKTLLLNEYWCVPADFSALAYMLEHSPILEKLTLQLFCKENFCLSEPKSKVEMKGIPAMSEHLKIVEVKCEVVDDRVLDVLKFLDKLGIWAERGRGRHVRRLAATASTPCRPDDVLQHVLSFLPAPEAVRTCVLARRWRHLWRSATGLRIVCNDVEGPSYVDDVQEFVDHLLLLLRALRLNVIIYGNLVEINELALVSEHLKTLDLSNLQFNDEFLDFSCCPVLEVLEIDECDFSATERISSQSLKCLSISIECYFNYEYLFRICAPNLHSLRLDVGQHRTPMLERMLSLVEAFIKIIDHEDCDSCEYADSGDCDNEDCFACHGIDGDTNNCVLLQGLSEAQNLSLISDIKVFIFRRDLKWCPIFGNLKTLLLNEYWCHICLNILRFREAYSPAVLQGIPDPTGRSAAMSEHLKIVEVNCEVVDDNVLDVLKYLSKLGICKL >Et_8B_058885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10381698:10383562:-1 gene:Et_8B_058885 transcript:Et_8B_058885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPLGLPKCRTASATCGDVSVACQFGLATGCHMEGFNLTCDRLGEPSCSSVTAPSVSPGSRSTIQQWRQLDLRDDDNEFIQLVHLPASAASTSIGLPNCNTTCGDVSVPYPFGLSPGCYRPGFDLTRDNSRNPPRLLLGDGDLRVTEISLRNATVW >Et_3A_025020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26163997:26168050:1 gene:Et_3A_025020 transcript:Et_3A_025020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIALASGVLDKAATGPTARSPPRAAVLCLCPRPLPSLRGGPIARPRESTLVDLLTRCSLSPPTTPRAAEQWQVARVSSRRRPLTLSSLLRADFKGFWESRFGGKKEPEQNGHADAGANGSAPKRTADLAVYEQFEQQARQTETRAAAIRDGNADVVQKPLLPAFETAEMRNLAETLLRDIIRGSPDVKWESIKGLETAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECNTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTNDLVFVLAATNLPWELDAAMLRRLDKRILVPLPEAEARQAMFEELLPSVTSKLEVPYDILVEKTEGYSGSDIRLVCKEAAMQPLRRLMSVLEASDDVVPEEELPEVGPLKPEDIELALRNTRPSAHLHAHRYEKFNQDYGSHILCSEQA >Et_10B_003450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19954315:19956076:1 gene:Et_10B_003450 transcript:Et_10B_003450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTESPLLRDVGAALLTAVAAAAVLRFWEVVANRELLDQKLCRKLVHITVGFAYFLMWPLFSSDDVYAPFLAPLIIELNIVKVTLIGLGIVKDEGVVNSMTRHGDRRELLKGPLYYACAATLTTIIFWRTSPISIAVLCNLFAGDGVADIVGRRLGHAKLPHNNDKSYAGSIAMFLAGFIASALFMCYFHHFGFLEQSWRMVLAFGVISFVAAIVESLPISTRLDDNLTVPLASALVGALVFHLIGVRNLCCMSSDGSNISAIVQMGFAGSSK >Et_1B_010570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11708265:11710606:-1 gene:Et_1B_010570 transcript:Et_1B_010570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAPNIEMIASSLRHCSLNGGGGRRRAGRAGRRGGEGGDDSESVTVELNSDIALPYHWEQCLDIRTGQVYYINWEDGTRTTVDPRTSAFTSSPTPRSSSSASRRSGRTSTPSRGSAVSSTLSSFSPTDDSAASGDNGSVAGAGPVLVAAGCRACFMYFMVPKSADVCPKCGSAGLIHLSSNGYA >Et_10A_000559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12732917:12735530:-1 gene:Et_10A_000559 transcript:Et_10A_000559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGEDTEPNVLDRILDGEEKPRRLELALLRTITKNFANAQIIGHGGCGVVYKGVLQNGSVAVKKLFSAHTIDDKMFEREVNSMMIVKHENIVRLLGYCSDTQGEATEIGGMFVMGEVRERLLCFEYIQKGSLQNYIKDELRGLRWDERYKIMKGICEGLHHLHKEKRIRHMDLKPENILLGDHLVPKISDFGLARLDEKSQTTSNHRLLSLGYCAPEYLHHGKMSAKSDIYSLGVIILELVTGSKEDPDITKIMLCLEDMLGIEPLEMHFHFAHSKEMSCSIEMINDTDAYFAFSIATTSLLPFSTQPDKGIVQPQSKLSATITLEALEKAQLQNHCNEEFSVQSFRIDGIPTSLNVTKDIFDDYVGGKVVDEVNLTVFYDIPPTSEEPKIDTDSTKYQGADITSGEPHLSADSGSLGPSSQ >Et_10B_002825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1252640:1253914:-1 gene:Et_10B_002825 transcript:Et_10B_002825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRRVSYEEETKESSAYMHCCWSYIFTSHYSLMWYFLIQYEKSTKGPKCPSWINIDKSHLMSYKKRQNQFSPSNLIGVGSFGSVYKGSIQPGSQHIVIKVIDLLQHGAERSFLAECHVLRSIRHRNLVKVVTACSSVDPQEIYFKALVYEFMPNEDIDKWLHHNLVMQDEAPRRRLTMSQRNMERMKIFPSEAIILLFEMFTGKRLAGSLFQEGQTLQSYVSTCYPERIMEIVDPILLPLDSRFVSEGDGFCDELDNKKLQEYMTSIFRESSRARMGIRNAIKELEVTKNPLVDD >Et_9A_062029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18962528:18963642:1 gene:Et_9A_062029 transcript:Et_9A_062029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSIIRSGKHLLGANKLTISSSSFPTFQHGRFGMEAGPRLSLAQSIGDSIYEKGQYCVSLNKKDLQGLSNGLLRRPTHGVSLSHCGIGRNEFGLPLGARFFLQSVRRASTVTASQPKMEMGDEQSDDQSQNKKKEETSPEECDQAMQGRSTAKAKANSMQAEGNLMSRLSVIEKLLGARLLGSDCAAVAYATISRADWVAKLKDWKDECVSTSQSYWLGETLQYANVGTSSRLVRTFASGKSLSRGELLQMTDTIPSRFILSRVLSRRMRPEEAVVATLSSLRVGAEFHLKIQFPRGVEMLDSLICRKNLS >Et_9B_064890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19676560:19679009:1 gene:Et_9B_064890 transcript:Et_9B_064890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISMRLAFPAPPAAPAVPPRLRVRASSSLPVARVTALRTETDALRGCASLPLKPQPLGAGASQQCRRRGAAAVCHSSAYLSAQTMQWISAGASAVLLLAKGTAIHKSFLVPFFALQAPSSIISWIKSDYGQWTAFLALLVRLFFFIPGELELPLSTMLLVSVAPYQLMNLRGTQGGAILSLAIAGYLAFQHFTRVGGLGKAFEQGSIIATLAIICITVIPLVMLF >Et_6A_046271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11822721:11834714:-1 gene:Et_6A_046271 transcript:Et_6A_046271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGAGCSESSGESKVSLLKTLQNIPASFLREVTDNFSPDRKLGKGAFGTVCKGILPDKSLIAVKKLEEHSQVPAERQFTNEVGNLMAIQHENIVKLVGFCHESHKKVVEHNGKYIIVDVTECYEYLPRGSLDKYLFGMYCNIWYIVRNLWSFFQETTIKFQIPRNLIELIGTHGSTLLKGSARVYISDFGLSRLFGKEQTRINTQNVVGALKIAFISRYLAPEYLYRGEISTQSDIYSLGILIIQINTGDKITPNHEDRCGTQFIEQVRRKWTPHPITPTYAAFDAGRLQEVNLCIQIGFECVESDRKKRPSIVDIVDRLKMATHPSSGKGPADGEPSPLSTLPKNLPASFLKEITNNFSADRELGKGAFGTVYKGILPHGEEIAVKQLGANSPVSHEKQFKNEAVNLMAAQHENIVKLVGYCHESLKKVMEHNRKYIIVDVTETFLCYEYLSKGSLENYLFGSGNVIDWPQRFNIIKGICQGLQFLHNGMDRPVVHMDLKPDNILLDNNMIPKIADFGLSRLIGQEQTRINTQTVVGACGYLAPEYLYRGEISTQSDIYSLGMLLIQISTGEKNKSNAEDKCGAKFIEKVRQEWTDHKITSTYASLHAGHLQEIKRCIEIGLQCVEVDRKKRPSITEIIEKLSGIR >Et_1A_006840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28153311:28157552:1 gene:Et_1A_006840 transcript:Et_1A_006840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGGAAAGEGTRSLDQTPTWAVAAVCAVIVAASILLEGLLHHLGKLLTKRKKMALFEALEKLMTLGFISLLLTVMGRYIARICIPEGAANTMLPCRLSSRGTEAEEPVGHGRRHLSEDPTNAFVCPKGMVSLVSTDALHQLHIFVFFLAVFHVAFSALTMSLGRAKTRIWKVWEKETSSLTYEFINDPSKFRLTHQTSFVRQHASCWSKSTVLLYVVSFFRQFFRSVRRTDYLTLRHGFIAAHLSPGTRFNFRKYIKRSLEDDFKTVVGISPPLWASALAVMLFNVHGWQNIFWFSAIPLVVILAVGTKLQAIIAMMAIQITERHTVIQGMPVVKLSDDHFWFGNPRLVLHLIHFASFQNAFEITYFFWIWFMCSYITLPLYALVSQMGSQMKTTIFDEQTAKALKKWHKAVKKKQHKESSQDPSETPSTDAATTTTEASPRQHKVPVRLLHRYKTIAHVGATRTLSDSEGSDTDDADALSSSQTRHLIPPTKQRSLDAGRAEVRVDVETTPRGGMQDSFSFPRLPGRGVPEK >Et_2A_016900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29257973:29258930:1 gene:Et_2A_016900 transcript:Et_2A_016900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSHGLGLVCFALLVAAASATQFRVGGQKGWSVPDANAESYNAWAGRMRFQIGDQLVFAYPKETDSVLLVEPAAYNACNTSSYDNKFDDGNTVFTFDRSGPFFFISGNEANCRANEKLIVVVLADRSGARTPPAPPTMSPPSSAPLPSPSSSPPAAPTPMASPPAMTPPSAPAATPTTAPSPTGSAPASAPTTTPSSPPPPGDLAPSPSTPGGAPQPPSGSANAPGAAGGSTTTPTPPSGNDQSGASTVVAGIVSSLGAYFGYAMLAI >Et_6B_049146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18721659:18723994:1 gene:Et_6B_049146 transcript:Et_6B_049146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKKLKLLAHRLFSAMLSLHPSPSSAKVPPASPPHHPVQRDGCPPTSTETTTLIVDTALLLHGSNGDGQLFPYFMLVALEAGGFLRGLVLLLLYPLLLLLAESHRVKAMAAVAFCGLRAARFRAGRAVLPKWFMEDVAAEAFHSAFNASSRRKVVCVTTGMPRVMVEGFLREYLGADAVVGREMKTLWGFYTGLMEEEDDQADHRQVVATEDVVGFSGSPEFLSHPLARCCKEVYVVSPEEKRRWRRLPRVKYPKPMVFHDGRLAFLPTAANTAAMFAWLPLGVVLGAGRLAVALTVPYKYSTPILAATGMSWRLKGTLPPSSGSSTTKRGQLFVCNHRTLIDPVYVSVALDRPVRAVSYSLSRVSELISPIGRTVRLTRDRRSDGAAMARLLAGGDLVVVCPEGTTCREPYLLRFSPLFAELADDVVPVGIAVETSMFYATTAGGLKCFDPLYYMVNPRMCYTVQFLDRVSTATVRRGDEPSTDVANLVQRRMGDALGYGCTMLTRKDKYLMLAGNDGVVTRNDGDSKSASAAAAVVGKKKKKEKNKDAEKNN >Et_9A_062779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4822684:4826253:-1 gene:Et_9A_062779 transcript:Et_9A_062779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPVQPPPLLASHAAVRAAASRVASSSAVKGRLSGDHPPQVAALRRGDWVKLICGASFEDAADVRNLSLVYTLAGVDCIDCAADSSVVGAVNEGIDVAASIVPAVQRPWVMVSVNDDCRDLHFRKAEFDPEDCPPNCSKPCEKVCPADAISLQSAIFGGEQTQSDSLLGKLQGGVITERCYGCGRCLPVCPYDRIRAVSYIRDPTTTAELLGRNDVDAIEIHTIGMGTDMFNVLWNSLTESINNVKLVAVSLPDIGESTLHFMNSIYTIMEHDLQGYNLWQLDGRPMSGDIGRGATRETISFAVHMASMSDRPPGFYQLAGGTNSYTIDSLKKAGLFQSLTFSGISTPEMTSSRQAFIGGIAYGGYARKIVGRTLRKIPAQFGRVRIEDHPGFLLEALQEAMSLVAPVKGYPSPFKPMK >Et_4A_034889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7597095:7599277:1 gene:Et_4A_034889 transcript:Et_4A_034889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFGKSTSKQTAKVKSLVKLAAARLAVARRPRLGRRSIARGDVGQLLAIGHLDRALLRAEQLIEEDNMLEVLDIIERYCKIIIEQSAQLDNPKECSEEIKAAAAGLMFASARLGELPELLDARAILGDKFGSDFFRAAKAGSRDVVDPTLVRKLSGERASLEEKRRLAREIAAENDILLDFPPEKKPVEASQGKQSEQTKNAPAKEFVERSEVKADRHEVQGRQRFVDANARPGLAQLSVDEKVSRESKKYFDARMAAEAAFESATFATMAARAAVELSRSQNQGKGPRGGCGGGGYDKVHPHPVVQRQSSAQKSPSPSPSWSDRSTVTSLGSDAAHKGKGVASDQSDEEEEEDVVVWPPQPQRQTTYRRAASAMAANGGGTWNGAAHANGAAGTRPFQNAAAPDSNPPHRRLATQFAGGDARAPAVHDAPAGQRGQYVAPPYRRNPTASPRDGAYESSSGHAHPPYARIVSALERGEKKEHIARHEEVRRMGTDARAMQERVYGAAPGQGQARAPLNPERRANSVRTR >Et_4A_033349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21819124:21828421:1 gene:Et_4A_033349 transcript:Et_4A_033349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGLEGSQPVDLSKHPSGIIPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVREETYTAFENIYPVLTEFRKVQQWYAYTVGAECVIRMAEAALEGSQPVDLSKHPSGIIPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVREETYTAFENIYPVLTEFRKVQQWYAYFFYYC >Et_1B_010855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14877191:14880827:1 gene:Et_1B_010855 transcript:Et_1B_010855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPIGRLISHVILDLDGTLLNTDSIVSRVVKPFLVKNGKSWDSKKAHKLVGKTPYEAAVVVLEDYGLPYSTEEFMSMVTPMFSEQWGNIKPLPGANRLIKHLRTNGVPTALASNSPRSNIESKISCHQGWKESFSAIVGGDEIEKGKPSPDLFLEAAKRMNTAPSNCLVIEDSLPGVTAGKAAGMHVIAVPSVPKKTAEFSSADEVINSLLDVRPEKWGLPPFDDWIEGTLPIEPWFISGPVIKGFGRGSKVLGIPTANLPAENFSDVVSEHTSGVYFGWAGLSTRGIYKMVMSIGWNPYFDNTEKTVEPWLLHDFGEDFYGEELHLAIVGYIRPEANFPSLESLIERIHEDGRIAEKALDLPLYAKYKDSPYLRKPLLQANSADGQAELNSK >Et_8A_056244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17855448:17855945:-1 gene:Et_8A_056244 transcript:Et_8A_056244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEWKLHGQVSVYGSMQRFILLLRCQCNMEIEIRRETQVFHVAGHRKQGIDHGMVESVDGESDGPEQEASCCSNHIYTHYGTYGRNEIGGRSSRRRRSLIRFLP >Et_3A_026333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:690331:695153:-1 gene:Et_3A_026333 transcript:Et_3A_026333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGVLRAALRRSRTAAATLLLHRALPSARSLPPPPPLSRTFVPLWQLPFSTRFAYSTATVDSAAPGRPKGKARKNPMKQSRLDFTKVDSALLPTVILVGRPNVGKSALFNRLIRRREALVYNTPGDHVTRDIREGIAKLGDLRFRVLDSAGLETAATSGSILARTTDMTGNVLTRSQFAIFLIDVRDGLQPLDIEVGQWLRKHASGIHTIVAMNKSESLDEHGVLTAAAGEAHKLGFGDPVAISAETGLGMAELYETLRPLFEEYLFQLPSHKLNQDHAASEAETEANEGDDSKVPLQLAIVGRPNVGKSTLLNTLLQEQRVLVGPEAGLTRDSIRAQFQFDKRTVYLVDTAGWMERSGKEKGPASLSVVQSRKNLMRAHIVALVLDAEKKLFDKVMEAVPTEIQTVIPQVIGIPVVFVSALEGRGRIAVMHQVIDTYEKWCLRLSTSRLNRWLRKVMSRHSWKDTATQPKVKYFTQVKARPPTFVAFMSGKAQLSDTDTRFLTKSLKEDFDIGGIPIRIVQRSIPRKASAKSSVKKVGPRIARMKTDKRSTILDPTSP >Et_1B_014195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35097165:35100369:1 gene:Et_1B_014195 transcript:Et_1B_014195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYDEAAWSEEAARRVWGGAVPLQVHLHDADVTALPPPPPFLTLGPRIGYLPLLIPVIKAHFSSALPPGVDTVWFEYKGLPLKWYVPIGVLFDLLCADPERPWNLIVHFRGYPAEILSPCEGEDSVKWTYMNSLKEAAFIITGNSRSVMNMSQADQVALWESVMKGNLDVYKSMATKLKIGPFEEDGLLRTTSAERQLQQGSDEPESPGSGKTCRVPVRLYVRSVEEDLEYLEDAVPLSDWERVSYINRPLEIPKGEGSNYITLEHALKTLLPEFFCSQSTGRAGDSQPLGTMGSAPDDSAIIDSTQSSQEVEPASTNLREEDVAKRAKVKLVRVQGIELDMDIPFLWVANNLKNPEYYLHICVYVGSKKQ >Et_8B_059201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15151369:15156531:-1 gene:Et_8B_059201 transcript:Et_8B_059201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKGTNKNLYEASAGLQGNFIQNWNENATWLGKERDLDEYHLVSEAEGTVQPLIDQVRAMLRSINEGEINASAYDTAWVALVPKLDGGEGPQFPATVRWIVNNQLPDGSWGDSALFSAYDRMTNTLACVVALTKWSLEPEKCKTGLSFLHENMWRLAEEDQESMPIGFEIAFPSLLQTARSLGIDFPYDHPALQSIYANRDIKLKRIPKDMMHRVPTSILHSLEGMPELDWGKLLKLQSSNGSFLYSPSATAYALMQTGDNKCFNYIDRIVKKFDGGVPNVYPVDLFEHIWVVDRLERLGISQYFQEEIKQCMDYVNRHWTEEGICWARNSNVQDVDDTAMAFRLLRLHGYNVSPNVFKNFEKNGEFFCFVGQSTQAVTGMYNLNRASQISFQGEDILQHASAFSYEFLRQREARGMLRDKWIIAKDLAGEVQYTLDFPFYASLPRVEARTYLDQYGGNDDVWIGKTLYRMPLVNNDVYLVLARNDFNRCQVLHQLEYRSLQMWCIENSLESFEVTSEDVLRSYFLAAACIFEPNRAAERFAWCRAALIANTVSVHLRKNLEDKERLLCFARCLYEEPDVPRLNMNIKDAILARTLRRLIDLLAQQGQSIHQGKDDIHNLLRLAWTQWMMQMVNKEDKRCSKSIVKEPLYMVHDGQTCLLLFQIIEICAERISDASSLMENKDIDRLIQLSCSVCDNINNKILLSEDTGSNDTEIKCIDKEIEWDMKALVQSLLPRSDEITSNRLTKQTLWNIVRSSYYATHCPSYMMDRHVSKVIFEPVCEGSFGAYDAQQ >Et_6B_049311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3158193:3169304:1 gene:Et_6B_049311 transcript:Et_6B_049311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPPQPPQSAFDDYYDDDGIDWEAAVLEIDRALRSASAPAPAPVQQQLPPRPPETPATAPVRKPTAVGGGGARQSTLDRFVNPSTTGQREREKPALAPVSAPVAAQAGGSGHRGARAGEGCSRPAGEKVLEDRFMESFSLRREKEKAASPPAPSVTPARGWGRRPGGRAGKGGPRRVVEEVSYEPCAVALDHEAVQTWIYPTNVEVREYQKYMVEKALFTNTLIALPTGLGKTFIAAVVMYNYFRWFPEGKIIFTAPSRPLVTQQIEACHNTVGIPQEWTIDMKGNNTSPSSRAQHWKSKRVFFVTPQVLANDIRSGICMVKQIVCLVIDEAHRASGNFAYCTALVAAHVPLRILALTATPGSKHPKIQSVINNLCISDLVYCDEEDSEVSRYINPRKVQLVTVPFGSDATEIDNVLLDIMRPRLNRLRDAGVIDHRDYANWTPFGILQCKEKFLVAPPSNIRDEERGEILKYFIALGSICHIRKLLLSHGIKQAYQFLEEKLKKGSLSLMRKDELFCQLKDKMKRMSSQGSTLKIQELIKAVGVHFKNTDSKESRVIIFSHFRGSVKEIYLSLQAIDDRLIRPVEFIGQSAAGKRMKGQTQKMQQAILQKFRSGEYNVLVATSIGEEGLDIIEVDLVICFDANVSPLRMIQRMGRTGRKHEGQGQEVKGYSKKQGDTRTMRNLLRNSERFEYHASPRMVPHIFKPEIKCVKLTIEKYTPCLKKIKVAVNSGPPILSKMSEEDGQLIARYFSAHREEIWRPSLVAFPRFQLSPSVVHKVPHSFRTTDMLIDAMQQLQDVSFFRTKCESPFEEPADIAAVKDQSHEGLGLNGISGNKEAMSQECDYLEASSREIVWDRNVPSSPVKTYPIHSFFSGDYVTVDRGGNVSITFVPVLCKISTCHKDTKNASTCLSIQKMDVAYKSAAEFIKPTVEFNCPAYLTDSGKHMLKNSVSNLAPHSCERAGQGDYVLTTPSKMLTSPRDKWDTPCSSRLASSAVSGPEDKELSPRLTHYMEEGIVPDSPVLDVSHLQELGGAVDVGPVSKIGSSEPFGEGIQANADGCQKGPLWQEKSDEWLSGNTEPAVSSRHGVLEQTRAQAEEPVCPSNVRIRTPTTHTSTNLLCDSFSNDCHLKSGVDTSGSVQQAPKYRRLCKYGDKIKRVSSISLDGFHDGFGGSTSKNVPNQMEHGIGNKGKAKRRLDTYIDEEVEVSQDADISEDEDDEQSEDKYEDSFIDDQATPTGEFTQPEQGKEINGDMMGFYRQSLLTQTPVVLPSRYLDASDSSSRTRNASCSSDTRHNSPQSEIAIDNCESSSKTDLRKRKLSFEQSASIPVINLEPETAQQPSSHLATGVSDDYFLDDAFFEGLDLDAIEAQATEQWRQKTAQSKPVEPKKASEISFAPPSFDLGF >Et_4A_034455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3294943:3296313:-1 gene:Et_4A_034455 transcript:Et_4A_034455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCIQVRNSPSFMPSFSYYSICISDFCEKCNSVLMCWTRRDTTQIRGGCIKIKNRNGFGSECFFVAIGHHMRSEDAFVWSREKINPRDLFTFSYKFSSDIPMSETHGASIDEYLLNRTRIVGAVFPDQRKRRKLNDEEWSVQLLPIQFLFLSASPVIVMRFVSKSGGKEYPPNVPVQATSLLLMEVTDYKLRGLDSNAMPSHLALTVRGMLYPQREGRRSLRGHVEMSVGFNLPPVLALVPESIIRGVGETVLRQLAEQMKQDFDTGLAADFKKYSREKLTERRTTP >Et_1A_008740.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:11085168:11086040:-1 gene:Et_1A_008740 transcript:Et_1A_008740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSRALEVFRALGALLPAVPRAERKVPFRQRALYTGVCVSVFLGCSHLRLYGVSYAAGSGADSLSLYRLRSLLASTRGTLMDLGVEPVLTAGTMMQLLHVDREEDLGAARKALAMAIAIWEAAAQVLLGMYGSVGVLNGAIIAAQLFSGSLVVVFLDELFHKGYGLPGASAISLLLAANTCGKVVWQVFSPVIMVTGRGAQELEGIVPAVAQAAGDKKLLLATLFRRHLPNALNLLGTCLVLLAAVFLEGFRVLLPMRRGNPNLLYRNRLRNFISIGNPNLLYRRSSF >Et_5A_042313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8614657:8626957:-1 gene:Et_5A_042313 transcript:Et_5A_042313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLIRDASLGKINSREQLENFEEVLRLWRIEDAGLEFSLYDISQIANATDNFSPNNILGEGGFGPVYKGVFPDGQVVAIKRLSARSRQGLVEFKNEIQVIAKLQHKNLVKLLGCCIHEEEKMLIYEYLTNKSLDHFIFGIYVPVDPIRKASLKWKTRIRIIEGIAQGLLYLHNHSRLRIIHRDLKASNILLDSELNPKISDFGMARIFPSDATQARASRIVGTYGYMAPEYASDGLLSIKSDVFSFGVLLLEIITGKRSSGFQHHGEFYNLLEYAWGLWKDRRWNEFFDQSSGGEYEPRELKKCLTVALMCVQEKATYRPTMPDVVAMLNSDGITLPEPGQPAYTYMTLDVSVNIIALCSRNDITITTANGRYAYKSLAGLLIACAVELLSATCTAGIDGDKVYGVVLCRGDSKGPECHQRLIKTSSQIGTARLEGSVGRSHFGENTTDVLVGIGGFLLVISISCLAIHIWIKTQRQREQAILKLRQLSVVIQSVINLWRMEGGNLEFSQYDYSQLKEATDNFSAHNKLGQGGFGLVYKGRLRNGLKIAVKRLENCSLQGLLEFQSESQLIAKLQHKNLVKLLDNVKGQQLNWSKRLHIINGIAQGLLYLHNYSRLCVVHRDLKASNILLDSEMNPKISDFGMARIFGSNMTESYTTRIVGTHGYIPPEYAVHGICSIKSDVFSFGVLVLEIISSKRTAHFYEYNGILYNLISYAWKLWSDGRWGEVIYSPPDIEHQEIERCIHVALLCVQERAEHRPDMERVVTMLNNKDVSLPRPTQPAYFHVNPSEEEVSSCSITMTPMSHIMLLVSLLLLRSSPKMFAEGQSLCSNANILCMPSSTYMSNVTSFARLLISKVRDSQFHSATGTVEMGPDKVYGAVLCRGDTAPGNDCANRLGEVLDAAINNSAGSSCSSQKSISLFQDGYQAQMTFYDQNFTSGFSNALDCIVRANLNPPPVSDADSEQFDHLVSKLMIKLTDTMASKTARYLTGQGWLTETRQTVYGLVQCTEDMSKEHCQDCLNGIITNRTQMVGYGQLGGAILGMRCSLWYQTDVKFFNGEPMWSVNMPTSSKFWIWVSIGSFSVIVSVSWLLIHIWIKTERKREKARYELQLLTMAIQNVINLWRIEEGNSGFSLYAFSQIKEATGNFSSENKLGQGGFGTGLLPGGLEVAVKRLASCSVQGLMEFKNEIQLIAKLQHKNLVKLLGCWGSRKDACLRIYAKQKLGHSKKRDKLNWPMCLHIIDGIAQGIVYLHKHSRLCVVHRDLKASNVLLDSDMTPKISDFGIARIFNSNMTEANTTRIVGTHGYISPEYAFDGVCSVKSDVFSFGVLVLEIISGKRTAGFYPYDGKLYNLISYAWKLWIVGEWRQLVCFHIGSEHQEIERYIQVALLCVQDRAEDRPVMDHVITMLSSENVNLPKPKQPAYFYVRCSEPEISSNDMSITITLARAGERDRAFTCGGGGGAVRCGTSQRATILAHAATPFGSFAPSAGG >Et_7A_051279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16391109:16398964:-1 gene:Et_7A_051279 transcript:Et_7A_051279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFYFSSTYSTKECKEEKEELAGERRVWLSSARAMADASLTSSMALSSGDGAGALGSGGASASASSMALSSGDGAGLLGKGMDPEKEHMFDKVVTPSDVGNRLVIPKQYAEKYFPLDAAANDKGLLLSFEDAGGKQWRFRYSYWNSSQSYVMTKGWSRFVKEKHLVAGDTVSFYRGAGEDARDRLFIDWKHHHRLGHGLDFRKVNAAAPARQVLLSGSAVRLPGLTPPGPPLTAASAKRLRLFGIEVDNYGESSHDPNELWLRMQRWQTPSDEYSFHFDSPTSSPERVRLRQERNQILNHGSASTDGHGKAAERWSAKRIAPRRFSKLEAWTQDQQSDMFELTKVSKRQRIASNQLCDIAPWGKLKKYLIAIKFIAIMKAGVKIYQAGVRGHQRQKHYSTHGTSATDELATPKGIGLEAFCEPEAWSRDVETDGYEVTKVARRERLLKNVQSPTFNKVEEIHSTLHEDVKAWINMKKQYGGDLHHTCVQVGSKVLGRNDIKQLITENILLANNGGNKCTVICINAGSGHGKTSLLRVLYNDQQLINAFDKRIWIQMSDKLDISMLFRKIIEFATNDHCSITNLRYLQQMVEEEITDKIFILFLDDADIEDRQFWDSVLKVLNAYASGSVVVMATRTTTVATLSGLATHSYSLNPLSEENNLMLIQQYDTVGTDIQSNPDLAIVANRFISRFGGNLLKLKAIGGLLCHTDTTSLGNVKLEGSAMPLQLCHDVLPIHLKKCLALCSLFPEGYIFDRHQIILLWISLGCIRPVEGCELEDVAAEYFTELLCRSFFQHSPFHNDNDEKFVIHELIYKVVKSVSRNRYFKYEGLMDSVPENILHLSLVSSQIQRVQLMLRTEKLKDLQTFLVLQPEGQQYNISVPTLNLVGLDDFFLKFTSLETLDLSHTDIEELPGSIVSMRNLQYLSVTNTSIRALPSELCILRNLQTLKAKDCRFLTELPGDMKNLLKLRHLDVTKELGHVHLPHGIGHLTELRTLPVFHASGDSSHCCITDLGSLHNLRGCLRLSGLDSVKTSSKAQEINLRSKRHLKDLTLQWHDGGADIDDDDLNDEVENVAEQVLEGLQPHNNLQELAIRGYEGSAFPAWMQSSSSLLNLVSLTLDSCCNCTQFPAIDQLPSLKFLSIRKMYYVQQLCSDINGGTKFPSLELLNLWEMYGLEELFVASEGDCPRLRKICISRCPDLKLLPSIPSVKELVLHCGLQFPDIPELGSLASLKIESFHGVKSFSLTPPALPVLKKLEIKSCNELLSVDGLEALTTVQRLKIAGCPKLVLPRISSLAS >Et_1B_010717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13343913:13347606:1 gene:Et_1B_010717 transcript:Et_1B_010717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASAWAKPGSWALAAEEQDDLPPPPPPVPAADFPDLATAATTKVPKKKKAQPVSLSDFNAGKFVAPGTRRGAADEGPLVLPTAPRERSEEELANARYGRWGASDRPARGSDEPRRGGSEDYGPSRADEADDWGATKKPTERRERMGGFGGDMSASRADEVDDWGATKRSAPAPFPERRERVGFGGDSHSRADDSASWVSNKSYSAPSPAPADGRRGGSVWGFSRDGGPDSDSWNRRREEVSNGGGSSVARPRLNLQKRTLPLANNDTDGEKKEEKEEEKGEEWPRSRSSNPFGAARPREEVLAAKGEDWRKEEPKVEKLEIQPKPRSFDPFGKARPREDVLAEKGEDWRKIDEKLEAMKVRDAPPERKSFGRRGSPVAREENGNAQELESRADRAWKKPDAAVEAAKEPEQGCPMILSLQALCIQHTATGMTDLFIVPKPKYKWQCNPRGIATNQIALASHKFHCGHSCWAKKVLLSITSTERCLGRETIEKLHVILKFLLMPDLDD >Et_3A_025277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28451401:28456161:-1 gene:Et_3A_025277 transcript:Et_3A_025277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ADNNNFSGSSIPAEYSNIRTLLKLSLRNCSLQGDVPDMSGIPEFSYLDLSWNQLNGSIPTNRLASNVTTIDLSHNFLNGTIPLSFSGLPNLQFLSVEGNRLDGAVPPNMWSNITFTGNRTLVLNFQNNSLATIPAEFEPPEAVTVLLYGNPVCTTSNAARAANLCQPTPVHEFTSGKGPQPSTICAGCPTDRNYEYNPSSPIPCFCAVPLGVGFRLKSPGISDFRPYKEAFDLDLTSLLDLRVYQLYVERYIWETGPRLNMHLKLFPSNTSLFNTSEVVRLRQLLAGWQITLSDVFGPYELLNFTLGSYEDDFSTTVSSGLKKSALAGILAGAIVTAIAVSVVATIFIMKKRTKRRTISRRSLLSRFSIKVDGVKCFTFDEMAAATSDFTAQIGQGGYGKVYKGNLADGAAVAIKRAHEDSLQGSKEFCTEIELLSRLHHRNLVSLIGYCDEEDEQMLVYEFMPNGTLRDHLSAKNERPMSFSRRLYIALGASKGILYLHTEANPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDIEGTMPAHISTVVKGTPGYLDPEYFLTHKLTERSDVYSLGVVFLELLTGMKPIQHGRNIVREVNTAYQSGDISQIIDSRMPSYPPECATRFLALAIKCCRDETEARPYMADIVRELETILGMLPEGEDSVSETTEMGSGALTKSMSSSTTTGALYVSSHTSSIAHTNSGIPSGTVAPR >Et_8A_056500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10997934:10998768:1 gene:Et_8A_056500 transcript:Et_8A_056500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IRKARMNGHTFGFNPFYSKKAYLQIDLTLVTFSKENLKFCPPIPVFSAIWPPMKRCYTCSFNARSVNGVGDFSISLEMLLEARQQFGSRILREIMMMALRGVLSLSQRKRCFKEQMKLVVLKAKASVN >Et_2A_017937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7988462:7990689:-1 gene:Et_2A_017937 transcript:Et_2A_017937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TYESFSLIITKQTVIVLSLQPPHLFLAFDCSTCAWNVLATDETEPYVPIDERGVYVEEDDTIYALCGSTVYAYKLCHDQEQNQYRMAPPVFVEQISPFQGKGSGILTHLGARIMCLVCVPFHQCELRCCDCDALHVLITTFRVKNNHGSSRELFVPRGVRILHSTCRRVDIVPSESSSADDWIFRFLQEYEELSNDSASHSAKQESEQAMPSSMLLEGMEVSATYNVEESSKLLTCCRNFLNNMPFKKAIMFDFEGSAIQTEIALYIICQTPRSAVYEVRIVNGRLTCHDKTLKPHCVMDTFVCDEEHDLMERPLAWHFVCDSRFIYAVPYKKGELHVCGLDVINHDILHTRRPADDDFPISLVLRVGRRIIAISDTLQVFLFKSDTHERERIHAFESAVSEMKVNLSGYVVLSEESFMISEAETSCCYLFDLNCDTWSIVKPYAEVKISSPDHLCFFGTGFFRRRSVFSEGFIYSCANGGLAAYEIIDLESSYCLGSRLNLRIPWPRIWEGNNMCLDYIGKDIKSGAIMFCVLQDNKCYACPGALSRDPVSISTIQVKTEKMPDGKWKPMMLVYSSSSQMEATKSGPAVVLLLRADWDALFCI >Et_4B_038314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28037104:28046122:1 gene:Et_4B_038314 transcript:Et_4B_038314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSGASLKPQSVLCCLAHILNWNASTGLGIRLFHFVIQAAFAANEFVFHSRFAAEIFHDLHEDVMATASRGHGLMLRLQQLEAEFPAAEKAIISQTDHSNYPHDEGLFFRFDVAGAGASLKRYSDPSFFKIEHASNMIESDDIMEKKPRRIKKKAMRWRKGVTLEALLVANSETKTTSKDRASRKVPPRTTKLKSRRPRSPDYKTISRICREHLQEVISSQQKIMSSYSARHYHVKFGSTGSSETTSPLGELNNFGARQSTGKLELSKVVPINESDAVDTTSAPNSKQFFGKQHEPTELKDVSKMSPVEQNGMICDSENLQDCPDLLVGEKKHSLQSAHEGKLLLAASRAEQDADGCRSDDIASDQDNFVDAPNSMDSEGVIDPEMKVDHDPSTTVEGNEFNHGSKEGEEDASEAKFLEVDHIIEPSAGLNVSCNVEEPTCLDLPLINDSAPSTVATTNGPNSSPSGRQLNGVDGPNDEEPFCDEDLMDVSSSSSVVSDNADQEAIDDSVGRQQYLDGAYQSLNAAVVHNLGQSPKTSGDLDGNSFLLQRLCSFNCCLDWSGLAVSSNDCADNCHSVNHGENFVLDGSSMSTGGTNDVSEDEEEINVGVPDENVVSPSPAGLDPDDTHKHLDGIAVKHPNMRNNLLYESNDDEVVEDVLSLPDDDLSTPFNMHVAEDHQVVVLDEGACSDSLDTQKEDSVQSSAMAKDFAYAQELPGVIQGESPSRYDTEVYEGETLESSSYVFNDDTEPLNIGQPRASSTSSLRETTSPCVEQLELTEMEDTREFGKVAATEKSTIRRFADGVLPPEEHTDAAKYEGETPAPSSSVFNDDTEPLNIGEPLAPSTSSLRETTSLCVEQLELTEMEDTRKCGKVVDTEESTITRFADGVPPPEEDTDAAKYERETPASSSCVFNDDTEPLNISVPLAPSTSSLRETTNACVEHALTEMEYKLDCGKAVVTEESTNSSFADGVVPTEEITDAAENSDRAEVLATDEDSRHDMQLPSSYPFREELETVEASCRTLGVLDESRECISKISMVQTGSTPFNEIETTSGKCSDDDAVHFLSSVHFPEESHCEEQLLEEASFSAEVLPGCNLDKDGAVSPKNNAVEKQPANVDQDLVWVVSQDSSSNTVNKQRTNEDQDFKWDLSAQDSSSTNPFMDPAYMTSHAQIYPSSSMSYPPCISEEQDFLSELLTEHDNMGAGTDSLWEPATPPNEAPLPSEVMAEEDFRSFCDEYHEMNFIADTDGCYGEPASDSSNISNASLVSESDWACSVSAQAVKLDQEGSSGFHFTSQRAECSSIMDTHADTSIPFSVKKHLEDETPEVASHLNSRDSFSDNRSPELDTLSVPMGLQEQQHNPCGVDSHSSSHLFDNEKTDEECCSPSSKVVAVKEELEVNANLVSHSFTDENLDELDVSLSNALPVELEAGAHVLDEHNNKDGPFCVFSEFDSRNNPPSTMDDDKDNPEASVLRTFQAEQSSECFPSDDHDTQTALSSSLDEKVDELDGHPLSNAVLLDEVPEICVPCGLDSGIIPGSTDEKIYGHDRAPLSSSVLVEFESEDHVLSDRDSQVTPCYFVKDKIDESEAAQQNSLSLDPPSNVPFQNDSFEDLQKPPPLPPLQWRLGRPRLGLINTKGGMPESAGRTYPILQASNQDMDTGLGLLDRTNRSVEPVPSQGLKEDTDKSSMIDGSDQNIEFRSLSTSVAETGIARQFSEASDNIKQQEHMSSSAIRIDEHPDDNTGISKTRVEEHLDNSDVTHGTALYPTIDPLLLSPTYEQPDPHVHILSSVTSEKSEHPIPIHTHPDDQNAAVDMVSTSTEGHISASRCYQQVEHRELLSENLEHNEHSEDSSVKHLSFNGEAPSDTATPSAPDLPLDEQNSQESQTSQEQNLESSEDSQLGGSLPSAESMATQDCPNDRHNLEREKINQPSGPSLGVSGLDEGSYAHAEQPPVMGWTVGPQMLHPNYGVLIEERPYMPNISDNHLIRKPISIKNIPRNPLVDAVAAHDRSSMRKVSELPPPVDKPKPNERNLLLEQIRNKTFNLKPASSMPIAIRSPARADTRNLKVAAIIEKANAIRQVVSLLLTSTFYFFLHDFKFCLGLVPCLLIYYLFCVEQAVGSDDEDGDNWSD >Et_1A_006144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18500600:18504429:1 gene:Et_1A_006144 transcript:Et_1A_006144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPMNSAAQPGANFPVQHRSFNLDIKGNRTDIVINKYDDTFLVIVTQIGCMGTIVAAKKEESVFSDPTFNVSVLFGKRDEPLLLACARQLIEHIRSANLWFLSTSLNVISYDCLLLSAVTENFMQSVTEGCMPDHVTYLLVLFGCSDIGSGSARSLLISLGLKDHSQAYSSSSIGGSSCCSGWGGASSIVSSRPADCAGGGGGDDMAGTASCSGAGLDAAGVGNWAKEPCVVGACCSPGFTAGGGAMNLT >Et_1A_009038.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:26654353:26655306:-1 gene:Et_1A_009038 transcript:Et_1A_009038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSDQARDDVVSSPDLPPLAAPVAAAAAAAAAAAASSMGGALGGGSGRRLPPPCWTHEETLALIEAYRDRWEALRKGNLRAADWDDVANAVTARCGRFPTATPKSGVQCRHKIEKLRKRYRAERSRSAGRSKGPKWPFYPLLHDLAGNGAPDPSPNAIVKIKTKGPGPPESPPSPVSSPSASEDEAVRSRSLHGLISNGGSGSGLRFTIPKASRTRPSAPTAAARHEKSSNEDDAESEAMSEVAAALRAVGEGFMRMEERRLELSLQLEKERMESEMKRTQTMLDAQQLFLEAFLGKQQLPHKRARLSPAMEED >Et_4B_039353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11081286:11082412:-1 gene:Et_4B_039353 transcript:Et_4B_039353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRERKKAAAALQEKLKILRSITHSHALSDTSIIMDASEYIKELKQKVVRLNQEIACAQNALRQASYPTVTVETLGGHGGFLINVFSDKSCPGLLVSVLEAFDELGLSVLEATASCAESFRLEAVGGENVAENVNEHVVKQAVLQAIRNCSESSSEHDEE >Et_8A_056959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19512100:19514969:1 gene:Et_8A_056959 transcript:Et_8A_056959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPAVIGLSAGKRLLTTSFGPADLAPPDALQFAPAGAPKLTVVAHRASSSSHSPHVGACPAGHARAHAVRALRNHSAPALAPPPPPPPAADHHAAAPAPLDSAAEFESSLEAIVLLQRSMLEKQWELPFEDELGFADDWDDGGGVDEEDDDQKQQRAKASSVVVARSGVSARQRRMSGRRRSGRRSVSISPELLQSRNRIYLRGTVSKELLTHKQVVQLSKKIKDGIWLQHQRSKLKEKLGNEPSYKQLAQSLRISAPELRARMRESFLAREMLTMSNLRLVISIAQKYDNLGVELADLIQGGLIGLLRGIEKFDASRGFRISTYVYWWIRQGVSRALAENSKTFRLPTYLHERLIAIRGAKHALEDQGIAPTVENIADSLNISARKVNNATEAVNKVYSLDQQAFPSLNGLPGETLHSYIEDQNVANDPWHGFEEVYLKEEVNKLINSTLNERERDIIRLYHGIGKQCHTWEDISRQFGLSRERVRQVGLVAMEKLKHAARRKQLDALLKNS >Et_5A_040186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20432818:20433220:1 gene:Et_5A_040186 transcript:Et_5A_040186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIRCIFVATHLVIERTCTDRGREKKVIDTYMPAYSGTEMVMIVVAAYTLPPIPSGSGRTIWLLLAVIVVLLRRRGGLQVDVDVVPAAVVHGGQPKVGGLGRRPCCC >Et_3A_024564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22018149:22020307:-1 gene:Et_3A_024564 transcript:Et_3A_024564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGDFRLPPFFNYPPYFTLQPVRETREKQVQLWKDLILEYCRSQKIHVISLEEDFPLFSNPKIERSLSHEAKEVFLAALVSEGRAEWMDKGHKKCLILWLRIQDWANFIFNYVKDNGLEVMTIEEIRSGIDTRGTELEGIDRGVLMRALRLLEQKGKAAIFKGTSADDEGVKFSI >Et_5B_044391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23770900:23771446:1 gene:Et_5B_044391 transcript:Et_5B_044391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHRRYTARFLGLLSLFDPSRHDSAETIRRALDQLVSILKVCYESYDIILMIGFVVSSCFNFVIKYQVKIRSEHYAS >Et_9B_064117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11370157:11373613:-1 gene:Et_9B_064117 transcript:Et_9B_064117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREDEAVDAMEIDEQRQLVEAPAAVPEGFNADYLRVYYGKLFPYGDFFKWLSYGNDGKHPGCDQSYVGHREFSFTLENDIYLRFQSFDSATELESSIKEKCPFKIDIGPVYSVDPSKRHAYAQSGNNVFVPVERELIFDIDISDYDDVRYCCSGADMILVSITYSGYTVVAVVSIAGLSNEQRAAIADYFRVYKGGENTSKKISLTGPVLHPFLARSYTDVLKSFFEDKLLQSQQLFGSEKRCQKILDLIPDENVASELHDKWQGNMRSSISKEDVNVGRWEQLKTTLQSGKNKGQGLRRCVEEIVFSYTYPRLDMEVSKHMNHLLKAPFCIHPKTGRVCVPIDPNDCDDFDPTSVPTLSQLLGELNAAGFQTDSENSWERTSLEKSIRFFRTSFLQPLLKACKEELESAYNAKLQQSKNSLNW >Et_9B_065006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20444743:20446709:1 gene:Et_9B_065006 transcript:Et_9B_065006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLTATPSATIRLGAPHPYLRTHGTKVARLHLFDWIVLALLIAIDVGLNLIEPFHRFVGEDMMSSLRYPLKDNTVPVWAVPIYAVIGPIIIFIAIYMKRRNVYDLHHAILGLLFSVLITGVLTDAIKDGVGRPRPNFFWRCFPDGVGNYDNITRGVICHGDPSVIKEGHKSFPRSFAGLGFLSWYLAGKIKAFDRGGHVAKLCIVILPLLLAAMVAVSRVDDYWHHWQDVFAGGILGLVVASFCYLQFFPPPSGEQGFWPHAYLVHILSPEGENRAQSTTNSNHHQSLSERPVAMEMITTSQALDSMETGDRPR >Et_6A_046327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12947457:12948169:1 gene:Et_6A_046327 transcript:Et_6A_046327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSNTSQQVKRSDYRVILTETTQKLPKRVPNFSRTSKFDQSTAKLKFLRVFTLKSSSYIEHIATSEKERSPGHFDRNNTEITETGPELLQNSPKWVPNSSRTAPFDQSAAKLTFLKVFALEPSSDIKQIVTSEKERSPCRFDKNNTEISQTGPELLQNSPV >Et_3B_028042.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:4501521:4501694:1 gene:Et_3B_028042 transcript:Et_3B_028042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQRCRCSFVAHRLLVLLMSLLILAALLTGFLTGGNNHVARLASSNSMRSHCTPRG >Et_4B_036630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1000424:1004141:1 gene:Et_4B_036630 transcript:Et_4B_036630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRRPAKPEDAEAAATKAAKLRDLQTQVLHNHHSRTYTKEALGLSFKLLEINPEAYTAWNYRKLAFQHSLSELSEPEAIKSAVDDELRVVEVALRQNPKSYGAWYHRKWLLNQKLAPVDFKREFGLLDKLLKADARNFHGWNYRRFLARFIGVPDEEELKYTMDKIRDNFSNYSAWHNRSILLSNLLTQQSKGFESKQKIFSEEFELVTNALFTDPSDQSGWFYHLWLLAQTSTPENPQLIASWPCNGAKLYLSLEGIVPVVLYFDEPVKGINPSNVKLESDLVFGKDIHWKPLSVTDFGYSHCWVTHLELTNECSGSKQFSVEVSIPCSEDIVSRSGSRHNCPVHFTFSVELVNHADTAEDINLFHDPFSWNCSEPFQSHGNNSIPFDHLKVTSTLVQDDSKCHFERLSEEIELFRDLPDDNSKFVKLTLARLLLACAAIKSRGRSLVERKGYCEEALGFFSALIHLDPSHKRYYEDERSLVLMDQLTCDAETFRKHFSVQVQANSAPLNHVQLRRLSLTCTSFVERLLWVQVLDLSHNSLRSVEGLEALQQLLCLNISNNKISNFTALEPLTKTTSLKVLDLSFNEIGAHSIDTARYICSSPFSHEVEACEAFEECRKKNINVEEYWDAILFFKSMNLAQLDVQGNVIASKESFRTLVTTLIPSLKWLDGECVH >Et_7B_055813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5235833:5244514:1 gene:Et_7B_055813 transcript:Et_7B_055813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSRSRPFPKLSSSAPSHLVPLDISSSWCCTPHGLGGHAPSSSTSSSPARAGSDAMDPPPHQPPASSSSASAYATPPQPSSYAAPPPSYPASYTKFNSALNAGLLNPMSPPPLPLDKTRSSPTLFDMMANEQDYHPRTAASVHSIPAPPPPHQQQQHHPLQPARSMDRQVLLQDRIADLIGSCSPGNQFNDADSSDVRLTLTSRDGLSVTLCVHRHILVAHSRFFAAKLSDRWSKQQRTLPHIVEISDCDDVEVYAETLRLMYCKDLRRRLMREDVNKVLGILKVSAAIVFDAGVLSCLEYLEAAPWAEDDDEKVAALLTQLHLENSGAGEVLKRVSLELAPSAAVEEAELAGNGSAGGGGGEEVLLRLLQVVLEGKDEKARREMKGLVSKMLRENSASRGGAIGGDLRKESLYSACDGCFNLLREQFERVAGGDKSEVAQIARQADNLHWMLDILVERQIAEEFLRTWAMQTELAEMHGKVPAIHRYEVSRVTARLFVGVGKGQILVSKEARCQLLSTWLEPFYEDFGWMRRACKGLDRHLIEDGLANTILTLPLPMQQEILLAWFNRFLNSGEDCPNIQRGFEHCRSGWEFKPTIILRGQFLCNLKDACAWQGPGRVNLRMSFNFETKLVEIPCNYGIATGATPRPVAQSICMQWRDYWFLIKFMEKG >Et_5B_044416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23957101:23964862:-1 gene:Et_5B_044416 transcript:Et_5B_044416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHFSNSYYYGGDAVSHNTYDYAQEEQHGVVPPAAVAAGCNNGSYYSNNSSAAYDDHHHLTYFGSSTEPAWASSNSSQQLHFGGDQYYYSCQEEEIGVDQVSALMDAASISNKPMNSASLIGVRTRPWGKYAAEIRDSTRNGERVWIGTFDTPEEAALAYDQAAYSMRGDAAVLNYPVEHVQESLRALRLSCAAGDSPVLALKQRHCIRKRTPKNKINKDSASSSESSGQTTTTSQGRHGKKKQQQAASSSSYVLELEDLGADYLEELLALSGGGTGAKGGGGGSWSSTAEVVELGTDGHYGHFPWAFNSYYYDGNAAAFQQPSSVHHSMEYGGGYNHQSSHAAACWVSPPSSSSPSFYYQQQQLHFGSGDIDDYYYSCQQEAAMDQFSAAASISRSGSGSSSGDSTTYAPAACWTTADPFTVSHSSSTATMHAGDIQDAGDAPLIGVRKRPWGKYAAEIRDSTRNGERVWIGTFDTPEAAALAYDQAAYSMRGDAAVLNFSVEHVQESLRALGLGSGAGDSPVLALKRRHCIRKRTPKNKAAISEEQTATTSHHQKYGHPSKQKQQAPSSSSSSSSCVLELEDLGPDYLEELLALSYQ >Et_1A_006534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2485286:2486808:1 gene:Et_1A_006534 transcript:Et_1A_006534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAYTETALQNPFPPTSESAHSIRLSAPPPLRAQSQHVTTATTKPSKSTRPRDAPFILSRASSALANNIGCDRSRHAVIAKMMMMSNRAGGGATPGRHPFTATQWQELEHQALIYKCLASGKPIPSYLMPPLRRILDSALATSPSLAFPPQPSLGWGCYGMGFGRKADEDPEPGRCRRTDGKKWRCSKEAYPDSKYCEKHMHRGKNRSRKPVEMSLATPAPSSASAATTGASSPAPSYHHRPAPAAAAAHDAAPYSALQYGGGSPYAASAGRPAAAAYHAQASPFHLHFDTTHPHPPASYYSVGERDYAYGHAANNKEVGEHAFFSDGGAATERDRHAAGQWQFKQLGGMEQKQNATSLFPDAGGYGNGTASAYAVDMANKEDEEEERRRQQQQHCFVLGADLRLERPSSHEVTAAQKPLRPFFDEWPHEKSSKGSWMGLGGETQLSMSIPMAADLPVTSRYRNGLVTALTVCLH >Et_1A_004507.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:11030423:11030875:-1 gene:Et_1A_004507 transcript:Et_1A_004507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FIPLETNTPLAIELSDKKKLVASFWGHVHDIVYHRGFNFTSARCKLRLLTPTFLPCVNVGDEPQKASFEAEEKDLESDGALWALYQRWCKFHNEERDPDEMARRFDEFKRTAHYVHKVNNSNLSYKLGLTKFSDRKLAEMCLLPPGAWRY >Et_1B_011685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2568452:2570749:1 gene:Et_1B_011685 transcript:Et_1B_011685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGKVFSNAKPYLAMILLQVGFAGMYVVAVASLKRGMSHFVLVVYRNLVATAVMAPFALWFERSVRPKLTFTIFLKIMGLALLEPVLDQNLYYMGANLTSAGFASALINILPAVTFVMALILRMEKVRLRSKHSQAKIVGTLCTVAGAVLMILYHGPAVQFPWTKGQHHDAAGAAGQGGGDFLKGTITIIVACVCWSGFFVLQSNTLQSYPAELSLTALICGMGSLMSGAVALVAERGNTKAWLIGFDTRLFTAVYAGIVCSGVAYYVQGLVSRQRGPVFVTAFNPLCMIVTAIMGSIILKEEINLGSVIGAAIIVVGLYALIWGKSKDNVSPAADVSTGGSKGAANQLPITLAAQPNGNGKHELGNGRGHVFDVETPATNGHY >Et_6B_050110.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6406915:6407139:1 gene:Et_6B_050110 transcript:Et_6B_050110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFNAVFTAGPHNGSTVAVVSSRDLVTDEVRELPVIGGTGVFRGATGYGLLRTHSVNFTSGNAVLKVDMYLRF >Et_1B_013764.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:15727881:15729977:-1 gene:Et_1B_013764 transcript:Et_1B_013764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARLPCFLALLLFHLHGLSQVAPAVAAGDSQFVYNGFAGARLDLDGMALVDPDGKLVLTNVTSQLKGHAFHPVALRFHGGAAAGNGTTARRSFSTTFVFAIVSEYVTVSGNGLAFFVAPSKNLSSASPSQFLGLFNNENNGNASNHIFAVELDTILNPEFRDIDSNHVGVDVNGLESLAAQPAGYFPDGDDGAKGAAASFRNLSLFSGDAMQVWVDYDGPGPALNVTLAPAGTPRPKRPLISVAVDLSPVLAGNDTAYVGLSSSTGPYRTRHYVLGWSFALDGAAPALDYARLPKMPRVATKKRSNALEVVLPVALPLAALALVAGASFFAWRRFRYAERREDWETEFGPHRFAYKDLFHATDGFDGKHLLGVGGFGRVYKGVLPKSKTEVAVKIVSHDARQQGMKQFVAEVASIGRLRHRNVVQLLGYCRRKGDLLLVYDYMPNGSLDRWLYDRYPTTPPLSWAQRLRAIRGVASGLLYLHEDWEQVVVHRDVKASNVLLDAEMTARLGDFGLARLYDRAGATGGAQSTHVVGTMGYLAPELANTRRVTPATDVFAFGSFVLEVACGRRPIERDDGDEGRFVLVDWVLELWHRGALADAADPRLCGDYAVDEMELALRLGLLCTHPAPAARPTMRQVVQYLHGDAKMPDPEPSYHSFTVMAMMQNDGFDSYAMSYASSAATVTSAGAASSDFSGGR >Et_6A_046331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13041137:13041771:-1 gene:Et_6A_046331 transcript:Et_6A_046331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSRTGRIKSTKEEDELKESRLGFSSCSSAAYVGVFGGRELAHQKVHKEHSATASEKEATAVGDMVKTNDYGRYDPAPAFSKPRFKLIPN >Et_7B_054206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17077951:17079216:-1 gene:Et_7B_054206 transcript:Et_7B_054206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VNVDESYSEALGIGGWVFINKDHEGSVVGSGAWRIEHCSDAIEHCSDAMLAEVIAVIQSLSFANDVGMMRIELETDAINVKLALTSQGYMIYLQLWHVLVPGQETKCQITALPKKKKEGQIMARAHPHMVYDMMTNRGNQYVESSWMNGLPVPVVGVLPGSPELVREAHANHIVLAGEHGGHLAEAVHPDIRVEERVSEEAIAVVLVTAPPGLAHAADHRPERVHELERHDAGVVGLDAGERRGEVEPPEGLRDGERRVGGVGHQERGQVSRTQLNPMARTSPAMSARARLLSPSASIGSMWDGQLTHVSFTRRPLSSTIHRDDVDSGSAAARSSSWCQATARPTTSHAVNKSLMWVTRL >Et_6B_050015.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:3406010:3406735:-1 gene:Et_6B_050015 transcript:Et_6B_050015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATENHAVDSSCSAAAEHRRQRAYATLDAADPARGAAVRWCERAHSIHAETGDLTDARCLLRDAIVWGMHPPTIYRAWIAMEERHSGNARIVRALLREWRFRPERHGGGGFELDGAFWCRYIAFELAHGGAGESVRNVAEAALASCPRDAAVHAAYAKAELGMGYGERARHVVERACRVFLAAPEDAQAREMLMEEVKAYKKSLRRQGRLMTKLSPFRGCGRLWSRQARRGAYQRLGVE >Et_7A_052020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3864786:3866683:1 gene:Et_7A_052020 transcript:Et_7A_052020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKLEHPLEKRQNEANRIREKYPDRIPVIVEKASKSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKDTLPPTAALMSAIYEEHKDEDGFLYMTYSGENTFGLL >Et_6B_048489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:87177:90629:1 gene:Et_6B_048489 transcript:Et_6B_048489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAPPPLLLAAAAAVLVAVALKWFHAWRPKRRGAARLPPGSTGLPLIGETLRLISAYKTPNPEPFIDARVARHGGVFTTHVFGERTVFSADPAFNRLLLAAEGRAVDCSYPSSIATLLGAHSLLLTKGAAHKRLHSLTLTRLGRPASPPLLAHIDRLVLATMRQWEPAATVRLMDEAKKITFNLTVKQLVSIEPGPWTESLLREYVKLIDGFFSIPFPFASLLPFTAYGQALKARKKVAGALREVIRKRMDEKLQNGGADGEDEEKREKKDMVEELLEAEGGSFSEEEMVDFCLSLLVAGYETTSVLMTLAVKFLTETPAALAQLKEEHDNIRDTKGNKQPLEWGDYKSMPFTQCVINETLRLANLISGVFRRANTDIHFKDYTIPKGCKIFASFRAVHLNSEHYENARTFDPWRWQNKLQNAVGASLFTPFGGGPRLCPGYELARVVVSVFLHHLVTRFSWEEAEEDRLIIADLGYE >Et_4B_036418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23058879:23060897:1 gene:Et_4B_036418 transcript:Et_4B_036418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GKGKGPVIGIDLGTTHVDIIANDQGNRITPSWVAFTDDERLVGEAAKNQAALNPERTVFDIKRLIGRRFDDEEVQRDVKYLPYKVVNKGGKPYVEVPMKGGERRAFSPEEISAISAVVTVPAYFNDAQRQATKDAGTIAGLDVPRIINEPTAAAIAYGLDRKQGGEMMNVLVYDLGGGTFDVSVLSLDRGVFEVLATSGDTHLGGEDFDRRVMDHFIRLVKRKHGKDISKDGRALGKLRRECERAKRALSSQHQVRVEVEALFDGVDFSETLTRAKFEELNMDLFRKTLGPVKKAIADAKLKKPDIDEIVLVGGSTRIPKVQELLTEFFDGKEPTKGINPDEAVAYGAAVQGSIISGEGGAETKDILLLDVTPLTLGIETAGGVMTKLIPRNTRIPVKKSQVFTTYEDHQTTVTIRVFEGERSLTKDCRELGRFDLTGIAPAPRGVPQIEVTFEVDENGILHVTAKDKAAGTSKSITITNDKGRLSQEEIDRMVREAEEFAEEDRRVRERVDARNRLENYVYRMRSAVRDGGMGGKIGEEDREKMEAALAETLEWLEEQDGGRAAEKEDYEEKLKEVEQVCGPIIKQVYEKSGGGAGAAGEGDDDDVNEL >Et_8A_058228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:281927:284490:1 gene:Et_8A_058228 transcript:Et_8A_058228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHQRSASAPSSPRSNETSIEEQLQGLKAIVCSPSVTINAMVDGLTKLGSIQCQQRKVVKEELERSVQAKVQSYARLAKKAQKQFKKINSKASSDIEGFEVVNLLSEARDITLSILESTLDLLSKQFTTLSSSKWHLVSKAFQKKTVRQTIARLTPLQQFLFCYLLFLATTSTTTMAFHLRSASVPSSPCSNEIDVEEQLQSMRTTITSSSATIGTMCEGLRKLGEVYSNIGELMCLPSSQVAKQRKVVEQELECSLVLLDLCNAMQESFGELKESILDVQPALKRGDDATVHSKIQPCIRVSKKVQKQFKKVGKKSTAADKESSRN >Et_3A_023094.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27253454:27254560:1 gene:Et_3A_023094 transcript:Et_3A_023094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEYDELADEFVVESGPRRFRYGELAAATKHFAEDRKLGQGGFGAVYRGFLKELCLEVAIKRVSKGSTQGRKEYAAEVRIISQLRHRHLVRLVGWCHEHRGDFLLVYELMPNGSVDHHLYGKGVLLTWPMRYNIVLGLASAVLYLHEECAQCIVHRDIKPSNVMLDATFSAKLGDFGLAKLVEHGSQPYTTILAGTLGYLAPECVMTGKASRESDVYSFGVLALEIACGRRPAELNEEPSKARLVPWVWELYGKNALLEAADWRLKGEFDEKQMERLMVVGLWCAHPDYAHRPSVRQALNVLKFEAPLPVLPPQMPVPTYFPSPELVAPISVGGRSSTDDPGVSDYGSSGPHKDGKGSSVTDRLLEP >Et_3B_029532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25852345:25854632:1 gene:Et_3B_029532 transcript:Et_3B_029532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLLHSLAAGRRRLQFRATSQLYSAPSLPEATAFRSLVAATAPTKPWGESLPTALLFASRTISSTRMAPLPAGDAPGPASSDHKLIMPEGEFHKLADETIHDLLEKLEEYGDSVQMDGFDIDYGNQVLTLRLGDLGTYVVNKQAPNRQIWLSSPVRAQSDHENAELHLIQHPVPIQVPLCHHLGQLVVAEVAEPEPGRIPLQALKGYLACPRIHQQLEPLAELLHQTLQPELPSHRWQEVLKLHGGAYICTHSGRMAEDWRTKNPWPIVRGPWDAGLLRMRRMVFI >Et_4A_032873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1533499:1537735:1 gene:Et_4A_032873 transcript:Et_4A_032873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASADGLDQILKEAIDLENIPVEEVFHRLKCTEEGLSSDEAENRATIFGLNKLEEKKESKILKFLGFMWNPLSWVMEGAAVMAIVFTNGFGGPPDWQDFVGVVMLLLINSTISFIEENNAGGAAQALMANLAPKTKVLRDGRWSEEDAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGECLPVTKSPGSSVYSGSTCKLGEIEAVVIATGLHTFFGKAAHLVDSTNQVGHFQKVLKNIGNFCIITIAIGICVEVIVMYAIQRRGYRHGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSLQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDSEQVEIFAPGVDVNDVILFAARASRMENQDAIDTAMVGMLSDPKEAREGIEEVHFLPFNPVDKRTALTYIDLSDESWHRVSKGAPEQILDLCNCTENTKNMVQTEVPEKSKDSPGGPWQLVGLMPLHDPPRHDSAETIKQALHLGVNVKMITGDQLPIAKETGRRLGMGTNMYPSSALLGQHKDESTEMKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMILIIAVLNDGTIMTISKDRVKPSPHPDSWKLNEIFATGVVYGTYLAMMTVLFFWAIKSTDFFTRTFNVKSLSGNNDEMTSALYLQVSIISQALIFVTRSRSWSFTERPGYWLCGAFVAAQIIATLMAVYANWAFAHIRGIGWRWAGVIWLYSIITYFPLDLFKFAVRYVLSGKAWDNLLQNKTAFTTKKDFGREEREAQWATTQRSLHGLPTPQGDDMERGRRSGITYGASDIVMQASLRAELARAQEQQTLRGRMAVRARSKGLDLNEFRPPQYSL >Et_3B_029827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28299389:28302099:1 gene:Et_3B_029827 transcript:Et_3B_029827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTGFEMPSGALGVTSIDVVGGIPGEAMTARKSQTRSYGKPPAPLKAPWTHVEDSILKDMVEHHGEKNWTVIAQHLRGRAGKQCRERWINHLRPDLKDEDKMVIEAHRYYGNRWSMIAKHIPGRSENAIKNHWNATMRSLKAKRRQQKKKSEQVPPGRFSILEEYIRNMYQLVDAVPAAQPPLSTSPLQNLTYNGLLNPVVDTSTSYSIPTNPEMSFNAGNSVAGSSNPGMINLNMPPLDMNVIGDPQLQLHEYYLNYPMYVPAPAPLLQQATDHEEPQQAYSSLSMSSYIEYFALLRSETGHTGASSINPGTDGYYRDPGRGGGGPADKKGDIVELAPREFLTPSKNQVTLDFTRFKGKAGRYRRSSGWWVRFAARVAHTPLTCAV >Et_5B_043532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11539616:11543314:-1 gene:Et_5B_043532 transcript:Et_5B_043532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIDPGPRRAGFHRLLWARKQDLLAPPDPWRGRRRRARSPSRSCRATSCGGSWKKVLRFLQSVEQSSGTVHTSSGNMQVATGRYHTLLVHDSSVYSCGSSLCGVLGHGPDTTQCAAFSRVSFPSLSRVINISASHNHAAFVTESGEVFTCGDNSSLCCGHGEVGRTIFRPTQVEALKGIPCKQVATGLSFTVILTRDGQVYTCGSNTHGQLGHGDTIDRATPKMVALFKGSSQVVQVAAGASYTFAVTDDGTVHSFGSCTNFCLGHGDQHDELRPRAIQSFKRRNIHVVRVSAGDEHAVALDALGCVYTWGRGYCGALGHGDENDKTSPELIGSLKGQVAVQVCARKRKTFVLTDEGSVFAFGWMGFGSLGFLDRGSSDKVMRPRILESLRDHYVSQISTGLYHTVAVTNKGIVFGFGDNERAQLGQEYIRGCLKPTEIVFQKSMEDIAIAAPSG >Et_1A_007770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38046750:38050922:-1 gene:Et_1A_007770 transcript:Et_1A_007770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAGNGLSGTAQIKGSGDDGSAKPLPPCCVKAKAAAPESEAKCHATVVSGWFTEPRSRCGKASKVQYYNNPMWPGEAHSLKVEKILYQGKSPYQEVLVFESSTYGKVLVLDGIVQLTDKDECAYQEMITHLPLCSIPSPKKVLVIGGGDGGVLREICRHSSVESIDICEIDQLVIDVCKDFFPQLSVGFEDPRVHLHVGDAVEFLRNASEGTYDAIIVDSSDPIGPAQELVEKPFFETIARALRPGGVLCNQAESMWLHTHLIQDMLSICRETFKGSVHYAWTSVPTYPSGVIGFLLCAKGGPPVNFLTPINPIEKLEGATEAGREIRFYNSEMHRAAFVLPTFVKRELEACCGSTEREQPEETVSIAPKIQVAPNSEILTAS >Et_9B_063729.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:7114839:7115912:-1 gene:Et_9B_063729 transcript:Et_9B_063729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVKHAAACYFCADSGHSVREVYNKIISIAGDARRFYEDEAVAHFSNAEFAEMMFLDGCFLLEYIGGMKDHDPLLANSMVLSTGPCMLRDIMLLENQLPWLILETLMTFKPVAVSKFMVHVIRVDLLDGKGPAREDVIKQFKAPHFLGLARLYVTGNMPTGPEGEHSRVTEQSRGTAASLSAIRLAEMGVKVKAACKANLFIEMNVNRNGWICAELSLSPVCLNDFTTCWLVNMAAFETSIATGYPLDGFVISSYLSLLAMLMDKEEDVHELRARHIIRSFYSNQETLDFFKSIARHLRLGNRCFVVSKTIDDYKWEKRMWIKVHKPLYHHWGKIVTAISVVSVLVGIFKALLSPK >Et_8A_057148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21615309:21618717:1 gene:Et_8A_057148 transcript:Et_8A_057148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWYQVWRFGFKDMKGVPMPRLRASPRRRIWISVAIAFIIITVLWAYLYPPQDYTYPVRDWFPSEPTRELTDQETAARVVFRQILSTPPFISRNPKIAFMFLTPGQLPFERLWELFFKGHEGRYTIYVHASREKPEHVSPIFAGRDIHSEKVGWGMISMVDAERRLLAKALEDIDNQHFVLLSDSCVPLHNFDYVYDFLMGSRHSFLDCFHDPGPHGVFRYSKNMLPEVRETEFRKGSQWFSMKRQHAMVVIADSLYYTKFRHYCRPGMEEGRNCYADEHYLPTLFHMMDPAGIANWSVTYVDWSEGKWHPRSFRAKDVTYELLKNMTSIDASCHITSDEKKELLQRPCLWNGLKRPCYLFARKFYPESLNNLMNLFLNYTIF >Et_10B_004074.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:1156898:1157425:1 gene:Et_10B_004074 transcript:Et_10B_004074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQARVHVHEPPRRTLSASPAAAWEEDDGDGRRRRRSPSPVVEAPRREHRERQPRCGEVAGGTAAECAAVCCCFPCAVLELVVLAAVRVPAALCRRAVLTRRRRRRAAKARKKKMKGTGESVCAEGAENKAAEVKDWPVTRCADELAEAEKEVWASFQGAGFWRSPSQREVKK >Et_9B_064695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17765907:17769305:1 gene:Et_9B_064695 transcript:Et_9B_064695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAPRIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTYDITIRKGAKTVSSSHLKQCIHTYNVYDFLREVVSKVPDMGTADANADDKFGKRKAAEEGSEEELKRTRNESERHTSNGRGRGRGRGRGRRGGRGSWREFSTTHEKSNDQFSKPADLKLEVSDATEGEGTPMSSGRACLRNFDLNLDPADEDVEVAVPLQTQSTASTTSLSTVTGPSVHQLNEGATTAKPSAPRSIEGPKLKDFLGWQLPDMTKMTMDPIHFALTSNHTLEDDEDYDNEE >Et_2B_022621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2839489:2842682:1 gene:Et_2B_022621 transcript:Et_2B_022621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSAGSEVSVAAEMKKSVSHDPDAWEVANGDGGELEMRRRLFERFMATCDPGRPYLYEAPKVHTHPCVLYTMKLSVKNARASWSLRSSRRFSADRRGSFPIPLCRAAGPDGQVEPVVDRSGVPVKPDLPGIVSAVFENSYDLLELSREKGVVHKEGSTAPWREVAVIRRMPGGRGISLTSHVRPLVVRLHDILFLLPGPSATVDLRFSEQWFHLPTWWKEENLYAEDGFHIVDIASSVENLVSKWMRKQDDKEIERQRFETEEEKEMRLQKEETTCQLEVERRKIYKQKEVLKREEAATKPPVRTPLVWDDAHPPALSCCSKFKLSMDRTKKVYCRCVEQDGLQLMLQRVNNGEYVLPISKIASGPATSILETLGFVEGYTDLGTLGLGPKRGHFMDQSGRTLSSVLMVSRSTMKVLTINILVHKLDILFDDGMVMSGHSGVSVDIHCDDISSQEHLKTNWVYHILCKNIDGEGRTPFSALLVHLKKKLKLHLTKEELRLSSLTGVEAGEDNEDLAEQQRKQEKWVLAKPKPDLAESERYVPAHHTKNSPDKHHTHDKAVLINY >Et_5A_042127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6610367:6623125:1 gene:Et_5A_042127 transcript:Et_5A_042127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HRHHGFIAERSGRDWASLDAGPAGLIAERVLSNDVVDLVRFRAACRPWRACSAHVRARGVLDRRFHPRRWIMLPDTFDDINHRRCFINVFTGERIYPSALPKPGSCHLLGNTSEGLVLLSRKDADVVRLLNPITGQVTDLPPATKLLEDPYERPEERELRGAGLADDSTVAIHLKSFSLAVAKPGDKRWTYLRSRHRITSVLPLAGRIYCATEKNISVVQAMANQRPQLVGAADHNLDMSTADFRGDPYIGRTKVFLVDNDGELILCHRRCWEPGSTHGSCCLYRVNLDTWNIQPLARLNGRALFISRRRSLLVDSRVSPSISADTVYVCWPKVVAIDLSGGCAKPKFKKGDDAAYYLSCYTCKTMASPSAAESRRRRDWADLDDGPVGLIAERVLSNDVVDLVRFRAVCRAWRASSAHLRAQGVLDRRFHPRRWRVSQSINADTVYACWQNIISWVDTASPSAPPQSDRRRAKHKRMGLEFDDTTRDWTNLPEGPAGSIAERLLSDDVADYIRFRAACASWRACCRAQRPRTAVPPPASTLNVAGNRRLFLNIFTGERVRVRLPDSRHCYVLGHTAEGLALLRDWASLPSGPAGLVAERVLSNGDVADYVSFRAACAAWRACSDDPRSQSIVDRRFHPRRWIMLPCASELRSPRRCFLNTNTVECIEVLVPVPDLELDYILGQIIEGLLFICQKGTHIVQLLNPLTGQLTDYPHVQNMLVVYRLKREQVQSGVLADDSTLALLCDDSTFSVAKPGDKSWKRLRLQVCDWMLLALPFAGRLYCVTRETISVVETAADQEARLVVVMNDNKVVLFYNLRMLAISKPGHEAWRRLNLELHLPIMAALQFAGRMYCVTEKKIMVVDDDTTSASPRLVVVADYQLERELSDRHDCMYPVFDERGGLILVHRLHLSNDEFTAYRARLDAGRMEPIRGLGGQALFLHSTHLGRSQSVVPAKFSSSIKPDTIHDREDVFAFDPSSGTSFKAYFWKKDITMCLLAYIEMDSLPDQKHCNKNQRSFRFSIARKNNFIIRLKNKPIGHGHARSCLHGGLDQPDGRAGGPDRRASALPRRS >Et_2B_021443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29709914:29712280:-1 gene:Et_2B_021443 transcript:Et_2B_021443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRPSGCRTTAAADGLVGAAGGGWAWRPRPRARSAADSPKCFRAAAVATAAAGAAHAEHHHRHARGVWFRPAGAACGGWAWRPRPRARWAAVSPKCPHAAAAATGAVHSEQHHHHHRVSGVLFQQAGLPGTEYWSELEARIEKFIYACRFMTFLAIGGLLLGSVPCFFKGCVYVMDAFIEYYLHGGGKVILLMLVEAIDMFLLGTVMIVVGTGLYELFVSNMDMSYGSNLFGLFRLPGRPKWLVIDSLNDLKTKLGHVIVMLLLVGIFEKSLRVTIASCTDLFCFAASIFLSSGCLYLLSRLQTKGGSHA >Et_4B_038820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5049774:5052904:1 gene:Et_4B_038820 transcript:Et_4B_038820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVRLLLLALVCLRASCRALAEQPEEATVIVKGSTRIAETDESYVCATIDWWPPEKCNYNQCPWGQSSILNLDLDHPFLAQAIQAFENLRIRLGGTLQDRVVYDVGTDSPCTPFRNISNGLFGFSDGCLSMDRWDELNDLFQKTGAVITFGLNALYGRYNVRRSIWAGKWNSTNAYDFVKYTISKGYPVDSWEFGNELSGHGIGAKVDAKLYAKDVIELKSMLRQLYKAPLSQPLLLAPGGFFDQQWYSQLLQSSGHGVVSALTHHVYNLGGGNDTHLIRKILDPKYLDRADDTYRDMQLTIERHGTWASAWVSESGGVFNNGGLLVSNTFINSIWYLDQLGMASKYNTKVFCRQTLIGGHYGLLDTETFLPNPDYYSALLWHRLMGNGVLSVDINAPRRLRVYAHCSKQQQGITLLLINLSNTTRYNVTLQNDINVSLEKRSDLKRHSSFASRLKKAVSWLGSKPSSDVKLREEYHLTAKDGDLQSKTMLLNGVPLELGDDGSIPALSPVLVAVSSPVYLAPTAIAFVVLPKFEAKACS >Et_4B_039584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22856158:22859705:-1 gene:Et_4B_039584 transcript:Et_4B_039584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAPAMIAVAGEGPVDGVVEKTLPNGDVYRGGFHGGAPHGEGKYVWADGCMYEGEWRRGKASGKGRFSWPSGATFEGEFRGGRIEGQGAFVGPDGATYRGAWVADRRHGVGAKSYANGDYYEGQWRRNLQEGHGRYVWANGNQYVGEWRAGVISGRGVLIWANGSRYDGVWENGVPRGTGVFTWPDGSRYVGSWPRSCVDLPAISGTFFPPVAAGGAGAVRKRSSVEGVGEKAAPRICIWESEGEAGDITCDIVDALEASMLYKEATAVGGAMTTYMRPPRSARRVASGGSCWASSAGTTPEGKRPGQTISKGHKNYELMLQLQLGIRYSVGKSAVGQMRALAQADFDPREKFWTRFPPEGSKVTPPHSSADFRWKDYCPMVFRHLRKLFAVDPADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVRKSEVKLLIRMLNSYYQHVNRYKNSLITRFYGVHCVKPLNGPKVRFIVMEYRIHRRFDLKGSSYGRTADKFEEEIDETTTLKDLDLNFVFRLHRSWQLRRDCEFLESEGIMDYSFLVGVHFCDDFSASKMGSSTFTASPKLLTKSESFQGGVMPELCFSDDDYDRIPECRKPLVRLGAHMPARAEQASRRSEFDPFLLTGGAFLFPNQTGEVHDVILYFGIIDILQDYDITKKLEHAYKSLQTDPNSISAVDPKLYSKRFQDFIGRIFVEDG >Et_9B_065019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20540952:20548317:1 gene:Et_9B_065019 transcript:Et_9B_065019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLADPELEAIRQRRMQELMAQRGGGNNAGQQKAQEEAKQEAEERRQMILAQILSSEARERLSRIALVKPDKARGVEDVLLRAAQTGGISEKVSEESLISLLEQINTQTSKQTKVTADPELEAIRQRRMQELMAQHVGGNNDGQQKAQEEAKREAEERRQMILAQILYSEARERLSRIALVKPDKARGVEDVLLRAAQTGGISEKVSEERLISLLEQINNQTSRKTKVTIQRRRSVLDDDD >Et_9A_062358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22117565:22121533:-1 gene:Et_9A_062358 transcript:Et_9A_062358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASASASTSAPLSLSLPVRLSCFLGRFPASRSRRPDLPRRRVALSPARPCAALLSSLSDAREQEEDMEYYEDGEEEEEEEEEEYEEEYDDEGVEEQEYEDGEEEELVEVGYVSGAHGVRGDVLITPRTDFPELRFATPGKRWLRARAAGKQQVKEFELVRGRAHTGKKAWIVSFDGVDDLDEARQIVGSAVLVKAGDRPQMEDDEFYSLDLVGMRVIVKESGKLVGTVAQVFNFGGGDLLQVMIGSAEDTAIEPNSEHQDSTSREYVWIPFAEDIVPDVDMEKREMLITPPKGLLELNSRSDKRSKKERRAMEWKDRKRLQRRVIAAKKVLSEMDQGHVLEGLLSGDKVQKASLGEQIGGIDFQLFRHAVQCVSKQTESSSRKSLTNSLLSRKKAMKIPYKTFNSCDEKAEDVFSYGHRKGLEILLKSKAAIVLVRNGYDSDAEFLSLLNSFNELMKAAENRVSPPFVIVSSAGHVESIRNSLTENDYFGLDTQKVWVLEELDLPIISISSEVNGKKILMKSPWEIIKSPAGSGGVFSLLSSNKILETLNEMGVQYIQICSVSNRPAIGHPLLFGAVASRGADVGIKLSKAGETEGEFDLILSIDQLNKMCRDVTQLRFAARLEQNAHVELVDGQWVPVKPEAVNSHRLHADVTSVLNTCSADKVCVMEIVEE >Et_8B_058609.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:8737272:8738321:-1 gene:Et_8B_058609 transcript:Et_8B_058609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEETGAEAGNITHFLESVQKERPVRFSSRQLRSFTQNYSHKVGSGGFGVVYKGRFPGGAPVAVKVLNTALGRRAEEQFMAEVGTIGRTYHVNLVRLYGFCFDASIKALVYEYMEHGSLDGYLFDPPPEKEVPFLKLHEIAVGTAKALRYLHEECAQRIIHYDIKPENVLLGKGLAPKVSDFGLARLCNTEKSHLTITSARGTPGYAAPELWMPQPVTHRCDVYSYGMMLFEVLGRRRNLELGDHCSRDSLEWYPRWVWHRFEDGDMDAVLARAAGLGGSEVREKAERMCKVALWCVQYQAEERPSMGSVVQMLEGEYEIAKPPNPFAHLVQSQRVTMLQQLADTTTN >Et_1A_006565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24562961:24572409:1 gene:Et_1A_006565 transcript:Et_1A_006565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFTVCRGKTGLVVPARPTPKETKTLSDIDDHPDHRMYIPVVEFFQRRETINGQASSEDPATAIKAALGEVLVYYYPVAGQTAGGKLVVDCTGEGIPFAEADAAARLDELGTPLVPPYPCVEELLPDAGDIEDVVGKPTILLQVTRFRCGGFAIGVQLSHCITDDYGMVQLLKAIADVARGGEKAPAVTPVWGREQQMAHSPPCADYVQEKLLPLLRDPAAPPPASSMVCRYFVFGADDVSALRRRVPEDLGASCTRFELLTAAIWRCRTAAWGPGQHGKRARLSFTANIRRRWGPIPPGYYGNALVYHVVDVDAGELCERPLGHAVELVREAKKDTTEEEHVRSTVDFLAAMRALLTADVAFMVSDLTQLGEDGLDIAWAERVAGGVAKQVTHVSYYGTCRCKDGDELVVVSMLLPGQVMERFEKEMAASGASPPPASSMVCRYFVFGADDVSALRRRVPEDLGASCTRFELLTAAIWRCRTAAWGPGQHGKRARLSFTANIRRRWERIPAGYYGNALVYHVVDADAGELCVRPLGHAVELVREAKKDTTEEYVRSRRLPDGCARADGDGRRRRWPAYDEEAFMVSDWTRLGEDGLDIAWAERVAKQLTPVSYYRTCRSKDGDELLVVSMLLPGQVMERFDKDMAAWMMNN >Et_4A_034640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5010448:5011762:-1 gene:Et_4A_034640 transcript:Et_4A_034640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEASWHSFDPSVAVEDSEAMAQLLGVQYFGNEHKQPTPAASMSYWPGHDADQHYCSAAPYYMQHHLSSGAGYDDHGYYGASTVTMAGDFFVPEEHMADPSFMLDLNLDFDDQDGGGGKPPECKRRLELDQKDESTTGIVPSKKKGRSTTMPAPKKGKTKKAQKGAIQGNQEESTGNLQSSSNYLSDDDDSQEMTACSNVSSASKKSSSGRAKARAERGAATDPQSLYARVDISTMLEEAVEYVKFLQLQIKLLSSDDLWMYAPIAYNGVNIGLDLKISPPQQ >Et_7B_055513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12976540:12977010:1 gene:Et_7B_055513 transcript:Et_7B_055513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHVQELDDAAAASAVVFASRYVQEPLPRYELGRKSISKDAAYQIIHDELLLDSSPRLNLASFVTTWMEPECDRLILEGINKNYADMDEYPVTTELQASVHASSSPGEAFVD >Et_5A_041371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21899804:21900612:-1 gene:Et_5A_041371 transcript:Et_5A_041371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYFIGFASTNQSPDKDQVFKIRSYDEVDVTDPESITTRVRKGKIMVCYFRLSRNYFYLKPKEPYWYDETKPFISTKTLKAVSHAVMTIGDGKRTIHPANSSGKTTARRHVNFQNSKGKRFGINGLGKVLRRSVKGMYEIKI >Et_2A_016036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20384448:20390776:1 gene:Et_2A_016036 transcript:Et_2A_016036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGAAAAAPHGGAVVAGTVEEQQAAGVGILLQISMLVLSFVLGHLLHRRKFYYLPEASASLLIGMIVGGLANISNTQKSTSLAPKPFFSNFGAIITFAILGTFIASIVTGLLVYLGGLIYIVYRLPLVECMMFGALVSATDPVTVLSIFQELGTDTNLYALVFGESVLNDADYGIYEDEFFWPKYFPRYSEYAALGTEKYMLAEGLGLSGIVSILFTGIVMKRYTFCNLSKDSQRFTARFFHLLSSLAEAFVAANVFSCAYILNLARPPRCQIPRQYQLALWYSGLRGAMAFALALQSVHDLPDGHGETIFTATTSIVVLTVLFIGGSTGTMLEALQVVGDSNRYHHLYEENLDGNSTDFMGQNYDEGASTSSKFKLKLRELRRSTSSFALLDKNYLTPIFTSQNGDNDEDDEDPSKRTQSSFLLRIIHACICSPPE >Et_1A_005719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13001652:13004615:-1 gene:Et_1A_005719 transcript:Et_1A_005719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSNVVAPLLDIDESSGASEELLQREPVPLGMLVRLAAWEAGNLWRISWASILITLFSFMLSLVSQMFVGHLGELELAGASITNIGIQGLAYGVMIGMASAVQTVCGQAYGARHYMAMGVVCQRALVLQLATAIPIAFLYWYAGPFLRLIGQEADVAAAGQLYARGLVPQLLAFALFCPMQRFLQAQNIVNPVAYITLAVLIFHIFASWLTVFVLGLGLLGAALTLSFSWWVLVVLTWVYIIWSPACKETWTGLSFHAFRGLWGYAKLAFASAVMLALEIWYVQGFVLLTGFLPNSKIALDSLSICINYWNWDFQIMLGLSYAASIRVGNELGAGHPKVARFSVLVVVMASVAFSILVTLLVIILRYPLSTLYTSSTRIIEAVISMMPLLAISIFLNGIQPILSGVAIGSGWQATVAYVNVGAYYLIGLPIGCVLGYKTSLGVAGIWWGLIIGVSLQTIALIVITARTNWDKEVEKATQRLHHTGIVPAHDPTVDQLADADLELSRMAKFVHLFVRASSSVTMGG >Et_6A_047300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3552159:3556308:-1 gene:Et_6A_047300 transcript:Et_6A_047300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSGGRRFVVLIHRTAAAAAGNPFQPQPPATCFSHRYFSTPAATAPRPDPYHTTVSYLVNSCGLSPAAAAAASRRVRIISTAKADESLALLRRHGFSDAQIALLLRGAANLLIVDADKILRPKLEFFDSLGIPVDWLLNKPILQRSLDKHLIPSVEFLRGILGTDANIRTAISRHPHALLFDVEKKMRPALQALRRHGLAEEAISKLVLSQMGVLRLAPNCIAEIFEHLEALGIRRPDGRPQVDSRFIRSFVVMSGYSREAWLQKVALYRSLGVSEGELREAFKTQPSIISLSEENLKRKLRFFLDELMLDLSGVMRLPVLLGYSLEKCILPRCAVLSVLMREGKIKQNIALLSALTGSSKRFIKKYVSRYAGNVPDVIEAYEAWRRASYQVCAVLIVMMREGNIESVINLLSSLFSNSKLSSKRYAFEVRHLKMKSTMVLDSAGKKKRNQSAWREFRPNAVQSPIENSG >Et_9A_061022.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:10362510:10362620:-1 gene:Et_9A_061022 transcript:Et_9A_061022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SALFNFNSFLTVVLLVICTCTYIRTQFPAILNDRTG >Et_8A_056585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1364932:1371040:1 gene:Et_8A_056585 transcript:Et_8A_056585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGREAAALRLTSAARHGPARFTEALRLLDLPLQHACVCRAGGVTVHDAVVDVPSGPLQDLVFPTSSTSGPPSPASSFSASDSQVLEFLSSEVPEQWLHRTHVQTSSAQSKYDMPHPKAAASAGDGSLWLVANAMDELVYPASFGNPSSSPSSLFSVAGGSTTALEFVSCEISDQWLGVGRDDDVDVLWGNGAAADNTDLLRKSGEPPAAKRRGRKPAGSRAGGDGHVVSHVEAERQRRDKLNRRFCDLRAAVPKVTRMDKASLLADAASYIADLRGRVEELEAGAKQQQQEEEAAGGRRRPWPPPPPCLRRSWRCGCWGGRRRRCG >Et_2A_016638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26829180:26831699:-1 gene:Et_2A_016638 transcript:Et_2A_016638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESARSAMEPRRQEVEGGGAAATVPKRKKQSQGKQRIDICFIENKERRQVTFSKRRAGIFKKASELCLLCGAHVAVIVFSAARRPKVFGIGYPSVDDVLRAYAPLPGEEGAGPAWLQEEDAGYRADVEVVLRQAEETEKRVAAEQARMDAIGEKVMKAAGRRFWWEADVEQLGEAELTEFTMALQRFRENVQRRVKKLSNAAAAAPPPPLPLSSGALNHRVPTQDVKAPDSSPIASKSYE >Et_10A_001438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3166762:3171032:-1 gene:Et_10A_001438 transcript:Et_10A_001438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTSSVTSTAAQARLLRPPPSGRRSGLAGCISFRSYAVRNKEMPSLSATFRQPFPLQPVAPSAKENKKQNVPFYQRQEAPQIEAKSMEEVYDSLAEHLVSVLKNLEHVDSKYIVGLAGPPGAGKSTVASEVVRRVNMLWSQKHAKDTVLLPGEEIAAMLPMDGFHFYRSELDAMEGSVYAPSFDHGVGDPVENDIFVKPQHKIVIVEGNYILLEQDVWREIRDFFDEKWFIDVDIDVSMQRVLKRHIATGKEPDVAAWRISYNDRPNAELIMESRKNADLVIRSVDFSS >Et_8B_059184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1518681:1520115:1 gene:Et_8B_059184 transcript:Et_8B_059184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTRQRKKALAAEAAAAPSIGPRDRLSVLPFDVLCLIIGFLPVKDAVRTCVLGRHWRHLWKSASGLRIGCGGGDGKPTKVKELREFMHNLLRARGDSPLETFELCLPDYGHDDIPDMNSWVRHAVMRGVRVLSINFLNSDPFKGVFELDESPLISRHLTRLQLTWVDLNDRFLDFSSCLVLEDLEISYCYLCLANRISSQSLKTLSFIGSCHFNETSRTQIIAPNLVSLRMEVSVGRTPFLERMPFLMEATIKVSTFDDNCFYERKVQIDGSPDPAGRLTATNEHLKLVRIRSGVLNQDVFHVLKFMNGFNL >Et_6A_046323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1332131:1340179:-1 gene:Et_6A_046323 transcript:Et_6A_046323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGASYTVTTVHCAGYVGVVVAHHDISILLVLHSLYIYSIHGGILMLNWFVVRPSQFLLLGFARHAISVSPDGIMPTFGETSDSNLLRKARDRMAGGTDDKYIIRMFGEPDKHGDIFSAPVASEVVGLVDNYMTVKRSMFPLTRVYTFWLGHSPPFCQ >Et_6B_048485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:31106:33236:-1 gene:Et_6B_048485 transcript:Et_6B_048485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSKGFVESSTDQMPLDGGAEKDAADAPHGGEGSSSNNSSTVDVEEGGGGKMAGSSSSSAVRTYVRSKNPRLRWTPELHLCFLRAVERLGGQDRATPKLVLQLMNVKGLSIGHVKSHLQMYRSKKIDDSGKVIGGSWRDQFHDGGQVYSRGHLSLHHGQTGVCHLLSARLGAWPHWNNFHEQKWLHGHHFLASKQYYSAEAEMLIRTRDNYVARAPTSVHQGFLSQNDQIMNHQRRKLLQETHPLSDKANNDDPLDLELTLDIRPRREKRKRSGGSWGKEHDNKVDQEAESKLSPPMGHWTVSEFLAARI >Et_5B_044975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7945042:7947482:-1 gene:Et_5B_044975 transcript:Et_5B_044975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGPKRKMLISAELLQVLTAGDASRLAELLRSEGQTNGAHVAINVQAARAGSAAPPGPGTRSSLLGLTSDGNTALHLVASRGHAVLAKLICEKAPSLVATRNRGLDTPLHCAARAGHPAVAACLLSKMRAAVPVEAAGLRATNCLGGTALHEAVRHRRVEVVILFMAKAPELAAVASDSGVSPLYLAATMESSQMVRVLLRSSLASFVVPRDVLLCTPRQFFAKRSFCTEMVQYILAWEPEGPALLTRVDSEGKSALHFAVFAQKLDVVELPLNVDCQLALIPDNQGSYPVHYAACWGNTRMIDVLIRNALNTMNWLTMMDGIYFTNNDAVVRYICQNERFSMLLNTTDFEGNTPLHLAVKHSYASIVSLLLQSTGVEDPSVVVYHCLCWAGANGSLDSKSFLERMDSKSLPKKDESAKEDSTDYSKGTIASALIASVTFAAAFTLPGGFIADDHPHPGTAILARRFAFRAFVVSDTVAFLCSIVATGFLIYGGVFEIPRSLRSRYNIRSYWLAPTAAQFMIAAFAFGLHLVLGVANWELIIFVYVVSSASVLLVSTNTWIPLHMYGFAKAIWR >Et_6B_050057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:523287:532227:1 gene:Et_6B_050057 transcript:Et_6B_050057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDDEAKATEGVACAGIIPGECDVVASSRTRTISGSPITAATAAATILKCQRCVRAWVCCTDRPGLLSVLGRAVQAASVPHPRRDRHRRRPDAQHAGALAGPSNITPPKASPEHISDAADDSILQVINEDVIDPVTGKAISSKDKRIVKLVGEKAQEFEGDFVSIDKVEQQTFILFAGCSNSTENVVVHSATSPVVVPPSDLHKHLNNLLWEKQGTDITFDVDGETFHAHRWLLAARSRVFKAVVASNTKDDKSSSLCSMKIDGIEPKVFKAMLHFMAKAEGGEPDDLIISKLRLSLFQLFTEKSLALGSPALTTISTASSHRPLSRKLASSDHTAEVTSKDDCGTLGLP >Et_3B_030149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30952483:30954934:-1 gene:Et_3B_030149 transcript:Et_3B_030149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVSRVQKELTECNRDREVSGVSIAIHDGATISHLTGTIAGPKDTPYEGGTFVIDIHLPSGYPFEPPKMQFITKVWHPNISSQNGAICLDILKDQWSPALTLKTALLSLQALLSAPAPDDPQDAVVAQQYLRDYSTFVSTARYWTEAFAKSASTGMEEKVQKLVEMGFPEDLVRSTLKSVDGDENMALEKLCSG >Et_10B_003566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3133251:3150939:-1 gene:Et_10B_003566 transcript:Et_10B_003566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAEALRARLLAALRPWLAADPAELRVEPGLCRSRVVARGVELDVSALNAAAGESLPARFDRAAVAEVELAASPWAGPALRVVVRGVDVALTLRDPAPKKQRPNYKECLSKEKKRVLASLDPQGQMLHEMIEGVVNSLEDKFTSSFSSVLLNCGQVRLDDVMIQVRYLDDSHVIVLRATDLQFGPELVFRSSLFRVLVGSFMSTRKKNNLFVQCTEFDILLKENDSIDCAASFTGISASVRLDNLQLFAFGIHVPNASCEISPKFIPSLMVILDITNQKEEYGVRNGRELWAIAAQVLDNSVVHRRFSLSKAISCAAFWRRYVHAYVLLLTSVGYPSDKIMVKNCSRMSRNRKIWGTVKGHWDTVIDLEEKIPVEAIARARRAARSKISVSQQASNQEPSKTLLVSYTFKVLFPLFDLPSAHLVLKSSCLLHSAGCTKKSLFFVIGELQTCLSGVQKLIQKDNDKTPRRNSSFGTADLTEDTKIILWSDSASMQPFSGQQANGSFSNSDELSTAHIKSDMDELWSNWMIINNLYKESGVIYPEKPSIIIELKSFLIDPYRSTEGFQQCRLTVGRLNLDLDYFCASSTYLLYRQFMCYKQLKELPEEVTNLSTSGGAYVVPTGGVDDKLRSFSHRMKVAIVDAIPENTLQMAALVDGPSIRLFFDKSNLLQSGKYIQKYLTSQMKSKSYIVLSLAYIECALWPVAQSSPTHPIANSHTKKSQNTFVSAKELQEPHQNCRLSFAGLTLLMDNPETNNQSHIFGPMSADFQLSTSRNYVFSFFGARNSISANLSAGIIGCAIFFYMDELLTVCQLCESTYSEALKFALVDFTCSQDFMGRLASFYKKSMMESTVELGADHFAQEETSEPDIELNVEMQLDLESADIICSASRDGALPNPDVLINNALNYISSSPVLDGMATHELIDILALGVGFCIRSSSMKLLLDGECTDFLVSISRIHGVVFENQAQMSTFNGVKQHGTMVNGSLHSKNQLFTSDCVFHLRGGPNKENLTHEKLQEEYRHCYVSASLGMCYSIIFEFTEVYVGDYGIQNYLSEITQRSKQKLSLLIHDDLQLVKCNVQLVFCCKIYFWLLMNLPLRAMSNFVKDSVTPISAGSENTVTNKYEEREVATMSLDPHLQNESQLAVKCIDVELSQLSLTLVVADESGTYQGLTLEVDASLQLMNLGMKILFEVKRISISTVCGVHKNSHEKIRGVPEPCFRSSKSVAIPSQSEIQEYLPFKQTICLLMVMMLLQAQLLHREVQQTMILSLFAPFHGIMSSGSTQKEIQTIGISHQAQLDNVDYTIPDGAIVAIRDLNQQMYVSVKKTGNMYRVVGAYHYSLAGEHALFKVKHHKRWRSNAQCISLVSLCARNDEGNEFSLGFSQGSDLVEVSSYIDKPCSIWSILPFRFDNFEDDGDDGKFYNVMPRSSYHLVNKNNNCGIAFVDGLLEFVKRPGNPFKLQVLDESMFFDAPRLMVPHMNFDYNTYLDVENDESSVMRDGSGGGASCQHIIINVDKIVFTVTHEVFDTDNVFPLLQNCVSDIRVVTQIFPSKFRILSSFKVSGQYFNARRNLWEELISPITSYTFFRSRFFNPDPVTKYGRMPIRVFFQLKQVDIFINELSFDILLYLAGKLDLVGPYAVKSSAIFPNCCKIENSSRLALVCHFKDNGDAIVPGQRSTSVFLRNLTFDDNRPYDHNVVSISLFKEGVFSTIPINMSLHDSGIFAWRTRVSPVRDSRSFSGPFVVVKVSHNSEEGLSLSVQPLLRIYNKSDFPVELRFQRPSKTNDEAVFVTVRSGDMVDESTGVFDAMDLSGGSKRALIPEISEHSANISQPASVKWSEDITGEKAIRLSGVIDKLSYNLRKAFNVDPMKSSFSSLSCPVFVNDRHVTDLHFLIHTLGRDVPVQPTNGTRLSDRSARAPVPLQFQREIFIYPTVQVYNFLQTDAHVVLTDGQSGNLNEDNSGNIGKEATITSGSSAYFYVNPALFNFSVSLISYDSKSKTVNSSDWVKRMQKQATRAQFLDMELEFSPGKYHSSLRLLRQEKGLLEVALFTRYTLHNTSDSPLLCTASHQKLPPVYEPGVNIVNLPPQHGCVLPSMSMSSWFTKTSKLRISLHSEKGSATIIDLDAVSGFTEFFLEIEDNILPHRMAAFGVSLQPVLYELPVPSQVVRIVPRYVIVNESGTAVAVRQCFIEHEIDGLTVEAKQRATLQTWKHRKKREVNYFDLFVKKHRNVFEDSHIFIQCCPKEPGYSWSGPICVSSIGRFFLKFRRSEGMVTQGMKRDTSHDGKPKRFASVDVVQETTSFVLHFTLPPKVTLPYRIENFLSEASIMYFQKDSVESDVLCPQESEQYVWDDLNTRALREIKIDKISPWKPFLKMRQNSRLNLDFSFSNGLGSEKQGFDKSFGRRMFKIGYEVYADGLTRSGEKVQALSTILTGRLQHVSAELIITDRYKHVSVALHSVNVDEKWEGASFGSILRRNKLQDASFDESILRIIFVLNSTNSSVKQIEYCSIILQPVDLKVDEETLMKLVPFWRASLAPSGAPSTQFYFRHFEVHPVKIIASFRPGSRQTTYSSAQEALRALLHSVIKVPEISNSTVELNGVLLNHALVTFRELLLKCAQHYSWYVLRAIYVTKGSSLLPPSFASIFDDSASSVLDVFFDPSDGSLNLPGLTIGMFKFISKNMKSGGFSGTKRYFGDLGKTVKTASSNALFAAVTEVSDSVVRGAETDGLNGMVTGFHQGILRLAMEPSLLGQAIMEGGPDRKIKLDHSPGLDELYIEGYLQAMLDVMYKQEYLRVRVIDDLVVLKNLPPNSALINEIVDNVKSFLVSKALLKGDSSTIRPLRHLRNETEWRIAPTVLTLCEHLFVSFAVRMLHREATKAIAGIMTRVKKPAEVEGEGDTSSAGGVLANRNRLWTVGRFAVSGLVAYVDGRLCRHIPNPIARRIVSGFLLSFIESKGN >Et_3A_025572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30960299:30962648:1 gene:Et_3A_025572 transcript:Et_3A_025572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EDTAKRRTQKSKSFKEVEKYDVFVLEKSSGCKFRSLQLLLFAIMSAAFLTLLYTPSVYEHQLQSSTRLVNGWIWDKRSSDPRYIASSSVQWEDVYRAIKNVNVGEKKLKVGLLNFNRTEFGSWTQMLPESDFSVIRLEHANESITWQTLYPEWIDEEEETEIPSCPSLADPIFPRDTHFDVVAVKLPCSRVAGWSRDVARLHLQLAAAKLAVASRKGNSAVHVLFVTDCFPMPNLFTCKNLVKREGTAWLYRPDPKALMEKLRLPVGSCELAVPLNAKARLFTVDRRREAYATILHSASEYVCGAITAAQSIRQAGSTRDLVILVDETISDHHRKGLESAGWKVRIIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKVIFIDADLLILRNIDFLFTMPEITATGNNATLFNSGVMVVEPSNCTFQLLMEHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEVKAKKTRLFGANPPILYVLHYLGRKPWLCFRDYDCNWNVEVLREFASDVAHARWWKVHNKMPKKLQNYCLLRSRLKAGLEWERRQAEKANFTDGHWKRNITDPRLKICFEKFCFWESMLWHWGENKTNSTKQNALPATPSTGLSSS >Et_2A_017540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35234948:35236810:-1 gene:Et_2A_017540 transcript:Et_2A_017540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNSTATASLHLLLPSSRRRRRSILTPRAEVGRRRLIAQTAACPLVLLPPWAPTARAAAEVGGLSEWERVPLPIDPGVVLLDIAFVPDDPSHGFLLGTRQTILETKDGGNTWFPRSIPSAEDEDFNYRFNSVSFQGKEGWIIGKPAILLHTSDAGDSWERIPLSAQLPGNMVYIKATGEQSAEMVTDEGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPYWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLFLSKGTGITEDFEEVPVQSRGFGILDVGYRSQDEAWAAGGSGVLLKTTNGGKTWVRDKAADNIPGNLYSVKFLDDRNGFVLGNDGVLLRYVG >Et_2B_022517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24470049:24472712:1 gene:Et_2B_022517 transcript:Et_2B_022517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHLLPPPRRAAAPLLTPTVPHLARLLLSHARKTPPLLLALLPACPALLTPLLSHLLLSHSPPLPALSFYRHLLALPHFPVPESSLPVLLRLLARSRRYAHLSFPLLESLPSTHPHLLSTPALAVILSTTLPASGPGASFDAAVTCFDSAALVWARAGRAFGAAELNALLRAFCARGRVAEARALFHRYCDTYPPDTRTFNTLLLGFKEAGHAQALDLFYHDAVLRGFVPDAVSYCVRMDAYCKKGRFLDALQLLEEMRTRENCKPTLQVFTTLIYGAGIVRDAVRARQLFDEMEKCGVTPDRGAHNALMGVYVRTRDLQSAMELMGEMERKGIGLDDVSYNTMFCGFQRVGDLEGIWKVYSKMVGSGFMPRTRTTMLLMKVFCENRRPDLGLELWNYLMGKGCVPHRHALDLLVTGLCCRGAVIEAYGCFREMIEMGMAPTERAFRTLEGFLRRTREFGKAEEISQMMKAIQLDGDQVAEEAFYGMHTEQWHWSVNDLFDVLGVELKPSLGIHDLLDLEDIRDLVAEARLDAHLERHGGAGAGAAGALELEHDDEAVDLVQRHVAAVRHQARPHLVQHLLHVLLRQGKHAHRPP >Et_5A_042970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8256360:8257069:-1 gene:Et_5A_042970 transcript:Et_5A_042970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGEASMLLGVSVALVLCGSVTFILATHGPDPRGRPAWRSRSLVAVVAGLVLVCAGEEGEWRFCLGQGVCSMLLVLLASLLWWLRRCLAADFGGEAGGFRSFRSVALRRYDVDVCEGHRRCGGVGTLFGIFSPRFLRFDGVVLFGAMVKLVEEGVRWAPVLGSLSKIAEEQLVLKLRRTTGVLSDVGAVAVGGVIGDDDGGQRRVVQQRLWHLVPRQACVRAGGS >Et_1A_005364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40236:40993:-1 gene:Et_1A_005364 transcript:Et_1A_005364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGICNVAAFLLLGVWMGTVSPAKIHGNPANDLVAVINGKRAASKLPPLRNSAGLGCMALQYISECDTEECSSSNNTVECQPPTEAHITEVYAANCGVELPTVGVISGHLIGCCNRQSPEAVLLADKVIRGKEHTQVGAAFDRRRRGPFFWAVFFSSGSANSTFLLEAAGRGIQQTHGCSSGPDRLSCNAAPPRHGPGRLIVAALLFLHFQLFHVILS >Et_7A_052621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9983267:9985196:1 gene:Et_7A_052621 transcript:Et_7A_052621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTERAFLKQPKVFLCPKKITKGKKPGKAGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYEKRHSNIPAHISPCFRVREGDHVIIGQCRPLSKTVRFNVLKVIPAGSMSGAGKKAFTAA >Et_2B_020006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15998412:15999227:-1 gene:Et_2B_020006 transcript:Et_2B_020006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESATCIRNSDESTVLVATFHVGDYIPWLWWTSAVDGTDARVRKAFQRIGRIHEEIVDDAGRRIGSEQGQTCTTTLPSCMCCCLQKESVGDRVVPQHGQCMVRHSSVAKTDKRMTACVNRGLIFGWPTAACRTCSELGQRRGS >Et_6B_048410.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1931116:1931214:-1 gene:Et_6B_048410 transcript:Et_6B_048410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYSGGRAATADAFRSSKRRIPKGPDPIHNR >Et_1A_007161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31479693:31481640:-1 gene:Et_1A_007161 transcript:Et_1A_007161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRSTKRPRLSSPSPADGRDLLSSLPVEMLEEILRRLKIGEAVCPSALAKSWRPRWANCPGLAFAFDGFEPPAAVDSVLAAYAPPIGEFSLDITEESYGKADGWIRAVAAKGVRSLKLFFFWHRPGLELGVLPAPVFSCSELTSLELEHCHVPHVPSGFRGFPHLLHLKLREIHTRHPKALEAIISMSPSLTTLCIQDLHITSNNEDGSYDDWVIHAPNVKGFEIRSDEYGEAHGCSIDNLDSLEEAHVAFEGPRLVRMLSGMRKVQKLCIDMHSDHVLLQHQPSKFLNLTSLRIWAKFDQSPRIVATTLHLLKSAPKLENLWITIIHEHDEDIEIDMEFTYTQWVGLFSRLKCVRLDYIQSHSNEMHFIEFLLLEARQLQKIEISVHELSSM >Et_2A_016310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23142397:23143185:1 gene:Et_2A_016310 transcript:Et_2A_016310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTAREMQAMAAAGQISLDDLRAAASAGGVHDDFLDQMLGGLAPSACWPDLSGAADGKATDGGGHGEGMQQQQYGGLYDESALLASRLRQHQISGGPGEAAAAKQMAMQQLADLRQQAGHHMMLQGMGRSTAGGGGGDGGNILLPLSLGNGGAGAGGDVQALLKAAANSAGEAGGVFGGSFAGSLQPQQHFQPHTQVCKHQQTTRVPLLSPKSKHTS >Et_3B_029396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24679644:24683605:-1 gene:Et_3B_029396 transcript:Et_3B_029396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQAPPTDAVAPDPLVFADELHPAPPPSPPLEAEDVLPSGTDEQAPPLDTVAPERPTVADELSLQEVEKDVLPVAADTVDAAASEVPEDGAGGVVLTDELRDQIVKQVEYYFSDENLPTDEFMLKFVKKNKQGFVPIGVIASFRKMKKLVQDHSVIEAALRTSSKLVVSSDGKRVRRLHPLPHTESKDAKKSTVVVENLPPGFSLESLREKFGAVGKIVNITICDPHSVKESATPKKTDFILSSKVTTLNDERNWRTGMKVRLLAKQSVKGSGKYNQSSKESQDPVSKRNNQNHPSKEEQNATSEKSSGAYSVEGSTDKENMNSVSTPEDELQLQKSNAKGGRKGRYKGQGKGQIQQNAKGQGGSGSESLNKPIPGPRMPDGTRGFTMGRGRPLPLQKSEKVEE >Et_4A_034764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6339858:6340905:1 gene:Et_4A_034764 transcript:Et_4A_034764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIPEPEAAMAIPEPPSCLTLRVFYLRLSRCEVDESMLDALTVTYVPLTPDTVLEVTGGGGGGDQPPPSISTDEKGGGGGVSCSLRRDRVDAASEEATFVSTAAVRVAGSVRFEVQNGDERLLVGILEPCDVESLGWKKSWVMKCQAATQRGSGFLRCGRETSKAPPPAVEVYVGGVFRGTPIVFTKAMLLRFRRRRQVRAFMEPIPECAEPAEDTKETAPERDAVPVSELKTPETDASCTQESEYRCYKPDPETDDDSLYIGSAGRDEDDYSDLSWFTTGVRVGVGISLGIFLGVGIGAGLLARSYKSTSSTLKRRLLSNLL >Et_2B_020298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18841356:18843065:1 gene:Et_2B_020298 transcript:Et_2B_020298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEHRGLRGRLAGLFSPASSQNGHDEQVAKLMEELQRQRDLKETYKARLEGTQGYLRFCLEVAQEHGFLHLMSDNAQRDEAEPALTVDDEDDDIEGDEHPETPPCDPYLAATRDLAVQHGWSVAPDEIELHEVIGQGTTANIHKATWRGLEVAVKWVRPEFFRSNPGGAAAFFAQEVDALSRQRHPHVLRLMGACLRPPETCFLVTELLSGATLGEWLHGGRERRPRPRASSTSPPPIADRVSRALEIALAMRYLHEQTPRVVHRDLKPSNVLLDADMRARVADFGHARFLPDGTEALTGETGTYVYMAPEVIRCEPYTEKCDVYSFGVILNELITAEHPYIDTSYGPSKIALEVAAGKLRPTLPEADAYPIGLTDLVCRSWDAEPSNRPSFADITSTLREIIQQIVQ >Et_1A_007615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36513322:36516175:1 gene:Et_1A_007615 transcript:Et_1A_007615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTAVVRSIYENQDISGNFQKYAWITLSPPFSAEEFFRSLILQLQEADMTRKEILKKMDINDLIEESNTLLKARSYLIVLDNVSSIAEWKFLMQHIPKEENASRIIVTTREKSVAEHCSMHNIYKLEPLKDDAALQLFGKMLTEPADFDGRLEMVEQAKCILQKCNGLPLAITNVCGLLATRPKTAPEWKRFNENFSSELESNPSLEMIRTVLTSNCEELPYHLILCFLYLSLFPKDQSIRRKRLVRRWIAEGYSSGTHNMSAEENGEGYFADLISRSIIQPSESVAGSGVSTDCCHIHNLLHEISVSKSIEEKFSLVLHNRSYLETKDKIRHLSISSSWNRDANKMKNMGDMSQLRSLTISGDWKPFLTEGDLRMLRVLDLESINGLLDHHIEPMTKFLHLKYFSLRGCASIFCLPDSLGNLWDLQTLDVRGTSIIKLPKTIVKLKKLQYLRAGQIPEDEEPRDYAGPTDNDDDDMPEALNTTMKWTAATAVLVTSMILPRVIDNDANRKDTYNMICKNVFPSLAWGLDMHGVKIPKGIGNLNSLETLGVVNVGARKAASVELELGNLSKLRKLGVTGLKRENNMHFFSAIAKLTLLQSLSIRSEGKPGLEDCLDVKSSSPPIDLRSLKLYGNLVMLPPWISRLQNLAKLKLRSTRLGQDAIPVLGSMPHLAILRLLCYSIQGEGLHFQFQPGSFPNLVLLQLDGLPDLQSLEFEQGTTPNLELLQVENCTDIEKHG >Et_2B_021476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3065529:3066774:1 gene:Et_2B_021476 transcript:Et_2B_021476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPAAARRPGTPPPSRQRAAFRPSPSPEPEAEEPASRAEQRCLHGDGRKRKKALYLVLQEQGGHGAESGCHYAVHRVDLARSRHGISVAGEELHEPPVTRFKAAPGMAFLKPGSNKIVGVTDKDARVVEPESVVIDVAGTWEVSPGPPPASTARGLVGVPGKIYAVDMLNDDHPRCEVLRSASAAGCWSPLPRQPFSDGVVSLAAYPPRRGLLVSTAKHGAYLLDGRRRGGAAWVALPGSAAGRLPLGDRAVYAKDHDLWFEVSPRDGRLRAHDLDVVIRGDAEAELTHESHRVSDPIPVVAPGATVSGGARLAYLGSGKVLCLTGSAVILTVFKVVDSEMPVALAERRRRRRRERAINAEWVTDELERACADKASQSSPRRRKLCRVNLWSRTYVVPSGGHGPSVAGLLWM >Et_8B_060050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5483871:5488243:1 gene:Et_8B_060050 transcript:Et_8B_060050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGAASMGRAATSAPGAQSSRWPRAVSRLRLALRAAPAAESSGSWMSCFRPAPSPAAAAAAGAVKEAKGKRPEVEKEPARGGGEDVWSAEAEEEVAQGGGFPEHLVVMVNGLVGSADDWKFAAEQFVRRMPDKVIVHRSQCNSATQTFDGVDLMGERLANEVLSVVDQRRGVKKISFVAHSLGGLVARYAIGRLYTPNTKTESSVGKSIKEPEHLEGLIAGLEPMNFITFASPHLGSSGNKQLPFLCGLPFLERRASETAHLIVGRTGKHLFLTDNDDGRRPLLLRMVDDCDDLKFRSALRSFKRRVAYANANFDHMVGWRTSSIRRQHELPKHRLLVRDEKYPHIVHVEKRITNNNEPEVPSDIYDPEEEMIRGLTQVPWERIDVSFQKSTQRLVAHNTIQVKSYWLNSDGADVINHMMDHFII >Et_1B_010305.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:4630068:4630271:-1 gene:Et_1B_010305 transcript:Et_1B_010305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTAVLLLAVAATVLFAAASAQEMDAGVPPAPAPVTGAAAGGAASALAVACSAVFSILVAGGLMQ >Et_10B_003036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15689936:15694509:1 gene:Et_10B_003036 transcript:Et_10B_003036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQDSSKWTAAMKPTLAKGRIPPKVDFNINAGHFTASSRLERNAYLNLFITSAILQLKSLQGQSKGRSSAGTQKTMVRGKVRMRRIENPVHRRVTFSKRREGLLKKARELSVLCSADVGVIIFSSLGKVHELATNGNMQSLIERYQSITAGSQVESKTLQSEVAEHGISLLREEIGLLQQGLRSTFGGGAEDVRLDRLHALEKEEEGAPGLGGDCQSSARRMRSRIAAMNEYLMAAVKIEKKMSVSCRSSDPPAQASAPVSTTATALEGSGERRSPNRMSDSAGNDGRLCCSVSSPSTRGPLPSPLPLPPDAARATARRGPRRRREESVVGLGCLVWRSGRGGRGRRRGGARVPACAAMGKGRRGTATSESRGEWHRWVGEWPSCRRTAGGWRDPSDPNLTVPAPKPLIDFVRPVEAEQAISAVCMMAVITSPRM >Et_4A_033396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22229618:22229853:-1 gene:Et_4A_033396 transcript:Et_4A_033396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METESKALVDLWNSRTDHRSEITGNLADIQELIDEDATREVLRVQQVAGVYGGASMPTWTHRQAAKVLWSPQ >Et_5A_041364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21808301:21810807:-1 gene:Et_5A_041364 transcript:Et_5A_041364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPLGQSHIGQERHGAMIGVHDENAKGAGSKVKRPLAFEHRKNDTSLLWPRASDSQALRQSSCDATRSAVTMSLARTYAAQSSWLAVLGQIYDGMYLCLVRILIRKNKHTLYFLNKDKYLVYSPYRACPDDNVPSLTINDVDLRGSHTYHHTSTTPFVVDLKVSRRALSSGKAS >Et_4B_037748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23008031:23010018:-1 gene:Et_4B_037748 transcript:Et_4B_037748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIQLTKEYGYVVLVLVAYAFLNFWMSFQVGKARRKYKVFYPTMYAIESENKDAKLFNCVQRGHQNSLEMMPKFFVMLLLGGLQHPTIAAGLGVLYTIARFFYFKGYSTGIPDNRLKIGGLNFLAMLGLILCTASFGINLVIRETI >Et_9B_064056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10878579:10879313:1 gene:Et_9B_064056 transcript:Et_9B_064056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSPCASCKLLRRRCTKDCIFAPFFPADDPHKFAIVHKVFGASNVSKMLQELPVQQRGDAVSSLVYEANARMRDPVYGCVGAISFLQNQVSQLQMQLAVAQAEILCIQMQRRDDDDAGQQGHDGAVPPALVAAAAAGDHHHHVMAQHHQAAATMMAPEDVDAFLMHHHNGGQLMAAGYGAAGEALKRESLWT >Et_9A_062016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18894483:18901984:1 gene:Et_9A_062016 transcript:Et_9A_062016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEVAPVQHRGQAAAAAWQVVADWLGMLVQILLQIIRGTPSSWAQLLSFIGLRQPLLPSTAQPQPSPEVAFVRLPSSPPAEASLPPLRRLTVVLDLDETLVSAYESSSLPASLRTQAVEAGLHCFDMECISSDKASEQQSCAFFLSTADAEGRQRVNRITVFERPGLHEFLQRTSEFADLVLFTAGLEGYAKPLVDRIDTHNRFCHRLYRPSTVTTQYREHVKDLSCLSKDFCRIVLVDNNPYSFLMQPLNGIPCVTFSAGQPMDDQLMQVIFPLLKHLSLEKDVRPALYETFHMPEWFQRQGIPQIDHVAYINSCAKIMCPYNPAECSGAKILSDSISSSYN >Et_3A_023443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27900980:27901546:-1 gene:Et_3A_023443 transcript:Et_3A_023443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAQVEVPAPPPPAPGASPEKRALPVPSEDEEEPPPEPKRRRACVAALDAVPCAAAAAADADGASFSFQHARGGFVALETTPRFGSFKLSTEAAAHDLKPAAPGGEASPEADEADGEASPEADEEVPGADDGGTEENSRSQSEGVEADADGQGHRHTDENDDPVLTSDQP >Et_8A_057093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2113068:2115726:-1 gene:Et_8A_057093 transcript:Et_8A_057093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCGEVEVEEDDEYAKLVRRMNPPRVVIDNDSCDNATVIRVDRVKKHGILLEAVQVLVDLNLIVTKAYISSDGNWFMDVFNVTDQNGSKLKSKKTIDTIQECLESEDYLVPRATEEATGLAPSEDQSTTIELTGTDRPGLLSEVCAVLACQSCNIVKAEVWSHDKRAAAVVQITDEATGLAIRDAARLSRMQELLGNVMRGDGLLAQNNTTNTGVSVSAAHAERRLHTLMLADDGGGVGREAGAGKARRPGAAKVVVMDCTERRYTVVIVRCGDRPKLLFDTLCALADLQYVVFHGTVDAEGGCKEAYQEYYIRHVDGHPVRSDAERIRLVRCLEAAVERRAYHGLELEVRTEDRVGLLSEITRVFRENSLSIIRAAISTKDGKAEDTFYVSDAYGNPVDGRTMDAVSEQLGHAVLRVKRDAPVKPVPEGGAVSVLGSLLKGSLQGFRLIRSHS >Et_5B_044016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1958639:1961740:1 gene:Et_5B_044016 transcript:Et_5B_044016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATTPADGAAYWLRWQVFVCGALILLPGTVAAALLPRLRRAAPPLRATDLWVPCWTRLHPGWLLGYRAFALAAASALLVRLLVTKGFAVFYFYTQWTFLLVTIYFAFATAISAHGCWVYSKKSLRKAYESHGFLNGDVENHDISTPISGERNKDEPNEMVSYYEQIVNEKRAGFWGRCMQIIYQTSAGATMLTDITFWGLLVPLFYRDKFGLALVTDGMHSLNAVFLIIDTVLNNMPFPWYRMAFFVFWSCTYVTFQWVLHACGGLTWWPYPFLDLSSSGAPLWYLAMAIAHIPCFFLYWAIVKAKHAYFPRMFPHAYARTS >Et_3A_024507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21400197:21400560:-1 gene:Et_3A_024507 transcript:Et_3A_024507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YKYLVRGVPSSSGSPKQVKHQAVLEQSSTKTMASLKAVKPAGQAGQAKEPAPKLSETATKPSAAKGGVKKAEQKPREPKKKAKSSKPAAAKK >Et_5B_045711.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:805164:805757:-1 gene:Et_5B_045711 transcript:Et_5B_045711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGQSVAAVKPALAKAAPSASFRLRNGSLNAVRLRRVFDLFDRNGDGEITVDELAQALDALGLDADRASLVATVGAYVPEGAAGLRFEDFDALHRALGDAFFGSLAEDKEQDEQEGGQQADDEQEMREAFKVFDVDGDGFISAAELQEVLKKLGLPEASSMANVREMICNVDRDSDGRVDFSEFKCMMQGITVWGA >Et_5A_042531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18913602:18916945:1 gene:Et_5A_042531 transcript:Et_5A_042531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDTSDFTFCKVCGAIAWVVCSFDFGYYQNSRYVSSAENDGQPRSPKAIPVASLTLKDGRDDSASISKKNEVQTNDSDQNGRSSSIPGSIISVSQQEYNVKEPVIETSKGAESSIQSQPKPSLKKPAARAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNRRLITLEEVKRHKTGDCIWTVLKGRVYNIAPYMKFHPGEFLLEKCLVGILDPSE >Et_8B_059326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16775284:16779207:1 gene:Et_8B_059326 transcript:Et_8B_059326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHQLEHDEQQTTGGGGTPSSTSATTSTTTNQSGALSAAASMAIGGRDGSKKKTPSAAAGSSSAREKTIISGMSGVVRPGEMLAMLGPSGSGKTTLLTALGGRHGGRNAVLSGKITYNGHPFSGAVKRRTGFVTQHDVLYPHLTVAETLWYTALLRLPRALSAGEKRAQAEAVARELGLAKVAHSAVGGVRGVRGLSGGERKRVSIGLEMLTDPSLLLLDEPTSGLDSTTAARIVGTLRRTAAEGRRTVVVTIHQPSSRLYHMFDKVLLLSADGRPIYYGRAADALAYFASVGFASPLSVNPADLMLDLANGIAPQTNGDEARTAAAVSGGGSESEHKEVRAKLAAAYERHIAPAVKLDICAREPAPAAPQMSRSPSSEWTTGWWTQFLVLLRRGLKERRHESFNKLRIFQVLSVATLAGLLWWRTPASHLQDRTALIFFFSVFWGFFPLYNAVFTFPLERPMLLKERASGMYRLSSYFASRAAADLPMELGLPTAFVLILYWMGGLDPRPGPFLLSLAVVLYSVLVAQSLGLAVGAVLMDVKQGTTLASVITMVFLIAGGYYVQHIPPFVAWLRWLNYSFYCYRLLLGIQFPNGGGFYVCDDGRGTLCPVAEFPAIKAVGLNNHWIDVCVMALLLVGYRVVAYVALDRLKPR >Et_5A_040872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13816212:13817934:-1 gene:Et_5A_040872 transcript:Et_5A_040872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAPIYLARPAGHLAARAAAPAPSSSFFFLLSFRSVRLPPIASHLVPSNPAGGVGEPRPNRGAKLVQECRERYCLPYESLWDDPVPSLFPIPKCKCNMTAVVTQSSHPLTAARAYFCCGNKGNKRGCDFREFIHHPRSHYPDPDSLPDDLLYGENLPCWYPPPLLCQSGVPARQRVVPSELGYGHYRGNTMGEDDEWVSDNDSIFVTLIQGGVIGRRLKERMNFLMRSKKKCPEYYKKALSTRRSNIRDKYLTVPPSFIYNTICSELKLKREGPFWEGPEADVVISYWRRNRDKYPPKSS >Et_3B_028371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14312240:14315542:1 gene:Et_3B_028371 transcript:Et_3B_028371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRFFKQDHGDNSGSSSSSSSSGSDSDRDPEEEEVADEEVEEQEEEQESSGQESGEEEELEPQVQEESSGYQSEDSSGHDVDGPSVDDDEENEHISPRIKQHPEISMPAKKASDGDVDSTKDAGETDDATEAEFANYILKCKSVYKCKLCPRIMCLNEEMVRVHLKSKRHARSKKLLGEGRLKLMLNSDGELEEEQETHAERHARTIALAQQVQNVKKDSGRQRQNRRRKKRSQNPQEKKKEAQKSDKKRRKTEG >Et_3A_025478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30097017:30101820:1 gene:Et_3A_025478 transcript:Et_3A_025478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPREQGDEAIVADGKEDEVGVMGVDGADEHHGGGGGGFSMKSFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQVFYGFMGSWTAYLISVLYVEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALINGQVEGVAHTGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPARFKYIYLLATLYVFTLTLPSAAAMYWAFGDELLNHSNAFSLLPKNAWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSIFKRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHILTYRTASARANAAEKPPFFLPSWTGMFLVNLFIVVWVLVVGFGLGGWASMVNFIRQIDTFGLFAKCYQCPKPPVPAAAQSPAPLPHQ >Et_8A_056969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19669076:19671219:1 gene:Et_8A_056969 transcript:Et_8A_056969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRPHAVVVPYPGSGNINPALQLAQLLHRHGVFITFVITEHNLRRVRDATSTDDGVAGAVGRDGFRIETIPDGLLDADRDAQDYDVGLSKATTHLCAAPLRDLVAGLRDAPGVPPVTCVLPTALMSFALEVARELGVPSMVLWGGSAASLMGHMRLRQLRERGYLPLKDESCLTNGHLERTMIDWIPGMPAISLGDVSSFVRTTDPDDFGLWFNDTEANNCTKAGALVLNTFDALEPDVLAALQAEYPRIYTVGPLGSLLRGVNDGDTETATMELSLWKQDVECLAWLDAQAPGSVVYANFGSLTVLTAAQLAEFAWGLAATGRPFLWVVREDGVVFTGGSGGGAAGSSASLLPPEFLAKTAAWCPQERVLRHRAVGCFLTHNGWNSTCEAVAAGVPMVCWPVFADQFTNCKYACEAWGVGRRLDAEVRRDQVAAHVDEVMQNHLVRGNAARWEAQAEAAARPGGSSHDNLLAMVEALGLGVASSSFDAEA >Et_3B_030793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7400123:7407832:1 gene:Et_3B_030793 transcript:Et_3B_030793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQALPIESQFISQLTDQLNAEIVLGTIQNAREACSWLGYSYLYIRMLRNPTLYGLPADILESDKTLDERRADLIHSAASLLDRNNLIKYDRKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVGVRQDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISKLKLEGLSLSSDMVYIRQSAGRLLRALFEIVLKRGWAQLAEKALHLCKMVDKQMWSVQTPLRQFAGIPKEILMKLEKNELAWERYYDLSSQEIGELIRFPKMGRQLYKCIHQLPKLNLSAHVQPITRTIVSFELTITPDFQWDDKVHGYVEPFWVIVEDNDGEYILHHEYFMLKKQYVDEDHTLNFTVPIHEPLPPQYYIRVVSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVTALRNARYEGLYSAFKHFNPIQTQMFTVLYNSDDSVLVAAPTGSGKTICAEFAILRNHQRAVSGESNMRVVYIAPIEALAKERFKDWERKFGEFAKVVELTGETAADLKLLDKGEIIISTPEKWDALSRRWKQRKHIQQVSLFIVDELHLLGSEKGHVLEIIVSRMRRISSHIGSNIRIVALSASLANAKDLGEWIGATSHGLFNFPPAVRPVPLEIHIQGVDIANFEARMQAMTKPTYIAITQHAKSGKPALVFVPTRKHARLTALDLCAYSSVEGGGTPFLLGSEDEMDTFTGGVEEETLRNTLKCGVGYLHEGLSALDQELVTQLFLGGRIQVCVASSTMCWGRSLPAHLVVVMGTQYYDGRENAHTDYPITDLLQMMGHASRPLQDNSGKCVILCHAPRKEYYKKFLFEAFPVESHLHHFLHDHMNAEVVVGVVENKQDAVDYLTWTFMYRRLTKNPNYYNLQGVSHRHLSDHLSEMVETILNDLESSKCVAIEEDMYLKPLNLGLIASYYYISYTTIERFSSMLTQKTKMKGLLEILASASEYAELPSRPGEEEYIERLVRHQRFSIEKPKYGDPHVKANALLPAHFARHTVVGNLAADQWEILLSAHRLLQWLA >Et_3B_029613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26532128:26535088:1 gene:Et_3B_029613 transcript:Et_3B_029613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRRLPWAGRRRGRGLAGLASSGVPREAADAVVVGAGVVGLAVARALAIAGREVLVVEAAPSFGTGTSSRNSEVIHAGIYYSPTSLKARLCVRGKEMLYKYCAERGVPHKRLGKLIVATGAAETSKLDMLLRNAKESGVNDLQMMEGSQAMEMEPELQCLKALLSPSTGIVDSHSFMLSLLADAENLGTTISYNTAVVGGHVGYDGLELHISESKELQNDSSESHVSPQLTLLPKLLINAAGLSAVPLAKRFHGLNQECVPTAYYARGCYFTLSQSKSPFSRLIYPLPEDGGIGVHVTLDLNGLVRFGPDVEWIDGGMDTVSCFLNRFDYSVNPQRCSRFYPVIRKYFPNLKDGCLEPGYSGIRPKLCGPGLPPSDFVIQGEDIHGIPGLVNLFGIESPGLTSSLAIAEHIISRYL >Et_2B_019793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1480605:1485439:-1 gene:Et_2B_019793 transcript:Et_2B_019793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEETFGEGPEMEAPAFSTVAVAVSGSRSSRHALKWALDKFVPEGRVLFRIVHVRPAITMVPTPMGNFIPISQVREDVASAYRKEAEWQASNTLLPYKAMCTQKKVEAEAVLLESDDVAAAISEEIAKFNIGKLVLGSSSRNIFRRKLKGSKTATKISECIPSFCTAYVVSKGKLSFVHSATTDTRETPKSISSSTVSSPSSRSLSSSVPSEWEDTYGTPKVFFHQPSLPLQSDQALAIINKLANRRASPSGSAASEISYNDDSALISSRSIEHEKQFSSSSSGNSNYKSFQRDNLPDNSDQGSVLCISTNVNFSHDQDDLRLQIEKLRVKLQHLYKDYDAAQHQSSDATQKTNNLGTLRIEDEIKLKEIELTENMVRRLVRKQETEEYEAAREAEFKQKSDETELIRSSCDQEANENGAVKGISVHCFDEYNRYTWEEIQESTSSFSADLMIGKGSYGTVYKAKFHHTIAAVKILNSLDGSGTQQLQQELEVLRKIRHPHLLLMLGACPEHGCLVYEYMDNGSLDDMLQRRNNTPPLTWFDRFRIAWEVATALMFLHCSKPEPIIHRDLKPANILLDRNLVSKIGDVGLSTLLPSMGQDLSTMIKNTAPVGTFCYIDPEYQRTGVLSMKSDVYALGIVILQLLTARSPMGLAHIVETALENGCFVGILDSTAGQWPLNETQELAALALRCSEMRRKDRPDLNGHVLPTLERLKDFASTVRESNLQRPSAPPSHFICPILQEVMVDPYVASDGYTYDRKAIEMWLSKNHKSPMTNLHLLNKSLIPNHSLRSAIMDWRSKSN >Et_7A_052829.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:18606928:18610098:-1 gene:Et_7A_052829 transcript:Et_7A_052829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGKVHPAGGGGDYTINMENFSKRLKVFYDHWKEHKSDLWGSSDAIAVATPPTSEDLRYLKSSALNIWLLGYEFPETIIVFMHKQIHFLCSQKKANLIGTIKKAANEAVGADIVLHVKAKNSDGADLMDNILQTLRSQSKSDSPTVGHILKEAPEGQLLEKWSNKLSGSSVQLTDVTNGFSELFAVKDSTEVTCVKKAAYLTSSVLKNFVVPKLEKVIDEEKKVTHSSLMDDTEKVILDPLKVKVKLKSENVDICYPPIFQSGGKFDLRPGASSNDDYLYYDSASVIICAIGSKYGSYCSNVARTYLIDAMPTQSKAYETLLKAHEAAIEALKPGNPMSMVYNAAVAVIEKDAPELLPHVTKSAGTGIGLEFRESGLNLNAKNDRRIKLGMIFNVSLGLQNVQAETTSEKTKQFSLLLADTVVVTEKGRDILTAPCSKAIKDVAYSFNEDEEDVPAVKVESKIAEVVPSKATLRSDNQEMSKEELRRLHQAELARQKNEETARRLAGGGSGSGDGRGPARASNELIAYKNVNDVPYARELVIQVDQKNEAVLLPIYGSMVPFHVSTVKSVTSHQDNRTCTIRIFFNVPGMPFSNDSKLNSQGAIYLKEITFRSKDTRHSSEVVQQIKTLRRQVASRESERAERATLVTQEKLQLANNRMKMMRLSDVWIRPAFGGRGRKLTGNLEAHFNGFRYSTSRADERVDIMYGNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGSRRSALDPDEIEEEQRERDRKNRINMDFQNFVNKVNDHWQQPQFKGLDLEFDVPLRELGFHGVPYKASAFIIPTSTCLVELIETPFLVVSLSEIEIVNLERVGFGTKNFDMAIVFKDFKKDVLRIDSIPSTSLDAIKEWLDTTDLKYYESRLNLNWRPILKTIIDDPQKFIDDGGWEFLNMEASDSETEETEESDQGYEPSDAEPESESEDDASESESLVESDDNDEDSDDDSEEEKGKTWEELEREASNADREHGAESDSEEERRRRKAKTFSKSRAPERSSFKGAPPSKKPKFR >Et_4B_036340.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:18662863:18663000:1 gene:Et_4B_036340 transcript:Et_4B_036340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVAAYCGRFYAEKPELAARRIEAIGFQVGHQLSERYRKKKILL >Et_3A_023665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10593361:10595492:1 gene:Et_3A_023665 transcript:Et_3A_023665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DRSIPNQGHINDAIEDSKKSKKKLNWTNDKEFSFCMFGKDLSNNPRDNTSSMDGDKGGSFDCTDQGECKETTYKLDYSDAKSKNRKRRIESSQDGKLKPSLVKHSEEVLEDGNQTLREMKKSRRQKVIFEEDEDEDEATEVDTSRQMSKPAKVSMPLCVEQQSNCCSRPIDEPTWRGIFKIGQKYVSLSGHLSTKYGENIIDANCSKAWPKGWEASKPNDDNIGLYFFPNEMRTNEELDQLVKEVTGNDLVLRAIIGEVEMLIFPSILLPKQYKTFKGKNYLWGAFKPRKHEGLAAVEPLNVNRCCAHVVGKEAHHFSSHQYENTHEALATGLQKTPNKGFEPKPPEEGRQGDMFHHGMYTSEATENAIDGGTLPANHGEIESKQGTSLRKYFWICCWTYSQTRATYSRDGTRRWCCNCNAWGDNRCWALAKKHHNLFVIGDCMGHLEEKGCGAQISANRLYELTISYSSGSTGVTVGRLVVLN >Et_3B_027970.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:2962116:2962160:1 gene:Et_3B_027970 transcript:Et_3B_027970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVKMAQRTNMGL >Et_1A_005952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1590324:1591299:1 gene:Et_1A_005952 transcript:Et_1A_005952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKSKRTPAAVPMEIPPAEAQAVPPPGSQPAPMAIPSMYGPGAWCPPYPPQSMAPTSNPYWIPGLQPPGMTGSSAQGLRWAPPFNGSSAHMEDPDTQAWGVDSCPPGGLLNFLNKNIPNHGPAQAVSNGSSSQPINVGDDANGSDRPRT >Et_3A_026911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2598838:2599851:-1 gene:Et_3A_026911 transcript:Et_3A_026911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARDRASGPRPEPPYKARASPSQERAPAVPLLLRTSALLDPSFAAAQSSKQASLSSSSPLQSEAAASMAIRVGGRAHGLPLLLLPGPMALVLAVAVLSFGAPVAAAASEAPAPSPGLVFHVGGPRGWRVPDAGTNYGWWAMNNRFHVGDELYFKYGSDSVLVVDRVAFDACNTTDPVAVFTDGATAFRLDRPGFFCFISGEPGHCDDGQRLVVRVMVHPPAPAPAPRPAEGPATSAQQPGHGGGGSAGAGAGGRPGEHGASCGGAAATAVGVALAAVAVVVASLVLVMFQ >Et_7A_052118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4858310:4863570:1 gene:Et_7A_052118 transcript:Et_7A_052118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSSSSSGPWRYLNPAYYLKRPKRLALLFFVFVAATFAFWDRQSLVREYESEISRLDDEINRLHDQLRKAGVHLEENPISDKMSRKDIVEIDPINNERREKVKEAMLHAWNSYVKYAWGMDELQPQSRNGVNSFGGLGATLVDSLDTLYIMGLKDEFQKAREVVGGLLSAYDLSGDKIFLDKAKDITDRLLPAWETTSGIPFNRINLAHGRAHNPGWTNGDSILADSGTEQLEFIALSQRTGDPKYQQKAENVITQLQKIYPSDGLLPIYINPHSGTASYSTITFGAMGDSFYEYLLKVWIQGNKTEHVKHYRQMWETSMEGLISLIRKTTPSNYHYICEKNGGSLSDKMDELACFAPGMLALGASGYGSEKSEEIMNLAKELARTCYNFYQTTPTKLAGENYFFHSGQDMSVGTSWNILRPETVESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLRDVNTGEKDNMMQSFFLAETLKYLYLLFSPPSVISFDEWVFNTEAHPLRIVPIHDNKAQASGFETPVVRPFGRKQGKPE >Et_1A_006665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2607342:2609898:1 gene:Et_1A_006665 transcript:Et_1A_006665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAWRQSGVAAVADHLGLSVCAGRARPARLCLYSLALSFAAFAAFLAFAPSIPAPAPLSPAASWLDGLIASSSPYRAQVSGFLSSLFPANSSDTGLPGGGAVAARRGGPSGGIPTSSLGGILSGGGAPSKAPTAVVQSAAPPNDHVRGGADAKNSTGSPVAEAKGTDEVSHGASSQSGSMARGGVPVRSNDADVNGSSAGAGDGSAVKANARNAAGSTHQLGSGTAASINGTAVPFQNHTGTAIAAAVGGEGAASQRSEAADSNQTVLVQVPADIQNRAASVGSNSSVNLQKESNSSQQGITSLVKGDHSAPAVAAVANVSSALPVNQAATASGRRRKDYNCSVEFFRSPFLVQEWEVPIRNGKGTRETLRLDIIDRNLPRYKNADIIIFNTGHWWTHDKTALGKNYYQEGDRVYSELDVHDAYRRALNTWAKWVDSNVDPKKTTVFFRGYSASHFSGGQWNSGGSCDHETEPITNEKYLTPYPTKMSILEEVLHGMKTPVVYLNITRMTDYRKEGHPSVYRKHKMSEQERKSPEGYQDCSHWCLPGVPDSWNELLYAQILVKQRQMMQQ >Et_3A_026802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21260277:21262127:-1 gene:Et_3A_026802 transcript:Et_3A_026802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVEAQKPLLHFLIRRTGLRQHTVDVDGAGTVISFWMPKDKVPREKATVRDITPEAAEAKKQQKTKKAEKKERPAVVLVHGFAAEGIVTWQFQIGVLAKHYDVYVPDLLYFGGSTSPSTDRSPGFQAECLATALRKLGVERCTVVGFSYGGMVSFKMAESHPDMVRSLVVSGSVLAMTDSISETSLERIGVKSSAELLLPETVKGLKALLSIATHRKLWFPDRIHSDYLKVMFNNRKERAELLEGLVVSNKDATVPVLPQKILLLWGENDNIFNIELAKTMKEQLGEKTMLQSISKAGHLVHLERPCVYNRCLKEFLACVNAEAPKE >Et_9A_060910.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16270178:16271235:1 gene:Et_9A_060910 transcript:Et_9A_060910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPATAGSKRAALLPQRSPRPIHTNPPLRLAAASPPTSLASSDPPLALSPLPRGEPPPGTTRTDRTMARGENNDAQASNVPAASAPAAGAKPAAAAGGARGAEGQSVMRRLQSELMALMMGGDPGVSAFPEGDNIFNWVGTIAGSAGTAYEGTSYRLALAFAADYPYKPPKVRFDTPCFHPNVDVHGNICLDILQDKWSSAYDVRTILLSIQSLLGGTNRNGVIASIHILLFLFLIDLSCLFCCLPHAQSQTTTRRSTRRRPRSGLTRKVMQQLDLLCLTRSIGFLLVLKI >Et_2A_015942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19420168:19422367:-1 gene:Et_2A_015942 transcript:Et_2A_015942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRRSLHDSRSSQLVMADRWSREEIRPLLLKLKDVMFDKNMDEHRLMKGRKMRVEREEAEPDLTINGFSPSGGVGLPNGPATAVHWRAVSGLSEGLQWPLDVFGMITVRDSADHNLNIAYYRTRDACQTLTEKDTYLTLTGPSRAVVLDLDSNMVMIEVELKVKGENESEDKYLSFLAEPVTFITGLSGPSNFNYRSKNSSLSFMLSNIAASVEATIFIRVIEGSWPVGVSGDITVTVEDENVYLLEFGADEVPVGGDGDVELSRRVVSVRVDNVLDVCCYGRTLGEQFVIFKVAEAGRSYATLMLGTCKLEVLAVWSVVSPELGGTSLWCC >Et_2A_016347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23641907:23642494:-1 gene:Et_2A_016347 transcript:Et_2A_016347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FRKHLCDHKPGRRSAVVAAAAKSRVELLHLHGLQVLLHDTQDEHRLQRVLPEDQEGSSPDARAGDPPDRQEAWPGERLRSLQPPGRGDQDQETDQPPRRDIGGQGGLAGGRRGSRRPYALKHAAPLSYAPCC >Et_2A_014826.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:17223984:17224109:1 gene:Et_2A_014826 transcript:Et_2A_014826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARDENGRVILTAWRVLFKCASTEEAELEACLDGLRLAAD >Et_1B_014420.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:8178455:8179051:-1 gene:Et_1B_014420 transcript:Et_1B_014420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLKCSTFKCLLLLLCCCIVASTADPHSSELSQNLNLHHSVSYKPQPQDFPNERLQRAYLVIQRFKKTVTCDPKNITASWSGKDICGKTSYSGFYCNTPTKQAEVTVTAVIFNGFGLCAPKLQGFVDQLPDVALFQADSNHFGGEIPRLGGLSYLYKLRVRNAHEIHVPYDDAKYALIRAMANVGNLIRAKSNLRA >Et_1A_004564.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:18211691:18217604:1 gene:Et_1A_004564 transcript:Et_1A_004564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTEAAVVSAAVSGILKILGNKLAPLLIKKYSAIVGVQSDLKELQDQVEEINYWLETVGDKAMGNAPSFNWLKKLKDVAYDVDDVVDEFQLKAERNETVGEGGVMSKYLFNKPKSFVFQCKVAIKIRAIKKRFAAIVRQRTEFSLIAGSLDVGCPGHPINMTTNEMPSLPIVNAATIIGRDQEKRQIISKLVESNDQERIKIVAIIGLGGSGKTTLAQLVFNDDSIIEKNFDIKLWVHVSQVFDVAKLIEKLFEDITGEKSEQYPLQQMSKTILDKLTGKRYLLVLDDVWTQDRVLWDKFMVHLESGTPESGILLTTRSKTVAEAVGSTNQFDLPFLSPGDSWQLFKQTLVMPAKGWDFDFDVIGKEIVKKCGGVPLAIKVLAGALRGKELMGEWQAMRDNSLLDIEGQDRDVSVSACLRLSYFHMPSHLKQCFTICSVFPKGRLIDKEQLIDQWIAHDMIAPAAGVDYMEYTGHKYFNSLVQMSFLQEVDECYGRVRCRMHDLVHDLAWSIVNDEISLVVPVEAVGPTKRYRYFSLTKTSEHHVPENIFEKARTIYIDKGDDIIFGKALKNAKHLRSITTESIYAAAVPPAIFQVKNLRYLKMSRLQCVALPETLSDIWSLQALHVTCCLSLLELPKSIGKLQKLRTLNMSGCKMLKCLPDSIVDCYMISSIDLCYCNEITFLPNSIGRNKNLRVLNLHGTKVKGLPSSMTALENLECLNLECCDELVELPEGIGKLKKLGVLNLERCRGLRALPEGIGQLNRLWNLSTFIVGEGKKTARISELGNLSRISGNQTITGIARVMNPDDAYKACLKQKTNLQRLRLYWGKTELGRGRGHMEEVNTEVEQAVFDGLEPPSEIKEIEIVGYGGERRYALWMLKQAGGRAHFPFLMQITLSGFPNLRHLKGLVELPCLEKLTLRWMGSLESISGGPFPSLVSLVMQGMDRLGEVWMVIGRTLAGGEEEQMQIGTRLSYLHIDGCPNLIVKPHLPSSLEQLKLERSNKQLLQSPGQDQGSSSSSNYGPYFSRLKKLELWKMSSSSSSPPPPQMIASSSSAPPPGLETGHGWELLQHMTALESLMIKDCDGLTQLPKSIRSLTSLQILCLKDCSAIEILPKWLGELQSLQDMTIFVCHRLSSLPESIGRLTSLQVLRIEWCDELFQLPECLGELHSLRRFVISGLPVGLFGLPQSMRHLTSLQQIKICNCPGNLPEWIQDLTALQQLVILKCPGIRSLPEWIRRLTALQKLDIVSCPNLERRCERGKGEDWPLISHIPQLNIGYSPVGWEF >Et_9A_061357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10506017:10512800:1 gene:Et_9A_061357 transcript:Et_9A_061357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPCSSRLLLFCGPTAVPYPLSTAAGCGDPAYKVRCSSNSSTLFFDALNGTSYPITSISATTQRLVLSPAPFISATACVSEGAPASRGVQLNASLPFNVSSSNTIMLLNCTAALLQSPLDCSPTSPCHAYANASASACAPLPLCCTFVAGGSSTSYSVRVSPRYCSAYRSFVGLDVASQPPATWGQRLGLELQWATPREPICQAQGDCEDGGNATCADDPQAGGVRRCFCVSGLTWNPLAGACQQNPSDCQSAGDCGGSNHAPLIAGLVCGLGGGLLLAGAGLFAYRRQRRIRLAREKLAKEREEILNANNTSGRTAKNFSGRELKRATGNFSRANLLGSGGFGEVHHRSLVRLLGCCVDLAQPLMVYEFVPNGTLADHLFGTMPQPQKPSPLPWRRRLAIARQTAEGVAYLHFAASPPIYHRDIKSSNILLDAALDAKVADFGLSRLAEPGLSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSFGVVLLELLTARRAIDFARGADDVNLAVHARRAADEERIMDVVDPAIKEGATRLERDTMKALGFLALGCLEERRQDRPSMKEVAEEIEYIINVEAGAGHADIDQQLHDD >Et_6B_049896.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1744089:1745051:1 gene:Et_6B_049896 transcript:Et_6B_049896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGLGAVDDGRSPASCGGDGDAAIEDLPADVLALVLRRLDGASLAALGCACSSFHDLATDPATWRGLCLAMWPSVRDVPCCNGDWHRALFADAFPFPSPAPVHSARAGLPERLISAVDLHHGGACIMSRVVETDTSSAWFRGSPFRVDALVQEGFSAPTAITPAELTLSWILIDPASGRAVNASSRRPVSVDRKWLTGDVVARFAVVLGDGGGVALDAAVTCDERLGHVREVSLCAEDGDGGGVSGIDGLAAVAAAMTGARRGLRGAEEDAKRRYREFVKGKRARKEWKARREGMLDLCCSGVGAAAFVSFLVMLMFR >Et_8A_056047.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:12247638:12248135:1 gene:Et_8A_056047 transcript:Et_8A_056047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVFWAIRGGGGGSWGVVYAWKLRLAAVPSAVTVLTPTRNGTKAAVAALVHRWQFVAPALPDEFYLAVNLTIGGPPEWQRDDAVISVSFVGLVLGPKELALSVLREKFPELGLAETEVAETSWVESAARLAGLSSAADLASRVPDPKASPKRAFQT >Et_5A_041517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23959093:23963380:-1 gene:Et_5A_041517 transcript:Et_5A_041517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGSFLVLLLLASPALGQLPSQDILALLAFKKGIAHDPAGFITDSWNDESIDFNGCPASWNGVVCNGASVAGVVLDGHGISGTADLSVFANLTMLVKLSMAHNNLSGSLPSNVGSMKSLKFMDISNNRFSGPIPEDIGNLRSLQNLSLAGNNFSGPLPDSIDGLMSLQSLDVSSNSLSGPLPAGLKGLRSLAALNLSRNAFTKGIPVGLGLLVNLQSVDLSWNQMDGGVDWKFLIESTVAHVDFSGNLLTSTTPKELKFLADISETVLYLNLSNNKLTGSLIDGVELSTFGRLKVLDLSNNQLSGDLPGFNYVYDLEVLRLANNAFTGFVPSGLLKGDSLVLSELDLRANNLTGHINMITSTTLQILNLSSNALFGDLPLLAGSCTVLDLSNNKFRGNLSVIAKWSSDLEYVDLSQNNLTGTIPDVSSQFLRLNYLNLSHNSLSEAIPEAVVQYPKLTVLDLSSNQFRGPIPADLLTSSMLQELYIQDNMLSGGISFPGSSSKNLSLQVLDISGNHFNGSIPDDIASLSTLRVLDISTNNFSGPLPAAVTKLGALTDLDISTNQFTGPLPEELPDDLLSFNASYNDLSGVVPVNLRKFPESSFHPGNSKLEYPASSSGSGNSPSGSGGKSLGTAAKIAIIAASIVVLVILILVAIVCHYKKISRQFPSSEKVSDKNLHRPPKDIASKDSKGGLVSADELVTPRKGSTSEALSQEEKSAAGGFSPSKGSRFSWSPDSGEAYGQEGLSRLDVRSPDRLAGELHFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLENGVFLTVKWLREGVARPKKEFAKEAKKFANIRHPNVVGLRGYYWGPTPHEKLILSDYVAPGSLASFLYDRPGRRGPPLTWAQRLKIAVDVARGLNYLHFDRAMPHGNLKATNILLDGLDLNARVADYCLHRLMTQAGVVEQILDLGVLGYRAPELAASKKPSPSFKSDVYAFGVVLLELLTGRCAGDVVSGSEGSVDLTDWVRLRVAEGRGSDCFDQAMALDSENQQAVKGMKEALGIALRCIRPVSERPGIKSVYEDLSSI >Et_2B_021813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5738097:5744429:1 gene:Et_2B_021813 transcript:Et_2B_021813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAAALPLQAAPAAGSPAAPLQRARRRQHQQSRRWRRPRGFLAWGTLVAFFFLMNWWMFSRLQDPAARPHFRLRRHPPRATNSSLSTLEEVAGAGKGKRPHKVMLTRLLALAAHALAEAETRPEPKDLWKEPINATMWKPCSDQRDWQASEGTNGYIMISANGGINQQRVAICNAVTITRLLNATLVIPKFLYSNVWLDKSQFGDIYQEDYFINYLKSDIRIVKNLPVELQSLDLEAIGSLVNDTDVMKEAKPSVYLKKIMPILLKNRVVHFIGFGNRLSFDPIPSELQRLRCRCNFHALRFVHKIQETGALLIERLHGHMRLSSSLKVNLLGQFAVKSVPIGNMSDASKYLAVHLRFEIDMVAYSLCYFGGGKEEEDELEAYRQIHFPVLTEIKKTTKLPSAAFLRSEGKCPLAPEEAVLMLAAIGFKRSTKIYIAGAEIYGGSHRMAAISRLYPSLVTKETLLSQSELEPFRNFSSQLAALDFIACAAADAFAMTDSGSQFSSLVQGYRMYYGGGDLPTIRPNKRRLASILVKNATIEWKEFETRVRKLIQQTKQVHERPIARSV >Et_5A_041862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3590441:3592200:1 gene:Et_5A_041862 transcript:Et_5A_041862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKTTGEEGEGCRELRVQPTSGPRHLRSAFKEYLEWLHEVSRLHLSLAFSAVDIADLPDLDDDDDLVDDYDVAIRVGTQPERAPLHNYTVSCLAVVNTFSHSFITISDATQMGRLSNEAAYALRYPQGSTEEVGALRFFSQRVRKSCRRLALKLNCIQHQDVVQGHEHAPTPSCSRFSTRTRASTPRNVGSSSRAHGSSESEDDGSSEEDDPAYQGHATY >Et_4B_040076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9026341:9029324:-1 gene:Et_4B_040076 transcript:Et_4B_040076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAERVVGEHRMREIQRFARNAKLTVICLLLTVVVLRGFVGAGRFGTPQQDLIELHQHFVTHPHRALAEHHDARSRSSAAATTTSSSTGSSAGAGGRDNEPGPRTRSLSDPPYTLGPKISDWDEQRAAWHRRHPETPPFLNEVKPRVLLVTGSSPKPCENPVGDHYLIKSIKNKIDYCRIHNIDIFYNMALLDAEMAGFWAKLPLIRALLLAHPEVEFLWWMDSDAMFTDMVFELPWERYSSYNLIMHGWDDKVYDNKDWVGLNTGSFLLRNCQWSLDMLDTWAPMGPKGPVRNEAGKVLTKFLKDRPEFEADDQSAMVYILATQREKWGDKVYLEYGYYLHGYWGILVDRYEEMIENYRPGFGDHRWPLVTHFVGCKPCAKSGHYAVERCIKQMDRAFNFGDNQILQMYGFTHKSLSSRRVKRIRNETSNPLGMKDELGLLHPAFKANRGDREGEFGMDGYNGCKY >Et_1A_005547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11362692:11366390:1 gene:Et_1A_005547 transcript:Et_1A_005547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVAASLLPAASPSPAPSPSARRATASTSVSFPSSCSARLGLRSRPSSRFSQKAARGGRGVLRVVRCMAASDPAQVKSAREDIKELLKTTYCHPIMVRLGWHDSGTYDKNIKEWPQCGGADGSLRFDAELKHGANAGLINALKLIQPIKDKYPGITYADLFQLASATAIEEAGGPKIPMKYGRVDVTAPAQCPPEGRLPDAGPRDPAEHLREVFYRMGLDDKDIVALSGAHTLGRSRPDRSGWGKPETKYTKDGPGEPGGQSWTVEWLKFDNSYFKDIKERRDQDLLVLPTDAALYEDPSFAVYAEKYAEDQEAFFKDYAEAHAKLSNLGAKFDPPEGFSLEDEKKEEPEPTPEPAVAAAPPPTPPPTPTPEPEPAAAPAREPVAAAVATATAEDKNGAAPQPEPFVAAKYSYGKRELSDSMKEKIRAEYEGFGGSPNKPLQSNYFLNIMIVIAGLAFLTSLVMN >Et_1B_010465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10841035:10843904:1 gene:Et_1B_010465 transcript:Et_1B_010465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTSKICGEKCTQRDQAEILYWSIDYWQCVDKNVAMISLSKLRKTQDTKNRHVSLQGLYPGIWLISDIQMASVTVHQEAMHAQKPLGRIDHHQNEQPMDPTSRHTEANEDATTAANRSGPLAPDAAGELDVLGHDGDALGVDGAEVGVLEEPDEVGLGGLLQRGDGGALEAEVGLEVLRDLPHQALEGKLADQQLRALLVLADLPERDGAGAEAVGLLHPAGRRRRLARRLGRQLLPRRLAARGLARRLLGAGHRSLERRRTVSRRRRGGGGFRKDLGGETGRVFVGGAGRWREPGNFSGGWARGWRGVGWGWTGQMRLGWGGDPRDGWRWVPADWRANRTLGAPNAATQVFFRASAKLPD >Et_4B_036205.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:10580199:10580432:1 gene:Et_4B_036205 transcript:Et_4B_036205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDFGVSPSAEHYSCVIDLLVRAGRQNEAVDFIENMPFKADTLSWTSIVGGCKPRVMRLCCRSGKKGNKYGVVTSF >Et_7B_053669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1087074:1090175:1 gene:Et_7B_053669 transcript:Et_7B_053669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLHWWFLFLLVVVLLHVHGAQSLNQTCHPADLEALLAFSNGLDRKGERLVGWGSDAAAAAACCSWTGVTCDLGRVVLRFSENAFSGEVPSGFGLCKALAELYLDGNVLTGNLPSDLYTMAKLRKLSLQDNQLSGNLSNALGNLSQLVQLDLSYNNFSGPVPDVFGGLRRLESINLATNGFHGKLPASLSGCPMLKVISLRNNSLSGVIDIDFKLLPKLNTFDAGTNNLSGAIPPGFAWCTELRTLNLARNMLGGQIPENFTALRSLSYLSLTGNGFTNLSSALQVLQHLPNLTSLVLTKNFRGGETMPEDGITGFKRIQVLVLANCLLSGRIPPWLRNFENLSVLDISWNRLNGNIPPWLGNLNNLFYIDLSNNSFSGGLPESFTQMRSLISVNDSSEQPSTENLPLFVKKNSTAKGLQYNQVSSFPPSLILSNNLLVGPVLSGFGHLVKLHVLDLSWNNFSGPIPDELSNMSSLEVLNLAHNDLDGKIPLSLTKLNFLSKFDVSYNNLAGDIPSGGQFSTFTNEDFAGNSALCLLRNSSCLEKASFVEAPHRKKSKASLVALVLGTAVGVVFMLFSAYVIVSRIVRSRMHEHNPKAIANAEDCSESSNSCLVLLFQNNKELSIEDILKSTNNFDQSYIVGCGGFGLVYKSTLPDGRRVAIKRLSGDYSQIEREFQAEVEALSRAQHENLVLLQGYCKVGNDRLLIYSYMENGSLDYWLHERADSGVLLDWRKRLRIALGAARGLAYLHMSCDPHILHRDIKSSNILLDENFEAHLADFGLARLICAYDTHVTTDVVGTLGYIPPEYGQSPVATYKGDIYSFGIVLFELLTGRRPVDMCRPKGSRDVVSWVLQMKEEGRETEVFHPSIHGKENESQLMKVLEIACLCVTSAPKSRPTSQQLVSWLEEISED >Et_7B_053881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1358982:1362408:-1 gene:Et_7B_053881 transcript:Et_7B_053881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVALTPTSPALVASLRCREHGRVRLSPRRPRHTAGRCTATAQTFQGGPAASYAREMERLSAKESLLLAFKDAGGFQALVSGKTTEMQRIDVNERIVGLERLNPTPRPTTSPFLEGRWNFEWFGDSSPGAFAARLLFERSPTTVAHFKGLDVLIKDGYAKISSDLKFLNTIQSKFLLTTQLSVEGPIRMKEEYVEGLIEIPKISEETMPEQLKGLIGQAAGALQQLPSPIRDAAAEGLKLPLGGTFQRLFMISYLDEEILIIRDAAGSPDVLTRLEGPQPNPVDGTADAVISEYES >Et_2B_020154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17367384:17373571:-1 gene:Et_2B_020154 transcript:Et_2B_020154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRGNAPSFYFLNLVGIEVAGRALDIPPRVRNDGHHNRLGHRIQPPDPAGLRRAAISYKRAPALSFLDTCYDFTSRTRLRIPSVALVFADGTTLSLGPRGLLYVWSVGQTCLAFAPIGNDTVGILGNMQQRTLAVVYDVANQRIGVVHRHGPCSPLLSRGGSSPTTHAEILDRDQERVKSIHRKHHGSSSKTNPADASKGVSLPAYRGLSLGTGNYIVSVGLGTPARPFSVVFDTGSDLSWVQCKPCNDCYEQQDPLFDPTQSSTYSAVPCGARECQELDSRTCSSDGNKCRYEVVYGDQSQTDGNLARDTLTLAPSSGEAAALPGFVFGCGDGNSGLFGKADGLLGLGRARASLASQAAAKYGAGFSYCLPSSSSATGYLSLGAAAPANARFTPMLARGDTPSFYYLNLVGIKVAGRAIRVPSTVLRTPGTVIDSGTVITRLPDRAYAALRSAFAGYMGSRYRKAPALSILDTCYDFTGYTTVKIPSVALVFQGGATVSLDFSGVLYVSRVSQACLAFASNGDDTSIGILGNTQQKTFAVVYDVGNNKIGFGAKGCS >Et_1A_009485.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6484967:6486214:1 gene:Et_1A_009485 transcript:Et_1A_009485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFTPSGRFSKEEDPDEEQQDASNSRREIPFMTAAAAATAASSSAASSASASASASSSTPFRSASSGDGAGASGSGGGGGGGDAAEAEAAAVEKEHMFDKVVTPSDVGKLNRLVIPKQYAEKYFPLDAAANDKGLLLSFEDAGGKQWRFRYSYWNSSQSYVMTKGWSRFVKEKRLVAGDTVSFSRAAAEDARHRLFIDWKRRTDARDPFRFPRLALPMPSHYGAGPHHYSPWAFGGGVGAAGFFMPPSPPATLYEHHRLRQGLDFRGMNYHPAAPAVGRQTLLYHFGSARMPPHAAPLLPRAPSPLHYTVQPSAASPVVLDSVPVIESPTTAAKRVRLFGVNLDNNLQDSSGGGGEPSNLQGNDALSLQLPGSTPTLRLMELHRHGGAEYSAASSPSSSSSSKREARSALDLDL >Et_1A_008432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7618972:7622264:-1 gene:Et_1A_008432 transcript:Et_1A_008432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRMPPKKSNNTKYYEVLGVSKTATPDELKKAYRKAAIKNHPDKGGDPEKFKELSQAYEVLNDLEKREIYDQYGEDALKEGMGGGSSSDFHSPFDLFEHIFQGGGGPFGGGSSRGRRQKRGEDVVHPMKVSLEDLYNGSTKKLSLSRNALCKKCKGKGSKSGASGTCHGCRGAGMRTITRQIGLGMIQQMNTVCPECKGSGDIVFVLQLKDHPKFKRKYDDLYIEHTISLTEALCGFQFVLTHLDGRQLLIKSDPGDVIKPGQHKAINDEGMPQHGRPFMKGRLFVEFNVEFPEPGTLTPAQCRSLEKMLPPKPGSKLSDMELDQCEETTLHDVNMEEEMRRSRQQQKRQEAYDEDEEEEGGPRVQCAQQ >Et_4B_037992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25365735:25367287:1 gene:Et_4B_037992 transcript:Et_4B_037992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGLSARRIVVDARHHMLGRLSSIIAKELLNGQKVVVVRCEEICMSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRSPAKILWRTIRGMIPHKTKRGEAALARLKTYEGVPPPYDRTKRMVIPDALKVLRLQPGHKYCLLGELSKEVGWNYHDTIRELEEKRKEKAKVAYERRKQLAKLRVKAEKAADEKLGSQLEILAPIKY >Et_2A_016504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25377505:25388418:-1 gene:Et_2A_016504 transcript:Et_2A_016504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCSFTSSSVEAASTSISTLREAPCLLGTSAILLLNVNRFRVIIDLAKAMCYLHAEHSDARYVVHGDIKPRNIMLDEKLNTKLGDFRLARLFEHGTEPQTTLVMGTYGYIDPEFPITRKKCRESDVYSFGIVLLEIATGVKPTDRQYRARVWEKYARNRVIEAASRSLRSDFSDRQIERVLIVGLWCTQQAQIERPSIAEALRVLENADSQLPVLCPCNHRPASLAAVSEPPAHRTAAASIVGEMALVPLQMYSNTAPVEARSGTQSSWAGTMSSTTHVLSLICFLVAASCGSHGAASALSFDCDFSNASTFSLADFTTAGDAVLHGGRFDLTVNTYGSNLARSVGRVSYAHPVQLRDGATGEVASFTTAFSFAINITDKNNKGDGMAFFLGSYPPALPASSYGGALGLCTDYCVNKTAGEDRFVAVEFDTFNDTWDPNVTYDHVGIDVSSLASVANITLPSFSLNGQMSARVDYNSSTGEMDVELRFVRSPRFGDGTPVFNVSAKVDLGTELPEQVAIGFSAANGASVELHQLLSWSFSLISSWSSPGSGTRTGASESRIGLKVALGATSMVSLVLCLTVLALLRALRRKTLALAEIELEPEARNKIMDEEFEKGSGPKRFEYSQLAVATRDFSEEEKLGEGGFGSVYRGFLKELGVDVAIKRVSRGSEQGRREYASEVKVISRLRHRNLVQLIGWCHESRELLLVYELMPKGSLDTHLYNPNFLLTWPVRLKIVLGLGSALLYLHEEWEQCVVHRDVKPSNIMLDASFGAKLGDFGLARLVDHGRGSHTTNLAGTMGYMDPECLVTGRTGPESDVYSFGVVLLEVACGRPPVVLPPPGGQEEADQQRRTRLIEWVWSLYGRGAVLEAADERMGGDFDGGEMERVMVVGLACAHPDCSLRPSIRQALGMLQCEVTLPTLPAKMPTPNTSISVQGVLVSKLILNSSKTETLAYQNEHQAILSSRRLAISLEEKNCKLDSEDKAQGETYLCYPCSSVHCGIFRFSLGTGMRNSKESTASSMFGRCR >Et_9A_061281.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:6068901:6068990:-1 gene:Et_9A_061281 transcript:Et_9A_061281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPPSAQDMSYYDHVQKRHEEKGCLYAW >Et_8A_057073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20817752:20818861:-1 gene:Et_8A_057073 transcript:Et_8A_057073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDWAGGLPTDVLLSILHRLDHVDVLVSADRVCRAWRRAARDEPSLWRRITMRWHERFAGMDRVAMAAAAAVRRSAGQCEAFLGEYFVDNGFLRYLNLQAPCLKSLRIICCDFVANGCLIAAVIAHPLLEELEFSLCGHISNEWVGHATEEVSKMKHLRKNTLYRDVEAQDIATFMPDLQSLQLFGNVLTNVGLGAILDSCPKLEYLDIRHCFNIYMDTTLLSKCAALKTLRLPNDPTDDYELEIQTPVLIYESEDDSSVWSSDGCPYIYTEYGYSEDSDDSRFFYDDP >Et_5B_043191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16670303:16671391:-1 gene:Et_5B_043191 transcript:Et_5B_043191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRAHIASFLPFRQVGQLSSLSRPWRRIHDHTPVVQLKLDVFLSITDEDALAALEAALLRRAEEGTGSSKVEVLRISYSPDDLRIRRHADRIIALAGAREICVKIPKSDRASRIAWALHLPPSTRHLEVIALHYLVPTIDGPGAAALQTLRLENVVVSHWPCLQSLLSLMLDTVTIEAPFPPGAWCPLLEDLCISVSQIVPVRMDIRLPLLKSLELDDVNGPLMDVTVVAPELEELDVNCTLGCNEDYRSFTLRAPRLRCLRWCNQFTERVDIDVGRPGSVTEGMIQFTWNGGFECRDMKDCLALMTRMLNGLLPLLPPDQLNNELRSGTPPFLLSCTATCAG >Et_9A_062962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7577037:7586034:-1 gene:Et_9A_062962 transcript:Et_9A_062962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSKGELEQIALPAVQRPAPPLAAVPEVDLAKAARDGANGRAAAARAVAAACEERGFFKVTGHGVPAALLACVEAAAAAFFALPQPGKEAAGGYASKRIGCNGDLGWVEYLLLGVTAAGAAPLSVPADASPCSFRDLLNEYVAAVRRMTCTVLELMAEGLGLDENDVFTRLVLDEESDSMLRVNHYPPRPELKHLGGHGRGGQVTGFGEHTDPQIISVLRSNDTSGLEISLRDGSWVSVPADRNSFFVNVGDALQVLTNGRFRSVRHRVMVNSARPRVSVIFFGGPPPRERLAPLPELVGEGGRRWYREFTWREYKTSADLLNKYVVGVRRITCTVLELAGEGLGLDEKDVFTRLVLMLHVILGAEAVGSSRARQRPGDGVRRAHGPSDHLRAPLQRHVRAGDLAARRQLGVGPRGPELSFFVNIGDALQVLTMQRAVGPERATPGDGEQRAAARVGHLLRPWERLAPLPELVGEGGQRWYRDFTWEYKTSAYRTKLSENMLCYFETAGSTAVPTNLEALCKIPTMGPWPLLYVTKCHDE >Et_1A_008066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40495145:40495984:-1 gene:Et_1A_008066 transcript:Et_1A_008066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKARWLLAVVAVVSAMAAAAEAAGTASTVVAGMVFCDQCKDGARGLFDYPLYVGLIHHPTGEIGAGARVAIQCGGGDTPLTVRESNTNWFGGFSIRMEGSPDMNRCTARVVQGTGHCGAASSGAPRELTLAFRMLGLALYTVPPLLSQPEEAMDFCPNGSSSSPPMAPMSAPPLPPLWRRRPRRLPPIWRRPLPQEQPMPAEPRQQASPPPPPPAPAQGSACSYE >Et_4B_039785.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29592515:29594599:1 gene:Et_4B_039785 transcript:Et_4B_039785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRRRLRALLAQCSLLRFHSSVVNPRQPPRHCSHLYIRSRSSSIAALAVARAFSELPFSLSLVRVHSTFPIPDWRPRQPTHGGISSAGKNAGLPSGAESAQPVLDERPHWGAAAYAETVSLRERDLSRAEVLYRAAPAAARGAHLDGIMLDGYVKAGRVDRAREIFDGMPVKKVVTWTCILSGYCRAGRLDEARLLFDAMAVPNVVSWTVMVQGYARNGMLKEAKELFDRMPERNVVAWTVMVKAYVDHGQIQEAWELFNRMPERNSFSWNAMISGFLSVGKVDEAVWFFERMPHRNVVSWTIMATGLAKNGFGGRAIEFFDWMPEKDTAAWNAMITALANSGCLFEAQRLFESMPVRDLVSWNAIIEAHANNEHKAGVSSMFLLMRRSELSPNSTTLISVLGKCESTMEVKQIHGLVIKLGLLSETALGNALLTMYSRSGDLLSAWLAFKSMKEKDTITWPSIMQAFANHGCGYHALQGFAQMLRHGYKPTSTTFTAVLSACSHVGLVEKGRKLFKSIQHVYGVEPTIEHYSCVVDLLGRAGYVREAKELVDGMQQGMRDEAILGSLLGACMVHNVVELAREVGEDLVRLDPHGTGRYTLLANIFASHGMWEETANIWKGMRGSKIKKNPGFSQIEVNMENHVFYSMDQEHPQCAKIYEMLNDTLVPHMKVSPNLGFWEPILSSDPTICQS >Et_1A_008504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8276360:8281297:1 gene:Et_1A_008504 transcript:Et_1A_008504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFSPPAAITCQGTRTIPPDVLHRRGSALAPPAVAWGPGQPLVMEQVEVAPPGPMEIRVKVVSTSVCRSDVSAWQSKVQPDLFPRIFGHEASGVVESLGEGVTEFQVGDHVLTVFIGECKSCKHCISGKSKPEARFGEEGRHAQRPEDPVLGKGEARVPLLRSVKFQRIHGCAVKVGPGVPMDRVCLLSCGVSAGLGAAWNVANVSKGSSVVIFGLGTVGLSVAQGAKMRGASKIIGVDTNPEKQEKGKAFGVTDFINPDELSEPVIKKITDGGADYAFECVGDTGVVSTALQSCSDGWGVTVTLGVPKTKPEVSAHYAFLLSGRTLKGSLFGGWRPKSDLPSLVDKYADKEIQVDGLVTHDIEFNDINKALELMLENKRYLRKYMMNCTIQIPCCFQEEINSGLPALCE >Et_6B_049684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8972176:8973059:-1 gene:Et_6B_049684 transcript:Et_6B_049684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTRARGNARRRRRIPAFGEWNHHSDGNGSWPATATPFFDLAAAHKPPQTERRDGVAEAKRRSSAEAHGRRQSKVADSGAYAARKSCFTVVAKAVDDDLYGVPADMLYQKPARKRGWLRILLMAGCFCPRGRRTCMA >Et_9A_062960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7548196:7550106:-1 gene:Et_9A_062960 transcript:Et_9A_062960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNEDSITIMFPTVKSKTIRVVLFDEHTDPQVIYVLRSNNTSRLKILIRDGSWCRPELLHQRRRHPRGPDQWAVPECATQGDGEQCATAGVGNLLCGPPLWERLALLLELVGEGSCRWYREFMWRESTKRQDQAFENQLCTSRLRRRS >Et_1B_011268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19793401:19796754:-1 gene:Et_1B_011268 transcript:Et_1B_011268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPVPPPAWKPEIGPDGIARDSPVIAYTEKIILEEQLQLKKYIQENYSKIRDVEKELENLTLEMKLTAGPKKAALEHLRKKIELSTERIRLAKVKEEQAKKAWEAAAQVVKDEEDAKQKLCEDLTHLVQESAASQYSRLEELKRRLESLNPSRASVDVSGVHASIKSVPQEPITQNAATANGPSSNAVEPASLGQPQRPSEPEKKRRPNSGRGRGGVMILPKGRGGSASGWTGAGFDVDGGT >Et_3A_024371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20080293:20087623:1 gene:Et_3A_024371 transcript:Et_3A_024371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKRRNRRDPTGGANSDGTFLLACSGTVVDHVGSQTWILTSATLVRKPGSQYEAYDSGNIKIKVVLHDTQTVEGSLEVVNLHYNLAIVTIKSPTDLPALELSDLPVVDYMGPMSVVALGRGACSTILMKCGKLIRENSELDCNELLVCTCDVNENFIGGPVMDLGKRFLGITYLYKATMPFLPVGMAARCLKSFKSKTLLPRLLISGQAVHMLDLPVLERLCCKYAVIPSGILVDRTSLHHFGGIDVGDIILELDGVPLCSVAQFSVLLLDKWEAEKSTQNTVTLQASVKRPPDITFVAELNVGGIFSEEFGKLFQNRLAL >Et_3A_023713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11198565:11200777:1 gene:Et_3A_023713 transcript:Et_3A_023713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFHTTIMSAILVLSLLAGTARSSDPAPATPVPPSTACNGTTDPTFCRTVLPANGTNNLYTYGRFSVAKSLGNANKFLTLVNRYLLGNHRNSKLSPGAVAALQDCQLLSSLNIDFLAAAGAALNASGNRALLDPQAEDVQTLLSAILTNQQTCADGLQAAASAWSVRDGLAVPMANSTKLYSVSLSLFTRAWVPQPKPSGKKKKPPRNGGGHGTRRGLFDATDDEMVRRMALEGAAAAVPVVGAVTVDQSGAGNYTTVGAAVAAAPSNLDGSTGYFVIRVAAGVYEENVAVPKNKKYLMMVGDGIGRSVITGNRSVVDGWTTFNSATFAILGQGFVAVNMTFRNTAGPAKHQAVALRSGADLSTFYMCSFEAYQDTLYTHSLRQFYRSCDIYGTVDYVFGNAAVVFQDCALYSRLPMQGQSNTVTAQGRTDPNQNTGTTLQGCVVAAAPELAANTAFTVATYLGRPWKLYSRTVVMQSEIDALVDPSGWMPWSGDFALDTLFYAEFNNTGAGAATGGRVAWPGFHVLNSTADAGNFTVGNMVLGDFWLPQTGVPFASGLLN >Et_3B_027616.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:32338432:32340732:-1 gene:Et_3B_027616 transcript:Et_3B_027616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPTLRRLLAVGRHRLFSATASAPSHPAASLTPESILYNLSGLSKDPSRALAFFRSSVAAGHPVGSAAYNLMLRTLASHPSSAQSHFWTFLRDMQDAGHSVDEGTYLAALASFKKANLAADYASLTAHHIKAQDEAQAATPISAAAEAVREHDGSEELDKKLEPIDLPLSETAVAKVLSKLKDYPIKALAFFRWAGRHKGYTHGSVAYNAMARVLGREESVQEFWELIQEMKAGGMHVDIDTYVKLSRNFQKRHMLKEAVELYELMMDGPFKPSQKDGPLIIRRISLAPSPDLELVNRVVRKFETVWESMTKEVFDGIHRALTSNGSFDEAAEIVQRMRAEGHQPDNITYSQLVFGLCRVNKLEDARKVFDEMEAEGCTPDLKTWTMLIQGHCEAGDVDKALQFFTEMIEKDLEADANLLDVMVKGLCSQDKIDAAYALFVEMVDKAHLKPWRATYKHVIDELLRFKKLEEALALLRSMKARKFPPFVDPFPSHIAKYGTFEDGREFLKALAMNNSPSHTAYLHVFKSFFEEGRYSEAQDLLYRCPSHIRKHRDITKLFESIKAKSTS >Et_8A_056497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1169982:1176000:1 gene:Et_8A_056497 transcript:Et_8A_056497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPTQEAIETFVSITGADEAVAARVLEEHDGDLNEAVNAYFNEGDMATTRINQNPVPASHSDMMDLDEPLDPMFDRPLFPSSFGNLSSLLDPSFPRRAAAGIFGMGPQVTHPRDVRQIPIEVKDNDTHTGSSGQGPVIEDVTGHESLYGPEVHGTVNVVDDDDEDFPSAPFAHDPNIPSNAARPNHSVPSAPPLVDVANYNNDIEEEMIRAAIEASKRDAEGMTNGLNSGERENASRGSGDDELARAVSLSLETAELERALRPEVMRVADHSSDLYEKEHNEGPSRTVERQGPATGKAGTSERTVAEDDFQEDIEDAEEQPLVRHHSRRVRYATTEQPEEMQRADSPPLNSQPRNAQTVSEEWGGISSEEHDEAVMLEAAMFGGIPEGAAYPFSFPTHGSSTRYPRVTRPPSPTLTAQRLLREQQDDEYLAALQADREKELRAVQEAERRRQEEAAAREAALERQKKDDEEKLRKQLEEEELESELAAKQASLPKEPLSNDDGAVTVVVRMPDGSRQGRRFLKTDKLQCLFDFIDISRTFKPRTYRLVRSYPRRAFTEGESHMSLSDLGLTGKQEALFLEKNSG >Et_9B_063893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19779718:19780440:-1 gene:Et_9B_063893 transcript:Et_9B_063893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQRPDMITPGVDAQGQPIDPEKMQEHFEDFYEDIYEELSKFGELENLNVCDNLADHMIGNVYVQFREEEQAAAAYNALQGRFYSGRPIIVEYSPVTDFREATCRQFEIGRDLRRKIYGRSATRRYHGRSRSPSPRHRRGQRDRDDFPRDRDGYRGGGQRGRGSRHDRYDDGGRRRHGSPPRRARSPVRESSEERRAKIEQWNREREARQ >Et_3B_031368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27054183:27055675:-1 gene:Et_3B_031368 transcript:Et_3B_031368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVTPVLALGLNPLFLVAVGSLCIGILTLPFAVKLERKKWPSELRNRLPLHFFVSALEGVTGFQALMLHGMKMMSLAIASAMPNLAPRFIFIVAGCLRCRYARAKILGAVLCLGGAVAMSVLQSPATPRGHALHWTLDRAVAKTPRLGGRLPLPPRRVIDFSYGVSPSVRVTRVQAATMIHYPAPFTLCSVTSLIGAVLMAAFQVATA >Et_3B_027480.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20926207:20926812:-1 gene:Et_3B_027480 transcript:Et_3B_027480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLAPTSSSCFLASSASSLLTPSFSFLGRPSMRSLASLSARLVSARTTLMVAIRALLGTSSMTTSNSVFSAGASASAPAPAPAGAATTAAGAKAAAETPRRSCRWSTRVRASSSVSPAMASPSSTILGDSVAVDATAMARRPRRSGTATEDLAGEAEGEEDGRARREKVEERVVEAIGTCWELRAGSESKCGSGREGGEG >Et_8B_060788.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:7585968:7588127:1 gene:Et_8B_060788 transcript:Et_8B_060788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKEERGQPPRRRRVLTLPAVCPCEAIAPAPLLASLVTLAADVAGRGGDVASFPALRRGAGEAVRIAGLLLAFLDAARGAAVATNDRDSAVLGLSELHVALQKLRFLLADCGRKGARLWVLMNAELVASELRVALGSVATAMDVLPRDVVDSSEDAMELARLVSEHTWRAAAAVRPDADDDLAARSVRSVLARFAGGATPDAEDARLALGRVGVATWSGCCEEAAFLESELLDRLEDTTGGEDGNDLVLVAGLMAFMLYCRVVLFDRIDDKKKKSAAAPAAARAPASGVAWNVNEEALRCPISLELMTDPVTVATGQTYDRASIKKWIKSGCRTCPVTGERLRSAELVPNLAVRGIVDHMLLSRGLSSLHEPSSSKHRSAVDKTAAPFGAAAAGGVRLAAAFLVARLSVEGSPEEQRKAAYEARKLSKRNVLHRACLVDAGAVPWLLHLLSSRDAGVQDDAVAALLNLSKHPAGRRALVEAGGAGLVVDAVSVAAKVEARQNAAAVLFYLSSNPAYCEEIARIPEAIPTLVRLAREGAYRGRKNALAGLYGLLQCAHAHVKAVAAGAVPMLAGILLSSAGDGNNGGDLAVDAVALLARLAEQPVGARAVLASSPLVARLVDFLGEAAPSRSAREHCVALMASLGRHGGDKVLALLGKLPGLMPALYALIADGSPHAGKKARWLVNEIHRHYEQRQAASAAAAAAPAPPATAPDRVIRV >Et_4A_034348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31958907:31960640:-1 gene:Et_4A_034348 transcript:Et_4A_034348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYLVNAIFVVSKINPDGKKFDKVSPIEAKTEGSDMYMQLDVATDIYPMKEGQKFTMVLASTLNLDGSADTGETLADKFEYVMQGKLYRISEDTSSEKPKIEIYASFGGLLMVLKGDPAIAPKFSLDQRIFLLIRNL >Et_4B_038990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6764730:6767533:1 gene:Et_4B_038990 transcript:Et_4B_038990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLSLFTDIAGDGAPRLDATSGEELVRVDRTVSVALGRRAPEPPGTLFVTNRRVIWLSEAEKGKGYAVDFLAITLHAVSRDLEAYPSPCLYTQIEAEVGTDEEAGESNPEANGELELSRVSEMRIILADPSQLDALFDVFCHCAELNPDPNAEHNGENGWFPGGGMADGGWVHGDDDMVDENDLGPQFFNANPIGQNGDYDLNSSIYELQINDQRFEDAEEESESRENGH >Et_1A_006623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25317406:25322343:1 gene:Et_1A_006623 transcript:Et_1A_006623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQYVDSQRQARPDLADWYASLADLYQRKLWHQLTLKLDQFLQLQAAQTGDTIIQLYNNFITDFETKINLLKLAHFAVIASRQYPDKDAAITFLEGVITKLRETRELRINEPILYVKMQIAAINLEKGNQKECKNLLEEGKTTLDGMTDVDPSVHASFYWISSQYHKARQEFAEFYKNALLYLAYTTVESLSESFKLDLAFDLSLAALLGDNIYNFGELLAHPIINSLIGTKVEWVYHMLQAFNTGNLALYQELCRVHNAALSAQPALVQNERKLLEKINILCLMEIIFSRPSEDRTIPLSVIAERTKLSISDVEYLLMKSLSVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRDRLDAWVGKVHTTLLSVEAETPDLVAA >Et_3A_023797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12340763:12341195:1 gene:Et_3A_023797 transcript:Et_3A_023797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDVVVPGAQGNHEDKTSPVASNWWMLVLVLASMHQVNPAWKDMQKKKGLIHGTQIIKFFGKNDILLRVPRKQCVWEKPEQRFMKINCDGAFQQETIKGGWGFIIRDQDGDCICAGRGSV >Et_1B_013328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7424861:7428380:1 gene:Et_1B_013328 transcript:Et_1B_013328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDSMKHLIVFLPLLAISLSRSIGEATQQLVYDTEGHELSSHSQYYILLTNHEINSGISNVADDESEFGSFVGPVQGHDAAIPVQISPPSAGAVGLSTNLTISFYIASICADSTEWYVTELSPMSSSTEQTKHVAMGTMNTGESEPPSVFRVERYGETTEAGYKLVWCPDQGPCKDLGVQAWMEKNYLVTRDTPLAVLNPGWYSYSLKSLVNLRGPRGAAMSGRGGGCGARGRARPGRLANGRTGSLINHNSETGAEVHSSGHRGRIRVLDLVDEVRELPEMLQIHATGDLGAVARGSTGARGGSRVRSTWRSVQAFVLSVWR >Et_5A_042011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5144041:5148813:-1 gene:Et_5A_042011 transcript:Et_5A_042011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDEYPPLAVELPPQAQSTPAPASSSSLPVGVTVITGYLGAGKSTLVNYILNAQHGKRIAVILNEFGEEIGVERAMINEGQGGALVEEWVELANGCVCCTVKHSLVQALEQLVQRKERMDHILLETTGLADPAPLVSILWLDDQLESSIRLDSIITVIDAKNFRLQIDEHKNSSSFPEAFHQIAFADVVILNKIDLVKDDLEDLERHIHDANALVTVVKSVRCQVDLNKVFNRQAYGAKNSTHLQELLDYSKSVPSSRRHDNSISTLCIYEQDPVSLAKAVREVYEVLPARQWSETESRMNKIVVIARH >Et_4B_036602.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:975635:975874:1 gene:Et_4B_036602 transcript:Et_4B_036602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPGEQRSSVGFITKESQAFATQLSAVVFGHTRREQNVVAHELAQLAKRLCHSAARHDRVPSCVEPLVAHDCNFTLSN >Et_5A_041574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24583799:24591488:1 gene:Et_5A_041574 transcript:Et_5A_041574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATASAPTSASTSGRDALAAAASSPAAVCLVPFRWWSRVREEEAAGGVQYAATAAASPSYYGLRLLHSLLHPDLVLRLERGECRAAGSEGRSYALVPADELSRALARQNSGLALQNKHSFAGDSAGAYPLVLRISVRETSILTLKISKKDNPVENYKRANKIFNADSQPVHVWDFSGQTNLILMNEWNRPHHDCCHSDQENLLEVQVYAMSDSLTSKIGGDFYDMNADLSYGSFGRAGSMGLIGLENLGNTCFMNSSIQCLAHTPKLVDYFLGDYARDINRTNPLGLNGELALAFGELLRSLWTTERKPVAPNHFKSKIGCFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVKCKPYEEAKDASDRPDEEVADEYWSNHLARNDSVIVDTCHGQYKSTLTCPTCCKRSVTFDPFMYLSLPVPSTAKRSMTVTVFSTDGSTKPCSYDVSVPKFGTLSDLVRALSIACSLGEDEFLLVTEVYNNCILRYLEEPSDSVSLLRDGDKLAAYRLPKKFEKSPLVVFTHQHSDEHSRVGGVTLRVKEFEAPLLTALPEMVNGLSLRSIYRKLLNPFRVSEGTGLPNDSSGSNDNSTDLMDTTPSDSDSSVRNTQLADDPESSHSSASDCEIIEGPSLVYEGADDSNKNAHLEGFEFYLKNEKGDDQEKIEIDELDILKTAQSRLQVNVHWQQNALRKYDTSMLNNLPEIHKPELIPKGTEDSVALNGCLEAFLKEEPLGPDDMRYCPCCKKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETFVDFPTSDLDLSSYIADKSNQPNSHYRLYAISNHYGNMGGGHYTASIYHEQEKGWYKFDDDRVTPISEDSIKTPAAYVLFYRRE >Et_2A_017022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30157534:30160151:-1 gene:Et_2A_017022 transcript:Et_2A_017022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFLGRRTGMAVAAAALIAFAAFGPVSSGSSPKSFVKSTVKAHDVVIFSKRAKAVFQELHLKKQPYVVELDQREDGGEIQDALLDIVGKRTVPQVFINGKHLGGSDDTVEAYESGKLAKLLNID >Et_2B_021956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7314850:7317018:1 gene:Et_2B_021956 transcript:Et_2B_021956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETALGMATTLVGSALSVVSSAAKEEVGLLLGVQDDIWFINDELKMMRQRLLLRGTPKVLKAYLELIRDLSYDTEDCFEEFLVMIKHRSMLQQCVSLGARHRIAMQMRTIKQRIQGLNQRRERYKLIQHRHTISDDVRGDFQVIRNFAALYTEEAQLVGKSELLNIISKTDSWNVVSVVGMGGLGKTTLAKKVYDSNELHRRFVDRAWITVSQSFNHIELLKNLIKQLLGPQAMEDLMKKHPEMTLQVKDFTEHLREQLNWRRYFVVLDDLWTIEAWNAIKYAFPDHSSENCCIVVTTRNTDVAKVCSSRYPDHIYHLKHLEKEHAKKLLLRKIHQSNDPQAFSQKKGPGEDKLVDEILKKCGGLPLAIVTIGGLLANKGIN >Et_4A_035173.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:10559495:10561534:-1 gene:Et_4A_035173 transcript:Et_4A_035173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNDQEVQDFFDERIWVWLRDVCDVRVATKMIIEAVTKQKCELLSLDILQHRLREHLCKLRFLLVIDNIWAKSSHFWEFLRPSLIVGKDGSKVMITSQDERVSRMMPNILTIHLEGLEVKECWEILKFHAFSGWINNEQNDLELIGQRIAANCRGSPLAAKSLGMLLSDAGGQREQWECILSDMRFLEDDRNTDIITASFQISYQHLPCQLKQCFAFCSLYPPGFEFEKDELVRLWMADGLVKSNGRKRVEMEGARCFDELLLRSFFETSHTCPDQKFRVPNLMLDVARRVSRYESLTLNAETSQVAEHPEWVRYATIVCPDGEPFELDRIYFYENLRLLKFCPTLKLPSKQVPSALFSKLTCLRGLDLSYTDLVALPDSIGCSAHLRYLSLRNTLVEALPETVCNLFNLQTLDLRDCYWLMDLPEGLNRLVNLRHLCLHLDWDRVTPFRSMPSGIDKLQAIQTLSRFAVFPIDGGKCNLNELKNLKIRGELCILNLEAATIDGAMEANMIGKEYLHKLMLKWSEVCKDEQQQHMEDSEVIIEALCPHTNLKHLRIENYSGRKLPSWVDKLSSLTSLEIICCPNLTQFSVEKLAFLRNFRIHHCADLAVLPKGLCNLDHLQCLEVDGAPNLRISAVDILPRNIAQLAVSGCEALESWCVQEGAERVQQIRDTRIQFSD >Et_7A_053021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:519425:525239:-1 gene:Et_7A_053021 transcript:Et_7A_053021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYKLGVEVVSAHDLMPKDGQGSASACVELNFDGQRFRTAVKEKDLNPVWNERFYFNVSDPSNLPELALEAYVYNVHKSIEGSRSFLGKVRIAGTSFVPFPDSVVMHYPLEKRGMFSRVKGELGLKVYITNDPAIKASNPLPAMDPVSNNPPPAPSPAEQIAADIAGTNLHTSQEHRSEVKTLHTIAKEVHHHQQHGHLPASFGEHPSKYPVEHMKPEHQPPKIVRMYSAASQQPMDYALKETSPFLGGGQVVGGRVIRGEKHASTYDLVERMQYLFVRVVKARDLPDMDLTGSLDPFVEVRVGNYRGITRHFEKNKNPQWNAVFAFSRDRMQASVLEVLVKDKDLLKDDFVGLVRFDLNDVPVRVPPDSPLAPEWYRLVSKSGDKSMGELMLAVWIGTQADEAFPDAWHSDAATLEDPSAVTHMKSKVYHAPRLWYLRVNIIEAQDVAIFDKTRFPDVFVRAQVGHQHGRTKPVQARNFNPFWNEDLMFVAAEPFEDHLILTLEDRVAPNKDEMIGRVIIPLTMIDRRADDRIVHGKWFNLEKPVLVDVDQLKKEKFSTRLHLRVCLDGGYHVLDESTNYSSDLRPTAKPLWKPSIGLLELGILGAQGIVPMKTRDGKGSSDTYCVAKYGSKWVRTRTIMNNPSPKFNEQYTWEVYDPATVLTVGVFDNGQLGERSGEKTSGGKDGKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSSTSLVNMLYLYSRPLLPKMHYVRPIPVLQVDMLRHQAVQIVAARLSRMEPPLRKEVVEYMTDFDSHLWSMRKSKANFFRLMSVFSGLFAVTKWFNGVCAWKNPITTVLVHILFLMLVCFPELILPTVFLYMFLIGIWNFRYRPRYPPHMNTKISHAEAVHPDELDEEFDTFPTSRNPEIVRMRYDRLRSVAGRIQTVVGDIATQGERVQALLSWRDPRATAIFVLFCLVAAIVLYVTPLQVLAALGGFYVMRHPRFRHRLPSVPVNFFRRLPARTDKSSSKLAGSVHDFILKDARGNDVELSRYKGKVLLIVNVASQWANTRALLIDRGRITILGCHLRKPHWEMTPGLKILAFPCNQFGGQEPGSNEQIAEFACTRFKAEYPIFGKNDIKKLLEV >Et_2B_021382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29184153:29185715:-1 gene:Et_2B_021382 transcript:Et_2B_021382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFYETRVDGVDGETVGLFGVFDGHGGARAAEFVKQNLFTNLISHPKLFSDTKSAIAETYTHTDSELLKGETSHNRDAGSTASTAILVGDRLVVANVGDSRAVICRGGDAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEKVDSSLEFLILASDGLWDVVTNEEAVAMVKPIIDSEQAAKKLLQEASQSGSADNITCVVVRFLDQPTKNQQASS >Et_9B_064023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10162895:10175807:1 gene:Et_9B_064023 transcript:Et_9B_064023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPIFSLPGRLEALLRHGYVLPKGAEDEIRFIKRDMEEVVAILHGQRNKKPEEYAMAAWTKEARELSYDMEDFIDQYEHAAAGFQSLSIRRNSRRKIIHRHRSNTTTRLPSNLRQRLWMTNKIREFSVRVQEVLQRHSVYNLGGAAGISASFRSWHPRPSWNDTRPLVGIDAAMNKLEEWMLGMHDAEQEKLKMVSIVGFGGVGKTTLANELYHKVGQQFECRAFIRMSRKPDMRRIFISMLSQVRPHLTPDNWKVHSLISTIRTHLQDKRYLIIIDDLWAMSTWDIIKYALPENNSGSRILTTTEIEDVALQSCGYDSKYVFKMKPLNADDSRELFFSTVFGPRECPPELKDVSCDIIRKCGGLPLAIVIIASLLASQLDIKEQWDNLNNSLGHILMTNPAWEGMKQVLNLSYDNLPQNLKVCMLYMSMYPEGDIMLKDDLLSQWTAEGFICATEGDDREEISRTCFDELVGQNLIQPVHRNDNGDVLSCIIHPMVLNFIMTYKSIEENVVTAIHHSEANMILADKIRRLSLHFGNAEDAMPPTNMRLSQVRTLGFFGVVKCMPAIVEFRLIQVLILHLWGDEGVISLDLTKISELFRLRYLMVASNLTLELRTHMSSVQNLETLKIDARLSAVPSDIVHLPALLRLSLPAETNLPNGFSHMTSLRTLEYFDLSSNSIENEPCNLKSKMQFLLMRSILGELSNIKSLTLAPKSSHSVNSPDDAGPTSIISGDDFTSTCSPPKFLWRVELSPRICIFSYLPNCITQLDKLCILKIGVVKIVSNGLEILRGLPNLNVLSLYIHTKPAESIVIGKTGFSVLKCFKFQCCDPLLEFEPDAMPSLRRLKLCFNASGTYELSKVPVGINNLSGIKEVCAKIGCAGPDETYRAAAEAALKEAIRSGVHATCPRVNVQWANQIFGFMEHRNRLTGKQESETPQQHEILGEGTVKNEDIFEEVSGENDVSFHNNLINELRANQSNPANPSLQIQPRLGIRRKGVEKVTMVVPRLDDGFLWHKYGQKKLSTRNSRSYYRCFHIGCGAKRQVQVSDDNPSMYEISYYGNHTCRTSTTPLLTLPAPAATDTVTTNSPTSSAEDHAMVTTEHATRALSYYKCAHNNDYGCRAKKTVRRVDDNPIMYEELQLGLSCKPSSFVGAGSSSGSGEGSSSQ >Et_10A_001527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4678579:4679117:1 gene:Et_10A_001527 transcript:Et_10A_001527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIGPWGGTGGTPRDIRVGTRPRYLETIKVCSTDIYGGRIYGFFFVYNDCRGQSIPVGFWGSSYKGYQDTITMGYGEHVNYVSGTTDNTGVRSLMFGTNKGIQRTYGYPSGKTFSVPMQQEGGEVIGFFGRADACLVALGAYIAQPDSIF >Et_10B_003932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7803291:7807780:1 gene:Et_10B_003932 transcript:Et_10B_003932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPMPMQPQAPAMTPAAGITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADAQPQTAVSRPQMAPPGASPGVGNFMSQVPMFPPRTPLTPQQMQEQQLQQQQAQLLNFTGQMVMRPGMVNGMSHPQQFQQSPQPPASLGKQDATSEPSGTESHRSTGGDNDGGSD >Et_7A_052750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15351439:15354227:-1 gene:Et_7A_052750 transcript:Et_7A_052750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGPKQCKTKRTKLQASLQMENSSVHWRQIRLVEAFPPEQVAKLAENRPLLLVAHGVIHVVVVTLVDVIELVVEIVQGSLCGRLEISYVAEGGEHALLGDVHVPHPCRLREAGLELVRLADREPPAPRRPRLLRQPPARRHLRRAVPAGCGAATTVGHHQVAVVVRRGRGLGRRGRAARRGRRGEEAVELPEASLRRGLADLDALVLLAEALHRRVLLREGVAEARVLGAGELERVLDGAGLGDGLLEDVTQPGVLVADDALRLDELGVLLAGAVRVLLGGPHAVEEHALLVGPRGGALLGLGPAPAVLAQGRLGRGQVPAQAGGLGVGGLQLGLHLGQPRLHLLRLHGDVGERDGEVVRGLAAALLALRRGAELGAQDPDLLVRAAEHEVLDAPLLLLHALTPGRRGLEALVGLLQRGDLQLGLLQRDLGLLQLLGLVYQRLQHLALRLLGLLHLPLQVPHLLRAALGGVLAIPPQLLELPQRQSLLLPLPAELLLQLRHALLHRAAADANANANAATCLPGESRSNAVRRRNNNTTRPDHAKSRRLWA >Et_8B_060666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4189721:4194548:1 gene:Et_8B_060666 transcript:Et_8B_060666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAPPSSSSGCRLVLHSGRLAFHLLGTSVAFTRRQNGLVKCSLRWRKVRVGYRTSITVITEHRTDRLRKLHVCHAGDSSEPVIINGKANPSNTLQADAVALGTIAADMAPVVDGFSADDDELDLDCPTEGFSSIPEAIEDIRQGKFVIVVDDEDRENEGDLIMAASKVTPEAMAFIVRHGTGIVCVSMKEEDLERLQLPLMVTTKENEEKLRTAFTVSVDAKEGTTTGVSAKDRANTILALASPNSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPVAVLCEIVDDDDGSMALLPKLQKFAEKEKLKIISIADLIRYRRKRDRLVECVCVTPLQLQWGSFEAYCYRSLIDGMEHIAMVKGDVGDGQDILVRVHSECLTGDIFGSARCDCGNQLDLAMSMIEKKGRGVVVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANLELGLPADSREYGIGAQILRDLGVRTMRLMTNNPAKYVGLKGYGLSVLGRVPLLTPITSENRRYMETKRLKMGHVYGTPPRDHTVGSDSADTGMEVAHDQNDGSDGKEQAPEADDDDGSIVLPSQAWRKSFSCRCSQLIRSEAHARGRR >Et_5B_043488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11119360:11121745:1 gene:Et_5B_043488 transcript:Et_5B_043488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSDCGQEVQGVNQDAVSQGYGSRHDGCDHQQGHSTHVLGRTGAYYVENRVRCDCAFVFSKLAFLMGIKPVITKDPWRRFFNGADIFTVITQAIFVAATDSPQEFQHRGDSIVEKIYSIAAVVPVLGTAATGEGPNSTARRVSAENAGNNNQHVHGHGDSSAGALDSDHYKASLEKEEVLRIKDILLNHHEQSANYLIESLRRLQLMHLSANVLENTKIAVAVAALAKHKSHEIRELVLDIANGCKVRAEEWIANTKVAVADKSLDRANSSAAEDEWGLAIPPMD >Et_9A_061095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15745810:15746958:-1 gene:Et_9A_061095 transcript:Et_9A_061095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGGKAGAGDAKSFPSPASSSSASSSEFEFTVTLSPASKQRSASQLCPADELFYKGQLLPLQLSPRISMVRTLLLSSASTSSASASDSTSTSNSSRDSNGSTSSSFSADCAALLLPDSAASSSRPSSATDDDRHHLNPTPTSSAAASFAASNKRSGKQYLSSFATRFSSVFHRGGAPPAPKKPSSKSLAKEVIKKYAKKVKPLYEKQQQQQGFKKPFTFSIRKKRADDDTAAVAAAAAVGGAEVGGGKYAHSNSFSGNLGFPRQKRCAASCPSSMRSSPSHSGLLTFGGAGGVGFPDVPAAAVASGHGIGPVSLSTAASMEELQSAIEGAIAHCKNTMVGVAMPAYPRRAAEGDEICAF >Et_10B_004260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2365929:2367114:1 gene:Et_10B_004260 transcript:Et_10B_004260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWMGLWTLLEGFLLLVNALAILNEDRFLAPRGWSMPEVSGTGQAKSLKEQIVGLIYATQFLRMPLTALNVTDSVRSCPMSTTEDVGNKFGV >Et_3A_024735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23751614:23766500:1 gene:Et_3A_024735 transcript:Et_3A_024735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RVGRAASPSQFPLPPSLKGYKQLANPINTVGRQSRSRGLRAEPVHRSKRGTMDAAAKDRDEEKKEEKTKKDAGKKVSFSGLFRYADGTDVLLMVVGTVSAMANGISQPLMTVIFGQMINAFGGATTDTILRRVNEAVLNFVYLGIGTAVVSFLQVSCWTITGERQATRIRSLYLKSVLRQDIAFFDVEMTTGQVVSRMSGDTVLVQDAIGEKVGKFLQLVATFIGGFVVAFVKGWLLSLVMLACIPPVVIAGGAVSRILSKISSKGQESYSDAGNVVEQTLGAIKTVVSFNGEKQAIASYNKLIHKAYKAAAEEGLTNGFGMGSVFFIFFSSYGLAIWYGGKLIISKGYSGGDIINILFAVMTGAMSLGNATPCMAAFAEGQSAAYRLFTTIKRKPEIDPDDPTGKQLEDIKGDVDLKDVYFSYPARLEQLIFNGFSLHVSSGTTMAIVGESGSGKSTVISLVQRFYDPQAGEVLIDGINIKTLRLDWIRQKIGLVSQEPLLFMTSIKDNITYGKEDATMEEIRRAAELANAANFIDKLPDGYDTMVGQRGAQLSGGQKQRIAIARAIIKNPKILLLDEATSALDVESERIVQEALNRIMVDRTTLVVAHRLTTVRNADCISVVQQGKIVEQGPHDELVMNPDGAYSQLIRLQESREEEEKKLDHHISDSRSKSRSLSLKRSISRDSAGNSSRHSFTVPFGLPGSGQLPENNNANEENQNEQVGDGEVPKKAPIGRLAKLNRPEVPILLLASLAAAVHGVLFPMFGLMISNAIKTFYEPANKLKKDASFWGLMCVVLGILSIVSIPLEYFLFGVAGGKLIERIRALSFRSIVHQEVAWFDDPNNSSGALGARLSVDALNVRRLVGDNLALAVQVTATLITGFVIAMIADWKLSLIIICVIPLVGLQGYAQVKFLKGFSEDAKMLYEDASQVATDAVSSIRTVASFCAEKRVMIMYDRKCEASKNQGVRTGMVGGLGFGFSFLMLYLTYGLCFYVGGQFVRQGKSSFGDVFKVFFALVLATIGISQTSAMASDSTKAKDSAISIFALLDRKSEIDSSSNEGLTLEEVKGNIDFRHVSFKYPSRPDVQIFSDFTLHIPSGKTVALVGESGSGKSTVIALLERFYNPDSGTISLDGVEIKSLKVSWLRSQMGLVSQEPVLFNDTIRANIAYGKQGEVSEDELIKVAKAANAHEFISSLPQGYDTTVGERGVQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQDALDNVMIGRTTVIVAHRLSTIKGADIIAVLKDGAIAEKGRHETLMNIKDGVYASLVELPAKRREGEKNKESDDSEKKKVSFLGLFRYADAADVVLMVVGTAASLANGMAQPLMVVIFGQMINAFGGATTDTILGRVNKVASFNGEKNAITSYDKLIHKAYKASVEEGIANGFGMGSVFFILFSSYGLAIWYGGKLILSRGYTGGDIISILFAIMMGAISLGNSAPCMAAFAQGQSAAYRLFATITRKPEIDPDDTTGIQLEDIRGDLDLKDVYFSYPARPEQLIFNGFSLHVPSGTRMAIVGESGSGKSTVISLAQRFYDPQAGEVLIDGINIKILRLNWIRRKIGLVSQEPMLFMTSIKDNIAYGKEDATTEQIKKAAELANAANFIDKLPNGYDTMVGQHGAQLSGGQKQRIAIARAIIKNPKILLLDEATSALDMESERIVQEALNRIMVDRTTVIVAHRLSTVRNADCISVVQRGKIVEQDGSGDDEVRKKAIIRRLINLNSPEQPILLFGSLAAGVHGVLYPLTGVIVTNAIKTFYEPADELKRDSKYWSLMCVVLGIVSIISIPVEYFLFGVAGGKLIKRIRFLSFQSIVHQEVAWFDDPKNSSGALGARLSVDALNVRRLVGDNLALLVQVTSTLVSGFLIAMIADWKLCLIILSVIPLAGLQGYAQVKFLKGFNEDAKLLYEDASQVATDAISGIRTVASFCAEKRVTKTYDRKCEATKNQGVRTGMVGGLGFGFSFLVLNLTYGLCFYVGAKFIRHEKSTFDDVFKVFFALMLATIGISEASALASDSTKAKDSASSIFALLDRKSEIDSSINEGLALDEVNGNIDFRHVTFKYPNRLDVQIFNDFTLHIPSSKTVALVGESGSGKSTVIALLERFYNPDSGTISLDGVEIRTLKVSWLRDQMGLVGQEPVLFNDTIRANIAYGKHREVTEEELMKVAKAANAHEFISSLPQGYDTTVGERGATSALDAESERIVQDALDHVMVGRTTLIVAHRLSTIKGADIIAVLKDGAIVEKGRHEELMNIKDGVYRSLVELRLASS >Et_6B_049746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9889153:9892318:1 gene:Et_6B_049746 transcript:Et_6B_049746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPTSRPTNSALIAIIGNEIHGEIHFQFPDQTGKHRAVEYKSKDQGAGCTIITSKSGTQVSVRFPMSLTSWRRSVDPSVLFPGCENEGLEVQNLGYLVYHIQKEELN >Et_1B_013351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7594321:7596981:1 gene:Et_1B_013351 transcript:Et_1B_013351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHFGADYNPLHKEHCPTSCGSMVIPFPFGLKEGCYANNRFQLNCTADNTTVFSSEVAQYRVIGVSVEDGTLTSLSHYFVEGIQGGVLMEILDSQVLEEAAQEDIDDIASQFLRSKRLRKAQILPKNVGEIVPLLRQISRSHGQIKCVNAAHLTPQGTSGCYSLEQKFASS >Et_9A_061626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14625757:14627136:1 gene:Et_9A_061626 transcript:Et_9A_061626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMLHLRYTSSQAAALPSQYVFSPDHVPASSAADRVSLPLIDMSRGRDEVRRAILDAGKEFGFFMVVNHGVPDVVMQDMIDVCEEFFKLPAEEKAHLYSEEKGKPNRMFSSSIYETGGEKYWRDCLHLTLAHPVIGDSTKDWPDKPQGIREKIEKFTMLTRTAGMELLRMLCEGMEVRPDFFEGDISGGNAIVDINHYPPCPNPSKTLGLPPHCDRNLITLLHPGKVFGLEVAYKGEWIKVESVPNAFVVNFGLQLEVVTNGLLKSIEHRTVTNSAVARTSVATFIAPTRDCLIGPAEEFVSEDNPPCYHTVKFGDFLDVYNVVNLGSSLNLTTNLKNVQKDM >Et_2A_015782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17817867:17820335:-1 gene:Et_2A_015782 transcript:Et_2A_015782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLLKKKRASGSGGGGGKPSGLERSGSKVLDGDDTLFTDMAQEHKEEGNKLFQRRDYDRALLNYDKAIKLLPRAHPDVAYLHSNIAACYMQMSPPDYYRAINECNIALEASPKYTKALLKRARCFEAIDRLDLACRDVNKVLSLEPNNLTALDVADRVKKAMEEKGIVLDDKEVMPTPEEVVAAAPKEKPRKRRAGRKAAAKAAAKAAAAAVDEVEEHNAGGAVREVVEPPRQVKLVFGEDIRWAQVPASCSMAQLREAVRSKFPGLKAVLVKYKDKEGDLVTITNQDELKWAEDLVEPGNSLRLYVTEADPEHEPYVEDASSGQLERNVNSASDNGSIRSNRQDEDRSTVTCIDDWIVQFARLFKNHIGVSSDEYLDLHEVSMKLYNEAIEDTITTEEAQEVFQLAEGNFQEMAALAFFYWGNVHMSRARKRLFLSGDTPREVLLERVKEAYEWAREEYNKAGKRYEEAVKAKPNFFEGFLALAHQQFEQAKLSWYYAIGSNVDLDTWPSSEVLELFNKAEDNIEKGTEMWEEMEEHRLKNRSKPSQENVVLEKMGLEEYIKDVSTDDAAEQASNLRSQINILWGMLLYERSVVEFKLGLPMWEDCLMAAIEKFKLGGASATDIAVLVKNHCANETAQDGLGFKIEEIVQAWNEMYDVKRWLRGVPSFRLEPLFRRRVPQLHTALEHI >Et_4B_036734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11001717:11008297:1 gene:Et_4B_036734 transcript:Et_4B_036734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRGGTLAAAARDVPAPADVPAGGVAAAEAAADEVMRRLRPTEASERRRAEVVDYVQRLIGAALGCEVRSLSSPASLSRPPVRRGGSVLLCSPFCSVLRVGAFAYGSVPLKTYLPDGDIDLTVLGNASNGSTLVNDVYCILDSEEQNSDAEFNVKDLDRINAEVRIIKCTIEDIIVDISFNQTGGICALCFLELVDRKVGKNHLFKRSIILIKAWCYYESRLLGAHHGLISTYALEILILYIFNLFHKSLHGPLEVLYRFLEYFSKFDWDNYCISLNGPVALSSLPNLIVEATGVQTDDLLFDNEFLKSSVDKVFEPPRGSGACYTRFRPKHLNIIDPLKEYNNLGRSVNRASFHRIRTAFSYGARKLGQTLVLPSDLIPNEVYGFFKNTLGRNGRGFRPDVASPGVFHPSFGTGMDILEQMSSMSISYGRVDKNRTPHDLSKRLGDNSSYARTNGPTCLSAHLPGGHNIASSMDLRSSSVVHRGTKQYSTFFNENGNGGSEKCVMDCYLDPEMERLTYFSAKTSPSDDRVLMQSQAHVNNTLLTNSYAGVNNLSTDKKRWSTVHVGIQRPPSLSLRLPDLLGDLDLQFKCLRQVQYHLEYLFDCFLQSVQGASSGDKFQIESFRIPTLNILLNADAALPGLLLPSSVKSNGIKLSPVPCSHSTEDISQDSQDEDVWDVACQRNISLSSGTDIPSNGLSPSSSYADSDSSISWCHSSEDSPEVHGTDTNFPRKSYDTYKEQLASSRENGKILTNRPVRIENNQSSVPERSFIPNEKQIALSGRTKEMTIGRSMKVQGYIHSDRKVVETQSWDPQKEFVRQDNNTRQIPIPKYHQDTGLNMKIVEMQSWDPQKEFVRQDNNTRQIPIPKYHQDTGLNMKILWNRQYSTDMEFAQAPTATRQMPKYQPFNSIQSTATGCTSTSLSRNLSSKQIYGTRIEHEIIGCPTRHIPSYEPLHLQNQRRGRVCSKRYSAGKQSYNNHKEHISFETSADHIPCSGAVSTLNGIKREVNSSKFDENENQLWPLFQEMPLSLHSINSQEKPPVSNTSLPSFPITNNPPLETIEFGSLGPFALAFSSKSKKVTNTDPGRRVLTDASTLLVQRSRAGASHSRSPEFCKVGNEDEFPPLNAVV >Et_1A_009174.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31896291:31897475:-1 gene:Et_1A_009174 transcript:Et_1A_009174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEVVSRLARPAAPPAAAVSMVLGDDDLLREILVRVGFPSTLVRAALVSRSWLRHASDRAFLRRFRDRHPPRLLGFYLNTLGGPRQRFVPVSRAPELAAAAAGHFYVFHSRNGRLRVNEYGENLMDDRLAVLSPLHPARGTVFLPPPSLEHPRVWFFVAGDDGAAAVGIFSIGTKLQVDLLTLRSGAWVVHRTAVLDHPETLPEITRVLPPAGGKIYMLSRPNHYDTLQHVRTHTYPVRIIQLDTSTANVSVIKLPDTVRTTNYKLSLGDQEEEEDSGLNLVYAEGYLLSVWRLAPNTNEWVLVYDRIQVREACNRLDDVKVRAVDDTCEFVFLGLEASAVVICMHLESKTEKVVKLDKRMRGNMSSLHVSPFKMLWPPTFPAKPRDGNNREE >Et_6A_048131.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7265508:7266671:1 gene:Et_6A_048131 transcript:Et_6A_048131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFKGKIWAAIGSIMDHAAGATAAASTKSSSSSSAASSSSVVPDRALLADIEAAVERCTSGGDDERHVHEILFLVSNAPGAITFLSRRITARLEHARASSAALRPLVLVHRLLRAGDRYFEQDLRGLWASRDLRVDAPRCTCSSPLAGAGAKYVNAAACAFVHGYSAYLEERMQWVITQAGNLEPAARSPSPSPSPSSSSSAHDDADVEALLFKLGMCQRLLDLAIQLLPDNNTSASCAAARSAFGIVLRESFKVYDAFADGLDAVLLQRPRSLAGLMSKAQRASAREVLRKASAQTPELKEFYHKCKRSSAVAGKSLEYPLVRVVTPAQAAAAMMEPVPAAEDVEAEDVVKTSDAGDGFAVKLETKISMVWVEFDEDSQLLIPDR >Et_4B_036401.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21836389:21836580:1 gene:Et_4B_036401 transcript:Et_4B_036401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FRFFNNFSATVKIRQRAHWTKPRVGFLKINCDASFSPTSFSEGWGFIIRDSDGDMWFQQARPS >Et_1A_009196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3281472:3290871:-1 gene:Et_1A_009196 transcript:Et_1A_009196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGGDQFPLRGQGISGDAHVEEVPASHRSAAQNGRDPPALSPAEGTVRWEHSQMGRWVEAVEWSSSIKFLPPSCMLDFPVLFERAWGYDRLFTFDVDCYPEIHAYIQEFYRRNCNDTPSVTAAALLMEDDLKYEWLRLGVDVSSDELALSKAIHEHALSLLKYKDDALSATSSYAAVCIAKEAELVIELMRMGPNINVHLFELKLSTSIRHHALDLITGEVESGPVIGMMIHCALVSQHIGDNSGMVLARFDGSADAGGAVPAPVPVGSDHNYLLHLNFGPTTSCSCSGKEGQGPSKVSGRRASAPPEGLGLHVGKLHPPPA >Et_1A_006074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17468574:17477367:-1 gene:Et_1A_006074 transcript:Et_1A_006074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAKYLVFVTALAACLALGAADWSLGTATFYGGADGSGTMGGACGYGNLYSAGYGVNNAALSSALFNGGASCGQCYNIIGGVRFVVNGFNYFELVNIQNLADTGSVGSAWIKGSKTGWIQMSRNWGANWQANAALAGQALSFAVTSTGGQYLQFLNVAPEGWQFGQTFNTNQNFHNGKPPHGSRTRLTNFQLILCAVAASMEIAMSVVLLTALAACFALAAAQWSPATATFYGGADGSGTMGGACGYGNLYDAGYGVNNAALSSVLFKDGVSCGHGGVRFTIAGFNYFELVNIKNLAGSGSVGSAWIKGSKTGWIQMSRNWGANWHSLAGLTNQALSFAVTSTGGHYIQFLNVAPQWWKFGQTFNTNQNFQY >Et_6A_047507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6690514:6709035:-1 gene:Et_6A_047507 transcript:Et_6A_047507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLKKCKYDTGAAAGDGEGIDVLPDGVLAHILGFLPAEEAVRTCVLARRWRHLWKSATGLHVVAADGKFLGTMEKLVEFGDLLLTLREGASFDTCEIKVGYFRVPDGNWHVDEEVLRRLSIWFRHAVMCRVRFLRFHILCNNNDCFIGPCHEFEDLPLVSRHLKRLELIGVQLGSSLLDFSSCPALEHLVFEWCDISMAAEKISLEFPRSLKYLTMDCSYFGDPRIHIYAPSLVSFHLNDIRGRTPVLGSLPSLVEAFVQITGTCSDLCSLRWWGDLCDCEFCESSDNIGGASNNSVLLKGLSYRFGLCFVQFIFRMDMRCCPTFSKLKTLLLNDYWCVPGDFCALSCILEHSPVLEKLTLQLFSENSYIETDPNETLFLLQWQPPHNVQLKGSINPRLRRASISEHLETVEIKCNVVDERILKLLKFLRMIDTRRLFDEMPSGKKVEASGIDALPDGLTEHILGFLPTEEVVRTCVLARSWRHRWKSVAAVRIISTVSEFLAPVDRLREFMDHLLPARDGAPLDLCELRLGDLRRLLLDEDVLSRVDHWFRYAVGCNVQVLKLHMVANSFYELDDPPLVSLHLTRLELFGVVLQNSFLNFSGYPNLERLEFENCNLEWNSTSPVLFQSLKHLRITDCCLALAIDLDSRGCISAPNLVSLVLEKFFGYTPLFKSMPSLMEASVTLDSEDSCELSHPNYWDCHSESCDTFAKTPGGNNNSYIFRRDMRRCPMFSNLRKLWLNDCWCVPDDFKMLACILEHSPILEKLTLQLFSEGPNHKMELEGSISPIGRSPVISEYLKMVEVKCEVVDARILKVLNFLRVFNICKWVCFSLRAPLIALRKRGKEDAEAPTEDGDDDRTSALPNALVHYVLSFLSAEEAVRTCVLARRWRHLWKSASGLRIGCLDGDDEDDPVSVDDLREFVDYLFLLRGSSPLQTCELRIGNFRVHDGEPRVNLWFRHALVCKVQFLKLYMYENDYIDPWLLLDDLPLVSKHLKRLELHGVRQEISSESLKILRIIYSSFGGDSRTRLEVPNLVSLHLVDLWGNTPVLESMPSLADAFIRITEQCDDFCDKVLSLDAYRDCHCECCDNSASTSDGGHNCVLLKGLSEAKNLALMSKDEMFIFKRDLRWCPTFSKLKTLLLNDYWCVPDDLSALSCILEHSPVLEKLTLQLFSEGPKHKLEMKGSFGSTGRSAAFSEHLQIVEVKCEGVDEGSQSSKKSGEGDEGAPAATVEEDRIGALPNEILHQVLSLVPAEEAARTCVLAQRWRHLWKSAPGLRIGCLRKCEPMSVAALQRFVDPLFLLRGASPLDTCELRIGDFYGGDVDQVNLWFWHAVACKVQEFTLHVDRYNNYHDPWLWLDDRPLVSQHLARLKLHCNWPQKISFESIKSLSIIDCFLSDDSRLRIYAPNLVSLYLVNQLLGMTPILENMPSLVEAFVQITDDCVDCCDKPYDYGQDCNCDSGNIGNGSSVLLKGLSKARKLVLISYPRMCLFRRDLRWCPTFSMLKTLLLNDYWCVPDDLSALACMLEHSPVLEKLTLQLFSKEPDHKFEMRGTFSSRKWSSASSEHLKIVEIKCKAIDERVFKVLKFLCTFNI >Et_1A_004612.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22923105:22924002:1 gene:Et_1A_004612 transcript:Et_1A_004612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTIGSVSWVDEDFQIEDLRGDGLPQHVAARHPELARAADDGELPHRRVDVVAAHDGDGAAGVRPRGEHHVHGDQQLQVRHRRAHVVPLRAADGGRRRRGALRQLVVDHERVAEVAARGVHHPHRCRRRAAGDVVHVQVQVRRGGLRRRQRRRAGGEDRDDSEQQGAELEGSHEAE >Et_9B_064465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15405758:15408561:-1 gene:Et_9B_064465 transcript:Et_9B_064465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTCNISYGHPFLLSPDSHPAASPDPPLTMAAASLAAPTTSFAPAPVPAPGRLVASPSSVKMLPRRILLRAAASESAMVSGETLLGLYEKERLGLSRYADEESSDGRYWEVLDADLRYWTRGLRPVQWYPGHIAKTEKELKEQLRLMDVVIEIRDARIPLSTSHPKMDSWLGNRKRIIVLNREDMISTEDRNAWATYFANQGTKAVFSNGQLGMGTMKLGRMAKSVASVVNTKRREKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGTDLELLDSPGILPMRISDQTAAIKLAICDDIGERSYDFADVAAILVQMLIRHPAVGSEAFRRRYKIDLDGDCGKMFVTKLSLHLFNGDTSQAAFRILSDYRKGKFGWVALERPPT >Et_10A_001827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8936566:8940140:1 gene:Et_10A_001827 transcript:Et_10A_001827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GHINGLAIATCRDADVVCFDVDSTVCLDEGIDELVDFCGAGAQVAEWTAKTMTGLVAFEEALAARLSLFKPSFDQVQNCLEQRPPRISPGISELIGKLKAKNVDVYLVSGGFRQMIMPVALELGIPPGNIFGNQLLFGTSGGYKRGYKTLVMIGDGATDLEVFVQYYHGTMARQPSGADMFIFYGGVQMRKAVARKSDWVVSDFHELMIHLW >Et_1B_010620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12106549:12119210:1 gene:Et_1B_010620 transcript:Et_1B_010620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKMLIKGIRSFDPDNKNVITFFRPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAVLENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTFKLKLENLQTVKDQAYKLHDHIAQDQEKSEASKSQMEQLKAKIHGIENEISHMETSLNELRRLQGQISTKATERSTLFMLQQQQYAALDEENEDTDEELKEWQTKFEERIALLETKISKLGREMDDARTNSSLRSKEKDTIAHDIVKLQAEAEAHISMKQERDSDIKKIFNKHNLGPIPESPFANDVAMNLTHRIKARLRDLENDLHDKKKSNDEQLEFLWQRYLKVNAQYSEVDGQIRSKSESLSGLSKRAKDKEREHHEAEVESSKFNMSRIDEREKHLQIEVERKSQAVEEKDYDAIIIQKRTEIYSLDQKLKTLQREKDTINRDADDRVKLGLKKDAVNEHEDKIRSVLRGRLPSPKDVKRELNQAFRPVDKEYNDLKSKSQEAEQEFKLAQMKVSDTRETLSTLRKNLDAKKRFLDSKVQSISQISADIESFPQILQDALKKRDDLKSKQICVNNIQEIYPSYEKTARELHVCPCCERGFTPEEEDQFVKKQREKSVSTVAGAQSLAIKFAEAENLVQQLNKLNTVYEDYVKLRDESIPLAEKNLNKLLADESQKAQMFDDIVGVLAQVKMDRDAVEVLLPTADTIDRHVQEIQHLEKEVENLEYSLNSSGQGGKSLEEIQLELNSVQRARDTLSIEVDDLRDQQRMLNDDLSSAQVRWHTAREEKVKATSVMNILRKAEAELVALDEEKEKVTMEKKVLEESLDPLSKEKDSLLQEYNASKERFNQEYHSLAERKRNFQQELDALERLNTKIKAYLDSKKVEKLSALQEKLSLKKIELQNYEARKQEISAELIKSKELLQSQGQLKRNIDDNLKYRNTKAEVDRLTREIEVLEERVLSIGSLSTIEADLKRHLQEKERLNSEFNRFQGTLSVYQSNISKHKQELKQAQYKDIEKRYTNQLLQLKTTEMANKDLDRYYNALDKALMRFHTMKMEEINKIIKELWQQTYRGQDIDCISINSDSEGAGTRSYSYRVVMHTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLATALLRIMESRKGQENFQLIVITHDERFAHLIGQRQLTEKYYRVSKDENQHSIIEAQEIFD >Et_6B_050062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:528494:532694:-1 gene:Et_6B_050062 transcript:Et_6B_050062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSFSFGTTRRDIWPVLCSQRQAPSPSCSAKKLTSAPSLPPPHASSKKNAVIVISGPTGAGKSRLALEVAKRLGGEIISADSVQIYRGLDIGSAKPSAEEMNTVPHHLIDVMDAADDYSAGMFFRDARRATDDVLERGRVPIVAGGTGLYLRWFIYGKPSVPQSSLDVTSAVWSELASFRESGRWEEAVEMVVKAGDPKARDFSVNNWKRLSRSLEIIRSSGSPPSAFALPYNTFSEQHGTEPMDDALADACPRVELYRSIDLRCEEMLADTGGLLSEASWLLDIGLHPNINSATRAIGYRQAMEYLLQCRQNRGESTRQEFMEFLARFQATSRNFARRQLTWFRNEKIYQWVDASQPFDAIVQFICDAYHDCGARVVPESLEMKRESCIHTSRDLKTYRTENKIIEFPWKSIMLELVEYGRSLLATEDYLSYGADHPIRALSIMKVVLDQLAEAMAHEASNMQRRLKNEPRIPLLVSSLEAGKPLSNGQVFNAVNLHAAEATGFVVLRIRGNNSFENSRSRRQQPPVRMECLAIDVEEEIVEVLVQIGWRHHDGRGSAMDDDVLRGIGTSYDGDVTLDREAVIIETSGGSRQKLYGGLNQKLS >Et_1A_006738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2743626:2752321:1 gene:Et_1A_006738 transcript:Et_1A_006738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSVAGALVPSVPKPEAAPSGDASTAAALAPLEEAAPSSQAAADGEGAADRDLLCPICMAVIKDAFLTACGHSFCYMCIVTHLNHKSDCPCCGHYLTKAQLYPNFLLEKVLKKISARQIAKTASPIDQFRYALQQGNDMGVKELDSLMTLIAEKKRQMEQQESETNMQILLVFLHCLRKQKLEELNEIQTDLQYIKEDISAVERHRLELYRTKERYSMKLRMLLDDPSAPKIWNSPLDKANSLYLPNSRASLSASCPGSLPNKKLDLKAHLSNQGFQRRDGLTGSDPPAAPIQSSNVVARKRRVQAQFNELQECYLQRRRTGAQSHRQEERDIVTMKKEGYHAGLEDFQSVLTTFTRYSRLRVIAELRHGDLFHTANIVSSIEFDRDDELFATAGVSKRIKVFEFSSVVNEPSDVHCPVVEMATRSKLSCLSWNKYSKNVIASSDYEGIVTVWDVQTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASVINIDMKANICSVKYNPGSSCYVAVGSADHHIHYFDLRNPSTPVHIFGGHKKAVSYVKFLSNNELASASTDSTLRLWDVKDNCPVRTFRGHKNEKNFVGLSVNNEYIACGSETNEVFVYHKAISKPAASHRFVSSDLDDADEDPGSYFISAVCWKSDSPTMLTANSQGTIKVLFSPTDLAEASPSPAQGRAVANAVCRVAAFVPAAES >Et_7B_055631.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:18380000:18380788:1 gene:Et_7B_055631 transcript:Et_7B_055631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRPPVPEFTHTFSVHKDNYGNFIRLVQQEVIKYCDDRRPGIHQPVLPKEENVPKLWFHIVLRTATSSLTPAVRIDNLYLVGFTTSPPGSTTRTWWEFDNSDGIHFISGSKWLGFGGAYGDLVGHQKGLDAVTLGRAEMASAVDFLAENYGGGRAEQRQQGADSYAMPKSKLAKLVIMVCEGVRFHTVYGRVDKEFEHTAAKMSKVEGDQVREWEKISRRVREWAADPAAKFPELETIGIKDKNDAARIVAIVKDEKPRR >Et_4A_032955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1684668:1688177:-1 gene:Et_4A_032955 transcript:Et_4A_032955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVGKAKQYASVLDKPLSRGRQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAGNRRETRLLGILSFIHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGAFNCGAFVAGIVKGVLDNSGFPAVVTAHFVPIEGQHRPRTTILIKFAEEVGVLLFYACFVPQLMYHFLCCLT >Et_3B_031594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5670723:5672484:1 gene:Et_3B_031594 transcript:Et_3B_031594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSQESSAGEMAAAPPRQSSSTTSRYKGVVPQPNGRWGAQIYDRHTRVWLGTFPDEVAAARAYDVAALRYRGRDAAVNFPAERAADGELAFLAAHARSEVVDMLRKHTYADELRQGLRRGGRGRRAQPTPAWARERLFEKAVTPSDVGKLNRLVVPKHHAEKHFPLRRSSPETTTAAATGKGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLRAGDTIVFSRAAYGPERQLLIGYRKMHKQQGKSAADASAVQSRVVKLFGVDIAEDKIKNEDGDEGQYGLVHDMKIRYSISAAATMFESDIGDNGDNEGNLDYVTI >Et_1B_009777.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26364905:26365194:1 gene:Et_1B_009777 transcript:Et_1B_009777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVCQRGCLGLSGLGVQRPVILPPLEAGGNRCSVKPASRIARHSRCWGAKAFAKAPAAHYATWNGRAAKCNPRPRHKHHTWHPHHLNAPTWVTS >Et_2A_015691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1690813:1692303:-1 gene:Et_2A_015691 transcript:Et_2A_015691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAARAACVSRAFESSWRRRPNLTLDKRTLGPFGFIGKVDRVLRKHSRVGVKTFELDYDECGNPNSRNYLDSWLPIAVTPGIEKLILKLNDRGLFKSEFPEEVAYSWGLTYNFPCSLLSDGSGSSIQHLELECCVFQPTFQLGSFGSLTNLHLRYVCINGEELECLLSSSVMLEQLELDNCLEIMGLKIPGLLHRLSHLLLRSCGRLQVIENEARNLRSIYIFDAPAGRSPRESIRFGELLQLKNVDMWCSNAAYFARSDLPSIAPNLETLTLGSPSGEMANTPMAPSKFLHLKYLSIELQRMNFSPTYDYFSLVSFIDASPSLETFNLTVSQTLMVMTHELVFGAPSSLRRMPQYGHHHNLRSLNITGFSSVKSLVELVCHILENATSLKCVTLDTTLRGVRRVAADSSATKALSAIRKYIQVKVPGTVELRVM >Et_7A_052622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9920334:9923369:-1 gene:Et_7A_052622 transcript:Et_7A_052622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACYGALLHQPLASLSPCSSSSPRRAGAVRAPRLQPRVTPSPSLASSRARARISPLCSHSSAGAAPGESPAAALRRVLETPGAQQAPACYDALSARLVERAGFRACFTSGFSISAARLGLPDVGLISYGEMIDQGRLITEAVSIPVIGDADNGYGNCINVKRTVKGFIKAGFAGLILEDQVSPKACGHTQGRKVVSREEAIMRIKAAVDARNESGSDIVIVARTDSRQALSLDEAMWRVRAFADAGADVLFIDALASREEMKAFCAVAPGVPKMANMLEGGGKTPILSPVELEEMGYKIIAYPLSLIGVSMRAMEDALIALKGGRLPPPGSLPSFEEIKDTLGFNRYYEEEKKYVATPAQTSYRSSYEYSSESSSAEDFRSRIEKSQEPPVVDILPPLYDADSAGGRGPSSGMWSRTLRLKITGRDGVQKFDARIPAGFLEGMTKIIPGLAGANIMERLRNAPIDVDNPQNGQLLLDFEDAIGDRIQVFLG >Et_7B_053511.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3905565:3905711:1 gene:Et_7B_053511 transcript:Et_7B_053511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYARSVTKRMKRRVTFRLNAVLQNKCGRRLNNGSRMTSTTLMTKHKD >Et_10A_001242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22497732:22498458:-1 gene:Et_10A_001242 transcript:Et_10A_001242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAYDNEFSRTLLTTTRDLLLTNAKHACEANNGDPRDRLYTSPVFVTREAPDDIDLGGMNIPKGTNVRIQIALVHRDPSVWSANPDR >Et_10B_003871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:724431:725681:-1 gene:Et_10B_003871 transcript:Et_10B_003871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAQDDATTDEMIQGYALLYNFGLAHIKSSALTCAVGLGIPSAIHRCGGAATLSDLINQTGVLPAKLPYSAGSCAFLLSRAFSTSQSPLVRAKEKQKRPSTRSLQPWLRDPDAKPLFEKAHGMSPWSFAKLDASYNDAINLACVADSNFVTDVVLKKAGGVFQGLNSLIDVGGGLGTVAAAIARAFPHIRCSVLDLEHVISKAPERGQVQLLVGDMFKYIPPADAVLIKSVLDCWDDDSCVKVLRQCKEAIPARDAGGKVIIINAVLGHGTLDKEAKEAQVLFDLFMMRSYGHQRDEHQWKKIITQAGFKDYKIMPLLITLSIIEVFP >Et_10B_003968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8670430:8671411:1 gene:Et_10B_003968 transcript:Et_10B_003968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QQQQPEQPEIAHQPAAPEQPPPRDVQQQEASTSSSSGSDTGASSWLQLGLGPSPSSSSPSSSRRKRRRTTDEAAGPSTSAQPAAPPPPQAPPPQLQLGLHPAGPSSSSTAAAAAPVRVAAAAPPPQHESGTWFLLQAAQNQRREPPLPQIPRSYLRVSRDGRMTVRVVMRYLVNKLGLEDDSQFSFANISIHACLFLHE >Et_7A_051590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20970220:20971964:1 gene:Et_7A_051590 transcript:Et_7A_051590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAATLSLLFLTLSTAIFLWRTLKSKKHGRRLPPSPPSLPVIGHLHLFKKPLHRSLAKLAAAHGPCSSSGSAPAACSTSPTPAPPRTPISSPPLQWLHHVGSASYGPNWRNLRRIATVDVFSSHRLLLSSDARAGEVRDLARRLFKAAGAGADAKTPARADVKARAFELAMNTVAMMIAGITAATTTPRQRRRRPSGSATWSRSAPLCTGLLGLLDIGGMNRQAKRLARARNEWAQRLIDEHRAAAATEEAKQGKKTMVGDLLQMQASNPEAYSDKVITGLCLSILQAGTDTSSSTMEWAMALLLNHPAALAKARAEMDKVVGTKRLMEESDLPNLPYLQSIILETLRLHPVGPLLAPHESSADCSVGGYDIPAGTMLLVNVHAVHRDARVWEEPEMFSPERFVSGKADGKLTMPFGMGRRGCPGESLAMKMVGLAVGTLVQCFEWRRLGEEEVDMTEGSGLTMPKVVPLEALYWPRTEMVPALSTL >Et_10B_004211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19228687:19231657:1 gene:Et_10B_004211 transcript:Et_10B_004211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWEVTKVVFERVHALDPENASKIVGMILIADNSEKEMIRLAFGPDHLLHSFIDRARADLAAKPASPPSPMLGSSQTGRPWRMPSSGGEQMGGEALYPDEYDCCDAEVAAAGAWRPCMFFSRGFCKNGSSCRFLHSLPEDDAERDMAVMERAKAMAARPPQFMEPAFPFSPSPKGPNFLLQQHSDLQRSAAILLGGEDLSRLSVRSPRMMDRSVDFMTHASRQIYLTFPADSTFSEEDVSNYFSVYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILSKGNPHFVCGARVLVKPYKEKGKVPDRIRKLQPPHHAEFARCMTPTALHNPKDPFDVQQPQIGPRMMLADIAGREAFLRRKLEEQQQAAELQGAIELQGRRFMDLQLLDLKNRGHHLSSPIGSPMSFGQTDGKGNVNGNGNAAHLEDVTINQDNKLNSSRLAMSAPAFSALSEISAEGKHEEQQKEEDGDDTPKQAVNPGEEKKRESGPVTATPDVACGFQESGADQNLPEGLLAMIDSDSTGVIAQNENISPHHVASSLFPPTSSHDQPPYNSCFFQVPRDYHDPIKWRRL >Et_10B_002385.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:16627396:16628524:1 gene:Et_10B_002385 transcript:Et_10B_002385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLREKKKTCMDHSTAMYTCGHATSSSMGISAQRREFSIASDRRRLPRRRGAGLVSQEELLEQPQHREEPHPPPPYDGVLARLGRHEVVVVAGEPGLFRRPWRPLGQRARRRRAGELLVEVLPKHVHLVLFHGEPRRAVVLVAPSGHRVVVVAACGLRLHGREASPVIVLVHGRVNAAGHEPRRRGGEVGEGEHFGAALLHWNAGECELFHCCKSLSLSPPLTTETSVRDVYTEWSWKLQLLIYHLLACPYRERTRKKEENRK >Et_5A_040816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1308486:1318660:-1 gene:Et_5A_040816 transcript:Et_5A_040816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSAAKRRASSEEASPATAAPEAAASDAASPAEPPSPPQSRGRSGKRAKVAVESTEEEETAAGAKVAEAAAVDVLDSSVDNLQGVARSAGAVAASSSAVSQSGGRRKKSRPVRSFVTDEGTLWKTRPVSASGRTDAWGRLISQSSEYPSIHIYSTHFTVGHGGKYDLKLTESSPGSLICKLKHVKRGAALEIYVSKVVNVNGKALDKAARVTLTGGDEVIFNSLGRHAYIFQQLPEDRSSTSSTCVVPQEQLPVINGTPEHPPSKGAKISASLNFGNGRPPLIPQDKDIVSSLCKTVEEQSYYTSEENVPFAQHLLLKDDLKKATISASDISESFDSFPYYLSENTKNVLLSSAYVNLCCKDSTQWTKDISSLCKRVLLSGPAGSEIYQELLVKALTKFFGAKLLILDYSLLSGGHSSKSKESESYKKGDRVRYIGQSAGIVLEGQRAPDYGSQGEVRLPFEENGSSKVGVRFDNQIPGGIDLGGNCELDHGFFCSVDSLCLDGPGWEDRAKHPFDVVFEFVSEEIEHEPLVLFLKDSNSASPWLKFPYSQAAILDLAFQDSFGRVSDKNKEVLKMSKHLTKLFPNKVTIEAPQEESELSQWKQLLDRDVEILKTKANISKIQSFLTRHGIECADVESAVCVKDRILTSVDKIVGYALSHQLKHRTTETPVKDAKAVLSAESLKHGVDLLETLQSDPKKKSRKKSLKDVVTENEFEKRLLNDVIPPDEIGVTFEDIGALENVKETLKELVMLPLQRPELFTKGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSIASKWFGEGEKYVKAVFSLASKISPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDAPNRKKILGVILAKEDLSDDVDLDALANLTDGYSGSDLKNLCITAAHCPIREILEREKKERALAEAEKRPLPPQHSSSDVRALKFSDFKQAHEQVCASISSDSTNMNELVQWNDLYGEGGSRQKTSLSYFM >Et_3A_023970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15438602:15445773:1 gene:Et_3A_023970 transcript:Et_3A_023970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHFTLLITMASFLLAPKPAVSASDTTTAISGDLSALLGFKAQLSDPDGVLRGNWTTDTPYCGWIGVSCGRRHRTRVTALELSGLPLAGALAPELGNLSYLSTLNLSNTALTGPIPNDIGKLPRLLSLDLSSNYLSGTIPPGFGNVTTLQILDLDSNNLTGEIPHELHNLESILFLTLSSNDLSGPVPEGLFNGTSSQLGFLSLAYNSLTGNIPTVIGSLPNLQVLELSRNQFSGQIPPSLFNMSNLVELRLFHNNLSGAVPNNNQSFNLPMLQYVNFYKNQLTGVVPSGFRDCKYLRAFNLAYNQFTGGIPLWLSAMPELAIVSLGGNDLYGEIPVGLSNLTGLTTLDFTTSKLHGKIPPELGRLTQLKWLNLEMNNLTGTIPSSIKNMSMVSMLDISFNSLTGTVPRMIFAESLTELYIDENKLSGEVSFMDDLSRCKSLRYIVMNSNYFTGTIPNSISNLSSLQIFRAFENQITGQIPTMPSKHISFIDLRNNRLTGEIPISITELESLQAIDLSSNTLSGTIPEHIGKLVYLYGLSIANNNLHGPIPNSISNLSRLQVLELSNNRLTSTIPTGVWGLQNIVNLDLSRNSLSGSLPEDVGNLKAISLIDLSSNQLHGKLPTSLGVLSTLSDLNLSKNMLQDQVPDTIGKLSNIKTLDLSYNSLSGTIPKSFANISYLTGLNLSFNRLYGQIPVSGVFSNITLQSLEGNTALCGLPRLGFAQCPSDEPNSHNRFRFLKVVLPSVIATGIIGAFLFILIQTHVKKRSKEQPLCSLVANEYRTVTYFELVRATNNFHADNQLGAGSFGKVFKGQLDMDGEQTVAIKVLNMELERATISFDVECRALRMARHRNLVRILTTCSSLDFKALVLQYMPNGSLDEWFYSNSRCGLGLVQRVKIMLDVALAMTYLHHEHFEVVLHCDLKPSNVLLDEDMTACVADFGIARLLLGDDTSIVSTNMHGTIGYMAPEYASTGKASRKSDVFSYGIMLLEVITGKKPTDTMFNEELSLREWVNQALPSTLADVVDPNIFRDEEATSSGGIQDDDWSSQEEFPNSWQCLAHVLDLGLQCSRYLPEERMAMKDVAGKLQRIKDVSLALCATPLTQLSNATDLVALLAFQAQVKDPLGILASNWTPTASFCSWVGVSCDRHGQRVTGLQFNDVPLQGSIAPQLGNLSFISSLVLSNNSIMGPIPNELGSLRRLQTLIDNSLSGTLPPTLGNHTRLELLDLANNNLFGGIPQELQNLHSLQTLRLGGNDLSGAIPKGLFNNSPSLRIVRLGSNRLTGAIPDSIISLLQLGCFP >Et_8A_057762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6950168:6959465:1 gene:Et_8A_057762 transcript:Et_8A_057762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIQRIENSTNRQVTFSKRRSGLFKKAKELAILCDAEVGLVVFSSTGRLYEFASTSMKSVIERYNEAKEDHHTAISASAEAKLWQREAGSLRQQLHNLQEHHRQLLGQQLSGLDVKDLQNLENKLEMSLRNIRLKKVYGRTVNENPTGTTVRYSILNKDNENVQVNLELSQPHNDEREESGTPSLG >Et_2A_017902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7705442:7706888:1 gene:Et_2A_017902 transcript:Et_2A_017902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNSSGNVFKKEVGGEASCPWARPCYGCQSAPSVVYCRADAAYLCASCDTRVHAANCVASRHERVRVCEACERMPAVLVCRADAAALCAICDVQVHSANPLAGRHQRVPVLPLPAAPIPAASVLAEAPAATTAIGEKEEEVDSWLLLRKDSDNNNCSSNISGNNNMYFAEVDEYFDLVGYNSYCDSHINNNPEQYGMQEQQEQQQQQQLVQKEFEDNESSEFVVPSQVAMANEQQQRCYGDVGTEQAASTTAGISAYTDSISNSGMTPAIS >Et_2B_022239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14967025:14969364:1 gene:Et_2B_022239 transcript:Et_2B_022239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVEWWEASQLRVLFLSSLIVQWLLFICTFWRRFSMAGWFRCIIWLTYLSSDALAIYGLATLFNRHKNQDDGGGSISNLEVAWAPIFLMHLGGQDGITAYNVEDNELWTRHILTAVSQVTVAIYVFCNSWRGGDKRLLEAAILLFLVVIFKCFNKPITLKSARINSLVTTFGVAHRTTSKEDGINSIEEFVQETRDFIQDTERPQAQVTSRQDYVQGAKDLARDDDNAHAEEEDDALEIRHFKGTYAPPTPPEFGDDVVSQHSLIGLFCRDTKHATMMRVVSFFGCKGYLDQHWCLKPCWSCSEIVTLVLRHLKAGWKESIRDVASYRMFNDNRGQWTLECNKCNNLGWSLNRPLDESVLMWHIATDFLLLGFPTNCGYTLEVKGMLEENKSAPITTGFTQRPCSMWNLFTTVCGKLKGDKAPVEEQEFMEKIITAVQSQHGSQEKGFIDDAWVLAQGLLDLHDEQKMWEVIQGVWVEMLCFSAARCRGYLHAKALGVGGGELLTRVWLLLSYMGMETLADRLQGLRDFPSSAGNTGATSSTFDAPTSGAAPSTPKVCTTAAPSTPEVPAGAAPSTSEVSSGAAPSAPEDHVAAGDDMV >Et_5A_040295.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:11729427:11729732:-1 gene:Et_5A_040295 transcript:Et_5A_040295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVHKARNSCTFESDMWSLGVIMYEVITGSPLIKGCDPTNMTTFIRSLFGILSNPAHTLSNEVCAGLNSLRKALSCDWKLISPRRRFSMETHFADWYQE >Et_3B_027680.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:12078918:12079178:1 gene:Et_3B_027680 transcript:Et_3B_027680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCCMCHTVMRLFRDLGVNPMVVELDEDPRGKEMEKALARLLGRNPAVPAVFIGGRLVGSTDKIMSLHLSGNLVPLLHNAGALWV >Et_2A_015788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17893620:17893909:-1 gene:Et_2A_015788 transcript:Et_2A_015788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLQVAESSSSSSMRLFGKHVFPRQIVLFAAGLVFFGATTYDVHRSIKNNEQPPTREQMEALQDYINSKKN >Et_9A_061520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1349186:1351273:1 gene:Et_9A_061520 transcript:Et_9A_061520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLFIMQLGTLIVTGFSLSTILWHLDDTTKGNQGRFVLCTLAMSTRCNVCVDALVVFVTFWAGSGFITFLSTVVPQLMLGLHVGHRHPGILLTTVRVLHHTLELFIMWFHKVMVTMFILVAIFWHLCNMAKADDTRHKLDHNEDAAVFTFKMNSPSRGKASALVYLALELCSAFGLAARVEFQSSLEVER >Et_8B_060408.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:13897837:13898652:-1 gene:Et_8B_060408 transcript:Et_8B_060408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEGSTSPAAGGACAVCGGAAAVYCAADAAALCGPCDAAVHAANPLASRHERVPLAAMAAASGVYDDLFAADDVDAASSWPLPPAPAQGSGSPQGSSSATSFTTSESGGADVGGGGPSLFDLLSDVGLAACVTGGAGHLTDGVATVHHGAPFWAAQPGLAAWASTADGAAVVVPAAVVAAAAEREARVQRYREKRKNRKFQKTIRYASRKAYAEARPRIKGRFVKRAPGSAAAASDNDAAKFWLSFSDDARDDGVGLYVDAATYGVVPSF >Et_3A_025779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32861758:32865619:-1 gene:Et_3A_025779 transcript:Et_3A_025779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGASAGAGAGAGGERPLVYQAWKGSNVFFLQGRFIFGPDARSLFVTMFLIIAPVSIFCAFVAKELMDRFSYGLGLPVMVAAVLFTAYDLSLLLLTSGRDPGIIPRNAHPPEPEGFDGNAEVGANQTPPLRLPRVKDVVRNYRFFYMFVFSTTLLCLYVFGFCWVLIVKIRNAEQITIWKAMPKSPASIALIVYTFIAVWFVGGLSVFHLYLMSTNQTTYENFRYRYDQRANPYNRGVVENIKEIFFTPIPLSKNNFRARVPQEQGLRPRSTNGFMSPNMGRAVGDIEMGRKPVAWDEPRMAAEIGDLGAGLSNLLEDKDGRFRNASPDLSRDALAVGGLEEQGSSVLNPGRSSWGVEAARQKSEQIAHEKKGSRLKSKRNDPSDRPEANSPRALELLSETRFPLLKEGFDGLLQIIRSQHCRVPSGYMLQALLYSYIPASQQNSLGSHHSPCRLLSYFWNDD >Et_2B_020541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21147121:21152554:-1 gene:Et_2B_020541 transcript:Et_2B_020541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPRGPASFTTQANALLRKNLCLQKRNLKTNIGITFFPILICVLLIVLQNIINNEFDKPKYKCGCVCVEASVDGRCARKQCGIQYSTLDQVASCPIPSPPQWPALIQVPRADFRAVRSSSQPFSDLPDPSCRDSWSCPATVLVTGKDPAIAEIISRRLFPALSPSLNATDFLDVLSKIVAGSDTQPWYTQLLEPAFSFGRTLYLVQPKCLPYMSQTISYNTGGIPFLINVQCVEGAPLWRESASIINNEFFRGYRQRGGNLNEFIAGYDFLNTTEYGLGVNVWYNSTYNDDTAYSFISTLRVPRLVNAVSNAYLKSIKGTWVEMLLEYVKDMPKVGTSFRLDLSSLLSALFFTWIIELLFPVMLTYLVYEKQQKLRIMMRMHGLKDGPYWLISYSYFLALSVVYMLFFVIFGSLIGLNFFRVNEYRVQFVFFFTCINLQIATAFFAAIFFSSVRTATVIGYMYVFGSGLLGAFLFRLFVEDTTFPYGWILVMETVPGFSLYRGLYELGQYAFSGSIMGTTGMTWENLKDPINGMRDVLIIMSRAVVEQLLIDPNANQAIICDNLRKVYPGRDGNPDKLAVRGLSLILQKGQCFGMLGPNGAGKTSFINMMIGLVEPTSGTAYLHGMDINREMSNIYTNMGVCPQHDLLWETLTGKEHLFFYGRLKNLKGAALVKAVDDSLKSVNLFRGSVGDKKVQKYSGGMKRRLAVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKRDRAIILTTHSMEEAEVLCDRLGIFVDGGFQCLGNPKELKGRFGGTYIFTMTTAPEQEQEVEQLVRQLSPSANKIYHLSGTQKFELPKQEVKIAQVFQAVENAKSQLTIHAWGLVDTTLEDVFIKVARGAQAFNEFA >Et_8A_056949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19409928:19411944:1 gene:Et_8A_056949 transcript:Et_8A_056949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARRLLPTLLKTVAPGGARGLSTEKAVGAASVVGSHTAKWMQDTSKKSPMELINEVPPIKVDGRIAVCEGASESPALGHPIEYICLDLEAPN >Et_2A_014741.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:11239605:11239679:-1 gene:Et_2A_014741 transcript:Et_2A_014741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHRAKKNHIEQLEQWIQDMIAL >Et_3B_030202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31293797:31298814:1 gene:Et_3B_030202 transcript:Et_3B_030202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAGVVRADQMPVRAHPSDTSHCRRDFALLIHAPVACSVDCAGPESSSPAPSFDFTEHIAVQLQSISNDSFSVMHFQRKQYYMNLLLLAYQSFGVVYGDLSTSPLYVYKSTFSGKLSRYQDEETVFGVFSLIFWTFTLIPLLKYVLIVLSADDCGEGGPFALYSLLCRHAKLSLLPNQQAADEELSSYYRNGFAARNGSSPWLRRFMEKHKKVRTVLLLIVLCGASMVIGDGVLTPAISVLSSMSGLQVRATGLRDGSVVLLSCIVLVGLFALQHRGTQKVAFMFAPIVIIWLFSIGGIGLYNIIHWNPKIYQALSPYYIIKFFRTTGKDGWIALGGILLAMTGSEAMFADLGHFTSESVRVAFVTIIYPCLILQYMGQAAFLSKNIFHMRTSFYDSIPGIACMTVMFVTTFLMAMIIIFVWQRNIIFALVFLAFFGSIEAVYLSSSLMKVPQGGWVPLVLAFIFMSVMYIWHYGTRRKYQFDLQNKVSMRSILSLGPSLGIVRVPGIGLIYTELVTGVPSIFSHFVTNLPAFHEVLVFLCVKSVPVPYVSPDERYLVGRIGPKEYRMYRCIVRYGYKDVQKDDDNFENMLVMNIAKFIMMEAEDASGSASYDIANEGRMAVITTTDDSGTPLAVRDFDGLADSMTTRSSKSESLRSLQSSYEQEAPNVTRRRRVRFELPEDDDMGQQVKDELMALVEAKHAGVAYIMGHSYIKARRSSSFLKKFAIDVGYSFLRKNCRGPSVTLHIPHISLIEVGMIYYV >Et_4A_032529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11068407:11071732:1 gene:Et_4A_032529 transcript:Et_4A_032529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCACARLSFPHLLSRPAPNLTRPVSPRGPFPARRALVARAAAPDDAPAPPSSFEFLALKRELEQQEEAVVAVEAEEGAGAAVEGDGEREVEKDAGGTGMRRRRRRQMARRSALLAKQVISVRSAQSLGFVSQVWVDSASWVVALVEVRPSLLSGEAEQFLFEDIYQVGDVVLVEDESVIENELKLVGLHSLIGYNVVTSRRRNVGKVRGFTFDINSGAMESLELDSFGLSIVPSSLVSTYCLFIEDVLDIVSDTIVVHEDAISRVQRLTQGIWGTQNIRGPGGEIDEYGRFRRRRANSQGKSGDRKLRMKTRDPEDEWELPMDY >Et_1B_009858.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:34131549:34131896:-1 gene:Et_1B_009858 transcript:Et_1B_009858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCKRMRRSADSLRSKRRSVNSRRTLSTSARSSGVPRSGSNSHTARPTKSFVDCSHGPPPSATFRRHMFQASKLRSSGRKTTSTCKASATADVQRNAGRQGEGYTTGRARSMRE >Et_1B_011828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26375879:26377170:-1 gene:Et_1B_011828 transcript:Et_1B_011828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPSSMVALPRSAPPPAVVATAFTARGAAGKRSARFFLRPAAACGGGAGDDQQSMAAVPPSMAAVPPSLRAIQAERKQAAARRGAPPRAAAATNAAECAVAALVKAVEAVQGAAAGGAAEAARGAGDAVAWVVRKVHDLQSPPDLAVGLLGMVASCLGNAVEGEVERARVRAQAKKENPDVDSSASAGNEEEPDQPDAGDAVADDDDEDLPQLVGSDMETELWSRIGIMHDELHGLDSDDAVKGLDAEEIHDIIAARARRRKAAYERIIAAGGASSLILSNYAQLLYEFDKDIKRAEVYFKQAVAAEPADGEAMRRYAVFLWHARGDHVAAEDMFTDAIDEEPESSHIRSSYAWFLWMTGGVGTCLVDSSFGEDDAE >Et_8B_059783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2737931:2739381:-1 gene:Et_8B_059783 transcript:Et_8B_059783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRTGAEVVTGDAISKKCVELLEELGLPKGLLPLEDIQEFGYNRATGFMWLVQGKKKVEHTFKKIKQTVSYASEVTAFTEKGKLQKITGVKTKELMLWLSVVEVYIADATPEKVTFKTGTGLSDSFDALAFALGESALTLFFLRPGPSFSLQLHASNSIERKSKVLRVYDSNSNEGKRKVLRPAVARGGPDAALAMARLVGERGEEAGSGGTADSVEARAADR >Et_3B_029390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24602925:24603354:1 gene:Et_3B_029390 transcript:Et_3B_029390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARSFLRSSAPSSVRAAAARAASRAGPAPLPRRLPTSAPRGLLRSPVEMSSVCLDSLMPMHSATASALMTSLLAAPACKGFGWLSEGDFPWPQCCFSFCNGR >Et_3A_024022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16208363:16217894:1 gene:Et_3A_024022 transcript:Et_3A_024022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRVNISIHSNALPSDFKIDDNMSLRLDLSYAQDFKVTKGLYLLEFEIEDPHRRIVNKKRFKSEGVAKGVDGIGYAFLQTGEGECQCVWHTGQYHPRNGARESSLWSSSCPASSTATASRPTRQLDESENDTKQLDESENDSDHRDNTDMGVYCMGKPGLSPTLCPHQESSIYGTGNLAVLIKLVGKMEVQLFENRQELNAYVGDKPAEEASSAGHSKISFPPEATNRTLVPHKIIKRSLIMVIILVDVAVFPWRPLVHRRDDRVAHVLQLLELLLVLLLVGFRVAVEPLAGLPDRLLHLVLLLRLHLVGHLAAGLHRALDAVDVGLQLVARLDSLAYHSVLLRELLGLAHHPVDLLLAEPVGLAGDDFPEPLSAALTWRMPLASTSNVTSICGVPLGAGGMPARSNVPRRRLSLVMLRSPSNTLMLTVVCLSSYVVNTCVLLVGTTVFRAMSFVMTPPAVSRPSVSGATSRRTTRLVLSSTRPPRMDACTAPPYATASSGLTPWLGWAPCRRSSPGGAAAPWGSGCSRRPARSRRCRPWRARRPRGPSLPGGHGLAEQVGVELLEPRPGERLREEVDAVGERLHLDAHLVLRAQHSRRSFPSARASRDTSRPCLRFTSSMKWSMTRWSKSSPPRWVSPLVASTSNTPPSMASTLTSNVPPPRSNTSTFFSAPAPSRLVVEAVRDGGRRGLVHHATAPWRSPPRSGLSSRTSPPPRTSTRACGFPPRSTTAGAASRRAARRGRRTGGRSVVDGAQRVGRRLVLGRLADQTLAGVGEGHPGGRDAVALVVGDDLHAPVGVHAHARVGRAQVDADHRTTSTANRSAEARESIVIVAS >Et_10B_004073.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:1142252:1142653:1 gene:Et_10B_004073 transcript:Et_10B_004073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSGGGGGTCLTLLTVALVSTGIFLVSYTFNRRIEANIRTLVGLDDADQEQQRQRRRPRRGGSKKQKKVRFADDVAQPAALNKEEHLRQSVAAGPRPAVAAASLRRCARPRPPRHHHNHSRRRCQCLPTGE >Et_2A_015751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17510556:17518174:-1 gene:Et_2A_015751 transcript:Et_2A_015751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VTTKNGDRLLAAYPIRPAPPRPSRHGDPLPPATHTSDAPLQKHLGISFRHQWLLCVNRFTTTAASEASPHSVLFAIDDYLVATCGLTRDKAVTASKQLSCLKSPSNSDAVIAFLSGLGLSPSDIASAIAHDPRILRYDVKKVLAPRLAVVQENYGLSTSQIARFILAINRYLLGVSLERVVKPNISVMREYGLGAHDISKACLKKPNLLWFRSGKVQALAARLEEIGVPHGKMFILGLTCLASFKEESIASKMELLKKSLQCSDADVMTVLSRAPNLLAISHDKIRRVSRFLIYEAGLDPKYVASRPALMTYSLEGCLVPRFYVLLRKRDFVETFVHPYKEAATHLAEDYAAACRGQVPSRFRSLEPRNGL >Et_8A_058460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8320620:8326902:1 gene:Et_8A_058460 transcript:Et_8A_058460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPAPAALLIRRSPPSSVFQLKSADEQHLMEANAHYVIKKMRICTLGPLCQAVLVQFLSPLGLSMSHPEAASSPPQPEGPICSGYLILKEQDDDVQHGETGCWGVRRETPVLELPFPQNRVLTVRYVQDYGDGGVTYAQDVVFVPVPGQPPASNRYYAVIATGKHKGLVEACSHEEDMATRCFFRCIKDVKPRPFDPADVYQQMEIVQKRPGLFTARAVAPDGFPCFLFRRKYWHVYESKVKINLGLGEARGLDAELRSSFLDAVPVTTTIAVVGRWYCPFYLIREDGVAPPEQMDRSVFYEVVLEQRWEQMEKVATKRKRAWIGGSVEAEQEVETSWHGDGYVYFRTPEGQRLRVCMCLWMRMRFVELRSGWVDEEGDARKVSGVSVLVESFVLKRMNGSVAAAFDFFHLNKIRTEET >Et_3B_027393.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11175021:11176721:1 gene:Et_3B_027393 transcript:Et_3B_027393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLARNKLSGPIPVEIGYLTALTKLELSKNELIGRIPEELGLLQRILSLDISSNNLHGDIPASLFALRSLSSILNLSHNSLTGALTENIGQLKNVITIDLSNNLINSSIPLSIGQCRSLQTLSLSSNAMSGVIPDTIGNLKGLQSLDLSSNQLTGGIPANLADLKDIQLLNLSLNDLSGFVPNSGIFERNHPVVYLDGNPKLCYPSMTCYHLKYPSHRRILVVAVVAASSVTAVSIFVLLVMFMSRRHLANTKTRAVDDFIKSNHPLISYDELCRVTNTFDQTNLIGAGSFGSVYKATLHDGAPVAIKVFDLHKMGAPKSWIAECETLRNVRHRNLIKLVTICASVDFAGNDFRALVYELMSNGSLEDWIHQRRQHGNGSGLNAEDALNIAIDAASALEYMHNDCGGQVVHCDIKPSNVLLDEDMTAKVADFGLARLLVPVQPEHQSISSIHGLKGSIGYIPPGMHSSSTTSKFISASIIKFLSF >Et_5A_042588.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:21332414:21332926:1 gene:Et_5A_042588 transcript:Et_5A_042588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLKRKAHELGEQGLSAAVGEVAARAVSAAVGRYDAHATVEDQLERLGTLAVMVHSAVEAAERVHIRSWWLRRWLWRLRDAASNADEVLRSFRQRRAADEAAVPVSRHGRVSTLWNPAMSFLVSAKSMLFAGGEDVHELSSAVARLEKVATGIGDFDQADRAGNPGVTA >Et_1A_005937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15858766:15876301:1 gene:Et_1A_005937 transcript:Et_1A_005937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGARSRASAAAAVLVSVLLVGGLVAGGAGAEIRRQKNVQVSLRAKWAGTPLLLEASELLSKEWKNLFWDFIDHWKELDKGSECLTAKCCIQKIVEDARALLNEPLSTIFEFSLTLRSASPRLVLYRQLAEESLSSVPVDDSLEQISGHGTEENLYEAGSPGPSGGTCCWVDTGNALLFNSADLRRWLEGLGKLAMDSTERLELFDFDHVYPRSNITAPVAIFYGAVGTKCFKELHAHLAEASKQGKVRYALRPVLPSGCQATSSFCGSIGAVDAVTLSGYGVELALKNMEYKAMDDTAIKKGVALEDPKTEDLSQEVRGFIFSKILERKPELNAEIMAFRDYLLSSTVSDTLEVWELKDLGHQTAQRILQASDPLQSMQEINQNFPSIVSSLSRMKLDNSIKDEIIANQRMVPPGKSLMALNGALINIEDLDLYLLMDMVHEELSLADQFSRLKLPQSAARKILSAPPPAESNSVRVDFRSSHAHYLNNLEEDAMYRRWRSNLQELLMPVFPGQMRYIRKNLFHAVYTIDMILSLYQDNVPIRFGIIMYSSKLINTIEENDVNLPVNLGEDTSVLITRLFLYIKETYSTQLAFQFLNNVHKSRNGEDDYIEEPVETHHVESAFVDSLLSSAKSHPQDVLLKMQKEDMFKQEAEESSLFVHKLGLYKLQCCLLMNGLVHDSNEDATMSAMNDELPKIQEQVYYGHIQSHTDVLEKFLSESSYKRYNPSVSIMTEKSSTLIFSPINLWLWVPPQIAGKSTGKKRFVSLFASYHQEDSVFNDINYLQSPGTTDDAKPVTHILAIDLSSKIGAKLLHVTMRYLMAGSDKARVALLLYVRDEGTSSVLLLKDIIDRTISSFSYKEKVLDFLYGLCKFYEAQHTLGSSVAGDWTRTLMEKVYSLAAESALPVDDYKSWFTSFSADNALKGMDKLSDFLFGQLGLVFGSNAVITNGRVFVVNEGESFLADDLALLESMEYELRTKYIFEIIEEVEWSGVDPDDLTSKFYSDISMLVSSSMSVRERPSDRAHFEILHAEHSAIKLDNADASIHIDAVIDPLSPTGQKLSPLLRILWKQIQPSMRIVLNPISSLADLPLKNFYRFVLPSMDDFSSTDFSVHGPKAFFTNMPLSKTLTMNVDVPEPWLVEPVVAIHDLDNILLENLDVRTLQAVFELEALLLTGHCNEKDRDPPRGLQFILGTKRRPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSADLYELPPKLIAIDSLRGKLMHIEVQKKKGREHEELLNAADDHHFQENMDNKGWNNHLLKWASSFISGDASSKKKADKITDRKDARQGETINIFSVASGHLYERFLKIMILSVLKKTERPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELVTYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLRKVIFVDADQIVRADMGELYDMNLKGRPLAYTPFCDNNKDMDGFRFWKQGFWKDHLRGRPYHISALYVVDLAKFRQTAAGDTLRVFYEQLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKARAKTIDLCNNPMTKEPKLQGARRIVPEWVDLDSEARQFTARILGETPESADTIPSPSDIPKPDDNGADQKLKDEL >Et_7A_050378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11026524:11027195:1 gene:Et_7A_050378 transcript:Et_7A_050378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDGVSCGLFLLNFAEYWTGDVLSDDFNQTDMINFRLKLAAILLGSELNERKGIDLTLDDSDDSDDGDMDGVEILENSPDVFHWSNQKRTDFVYSSRYSLSIAGVPKSDVELMCELWWYIYGIDVADALKYVLSRILYNHFAHLLFNANLMYFACPVFTAFLFF >Et_9B_065976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19080229:19080630:1 gene:Et_9B_065976 transcript:Et_9B_065976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSMTSSAVRAQWTPKQNKLFEQALAVYDKDTPDRWSNIARAVGGKSAEEVRRYYELLVEDVKHIESGKVPFPAYRCPAGAGGPLGYEADRLKHLRI >Et_9B_064221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12734252:12734553:1 gene:Et_9B_064221 transcript:Et_9B_064221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIATGRGIGGDANKTDNNIVGSLDGETCCRWWTPLSFKNVSANIKRRQKNKCKSGRSQIQAI >Et_8B_060459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1713857:1714235:1 gene:Et_8B_060459 transcript:Et_8B_060459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGLDMWALLDRYWRLPSSKKHARGGRDPPPEGFLAVYVGAARQRFVVRTECVNHRLTPRGHCYVADGPLQLPGDAEAFARVVKAIEREMADERIGCGGVRAHSLALVHSRQVTVGFE >Et_2A_017883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7410294:7411000:-1 gene:Et_2A_017883 transcript:Et_2A_017883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEWSVGLFGCFGDVGTCCLTCWCPCVTFGRIAQIVDKGSTSCCMNGTLYVLLLSVGCQWLYTCSKRSSMRGQYNLQESPCLDCCVHFCCDTCALCQEYTELEKRGFNMAKGWEGSNKMVGCVQGMRPPRKQSMCF >Et_5B_044008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18895284:18895770:-1 gene:Et_5B_044008 transcript:Et_5B_044008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPSDDTRSMMLRVTFECWMLRIVSFLTFCVCSFQSTTICARTMCEPGEISLLGHHERHHPRRCRRRRQPREPAQAPAWSVYREMEYGFIKLTAFNYSSLLYEYKRSSDGKV >Et_1B_013968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25829348:25841092:-1 gene:Et_1B_013968 transcript:Et_1B_013968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAHDGQRLFFPVGNPFRMILPSGAHLSPKLTELLASYEDGLALTLRKLKPEDTSEVLTLSWMRLAVDSLSEMHTNIATLITKLELPVSDWDEKWVDIYLNSSVKLLDICIALSSELARLDQGQLLVQYVLHVLDSGSTIPSQEQLGRSEASLKEWMERSSMRSPRLEDCSAVLQELAGNLSLMKVKHSAKGKVLMRALYGIEAVTVFICSVLVALLSGSTKPLVKFEVPEKFGWSKAFDDLYSAISGEVRTQLSRSGVIAVKELEEVEACARKLHALTSAVQHQEENANLSCAVIHTKEVVMSDATAQKGVSEDHFKLADDSSLEWKVAMGESITEVMEEGETKQVAKTIGHAKEVMMIERISDRQHQGDNMKQVDGAETNGLESREELLNCISSMSKSAEGLRLGLDSVSKRVGDFFQIVLTGRDALLCNLRISDESKVAAKVRHKRVDFCSNREPMWPFCRCEAATIFISRDVKGNFALRD >Et_1A_006987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29927728:29942784:1 gene:Et_1A_006987 transcript:Et_1A_006987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLKSAVPAELRQAVGEGTAADLPTTTSRLLAFFDGLPLFHQVMRELTDPELALCRKDKGRAVELKGQGNACFSRREFRQALGFYSQALRNVPISSDGMDVNLVAAIYVNRASTMHKLGLLEECLRDCDRAIAVSPHYVKAWYRRGMVNASLKNYSSSIHDLEVALRMEMTSSGKANIEQELKLILQKHGNVTQTGTSNGDPQDAELPHTGQLHKVVLECISTPDKGRGMSSPNDIPPASLIHVEEPLATCLEQAVGKISGNQDSCQGLNQAIGLAKLSITSTSCKQSSSSGQIADHRHECGGTNWAAVLPSDIVLAGRVMSQYIEKRTMAGKGSAMSGPDLDLVHHYDQNSPASKLESHIYAIVLLICLQNYYRSILLCTKDLLSQLVLLICQIKVNSIAVVRMKSMAKSHELIANGEFPAADGAALCSVEQVRVAQAIYISGSRFNHSCRPNVHAYFLSRALVLRSTDFIKSGSPIELSYGPQVGEMDLPERQRLLRDNYYFSCQCSSCSELNLSDLVVKSLRCPLSNCLGALSESSCYSTKGNFVHVSLSESHDCKLSLPDVSKVDEDMEEVGKSFFQDSCVNLNIDPGCCMSCRSHLDVSSAVATSYRLTSKIDGLKDLTVLDNSFMTEALQSLKQLKKLRHPYSKVLAQAEDTIAEAFAKVGDQEQARKHCEASIQILEKLYHSKYIIIAHELIKLVSILLSLGDRENALTAFSRAEAIFSLYYGSDMKKILPYLDALKGAVSNGSNRASGEQWQQSLGIGRAQKQDAWS >Et_9B_063916.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:21402738:21403301:-1 gene:Et_9B_063916 transcript:Et_9B_063916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAVVHPLPRGHGGGHRRRPSGSLSSLSCRSMDPAAAVRQYQYRPREVQFSCKSTPLHKRRRAQRRAMRLQPHDLQGREDHSSSSDTEYYGSAAAVTRLFALMDVEEETAKETDVVDLDDGDLEYLETSAAWPALSPAPRQITDSPFHVREEDNEVDRRADEFITWFHEQLRTQQQRAAHYWVR >Et_4A_032894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15137184:15138393:1 gene:Et_4A_032894 transcript:Et_4A_032894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRYVGTLFVKALLRPSDILPDLRTLAGFHADEEIELFEEIKFEPSVMLEEICTEETFSSAQIGNGDIICYQKSPKPGNEYPSVKLFFEHVLDQKRRLLSYNVRAISEKKKLTWQGHLLRAIDAEDKSVTALCNLHLCNAEFDRLKQERDHALRQVDELRALSRQVILEFSLEDLEQATEHFSNVCKVGENEYGRLFKGIIHKTMVAIKLSCSQSLFQREVGPPRVILVLLLILSLIHEYSRLLFFVKGDIQTLST >Et_3A_024617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22617462:22620515:-1 gene:Et_3A_024617 transcript:Et_3A_024617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTPQVIDLASERGQARGGNGDISDQGAQHAVRVVGNAMSFGHSDMRSYYDVSMSHQHQPVHNPPPNLGVDSGLVFASTMYNPCISSTSMNRNASHAQSFGSSNLPLPLNQVPGSMDESGRNNNIGESARGHIKRKNAAVAGSYHFVNGFAGSSSSSYAPQNPALRPWDPSFESNVSPNIVPFNPSEYHNYNGWSSIEGSSITGTNGFNSVVRPESAQHGNYTFPTTHTGHAWMSQTANGIADGVPYVSATSSVQGRYAHSRATEVVNGGFHEYQSVPSTVSRGPVPYFHQHAMHGMQAHNLPDHTQMQLPYQQCHNNGVLHGGLNYSGNRLHLGPRIPVLFTNSERTFGAPQHPFLANPVNHRNIRILQPEELLALEERIGDVNTGLTKSYIVDKLRTNLYVPGTSSVADQSSKSSLERDACIICQEEYQDKDCIGTLDCGHRYHAECVKQWLTVKNICPICKTTALSTDRRNGQ >Et_3B_030661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6072843:6076351:1 gene:Et_3B_030661 transcript:Et_3B_030661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYIDEDGEPLMDPDARDLSPEPQAEPYDDLEDDLGDWTRDRSPTPVHGGDDGGAGSASRPRKRLLKKGGGGGGGGGVPGDDGLEDWGLEDADAGAEVAAAKKGKGSSALRDLARGGAGKEKKEKKRRREDDGRDRGMVREKRGSSSSKASGGGGGYHDQDDDGEKEIQELWDTIAGGDSEDDQEGVRTLDDDNFIDDTGVDPADRYGSDNERHSPSRYAQAEEGEEDDEIERLFKGGKKKKKNDRPRADIGLIVEQFIAEFEVAAEEDANLNRQSKPAINKLMKLPLLIDVLSKKNLQQEFLDHGVLTLLKNWLEPLPDGSMPNMNIRSAVLKLLTDVIMFLSKSDEETTANRKLAKELVDKWSRPIFNKSTRFEDMRRYDDERAPYRRPQMKKPSSSSSGMESRDDDVDADFSQRKSGQSGSRQHASRPEASPLDFVIRPQSKIDPEQIRARAKQAVQDQRRLKMNKKLQQLKAPKKKNLQASKLSVEGRGMVKYL >Et_3A_026891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25177070:25178123:-1 gene:Et_3A_026891 transcript:Et_3A_026891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEWSDGAAASSPTVSGGDGKVAGAGGAVSADCPESPVSPVAPSTTSPAGSGRRRSANKRVVTVPLADVSGPRPKGVGEGNTPTDEWAWRKYGQKPIKGSPFPRYVTADTISSSPIGILAFVFLEVSAYYRCSSSKGCPARKQVERSRVDPDKVIVTYSFEHNHSGAVPRVQSRQAPKHKSQPVPPEPAESVSIGAYDIADAVTCAAGALAAEGGAAAAAAAAAVEVHDDFRWLYDGVSVTSSASPSDADATADEMLFGTMFFGGAVTAPAPLPDEFGDIGALFGGEAGEEDAMFAGLGELPECAMVFRRHAGYGMPMAGGVKVEQQAEGTAMS >Et_4B_038580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3032433:3034632:1 gene:Et_4B_038580 transcript:Et_4B_038580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGWLRRAAAAAALPRLPSSLPILPISSPSPLTEAQSLVVPGIGAGVVGAMELMAVPKKKVSKYKKGLRNGPKALKPVPVIVRCRCCGRVKLPHFYCCSGERGNPNDSSS >Et_10A_001886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9842359:9847021:-1 gene:Et_10A_001886 transcript:Et_10A_001886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLYRTASQAAAPEPPRTPPEQDQQRDSFEKPVRTLEGLIAEDPYMPSSARASARPSDFGRDSTTLDAKSPVAPGTHTDVAEDEGWIAIPHKALPENWNDVSEMAQLQPLDRSFLFPGEQVHILACLSASKQDVQVISPFRVAALISKNGNLSQHSANKSDPVVADGHDNGTNGENGCEGVENNLQSVDVNGDVSPSNHDISETQSLLQMEDHKQQIELMLQKFRESNFFVRIAESDEPLWSKKRMSTSKEEDGQNYSDSQEHNKASKKTTYNTVSDKGIFDGNTSGGVARDTVKCYPLQNGDIVVVLQVNVGVNKLEDPVLEVLQFEKCMPNNYMPQNLVDGLSGNNDDPCRELLSWLLPLDRTLPPRSSLSTPTLSPSVSHKQSYSSGSQIFNFRSYSMPSASSAQPPNNMRPPPISESQEFMPENPAKTPDTINDGQLSFRGVPLEPERYSVRCGLEGVYLPGKRWRRKVEIIQPIEVHSFAAKCTVENLLCVIVKNIAPSQAKDIVVFIDAITIVFEEASKGGAPLSLPIASIEVGHGHSLPNLALRRGEEHSFILKPAAMPSRDRRTNNDAPPTLSLPTMNGATLNAHTPKVTDPYVAPSDQYAVLVSYRCNYSESKLFFKQATSWRPCAVSDLMISVSSELSLRNPSLSAQVPQLPMQVLTLEATNMTSENLTLTVLAPEASGSSSVVSLNSSPTTPNNPYDGLSESARRSGLGKHGVGFRRLNSVLAGAPKDGDNGGNKTATASGCTHLWLQSAVPLGCVPARSSTTVKLELLPLTDGIITLDTLQITAREKGLTYTPERSLEIHATSGISSGRA >Et_6B_049079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17581311:17587785:-1 gene:Et_6B_049079 transcript:Et_6B_049079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEGCALIPRRGLEGTFEGADPSVMIDMVSAVEELSSLTTKELSEMLKEPDNFILQSKTEDGGPKQVDMEKLVTSLPLHLLAVCLELGQGSDLAYVLRGMRFLHSLSELATRHTRLEQVLLDDVKLSEQVMDLIFFLLSILAQRKKENRVGAAPFVHASLVATSLHLLTTYFSSQWHELVHILLAHPKVEIFMDVAFDSLHEDVRLLSLRLSSMVGFGSFPVGPLECRLTHFICQQCEASLQFLLLLSQQKLFRDRILKNKELSRNGGILSLSRTVLKLIVPECLKGSTDIVASVSRLKAKILSILLQLCEAESVSYLDEVATSPNSMQLGHTLALEVLDLLKTAFGRKQTHNVDSHDKSYPMGSVLISALRLVDVFSDDSNFRSSFMTNTVPFLTQILAIPHDEFVSSWCSLNLPVIEEDANLDYDPFSAAEVALLASDDALTEAKANYSCHFRSGSMPSIAYAQTRTSCVVKIIANLHVFVPNICEEQERDLFLLKFQEYLVSEGPKRSADHSTSADLKAATVCRNLGSLSDYAKSLIPNLLNEEDVQLLSDFSEKLQSWCKSQAGQGTIQVVQHATSSETKEDLHPLQQPLPTVASARDSSMNSIPKSMEVSTPAPLIKQEATGKEETPRNSATRNGGFLQNAVGQDLVHLGVARTTSAGYTPVSNVLNPGHQRIKMDLDPASSSAEHFKTPELTKESGLQEDEKGEANMYDDRQPKRRKRTIMNDEQINEIEKALVDEPEMHKNTPLLQAWSEKLNNRKAKLARIAKERGVPYDGEIADKPSTPATHLGESSESAGEDSYLPPARVMTALGISKGSRLVSPDSSEPSRPLTRSFSFEPGRLVILIDGDGKEVGRGKISPVEGRGQGKSLVESRVCVVDITELKIEKWRELPHPSEASGRTFQEAESRNGGVMRVAWDVSRLSPVV >Et_2A_016533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25736966:25737910:-1 gene:Et_2A_016533 transcript:Et_2A_016533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPGADEVWAASAQDAWIAEHGDVARVFLAGDSAGGNIVHNILMTRASGIEGAILFHPWFGGSKVVDGEPESNVELTAKLWPYVCPGAVGGSDDPRMNPLAPDAPALEKLGCARMLVCAASEDQLYARDRDYYAALQAASASRGTAAWLESDGEEHVFFLKRPECDKAKQLMDRVAAFIAGA >Et_2A_017945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8110297:8114418:1 gene:Et_2A_017945 transcript:Et_2A_017945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTVVSMARALVGTAVSKAASAAADEASLLLGVQKEIWDQLGFMYIKDELKTIQAFLRAAEVAKKKDDLLNVWAEQVQDLSYDIEDCLDEFKIHVKSQSLSRQLMKLGDRHRIAIQIRNLKSRVEEVSNRNTRYSLIKPISSSSTDERDFYMEDIRNQSANNIDESELVGFSTPKRELLKLIDVSTDYGPTKVICIIGMGGLGKTTLARKTYENKEDILKNFSCCAWLTVSQSFDRREILKDMIRQLLGADSLNKLGLQGNLLVQVNHLADFLVEGLKEKRYFVVFDDLWTIDVWNWINDIAFPKNNNRGGRILITTRDAGLAESCTPGSLIYHLEPLQIDDAIHLLLRKTHIRRQVMETGENMKNIVTKLVKKCGCLPLAVLSVGGILATKSTAEWEKFYKKLPSELENNPSLEAMRRMVTLSYVHLPSHLKPCFLYLSIFPEDFEIQRRRLVNRWIAEGFVRARDGVNIEDVGNSYFNELINRSMIQPSNVNIEGVIKSCRVHDIMRDIIVSISKEENFVLLAKDNTTTIEEKVRHVAFHGSKCSEICLDWSCVRSISIFGNRPIGSAPSFGSPQLRMLRVLDLECAEFQIRQKDASYIGLLLHMKYLNVARASNIFAFPQSIGRLRYLQTLDMREANISAVTTEITKLRSLRSLRCSKRFAYGCFSIIKNPKECMSMTVCLPIMFTPLVNFNDRGNLLSEIRMACSIRCSDTKGVKMPRGINHLKELQILEVVDIKGTSKKAIAEIGELSQLRKLSVTSKDATENKYKVFCAAIGKLTSLQSLCVDAEGSLDVGAIEWLNSVSCPPPLLRSLKLNGSLKEMPRWFGNLTQLVKMHLSRSRLQDGIMTETLGALPNLMLLRLYRNAYVGEKLVFRRGAFPNLKEIDIYFSEQLSEIIFEEGTSPQMRNIEIYGCRLESGIVGIKHLPRLKIIALQYDGEMAKFDMLRGEVDAHPNNPVLQMPKDKRHS >Et_7A_051745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2437116:2439527:1 gene:Et_7A_051745 transcript:Et_7A_051745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLLRPLWPGLAPAAGSPDAAPEPSKPSLPAAWLLLHALFCATSMAVGFRFSRLIVYLLFLPTPLTDPTAHLVSLVSPPVMLAAAGNATATITTTTTTTTTVTTTTTVATEVAAAHAHVHHGPVFVGRHPIRVREWPHPDPAELLKAHRILAAVQNAQRASRHRASAAAGPPRPVIAVTPTAASALQVPSLTSLAHTLRLVDAQLVWIVVEPGHRTDAVAAVLARSNLDYLHITGPSSGDASTTARLRMHALREIRKKRMDGIVVFADENSILRTELFDEAQKVSSVGAVPVGILGEDDGASESFLQAPACDAEGRLVGYHVSDETVLPANRSDMLLSSRLEWAGFVVNARALWEGAAERPEWVRDLDAIDADADARVASPLALVTDAARVEPLAKCAQTALAWSLRSDTLHEVKFPHEWKIDPPLLTTGERQQTVKPDTPLKQTTLANTEDQH >Et_4B_039412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14472128:14473593:-1 gene:Et_4B_039412 transcript:Et_4B_039412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNSPFDCILLDLDDTLYPGNTGVGAALKRNIDEFLVAKLGVTNKNAADMRVELFRSHGSTLSPASSKALGYDVHPDEYHSYVHGRLPYDRIAADPELAQLLQSIPQRKVLFTNSDRAHMKRALERLGVDEDIFDDIVCFETMNPRLFGEERVNGERPVPVILKPAENAIKAGLLGAGTDPRRTLFLDDSERNIAAGKALGLRTALVGKKVRSKDADYAMESIGSLRLVIPEIWGTVDVENKGIRSEPDSFLHPTTTIKA >Et_4B_039875.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:4892493:4894070:1 gene:Et_4B_039875 transcript:Et_4B_039875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPAPRLFQYISNTKSRKKPPPPPSAAPESLPAAAAPVASDADADAVYRIVTSAPTPSAMESALEASAVPLSAPLLDLVMRRFRFAHGDPLRALSLLTLAADRGGVAPSPFALDTALYVLGRSRRFTDMWELLRSIRRRCPDAVTPRTAMVVLGRVAKVCSVRETVESFRRLERMVRAREDADPGGLFNALLRTLCQEKSMSDARNVYHALKYEFPVNRQTFNILLSGWKSAEDAEAFVAEMRDLGVEPDLVTYNSLIDCHCKNRGVDKAYELLDEMRKKDISPDVITYTSLIGGLGLIGQPDKAKGLLKEMHELGCYPDVAAYNAAIRNFVIAKRLGDAFALMDEMASKGLMPNPTTYNLFFRLYYWAYDIGSAWQLYQKMRSEGCFPNTQSCMFIIRLCHRHRMVAQALELWSDMVGNAFGSFTLVSDVLFDLLCDEGKLEEAERCFHQMVELGQKPSNVAFRRIKILMQLAKQEESIAKLTEKMGRFGRLAPEDCQRVHHSAETRPSNGDGADADMMRVP >Et_7A_050329.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:3155660:3156835:1 gene:Et_7A_050329 transcript:Et_7A_050329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLARRALHGASAPAPPTPPASLPSVSRGYLILFRFCTSASTPPHFMVDYLVSTCGLPPAKVAKAAPRFAHVSSPEKPDAVLAFLRSKGLNRAQVRTVVSCCPALLLSDVDGTIAPKFRAVRSVGLTRAEAARLFALCPPALTWGVHTNLLPRLLFWLDLLGSTRLLMKWLNKTWLFKFSVDLLLRNLSTLRSLGIPQARLATILRQSPSLIMQSPARVQVLVDRVDACGVPRGSGMYVWALFALHGVSDAAFQAKRAAVMRGTGCTEQEFLAMFRRAPCFLFMSEDLLRRKVEFLKTTVGCDAGCIVRNPVLLTLSLGKRMVPRCRAVEILRSKGVDIGKERLVNVVRLSEAKFMERYILRYCEEFPELLELYPPDQGSSLRGSLDNP >Et_9B_065396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4612570:4631912:-1 gene:Et_9B_065396 transcript:Et_9B_065396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRRGSYKLVATPNEIHSLSSRIPTPLRSREPFERSNRRPTTNGRKENPLIIMAMAPCAASSVSFPGSPAATATAVLQRAGGSVRARAAGAAKGAGAGGKWWAPLLGWSGRADYIEAPAPVPARDDPASRRQFMGGLTEEKARELRARMWLGDIPEAMLGASLRRSRRRAASSDEVNSDRAVKLKAARNLMATSPKERLNANEIHDVKILVLDREEKEIADEDEMDKFILNHLCKRAGGKKWWAPLLGWSGRTDYIEAPTPAPARDEKAAASRRQFMGGLTEEKARDLSARMWVTECFHDAIMAMAPASCGVSFPARPAAATASVWPRSGAGASSVAVRAAGAAEGAGGKWWAPLLGWSGRADYIEAPAPAPPAQDDATARRLRGRPDGGEGAGAPRADGGDGVLPRRHVPLRHRLPPRPLRLGFASPEAGTRSKPYSSTLRHRRSSA >Et_1A_006873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28464398:28468849:-1 gene:Et_1A_006873 transcript:Et_1A_006873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSPAQSPPPASSAAAAGGGGSQPLVVTLNCIEDPSAEQDALAGAAAVEHAPLSALASGRVESAAAVLLPSLAFLPRAAQRRLRPWQLLLCLGSPDRAADAAAAAELGLRLVHVDANRAEEVADTVMALFLGLLRRTHLLSRQAASSAPAAGWLGSVQPLCRGMRRCRGLVLGIIGVSASARCLATRSLAFRMSVLYFDPQYEATGKVKRPSIVFPSAARRMDTLNDLLAASDLVSLHCVLTNETTHILDAERLQHIKPGAFIVNTGSCQLIDDYALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAIAILQSFLYDGVIPNNIISDEDEEISEVGCEGDQLGKQEKEHALQVCDGEQQTEGSQVTVECDKRRAISQPEEPQASGQSHSIGSRTEGRRSRSGKKGKKRPARRRSEHKIDELSTIEGGSNYSSRRDEDNQVLSSSSRFASPEDHKNKQKSSVESPMEIISENKLPAGLGRKCPENLKEGFVIALRTRDNSGFYVSRDRVAGGGWYLDVVPNATKRDPAAQFLVTFKNKDTIGLRSFVAGGKLLQANKTDFVFANYSFDVSESWMLEGSLSECCKLINCKNSSAVLEVCIETLAAQSEEDGVTRWLDQHI >Et_9B_065746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1087337:1088427:-1 gene:Et_9B_065746 transcript:Et_9B_065746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTLHIWSPSNRLNLYEPVLKKEFRYCTSKLLIMIRQISLSRCSGRHTNSVATRDVAIDPVTGVSARLFLSGGGAAAATGKRRQLPPLIVYFHGQRLLQDVTPSRAGALVVSVDYRLAPEHPIPAAYDDAWAALRWSLSAADPARVFHAGDDNVLASRAGSPDGDDIDIEGVVLLQPFFWGPDRLPFETESDCESPSSMMARSRTASLLIRSAEQASAAPRIGLTIMTHSIPASKQLARKNPRFHSLQFNFGGQHCTGRVH >Et_6B_049749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:80251:84625:1 gene:Et_6B_049749 transcript:Et_6B_049749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFAVPSPQSSVTVGSAESTAAAPAARAPNGGVASPAPASPSPAAVVGSSVVDDDGDFPEPASCISSMIDRGDAESHRLFLARRTVLEMLRDRGYSVQEHELARTLPEFRAWWADKPDLERLSITTTLASDHSNKVKVIFCPPEPVKKATIREIYNGIKEENLSRLILVLQGKIMSQARESLKDIFTFKVDTFQITELLVNNTKHVLKPKHVVLTEEEKTKLLKEYNVQDSQLPRMLETDAVALYYGLGKGTVVKVIYDSELTGNHLVCLNRNWAVLVREEPQELKRLAPRINSGNMERRGLEEPLDELPV >Et_7B_055339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9538228:9538681:1 gene:Et_7B_055339 transcript:Et_7B_055339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGISKEHKRTKAAVMIYTAWHIWNERNRRVFTNNSLTPVEVIKIIKDDMALRARASSQSAAQNSEAPVP >Et_5B_043513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11317157:11319549:-1 gene:Et_5B_043513 transcript:Et_5B_043513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IPGHIVSLSLQDRVVMGGRNLERIVGLSRASWGICTLTAFVCSQVFSKHYSTIDIHKWQYLTSFARTLTFGK >Et_3A_027189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4313552:4319753:1 gene:Et_3A_027189 transcript:Et_3A_027189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKTLTPDADAAAGDGADAAPKTLAAGELVWAKPKGRRRVWWPARLLAACPVDGAAARDAGVSYFGDPGAPPGPAAQVRRFADPDADGMARGSTARAFHAAVEEAHASAVAALRASLTCGCVPPPSPEAGVIVVGAANLSPAEFLTALRNAALFGSFVGLVDRIRLKSWVRAFGEGWGPGGAAHYPRRTVEELVDKIDLDVPAGEDKEDDEWLADAKDEALELPQKTPAQKKRRAAALMDEVGAGEDEKKNDSATGAGTSGKRERKRSKYLSPPYTNLGVVVVPRKAADLPKALAASAADYDSELLPGSIVVEEVVSLVLGLGKGVRLGRRFPKAAESFLLSFRSSEFKCPDIKCYEVHESRVAHDVGKDAAGVISDSRTDLKLGNCSSKRGRKKDDDGSTGSSIKKKKREKSSPAAIIGSGLPITHAIPPIRQVKAEDIRSQMKAGGGVRGLGVDVKKEKFKPAVFKCDISHVVPEATKSGQEQDQANDGSVVKTPLDVDNNLSDKPAKENDETKLQDEKHKPSLFKCAISAAVLGAAKSEHDQQVDGFAGKTPVAVDNTLSDQSAKKNVEIKFGDEESKPLVFKCPISAAVPVETEPEAQQCGVSAVTTPQASGNNLSVQSAKENGEATKSETNVESILADVPTRSVQTEATGSEAKICIDDTAQSVVADLPVTNVSTTVKESKPNVCIDVPVQNVGAADVPVQSFSADDVPVQSVGANDVHVQSVGLDLHVQSVGADVRASDEQPLMDKDTAQAVEENKEHTNVEMHTVQQSYASLQAMVPEMHMKVTHINGTDVIPVSHALKDDCQKDEQPNQKAKLTVGSMENHFSYEAANGTFPAATNGTPKMKKKKTLQFFVAPAEIIVEFTPGVVMPSREELLSAFGKFGFLIESQTNISEVTHSARVVFAKKAEAEKAYNRAEFLGQFGPPFATLKLGDLPPIELSAPSPPCSLASRPPLTDVRKNLEKMISARHSSLKNATSADGLKPVSDKLLAEMQGLLAQVDKMLGRSDSQTNKLFETLALLAERKKNMYEAWRIDSAEYISWAAVCGIKKSWPITHQRILSQSGLPLHRRPDAASFLLPSADSGVQT >Et_2A_016237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22450748:22456413:-1 gene:Et_2A_016237 transcript:Et_2A_016237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMRIITGEAAADDDVLSVKGVRHLCERGGVLTNLPARCVLPPSQRPAPAGACISTGLPVVDLARLRDGGARAAALAELDAACREYGFFQVVNHGVDDAVLLDVARRFFDLPLAARAPYMSSDIRAPVRYGTSFNQLNDGVLCWRDFLKLVCDDGVVSSWPHDPADLSRCLFRELMEAALDALLGSHDTTTKAAMLEGCALGSQMLIANCFPVCPEPDLTLGMPPHSDYGLLTVLLQNQVRGLEVSHAGRWLLVDPLPGALLVNVGDHLEIFSNGRYRSVLHRVRVNADHLRVSVASLHSLPPETVVAPAPELVDDRENPRRYMDTDFAAFLSYIEAAEGSHNTGGGEATAPARFTEDLVLEILFRLPARSLHRFKCVSRQWLGLISDLHHRSRMSQTLAGFFYIGYDMRERAVTRNSLNFSSSCARADGGGLQIDPSALSLPPAACENLHRVDCSNGLVLLRRWNARPEDGFSCTVCNPATDEWAAVPDSPTRGVGSTLVRLAADDSSPSFRYFVFQFRRGARGRVVSAEIYSSESGEWTTYREDRLGWWEVVVSLRDETSGVFFGGMLHLAPLEPVIVSVDGGGNTWKAVPKPTHPDDDDFGVQAPGFIGVSQGRLHFLNTEEHDRLTLCNWELQPDDGGGGWVMKHRVSLRGLFAAGRWDFGAEGFDYSVIAIHPDCNSIVYLLHKHNNTVLSYAFNSGEGCVIRDLGLDNFEPYLPYVPRFSAPLAIRGRQN >Et_7B_055029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6559641:6561985:-1 gene:Et_7B_055029 transcript:Et_7B_055029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGGGSGTATPGWDLGMHWLPAGSSGYPHPFVPRAGDARRDDHQQQQEQQGLAQPGKAALLLGRRRLPVHGNGGTGGSGSSGGAAAAESRSRKEKAAAAAVPRCQVEGCHVALAAAKEYHRRHKVCEAHSKAPRVVVLGAEQRFCQQCSRFHAISEFDDAKRSCRRRLAGHNERRRKSNASEAMARSAGHPHGVMGPFGHGGFPAFGLPSSPAGALSLLSSARGGGGAPWLIPAAGADISARSSAALDELIAENRAALLAWHFFSADRSAGRVQLPAAAEAAAPGASWLAAHPGGDDAGAAAAAAGGGGRYRFEASISTGHNNTTLDLMQTPSSASAAGAPFRPVPERAARTTPKDDDAGCNSGGWASEEGGAPGRIV >Et_4B_039029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7115884:7119012:-1 gene:Et_4B_039029 transcript:Et_4B_039029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRVHPNGEPAGDLEMGLGGGGPPAQQGQQQQLGGRAPNSWHPPPNNWAANDANTLLVVATLITTLTYQLGSNVPGGYWQDTLLAADGKKVLHLTGDPVMRDLHWQRYWLFMAASWMGFAASMLMTLSLLVRMPVNSRHVRWSFAVAYSSLVLTFVVSQCRTHLAIDVLIWAACLAFLWLLISVRPEHRARIARCVCCDGEN >Et_5B_045615.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5582428:5582859:-1 gene:Et_5B_045615 transcript:Et_5B_045615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEQQRAGLIHRRGHRQRQPVERPRLRGGARHALQRRVRRDATTSVDLGVDVNSLRSINASDAGYYNDGTGQFQNPSWISRKAMQIWVTTTAGPGRSPWPWAWPGPRSRCCMQPSVDLSNIVQATAYVGFTSATATLVRYRAP >Et_5A_040928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1499081:1502849:-1 gene:Et_5A_040928 transcript:Et_5A_040928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAVAAAAFPFRLFSVEARRNTKSVRGKRSAARPIKTAPPPPPRPPASTVAGGGVAATTFTRLPLRDDLDSAEVSLDRFPTAPGNPETQAPASARGNAERLGDEEEEDVGLDATTFTRIPLRGSPDDVELTIGQFDPRAATGRSPGGRAFARQMVEHLDDEDEEEPVVSRLDIFEGAKGRKFRAVTPELLGEGEEDDGEVVVFDPDYGAGDGGNGVVAFRREKYVPYGGPSGGDGGRGGNVYVEVDGEMNSLLPFRKSVHFRAGRGAHGMGQQQAGAKGEDVVVKVPPGTVVRSADGVALLELMRPGQRALLLPGGRGGRGNAAFKSGANKVPRIAEKGEKGPEMWLDLELKLVADVGIVGAPNAGKSTLLSVISAAKPTIANYPFTTLLPNLGVVSLDFDATMVVADLPGLLEGAHRGYGLGHEFLRHSERCSVLVHVVDGSGQQPEYEFEAVRLELELFSPSLVDKPYVVVYNKMDLPEASERWNIFRENLQAQGIEPYCISAISRQGTQDVIHAAYNLLQKERRRMKETEEWNEPENLNHVADAIKKERHSAMNEFEIFHDKGKNTWNVVGAGIERFVQMTNWQYSDSLKRFQHALEACGVNRTLIKRGVKEGDTVIVGEMEMVWNDEPKSTRPSNTMNSKDDAVRWPEFG >Et_3A_023404.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:25985748:25986227:1 gene:Et_3A_023404 transcript:Et_3A_023404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSLSLGGAAAAHGLYLPLNENDSLDMLLFDVLREAPASAAVSAIATSTPPSLSLPQPKATAPVAARKDDCGSSRGACSARRHYRGVRRRPWGKYAAEIRDPARHGARLWLGTFGTAEEAAAAYDRAALRLRGAKALLNFPAQPVAGCAAGCGRGADR >Et_9A_062546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23930859:23931503:-1 gene:Et_9A_062546 transcript:Et_9A_062546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRISGNDLASLRVERDEELFGTSAAVSDGESDAGDEDHFPDGAGDHQGQQRMFVPQPLRRMNSDSIYDMSSMTAQLPPKKKGLSRYYEGRSQSFACMSEVRSLEDLQKKDNPYKQKKIKPCKSYAALGGGMPKANKAASNSCANLNIVATNGFMAHGIHVNENGYHQ >Et_8A_056935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19105918:19109398:-1 gene:Et_8A_056935 transcript:Et_8A_056935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSAAAAAAIPAAPSSTLSPHAAPYTLRARQVCAPTGRQQDVPVISYTLMALIASYGRLGDASRLIDDNLVLPTAYFGMKSHDAAYPASSHGMHQSQPSSSSGVHVNAYSSSVSSSSAYSWMASDLKQEQFPLTSGNGRSGIKSKKVTIKCPPNRTLETNNTSFGSKGKSAVRDNVGCNNEAGKDISFRRNLEFSHPSVDNSGSQGTVELNPVLKPICVPSTRPSSCVSVADDVNSDPSECSVDSPCWRGALASRVSSFDVLQTSDDLSVKQDLVDFETGRGSAVQNREASTVIENLVASKSKQNLSQPQVEVGLLKRPGDIGTTSRIDSHGKELQHAKHGALKCNAEQAHYQEVRNNCMKRSGLNSSAPDFIPPSVRKSNTGSGLLGSIVSHGSCSSTGRNTSGILKEIKSLSEELQNIDLEDHDHSLLLLIIENLQSYLDKARKGPVKVASAMAGLKARHSQDAFSKSVAVNHNGIYSADNRKGIIISSIADYTHSRNDFGRNSLTDYQLLLMFAQPASNHFGKELSYEDEHSQVLVFKNLWIDAERANCALKYQLKQTRMEIGLESSIAHIGGGPTNPSLQLCDKVTDPSCSVGHASPCPPMLKDHPGSKKSPNLICIGVQSHSYITGRDHILRAGCEFGLSHWEHVLKDEIA >Et_9B_064012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1023221:1024935:-1 gene:Et_9B_064012 transcript:Et_9B_064012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTGVTAAATAAAAAATVLAKLDALLRDEKCSKSKGIMFLREELQQKQHFLLMVLEWKPDPDGITMYSRKLRDLSYDIEDSVDAALRLPSRSFIGRILRDWNFSKKLQGFKSRFKELSDLMRDRFSYRGAPPRRRFVPVAADPVIPPVLRDVKLVGVDDPRDYLAELLLKGHEREADPELVTWFRVLSIVGSAGLGKTTLAKEVFCKIQAHFDCLAWVSMSPSRTMRCIMEDLFCQVEGCSGANIKPDIIGSIRESLEHKRYLIVLDGIWHPDAWGTVEYALPFNCLGSRVITTTRFDDVAELCSKRWDHHIYYVKPLWIDDSLTLFHGTIFGSEANCPPALVEISDKILDKCTGFPIAVATISGLLAYKPHTRSAWERVCNSIDALSPWDIDGMKRILSLCYYGLPQHLKVCFLHLSIFPEDYPIDHGRLIRSWIAEGFILEKPGQTAQDIAETCLNELINRHLILPISNDSDDCKIGASLVCGMVHDLIKTKQLKRTLSPSWEIKGDLMVYIAQFVGCP >Et_10B_002499.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:11891321:11891542:-1 gene:Et_10B_002499 transcript:Et_10B_002499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFNRPTVTCGPMSERDRQWQDNLRFIYHCTSIHSVNLFKMRWAPFFRLCDLFRSGGLLRDSINSSIEEKFA >Et_2A_016147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21427593:21452124:-1 gene:Et_2A_016147 transcript:Et_2A_016147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPGMSALVTGGASGIGKRLPFSLARAAEHLRLTKWWILMRLAALYLLVTCADVATARKALCIAFAQKGLFVTIVDFSEENGREVASIVQKESNKFHGDLRVPSAIFVKCDVTNADDLAASFEKHVRTYGGLDICINCAGIANKTLVYDDTSDGSRTWRHAVNVNLVAVIDCTRIASQIMRSHKKPGVIINIGSAAGLYPMFFDPIYSGTKGGVVMFTRSLVPLKRQGVRVNVLCPEFVQTNMAEQLNRKIIDSTGGYLKMEDVVDGAFELINDESKAGACLWITKRRGKEYWPTPEERRKYLVNPNKSKRTLTNNIYPSIQTPEFFEKIVVHTLTHNFRDATRLERVRLTLPIEPHSALVKVIYAGVNASDVNFSSGRYFSGNTKEVATRLPFDAGFEGVGIVASVGDMVNHVKVGTPVALMTFGSYAEFTLVPAKHLLPVPRPDPEVVAMLTSGLTASIGLEKAGQMTSGQVVLVTAAAGGTGQFAVQLAKLAGNKVVASCGGETKAALLASLGADRVINYRNERIKEVLKKEFPRGVDIIYESVGGEMFDLCLNALAVYGRLIVIGMISQYQGEHGWKPQNYTGLCEKILAKSQTVAGFFLVQYAHLWQDHLDKLFDLYASGKLKVSLDPKKFVGVASVPDAVEYLHSGKSIGKVLNKEFPGCRYHIYESVVRKMFNLCLNAIAVHGRLIVIGMELKPGLSALVTGGASGIGKALCIALARKGVFVTVVDFSEENGRVVASLVQKESKQFNRDSSLPSAIFIKCDVTNGDALAAAFRKHVDTFGGLDICINCAGFVNKSLVYDDTSDGTSTWRRAVNVNLVAVIDGTRIATQVMRSQKKPGVVINIGSVAGLYPMSYEPVYSGTKGGVVMFTRSLAPLKRYGIRVNVLCPEFVQTNMGEQVNRILVDALGGFLKVEDVVNGAFELIEDESKAGACLWISKRKGMVYWPTSKEENNYLVYSSKSKRKLAKNNFPSIETPEFFEKMVVHTLSHNFRNATRLERVSLRLPIEPHSALVKIIYAGVNASDVNFSSGRYFSANPKEAAAHLPFDAGFEAVGIVASVGDSVKHVKVGTPVALMTFGSYAEFMQVPAKHLLQVPRPDPEVVAMLTSGLTASIALEKAGQMTSGQVVLVTAAAGGTGQFAVQLAKLAGNKIVATCGGESKSALLASLGVDRVINYRKEKIKDYQGADGWKPQNYTGLCEKILGRSQTVAGFFLVQYSHLWQDHLEKLFDLHATGKLKVSLDPKKFMGTASVPDAVEYLHSGSSVGKVVVCIDPSYSQTLAKL >Et_5A_040279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:96496:96923:-1 gene:Et_5A_040279 transcript:Et_5A_040279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVDISEVLDRRVGENFESIGSMWLSDKRFCIINMVTSRFLEGDVYDLGHDHTDGDKLANPMPCGEAGFVAAAYCWVEGDEEETWKNLYGSAEHAIHKFKSMNMKEYDFMKGCAQGDQAQEMELV >Et_3B_031263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23149000:23152253:1 gene:Et_3B_031263 transcript:Et_3B_031263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHHRHKESTWIGSQVHDFTDRSVWVGYTYAVYWAITTLATVGYGDLHAVNPGEMLFTVFYMLFNMGLTSYIIGHMTNLVVHAAATTFKMRDMLCRVSTFGSVNRLPPGLREKMMASAQLKFNTAEVLQHQLLSDLHRALRTEIAQHLFRETVERCYLFQGVSSDLVVQLVSEMYAEYFPPNSDILLEQEISTDCYIIVSGAVDVLTTAEDGTEKFVMKIGPHGMAGEMGVIFNVPQPFTVRCKRLTQVVRISHSHLLQILRPNTADADTVYCNFVQYLKSLNEQVAAGATFLREMSSTPGLDELQNGSIFRRQLQRGALQRGAETVSSQNAWPGTKDHEVVASNMLPRRQPKQRVVIHERFPGDATEVPQKRSGGKLVFLPDSLQELMKVAEEKFGKAVRKVLTVDGAEVDDITVLRDGDHLAFKENQRRKEQ >Et_2A_015197.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3971988:3972143:-1 gene:Et_2A_015197 transcript:Et_2A_015197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKDFYAAHPDLTTRVKLHVRDSDRDVVTAASAGTRSLVSLLLSTSVILA >Et_7B_054827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4709694:4711669:1 gene:Et_7B_054827 transcript:Et_7B_054827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KIEFPLHKLRLYGVDPADPRSDMASWQEASTLSTVKVSNVSLKAEQREIKEFFSFSGDIVHVEMQSGDELSQVAYITFKDNQGAETAMLLTGATIVDMAVIVTPTTDYELPASVLAALEPKDAKPSALQKAEDIVGTMLAKGFILGRDALDKAKALDEKHQLTSTATARVSSFDKRIGLMKEMDQKYQVSEKTKSALAAAEQSVSTAGSAIMKNRYVLTGAAWVTGAFNKVTNAANDVGAKAKEKIAAEQEHKNVEGGPAQANISEGPATHKDFDGEFAKIHVSEAPEDIPLSTTVVLPVTDEESGKASPPADAPKKPEPAQGLIL >Et_5A_042366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9242897:9243811:1 gene:Et_5A_042366 transcript:Et_5A_042366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRLDLPVPGGPSATTDRLSSGSMASVSNVAGWWWTMPCHDRPSFMKIRSARSRRIMSSAVASTNGTYRSSATSLPLLPSGSFTRDRARQSRRMARGSIRVARYARADSNRWFSLYPVSYCRRITSVWRSRSPRPSRRARTNAAAQRTAARSSSGSSSRAMLRASTAYAAADAAVASAFLYASTTFPPPPPCDDDDDSSKGAAAAGAVSGR >Et_2B_021950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7256749:7258629:1 gene:Et_2B_021950 transcript:Et_2B_021950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLVWKLRGMEESGRPLPKFGEWDVNDPASADGFTVIFNKARDEKKGGNGQDTDSPCKDTRTERSESYAAKPNSKKWFCCVTSSPTQS >Et_5B_043634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13205059:13207224:1 gene:Et_5B_043634 transcript:Et_5B_043634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRQRRPGGHRLRLRRLLPFLPLVLLLFLLPPLAALLLRRANSFGRRCLPPAADHLLLQPDPSPRLSFAIVTLSDEGAGASQGRSFRGVLAASARNKRAYAAAHGYDFAVLPTAAIDTSRPPSWSKILALRQHLRRHHWLFWNDADTLVTNPTIPLFGSTKSGDNAALKHLIEHLSPEEMQAHVRIAKMQCLFNSYPWTPTWKPMLRLIFHLPNTWKGVYSDGDFMIHFASVNDKQGWANKILTEIQTQR >Et_1A_008508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8261773:8263447:-1 gene:Et_1A_008508 transcript:Et_1A_008508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGREVREYTNLTDPKDRKLGKGKDKIDDEDITFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRLLADTTPAVPVALRVEPKPKSDIRQQDLLKNIVGIKPKRAKVSSPSQPAESNTQKHDNEDSVTKQPSSQNQSSPVGTKESSHGIVKPDEPREAKQQNTTGSLLGLAYESSDEE >Et_5A_041820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3150627:3154431:1 gene:Et_5A_041820 transcript:Et_5A_041820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKERKGGRQHQQLFLPGFCLCSRPPNRGVRSGFEEGSRLASICKKQSVQLCSRQSAPASSLVQAFKVRYWWGTLPVGFWNSNMPNKRLQGCLLLLFLVTNVSGSHPEATADAEDLSAGKRRLLQTTPTASGGMFCVAKQGADPTALQTGLNWACGPGHADCTAIQPGGPCYKQNDLQALASYAYNDYYHRNANSGATCNFSGTAMTTSTDPSSGQCVFSGSSMSGGNSSTTPSANAPSTGFNPPSSFTPGAGGFGNGSSFGGPSGALVPLDGAESLLSGARGALCILLLMALPVLFLFAV >Et_10A_000488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11247275:11253439:1 gene:Et_10A_000488 transcript:Et_10A_000488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SHKHPANSQDSDAGKVLPTLRLLNGLPLCFNAAPSLLDLARRPGSPASPNPREGGREMAGAVVSVSTGVMNSLLSKLSTLLSEQYKQLKGIRRDIEFLSSELSDMNAALKKLADVEKLDGQTKVWRDKVREMAYDIEDCIDVFMHHLRQGSDKDGLINKTSAKIRKLRVRYQIATKIQELKARVVEQSERRYRYRLDEATSQLAVVAVDPRLPALYVEETELVGIDGPQEKITRWLMEEESLGKTTLANQVYTKIRNKFDYSAFVVVSRNPDMLKVLKALLAGVGYNNTQMPDDLQKAIDILREHLAEKRYLIVIDDIWNIEAWDIIKCGFSPTSFCGRVITTTGIESVAKACCLHCYGQVYNMQPLDDIQSRRLFFRKIFSSEDVCPEQYRSISEDMLRKCKGVPLAINSIASLLASQGMCVEKWEKIQNSLCSELETNPALEWMRSVPNLSYNDMSHELKTCLLYLGTYPEDYPIKKVDLLRRWIAEGFVREKYGLDLEEVAATYFDELINRSMIQPGKIIRGEMYYCRVHDLMLDLIISKCMDENFVTLLNKHYKMKIGLFPVRRLCCQFSNEILDLGKMDLSKLLCMRPPLSKFELLRVLHLQVNRSHDSESLDLSPVCSLFLLRYLSARGFRHLKLPDKFGKLQNLMTLDFGDSELVSCIPSGVTSLSSLRHLTLPRGAAMPNGISKLGALRSLEEFDLGKNSLDSIRDLGESTNLQDLILRHDDRNTFQPLSNTERLNYETLAAIRLTSLILEVAEVCRDDVQVLAGLPCLSYLDLGAAKVPNRNTMIQIQSKEFPCLKEFNFKYDMLSLTFEPGAMPKLESLDLTFKGGSVVGIEHLASLEEISVYLKALPCDLSRIKSEISDAIGSHPRNHAIRRKKFIFTPYKVERE >Et_7B_054582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2574320:2587295:1 gene:Et_7B_054582 transcript:Et_7B_054582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSASASAMLVAAMVAALLCCNAEAQTPVFACDASNATVASYGFCNASASAAARAADLVSRLTLAEKVGFLVDKQAALPRLGIPAYEWWSEALHGVSYVGPGTRFSPLVPGATSFPQPILTAASFNVTLFRAIGEVVSNEARAMHNVGLAGLTFWSPNINIFRDPRWGRGQETPGEDPLLTSKYAVGYVTGLQDAGSGDSLKVAACCKHYTAYDVDNWKGVERYTFNAVVSQQDLDDTFQPPFKSCVIDGNVASVMCSYNQVNGKPTCADKDLLSGVIRGDWKLNGYISSDCDSVDVLYNNQHYTKTPEDAAAISIKAGLDLNCGNFLAQHTVAAVNAGKLSESDVDRAITNNFITLMRLGFFDGDPRKLPFGNLTPKDVCTSANQELARETARQGIVLLKNKGALPLSAKSIKSMAVIGPNANASFTMIGNYEGTPCKYTTPLQGLGANVATVYQPGCTNVGCSGNSLQLDAATKAAASADVTVLVVGADQSIERESLDRTSLLLPGQQPQLVSAVANASSGPCILVIMSGGPFDISFAKASDKIAAILWVGYPGEAGGAAIADVLFGYHNPSGRLPVTWYPESFTKVPMTDMRMRPDNSTGYPGRTYRFYTGETVYAFGDGLSYTSFAHELASAPPEQVAVPLAEGHACLAGEQECASVEAEGEHCADLAFDVHLRVRNAGETAGGHTVLLFSSPPSVHNAPAKHLLGFEKVSLEPGQAGVVAFKVDVCKDLSVVDELGNRKVALGSHTLHVGDLKHTLSLKGHACLAAEQGCASVEAEGDNCEGLAFDVHLRVRNAGEAAGAHAVLLFSSPPSVHNAPARRLLGFEKVRLEPGQAGVVAFKVDVCKDLSVVDELGNRKVALGSHTLHVGDLKHTLSLKV >Et_8A_057597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4893856:4895443:-1 gene:Et_8A_057597 transcript:Et_8A_057597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMREISTYKHPYEDSWNGPVPSPYPIPKMVIYLLQFGCDYQEFLHRAKSYYPDPESLPDDVLYGNKLPCWYPPPLLCQCGVPAREGVVPSELGYGHYCGNTVGEDDEWVSYDNHKVLELLTFWDIDTRRCDWETFEGKEEFLMKAKKRGPEYFRKALATRRSNMRHKYLTVPPSFIYNTICSELKIKRECPFWEGAEMDGVIHHWRCNRDKYPPKSCWELLDPPYGLQFNSAKECMEWAMAKMKQLCDPVYIEEKRKKEEEERKKRAQELLEARQKDPNCWEHYFYKLAERKKKRKMEEEEKAREERKRKAEEDRTAIKLMMDAEAIDKIVDIAKKLTNNKLKD >Et_6B_048784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13676597:13680360:1 gene:Et_6B_048784 transcript:Et_6B_048784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIDLSQNHLTGEIPGEITSLSRLLNLNLSWNHLTGQIPEMIRDMKSVESLDLSRNHLCGEMPSSLSDLTYLSSLDLSYNSLTGRIPSGRQLDTLYIENPSIYNGNSGLCGPPLPRICSGRNSTESGNQITREKGFETVFLYFGLVSGFTVGLWVVFSMHRTATKLLLLIAAAAAGSLSLVTVARREHHVSAAGNVSASCRPHERDALLAFKHVITKDPDGLLASWQRAQDCCRWKGITCSSHTGNVVKLDINRKYLPPSVGQISPSLLSLEYLEYLDISWNDLDVNCSFQEFLGSMKNLLYFDITSVPFTVCLPSSVTFQTCDISSSLSGKTRGGGAFVFNRHFVFLEYLDMSSINLSIIVDFPIVANMVPTLEYIFLDSCSLQSADQSIPHLNLTKLEHLDLSLNYFGHSIASCLFWNITSIETLNLDWTYLTGHFPNALG >Et_1A_009020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25673054:25673748:1 gene:Et_1A_009020 transcript:Et_1A_009020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVIFDGAYTFETLRLLLPDGGGCHATPLPKPPIGNMAEDEVCFISAGGGTWRVEGAWLLPFEGRALHVAELDSVIGLTVGTGLLCACDVKTGALPVMRHVWKETSPWPWEECISDGHHPASRPRDVPSLAYLGRGRFCICRPMSTMEPRPFAPEHIKYDASSFLVVEGKRLQNGELRLAKRGKTTYMRPPHGRQCPYIGFIQPAT >Et_1B_011148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18058119:18062346:1 gene:Et_1B_011148 transcript:Et_1B_011148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPLAAGLLDLRPCKLSPKPPPPPPLPLPARRRTHSTAAAAAAQSPRRAVPDLHSSTELPDGSIVFRFGHRPEELEPEPEPEQTSRPLQTAGGPGPNAEDSPASVAMAGGEPQPEQGGPARAEELHSQSAAEASPKLPPSDAGPVLDGGGGETGSASAADEDAPAGSSNAGVEAEAELAAAGASVAGESEVLDGDGDVLEEGVRTVGVAVKATPAGSERRSGSVDASTVDGSGGEEATATAGLEESEEVSEGSTAQDSDTDVETESSSSSGDDKGAEFGVPLQIVEQVNNKVDLRKETPFVNSSDRMVPVLQSTLVLSSGAAILPHPSKVATGGEDAYFIACNGWFGVADGVGQWSFEGINAGLYARELMDGCKNFIVENQGAADHRPEQVLSKAADEARSPGSSTVLVAHFDGQVLQASNIGDSGFLVIRNGEVYEKSKPMVYGFNFPLQIEKGDDPLKLVQNYTIDVEEGDVIVTATDGLFDNVYEEEAAAIVSKSLLADLKPTEIAEQLAARAQEVGRSGAGRSPFSDAALAVGYLGFKGGKLDDIAVVVSIVRTSDI >Et_3B_031660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7071248:7073066:-1 gene:Et_3B_031660 transcript:Et_3B_031660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSRHIPALSAATAPNLVNYHGQGNYYYSAASSPTPMSSTAPIFGSMPLFGGAIDDGYCQEEDRSSSDTNMSTCTTTTTSTSPNSSAAATERLQVVEGKGGQRSISNDLQAASASAGEEAPVMIGVRKRPWGKYAAEIRDSTRNGARVWLGTFNTPEAAALAYDQAAFAVRGAAAVLNFPVARVRESLHAMGIGSTVMSTAGDDDDSPVQALKRRHCKRRRRSQKSNTTASAELPGEVQTTTKDSRHHGTQKQQLLPLRQQEQCVVELVDLGADFLEELLARIKEHQRAKSLA >Et_1B_014133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3230817:3233596:-1 gene:Et_1B_014133 transcript:Et_1B_014133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHSAPLDIPKPASVDDFVKNGKKKKSFMSSIFRKKGRSSDKKLLARRDVVFDLGEKCSDRVELPDESPAIRKSFSDRHCTTRIESLSLSCLDSPRRPNIDTREYRVFVGTWNVGGKPPDSNLNLEEFLQIEGLPDIYVLGFQEIVPLSAGNVLVVEDNEPAAKWLALIYQALNKPPAQDGHSSGDELSPPESTSSQTQSRPGGRDAATAIPKSSSGGLLFFQKPSLKMLSKNYRVDSALVKTCTCMSDPSAMQRRAREMREFIYRVEAAGSSSPGRAAGSSSPGRAAADDGGCGSGAGMNYCLIASKQMVGIFLSVWVRRELVQYVGHLRVDCVGRGIMGRLGNKGCIAMSMTLHQTSICFVCCHLASGEKEGDEVRRNSDVAEILKSTQFPRICKIPGQRIPEKIIDHDRIIWLGDLNYRVALSYEETKMLLEGNEWDTLLENDQLVIERQAGRVFKGWKEGKIYFAPTYKYTRNSDSYVWDTAKSKKKRRTPAWCDRILWHGQGIEQLQYIRGEFKLSDHRPVCSVFVIEADVDNGSKIKKGYSTLDARIHCESPAIPKRHSFYDDF >Et_2A_014520.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18887758:18890482:-1 gene:Et_2A_014520 transcript:Et_2A_014520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKQILARPIQLAEQVIKWSDEAYTFRQECMELKAKVERLAGLLRQAARADLYERPARRIFDDTEKALDKALALVDKCRAHGLVRRVFTIIPAGSFKKMANQLDNSIGDLSWLLRVSSSNTDDDDFDAHIGLPPIAQNEPILFLIWEQIAMLYTGNLDARADAAASLVSLARDSDRYSKLIIEEDGVPPLLKLVKEGRLEGQENAALAIGLLGRDPECVEQMVLAGACSTFAKVLKEGPMKVQAMVAWAVSELAANHPKCQDAFAQHNVIRLLVGHLAFETVQEHSKYAVTSKMSIHSVVMDKKNSTGASSHPDLLDAGEHSVMRYPIGNSMPQSSKNEMHSLVQSTMAAKSNGGSAKHHIAANGGVVASKQHNASLSGTSTRGREFEDPETKAYMKANAAKALWQLAKGNAAICKSITESRALLCFAVLLEKGEGDVQYNSAMALMEICCVAEQNSDLRRSAFKPTSPAARAVVDQLLRVVEKAEYDDLLIPCIISLGCLSRTFRATETRIIGPLVKLLDEREADVSREAAISLTKFACTDNYLHVDHSKAIINEGGAKHLVQLVYFSEQVVQVAALTLVCYIAHNVPDSEELAQAEILTVLEWASKQAYMMQDPIIENLLPEAKIRLELYQSRGAKGYH >Et_6A_047254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:373745:376393:1 gene:Et_6A_047254 transcript:Et_6A_047254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTVLVSFSRGGNGCPYPYLRHHSSSLNPKPELPPLLSPLRRTCPPPLAPLPQRRRNVAAAYGDDDMDDDFGDFDPDDADGVGEDDDIDNEQDYDVDYDRLLAPVKPLPPSFSAEEGDIAMVAAESFVSTQESASDTVVDYSIDEDEFHKISLLHCDFFIRKVPDPDDDVFDFREMYVTPPDTDIYSIPRVLAPLPQKYVRCTKKNFGRYNVSEPPVERLRDPLYKTEREIMKVFLTKHYRNRRADDPDFFLDFEEIYVIDSKTKSITRAKVVVSVPEGKKRDRRNDLLLIRDGGESFRIIDKTKRDDATTVIQREEWAKSRQDVEKHFRKLRDFDYSNWF >Et_1A_006749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27020511:27021240:1 gene:Et_1A_006749 transcript:Et_1A_006749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPYVLPFIFFVLVNLFRTNIISRFVNALNSPCHPWLVHYCLHCCANCQEHRERKARLPARSVAPATIVNPPPVQEMSMAEIHPSAAAPEKEAPKAGHDNVEVVPL >Et_5B_045384.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20537742:20539769:1 gene:Et_5B_045384 transcript:Et_5B_045384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIASFFLPAVLIFHCLNPTTALNATVGQDQFVFSGFAGANLTLDGTATITSDGLLELTNGSSQLKGHAFHPVPVRFRSSPGSIVRSFSASFAFAILSTYPALSCHGIAFTIAPSTNLSSALAAQYMGLANIDNNGNASNHFFAAELDTMQNVEFQDINNNHVGIDIDGLRSVAAQAAGYYDDRNGSFHDMNLISGEVMQAWVDYDREAARINVTIAPIGMSKPVRPLVSVAYNLSDVLLEPSYVGFSSATGPIHSRHYILGCSFAVDGPAPAMDITKLPKLPRLGPKPQSKVLEILLPIGTAALLFVLGIVAVILVRRRRRYAELREDWEVEFGPHRFSYKDLFNATDGFKEKHLLGAGGFGRVYKGLLPTSKLEVAVKKVSHESRQGMKEFVAEVVSIGRIRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKYLHYEGEKPMLDWDQRFRIIKGVASGLLYLHKKWDKVVIHRDIKASNVLLDKEMNAQLGDFGLSRLYDHGTDPQTTHMVGTMGYLAPELVRTGKASPLTDVFAFGTFILEVTCGQRPIKEDAQGDQLMLVDWVLEHWHNGRLLETVDTRLQGTYNIEEAYLVLKLGLLCSHPSTSTRPSMQQVMDYLDGDKPVPELASTHLSFNILALLKNKGFDPYILSYPPSSNMSFGTISDISGGR >Et_2A_017294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32921623:32926394:-1 gene:Et_2A_017294 transcript:Et_2A_017294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVTLNSYDIDGPRKPQQPKVPIHLICPCSTLTGPAVSQFVEAETARRPRGGTGDDEQRRQIRSDAKAISSPVPVEWYPTLAVVMVSVGLMFTASFFIYEATSSRRSRSLAKEIATAAVASVFLGFGSLFVLLASGVYV >Et_4A_033734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26268908:26272969:-1 gene:Et_4A_033734 transcript:Et_4A_033734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLAASPFFPSLPTANPNKQVSLRVPARRIPVAASAAPSGVAAAAAARERRRILERYGLNPEDFVDEVEGDSREGRRDRRKRRSGRREENAEASVAPKKAAEPRETHKMLQVLGGKVRRRKLLSPKDRNVRPMMEVVRGAAFDILQSAGGSPASLRPGRWLDLYSGTGSVGIEAMSRGCSEAHFVEMDPWVISQVLKPNLECTGFLGVSHIHMYRVENFLSIAEKSKDKYPSFDYISVTPPYLEVNYSTLLDQLARSPLVGKDCFILVEYPLKTDMPESCGNLIKIADRRFGRTNLLIYGPTWSQKKRRS >Et_8A_058172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22713062:22713755:-1 gene:Et_8A_058172 transcript:Et_8A_058172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMAFWSDWNLEYVWSHGDLVGAGFKCNYWNKQIKGGGAIRFREHLAGIPGNVTECTRVPKDVRQMMKSTRLVGRAKRRANKSRRLRVEDDIAQIVDVLTGKDSNCIEIPSDED >Et_8B_058575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20169884:20170438:1 gene:Et_8B_058575 transcript:Et_8B_058575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLNNTGVAHVLTQDPPAIDDGDDGAGARARQQQWAREDARHATGAAAWRALARTYDVAASAVAWRGFVDLEIDEGAPLPEQVAHAEALGVAGHGTLDDGVVADTLWQKLPRDVRDRVGAWSDVDNVNMDAIWAVVRVKEEARLRTEAGVVTRVDMPSPEDQE >Et_7A_051567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20404112:20405034:-1 gene:Et_7A_051567 transcript:Et_7A_051567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRRRVKPENLGIQVNALESREVRPCSAVAFLAGFLRRAIPAAAISIASTQRNVATLQAVAGLSAPWFHALPFSPTDGLPPGCESSDAVPPGRVMDLFDRGVLRPSLSAGYEKDRVLINIVEEFEPPVSPCYGLANHAPRHHVISRSVSPETGNAMVNFFGRAAAIVGAILLVRVQNSIRAGHMLELVTALELADTFLSHCGWNSVLESATHGMPVVGWLLTVEKYSNTKMLAEELSVCIEVARENLEYSAVVRVVQTVMGNMAESANIWRRVAEVQEILRKAWDEDNGSSMEALHEFFRAMQL >Et_4A_034274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31315754:31317470:-1 gene:Et_4A_034274 transcript:Et_4A_034274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAARDADAAANKAQVAREVCSASAAFASCPHRRRSPRRPHFVDWYLVLAIGEAATEDAVRRRYRQLALQLHPDKNRHPKAEVAFKVVSEAHACLTDKARRRAFDAERRASFCAACHDRHVLSSSSSPPPSEAAAAAKAKPRVRKPPASPAQAALRDVQNRMRDECRVIDSCLRANNAAACARRRQSFPLFDPSSERCRFPDYPHTRPPLSPFVNADFCRFEEQHFGGADQNKNQNQRWCRSGGESPVYQIRTAPPERAAGTYRSW >Et_6B_049310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3045936:3049795:-1 gene:Et_6B_049310 transcript:Et_6B_049310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNGQDAMRGGNSAAALPAPATAAAPTSKMDCFLTTVCTPLNLQFIDVSYRVKVERSSSSTSSASAKAAPQQQPGRISHSGPTGIAAASPASATEERTILKGVTGEARPGEILAVLGPSGSGKSTLLSILGGRLPARHAGAVLAGGRPFSRATQRLTGFVAQDDVLHPHLTVRETLTFCAALRLPRAASPAAKRAAAEAVIAELGLAACADTLVGNAFVRGVSGGERKRVSIGHELLVNPSLLVLDEPTSGLDSTAASRLVATLQALAAGKGRTVVMSVHQPSSRVYRMFDAVLLLAEGSCLYYGAGRDAMDYFASVGFAPGFHVNPADFMLDLANVHQHIKPKRLVQCPPPIQSSWTLLLSCRVISVVALQVSDRQSCGFAQADYSCTTEGGNVKQSLISSYNKVLAPRVKASISADVAEQNGGDPPLPAATESCSGRSSGCAASWCDQFTILLRRSLKERRHETFTSLRVFQILAPALVAGAMWWRSSPLAVGDRLGLLFFVSIFWGVFASFNAVFAFPQERPVLARERASGMYALSSYFAARMAGDLPMELALPTAFTVVVYLMAGLNPSLAAFALTLAVILGYVLVAGGLGLAVGAVMMDAKRASTLVTVIMLAYLLTGGFYVHNVPGFMVWAKYTSFTYYCYRLLIAVQYAGHLRRLLPPEDVVGEATPGECVAALVAMFFGYRLLAYLALRRIRT >Et_7A_052272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6341335:6350514:-1 gene:Et_7A_052272 transcript:Et_7A_052272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVACAFFFDAEPLGEQGRHALDACALCSKPLARNSDIFMYKGDTPFCSEECRYEQMHFDAAYKRQAGRKQQQRSGHEAGSASVSTKPNVSVASCYSTGQQPQVIAMATSHACSFFFDAEPVGEPSLPALDACALCAKPLGRDNDIYMYKGDTPFCSEDCRYEQMQLDAIRARKAARSAARSHTHSAAKMESWRGRQESAKPLGEPGVPALDACAMCAKQLRRDSDIFMYRGDTPFCSEECRYEQMQLDAIHARQATRSAGRRQQVSSGMESRRTHQESTKSFGESGVPALDACALCAKRLGRDSDIFMYRGDTPFCSEECRYEQMQLDAIRARKAARSAGRGRQQQQYSSATESRRAHQESRKVTVAS >Et_3A_026308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6684838:6697207:1 gene:Et_3A_026308 transcript:Et_3A_026308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDAGEGAPAPPPEMSPQQRTEQKLILYGVPEERLQEHQEGLLHYMEDHKDQISDIVKLILSVGTDVLESRKTSKKDANSSSSGDAYSESLSWLQWLMFNNEPEAMLDDLEHSSAGERAVCGAVWGQNDLAYRCRTCENDPTCAICVPCFQNGDHKDHDYSIMYTGGGCCDCGDATAWKREGFCSKHKGAEQIKPLPEELASSVGPVLDVLLQFWKERICMVEPPRAKSDGGNSCKRVAEELTTSVAGMLLEFCTCSESLLNFVSQRIRECPDLLDALTRAERLLDKKVVKKMHELLLKLISDPAFKYDFAKVFIRYYPVSFGEVIKACNDSLLEEYPLMPTFSVQIFTVPTLTPRLVREVNLLGVILGCLTDLFISCYGEDGRLQTNKWGNLFDASIRLLEDTRYVLSHEEVSKYVAYERPDLTRSWIKLLSLVQGMDPQKRVTSIHAEDENEHLSAPFVLGHYLGIVQNLLMKGSFSPPDQHESTDVTVCSTAIKGMESAENQRNAKVGRVSQENSVSSSSSGDSCLSSGVPPPAAWLVLQCLKAIESWLEPDIALRSKLSSLDASSSDPRNFVAFLEEPLTSNKGSPSTKMDAEGIKINEGSQSDIIADYHETFSSGQEHVSMMDVDQDLMAQASNRTGKGKMVDSTNATDIQLHPEDAVTYTLTDGSLLYAHPDSRIEELGILNTRRWPHVVFDVSSQETSFHIPLHRMLSLLLRKALKKCFGEDAKPDEGSAVQSDEFFSIVLKGCRPHGFSSIVMEHPLRVRVFCAQVRAGMWRKNGDAAILSAEWYRSVQWLEQGLESDLFLLQCCAALSSPEFFVRTIQERFGLSSYTSLDLAEQNEYESVLMQEMLTFIIQLVKERRFCGFSTADNLKRELIYKLAIGDATHSQIMKSLPRDLSSSDELQNVLDSLAVYSNPSGMKQGKYALRKTCWKELDLYHPRWNSRELQIAEERYYRFCNISALNAQLPQWTRVFSPLGNISKVATSKTVLQIVRAVLFYAVYKDTPSASRAPDNVLVTGLHLLWLALDICESESQIYANQCGMDIVQHDDESWVVLSSHTEEAFPILTYSAELVAPESGKIKKESMLTLLVTLMQKYKEESDITFSGSKYCNIPSLIENLLKKFAKLSKECMLTLRQMAPNVVPSATDHTSSKESLGFSSDSLEKKAKARERQAAIMAKMRAEQSKFAESMKSSGNEGHDVPMSEPDVSSSTGVVSEESLPVCSLCRESDSKSPLCYLILLQKSRLATFVEMGNPSWENPNQTDKTSGSVKREDSTDSSASDSSTPEEIVHDTTVEPSFDLDNMEVDAFLDLSNEQRPLIRYISSFPSGHCNSNADGMVSLEAIEADIYKSIINDIFGSNNVPTQDGEQTLLSSTSNVTVGSKKMRSPKCSVLGTYVSCLSAKHRQSSRYDVSSKSSASVTTRNKFGPIDCDGIHISSCGHAVHQECHDRYLFSLKQRYIRRLGFEGGHIVDPDLGELLCPVCRRFANSILPASPNFSASSLVTPFVQTVSPEAVTTTSDVDINKLQFPRALSLLESAGKIVGQGNFLKALSGRLNDTTEPSLDPSLRRLALLYYRRNHSSFSASERLSPSLFLWDTLRYSLVSTEIASRGRMSSHSFEFKSCLESLRSELNSSSGFILSLLFRVAHSARNLNRLEVLLRFEGIQLLAGSICSCVSGYKDIVNATKRKGTLPTLVDPASEGGFFPDIQFWKQCADPVLAQDPFSSLMAALFCLPVQFLSSTEFFIPFVHLFYVVCVIQALITCYGEETFDRSSFSDCLLNDVCKTMSGYETAREYFVSKYINPSCHPKDMVRRLTFPYLRRCALLLELLRSSSTAPLYDSSNIWEGSYLYLSNSTREGSSSLATELNGIRELEDQFQIQSLDMILRDESVHMLALKWSQHFCEEYSSRNYRGALFATPAVPFRLMQLPPVYQVLLERYIKMPCPDCGSVPDEPALCLLCGKLCSPSWKPCCRNGKCLTHAWQCGAGVGIFLLVRKTTILLQRSARLAFWPSPYLDAFGEEDHEMQRGKPLYLSPERYAALTYLVASHSLDRTSEVLRQTTISFYGSD >Et_9A_061064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13476381:13477397:-1 gene:Et_9A_061064 transcript:Et_9A_061064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATLPATVYGFKAAVIVYEPKVGTGKPPRYSGTVVQIQNGILPNISRIYAGWMVDPQLYGDNHAHFEFAWADNGHGCANLLCKGFVQVSSRIAPGSIISPVSTINGAQFVIIVSVDANSGHWMLTYGDDGHVVGYWPKELFTYMADSANEVGFFGAAGAARGEPGPPMGSGEWASEGPGKAAYFEKVKIIDERRKMVVPDPKDCVVKVTDPGCYQAGTVFTEDDGLYFYFGGAGCPAVS >Et_5B_044036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19274423:19281428:-1 gene:Et_5B_044036 transcript:Et_5B_044036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAARALGSLLPKLVTLVSDQYKLQKGVRAEIKFLQTEMECMQAVLNMLSKQPPQQISDLDKIWVRDLKELSYDIEDSVDTFMVRIDAPVSANKPHSFKRFFDRTMGLLTKAKIRHHIANDIEDIKRRIHEVAERRRRYLLEGVAAGPVMTAVDPRVLASFEEVSKLVGTDVPVEKISNLLTKGKGGAHQQKPMVVSIVGVGGLGKTTIANLVYEKLVGGEFDCQAFVSVSLRPNMKQIFSSILRQVSHGMYTNVGEMVSDELIRSIRQFLMDKRYFIVIDDVWSAEAWKFIKCALIDNNLGSKIIVTTRNVDVATLSSVDGAMYELDPLSDEDSKNFNDLPPYLKPCLLHLSIFPEDSDIDKYVLIWLWVAEGFVDHDKGINVYDLGDRYFNELVNRSMIQPKSIDSFGTAWACRVHDMILDLIISLSSPENFVTISEDRQLISPKCKIRRLSLQDRNGEASKVAKVILPTRVDMSHVRTLIALGDAFEWMPPLSRFSILRVLVLECFPTKNKNSNNLKGLGSLHHLRYLDIRGEFEAELLEEIGNLKHLKTLDLTMTTIEELPASIVQLRELESLMTGPGVKFPDGTGNLVSLQQLRIDVRQSPQILAELGNLIGLQILVVSGLSDDSESCVKNFLHSLSNLYNIHTLYILYGEEICSLDCMPDQWSGPAHLQYFYACDLIMPKLPRWLSSLSELSCLSVQVNMLRQDDIQLLGALTVLRFLDLAVGSDGTTEERLVIGIDHPFGSLTDFKFKNNARCWLVFGQGVMPKLQRLELYFKAQKRGSGGFDVGLENLTSLKKVKVKVDCHGAGTDEVEDAETKFRDTIDKHPNHPTLELSRECESEMVEDEDRSDKLSIHTCRYKCGMKT >Et_8A_057113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21269758:21271379:1 gene:Et_8A_057113 transcript:Et_8A_057113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCAAVVGHQNNPLYLQSFTEADDALKLHHIVHCSLDVIDDRVNNPKRSAATLNETFLGLLYPTENYKVYGYLTNTKVKCIMVTTDLDVKDADARNFFWKFHAAYVDAVSNPFHVRGKKIASRSFGARVSAIVKSFSSGTTC >Et_5B_045375.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20045184:20045507:-1 gene:Et_5B_045375 transcript:Et_5B_045375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRLGGADEAGDVGIAMKKDAEKRRCPVERAVAAGEGGLGEDAPPGPADSGGADEARGVVGREAEENFVYDVVRQMRRGAAAAAAEPARGSVTGLPCEVASSHALR >Et_5B_044023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19026668:19028741:-1 gene:Et_5B_044023 transcript:Et_5B_044023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMGEQVLSAALGDAVTRTMSAAVGRYDARASVDQQLWRLETLIIAVRATVHEAEGVHIRSWWLRRWLWRLRDTALEGDEVLRLFKQRRAAEAAAAANLAGNNLWNAARRAFQSAKSLLFPSGGADNDVHRLSCMVERLEMVCTGISDFSNLLKLEIRRSQPEQEIKVHRFTKHQSMKLIAAGKVPKARKEDGNRSRDDKPPTVWFSAIPDGADFDHEVFYISRGDYVASMKRIGLRMVRDNIRQAIVKLIALAAMSVLHLPTLGPGADRQHAPVTDARGAVQTSCREADHGQRWLAEWCRELQAVADRADRAGCAARLAFRFLTQILPTAPPSCTALPQPPHFCRLQRHDWREIEWDEGTGLI >Et_5B_044910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7230833:7231386:1 gene:Et_5B_044910 transcript:Et_5B_044910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVPPVPSRFKPTTVALIDDLIDEVFGHLPRGRGDLWNLWDIRGGRVLLKCLPPDGASGGVPDLVVCDPLSLRYVMLPVIPEEKHGMDSFGAFVAPYFRVMGMAFCETKLTVFEFSSVSGIWTACASTKWDDLIPKVSLGWPCYAYGCFYLKVHRKSKLLKLDMNRMDHC >Et_5A_042776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4087446:4090604:1 gene:Et_5A_042776 transcript:Et_5A_042776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKSRVLPVVMSRVWKKCAGRYVGAADVAMQTSERSASFRGRLAVHYVERGEDGGLEILDVESGDDDVSEGVADLCGAEEALDLGAEELAVELVDVLGCEEGALVLVGGSDHRRDKAARASPGNVVEIVGQSRVRPVEFLHAYADALVTYTDANEGLSLHAYMLQRCGLSTWSFDSRKARMVPGMRPLMPPPSMVSTVTLFPDAGGGNGNQWHLAKHFGDALEKEEVRKFKLIISSTIN >Et_9B_065069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2184020:2188810:1 gene:Et_9B_065069 transcript:Et_9B_065069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPLLRRGAAAVAAAGSGGVLPTARPDPPSSLASLLLASRSYAKAKGGAKPASSTSNRGKVRAKDPRGVASTDDAAGDFAGGGGGVEDDGIDDEFELPTDPLPPTYDPALDVGPGGRPLFAFTDTFGTFHHRDANVYVDFTLDEWKAVLPEGLPAGMMKEFQEIRRCAVMVRKSFLDLRDNFRRIVDPAITSNWKDIKRQIVLDGPRSCGKSIALAMLVHWARTEGWLVFYVPQGKDWTHGGFFYRNTYSDFFDTPIQAAKIMQDFTKYNEARLQQLPCQIFEPIPLGEGAGVGMMKGADTVEMPEGSTLYDLIQTGITNTHASVGVLVHLRKELSLVKDVPVLFAIDQYNSWFTFSDFQEPVTVRSCRPIHAKELTLVNAYRSMLHNDMMIGAFSHSTAVGKLRQELPDVPADARVMFPRYSVEEAETVCHYYMRQKIIRRENFSEEKWKKIYYLSNGNGSEMSVGWALPSIQSVKFCRLALH >Et_6B_049880.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:16179895:16180086:1 gene:Et_6B_049880 transcript:Et_6B_049880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAVHRDHARAAAFAPRSPPRVEFEHRVDEEEEEEELDSLHGGGGDDDSLHGGGASRNRAGS >Et_3A_023480.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30001058:30002539:1 gene:Et_3A_023480 transcript:Et_3A_023480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTLSAMTMVDAAPAASAIMPKLQAASCLVDAPSSGITVVSRQHVRPDAPSAMGDLTLSVSDLPMLSCHYIQKGLFFPAPDLPMSSLISLLESSLSRALAVVPALAGRLVTLPDDRVVIRCNDAGVEFHHAVAPGLSLDDFLVPDADVPTKLTKDLFPMDRTVSYDGHRRPLTSFQVTVLGDGAVFIGIVANHAVVDGTSFWHFFNTWAAISRGASPKLLDFRRNFFGESTAVLRFPGGAGPSVTFDVDAPLRERVFHFSADAIRELKAIANRCQSGAQQDAEVYGKMAHDPKNPERRSEISSFQSLCAAIWLSVTRARKRLTPDATTTFRMAVNCRHRLRPAISPVYFGNAIQSAATTATVSELAHNDLRWAASKLNASLAAYGDGAIRRAAAAWQAAPRCFPLGNPDGSVITMGSSNRFPMYEGNDFGWGRPLAVRSGRANKFDGKMSAFPGRAGDGSVDIEVCLPPETMAALLRDGEFMQYVSCPSHLL >Et_1A_004678.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30258471:30259578:1 gene:Et_1A_004678 transcript:Et_1A_004678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRHLHLSPQGPLCRQDDERRHGHSAPTDAGARLHPRCHLLQRSLKGFCDENKTQEALELLHMMAEDGGSCRPNVVSYNTVIDGFFKQGQVDKAYSLFCEMLDHGVFPNVVACSSIIDGLCKTRALEKVEVVLHQMIDRGIIPNCVTYTSLLQEYFSLGRSKQLIRMLKEMNRVGQRPNVVTYNMIMDHLCKNGRCTEARQIFDSMVEEGPKPNAATFGSLLRMYAVKGDFIEMNHLVDLMGQNGIPHDHHVFNILINAYGKRGIVDEAMLVFNKMRQEGLMPDVVNYGAVIDGLCRAG >Et_2B_022550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25958052:25961347:-1 gene:Et_2B_022550 transcript:Et_2B_022550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCSNGLLGLLNAGVLVLAIATLGGGAWLSHHASTTDCERFLERPVIALGVLLLALSLAGLAGSLCRASCLLWLYLLVLFLLIVLLFAFTIFAFVVTNRGAGWVVSGRGYKEYRLGDYSTWLQRRVENAENWAKIRSCLQDGKVCEKLGARKETLSQFVNTNLSPVQSGCCKPPTGCNFTYQSETVWTKPNGFNGNEDPDCNTWSNDQAALCYDCTSCKAGVLANLKNSWKKIATVNIVFLVFLIVVYSVGCLPTAYSARLEASKQTEERSKQHRAI >Et_3A_025253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28236043:28239488:-1 gene:Et_3A_025253 transcript:Et_3A_025253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIAAFSLLLFIAAHGVAPALGFTRSDFPEDFVFGAATSAYQYEGAVAEDGRSPSIWDTFTHAGKMPDKSNGDVAADGYHKYKDDVKLIADSGLEAYRFSISWSRLIPKGAVNPKGLQYYNNLIDELVRHGVQIHVMLYQLDLPQVLEDEYGGWLSPRVVEDFTAYADVCFREFGDRVSYWTTLDEANIAAVGSYDTGQIPPGRCSDPFGIRKCAAGNSSVEPYIAAHNMLLAHASATRLYRGKYQDKQKGAIGINIYAFWTYSLTNSTADLQATKRCQDFFFGWILEPLVFGDYPLVMKKIVGSRLPSFTKVQSEAVRGALDFIGVNYYYSLYVSDRPLQKGVRDFTADLSAYYRGYATSNGSLNDTDRMDYMKKHIGSTLTALRNGANVKGYFAWSFLDVYEFLTGFKSQYGLYRVDFDDEALPRQARVSARWYSKFLKNKGIRMEDEVNDAGSEAQQ >Et_1A_009230.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:34645139:34645417:-1 gene:Et_1A_009230 transcript:Et_1A_009230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKPRGKKLVRVHQEYIDCLLKEPHHKVFVGVPEELIDRVPNTELREELRTVQASARAHLQMLVDKDLDVLNQYRTKGFAEVEVHIYNDDE >Et_10B_004254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2158275:2159160:1 gene:Et_10B_004254 transcript:Et_10B_004254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQAGLKYLGPDIDAMKAVADAYSKRSLKYFETALRYYKAQLEEDPIVHRHLLSLYDTLLEQNLCRLIQPYSMVEIAQIAEMIELCHEYSTDKQECFAFPEIQVYETKLER >Et_2A_016518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25547571:25550658:1 gene:Et_2A_016518 transcript:Et_2A_016518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLLILALLLFPLLAIAAGSSDAGRLLAAKRAFSDPTGALAGWRHGSGDGSPCGWPYVSCSGNSTGAVVGLNLTKLSLTGGFPAPLCHLRSLEHLDLSLNDVAGPLPVCLSRLPALKHLNLAGNNFSGEVPAEWGTGFRSLVVLNLLQNLLSGAFPAFVSNLPALQELLLAYNAFSPSPLPENLGDLAHLRVLFVANCSLVGNIASSIGRLTNLVNLDLSSNNLTGEIPSIGNLTSLEQIELYSNRLSGRIPVGLGGLKKLRSLDMSMNHLTGSIPEDMFTAPSLESVHIYQNNLTGHLPATLGTAPSLSDLRIFSNQLEGPLPPELGKNCPLVFLDTSDNRLSGPIPATLCSSGKLSQLMLLDNEFEGGIPVELGQCRTLTRVRLQSNRLSGPVPPEFWGLPGVYLLELRDNALSGTIDPAISGAKNLSKVLLQDNLFTGVLPAELGTLSNLVEFVASSNGFSGPVPSSLSEIPYLYKLDLSNNSLSGGIPPELGKLKKLTQMDLSNNHLSGSIPSELGNLEGINSLDLSNNELSGALPAELEPLRLTFFNASCNKLSGPIPPFFNGLEFQESFLDNPGLCYGFCQSNGDSENNRRTVIKSVVSVLIASTIILFIGLLWFGYKCRTYKDTADELNDGKSTWVLTSFHKMEFSEREIVNSLHDNNVIGQGAAGKVYKAVVGPRGNAMAVKKLWAGDVGSKRGDSYDSEIETLSKVRHKNIVKLACSITNRVSRLLVYEYMPNGSLGDFLHSAKSSILAWPMRYKIAVDAAEGLSYLHHDCVPPIIHRDVKSNNILLDAEFGAKVADFGVAKAIGDGPATMSVIAGSFGYIAPEYAYTLRVSEKSDIYSFGVVILELVTGKKPLAPEINEMDLVSWVSTTIEQNGLESVLDQTLAVHFKDEMCKVLKIALHCVSNNPNNRPPMRTVVKMLLEVRGEIKPKAKEEASPDI >Et_6A_047205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26771829:26779016:-1 gene:Et_6A_047205 transcript:Et_6A_047205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETSAAAMAAADDLRWLLAATLSPDKAAVDAAAAGLDMVAADPRFALAILAVAAGDGDQGIRVAAATYLKNYTRRNLEGSLSSSAVYKEFRDQLAQALLRVEPAILRVLIEVFRQVVEKDFVKDNLWPELIPQLKLVLQNSNLIGQGQQSQHPEWSTINALKVLQAVVRPFQYFLNPQVAKEPVPQQLEQIAAEILVPLQVTFHHFTDKVVLSPDEIKLEYEQLLLITCKCMYLTVRSYMPSRIKQILPSFCNDMFRILDSLNFNSLPEDGATMRLKVAKRCLIICCTLVTRHRKHADDQMPRIVNCAINISKQSINLSKLDSLPNRICSLAFDVISRVLETGPDIAEWEEDTDEYMQKNLPSELDDISGFTEDLFTARKSAINLLGVIALSKGPPVASAASKRKKGDKSKGKSERSSIGELLVIPFLSKFPIPSRGEDASSMTVQNYFGVLMAYGGLQDFLTGKKDLMVTLIRNRILPLYSLDPCSPYLISTANWVIGQLALCLPEAMNANIYHCLMKALSIEDVEDITCYPVCASASGAIAELIENGYAPPDWLVLLHAVVKRISTGDENESALLFKLLGTVVEGGQEKVLPHIPEIVFNIASIIMKLVPPAPEPWPQVVEQGFAALVAMAQAWESSAPDENKKDEKMVWQSGQSAISQTFSSVLRKAWLLPTEGTELNFSSALPPPSCVNDASVLLEFIMRSVTCIEEAASMKVFELVAVWADTIAYWDSWEEMEDQGVFNTIKEAVNFHQSFDFTGFFLRMLPSQSENDLQSSVIGRVSNFVTRAIAAYPSATWRACSCIHTLLHAPNFSLGTEDARKALAVSFTKAAFSRFESVSDSPAGIWKPLVLAISSCYICYPDAIEQVLHNCDGNGYAIWTSALAQVSSTSFSPGLSSASEIKLAEEEFLERYALAAAGESIEIVEEGDIDEETQDIELGFLDEVDIQQVVLSLMQNQPALQAQTLPDSLVERITETFPEYGHLFEAH >Et_2B_019231.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18815619:18815636:1 gene:Et_2B_019231 transcript:Et_2B_019231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGS >Et_2B_022577.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26429383:26430225:-1 gene:Et_2B_022577 transcript:Et_2B_022577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAASSKRLRPCLLTLLLLLSTAVTPILAEVDVDCDCDKPKAPKPSHNPKPPKGPTYPSPSTRPPKGPKPPKGPTYPSPSTRPPKSPSYAPVLPRPRPPVVGPPKGPVTRPPVTRPPVVGPPVTYPPVLPTPPVVGPPVVTPPITGPPVTYPPPITGPPSTTPPVTNPPGGGGSNVPCPPPPPTPTPTPTPSSPTCPVDSLKIGACVDLLGGLVHVGLGDPVVNKCCPLLEGLVELEAAVCLCTTIRLKLLNINIYLPLALQLLLTCGKAPPPGYTCTV >Et_2A_016526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25584282:25588674:-1 gene:Et_2A_016526 transcript:Et_2A_016526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAQLEALTLKSSTILLAPLGHLTKFAERLSYPKNYYSTNYASDFQKDYKEVSHFPSGHARQEQKASSSAKILNRQQKRDPGLKGIHSQHFNSGFNGKDFRCYRCLSPGHYAYNCVNQIRCKECFLYGHIARACRRKSKVSYRRKPVAIFSKDQDMPINLESSRPPDASRICDSSPPNTETTQFALGALAGASTSAMSNYAVDPLSFLPAGFHLEAWPPLDALERPHRRLRACAGSVQRVHESVGIAILNPEVAPEDFRQIHDASTKAGLVVKVVVHDDAEIPDDILVTVGDTPSSVSFTAIVVRLSVEGIDLLAGEEPVPDYGFVHPAPPPPPRWMGVHQTGPGGRGADDVGSDNGRGHGSAHRGHDAAAGSWGLNVDVPIIGMVVIPTAGDGAAPASPLAALSPTATTELLASGGGVASTTPMAALSPGPDMMAEEAGSEDFFHDMNTEVNAGHGELTNPMLPAFQDFFNCIAAAPLNLAGINLKENKILDLAMGSVLVETGEENLHQRFVMMVTMVPRRAPTCIIEELADEPVVPAPAPAPATAPRRRARKTKAPIDVSTLRRSKRLNKDLDGFRDKASADAAASSSTAAEVDPASHLSVANAHAIGTGFLKMRPVDVSLEALVASPENSDEE >Et_6A_046693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19500373:19500782:-1 gene:Et_6A_046693 transcript:Et_6A_046693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRYLLAYRRTSAQGLCFQRLLGMVSSGVASASETGFKCFPYCRSTGLRSTLSMTTMRLPRLAPSRRERHFTTTRLVLNAFSQNIQATQMGRLANEAGHALRHPQGSAEELGTLQAFAQ >Et_3A_025786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32935818:32936936:1 gene:Et_3A_025786 transcript:Et_3A_025786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGTENSPPVEAAAAASTPRSRLPRWTRHETLVLIQARRAMERRGPQQLVRPRPKWAAVSAYCRRHGVERGPMQCRKRWGNLSWDLKKIVAWEAKPAAVGAGPQQHESFWDMRGEERRAKLLPSSFDREVYDAIVCGTAAVLPDFGEEMDGAYEQQPPIMVMPISARRYEPPPASSEHECSNPVTESEKKAAGAASDKNSTSQQNAGGGVKDSDATFVAGAEDAATGTPAATVSIGKQVIEGLERGNRALMQQLEAQKSNWDADREQRTALLAALDRLGDAVTRIADKL >Et_1B_010457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10774748:10778572:1 gene:Et_1B_010457 transcript:Et_1B_010457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPPTSSPAAAALPEDAEAAAIVAALVQVIADGRGATTMTPTPMPSQHAVPCSRTATFSHPWDVGQALSQGVSSASADSVSAVQDGIARSPRLLVASGTRAPISSTSSKETELGVAAAASRRCYRGVRRRPWGKWAAEIRDPKKAARIWLGTFVTAEDAARAYDVAALRLRGSRAKLNFPEDASSRRRPPAPVGSRQPNPEIVHRRGTKDGLVGGSNGHFLGSWSIGQASPSPEHPTVVQATPVFCWGHGTGSSGTEDAANADMLTPPSSAPALAAAFPENDAETAAIVAALTQVISDGRAATVTPTPWPPCSRTTTFSYPSYVGQDACQSVSSASVDNVSGTISVLFPCISMGPKGGYSCVQDAYWPSLMASGSGAPMASTWSRETELDVVVAAAATSSRRGYRGVRQRRWGKWAAEIRDAKKPARIWLGTFATAEDAARAYDAAALRLRGSRAKLNFPEDASSSRRRPPAPVGSRRQPNSAAGDHTAAGRSSCPPEIVHRRDGLAGGNNNGRFLCSSRVSARSAPELCWSHGTEEDTAHGSDETDVVLRSE >Et_1A_008092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4299802:4301503:1 gene:Et_1A_008092 transcript:Et_1A_008092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LNLGNHGIILVLLETPPGFAIFSLYGVKLYLPDAMEFVRLKEFRTFEDKSNAILGTGIDRQLTEMIMKWRRQGQKLAVGKPEHKRIIETTLGIPCLYDDAVLELMWGLKNLMHILVPQENSELTKEDRLPMSQGLIMFLGRYGHDVKPEMLPVTALNILVTGSLFAVHMEVNEQIISAASILYDCESLEKNHSQFLRKAGWKIEDLSGLDCEDWSLLKLATALKIIYFPHESVDCDPHKMFLKCELSKLKRDAHKYKHMFCKVACLRSYKAVSVAHNGRKMKIRQLRSLIKEARVAMEAEHASEKRRNVKLKKRKRKELHRRGRSA >Et_5B_045451.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:2298592:2299965:1 gene:Et_5B_045451 transcript:Et_5B_045451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFKSILAEPDPEPASPPPEREREPEADAAGSTSSPPAAPTAGGWGFGGLLKTLTSQSETVLEAYRRDLAEFGTGLRRETEVLREAAARAARDLPSSAHALDGLADIVAQGKDALSQVAAAAAAPSSSAPHSDGGESESSSAPGRARYSRFEAQLRALQADPATFTADPEDAEDFAAWCKGFSFDERKEEVEALCYESDALEAMVERFVPDAVESEVFWARYFYRVHKLKQQEDARVKLVKRVIAQEEDEDLSWDVDDEDEEEEEQQKEELPASQEPEKEIKHEDEKEAVEEPKVETVEEAAVVEKEHKNAYESQPVVSGSSLVVVYEEEKVVLEKEKKDADEPPPVVLGSSLVIVDEEEKEEPSKSNVEESGDKKEGAKHETSDSSKDSDYSIVSRQRTSTEDEDLEWDEIEDIGEHEEKKGSTHGSTPAEKEELRKRLSVAEDDEDLSWDIEDD >Et_9B_065355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4039994:4040408:-1 gene:Et_9B_065355 transcript:Et_9B_065355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RKPDGTQFGFGRYGTKSCRGGRLSYRAIEIAHRATIGQFHRAMSGQFCRNCKIYVRVLADLPITGKPAEARMGRGKGNPTGWIARVSMPKGEAPTKEEKREHASLNQFSIVEEYIRSMYVDDAIPAALFPSR >Et_1A_005403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10018136:10020404:-1 gene:Et_1A_005403 transcript:Et_1A_005403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTRPDTFFTSESVRSVSSEVATDLQILVGDCVYHLHKFPLLSKCMLLQALCADSSGGGGDVVELPGFPGGAEAFEACAKFCYGITVTVSARNVVPLRCAAAHLGMSEAADRGNFAAKLDAFLASCLLRRWKDALSVLHSTRNFAALCEDLGVTARCVDAVAALITSPDAARSTSSASPWWAHDVAELGVDLFWRIMVAVKATGAVNEKTVGDALKAYARRWLPNVAAAKDGADHQTPPFDDDDAGDRNVKQIATRHRLLLEKIVSLLPAERDSVSCGFLLKLLKAANILSASAASKAELVRRVAWQLEEASVADLLVPSVSCVSETLYDVDAVVAILDEFALRHAAVNNAPPPPPLAVSSGSPDDDDSPARSGGHRRSRSAESVSFDGSRRSLSAAPVSQGALVAVGRLVDGFLVEVAKDPNLPLDKLLAIAEAVPDTARPEHDGLYKVVDTYLKAHPEMSKSARKRLCRVLNCRKLSEKACAHAAKNELLPLRVVVQVLFFEHARAAALSGGGGHGGAAGDQLLPGNIRALLSATKSAGSEEEDETDRVDEQRLRALAAGASPGDDWSVEGLRRAASKIATLRMKLAEEEEDDDADEFARKAGLARSASLRFREFCAIPAGKPKRMLSKLWPLGRSGITQ >Et_7B_053268.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:18675040:18675597:-1 gene:Et_7B_053268 transcript:Et_7B_053268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSAFIIVGLIFINLRTCMPRDIPNDYIYHETRVSQKEIRILMAGTDGRTGPPSIDHQCPIGTYPYCKGVSQNSQMTEHEAPGN >Et_6A_046899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21987463:21988649:1 gene:Et_6A_046899 transcript:Et_6A_046899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VKQVYMRHLCNDTLVTVVNGQFPGPPVEATEGDTVVVHLVNQSPFGITIHWHGVKQRLNCWHDGAGMITQCPIQPNTTFTYRFNVDGQEGTLWWHSHVSILRATLHGIIVIRPKSGSYPFQKPHMDVPIVISEWWQDDLMLVDKDFANGGVVIDGGAARGDNPVAATINGKLGDFYNCSGKIPSSHACSSLLKKIFKHKIT >Et_2A_018773.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:7099268:7099531:-1 gene:Et_2A_018773 transcript:Et_2A_018773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAATPPAVVCGTILTLHSGQLFFFLIHTRMHASWKMWRHAGTSLHICPCRSSSRQIAHTGGGSGALASAYGKCGSTTGDLSSSS >Et_2A_014737.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1167547:1167690:1 gene:Et_2A_014737 transcript:Et_2A_014737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNVFSSIPLNISFRRSLVGEKLDAWHNLVNKLLDVQLINEPDTFK >Et_8B_059562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19263784:19270718:1 gene:Et_8B_059562 transcript:Et_8B_059562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALAVSVTMGVMRPVLDKLGILMGDEYKKLKGLREEESFLKHELSDMAALLEKMEKAEELDPQAKHWRKDILDLSYDIEDHIDDFMDNVGEAGDQVGILQKASHYLRTFKDRRRLANDFKKMKSQVIEANERRKRYMLDQCISNTALVVVDPRLSALYKESASLVGIECQREDLIKWLLDEEPRLKVMSIVGFGGLGKTTLANEAYREVGAKFNCKAFVSVSLKPDMMRLLNSILSQLEQQDKKQSPHVCEMDIINTIRKYLQDKRYFIVVDDLWDIPAWNTISCAFSQNNQHSRVIITTRNVEVARACSTNHGYVHKMRPLNEQDSRKLFFNRVFGSEEKCPSHFQEVSCAILRKCGGLPLAIITVASILACQPIPLKEQWDDIQNSLAIKSQAKSTLEDMIYILELSYKNLPNNLKPCFLYLGTYPEDYKISKVELVRRWVAEGFVCNSTLGRDVWDVAESYFNELANRNMIQPAYEDGNYIRVSHCRVHDMMLDLVLRKCREDNFLNLVTDPWMMAEGHDKVSRRLTVNMNGVKDCSMAMITTRHLSQVRSLNIFGGSNWIPPLLEFKSVRVLFLDISGGKGTLDLSVISRLSQLRFLKIINQRWSFCKPVLGQIRSLRHLETLELPYLSSNCRVPDIVDFPSLCHLVVKGHSGLPEGIGKVKWLRTLEGFCLPSSSLENIKGLGQLNNLTYLSINSDDSSCHAPSRGWMAAFISSLEKLNNLKCFIVEFDVFAVSVDCFSSWVSAPFCDLERLDVSVWMFSRVPRWMGDLHNLRELSLLVSQIGEEDVRVIGGLPSLVQLYLLIPGVPTGRIMIGGSTGFRLLKWFNFDCDGTSCLIFEARAMPSLVKLRLNLCEEKWDKGTPVGLQHLSSLKEIEVRMTIGSELVRDTFQKAADALPDTSPARLSPPQIQVN >Et_6A_045971.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:14416737:14416952:1 gene:Et_6A_045971 transcript:Et_6A_045971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWELWKLRNDLCFQNVSWKRVAMVWGKILPLLERWKILCPAGKQERLLQPKEMIRGGATLVAVGAAAPTAS >Et_1B_012739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34865799:34869814:1 gene:Et_1B_012739 transcript:Et_1B_012739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALTSLSPSPPLPSPSPATPPRRPPQPPLHIHTTSHRSLICRTSPVSPTTTGADDYHSTIRSLNSRGRHVPRKSLGQNYMLNSKVNEQLVAAAGVEEGDVVLEIGPGTGSLTAALLEASATVFAVEKDKHMAALVKDRFGSTERLKIIEEDITKFDIRSHFLPFMKEKYHGTERHAKVVANLPFNVSTDVVKLLLPMGDVFSVVVLMLQHETAERLADASIQTPEYRPISVFVNFYSEPEYKFRVKSENFFPRPKVDGAVISFKLKNAGDYPPVGSHKSFFSMVNSAFNGKRKMLRKSLQHLCSSAEIEAALHNIGLPVTARPSDLMLDDFVRLHNYLTKLLVGVVMDSGLRAAGIHNLKVDWLKRQDVAFEILLTGSALACLGEHDVHVQTSEQISRLGVMESWPVLKEGYQWSTTMCPVSHIFTVSNWICLPDSWANVETRDEGQCD >Et_9B_065573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7161082:7181514:-1 gene:Et_9B_065573 transcript:Et_9B_065573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRRHRFPSHLAAVTATILLAAALLHAPLARAQEQPPPWLLCGPAPVSGNYTENSTYQSNINRLAATLPGNTSSVPVLYATDSVGAVPDVVYGLALCRGDANATATACARCVAAAFAGAQRGCPLFKDVMAFYDLCQLRFSNRNFLLDNDYFINTYYLQGSQVATSAPAAAFDAAVRRVVNATAEYAAANTAKRFGTGAIEFDDTRVPRIYALAQCTPDRTEDVCRTCLRTVTDQLTNYFNGTNGGGVFGVWCNFRYEVYPFFSGRPLLQLPAMVASPPPTSEKSDKKRNKTGMVLAILLPALCAVLATTIIFFRKRKSAAKSYPPNSTNSDDIEGVDMLLLDLLTLRTATGDFDERNILGKGGFGIVYKGILPDGQEIAVKRLSQSSRQGITELKSELVLIAKLHHKNLVRLLGVCLQEHEKILVYEYMPNKSLDTILFGKLIFYGSIPHQNPDSERNKELDWVKRFKIICGIARGLQYLHEDSQLKIVHRDLKASNILLDIDYIPKISDFGLAKNFGGDRSKYVTHRIAGTYGYMAPEYAMRGQYSIKSDVFSFGVLVLEIVTGRRNSGSYNSDNDVDLLNLVSIEDCINFKTPIVWDHWIRGNVIKLIDPLLSDHPPIEQMLKCIHIGLLCVQRIPAARPMMSSVNIMLSSSTLRLPSLSRPAFYIQDDSQGIASDSSGAYSATQPPGASHNSVVMMAIRRHLTLPYYLAAAAILVAILQAPLAAAQPLPWQDCNETAGNYMEGSAYQANIRRLEAALPANASSSPSLFATGVAGTPPDTVYALALCRGDTTNASSCASCVERAFQNAQQLCALNKGATMYDDPCILRYADWDFLTNATDNLGKYVAWSFDNVSATAAAAFDAASGRLVNATAEFAAADPVKRFGTGEEAFDQTYPKIYSLAQCTPDMSETECRNCLGDIIRTITPKYFKRKHGGRVFGIRCSFRFETYQFFSGVPLLQLPGPPGPPPANITPPATGKGELLMMNETVMAYVAVSYFWLISEKINCRRTSHRTGRILAIALPLAAATLLALGVAFFCFWNRRAPARKASGISCSTKQDEIPSVDSLLFDLSTLRAATDNFSGSNKLGEGGFGSVYKGVLSGGLEIAVKRLALGSGQGLEELKTELVLVANLQHKNLVRLIGVCLEEDEKLLVYEYLPNRSLDTILFGTFLSTPIFQNDNHLDGHTYLWMTDSQKNKDLDWGKRLKIVNGVARGLQYLHEESQLKIVHRDLKPSNYSAKSDVFSLGVLILEIITGRKNSSSFATSEESVDLLSLVWEHWNMGTIEELLDPLLVRRAPQDQMVKFVNIGLLCVQDSPADRPTMSSVNVMLSSNTVSLQAPSRPTFCIQDMESDLGGYSDAYPRAFQSTSKSKATASPNERFLSTR >Et_4A_035379.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22257350:22258000:1 gene:Et_4A_035379 transcript:Et_4A_035379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRVLILLLGLLLLAAAASWPGAAGQCDPGTLAPGVALCCTLRLPTAICCRTLLHSAAVGGGAGCLCRLAAERVLINTALNATDLLRLYAACAPPSSAAGLAPSCDDDGASPAAGAEPPSSWSASDACAPAALADQMGLFCGLPGGPNAPCCVAVVAAVNLGHAGGDEVPCFCRTARLASAVGGARGLIDLYAACGGLPTDLASRLPSMCPSLM >Et_3B_031119.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16816387:16817667:-1 gene:Et_3B_031119 transcript:Et_3B_031119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTILTPPLEASVDARLSTLRAQAPQWKSQPYTMFRVPAYVRESNRTAYEPRMVSIGPYHHGSPSLRAMEDHKWRYLHDFLLRNATLSSSILIHEMRSLEARARACYSERPDDLLGSDDFVRMLLLDGCFILEFLFKWHRKEHETLCDVGWVLTLVNTDLFLLENQIPFFVLEKLYELVTAQQGGSRERLVRLFLDYIGDEEDSMITIPSSTDEIHHLLHLYYESFVPKRSSSTTSTAEVILIPRATEMGAAGVTFVRKSTARDTYDVTFDAKRGVMEIPGVEVDEMRRPLLKNLIAFEQCHGGEDAGVVASYVTLMGMLVRAPEDVELLRRRGVMDNLLADDDEAARFFSHLGDGGAINYGGRHVFSGMYEDVRHYCGSWWHMNRAALRRDYFGSPWSAISFVVAALVVALTATQTYFTVFPRK >Et_9B_065441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5074680:5077326:1 gene:Et_9B_065441 transcript:Et_9B_065441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAGDCSSGIGGGGSGGWPRSGDSKDLPLLFFRVGAAVTLGVAGLFFSRRQRSPRQFLLPPPSLSSGTNHEDTKAKIISGNSVHTTTTTTTTTTAALVPLAPKCRSIADDEGYLLPEFNEIVLEEFGRDIVSIPTTPAPRIREDASNDHEIHKLRDLVKSLQEREKTLELQLMERYSLQEQDSAVKELENQLKINNVESKLYLLKIESLQSENQRLQTQLSENSKIISELEATRLKCKQLKKKLALDADEAKEKITSLQKMVDFLQHKETNERKDNTEVEKKLKRLADLEMEATELRAVNSRLQQENAHLIRRLELTRLPPVPKPKNSTEVKALEEADRLRQENEKLAKETEQLQSDRFADVEELVYLKWINACLRYELRNKDSPSGKAIARDLSKTLSPKSEQKAKQLIMEYANAGVEESYSGHIEFGSECSSSRASSGDPDEVIDTPSMTKQKNPKKKKFFSKLRKLVLGKGKEDRAVSTLERRVSISSCSFDDFTGRDSHDSYSSFMTETNIPGSRHHSDHSLGMHFLDSAKSSPLSSEVGDERRDHSGMKGVSFREERPNGLGHSARLDGVGSIPEDTEIHKFADALITSRSGSMSSRKSSSFRH >Et_1B_013830.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:1985097:1985465:-1 gene:Et_1B_013830 transcript:Et_1B_013830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLQQKTGRVARKAMLFRVPRRPVARAAGAAPPVPAGGKKRKMAVARLGGKRRFFGAIRRLRMRWLAALYRRTLRRLRAFYTKALVDLLEGAAVVGSLRGQAGADCSFGTAFAPVVTVAL >Et_4A_035433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24585400:24588886:-1 gene:Et_4A_035433 transcript:Et_4A_035433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAPAMIAVAGEGPVDGVVEKTLPNGDVYRGGFHGGAPHGEGKYVWADGCMYEGEWRRGKASGKGRFSWPSGATFEGEFRGGRIEGQGAFVGPDGATYRGAWVADRRHGVGAKSYANGDYYEGQWRRNLQEGHGRYVWANGNQYVGEWRAGVISGRGVLIWANGSRYDGVWENGVPRGTGVFTWPDGSRYVGSWPRSCVDLPAISGTFFPPVAAGGAGAVRKRSSVEGVGEKAAPRICIWESEGEAGDITCDIVDALEASMLYKEATAVGGAMTTYMRPPRSARRVASGGSCWASSAGTTPEGKRPGQTISKGHKNYELMLQLQLGIRYSVGKSAVGQMRALAQADFDPREKFWTRFPPEGSKVTPPHSSADFRWKDYCPMVFRHLRKLFAVDPADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVRKSEVKLLIRMLNSYYQHVNRYKNSLITRFYGVHCVKPLNGPKVRFIVMEYRIHRRFDLKGSSYGRTADKFEEEIDETTTLKDLDLNFVFRLHRSWQLRRDCEFLESEGIMDYSFLVGVHFCDDFSASKMGSSTFTASPKLLTKSESFQGAMPELCFSDDDYDRIPECRKPLVRLGAHMPARAEEASRRSEFDPFLLTGGGFLFPNQTGEVHDVILYFGIIDILQDYDITKKLEHAYKSLQTDPNSISAVDPKLYSKRFQDFIGRIFVEDG >Et_2A_017030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30299797:30304863:1 gene:Et_2A_017030 transcript:Et_2A_017030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPEEAAAPVAAAAAVDVLFRSKLPDIEIPRHLTLQAYCFERMPEVGGRPCIIDGQTGAVHTYADVDRLSRKAAAALRGLGVGKGDVVMNLLRNCPEFAFVFLGAARLGAATTTANPFYTPHEIHRQAEAAGAKVIVTEACAVEKVRGFAAERGVPVLAVDGDFDGCLRFGNLLDAAEPLAADEVVDPDDVVALPYSSGTTGMPKGVMLTHRSLVTSVAQQVDGENPNLFFSKEDVLLCVLPLFHIYSLNSVLLAGLRAGSAIVTMKKFDLGALVDLVRAHGITIAPFVPPIVVEIAKSPRVTAEDLATIRMVMSGAAPMGKDLQDAFMAKIPKAVLGQGYGMTEAGPVLAMCLAFAKEPFEVKSGSCGTVVRNAALKIVDPDSGASLGRNQPGEICIRGEQIMKGYLNDPEATKNTIDKDGWLHTGDIGYVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPDIKDAAVVSMKDELAGEVPVAFIVRIEGSEISENEIKQFVAKEVVFYKRINRVFFTDSIPKNPSGKILRKDLRARLAAGIPSSDSQKSS >Et_5B_043426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10256038:10266694:1 gene:Et_5B_043426 transcript:Et_5B_043426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVHTPRRSSGRSKRNPSNRGWLAPRGCERSCRRGCMLTGLVDPARVSAWMRAAARLRTTRLVITDVVLLRRSSGRGVELPCLDHTTSITLEVFGAHFVLPKEGDLPALESLSLRSCHVDLDDLLPRCPLLRKLQICVSELESLKVHSPTLQELDVKIHKAYVKVCFDIVTPKLTKLRIVARLCAADGFTLSHSMPLVKEVHWECWGSSVTDGFGVRWLLFCLTLKPAETTHGNNGFELQRGLGVVHTLSLSICHSSTVSLLILLKFHANFLKEDKDFGRQISQIPITEFQVLELLIGTDGHAYGSMVLHLLKMCTFIQKLRLKLKHTLILCKVGKDCPVNCHCDEPHNWRSQSISLADLKVVEIHGFKGEGHELDLLRVIFRGATMLERMAVYFSNTVSPSNHGCMDIYDISKSYSSMAYCRHCRNEQKGCCAGGRHRRKRSWIDGVDRISALPDDLLLHILARLRRPHQPARASLARPLGPPPRARLPPHRARRDRRRARKIRVPLAVRPLHPRPLRAARRDRARTRLRVDARLATSWLVITDVMLRGVRGLEVELPCLHRATSITLEVPCAPFVLPPAAGRDFPALESLSLRWCDVDLENLLPRCSRLRRLQIAFNEIDSHSLKFHSPTLEELDVDFHAAHDLNVQCVDIATPALKRLRFLAGGHGFTVSHSMPVVEEVHWECVCVSNTDRFGVRWCLVDLTLKPAKQALGHNHLQPRYRRPGVTVHTLSLSIRHPFHNSGEEDQDFGQQISKIPITKFQVLELVIQTDGHVYGAMVLYLLGMCTFIQMLTLKLCNVSEECPVNCPCGKLDNWRGQSISLVHLKEVRIHGFKGQGHEVDLLEAIFRGATMLERMAVYLSNMVSPVNNGCMDSISKAYPSVKFDIYHELAPK >Et_3B_030790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7358920:7360870:-1 gene:Et_3B_030790 transcript:Et_3B_030790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKEESDYDSSRSSLTAPGSRRSWISDIGSSSSVSVRSFAGGGGWDAPAASCRHKPHKANQAEWEAIRRVRATTGRVGLEHFRLVRRLGSGDLGNVYLCQLREPWSTGCLYAMKVVDKDALAFRKKLRRAEVEREILRTLDHPFLPTLYADFEASHYACLVMEFCPGGDLHVARQRQPGKRFSISAARFYVAETVLALEYLHMMGVVYRDLKPENVLVRGDGHIMLSDFDLSLKCDVVPRLLRHHSFPANAGRPDAANKPLSSSCVAPIQPVLTCFFNGVHKCHAKEGAPKPGDAAGSADDGDDAANPEVVVEPVLARSKSFVGTHEYLAPEVISGQGHGSAVDWWTLGVFMYEMIYGRTPFKGETNARTLTNIVKQPLAFPRAAVGSGKEWDELLRAQDLMAQLLAKNPRKRLGGCTGSAEVKRHDFFKGVNWALVRSVRPPEVPKKVPAPQLKKVVVMSKKERQEPFNPRPDSNRFEYF >Et_3A_024441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20848776:20850400:-1 gene:Et_3A_024441 transcript:Et_3A_024441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSSDEEELVACTDKNLKVFHSCMAHSNASLALAFFCIVLSGAASAEAAAYNVVHFGAEPDGRTDSADAFAAAWSAACRSQEPATVYVPNGDFLLSHAAFSGPCSGRMTLQIDGTLVASSGYTSRGNAGGGGDEWLVFDHVDGLTVSGGTVDGRGESLWACKADGLGDGCPSGATSLTVLNSRDVVISGLRSVDSELYHVVINGCDGVTVRDVTIVAPGSSPNTDGIHVQGSSGVTVSRASIQTGDDCVSVGPGTANLRVEHVTCGPGHGISIGSLGKDSEEKGVENVTVTGTSFVGTENGLRIKTWARAAVQGAYVRGVAFEHALMRDVRNPIVIDQNYCPNHGGVGCPHQSSAVKISDVRYTDIRGSSASKVAVKFDCSASNPCSGIGLQDIRLTLDGGRPAEASCQNADGRASGYVAPPSCL >Et_7B_055613.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:1702015:1703634:1 gene:Et_7B_055613 transcript:Et_7B_055613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSWFPAGGIKTLSTTEIFRVSKYSKAALMAPGQALRSKPFDFHGRSWRIKVYPAGIDYASRDFVSIFLKCRTQAFACFTATIAMEILDRSGQHTVFDDATAALGVTAADEGFSKGYARFAKRRELEVSDCIGDDDSFTIRCTLEVGAKVPKPAFSMPRMFAGKGNDMDTLPAPRRGRYLEAPPAAVGDTALDSTTAAAPAEIVTGSQKLVIDRYSHKKLLLAFGECVRSWQFDVGGSSWYVKVYPNGYGDSGRNTLCCVLARGKSVDVETTVEFSFQLEALNGDLIGRSDKVVTHTFDRARPEHVGFKLAGAAAPYHDMVFVRCGLGVFRGPPPSPLLAEAPRVAVPSRDTGDFLWLLNSEEFSDVTFAVGGYTFRAHSCVLAAASPVLRAELRALRDDPDCAWRYIEVDPEAVPPEAFEALLHVAYTDHLPDMDRLAPTDERVEAFVLAAEKYEMERLKFRTEEWVCTFVTVYTVAEFLSMAVRYDCQLLRDACVQFATPDHVWKLVKETEGFKQLRASCPQIVRQIESKQRQY >Et_3A_024058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16955122:16958469:1 gene:Et_3A_024058 transcript:Et_3A_024058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCNDVSINPSCSSFLYVTPEGRNLSAIVSIFNGNASLIHPIKRLPDSEDLLVGVPCMCEAINDTMTALFHDAEYKVEQDDTPITVNTNKFSGLALSVGDGKNLTVNETIMVHLPCGCSSTAPYGVLSYAVQEEDTISSIASLFRSSSQDILNLNPSLMNPNFIKPGWILFIPMGVPGSSKKKVASSSIIIAASVSAAMLLLCVFTIIIRLKRKSFKPNVEAPEIKMERAPSNTSIAALESRFFPSMRVNEIDPFQTERPVIFSLKAIGDSTANFDEKRKIGEGGYGSVYLGFIGTHEIAIKKMKASKSKEFFAELKVLCKVHHINVVDLIGYAAGDDHLYLVYEYVQNGSLNEHLHDPLLKGHQPLSWTARTQIALDAARGIEYIHDHTKACYVHRDIKTSNILLDNGLRAKVADFGLVKLVQRSDEEECMATRLVGTPGYLPPESVLELHMTTKSDVYAFGVVLAELITGLRALVRDNKEANKMKSLISVMRKAFKPEGLESSLQTIIDPNLKDNYPIEEVCKMASISMWCLTEDPLNRPEMRDIMPTLSQIHLTSIEWEASLGGDGEVFSGVFNGR >Et_10B_003803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6302509:6314693:1 gene:Et_10B_003803 transcript:Et_10B_003803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADSTAIYVLGHMAVTGRSREHELTAFLLMHLGGQDNITAYAIEDNMLWLRHLQTLVVQVAAATYVVYESSILSSRSLLRTATIIMFVVGVVKYGERVWALWCAGHSQAGGSNYRGVERTRQLWMSGTNTDLPRSIVGAEYYLANAHIMLDVTKDLLMEGRASTSGLFGLYLTRHETYHVAEVHLSLMHDLFYTKTAVIRSWHGISIRIISAVATATVLLLFLLSGDHKDFHSTLDVAVTYIVLVGAVVIEIVAVVRVMFSSWVWWRPQAYFFRDCLRPAVVPLRRLVRAADWRRKCSWSRSMGQHNILRLCARSSGSRSSWVARWMGMEDPWNMLAYSWSVPVTDFIRDQMAYRLHNDRHWEPVHIINVQGREELRRWGLYKKLDWNVEEMRSPSMAGAEEVVHVWREWGIQALVVLSFTLQITLLILASFRRHVDSGVLRFFIWSAYMLADSTAIYVLGHMAVIGRSREHELTAFWTPFLLMHLGGQDNITAYAIEDNMLWLRHLQTLAVAAAAYVLYESSILGSRSLLRPATIIMFVVGVVKYGERVWALWCAGHSQAGGSNYRSVERTLPRRILGGLPHSVGCAEYYLANAHIMLDLAKDLLSEEATSTRGLLTNNLTNQHERYHVAEVQLSLMHDLFYTKTVVMRSWHGMSIRIISVLATATVLSLFLFSGDHKDLSSTLDVAVTYIVLVGAVVMEMVSVVRVMSSSWVWWRPLAYFWRKCRFCAVLCLSDGFSVQQNGEERAVGRAPWGSTTCFACVLSAAPAEAARSRDGWEPWVPVHIINVQGREELARWGLYKKLDWSVEESILVWHIASDLYLCWYKEEEQKATTTSLWEVDAGWQANAAKTVEALSNYMLFLLAARPHMLPPTTRDDAYVQTCYALLPQKGGRKYILPPLEYSSAEDLASSLRRLGGALNSSTGLPPFQLQDTENVIGRSDSAIPITAAQLGAKLVEEAGSSSGKMLKLRAPAVWCQTEPSAQ >Et_2B_021521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30253604:30263925:-1 gene:Et_2B_021521 transcript:Et_2B_021521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLTSSSSSPATLLPPRPRLPADGSSRQRIAGVGNARLSLVSVPCSARGSCLVKVKSKFATAVTLQENKNMTTAEEDVNHLPVYEVDPKLEEFKDHFSYRMKRYLDQKSLIEKNEGSLEEFSKGYLKFGINTDEDATVYREWAPAAQEAELVGDFNDWNGVNHRMEKDEFGVWSIKIPHVKGKPAIPHNSKVKFRFRHGDGVWVDRIPAWIRYATFDASKFGAPYDGVHWDPPAGQRYVFKYPRPPKPHAPRIYEAHVGMSGEKPAVNTYREFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQSTQESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINMGFTGNYKEYFSLDTDVDAVVYMMLANHLMHKLLPEATIVAEDVSGMPVLCRPVNEGGVGFDYRLAMAIPDRWIDYLKNKNDSAWSMGEISNTLTNRRYTEKCIAYAESHDQSIVGDKTIAFLLMDKEMYSGMSDLQPASPTIDRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLVDTDHLRYKYMNAFDQAMNALDERFSFLSSPKQIVSDMNEEEKVIVFERGDLVFVFNFHPKKTYEGYKVGCDLPGKYRVALDSDAFVFGGHGRVGHDVDHFTSPEGVPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEEAERLQRKAASTAMKTTPEFIDVDATHVKVPTTKESEESTDMEATSGGGRGSTEDDSGKKGRKFVFRSSEEDSK >Et_1B_013065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5115611:5117503:-1 gene:Et_1B_013065 transcript:Et_1B_013065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLLLAASCPRLCLIASVSRPPHCKDRFLSPPVRRWPRLRLGVVRMAEMARVGGGASPEIGVSGEADAMLGEESPGARRGVPLRMLVEAALNRMSKWLVACSFTVAALWKHDVEIMWILFGAVANSLLSQILKKILNHERPAPALRSDPGMPSSHAQSIFFAATVLVLSLFYWLGTNYLTMILGPATLSVATYLSWLRVSQRLHTLNQVIVGAAVGSAFGALWFVLWHSLVQEVLASSPLVRNAIIFVSALFCIGFSIYVYCDWLKDE >Et_6B_049993.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:2797205:2797408:1 gene:Et_6B_049993 transcript:Et_6B_049993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRVRDDEAMESAKQVYIVAPLRSKKPPAHRVFMLEPAVVAADADGREPLGRRRRAPSRSFLPAA >Et_4A_034497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3800975:3804495:-1 gene:Et_4A_034497 transcript:Et_4A_034497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAVGRRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLSLRHNPLIHIHEMKSVQLTGISKISGALALLLKAAIQFVMLVWFLCFKIPHPDVFVVQNPPSVPTLAAVKLASWLRGAKFIVDWHNFGYTLLGMSHGRSHIIVKTYFWFEKYFGKMADGAFCVTKAMQHELAQNWGIRATVLYDQSPDFFHPASLMERHGLFSRLGDSICSAMGNADCISVEKEAEDVNTTVFTRKIDGEIFLKPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVAATLGEEDSMDEGQLWTDMKNGKQFVYPRLLFIITGKGPDRKKYEEQIKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKMLFKGFPEECTALKSLKEGALSTGSSSKWSTEWETHALPVVNQVIG >Et_5B_045092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9497695:9501602:1 gene:Et_5B_045092 transcript:Et_5B_045092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLFVAAPAWLVAAVVALLISCVATVAADDGDVLLEVKAAFTGGSDQGVLADWSGSGPSAFCSWTGVSCDDAGLRVVGLNLSGSSLSGPVPRALARLDALESLDLSNNELNGPVPASLGALRRLEQLMLFSNRLTDEIPASLGELASLRVLRLGDNPGLSGAIPAELGALGNLTMLGLASCNLSGPIPRSLGALASLTALNLQQNALSGPIPPELFDGMAGLQVLALAGNRLAGRIPPEIGRLSALQKLNLGNNSLEGGVPPELGALGELEYLNLMNNRLSGRVPRALAGLSRVRTIDLSGNLLSGELPAELGRLPELNFLVLSDNRLTGAVPGDLCGGPGDDEASSSSSSSLEHLMLSTNNLSGEIPAGLSRCQALTQLDLANNSLSGPIPAALGELGNLTDLLLNNNTLSGELPPELFDLADLQTLALYHNQLTGALPDAVGRLASLEVLYLYENQFSGAIPSSIGRCASLQMVDFFGNKFSGSIPASMGNLTQLVFLDLRQNELSGSIPPELGACARLQVLDLADNSLSGPIPDTFGRLRALEQFMLYNNSLSGAVPDAMFACRNITRVNIAHNRLSGPLLPLCGTARLLSFDATNNSFEGGVPAQLGRSPALQRVRLGSNRLTGPIPPSLGAVAALTLLDVSGNALTGGIPDTLARCERLSLVVLSHNRLSGPVPEWLGSLPQLGELTLSDNAFTGTIPVTLSNCSKLLKLSLDGNQLNGTVPPELGGLVSLNVLNLARNQLSGPIPTAIAKLSNLYELNLSQNYLSGTIPPDIGKLQELQSLLDLSSNNLSGHIPSSLGSLSKLEDLNLSHNALVGAVPSQLAGMSSLVQLDLSSNQLDGRLGPEFARWPPAAFAGNAELCGSPLRGCGGNGGGGGRSALHSASIALVSAAVTLAVVLLVIVLALMAARRRGRRSREVNCTAFSTSSGGSNRQLVVKGSARREFRWEAIMEATANLSDQFAIGSGGSGTVYRAELSTGETVAVKRIASHVDSDALLHDKSFTREVRILGRVRHRHLVKLLGFVVSGGGGSMLVYEFMENGSLYDWLHGGGDQRAKRVLSWDARLKVAAGLAQGVEYLHHDCVPRVVHRDIKSSNVLLDADMEAHLGDFGLAMAVAENRGKDCTESASCFAGSYGYMAPECAYSLKATEKSDVYSMGIVLMELVTGLLPTDKTFGGDMDMVRWVQSRIDAPQPVREQMFDPALKPLAPREESSMAEMLDVALRCTRTAPGERPTARQVSDLLLHVSLDYYRAGEHKR >Et_6B_048634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1168584:1169253:1 gene:Et_6B_048634 transcript:Et_6B_048634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERPSTASLLPLLVFHHEPHPHHDGTEMLMFSVSKQSLHERIMEQDHLAGGNNMSWATPQGWMLIITKSSSSSSLAFLWNPLTRDKLPLPNISEEHDIPQQCKCLLSHKDPTHPSCVVLLFHRRPCLQDGFLSRGAASLVHDIGDFVFLLENCNMGASCAATPSGLKANRIYFMNNFIKDDASLCIFDLETEARDIAQVHQHQDMHIIRKPFWIVPPV >Et_3B_028315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13259419:13264576:1 gene:Et_3B_028315 transcript:Et_3B_028315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFASKAINWALGTLSSLLPVGLLASQPCLAPADNSKQGLEDLRRIDRVMRRIRATLQDAEDHWNMREATAKLRLTELKNLAYAMEDVVSEYEYEVTLCRAEALEKSAGLEGKRQQGQSNACMEATAVPVPTKLAARARKIIERFNEIKDDYDKLHLSENDGERRPAINFHSTRQTGYMVAEQRILGREQDKEIIITKLLSEGIGASSVSVLPIVGMGGVGKTTLAQLIYNDSRVHEVFPNRAWVYVSEHFDVSNITNSIISSLTRAKCDLTELAHLQGKLMDEVNNKRLLLVLDDVWNVQKHWWDIFLKQLATTLFCSIIVTTRIETVAELCNGPEYATEYGFIMHAITHDYARYVAGDEFLILEAGTAVEISSNVRYMSLVLQTCSTIEISPFLNASESLRALIVMAHKYATIEIPEELFLNFKHLRVFIVYGSDLNNELPDSVGNMKYLRHLRVSGRGTPTLPTAMLQLFNLRTLDLRDTRDLNYTVIPSGIGRMVNLQNLPEITIGRCTCLPKLIELSNLYSLRGELCIQGLGNVYSIEDGRKVNLQTRRLQALSLVFNSKYCAQCEHIQQNESVEASHGALLQSLQPQYNIKRLEIHDCRSSKCPSWLGDASYSMLSHIYLGECEFEHMAPLGQLPSLKSLVVNTMVFVTLIGQEFFSFDSSVKVFRSLECLTFSNMPGWMDWYCMVDTKIPFLHTICIHNSPRLRSLPLSLSWSPLVSCELTHCRDLVTIPAFSTLCELHIKSCDSLTELPPLPSLRKLHVWLAVVQRVAARFLGQEERETVEAAMQVVAVMETFEAELIIIKQESEAAFFGGDGSTASPPRGRGHLRREGHRRRQDAAPDGVGERFKLALDCVKGVIPDAETLVEYNMVRRARLGLPLLSPYAGAVTAEIS >Et_3A_023891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14066426:14080167:-1 gene:Et_3A_023891 transcript:Et_3A_023891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSFIAGPATHHQIHPHAHPYHQDPPPHPNPRRRRRDHDDPYHPYHVPHAHPYAASAAGVAVPYHVPRDPFALSHHQERLFPLPPAAHPAPGPPPPKRARRAPDPRWDPPPPAVSVSAAPERPREEDAPGPLLSRVEIERRSPSRRDGIDSALEARLRASYCAYLHCLGVRLGLPQTTIATAVVYCHRFFFHRSHACHDRFLVATAALFLAAKSEETACLLNTVLRASCEVSQNQEFNLLPYMLRGQDWFQQYRENVIQAEQMILTTLDFELEVAHPYAPLSSALDKLGLSHTVLFNVAWNLINEGLRSSLWLQFKPHHIAAGAAFLAAKFLRYDITFHPNFCHEFKTTPYIVQANVNKFYDNQTEIEVSSDLRCGGVIRCCAVLSCGASDDDDL >Et_7B_054348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20165929:20169299:-1 gene:Et_7B_054348 transcript:Et_7B_054348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGFAGSDSVPIEGLIAVSALRQLMSCKASIHVAFYTYSLDTSLSQITIANPASKKQRWWWGLRGGMMMGTQLCSSRVLSLPWSESGDEEHTVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEAPTSNEDDDDIDGNNPFCSNSDVGEKDMDYSSIEYQKPTKRRVRHTKPWSSCAKFNNRGKCHSNSKLQARVNLTKLGTPTLWKYWKHFNLVSMNPNPSKKELFHGVQHHFQSQVILDFIHTAKRLKTLYNTQPACLSHI >Et_1A_008982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23274718:23275417:-1 gene:Et_1A_008982 transcript:Et_1A_008982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISIAHASSGGDTSVIVGQAKCADCTRKNMMAEAAFKGLQVVIKCKNGNGEYERKAMGKLDVSGAFAVPLAADLHNSDCFAQLHNVAGSPCPGQEPSIIVPMNEGTSIVVAGKVQFPSADCASATISPDHDHVKHLSEHFHKDHGHDNFFDHFHKDHDHHHFFDHFHKSPATPEYHTPTPTYGSPTPIYHPPTQH >Et_10A_001591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5694963:5697138:-1 gene:Et_10A_001591 transcript:Et_10A_001591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLAPLGVLLLLLGLAAAASAADAPFVVAHKKVALSRPKPGVERLAVSVDLYNQGSATAYDVTINDDSWPTEAFELVSGEKSKALERLDPGATASHTFVMETKTQGRFQGSPAIIRYRIPTKTALQEAYSTPIFPLDILAERAPEKKFEWRLVAKYGALVSVVSFVGLFIYLVASPSKSSGKSSKKRR >Et_2B_022496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23830764:23834130:1 gene:Et_2B_022496 transcript:Et_2B_022496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARALPPPRFMAAWAVAVAALMMVSAAAAVHSTPKNIQPLSTLKMQAAQVAMDSAAVIHASPEVLGKNVSKQNTRTHAHHGEDSAWVTVNFTAPAPSTGHWVALFSPADFGLSVGGSPSGNAAGAAGEEGPAAGLPTAPIKYMFANASPSFMSSGSGNMSFLLINQRSDYAFSLFSGGKDNPKLIAVSNKISFANPKAPVFPRLSQGKEWNEMAVTWTSGYNISEAYPFVEWRMKGDENSKRTPAGTLTYTRRQLCGKPANAEGYRDPGFIHTAFLKDLWPNREYSYQIGHELPDGTVVRGKSSTSRASPFPGQDSLQRVVVFGDTGLGQCDGSNELAGFQPGAQVTTDRLIKDLPNYDAVFHIGDLSYANGFLAQWDQFTAQIEPIASKVPYMVARFVGSYQLFPAIERDHSLNLSEAYVRCCYICSGNHERTFQDSGGFYNGNDSHGECGVPAETYFYVPAENRGKFWYASDHGMFRFCVGDTEHDWRPGSEQYAFLERCFASADRKHQPWLVFLAHRPLGYSSNDFYAQEGSFSEPMGRTLQALWQKHRVDVAVYGHVHNYERTCPVYENTCTDGKKDNGSYSGTASGTIHIVAGTGGAKLRPYAAGPWPQWSVARDESFGYVKLTATDHSTLRVEFIHSEDGAAHDAFTISRDYKDVLACTVDSCAPHTLAS >Et_6A_046996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23961533:23962197:1 gene:Et_6A_046996 transcript:Et_6A_046996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPEACWKKWIPTTQTRARRTLAVGWTRSSFQTAAPPSFPAPVDFFVGSSSTARLISSRRRSASAALSEVRRSTARASSRRPRIASQRGDSGMASTPAARSSGGSTPAAYMTRQPRCTGMPAKAKEDRKPRMDGGEISALYTEVTTMENPEPTLVTKRAATSAA >Et_8A_058371.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:6083714:6084103:1 gene:Et_8A_058371 transcript:Et_8A_058371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGLSKLRCMIRRWHSSRRISRDEEDVSAAVASSHGDGGRAASFHGADEVPKGQHPVYVGKSRRRYLVAEEVVRHPLFQTLVDRTGGELDGTVVGCEVVLFEHMLWMLENADPQPESLEDLVEYYAC >Et_8A_057237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22586011:22593716:1 gene:Et_8A_057237 transcript:Et_8A_057237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRTCWQASENQTGKAFLPGSVKPPPRRTNSSAMAAVSSVLPPPADPTPPATLPVTPAPASEPQHRQDAANPSLRALFLRAVDPSRPSSWSAAVADLVSSGDPVAALAAFAASLRANPAALRPALPPALRAAAAATSLAAGRQLHLLALRSGLFPSDAYSASALLHMYHHCSRPHDARRVFDEIPSPNPVIVTAMASGCVRNNLVYSALAIFRSMVAADSARVVDEAAALVVLSASARVPDRGLTGGIHALVAKIGLDGYAGVANTMLDAYAKGGSHDLGAARKLFEMMERDVVSWNTMIALYAQNGMATEALRLYSRMLNVGGGIRCNAVTLSAVLLACAHAGAIQTGKRIHNQVVRMGLEDNVYVGTSVVDMYGKCGRVEMASKAFQKIKSKNILSWTAMIAGYGMHGHGQEALQVFSEMRRSGLKPNYVTFISVLAACSHAGLLNEGRDWYNSMRREFGIEPGVEHYGCMVDLLGRAGCLDEAYGLIKEMKVKPDAALWGALLSACRIHKNVELAEISAERLFELDTTNSGYYVLLSNIYAEAGMWKDVERMRVLVKTKGIEKPPGYSSVELKGKTHFFYVGDKSHPQHNEIYAYLEKLLERMQEAGYTPNMSSVLHDLDEEEKESMLRIHSEKLAVAFALMNSVQGSVIHIIKNLRVCTDCHTGLKIISKLSGRKIVVRDIQRFHHFKDGSCSCGDYW >Et_3B_031647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6695908:6698949:-1 gene:Et_3B_031647 transcript:Et_3B_031647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAGRGRVISPPRTVEEIYKDYRARRSAILRAVTHDVEDFYAQCDPEKENLCLYGYANEAWEVALPAEEVPTELPEPALGINFARDGMNRRDWLALVAVHSDSWLLAVAFYYAARLNRNDRKRLFGMINDLQTVFEVVSGVRQSKERSSMDNGGRAKPLIKSALTLQPSLDYQVENNGKSTDEAYGEDDSDHGETLCGTCGGIYSADEFWIGCDICERWYHGKCVKITPAKAESIKHYKCPSCCSKRARQ >Et_3A_024853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24901874:24903814:1 gene:Et_3A_024853 transcript:Et_3A_024853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLLKRGGQVIYSGPPGWNSHKVVEYEVCDLIFTLLPWITLDFVCVNLMHLVLFYPNYFFESMCIIMVSNQAIPGVPKIEERCNPAAWMLDVSSAAAEVRFKIDFVERYKASFMYQQNKGLVQELSKPPPGSTDIYFTTQYSQSTFDQFRLCFWKQWLTYWRSHDYNLVRVFFTLFTAVVLGAIFWKVGNKMRSSTDLLVIIGSMHAAVLFVGIENCVTVQPVVAVERTVIVEIPYVFVEFASYTLIVYSMMSFQWTPAKFLQNSSGSSTFHFSPSSISLTTIASIFATVNYLFNLFSGFFIPRTNSEMVGMVLLASSSGMESLRTDCVAIRWCWRILSRCLANPIRKPGFSSRTTLVMTRILWEWWQQCWLALLSYLLLYMLTA >Et_6B_049581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6880395:6888808:-1 gene:Et_6B_049581 transcript:Et_6B_049581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNARTICDSFSWLLLLLCAWCLLVFGPVSASALVVTHLPGFDGPLPFSLETGYIEVDESNGVNLFYYFVQSEKEPSKDPVLLWLQGGPGCSGLSGLVYEIGPFLFDVQGYKDELPRLLYRPETWTKVSSIIFVDSPVGAGFSYASSKEGHMSSDTIAIQQLVIFLNKWMEEHPQFVSNSLYIGGESYSGIVIPSLGYIAGNPSTDRQYDIDGTIKFYHGMGLISDELFELTNDYKQCTKDINVFHILEPSCKSVWEEMAVTDEMRRVLQEARHNDLHQFFKCRSASYVLSHIWANDKTVRERLGIRQGTIGEWKRCNRAIPYDKDIQSTLEHHLRLCRKGYQALIYSGDHDSAVSFVGTQAWIKSLNLSITDDWRPWHIDGQVCGFTRSYSSNLTYATVKGAGHTAPEYKAKECLVMFARWISGTPL >Et_2B_020674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22597438:22602969:-1 gene:Et_2B_020674 transcript:Et_2B_020674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNVLVERATSETLIGPDWSLNLEICDILNRDPPQAKDVVKTIKKRIGHKNSKVQLLALTLLETLIKNCGDFVHMHVAERDVLHEMVKIVKKKPDYHVKEKILVLIDTWQEAFGGSRARYPQYYVAYQELLRAGAVFPQRPESSVPIYTPPQTQPLRNYPPPALRNTDYRQDAPESSSAPEVSTLSLTEIQNARGVMDGLRQEVIVDLVDQCRSYKQRVVQLVNTTSDEDLLSQSLSLNDDLQRVLAKHDAIAAGIAVRVEKPKPVQARAESSTPTKPEGTKEADQRSSEDAKNLTPFDQLALPAPPSSSSPKSQAAPAVSTNIDLLSGDDFFKAEPVNSQALVPVSSSPAVSASSGHNTLDLVDMFADSSVSNNNSQNPAISPSTLNANANLPPPQPYPAPQHPVQPQQPSPYSNGLSSNAMTPYDQSSNSASSWNGQFAQGMVPPQQAPNFGQDDQSNDLPPPPWETQNAESDPFQAGHPGGLSVPSGQLGVGQPQPVPITQLGLEAQQSQPMLTGQLGGMQFQPGLGAQPSHPVPNTQYGGMYPPVQGNQQGGMYPQQMAGDFYQQQMYGGQMAGYGYSQQPGGYYVPNAGYAYSSANELSQRMNGLSVQDNSFYGAPASSSLQQCNRPSRPEDSLFSDLVNIAKTKPSKTGSNKAGDL >Et_3B_027795.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:19620620:19620715:-1 gene:Et_3B_027795 transcript:Et_3B_027795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTGRGTSRTCRRALPPSASHGSGIRTGRC >Et_5A_040781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12292943:12298474:-1 gene:Et_5A_040781 transcript:Et_5A_040781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWGREVSMDEDPIVGSLPSCIRVMVADDCAASDTAAAPHHAFRAPAQAPDIPYRRRSAPTAVQVHHDFLCVIKLRSGRCIESQPPAGARRRCRPQRGHLDRIDCISVLPDDLLLLALARLRCARAAARTSLLSRRWRGLWTCLPDLSFLEVAPDAVHGALAQITRTDLSILNIVSPMAYKLSSAGVASVLQTAARLGPTEFSLTVYGAMNDRNIAMGVPCFHRATSINLHVQDLYLSPLAAPRELPLLLERLSIAHCRFDPADLNDLISRSPRLRVLEAVSCSDCLQLATIRVHSASIEDLHFDGSMDGVDIEAPALKKCTMAMSMNRDLSVTFSAPVVETLHWSCLCYNFLNVGAGGVWSLRRLTLQRTGGVRILKLRISTTDEVLRVNRNLMQGIIRLPNISILELYIFTCGHVFGAMALNLLGAYTAIKRLKVYIDRIFQRKDNFWPNCPCYESRNWRNENVSLVNLKEVELTNFQGSDNEVDFLKFMFRSATLMKIMTVTQSPWVFEWTLDGEKINNIFKDNPSVKCCIYWSSGCDRAAALKNRRKRPALDAADVGPGVFCEDKAYRISILPDDLLLDVLVRLRCARAAARTSLLSLRWRGLWTHLPDLTFREVAPESSMVSHANRNLMQEINCLPNFSVLELYVSPHRHFFGAMAVNVLGTCPSIKRLKVDIKKNCGKSKRCCLMCIFKIILAEFLNVGRFYKLLCLEMSSYRCPPNCPCDQSQNWRSQNVTLLTLEQVEKQNLEGSDHEKRYPDEKYDSNAVPPGVTWEFRLLRKSITSSRQ >Et_1B_010321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7718197:7718571:-1 gene:Et_1B_010321 transcript:Et_1B_010321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFKKEKYPRCTQ >Et_3A_023778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12095558:12097506:-1 gene:Et_3A_023778 transcript:Et_3A_023778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRVKARESALESILRVPPGSTSTFVVVERDGQPLQIVHPVEIQRNLLEHMTHNNGVASGSQQRGFLPHELYGNGAARSLSVPPADGPAAMSLPEYNPFYLFPPVPSGSTSEEAAESSGGNRFQSLDQGQFGPHSPVNNEWVDWPSTSQQHPPLYAARRLFGLSDSGNSPAPENSSADGESFQQMHFPGN >Et_2B_021039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25932458:25939136:1 gene:Et_2B_021039 transcript:Et_2B_021039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGAAGGGGAGLALDLSAVIQAAVVGLVLFSAAVIAVRRAASRYFVVDAAGFAASYDDHHHQHSHSDSSAAYPMPAQGNQQEQCAECGGVSSKKCSGCKRVLFPYDEFLKLYNWKDFDFIPCGLMNCGNSCFANVVLQCLSCTRPLVAYLLGKDHSRECSLRHEDWCFLCELQGHIRRANESLHPFAPMNILSHLPNIGGNLGFGRQEDAHEFMRFAIDKMQSACLDEYGGEKAVDLSTQETTVIQHIFGGRLQSQVQCTACGMVSNRYDNMMDLTVEIQGDAESLEKCLDQFTAVEWLDGDNKYKCNGCNDYVKARKHLSVHQAPNILTITLKRFQSGRFGKLNKRVTFPMELDLTPYMSSTDGSDLYDLYAVVVHLDMLNASFFGHYICYIKGYRETWYKIDDCKVMTVDEEEVHAQGAYMLLYSRRTARPRPLIAVEEPVKEQQQCNALPSSNGQNHLIPDDGTLSCESPSKSSEDLLQRDYETSGESLHKMDIKNQVSDSGLQTRIEDNKFVMNENLHCPGSPGSHVFEDTRAPGSLLEGSTSMRTVQFDHPLEHPTTLSSVQFGDSTNEVSSVHSVAEQFEKPASSNDSVDYMDVDTEAGAEVTRWNEGSLVLDSSTGRTDDKTFIPTIANGMAGKPKPLFSPGFLDNRTRKRSSFAEEGHIGGHATGSSQKLNGHCNGHLSSLEQEIPASSYGGDVSTGSAKCNGDMFALSSNGNYYGPNGDEQSRNSLHAQDRYVPSVSHGFEPRPYRKPSGSNTSCNNTNCQGDMSFLNRGFLARPFSSGISVKVDGELPLNGTSLSFANGNNSCGQEIGSNGSPDVNGVGCQRDDTPAMVISDSSMESEHGLRRRVTSKFFEHNGIDAK >Et_2A_018579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33701534:33703641:1 gene:Et_2A_018579 transcript:Et_2A_018579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISAFAVLHLAMLAAQELVAVQAIQCSTVVHDLMPCLDYLQGNEASPSGACCGGVTTLYDAAGTPDERQETCECLKAAYRQYNVLVSNAQALPRACGLRLPYTITPDIDCTHSLSI >Et_3B_031733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9089040:9093068:-1 gene:Et_3B_031733 transcript:Et_3B_031733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPPDDAPAVENGHGHDNGNGNGPLPLAKRPRAVISAAEIRDEFAHHDAAVARVNNGSFGCCPASVLAAQARWQRLFLAQPDAFYFNGLQPGLARSRAAVAALVGAGDVSEVSLVDNATTAAAIVLQHAAWSFAEGRFARGDAVLMLHYAYGAVKKSIHAYVARAGATVVEVPLPFPVVSADAIIAEFRAALDVAKAGGRRVRLAVIDHITSMPSVVIPVKELVAICRNEGVDRVFVDAAHSIGQVPVDVQDIGADFYTSNLHKWFFCPPAVAFLHTRKGDPVAAQLHHPVVSQEYGNGLPMESGWIGTRDYSAQLVVSEAIDFVNRFEGGIEGIRIRNHEKVIEMGRMLAEAWGTFLGSPPELCGSMVMVGMPGCLGVESDGDAMRVRTMLRKDFHVEVPIYYNSRRVEGQEMAKDKNGDPVTGYVRISHQIYNVKEDYERLRDAVNKLVSEGFTSSKLRPSEKLLCFLAGEAELVWHWLAFGHLVSAIKKLWRDDLPANQHSLEDTVADYLGVGRVTTASVAVATMACPAS >Et_5B_043554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11823987:11834973:1 gene:Et_5B_043554 transcript:Et_5B_043554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRIQGNDAKQHDVSDTFSLSKYNPAKHLLFLTHDMVRNKELQQVIQVLKNVIVDASEFVLFLKNYPPLYRQPYSMHLFVGNCIFGRQMEMHRVMDFLMQQEHPSTERVGVLPIVGPGYVGKSTLVAHVCHATRVRNHFSHIVVVNGKCIRNHKITDLKYGGFIIHKKKTSCGDERLLVIIEYSEDVDEVAWNSFYSSSVGCLVRGSRIIVTSRSDTIIKFGTTKAIVLNFLPLEAYWYLFKILIFGSADANDHPKLEPIAMEIARELNGSFIGANMNSGFLRKNLNAQYWCKYLTAFKANIQRNVSLFGERPHDLVQNKKSSIYRISNDEYLTIYQHRTSLTDGNVPVITMYDVVSGNVKCEGTFEVFWTVIVGELANRSISFLIDEYSKRATPNMEAQRLHDLQQLLLRVRAIIEEQRDGTSQIEQWFTN >Et_8A_056693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15082416:15086217:-1 gene:Et_8A_056693 transcript:Et_8A_056693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMAVRVAAGTLRLCAGVSQAVPGGRGAAGLVNWRPVAPATSANLRLSSPAVRVPRAASPAAVEDGSNTDTDPIPKVIIDQDSDPDATIVEITLGDRLGDLLDTMNALKGLGLNVVKASVCLDASGKHNKFAITKLSTGRKIDDPELLEAVRLTIINNMLQYHPESSSKLAMGATFGPEAPTEQVDLDIATHIDIYDDGSERSLLVVETADRPGLLIDLVKIISDINVNVQSGEFDTEGLLAKAKFHVSYRGKALSKALQEVIVNSLRYSLRRPTTDEDSY >Et_1B_009829.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30495876:30496502:-1 gene:Et_1B_009829 transcript:Et_1B_009829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLICYFQASVDEYKVAKFSVGVDAFGGLTTLTTTNATKAAVSPWFVLAATVENPRAWQAWRCAGGEAVVSYGGVSLAWGRVPGFVAPGKGSVVVTAAAAGKGVGLSDDLRRRFAAEWGNGTAKVVAEMKLFYDGNGLPGLYGYKGVSLVSREVDLQGREAPDALAPSPIRSTTTTSCCNVSSRGIEAFFPVRCIQAFKLSDHAVQP >Et_2A_015994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2010345:2012581:-1 gene:Et_2A_015994 transcript:Et_2A_015994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYSLVKDELNGIGGGGQGVLYCSAAPRGGGGGTVKSVKRRKREPSAAATVSKEEAGGDKSGSGNAIKRSSRFRGVSRHRWTGRFEAHLWDKGTWNPTQKKKGKQVYLGAYNEEEAAARAYDLAALKYWGPSTYTNFPVVDYEKELKVMQNVSKEEYLASIRRKSNGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLKPTAGAGADDGSGGTPTSSGATAMPSSLCLQGFPQASGLLQHPHGMLQLDVDHLYRAAGHLAVAQQQAGSLAAAGLDDVGSVYGGVSGGPSPTALCGRPSPSALSLLLRSSMFQELMARNGAAGATQPAAAAEDVDVDTKPALAEPLGRPPAPAEGEVGEAAALYNAAAPEEEEGLFSCSMYELDDNFARIEQSLWSCLQQ >Et_2A_018362.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24472918:24473256:-1 gene:Et_2A_018362 transcript:Et_2A_018362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRPSLEALAMADAEWEEVRAGVDADDDEEGAPPEHLRAFEAFLEEVVPADMVVAFGREEGARARRGERRRSQEEDVKEKLKLWAKAVARKTRVQKEHTKRNNACVCILCT >Et_2A_016538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25811448:25816156:1 gene:Et_2A_016538 transcript:Et_2A_016538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVRWSSPAHLQLLTRRRRLPLSASFSSTAAGFGWADALRVAGDVGRGDETDLSGYFRKVDICNRGMDKKGEFVEFRVEDQVVGYIHKGFIEHLRDFHDVFDIVSGNNGRNTLEHVTLHSLLQTPEERTLAIGNVIKSLGDMIPGIRNELYPVTSSYGMPVYFSLERAAAPYFGIKAYGVHMNGYVEKDGQKFLWIGKRSDVKQTYPGMLDHLVAGGLPYGISCKENIIKECEEEAGIPRSIATNATSVGAVSYMDIEGFRYKRDVLFCYDLKLPGDFIPNNEDGEVDSFRLIPVPHATNIIRRTEFFKPNCNLVIIDFLFRHGYINPDSHGYLNLLQSLRSGDCS >Et_7B_054113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15618685:15635331:-1 gene:Et_7B_054113 transcript:Et_7B_054113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASTTIPVHRIVAFLPVAAAMPSRHRLFSIGATTAAATSIASTAAKPRAPHVVLSAATELVHSGRLRPDDAHHMFDEMLRQPAPIPARALNGLLHALARAPPSTACSDAPALAITLFGRLVRSARPCVQPLNVHTYGTILVCCCRARRLDLPLAIFGRLLRTGLGINVITFNSFLKGLCTTKRTDEAMDLLLHKMPKMGCVPDVISYSILLKALCDDGRSKQALELLRIMAREGGCSSPNVVSYSTIIDGFFKEGEVAKACDLFHEMMQQGIQPNVITYNSIIDALCKVRAMVKAEEFLRQMVGKGVLPDSTTFNSLIHGYCTLGEWRDAFRIFKEMTKHGVLPSAATWNVLMDYFCQHGRIDEARDIFDSMAMKGQKSGIISYAIMLKGYAMEGLFANMTDLFNLMLQNNIVPNHYIFNIMIKAYGDCGLMDEAMLLFVEMRKHGLMPNEVNYGTLIDALCKLGRLDDAKEMFKQMVELGVSSDIAIHRCLVQSFCIHGDLVNTKEFISDAINKGLCFDNMFINSLLNKLCKEGRITEAHDLFDFIISTGQRPDVITYCSLMDGYCLVGRMEEAMRVFDNMGSAGLEPDVVSYGLCKNNCTDEAITLIQKLRAMNLKLDIITVTLMISAMFKARRIEEAKDLFAELSTNGLVPSVVTYNIMMTNFIKEGLLAEADDIFISMEKTGRAPNSRLLNNVVRVLLEKGEIVSAISYLSKIDEQKFSLEASTTELLISHFSKGTCQKHRELIPAKLCKERRITEAQDLFDFIVIIGQRPDAISYNSLMDGYCLVGKMEEAMRVFDNMESAGLEPNDVAYGTLINGYSMLSRHRLSSICATTAATISIASTTAKPRAPHVVLAAAMDLVRSGRLRPDDAHHIARPCVQPLNVHTYGTILALCDDGRSQQALELIRTMAKEGGGSSPNVVAYNTVIHGFFKEREVAKACDLFHEMMQQGTQPDVITYSSVIDALCKVRAMVKAEEFLRLMVGKGVLPNSTTFNTLMHGYCTLGQWRDANRVFKDMTKRGVQPDACTWNVFMDSLCRHGRIKEARDIFDSIAMKGQKPSLLTYYATMLHGYAREGFFSDMIDLFNLMANVDNGMMDEAMLMFEEMWNLGLIPNEVNYRTVIDALCKHGDLMKAMELTLDVIDKVPQFNNKYTMQRRKDNRGNFIISIGKMDEAMRVFDNMESAGLEPNGVTYTRKIEEAKELFSTLSANGPVPSVVTYNIMMTNFIKEGLLAEADDIFTWMGKTGCVPNSRLLNNVVRVLLKKGEIVRAVNYLSKIDEKKFSLEASTTEMLISRFSKGTCQKHRELIPAKIQFLLKPTIPDTISWSFQGLKEMGACLNRAFLCFQISQLDIPKISMKNGVEIIDFLTWLLSYPPATPRSRNGVHLGVKIARFPTITVALSLENSEARRRREREVDDDNRGQATTVVAAGAGDPRAVLCLAVAALREAQAAVGVGEGCLLRRRTGLQRGLQGPAGYFLRRRGLSIAWTILATSGPRAPLLPAVPLKPTTSLIHGAADAFLPIAVGPTKAHGHLLPGA >Et_2B_020031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16281473:16283329:1 gene:Et_2B_020031 transcript:Et_2B_020031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDDAAAAAASSSSPITCDDTFFDALDFLPSPSSSPSPSPSPPPPRTPSSTLRRRPRRAKSLKHQEPILSPSPSASAATSTVTTVDDEPPLKPDSSEAPLKPDSSEATSAPPLPPEEEAHEKDKDVEVEDRAPTPTPASGILEYLAVLVIKAVVFQVSALISCLSFPVRLLQWWFLFVTDPLGLARRVRSWALGVAAEAVSMVTARLGGGDGVGMVARRLVWGSLWAVYVCVVLCALLVMAFLGGGLLVGRIVEEPVQVTETLNFDYTKPSPVAFVPVPRLVRPNQRMQLEVSLTLPESDYNRRLGVFQVKAEFLSADGKVISTSSQPCMLKFKSVHMHFIETFLRSVSLLSGYSSESQVIRLKMRGITEGLEPTTGVRIILEQRAEFGPGAGIPEIYAASLKLEAELPLFKRILWNWRWTLFVWSSMGFFVFELLFALVCCRPCIFPRSGHNVAAP >Et_4A_035964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9608139:9611512:1 gene:Et_4A_035964 transcript:Et_4A_035964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEAQKPATAAPPRDFLAHLEAYLARRDGVDKLLKISRYAARLALAAGPPLPPAASARLKSFESSVGLSRKAFRLGKFVQSVNALRAHPHPPPALAILAYGGEGVYYFLEQFVWLAKAGLLPAHLLPRLQRLSAWAELLGYVGSVAIKLEEMAKIESSIKKRLAEGCGEESEAVKTMRGKLLLKRMSVVQDVADAVMALGDVTDGKGLLGSSTLMASAGLLSALISTHKNWNSYRPEQVDLSLTCFVELS >Et_3A_024727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23646027:23659090:-1 gene:Et_3A_024727 transcript:Et_3A_024727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYLVTDRWLRAENDGSEARLSVTPRAVPHGNRFPNPTRSDTYTRARREPLIVPHVGLPPTYNIVQTSRHYSRWPPSLLVARAAACPAPSAAASPPLRPTPYPNPIAATFQLRARSLSIYPFACPLGRGSPGLGFKGPGHMEFGADGARWPQLRGEAAESLPPPPSVDRGELASPRFDSSRALRLLRDIGTNVTEDLVVLMPNLLSFLKHDDPVVIKQSIASGTNLFAAVLEEMALQINKFGKLDAWLEETWAWMNQFKDAVRGIVHEPGPVATKLLALKFVEAWILCFTPQAHCDQIHPEGKRWRFDNSRLSQYHPILDPVVLEADANRALVLLLDILQSAYAHRGSFLVGTINSLAAVVKNRPIFYDRVLPALLDFDPSLETAKGAHSASLRYSLRTAFLGFLRCPHQAMIESKDILVRRLRALSPGDGIELSIRQAEKMSRNIERASRTNKDEPPPWEMPYGDINRKKPARPNDGLAISDGTAKRARFDMSAASNLPVQGSSDYADVQVDHDANMAHSSDPAILNNDMSPVEKMIEMIGALLAEGERGAESLGILVSTVETDVMADIVIETMKHLPEVPFPTVTHNDVQQPNFKSSSSLTMKNLPANSSLSLFSQSEPIVKVETDQTDNLPTTPCPISGKVENYADPSGDLPQSEDEQYTSSQPNQTVVEDKSELPDVAVRPEPTSEVEAPPDLVAHSSDVDVEMVHQLPSEVTSIDEADNADSEVDPFLPVSAASTPEDTNHDLPVIPSHVELSDKEKISLNKLAIRRIIDDNKKNSLDARFLLLAHLIAQSAVDDNIMDLIRKHIVFHYHDQKGYELAMHVLYQLRSVDLADPPGSSTYTSKHYEMFFISLARSLIDSLPASDQSFSKLLCDAPYLPESLFKLLESLCMSQGNHEQINDGDGDRVTQGLRAVRSLILGRPSLRHVCLDIALKCAVHSQDDVRGKAVRLVAKKLYDLTYATEKIEQFAIESLVGVANEQGVDTDKFKIDGQETSVSASLIPDAGYSESGPSKASLVSPKQSAVSISEAKRHTSLFFALCTKRPSLLRHLFDIYERSPKVVKQCIHRHIPSLVRNLGSSCPEMLDIIHNSPEGSDHLVTLIVQTLTEESNLSADIVAAVKHLYKTKLKDASILIPLMSSFPKEEVLSIFPRLVDLPVVKFQDALARILQGTAHTGPALTPAEVLIAIHDINPEKDNVALKKVTEACTTCFEQRTVFTQQVLERSLNQLVDREPIPFLFMRTVIQALDAFPTLVDFVMGILSKLINKQIWTMPTLWFGFLKLVSQTQPRSFDVLLQLPPAQLERALKKYSNLRAPLSSFVNQRNMQSILPRPILQVLGLVNEPQQAPMLFVPAALQTADATSSLPGATLL >Et_7B_055676.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:2182510:2182812:1 gene:Et_7B_055676 transcript:Et_7B_055676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHLLAFAAARGFLQVFHLSAPLLWPLNLWLPSARHLPEACAAFCGVLAAHAASLRAAYARGTVWGHRRRRRRRRGGSGSGGDSDVDEYIRHALLSVSY >Et_1B_013550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9434748:9440042:1 gene:Et_1B_013550 transcript:Et_1B_013550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRMQASSEKGHSKAMKIAAETGGVDSVTLAGKDRNLLLVIGDGVDCNGLTTKLRRKVGHADIVELRTLHDVGGGGYYASPAGLPRDAGYGSYSYPVASYAPASEYYGHRPPYEYYNPPPYPAAVVQHEYYPAGEWLRHHKEIIIRLSVKSEKCQAKAIKVAAAVSGKLRAYARLCCETCDDKFSLLSAKDFDYPGVESVTLAGGDKSLLLVIGDGVDSNKLIKKLKKKAGGAEIVELRTLDSFEAALPLARGSKEMAAVARSPYHYNNSSNNQLRQYSYTAAPSPYAYHYYPSPVGGYGYGDGVSSYSLAVARNHPANYSPLVERHDYQPMDDRRSSVSSNKKTLAAVPRRESDTNRCTIL >Et_3B_028665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17846771:17848206:-1 gene:Et_3B_028665 transcript:Et_3B_028665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLFRSCDIPVLISIYLRKIEPFCFYRERAARVEMTVPGQSKRWRRQPWVAPGDGTDHITGLPLELGARIASFLPFRQVGQLSSLSRPWRRIHDHTPVVRLELHNFLFLADEIPGALLLDQGLAAALRRRGQEEGNKGTKKVDTLRILYTGFTDPRARRHADRIIELADARELRVELPNGGDASCGVAWALRLPPSARELAVLAFHHLAPAVAGPGAAALRDLRLVNVVVRGAWPRLPSLEALTLENLVVEAPLFPPGTFPRLEDLSGRTAWTSACPSSRGLDHVGPLGDVTVVAPALEVLVVNCNVGCESDYRSFTLRAPRLRGLAWHNQFAERVDMDVGSPGGVAEGVIELTWNGAFIGPSTKECRALMMRMLEGLLPELPLEQLPDAVRPYIAHDKYIVDGTDEDELVPEEKLTCDLDALMSSLQA >Et_9B_065578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7250110:7250668:-1 gene:Et_9B_065578 transcript:Et_9B_065578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSCDEKLQIIDIEVLTCLMGSMWLQDSTSVTIGLDEAEEALCIKQIANTPIMKCYEDIEEHVLIRPTNCMWVFKFQQAKFPLAFLHNSLLLSNCEIIEGIKETCEAGTYML >Et_3A_027208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4816434:4817880:1 gene:Et_3A_027208 transcript:Et_3A_027208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRFTFQQLEEATDQFREKLGEGGFGSVFEGKYGEQRIAVKRLDRAGRGNKEFLAEVQTIGSIHHINLVRLIGFCAEKSHRLLVYEYMPKGSLERWIFYRHENYTPLDWPIRCKIITDIAKGLSYLHEECMKRIAHLDVKPQNILLDENFNAKLSDFGLCKLIDRDKSQVITRMRGTPGYLAPEWLTSEITEKADVYSFGVVVMEIISGRKNLDTSRSEESIHLITLLEQKVKSDKLADLLDKNSSDMQAHKQQVIDMMNLAMWCLQIDCKTGLECLRSSKSWKAVFTLDYHAT >Et_4A_034057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29565582:29568919:1 gene:Et_4A_034057 transcript:Et_4A_034057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGGNPRRGAAVRRPKSSSSATAADRKRKKAAAVKTVTLKNLIRSTERFLRKDLPNDIRVAQEKKLEELKRQQDLQNQLAVQRTVQLRDRKIKFFERRKIERMIRRLEKQQRASADDVSNKLSKLREDLEYVRFFPKNEKYVSLFAGGNNPDIVEKRNKWRKQIKENLVAAAENGKDLEETASDDDMMDVSDDDFFMSGSSSDEEADDEWTDKSAKEPASSASGRAASGQSSDEKNQRQQAARALMPPPRPLEPNRARPMDNHVMSSSSNTSNSTSGDSFRNRRVPNHPADHNSNLSSNSDAHKPRRKRRPKKKKKLWRIQSLFAWCPPR >Et_7B_055228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8465977:8467036:-1 gene:Et_7B_055228 transcript:Et_7B_055228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQWSHRSCALPLLVAVFLLLLTFRCSLRHAQQQPRGSHAAAAPGDDEAALMELAAADPAASAVLRAAERLLEGNLSRSSPEHRDAAVRGLRDWLGKQRRFEPGVVMAELVDLIKRPIDRGILLAREHGALIDAHELVVRLNNAPAGTGDRLARHVGARTGLAFLNSNVLSRCAGGGGCQRCRAAYGDRVPILTYMCNAAHFVEHAVCSTSASAPVMVTDPRLDALCARLVKYYSLRRFARETGRPASEWARRHEEGMFHYSRWWPRRVCDPAARHHYHTLQRGELDLHDYEAEYEFYRDLQARPEAIPFLRDSGFKLPPVVVHR >Et_5A_042749.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:3549324:3549731:-1 gene:Et_5A_042749 transcript:Et_5A_042749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSINRQRRAEERRREEELRRKTEEEEKTPCFRIRRNLAAYEMEEGGRMRMAERERAARNAKAADKGKDKKKRLEEDVRKEREKMRREEEEKRLRAEKEKERKKREAEAKRKQEEGKEKKRQDELKKKKNAKRK >Et_3A_023760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1248840:1249781:1 gene:Et_3A_023760 transcript:Et_3A_023760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPDGYIAGFPDQRPLHVHGAVPPEGGTAELLIHLDHYYDWLPIAAWTASSRASGLSSPDSSDLADRPNPAYHRFDWFRGVPDGRRPGQSSRPVSACRQMAPRARREDDRDEDRRDPSRRD >Et_6A_047154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25991561:25992077:1 gene:Et_6A_047154 transcript:Et_6A_047154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IKFELVDVMPVIMMPESKPLHAPRSNKEGSQEKLFFAELSNCSKRKAPGGYLVTCCEPLGSDSTVGHKGFQRDGSSKLRKNVDFTCCFACTPRMHHPKGHKYVAGHCNIPYIYSSTC >Et_3B_028686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18025480:18026054:1 gene:Et_3B_028686 transcript:Et_3B_028686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGIEVHMAARGLKTVSRWFPRPYAGSKEDDEDSNERNGLLRSHLDQIVPVADAEEEAKALVVHVEPKTVALKVSMHCHGCARKVEKQVSKLQGVVSFKVELESKKVTVVGNVSPAEVLESICKVMKRAEILAAPH >Et_2B_020257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18402299:18409638:-1 gene:Et_2B_020257 transcript:Et_2B_020257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQETTLCGLLLVALLCLITSDVHAETQILFQGFNWESWNKQGGWYNMLEGQVDDIACAGVTHVWLPPPSHSVSSQGYMPGRLYDLNASTYGTRAELRSLIAAFHAKGIKCIADVVINHRCADDKDDRGVYCIFRGGGPTGRLDWGPGMICSDDTKYSDGTGQPDTGADFAAAPDIDHLNPRVQRELSDWLNWLRKGVGFDGWRLDFAKGYSPNVTRAYVRNARPGFVVAEIWNSLSYDGDGKPKASQDAERQELVNWVKAVGGPATAFDFTTKGILQAAVQGELWRMKDAEGKAPGLIGWLPEKAVTFVDNHDTGSTQKMWPFPADKVMQGYAYILTHPGIPCIFYDHVFDWKLKQEITALAAVRKRNGINAGSKLRILVAESDMYAAVVDERVITKIGPRFDVGNVIPLGFKVVASGDNYCVWEKAGNQDRTVVVAVEDPSSVTRDAGAVSTKLARRYPLPLWIAILGLIMLVGMYIFSLSLKQNGMLFSVMQTNTIEKEREKPCHDPRIPDAEIPYVHYPKPGTYDRKECTCNAVRFFAIVSMQRSGSGWFETLLNSHENVSSNGEIFSVKERRSNVTMITETLDKLYTLDWYSSAAKNECTSAVGLKWMLNQGLLKNHQEIVEYFNRRGVSVIFLLRRNLLQRYVSILANAHDRAMKQLNGTHKAHVHSRDEADVLAKYKPTIDKKLLISELKRADKLAADGWANLKNTRHIVLYYEDVVKNRTKLMDVLDFLKLPKIKLSGRHVKIHTKRLRDHIGNWADQMAKHLAAMSGLLVFALLCLGSQLAQSQVLFQGFNWESWKKQGGWYNYLKGHVDDIASTGVTHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTHAELKSLVAAFHAKGIQCVADIVINHRCADYKDSRGIYCVFEGGTADSRLDWGPDMICSDDTQYSNGRGHRDTGADFGAAPDIDHLNPRVQQELSDWLNWLKSDVGFDGWRLDFAKGYSAAVAKVYVDSTSPTFVVAEIWSSLSYDGNGEPSSNQDADRQELVNWAQGVGGPAAAFDFTTKGVLQAAVNGELWRMKDGNGKAPGMLGWLPEKAVTFVDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGTPCIFYDHVFDWNLKQEISALAAVRSRNGIHPGSKLNILAADGDLYVAKIDDKVIVKLGSRYDVGNLIPSDFHAVAHGSNYCVWEKSGLRVPAGRHH >Et_1B_010919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15480936:15483245:1 gene:Et_1B_010919 transcript:Et_1B_010919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFQDSVKALEADIEHANALASEFLRDYDGSVIQMRMAYSAVAHFLVQWADCRLAGKLGLLKIMLYKVYKDGSTALPDWEREASVREFYGVIFPSLLQLPSGITELDEKKQRRLCLKKFRRNDELSEVDTERELECGICLEVNRKIVLPDCAHALCMRCFEDWNTKSKSCPFCRACLEKVKPGSLWTYTDDSDIVDMDTLTRENIRRLFMYINKLPLVVLHVVDLDIYEYRIK >Et_4B_038666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3861648:3871329:1 gene:Et_4B_038666 transcript:Et_4B_038666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAEELRWRFYDGSLTDILNARSLHGSPEIKKKAQFHSSLVQKLALEKEMEGHVGCVNAISWNSNGSLLISGSDDTRVRVFNMSRFSGRRPREISMEPAAVYQCHSRRVKKLAVEIGNPNVVWSASEDGTLRQHDFRECSSCPRAGSANQECRNVLLDLRCGAKKSLADVPKQLLALKSCDISSVRPHQILVGGSDAFARLYDRRMLPPLSSCQTKRKPPPCVKMFCPLHLADNKKTHLHLTHVAFSPNGKEVLISYSGEHVYLFDVDPDDISSVRYTADGVRERLFAPPFHKLVKEHAEHKRLSVNSTSRNSFRVDRWKKLMQVATKYLETGTNLMHGIEACSEVLESMGLDIDDDMKHSCLCTRAGLYLQRKWKNDVYMAIRDCNRARNIDPTSFQAHLYMAEALLQLGRLKEASDYAEAANSVLPPNSRLRKQVENTMQKIASAETQKNKMGQDGNAKSDTRHGKLRSLSDILFRADVSGSSQEGREDSDYDDEMELDYETSVSGDESRENDQNVFQGSLSFRFHQRDDQTNEHTGENGFTESTHDDSSAFQSEVAIDMKQRYVAHCNVGTDIKQASFLGEQGEFIASGSDDGRWFIWEKRTGRLIKMLAGDGAVVNCIQSHPFDCAVATSGIDNTIKLWTPDANATTMVAGPELDVLSAIENNQRKPFEFVERFRMHEFAEDFWERFEDGTMCCLLAALIQMI >Et_5A_041016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16849310:16850236:-1 gene:Et_5A_041016 transcript:Et_5A_041016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAVLNVKILAINSCNINLDMVIDLLKCFPCLEKLYIKSCISGPNRWRLKHKDFIGSFDIRLKEVVFDSYRGIRLEASFASFFILNARELEFIRFVVGQRDYNEAFFPEQHQVLQIEKRASRVARLDFTEDRCRQNQLHVNHTG >Et_3A_025921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34013190:34016646:1 gene:Et_3A_025921 transcript:Et_3A_025921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRRGRSASRSTSGSSRSESRSITSGSVSSRSRSRSRSRSFSSSSPSRSRSPPAVKRRIIMLCASPLQLIAFSYGYCFLPSSPGERRVQSPSPSPKKGSPSRKERSPSPPPKKASPSRKESPSSESVVLHIEHLSRNVNEAHLKEIFANYGEVVNVELAMDRLVNLPRGYGYIEFKNKADAEKALLYMDGGQIDGNVVKLKFNPLQPRQRALSPVKAPPPPPKRGVPQNDKGASIVEKDTQQRPRESSPRRKPASPPRKRSPNRRVESPRRPPDPSPRRRPDSPPVRRRADPSPVRRGDTPPRRRPLSPSFTQEGSRQPISTQTLTWPSETALTTSKADEEPTTTEATTPASL >Et_1A_007068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30502554:30504943:-1 gene:Et_1A_007068 transcript:Et_1A_007068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTTVLAAILLIDLVAFGLAIGAEQSRPSARLETDAAQEWTYCKYRPDAATGMGGAALVLLLVGQAVAAFASRCFCCGAALRPGGPRACALILFLCSWLTFIIAEACLLAGLVQSAYHTGYRKVFFENPPDCETVRRGTFGAGAAFAFVTCLLTSGYYYFFSKSRVSFHRREATIGMTPL >Et_4A_032969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16124513:16128315:-1 gene:Et_4A_032969 transcript:Et_4A_032969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLTKLWKRFKSNENPPEHLGVSKEYNVDMIPKFMMANGALVRVLIHTSVTKYLNFKAVDGSFVYNNGKIHKVPATDVEALKSNLMGLFEKRRARKFFIYVQDYEEEDPKSHEGLDLHKVTTREVISKYGLEDDTVDFIGHALALHRDDSYLDEPAIHTVKRMKLYAESLARFQSASPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDENGKAYGVTSEGETAKCKKIVCDPSYLPEKVKKIGKVARAICIMKHPIPDTKDSHSVQIILPKKQLKRKSDMYVFCCSYAHNIAPKGKFIAFVSTEAETDKPEIELKPGIDLLGPVEETFFDIYDRYESTNNPEEDSCFVTNSYDATTHFETTVQDVLSMYNKITGKELDLSVDLNAASAAEQEAA >Et_9B_064237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13116958:13120083:1 gene:Et_9B_064237 transcript:Et_9B_064237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAACARVSSYGPRAGRLAVRAAALPADSRGDGAAVYKELGLYSLRKRIEDTVVRVETTASSALELEEARRIKQEEVLRQRNLWDNPAKSHETLSDLADAIRVVDHLKDLCYKAEEAKLISQLAEMDVINGELFKQAYKSSIDASEYLDRYQRYKLLKGPYDKEGACIIVTAVSEGVASELWVEKLFGMYTSWSRKQGCKVGLVEKITSTNGHVRCAALEIESEYMFGTLSGEKGMHRMIYPSLDNSGTYQAMSARVDIIPLFLDRPINIHLDENDLKISPSPDDHTKRASRNVAAVTVQHIPSGVSAESSGERSYFANKLKATSRLKAKLLLIARELSISDTKMISMQAIEDICNRETRRYTFGPQKLVHDLITGTQLSDLNSVLDGDIEPFIRACIASKQ >Et_7A_050220.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:13013685:13016256:1 gene:Et_7A_050220 transcript:Et_7A_050220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPACILLNRSVTFCDHPLEPEPVNGRGVAGLVGGTTATSSQPLSHEAIEEAVAMYQRAMIPILKVVDPPGVSRLTLMRPLSSDLISKYRPTMPPGLVAAADKNLILLYAGSQRPTSSFQGRYLLFDAVSSSLFPIPGVCRKLPYRSTGFGTIIMAREDDFVLAEMLYDAMAEIGMLCIWQGCEWVYKVGRLSDQLSYKWKVHMSFPVQSRNLFCWVDLLQGLLLCDLGRHCNVDSSDLETSFVPLPDSCFFSEERYGRLNPQEFRTMACVDGDIKFLTMHGFVEGGPISLVTYTLDLDGTSPSWKEDTVLRLDDLWADQTFISRGLPRVTPLFPSLSTHECDIVYLVIPGDADSEEGYHVSKVKLLLSVDTRKTKVISDTKKNCPRTLFASRYILAFNASPCPALPDLKFHKVHTTTAT >Et_1A_005622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12042317:12046444:-1 gene:Et_1A_005622 transcript:Et_1A_005622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRLPEPPGDGMMETPEIFAGGGGGGAATVVRRAVLIGNGSPGAENQCLGLARALGLADNLALYRVTRPSGGINEWLHFLPISLHKFIDQVLRQFFRNTRFAVVVQGRKPYRVSTGGSVGLSTVLEADVKKIVTVARDTYEKEGPTLVVACGWDTISYSSLIRKLASDNVFVIQIQHPRSRLDRFDLVVTPRHDYYALTASGQQEVPRLFRRWITPQEPPGRNVVLTVGALHQADSAALRLAAIAWHDELAPLPKPLLIVNIGGPTGNCKYGVDLARQLITSLYNVLDSCGSVRISFTRRTPRKVADIIFKEFNGHPKMYIWDGEEPNPHMGHLAWADAFVVTADSVSMLSEACSTGKPVYVIGTEHCKWKLSAFHKTLRERGVVRPFTGLEDISNTWSYSPLNDAIEVATRVREVIAERGWTVG >Et_7A_051666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22105320:22120402:1 gene:Et_7A_051666 transcript:Et_7A_051666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVQPSPRKDKDPSGKQEKSSISSSNAASTGSAQRFDSCAEVLSSSTILSWPLGRPRSQLSEGRISSPNKTLRPSGQDRSDNVGLGGQVRKGLLGPRLSQNSKGNEEKTLHPSGRHRSSCLVLGGIGSKGLLGPSPSQHSKEDLIPPFLPPKKRVLESPQLYGRSGDDPHNRTAKLPRTQPMRSSTPPPSYHAKTSSRYHVTIAKSAQSPLDDSVVDLGMRKHNSSRQSLKKNRLQTERSPERASKSSPPNTGLRFQATKMLARPVIKDSDDDVTLRPNNNTREPMKMPQLEPKRSPAQASRSSLPNTGLRSHVTNTVSPQPSLKGSHVVGSVKPEDNSRKPAKMPELQQKKSPGSSSISDPNTGLRSHAVVAKSAEPPIEGANVDESMKQTDGQNNLLNVLSDWNSARKKLHGKNPSSNVEELGNVAGKKSRLGWGQGLAKYEKEKREKELLDSLAIGANRDIRSSSMSTTETVTCAGVSGDLDNNSSSMTEKVEFLAASGCSPPQASRHNCDTGTRCTSKATEVMPCPNVVPSFSSGLGPLHGVKNHPANRSISMTATVVFPATIPAYSEGCSLPPDGGFVTSTTVDVADKPISRGSDRVTSDSGCPQGIVSPAQITDQAIKKDFTFTCPKSKVEEPYLKNLSVNRSSIEEIKKEEQELTSQTKDICSSMDSRNRDESQGTTNAGCGDGESYHVTGLSGKDAELSGKDESRKKSSVENCADKIEKCAVDGEQNRSGKENPELYAVSNESNDGKMQSNKDNPKSDNKCGIVNNGAELSRNGLVQRQKDTEAIESDKEKPQNCVAPREISSTVSGCILDSMATLKNHDILSPQGNDINEPLQQISNKAPRICQPLSTSGGSALQSVDAKFANVKVNIDLNVTLDLDKVQEYSASDAASMAVNVPRRTTQIDLNIPDPASLDDASADKSQNKMSNITKDPTNLPGYFKLFGKVIFQAPSSEIKNTSKRENQIQDYSCGMASNTIVPFMTRDGQSYPSVPSSTWRRAVPTNTLQQSVFSNQMPYGFVNDSAALPFQSRRNALVNLSQQQHSVNMTSYNMIRGGLTYHQRPLSRSSNLLNFNPSRQQWTRDAANANRMVISDLQAPGPITPSRTDGEACQNSGVNNSMRSKYPIEIFVGYSCTTLNDKLTQEIREPLDRDIDMVAERHSVSLLLLVVIGCWACCGCLAQIPIPARTDGFVYGAKPPAWGETVVVEAFFDPVCPDSRDAWPALKKAVEHYDSRISVVLPQQCIHRMPEGYYNKPTYTKSRATVVDELTTNLVVPIIGQANLAAYKADFDDSQSDHATRISFKNGCARGVTGTPYFFVNGIPLSDSGSPLDYNKWISIFDPLVGKM >Et_5B_043143.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:10781172:10781291:1 gene:Et_5B_043143 transcript:Et_5B_043143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEPGKPEVTKLGDKGSVQHNVARLDVTKQDALPQVCK >Et_9B_066265.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:9082226:9083587:-1 gene:Et_9B_066265 transcript:Et_9B_066265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVNKSSPVMVKPRQPVATDSAIKLSLLDQVHLNIPVTMLLVFEHPINEAPPETIQRALSQSLVHYYPISGRIPAGADEIQCTGEGVVFVAASADCSLEQSKLLKEETPSAASPAGATSRTLLDDLAVYYDGDGGGCAGGPLMLVQVTEFSCGGFVVGVTWNHAVGDTAGIAQFLQAVEEMARGVSSLPSVIPNRNWDDSLVPSISPPVVAATQQKQQKQQSTVACEPPLDLDDLVFLDVTVRSSLINRIRAEYDLVHRSHGHSSSSTPACTTFEAVVAVLWRCRTRAIMSPLTSSSSPAVLSFAVNIRKYVGADDGYYGNGIAATGQKVISTVGAVANGDIVDIVKAIKDAKDGIPDMLKSGNPSSKQQQVDRYSMLAVSSWGNLGLDKPDFGSGGPRRVIFHAKPLASPQPFCMMCLPWKGIDGVSVMSLYVKREHADAFLAELASLNT >Et_9B_065141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21515435:21519511:-1 gene:Et_9B_065141 transcript:Et_9B_065141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATTRFSIRYCWPCLCRSTLHEHGWEYAAQARTWPFGGDAGALPPMEVRRFRWWAEEAAAVEEEEREVERRMAAKRRKRSVAELFAAVPRVAGGKGKKAVNGRMHAKEKGKLVLAVEVKASKGAKTKKKVPAGIALSKKEKSGSAKVTLISISQLSPDSTRKRKPKESLSNKKRNQEVLVLEKKCKKGSKNSVLDSKKNAVTNSVQAQSILEKQSKVVFDTLLNNKGATCRPNSSCKPKHVTFSHGNDMFGRTVLLPEDNAEQPKSVQTSQRPFQEGHDKRSREELQLVYRRADATPGAFEEDTSSLSETVVSTGVSCIFPGSKPKGSTIMGNSVDLNHCTEISNSSNHLTSISLACLSSKMASQNFDGVDSCLEDAEDFREENHVIPQGSFNPVSLAVKAISNDIYMGQLSQFSRSCLNDRSRSTLPEVSVANCHLGKAPRKLVGSGKDVSSISSLAGSNKKLDARATDCVPAGKNTYFRDDYVGLPLNSRGEFVKPHPAGIPSSIDILKRQCLGESSSYPSVFPTFFSPRTCTDRANLRPKHHVPQIEKVDQSPHFIPRAPIAYQTDFRQLSCSEIMKSNTVLSKKCPCTNQQELSSACFCPEYTVHHNPQQNLLGMQSYSMHRDGEQNTRPTAETTMRLMGKTVTLGSGGIRCRYPGNETPDCSKQTPAEDHSVLGTRGKAFPQSFHGDLVYPPYAFKISHGERELSENPSVLSLVSASEMRSELESNSFRTNGHNQQPELAAANRLYAQPAAWCNESELQHQQPVRLNQVQSSGEDMMWGSMHRRDTQSVASVPSFNRRNNVRNFVEKRQVPYQPSYFTQHFSNMTQRNPVSSTLSGYAAVQSTPGLTTQTKFTSLPPLPPSLTPSPVYGPDYAQPRGSTTNHPSIPAAYPTSKSNAPGNAISRDERVKWNMMGSNVEGLGPMNGNGKRPADKDGVLLASPKKPCVAAPKELNMLPIPETGLQFFGSRPDAQPVGFGREPQPDPRFGSQGAHTTWSYPVNTVRPVKLKPGARHIVEPSASSMSQDISWTVHSVTPFAAENGGSTTMNEHVEEPRR >Et_7A_051379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17694093:17701292:-1 gene:Et_7A_051379 transcript:Et_7A_051379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRQLRVLAFDDDKNHAEHMRSILHQFNFHVRVYTSPQSALDFLKYHAEDVDFLLVAVNMEEMSGFQFLDIAAKMHRNIQVICKLLWKGYSVMSAESTWDIFTRAVLHGAHFIVQKPLVESAIPDMWQYLDLSDRIERIQHLCGGPTGGQSSAESGVTDSSGCHNAAEVESMNNSTSEMEASTGSNTAGQSIVNYPDVGNDGTLENADISKGVEHAVT >Et_4B_037891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24485633:24489170:1 gene:Et_4B_037891 transcript:Et_4B_037891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVKLVPRDQVLSSQKGGGGADSDSDGSDRKRRAKRGKKGRDKEEKTRRRGRRRRSRYSSDSEEGSDSDDSIGEEEEDDVSRSKRRGKHRKRRRHNFSDDEDDSSSESEKDKASGKGKGKRRGDEDDDDEEIEGDGLRASEVVRREMGLEWMLKPASSSRAEDNRARKTDDEEKAEASKEEVTRANPKELNPYLRDNGSGYPEESTPSSAGSQLLASSVVGDGGASWRLKALKRAKEQAAREGRKLEEVVEERWGSLGHLAVSVSASRAAPSRAHLHAIRGRKSGKADDSEEHAKGTPERHQGGGSGSREYLRDVSSQHHAMRKPKPDSVPWKKRRQNISSEEQALISSAVASINKFSDDGSFMEKINNLESKNANVSTSTADEKRENDHKLLKESSSKAPLRSTQNLNANQLAAKILQLRMKGKHEEAEELSREMEALLESQDTAVEEPRHERERSSIRHTIKPSAADRRKREEDADRHLANKIMHNKQYNMSKSIEDEYDFGDAPSKKDKRKNKELHQEKRGAHRPMFTQKERCLYCFENPSRPKHLVVAIGNFAYLMLPQFEPVVAGHCIILPLQHESAMRTVDQNVWGEIRNFKKCLLQMFAQQDKDVVFMETVISLSRQQRHCMIECIPVPCEVSNNAPMYFKKAIDEAEEEWSQHEMKKLITTSASRNLRQAIPENFAYFHVEFGLDRGFVHVIDDESNFNAGFGLNVIRGMLRLPEEDMHRRRRHESIDKQKQAVASFMKDWEPFDWTKQLD >Et_8A_058403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6589883:6591357:-1 gene:Et_8A_058403 transcript:Et_8A_058403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKNGATNLRHHVQTALCLLPPLLLAVFFLLQLRTLDLLAPLVRCATASHPAAAVDDLVERLRETATFLPLRDAREPRPGAWFISALKNDSSEPEGEARNLVIPSAASNGRLLCVAAPPGVDATYALAWRDAMPRGAALRPGLAFVSESSYDYRNLWHGLAALAPFASWHERGGCGARKQPPARWALFQRGAARSGMSGWLTTLAQAATGAEMVVEPFSTPQPVCFEKAVVFRRNMEGLSKERLRAAYDFMRCKARAHCGVADVGKAGGGDPSAPALRVTLLFRTGARAFKDEAAVSRVFEKECAHVAGCAVTTARADNLTFCDQVRLLSATDVLISSHGAQMTNLLFMEPNSSIMEFYPKGWRERAGGGQFVYRWMASKAGMHHEGSWWDPDGEPCPGSNDVLSCYKDRQIGIDAAYFAQWAAKVFMTAKERKKFVAALGTLAEEGQRHAAGCSCS >Et_1B_014234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:470743:473970:1 gene:Et_1B_014234 transcript:Et_1B_014234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDVRIDGWIDQFSPGRWCAHGKPSPSWPLLLGGKLNMGIADGSAIPWWPMSGSPPPPGIGCAPGPSSSSSSGSRSYVALANVDAITMTGPAAMSEPTTLPPTTWPCPPARYTVRPVEPGGAGPGRNVPVRDRISKRPWSATTAPGADGCRSATLATVPAPLSTQTPRWRRRENCAMASATSAPPATSMTWLRSALGLSRVTKMGGLGLFFDPGGRPRGRRLGAATTAPSRGSPPPDSVFSSPCSPPAAAGLGSGSLTAGGSVLGPPDEPDGCSGRPKWPVHQFASLTPLNSVN >Et_3A_023983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15632187:15633134:-1 gene:Et_3A_023983 transcript:Et_3A_023983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEYAPPSSNTGKPDENGSDEHKRIVELSEIIVDEIGSNTWQNRSRGEKTIFRVPKERLHAADKCTYQPTFLSIGPYYRGEDATEEMQRNEQGKLLFLCSVVKDAGPSVLQLTQAIASMETRARSCYEGDVQMERDALQDAAS >Et_6B_050127.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6970381:6971967:1 gene:Et_6B_050127 transcript:Et_6B_050127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTQCIYIDNNAVQNGGGAAQTGATTTLPPAVQCPNLLDADEFRRHGHQVIDFIADYYGRMDEYPVHPDVTPGFLRRQLPEEAPSRPKPGAFAAALRDVRDLMLPGMTHWQSPRHFAHFPASSSVAGALGEALTAGINVVPFTWAASPAATELEMVVVDWLGKALHLPEGFLFCGGGGGTLLGTSCEAILCTLVAARDRKLGGGGGSRRRIGDLAVYCSDQTHFAFSKAARIAGIPRENVREIATCRHDDMFALSPAKLRAAMRDDADAGLVPLFVCATVGTTQTAAVDPVRELCAVAAEHGAWVHVDAAYAGSALVCPEFRHVAAGVEAADSFSMNAHKWLLANNDCCALWARNPSLLVAALGTDPEYILKHHPQGGIIEEHDVVDYKDWSVALTRRFRALKLWLVLRVHGVDGLRDHIRAHVRMAALFETMVRRDPRFEVVTPRRFALVCFRLRSPPDDNGKKANDLNRKLLQRVNAAGPYMSAANVGGIYMLRCAVGSTLTEERHVRDAWKVVQEQAASLLS >Et_5B_045386.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20636583:20638022:-1 gene:Et_5B_045386 transcript:Et_5B_045386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALKMPASGPRSGGGSGSGAGAGVHPATSPCYCRIRLNKLPYQLATAPLLPSDAAGDQATGAFAAAFHLSRADLDRATAKPALFGPRRVARLKVAVYAGRRGATCGGVSSGRLIGKVVVPLDLKGAEAKPVVFHSGWVAIGKRRSGRKSPAGSSSTPNAGGQAQLNLTVRAEPDPRFVFEFDGEPECSPQVLQVRGAMRQPMFTCKFSCRSASDLRSRSVQSDPGGLLAGGHGGGGARAWLAKFGSDRERAGKERKGWSVTVHDLSGSPVALASMVTPFVASPGSDRVSRSNPGAWLILRPGDGTWKPWGRLECWRERAGGAGDCLGYRFELLVPDPTGMGVGVCVAESSVPASKGGRFAIDLTAAQPFGRTGSPGCSPRGSGDWGQHGLWPFGSFRGFVMSAALQGEGKCSRPAVEVGVAHVGCAEDAAAFVALAAAVDLSMDACRLFSCKLRRELSASRSDLLR >Et_2B_021343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28947385:28948878:1 gene:Et_2B_021343 transcript:Et_2B_021343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVGKEPPPPPLLMGRYVVGKLLGKGSFAKVYQARNVESGEEVAIKMMDKDHLSKSGAVQQQIMSEIDIMRRVRHPNVVRLHEVMANKKRIFVVMELVRCGSLYDYLAPGRGVGEPAARRVFQQLVSALEYCHSRGVYHRDIKPENILVDDKGDIKVADFGLSALADTKRQEALLHTVCGTPMYIAPEVLARRGYDGAVADVWACGIVLFVLVAGRLPFNHLDNAVMYRMICRCDYQCPPSFSASLVRLIRRLLCPNPARRITIPQIKQTAWFRRGFKEINFYIGNDDRPRSLGESAHREFDSDSDDDSTASATSSDDPSSPIEPPRMRRPKSLNAFDIIASSPSFDLSGLFDEPGEQMRFVSAAPVPKIISKLQEIAGHVSFTARIKENQVSIEATRNGHRGALLISARIFELTPDLVMVKVSKKAGDTAEYRQFCDNELKPGLRSLVDGMPGAEDSVPAGESV >Et_3A_026083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4053815:4056228:-1 gene:Et_3A_026083 transcript:Et_3A_026083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKDKGPPPSIQDATERVNKRGETVDEKIKKLDEELARYKDQIRKTRPGPSQEAIKARAIRLLKHKRMYEEQRNMLYNQTYNLDQVAFAADGLKDAQQTMNAMKAANKELKGMMKTVKIEDIDNMQDEMTDLMDVSNEIQETLGRSYNIPDDVDEEELMGELDALEADMEFESAAVPSYLQPESDFDADLNLPAAPTGPAAVPPSRQQVDELGLPAVPRASLRS >Et_3A_026593.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:1011853:1012344:-1 gene:Et_3A_026593 transcript:Et_3A_026593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMLPACLVHQGTTALPAMKPRQRLISLKLLVKAVHKLKKRPAGSIDSKKAKIDCTKSSSSAEEKGGGVGGLEVMRKMNSNPKGNVLRSRLHHGRGGHKKGVVRVKVVLTKEEAARLLTLTVGGQKTAAQIVAEIKRMEARRANAATHGWRPALESIPEESS >Et_4B_038936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6100729:6105384:1 gene:Et_4B_038936 transcript:Et_4B_038936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRLAAAFLLTLLGLLAADVGGSDILAESHIESCARDSGAGLSCDKKIVVDLAVPSGEVRKRPGPTPHAPWHTRISFHCTRMHRAFSVSDGSWRVLLQSGGADSLVATVSDVTVQGENATETKIIRDPIVITVNKSAVDMEYALTYLRDVAYKPEEQYVETLKCEPDAGADVVQSCESLFDVHVGNTVLRHLVETFVSSYIALYAYDISFTLISFVVENMFKGKHNTAHCVRFPGEWFHVFELGTRSLWFTIGLQVKKGSSVSEVVLGPDNRTAVSGDNFLRVNVVGDFAAYKSMPTFEDSYLVTPRKGAGSSGQPQVLGDEHSRWMILKRLYFTDNGLECNKVGVGYEAYRNQPNFCSSPMGSSLQSQLWTYMEADKNRVNKSEPPKYLLEGRFQRINQHPVIFFPFPILICQRWTKLLTHPLQDAGVYSLSLGVTDARITNLLVELSADDTEYVDQRHLKASNFSEVDKAEVQFSTTSTVLNNGTQVAPPEENKKNTVAMLLRVLHKKSYFDHLYGWWKRLFGLVPRDGAHRRGRHHHQHSLTPAGTKRSRGTGVGPAIHYKNLEDL >Et_10B_004439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7188916:7189550:-1 gene:Et_10B_004439 transcript:Et_10B_004439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFGSSTLFGLGLIFLAGLCFSLFAPAINLATNDQWHALKRKGTPHLVVYTAFFYFSLSCFVLGIGLNLLFLYRPMVGVPASTFGAYVRDWNGRQWALLAGLLCGFGNGFQFMAGQAAGYAAADAVQALPLVSTAWAVILFGEYRRSSRKTYLLLTAMLSMFIVAVAVLMDSAGHRKT >Et_3B_031629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6333420:6336363:1 gene:Et_3B_031629 transcript:Et_3B_031629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSTMKQNLLSFYEWFLKRETDSTLDALEPCAVLRRLGVLGSSCPPTVRRDAPVRLLRVGLHPGFHQNFLPSGHVLGSLRFFHASGDSPDDSRDAHASEILRILKSSGGDADLSDALHQFAHEIGEDVVLKVLQKQRSNWQVALAFFNWAAGLPGYAHGSRAYTEMLDILGRMKKVKQMRQLFNDIPVERRGVVVTNKMFAVLLNRLAGAHKVQEAIDMFYMRKDYGFELDLVGFQILLMSLCRYKHVEEAEALFRQKKDEFPHVIKSWNIILNGWCVKGSLRDAQRIWNEIIASKLRPDLITYGTFINVLTKDGRTGPAVKLFNSMREKGINPDVAICNCIIDHLCFKKKIPEALEIFGEMNDQYCQADVATYNTLIKHLCKIRRMEKVYELLDEMEEKGCAPNNRTYSYILKMTEKPKDVIALMQRMEESGCKPDSDTYNLLLNLYVTWEYEKGVRQVWDEMERSGSGPDQRSFTIMVHGLHSQGKLDEALQYYTTMKSRGMIPEPRTRILVKSIYLKKDMPTTEDQSPSMTGKDLKLDPRSRLFQAPTIRAELDNL >Et_1B_010518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11229627:11230768:1 gene:Et_1B_010518 transcript:Et_1B_010518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLIVGKVIGEVLDQFNPSVKMMVTYGSNKQVFNGHEFFPSAVTAKPRVDVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVVSYESPKPNIGIHRFIFVLYRQKRRQAVSPPASRDRFNTRKFAEENDLGLPVAAVYFNAQRETAARRR >Et_3B_029756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27647929:27651255:-1 gene:Et_3B_029756 transcript:Et_3B_029756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQWLAEYPHQGADKRPRKRPRLAWDVAPTLFQPPKAIPMLYCGQELINGNFAPAFLPPPPIFYSGPPRNLSPPWRPDDKDGHYVFAVGENLTPRYRILSKMGEGTFGQVLECWDLENQESVAIKIVRSLQKYREAAMIEIDVLQRLGKHDFTGSRCVQIRNWFDYRNHICIVFERLGPSLYDFLRKNSYRSFPIDLVREFARQILESVAFMHDLRLIHTDLKPENILLVSSESIRVPDYKVSVRPPKDGSFFKNLPKSSAIKLIDFGSTTFEHQDHNYVVSTRHYRAPEVILGLGWNYPCDLWSVGCILVELCSGEALFQTHENLEHLAMIERVLGPLPKHMIVRADRRAEKYFKRGLRLDWPEGAASRESMKAVWKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYDPNERLKAREALRHPFFTRCIRRCGF >Et_6A_048173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8711911:8716671:-1 gene:Et_6A_048173 transcript:Et_6A_048173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAKLQKQALLPPRSPFPTAAAAAPYADHGLIARPHGPSHHRHAHGHGPGHHQRTSSESFIEEQPSWLDDLLNEPETPVRQHGRAGHRRSSSDSFALFDGGATGAGAYANGFEAMGSGGGKATPWGGVKEYYAKPGSFGRPQGRPWEQGMPNLGGYRHGGGPPMPAKEKPGLHHGPLNASRNHDLGMDKRASDDAGHDQKVELKEGVPSKHSQSEADTKRAKQQYAQRSRVRKLQYIAELERQVQALQSEGIDVSAEMEFLTQQNIMLDLENKALKQRLESLAQEQLIKRFQQEMFEREISRLRSLYQQQQQQKQQQQPPQPPPLGRSNSRDLDSQFANLSLKHKDPNSGRDALSGPLRWGMLYPQNATLGLL >Et_1B_013216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6464819:6465852:-1 gene:Et_1B_013216 transcript:Et_1B_013216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTKFALHVVLASALLAASATHDHDATRNGSNMGEGVVIGVLDDGIDAAHPSFGDEGMPPPPAKWRGRCKYAGAACNNKLGVHAAPASSASVSGVAPRAHLAFYQVCAAQGCSRGPIMHAIETALADGVDVLSLSLGDDDDGGGLGFHEDPVVAATFSAVMKGVFVCAAAGNKGPAPGSVTNDAPWVLTVGASSQHPGSPHHSVTIPPFSSRGPSCNNGGVMKPDVVGPGVDILAAVPRSAHGPSFASLSGTSMSAPHLSGVAALVKSAHPTWSPAAIKSAIMTTADTSVTEETTGAKASYFAMGAGLVNQAKAVDPGLVYDISPESLTCADWDTRTIK >Et_1A_004848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12053420:12053808:-1 gene:Et_1A_004848 transcript:Et_1A_004848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSPASTATRDTTSLDVRRLRGVVVAVKCAAGDDDTTLLHAARSTWPCRRPARRAPRSGSARRRGSRSRASCRGGRLLAAPRPTRWAPASPSSASFGCTGPNPERNRSCGWIK >Et_3A_023715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11245834:11249608:1 gene:Et_3A_023715 transcript:Et_3A_023715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRVGNLREFLQTNGHVMLQRMNNNNNLRSFTSKEIEYITNGYSTSLGKGAFGEVYKGALDDRRLVAVKKFKDGTMKEEFAKELIVHSQINHKNVVRLLGYCTEENNLMIIMEFICNGNLDSILHSRNANGHVPFPLYLDKRLDIAIVLAEVLSYTHSMYSPVLHGDIKPANILLDENLVPKLSDFGIARFLSTNEAQQTNTIIGSFGYLDPLFSQSGILTVKSDVYSFGVVLVELITRKKAADGSYNLIQNFNNFIKRGKEVTKMFDKEIAVGKRNTKLLEDIAKLAAECLRLEDKLRPEMVEVADRLRKCRKDLHLSRSGERAGSSVSLKSPGGNLLPVLSPVSISVDATSKSPPTSLLNISLAELRKITRNFSYDSLIGVGSHAEVFLGELTDGRKAAVKKLGQNPVVKELDGFFSEPDEEFISQIQDVSRLKHDNVVQLLGYCVEGNVRKEGSRGMQSGSNLSWAQRVKIALSAGEGIEFLHQAEPSVIHSDIKSSNILLFDNDVAKIGDLRVSKNRPGFMDDILLDSACHRRHGYDAPECELSREFTRASDVFSFGVVLLELLTGRNALDGMQGYGQQSYMTWARRLSMYPMLGEQDNSKAAAKYHNSSWFSI >Et_1A_008728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10973246:10974434:1 gene:Et_1A_008728 transcript:Et_1A_008728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLEKVRLTLEPDFVKWALEKSACSWTLWLTRNDLVFNDKIIPTPISAAYKALSMMQRWSMLTKEKDRAKIKEVETKLEEKIKAFQSQSQSIINLPPAGIDL >Et_10A_001874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9446921:9448614:-1 gene:Et_10A_001874 transcript:Et_10A_001874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLAGKSDTRVVVIGDPGTGKSSLIIAVATEQFPENAPAVVPATRLPADYFPDKVPITIIDTSSSPEQKAELFAECQAADAVVLTYACDRPNTLERLSSFWLPELRRLQLKAPVIVVGCKLDLRNENQFSLEQVMAPIMVSFREIETCIECSALRQIQIPEVFYYAQKAVLHPTAPLFDLEAQSLRPRCVEALKRIFLLSDHDNDGALSDVELNEFQVRCFSSPLQPTEIAGVKRVVQEKMTGAVNDNGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYDNDLNLRDDLSAAPMKRAPDQVIFCLVRDQSSLVLTMSVGTTIIWLNPQLYVFMLIQYFGIHHYGVPVNSGIA >Et_1B_012141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29326671:29330116:-1 gene:Et_1B_012141 transcript:Et_1B_012141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRASQLHALLSRALSPSLPPPRARSLAQIAGPGAPRFEAAFLWRARFFSSDAAAAAQGGSKPQAAAAAAGAGQGGGSDGQSGKSEQGDAGKPVRGGPVSWLSFLLLLITGGGIIVYYDKEKKRHIEELKNRTSAVKPGQSVGTAAIGGPFKLLNHDGKPVTDKDFLGKWTLLYFGFTHCPDICPDELQKMAAAIDKIKEKAKLDIVPVFITVDPERDTVEQVRDYVKEFHPDLIGLTGTADEIRQVARAYRVYYMKTEEEGSDYLVDHSIVMYLMNPKMEFVKFYGKNYDTDSLAEGIIKEIRGHA >Et_3B_030354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32415624:32425141:1 gene:Et_3B_030354 transcript:Et_3B_030354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLQASGAAASWVVGRMGTDAHLYDDPDDAAIPELLDSRFDADKVEALKRLLALIAQGVDVAHLFPQVVKNVASQSLEVKKLVYLYLLHYAEKRQNEALLSINIFQKDLSDINPLVRAWALRTMAGIRLHVVAPLVLVAVKKCARDPSPYVRKCAAFALCKLCDLLPDESVALEEIVDVLFGDNSSGVVGAAAVAFKSVCPNGLALISKHFRRLCETLPDIEEWTQVILIEILLRYVIARHGLVKDSTLFASNTSIEIQGIRDSGCEDSMSTQPDTIGCGTISNIMLFRHYVEECSGILEREDDNFSISSVAIDSNDDVAILLKCTSPLLWSRNTGVILAAASVHWIMAPVDEVKRIVGPILFTLRSSHDAAYVMLGNILVFAKRMPSLFAPFYEDFFINASDPYLTRALKLEILTTIATEPAIPAIFEEFQDYIKDPDRKFVADTVAAIALCAQKLPSISSNCLEGLLALVFYESSISDSIHFDGEDAVLVQAILSIKGIVIIRLVRHLDKIKEPAARSLIIWIFGEYSSTGNLIPKIVPAVLKYLAWSFTADVIETKLQILNASAKVIIHSPEEHMEEFKKIVAYVIELATCDLSYDVRDRARLLSRLLPCYTTRLGSSCQLQNGDICKQLADHIFNGKMQPTFHSANNYRIYLPGSLSQVVLHAAPGYAPLPKPQSMELTHRTIEPGRGIAHSSRSANSDTESGSSTYESSSVYDSESEDNLSDRDADGSNGYSNGDDRNPQRQEDNQDAPLVHVYDACVEQGQAGQNAEENLAALISTDLTELMSKSALESWLDEAPAEPPVQDSTRTSFARVSFTNRSFERKPKLHVLLDSSDSNGLSILYAFSSDLSPRSRLLVCIDMYFENVTTHELTDITIKCEESSSSEDGVGTSEGSPSIPTIVPMEEIRSLAPQQMVKMVLQVHFHHHLLPLKLFVFCNGKRHPAKLHPDIAYFVCPLPMDLNAFLCKENQLRGMFEYARRCTFKDHLQKLEHSEGGEHTDKNLVVAQSLASKMLSNSNVHLVSMDMPVTFSVDDASGLCWRFSSEILSTSNSCLITIVAEGHVSRPLDLTVKVNSEDTAFALNLLNRVVALLE >Et_4B_037792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23481426:23482091:1 gene:Et_4B_037792 transcript:Et_4B_037792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLIRKTHDVLTLCLMQAINLDPADAILYSNRSLCYLKTGQAQDALRDANACIKLRPEWAKCYYRKGCALMSLKEYKQACEAFMVGFKLVPTNEEMSQAFWVAAEALKKEH >Et_3A_025039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26333318:26333705:1 gene:Et_3A_025039 transcript:Et_3A_025039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKATVEDTDMPVKMQVQAMAAASRALDRFEVSDCRSIAAHIKKEFDMIHGPGWQCVVGSSFGCYFSHSKGSFIYFKLESLRFLVFKGVAA >Et_8B_059032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12652559:12657389:1 gene:Et_8B_059032 transcript:Et_8B_059032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLRLLSRAGRLQLLFPGPRRDFSASTREPLHVCVVGSGPAGFYTADRMLKGHEGAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANGRCSFIGNVTLGRDVSLSELRSTYHVVVLAYGAESDRSLGIPGEDLKGVHSAREFVWWYNGHPDMCNLAPDLTSTDSAVVLGQGNVALDVARILLRCKTDLATTDIADYALDALRGSTIRKVYLVGRRGPVQAACTAKELREILGLNSVRVSIKEADLVTAPADEEDMMNSRIQRRVYELLSKAANAHKDSNSDDQKELHFVFFRRPTRFLPSENGSTVGAVQLEKTFLKDNGVTGKQVAVGTGEFEELKCGLVLKSIGYKSLPVQDLPFDENKGIVPNLRGRVLRSDSVERGLYVVGWLKRGPTGIVATNLHCAEETVASILDDDKKGVFTFPSDLKKQGRTGLLEILEQKNVRFVPFSGWEKIDSKEKMTGQLINKPREKITTWDGLLKAANED >Et_2A_015325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1153376:1163084:-1 gene:Et_2A_015325 transcript:Et_2A_015325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSIREILGLSIFGGSRRGGGSTSRIYGPRDLKNTFLSEVAEDEIRPAVAKIEKLYGKVEAAALRNGFCFGLLDPVTNIVVNSVTSASVPASALRAEGGVGGEQVADMAIWSLSGLIAFLTRLFPYLPDAEALRYLDSAGWHPIVACCLIVRRRGMCDYDPCSLTALAEFEAALRCAAVAAEHPDPQQLVRRWKQLSPRFDEVYSGTQDVSNMVNRAEKFSYSGMTMEKSWDFAQSRLVNLLVKELPLPRAAMKRMLLATIHGFYLQALGRLPTAELCTQYHRSLLMGGYCYGPLDPTSNIILNTLWYEQRFPPTIKDLAVQMISTECLWRIAARSLYGLVSFLCIRYPALTSDLAMQRLLVARADLRAADPSLALEVGYNAPDLNWSCYQVGCKTGAGELRRGAEELQRRAVDSCTPAATAAEAYAAAATAAFHPNPSEQKELLGSQSSMLQVASTVLHQGSLFRRSSLIREFDQRQEQRPTNKGGYMFMSKCRGRFWCQHDRICSKVNIALATFNKDKEFKYKVHVICGVNELVSGPEFSTDNMSVAFTVRDRIVHPAMKGFHGGDSEFEKVLRGEPLFKGSDEDRYSNNWIITDKQHEIDWVHSVRDDYIYTSSDADTASVSNVDILEDFRRNIDFAPSVKNPIDYQIVQM >Et_5A_041240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2025264:2026007:1 gene:Et_5A_041240 transcript:Et_5A_041240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAQYKQVIRHSVLGLGTYEDMLTRVIVSRAEIDMKQIKEEYKARYKSSVMGDVAADTSFSHRNMLLALVGSESEESDDVPSPSAKTSCKCMHEF >Et_4A_034563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4326964:4330459:-1 gene:Et_4A_034563 transcript:Et_4A_034563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATPDDPQCAVNGYCAGELRWLRRCLEAAAKGFAIGAGLKGGLALFSVLVRLRSRRSPRSRKVGAMTNEEATVLAVKETVRYGMFLGTFAGSYVSVDECIAAIWGRKRTAKWRSLLAGLVAGPSMLLTGRGTQHTSLAIYILMRAAVLASRCGIKSRRFGKICKPLTWSHGDIFLMCLSSAQILSAYILKQDSLPSSYKSFLNKHGGKDLVILQGVKEIVNHTPLSNLAGIEKYYKSVGVDLKLDPNMKVPCSIVHGNQSCSWHFLSFLLQAYGRALPVYVPVYLVPALVVHRQDLMKRAWTCLLFRIFQSCNTPLVVLGTFPTGMALFIEKKSRRIEISLYCLARAIESFFTCMTDSGLCPPILQIKRADVVVFSMATSVIMHCYAQEREVFRSKYLNVLDWVFGVPPPPDNEDKNCYQQDDEAKKC >Et_4A_035950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9278554:9281340:1 gene:Et_4A_035950 transcript:Et_4A_035950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADYRTPDRLLPGAAEEPGPHGPPKPVLSAPAATATHDGLRFWQYMLAGSVAGVVEHTAMFPVDTLKTHMQANVPACRPVLSLRAALRNAVAGEGGALALYRGLPAMALGAGPAHAVYFSVYESAKSALTERLGHNNPVAHAGSGVIATVASDAVFTPMDTVKQRLQLTSSPYTGVAHCVRTVMRDEGLGAFFASYRTTVVMNAPYTAVHFATYEAAKRMLGDLAADEESLAVHATAGAAAGALAAAVTTPLDVVKTQLQCQGVCGCERFSSSSIGDVLRTIINRDGYVGLMRGWKPRMLFHAPAAAICWSTYEASKSFFERWNDARRK >Et_1A_007448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34691453:34694627:1 gene:Et_1A_007448 transcript:Et_1A_007448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSAALAPVAFRSSFSAPLASNPTRNRISMEGAFCLPCTTRKRFSYKTFRVYSLFGGKKDKDENGDEAPSKAGIFGNMQNLYETVKKAQMVVQVEAVRVQKELAATEIDGYCEGELIKVTLSGNQQPIRVEITEAAMDMGAEKLSELVNDAYKDAHQRSVQAMKERMADLAQSLGMPAGLGEGLK >Et_10A_002328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9065251:9065687:-1 gene:Et_10A_002328 transcript:Et_10A_002328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRIAEFTSSRLSDTEEDYWNGHSVVAGRGDEARILVDGASGARKFLAESPDGSKAGGRLEKIIHLSKCCQGAIRDRACIMITATDTALIVIELLVGDDEILAYRLDIETMEAERMSEVASRDVVFPCEQWRS >Et_3A_024811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24538695:24548109:1 gene:Et_3A_024811 transcript:Et_3A_024811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGSWCIPAIPGAASPVRAGLLGGAFLVAARPRAGRCRATSGRHRLGGRVVARAGAAETPVAGAGDAAAAVFSEKFPLRRCRTAWVKLDAEPDGDGNCKIVVGCDVEWVLHWGVSYDGEQGREWDQPPPEMRPSGSVPIKDYAVETPLEILPNLEGRMRYEVKIKFAKDTPIAAINFVLKEEETGAWFQHKGRDFRIPLNGSFDGGVSSEAKHDIGIWPGNLGHVLTEHDGAKAQPQKNVPGDTGLGGKSIPGFYEEYPIVKSQYVQNFVTVTVRRDNGTHKKLVEFDTDIPGEVIIHWGVCKDNTMTWEIPPEPHPPTTKIFRQKALQTLLQQKADGTGNSISFLLDAEYSDLFFVLKLDEYTWLRNLESGSDFYIPLTRVEQRGSTQVEAQKVDDKCSQADGLISDIRNLVVGLSSRRGQRAKNKVLQEDILQEIERLAAEAYSIFRSPTIDTVEESVYHDDPSSAKPACSGTGSGFEILCQGFNWESHKSGKWYVELGTKAMELASLGFTIIWSPPPTDSVSPEGYMPRDLYNLNSRYGTVEELKELVKIYHEAGIKVLGDAVLNHRCAQHQNSNGVWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQDFVRNDLKEWLCWMRKEVGYDGWRLDFVRGFWGGYVKDYLEASEPYFAVGEYWDSLSYTYGEMDYNQDAHRQRIVDWINATNGTAGAFDVTTKGILHAALERSEYWRLSDEKGKPPGVLGWWPSRAVTFIENHDTGSTQGHWRFPYGMELQGYAYILTHPGTPAVFYDHIFSHLQPEIAKFISIRNRQKIHCRSKIKILKAERSIYAAEIDEKLTMKIGSEHFEPSGPLNWIVAAEGQDYKIWEVSP >Et_8B_060834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8681838:8683298:-1 gene:Et_8B_060834 transcript:Et_8B_060834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVKFLLEAGADPNVYEEVAGEKDPHDATLFANRSLCWLRLGDAEHALFDARHCKRIRPRWSKAWYREGAALSLLKVHHQLQT >Et_2B_022051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8463639:8463892:1 gene:Et_2B_022051 transcript:Et_2B_022051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRRDQTVLVIKKAQYEGSKLQEALISLCTTICERWIKADPGLARQFNDMAAKMCSEREKPVKAFTSLVDEAKELMKKKA >Et_9B_063665.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17416614:17416904:1 gene:Et_9B_063665 transcript:Et_9B_063665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAGILISVFLSKCRSFLWLSQNICCLSMQINYRGGDYLTFSDSYCSGILFERLVWSSGYVMLLQFKGSEEAPEIFIPDMVSNAKFTLHMFLPCL >Et_6B_048889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15027455:15028484:1 gene:Et_6B_048889 transcript:Et_6B_048889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPWSSSCCAGATTSAPRAVPCAVRLRCAAGASARSWRRQGRKRRNQLSVRATAAPPPPVDYAADAGAGADTADVASLKIKLLSAVSGLNRGLAASQEDLDRADAAARALEAAGGGPVDLNKDLDKLQGRWRLLYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDVVSRDFDNIVELELGAPWPLPPVEATATLAHKFEIVGTSGIKITFEKTTVKTKGNLSQLPPLELPRLPDNLRPPSNTGSGEFEVTYLDDDTRITRGDRGELRVFVIS >Et_3B_029099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22015383:22016974:-1 gene:Et_3B_029099 transcript:Et_3B_029099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASEQAATRERGGAGDAADGDDEGKRKEAALASSRLLDPGFKPSKLSQDQLDKFKELHKKRLQIKEKQTCKRKSKGSTGWSTKVSEHCKFMDKDTSADNTPAAVHHISSPTGAQGVSDICLVILTVVHHL >Et_3A_025136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27217961:27220228:1 gene:Et_3A_025136 transcript:Et_3A_025136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGAAGGGAGEVRRINVVYFLSRGGRTDHPHLFRVNQHSRAGGVRLRDVKRWLAEIRGKDMPDNFSWSYKRKYKGGYVWQDLKDDDLITPISDNEYVLKGCDVRGTPPHCVQAPKTTPSSLDEKTRDDDKDGKTTCADRAVEVVLTQDSDESSPKPPADDQDSPGSGGEGHAVTGGNKARTTMRVARALHNMLTCGAADADDAALRPVAPRQRREAAGGHDDWLPPTPPPTCPGIGMDGCGLRVSRKARLRKGGKEKQGKRDGGKRDGPGGVHKPAALPRCSQCGKEFKPQELHSHMQSCRGLKERMRSGSTGSGRVSVDRSRDFAARAAELHRSKPGRTCTPERPSPASAVFLLTES >Et_2B_020172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17634436:17643265:1 gene:Et_2B_020172 transcript:Et_2B_020172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRALPSGGAALAGFAPPWLAVLGVAVVSVWAISLAILLCGHSSDKKPGKMKPGASGAPGATAAALSNMTLFNATSGATTGGGVGPSGGGGCFAGPGPGCPGGGYGAAAAGGGVGPWLVVLGAALVSVWAISLAVFLCGHSSEKKAKKAQKPREETKATTSSPSSSSATTSSASSSNATGALFIAAAVAGATAASSSGGGACCGGGGAEAVETGEVAEAVAAAAGVGVAEVAVAVAARSESIFSLAEAEA >Et_5A_041594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24747204:24760299:-1 gene:Et_5A_041594 transcript:Et_5A_041594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSPASAVPDSDPPPYVVEDCLGIVQLLSDGTVRRSTDYSSLPLLGDVPSDLPVQWKDVVYDATHALRLRVYRPTTTAAGAGEEEEEEGKKKKLPVLVYFHGGGFCIASFEVPNFHAGALRLAAELPALVLSADYRLAPEHRLPAAHYDAESVLAWLRAQAAAASGADPWLAASADFGRVFVCGDSAGGNIARHVATQHGLGRLALAPARLAGCVMLWPYFAGEERTPSEAASLGDAGEFMGAVLFEQMWRLALPVGATRDHPAANPFGPGSVPLDDVDAFPPALVVDPDRDVLHDRIVDYVARLKAAGKPVELVVFAGHGHGFFVFEPWGEASDELIRVIRRTTYLLHLSHITMSSTASPASGGVPGEATPHVVEDCLGGAVQLLSDGTVKRAPPPPLVLSDEAPSPAAAPLPAVVLSADYRLAPEHRLPAAHDDADSLLAWLLAQAAAGSSTAADPWLAESAVDFGRVFLSGDSAGANIAHHLAVRVASSGGGGLAPARVAGCVLLWPYFGGERRTASEAACPADAFLTPALSDQMWRMALPAAATRDHPAANPFGPDSPALDAIGLPPLLVATGDSDMLVDRIRDYVARLQAMEKRVELVKFAGQGHAFAIFRLETEDAGELVRIVRRFPHVVAQDVRGLVQLMSDGTVRRAAEPAASVTADDDDDDCGVEWKDVTWQPDTDLGARLYRPRHLGAANDARIPVVAYFHGGGFFCLGSCRWPATHAWCLRLAAELPAVVASFDYRLAPEHRLPAAQDDGARAMAWLRAAAAADPWLAEAAADFARVFVAGDSAGGNIAHHVAAAFGKTGLGAPIRLRGHILITPAMTPTRAETEARAPPPDYASPLTTADVIDGYARLFLPDGATKDHPAINLAGPQAPPLEAVPMAPVLVVAAERDVLRERHAGYARRMKEEWGKDVEYVELAGVGHGFLQADAWSESADELVKLVRRFVVEHMDSE >Et_2B_022904.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:7548055:7548528:-1 gene:Et_2B_022904 transcript:Et_2B_022904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSTSASSRSSRSCSFFGLPADADAEDIDYLLGDVAHALSRVDAKRGVLQERIATASRGRGKRRASRRRPPAAPAADDAGVALPLLPPPVDDHYDGHGAVYTRKGAAGVVRRRLRDATGDAKKERKRLEAVCGDLEEALVDAKELLALQGGGTA >Et_5B_044182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21078648:21080418:-1 gene:Et_5B_044182 transcript:Et_5B_044182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADLAEAGEQGKDAVDKLTYDIFSILESKFLFSRGVSSGAGGLPCSRVPGKVCVLSIDGGARPVDGLLAAASMVRLEAALRRRATGGGGGKQQHQDARLADFFDVAAGSGAGGVLAAMLFARDPRGRPMYSAEEALGFLLRRVRRGRWPAARAGAGGLLAPRRRTASAFGRVLGELTLRDTVRPVLVPCYDLATRAPFLFSRADAAQSPAHDFRLRDVCAATCAGAAGAAAAVEVLSVDGATRIAAVGSGVALGNPTAAAITHVLNNRREFPDAASIDDLLVISIGTGDAGGGRSKPPEMARIAAEGVSDMVDQAVAMAFGQSRTSNYVRIQGTGARRGGGRAPRTACGGETTEQAVWKAEAMLLQKSVESVLFQGRKLAGETNAEKLERFARELAKEHSRRKQQQHAAAAASPGDAQLPTLAQNVITTPASGVVASSDAAVQAPLARRRRRTAVRTAAAEATRRAAETSTSSVPGQ >Et_1A_007604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36314685:36315704:-1 gene:Et_1A_007604 transcript:Et_1A_007604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSSGSFKKYADLKHQVALDDLESGVGGVVEGPNLDRFFEDVEGVKEDLRGLESLYRRLQSAHEESKTAHDARAVKALRARMDADVDQVLRRAKTVKGKLEALDRANVASRKLPGSGPGSSTDRTRSSVVAGLGLKLKDLMDDFQGLRTRMAAEYKETVARRYYTVTGEKAAESTVEALISSGESETFLQKAIQEQGRGQVLDTISEIQERHDAVKEIERSLLDLHQVFLDMAALVEAQGHQLNDIESHVLHASSFVRRGAVELETAKEYQKSGRKWMCIAIVAGVVLVLVIVLPIIVNLHLLTVR >Et_1B_012435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3233929:3237564:-1 gene:Et_1B_012435 transcript:Et_1B_012435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TAPTLFVPTAPTPVALLSPVAFHKTCRAAPHCDSGDRLGPKQQTNQPFSAPCLLGLVARRSPRPSPPPPPQQQQILPPEVRIGSADLLGNKFPWRYSPRVRQLASSAPEAAMMAASTSQHTLSSIKLSSARSFGIEKRTCFGHSVSVPRHCTNARNLGLVCASNSQSSVIEPVQLPSNPKSSIIPKKSGESALILIRHGESLWNEKNLFTGCVDVPLTPKGVNEAIEAGKRICNIPVDVIYTSSLIRAQMTAMLAMMQHRRKKVPIIVHNESEQAHRWSEVYSEETKKQSIPVIRAWQLNERMYGELQGLNKQETADRFGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIVPQLVAGKHVMIAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPAGPSEAGVYAYTKNLAQYRQKLDNRLAADIFIQTTHRHAFFPSSRFNAHHIYKHDLS >Et_2A_016894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29181810:29187455:1 gene:Et_2A_016894 transcript:Et_2A_016894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQAQDYAAAAMAYAQAQQAPPPPQYGYHPQTQYPAPHQHAPPPYGAPPHPQYAHAPYARAMPPAYPHLAPHQQPPPSYAAHPPHVMSTPSPPPAHHHYMHPPYDSAPPPPMAPPPADPELQKRIDKLVEYIAKNGPEFEAVIRDKQHDNPDYAFVFGGEGHAYYRYKLWVSPRPPVAPYPPGSMHMMPPMGPMMRGPPMHQPAYPTFYDPHQQYVAPGHGDYETAARFKGLSGPLPTEVAAELHEVLNNLNGTKESIKGAKTWFMQRSPFAPAMAEALRDTLFTLEDSERQLHIIFLVNDILFESLQKRANIRDLDTEALAFKPLLGPMLARVYNNPQRQDDSQVRLEKILQFWSSKEVYDQETMSNLEREMKGGAPHPMAPRHASPDPSTFSGSVPMPSKWSSEPPEKDNAYPVSVPPVQFPVNQLPGGVFPPVGQTTFPGSLPVQPSLPSSVLPQSAAPATTNDSNPPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTVIPPSTVPESEILDRVSKFFREIGEVNPSEGPMKQSEPDDYDNYERDLPTRKGGACIPPPPNLLVNPESGMRADGTVDSKPGSSGRLGLGASADPNEVSQYDDVYSSYRKQRSTTYHSSISVRSLTSFGVQNLKPNFENRKV >Et_5B_043172.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:14613581:14614000:-1 gene:Et_5B_043172 transcript:Et_5B_043172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSARPAQIWTMAGHHTIILLVVVLAAAMFAAASASSSEESKSRPSILMPVADTPLGSFEGADGPVADDALEDEDAAPVGAPLGTTMTGPKPLLFLTPPGFPGEGEDADDTSGATAVSALQLGCSAAVVVAAAGVFAF >Et_9A_062269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21364719:21365680:1 gene:Et_9A_062269 transcript:Et_9A_062269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIIYGLVQAAGRGTSEQRAEDAATGATRLARADDAPERDVTTPLATVPVVNPTVTTTTPLPTATPATRTPPSLANPVAGGGGSWCVASPSASPTALQVALDYACGQGGADCSAIQQGGSCFNPDTVKDHASYAFNSYYQKNPVQTSCDFGGTAVLTSTNPSTSTCQYPGTSTGASVLNTTTPLTPTYGSPGYDNSPPAGAGYGYGTGNSPPLYGSMSPPAYGDNISAAANVLPGGKMTALSLTTCLLIATLSLAR >Et_2B_021404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29402002:29406500:-1 gene:Et_2B_021404 transcript:Et_2B_021404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRILLVTVALFMLHVACRTDASRFYPTSQELNPKFHALINKTNSTQRLIDQVYPNTDMVYFAYHGAVLALAQDGYYGFIGTMDVYGLSLTPGQGSAAAVWIQGGGDGTPSNMKYIMIGWFIQPSMNDGFQSTGCINMKCAGASISPGGVIEHVSSPNGAKKNLNLKIIKDGTSGDWLVHVGINRDPELIGRFPRSLFSGGFADRAAAVTFGGVVAGPITNPPPMGSGHLPTDDKSAASISNIQFIDKNGHAWPLTENLPKFETNQNAYAVSPIVDGKRILLVTVALFVLHVAHRTDASRSYPTSQELNPKFHLLINKTNSIQRLIDQVYPNTDMVYYAYHAAVSALAQDGYYGFIGTMDVYGLSLTPGQGSAAAVWILDEGDGTPSNKKYIMIGWDVYPTEYGDSRTHFYTKWTNDGFQSTGCINIKCAGFQPEKGAHISPGGIIKHVSSPNGAKQNLNLKIIKDGTSGDWLVHVGINRDPELVGRFPRSLFTGGFADRAATVVFGGVVAGPITNPPPMGSGYLPTADKSAASISNIQFIDKNGHARPLTGDLPKFETNQNAYAVSPIVNEKFFYGGHA >Et_1B_013425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8285098:8290627:1 gene:Et_1B_013425 transcript:Et_1B_013425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSRKRSQLVDEGDGSQTTTRLSKTSSLKWLLLALPRSSSDVSRKGQAKGPGRCPSLMELCVARVCKDIDKYSSFAMLPRDLSQQIFSELVGSNCLTEALLESFRDCALQDICLGEYPGVNDPWMEVVASQRQSLLSVDISCSEVTDSGIALLRDCSNMQSLACNYCDQISEDGLDILSGLSNLTSVSFKRSNAVTAEGMRAFANLINLVKLDLEGCLKIHGGLIHLKDLTKLESLNMRYCNNIVDSDIKYISDLTNLKDLQLSCCKITDLGVSYLTGLSKLTQLNLEGCPVTAACLEAISGLASLIVLNLNRCGIYDEGCENFKGLKRLKVLNLGFNYITDACLVHLKDLKNLESLNLDSCKIGDGGLSHLKGLVLLQSLVLSDTEVGNGGLGHLSGLCNLQSINISFTLVTDDGMKKIAALSSLKSVNLDNRQITDIGLAALTSLTGLTHLDLFGARITDYGTNCFRYFKNLQSLEACGGSITDAGVKNIKDLKALMFLNLSQNVNLTDKTLELISGLTALVSLNVSNSRVSNAGLKHLKDLQNLRSLSLDSTRVTANEMKKLQATALPNLITMRPE >Et_1B_011597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2405190:2410443:1 gene:Et_1B_011597 transcript:Et_1B_011597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGEKRHFFPLTSLQIGDLQSYLAELTIFLCPDTKKFLILLDNRPWLVDQDTKPAHLWQLMVTKSRLSPFANTKSRRMRNETDGDVALSERVSAPRMLNKSSRWYSVIDEAMREKKIQVNKLKDSRILNRELHQTLYGFIIFEVDWADVRGINYLNELQTDTSMAVESKTMKRWEFESVNQASELISCWFSGNNSERELLQDFLNCISSNGDVFYDAPNYLLPSEWDNENLMSDNDDAQCITESSYTQPPYAGPYKKRKIIKSDSGSSVSEESYSEVVTSPRYSSSPCSSCSGDNECVNQLLEPCTYKDVLICFRFNDHDLPFRLKEVILSDVRLLTLLEYGLPSWVIFLQSYPVFCKIYRPWMCPLARALYVLMSLITVLIGFYDLYKNVPMLKATASRLFGPFFDWIETWEMITRLKYLGTMLFLHNFQQAFTWSLKIVNAAKSALSVLTKPILGPLLEVFEFTLPIWNLFAETVGYLSSIVMVSLETSWSAVIGTMQMIIWPFWFVFSTVLNIVNSVIYPVVWLIVEILAAPFHLVVWLVNFVAELFVDAVSVLKQTSSALSALYQVSSVPRPSGLSSESSIWGSLWKDLLYQIFRAIRSILYGFVAFFSTCNRHRLSIYNHIQVFLGRLSHVSTRARYPTSREGARKYTSQNQPHGTGIAGPVHPLILFCLCCPSSPFCVHIPGIAQLHMDETQPACMPPHITHGHVGLVDRSMCATLPDLKALISHF >Et_3B_030538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4871343:4873079:1 gene:Et_3B_030538 transcript:Et_3B_030538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRSERGSRPTAEQESDETKTAPCSGKPGQKRRVQMGEEVVRGRLQGGGGGRGESVAAGRRLDREIYVKLDSQVLDCSICFEPLKPPIYQCEVGHAVCFKCRGKLCNTCPICCRGIGYCRCFALEQVVDSIKMPCSNANYGCYKIITYYMKEEHENTCMYAPCFCPEDGCSFIGSTGLLLNHFVVEHKWSQTNFSYSKALRISVKRHSRFTLFVGEDMSMFLLVNIFAHAGNGLNLVCIRPHESGSSYSSKISAVHSAEGDKGRYVFQMDPHVASSSLNGGVQLGRFFLLVPPDLVDESTDELTVNIRIEKIENASSTNH >Et_1A_007033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30243348:30244972:-1 gene:Et_1A_007033 transcript:Et_1A_007033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFLVRRLSTAAGAADKRVPAASRFPNRPPSPRRSLGGELHPRVLAVGNPLLPLAPVLEEWAREGRTVEKTVIQAIIKKLIGLSRFAQALELSYWMTDRRHLYLSAGDVSYRLQLIAKVHGLERAVEYFGNVSQNLKKPQCYGSLLQCYVEAKAVDKAEDLFAKMQEMGMKTAYSYNVMMKLYLETGQLERVHTMFRDMEEKGVDPDMFSVDNLVAAYSAAEDIGGLEKVLDEANPHEKLLSWHGHAISAKVFMKAGMQERAIKALLYAEKRITKNTARVAYGFLLNAYTDLGMYPEVERIWGVYKSKVHNYSSMYLCRISVLLKMNDIDGAEKALNEYESKCSSFYDFRLTNVVVGAYCREGLVEKAVALVDDAMEKGRKPYSNTWYNLAGGYFKNGQVLKAVDMARKALASATYRWKPDLTYVLMSLNHFMDQKDVQAAEEMASMLQKFFPLTRDVYHCLLGTYVRAGKPVSELLDRMKKDGLDADEETNRIIAGDCQ >Et_7A_050627.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:858151:858315:-1 gene:Et_7A_050627 transcript:Et_7A_050627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAEVVARPHSSLLKKVLVNYAYAFLRKNCRQGEKMLAVPKAQLLKVGMSYEI >Et_3A_025621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31339011:31342853:1 gene:Et_3A_025621 transcript:Et_3A_025621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSKAAAAAAAGPVALEDLFSTLHRHIQAGDFPQAAKVADQVLKAAPGDEDAVRCKVVAHIKSDETDKALAAIRAAERLPIDLSYYKAYCYYKQNKLQEALELLRGQEESSSLLQLESQIFYRLGRMNDCIDSYEKLQKFKVDSMDLKINIIAALVAAGRASEVQATMKAQKVDLTTRALRDARSYELAYNSACSLIENKKYTEAKEQLDLAKRIGKEELMVDDFAEDEIEYELAPVSTQLAYVQQLQGQTQEALGTYVNMTNEKLADPSSRAVATTNLISLKGTKDAADSLRKLDRLIEKSSAPNQLQLVGSLDFKLYPRQKEALYSARVLLLLHANKTDQAHELVNGLLGMFRDNVFPVLLQAAVHVREKKVQKAEEVLSQYAEKHPENSKGVLLALAQIAANANHFQLAADSLAKIPDIQHMPATVATIVALKERLGDSTAAAAVLDSAIQWWKNAMTEDNKLDVFMREASTFKLSHGRDEEACLLYEELVKSHGSVEALAGLVATSARTNLVKAEQYEKKLKPLLGLKGINVESLEKTSGARHVDGTQEIKGDSPDEPKRPKPKKRKRKPRYPKGFDPTNPGPPPDPERWLPKRERSSYRPKRKDKRAQVRGAQGAVTREKHDTGATAATSSSKAPAASSDLPKASNKSKKKKSRS >Et_4B_039361.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:11771556:11772053:-1 gene:Et_4B_039361 transcript:Et_4B_039361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLGTRRPPAAALNAGAICAALLLLLLLLFPAAAAQAPADAAETSEAAGDGNSTRGGGGARGGGGDGGGARSKLVSSIDCQICEATCRVKCLINNLFQWGGCYQRCKADNCNVGAGSSSNGLLIDHVVVSRHSRSRRLFRDQGHKAVALFLFFCISLHPTLYEH >Et_6B_049231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2061975:2062532:-1 gene:Et_6B_049231 transcript:Et_6B_049231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTQDTLGAVLRRQYVEHVAAEAREKNLAGLEYRVSSIHGLITGGDDSDLIFVSTQSRGTHCFDTVTHRWSDETDGWWQWQPPPLEGKLEHDPELSLWFGFNGSCLRATASTAASSRLSFTKASATMLTKGNTIKFVL >Et_8B_058719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18370332:18371969:1 gene:Et_8B_058719 transcript:Et_8B_058719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNKRPQAGSSTLPAIKVVSRRLVKASDPSIEPYVLPVSNLDLTNTIQGSVVCVYPKPSNGGDFRGITATFETHLPSFLNYFFPWAGRIVRNPTSGLPELHCLNQGAELVIGEVKVALESLDWAQSRASLKRIQVPYGEDVALSVQVISFACGGFAVVWASNNIMGDGNTAMRTVPRDPPTYSAEFAEMFRPVDHERSINALTVQDSTVERLYYVEARDIARLHKMASLNSKQRVSRVHAFSAYTWKVLAGVVAASPRLSEEEKRCGILWWVDGRSRFSSAHLRAALRHHLGTVCFYAHAAERARAVLDRPLADVAAAVRDAIASRDYDALFREFVDWAEAHMKHGHGGTTTFAETPTVGLGNPTLAQTMWCSFPTDMDFGFGQASLAMPVDACFERLGASLMLVGSRPGGTGRGSSARRCGGALRRRWRPTSNASSSPSRPSSLVSPLRKRIFFLLQTSCRCLDSKSACMHPHHFYCSFYSSISCCAVSFLYHPPPTPYQCWYILECNSFSVE >Et_3A_024111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17515864:17522770:1 gene:Et_3A_024111 transcript:Et_3A_024111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIISFVTPISKESEMRDNSSLPELDEGAMKCQALASDTVGLDARVGGAVFKPTELEDNVQVYDAEMYVYGNYTGHALPLAFLISKSTGKPIKGYPVTVEVLEDSCPASSANDHHPAISSFDCLMESRISVPRQARSLRIPICSRKIPEHDLDKSWLPHTQSFTSFHKSPTQEERSSRKPVVAEDSTHPICSAVNPTSSSRELQRFTSFHNSPTREESSSRKPVVAEDSTHPIRSAVSPTSSSRELQSFTSFRNSPTREERSSRKPKTPRTRFVRPSIQHHLQGNCRASHPFQILGAMGSFAGEEEYPGGVTGADAEVGALVWVRRRNGSWWPGRILGMDELPENCVIPPRSAGTPIKLLGRPDGSIDWYNLEKSKRVKSFRCGEYDECIEKAKVLARQQKRSHTEGATGRYVRREDAIMHALEIERSRFPNKDDDLEEDSDDDMGPSQNFYSAKSKNINGLNKRTRNTRGLYDTEENSGQDMSHALVVYKQPQNISSSSTRYASSSGKRKRKGRKNSKDDTVRGSQRMRDLREIGTKNVPKQKFGAGIFSDGHPDVPLLESGPSFGYDLSSSNGIKKSKQSQSIKRKRSNIGQSYENSRKKDRRRPLSQLCEDSEATIPTFCPWNSSGQSSSQYQGDQMPNLFEPSRGRTSVSTDVNNCSYNSGASSLDTLLDTSCTNHAGPAKATVKDGEGDVLEEGHLNTYGSCTSIKDQFSKLNNQTTDSSKVGMSSVQHHRSSKKKSLSSGTPISEESNMDKNLLLQQYEGTIKLDGSICKATELEGNMRSVMPEHDESSETISNHSNSEKGAVSFPYYVPLQVIMPEQQPDLKPQRCHVMRPTKRALADCGLYDVEVAVQRSYKGHHVPIVSLMSKWTGKPIVGYPVTVEVLEDSRPTASRDERRPAMGSLDSLLRSGAAEPRQARSSHKSRPKASGRKKVSDHDLDKSWRPHTKKPASSPRKMRRLSSFAGSRRESVNRNPVVAKTSGPTVACVPLRLVFSRINEALSFPVRQENPT >Et_1A_007538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35703442:35708144:1 gene:Et_1A_007538 transcript:Et_1A_007538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFINFVIRPPRYTSLAFPRKYFEPSHLLLVLIRRQNIIQISIYGSRSLSLQDGTYKSERPDLEMQSLCSCLHPRKDFPSIGCRADANEAAVILLPSNITVFTLDFSGSGLSGGDYVSLGWHEKEDLKCAVTCLRANKQVSCIGLWGRSMGAVTSLLYGAEDPLITAMVLDSAFTNLYDLMLELVDVYKIRVPKFTVKMAVQYMRRIIQKRAKFDIMDLNVLKLAPKMFIPALFGHALNDMFIQPHHCDNIHLAYGGDKNIIKFEGDHNSPRPQSYYDAVSIFFYSILHPPQLPTARSNKLHKGAFKVENITNESLFFEIINGMQSAGTVACSSSADALKIPNASGSVVELLSESMNQMSIKNETDLDFLLDENHDLSEMDGDNVVSHLQDKTRRQNEESCSYTSSKRESLGRCSSFGAASDGSLLGDANDKQENMTVKALATPLRQKPSKPVQKTKEKKIQALWKKLKREKVEMGDNLSQRFKVCLGHKRTKSSGVVTT >Et_3B_029065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21793807:21794369:-1 gene:Et_3B_029065 transcript:Et_3B_029065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAQPNHPSKGRLI >Et_1A_009545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7855534:7859298:1 gene:Et_1A_009545 transcript:Et_1A_009545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFRCFSVKIKKNIKGERRRKGPAPASPVAASFLSTNVSVSTLSGSTVSSSAVGTSHSGDSSVAVRPAVSKSSGSASVSSARSIPELYEERGANSLREFGFRELQAATNDFSRLLKVGEGGFGSVYKGVVRLPGGPAGGTVVAIKKLNPNGHQGHKQWLAEVQFLGVVEHPNLVKLIGYCAARSERGPQRLLVYEFVSNKTLDDHLFNRAYPVLPWDIRLEIALSAAEGLLYLHEGLEVQIIYRDFKASNVLLDEEFRAKLSDFGLAREGPSAGDTHVSTAVMGTYGYATPDYIETGHLTTKSDVWSFGVVLYELLTGRRSMERNRPKNEQKLLEWVRQYPVESKRFSRIIDTRLDGCYSKQGAREIAKLANNCLAKQRKDRPTMREVVENLKQVTQRKELDRDVSASGENSPPHKASGKTTAEDVAVASARRRMLHLAALETNFKSIS >Et_5B_043907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17493724:17494114:-1 gene:Et_5B_043907 transcript:Et_5B_043907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNGGGVLQGILTMFVFVILLGSLALPAHCNSVNITSGRRQLLGVGSLVAIAANSTSLDERKIKLVFCTIVTCDYLTPTPETCYCCPDMSKNEYCHLTMEECKNNCATCNP >Et_1B_011024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16706305:16711082:-1 gene:Et_1B_011024 transcript:Et_1B_011024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEMPCLQDVFSQSQQCPNEIRKYQKSTDLLIRKLPFQRLVREIAQDLKTDLRFQSHAILALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERT >Et_1A_006649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25683580:25698993:1 gene:Et_1A_006649 transcript:Et_1A_006649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFASRHAVAEAAPAPASSTGAGAEEPEYLARYFVVKHSWRGRYRRILCIASSGVVTLDPATLNLTNSYDAGAEFDRAEALAANDEFTIAVRTDARAKFKAMRFSSPLRAGILTELHRLRPVHKALDFPVLHLRRRTHEWAPFRLKVTSVGVELLEASGHLRWCLDFRDMASPAIVVLANGYGNRTAEGGGFVLCPLYGRKSKAFMAASGSTNTTIISHLTKTAKSMTGLSLSVDNSQSMTAAEFIEKRAMDAVGAAETRHGEWSVTRLRPAAHGTANIESLSLGVGPRGGLGEQGDSVSRLLVLTNTSLVERRPENYEVYASTSRDNLLATVLDVLQNQRQSAIPVLPRLTMPGHRIDPPCGGAHPQIPHHGTFDMEAATMHIKHLATVAKEAVVSSDTIPGAKIRLWRRIREFNACVPYTGVPVNTEVPEVVLMALISLLPATPQNLPADAPPLPPPSPKAAATIMGFVACLRRLLTSRSVASHVMSFPVAVGRIMGLLRNGSEGVTAEAAGLVAMLIGGGPGDTSTLMDTRGESHATYMHAKSVLFSQPIYVPILVNRLKPISVSPLLSLSIVEVLEAMLCEPHGETTQHATFVELLRQVAGLRRRLFALFAHPAESVRETVSVIMRTIAEEDAIAAESMRDAALKDGALLRHLLNAFFLPDGERRDVSRQLVALWADSYQPALDLLSRILPPGLVAYLHTRSDEDSQSQYDEAPLSRRQRRILQQRRARGSKTMATPEQGMPQNGVDDGELFRHTNMGTYEGADAHQRHVGQYPSVNAPSPGINIDYSPAVSVPHGSVPESLSDNNYLTGAPQMDSHVYSVDSSGNGNLISSTHSDFSVPAQVVVENTPVGSGRLLCNWFGFWKAFSLDHNRADLIWNERTRQELKEALQTEVHNLDVEKERTDDIVPGSSVTEDAGGGDNLPRISWNYAEFSVRYPSLSKEVCVGQYYLRLLLESGSNYRAQDFPLRDPVAFYRALYHRFLCDADIGLTVDGAVPDELGSSDDWCDMGRLDGFGGGGGFSVRELCSRAMAIVYEQHYKIIGSFDGTAHITVLLDRTDDRALRHRLLLLLKALMNDLSNVEACVLVGGCVLAVDLLTVAHEASERTAIPLQSNLIAATAFMEPPKEWMYIDKDGTQVGPFEKDAIRRLWSKKSIDWTTKCWASGMSDWKRLRDIRELRWALSVRVPVLTPTQIGDAALSILHSMASAHSDLDDAGEIVTPTPRVKRILSSPRCLPHVAQAMLTGEPSIVEAAASLLKAIVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIAQLFSATHTHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESMLYVLERSGPSAFAGAMVSDSDTPEIIWTHKMRAENLIRQVLQHLGDFPQKLAQHCHSLYDYAPMPPVTYPNLKDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLAMWREELTRRPMDLSEEEACKILEISLDDLVLGENGSSKQASELGSADLGNKIENIDEEKLKRQYRKLAIKYHPDKNPEGREKFVAVQKAYERLQASMQGLQGPQVWRLLLLLKAQCILYKRYGHVLEPFKYAGYPMLLNAVTVDKDDSNFLSSDRAPLLIAASELIWLTCASSSLNGEELIRDGGIPLLGTLLSRCMCIVQPTTPANEPAARIVTNIMHTFSVLSQFESGRVEILKFGGLVEDIVHCTELEFVPSAVDAALQTAANVSVSSELQNALLAAGFLWYVLPLLLQYDSTAEENETSETHGVGARVQIAKNQHAVRATYALSRLCGFSSDGISSPSNQAAYSALKALLTPKLADMLRNHPPKELLSNLNSNLESPEIIWNSSTRGELLKFVDEQRASPGPDGSYDLTESQSFIYKALSEELNVGNVYLRVYNNQPDFEISDQEEFCIALLKFVEELVQKWNSIQLKETSMDQHDSVVDTSTSENVKVSDSVSEGKEDNSLEKQNTGTDGGSEVIITNLQSGLTSLQNLLTSNPGLAAVFASKERLIPLFECLALHVPSESNIPQICLSVLSLLTKHAPCLEAMVAERTSLILLFQILHCNPHCRDGALAVLYSLASTPELAWAAAKHGGVVYILELMLPLQEEIPMQQRAAAASLLGKLVGQPMHGPRVVITLARFLPDGLVSAIKDGPGEAVVSSLEQTTETPELVWTPAMAASLSAQLSTMASDLYQEQMKGRVVDWDVPEQASGQHVMKDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYVSSVAATHYEANAVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAMAYEGRRETMASGQDTSGSQEEPGEHEKSDGHSETSVVQTPQERVRLSCLRVLHQLASSTTCAEAMAATSVGTPQVVPLLMKAIGWQGGSILALETLKRVVGAGNRARDALVAQGLKVGLVEVLLGILDWRAGGRQGLCNQMKWNESEASIGRVLAVEVLHAFATEGAHCAKVREVLNSSDVWSAYKDQKHDLFLPSNAQSSAAGVAGLIESSSSRLTYALTAPPPQPALVRLPSTAPSPPSAPANPSGRHSYQHS >Et_2A_016289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2356197:2360251:-1 gene:Et_2A_016289 transcript:Et_2A_016289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRPRPPPPPPLDDRDLAADVLNLHSLWHRGPPAPAAAPAPTAKQSRSARHNANRRKRRRMERAAAAQAEDAGSDRPLEPRKRRRKERYAAESQGAGSPWPRAPSPSASSEARPSASPRAWPDAAPVPAKPPPQPRSPGSLAQREALRAAAEFFAQYGSDDEGSESEGEEEEEAAAAFFTGMFERDAALRGHYERGWEEGTFACLACAGRKARRGNGRRFLRCVGLVQHARDASRNWRRGAHRALTAVVCRVLGWDIEGLPNIVIDPRGTLGQALASRAQSDAHEAKVIEQSDCFLLAVATFNCLMDVETKKKDSSTGVDGAVNELVTKESAEMEGVGEIGPLNCKDSSKNNVVGHETIQEEDAVKTRMDEPISTDGEKESSDKDNANKDDSLSQDNNGVVHEQNVAREITEKELNATEENVDENKEHANSIS >Et_8B_058535.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:14193436:14195856:-1 gene:Et_8B_058535 transcript:Et_8B_058535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSGEQKKRAKPPRTSATVLELKHHSLATIPDDRDRYLRRLLAADEARVRSLQPHSAKTAAAAAASTAQSSQQAAAAEVPLTSGIKFQTLNYVTTIQLGSGGAASNLTVIVDTGSDLTWVQCKPCSSSGSGCYAQRDPLFDPAASASYAAVPCNASACAASLKAATGAPGSCATTGGSGERCYYALAYGDGSFSRGVLATDTVGLGFARLDGFVFGCGLSNRGLFGGAAGLMGLGRTALSLVSQTAARYGGVFSYCLPAAADAAGSLSLGESPSSFRNTTPVAYTRMIADPSQPPFYFLNVTGAAIAETRLAAAGFGAANVLIDSGTVITRLAPSVYRAVRAEFARQFAGYPSAPGFSILDTCYDLTGHDEVKVPLLTLRLEGGADVTVDAAGMLFVVKKDGSQVCLAMASLAYEDQTPIIGNYQQKNKRVVYDTVGARLGFADEECSYVYKRERA >Et_1A_004893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14642967:14644022:-1 gene:Et_1A_004893 transcript:Et_1A_004893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCKVHSGLMTGQQFNHLKSGQENSTVTCSEQEPHVKYEGDGRYKLKDSSGNTQSETAQYVFSGAGMPCKHENCSKQAQENAVYCKLHGGVSKGCMVRGCTRGAHGGTPLCIGHGGGKRCIIPGCPNAACGQGRSDRCVRHGGGKRCKFDGCAKGAQGNTDYCIRHGGGRRCKFEGCTKSAQGRTEFCIRHGGGSRCKFQGCGTSAKWGTDFCSVHKKSLSGDDAIPEALPVSTEKRRRAKKPKKAVQPSGAPQEKATTVAIAGSSTQQLGVLRIATGAPSPDMLTKGVTLTGQVAIAPPQIVAPLSMKSPTQSASVVSAETEAGANRAMLGL >Et_6A_046178.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7921266:7921433:1 gene:Et_6A_046178 transcript:Et_6A_046178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWMIWKQRNDGVFNGTLPNVQTTMSFIEEEVRLWGLAGARELTRLPAAATIGP >Et_8B_060337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9852663:9855745:1 gene:Et_8B_060337 transcript:Et_8B_060337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLLLLCLLPLADAAGAVSGDFTALIAAKSLLSDPAAALSAWDPVVSPSPCRWPHLLCSSNRSASAAPDVAALLLSNLSLAGEFPAPLCSLRSLARLDLSYNSLSGPLPACLAAALPSLRHLDLAGNAFSGAVPASYGAGFPSLATLDLSGNELAGAFPGFLLTNLTALEEALLAYNSFAPSPLPPSFSGDSRLRVLWLAGCGLVGAIPASVGSLRRLVNLDLSTNNLTGEIPTTIAQLESAVQIELYSNQLTGGVPAGLGALKNLRFLDASMNRLAGEIPADLFLAPRLESLHLYQNRLSGRLPATLARAPALADLRLFSNRLAGELPPEFGSHCPLEFLDLSDNRISGRIPAGLCAAGRLEQLLLLNNQLVGPVPPELGECRTLTRVRLPNNRLSGAVPPELWGLPRLYLLELAGNELSGEVAGPAVAAARNLSQLIISGNRFTGALPTELGTLPSLFELSAAGNGFSGPFPAVASILAVAGVVFVLGVAWFCYKYRSQRRRERRAAVVGGGDGRPKWALTSFHKMEFDGDDILGCLDEDNVVGAGAAGKVYRAVLRHGGEDVVVAVKKLWGGKALTEAAGAKDTFEAEVATLGRVRHKNIVKLWCCFRGGDDDCRLLVYEYMANGSLGDLLHGGGHGGKGGGAVLLLDWPARHRIMVDAAEGLAYLHHDCAPPIVHRDVKSNNILLDADLAAKVADFGVARVVAAGDAMTAIAGSCGYIAPEYSYTLRVTERSDVYSFGVVMLELVTGKRPVGPELGEKDLVRWVRGAVECGDGGVDDVLDPRLAAASRDDVVRVLHVALLCTSSLPINRPSMRAVVKLLLEATPLPPSPSPPPERKSTAAAEEEPLDV >Et_7A_052400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7627801:7630309:-1 gene:Et_7A_052400 transcript:Et_7A_052400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVDKIAEPKDPLVVTARKIQSLEPPIPIKASWKGKNSQQHDEKDLLADGEESFRSLDSSDEGGRSSFSGASHPPEPIDMDIMKTVYVAIDEAKSELPVSLVRGLSAKGPFIDDLSVRVTGTKANVVVATGGAEGLAEERKVSSSAVASVATARSSQATSLPQDSEEKECVWDASLPPSGNVSPHSSIDSMGVVTAMSTLNSCTSTYKSEAIATEAMLTVERNSGSVKGIRGDMLESAKTSMSRASDSSGVSDDSSWSHITGGANKPHKGNDPKWKAIHAVRTRDGVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRTSAFDSDPRRAGGFCVQPACIEPTSACMQPACFLPKFFGQKSKKKTRKARSDLGQSTTSLPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPESPSTSYSSRDLIRGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVEAELPVKYGVAEAIGSNSKRIVGADVKSGGKYLDFEFF >Et_4B_035990.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:11021283:11021534:1 gene:Et_4B_035990 transcript:Et_4B_035990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTRAAAMICLVVVVVAAAVAGGAASETGGAAKLGCFCDCMKNRCMTLGAADKFDCASACTQACTQIGKPGQPRDDDFCGF >Et_1B_010041.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:19940054:19940425:-1 gene:Et_1B_010041 transcript:Et_1B_010041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPSKGGVRWWARKRRANCGSATRRHQRLQTRAARGREEGCGGRRRRISARMSSSSIGWASAAGEAERSGGRSTSRVRDFGGDGSRGLWSLDWATVGSGLLTQSQTTVNFHARSLYLSNRII >Et_7B_054432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21278766:21286660:1 gene:Et_7B_054432 transcript:Et_7B_054432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TNPRHTVDSGTALLEPWPTLRLWGWGISILGWIMSPLTTKFLNEAFTFLGFDESERLRDLETRVLPQLALVIEQAEGIAPAKRARVEQWVANLRSAFYDAEDELDAANYNRLQQQVISQSNFQLKIDQIRHIFAGKARVAFLVLAERKVMAFVQRRKGPDVVGSFGLLQPLADGLKLILKEPISPSSANFSLFRMAPVATFTLSLVAWAVVPFDYGMVLSDPNIGLLYLFAISLLGVYEIIIAGWSSKTGGGGGVWAYRARAHKPFLSFIKGSVTFPGRDREVEVINNYLRTPEESQLRSALGPLRIRKSESNTRNGTHQVSKSTTTSGVVAELIFGRDRECQDIVKMLCKISVDDDPCSSSSLHYSVMGIYGFAGCGKTTLAQYVCNDDRVSRHFTKIVWINVPQTFDIGRIYMEMLEAATGMPSSEFSNLDTLQRKLEFVLKDQLILLVLDDIWTYKNVREEELKRLLSPLKFGKRGSKVLITTRFKEAAISFGAQIMIPVLDMGEKEFYNLFMHYALCSANLDDRELEEFQKIGREIMINLNNCSGSATAQGIKCIFLEKSSRGELIFSSDVSHLYVDMSDPMKAVQVIGKLKKLRTLIINPNGKGLTEDALHCIFMKLRNLRVLKVKIEGNGGIPDSKEDGCELQELKNLNNLHAGLKISGLENVDTKDKAMEAMLVNKKYLSELSLVWTDNHKCPMDLQVDILEGLQPPSLLVKLKIAHYYGLNCPSWFSAQNSLRNLKSLKLEFCLEVEFLPDFRDHLVHLCVLALRTLPKLKRLPQLPETLKSLEIVSCESLVLTCVEDVELLRSVFIELVFPIVPWLKDKDPIEFCKFADQEPVKCKHALRNIIDSFGELEHQSIRPGMLSIIIPLIFGWTEASYCELPQLSSLKELTISGCIITDSVLNNWLKVSRFLHKLKLDRIPFFTRISSDVIKILTKLRVLGRYQCIHFTAIEGINESKVLETLKLAIIGCPRLFTLRGDEKIRLLGSLQIDDLFNVSKILSVEACSSLKFLEVEGLEELGNEDILLRFSSLTTFWFQKCNINILPGNLIRLASLSTLVLNDCKNISSIPPLPPGLQTFIACGCNQLFVESCNKNGEPNFQMLADIPVRIVKIESYRGS >Et_9B_063989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:79937:87177:-1 gene:Et_9B_063989 transcript:Et_9B_063989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEELGRRLNAVGVSDSPTALETSNSNLFQVMRAVEDAEATIRQQLEENSRLKDELMLKTQELHRIRSEATNPTSFLDIDQDRNLETHINTFSSAPLGNKDEASKWFTTACSPPVAQSALSSHQNGVGEPMFQDAMKHKYLETSQANGIPRKFSGDQSASESAVPSQLSTPSSRSLSPSRHRKEGDYDSRFNLAARGLLPASELNSNIILKQDLLAKVKEHEEEIAQLRRHLADYSVKEAQILNEKHVLEKRIAYMRMAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQAAHQERSTFVSSLLPLLSEYNLQPSVLDAQSIVSNLKVLFKHLQEKLIITEEKLKESQYQITPWRAESSNNTSAAEQSPSHPPGNALMKANLDIVPQQAYSHIQSPVSSPVRARRDWDLLGTENRQVIPSEVAATSSEHDNVGKTSPSTSNQNMKDAVPQGTEHDPRAVRFNFESKDQNPSFKDLIRGDASENPDGAESQIPQESSTQWASGGSPNLVSGLDDANPQYPYLPTVLEEPSSSFSEVAEDDPLPAIEGLRITGEAFPGRELQASGYSINGTTSCNFEWVRHLDDGSVNFIEGARQPTYLVTADDVDSVLAIEVQPLDDRKRKGEIVKVYANEQRKITCDPETKELIKKILSIGHVSYEVLLPINIPFGRPTEFSIISSDGAEFNLKPAENAPSRDTIVLILRLFRMKFIPAVHVYLSRFV >Et_3B_027941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27681414:27681857:1 gene:Et_3B_027941 transcript:Et_3B_027941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGVFECKTCRKRFPSFQALGGHRTSHTAAASGGAGERDRARVHECAVCGLEFSMGQALGGHMRRHRGEAPPAVIDAAAEQQPPEQAMPDLNFPPALEADDQPSGGGDHDRDGSEPQLLNLLV >Et_3B_031030.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:10599218:10599577:-1 gene:Et_3B_031030 transcript:Et_3B_031030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSCSAGASLVVFLLLAAFLAACEGARGVPAEPEKPLQPQNVFGFGGFYPGPSVSWVFPGPNGVTPQVGFGGMPGSGAFPGFGGGAGVSPFTPGGGGGGVVGIHGGGGGAVGAAKKP >Et_5B_044443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2534000:2537231:1 gene:Et_5B_044443 transcript:Et_5B_044443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGSPRKREEEEEDDIVCLDPSFFVDRSYEVMTFTFGSHVLNLLCLRAASTPLTDVLMVCAADYDLTGQLVWPGAVLMNNYLSEHPETVKGRSVIELGSGIGITGILCSRFCKEVVLTDHNDEVLEARFKFLALAILLTAEKLEWGNYDHLSNIIKKHPDGFDIRRLCKFKLLTKIVLLSLMTSMDALVLKEAEKRGMHVREVDGTRRAISNLEGVIYDITLK >Et_1A_005212.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:33127720:33127929:1 gene:Et_1A_005212 transcript:Et_1A_005212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERDIDRMCTLAFIYSTDDTNCVEQLKMRRAPFMQLCDLFRARGLLRDIIHSPIEKIWGGKAYFQEVN >Et_6A_047419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5466585:5477283:-1 gene:Et_6A_047419 transcript:Et_6A_047419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGRGGKRRGPPPPAPSGAAAKRAQPSPGTPQPPPPAAAAPAAAEEDMMDEDVFLDESILAEDEAALQMLQRDEALAFRLSRWKRPPLPADLVAGCSRAVAFQQLEIDYIIGESHKELLPNSSGPAAILRIFGVTREGYSICCQVHGFEPYFYISCPSGMGPDDISRFHQTLEGRMKESNRSSNVPRFVKRVELVQKQTIMHYQPHQSQPFLKIVVALPTMVASCRGILERGITIEGLGSKSFLTYESNILFALRFMIDCNIVGGNWIEVPAGKYRKAARVMSYCQLEYSDLVSHAAEGEYSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQIANLVTLQGEGQPFVRNVMTLKSCSPIVGVDVMSFETERDILLAWRDFIREVDPDIIIGYNICKFDLPYLIERAEVLKIAEFPILGRIRNSRVRVRDTTFSSRQYGMRESKDVTVEGRVQFDLLQAMQRDYKLSSYSLNAVSAHFLGEQKEDVHHSIISDLQNGNSETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVIPNIKGQGSGQDTFEGATVLEARAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVPPEDARKLNLPPESLNRTPSGEIFVKPELQKGILPEILEELLAARKRAKADLKEAKDPFEKAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTLGGYEHSAEPIKLEFEKVYFPYLLISKKRYAGLYWTNPEKFDKMDTKGIETVRRDNCLLVKNLVTECLHKILIDRDVPGAVQYVKNTISDLLMNRVDLSLLVITKGLTKTGEDYAVKSAHVELAERMRKRDAATAPTVGDRVPYVIIKAAKGAKAYEKSEDPIYVLDNNIPIDPQYYLENQISKPLLRIFEPILKNASKELLHGSHTRAVSISTPSNSGIMKFAKKQLTCLGCKAVISGANQTLCSHCKGREAELYCKSVANVSDLEMLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRRKAQKDMAEARLQLDRWDF >Et_8A_057651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5743102:5745211:1 gene:Et_8A_057651 transcript:Et_8A_057651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPLLTGTDAERNRKQDGSQKSLVLCEVKKQLHLAGPLVAGYFLLYIIQLMSLMFVGHLGELELAGASVATSFATVTGFSLLVIKHPHLHETSQTFLLLAAVLTTMCGQGGMSTTLETLCGQAFGADQHHMLGVYTQRAMLVLTLASLPVAAAWAYTAEILAWFGQDPEIAAAAASYVRGLTPALLVYGPLNCHVRFLQAQNVVVPAMLSSGATALAHVPICWLLVRALGLGAAGAALAIAVSYLANLCFLAIYVRLSPRCRATWTGFSREAFRGIPNFVRLAVPSALMMWASLCVASYQYILAVLCSKNGQTRASLCSMEWWSYELLVLLSGLLPNPKLETAVLSICTRVSNELGAGRPQAARLAAGVVVFLSVVVAAVVGLLMVLVRHLWGYAYSNDETVVKYIAWMMILLAVSFLFDSINGVLSGVIRGCGRQQIGAYINLASYYLVAIPVGCVSAFVFHIGGTGLWFGLFCGLVVQTFLLCGITLFTNWNKEALKAKDRVQSSACLADDITT >Et_10B_003958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8474587:8474963:1 gene:Et_10B_003958 transcript:Et_10B_003958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LDLDLRKQLELSKVNVNWPSKGYSPVSFEKTNLEFRRRQAPKKATPPKESYSLSGLHSLFFIGTALGRTSRNPKRLVLPLQPLPLQGSTLHRQIRMSRSELRPTYRN >Et_2A_016645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26889805:26891699:1 gene:Et_2A_016645 transcript:Et_2A_016645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTTTTVTEPESNADASPPSPSSSPPPKKKATYELAARNIYYAKPVAAPRSLARLLKPYCCAAPPEPDYILRDVSLTAPAGEILAVVGPSGAGKSTLLDILAARTAPTHGRLLLNSAPLRASSFRRLSAHVPQADVALTLLTVSETFAFAASLLHPSSPSRASAAVAELLADLRLSHVAHTRVSPARLSGGERRRVSIGLALLRDPGVLLLDEPTSGLDSSSARVVVGCLRAVAASRGTTVVLSIHQPSARILSAVDALLLLSRGAVLHHGSLASLDAALLSHGLVVPPQLNPLEFALEVIDQLPHPSPEPKSKDELASSPSESNSKSNHHHRHHKVSTSSPSSRLHEVGVLYKRAWKVVYRSKQLLLTNFLESVLVGTLLGTIYIHAGDGEAGAHKRLGLFAFTLTFLLTSTTETLPTFVTERPIVLAETASGLYRLSSHATAATLVFLPYLLAVALLYSSFAPDYIAGMSLVSVSLAGFFLFSGYFLSRGSMPSYWVFMHYASPYKYALDALLANEYTCAADRCFGVVSGGQCSETGRDVLAEKGLTPEERWTGVQVLFGFFLLYRVLYWVVLSRRASRAKR >Et_4B_038084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26148048:26151607:1 gene:Et_4B_038084 transcript:Et_4B_038084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLTPLLLLLALAAGGAGAAGGDDADALLAAKAALSDPTGALASWNATADHCAWPGVTCAPRGVVVVGLDLSGLNLSGALPPALSRLRGLQRLSVAANALYGPIPPSLERLQLLVHLNLSNNAFNGSFPPALARLRALRVLDLYNNNLTSPLPMEVVQMPALRHLHLGGNFFSGEIPPEYGRWTRLQYLAVSGNELSGRIPPELGNLTTLRELYIGYYNSYTGGLPPELGNLTELVRFDAANCGLSGEIPPELGRLQNLDTLFLQVNGLTGSIPSELGYLKSLSSLDLSNNALTGEIPASFSELKNLTLLNLFRNKLRGNIPDFVGDLPSLEVLQLWENNFTGGVPRRLGRNGRLQLLDLSSNRLTGTLPPELCAGANLQTLIALGNVLFGAIPDSLGKCKSLSRVRLGENYLNGSIPKGLFELPKLTQVELQDNLLTGNFPAVDGVPAPNLGEISLSNNQLTGPLPASIGNFSGVQKLLLDRNTFSAAIPPEIGRLQQLSKADLGSNRFEGGVPPEIGKCRLLTYLDLSQNNLSGKIPTAISGMRILNYLNLSRNHLDGEIPPSIATMQSLTAVDFSYNNLSGLVPGTGQFSYFNATSFVGNPGLCGPYLGPCRPGIADADHTAHGHGGLSNTVKLLIVLGLLVCSIVFAAAAILKARSLKKASDSRVWKLTAFQRLDFTSDDVLDCLKEENIIGKGGAGIVYKGAMPSGELVAVKRLPGIGRGSSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNNETNLLVYEYMPNGSLGEMLHGKKGGHLHWETRYKIAIEAAKGLCYLHHDCSPLILHRDVKSNNILLDSSFEAHVADFGLAKFLQDTGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWTKMMTDSNKEQVMKILDPRLSTVPLHEVMHVFYVALLCIEEQSVQRPTMREVVQMLSELPPSPKGEEVSNAGDGSASSPLHPDPSGTNEAPTDAAKDQQLQQTSSQSSPPDLISI >Et_5B_044050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19328224:19385922:1 gene:Et_5B_044050 transcript:Et_5B_044050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGGEVAAKRTNLSPSGADSGEDRLSALPDDILVLILRLLRTIAQAARTCVLSRRWRRIWTLLPELTFILAPDYHHIREVLAAPEAPALRRILVVTREDAPDSVAAWLPLAARRLSGALLYSNLQEEHDDDDDDDEEEEEVVVDGAIPLPCFGNATAIVLDLAFSALTLPSSGTFTRLTELHLVRVRFQGTCELGDIVSSPRCPCLRKLYIRQARELARLTVHSESLLLVDLFSLNGLQQLNINAPVLIELIFYNCFTQNQPIPVANISAPRLISLIWLDAYDPSYVHLGNFGELQRLSPNYIRVYGHHDNGCNREVQRFLQHFQAIDSLHVVLKYLEGDIGTFQYLMEDITHLPHVTFLTLHVMNEGHAFGASSFHVLRLCTGIRRLSLVLQTSPNLEAQSTCPSGCICDQSTNWKTENLSLNFLQEIEITDLKGAEHEVAFLKQLFNWAGVLEKLRIMFCHSVSESKAEELCQWLSSFCRPEIGVLEDEGTGSGGEVAAKRRDLSPSGADASEDRLSTLPDDILVLILLRLDTITEAARTCVLARRWRRTWALLPELTFRSVADNRHVLEVLAVPEAPALRRIHVATTDDAPRSVAAWLPLAARRLSGGLLYHNLVEGHEEEEVVLVDGAIPLPCFGNATAIDLDLGYSAALTLPSSGTFTRLTELYLQRVRFQGTCELGDIVCSPRCPCLRKLHIRQVRGVAKLTVRSESLLEMDLLSVYGLQQLNIDAPLLNKLALRRCFIRNQEQPIANISAPQLLSLIWIDAYNPSYFHLGNFGQLQRLIPDFILVYGHHHNRHNEVVLRFLQHFQVIHNLNTVLGYPKEDIGNSQYLMEHIKHLPHVTFLTLTCLWSQLISYAQAVYWYKKIVTDSTYQSKLEAQLTCASDCICDQPTNWKIEKLSLNCLKEVEITNLKGVEHEVAFLKRLFNCAGVLEKLRITFDYSFSKIKAKELCQRLSSIFGPERLVEFHDCSGRRLVDSLLLEDEGARSSGDGGDVAAKRPDLSPSGADAGEDNLGVLPDDILVLILLRLDTIAEAARTSVLARRWRRTWALLPELNFRSAPDNRHVFEVLAVPEAPALRRIHVTITDDAADSVAAWLPLAARRLSGDLVYRHLVEGHEEKEDGTIALPCFGLSAIALPSSGTFTGLTELCLERVRFQGTCELGDIVSSPRCPCLRTLRIRRARGVARFTVRSESLLRMHLLSLEGLQQVNINAPVLNELIFYNCFTQNQPIPVANVSAPRLISLIWLDAYDPSYVHLGNFGQLQQLSPNWTSSQWMQSLHVVLEYPQVAAKRPDLSLSGANAGEDVLSALPDDILVLMLHRLDTITEAGRTSVLSRRTWTLLPELTFRSAPDNRHVREPLRPLRCARSLSFTKDDAPESVAAWLPLAARRLSGTLLYLNDNTMAEYSYAYEEEDEMEGAIPLPCFGNATAIDLNLGFMPLCCPSSGAFTRLTELCLERVRFHGTCELGDVVSSPRLAKASYPTCLGGGQAHGSLGVSLGNGSVACEWIAAAQRVDAPLLKLFFLRHCLTRDQPQPIANISAPQLITLSWIDVYDPSYVHLGDSGQLQHLRPNVIVVYGNHLSRHNREVLRFLQHFQAIHNLNIVLGFPQGDIGNFQYLMDDITRLPHVTFLTLLVMNEGHAFGASSFHVLRLCTGIRKLSLVLLPSCNSETQSTCPSGCICDEPVNWKIENLSLNCLREVEITDLSGAECWKISKSKAKELCQTLSSISRPETLIEFCMYCNSGGGSVYFPSLKDEGTGVFCVEFTNCRHLSPSARVTPLEDAYDPSSMDFGNLGHLQQLGAYFFLKPLLSSYKQAGGVTITPQGLTPRQHTAFTAYKIYNNQYLMEDIKVLPNVAFLTLWVINQGHNFGASSFHVLRLCTGIKRLSLALHTSRDLRHNQHVHQVAFVINHLRRTDEISLNCLQESGGEIAVRGGAKPSRDAGKDRLSSLPDDTLVLILLRLDTAAAAGRTSVLSRRWRRVWTLLPELRFSFSPAPHLIAVALAAHEAPLRHLFVGTEHAGLEPMADCLRAAARRLSGQLVVENQRNASEVDEEDPAFEIPCFDSATTVSLSLGFPGIVMPSAGVFARLTELTLASVWFHGPPELIGDAVSSPRCPSLQKLGIKYTFGLANLAIHSESLLQLKLQKMHGLRQLTVDAPVLQELDVIDCFDWNQPPVANISAPQLVSLFWKDSYDPSSVLFGNMDQPQSLTLTASNFYVYGPHDFSLNHSCLRLLEQFQAIHQLHLGLIYPKSIGNFQYLMEDFRKFPSLEVLAVMLRNEGHAFGASVFHVLRMCTSLTKFALVQGPENDLQAQSTCQSSCVCDQPTNWKTEELTLNHLHAVLIIDMKGTDHEVAFLKRLFSWAKVLKSVNILYTSITASKAKEVHEKLSQKYASLWQPNDTLVLILLRLDTAAAAGRTSVLSRRWRRVWTLLPELRFSFSPAPHLIAAALAAHEAPLRHLFVGAQHAALEPVADCLRAAARRLSGQLIVENERNSSEVGDEEATFELPCFERATTVSLDLGFLRIAMPAAGVFARLTELTLISVWFHGPSELGDAVSSPRCPSLQKLNVQYTIGPVNLAIHSESLLQLELKNMFGLRQLIIHALTLKKLSLLDCFGWTQPLADISAPQLESLHWRDMYDPSFIQLGEMAQLQRLTTNVFLVYGRHGSEINRSFLRLLERFHAIHTLHISLLYPKHIGNFQYLMEDVMVLPRLVGLTIYVRNEGHAFGPSVFNVLRMCSGLLRFALVLDPDTDLEARCACPSGCVCDQSMNWKNEELTLNRLYEVLIIDMKGCDHELAFVKRLFSWATTLKSVKVQFTSITATKAKEVHEKVLCQKHASLWKPSKKLCTLAPQEDLRTSCGFVFSGGEVAAKRSHPGGEDRLSALPDDALVLILLCLDTATAGRTSVLSRRWRRVWTLLPVLRFPDGADPHHVRAALTAHQAALRYLHVRAVDAVPASVAAWLSAASRCLTGPLVFQNMAGERGSDEDGEDNAVEGGALELPCLENATIISLDLGFLGLALPPAGTFARLTELVLIKAWFHGPSALGDLVSSRQCPSLQKLIVRDAGGLVNLAIRSESLLQVELRHLVELQQLIIDAPALKELKLPNNCYAQNEHTVELSVPQLVSLELRDPYDPSSIQLGNMELLQRLTTSFFLVYGPEGFPSNHTILELLTRFRGIQDLTLNLAFPQVIGNDHYLMEDMTMIPPISFLTLIVMNFGHAFGASLFHVFKNCTGLRSLSLHFESILVIKKIIAKSYHALFQGQFACPSGCICDQPVNWKTDKLLLSCLQEVEITNMKGADHEVAFLKQLFNWAIVLKNMRMVFHHSVSESRARELRQALSSFFGPETCTKFYIQGDDHNGGEVAAKRSHPGGEDRLSALPDDALVLILLRLDTGTAARTSVLARRWRRVWTLLPVLRFPDGADPHRVRAALTGHQAALRYLRVRSVDAVPASVAAWLWAASRRLTGRLVFQNKAVGRGERGAFELPCLENAAIVSLDLGFLGLALPTAGTFARLTGLFLIRVRLQGPSVLGDLVSSPRCPSLKKLIVYDARVPVNITIHSKSLLKVDLRQLDGMQKFAIDAPALKELRMLDCFAEHQPTADISALQLVVLEFTDQYAPSSIQFGSMALLQQLATSFFLVYGPQGYANNRTILELLARFRVIHELTFTLAIPPDIGNYLYLMEDITVLPRISFLNLIVFNSGHTFGASVFHVLRNCTDLRSLSIRFDSTFKEFACPSGCICKQPTSWKTDKHVLNCLEEVEITNMKVDEHEVIFMKQLFNWATVLKDMRMVFHSSTSESRAWELHETLSSIFGPEMCTKFYIQCGEQTPNRPHPWSRAAASSRSPPTPATARITSAGSPTISLSSSSRRWRRVWALLPELRFPFSPDPHHIASALAAHEGFLRLLLVGTLDSAPEPVAAVLRVAAPRLSGRLIFINRLPGMGRIADEDSEDDEEDAFELPCLERATAINLDLGGLLGLALPLAGVFARLTELFLSSLTFHGPCRLGDAVSSARCPCLQKLSVCSVRRLFRLSIHSESLLQFYLRNVKGLQRLAIDAPALKELTLVRCFVRNQPIANISSPELMSLCWRDAYDPSSTQLGKMAQLQLVSSNFFLVHADGLHDSVNRSHNHNTQRFLQQFQVINSLTISLGYMQDISNSQFLLEDITFLPRSSVLTVLVVNQGHVFGAGLYHVFRLCTGIKRLFLALESTTCVCPTDCTCDEPTDWKTEQLLLNCLQEIQIIDIKGSEREVAFVKQLFNWSTVLKSMKIYFDCSISGIVALELFQKFSSFSLPETQIQVYMCRDPGNKQSIYLFASKKSHCYSAEKYENGFPLFNKWKQSLRSGGELAAAPDAGEDRLSGLPDDILVLILLCLDTATAAARTSVLSRRWRRVWALLPELRFPFSPDPHHIASALAAHEAVLRALLVGTMDAAPEPVATWLRVAAPRLSGCLFFVNRVPGTGRSADDDEEDAFELPCLEGATAVSLDLGGILGLALPLAGVFARLTELSLSCLRFHGPCRLGDAVSSARSPCLQKLCVCDVRGLFSLSVHSESLIQLDLRKVVGLQQLSIDAPALKDLALNQCFVASISAPELVTLCWRDVYNHSSMQLGKMARLQLVFLDFVLVHGDGHHDSLNRSHNRNCLRFLQQFQVIHELTISLGFLKGICNSQYLLEDITFLPRSAVLTVVIVSRGHTIGAGLYHMLRLCSGIKGLFLSLDSDLELVLALFLFQPQPACPSGCNCDEPTNWRTELLLLNCLQEVLIINLKGSEHEVAFLKQLCNWSTALKSMKITFHCSISGSTALELFQKFSSFSLPETHIQVYMYSDAGNKQSMYLFAAKSGGELAEPSDAGDGDDRLSGLPDDILVLILLCLDTATAAARTSVLSRRWRRVWALLPELRFPFSPDPHHIASALAAHEAVLRALAVGTMDAALEPVAALLRIAAPRLSGCLIFVNRVPGTGRSSEERGAFELPCLEGATSVILDLGGCLGLSLPLAGVFARLTELSLSCLRFHGTCRLGDAVSSPRSPCLQKLSVQDVRGLSSLSINSESLLQLDLRNVIALQRLAIDAPSLKELKLDHCFVKNQPIANVSAPDLVTLHWEDAYDPSSMQLGKMARLQLVFPNMVLVHGHGHHDSLNRSHNRNCQRLLQQFQDIPSLTIALGYPKDISNSQYLLGDITFLPRSEILTVMVVKEGHAFGAGLCHVLRLCTGIKRLFLMLDGDLEPQPACPSGCTCDQPTNWRTEQLSLNCLQEVQIIHLKGYEHEVAFVKQLFKWSTVLKSMTITFDRWISGITALELFQKFSSFSLPETHIQVYMYSDPGNKQSMHLFAEKASHLYTSFESQRDSKSRSAGQKKKAEVQGFPFVRYNRGKMVNNFLSSPAPAWGRSAKRPNLSFSGADAGGDRLSALPDDILVLILLRLESVSETAWTSVLSPRWRRIWTLLPELTFNFAPDYQHIREVLAAPEAPTLRRIFVVTLNDGPDSAAAWLPLAARRLSGDLEYHILEGCVEDDEAIPLPCFGNATAIDLDLGFLALSLPSTGAFTGLTELCLNSVWFQGPCELGDVVSSLRCPCLQKLGTVQTLCSI >Et_3A_027340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8014894:8017931:-1 gene:Et_3A_027340 transcript:Et_3A_027340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFTGEEKAVDDGLGYPKAYARLCRGGAVGLPYCHGPPHAFLPYVLQPHEMGAAQVIDLVGDRSVQAMRAKDLNEMFPVVDAEAPPTTNPRGYANLLWKQLDHLGNAGFDPALFRVDAYGNVLYLHADSVSPLAWDIDHWFPCARGGKTVPSNLRIVQWQVCRKKQNKLEFLIPWWDLQLGVSVNQFLSIFASKNAEFRNRAFAFLFADGASEELNSLQVVEGHAFPQHFSDMKRKVGLAPAAIVSARGTDNSVLKSLDANRPLRPNYPLIAAKKFTGEKDENVNLAASCHGPNSTRENNNPDADGYLSNPYLSIAMARDSLRQRDEAKKKQAELTELENEANELQQKNEEERVAIQSLEALLIKRKRRVEKSRRLAEAQSNYKAVLEKMIRDAMHQCVVYKEQLRLNQAATSSLMARLEAQRAMCDSSETELRKKYQQKDDLERQINQARKRHRVDDGLLEERHNESVKYLSARRFSSPLKQELRVFLEEDQRNSDAYISLRDEEIGEGTSRLGNARNEQFKVINFPRRSQCSEDNTVDTERGRTFVPEKLEELAIKEQRRGRRRERISTPVRSRRTCTPTRSRDNKGKATTVQYDPNESDNEKYHASETVSRPRTSSLPPSPPYRAVGVYGSRYPTDQTMLSQRNARNPSHGFGRSEDDENSNHVGKGNVDKWLHMLMDNQQEDPAAYHSSEEYNNDEENASEEQQVERRVDEESCKNEITECSDEIVEVEDETATVQGIARCGDSFDTKEREEKKIWFPRSDSSRGFRSLPSSPSKILGMRKGVECIGRKPKVAGDEDCRYGYEDAVSTSSSKFLSRCKQAIKKAVNK >Et_2B_021572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3099394:3104341:-1 gene:Et_2B_021572 transcript:Et_2B_021572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHDGEDDELPPPPPLPPNVVPIKADDAAGESLPNKPAKPKRLPMARPGIGRKGQLIQLYSNHFKVAVKSTQDFFFHYYVNLKYEDDRPVDGKGFGRKVIDKLQQTYCSELSDKEFAYDGEKSLFTVGALPQVNNEFTVVLEDVSTGKTAANGSPGGNDSPGGSDRKRLRRPYQTKTFKVELCFAAKIPMSAIGQVIRGEENENSQEAIRVLDIILRQHAAKQGCLIVRQSFFHNNPSNFVDLGGGVTGCRGFHSSFRGTQSGLSLNIDVSTTMIVKPGPVIDFLLLNQKVDHPGRIDWQKAKRALKNLRIKTTPANSEFKIIGLSDRKCNEQMFSLRRRNGGDGDCDTVDITVYDYFVKNKGIELRYSGDLPCLSVGKPKRPSYFPIELCSLIPLQRYTKALSTLQRSSLVEKSRQKPQERMTVLHDALQRSNYDSDAMLRACGISIAPNFTQVEGRVLQAPKLKAANGEDIFPRNGRWNFTNKKFINTCTVEKWAVVNFSARCDVRNLIRDLTRNASAKGIQMEEPFDVFEENPSLRRAPVSRRVDDMFEQIKSKLPGAPKFLLCLLPERKNCEVYGPWKKKCLAEFGIVTQCLAPARVNDPYLLNLLMKINAKLGGMNSLLQIEAPPSIPHVSKVPTIILGMDVSHGQPGQSDRPSIAAVVSSRQWPLISKYRASVHTQSPKQEMMSSLFKPRGTDDDGLIRESLIDFYTSSGKRKPDHVIIFRDGVSESQFTQVINIELDQIIEGTSRPTHYHVLHDEIGFSADEMQEFVHSLSYVSKEHDCHIIAPICYAHLAAAQIGTFLKFDEMSDTSSSQGGHTSVGSIPVPELPKLHEKVRSSMFFC >Et_10A_002049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20448685:20450755:1 gene:Et_10A_002049 transcript:Et_10A_002049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREFSPLEKVIGASGQVANCNGETNKRKRRIESLSDKKSKSYVVEHSEVDVEAGNQTAIREMKKPRRKVLFDEDEDEGIEDGTSRQASKVAMPLCVEQSCCSKPIDEPTWRGIFKIGQKYVPLAGHLSTKYGEKVWKLSRLLMPVVEVKKISRSKAWPKVKRKEKGQETIGMKNDIPFESQDLPGNKNTCKAQATSVRGTPDMGFEPKALEEGRQGDAMENATDGVTLPANHGEIDRVGDFPHKSAPVLRACILTL >Et_1A_006613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25181902:25193581:-1 gene:Et_1A_006613 transcript:Et_1A_006613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPAERETPVDWGDGAVALGFRVKASSRDSASQKASNVLEPDLRSHWSTGTNNKEWILLELQEPCLLSHVRIYNKSVLEWELTAGLRYKPDAFVKVRARCEAPKRDMVYPANHTPCRYVRISCLRGNPIAIFFIQLIGIPVPGLEPEFQPLVNYLLPQITPSKQPPSQNMHLQLLKDIASRLPPFVPQIEADLNSVADDPDNIVRFLALLAGPFYPILHLAQSRRSRSPSSVQPASYLLAFRSETCVLLLRKALKDKTLGIVCLRASKVLQKLLQSDPFLDKSISNGGMLSSHNGDETAKSDSPSLVLSSDYSSLFGDEFSISETQFDGSFLNILDIAAVEEGILHVLYAAASQPLLCRKFAEITSDMWSVLPLVQALLPALRPPLTSGLTEQIDDSFNQWNHPTVQNALSQIVTLSISSSVFHPLLRACAGYLSSYLSSHAKAACVLLDLCRGPLITWIPMITTKIDFAVELLEDLLCIIQEAGRSLARSRAALKYFILAISGHMDDVLKEYKEVMHKLLFILEMLDPFIDPSTSVMKDTIIFSGISAIYLEKQASAYHVALNIIRTAVKRADVLPSLELEWRRGAVAPSVILSILDPHMPLPPDIDLCKSTVHEIDHLSLAVSDYPASQLSNPENIDGRDSSETIARSEIFEQCNSLFAPEELKQSDSTMLTSKGNEHDEKTQKKLDQEIPEIKISNEKLSSKPFQLDNIAAADYFDSQTDYRQLVNLQDCELRAQEFQRLALNLCMQQEPTLEGHNAGIDALLLAGECYVNPFFLLDFQNLEPLEKIERINSELMKGKVSFEPNDLHLKDKDLVTIYNLENKRDKFVIDLLLQAARFDCAYNKRIPEGEPYPDTSEDDKQSVQISAEALQSADALTLVRKNQAMLCHFIMKQFQRKEHSHSEILLQSLLFLLHSATDLFCPAENIIDIILKSAENLNEQLSCLYKCINAGDKKLDRVKLHGLRRRWTLLQKLVLASSGSDNTRELVSIKRDGFRFRSLVPPSAWIHKISDFTRFSSPLPRFLGWMAVTSLLSIYMDELSLMDRVAMQNARPVKDELSDRSKHLLLKKETVLSVQPNMTKQFKILLPDLHFFFPSMCKLFNAFGESILEAVGLQLKCLPDSAVQDVLCWFSEMCLWPYLECIKEHLLFANKVSYLRGNVASNAKAVVFYLLESIVVERVEAIIPEMPRIVHILVSLCRASYTDVAFLNSVLSLVKPLISYYLRSRSDDEKVLEGTTGDKIQVPLLIFILGSMFPEFSFERRTEILGSLLSWVDYLSSDPPSLLCSYLQSFQTLIDGCETVLVQNIEFLGIRILSARSHSIESSDSLGVDCIMQPDKKTRDSEEDMLTKLTEHCENGESRKILLSLRPNSIKEFCGALEKFVSHLIPSIENSWKWHHLLASRLSLSIAKCLLFAKLLKSVVQDDIISSSSEQDSALKISCELAQKHWESALEGLVEFISVNQETQCWQVASSMIDYIIKIPNILTWGNVLNSICSAVKHFCSHAPRISWRLQTEKWLSLLVSGGVEDFKTREVYLIDLFCTMLSHSEPEQRSVALQQLGRIINSTSHTEADSSSPTCDQNVIRSGSTVASLLATHTWDRVVALALHDSSMLLRNHAIALLMEYVPFVDTEHLRSFLGSSNIILNSVGQLSGVIEEGYMARMSLLLLSRACLYSAPEDIALIPECVWRKLENMQTSTGGFSYMEKDLCRALCQLRTESDAKKDVKEVISGCTRQPISSDFKSIRESILQVLSSLSSVDAYFEFFSARSDQEYQELEEAEIELELIEKEKEDYDFSGHSHGTMVRDMPSCELNQYRKHDKRLQEIRENIRSLERSRLKEEITARRQKKLLTRHAREKYLEETRSKEMELMQELDRERAQEMEREIERQRQLDIERAKSRELQFNLDMEKEKQTQRELQRELEQVELGRASRREYSANPNSRSRERYRERDGGRSQQEGSIRSSSRGHEGGGSAQALAAAGSPGPTVMLAGSRTFSGGNLPTILQPRERTADEDSAWAEGTRDSGDASSIGEPEFDGARQHGPRGSGSKSSSSRQLVERRERDGAASTARREGKWERKQHS >Et_2B_021030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25789382:25791742:-1 gene:Et_2B_021030 transcript:Et_2B_021030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRLNAAAASAASDDFPFAPMQQQQPPPPYVAFDHGVAGGGGGMGQRGGAMQQHHLYDGLDFAAAAAAMQFQQDAPHHHQLLTLPSSLGPMAPPPPMPLPMQMPMPGMHGGDVYPALGMVKREGADGGGGRIGLNLGRRTYFSPGDMLAVDRLLMRSRLGGVFGLGFGGAHHQPPRCQAEGCKADLSGAKHYHRRHKVCEFHVLTEFDEAKRSCRKRLAEHNRRRRKPATTVPSKEGSPAAKKPNAGGITSSYSTDDKNLSTTKSTMSSNTSVISCLDRGKQARPTLTLGGSQDKDQQQLSTMLQVQAAGHHQEQHFLTSLQVQNNNNGSHNNNNILSCSSVCSSALPSGTGELSDQTNNSNNGNGNNNNMHLFEVDFM >Et_6B_049891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16614095:16615255:1 gene:Et_6B_049891 transcript:Et_6B_049891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKKVVTVLSSKLNEELRGVLWAGAATDMTFNVDGEVLMAHRNVLAARSLVFNAELFGSMMENSASRVQIDDIEPNVFRAMLHFIYTHQKSHAQAMLRQSGLPLCNIQGVVGLYVPVS >Et_4A_033068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1829604:1831009:-1 gene:Et_4A_033068 transcript:Et_4A_033068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEETFLDELMSLRREASSSAPWQAFPVGGGGVMMSDLLFYGGGEGGADTRSDMDLSPFQGLVPVPAPPLPHPHEAEFNFDCLSEVCNPYRSSLAVPGGEPAAGGQTLTALHDAAMAEEETSGDKGQYGGGVSPTFVFGGGAGQSSEMAGIRGYAGAHHRSKIHGAPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYVKELTERIKVLEQEIGASPEDLNLLNTLNDPSNNNSEMMVRNSTKFDVDRRGNGSTRIEICCPANPGVLLSTVSALEVLGLEIEQCVVSCFSDFGMQASCLQEDGKRQVISTDEIKQALFRSAGYGGRCL >Et_3B_029382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24527549:24530333:-1 gene:Et_3B_029382 transcript:Et_3B_029382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGCCPPLAIPEIPPPARRSRTWPPRIPALAPEHASFPAPTPARPLPTPLRARAPAPATPCPRRERAPARMACAATMSVDLTPAPAAVEVKAVGEEEVVEVEGGGCGGGAVVVAAADAEGHPYDFNVSGPRNLPPPNWKEIIRSSWKDPNYKRMVMACFIQAVYLLELDRQDQKGEEDGLAPKWWKPFKYKVTQTLVDERDGSIYGAVLEWDRSSALSDFILIRPSGAPRAVLALRGTLLQKQTMKRDLQDDLRFLVWESLKGSVRFIGALEALKAAVEKFGSANVCVAGHSLGAGFALQVCKELAKQGVFVECHLFNPPSVSLAMSVRSMSEKASYLWKKVKASLPLKEEAASTLEVDKEEASVKKRLRAEKKWVPHLYVNNSDYICCHYNAPTCPSEAADDASYEQQQQRKASEIAGDVVAKLFVTSKGPQKFLEAHGLQQWWSDGMELQLALHDSKLINRQLKSIYTTTAVSSPAKS >Et_1B_010648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12554171:12555494:-1 gene:Et_1B_010648 transcript:Et_1B_010648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTDRFLSRFPMIPICFPCSSVPVLQNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >Et_5A_041105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18371252:18376882:-1 gene:Et_5A_041105 transcript:Et_5A_041105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLPKVVAAQPPAPSLSRAPSIVPSPLLGSPAPLNCSWYRRNRSPRGPLATAADGRRGGSPLPGPEERDPLLVAALCAADLRDQESRRPDPLFIDPYAAVLLSHDEARQDMGYLVPHAVPCPDHYRLATRYIDDKLQNLINSSDDMRQIVLLTDGMDTRPYRLTWPRLSVVHDVSPGMVFNTATQQLRGTGAKVSRNCVLLHTPAESPDLQEGLCKNGFNGNRLSLWVLQGLPLCTLASLGDLLLVISNLAMKGSVIIGELPRFSDWTAPMGMGSEQDMLEKLFFTQGFRVSFVPYENIAIDIGLDLAPMRDKRGGLLFVAEQLRFSDAQMDSFRMHFERMEKDADEEGFEEL >Et_6B_049494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5766681:5768065:1 gene:Et_6B_049494 transcript:Et_6B_049494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SNAIDAATSCDTTVDSVHVSLPLSAQETILADLEERMNKVTERLLDEQGGRIPMGPIRIHRFPQGLRGIGGGEDRYVVPSVVAVGPYHHGLPHLQEMEVVKYAAADRFCSRSGCQLEEVYTKIASVSDMARFCYAPGDGALARFSDDQFAAMMFVDGCFLLQFIDSGFVGSQYSSRPSILRDIFLLENQIPWLVLEALLEFAPAIDICRFVADMGEKYFFPKKEKKYELCEEMRLIQVASFRLISICLIRSMLDSNLRYDVNSSSSSSSLFISAAELAQVGLKLTASKSTQFGNMRVKAKTFYGELSLSPVFLNDVSACWLVNMAALEARMNACSSWESSDDRAVISSYMSVLAMLMDRKEDVHKLRRRGVLRSIFSNTQTLAFFKGLGRRVEPGHRYFITMDEIDRYMSRRPVRIAAHKFVYNNYRTVAKVLSIAGVLFAVFRALLQINPHPHN >Et_1A_006794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27716629:27717788:1 gene:Et_1A_006794 transcript:Et_1A_006794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCNIIAVAVVIFAALVAGGSCLRPDVPPGPNITTVYDGTWLNAKATWYGQPTGAGPDDDGGACGIKDVNLPPYNGMTSCGNLPLFKDGKGCGSCYEIKCNNPEECSDTPVVVFITDMNYDLLSPYHFDLSGTAFGAMARPGLEDKLRHRGIIDLEFRRVRCSYPPEQKIVFHVEKGSNPLYLALLVKFVANDGDIVQMDLMEKETQEWMPMKLSWGAIWRIDPNRPLKGPFSVRLTSESGKQLVAADVIPVDWRPTAVYQSDIQF >Et_3A_026512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:966208:967040:-1 gene:Et_3A_026512 transcript:Et_3A_026512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTSCWASVRTALSSGGTVSEEAPQFEEAPPPLQEGLLLKGEALPPRREAIRQLRERADAMQRELQAATDQAEAAEVATRHAERRAGEAAAELDAVERTSRMHDEKLRELDAELGDRDGRIKLLEAILATLTTLEVHDV >Et_6A_045879.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:23263720:23265387:-1 gene:Et_6A_045879 transcript:Et_6A_045879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAISAIVGDLINRVLCFLVRKYTNKVGEDDKLQRLNQLLLRIHAVVEEADGRYITNYAMLKQFGFFVESMYQGYHMLDTFKYKSHDEGITKEEVRHLSTLPVLSFHFKRSKTMDGTRRSTYLCDDLQSLLEKLEATVSNMNEFVALLMGCERMFQRPYDTYLYTDNFMFGRHVEKQRIINFLLQPAGPGAALMVLPVIGGCRVGKKVLISHVCTNERVLSHFSSILHINGNDIQSMENVFKRNVKTLVVVEFVSDIHDEEWIKLYSSATNLSGGSKVIIMSRLGKIARFGTVKPVYLNSLTQAEYSYLFKMLAFGNTDQRAYPQQVSVANELAIVLGGSLITANVVADLLRMNLSVQFWLHILKRFKEMVENNLSRYGEHPKDMIEKEHPVDISRFASSCPASLRLMPPRVEKDEAPERKLPNVMFGDLIAGSVSISSEEFELVTWKSRIPPYTTYVQSVVACDDQQPGSMTPKRKRKIENVQ >Et_6B_048370.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:16589428:16589781:-1 gene:Et_6B_048370 transcript:Et_6B_048370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEYSGEVVAMDALREDCSIIDPNKRFPPRWSEWGDASSVDPGIKGPQFHQFPGAGYLLDVSRKRSVACYISNSDTPNVFIQYVLRGNEDESLPHVMVFAMETIPPMRELMITYGMP >Et_9A_061039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1287882:1288133:-1 gene:Et_9A_061039 transcript:Et_9A_061039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEERREEERKKMKQKEEERRRQYEAERKAREAERERMRERARRAREAGPDAFRKGKYPRCTQ >Et_7B_054691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3533799:3534948:-1 gene:Et_7B_054691 transcript:Et_7B_054691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRAPTRTTPTRRSRSAEFHNFSERRRRDKINEKLKALQELLPNCTKTDKVSMLDEAIDYLKSLQLQLQMLVMGKGMAPVVPPELQQYMHYITADPAQMPPLRPSQQPRPFQITQADSQRHSNVESDFLSQMQNLHSSEPPQNFLRPPKLQLYTPEHRGGLASTSHNGGWISERSSSYNFME >Et_4B_037871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24217877:24221652:-1 gene:Et_4B_037871 transcript:Et_4B_037871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGTSSPSAEAWTWENAAAGAAAGFATVAALHPLDVVRTRFQVTGGRGWSEIPPYKNTAHAVYTIARSEGLRGLYAGFYPAVLGSTVSWGLYFFFYNRAKQRYVQGNDDQLRPVHHLVSAAEAGALVSLFTNPIWLVKTRLQLQTPELHTSRYSGFSDAFRRIVKEEGFLALYRGIGPALLLVTHGAIQFTAYEELRKAMIFVKSAPTRTENRGEESLNSIDFAALGAGSKVAAILLTYPYQVIRARLQQRPCSDGTPKYSNSLHVVKETARYEGVRGFYRGITSNLLKNLPAASLTFVVYENVVKLFRATKKRKDV >Et_9A_061192.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21303186:21303545:-1 gene:Et_9A_061192 transcript:Et_9A_061192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNALHAELIACLQGVQAAITLGIGNIILETDALKVQQAVETDAYGTTAVGILMDELKELLSLNFLNVVVQYKPRECNWVSHALATLGCECNLEDDPVLEVLPLCIRVMVTDGIWLME >Et_7B_053899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13090645:13096742:1 gene:Et_7B_053899 transcript:Et_7B_053899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSATSPAKAHFSALFLCHEEPAAHPQCLGAGVGRRTRVRGARLAAAMRSPDAAAVAAQAASPAPAGGAGRKPRVLVAGGGIGGLVFALAARRKGYEVTVFERDLSAVRGEGQYRGPIQIQSNALAALEAIDMAVAEEVMRSGCVTGDRINGLVDGISGSWYIKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDAILNESHVVDFIDDGNKVTAILEDGRRFEGDLLVGADGIWSKVRKTLFGQTDATYSGYTCYTGIADFVPPDIDTVGYRVFLGHKQYFVSSDVGGGKMQWYAFHKEAAGGTDPENGKKKRLLELFNGWCDNVIDLLNATEEDAVLRRDIYDRPPTMNWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELENAWQESVKSGTPMDIVSSLKRYEKERRLRVAIIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKYGMPLMLSWVLGGNSSKLEGRPLSCRISDKANDQLPRWFQDDDALEEAMGGEWYLFPTIAGNSNGFQPIRLIRDEQKSLSVGNRSDPSDSASSLTLSLPQISETHATITCKNKAFFVTDLGSEHGTWITDNECRRYRVPPNFPVRVHPCDVIEFGSDKKAVFRVKVLNTLPYESARRGGEQKQQVLQAA >Et_1B_009965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14633251:14634753:-1 gene:Et_1B_009965 transcript:Et_1B_009965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQGKLFIGGISWETTEDKLSEHFSAYGEVTQAAVMRDKLTGRPRGFGFVVFADPAVVDRALQDPHTLDGRTREEQQASKATNPSGGRNSGGGGGGGGGGDVGGARTKKIFVGGLPSSLTEDGFRQYFQTFGNVTDVVVMYDQNTQRPRGFGFITFDSEDAVDRVLHKTFHDLGGKMVEVKRALPREANPGTGGGGRSMGGGGYQSNNGNSTGSYDGRGDAGRYGQAQQGSGGYPGYGSGGYGAGATGYGNYGSGGFGGVPSAYGAPYGNPSAPGSGYQGGPPGSNRGPWGSQAPSPYAAGSYGGTTGYGAWNNSSGAGSAPSSQAPGAAAGYGNQGYGYGGYGDGSYASQGGYGSYGARADGAGNHATGGASGYGAGYGNGGGNPGSEGQSNYGGGYGSVQPRVAQ >Et_4A_035647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32313034:32315800:-1 gene:Et_4A_035647 transcript:Et_4A_035647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDAFTDKNAVFRRLKAKPENKISLSPNPQMCFDCSAKNPTWASVTYGIFLCLDCSAVHRSLGVHITFVRSTNLDSWTPEQLKMMAYGGNSRAHAFFKQHGWSDGSSKVEAKYTSRAAELYRQILAKEVAKSSPTDNALPASPVAEQPNPSNDFPEFKLSDPPAENSNGKQEPNSPKAPPRSPKAPTHPTFVSSVKKPIVGAKKVGGKTGGLGVRKLTTKPNESLYDQKPEEPKPAAPSLTASAPKSTPSLHSRFEYLDDEPSANARTGGSNVTSTGHVAAPKTSDFFQEYGMGNGFQKKSSTSKTQGSSSISSADLFGRQASSSDLDLSAADLINRISFQATQDLSSLKDIAGETGKKLTSLASNFISDLDRML >Et_1A_008559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8849452:8854511:1 gene:Et_1A_008559 transcript:Et_1A_008559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPGPLTEWPWERLGNFKYLVMAPVVVHGAHKVATKGWGDIDLAFALILPSLLLRMLHNQIWISLARYQTARSKHRIVDRGIEFEQVDRERGWDDQIILNGLLFYVSYLTMPSARLMPAWRTDGAVVMALLHAGPVEFLYYWFHRALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHIVYYLLFAIPMLSTMYMGNSSVMAIVLYIAYIDFMNNMGHCNFELVPKWMFQVFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPLYDYIYNTMDKSSDELYERSLKGTEETPEIVHLTHMTNLQSAYHLRIGIASIASKPSDNSMWMWTLWPLAWLSMVLAWVYGSSAFVVERIKLKKMKMQTWAIPRYNFQYGLNWEKESINDLIEKAILDADGRGVKAKQLNGGGELYRQKYPKLRVRIVDGSGLATAVVLKSIPRDAKQVFLHAGPSKIACATALSLCGRGVQVIMNPKKEYDMLKSQIAESKASYLKHSSNQMPQIWLVDNIDDKEQRKAPKGTIFIPISQFPIKKIRKDCTYLSTPAMKIPETMQNIHACENWLPRRVMSAWRISGILHALEGWTMHECGDAMMDVEKVWSAAIRNGFIPLTRA >Et_1A_004652.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27117827:27118108:-1 gene:Et_1A_004652 transcript:Et_1A_004652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGAQSEGSSQSGSKPAVHSCRRNISDRTSFVSDLRDHVHEFIHASMDEHRTCLTTTIKKMFGMSKAVAERSDEAQQAGADSVLPLQTSVSR >Et_3B_027615.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:32193359:32194887:1 gene:Et_3B_027615 transcript:Et_3B_027615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WAWALCLSRAKHLPTKPNARVACRAISPSTESHHPPSCSSPPTREMPPSSSSKPRLALTAMISSQSQADVDLPSLVSDLTSLLLHSPAASSGAAAPVFCSSSLSIPAAPKAATTTTPTPLARAAIGACAGAAAGAFTYAALLPIDAVKTRLQAAAAPGATSWQVFLDILRADGPLGLYRGLSAVILGSASSSAIYFGTCELAKSLLRPHLPPFLVPPLAGASGNVSSSAIMVPKELITQRLQSGAAKGRSWQVLLQILQTNGFFGLYAGYAATLLRNLPAGVLSYSSFEYLKAFTLKKGNKESLTPGESVLCGALAGAISAALTTPLDVVKTRLMTRVGTGGSRTVLGTMREVVAEEGLMGLSRGIGPRVLHSACFAAIGYCAFETARLAILQWYIEGCERKAAEEVKAGVAAA >Et_4B_036800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11649121:11665989:1 gene:Et_4B_036800 transcript:Et_4B_036800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGLVQLLVGFVVAWEAVELVLRHGLLLVVLKLAVVAALAAAAGCVVILFLARAVAWVLLRVAKVSIGCSSYGFNYVRDITISSPKGAVESICIGEIRLGLRRPLTQMGFAVLTHGPILQLQISDLDVVLRQPVKSANKKKPPSRKSTSSSSAKAKGKAKGKAKWRLITSMASVLSLSIVELRLKAPKAALGIKDLKIDLSKTGGLDPVLNVQINIVPLFVQALESDSIDNHTSVFNQLDWWISGQYCSAMDTSDSSSFLFEDIALSCDLHQRDNGVRVKNLDFMSGPIVVNLEEKIFTKKKLPASTIADKKDEHSVDNKPAPRSEGGKLASLNKKIDLFPEKVVSFNMSKLDLKFLPKDHGLSVNNEIGSLSLRFMKLQPHNDFGEAATHLRLETDVTDIHLLMDGATSVLEVVKVASVVSANIPTEPAQPIQAEVDVKINGVQCNLIISRIKPLIRVNSDKKKPLVLSESPQQEKAPKEKIVLAWTCTLSAPELTIVLYSLDDLPLYHCVLQSTHVSASKLANRGSQVHAKLSELKFLVSAKHQQSMKESISSTLLHIGQSTIDLEQNGPGKENSEDHAKSAISVNISGIRMNFCFYYLELLCTTVMSYKVFLKSISPPKKRPLQETPLQKSTKKSKGSQLLKISVAQCCIMYDGAVTLEDMSIADPKRVNFGSQGGRVVIINDANGAPRMAYVNSTSLPDHKNVNFSTSLEIYQIGVSLNKEKHSVQVDFENFRLIHKEYQLDSKPAEEVKLLDVRKAKFVQRSGGSNDVAACSLINVTDIAVRYEPDPCLELLEVATRLKSVLHRIKIQNPVTEVKDETVNMDILAKRESPTDHGQQEKAQRKRESVIAIDLESLTISGELADGVEAMIHVGSIFSENAKIGVLVEGLEVSFCGAWLFKSSRMQLSRIPISVSDSLPDKKLQSAATCDWVIQLRDARICLPFRLQLRAIDDAVEDTLRALKLISAAKTSVLFPEKKSSSSSSSSKKSKSKSTGFRYVRVIVRDLIAEIEEEPMQGWLDEHMNLMKNVFSEYTVRLNLLDELASGKNKDSPKEKLDTSSPEKNHDCPDVDANVPGARTFEKLREEIYRQAFQSYYQECQKLSISEGSGACSSGFQSGFKMSTHRTSVMSVHAKHVDVSLSKIDGGDEGMIRFIKSVDPVCDKNDIPFSRLYGSNFNLKTKSLSVLLRDYTFPLFSGTSGKCDGRLVLAQQATCFQPQVRQDVYVGKWWRVNLLRSATGYTPPMKTYAYVPLHFQSGEVSFGVGYEPVFADISYAFTCALRRANLAKRWFFERPEPPRKERSLPWWDDMRNYIHGNFSLCFTDTKWHLPAATSPYEKLDEMLITSDFLEIRYVDGYVSLSSKNLKVYLTSLESLAKKCSLEIPHHPSIPFLETPTFFMDISIQWGCDSVPRFIRSGNLPLDRVMTEQFIRFDAMLLKINNMPLGADDPSKGLTLHFTKFRYEIAFSRGKQIFTFDCKREPLDLVYQGIDLHLLKVFIDRIPEASTSKDSKSENKSPQKKNTDSPGCENGKNKINSTEKSRDDGFFLYADYFTIRKQTPKADAARLSAWQEDGRKKSEMPLIKSEFDGGDESDHEQSGSDDEGFNVVVADSCQRVFVYGLKILWNLENRAAILSWVGGLTQAFQPPKPSPSRQYTQRKILEKKQLIKETEMSKDGALNSSPSAAQASEPQQIISSESPPSVGSSKSDLTSNNETATKPSTNKDSEEEGTRNFMVNIVQPQFNLHSEEANGRFLLAAGSGRVMVLSFHSIVQVGQEVFEKALGSSDAATGGTGPEMTWSRVELSVMLEHVQAHVAPTDVDPGAGIQWLPKIHRKSSEVKRTGALLERVFMPCQMYFRYTRHKGGTPELKVKPLKELTFNSPDITAGMTSRQFQVMMDVLTNLLFARTPRTQKTSLCYPTDNDDDDIEEASDAVVPDGVEEVELAKIGVEIKERARKVLLDDIRALSTGSEASCDQSQSPKAYDAKWIVSGSRSTLVKRLKKELLNVRNGRKEAYSMLRIAMQKAAQLRLMEKEKNKSPSCAMRVSMRINKVVWSMLADGKSFAEAEINDMIYDFDRDYKDIGIAQLTTKLFVLKNGLANAKSDTVISPWNPPSEWGKNAMLRVNARQGAPTSGNSVIESFLVDIYPLKIYLTEAIYRMMWGYFFPGDEQQPQKRQELFKVSTTAGTRRVKKTTSVTETTSPNNQSLKESALAQKPELRRTSSFDRTWEETVAESVANELVSQIQGQSNAQPESQDAAKDSKSVRPARSTREEKKVVELNEVKQTRLQKMMDFRNIKISQVELLLTYEGLPFAVSDVRLLMDTFHREDFTGTWARLFSRVKKHIVWGVLKSGKKFKAKSSSQKEPSAALIAASDFNLSDSDGDEGGNSDQLPAFLRKPSDGAGDGFATSVKGLFSTQRKKAMAFVLKTMKGEAEHDFHGERSENEIEFSPFARQLTITKTKKLIRRHTKKFKSKLPSKNKGQSYHHALLPVTTRTPHPRTHHHRKLAQKISRWPWVKFGSDRFFELMGQTGVWHLAHPEAAFECFWLCIAPL >Et_2B_018950.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18625729:18627685:-1 gene:Et_2B_018950 transcript:Et_2B_018950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRPAAAAAASGSASEASDAEADASRHQPSSPSPSKTPPPPNPNPKPAPAAADPAPDSAAAGSDSGAAYDSDADHRSSPPKPAASPQRSRATRRSPKPRSRSPTPEVNSDSDGSAAPVASDADPAAGDGADSDDGNASPLPPPRPSRADAAAIKPLSSRPMDPPRRSVPSFSEPRSKRPRSAAVPAAVELLKRPSRLWSPGDELVILRGLAAYRAKRGVLPGSMHDIGKLHGQIRDELSVKVTTTQLSDKVRRLKHKYHVLAARTKNGREPDFPTAQDHSVYELGKKVWGASAGGGNAIVEYENAGGGDSEEEHQSGESDGDMDSGRDDRDRKKRRLKPITMANGNGARVVGSRGKAEFEKGKDAYPYLWETVGDLSRQHPNGVAFKKAFEMIEGPKARGMEEKLRKFRVTEVRHQLRRMELMKETVKMVLDALEG >Et_5A_040246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4091587:4092394:-1 gene:Et_5A_040246 transcript:Et_5A_040246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKNLRSFFTAKHGRTLRLLGDLAGSPWWSPSTHSTRRRRSAASASRLLARAASSLATAAALRAFAARRAASASSTRASASRSSASTARHSSSSLRAGTATTSWCAASPSAAAAAAHASAWASAATARSASRSASAACRRTSTRRSIASLAPLAAAASARSRRSSARPRSTRWRSSSAAAAFRPASSRRAAAARSADSIADLSGGISAAVAARRALAAASSARSFSSSSPSGMDASHACTAASRARSASSRVPDSC >Et_3B_028924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20455157:20458948:-1 gene:Et_3B_028924 transcript:Et_3B_028924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKPRQRQWRLRVLLVAAVACSLLSASGAVATTGTLSLRHKPGRQHNSTTRHARGGGAGVRPGTGMASCNMFQGSWVYDDTLPMYDTAGCPFVEPEFDCQKYGRPDKQYLKYRWRPASCELPRFNGQDFLRRWKGKKILFVGDSLSLNQWESLACMLHAAAPAAKTSYARGNPVSTVTFQDYGLSVAYYRSTYLVDIVEESVGRVLKLDSITGDAWLGADVLIFNTWHWWTHTGNDQPWDYVQDGGQVMKDMDRLTAFSKGMSTWARWVDSNVDTSRTKVYFQGISPTHYNGAEWGERSRNCAQQTQPVAGSSYPAGPVPAQSAVRAALSGMSKPVFLLDITLLSQLRRDGHPSAYSGGHPGNDCSHWCLAGVPDTWNQILYASLLA >Et_4A_033235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20212147:20213704:1 gene:Et_4A_033235 transcript:Et_4A_033235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRHFLPGGRRDRGKDKPLAPAPEPEHALALPGTATTTPASTPGAKEKRRWSFRRPATAAASPGPGKVKEKDGGRVAAYAFLAEPRVDPDQHAVAVAIATAAAAEAAMAAAAVRMSASKRSAVVGIEDAAAIKIQAVFRSYLARKALCALRGLVKLQALVRGHLVRRQASHTLRCMQALVAAQHRARVARLRLLGDDDNSNNKPAGALRTPRTTTPTRRSPHHPRSRHHHPQTESSAEENVKIVEVDTGFGVIGGEAQAHATPRTAASRRSSCYATPLCRTPSKQELYGQKVSPTPSALTDASARSLSGRYDYDFSFATARNSPYHYADYYASRQQQRHHHAGVGTEHPLLAVPSYMANTQSSRAKARSQSAPRQRHSVSSAGAVAAEVPPWERQGSSARRRASLEGQSPAPAARRVQRCPSQASAPSCPWGARLDRLWSASAHDSECGSTSTVLTAATTTYCWSMATDNAGMA >Et_4B_039519.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:20041331:20043145:1 gene:Et_4B_039519 transcript:Et_4B_039519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLASPSSVSIHRQLPARGSRRAGAPNSVRFTPRAALSSAPADAPAFQLPAPFKTAAPLPTPKPAIGAPPTPAPRKSEKQQRQLNLFQRAAAVALDAFEEGFVAGVLERPHGLPKTADPAVQIAGNFAPVGERPPVRELPVTGRIPPFINGVYARNGANPCFDPVAGHHLFDGDGMVHALRIRNGAAETYACRFTETARLGQERAIGRPVFPKAIGELHGHSGIARLALFYARAACGLVDPSHGTGVANAGLVYFNGHLLAMSEDDLPYHVRVTGDGDLETVGRYDFDGQLGCAMIAHPKLDPASGELHALSYDVIKKPYLKYFYFRPDGTKSDDVEIPLDQPTMIHDFAITENFVVVPDHQVVFKLQEMLRGGSPVVLDKEKTSRFGVLPKHAADASEMVWVDVPDCFCFHLWNAWEEPETDEVVVVGSCMTPADSIFNESDERLESVLTEIRLNTRTGRSTRRAILPPSRQVNLEVGMVNRNLLGRKTRYAYLAVAEPWPKVSGFAKVDLATGELTKFEYGEGRFGGEPCFVPMDPAAATPRGEDDGYVLTFVHDERAGTSELLVVNAADMRLEATVQLPSRVPFGFHGTFITASELQAQA >Et_9A_062783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4972414:4977503:1 gene:Et_9A_062783 transcript:Et_9A_062783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKGARKNAAACDLPWNNNGGWLSLRTGKRLKRSPRGGQADDDDGLPFSDEILLIVFACSVLSLADLVRCAATCRRWLRLVSTEARIICRSPPPSEARHATGLAVGFFLQLPAPGETETDGGAPRPRFILPETPRFPGASLDALFAGEPAFRSARVLCSRNGRLVLELRRASRSAALRLAVVNPVPRASSPRSAVRKRTVTTTVLQGATPARCLPPTTSPPPRPGSFRVMVVYRRHNSTACRTYSSDTGVWVAERTVSGARLSGKRLRNMQTNGAAVAIGRTVFWQSNAAAVGLRVDTLEATLKFLPCHGSLNFQKQELLKNTLITASPDGNKLCAVQVRRYRGLQVLVRLLVPDEERGAKGWKETQKMIVTLPLYAFNGLVTVHTLQACEKSGMILLVAKFGDFPSRYHREFVLDVEKRRAQLIPTAAPDGHPLVVPQVARELPRRLKLSPHGDHHRDDDDDGLPFSDEILLTIFTCYQLSLAHLVRCAATCRRWLRLVSSEADFICRSPLAPDNRRATGLAVGFFHQLPPGPGETNGGASRPLFIPMETPRFQGASLDALFDGEPAFRCARIVCSRNGRLVLELRRASRSAALRLAVVNPVTGDARVLPTLAGKDRLGCYACALLTADDDLACARHKSTACRAYSSDTGAWAPEREVSGARLSGTRLRNMQTNAVAIGGNTVFWRSASASTRWRRRSISFQATAAILKTLLITASPDGKKLCLVEGMCSGAGRLLVRLLVPDDERWGSRWKEAQKFFVTLQQHAWDGLVSVHMLQACEKSGMVLLVATFGGYLSRYHRKSMFVLDVEKKSAQLIPVVVPDDIDWWCPKSRGSFHGYEMDRIGYLTSLGVRGDDNGTIGIDKNNSMMEAEDTVEGK >Et_7B_054368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20424037:20439647:-1 gene:Et_7B_054368 transcript:Et_7B_054368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSCSHPVDGLVSCYFTCIILRNIGCVFGSDSPAEQTCQQSKDELSRRSACVFVSRLSADEICHKPSRDELPSEQGLTLYCQPVNLYHTIQERAKQNPTFLRRGLRYKIDAKQRKRIQITLSLSGNTNAELQAQNIFPLYALFARSISHVLVEGHSPLYQFKQACLLTSFNESGRHFGQTLNGINCSEDYAENSSLEKLAGKCFWGKIPVSLLRSSLDNCRGLILGHTIKFASTIVMSPSFIEKLNIPEQDSLVFCSRKFNDMDSYQLRVSIHAEEAGARYIFKSPYTFYLYNNVLPPSSLDFVRLRVGNVLFNYMFNNNICETEVTEDFTCSICLMKCGNFKGLESHLLSSHDLFNFEFKLSEKKQVVNVSLKHHIRTNEYKKRGRLVAGIEMIVSSNAIEMIVPSEINDVVKHGQLVSLVSKPAGFEDGHVQKDNGIYQPDVSHALADSLHGGSLSPPKVLQFGKTRKLSVDQFDPRNRELLQKRQIFHSHRGQKMSFEEVLSDHDSEDEIDDDVVDLEERVVLDTYSDIAEDEKRIMHMWNSFIRRQRGLVNCRVLADGHIPWVCEAFSELHGQRFVQNPSLG >Et_9A_061387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10980420:10980851:1 gene:Et_9A_061387 transcript:Et_9A_061387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLHPLFLHTGVVNSKMQQLWSAKMPLKVKKWKESEKCGFCDDKETANQADFNNDGQTHLCHLKRHCSSNHRLQQTMQTREAICLGGERRWKNAQDCDATVHQTTAGFLSRALLTTTHLDT >Et_3B_029577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26111300:26121396:1 gene:Et_3B_029577 transcript:Et_3B_029577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSYKYWHIEYMAPNNKTASSVNTALVNMAVYSSFRSPLASSLLLCFCMLLLGVHGGSRTLYIVYLGDVKHGHPNDVVASHHDILSSVLGSKEDSRACMVHNYKHGFSGFAAMLTQDEAKQVAEFPEVISVRPSGWHTATTTRSWDFLGLSYQMPTNLLNKGRYGEEIIIGVVDTGIWPESRSFSDEGYGPVPSRWKGVCQAGEVWDRNNCSRKIIGARFYSAGIEDFADKTEYFSARDAHGHGTHTASTAAGSVVEAASYHGLASGFARGGAPHARIAVYKSLWKGKDGSSGGTTAAILKAIDDAIHDGVDVLSLSLGGTDDHSIAALHAVQKGITVVYAAGNDGPIPQTVENTAPWVITVAASKTDRSFPTVITLGNKQSIVGQSLYYQPKNSSRSSFRGLENGGLCTASDLNGTDFKGKIVLCQSPIPLSGNGPFEFFGDAYQNVLAGGGSGLIFAQYMTDAVDNTAFCKGLPCVLVDLNTGKRITNYIAGASSPKARIEPARSIIGDKAMVPKVAAFSSRGPSSNYANIIKPDIAAPGSSILAAVRDSYGIMSGTSMATPHVSGVIALLKALHPNWSPAAMKSAIVTTASVTDEHGTPILAEGLPRKIADPFDYGGGHIDPNRAADPGLIYDIDPRDYNYFFGCTITNISASCNGTSVPGYNLNLPSISVPDLRYPVTVSRTVTNVGEVDAVYHVEIQSPPGVKMEVEPSVLVFNAAKKAITFQVKISPLWRLQGDYTFGSLTWRNGIWPESISFSDEGYGPVPSRWKGECLVGQGWDRNNRSRKIIGARFYSDGMPEEVLETDYLSARDFNGHGTHAASTAAGSNVLAGGGSGLIFAQYMTNSVDFTADFEGLPCVLVDLNTGKRIANYIADESSPMARIEPARSITGEEAMVPKVAAFSSRGPSSDYASIIKPDIAAPGVSILAAVRDSYGLKSGTSMATPHVSGVIALLKALHPNWSPAAMKSAIVTTASVTDEHGTPILAEGLPRKIADPFDYGGGHIDPNRAADPGLIYDIDPRDYNYFFGCTITNILASCNETSTTDGLALRYPVTVPRTVTNVGEVNAVYSVEIQSPPGVKMEVEPSVLVFNAANKAITFQVKLSPLWRLQGDYTFGSLTWRNGQNTVRIPVAARMTIHDFYAD >Et_2A_016556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2643895:2646485:-1 gene:Et_2A_016556 transcript:Et_2A_016556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNKSKGAKFAAVKKIISKKTIKKYKEDVLNPMKKDAEKEKLGRNVPQVSSALFFSYNTALGPPYRVIVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFQRLACTHKGTYADDCIVERVTQHKCYIVATCDRDLKRRIRKVPGVPIMYITRHQYSIERLPEATMGGGMQYVVAN >Et_8B_058662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14939462:14940508:1 gene:Et_8B_058662 transcript:Et_8B_058662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYYDGHLNPRGYKILADRFHAATQMKHTKKQLSTRIRQLKKIYNFIEYLNSKTGIGRNEHTGWIIADDKWWDGQTKHCSEFKKLKHGPPPYLDLLNQIFRGVTADGSSAFTPSHKHMEVDEEEAEDDDVQEIKDDSPMSHGSHSHKRTSSTSTTATSPSKKTKSPYLNTMKNFVASSTATSDRSLALFEQMASIKVATNQTVMESFLERLREQEERKRMKENMVKAETKQAKQLALQSGVKETSVEFYALRTICANHQDREFFLDLETAEGRVAFLQRWCREHNLM >Et_1B_011086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17424682:17426283:1 gene:Et_1B_011086 transcript:Et_1B_011086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRNQKTFRPKKNAPSGNKGVQLKKHIDATLGSGNLRDAVRLPPGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPATCPTMSAGPKFEYRWADGVQIKKPIEVSAPKYVEYLMDWIETQLDDESIFPQKIGTPFPQNFREVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFTLFTWEFKLIDKAELAPLIDLIESIVSVG >Et_3A_025557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30720313:30721854:-1 gene:Et_3A_025557 transcript:Et_3A_025557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPATLPLPAAGMVAASPPAPVTTTGAAAYKRYLPLRIVATPATTSSSPLSTSTSAVSGTPSSVTHSNKQLVGRAGTSSKPSKPRVFFLDVNPLCFRGSQRSLSAFARWLALFFSHVSLRDPVIAVLDGEGGNEYRRRLLPSYKAHRTRGVGTGADSRVVDVLRECNVPVVRVDGYEADDVVATLTEQVLQKGFRVVIASPDKDFKQLISEDVQLVMPIPEIGRWSFYTLRHYIAQYKCDPTADLSLRCFIGDEADGVPGIQHLVPGFGRKTAVKLLQKHGSLENLLNTAAVRTVGKDYAQDALTKHADYLRKNYEVLSLKRDVNVQLDDRWLSTRKTYNDTCVLSDFILKFDEGRS >Et_3A_025807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33022397:33025326:-1 gene:Et_3A_025807 transcript:Et_3A_025807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYVTALTTLFSYGLLFAFGQLRDFFRRILDARKPSNLKGYAPICLGLEDFYTRRLYLRIQDCFNRPIASAPDAWFDVVERNSNDCNKTLHRTSKTTKCLNLGSYNYLGFAASDEYCTPRVIESLKQYSASTCSVRVDGGNTKLHTELEELVARFIGKPAAILFGMGYVTNSAIIPAVIGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNNPAHLEDVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIISVCKKYKAYTYLDEAHSIGAIGKTGRGVCELLGVDPADIDIMMGTFTKSFGSCGGYIAASKEIIHHLKHTCPAHIYATSMSPPAVQQVISAIKVILGEDGTNRGAKKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQNVAVVTVAFPATPLLLARARICISASHSREDLIKGLEVISKVGDLVGIKYFPVEQEKATAVEKLKIQ >Et_2B_020186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17744200:17746546:-1 gene:Et_2B_020186 transcript:Et_2B_020186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPTGPTPRTRTRGGLASSAPSSRRLSSISFTPTPNQAKKVLDPKVARTTRTTPAKKRPQVDQAQKRREELAALQEKLSGLQKKLLEKDEALRSAENLIGRISAANEAVEELRGQLSEKESLIESTGSELHGAKIMLAEKQAALEKLEWEANVSNTKVEELQVDVASMDVEVSALMKLFRKISENDRAPYPRDRTDDLSLECELVQLDPDSGDM >Et_5B_044525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3092392:3096682:-1 gene:Et_5B_044525 transcript:Et_5B_044525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRRELLTPTSKGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVAAYEFTTLTCIPGVIMYKGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNVILIVLDAIKPITHKRLIEKELEGFGIRLNKTPPNLTFRKKEKGGINFTSTVTNTHLDLETVKAICSEYRIHNADISLRYDATADDLIDVIEGSRIYTPCIYVVNKIDQITLEELEILDKLPHYCPISAHLEWNLDGLLEKVWEYLDLVRIYTKPKGLNPDYEDPVIVSSKKKTVEDFCNRIHKDMVKQFKYALVWGSSVKHKPQRVGKEHELEDEDVVQIIKKI >Et_9B_065138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21542086:21545588:1 gene:Et_9B_065138 transcript:Et_9B_065138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPPHLLRLLLLTAHLAAAAPSSAAGVIRLPTEGRAARTCAAPADPAVYDRPVIGIVSHPGDGAGGRISNGSATSYIAASYVKFVESAGARVVPLVYNEPEERILEKLSLLNGVLFTGGSVKSGPYFETVKRVFQYVLDRNDAGEPFALFAQCLGFELVSMIVSKDNNILERFDAVDQASTLQFPSYSLLEGSVFQRFDPELIKKVSTSCLVMQNHKYGISPKRLRENDALSSLFKILTTSPDENGKVYVSTVEAYKYPITCTQWHPEKAIFEWRKPMIPHNEDAVQVTQHFANHFISQARKSPNRPPADKVLDNLIYNYRSTFSGKTSKSFEEVYIFS >Et_2B_022272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16519716:16523175:-1 gene:Et_2B_022272 transcript:Et_2B_022272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLKITTLVSILARQFGRRVPLVAIGTRFGRPWLGRRIVVLGYPAPKRQHTFLGTGFVHFVLCACLCEEDLQGEYSEALKDMTGTSMKD >Et_5B_043499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11267207:11270559:1 gene:Et_5B_043499 transcript:Et_5B_043499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCRHVNPFRACAGLRGLGYLMVALVAAIVAVSYYAVVVYTWGPVLLGGGAAAAGAAAILAVFHILLALILWCYLMVVFTDPGSVPENWRHDAEESGDPASSTSEDQGNRPRYCFRCQNGKPPRCHHCSVCDRCVLKMDHHCIWVVNCVGARNYKYFLLFLVYTFIETVVDTLVLLPYFIEYFQDESRRSGSPGDIAILFLSFVLNLAFALSLLCFIGMHTSLVLSNTTSVEVHERRKSVAWKYDVGWRRNLEQVFGSKRLLWFFPLYSTEDLHNIPALRGLEFPTRSDAIV >Et_3B_030164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31009892:31012883:1 gene:Et_3B_030164 transcript:Et_3B_030164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGGGATVGKNPTKTLPLLTLLALAFLCLCVSANAQPLHSEPMATQSPRPAPPPPQSKIPRAQAGAAARLRRLALGVLFGSLTGFLLALAFLYAIRVAILHAKNAPAIIRGPVSFTPQISPRNLLAALPSAQPLAHGPHGKYYKLSLDNDLTVAVKRLDTANRPEASPSVSPRTSKSDMRRVQRQLEVLARVRHQNVMALKGYVREPDCLSLVYDFVPGGSLEDVMKRVRSQQVSLSWDARSRIAVGIAKGLRNLHFESNPRILHSNLKPSNVMLDEGFEPILADCGVSRLIAAGSGDPELCSGLYAAPECYQSSRYTDKSDVYSLGMILGVLLTGRDPTDTFFSGETGRGGLASWLRHMQQSADPKEVLDSSILTEEGEEEEMLMAIRVAIICLSDSPADRPSSDELVAMLMQLHSL >Et_8B_059504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18608628:18614130:1 gene:Et_8B_059504 transcript:Et_8B_059504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGAKFPAFIGAVGGHDCGADFGANFCDMAFYQKLGEGTNMSVDSLNSMQTSMHGGSIAMSVDNSSVGSNSDSRTGMLGHPGLKGPVVVGSYSVGNSIFRPGRVSHALSEDALAQALMDRRFPTETLKDYEEWTIDLGKLHIGMPFAQGAFGKLYRGTYNGMDVAIKLLERPEADPEKAQLLEQQFVQEVMMLATLRHPNIVKFVGACRKPVVWCIVTEYAKGGSLKNFLSRRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLISGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYNQKVDVYSFGIVLWELVTGSVPFANMTAVQAAFAVVNKGVRPSIPHDCLPALGEIMTRCWDANPEVRPPFTEIVRMLEQVELEVMNTVRKARFRCCISQPMTMD >Et_5A_040724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11627941:11636961:1 gene:Et_5A_040724 transcript:Et_5A_040724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIGYASAPFLIRLLVRVSFLSAAGLLLKLAMAMQLRSGRRLVSPPPPAPRGDLRRGRFRRVPGGEDRISSLPEELLLDVLRRLGCAHEAARTRVLSRRWRGLWTELRELKFEGGDADDLEGALARVRPNLNYLNMVVSEDGNLAAAQINSLLRAADRLAPAELVLSLCFFPFTGVLELPCFGSAASVHVEIMELNLIVAPAGVFASLEQLSLKCKFGEVVDLSALLPRCPRLRRLTKDAYHASGGKRIAVESMSLEELLLVIHVREPRPADLVSVAPELRKLSVSYNVHKELTVSHSAPMLEEFLLQYKCGKPCVAFGNKLCLHRLRMETRWSDGNGDHPLVRVHVLSLKILPLRRHTAVERSFAQEVARLPVNEFSVLELSIETGGHAFGPLLLHLLLIRTSIQRLKLVLMKNSCSENCDCDQDGNWRNQQFCLPDLRDVEIEGFSAVDHEIRTNAEENEYMLNFPRRSNQLRSGRRLVSPPPPAPRGDLRRSRPQRIQEDDGEDRISGLPEELLHDILGRLRSTRQAARTSVLSRRWRGLWTELRVLDFSGIDAEAVEIALARVRPNLNHLKIRISVERNITSLLRAADRLAPVELVLYLEGFGVDNVRFELPSFGRATSVVMFVTGLHFTAAPAPGEFASLEHLDLTFDRCYIADLTALVPRCPRLRRLSVSSFYAWASTDTNKIAVESTSLEELLLSMHVSGPADVAIVAPELRKLRVSYRVEYPFTVSTLSAPKLEESLLEYTLETWGVGLGYTLWWLQHLRMETRRNARNVLSLAILPKNVRHNHLASRSFAQEVALLPVNEFSVLELSITTVGHVFGPLLLHLLQIRTSLQRLKLVLKKRIYKKCSENCDCDEDGNWRNEQISLPDLQNVEIQGFDADDHEVAFLQLVFRSAPILKRVDVQLSDLTSPSDGGCQKLHIIFETNASVKCNSQPSNRLHPHMLVGWLEQINSLLRAADRLAPAELVVLLCHVGFFPFTGLLELPCFGSATSIHVYAMEFYLTVAPAGMFASLEQLSLTCKFGQIVDLSVLLPCCPLLRKRTMDAHHASGGKRIAVESMSLEELLLFIHVREPSPADVVIVAPELRKFRVSYNVLNEFTVALCAQVGGVSFPFHM >Et_4B_038570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:341484:343370:1 gene:Et_4B_038570 transcript:Et_4B_038570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQASRFKKICVFCGSSSGKKTSYRDAAIELAKELVSRDIDLVYGGGSIGLMGLVSQAVYHGGGHVIGVIPKTLMTNEVTGATVGVVRPVAGMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHRKPVGLLNVDGYYNSLLTFIDQAVEEGFVSPSARRIIISAPTAQELIDKLEEYVPYYDRVASGLNWETRIIYDAADDAGSSVSA >Et_7A_052623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9934120:9937672:-1 gene:Et_7A_052623 transcript:Et_7A_052623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQENIKSGGGDKNNVVVEEAMPAAKENGRVAAATDPRLQGISDAIRVVPHFPKPGIMFNDITALLLRPDVFKDAVDMFVERYRGMGIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYELEYGRDCLEMHIGAIEQGERVVVVDDLVATGGTLSAAIRLLERAGADVVECACLIGVPKFKDFYKLNGTPVYILVESRK >Et_1B_014298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5408495:5411248:1 gene:Et_1B_014298 transcript:Et_1B_014298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAATRDWGGLPELPLSEVMRRLLPCLRSLYAFAATCRPWRRLLRASAADLLRPGLPPLLLDGAYRQIVPFSPQVLPRPLPYRELPAEATALLSASRGHLLLRRGHLLVIIDAVTGAERGALPLPSPHFAYHYAALSPSHILVFHSQHAFFALPFPDPGPNAGSDWTKHSLPRAASFPTMVLEFRGRLLGLTDRAQLLEFHLGARPPSQTVQMLPATGLPDPTMFERWHFGARLVAAGDRLLLVLFLLRPKSGSLMLARRRVHKLAVYGLDMVRMRWEEVVNIGPYSLFVDCAGRSTAACVDVGSCGVEENRVYVAAPGCRTWKAFPPWWEASHAEDSTNVGIKVRFPGLNTSLDTFKAVATLCHVPLPK >Et_6B_049888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16567487:16573391:1 gene:Et_6B_049888 transcript:Et_6B_049888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSSTSGAFVSSSASTIATEEVAGSHVLTIDGYTRSKQLATGECLRSSTFLAAGHRWSIKYFPNGRIDGDWITTDLQLDDSACGAPGVVKARFTFSLLDLNGEPVPFYTHHRPMYAFGKDTSKGIGLIKRKALEQSSFLCNDSFRIRCDITVLKVIRKRDAATNAAEKFVIVPPLEMDRHLGHLLSTGEGADITLEVDGETFPAHRNILAARSPPSGTHHHRNTIISSKKQWPGQSSSGCHGSCSSPSRPVRSYPPTSCTSTLRTRRRGRRRPPQAGDCSPPAAGRRLLATRAYASFLRDNLPEHLLRRPKPKVHYSYSHAATGFVACLTRRQAAHLDEIPCRPCSPSSRTASSGCTPPVR >Et_1B_012043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28392378:28393279:1 gene:Et_1B_012043 transcript:Et_1B_012043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVDPKKFGLLANWQRDYTMENILTQLKKEMSASHNRKLVQPPEGTFF >Et_3B_029313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23887419:23892223:-1 gene:Et_3B_029313 transcript:Et_3B_029313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRPSRIVLKIKALRILSWKGQIHLILFANDVVHLDVDDDTKPATLADIEMSDKWDTSKIYYPSSTDPGAVEVTRSDMKCLEPEEFLKSPIINFYIQYLKNSRLRGDMYMFNTYFYCKLKEALSTTGDSDSQFSKLRRWWKSVDIFRKAYLILPINDMVHWSLIIVCMPTNESESGPIMLHLDSLSLHRSKELFDTIASFLEAEWRHLKKDSYDIPFSRKIWEHLPRNIDKEMVPVPRQQNEYDCGPFMLYYIDRFIQEAPERLTRRDLRMFGSKWFHPQEASALREGIRALLFDVFQNVQEDDELSLSESQSGDHSEGGDKNADSHTDTIVLDDE >Et_9B_063675.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18256360:18258698:1 gene:Et_9B_063675 transcript:Et_9B_063675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQMVLQALTELREPGGSSRLSIAKYIADHFSGLPARHDALLSVHLRRLRSQGLLRMSGYSYLLPTTPSPLGGPNTAAHPLGQKRGPGRPRKNPDLASLAPFQGVKRGRGRPRKIPLPGVKRGPGRPLKNPTPLAVLPPSGVKRGPGRPRKSAVSVDSSAPLLVVKRGPGRPPKNATPVAPAPPSGVKRGPGRPRKYTIPMSMPHTAMPAAGKRGRGRPPKNSQILDLVTVASTPVGKRRPGRPPKAKSAVSNNVTGVKRGRGRPRKVVPPADSSIPFPAVPSLEAMTKRGPGRPRKERNLGGEHLNPVVEAQPQAADQAGSVQNEGEAGHLPSSGTPVTEKRGRGRPRKRPLETETTETGVAAVVVKRGRGRPRKKKPSASAETGDVTSGFKRGRGRPRKENTSAGTSAETGIAASVGIKRGRGRPRKNVSIGTESAEAAVQVSRDLAESRPEEDTLMSGKEAAVELSRDLAESGPKEDTLVLGKEAAVEVSRDLPESGPKEDTLVLGKEASVEVSRDLADSRPKEDTSVLGDKTETENVFPVERMEAMPAEAGGVLVPGQKASSAQNVDSAMSDVAPIDSNLSNMRS >Et_3B_030941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9153080:9158668:1 gene:Et_3B_030941 transcript:Et_3B_030941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGEAANAECTRASLLGRYEIGRTLGEGNFGKVKYARHRATGGHFAIKILDRNKILSQHIDVQIRREIGTLKVLKHPNVVRLHEVAASKTKIYMVLEFVNGGELFDKIAIRGKLSEQEGRKLFQQLIDGISYCHDKGVYHRDLKPENVLVDRKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILFVMLVGSLPFDDRNLVVLYQKIFKGDCQIPRWLSPSAQDLLRKILEPNPMKRINISGIKEHEWFQEDYVPAVPYDDDEDVLPGSVLPIKEVIEEPPQVKPTHINAFQLIGMASSLDLSGFFEEEDVAQRKIRFTSTHSPTDLFDKIENVVTKMGFQVQKGSSKLKVMKNCKSPRNPKNPSSFLVSIEVFELGPSLYVVELKKSQGDSILYRQMCEKLSDELGVCKTEQIERTGSVDDDLSSFDSEASLSKIKLDHPLGHYISSSSESWNSCSVRGVALLELLAQSGNSCSVGGVARLGLALLELAPGCPNSGSGGRLHLAASVAALASCVTSLEPIGRQRVKLRVLSWRRRHCAALRLSWRRRHAIHRIAPLLSWRRHRVAPRLSWRRPRFAPLLSWRRRRLHRVTVTRLLRNPRHAGHAARPPAAAAFAQIQAIPPPGGRRRQRHHRHRRLNVVAPGLHRRLLLLRVELHRRGDRALRLPLRLGGVLGPADCLLARGAGAVLQQRQREPRTQEEHLRAALVERRPAGWAIGEGPPLR >Et_4A_034161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30360798:30371297:-1 gene:Et_4A_034161 transcript:Et_4A_034161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAALRVPLPRLLVLGPSASILGAAAARRRAPAAALRFLSASSPSSSASSRSVRLLHARRRDRDVDGRAAAAGGEGGGDGGDGRVTVKERIVPVELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILYAMHEMGLSSRRPFRKCARVVGEVLGKFHPHGDSAVYDTLVRMAQDFSMRYPLVQGHGNFGSIDADPPAAMRYTECRLDPLAEAMFLMDLELNTVDFAPNFDNSQKEPSLLPARVPSLLLNGSSGIAVGMATNIPPHNLGELVDALSVIIQNPEATLQEILECMPGPDFPTGGIILGNQGILEAYKSGRGRVVVRGKADIETVDEKSKRTAIIIKEIPYQTNKATLVERIAELVEDKVLEGISDIRDESDRSGMRVVIELKRSADPAIVLNNLYRHTALQSSFSCNMVAILDGQPKLMGLKEILQAFLDFRCSVIERRGRFKLSQALERKHIVEGVVIGLDNLDAVIQIIRETSNHAAATEALVKEFNLSEKQAEALLDITLRKLTSLERKKFVDEAKTLSEEISKLNELLSSKKLIFQLIQQEAADLKNKFATPRRSLLEDSLSTEVDDIDIIPNEEMLLILSEKGYVKRMNPNTFNLQNRGTIGKSVGKMRMNDSTSDFIVCQAHDHVLYFSDKGIVYSARAYKIPECTRTAAGTPLIQLLSLSEGERITSIIPVSEFGEDQYLVMLTANGYIKKTPLSAFSAIRSPGIISIQLVPGDELRWVRCCGDDDLVALASQKGMVIVNSCDKLRALGRKTRGGVAMKLREGDKMAAMDIIPGTMHIMPERSSNRGDLSPPWLLFIAENGIGKRVSLNAFRQSNFKTVGLKGVKLPPDCRLAAAFVAGFSLSDDGESDEQVVLVSHSGTVNRIKVRDISIQSRQATGVILMRLEHAGKIQSASLISAAAAEQVAED >Et_2B_020678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22630617:22636460:-1 gene:Et_2B_020678 transcript:Et_2B_020678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESSVPADIPTEDVELERSERLKRQRGAGAGKPGAPQRRREAERVGHALQPHGQARAVDGQPRHVVDVVADEPPHGGEERGHVAGERLAAGDDLEQVGDVVGPRVGDPREPRAPEQAAVVERHGEARDGDAAEAVRVEVHAAAGEVCDGARRVRVGGGVEAGQVELPREAPAIVRGHGEPQLQRLERVHVRLEERVTLLRRERILAGAVHDARVLHVHGDAGRARREGEHRVHLGVEVVRPHLPDRDADLRRRRWGVVERRLQRNRGVVERRRRGGAVRVAERAPRRGHHDR >Et_4A_034611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4879136:4881408:1 gene:Et_4A_034611 transcript:Et_4A_034611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSIRAAAKAAVIGGYRSAAAMRRAVVPSSQPPHSSSAAEGRRASTIAMDDWVIPDHEVFGPVPTHEEAMAATLDLRDAFEIAKADPIHTKVAPISALQEIVHSETPLDVFHSEASKKEDSYENLLATSGAPGRVVQAFTMLHQSPEAQDVVASLASDKNVWDAVMKNEKVMKFYKRLETKLSDCSSVASSLSEGEVEDDDTASSQNISVNSGESLKDYVEKMKALVSEMVANLSNMMQDLVATSNEGRCKGKLKTMVLSASNDFANAPSTFVLLAIASIMVVLLKRV >Et_4A_032582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11527745:11530873:-1 gene:Et_4A_032582 transcript:Et_4A_032582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAFLSLRLPTPSPSPSASSPSFPLPLLRQARGGAVSSVLVARAAAGPAGAPSPLFNPRGDPFLSTLAAASPEQLAAASGGERRGEDHLPFLEIFQNAKLMASPAQVERSSSSYSEHRPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELVVAQLMYLEWMNSKEPVYIYINSTGTARDDGEPVGMESEGFAIYDAMMRMKTEIHTLCIGAAAGHACLVLAAGKKGKRYMFPHAKAMIQQPRIPSYGMMQASDVVVHNRNTLVKLLARHTGNPPEKIDKVMRGPFYMDSLKAKEFGVIDKILWRGQEKYMADMLSADEWDKVAGVRRPDLMLGLNACK >Et_9B_065833.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14298954:14300258:1 gene:Et_9B_065833 transcript:Et_9B_065833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMSPLAVSTALLFLLCLASVGRAAGPGKLRAVMLPVRKDAATGQFLTTFRQRTPLVPVTAVLDLANPTTWVDCEKGYASSTFRAVPCGSKLCRLTGSGACGFCSRKPSVSCLNNTCGALPSNTATGVGTSGDFLTDVLALPTTPPSRPGAPLATAPAFLFTCGATFLTEGLATGAAGMASFSRNRFALPSQLAATFGFPRRFALCLPSFNAPSVVVIGEAPHTFQPGVDLSNSLSYTPLLVNPVSGLTHFQGDKSDEYFIGVTGIKINGRAVPLNASRLAIDRRGNGGTKLSSAVPYTVMEPSVYKAFTAAFAAATAGISRAPPVAPFKLCYDGSKVGGTRVGPAVPNIELVLQNKGTSWIVFGANSMVAVKGGALCLAVVDGGEFQRTSIELGGHMLEDNLLEFDLEKSRLGFTSSLLFQQTTCSNFHLGQ >Et_5B_045456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23610316:23617363:-1 gene:Et_5B_045456 transcript:Et_5B_045456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGGGGRGPGPGGRGGYSGGPGPAGPGGEVGGRGPGGRGGEIGGRGPGGGRGGEFGGRGPGGRGGRGGFQQQPYGRGGGFQQPHGRGEGGGRGGVYQQRGAGGGDHGRGGGEVHARPAAPRPAAPMVPAATVAAPVVPAAASASSARPRPPPTVAASSNSAPAPPPAAAAAASALARDMGRLAVADPAPRPGGAPAPAARSEAEAAAYQKPQLPPVSSKGIAHPARPGVGKLGKKVVVRANHFLVQVAAADIVHYDVSINPEPKAKSTNRVLISELVKAHAATFLARKTPAYDGRKSLYTAGELPFTSMDFVVKLGKERREIEYKITIRRVGCANLYHMQEFLQGRQRDSPQDTIQALDVVLRESPSLNYVTVSRSFFSKAFGHSDIGEGLECWRGYYQSLRPTQLGLSLNIDISSTAFYKSIPVIEFVQNCVRGINSRQPIADRDRLKLKKALRGVRVETTHGKRSVYKITGITSVPLAQLKYGVVSYRFAFFCPNEDGQMTVVQYFASRYKYHLQYTAWPCLQSGNDSKPIYLPMEVCQIIDGQKYPKKLSGQQVTQILRATCERPQDRENSILQMVSHNNYSADRLAQVFGIHVVNKMAEVEARVLPPPMLRYHDSGREKTCAPSFGQWNMFNKVFNRTPVTEICSASPNQIEAALRDLHMRAPDLNLLIVILPEVTGYYGKIKRLCETELDIVSQCINTKSKINNQYFENVALKINVKVGGRNTVLEKAVQKNLPFVSDTPTIIFGADVTHPAAGEDSSASIAAVVASMDREVTTYKALVSAQAHRQEIIQDLFWTSTDPEKGTPVNGGMIRELLVSFYQKTREKPGRIIFYRDGVSEGQFSHVLLHEMDAIRKACASIQEGYLPKVTFVVVQKRHHTRLFPEDHGRRDLIDKSGNILPGTVVDTSICHPSEFDFYLCSHAGIKGTSRPAHYHVLFDENNFSADALHLLTNSLCYTYARCTRSVSVGMFLSLMIISRYLFGKHFGCYTSTFKFTALVLVNNWFVLRAVPPVYYAHLAAFRARHYDDREGTDAASSVVSAGGAGGPPAFRRLPQVQDNVKNVMFFC >Et_7B_053615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10358490:10362462:1 gene:Et_7B_053615 transcript:Et_7B_053615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCFGSGRHGVKGGGNGTAASSSAVGGGGSRRQEEAAAVAAAPRAERIPAGADTARAKGNASSRKELSVLKDDSGNTISAQTFTFRQLVAATRNFRDDCFIGEGGFGRVYKARLDGSSQVVAIKQLNRDGTQGNKEFLVEVLMLSLLHHENLVNLVGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKAQPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDSTRPPGEQNLVSWARPLFNDRRKLPKMADPGLAGRYPTRGLYQALAVASMCIQSEAASRPLIADVVTALSYLANQKYDPNAANTSKKAGGGDQLSRVVDSGRALSKNDDSGSSGHKSPNKDREDSPRERLGTANKDLDRERMVAEAKMWGENWREKRRAAASSQGSQDSPTSGG >Et_9B_065955.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18698763:18699899:1 gene:Et_9B_065955 transcript:Et_9B_065955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPGQHKVVLCDDAVVEILLRLPSKSVLRCRAVCKSWRRITTDPSFLAAHAARRPREMIVVPESLTVSTMPLSLDDDPTEDGRRFLCDPTERRPHSVAIWRKLLYSLDGLLVVQQRPGFYIVCNPMTRQWTNLPVLACVTAFSCGFYLHGPSGEYRLLCHGVVEEEAGECKDYYYILSAGATETRRLARAPADRPTIMGYQVPVCHRGALLWSSCHPIAARTGGMILAFHTVHETFGLLSPPPSGATMMASLFELDDGELCAATMACVTQLDIWTFVHHCAAEPWTLRLRLQVPLPNAGFLVMSTIISAGDGSSSILVGDPGCPVVRWYDLKDKKLRKEVPRRSAPSFLVFSESLVSHSFFDGPARHPELALIKFPE >Et_3B_028962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20939200:20941528:-1 gene:Et_3B_028962 transcript:Et_3B_028962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAGGRRLLAPGLRRLGLGTGEAGPAAAVTGVRAYHERVVDHYNNPRNVGSFDKDDPNVGTGLVGAPACGDVMKLQIRVDEGSGKIVDACFKTFGCGSAIASSSVATEWVKGKQMEEVLTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKGKTGQQGENSSAEQAAEA >Et_6A_047548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7135001:7136739:-1 gene:Et_6A_047548 transcript:Et_6A_047548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMDAGKTPYVVAIVTQLFYTGSFVISKAAYDEGLDIFVFVFYRQAFGSALLLPLTLLLQRLTSLSVYQVSLKYTSATVISAIDNSLPAVTFLMALLLRMESVKLKNISGIVKLTGVALSLAGVLVIAFYTGPALSPVNHHRAFASHASGSGANVITRVALTKYTFLMPPILKECPNKLLITTVQSVFTMLQSFVAAVFFQRDFSRWKLRFNVSLLAVLYSGFVVMGGNTYLQVWCVELKGPVFLSVWTPLCFVFTILCSSFFLGEVVHLGSIIGATLLVGGLYSVLWGKTKENKVDDGEKHDEHQQEKPAEKKKNSYEEEQEQRMESGHKQEH >Et_2B_020970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25299088:25303691:-1 gene:Et_2B_020970 transcript:Et_2B_020970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSVGDIEVDLHTTQCPLTTKNFLKLCKMKYYNGCLFHKVEKDFIAQTGDPTGTGTGGDSIYKFLYGDQARFFDDEIRPELRHSKTGTIAMASAGENCNASQVFGMVAEGFDTLTKINEAYVDNNGRPFKDIRIKHTYVLDDPFDDPPQLAELIPGNSPTGKPRDEVAEERLEDSWIPLDETVAPGELEEMIRSKEAHTNAVILEGVGDIPDAEIKPPDNVLFVCKLNPVTQDEDLYTIFSRFGTVTSAEIIRDYKTGDSLCYAFIEFETKEACERAFFKMDNCLIDDRRIHVDFSQSVSKLWGQFRQSKKNAKKDGCFKCGAPDHLARDCDQDSDQKNKGPNYVLKDENTQRGGNHRRSYDLVFDEDDAEYSDKKDHENDHRKKIQRVDDRRPELPPRHERDSNSRERAHSDYKGSRHGKEDERNRGRKHDDYSSYHRSDDRSSARFDDREMKSATGKGAQKVGMEETVDTVVETRILMIGPTRKEGTKM >Et_5A_042449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11430590:11433500:-1 gene:Et_5A_042449 transcript:Et_5A_042449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWSSLPADLVVSVANILLADDDIDYYMNLCAVCIHWRQYTSDDPKLFQPKMWAVLKNYCSLYGTEASCFHRFHVQHHGQIEAKPVYGILV >Et_3B_028176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11372104:11377643:1 gene:Et_3B_028176 transcript:Et_3B_028176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSTEPGSFPRSPSYGAFEEWVALVRKRNSRPPSSSGRLAVRSCASSEGLEFPDLDNNIFDPNSYDYLPESSLWERLGRVSMMDIESSSFSWSSLTSLHHTKHTATSTESPEDDNNNSRSFEVTVNSGGVVFIGLFRENKNDDLPSKEAAAVIKIAPSRMATQSERFGYELAKWLRVRTPQGRVIHSSSYEWQKIKDAVDNARHMAITAGDELEELICTEMIEALELSRCLFLMNYVHGSPLLENAMPFDSRKSAEKTAESLGRVLILDLVLRNEDRLRCRTLGWRGNYANLLVVDKEAHANLDLVDDVCDSAIIRYKPEIIRSPQNQKQRRAASISGSISSDMSELMLEESYVRNVPEFSSFNIVAIDSCVPRRPPAGKRAKDLDTYPRLVELTLNNLNYSSNLLFEVSFGKLGTPGPDEVDISSDYSCHSPLSESDMVAVVNSFRAGFKSALRDLQRFHIFLLTVYQKLDGLLKIFFNLMYKVSNECDKEDSGPSDSPSGSVDTQIESNDTEVPRHLRRPSRSLSRDSLDPSSPGCQESFFTKNFKGNGDGSRGLRLTMRLRDFNKYAKVDSALSKEMEQWNDMLRTDVVKLCQDNNFNTGFFEGTDNSIAVDAYELKVRLEHILERISLISEAANTERPSKVTDYLYIGGALAARSIYTLQHLGITHILCLCANEIGQSESQKPCLFDYRNFFIKDDENADIGDVFQDASDFIDYVKHQCGKVLVHCFEGKSRSATVVLAYLMMRKPSMKVCPICGKNAGLSSSSLKLHLQKAHRKISSGSVDSAMTMEIQKAIEVIKTSKPALHYDTKAANEDLI >Et_6A_046435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1554071:1554825:1 gene:Et_6A_046435 transcript:Et_6A_046435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTWNAPWTAPPATTGSGWFRLIRSHSDDVLDDEEEDDDDLDGLLDEISPPVSDLDERIPDDPMLLTMAILRTLGRASSWEEAAVLAMLIMPGFEGEGDGAHRNGGGRVEEGDVSRRRRRRWRGCCGWMCHLPGGIRGRRGGHRDAVSTPVVSRSGWGAATCARSAAIRCPPVVRMTSESSSLPIERASQPASLKRGVQTLIIFLVLWEWWKERNRRVFQNEELYEVEAAAEDARRSNDLSFLES >Et_1A_008611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9105792:9109825:-1 gene:Et_1A_008611 transcript:Et_1A_008611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANNWLGFSLSGQENAQPNHQDASPAAGIDISGGSDFYGLPTQPASDGHLDHASYGIMEAFNRGPQETQDWNIRGMDYNGGASELSMLVGSSGVGGGGSGKRAVEDQSEPKLEDFLGGNSFVSEQDQSGGYLFSGVPMASSTNSNSGSNTMELSMIKTWLRNNQVPQPPATTHQPPQAEEMSTDASANSFECSDSLGRNGTVATAGSSQSLALSMSTGSHQLPMVVAGGGGGSASGAAAAASESTSSENKRASGAMDSPGGGAVEAVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEDKAARAYDLAALKYWGTTTTTNFPIGNYEKELDEMKHMTRQEYIAYLRRNSSGFSRGASKYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESSTLPVGGAARRLKDAVDHAEAAGATIWRADMVDAGVISQLADAGYAASAYHHHHGWPTIAFQQPSPLSVHYPPYGQHAPPSRGWCKPEQQDASVAAAHSLQDLQQLHLGHNFFGQASSSSTVYNGGGATGYHHHAGLGGGAGSFLMAPSSAAVAAADQGHSSTANQGSTCSYGGGGGGDEEGKLIGYDAAAAMAVGDPYAAARTNGGYQFSQGSAASTVSIARANGYSANNWTSPFNGMG >Et_8B_060356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:992156:993374:1 gene:Et_8B_060356 transcript:Et_8B_060356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQPAGEPPPPAEGAAPAATPASASGQRSVPTPFLTKTYQLVDDPAVDDVISWNDDGSAFVVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDCFRRGEKRLLCDIHRRKVTPAAAAATGAVTVAAAAIPMALPVGSPVYSGEEQVLSSTSSPEPPSLQPSGSGSGGDVGEENDRLRRENARLARELAQMKKLCNNILLLMSKYAATQQLDAAKAVAAGNCSGESVEAAAPPPPPPSILELLPSCRGGGAPAEPEAGTTEEGEEEKMSARLFGVCIGRKRMRSDGGGSAEDPLRHAPEVKPEPMDTQHQRHDEHTSEPQAWPIYRPRPVYQPLRAGTAGSDRSGSDHSERSGSNSR >Et_1A_008711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10182253:10182635:-1 gene:Et_1A_008711 transcript:Et_1A_008711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASTLATSMAVYVAAVPLRAPKGPAQLLMSAGYSLGQWDLQHFMVLLRPDPARTQALVFDFQPQDPEDVLAALAVLSRSKIPGKSSGFAVDSCG >Et_9A_063175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1446894:1450466:1 gene:Et_9A_063175 transcript:Et_9A_063175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSSMLVPASNKASSSSTVAAAARSSMCKASSVPNARLGRSSDIVCASVVCTKDLVIELEGLGEECRPAEVERMLLTVDAPISMFMTTICSWMASSGEAPPMMSPVMAPGSAMRPTVLALSMTGDSAITSDLFTCCSVA >Et_1B_010669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12940685:12945272:1 gene:Et_1B_010669 transcript:Et_1B_010669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQRILAAALMVAAAAAALVACLCVRPVRAAPERAEVTEFPGFGGELPSKHYAGYISVGHEQQEKHIYYYFATSERNPTLDPVVVWINGGPACSGFSAFVHSIGPFKIEGSQIHVNDDPRVIKNPYSWTKMASLLLIDSPAGVGYSYADNEDDYVTNDTSRVADLYDFLSKWFAEYSEFLPNPFYIAGCSYSGVIVPVIAQEIQRSKLLEGYSLCNPAIDVDIENNAPVPYAYKMGLISDELFQRLVTTCNGKYWNNTSPSCLENLNNFYLQIKGINMEHILCPPCRYKMGITQEAMEYDSGQMFERLSETSRSNRELALEELFDSNLGREKLHAKQKEVSRPWKRCPKDIRYTRDILTLTEYHLNLTSKGYRVFLYSGDHALLVPYSATLEWLKKLKYKEIEKWHPWFVENQIAGYSIRYENNILFATIKGAGHVPSDYLPFEAFTAYQRWIDGADSL >Et_5A_042120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6464523:6466912:-1 gene:Et_5A_042120 transcript:Et_5A_042120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPDGDIIDCVHISNQPAFDHPFLKNHTIQHHCPFSISLFGADQLQCFSPTCIMLSLNRAPSIIITLQMQPAYHPEGLFDESKVASQQNSQRITQMWHRNGKCPENTIPIRRTKKEDVIRASSVRRYGKKKHRSTPNPMSVDPDMLNESGHQHAIAYVEGDKYYGAKATINVWQPKIEQANEFSLSQLWILGGSFGQDLNSIEAGWQSDAYQATGCYNLLCSGFIQINNQIAMGASIFPISNYGGSQYDINILVWKDPKEGNWWLQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNTEPDGSHTSTQMGSGHFPEEGFGKSSYFRNIQVVDSTNNLKAPQGVGSFTEQSNCYDVQNGNNGDWGTYFYYGGPGKNPNCP >Et_4A_035719.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:4293821:4294585:1 gene:Et_4A_035719 transcript:Et_4A_035719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDKSNLSPPHPSSSASSASSSLKSLNKSSYKISKQSSTASSAASAPPSMRAPSPPQPQPQAPPPRPSLPPPPPPPPPPSALPADHPPPQPPVYNIDKSNFRDVVQKLTGSPSHLLPPQPPAAPAGSSSMAPPPLPPPPHRPLMAPPPLPHPSAIPSRLHRIRPPPLAAPRPAPILAPAPPGPGPALSPLPPLPAVCMSAESPISAYMRRLRGMPSPIHVPTSPLGFGCLPSPRTPPSPGVAMPATSPRVRDP >Et_4B_039104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7835736:7837590:-1 gene:Et_4B_039104 transcript:Et_4B_039104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTGRDGSLAGACNSASTPLRDHVVVVPKAGRGHAYEWTSMPCSRNYGGMLTSGPPSIQIDEAPKGVQDWGMGSRPANGFVSFLNTPWNPHQYQHFSSHSINDHVKGTPSESQTLINLDSPKKNCNSTRTDKRLPWQKEEETNLITTLILFAITAGQKKNATEKERRRGVHSPWKISSSSLPKSKGTPEDRNEMLETQKRVSSENLESARLNHLAAKENAKVAMLETYQTLIGKETSGLSDDVKAELVMALKCMRESIFPKT >Et_3A_026862.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24109700:24110002:-1 gene:Et_3A_026862 transcript:Et_3A_026862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSKVIKVGPWGGRGGSPWDDGPHRGVRSIALAYGRFVEAMTVEYVERNGPPPPRLRREARRRHDPQPLREGWPPSRQARTRRSRVARVRASHASFFV >Et_10B_003651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4306882:4310793:-1 gene:Et_10B_003651 transcript:Et_10B_003651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CSGGGGGGASSTDSAAGEARPWSPEASSSGLALKSLESGKEHMGDPAAVLPLHLGFVGESGSAEIFDLARGKKRPASPSTPGDEIDSPKSATSDEWLPSDNGSGDEKKQETHRPFTVADFPRVSCDHDEQADLLYNHPEIKLRGPPAIMLFHPFKSGKLAHFSEYNLTDESDIRVRNVDDCSNECRCLPMELLQFVSAQIAGYQHTGSGPAKIFGFVAARDTINPLRNYVYRRQIDKCEAVTVKSKTGVACLSLTSPARVISFTSRVLIEFELHARNDEETGDSCPIIEGCTELYNMYGSKLFMNQERLYGERCALDIKYLVLKNAVEAQVDVKVLHLGAIPGGIGMKLYAKTSDFSEMICLFQGAAPDLGNTMSFVVGAEKRKGFELYIEGSARDDQKLLPFSWRWGFASSYHAMDEEVAELGEFATVSVKVTWRTHSKKALS >Et_4A_034627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:494003:496005:1 gene:Et_4A_034627 transcript:Et_4A_034627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPASVQIPSTIRPVAKPGLQHRSVFNPDRFRWRGSGTKTILSRCKVLGSSNGAPSGGLMKKRKIVEHIILLRAKPNISDAEEKDMLDYLYTSQYQMRGILAVSLGRIEDPNSENFTHAVFMRFQQIEDVVKFQSSAYYSKVLDEHVKPVSYGSVSVDFESEVEDDIIPLFRRGEDFNYGVEFMLLISFLETASKEAMEDALACIQKLLSQCGSSIVQATFGCCLNHTGNGYSHAAVIRFPSFDDFKLFRESREYNDIWASKFDPIVERSLQLHFTVDPVGNQLM >Et_1B_013106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5550414:5554306:1 gene:Et_1B_013106 transcript:Et_1B_013106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKHLIPHQAAGLTYAHAYAAREDYSRRGDDGGGRDPFEGFPEEVLGLIVSKLPFRSAVAASAVSRRWRGALAAAPALDLDFAAAFPEAPRRRAAFAAAAAAALAGSSPLRRLRLALEGFFDQAFAAPAADHLASWLAAAAARGVERLELHLPRSRHAVLPPSLLGCTSLTSMTLRLDHYALPLPSLSSLTRLSRLHLASVSLAGNGDFFGDLISGCTELRYLILEQCHIGALRLAGPSRLCSLVIKDCSWTQESSVAVSEMPELRTIRYSGEAATRHIVDGDISLDEVRLAIEKPQVKPQDATLRELITLVGNVRTLLLSSWCMEQFARLEECSKVQLGKVRQLECMIVTREEGALSVASLLSNCPNVEELCVSVVPTQWKRRRCSEDGECHRVLGRKGATMKHLRGIRMEYIDESKSGFELVKALLKNAPALEMMTIVPSMDGLEQAKFKRRVLKLRKTSRNASINCMIEAATRGCFL >Et_3A_024137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17729439:17729749:-1 gene:Et_3A_024137 transcript:Et_3A_024137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVRTSANVAAHLCAKPVSANSPIQKKRRRFVGKKTLIATLSYWIQAFQESCERTGKRISLSSKGLEASFENLVFLFCEGAVCKF >Et_2B_021029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25751398:25753189:-1 gene:Et_2B_021029 transcript:Et_2B_021029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGPRLLPWRLALPLVAFVSVPFILPLTLPLLLRHSSSTFPHSPSLLRLSWLPSPQPRVPSPPPPSAPKTMAMTAPPPPPPTQTSPFPPPPSPPPPETGETAETERCDVYDGEWVRDDASRPLYAPGMCPYVDEAYACAANGRPDAGYTRWRWAPRHCSLPRFNATDFLARLRGKRLMLVGDSMNRNQFESMLCILREALPDKTRMFETHGYRITKGRGYFVFKFLDYDCTVEFVRSHFLVREGVRFNKKGNSNPILQIDRIDKTANRWKKADVLVFNTGHWWTHGKTARGKNYYKEGDKLYPQFDSTEAYRRALKTWARWIDKNMDPARSVVFYRGYSTAHFRGGDWDSGGSCHGETEPTFKGAIIDSYPLKMRVAEEAISQVRFPVRLLNVTRLTNFRKDGHPSVYGKAQAMVSKRKQDCSHWCLPGVPDAWNELIYASLVLEPNPSSWKQR >Et_8A_056078.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17263863:17264580:1 gene:Et_8A_056078 transcript:Et_8A_056078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADAAELITFSGAGKDGGAGGGTYTVVGFEVVPCSVDRDAAAFAGAAMYDEVPAKATAGCDASVVGARVREDAPLVFTYEVAFAESDVAWPSRWDAYLDMGDGAKVHWFSILNSIVVVSFLAAIVLVILLRTVRRDLAHYEELGGLESGEQVAEDLAAGWKLVAGDVFREPSHPVLLCVMVGDGARILGMAVATILAAALGFMSPACRGALVT >Et_4A_034604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4756533:4760169:-1 gene:Et_4A_034604 transcript:Et_4A_034604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRHSLELPVDDDPAAADRDRARLRQLGYKQELKRGLSVVSNFAFSFSIVSVLTGVTATFNTGLRYGGPVSMTLGWLVMAAFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKDWAPLASWITGWFNIVGQWAATTSIDFALAQLVQVIILLGTGGLNGGGYMASKYVVLAIYGAVLIIDGLINSLPIQWLALFCHLGTFCNVAGIFTLVTLIPAVAKDDRASVNFIFTHFNTDNGMGIHDKTYILSVGLLMSQYSVVGYDASAHMTEETKNADWSAPMGIITSVALSSLFGWIYLVALTSIMTDIPYLLNPQNDAGGYAIAQSLYNTFHRRYGSGVGGLVCLGIIATATFLCGIGCITSNSRMGYAFSRDGAMPFSRVWYRVNKQEVPFNVVWLSVAMAFVMALTSLGSEVAFQAMLSIATIGQYVAYGLPIFFRVTTARKSFIPGSFNLGKFGVIIGWVAVAWVALVTVLFSLPVAYPIAVDNFNYTPVAVGGVLVLSVGGWVLHARFWFKGPVSNVDA >Et_9B_063662.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17067152:17067730:1 gene:Et_9B_063662 transcript:Et_9B_063662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGQRNQHPTKRNLSKRPATDSTILHHRGLLLPEPEAAVIPAPTPPLAGDQPAGGHATMPRSAPPSLVGLHRILFLATLSLLLAQTLASAAEPGDPCAVSVSDGGGDAPSCPVRCFRPDPVCGADGVTYWCGCPDAACAGARVAKRGFCEVGAGSAPVSGQALLLVHIVWLFVLGAAVLFGFL >Et_3A_024519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21613250:21614668:1 gene:Et_3A_024519 transcript:Et_3A_024519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHHHHHLAGDHHPSPTSTALGPLLLLPSELLHEILLRLAVPELLRVRSVARPLSHLISSPDFRRLYHLASASSGPGPAAAWLLLFKKLPPRDAAIRGFHGPSGRWFRIPVSAILGPAVPPGEDLYFLAASGSSFLFAANGRRELVVVDLSARAARRLPPSPLGPRGTSSWRRFGLKLVADPPGSNRFRFLFAELVNNTPFLFEYRSETDTWQQSEAVLAAAGGATSEPPAPDGTYLCAAHAGPDCVMVYSGPGETDRPVFFRPRFPPDNAGPGDRLHVYGDGSAAVVRSAAIDEPSRTRVKVVTGVDLYGFGAVGGDWELVASVPGELVEGFRKPYAVMTGLLAEREGVVRLVLISNCRGAWDLVWLSYDRARREWQWVPVPDWGTSKGLNMAGIAVSSTFSRLWPLAAPPSSSG >Et_1B_009983.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:16716327:16716560:-1 gene:Et_1B_009983 transcript:Et_1B_009983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYNSLIHGAPRTAAKGQRTLCALVIWSVWKERNNKVFNHKKKTARRISEIQDELRQWAMAGAKVYGRNFATVAGW >Et_1B_011563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23646264:23649321:-1 gene:Et_1B_011563 transcript:Et_1B_011563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHHLPTAAAASPEAAATDAPPPSVPDPSSLRIHIPSSPHHALPSTPHKRPVVMTSSSSSSSTPTRPSPSPFTPPRRRKAVPVAGPPAAGAAAARHLLRCLHLRLRILLLISLPTLYFLSPSHAVLPRSLLADFLSAAAFSCALLLLLCLSLPRLPFALPLPLPLRRARRSPILWSIGSSPSASASASASKPTTGHFVQLYSNGDIYEGQFHRGRCTGSGVYYYYMSGRYEGDWVDGKYDGFGVETWARGSRYRGQYRQGLRHGYGVYRFYTGDVYAGEWSNGQSHGYGVHTCEDGSRYIGEFKRGVKHGLGHYHFRNGDTYAGEYFADRMHGFGVYSFANGHRYEGAWHEGRRQGLGMYSFRNGETQAGHWQSGVLDTLSTQNIIPGSPIAVNHSKVLIAVQEARRAAEKAYDVPRVDDKVNKAVAAANKAANAARVAAVKAAQKRIPNNGDDLPLSVV >Et_4B_036423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23305083:23306257:-1 gene:Et_4B_036423 transcript:Et_4B_036423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPAAAAAAAEAFPSSKRKSIAVGNAEDYEETCHLGEGSFGAVVKGRHRVTGKIVAIKRLTAPDVDVDREVELRREARFLEECSGIPFVVGFHGLVRDPATAELGLVMEFVGPSVADFLLRQRARDSTPLPESSVRAVMRQLLTAADKMQERGVVHRDIKPENILVDQDQGVVKLCDFGLAMSLISDAPPYEPAGTMCYKAPEMLLDGRPLFQGCYEEGQLCAIFDVLGVPDEETWPGFSATDFATEEGFQVLSGLLTCNPDKRLTAAAALKMPWFTNYVDVLELPRKEVPSALPKTNKRQKLQCV >Et_2A_014667.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33379688:33380347:1 gene:Et_2A_014667 transcript:Et_2A_014667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLEPGHDHLIVRRNAGECPRLLLVPGLGGGVVERRPEHEVSRQVLVDLRQLHDLLQLLVVVVERRRLPLLWRRRRHCGADDVVVVVHGRGARGGGLHVARRRGGRARQGRGHIREVEHGVGALDDERGGVVRARGHLGRREGAEPDAGLLARLAYLRHPLPPRTHPHAAVARVTPAAAALASLLHAPGRRRRRRPGDIVLRRHCQWQKLKARVRELS >Et_8A_058183.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:2343576:2344727:-1 gene:Et_8A_058183 transcript:Et_8A_058183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGEHRRHSKGRQRLLFVVEEKRLDRRCLTYLVFKMNLKDMFASAADDEGPDDWAAMRNFPRPVAQFDTLPGRPERLDLAVVGGVNIVAVSSDRRTVIYDTAAAAEVPSGPELRYSMPGGVALIPQGTRVYAVANHLWPPTRYSQPISFQALVPPPPAQAQQPSSHDRRWSWSALPAPIAEMWKRREKASLCNVTAFVAAGTRAWVSAPGRGTYSFDTARLAWRKDGDWELPFQRRGLFVPDLGLCFGLCAFDLPTAGSGQPPSARYVWQDETFPRECIDRGFHVCNPGGSLAYLGDGKFCITWTIGFEYAGQDMVPSRFALFLMAVQVVRCPSPSSREEPAAGSLSLGGGELRLVKRKMRCYKMSSHALDGYVLQPSLE >Et_7A_053121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7224320:7227245:1 gene:Et_7A_053121 transcript:Et_7A_053121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSPAGASAAQGRRAGTVVERKLDELCACLDNALSSSPRSLSPGAEARLVEDIKKRTDFLRSLLVAEGECHGAAARPPYLVDAEARFAVLEAAFDQWARRVGAEPAAAAEEEEEEEMERLLAEEDSGSGSESECSCADSCQEAAEAATGDADVDADRVAVGLLVDVTPDATDEKRDSVVAREDAVADQKRDAVATREEAAAPDADAKKRDAAERHAAVETKRRTVQRRWWRRSAAWCGAAGVVAVVAVGVAVELAAVAHNNTVCSLHIPSPLTEGRSPLPLPLQEPATED >Et_1A_007272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3303742:3304151:1 gene:Et_1A_007272 transcript:Et_1A_007272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPRRALVAAVFLSFLLGAATSIRTTAFSPSQNLAEDKSRLGSRPPSCHNRCSACNPCTPVQVTTVPGSSNSAPHITDDTVAGFSRYSNYKPLGWKCRCAGHLYDP >Et_2B_021102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26495802:26501980:1 gene:Et_2B_021102 transcript:Et_2B_021102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHYFMYLILALASLLVVLNRRRRSAKAQEEHELRLPPGPWKLPVIGTLHHLAGDLPHRAMRDLARRHGPVMLLRNGEVPTLVVSSREAAREVMKTHDAAFASRPLSATVSALTSGGRDIIFAPYGDHWRQLRKIAITELLSARRVLSFRPIREQEVAAMLRACAAASRPVEMRRRLAALVADTTARAVLGDRCKDRDVFLRELDRSLELSAGFNPADLWPSSWLVARLSSSVSCAEKCRDTVYGVLDGIIKEHLDRMDSGAGAGEAEDLLDVLLKIQRNGGLQIPLDMEVINAVIFDIFGAGSETSSTTLEWAMAELIRNPKVMQRATAEVREAFGAHGTVQEQALGELQYLHLVIRETFRLHAPVPLLLPRESQEPCRVLGYDVPRGTTVLVNVWALGRDERYWPGDPDAFRPERFEEAGAGAADFKGADFELLPFGTGRRMCPGMSFGLANVELALASLLFHFDWEAPGLSDPAEFDMTEAFGITARRKANLLLRPVLRVPLPPSVAQVTAMAALTIPVILLLSLLAVVPILFHTRAASRRRGSGVRLPLPLIGHLHHLAGALPHRAMRDRASRHGPLMLLRLGELPVVVASSADAAREVMRTRDLDFATRPLTRTARLGIREGAEGIIFAPYGDGWRQLRKICTVELLSPRRVQSFRPVREEEAGRLLRAVASAPPDQAVNLSELLAVYAADSSVRAIIGSRFRDRDTFLAILERGLKMFAKMSLPDLYPSSRLAMLVSRMPGKMRQHRREVDTFLDAIVREHEESKDAGDGKEDLLDVLLRVQREGDLQFPLTTDNIKSVVGDMFAGGSETAATTLQWTMAELMRNPRVMQKAQDEVRGVLAGRHKVTEDDLSNLHYMDLVIKEALRLHPAAPLLLPRECRSACQVLGFDVPKGTMVLVNAWAISRDPKYWDMAEEFMPERFETCKTDFKGTDFEYTPFGAGRRMCPGMAFGLAHIELALASLLYHFDWKLPCGMEAANLDMTEEMGVTVRRLQDLLLVPVVRVPLPGKSLKVAPARLPPGPWRLPVIGSLHHLLTKPLMHRAIADLARRCDAPVMYLQLGEVPAVVISSRDAAREVLKTHDAAFATRPMSLSIRATAHEGMGIAFAPYGERWRQLRKICSVELFSAARVRSLRAVREDEAARLVAAVAATPPGEMVDVGARMAAFVADTVLRAVLRERFGRRDELLEVLEVGLNKIKPVMSVGDMFPSSKLLCAIGGTVRNARAFHRKITELVDCAIEQHRDSERGCCCRR >Et_4B_038766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4729665:4731004:-1 gene:Et_4B_038766 transcript:Et_4B_038766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEASWHSFDPSVAVEDSEAMAQLLGVQYFGNEHKQPTPAAMSYWPGHDADQQYCSAAPYYMQHHLSSGAGYDDHGYYGASTVTMTGDFFVPEEHMADPSFMLDLNLDFDDQDGGGGKPPACKRKLELDQKDESTTGIVPSKKKGRSTTMPAPKKSKTKKAQKGAMQGNQEESTGNLQSSSNYLSDDDDSQEMTACSNVSSASKKSSSGRAKARAERGAATDPQSLYARKRRERINERLKILQNLVPNGTKVDISTMLEEAVEYVKFLQLQIKLLSSDDLWMYAPIAYNGVNIGLDLKISPPQQ >Et_3B_027852.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:22771055:22771354:-1 gene:Et_3B_027852 transcript:Et_3B_027852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATATTTVAVALLVAVALSAAPRAEAGQNCICECMKLCAQAEIPSMRDCVSKCREPACVRSCEEACTRKGFPKLPVEGVALCEYEPLTPNEEHMLQH >Et_8A_057400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2700546:2724103:-1 gene:Et_8A_057400 transcript:Et_8A_057400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EGKLWTSCKYPSVNTNFLKFFSSVKKSRHLLFSTGQLCSSAHRRIAVTLSNDMTSHSFWAHTSFSKSSAYRSAAASNAWKPCLRTSSACSIAAAIVVVEEKSLSSGNLSVYIKCSMSLNVSGSMSRNLMLGMVASAMGPNSSALNTGDRAASTARCAGNVSPATRNETSEQTPFWRRRQPRWSPMSEDGTVIRLSRRTTTPHMTVTWPSTMYSLSRSVPFAKFRKPKKYEPLAGGYTSTRRKPCDREGVPSLSIRKASKRAFITAFLKFFSTTKKSRQLFFSSAQLCFSAQPINVATVSADKCSHNFLVHNIFSRSKWYMSAAASKFWNRSMMEELWISSSLGKESVYMNCNMTSKLDTFRFGIFHSAKEQGFEVRRACGKHGPMSTKLVTADLECHDAAEIVTNVRGWHGYRIVTQNYCATHERDMTIHHVVHLQISPFHELSKAPCFEALGWVHLHTPLCMRQGRCAVIVHQEDVEDGLDNPMHFLFSSGQLYVSVQANVEANVSTVILSPSLRAHMSLSRSMPNLSAAASRCCINSARVSCSSSSSSGSASVYMNRSMTPNVAGSMSCSVMVDDGVVEGKSAALRTGERAASTARCAGKVSPQTTKETSLVAAALSSRRPRWAPTSEGGTAMASPTTTTPQTSVTAEPPPAKPEPSRENNPLQIPFHCKEVNALVLQFRTIVRIGENQSRSKRIRGDLLPNPLRAHKLQPVQRVPVGRGEQVLHRLRRRVPDLAVPGQIVRVDEVVHELKRGGVNVHQRDGGRRGVRRVAEQLGVEHRRAGGEHRAVRRERLAGDGEGDVGAGVAAQQPRELAAHVGRRHGDGVAAGGQDEHRVRDPEAAVVADVVRLEVVPPHEPRPALPVLPAGRALAGEPLRVGHRRIAV >Et_2B_021329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28753163:28754574:1 gene:Et_2B_021329 transcript:Et_2B_021329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGAGGAAAPFVWKTYMMVEDPGTDGVIGWGRGNNSFIVADPFVFSQTLLPAHFKHNNFSSFVRQLNTYGFRKVDPDRWEFAHASFLRGQTHLLRNIVRRGSSAGGGGGKRKDAAAPADGDDMAMVATEVVRLKQEQRAIDDRVASMWRRVQETERRPKQMLAFLLKVVGDRDRLHRLVGDAAAAAPDAGLVPNGEVAAEVGGEKRAKLLLDGDAGAFGPDAVDFAGFYSDVAVDATAGSGSGCSFAFGVDTGY >Et_2B_021113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26551876:26560626:-1 gene:Et_2B_021113 transcript:Et_2B_021113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSESARHVVHQQSGSGSNRGCRRLLPCLTQKRHESRDSQQAVLSRSGRAESPRKGTSLLRENSPIPPARSTDPIQALALDSRTGPHRFTRRRSRQRAAVAGWARQAKQKQKLPAALSDAHAARDSRLLQILRFPTLERRAAELEPRFLSPDQSILSSPIAAMDPHPPFDHPHHRRAHPGHHYPDQHRHHYADQHQHLAGGGGAAPARSRYDYDSHPIQYPPSDHPHHHPRIHHPPLPPPPPPLPPPPPLPPHHRHDGPHYAALPLRAPPETYSPPPYHNPSPHHQYHQQRHGGDDFRAADEIRRAPNRHHHHHHHLQEQHHHHHQPQVPWEEAEEERRRYATLPLRLSPPLRLSPSDSRKRHRCSLHDSGDLESTSSSGPPPRRQRQHSHTSYASDDSFVDRASSHSGYSRHDGFPMHSDSKGNRKMLTSTSTMPPVSPQEIDDGYPRRTVQKGAPPRVSVWQRIEENPSACTPSSPRQLHISPSKAKNPGRASKELSSVISLDCKAISPSKMKSTGSASKESTSVISLDRKGKTGDCKDSGDNGGTKKSTGKKSEKVLASVLVKPSPESKDKEVAVKQATRKPDKVQNNNVPGFSIGSAGLTACRGAGVKKVKKIIIKKIVRKIGTRDKQTSGSTVSEQKENVDANANASEKEEGEITTSSFEKDDSSVHNLISISDTAGVVSSVDAEKEQNDGLMNLSKRNAASDSESIGILDTASVSGSQHSENENKSFMNPSDISGASVADSTKVLDTIGGEHPEVRGCMDSDGQNSALLCENGNTSHKEEDGMAVSGAVRIVVGSNSPRMHDTMKPRECDVPKAMTGNNAVCVNGVKDDTKQVRESTKGQSKNSNLFTDNSFRSPSTVEASVTACKDIHRKEGSEMCVASLGVYMETPKIAEPSTTQETCKEEGNMLNSPKEMGIVSVSSLESLNGMEFSVNDGIQDKNGRIPMEQSKVNASVTHHVNARDGLKASVDEDIQEKECITSCEAATYVIHHEKAPNTIKLRMNENLQKKESQMPIDSNALKAAQHIEALNTAEVFTNKVAGSEVCRSPMESDETHVNALNIDAPDAVLASGTEDSLTQDLIHVQGTALDKTDLLRETASMEFSNFPLTKNVESLITPLDGDFIEDPSGPIILNTDVGKDTIPQAAELMHLHGADLCPANNLSLLHSHDSPSVSDNSERSPPTALTLGNNTYFSSAESEQPEECHKLIESSKGLDDVTGTGFGKVEKKESESGNDLINADAQNWLTLPLAVNYVNSDTAVSMNRFDLEQNVDEDTSVSQDHGSMPDMDQGGRIYAFDDQDHSRKLSCSNVAQSDLLALKERDKNVENEREIVPPESSASSVNVLDQHGYPTMDKPIDNNPYKPIVLSSQSAGVTGGELASQVYIDPGHTCHSTMDEPGTKPDFLSSWIESIVSEATKEHQPCKSTPDKILGPKKDAKKAFSDPLVNSALKSPPRLNIASSTVPKVPTKQMGLAGSSREAPRLKQNARHMTWQRDNVSSPKSYLHASQPSGLPPKLPLKKSSKTPNCYIRKGNALIRNPATGNHPQSSSGLDAPSKLSKPVMRRSMNFVRKVDSNDTVAHSNISVERPKTPPLPLHTKSITMNISEPFSQPLQKQQVPETVNNNSSGQVNPGVDKPSVTIQQKSEPLDAGKLVYVRPKSNKLVASQGQQSGGSNNSSIDKILLSQPSTTSDLYFKKSKNQIILGSSASDVLSSKDTSQAENSNTGETKVLMLTSSNKNLTVAKDGPHKALQTTNTVGSFSHVWTLSGQHPQRKPFVGTSHIKAFPRILPWKRKVFGHNFRSNYSSVLNTSSLGIVRKLLKTRKRGTIYTVSTNGFSLRKSGVLSFDGSSLKWSRSLEKGSQKVNEEATLAVAESDRKKREKKKRQSLRDKGRNDQYSLPVATRNNNHRSSDSRVPSTCNEYVRINKGNQLVRNPKKVIRMLASEKVRWSLHTVRSRLAKKQQYCQFFTRFGECKKSGGKCPYIHDRAKVAICTKFLKGLCSNTSCKLTHKVLSERMPDCSYFLRGLCSNTACPYRHVKVNSNASVCEDFLKGYCADGDECRKKHSYVCPVFEATGECPQQSSCKLHHPKKKIKSKRSREGTLQNNSWGRYFDSSIGEGSEAVRVFCLEEEDKQKQEHAAGGDLADFIHLGADIDGSVDVDASDDIHLMELDSGNLKTQADNLDALIKPLRIMRTARLYSVEHLGQGVLLDPCEEAEALTGISFFKT >Et_4A_032032.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:12746612:12747196:1 gene:Et_4A_032032 transcript:Et_4A_032032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRSVSVSVADRALRGVSDLIKLLPSGTVFLFQFLTPLVTNNGHCAVFNKVLSGALVALCGAFCAFSSFTDSYVGADGRVYYGVVTRRGLHTFAADPGAASRDLSAYRLRAGDFVHAGLSLLVFATIALLDNDTVACLYPALELNERTMMAVLPPVVGGVAGYVFMMFPNNRHGIGYQPAAAATEDVVEHKY >Et_9A_063137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11443618:11444418:1 gene:Et_9A_063137 transcript:Et_9A_063137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVHPNVASSAAASAAGKSATAAAAAREEEKGEAVSLTVWRRSLLFNGKGFTVFDCKGNLVYRVETYAGGSPREVVLMDADGHGLLTIRRKKLSFSDEWLIYDGDTAAASPAPKRFTARRHVSLRPTRSLAHLSPATRAPACADDAAAPSCRYDVEGSYAGRCLDVFASAISGGGGGQRRRVAAVCQKEAAVGPDVFRLVVEPGFDPALAMAVVILLDQMNAS >Et_8B_059185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1529406:1532871:1 gene:Et_8B_059185 transcript:Et_8B_059185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSSHRSLLLKMPAAMTNGHSPNLPAGLLFYSQSHGGQAAPASANATSGGATAMAEDASLESSSAVVDTSPQGSPSPMDRKRKATEDSATLSSGHSKDCKEGKSKRGKRSSKEAEEKSTTEDEPPKGYIHVRARRGQATDSHSLAERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASMSPVLYGFGMDSDGIHEQAQKMGTMFQEALAMPGPVPNQASPATSQAIMDTTSTTAFPLHGQGAISFSQDSSSYLMQAVGEPRQELLNQLMRKQKVQELNSKAAKDLTSVVECNAVQETHGDDADMQCN >Et_2B_022132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9596412:9600331:1 gene:Et_2B_022132 transcript:Et_2B_022132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSGSNNGYLGVTEPISLNGPTEIDIARTQEVEKYLADAGLYESQEETVSREMVLGKLDQIVKAWIKKATRTGGYGEQFVQEANAKIFTFGSYRLGVHSPGADIDTLCVGPRHATRNEYFFQVLHDMLSEMLEVSELHPVPDAHVPVMKFKFCGISIDLLYANLTHVVIPEDLELSQDSILYNVDEQTVRSLNGCRVTDQILRLVPNITNFRTTLRFMRFWAKRRGVYSNVIGFLGGINWAILVARICQLYPNATPSMLISRFFKLYSKWKWPSPVILCHIEEGSLGLPVWDPRRNFRDRGHLMPIITPAYPCMNSSYNVSVSTRYVMIQEFTRAYEICQEIDDGKAGWDALFVPHPFFESYRNYLKIEITARSEDDLRNWKGWVESRLRTLVLKIERYTREMLLSHPNPRDFLNSSRPLHCFYFMGLWKKQITQGQEAEQFDIRAIVNEFKISIHAYQYWKEGMEIEVTHLRRKDIPLFVFPDGVRPSRTVDKEARTILRNKVSTDPQAGHLPNVPHYALSNEVKLASDPSAAYHSPGRTIDSSLPSEKTAHDSNGYTNIHTESVEDRLCNYSDSTLVPQKHGSLDVVEPPQTMIPNSINGFSSPTNGLDTILDNSCEGRAEIDVNRHSNFTSTVLGVPDELDELVPHQGKIHPQNLNPVAQTLSMNGGAGSTAGIMGTVCSHGVNNLKRKANEELEVSPFPP >Et_4A_034502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3937090:3941746:-1 gene:Et_4A_034502 transcript:Et_4A_034502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAELIPSAPARRVKAGHLWPAGAGEGKRQRRKADDFEAAFREFDDDSEDDDDVEEVMVVEGGEEVESKPFVFSSSSNKNKPAARRQEPGGGRRGRKPAQYRGVRRRPWGKWAAEIRDPVKGVRVWLGTFPSAEAAAHAYDAAARDIRGPRAKLNFPQTSSAAAAAPASRKRARAAPVIEIVDDVEEVVKHEIAEASESSESGGALPDFSWQGMSSAVEAGQPAAVDLDGASKRLRTEEPAEEEASPRSASESESDALFDAFLFGDQFNFFGGGEYQSLDSLFSADAVQGGVAADEGMGLWSFDDNCLAHKAADRSIKMCGGAILAELIPSQPARRVTPGHLWPAGGKKRKGAEVRVADDDDFEAAFRKFDDDDSEEDVVLGDNKDDHDGGEVVEPTGGLRAASRGRRRPSEYHGVRRRPWGKWAAEVRDPVKGVRVWLGTFPTAEAAARAYDDAARDLRGAGAKLNFPASSATSKARARKRRTAAKATAYVDLVHDECVRAPLLTVETDLESSEASGASSCTSALPDFSWQVVSASGDDGATRPVDLDVEQSAVELGGATKLLRSEPNDEAKDEATQPAAEDLLFDPFVFGDQLSFFNGGGAYEPSLEGLFAVGSDAVQSSDSVGLWSFDDDCLVDDAMCCY >Et_9B_065102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21207108:21207753:1 gene:Et_9B_065102 transcript:Et_9B_065102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATGSPTKVRSLHRLVQRELVIEFPEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKRLHFSEGRPKTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAERKQKRRRNEDANKKKIASLMRHARPKEKECAESSSC >Et_9A_061944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18129315:18131209:-1 gene:Et_9A_061944 transcript:Et_9A_061944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAASVHGGSLVRARSRVAYGGARGPQRRVVGAGLAAPGCRRVPVAVAALPEPLEPLPSSAQEGAVSLAPEADDGKVEVHGDSAAAETSSPCSAPGKTVRVRFVLKKQCPFGQSFHLVGDDTALGLWDPSEAVALQWAEGHVWIVEKNLPANKLIEFKFLLRDTSGKLHWQEGPNRSLQTGETINTLVVYEDWGDVKNQKIAEASIVMEDVVVSDDGESGKDNVIEDEFQMDDNKDVEQDESVVTKDEKSVIATNVPDQGESMKANEADPSEPLLHEELKLLDQLHEKEDKKNGNTSCADESYAEKTNDNILSEDGVPVENGLTNGYEHDFLWGWKALQKLLMSLGFKMDTS >Et_9A_063086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9891500:9896536:-1 gene:Et_9A_063086 transcript:Et_9A_063086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMNKALLHNLERAEVLCYRRSVAMAAAAARRLLRPVSSASVSSTARLLSSAPPPPHRSTNTNSTVAFDWSDDDADSSSASPPSSTAKSPDLPPPYDPFSKKPAVAEPSDPTNLQEIFHKMRTEGLTDYAIKMFDGLSKDGLTHEALALFAVIKDKGAMPDVVAHTAVLEAYANAGPAHWRDAVRTYDRMLTSGITPNAYTLAVLVRGLAASDRYTEAGKYLAEMLDRGMRPNVVTCLAAFEAYVRLEKVEEGKVLLEKMKGKGFVLDEEAVRSGTVKRGHVFKGVMSLLFGNYNSGNYVIRHEMQCAWTKLSRIMIPSSLKMIK >Et_8B_059649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20238807:20239777:1 gene:Et_8B_059649 transcript:Et_8B_059649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNSSSRIAAAGVLALAVVVLLLPALSAADVGWEDAHATFYGDETGRETMQGACGYGDLFQQGYGLETAALSVALFNDGWSCGGCYEVRCTGSQYCAPGGAPVTITATNLCPADYSKPNENWCNPPLRHFDQSKPMFLRLVTDFHVGIIPVQYRRVPCAGKRRGVRFQMTGNPWWVAVVVFNVAGAGEVRAVTVKGSADGAWMDMRRNWGQVWNADHRHVGQIVPRRRRRRPRPRARRRRAAGLGFRAELRGHRAVLNNLLMIMFPIALCSAPYVQPIVSIRA >Et_6A_047409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5191133:5199949:-1 gene:Et_6A_047409 transcript:Et_6A_047409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFDPKEFIQSLVWQFYANSSQEQENSMDQEGTSIVGVDILLKMENMERSDFIHEFTAQISSNRYLIVINDLATVEECHCIKTYFPDKKNGSRIVVATQQIEIASLCPKQPYQASKLEQLSSDQILYLFHKKRISRSAPVTTTDPISSAMTSIEIEEEGVQQQNGAGRENVVSTTSTDESRKFYRSKTVIPEDVVVGRSTEKQKVIDLVGHPNDSHNIKVISVWGMGGIGKTTLVRRVYRSQELIEEGPTDAGEKKNIATMGSVKLAGELSKLFGKQNCLIVLDDLSSIDEWNSIKDILAKSRRIIATTREKFVARYCSDDDQNTYSLKGLEDDVALDLFKNKVFKDASSFDLHPSMLELAKLILKKCDGLPLAISTIGSYLASKPKNALEWRKLNDSLSAELEINPELKMINTALMRSYDGLPYHLKACFLYLSIFPEDHIIRRNRVVRRWIAEGFSREMPHMTAVQVGNKYFDELLDRSMTLTLEGACGEIYSCQLHDLIREICVAKAREDNLVFTLEEGCSLGGTQGVIRHLVISRNWKRDKDVIQRMLDLSHIRSLTVFGQWGSFFISNKMRFLRVLDLEHTSGLRDHHLYQIMELRHLRYLSLRGCEYILKLPDSLANLRQLQTLDLRKTYIWTLPATVTSLQKLQYLRAFGSVKQRRPHVLDHLFGRPLLQERSHVAEGVNRHGICNMCCYLNNHKEPVLAFHLPTGISKLKALHTLCGVSAAGGEATFQELRKLTQLRKLGVIGVTEENNTTFWQAIAGYSRLQSLTVENSYRYKFHELDSCFGETLWPPKCLESLKIEGRLIKLTEWIHRLENLSRLQLEETELNQDAIRAIGKLPNLEVLRLIPDLYSGRSLRVHRSSFPSLLVLELWYMRPPFTETVEFEQEAMPKLELLQITMLPKMREISGLQFLTSLKEIRVNDELKELVQRKLTEYPNNVTLSTVEQSGLKMLEGFKMLEGFKIPSLLEHLL >Et_8A_057458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3254021:3258453:1 gene:Et_8A_057458 transcript:Et_8A_057458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETGYYDVLGVSPSATESEIKKAYYMKARQVHPDKNPNDPQAAEKFQELGEAYQVLSDPAQRQAYDSYGKSGISTEGIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDNFGEDENIDARKLHEKMQAVQKEREDKLAEILKNRLHIYVQGNKEEFVQLAEAEVSRLSNAAYGVVMLNTIGYVYSRQAAKELGKKAIFLGVPFVAEWFRDKGHFIKSQVTAAAGAIALMQLQEDLKKHMSAEGHYTEEELEIYMQNHKQVMVDSLWKLNVADIEATLSRVCQMVLHDASARREDLRSRAKGLKTLGKIFQRVKLNPTEGETSEVKNINSMDDNDGSSPDSSPKRESQFTPNPLHAPSPYVEAPQFNGTYCSFNFPMPTAPPGAQRDPMP >Et_3A_025102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26933082:26935640:1 gene:Et_3A_025102 transcript:Et_3A_025102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCARSVLRRRGLAPLLRRCGGEGECATGSGEALANARCTSTLAGLGGGRALGHRGRWADPLPRVGEAGRLGAGPMAPAQTRSFLGCGDGEEGSVLSKVYEERRVMGYSPEQMFAVVAAVDLYEDFVPWCQRSRIVRRYDDGSFDAELEIGFKFLVESYVSHVEMEKFKYIKTTASESGLFDHLINVWEFKPGPVPGTCDLYFLVDFKFQSPLYRQVASMFFKEVVSRLVSSFNDRCFRIYGPAVPVLENTYGQGR >Et_1B_011311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20171609:20174665:1 gene:Et_1B_011311 transcript:Et_1B_011311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPKPPHRRTGDARTRVEGAKVIGGSSNLGVSENQLVVRETAVGKDGLSEEMPEKLLRRDEKVGVISSCVGALHTNPGGKEKMRAPSRFPGRMTSTSVIRTRADAGMNAQASCSRQQLSPQCSTSVDGHAVASMDAHDNTTAQVWSKPATKHCAKEKAAACSSERMPERHRAKQACLSGERPAHNKLRKGPSGEMPQKHQAKPRMASNSRRLIAKTGCPLDGNSTAKDCMEPRAKENTVVHAPESKDLELQEADDAIRRMNELGLGEDISPDEYMVYLKKLPVDPVINIYIKLDSEQMIPLYIRHARYRIRYYKLSQRASRVRQNCAVDLLEKEDFSDEFLREMGYFVKFEKDGTCDWSFHPDLCKIRDLDDYQRLVPHDSGDFGTYADWDTYHKTFHSYETELEYLSYCSEVSEKLKWMEDYVMIEAPSVKWGKISTRGAFQAMKIATKFSKITPGLAYTAYYECVGNMRWKAMCLKNMVELYFEIWLLVTKLEKSFRCAMEEVYKSNKVPIMVFKLQNALEYDCSEMETEVERPKCYQHYIRKKIDIAQSIGLIPV >Et_2A_015090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29525462:29526697:1 gene:Et_2A_015090 transcript:Et_2A_015090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVASNLPAAAPVPYGWLGPRVSFSRDVAAAEEPAATSAPEPAISKDFIDFEFSLGGSATMLPADELFADGKLLPLRKAAPLPDPEAMPAPSSEAEPAMPLAQAEPIKPLRAAAADGAADPYVFSPKAPSCSSRWRELLGLKRAAAQSPSAKPSPAGAARATNSAAARSLKLLLQRNTGRASASDLSSAPLLRDSSDSEASLSLASSRFSLSSSSSSSGHDHDDVPRLSLDSADPNPPRIRLVRSSHQQRQQQHRHSTSGSAHHRSGRSPARRRPSSPPRCLSVDSPRMNSSGKIVFQGLERSSSSPCSFHGAAAKARSARAVDRSYSSGVRVAPVVLNVPVCSRPVFGFFKAAKDAAAAAAAAARSRSLLGRKTPPQGWSGELPRSSG >Et_1B_012178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29755875:29760021:1 gene:Et_1B_012178 transcript:Et_1B_012178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRRRRDEREDIWRGKTWRLIYGHYKEALDALPLEHIPALALRLLDAGVCFGFADPVTNIIANTVCLVPDEDGEPVPMCAKKRKRKRKKTTEIKALTREEVLSKIADDEVRTIADRSLRGLRVFLTSYFRHLPTTDAMRYLYLAQGDLLVAVRLIELDRCYRLKDQFCICSHATKTALRCAALSARMPMVDSFLSSSFGLVPHLKLVIKTVAAEPRRCLSVQDVHYLYGLLKEPLMLKKSDNPMNLAAIRIPQHNADASIEKVLGGLIASLRGVLLDRIHDLYLKAVSQLPTEDFRTCHRRGLLKAGYCYGPFNPISNIIVNTIWYDTTFPASQVLEVDMICTMRHVESRSLIGLLAFLRESIPEVSEHEAMIYLLKNDLEVCKAIQMAANQRHYTSGSDESSYKAAADASSHPKPVEYLEFVVRSLPTVLSDVRSLMQASQMISSCDIIRLSRLMSPSSAEPVESPLKLTQDAVDMLSKHKKYFLTEQSITRGKVEAALQNYEETTGCCYELRIICDVNNCVGKQRKPGDPKQQYSHVNFWASTKNGGSPTLFFGQFNNDEGCENQSICCPVSDQSTCDVRCCYCEYQGIRIVHPIQSCWEGGSEFEKLFCGEHQITNAEIVSQGKMIDNEVIGIFRQDYLYLDPLWDCELIPHINSIAWQSTRTWEELERDVIEYQRHNKLNEDHDRGIATVTS >Et_2A_017892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7575803:7586604:1 gene:Et_2A_017892 transcript:Et_2A_017892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKLQLVTPAAATLPALAVLAFVFLFTARRPHPSLDAYRSAVSLPSSASGGRAPGAARVPKGCDIFRGEWVPDDDDGAGPYYTNLTCPHIQEHQNCMKYGRPDRGFLRWRWRPAGCELPRFDAEAFLDVVRGRSLAFVGDSLARNHMQSLMCLLSKVEHPKDISATATSQFRRMRYESYNFTLAIFWSPFLVKANQSATGRRLWNIYLDEPDEAWASEIAGFDYVVLSAANWFTRPSVFYERGRVAACHYCHLPGVPDRTLRYSLRMAFRAALRALTSADGFSGTVIVRTLSPTSHFEGGEWDKGGDCRRTRPFAANETRMAGLDLDLHTAQVDEFAKAKAETAAAGSSARLLLMDTTAAMLMRPDGHPSRYGHWPEEKVTLYNDCVHWRCLISVASLLLISALAASNVYFTLPTPFPWPYYSCSRSSSKRGEACDIFRGQWVADPDAPYYTNETCSVIHEHYDCMKYGRPDLGFVKWRWRPDACELPRLDPARFLAAMRGKSIAFVGDSLARNHMQSLICLLTRVEKPTPNSPSDDGVYRYAKHNFTVANFWAPFLVRHEMVEDDGPAHTGLWNLHLDEPDAAWAPRVAGFDYVVVSASSWFYRPSMLYEAGRLVGCYYCQRPNVTHLALEYALRVATRTALRVLAADDGRGFRGTAVLRTVSPSQYEGGAWNKDGDCVRTRPYPRGEKILEGDELDFHKLQLEEFAAAEEAAKAAGGRVRMMLMDTTEAMILRADAHPSKYRGYTPEKHFHKYNDCVHWCMPGAVDAWSDMLLYIRTSPRKLCSISCTRLSQNSQSSIPFTMRKNYSNRCFVPIIALLLLVAVIAVSNTYYTFPNRNIPWLRSSSSSKRSGQACDIFRGEWVPDPDAPYYTNDTCSVIHEHYDCMRFGKPDLGFVNWRWQPDDCDLPRLDPARFLAVMRGKSIAFVGDSLAKNHMQSLICLLTRVAEPKVSWPSSKHTVYYYGEHNFTVANFWAPYLVRHEQIDEDGPAHTGLWNLYLDEPDAVWAPHVAAFDYVVVSASSWFYRPAMLYEAGRLVGCHYCLLPNVTDLTLRYALRMATRAALRALDGADGRFTGTAVLRTVSPSQYEGGEWNSDGDCVRTRPSRRGEKKGQGVELDFHRLQVEEFAAAERAARTAGAVRMMLMDTTEAMILRADAHPSKYRGWTPEKYFKVYHDCVHWCLPGAIDTWNDMLLHMLTENYSNRCFIPVISLLLFVALIAAFNTYYTSPNRSIPWLHSSGRKRGEPCDIFRGEWVADPDAPYYTNDTCSVIDEHYDCMTYGRPDLGFVKWRWRPDGCDLPRLDPARFLAVMRGKSLAFVGDSVARNHMHSLICLLTRVSALS >Et_2B_019475.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:656830:657063:1 gene:Et_2B_019475 transcript:Et_2B_019475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSTPCLGRALVFSERIWSTPLARQAPLYPTSHCLRRFLAGDFVFTETSLSSLLSTAPEDHPTFTSCLAWSHASGH >Et_1A_008757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11956291:11957730:1 gene:Et_1A_008757 transcript:Et_1A_008757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEPFGAGTLEHGLELAVEVQRHDTVGGADELAADEDGRDRRRAAEAAVELTLHVAASGVLVELVHGRAHAEVVEEARHRHIGHWLVVKITTAFFVDSFANLSAMAAFLIRATYDA >Et_3A_027198.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:4673305:4673796:1 gene:Et_3A_027198 transcript:Et_3A_027198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCRRLAVIVFSALLLLGARPSSADTAYPADCPYGCLTPPVPPITTNCPPPPSSSSSNSYPTPPPSSSSYNSPPSSYGGYPPPPAGYIPSYQPPSSGGGGNGGGGGGGGFGGPAPPPPNPILPWYPWYYRTPPSSPAARCSPVHILVTAAGVVAARLLIMF >Et_8A_056449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10150448:10152920:-1 gene:Et_8A_056449 transcript:Et_8A_056449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAVRFPVYGIVRLLGVTAAVAILFWSIHFRGGMTLSSSVEDKLLLFNVHPVLMLIGLVALNGEALLAYKTVPGTKKLKKLVHLALQFLAMLLSLIGLWAVWKFHDERKIDHLYTLHSWLGLSCVVFFSLQWATGFWTFWYPGGSRSGRASLLPWHVFFGVFIYVLAIATSVTGLLEKSIFMQSAKMIGRFSTEALFMNSLGMLLVLMSALVILAIVSPGTGRIDTYRVDAAPGDRPTSAAVATSQPRRSYTRNS >Et_6A_046029.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:19278422:19278469:1 gene:Et_6A_046029 transcript:Et_6A_046029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPRLLPVDWRHL >Et_7A_051299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16825153:16830747:1 gene:Et_7A_051299 transcript:Et_7A_051299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRHCTNRVFLTGGSGILHGPKSRGAASRRHSAVRFRQCWVRANMWRTDRRPLRISPSEVIAVIQGSDVFSSIKKWSRLQLVTMTGIAACAVLVIPSADAVDALKTCTCLLKECRIELAKCISNPSCAANVACLQTCNNRPDETECQIKCGDLFENSVVDQFNECAVSRKKCVPKKSDVGEFPVPDPSALVKNFNMADFDGKWYISSGLNPTFDAFDCQLHEFHVEGDKLVGNLTWRIHTPDNGFFTRSAVQRFVQDPSQPAILYNHDNEYIISSKVENKEDDYIFVYYRGRNDAWDGYGGAVLYTRSKVVPETIIPEVERAAKSVGRDFSTFIRTDNTCGPEPPLAERIEKTVEQGEKTIIREVQEIEGEVEELEKEEVTLFQKLAEGFTEVKQDLMNFLQGLSKEEMELLDQLNMEATEVEEVFSRALPLRKIRDGLLTAISHFESARN >Et_2B_021954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7233186:7246555:-1 gene:Et_2B_021954 transcript:Et_2B_021954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEQLRELGEKLQAAAPAPADALAKLLEEAAECLHGIEQSPGSSVMEAIQPCLKAVTREELLKHEDEDVKVLLATCFCEITRITAPDAPYNDDVLRDIFYLIVGTFRGLSDMNSQSFGRRVAILETVARYRACVVMLDLECDDLIADMFRTFLEVVSDSHEQNIVKSMQTIMTLIIDESEDIQESLLRVLLSALGQKKTGAAMSARKLAHNVIEHSTGKLEPYIKKFLTSSLAGDGSSSNGQVDHHGIILDVYQCAPKVVKVAVPYITGELLADEVDIRSKAVELLGEIFSLPGTPILESFKSLFAEFLKRLTDRVAEIRVSVVEYLKRCLMSNPSRPEAPEITKALCDRLLDYEENVRKGVVAALCDIACHSPDAITIDTIKVVAERVRDKSLSVKCYTMERLADIYKDYCQKDSSTNSDDFEWIPGKILRCLYDKDFRPESIESILCGSLFPPDFPTKGRVKHWVTAVTHCDKVEIKALEQIFLHKQRLQQEMLKYMALRQLSQEENPDLQKRILGCFRSMSRLFSDPAKCEENLNMLHQLKDDNIWKIFTSLLDCSTTFEQAWSLRADLLKILGEKHALYEFVGALAMRCSYLLVNKEYAKEILSEASEQKTSGNTKLISSCMNLLTAISSFFPSLLSGLEEDIVELLKEDNELLKEGIAHVLSKAGGNIREQLASSSSLDLLLERLCLEGTRRQAKYSVQALAAVTKDDGCIAQIAMPIFETREEEIINFITKKILECNDDMVEDSSRKSEWGDSTQNCLLKIYGIKTLVKSYLPSKDAHARPGIEKLMGILKNILTYGDISPNTVSSAVDKAHLKLAAAKAVLRLSRQWDDKVPVDVFYLTVRISQDDFPQVRKLFLGKVNQYIKERTLDAKYACAFLLSIDDYRAPHYEEFKHNLIEVVQICQQVKMRQLSVQADMNLLTAYPEYILSYLVHVLAHDASSPDIEEHENVKAFGPIYWRLHLALSTLLGGEGLQHIVPGMKKESFTTIISIFRSIKCSQDVVNVYKTRTLHAICDLGTLIAKRLSQEQMDVAEPQVVPLPAQLYVPLQDNQNENSVETNEKMWLGCEKVLNHFEALMTANNDEIESPKHKMLIDETDEFGNEVPLGKIVKLLKSQGEKKAGRKQKTPSGSTNAGNDDDVLGLVREINLDNQENLGESEKSKPKKRRTNEKENNEKSVDFSTPKRKRSISNNRPHSTKGSKNGDELLAHTPNTDGAKKSLESKLKREKGGNESTDKKLLVSPSSKTPVSKGNKGLRKTHGDNLNSGPKKSADKDNSKRTSESGSLNGSLKRHKQTPISGLAKCSTHDSSSRDLIGHRIKVWWPLDKKFYEGVVQSYDTSKKKHTVLYDDGDIEVLNLAKEKWKVIESNELPVKKQKKDDSGRNQGRAPERTSAGKQTPPNSQKSKKRSAPPKRKGQPKNKRRKTSGGKKSVEDNGGTGVNDSDSSSSLAHSDVDKDVNSDDHMEEEVAVSSAEKDKKEYKDVETKGEPQQDDHGINKEESDNETLNVWRKRTAKAT >Et_1B_011666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24706046:24707487:-1 gene:Et_1B_011666 transcript:Et_1B_011666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLGFLKAVALVLAPVLLAAALYSPEGFSPAPMPPEYTYGPAVSAPRHEARALAASVRVGEGRLPGPEDLAYDAAGGWLYTGCVDGWVRRVSVPGGDVEDWARTGGRPLGVALAADGGLVVADPDVGLLKVGADKKVELLTDAAEGVKLAMADGVDVAADGTVYFTDATYKYNFSNHMADILEARPHGRLLSFDPATGRTAVLARDLYFANGVAVAPDQGSLVYCETLMRRCSRYHIAGDKKGTVEKFIDNLPGFPDNIRYDGEGHYWIALSAGRTLQWDVLTKSPLLRKLLYLAEKVVAVPHGLKNAGGMSVTLDGEPVSLYTDPRLALTTGWLKIGKHLYYGSLTEPYLSRIDLTESSAESQQG >Et_2A_016694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27250159:27253955:-1 gene:Et_2A_016694 transcript:Et_2A_016694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVISLQEASVVLADRAPHTSNTRLRPTVRPSSIERSTPPRTASGHMTTVDVITGRSRSERHPRGPGSVWARLGRASTITGGRPRPTSVSLHSHESPLLCALPFLLPFPPLDATPRRPARARTEGRGARGDEDAVQGVLDRGLLPPALLLLRRRPHDEGPTVTAAERAEALAREATDLWNWYPAGHAAEDAARSGAGRFLCNMTSVSSSLQIELVIGSEKDKDRIPGIDITLKEGDTWMFAGHQVFVMETPGHTSGHVCYYFAGSGAIFTGDTLFSLSCGKLFEGTPQQMYTSLQKIVALPDETKVYCGHEYTLSNSKFALSVEPGNKELQEYAAHATNLRNKNIPTVPTTIGREKQCNPFLRTSSLEIKSTLSIPDHFNEDRMLEVIRQAKDNF >Et_2A_016531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25732554:25733691:1 gene:Et_2A_016531 transcript:Et_2A_016531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSLPVDILEHILLRSLDSPRCLIRASSTCKLWRQIIADTIFLQRFRSLHEPPVVVSTFSGRWKIWDSRGSLLLLDYAIERGGFFNRDMFICEPLTRRYHKISPPTASPRKYGLEAFLLDGGEPGHIGMSNFRLLYMYQIGHTASIFTSGGLWRKLSIDDVNQGTMYTEYLGAAAGSMYWYADSIKTVYALDPSDADAGFSSSLLTDDVENALITSKCAVTGRDSKARILFLEGYDNLKIFARQESSRGSSDWVMEKSIQLSAAILGVPSCERCFVSALSAETIGIVQILFFTGQTGGEEPAFRLDIETKQLARTPNVTAGHPIKLPWPPSLHACTDPAN >Et_9A_062257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21115090:21116632:-1 gene:Et_9A_062257 transcript:Et_9A_062257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPLATWYWQALWLESQPLESLRGAGVVEAPVGDPAGCRTGPSCVGWGAQCSHTTSLPVSSSGSASADGVPTPTCAKSSQRPRSVRHRRRRRQAYPSGARRYKSTSKKKQLQSDEGRMHTSQRMAIKVFKFIVLALVFIMLAMHTTIGEKDCYNEKLLVKAVCHNSIKLSGPYLPPNDPCRGAVEASDMLCICGIFKPADEVAVSMTKFVELAKDCNKPLPAGTQCGSKYLMLLPFFVG >Et_3A_024317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19497477:19498411:1 gene:Et_3A_024317 transcript:Et_3A_024317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGNIAKVHKKTDIILRHILLAIRNDEELRRLLDGITIAHDRVLPNIHQVLLPKKTVKKASNCGSKEVKSPKKVVGQSPRKAWRVIELDRNAAKDYKKTHIILQHILLAIHNAEGLRRLLLASPSPTAVFCPTSTWCCSQEDYGEGIQRWEQGGRVSQEGCWPVPQ >Et_7B_055344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9527946:9529771:-1 gene:Et_7B_055344 transcript:Et_7B_055344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREDRLSNLPDDILTLILERLKLHEAARTSVLSRRWTHLFGQRSRILIDIGAFTHKNQGTEWTLEDVGKSNASYEEHAVPDKSAPHQASIRFQLVEESIDVARCVDKAMANRRIASLHFLMYPEILDEDCTEDHKTSYGKRFMRFFDASPRAFAPLAAKVRLRVSICPGDRAPTASDTCILLATVKLKCLPRLTRLICEAWSAPRIQQYPISLGYVPQLSKLILRNPGSNLQKTIHLSELLRNSMITEMDLDFMSLRIWIQPEAPKFVGPVLQNLQLLYLRRIYECCDLDWTMFFLEAAPVLKKIHIQVWDHTSCGHVEGESQEFIELCKQLFQKKSDLSGYVPDDLKHYCLKELVIMGYQVEDKFTSYIRRVVEAAVKLEMITLLDSGWCAQCKFSPRTIFPQTDEERDDEETNLGVGFLAYQSTNRRVNRVMISMLFCAYGVV >Et_2B_022615.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27925045:27926983:1 gene:Et_2B_022615 transcript:Et_2B_022615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSHKLLKCRRSAVAGVAGTSFWVLVLVFSVVFLSTRPRDEGLFGAVTAIATPSASSSLVDHGQGKCQELQSLPDNVARCRYVRSHPPCAPQGYVDYLQVFYCGFGRWPWLGGAALLLWLLVLFYLLGDTASQYFCASLEGLSAALRLPPAIAGVTFLALGNGAPDVLSSVVAFASAGGKGGADAADVGLSSALGGALFVSTVVTGVVAVAARSRDAVVIERRAFVRDVCFLLVALLYLLAVLLAGTVTVWAAASFLSLYAAYVLAVGISHCCASAAAAAPDTKPDLVVPLLVDDDDAPPPLPVSGKPAAAPRTFPRCLRDVLHAPLYLPRRLTIPDIAAHRWCKPYAVASALLAPLLLAAASSPTNPSVLLSGAVAGALLAAAGAAATRASAPPRSTWARLPWLGGGFLMSVLWSYMLARELVALLVAIGLVVGVSASLLGATVLAWGNSLGDLVANVAIALHGGPDGVQTAVSGCYAGPAFNMVVGLGLSMTLAAGARYPEPYAIPVDASVYEAVGFLVAALVWAMVVLLMRGMRMGRMLGAGLLFIYLCFLSIRICESIGVFSLGSAGRPVT >Et_4A_035411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23703407:23704087:-1 gene:Et_4A_035411 transcript:Et_4A_035411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRLLLLLLATTAVAATAAAGAASPNSVANDILPKYGLPKGLIPDSVASYTFDETTGRFEIHLARTCYVHFGSHLVYYEKTITDRLHRESRM >Et_4A_034730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5940865:5945396:1 gene:Et_4A_034730 transcript:Et_4A_034730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AKRTNPTKIPSPLPSSRRRLNLEASGQSEGLRVISMGSKKRTPQPAAVVAGSPAAGEVPGDVGAPPAGKGPAPAPVGVVPKPPDVAPFLTKVYDMVSDPATDAVISWGASGGSFVIWDSHAFERDLLPRHFKHNHFTSFIRQLNTYGFRKVDQDRWEWANEGFVKDQKHLLKTIKRKKKTSQETPDPPQAPVKTPPGTENIEIGNYGGLEKEVETLKRDKTLLMQQLVDLRQYQQSSSLELQNLIQRLHVMEKNQQQMMALLAIVVSNPDFLTQLVQQQHRSSWCYDDGSKKRRFHALEQGPVAEQETSGAGAQIIQYLPSVAEISNQVMPVNGCSNLSQTVSSPALAMPMNTEPTSDNADTLGSTRNNIFTDSSAQHEVDDMLLFVDDLESMLQLDDQDCQVEEQQDNQMEAQQNCEVEPPLTIEDYPNFELDCQMGAQQNCQNPQYECAAAFQAWEICHRSQKAGAFLLHGEWFSLRETFLASGFALELRSVTKLSHRDHHQDIVMAK >Et_5B_045634.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5791162:5792733:1 gene:Et_5B_045634 transcript:Et_5B_045634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METGWLLLAAAILLPLLLFLLRRHTARNRRLPPGPPSVPLFGNLLWLRHSAADVEPLLLRLFETYGPVMTLRLGSRLSVFVADRRLAHAALVGPGSGVALANRPRTATSSLLGVTDNIITRADYGPVWRLLRRNLVAETLHPSRVRLFAPARAWVRRVLMDKLRGESSEGNAQAPGNVMEAFQYSMFCLLVLMCFGERLDEPAVRAIEDAERAWLLYISRQMTVFFFLPSVTKHLFRGRLDAARALQRRQRELFLPLINARRDYKRQLKEGGGQSPPTSETTFHHSYVDTLLDITLPDEEHGQRPLTDDEIIALCSEFLAAGTDTTSTGLQWIMAELVKNPAVQAKLHAEITEHTTTSNDINEVPEDTVHRMPYLKAVVLEGLRKHPPGHFVLPHRAAADMDVGGYLIPEGATVNFMVAEMGRDDKEWDRPMEFSPERFLDGGDGGGVDMTGTKGIRMMPFGVGRRICAGLSIAMLHLEYFVANMVKEFEWKEAPGHEVRFEEKREFTTVMKHPLRPRLVPRS >Et_9A_063262.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17920483:17921259:-1 gene:Et_9A_063262 transcript:Et_9A_063262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLPPFSSLFYTTNSAQDTPPPSPPPPAAWMWPSCKHPRTQSFRAPSAAAKTIASLFLDSGESSFANSSSARTHRHGDNRASDSLSTESEPASVAAAADDVADAVVRGLRSDRLLFEPRAHSSSILEKKPLPPSSLAAEEEEEEEEASSSFGGGVAVALESADPYRDFRASMEEMVAAARGGGDWEWLERMLAWYLGANGRDTHPAIITAFVDLVVCMAAGGSSSRVSSFTLAGSEPAAESSSAGGGGGHLSFCRR >Et_5B_043660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13789544:13793992:1 gene:Et_5B_043660 transcript:Et_5B_043660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEYEYVKREFEFDRCLPPSNWIVVRIDGCHFHRFSKKHAFEKPNDKNALKLMDTCATAMLKKFPDIAFAYGVSDEYSFVFREETEFYHRRESKIISLCVSYFTSVYVMKWKKIFPDKELKEPPYFDARAVCYPNLKTIRDYLAWRQVDCHINNQYNTCFWMLVKSGKSEQEARLSLKGTSSKDKNELLAQQFQMNYDDEPAMFRKGSSVYREKVEKVVKANIKRTQQILKVAHVDIIGSEFWQNHQHILREGLFQFTTIHSFDKPNDAVALSLMNESASLMMEQYPDIAFGYGFGSEYSFVFHEKTELYRRQESLILSSCLSYFTSLYMKKWKKFFPQKELMQPPRFEAEALCCPKLKILCEYLSWRQAECHTGNQYNTCFWMLVKSGRSEKEAHETLKGTLSKDKNELLFQKFQMNYNNEPAMFRKGSCVFRQKVHGSDNDVMIERWDVKVDHVDIGAGFWRKHPWIH >Et_5B_044982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:865804:867317:1 gene:Et_5B_044982 transcript:Et_5B_044982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPASSSGLFRFLSPRSRPQSTDIVAAATWGVFAGSAAIYLVQVRVNRISYLPLSRCCSLRDMGDRKSFALPADSFVASSILGFGCCRHLTGLRKLSSRNLNKRHESPGKERLQLLIHGFFRDDSE >Et_2A_015771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17698567:17700469:-1 gene:Et_2A_015771 transcript:Et_2A_015771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGRPKIGDRATSDVVVRLRTPEGRDEWFYCHSAVLAAGSTYFADRLSDSWPTCQILGSRYSVEVYCQEPDLSSHVNALRLLYAAEPCSRFGVRGALGILQAAEHLGCSQIAAACTGYLESAPWDEADEEEILRIVPGLGPQYECILARLRPIDPAPVTSIFLSAFRHATLSAAGPARELKSAAQEQLEYMLTEDDDAPLLALDNDTLKSQVKDCVSGLLSKFSDFMTSILSNRKEPSYGGDDSEFQLELHSLVCDVSWVCQVLNKLEMMKFVVPYWIGVSSDVVEAVEAVCAGFDCLKTRVKVIEVSARVLEALAFGNIVLATEKRRHAVNVWICFSGRTKSLVDQADCDDGGGTDTQKVNLDSEIWLGLESAIVSIVLTLPSNSQAEILSEWLQSKHVKYPDLTEAFEAWCYRSKVAKRRLSFLSQIDQAMWFDRWQKQIRSACNLRSSNKEAFEAWCYRSKVAKRRLSFLSHID >Et_2B_021571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3089289:3093696:-1 gene:Et_2B_021571 transcript:Et_2B_021571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YIYHKTICNKRTPIDQVINLPEDLQCIILSKLPLKEVVRTSILSSKWRRLWTVCPKLRFDGTAMFSKKMDGKQCKKYTRQFINTINTVLQQYHGRAVEELAIKFEFDTLLIDHLNNWVGFAASSYTKFLALDLIPRGLEAFDDPQYIFPLQLFDRRSINYLQQIHLRFVVVKLPLTQFSGFPKLQKLDLHKVKITAKDFQDMLSNCCALEWLSIVFCKMDGELKVHSPLPCLVHLNVEFCELTKIELCAVKLRTFVYEGSAVPIKFREVSELENAKILLCGVTFGDAIPALANVLTNVQNLTLNFFVHPPKVPCLMENRCNFSHLKHLQLLLSYKLDIDNLSLVPFLGSAPFIEKLEIHFSSIFGFFYLEGASIRRFEHRHNYLKDICITGFKASNGQIEFLVHIVENTPTLEILTVDQCDRVMKNDPVLIKTEVAKYMDSVVYGNIRRYIEATEKVTNVGDITSLVRQIDG >Et_10B_003423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19712461:19721535:1 gene:Et_10B_003423 transcript:Et_10B_003423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMASRLVHSSSSASPSPAAPSAPLPNHRQSHITDDLLVANGPEPRNGLDADEEKPAPVAYLPQLVVLCEQRHEGLDEAAAAAAGPSTSGIVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALENIGKTLHSQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYAKSERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITELDSWLKTPSIYVFDCSAAGIIVKAFLERLDWSSSSSASSLKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWFCNRSLLRGSLDPSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPYLPPTHQHHMWDAWDMAAEICLSKLPQLVTDPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAIELRQILVFIWTKILSLDKSCQVDLVKDVGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRKGQEACINAGLIDVCLRHLQPESPHDGQTEPLLLQWLCLCLGKLWEGFPEAQLLGLQSNAPDIVTLLLSESQPEVRASAVFALGNLLDMGSSSLSGVDDDPDDDEKVRAEISVVRSLLQVASDGSPLVRSEVATALTRFALGHNKHLKSVATEYWKPQASSLLKSLPSLANINNPTNVYIPSNILQGSSGLASHIGPVLRVGSDSSGAGRDGRISTSSPMATSSILHGSPQSDDSSQHSDSGILLRENVTNGGLSHNRSMPADSAIYSQFISTMCSVAKDPYPRIATIGRRALSLIGVEQVVMKHKRINSGSAHQGETSAPPSNFGMARSSSWFDMNSGSFSMAFRTPPVSPPQHDYLTGLRRVCSMEFRPHLMNSPEGLADPLLSSVAAPSNADLSIAPQSIIYNWSCGHFARPLLTGSDDNEEANARREDRERTALDCISRCQRSSCKMTSQIASWDTRFELGTKAALLMPFSPLVVAADEHEQIRVWNYDDALPVNAFENHKLSDRGLSKLLLINELDESLLLAASSDGNVRIWKNFTQKGGQKLVTAFSSVQGHRAAGRSVVIDWQQQSGYLYASGDMSSILVWDLDKEQLLSTIQSSASSAISALSASQVRPGQFAAGFADASVRIFDVRAPDRIVYTARPHAPRTEKVVGIGFQPGFDPHKIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPVVASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHPYKSLLAAGAGDNALVSIYAEENYK >Et_7A_052883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2386343:2387321:-1 gene:Et_7A_052883 transcript:Et_7A_052883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPSGRSAEAMITRASIGALSFQLLVVIAAVAAAPPVALPGCPERCGNITVPYPFGTRQGCFREGFNLTCVETPGRPAKLLVSDGVEVVGISLPDGTVRIRTKMLSFSSFSPNATVSVAWPVAGLMAAGRFTVSTRHNRFVAMGCNLLASLVDRDEALDSDNYVSVCATLCADGGDTSCSGVSCCQTAIARGLASYGVRISDLAARSSDRSSSEFGAVFIADQEWFGEARTVLQLDYFGEQQKTVDMTVIPTVLELSLDRSRDRGQRCISLNSDIVYDVVGSTIGRARCNCSKGYEGNPYIANGCQGI >Et_1A_007258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32698653:32705170:-1 gene:Et_1A_007258 transcript:Et_1A_007258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSPSKCCSQLNCCLCSNGCLRQTPDSPRESRGKSSRGRGKRDSSASDASSDDLGEEDDELNHLNITRESNVGINRLSRVSSQFLPPDSSRKVRVPLGNYELRYSFLSQRGYYPEALDKANQDSFCIHTPFGTSPDDHFFGVFDGHGEYGAQCSQFVKRRLCENLLRDSRFQTDAVLALHSSFVATNSQLHADNLDDSMSGTTAITILVRGKTMYIANTGDSRAVIAEKRGDNIVAVDLSIDQTPYRSDELERVKECGARVLTLDQIEGLKNADVQCWGTEENDDGDPPRLWVQNGMYPGTAFTRSIGDSVAESIGVVADPEIFILDLNSSHPFFVLASDGVFEFLSSQTVVDMISKYKDPRDACAEIVAESYRLWLQYETRTDDITIIVVHMNGLTDMESTQTVTKVSLQPSLQVLGLTGSESPLMLSSNTNNQRSRHDLSRARLRALESSLENGQLWVSPSPSHRKTWEEQVLHDHFLFRKLTDSQCHVLLDCMQRVEVKSGDTVVQQGGEGDCFYVVGSGEYEVLATQEEDGKETTKVLHRYTADKLSSFGELALMHNKPLQASVRAVTSGTLWALKREDFRGILMSEFSNIPSMKLLRSVDLFTRFTMLQLNQLAESLVVVSFTDGQKIVDKNDDVTSLYIIQRGCVRLILAADEMNSYSWDLIRAQTNQVQRTQETGDYVVQLDEGGHFGEWALIGETIAFTAISVGDVTCSTIAKEKFDSIVGPLPKLSTSDSRIKESLVSKEHGADDDLSLRSVQLSDLEWKMCIYAADCSEIGLVQIRGSDKIRTLKRFYIKRVKDLHKEVQVFDEKDLMKSLSQSPFVPEVLCTCADRSYLGILLNCCLCCSLASILHTPLNESCAQFFAASVVGALEKLHQNSILYRGVSADILMLDRSGHLQLVDFRFSKKLEGERTYTICGIADSLAPEIVLGKGHGFAADWWALGVLIYFMLQSDMPFGSWRESELEPFAKILKGRLIMPSTFSKEVVDLLTKVLLMVDENERLGTTGAQAVKKHPWFDGIDWEKIASGKSAVPKEITDRINGYVETLTEDLAASPSMPSEESDDFTAPEWVHDW >Et_7B_054197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1759771:1762699:1 gene:Et_7B_054197 transcript:Et_7B_054197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWQKSVVVPVKRAWIVVTTRLRRKKDDGRGVLVKLHDDIQMCAYEDVQVMWEMLQRSETERLAREPSPKGARAIVWLRRHHKMDPRRRG >Et_6A_046044.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20334000:20335028:1 gene:Et_6A_046044 transcript:Et_6A_046044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TPTCAARPGKWPGSPRGSLFPKKLQVKHRGASAHPRRCGNLLRPPPLRHRGGERSCRCRRTSNEVSNLPLSRFFWRDGYLGGGFIVFLSRSADARHLFDEMADGSEDARGKRAVQAAGEDHLSALSDDLLQQLMTFLPSRSAVLTCVLARRWREQWKSVPAIRITREDAKRYWGPNALNRFVNCLLLFRNNLPLDEAELNAFEEGDDFEEAVRYLEPWVQYCLSCKVKKLCVSSNDEDLRWLLPKGLITSASKHLTTLELSRVQSEHDLDFSACVIPEDLKIEFSGIFGNKIFSPSLKHLSVKVCAFPESVRYQICAPNLTSLLMVDCTGLTPLLQRPSGTS >Et_2A_016009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20080672:20090375:1 gene:Et_2A_016009 transcript:Et_2A_016009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILELFITACVPVFNMLLVTGVGSFLACDFAGILSKEARKHLNNMTFYVFNPSLVSIYLAKTITLESFAKLWFMPVNILLVFVSGLIFGWIVIQVTRAPAKLRGLILGSCSAGNWGNIFLIIIPALCKEKGSPFGAPDVCQTYGLAYSSLSMAIGAMFLWTFVYNTVRATSKVTEGDGDAETNQTKVLASGSTVSEEHCSTSNDSTLPLISNNTPPSKYKVSLSENARKIFSWMSETVDLKKLFAPSTIAVIVGFIVGGTPFIRNTIIGENAPLRVLQESAELIGGGAIPSVTLIMGANLLNGVRGEASVQPSIIVGVVAVRYILLPLLGTGLVKGAVRLGLIHPDPLYQFVLLLQYAVPPAMNIGTIMQLFGVGESECSVIFVWVYTLESVAVTVWSAFFMWTLSSQDYVIVCSKDKMGPLELFITACMPVLNMLLVTGIGSFLASDFAGVLTKEARKHLNSLVFYVFNPSLIASYLAKTITLESLGKLWFMPVNVFLTYMFGLIFGWIVIQVTRAPAKLRGLILGCCSAGNMGNVFLIVIPALCKEKGSPFGAPDTCQTYGLAYSSLSLAIGAVFLWSIVYNIIYATSKLPEGSSDAQTNQAEVLNSGIAAGPISEGNCSIFDTKEECALPLISSDITPRKFKVPFSESARLLLSSIFDRVEFKRLLVPSTIAAIVGLVIGGTSLIRNALIGENAPLRTMTQRFGVGASECSVIFVWAYALASVAVTFWSSAFMWTLSS >Et_3A_024055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16915442:16918301:1 gene:Et_3A_024055 transcript:Et_3A_024055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAMAGAALVLPLVALLFSVSSAFPAQLDPHFYDHSCPQAQQIVASIVGKVHYQDPRMAASLLRLHFHDCFVKGCDASILLDSSGSIVSEKRSNPNKDSARGFEVIDEIKTALEAACPGIVSCADILALVARDSVVMTGGPGWIVPLGRRDSRGASVQGSNNDIPAPNNTLPTIITKFKLQGLDIVDLVALLGSHTIGDSRCTSFRQRLYNQTGNGLPDKKLDVSYAAALRPRCPRSGGDQNLFFLDPVTPFKFDNQYYKNLLAFRGLLASDEVLFTDSPATSELVKLYAANQDIFFQHFAQSMVKMGNISPLTGGNGEIRKVCRRVNHS >Et_9B_063877.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18932615:18932791:1 gene:Et_9B_063877 transcript:Et_9B_063877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRALSAALRRRRDRPGILPHVGVLQLLYENVVFHLLWVLESVVIAKLCFFFLRFGFRL >Et_1A_006758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27171824:27173793:-1 gene:Et_1A_006758 transcript:Et_1A_006758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGSSLAALALLSLLAVGSCREAQFDAGDTLAVLENFTTSDATRYYGGWLPARATWYGQPNGAGPDDNGGACGFKHTNQYPFMSMGSCGNQPIFKDGKGCGSCYKIRCTKDRSCSGRTETVIITDMNYYPVSKYHFDLSGTAFGRLAKPGLNDKLRHSGIIDIEFQRVPCEFPGLKIGFHVEEFSNPVYLALLVEYEDGDGDVVQVDLMESKTAHGPPTGRWTPMRESWGSVWRLDSNHRLQAPFTIRIRNESGKTLIAKNVIPANWRPNTFYRSFVQYS >Et_3B_029601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26410503:26415706:1 gene:Et_3B_029601 transcript:Et_3B_029601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLFLTSSSALQLVLILPPLALLCLHFLRQDPKKQPRAHGLKPYPLVGTIPHFIKNKDRFLEWSTEIMKCDPTHTMSFKSMGLVGGAITANPANVEHILKTNFGNYPKGKLAVSMIEDFLGHGIFNSDGEQWLWQRKAASYEFSKRSLRNFVVDAVRFEVVERLLPLLDRAARDGRTLDVQDVLERFAFDNICRVAFDEDPACLAEASLAAPESAEFMRAFNDAQNAIMARFMSPVKSLWRLKKVLNLEPERRMREALGVIHGYADRIVRERRERGAAGLASRDDFLSRFAAGGEHSDASLRDVVTNFLLAGRDTTSSALTWFLWLVSTRPDVEDKIVREIRAVRASSQGSASPATFSFDELRDMHYLHAAITESMRLYPPVAMDTHSCKEEDFLPDGTFVGKGWLMTYSAYVMARVEDVWGDDCEEFRPERWLGEDGAFRPESPFKYPVFHAGPRMCLGKEMAYIQMKSIVACAFERFGFRFVGGDERPGLVLSLTLRMKGGLPMQTSEISMDLSSSFSPLLLLVVLLTLLTLLCFLYLRRDPKKQPRADGLKAYPLVGTLPHFVKNQHRFLEWSAEVLKRSPTHTISFKFPGLAGGAITANPANVEHILRTNFANYPKGDLTVSTIEDFLGHGIFNSDGEQWLWQRKTASYEFSKRSLRNFVVDAVRFEVVERLLPLLDRAASDGRTLDVEDVLERFAFDNICRVAFDEDPACLVADDEGTSAPESAEFMRAFNDAQNGIMARFMSPVKTLWRAKKLLDMEPERRLREALKTVHVYADRIVRERRERVAAGLACRDDFLSRFAANGEHSDESLRDVVTNFLLAGRDTTSSALTWFFWLVSGRPDVEDKIVRASSSQLDGTSAATFSFDELRGMHYLHAAITESMRLYPPVAMDTQCCKQDDFLPDGTFVAKGWMMTYSAYAMARLEDIWGKDCGDFCPERWLGDDGVFRPESPFKYPVFHAGPRMCLGKEMAYIQMKSIVACVVETFSFRFVGREEHPGLVLSFTLRMKGGLPMQVNKRS >Et_4A_031957.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:5203025:5203396:1 gene:Et_4A_031957 transcript:Et_4A_031957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSLLIVVVAMVISVIAPSTMARPGAFQPIDDINDPHIQELGAWAVSVYDRQANAGLKFNSHRWSISDCVWHKVSSHHRHIKPRWQVYGRCGRARMDQHTCFILP >Et_4A_033905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27980296:27984592:1 gene:Et_4A_033905 transcript:Et_4A_033905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLDPRKVFVGGLPRSAVKTDDLKAHFARYGEVVDAVVMQNPENGLNRGFGFVEFADEASVLRALDWKEREKHVFEGRRVDVKRAQTRYGHTQASSNPNTDSKKVFVGGLRDNITKDDLSAYFGKFGMINDAVVMYERMTRRPRGFGFVTFDSQDAVDKVLENRFHDLNGTKVETKMAEPREHSHYQNGYYHGSTGGYRSPANRYGGIYSPHSMPYLFHNGSYFVPAYPYVYAAQGSVNYGYRMNQTASSNDTGMMSVQRSPMVYPNYGRSFVDPVTGSANYLGYPGFNLGSDSKMGEVNANRQRVDISSDSSNLLVEKLSLSSG >Et_4A_032916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15660628:15662434:1 gene:Et_4A_032916 transcript:Et_4A_032916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DKMLFTHETNADQFNLFSYGNLNGVEANSQRSSFTEMDLRDTNSNSCITGLGKENIYGKSEESSFGNSLKDVSSVSPGNFSFSWLSGDNCQSSTLDHDKRPLSNAKPCEIAWKRPKQTDNNTWLYSFEERPFGNAVNISTSVMDDEFVESRQPEHVPANSSTTVPANNGTTTCSDNSDIPYPNREQSAGLESLHLPDWVASFPGYFEDCGLKAGYNTVNHIDSPVHEYVPRKDVPIGPEHQAVIPEWRPRVAMSIPGASDSCADMIYSYVSNSEYVHTDDDSESDKWVRHCIIPMSTSPFDCIRNNKIDCDCSDEGSVRCVRQHILEARESLKRSLGEDKFRDLGLCEMGEDVSQGWTDDEEKRFQKEVFSNPVSLGMNFWDYLLHAFPGKSSKELVSYYFNVFMLRKRAQQNRSDLLCVDSDDDELPNEPLVTEQEEEDCAVGSPSHEHFTNNSMPIEDVHEFEGEHINNAVGRASECGYLRNQLPLNSSAENLTVDIYNKDESCTSFESHINGPIMLSLWLNDAPSDLGEQGASVLEM >Et_1B_011240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19135301:19148526:-1 gene:Et_1B_011240 transcript:Et_1B_011240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHAPVVSNPAQAQNSKAPAPHALIIPYPAQGHVIPLLELAHALVDRGFTVTFANSEFNHARVVAAMSPSSEKNPDGPEQQLGRIRLAAVPDGMAPGEDRNNLVRLTVLMTEHMAPAVERLILRSRAADQAGGGAGPITCMVTDYNVGSWALDVARRTGIKSAAIWPASAAVLATLLSIPKLMEDSIIDARDGKQCSICSTFTVHRDRSAMVTTMFDQDDEQKKKINAGSALTQDTFQLSPDMPVMRTADLAWNCIGNHGDQSSLFRTLIDGVRAVEECDFILCNSFRDAERATFARFPKIIPVGPFLTGERRDSKAQLVGHFWRPEDGACLTWLDAQPARSVAYVAFGSFTMFDRRQFQELALGLELSGRRFLWVVRPDICLGGAVHDYPDGFLDRVTVSGRGMVVAWSPQQRVLAHPAVGCFVSHCGWNSTMEGVRNGVPFLAWPYFADQFVNRDYICDVWKVGLRAEADDEGVITKEHIAARVEELMGDAGMRDRVEKLRKAAHESIKKGGHEGIACTRSSGIYKNVDGLKDVTGGIWFPAAKHSMATAPHALFVPYPAQGHVLPLLELAHRFADHGFAVTFVNTDHIHAHLVAASPELAEQDGAATPGRVRLVSIPDGLLAEDRRYDIGALTSALHSTLPASMEGMIEKGHFCCMVVDYGMTWVLGVAKKAGLRIVCPSIQPSIHTLSMTKGLAVPELIADGMLDMNGMN >Et_3B_028822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19523948:19526898:-1 gene:Et_3B_028822 transcript:Et_3B_028822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPAATATAAAATFCSAAPASSSYSSIRRRRSRLSAISASLSPSSSDEPLLVRAARGEDGLPRPPAWMMRQAGRYMAEYQALAKRHPSFRERSENTDLIVEITLQPWRAFAPDGVILFSDILTPLPAIGVPFDISDSKGPVIQSPVRSEEQVRELIPIDLDMLQFVGESLKILRNEIDGKAALLGFVGAPWTIATYVVEGGMTNTYTNIKSMCHTAPTVLRGLLSHLAQAISDYIIYQVNSGAQCIQIFDSWGGQLPPHVWEQWSKPYIKQIVSRIKKECPHVPLVLYINGNGGLLERMKDTGVDVIGLDWTVDMADGRRRLGNGISVQGNVDPAFLFSPLPVLTDEIHRVVKSAGPKGHILNLGHGVLQKTPEEAVAHFFDVTRSLRYDSLFQGSVAEELQPVA >Et_3A_025441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29925470:29926101:-1 gene:Et_3A_025441 transcript:Et_3A_025441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLKEKGLPGGSTGFYNMACLSEETFLDKFVRCHEKSDGFSHQGGWDGYGDHCSGTVFSIEDRLAPRLKVMKLLKEKGLPGGSTGFYNMACLSEETFLDKFVRCHEKSDGFSHQGGWDGYGDHCSGTVFSIEDRLAPRLKVMKLLKEKGLPGGSTGFYNMACLSEETFLDKFVRCHEKSVPAIAAVYAAARVGKTPAGAVAS >Et_7A_051094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14294470:14308203:-1 gene:Et_7A_051094 transcript:Et_7A_051094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPMPAKRPGAPAADGDRLSTLPDALLHSVMSRLTAQQAVQTCALLRRWRDLWRSRHVHAQADRPTEPRPAVTHSKQQWEKLKDFANNLFLRHSAPTLDRFRLHVCVEANAFHALWEGAEDAKEMDLPPLASSVSSRLRRLHLSAMDLDRCFADSIRTGCPALEDLELSRCACVFLEMVSGSLKSLAIDCCTSPPRRCVRVTVPSLVSLRLVLLLSQFKSGIFLVEADSLVTASITSHWFDATSAERLRKVFARLICVRTLRLSSFSKQMVRRFMHPHTLFYTYYESLFVDEHGNFPKFHRLSTLDLDGCDMAEEFHLLQSFVHNSPSAAGKGAHVDVAGGSLAHGRLSALSDCLLHIILSRVGSLQVVQTCVLSRRWRHLWRSVRTIDLDDRDFLSTRPTTSDDEEEDEEERDAQRRYKAENVLARFEEFADNLLFHHRAPAPPLDALRLCIDNKVLRHTNYGRWLRRGVGRCAPASLDVRNRGGQGDGVIPGPRVPPPHAGASYHTVRTDRIESPTLRSLTLDNCRLWFDCEDKGVVEVVAPRLATLRVSVPLYPDANDIFRNTPRFTSYAVHGGAQPQPLARASVRVVDTGRHLNAVPLAHDDWSHMQPFERNMAFLRSLRRLLGSLSGASCLELSGLPSMVVPTEGFSSEDPQPDALLGHQEQGLPVFRNLQTLVLGECDLGDDLQALWSLLRKAPALENLHLQHCEPPAKAGARTPMPMLARDRLSSLPDLLLHAILSRLGSRQVVQTCVLSRRWKNLWLSAPVLDLDDREFVVPSISWLESSSSRANENVPHRFEEFADNLLLHRAAASATPPPVQTIRLRVDERMLRHTNCHRWVRRGLAFSPAALDVACGISLPSVAPGSRRLTRLRLDGVAVGGGVMEQLGALFLVLEDVELWDCSYPASARIASGTLRNLAVGDRQKRFDAAPLVAVSAPRLATLRLHVHTGRELDRMPGLVKNRRDDRFARNTAFLKSLRELLLASAACASCVELSGLSSAVVEKKDCAVQAERFLRVPLLQAIFDDVRKPPPVFSNLRRLVLAECDLGDDLRPLCRFLQNTPALENLYLRHCKLPDSLKRKGGRSMPGVAPNLKLVQIESSAEQAPNFHE >Et_8A_058112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20357406:20358228:-1 gene:Et_8A_058112 transcript:Et_8A_058112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKNFLILITLLALLSWQAVASDPSPLQDFCVADKDSHVNVNGFVCKDSKEVKVDDFFLAANLDKPRDTTVSKVRSNITLINAMRIPGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNTDNKLFSKMLNKGDVFVFPEGLIHFQLNPCHDKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKKTVDWLQAQFWGDNHN >Et_3B_028965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2095782:2106740:1 gene:Et_3B_028965 transcript:Et_3B_028965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVVSSTEGAVRILLGKLADVLANKYALLRGVRDEVQELKDELESMNACLRDLARNDNDYNEQTRTWMKQAREVAFDAEDCIDTFWYHVGCQYGDKKVFGRRLRKIVHYLKTLKVRHNLATDIQSLKKRAQKVSERRLRYKLDEAGTTPKSGNVLHSSSSYIDRYRRLPALNIDETRLVGMVGKTNTVIRLLEESDTVQLKVLSIVGFGGLGKTTLAMTVYKSPLVQGIQSRAFVAVSQNCDFRALLESVLKQVIQKPFRGLRNAEEETVEDSLRGIETWDISQIINKCRSYLLHESSSSLFMARYFIVLDDIWRSKSWANLKIAFPDNDKRSRILITTRYHHVAENCCSYPHDRVYIMNPLPHEESRQLFFKRVFQLENCPTQYRDLEDISEAMLKKCNGLPLAIVSIGGMLARLENKTKAEWQKVCDRLGSGLGTSDTLGGMRKVLSLGYSDLPYHLKACFLYLSVFSEDYEIRRGPLVRRWAAEGFISGTNESNLEEVAESYFDEFVSRSIITPTRMDSSGKVRSCKVHDIMLEVITSISIQENFISLVGNHQHGTAGHDKIRRLSIHAGGDKEHFPDSNLTHVRSLTILGCMEKSVTINFSQPTLTRVLDLEGCRWLSNQDVKGICKMYLLRYLSLRSTNISELPKILGNLKELMTLDVRNTYIRELPATITQLRRLKYLLAGRYKYYTRTHRVKHLFVKDAVMIPAGLKNMCALQKIAHVNISTSVHSMHELGELSQLMKLCVTNRREVEKWTPFGASLSKLSDSLRNLTVSHIEKQDHGLEFLMDLSSPPIFLEKLYLWGRVSALPPWTSSLSNLSDLSLRENYLECELVKTLGRLPSLLSLRLYVDSYVGMELCFEQNLFPRLKQLMVDNLPNLEYLSFQGGAPDLERLTLAFLRAPVKGVSGIENLTKLKEVEFFSSIVDSVVESVIAAARMHPNRPRVYREDQPMEAFESCFKNGCY >Et_8B_058739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19878594:19879811:1 gene:Et_8B_058739 transcript:Et_8B_058739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGYHAVDSFRFQHHEENSRKKVTNSNFFSVSAIKRRRTIVASKNKVDGALESLESVVANLAEFVILLGGCEQMSRRPYDAYLYIDSFMFGRHIEKQKLFSFLLQYNSPGSSPVLPIIGRQAVGKKTLVAHVCSENFLRFMDSEIPVSGRMLVVVEFISDVDEKDWKKFHAFVSRISRGSKVIVISKLEGILAFGSADPMEHPRLVPIAEELAKELQSEGSLVSANALADVLRSDKNTQIWLCILNRCRRMVEKNLSMYGKKPKVCFEQGHQVDITDFALQPSSPIRIVPSTSSNSAVKELPKVTLGELLAKPSARPNGEFNLLVWESRLPPYTSFAHFVPQSAQDTREGTALSGRKRSRLTS >Et_4B_038806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:502708:504123:-1 gene:Et_4B_038806 transcript:Et_4B_038806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAVESRGLLPWLMDRIRPEDMIIRIGPSKVLPITPRIIRTVVGVPNGGGNTRLYTWQQAVELKKQLIRDLDQDYLTDDDPIDITNLQEEILKGKVDQLMLRCFFMILFNRLLFPTSSYFIGSSDIKRAMEPETFGGIDSVQAMFNDIQLAVRKWHDRNKKQKTQSIYSCAIFLIVYYLDNLHHNLSLPDWITTPRISLYDKKQIEALTIADRIREKDGTISYGLVPFKSWTSTCYAAAVIPDDGHGAPGDPPAMSGQDIPRLRDLLSQPLGLLTGRPMRLLRDFFGQFDTVVAENNRAIEAAQGRIVRAQLTLAEQCRPLIEELIADQRSENLQKPVAEASRMERNEIRELVEDYQSDCEEDGTGEFDSAHTQDDVSDGEHVE >Et_10A_001421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:308265:312874:1 gene:Et_10A_001421 transcript:Et_10A_001421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IKEKVASPTRVETEEIKKGATAVAFSSPLALLRLPPSLPQFDSLPQEPRPPTMTSSSSPSRKALSKIACNRLQKELAEWQVSPPAGFKHKVSDNLQRWVIEVTGAPGTLYAGETYQLQVDFPEHYPMEAPQVIFLNPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKQRPQDNDRYVRNCRNGRSPKETRWWFHDDKV >Et_1B_012406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31757565:31761947:1 gene:Et_1B_012406 transcript:Et_1B_012406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIMPVTFASKQELFIGRETEKSHIIKIVTNDDKSRQIIPIWGMGGLGKTALARSIYENKDIISKFQKSAWVTLPHLFNEAELFRSLVLQLQEADITHKETLKKMELQELIEEANRHLRAGSHLIVIDNVFSIAKWKFLMQYLPEEENASRIIVTTREKTVAEHCSVHNIYKLEALSYDAALELFVKTLMKPGNFEGQSEMVKEAKCILQKCNGLPLAITTIGGFLASRPKTAPEWKRFNEHFSYELEKNPSLEMIRTVLTSNCEDLPYHLKLCFLYLSIFPEDQKIRRRRLVRRWIAEGYSSGTHNMNADESGESYFSDLINRSIVQPSESVAGFGPSTDYCHVHNLLHEISVSKSREERFSFVLHNRSSIRAEDTIRHLSIKSCWNRDEGDLKSIGDLSRLRSLTVFGKWESFLLQENMKMLRVLDLEGSYGLKGDHLEQIANLLHLKYLSLRGCDDIYYLPDSLGNLWDLQTLDVRGTNIILFPSSILKLRNIQYLRVGDPVKQDDEMAATLMKLTVKWTLGTTLFAATCVQPQIFDNGSSRKDAFNFYCKHYFHALAWGLDMHGVEVPNGIGNLSSLETLGVVNVGARNTTADELGNLSKLRKLGVTGLKKENSQQFFSAITNLTLLVSLSIRSEGKPGLQDCLDGKSSLPPPDLRSLKLYGNLVTLPPWISRLQNLAKLKLRSTRLGQDAIPVLGRLPHLAILRLLCYSIQGEGLHFHFQRGSFPNLVLLQLDGLPDLQSLKFEQGTTPNLELLQVENCTNIGKQGWSGLSFLPSLKEVSLKAGHEYGNKFIQDLRWQLAVNQNRSILNEN >Et_9A_063000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:877910:880667:1 gene:Et_9A_063000 transcript:Et_9A_063000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSVSPFRPFHVSTILSSDASLSLFSNLGSNYIRREEDREAGSEEEEMDHRSMASAETMHSKMSKKGGEQQSPMAMRKGPWTEEEDAQLVWFVRLFGERRWDFLAKVSGLRGGGCIIIPVHASSEQENSKSMHA >Et_3A_026567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9776367:9776837:-1 gene:Et_3A_026567 transcript:Et_3A_026567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLCLFCSAFPGLTMVQNCVFHSLDMPVCDRPVDCPCLWYGMVRTVHAYILPVLCKCPSHICRLNFIAVLCQYEQVALPFSQSFSVLGTTMVWPVSSAYAFGGYPYLALALLHFPCYLVYWLIARAKVELLLAHARWL >Et_4A_034857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7267463:7270993:1 gene:Et_4A_034857 transcript:Et_4A_034857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAIARASHGPKIHRVATHGYPAVLLRVRVPRSVCGGGDKRCVTAAATGDRARAVARDELGLAADARPEERRRAPRPDADHDASPGGSKRGSVAGAVALIVGTSIGSGILAVPQRTAPAGFVPSAACMVTCWAFLVAEALLLVEINVYLRRRKNKDDGGGGGDLEVISVKSMAEETLGAWGGNVATVTYLFLSYTSMVAYASKSGEVLSRLIDVPEPVSGGAFTAALALLIAGGGTGVTDRVNQLVTFVMIGLLLTIEVSAVAFGGGLSLPANSNWEQVPAALPVIIFTLVFHDIAPVICAYLGGDLARIRLSILVGSLVPLLSLLVWDDIALNLATDVHGFDILEILKTEWSYNLVETFSLLAVGTSLIGTLLGASQFFIEQMTILASPAAHGHEKVNKGIAIFPKEDGSKHLSVNAILEKNRLSHIATALVVVPTMVIAATVPNSFSIATDIAGGYCMTILYGVLPPLMAWCIVSKRPDPSSAVEYEEPSNDDKGKMELTNAKPVLVGMGAFSILMVFEQIFQDMEWMRRMRKSRLKLTEEDLAKGMC >Et_6B_048515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10048240:10057630:-1 gene:Et_6B_048515 transcript:Et_6B_048515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDGFRAKLHVLAVDDDHVSLTLIEKQLRRCRYNVTTVTHAETALEMLRARRDADQFDLVITDVHMPGMDGFKLLELIGLEMDIPVIMLSANDTLETMMKGIKHGARNYLVKPVGLEQLKNLWIHVVRKNTDDPSNSINNYSDDDHHQSQSWDSEDENVANHTSMSSRKKKKEDGTRDNKEAKRQRIQWSGQLHRLFVEVVHRLGIEKAVPKKIVEMMNVEGLTREHVASHLQKYRLYLKKLSTGTYRSRNSFTDETVWSEGNSINTHALGTFQDNLEHGRYPPSISFAGSSNSRNPFARMNSQTHNMLPTRSVQVMNQRNLNTSSNSFANIRNGSQPLAASMEATYYPYRSYASLCMSDPDPSDGNRRKINRSSRLAASSVQNYELQNQMAALTRTTSPMVGFIEQVEPFNIGSNTESTVMPNYNSASGGTSSVIPDLPSIQMYNDVMQSQMLNGGDGSGSLHDHQTAADQLNCNNESLIGTSSGQNGLSDDLDDIFSDYLNQTLNILLSSRSPVSLEAL >Et_1A_005343.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6273737:6273886:-1 gene:Et_1A_005343 transcript:Et_1A_005343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLGIGNVVVETDAVLLKQAYAPRSVILACWWPDRNERISSFEFHVF >Et_3A_025274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28395110:28396585:-1 gene:Et_3A_025274 transcript:Et_3A_025274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATPPRPRVMVLPFPAQGHIMPLMELAQRLVEHGIEVDFVNTDFNHERIVKALAAGGETGAAVPSGINMVSFPDGMAPDGDRTDIGKLSGGLPSAMLGRIEEMVVSKKIKWVVADVAMSWVQELAAKAGVRVALFSSFAAALFLLWMHVPKILEDGIIDEIGNVRRNERIQLSPRTPAIDASELPWTNIGKNPESRRILIQDVIKTIPAIARAETIVCNTFEEIEAEALALLPKPALAVGPLEMLALTSAACHFWPEDRTCLSWLDAQAPRSVVYVAFGSLTVFDATRLQELADGLVLTGRPFLWAVRPNFADGVGEGWLDEFKQRIGGTGLIVGWVPQQPVLSHPSVACFMTHCGWNSTMEGLQHGVPFLCWPYFADQFCNQSYICDVWGTGLRICADESGVVTSEEIRDKVAQLLGDEGIKARALSLKKAACASVADGGSSHRDLLELVNILREQ >Et_3B_030359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32469889:32470749:1 gene:Et_3B_030359 transcript:Et_3B_030359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRPRGGGRLPTAAIHLFNRSTASSSSKLFVGGLSYDTNETALKDAFSQHGDVIQVKVVCHPTTGKSKGYGFVKFSSQEEATAALNKLNGEVLDERSIRVQYANS >Et_3A_025998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34460857:34465218:-1 gene:Et_3A_025998 transcript:Et_3A_025998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRRRMAEQRLEIQRRIDRGRDRASTSASAFSAALLSARSIADQTVSHRAQLNGLKAQLRKHEGDLAQALTIQTSKKSKYKLIDESISNTTATNERLRSLLMEQRQRRDDYTKIISNQLQAIESLEADSAAQGDKNLDEAIMWYNKFLGFQVVGGEGVKFVFNKIDMQSPDKEYLFCIKLAKEKYSLLQCVPFVDGSKELVRDLNCDNDLFKFVRIMRERFQAATITGVLPAGSFCPVASSITSSSLSPSSLDSRSENTANQSHILSGTKKQEIPSKKGLATRSTISPGTVLSSKRHSLRILVSTSLHLPRTPLKASDDYGVANSMLGLQAAGCILDKQRESHLEAEDFPFFIVTWAFFSPPKANSNGSLDLGDLYSKISRLCI >Et_10A_002323.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:917880:918704:-1 gene:Et_10A_002323 transcript:Et_10A_002323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVNVREDAAGQLIHGFDLVKFNKTPSGAHGDRGLALEALRNRMIRVRCADGTMVAVKARDVTVIDRSAVLRTPAVTSATRDADSSSSSSGVITGSTTEIDLVRLTTGEVVATGVSPGDLQRDGELSLGDYVVSGPWLGLVVDVSLDVDVWFDDGRVCRVAQAETKLRTLDNDLASGVFYLGQRVVGNSSSIFEESIVSKAARWLQGRGYSREDDEGTVSNVVVSGVCVFWLASSHLGTERTEHFRASSPPAYHCSSQDLTFFSCSGDTYVSH >Et_7B_053814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12439330:12442643:1 gene:Et_7B_053814 transcript:Et_7B_053814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LPEEPRLAVYTIQQGHLVSERARAADDVDRLSELPDCILENILSRVTSRQAAQTSALSRRWRHLWRAAPCVSIDHREFRARPNKPRHDSAFAMQSRNRREVAEWCRLEDVVDRVLMAWSVPLDTFRLRTLSLTGLRLSADFADTLVAEEFTALEVLQLEECRYHFSRIASTSLTKLSMDRCSHDYFKLHLATPRLTSLRVRGKSPTATTADGEMPSLVAASLRHPAGELGVLRSLRDATTLSLSSSNDGEGYSEFPVFRNLRTLHLDECDVGVECQVLRRFLLNAPKLERLALRYCEILDGSRSRKRKYGSEDTSSSSDRRGPTLYECENLKSVGLRFYDDQDVSELDDALGDISREAKPAASGDGGVTDRLSELPDCILEDILSRVTSRLVVQTSVLLRRWRHLWRAVACYCIDIDQREWRHTIAEIELTRDRDAFGRAITERNYRLMAQSYRFENLADILTLPQATRPLPLQPLDAFRLRVFCGDFRAARSWIWRALERSPAAFHLRCDNDDPLAYSSERWPCFPDLILHRHGGAYTCRLRTVHLSGMNLSSDFGVLEELHLEDCGYEFRQLASRSLKKLFMNRCGPEYLTGTLALAIPRIFSLHIDGRPPPITSEVEMPSLVSASLAHRAGDLGLLCSLRHARSLDLSGFSGTDLLVDVPVFRNLSNLVLNACQVLRRFLRDAPSLQKLTIHNCKFRRKKRKRTSSYHEHVVLMAYPCKNLRLIELEFEEGLAVAELANALDDISKEAVHPIESSVQEGKRRVKISYK >Et_3B_028272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12607819:12610418:1 gene:Et_3B_028272 transcript:Et_3B_028272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASSSSCGGGAGDEKGLGLLEVHAAAAALHRSEVFHVVKELLGFVLYMHHQIPSVLQNLENEFESLKEEMAEMTLPAALLKPSDQRKYNTRKREVRRGIKKQEKLMSGISTLLSALQQALDEVPSIEGVVLILGGSLVRPLFVYDITLSHGRFDSGSAKDHGLTKLAQSVSRKAIRALVSRGAGSLSYTGPSKLFLLIRCPSTLNLPLDFLPKRDFRYSKKVVPLQMHIKCNTEDRQVKTQPNMSIVDATCCTSESSLSDVIW >Et_2B_022809.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5702379:5703284:-1 gene:Et_2B_022809 transcript:Et_2B_022809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPAASLNADLQEGRKKPRVTGWNKRHLYLVLDDWDKGYSIHKVDVGAFGSDALTAAKHLPDPPVLRVQAPADHRSTYFAAMGGSKLLIMHTLRDEEAPPAFVYDTATAALAVGPRPSRALQDGFHASVTVSGKRYTLRLRRQGQPHSFEVLSRSPPRPYTDPRWSGKVEEWFWKTVASPLPFDSNVFIEGYAVHPDGRTVFVSAERMTIVDRTDPGTYSFDTRACEWRRRGDWMLPFQGEGLYDARLKAWVGLRDDGFLCACDVPSCDDDGAPPSMTPAPNWRVGKDMVFRKLPRIAA >Et_5A_042004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5028143:5032003:1 gene:Et_5A_042004 transcript:Et_5A_042004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGASDPSHQVRVRFVTKLPPPLRAPTAAIAVPADLSRMGLSEIVNSLLAADEPDHQPQPFDFLVDGELMRLPLQQFLNAKGISAERVLELEYVKAVAPRKQEEPCPHDDWVSAVDGSNRSFILTGCYDGLARIWKDGACTHILEGHGGAITSTRFINKGVEIEGTLHVVTGSKDRSLRLFKSETSISMDYPKRIGVYKTLRGHTSSVQSVAVDPSKEMLCSGSWDSTIKLWAIEGSEEDGDAVSLKKRRTDSSGAEDSQLEGLATSTLMGHTQCVTAVAWPDKQTIYSASWDHSIRQWDVQTVKETWNMVCGKALNCLDCGGEGSSLIAAGGSDPVLRVWDPRKPGTLAPVFQFSSHSSWITACKWHPSSWFHLVSSSFDGKVMLWDLRTAWPLASVDSHTDKVLCADWWKGDSVISGGADSKLCISSGIDIV >Et_10A_000402.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:9770568:9770657:1 gene:Et_10A_000402 transcript:Et_10A_000402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGVQEGICIGGPKGQTKSENIVGCLAM >Et_1B_012905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:411468:413956:-1 gene:Et_1B_012905 transcript:Et_1B_012905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGRGGGRGFGGRSDGGGRGGGRGFGGRGGRGDRGGRGGGGRTPRGRGGGRGRGGGGMKGGSKAVVVPHKHDGIFISKSKEDALCTKNMVPGESVYGEKRVSVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIHIGPGKRVLYLGAASGTTVSHVSDVVGPARILALNASYFLKNGGHFVISIKANCIDSTMPAEAVFAAEVEKLKADQFKPAEQVTLEPFERDHACVVGGYRMPKKQKAT >Et_1B_012428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31974803:31978381:-1 gene:Et_1B_012428 transcript:Et_1B_012428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKGKEGTNKVETGASGMPALQLTTTTAFNPKEAADPFELLDMCVAALQDRRGPTRELAMAALGGALEALPPLDEVDSRCLTVFALCGVSIKKAGAANAGKEARLAYRVAGLLALTLRSDAPCLLDESFPLLSRTVQGGRGAAHDDAPAVLAALDCLAAVTLAGARGAEDSDRANWAAAVSSLAGLLEHDDRAVRLAAGEALAVCIELNLAQQHDTDALAAKASELAGEVPGRGSNNALLPEQKYLFRQVAAFLDRDERPREAVTVRRAPSSEKRRAALKVSTWAKLVQLEFLMRFLGNGFLKHAHGNGLLKGAFCLGADEGKVLSVAMDDDELVYMVNWDFTWEDKNFHTPYPHTAPQGAADTAAAALAELRLTTTTSFNPKDAQDPFELLDMCVAALGEEAMAALGGALEALPPLDELDSRCLTVFALCGVSIKKAAANPKEARLAYRAVGLLALTLRAGASDLLDHAFPMLSRMVQVQASHDDAPTLVAALDCLAAVTFAGAVVADDMERSLKAIWNVIFPPASRSTKTSSAKKTTAQVMVAAVSAWTFLVTTVPITPSQVKTDRAAWTAVVASIASLLDHDDRTVRMAAGEALAVCVELNLTQYVAKQDMEDIISMVRDLAAEAGGRGASKTMLPEQRYLFRLIVAFLDLDERPMESVTVRAAADGRRQVLKVSTWAKVVQLNFLRRFLGGGFLKHVQHNELFKEAFAFGIEDKKKLSIAERKQVSKKKDKTLRVKRDLSWECKNVLCLESRNPDRVLQLGWH >Et_10B_003002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15189733:15195344:1 gene:Et_10B_003002 transcript:Et_10B_003002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFFSAGRRLLSTAAAAAGEVTAENPISIAHLRRLSRAGRHDEIDAVLAPVFSSHPIAALSALSSVGLPDRASALLATVQSPTAAHLNAVLGPLLRRRKLAELVPSILATHPSAPRDAVTDSILAKSLCITSGADAALHLIREPSSGAGPSVQLFTSIIDSFYKQRLPHRAEEMWRSMVDDHGITPDVAAYNARITYKSMNGTVEEVQELIRTMREEAGLRPDVVTFNALMRAMARHGRVDHALEVYQRLEKGEEAGVVPDCATYTCVVSALCGVGRWSEAEDVFYEGVKRRKVSDLGTVRQLVRGLKDAGKGRAARRVVLRELGGTSLRVSPIGFGGAPLGNLYGDVSIDAARAAVCRALDLGINYFDTSP >Et_2A_015611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1636959:1638034:1 gene:Et_2A_015611 transcript:Et_2A_015611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKGDFEFSLWENLCNGPHTVPAVRNQGRTSTCVFQSTSAAGDIEKMREAAQEHPSRTSDVRFSANKFVADFEALAGPIPLDEAFKRFPTALKIFKEQGVYAEGGGAEDRYKISGSQELKSFEQVADCISIGKPVIGSIKIDSNFRKLEPHQIYDYDETLKKTTSDGKTITHCVVFIGFGRRDGKYYLVFLNSHGSKFCDGGYGRVYFVHASRFTIIDF >Et_4B_039181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8532597:8535299:1 gene:Et_4B_039181 transcript:Et_4B_039181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPATCLPEADSLPDGFVESFAADQAPPPSSAPVVDDPPPTALDSDRPPTSVPGLEETLGAPSLPASAVAAGEVLDASSAADALGAVALDSAAEPERAHELQGPAGDTAEAEGSLKESNAAEPVESTTSQKAVNSGEPKRKVVKRSKLEKDRELLQLAQGYQQVVAERDAAIAVKEKLESLCREFQRQNKMLKDECRKVSTEGHNMRMELSDKFNNAIKDVSIKLEQQKNECIAQLEENNMLRNKLKDLADQYDITQQKYAHQLKEKMLELELANLKIQQHQEKAAKEHTQMQLYAEQVSQLITTEKNLRLQLAADGERFQQFQETLSKSNEVFETYKLEMEKMVKVIKTLKKENEFLKGKCENSDIALVKLIEERELTKKQIEKLKNQKEKLESLCRSLQAERKQGSSGSVTDVTSNQADQAVASEGS >Et_5B_044659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4380241:4381692:-1 gene:Et_5B_044659 transcript:Et_5B_044659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARGHRLLVFTSARWKQAAEGIAYQWDYMVENQYGDGGMHPGACRNRSESAAMDDRARSLVLVNYFHTVPLGLTACVEHSRRLVDMVRTCHAAAGERWANFVAVDYYKRSDGGGAFAATDMLNGMLVCGRDDVRACKKRTLKDAFRDLLGKLELVWKAW >Et_6A_046575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17580002:17581050:-1 gene:Et_6A_046575 transcript:Et_6A_046575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLCYKEAPSLFDACGNASDQPCKLSDLDVAQAVLPGPVVGGHREYAVALTNKCVCTQMNLKLACPGFNPSVRVNPTGVLSMDGDGKLCTLTLNGTAVGISPETAIMFSYTSRSQISFKPMSSTIACSAASAHAPAPESLW >Et_1A_009255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35563801:35567107:-1 gene:Et_1A_009255 transcript:Et_1A_009255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAGAEREGGSGTQGEAERRRLRSRYLAVKNLISDERDEMAKADSDKFAAIITQVDCLHEQGIMKKKRIDTPFRFAVQRPREQIADAEALLDIASTLVTSVRSQSSEGITSSDFITALLKNFGQQGGLDAEAASLRWGDVGLSVSHAFGAVPGSCTMLGPMNTEVKQRKAMAVSRKRTARPTENTCPEELADSSEEVKTDTDRNMVVIFDILRRKKSAKLENLVLNRLSFAQTVENIFALSFLVKDGKAEINVDGNGPRNAPAASAVASGEVSYNHFVFRFDFKDWKLMKNVVPEGEELLPHRTSQSAPCTEENGRDPCAEENNQADLEARAQRTPIRKLTRNRGLVLQEQVVQETPEENQTSKRRRLFRGQD >Et_2A_016179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21848022:21849981:1 gene:Et_2A_016179 transcript:Et_2A_016179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNLLWLLYITLASCLLYKVFFSANGNPKAETTTGKYARRPPGPKPLPLLGNILEIRGEPHHALARLAVVYGPVMSLKLGTATAVVVSSADAARDVLQRYDHILAARSLTDAGRALGNHEHSVIWLPGSSPLWKRLRAVCTNWLFSARGLDATRAVREAKVRELVEFLLASERHRRHGGEAAVDVGRVVFSCVLNVVSNALFSEDVADLSSDRAQELEMLGTVEEVTKPNLSDLFPMLSRLDLQGRRRRSAEFVGRFYDFFDTIITRRLNAGRGHETSEDFLDVLLQLHLEDQLNLQTIKSFLLDLFAAGTDTNAITVEWTMAELLRHPAVMSKVRSELRDALGSKPHPDESDIGKLPYLRAVVMESMRLHPPSPMLMPHEAMADGAEIGGFAVARGTKVIVNLWAIMRDPELWPEPTEFVPERFEGADQQDFRGKDRLEFMPFGAGRRACPGTPMATRVVSLMLASMLHAFEWRLPEGMKPGDVDVSDRFGTSLNMVTPLKAVPVASRP >Et_8A_057993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11318969:11323579:1 gene:Et_8A_057993 transcript:Et_8A_057993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSSFGPFCSDAITTMKAGSQVRQMGRLNKSLREKRAKLYIIRRCVVMLLRWTTRFAKEELSAYHPTLGFHRFAI >Et_8B_060729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5627780:5630603:-1 gene:Et_8B_060729 transcript:Et_8B_060729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAWASQARRLFLAGAGAPARSFHAHPYQAKVGVVEFLNGVGKGVETHAAKLDEAVGGDLQRLLETRTLRLKKLGIPCKHRKLILSFAHKYRLGLWKPRAEPRKIVTKA >Et_5B_045467.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:2454632:2454820:1 gene:Et_5B_045467 transcript:Et_5B_045467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARRTTDEEEDVVVDVQRCATWCAMFPPALSPARKQLERSTGTAGATSGGIGKKPPVASR >Et_7A_050506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20294820:20295854:1 gene:Et_7A_050506 transcript:Et_7A_050506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDDKVKLIVSYRGEIRRCPVSGAARYVGGENRLVKVGMSERLCDLRARLAALAGYERGVRLRYIEDGLDAPLRDVDGDGDLWRLVTLLYFRSAAGERCRVRAFLFPVADDRSGSSSSPPAACLPANNMGRRGAAAATSLLRAQSAGPLHIAGSSPATTTSGEAMTPIERADSGFEALAAIAESAGPLHVAAGSSSATTTASGEATTHLERADSGFEALAAIAAEQSRPPSSSATSSSGGSAGAGYGGSGLETAVVPEHKLPPARVYPAPVVLVPVIAPVVAVFYPVIPVYQCVVLAGVPRV >Et_1B_013688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12073742:12076892:-1 gene:Et_1B_013688 transcript:Et_1B_013688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAISAPPRPTRLHPHPSPSSASTSSHLPMRALPFLRRRVRPLTTAHAVQQDAAVWTPAPVSVVGAATADGSVFHVAVDLSDAAALADSYVAPGQYLQVRVPSADGELKPAFMAVASPPGSGARFEFLVKSVPGTTAERLCGLRDGDVVELGAVMGKGFPLDRITPTDAAQTVLIFTAGTGISTIRSLVEFGFSADERADDRFKNWESTGLKIVPVLSQPDDSWKGERGYVQEIKAALVTDGVSQDKILTNF >Et_3A_027152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3541743:3545234:1 gene:Et_3A_027152 transcript:Et_3A_027152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCERSRTRVVSPVTVRAPLTSSSQLLTIAVLSSLWSFAIPASPPSDFQTLICLKLHLSSSTTLLDSWNENNSSIHFCSWPGVTCSKAQASRVVALDLESWGLDGQIPPCISNLTLLTRIHFPYNQLTGLIPPELGQLSRLSHLNLSSNSLSGMIPNTLSSASLQVIDLGSNSLLGEIPEAVGMLPNVSVLYLAGNSLTGNIPLSLGSSSSLVSVVLTNNSLAGPIPSALAHSSSLKVLDLTGNNLGGEIPPALFNSTSLQTLYLGWNYFIGSIPSSSNVNSPLQFLTLSVNDLTGTIPSSLGNFSSLRRLLLAANKFHGNIPASIGKIPNLQELDMSYNNLSGTVPASLFNISSLTDLRLSVNRLAGNLPSDIGHTLPSIRSLIMQACLDLSHNKLSGQIPLEIGGLINIGNINFSNNHLSGKIPTTLGTCVHLETLHMEDNFLAGRIPESFINLRGIAQIDLSRNNLSGEIPKFFQSFNSLKLLNLSFNNLDGQVPTGGIFQNSSEKSKRSKQSDHTAFNELKNYSYADLVRATNGFSSDYLLGSGTYGSVYKGVLESEEQGIAAIKVFKLDKLGAPKSFVAECEAFRNIRHRNIVRVISACSTWDHKGNDFKALIIEYMANGTLESWLYSTKERSLSLSSRVAIAMDIASALDYLHNHCVPPIVHCDMKPSNVLLDDVMGARISDFGLAKFLHSSSSSTVSTSIGPRGSIGYIAPEYGFGSKITTEGDVYSYGIIILEMLTGKRPTDKLFSDGLSLQKYVGNAFPQKIGEILDPSIITNLGDGVDNNLEYATVGRLNCTTQLIKLGLSCCMEAPKDRPTMLDVYAEVTAIQRAISALGIED >Et_1B_010827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14507597:14523614:1 gene:Et_1B_010827 transcript:Et_1B_010827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGARSGALAAAAVLVSVLLVGGLVAGGAGAEIRRQKNVQVSLRAKWAGTPLLLEASELLSKEWKNLFWDFIDNWKELDKGSECLTSKCCIQKIVEDARALLNEPLSTIFEFSLTLRSASPRLVLYRQLAEESLSSVPVDSLEQISGHGTEENFHESGSPSPSGGTCCWVDTGNALLFNSADLRQWLEGLGKLATDSTERLELFDFDHVYPRSNITAPVAIFYGAVGTKCFKELHAHLAEASKQGKVRYALRPVLPSGCQATSSFCGSIGAVDAVTLSGYGVELALKNMEYKAMDDTAIKKGVALEDPKTEDLSQEVRGFIFSKILERKPELNAEIMALRDYLLSSTVPDTLEVWELKDLGHQTAQRILQASDPLQSMQEINQNFPSIVSSLSRMKLDNSIKDEIIANQRMVPPGKSLMALNGALINIEDLDLYLLMDMVHEELSLADQFSRLKLPQSAARKILSAPPPAESNSVRVDFRSSHVHYLNNLEEDAMYRRWRSNLQELLMPVFPGQMRYIRKNLFHAVYTIDMILSLYQDNVPVRFGIIMYSSKLINSIEENDVNLPVNLAGDTSVLITKLFLYIKETYSTQLAFQFLNNIHKSRDGEDDYIEEPVETHHVEAAFVDSLLSSAKSHPQDVLLKMQKEDMFKQEAEESSLFVHKLGLYKLQCCLLMNGLVHESNEDATMSAMNDELPKIQEQVYYGHIQSHTDILDKFLSESSYKRYNPSIAGKSAGKKRFVSLFASYHQEDSIFDDINYLQSPGTTDDAKPVTHLLAIDLSSKSGTKLLHVTMRYLMAGSDKARVGLLLYVRNEGSSSVLLLKDIIDRTISSFSYKEKVLDFLYGLCKFYEAQHTLSSSVAGDWTRTLMEKVYSLASESALPVDDYRAWFTSFSADNALKGMDKLSDFLFGQLGLVFGSNAVITNGRVFVVNEGESFLADDLALLESMEYELRTKYIFEIIEEVDWSGVDPDDLTSKFYSDISMLVSSSMSVRERPSDRAHFEILNAEHSAIKLGNADASIHIDAVIDPLSPTGQKLSPLLRVLWKQIQPSMRIVLNPISSLADLPLKNFYRFVLPSMDDFSSTDFSVHGPKAFFANMPLSKTLTMNVDVPEPWLVEPVVAIHDLDNILLENLDDVRTLQAVFELEALLLTGHCVEKDRDPPRGLQFILGTKQRPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSADLYELPPKLIAIDSLRGKLMHIEVQKKKGREHEELLNADDDHHFQEKVGNKGWNNNLLKWASSFISGDASSKKKADKITDRKDARQGETINIFSVASGHLYERFLKIMILSVLKKTQRPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELVTYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLRKVIFVDADQIVRADMGELYDMNLKGRPLAYTPFCDNNKDMDGFRFWKQGFWKDHLRGRPYHISALYVVDLAKFRQTAAGDTLRVFYEQLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKARAKTIDLCNNPMTKEPKLQGARRIVPEWVDLDSEARHFTARILGETPESSDTIPSPSDTPKPDDNGADQKPKDEL >Et_5B_043546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11757354:11758276:1 gene:Et_5B_043546 transcript:Et_5B_043546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVLQTVPRKPAVDLLVGEEGPAHHRHALGDGLQRGVPPGMREEAPTARCRSTSRCGAHGTTRALSIRAVSVASRSAASWVALPIDDHVRPEHPEEEVPRSRQLVPQAGLVLNARANETRPPAQPILRPAGASRSSPLAAADGLL >Et_2B_021862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6206130:6212049:-1 gene:Et_2B_021862 transcript:Et_2B_021862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKTIVLKNYRGTKSQISFASFFVLNAKLLELMVFKGEVYKDDQISIVEQHRLLQLEKRASIGARFCFTASRGCHHNNLPHIKHMEAAPAAKKSRPAGEPPEGQDQDATGSQSPHKLQLPPHPRPTTCDSDGTGGVDRISGLPDEILGEIISLLPTKDGVRTQSLASRWRHLWLSAPLNIDHSDLPSNGDVQAGLISRILAAHRGPSRRLSVCMSQLVQCSETVFALIQSPALHNLQELEIHVFYPVLRRALLPASAFPFSATLRLANIIGCHLPDSVVETLHFPQLKQLGLGYVKISNGALHGLIAGSPVLEYLLLNGGYFKDVRISSPTIKSIGLSSGEFIIEDAPSLVRLILLDPDMRRLDVISAPKLETLGGLSDCDHSSNLLFGTLKIQKLRAVNFTTEVCSIKVLAINMDDLNLHMVINLIRCFLCLEKLYVKSLITMNRNYWRRKHQNLIRSLDIRLKTVVLKQYRGTRSQVNFASFFVLNAKLLEVMRLEGEVYNDDQISITKQHKMLQLEKRASAGARFSFTAGCRCVHNLTRIEHAAVPQAKKMRTEAAERQQEPEEILGEASPPAGGAPHSEPTPQGQEPRAVAGGEEGDGVHRISSLPDAVLGEIISLLPTKDGVRTQSLASRWRHLWLSAPLNLDHGSLPDDVEVQAGIITRILSAHPGPGRRLSVPVQHRAPRVDAWLLSPAVDNLQELEFDNGKLPYGYLRGQYLSLPSSAFRFSGTLRVATFSQCDILYQPVETLLFPHLRQLAFENVIISDVSLHSMIARCPVLECLLLKGRIAFDCLRINSPSLRSIGMSYGKLVIEDAPLLERLLQLEPRNGMHISVISAPKLETLGCFSSIGVSPKLVFGTTVIQVASVAILTLFPCAQRNLMLEIT >Et_1A_005504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10925672:10930366:-1 gene:Et_1A_005504 transcript:Et_1A_005504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ESDEVGCAAHSDDTSTSVAARAVSLAAEKGKGKGAARRKRGQGEMEVGFLGLGIMGKAMATNLLRNGFRVTVWNRTLAKCQELVALGATVGETPASVVGKCNYTIAMLSDPAAALSVVFDKNGVLEQIGSGKGYVDMSTVDAATSSKISEAVKQKGGTFLEAPVSGSKKPAEDGQLVILAAGDKALYDDMVPAFDVLGKKSFFLGEIGNGAKMKLVVNMIMGSMMNCLSEGLCLADKSGLSPQTLLDVLDLGAIANPMFKLKGPAMVQGSYNPAFPLKHQQKDMRLALALGDENAVAMPVSAAANEAFKKARSLGLGDLDFSAVYEVVKGAGGSGQA >Et_7A_051500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19705426:19706034:1 gene:Et_7A_051500 transcript:Et_7A_051500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAGASGCGDDVSIQMPAAVGMLQRRERATTKVEMRLNRFVRFVVFVEWAGNAFGALAFLWATGVLLGGFCTLKSQDFWFATVMIFIEAFRIFSRGYRLDNESLFGTTGALKWLKFVFLPDVVATTGRG >Et_3A_024458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2082717:2083363:-1 gene:Et_3A_024458 transcript:Et_3A_024458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTRRRLREAYQEAEDEKRKRTIQVMKPHDAPKPDKSPFRKPRRHAIGAWMLMAMHVCSMRPGVSGLARGLVLIFLAGTSTTHAPNVGQGTSSLSILIFSTVWKERNSRILQRQYKSIQHRLIGEIQDKARMWATCKHQKAEMQRTWRR >Et_4B_038187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27044086:27047015:1 gene:Et_4B_038187 transcript:Et_4B_038187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFRACSATYAFSRRELFVAAAGGEGGGMLGAGWAEASSGPVNVASTKNNDAAVELLITMAGRRVSACNEMDNVGFAHNPNDLHLPKCCGSETFTSHPGTEPLVSDSDVTCGVLLFIAAAQFRWHVNTRWENRN >Et_1A_008814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14563524:14565554:1 gene:Et_1A_008814 transcript:Et_1A_008814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKRKRPALATENAAATEVAVASVLGNDDLLAKILLRLDLPTWLVRAALVCRRWLRRASDPAFLRGFRALHPPRILALSVNGMGFGSEVRVLPVPHPPALGAAARRAIWALRRSDVCDCRNGRLLVSIEDPDPTMPTIYTIRSLLLHPTRDTLLPQPPPSRSSSLVPQGPSGGRADGSRLLLLEDGGHSATCLSLNFSYNEAVIGVDFSILHSGVWGAERSAVTVLPQFLLDTMRAHDLLMNYGAVTRVGSRMTEDFIRPVTMYSCILLEIKKHINVVLVT >Et_4A_035355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21117880:21121902:1 gene:Et_4A_035355 transcript:Et_4A_035355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTKYYEILGVPKSASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHVDPFDIFSSFFGGGSSFGGGGSSRGRRQRRGEDVVHPLKVSLEDVYNGTSKKLSLSRSPDTVTGDIVFVLQQKDHSKFKRKGDDLFYEHTLSLTEALCGFQFVLTHLDNRQLLIKSNPGEVVKPDQFKAINDEGMPMYGKPFMKGKLYIHFTVEFPDSLSPDQCKALETVLPPKPTSKLTDMELDECEETTMHDVNNIEEEMRRKAAHAAQEAYEEDDDMPGGAQRVQCAQHDEELDAEAAVVADAAYVVAHAGCVERHDGGAGAEGVVGVAGGAVVNPLSNLKTVSQHGKRMTDAALVRSASLALLRACGLTEVVAGDERRVGRPGFVQAGARRDAPHGAPDVVVGGGEGLRRRGEEEEA >Et_4B_038437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2913281:2922425:-1 gene:Et_4B_038437 transcript:Et_4B_038437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKRTPAPNPTPPPPPPPDRPAAAAAAAGGGGDDAAVRAEVDKALACLQRGSHARALRLMKDAVARHGEGSPLLLRAHGTVHARAASVLDDPAARARHHRVALQSARRAVDLAPDSVELAHFHAMLLYDAAPDARGYDEVVAECERGLSIEAPSDPAPHSLRLPAPDVEQVQSELRSLIQKANIASISTWVKTLGGGDDKLRLIPVRRLDEPMESRLVPTAPSPRRNNEIKKASKTPEERRQEIEVRLAAMRLLQQQQQQNNAAAPADSSSSQSQGDEAPSSSSQSSAGGHRADRRKGGSRKAAVSSASDRMEQVRAFWGSISMERKLAFLSTSISELKSHYTSGMHKEKDAASAASEMLDEVLGFASKSGQWEFWTCVRCRRRFLDAESHQLHVLREHVGVLSPRLQRVVPQEIDADWTAMLIDWNWIPLDAIAALKVLEEEQADHLGVDRDKDSMSSDNWSSKDKSDTSESSASPHNEECDSFGVVMREGDRKWPLSDDDERARILEKIQSLFQILVKNKNLSASSLSRVIQYAIDELRGMPSGPLLLNHSLDESPLCICFLEASSLRKVHKFLQDLMQSSGLNRQLEKDEGLGNENSFPKYHDKLEKVTLNTDSYELIIDCQSFGGKFNSENVDTDALLSWLYAGSSIGDQLLAWNRMRDEKSNHSMDLLRLLEREFNNLKNLCERKHEHLSNEEGLRSVENLLFEEQRRREHLGPYAFQRYEELLRKRQDELLERNAEEMFNGSRSELDAVSAILKEVHASHFGYDEPFSSMAPRFCDFDGAEEDEWGLRDFTHPSDSMVQMVVSKMKEQIGQELSKTDARIMRHVAVMQQLEHKIGPAAVLDYRMILLPLMKSFLQGHLEELVDKDAQERSDAAREAFLAELALDAKKNASKAVDMKQSHDKSKDKKKVKDSRRSKEPKDSSWSDVPQDGADEETTEKFEILAECDDFDSKLSTNVDYFNEQEEELRHRVQLEAEERKLEETLKYQRWIEEEAKQKHLAEQFRSTYASSVIGKAARSKNVNLNRGQANHERVPNNSSRACLEGIKFDTSTSNDMGISKLTLNMNGVWRNAHHIKTLGNPGIQKPMKSAGEPQKKYSQGVPGAIYDNDDDRASGRHFGMPAPRWSTSGKVAPSANHSYLDGKQNQLYAMSSDVPQFFHEGYSAGIEKVDSNAMASPAVCLEDDFDRRFEEDLNEAVRQSLGYDVYAADTKSISNGKEVYGAGLKNAAGEYNCFLNVIIQSLWHIRRFRDAFLKTSSLHTHVEDPCAVCALYEIFIDLSKAEKGQGEAVAPSSLRIALSKSYPNSKFFQEGQMNDASEVLGVIFECLHKSYASRTVCHGKSDENNSIGSWDCENSACIAHSLFGLDIYERMNCHSCKLESRRLKYTSFFHNINASSLRTAKMMCPDYSFDELLKVVVMNDQLACDKDVGGCGKPNHIHHILSSSPHIFTVVLGWQNSKESVDDICATLAGISTEIDISIFYRGLDHGSKHTLVSVVCYYGQHYHCFAFKDGRWVMYDDQTVKVIGSWGDVLVMCEKGHLQPQVLFFEAAKLSRDKNNEGVAEYLELFSMHQ >Et_2B_021272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28183478:28185040:1 gene:Et_2B_021272 transcript:Et_2B_021272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTHYPKHTLYALLILGSWLLTCVLHFRSFHLFSGGVEFVVLPFSLNSSFHAAGGIAGDQRPSSSCDGRYVYMVDLPSQFDFLRECVDGSPSLEDRYSKCFHMSNAGIGPELNSSDDDAGIVPATGWYNTNQYALEVIFHHLMRRYECLTGDPAAATAVYVPYYAAMDLHGHMCGFNSSVRNGAAVALLRWVSSRPAWAARGGRDHFMVASKTSWMFRDVGGDCGSTLLEQPEARNMTVLTYEANLWADEPPSDFAVPYPTYFHPSSAAQVAAWQARVRSTPRPWLYAFAGARRPNGTLPIRDRIFDACDAPRGRCGLLDCRAGCDSPRKVVALFASAHFCLQPPGDSYMRRSAVDALVAGCIPVFFRPASTFEKQYVWHEPDPNRRRPYYVLISQFDVVAGKVDIEETLARYTDDEVTAMREEVIKMIPRFVYKDPRVRFEGDMKDGFDVAMDALLARMKRIKNGEDEGGKNDDDAAPAVVDNAQ >Et_9A_060882.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:10862525:10864212:1 gene:Et_9A_060882 transcript:Et_9A_060882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGWQHGNVRISPNKQLRIAAAAAAGGEEAALELPDKAPATKPTNASRLTGKCRRPRCAGCHYHPVTKARDKAKGAHKLRAGDVALNHRLVSWRVVDGRASAAGAGGGGGIPDYKGASASAVLAYLAGSGTSWHEDDDDGGAFEAAPPAGAGLSDLYDLIVGRHAAARAAGEEADLARPTDIGIEDTDAIEEQEQVGDDGKEDADLEEEDLGFCMVGITIALEYSDGEEDWIVVEEI >Et_3B_029359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24324442:24327220:-1 gene:Et_3B_029359 transcript:Et_3B_029359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEDYSWVRRTRFSHSVVRSNSGREQFGAFVEQFNRGAALRQKGAAAGFKLHGLNMEPGTRHSVSSEPWTRLSASSDPGTSLLSAQPKLKGSSSVATLGQLKKAGDPPLLQEGTAKQDDGKGANGKKGVGSLSVAVHHESPEDDSPGALEFSFHPDEDSLSLQRVCSSPAPFPRRKTPGDLAMARSASLTALSQAPRLNQRARSPLPSRDVPDVFREAKSSSKRFSTPPPRRRSTSPGPRSGSPPAAFAPARAPGKLKQRKEYIANGRAKVAALEVLETWSVDRSQLLIGHRFASGAHSRLFHGIYKEQPVAVKFIRQPEDEEDAELAAQLEKQFNTEVATLSRLNHPNVIKVTSQAFHFRISFVVQAQFCTGWESAFTLVGACSSPPVFCVITEFLSGGSLRSFLHKLDHKSLPIDKIISISLDIAHGMAYIHSQGVVHRDVKPENIIFNEEGCAKIVDFGIACEEAYCDPLANDTGTFRWMAPEMMKHKSYGRKVDVYSFGLILWEMFSGSIPYEDLNPFQAAFAVFDKNVRPIIPPSCPAPVRLLIEQCWASHPEKRPEFWQIVQVLEKFKMVLDRDGTLDNMPSSSCQETHDHKNWLAHWVQKLKHSHPDLSGPPPPKLFIASLPNRATQIGYR >Et_2A_018146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14153531:14160388:-1 gene:Et_2A_018146 transcript:Et_2A_018146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQSCPAAVGDATAEELLERVRGVVPAALAAARSATGFGGRWKAIAARLERSVAATLAEAAELGERCREPQKAGKLDLNLRDCSLLVKTGVLSDATVPAGAPAEAGAAAAAQQTDVRELLARLQIGHAEAKHRAVDGLLDALHEDEKSVLSALGRGNVAALVQLLTATAPKVREKAATVICLLAESGSCEGLLMSEGALPPLIRLAESGSLVGREKAVITLQRLSMSPDVARAIVGHSGVRPLIDICQTGDSISQSAAAGALKNLSAVPEVRQALAEEGVVRVMINLLDSGVVLGSKEYAAECLQNLTSSNDNLRRAVVAEGGQRSLLAYIDGPLPQESPVAALRNLVTAVSPDSLVSLCVLPRLVHVLRDGSVGAQQAAAATICKISSSMDMKRLVGEHGCIPLLVRLLEAKSSAAREAAAQAVVSLMSYPPNARDIKKYEKSVPNLVQLLDPSPHNTAKYAISCLLSLSASKRCKKLMISHGAIGYLKKLTEKDVAGAKKLLEKLERGKLRNLFSTRK >Et_4B_036995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13364192:13375397:1 gene:Et_4B_036995 transcript:Et_4B_036995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASDKTFADVVKLLTSWLPRRSNPDNVSRDFWMPDHSCRVCYDCDTQFTIFNRRHHCRRCGRIFCGKCTANFIPVSSGPDINVDEVDKIRVCNFCFKQWEQERITALKQALPVLSPTLSEASLFSTKSTITINSVSTTVGSYSTGNYQHVARNSSISPPKCSQDKTSRNMQDGHVPEKSMSTVSNRDDSSSIRFGYFTNRSDDEDEEYAGYCSDRQVQHRQHNEQYYGPDEFDELDPSCNSATSHTVEENIISKEMVDQAFPSTLPVAKLEDDPEPDNSSECGAALSIYALEGTDANQVDFEKNELFWLPPEPEDDEDEMEAGLFDEDDDDELVTDGDQCRIRTPSSFGSGEFRSRDRSSEEHKKVMKNVVDGHFRALISQLLQVENISLNEGDDMGWLEIVTSVSWEAANFLRPDTSQSGGMDPGGYVKVKCLACGHRTESTVVKGIVCKKNVAHRRMTSRIEKPRLLLLAGALEYHRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVENSVSRYAQDLLLEKNISLVLNIKQPLMQRIARCTGAQIVPSVDLLPSQKLGYCELFHVDKYYEHSVGPGNITKKMLKTMMFFEGCPKPLGCTILLKGGNMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPELPLKSPLTVALPDKRSAADSSISTVPGFTINISNGQQTTDSFGHLGSESIISTGPGESAMVETPVSNEHLTSRPWCANSGGFDNGSGDGPVKVTAITSSVAVCSTAASGVLTNHSPIHSTMERNHMHSGDYHDNGSTRSHGHIVTTDSAKTSNHHQQTMSETTNNTPGCPKLDESLEGSNDLSDAKTVRDNNVLLVQPVCSSSVHNQESNQGHDGTSNKEEVVASDHQSILVSWSTRCVWKGTLCERSQLLRIKYYGNFDKPLGRFLRDYLFDQGYQCRSCDKPSEAHVHCYTHRQGSLTISVRKLTDFVLSGERDGKIWMWHRCLKCPWSNGFPPATQRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPISVHSVLLPPHKLDFGHQPLDWIQKEANEVVDKAKQLFDEVLHILHPTSDKKGNGSFLNLESSNHIAELDSMLRKEKLEFEGCLSKVMKKEVLKGQPDILEINRLRRQILFHSYLWDKRLVFAAKSDRSRHELHTLRHGEFNGNIHSVDSVTEPNSTTKPQMENNGNEAANRDLQESIYAGNLPAEHASNTNSNHDQQMATSEPDCLVRDIKTPLYSSISVSGESIPLEIDLVARRTLSEGQFPSLLDVTNALDVKWIGKDDPISSKSTMPDSIAPSEDSEEQLGDVTPSYATLLLNKLGDSTEDHSNWIGMPFLQFYRSLNKQWNRSNRFDALNEHSHVYIPFLREVERQIGPKFLFPIGINDTVVGVYDDEPTSIISYALVSHEYHLQLSDELERDTIDTSLPLSDSRSASLTESLDETTSELLRSVVSTEDNILSMSGSKSLSTSDSFAYRKVNHIKVNFGDEGPSGLVKYTVVCYYAKQFDALRRICCPAERDFVRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFMKFAPEYFQYVSESIGTGSPTCIAKILGIYQVKSLKGGKEMKTDVLVMENLLFERNVTRLYDLKGSTRSRYNPDSNGSDKVLLDQNLIEVMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEKRHELVMGIIDFMRQYTWDKHLETWVKASGILGGPKNVSPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPVISPGKQMTESSQDNDQFHSLESRYVPKPARLTRRPAKPHLNSSKSKAIKAAQSLAPGLSSPFFPSAAATPKP >Et_2B_021552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30552826:30558033:1 gene:Et_2B_021552 transcript:Et_2B_021552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSVDPSDELQGDISHYKRSEPPLGDCLNFGKESLLAGLSTSCQDSNDSKAKFGYGVGELILPNGDVYCGTLLGNTPEGSGRYVWSDGCSYEGEWRRGMRHGHGKTLWPTGATHEGEYSGGYIYGEGKYTRPNNLTYKGYWKLDRKHGLGLQTYPNGDIFEGSWIQGEIDGHGTYTWANGNTYVGSMKHGVMSGKGIFTWKNGDSFEGNWLDGMMHGYGVYTWKDCGYYVGTWTRGVKDGKGTFYPEGCDIDALRNRGALPDIISQNRGSHILHSHSFDMADIESGRNQNSACASSRISSSFDQPQSKNASLERRWSLGAAIEKFISRDTSESSGMQHCENKADSFRILEREYMQGVLISEVVVDKRLWDSCKKVSRRQKRMLKDIKKPGETIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRTSDYGPRASFWMNFPKNGSRLTPSHHAEDFKWKDYCPMVFRNLREMFKIDAADYMISICGSDALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPDYYHHVRTYENTLVTKFFGLHRVRPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTNKIEIDENTTLKDLDLKYSFYLDPSWRETLLEQIEIDSKFLRNHGIMDYSLLLGVHYRASHNLRTQASFHQKTILDRLVVLPEEDALDNDTPNYADGLVLVQRASDQNNVVIGPHIRGGPLRASSASFEEIQLGVNMPAKAEHVNQVEDNESFCHVYDVVLYLGIIDILQEYNIRKKIEHAYKSIQYNSISISVVEPNFYSDRFLNFIRTIFPRSSMMRGVTFNIIKW >Et_7A_051366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17466128:17471876:-1 gene:Et_7A_051366 transcript:Et_7A_051366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMQTCQSEAAAFLYNVNFIQMLATLCWLQVMAADGRPTTMRAVQYSCYGGGSAALKRHGKTPIDHRLAIEWAVDTYVFLLTDNRSSSAADGIYFRLSKKQNNKNISHVCLLQHVAVPLPSLRKDEVLIKVQAVSINPADWHIQNGFLRPFVPKFPFIPVSDVAGEIVEIGSSVREFKVGDNVLAKVFFMKAGGLAEYVAVSEGETVACPAGISAADAAGLPLAGLTALQAVKAIGTKFDGTGTGANILITAASGGIGTYAVQLAKLGNHHVTATCGTRNLDLIRSLGADEVLDYTTQEGAAFKNQSGRKYDYIINITTDGRWSVFKPTLSSHGRVIDLASCGENYLASVLTLFSRKKLSCMVMTMKREELIFLLELMKEGKLKTVIDSRHTFEKATDAWEKSMSGHATGKVIVEM >Et_1B_011786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2682297:2687169:1 gene:Et_1B_011786 transcript:Et_1B_011786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HQQPVGPRGVADPDPVLASSAVLTLPISGSSGLASRGTAPSDEEDGRGGTGLAESEEAAEATNDSFSYSLRECQKQRQLKSDGAGLVRSPVSHDPNGSGVRGVELLVLSPRCLLGSNLGGMSKSSTTSSRSRSRSGTGTGTFPSPGTPNYNRHCAGSMQYNKGWSSERVPLGAGSNRRYGGSGVVLPFNNGRKLPSKWEDAEKWIMSPVSCDGFGRMSAPAPHHRRPKSKSGPLGHPSGIPGAYAAVSPLVPCFDGVLAAANFAAQSPFSAGVLIPEHSRSRDFSSGRGKCTDDVSSRSYSAEKDPYILRSASIHAWTETLMEASAFAKIQEEAAQDDKLQGLLAATSALSSPVLKKDVATQMSPDDSISSSPKARHSCSSLPSGHLIKESNSHIPKPEIRDVQVDDQVTVTWWSKRHVTRGSDKRSTNIIEWRKKTIENRAPSFDEKERERCVSKCKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKILGKLRSAQKKAQDMRSAVSASEDQCSVRATKKASKPGKPFSCCFAYSAC >Et_2A_014909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21463870:21464244:1 gene:Et_2A_014909 transcript:Et_2A_014909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSSPSLCEFIEYIDTEQTPENIAHVYRVAERARRNWFDMEAEERREDERRKMRQKEEERRREYEAERKAREAERERMRDRARRARAAGPDAFRKGKYPRCTQ >Et_3A_026393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7562461:7568328:-1 gene:Et_3A_026393 transcript:Et_3A_026393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVVSILNSVFRRMFVSAGLRPCSAAVDADTTIHFWAHPSLLTSSSSSSEQKQQQRKVVVLIHGFGPDPTWQWAAQVGPLSRHFDLVVPTLLFFGASDTRAPARSDAFQAAALAALLTGGEHLPGLLAGNGGLRRTVHVVGTSYGGLVAYHLARELHGRQGGAGVSQGKVALCSSDVGKGGADDRALAAKGGVAEVTELMAPADTGALRRLMALCVHRPPKYIPECLLRDMLRKYFAHRREEKITLIKGIATGEGFQLAPLPQDVLIIWGEFDQIFPVEKAHKMKDNGGLRRTVHVVGTSYGGLVAYHMARELESRQGGAGKVALCSSDAVKGGADDRALAAKGGVAEVTELIAPADTRALRRLMVIGVRRPPKYLPECLLRDLLRKYFAHRREDKIALIKGVATGEGFQLTPLPQDVLIIWGEKLGEKATVKVIPNTGHLPHQEDPKLFNGILLEFLLQP >Et_3B_029315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23920894:23927220:-1 gene:Et_3B_029315 transcript:Et_3B_029315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHIILPKEEEEVKVEGDHDSPAQRPFQAPAGEGAPGTGSKTALPFSATCVRISRDSYPNLRALRNASAASIHDDDAAFVKLDEGDYGYVLDDVQHFSDYIPDLPTFPNPLQDHPAYSTVKQYFVNADDTVPEKVVVQKNSQRGVHFRRAGPRQRVYFDSEEVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNEVFGIQNGYKGFYSSNYLPMTPKSVNDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIFKEIRRRGLKVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEASSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLLEYIDRRLKENNHMVIVVAEGAGQDLIAQSIPAADQQDASGNKLLLDVGLWLTHKIKDYCKSKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHAYIPFHRVTSTRNKVRITDRMWARLLSSTNQPSFLSQKDIDAAREADKKANNKEKGEDTKKQSASVFANEASDGVEESPASWTPPRQPAIAAPAPVPPSAALDVDNASAPGSGTAHTTGAGAAAADQEARPNQGTGTTEADAAASSTGTRAEEKPAHGSNFSSPATPPKTPERDMAPPTRAGDRAIGVETAPDVDNSAGKRDGCTTPVVPHDLEEVSGTRSADGGHGSPLRGEKPNKPAGASLKRDVAAAKSRLLTFRSFSRDKKANKSGEAEEPEPRPGQHGKAGEDGAKEKGKERRKRFWK >Et_6A_047816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1818338:1820723:-1 gene:Et_6A_047816 transcript:Et_6A_047816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMRLCLNPGHGGAVAAPWSPASSSAKDKESSYAEKLAFIEEITTDVDAVQESVLAEILGRNGESEYLAKKCGLAGATDRATFRAKVPMPYIRRIADGDRSPILTGAAHPVAQFVTSSGTSGGERKLLPAVKGELDRRRLLSSLVHSRSAALYFLFMKSETVTPGGLPARTIQTSYYKSEHLKKDRFSTCTSPVAAILCEDTFQSMYAQMLCGLCRRRDVVLVGAVFASSLVRAIRFLQMNWEQLAADIEAGVLSHRVTDPSVREAVVGVLRQDPDLAQFIRAECSDKNDNDWAGIITRIWPNAKYLETVVTGSMAQYVPTLNYYSGGLSVASIWYASSECSFGLNLRPFCDPSEVSYTIMPNLAYFEVLPMDIDVGDADLVELARVEVGREYELVITNYSGLNRYRVGDVLRVTGFHNAAPQFRFMGRRNVLLSIEADKTGEAELLGAVERASSAVLRPHDATVLDYTSRACTDSIPGHYGIYWELLLTSNKSAEVKGDVLERCCLEMEDWRRP >Et_2A_015867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18607664:18608820:1 gene:Et_2A_015867 transcript:Et_2A_015867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTPTPSISSAAHQAARGVGSLAARAAESLARGLVTCVFATVGTLLGAITGGLIGIATETGVVRGTGIGGITGALVSMEVVDSSLAIWRSDEPAIWSVVYVLDVIWSLLTGRLVREKVDPAVLSAVESQVRTHSTSRVLAVPCSFQLLFHANISKSRAPLCLSDAIGLVQMSAVESPAEQGDGADIFETGGSSGSGMPKAAIDALPVVRFAVSGNVDAGGERVACSVCLQEFEAGETARSLPVCRHTFHLPCIDGWLLRHASCPMCRRAI >Et_3B_031097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15505296:15509963:-1 gene:Et_3B_031097 transcript:Et_3B_031097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSGAAAAPSPGDLVTTQVSLGGFDANVSARDLADFLEFEAGQVWRCRVKTSWTPPESHPDFLFPVEAAASQPIAPPKYDPVPPHAFVHFARPEGARRAADAAGRSELLLARKPLRAASAPESTLRAARRRHTPPFRFPGSRLEIGELPARDAFLAAWRGPASGLEFSVDPFDGYCRFVFPRDTAFAFQGFHGVVVMRCDVKLEFAVRDVAEVRKFKTDCSLLLRLWAAPMVYYRTADDDIYESVPFDLLDDDDPWIRTTDITPSGAIGRCGVYRVSFSPRFWPKMEQALAYMRERGVKIVDCGGGWGVRRGLTVCDEPEFGERMQDLFFCVQHAEGIKFPVLFLVNALVHKGIINQHQLTPEFYDLLRTREDDVNVAALREFWGHKSHVFYACNKLNRLQDRVARNPKLLRNKIGSDNTEVRRLVITPTKAYCVPPEVERSNRVIRHYHEVADRFLRVTFMDEGAQVLNSNVLHYLATPIVKDMMVHMAPQKTTVYKRVRTFLTEGFHMCGRKYSFLAFSSNQLRDRSAWFFAEDRNTTVESIRKWMGRFTSKNVAKHAARMGQCFSSTYATVMMQPNEVNECLEEVERNGYVFSDGIGKITPDLAVEVAKALPLTDTTPSAYQIRYAGFKGVIAVWEGENDGIRLSLRPSMHKFESTHTVLEVISWTKFLPGFLNRQIITLLSSLGVKDAIFWQMQEAMLSNLNNILSDTDVAFDVVTTSCPEQGNTAALMLSAGFAPGSEPHLKSMLLAIRSSQLQGLLEKTRIFVPKGRWLMGCLDELGILEQGQCFIRASAPSLSNCFVKHGPRFSSADKNAVTIVGTVAMAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPKKGERPHANEASGSDLDGDLYFVTWDENLIPPDKKSWNPMDYAPGEAKQLPRAVSQHDIVDFFLKNMVNEKLGPISNAHVVHADISEYGAMDEKCIQLAELAATAVDFPKTGKIVSMPQSLRPKLYPDFMGKDDAISYKSEKILGRLYRSIKEASSGDAVPEETSILNDLPYDKDMEVDGSSDFLSSAWQCKCSYEGQLNALLSQYGVRTEAELVTDHISSLPKYNSRKQGDIKDRLKNAYSALRKEFRSIFESIAGDPNDMSDDEKNRIYEMKASAWYQVTYDSKWVQQTREMLGPDCEGMPPRLSFAWIAVDYLMRIKIRCRGEVKVNSRRPVEKLAAYISGSM >Et_4A_032942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1622523:1627277:1 gene:Et_4A_032942 transcript:Et_4A_032942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTLSSPTSMWGYNSTTPHVRKSGPARQSQPDATERPQEKNTKRTQSHTHPDPPSHHHLLPLPTSPRRAAPPPPSPSRPPMAKTRKPPPPPPPPPAPAETASPQRRRKKKGRPSLLDLQRRSLRLQAQNPSSDPSPSRRDPNPSDDDDDGTGSGRRREKRLRSVLAGGVKEEPGEGKKDAAKATGKGDAASAGGPTGTPLPDKKLLLFILDRLQKKDTYGVFSEPVDPEELPDYHEIIDNPMDFSTIREKLLNDSYYTLEQFENDVFLLTSNAMAYNSADTVYHRQARSIEALAKKDFENLRQPSDEEDEPPKPPARRGRPPKNPRIDDDVSPDLSNTKAIKSEDNAETMRKRLGDKSRNTSTPMKDSPTFHNMPGSCSARRTDKIGEYSGSSKWGKKPTISDDEPRSTYDQHYSHSGSLFSVLNDERKLLLPVGIQQQHAYARSLARFAAKLGPVGWDVAANRIRRALPPGTNFGPGWVVDGEPPQNPQQPTVIASTNPSKSTAPPSDMISKNDVLHHKPALSPNGNITGEGHLSRTQTVASTSSGLDKCSEVVSEVKYENGTNKSCGGMNNNSGPAHLLQHQSHSRELHSNLNGFTAVPNTLSQFGGQGMFGSGIPMTHAQVLGMFSAVNGRTNGYIHGHPLTADGLKPAQNGDIGKATSNPVHDGGHDRKIALPLNDINSAPSLNGEVQSSDAPSRGKIANPKQPELALQL >Et_9A_061217.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:22568033:22568287:-1 gene:Et_9A_061217 transcript:Et_9A_061217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMLQALHHAKSGVDVVSGTRVRTHFARPDWREVFKRVACAHQGRRVGVFYCGDQKVTPEFRRLSQDFSHKTDTEFVFHKENF >Et_6B_048958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16052548:16055638:1 gene:Et_6B_048958 transcript:Et_6B_048958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVIGASNDAVKSLVNKLGSLLAQEYTLIGGVSDDIQYINDELASMQAFLNRLQREAGGRNEQRQDWMKQVREVAYDIEDCIDNVGHRLRGEPRGSGWLVSLKRAWYLIATLYARHSIATEIGNLKARAQHVSERRTRYGVENPAEVDDGSEGANAPRDRPAPPPQLIGTMAPVGIEDAMKELEPWFMEAKQRSTYHHLRFLAIVGFGGLGKTTLAMALYHKFGDEFDCRANVLASQKFHLRRVLRSLIKRFHEQQSGASKNDLEGVEEWEEEMLKKKLADQLREKRYLILIDDIWSVSAWEAFRDSLPENVKGGTIVVTTRFKSVSEACRPYDLCISRPINSPMIMKTCGGLPLAIIVVAGLMASKLKLEPDSNLDTQFGKLEKELSAVVGNNLTTEGVTQILNYCYKNLPPDLKTCLLYLSMFPKGSIICRKRLIRRWIAEGLITEKHGRTVEEIAEDCFNELISRNLIRAVKNSSNGKVKSCLVHDMVLEYIVAKSSDENFITVVGGHWQTPFPSYKVRRLSIHRSDPQEKEMVERMKLSHVRSLTSMESFRALHSTMHKLQILQVLDLEGCKDLSLNQLKKICSLHQLKYLSLRQTDIEEIPSNIGRLEYLELFDISDTDIRKLPASVERLQRMTHLLAGNKSKRRALKLTEGITKITALQTLSGVEIYGGYGGVAKRRPTVRKSNSSQAANTSLAKEQRSFADLENLINLKKLTIYRLVCFTNSDNELLLSAIEHLSSCSLKFFSIDDDFCGFLDSSLNASQAPPEHLHTLGLCGKLSQVPKWINRLHNLEKLTLSLISLTTSTLLVIGELPELFSVIFTLDSEKNTKSALRILHMNAMESEGSIFVPAGGFKKLKLLRFRAPVLPLLSFLEGAMPELQRLELRFVMTEGLYGLENLISLRQVVLTVSSQVPEVGKAKVSQIKELARRIPNEPRVVVEEYNESS >Et_7B_055308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9210841:9233210:1 gene:Et_7B_055308 transcript:Et_7B_055308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVAYVDWRGNAVDERSHGGIRATIFLYVLFMLRSCPSSSNFSIVAYFHGTLHLDIVTSSTLIGYLVGAVLISAALMNFISDAYIKRTTAIFVFGPCVVLGYMLLALQAHVPSLHPPVCAINKEPNNCEPAQGWNFALLYLSLLIFAVGEGCMRSCIPSLGGDQFSNDDPKKPQLKSTFFIWLKFANSIGAIIGLVFLVWMENNLGWNIGFMMCALIVLAGLLVAASGTSFYRIQKPNGSPLTKTLQVLIISSKKRQAVVDVIELQETDGEDFFNKHSTTQVEETKSIIQMLPIFISCLLIYLPFTLLMTLTIQVGSMMDTGIGKIQMPSASLIAIPIAFHMLMQPCYKQVLIPLMRTITGHAHGISPLQSIGVGSVCGTAAAFIATLVETKRLKVAEQHRLISTDTGVPMSVFWLVIQFLLLSIMDITSFGGLIEFIKSDTPPWIKPIAPTIQSFLAGLAAWSACAFIQLVNGVTRHSNGGKGWLDGANFNKTRLDCFFLLLVAFELMAFVNYTFWATRYIRKQQPLNSMQLANSSQEEQEIFSGTMAIGGFVDWRGKPINRKMHGGIRAAWFMYFLTFVTSMVNVPNLLNLVIYVRGTLHMGVSGSATTITNFVGATSGFALIGAFLSDSYITRSRTILLFGPLEFLGFGLLALQAYLPSLHPPPCNIEAEPSNCKDVHGWNATLFYVALYISAFGEGCMRACLPSLGADQFDHEDPSESRQQSSFFNWYTFGISFGGFVGLIFIVWLENYKGWGIGLAMCAILTLLGLLVIAAGLPFYRNQLPGGSPLTRMLQVFVVAFRNRRCEVPEKLEEAQENSAEKGSINVPSQTNSLKFLDKACVNRGKEGAWSLCSKTNVEETKVVLRMLPLFVSSMIGYVSNPIVLTFTVQQGGMTNTRLGKIHVSPATLFIIPITFQMVMLAIYDQFIVPFLRKRTGYASGITHLQRIGIGFASMILASVIAAVVERKRKEATVQMSLFWLAPQFFLLGVSDVTSFPGLLEFFNSEAPQGMKSIAAALFWCELGLASYLATLLVQAVNKATRHGHQGGWLEGTNLNNSHLDLFYWVVTVVGLIGFLNYLFWAKNCPSSSNSSIVAYLHGTLHLDIVTSSTLTSYVAGAVLISAALMNFISDAYIKRTTAIFLFSPCVVLGYMLLALQAQLPSLHPPVCAINNEPNNCKPAQGWNFALLYLSLSMFAVGEGCMRSCIPSLGGDQFSSDDPKKSQLKSTFLVWLKFANSIGAIIGLIFLVWMENNLGWNIGFMVCAVVVLVGLFVAASGTPFYRIQKPNGSPLTRTLQVLVISSKKRQAIVDVIELQETDGEDCFDRYSTTQVDETKSLIQMLPIFISCLLIYLPFTLLMTLIIQVGSTMDTGAGKIQIRSASLIAIPTIFHMLMQPCYKRVLIPLLGTITGHAQGITPLQSIGVGSACGTAAAFVATLVETKRLNVAKQHGLISTGTGVPMSVFWLVIQFFLLSIMDITSFGGLIEFIKSDTPPWMKSIAPTMQSFLAGLAAWSGCAFIQLVNGATRYSSGGKGWLDGANFNRTRLDRFFLLLAAFELIAFINYTFWARRYTRKQQRIIATWFLLLGVPDVTSFPGLLEFFNSEAPQGMK >Et_3A_023220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11888970:11889536:1 gene:Et_3A_023220 transcript:Et_3A_023220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AVFQTSASADERIQALVKEVELECGDISASQEDLLARSSFLGGDGEEEPEVFSTPLTEGQQSQQGQGEEEEDAITMSTLPFTQPSQDQEEDEELADSKMRMKEPRKPRICTRKVRGARIRTPTPSPSPDRRRDVDPLPSPDRRRDVDPLYKAVLMIPTSPVPTSTGDLLVLARQRGIF >Et_3B_029713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27306249:27307848:-1 gene:Et_3B_029713 transcript:Et_3B_029713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEPAPSTTAAPEPEQLLEVAEDEVVLDFKPNAKCRADLRLRSLHPSLPVAFKVQTSSPLKFLVSPPRGAVSPLSTATLRVVLRPQAQAPPSFPRSRADRFLVLSSLSAAQLDSADDNGGGVVGSARLRVFFGGPYLLRLAADAGDAAAVRLILRRQPHLLPFLAEPEAAVLPPDAEPWAPAHAAASRGDYGELRKLGPEALAARDREGRTVLHAAAAAGEAEAAAVLVDMGADTMAVDARGRTPLDVARDKGYQEVVDALQRWELVMAAARRGDVQSLEPLLGKRTGVRGRDQYGLTALHLAAIKGHCDVIAMLAGSGCMDVEREDVEGHRPLHLAVEGGHAEAVELLLDMGADVNAKTRRGATPLQTAEVMGHDAIAQLLCSRGAEAAATMACVASSSSSSISCA >Et_10B_002913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13979237:13983981:1 gene:Et_10B_002913 transcript:Et_10B_002913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSGSTAPAPERRLAVLLSHFHPPATAERLGTAAAAEAGAGLSASPCAASGDSEEGEGKPPGGERCVFCNIVAGDLPAFKLYEDDVCLCILDAKPLTAGHSLIIPKSHYPSMQRTPPSVLAAICSKLPFLSTAIMKATQCDAFNVLINNGEKAGQVIFHTHIHIIPRSKDDNLWSSETYTRKPLTHNQETKDLVDSIKEVISSSPIDYSTSIGDELMYRFISVMPSNFGSNQVVIVDGKAVDLKGNRVHKQCQPYHDSDKDFSIIH >Et_8A_056071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16315301:16319909:1 gene:Et_8A_056071 transcript:Et_8A_056071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LTQQVVGGAGQRGTLHRERLRGAELVEGGSALGLEEADHAGETLEGQQLVGRPQVAAGALHGEARVAGAGRGDAEPAQCEPQVGLGLQADFVDGAQRLRRCRRGAAERDELGGRHEGRRDGEVAGRVHEEVLRGHDADAVTWRVGGAHRLREAPRRDAELLVGAAAEVGCLQGPVPNARPPLLRRRR >Et_5B_043307.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:23675102:23675311:-1 gene:Et_5B_043307 transcript:Et_5B_043307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHTVFLLLLWVIWKTRNQMVFDQLATGIPETIAIIKDHLDLWLYRAPKHVDIDAILPWCSRVFFYVN >Et_1B_010409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10205050:10211389:-1 gene:Et_1B_010409 transcript:Et_1B_010409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSPRPHRRPEREGSHNYDIESMDGGTGPPWRQLNGSSEALFRFDDGDGSAHEPLLRKRTMNTTSQIAIVGAKVCPIESLDYEYLKAFFVYGGCNLVLAAAAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTCNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLKGLIEFCRSGKCGLFGQGGLIMFDLSSTVAVYSTPDLIAIIILGIIGGIFGGLFNFLLDKILRMYSIINEKGAPYKILLTITISIITSMCSYGLPLLATCTPCPVDAVEQCPTIGRSGNFKNFQCPPGHYNDLASLFFNTNDDAIRNLFSNGTATEFHMSTLFIFFIAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLIGSISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLPMLPLVMLVLLISKTIADNFNKGVYDQIVVMKGLPYMEAHAEPYMRHLVAGDVVSGPLITFSGVEKVENIVHALRLTGHNGFPVVDEPPITESPELVGLVTRSHLLVLLKSKNFMKGQVKTSGSFVLRRFGAFDFAKPGSGKGLKIEDLDFTDEEMNMYVDLHPIVNTSPYTVVETMSLAKAAILFRELGLRHLLVVPKTPDRPPIVGILTRHDFMPEHIHSLFPGLNPHKFFSASMAG >Et_2B_020566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21417306:21419231:1 gene:Et_2B_020566 transcript:Et_2B_020566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMRAPHEMMMRAPHEMMMRAKPAPPPPEPGLDASDFDWIGDLGEGGFARVIKVRHRRTGEVFALKEAFYPTPDEEEEAEVLRRAAWGPSPHVVRCHALFPGPHGGPASLLEFMDAGSLHDVLRRRGWRGFPEPALAEAASRCLLGLAQLHSRGVAHLDVKPENFLANARGDVKINDFNVSRVVSGIPGGERVLVETSMGTTPYFSPERFAPRAQADARGAMAADVWGLGLTVLELFLGGPSIVPDAEEPRYEDWKEEICDREPPSLREFVAACLHKDPTRRARVPHLLKHPFVTQRDVEASSRALHELILENMYQENQ >Et_4A_034643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5102601:5105099:1 gene:Et_4A_034643 transcript:Et_4A_034643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASRPMVHPLEVVAAPPPADPPAAEQQEPQPMHPVPQEPQSMQPVPQEPQPMQPVPQDQPPEQQEVQAAEEEPPQGVLMKDLPGMPGTAGALGFRIAQLIFAGIALAVMTSTDDFPTVTAFCYLVSAVILQGLYSVMLAIVDIYALMVKRCLRNRWAVRVFAVGDVITAGITLSAACAAAAITVLIDNDLDICDENHCPPFQSAVAMTFMCWFTIVPTCLLNLFSMARLRPNE >Et_4B_036453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24806852:24807991:1 gene:Et_4B_036453 transcript:Et_4B_036453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRSAERDGVLPRTAKRRRQHLYLVFDDWSQGYSIRKVDLGDTNPLSDSGYDEPASPPHDPRLPPTVFRMPAARGLPQYFASAFGTRILAMQPGERAAGLFPIFDVRARGSIWGPQLQPVPADPIYFTVGDRLFALSAGSFHELYPPPLEHAGGEYCEWLWRQLPDPPFKRKHVVSHAVHPDGRTIFVSTERRGASATFAFDTALPFDGRAHFDAALDAFVGLSNDPDTLGHLCSCDAAPATPGSSDDDGQRAVRARKLSKEKFFSEEPAETHVGARLVHIGRSKFCLVQCISMEDGRVYEEREEEEDVPRPRRHLLRLVTFSLKYDKNGDVTTGTSRRVRYCKVPKASTESLLKNPVAFWL >Et_2A_014680.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34257668:34258117:-1 gene:Et_2A_014680 transcript:Et_2A_014680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLALAKLLIAALGTLTRYVSVLLFKGTAILVVILLRLIQLPGFAVSFLLCAVRAGTVKIIAAACAAAVKALALAINGAASMLKAFVSSNSAAVQSAVEVAKGRPEVLLNAATELLEYAWAVAKNTVVSSAGSFFDAVRYVFKHARA >Et_8A_056205.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1545449:1545640:1 gene:Et_8A_056205 transcript:Et_8A_056205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TRLVIVTAVVAARLLVCAVARCLCDDDDGAAARQHHHHSPDTSDADEDVEARRGAGLVIFGHA >Et_4B_036332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18322750:18324207:-1 gene:Et_4B_036332 transcript:Et_4B_036332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPDIEVVVVDISEPRIAGWNSDRLPIYEPGLDDVVRQCRGRNLFFSTEVHRHVGEADIVFVSVNTPTKTCGLGAGKAADLTYWESAARMIADVSRSDKIVVEKSTVPVKTAEAIEKILAHNTRRGVRYQILSNPEFLAEGTAVRDLFSPDRVLIGGRSETPEGRAAAAALRDVYARWVPGDRIIATNLWSAELSKLAANAFLAQRISSVNAVSALCEATGADVAEVAHSVGRDARIGPRFLSASVGFGGSCFQKDILNLVYICECYGLPEVAAYWREVIRINDYQKSRFVNRVVSSMFNTVAGKKVAVLGFAFKKDTGDTRETPAIDVCRGLLGDKAVVSIYDPQVTEEQVQRDLAIPSDLAKQVAVVGDAYEAARDAHAVCILTEWDEFRGLDYKRMFDGMQKPAFVFDGRNVVDPAGLREIGFVVYAIGKPLDDWLKDMPAVA >Et_4B_040009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7691086:7692031:1 gene:Et_4B_040009 transcript:Et_4B_040009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAGVRRLGRPAGRQDIVRWKGFAGALFQAPLGSRTHVPVMSSHEYVSQGLRRRANQWLRRVSVPARYAFDNTVDGYHIALAFMDKVVVHIAKNYLPSIKVPLILGRHLGRQGAGQIVPVRARLCQLPRWTSSMQKQNLSFSGYYLLPNEVLIAAIGSPIMMSAGELESGSAGEPARLIRQRYREAADTVWKGKMCTLANNQLVNATLMNITDKYDRRDNPRGACPSSSPGTNDLSTLYAPLVRDGRMDKFYWASTRAYRIGVCAGIFRPDDVVSLVDAFPGQSIGRYSAV >Et_9A_062333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21977994:21980268:-1 gene:Et_9A_062333 transcript:Et_9A_062333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIERQRGVAAMDQHLLESTTSVAHDDDDEDGCFTFAPSSPLMAAGGEFAEGIMSPVYPVFGRPRSPPLPEQQQQKEEEDSTSAAVRVPLALLLLEERGSPSGQAPDDVGELDGVPADTYCLWSPGASPPPARSHSLAARSTGSVLRWRQRLLLLGRSNSDGKEKFVFGDADGGRDGWKDRGRDGKGGGGNGGRPSFLPDKMDLVGLVANAGAFRRSYHPSSKECQTMGDDEFTFAVVPPSVAGDGGGGAFLGGRMGKLYPVFGRPRSPPRPPPPPEPSPETATVRVPLGQLLLVDREPSPAQKPDDDLDSVPAEMYCPWSPGWSAAAARCRKSRSTGSVLRWRPRLAVGRSQSDGKEKFVFLNASVAASGSGRRQAGRVKGEDGGAWSYYAKGGGGSNGARRTSFLPYKQDLVGLFANVAVFRRSYHPF >Et_9A_061417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11329995:11334582:-1 gene:Et_9A_061417 transcript:Et_9A_061417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANADPSGSLGGPHRHLPNPVPAPAPQQGVAASTVAALRHDPGLAVRWSPEEQVLLDNGLAKYAADAAVVRYAKIAMSLPDKTVRDVALRCRWMAKKESNKKRKEELSKKSKEKKEKAGESSSKAPAHLVARPNAPAYTLPVLPMDDDDVSFKAIGGPTGHLLEHNAQILNQIYTNISNMQVQDNLSLLCQTRDNIITVLKEISDVPEIMRQMPPLPVKLNEDLANSMLPRPPGT >Et_3B_028408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14945346:14945871:1 gene:Et_3B_028408 transcript:Et_3B_028408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNGGVEQLRARVLHNCSFSDTAILSVPLLQGVVPLTLESTVLRGDGVILVGYANPFGLFPGQVCKRIPDLSPGSLLQSEIGGTSGNISIINLTLDMVSMQGMSGAPIITSNGVGGLLIGGEGRYSSAASSSTIIQVLKQYYRICIQK >Et_4B_039195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8629733:8632356:-1 gene:Et_4B_039195 transcript:Et_4B_039195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLTECCGLAPLRLRGGRGAIAAPAPAALAAGPRRPAAAAIHRDWALRVSAPTRLTSAVEEDKRSSPQGEEAAAASGAAEGGEFDPGAAPPFGLAEIRAAIPKHCWVKDPWRSMSYVLRDVVVVLGLAAAAARLDSWLVWPLYWAAQGTMFWALFVLGHDCGHGSFSNNAKLNSVVGHILHSSILVPYHGWRISHRTHHQNHGHVEKDESWHPLPEKLYNSLDFMTKKLRFTMPFPLLAFPLYLFARSPGKSGSHFNPNSSIFQPNEKKDIITSTASWLAMVGVLAGLTFVMGPIQMLKLYAVPYLVFVMWLDMVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGLINNIHHDIGTHVIHHLFPQIPHYHLIEATKAAKPVLGKYYKEPKKSGPLPFHLFGVLAQSLKQDHYVSDTGDVVYYQTDSKTKTSA >Et_8A_057512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3799799:3802061:-1 gene:Et_8A_057512 transcript:Et_8A_057512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEHAWWHEAMDLFMLKLSLLAAGRASGLPVPAVLDWAFDNSSTTCAEAARRRSDFGCVSRHSECHNSTGSAPGYVCRCRHGYQGNPYVRDGCQRVRENMVIFVAGASVGICVLVLVFATTIFATKKLADKRAKKMKEYFFKQNRGLLLQQLVDKDIAERMIFSLEELEKATNKFDKARILGGGGHGMVYKGILSDQHVVAIKRSKLVIQREIDGFINEVAILSQINHRSVVKLFGCCLETEVPLLVYEFIPIGTLHSHLHVDSPLSLSWKDRLRIASEVASSLAYLHSAASISIVHRDIKKINILLDGRLTAKVSDFGASRGIATDKSGLYKAHMDIWILEYFYTRRLTEKSDVYNYDVMLVELLTRKKPTVEMSLDGVGLLAHFMLLLSEDRLREILDVQVIEEGEEEAKQVAVIEPGDDRPTMRHVEMRLRGLQCSDYNFQKNPGEQEVGTGSSDTPIKDTTLSWDTATITAGVTVWKEKFCYQQPCLVDHAHIRSHSD >Et_3B_030105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30545889:30550247:-1 gene:Et_3B_030105 transcript:Et_3B_030105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAARATAPAAVTVTAAPVPPPPSVTTAAAADTSSPDPAALYEEGMWQQMAMNSGATMQPGPYPERPGEPDCTYYLRTGLCRFGMSCRFNHPPDRNLAIASARMKGEYPERVGQPECQYYLKTGTCKFGPTCKFHHPREKAGIAGRVQLNTLGYPLRPNEKECAYYLKTGHCKYGETCKFHHPEVFNAVASSPSRGSPIYPSVHSSARAGPHSYTGTIASWAFPRASFIPSPRWQSPSNYAPMIVPQGLVQVPSWNSYPSQLQSPGAQQTYGTSQQGESSAGNQGMLSPYRSSSYPVPQYALQRENVFPERPDQPECQYYMKTGDCKFGVVCKFHHPRVRSLPPPDCVLSPMGLPLRPGEELCKFYSRYGICKFGANCKFDHPMAAPMGVHAYGFSTSASPASASTNVPMARRLLGSASGSGYTS >Et_4B_037167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15747641:15748543:-1 gene:Et_4B_037167 transcript:Et_4B_037167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFLEKFSSVYRREQLSQGSSQYCKFDSQLLTAFTSLLYLAALVASFFVAAFFSASAWASPSILIYLSEMAPHRLRGTLNIGFQLMITVGIFSANLRKSEAAGGWRLSLGLAAVPVAVITIGSLFLPDTPNSLISRGRREKARKVLGRIRGTDDVEDEFGDLLAASGGKEASGAVRRRWPLLGILERRHRPQLAMAVLVPFFQQLTGINVIMFYAPVLFKTIGLGGDASLMSAVITRLVNIVATFVSIATVDRLDRRKLFF >Et_10A_000116.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5913200:5914261:1 gene:Et_10A_000116 transcript:Et_10A_000116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATAGHLGLVRPRPSLVVLNELLSKEAFLLDATHALAAAALRCTPVLGAVARTVKEIIPKVRAEAEARGDAAIVHAGRLFEALLDAQEGRLGEALDALERQAEEQPGDPFPRLCAAAVCDLLGRVEEADGWLACITGDSAPREDLMFRYALVAATLGGPPCAVAGSQGAVASAALLFVYQKLWGCLSDTDVPLITNMLATGLLRRVVKTPLPADISFPTVATILRSDEGRPDPISPFHLLACAGGGDVYVLQASQALMSAVLVRAPPLCAVRLHDATRAAERDLASAVENDNAPAAADLRLVLAFLAASRRLWRSTWRRCGSTRPTPGSPSRRRSPTGGRTVTSVSPPGPLMIN >Et_4A_035574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29911943:29915304:-1 gene:Et_4A_035574 transcript:Et_4A_035574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRACRAALIAAAAAYLLFLLLFELPSVAVSNRPSSSSRHAATHRARRRELEASALPTSSSPLRPDKSAFPRRAPLTVSSLRFDRPNSSSSIDAAAASAFAAARPHLADLLLSDSAPPSSSSSPSPSPSVSASCPATVSVARDQLASGAAVAVELPCGMAVGSRVTVVARPKGGQRIVSRREGDAPMMASQFMVELLSTKAVQGEEPPRILHFNPRIRGDFSGRPVIELNTCYRMQWAQPQRCEGWASRPDEETVDGQLKCEKWIREDNSKTELDEPRIKLWLNRLIGRPNEVSVDQPYPFEEGKQFVLTITAGLEGYHINVDGRHVASFPYRTGYSLEDATGLSLKGDLDVESISASYLPSSPPSFTPQRYLEMSEKWKASPLPTEPVELFIGIISAANHFAERMAVRKSWMISTRRSSNVVARFFVALNAKKDVNEELKKEAEYFGDIVIVPFMDSYDLVVLKTIAIAEYGVRVAPAKYIMKCDDDTFVRLDSVLDQVKKVQSGKSMYVGNINYYHRPLRSGKWAVTYEEWPEEVYPPYANRPGYVISSDIAQYILSELDNKTLRLFKMEDVSMGMWVEKFSITRHSVEYLHDVRGEGGHGPCAFYAFKVGERINS >Et_2A_015245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:109494:122123:1 gene:Et_2A_015245 transcript:Et_2A_015245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRSGGVGASRDGAPDASASAAISTPARRETRSSSAVTPSPTNLRRSTRETKGKKKSDLATPPAPASQRSTKAAAPPTPVPSPKKPQGDSTPTRKSTRVKTTTSSASKQDSTSATAKRKNDNDSQSAGLSKKHKRLNTKSYIALFKAPEEQPKFSPVLASPHTEDGEDASKVQVEENGTDLVENASKVQVEENGTNLVYEESEAHEQVSQEPSNVQVLEGHSSDLDENPDVILERDEMNVGCQQADAISESHMHNEICSLNKAAEIIPMMEAGEQTAGDSNQNSLPESLNGPLSTVHHEEASKAIEDGYSIGTQEACTSMQTEAIQCDETDHNEHICVGCRSRNVSDILKSCDGKGCKRHYHLSCIDPPLEYVSPGIWLCVICTKKRIQFGVYSVSEGIDSLWDVKDGPNSSKQYFAKYKNLAHVHNQWVSESDVIDSTPQGRDLISKFCKRIHKEKTIRWKQEWAEPHRLLKKRSLMPQNEAEGFFKFLGDKFAYCNAEWLVKWKDLGYEHATWELETSSFLCTPEAEELKRSYESRREAARRASACGETDKVKDGIFQKLQRPPDGCPAGLDDDHLNSINKLREFWHNSRGAIFIDDQERVIRTIPFVMSILPDVCRPLLIVSTPASLSVWEAKFNRLAASINVVVYNGEKDVRKSIQDLEFYDSGSMMLQVLLSHPDAILEESIPEYINLLSFLNPEENDVLSVSNGDSGDTAGTLAALKAKLACCIAFERKADSSMYSEYWVPAHLTQVQLEMYCYTLLSNSPALRSHSKTDNVGALRNILVSLRKCCDHPYLVDQLLQSSLTKCHLPTEILDIGVHSSGKLFLLDKMLQELSMKGLRVLILCQSSGGSGNPMGDILDDFISQRFGYEAYERVERGLLVQKKQKAMSMFNDKRKGRFIFLMDTRACVPSIKLSSVDAVIIYSSDWNPVNDLRALQRISIESQSDHVPIFRLYSSYTVEEKALILAKHEQILDSNIQNVSPSLSHCLLSWGASFLFNRLDKFQNHNYSSNGSDGDKLFMDNVVLEFLTKLSSKVDVSTKNDNSVISQAHLHGSFYSSDIVVIGEREGISSPDGDLPKFWAYWLNLLHGRSPRWQHISEPAQRSRRKIQNMEEPLVNIEEQLQVPVEETDVARMKRRKLGEIMDSSPKVAPGLNKDTLLSEDNTPSSSHQISVDDTWQELETNNLHGAQRGLHVQLKPEMSKLYQLLELPETVKSLCEELLEYILKNHQVSQEPKGILHAFNIALCWRAASLLKHKVNRSESLALAVKNLNYECSERLVLFVYEKLRILKKKFARKAGEASKQTQSTSASNLSPCEQETSTRSKNGESINGQAISVDGRIESDSHQQVPGDFWTEEMVSGEKDLSDTGTRVGQHLSKDELLSRVMDKRIKLVDKVFSLRGKSIQGKHIKEVALLDTHRQREVAKLREACNIVVKHLRETQSQIDQEDMVGKIKLIVEWFTMLLYAFLKHMRCQRNKLDMQQSAALTKESHLKEQYLQAAKSGQLDRAFDRHIPLPDSDFVVEEFSHFRVEVGGCHVHAASLTPQSLGDDSAMEITFVRSVNASEATSTEEARNGPVIQKSTPEAVSLTASSIHDTSDGIDSQGGASLAVHNPLIEDSANQESLGGDHGSTEHVEEESTVVSPLQVGTSQPSGDAGMEVNGENSETTHLNTAASSAASSQVVLPASREVETQTNLVTQSAQQSLAPSQLSPGEPEQEGSSGVASAQPLQSERPRSIPVSSNLLEIAQHDQSQPSHQTDAAPNSNSAEPAQLFPVASMMFNHPPIDDEPLKNEMHKLRLHMDTLNKIHELKKSQLRTECEQELEKIKRKYDLLIEEHDSTHILQKKTLDDIYEKVLRNQSLAEDFRAKFISPSAAQEPIVLELVRRLRLLSKHRRGIQQQDPHHHQLLRRQLVDRLYQGLESNRHRWIDRCHHCHTCLVRRCCLRMWANLPLRYQAVFSEQHQCQLATCLHHVELRVNWLLVLLRLIFSSGHRDRIPRLLVISSSFRGWRRRLQGHTLLANPPTADSQQPTLAMSAMSNLHSSLPATLSPSGLHPVTPASSVVSGLQATAPPSMQATDSGSLALDAWLTANLGLSSDSPRVGAPVNGDVDVVCLSDDDSN >Et_3B_031469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30626000:30627578:1 gene:Et_3B_031469 transcript:Et_3B_031469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIVLSDDPPQGLISNDASQKRFFSIAPPAAVIFFVLVFLAGAIVTLDHKENLSILQLQPKELLAAEEIRAPAVSELRDEPMEEPNICENRCRPSGSEALPRGIVQDKSNFEMESLGGNPERKEDGRPPKSLLAIPVGIKQKAVVDKLVSKFPAANFTVMLFHYDGVVERWGDLKWSERAIHVAARNQTKWWFGKRFLHPDLVSEYEYVFLWDEDIEVDGFDPLKYLRIVRREGLEISQPALDHRSQIHHPLTARARRGAGDVHRRFYKSSGHGQRCYGNSTGPPCAGWVEMMVPVFSRAAWRCAWHMIQNDLIYAWGLDFKLGYCAQGDRWRNVGVVDSQYVLHRGIPTLGDGGGKVRPSRSASASATDRYAVRQRSYDELQVFNRRWRKAVEEDACWTDPYPKPATNS >Et_1B_012061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28538024:28538341:-1 gene:Et_1B_012061 transcript:Et_1B_012061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGDGGVLDHRLARADDMVLYLVHVKGWRMSGGTWEWELVDTVFLRGVLSVFGVKIYAVGDDAAFVFVMISGNTRVFILDVKSMRVKKVLLK >Et_1B_010529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11292190:11293535:1 gene:Et_1B_010529 transcript:Et_1B_010529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSDSKGGGGAMGMNMVTTVMAFSVSAFFVLFVFVRLLCARLRLRTDEAAAHAGDAFVLQTYNIERGIHGLEPSVVTSFPTVKLGDGAPGQEGSQCTVCLEEYAAKDVVRVLPACGHAFHAACIDAWLRQQPTCPVCRASLRAAKNNHHRATPLDHSLAAARAPASSSDDVAASPHHAAADQLDSGHADRLEIVYEEPGSSAPEQQSAAVVDRSGCGESASSASEQHHR >Et_10B_004033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9512004:9514221:1 gene:Et_10B_004033 transcript:Et_10B_004033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFVFGNERSESKEDSYVERYLDRISNGTIPDDRRSAMTELQALVAESRSAQMSFGAMGFPVLLNILKEDRDDVELVRGALETLVGALTPIETTQGPKTEVQPASVNSDLLSRETENISLLLSLLEAILLIPRGITVLMDMLMDREVIRNEALLLLTFLTRDAEEIQKIVVFEGVFEKIFSIIREEGYSDGGVVVQMLLKETMGFDPLISILKIRRGSAFNFTQQKVFCSLLNHLFSLIDYVEYIFLVCITHILLKL >Et_2A_017046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30483132:30483534:-1 gene:Et_2A_017046 transcript:Et_2A_017046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDFDGLRGGGSERRRMSSGGCSTCLEVIFSVVLPPLGVFFRYGCCSSEFFISLLLTILGYVPGIIYSLWVILRTPPEPPGIDGERPYYLLA >Et_8A_056725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1603416:1610853:1 gene:Et_8A_056725 transcript:Et_8A_056725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEELVERIRALEAGQAELKREVSELMPERHGGAMRSRRLTHQRLGRAGLSVRHHRLIMQSLGQAVHVLDLQGKVLYWNRYAEHLYGYSASEATGQDITKLIINSCDIGALNTIIENIFKGKCWRGRFPVRNKPGDRFYVAVDATPLYDDDGSLMDEEELLKKVGALEEGQTELRQQVTKLRLPERRSALRQPICSSSRRLRPALSHRHHLMVLNCLGQAVHILDPQGKVLYWNRCAELLYGYPASEAIGQNVTKLLVHHSDIVAASSIIGDIFTGKRWKGKFPVKNKSGERFSIEELRKKLRALEAGQAELKRKVSKLVRTETTGALCHQFVAALPRPAPRSSSRTNHQRSGRAGLSLRHHKLILQSLGQAVHVLDLQGNILYWNRNAEHLYGYSASEAIGQNVAKLLVDPRDIRALSTIMEDIFIGKCWRGKFPVKKKSGERFFVVADGTPLKDDDGSLIGLICLSADLRVLKEIIGFSPFVGHWNFSPKN >Et_4B_036704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10820487:10821458:-1 gene:Et_4B_036704 transcript:Et_4B_036704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTRRRAAEQGAATASASTPPPKRPCTEDCDEEDDEEETTRHFRIKPGSLSMNCPICVLPFEAEVYMVCTNGHAACPRCCLSMSRKCGSCHEPISDVRCRPLENLLDEMDTDCRYKRYDCGESVRWTQKRAHEAACPRAPSGCPVAGCTHRGLLLYEHVVDDHAGEVATVSYLRTATVAVHKRAPLRVLPEPSRGRVFLLLNGGDVLGGRSLSLVCLGPRPEGDDDAAESEIGYKMEVRGGAPGALMMKATAPRVRRLEGFQARKFIFVPDADWGSSGTVSVSVRLG >Et_4B_038792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4903569:4907305:-1 gene:Et_4B_038792 transcript:Et_4B_038792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEQRVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMVHHPISACRRIPNLVQIYLVGFYEEREFALYVSSISNELRIPVRYLREDKPHGSAGGLYSFRDYIMEDSPSHIVLLNCDVCSSFPLPDMLEAHKKYGGIGTLLVNKVSAESANQFGELVADPETNELLHYTEKPETFVSDLINCGVYIFTPNIFSAIEDVLKQKKDRANLRRVSSFEALQSATKALPADYVRLDQDILSPLAGKKQLYTYQTLDFWEQIKTPGMSLRCSGLYLSQFRRASPHLLASGDGKRSATIVGDVYIHPSAKVHPTSKIGPNVSISANARIGAGARLINCIVLDDVEIMENAVVIHSIVGWKSSIGKWSRGEGDHNAKLGITILGEAVDVEDEVVVVNSIVLPNKTLNVSVQEEIIL >Et_4A_034918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7858483:7861039:-1 gene:Et_4A_034918 transcript:Et_4A_034918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNTERRSSFMKWLCTFLKGTKPGEPNRRRPRVTAGEENTLWQEPIRPKNDPPRPDNEELDRAIAQSLAEDVKPPKEPARKGDNNNDEDLARAIQDSLNMNPYMPYNPYASSQPQPRGYRVCGGCKHEIGHGHYLSCMGMYWHPQCFRCSACGHTIRETEFTLLGSDPYHKLCYKELHHPKCDVCLQFIPTNRSGLIEYRAHPFWGQKYCPLHEHDRTPRCCSCEKMEPRNTKYMSLGDGRSLCMECLGSAVMDTGECQPLYHSIRDYYEGMNMKLDQQIPMLLVERQALNEAMEGESRGAHHMPETRGLCLSEEQTVSSILRRPRIGGNRLLDMRTQPQKLTRRCEVTAILVLYGLPRLLTGSILAHELMHGWLRLKGYRNLTPEVEEGICQVMSYLWLESEILPASSRHGQPSTSYASSSSSSYPPTSSKKGGISHTEKKLGEFFMHQIANDTSTAYGDGFRTAYAAVNKYGLRQTLNHIRLTGGFPV >Et_2B_020863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24417758:24420082:1 gene:Et_2B_020863 transcript:Et_2B_020863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFCLNNCYFVLKSCVSSRFPLTKIDVRLFAGEAIAPSPGLPPMKPICDFSDHRYDLCEMWGDARTASGAHRSRVFFIPPPSQLATTAAATWSVRSQSRKYVGVREVLVRSLNLSDLHDAPNCTVRRSVPAVVFAIGALTFNYWHAFSDVLVPLFTTARAFGGEVELLATDTRDRGWFLGKYSRVLRALSRYEAVDLDADAEVRCYPHLVVGLRGHRDFDIDPARAPNHYDMRAFRLFVREAYALPPPGDATALPCKSGARRPRAMVILRGGTRRFLNADAVVAAVERAGFDAVRMEPGSGRLGDMDEVARAVDACDVLVGAHGAGLTNMVFLRTGAVVLQVVPWGKMEPHSEGFFGAPARHMGVRHVMYSIAAEESTLYEKYGKDHPVITDPDMFYRNGTNAQYYWREQNIRLNTTRFMPTLEMVKRMLREDNCTSSGRTRTTHPGAFYRNGSNVRYYWRENIRLNAARFMPTLEMVKRTLQE >Et_1B_013082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5365479:5372109:-1 gene:Et_1B_013082 transcript:Et_1B_013082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPASASASDSGMLGRRLALLPSAARVGTTRARMTLGCALVDHVAPQLTVASATLVGAREVIAAAAAASAGGSGAVHGAVASTLAQVAVTAVAIASGACLSTKVDFLWPRIEQLPETLIFEGVEVTGYQIFEDPKVQKAIEFASTAHLGQFRRTGDPYVTHCIHTGKILAALVPSTGERAVNTVVAGILHDVIDDTSESLKSIEEQFGDDVASLVSGVSKLSYINQLLRRHRQKNTGGSSLTSDEANNLRVMLVGMVDDPRVVLIKLADRLHNMRTIYALPASKAEAVAQETLAVWCSLASRLGVWALKSELEDLCFAVLQPQIFKKIQSELTLMWNCTSKARSLRRSSFRSELLASMKDAHMMSAHDLYNSCNQEKPNMKDLLQAVLPFDLFLDRKRRSYFLSNLNNTSEESILKPKIVDDAAVALASLAACEEELERELLISTSYIPGMEVTLSSRLKSLYSIYCKMKRKDKGIKQVYDARALRVIVGDKNGALHGPAVRSCYSILDIVHRLWTPIDGEFDDYIINPKGSGYQSLHTTVQASDSAPLEVQIRTQRMHEYAEYGLAAHWLYKESKVEYKSGMSKRIGQTTSYSSSSSEDETSIQDIPSKYSTMKVGHPVLRIEGSHLLAAVIVSIDKGGKELLVAVSFSLEASEAVAERRSSFQLKRWEAYARLHKKVSEKWWCAPGHGDWSTNLERYILCQDGIFHKQDQFGRLLPTFIQIINLTEEEEEEYWMVVSAIFEGKEASSLPSESGYADRSSSDPPSSTPLSDPINNKVHLLRTMLQWEEQVRRGASMAEQSLGVSTYTKPMLHEVAIIFWPNGKIMRMSTGSTAADAARRMGVEGKLIWVNGQLVLPQTELKDGDIVEVRV >Et_7A_051362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17409324:17414151:-1 gene:Et_7A_051362 transcript:Et_7A_051362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVAARSRRLSGHHFSRLHPALPHLLSPQCSGDDSQPLPRVHCRPGAGPFRRGFSSFPSYRVPDAGAVLTDAADAAVAAAPASFPGEVAWAAEDSSLSVAAVQHLIDAVHSFTGLNWSGFKGVSIAISTVLLRSVLFTLSFFARKQAIGMQNEVSEVKNLIDRVNDQKSRQEALQRGLSVFMRLGLPTSIMILTPYTFIALYFAISNMVEKLPSLKEGGTFWFTDLTTPDALYIFPAMTVLFLLLRLEAVCTYFVAWSFASLTHIIVLNQPGVKKLLHGDCTKQACSSSDGPKGPNR >Et_5B_044495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2980981:2987915:1 gene:Et_5B_044495 transcript:Et_5B_044495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDGFAVAAAACPAAAEAFAKFCGTVSGGTNAKTRQGLIELSQAIDGIEGMRDAIFADIPKLMPFIDLEDVSLFNYFYDFVFFICRENGQKSITIQRAVAAWRIVLNGRFRLLDRWCNFVDKYQRHNISEDTWQQLLAFSRCVNEDLEGYDPRGAWPVLIDDFVEHMHRIYHSSDCSNAMESQCSISNTFKGLDLLPGSKRKCHSQFNSNEEDVELSDSFTRYVHLTPLKRLKESSSTRFGVWESHKGTPFSNSSSDYCEDTNLQSSRGCLQNSTCIVEDTLSKGFEEVIITNIYFMEDSK >Et_4A_035029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8965132:8968531:1 gene:Et_4A_035029 transcript:Et_4A_035029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQQGGVSPGGGGKGRDMFSVYQNPSVTRALASRSARPSVPVLLVLAVLPVVSSSSLLALSSREQQLAKVAGRAGVSAIAAIFAFRLVEAALGLVALFTLPAFFRALMLYNGKKALAKEDKIVLSERQRGLLGLKKAGSEGGGMAEQTKRPPKAKPSTPSEPIVPIRKSSFSYTPPRPLGPSRSASAHLSPVGERLNTALQKSPSTPLQKSVSSPSTPWSRKSSGSAKGIQTEAMLEQFLSSLDENIDRITDSETKTATPPATITGFGVATPVSVATSTTPSGATRSTPLRPVRMSPGSHQKYSTPPKKGEGELPPPMSLEQAVEAFENFGVYPEIEQWRDNLRQWFSSVVMNPLVQKIKTSHIQVKQTTATVGASVTVSQVGSDPPSTTAPVSLSPLGGTKDWQPTVTVDEDGMLNQLRSALLRSRDAPVAQTFGSPQQPQPNPQLPAIQACIDAITEHQRLNTLMKGELIKGLLPQSRTCLRNYDYMGRGNGFGKSEKKWSSELPTDSHLLLYLFAAFLEHPKWMLHVDPTSYSGAQSSKNPLFLGVLPPKERFPEKYVALISGVPAIIHPGALILAVGKQSPPIFALYWDKKLQFSLQGRTALWDAILLLCHQINAGYGGVVRGIHIGSSALNLLSVIDSDREI >Et_2A_017677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:522895:523623:-1 gene:Et_2A_017677 transcript:Et_2A_017677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAGNKPKLPDPWADLKPELGSKPKLLDASTFKVKVEASTEAFLEPKPEPLEEDAPPPDDWEITPVSGGHPFFTTVFSRSQVQKPFQLAIPTRFHRHLPEARVPAVLLCGGQSWPTSYCGDLKVKKLDAAWRGFAVDNRLRVGDAGVFELVSAETEGDKNTVVFRVQVLRGDLPEELTSKGATSDEPLVIVDS >Et_3A_024672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23133430:23137450:-1 gene:Et_3A_024672 transcript:Et_3A_024672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGDGASATLRKRTLWVDTAADAGTERGTMATAVDESAAVVEEPVTPTGRLFREPNFRCYIVSVLGLGKPVDLPAVRAGLAATLARHPRFCSVQVPDELDRDAKPKWVRTTVNLDDHIIVPALDPAATSADPDKALEDYVASLSARPMDQSRPLWELHVLDFPTAESAAAAALRMHHSLGDGVSLLSLFVACTRRVADRTRSRRCRPPAARGPCGGGLAALALWALALLVLAWHTVVDVACFVATVASLVRDKRTVLKGAEGTEFRPKRFVNCTLSLDDVKYVKNAVGCTVNDVLLGITSSALSRYYFRRTGESDRNSITVRSALVVNLRPTPGLHTLARMMESGKDNAARWGNQIGYMVIPFHLAKHEDPIEYVRKAKQVARRKKSSMESIFTYWSADLIVKLFGIKASAALCYGMFTHTTLSFSNMVGPTEQVQFCGNPIVYIAPGTYGHPHRK >Et_2B_021322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28610300:28614935:-1 gene:Et_2B_021322 transcript:Et_2B_021322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQFGNLRNLKYLRMSSMNIIGEIPETISKLSQLKLLDLSSNRLNSTIPDGVWRFKSLKMLYLNENSLSGQMRGPVEALDLIEINVSTNLLTGQIPEGFGKLKALQHIDFSNNSLSGELPESLSGCRSLMSIILYNNQMRGRFPAGIWSLPLLTTIMIQENAFSGSFPSNLGSNIKIINISNNRFSGDLPMSAKNLNTLVTVNNCISGEIPTVLIRNAPLRVLVLAENMLSGLLPSTIWCMRYLKELELRKNNLSGQIPGTFGMSMLSNKVDTVDLSENNLSGPIPAILARLEPAFLNLSSNQLTGQIPDPFQINRYEQSFLSNPGLCSFDHFGNLPMCIRPLEDPEEKHKHLNRPLIIILILGSIILICTGPFGMVYKLCLHNSNCKVIAVKKIINGLRMDDMLEKQFQAEIETLGSIPHANIVKLLGCISSSESMLLIYEYMEHGSLYDWLHQKDLTSTTEQLNWPMRMSIAIDAARGMCYMHHDCSPPIVHRDIKSSNILLDVEFKAKISDFGLARAIAKTGEPESISAMVGSFGYMAPVCDGDVLHYLLEFGSLRKINEKVDVYSFGVVLLELTTGRRATGEAGGHENLAQWVWRQFQEEGFQLPNVIDENIKDASYLREYQARTNLHRNKTIVKAIDEGRLAGATTLNSICQS >Et_3B_031558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4596231:4598418:1 gene:Et_3B_031558 transcript:Et_3B_031558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSGGDKQGRSRSPLLQPEAQRFRRASVVVGCFLFVLVFLLSSPHDVAVFDTLIPKASLRTPAAETLHSSSGQEVGNTTIAPETNGVVREAEPEEAEHHESNAASAASTSNSGNRAIPGAAEEAVQDNAVHVTAAATAAQPAMETTSSPQRGLPGNTVIITPRAESVGQRRRPRPPLCDLSGSRADVCDFDGDIRLDANASTFVVVVDDDVPASGGNGTTTEYKVRPYPRKGDVTAMGRVTEITVRATSNAEADAPRCTRTHAAPAVVFSIGGYAGNIFHDFTDVIVPLFGAARLRYRGEEDVQLVVTDANPRWLARYGALLRGLSRHAPLDLAKAAAAGEVHCFARAMVTLRAHRELLIEPEIAGPNELAMPEFTRFLRRALSLPRDAPTRPGNATVKPRLLIISRRGTRRLLNADAVARAADSLGFAASVRELDVSDDVARVGRLINSYDALVGVHGAGLTNMVFLPAGATVVQVVPWGGLRWIARLDFGDPAEAMGFRYVQYEVAVEESTLKDKYPRDHEVFTNPTALHRKGFTFLRHTFLNGQDIVLDVERFRPVLLQVLDNLRQTGSR >Et_2A_016832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28690940:28692284:-1 gene:Et_2A_016832 transcript:Et_2A_016832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRRFPFSFAVIIAAALMPPSAVAQLKTDYYVNICPNLETIIRSSVKQSMAQSPISGPAALRLFFHDCAVRGCDASILIVNSNGDDEWRNSDNQSLKPEGFQTIMNAKAAVDSDPQCKYKVSCADILALAAREAVYQSGGPNYSVELGRYDGRLSTRDSVVLPHANFNLDQLNSYFAGLGFTQTEMIALSGGHTIGAADCPFFQYRIGTDPTMDTAFADQLRSTCNANPTSGFAFFDDTVVGFDNSYFRKLQGGRGLLGSDQVLYTDTRSRATVNNYATNQGAFFADFVTAMTKLGRVGVKTAATGEIRRDCRFPN >Et_1A_005561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11507060:11510544:1 gene:Et_1A_005561 transcript:Et_1A_005561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSAGIAGDKSPTELSFRAMGFVVEQEFRAFSAAGKNKTAPVEEAADYDQVSDQSSRLSEKGSPPPSTGDKVLKEPHLTRVPSEKAKAGKPRRSTSAKADIGLAFGRKSTSGLGKAVEVLDNLSSSMSNLSPGGGFVSGTTAKGKKIAILAFEVANTIVKGRSLVQSLSKESLKYLKDTVLQSESVQRLVSSNLDDLMRIAAADKRQELKVFSREVIRFGNRCRDPQWHNLDRYFSKLESEIMPQPELKETAKAKMQQLMTLVRYTGDLYHELHALDRFEQDYRRKLEEEKRSVTFERGDTVQIIRQELKSQRKHVQNLKKKSLWSKTHDDVMEKLVDIVHFLHVEIQDAFGPYDGESNESSERNQTLGSAGLSLHYANIISQIDNIVSRSSVPPQSTRDALYHGLPPNVKSALRTRLLSCSEAQEVPITEIRSSMEKTLLWIVPVANNTSRAHHGFGWVGEWANTGSGLARTMSAQPDVLKIETLYHADKEKADACILDLVVWLHCLISYSRPNKASRSPSRSPVRSPSPVSPPSSSSSSSTVLTREDREMLQDVYMRRQQRSPGKSKSQELAAGRRTALSKNDRLSKSSNHSPSRENGKVFPLTSNRSPALSPVVDFDIDRIKALDVIDRVDVQKQQL >Et_1B_012083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28810974:28824064:1 gene:Et_1B_012083 transcript:Et_1B_012083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEPCTIIPLSGTYPRSLSWRIIICDIRDAVPAHQNTMLGMPSSRATPSAKVISPVGSTHVTGGTPCSLLSLTMRSLSGAAHRVLEQALPVVLLAAVGVGEAVGDGLEPEPFTSPHGAGALGVLHAPVVVLGVDEGDVDAVPVEQPGELQRRVDVAGVRRHGWDFGDDGNQRFNNGVGPVKNPHCPKPGRGPDPHGDGYGPPKNTECGSGQESPTWQSWTPSNQENNPSPPASSSPPTTPTTDQENTPPPPSTYSPTDVPSPYMANSPPPTTPSSPIITPPTSQIDSPPLPSSPTNTPPPSVNPTSPPMYEENTPPPQFTLSPNVPPPTYQDNSSPPTPFYSPYPVPSTNQSSNPPPSSPIATPPINEENVPPPPSTSTSPTTPPPSTNIPPPPSSYQSNTPLPPPLYSPPATPPTYETPHAPASNPPATPPNYEETSPPPPAPSNQQAPTPLSPSNPLATPPTYQDQYPPTPTTSSTPQTPPTYNTPPLETFSPPATPPTYQSNNPPPPSPFNFSPPNTPPVYQPDSPPTPSSSSTPAMPPTYEANNPPPPATFTPPPPPPKYQAPPLEMFSPPAYQSNNYPPPPSLPSPPATSPTYEYNNSPPPTTSKPPPPLSTPSSMPPAYQGNVPPPSNPPATPPTYQANNQPPPPQIPSPPTTNPPPPLSPPPTPPINPSPPPPPSSSSPSFPTYEAPPQSNPPNGSQGWQQVNNPHDKKYWQIARFIVLMNQLAYNKKEFVLVDVISVSEQPAGDGKSYLVKFKAADENKNVRTYEAVAWGIYASTAKPWKMKYLAAVLVPLVVVFALATVGTPGASAAVAGVPPTSEPWVPISDIRNFFYRQVANFVLLMRRIVFKEDLTLVEVVSGSTQVAGAGFNYRLLLRVADGEGSIGRYQAEVWGVPKSREWTWKVISFKRVAGN >Et_8B_059453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18005554:18015877:1 gene:Et_8B_059453 transcript:Et_8B_059453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVHAVKWKSKLHVKLDVQACGVKKTKTWNPQEATQRPQAGVSFPVRYCVRRPPSARDRTSVPTALTPATARRTLLAYARNLIRQTTTPYYHLFLATFHEPRPPLTPHPCPSSTTAARDAKPPCIPLTTHRQGWPFVMIYSLEEGRGKGEGRRVSVSQIMRETGKRPRQQRDNDREERRDQHKRPFSNPQESSNSDELVVYRILCPDTVIGSVIGKNGNVINSIRQRTNARVKVVDPYPGSDKRVILVYCHVKHRDLDADEGGDSQPVCAAQDALLRVHQAILDAQDMLQMKHIDSDKKNTKEANILVPASQAASIIGKSGVVIKRLRSNSRAFIKVSPKDPSDIAHTCAMSFDNFVQITGGAEGVRKALFGISTIIYKYPSKENIPLETSVTELGSNVIVASELPVYPASNFYSAPDSSIPSGHPGSLSILGSTPHVPELTLPADPHGRLPIYQSVLPIIPAYSTPKCSGELVFRVLCPGDKIGLVIGRGGSTIKGIRQESGARIDVDDAKNDREDSIITITSTEATDDVKSAAVEAVLLLQEKINGNDEDRMNFRLLVPTKVIGCLIGKGGSIVIDMRKKTQADIRISKGNKPRRASSSDELVEVSGEADKLRDALVQIVLRLREDVLKDSVDTQSSDRDDKLTIATTDPLYASSLSLPAILPYSQQVAPMSYDQRGENERGLDIFPRRSSYGYSSLQVADEGYGGRNEGYGGRNEGYGGRNEGYGGRNEGYGGLPSYTSKAYEGRLPHLEMAIPPSGLPKVMGKRGSNLDNIRKISGAHIEIIESKSSRHDHIARISGTSEQRQSAENLIKAFIMC >Et_1A_008185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:535903:540316:-1 gene:Et_1A_008185 transcript:Et_1A_008185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRGYRSTRTSLFDGIEEGGIRATSYSAHEIDEQENDRAVDGLQDRVSILKRLSGDIHEEVETHNRILDRMGNDMDTSRGFLSGTVDKFKMVFETKSSRRMGTLVASFVALFLLVYYLTRWLLVAPKSISFKGTPRQRTLKQTA >Et_1A_006058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17256269:17262458:-1 gene:Et_1A_006058 transcript:Et_1A_006058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAAAAALRLRAVAVLSPHPPPAPASRLLASRRVALLLPLRRLCSSAPPQDAAAAAAPDSQPPPRPSPVMDAQFESFRSQLDESSTLRDRIRAVVTEIESASRVATAALLLVHQPVPLADVLGKAKAQVEVIKGLYAQLAEILKESPGQYYRYHGDWRSETQAVVSMLAFMHWLETGGLLMHTEAQEKLGLSSGEFGLDVEDYLTGLCFMSNEFPRFVVNRVTAGDYDCPRKVLSFLTDLHASFRMLNLRNDFLRKKFDGMKYDLRRVEEVYYDVKIRGLASAESKQEAS >Et_2B_021546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30491627:30492596:1 gene:Et_2B_021546 transcript:Et_2B_021546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRNEKEEHSKRQKNGGKSSTGPIIKDVNGTDMEMTEEMDSDDLDEDDLLDEEIIVEDELEEFIPQVNTMATEIAKEGVGAAHAMPLPAPSVNNGREAQADTTPLVPPTLAAARIDMGWGGAQESVLPTTSEKTVGGGALSAVLPANEEDNATDSPALALGPLLERALQELQEKKEATTGVGSGENGMVDVLVSQPTPLRRSTRRAPTVDEHSVERAARMTAIKNLEDVEGNDYDNSILFFSNEHITDNVKNIGISMGRDVDCVQSSVSLIKKVEKDRFKSSCHKP >Et_1B_009918.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11076947:11077015:1 gene:Et_1B_009918 transcript:Et_1B_009918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEGSSERQLLFFLTCQTQNS >Et_7A_051746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2445509:2448853:1 gene:Et_7A_051746 transcript:Et_7A_051746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSTTSLAAAALILLLSLAAAADMSILSFGERSEEETRRMHVEWMARHGKAYNAIGEEEKRYQVFKDNLRYIDEHNAAADAGVHSFRLGLNRFADLTNDEYRAAYLGVRTKPQRERKVSARYNAGENEALPDSVDWRTKGAVAEVKDQGSCGSCWAFSAIAAVEGINQIVTGDLISLSEQELVDCDTSYNEGCNGGLMDYAFEFIINNGGIDSEEDYPYKGLDNRCDVNRKNAKVVTIDSYEDVTPNSEKSLQKAVANQPVSVAIEAAGRAFQLYSSGIFTGTCGTALDHGVTAVGYGSENGKDYWIVKNSWGASWGESGYVRMERNIKATSGKCGIAVEPSYPLKKGANPPNPGPTPPSPTPPPTVCDSYYTCPESTTCCCIYEYGKYCFAWGCCPLEGATCCDDHYSCCPHDYPVCNVQQGTCLTSKGSPLSVKALKRTLAKPHWAFLGNNEADGKKSSA >Et_5B_044613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4009996:4012176:1 gene:Et_5B_044613 transcript:Et_5B_044613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLGIASLLDTYFRHRFAAAGLVEASVKLDGGATTVHCWRFPPVIDADADADERPVLVLLHGFGPPATWQWRRQVGPLSRRFRLIVPDLLFFGGSGTSAPGARSEAHQAEAVAKLVAAVGARRVSVAGTSYGGFVAYHVARLLGPGAVDRVVIASSDLLKADADDRALLRRGGADRVEDVMLPRSPERMRRLVRLAYHRPRRFTPGFVLRDLVQYLYNDKVEEKIELIKGITLGNKDQFQLTPLQQEVLVLWGEHDQIFPIEKAFEVTRKLGANARLEVLKNTGHLPQEEESKQFNEALMNFLLPAPKSSL >Et_1B_011190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18565421:18577587:-1 gene:Et_1B_011190 transcript:Et_1B_011190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPNTLHAASKIHKMFNELRQTEIFVLLILVKICLLLTIQFATFSFVPQCMVDPSGPLYNGGIIKNSEFNDGLTGWLVPWGVEANVSTSPSGNKFAVSRSNGANPSRSVFQKFRLQSSNHYALSAWLQVPNKEVVVKATVKTPEGAILVAGAVLVTPGCWTMLKGGMTSDSSGPAEVSFEVDDVGDIMLDSVSLQPFSFEEWNAQRSVSTEKARKSNVKVVTKGADGKPLANATVNIALSKPGFPFGNAMTKEILDNPAYEQWFASRFTVATFENEMKWYSTEWSPEHEDYAVPDAMLALAARHGIAVRGHNVFWDDAKTQMEWVKPMGRGQLRAAVERRLRSVVTRYAGKVIAWDVVNENLHYDFFERRLGPDASPRIYQQVGRLDQSAALFMNEFNVLEQPGDADAVPGKYAAKMAQIRAFAGLRLAVGLESHFGAPPNIPYMRAALDTLAQLGVPIWLTEVDVAPGPMQAAYLEELLREGYAHPSVQGIVMWAAWHAKGCYVMCLTDDDFRNLPVGDVVDKLTSEWRTHAEAATTDADGVVEVDLVHGEYNFTVTHPSLKEPAVQAVTVDASSLPPGRTIDIKAVHGPCRRDPMKPLYNGGIIQNSEFNSGLMGWSTYRDIKAGVSSSPSGNRFAVVHGAGGALSSSGNLLPSHSVYQRLQMQSDTHYSLSAWLQVSAGTAHVKAFVKAPNGKRIVAGAVSAQSGCWSMLKGGMTGYSSGPGEIYFESDAPVDIWVDSVSLQPFTFDEWDAHTRRSAAKARRRTVKLVAKGADDKPMANASVSIELLRLGFPFGNTMTKEILDLPAYEKWFTSRFTHATFENEMKWYSTEWAQNQENYAVADAMLKLAQKHGIKVRGHNVFWDDQNSQMKWVRPLGLDQLKAAMQKRLKNVVTRYAGKLIHWDVVNENLHFNFFESKLGPSASAQIYQQVGQLDKTPILFMNEFNVLEQPGDPNAVPSKYVAKMNQIRSYPGNGGLKLGVGLESHFSTPNIPYMRSTLDTLAKLKLPMWLTEVDVVKNPNQVKYLEQVLREGYGHPNVDGIIMWAAWHANGCYVMCLTDNSFKNLPVGDLVDKLIAEWKTHRTAATTDKNGAVEVDLPLGEYKFTVTHPSLDGTVVDHMTVDTASSSVSQHMIRVKA >Et_10B_002876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1300992:1304761:1 gene:Et_10B_002876 transcript:Et_10B_002876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRLAVSGRAGSGIHGRDEFTYDLYYDWLRPERVEDDCVKVGGKKLLPSVIPGRAPFFVCEKQYEPILRRRMKRVQRVKAKIRLPRGPRGRFISTKGRQGKTNGGISQAPSSSATDFYGPFVATNEDEEHVGEDAYDSILNLKAPDCTEILRIMMGDRYYTEVSEEFRNTVNLESPEFSTLLTTMNNAAYDEAADDGYYCVDKVKLKLSGWL >Et_3B_027728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16402308:16403432:-1 gene:Et_3B_027728 transcript:Et_3B_027728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDDAAKCLRIGKGALEAGDRTRAIKFLSKAKRLDPSLPIDHLLSPLLDPQSSSPKPPPQPAAAAAEGTETDGLRERKGKKRQEEGQEETAAREYTAEQLEVVRQVKKHARDYYQILGLDKECTVEDVRKAYRKLSLKVHPDKNKAPGAEDAFKAVSKAFQCLSNPETRKRYDMVGSDEPVTYNRRAASTARSYNGFYEDDFDPDEIFRNFFYGGMAPATTRQFGQFGTFHFRTGGTRAHGQQNSDASTVRMLVQLLPVLLLLLLNFLPSSEPVYSLSRSHPYEHKFQTPRGVTYYVKLPNFEEQYPHQSTERATLERHVDRDYYSIITQNCRVELQRRQWGLSYKTPHCDMLKQFEATAK >Et_3B_031154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18725852:18730628:-1 gene:Et_3B_031154 transcript:Et_3B_031154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIPTVGDGAAPASPLAALSPAITEFLAPADGVASTTPMATLSPESDMMGEEADNEDFINDMKTEENDGHGELINPMLPAFHDFFNCIAAAPLNLAGINLKENKILDLAMGSVLVETGEENLHQRFAMMVTTVPRRAPTSIIEELADELVVPASAPAPATAPRRRARKNKVPIDVSTLRRSKRLNKDLDGFRDKASADAAASSSTAAEVDPTPHLSADNAYAIGTGFLKMRPEDVSLEALMETGTERGINLDVDQGQINAEGTFTELD >Et_9B_065597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7690285:7695885:-1 gene:Et_9B_065597 transcript:Et_9B_065597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSITVMTLNLHEGEQPSESPNSWEKRRDICVSVITSYSPTILCTQQGLRWQLDYLQQCLPGYEQFGISRKGSQDTTDEYCTIFYEKEKIVNTNLDEISPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRSREHGVVGDMRDAWPNARVRKNVSLIHTYHGFKGEKQGALEFLKLIFRALCLCWDRQTQDLHIDWILFRGRPLVPALCEVINDNIDGVYPSSHFPIFAEFLLPRSVRLVETTS >Et_4B_038512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29531352:29532806:-1 gene:Et_4B_038512 transcript:Et_4B_038512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVLLLPSRLESLPPLSSPNRSRPVLHSLAPLGRRCSRLRAAGDRPGAGLADQTTVYNGVYGPWTVEDSDVREVLLYRSGLVTAAASFVAAASAAFLPEGNAAGDAIRQSIDLFYAAGAAGLGLSLVLIHIYVTPIKRFLQALWAVGVLGSVGTYLAAAQPLDESLTQYVLEHPAALWFVGPTFAALTGLVFKEGLCYGKLEAGILTFVIPGLLLGHLSGLMDDGTKSALLGVWMVLFTVFAARKFQQPIKDDIGDKSVFMFNALPEDEKNALIQKLERQTEQKVE >Et_2A_014883.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20166240:20166488:1 gene:Et_2A_014883 transcript:Et_2A_014883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FCEKIQASSLLAWELLDCAPCAQNGRSQHLWGPLIMIPLQQFCHMHDRPAARWSIKRSGTQKDWTREELCSAEYRSVKRDVR >Et_9A_061130.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17787340:17787456:-1 gene:Et_9A_061130 transcript:Et_9A_061130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNPKVPFDSPSMCTRSKRAPASPAMSTRSKRRLSI >Et_7B_055373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9731901:9733544:-1 gene:Et_7B_055373 transcript:Et_7B_055373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHGTAALPPSQGKLITVLSIDGGGIRGLIPATIIACLEAKLQELDGPDARIADYFDVIAGTSTGALITAMLAAPDENKRPLFAAKDITQFYLENGPKIFPQRKLGFLTPVAKLVGLLMGPKYDGSFLHDKIKTLTRDVTVADTVTNVVVPAFDVRHMQPVIFTTFEAKAEPLKNAHLSDICISTAAAPTYFPAHHFETRAPGGGGASTRREFHLVDGGVAANNPTMVAMSMLTKEVINKNLDFHTDNATEYTRYLIVSVGTGSAKQSEKYTAPQCAKWGLLRWLYDGDFNPLIDILSHASSDMVDIHASVLFKALRCEKNYIRIQDDSLTGNTSLVDVATKENMEALIGIGKELLKKPVARVNIDTGEYKPVAGEGTNEEALGRFAEMLSKERRLRQSTLNSY >Et_2B_019762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13696845:13700814:1 gene:Et_2B_019762 transcript:Et_2B_019762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWGLGGEFSSLGAEGSLCSALLALVALLLRSASEREHSITCVVFPQQPIVKGQKKEEAASAAGKPPVKKQSAGELRLHKDISELNLPKTTSISFPNGKDDLMNFEIIIRPDEGYYMGGTFIFTFQVSPSYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLNLLFTQPNDEDPLNHEAAVVLRDNPKMFEANVKRAMAGGYVGQHYFPRCA >Et_1A_004604.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22045207:22046638:1 gene:Et_1A_004604 transcript:Et_1A_004604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGGLHTTGAKWFMIESQRHSYHLVDPSPWPILGSLGALATTVGGVMYMHSFQGGATLLSLGLIFLLYTMFVWWRDVLRESTLEGHHTKAVQLGPRYGSILFIVSEVMFLFAFFWASSHSSLAPTVEIGGIWPPKGIGVLDPWEIPLLNTPILPSSGAAVTWAHHAILAGKEKRAVYALVATVSLALVSIGFQGMEYYQAPSTISDSIYGSTFFLATGFHGFHVIIGTLFLIVCGIRQYLGHLTKKHHVGFEAAAWYWHFVDVVRLFLFVSIYWWGGI >Et_1B_013349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7568656:7570900:-1 gene:Et_1B_013349 transcript:Et_1B_013349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLLRRGRRTHALLSRALSTTAGDASLSVKVAKKRLRGEHDPDRAISIIEAIDTASLSAGSTRHALSLAARRLTRSRRSEDAEKLLSSHLPAATTEPQLAAVLCAYASASLPEKALAAFRSAVPSLPTPITPLPFNALLSAFLRCRKHNRVPQLFPELSKEFSITPNATSYAILVKAYCMIRDDAKALQVLDQMREQGISPTTSIYTSLIDSKYKQKKTEEAERLWKEMLESGCQPDVATYNVKATNYGLHGKLEEILEVMAEMEAAGVKPDTITYNFLMTSYCKNGKLDEAKALFYSLGEKGCRGNAATYKHMLASLCAHGDFDGGLGIFKESLKRNMIPDFQTMKGLVVGLTKEGKVDDAKQVIAEVKKKFPESLLSGWKKLETELGFDSNSGDTPLAEGTSKEPLVEANSEIAEALEVEQSVVKEPTESKESSSSDDEAPELEASAAYFLLDGAPVEFTTKSASQAEEKEVEALQSPNSSPLCITS >Et_10B_004130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14929259:14930954:1 gene:Et_10B_004130 transcript:Et_10B_004130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHYPKYVLYGLLILGSWLLSSLLHFQFFHLSLSSSLAAPRRSAALVVLPAALDAKSFLPPPAVEAEDRRRRSSSSSSPPPSPKCEGRYVYMLDVPERFNMLKDCVEGSPLFDDIWSWCAITVNGGLGPRITGNDTGIIPSTGWYSTDQYALEVISHHRMRRYECLTNDPAAATAVYVPYYPALELHRHLCGHNATVRDATSIEFLRWLSSTPSWAALGGRDHFIVAAKTTWMFRREPGGPDEWCGNNFLNQPESRNMTALTYESNVWQRRDFAVPYPSYFHPSSARQVAAWQDRARRAHRPFLMAFAGARRARGQLAIRDKVFDVCENAANKCGMLDCSHGIEGATTCRTAERLVNLFASARFCLQPRGDSFMRRSSIDSVMAGCVPVFFHRASTLRLQYRWHEPEPGDVSDGDRKRYYVLFNPDDVVSGKVDVEEALSRYTDDQVAAMREEVIKMIPRFLYKDPTVPFDGEMDDAFDIALARVMERMRRIKNGENLEWKDDESDQPVVLPTDS >Et_6A_046849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21468636:21471832:1 gene:Et_6A_046849 transcript:Et_6A_046849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRWKPFLEAFDHIDAAIVSVSAAGGVGPEDIISRGQFKRARNRIVETLCGATDDAVAEALCLLLGDAMAESLVTLQAARVENHRDLLVSGELVAAVGALARGHGSERVRGLARGLVRRWRAAVEEDLATAMAAMRALDAASGGVDVVAGGGRVDAVKTAEPSPKKTTPLAVRRNCGVQGAARVPVPQLQPKKATTLCNAGDEKKTKAMKRKLHERYQEAEDAKRRRTVEVLVVAPAGDAAARARRTPPTRRRWRRPRSASSTIATRQRTRNGGAQSSFRRWMPFLVAFDHIDAAIESTASPEGIHREEFKRARNRIVDALCCATDDAVAEGICRLLDDAMAESLATLRAASTVGTELELLASGGLVKSVGALARGHEWARAAW >Et_4B_037694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22627388:22629760:-1 gene:Et_4B_037694 transcript:Et_4B_037694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAHKIPLEVAHTLVEIAEVARYAYEHRPGHPPAHDGASPTASAGLEGGCASEEAARLREENAMLRARLADDLALLHELRGAPCVSQECPPDLYNRLLAAVNDVSFLAHLEKLQDESACQHAELYSGNLKEVEIGDIPDNMGNGKKGSWVLVASNTTGANLEEISGIDDENYVIVNEDDIVDGLATFVARCILEDPKSKSLSPAELQKAVAKALDSMKARWGWSTFWEAGKVIYILATWGITLAGLYKSRHVIKVAAKGAAVSARFIMKAL >Et_8B_060119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6048463:6051295:-1 gene:Et_8B_060119 transcript:Et_8B_060119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLTRASGAARPLMRLVTMSGAPILRQLHLEERLLRRTGDNWCIINDGTAPPTIVMGVSGRVSELVEIPLVLRDQVPVVRRFTGGGTVIVDHGTVFVTFICNKSAVTGLQPFPRDIMTWTGQLYGKVFDGFGDFQLRENDYAFNHLKFGGNAQSITKNRWVHHTSFLWDYDVKNMDYLKLPKRAPEYRLARKHTDFLCRMKEYMPSRAVFTDGVIKALREHFSVEPTDLETVLSDDDEFAPSTKLLSQHDVEEIVSSKESLRVQEAQV >Et_2A_014494.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:16542138:16542503:1 gene:Et_2A_014494 transcript:Et_2A_014494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLLPAFVVRRGDPVLVVPAAPTPREVKPLSDIDDAEGMRFYSSGVHLYRASPSMRGRDPAQVIREALARALVPYYPLAGRLREEAAGRKLVVECGEADADDRAVYTREHEPRRRRAGG >Et_3A_025437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29909360:29915351:-1 gene:Et_3A_025437 transcript:Et_3A_025437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESKCGAAEKVKPAANPGAEKPADIAGNISYHATYSPHFAPLDFGAEQAFYATAESVRDHLIQRWNETYLHFHKTDPKQTYYLSMEYLQGRALTNAVGNLGITGAYAEAVKKFGYELEALVAEEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQRISKEGQEEYAEDWLDKFTPWEIPRHDVVFPVRFFGHVEILPDGTRKWVGGEVMKALAYDVPIPGYKTKNAISLRLWEAKATAEDFNLFQFNDGQYESSAQLHSRAQQICAVLYPGDATEEGKLLRLKQQFFLCSASLQDMIARFKERKPDRASGKWSEFPTKVAVQMNDTHPTLAIPELMRLLMDEEGLGWDEAWDITYRTVSYTNHTVLPEALEKWSQIVMRKLLPRHMEIIEEIDKRFREMVISSHKEMEGKIDSMKVLDSSNPQKPVVRMANLCVVSSHTVNGVAELHSNILKQELFADYVSIWPNKFQNKTNGITPRRWLKFCNPELSEIVTKWLKTDQWTSNLDLLTGLRKFADDEKLHAEWASAKLASKKRLAKYVLDVTGVTIDPNSLFDIQIKRIHEYKRQLLNILGAVYRYKKLKEMSAEERQKVTPRTVMLGGKAFATYTNAKRIVKLVNDVGAVVNNDPDVNKYLKVVFIPNYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFSLNGCVIIGTLDGANVEIREEVGEENFFLFGAKADQIAGLRKDRENGLFKPDPRFEEAKQLIRSGTFGTYDYEPLLDSLEGNSGFGRGDYFLVGYDFPSYIDAQSRVDEAYKDKKKWTKMSILNTAGSGKFSSDRTIAQYAKEIWDIKASPVA >Et_8A_056773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16564598:16566680:-1 gene:Et_8A_056773 transcript:Et_8A_056773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAASAGGGGFRARMEHYLYSGEKKHVLAGIAIFGAIFGVPWYFMTRGAKHDSHQDYMERANKARSERLSSGQSSSLKE >Et_1B_012892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3901301:3912521:-1 gene:Et_1B_012892 transcript:Et_1B_012892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSNIRSLIGGAGDEAGCGGDCAGAGGGHHGRGRDERGPGADVARRGGVGRGRGAGGDAIGDDAVGALASGSVVGVGACFGGRKKKPVPLLRFHIRMDSGRRGFNWILIGLGSFLTGFDLDFLFWIWIPRTTSRVLDRRCPSVPALLTRRRAAESDRSLCLAGGEQASVRGDDRLGALPDGGALARRWLRLRLYTRSLRVDEESVEECHRFMDHLLLRGRVLSESTPTRRATRLKAAASRVAWRRAGSAPLADDRQFHLQAANHCLDRSLYLAGRPADARSLFEGMPDGRGSKKASAGGDDRLGALPDAVLEHVLSFLPSRDAVRTSMLARRWRRLWMTTPSLRVTDWDSVEECHMFMDYLLLLRGRAPIDTCELLFGDSSESDAPYVNLWIRYAVLCRVRVLWLHAEWNRGDRLPLEDLPLITNSLTRLQLHGVALSERTTVFSGCPEVTSCSLKRLSLTDCTFGYDSRTRISTPNLVWLKIDDSLGYTPLLERMPLLVTAFVRLGYESFDCCKNASLGCADTEFEGCVCASNDKSAVLLEGLSSATRMELITDPKVLTNITSCPFKIFQFIFPRDLRWCPTFSKLKTLVLNDWCVDTGLDVLVCILQHSPVLQKLTLQLCKSTKQNAQTKASHNTMGHSLVLKDLTKVEVKCPRVNERVRAVLEILRTFGVPLELIGIQPTDRLSTCNKKVSAGEDDRLSALPDGVLEHVLSLLPSRDAVRTCVLARRWRRLWMTTPSLRVTDWESFLDHLLLFRGLQGHTPIDTCDLRLGEVSERDVPYVNLWIRYALLCRARVLRFYTDWYSGERLPLEDLPLITNTLTKLQLHGVELRERTAIFSGCPVLEDLKLTQCSFMTVEITSNSLKRLNLIGCHFGYLDYRAHISTPNLVWLKIDDLAGHTPLLGSMPLLMTAFIRLGHDSLGYCENNTDSWGCADKVCAGCVCAGNDTDASVLLKGLSSATSIELITDPTVFVFRREMRWCPTFSKLKTLLLNEWCVDTDHGALVCILKHSPILQKLTIQLHEDTKQTATAKEKASYYPMEQWLALKHLTKVKLTCSSVDERVHSILETLRTLGIPLDLIDVQQTDGLSKYSIVERRIDITRKNQNVLLRNQRFYCGAAFMV >Et_5B_043836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16646399:16647412:-1 gene:Et_5B_043836 transcript:Et_5B_043836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADVDIKDIMHRLHLPLIHSAVVPALLAPYVVVRCEAEEAKDGKLSRRYRTTPMCKWLAHNEDSVSIAALALLKQDKVLMERAGESSFVYYLKHTMMDSGIPFIKAYDMCRIIRAPHSAYPRSNHMFNKDMNNQSVIITKRLLDFYESFEGVSTFVDIGGCLEAT >Et_2B_019386.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26670232:26671191:-1 gene:Et_2B_019386 transcript:Et_2B_019386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGTHEKPEEAACAYDAVARTLWPAESTNFPELAGWEEDRRRAVVQAHVDRARSKRAERVAAAAPALPAPEGDASGSQVAPPPAGGDASARGDTALAAAVAVAAVEPPAASSPTPEEVAFGPLLALAAAGASASQTGLAPEGVVLDLDIDSQMRSTPRPSLPDPESTDVVPAAGEDATRKRKRAEAGTDAAAGHAAVSTASPPPASAQVGEASASQSAPSPVGVASTYAPPLAPEPLAFSFPSAPPAPAPAANAPPSQFPPLFAPAPSNPDIPNVMPPPPSHVPPPSTAPASAIRSTESGVHGAGPKLTANRSPCTGR >Et_2B_022336.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18364411:18365223:1 gene:Et_2B_022336 transcript:Et_2B_022336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPRARSPRPLPPRSSNKPAVPRPPPGPPLSAATSPSRDFSFAAFSASSSPFGGGHLHHPLSMSHVVGRVGSDLSHNNYAKTSRHPTTSRGNDGERAKNRASPFISGGLGGAWRKESGGGGKPAEEKRKDKARRGGLDVSQWVRKRVAAMVEQLRASFSWQAERERREQQRRRPHSFSGHGPNAAAAREANEQGRRRRRGHLSSAPASLRVSPANSGHLSVGASVKVSTSSEESTMEELQSAIEAAIAHCKNSISRAQADDDVDGGRRG >Et_2A_018312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22592624:22592919:-1 gene:Et_2A_018312 transcript:Et_2A_018312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPNCDNPTPYSYAPPPYRYASDMGNDYGYNLHQLRPKRSAVAGFVLQASPQVTSGNIHHYQYNDYRPPTPPSSYYIGYPYQYGSKAGAFLPGN >Et_1A_007777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38125584:38126997:-1 gene:Et_1A_007777 transcript:Et_1A_007777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATARECRAYSLGGGGAGAAARNRWEYINAGVYVFSAVLLAGGFAGQLLPWAGSSRPGLAVAALGLVGVLAVNLHDLLAHVAGVDYRLGMVGNDYQLALVELAVPAVQSIGTVLTLVAVIFFEIQKERGYRHGLARHGLNMLIAGPALWCLGSIHNICQVYERASGHVQLLQKSVQIPFLLGSTLFLIGGAINRHHGHGHYYTLLGRTWAWLGLWGSLLFLVGGVLNLLKVFKTQQMGGRGLEKLRGGAQERLSMEREGKVPLILEHGGRRGDPAMPPPPPPGSYKDALVSSAS >Et_1B_013069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5236683:5239914:1 gene:Et_1B_013069 transcript:Et_1B_013069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPYASLSPAGGGGDHHHHRSSTATASLLPFCRATPLSSAGSGGGAGLAAGDAPVSGRWPLLPFTAAQYEELEQQALIYKYLVAGVPVPPDLVVPIRRGLDSLATRYYGHPTLVYGSYLGKKVDPEPGRCRRTDGKKWRCSKEAASDSKYCERHMHRGRKGSRKPVETQLVPHSQPPAAMAAIAAQPLAAVTNGCNFQSHSLYPAIAGSNGGTGGSNISSPFSSSLSSSQLSLDNTATFAALGGGTGKDLRYTGYGIRSLADEHNQLISEAIDSSMENQWRLPPSQNSSFPLPTYPQLGALSDLGQNTVNSLSKMERQPLSFLGNDFGTVGSVKHENQLRPFFDEWPKSRDSWPGLGDENSNLTSFSATQLSMSIPMASSDFSAASSQSPNGKRPLEKYLREFSTCIFARLLIRNTVWSFAGD >Et_2B_020607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21867248:21872063:1 gene:Et_2B_020607 transcript:Et_2B_020607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNLPRRIIKETHRLLSEPAPGISASPSEENMRYFNVMILGPSQSPYEGGVFKLELFLPEEYPMAPPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLADNVAKHWKANETEAVETAKEWTRVYANGD >Et_2B_022655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29337016:29340572:-1 gene:Et_2B_022655 transcript:Et_2B_022655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTKAKKRKRGHAHDDDEPVGVDEEGQYSDTESLKALSDSNYDSDLAASSDFDADCSDADYEPDAEIFDDEEEDYVPPFAYDVENPCIDVGVIFPEEDNHHLVVEEEEEEEEEGEGEDAAAGEETEAGEATAEAGAGVSGLEEEEAGIGEIGVGREVAGCRWAGTGLAPAALVAAVA >Et_5A_040390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19045181:19045798:-1 gene:Et_5A_040390 transcript:Et_5A_040390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGAEPPSRVPVPPDGRGAGGALPHRRARPVPLRPVGPPRARPLRPPRVVLLHAAGPQVPQRLAPQPRRGDGLLEGHRRRQARGAQGQDGWDQEGARVLPREAAARGQDRVDHARNADLCLIEFSVG >Et_4A_031886.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:26425273:26427097:1 gene:Et_4A_031886 transcript:Et_4A_031886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLYTACLILAACSYHLLGLFADRRRNLPPGPRPLPLVGNLLSLGALPHRSLARLASRHGPVMTLRLGTVTTVVASSAGAAREFLHRHDAAFSGRFLFDSTHVFAHYAHSMAWLPPSSARWRALRKVCSAELFAPHRLDAHQSLRREKVEQLVSHVARLSSRRQGVPIRIGHLAFATALNLLFSTIFSTDLADLDDDDAPHNNCEFKAVFAEVNMAVGLPNLSDFFPEIARLDPQGLRRRIEGLLQRLHAMIDHQIERRLRERAAGEPAKKNFLDVLLDYRSAEDGRGFQRQTLLSLISVLLPSQN >Et_1B_010726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13375300:13385698:1 gene:Et_1B_010726 transcript:Et_1B_010726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKRKRPAPATENAAVTAVAAASVLGNDDLLAKILLRLDLPTWLVRAALVCRRWLRRASDPAFLGRFRALHPPRVLALRVNGIGFGSEVRVLPVPQPPALAAAARRAILAVRRSGVSDCRNGRLIPPPSRSSILVPHGPGCRAEGRRLLLLEDGGNSTTCLSLNLVYNEALIGIDFSILQSGVWGAAQSAVTVLPQFLLDTMRAHDLLVGTKFYMMTTLGYILGLDLVTASFFTIQLPDGVRSSSSLKFSRPRHSGLYLIGAKGFQLCIWHCDDLEQWALIHTISVREACGHLNVRRWVPKGSQTAPVLVLAVGDNAEFVFIELVASGVVCCIQISNGAVMRVGSRMTEDFIRPVTMVWPPIFPFLPGRDPSAAMQLQARSPEGGGPSQELAAAAAVAMVLGNDDLLIDILLRLDFPTWLVRAAAVCRRWLRHASDPDFLRHFRALHPPRILGLQVQGGGSPLRFLQVPQPHALAAAARRAFANLDRSERAIDCRNGRIIVETFGGSPVLSVRSLLHPTPDVPVHPKPTFCSLYLNLGDNERYVSNGMFLLQDQDASFLSLEMASNGEDVHAMFSILQSGAWSVPRSAVVDLKMVTEGERLQYRIVGGKKFLMGRKIYMLSAVSSAGQMFGMDLVTSNFFTVKLPDGVGSYKLSRAQHSGLYIIDAMGFAFGMATVWGSECWRIPSVCVRHVAILKWKPDGDLAPVLVVGVGDNAEFAFLKLVASGMVCCLELGKRIVEKMEWTVPDVADTVTIPMRMESSPPSLDPDAAAAAVALVLGDDDLLEEILLGLDSPTWLIRAAAVCRRWLSRASEPAFLRRFRALSPPRVFGLHVQSGSSHPRLLTVPQPQELAGAARRAFEALRPSLGAERSRTMHLWD >Et_2B_021423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29574299:29583281:-1 gene:Et_2B_021423 transcript:Et_2B_021423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGQEANLSEMSGQHVQMNSSGGRHQQQQVALGHQKLQDPQFKKMRTIRHGRIVDYLREKQNAEQQSLEQLAHRLENEMYRLHPTKCNVSMFSLLHASSLCRLITYEQDDYYVMLKEPTEQLVRAVIQVLSTNGHQRKKMLNQTQTSSIYGTMAPTPGMAQSTDTKSRNHFMGNMTTQGSMSNGYGDPSTNCRQNLTTSSISVSPATCPVSMQRQVTHMIPTPGFKNQQTLPADPEYLHAGYLNGELDAVPQVRQKQQKSFTINQSTTPVQQLNYFGSGVHLRMLEKSSSYDLSDAQIDDGIGSHGPNMQLTNRVAASEESRNIPSYGSMYDKALKPESQTTKQSLQLKPCKNAKELDKKENVSFRKSKSSQEQLLQRQHQLKSNLPCSQYVQNQGALKQCQLSLYSEATENNDKRGQMSGKLDPRIHIRIRTNGTEKMRETVKCYYRQLRWLLLLIHAKSCPSPLGSCYSQFCVRAQDIWKHFSNCQRKDCSYKYCRQSKMVMYHYKNCVNEHCPVCSKAKESLCRCSKQTNKRSHAEPILIMEPSTVKRISDGVHGDRMDIDLGAIETSDDQPPVSKRIRKSSSPDVTGVVHAPVPQACSGFVLNKRKFLKQELNMKTDLHPPGVASELDSHVEQRNWLSDKDTNENVRDIKNINGCTDAPMSKTGKTKTKAISLMELFTPEQVYEHILSLRQWVGQSKAKAEKNQAIGHSGNENACQLCKVERLPFEPPPIYCSPCGSRIKRNAPYYIGTISESGRYNFCAPCYNESRIDSIFVDSVQFLKSKLEKKRNNDELEEAWVACDKCKRWQHQICALFNAKRNEEEEEAEFTCHDCYIQEIKHGLRAPLLQNTVLGAKDLPRTLLSNHLEERIFQRLKEERQARAEKIGKKINEVPGAEGLVVRVVSSVDKKLEVKPQLLEIFQEENYPTEFPYKSKAILLFQRIEGVEVCIFAMYVQEFGTECAFPNQRRVYLSYLDSVKYFRPEIETVSGEALRTFVYHEILIGYLQYCKQRGFTSCYIWACPPLKGEDYIMYCHPEIQKTPKSDKLREWYLSMLQKAIKEGIVAELTNLYDHFFVHKRECKAKVTAARLPYFDGDYWPGAAEDMINQIRLSEGDRNLQKKGKMKNTITKRDLKAAGITELSGNASKDAMLMQKLGEFICPMKEDFIMVHLQYSCSHCSILMVSGRRWECSQCKNFHICDKCYNIEQQCEEKDRHPSNSRDLHTLHEVEIVGVPEDTKDRDDIIESEFFDTRQAFLSLCQGNHYQYDTLRNAKHSSMMVLHHLHNPTEPAFVTICNACENDIKTGQVWRCKECDYDECTACYQKHGGVNHVHTLTKHPAGADKDIQNRRQKFVQTARIMQESLAHAVKCRASPRCQYPFCRKLKLLFLHGSKCQERASGGCPLCKKMWAIIHFHVRICKESQCKVPRCRDLKEFTRRLQRQSESRRRAAVNEMMRQRAAESGVNQ >Et_3A_027238.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:5593536:5593967:-1 gene:Et_3A_027238 transcript:Et_3A_027238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTTTKRKRRLATDIAGREASAATSVEDVSDAEVEEFYAILRRMRDATRRLCGAGVRPPARAPAWRPSFSWEDFAPAPPAAAPARPPSDDDERVPRENDAAAPPPRRAGLDLNAEPEPDTPSSASPPAPAPATPRSARAPA >Et_8A_057628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5409459:5410750:1 gene:Et_8A_057628 transcript:Et_8A_057628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVFLNGSDEEVHVNRFGINPDYCEHLAVWAFNRRSLTIHNRRREIADKLRYTHLCLFTYYQAASLRCSEFNAVLLQEADSIVARHPCMRGIDSTMVKDCCLYELFLQCNFHGVTGFDWEICWENDDPSLLGSLFEKLNGDSEAPFLVLKDHTDRAVSQAFPVRHHSGPTIGVGVGVPREDPIYRPTEGRARASAIGPLFPPQHKRRPYRWICITSKNLIVHEDIQIVLQQATSLVVALEESNSPQGLPNGFLKQCSKLGVLILSCCAFSFTSPPFLDCHVLRFLGLHHCTHDNTSNNQEKNNIKWECLRILLVLDIRYTDWDEILSEEKMGLMDDLMELNIEGAGGSLQYTSRLQGKLPRLQRLRIIKPSNQYQEEISTDRSNSFLGKT >Et_4A_034644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5109538:5111309:1 gene:Et_4A_034644 transcript:Et_4A_034644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASELFAARRAGRGPRLSDPDPDPDPRADALRDPHGLDGRRRRRGCRPRRQLDAAGDVRQHLHTGAPPPRRRGSYTDQILSYIDNNNIGDSAARRNRLDRLMFRTNERLPGAVLQAQARVLERLRGISLGSSVSRPSISLDEFSASDVFRLIDFRNREAPYEANGPNSSFHPGSESDEEIATINSTNFPRSHGLSKAAFLRLQIEIFEARKDDNREPSPECSICLDGFYDGDELIKLRCGHRFHSTCLEPWVRKCADCPYCRTKIRSRS >Et_9B_065230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2669421:2670484:1 gene:Et_9B_065230 transcript:Et_9B_065230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGAERAETLAQIANGCEEWGFFQLVNHGIPMELLERVKKVCSECYRLREAGFKASEPVRTLDALVEAERRGEAVAPVDDMDWEDIFYIHDGNQWPSDPPAFKETMREYRAKLRKLAERVMETMDENLGLAKGAIKGFFSGDGCHEPFFGTKVSHYPPCPRPDLVTGLRAHTDAGGVILLFQDDQVGGLEVLKDGVWTDVQPLAGAIVVNTGDQIEVLSNGRYRSAWHRVLPMRDGNRRSIASFYNPSDAATISPAVAAGDEASYPKYVFGDYMDVYAKQKFQAKEPRFEAVKAPKSSPAA >Et_1B_010348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9970368:9970856:1 gene:Et_1B_010348 transcript:Et_1B_010348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRQGLRAATTTLAAAAAILISLLLASCSLSDAAAASPTPSTSGAPAPAPFRPPPPPPERHRKAHGPRAAPPPPDDGSSLPWHRWLNLGQKVGLALVALAIAMQLAFVAYLAVRARQLRRAANAEAEWSPRPAWQVARDSPF >Et_3B_030036.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3063043:3067188:1 gene:Et_3B_030036 transcript:Et_3B_030036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSWEIARAVLSTCWCPLYGGAPPATKRASRRRSAPSRMSAMSRPARPSRSIACATCFGGASPPAESCWWATTAGAAADACACCILPLLVSLRLTLGLRLRLRVHELRGCVCCRSLAIGSPIAFGQAWARRCTPAALVTSASDKLLPGCLGVPNIPLRRRPPAMPRPGGDGKQPSPPPPTLPSVIKLGCTVTPSHVDRLLTTLLRRRKHRLLAVIASQALANSLAPTPRTHLLAASALLDSARPREAAERLALASPAASRRFWDVLLRRACAGRGEPRHALELLSAAVDDHGASLSVSTYRVMVVGLCSRGEVDGALRVFDIMTQRGCQVDDRVCSSIVAGFSRIGKAGMGLEFYKRVRSEFSGFEPGLVTLTAVVHALGLEGRISEAAELVRDMEQKGLVVDKVLYNSIIHGYMSHGFLTEGLREHRLMLDKGIPADVVNYTTVIDGLCREGSVEKVMGFLDEMEQCGAKPNLITYTSLVGGFCKRDRLEEAFSIVRKLEQTGVVVDDYVYSILIDSLCKKGYLDGAFSLLNEMENKGVKVGIVTYNAVINGLCKAGDTEKAVKIFEGVDADNFTYSTLLHGYMNRGDAAGVMAIKDRLESSGISIDAVTCNVLIKALFMIDKVDDAWSLFRKMPEMGLRPNIITYHTMIHTMCKVEKIDKALEMFEEYKNHSSLSSAVVHDCLLRALCNARKVEMADQIFYDLVQKKIRLDSCYYRRLIHAHFKEQGEHGVLDFICKIGELDTDLFSSVCNYASAFLSSRDCCQAAMNVYKLLRMQAIPVTIEACYKLLKSLLRNGSEEVIQPLLCEFIKLHGLREPRMINMLSCHLSKRSVSEAISFSNYMDNSTVPVCVLRGAVYALKKQGEVIDACNFLKEAEKNGFSVDLAMYSIVVDGLCKGGYLEKALDLCETMQKEGHHPNIVIHNSVLNGLCQQGCLTEAFRLFDYLESSKMLPTMITYAILIGALCREGLLDDAHQLFQKMSNKGMRPTTRVYNLMISGYCNVGLTKKALELISHLEECVLAPDCFTIGAIISGLCLKGDTEAALGFFNEYRCKDILPDFVGFVSLIKGLYAKGRMEESREILREMFLCKEVVELIDSVGNKIQAESLVGLLSCACDQGRIDEIVTILNEVGLMFASTSDSSSYNAPEYLKKLQKTEDACDSMTDSGQVLSPVTCSVSRDSFHRCSEGIVQPMIDGDGSLSKPSNDADMDYQNLLGRSFYDDFDSYYGAVASLFSKGEVLKANKAIEAMIQNSGRA >Et_2B_019915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15155383:15160687:1 gene:Et_2B_019915 transcript:Et_2B_019915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAATARRATALLPLLLPSPAGTRLPHRRVLSLIPPPCSHRLLLHPARPFSTTPFSVSASSTASNGAAAEATRELHLYNTKSRKKEQFRPRAPGGEVGMYVCGVTPYDDSHIGHARAYVAFDVLYRYLRYLGYEVHYVRNFTDIDDKIIARANQLGEDPFSLSKRFSDDFLSDMAHLQCLPPSVEPRVSDHIDQIINMIKQILDNKCAYVVGGDVYFSVDNFPEYGELSGRKLDDNRAGERVAVDERKRNPADFALWKAAKEGEPWWDSPWGHGRPGWHIECSAMSAHYLGHSFDIHGGGEDLIFPHHENEIAQSRAACCDSSINYWIHNGFVNVNSQKMSKSLGNFVTIRKVIEMYHPLALRMFLLGTHYRSPINYTIEQLNVASDRLYYTYQTLHDCEESCRQQQINTGDSLPPNTLNYIQKLHDEFETSMSDDLHTSVALAAISEPLKIMNDLLHTRKGKKQEKRLESLSAFEEKVRVVLSVLGLMPSSYHEALQQLREKALIRASITEEQVLQKIEERTSARKAKQYEKSDEIRKELAALGIALMDGPDGTTWRPSVPLREEEAVAKT >Et_5B_044000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18845876:18850531:1 gene:Et_5B_044000 transcript:Et_5B_044000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESEGEAHQADVDQGESDGDKVQSSPERELGDQRMQTDARGTDSEDEGYEQRTVANRRRGVVASESEGSEDNYYGDQAHDDEEAREARKPRSPVEEDEVVRDVFGDSDEDEPGPYQPRHEIDEDSHRSPMEDEGHYDKDMQPEDMVADEDMRYESDENRELKQKEKPVGPPLDLVVPLKQPPARPDKMNVIKVSNIMGIEPKPFDPKTYVEEESFVTDESGTKKRIRLEDNIVRWRTVKNADGTTSCESNARIVKWKDGSMQLLIGNEVLDISVQEAHHDQSHLFLRTGKGILQSQGRLLQKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWFETKDPEKAKQENERVAGQNIRAHSILQRKREKVTRKYTQPPRPRRQLSPGFLEDALDEDEEHDNHYSSRRMPPRRHFEDELEAEARAERRIINAKSNMSRSVSRKPSYPPARAPRRQVDEYSESEREESEYETEGEDIEHSPPRGRGDELDEDDYEEDVEEAPLSDEEMEAPKRRRESGGGSHRREELDSEEDDDSPPRKQQAVQHRRKAVVFDSDDDE >Et_7B_055573.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:1512549:1512977:1 gene:Et_7B_055573 transcript:Et_7B_055573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVVITIPSLVWLRRTVRRWRTRAAASSPLPGKLERAVPAGHVAVRVEGGDGSSSSRRFVVRLAHLNHPAFRELLRQAEEEYGFPSASGPIALPCDEDHFLDVLHRVSSSKASASSFSCCCGPAVRRGDSRPLLQVEKLVC >Et_9B_065297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3339130:3347833:-1 gene:Et_9B_065297 transcript:Et_9B_065297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHELLLSLLGFTGDFVLDASPARRCAASTEAAAVGGDGDGDGEVGPAFRLAPDLTFLQPSERSAIERLISLGFYYRELNRFATESRDLSWIHSSLDLSTPNADKIQKGKVKKGSVYRRAIANGITEILSVYRSAVLQVEQNLLSDPLPILATVTHGLNKFEVLLPPLYELVMEIEHKDIKGGQLLNLLHKRCHCGVPELQSCIQRLLWHGHQVMFNQLTSWMVYGILQDQYSEFFIRRQDDRDGENETSQRDGSDKFMQKLAKDTTLTSWHTGFHVSLDMLPEYIHMRVAESILFAGKAIRVLRNPSPGATLQEPFNQSQNSKGSHRIQSFTGGSGAPKELTNFCNISAEELLPQAEADRIDAMLKGLKHSSEFHKRPFESAIGSIRTIAASHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLMIPFQLAALKTIGEEDKYFPRVSLRMSSYGMKSSTSQKDLQKPNASELPSQGKASSELALDGWDSIALEYSVDWPLQLFFTPDVLSKYRKVFQYLIRLKRTQMELEKSWAAVMNKDHADFSDYCKDRKNGSATQLRRQRSKPLWHVREHMAFLIRNLQFYIQVDVIESQWNVLQSHVQDSHDFTELVSFHQEYLSALISQSFLDIGSVSRILDSIMKLCLQFCWSIEQYETRPNIAEIDHITEEFNKKSNSLYTILRSSRLAGSQRAPFLRQFLMRLNFNSFFETTAKGVLNSGSRLRPSTAGAHL >Et_5B_044334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22881594:22884307:1 gene:Et_5B_044334 transcript:Et_5B_044334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQQPPGGAEDDFLDHFFAFPSAGAGGHAGAGAGAPGDHPFPLALSLDAAAEASGGPKPDRDPVQLAGLFPPMFAGAGAMQQTNLRPAPPHQVFHAQPKPGEGPMAPQPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLSVKGEASNGGSTQQVWEKWSTDGTEKQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQHPQDGHSLKPEPNSSS >Et_2A_014707.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8287354:8287707:-1 gene:Et_2A_014707 transcript:Et_2A_014707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQPQCVRKAGIPRRRCARICFCGAYLGHTIEASPPPGSCPGSSARFPSSCQAPEPRAHRGTTQRPEAASEESHRTHSVAETGEGGQGPPPPPPPPYARGTLDLHTKIHHITSCKF >Et_10B_002902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13286988:13287626:-1 gene:Et_10B_002902 transcript:Et_10B_002902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSSSAEDVAEALLYELKRSRSLLCEELALLDGVGDMDCLLPLQPISNPLKDDGDPPLERDTGRSDRDVRRLGDPLFPLSIGPLEPVLILLEAGLGDLRGGVKVLEAGGVEDLRDGEDGCKASGGLHREDEFDDLVRVNVVLLHERGDGLSLLGLALLLLDLRVVSICAKSEKECSYLLDK >Et_6B_049845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14909754:14920646:-1 gene:Et_6B_049845 transcript:Et_6B_049845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMELVLGKIMAGGGGGLGSTEALDWSQLPLDPLLIVMAALEPLEFVRSGAACSSWRAAYATIRRLRIPTVEAGPCLIYPRAADDPDMATVYSPCSGASFRIRLPDPPLSRRSLHGAAHGWLVAADEASNLHLVNPLTGVQHALPPVTALHGVEASSDEQGNLIFRHSDVSDTKTAGEFRHYIYLKAVLSCSPCAGRACIVLLLHMDHGRLSFARLGDKSWTLIEGNEDEELWAIGYRDAVYNKKDGLFYVVGCNADIITLDLNGPSPVVKKILDDLITGQESKRYLVVTPWGDLLQALRLANSATPGYIDPGNVRGLCTTEVQLYRVDIDNQKLVRIMSLEDHALFLGKSLAGRHIEPLNPRNNAWSGKALDHLHPSVLEVNLVELHIKSFELHKRVLDELFVVHVVGWNRGSSTGVSELTCFLNLQTCKMSPVGVCTRNFLPRVDIFGHSVEDRATGDGPLRSKLEKVQQTVDGAVHGVAVAVRPPEPGGRCRLVAWWDPCPPLVAEPGEGDLAVRPVQQQHSTTQARPADGLPDVVHGVTLLVGEALQVVERGHGRERGLGAGDGVDEVQVRGIVGDDQPAVAGAEDCVACRMVSSMSSGKLAAGAVVARKKKLNFLNSGNRGALFSLTSPWHSVSDDGDTPRLPVETRPEYGPAGCTLGPGRRGRVRQPPGPAFRSLYVVGSGHGWIVAADEASNLHLVNPLSGAHVDLPPVESCSDDQGRPAYTLYDELEPGAKDVYSRRELSCSPSGGAECVVLLVHKHDGELSFARLGTTSGEIGIEAPNMPEQDRAAAAASFAGQIEIGMETFFVPRISIAPPDPPPMRRRPGAMGVRVVLLLTRLRSASRVARDRGSRSDDGSVASPSPSSSSSICSAPSSVSTSRVLGTAPPCSRGALGKGGDQRLVVHHQLDGRTRGRLACCAAHQQLEDPPVPTPPQVVLCLFTIYSSQTLNSDKASQIAGKPWLRIAMFDVYRDVVKKQLGQNFYNREAIMGSRRDSIPSEERVTVRNGRSKCRYNLSAVATVFVVIFLQPQSAEQAECRYDTYTVFLAHSGPTELGGNTRQEGESMHAPRIDMTFQTKEEAYSFYNDYVSIVGLSVIRSENYHSKSKKSFGD >Et_3B_029841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28462939:28464396:1 gene:Et_3B_029841 transcript:Et_3B_029841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGISRLIGLKAAVLLSIAYFFQGLGVTLISFPLIYTALIAMLVSIASHPAVDLPLLLGKASTLSDNAYLCVATWDTRAPQPSQIESAVRWAVRKRSQNKPIYVHCAYGHGRSVCVMCALLVALGLAEDWKAAEQMIREKRPSIRWTAPSATIYFDLVNIHGSFSMVFFMLYYVVYAMFNVLSSTTVACTASSLRNI >Et_2A_014811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16528475:16529314:-1 gene:Et_2A_014811 transcript:Et_2A_014811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDPGYGYGGYGYGYDMSGYGGGGGAYYAATNDRYPAAPAAYEDPLAGGRRQHDFPAPLTGLEFQPSDTCPTNYVIFDQTYDRSRVMFHPSLANNFGSSGAGAGGGGGDDDGGGACSIRQKEDTDEIDALMSTEDGDDEDDVLSTGRTPTCSSGYAAASGGGGRKHETGGGGEKKKERMKKMVRTLKGIIPGGDRMDTPAVLDEAVRYLKSLKVEVKKLGARGSSS >Et_9A_061688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15349057:15349830:1 gene:Et_9A_061688 transcript:Et_9A_061688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATLRKRKAPAPSSPQEQKPKPRPPPRLEFRAPSDGAWYGARVAVQRGALRVMYEEFTEEQDEWYEAAAAGLDVAALRARFRAASPALDDARCRDLRPGAPLCVALEIDRGELKELKYYDAVLQSVSPAAHETVDGEERCACLFTVRWTEGPRAGSAEQVGVGRVCCVQSSPAQDPVLTEFLDGVTKLLGNNGNRTARQAIDSEAADGGFWDAPPGFQRKYGTPK >Et_6A_045814.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:14847714:14848668:1 gene:Et_6A_045814 transcript:Et_6A_045814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEEAATADATNADQERQQAAKRERADFLAGIRKLIKSFKSLSHIFEIYKEDDEDENMDTSIEIGFPTDVQHVAHIGLDGSTNVSSLRGLEGARELLSLSNLSTLEQFELAMASLAAPGKEHDRVLDRVSPN >Et_1A_008431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7610646:7617446:-1 gene:Et_1A_008431 transcript:Et_1A_008431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKRRGARYLYHQPGSANSAHHTFRSVCLCSVCLVAARAMESDAIHAPTTSSSSSDSGSGSCVINGAQELSKNSGKSTSNKHLKRKRTTCPPASPNQAPEATTTDDGCGESSGDSKRGNNAGHHPSYRGVRRRSWGVWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGRAAHLNFPHLAHELPRPASASPADIQAAAAVAAAAAAGAAEQQCESSADTPPSSSSSSAAYSEEAAAAGQCEENALFDLPDLLLDLRDGLWSLPLREEAPAAAEEYDGGDAVGMHEPLLWADDRCWLDAAAPTASRAQSPAMEEEQALLSPPASDACSHGSSGSCPGSPVVASSPSQLDETSCSRKRPRRELKHPAYRGVRMRAWGKWVSEIREPRKKSRIWLGTFDTPEMAARAHDVAALAIKGRAAHLNFPEISHELPRAASAAPEDVRAAAALAAAMASASPAASSDSGGNAGAAEVDDAATPSSAAPAASSDFSHGGAAGNDEDEEAAPSSSSGHATPADGHVVDLALFELPDVLLEFGFALPPLAPACCYDLSWDEPLLLWEH >Et_8B_060576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2685649:2691342:-1 gene:Et_8B_060576 transcript:Et_8B_060576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRGGGDPPATVKKGPWTAEEDAVLRRHVEEHGREKWSSIQSKGPLRRTGKSCRLRYVNILDPELKNRGPFSEDEQKTVFEMQREHGNKWSMIAKKLPGRTDNDVKNFWSTHQKRLQRNLPRERPPPPPRRRRRSANQRGGSAPAGPHAWLPQQETAPDIGQSSSQAPQQAANQLRADVAPFPAPGVLRLRAPAGLTAVHAAVERGSSTGAAPFDVKGKGPVSAGTMPPVTRHVGQSSSSSSCRTPYTASQFPGPLSLPGLVELPVHAAAAERGSSSNAAATFGVNNAENSISCAGAGFVDAASPHHDGTAAYVEPLAVVPAPVPVDPFFGQGGYGGDVDPLAMVPAPAPSPVNPFFDQGVNGADVQPLDMQLPDTSFGQGGDVEPLAMVPADPFFGQGVNGWELCVNGADVQPLAMQLPDTSFGQGADVDPLAMVPAPAPADPFFGQGGVNGWELCVNGADVQPLAMQMPDTFGLDDGKYGLLRREARFDHLIMPEDLAFLEPLPSPAQP >Et_8A_057272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2298693:2304449:-1 gene:Et_8A_057272 transcript:Et_8A_057272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RKISGHGTKAGSRPAPPAPSSLHPSFLHHVPFFPTNSPHPNPTSSPCQNLRASKRSPAQHAGDGGAGKREYDGGSHGLSGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTEEQSEHVQDYNFDHPDAFDTDQLLECMGKLKRGQSVNIPIYDFKNHRRCSESFRKVNASDVIILEGILVFHDQRVHADIRLARRIMRDTIERGRDVTSVLEQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAVDLIVQHIRTKLGQHDLCKIYPNIHVVHTTFQIRGMHTLIRDRNITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSTYTGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDDGQQIIYHKLPMDIAERHVLLLDPVLGTGNSANQAIELLIRKGVPEDRIIFLNLISTPEGVHCVCKRFPRLKIITSEIDVGLNEEYRVIPGLGEYGDRYFGTD >Et_4B_036272.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:14131572:14131832:1 gene:Et_4B_036272 transcript:Et_4B_036272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSERLAAKAPSTFVYSTDKAVQLKALKNTLAGCSKELKAHVNRRGLLGKKKQPIASTDLRKMASAAGLGSAAIRALSSVRSALG >Et_9A_062833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5647798:5648054:1 gene:Et_9A_062833 transcript:Et_9A_062833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FQVKQPRLQWKFYFRCKSPASSIIFYGISNAAVNSSFTDRNLKRKVDLDLRACKISYTFAVIYFICKMAASSILTESTVVSN >Et_3B_029914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29020709:29024079:1 gene:Et_3B_029914 transcript:Et_3B_029914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKDNGLGEPETPSSTPMATPMSTRSVKWDEAAGALERPLLRQRGTNTTSQIAVVGANTCPIESLDYEYTSAFVLYIGCNAILAAAASALCAYIAPAAAGSGIPEVKAYLNGIDAHSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACVASLLGQGGSRKYGLDWNWIRYFKNDLDRRDLITCGAAAGVAAAFRAPVGGVLFALEEVTSWWRSALLWRTFSTTAVVAMVLRALIDYCRSGNCGLFGKGGLIMFDLSSRLPTYTTTDLAAVILLGILGGLLGALFNYLVDRILRVYGLLNEKGARYKIVLTVAISVITSCCSFGLPWLVACVPCPADIADTCPSIGRSGNYKKFQCPDGTYNALASLFLNTNDDAIRNLFSAGTDGEFGAPTLLTFFTAVYSLGLLTYGVAVPSGLFIPVILSGASFGRLVGTLLGSHSALDTGLFALLGAASFLGGTMRMTVSVCVILLELTNDLLLLPLIMLVLLIAMTVADCFNKGVYEQIVRMKGLPYLEAHPEPCMRSLVAGDVVSGPLITFSSVERVGNVVNTLRRTGHNGFPVMEEPPFSPAPELCGLVLRSHLLVLLQGRIFTRDRVKTGAAQVFRTLAPFDFAKAGSGKGLKVDDLDLAEEEMSMYVDLHPITNRSPYTVVENMSLAKAAMLFRSLGLRHMCVVPRTQGRWPVVGILTRHDFMPQYIRDLFPNVLPR >Et_2A_015053.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27842284:27842619:-1 gene:Et_2A_015053 transcript:Et_2A_015053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAHPSCALLKGYRESIAVLSFPCFTMPANSAMSSLLGDVMRGTSLFLEKVAFWSSEVEKAASPGVRSTTVPLGASTGRNTDHGVPVAQCTTASYFRPDGLRSLAPSGVL >Et_9B_065278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3028830:3032179:1 gene:Et_9B_065278 transcript:Et_9B_065278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAISSTRAQHATHEIVTAVICLAQQARISKKKGNDVLEQCGSLSSKCGGLPKFIVTIAEYLLEFDGERRMTEMRLLDDNFIHQLQHKPEFDCLKDVLVWLYSKFDGSSQLLKKCIFYLPIFSRDSNIRGKRLVRRWIAEGYCNGTNSKEEAEDLIGKVADLCLFQPWETVIPVPSAMSKTSCQVNYSFLDYIISRHTEEKTLLPLEISVLDGSCSPPTNRVGQHLAIRSGWGRDEAAYISLDCSRLRSLTASGEWKPFFIPRKMRVLRVLDLEGTFNLKDGGLDKIGELMPHLKFLSLRRCTPISRLPESLGVLLRLETLDIRHTSITELPKNITKLQKLQHIRAGTTQWDSSGPDVPVAVAAPIPPSSAETPTELATPSQQDKRRARQSRGSTLSACLCKSLGSTLSVCLCKSFGGSVAGYRTGGVGVPRGMGGMKALHTLGVVDVNMPGGKALLADLSKMKEEEKNKNKKGAESHPLRNLSLCGIDWSRHLLHCISSQDQLESLSLRAEILQVEHFNLPPNIVKFRLDVEGLLHQGAVDAIKHHRNLQTLRLQAKEVQGGTVCFFATTKAGESDGGSFSEIKILEISCRSSVHVMFSHQGMQKLELLKVHYHSGAPLEISGLDQLGALKQVWLIGSCDDTLKEALKKQLSGHRNKPALYMEEQGFLVNVMEEQGYVKEEQDDYENVEEEQDDSENVEEEQDDYENVEEEQDDYENVEEEQDDSENVEEEQGLIENVVEEQGSS >Et_9A_063195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1507920:1511738:-1 gene:Et_9A_063195 transcript:Et_9A_063195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVRISSKSMLPSIGKVPEMVRQAALRRELEDCQLLAGIWCHGLTVAQLRSIRASLPPTARLLVTKNSDMAAAVAGTRWEALRPCARGMNAWLFVRSDEIPPALRPYRDFQKEWKLQLNDFTGAVYEGRLYGPDDFAQLEAMPTRVQSYQYLLGCLQMPAVNVLAVLRARQEAMAQADKPPAEAEAAAPEEKCVIRSGYPLPHQTLNKLTNSKGVFNRRENLKKGVKEAFLMELLRWAGFLNLMPHFSQSWKTSLRGTPPR >Et_1A_005782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13980779:13983741:-1 gene:Et_1A_005782 transcript:Et_1A_005782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGYTVHQSLTAEAAAVLKLALALARSRGHAQVTPLHVAFTLLSSSSSAAPPPSSSSPLFAFAAGGTSAYGLLRRACAKAHPGSGAGGCSPGGSSLHPALELCFNVALNRLPATANAFCCLEPYGDSRLNHRDAEFASTPSKAEEDDVTAILEVMSSRRQGRRRRTNPAVVGDSASAAEASVAALMRRLESGVADVPDELRGAHVLRLHLSHVHVRLMARGDVDAWLADLRRTVAACTTTTNASKSAALVIYVGDMRWAAGDDDDVHGRATPRYSAAEHMAAALARVLGELRAAGRACWLVAAASYRTYMLCQRRSLDATWALQPVPVPTASSGAGAGGLGLALGTRAASPRENKIARFPLLDLDPEREDGAASLCADCARNFEREASAVTAKAEGTNLALSYFPGWPQADEPQRSHKDNLMELKRKWSRLCQRLHLKYNHPARPSNEKSSDPVVCLSLETPSCHGASMANHRDVKTTLSLLLPNSAETSMEEVHQHRSEHPDLMAKSCDKKSFTPLRSDELLPSGYRKRKDESCRPQSESKRRRGNGGLDLNLCADEEEDGSEDEPVPSDLTNDGEGSSGSVTDSLDSRS >Et_7A_052594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9655571:9658024:-1 gene:Et_7A_052594 transcript:Et_7A_052594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVEDPRLLGSVPGAEDAVEVDGAVGEEGRARDEDGDEEILRFMDSVDGYLLLMDSLSSALRQGWLDLASARHSMGPSRVSSTLFNHKEQAASTKLQVVDDADLKPSESKPLFTLSKWCLQEESASNNGAPAQAGIKPKLRHRGSATIPEDGDHERDAVTAEPSTCTDTSSHVQRARSKALSVFGGLVSPKLRTAQISFETALGLIVEVANSRSNMLASFSQIKE >Et_5A_041784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2873122:2884095:1 gene:Et_5A_041784 transcript:Et_5A_041784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGAGHGGRRVSVSTSRARRSAAGVTESNENDDLTASSSAFAPAPAPHFSLPPRSPLAAIADPGRNPRSAPATPKSLAGTPRACAAASGVLIRIRPINDAENATHGQKRCLMQDSSKSLSWTGHPETMFTFDHVACETISQEKLFRVVGLPMVENCMSGYNGCLFAYGQTGSGKTYTMMGELTKSGNELSKDSGLTPRIFEYLFARINEEEERRREEKLKYICKCSFLEIYNEQITDLLEPSSTNLQIREDIKKGVYVENLVECYVSSVKDVMLLLLQGVANRKMAATNMNSESSRSHSVFTCVIESRWESDSMTHLRFGRLNLVDLAGSERQKSSGAEGERLKEAANINRSLSTLGLVIMTLVDVANGKNRHVPYRDSRLTFLLQDSLGGNSKTTIVANVSPSICSSSETLSTLKFAQRAKLIQNNAKVNEDASGDVMALQRQIEELKDQLTCLKKQQLCPGSPSFQLLNSGFANEFEDLYGVEDQPDCDLNILKQKVSHLEDVLVGSLRREKSAETKIGKLEDEIKHLNRLVDLMESDAQRLRSRLKIHDEKHKMDENAALSQEIQLLQEQINENPQLTHFALENRRLIEELRTLQNFYKQGEREMLLTEISLLRNHFLHILEQKYATAPKNTEAQGDEIIKELDNCRKELDACLENNVLLAREVNKLRCELIQYQKSNTDQVRMLFVQPSTTQVALETKENVAHIRINPIQHDQARHNFSYLSSDDVDRHFMQAGPATNITESFQVDLPYEIDSEDLESPPHLRDPETHVLEDPTTISEYGRALPQCFNLASGSSHDVLDKHNNLNELNFIEKDGISRELEHLKRINQELKEKLVIMTEESNKLSEIIVAKDVQIASLSEEWETAIFDLTSFLTDGCRSLDDAYQNIDNMISSFPHSNSSVSEHVEKAMKVSIEKEKMIFKLQIELQAAQKMGREVKEKLHILRGATLAITEAHQLANEETSQEALQLADLLHQKDGMIEELKNHLKEEKCLFAEAAAGHPHDGLSPDSPDDMPHNETGSAVSKSNSDNQLKIGSVLQFVEDKSNKVLTLFSNFEEAQETMEEAEFMLSALLKANKELKIERDDCRQVVEVLSSDKLSLIDELKELEAASSCTSQRYDELHQQIHDCVAEIANLAALIKGLFQQIQSVSTAELFTLCSEIINFGQDLKRCISDSRSYLVNIVSHVQEKGRSSAEQFHHLNSNAHGFTCQQFESCSCHSGDRKSDNNYASLRKEFDRKINITEGLYYDLKLLQEYISNAKDMKDKANDISTALSNVQRELETKSSAMENMLKKQKALEEELAENGAGLVMLRSELEQSQSLSSALLNENNELRVMLEEETLKNNETKVLLEDKVKVIEGLESQILLLNCSEVGQLMSDIEELNNSLKIMSSSRENLEAEILQLGDKLEMAEALADENEAAAIEARQTAETSKIYAEEKEEEVKILERSVEELEATITVLEEEVCNLKEEVRSYQLHKQSEAEYQAVDDMNSVENASDCDAPEELCHGKCPLEKKLHAAVIAHQNVKKKIEGLKLEAKRKDEEIRQYKEHIAELVLHSEAQSLLFQEKYQEMEHMVSKQKFGSHESSSETVHTKVEKPSGRTRGSGSPFRCISSIMQQMNSEKDQEISVARQRIEELEGLVSTKQKEICLLTSRLAAVDTMTHDIIRELLGVKLDMTNYANLVDQEELHKLLIASQQQIEQSKLKDAELELLKEQLGHLILERDSLLDDMDQRKTDLLESQLLVEELEQREQMLEAQIEMLQMEKDNLQQKIMEMDETIELLVGSNQPNLALRMGDNQHDGSSEFSRRLAQSDMLLSQARPRHEHHRSHATRSSRTHHRGRHQ >Et_10A_000877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18531188:18534715:-1 gene:Et_10A_000877 transcript:Et_10A_000877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAPTAYALMQTGDEKCLQFLDRIVHNSNGGGKVLSCIGAAPYRYCSAVHRYIIVTDWVRKKKVTHWHKVFFFGKHWHKVWDYQGFVPFTYPVEIFERLWVVDRLQRLGISRYFTSEIAECLDYAYSHAKQSNDSAPFTMHISTAKESDKRHWTQKGLPVSRDWPVNDIDDTAMGFRLLRLHGYSVSPDVFTHFKKDSEFVCYPGQSNQSITATYNLYRAAQIAFPGEDILEWANTYSRAFLYERRASGKLKDKWVIAKDLPAEVGYALDFPWRANLPRIETRMYLEQYGGSADVWIGKVLYRMPLICNDLYLEAAKADFGSFQRRCRIEWNGLRKWHDKNDLGAFGVTPERALRAYFLAAANIFEPDRAAERLAWARTVVLAEAVSWHLQCNSGDGSKRERFVHNLENSGRNGLTSYRMCVGCRGLEDPTEKALLCAIRDVINLARYDNASYSLREAWKQWFMSWTVKESHELGEGNTALLVVRTLEICSGRHNLTEKNLNQSEYCRLERLTSSICCKLGSRVLVQNGVNMENVEDSECQVDIEMQELARCVLQSCNSINKVTRQTFLHVAKSCYYVAHCSPEIIDNHISKVIFED >Et_6B_049782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11463933:11465148:1 gene:Et_6B_049782 transcript:Et_6B_049782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLAPLPTFYRIYKNKSTQGFQSVPYVVALFSAMLWIYYALLKSNECLLITINSAGCVIETIYVIVYLVYAPKKAKMFTAKIMLLLNVGVFGLILLLTLLLATGEKRVVLLGWVCVGFSVSVFVAPLSIIRQVVRTRSVEFMPFSLSLSLTVSAVVWFLYGLFIKDKYVALPNVIGFTFGVIQMVLYAFYRNATPVLPAKELSDDKEVSVTAIAKVPEHAVTISKLGTPGGEVKSCEVRPVECRLTGEAKQEDYVAEELGKVADKKSNAV >Et_3A_025778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32828056:32829196:-1 gene:Et_3A_025778 transcript:Et_3A_025778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNAAVEIMNQSVHLLGEEGTEPEEPLRRVGPFLAALRVVPAPDRRRSSSRNVVDANDRGRSCCGGGLLLGAGLLQLVAPELPHGAGPAQPDARARVIIISTAVSGHQPPEHGRGGAGGLCYGGGGRGNDIGHRRSGFRCGLAAGVAAGARRAAHLARRGSLSRRAAHGGAGRSDDAGRTWLGLIAQRATSGVAGGAAPGEGPDVDVDELGVAADAAHAALRLLALLGLEDVLPLERHRREVRRRGDAGLRERLRGLLLLLPCFFFRRGRLQRGGLGTAGEGNSNSGDAAGDGDDLPDSRPPNSGGGGTASTASAIGTATTMTHAIETLQAVEAALDLRLGQGATSRMEAAA >Et_2B_021181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27269851:27275959:1 gene:Et_2B_021181 transcript:Et_2B_021181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEASLAHTPTWVVAAVVLAIVSVSLVAERFLHYLGKTLKHREQKALFSALQRLKEELMLLGFISFLLSLSQGFIVHICIPETATRFMLPCKKDESSEEKAKLCKKKGDVPFLSLEALHQLHIFIFVLGLVHVLFCATTILLGGAKMRRWKQWETEIHREMEKLPKSELERKTTPLNVVTHRNYQGIFVRERTHGFFMKLAVVSWTIAFLKQFYDSVSKADYIALRSAFVLTHYPKRLNFDFHKYMMRALEHDFKRVVGISWYLWLFVIFFLLLNINGWHTYFWLAFLPLFLLLIVGAKLEHIITRLAQEAAAALSNDTERAPNIKPSKEHFWFGKPGVVLHLIHFILFQNSFEIGFFFWVLVSEGFGSCMMERKAFAISRLVIGVIIEVICSYITLPLYAIVSHMGGDIKLQGPGSNVHESVHGWVTEAQRRQTWKKASGDDPGPGDPGAENVDVTRAPNDRFGSSRNMLTAAQELDEIVTVDDEGHGRDSRR >Et_7A_050274.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:18628381:18628656:-1 gene:Et_7A_050274 transcript:Et_7A_050274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLETDCLGLVNKIRGRPADRSLLAPIIHDIQAEDLCLPNFRVAKIDRSQNEVTYELAQLAIRSSRSVVSFWNHQEGVDVLVKEEGKQLLP >Et_2A_018318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22791581:22792066:-1 gene:Et_2A_018318 transcript:Et_2A_018318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAALLASWPWDNLGFFKYVLYGPLVGKAVASRAWESASPDRWILLLLVLFGLRALTYQLWSSYSNMLFATRRRRIVRDGVDYEQIDKEWDWYALWRNLLPLSLTCRPPCFPRS >Et_3A_026411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7792843:7795794:-1 gene:Et_3A_026411 transcript:Et_3A_026411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSTNSALSSSAMAVDTTDPEYWLNWKFLLCGIWVYSCMAMACYWIWKYEGVNSQGGNVDDGEDREEARPRIRPGVVYLEDCWRPCLEYIHPGWLLAFRLVAFFVMASLLVVDLITDGWSIFLYYTQWTFFLVTLYFGTNAGAVMITDLVFWFILYPFLARNEYEMNFILIGTHTINVVFIIGDAALNSLRFPWFRIAYFLLWTGIFVNVQWIIHANVALPVSRLEIPCSTCMVFGGGSPALPLLRLVRAGPEAEAFSVGKLVSSHVRGV >Et_9A_063155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12293710:12296859:1 gene:Et_9A_063155 transcript:Et_9A_063155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METEKNGNILLQRYEVGRLLGQGTFAKVYHARNIVTSQSVAIKVIDKDKIFKVGLMEQIKREISVMKLVRHPNVVQLYEVMATKSKIYFVLEYVKGGELFNKIAKGKLREDAARKYFQQLISAVDFCHSRGVYHRDLKPENLLVDENGNLKISDFGLSALAESRRQDGLLHTTCGTPAYVAPEVISRKGYDGAKVDTWSCGVILFVLMAGYLPFQDSNLVEMYRKIGKAEFKCPPWFPSDVRKLVSRILDPNPRTRMPITKIVECFWFKKGLDSKLLRKNMEMKGKVTALTDVNVAFSSTGCSNSKVVDEKLNEKKVTNLNAFDIISLSEGFDLSGLFEETEKRKEARFTSSQSASAIISKLEDAATCSKLTVKKKEGGVLKMEGASEGRKGVLAIDAEIFEVTPSFHLVEIKKNNGDTLEYENLWKQDMKPALRDIVWAWQGERQGNEDMQKVMQSSSGRWLVQSSW >Et_3B_030274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3198818:3200294:1 gene:Et_3B_030274 transcript:Et_3B_030274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSTSPQWSDLPPELLGRIAACCPLPGDRARFSVVCRSWRFAVRHHCCPQTPPPPTRAPWAVLPDGSFLTLSDDHRRLPSAILRYSRAFVKPSNGGPHRLALPEENTACVVGSTGRWLALHRRRRDRSSSSEGDTFLLHDPFSDRTVELPGVGDVGAKAPIYEFCVVKVLMRSPSSTSRARDDVSAILTMSRLYPFVLSQPGKGAWTPEPYTAPVMHVMDVAYYNEELRKKLKVPTGVDIALDKDNREDFVVTVRYLVESCGKLIMVRREVQVPDDRPKFTRGVEVFEADTEAHAWVPATQGLDDGVGTALCVSVLFSKCVSTCSEYGEEGALDDDAVYFMDTGEVFHMRSATISPARWCMDFWGLTCVFPPDLIT >Et_2A_018041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9379714:9380688:1 gene:Et_2A_018041 transcript:Et_2A_018041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQLLSSAMQSIVYKEVILPALGLNQGSEWDFGVFSGIVGVEKPDPRIYKIALEMAGNIAPEEALHIGDSMRKDYTPARSIGMHALLLDRFKTADAESSRQSGATVLPDLVAAQELLTRNY >Et_1B_014218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3683587:3684423:1 gene:Et_1B_014218 transcript:Et_1B_014218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKRLHFSEGRPKTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEKSVDVSTKRNVSKERRRNEDANKKKIASLMRHARPKEKECARELVVLGKQVLMHSERGNIPVVPNRDLVLIAHQKGD >Et_2B_021948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7229127:7230068:1 gene:Et_2B_021948 transcript:Et_2B_021948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRPGAGTARSPLASRALPAPLDQSSPYITSSPRRADPITVVVIKKRRIAGSRDVCREPSSRAAMASGVISFPAAVAATFVLLVALTVSASATPQVYVVGGEARGWRKPTPSDETYNHWAARNRFRVGDFLQFKYDANDSVLVVSRDDYKVCGASKPAQRFDGGDTRFRLDHSGFFYFVSGAQGHCDAGQRMTARVMAPQEGRHVVAPAAAPRGGGDEGGSYKPAPGAGSGSAGSGTGTGSRPPPSASASVRAPSLFAGYYHAVVGTVVSAAALLASAA >Et_4B_039068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7523415:7527628:1 gene:Et_4B_039068 transcript:Et_4B_039068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALKKQASKLREHVAKQQQAVRKQFSARYNQDPSLVDEAELECHQNLQRLYSSTRAAKHFQRSIIRGVEGFIAVSTKQMDIVKRLAEDCCRYANDNQNFGFVSVEFGKSHNQIEKERENLLKVLGEQVFEPLRELIMSAPLEDARLLTYRYQRIRQDMESQIADVMRKQLKSKEGRGNADSSAKLQHAESKLSELRTTLAALGREATAAMEAVEAQQQQVTYERLLAMVEAERAYHQNAAEILNKLHDEMVQAKHHNESANHYDEQSSGPESEATPVHVRSQSSTSEEPVSSKPSESVGNGQEVHFVGEVIHPFDAQSDGELSISVGDYVVVRQVATNGWSEGECKGKAGWFPSAYVKQRDKAPASKVDVTRSCSSNTAVLVVNESR >Et_3B_029104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22071751:22072962:-1 gene:Et_3B_029104 transcript:Et_3B_029104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGSAEVRLLGESLSPYCIRVKQALAVKGVASYDTRASELLLRSNPVHGKVPVLIHRGVPVCESLVIVQYVDEAWAGPAILPSDPYERARARFWAEYVDNKFMPSWEPFFKAKTAEEKAEMFKNAIPAVETLEEALNECSKGKPFFGGDSIGYVDVALGAYLGWIKAVDEVAGTNLLDGAKFPRLAAWAERFAAADAVREASPAVADMVAFYKKIMA >Et_2A_017721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5445891:5446963:-1 gene:Et_2A_017721 transcript:Et_2A_017721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLMKLFFDNSCQKEVKVVMLGLDAAGKTTILYRLHIGEIYVVDSLDRDRIGVAREEFQAIVKDPLMLNSVILVLANKQDQKGAMSPSEVGQRLGMYDLKNRTSRVVGACALTGEGLHEGMSWLAETLKDVHTWGSSVRF >Et_10A_001013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20140964:20143944:-1 gene:Et_10A_001013 transcript:Et_10A_001013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFQSPPLSLHFAAAASRRATAAVSSVSRRRSTSSSSGAFDPAAFEAERLRLDAAARAEMASASEAGAEDPRAWKWAIRKRVWDALEAEGVARDPRPVHHRIPNFDGAAAAAESLGRLDVFQSAQCVKVNPDSPQKQVRFLTLSGDKKLLTPQPRLRTGFFSVLESSMIPAGCIPEACTSVGAAKYGRPIGLDERVKVDLIVIGSVAVDPNSGARLGKGEGFAELEYGMLRYMGAIDDSTMIVTSVHDKQLVDDIPVEKLLVHDVPVDIICTPTQVIFTNTTIPKPQGIYWEKLSPEKLGQIRILRELKRRIEEETGTILPCGPSEQLPPTAQRRRRRWQRKR >Et_5A_042467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13155786:13157148:1 gene:Et_5A_042467 transcript:Et_5A_042467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSKSIVALFLALALVSSATQPSEAIRVHAASKPEAAAADQEAAEKAAATNPPAGQFPGFPFPFFPLPGAPAPGGGQAPGFPFSLFPLPGFPFPFLPFPLFPLPGGGGGGAPPAAGGQAPGLPFPLFPLPGGGGQFPGFPFPFPFPTLVSDAPICLCHGLNGDINRLMPAPLDFTRMMSLPAACGVPLPMQALAKCSTEPVPPISNPAPAPASAPSPRKFNPVRPGGMHDVREPSRSTKVPSVAAMIIYQAA >Et_1B_011807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26182081:26186136:-1 gene:Et_1B_011807 transcript:Et_1B_011807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAVFAAFLQVLAQTFAELLKTELQSELGLETERKSLIRTVEMIQAALRDAETMQLSETQKLWFGKLKDASYNAMELLDEYLYEVQRRKVIHLSRVRNNRVSSALNPQRQSFRHSMAKNFNEISKEIDSLQKSGAAFLLNARGQTSQHPEGSQHPSSSFPPSQVHCRQNDRERIVNMLLQPNLQQNVEVVPILGEANIGKTTVAQLVIADDRVLRFFELRAWVHVSNEFNIGRITADLIESIEGFPFPSNNLDNLQKHLEKLLRGRKYLLVLDDYWRQSFHDWEQLSSPFLTGATGSKVLVTTRITAVAEDLGTSRSYYLLQGLPEEDCWSLFCRYALGRGRETESYNFGDFRNSRLREEIIQKCKGVPLIAASLGHRLHQEKDRNKWPDILREENWEFGSTDFMRSLRMNYAQLDSHLKPCFAYSSIIPPKFQFEKEWLIQSWMAHGFIQHPPNSENMVEATGSNYFRSLVQQSFFQRAYVDHTREQNHYSMSQMMQELALHVSDGECYVMDGRCRSPENPERIRHLTVLVDKLGNQNMFDAISQCKSLHTLLVVRGSADCELRIPDVVLENNHKRLRVLDVSNFGLNELPESIGDLKHLRCLQLRGTRIRRLPKSVCDLYNLPTLGLRNCYHLEELPPKIKYLQKLRHIDLHLDNHMPTPLHGSSHYICSLMHMPKGIGSLVELQTLSRFVISKRRAGHSSICELSTLNHLQGELLISNLHLVGDEQEAAQTHLDSKQFIQKLELSWSYNNEQADQILENLKPASTISELNISGYPGMACPSWLSSASDMHDLVNLWLVDFKVCSVLPSLGLLPRLKNLYLKRWHGLLSMDSGTHSGSSSVIFQSLEKFHLEGMHSLQRWDWDERYAFPRLVELVLKDCPLLRELPRCIQNLRELEDMEIVGCGQLTRLPQMSGLTSLQRLEVSDCGSIQYLPSTGLPRSLQVLSINNCHQLSRCCKSLGSYVSSVWIDGRCIK >Et_7A_050979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13181892:13183994:1 gene:Et_7A_050979 transcript:Et_7A_050979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTESNNGGSDENNGGFMATCRLQLCSAVDKLRRSVIVLAGMLGKIARDDPRRVAHSLKVGLALTLVSVVYYVTPLFNGHTENTMWAVLTVVVVVEFTVGGTLSKGLNRAAATLLAGFLAVGAHLVADRCGEKGEPILLGVFVFLVASAATFSRFIPAIKAKHDYGVTIFILTFSLVAVSSYRVETLIRYAHQRFTTIVIGVATCLVTSIFVFPVWAGEDLNELTASNLDKLADFLDGIEFKFFGENTMSENLEDKAFLQVYKSILNSKTREDSLYSFARWEPGHGKFSFRHPWGQYQKIGVLCRQCASSMEAIASYVVTATKSPYPDANPELSLKVRRACCEMNLQSAKALRELSSEIRTMTILDVKKDISAAMESANRLRSELSEDTTLLQVMHVSVIASLLSDMVSQIKKITESVENLARLAHFKSPKRSQVGVVIDIVS >Et_4A_032834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14459262:14466851:-1 gene:Et_4A_032834 transcript:Et_4A_032834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAFVRAVEDGLKLTKRLVQPSGGPPPRPQAAMERDPLAAIDKHPLLPTAPMAYAVVVDPGAVDNPDVPSYQPHVYGRLDPPVLIPLQMRELDLCVDAACARAEVTLRARWWVHCVTRSRACDCRVVVPMGYQGSILGAEVTVGKKSYSTHVIDVEDDSAMKISKPESGGLLKQELFSLTIPQVGGGEDIFATIRWSQNLLYDNGQFSVEVPFRFPSFVNPLPKVFTKKEKIRLTVNTSVSKEVLLQGTSHPLKEKGRQDEKLSFLHDAVVENWSIKDFTFTYRVYSGDLSGGVLVQPSTLRDYDDRDMFSIFLLPGNNANRKVFRKAVVFVVDSSGSMQGKPLENVKNAMSTALSDLVQGDYFNIISFNDELYSFSSSLEQVNEKTVESAIEWMNLNFVAKGGTDIMHPLSEAMALLSNSHDALPQIYLVTDGSVDDERNICHSMKNQLMSRGSKAPRISTFGLGSFCNHYFLRMLASIGKGHYDAALDTGSIEGRMLQWFQKASSTIVTNISIDGMKYIQEFEVDSEYIPDISAKYPLCVSGRYHGKLPETLTVKGYLADMSEISVELKVKHIKEIPLDKVLAKQQVDLLTAKAWLSEDKQLERKAVKLSIQNSIPSEYTRMVLLQTSLDKIDPAKEAKKTPTKQGSPEDRSAGPLHGLTIGFGDIVATRENLTTGFGDIKGPEKFEIFEKAAGCCGRLADCICCMCFIKACSKMNDQCAVVMVQACAALACLGCFECCSELCCGGSD >Et_1A_005683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12775170:12776578:-1 gene:Et_1A_005683 transcript:Et_1A_005683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCKASAAAAAKAKAPSPAAAATAPAAEPVAMSWSRRDDKLLEMLLLCQFPRWDLLGNKTPEQVAQRYDRMVDEVTRALHATHVETPREWDVVVAAVAPPEAEGEEAVVLPTPPADVINVVSVSAGEDQAAAAAEAVREKALVPTPSAADGEASGRVAKMTRKGTRERKKPEAWTRQEHNLFLKGLEKYGKGKWKLMASEYLKTKTASQIASHHQKYRNRLEKRKRNACKRASIHDITQPTTVVAGEPPGAQKDDHGRGGVDERPKAPPGDDERGPAVESAEPTSDGGNDGPVGAAEEFPGEDDPGTLFTY >Et_4A_032689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12635042:12644150:1 gene:Et_4A_032689 transcript:Et_4A_032689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAEDAGADLPPPPKKKTAAEEEAEKRRKKLTPGSLMKAVVRSGSGDATPGEGDQVILHCTTRTVDGIVVNSTRSEHGGKGIPLRFVLGKSKMILGFAEGFPTMLKGEIAMFKMKPQIHYAEDDCPVTAPDGFPKGEELQFEIEMLDFFKAKVAAEDLGVVKKIVDEGKGWETPREPYEITARIAARTADGKEIIPSKEEPYFFTIGKSEVPKGLEMGIGTMSRKEKATIFVSSTYLTKSSIMPQLEGLEEVHFDVELVQFIQVRDMLGDGRLIKRRVVDGKGEFPMHCPLHDSLLRVHYKGMLLDQPKSVFYDTHVDNDGEPLEFCSGEGLVPEGFEMCVRLMLPGEKSIVTCPPDFAYDKFPRPANVPEGAYVQWEIELLGFELPKDWTGLTFNEIMDEADNIKNTGNRLFREGKFELAKAKYEKVLREYNHVHPHDDEEGKIFANSRSSLHLNVAACYQKMGEYRKSIETCNKVLDANPVHVKALYRRGMSYMSLGDFDDAKNDFEKMKSIDKSAESDATAALLKLKQKEQETEKKVRKQFKGLFDKKPGEISEVGGESEEGKNANGTKGSGETTSADRDAGAKDSSHGETEHAFEEERPGLLGRLFPSARRIFSSLGINRCTIL >Et_2A_016627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26762832:26763192:-1 gene:Et_2A_016627 transcript:Et_2A_016627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGGAVAIFVLAFLFVSAAASTPQCCNLGEHQWGPPHQKPGCAIPEQNESCNKWCQPTCRGGECKIRGGKHVCHCYC >Et_7B_055941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7809856:7811647:-1 gene:Et_7B_055941 transcript:Et_7B_055941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEAAAAPDHGGEYTAKDYTDPPPAPLIDAEELTKWSLYRALIAEFVATLLFLYITVATVIGYKHQTDATASGPDAACGGVGVLGIAWAFGGMIFILVYCTAGVSGGHINPAVTFGLFLARKVSLVRALLYIVAQCLGAICGVGLVKGFQSAYFVRYGGGANELADGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSIGAAVIYNNDKAWDDHWIFWVGPFIGAAIAAAYHQYVLRASAAKMGSSASFRN >Et_1B_012727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34748311:34750374:-1 gene:Et_1B_012727 transcript:Et_1B_012727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTFSPRPASRAGPLKPLQAGAKPHSHFSFPRLRAGRLARAAAGEAPVEVVEAPPKEVEPTPAASNGSAVKAEAPSKAVEVDAAPVPRFRDGRWVNGTWDLKQFEKGGVVDWDAVIDAEARRRKWLEDYPEATNTDEAVVFDTSIIPWWAWMKRFHLPEAEKLNGRAAMIGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLFIRKNEDLDSLKKIIDESTFYDKQWQATWQEDSPAEPKK >Et_5A_041131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18649704:18662509:1 gene:Et_5A_041131 transcript:Et_5A_041131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYGVPGRRWRRKPWVAPGDGADHFTGLPLELRARIASFLPFRQVGQLALLSRPWRRIHDNTRVVQLELDKAHASLEAALLRRAEGTGSSKVDVLISLSSTRGRLTCRPRRATWRSAPSWGQASPRLLRIVLSDWPCLPSLGSMSLDSVRIRAPFPPGAWCPLLEDLSGIVPPSGIEPIRMDIRLPLLKRLKLNIYGLEQLGDFTVVAPKLELLDVIKGNSDYRSFTLRAPQLHCLRWFDQFTERVDIEVGKQGSVREGMIDFTCHSGYGGRLDLTGRHALMMRMLKGLLSDLSPERLTDKLRPYITLEDYTTERGVRGEKLTCDLDALMSSLEVLTTLAPPHRYSRELSSTRYESRSHPLQHVLELFVAEPIRPPCPDPPRSGFSTPSTTPCRITHSNGLPLFAKPHAISARQPGLLLPGLEERRERIADAPRRVYGSVQVEDNGHVVAVARNDSFWDGHGHGNEGETRVTCRVRRVEGRVEDGDGESPRVERPSELQHWAQIALERQREHNDAGGIECTAAREKMTWPGLSRVKKPWIAPGDGADHITGLPLELRAHIASFLPFRQVGQLSSLSRPWRRIHDHTPVVHLKLDDFLFLTDEMLDEDDDHPGVLDDDALAGLEAALLRRAEDGSGSLTHPTSGSLTHPTTPAWCPTPTASSRRRTRARSASSGDVSRVAWAVAVPPSARDLEVVAFIHLAPTIAGPGAAALRTLLLRHAVIREWPRLPSLRSLTALCVTVQAPFPPAAWYPQLEYLGIFNSAIDLARPAAAQGPRDGRGLCRPAGGAGKPLADVTVDSLVMEKIEVCCSTGCTPDYGSFTARAPRLRHLSWHHQFAERVDVHFGSPRSSVTSGSIVFTWNGGFHCREMKECQVLMMRMLGGLLPELSSDGVANAARPYMTLDKYKVEDSDTGEMFPEEKLTCNLNSTLKNGGFHCHEMKECQALMMRMLGGLLPELSSDGVADAARPYMTLDKYKVEDSDTGEIFPEENLTCNLKALI >Et_4B_039617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2471914:2472896:1 gene:Et_4B_039617 transcript:Et_4B_039617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRVSSLHLLLLVAACLAATARAQWRQGAATFYGGSNAAGTMGGACGYGNLYSTGYGTDTAALSSALFNDGAACGEVSCSRSGGVRFTINGHNYFELVLITNVAGPGSIRTVQIKGSRTGWVTMSRNWGAHWQANNYLNGQGISFRLTATNGQTIEFWNVASSNWQFGQTFTNGANFY >Et_3B_030893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8464193:8465439:1 gene:Et_3B_030893 transcript:Et_3B_030893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPGSPASPSAPGTPSSSGGTAPVKSESAEAQPDDWVTWSEETPHQAVESVDDEPLVSDGEPAAVTKAPEVQTMPKVEDAADAAAVAVAGGEGFDPERIPASVFQPRTSVSQAEWSVASNESLFSLHGASQSVDLGVGSRSHFDYFYDEAMAAAAEQDSKLPPVAEGAEFAVPGSAGSEASDGAKNAAVFRRHESGSGGSSSNFSFAFPILAETSPKKKDCVTAALYQPLQKEYVHQMPAPAPVHPLAAPVSAFVEMTTEEERRRSESDGWCCCGCCWFDCSWTKCCCCCRRWRCWECGCCECSCPSLCRCNWCLCF >Et_1B_011006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16424498:16432035:-1 gene:Et_1B_011006 transcript:Et_1B_011006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVRHDSRSRQYSWLWVSHISPKNSKWLQENLSDMDTKVKAMIKLINEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDQATGALRQAHRTISEAFPNEMPSMSDESPSASSQEMEPHTPDVPNYARAPFDSDDLQKDGVGVSPQHFTSKRNGTHPEETSALSSRKGLKLFNDLSPSNENAPRSGFDGKVRKGLTFESPEVQGKEGISNDLVNLQQEVSRLLAESQSLKQQMSSESERANKAENEVQILKETVLQLNSDKDTSILQYNQSTERISTLESELSKAQSDLRKLSDEMTNDVQKLINAETLNISIQSEVEGLGQKMKMQQQELEQKLKELESFRLNFQKEHEMRIQADTALLSQGKELAQSQEEVQRLSTEIKVANERLSALSQTKEDLEDTVSELKKDVESVTEQNHSSELLIQELRNELNTLKDSKNELQTEIQGLKRTISQLNTERDAALLQHQQSVEQVSLYESQLSKLQSDLEEIEQKVQMLMQDLEQKREEAKSVHTQLQDECLRRTETEATLLTTVSRHLQLQEEVKTLTQDLDRSTKKVRELENKKSNLENTLKELNNTISDLNSMKNAAFVQQQRSLEKVSDLELELSKAKLELEKSEQKVQSLELEVAKKNETVDSLELNLNDEGKKRLQAQTSLESMEKMYSQSQENVNGLHLEIEKLNGKLNELENLSSELKGTILLLNTEKEATLLENRQSSARLLDLESELVAVQAELESVEGKVQMLEKELKHKNEEVDSLQISLQDESKKRAEGEASLLLMKNLLSESQGEVNRLVLEIEKLTCNISELENCKIDLENIVARHTEEIHFFREQNLSTELVIKDLRHELDALKELNVKLESEMGLHIGEKEALHRDFACQREEKENLEGIHHALLDEMDDLKTSEATNQKLMEDLQIMISKLKEVCTKNEVEKALLSEKVQELEKLSEENSLLENSLSDANDEMDALREKLKALEASESSLKDAISCHVSEKAVLASELEILGKSLSDASAKNSILDISLSEMKTEIEELRTKLKYSEESCQRHVTDNTALSAEKCTLFSQLESIMVAMKALEGKHADLEDRHSSVVREKDSAYVQARGLQDQLKIRNENYEMVVKSHQLQMSSCEEQISSLQEENQCIKEALQQEQQKNLSASISIDILENILADEKDKKVVFSAELQKHAEANRSANMLISRLMDKARNHEDERKTLLVHNEKLRGAILQQMKALNICKDPVPADLALDEVMLRTVSDETFNILKLKEESEDINRLMYIELSVLSTFLSQVGMELRDLHFQKHALEKEVQRGAAESISLQSKHHLILEQNEQLSQELQKSSEREDDLKNELVIVQEKLSCMRESYQTSQEEISNLTKKIEAMSKEFQSLSKRYNSLDEENGNFLAKCMMLEHLCLFFKGHNNEVASALVSLTDEMALLSLVKGDLDLKVSELNRRSMVLESENNHLKEYLVFLLEILRTRLVLSEFDLNTNNSVCQEVAIELESCMAQLMQKDDELLEAEEKVQFLQDKNRELCGVVGSLQVAIEGAKVMKRELEKKITTLTEQCTAKDDETLLLHQANETLQSEVEQYEREFAVLMDSAIISSVNAAVYEEKAFELVMEGKALEISAVALKELLMKEIYSRDAHIEELQKTLTYIQGEHTELKAELSTNLALIASLSDSVRMLEDDTLSLSKPCSIESKEGTANVPHMQEHNHGLESSRFPEGAPELHALIARIEALQVVVLNAKERHNQELAESAAMLVATKTEIQDLKANGSSRMEAKEIYSDNEKQKDLERSKGKQVQMVKDIELDQISTCPPYGAGATLYPLGSGATAELDDDMLQLWEAAERNCKNQTAKCSSSEHDIQAVEEVKSEYPSAELVRVRDLGISKLELSKGPVEPHEVWSKNVLERLTSDAQRLLSVQASIEELKQKMEGTSPTKGKSPMNSEYSSVSAQLHETEGFVLEQISFNNKLTKKAETYPALSDSMNAEREGYSSRRKISEQVQKGSENVARLELELQKIQYVLLKLEEEHEYRRLKVSDKRTRVLLRDYLYGRRDRSGGQKKKKRVPFCGCVRPKSRTEA >Et_4A_032085.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:16102137:16102589:-1 gene:Et_4A_032085 transcript:Et_4A_032085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FLVLGLEECSSSQSRILLRREVLCFAALFLSSAFFLLRLASAEAAASAVPTGATASGEHEHTRPAPNSPSPSFFASLLACSNLALWLPPALAAAAATTFLESAGARRGYTSGAPTTPRRMMPHAAGGAVPVLRRDADERRGGQGDGLGCA >Et_7A_050844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11549672:11550345:-1 gene:Et_7A_050844 transcript:Et_7A_050844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PGASASYDAPATWSGRIWARHHCTVDASGRLSCGSCDCGTGKPECNGAGGQPPCTLAEFTLGGDGGLDFYDISNVDGFNVPVQIRSRGAGCPTVTCGADINAACPPELALTAADGRRMGCKSACLAFNADEFCCRGSYGNPNTCRPSRYSQFFKGMCPQAYSYAFDDKSSTYTCSGASYDIVFCP >Et_10B_004475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8677155:8688312:-1 gene:Et_10B_004475 transcript:Et_10B_004475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSTGINRAGLILQALRIGLELFIPLTSMAHMILKMRKGLHPQMQWISYVTQSGRLMNIMMTKISHIGKVYHMRAKRQMAQSLGQIAKFKRRYELEAEENSGKVSLSQKKQKLTRQEKSSKVSRDLQMSLSTLTPEPRHSMDDAGGPHHHRAPSLPPPQPDAGKRRRVPNVRLAGSIPPPSNLPHPRRVPIVPATRSRKPRHHQHHNDGSTSPASEPHQALPKPWSPAAAPADNGDDLVLAAAFSRKPRVPLPEAEAESHGGEEEAGEVVDVAEWLWGMGMGRYVAAFEAHEVDAEVLPCLTLDDLRDMGIGAVGARRKLYCAIQRLPPPRRGRYYCYSLCRHGAPNGVADLLQCHRWWKALPSTGYLWKFERHIKKEIVTQCTKGQEPQPSTAEERTVMITIYEDCDHAMALLLTKGYDNCNLRSMLRLAYV >Et_3A_026579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9931477:9934459:1 gene:Et_3A_026579 transcript:Et_3A_026579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELSVFKVLILASEHSGFKSKNPTNLTLHQVCHLIALTFSAIDYDTGRHLVHEHNDIRRMWVHEVVEVVQVLGLASRRAIEHSGPVDQSYWVDLVDELHEQWAESEQIWLPACGSLRANNQVPLLQRLLHPPPVLFSVSGQPNCSDW >Et_3B_031089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1572667:1573559:1 gene:Et_3B_031089 transcript:Et_3B_031089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSCALLVVAGALLAVAAAAAVPPSCERIECPAYEVVDSANGFEIRRYTDAMWVSTSPIEDISFVAATRTGFLQLFNYIQGKNAYNETIEMTAPVLTEVSPSDGPFCASSFVVSFYVPAKNQADPPPADGLRVRRWAGARYAAVRRFGGFVADADVGEQAARLDASLQGTRWAAAVNEARRADPASAYTVAQYNSPFEFSGRVNEIWMLFDDTASGMHVA >Et_4A_034173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30452857:30456440:-1 gene:Et_4A_034173 transcript:Et_4A_034173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPHVKSAPGGKRNGSSVRIAGARNSSRSEDDKGKGPKLGGWKKGGKREEHKGRQGSTGPRGRRSDGVPRVGVKKSEKKINDDSWDDNGGGKSSAKPGFTRKSASTAASSEYSARKGGRLRSQNLDEDESYSGRSNSKVSGISRGVKGRLVHGKNVDAPKGKKFDEYAEFRHKKGGTKDVGLDEQAVDDKNSDDSVSTTEEKKPRPRLTRVLDRTGKKVKPAKKDVVPDSEEPTLKKKRKRMKLHPYDTSNKRIDEFPPKQDVTNAEKVLEKRAPEETEMSINAQFRAIQPSPPILSYVEDNLLGRRRLNEIKNAGYNVKISAPLDNVPFSTSTERERIEESVFRNKLEFIAAAKISSSFPPPTIPEIAFAVRTSDKPGLTQSINFFRLASKLCLVDLPGYGFAYAKEEVKESWQELVKEYVSTRVGLERVCLLVHTKRGMKQLDYELVDLMEKHKTPYQIVLTKTDLVFPIDVARRAMEIQESLKKNKSVVKPLMLVSSKTGAGIRNLRGVLGKLARFIKP >Et_3B_031392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28065915:28067808:1 gene:Et_3B_031392 transcript:Et_3B_031392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSQKAAMGAFFLKVMGNKFRSLKRRGKKTKVRRGDAPDLLEESFPYYGWSWPQVVGLTADEAKRVIERHSPGLFYFRGKFTVYYPDQSVSVHKKHHDVALLYHLFHV >Et_1B_010552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11547161:11549407:-1 gene:Et_1B_010552 transcript:Et_1B_010552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAGRRLSSAIAWRPAAAAATRGPLAGGLPRDDDDDSRDRRPRFAIDPPFFTAARGFSAETLVPRNQDVGLAELPATVAAVKNPSAKIVYDEYNHERYPPGDPSKRAFAYFVLSGGRFIYASLLRLLILKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTADDIKLANSVDVASLRHPEQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG >Et_4B_037770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23208001:23213961:1 gene:Et_4B_037770 transcript:Et_4B_037770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGSLIGLVNRIQRACTVLGDHGGGGGGSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEGGQEYAEFLHAPRKRFTDFAAVRKEIADETDRITGKTKAISNVPIQLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRAYVDKPNCIILAISPANQDIATSDAIKLARDVDPSGDRTFGVLTKLDLMDKGTNAVDVLEGRQYRLQHPWVGIVNRSQADINKNVDMLAARRKEKEYFESSPEYGHLAHKMGAEYLAKLLSQHLEAVIRAKIPSIISMINKTIDEIEAELDRLGRPIGGDAGAQLYTILDMCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDKHLSMQNVRKVISEADGYQPHLIAPEQGYRRLIDSSLSYFKGPAEATVDAVHLVLKELVRRSIAATEELKRFPTLQSDIAAAANDSLERFREDGRKTVLRLVEMEASYLTVEFFRKLPTEPEKAADKNTPVSDRYQDNHLRRIGSNVSSYINMVCETLRNTIPKAVVHCQVKEAKRNLLNRFYAHVGSKEKKQLSAMLDEDPALMGKRDALVKRLELYKSARNEIDSVAWK >Et_2A_016370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2474702:2478521:1 gene:Et_2A_016370 transcript:Et_2A_016370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPAPPALRLRLRLSLLPRNPLPCTHRCPSRFLSARSRSLAARPLSLHRGARPVRAAQGEAGAAAWPDASEEELRRLLELLPGDLRRRVENHPELPALVEVVMDLGRPPLARFPSGDFLLSHRPISFDELRHATSQVGDFGADNRAGMSRTLHRISAIRNRKGVIVGLTCRVGRAVPGSANLLQDLVKDGGSLLLIGPPGVGKTTVIREIARMLADDYKKRVMIVDTSNEIGGDGDIPHPGIGNARRLQVPNQDMQHKVLIEAVENHMPQAIVIDEIGTKLEALAASTIAQRGIQLVATAHGVTIENLIMNPSLEMLVGGIQSVTLGDEEASRRGVQKTVLERKGPSTFTCAAEIVSKTELRVHRSLEATVDALLAGKLPNVEIRKVGSKGVVQETSVRKEQLHHGVPEDAAHFDGDSLWNARRSLDTAFNLDSAKGHTESSNEAEASLNLYAYGISESIALQAIKQLELEDIVALTYNISEADAVIALQSKLKKNSQIQAVVKSQDIPVFFVKTNSLVQISRALRALVDDHMDELIDFEDNEEVRSSEETDALEEARLAIEQVVIPKGESVQLLPRSSSIISSQVDLIESFSLKWEVLSQEPNSSVRILPHFTAKEVADVKQETATGLTDSDTSDDMDYTENSITRLPFLPE >Et_4A_032238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25711020:25711622:-1 gene:Et_4A_032238 transcript:Et_4A_032238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLYSSSLLLLLLSSHGLCSAQELKRTACCNNACVQIDAGVAMAEELIGSRPPRCEGRCAPCGRCEAVQVPVAPRVDRGKAKAVVGRAARLFRAAGDGVDESSTNYKPLNWRCRCADPRALDP >Et_5A_042009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5181881:5184565:1 gene:Et_5A_042009 transcript:Et_5A_042009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFKFSIEASGVHKFGICLSCICCSQNSFLSVCDAIYLLIGFIPYGAECHFSQAMMSSSSNGHYHANGAKALHKREKNQEKVQLDNNAASRACQKDRQYIEKLETELKNCYQEIDYLQDQLNIRTVEANIMGEHIHSLELKLTELEKFPERVRVMDNELARSDSQCWLLMEEVRCKEEDLQKAASQIDKLESAALDSQCEIESLKLDLATLEQRLFDSESLAQHAAEHKARIDKQLGEHELQLHETQKTIDQLVLENKQLKELLPVRAHKHYPSTAGEHLDKTLENGCHAEYERDNVVLERMANQNEESELLIEQLKEELREQKLKAKEDAEDLTQEMAELRYQITGMLEEEYKRRSCIEQAAIQHIQELEAQVTKEKRKLNGALRRLQESQELAHTQSIEIKKLKDSLERIKSAVSLGTVCKSCSCGFCAMLIELSTCSIDGSSVARSPHGNHIDEKPENQALIEWRPDETSDGDRG >Et_8B_060203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7356655:7360707:1 gene:Et_8B_060203 transcript:Et_8B_060203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLNATNAALVAAKWVLGKDSRAAAVAEQLFVAALFAAALLVYASTFLLLWRLPNGGRKTEAREEKVIFVKALTLLSDGKLEVAVPSFVHYLEVLEGSDGDKLPGWPAFHQSNVCLGPLLYDFDKDGVREIVFATYSGVVDFFRKVCKNWYVGLNPDPVDRSDPDVHDTSIGEGAASKESSPGVCREPSP >Et_1A_006042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1757404:1762718:-1 gene:Et_1A_006042 transcript:Et_1A_006042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDICEIRAPGAVLLQKSELPAEKKNYTNGHSDAAVRRKVAPMPAAAPTTPRRHQSPNAGRASSPARAGSQAKRSQSTERRPATPTRPSSGGSRPSTPSRISAPTSPSSAPSSPSSSSSSSSTPLRDAVAETQSAPRRLSGGRAPPDGLWPSMRNLSSSFQHESRGKRISSSSTTDQVKTREAGPADRKRSPLRGRTAPEQSENPHAKVIDHHRWPAMMGGRVSASAMSKSMDLTDKINRSALPSVSSRGVSPKRTTMSSAANALSRSIDLADKIDRLVSTPVSSRGESPRRSPSSNGSNDISKTSAGKDVKSASLAISSTRVSLIRTAASDDTRALSESTDLTEKDNSAVSSSVSSPSISPSTSVSSVSNATSQTTAKSSERLNGPISTLSSSRGLSPRRMSTSGSIGTMSKNFDLPKKDRRPASSSGSSRGISPRRRLASDGVNSTVKNMDFAEKDSRAVSLSVSSRGVSPRRRLASDGVDTISRITDFSEKDNRPSTSSSASRGISPRRRLASDSISAVSKGVDFADKVNRPSASSAASRGISQRTQLAPDGDGTISKSADVADKHDRPSTSSAALRGMSPRPRLASDASNAISDCINNTEKDARNLSSSVARRGGVSPLRRLSSDGVETVSNNTNLAEKGTRPTTSSAAMRGLSPRTRLASDGVNVVSKSMDLADDGTKPATTLTAARGVSPRRRLASDSVDSLSKSTDFTEKVIRPSSSMASRGMSPRRRLASDVANAVLKNTNFADKDYRPSTSSGASRGTSPRSRVASNTISKNIILSEKDSGPSTSSIALGGTVRSGRLESDIDNASKDVDVTDKDSAQPVLSAASDWTSDSRLDGTGDLVKGMDITDKFVVAVQDGGDGCDPGRMDSSDVGSGAASLYIASQEGSPSTPVSDGIKNMSENVDATQKGDRAISVKVPSRGISPRRRLASNGIDTLTKSMDFSEKDKKPMTKSVPSHGMSPRRTARSEGANVMSRSMDFSEKYNGPISSLVPPGVFSTRKIPGLDGANALSRSMDLTDKIRQHISSTVQPYRASPRKTPLAYNRAGGSELLPGDVGSPGSADGNESQEENAGLSPDAPSNNSEKFTPPKRLARTSSSPSRVLIRPSSPSNASTASSFASRRLPSPSRTRPSTPVSPCSSARSDSASSILSFIGDATRGKKSPSHMEDAHQLRLLYNRNLQWRFTNAYVDEMLSIQKMSAETMLYGVWDANSRMSDSMVMERSYVQKLRQEVKLGIVLKEQMDYLDHWAALQTEHSSSLHSATEALKASTLRLPVTGGAKLQCTHSLVTELSAVAAKETTLLNEYRELLATAAALQ >Et_4A_035556.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29113379:29113735:-1 gene:Et_4A_035556 transcript:Et_4A_035556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFFLAPDLLRGHPLPGVPDLEPCRLPPPDMHVIPPMNDINGLMNLMLLDQTGRALLCDPESRTIPSLTKSRPASVRLGIGMILYVLDTAVHDDYDRKLCFDGLGFRQDMVPGGHDD >Et_2A_018360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24343615:24354819:-1 gene:Et_2A_018360 transcript:Et_2A_018360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNQASAPPPPPMEAFPFEGKKPVKNPFVPIGTRLSRLLFERSRGPCAVCGGISCRDPDPGPEGSLWVARACGQGSCAVALGLRDGALVTAGVLTAGLISFRYGNSQLGQKLMRARVVAQGATVALMIGSAYYYGDQIKLFKKGRENKHGTTVGELCFKQLDKDDDITLPSFRRRLATYNSTNSKRMWWWKLLIINQQPQGVIKFNHHALHINSSDDPSIHSTAVLWQAV >Et_10A_001980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16605630:16609213:-1 gene:Et_10A_001980 transcript:Et_10A_001980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLAGDGGEAASVARRRRRRGRGDVSVGGEAASASTRWRRWGGGRGGGGRRRWGGGRGGGGSGDGEAGAEAEGGGDGEAAAMGCAGDGGGRATAKWISKTISIWKTNASRERLILIALHLLQVQRQDFSRHVSMASMLSQLLTLIAILCMHIADIGIGLLSIPYALSQGGWLSLAFFLAIAIIFYYTGLLLQRCMDASPLVNSYPDIGALAFGRPGRLTVTAFMYLELFLVAVDFLILEGDNLQKLFPVASGFQVGVLRVGGKQAFSLAAALVVLPTTWFSSLSALAYVAAGGAVASVVLIAAILWVAVFDGVGFHERGKLVHWAGMPTAMSLYSFCFAGHAVFAMIYTGMKNRKKFPMVILLDFSIRPYFDNNLSVIRNRSPCMQVLFICFALSTLSYAFMGIIGYLMYGDALESQVTLNLPSGKVSSKIAIYTTLVNPLTKYALVLAPVAEALEDALALRADAAANGRPRFLCVLVRTALVAATVTVAIAVPFFGDVVSLTGALLNCSVSMLLPCLCYLRLRAKEIIRPSEKMYRLETAVCTTIVAVGAAIAGLGTYSSVRQIVQKL >Et_3A_026564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9733127:9737025:-1 gene:Et_3A_026564 transcript:Et_3A_026564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSHNLVLGCGACTRCNNNRSTKMKLSAVAVVLFALQATVAVVQATAPGTPTVGHYNDKCNRSVEAIVRETVRTALDADITKGAALIRLLFHDCFVRGCDGSVLLDPTYSNPYPEKTSGANIGLRGFDVIDAIKANLEAACPNTVSCADIVAFAARDASVYLSNGRVDFMVPAGRLDGVNSLAADAEANLPGSTFEVAKLISNFAAKGFTPEEVVILSGAHSVGRAHCSSFRDRLAAPSGEINAFFRDSVLAKYCGAGTADPYYHNNLNNLVLFHSDRVLVGSNATLKHVHEYADNGTLWNLDFADALVKLSKLAMPPGSTGEIRKTCRATN >Et_4A_033277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2146553:2148215:1 gene:Et_4A_033277 transcript:Et_4A_033277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAGSALPDATHMLTVHVSTTTSWYDYAIKKHGTSHAAIPINLSASTLQRLHLPTPTKQLASSHLSRQKDLTCEVLHHFISGVRPRITNMLVRSASTPILGALHPSGCHSPAVSSPAVHFPESPASAYHPPAISCHLSGGGSDHERLRGHGAGGGMRRTSSEGNLASLAGRADDHHHLLPPSGKSAARARPSPPLETIQSFTARNDASTDYEDEEEDAYAADREMSFGQFAFGGGGSTYSQEHPLFLARGLGIDRLGSGLLGADGGAGVGGFGGGGGDGGGSYLVTSGNGGDRSGIEMHYKKMIEEDPCNGLFLRNYAQFLYQVKGDYRRAEEYYSRAILADPEDGELLSEYAKLVWDVHRDEERASSYFEQAARASPQNSHVLAAHAAFLWDTDDCDGPEDCSVDALGYAGFAPARSSMASATT >Et_2B_019904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1551667:1553998:-1 gene:Et_2B_019904 transcript:Et_2B_019904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRATSLLLRHHHSALASRSPALSTAAAAGVRRTPAPAPHFFSTLDAAGVRTRVEDVMPIATGLEREEIEAELQGKKRFDMDAPAGPFGTKEAPAVIQSYYNKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCTQYFMLDVIGEGGSPDGHDDDDDDHHHH >Et_4A_032653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12270881:12276685:1 gene:Et_4A_032653 transcript:Et_4A_032653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVKTGPDGPGGDYIKWMCGAGGRAGGAMANLQRGVGSLVRDIGDPCINPSPVKEAKFSNQKNGRHVLTVMEREKYHNLVRQCQSMHASIGTGELAYAVGSKLMDVRTLPKESGSGEELSTSQRASQHAHCSLAEKSNCSYVSDGTPESQNRRSCRKSAEAVGFNVHNDSSIYDSSNFMAPSTAVNNCVRDSGDFDDIGEPRYDSDAFIDFPSLPGTNLFSNGDGDSNGVEESHCSFSVVEDRLKPRDERMHSFQINKNIDLIIESNSFSNDLFRASNSDSAIFHSDAYKQDRWLDDTGYSREIIDSLRISDAPETDLVDGTQSNNLIADKDRVSEWLWTLHRIGTLLFFSLCTILVAFFDCVLIRRKNLDAVVDVVRTDSHLDFYGESRNMARMSDILAVYAWVDPSTGYCQGMSDLLSPFVVIYEDDADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWKIMELSDVELFDHLSAIGAESLHFAFRMLLVLFRRELSFEESLVMWEMMWAADFDEDAIRRLEESCLEPLLVDLTNDLQCEVKEVHRVNSRTRRKSKVRKSLRMNGEIFGACHPIGKPGTKNHLCGLSGATIWARNQQMPHLSANVLAKSEDEELATFCMFNDNVLKINVKRCVRLAIKLRKKYMNKVSSETILFNINTIRSMVDFLECFTYALQSLKGGSSDEKGN >Et_3B_027806.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20148322:20149113:1 gene:Et_3B_027806 transcript:Et_3B_027806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LAAWYDAGAHGHGVQRAQHPPVRGAALAPHRVAAALAVAVRAPRLLLEQQLRREGAGGLGAVALERDAHEAGGHLPHQRRHGRGQLEQQRGARARVHLEREVHVAAAVAVERACEPRAEPDLPVPAGDGRGQRRAQPRDDSRAALRFAPLLLLRRRLGRRGHEVLRAERRAAGGERHGRLVLVVVRALAGEAFLPAVRGAMAAALAKNRPLEVPPGEGEGRLVRAPRHDGPHGFFLAERVMLVAGGGLWVWVDEKWRMGLCIL >Et_2B_020477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20542562:20546316:-1 gene:Et_2B_020477 transcript:Et_2B_020477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQHLRLAPLALLRFAPLQALASSRLARRQQHHHLLFSSPARPWHHLSLASRPRALATAAVEADDAGAGSGDGFFAEESASWKSLGISDRLASALRSAGLSRPSLVQAACIPHVLTTNDVIVAAETGSGKTHGYLIPLIEKLCSKSSNTADGSWHDTDPRAHEIVLVLCPNVMLCEQVVRMANSLLDESGEPLKSAAAVCGPKGWPTVHPDILVATPAALLNYLFDYDPEKRRRERFLRNVKFIVFDEADMLLCGSFENQVIRLIHMLRFDEKLLSRAKDSGNEVSHTSDDEYHEDLDSESTGFSGADDENEDSHVQDGPFKMENGHNRAYKDWRRVRKIYSRSKQYVFVAATLPQSGKKTAGGVLKRMFPDAVWVSGTYLHRHNPRLERRWIEVTADTQVAALLDAVKYGLKSDVQDSNVGPKRTMVFTNTVDAANSVSDILHRVNIPCILYHRETPMEERAKNLQYFRERGGVLVCTDAASRGLDIPNISHVIQAEFAASAVDFLHRVGRTARAGQSGIVTSLYTEANRDLVRAVRQAEELAQPVERAFSRKRSFRNKLKKQALQKREALLT >Et_8B_058998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1267275:1268929:-1 gene:Et_8B_058998 transcript:Et_8B_058998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASTSCASPLLLPSGPRQHASSMARLRGGNNAFRSRALSSEAACCCRAAGESAAQCGTADEFALCTGSSTRRSLLGVALGASAFGLAALDAVAGGLPPEEKPKLCDAACESELENVPMVTTESGLQYKDIKVGEGPSPPVGFQVAANYVAMVPNGQIFDSSLEKGQPYIFRVGSGQVIKGLDEGILSMKVGGLRRLYIPGPLSFPKGLTSAPGRPRVPPNSPVVFDVNLLYIPGLDDE >Et_2B_020818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2406411:2410483:1 gene:Et_2B_020818 transcript:Et_2B_020818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALGTLAALAFAAMWRPHASSAADSAHVPPVSTRRIGYLMGQRLRCCSSSKQNKRSSSGPDLAAGAAGASIPGAEMATTVEAGAGDELRSEFRQVLLSRRRDLQVPLKVEQASPVKEPMYQKPLRPGESEAMESCPRKEVENSKEKFVEENFYLITELGEQGRVPVLLLKLEDTVPKRRPVIVFLHSSYKCKEWLRPLLEAYGSRGYISVAIDSRYHGERASSNTTYIDALKSAWRNGDTMPFIFDTVWDLIKLGDHLSEREDVDPCRIGITGESLGGMHAWFAAFVDTRYSVIVPIIGVQGFRWAVDNNKWQARADSIKPLFEEARIDLGKSEIDTEVVEKVWEKIAPGLDSQFDAPYSIPLIAPRPLLLINGSEDPRCPVAGLEEPNTRAAKAYEESGCAEKFMFVAEPGIGHQMTANMVKEASDWFDRFL >Et_9A_063007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:849661:850511:-1 gene:Et_9A_063007 transcript:Et_9A_063007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVPTTVDLLKDCHVSSKTGCTETVNCFYISHVAFRFLFAAVRLLMLISFLPVMAAMEAIMTESAGDGNASKTPAEVVAQVLPKTTFLRNVGIKTTRVKTGTSAGARVEELESELEGSKKMVEDLKEQMERMAKQMEEAEAARVKETKEAQARMCKQAEELETLKKASEDTQSLLQELAKRYKVRS >Et_7B_054969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6037128:6039916:1 gene:Et_7B_054969 transcript:Et_7B_054969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSMGALALLACICMSIASAEAEAPYRFFDWEVTYGDISPLGVPQQGILINGQFPGPEIDCQTNDNLIINVRNSLPEPFLLSWNGLQQRKNSWQDGVSGTNCPIPPGQNFTYRMQAKDQIGSFFYFPSLAFHKAAGGFGAIRIRSRPNIPVPFPPPADEYTMLIGDWYNPSHTALQDVLDSGNELPPPDALLINGNGPGVANFTVEQGLTYRLRISNVGLQNTLNVMIQDHNMTLVEVEGTHTVQNSYTSLDVHVGQSLSVLVTADRPALDYLVAVSTRFANASLSSTAFLRYAGSTGPAFDGSSPLPEPSDDDDVGFSLGQARSIRTNLTASGPRPNPQGSYHYGAINVTRTIRLASSAGEIGGKLRYAVNGVSYVEADTPLKLADYYNISGVFRLGGVPDAPPVDDGVAEVRNETAVMDSDHRGFIEVVLENGEDSVQSWHLDGYNVFVVGMDVGTWSEQSRDGYNLVDAVSRCTIQVYPNGWTAVLISLDNVGMWNMRSEVWARRYLGQQFYLRVYTPTPSFRDENPVPDNVLLCGRADGTNGGTSSQRRPRF >Et_6A_047070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24877487:24890098:-1 gene:Et_6A_047070 transcript:Et_6A_047070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAILLLPLVLLRNESSWCRKDCKPNKCAAECPLCGPPQALSTQKINASFFHSSCEQALTEEHPREVSSSELHGTLEALSLSDPPPLTPETGEETDDDDASEESLRKLKEYIDSQPPMSFEEAFDSLLAVQHATLTALAAENGTELPRDPFLIDQEAMSIQAQQQDSAAAAHPQNSVAISHEYSHEASAGVIKDGKKWMRDEVTLCFRRHVEKTPALAGLVFELDELCHQCFNVESYQKVFHHYNFKIRMKRPTSVDWTVELYFGEVKEIFGRKYYFCCPLEPNESGHCYACKNQGVEDLKHPATGGFDMGSPDASTEEELRLIREYRAAANTCTSFKQAFDELLAEQHAAHAELAAKRGTEPPPPLQAKLLPVDQESQSLQAQQQSSSAAAQPHHITSVYNIPSFMAHQSLTRCEASSAELIENAENWMREEVKMGLDYQFNELCHQCFNVENYNKIFHHYNFKARIKKPNSVGWLDAMYFAEVKQIFGRKFYFCCRLEPNENGHCYACKSQSVEELQHPATGGYETGLPNVGFGLCVKVTQ >Et_6A_046225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1009765:1023682:1 gene:Et_6A_046225 transcript:Et_6A_046225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVVGIMALLLLQLASSYPSAVLAHAMLGRKAGIINEPAENAPTGAGRYAVIFDAGSTGSRLHVFRFDRKMDLVEIGDKIEIFAKVKRGLSSYAGRPQEAANSLIPLLKKAKSVVPPEQMERTPLMLGATAGLRLIGDKKRRRFLKRDLVRMKSKFQYNPDWINVLEGSQEGSYLWVALNYLLDKLGGDYSQTVGVVDLGGGSVQMAYAISANAAAKAPVVPDGKDPYVTREYLKGKQYNVYAYSYLRYGAFAARVEILKAKNGQFSSCMLRGFSGNYTYNGEQYDVTAAPEGAVYEKCREEIINALNLNAPCKTKSCTFNGVWNGGGGAGRDNLYLASSFYFLATQVGIIDRKFPSAKATPAAFRVAAKKVCHLSVKEAKVAYPDYSSTELPYLCMDLTYQYTLLVDGFGLKSIKIITLVPKATAGLRLIGEEKAEQILEAVRDVVHTKSKFQYNPKWITVLEGSQEGSYLWLLDLWRFSCSCRDPEGKERSIWLLHVAWVHRYNGEEYDATATPEGAVYDKCREEIISALNLNPPCETKNCSFNGVWNGGGGAGQDDLYLASFFYDKAAQPTKEVTLVTRVKYVEYYIEAAWPLGTAIEAVAPKKMHQ >Et_9B_064446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15265652:15266894:1 gene:Et_9B_064446 transcript:Et_9B_064446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEDATAAPTATTTESEGATTDDDVHLSPTCVCRRGAGGDGGGGGRIKLLCSFGGRIVPRQSDGALKYIGGETRVLAVPRSISFRELKKKVEEMFKTEVAAIKYQLLSLSEDLDVLVSVTCDDDLVHMLDEYDRLDAKRSPTASPRFRVYVFAPQTAPRYPATSHGTVSAGNSPRANAMALEPPVFESLGMGMGMQRMQRVRSSPNLGSLDAAPQLLHQLAADGGGGLAGAAYISSSPGHAGAGPLFLQNGVHHYQHQYQPAPLHVPPHPSGGAGAGRYVRMGSYVAPMVPVARPPDRPFSRGGPLPHGEMQTPMKAALIWD >Et_3B_027675.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11791546:11791644:-1 gene:Et_3B_027675 transcript:Et_3B_027675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSECMWSSFSYAPEWLLEVMTKDCNSAVS >Et_1B_012993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4684225:4686609:1 gene:Et_1B_012993 transcript:Et_1B_012993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDPTTVDSKCVSPITEDGSMDRRGNPAIRAKTGRWRSAILLLVNYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANNISKWTGTVYIFSLIGAFLSDSYWGRYITCAIFQVIYVTGLVILSLASWFLLVKPSGCGGVDARCDEPSSAGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPREARSKVAFFSYFYMALNVGSIFSNSVLVYYEDLGHWVMGFWVSTASAALALVLFLLGTPNYRHFKPSGNPLTRIAQVFVAAFRKWHAQVPGRCELLHEVDGDDSKVSGIRKILHSDGLRFLDKAATLTEEDYAGAPESVKKNPWRLCTVTQVEEVKCILKMLPIWLCTIVYSVVFTQMASLFVEQGATMNTTIFGSFHVPAASMSLFDVLSVLTFIAIYRRVLVPVMARLSGNPQGLTELQRMGVGLVVGMAAMVVAGVVEIERLKRVAAPEQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSLGSALCMASISLGNYVSIMLVSVVTSLTDKDRRPGWIPGNLNSGHLDRFYFLLAALSLVDLAAYVACAVWYKGIKLDSGDDKEKATAAHV >Et_6B_048695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12682467:12691943:1 gene:Et_6B_048695 transcript:Et_6B_048695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTLRAPPAPAPAATHHHHHQQPLLLLRLHPPTLLLPAVGLPLGRLRLARAPAATATAAAASVAPDDISQLNYRLRHIARRRDAASAAANAGPVDTAQAEAYLRMIRDQQRLGLRQLRGEEEEEGIDADGDAEEDDGGGGGRKGVAAGSSLGHRVDPRELEPGEYVVHKKVGVGKFACISAEDGVDYVFIQYADAMAKLAVDQAARMLYRYNLPHEKKRPRNLSKLNDPSTWEKRRLKGKLAVQKMVVNLMELYLQRMRQKRPPYPKPAGMDEFAAEFPYEPTPDQSQAFIDVDKDLTERETPMDRLICGDVGFGKTEVAMRAIFTVVSAGYQAMVLAPTVILAKQHYDVMSERFANYPQIKIAIFSGAQSKEEKDDIITKIKNGDLHIIVGTHALLTERMAHNNLGLLVVDEEQKFGVQQKEKLASYKSSIDVLTLSATPIPRTLYLALTGFRDASLMSTPPPERVAVKTYVSAFSEERVLSAIQFELQRGGQVFYVVPRIKAIDDVLQFLKDSLPDVPIAVAHGKKVSRTIQLAMEKFASGEIKILVCTHIIESGIDIANANTMIVQYAELFGLAQLYQLRGRVGRSGREGFAYLFYTDKSLLSRVATDRLGAIEEHSDLGQGFHVAEKDMGIRGFGSLFGEQQSGDVANVGIDLFFDMLFDSLSKVDQFCLLPVPYKDVQLDINISPHLSSEYISYLENPVELLNEAAQAAEKDLWTLIQFTEDLRRRYGKEPRDMELLLKKLYVRRMAADLGISRIYPSGKMIFMKTNMNKKVFRLMTEAMTSETYRSSLSYSGKQIKAELLVSLPDTLLLNWLFHCLADCYAVIPALVKNSY >Et_1A_005060.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:24360731:24361306:1 gene:Et_1A_005060 transcript:Et_1A_005060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFLSFALFHTKNSLKDLNRPSSSPRTAPWSKARFVIHGGNQTRRWSPVTGFRSTASFRVRLRKRATPWRQPQKMYFSLGISVRGKPATPILSGGSSVGVKDARPSLSEEAQEGRLPVVHRAVAAEEAGVGDEAAPALADEGGPEEEGRQCRDAEKDLQVHVVRQHRRRRRGEAAAASHYRALDPFARSL >Et_4B_038363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28444463:28448091:-1 gene:Et_4B_038363 transcript:Et_4B_038363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPKQEELQPHAVKDQLPAVSYCLTSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNEEKARVIQTLLFVAGINTLLQSFLGTRLPAVIGGSYTFVAPTISIILAGRYSGIADPHEKFLRIMRGTQGALIVASTLQIIMGFSGLWRIVVRLLSPLSAAPLVALVGFGLYELGFPSVAKCVEIGLPQILLLVALSQYIPHMLPLLGTAFERFAITMSVAVVWLYAFFLTVGGAYKNAPPKTQFHCRTDRSGLVGGAPWISVPYPFQWGAPTFDAGECFAMMAASFVALVESTGGFIAVSRYASATPCPPSVMSRGIGWQGVGILLDGLFGTGNGSSVSVENAGLLGLTRVGSRRVIQISAGFMIFFSILGKFGAVFASIPGPIIAAIYCLLFAYVGMAGIGFLQFCNLNSFRTKFILGFSIFMGLSVPQYFNEYTSVAGFGPVHTHARWFNDMINVVFSSKAFVGGAVAFFLDNTLHRRDGTARKDRGHHFWDRFRSFKTDPRSEEFYSLPFNLNKFFPSF >Et_1A_005071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25115131:25116444:-1 gene:Et_1A_005071 transcript:Et_1A_005071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDFSLTRWSSSPSWPGLPPVVVVPGYATNELDARLTELYRPSSSPRCGALKGKGWFRLYLNHTALEDPAEVPCFAEQMSSVYDAASDDYYNAAGVETRVPFFGSTRGFRYPDPDRKDFSYMDKFVSRLERIGYRDNETLFGAPYDFQYAVAPRGHSSRVGSAFFGRLKSLIETASRRNGGKPVTIVAHSYGGTLAYQFLLRQRPRWRGRFVRRFVPVAAPWGGVVLGMQTLVAGNSLGLPFVDALWSLPSPVAFGAHRPLVTTPSRNFSAGDMAEFLAAVGMEDAAVGAYETRVLPLFRELPSPRVPVSCVVGVGVGTPEMLEFPGDDFDVPPRMVMGDGDGLVNLPSLVGVDPAWRRPGEYFRMDKVHNVSHIGLLVDERALAVVISAILRLA >Et_4B_037457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2001251:2004313:1 gene:Et_4B_037457 transcript:Et_4B_037457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVGKAKQYASVLDKPLGRGRQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHREKGNRRETRLLGILSFIHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGAFNCGAFVAGIVKGVLDNSGFPAVVTAHFVPIEGQHRPRTTILIKFAEEVGVLLFYVSLHDWHIIFFCYCLT >Et_7B_055204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8272021:8275186:1 gene:Et_7B_055204 transcript:Et_7B_055204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGINSGEGQAPPSNQVRRPAPCRRIRPSSLALWRRAPASPSRRRRLHGVVQSKAQSTARRSPPPCVDSSSGRAQARIGGCDAVAGGETTRCPALLPCGVTCAGEGEEIDRWVPFVSEREERKRNKRPHMSEASGSWETAGASCTSQYVENAIGSSSIEDIIRQVALSSSGPLVTAGPPGQCRNLRSPQDTVVAPMLRAAASRCAGAAARRLLSSSAAPFPAAAGARKQLPLDEGDWSYHQEWWGTDEGPGDGAQTVFRQYSECGNGVVSVVAYPASRPASDQWPAVERWLQERNARLYPESAGAEQFKILGYQWRVMRFNDHTRQSTAKVMTCYRTGGHRSLYLMQQPHCLTVPYVKSMVSAGLTALPASSYDIARAASGQNTMKILCIGHGGGSLPLFLASKFKGADIHIVEIDPVVVSASVESMGFPASSVKGLVSQSMQPDDADELLWDGIHDRIFLHIADAEDFIANDSNQYDLVFMDAYDGDDVFPRKLWDADGEFMKNLEKKVHPDHGTVVVNLHSDSELSESDAGGNDHFQSILPMGKHVSRVCRAYKQHFGLAFTAAVPWLCNITLVACRDKAIASGARLGLSRRDFVLGKLLSKSDAVERALDLPFPCLPYVKKCFALVD >Et_3A_025753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32500424:32502536:-1 gene:Et_3A_025753 transcript:Et_3A_025753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAAATTAAASNYEVDLGNLMAYDPSHHLAAAPSNREAMREECLQKATELAQAVADVLFALPADEARDGPLVRLPPPNTRLPREKHLPRPKPPTKWEQFAKMKGITKRKKNKREWDEQTQSWKRTYGYDRVNDDRDIPIIEAKATDEPGVDPFAQRKEEKKKRVEKQEKNRLENLKKAAKVGALPSHIQLAAKALPITGTKADLPKKSKKEDLENVAGMASTATASGGKFDEKLPGEKPLKHAGKHRKFLPVVEGKGIGNLEKQQYDKVLNSILAKNSEDQLDVGRAITMYKVKKEKQRSKEKDRPSKSNKLKPQKKGFKKSSKKNA >Et_1B_012788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35093564:35096191:1 gene:Et_1B_012788 transcript:Et_1B_012788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRLPLLLLLLLPVALTTLLLLFPSTTPPRLPPPQPLPCGAALSDAYDGRWVPTPAPAPPPLYSPSCSFHRNAWNCLRNGRPPLAALSWAPSRCGGSVVPRIDAAGFLAAARGSRVGFVGDSLSENLVVALLCALRSADDGARKWKRRGAWRGGYFPREDVIVAYHRAVLLAKYTWQPVENFKELQMDGVKGSYRVDVDIPANEWINITKFYDVLIFNTGHWWGPDKFPKETPLVFYKGGKLIKPPLGIHDGLKLVLKSMASYIEKEVPRKTLKLWRTQSPRHFYGGDWNQNGSCVSDRLLEDHELDSWFDPRFGGVNKEARLVNSAIQEALAGTDIQLLNLTYMSEFRADAHPAIWLGKKDAVAVWGQDCMHWCLPGVPDTWVDILAAHILHYFKQGKG >Et_4A_032148.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21150746:21151081:-1 gene:Et_4A_032148 transcript:Et_4A_032148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGILIEELKDLLSLNFLNVVVQYKPRECNRVAHALAALGCECSLEDDPVLEVLPLCIQVLVTDDRYLVNGMRCSLDCGKNIGSRDVSMFVSSECTAYSDRESPEAWPGFNA >Et_6B_049405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4474056:4483208:1 gene:Et_6B_049405 transcript:Et_6B_049405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTPADRDVLEEKLQNPSASPISLPYEFLKDITNNFSTEHELGRGGYGVVYKGVLRSGQIIAVKQLFNMHLLNDDVFQKEVTNVMGIKHKNLVQLVGYCAETRCEAIKLPNGNYIMAEIRKRLLCFEYLPNKSLDKYISEESSGLDWNKRYEIIKGICSGLHFLNRECHIVHLDLKPENILMDATMRPKIADFGLSKLLGAQKSQTIIHGSIAGTPGYMAPEYLRGVVSHQADIFSFGVIIIEIITGQRDYPLSTVSSLQHRQFNANSSRSSTEISWQQYTHNVLVKWRNVFEEEQKYTSPQIYTEQVNEFLTIALECVDPDSTTRPDICEIFERLTGEICCCRKMALLSIEPLELRFNIKTPHVLGFSSMHLTNNTDDAVAFRIVTKGREKYFPGPVDGLVRPRSMYTFRVAMLLPPKDDNRFTIKLESTIAGDQYKRPEVEGSSSADVCLSTEAKNMWRKVQQAKLLFTVAREVSSLTKNILLTFMSNTIDDEHNVNCPLWPALRIGFEWRSTVSCRRLMKKPPFSQICSCHKMTLLSIEPLELCFNFKTTNVLGFSSMHLTNNSDDAVAFRMVTKGRDKYFPEPVHGLVLPRSMYTFRVAMFHPREADNRFIIKLESTIAGDRYKLPEIEGSSSADDYNCLFTEANNIGCKVQQAKLLFTVAHEISCCQKMALLSVQPLELRFNFKTPHVLGFSSMHLTNSTDDAVAFRIVTKGREKYFPRPVHGLVLPRSIYTLRVAMLLPPEDDNRFTIKLESTIAGDQYKRPQVEGSCSADDYNFLFTEAKNMGRKVQQEKLLFTVAHEDCFVPWDIKRIANMGLSKGGK >Et_3B_030063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30134143:30139499:-1 gene:Et_3B_030063 transcript:Et_3B_030063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEAAADRALAALSRAFCSAIAIFIQIQGCLICLTLAIGWAGAYLVRKKVIRNMRLKIAEGNSFAFLYDNIDELEHSVQKNLPRVSVVMPLKGFGEHNLQNWKTQITSLYGGPLEFLFVVESKDDPAYHAVSRLIAEYKDNLEAKVVVAGFSTSCSQKIHNQLIGVEKMHKDSKYVLFLDDDVRLHPGTIGALTKEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSIGFATGGKTFFLWGGCMMMHADDFRQDRYGVVSRLRDGGYSDDMTLAAIAGEHKRLISSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYVSKVNWLMNRALFTSHCYLSWGFVWPYIMALVHVLTALRAPYSDIVKEAFDASCGLKLVSCLFICTLTELVSMWNLTKVEIELCNMLSPEGPKVSLDSYNWGLVFIAVLVDNFLYPISAFRSHFAQSINWSGIRYYLRNGKISKIERENKSKYTDLGGKHLYGKRTYPPNKSLLGYLSRTLAQWHQPKKYDGTHRA >Et_7A_052323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6934551:6940619:-1 gene:Et_7A_052323 transcript:Et_7A_052323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGCAALVAGGRLPLPALPRRLRRLRRGTSVRAEASPGGKSERKKVAVAGAGWAGLAAAHHLVKQGYDVTLLGAESGPTEEVGLRGFWYPYRNIFALVDELGISPFTGWNKAAYYSPQGLAVEFPIFHNQPRLPAPFGVFAYPGFPDLPLVDRLTSIPVIAAVIDFDNTDTAWRKYDAMTARELFKMYGCSQSLYKEVFEPAIQAALFAPGEQCSAAATLGMLYYYMLSHQENSDFLLCRGDVEDKIFSPWLKSLELKGLKFVANKVPTSLIIDKDSECISAIVCREDVYEADAFVSAMGLSPLQSIITNSPFLRSDREFANLLRLSTIDVISVRLWFDKKITIPNVANVCSGFDDSSGWTFFDLTSVYDDYYEEPITVVEAEFYNASHLLPLGDEHIISEASSRLMKCIQDFDGATVIQKSVRRSPRSVINYLPGSYKYTLRGSTSFPNLFLAGDWIVNRHGSFSKEKAYVTGLEAANRVVDYLGNGDFAKIIAVEGDEPHIETLRSLNRRANELKSQIPLSDKKLSVINAATLVYINLHRTFEASPAYWNYHHILNNDRTFFNEDGEAEIDGLERRVVVGVGEQEVLGLEVPVHDPHEVADVHDGDDVAADVGCLALGVAPLGGDAVEELPA >Et_4B_037102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14775606:14778528:1 gene:Et_4B_037102 transcript:Et_4B_037102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVAFSIPPEWRCSECQEKGNEDPRPNQGGQNELQSSLHGCVLTKEKETPKVDLLHQTSPPTRKKFEGSKVKYISSEEVVLLARERRPYGRSNAAMRQSQVHSMSPPILKQPPNMMCTSPTRSDTPLLALNQCSAAIHDKEKIENRSDVYMQQNQVRPLSPPNLKQSSRMMGMSPSRNGRQVHSTLKQSTAASVDKAIIEDRSEFSTRKSQVPPASFANVKQPSNRNCLSPSKSDTQVHALKRCAAVSHEARNKDLSMNGGAGYGSSMPDRCGTEVIVKGKAYSQIEDKTKEKKIVSANEGTISSQIQAKGREKEALCGSGMGSFIVLNAGTRHNLGGFKAYFPPKVSRKAYDVAKMMSGNLQLEMLPRFDDWPKAFETSRPTHEDIGLFFSPHKFDCHEKKHSNLLETSCNYVMRACIKDIKLLIYSSEMLPPDS >Et_8B_058831.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:9910879:9911721:1 gene:Et_8B_058831 transcript:Et_8B_058831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQRAEQLRVLKLCYCLFSFSSPPFLHCRTIRFLGLDNCRDADQTLGQEYIKEQDNKLRQKEEFFQSLCVLDIYWTDWELNLPPNIIERMAVNMREISINKGRIWQVTHAWTWRHLQNIHKLRVNNPTCPWETGSEDQFTGMVNLEHLDLSLNRTMQVLPTLSGATSLRNLVLEACFALKHVLPGTLPPSLETFCFSAWPAEISRISMAGCSRLVNFRIHGSLEKLEELDLSKTSIKVLDLSNIKVHVLQHVIMMGCEQLRAVTLAKNRSAQTNGAVHRH >Et_4A_035466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25838952:25842656:1 gene:Et_4A_035466 transcript:Et_4A_035466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPLSSLRRGFSTAGADPSLASTAELAYRLLRRHHSDPQRLFAALSASGLDASSPHLLDAVLRRCGAASALALHFFHWCSPSLPSPLPSSLALLAKSFSRASSAPSPSLLGPLPSQLLGPSLLSPILRRLPPPRLQPFALSLISSRPDHDHPALFLSLLEALSKAGHVAAAEQLVEELQPRIPLSLRHYTALLYGWCRLGKLDEAKHVLARMKAAEVAPDVVAFNTLLAGFVADGRFEDAFELAREMERRGCPPNAVSYTTLMQGLGARGRVDEAMRVFVEMRRKGCTPDSVTYGTLVSAFCKAGKISQGYEFLDAMSRDGLRVDAAVYHGFFVAHEKKEQLEECLELMERMKECRCPPDLKAYNVVIRLACKLGETKQAMALWNEMENDGLSPGVDTFAIMVNGLVGQGALIEACDYFKDMVGRGLFVAPQYGVLKDLLNALVRDEKLELAKDVWGCMVSKGCEVNVSAWTIWIHALYAKKHVKEACMYCLDMLEAGLMPQPDTFAKLMKGLKKLYNRQVAAEITEKVRTMAEERHVSFKMYKRRGVRDLEEKPKAKRRKGQKQGRRRPAGQGYGCAFIIGIVVAYDLRRLAMAAGFGPAFDVAVDNQLMVLKGQEIEVTVPAIETFIFVHDSLRPCVSMPFKR >Et_5A_040817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1322491:1324046:-1 gene:Et_5A_040817 transcript:Et_5A_040817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEPNPAPQVQILFDSSPFVRSSQEREVVVEEKRPPKLRYLDFVQVAAAQAAVCLAGLYGLAKDHAGPLRSGVDAVESAVKGVVGPVYGRFHHVPLDVLAFVDRKVDDTVHELDKHLPQTLKTVSAQACAVARGVPEVARELTAEAQQSGVKGAARAALAKVEPVAKDVYGRVEPVARDLYVRYEPAAEHLAVSTWRSLNGLPVFPHVAQIVVPTAAYWAEKYNKVIAAAADKGYTGAKYLPAVPIERIAKVFSSTPEAEPLKGTAETQ >Et_7B_053524.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:609455:609907:-1 gene:Et_7B_053524 transcript:Et_7B_053524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRIGTRVVKDLIDDLKVMGMLRWPEFKKERANLNRLMGSIRRSIKTYKGRRLEVGMFYLTPCYDTYDVAVHSHLLRMPLKEALKRRRQDLKKRRAILASYNNNANQTKASAEHHVVPPKTVLGVARRTSLLSHAVASIFIGCIIVLIP >Et_3A_025743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32367887:32372408:-1 gene:Et_3A_025743 transcript:Et_3A_025743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FWVGVRGVGLEKQPLPPGIETWPQAPPNHPPQFYAASQSHPFDTKSDSASGNGSTVNIESAVQEAVLQSQDIETQQVIQSQRQAKASSESTEYGEDLLSSRRDPNALKEHLLKMTADHRAEMANKKGKPLHPNNGNVEIGNGYGVPGGGAYYAQMNKPTDEADKAKGTNDLPDFLKQRLKARGILKDRTENKNITSTQNVDSQEGQNKPAQELPPGWAEAKDPTTGASYFYNQSTGVTQWDRPGGAVNTMQHQVSPPLPENWEEAIDESTGHKYYYNTKTQATQWEPPTSVNSGVAPLAPSNTVPPTYVNTSVAPHAPTNTVPPTYVNASAVLHAPTNTVPPTYVHASVAPHAPTNTAVEPVPPTTDLWNCQMQRCLGCGGWGVGLVQQWGYCNHCTRVQNLPYQQYPGYPYNTMHSGGNVAPKSQGNVAAKDRSSSKPPFGKANKKDHRKRNRPEDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTAAGPLFQQRPYPSPGAVLRKNAEVATHGKKRGGMAPISKRGDGSDGLGEAD >Et_7B_054450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21462602:21473254:-1 gene:Et_7B_054450 transcript:Et_7B_054450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAVRGYGEPMALPALIFIRRDASFAAALRQRFHVLDFFASGQSLEAFLAAAAAAVPGPPRAAVVWAGGPVRVDAAFLDAVPSLLCVVSTAAGVDHIDLHECARRGVVVANSGRAYSTDVADHAVGMLIDVLRRVSAAERFVRGGLWPLGGKRVGIIGLGNIGSLIAKRLQAFGCVIYYNSRRPKDSVPYKYFPNVNDLAFESDVLVIACALNKETQHIVSKDVLKALGKDGVVINVGRGANVDEAEMVSALQEGRISGAGLDVFENEPTVPAELLSMDNVVLSPHVAVRTSESPSDLRDHTIANLEAFFSGKPLLSPVLPQVSVSTMASSVTATASGEPPVLPALLLIRRLDPSFSSALRQRYRVLDFFASGEPLPAFLAAAAAVPEPPRAAVVMGGGTVRVDAAFLDAIPSLRCIVGTGAGVDHIDLDECARRGVAVANTGRVYSADVADHAVGMLIDVLRRVSAAERFVRRGLWSLQGDYPIGSKLGGKRVGIIGLGNIGSLIAKRLEAFGCVINYNSRKPKDSVSYNYFPNVHDLASESDVLVVACALNKETWHIVNKDVLVALGKDGVIINIGRGANIDEAELVRALKEGRIAGAALDVFENEPKVPAELLSMDNVVLSHHVAVFTSESRSDLRDHTIDNLEAFFTGKPLLTPHKSSTCQAKTREAVEDREWKLSHTNNVLRSGVPSDGLSNAISYRPCALQLNCRACSVLILGAMELSELVAWLLLSIK >Et_7B_053805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12336701:12338956:-1 gene:Et_7B_053805 transcript:Et_7B_053805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVARMVLQMGLPCGSSIKPLPRLPLQFGSSPAAAASPAAAFAGRPCSAIVRRNAPAVVPFAKKKKKGYSDEPPDEDPADDVLDEMEDYEEVEGEDDFAEDEDDDVMDDEEGDFDDDYLFEDDFETDDEQDLYVGDGGAGGGISLAGTWWDKEALALAEQVSASFDDDLKIYAFKTAANLTIRVRIEKMSTRYGSPTIDDIEAYTIAYRAKLDDAESAGKIPKNVSLEVSSPGVERVVRVPEELERFKERAMYVRYTTTSDETATPQEGDGVLRLISYDMDLRECTWGIADVKINRQQAGKGRPLSKKQREWRLQTPFQSLKLVRLYSEC >Et_1A_006341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2183681:2187476:1 gene:Et_1A_006341 transcript:Et_1A_006341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDKCGNSVVAGEVSSDLYAVLGLKKECSDAELKVAYRKLAMRWHPDKCSSSGSVKHMEEAKEKFQEIQSAYSVLSDANKRFLYDVGVYNNEDDEDSLKGMGDFIGEMAQMMSQARPTRQESFEELQQLFVDMFQSDLDSGFCNGPTKSRQVQGQGRSRTASTSPSSSPSPPPPTSAEAEVPSWNGFNKRGSSAMDSGKPPRHVEAGAGIQHSNSFCFGISDAKQMPKPRGTNNSKRRNGRKQKLSSKHDVSSEDETTGSQQHITV >Et_1A_007490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35104079:35106915:-1 gene:Et_1A_007490 transcript:Et_1A_007490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLPSAAGSSPLPRALLLLAALALFSLSFLSLRSLRPADAPSLAADTSRLLTPPSSSVYHSPEAFAAGYAEMERSFKVYIYPDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFRTDDPDQAHLFFVPISPHKMRGKGTSYENMTVIVKDYVEGLISKYPYWNRTLGADHFFVTCHDVGVRAFEGLPFMVKNSIRVVCSPSYNVDFIPHKDVALPQVLQPFALPEGGNDIENRTILGFWAGHRNSKIRVILARVWENDTELAISNNRISRAIGELVYQKQFYRTKFCICPGGSQVNSARISDSIHYGCVPVILSDYYDLPFNDALNWRKFAVVLKERDVYQLKSILKSISQEEFVSLHKSLVQVQKHFVWNSPPVPYDAFHMIMYELWLRHHVIKY >Et_4A_035116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9589670:9595538:-1 gene:Et_4A_035116 transcript:Et_4A_035116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVILSLSAAAVVEDVLRQHGCRLSDRDLASRRAEEAAARRNEAAGWLRRTVGAVAARDLPEEPSEEEFRLGLRNGQILCGALNRVHPGAVVVNTAADSVLQPDGAALSAFQYFENVRNFLVAAQEIGLPCFEASDLEQGGKSARVVNCVLALKSYGDWKQCGGTGPWKYGGNLKPSGSGKSFLRKNSEPFQRSQSMNDGEVSYEEAGFNGDAHLDSSDTSTSRPLKMLVSAVLSDKRPDEVPQLLESMLSKLVEEFENRLNSQNELVKAALKNGTDNTKSFSKSKMDTTEMYSKHKQTKKEASRELALKQHSIFQQQSKNVEELKADLITTKAGMEFLQMKYSEDLNILRRHLFSLANAASGYHKVLEENRKLYNQVQDLKGSIRVYCRVRPFLPGQVSPSTVGFIDEGNITIVTPSRSGKEGRKNFSFNKVFGPSATQDEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKNMTEQTQGVNYRALSDLFKLAEQRKGTFIYDIAVQMIEIYNEQVRDLLVNDEIRNNSQNGLNVPDASLVRVASTMDVMELMNVGQKNRAGRDLTSGTVLRGCMHLVDLAGSERVDKSEVTGERLKEAQHINKSLSALGDVIASLAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDAVGETISTLKFAERVSTVELGAARLNKEPGEVRELKEQIARLKSALAAKDSGSEQTLSRDSEAFNTKMPSPGFSNRRQGSCDLLPSQTNFRQPMEDVGNIEVRANPTSRQKKPSFDLQDLLASNGSPSWPDSNLRVNFQMGDERETVGGDWIDKVVVNNTSVGDWEGDSAALPDFFYQRFHSGMREKQYQRNNTRQKDDHEFEQQRPRFYSINTDDSDDIDMATSDSSESDALWQLNVQSINNSISESGSKIKKPQAKIRESSDSRTPSHSQIPSASRKASNGSNRSVRQPLSRSDSRRLSSNGRQAGTK >Et_10B_004238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1975651:1988912:-1 gene:Et_10B_004238 transcript:Et_10B_004238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAHRIDAAPAALPSLPDEILEDIFLRLSAADDLARSSAACTTFRRLVSARCFLRRFRSLHSPPVPGFLDADPYAMFYPAEPPHRSASAGRALAQAADFTFSFVPKPNRWNVRDARDARVLLSRQSTVSTALGDILLLVCDPLHRRYVQVPPISLDMPPLPGSTRRRCMLAFEPFLAPAVDEEMEEEPSYRVIVIVLSRGIVTAFVFSSVTGKWQGGAPFWFDFSPLARHYQRNCLYWTCCRNDLLVLDLHKMAFSIIADGSQLNRAIVDAGEDRLGLLTLPDDNTLVLRCKTWYADGDDNQEWQHDKVIPLPDCCNRYTIIGSYEGLLLIRGVPREPSWSTSSSLLERESQYLTVEVKTLLVERLCAMNCVVGPAHLYASFPPPLSLPIILGLSTSVPS >Et_10A_000649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14460906:14463894:1 gene:Et_10A_000649 transcript:Et_10A_000649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNAKDPWEYSLRKYLLLLATLVATVTYAAGFNPPGGVWQDSDEKSRRLAGDPIIRDTNYFRYLAFFYLNATAFASSLVVIVLLLILSVMHDRGSTNPAPLHTLRAVMGMDLLSLMGAYAAATCRDTLTTVYSLVLVAGAALYAAAKVAVSSWRSGEKNDTELDRLRKVLMLLATFAVSVTYVAGMGAPGGFWDEGGVAAGHSPGRAVLAGGRHEKRLKAFFVCNTTAFVASLLIVVLLLDKKLQPKDRLRYFELYGFIFFALGGLVAAYSAGSCREIDTTIYVNALIGAVISWILIQVVTVKYFGKAITESSVWKSCGKNYGSFSKWVKECRLFCWAKDSGDAQTSSGNASKEEQRTQALEKASSLVLLLATLAAAITYQAGLDPPGGLWQDNGDGYMAGDPILLTRSPKRYKAFYYCNSVAFVASLVAIILVRKKSLHKHNALEAAMILDLFGLIGAYAAGSCRNVNTSVYAMALAGAVLVYVVIHVVFFTLDHHDIETVMKIVEKPRAVTRTPPIGIDDEALKKLKEELEIVEKRRKRLLLFAILAATITYQAGLTPPSGFRLQDDALGHHAGDPVLLYNSARRYKAFFYCNSVSFMLSIALIILLVNKNLYRPAIRSNALSVCTGVGMCGLVGAYAAGSTQHFKTSIYIFVVAGVVLFVVAVLVLVFLFIRHNKDEENANTKTEERRAGSTTREGGAESNTNDGRAEIQMGRTEEFSAQTGTRTARGTEAKSVESSAKNGTGTDAAIEINTQEERAGEGRTEESSAQNGTNTEGRTEESSAQTREGTDPEKEKEQKEKEKKTHAKRKYLMLLGILVASVTYQAGLAPPGGVWQSDGTGHAAGNPVMHDNRHPRYLAFFYSNSISFVASIVVIILLLPESLHNKKWWLGVMNITIVLDLLGLLVAYAAGSSRSWKTFGYVSALVIAVLGYFVIHVALSCFSRFCNRGASATQVNGGERNA >Et_9B_065332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3884168:3893932:-1 gene:Et_9B_065332 transcript:Et_9B_065332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMNGGGGVVKRMSALRVQYYCVMGAVAAAVVLATLRYMPGPAAAGTGVARARGQAALAAAAPYFCVLAAVVVAVMVLSLAYMSPAAMVAVRENLGSVASTGAGSNTSGAIPAATPAAAKEQEPRRPPVVLFNFGDSNSDTGGVAAAGGIRIMPPEGRTYFGRPTGRLSDGRVIIDFICESLNTHELNPYLKGIGSDYSNGVNFAMAGSTVSHGVSPYSLNVQVDQFVYFKHRSLELFERGLKGPVSKEGFENALYMMDIGHNDMVGVAHTPSDQWDTKIAKIVDEIRQAMRILYDNGARKFWIHGTGALGCLPAVAVREKGEHDAHGCLVSVNKAAKAFNKKLSNLCDDMRFFLKNATIVYTDMFAIKYDFVANHTKYGIDWPLMVCCGNGGPPYNMDQGKPGCGDLCEPGSKVLSWDGVHFTDFGSGLAAKLVMSGEYSKPKVKLASLINGGSKKTSDS >Et_1B_010804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14258728:14262158:-1 gene:Et_1B_010804 transcript:Et_1B_010804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMHFPTRSRAPLSLSSRFYINHGGALTFPRQEASHCCSSARWSAALASRNSDASRFKRICVFCGSSQGKKRSYHDAAIELGNELVARGIDLVYGGGSIGLMGLVSQSVYDGGRHVIGVIPKTLMTPEISGETVGEVRPVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHHKPVGLLNVDGYYNSLLAFIDKAVEEGFINPCARRIIVLAPTAQELMDKLEEYVPYHDRVASTLNWEIGHLGY >Et_8B_058641.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:12402573:12402821:1 gene:Et_8B_058641 transcript:Et_8B_058641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLGKGSSRCYEASEVGGGQPGVYFHDDDSFDHPAAVAYGYRGRRYSVSDNGHWERPGSHIHRWYDWRLPSNSSGPVWYLQ >Et_10A_001440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3257929:3260996:1 gene:Et_10A_001440 transcript:Et_10A_001440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIGSRGAATAFAAEVISLLLLLLTPFGAAAATNCTRSCGGIDIPYPFGVEPGCYHAAGFHLTCNHQEKPPKLFIGDVQVLEISVPDFDGGNATVNGTWAGLPHGGPFILSESAANRLVVVGCDVQVDLRAGDGDAILVSSCTAVCPYVPLPGQSGGPEGNCTDIGCCDTNIVLGYSAYNLHGSHHGNDMYYGTSAYKTDGLFNYSRHMLEGINLPEALPATMDWIISNLTCPTNASAPECLSDHSFCRNSISGVFNGYMCSCYDGFQGNPYILGGCQDIDECRSSEIYPCYGNCKNIVGSYTCKCPSGYRGNASIPNGCKDIHECEHQEAYSCYGKCQNSPGSFHCQCPEGTYGNTSIKGGCVNIKNSFTGLRIGLGVGGGISILLLALGGPYIMRKVKLQKVTKMKQNNFKKNHGILLQQLMSLNTDIGERMIITLRDIEKATNNFDSTRVIGGGGHGVVFKGILDLDVVAIKKSKIVVQREISEFVNEVAVLSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLCHHLHVEGPVSLSWYQYSIESSNILLDDALTARVSDFGASRYIPTDWTGVTTAVQGTMGYLDPMYYYTGRLTDKSDVFSFGVLLVELLTRKKPYVYRSVDDDVLFHILFHCSHKVNWLI >Et_4B_039536.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2091160:2091411:1 gene:Et_4B_039536 transcript:Et_4B_039536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEERLRRIPPDVAERMTQTVIDLMLRLVYADQRSKLETVKDAVDVTMEAIIAKVNKLRDKMRGGQGHLHQVHTGNERVQQS >Et_8A_058188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23175113:23178278:-1 gene:Et_8A_058188 transcript:Et_8A_058188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQRWSTAWEVLQWIISTGINFGEANQLNDELERLRATLPKARFLINRGEWGRFKNNNLAELLSHLKDTTYDAEDLLRELDDQVMRQRLEDAGQSWAGQILVKEIQDKLKNSMDEVEGVLSLMGLNVEPAERLMPETSSLINALEVFGRDSERKVLMDKLGVMIEREDEWDQNLKKFRVGKENGRGISELKGMNQLSGTLTILELQLVQSKDEASEARLADKQYLNVLDLRWGSGRDRVNDVAVIEGLRPHSRIEHLKVTDLGQFLSPENLPSLRSMKLENCDRLVSVAAHNFVGFNSLQDLKISGCDKLVCPREMVLPPSLRRLNIMSCGELDRSFPGCLENLTSLTALMLIGCDKVKFIPLRSITSTKTLKYLRLYNCKELSSIEAPHCLSSIQYVEIAKCPKLTEVEQPFETNRLTKEEKKLLEFR >Et_1A_005440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10430124:10432349:1 gene:Et_1A_005440 transcript:Et_1A_005440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASQELERRSRYLSSLIRRTKLSAAPAPAPAPLLPPVPEPEAAPEPETRPGFGKRGEAKAAVVVEEREVKEQRVVVVVAEKQKREVKGEEEPVVSVRVRAADMPLPLQRRAIRLAYEAIAAMPRLDSKRLALALKKEFDTTYGPAWHCIVGTSFGSYVTHSLGGFLYFSVDKVYILLFRTAVEPMGHPRDPQ >Et_5B_044546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3306947:3307618:-1 gene:Et_5B_044546 transcript:Et_5B_044546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLFPLFDKYGEVVDIYIPRDRRTGESRGFAFVRYKYEDEAQKAVDRLDGRVVDGREIMVQFAKYGPNAERM >Et_1A_008709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10085286:10090236:-1 gene:Et_1A_008709 transcript:Et_1A_008709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSETIGPLLARYGLSRSVRTGAHLHAYLLKSGLVTAFRNHLISFYSRCRFPRSARAVFDEIPDPCHVSWSSLVTAYSNNALPREAVGAFRAMRAHGARCNEFALPVVLKCAPDARLGAQVHALAVATGLVGDVFVANALVAMYGGFGMPDEARRMFDEASGGRNAVSWNGMMSAYVKNDRPGDAIDVFREMVWSGVRPNEFGFSCVVNACTGSRDLEAGRQVHAMVVRTGYDEDVFTANALVDMYSKMGDIDMAAVVFEKMPEADVVSWNAFISGCVIDGHDHRALELLLQMKSSGLVPNVFTLSSVLKACAGAGAFDLGRQIHGFMIKANADSDDYIGVGLVDLYTKEGCLDDARKVFDFMPQRDLVLWNALISGFAHGGRHVEVLSLFCRMRNEGLALDINRTTLAAVLKSTASLEAISHTRQVHALAEKMGLLSDSHVLNGLIDSYWKCDRLIEANQVFEQRCSDDIISFTSMITALSQCDHGEDAIKLFVQMLRKGLEPDSFALSSLLNACASLSAYEQGKQVHAHLIKRQFMSDVFAGNALVYTYAKCGSIEDADLAFSGLPERGVVSWSAMIGGLAQHGHGKRALELFHRMLDEGVPPNHITMTSVLSACNHAGLVDEAKRYFDSMKEMFGIDRTGEHYACVIDLLGRAGKLEDAMELVNNMPFQANAAVWGALLGASRVHRDPELGRMAAEKLFILEPEKSGTHVLLANTYASAGMWDEVAKVRKLMKDNMVKKEPAMSWVEMKDKVHTFIVGDKSHLRTRDIYGKLDELGDLMSKAGYVPNVEVDLHDVDRNEKELLLSHHSERLAVAFALISTPEGAPIRVKKNLRICIDCHVAIKFISQIVSREIIIRDINRFHHFKDGKSIGGTMQDFQHQKDDKEAVSGGSMYLKKYASEQALKTISQNEAHTTGGPDLTPTRGSQIEHSSNSHK >Et_1A_008929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19684081:19692823:-1 gene:Et_1A_008929 transcript:Et_1A_008929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQVGSFESRVRRMSVRNKTDLDALLEFKAGLSPQPDALASWKINTDFCQWIGVICSHKHKNRVSALNLSSTGLVGNIVPSIGNLTHLRSLDLSYNILHGEIPPAIGQLSQISYLDLSNNSLQGEIPQTIGQLQWLSYLDLSNNSLQGDIKVGLKNCSRLVSIKLDLNHLSGGVPNWLGGLTMLQSMSLGKNNFTGIIPPSLGNLSSLREVYLNDNDLSGPIPEGLDRMGSIKVLALQVNQLSGTVPRTVFNLSTLIHISLHMNELEGKLPSDLGNGLPKIQYLILAVNHFKGRIPASIANATTMQSLDLSHNTFNGIVPPEIGTLCPNYLLLDGNQLTTSSIQEWKFITLLANCTSLRGVTLQNNNFSGVFPSSITNLSAQLGILDIRFNKIYGKIPDGIGNFPKLYKLGLSSNQFTGSIPDSIGRLKMLQLLTLENNQLSGTIPPSLEDNEVFICQKNIGRSSVDGWFLILNNLDLRSKIRHRNLIGVITCCCCSDFNRNDFKALVFEFMPHGSLDKWLRQEDMVARVGDFGLTKILTDPVGEQLINSKSSVGILGTIGYVAPEYGEGGQISPHGDVFSFGIVLLEMFTGKAPTHDMFVDGLTLLNYAKMSYPSQLMEIVDPLLISVEAESEQINGVMNSITRLALLCCKNKPNERLNKESSLSLYI >Et_3A_027013.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29530017:29530268:1 gene:Et_3A_027013 transcript:Et_3A_027013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSIEAAAAAAAVGSGYGCGGWETPKREECRIPATLPCPAAPRKAVPDFGKRRSPPKNGYFQPPDLEALFALAPRRQASSCA >Et_10A_000994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:221464:223118:-1 gene:Et_10A_000994 transcript:Et_10A_000994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASTGDPRPRRPWKNKYRLPETAPFSSIVSLALGNVSLELPTPDGGFPGFVMLKKLELKQVADLGDLTPFLSNCPALEWLRITHSFLPHLIVPQTTCCLRYLHVGECDIKSIDLHAMSLTTFEYVGRPFLPIKINDSLKLSEANVHLYWAYDSIGYFWDDLSCSFSHVDRLVLTFSMDRKTTTLIKNTTIFIRLRYLTLFCKTFGDPESALVILRLTQILEAAPQLEHFVLHMDGLDSQPLYLNTTDSIRPYQHQHLKAVHMTGVFGLRGQLELAKYILLSADALEFMILNLAKKGYPDLPWKPDHAYFITLEKFAKNYLDPEGAYRDVLKIVGLNLTAY >Et_10B_004120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13915800:13916194:-1 gene:Et_10B_004120 transcript:Et_10B_004120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDYAWVKQYLVIYTQLRASSGYVVVHGSIWDFHDVLCTSMTFGGHADGSDEIVAATPAEAGNGFNNQGILAGAGDDGVQPMLEQGNMSASAFALRNLPSHIIRTS >Et_7A_051472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19086352:19101080:1 gene:Et_7A_051472 transcript:Et_7A_051472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMELDEELEKQSPATADTMETVVGVAGQRDFIDSLIQDIQKDHLRLLEKMRDRMNRVDVQEPTIEVRFRDLTVEAECRVVNGKPLPTLWNSALSAASADSSSRPPRVRQDHPFACTCRKAQQKPCGDIEYNGLKLNEFVAQKTAAYVSQTDLHVPEMTVRETLNFSARFQGVGWRREILREVMRREEEAGIIPDPDVDMFMKAISMEGPQRSIHTDYIMKIMGLEKCADTMVGDAMRRGISGGEKKRLTTGEMMIGPSKAIFMDEISTGLDSSTTFQVISSLQQLAEVSQYTILVSLLQPAPETYELFDDVILMAEGKIVYHGPKSCILIFFESCGFKCPRRKGAADFLQEVLSRKDQKQFWSLEDGTYNFVTVDQFCQRFRGFHVGRNLSQELSELYERSKVNNSAISFSIYSFSKWEVLKFCFARELLLMKRNAFIYRSKVIQVGLVAVLTGTVFLRTRMFNDRAHANYYIRSLFFAIVFLIVNGLPEMSMTISRLPVFYKQRDCCFYPAWAYALPAFFLKIPVSLIESIVWTSITYCLIGYTPEASRFFRQLLVLFLTHSTALSVFRCIVAYCQTASVSSIGGSLSLLVMHLCGGFIIPQKSMPNWLQWVFWISPMSYGEISLTGNEFLTPRWQKVMVSGVTLGKAILTGQGLDYSSTFYWVSVGALIVFIVVLNIGFAIGLTIKRRTTQALVSRDKLTITQGKDHDNFEDMVDRAPRLRKAIPNTPNVTGKVLPFKPLAISFWDVNYYIDTPAAMRKNGYSKSKIQLLHNMTGAFRPGVLSVLMGVTGAGKTTLLDVLAGRKTGGVIEGDIRIGGYPKVQETFARISGYCEQTDVHSPQITVWESVLYSAWLRLPTETDPRTRHEFVKEVLETIELDEARDALVGLPGANGLSTEQRKRLTIAVELVSNPCIIFLDEPTSGLDARAAAIVMRAVKNVADTGRTVACTIHQPSIEVFEAFDELMLMKRGGELIYAGPLGHNSHEVIQYFQGIPGVPKIKDDYNPSTWMLEVTSISMETKLGVDFARIYRESSNYKDNEAIIKQLSIPPPGMADLHFRTQFPQKFGEQFKACLWKQCLSHWRTPSYNLARIVYVALSSILFGAMYWQKGNIDRINDQQGFFSILGSMYLTILYIGIINSKAVMPFVSVERSVMYRERFAGMYSPWAYSFAQLAMEIPYVVVQVLLFMFVAYPMIGYAWTVTKLLWFFYALFCTVLYFIYLGMMIVSFTSNSQMATIISSMCFVVQNLMAGFIMHGPQIPVWWIWLYRITPTSWMLNLFFTSQFLCEDDKSIMVSGEIKTVTSYAKDYLGYHRDLLPVTALMLAVLPVLFGVLFAYNISKFNFQRR >Et_8A_057024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20303819:20305516:1 gene:Et_8A_057024 transcript:Et_8A_057024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DFLLCTHLAMAYKMKGVFKGLKVISQIFVVKEHQMEIGFPTDVKHVAHIGWDSPTGSVASPSWMNDMNGSPDFTAQSNIGPSAGSSWASQDFDEPRDISPFGIFPENANQEANPCPDIPKPPRKSRRKNAGNDSPRASARSSRSSRSRSKSSFSSSTNNMVSSDKAT >Et_1B_010522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11194491:11195953:-1 gene:Et_1B_010522 transcript:Et_1B_010522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSEILLPLDQALRTVVTLGKQAAVSEALSIGVNYGQIANNLPSPSRVSWLLRSLRISKVKLYDADPNVLRAFLGTGVEFVVAIGNENVQAMVNPAAAQAWLSQHVVPYLRAGARITCVTVGNEVFKGNDTALQAAVLPAMQSVHRALGELGLQGRVNVTTAHSLDIMAVSFPPSAGAFHPAAMPHLRPFVAFLSATRSPAAGVVDANTRLRYDNMLYAQVDAVYAAIQALGHTDVEVKVSETGWPSRGDPDEPGATPENAGTYIRNLLQRIEMKQGTPLRPATPVDVYVFALFNENLKPGPASERNYGLFYPDGTPVYNVGLNSYLPSMMFSRGARQVLLYCFS >Et_10A_002213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4682297:4683742:1 gene:Et_10A_002213 transcript:Et_10A_002213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGWLASPEASVYHSIKEFTPAQLKEYSVKVEGKEEDMEVVRGLLDDSKHQLSEYGYRSLVANVPDDNFVLLYWRNRFDILFKTYGELLILVTDESRRHKVPQVTWMLFERSEQQNVYLKQDFSPVTGQPFEQRAGEWHKRFLEKVSEVNRNDTAAVAEKAAASRKRINQKKKEARRKKFQGSQIDADAAPVRLGTDNVLAVNLPEKEKGAEISESAYVVDGRVSLSQLAKIEETRSSLSWNFLDMAPAAGPMLSPDHKNMWMFSIDTMAPERSSERISGVWAFPYKLPWPPSIKAYLQDYNGV >Et_3A_024262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18996633:18998360:1 gene:Et_3A_024262 transcript:Et_3A_024262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSQEEASRMMHRVKWHQGRARIIDFDPKQEGGVYYNRLYYVDLATFDHNEESPIGPMRYTNRVSEPDQEPFTTCAGINIFSVKISTSDVGFPIQVYGTVIVRDSIDKKCIYLFRRDRDNCQLIDSEHGSLMLTGPKRGLALINDDYVEMDLKIKEHGGKVRHLSKGILMIKGIARRYFDQRVVESKSLATRLSTVDVMYAVVKDAVEATIAIKILQGDFHGEITAHTTSIKNRLVLFDNNNEVNDCMNGDATGAIGLLRPVISVSEEEMLVIVVTSDGKDERTVRFTPLLNGDDEDTVTVGAAKIRVK >Et_10B_002836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12197305:12197617:1 gene:Et_10B_002836 transcript:Et_10B_002836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSASSSHGAVASSSEASVEVDQQQQRKHPVPPLPPRRRRGREEGGGEEEDDEQVEKFFALLANIRELRRMYGASFAGDGAAGRGRKNRAGADDACAHDQN >Et_1A_009624.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:9740145:9740810:-1 gene:Et_1A_009624 transcript:Et_1A_009624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIMDPFAYELLARPPQPGFDHFCCGGAMDADITIEQVDDYLRAIGVLPPRLPPADGEFEFPSPAATFRLRFATDDADELPATTSEPAYDHGAAAAMIKNSAAAYDAEIDASLRATEKDAAERPSPDYLATTQGGRADAAARAALVQWMRDFSQIYHLDDAAVLHRAVSYVDRFLSARALPAEDAGDKHRLRLVGAVALHVAAKFEDTGAARDLKARDIA >Et_2B_018911.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:16222591:16225311:-1 gene:Et_2B_018911 transcript:Et_2B_018911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RWIPSVPRLGAALALTPRAPAPQNGREYSAAQDGGRHRKNTVPKRNARRDDGHCCRPLRPRRCVPPAHAHAPYYPETPKESQCQINSSPPPPPLVLLRFLLLLHSAPNSIPAEEAGRKAGDHRAAPRRPAGGLGFGAGRHSPCPLADRCSLPPAGSAEMDDLKQILARPIQLAEQVIKWSDEAYTFRQECMELKAKVERLAGLLRQAARADLYERPARRIFDDTEKALDKALALVDKCRAHGLVRRVFTIIPAGSFKKMANQLDNSIGDLSWLLRVSSSNTDDDDFDAHIGLPPIAQNEPILFLIWEQIAMLYTGNLDARADAAASLVSLARDSDRYSKLIIEEDGVPPLLKLVKEGRLEGQENAALAIGLLGRDPECVEQMVLAGACSTFAKVLKEGPMKVQAMVAWAVSELAANHPKCQDAFAQHNVIRLLVGHLAFETVQEHSKYAVTSKMSIHSVVMDKKNSTGASSHPDLLDAGEHSVMRYPNGNSMSQSKNEMHSLVQSTMAAKSNGGSAKHHIAANGGVVASKQHNASLSGTSTRGREFEDPETKAYMKANAAKALWQLAKGNAAICKSITESRALLCFAVLLEKGEGDVQYNSAMALMEICCVAEQNSDLRRSAFKPTSPAARAVVDQLLRVVEKAEYDDLLIPCIISLGCLSRTFRATETRIIGPLVKLLDEREADVSREAAISLTKFACTDNYLHVDHSKAIINEGGAKHLVQLVYFSEQVVQVAALTLVCYIAHNVPDSEELAQAEILTVLEWASKQAYMMQDPIIENLLPEAKIRLELYQSRGAKGYH >Et_8A_056063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15179553:15180062:-1 gene:Et_8A_056063 transcript:Et_8A_056063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYDEIDASICKSFATMSVSQSKCHIHNFRIEQVRGRKQMLEEFSRFAYINVIFHGGHGVGVDEMQQGPEHGGPDAMDSDTVRVCLVRHGFFAELCLERRRP >Et_4B_036982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13010743:13013420:-1 gene:Et_4B_036982 transcript:Et_4B_036982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPEIRDTYSLLVLNVSFRITPDDLFPLFDRYGDVVDIHIPRDRRTGDSRGFAFVRYKYQDEAQMAVDKLDGRMVDGRAIMVQFAKYGPNAERIERGRIVEKIPKPRGRSRSRSPRRRYQDDYRDRDYRGRSRSRSRERYERDRYIRGYRQCSRSRSISPDYDRKHSRYSRSPVRKSPSRSRSSSPRRTPSREAPPSRPRNDCAPRSQSPSDKA >Et_8A_056854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17960130:17960597:-1 gene:Et_8A_056854 transcript:Et_8A_056854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YFIFIFWDSSPAQFPSQRLSGHHHPRVAVVQGFLCGGALTRVLLLSSQKKPWSFGCQGGGWRLHADRSPETVRGTHAVRVSLPGGIGVGKFIRSTAFAIGGNSSCVRFDPDGNSSVDDFAFCFELLSANTKVRARANFKLINQDSGRTILFR >Et_3B_029808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28120860:28123069:1 gene:Et_3B_029808 transcript:Et_3B_029808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASPFLLPCLFPKPALLAAPLHSSLPRGCSLRCSPNGAAVPESPKPASRSGRKKSPSPSAPKEKTTRRRTKKADKEADLEGEEKPAKRTSRRTRKTKQEVTEEAAVAASRETEETNRGGEEEDAVDAGSDYDNGEDFADEWPPLVCCFGAPRWEFVPTVRVSERQMHPDQYSTWLHLQWEPPEFARAPGTAASNVAVSHTRLGGRAAVLGKVGDDDFGRELVYRLNRERVQTRAIKFDEGAATAIARMKVSFRDREDGKGGTKLVAETVKSPAEDSLRKTEINLDVLKEARMFHFNSEALLTPSMHDTLFRAIELSKKFGSKVFFDLNLPLPLWNSRDETKKVIDRAWKAADIIEVSRDELEFLLDHEYYEHKRNTPPQYYLEGFHFTRNWPQYYHYTPEEIAPIWHDGIKMLLVTYGTLRIHYYTPKFHGCVVGTEDALITPYTTDRTGSGDAVVAAAMRKLTACPEMFEDQDTLERQLRFAVAAGIIAQWTIGAVRGFPTESAAQNLKEQVYVPSMW >Et_2A_018563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3289883:3293722:1 gene:Et_2A_018563 transcript:Et_2A_018563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALGMMAADGVVLVGEKKVTSKLLQTSRSAEKMYKIDSHLACAVAGIMSDANILINTARLHAQRYALSYQEPIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKHHGFQLYMSDPSGNYGGWKAAAVGANSQAAQSMLKQDYRDGMTREEAVALALKVLSKTMDSTSLTAEKLELAEVFLQPGTGEVQYQVCSPEALGKLLTKAGLTQPASSEASVIQSEVQPSEPDRMQDHMEHVQPFRRLVQQEVALHHPHPTLQPLHLRRRYPLQHLPQPRDVGHRHGHRERLPPQHVGERQRVPVRHDDGHALGVDGLHHARARHLEPARAEAEARAPHGGVVVEPVGVRVAAALEHRERVRVAVLPVPEQEVADVAVPAPREEGEERPRRRIWRGRQELPRHVAVVEVYLRDAHVLTRTEALRGVGVAERADAVRVQLRVPPRRLGTLWVHPWLSRAQINRQQKFMAIDDRRRILTQSWQESMKWSAPAERFQKGCRDATTRT >Et_3B_029916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29047782:29055293:1 gene:Et_3B_029916 transcript:Et_3B_029916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSVMGQFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFQDPDAAMRACVDPAPVIDGRRANCNLASLGVQRSRPPTPQHGGARSFRVMKSFSQQAGIQGGLGAAFPSHATFPHYAIPQGLPYHVYGYSPYTPDYSYPPSYYNIYGGGQYPFYGGAATGMVTGTSPFYPYFQFGQSGNTTTNYTSGQGYNLQYPQMFHFSTVASTAAAVTGFAQQYGGPLSLAASPQAQAACIKVVKALRRACAPSQRQDQRSVNCASVKPGAILPQNGL >Et_9A_062759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4236281:4253351:1 gene:Et_9A_062759 transcript:Et_9A_062759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEYSCFFTGDAVPDVEAKRRWPQLYCLMRRPSEDGLTFTLAIIALQRRTMRNNTKDSPSEQKETLMASFRIERTSVVTFPSSTAPLALSAFDGMFVSVRPVLHVNLFATPTPSPPFPKLAESLKRSLAQILPSFHPFGGELTYLPSSSSIAIVFPENHACVTFIEAEMDIDFEGLLEAEELDSEALGLLVPDIRRDALPAPVMAVQVTQVKGGVAVGVALHHVVADGRGLFSFLDAWTAAAAGSNNPSPLLPLHDRKLLRFDGDEESNSAVLRRVAPHLPSIVLPQQHPVSRQTFQFTAAAVKHLKRQRIADTKAGEQLVPSTFAAIAAHAWVSFSRASGFDADDRPAFVRFFVDCRPTLMSLPKDQCYVGNCVVFCTVGLKGSELAAPAGLARAISAIGEAVRKLKADPLKNMEHCIAQHQWDRTFLVSGSPWFPAYEVNLGFGRPARVERACLGGKSEACLTAGRDAGSVQAMVAIPAEKMPAFRQEFMASEAILDVKRHYLRASVSGSVLCIGDCASIKGPEGKPHYIGRLLEFETAAGKYYCSVQWFFRAEDTVMNDQTQCHDQTRLFYSELKDDNVLDCIISKVTVVQNNGKSKLSPSFYYYYDMKYSQEYSTFSTMSNLNSQTAGDTSGTLQSDFTSQKQKFPVAETRELSLLDLYCGCGGMSTGLCNGARDGGVNLVARWAVDGDAVACESFRLNHPNAQVRNETTEDFLELLKEWEKLCKTYVKLRSKVKVYSDVSTESSNESTVPPGEFEVSKIVDICFGHPTSAEKRGLYFKVSHDLNCKEAIGDFVVDGQRRKILPLPDGVDVICGGPPCQGISGYNRKREFEDPLKCERNKQIIVFMDIIQFLKPKYIYMENVLDILKFADGTLSRYALSRLVAIHYQAKLGIMAAGSYGVPQFRMRKLPPFPLPTHQAILKNVCPLVFKHNLVRWTNSLSMALEKPIVLEDILTDLPEVTNGERRDEMLYIKEPKTEFQRYIRTFTSGHVINAESKLYDHRPWSLGNDNCIRLSKIPKKKGANFRDFPGVMVGPDNVARLAPTKERELLPSGRPLFIKLPCRPYGRLWWDEVVGTVVTCPNPCVQPLIHPAQDRLLTIRESARLQGFPDSFRFHGTVKDRYRQIGNAVAIPVGRALGYTLAMACLNMTGDNHLMVLPPKFAFSHDVNGLPSSNSILN >Et_6B_049376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4069208:4073498:-1 gene:Et_6B_049376 transcript:Et_6B_049376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARENQQQQGISSAAAAAKERSMRKGKESGMEKQKEKGTEERKEKGTEKRKSKKGRAEARKGDTVGAGHHFFKVFFPQQSGERLKIPQSFCKYLKEEPSRPISLKGPSGNTWQAMLTSVEEGLGFTQGWKEFVGDHSLQQGHFLVFTYDGHSEFSVLVFCKSGVEDESALDARPSKERVVKEEEEEGAQDADAAGASEREASALPSVEGNGKTRKRARQGMVNSSTPKRRLSVQNKPEKRKPEAFVDTSKAVSTVPDSNKGKRSIIFLKHFKLTEYCIVVHLTSFDLCKLLHFTDFSCMLDEYSSYNKSLSTRKDVPRMGKAVSKKSRQPVVISQRRRITDEEKAHALKTAKEFKSKNPFTLQVMMESYVYVGFFMNIPCEFAREWLPRTCKKMTLWDPQGKPWEVQYVYYSERSVAAFSGGWGKFAVGNNLEKFDVCVFELLKDDNIKVHIYRVVPEVTPLLRKRSIPGGVQDLHIRFLLLGDQLVGCRIRFMPLLWACLAVVLRFASLCSLLVADR >Et_4B_037771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23220209:23225048:1 gene:Et_4B_037771 transcript:Et_4B_037771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQGEQIEELDKDVMRVLSIEAEKEYVEGEMKKVNLERMVLRAFVPFMLPAVVAMLTVADPAAPVATLADARVFIRPYKILSEIIFFLKLLNFFKISVASSSSPEESPSPGDSVFELKDTQSYNCKDDQMITQCTVARYQTKSCTKCVVACCDLHYSNMGHG >Et_6A_047947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2562516:2564649:-1 gene:Et_6A_047947 transcript:Et_6A_047947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPYPDWSDLPADILGRVLGALEFPDLFRSSAVCRSWRATARDLRRFGLYTRPQTPCLLYTAGAGARAAELYSLADKGTYTVPLPDPPIGDRYIIGSSHGWLVTTDARSELHLLNPATGDQIALPSVTTIEQVRPLVSDDSVRLERYEYFYYDGNLRSKDMDTSVPYLLDTFREHFYLKAVLSSDPSRGNYTVMLIHQPKYQLSFVRSGDTKWNWVKFENNTQFSDCIYHDGVFYALTFHGAIHAIHVSCDSCTQRMVLKNPMAKIWNIYIVRTQEGEIFQVLRIAEYGDNVEPPELRSTEFLVYKVDYEKLSVALVDSLGDNALFVGITNSTCLSVKDHPQLLPDHIYFDDDNEYWLQQKGGRRDVGELASQLIPDLFPVDP >Et_9B_064786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18620195:18620883:1 gene:Et_9B_064786 transcript:Et_9B_064786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGVSNYYAVLGVSRGASADEIRTAYLKQAMVSARARRCLSRCCYDQIIMWHPDKAMYDAGLYDPSADKDEDVLLNDFLGELVAMMDNYDEVNKTERRHAQRPVAQQNDY >Et_1A_007638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36701038:36707001:-1 gene:Et_1A_007638 transcript:Et_1A_007638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPILPSRSVWRGDRALACPVVSSILCGASAHARVLVRGVSESACECGCLRSSLCVLGLACTGFGDYIRHWSLHGSFLTFQGGDPCGEGGGREQWRETLVGSELVDGMAQSSSRNLAANKFEGNMPSSLPWLPSLKNFSYNRLSGVIGEVFVNMDTLETMDLSFNVFSGNLPRSFSSLTTFIICLMEISFNQNSFTSTATSASTSISVSTSASIWTTSTSSSAEFKAQAEISKAFFWLSNSHHKKSQSRVTAAAIGSATCICSKAGKAVHADLRVLLTIPKLYQPTLKEIGSETSSSNNDITSVPKIKSWSKTSKSLPTAKQFPAADILATTRNFSEECLIGEGFTGRVYRGDFPDGKKSWMKIALGVAYALEYIHVTCSPPVAHGNIKFRNILLDAQLMPPLSDCGLTKLSHFASTIRMKDSEALTSGKGYAAPELVDPGADSIKADIYSFGVILLVLLTGKKAFDSSRRQSEQFLVNWAIPHLCDVDCLKRITDTRISGYMPPEAISSLSEIILLCINQSPELRPLMTDIADKLVKLVQTTGLQKTSTTQHLEGDTQDSSFVTTRPYFEPSSTVSQSGTESCISR >Et_3B_028514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16387572:16391292:1 gene:Et_3B_028514 transcript:Et_3B_028514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSQVEEDDASADSDGCSGRLSFAVGAEVEVRLDGSGFRGAHFEATVAARHPASDGYDVVFATLLAHRGGPPLREFATATHVRPRPPRPPPGRKFKRFDLVEAFHDDGWWPAVVSVVRRGRKQRYAVSFPLFREQVDLPASLVRPRLEFMFGSWEDAQNRSRVLRGIPLYQEGSIVEVMCDEEKQGRAWMPATIIKMVGDASYVVRYGNGKSSTEILHSSFIRPPPVFDRTKFEYELEPSAEVEVYQDGIWTGGVVAAIGFSEPRRYGVRIKRPYTADEDKYLLVSSSPLRPYSKWDAPQWWRSNKKHAEKGDYAVFAEESFSTAFSTLNKNSDEEFCSPKLSKASRDKESDIETARQLSETFLVCGGRLRLLRQLAGGRRCCPYGAEEVGDEVDDAEDGEKPVEVVEAAVVDGVAEPWRAGLDERDESAAEEEAERERGEEEAGAHGLHALGRARDEEVELPRVDERLAGADQQELRREHEHADGQRRRRAGRRRGGGDGEALLLADRGGGHAGDGEDEADADLLEVGEPLAAAAGRREAPAERDEDAVVDGEGEHDGADEEDGERGGGDLEPTAAPDAAVHGGGLLDGEGDHLRVDGPEQDGGGPHRHQPRHHLHLLHARHGAELPRVGRRAPDLVAAGNHRRLVQARELRRARDARVLRAVLVQRLGGVLELQAPLPCGGDEDLEDVDDGAPRAAPVAVPPGAGEEDGDGGEHGAGADAVGPAPADVVLDVHQDRDGQERADADEEEEAVEEEAHGGALAGVALVELVGAEAGHAGLEPAGAQRDQVQAHVQHAHLDPRRRLARRRTQLLHLRRHRQEPRALLVAQVEFISLVTTLTTR >Et_1B_012831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35434749:35440293:-1 gene:Et_1B_012831 transcript:Et_1B_012831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIILTYIIRCSVRQVRPVPFVRSSGVAKRTGGANFRQHGQPAKELETFKVSLGVNRSYSWRRASTNSIPNAISDLNGSFSSRQVASARSFSSNADLPPHQEIGMPSLSPTMTEGNIAKWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGAKEIKVGEIIAVTVEEEGDIEKFKDYKPSSSAEPAAPAESKAQPEPSQPKVPLSSVKGTGPDGRILKADIEDYLASVAKGGKMEAHAAPGLGYMDIPNAQIRKVTANRLLASKQTIPHYYLTVDARVDKLITLRGELNPLQDVSGGKKISINDLVIKAAALALRKVPQCNSSWMNEFIRQYHNVNINVAVQTEHGLFVPVIRDADKKGLGTIAEEVKQLAQKARDNSLKPADYEGGTFTVSNLGGPFGIKQFCAIINPPQAAILAIAEKRVIPGAADGQYEFGSFMSATLSCDHRVIDGAIGAEFLKAFKGYIENPTSMLL >Et_4B_040100.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9691241:9691708:1 gene:Et_4B_040100 transcript:Et_4B_040100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPVMVDLLGSVDLMRPRRSTVSRNATAPPPSAAPAAAAALSTEEATRDIQDLGWRECPVGSLLAIRAGLRAPAAAIGASPMPLASLAPSPRSTMERVSPPSLLSASSPLPPALPAPARRKRSGTGKGKTAITSRKRRMVELLTLPSVEMATSD >Et_8A_058206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2394201:2395448:1 gene:Et_8A_058206 transcript:Et_8A_058206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTTTDAAASDGYDRRCELQAFDDTKAGVKGLVDAGATAVPAIFHHAPDPHPHVTSTTAADSIPVIDLSADGERDAVVARVRAAAETVGFFQVVNHGVPCGPALLAAVRRFHEAPDADAEAKRAYYTRDASRRVRFNSNFDLFESPAANWRDTLFCEMAPDPPRPDEFPAAVRRVMPEYGAAVRDLATRVLAPLLSEALWLAPGRLGEMGCVDGLSVVCNYYPPCPEPRRTLGCSRHSDPSFLTVLLQDGVLGGLQVLLDQGDGRRGWVDVPPRPGALFINVGDLLQLVSNGRFKSVEHRVLANESKDTARVSVASFCNTDIRRSTRLYGPIRELLSEDDPPVYRSVTVREFLAHYDRKGLDGRPALHYFLLDHPPAAASTSV >Et_5B_044515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3036418:3049199:1 gene:Et_5B_044515 transcript:Et_5B_044515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPTDLVQAFARSAALVRDLEFGDRVGLVVLGTPVDYGAIAAAAEAAALEGQDLHFHCLYLGGPGISDVGGAYGLYHVATEELLYDVRPARAIVLRRPDNVGVVVADVALATERASTRVSRLPARRLGLYDSSFFPLRRLRAGETNELLERRLLPPFMTALDYTGRVVLLRGLDTESRVDAVDVARFLEEHRKLNVAAVVIHDSLKIVLVAVETPEDAQLLVQLPAETYWVGALAQPVDCSIWPVPPDPPVPPAVIAPPVPALASVRDIFIDMLDTCHDYDLRRAVTGLCGLAAIACPHALRRNNFCDRAVLLTGTARVHTSESALRSLYGDVVEVATIVRCLLEPVATQLSGDPSTVHRRCVFGRCFDRSPDAVRDDFAPFGPIRGGHRLPGRSQRRDGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKNPRRIFEGEALLHRMNRYGLLGEGQNKLDYVLALTVENFLQRRLETIVFKNGMAKSIHHAHVLIRQRQIRFARTAPFHRLRDLMAVLSVVHPEVLEDPNFMDMSVLVDGVTPTTTPMDLMESFPLLSARAAVVVRDLETGHRVGLVVFSHAADVSSALNSTPRTGFMPTAPRNGGDARDNILAALELRALRSPTAELLRSLLPPQYLIEDAEMDLHLRCLFFSGAAGMRGGAYSLCRGARDELLARGPVQAAILHRAGDAAVLVFDDAHTAEMLARRAPRLLLRLGVGMHDSSLLPLPADASSWRFPLLRRLLPPAVMSECFLCRVLLLTGLDTQRCDAAEIAYWIQHRLDLVYEDHELESVIVHRSHCALCILDGLVVRADFSERALILTGVEGDWVELCDRLSSGYGVLEDFVYEGVQKIAIAVFKSWSGAACLLQQTSDTWIQLGFEECERAPDFEHARSIESDQRLANER >Et_8B_059805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2930667:2931204:-1 gene:Et_8B_059805 transcript:Et_8B_059805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFHKQEFGSKKPKKGAVPPPSEGTKMNIVLMYHYYGWKEQCLRVLQSKFDSQKRSFAPGHEIVEALKNCSSEANFKQVQKLWMPFIRFKKDESREVDPHALDLRHSFGEIDVLEDNLDLIRRQLGLEHVEVLPASDDVARAKYLPSTAEPVAIFMTKEEFE >Et_5A_042935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7274727:7276737:1 gene:Et_5A_042935 transcript:Et_5A_042935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAGEEEAAAPAASQEPEELADEQGRALAAALDAVSALVSDSLSASLFPLKWQLIRDRLNRLHAGLADITVDDNGNDGTRPDAFADLLRAVAATARAGRELVPRSQGRSYGGGKLRLRSDLDVLSAALDAHVARLDDLHASGALTRARALVVPRPPPGAARDDVRFYVRDLLARLRVGGGAEMRREAAAALADALRGGGDRYARAVVACEDGVGVLVALLECPDPRVREEALDAVSSIAAHDAHRGDLVVGGVVAPVVRVLDDPSAGAGPGVRERAARVLCRLTENSDNAWAVAAHGGVTALLNACAPDDDAQGESDELACAACRALRNLAGVDEIRKYMVADAGAVPVLVSLAQSATDDASQIHAMELLAAMAGAAGDGDGSVRAAMIQEGAAESLVAALDPSTPRSSKAREVALRAIDALCLSSPSPGATDRLLAAGFLDRVLSLLRRDSNGNGDTALQHCALKAAHRLCCRGHHHASEEEATRKAVGDAGFMPELVGVLLRTKSPEAREMAAEALCALVAVHRNRKRFVQAEDRGGVAQVLQMLRTDEEKPTATTRFLLSTLTHLADSSSGRRKMVSSEHVRNLEKLAEANVPEAKRIVKKLGGSKLRSIFHGIWSL >Et_6A_047661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9273516:9281245:1 gene:Et_6A_047661 transcript:Et_6A_047661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTELAPGDHVLPVFTGECKECAHCKSEESNMCDLLRINVDRGVMLSDGKSRFSINGKPIFHFVGTSTFSEYTVIHEGCLAKINPEAPLDTVCVLSCGISTGFGATVNVAKPVKGSTVAIFGLGAVGLAAMEGARLSGASRIIGVDLNPAKHEEAKKFGCTDFVNPKDHDKPVHEVLIEMTNGGVDRSVECTGNVNAMISAFECVHDGWGVAVLVGVPHKEAVFKTHPMNFLNEKTLKGTFFGNYKPRTDLPNVVEMYMKKELELEKFITHSVPFSEINTAFDLMLKGEGLRCIMRMAMATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILFTSLCHTDVYFWEAKGQTPVFPRIFGHEAGGIVESVGEGVTDVAPGDHVLPVFTGECKDCAHCKSAESNMCDLLRINTDRGVMIGDGKSRFSINGKPIYHFVGTSTFSEYTVMHVGCVAKINPEAPLDKVCVLSCGISTGLGASINVAKPPKGSTVAVFGLGAVGLAACEGARIAGASRIIGVDLNANRFEEARKFGCTEFVNPKDHNKPVQEVLAEMTNGGVDRSVECTGNINAMIQAFECVHDGWGVAVLVGVPHKDAEFKTHPMNFLNERTLKGTFFGNFKPRTDLPNVVEMYMKKELEVEKFITHSVPFSEINKAFDLMAKGEGIRCIIRMEH >Et_5B_043943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18091910:18095080:-1 gene:Et_5B_043943 transcript:Et_5B_043943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASTSSASSSTQHLYLQPRQDDNSSFASFTCSGERAPLLLPPGKKIMAATADEKGETLDVSEDTAHQISVDPWYQVGFVLTTGVNSAYVLGYSGSIMVPLGWIAGTCGLLLAAAISMYANALLARLHEIDGKRHIRYRDLAGHIYGRKMYALTWALQYVNLFMINTGFIILAGQALKATYVLFRDDGLLKLPYCIAISGFVCALFAFGIPYLSALRIWLGFSTLFSLIYIVIAFVLSLRDGMTTPARDYSIPGSHSSRVFTTIGAVANLVFAYNTGMLPEIQATIRPPVVKNMEKALWFQFTIGSVPLYAVIFMGYWAYGSSTSGYLLNSVNGPVWIKAVANISAFLQTVIALHIFASPMYEFMDTRFGSGRGGPFAFHNIMFRVMVRGGYLTVNTLVAAILPFLGDFMSLTGALSTFPLTFVLANHMYLVVKRHKLTTLQKCWHWLNVTGFALLAIAAAVAALRLIMVDSSTYHFFADL >Et_4B_036017.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13696676:13697365:-1 gene:Et_4B_036017 transcript:Et_4B_036017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSFILFLIFYFAFFDREVAVSERPSKRTGRASNSTSSSTSGPDVWADLLECLLHQIIALLSSFHDLLAFRSTCRYWRTAFFSFQSAFSSSIPPLLLKPLTRYPSRNRSQGMYSFLYNCEWQLIDPVKQSSSCRRSPPLNPPKGMNYLGCSYGHLIFSNLDYCLLVDAYSGTVVRSPRLKSTDNCRIICGTVVAPLNSPNSCLLLFSAWSLFQWEVGSNLVRVWSILL >Et_3B_031236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22108244:22109017:-1 gene:Et_3B_031236 transcript:Et_3B_031236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDTEGDGEEFMNEVGSISRTSHVNVVTLLGFCLQGAKRALIYEYMPNGSLEKYTFGSNSAEGGNSFGLAKLCRQKESKISIAGARGTTGYIAPEVFSRNYGAVSSKSDVYSYGMVVLEMVGARKQIEVSTDSSSKYFPQWLYDNLDQFCGATCEISSEITELVRKMTIVGLWCIQFTPADRPSMSKVLEMLESSTVDLPLPPKAF >Et_3B_028848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19839695:19845547:1 gene:Et_3B_028848 transcript:Et_3B_028848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPVTPGQVSFLLGVFPVLIAWIYSEILEYRKSSSIKVHSDSNLEKETVVEDDKAVLLEGGISKSPSTKLRNFSTKTNLIRFITMDESFLLENRAVLRAMAEIGVVLVYFYVCDRTNIFPESKKSYNRDLFLFLYILLIIASALTSLKKHNEKSAFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIKKDFSLARFAQMMWRLNFFVAFCCIVLDNDYMLYYICPMHTLFTLMVYGCLGLFNKYNEIPSVMAMKIAGCFLAVILIWEIPGVFELLWAPFTFLLGYRDPDPRKSNLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESETKRRLSIKGTIVTISVVAGYLWYEYIYKLDKITYNKYHPYTSWIPITWLGKITLETYISQIHIWLRSSMPNGQPKWLLSFIPGYPMLNFMLVTAVYLLGKKAKKVKNIISVRGSLL >Et_6A_047231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:27085108:27088055:1 gene:Et_6A_047231 transcript:Et_6A_047231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVPSLRFVLLLVASSVLLQAISTSAITCHYKHGIRQIRTGWFDGPAYITQCPIQPGNSFLYNFTITGQRGTLFWHAHINWLRATVHGAIVILPKLGVPYPFPTPHKEVVVVLGEWWKADTEVVINQAMQLGVGPNVSDSHTINGHPGPLSDCASTQDGFKLNVENGKTYMLRIINAALNDDLFFKIAGHKLTVVEVDAVYTKPFKTDTLLITPGQTTNVLLTADQSAGRYLFSVSAFMDAPVQVDNKTGTATLHYANTVSAAATLTLIKPPQQNATRIVSKFAESLRSLNSKEYPANVPQTVDHSLLFTVGVGVNPCTTCVNATRLVGTINNVTFIMPSTPILQAHYYNIPGVFTDDFPSTPLHKFNYTGSGPKNLQTMKGTRVYRLPYNASVQVVLQDTGIISPESHPIHLHGFNFFVVGRGTGNYNPKTSPSTFNLIDPIERNTIGVPTGGWTAIRFRADNPGAWFMHCHFEVHTSWGLKMVFVVDNGKRPSETLIPPPKDLPRC >Et_1B_010263.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:33347616:33347894:1 gene:Et_1B_010263 transcript:Et_1B_010263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAPPQPVKGGKPAAAGHGHGQQQEVNSNVMCGDVGFRMPLHYPRYKKADYEAMPEWRVDCLLREYGLPVAGGLDDKRRFAMGAFLWPDQY >Et_8A_056661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14745935:14747547:-1 gene:Et_8A_056661 transcript:Et_8A_056661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRCRRRLHETPTQRQKDTFVVQLLERMPRGSENCPLKASSAARSVPLPLMFEATLGLGEDKAAPVTRADRKMDNNTSAMEQLAAIGVKMFLVSETGSHHTTAGVHCRCSLSLQDAMLEHREEQITTCLQQASNGT >Et_8A_057009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20068443:20071600:-1 gene:Et_8A_057009 transcript:Et_8A_057009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAPAAGDGKEKSACELCGAAARVYCGADEATLCWGCDAQVHGANFLVARHARTLLCRGCARPTPWRAAGARLGPTASLCDRCVHRGGGPGGVVGGAGAAGGDVEMAGEGGEEGDDDDEVVVEEEEEDDEDEEGEGENQVVPWAEEAEATPPPIASSTSSSSREVAANGGNAVDCAKEDIPCSTSAVHGGQNDEATSSRNGGRLVSSRHRKRSPSDFLRSGSAQSGSGTPARNCSSASIGRNGEKKTVETHARLLALWCESGSELKHFHSSNGVLVRRHRDRHMPETTSLIVATWPQYGSERSGRGVTQRSGKRSLQERTSWV >Et_3A_023669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10709012:10714127:1 gene:Et_3A_023669 transcript:Et_3A_023669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASTLEIEARDVVKIVLQFCKENSLHQTFQTLQNECQVSLNTVDSIDTFIADINAGRWDAVLPQVAQLKLPRKKLEDLYEQIVLEMAELRELDTARAILRQTQVMGVMKQEQPERYLRLEHLLVRTYFDPAEVYHESKEKRREMIAQAIASEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDELETYPSNLSHQIKFGKKTHPECARFSPDGQYLVSCSVDGIIEVWDYISGKLKKDLQYQADESFMMHDDAVLCVDFSRDSEMLTSGSQDGKIKVWRLRTGQCLRRLERAHSKGVTSVTFSRDGTQILSTSFDTTARIHGLKSGKMLKEFRGGDFVAASVSPKGEWIYCVGEDMNMYCFSYQSGKLEHLMKVHDKDVIGITHHPHRNLVATYAEDCTMKIWKP >Et_3B_029935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29174574:29184626:-1 gene:Et_3B_029935 transcript:Et_3B_029935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRSRGGRRGRGRGRGAAAAAAGTRHKRAAAESEVIEVGDDGKSALRTKRRIRIFTNAVDESEEGQRDAPKEEEEKLPRRRRSKRLRETYKSEYVNDETSEDKDTSLSISDDDHDNLGPRRRSKRFGNKLGTRTIVTDDEDDIDTVYYDELLHNSVKASTEISGGPICSLCKSGTARSHLIQCRNSNCSSSFHTFCQNPPLQDDIQTSECSLCKINQVALANLTEEHSMKKIEKIVGHRMKTVQECNLQNQFLVKWHSLSHRHDCWVPLEWLQVFDLLRVQSYLNKNTLLKEVYSEDQRKPEWNEVDRAIACRMNPICQDGVIPEEVQNVALFDYQLQGLQWMLDNFKTKRNVILADEMGLGKTVQVVCFLNHIIRRNLATFPALVLAPKSILLQWEKEFGRWASSLNVVVYQGDKESRKCIQGHEMYSSEGKILFDALVTSYEFVQIDKAVLQKFKWSTIVIDEAHRMKKLDCNLATCLKKYRSDFHLLLTGTPLQNNMLELFSLLHYIDPDEFSDPNADGLFAPIESGRELTMEDKIARIHDILKPRMLRRMKSDVLTDSIPTKKWVEVPCALTDSQRELYIAIFEKNYSKLNGAIKNGRKLALNNILMQLRKCCNHPYLFPGQETNQQKDASNSLVAASGKLQLLYKLLTRLKERGNRVLIFSQMTMMLDILEEFLDDLGYKYVRIDGQTPLSARQESIKEYNRAESETFIFLMSTRAGGLGVDLPGADRVIIYDPDFNPFMDLQAQSRAHRIGQTRPVVVYQLITKCSVEEKILQKSKQKLAIENILMNSSKKPDADELQSILLHGAKAIIDRKKVNATSIQYDDEAIENLLKLDPSAEEKCSTDDNGYIGSIVSFAHGAEDEEAGSPKVEDLKVLKPATPKVDLGRGKRQRRAVNYADAVENSDSDDMYAPEGSSSSSSSSDDDDDKDEPDILMSGLMVPVLEGPTGLLDAEPYNAIVAPVYPALEASKDSSSGSSSSDEKGADIVIPALESPRTLSSSGSSSDDDDPGRKGCS >Et_2A_017906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7724244:7727086:-1 gene:Et_2A_017906 transcript:Et_2A_017906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVGRAIDDEPVSCLRGVCERDPGPGVLLIKVLALARKANVRFPYSDDEGHHWVVDKRPGDMIREMNGSHAFVLVSKVTGEAISLKMASWSSNKTRKYNHFFPFDRSIILSPTNPNFLDVPVLWTTSPDLGHGFRCIHMVDNTSANFDAFQDGKDVHGEGRDGTRIGLSHWGGFGNGDDHNLKWKIVPWNECAAQGLCIGHHLDVEFL >Et_2A_014770.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:13672746:13673090:1 gene:Et_2A_014770 transcript:Et_2A_014770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGSCYEDIAQKWVCQRKFDGVNIVTASILRSLWLTRNNHVFQRQEWRSMKSVLQLALKLTRSWAPMHQESTLSTTEKWCIFLEQQLQAPLMIKGQESTSEVNPEDAPASINE >Et_9A_061181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20845072:20846585:1 gene:Et_9A_061181 transcript:Et_9A_061181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IPLLSAAAAAAAEGAAVAFEIPRGAPAFGVQKGVTAEQCRYILNDPRFSAPFRVGTAAAIHLGNTKSCIAGYGDGDRGSVYRFCIPSWVAFTDEGTLSGEAARNHAALSPETAFSGFSRLLRRSPEDVVLKKEMELMPHYNFTWDLGSAAFQLETGRVLSPQYFSGIIMSELKHMAEAHLGREITNAVITVPRHVNYGGRQSVRFAGSEFAGFRGVKVVDEQIAAAAAHGHHTEQGDDFTARVVDYFVELIKQQNGIDIRHDKMALLRVRTECERAKKALSEHEETIVQVDSLVDGVDLSAPLTRAKFEELNHDLFERAMALLDWVLMNASPSGGAAQGLGRRDHSCRRQRQDTQASSARQGLLPWQGAKQRN >Et_2A_015150.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33038060:33038266:-1 gene:Et_2A_015150 transcript:Et_2A_015150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCRPIAGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >Et_4B_039174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8481001:8484819:-1 gene:Et_4B_039174 transcript:Et_4B_039174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQVANYKLGNEFMKEIQKTRKRTKRSGHSCVGCRGDAGIFFSISCKIRYIKRLKESSNLSNLDITSAMVQIKEFRIVMPMSMEEYEIGLSYSIMKMEQQNTNSKEGVEVLQQAPFEDEKLGKGQFTSKAYHLQSKIPSWMKGFAPASALTVHEDSCKCSLTIDTVNRPDNGCSDNVHNLTVEQLAARKVEIINITSISRDYWSKVISAPKVDLTAFKSQKTQRGPLLKGWMDSCRPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWIDEWYGMTLEQIREMERQTDMLLKKTLKKPGKTGSKHDGKRKTLKDEIAVVGSCT >Et_7A_052037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3968562:3972290:-1 gene:Et_7A_052037 transcript:Et_7A_052037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVMHRSSSDGGSSSGWSDAAAAVAAAAEERAGWEVRPSGMVVQAREDGGGGGAPPRPPPPEIRVRVKYGAARHEVPVSPIATFGQLKKLLAPRTGLQPADQQLTYKGRVRSNSDYLDACGVKNKSKLVLAEDPATLERRYIERQKNAKIESANRAIGAIALEVDKLADQVSSMEKSITRGNKVAEVQITTLIELLMRHAVKLEGIPALGDSSSHKNIQAKRVQKCVETLDVLKVSNARLQAVVVTTKWETFDAPATPQWELFD >Et_3A_025052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26418529:26422477:-1 gene:Et_3A_025052 transcript:Et_3A_025052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVASEAPVGVFSIGPSTALGRAVALRVLLCGSAARARHRLAAALRAALPLAAAWLHPRDNTRGILLAVCAVALLLRGRRGRAGLRARVQSAYRRKFWRNMMRAALTYEEWAHAARMLEREAAHSRASDADLYDEELVRNKLRELRHRRQEGSLRDIVFCMRADLLRNLGNMCNPELHKGRLQVPRLIKEYIEEVSTQLKMVCDSDCDDLPLEEKLAFMHETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIVSGSSVGSIMCSIVATRSWPELESFFEEWHSLKFFDQMGGIFPVVKRIFTHGAVHDIRHLQVLLRNLTSNLTFQEAYDITGRILVVTVCSPRKHEPPRCLNYLTSPHVLIWSAVTASCAFPGLFEAQELMAKDRFGETIPFHAPFLLGTEERTGVPTRRWRDGSLESDLPIKQLKELFNVNHFIVSQANPHIAPLLRLKEIVRAYGGSFAAKLAELAEMEVKHRCNQVLELGFRLGGLAKLFAQDWEGDVTVVMPATLAQYSKMIQNPSYSELQKAANQGRRCTWEKLSAIRANCAIELALDECVALLNHLRRLKRSAERASASQGHGPTIRLCPSRRIPSWNLIARENSTGSLDEEMLISPTHLNSWTRSGGPLMRTASANQFISFVQNLEIDTEFRTVPSRDDGTDLVTPNTGYSHDTTAHRPTFGSSTSIVVSEGDLLQPEKTENGILFNVVRRDAILASSSRVESLGSSRAADVETVHTDHCSVSASDDEDMEINFVDDEVSDTTSGKDIQDQGSSVAETVHQSSSFDCEDVTNTNKPEAASLFNICTEIHPPIISVEESLPEEPSAKAELEKAKTECPDDNCADRKDEVGSSTAN >Et_9B_066186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6068741:6070419:1 gene:Et_9B_066186 transcript:Et_9B_066186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKNGDLVAARRVFDGLVERTVLVWTLLITRYPQGGYAEEAVEFHAGPVKKGKEKFTLMQKDYGLIPRMEHYGCVVDLLGRSNLVQEALDFISNMPCKADALVWKTLLGASGDTSHPKAEDIYLKLIKEIKDIGYVPDTSIVLHGMPEQLKEPCLFRTMRRSLSR >Et_4B_040026.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:803959:805209:1 gene:Et_4B_040026 transcript:Et_4B_040026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPRLAGAPLRIASSQAAFSARPLGPCGRDADAAAASDAIVRLVAAGGSSLEADLDRLNPALSHRLVTTTLRALTDRGVPAARFFAWASLRRGFTPSAHAHNLLVDNAGKLADYPAMSRALALMSERRLSLTDRAFAFLAPPGSSRSNSVEEVAGTVLKVLDDVGGPCRASGLFSLVKALATIGEFGAAAAVIEETAKTARHYNVIVAAKCKTGDFIGAREAFDEMRKSGCAPDANTWNYLLGCLLKNGRAAEACGLIESMEGSKSSEIPNSLTYEILVYHACKAGKMDSAMRILDEMFLANVTPRITMHTAVIKGYFYAGRIEDACKYVSDMSTRDRHSTNRNYSLLAKLLRNSGRVIEAGRVLYELMDKGLRPDYSAYVKVAKDLHKMGRGDLAAELKSMFHSFNADADLGR >Et_7A_051100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14458558:14459797:-1 gene:Et_7A_051100 transcript:Et_7A_051100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTTTTMLKPVYSSPHPLAGEKVPLTVFDLAAFDIFVPTVRAYTAPAPSNEAIKEGLLKAVALLPHLAGRLAVDGHGRRFLDLNDEGVLVVEATVSADLDDLLSCGMAANFDDMYPTFPDLRGLDWIAW >Et_1A_007881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39158370:39160922:1 gene:Et_1A_007881 transcript:Et_1A_007881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRTHLKLTLCLAFCFLRLHAFPFPIPFEEPYTNQQDVDAINDLYAALGSPDLDGWTDSGGDPCKEAWQGVQCDGPNVTAIELRGAGLGGKLSETLEYFAVTRLDLTSNQISGGIPQSLPPAVTKLDLSSNDLSGDLPVFMAKLSSLSTLYVQNNQLTGTLDVLGDLPLEDLNVENNLFSGPIPEKLLSIPKFLRNGNHFTILPIPGDSPTPPTHVPAPAAPDEPQVLSGAHPPIFVIPATPQVDSPPRHSNRVSPAKAAGFSILAAGSLSIAAVAVVFTVSKWRRERSPHGGYLRRRAEMSTASWVWEPARPPSAISKPDTERHSGTERAGKDSVVPEQSVQGCSEVQLSQFSFTFFTVAHLKQGTNSFSDQNLIRETCFGKFYLAEHQASKFVVLKLDGSTAKTPVAEFLKIVQGIYELRHPNVDELVGCCVDHGQRLLVYTHFSDTTLSDLIHSEHPAETLRGTLEAAKSLEYDTIIIIISWSLISCHWMNNFSCFLYTKKNSCFLSLTRCLHEGSQRQAVVHRHFRPEHVLVDGELRVSVSGGGLAP >Et_1B_010576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11847967:11848989:1 gene:Et_1B_010576 transcript:Et_1B_010576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPQPDLEAGVTAAAAMAVGPSPASLTVARRAPRPRWMLAVACESPRLQWAFVRKVYGVIALQFLFAISAVAVPLYVPAIPRFFNTAPHSAAYSVLAVVVAAPFAAVWPLIRCRGKQPANLLLLCLVTDLVSLSISVLLSTYIAYVDTIVLQSVILTEAALISLILCTFWSAMRNDEAGFYILPLLVTTFIFLAVHFTIQGVHPLGNIYLTSWGCLGTVMFSSFIMHQPKQITEHKHDEYVLAAISLYADLIKLRCLR >Et_2B_021377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29091320:29097248:-1 gene:Et_2B_021377 transcript:Et_2B_021377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASDGVREHLLDLDGGLADGAGAAPGEPKIRVRGLRRLSETTGDEILRGVDLDVPRGVVMGVIGPSGSGKSTLLRALNRLWEPAPGAVFLDGADICGIDVLALRRKVGMLFQLPAMFDDFPDLSSCVCAVSGTVADNVRYGPQLRGKKLTEAEVKNLLSLADLDPALSSKPASELSVGQAQRVLLLDEPTSALDPISTQNIEEAIVRLKKTRGLTTVMVSHSVKQIQRIADLVCLVVAGEIVEVLAPSQLSDAKHPMAQRFLELS >Et_6B_049733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9770605:9773923:-1 gene:Et_6B_049733 transcript:Et_6B_049733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPKITDPNTKTNTAYNSQPPSMLHSDDGAGKDNPEALVSSTRFIASDSFCNLETPSFEEALRTSPLGNEFVGLTKEQTQQIEIYVLVGPRRVLSRIFNQIAARAAQSKEASSISTKAAEKLAEDIEKCLKIRSGLDLDNSPAPKGIKLKTKEVRGSARPISGFEKSSRSRKKKKCDSDIPSQAPPQTNATMMSHLETPNTQNLLHIPEYYGSVGASLQPSWRMKQTDAYNRLQSSINKNLYGSKLMTQAQEKVRRELTKVYQ >Et_5B_044124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20261563:20264913:1 gene:Et_5B_044124 transcript:Et_5B_044124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHRRNPAGKRPAGWFGSSSLAMNMTDPTYIYVPNDAHIFCKTNHMCPVTIASHGYHGLQLNDPALHPFSSSLCIRIPPPQMRFGILNASKPQ >Et_7B_053643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10661867:10663786:1 gene:Et_7B_053643 transcript:Et_7B_053643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPEEKTTGPLVARKGRLRQRYDNEYRLVAGCVPYRMGADRQAEVLMVSTPNRHDLVFPKGGWEDDEDVYEAASREAMEEAGVKGNIDRTALGLWVFRSKSSQADSDSPRGACKGYIFALEVAEELDQWPEQDTHGRQWVSPADAYRLCRYDWMREALSALLDRLQAICRCQPEATKEPELNERAGMYMMMKAAATAERAVALC >Et_4A_032465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10417401:10419521:1 gene:Et_4A_032465 transcript:Et_4A_032465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCRRFSCAVARAPFLARGPLPPRAAPATPAASRPPPRRLMSSSAGWQQASRPPPPPPPPPPHGADKDQLYRGLEAALGTTFSSEPLAPPPQPMILVISGPSGVGKDAVIKRLQEEREGMHFVVTATSRAKRPGEVDGKDYYFITKEEFLTMIEREELLEYALVYGEYKGIPKQQIRDYMAKGCDIVLRVDIQGAATLRQILGDSAIFIFLVAESEEALVKRLIHRKTETSDMLLVRIATAREEVRRMQNFDYVVVNAEGKLEEAVKQVESIIDAEKAKVHKRSINI >Et_9B_063792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14339334:14339858:1 gene:Et_9B_063792 transcript:Et_9B_063792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPNCHVRANVSVAETEQNYGRVFHKCPRFSASGCQYYQWDDEIDAAIVPSAQPVLMQVQAPPQAPAMIQVPAGAPPQAPAMIQVPAPLQPQGGRVQDAPGNLQVLMVKINWLEKLVLACLVLSVYIAFWK >Et_3B_027887.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24979902:24980306:-1 gene:Et_3B_027887 transcript:Et_3B_027887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAGAGKSLFQGLRRFLKKPWEITGPCASPEYLSALPGATEYRVKCPATLRDDRDIAIVPTSDPETVYDIKYYTRDRRRDRPPVRRTLLRKPDLERYMAAKQFDPVKDFPQPYVNTTVQEDDNAIGGGYQK >Et_3A_025601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31095875:31098238:1 gene:Et_3A_025601 transcript:Et_3A_025601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVAGPTGLPLSLLAPLLCYKYPTGPDRSPAHSPLAHLMVSQARQEPRNSGISKLVMDAIPAPPLLLRSPAPGIDKIPAPFVWPQDDSRPTSAAALDVPVVDVGVLRNGGDAAGLRRAAAQVASACATHGFFQVCGHGVDAALARAALDGASDFFRLPLAEKQRARRVPGTVSGYTSAHADRFACKLPWKETLSFRFHDAGAASPVVADYFTSTLGPDFEPMGRVYQRYCEEMKELSLTIMELLELSLGVERGYYRDFFEDSRSIMRCNYYPPCPEPERTLGTGPHCDPTALTILLQDDVGGLEVLVDGEWRPVRPVPGAMVINIGDTFMALSNGRYKSCLHRAVVNRRQERRSLAFFLCPREDRVVRPPPAAAAPRRYPDFTWADLMRFTQSHYRADTRTLDAFTNWLARGPAQQQA >Et_4B_037973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25174875:25179655:-1 gene:Et_4B_037973 transcript:Et_4B_037973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGVPNSGDDDTGHRSKRRRVASSGDASDSISAACGAGEGGGKKALYHCNYCNKDISGKIRIKCSKCPDFDLCVECFSVGAEVTPHRSNHPYRVMDNLSFPLICPEWNADEEILLLEGIEMYGLGNWAEVAEHVGTKSKAQCIEHYATAYMNSPCYPLPDMSHVSGKNRKELLAMAKVQGESKKGTSFLPGDLTPKAESPFSPSRVKVEDALGEGPAGRSPSHMAGGANKKASNVGHTKDGANVSKVEDGHVDRSIGVKKPRYSADEGPSLTELSGYNAKRHEFDPEYDNDAEQALAEMEFKETDSETDRELKLRVLRIYLSRLDERKRRKEFILERNLLFPNPLEKDLTNEDKEVYHRYKVFMRFLSKEEHEALVRSVIEERKIRRRIQELQECRSAGCRTLAEAKIHIEQKRKKEYELNAQKAKESGQLIPNNKSGQKMNRPMKIEVDGSLDPKKGGAGLDSGGRDSPKTTGHTSLKAWDDWDIVGLPGAELLSASEKLLCCQNRLLPSHYLKMQEVLMQEIFKGSVLKKEDAHVLFKVDPVKVDTVYDMVTKKLGSHEEAPTV >Et_10B_003205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17626669:17628567:-1 gene:Et_10B_003205 transcript:Et_10B_003205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPPAARKKNGVPASSTFTAAAAIPARNLGRGGNPTAMASSLSRGGGSAAPPRSLARGGGSAAAAASGGGFDGSSSAMDEFPISPIDGSSALFDAASVDPSSPGSWDRHVRPPGGFMSYFGNLGQNSHLVGAAYHNSSPNQAHNASSPPEVEMINGNDSTRTEKRIMWTVEEDVRLMSAWTENSTNSSCGADRADLFECAYVKARRVFTSGYSNEMWIDAAHKFYVDDNKDAHLGPFLLTEVWKMCRDEPKWKTYNEDLNNARKRKSFHLEGDSQEDEAIPDEMPQRPIGQKAAKKAALAAKGKNKESTDVSGSSKDSAIDVDKFDKFSKFQEDNHEKRLQILEVQQKLSAEKLEAQKIAHQTTQENKASKMMEAYVSISSQDTSSMSDEEKAERVAVMKCLRMKLFPTA >Et_6B_048386.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:17910324:17910428:1 gene:Et_6B_048386 transcript:Et_6B_048386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEDMALRSRAYGRADFDFIFGEHESQQANNVT >Et_2A_015344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11476346:11478984:1 gene:Et_2A_015344 transcript:Et_2A_015344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPPPAPAAQLSRFSSPIAPSRRLLLSFPRAPAAAAVCRSASASAVARSISVSVEEPRRRLILHQHERSAAAGSLHERYQLKNKRWRPVFVLETSGSPSPEAPDDQDFEDDSGFLGRTRLGRLIQAAGRKLLEKLNSARTKSPAKIFLVLLGFYTANALSTILGQTGDWDVLVAGIVVTGIELIGRLMYSKAFARPPGRFQSFITLVNYWKAGVCLGLFVDAFKISASNNRHKG >Et_1B_011497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22902630:22904792:-1 gene:Et_1B_011497 transcript:Et_1B_011497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFAAASLLPVLSPNPAAAAAGRLAHGLSSRPTRPGFTLRLRRAPGAAGRGVEGGIPDEWGERSPPAPERPSQPDPPIDEDEWGRDADAGNSRPVVADEWGEPGPPEPEPPAAADPPSPGIDDEWGEEQLTPASAPAGGEPQEDEAAERREDLKRCLVDTVYGSELGFRASSEVRGEVVELVTQLEAANPTPAPVEAPDLLDGNWILLYTAYSELLPILAAGATPFVKVKQISQEIDSKSMTIVNASTLSTPFASFSFSATASFEVQSPSRVEVQFKEGSFQPPAISSSVDLPERVDIFGQKISLGPVQQALNPLQQAFASIAGTISGQPPLKVAIPGNSRGRSWLLTTYLDKDLRISRGDGGLFILAKEGSPLLDQL >Et_9A_061595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14182577:14184707:-1 gene:Et_9A_061595 transcript:Et_9A_061595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRRKFKRSHAKVRVGLPRKKPREFKPAFNLPEALAAAAGGGKDRWDAEGSVVKNYAAFGVVANPNLLGAHSRGTPALVQSAPLQAPDVAAARAPVPEFEPVDTGSDLESDDLKSALGKKRRDGKSAPLQPLTKIQRICIGRLIEKYGDDYKAMFMDIKLNAMQHSVGTLKKLCERYHAEGKIFVYPL >Et_7A_050945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12691665:12697013:-1 gene:Et_7A_050945 transcript:Et_7A_050945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGQKRRPLMVMASSSSSLAAANPLAELSGRFKSFEAGVRAWMAKQPIHVEAAVTTAVGAVQGGALGGLMGTLAPDGGAGLAMPQPPAGVDPKAMASLKQAQALAGGPLVQARNFAVMTGANAGISCVLRRIRGKEDVQGSMAAAFGSGALFSIVSGMGTPNPAANAITTGIAFAVFQGGFFMIGQKFSQPQGVSEDTYYSRGRSMLQKLGLQNYEKNFKKGLLTDQTLPLLTDRDSELTRARYGMYRV >Et_2B_020268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18552844:18554762:1 gene:Et_2B_020268 transcript:Et_2B_020268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITVSYSGYMAQNLAASIRGSSSATASGHRLLHDGAWRPFCIFTSTRQTEQSHNTVGGGGGGGNDRHDGGDRNHPKHRSLADTGHSLLLTRACLSSSKSPPPSLAVGLLSVLAQRTGSTPGIGGAASLSGSSSISLGFNPTSFLPFLQTSKWLPCSDLATSSSSAPSSPPRAPTTSAPSSPPRAPAPPAPSKKALLGAASAGASGSAAIARSAMGRSNWLSRWVSSCSDDTKTAFAAVTVPLLYGSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYIFREPEILDIVIFRAPPALQAFGYSSGDVFIKRVVAKGGDYVEVRDGKLLVNGVVQDEDFVLEPHNYELEPVLVPDGYVFVLGDNRNNSFDSHNWGPLPVRSIVGRSILRYWPPSKITDTIYEPDAAHYAVPSR >Et_3B_031199.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20492518:20494038:1 gene:Et_3B_031199 transcript:Et_3B_031199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLNSVPRPRKPRCPSQPMLSLSPSLLTLLLLVPFVFLLILHRSSFPGSSCSPVLARLAGTTSSRSSGPGAGGFTGDLRDIEFSWNHLPFLASRPPPAKLKIAVFSRKWPVASAPGGMERHAHTLHTALAARGHRVHVFTSPPPHTEASPPPSPDGPELHFLDGEPGQWRCDEAWKLYEAEGENDPFDVIHSESVAVFHRFARGVGNLVVSWHGISLEALHSGIYQDLARGEDEPMSPAFNQSLAQSVYRVLSEVRFFRSYAHQVAISDSTGEMLRDVYQIPSRRVHVILNGVDEAQFQPDAALGREFREEVGVPKGADLVLGVSGRLVKDKGHPLLFEAFSKLVLRHPNVYLLIAGKGPWESRYMDLGRNAKVLGAVPPGKLKAFYNALDVFVDPTLRPQGLDLTLMEAMQCGKPVVATRFPSIKGSIVVDDEFGYMFAPNVESLLERLETVVEEGARRAARRGRACREYAKSMFAATKMALAYERLFLCVKNETFCAYPAEFD >Et_3A_025040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26382149:26389496:1 gene:Et_3A_025040 transcript:Et_3A_025040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSLAVAQPVAALGPCGRKNLPAQLRRLPSPRLAVAGKARSRSVVAKVARDSAEPSSESIVKYVKSSLDTPEDIFALAGIGFAAVAALWASVNLIEVIDKLPVLPLLFELIGIVVAWLFIYNNLLFKPDRYCWSKLKKIDFDQTQKALYLRTEAPEYEDAPTGLLEVNHQEHDEKV >Et_7B_055833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5578741:5582468:1 gene:Et_7B_055833 transcript:Et_7B_055833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLEADIAWIGGGRCDASCCPQVDGAVNLTIPVEDAAALGEVKRQDKPSRGGRQIKICFFERGNEFEKITRRVPEQESSQEDIRGGSKASMGPSTRGSAWWWLTVAADMMMVLCGQTVATLLGRLYFDSGGNSKWMASLAQTAGAPLLAIPLLLTPPPSPEEERQQPGRSKMAGIYAGLGIMIGFDNLMYAYALQYLPVSTFSLVAATQLGFNAVTSRLINAQRFTALISNSVVLLTFSAALLAVGSSDDDTASDVPRSKYPVGFVLTLAASAFFALILSLAEVTFEKVIRARTMRWVLRMQMYPTLVSSAVSAVGLFASGQWRTIPGEMASFKDGKARYMLTLVGTAVAWQASGLGTMRLITRVSSLFANVTGTVALPLVPVFAVALFGDRMTGIKAVAMLMAVWGFLSYVYQHHLDGRRAKVAECRVCAARSGSDADLPA >Et_4B_040013.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7740264:7740554:-1 gene:Et_4B_040013 transcript:Et_4B_040013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYVDEKWKFSKKSRNSSSCRRVPGAGGPGGDSFLKRSSSVRDVHAIGGRRGSAGAAAASAQPSFSSRCAGLVKEQRARFYIMRRCVTMLVCWKD >Et_2B_021124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26755773:26756573:1 gene:Et_2B_021124 transcript:Et_2B_021124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALACILCLALLLTPDVQARKLLWTAPKKQSDGPATVNPEPCSGRGGSTGGNADQGQQVQCDPSKWAELHTDYIYTQD >Et_5B_043170.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:14330820:14330888:1 gene:Et_5B_043170 transcript:Et_5B_043170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLVSPRSTASARCGKSEHL >Et_8A_056634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1471437:1472884:-1 gene:Et_8A_056634 transcript:Et_8A_056634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQVTVPMAEDGTMKSLPYVKETVLKKRKQNEEWALRNRERKAAKRQRRRDEGKGAIKRPEEFVREFRNKELDFLRMRTRLKVRKQPRAEALSSKLIFAIRIPGTVELHSHIRKVLRKLRLTQVLTGVFLRASELTLKRLLVVEPFVTYGFPNLKNVKELIYKKGRGFLDKEPFPLTSNDLIEKALGEHGIICLEDLVHEIATVGPHFREASNFLMPLKLKCPERRLQMKKKPYKDGGDSGNRADKINELIEKLN >Et_3B_031258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22954251:22977088:-1 gene:Et_3B_031258 transcript:Et_3B_031258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIVRGSHVWVEDKDLAWVDGEVFQIDGQNAHIRTTKGKTVVANVSDIHPKDIEAPPDGVDDMTRLSYLHEPGVLDNLAVRYAKNIIYTYTGNILIAINPFQRLPNLVDVRTMEKYKGTNLGDLDPHVFAIADVSYRQMINEGKSNSILVSGESGAGKTETTKLLMRYLAFLGGRSGTGARTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEHLKKYKLGDPSSFHYLNQSACIKVDGINDAEEYLATRNAMETVGITEQEQEAIFRVVAAVLHLGNINFAKGREADSSVIKDDKSRFHLNTAAELLMCDCQKLENALIKREINTPEGVITTTVGPHSATISRDGLAKQIYSRLFDWLVNRINASIGQDPTSNQLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHATFSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVTYQSDQFLDKNKDYVVAEHQELLNASKCSFVSGLFPPVTEENTKSSKSSIAARFKLQLHELMETLSSTEPHYIRCIKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKQFQDFLHRFRVLAPEILKEKNDEKVACQKILDKIGLQGYQIGRTKVFLRAGQMAELDARRTEVRNNAARGVQSQFRTHVARDQFLILRNASICLQSFVRARLACKRHEFLRQQEAALRIQKNTRWFFAWKTYCQLRSSAITLQTGLRAMAARNEFNFRKKNKASIHIQSRWRCHRDYSNYMKLKSAALTYQCAWRRRVARKELRNLRMAARDTQALKVAKEKLEERVEELMSRLSLEKKLRADLEKSKAEEVSKLKAALHEMEQRIEEVTAMQERESAKKAVEEALAQEREKISLLTTEIEGLKALLVAEKEENDLTKKSHANALEQNEELNKKVKDADEKIKQFSDIIQRLEGTVRDGDALLLIEKQQSEAASAALAESQARIEALVSKLEDTVKQNDMLCETVKRFEEAMSNLESSLVVEKQQHEASVIELAEAREKIVELQREVGDTDEKSTLLQTTIQRLEERLLEKDALFSTEKQESEATKMLLIEYQDKNQDLLKKIEDAEKEIAHFQDTIQRHEGSITVLETSLRTERQQNDAIMKQLADSQGVIGELQRKLDDADDRNSLLEDSIQRLEEDATEREVVLVAEKQENEVTKRTLTEALDQIEGLVKEVECANQRVHQLQDSIQRLEQSAVAREATLLTERQGKDATSKALAESQGRIEGLVKEIHSANSKIDQLQKTVERLEEGATTTEALYLAERQGHDQTKKALADAQEINKEFIMKIEESEKSRDQLLENLERLKKEATTRESSLLMAKQSYDDLIKVLAEAQERNQDLMNKVEDSERKIVLLEASVKRLEESTADKDSLLAIERQENSETKKELTGAQKKIVELLNEVQDTRANIAELEGSIRRLEGNLGVTEALLLTEKEHNASTLRLLTEAQSKIEDLIKKIEDADRKSDNLQDTIIRLEQDATAKEGLLLTEKQAHETTQKTLAEAQERNEEFLKKIHEDDKIILQLQFTIQRLEENTATNENMLLREREQNDATTKAHIESQERYEELLKKFVDVDRKIDLLQGTIERLGENTATKDSLLLTERHEKDAIKKALTEAEEKNEELLMKVEDANEKIGHLENTINKLEENIATKDVSLEAALQENDTTKKLLTEAQEKNDELLKKISDSEYRIHLLQDTAQKLQVDAISRLSSFVMEKQESDAAKRAVTEAHERNEDLLRRNEDLLRRNDDLIKKIEDSGKIVTQLQEALQRLEGKAANLEAENQVLRQQSTATPPSTAKSSASRSKITRIHRNPDNGHSLNGDIKQTEMKPSTGTSEAIPSAGNAPDLGNQKDFEHGEKLQRVFNQKFQAQNDMRTLAYWLSNLSTLTVLLQRSFKTTRTAISTPQRRRFSSERIFHANQTSNAGLAYLTGQAAVGSSGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSGLGKCHLNGMGQNNQLAHWLGIVKILTSYLDVLRANHFAGSAWEALRHIRQAVDFLVISLKPMRTLREIRTDVCPALSIQQLERIVSMYWDDVNGTNTISAEGSMVFRVVETVIDPFTYKHEERLLSLAEHNLTT >Et_3A_024471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20994268:20997236:-1 gene:Et_3A_024471 transcript:Et_3A_024471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQRQGLRPRLLGDTAVILEVACEETVRRAAEALCTQRALEPARLPPQLVQQPPGLPHEHQAQKTAKQEAPWQRLEFLEYNLRSMLRVQRDDGVWRMCVRRRKLELKALKNDAQCHLRFKQRKVLPDADPRSPAEWEERRLILDPLGKPLRLELMHVLPPDVRAVVNQNYRQLEDHSFWVLDGSNLHFFECPSRDCRRVQPEHLVQYHGHLEHHVNLGTVIT >Et_1B_013699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1364765:1368984:-1 gene:Et_1B_013699 transcript:Et_1B_013699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASSSTSHHHRYRLRSRAVPLLVAVVFAVLVITELLRTSNRRDFSPSAGRLGSASSASANHTVAQRKILLDPAFTPRLPPQSPLSLSLSQRNALPPRNTGRFPSLPDGHLKIVLYVHNRPRYLRLVVDSLSRVEGIGEALLIVSHDGYFPEMDKIVQGIDFCQVKQIFAPYSPHLFPDSFPGVTPGDCQSKDNAAEKHCQGDPDQYGNHRSPRIVSLKHHWWWMMNTVWDGMEETKDFDGHILFIEEDHYIFPNAYRNAQLLVDLKPKKCPQCYAINLAPSDVKSKGEDWASLVAEKMGNIGYAFNRTVWRKIHAKAKQFCSFDEYNWDITMWATVYPSFGASVYSLRGPRRSAAHFGKCGLHQGQGSSNVCVDNGMAAVEIEDADKVPNIKADWPVHVIRRQEGYQAGFKGWGGWGFPLGARRYGNGIPKDNLLQEKGNTRKLMAQIDFYSCTLACKS >Et_6A_047326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:422306:427725:1 gene:Et_6A_047326 transcript:Et_6A_047326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLRARAEAAVASIVAVRPHEMPPLLSAAATFFFILSAYFVVLPLRDDGAISLGLDTLPGLFAGSLVLTVLAAPVASLAFSLPSIPKPRALVLIHRFFSISLLAFFVLWFASTPGKSHPISMASEDDSSKHAGWRNHSWFYIIVRISLFLWVALLNLIAISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFAASIAWLGPFLLLFSSLLMELAALSSKGICIDDNHGSIELSGTGAEQVRNTEADDETSSLVSSPTSPFQSQKSKPQVFVMFEGFWLILHSSYLRYIALFLWLSAVVSSVFYFQKVTIIATTISSPTARRRTFALINSFIAVFILVGQLTLTGHILTVAGVTIAISASPFVAASNLVALAIWPTWVAVAVTETIRKVTTYVLMRPGRELLFTVVSQDEKYKAKVCIDVIVQRLGDATAAGIYTLLFSRLEKKASMVTLYALPLCFVWLLTAFHLGRLQTSRARLQALLPGTDCAIQTEWHA >Et_4A_034855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7181731:7184740:-1 gene:Et_4A_034855 transcript:Et_4A_034855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGASASLALKAACEGCGAASELYGTACRHATLCRTCGAAMARARSRCAVCAAPVTTLIREYAVRVDTAAEKAYSIGRFNTGLPPLSKKKNAGKRWSLRKEGLQGRQLTGNMREKYYNRKPWILEDETGDYQYQGQTEVSQSATATYYLLMLQGKEFNAIPVGSWYNFSKVAQYKQLTLEEAEEKMNRRRSSASGYERWMMKVAANGASAFSSDVKKLDNVNEGTTGGVHPKKGDRNEDGDQSDKGEEGEEGGTARKNMHGLTTKGMEDDDEEGGKDRDFDLDDEIEKGDDWEHEEIFTDDDEALDIDTEERLDLADPEGAPPEIKQDDNENELGDSGSNLSKSGQELKKLLRRAAGLESDEDDKDTDEDDPPSPVLGPKQIVQPKSEPEDNKPAKPTPQHSQNITPAFKTTQKRKPGGDDISNSAASKKIKIEPETKTSVVKVETQSSLEPASGTSLTARATNSSPITEEEIRTVLLAVAPITTQDLVSKFKSRLRTQEEKKEFSAILTKLSYMKKTKGCNYIVLRKEYK >Et_2B_020387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19666150:19670386:1 gene:Et_2B_020387 transcript:Et_2B_020387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPNVKSETMGLMDRRSALEAEMDAIIARLTAPGGPGITGRLVDDEGFPRSDIDIPNVLAQRRRLTELRNDHKDITTKIEKNLEVLHSAKLSRNEQSTSQRSDTTASQHTGLSQSEPMEEDPVTRLPFAMIDEITDGSPAAVDGLQLGDEIVKFGNVEAGDRLQERLVSEALSSEDSQVSLIIIRQGSAMNLTVTPRKWHGRGLLGINR >Et_2B_020464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20474535:20479547:1 gene:Et_2B_020464 transcript:Et_2B_020464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDFRVGPVGWALGDVAAADVKEEEKGAREDRADADWSVQLETRKGEAGRKRKREGPPSGPPPKRQCVEAAADLTHSPAQCKSESASLSPAQCKSEPASPSPAQCNSEPASPSPAQCNSEPASPPPVQCESHPESVEPLPVVPPAAAKEEDEEEEDVVEDKVKEGEDRARSTRGRKQGPSRDRRSCHQCKRVKPRREVMIRCQCCDLRIYCAACVRNRYPALSEAEAREACPFCRGVCICSLCTDKGNQAKPKSSVSRKCNGSISVARKNKTSATGVRSPRASNVARRTKAIGHSFTVTNTSVVRADEVDADTKTKYASYLLHYLLPCLTQINKDQMEELQAEARIQGLELSELNVEQAVSSITIAIRQYLIYIEAVQTAARLNCVLSVELREGLKTCQELVPKPKFRGIDYMHGGEPDKLINNDETDVSSYQSKSIKWDAEADGSIYCPPSELGGCGSHILKLKRILPKDRLSKLEMDASQISKQLGTSDIVRTDTCECSCSTNHESTRKAANRENSTDNYIYCPLSDNGKPDDLNHFQRHWVKGEPVIVQGVLQKMSHLSWEPSKMWAEVHGATTSSDMKKVKVVECLSCCEAEICTKDFFNGYSEGRIYANLWPEMLKLKDWPPSHRFENLLPSHGTRYINSLPFQPYTNLKSGLLNASALLPDDVLNLDMGPKSYMAYGHAQELGRGDSVTKLHCDLSDAVNVLMHTAKVSTSDEQEQAIRNLKTIHTAQDRRDCLGSVAIDGNGTSLEHADISSPKYREDDEGGALWDIFRREDVEDLKKYLTKHSKEFRHFNCVPVEKTFNPVHDETFYLTKEHKRKLKEEYGIEPWTFVQRLGEAVFIPAGCPHQVRNLKSCNKIALDFVSPENIQQCISLTEDFRRLPKNHRAKEDKLALKLAYKLVSSSPVRAGRRTYMQ >Et_4A_035457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25466437:25474390:-1 gene:Et_4A_035457 transcript:Et_4A_035457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVIGTRFFELQFEVEEEQLATDVPMITHGEDDTEDREGNRDRDEANNEGNKKVRMLDPSYSKNAELGQSDVPAGEGMVEDLDYDDMDEDDLLDNVAPVEDWTSDPLSVTGISRVNAMSLKVTVPATVNEPMSSGGQVALMTRPGECVTMSGREQGAEQVPAEIGKVSAPSAEQEEDDPFALGPFLKRTLMELKGKKAKRIEEVRGNVLASGVAKAITKTAPPQAATQMPTPLHRSMRRQDTVDGDSLEMAEKLVAKKNLEEEKEFYTKLIGLRYGSKRMKYTSLAVRGMDSPQPLGRGFGGLTTWFPYPGVAGVETASSVLSSSRLPFKDASSDTSTSALGLSVPTLRLLATVFEQYLSQSRLALCFLEYFDGYLHLHESGPQFAFEESVTCTVLLF >Et_4B_039627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24281051:24283589:1 gene:Et_4B_039627 transcript:Et_4B_039627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSLSPPSPSPSRLVPQLLVALLQRRRFDATLRPSPTFRGFSPHSIAAALAAIPRLLLPLSPRRLCPQRPFPSSSASSSPANRRLSAALTLAFLSWSHDHAAHPRRVPLSEAPLRAAALALVRAHALPALFRLLRAHAPVVSTAALTDVIRALGEEGLPRHALAAFHRMRQLRCAPDAQCYNTLIAALCRNGRFREARFLLDQMERPGARCPPDSYTYTVLISWYCRIGLGTGCRKAARRRIYEAGRLFRRMAEKGLQPDVVTYNCLINGLCKTYRIERAHELFDEMLVKGCAPNRVTYNSFIRYYSVVNEVGKAVEWMRQMVAKGHGVASSSTYTPVIHSLCEASRVGEARKFLIDMAESGHLPREHTDKLVKDAIEEAGEEPLPAELCESIEDGIKERCRQYLCQQEKSALQPNQHLQQE >Et_9A_062162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20224778:20226679:1 gene:Et_9A_062162 transcript:Et_9A_062162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVEKAKPYVAMISLQFGYAGMNVLTKVSLNQGMSHYVLVVYRHAFAALCMAPFALVLERKVRPKMTWPVFWQIFVLALLGPVIDQNFYYAGLKLVGPTFSCAMSNILPAMTFVLAVIFRMEKLDMKKVRCQAKVAGTLVTVAGAMLMTLYKGPLMELAWTKHSHGGAEAPAAAVAAEISGRDWFLGSLFVIIATLAWASLFVLQTHTIKQYSAQLSLTTLICLVGTIQAVVVTLVMDRRASAWAIGFDMNLLAAAYAGVVTSGIAYYVQGLVIQKTGPVFASAFSPLMMIIVAAMGSFILAEKIYLGGVLGAVLIVGGLYSVLWGKHKETQEKETDAKMALPMAAASKGDHDVDCNEANGVVRSSSDRRGAAAAATEV >Et_10B_002600.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17840600:17841220:-1 gene:Et_10B_002600 transcript:Et_10B_002600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARPSHLPHAGAPPPPCRRSAALVTIPGGRRNRDGPPPALPPAHPRPGPRGRRHGRGPPRARRPLARRFVPPRPRPPRRAPGGRGRQEEDEARGRRRPIRSAPRSGDRLRGARDGEVCAGADTVGVLCGGGAEGEVGVAARRGRLWGGRRGPAARDRGAPRGRAQLRARGRREDAQGPRPPPRPQRRPAPHRLPRLRQRRVDTSLSR >Et_10B_003956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8437363:8438710:1 gene:Et_10B_003956 transcript:Et_10B_003956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PKLYGRDEQKKNVVDCITQDKYSANNLTVLSVVGPGGLGKTKLTQHIGRDLVEKTTLAPFRKGGTQGNMVIVTTRIPRVAEMVTTIASPIRLERLKEKDCMVFFKACVFGDQQSWWEQHTNLHHVGWEIVRRLKGFPLAVETVGRLLENELTLEY >Et_1B_010251.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:32375430:32375879:-1 gene:Et_1B_010251 transcript:Et_1B_010251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAGRPVTSTALLLAVVLAASAATCLCAAPTSAPDDVVGAGRGTFPRSRFLATAAAFTRKMGACRAGDDPAGACGGVGHFVRCCGRACTDVRTSASNCGACGKRCAFGQRCCAGRCVEVAYDADHCGACGRACANGVPCTYGMCGYA >Et_3A_024991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25971698:25975274:-1 gene:Et_3A_024991 transcript:Et_3A_024991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFRFHQYQVVGRGLPTPTDEHPKIFRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQILAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRSPCIQIIKTATVHFKLCKRDNTKQFHKSDIRFPLVYRKVRPPTRKLKTTFKASRPNLFIEKVQTLDASAPIQI >Et_4A_035157.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:10069922:10070428:1 gene:Et_4A_035157 transcript:Et_4A_035157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTGKYLGLDLSAVVGACGDLRPAFDVLDADRDGRISREDLKSFYAAAGEERFDDDDLVAMIAAADADRDGFVAYDDFERLLGRATASGCAAGCWSAMEDAFRLMDRDGDGKVGFDDLKAYLGWAGMPAADEEVRAMIRVAGGGDEDGGVGIEALARVLALDEGIPL >Et_3B_029858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28662578:28666304:1 gene:Et_3B_029858 transcript:Et_3B_029858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGRRRGRGRGVASVPSRLRAISVHPAVSLRPPDRKRRRSRGVGAMKITALLVLKPSSSGAGGSSSTSSGGGSGPEALVLANATDVSHFGYFQRSAAREFIVFVARTVAQRTPPGQRQSVQHEEYKVHSYNRNGLCAVAFMDDHYPVRSAFSLLNKVLDEYQKAFGDSWKSATADGTQPWPFLTDALTKFQDPAEADKLMKIQRDLDETKIILHKTIESVLQRGERLDSLVEKSSDLSAASQMFYKQAKKTNSCCTIL >Et_4A_035247.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:14529251:14530120:-1 gene:Et_4A_035247 transcript:Et_4A_035247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTVHVLSNLARPGICSFSPLSPSYKPKLPLRPDSRNAAKRHQKSSTSVLKCRANLHGCTDEVVQSKKDQTTEIPIVLYPSVIFPGATFQLQAFEFRYRIMMQTLLQEGLKFGVIYSGKNGRMADVGCFVQVIECERLIDDRFFLTCVGEDRFRVTEIIRTKPYVVAKIQVLNDQVCSEPQDDMGSLMQKVEQHLKNVAMLSEKLHQNFRGDLQAVHLSRLHSASSFSFLVARLFIDDRLEQQAMLQLDDTAQRLVREGMYLQRRSKYLAAIAAIKDAFEHLSCNEK >Et_10A_000725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16058943:16061850:1 gene:Et_10A_000725 transcript:Et_10A_000725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRCGEDRDASPAWRRRHGWQLPLHPLQLVGVAVFALLVAAFYVVIGPYLGSTVAGNILLAAFSFSAAATAALYVRCTAVDATDRTHAKKAKRRRQLARGGGGVPGRLPRLRYGYILWRYALRVLRRVEARVTNRWVRRSYLEQWNTSVQLDPMLPFAFTSLDDIVSPCAAGDGHDISYCPVCDCEVKLRSKHCKTCDRCVDGFDHHCRWLNNCIGRRNYATFILLMFFVLLMLVIEGGTAIAIFVRCFVDSKGVKTEMEHRLHIRLPKGAHAALSMVFVIFTLYSTAALGQLFFFHIVLIRKGMRTYDYILAMREAGQAFDPFEDSDSDESIDFDSPQRPSFLSRMFCRKDEVNESARKLSIRIENDHTDAPKRKDDIQINPWTLIMMSKEKAMAAAERARERIRQKLPTSPMKPLPLETKRGPLNQDRRHIATGKEIVPVFAKSWLSGSPTARITSPRRRFSGSPSPKPQRYRSNFDLRLAEVSRELETHISKQVLCSVVMKGVEDEGSSS >Et_7A_051344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17088152:17089317:-1 gene:Et_7A_051344 transcript:Et_7A_051344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSKNTTTTAADEESTAPRVSLPNDVVTEILPRAAAGEVHRSVPVRLPLLERRDLVGGLPRPPPGAYFCHKVRLRSREVLVHMRELPEGHRFQDNCRGLVLVEHRCGPCVPAGSSTAYSVSVCNPTAGEVLRLPQAPSWSGGDASSSVVTGIGFHAPTRVYKVVQVAVALGNNQRQAGVLTLGDARGWRAPLDMIQAATTFGDLTDDAWIDWNINPVFADGCIHWCFWTNKLNPDEPHGVLSFSFADDSFRRAPSPHFVTADRAVYPYWLENRQATVWSAGTRLADLDGRLCMTRDVRQRDEDAPGQFELHDNDYETGARSLDYRIHLTGRVARRIKGPSFVVPLRYIDGSPDDTKRKLLFMNDLGAARAGLRPQHQLASDRG >Et_3B_029012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21380926:21387710:1 gene:Et_3B_029012 transcript:Et_3B_029012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEAPNPRPPTRRRKGKRAAPSLAPPTQASAAMEAETLAATAATEAETPASAGTTFLYDALPGLTLAFSPEETLDDAAETLSASREDEGDATATYAVFRNEITAAGDPIKDIPAADFFSLDVSASVEDEPGSPHTPAPDSAVAATPSGSRAVEEQPAQGSERAWFRGGRRFRSPMLQLHKEILDFCDFISPSSEEQSSRTAAVQAVSDVVEVFGSFRTGLYLPTSDIDVVIFDSRVKTPQVGLYALAKALSQKGVAKKIQVIAKARVPIVKFVERKSGIAFDISFDVDGGPQAADFIKESVKKLPALRPLCMILKVFLHQRELNEVYTGGIGSYALLTMLITHLQLVWGVQDILGYCQSKEHNLGILLIKFFDFYGRKLNHWDVGISCNTAKTFFLKSEKDFVNLDRPHLLAIQDPMLPDNDIGKNSFNYFKVKSAFSKAYSVLTDANLISSLGPNRSILGTIVRPDSVLLDRKGWNTGDMIANMLTEPWEPVTRQFDSENDAVYNWHVIDDEPLPRNSQSTSDDTSSSPLQKSKIQHASVEKDQYKKVKDRIIAQEAGNGEKDLGSMTGLLIHSHNTPTISSIKTTYPLRKEINVEGYLSNIRQDSDPSAGKKVEGSVPIF >Et_2A_017597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:470235:472900:1 gene:Et_2A_017597 transcript:Et_2A_017597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMHAGVADPPPSCICAVMRRRRGPSSAVDWLAFHPWPSRSPIWWGFQIKIIQNVEPVFIYFVDGDKSADAAFQDAKAIFALLNTPVSAYIHCWHDGISSSHHDDLHHHHLHTLLLCAAALLAALGADARRQHIHGDGDGSDLVAQACRNASQMYLPVEYCKSTLRSDKRSAVAKQLRDLALIAIDLVEHGSADLDAKVEEQQSHGDNKGKAYMLQSCRLYDSVVARMAHLCRALVQEYKPDGRRWPAAEPRLLLL >Et_1A_007022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30134441:30137124:1 gene:Et_1A_007022 transcript:Et_1A_007022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSATAAAAFGAAAAAKPRGPAAAPGCARVPAAGGRRRSSGVVRCDAGVEAQAQVAAKAASIAALEQFKISADRYMKERSSIAVIGLSVHTAPVEMREKLAVAEELWPRAISELTGLNHIEEAAVLSTCNRMEIYVVALSWNRGIREVVDWMSKKSGIPASELREHLFMLRDSDATRHLFEVSAGLDSLVLGEGQILAQVKQVVRSGQNSGGLGKNIDRMFKDAITAGKRVRCETNISSGAVSVSSAAVELALMKLPKSEGMSASMLLIGAGKMGKLVVKHLIAKGCKKVVVVNRSVERVDAIREEMKDIEIVYRPLSEMYEAAAEADVVFTSTASETPLFTKEHAQALPSISDVMGGVRLFVDISVPRNVSACVSEVEHARVYNVDDLKEVVEANKEDRLRKAMEAQTIITQELKRFEAWRDSLETVPTIKKLRSYADRIRASELEKCLQKIGEDNLNKKMRRAIEELSTGIVNKLLHGPLQHLRCDGSDSRTLDETLENMHALNRMFSLDTEKAIVEQKIRAKVEKAQN >Et_5A_041568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24513220:24514978:-1 gene:Et_5A_041568 transcript:Et_5A_041568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAVAATFRSLLQPAAANSVPVPLSSARFQSLQRQRVGLHLFASLPGWPILLPPSAAAGEAFSSDGEDYPDEDEEYFDDEEDEEDELEEVEAPPAYSSPQSRPPRGQDPGRLFVGNLPYTMTSAELTEAFSEAGRVDDAQIIYDKVTNRSRGFAFVTMATAEEAAKAVQMFDGALLGGRTARVNYPEVPRGGERRTVTMSGRRRDDGTYKIYAGNLGWGVRADELRAVFEGQAGLLDTRVIFEHETGRSRGFGFVSFQTAEYAQAALEALDGVELEGRPLRLSLAEQNPPAGSPPSAVQEHGEETDSDTSDAETEVTSESSEADLEDSTLQTTAAY >Et_1A_004637.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:24360731:24361306:-1 gene:Et_1A_004637 transcript:Et_1A_004637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRRLSSPSTPVLTDDMHLEILLRVPALPSFLLRASLVCKRWRRFVTDPGFLRRYRAMHHRKAPLLGFFRQRRTRVLHSHARPTRQDRRRRLPPDGDAQREVHLLRLPPGRRPLPQPDAEGGGASEPRHRRPAPRLVAAVDHETGFTPRSGSRRRRRAIEILQAVLGVEQRETQKSNH >Et_1A_005609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1252614:1254775:1 gene:Et_1A_005609 transcript:Et_1A_005609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRLSPWTAAASAQRGFPSAGPAPDWPAPRRHARARPLCAPPSAPVSVSASSSLGAGQLRTGTGHEWLWDCRRGGRDYAREMETAVRVVQVACTLCQRVQDSLLRPSSDAGGRVHAKLDRSPVTVADWGVQAIVSWLLSDSFRDENISIVAEEDDETLSSSDGAALLESVVEAVNDCLVEAPMYGLRSPEKQLSAHDVIQAIRKCSSVGGPKGKFWVLDPVDGTLGFVRGDQYAIALALIEDGEVVLGVLGCPNYPMKKEWLNYHQKYYRLMSNVAPPPSGSWHKGCVMYAQKGCGQAWMQPLVHDFSKLDWHHPREIQVSSIRDPVSATFCEPVEKANSSHSFTAGLAHSVGLRKQPLRVYSMVKYAAIARGDAEIFMKFARAGYKEKIWDHAAGVVIIQEAGGVVTDAGGRPLDFSKGVYLEGLDRGIIACSEALLHQRIVDAVDASWNSSTL >Et_3A_026050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3789918:3796883:-1 gene:Et_3A_026050 transcript:Et_3A_026050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAYSSGTDRSTKLMSPSSRTIMSRTHSSAATRTRRGKKRAGIAAAYSETLGSWGTKRARTPKPNPRRCPNPKCSCWAELSYGPAGLIAERALANGVDDYLSFRAVEWRRSAKAVAVRAQGCLDRRFFPRRWIMLRDAAPSGSGSSRRRFLNVSTGECVQTELPELDDAAQGQHHLLGATTYAVRLLNPLTRQLAELPSLAPLLPEETHDDISSYGIARALEVTGLGLAGDATGDVVLVLCFFKPTMLVVAKPGDGSWTLVHGDERWFYSAAPRRGDRWRRKYIPFRVDLDVRKTVRVRGGLDGLAVFLGEWRAWAVPPGVFPTIRADTVYLGFDLRERGFEQLRAYCLLDGTIERSIFSWDDGWARPCGIVDHLSWYVSGTCGDIEDI >Et_5A_040941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15367019:15369350:1 gene:Et_5A_040941 transcript:Et_5A_040941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKPYVVAIIIQVIYTGMFVIIKAALNQGFNTFVFVFYSQAAASLLLLPIAVLRERKNVRSMPFRLLWKLFLCALIGNTFSINLLIASLRYTSATVQSAISNSKPVVTFCLAFLLRMEVVRLQTAYGLAKVTGIALCLAGVFVIAFFVGPPFSPVNHHRAFHTGHASSDVTGHVTWIKGTFLKLLGDMTWSLWIVFQAALLKVYPNKMLVTTLQCIFSTVQTFLVAVVAERDMSRWKLKLDINLFLGLSYYLQAWCMEMRGPVFLAIWFPLCFVFTIFASSFFLGEIIHLGSILGGILLVGRLYSVLWAKSKEPILEPRGQVSTLEDAQYNEDQMKSEENKMGSEEHDEETPAYVVEQ >Et_9B_065525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6134464:6139035:-1 gene:Et_9B_065525 transcript:Et_9B_065525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGFPQDIESKEKLQSSVQGDDDEQEEQIKTRITDVPLGDSGSVSASSNDNKKVSREDIELVQNLIERCLQLYMNKGEVVRTLSNRARIEPGFTTLVWQKLEEENSDFFRAYYIRLKLKKQINLFNHLLEHQYHLMKYPVPHQVPFPQAQNGIRPMPVNNLPMGYPVLQQPGMATPGQPHVSSMAYGPPSNHVVNGIPAPGGYHPICMNSGNGMMDNETHETAHATTACSASEMAVCPSSAMSSNHVSFTPSEISAMCVDESAANATFGADVGNGGPLQIGPDGADGSSLGQQIWDFSLSDLSADLTNLGDLSALENYSGNPFLPSDSDLLLDSPDHDDIVEYFADAINGPSQSDEEKP >Et_1B_012924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4180928:4182695:1 gene:Et_1B_012924 transcript:Et_1B_012924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAVAPIGLSWAPKLPSLPSTSGGGSSRSGPAPSPSTVHAFDMPAPTITPELKKDLEILQLRHVMDPKRHFKRSGKSKALPKYFQVGTIIEPASEFYSSRLTKKERKTTLVDEILSDPSLKSYRARKVREIQESRTPGGNQKWKNRGKQTLKRAKDRRK >Et_9A_061799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16635759:16637732:1 gene:Et_9A_061799 transcript:Et_9A_061799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLSATLRCLLGAGNASFPTAPFPRARTRSLSCCGASHGWIIASDERSNLVLYNPFAPPSAANFIPLPPITDFECIRPGYSSDGEGRITAYVHNEYQGWGPESLGSYFYQKAILSCAPSPTSSDGAAYTAAAIHCESRSLSFAKAGDTEWREAWTLGEEEALRVPYSFWEDGVHITTNGTEYDEYCDVVHHDGRFYTVTKHGTVESWDLSGPNMEPEREVLGRKLGYAGDEIVFFRHLVSTPWGDLLQVRVLRARNLEKYPQGVRVRIGKIIPTGHRMVELRPAKALRGHAMFLGLNHSACVHPDEFPGLTPDCVYFTAPSFGGLMGVMRPVCYIWSGVKIYNLKNNTAQDVFADFRPKSPRHPPPPAVWIFRNRNRPELIFSSLSNLNSVVLMLIELGPDEAQTQCAARPSAQYRK >Et_1A_006974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29853476:29857985:1 gene:Et_1A_006974 transcript:Et_1A_006974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYGNAVMRNPDAGVQYRAKAQDRANAKLIAHPTGLTPNLLRLFEPRPPLEYKPPAEKRELPAYSGIAQFVSQFTEPGDIEYAPPVPKSETRTEKKARIRELKLEQGATKVAEGLQKYDPQSDPNATGDPYKTLFVGRLSYETSERNLKKEFEAYGPIKRARLVTDKETKKSRGYAFIEYVHTRDMKNAYKHADGRKVDNRRVLVDVERGRTVPNWRPRRLGGGLGSSRISGEVADRKGPSRDRQKSRERVRGTDQDERTHAHSHERARDRESKERHIHRARDRTREHDQVTDRERDRGHDRDRDRHGKDKQRYHGRDYDREREHESSHDRGRDRGRDREGAGHKRIRGQLHDRDTEYGNAETKRQRNMADYGQDGHGHETDRSKEHDYYQEDPYGKISGNYQALPKNLEPEAVEKGKEYMEGDYQFHQADY >Et_2A_015156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33430780:33431167:-1 gene:Et_2A_015156 transcript:Et_2A_015156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSEDQSSSSSPMITFDGESFLVQVVSKDVSDELLGKFADTSEFDFDYDRSGLWSPLVLRHEVLLLVQSPARRSRRRLLRRRRKRRKTQMCCCWRWW >Et_1A_005149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29581105:29582133:1 gene:Et_1A_005149 transcript:Et_1A_005149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPVATSDSVHMVLHDENLISEIFIHLVHARNLVRAALVSKFWLSIASDPIFLKRFREFHAPCLLGYYIVAEGMLHPRFVALVHLPELGTMIKRAASQFDVWVDVPVTIWGCKNGKLLIDICDKLEVRSYLSPPCAIAAYPQSPMKVHLDESFQYQYLEFLPEDGGDGHEYYRMVLGHRGNEIIVYFFLLHGHIWVVRKSEVTVLPFSPEWSSTPFLAPYGVLGHGMSYVMTSWRLIVAVDIESLEISVVELPKDLSEDYHDFDLCQSQMENNFCLFGLSKTDLRIWVCTWAVAAHVHGLCYILFPLGTSLDISLLMKSPCMASRSWGN >Et_5A_042846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5399076:5401563:1 gene:Et_5A_042846 transcript:Et_5A_042846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPTLSNIGGSESPMPLLEQLAEVFGKLKSHTEASLQLQNSMQWEDIKDHFLNLDKSYRSKFDELVEKQKALEEKKAEACRLIAEKEANVSAVERASLNQLQELRDAAVSSLAEVRQKYKVELAEMLDANGSKGKKVSTSINDNNASRASEENTPASGQGELSEASPVETKPRPSMKQLCEQMDTKGLLKFLSENGKKIASLRDELSVALRCASDPARFVLDSLEGFFPPDQTNSPGNKHNPLQIHRRSCILLMEAIAPALGTKVPGGNHPWSSEIKEQAKAVAEEWKSKLAEIDLDASNGYSLEAQAFLQLLTTFNLDSALDEDELCKIVVAISRRKQTAVSCRALGLNERIPGIIEELVKRHRQIDAIHFIQAFGLSETFPPAPLLKTYVEELKDSLENNLDANSSLSKDDPKSRELLALRAVIKCIEEYKLQKECSLGPLQKRVSELKPKGEKRPSFDAGRTYAKKPRGSGISFHRRPAGPVGSSARRPPFPVGNWQHAPAPMPSRAPAPMPPLPDRYGVADRYHYTPPAAAYDAGAFPHGEPFSAPKPFQYTPGSVAASYSSGQYKVAYGGPGAQPAPSGYAGFAGAPGPSASSNYAGYLGSGYRPNQHH >Et_4B_039295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9784635:9786399:1 gene:Et_4B_039295 transcript:Et_4B_039295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLASRFALVACLTVLASTCVAGAGMPPMFIFGDSLVDAGNNNYIVSLSKANYPPNGIDFAGHQATGRYTNGRTIVDILGQEMGLEGLMPPYLAPETTGDALLKGVNYASGGGGILNQTGSIFGARLNFDAQIDNYANTRHDLIARHGEVAAVSLLRGSIFAVTMGSNDFINNYLTPIFSAPERAVTPPAAFVNAMLAKYRQQLARLYLLDARKIVVANVGPIGCIPYQRETNPSAGAACAELPNQMAQSFNRRLKALLRELGAGLPGSRFVYADVYHIFSDIIANYRSHGFEVADSACCYVGGRFGGLMPCGPTSHYCADRSKYVFWDPYHPSEAVNALIARRILDGGADDISPVNVRQLMTT >Et_1B_013402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8045716:8048593:-1 gene:Et_1B_013402 transcript:Et_1B_013402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGEGDRVGGIGAAGMPGGQQFVDRSKVRILLCDGDSNSSREVLRLLCNCSYQVTCAKSPRQVINILNYEGGEIDIILAEVDLPVTKCFKMLKYIARNKDLRHIPIIMMSNRDEVPVVVKCLRLGAAEYLVKPLRTNELLNLWTHVWRRRRMLGLPEKNFVNDNFELVLSEPSDANTTSTTLLSDETDDRPKETTYQETGTSNQREYEFYPSVAEPEQKDKMEDIQGSVAAASPRRLYSRPIKTNLRVAESSAFLAYVKPSTPTSSSFDSELQRGGSRLDSLDNQGKCSSATDRSDTGIDVNIRDKEACETPVQYPMVCYSSSNLHMEQSSEGHNDTSRTPPVYHFPFYYPGMLEHGMPHPSVQNFQGNINHAQSHPPPTLFPQYNIYPQCHSMPMVQPFQFNPAGMSMQSSHLPTQNVWSPVTSTPMPEETCNRSERRAQALAKFRQKRKERCFDKKVRYVNRKKLAETRPRVRGQFVRQASNADIISTGDDISEDEDDDPSSREVEMGHCLRFYPFQ >Et_5B_044279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22238178:22240325:1 gene:Et_5B_044279 transcript:Et_5B_044279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVAAPLPFRRDLLRGPLGRQPARSRGRRSGTALFWSGGAGGRPAAPAWLVRARGRNRSGGRSATREEEDDEDLVEDEKTEMLIVEAGDEEEFTGDELSGFRGLVLDISYRPVNVVCWKRAICLEFMEKADVLEYYDQTVSSPSGSFNIPAVLRVPQLLHVVKRRRVKQSLSRKNIIYRDGFTCQYCSSGENLTIDHVIPISRGGKWEWENLVTACARCNSRKGQKTLEQANMKLLKVPKAPKEYDILAVPLTKTAFRTLRRNQGLPEEWLQYLAKPSP >Et_1A_006390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21877351:21883139:1 gene:Et_1A_006390 transcript:Et_1A_006390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHALRLRPLLSTARPTPPSAAARRRHAAAFLVVRCSSAGAPSAAQALKINSIPTKPVEGQKTGTSGLRKKVKVFQEENYLANWIQALFNSLPPEDYVGGTLVLGGDGRYFNKEAAQTIIKIAAGNGVGKILVGRNGILSTPAVSAVIRKREANGGFIMSASHNPGGPDNDWGIKFNYSSGQPAPETITDQIYGNTLSISEIKTADIPDIDLSSLGVVSYGDFTVEVIDPVSDYLALMENVFDFQLIKDLLSRPDFRFIFDAMHAVTGAYAGPIFVEKLGADPDCILNGVPLEDFGNGHPDPNLTYAKELVFTMFGTRAPDFGAASDGDGDRNMILGKRFFVTPSDSVAIIAANAEAAIPYFQSGTKGLARSMPTSGALDRVAEKLNVPFFEVPTGWKFFGNLMDAGKLSVCGEESFGTGSDHIREKDGIWAVLAWLSIIAHRNKGKKVGEKLVSVEDIAREHWTTYGRNFFSRYDYEVDGSTVSKQGLRFVFTDGSRIIFRLSGTGSAGATIRLYIEQFESDASKHGLDAQTALKPLIDLALSVSKLKDFTGRDRPTVIT >Et_7B_054087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15192272:15198393:-1 gene:Et_7B_054087 transcript:Et_7B_054087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAGLLTTETPAKMRAVQYDAYGGGAAGLKMGDDHFYDSTTDVAGVVAAVGPGVKGFKPGDKVVAMLNTFYGGGFAEYAVASAKLTVKRPPGVSTADGAGLPVAAGTALQTLKSIGAKFDGTTTAAGNTPQKKVLITAASGGVGHYAVQLAKLVGFHVTATCGARNLDLVRSLGADEVLDYKTLEGASLRSPSGKKYDYVIHCTGVGIAWSAFRTVMAAKEGKVVDVTANASAVLRSVAHKVTFARKRLVPLLLWPNKADLELLVGLLEEGKLRTVVDSRFPLSQASEAWEKSAGGHATGKVIVEMEG >Et_1A_008718.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:10587733:10589616:-1 gene:Et_1A_008718 transcript:Et_1A_008718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRENQPLMDSSAASTGLSFSSFTSGSYGQSQITFSTVDNGCSNGHKGLHRSSHAPAQDDGCRLVLGLGPTPEGGQSAAGHQPAGADKSRAPVTLFGQSFSFSDPAGTLSLGPQQGRRNAGAVQRSEGAFAGNIISFGASAVDEGSTSSARRSSGVYMPSLFFTPQPNYSAAVEEGGTDTDDTVHAAHNGLRLSPEPSASMTEASFGVSSDVVTVVSYPGQGQQQASRRHPKKCRFKGCSKGARGASGLCIAHGGGQRCQKPGCHKGAESRTAYCKAHGGGHRCQQLGCTKSAEGKTDYCIAHGGGRRCGHAGCPKAARGKSGRCIKHGGGKRCSVEGCIRSAEGRVGLCISHGGGRRCQFPDCRKGAQGSTLYCKAHGGGKRCVFEGCGKGAEGSTPLCKAHGGGKRCAHGGGGVCPKSVHGGTEFCVAHGGGKRCVFEGCGKSARGRTDRCVKHGGGKRCRVDGCDKSAQGSTDFCKAHGGGKRCSYGGSGCEKFARGRSGLCAAHGTLVAAQQRRASGAGMIGPGLFHGIVPSGSGGVNNNNEHSSSGVSTVSDCDGSPVELIPPQVLVPHSMKSTPSAAATPSVRSREGGVAAVPEGRVHGGGLLTLLGGSFRNVVVDVDKL >Et_5B_045361.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:197700:198275:-1 gene:Et_5B_045361 transcript:Et_5B_045361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPYIPAVKGGSRCREHDEVVPAEVARHHEHPAAGRCCCSAVVQEVSAPAETVWSVVRRFEEPQAYKRFVRSCAVVAGDGGVGTLREVRVVSGLPAESSRERLEILDDQRHVLSFRVVGGEHRLRNYRSVTTVHSSQCSSASSTTVVVESYVVDVPPGNSTEETRVFVDTIVKCNLQSLARTAEKLAGRR >Et_2B_019700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12589482:12591474:-1 gene:Et_2B_019700 transcript:Et_2B_019700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQISTPSFFNFLKEGVLLPTRNRKLFAAVFVLVAASSSLFLLGNNLAVQPLTDKLNLDTKALNSTNPGNSQIQDDTRQLIVVTAAYHLFAVIVRSIIQIIVLFATVATYSGELHTFGTLLGKAKAQIKGPLVTLAFFGLDWASRLLMFKRYFGLLFVESLVLLLAFIFFIYFSFVSSLSVVVAVAEPGCHGAGALGRAWRLMKDKKTRAMLYILVTGALAAVLSPVHTLAQTCILSYLASGLLLEFLYHILRGIVRHVRHDRVLLQVQGKHRCIGDRVVPPDSSLNQIPLKWTISTNNGPPSQELLSSYHGP >Et_1B_014368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6922718:6925090:-1 gene:Et_1B_014368 transcript:Et_1B_014368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSQFPHCNSIKCLKCVVGQASDSEGAEAIGVVAGGGVVAAGGVRVAALVRGDHHAAVRRQPLHPLLHPAVAVGAPEVAPAHQASVIDGNVAARRYSEPDILDNFYASLRPFHKVIEIPGMEAVLDNLLTVFPPLVAIIQHIDFASGLKAEPRLPHLPPNFHLPSLRHRI >Et_8B_060697.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5077631:5078518:1 gene:Et_8B_060697 transcript:Et_8B_060697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNCTPALHGPSRLSRASSGGMPPLVENDDHATIFTDEEFEALVSGDCEMMSCSDDDELQPQLSWGHDAQSQAPLFSEEAARLNAPLFSIDDLQATLSEDEAEMLAEMLCDEEIAAILASQLKPKQQPRALPRSDGKVGNKRKSRPPQRNCSDGAELVQVPPAKRARGRKAKDAAERRACWQRRIAGEILNHRSSRGRTALRCQCAELAAAAGGRDDRCCALHQEVTGPGREWMLAKRRRVPRVGGRGKVVVPTRSSGDTIATVAQYAHWRRSVWMPTRFYVERAAERATGARG >Et_8A_056465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10454031:10462022:-1 gene:Et_8A_056465 transcript:Et_8A_056465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTASADERPLVELASAAPSTPTSAAAAAAPFPPPAAPGFSRQVRCNNAAPSSSSFPDDGGGGLAYPGNAISTTKYTPASFVPKSLFEQFRRAANFFFLVVACVSFSPLAPYRAVSVLLPLIVVIGAAMAKEAVEDWRRKQQDIEVNNRKVEVYDGSQSFHVTEWKKLRVGDIVKVKKDEFFPADLLLLSSSYEDGICYVETMNLDGETNLKRKQALEVTKGLNDEHFLHSFKAFIQCEDPNEKLYSFLGTLYYSEQQYPLSPQQILLRDSKLRNTNCIYGAVIFTGHDTKVMQNAMEPPSKRSSVERRMDKIIYLLFAILLAIACFGSVVFGIKTKGELGAGNYAWYLRPDKSNIFFDPNRASLAAFCHFLTSLMLYMCLVPISLYISIEIVKVLQSTFINQDRDMYCEESDKPARARTSNLNEELGQVHTILSDKTGTLTCNSMEFLKCSIAGVAYGNSATEGEMSYEGIEEISGHNGHKDAAKSVKGFNFSDDRLMNGKWSKESSRDAIEMFFRVLSVCHTAIPVADTNSVGMPYEAESPDEAALVTAAREIGFEFYHRTQTTISVHECDTVSGRKVDRTYKLLNILEFSSARKRMSVIVRTEEGRIFLFCKGADSVILERLSKNNGKDCLARTKCHIDEYSEAGLRTLALAYRELTEEEYVAWNNEYSSAKNSVRTDHEAAVEKASENIEKDLILLGATAVEDRLQKGVPECIHKLAQAGIKIWILTGDKLETAVNIGYACNLLRKEMEEIFIILDNPCTNASKGCDGEGNRMAPYDEIDRKLQDARRKISLKGNSAPVALIIDGNALTHALTGNLKNSFFDLAVDCASVLCCRVSPKQKALVTRLVKLTTRKITLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRITAMICYIFFKNLTFGLTLFWFEAHAMFSAQPAYNDWFMSFYNVAFTSLPVIALGVFDKDVSSRVCLKVPSLHLDGVNNTLFSWSRILSWMLNGMCCSIIIYFGAINSILIQAVGQDGRVAGLDILGVTMYTCVVWTVNCQLALYISYFTWIQHFVIWASILLWYIFLVVYGMFPATISTSAYHVFLETCAPSPIYWLSTLVIVVTALLPFSFYKIIQSLFYPQCHEQSYLSVSEWPEASKMAAKTQ >Et_8B_059356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17038167:17041870:1 gene:Et_8B_059356 transcript:Et_8B_059356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAKKEEICSNRLVPRLGEPAIGVPIKKRPVSLSDNSVASGIPISMMPLSTAQEMSVPAAGAGFGKESFISFARSDTNMVTQGKGITNSQVQDHANRSFTTLSMTTSNRVPLNGSTESPSAESAAPVNESQRQNPLAFDLQLPSYQSGKINLGSTVKEEKTEQGFLGFSSAQHPKNVQSQSEANALSSSSFGKLPNLDLNVPFDPADSLEGMPTMHEESTGLYQGTIQHQKDQMPVSAPVSTVNSGLSRSTNSTLNLSNSSKLSHKSGPADVTLDLQLKPPARPELGVSWKGLAPAPELSLSLFGKPATEPKDLTAPNLLFSLEPAGDSRNTSEEVAMSELAKSPVEKIVRPAPCNEKPQNTAPSVVSGSSKVVSKNLVKKEPEEASQQHSLSGMEKEPLLHKQSVGLVRSCPASKTGFDLNSNIFPNNSIHDALDVATDNIPTPAESLPDILRTETLPAVPEVQKYVKREETGSATPNPAEATANGHSVPSAAAKSSPLVGDSPSPAAGLCERVSQQSMSISEPSCSNADYKPPATPLNSQNVIREEMASCFNSVAQPLVLNSRDRAAVDGLSQGSAEMDCSDDDAAAISQLPSTDKPRIEPLGSGPTAKDGASAKILCKELQKEHDNDTPQNHSSLAKFVNEESKDKQLPNADNDSTQDVKTAVGSSSTDPRKPSALQISTSLKMEPNKESPGPSDKLEKSSSPELNSVRSPHGKQASSCSKDHAKIAVVKREHQTESEEITKQSDLHSRDSVLGDDSDKASSSQANSECGKVKSASEMSEYDKPKPDSLRTSSLQNERTGQFVGYPYVNRNERWERFMESEREKNKGDYHGGRHPSDMINHRRTDHRYGGRGSGSHSHHPRSFRGPRMSNESEMTFADEHISSRRRPFEDDLRHSQRILHRRQRGCLMRDMDIDDFSGREIPGPRQIACGQIGDLPDDMIEDRFFVPHSRRQHAPGDHGFVRRERSHSPAQRRGTPVHFHRGRSPEVMHRSPSLGRTERAYMHHRRPTRRHGSPPDRVGHNERGMQRNTRRCGIIGSHQALEEDAFEPPLHPAHLAEFHAEEELVHKRRYDDRRAYLRSLEGGLVGDEEEMLSYHSEDGDMEFAEGDGPREHDGCFRNRFGHRARGEQQDGYRQHRGPPNGSRPKKRRY >Et_5A_042751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3645396:3647065:1 gene:Et_5A_042751 transcript:Et_5A_042751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGDTLPSYSAFLDGDDHHHPLYLDHPSSFPAAAAQEPNQPPPPARKPRKRARASRRPPTTVLTTDASNFRAMVQEFTGFPAPLPPFAAPHLIGAGAGALFGAGPSPSAAPAFHQLLRPSPLKLSAAATPQASLFAHTLFTSSNANPTAAAAVAPSLSSELYSRFGPFAGGAVPCYDNVDEGFPAMEGERPQHGHGLATSFLHAEDSLLR >Et_9B_064463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15445667:15453446:1 gene:Et_9B_064463 transcript:Et_9B_064463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELQAAVTAHLDQVSGLVQALSSELRRGMGPAADNLRAFVHAVDWTEPWLVCLMVFHVILLIIAVGLRRNANFQLFLLFLAYSGVYMAEKMNRYLREHWQSFASQNYFDRAGVFISVVWSGPLIFVSIISVICSLITLCRMMVKWKRAELRHRAQLAPTIPSSMKLTYGLLSIDNSNEELTAALESLVNPGITTRRGVEASLEMVSSQESVSSAATHHQRQKENNKQAHLDKSSTEMRNVKQEFTPTSYR >Et_4B_039631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24374897:24378001:-1 gene:Et_4B_039631 transcript:Et_4B_039631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERVKAEALQILGLFQVLPRLVVFDLDYTLWPFYWYVPLPLVSLLPTPNIFSDHASLSGDGKVLLGSDSHSECRSKRDSPSLFKHARGIMYALKEKGIDMAIASRSPTPDIAKVFLDKLELQSMFVAQEIFSSWTHKTEHFQKIQRKTGIPFKSMLFFDDEDRNIETVSKMGVTSVLVENGVNLNMFKLGLSNFATNCAATSGKQDE >Et_5B_045761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:930256:932061:1 gene:Et_5B_045761 transcript:Et_5B_045761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCCVECKPCTGGGLRALFKCRRPTFQLRRAFGKMRGGHRRRRRAGSFSSVRAVFWPLMSMRSDADARTDDRPPSASTDDDSGARAPSPSLDDTPGGAASTTAARVLALQARLGEADDDDEPPPTQKAVVATASPARAPSSPPPPPRDDMAASTTAARVLALQARLADDAPAAPVTTASKTTAAVKAGSIRVQAVREHAKVVDDDREVDDVEAACKGFEKHLMEMLVDERKVMDLMDVEELLVCWDKLRSPVFVQLVGRFYGEVCMDLFSDSDGCDGDLVGHQSNVDSETDPTAPRAFFLLEIQAVQGLRLAPRHQEAGFRRNRNNHRGFPAYRCADGRWRRSACHVDPCAAGKNDGKDEARSSAGCAAEGKEIRWILAQKPVAVPRRYPELTPRPGEEADEAKRRLYVVAKGFFDMEERFPKLQEWVREQLETKGVVEIDDEWAKRKADAQAIVDREWPKIEATIQSIRVLQTQAGGQGHEQSDDSDSDD >Et_2B_020955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25088823:25093419:-1 gene:Et_2B_020955 transcript:Et_2B_020955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRQPAKPEDAEAEATKALHHKLIWMAGTCRYTKEALGLSFKLLEINLEAYIAWNYRKLAFQHNLTDLTDPEAIKSAVDDELRANPKSYGAWYHRKWLLNQKLAPVDFKREFGLLDKLLKADARNFHGWNYRRFLAGLIGVPDEE >Et_6B_048374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17026135:17027658:-1 gene:Et_6B_048374 transcript:Et_6B_048374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELPEDILLLIFGSLEVPDLVRAGSVCSSWHGAYTTICDDLRLWKQRQPQAPCLLYTAKSASGESTTGLYSVTENKAYTLRRPEPPIRGWYFIGLAHGWIITADERSELHLVNPVTGDQIALPSVTTIKQVTPIYDEDGTLNGYYSWAACNSERKPPSTFALSKLRDYLWRKLSFARAGDDSWTVKQSVVLEKMKDCTYEIMQIVQAPSGDLLQIWWECQCVRKEPESDDSEPYRRYSTLAKVYRVDLAAKELVELSSLGENVLFLGQNQSVCLSAQEHPHLKANHIYITDMDEYITVGRKDMERDIAVLDLDNNSREKIVCPQVWSNWPNPVWITPNPRKKAMLRTERNTGGGRERMPTAVPEATRWCTTAEGPAGGKTSSLNKVAVKTRNYFLDLVAIFIFGLLGKIRAICCLIVEKASLYTER >Et_4B_038217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27240008:27242314:1 gene:Et_4B_038217 transcript:Et_4B_038217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFSETANGPYDLSGRTDVGKLPTSPWDSTVKARIGLQRFSPSLNLELGVTTTDRATRCRANRRSTSTAPPPAFAVEGFDPREDFFFCLVVRLHPARIADAYQSTARTNCDLMESDKSQLGQIDPRRARFPCCIVWTPIPFITWLVPFIGHIGICREDGVILDFAGPNFISVDNFAFGAVARYIQVNGDECYKLLEPEGEATWDDALKKGTREFQDRNYNLFTCNCHSFVVNNLNRLFYSGHDKWNVVTLAAVMFLRGHWVSTAAAVKTFLPFMVLLIVGTLLGGTTFLVSLLAFATAMTGWFLVGTYCIKGLIEL >Et_3A_025800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3364166:3367571:-1 gene:Et_3A_025800 transcript:Et_3A_025800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEARRGSAGGAAQAALRASSEDRKAAGAAGSGSPPPMGHKIQLKSADMKEEMRQEAFEIARVAFEKNTMEKDIAEYIKKEFDKNHGPTWHCIVGRNFGSYVTHETNYFVYFYIDSKAVLLFKSG >Et_7B_054722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3832586:3834380:-1 gene:Et_7B_054722 transcript:Et_7B_054722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTERAFLKQPKVFLSSKKSGKGKKPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSTGGSGGKKAFTAA >Et_10B_003794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6188355:6192016:-1 gene:Et_10B_003794 transcript:Et_10B_003794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVIQCSVGNISLFHLGSFRTSREIQIRRFNGSARYSRIVSPSSRRLLHPQTAFHLISIYKRRTLSAATVGTDVTVEDQNSSPSGEASDENSEAAPDTVEAGEQAEARTDQASAPKSGRNIRKSEMPALNEEDLVPGASFTGKVRSIKPFGVFVDIGAFTEGLVHISRVSDGFVKDISSLFTVGQEVSVRLLEANKETGRISLTMREGGDYVKPPKETPKAASGGRSDTTTPTRSSPRQTKGKQEAKAFSESKYVPGQSMTGTVKSTNRSGTFVALPDGSEGFLPREEEAVALFTLIGQSAMEVGKQIRVKVLNVAQGQATLTMKDVEDDEDDLKELNTELKRDWSRGTNAFELAFRRNKEISAFLDQREKINVPQAPAKSLETDIPTAEIESVESDSSVSVTEPEGKEEVTSVSENGAADSTSVSSVSETETKPTGPEESSPAEEVPVTASSGSVDDATNGSAEKEPAAVAEAAAVPIEETGAEVATARVEQASTATATVSPALVKQLREATGAGMMDCKKALAESGGDIEKAQEFLRKKGLAAADKRAGRATAEGRIGSYIHDSRIGVLLEVNCETDFVSRGDVFKELVDDLAMQIAACPQVSYISIDDVPEEVVKKETELEMQREDLLSKPEQIRAKIVEGRVNKRLGEFALFEQPFIKNDKMTVSDWVKQTIATIGENMKVKRFVRYNLGEGLEKKSQDFAAEVAAQTAAKAPPSAPPKDDKPAETTETVEKKPAVAVSAALVKQLREETGAGMMDCKKALAETGGDIQKAQEFLRKKGLSSADKKSSRLAAEGLIGSYIHDNRIGCMIEINSETDFVARNEKFKELVNDFAMQVVACPQVEYVSVEDIPESVVSKEKELEMQREDLQSKPENIREKIVEGRIAKRLGVMALLEQPFIKDDSKTVKDLVKEMIATLGENIKVRRFVRYTLGEN >Et_5A_042320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8783408:8788681:1 gene:Et_5A_042320 transcript:Et_5A_042320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVAADMELDRPNLEDYLPPDSLPQEAPRNLHLRDLLDISPVLTEAAGAIVDDSFTRCFKSNSPEPWNWNIYLFPLWCFGVVIRYGLLFPLRSLTLAIGWLAFFAAFFPVHFLLKGQPKLRSKIERKLVEMMCSVFVASWTGVIKYHGPRPSTRPHQVFVANHTSMIDFIILEQMTAFSVIMQKHPGWVGFIQSTILESVGCIWFNRNDLRDREVTARKLRDHVQQPDNNPLLIFPEGTCVNNQYTVMFKKGAFELGCAVCPIAIKYNKIFVDAFWNSKKQSFTMHLVRLMTSWAVVCDVWYLEPQYLREGETAIEFAERVRDMIAARAGLKKVPWDGYLKHNRPSPKHTEEKQRIFAESVLRRLEEKEK >Et_4B_038607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3260712:3267556:-1 gene:Et_4B_038607 transcript:Et_4B_038607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLAAVLFVLAVVAGAASAGFIDPPDDMPAGTGASQLGRFAVLVYNLNRGTKLRYVGVSDSERHPDKGGVRYQMTVTAANHAGVTGDYKVTVWGIPKTYQWMLLEFKHLAMATRSFLPLVVAALVIAAAFPAGTDATWTTVMNPRDLVITQVGRFCVLVYNLPHRTSFEFLRVVRGQTERLVTGTNYRLVLEVAPTHGARPRLYHMRSPAVALLGAAFLVVAATLPASSRAEWVQVPDVHRDLVIKQVAQFSVLVYGLAHRKDVRFVDVVRGQTEEAVGGGTNYKLVVVAARAEDGSTAEYECLVWGVPGSRSDTWKLRRFRKIHS >Et_9B_066035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21098736:21102049:-1 gene:Et_9B_066035 transcript:Et_9B_066035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASQSHGGGGGGGSSSPPPFLIKTYEMVEDPATNHVVSWGPGGASFVVWNPPDFSRDLLPKYFKHNNFSSFIRQLNTYGFRKIDPERWEFANEDFIRGHTHLLKNIHRRKPVHSHSLQNQVNGPLAESERREYEDEINRLKYEKSLLLADLQRQNQQHSGINWQMQLLEDRLMQMEQRQKNIIASLSDILQRNGVASGTLLETDHFSKKRRVPKIDFCVNDPTIEEQQVMGAVTETPSMFPEPFDRMEMSLISLEKFIQRASDESGEDMFTGSAEPSPGVTLGQMHPEPVETNINLQESSCYVQSPVLPLPDLEEDAHRTAEADMNSDTTTADTSQDEATTETGLSHEPTKVNDIFWERFLTETPKFYRADEAESGRQGEECKTEPIEAKEDLKIAVDCSFLHHRDKDGLETVRQIKTD >Et_1B_011788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2674981:2679437:-1 gene:Et_1B_011788 transcript:Et_1B_011788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPAAEAMDVEAPARPPTSATKRSSPHDLLAETRASIEKVAARMLAVKRDGAPKSELRELVTQMSLHLITLRQVNREILMEEDKVKGETEAAKAPVDSTTLLLHNLLYEKNHYVKAIRGCMDFQTKYPGIELVPEEEFHRSAPADIREKTLAADAAHDLMLKRLNFELVQRKELCKLHEKLEQQRSSLLETIANQKKFLSSLPSHLKSLKKASLPVQQQLGMQHTKKLKQHHAAELLPTPLYIAYTQLLGQKEAFGENIEVEIAGSTKDAQIFARQQAKKENGTLSNGDNNKIDDDGIEDDEDAQRRRSRSKKNVMKETNNPAVVYQLHPLRLILHVYDNENSGTKRRKLITLRFEFLAKLNVVCVGIEDSEGLDNNILCNLFPDDTGLELPHQMAKISAGEAPNFSDKDSRPYKWAQHLAGIDFLPEVPPSVGDDSIRALSSVDLSSGLALYRQQHRAQTILQRIRTRKVAQMALMWQLDYLTKLKWPRIEPKNTPWASRNPLCSMHSWSLTGSFPEPSHRLSLMMSGAASSAESDIERRSVTHWGETESTREDGELPVVVPAENELNGSTVLDSVDSEMSPEVRSHSRGLSLISKSATPSKLSISHSFGRYEDDVDLLMYSDSELEDPPGIHEETEKGSLTIDRSWEDYATREFTMVLSKSIKNGPKIMLEAKVKISMEYPLRPPHFRLRLLSEKFETLKWRNDLRAMEAEVNLHILRSLPSSCEDYILAHQVMCLAMLFDMHFDEDYEKRKATSVIDVGLGKPVSGNMLTRSVRGRDRRQTIYWRGADCSSSYL >Et_1A_006351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21023969:21025620:-1 gene:Et_1A_006351 transcript:Et_1A_006351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPSSSACPTITFEEALKREMEYRKRLERTHPHLLIALSGASETQKVITAISDISKRKVAPECSVSAQQSSLTCATIQRQPQNWYPTKKKVKVPQSPSQILQCPRPNVVPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGNRNTVSEILDKMPMQFLEEQIMNQMRQELLLDKSVICHQKAELMEQMKPDALPYLRAAWISLQSDIYNNAPTHFHLIGR >Et_3A_027009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29436946:29442428:1 gene:Et_3A_027009 transcript:Et_3A_027009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMAEAVQEGCVENRQPLAASSSSVSDGSSCGGGGRAGMSPPVSSSANSISGLRRTSGPIRRAKGGWTPEERSYQLLLIGFNICQQDDTLRKAVEAFKAEYFPDRTEVQCLHRWQKVLNPELIKGPWTQEEDDKIIDLVKKYGPTKWSVIARSLPGRIGKQCRERWHNHLNPDIRKDAWTPEEERALINAHRVYGNKWAEIAKVLPGRVGSKDFPGIAHRSNMSPLSQAYKSEGIKDCSGFLSLSISTTQPPTSYEMSSLVDGSAVTLAVQGRESDSVRDKGLEIDSFHEKGIEVSSTPGPVGEVCTIQLESARAGSGPESSLKNELHSTLGPLCYKTLDMKDVDSVSSPPRGAHQITHERLMSPNGFTSPSSTGLTVDSILKNAADSFPGTPSILRRRKRDKSTPASDSELKIGGGTTDSFFTPNGKGTTTDTPRSFKTASFLSLAPLDGLLTSVRSCDTSPPYQIRSKRMAAMKTVSHHLDFSADGLDTSGSEILNSPCDKSQGANSITEALRMQAKELNEHVTQLETLTKDVAHTADLDELISILRSMMGHKCLPN >Et_10B_003623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:418108:419601:1 gene:Et_10B_003623 transcript:Et_10B_003623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSLGPTHQDGFARIIPKKKSSARKGDADSHDQWGRHQPPIRPTLGFNMKTIKYGKYEFLFMCISRQQHQRNIYTLVASQTAVLSRLLGWDFRRLDYCRASMLIFTDKQDIQILDLEAMEKSQHWQITSAAAPAQATGCFKVSTGSSKL >Et_8B_059507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18655581:18658154:1 gene:Et_8B_059507 transcript:Et_8B_059507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ETYKVHTSALKMMMGLLAFESHQGLWSGGYYSQLFGIGGAKLLGDQLIVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKDPDTGAGSCDELKSQLSDFLPTSRRIMQFSNGKAPSPGARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGIHDDQSIRDRRGYRPIMHLHERTLSVLSCRYVDEVIIGAPWEVSKDMITTFNISMVVHGTVAEGNSAGEIDPYAVPKSMGIFRTITSPKMITTVSVATRIVDNHEAYKKRNLKKKASEDKYYTQKKFVSGD >Et_9B_064623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17030643:17034284:-1 gene:Et_9B_064623 transcript:Et_9B_064623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLASSRRLLQAALATPAVAAPENGYAPKMPHFDYTPPPYEGPRAEEIFRKRAEFLSPSLFHFYDRPLNIVDGKMQYLFDEDGRRYLDAFGGIATVCCGHCHPDIVEAMVNQAKRIQHSTVLYLNHAIADFAEALASKMPGDLKVVFFTNSGTEANELALIIARLYTGCHDIISLRNGYHGNAAGTMGATAQSNWKFNVVQTGVHHALNPDPYRGAFGSDGEKYARDVQEIIEFGTTGRVGGFISEAIQGVGGIVELAPGYLPAAYNMVRKAGGLCIADEVQAGVARTGSHFWGFEAQGVIPDIVTMAKGIGNGIPIGAVVTTPEIAQVLTRRSYFNTFGGNPVSTAGGHAVLKVLEKEKLQENAFVVGSYLKERLNMLKEKHDIIGDVRGRGFLLGVELVTDRQAKTPAKAEISHVMNHMKEMGVLVGKGGFYGNVFRITPPLCFTKEDSDFFIEVMDIALSKL >Et_3A_025760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32643417:32645777:1 gene:Et_3A_025760 transcript:Et_3A_025760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGAMSDPERMFFFELACKNSEAAYAQNPLDADNLTRWGGALLELSQVRNGPESLKCLEDAESKLEEALKIDPSKADALWCLGNAQTSHGFFTPDTVKANEFFEKAAECFQKAVDVEPANDLYRKSLDLSSKAPELHLEIHRQMASQASQAAPSGSNQRQARRKKKDTEFWYDVFGWVILGAGLFAWVALAKGNAPPPPQSRF >Et_2B_019367.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25773916:25774002:-1 gene:Et_2B_019367 transcript:Et_2B_019367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFVQGRLLTAFHQIFATRSAGSSRLA >Et_9A_062072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19532273:19539275:1 gene:Et_9A_062072 transcript:Et_9A_062072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFGGVFCAAILTVLLACLDVTLGQYTDPSEVNALRAIQGSLVDPMNNLKNWNRGDPCRSNWTGVFCHKVNNDAFLHVTELQLFKRNLSGTLAPEVSLLSQLKTLDFMWNTLTGSIPKEIGNVTTLKLLLLNGNQLSGILPDEIGNLWNLNRLQVDQNQISGPIPKSFANLTSVKHLHMNNNSLSGQIPSELSRLPLLLHLLVDNNNLSGPLPPEFAAAPALKIFQADNNNFSGSSIPATYSNISTLLKLSLRNCGLQGTIPDPSGIRQLGYLDLSRNQLTGSIPTNKLSSNITTIDLSHNMLNGTIPLNFSGLPNLQILDFQNNHLKGIPDAFNPPPNVTVMLYGNPICEKTNGVLITNLCQPQSVNQQTLKQGQSSNLNCAPCPTDKDYEYNHHPLYLAFSPGITDFRPYEEAFDINLTSLLQLLSYQLNIENYIWEVGPRLNMHMKLFPSNTSLFNISEVVRLRHVLAGWEITLSDVFGPYELLNFTLGSYADEIPNAASVGLSKVALGGILAGTIAGAIALTVVATTLVLRKRSRYKTVSKRSLSRFSVKVDGVRCFTFEEMSAATNNFDLKAQVGQGGYGKVYKGILADGEIVAIKRAHEDSLQGSREFCTEIELLSRLHHRNLVSLAGYCDEEDEQMLVYEFMPNGTLRDHLSAKSKRPLSFGLRLQIALGAAKGILYLHTEANPPIFHRDVKASNILLDSKFIAKVADFGLSRLAPVPDVEGTLPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGIVFLEMLTGLKPIEHGKNIVREVNAACQSGNVSEIIDSRLGLYPSECVRRFLSLATKCCQDETDARPSMWEIVRELETILRMMPEEDLVLLETSDTYSTDMSKSVSASASGTLFISSQTSGSVDASGGTLSGRLTPR >Et_4B_037730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22974786:22976833:-1 gene:Et_4B_037730 transcript:Et_4B_037730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGSLTADQLSFFETNGYLVLESFSSEEEVREMRDRMAELVAGFDGANSAVFSTKDHRQLKDDYFFKSAENISFFFEENAFGDDGSLKQPKELSINKVGHALHELDPVFKKFCFSESVASLFSSLSYKRPAVMQSMYIFKQPGIGGEVVPHQDSTFLYTEPPTCTGLWLALQDATINNGCLWAIPGSHKNGLIRRMLRDENGTHFDRPSPSYDQKDFVPLEVKSGALVVIHGDLIHQSFENHSPESRHAFSLHIVDTEGCEWSKDNWSVHDAIS >Et_4A_035340.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:20921394:20922683:1 gene:Et_4A_035340 transcript:Et_4A_035340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELSCPDAAAASSSVSSSSSSSAGSSLADRSSTFSLDSSSAAATPSSSPPRPHRASDVAWAPIRGRAASAPLGPRDFTLLRRVGAGDIGTVYLCRLLEVEANNADEATAACEYAMKVVDRRALARKGKLCRAAAEKRVLRRLDHPFLPTMFADFDAGTDFSCVVMEFCPGGDLHSLRHRMPARRFPLASARFYAAEVLLALEYLHMMGIVYRDLKPENVLIRADGHIMLTDFDLSLESTASPALERNEAPAPTCLPIPELKRWKRRASAAPPRPRFVAEPVDARSSSFVGTHEYVAPEVARGGGHGAAVDWWAYGVFLYELVYGRTPFVGDTNEATLRNIVRRPLEFPAHGVHGDAAAARDLISRLLDKDPRTRLGSRRGAADVKAHGFFKGLNFALLRSAPPPVVPPPAALHRCEKAADVPQLFEHF >Et_6A_047037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24531452:24532540:1 gene:Et_6A_047037 transcript:Et_6A_047037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAAIADGALGRILSFPTRPLARRRCPGGGATSSPPSTPSPWRPRSRRSAKTATDNRSCFRRHVDLDFVNGVSSAISGRLRGPGGVVPPLRTLRVAFHDLGAEDWALLDQWILYAGDDELHLDLHLRRGPRPARITTPKTPRRPQTRPIIKMQVGDGHPYVEEEYTGQEDDDMDDGWLLSAMATEFEYATPRSLFSCAALRTLRLGPCCRLDLPSLDTLLLTLTGVAGAVERLTLEACAALADLALRCCHRLASVATDSLALRAFECRGPVLAHSVLAMEGSTHLVSVTRLTSAATLPAELADLTAFLHQHGALAPQVRPALPRAAKVPRVP >Et_4A_033470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23064616:23070101:-1 gene:Et_4A_033470 transcript:Et_4A_033470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLSFLTHTTRNPEPRGPAARGRGRAEEGARMRSAGREDEEASRKLKSMDVDQLENGAGEDGHPPRPAVKYYGWKAMPFIIGNETFEKLGTLGTSANLLVYLTQVFHMRSVDAATLLNGLNGTTSLAPIIGAFLSDAYLGRYLALAIASVASLIGMFLLTLTAGADSLHPPECGVGETCEKATSYQFAVLFISFAFLVVGSAGIRPCSMPFGADQFDPHTESGKRGINSFFNWYYFTFTAAMLISATVIIYVQSSVSWSIGLGIPTILMFLACVLFFMGTRLYVKMTPEGSPFTSVVQVFAAAVKKRSLKQAKDPKQDLFDPPHTSTLVTKLAHTDQFRCLDKAAMVASHDEVRPGGAAPADPWRLCSVQQVEEVKCLIRIVPVWSTGIIYYVAVVQQSTYVVLSALQSDRHLGRAGFQIPAASFTVFAMLAQTIWIPIYDRLLLPKIRKITGKDEGLTLLQRMGIGIALSTVAMIISAIVEDRRRAIALSEPTLGTTITGGAISSMSALWMVPQLLILGLSEAFNLISQIEFYYKEIPEHMRSVAGALAFCNLALGNYLSGFLVTIVHRTTGSGSNWLAQDLNKGRLDLFYWTIAGIGVFNLIYFVICARWYRFKGTPN >Et_5B_043324.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:3013136:3013579:-1 gene:Et_5B_043324 transcript:Et_5B_043324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CSVSHPKIKALPVLVKAPLPYKSSQVFPNLSIPNTYHLISRTSTLLELHQIIHPMAILPRAFLVFNSKEIGSTQLQSDFKDLDLKIAGCTHRSQCLEVEATLLLMVQAQLPHILQINKSQLVFKIQAIVVRRKEGNVAIGQKMITKI >Et_4B_036490.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26677773:26678399:1 gene:Et_4B_036490 transcript:Et_4B_036490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HAKVPVLLHAGRPVCESLAILHYLDDAFPENFPRLLPTADPYTRAKARFWAEYSENFPRLLPAEPLLRQAAVVDDAGRRGGRRRLRGGAGDERRQVLRYLGTSGGDDAGEDGSTTALLMSGFRRRSGTGRGRWEVGGAQVAVGRERRWEVGRRGQVQEAARVTSAFRWSSTSPVARPEAVVQEDACATRAWGRGASKETGYDRVKALH >Et_1A_005039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22983615:22984718:-1 gene:Et_1A_005039 transcript:Et_1A_005039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSTLPGDLVRLVSGRLHDPLDFLRLRAVCRSWRSAAASPPPFLPWLLAHPCADHPTTSAFSFYSLASGATRSVTVPRPAAAHRLLGPTSSHLLLSDNPHLLLLNPLTGARLPLPDSPFPASGPIIQGYLISNAKKLFFRFGHPDPAGGEPTNPGAGWTELPVTGLVAENMYHRGKLFVYDDQGHITAFDATTRAVVGAVPPPPTALPNRDACRCAAFVPSGDELLCVIQYFAMDGCGEKLEDCGVLEVYGWIWGLGGVSRRISSRRGGSSLLGSTRGSYSVLQISPGSMGIASISSRCRGPRGLASTGLVWRMATQRSCRARGCMLASGLCQAYLDICLEETR >Et_6A_046583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17705824:17715000:-1 gene:Et_6A_046583 transcript:Et_6A_046583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVRLLLVLAVAALAAAVGEAVYTPYNTSAGVVAGKLNVHVVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLIPALLKDENRKFIYVEQAFFQRWWRNQNDIIKDIVKGLISSGRLELINGGMCMHDEAAVHYIDMIDQTTLGHRFIKEEFGQIPRIGWQIDPFGHSAVQAYLLGAEVGFDAFYFFRIDYQDRDTRKGTKELEVVWRGSKTLGSSADIFAGIFPKNYEPPPGEFYFEVDDDSPVVQDDPLLFDYNVEERVNDFVAAALAQANITRTNHVMFTMGTDFKYQYAESWFRNMDKLIHYVNKDGRVNALYSTPSIYTDAKYAANEPWPLKTNDFFPYADNPNAYWTGYFTSRPALKRYVRLMSGYYLASRQLEFFKGRHSSGLTTNSLGDALALAQHHDAVTGTEKQHVANDYAKRLSIGYTQAQELVSTSLGCLTESGSKSRCSSPTTKFSQCLLLNITYCPSSEMDFSNGKSLVVLVYNSLGWKREDVIRVPVFSDSIVVHDSEGREVESQLLPIADASLNLRDKHALRLMQSRSSGLLSLCLYHLWVLILILSQTPKNQSTIYSSQGSANSNLQVGQGHLKLQYSATGSLYSDSKTRIEANFEQKYKYYIGQDGNGSDPQASGAYIFRPNGTVPIKNGGQVPLTVLRGPILDEVHQQINSWIYQITRVYKEKDYVETEFIVGPIPVDDGNGKEIATEVVTNMATNKTFYTDSSGRDFIKRMRDYRSEWKIDVHQPIAGNYYPVNLGIYVEDGSKELSVLVDRSVGGSSIKDGQIELMLHRRLLHDDGRGVAEALNETVCLDNQCEGLVIEGKYYLKFDPQGEGAKWRRTFGQEIYSPLLLAFSEQDGGNWVNSHSPKFYAMDPTYSLPDNVALLTLQELEDGNVLLRFAHLYEAGEHKDLSSLASVDLKKVFPDNKIDKIIETSLSANQERAAMEKKRLKWKVKGAPAKENVVRGGPVDSSKLIVELGPMEIRTFIISFDDDVSGKQLL >Et_3B_029831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28357255:28362592:-1 gene:Et_3B_029831 transcript:Et_3B_029831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRPPPLGGPGAAAPAAAPAVGAPAGVSMRMFHGDVFLGEVEVFPMKKGPDGGLPFPSNEIRVSHLSPPSERCPPLAILQTIAPFSARCKLQAKPMPPHPSLQRLHLACFNEYKSAVVVVGNEELHLVAMPSRVEKVPCFWCCSARAGLYAASVAMLNRRCLAIVFDLDETLIVANTMKSFEDRIEMLSRRMETEEDPVRMTGMAAEIKRYIEDKDLLKEFIDTDTITDKGRIVGTQKEEVPPMSSGQERVLRPVIRLPDRNAILTRINPENRDTSVFVKLRPAWEELKSYLTAKEGRKRFEVFVCTMAERDYALEMWRLLDPEGSLINLQQLSERFKKSLQNVFRDGGCHPKMAMVIDDRLQVWDDKDQARVHVVPAYNPYYAPQAEMANAVPVLCVARNVACNSRVGFFREFDENLLKKVFELCYENELLDLPYAPDVGDYLICEDTNLVASNKDHAPIPEGMRGAEVEKRLNGQPYLGIQREGGRQVSASTRASDDEGMANRGIGGVRNIQSNGRSLATTPSVFVTVLQEIARLCDSKVDYRSTVSNGKTMQFSVEVLFGSEKIGIGVGKSRDEAQVQAAEKALQNLQSEYILDSLLSRATFTSFAAAELSSTDEPNNIVEALLNKLKAHCISACEKDAQNHGGDVNQAGIDVLLQSELLIDLVTWDS >Et_2B_021257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2881477:2886114:1 gene:Et_2B_021257 transcript:Et_2B_021257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGAPPAAPAAMAVDDAEDDQLATMSTDDIVRASRLLDNEIRDELQRTNLELESFKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >Et_3B_030170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31052836:31059061:-1 gene:Et_3B_030170 transcript:Et_3B_030170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRAGSPVYGRQRSGSSTGSSSPGGVSPSHHRSSSTSSAASAAGISNVRRTQNVAARAAAARLAQVMASQSAAAAAGDDDDEDDYAADHPPPPPARFGSGRPAHGSNGVSLLGRTARSPSPALGRNIVEPPPTVRSTSAGRPAVASRPTTTVVPPIKTNTTLRTPSPIPPVAVEPPADRSRPKRFDPGLLNSRESGLKRESSTLQDELDMLQEENESVLEKLRLAEEKCEEAEARAKELEKQVAALGDGVSLEARLLSRKEAALKQREAALKAARESKDGKDGEVSTLKQELESAKEEVASAMDQLKEAESETKALRSMTQRMVLTQEEMEEVVLKRCWLARYWGLAVQYGVYPEVAVSKHEHWSSLAPLPLEVVLSAGQKAKEEPRKQGEDEAQRRNKLVREMSDIMGEGNIESMLSVEMGLRELSSLKVEDAVVVALGQHRRPSIVRQFTSDFKSPGEPKYLEAFDLSPEEAEDVSFKQAWLIYFWRRAKTHGIEEDIADDRLQFWIGRNALAPNSHDAIDVERGLTELRKLGIEQQLWEGSRAEIDQASLAVENH >Et_2A_016193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2180388:2194528:1 gene:Et_2A_016193 transcript:Et_2A_016193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDPTNSRFLGPCAPTDEEAADLRRVAAAAGVLGATVARFATARPFTLFACALSCPTAYRVSVVLPLVVGCHGHVHGVLAVHDCTTAGASDCSTTRTSDCTPVGASDCTTTGTSNCTCTTAGASSCSNTTGTGSGKKDLDTLGPANCAGLFILGLAAAALGLFTMTLAPADYSSPGPCMATDEEAAALRATSKRLVLGAATQVVAAAVTLLAPTELFNFAFTAALAMGIAIADRASDVLWYLAACHDHVDDAHIVDYWAFVAASIMFVGLLLGHISGGGQASACQCGDGPLRHRVCCGGARALRHDRRPGQLPHPGSLSAHGRGGGRPARRVRAAASGRGGAAARGHDGAVTAAFPFTIFACALAFPVSYRAYVVLRMVVGCHGHVGHSAALAVHFWLLIYFLGAAVLGAIVGAIRGCCEGPCKPTDEEAADLRTASMKLLLAAATQVLGASVAVVLPDPPFAVCAAVLGAVTGMRVCFFLPALLMCHLEGAATVFSYGVFIGMMLLTLGVSIVAAAAPAPLARAAASAPPAPAAAPSLAHAAEPPPASPPAQGAAAAPPAPAAAQPAPAAQAIAAALAAPLAPAQAPAAARMVLMRCLLSRAALATGLFVLGLAAAALGLFAMTLIPADYSSLGSCAATGEEAASLRAKSKLLLLDAATQSLAAAVALLAPARVFVLYAALVMGLLTAYNATNVIWMLVACHGHVEGALSFHYWLFYGAIFSFAALLVKKLAVVDTD >Et_7A_052077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4443212:4447044:1 gene:Et_7A_052077 transcript:Et_7A_052077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEMVVGLSAPAPVGRWGAAPPQALLERMKDYGQEGAFALWDDLSPEDRELLVRDIESLDLSRIDRIIRRSLGSQGIPVPAVEPVPESSVSKVEDRSPEDKARWWKKGLKAISEGKLAVVLLAGGQGTRLGSSDPKGCFSIGLPSGKSLFQLQAERILCIQKLAAQSSESPSNTVPTHWYIMTSPFTDAATRQFFETHRYFSLEPDQVTFFQQGTLPCVSAYGRFIMETPYRVAKAPDGNGGVYAALKSKMLMEDMSTRGVKYVDCYGVDNALVRVADPTFAGYFIEKGVSSAAKVVRKAYPQETVGVFVQRGRGGPLSVVEYSEMDAAMTTEINQSTGRLRYCWSNVCLHMFTLDFLNQVANSLEKDSVYHLAEKKIPSIHGYTMGLKLEQFIFDAFTYSPSTALFEVLREEEFAPVKNANGAAYDTPDSAKLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPSEISF >Et_3A_024927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25441674:25444392:1 gene:Et_3A_024927 transcript:Et_3A_024927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRELRDSDAGPVGEQEEEEVEERGGGEVARLKAKRVLVGAGARVLFYPTLLYNVLRNRFEADFRWWDRVDQFILLGAVPFPSDVPRLKELGVHGVVTLNEPYETLVPSSLYQAYGIDHLVIPTRDYLFAPSLEDICRAIDFIHRNASHCGTTYVHCKAGRGRSTTIVLCYLIKYRGMKPEEALDHVRSIRPRVLLAPSQWHAVNAFSTLTTGLLPTQSTNRITNNEIYDYHTMEFDCEDSGLPLCQVMVPRPITPTVCIDAVVITEADLEGYDAYIDTRKGEVLLEAVTRRPFMRKLSCLFGSLKLNSNCEPAPSRFTEVRAC >Et_8A_056498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1108308:1112275:-1 gene:Et_8A_056498 transcript:Et_8A_056498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFSDVKSQVRRWRQHQVPEDRDVPHQKGRDEPGPCDRAVHGHPDGYEVIHASPSTRLFITKPGRPSPFSSAHAAVNMSTSAVSSTAVAAAAAASTSLSSVNRRRSSPASWAPTPLCPSLPCKISSSSPSRSPLVPVPAKAWPTAAGETASGKKLHIFDAEEDLVASLADYVAELSAKFTAQRGAFTVVLSGGSLVKALRKLAEPPYLEAVDWSKWHVFWVDERVVPKDHVDSNYKLAFDGFLSKVPIPADQVYAINDALSAEGASDDYETRLKQLVNNGVIEMSPVTEFPKFDLMLMGMGPDGHVASLFPGHPVVNENKKWVTFVKDSPKPPPERITFTFPVINSSAYVALVVTGAGKAGAVHKALSEQHNTSDLLPVEMVSLQDGELTWFTDKPAVGQDVLEASISAIIGDGA >Et_9B_063694.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19626115:19626717:1 gene:Et_9B_063694 transcript:Et_9B_063694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPVSSRTPAAVSPPPPADDRSIKIYFRSRKIAKKPLAKPLTTAAVPPPAPVPVLAPPALPALSSPGEIEAALRHLHAADPLLSAVISSTKAPTFASSPSLPAFHSLARSILYQQLAPSAADAIYARFLALLPSAAAAAAAATANAVTPAAVLALAAADLRAIGVSGRKALPVSSPPGNSPTLPSPRWTRPRSSPSSRR >Et_8A_056373.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:5741788:5741835:-1 gene:Et_8A_056373 transcript:Et_8A_056373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSRQEEKSLSTC >Et_7A_053185.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:9351755:9352402:1 gene:Et_7A_053185 transcript:Et_7A_053185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQLLSDEQLPSRRDKQPRGMAAAFAVLTAKAFLSCLALLLLYVLARRVFETLDNRPPKFSVTIDAFSGVDDHAPRAFNLTVGIDNLRGLQFEACVGGEAVVLYGGVPLAVGEVEELCVPGGRAANVAVVAASGGVGVPEALAEAMAREKKRAGGAVHVEVRVVMPKHTRLLSCTAPLDDDDVPSRPCPCRVAHLPDESDGVRPQGSGTPGFMV >Et_4B_039826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3374796:3376308:1 gene:Et_4B_039826 transcript:Et_4B_039826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFYAYITRSREPPRSLPLFSTPHTVLLHGIDRVKDAPRVVEILASKLSAGTGWEVEDLQPLRLVARLAGRLDQLRSTVLPSLRLYAGDRDAQKRLRTHAEAIRRLARTSSGLLRAHAAKLANHLKRVASLVLSGKRSSEWLEERLFSMESHNGKKQKKKKVHGRRLSRRREPRRLAGARGVRARQAPPHLPPVLPPLPGPSCSGASLPPLPEAKRVVLVRHGQSTWNAEGRIQGNTDISVLNPKGESQDETSRLMLLSDSFDACSTSPLACSRRTAGSSGKDAATSSSRTPTSTRPATTRSRPEFEMRG >Et_3A_025365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29277261:29278587:1 gene:Et_3A_025365 transcript:Et_3A_025365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPSEPTMEDAKSLLPYGTVDSTLRALAGQAEGFGRHAIGGLHGDVYHVTTLEDDGPGSLREGCRRREPLWIVFDVSGTIHLSSGVRVSSHKTIDGRGRRVRLSGKGLQLRECEHVIVCCLEIEGGRGHDADAIQVKPRSRHVWVDRCSLRDCADGLVDVTSGSTDVTVSRCHLAAHDKAVLVGASSAHVEDRGVRVTIHHCFFDGTRQRHPRVRFGRVHLYNNYTRDWGIYAVCASVESQIISECNIYKAGEKKKVFRYMEERAADRYRSSSGRIRSDGDLFLNDGEQCAAYASEAAGDEPWDFEVRDCYQSYSVQPASMALKKLLQCRTGWELVPMPPDVPSMDN >Et_3A_025727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32280039:32280932:1 gene:Et_3A_025727 transcript:Et_3A_025727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGCPTVTTSSLLLFFLLSCLLINHALCNQGHHGRTSGSLPTYTNTISLFSFIARDQSLVETVKVLNKNKMPMYARRMLIGSTAPICTYNECRGCRFKCTAEQIPVDANDPMNSAYHYKCVCHR >Et_1A_007755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3807739:3811885:1 gene:Et_1A_007755 transcript:Et_1A_007755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAIASSSSSFLLLVGSSSPRRRRGSRVGAALRSCSYGGAALRLHYCARRDGSAVVRAASALAGDDGVDGAAPSESSTSRGVAVQGSKAKNFVLALLTFVEAELLTDPAVADSASSTKPAASLSRSSAMQNGVLGTSSAPNSAAPVSEPKAEPALDGEEVAGVAGPVEVKAEAVTDAASSTDDSEGKESGPLAGPNVMNIIVVASECSPFCKTGGLGDVVGALPKALARRGHRVMVVIPRYGEYAEAKDLGVRRRYKVAGQDSEVTYFHSYIDGVDFVFLEAPPFRHRHNDIYGGQRMDVLKRMILFCKAAVEGRGPVDDFVTYDLPEHYIDHFKLYDPVGGEHSNVFAAGLKMADRVVTVSHGYLWELKTSEGGWGLHDIINQNDWKLHGIVNGIDMNEWNPAVDVHLHSDDYTNYTAETLDTGKRQCKAALQRQLGLEVRDDVPVIGFIGRLDGQKGVDIIADAMPWVAGQDVQLVMLGTGRPDLEEMLRRVEAEHRDKVRAWVGFSVQMAHRITAGADVLLMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFGDTGLGWTFDRAEAGRMIEALGHCLNTYRNYKESWRGLQMRGMAQDLSWDHAAELYEDVLVKAKYQW >Et_4B_038458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29129049:29132381:-1 gene:Et_4B_038458 transcript:Et_4B_038458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAPRSLLADTPDLLLPKRCPKPNRVAPSKPPEPPPPPPRRAPPRRRVPPLALSAYARLLRCASRGPSLALARLAHSHMLRTGCRPGLFLCNSLLAVYCRGGDMRHGRLLFDGMPRRDVVSWNTLISGYSSAGSARLALYTFRDARGSGVCADRFTYAAVLGACAGARDWRRGRAAHGLAVVSGHARTVFLTNSVIDMYAKCGMIDEVRLVFDRAKERDEVTWNLLLSAYVRLGWPEVAVNVLVWMHRSGAKLDAFSLGGIVKACTELEDSEDVRKMLHGCVVKVGLDLNVFVGSAMLDMYAKNGGLEEAVKVFDCIPDQNVVVYNAMIAGFARLGNDPCPEIRIEAVRLYSNLLQRRIRPSKFTFKSLLEVCNLTNAVRCGRQIHAHVICSGFEGDEYIANALINLYSKARSVNDSLRCFYRTPKQESFTWTSMITVFVQDEQFEKALDLFRELCYTEKEPDQFTISSVMNACACLSVPMTCEQIHCYALKSGFDQFTVCGNSQIEMYRNIGDLKAAKKTFDKITCLDTFSWSQMVLSYAVHGHGIEALQLFETMKDRGVMINEFAFLASLIACSHQGLTDEGFRHYESMKSDYSFDLNVNHIACMVDLLGHAGKLSDAEDFIMNSGLENDPTLWRVLLRACRFHGDKERSIKIGEKLMVIEPFAASSYVMLYNLYMDAGKISFAMRTRGLMRERGMTKETGISWTEFGRSIHHFSDGDNSCSQKNAISMRLEELLVRVKQKTEHAGMNVWELGFQSKKVDESSISRHGELLAVANGMSTLPNTAPVKVMKNQRISWESHETLKLLSEEENREIIIRDPTRFHHFSQGSCSCQDYW >Et_4A_032527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11036343:11045617:1 gene:Et_4A_032527 transcript:Et_4A_032527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADTGGGEQPHKAHRQSKSGAKARKKKGKGKGDDAGGDQKNPKVILDPSWLPGRFSVVVGFAVAFMVRCPSMDQDAALWSVCAGAFNDDHLRLLSNLVFVDGEGVVAKAFAFRSAAKAKRLQARSAEIEQRRLHVPIMDRSIGEPPPFVVHYTKQNLSEVRGPITVVSGKSRRVQFLECPNDINGMIDAAKIADLALLLIDGSYGFEMDTFEFLNIMQVHGFPKVMGVLTHLDKKFKDPKKLRKTKQRLKHRFWAEIKEGAKLFYLSGLDDNGKYVKRELHNLARFISVIKPVPLSWRMAHPYLLVDRFEDVTPPESVHLNKKCDRKITLYGYLRGCNMKRGTKVHITGAGDFSLSGVSALADPCPLPSAAKKRGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHLVQFSKNDDNDAPKKQGKGNDVGVAMVKNLQNTRYSVNEKLEQSFINFFGRHPGAQSKESDAEGNDNSASQQDQEDADVKLSECSSDSEEDNDDDIQLSDRDVNLREEVEFCDGRLRRRAISANFQDDVDEGTDEDDSDNEDSGDDQLSAGSVSLDDSGEASDPDGESENASKWKESLLARTLSSRSANLMQLVYGQSSSKLEGGVSKDDDNGMDSSDEEFFVPKGQKQAKTELPSLDDIDAEDCSKFIKAEQRDFSNEELIKSIRDRFVTGNWAKAALRGKEANENGEDDEDIYGDFEDLETGEVHMSQAGEDAEGNGGVHKDDDLKEEMEIRKQLNISELNDLDEDTRVEIEGFRTGTYVRLEVHDVPFELVEHFDPRHPILVGGIGLGEDNTGYMQASLKRHRWNRKVLKTRDPIIVSIGWRRFQTTPVYAIEDRNGRHRMLKYTPEHMHCFAMFWGPLAPPKSGVLAVQNLSNNQVPFRITATGWIQEFNNTARIVKKIKLTGEPCKIFKKTALIKGMFTSDLEVARFEGAAIRTVSGIRGQVKKAAKIEPGDIMKRKGESTEGIARCTFEDRILMSDIVFLRAWVNVDVPTYCNLVTTALQPREQMWQGMRTTAELRRAHNIPIPHNKDSVYKPIERKPRKFNPLEIPAKLQHLLPFKSKPKDRPKQKKPPVEKRVPVVMDPSERKKYTAIQHLMLLKHEKEKKKRSKEEKKKKAYEAEKAKTEQLTKKRHREERRERYREEDKRQKRARR >Et_5B_044493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2928229:2934348:1 gene:Et_5B_044493 transcript:Et_5B_044493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHLLNPVPFLLLTPKPPSRALASRARAAAHAPASSAPRRAAPLSEPDVGIYRFASPTPGFRGALKQRYSDFIVHEVARDGALVRLTSFDLPDDGESGDNAEEGDADSKHSRALESFRLLCGDADCDALKVFLERVSEGGDVDFSPIILSSDSDKAHRSEVHGFFKRNFKFLITDTVDHSDGIQKCIRVRLGSGARGGRGRIRKDMDGSGRRDDRPFDSRGATNWPYHLGKFLRFHLYKENKDTQEALGVIGKMLGVLPRSFGFAGTKDKRAVTTQQVTLFKVHANRLAALNSKLSGIRVGDFSYVKEGIVLGQLRGNRFAITLRNVVAESDDVINAAVDSLNKNGFINYYGLQRFGSGSVPTHLVGASLLRGEWRHAVHLILGRKEHYKGHGDIDAALRGIPRHLIVERAILQRLKKFPGNYLQALMAIPRTLRLMYVHSYQSYLWNHAASMRVEKYGISRVVEGDLVYKKGCPLGEVTATDTLVDDDGHSSSPEVEISCETLPEEVIESVKIVDSEDVLKAVYGFEDVVLPLPGLETLLPGNEIAGIYHEIAKRDGISLTESVHGVKDLMAYRDDNVSLVKTDLDVLSKIHPSEGNELLYDGTCSYPSGDSRCEASLDTSGPTTEASSVEAKPIGIPDLLPEKLAVKIEFTLPASSYATMAIRELTKTSTSYDIPIPHPFMDF >Et_7A_052850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:229378:232953:-1 gene:Et_7A_052850 transcript:Et_7A_052850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRSRTRCRDPPPPPSGGGGRHRRRSPPSPRHQRRPQRRSPDRAPPPPRRHEEIPIPTIPDPGAADRRSRADILLEAGRLAAHYLVAQGVIPDHLLRAREDPNHTPAPRPEPPAPAPAPASYGRKRDEDDEPRWRRSGDWGRAKGDDDRQPRRSGWDRRSHSFDDRRKYSAAAADVARRTRDYDEPKRPPMSRSYSHNERRASGDGRGDPRRRSRSRSRSRSRTRTRSYQHGGSRRDSDGRPSSRDLDHTKPPDSAINPADAEMPRKPKVPSSVVVAEVNHSADRPMGTEDGEMESEMVGLDHPQDVSEEDGEFAEDISEEEDGEFAEDVSEEEDGEFAAEGFNDEDGAQMDVTERQLSDVDVPPSESPVHMQSQLSNAEVETEAGAAPMDDACMVEPSAAKDGCSEMEAPQTEVETGVGDLNRDEQELPAWYKIFDLNVVETPEGFEAPVISPADHVSDSVPDLSGQMNQQAKYGSSEIQGQDEHAVANDLLKCGHDLSNYDLNNVADGHEREDTSNIQGQDEDAGDSDLMEDEQDLNHGAHAHTEGNHLLNNEEILLSRGMAVEDSDDSRLSNEQMLLKQNADEEDPKNHHMENGQMLVNQGTPGQVLDTHYMTDDQLMLSDVTDEQLVNKHHMEPEPTPLPMGVHDVDSNDLKSEQMLLNNGTNNEEEDTCRLKDGEILLDQAVDGQARVHDMGSGRTVPVIDLEDDYKQQPDTRDTAGFLGSISDQETSSFPDKPHANIQAVSSSSVAPNFGNWCTGRVAVNSEVTPGDDDAPYVAFDKMPLEVINVWDLPSSELGKSS >Et_4A_033009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1740367:1745404:1 gene:Et_4A_033009 transcript:Et_4A_033009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILTSLHSGVIQMWDYRVGTLIDRFDEHEGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHECPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGSLRKKSVSPADDLLRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNETKAWEVDTLRGHMSNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWVLAAHPEMNLLAAGHDSGMIVFKLERERPAFCVSDDTVFYVKDRFLRFFEYSTQKEVQVAPIRRPGSVSLNQSPRTLSYSPTENAVLVCSDVDGGSYELYIVPKDSAGRSDYLQEAKKGAGGSAVFVARNRFAVLEKSSNQVLVRNLKNEIVKKSPLPIATDAIYYAGTGSLLCKAEDRVSIFDLQQRLVLGELQTPAVKYVVWSSDMESVALLSKHAVVIASKKLVHRCTLHETVRVKSGAWDENGVFIYTTLNHMKYCLPNGDSGIVKTLDVPIYITRVVGNNVFYLDRDGKNKLFTVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTKFNLAIESGNVQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLTFLYLITGYLDKVGFMCKIAGQNGNLMGQFHNALYLGDARKRVEILENAGQLPLAYITAATHGLTEVAERLAAELGENVPSLPEGKVHSLLMPPAPLMACDDWPLLRVMRGIFEGGLDATGRAELEEDDEAAGADWGDEDLDMVDASEVVANGGDGFDIEQGEPNEEDGEDGGWDLEDLELPPETETPKAAANARSAAFVAPTPGMPVSQIWTQKSSLAGEHAAAGNFDTAMRLLSHQLGIKNFAPLKPLFLDLHMGSHTYLRALAAAPIISVAVEKGWSESASPNVRGPPALVFSFSQMEDRLKAAYKATTEGKFPEALRQFLNILHTIPVIVVDSRKEVDEMKELIEIVREYVLGLKMELKRKEVRDDVTRQQELAAYFTNCKLQRVHMRLVLSSAMVLCFKQKNYATAAHFARMLLENSPTDGQASKARQVVQACKDKDDTHQLNYDFRNPFVVCGATYVPIYRGQKAVSCPYCGSRFVPSIEGQLCTICELAMVGADASGLLCSPTQLR >Et_3B_028548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16723286:16726810:1 gene:Et_3B_028548 transcript:Et_3B_028548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLHDHSCEDHNCAADWSLYNHVDIPKVVALNESVPGSVKSVFKPWEQRLDTSGGFLESNEGDPELLIFIPFTSDVKIKSISVVGGADGTSPSRMRAFINREGIDFSDAQNMQPVQEWELAENLQGALEYQTRYSRFQGVANLTLHFSDNFGGDTTKIYYIGLRGEATQNKRDVVATIVYEVMPNPSDHKTKSETGGGFSHVE >Et_6B_048938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15827059:15829483:1 gene:Et_6B_048938 transcript:Et_6B_048938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKASAAGARSPLSLVVAMALCCFFYVLGAWQRSGYGKGDAIAAAVTRETACGNGATGLSFETHHNGGVVNASLDDEAPPPPFFPACAASLADHTPCHDQDRAMTFPRKNMVYRERHCPPPGERLRCLVPAPRGYVTPFPWPASRDVVPFANAPYRSLTVEKAVQNWVRHEGALLRFPGGGTQFPQGADKYIDQLAAAIGVPFVSSSGGRGGVRTVLDTGCGVASLGAYLDARGVAAMSFAPRDSHEAQVQFALERGVPAFVGVLGSVKLPFPRAAFDMAHCSRCLIPWGGEENGGVYMMEIDRVLRPGGYWVLSGPPINWKGNHRAWQRTEDDLAGEQRRIEDRAAALCWEKVAEVNEIAVWRKPLNPVTCSPAVRTCDAGAGGNPDDVWYKDMESCVTPPPPGAGELQPFPARLTAVPPRVSAGAVPGFTTPEAYEEENRRWERHVAAYRKVNRRLNGGGGEGGKPYRNIMDMNAGVGGFAAAVFSPMSWVMNVVPTAAEVATLGVIYERGLIGMYHDWCEAFSTYPRTYDLIHGSGIFTLYKDRCKMEDILLEMDRILRPEGTVILRDDVEVLLKVQRMVKGMRWKTMMADHEDSPHIPEKVLYAVKQYWTAGDEGSAEEKGGSSERKGSEE >Et_2B_019171.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15745755:15746186:-1 gene:Et_2B_019171 transcript:Et_2B_019171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GEEGHAEGGGARGGRAGRGGGGAARVGRQEAGGAGGRARGSLVVVVVARRVAAVDAVARAVPAAQRRHRVHRRGLRAAAARRGGVGVGMRRRAAWGGGRRREEGEEEEEQEAGGRGAGGQLHGAGGRGHAGTDGGGGGEAGGG >Et_7A_051676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22653558:22655152:1 gene:Et_7A_051676 transcript:Et_7A_051676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFTDAYDLFSISLVTKLLGRIYYFDPNSKRPGSLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVICCLASGLSFGHEPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGIVAIVISAAFKARFDAPAYRDDPAGSTPYAWRIVLMFGAIPALLTYYWRMKMPKTARYTAFVAKNAKKANSDMARVLNVELADEEPEHVEEAARRDEQHQFGLFSRQFARRHGFHLLGTTVCWFALDIAFYSGNLFQKDIYTAVNWLPKADTMNALEEMFRISRAQTLVALCGTVPGYWFTVFFIDIVGRFTIQLGGFFFMTAFMLGLAVPYHH >Et_4A_035065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9187693:9190035:1 gene:Et_4A_035065 transcript:Et_4A_035065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHRAPPLLPRTADWSDGETSVLIGAWGPAHQRRGRLGLQDWRAVATAVNAHGAAAGRRTNRTRAQCQNRVHNLKTRYKNELLAGQPQPSRWRHFRRLREFLAAGPPDGPPPGFPVKSTPASVKADEEERTSAKKEEVVGGGATALLCPAAVVTKLAEVYERVELARLDSEKVKMEVEVEKAMFDAGTGPGAAQRNATDRSSEQEQHKHRTPRTATAPHPPLPVPFPEPTLPARRPPAADARTGMSTRRRPPPPPPAWTPEPWSDGETSALLEAWGPRHLLARGGPLRPADWRACAAAVTSRRAAAGRAPRTVDQCKNRVDYLKKRLRAERKRPKRAPAPPAAVSRCLDRLRTLLRLAPSAPPGFAQRGGGGTDAPPMVKKEDDDDGGDDEEESGGSPLPRYWPPVPKRPRTAVSLSPVAAAAAAGEHPEGGARNGAEVAAALDRLAGTYERVEAAKQREATRLEERRLEAMRDLEIERMRLLVDVAVTASVGVDSAAAAGGDF >Et_3A_025702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3214485:3214892:-1 gene:Et_3A_025702 transcript:Et_3A_025702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVAQGQPAAQAVATVRSCYCRSCAMTHRADDDVDRFAGFFEFTVPPILDRLFIREQRCDVRLLEFLVSRAPVLEQLVLVTVEAECAHEDRQRASDTDADGVAGAPRRYLPS >Et_9A_061558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13685908:13688712:1 gene:Et_9A_061558 transcript:Et_9A_061558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPSHQVVVLALPATKRLPDKKSVPKTPPATKRLPDKKSVPKMPIEITPPAPASLPRSSVRNGQIYVLQGIGHEFACRRAALIRAITTGLESMCLYGHINDCWEVRESKLLGPPGLPEPMRGINLFRGNMEHVQWLQEIAKRCDSWLINISFFLGANILNATGRWLLFNHINSLETVHEAFLQSDTYLRLHREEKERSKALVGDEKDD >Et_2B_018874.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:11729269:11729560:1 gene:Et_2B_018874 transcript:Et_2B_018874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALITEALACRDGLLYARSRGVLRLHLETDCQELVKLWEMREVQRSLIIGILNEMKNISGSFPFFKFMYASRACNRVANELA >Et_10A_000609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13653913:13654947:-1 gene:Et_10A_000609 transcript:Et_10A_000609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRMSKRDDPLTVTNDFVLGEASLSVSSIGPWLLVRFRGKLTKLNLQALTNFGVSKVIESTHPDYHAGDLVWGMSGWEEYTLITEPASLVKINHPELPLSYYTDILGALATPCPGSDRSIFFRFRSASACQASLRTPVSSTCASPRKAKPSSCRRRPAPWARSSGSWRSSPAATSSAASAPTTRPAGLLTSNLGFDGAVKYKAEPDLEAALRRRFPDGIDIYFDGVGGAMLDAALLHMWPRGRVAVCEMMSQYNLEDTDEGVRIRMEGFNVADYLGDDAYYRRGDGGVPPGWVTYVEDVVEGLESAPAALVGIFRGRNVGRQLIVVARE >Et_7A_052538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8993937:8997397:-1 gene:Et_7A_052538 transcript:Et_7A_052538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAEASERMASAAVATAAAASDMKRKEEKEQRKGPGVLGRIWRALFGGRDDDYAKRLQHLSKEEADLLARMRRLAQFSRRGVRNLVVLSVLGEVGAIVYAVIMTKSKDLDWQTRAIRVLPVFLLPALSSMIYSVLVSFTRMLERKDHTSLERLRAERKAKIDELKEKTNYYLTQQLIQKYDIDPAAKAAAASVLASKMGAETGLKVSMGDEAKSDSTKARNSRVEVRNRKETKGKGGSQGSTTGSQTQQESSNESLGAGMEAMQPSKVVGHYQGSGTRDSGWTAKIAALLVGEDPSQSYALICGNCHMHNGLARKEDFPHVTYYCPHCHALNRSNQSIGKCPGTDSGQQSPITAADGVSPRDHMAETEMRSTTEGQEVPEEGSAGKQLVGPAN >Et_10A_000199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16961069:16963584:1 gene:Et_10A_000199 transcript:Et_10A_000199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQTRCPGPLQFLYLFTLLCCSDLAIGSQNETENDRQALLCFKSLLSDPAHALSTWSNTSLQFCSWHGVTCKARLPRRVVALDLASEALTGTISPCIANLTSLQRLQLSNNNFHGSILPEFGLLTELRYLNLSMNSLSGAIPSALSFCTKLMVLSLWNNTLQGEIPATLSQCKNLQEIQLKENNLSGSIPSSIGYCTQLQILNLSHNSLVGSIPKNAEYGMSADISTSGDVYSFGVLLLEMITGSRPTDEKFSNGMTLHESVYRAFPNNTYEILDPVLLHDESNATDILHSCIVPLVRIGLSCSMTSPKARWEMQKVCTEILAVKDALSSIHCM >Et_8A_058160.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:22205403:22205699:1 gene:Et_8A_058160 transcript:Et_8A_058160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDPAEPGKDVTEKDVESDEAVWALYELWCKAYNKERDLPEMARRFNRFKRSAKMVLAWNDADNKNDQAILGSFADGRDEAADGCVCHGDWATCTCR >Et_4B_037625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21952267:21965464:1 gene:Et_4B_037625 transcript:Et_4B_037625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FLFELLRFLLSASLSRSQFSIAAINENDSGGQWEPLAPTKEAQEFALSQKYHEGLLKLQQKDYAKACELLEDVDNVGSDQHLLQLRFLTLKNLASVFLQQGSEFYDNALHCYLQAVELDANDSVVWNHLGTLSCSMGNCMEKLLEVLIAIRDEVACLSVANLILRSWPSHHRALHVKKTIECAEPVPFSPRGVDILEPKHVKLKFSNKRKSIDVEMHQQTRTKKSKVNSTLQLNEAKWMALLDGILSLLSGNIEKTDVDNCTYASTEGTVQCIIDIVISTDTFNTVESTGGNGNDSHHEGEGVPSHDSKTTAKEKDVNSDMEHPHERRSTRLERLRSRKSGKDENESNGKDISHAMTQFLDPFVLKGQSSKEKVNCSGNTDICNPDTLNYTADHEASDVKRFLIKMSRNFGPRHIGYMLLEEIAHLKIPFQDYFVRFIELDKLTRGWAQDRSALCSLFLAELYYDQALCSGNPSTSSELSDSSYHLCKIIESVALEMPLKTSVGEVDSIRLGLVMDNSRAAVSSSDQIASLVLARPENSEKTLSVNMPSDEKSVCESSSNTNFAFWIRFFWLSGCLSLSSGCKEKAYKEFSIALSILSNSNKEKGSEEPILLPHTKIVKLLTTDRILREINLIKLESLLWNNDENISKITHTEFMKLLPPLLLSTKDVYVGNAYGQPREWDNVISLEVGALEVLISACEKAKPMNLEVYLDSHRRKIQVVTMAAGMVDSSVPIKEKSSRDEDFVEAMNRNRLENVVEAVKDVSRNASKAKDFIDQYNYSDGQDGWSSLVSVIGNIQSLLLTIMCAAVKMILSRKLLCSGTSYQVDQLESSCLIDTAIAFCKLQHLDPTISIKTQVELIVAVHDLLAEYGLCCAGRDSEGEEGTFLKFAIKHLMALDVKLKSQFNLNGMEDVVPENDRAEDSTTDEPSINPDSCSEDDLAVHKNTSRGDYQTKEQCADVFQYVLPYAKALSKTGLVKLRRVLRAIRKLFPQPPYDLLVNNPIDNFLDGPDSCENTLSEIYETNGSKEAILSVLFPGERGYEAFKKLSTTSSDPYLDVYGNLYYYIAQAEDISATDKYTGFVLKKEGEEFVEQSANLLKYDLLYNPLRYESWEKLSSLYDEEVDLLLNDGSKHISILDWRTNTTLIQRVEMGRRHSRRCLLMSLPLAKTVLKQSEIHEMLALVYYDSLQNVVPSYDQRSTLPVKDSTWQTFCQNSMKHFEKAFELNAQWLHAFYLGKLCEKLGRSSAKAFSYYSKAMALNPTAVDPVYRIHASRLKLLYTLGKQNLEAIQVVADYTYNQSTKENVLNMLGSIINVQHSSSDQNEESAPDSKMENKIVEPELLDKVWHILYDDCLYALGTCVEGDLKHFHKARYKLAQGLYKRGEAGDLERAKEELSFCFKSSRSSFTVNMWEIDGTVRKGRRKNPNVGGSRKNLEVSLSESSRKFITCIRKYMLLYLNLLEKNRDLWTLEKAYTYLRTDKRFALCLGDIVPVGLGKYLQVLTEAIRNPEVRQASGGSSVEQLLEKMFGVFMDHANLWADISTLPEVNSQELSESNLYGYIHQYIHLLESDVRLDVLEGLNEKIRKRFKTPKLSNSNFAKICKHGSLAWCRCILIKLASITPLPNSMDAIEQPAPLSSGLQLFIDLQPDELLISSPDGPSQFKGLDMNWFETFNRIKNIPIKQTSEDNMETAVTVMKSTYNFYRESSCGTFPSGINLYTVTPSQAPIEGLPQGPNVLDILDLSIPRKLLLWVYTLVHGRYANISSVVKYCDEMKSRNKRGTPTATASQPSQQTVQPTVHITVSSQAKEKSTQVESTEAAHVANPAPHLISSTEPGGSTSQTTIDAQNSTTVASQLTRSGSSRAMENAQEGGEKK >Et_5A_040892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14251362:14251966:-1 gene:Et_5A_040892 transcript:Et_5A_040892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCERVLRENMDQYARDGIRMHVIGDPSRRPASLQNAVREAEEVTRNGSHLHLMLALCYSGRWEIAQACRELAREVQGNVLRPEDIDESLLAGKLETSIAAGEFSSADLFIRTSGEIRLSNFLLWQCAYSEFYFTDTLWPDFGEAEYLKALRSFQSRERRFGQRKFHGE >Et_5B_044136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20470829:20472426:-1 gene:Et_5B_044136 transcript:Et_5B_044136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFAVELDTVQNVEFRDISANHVGIDVNSLSSVQSRDAGYYDDKSGSFTNLSLISREAMQVWVDYDGDTTQIDVTMAPLGTVKPKKPLVSATNNLSTVLMETSYIGFSSSTGPVNSRHYVLGWSFGMNRPAPEIDVTKLPKLPQLASKHHSKVLEITLPLASAALVLAFGTGLILLIRRRLRYTEVREDWEVEFGPHRFAYKDQFHATKGFKDKYLLGGGGIGMVYKGVLPKSGVEVAVKKVSHGSKQGMKEFIAEIVSIGRIRHRNLVQLLGYCRRKELILVYDYIPNGSLDKYLYSEGDSATLDWAQRFQVIKGVASGLHFLHERWEKVVVHRDIKTSNVLLDKEMNGRLGDFGLAKLYEHGANPQTTRVVGTTGYLAPELVRSGKATPLTDVFAFGTFVLEVTCGQRPIKQDEQGNDILLVDWVLHHWHNESLMETVDPGEYNSDEVFLVLKVGLVCSHPSATTRPNMQQVLQYLDGEMSLPEMTHTNLSFNLLALMQSKGLHIMSSPCSSTMMSVGTISDLSGGR >Et_1A_007927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39521039:39524047:1 gene:Et_1A_007927 transcript:Et_1A_007927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPAAAASHLHHPFRLLPPLPRPHPPLRLRLLLPSPPPLRLRRRFPLLASAAVSAGSGGVGDESASKVDKARQLQKRVLVGVAIGVGAGGVVVTGGWVFAAAVSAAVLAGAREYFELVRGTAGGGGTPPPRYVSRVCSVICASMPILALYYGRMDVTVTFSAFVIAISLLLQRGNPRFAQLTSSVFGLFYCGYLPSFWVKLRSGLAAPALNTKIAYSWPVLLGGQAHWTVGLVATLISISSIIAADTSAFLCGRAFGRTPLTNISPKKTLEGALAGLAGCVLTTVLLSSFLRWPRSKLSATAYGILIFLGSLFGDLVESLIKRDAGVKDSGSLIPGHGGILDRVDSYVFTGALCYSFVRVALPLYGV >Et_4A_035020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8865630:8868144:-1 gene:Et_4A_035020 transcript:Et_4A_035020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGVDGAAPAADFPATATHGGRFKQFNIFGNLFEITAKYQPPIMPIGRGAYGIVCSVLDSETGEMVAIKKIANAFDNHMDAKRTLREIKLLRHLDHENVIGFRDVIPPPIPQAFSDVYMATELMDTDLHHIIRSNQQLSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPSSESDMMTEYVVTRWYRAPELLLNSTDYSAAIDVWSVGCIFMELINRQPLFPGRDHMHQMRLITEVIGTPTDEELGFIRNEDARKYMRHLPQFPRRPFASLFPRVQPVALDLIERMLTFNPLQRITVEEALDHPYLERLHDIADEPICTEPFSFDFEQQALSEDQMKQLIFNEAIEMNPNFRY >Et_8A_057547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4230859:4239953:-1 gene:Et_8A_057547 transcript:Et_8A_057547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLPTDAFVEILLRLPPSSRLRFRLVCRHWRAVIDERTPRSRPKALVFCVNQTMASASSAYVVDDLSDGRSHEIWKHRSYNEMIGTCNGLLCLTGGTNPIGGGSFWPTRSIWKAFSFGFHLPTGLHKIVRLPRADGFNEIQVFTLGEASWRDVPVPGGSSSYRFDAGVVSVDGSTFWVTRGAERVASFDLGDESVTDVPPPVDVGGGRYRCHLTEVHGRLGLAVSLDRPMPAKIEVWVLGEGRDRHKWSRRYSVQVQGVEQLLARPHFAHGDHVLTTGTVKWTEHVHGELTKMFGHRMRDGGRPLVSGEVRSVRIRDLGTTVAGIATNSYRRGTFAYVETMEPLSCYRLKRTPTARTPWRSQPKPLAFCVNGDGKSATAYVFDNLADERHRTISRRGCKLAMVGTCNGLLCVFCDGGRIRLRSSWKAFSFGFHLPTGLYKIVPLPYAGRFYELQVFTLGDAASWRRVAVPGESSCHLDAGLVSVAGSTFWVTNGADRVASFDLGDESVTHVPMPVDVGRNCYYNRCHLTEVHGRLGLAVGTGQNPALATFEVWVLGEGRERHRWSRRYRVQMEGVEQQLARPHFAHGEYVLTTDTRKGTQQVFGHRLLVDKGRPLVNGEVQSVRIREPGTLVAGIATNSCLRGTFAYVETTEPLRVYRLHRRKSHNKGMRV >Et_8B_059200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15137116:15137571:-1 gene:Et_8B_059200 transcript:Et_8B_059200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMTTRAPCFTNSRAVHAPMPLAPPVIIAILPSSLYNHTPMMNWPSLISMEHAWSMYAAPVQSFLPSKLNRDKFQLRTL >Et_8B_060234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7717739:7726972:-1 gene:Et_8B_060234 transcript:Et_8B_060234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGAPPRSADGAAGSRRSRESLFGYLVGEFRNPHPALISRWLPHPELQPIALDFELIFSFYRSSALQLLTKLVSFVFNSWCMGAVEGFSGSMLQATSFVDRVLYYREGFRRGCLHGGFGFNDEVTDESTTKLLKVAGMAFPIGIVSTIVGCIYELWKQKIKFSGPSAVAVFGFEDPYVQAILINGFACILELLAEPLYILSQNLFLLKLRLISEVVATLMRCITAYILIHNLNMEIAIVFPLSQAMYGACLLFAYWFYFLSCHVSKLHCVFPHRVQDWMDYDRRLWQACMMFTGQSLKELVVQKGQELVPFRSSYLDEYGVVDRLGSLVVRLIFRPFEESNRLKFAEMASGLLIIAFGPGYSYTLLRMVYKDKHCDGPTQLLLILYWFYVISLAFNGTLEAFLQSIASQSQLKMLNDFSLISSVVYVLIKVLLIGYAGAVGLIISDAISILCHNSYAISIHQVLPSGWWVLVFSGAATIISDMMVLNKESKETYWQTLPLHVGIGTTCLVLCCAVMYVSTPSNLFSSEMISMLLIILINQISS >Et_7A_051444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18680431:18682932:-1 gene:Et_7A_051444 transcript:Et_7A_051444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTSLEMASPLAIPFIILEDADAPPYQQLSKRHWKLSKEIYRLMIDGYHTTNAYMDGHFLNEPALKGKVNGGDFSNLSVISPIPLVSGDRSSAGDGWDSRIGRAVRVGIAYGLVPSWSSMAVDGADDPFCAGVRRLAVVLR >Et_4B_036502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27222048:27223056:1 gene:Et_4B_036502 transcript:Et_4B_036502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVAGAPVPLAEAAPDAPAETTAAAAGDAKPSKAKKAAAPRKRTNPTHPPYAEMISEAITSLKERTGSSQVAIAKFVEDKQKDKLPPNFRKLLLVQLKKLVAAGKLTKIKNSYKLPSTRAPAAEPKSKAKAPAKTKPAAKPKAKAPAKAKPAAKPKAAAKPAAKPKAAAAKPKAAAKPAAKTKAAPKPKAATKTKATSAPSRTRPAKAAKTSAKDTPGKKAAPAAKKPAAAVKKSPAKKKAAPAKKAATPSRKVPARKAKK >Et_1A_008084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4201306:4201924:-1 gene:Et_1A_008084 transcript:Et_1A_008084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMRKLVLLVIMVVMAFSVVAVTAARPLAGEEWAGEATGSESFMSFLRQLYRQRLSGPGHSCSTWNPNALDESNRGSSFSYSGKLYHMKS >Et_2B_020022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16087007:16087814:-1 gene:Et_2B_020022 transcript:Et_2B_020022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVGSMISTLIAGPVMAAVFRKEREAYDEEDHLRMLACVRNARCTPGMLSLLELLASKPRSQPAIHVLHFFDVAARKQHVDGPSRHYYHRRVQDTEHKLMDRRNDAVTQVNWAVDVFACATRLDIRQIDAGDRGKRQGRPPDVRAGLLLVPYHRDQHAVQPRGAGARALHGGRPFRSGGATFQLPGKEAAGNEKAATRVAAVFLGGPDDREAVALACRLARNESVSLTVVRFVLRGGGGGTHDDGDGRNRGGGPRRRQRRPRQ >Et_1B_011914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27108162:27108735:-1 gene:Et_1B_011914 transcript:Et_1B_011914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVPSTLPMKLRKVESRGKASAGFGSGARSRVLVTVTVLGSAGPLRFLVDEGETVAGLIRAALRCYAREGRMPLLGADPAEFLLYTANGRSDALKADERISFNGCRSFLLWQKATQAAAEEPGSEPLATTTSPGRKGSGGWKGGLNKFLLSFSFKV >Et_2B_020424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2085529:2089072:1 gene:Et_2B_020424 transcript:Et_2B_020424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWFKTIISKKKSKRAKSKQAKLANQVPNGGNQTNPNGPSSSSDPEDNAALEEWAATRIQNAFRRYKARKTLRCLRGVKRLRIIGQTNPIKKQTAATLSYIQSWNKLQSEIRNRRAFMVTEGRNRKKKQENQMKLEAKLHNLQVEWNGGSDTMDDILARIQQREEAAVKRERAMAYAFNHQWRARSATSLGNFSYEMGKGGWGWSWMDRWIAARPWEPRSLVHPENPKKAQAKKENSSTNPSALKLQGSISLSNNINDRKVPKKKPSPSPSPTDHKKPVSREQRAKTFGTPPTPKAKAKDTKGSQEKQVQQRQQKLAPAISRHGTEKGAISSSAISSLKAGIALLCTATNTLNPRRRLSSRAPYGRRKHGSVLPSILHESGSTTSGPPHPKSTSPWPSRRQSVNALTAAPAPAVTPLPRCSSRKST >Et_4B_036073.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21075321:21075716:1 gene:Et_4B_036073 transcript:Et_4B_036073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGNLSAVHDAACAEAQACLAVLQAMVQNGISSIQLETDSTNLVKALQSEEFDRSMGGLFYKEARAIIQSQFSSVVVMHAPRSCNVCAHELASSSLRWDTDQSRVWLDPLPVFVTNLLVRDVTEPNSHE >Et_2B_020163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17455619:17456012:-1 gene:Et_2B_020163 transcript:Et_2B_020163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARILASAAGAAAAASANLAPSWMFGLCVFVVSVWVVSFAVFICGHSNNGDDRPKKKPAPAKASRAAAIMAVAAVAAHHGGGGCSGGGGGGGGGCGGGGGGGC >Et_8B_059309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16519323:16522541:1 gene:Et_8B_059309 transcript:Et_8B_059309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACRGFFEWVLKLLNLVVMAVGLAMVGYGAYLLVIDELVRLGRPLLLLVDMSLSDGTSAERLSSAWFIFTFIGVGVALFITSIFGCAGARNGCCLNIYSFLIILFILVELAAGGFIFFNHSWKQVIPDDRTGNLDMMYSFLKENWRIVKWVALGAVIFEALLFTVAIIVQSSHQADYDSDDEYIGQASGQTGLRQPLVNQQQAGTDPRVPNLDYRPIRNDAWSQRLREKYGVDNFDPNRFQQATISPAEQRNRCTIL >Et_7A_052239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:608799:615230:1 gene:Et_7A_052239 transcript:Et_7A_052239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKQQVLSRFFSPKPAPSAAAAAAPDPPPPPNPKPSAAVASFSPAKRARALSLSPKSPATKRPNPSPPDRDAVRRRLLEPRPIKPSGKGYTPLEQQVVDLKARHPDVLLMVEVGYRFRFFGEDAAVAAAVLGIVAHPDRSFLTASIPTFRLGFHVRRLVAAGHKVGVVRQTETAAIKAAAQRAGGAPAVPFARGLSAVYTRATIEAAAGELEGGAETEEGSRYLLCVVDKEVEPTGREGYDVKVGLVAMEVSTGEVVHGEFMDRPSRSGLEAVLLGLAPVEVILGTPLSFATEKMMVAYAGHASNVRVEHTPRVCFGEGGALAELLSLFEKSVDDASRVEDGRQELATNDVDSNLRGIEGIMAMPELVVLALALSVHYLKGFGMERIVCCGSSFRPVSAHTEMSLSANALQQLEVFKNNTGGTIEGSLFQTMNNTCTAFGSRLFRNWLTHPLCDRNLICARHDAVTEISEAMGSRQNPVSNLKDGGDGSCTTLARSDLSTILSSVLTMLGRSLDIQRGIARIFHCKATTKEFVGVIHSILTAGKQLRKLVPEDTNTVSSQHKPVHSSLLRRLLSTTSSSTVLNNAVKLLSCLNKDAADQGDMLNLFIASVDHFPEVAAGHVAIEMAKQKLDLLIVEYRKQLGMRDLEFKSVSGTTHLIELPVDRRVPSNWLKINSTKKTIRYHTPEILKNLDSLLLAKEELAVICRTAWHKFLMDFGKYYAQFQATVESLAALDCLYSLATLAKQNNYVRPIFVHESEPNQILIKDGRHPVLESVLENNFVPNDTELHADGEYCQIVTGPNMGGKSCYIRQVALITMMAQVGSFVPASSAKLHVVDGIYTRMGASDSIQQGTSTFHEEMNEASNILHNCSSRSLVIIDELGRGTSTHDGVAIAYATLHYLLKVKKCIVIFVTHYPKILDIQREFEGSVGAYHVSYLATRKLVKVKDKEVEISPETKDLGEITFLYKLVAGCSDKSFGLNVAMLAQLPPKCLERASVMAAKLQEELSVREENRLGRTMGTPSLSGLSEGVPKVPLPCARPYEGLAEACRCILLNMTLADSNNDVKTLLSSLKNAREVALKTIGGFLT >Et_2A_018667.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4509133:4509642:1 gene:Et_2A_018667 transcript:Et_2A_018667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTVAFTALSTAFIAVELFPFLDLQLQSVPDTSTSTPASMLDGAVQIVLLLALFTGVALVHRRLHPAAAAGAGTELAALIMCTVVSLLNFALFLQPAGDAVAVVADAIPAVATGTFALGITLVIAHIRAGGEGGGTPVEPLKKMALAATAVLVTQMAIVVTLDANFAK >Et_7A_051359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17483617:17487575:1 gene:Et_7A_051359 transcript:Et_7A_051359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VTMENNEGTQNNSNGHDLNIAIESDDNTDLIWNYQEFDFDTLLGDDDDDASNDHDAEEGRDNGHESEASSKTKRHKAQQILELETLFQSCSHPDDETRRALGAKIGMDSQQIKNWFQNKRSQNKIQKVEAPPRGLKSCWDENREIRSINTVLQAENVQLRQTILDRSCFSCHCQMAPPGQLSEKQRLLIENARLKEQYLRAETMLRELTRGAPLPLAAPSITVAGTGDGNHATLFLQHAERAMKEFQELAAAGAPLWLASADGDVLNYREYMPVVFPGMLGPCPQGFVSEATRECAIVWGTAVDLVDGRWSKMFPGIVAAVSARDVGSSGTLGSRDGLIQLMNAELWVQSPRMPNRSVKFLRFTKMMENGRQWAVVDVSVDGILGQEGRVEPPAQNTRCRLLPSGCLIKNLGTDYCKVTWIVHAEYDEATVVPLFKPLLRSGQALGARRWLPVLKRQCEYMGVLKNFRHLVTSLYAKNFAAAGDGRKVVMEVAQRMTASFYAAISGPVTLPGSRIREWRGTGSSVPVRMVIWNSAGAVPGLPDSRVLSTTTTVWLPSTQPRVVLDYLRNERRRGEWDILAHGASVKALGSIITGNVYGNAISILCPNVIADGTNKMLILQEACSDPSCSLVVYSPIEKNVMLALMSGGNPITGFILPSGFAILPDGYRKTPRASSSLSATSTSTAPAGGNTTTAGCFLTATYQTLWSGPPADDNLAAGAFDAIGKKLCNAIENIKAAVGAEVIIPA >Et_3A_026753.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:1924049:1924645:1 gene:Et_3A_026753 transcript:Et_3A_026753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGDAAVVAAPATPAPRQHGARRQKPRRISMEGLQRAMSDLALELARDRKSSSVADAAAANGAAAKQQLPAITEQAAAVVEARCECCGMQEECTPAYVRRVRDRYCGRWVCGLCAAAVSGEAEARHGGRTEEALAAHMAVCGRFNRVGRVNPVLMQTEAMREILRKRARSNSPREHGPGGLARSTSCIPAITKDFN >Et_1B_012623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33879454:33882201:-1 gene:Et_1B_012623 transcript:Et_1B_012623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERFPGPPTAARFSSSLLFLLQLLSLASRASCCWCPEHTEGPFMGTAGEGSPPCGGVSADGRFSYGFASSPGKRASMEDFYEARTYQTESGETVGLFGVYDGHGGARAAEYVKQNLLTNLINHPKFHTDTTAAIAETYSRTDSEFLKADSSQTRDAGSTASTAIIVGDRLIVANVGDSRAVISKGGEAIAVSKDHKPDRSEERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPDIKEEVVDKSLEFLILASDGLWDVVTNEEAVAMVKPILEPEQAAEKLLLEAFQRGSSDNITVVIVRFLDGTVGDGSSEEQKKVEEKEKEKVEEKNNKKDKEAASDQNS >Et_8A_056816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17266474:17271145:-1 gene:Et_8A_056816 transcript:Et_8A_056816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKRRHARNPTAGGPKRSSGAGRRRAVPELPSFVSPTSVAAAFSSSSSSGARGRGRSSGSRRGDSTHAIPFSYAVLQPCAGSDRGEARARGLEVTIDTAPCADPSSSVPLYSYGPDVAGGIGLGSHEESPDEEDAGEVGIHLGLGFRDRGNEEMDAEPEDLEEEAVFKTPKQQKGKRNAGFLSIGGVRIYTEDTSSPESEGMCSSDEESESDDDERCRSEDGGRYEEGSEDEEGDSESDGESSGSESDEELSMDDSSSVDEEVVADYMEGIGGSEELLSSKWLASMKLADAAEDEEMDTDEDEDGFVKKGKKQLEGYELMTASEQYGMKRPNSAERRKGKGVVCDRDFASMRVMGIEDMFMVKDVRMANRSRKGAKSGSTPSQLSRSWPNEGRKSKKYHSVPGEKQKHRKELIAKKRRQRMLSRGVDLEQINSKLNKMVVDQVDMLCFQPMHSRDCSQVQRLASIYQLKSGCQGSGKKRFVTVTLTGQSSLPSADGQVRLDKLLGTEPEDFSVNWGNSKGPAGRKGLSAPGKLAKHRECGGKKSSKKEVSFGKRPVSFVSCGTMAESVTEIIAVDPSGGDTSCVKVAESSSAKLGSFEMHTKGFGSKMMAKMGFVDGTGLGKDGQGIVQPIQAIHRPKSLGLGVEFDGEAEALKARTEPMKTRSEPSKVRSESRRNARAVEVGGGIGSFERHTKGFGSKMMAKMGFVPGSGLGRDGQGISTPLTAVRRPKSRGLGAKDKY >Et_4A_032433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10028003:10034508:1 gene:Et_4A_032433 transcript:Et_4A_032433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRATPTRSPSSAARPGAPRHAHHQHQHHSQSSGGSTSRAGGEGGGGAAATESVSKAVAQYTLDARLHAVFEQSGASGRSFDYSQSLRAPPTPSSEQQIAAYLSRIQRGGHIQPFGCTLAVADDSSFRLLAFSENAADLLDLSPHHSVPSLDSFAAPPPVSLGADARLLFAPSSAVLLERAFAAREISLLNPLWIHTRVSSKPFYAILHRIDVGVVIDLEPARTEDPALSVAGAVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYRFHEDEHGEVVAESRRNDLEPYLGLHYPATDIPQASRFLFRQNRVRMIADCHATPVRVIQDPGLSQPLCLVGSTLRAPHGCHAQYMANMGSIASLVMALWGLVVCHHTSPRFIPFPLRYACEFLMQAFGLQLNMELQLAHQLSEKHILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPTESQIKDIIEWLTMCHGDSTGLSTDSLADAGYHGAAALGDAVCGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDAAEVQVGELELRGINELSSVAREMVRLIETATVPIFAVDIDGCINGWNAKIAELTGLSVEDAMGKSLVNDLIFKESEEIVGKLLSRALRGEEDKNVEIKLKTFGLEESKGPIFVIVNACSSRDYTKNIVGVCFVGQDVTGQKVVMDKFVNIQGDYKAIVHNPNPLIPPIFASDENACCSEWNTAMEKLTGWSRDEVSGKILNGEVFGSCCRLKGSDALTKFTIVLHSAIGGQDSEKLPFSFFDKNGKYVQALLTANTRRKMDGTATGAFCFLQIASPELQQAFEIQRRQEKKCYARMKELAYICQEIKNPLSGIRFTNSLLQMTDLNDDQRQFLETSSACEKQMSKIIKDASIQSIEDGSLVLEKAEFSLGSVINAVVSQVMLLLRERDLQLIRDIPDEIKEASAYGDQYRIQQVLSDFLLSMVRFAPTENGWVEIHGLPPDIVQDMFSNSRWANPEGIGLSICRKILKLMGGEVQYIRESDRSFFHIILELPQPGTAAT >Et_8A_056976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19733759:19734126:1 gene:Et_8A_056976 transcript:Et_8A_056976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IHGGQLVKQQCSFAPDSGSSTGTSLRRGGGLCCGAQGAIYKPYLDPSIHCTASPRLTRAPPPGLGGSLPANDTADARVEALLDELSLRRVAGTRIKDLSGGPCPSARMPSTTRRC >Et_10B_004052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9876302:9885744:-1 gene:Et_10B_004052 transcript:Et_10B_004052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VKVCDICGDLGEEEKLAICTRCNDGAEHTWDFSVMIEDVPEGDWFCEECRAEVDTEKKHNKKPEISEAKDGTMPSKKKADFEHISVMNERIKKQKEAKICKICGNVGEEEKLATCSRCNDGAEHIYCMRVMMEEVPDVGWLCEVCQNEVESEKRAENLQKSEVKNGICKVQPTTEKLSKPANDANTRSSYENEMDVKYLDGKESQKGNLDEPAGGLSIEANSRKRILSRGSSLKSDTEKGNHATGQVSTSLAQPRHGQLSKSISFNSKIPKVIQLGCEVTLRPKLLKKSLSYITKQAGPMSTLTTSTSFKKPNFGDQAPTVMTPTNLPAEERRVLNQPDSRNVKSNRGSSIAYPSVGESLVAPVSSLAECTGILGSDAKRKADKVLYQSHQAYKSNNPYPKLKDEGYDTACMIGRTLDSPTMSSDLGDNTLVFSRQNFSPGYELIASAAPEMDYVWQGSFELWGTGILPVFCDGLQAHISCSASPDVRKVAKRFPSKIQLQKLPRQSVWPLEFQDNYPTCGSIDIYFFARDMKRWVPGNFLFLQYLFQLSTRHICGIVQTSKINVLILCSYENYYSKLVENIMKDDLALRGNTEAAELIIFSSSTLSQNFQRWNMFYFLWGVLRVNTQKIMNLLPGLPQGRRRGSLEARLTHFPPVPSAMLNVLAYGWVHMGNPKAKSCSACIAELSMKTKMKEKDSFMPLK >Et_4A_034787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6505825:6508525:-1 gene:Et_4A_034787 transcript:Et_4A_034787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWFTDQSLKNFYAQDLDEYTGDGSVDFRGYPILKRNTGNWRACSLILGTEVCERLAYYGISKSLVTYLSTRLHEGNVSAARNFTTWQGTCYLTPLIGATLADSYWGKYRTIAVFSTIYFLGMAALTFSASVPSFKPPQCIGSIGPEPTLPQYLIYFVGLYMIALGAGGIKPCVSSFGADQFDDTDLVEKTKKGAFFNWFYFSINIGSLISGTVLIWVQENYGYGIGFGIPTLFIALAIGSFFIGSEIYRFQIPGGSPLTRACQVLVAATRKRKSDLPVDSSVLYEIDGKASAIGGSRKLEHTSEFRTMSGNLTQMCTFAKGCILASLDKFSFLNSFLDKAAVILWNEYDGSHNPWRLCTVTQIEELKILMRMFPIWATGIVFFTVCAQNSSMFIEQGMALNNQIGSFKIPPATLSSLDVISIVVWVPIYERLVVPIARRFTGKERGFSELQRMGVGLFVSTIAVAVAALVEIKRLQIAREEDLIHQKVPVPMSILWQAPQYLLVGVGEVFTSIGQAEFFYNQSPDAMRSLCSAFALVTVSLGSYLSSFILTLVSFLTTQNGKSGWIPDNLNEGHLDRFFWLIAGLSFLNLLAFIYYAQQYKCKRASTA >Et_9A_061365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10648192:10654192:1 gene:Et_9A_061365 transcript:Et_9A_061365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPGGGGAGNEEEPRTEMEDYLISEEYKIWKKNTPYLYDLLPLDDAEADERHYEDDHAEIGGFGAASGKVQIVQKINHDGEVNRARYMPQNSCIIATKTTSAEVYVFDYSKHPSKPPLDGACNPYLRLKGHNSEGYGLSWSVFKDGHLLSGSDDAQICLWDIKANNKNKSLNTLRIFRHHDGGVEDVAWHLRHEYLFGSVGVDRHLLIWDLRSRAPAKPVQSVMAHQDEVKCLAFNPFNEWVVATGSADKTIKLFDLRKIDTSLHTFDCHKEEVIQIGWSPKNETILASCCVGRRLMVWDLSRIDQEQTPENAEDGPPELLFIHGGHTSKIYDFS >Et_3B_027775.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18750531:18750614:-1 gene:Et_3B_027775 transcript:Et_3B_027775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLETKKQQNFQQATAIPGLVDTQFL >Et_4B_036320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17446814:17447362:-1 gene:Et_4B_036320 transcript:Et_4B_036320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DADGFVIPNLSTQDDDVTEPNVPKQKDPEPLEAEDEKIYLGPHGAPPSQAKQQDLNAVGRKQRFRNKLKEADKKFTGSAQENKVESLRELMGAKASDTRMPKSSPRDWLDPHCHESEFDRKPHTR >Et_9B_064836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19007545:19009317:1 gene:Et_9B_064836 transcript:Et_9B_064836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVRCLALFLVQLLVLLVAGSGEDVVGARWAADVCHAYQILKKGGLKDENIVVFMYDDIANNTENPRPGVIINHPNGTDVYAGVPKDYTGEDVNVHNFLSVLLGDKSNLTGGSGKVVNSTADDHVFVYYTDHGGPGVLSMPGEQEYLYAKDLVETLEKKHAAGTYKSLVLYVEACESGSMFEGLLPSDINVYAMTASNANESSWAYYCPDGQMGPRPPPEFTTCLGDLYSVAWMENSDVHNLRNESVEQQYEAVRDRTAGTNGSSSSPYRHGSHVMEYGDLAQNNQSLYSFIGTNPANDNATSSSIESSSFVVSGGGGGRVDQRVADLVYFWHKYRNAGEDAERREARKRLMQVMARRSHVDSSVELVGSLLFGSDQGANVLTAVRAAGQPVADDWDCLKSLVRTYEGHCGPLGQYGIKHMRAFANICNAGVDAEDVAKVAAQACAGVHSETEY >Et_2A_018696.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:5190133:5191677:-1 gene:Et_2A_018696 transcript:Et_2A_018696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLKAQPPAQNRGKSVDSRRVARDGIRQKMTNFVAAELPKPDPQQVLRKRLRTEMEALRGLVKKAEILAGKAANNVARADTKEELEAACAKKRKAMPVAKIVESRMLPSKAANDVPAPRRFKEARRFLDADTRPEATEKQSTACAKKRKTMPQAEIVERRTPKREIVSRGSFVSSLSTNMPTHAKEVANASVPICQSPDRPEEGEIVEEGGDDEFIDICGGASPVSAAHDVRCSSPGLLEFKKTEEDDVLVVGEASPVDVKKFTEAEHSPSNSSGSSSSGSSCGDSSDSDSSSSSGSSCSDSSDSDSSNSDDDAESVGSSPAPASVPAKRNASPDQVSSKVLCSLPCSREEGEIPEDECIRISPVATEKFAETGNSPISTSGWSRSAYDESVGSSPAPIVLPNRYEAPEKPTTPQTLATSVHQAAAVPEPPMSNLLAKARIRMRQLRREPKRQKAYEELEAMETNAVPTNWIHPMYMMEFGITVVEYVVTSDRFVPGRGGPLEQLFGLSWKGE >Et_8A_057070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20855731:20856953:1 gene:Et_8A_057070 transcript:Et_8A_057070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSQFTLATQDFCDGSKVGQGGFGVVYKGFLRNITVAVKKLSSTSVQGLSELKQVVSILSMMRHPNLISIVGACPEAPALVYELMPNGSLEDRLSRKAGAPPLSWLATTRIGTGTTLLVPNKLQNSNTPTLKCEDGTVQWQNQQTLIVLSEVLKHFQSQVLLHTIHCIETTSSIWADLEIQVYKESQNLHMAHVPGLLDRLPTIAYCVNPMLRKQRSTSSSI >Et_10B_003521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2519086:2520146:1 gene:Et_10B_003521 transcript:Et_10B_003521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSVLLLIMVAAAGVAGVHGLHAAAGTPAARFWEEALPGSPMPDSIAELVQKGIDRSPLKERNAALYRLPSACIIAVCGTPPAGLFFRESQARAGAVMTVSLPPARTPAILPLAAAEKTPFDNATAVLAAFSVPPRSDAAARVADTLRGCRAPPLAGESKAFSRMLLPQGAPCCIWAAASAVPREGLPRRAYAVAAVEELGGDRHVACHDEPFPYAVFQCHMTGRSATKAYMITLQSSLDGNGPAATVAMAALCHRDTSSWNPAHPVFKILGTKPGGAPVCHFMPYANLVFGARIGH >Et_5B_045674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6711130:6714481:-1 gene:Et_5B_045674 transcript:Et_5B_045674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHDERPDAVHRRRPVAHAVAGEEPRVAESSHGPRLQRRERVHQDAVGLRVPARHVHHRLVHLALGVLEVLGHEVRRRHGRHAADVERRVPELPEARVAFLADPRELEERGDRGGVGGEEGVGRDAELPGDVERVDGRPVHDHGGAEELTEFIVVASYGLEVPDEHLRVVGDGGRVLDPREPVEGHRRLGCRDAADGGGQGGVVVHGDVDERDLDGVAFFQEGVGELHHGHEVAGEEAGSIAHLNLRNGCILHERKA >Et_3B_029284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23766992:23769740:1 gene:Et_3B_029284 transcript:Et_3B_029284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWCEIEGKRAHDPIFQCFSRNQKHCQPVEDGCKERSMDAVVACSERRACVWVSGPIIVGAGPSGLAVAACLKEKGISSLVLERSNCIASLWQLKTYDRLSLHLPRQFCELPLMPFPADYPIYPSKQQFIAYLESYAAKFGIHPTYNRTVVHAEYDEQLLLWRVKTRASGKMGEEVEYVSRWLIAATGENAEAVQPAIDGLQEFEGTVLHTSAYKSGSAFAGKRVLVVGCGNSGMEVCLDLCNHNAEPHIVVRDAVHILPREMLGQSTFGLSMWLLKWLPVHVVDRILLLIARAMLGDTARLGLKRPTFGPLELKSLSGKTPVLDVGTFARIKSGDIKIRPAIRHICGREVKFLDGRSEEFDAIVLATGYKSNVPFWLKDRELFSDKDGLPRKAFPNGWKGEKGLYSVGFTRRGLMGTSVDARRIAHDIEQQWKSQGLHPDVFL >Et_8B_059816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:354065:358435:-1 gene:Et_8B_059816 transcript:Et_8B_059816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLALTSPSLPAAGPTTTTGHRRRFQRVRATAVSDEPKLNKYSARITEPKSQGASQAVLYGVGLTDADLRKPQVGISSVWYEGNTCNMHLLHLAEAVREGVREAGMVGFRFNTVGVSDAISMGTRGMCYSLQSRDLIADSIETVMGAQHYDANISIPGCDKNMPGTIMAMGRLNRPSIMIYGGTIKCYGEYVTGSITEEQRKNVVRNSCPGAGACGGMYTANTMASAIETMGMSLPYSSSTPAEDPLKIEECRLAGKYLLELLKMDLKPRDIITEKSLRNAMVIVMALGGSTNAVLHLIAIARSVGLNLTLDDFQKVSDQVPFLADLKPSGKYVMEDLHKIGGTPAVIHYLLEQGLLDGDCMTVTGKTLAENAKIFPPLSEGQQIIRPLDNPIKSTGHIQILYGNLAPKGSVAKITGKEGLFFSGPALVFEGEESMITAISENPSSFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLVQNGDKITIDVGKRVIDVDLTEEQLEERRRKWSPPAYKTNRGALWKYIKLVAPASRGCVTDE >Et_1B_011539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23454146:23460242:1 gene:Et_1B_011539 transcript:Et_1B_011539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSADPARISVPASRPAPLYISAHLAQHQRHKTSWRADEEEARQHFLDGELGSYSGAGGHVAPLEAILFDIDGTLCDSDPIHFLAFRDLLQQVGFNDGVTITQEFYSAKISGEHNDDLARALFPDMDFKKGMKFMDDKEAFFRKLAAGKLQAVDGLHDLCRWIESRNLKRAAVTNAPRANAEFMLSQLGLTDFFPVLVIGSECARAKPFPDPYLKGLELIGASPEHTIIFEDSASGVRAGVAAGMPVVGLTTRNPEKMLNDAGASLLIKDFQDPKLLVSRTMSAATPANMPAGSGSSSLTKLAPLEAILFDIDGTLCDSDPIHFRAFVELLQQVGFNDGVPITEEFYSSTISGVHNENLAGRLFPDMDHAEAMKFMDDKEALFRKLAAGQLKALDGLHELCRWIEGRNLKRAAVTNAPRANAELVLSLLGLTEFFPVLVIGSECDRAKPFPDPYLKALQLIDASPEHTFVFEDSASGIRAGVAAGMPVVGLTTGNPEKVLYDAGASLLIKNFQDPKLLSMLEELEAADAGGQG >Et_4A_032381.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:4248402:4248821:1 gene:Et_4A_032381 transcript:Et_4A_032381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GSDRAGDGEEAGGGGEAGAALLGGRAGGCAGRGGDGGWGDRGGRGGRDVGGRGRGRGRRRRRRGGGRGGDRRRGGGRGPRRRRPERGHPRRLGRRGGRALSVRADGEEGEDRGDHGEASHLDFCCGGSGDWAAKFMRKK >Et_2A_016228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22256629:22260956:1 gene:Et_2A_016228 transcript:Et_2A_016228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHLSGPRVVAPNPALGGLRVAPSLAVPRAPRARGLVVRAATVLSPKYTSVKPLGDRVLVKVNSSEAKTEGGILLPVSVQSRPTGGEVVAVGEGRSIGSSNIEISVPVGSQIVYSKYAGTELKFNDADHLILKEDDIIGILDSDDVKDLKPLSDRILIKVAEAEEQTAGGLLLTQANKEKPSIGTVIAVGPGPLNEDGSRKALSITPGSDVMYSKYAGSEFKGEDGEYIVLRASDVMAVLP >Et_10B_004455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8042199:8048743:-1 gene:Et_10B_004455 transcript:Et_10B_004455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSAALLLLVTVAAVSPASSAPAPADSAQDAAVRCAAAIVSISPCLPHVAVGAPPTAPTDACCVAFLRAVSPSGGDGGEGCLCHLLRVPILLGFPVDANRLGALLPACAAGNSFAAATVEAATLFADACRGENSPRAPLLSYRLVIHMPIAAAVPEVSAEKVPSGAPLVQSGAGASASCNLPLAALILAAARAMITMHV >Et_8B_060122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6094699:6101754:1 gene:Et_8B_060122 transcript:Et_8B_060122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSFTAAKFLPPVAARSGGERAPPLPATGVGFARPRRGVQQTRLRTALAVSSDVLAGNKAAQAASGQPAVTREEALELYEDMVLGRVFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLNQADCVVSTYRDHVHALSKGVPARSVMAELFGKATGCCRGQGGSMHMFSAPHNLLGGFAFIGEGIPVATGAAFAAKYRHEVLKQSSPDGLDVTLAFFGDGTCNNGQFFECLNMAQLWKLPIVFVVENNLWAIGMSHLRATSDPEIYKKGPAFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPTLVECETYRFRGHSLADPDELRKPDEKSHYAARDPITALKKYIIEQNLATEPELKSIEKKIDDVVEEAVEFADASPHPPRSQLLENVFADPKGFGIGPDGKYRCEDPKFTQGTAQVINLQCLASTTYRLPGPANLLAVPNMTALVSNPRSKVQASATAPLIMSTFGPVLRLLNLCIVVGWTGLNVVFCVELISIELCDAHILRPMMYSLSLQKNGNCKAE >Et_1A_006994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:305776:309932:1 gene:Et_1A_006994 transcript:Et_1A_006994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPRTFPGGLSKWGYKRMHEKLARQKQQGLLRHEKQLYLARLRSEIRASRLPAADSAAQPAEGPTSSRAHIRALADRFRKPGAEDLWNEDDGPLRRSKQPPNSIPSGGRRQLLDSGKPRGGASWKDWDDLALESPRAPQQAGAGGKEPTLAAFNPRREYRTVAPWLSHCSSVSGTPSLQRKEALFGFLGQRRCYTVMSPCSASWQSRLALMPFGPGRLAGGRVLPAMALLGQERLYAVAARKFGRKWRPDSSDEEGASTSKRGLKFSKFGASSDEDSESDESGETSPIRRRWSSAALRNCDMKKERRVLKSYEEESNDLAGRIRELREEIKNREVLGTERRRYESRGESLLTNKRFDECGVSPLTVKALTDAGYIQTTVVQEAALNVCLEGKDVLVKAKTGTGKSAAFLLPAIESVLNGMKKITNHRVSPIFALVLCPTRELAIQLTAEANVLLKYHEGIGVQSLIGGTRFKLDQRRLESDPCQILVATPGRLLDHIENKSSFSVRLMGLKLLVLDEADHLLDLGFRKDIEKIVDSLPRQRQTLLFSATVPKEVRRVSQLVLKRDHVFVDTVGLGAVETPTKVQQLYHVVPHELHFHMVHRLLQEHIDREVDYKVIVFCTSAMVTEFMYIMLRDLKLNVRQIHSRKPQLHRIRISEEFRDSNRLILVTSDVSTRGVNYPDVTLVIQVGAPPDREHYIHRLGRTGRGGKTGRGILLIAPWEEYFLNEIRDLPIEKDQAPEIDREMKQKVDDSIKIVDMSIKEAAYHAWLGYYNSIADVGRDKTMLADLANRFGASIGLQKPPAMYRKTALKMGLKDIPGIRIRK >Et_1A_007453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34838422:34844237:1 gene:Et_1A_007453 transcript:Et_1A_007453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESDAEVLTAAEIVCSLRDADLAGWTPPWCKPVPAQEVELIWPAVVRGKRSRRRSPSAGSAGTASGKGRWGRGSPASPLDYSVGSGSGASTSGGEDGGFCSPGLHGRLPATKVGSIGHPQLTFSAPISKPTGQRPRKKLKLPEVQQLVRSLEMENESIREEMRALQRACNSLSKENDKLETRFERLKSRTENTSKEEKGKQQVDQQAVVQSPRDSFVLPDLNLPPQDPADVSPLIEFLADPHLP >Et_4B_037215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16753202:16755512:1 gene:Et_4B_037215 transcript:Et_4B_037215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNPGAAPTSPEGEEEKRAEEAAPVALKKGPWSAAEDAMLTDHVRRHGEGNWNAVQRMSGLLRCGKSCRLRWTNHLRPNLKKGSFTPDEEVLIAQLHAQLGNKWARMAAHLPGRTDNEIKNYWNTRTKRRQRAGLPVYPPEVQLQLALSKRCRFDDLSPLDSGAGYTSARPAPLDVLSRQLAMTSSQTVQFLSAPSSPWTTTKSFARNAHYFQFAAHSSPVSPSTPTPPPMHPATRDLSLGYGVQSRVPPSSPGPRVELPSNQFVQAPPPPSSAGDALPEQQNAASLEKMLRELHEVIKVDPPAQLVPAANDGGDAEPEQPVLELEGHVGGAYLITSISENKPEGELKDDIETLFDLMIPQFNESEPAPPAPAPNHSGSISQQSSDDQDLSNVDLGVDLPVAGAASSPDQEWSLDGVCQWNNVSRIC >Et_6A_047266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3165356:3169680:1 gene:Et_6A_047266 transcript:Et_6A_047266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDTDAATASPAAAGGGGGGDASGASPSPSPAAAAAAAAAGRAVVRWDQILPRRSLRVLLVEHDDSTRQVVTALLRKCGYRVAAVADGMKAWEVMRGRAYAFDLVLTEVAMPSLSGIQLLARIVAADECKNIPVIMMSSQDSIGTVLKCMQKGAVDFLVKPVRKNELRNLWQHVWRRHAMNSQTNASENNAASNHISANAANGSKTGENSDEESDAQSFDSKRQAEIQSVEKLPENLTDEGAGPSRNSKIQSEPCGGVNTKSYMPKGSDDAPSGSACNTSKVQVFSAEKNTRTKCLNSITSAKVAEQIMDNALRIADASSRRASNLGKDMAMTEPSTDRKCKSSVLEHNGDMQNIIGESSKRAEIAHAESCPSQVLENHLGKQHHVNGSTNQGVREKDIFNHSNSSAFSRYGNKRIEPSGQQQFFPSLCVTRQELGYGKDPLVQPSMPSCIHNTEESTMQARIPLDSSTEGAAILCSSSAREDAGTSSSSHRKDSMSHPSYGFIPIPIPVGATMPYHYSTILQPLYYQQAPVLHSDTAGINQATFQRSSGQLNYHENPSKPSQVDEHEQLEENQQLHHSRQIFRESGEPANLARANVEHVNQSAGCSQDIRRGRECTGSAENDINTNTVVALESGNESSVQNCGYNGSRREAALFKFRMKRKDRCFEKKVRYHSRKKLADQRPRVKGQFVSQKLKSATTTDAETD >Et_1A_006526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23863502:23867635:1 gene:Et_1A_006526 transcript:Et_1A_006526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSGYISRGSTILENKHALNMICCWIEKPNSIEFKQHLPRIYDFLWLAEDGMKSMVYAGCQSWETALIIQAICSTSLAEEFGATLRKAHDYIKHAQVMHNMPNYKNHYRERTKGSWALGNGENGWTGADCTAEANKAVLLLSNIPSNVVGDPIRQEWLYDAVDSLLSFVGKANACANLLAPSPDTVAAGPYNNQHQIDSYTVQYIEHGVHLYPPATCCHLASQTACKSQVWRVRWLLEGYAPDA >Et_5A_042380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9312523:9320871:-1 gene:Et_5A_042380 transcript:Et_5A_042380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWGLGWKRSSEIFHLTLDYGDYVDDPHQQQRQPSSPPPPGTPSAVAAAAAAAQSSSPTATCNADLGFRIELDWSAGDDEDQVALRLQSQLMVALPPPHDAVSVDLTPKGEAEEVGVEMRVVRRREALRSVRVARAPGSALGSGDGAGVLARLIRSNLAPAPAVDGPTATAGVPVLADHWRSVSMLSLCNCGLLVLPVELTRLPLLEKLYLDNNKLSVLPPEVGALRNMKVLSVDNNMLISVPVELRQCVLLEELSLEHNKLVRPLLDFRSMPKLRVLRLFGNPLEFLPEILPLHNLRHLTLANIRIEALESLKSVTVQIETENGSYFIAARHKLSAFFSLVFRFSSCHHPLLASALAKIMEDRSNQVAISKEENAVRQLISMISSDNRHVVEQACLALSSLASDITSAMQLIKCDIMKPIEAVLKSFDDEEIVSVLQVVVSLTFVSDHVAQKMLTKDVQRLSLFAVGNLAFCLETRRTLMHSDSLRDLLIRLTVSQERRVSKAAARALAILGENENLRRAIRGRPVAKKGLRILSMDGGGMKGLATVQMLKQIEQGTGKRIHEMFDLICGTSTGGMLAMALGIKRMTLDQCEEIYTKLGKLVFAEPFPKDEAATWKEKIDQLFKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKGVPKVFAVSTLVSVMPAQPYIFRNYQYPPGTLEVSPGLTESPSIGAIGTAVSGAPVGMKRGAFMGSCKHQVWQAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAIREAQLLWPDTRIDCLVSIGCGSVPTKSRRGGWRYLDTGQVLIESSCSVERVEETLDTLIPMLPEMQYFRFNPVDERCGMELDETDPAVWLKLEAATEEYIQKNFLAFKNLCELLVPRYQEEEMSSNITKSLSFSTFASSNSGFSESNPTLGWRRVVLLVEASFSPDSVKKINHARSLETFCSQNGIRLALMNSASGFGKPATTLPTPITSPLFTGSFPSSPLLYSPEGTQRIGRIDLVPPLSLDGHPNTKSSPPTSPLRSRQPTAHVRSLYDKLQNMPQVGVIHLALQNDSTGSVLSWQNDVFVVAEPGELADRFLQSVKTSLSTLLRGRKRKGTYSLSKISCLSELVAEWPSFEIGGIHHRYIGRQTQVMEDNQEIGAYMFRRTVPAVHMTPEDVRWMVGAWRERIIICSGRYGLAHGLIKAFVDSGAKAVISSSIEPPDSQAVAFHGMDVNGSLENGKFVIGDDEADESEPEPVSPISDWEDSDAEKSGNNDVDDEEYLAQFICLLYDKLFREGVTVDTALQQALRSHPKLKFSCHLPNAEVTECSPNESFGTNAVVKFD >Et_1B_011858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26619039:26620154:1 gene:Et_1B_011858 transcript:Et_1B_011858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDFINSKGAVEEGFVLDVQPGETYLLRIINTALFSEYYVKIAEHKFTVVASDASYLSPYTTDVIAIAPGETVDALFPQRVTRGTVRYGSNHDAAAPVAPEMPDPSDTITSFYFRGNLTSLRRRHPPVPEVADERLLVTLSVGSVCRRGGEVCERSGSDDDAAAGSALLPHGQHGRRRRELPDRPPRAFNYTDMALIPFGPKEARLEPTSRAATARRFRHGTVVEVVFQGTAVLRGDSNPMHLHGHNMFVLAQGLGNYEATRDVARYNLVNPPVKNTVLVPNLGWVAVRFVADNPVNYQGNTADVVLAVWWKIFLTSLNLPCLCINR >Et_5B_045278.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:16358714:16359121:-1 gene:Et_5B_045278 transcript:Et_5B_045278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRRLRRRKTSPAKLPDIDDILTGILLRLPPHPSSLPRAGLVCKRWRRLVTDPHFVRRFRAHHRTPPLLGFFSDPTFFRIQEAQNRIPASHYFSLDRWRGNTCSTRWAVAPQQPRACLVRARWKVRQNQRVHSG >Et_6A_048016.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:3572720:3574831:1 gene:Et_6A_048016 transcript:Et_6A_048016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIPVPIPPLKSSSFSATTAREEKLARNLSLGPIKLNEQIKEAASLAEKAAEGGGDVGSNNGGEAVAEDAAPPAEASEPDLATLSAEIDAFLAAREADADAPVVSEVTLDRFANAVEMEIAQSEGADDKWAASPAGADGGEAPLLAAIRRIAALAAALTANHAEGATYTIGVHRVTGVLHRTMTFVEDEFHAMLEDPRVAKAAALGGAGDTGSATAAGRSMKRPPSFGGHCAEPDRCVLVSSDAGGGDGSASAAPPFPPETVARLRAMAEAMFAAGYETECTQTFLVARRNALDAALQSLGYEKASIDDVVKMPWEALECEVATWIKAFRHTVDAGLPGERDLCARVFVSHAAPGRAIFADLARCAVLQMLNFTEAVAMTKRAAEKLFKVLDMYEAIRDAAPVVDAFSLPVDDDDDTSSAALSDLKSELVSVRSRLGESAAAIFCDLESSIRADAGKQPVPGGAVHPLTRYLMNYLKYACEYKTTLSQVFREHHLPDSDPNNSTAADPFAAQLMEVMELLHGNLEAKSRLYKDPALGSIFMMNNGRYMLQKIRGSPEINAVVGEAWSRKRSTDLRQYHKNYQRETWGRVLNLLRDDGVITVKGHVQKNVLKERFKQFNAAMDEIQRTQGAWVVSDEQLQSELRVSIAAVIVPAYRSFLGRFAQQFSPGRQAEKYIKLSGEDLEGIIEELFDGNAVSMPRRRT >Et_1A_006380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21560367:21596894:-1 gene:Et_1A_006380 transcript:Et_1A_006380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVKRSDPRVVLTGTTQKEPKRVPKSSRTSLFDQFLRVFARNHQLHETHGTSEKERSPAKLTFLRVFMREPSNYLKHITTSEKERSPGRFDRNNTEITETAKLTFFRVFMREPSNYLKHITTSEKERSPGRFDWNNTEITETGPEQLQNSPYRNNGNGSRTAPEQPPKLTFLRVFMREPSNYLKRITTSENERSLSRFDRNNTEITETAKLKFLRVFAREPSNYLKHITTSEKERSPGRVDRNNTEITETAKLTFLRVFMREPSNYLKRITTSENERSLSRFDRNNTEITETAKLKFLRVFAREPSNYLKHITTSEKERSPGRVDRNNTEITETAKLKFLRVFAREPSNYLKHITTSEKERSPGRVDRNNTEITETAKLTFLRVFMREPSNYLKRITTSENERSLSRFDRNNTEITETGPEQLQNSPPSNYLKHITTSEKERSPGRVDRNNTEITETAKLTFLRVFMREPSNYLKRITTSENERSLSRFDRNNTEITETAKLIFLRVFLREPSNYMKHITTSEKERSPGRVDRNNTEITETAKLTFLRVFMREPSNYLKRITTSENERSLSRFDRNNTEITETGPEQLQNSPYRNNGNGSRTAPEQPPKLKFLRVFAREPSNYLKHITTSEKERSPGRVDRKDTEITETGPEQLQNSPATSEMENSLGRFDRNNIEITKTGPEQLQNSPATSEMENSLGRFDRNNIEITKTGPEQLQNSPK >Et_5B_043181.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:15597249:15597434:1 gene:Et_5B_043181 transcript:Et_5B_043181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSMSECAGFGIPLSWLAVLVVCFGRPWMGFALSCFMLVLDAAVVAFGVRLARTYSSVS >Et_4B_036915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12598186:12599243:1 gene:Et_4B_036915 transcript:Et_4B_036915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGPQDLGGSDSSEHSSSSRREARKAERGACASCQVGGDGEQKGLRGPGCGATCVGRARGPALALTPLCLSGSEERDGGGRRSCFISRNPNTTQGDKDAKKQVHGFLKCFGINILWCFFQWLYAGGDVCGFVQLPAFGLKAWKQSFFFDFSLTFSGAGMVCSHLVILSTLFGAILSWGILWPLISERKGDWYPATVPESSMTSLYGYHGRWQIYRFVKVIGVTVKSLHRRSKARQKKHRSR >Et_4A_032449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10131844:10136263:-1 gene:Et_4A_032449 transcript:Et_4A_032449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVASNGSLAMAASTSATPGQVSPRPRLDPLLRPLAGALLLPAVGLCLGIHGVSRQLVLGGGSVDFWDGSRGIGTGGCGFMRNRAAESRAGFWVIVIAEQRCRIEMRLRIWISKLALHSILQVSAILGFLWVFAAWAYAEVLFYKKNAASRKAHLDINLVMMDNSSTKGEDQTMLLEEGGQTAAVKPVYSSFTSQSFRLLSMDHTLLLENRLTLRAISEFGGYLVYFYICDRTNLLGETAKNYSRDLFLFLYFLLIIVSAMTSFKVHQDKSSFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFNAKEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFFVIFCCIVLNNDYTLYYICPMHTLFTLMVYGALGILNKYNEVRSVMAMKFVACFLVVILVWEVPGVFDIVWSPFTFLLGYTDPSKPDLPRLHEWQFRSGLDRYIWIVGMIYAYYHPTVEKWMEKLEETETRTKLYIKASILTISITAGYLWYEYIYKLDKVTYNKYHPYTSWIPITVYICLRNFTQEFRSCSLTLFAWLGKITLETYISQFHIWLRSRVPNGQPKWLLSIIPNYPMLNFMLTTAIYVAVSYRIFELTNTLKIAFVPSRDNKRLAYNFVAGIAISAALYFVSVVLVGIAGY >Et_4B_039072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7562684:7566404:1 gene:Et_4B_039072 transcript:Et_4B_039072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARLQHHQLGTVPHLLAGGVAGAVSKTCTAPLARLTILFQVQGMHSDVATMRQTSIWREASRIVYEEGFRAFWKGNLVTIAHRLPYSSISFYAYERYKTLLQMVPGLEKNGGFGADVGVRLLGGGLSGITAASMTYPLDLVRTRLAAQTNTAYYRGISHALYAICRDEGFRGLYKGLGATLLGVGPSIAVSFSVYETLRSHWQTERPYDSPVLISLACGSLSGIASSTFTFPLDLVRRRMQLEGAAGRARVYQTGLFGTFGHIVRSEGFRGLYRGILPEYCKVVPGVGIVFMTYEMLKAILTGLESDD >Et_4B_038247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27569879:27574181:1 gene:Et_4B_038247 transcript:Et_4B_038247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFITDEYDVEGGGPIKMKIETANIGGFWSAAERGYFQFEAPCTFHGSPSRQEVPLLLRVALQAGQGNKKTPRVLLVFFCIPVAPGRSRVIWAFPRNVGVWLDKIIPRWFYHINQNRILDSDIYLLHVEERKFAAVGLDNWQKACYVPTESDNMVIAFRNWFRKFCKNQVGWATPQVNQLPPTPTKDQLMERYWSHVAQCTSCSAALKAMKALEVALQVASVAVVGFLAVANGTLVTSTIQRAVVVSMAVLCFAASRWLANFIEKNFYFQDYVHAYKRLSGTRLVPRRRWRRAARPSSSVPAVATETPRTEETQFPSPSGDEAFDWLDQWYPLAPVCDLDPRAPHGKTVLGLSVVAWYDRGAGEWRVFDDACPHRLAPLSEGRVDDKGRLQCVYHGWCFDGAGACEFIPQAPALGPPVHKNSKACVASYPCVVQNKILWFYPRAEPEYRDVLQRKRPPFVPEIDNPEFVASYATRDLFYGYDILVENLMDPAHVPYAHKGLLQGIRKKEDPGRYYDEEGGGPIKMKIEAASKDGFLTTQDRGSFQFIAPCAFYGSPFRQEASQGKKKTPRFMLVFFCVPVSPGRSRLIWTFPRNVGVWLDRILPRWFYHVSQNRVLDSDIYLLHLEERKFAAAGLDNWQKLCYVPTSSDNMVIAFRNWFRKFSKNQIGWATPQVDQLPPTPTKDKLMDRYWSHVVQCSSCSAALKAMKALEVALQVASVAIIGFLAVAKGTLVTSTVQRATVVSMAVLCFAASRWLANFIQKNFYFQDYVHAYK >Et_2A_017335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33385874:33386933:1 gene:Et_2A_017335 transcript:Et_2A_017335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQPLFEPVAGCQDHTAHATAATPRQVRRACIYTPQVQGPEAHSLKQKHLIFSLSSIASLVIFRRAEREERKRKGKMVSGKAALLVAVLLVGVASQCSGTRSLQGDHHLAEQKYGGGGYGGGGGGYGGGGGSGGGGGGGYGGGGGGGSGGGGGFGSTGSCDYWKSHPEKIISCIGSLGSILGSMGDVCSAFFGSKVQTLKDALCNTRKDCYGDLLREGAAAYLNSIASADKYAYTTQQVKDCIAVALTSEVAAAAQAAMFKKANLACHY >Et_1B_010823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14458630:14460633:-1 gene:Et_1B_010823 transcript:Et_1B_010823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPEDEEAFEHTLLVVREVSVYKIPPRTTSGGYKCGEWLQSDKIWSGRLRVVSCGDRCEIRLEDPATGELFAACFVLPGQRESAVETVLDSSRYFVLRIEDGRGKHAFVGLGFNERNEAFDFNVALSDHEKYVKREQEKETAGEESHESQIDIHPAVNHRLKEGETIRINVKNKPTTGSGMLSSAGLSGGATAKPKASMLLAPPPGAAGKLRSPLPPPPNDPAAARMNSGKNSGTREPKEPAKRNNDPFSDLSSMKKNLPSSTEPGQTKGTGAGWAAF >Et_10B_004405.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:6315137:6316220:1 gene:Et_10B_004405 transcript:Et_10B_004405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTYASGQSDKQLMDKAQAEYMAIMQTDKPFKFDYWWEAVKDEPKWLNRDVFSDMNKRNKVSASGEYTSSSNRDTDEGSVADRPCPPGQKQAKAQKKGKGKGKSTLTDENIGQFNVLQERKSEAIETMAAAAREHAQAIASKAAAEKERVKLEKMKHLHELMKTDTSTYSQIQMSIHEKMMLSLSDEIYQED >Et_4B_036579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:582353:582658:-1 gene:Et_4B_036579 transcript:Et_4B_036579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLYASLWDASYIDEGRWTGTYHGRDAPYVCSYRDIRVPMALSVEDTDGDAPANGADAETAAAAVEEEDAEASKEE >Et_2A_017635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4344794:4349683:1 gene:Et_2A_017635 transcript:Et_2A_017635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLPNLALVALCTAIGRSEILDPVFHFLEDAAARNPIVEVAVVSCLITLAVSSLAGSILVRGAAPLAPAALWGFEDLLFRLVFRLIFFGVVPLTAVLLVLRWLLLHISDNLDLNCRRQSNAFYCFAHDYSAHFFCVASFLCMTTIAYTLHHTVIKHQTDVEEFGSIFHLYVLHLITFYLPLWGVILYDGFTYYEMAVGISDRSNQSDIRADKNATRYFSSSFLMLGLLDLWFLPDRIKRNLPTLSRLRSQQESELTSLIIEGN >Et_10B_004484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:943613:945377:1 gene:Et_10B_004484 transcript:Et_10B_004484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQGYALLHNFGLAHLKSSALKCAVGLGIPSAIHRCGGAATLSDLINETGLLPTKLPYLRRLMRLLAVSGIFDESKPPVGESEAEAAAAIYTLTPASRILVRSSDNNNSSTSQQSCDMSALLLIFTRLDTTVSPYFNLEAWFRDPGAKPLFEMAQGMSAWSFTKIDASYNDAINLACVADSNFIMDIVLKEAGGVFQGLDSLIDVGGGLGIAAAAIARAFPHIRCSVLDLEQVIRKAPEHGQVQFLVGDMFKCIPPANAVLLKSVLDCWDDDSCVKILRRCKEAIPARDAGGKVIIINMVVGHGALDKAAIESQLLFDMFIMRSPGHEREEHQWKKVITEAGFREYKIMPLMGPVSIIEVLP >Et_3A_025656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31675997:31680952:1 gene:Et_3A_025656 transcript:Et_3A_025656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREVECRVCHAKVPVPAAVSKAYDSHRSTMSSRQRALNVLLVSGDCVLAGLQPILVYMCKVDGKFNFSPISVNFLTEITKIIFAVIMLIIQARRLNVGDKPLFTVSTFVQAARNNVLLAVPAFLYAINNYLKFIMQLYFNPASVKMLSNLKWEALALLLIGISVNQLKSLPEGSRALGLPVAAGAYLYTLFFVTVPALASVYNEKALKSQFDTSIYLQNLFLYGYGAIFNFLGLVITAIIKGPGSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGVASAVLFGHTLTINFILGISIIIISMHQYLSNQIKDEVPSTKIEMAEAHDNRLKEPVCVNVTDSAVSEAKQRHGSDERQPLLPV >Et_2B_022445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22128377:22128897:-1 gene:Et_2B_022445 transcript:Et_2B_022445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRVQCGCGESSCPEWAVVELQGVVQPQPSFAGDIRGLHIGRLCCTPSPSSSSKAGYTFTVGYHELAGTKVALKKPLLVLRKKKVGGGDQEPSTAAAAEVELEVIGIIRHKILFKDRPKALISKPPTKEKKTQQSSAA >Et_9A_062673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:350183:353825:-1 gene:Et_9A_062673 transcript:Et_9A_062673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKGPSADVLRASISSAPSTSSHGSAQDECDSLGDVYVWGEVVCDSSVRTSSETVIRSTGRTDILLPKPLESNLVLDVYHVDCGVRHAALVTKNGDVFTWGEDSGGRLGHGTREDSVHPHLVESLTACNVDFIACGEFHTCAVTTTGELYTWGDGTHNVGLLGHGTDVGHWIPKRISGPLEDLQVAYVSCGTWHTALITSMGQLFTFGDGSFGVLGHGDLKGAPYPREVESLSGLKTIAVACGVWHTAAIVEVIVTQSSSSVKLSAGKLFTWGDGDKNRLGHGDKEARLKPTCVATLIDYDFYRVACGHSLTVGLTTSGQVLSMGNAVYGQLGNPHSDGRFPSLVEDKIAGQHVLQIACGSYHVAVLTSRSEVYTWGKGANGRLGHGDIEDRKVPTLVEALKDRAVRYIACGANFTAAICQHKWVSGADQSQCSSCQQPFGFTRKRRNCYNCGLVHCNACTSRKALRAALAPNPEKPYRVCDSCFLKLDNSDNNSAIKRKDPFSNNFGESNGDPRVAKATLPSNIDMIRTLDIKAAKQGKKSDGLSFLRNPQVSSLLQLSDIALSGGIDLNRSAPRAVRTSAVRSVTTSRAVSPFSRRPSPPRSTTPVPTGHGLSLSKNATDNLVKANELLKQEVERLHAQVDNLRNRCELQELELQKSTKKVQEAMTLVAEESAKSKAAKEVIKSLTAQLKDMAERLPPDHGAYNVSETKQVHVMNGIESHVASYSSMNGIHQPRNELLGASVAHSPNSGRSSHSNGIYASENSDCSTHSLRITSPHESEPLNRRTRSSSDEMLMTTSSRMEDNVSIDARSLQNGEDGYKPRGTVSVPSNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAENWWNENREKVYERYNVKSSERVSSAASTRSAY >Et_2A_016356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23839163:23840919:1 gene:Et_2A_016356 transcript:Et_2A_016356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHFRIGVPSSCLPVPVPGALLDARLFTAREHHFARASVGAASPYRALRQHRHRARATTAGQEMEQGGKPALGWAARDTTGVLSPYNFSRRAQSDDDVTIKVLYCGICHTDLHVIKNDWGNAMYPVVPGHEIVGVVTDVGSGVTKFKAGDTVGVGYFVDSCRSCECCEKGHENYCAGIVHTSNGVDHARGGAVTLGGFSDAIVVREHYVVRVPDGLPPDRAAPLLCAGVTVYSPMVRHGLNSPGKHLGVVGLGGLGHVAVKFGKAFGMKVTVISTSPGKREEAVDRLGADAFLVSRDAEQMKAAAGTMDGVIDTVSAWHPIAPLLALLKPMGQMVVVGAPSKPLELPVYAIVPGGKGVVGNSVGSVGDCQAMLDFAGKHGIGAEVEVIKMDYVNTALERLEKNDVRYRFVIDVAGSLGSDA >Et_7A_052669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11860437:11866260:1 gene:Et_7A_052669 transcript:Et_7A_052669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIAAVNLIGLLPRDRGGRLLLLRRSPTPSRIRSIAAPTRLAGTLCFFSLRAFSGDSSGMAAESQEEQEQRAMVVTETVELTGTEERIFQRLLDVVRHFGLGTQLRVAGGWVRDKASFSLLGKDPADIDIALDNMTGQSFCEKVNEYSEFNPDQSKHLETARMKIFNIVIDFVNLRSEKYAENSRIPTMEIGTVEEDTYRRDLTINSFIFNINNNSVEDLTGRAARFNFTLAEDLKEAASDEKVKSKLRSKISRERIGDEIKHMMSGRHPVKAMHFIRDLGLFDAVFTLPENSDPPAFEKCDRCCMSSIEAAWNLAYSSGCSVFSGGSDPKLQGICDAKNYYMYFASKNYLTVRVTSYVIRDSLKSNGCDPEMVAKIHDACGEFAELVPLFESNVGIGSLKEKLEDEYLEIPSDLVKRVFAGLDEVWELPYLDGNIIMGVMQVKSSPLIGQWVH >Et_2A_017615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4097751:4098047:-1 gene:Et_2A_017615 transcript:Et_2A_017615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRRLLLIVAGLARTREYVRKSHESREVQEDLILAEVSALIADDTLCSTTDVGMLFEADGSL >Et_2B_019201.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17118775:17118933:1 gene:Et_2B_019201 transcript:Et_2B_019201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLQQFCHMHDRPAARWSIKRSRTQNSERLDQRRALFSGISICETRCQVTV >Et_3B_030579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5048944:5051979:1 gene:Et_3B_030579 transcript:Et_3B_030579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDAPRPWTWACGCCVVRRRRRRRRTAGEAGGGAGASGRAGEGEEWSLFMDQAVLEAATANFDDDNLLGRGGFGPVYKGVLEDGQQIAVKKLSLGSRQGLREFLNEVRLLLKVQHRNLVSLLGCCASSGQKMLVYPYFPNGSLDHILFDRKKRVQLDWPKRYQIINGLARGLLYLHEESPVKIIHRDIKASNVLLDDQLNPKISDFGMARLFLEDATHVNTFRISGTYGYMAPEYAMNGYLSAKTDVFSFGILVLEIVSGRKNIDRHQDDEKTDLLNYTWKLFEEGRSLEIVDPSMPDWDAEQAVLCIQLGLLCCQVIVPDRPDMQSVHLMLSSDSFTLPKPGKPAIHGRTGRWVTTTTSASASSSGASNTNTATTFGTDTNTTRASALGNIPEDESRNSISISFTTEGR >Et_4A_032654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12277895:12281015:1 gene:Et_4A_032654 transcript:Et_4A_032654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRRREEDVLKGHAVKNQKVIWDKTLEMRFLLQKAFSTSNKFPQELIKTKFCNHDEEIEQAYGDLLNSSKQVLGSIQELQEAMLETNQAAKGADEMPSASNGEGDEWSEVQNLQTRITKFRNTEIDKWHRKIQVTTGAAVLKGKLHAFNQVILIPELIDDSEFYQQLLKEFLESCDDRGASESTFYALKKKQLKRRQNVDRRASKSRKIRYHIYEKITNFMAPVPIVLPPMAPKLFENLFGTGN >Et_9A_061054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12765325:12766032:1 gene:Et_9A_061054 transcript:Et_9A_061054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPWVRRSKASARLTQSPSFSSPGGGGGASVAGDRRVVLYFTSLRAVRATFEDCRAVRTILRGLRVAVDERDVSMDAAYLAELRALMRHDRPALPQLFVGGRLLAGDTDEVRLLHESGELRRVLAGAAPAPPAPCASCGGSRFTPCGACGGSHRRFSEKTGGFRVCAACNENGLVRCAACCSGG >Et_1A_008550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8748957:8756065:1 gene:Et_1A_008550 transcript:Et_1A_008550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVNAASWVVGKALSPVVDGFLQAWAASNGLGDNVNAMKRQLVFAKVILENAQGRVIRGTTLRKLLFERRGLAYNADDVLDELEYFRIQDEILGTRHAVTDTGIVLNSRHLCRAIASKLKLPSSSRRLKFDRVPISRKMVDIVEQLKQICDQVSAALNLEPYRTIDIAMHRPQTTPGTIEPQLYGRDVELRSIVDGITAGKYSSHKLTVLPIVGPGGIGKTTFTQHVYQEVKSQFLVSIWICVSLNFNANRLAHDILKQIPKVDDLLEFFGACVFGHEQPWKGHDEFKDVGTQIVEKLKGSPLAAKTVGKLLRTHCTNLQQWIRVLGSKVWENQTIDSGIMPALKLSYYYLPFHLQQCFSYCALFPEDYEFDTYELIHLWIGLDILHPLNQNESTEDVGHLYLEDLVNYGFLKKNERDDGHPYYVLHDLLHELAVKVSSEECVSICGSNVRSIQIPASVRHLSITIDEEVVNNRVTFEHYKKEFSALGKRLKVENLRTLMLFGGYHECLLKTFCDLFKEATSLRTVSCKASYNVEDLLPNLSKLVHLRYLRIMRGISGVSNLPSTISTLYQLKILDAQKCSGTSELPRYINNLRNLQYFLVLDDRLHSEIVEVGKLEHLRELRRFELGKLLELQVLGIYNLGNVAVKEEASETNLIQKDRLQELTLDWNVVGTKEPTQEDDILERLKPHSNLRKLWIRGHGGAKCPTWLGVNLSVQHLEYLCLNDVAWEDLPSLGGLHMVKDSCEPRPSKKFMNLRRLELVNVQNLKKWVEIGSCQLFSHLEVLMIKGCKELLELSISDPACHQLEIAGNMDLFPSLKVLEIEDCPILSHLPSIPWTRSPCSAMIQNVKSSFEVIYYTDDFELKIQGKDGVLDSSFWKVLDFDNLTGLKYLSARKCPALPLDHLQKLSSLKQLNITESNTSVWLVENESSLRYKYPVERIEMLQCNTNGKELTQLLSYFPELSSLYINYCENVRGLSVAEQPTTSIADSSTRRKVLGWLMSYLPNLFALSTRYYPKIRGWSVAMQPRIAYQQQQQQVATEGEEEILAASVAADRLLLLRPQLEKLEIWKCRELSLHHTNSKAGGTGGGLQGLRCLRSLQISMSPPSNSPFSFPPFPALLQVLTLVDMKGPETPVPLSNLTCLTELSIVRCEGLRVEEGFWLLFAHGRLTKLEVTKTPNFFVGCEPPPQPQEGGIPPCSSSSNLQDLCTDGTAGVLAASICTFLSSSLTTLSFVGTAERFTEEQEEALRHLASLQHLRFDNCRKLQCLPTGLHKLPKLKGLEIYFCHALRSLPKGGLPSSLQELRLHVCPAVQSLPMDTLPSSLQVLEMSECPAIRSLPKNALPSSLQVLKIQGCPAIRSLPKVDILPSSLRELHVRYSNSDELRRQCRKLRGIIPVPNCTVERGRESARTTMLALILVAVFFSVRPAAVAEAPAMQPSATCQRRCGGIDIPYPFGIGPGCHLETGGLDVPAQLQPHGGRP >Et_10B_004101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12933851:12937085:1 gene:Et_10B_004101 transcript:Et_10B_004101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCSRHLHLDTLFHIILLFLFCVAPGEPAATKASDVLDNGRNITDGDTLVSAGGTFTLGFFSPGAVAPTKRYLGIWFSFSADAVYWVANRDRPLNGSSGVLVMSDSAGSLVLLDGSGTVAWSSGSTSSSPSGGARLLESGNLVVYGQGTSTALWQSFDHPCNTMLPGMKIGRNLWTGAEWYLSSWRSATDPATGSFRYVTDANGGMPENLLLDNSNNNNTKKYRTGPWNGKRFSGVPEMASFADMFTYQLTVKGERNTLQALNGNGGGRHGGAGGHGAGTVRARNLRWLVRRHVFAVLREFPSLSPSVDVYTADDGASAVLLNARGPLAVSGALPPLLLTVWLPREYPYRRPRARLRVPGDEDDDAAALVRPPVRRPSHRPRPRRRAAVIRCLARRVVASLVRSLVAAFWMCHPLAAVDGFAADCGVTGSASPAPEEEERGRLHKVLLDELVSRLGADMAGFRDRVHEDVQGAS >Et_8A_057502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3748765:3758995:1 gene:Et_8A_057502 transcript:Et_8A_057502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAAAAASEPHSSAAPPRVVQLLLRNIDSRTTVVRARRADTVDSLLGRLGVAAANRGDLRVVYGGRDLPRQATVGELGLPPDATLHVTSRLLSTPHPDSWNFASELAAAARLAASADQLVRNFFLNVTRDARGRKSSSPLGPVAADQLSIFLCSDAAGVLGQLYLSEHAEGGAGAERAIRRLLPPLFTLPEHGIAKLWTAPVLLEFCRAIAGAGARHDDPLYADLRRALAAILVDPGWKRACWLDMPLEFVAKQLTVFVGETASAVTAHIGAGAYGSPAEANKHLAEFNAFSKALRRQLPHEPDAHGRPWWKALYETLVSLLGSVDECMAMFDTSLARGEHAASSSSSSAPLRWTDSAPFVWAVLVELDAWAEIKYAWPELRGALRATLAAHDAATNALVTSVAGTVTEWTRSMGSWIARHRDLLGFEARRHLAGTMLMPKLAAADGGCHTQHNMLIDRARLLSDSFQCVAHATPAKLRAGLSVEFKHEYATGPGVRREWFCMVFQALFDPRQALFSACPSDRRRFFVNPASVVVDPLHLPYYQFAGRMIALALMHNIPVGVLFDRTLFLLLAARPVTLDDIEDADPLLHANCKKILEMDPSLVDSNVLGLTFARETELLGSRMDLDVEDFNQMLGGSKVTIDVKEWRAHTDYNGYRETSRRVKWFWKVVKAMTVEQQRLLLFFCTSVKYLPFDGFSGLGSRLSIFRSPNSCDHLPTSGTCFYKLNLPAYTSFDMMQSRLQMIVQEHAAPPGVVQLLLRNIDSRTTVVRAQREDTVDSLLGRLGVPAAGRGGLRVVYGGRDLPREATIGELGLPPDATLHVTARLLSTPHPDSWNLASEVAAAARLAAATGSSGISSKTNSTSPLGLPVADHLSIFLRSDAAGVLGQLYFSEHAVCRDFSERAIRRFLPPLFPLPEHGIVNVWTAPVLLEFCRAIAGAGNRQPDPLYADLRRALAAILMDPEWMPACWLDMPLELVAAPLTVFVGETASAVTAHIGGAYGPSPAEANKHLVEFEAFSNALRRQLPEPDAHGPWRTALYETLVSLLRSVDELMSVFDMSLACGEHASSAASLSARLRWTASAPLVWAVLLELDAWAEIEYAWPELRGALRATLAAHDAATNALVTSVVAGTTEWTPGVGSWVARHRDLLGFEARRHLAGTMLPKLGAAVGGHKVLVDRSRLLSDSFWCIAHAAPEKLRAGLVVEFKHEYATGPGVRREWFCMVMQALFNPRQVLFSACPSDRRRFFVNPTSVVDPLHLPYYQFAGRMIALALMHNIPVGVLFDRTLFLLLAARPVTLDDIADADPSLHANSKKILEMDPSLVDSNVLGLTFVRETELFGCRMVTELISGGKDTIVNSENRSKYIQLLIQDRFVKCNRDQLAYFSDGFNSIFGRWEFPKYFFEALDVEDFNQMLGGSKDTIDVKEWRAHTDYNGYRETSRRVKWFWKVVEAMTVEQRRLLLFFWTSVKYLPFDGFSGLGSRLSIFRSPNSCDHLPTSGTCFYKINLPAYTSFDMMQSRLQKIVQEHVSSSFGKS >Et_3B_027834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21431546:21432511:-1 gene:Et_3B_027834 transcript:Et_3B_027834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKLPPPNPNLPYREDCWSEGETAALVDAWGSRYLELNRGSLRQPQWREVAEAVNSRPGASARRRPPRTDIQCKNRVDTLKKKYKAERARGGASPWAFYGQLDLLVGPTLSANAAAAGGGKRPSPPRALPMLRRRQSPSRSPSPPSPAPPMALPLPSYRRGANLPSAVVHNAAAAAAAAAAESDSYDGYNNNNDYDDDGDSQQSPSRSMSSRSKRKMSGSGGFGELARAIETFAEMYERMEASKQRHAEEMERQRIKFLKDLELKRMQAFVDMQLQLARAKHARKGDSSSEMLMSLAALPFLSAPAYL >Et_2A_016702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27340564:27347443:1 gene:Et_2A_016702 transcript:Et_2A_016702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFVLRHKHSIKAIAVAQSDEPSRTLQLSAVKRRPTMAESEHSIHLLLLPYPSQGHINPILQFGKRLAARRGVRCTLAATRFALSQSHRPPSSGDNAAAIIRVATISDGCDSGGFNEAGGVAAYLERLESSGSGTVDALLRSERALGRPVHAVVYDAFVPWARRVARQHGAACAAFFTQSCAVDAAYAHAWAGSVKPPLVAGDAAQVLPGLPAGLGPRDLPTSDDDSSIHVLLVSYPSQGHINPLLQLGKRLAAHRGVRCTLAVTRFVLGQQSDPSPGAVHVAAYSDCCDLGGYAEAGDEEAFLARLESSGSASLDELLRAESELGRPVRAVVYDSFLLWAPPELPGLQAGLEPADFPTFLTEPDGGCRAYLDLVLKQCEGFEEADHVLINSFYELETKEAEYMASQWGAKTVGPTVPSAYLDNRLPDDVSYGFHLYTPMAAESKAWLDERQANSVVYVSFGSLAAPSSAQMAEVAEGLRNSGKAFLWVVRASETPKLPEGFVDKVKGRGLVVTWSPQLEVLAHPAVGCFLTHCGWNSTMEALGIGVPMIAMPQWSDQPTNAKYIEDFWRVGVKLRPDAEGVVRKEEVERCVRKVIMSDEYRKNATDLSEKAKKATSEGGSSDSNIVEFLSKFRLN >Et_5A_041372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21902820:21908180:-1 gene:Et_5A_041372 transcript:Et_5A_041372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRRRRRRHGSSHGEKQEPAERGGGGGMGVATGGEGAGAEGGSAGQPPEVVRADAAEGNVVGISHGQGGGPAGGRPRTVAKAREGDGHLGAQGGEGGNAGLPRAAAEGHASADDQESGGAGGGVGQPRTVATAVESNGHVGASGGAGANDGDQLLAARKDGSFLIDAILGWSIQDATNPDVFKDKINQLPSEFNSHQSYTEMQSLLLIEETRATLHSKLRDTARPQFFRPLSISFAGPLSTYYVDIDLQALADCCHVVEDGDVLFLSNQPTDQKSDMLGFFGIATGVGLDNSFQKGFRVLIPNHCKDCALREIRHIRFVTNVMEAIVLAKSIKSIELRGSGAGKLIMNFDKKSETKCNICGEYAAGESIYPNNFNDEHIAAVKFTVSKVTCQHKNAISLVWGPPGSGKTGVAIAIAHSLIQQRMKLLVCVPRAEDLVGFLAKYEELFPSFNLRNVLVLTEFDGNMNGESFSKISETPLESRTQELYCSIYLWRSWMGQMADLLDFKSFCLDNNCDHDGEPCSKEETCSKKKFCFKSFHKKVSALAVDVRDCSWSLINSLSGIYLSKNDLENIGKLLDEISNFLDLLLNPQASEFASILPFTLEDTLSDTAASIHKARMNCLEDRKDREEFCIKHTSIIVCTPGSSSRLHGIKPDPIDVLLIDDAAQVRESELLIPLSIAPRHVVLFGDHLHMPPSVKSKMCKDVGYDKSLFERLLQLPFERQTLIKQYMMDPPISKFPIEHIYGKKMLPCHAFFDISQIEELRHKGKAMVESAAILHLLQQLCADLRNRKGRVSVGIVCLCNTRVDTVISHLGMKYQGHKKINIEVSSIYHMKNYWYDVLILSSFLDDNSELPEGNWINVALTRAKHCLWMIGEARIFFSTPGTWGTLIESLNQRKCSLRLDSKMLSKQTKLLEMTEQGVPSSADLTPANKVLYLPGWEFTWSGRPINTKDVLASLRDQKGDADTCTLHASLGALLSSYKYQYACLDPPQDFLWDFPVDNLKAQYKIQQKANFGS >Et_5A_041005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16569660:16578084:-1 gene:Et_5A_041005 transcript:Et_5A_041005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTRAKKKRLEEESRRPELPPRGEEGGKGPDLISRLPDAILGDIITLLPAKDGCRTQILSRRRRPLWGAAPLNLDAVHDANTPRPCPSLFLTCRSMRDRFPLVDPILRSPKLKNLQELELLCHDNCGHSSPVPQPVFGFLSTLKVLTIAAVCNVLNFPTEISSTLSFSHLEQVTMQNVNITERTLHCVLSRCPVLETLVLGWNTGYRLLRICSKTLRSLGISYCWDVEEGRLQEIIVEHAPLLEWLIPLGLFDLVIRVIEAPKLKTLGYLTERVATLMQLGTMVFQKMTPVSLCYVMRTVKILALATAPSLDFIIDYPKLFPCMEKLYIMACAQGNFKNARRNVSLECLDLHLKTLQFINYKGNMIDVNFVRFFISNARALESLKLFVRRDKCDTKWIATQHEKLWLSTRATKGMYVNLRDINDLDTDDPFDKTLAKKKRMEEESRRPELPPRGEEGGKGPDLISRLPDAILGDIITVLPADDGCRTQILSRRWRPLWGAAPLNLDAAVSGMMVKEQVANIFRTLRTHHGPVRRFSLACRSMGDRFPLVDPILRSPKLKNLQEFELLFHDNSGHSSPPVPQPVFGLMPTLKVLTIEAVCKVLNFPTEISCALNFPHLEQLTLCNVNISESTLHGVLSACPVLEALVLDWNRGYRLLRICSETLRSLGISNCWDVEEGRLQEVIVEHAPLLERLIPLVLGNPDLVIRVIQAPKLKTLGYLTERIATLQLGTIVFQKMTPVSLCYVMRTVKILALATAPSLDFSIDYLKLFPCVEKLYIVACTQGNFKNARRNVSLECLDQHLKTVQFINYRGNMSDVNFVRFFISNARVLESLKLFVRCDKCDAKWIATQHEKLWLSTRATKGIIFDFVASDMAGAYVSIRDINDLDTDDPFNKTLCRSKEDDDDDDIL >Et_9B_064675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17537791:17545619:-1 gene:Et_9B_064675 transcript:Et_9B_064675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASAKEGENGHMATSPELVAGGSGGGGTSSVGASVGARPAPLSLPDAVMLEQPPPVPYLFAPQVPVAPLQRPTEFSPVFNHSRMNGSDEHADNSPKEKGIPTLITWSLGGKEVLLEGSWDNWTSRRALERSGKDHTILLVLPSGVYHYRIIVDGELRYVPELPHVTDERGLVANLLDVHDYVPESLDSVAEFEAPPSPEHSYDLQYPGDEEFAKEPPTLPPQLLMSVLGDTDNLDEQAPKPQHVVLNHLFIEKGWGSQSLLALGVTHRFESKYVSFVLYKPLRR >Et_2B_021304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28476408:28479537:1 gene:Et_2B_021304 transcript:Et_2B_021304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVCEIFIEKSYDELGNRIQDATFAVSFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNSQKPSLKHQRKWKSDPNYTKSWYDRGTKVFQAKKYRKGACENCGAITHDKKSCVERPRVVGAKWTNMQIAPDEKVESFELDYDGKRDRWNGYDTSTYTHVIAEYEAREEARKKFLKEQQLKKLEEKNGNQDENDAVSDEDVEDGLKIDEAKVDESNQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDMDPNEKFYVGDNQNRLSGQALEFKQLNIHAWEAFEKGQDIHMQAAPSQAELLYRTFKIKKEKLKSETKEKIMEKYGNAASEEPLPRELLFGQSEREIEYDRTGRIVKGQDTSIPRSKYEEDVYINNHTSVWGSWWKDHQWGYKCCKQTIKNSYCTGLAGIEAAEASADLMKANMARKEAAEEEPVQHEEKQLATWGTDIPDDLVLDKKKLAESLKKEDARRREERDERKRKYNVKWNDEVTAEDMEAYRMKKIHHDDPMKDFLH >Et_7B_053891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13072527:13077395:1 gene:Et_7B_053891 transcript:Et_7B_053891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIPTVHRVFRFSRGPQNVAAISRVKGNESGKLCRIQPISSDSVSISPQGRKHSVIIHRVNSIDTDNAEKTKNIETRGTISSIEPDNEEKMKNNETRGAISEVIDEHERSGKDTCRSTTEVIDGARGQNVNIIGCKEHQRSTSKGQTTKIVVSEDEEASDDERYFPFTNLLARSRHRDGSIYRARLEAMMFSDPTNCTIRDGFCRQHYARRMLQIFSLELAEICLDGGLVELYGYIAVRDGLDPLLNYVVNFSRDDPIIVEQGSLINVTGPKRGIVLLDAVLIEYDMRIKTGKQEEDDLQLIDGATLMGTEGSWDRPFMMRIPGDCGVIGINLSRINYAVEATIEVHISEVQSRFNLSLRCLTSGLDEEICLFDGVITQSRGLKRSVVAVVRNSLLDLKFEIGTPSPTTDKYRCCFKAKKHGNDIQNIKTYFALISVRVTWSTLPSHFPRPRD >Et_2A_018699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5301335:5303837:1 gene:Et_2A_018699 transcript:Et_2A_018699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKHFENSCQTSPKSKFEFIWATCARLPYDQGSMRIALYHSSLDAKSVLTGGMATFEVYDLPNFVSNDQISSAEMWVANEHPKYNAVVVGWTNDDNGLGCYDLGCDGFVPVNNAPITPGDTLELNNGRLEITVKIFKSQDDGDWWLHFGYDSNNMKPVGYWPKSLFTSLEDHANVILWGGYTQSSAGTASPPMGNGQWPGKDSASIRDVKFVDTNGQGYKPAPWPAGLTAFSSHKKCYQISPYLDDKFYYGGPGGCTI >Et_6A_046901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22034529:22043514:1 gene:Et_6A_046901 transcript:Et_6A_046901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEVSVPYHNPSKVVPLLGKPQHVVLKLPWGHVRRGVRLRRGNDADQHSGSRVRRRPLLPLFPRLPWRQRAPAVLAVQPDMDAVEEPGRQRRREPLVGEPTPRRRRQRHAPAAVVPVVVAQVPGQLVVVLRGALVDEEVDAVHLRLAERAKHAGAAAGEEGVPEVVGEGVLAARTTDREHHEDALTLAEVDVVADAGDVMAGEVEGVLAVAEGAEEGDDDGGVQAGVAGLAQGALVLVPAPVDGDVGHAVGGEPGCELQGEDKCQEKEACWTAKERHYRHGGRIHRWLYLFHIIIPPKPARFSANCRTRVRRSLGDMYAAASASGEATTPTNTLASVCSVAHFCHFSHDSLGVCAHLRSSPYSRTWMWLKSPVSSAAASLWSGNPHRGVAVSVTSQPRKPVAPGQLVVVLRGALVDEEVDAVNRRVAERAAHSGAGAEEEGVPEVVGEVRRRLGGREGILAAMPAD >Et_4A_035780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5731137:5732731:-1 gene:Et_4A_035780 transcript:Et_4A_035780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGSVFSGSVNLKYVKLGYQYLVNHFLTLLLVPVMAATALELARMGPDELLSLWRSLDLDLIHILCSAFLVVFVATVYFMSRPRPVYLVDYACYKPPASCRVPFATFMEHTRLISDDEKSVRFQTRILERSGLGEDTCLPPANHYIPPNPSMEAARAEAQLVIFSAIDDLVRRTGLKPKDIDILVVNCSLFSPTPSLSAMIINKYKLRSNIRSFNLSGMGCSAGLISLDLARDMLQVHPNSNALVVSTEIITPNFYQGTRRDMLLPNCLFRMGAAAILLSNRRREARRAKYRLMHVVRTHKGADDRAYRCVYQEEDDQGYSGISLSKELMAIAGDALKSNITTMGPLVLPMSEQLLFFFRLVGRKLVNRNWRPYIPDFKLAFEHFCIHAGGRAVIDELQKNLQLSARHVEASRMTLHRFGNTSSSSLWYELAYIEAKGRMRRGDRVWQIGFGSGFKCNSAVWKCLRTVKTPTNGPWDDCIDRYPVEIPEVVKL >Et_4B_037022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13640371:13644469:-1 gene:Et_4B_037022 transcript:Et_4B_037022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAGDRVLSRLHSVRERIGDSLSAHPNELVAVFTRLKNLGKGMLQPHQIIAEYNSAIPEAEREKLKDGAFEDVLRAAQEAIVIPPWVALAIRPRPGVWEYVRVNVSELAVEELKVPEYLQFKEQLVEEGSNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLNALQGALRKAEEHLSNLPADTPYSDFHHRFQELGLEKGWGDCAKRAQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVIMSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQCGLDITPKILIVTRLLPDATGTTCGQRLEKVLGTEHCQILRVPFRTENGIVRKWISRFEVWPYLETFTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFNYTESHKRLTSLHPEIEELLYSETENNEHKFVLKDRNKPIIFSMARLDRVKNLTGLVELYGRNKRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTQGAFVQPAFYEAFGLTVVEAMTCGLPTFATAYGGPAEIIVHGVSGYHIDPYQPDKASALLVEFFEKCQADPNHWSKISQGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVDDK >Et_3A_023871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13842107:13842696:1 gene:Et_3A_023871 transcript:Et_3A_023871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NLWANFGKDYRAKRVIWLKKYRYFKDKSDAINSDTGLKTNHTAMLQKWLRPQQKLAVGKPEYKKIIEASLESPVCMMML >Et_8A_057780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6998818:7002916:1 gene:Et_8A_057780 transcript:Et_8A_057780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPRATAVLAWGSGEDGQLGMGDSEEKDWAHAVAALEPFAVTAVVAGSRNSLAICKDGRLFTWGWNQRGTLGHPPQTKTECSPGPVEALAGVRIVQAAIGGWHCLAVDDKGRAYAWGGNEYGQCGEEPERKEDGTKALRRDIPIPQRCAPKLKVRQVAAGGTHSVVLTQEGHVWTWGQPWPPGDIKQISTPVRVQGLERVSVIAVGAFHNLALTEDGVLWAWGNNEYGQLGTGDTQPRSQPIRIEALSDVKLVDIAAGGWHSTALTEEGEVYAWGRGEHGRLGLGDDKSSHMVPLQVHLLAGEDIVQYGRGDHGRLGYGRKVTTGHPMEVPIDLPPPKTSSSPDGQWQAKLVACGGRHTLAIAEWTEAND >Et_8A_057092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2107099:2109569:-1 gene:Et_8A_057092 transcript:Et_8A_057092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELAATDLQIYCLGVNMSESALKDLNISHSADLETGKDSSVKTCIDRPVSNGNECVNKKENAPPACPDAGTNGSETGTIDVEYIDSEHLIDLPDVDATLSTLVTRLDSKDWVMTCEALNNVRQLAKYHKERLQELLEPLVPLIVKSIKNPRSAVCKTALMTCADIFKAYGDLMVDSIDLLLVQLFLKASQDKRFVCEAAEAALISMTSWISPSLLLPRMQPYLKNRNPRIRAKASVCFSKSVPRLDVEGIKEYGMDKLIQVAATQLSDQLPESREAARNLALELQVFYEKSHASTSGEIDGTLSESPNAESWEAFCQSKLSALSAQAILRVTCTTKEGVTVGVTSAPKEAVAVDC >Et_1B_012546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3379912:3381108:-1 gene:Et_1B_012546 transcript:Et_1B_012546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAGDGGGGGRRPNFPLQLLEKKEEQPCSSSAAAVGASSAGGNGNGSGAAGGELPLARKAAPKRSSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSFSAPAHLRAALPSPSAAARFGRADAWDRVVGLGFPSEAGPASSSSAAAAAAMYRGSVPSGLHFMNFPAPMALLPGQQLGLGPVGGSGGGGGGEGHMGILAALNAYRTQTATDSAAAQGGGGDGGGGSGQQQQHAGGRGERHESMSTSDS >Et_1B_011659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24593523:24596316:-1 gene:Et_1B_011659 transcript:Et_1B_011659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATAAEAEAALGRAMSPAEALWFRYTTAVPDYHLYCCNILFLFVVFTLAPLPVALLELRAPAAVAPYKLQPRVRLSRADFVRCYKDVLRVFFFVIGPLQLVSYPAVKMVGIHTGLPLPSLGEMAAQLLVYFLVEDYLNYWIHRLLHGEWGYQKIHRVHHEFTAPIGFAAPYAHWAEVLILGIPSFVGPAIAPGHMITFWLWIVLRQVEAIETHSGFDFPFTPTKYIPFYGGAEYHDYHHYVGGQSQSNFASVFTYCDYLYGTDKGYRFHKAYLAKLKDLGQNDGLKGDDNGFSNTKLD >Et_2A_014868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19518793:19519164:-1 gene:Et_2A_014868 transcript:Et_2A_014868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAEEALLALPPIKTSPLAPTSSSAGDSAKEEAEEAEEPSTPTSEESRLRPPLVCPPAPRKPPPPRLPAPKRKPALLPSPARVFVTVPRDLSTVFRALPPKKRIRVS >Et_2A_018211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18275527:18277794:-1 gene:Et_2A_018211 transcript:Et_2A_018211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHLRSISLPTRPHSLVLKVEQDLHRLRSCATSSPSPSPQTVRAWLGELGDLYEYVEEAVRLPSHWDALRLPRHRRLVEAELEASVTLLDLCGAARDGLAAAKEHVRGVRALLRRRSAASLDHEAAAPADFSRVVDAAVGGKVDAYVGSLKKVGRAIRRDGAKRAVASEARNDDSLSSSSSSTAPKPIGMLAEARELTVSLLQSAVEVMSRQVTVKPPSSSTSSRWSLVSRALLYGKSGTASGVEEDQQEDADADDSCCVIKGVTTCKGLVKAQRQLQALEACIEGLEDELENLFRILIRSRPLSGNMMAVFLAKYSQE >Et_10B_003483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:20036014:20039904:1 gene:Et_10B_003483 transcript:Et_10B_003483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYAYKYCMCFTRKFRSPDAQPPPDVRAAHHSVSAAAGDDGLRRFLSEVQGEPPDDINRILSMLTATASGGIARLVNRSSAPSPPTLEDFFGFLFSPDLNPPIANKVHQDMSAPFSHYFIFTGHNSYLTGNQLNSDSSDVPIIKALQRGVRVIELDMWPNSSKNNVDILHGGTLTAPVEMIRCLKSIKEYAFCASPYPLVITLEDHLTADLQAKVAQMLTETFGDILFIPSSDSVKEFPSPESLMKRIIISTKPPQEYKEFLKAKDKAKDNQDASGNVDKLADEGSLRRMESNAEESDGKDELDDEDDEDSDEDDSKFQQDTACEYRKLITIHAGKPKGHLRDALKVDPDKVRRLSLSETQLAKAASSHGADVIRFTQKNILRVYPKGTRVNSSNYDPMTAWTHGAQMVAFNMQGHDKALRLMQGFFRANGGCGYVKKPDFLLTMGPNDEVFDPKASLPVKKTLKVGIAGVKADCVMKKTKTIEDQWVPVWDEEFSFPLTVPELALLRIEVQEYDMSEKHDFGGQTCLPVWELKQGIRAVPLHDRKGNRYKSVRLLMRFQFV >Et_2B_018988.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:21601310:21603231:1 gene:Et_2B_018988 transcript:Et_2B_018988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASVSPATHALPHIAIFPFMAKGHTIPLIHLAHHLRRYSLATVTFFTTHGNAAFVREGLSNTDVAVVELTFPANVPGIPQGVESAEGLTSMASFAVFADVVSLLQPQFESSLAAMQPPASLIVADAFLYWTNVSAARFGIPKVSFFGISAFAHVMREVRVRHDPCATMRHGDVDADGNPATFTVPEFPHIKLTFEDFMAPFGDPASIGPMLELDGKLGKAIEESQGLIINTFHGLESPYIEFWNHHVGPRAWPVGPLCLAHPAVSPCDAQPTWMQWLDDKAAAGETVLYVALGTLAAIPESQLKEVAYGLERSEVNFIWAVRPENIDLGPDFEERTKDRGLVVREWVDQPEILKHKCVRGFFSHCGWNSVLESVTAGVPLAIWPMHADQPFNARFLVDELKIAIRVHTSDRTIRGLVTSEEVSKVVRSLMQGDEGVEATRIVAQLSAGAKEAMVEGGSSWKAAKDMIDELCAKSQDRNLESHQEEKVSV >Et_2A_018361.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24291389:24293527:-1 gene:Et_2A_018361 transcript:Et_2A_018361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPPNQPKRRRLLSLPAVCPCESIAPAPLLASLLSLAADLAGRRAGDAGAFPVLQRGVRQAVRVAGLLLAFLEEIQDATMASLPASAVLGLTELHVAMQKLRFLLTDCARRGARLWVLVNAGLAASELRAALGSISAAMDVLPRSVAAGASVEAGELARLASEQAWRVVVWPDAGDERAARSVRSILEQFKSGVSPDAEDARRVLDRVGVRSWADCSEEIAFLEDELRTRVDGTAAGDESGSDAVLINSLIAFLVYCRVALFDAIDANPTGKADAAARPAAPAAASARCPDWVRPDALRCPITLDLMTDPVTVSTGQTYDRASITRWMKAGCHTCPVTGERLRTADVVPNAALRGVIERVLLSNGVSLPDAGGPGHGHRHGALANTAAPPFGPAATGAARLAVAYVAAQLRTGSTAERRKATSEARKLSKHNVFYRACLVDANAVPWLLCLLSSTDASVQDNAVASLLNLSKHPRGRAALFEAGGVGLVVDVINVGARAEARQNAAAVLFYLSSNPEHAEEIGRIPEAIPTLVQLVRDGAHRGRKNAMVSLYGLLQHAGNHGRAVAAGAVPALAALLSSADLQQDDLAGDAVTLLARLAEQPAGAAAVLARPGLVARVGEALAASSASRSGKDHCVALLVSLCHHGGDKVVALLSRMPGLLASLYSIVADGSPQTCKRARVLLNLIHRHYEMSHPSAPASEAGERVVRVL >Et_10A_001460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3580789:3590758:-1 gene:Et_10A_001460 transcript:Et_10A_001460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASSPMLPALLMLFMAFAAATTGAAETTTTTTALDETCAFLGGWYVTPELCASVLCADPSPSPPPCRAARDAPAVAALAARLAARNATATKASVEASLAAARARAAGNATAGAGELYAGVVPALQWAAESVAAGRYRGARQVLDAAQYVPGGCEGMAGDAAALPRENGAFSYVAMVAHAVVASMYYYVLSDFCTSVLCADPSEPCHATRDQPAVAMLAARLAVRNATATKGLVEAALAAHAGNTTVAKGIGSCVQLYAGVVAILRRAAQFVAAGRYREARQVLDTNPPIRVPGMCDGAMGAGDTAALPRENEDFASMALVAHAIIDNMTTTSTALNETCAFLGGWYVTPDLCTSVLCADPWDPCRAARDAPAVAALAARLAARNATATKGSVEAALAAHAATSALDHACACLGDKYVTPELCTSVLCADPSEPCRAARDAPAVAALAVRLEVRNATATKGCIEAALAAHGGNATVAKGIAACLEVYVGMVLYLKQAAQSVAAGRYTVAREFLNEAMRVPGWCEKGMVGDKAALPRENDAFASMAIVAHAVVAHMVRD >Et_7A_050203.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:11318810:11319896:-1 gene:Et_7A_050203 transcript:Et_7A_050203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVYGPCSHLCSFLACAVVETRQFPLLSKCLLLQALCAESSSSSSSGGGCSFVELTDFPGGAEAFEACAKFCYGVAITVGAHNVAALRCAAGRLGMTEAAERGSNLAAKLDAFLASCLLRRWKDALAVLRSTTRHAAACEELGVTSRCVDAVAALVAATDTSGSSAASASAAAPAAGSSAATWWARDVSDLDVDLFWRVVVAVKAAGTVRATAVGDALKAYARRWLPSVSHNVHLAAEQTDDGAGNAELVTINHRLLVEKIASLLPAERNVVSCSFLLKLLKAANILGASPATKAELTRRAALQL >Et_9A_063227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16278591:16280645:1 gene:Et_9A_063227 transcript:Et_9A_063227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLFSVHPTPPLLPAPHLRKLLRLRASSPSSYASASSPPRSGRRPPRPPPSRRSSLYARPSLLDMERERAARRAEVDAFLSSLGIDPGELAGLELPATVDVMRERAEFLGSLGLTHEDLAAYPLALGCSVRKNMVPVLDYLGKLGVRRDALPDLLRRYPQVLHASVVVDLAPVVKYLQGMDVRPADVPRVLERYPELLGFKLEGTMSTSIAYLVGIGVARRQIGSVITRFPEVLGMRVGKIIKPFVEHLEGIGLQRIAVARIIEKKPYVLGFGLEEKVKPNIEALMEFGVRKEALSSIVIQYPDVLGIELRDKLVVQQSLFESSILVSRDDFGRVIERMPQAISLGRQAVQKHVNFLTACGFMLSQVSKMVVACPQLLALNMDIMRMNFEYFKNEMERDLEELVEFPAFFTYGLESTVRPRHEMVVRKGFTCSLAWLLNCSDAKFDERMKYDTIGVEEMEVDDPSDMNTFVEDVDSEEDEYSDYEDSDEFLLDETLGLVFDVVVTNVC >Et_6A_045943.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:12319934:12320218:-1 gene:Et_6A_045943 transcript:Et_6A_045943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGQVDKKAVPTSAGDLLGTLPDALLQHVLSFLPAQQAVRTCVLARRWQHLWEDMTGLRITAANSPDVPCAPDDLALVKEIREFVDHLLHLCG >Et_1B_014040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28394439:28395841:-1 gene:Et_1B_014040 transcript:Et_1B_014040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWWSFELLVLLSSRLPNPKLETAVLSICLNTSSFAATVPVGLGAAISTRVSNELGAGRPQAARHATRVVVLLALAVGVSEGLGMVLVRDLWGHMYSSEEEVTGQ >Et_1A_008819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14828692:14835309:1 gene:Et_1A_008819 transcript:Et_1A_008819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRATSSTTATSLLLRCHPLLPGPKTLALAAPPPLVLPSRLLRPRLSSAAASPASPRRRAATVAAKSGEKKPPAARAKRAGAVPAPAAAAASGMSASGGNGGKRTVADVLMGNARAAASKAKKAAPSPKKARTQPPTAQADGAEAELATEVEKPPSPARSKRASSPAKSPKSTADAPAAEKKGSPSPTKSAAATELGAKGKSLSPKKSKTLAAKSDTKPSDNGVVSQPDGKKKRSPSPTKAKGLASQPEEKQQPASLKKAKAADTTKSEEKNTTLELKKKGSEFDPMAAAYWNPGEPVPFLFLARALDLISNESGRIVITEILSNVFRTVIATTPDDLLATVYLAANRIAPPHEGIELGIGDASIIRALAEAYGRKEEHVKKNLKELGDLGLVAKASRSSQKMMYKPKPLTIDRVLSTFRTIAKESGKDSQDKKRSHIKGLLVAAADCEPQYIIRLLQSKMRIGLAEKTVQMALGQAVAAKIIKQVYSVLPIYDKIVPALLEVGVWKLPETCKFSIGVPVGPMLAKATKSVSEIIDKFQGLEYTCEYKYDGERAQIHCMEDGTVEIYSRNAERNTGKYPDVVDAVSRFRKSTVKSFVLDCEIVAYDREKQKILPFQILSTRARKGVTINDIKVSVCTFGFDILYVNGKPLLQEQLKVRREHLYNSFEELPGVFQFANAITSNDLEEIQKFLDTAVNSSCEGLIIKTMDKDATYEPAKRSNNWLKLKKDYMDSIGDSLDLVPIAAFHGRGKRTGVYGSFLLACYDDQNEEYQTICNIGTGFSEQQLEERSTSLRSKVIEKPKAYYRFADSMDPDVWFEPSEVWEVKAADLSISPVHRAANGIVDPNKVADMYRAQKINHAYNKEDEDDD >Et_8B_060694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5016406:5018859:1 gene:Et_8B_060694 transcript:Et_8B_060694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPLQRRPRLPPPNAEEEAVLEPLPPELLNGILSRLPLRDAVRTSALARAWRRRWESVPSLKFNWGKGADPGAITDVLQRYSCPVSEFRHTRVGKASFRHSKRWLRLLAHKNVQSLSLHFESSPPELAHTLSRSIFSCRELTFLGLDNCNVPAMPPDFAGFPHLTTLRLSYVGFPEGARELELLIATSPLLEKLYLQLLRVAYIDDMHDPWLIQAPKLRWLVIFEFSDPGWQISDLPSLEVARIDCESDRDFAKLMTGLAWARDLNIGIPVWSAPKLEDLTIEARRLQKFHVYLDEDCPKSNEDAVVELVKYRRASPRAKVFFERISDD >Et_3A_024277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19089439:19093695:1 gene:Et_3A_024277 transcript:Et_3A_024277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPAAALLRSLTPHISGGAGTTRRPLPPPIVPSILARFFSTPASPSSTPPPSTAAGRDDDAEDGKLPDAPGDAVPRLSISVDRSGLYNPPDHSHEPSSDSELVKHLKSIIKFRSGPISVAEYMEEVLTNPQSGFYINRDVFGESGDFITSPEVSQMFGEMIGVWAMCLWEQMGKPAKVNLIELGPGRGTLLADLLRGSAKFIDFTKALNINLVECSPTLQKVQYNTLKCEDEPDGDGKRTVSKLCGAPVCWHASLEQVPSGSPTIIIAHEFYDALPIHQFQKASRGWCEKMVDLAEDSSFRFVLSPQPTASLIYLSKRCKWASSEELDKVEHIEVCPKAMELTEQITDRISADGGGALIIDYGKDGIISDSLQAIRKHKFVDILDDPGSADLSAYVDFASIRHSALEASGDISVHGPITQSQFLGSLGINFRVEALLQNCTEEQVESLRTGYWRLVGDGEAPFWEGPDDQTPIGMGTRYLAMAIVNKKQGTPHSDRFDQDQQLSESFYTDLVVGNMERGLCNNFDNAGKSRRKRELKRA >Et_10B_004030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9444402:9448968:-1 gene:Et_10B_004030 transcript:Et_10B_004030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIVSAVMGEAISRVISLVTGNFSHQQSTEAKLQRIIRLLIRINSVVEEAKSRQIENPGVLQWLAELIAADYEGRYLLDTIGCSEHDQLVEDEEDCLCKASLPQASSTLSMVNPAKRVRVAASAVKSVLLRRPALGASTEIDRVLNALQGASGDLTEFIMLLQGYHPVRRPLATNIFIEGQMFGRHVEKERIVNFLLHDNGASSMEHQLGVLPVVGDIGVGKKTLVQHACDDRRVRGHFRVIMMFNISCTYTIATRDDATIVLQSKHAIGDARTSMKDPLQLIKRDFHNKRFLMVFEDVNMRKKKMLEELLQSLRCGTKGSKVIFTTNNRRVASIGTVEPIMLKVLPHSEYWFFFKAHAFAGTDVEENPKLVAVGKEIARKLNGSFFSAKIVGGLLKDHPDPKFWCMVLKSNIGGLSLLGMVLPTPSDVDIRQVNISEDRYSSQTELARFQDLCKPRGSKATCLVDEVVFATVIPVTTSLAEDKQQRSLTMSSALSSLDIIRYGGRGFSAPKKNILSRFPEELSMTEAIVSAVVGEAISRVISLVTGNFRHQQSTEAKLERICRLLIRINSVVEEAKSRQIENPGVLQWLAEPIASEYEGRYLLDTIGCRSERKLDDDDEQSCNTVSLPQASSSSTLSLFNPAKRVRVAASAVKTALSRRAALGAGDEIDRVLETLQGASSDLAEFIMLLQGHQPVRRALATNIFIDGQMFGRHVEKERIVNFLLHDNGASSTDQFIGVLPVVGDIGVGKTTLVQHACNDPRVRSHFPVIMLFNFSCTYAIATQDEATVVLRSKHVIGDAEMTTKDPWQLIKRDFGNKRFLMVFEDVDMRKKQMLQELLPGLRSGVKGSKVIFTTSNRRVASIGTVEPIVLKVLPCPEYWFFFKAHAFAGTDVEENPRLVAVGKEIAWKLNGSFFGAKIVGGLLKDRPDPKFWCKVLRSNIGGLSFLGDGIGYIADLAENLLPTHVDICKVTVSKDRYSSQTDLATFQDLCERKATCLADDGPFARVLLCKSVWPFYNCYYLASCTIGSEDRCFQGLDVV >Et_9B_065277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2942656:2945842:1 gene:Et_9B_065277 transcript:Et_9B_065277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPALLGCCQTRPHGEAPPRRVTSGNGRPEAEARGGTAEANCSGKSWYWQEEQGPGSSERVPVPPSRVRPESSSAAGGVTVEDMDALDCGVCFLPLKAPIFQCNVGHVVCSPCRDRLKATSKCHLCGVATSGYRRCHAMERLVESIRFPCLNAAYGCLAMVPYHDREEHSLTCLHRPCHCPGTARDFTGSTKVLADHFSSIHGWSCSSEHLAEKGFSVRLRDGFNFVLAERKDVALRDDNDDCEIDDHEMNDGAATSPGTCSY >Et_8A_056403.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8331603:8332592:1 gene:Et_8A_056403 transcript:Et_8A_056403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSSAIVYRKKRKKTTCLCLKMTKTLIKRRKTLIRRLTVMSSLNRICKDSKTEVDPFVAKQDDVCVQQPQHAEVIGRQGLQPEDAAAAVQDEVQLANTSVHVANPAEGVEQHPTSPAPQQQDDANICTNIPVSTPVPPRDLSTGRRKVISRKSRWARLRLPGNEEIVNLVTPECLPGKSDTPLPEENVVHNIPSTDDECIEAMRPPKHKSFSAEQSTSKQSFSPKKRIPPGDMAGEGSSKQGLGTTTSWNFEAPQSFDILGFAEQQISKGQPQDSNREQPYWLDDSFLDNMSSDEYQKLEDDAYRLIGKRKVILALRFSNNKVVLFNA >Et_9A_061094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15510062:15510883:1 gene:Et_9A_061094 transcript:Et_9A_061094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYDPAAEQDQQQRAAKRPRPSTDAAAPPPVDAQPISAIPWRRPQAPPSSRVVAVGKEPPCLRNHILPALRLRSDLPLHFIDAKAVTNTDLDAHQNRFRIPTNGVLRRLRPLLTFDELAAANLLYDPEPKAENGVVCEQAAAGKKMKKKKRKGRVHGGLPVKLVDLAAGASWELRLSRWESSHGTIVKGEGYLDFIRRCSFKENDVVEIWAFKQHPFRNFGVTMCEGSILHVFIVKRHNQPEHCRYCPHC >Et_3B_027504.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23102205:23102513:-1 gene:Et_3B_027504 transcript:Et_3B_027504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSQNREEPRLPCANNCGFFGSPATRNLCSKCFRDSIRLAEEAQAASTESAVFTTKTGASSSSAAAPVVEPEAVAEEVEGNGRGANKRRSRCASCGRKVGL >Et_7A_051309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16934786:16936841:1 gene:Et_7A_051309 transcript:Et_7A_051309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLDCRFARDWDFRMGLEQEELLDGRFCWLKGVGMGGCTMLWDWQVGEHCEPNDTSHVDATKFVWDCLNQDDEDLLGLLGNQTPLRDCRDFFADIGVDISCKETLDPEESREAKRRRMLEYPSESSHSEAATHETASPLVTSEVTDISLLCTDEPQSLSYDMQHNSTDLDTMNSLSCGIPYLPEDNHLENCSDTTPTYFTPDQTPGSQESVTYIDDQIGVSGISGVVPVTESLIMQETMKLSTLKVSKGGRSLSKTKHDITTSIAYPFTLIKPSWEETDVTLNDINQRIRAPPKKPPEILGTSAFSGKPVIGKTRIRTDGGKGSITILRTKG >Et_1B_012554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33124887:33127599:1 gene:Et_1B_012554 transcript:Et_1B_012554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAVVLAVAAAVMVLAAAGGVDAAAGKGKEAAANGKYYALFNFGDSLADAGNLIQNGTPEILATARLPYGQTYFGKPTGRCSDGRLVIDHLAQEFGLPLLPPSKAKNASFTHGANFAITGATALDTPYFEAKGLGAVIWNSGALMTQIQWFRDLKPFFCNSTKECKDFFAKSLFVVGEFGGNDYNAPLFAGQGLEMAYKFMPDVIQGISDGVEALIAEGAVDLIVPGVMPTGCFPVYLNMLEEPADGYGTRSGCVRRFNTFSWVHNAHLKAALEKLRAKHPNVRIIYGDYYTPVIQFMLHPEKFGFYKQLPRACCGAPSTPERAAYNFNVTAKCGEPGATACADPTTHWSWDGIHLTEAAYRHIAKGWLYGPFADQPIVQSS >Et_8B_060876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9934408:9935633:1 gene:Et_8B_060876 transcript:Et_8B_060876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPADYYEILNVDRSATDDDLRRAYRRLAMRWHPDKNPAGKADAEARFKEITEAYNRLVWTDMFDHQVLSDAGKRAVYDQYGEEGLRGGAAPQPAGGADDIFAEFFGSTPFTYCNTAAAGAGRQPPPPPAAWNSGGFGRAYRGDSGAAGGGGAASAVAPAVESRLACTLEELYVGVTKKMRISRNVVDASGRMKTESEILSIELAVDVGGAGEDAPVVRPGYELVVPMEGMPMPREPGRRGSLRIRFDVVFPERLTRRQRAQIKRALLEDG >Et_10B_003925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7652823:7654008:-1 gene:Et_10B_003925 transcript:Et_10B_003925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHRGSNPLPHMHPVMFICELRTLWYSRIPPLTYYSDDFYFWGSCLIDGDANEVGSHIRISNFRKRLWTGYYQPSTKALAQVPHDTQKMPKLTPRNSFHCYLQQNGENFKCRIFTITNEDVLSNSGAASHGIPYDVYFQCMQASLNAQVGELSALLYYA >Et_3B_029906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2928829:2934612:1 gene:Et_3B_029906 transcript:Et_3B_029906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPIQYAVVDAFTAEPFKGNPAAVCLLEDAAKAADEQWLQAVAAEFNLSETAFLLRDSSDGAAPRFQLRWFTPAAEVELCGHATLASAHFLFTSVLAEHDAMVEFATKSGILTAKKVPAPESAGVSGEGKLFIELDFPMIDLFECDNAELPSIPRTLNGASILSVHKSATAGDLIVELSSGKEVADIIPDIHEIKECSGRGVIVTGPAPAGSGYDFFSRFFCPKFGIDEDPVCGSAHCVLGPYWGGKMGKQKLTAFQRASAPPLQVELCGHATLASAHFLFTSVLAEHYTAIEFATKSGILTAKKVPAPESAGVSGEGNLFIELDFPMIDLFECDNAELPSIPNTLNGASIVSVHKSATAGDLTVELSTGKEVADIIPDIHEIKKCSGRGVIVTGPAPAGSGYDFFSRFFCPKFGIDEDPVTGSAHCVLAPYWGGKLGKQKMIAFQASPRSGILYLELETAARRVRIQGEAVTVMTGTLLA >Et_6A_047607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8070872:8078883:1 gene:Et_6A_047607 transcript:Et_6A_047607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPNPLSPVLSALSNGATTLKTWLHADAAAPRANEWWQQQQLAAAEEDRWDAHGLAGGGEPVPPSRLDGRKRYKVSEVGFLDRRRAGGATCAEKDDDPLLGKAARLRAGGVYTRSQLLAVLKAMAGSGSFDDVDVATSPKPDGTLGVTVSFAERVLGDADTFRCVDLGHRPHADEDDVMNAAEETPCILPEAVREELQGMVEAQQGRVTARLLRRINDCVQRNPRDTGEVACEVAEGDITKVEYRFLDKLGNVADGKTNIIVIDRELSPQLRPGQIYNSGAGKQALNYISSLGLFSNVELNPRPDETKDGAVVVEVKLSELEPKSAELITNWSIAPWSKGVPALASFSPGGTLSFEHRNIAGLNRSLAGSVTSGNLLHPQDDLSFKFEYVHPYVDGVENRSRNRTFKASCFNTRKLSPTFVAGPNMDETPPIWIDRRGFKANITESFTRQSMFTYGLVIEEITALDERNKICTHGSRVLPSGVLSMDGPPTTFSGTGVDQMAFLQGNFTRDTTAFVNGTPIGDKIIFQCDQGLGIGSNNPVFNRHQFSLTKFINLNKQEQGAGLPPPAVLALHGLYAGCIGDLPAYDAFALGGPHSVRGYNMGELGAARNVIEGAIELSVPITVKNTHAQAYAFAEHGNDLGSSKDVKGNPTEFFRRAGHGTSFGFGVKIGPIRAEFANSPPADWDAHGLSATRTPVPITRLDAKKRYKVSDLNFVDRRARAGANTVAVSDDPFLFDMSTLRPGGVYTRWQLADEVKAMTASGMFENVSVQTKPKPDGTLGVTVSYAENVWGVADRFKCVNVGFLPPPPDDHGIDRDMTARERMEHQQRQERAYQKRLRGARPCILPEPVREELVGMVKKQMKVSARLLQRLRDRIVNWYHDEGFVCANVINFGNLDSNEVVCEVVEGSITKLEYQFQDKLGNIVEGNTRIPVIDREVPEQLRPGHIYNIGAGKQALNRLNSLGLFSNIEVFPRPDKTKEGAVVVEIKLRELEPKSAEVATEWSIVPGSQGRPTLASIQPGGTVSFEHRNIAGLNRSFVGSVTSSNLLNPQDDLSFKIEYAHPYVDGIEDRRRNRTFRTSCFNTRKLSPVFVAGPAMDDAPPIWIERVGFKANITESFTRQSKFTYGLVVEEITARDEDNNICTHGSRSLPNGILSLDGPPTTFSGTGVDRMAFLQANITRDNTEFVNGATIGDRCIFQFDQGLGIGSKNPFFNRHQLSVTKFINLNKRKHGAGKPPPAVLTLHGRYAGCVGDLSSYDAFALGGPHSVRGYAMGELGAARNLLEVATELSVPISVKNRHTQVYAFAEHGTDLGSSKEVKGNPTEFFQRVGHGSSYGVGLKLGAVRAEYAVDHNAGTGTFFLRFGERF >Et_4A_034139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30220031:30223993:1 gene:Et_4A_034139 transcript:Et_4A_034139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPKITVADGRLVAHGRTVLTGVPDNIALTHASGAGLVDGAFVGATADDAKSMHVFTFGTLRDLRFMCLFRFKLWWMTQRMGSRGSDVPLETQFMLLESRPAAGAGDDDSGEPVYLVMLPLLEGQFRAALQGNDRDELEITLESGDKAVQTAQAANMVYVHAGTNPFDTITQAVKVVERHMHTFHHRDKKKLPSFVDWFGWCTWDAFYTDVTAEGVKQGLQSLAEGGTPPRFLIIDDGWQQIGSENKEESNVTVQEGAQFASRLTGIKENAKFQKKNQQASKDENQNQAENQTEKESQAPGLKLLVEEAKREHGVKYVYVWHAMAGYWGGVKPAAEGMEHYESALAYPVQSPGVMGNQPDIVMDSLSVLGLGLVHPRKALSFYDELHSYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRAYHHALEQSVARNFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFDLLKKLVLPDGSVLRAQLPGRPTRDCLFADPARDGASLLKIWNVNKCNGVVGVFNCQGAGWCRVTKKTRVHDAVPGTLTGTVRADDVDALAGLAANWTGEAVVYAHRSGELVRLPRGATLPVTLKVLEFELFHVCPVTALGGAAFAPVGLLDMFNSGGAVEQCEARESGAAVVLRVRGCGRFGAYCSRRPARCTLDAEEVEFAYDADTGLVFIHIPVPEEEFYRWTLEIQV >Et_10B_003601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3767140:3767585:1 gene:Et_10B_003601 transcript:Et_10B_003601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPFEPDSSPFVQPNSRFEEAKQLGSFPATSVAGLPYSSAAQQANEEANAGGAVAGRAWIVNASKLINTWDSKPSEVVPGSVVIATFSDCLRIFSVDVETMRMERVKIDNWDVHVRVFPFELPWPSTIRACLP >Et_4A_033818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27081942:27088805:1 gene:Et_4A_033818 transcript:Et_4A_033818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDDFDDELGGGSCAPTPAKFELQEDPSFWKDNNVQVVIRIRPLSSSEISIQGHKTCVRQDSSQSLTWTGHPESRFTFDLVADEHVTQENMFKVAGVPMVDNCIAGYNSCMFAYGQEKEIRKEEKLRFTCKCSFLEIYNEQILDLLNPNAVNLHIREDAKKGVHVENLTEHEVSNAREAMQQLIEGAANRKVAATNMNRASSRSHSVFTCLIESKWESQGINHHRFSRLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVITNLIAVSNKKSHHVPYRDSKLTFLLQDSLGGNSKTTIIANISPSSCCAAETLSTLKFAQRAKYIRNNAIINEDASGDVLSMRLQIQHLKKEVSRLQGLVNSEKTGGVGSVCESPGTFKWDQGYGMFTPLTVDKRTTQRNDYDAALVGAFRREQEKDAKLKATIAAKQIAEQLAAQRTEEVRSFKMRLRFREERIKRLEQVASGKLSAEAHLLQEKEDLKKELEVLRSQLDRNPEITKFAMENLQLKEDMRRLQSFVDEGEREMMHEQIIILQDKLLEALDWKLMHEKDPVNKGLSVFGESAGDEENEFLRLQAIQNEREIESLRNKLTFNLEAKEKLERRVDELAAELELVKRHDDVNKEIKALQLQEQGEGDSHDLPDAQMELKTLVDAIASASQREAEAHETAIGLAKENEELRMQLKVLIEDNKRLVELYEHTIANVEASQQGNCPTFPQTEDASDKTSSNPLEGNSGNKDLLDAQPVGILDLPVNNSSGSELRLQLEEMHEENDRLMGLYEKAMQERDEFKRKIFEQSNSETRKQIQSDEEDVEMSADPDNHEAKHDHDSTIVAFKDVLQHVRIKLELVQDKLGSTQDAVNYFKLLEVASSKAEEISASIQLHSLHVQNDQEGINALKYALSESQEKKNAFEGKYFLPAASCWNFDLKTKALAGSKFDVNFELMNQKKEQLNCLQARKKELSAARIKAQESDAELRNKIDGLKLKLRTYEAQRKEEEKVLFAIDNLDTSTAPLHKPMKATDLLRSEEERTKLTCELRKAREQHAMVQRQLKSLNKCDDTDCKITLLEKEIDSCCLSMLEADIEKFVRDNALTEVWDGGAKDMEALLVDYQDCVFQVNLKEEEIKACEETLQHQARGLDELHSKLNEAMGDLSELLRDRRRTSSCSLDESMMSVGEKVEVDLEAIRINVAEAKQLLLLDSQANL >Et_2A_017020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30109826:30116560:-1 gene:Et_2A_017020 transcript:Et_2A_017020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSVFHDSVCMIVAMKATNENLPPNVIRQLAKELKNLDESPPEGITVIVNDDDFTTICADIEGPAGTPYENGVFRMKLLLSHDFPQSPPKGFFLTKIFHPNIATSGEICVNTLKKDWNPGLGLRHVLLVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARLYTGIHALKPKNKSKSGAISESTTALNVDQSSAAFSQNAPSAPTAALPTSSATRAFGTNLQDQNATVSDPAIAAARKKDGPVASKIPATNENLPPNVIRQLAKELKNLDESPPEGIKVIVNDDDFTTIFADIEGPAETPYENGIFRMKLLLSRDFPQSPPKGFFLTKIFHPNIATSGEICVNTLKKDWNPSLGLRHVLLVVRCLLIEPFPESALNEQAGKLLLENYEEYARHARLYTSIHALKPKNKSKSGAISESTTALNVDQSNNVLSENTQLASALSTSAAAKALNANSQDQNGALSDPVSGASAAPKPHAAKVAVDKKRVDARKKSLKRL >Et_4A_033677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25691436:25693303:1 gene:Et_4A_033677 transcript:Et_4A_033677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLTSLGHLNLTMLRQSSSRNHRSKGLKLKKAVQISLLVMVSIWLLYQVKHSYEKKKAYGEDEVNNLHKDDKNQDEIPRLGRKDLPTQMPADSSTLDERTEDDENDEMEQEMKHDENDEDPIDEQDLDKDDDLPEPGEHSSDKDGDDVGVFEDEDRKERSQEDQEKNFHGDNVSSAVSHDPPSSEQEELSHHAQGKILFVDDASTAVPHEKLETAHEEEVRKAREKSFRGDDVSSSVDHDAKVAKPLPEEQLNNMDRIFEGTTNLSNGISFRDPGANGSSATGEQHKASPTNASSHQNANMPSVDSQSNAHTTPVNLTSNHKPTNLTLKGEQEVNSTQVLNKQVQLSTDQTSSIELHSPPNGTSALVTGAQKPGSDGDNDNNTGSSSTPADNKVEGGGARKEVDLSTKIMNRAMSEDEVLPE >Et_8B_060136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6225811:6226205:-1 gene:Et_8B_060136 transcript:Et_8B_060136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYCMTVRMNIDCNGCYQRIRRALLQMQDLESHMIDRKQRRVSFSGTFVPQDVAIKLRKMTNRRVEILEIKEVDGGADPTAAAAGGHRP >Et_3B_029003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21232690:21235670:-1 gene:Et_3B_029003 transcript:Et_3B_029003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTPQVIDLAAERGQARGGNGDISDQGAQHAVRVVGNAMSFGHSDMQSYYDVSMNHQHQPVHNPPLNLGVDSGLVFASTMYNPCVSSTSMNRNASHAQSFGSSNLPLPLNQVPGSIDESGRNNNIGESARGHIKRKNAAVAGSYHFVNGFAGSSSSSHAPQNPAIRPWDPSFESNVSPNIVPFNPSEYHNYNGWSAVEGSSITGTNGFNSVVRPESAQHGNYTFPTTHIGQAWMSQANGIADGVPYVSATSNVQGRYAHSGATEVVNGGFHEYQSVPSTVSRGPVYFHQHAMHGMQAHNLPDHTQMQLPYQQCHNNGVLHGGLNYSGNRLHLGPRIPVLFTNSERTFGAPQHPFLANPVNHRNIRILQPEELLALEERIGDVNTGLTKSYIVDKLRTSLYVPGTSSVTDQSSKSSLESDACIICQEEYQDKDCVGILDCGHRYHAECVKQWLTVKNICPICKTTALSADRRNGQ >Et_10B_003009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15299317:15303380:1 gene:Et_10B_003009 transcript:Et_10B_003009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVGGMKEREGRGAAPADAAAPTAAVARAARPPRPRRLKGLAVRHPVKHIRLLAAAKMVANAKMREVGEATLVAALAETEEVDEGPAHVYGGWKSEDGSLKCGYSSHRGRRASMEDFYDMKSSKIDDKQINFFGVFDGHGGTHAAEYLKEHLFDNLLKHPAFITDTKSALSEIYRKTDSDFLDAESDSLRQDGSTASTAVLVGNHLFVANVGDSRAVISKAGKAIPLSDDHKPNRSDEKKRIENSGGVVTWSGTWRVGGILAMSRAFGNRLLKQFVVADPEIQEQEIDGELEFLILASDGLWDVVSNEHAVAFVKGEDGPEAAAQKLTEIALRRGSTDNITCIVVEFCHDVMGSDSSPSTKQS >Et_2A_018189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17275103:17275709:1 gene:Et_2A_018189 transcript:Et_2A_018189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVASQWPDLLSDLLREISGRLRDPGDFVRFHTVCKRWRDTAPPPRPSFLPWLVAPGGLALPGPALLLRLLWLASADGAGAWLRLSIGGGEPPSLVDPLTGAVTARLPRFRCENSDHSLRCVSDGVVSGDGTIVLYTVDEFVKNASVVAAAVLRPGGRRRSSKSRWRPAATCAPPAPPPRS >Et_4B_037791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23468754:23474897:1 gene:Et_4B_037791 transcript:Et_4B_037791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFTVTKKATTPFEGQNPGTSGLRKKVTVFQQPNYLQNFVQATFSALPADQVKGATIVVSGDGRYFSKDAVQIITKMAAANGVRRVWVGQNSLMSTSAVSCVIRERIGADGSKATGAFILTASHNPGGPTEDFGIKYNMGNGGPAPESVTDKIFSNTTTINEYLIAEDLPDVDISVVGVTSFSGPEGPFDVDVFDSSVDYIKLMKSIFDFEAIKKLLTSPKFSFCYDALHGVAGAYAKRIFVEELGADESSLLNCVPKEDFGGGHPDPNLTYAKELVERMGLGKSTSNVEPLEFGAAVDGDAGRNMILGKRSMPTSAALDVVAKNLNLKFFEVPTGWKFFGNLMDDGMCSICGEESFGTGSDHIREKDGIWAVLAWLSILAFKNKDNLGGDKLVSVEDIVRQHWATYGRHYYTRYDYKNVDAGAAKELMSNLVSMQSSLSDVNKLIKEIRLDVSDLQQTSFEYKDPVDGSVSKHQGIRYLFGDGSRLVFRLSGTGSAGATIRVYIEQYEKDPSKIGRESQDALAPLVDVALKLSKMQEYTGRSAPTDAVPAAGVTNDDPVACLVSTLSALQEEGNRAMQLGKEIADGKKLNSEK >Et_10B_002576.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:16690723:16690935:1 gene:Et_10B_002576 transcript:Et_10B_002576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKMSCLLGRAFSLVCPLCKSLTHRCRTAWVSFSFLYSCLYSLFFLLAPIDGRVIFVQFGFSIKCSGRT >Et_3B_029786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27970456:27972807:-1 gene:Et_3B_029786 transcript:Et_3B_029786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPNVRVLPLTVNKTVFNQDYWDTWLGDTAPSRDAPSNLRLVLNKHCGQRTLQANRLGLTNHTHQGFALSFLHLCSLVARCDPSECSSAGPLVQEFSQVSLLLRRRLTYTEMNTSRLTEVMKLSLLPTRMTFHLINSRGYTSYSEQLKYILEPAQTNVELNGPYSKFLV >Et_8A_056258.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:18921595:18921735:-1 gene:Et_8A_056258 transcript:Et_8A_056258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMLLSSWTPGGQAPGLWLGMTKVMSASLRADSYLNALTRKRQSWP >Et_8B_059394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17511972:17521774:-1 gene:Et_8B_059394 transcript:Et_8B_059394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKMLNKGDVFVFPKGLVHFQFNACHDKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKKTVDWLQAQFWDSANIKWPLPKTSLSSPLFWNCSPGKQSLQTRALSKISAWLKRILMTLKEVKVDDFFLAANLDKPRDTTVSKVGFVTSNTDNKMFSKMLNKGDVFVFPKGLVHFQFNACHDKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKKTVDWLQAQFW >Et_1A_008566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8896732:8900805:1 gene:Et_1A_008566 transcript:Et_1A_008566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAWRKAKKALGAGLCVHLPAVAGDREDVASERRASDAALSMDSSAAALVSAPNTPAVTAAAESGALRRSKSGGKSSKKMCAICFDSMKPGHGQALFTAECSHMFHFHCISSSVKHGNHVCPVCRAKWKEIPFNRSLSSIVPRGRGGLHANQARLPQQDAYMALLRQVPNRQREAPAMHTSEPVDFNDDEPLQKMEASDNCAIGSSRTVEIKTFPEFSAIPQSSSQDDFAILVHLKAPYANPEHITGRPVNATSTGYSTTRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQHLGPSDRLSVIAFSSTARRLFHLRRMSHSGRQQALQAVNSLGASGGTNIADALKKAAKVIEDRSYQNPVCSIILLSDGQDTYNIPSNVRGARPDYRSLVPSSILNHTSRLVPVHGFGFGVDHDSDALHSISEASGGTFSFIEDEGVIQDAFAQCIGGLLSVVVQDMKLNVECVHPGVKLRSIKSGSYLSKVDGNGRNGSIDVGHLYADEERDFLLSVSLPHCHDQTTILKVASAYRDLLTNEGITIQGDEVKILRPKSRTSEPVCMEVDRERNRVRAADAIETARAAAERGALSDAVSVLEDCRRILSESFSSQNGDRLCAALDAELREMQERMANRQRYEASGRAYLLSGLSSHSWQRATARGDSTDSATLVYSYQTPSMVQMLQRSQNHHPSPQGPSQVPQPRFSLAKPQPR >Et_7A_050676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1032550:1033999:-1 gene:Et_7A_050676 transcript:Et_7A_050676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIVVAVVFVLDVLAFALAIGAERRRSSAYVTVDLNGGAYCVYSSDASTAYGVSALLLLLASQVVVMVVSRCFCCGRALSPGRWRAFSGIFFVLCWITFVIAELCLLAGSVQNAYHTKYVSRFSEGPPQCAKLRKGVFAAGAAFTFLTALFTELHYIFYAKARNAVPPPIVGGIGMTRM >Et_2A_015064.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28399546:28399668:-1 gene:Et_2A_015064 transcript:Et_2A_015064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWMCRRRQRTTSWRRTTCHKVSSPKACSLTISSQTAGLM >Et_3A_026575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9785574:9792055:-1 gene:Et_3A_026575 transcript:Et_3A_026575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLPSLSPPVSRAAAAAAFLLRRRAPPKRFPSHPPPTLRRFLASSPSPPTVPPGLRPITTMPSAEVAARAFPARPDVLMLGIETSCDDTAAAVVRGDGEILSQVVSSQADLLARYGGVAPKMAEEAHALAIDQVVQKALDDANLSESDLSAVSVTIGPGLGLCLRVGVHKARKIAKEFGLPIVGVHHMEAHALVSRLVNKDLDFPFLALLISGGHNLLVLAHGLGQYVQLGTTIDDAIGEAYDKSARWLGLDIRKGGGPALEELALEGDSEAIKFRVPMRQHKDCNFSYAGLKTQVRLAIESKNLCTEDIPISSASEEDRQSRADIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNKYVRTRLTHVAENNGLQLVTPPPSLCTDNGVMIAWTGIEHFVAGRFDDPPPADEPDDMQYELRPRWPLGEEYSEGRSVARSMKTARIHPSLTSMIQGSRQK >Et_8B_060375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11178955:11185374:1 gene:Et_8B_060375 transcript:Et_8B_060375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARTAASAVTLLLLLLLMLHLARAAAAGLDDDAAALLAFTRASVAADPSGALADWGGNANSSSVSPCAWAGVSCAGGRVGALNLSGLSLAGRLHLGALLAVPTLRSLDLRGNAFHGDLAHRRTEPPCALVDVDLSANALNGTLPGAFLASCGGLRFLNLSRNTLTGGGFPFPPSLRTLDMSRNMLSDAGLLNYSLTGCHGVRYLNFSANQFTGDLPKLAPCSGVAVLDLSCNSLSGTLPPKLVAAAPANLTHLSIAGNNFSGDIFRYDFGGCANLTVLDWSYNKLSGVGLPPSLANCRRLETLDLSGNKLLSGPLPVFLANFKGLTRLALAENNFTGTIPEKLSTLCGTLVELDLSGNQLIGGLPSSFAECRLLQMLDLGSNQLSGDFVDTVISKISSLHVLRLPFNNITGTNPLSALAAGCPLLEVVDLGSNDFGGVIMPDLCASLPSLRKLILPNNYINGMVPPSLGNCSNLESIDLSFNLLVGQIPMEILLLPKLVDLVMWANNLSGEIPDNLCSNGTTLETLVISYNNFSGGIPPSVTRCVNLIWLSLASNQLTGSVPAGFGNLQKLAILQLHKNSISGSVPAELGSCSNLIWLDLNSNNFTGTIPAQLAAQAGLITGGIVSGKQFAFLRNEAGNICPGAGLLFEFLDIRPERLAQFSAEHSCTSTRIYTGKTVYTFIKNGSMIFLDLSYNSLTGTIPASLGNMTYLEVLNLGHNELTGAIPDAFTGLKTIGVLDLSHNHLTGLIPAELGCLHFLADFDVSNNNLTGEIPTSGQLITFPSSRYENNSGLCGIPLNPCVHNSSSGGMLQSSRNVHKKFVEESVLLTVGLSVFIVISLVVTLYKLRRTTESKFEEIKTGYCDSIHTSTNLSWKLSGSREPLSINLAIFENPLRKVTYAHLHEATNGFSSEALIGLGGFGEVYKAKLKDGSVVAVKKLMHFTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKHGSLEAVLHDKTEADMKLDWASRKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDDNLEAYVSDFGMARLMNALDSHLTVSMLSGTPGYVPPEYCQSCMCTTKGDVYSYGVVLLELLSGKKPIDPTTFGDSNLVDWAKQMVKEDRCNEIFDAILTTTKSCELELYQYLKIACQCLDDQPIRRPTMIQVMAMFKELQIDSDNFFLDGFSIHSSNIEESSDKSVKTIHFT >Et_10B_004407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6339142:6341694:-1 gene:Et_10B_004407 transcript:Et_10B_004407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDLDDLLLQAAGRTGNQTRPNNQRWKQQQRGRRGSSYSGASGSDDDDSSDAAPNYSWKKQPPSGSQVPLKKRHQPEKGKRGGGWRDGDDEDDDDGRRSGDDSDSAPSVGSDLYKDEDDKEELEKMSELDREMILAERSSRIDDYKLKQMARAKTEKAATRKDSSPPPPPSRMRSSTRIDKGSANKSALDELRAKRASKQDPEAYRNRFKGLLPQSGSPTRRRAGSPPSDGSNDGDNRGRMNDHGRADDNRDDEFDESPSRLDPLKFDDVRSITLRRSKLVKWFMEPFFEDLMAGCFVRLGIGKTKSGVPKYRLCIVRNVDASDPDRKYKLESYETCKYLNVVWDNEANAARWQMTQVSDSPPLEEEFKEWLQEAEKNGVRIPTRQEVMEKKEEIQKAYNFVYSADTVQRMLKEKKAVRRPINVAAEKDRLRNELEMALSRRDDAEVKRIRAKLNELQNMSQPMSKNEKAAKLEAMNRKNRADNFKNASELKPVNTSLKAGEAGYDPFSRRWTRSRNYYASKPAGENAEEAPNGTADNSVATNEDGKNTVQAGTAATAAAQVAAADAGKLVDTNAPVDLGTESNAMHTFELPISLSVLQKYGGPKGLFDGYMARKQKIEATMGFKIPDNDGRRHALTLSNAVDSSRCSSVVSVNIKVKFVFEVLE >Et_1A_008440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7762142:7765670:1 gene:Et_1A_008440 transcript:Et_1A_008440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGALISAYPEELTFLFELDKPCYCNLKVVNNSEHHVAFKVKTTSPRKYFVRPNASIVQPWDSCTITITLQAQKEYPPDMQCKDKFLIQSTKVAASTDMDEIPPDTFNKEIDKVIEEMKLKVVYTIPSVGSDDSSATSIGSRSFKAASDDLTMLKNASIEEIQNIQRLKEERDNMLQQNQQMQRELDVLRRRRSRKGDAGFSLTFAAFAGLIGVLDNPFLLSTGPSRAIVLIDPVTIEVQLKAKGATESEDKPLNFDVFSYNHLACFEDPPSIVTRSSDRSRSELEFALALLVQTVKATIFVHVVRGSWPDHLRGQVVSRTDIIDHILRSGVIELSGRVVSVESRGELKIEVCGGFTDWRWKNCCCKRFTPKKASISQNMCDLGFCKLRITVCWSEINFCGGPEIRPLPCTVG >Et_8B_060563.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:2292195:2292380:-1 gene:Et_8B_060563 transcript:Et_8B_060563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHAHRYVLAARSPVFKAQLLGSTADAKITLHEIKPAIFQTLLRFIYTDAYHPIPGYPVPE >Et_2A_014928.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:22130741:22131199:-1 gene:Et_2A_014928 transcript:Et_2A_014928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWGKSATILFDGWRTSTWTGYLLSLLALLLAAAFYQYLEAFRIRVKLAAGGGTKPVSIPPPASSDPARAPLLAPAFAAAGRWPARVAVATLFGVNSGLGYLLMLAVMSFNGGVFIAVIIGLAAGYLAFRSDDGEDLVVVDNPCACA >Et_3A_024630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22883262:22887453:1 gene:Et_3A_024630 transcript:Et_3A_024630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMDGSFMSSWMAFVALALHLAVYGCSAVNLEGSALLKFQSRVEEDPHGAMAGWSPRDSDPCSWNGVSCVDGRVVMLNLKDLSLKGTIGPELGTLSHLRALVLSNNMFSGPIPKEISTLTLLEILDLSSNNLTGEVPQEIAEMQSLKHLFLSSNSFQWPLIQNSYGNIDQENSFNIYDNRERDNNQIPGHGFKSGSSSEENKKDTRNLYAHIPSQFTARNPATQLSQRRLLQDSNLAAPSSGSVPIPASVPSTGSGSFSAFSPNNAPEPAINPPTIPSVSPPTPTEVSKGRSIKWIYAIVIPLIVLLLIGILACVVLLCRSKSVKTIGPWKTGLSGQLQKAFVTGVPKLQRSELEGACEDFSNIIVSYPRYTVYKGTLSSGVEIAVVSTMITSSKDWSKHSEGRFRKKIDSLSRINHKNFINLLGYCEEEEPFMRMMVLEYAPNGTLYEHLHVEGFDPIDWNGRMRVIMGVAYCIQHMHDLNPPIAHPDLKSSAILLSEDGAAKIADMSVWHEVYSRGNMPKTDDFVDHHEPVAADPAGNVCSFGVLMLEIISGKPPYSEHKGSLTNLALECIRDNRSMSCLLDPTLNAHKEKDLEIICELIQDCIQSDPKKRPSMREVTTRLKEIIGISPEAATPRLSPLWWAELEILSVEAT >Et_1A_006216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19308562:19312487:1 gene:Et_1A_006216 transcript:Et_1A_006216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAGDPRALRLPQSPEERREEDESEEEEEVESGEEDEGDVEGSEVASLADLCDPDTGSDDDPTFDPDADGDLEVEAVLRSRMSRMSISASARKGRYGGGVLSSSSLSLIGKGSAVPKMEKEDIDLLAMVDKLIKVVDMDIVAMYLCADGQLEKLKVYECKSYLRMHKLRLTGKKEVLLNRIREHIELLALFDYMFIDVCTHTCASQTFRVKNAGEVKYPVSSFVLNCKGDACKGDVVMFEQNIYRRKKGAPREVKRLCGQRTNAGRIIKESYGTAKQQHTFTIEILWSKGYKPWPPLHPLLIKGRNLYKDKTMRQPWTDEEERNRVLQEKHARGFVARKSRASRIEDKEIERKMKFNRFLGSISFIIVVTDERKYPSLQNGERGNTMQQHIPLKVIPTQHNEVLPLKVGARSFKQECNEGPSIQPGGLQKQMHQQATHTEQMFKDSHQQPRYQNQAEGLQQEGAMGTYSKECTDGQAPSQHNGGSGNARHHQISSKLSTSQQTSKYPQQPPNHQCHNDVRSYRGEVDYQNNRYRTAEYSERVCQPRGNSTQHANAHQRGSNCHQNAPADHSLHQPLRSRNQNFSSRDQYYGQGYYHQYTNGYRRMAREQYHPQGPQQNQHQNEYNHWHTTQYQYHTQQNQHQNYGDDRRTNHKQHYHEQNQPQQHPIQPSQRKPCNFYYKNGWCRYEENCWYSHDF >Et_4B_039205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8805986:8808065:1 gene:Et_4B_039205 transcript:Et_4B_039205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREGDACVALLRSKLHGLVERNRALEEENKQLRHQVSRLKGQVSSLEVQDSDKKIQWKKLENSATSISHSKEKQFIQNNDEAKEVVDLNSSLGYNRQQFSRTTLLRSRAPRVPNPPPSPTSIQPVMKVRKEGCMATPPPPPPPPPSSKLQRSTKAVQRVPEVVELYRSLVRREGKNDSKSGSTGIPVVTSSREMIGEIENRSAYVLAIKSDVENQAAFVNFLASEVQNAAYKEIADVEEFVKWLDGELSYLVDERAVLKHFPNWPEKKADAMREAAFTYRDLKSLESEASSFHDDRRVATPMALKSMQALQDKIEQGIHNTERVRDGASGRYRDLKIPWEWMLDSGIIYQLKMASLKLAKEYMNRIVIALKSDPFANDEELILQGVRFAFRIHQLAGGFDEGCRKAFQELKAYVSKSE >Et_3B_030687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6412457:6421262:1 gene:Et_3B_030687 transcript:Et_3B_030687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLRASPLSLLSRLKPRPRASDLRGLLPLCTAASAPRAPPLHRTLAAVAAATDAATPPDEVAPAADAKVERLQPMQWPQRDALCGELGAGDAGRRVRLCGWVALRRTHAGLTFLTLRDRSGMVQVTTLPEYPQVYNVVTKLRVESVVAIEGVVRPRPADAINTDMKTGAIEVAADQIFVLNSVTRSLPFPVTTADTVKEKFPEEIRLRFRVLDLRRPQMQSNLRLRHNVVKLIRRYLEDKHDFVEIETPVLSKSTPEGARDYLVPSRVQPGTFYALPQSPQLFKQMLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMEVAFTSMEDMLKLNEELMRHIFQEVGDIKLPNPFPRLTYAEAMNRYGTDRPDLRFDWELKDVSDVFVGSDFKVFADTLENGGIIKALCVPGGAEVFSNTDLKKGTVYTEASKAGAKGLPFLKVMKNGELEGIGPLVSSLKPEKKEQLVKLLDAKAGDLILFALGEQSSVNRILGRLRLFIAHKLEVIDRSAHSVLWVTDFPMFEWNSDEQRYEALHHPFTAPNPEDMNDLPSARALAYDMIYNGVEIGGGSLRIYKSDVQQRIFEIIGISPEQAEEKFGYLLESFEMGAPPHGGIAFGLDRLVMLLAGESSIRDVIAFPKTTTAQCALTKAPSSVDPQQLKDLAFPKS >Et_1A_004975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20904758:20905888:1 gene:Et_1A_004975 transcript:Et_1A_004975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRHGGGIGKPQRLGSANAAAAEREKEKEEEEPASYEAACSADPELGTFDAALRRRASRAISAVASGVEVRSLSLGSLREVTGCLLEMNQEVVRVVLASKRDVWRSPDLSGLVEDAALRRARDAQIPLHLALQRGGGGGGHARALLELRRFKAAAGDPFSPELLAAFQAVYRQQVAMMGRLRQRKRQLDGRVRSVRAWRRVSGVVFVSTFAALLVCSVVAAAIAAPPVAAALAAAASLPVGSVGKWVDSLLKQYQEALHGHKEVVSAMQVGAFIAIEDLANIRVLVDRLEVQVGSMVDCVDFAERDEEAVRLGIEEVKGKLEMFMKGVDDLGEQADRCSRDIRRARTVVLQRIIHPPNK >Et_4A_033166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19468531:19473206:-1 gene:Et_4A_033166 transcript:Et_4A_033166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLLAGAAVTRSPGAALRRMLHIGGGGRDGSGEAESVAYRMSMLRPPSSVRNKGLVSNSCSLIGRLAAPVRQQRNSYDENPMAYTFLCVTPSSPASSSSTSGFTVTLQLKGELANVSLKHLKYNDLVYVSGSLNSYQKVGASGQQHIFYKILVDELNFVLDPSKKPQSAADSLDPSSTTFFTSQMQKENAYIDRLRLWQVFFANPYEWWDNRQSKPYVNCPDFRHKETREKIWLNPEDPPWVQKQLELHDLEIAENGYKGNGHSLKNHDWKTQDFYYSDEDDDEVEAELKQDMVRLSLLAVTQC >Et_7B_053535.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8049251:8049322:-1 gene:Et_7B_053535 transcript:Et_7B_053535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVPLVATQKKTFLIFSLAATI >Et_1B_011694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25052934:25060802:-1 gene:Et_1B_011694 transcript:Et_1B_011694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGAGLLLAVVAGLFMHAARVADAQTTLRVGFYNQTCRTVESIVAEEVQKAYYADRSILASLIRLHFHDCFVNGCDGSVLLDAADNQAEKNAKPNLSLRGYDVIDKIKARLEATCKETVTCADILAFAARDSVKLSGGFGYAVPAGRPDGTQSRASMTGDLPPSNQRNVDVLAQYFYKKGLSLDDMVILSGAHTVGVVHCSTFGYRLTSDGDKGLNATYRNTLRAQCNYNPNNVVALDAGSQYAFDTSYFANVLANRTAIESDAALNSPRTADKVVTYATDPATFQSDFAAAMVKMGAVGVLTGSSGKIRANCRVA >Et_7A_051131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14836146:14838406:1 gene:Et_7A_051131 transcript:Et_7A_051131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGKRLVVDELLSYADDLVALLEDSKDGEDIAQAAAGARMLRSACRSELDNLELQLKEYQEKNRSCKEKIEKAKAETIPDDELKPLQIRDELDNLDGQLASLEGRKDAVKRKERDMRKAQNTLSMCVSVTKIMPNLEDKDKISGYIVDKNKKKIETFEYEKTASPFEICNELWKKI >Et_4A_035057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9121987:9123480:1 gene:Et_4A_035057 transcript:Et_4A_035057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTVAIPSSPVFSPSRRPLSCKAASASPEPVPVSVSSPAPAPPAGSPLRPFAFRALLREEASPSSAQQPGSAAAVASAPAGPVLKRRRPAPLVLPVTGGAAAAAAAAAVAAVEADPRNEVEEEGEEFAAYCRRGKGRRRVEMEDRHVAKVGLGGDPKVALFGVFDGHGGKNAAEFAAENMPKFMAEEMKKVDSGEIEGAVKRGYLKTDEEFLKRDESGGACCVTAVIQKGGLVVSNAGDCRAVLSRAGKAEALTSDHRASREDEKERIENLGGFVVNYRGTWRVQGSLAVSRGIGDAHLKQWIVADPDTRSLLVDDQCEFLILASDGLWDKIDNQEAVDLARPLCISNDKVSRMAACRRLVETSISRGSTDDISVVIVQLQKFSSS >Et_4A_035884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7925026:7925672:1 gene:Et_4A_035884 transcript:Et_4A_035884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQYSMRLRFQAFGSRLQAWTWTCSSSAANHQSRWFSAASDLPRPNVRLNLKGHVYGLLAARPVAPLVSLHHPDRLSRIFPDSLKRPDAVS >Et_2A_017492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34920225:34924407:1 gene:Et_2A_017492 transcript:Et_2A_017492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSGAGDYSSYYPPYPSPAAAAPPPPTYPSVSAAASAPPYSSYPTDFAPAASYPAYPPADTPHHAPPAAAPPPPQPYYPYEPPPLPPSPHNPVPSPYPSLDRAGSYGYGSGSGSGSAYGQELYPPTKPAGGGWSDDGVYAYDGGDAPEPYGARGTAPRSGSGSASALFDDYGRSIGSATERGGRGGSAASPKVVRAVPKAETTEDVRGGVQKFRVKLLPEGAGSPMDVLCQVGLDGIRMLDPNTSRTLRIYPLETVTRWDVLDSSIFAFWSKSSVDVEARRIRLKSNSYTSNTILDTVTAATVQFKEMGASSISRSRVTADAAKPAEQQNERKKNFLDWRNLMKPMNEEKDHWVPDEAVSKCTACASDFSAFNRRHHCRNCGDIFCDKCTQGRTPLTSDADAQPVRVCDRCMAEVTQRLNNAREAANRPIVHSHEDLAKKLQEAMDINKRSSSGTRSSDGSGKRMREVACPICTVHLQVQVPTSGSETIECGVCQHPFLVSAR >Et_4B_036041.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:16267866:16272573:-1 gene:Et_4B_036041 transcript:Et_4B_036041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SHRSLPCCLTLFASCSTSICSVSANAPLPSLAHHLLVKLPLRHQPLAWPSLCHFERAPDACRIAAMASAACLVFYYSRTSSSPAACLCPCRVGHPALRARRRRLRPAPLRASAASDQERLLADLREQTDPEAALRMLNSALAREDFAPSGAVYEEIIRKLGTAGVFDLMKGLVREMRQEGHEVKLGVLQSFLESYARLQQFDDAVDLVLNQLGFFGIQANTVVYNHLLNVLVEGSKMKLIESVYNEMTTRGIAPDVVTFNTLIKALCRAHQVRTAILMLEEMPSHGVAPDETTFTTLMQGFIEEGSIEAVLRVKAKMLEAGCSPTRVTVNVLINGYCKLGRVEDALGYIQQEIAGGFEPDKVTYNTFVHGMCQNGHVGHALKVMDLMLQEGHDPDVFTYNTVINCLSKNGELEEAKGILNQMVDRGCLPDTTTFNTLIVALCTQNRLEEALDLARELTVKGLSPDAYTFNILINALCKVGDPHLGVRLFEEMKSSGCTPDEVTYNILIDHLCSLGKLGKALDLLKEMESSGCPRSTVTYNTIIDGLCKKMRIEEAEEVFDQMDLQGISRNAVTFNTLVDGLCKAKRIDDATDLIEQMVNEGLRPDNITYNSILAHYCKLGNIKKAADILQTMTANGFEVDVVTYGTLINGLCKAGRTQVALKLLRGMRFKGMRPTPKAYNPVIQSLFKRNNLRDGISLFREMTEVGEPPDALTYKIVFRGLCRGGGPIKEAFDFLVEMVDKGHMPEFSSFRMLAEGLLNLDMDDYLISAIELVLEKGDFRESDVSAIKGYLKIRKFYDALATFGRLLEVNNPQWAYR >Et_9B_064129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11510295:11511080:1 gene:Et_9B_064129 transcript:Et_9B_064129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIADFVPAGSRRPAAGSSTDTISASSFSGEDELPVTPAPGRKTAYRGIRRRPWGRWAAEIRDPRKGARVWLGTYATPEDAARAYDVAAREIRGAKAKLNFPPAVGAGGGASTPTGAKKRRRVVAPAAEESSGSSPAATTAETLRDCMSGLEAFLGLEDGAAGEGGGDVEAWDAVDLMFA >Et_9A_062473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23344926:23347871:1 gene:Et_9A_062473 transcript:Et_9A_062473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVESNLSTAAEEEMTRFPVVDSEEESSFPMAAEDLSKKKNTTSPRGQQRIPASPLRSRISGTRDMPATSAPSKEPVIKIKPRRKLSAEEKQRARFQVETKPSDMEGFTKMVTEVDSEEGKSGFAKMTADDSFEELGPDQIFEDEASCFKDTWIGLYSPFFGHFEDTTKIPNMRFTFKEPQLHESPVLTATLQIFSLKVAKIWGALQWPLHVFGMVAIRDVVDHNRNMIFHCRRESCQILTPEDPYLKLTGPTRAVLLVDPVIFEVNLYVKGVIEDEDKHLSFLAVTYNDFTSLRSRLKKRDYASKLSTVEFELGSIVMSVEATISLRVRPGSGSWPYDFNARISARTTSIRTAEVVLLDSWDDSRVPISGTGSIKLSRAVVSVEIMGELEVCVQAGRGEDIVVHKRSFKAKKDSLSHGSLRLGFCVLDVTIAWSLVSTSR >Et_4A_033287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21078810:21083737:1 gene:Et_4A_033287 transcript:Et_4A_033287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVETKAEAASRDKMQGVKMELSVLDCPVCYEPLSLPILQCGVGHVLCSTCCARLTKCPLCSGTVFQRCFAMERVVESIVVPCSFAKYGCAKEIAYLNKKKHEDTCSHGPCFCPESNCSFTGPATSLLDHFTSHKWPSTPFKYYKQLDLVVRPGLHVLHAQEKEGSLFLVNMEPVEPVGHTISIVCVHPNAIPDDWESRFGCSVEFSCFKGHSQTSTLEVVKSSSLLDGLPKDYFCIVPYASDRSSYVALRTTIDTELVYDEVDDELEDEDEDDKSYDEDEDDEAGNSDDY >Et_2B_021268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28060841:28061845:-1 gene:Et_2B_021268 transcript:Et_2B_021268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYFLVAGWTIHRMGIFVQQAGAGTIMSSVSATKQSLVDEESRRNQSMNNGADGGFSWLTALGFGFLTFNSGMAIYRSDRDPGTVAFVVVSYLDLVLLFLCLRLFERAPRDSSRREWLKVCVWVLTTLLTVMFSHKVAALMPPLVAVVVWAMAFATVAGGFYAFFIFQDKTAQVEAGRH >Et_2A_016965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29974339:29976016:1 gene:Et_2A_016965 transcript:Et_2A_016965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAVASPPTLLEHMGRTGAAADLTVLVAHIQSACKRIAALVASPGNADLSRWKAGSGAVAAGRDAPKPLDELSNEIILSSLRSSGKVAAVASEENDLPVWISDEGPYVVVTDPLDGSRNIEVSIPTGTIFGIYNRMVELDHLPVEEKAQLNSLQSGSRLVAAGYVLYSSATILCISFGAGTHAFTLDHSIGDFILTHPSMQIPPRGQIYSVNDARYFDWPQGLRKYIDTIRQGKGQHPKKYSARYVCSLVADFHRTLIYGGVAMNPRDHLRLVYEANPLSFLSEQAGGRGSDGKNRILSIQPVKLHQRLPLFLGSMEDMLELESYGDVQQKVNPGCSEVVMYGK >Et_3A_023942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1491441:1492150:-1 gene:Et_3A_023942 transcript:Et_3A_023942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSHVSVEEDPALTPTVDSMAMEYLGSSGHGVTHFQNSVAPVSVQASEDQLTSVPVDASSLVPRIGMTPTEFEMPDGALGVTGFDVVGGVPGEAMIAWQPQNGSYGKPPAPLETSWTRVEDNILRSMVERYGEHNWKMIEQHLPGRIGKQCRDRWNNYLRPGIKIFVIYDSEVVSCGLHVQTSIV >Et_5B_045420.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:21881558:21882412:-1 gene:Et_5B_045420 transcript:Et_5B_045420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAATTAAAEAHSSAPTWRPSARRRSLAPTWRRRVDELEAAAARLRAEKEAAERAAAALRAELEEERGAAATAASEAMAMIGRLQREKAAAMIDARALRRVAEGRACRDRELQDRLAVAAALAGSYQALLRAHGIALDDDEVDDDDDRYVGRVEADVNGDKTEAKGVVVVEKPSPSPPPSEEEEFEYKYAVDVRCAADVARALCARVEALEADSAAMRREVAALRSDRAQVAVLAREVARRLCREAAAARAAVAVTAAEGQHFSMPAIFKVRPLLLTLSSVSV >Et_3A_026930.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:26893898:26894524:-1 gene:Et_3A_026930 transcript:Et_3A_026930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGTDLEAAANEPYHAMQDAASCGDDDDGWSAACSARVGNKRCFLIVALLSALVIIGMSTLTYRAPSFSVDLTGYDGIDPGHAARVVSPSFNVTLRANHGCVDSADVAVTYSGVALGWASVAPWHCARDVKVVARGGMVGLSRRLRERMASEWRLGTLELDVDVKLYHKGRRAETDPPQRMVACKVGLMDKEDSHASLLGHGNLCKT >Et_8A_056855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17949179:17959994:-1 gene:Et_8A_056855 transcript:Et_8A_056855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIECGITVVKELRVEEDIPCLLLCPHATLGLVRQSWKIARGKGADVTFKVKDELFPAHKNVMPVWSRVFDAELYGPMGGSTDKCIVVEDMEPDGLLHFMYTDSMPKVDDGLDAGDSPCLAAYRYAVERLKLIYEDILVQSLDVDNVPLGHNEYASSAPFSVGGYDWSVRFYPNGNRNADPGYASAFVEVVTPGAKARASSRCFHGRRRRARGGLQRVGRPSFSPAYFRDDNTLRIDRVRHHRLRQEETCQLPDPACCGATRAAIGKLFMADGGAPPADVLILVGAESFAAHRLVVWMRCRELYDRITESSCVTISESDVQPAVFKDLLRYIYTESLPAMEDLTSLQKIEKLRALLPAAARYKIDRLKQVCESELCASLDAGTVVATLAVAEQLQLTTLRRACVKFIASSAGQIEQPCTFFFELVD >Et_2A_017287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32872809:32876520:1 gene:Et_2A_017287 transcript:Et_2A_017287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGGGPRPRHLLLVAVLCAVASSPANAFYLPGVAPRDFQKDDDLQVKVNKLSSIKTQLPYDYYFLDYCKPEAIKNSAENLGEVLRGDRIENSVYNFKMRRDESCKVVCRTKLSQEAAKNFKEKIDDEYRVNMILDNLPVVVPRQTREGSQTPSFEHGYRVGYKLKDDKYYINNHLSFKVLYHEDETSPDARIVGFHVIPSSIKHEYGAWDDKNPTVQTCNANIKITPGSHTPQEVASDAYVVFSYDVTFEPSDIIWASRWDVYLLSSDSQIHWFSIVNSLMIVLFLSGMVAMIMMRTLYKDIANYNQLDNQEEAQEETGWKLVHGDVFRPPVHSGLLCVYVGTGVQFFGMTLVTMMFALLGFLSPANRGGLMTAMVLLWVFMGVLAGYTSSRLYKMFKGTEWKKITLKTAFMFPGIIFAVFFFLNALIWGEKSSGAVPFGTMFALFLLWFGISVPLVFVGSFLGFKQPAIEDPVKTNKIPRQIPEQAWYLQPAFAILAGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILVVTCAEITIVLCYFQLCSEDYHWWWRAYLTAGSSALYLFAYAIFYFFSKLEITKLVSGILYFGYMLIISYAFFVLTGTIGFYACFWFVRKIYASVKID >Et_7B_055196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8192197:8194089:1 gene:Et_7B_055196 transcript:Et_7B_055196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HFPSAMGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDIKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELQTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSQYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Et_8A_056671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14915064:14916238:1 gene:Et_8A_056671 transcript:Et_8A_056671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWSHLASLAVALALVQAASSAHWLDDYFFTDGNVRAGYDALGREVAMVSLNQQSGGGGFNSKKKFLFGEFSIKMKLIPGNSAGTVSCFYLSSGTDDYRDEIDMEFMGNETGQPVVLNTNVWANGDGKKEHQFDLWFDPSADFHTYTITWNPENIIFQVDDKVIRSFKRYADLAYPNAKPMQVHATLWDGSYWATEKGRIPIDWSGAPFTVTYREYFADACVSGRACPAGSGKWMNRQPSKAEWGTISWAEKNFMRYNYCTDGWRFPNGLPAECSRN >Et_10B_003814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6409488:6413916:1 gene:Et_10B_003814 transcript:Et_10B_003814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGTSSNARPPSSLPLLLALVLLLAGCGCVDGKPLLKAHFYRERCPAAEAVVRDIVLARVAADPAALPAKLLRLFFHDCFVRGCDASVLLESPDGQGNAKKAEKDAAPNASLGGFDVVDTAKAVLEAVCPGVVSCADILALAARDAVSFQFGRDLWDVQLGRRDGVVSRASEALANIPSPSANFSALEANFAAKGLDVKDLVILSGTQTFLWFFFLGKDCAPYSHMRICASNRACIPIFIRSIIDPLCVYYVSSPSLINRYPCCRFTMWTTGAHTIGVAHCNAFAARLSGGGPNSASATGRGDPTLNAAYAAQLRAACGPSASTNSATAVPMDPGSPARFDAHYYVNLKLGRGLFASDAALLTDRRAAGMIHRLTRRGYFLEEFRNAVRKMGRVGVLTGRKGQIRRNCRAVNRD >Et_2A_017731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5589144:5593082:-1 gene:Et_2A_017731 transcript:Et_2A_017731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPALGGKAAHLVSDLATVILNPVSERERQHHHHHHPSHLPEVTEGKESLYGDVDSDKDFGIPDGPDTSSFRAFLLSFMSSSRSSDDSMETIPEQNLDTEYPTLTLVGKASSGRKGLLSRGKHSIGKLMSKAGRLSNFRQNPTHSINGEIIDQTDSVSPGSELKGSKESTYDKLPEMSEPSMLLSEKMRAILYSSLPVLVQGKNWVLVYSTWRHGISLSTLYRRSMLCSGPSLLIVGDRRGAVFGGLVVAPLQPIIKRKYQGTNDCFVFTNVNGRPVICRPTGANNYFTFCSPEYLAMGGGGHFALYLDEDLTVSLGTRTYNVVLTL >Et_9B_064213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12608995:12611186:-1 gene:Et_9B_064213 transcript:Et_9B_064213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRAWLKAAVLMCLLVFCSGRELKTKHAPIYDPSLARTLAEYTSAVYTSDLTQLFTWTCERCGDLTEGFEVIELIVDVKNCLQAYVGFARGMSAVIVVFRGTQENSIQNWIEDLFWKQLDLDYPGMPEAKVHSGFYSAYHNTTLRDGVVLGIQKTREVYGKVPVMVTGHSMGGAMASFCALDLVINYGLKDVTLMTFGQPRIGNAVFASHFKRHLPNAIRVTNAHDIVPHLPPYYQYFPHKTYHHFPREVWVHNIGLGSLVYPVEEICDGSGEDPTCSRSVSGNSVRDHIHYLGISMHAESSGSCRIVTHDETLQYKMDPAGNIVLSKQPGLSVYHQHSAQ >Et_3A_025508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30357995:30364267:-1 gene:Et_3A_025508 transcript:Et_3A_025508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYEKQQPQTSQPPAQLNAAYYGPAIPPHQPYYGPPPPARPRRSGASRLFCCLFKVIAVAVILLGAAVLVLWLIFRPDNLKAYADSAALSRFDLGPGDDGRELLRYNLTVNIRLRNPNRFGIRYDYAEAQAFYDGDRFGFDPLQPFYLDSKGDGRITATFNGATVTDDDDVQRTYRRETREGFYYVKVKVYSDLSFKVRAFRLHDYKSKITCVLRLPVPAGGNASSTAVTSLGTRPATNCETAMVSHEHKIEHLDQPYYGPPIPPTDDPAVAGARRDTYTIFCWAFRALTLLIALGAVALVLWLVYQPSSLKAYVDSATLTRFDLDVDNNGTRLRYDLAVGVSVRHPNRKQAVLYTRVEAVALYGGDRFGYADLPRTRQERKSTVEMRPSFTGQAAALGGAALATFGREKAEGFFNINVKLHTRVRLKVIIVNSVEYRPDVDCYIRVPDPTNATAVAQGFTPTQCHVDDFS >Et_5A_040644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10776454:10777334:-1 gene:Et_5A_040644 transcript:Et_5A_040644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AGPLLSQLSSAAPLPDLAVHSLSNHAARLPRQERRPQSKMPATPTIIGALLGLGTQMYSNALRKLPYMRHPWEHVLGMGLGAVFVNQLVKFDEKLKEDLDKMLERAKQANERRYFGLCLFPLTF >Et_9A_062507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23632239:23638844:1 gene:Et_9A_062507 transcript:Et_9A_062507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSNAVLGELCENQTAPTVQEDLQRLPHLLLRSGTIVEEAERRQVTNRAMLQQLKALRDEMFMGHYVLDASKPMAVIAAGAAAANSKELREMVRSLEAMIGDMKEFVVFLTSYPPLLRQPYSAHLSVGKCMFGRQVERETVMEFLLQPASPPGAANPGVLPIVGPAHIGKSTLIENVCCDERVHGHFSLILLYNGDDLKDGTTMASLRDNCAIKHQNDNASGERLLIVIELLEDVGEETWNPLYQSSETSLPQGSKMIIASRCENIARFGTTKALRLKCLPVQAYWYFFKLMVFGSDDPRQHPKTESLALQLAHIMQGSYFFAFVGSILLRDHYNAKSWSRILFRTREYLQMNVSLIGEYPDDIKAPKDQPRFIWSLVKDKPDTYFILHSLRSQSGSIQEVPETTMMDLFIGRPQPRGKCDILKSQIPPYYSYVSTGEIRDM >Et_1A_006067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17358473:17360111:-1 gene:Et_1A_006067 transcript:Et_1A_006067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SGQQHFPHSLRPPTTAARTQSSSSSSRPPLPRTASCCCYLTPPPPRTPPLLPSSAKPTPPHPRTPRHSVGNHRLTHSASETEPSQSTTMGEEKKAGKDAGGGDEKKDAGAAAAGPQPIVLKVDLHCAGCASKVRKAVKHAPGVESVAADMAAGKVVVTGPADAAELKDRIEARTKKPVQIVSAGAGAPKKDKEKEKKADGGGGGEKKAEKEKGGGGGEKKAEKEKGGGGGGDKKAAADKPNKEEKPKEPKEETVTLKIRLHCEGCIDRIKRRIAKIKGVKDVAIDAAKDLVKVTGTMDAASLPAYLRDKLSRPVEVVAPGKKDGGGGGDKNKGDGGDQKKDGGGGEDKKDKSAAAAASVTPAPLADAGMYQMPPHYGYAPFPPAHAGGYYGAPPPPNPAFYPNAGQYPPPPASYPYASHLHAPQMFSDENPNACSVM >Et_6A_047682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9638371:9642789:-1 gene:Et_6A_047682 transcript:Et_6A_047682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDLATSTAPPRPPSPGVGAVPLGSAIADLLRFVLSSRVAAPDDPTFPLSTSYCSRLLHDDGDLFGKLAAGLTRCIEEGRMPSPPAAVGVPVVEEEGSEQREREWEAVLLEKGTELKQMYDAVDFELHVQEPYFMQLRAEAKTVEGRLATGNYNRITQGSLLLFNKCLLLNVEAIRKYSSFSEMLQAEIIANVLPGISSIEEGVKVYRKFYTEEKENAHGVLAISVLKPPAQPYITMTDILGGLDYDGLGRLLGMGKTAGTIPDGLPPPRSTLISSCLKVHQPHVKGCSLTDVARALAKHVHRSTEGWWGRYHGSDSSKNHLASEAIDYLLRECCWMNVHLTQPYGPVFEIRVHEGYGARWCEDGSKMFDQVFWTHVNKQCSTNASLFPMLSFQCHEQITYILNKVGLRVLYLANTSQTWESLKI >Et_4B_037776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23268755:23272407:1 gene:Et_4B_037776 transcript:Et_4B_037776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYDHCQTVGRSIHIVNLDPAAEHFNYPILESSYRWRMLWRSLGWDQMAALSIAWSQIELFTHVPVLRNFVEHLKRKNFNVCAVYLLDSQFITDVTKYISGCMASLSAMIQLELPHINILSKMDLVPNKKDVEEYLDPNAQVLLSQLNRQMGPRFGKLNKCLAELVDDYSMVNFIPLDLRKESSIQYVLSYIDNCIQYGEDADVKVRDFDPEDDD >Et_4A_033748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26398842:26400147:1 gene:Et_4A_033748 transcript:Et_4A_033748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAGSGGGRLIRATTKALKKDSHLHSSTSGNSSLNRIPSLKFPFLWEANKTHRISRGAEKKAALITLGAASITSEQKQGIFLPEEVKNVDLLLPLAYEITRRLILRKFGATWLALNRQCWPKMVETMIHRAVVSCQSFTLIGVAGSLLGSVPCFVEGFVVVVKSFFMRFQAMSKTEDQDMFLIGTALLTFAMGLHTMFFAPQSIQKPGQHINTSHLGTFNLKKLKDGAGIRSITQAKTRIGHAILLLLQAGVLEKFKSVPLTSGLDMACFAGAVLASSAGVFLLSKLAVGGQRSKEAFA >Et_7A_051306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16785020:16786841:1 gene:Et_7A_051306 transcript:Et_7A_051306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METMASLSAGTIGELLEKMQLLATQCSRIVRVRKEVVFVHDELAAMHAMPFKVEAMEESGSVDVQVKAWARDDCIDAFTPASTSPAPVGEQGMASMTESLLSSANTPSSISLSAFPTSSRGRSRLVEGNVDIVASGHSRNPAFHPRFPTLFVEEAYLVGIARSSAEVKSWLLTRGHDLGKLGQRFGGMRKTTLPVGIHQSGQKLISQDIRRLMLCPQCYRRLCHRSLPGREKIAGAAAAYI >Et_4B_036481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26382724:26383437:-1 gene:Et_4B_036481 transcript:Et_4B_036481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAASSTAAFAATKLRLPRASDNNANTTSSNSLSFPSSIKTFSAALALSSVLLSSAATSPPPAAADIAGLTPCKESKAFAKREKNSIKKLTQSLNKYAPDSAPALAINATIEKTKRRFENYGKFGLLCGSDGLPHLIVNGDQRHWGEFITPGLLFLYIAGWIGWVGRSYLIAIRDEKKPAMREIIIDVELATRLLPRGFIWPVAAYRELINGELVVDDADIGYY >Et_2A_016053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20581088:20585596:-1 gene:Et_2A_016053 transcript:Et_2A_016053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGATGSSYSAFLERRVRESIQKQELLLSLIEMCTGKEGVKWLKHYSSQQIILTVGDGDFSFSLVLATAFGSGANLVATSLDTYEALRVKYRNAESNVMELKKLGTTVLHGVTVKTMRFHFDRQFDRIVFNFPHAGFRGLETEVHVIKAHRKLVKRFFSNARHLLRRHGEIHVSHKTGHPYDSWGLEQLAAESSLVLTAKVRFCKEDYPGYSQKRGDGAKCDKAFNLEPCCTFKFQCSEAGVSAKD >Et_5A_041265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20452346:20454291:1 gene:Et_5A_041265 transcript:Et_5A_041265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSHIPAKCALLRTTRDLGRISALPDELLHAILGCLGDAPAVTRTAVLSRRRRHVWTGAKSLTFKDSDDMAGKSDIAGFMNWVLAQRGDADMESLEIDLAEKGPSRASPEQLNAWLRYAARHVVKSVQIDLCQWAATATKPDEQVVVEVPSHGRVESIWFVLPANHRLQLPAAAAACRYDALTNLQLFSPSLDEDGSAFSHFVASCCPRLRRLRISGVGGLRHLVLRSKVLQEFAIIAALDMETLYLATPNLRLFELSVCFTFQKIHGNNHGPYVDGTTNKLARVVAPKLEEIVNMYYFRAMCAVSPSSIWTCTASTTATWTYVGFWLLESCPSVEHVNIWLCHMPRTKHTMDEQLIDFTSEGKAPFPKLTTMVVSSSKHHLVGIMSSLLGRCPHLTSLRVDIGTEEEMQLVRLLFQSSNNCSFKSMELEHASASNIKYATEQPDVKDVETIYHELTKLPCAEMGSWRLSENTFTWACDDTS >Et_2B_022062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8591083:8592691:1 gene:Et_2B_022062 transcript:Et_2B_022062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMSLYYHYWLLLVFLALLYPAKCYITSKQSCRRKLRLPPGPWQLPLIGSLHHLLGALPHRSLRSLSGHYGPLMCLKLGEIPVIVVSSREAAKEVMKTHDATFATRPQTAVVKILTKQAQAIALTPYGDHWRQLRKICALELLSPSHVQSFRSVREEEVTRLVQAILSMSGSLVNISKLVAAYVADATVHAIMGTRLNDRDGFFHYMDQAIRLASGFTLADLFPSSSLAGALSWTTQKAEVYQERLFNFLDGIISEHKERRSHEEALHEDLIDVLLRIHGQGSFDHLNIDTIKALIFVTGSETATATLQWVMTELIRNPTKMCRAQNEVREAFKGRTAVLEEGLNELTYLHWVIKETLRLHTPGPLLIPRECRETCKVLGYDVPQGAIVLVNAWAISRDPQYWNEPENFKPERFESDTRDFKGNDFEFIPFGAGRRICPGMLFGLANIKLALANILFYFDWSLPDDSRPSEVDITEAVGITARRKRDLLLRATLRFKLHH >Et_1A_006801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27734215:27735894:-1 gene:Et_1A_006801 transcript:Et_1A_006801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVSYVSAAKLLSMARGNNRLAIIDVRDDERSYQAHIPGSHHYASSGFAARMPELSRATNGKDTLVFHCALSQVRGPSCARMFSDYLSENKDSGIKNVMVLERGYNGWEALGYPVCRCTDAPCKGTCSSA >Et_1A_008796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13309911:13311131:-1 gene:Et_1A_008796 transcript:Et_1A_008796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSHAGAEQQQQPPAAHAVFFPFPALHLARLLHTRGGVRVTFVHTERNRRRVLRSGVPLRRRPTRVPRTTTTRRSTSPPCSPPPSRTSRTSFATRPPPARRRRAWSPTSRASCAPPGPREMGLRAVAFWTTSACGLMSGLLCQQLVDRGIVPLKGKIHLVCADEEQLSNGHLDNTTIDWAPGMPPDTRLRDFVSFIRTTDPNDDILRAHLGIMQTLRTAIILNTFDELAARLHRRPAPVYTATTGDGGLQAPWCTPTSAASFIVLTSQQLAEFAWGLADSGYDFLLVVRNDQANNKDTTAGGPEFVEAAGDRGYVTSSWCPQAEVLRHEAVGAFLIDALRVELDAGGRRLRSAHAVLAVRRRPADELQVRVHGVARRRRGR >Et_2A_014539.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20646419:20648578:1 gene:Et_2A_014539 transcript:Et_2A_014539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RLALIRHRWTADGPLPFSIDPGGAAITLPLEGSIMSTSDYVLLHGDAFSGSVLDWNATTASANNSQDLTIEVSLSCPERPLVPTILFVNIPGIDFTEGPPRIVRTMEDLILLRVPLIELNEYDYFIYRPGGEKGPSLKLIPRTTTTFHDEDVGLLRCGEEHYIIAALLASRKAGVYDLHRFDSKTEKWSKDEMSLVEPQVSFPYGKKYPMNSERLLFHLTSTVIPIGGEGGTMGWVDLWRGILLCDLLSREPNLRGVPLPLPLEQMSQGIHLGCPKSIRGITTVFDKEPFIKFVRLGVNAVPVGDEGEWQMLDWTNTTWSNKKMTTSWKDWHEDCKVEASGTSISSKLKSKMLKSGLLSPGGADPERAFKNILVSFPAPGIINDGVVYLQARVKSWTSRYLFLLSTQGITNF >Et_1A_004607.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22112737:22113279:-1 gene:Et_1A_004607 transcript:Et_1A_004607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LQIGRWKESHIFYLILIYSIPAIDSNSLFLNCTLKAKVKKDHINYSPNCRISQRIQMEDRKRRQFKPNGQTVRIQDRKTYSHKRITRERKQLLYWPASLIYYPPAKEASLKTGFSDLPPDPGRSRIDKPTVWILYMGMVGTLQLQSPECVSEVLSMREREVANLGSDGVLVLLIRLGLAN >Et_2B_021980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7663389:7671252:-1 gene:Et_2B_021980 transcript:Et_2B_021980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVECDDLIVDMFQTFLKVISDDHEEIIFESMQTIMASIIDESDEIQGSLLLVLLSALGWKKTVSLSPFKGAAMPARKLAGNVIEQSAGKLKPYIKTFLTSSLTVDSSSSVAQIDHHGIILDVYQCTPKTHDVVVPYITGELLADEADIRSKAVELLGELFSLPGPSTLESLEPIFPVFLDRLTDTVVEVRISVIRSLKKFLISNHSHPEAPKIIRKAFFCFTWLLGWCFLAEALCDRLFDHDENVRKEVVAALCDVACHSLGAIPVDIIKIVADHVHNKSVSLALKWYTMERLTNIYKLYCVKYPDGSMNSYDFEWIPGKILKCLYKDFRPESVESILCDSLFPPEFPTKCRVKHWVTAVSQFDKVEMKAFKQILLRKQRLQQEMLKYMSHRMSSQVFVELRCIANSLSDNFFTSLIPLLTQEDDPDLQKITLQCFRSMSLLFSDPEKCEESMIILHQLKDTNIWKIFSGLLDCSTTFKKAWSLRVDLLNILGEKHALYDFVSILAMRCSYSIVNKEYAKDLLLEACDQKSAGNTKLISSCMDLLTAISRFFPSLLYGLEVDIIELLMDLKEDNAGNGDNVSGLISNEFGDSRKYKPKKHQMNAKDRSDEHKINFYEWTTEGLNSNDELLVPFLNMDKTKTSFERELSDVKGIDESKDTELLKSAAVDTSMTTSDSGSLNEPRKRHKPKKICGLAKVLYDDGVTKVLNLATKKWNMIEDNDSSMKLSNTQDACDQSSSSVVKVHEWKLQAPHMRAIINEAMTAQDLADHLTLPIPPRIKKGRLFA >Et_1A_004528.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12951482:12951523:-1 gene:Et_1A_004528 transcript:Et_1A_004528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QLNMNGTKANYHS >Et_4B_039674.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26168100:26168318:-1 gene:Et_4B_039674 transcript:Et_4B_039674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNPLPGKFVLAVHADAAVATAIELPRCYVRGTGSCTRLLAVTDGRLSLVVAVPEVVSTLLPKGWSRAGRG >Et_2B_019031.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25956399:25957626:1 gene:Et_2B_019031 transcript:Et_2B_019031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRNASTSKSTIAFKQELLKNLLMSLRARTDACRFSAMSLQERKRAVKASADVAMAAARGAGARWPKAILSAAGTTSSNQSCKARSSRCRRVVRRCFREKRGGGSAAALAGSAAASSVDVARRLVRRRTMALRKVIPGGDAAMDEASLLREAMDYVVHLRAQVDVLRRVSEAVQRSSLLRYVTMQPVAPMQVYPYCRLILS >Et_7B_053758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1258233:1262364:1 gene:Et_7B_053758 transcript:Et_7B_053758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGVTPRPPSFKVQALYELCKRTFPPPSAAGASSSPPPAPAIRSISSLMDTITPADVGLRDDNIEDDRGLGFFESTFLKSSARAARWAQPITYLHVYECDAFSIGIFCLPTSAVIPLHDHPGMTVLSKILYGSMHVKSYDWIEPTVIANSQPARLAKLHKDDVLTSSCPTSVLYPQSGGNLHCFTSIASCAVLDVLAPPYSEDNSRICTYFHDYPFSSLSADGQTDEVDSPDNYAWIEVINTPVHINMRPGMYSGPGVQVLPELYLSPLLLTITNLPKNQAYGFVVSRSISHKQ >Et_7A_053057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5954658:5960186:1 gene:Et_7A_053057 transcript:Et_7A_053057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSRSRPFPKLSSPSHLVPLDISSSWCCTPHGLGGHAPCSTSSASSSPARAGVDAMDPPPHLPPASSSSSASAYATPPQPSAYAPPPPSYPASYTRFNSALNAGLLNPMSPPPLPLDKTRSSPTLFDMMANEQDYHPRTAASVHSIPAPHPPPHQHPLQPARSMDRQVLLQDRIADLIGSCSPGNQFNDADSSDVRLTLTSRDGLSVTLCVHRHILVAHSRFFAAKLSDRWSKQQRTLPHIVEISDCDDVEVYAETLRLMYCKDLRRRLMREDVNKVLGILKVSAAIVFDAGVLSCLEYLEAAPWAEDDDEKVAALLTQLHLENSGAGEVLKRVSLELAPSAAVEEAELAGSVGAGGGEEVLLRLLQVVLEGKDEKARREMKGLVSKMLRENIASHGGAIGGDLRKESLYSACDGCFNLLREQFVRVAGGDKSEVAQIARQADNLHWMLDILVERQIAEEFLRTWAMQTELAEMHGKVPAIHRYEVSRVTARLFVGVGKGQILVSKEARCQLLSTWLEPFYEDFGWMRRACKGLDRHLIEDGLANTILTLPLPMQQEIFLAWFNRFLNSGEDCPNIQRGFESHKSHRSHSTQSQRVPVTYTSPPPYPETTIFPIKPPATLNAISINGSPVAVGSPGPPPSSSRRRRGPSGSASVEVPFRGPSSAKGLKRANPRSNIHPKSRSARPWRCRTTCSRSSSAASRCVCKAWRDVIDARRLLRADLLPHSVRGIFLEYQLLDDQVFLAHPSMGPAIDGKLDFLLDPAQSGFATVLDQCNGLVLYRDHSGLLVLNPATQRWARLPPPPCTEFVLGLVVEFMLDRIARIVFDPAESPHYEIFLVPDNPDREEKVIRCRQAGNTSSVSIISGQTPLPQVISSTAEDIEEPDDSVPVDRLMEWPASTCIFRVFSSRTGRWGERVFAREGEAAGIADMTSQEYDSSWKGYAVYWRDVLFSMQRGRVYYETEWALRHQINMKPILCVLDNAEQTEGPWILLDGKNNEDDNPAVQEKNLNGTQTTMMLSKLTLKLDKDTMDI >Et_1A_009150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3179050:3181614:-1 gene:Et_1A_009150 transcript:Et_1A_009150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAAEDDSDSPPSLMSEDDPGGGGGERWEPDLRSGNGGGGRWAPPDQVLENVLESVLEFLTAARDRNAASLVCRSWYRAEAQTRRELFIGNCYAVSPRRAVERFGGVRAVVLKGKPRFADFSLVPYGWGAYVSPWVAALGPAYPRLERICLKRMTVSDNDLALVAKSFPLFKELSLVCCDGFSTLGLADIAERCRHLRVLDLIEDYLEDEEDEMVDWISKFPDSNTSLESLVFDCVGVPFNFNALEALVARSPALRRLRVNHHVSVEQLRRLMARAPQLTHLGTGAFRSEAGPDGAPALSVSELATSFAASKSLVCLSGFREFNSEYLPAIYQVSAKLTSLNFSFASLTAEELKPIVLDTVGDEGLKAVAETCSDLRELRVFPLDATEDSEGSVSDVGLQAISEGCPKLESILYFCQRMTNDAVVAMSKNCPELVVFRLCIMGRHRPDRITGEPMDDGFGAIVMNCKKLTRLSVSGLLTDKAFAYIGKYGKLIKTLSVAFAGNSDMSLQYVFEGCTKLQKLEVRDSPFGDKGLLSGLDYFYNMRFLWMNSCRLTMRGCTEVAQQMQNLVVEVIKDHSDDEGESETVDKLYLYRSLEGPRNDAPSFVNIL >Et_1A_006519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23630140:23635644:1 gene:Et_1A_006519 transcript:Et_1A_006519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVRNAVLALAGALIVAVGATKIVGAPPPAGAAPKVPALFAFGDSVVDTGNNNYITTFTRSNFEPYGRDFPGHEPTGRFTDGRISMDFLASALGLKEILPPYLDKNLTLEDLKTGVCFASAGSGYDNATCTMSLAMTVEQQLHLFVEYKAKVGSIPDRALYLVCWGSNDILQYFTFSDGKTEADYANFMAQRASTFIQTLIHLGARQIAMTGVPPVGCMPAQRLIAGGLRRQCASDRNQLAQLYNRKLSQEVAWLAGRFPEVNLVYIDLYAILDDIVRRYLELGFKNNKEACCGLIGLESGVLCNFASPVCEDPAHASLPEFLIAALLVLVGAIVAAAAATGAGVTGAAPPLRAPRLGAPPVPAPRLGKPSPAPSPRLPPPRLGKPAPTPAPRLPPRLGKPIPVPPIIDPPPRVRAPAPAPRSPPQLGKPIPVPPVIPPRVRALAPAPAPRPPPKLGKPLPAPPVVPPRVRAPAPAPRPPPKLGKPLPAPPVVPPRVRAPAPAPVPRFPPRLGKPAPTPAPRPPPRLGDPLPTPRSQAGAPPPLSPPPVAPGGPKVPALIAFGDSIVDTGNNNHLLTVVRANFPPYGKDFPDHRPTGRFCDGKITVDFLASALGLKEFLPPYLHHNLTLEDLKTGVSFASAASGYNNNTCLTSSSLTMEKQLQLFREYKVKVGTVPDRALYIVVSGSNDIVQHFTWADGLTEPEYADIMAQRAITFVESLIAEGARQIAVAGAPPVGCIPSQRRIAGGLRTQCATDRNQLALMFNRKLSVELSRLAGRNRGVNIFFVDMYSILADLVQRYEALGFTNGKDACCGFIGLAAGPLCNIASRLCPDPAKYVFWDSYHPSERAYKLMIDDFMTRYMRYIH >Et_1B_012306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30770613:30775906:1 gene:Et_1B_012306 transcript:Et_1B_012306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAALTAGLLLALAAAPAGADTDSADAAALVNLYTSWNSPSQLTGWSAGGGDPCGVGWQGVTCTGAGVTEIKLPGIGLDGTLGYELSSLFSLKKLDLSNNNLHGSIPYQLPPNLTYLNLASNNFSSNLPYSISNMASIEYLNLSRNLLSQEMGELFGSLNSLSELDVSFNKLTGNLPKSMGSLSNLSSLYMQNNQFTGVVNVLSGLSLTTLNIANNNFSGWIPQEFSSIPDLTLVGNSFTNGPAPPPPPFMPPPPRRPRNRPKLPQGQGDAPKGSGSPDQGGKKQGLGTGLLVGIVAGSIVAVLCAVFLLVCCIRNVRKRKDDASSESKDFVGPLTVNIERASSREIPERSPDNTSMAAVKLPLPEKMTPERVYGKNGSMKKTKVPVTATSYTVASLQVATSSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSAALSLQEEDNFLEAVSSMSRLRHPNIVPLTGYCVEHGQRLLVYEYIGNGTLHDMLHFSDEMSRKLTWNIRVRIALGTARALECACHLLSIETLSLQTSYLMKSIIHTSQIVSTEVVGSFGYSAPEFAMSGIYTVKSDVYSFGVVMLELLTGRKPLDSSRERSEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLMQRASIVRRQSGEELGFSYRAPEREGDLRDISF >Et_2A_018612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34988319:34989207:-1 gene:Et_2A_018612 transcript:Et_2A_018612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNAGKNIPLSKQVGYFQATKAEMESKVGPHVVRDLLSKSFFLVGVGSNDLFAFTTALAKQNKTAAQSDVAALLGSLISNYSATITELYKLGARKFGIINVGPVGCIPRVRVLSPTGACSDGLNQLAVGLDGGLKASLAGLAGKLPGLAYSIADSYNLTQATLGDPMAAGFASTDSACCGSGRLGAQGECFAPTATLCPNRSRYIFWDSVHTTQRAAMIAARTFYDGPPAFTTPINFKNLAHHHT >Et_1B_009880.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6204726:6205080:-1 gene:Et_1B_009880 transcript:Et_1B_009880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQRRLRSDVGPATPMARHPADDTRRTQHLILPPRSASSRRSRRNPCSRKKVPPPRRLTIRRVRGGGGHRGSEGREG >Et_8A_057322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23609905:23616032:-1 gene:Et_8A_057322 transcript:Et_8A_057322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGRKPGKKSAAPAPATAPEEATSPEQSPSSPSSEATDSPADQAEDEAPATAAAAPAVPEPPPPPPPPSEPPQKPSTDAAPSRLTDITYTKPKVGAVYGRVKLKFKSKAAEPLPQQSSSEAQAPKDDAGKPGNPAVPEAINEVATEKATVVKDGPPADGQASELSGSDKEKPVRKIGAIKIKSSGLSSSSVENSTPDRKAASEIEPPPSNQETVSENKETDDTSELRSSQESEEKQSTPERQRDDKELTAALEAIKKVMKMDAAEPFNVPVDPVALGIPDYFDIIDNPMDFGTICQNLEHGNKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKGFMKNWLAAGLYSDMSESGGNDNMGDDDAKGHSKSKSKNKKRRPGNDRHKNDCVCAVCQVTRRKKEKDEILAVIDNDTTAMNSNNSDQHNLEEEHTPSQAYDNSGVDHHQKAQMESSPEVEMEDYPVQQENPSFMQLCASLFPSNQSSIFRGRHSLFRRQRRVPLKESPLHAAMAAIMKQPLLRKGY >Et_5A_040114.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:10925527:10926012:1 gene:Et_5A_040114 transcript:Et_5A_040114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPKFPLNRREFTTGRVQKPKPNRSGSKAKQTQVKRESLFAKHTVLCYPGGEYLENDSFPTRKRTVPSVRIKTSPPVSFASPTPLLPHPLIASHCGLWFVLASLASPHLTSLAHRRSASPVRGRMEQTFIMIKPDGVQRGLVSGNPICFSCVSVNIIRGF >Et_2A_016461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2576007:2577161:1 gene:Et_2A_016461 transcript:Et_2A_016461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSKADGGGSSRPPQAPRPPADAAGASRTTTPRQQVKVKHIVTTEVSTDAASFKDVVQSLTGKDSGAARAAAVAAGGSSSSWSNDAARDDNNHNRGVTSGVDTVAGVLPSEEEMKWFWHGGSPHFNAQQKGAHPTRPLFEAGL >Et_10B_002569.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:16330768:16331001:1 gene:Et_10B_002569 transcript:Et_10B_002569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLACFVVPERKVLSCKQQEAGDTKKLKEAAVEESSKASEKEVKAAEKEKIKKGKERGAPLVVSHFPQRSAPGLL >Et_10B_003183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17339221:17342011:-1 gene:Et_10B_003183 transcript:Et_10B_003183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLFQSPPLSLQFAAAASRRATSAAASVAMRSSTSSSLGAFDPAAFEAERLRLDAAARAEMASAAEAGAADPRAWKWAIRKRVWDALEADGVARDPRPVHHRIPNFDGAAAAAESLGRLDVFQSAQCVKVNPDSPQKQVRFLTLSGDKKLLTPQPRLRTGFFSVLESSMIPAGCIPEACTSVGAAKYGRPIGLDERVKVDLIVIGSVAVDPNSGARLGKGEGFAELEYGMLRYMGAIDDSTMIVTSVHDKQLVDDIPDEKLLVHDVPVDIICTPTQVIFTNTTIPKPQGIYWEKLSPEKLGQIWILRELKRRIEEETGTILPCGPSEQLPPTAQRRRRRWQRKR >Et_6A_048069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:564345:565339:-1 gene:Et_6A_048069 transcript:Et_6A_048069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNWYNNENSQGALQVTNLCPFCLSEEKGSMGSETEKTTVEVEGITFPSEIASGKPLTLLAHGLTDIEIHILQIKFNAIGIYLEKNDLLLEHLQKWKGNKAEELAEDDGFYQALVSAPVEKLFRVVVIKEIKGSQYGVQLESSVRDRLVAVDKYEDDEEEALEKVTEFFQSKYFKPNSVISFATEGKEEVKITVENENVAGMIQKWYLGGSSAVSPTTVRSLADHFAPLLST >Et_5A_040220.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:23707806:23708638:1 gene:Et_5A_040220 transcript:Et_5A_040220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVVGRSHGHGSEFGERRLPFRRQVDELVHGEVFTGLVAKLYLDVLDARATFEKPEADAHVAVVLAVHVQLEQGETANTCEVMDVQVSRTTTIVLHVDNLLQFGRHDS >Et_4A_034007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29000644:29004364:-1 gene:Et_4A_034007 transcript:Et_4A_034007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRSDGRGGGRSAKRRRQHLYLVFDDWKWGHSVRKVDLSSDTDSRGALLQMPRAIFCMEAQHEYSQYITAAFGSKIIPMPIKSAMPPSTDGSGSLHFFDVRQRCLTLGPLPMVDLVLHLPSVDEPDSSCQEEPCSEWKKQGDWALPFTGRAHFDPNLKAWVGIRGDLNSIRYICACDVVSAKSDDGGVMCPSWKVSKETLFSKDPGEMHIVANLVFMGSNKFCLVESISINNGESPPSYISERCQFRVVTFSLEYDKNGDLTTANNHRVQYYQVPETALGYSLLEPVAFW >Et_3A_025414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29766724:29768073:1 gene:Et_3A_025414 transcript:Et_3A_025414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKKSSAQHQTHAMEPKGKKSSSRGAAAAAAAAETESPLSSLFNPPAQGANGKDQDLYRILYKGQSGSGQAGMADGKSQWGPSKSRAAYNKDSKHSQTYDSVDTSCFGSSVHYGGREYYGSSTNMQTTEASNEVDKKDPATDSHGDWWQ >Et_2B_021351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28907994:28912945:-1 gene:Et_2B_021351 transcript:Et_2B_021351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLRLLLSHTRRHPQPHRLLPLFHFSSDANSNSAPPPPPPIKPVSYAPKPKPPPDESAASPPAPEYGPGSQDPLPRRPPQQQQPQRQWTRQEVRFVKDAAPAISPVSYPSRVAPLPEDRPAAEEGAEAEDGLRGESQRIELDAARTRSIFGFPVEEEQLPYPTLISVEKRPQKVAIDLVDAIRQVKTSATEKKRNFIETVEAHVMLGVDPRRGDQMVRGAITLPHGTGKTVRVAVFAEGPAAEEARAAGADVVGGDELIEEIRTGGGKLSFDKCIATPMYMPRLSKVARILGPRGLMPNPKLGSVTNDVSAAVKAAKSGRVDFKIDKTAIVHVGLGKVNFSDESLRENIGAFVHALLLAKPVGLKKTSKYVGYVKKFTLSSTMGPGFPVTIPSLSVAADHYNSKVQVS >Et_7A_052007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3586213:3593303:1 gene:Et_7A_052007 transcript:Et_7A_052007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPVRHMPSMIGRNGVAAYGSSSALSLGQPNLLDNQQLQQALQQQHLLDQIPATTAESGDNMMRSRGSEPLGDEFDSKSGSENVDGVSVDDQDPNQRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERQENSQLRAENEKLRAENIRYREALSSASCPNCGGPAALGEMSFDEHHLRVENARLRDEIDRISAIAAKYVGKPMVSFPVLSSPLAAARAGVASPLDLGAVSAAYGAGAGDIFAGGAGELLRGAAVQSDADKPMIVELAVVAMEELLRMAQVDAPLWNAPGIDGSGETLNEDEYARMFPRGIGPKQYELKSEASRDSAVVIMTHANLVEILMDVNQYATVFSSIVSRAATLEVLSTGVAGNYNGALQVMSVEFQVPSPLVPTRESYFVRYCKQNSDGTWAVVDVSLDSLRPSSVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSVHNIYKLLVNSGLAFGARRWVGTLDRQCERLASVMASNIPTSDIGVITSTEGRKSMLKLAERMVMSFCGGVTVSAAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDESSRSEWDILSNGGIVQEMAHIANGRDHGNCVSLLRVNSTNSNQSNMLILQESCTDSSGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPAGGSMQGDGGVGTGGSLLTVAFQILVDSAPTAKLSLGSVATVNSLIACTVDRIKAAVSGESNNPQRPELSRGRRHLGRCRPWGAALGRGAAMEELLRMAQVDAPLWNAARR >Et_3B_028979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21046392:21048117:1 gene:Et_3B_028979 transcript:Et_3B_028979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEECSAPRVEVLAPGTYRGVVLLLGAPITVMLDTGTSIERYLLVPFFALQAPSSGISWIKGEYGRWTALFGLLMSLLCLIPGELQLLVSTMLLIILGPDQFMNLRGSQGGGVLSVAIVVYLMFQHFAGVGGLRKAFGRETIITSLCIICMTVITLILVH >Et_10A_001444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3344233:3352340:-1 gene:Et_10A_001444 transcript:Et_10A_001444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSDTITALRAAVDGDLRLLKEMASKVDLGAVKDGNGKNVLHFAAGRGRLECCKFLVDDYGLDVNSLSTEGETLTLLAAFSGSVPVLKYILDRSGDPAIATSRGSTLLHDAAELGAARLLLSKGVPVDPLNHRGTPLHLAVAKSQDGTAKILLEHGTDPNRVIHHVFSPLVMACCAPSLKCMKLLAGADVNFKSSSGPALMMAVDDELTDIVKFLLDVGADPNIADHHGRIPIMKAAGSGHRELVEILFPRTKPIPSVPDWSVDGIIGTVKYVPMNAQDAVLAKEHIADAKSRGKEEFAKGGYLAAIYFYGLAMEEDPLDATLFANRSLCWLRMNEGDLALEDAQQCKLMRPNWSRAWYREGKALSLLKNYKGAADAFVEARKLDPESVEIKTALREAMEAMKIEARSEEQKPRAATPFDMFSQKAKEVNLREAKDDRGRNALHFAAAMGRLGTCEFLVDEVGIGANSLSGDGETPVLLAAAEGKVPVLAFLLGRGGDPAKPDSGGATPLHEAAEHGYDEAVRLLLSKGVDVDPLNYKGTPLHLAANKDQEQVVKVLLEHGANPNTVVNHVFTPLMTACCGHSLRCVKLLVQGGADVNFKTPRGPTALLMAVDEGLIDIVKFLIEAGADPNIADNRGRIPIIYAAAYKQREIVETLFPRTKPISSLPHWSVDGIITATEKIPLQDKVTYSLKEHIDFLKSQGKQAYAKQDYLQAIYFYTQAIEEDPHDDTLFATRSLCWLQMRDGDRALLDAQKCKTMQPRWSMAWYREGMALSLMKVQWTPDNTKQHRPNYREAANSFVQALKCDQHCESTTSASDMINKALREAIDAMKSGARTERQNPASGSAATVDISHDNNSPEHSMERLHNEQAQLMLQGLNKLDLLKKETK >Et_4B_037099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14681598:14687932:1 gene:Et_4B_037099 transcript:Et_4B_037099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVAAAAAPPPISSPPPSLPCPPSCPRYIPPPLLATASPAGRRLAAPPPLLPTPPACRQPPLPASASNPSRAAASGSWIRDKAARRADIAPPKPLIQPKIPGRASLSDSWVLDKAQPAPSSGSSKDGCGKRSCPPSAFKYGKKPRFLNVIPISQVNVDGAYSPDKGKAGVGVVVRDPAVDFWFSKRYQRTCVAIIVDEHVLLSSWRALLFCCASPEKAEAEVCREGIRLATEWIRRKAIIENDCSMMAINLNNPAEDCLFPCFVIEEAKMISKSVLDVKFLAVRREQNSIAHELTQLPTLLCGEDKFLVVLSE >Et_7A_052401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7655035:7655312:-1 gene:Et_7A_052401 transcript:Et_7A_052401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVALPEFPRYSMCVVLPDGREARDGPWGLAEKPGLRARASDTLLTREANMLDMTHENDGSGETLFVNETCTGKPSRTVRGPMVRSFA >Et_2B_019630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11415557:11418052:-1 gene:Et_2B_019630 transcript:Et_2B_019630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARGLLKVVVAHGRNLAVRDFTSSDPYVIVRVGDTTAKTKVINSCLNPVWNEEMAFSMKEPSGVIKFEVFDWDRFKYDDKMGHAFLDLQPVVAATKLRRALRLTAGETKLRKVVPDDDNCLLSDTFVTYNDGEISLDARLRLREVESGELFVTVKWIEADNAK >Et_4B_036371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20568239:20568874:-1 gene:Et_4B_036371 transcript:Et_4B_036371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMRVGPDGGEATMLVTEAEGVPLSFTNGVDIDQVTGDHEMVTKTGDSTGRILNADRTHLIVASTGPCKLLKHWIRGPNAGKLELFADLPGYPDNVRPDLKAIRIGAKGEKLQDMRGPKNVRPTEVVEREGGKLFLGSVELDYVSIVST >Et_2B_019714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12932891:12935907:-1 gene:Et_2B_019714 transcript:Et_2B_019714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCDSMEDPTKMLICDSCEGAFHLSCCKPRVKKIPQEQWYCQVCSRKKPKRQRGKLDPKHEPPKAIQRPHRGLGTFQDMLVDSEPYESDVRIGTYFQADVPEWSGPIPSNEDQFVEPSELDPSETTMMGRLQLFKDKKSSFGNWIQCREVLDTGTVCGKWRRAPLFVLQSSNWDCSCSVIWDPIHADCAVPQELESAEVLKQLKYINQLKDRLGASNKKR >Et_1B_013774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1656740:1658995:-1 gene:Et_1B_013774 transcript:Et_1B_013774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSSKQFKHAILKNLLLGLRKRGVASRDMSFHERKSAVRHAADAALAAARGTAPCWSRSLAAELSQGHLRPAAITSTAECRTRRMMCKRISRRRRSLRARPKSKATAKAAGVVTRAMVMKRTRALRGIVPGGRGMDECTLLGETLDYAISLKAQVETMQLLVKALQAPKNTVVIGQNNIHRMGMGRD >Et_6A_046082.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:22229613:22231001:1 gene:Et_6A_046082 transcript:Et_6A_046082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRFIEFRGFAAGDPTASRRQASILLQQEANFTDSKNATTATTISVSGYTMAVSFWMAKPPDLSSFSVHCSRPPGSYSKIPDFKVTPHVVGEEGPFVLLCARFYICSRDEYFMYKADEGGAPSLECMALADDDDPHSLSMVRDFGIMQRSAPDDDNHGSLHYHLVALCDAPPGYKLRMYSSETKTWSTKTLLNGCPGIKTFVPEKVLTLGAGRLGWVDFSCGLLVLDLGEDPPSVHLIPLPAPLPENKGRLKNTSQSGVSARWFCDLTFVNGMLQFIEIEHRFQGTELQADPSDSQFLDDSDLMRTLDDKPRDSWRAVTWNRTICSKRWSKGCIFYAADILIGDSILPSSLCETWAESINSRYTAFPTLSLDDENIVYLKSMVEPSDPNGWLVTIDLKYMTMAELGVYSFEHPDPSMHTFRPCTLSNHQNMSPGNGSPAIIHSACASVPNRPYVLLETLEH >Et_9B_064462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15435589:15441381:1 gene:Et_9B_064462 transcript:Et_9B_064462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLILVLAVGLLGYPAEAPMQLTFERLGTKIMSLACLVCHGMNSPSHSLRSYSVSSSEEENRCGAVVACLARRVTPAVTSTSVGTSKVTPFPPMVSGQGTDGTPRLQRSRAVSRDLVRDWNFDENIHLTPGGNSFHKIRITNYAAINTNNGSPLLPSAKGTIKNGALTSAIGAGVSKSGSPAARPMTGTPARRSAVAWSVMAMVLDGRSALTRGLMDVSTASARTTTVRDCIARFPRRDSHALRNHETSRLSPMGTVHGRRQREMDRQRARERRRRKDRSREDAHLGKETELVAEDLVGTVKDGEDEGVVREEEAIGEVGLAFLAAGELLRGGL >Et_3B_027639.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:9157814:9159025:-1 gene:Et_3B_027639 transcript:Et_3B_027639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSRTKSAFRQFHGCDGDSCLSPTETWTLHNVLRGGARTRLCSSCLLLSHRAYYCCYCLLLVPDALPSSRSGDADNQLQVAPPGPTATCQVCGEAVAHLACLHHPSCVSFVCPACSASSEGRPFTYGPPCGAPLDERGSKVLLLGARLALALLQHSAGTAREEAVSRAQNAAEAKRKAQRAVAAAMELHAEEEEPPVEPWSDNVQTPVAVMALPAPPSGRRNRLDLGESSSSGRTSRVTGVPRVPQQPRHRHAMQAPPPPAQQRREARSPPAQPRRDAMPPPAQQRRDAMDGVAPPPAQPQRSAVAPPPAQHPQLHALPPLAALTIGSRDVTQLARAATEAARCSLPPLPLFGHPGASSSRASPSRATPPTLQLFPDWASSSSRATPRTLQLFQDSDDEEM >Et_5A_041567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24576527:24579118:1 gene:Et_5A_041567 transcript:Et_5A_041567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQGILLALLATVAVACLIPAASAATAVEYCKKGKNYPVKVSGVEIVPDPVAPGQPATFKVKASTDKPIKGGKLVIDVKYWVIWAIPVHSETHDICQETSCPATGDFVIAHSQTLPSYTPPGSYTITMTVKGENDEELSCISFGFSIGFAASS >Et_1B_011799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26130773:26133022:1 gene:Et_1B_011799 transcript:Et_1B_011799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTSSSAASASAVFTPTPQPQTPPPPLLVENLPPLNQLAPVAAAAPASEQLCYVHCHYCDTVLVVSVPTSSLFKTVTVRCGHCSSLLTPVSSVSNNNGQFNFPPSLNLQAPNPPHHHHSILDEISSVNNPSLQLLEQHGLGGLIHGARNAAAPPPLQQLPPPAGKGAKEPTPRTNAAVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDHHGLKKTSLLPQVIKWSNQVNALFVSI >Et_6A_047624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8701752:8703080:1 gene:Et_6A_047624 transcript:Et_6A_047624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAWKRLGREKDREVGIATSGVEFEVAASLVEPPLFPPMQHPKIHWVGLVITPPPRFMSTAAFRASGSAVTAPVRPRFVTPRSFFNWGKGGGAEGADTPPQLQFQYHDDVELPFPMSLVSNTHLRDRELKCCYKATVDGFSASDFHRRCDFKVVVGETAAGFRFGGFSPEGYRSTDDYYDTLDAFLFYWPADAPPSSNLPVVLPKVGGSGAALFDYARGGPQFGADGLLLGPPLTAVMGVFTGPDARAGVGDLRGARSRLGLSYARRADGKESLFGDDTRAELSEVLVFCSPQIASLY >Et_2B_021085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26352974:26360483:1 gene:Et_2B_021085 transcript:Et_2B_021085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFEPFRAIGYITSGGVPFSVQRLGAETFVTVSVGKAFHVYNCAKLNLVLAGPQLPKKIRALASYKDYTFASYGSDIAVFKRTDQVVTWSGHDEKVNILYLFGEYVLSADIKGNVFIWAFKGAEPNSEPVGSISLGDKFTPSCIMHPDTYLNKVIFGSEEGPFQLWNISTKKKIYEFKGWNSSIRCCVSSPALDVVAVGCSDGTVHVHNIRYDEELMSFNHQIRGAVIALSFRTDGQPLLASGGSSGVISIWNLEKRRLHSVIRDAHDGSIVSLHFFANEPILMSSAADNSIKMWIFDSNDGDARLLRFRSGHSAPPRCIRFYGNGRCVLSAGQDRAFRLFSVVQDQQSRELSQRHVSKRAKKLRVKEEEIKLKPVITFDCAEIRARDWCNVVTCHMDTPQAYVWRLQNFVIGEHILTPSSGTATPIKACVISACGNFTTLGTEGGWIEKFNLQSGISRGSYIDTSLQCAHDGEVVGLACDATNGSLISAGYHGDIKVWDFKNCKLKSRLDVGKSVTKISYHRANGLLATVADDMVLILFDTVSMKMVRRFEGHTDRITDLCFSEDGKWLISSSMDGTLRIWDISLAKQIDAMRVDVSITSLSMSPNMDVLATTHVDQNGVVNQTLFSASTNVDSYASGKHVRNVRLPTVSSTEISEEEQVHNSGDSKQSNIKPFVVMNHQIPNLITLSLLPKSQWQSLINLDIIKVRNKPIEPPKKPEKAPFFLPSVPSLSGEILFEPPASSKETDSSSTMNTSHKKMADLSSHFTQLLQSCGETKNYSTFTDYLKGLSPSSLDMELRMLQIIDDDDSENMEDKPELQSISLLLDYFIHELSCRNNFEFVQAVLKLFLKIHGETMRRYSVLQGKVKKLLEVQSLVWQKVDKMFQSARCMVTFLSNSQF >Et_3B_030029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:373798:379520:-1 gene:Et_3B_030029 transcript:Et_3B_030029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TGNERSEAVLLEASTPYSIPSQQLAAAEVGDRPSSERLGRGPKAGKIREAGGTKRRASDSSPSSARAGRRRKEAPPPREMGVDYYKVLGVDRGAGDDELKKAYRKLAMRWHPDKNPTNKKEAEAKFKQISEAYDVLSDPQKRTIYDQLGEEGLKGQPPPGGAGPGASSFYPGGGHSTSFHFNPRSADDIFAEFFGFSGMGGMRAEPGFQRSTFGNDFFGSRFGGEGSTSSVNMQRPLHKPPPIENQLPVSLSDLYKGVTKKMKISRQIIDASGRVSQLEEILTINVKPGWKKGTKITFPEKGNEAPNMTPADIVFIIEEKPHEVFTREGNDLVLTEKISLVEALTGYTARVATLDGRSLSVPINSVIHPSYEEVVLGEGMPIPKDPTKKGNLRIKFNIKFPSRLTSDQKAGIKRLLGSCYGRTGYLVVKMVEQPNEE >Et_2B_020448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20317932:20319680:1 gene:Et_2B_020448 transcript:Et_2B_020448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGCLHRLLVNKLCFGLVALLVVPILVLLLEGAPILTILSSTPEQLRILSQGFLQQEQEHPGHDVTRSHTKSRTKLNKDCNFAKGKWVADEKRPLYSGNECKQWLSKMWACRMMKRADFSYESFRWQPHGCEMPEFTGPNFLERMKHKTLAFVGDSLGRQQFQSIMCIATGGTYSPEVEDVGWKYGLVKAPGALRPDGWAYRFPATNTTVLFYWSASLCELEPLNTGSSAASFALHLDRPVTFLKRYLNCFDVLVLNTGHHWNRGKFNGNHWELYADGKPVGEGRLADLNRAKNLTLHSIARWVDSEIAQRPQMKAFLRTMSPRHFVNGDWNTGGTCGNTTPMSHESEVLQDHSSDLPAESAVNGTRVKLLDITAISQLRDEGHISNSTLKKSTGIHDCLHWCLPGIPDMWNELLFAQI >Et_1B_013707.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:13412466:13413059:1 gene:Et_1B_013707 transcript:Et_1B_013707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETGKSTDPSPSAATAASVSSVLGNDDLFRLIILRLDFPTSLVRTAAVSKRWLRHASDPAFLRAFRRLHPPRVLCLYAVTDARPVQFVPVPLPPELASIVHRGSFRQVRDVSKIFDCRHGRLLVFDICRGNPYVMCSPLHRDRGLAALPLPCPTSLVTPSILKCSSIPSQPKVVSWIKECCSRRRMLTTCRALRYP >Et_8A_057560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4485584:4491307:-1 gene:Et_8A_057560 transcript:Et_8A_057560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVRVIGARNLRAMDSNGFSDPYVKLQLGKQRFKTKVIEMNLNPTWDQEFSFLVGDGKDVLKLDVYDEDILSMDDFLGQVKVPLEDVLAAENFSLGTRWYQLLPKGKTDKAVDCGEICVAVSLETAGATRSLSDDLVAELTVKRDYSLSSQISGASVALDYQESETSKEDNDEGHVDAGGIPAKDNCSEGTDRNQAAAEDKSNAIPNVTLNGIGASKTEKCDKPSFVDRVCEIFTKKTGDAAPTSLSNTEATEEVQEETKTIEDPVIQNNVVPPDTPFDELLKSFESRHEGVEMPVNLHGILINQSYLASPSDLNDLLFSPDSNFRQTVVEFQGCTDFRTEPWRVDNGGESLTRVITYTTAPSKLVKAVRATEEQSYLKANGKEYAVLLSVSTPDVPCGTYFRTEILFRIMPGMIENGARQGLEQNYSQFSELLSQKIKPIDAEDAEPDKEQVLASLQGEQESVWKIAFLYFCNFGVLSSLFVTLYTIVHVCRVNSSVVQGLEFPGLDLPDSLGEIIMGGLLFLQMQLIFKKIRSFVQAKEQKAGDHGVKAQGDGWLLTVALIEGIKLAPVDATGLSDPYVVFTCNGKTKTSSIKFQTLEPQWNEIFEFDAMNDPPSVMSVHVYDFDGPFDEVTSLGHAEINFVKSNLSELADVWIPLQGNLAQSWQSKLHLRIFLKNSKGTGMVTEYLSKVEKEVGKKMTLRSPRTNTAFQELFSLPAEEFLISNFTCYLKRKLPTQGHLFLSPRIIGFYSSMFGRKTKFFFLWEDIEDIQAVPPSLSSWSPSLVITLHRGRGMDAKHGAKSVENGKLKFLLQSFASFSVANRTIMALWKARSLSTEYKVQIAEEQSQTNTLQSEDSGIFVGVEDVKGLQMAEVFSSTISTTITSLMELFEGGSLEMKVMEKVGCLKYSATQWESDKPDEYQRQIHYKFSRKLSPVGGEVTGSQLKSPMPNKKGWIIEEVMELQGVLLGDFFTLHIRYQIEDLAPKQKACNVHVSLGIEWSKTTRHQKRIEKNVLSSSSTRLKEMFSLASREISHAR >Et_1B_011181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18439069:18439787:-1 gene:Et_1B_011181 transcript:Et_1B_011181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPISTASLIILFLTSLLLLVSSSLKLKSRAKWGARRPPGPWGLPLVGSIHHLFLTSQPQAAFRDLADEHGSVIYLRLGQTDTVVVSSAAAAQEVLQTKDISFASRPRLLGPEIACYGGTDLAFSPYGPYWRALRKVAMLELMGGAKGWTSARKVRQFAPIRNGETMSLVREIRAAAGASR >Et_1A_007415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34233576:34238026:-1 gene:Et_1A_007415 transcript:Et_1A_007415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSKKATSAAAAAVSSNDRGMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSVKDAAAMEMQLNAASSSGMMGRGINDRNHMNEAIRMQMEVQRRLHEQLEVQKHLQIRIEAQGKYMQSILQKAYQTIATGDVVSCPAGYKSLGNQGILDVCSLKDMGPSVGFPSLQDLHMYGGGGHLDLHQQMDRPMESFFACSDGSIGSLGKKRPNPYAAAGKSPMMWGDDEQGKLSEDLQMAPPMIDAGIDVMDSIADVYGDAKSMMSGDSAGSKGGFDGKLERPSPRRPHMGGERMGSPSVMGGQTRNMSYGKKQRTKRGYSTPAQHSLLVHGKC >Et_8B_058847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:184259:185339:1 gene:Et_8B_058847 transcript:Et_8B_058847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFGSPCGACKFLRRKCVKGCVFAPYFCHEQGAAHFAAIHKVFGASNASKLLMHLPISDRCEAAVTMSYEAQARLQDPIYGCVAHIFSLQQQVVLLQAQLESLKAQTTQGYGDGSLTSIPQNGNCERLTPSMQDGQFFVHPTMPSDNSLVKEEIQLYFAKDCFTSESTQYSDGYEQDLCMPDYSSSNPSCTAQRSGYHDIDDLQSVAFAYLNQA >Et_6B_048785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13680860:13690725:1 gene:Et_6B_048785 transcript:Et_6B_048785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLDLSNNSFEGELPHCSSMQTMACLLVGSNSFSGMFPSWIQNFSMVFLDLSSNKLHGTLPRWIGDLASLRFLQLSRNMFCGDIPVTIADLGALQYLNLAANSISGSIPLSWSKLVDMTLNSSVLPLDEYNMYFTYLGDQGPEMLSLVKKHEVIRYGPYGIVGMVGIDLSLNYLTGEVPEEITSLNRLSNLNLSCNQLTGEIPEKIGSMKSLESLDLSRNNLSGQIPSSLSDLTYLSSLDLSYNNLTGRVPSGRQLDTLYTEDPSIYDANSGLCGPPLRRSCSGSNPTESVKQMPRENDPETIIFYIGCGWGFTFGFWGVFFVMLFIKKWRISYYRCLDRAYDRIYVFVVLTWGSITCQLMTTMRETSAKFLVSSLAASSSWQVRCTSQTQRVTTSQRAAYRARALLTFKEGITIDGYNRLASWQRGQDCCRWTGVTCSSLTGHVVNLDLSDAALVGQLSPSLLSVEYLEYLDLRWNSLEGPNLRIPEFLGSMNLRHLDLSSMPFSGTMPSFLGNLSYLEYLDLSYTSFSGSVPPQRGNLSNLQQLGLSYISWLSHLHLLEYLNMSYVNLRAVVDWPHVLDRLPSLQAIKLDGCSLQSANQSRKHQNLTNLVQLHLSYNLFDHQVASCWFWNITNIESLSLFGTYLYGQFPVELGHMTSLKDIAFGKNDNRAKMQVDMKDLCALE >Et_8A_057122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21329512:21331654:-1 gene:Et_8A_057122 transcript:Et_8A_057122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERETPEDAAPATFLRREEGASPDSARARFERMIRRVQAEVCAALEAVEGGSVQFREDAWTRPGGGGGISRVLQGGSVFEKAAVNVSVVYGVMPPEAYRAARPDAAAAAAAGGDKAGPVPFFAAGISSVIHPVNPFVPTMHFNYRYFETEAPKDAPGAPRQWWFGGGTDLTPSYIIEEDVKHFHSVQKQVCDKFDPSFYPKFKKWCDDYFYIKHRGERRGVGGIFFDDLNDYDHETLLHFARDCADSVLPAYIPIIERRKDTPFTEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHKPEVGTEEWKLLDTCINPKEWI >Et_2B_020237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18228392:18232221:-1 gene:Et_2B_020237 transcript:Et_2B_020237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAAAAVAVNGGGDVQKPHHQQTVVGAPHPPAAAVVPPHWVAMPFAPPPGAAAMFAAAHFVPFHAVAAPPPRVAPVAAVALGSPAAQAGQEENKTIWVGDLHYWMDDNYLHSCFGYTGEVVAIKVIRNKQTGQSEGYGFVEFYSHAAAEKVLEGFTGHIMPNTDQPFRLNWASFSMGDRRSDVASDHSIFVGDLASDVNDTTLLEIFSSRYSSVKGAKVVIDANTGRSKGYGFVRFGDDNEKTHAMTEMNGVYCSTRPMRIGPATPRKSSGLELLDQMVHLLDRMEILQTQLKSAEDALQGLNGSTIGKQTVRLSWGRNPANKQFRGDNGNQWNNGMYYAASPFYNGYGYPAPFPDPGMYTAAAYGAYPFYGNQQQVS >Et_2A_017650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4645208:4647549:-1 gene:Et_2A_017650 transcript:Et_2A_017650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWLILSKLPLKEVIRTSILSSKWRSICTFYPKLRFDGITMCSSRSIPGSEQYRKEFIQNVDAVLKEHNGEFVEDFELMFELTDELVTHLDKWVRFAVASQAKNLAFDLVPAEFHGQSDQYLIPNELLDGGRAHRLQNIQLGFVSIKLPSQFSGFPNLRKLDLHFVDITANDLENMLSSCSNLEWLTVVRCHLDDELKVDLPLSHLQYICVAHCRITRIKLIAVKLETFVVRGSLYPFDLTQSLDLKDAHFYVYDSLTLDYALVTLPIVLPSVENLCLQASAPMKTPALLESAFKFSRLKCLQLELFVTYEDAGNILSLASYLRAAPLMEKFEIHFFSEDAVFSVCSVAHSDLDSEPLKSLPRFPYNYLKTLYITGFTACTGQLEFLLHAVENAPMLETLTYEGQAFVFSGVREVSKRHLTGRMSLQPQSFIFSRTL >Et_3B_029798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27965452:27981240:1 gene:Et_3B_029798 transcript:Et_3B_029798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHFVLNTGAKIPSVGLGTWQSDPGVVGNAVYAAVKAGYRHIDCARIYGNEKEIGSALQKLFQEGIVKREDLFITSKLWNDRHAPEDVPEALNESLTDLQLEYLDLYLIHWPFRVKKGTSTSPENFIPPDIPATWGAMEKLYEAGKARAIGVSNFSSKKLGDLLAVARVPPAVDQVECHPCWQQTKLHDFCQSTGVHLTAYSPLGSPGTGWINGNVLKEPVVISIAEKLGKTPAQVALRWNIQMGHSVLPKSVNEERIKQNLDVYDWSIPDDLLTKFTEINQARLVRGNFIVNPQSVYKTHEELWDEMARHFVLNTGAKIPSVGLGTWQADPGVVGNAVYAAVKAGYRHIDCARVYGNEKEIGLALQKLFQEGIVKREDLFITSKLWNDHHAPEDVPEALNESLKDLQLEYLDLYLIHWPFRVKKGTSTSPENFITPDIPATWGAMEKLYHAGKARAIGVSNFSSKKLGDLLAVARVPPAVDQVECHPGWQQTKLHNFCQSTGVHLSAYSPLGSPGTTWMNGNILKEPVVVSIAEKLGKTPAQVALRWNIQMGHSVLPKSTNEERIKQNLDVYDWSIPDDLLAMFSEIKQHQWRGTSCSTPAPRSPRWGSAPGRPTPASSAVLSTPQSSSTCVNRCLFEVLTHLRLTDLLAGLCFRNDHHAPEDVPEALNESLNDLQLEYLDLYLIHWPFRIKKGTKTSPENFVLPDIPATWGAMEKLYDTSKARAIGVSNFSSKKLGDLLAVARVPPAVDQVECHPGWQQTKLHNFCQSTGVHLTAYSPLGSPGTTWMNGNVLKEPVIISIAEKLGKTPAQVALRWNIQMGHSVLPKSVNEERIKQNLDVFDWSIPDDLLAKFSEIKQVRLLEGNYAVNPQSVYKTHEELWDEDVPEALKQSLDDLQLEYLDLYLAYSPLGSPATTWVNRNILQEPILLSVAEKLGKTPAQVALRWNIQMGQSVLPKSVSEERIKQNIEVYDWSIPGDLLEKLSEIEQIKYTAKATDPGEDGTQQLSNGYRTGKLNFNSIKYTIHHHIAPMVGLVCPLDKQNTN >Et_1A_004727.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:34866243:34866331:-1 gene:Et_1A_004727 transcript:Et_1A_004727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding STGCCNVTSLLSCSMHRSHSNFQIMQCSR >Et_3A_025893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33766644:33776103:-1 gene:Et_3A_025893 transcript:Et_3A_025893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RNSGAISVASGRRFPSDTGAFADWVASSSAAAAAGRGDDLSLGFNASAAAAAAAAASGASLGAGLWGPASSAASMRHQAAALNYGMADVGMVVVAPAASFHHTHHHHHHHEAAAAAAAADSIFPLLSAGPCVLDPDAAKSGAPASSAIQFWQSQAPPQSPSSAANPNPSGSPFAYLKKPLPMLDTGGGSGATTCQDCGNQAKKDCSHNRCRTCCKSRGFDCSTHVKSTWVPAARRRERQQLAGSGSASSSPATATTAAVASASKKPRLLSSQTTTSHTSTSNATTPRSFDTTSSHQDASFRDNLPRQVRAPAVFKCVRVTSIDDGEDEYAYQAMVTINGHVFKGFLYDQGVDDGRHASTSNDDSTAGMPNMSELHLGGASASGPGSSGVREGGSSMLPTELYGGGGGGQHMIGGSIPAKLSGSDE >Et_2B_021427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29645098:29649484:1 gene:Et_2B_021427 transcript:Et_2B_021427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGTIVDGFRRWFHRRNGSNATSNQSSAGEGDEGSSDLEVVEDPDLVALRAIRVPKRKMPLPVENHKKNSVEMEFFTEYGEASQYQIQEVIGKGSYGVVAAAVDTRTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFQDIYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRALKYIHAANVFHRDLKPKNILANSDCKLKICDFGLARASFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGRPLFPGKNVVHQLDIITDLLGTPSSETLSRIRNEKARRYLSCMRKKHPVPFSHKFRNADPLALRLLERLLAFDPKDRPTAEEALADPYFASLANVEREPSRQAISKLEFEFERRKLTKDDVRELIYREILEYHPQMLEEYMKGGEQISFLYPSGVDRFKRQFAHLEEHYSKGERGSPLQRKHASLPRERVVVSKNDNNEQHTEDQERTADSVARTTLSPPISQDVGQNGVRSTSLSSRSYLKSASISASKCVVVDGNKHPEDDEIPEEMEEVVDGLSDKVSRMHS >Et_3B_027645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1040418:1041098:-1 gene:Et_3B_027645 transcript:Et_3B_027645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGSLNSHLFSMSSVKLSWELRYRVALGTARGLAYLHEECEDCIVHCDIKPDNILVDEQFCPKIADFGMAKLLGRDFSRVLTTMRGTIGYLAPEWLSGIPITNKADVYSYGMMLLEIISGRRNSEKTVKLNEGDVMCLLDSSLEGNADAEQLNRACKVACWCIQDAEDQRPMTGQVVRMLEGVLDVEVPPIPRLLQNYVAVEDSYSEDLESF >Et_2B_021898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6661940:6662431:-1 gene:Et_2B_021898 transcript:Et_2B_021898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAWGRGSASRALAVAVLLCAATLLTSAPVAEAGTTYIVGDGAGWTRYLESWWLAGKTFRAGDVLVFNYDKAKHDVAWVSKGGYKRCVVSPPDRARVFSTGSDRVTLPRGTHYFICAKPGHCAAGMKLAVTAY >Et_8A_056638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14149981:14150523:1 gene:Et_8A_056638 transcript:Et_8A_056638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TDLLEDPFSASALLHLYATLGPLPHARLLFNRIPKSASPVAWNTMILRYAQDGFELMAAVEESGVVPVAMSTWNAVMAGCVRGGNRELAIELLGKMVSAGSMAPIMWQHSTPCSMFDVLRERHTFVLRNACVVGLGPADLDRL >Et_6A_046154.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:493536:493769:1 gene:Et_6A_046154 transcript:Et_6A_046154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQIFHRDLKPSNVLIDSNGSNAVGKICDFVLQLIMTRLLQVCVESRVALMATWHQRCIRQGALAPLNLTCGLWVL >Et_1B_011561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23639493:23640606:-1 gene:Et_1B_011561 transcript:Et_1B_011561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTMASCFHSIGSVLLFALLFVSATTHNSEVTAESDGVDPLLPFCKTVGGGSYYVGIGFCVAALGSDKRTRVDDTYQSLSIIAVDLLTANEAQANLQKSASAAKECEAGFRNSHVASPVTVENDNAFELAKLADGLLDLA >Et_6A_046036.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:282699:282902:1 gene:Et_6A_046036 transcript:Et_6A_046036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TRISIVQLHISGIKYLHTECSQPIIRRVLKSSNILLDNMRAKVADFVGLSKAAVNGSGLTCKGILCL >Et_4A_032569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11389807:11392119:-1 gene:Et_4A_032569 transcript:Et_4A_032569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NPPPRRRRRFSPLAAMGIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLVRRTKSNFNAVILKRLFMSKTNRPPLSLRRLVKFMEGKENQIAVIVGTVTDDKRVYEVPAMKVAALRFTETARARIVNAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >Et_1B_012481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32323660:32332427:-1 gene:Et_1B_012481 transcript:Et_1B_012481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTERSIDLSNGAGSLGDQMEVQEGRKGIPSLLSSHGECIASNITQLIGWTPLLELKNIAKKDGIDARLIGKIEPYQPLSSVKDRSALRLLEDAEEKGLISPGITTLVAVTSGNLGIGVAFVAAQKGYKFVAVMPAKVAIDKQILLRYLGVEVILVDASINGFKGLLDRVEKLKKEIENVYVVDQFTNPANPDAHFRWTGPEIWKDTEGKVDIFVAGSGSGGTLTGTGRFLKMKNPSVKLICVEPAESAVISGGESAFHNIQGIGPGFVPETLDTSQIDEIITVTTQEAMDMARRLAREEGLLVAAREENRGKMIVTMFSSGAERCLNSELFAQVKEECVDMNNTYVLDRCQKGSQSFVQDLEKKVSYRKRMKTLKSYAAGLDMSQLSNNLPRPCKIMAKKPSKTSSALVHV >Et_3A_024011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15997975:16003474:1 gene:Et_3A_024011 transcript:Et_3A_024011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNLSFQVNSVETLFGRQACVPDMKGFDDGRSDDLCSSDGPVGQDQMSSLRARAVHGRLHTLANRKEKLASVEPDGTDLIDDVRCTASSVPDGAGGVAIENVDAGTPDISSAEDGHVIKNVTLEKPASKRRLIRSSEHFFVRWSMNMHESTGKGEESERTEVESERTEIIEVVVPGGEVDALFLNAAQLLPIAIRSAEETLGIFTAFHIFSAHTCFRQSIKHASAIFMSQGKASSMLVHSSSGNLPWTTYAIMTLNASRFSTSEMVTLYEEDDAPISLPNRMVSTHTGQFLAVDAQHHVFIFARDEEAFIKVFLWQFDDFVKEDHYTEYNF >Et_5A_042513.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1836517:1837029:1 gene:Et_5A_042513 transcript:Et_5A_042513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVHPTRAAPPPSPQFAPLPRPPCADDAVALDDDDSDSESVAESCPWPHQRGAAATESSCGSSTCCYGEEMDDDDDDDGCSSCVEGDECGYEQDLQESAADDDEGGNAAVASGGVWWERMEVARAGAGGAFPPPPPPEPEEEEDPKRAAERQEEDRKFWEDCLATGYP >Et_3B_028508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16338694:16339517:1 gene:Et_3B_028508 transcript:Et_3B_028508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATGAGGGEIKLLGAWASPFVLRVRVALQLKGLEYEYVEVDLADKSELLLASNPVHKKVPVLLHGGKPVCESMLIVQYLDDAFPGAGRAFLPADPHGRAVARFWAAYVDDQLLSSWLAIHATTTAEEKADAVARTLAAVDALEGALADAEESGGKPGWFGGDGVGLVDVALGGLVPAIRASEPTTGLRVVDPARTPRLAAWVERFCALDAARAAMPAVGRLVEMGKKRLAASTAEEADT >Et_4B_039687.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26721500:26722435:1 gene:Et_4B_039687 transcript:Et_4B_039687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLFSSSWKRGGGDGGDLESGGGGVEMSAPPGAAAGANLDRFFEDVESIKDELRDLERIQKSLHDANESGKSLHDASAVRALRARMDGDVSAAIKKAKVVKLRLESLDRTNAANRSVPGCGPGSSTDRTRSSVVAGLRKKLRDAMESFASLRARIASEYRDTVARRYFTVTGAQADEATLDALAESGEGERFLQRAIAEQGRGEVMGVVAEIQERHGAVAELERSLLELQQVFNDMAVLVAAQGEQLDDIEGNVGRARSFVDRGREELQVARKHQKSSRKWMCYGIILLLIIVLIVVLTIVLKNVNKNKN >Et_1A_007362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33867523:33873276:1 gene:Et_1A_007362 transcript:Et_1A_007362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKARKDEIEKAAPRNPAMSRKRKRKVKRKRGASTATSGLCDDLIRNIFVRLPARTLVASMALSKHHRRMIISPEFRSLHCRLGPPLPRPHVAYIATAMISRGQSIVSAYHSFHVAGRARLSRGRAAPTRSLTGPMYLSMKYINSCNGVLLFADSRRCVFLNPCVANGERQVTIPTRNGDFVLGFGYGKRTQTYKLLLSRKCEGTSSSYNKYPRDLLVYSLRDDAGEQPRVRTLLSGKQEGDRFFYKPYDSSMTVFIDSLYIDGIVYLFHVHKRVVFAIDVDDETVTTIDLPGTGCVSDNHLQMSRLMEMSGRPCIETSEWRDESNECQCHVLWLLTVDHQWEEKCVIKKQDCYDRCSIDGVWECGGALVLHLHNLKYEPNKLYLLCPSSTVKMFKTYLPRNMKPELSEYAFCWGYKPTLVAPGSIIGELDQEKRNQCHTADIIKAVKRLNKQEKRKGREATTNVVCLMKWLAGAMNRLPENMQELVLGGGNGAIPRSHHVVNLQDGVGGLQAPGALVGDFLHIMSNDRLKSVEHRVVVTTASTAGARVSVACFFRPRGIGEGVRSLHCSLGPPLPRPNVAYIATTMISRGQSVVSAYHSFHVAGGRVAPTRSLAGSRYLNMKYINTCNGVLLFTGSLRCVFLNPCVAKGDKEVAIPTGNDDCVLGFGYGKRTQTYKLLLSRKCDGTSSYDKYPRDLLHPRLRTVLSGKQEGDGFYYKLRDSSAKVFINSLYIDGIAYLFHIHKRVIFAFDVDDETVTTINLPGNGYITNDYYQMYRLMEVSGRPCIETTEWKDKCGAISCGYSRWITNGRRCVLSISRIVQNVVSSTVFGSVVRSQHALLIRSSSTMKIFRTNLPLNFKPELSEYAFCWGYKPTLVPPGSIIDELDQGEKRTQGRTTDIIRAAKDLNKQEKREGRKATARVVCLMELLAGVLSGLPENMQEVIEDLRSL >Et_5A_041333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21304344:21306733:1 gene:Et_5A_041333 transcript:Et_5A_041333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLAATNRFEIKSFRGRNMAVLCSAHAAVSVNANFLVGRLPPNHHPVMCCRIPELGNSLKWRNRHEQMKCFAKGSSLQDPVPSVKPSRLLPTEDLMIFPNSVPEEIFNTIRSDNYDAFYVLELSTSREFSSSLIDKNSAILVCFIDVGGDSLLQRIPVIYSDQSARVKAEQSIPFQSGSLDVVIFKGSKLQRIKEIWIGLESGSWRLDGLSLKVIHGPLNATKEIDATSGFNFSGLQYTFEKINALLGEDGVSVAEVKPVAVNDLSGLSLSDLQEGQLSSKGAATIAKEVKEDGLKEYADLKQSLLLYDAAIVITGFSAFTLASKDNAAYSFLVGGIGGFLYLLLLQRSVDGLPVISSPSEVGSAQPSVSGFSGVRRPWLILSLVLVAGAVALKYGAGGDKFELTPTELFVGTAGFLANKVAVLLAAFKPMQSNSKSEDESGGQT >Et_6B_049238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2091144:2095218:-1 gene:Et_6B_049238 transcript:Et_6B_049238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYYHGGAGTDIQASTDSLQTLYLLNPSYGGYGADASTPAPAGANMMLLNSAVSTMTPASFGHHHQQQQSPSSAAAQHFVGIPLQAQPSGYNLWTPATTGDMSPPQQQTPGTVLSLSSREAPPVTVAAVAGDEGKYLGTSQGQMVMNSKYLKAAQELLDEVVSVSKGVEEAKAAAAKSAAAVKKKEDSEGVSGGGTEDGGGGGGTKSGGAPEMSTAERQELQMKKSKLINMLDEVEQRYRQYHGQMQAVSSSFEAAAGAGSARTYTALALRTISRQFRCLRDAIAAQVRAASRALGEDADAGGRGVGSRLRFIDHQLRQQRALQQLGMMQGGAWRPQRGLPERSVSILRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKDRDGAAAGNDEGKSAAGNDNSGGGDGVVHGGSTLLELAGDQQAAAHAGFYDDDDEDDGDEQRRLKKARGGDEPTFQHHHHHHVHDMAALHAQAAAAARQQQQHEEVSHRELLMKFMESGGAGAARTAEQHHQDEGGYSSLFAAHHQAQAAYGQFTSEPFAAFAGNNGGVSLTLGLPHGAGTGADQSTASFLMGGSTVTSDSAGGGGGYDMTNMQGTKSFAAQLMRDFVA >Et_2A_016598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26438292:26444534:-1 gene:Et_2A_016598 transcript:Et_2A_016598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESREDERKGSGGAVAVAAAGVSTPGMNLRNLVSREYYGHKKKVHSVAWNCLGTKLASGSIDHTARVWSIDPHGHSKDKNIELKGHTDSVDQLCWDPKHPDTVATAAADKSIRLWDARSGKCQVVELSGENINITYKHDGTQIAVGNKEDELTILDVRMLKVYNKQHKFNYEINEIAWNKTGDLFFITTGLGHVEVVKDLNFQKTCKLNAHTAGCYCIAMDPLDRWPVRTVSFNHTGEFIAYASEDPFIDIANVQTGRSVHQIPCKAAMNSVEWNPKYNLLAYAGDDKNKYQADEGVFRIFGFETHN >Et_8A_057992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11188498:11227400:-1 gene:Et_8A_057992 transcript:Et_8A_057992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSERARVETNKSIESVDCSMRKKVVSQKRLLVKEAQMQLYKTPSTVQNLRRSPRIDSITDGHRRENIDRAPIPGQILRQSPRFSRGADAQADELGGNHHHQQRPSNARDITHVTDPPLANLTSCLFPGPVKFPNLTDRDKSKEPYPEIPANALQAVVVEKCGMPPEEVTLELLNKAAQHEKGGSSCDQRNHQDYVQALWSVTTDNQRLRLISSLAPYGARVGKKDYPGHWKRTRHCPGSCWWPPNVGGVAAVRYRVSASLDTPSPASLDAPPPPSTRLPRHAVSGLPRHASTVAVSSPRLPRPPSPSRRASPVRLAVSSPRLPRCHFPLPRLPRLAAPSRLPLAAPRHRLHLPVGAASPLVLDGAVPRSWRRPHLLQQEHQKPGSFALNALLLEFLDSFCEKTSNGRDAAKAAKEKAASDSSAYASKMHELSVQKIELFKGTEVERKARLEEMLALKKVKVNEAREHW >Et_4B_037909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24756353:24759180:1 gene:Et_4B_037909 transcript:Et_4B_037909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILPNSSHRDGSIYKSTNSWKRIYRIADRNETRLEAMMLSKPTNCYINNGICMSHTTRHMLQIFSLKLSKIPVGFGSIELYGYIAVRDNLDPLLNYIVNLSRDHPLIIDQGSLLKMTGPKRGIELVDTLLIEYDLKIKIGEQEKDDLQLIDGVSGVDYMETENCSAFTCRIHGYCGAVDITAACLNDAVEATVEVIVSEVRGSFNMLLDCFSSGLDEEIRLFDGAICEPCGLKRSVVAVVFDAQVDLKFKLSTNSSTPAEHCCSFKSNMHGHDTQEIKTDLGLILVNVTWSTLPGELKGYI >Et_3A_026834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22891461:22894785:-1 gene:Et_3A_026834 transcript:Et_3A_026834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSAGNKRELANVAYGPVAGHHQANLAPQDSSSDASAVASYVSLPIGAKPLKAVLPAAVEDKLKAAACRKEPACLRGCVLVGLKLRVDLPVHFIVEKIVKSSDLKPQQSRFRIPNNGVHALRKILTPKDLDSANLLHMRASKRRQSRQNQEPDNGAAGEGHGRRKKMKGKVHNGLCVKLVDFIAGAKELQLSRWDSSEVTIIKGTGYLDFIQRCSLKENDVVDIWAFKQHAFHHFGKKMCDESLLHVLIVKKDHQKQCDYCSRLYNQHVPGFITQAEQLKAKGVDEILLISVNDPFVMKAWAKTYPENKHVKFLADGVGTYTKALGLELDLTEKGLGLRSRRWPRAEDSSVGQVPYAGQ >Et_4A_035031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8907015:8907838:-1 gene:Et_4A_035031 transcript:Et_4A_035031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSNAGSTGPTTAQQYCYRSESPPSRVSSSCSPPPTPPAAQLQLVGNVAPTVVMSPCAACKILRRRCADGCVLAPYFPPTEPAKFTTAHRVFGASNIIKLLQDLPESSRADAVSSMVYEAEARLRDPVYGCAGAVCRLQRQANELKVQLARAQADLLNAQAQHANLLALLCVDMANNNRRDGQNSQQQQFSPPLDGTCSGSGSDVGVGYQNQMFYDSDPDSATWPDHEAQLWT >Et_5A_041213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19546907:19551391:-1 gene:Et_5A_041213 transcript:Et_5A_041213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGEAVGEALQAVAQGTRWTYSLLWHLCPHQGALVWAEGHYNGTVKTRKTVQPGGEDDDDGGGGEAAGSSGKKASRRRSRQLRELYDSLIGEAGGGGAAASRRPCAALAPEDLAETEWFYLMCASYSFPPGLGLPGKAFATRVHVWLSEANKVDSKVFSRAILAKTVACIPVADGVLEIGTTEKVEEDIGLIQYARSIFMDQHGIHMMPTLSGHSTSNPITHIDDPPLQIKREKHIVSTNVQPQNLNPEDKRSSQMDEDDDQLDTECASDLETNNEKGSRQPAPLNYLSNEQATPYAGSSGPMHVEVSDRARNGCSSYMDEEIEVQLICQNTANAQTSLQGQDRPGQFDLLYDNLCSGYLLETSAEDQAIPPENAHYAETVLRILRYNMRQQTIANIKAYLTVSTKSPFSRWSTERPAADLQSMMVSECTTPQRMLKGILFSVNSSQCKSRGEAQSPEPRDGEGMSRSRRGQVQAELSASHVLKERRRREKLNERFVVLRSLVPFVTKMDRASILADTIEYLKQLRRRIQDLESRPRQMVGGNVPPASSHDTRGYFTRASTGKRAAEASGSSSTGTAAEVQVSIIESDALLELRCPHRDGLLLRIMQALHRDLRLEVTSVQASAAGGVLLAELRAKVNEAHGRRCSITEVKRAIHLILSSD >Et_4A_034119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30064744:30065403:1 gene:Et_4A_034119 transcript:Et_4A_034119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TGPLQSFSCFGPLHSSPLAETSLRHRPETAAIHHSPTKARRRGRSRIPPPCRKRRIPGAASHHVVDVVRWFVNPRRNPLARLHMQTISSRLRKYGLRYDDLYDPYFDLHIKEALERLPTEVVDARIQRLKRAMDLSMKHQYLPENVQVRSLPSPPPPHALI >Et_1B_014309.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5700515:5701429:1 gene:Et_1B_014309 transcript:Et_1B_014309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRCKKHPFQAGGGVCATCLRERLFTLAAAQNGGGASSPAPRPAHAPRPAPPEAVAFPRSVSPYVSRRKSDASSLRHHHPSLLFFRTPQVGPAGSSGALEEGDIAYEYEKRRAGKFSVLATLFGGHHHDHHRSDDKDGGAKERKKHSWFAGIIPRRRKKQQQASEPAAASDSAAALPPSPPPARRACRVVSNRGLSPERDGSSGDDESSSPAADPPWRPSPSPMRKTTPGRRRQTSSMPSGFAVCLSPLVRPSPGRRHRGVPPPDPCSFSCELRPSPLHNLSTSAASITRCRSKKLADGGRFR >Et_7B_053841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12675703:12677431:-1 gene:Et_7B_053841 transcript:Et_7B_053841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQMRRIENPVHRQVTFCKRRMGLLKKARELSILCDADIGVIVFSPHGKIYELATNGNMQGLIERYGSTYAGKHEVSALTREIDFLQKGLRYMHGEKDINQMNLDELQALESNLEIWVHNIRTQKMQIMSREIELLRNKEGMLKAANDILQARVLLTPNLDISSKAYLTFKIYLCLGMR >Et_9B_063661.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17039699:17041985:1 gene:Et_9B_063661 transcript:Et_9B_063661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKKPDRTAVSEPHPSPSPFVDSSLANRRPAIRRDRISNHTTVASKRRTETKKKEKKEISMPSPSSAHWLSLVASVWLQTINGPNADFPVYSSQLKEIKGISQIQLNFLAFASDAGKLFGWFAGVAALRLPLWAVAVVGAAFGLVGYGVQFLFLDRAGLAYWHLFLLTSLAGNGICWINTGCYLLCINNFPSSSDSRVVVSLATSYLGLSAKLYTTMAETAPRAATARYSTTKVYLLLNAVVPMAVTLVVAPFLRVVDLIKDRTRSEKPFLAMFAITLATGACAIIGSVGAKSIGFSSREHMVSLYVMLALPLLIPVTMKVRESMAKIRETKWENRVHDHDSDGAETAVSAVEIVQVEEEKRQEQAEREEERQSGGQQEEVGGIRLLKRLDFWLYFFSYMFSGTLGLVFLNNLGQIAQSRGLADVSTLVSLSSSFGFFGRLLPAFLDYYTAK >Et_5A_040759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1259652:1260557:-1 gene:Et_5A_040759 transcript:Et_5A_040759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKTAPAAAEAGSGERPKTVVVVAVDDSEHSYYALEWTVKHMAGGAELVIVHAKPSPASIVSFGGPGAGEAMRYVDADLRKIAEAVVDSARRVCIANSVHALIEVIEGEPRYVLCHAAEKHHADLLVVGSHGYGAIKRAFLGSVSDYCAHHAHCSVMIVKEPKSKH >Et_4B_038193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26987392:26988154:-1 gene:Et_4B_038193 transcript:Et_4B_038193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCVFLEITVEKEKQISGDEDVDDHVPEPPLDHAVAPQHGLPCEPGLLERALRRNVLDVDERLDALHSLQVAEHRGDRALQRRRGHALAPMRTRQDDAQLGAAPVVRRRDGHRADDGAAEADGAEPRVRQRRVPQEREQGLPRRVRVPRHEGRDDGVRRPRHHRVEVGEDERTERHRVRGCGLRRGKPVVVHL >Et_2B_019864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14735890:14738397:-1 gene:Et_2B_019864 transcript:Et_2B_019864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKESEIEGLERIFTKESSELIHISYSTIKSITKNFYQVIGRGGFGIVYLGALRNDVMVAIESLYTSKDLSDKQFLGELKCLKKVNHKNIVRFLGYCAYTDGVVMEVEGEDRVVDAPERFLCFEYAPNGNLHDYLQGLALSSSERNYQHMDLKPANVLLGAQMEPKITDFGLSRCNDGSQSTIVTSKVMGTLGYMPPELINERKISFKSDIYSLGIIMIRLLTGIIGDIPDNWHDSLTVDCQQKERCIEIAQICADKDPYKRPTISDIICKLTEKETMSQNFPLVIITEPRNDPSSILY >Et_9A_061191.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21373541:21373768:1 gene:Et_9A_061191 transcript:Et_9A_061191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGQQTTGRGWTLRVRALSAALRRRRDRPGILPHVGVLQLLYENVVFHLLWVLESIVVIAKLCFFFLRFGFRL >Et_4B_036933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12718114:12730264:-1 gene:Et_4B_036933 transcript:Et_4B_036933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVSFKIKEVDGGMSRWSEYLNVEEPAPSALASWRNMGVDGQQGSSAGGQKHLQMEPVVQLAKVAEGLLAKMYRLNSILDYPDPNTHTFSDAFWKAGVDKFALDALNENAEGYMHNLEQWITLLLDLLAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSDKKDDPPSLQHLAHHAQRRSLVQFVDSYDPPIKGLHEDLNFVSPRIGEVLEAVGPIIFLSTDTKKLRNEGFLSPFHPRYPDILTNSAHPMRAQDLANVTSYREWVLLGYLVCPDELLRVTSIDVAMVVLKENLILPLFRDEYILLHENYQLYVLPKVLESKRMAKSGRTKQKEADLEYNVAKQVEKMLTEVHEQALVSCDALHRERRILLKQEIGRMVLFFIDQPSLLAPNIQMVFSALALAQSEVVWYFQHVGVASSKSTRGKTVDIDATDPTIGFLLDGMGKLCCLDLRKNWLSILMIVTSSRSSINIRHLEKATVSTGKEGLVSEGNAAYNWSRCTDELESQLAKHGSLKKLYFYHQHLTTVFRNTMFGPEGRPQHCCAWLGAACSFPECASAIIPEEVSKIGRDSISYVESLIESIMGGLEGLINILDSEGGFGSLEMQLSPEQAALRLNNATRAKAISGLLAPGHESYPDNSSSVKMLEAAMQRLTSLCSVLNDMEPICVLNHVFVLREYMRDCIVGNFRRRFHSMIRTDNCIQRPSIIESLLRRHLGIIHLAEQHISMDLTEGIREVLLAESFTGPFPNLQIFETPVGTQGGGSAVEIVCNWYIENIIKDASRIGVVFDATQNCFRSSQPIGSGCLAEAFTDKRELKALVRLFGGYGIDKMDKMLREHTSALLNCIDSALRSNRDALEGLAGSVNSGDRIERDANLKQIIDLETLADLCIQAGQAITFRRLLVEAVRTVLEEKVPLIYSLLKGLALQLPDEIPDKSEIIRLRRVASSVGVGDKHDAEWVHSILAESGAANDNSWILLPYLCSAFMVSNLWSGAVYDVNIGGFSNNLHCLARCISAVVGGSEYTRMEREQGTSSLSNGHTDELQEPELLSRVSAEANIKSAMQIYVKLSAGIVLDSWNNTNRPHIVPKLIFLDQLCELSPYLPRSTLEVHIPYTILRSIYHQLYGASLMASELMEQSPRQSPLISLAHASPSARQNRPDTTPSSHTFEPSYYSSSGSQHDEGYDVDKRTGEKQLRSMRRPGPLDFSASRKSKFVEGSSSASHGTGSLQRFTVSRSGPLSYK >Et_2B_021913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6865500:6870888:-1 gene:Et_2B_021913 transcript:Et_2B_021913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSVDVPLPTQKLSIDPNRRAGGGRGCVLLVATGSFNPPTYMHLRMFDSKMVNLLPEFESEQRMNCSTEVIPCRVDTCMSPVNDAYQKKDLLPATHKIRLCELACISSSFVMVDPWEAMQKGFQRTLNVLSRIWNSLCKSGLADKDRLKVMLLCGCDLLESLSTPGTICKDFGVTCIHREGSDVGKLISSEMLQECRDNIIPADKIVLPNQISSSRVKYQSYIH >Et_2B_020788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23623787:23626548:-1 gene:Et_2B_020788 transcript:Et_2B_020788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCKKPKVKTAAGDSVLRQVARISNREVEPPSVYLVVGHEVTRPSYSVFKVNPFPDGGGDDDPVPFPLPLVRLDAKQCMSFVPVRSRLGRWIVGVGGNTVRDYAPETIVYDTKTRDVIPGPKLLSTKLYPIVLPIGNRIYALARKPSVKGEVNFVPWFEVLDLSQAQVVDGHLVSCEWKALPRPPCFPWELTPRQYIFPPKVTVKAFVVVGHHILLSITGQMGTHMFDTDTEQWAKLDDKDLPFLGGAIPHGPLFLGYSGTSKENTLYKITISKAAAASSPSMKEDCTSLSIVEFPVVSGMEVEDQVVSSCRFVSLGNHDNPGFCTFKCCSNDPLPATELRHTRELVTMRTYTSESQDQVESTEALLISKQWKQVQFDDGAYIEPKSMRPQEMLCY >Et_6A_047363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4456577:4458171:1 gene:Et_6A_047363 transcript:Et_6A_047363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGNRYCLPYESLWDDPVPSLFPIPKCECNMTAVVTQSRHPLTVARAYFCCGNELERPPPGLKYIPFFRCPIALLGNKRGCDFQEFIHRPKSHYPDPDSLLDDGVVPSELGYGHYCENTVGEDHEWDTRRCDWETFEGKEEILIKSKKRGPEYYKKALATRRSNIRHKYLTVPPSFIYNTICSELKVKRECPFWEGPEADVVVQYWRRNRDKYPPKSSWELLDPPYGLQFNSAKECMDWAMEKMKKLCDPVYVAEKRKKEEEKRKKRAQEELEARQKDPNCWEHYFYKLAEKKKKRKMEEEEEAREERKRKAEEDRAAIQLMMDAEAIDKMVGIAKNVMETNSKEKNDDNE >Et_10B_003997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9042540:9046029:1 gene:Et_10B_003997 transcript:Et_10B_003997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISFLARHTRWDRANLSLPYVPLERNHRVIFDHKVILSYSLLVPFLFHHGLFPAAVRWEALPHDVLFSIFHSLGQRRRVGVRTVAASCPLGAHVTLWHRINLTAEGDDSADDERISYRMAMARAAVDRSSGQCVAFWGRANDEVLRYLADRAPSLKSLRVSLCYDISSDTFAELIGKFPLLEELELVLKSDPRRDTIGHHPTANYCWAELFQSACRACRHLQCFTVRHAEREGPDLSSIYRSQFLCRKRLSIPVMHELRSLEFFGDSFTEDVVVRTVDNCPSLESLNLSNVPYAYYWSQELRDEFKYLRFPFDEHRR >Et_7A_051314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16914294:16917008:-1 gene:Et_7A_051314 transcript:Et_7A_051314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLAPEKRHAFVHNGQKVFEWDQTLEEVNMYIELPKGVPTKLFHCTIQAGHIEVAIRGNPPYLNHDLTHPVKTDSSFWTIEDDEMHITLQKREKGKTWSSPIQGQGLLDPYAADQEQKRLMLQRFQEENPGFDFSQAQFSGNCPDPRTFMGGIRSD >Et_1A_007105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30932953:30934231:-1 gene:Et_1A_007105 transcript:Et_1A_007105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAAAASASRPRPAASAAAISTAPAAAPDWALFDPTVLMVTEVADPAAACATSDGRDTHVSLRLASPPAASYVEMRADVRSEDDWYSPQEPTYTVLAADGDLLLIHMSVSSHRYEFYVLLPDPGDCAPAVRFTGIACRDDGEFVVAGFITGNVGHQVQRWDRGTNNEPKEVGVLSRFSSSTGQWEVINDLPIPHDPSRGLYEWTWDTDKVLAFRGHMFFVDYHRGMLFCDVFADGAPELRFVPLPGIEVWDDTRDYGYGRQTPEVRRTVCVSQGKIRFVDVDDGLFGSEKTSGFSVTTWTLRMPEMAEWDKDCVLQVDDLWSQSKYRESPLPRWAPEYPVVGTRDSDVVHFVVRGPQFVGIPKAWIISVSMREMELQSYMPYESEHPDLLVEYEEGVVVDLTCVFPDTVFICSNLCKATCN >Et_4A_032954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1654783:1660047:-1 gene:Et_4A_032954 transcript:Et_4A_032954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEGDAGGEPGAPGSELATLHIRCTNGSKFAVRADLSTTVSAFKAIVAESSDVPAPQQRLIYKGRILKDEQTLASYGVETDHTIHMVRGAAPPTRPPPVSVPPAGTDDTDNTPPTPLPPADGLGGLLQGLGASGSANSGGLGLFGSGLPELDQMQQRLAENPNLMREIMNMPLMQNLLNSPDLIRNIIMNNPQMRELIDRNPDLAHVLNDPSILRQTVEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGEGNRNQNPFAALHGNQGSNQARDPAANAPTAASDSTSGSPSPNTNPLPNPWSTNGSSAQGAARPSRASNTRSAAPTTGGPAGLGSADLRSMLGDGNDASFLSQVVQNPTMMQMMQNIMSNPQSMNQLLNINPNVRNMMESNTQLREMFQNPEFLRQLTSPETLQQLISLQRSLGPLMSQLGQQQSGQERTQGGAGAGNVNLDTLMSMFSGLGAGGGLGVPNVSNVPPEELYATQLAQLQEMGFFDTQDNLRALIATAGNVHAAVERLLGNLGQ >Et_4A_032163.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21904078:21904155:1 gene:Et_4A_032163 transcript:Et_4A_032163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRARACGAMQSIPLQEGSSHQNM >Et_9A_063279.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:18762345:18763700:1 gene:Et_9A_063279 transcript:Et_9A_063279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLFLLLLAVAVGLAFPAAAEIKTESFHEDRRPSIMFEKFGFSQSGAVRIIISGAAVSSPVARADPKQLGFFLLSDESLIDAIYDAREGPTKEKRAAATGGEDPETKSGCVLSSPYIKKLFTFHDMEGGHYNKSFPVTHPDEYTLFFANCAPEALVTMTVRTEMYNVNADGSKDFLPVGQAPVPAIYGFFAFSYVAFLAAWGYLTLSRFRASANQIHHLMSGLLVARLLYCLSAAEDQHYIRVTGTPHGWDVAFYLFQLVKGVILFAVIALVGTGWSFLKPVLQDREKKVLMVVIPLQVTANIASAVIGETGPFLPDWVTWNQILLFVDVACCCAVLFPVVWSIRSLRETSKTDGKAARNLSKLTLFRQFYVVVIGYLYFTRIVVYALKTIASYKYRWMSILAEEVATMAFYLFMFYTFRPAEKNTYFSLDEDEEEAAEMVLREEEFEL >Et_6B_049148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18767150:18768478:1 gene:Et_6B_049148 transcript:Et_6B_049148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRIEEIEVMGSSMDGSPQSLNREEEEAFAAPVSNYSTAESLTSAFALHKGRCGRIFGVRLEDISSARINHPYVCGTSFERSDGRDGDRPAGLHGEGEGIQLSTGPV >Et_7A_050346.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:7928655:7928951:-1 gene:Et_7A_050346 transcript:Et_7A_050346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIGGPILAKASMDSGKIQSPSPQIKPDATAGTISAALLRSIICHVKTIKLPTHMSMAKLAPARKGTAIAIGRGIHLPYFGGRRSLITLAATANPKNT >Et_8A_057686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6009191:6018281:1 gene:Et_8A_057686 transcript:Et_8A_057686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRSLLVLVLLVATEGAQQRCVSLTSQATALYRWKSTMKGNGTLLSSWMPNTHPCNWTGIRCSNARCHLAPRALSISKVSLQGIGLVGRLDTLDFLSLPDIISLDISSNTGLSGPIPPTIGSLQILSNLNLSGGHLNGSIPPTIGELRRLAILDLSNNSLQGHLPISLGNLSQLTVLRLKNNMFFGSIPWQLGSLQNLTDLELDNNNISGHIPASFGNLTKLGILSLSWNHISGHVSSELAHIEGLLHLSLHHNKLTGIIPLSFRNLIMLKDLYLNDNFLSGSIPGELGLLSRLLHLDISTNNLTGTIPPSIGNLTSLSYMALATNKLTGSIPQEIGSLRLLVSLDVGRNLLTVAWNNPFRTGKPKKLGSIFGSTRLFWESKNLIDLRLFDNDLSGPLPRRLANLASLEIASFSQNRFTGNLPDLCMGKMLRRLNCWRNKFNGSVPESIRSCTSLEFISFSDNQLEGDLSQNFGIYPHLTVLDLSRNNFYGHLSRNWGSCQNLEAMWTPRNMISGTIPVEFSNLINLEQLLLYSNNLSGEIPPEIGKMGKVYWLDLGFNQLSGMVPSQIGHLNNLVKLDLSNNHLRGTIPNELTGCQKLRLVKLNGNNLTGSLPRVIGRMVNLQILLDLSMNNLNGTIPPELGNLNMLEFLNLSQNQFSGRISASITRMKSLLILDVSHNSLEGPVLGGMHNASVNWFIDNKGLCGEYYGLPPCYSPPAHKDGKKKRNLILAIAVLLYVGVMSLITVFFIRRKKKHKDTTARSDRDVFSIWNFDGRLAFEDIIYVTENFDEKHCVGAGGSGSVYKAQLQNGRVFAVKKLHTTGELVNDATFHHEIEVLMKIRHRNIVKLYGFCSHGRFKFFIYDYVDRGSLTTVLCHDELSNEFCWQKRISTISDVARAIYYLHHECDPPIIHRDITSGNVLLDADFKAFVTDFGTARIIKPDSSNWTELAGTYGYMAPVERAYDYMIYFDIFAELSYKYVASEKCDVYSFGVLIMEVLSGKHPGDLIGHLASLDEQDLLLEEIIDKRPAAPTSDEEQYITRLITVAKCCVQASPKDRPTMDQVYRTLVGAC >Et_3A_026562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9715136:9720556:-1 gene:Et_3A_026562 transcript:Et_3A_026562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRWLSYRRQRPYSDGRVLQNDKCNRSVEAIVRDTVRTADADITKGAALNRDCFVRGCDGSVLLDPTYSNPYLDKTSGANIGLGGFDVIDAIKTKLEDAFPNTVSCADIVAFAARDAMARSFDVAVLLLVALHALLPTATNADLQDGFYGSNTNCSVDVEATVMSVVQQYVSADRGVGAGLIRLHFHDCFVKGCDGSVLIDASPVNPNPEKASPANGGLRGVEVIEEAKRQLESACPGTVSCADILAFAARDAAAILSSGTISYDVPSGRRDGLSSAASDASQSLPPPFAELDQLTALFAAKGFSADELVTLSGAHSVGRAHCGSFSQRIRPNVSDTMDAEYGAGLQRQCPPDAGEEAAVDQDQGTPADLDNRYYGNVLAGKVLFNSDWALISDDATRGMVEDSAADASRWAAKFGDAMRKMGALDVLTGDQGEIRRFCHVTNSG >Et_2A_017212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3271448:3275575:-1 gene:Et_2A_017212 transcript:Et_2A_017212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGTQPTLRKYLGALKDTTTVSLAKVNSDYKELDIAIVKATNHVERPSKEKYIREIFHSISAARPRADVAYCIHALARRLSKTRNWAVALKTLIVIHRALREVDPTFREELINYGRSRSHMLNMSYFKDDSSAEAWDYSAWVRIYALYLEERLECFRVLKYDVETDPPRTRDLETAALLDHLPPLQQLLFRLLACQPQGASSYNVIIQHALSMVALESVKIYTAISDGTINLVDKFFEMQRNDAVRALDIYKRATNQAERLSEFYEVCKTIHVGRGEKFLKIEQPPASFLQTMEEYVTDAPTMAQKAVLAIEYKKEPEEEEKPASPPPAPEPEPAQEPEPELEPVKEEPPADEPTDLLGLNETHPAAAEMDEKNALALAIVPIDDAPKAAPATFENGVTGWELALVTAPSSNETAVTSTKKLAGGLDLLTLDSLYDDANRRASQTASYNPWEAPAAAPAAAVPMLTMAPAMHDPFYASSGYAAPHGVQMAAMAQQQQAFMLQQQMMTMAAAPAPVVHPMQMQMQQAPGNPFGNPFAPAGGHPYGAAGMPLHAGPGNAYTGLI >Et_4B_039043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7290698:7295321:1 gene:Et_4B_039043 transcript:Et_4B_039043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEADDDQLLKSFLAEVSEAERDNEVIRILGCFKLNPFEHLKLSFDSSPDEVKKQYRKLSLLVHPDKCKHPKAQEAFAALAKAQQLLLDPQERGYILDQVNAAKEELRAKRKKELKKDSASKIKSQVDEGKYEEQFERSDEFQKQLIIKVREILTEKEWRRRKMQMRISEEEGRLKKDEEETKEMWKKKREHEEKWEETRDQRVSSWRDFMKTGKKARKGEIKPPKLKTEDPNKSYVQRPVKRT >Et_9B_063778.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:13882852:13883043:-1 gene:Et_9B_063778 transcript:Et_9B_063778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEEGRLQWKQEEKIMFCDVSKWMNTLRHMSWLGELKLQEWQRSGRILARVVVLEWRCQCPL >Et_6A_047414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5365057:5366316:-1 gene:Et_6A_047414 transcript:Et_6A_047414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPSPERELLGHQQSSPSSTDLSASGSTSSYGAASETDSDSESEVRRHGRSKRSFSCSSSSSNPTWVILDEIAIQNGNYRGYRTKSSTAETSCGRQISVSFRFSQPPGMSFLSLYWPQRQGPGPWDFDHRVVSADGDAVLFRIASTEHPWSYFHFFDVYRASRDPSREPSSLTRLPVLYRNRESSSPDRHKQHVLGTESTGILYCNSETFIVAELTPLEDCTVDIFLRVHVRHLLRAFNGRWSIDAVLPFGHRFLIWVDYRNGMIVADTADYPEPPWLRYVELPSVPDQLFPDDWNDGRGCPQASRSLCATRSGIKFVSVDFKHISAPCQCYRARSTFRITTWSLRDDYTWRRDATLDDEEFWALASNDRFPHIPPEFPVVNMENPDAVCVMLSERRHTMKDEPKT >Et_5A_042057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5820964:5823466:-1 gene:Et_5A_042057 transcript:Et_5A_042057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCHSEHPSLFDDNGGGHLYRGASFCDFLELLTPGENDVLDVVPNWRCDSTDDDFCSRLGPFEAPATGGAFSRSSIELLDLQCEPTTSDAAAARVSAVFRVVPPREHHMVAARRTGLSSGTTMSAEGLWSASTGRLCTVGCLGVAVGEADACGHRVSMHVATAFSVTRRGVIVGGIASMNGSRHSPPLLFQQRVSRGQAWNRFGRNEESVRMVYEYTKVEQAVELLRKRKPSLFRDSFVARSTLSYPSVAGAADDLMSLSELAGELNLRFRCVPKLPFLPEWVILSTGPLVGTYSPPEQRGYAGTPATKAERSHSGEKQQMLNVSAYFTVSGPLRTPRMFSGQAVMTLEGAYSPEDGRVYLIGCRNVEAPWRVSSSARRDLEDGMDCSIEVVVEYPPTTTWWLVSTRDADDPLYFNRAELRSPPISYRDQWTDHDVESLLCVAMLSAAVAATVGQLRHALGYGVTLVTDAKMLPAWPNYHHRISTGYLHWDMDSSVRALTLAALLLTARLAQKVRRARARARAWSPIEPWHVPSDGAVALYTAGAHLCGLLFVLALHWLSSRGVSLSPEQQAGASRLPPLQMRTAGAVVERYIGVVKEWFLLPQVVGNAVWRVNCKPLANKYYAGVTAVWLLPHVYGYLRPPVVHMYPEIRNDVMDLCAKAFDVVVPVVAVYVQQRWNYKIVGWTTKKEQNKLQHVH >Et_2B_021570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3133407:3139101:1 gene:Et_2B_021570 transcript:Et_2B_021570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLTPADATLILDHVLGDPSVPADAAHALLAALPFPSHPTPRLRRGVLLRRLAADPVSADALDSLHLLASLPSPSPALAAAHLSVAAFLAASAPDFDAAARALFARPDGRARRAVEDGGSPALCSTEAVAAADQFEAAIGNSFSQIVLTSLFGHRAAVEERVRELLVAEWAAIGPSRLEEAAERVVGDGALETWRAADETAGAKYRMLAGQEKAHEILSKLDEASSHVNPISTPEVRKVVDALETSCADLHRSVEDPLPAAKAAADEVLAARMDKADNLNSADLSGRQTTCGTAGPSALNGKDKGPAKGTPSLMDWNPTAKTFEWDESPDPEDSRPQFRRPHLASPRRMPVSPLQPPENKNKRRKARKWCVLEEETLRKGVEQYGSGNWKDILANNPDVFIGRTPVDLKDKWRNMMRRTVAAAASSSLTGGHGCRPLRYAVLGAGFAGLSVAWHLLKHSPKDSRVSVDIYDENGVGGGASGVSGGLLHPYSPKVKLLWKGAEFWKECMDLLHSAEQANGATGGILRPPTNEKAADILLEALFLACQNLADEASVSSNEHKELKLYTEHIDNLQQLAGDYESVIICLGAKASSLPGLTNKLPLRACRGVIAEFQLPSNAVEEYDNQSPSILSDAWLAFQGERGNSNCTFWLVGGLGARGLLYHGLVGKLTAQAAISCDENVIPSEFTCWK >Et_10A_001132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21454745:21456359:-1 gene:Et_10A_001132 transcript:Et_10A_001132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTARLWVFAGAILLSLDSRSDVRVLEIRDGDAGPESIVFGEGGEGPFTGVSDGRVLRWRPAERQETDEKFMSILFPTNRNIDTSTETSAGWRVAEDLGTQARSTSVGVHWASSSTTKQGSLYVADAYHGLRVVSPNEKVEFLSIVISGDKTGRLLKYDPKSNRVEVLVDGLSFPNGLAMSSDGAYLLLAETTTGKILKYWIKTAKASTMEEVVQLSWFPDNIKWSPRGGFWVGLHAKRGKIAEWSISYPWLRRLILKLPMRHVQRVSTLLSQLGRQVIALRLTEEGKTIEAVSVHGDVQKVFKSVSEVEERNGSLYIGSVTSPFLGIYRL >Et_1B_013706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13393871:13397062:1 gene:Et_1B_013706 transcript:Et_1B_013706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLALPVAVPLQCGPILRLRHTTTARAAAARDPGAEPLPDELQLVADIRSPHNHIRVADVSPRAAGHPLAGARLLLLDGPGNIHSLYFPRRPHSPLTATYFDAFATLPPLLPRPSLAILGFGAGSAARALLHFFPDVSVDGWELDPAVLAVARDFFGLAELEKDHAARLFVHVGDALETGAPPGGFGGALVDLFANGSVIPQLQEADTWRRLGGMVARGGRMMVNCGGGCVEAEEEGRDGEAVKDATLRAMAVAFGEGMVSVMDVDESFVAMTGPPVTAPEEVAVWKARLPPELRHFVDMWKPYNGKSGHIVFGMGFQRKILGKRTIQASEAEVPQMTELRSLKLKRSREDDGGRSFETAFANGDRFWVSRFSALPTGAKEAKVRAGM >Et_3A_026285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6447119:6449214:-1 gene:Et_3A_026285 transcript:Et_3A_026285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSATASSTSLLLSVPSAARGGAAGACAIPQPRRPLAASLRAAKGANSAPVVLESKVKGKKKKGSGAGNLPGALDAEIREAQEYLDSDEQEPAPDNFPFEIIDEEGMSVVILKRDYKDEKIEVIVSMPNLEGGPEFDDEDGGGDGEIAGKDEEEGEEDDESAGDSSVSLKVVVSKGSGPKLEFTCTAFREEITIDDMLIVEKTEVEGEEKFPFEGPEFTELPVNVQKGLFKFLEQRGITLSATNYMHDYMVTKQTQEYIRWMNKLKDFVKQ >Et_6A_047368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4543027:4546865:-1 gene:Et_6A_047368 transcript:Et_6A_047368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPPATRAGTLADTHAAASSPPRQSSRARATSRRACPPPASSAGRRASRMAAFINRRILPPFRRAPLPFFHHHGGHPSSSSAAIAAAVGGPGGAALPGGRRPWTPRRILDPGDDFVLGWYRLFLVACLVGLFVDPLYFYLLHTDVHNSCVSMDMNIGVIVTTVRTVADFFYLVHMILKFRIAFVAPSSRIFGRGELVRDPDQIALRYLKNDFIIDLAAMLPIPQIIVWFIIPAVTSSANRTNNTLSLIVFIQYIPRVFLIVGLNSKIVKASGVVTRTAWAGAAYNLLLYMLASHVLGALWYLLSIERQYTCWMDVCHNENATIPGGPVCVMNYLDCKTLKDPTRGAWHEKSGIRSQCLLPDATYEYGLFSDALNLDRIGVPFVDKYLYCLWWGFRNLSSYGQNLQNSTYKGETVFCILICIMGLVFFSHLIGNMQTYLQSMTVRLEEWRVKRRDIEEWMRHRQLPTELQERVRRFFQYKWLATRGVDEESILQSLPLDLRREIQRHLCLALVRRVPFFSQMDEQLLDAICERLVSSLSTKDAYIVREGDPVNEMLFVIRGELESSTTDGGRTNFFSSITLRPGDFCGEELLTWALMPNPSLNFPQSTRTVRSLTEVEAFALRAEDLKYVANQFKRLHSKRLQHAFRYYSHQWRSWGACFVQGAWRRYKKRKLARELMKQEGIYFPEGAAGAGDDDHHDGGGGGGGGGGGVNVGNDNDSAPLLGGDGVDGGVGHAHLGATFLASKFAKNTKRGAAMHHQKMDDVSTIKFPKLAKPDEPDFSLHTDDVL >Et_2B_020586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21643362:21646406:1 gene:Et_2B_020586 transcript:Et_2B_020586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCAFTLLSPASVSSSSAKPPHRFRRSGVVAMASVGQKVYAPGVAVSEGNGGLPKIDLKSPHGSEAEIYLFGACVTSLKVSNGKDLLFVRPDAVFNGTKPISGGIPHCFPQFGPGPMQQHGFARNMNWSITDSEVTEGDPGVTLELTDNTYSRSMWDYSFQALYKVALHSTSLSTTLKITNTDDKPFSFNSALHTYFRASISGVSVKGLKGCKTLNKDPDPNNPLEGKEEREQVTFPGFVDCIYLGAPSEVTLDNGLGDKIVISSSNWSDAVLWNPHLQMEACYKDFVCVENAKIDMVQLGPKQSWVAEQKIELV >Et_1B_013485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:922647:925407:1 gene:Et_1B_013485 transcript:Et_1B_013485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGHSSGKSLERVISRKALQAGSSAPCKSWVTGFFCGVCIMYLFGVALPPFRVLQSISDDPPLRRAILWSSTLIDPDGPTTPDELVSAEEKIENTKRNGHITEDSIMRLYNAWSFLLNTSRDEVQKSSGVPRPPHLDNCRLNVQRNKKFDTYGDDGSFPPWALWKGSLGLELLNQTSENANAAKHYPPWIAGSDEENYPLTRRVQRDIWIHQHPPNCSDPSLRFLVADWERLPGFGIGGQLAGMSGLLAIAMKEKRILVASYYNRADHNGCKGVSRSSWSCYFFPETSSDCHNRAFHVMQSKASWADGTVTVKENYTSKQIWVGHIPRLWGKPWKYMQPTTEISGRLLTNHRKMDRRWWIAQATRYVMRFPTEYMCELLNMARHSAFGMQAAKLVLESIQNNSSKVGTTRTESDIERLVWSDHKPYIPRPLLSMHVRMGDKACEMVVVGFEEYMELAGKLRKRFPSLKNIWLSTEMQEVIERTKYYPDWNFYFTKVSRQGDNTTMAMYEASLGRETSTNYPLVNFMMATEADFFIGALGSTWCYLIDGMRNTGGKVMSGYLSVNKDRFW >Et_2B_020276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18559849:18561822:-1 gene:Et_2B_020276 transcript:Et_2B_020276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGCAAAAAAVALWWMAAGAEAVWLEIQPSATKCVSEEIQSNIVVIGDYSVLYEHHHAHPTVSVKVTSPFGDIMHKKERISVDQFAFTTAEAGNYLACFSVDGEDRGLVVKLNLEWKIGIATKDWNSVAKKEKIEGVELELVKLETWVTAIHENLLLLKSKEANMSNVSDKTNSRITWLSMLSLSVCIIVSGLQLWHLQQYFRKKKLI >Et_4A_034482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3646417:3648854:-1 gene:Et_4A_034482 transcript:Et_4A_034482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGLFFGGDRVMKFVGAFLSGKNGTIMIQFGHQMPDYDSSATQSTSGSHQEVSGMSEGSLNEHNDRSGNLDGYTKSDENKMMSALSLGNPETGYAHPKPDRGQSYAISYPYADPFYGGAVATYGSHAIMHPQIVGMVPSSRVPLPIEPAAEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKNRKPYLHESRHQHAMKRARGTGGRFLNTKQQAEAPGGGTSDAQRMPANGGLFTKHEHNLPPGDFRYHGRGGA >Et_3B_031604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5903159:5906466:-1 gene:Et_3B_031604 transcript:Et_3B_031604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPVSLSRKNLTCQTQMGSPAAEFTPLSRLTLGNHKRCRVRVRVSRMWVALDPVDGTEFSLDCLLIDDEGGTMQACACLNEMNRLKQQIVEGKIYDLSNFTVCSRLNSYMACRNDLMMQIGEQTVVEEIEDDTGSSIPIHSFDFVDFKDVNRRNRDRSFFHRGEKVKIALFGDLGHNFDEELVRIRGQHAPVVAVFAGMLVQYYTGIGLTVRSSSASKYYLNLEIPEVHQFCASLPDQHVSIRQLPCEVQNPVNPFEFVKNWRTIKQLKSLDSHELQLDAVMEDATGTVIVMIFDAVAEELIGVAAKKIADEVTGLTADKISDLLSSSPGRVFVVAFANRNFVVKRVLNNDMLQQLGSCQVAVAATSGSGFASQEEGSSASSSTCSLKVKKEENMVMMGEERELKRLKMSDEPDPTDVTKEERMVKRWKK >Et_4B_038881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5659008:5663135:1 gene:Et_4B_038881 transcript:Et_4B_038881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKRTPQPAAVVAGSPAAGEVPGDVGAPPAGKGPASAPVGVVPKPPDVAPFLTKVYDMVSDPATDSVISWGPTGGSFVIWDSHAFERDLLPRHFKHNHFTSFIRQLNTYGFRKVDQDRWEWANEGFVKDQKHLLKTIKRKKKTSQETPDPPQAPVKTPPGTENIEIGNYGGLEKEVETLKRDKTLLMQQLVDLRQYQQSSSLELQNLIQRLHVMEKNQQQMMALLAIVVSNPDFLNQLVQQQRRSSWCYDDGSKKRRYHALEQGPVADQKTSGAGAQIIQYLPPVTEISNQVMPVNGCSNLSQTVSSPALAMPMDTEPTSDNADTLGSTRNIFTDSSAQHEVDDMLLFVDDLESMLQLDDQDCQLEEQQDNQMEAQQNCEMEPPLTIEDYPNFELDCQMGAQQNCQNPQYGLGDMSPLPEGRCIP >Et_5A_042820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:583822:584871:1 gene:Et_5A_042820 transcript:Et_5A_042820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRDRREMSSEDESSAVSGDLRRGPWTVEEDLLLVNYIAAHGEGRWNALARCAGLNRTGKSCRLRWLNYLRPDLRRGNMTAQEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHARQLGCDVNSQQFKDLMRYLWMPRLLERIHHSDDSKAPPHQQQQLSSAAAWSPADEVLLAPANNNEMMELSCTTAASSSSSVSTDGMPMQQQQPIVSLPSMVSAGAADWPCTGGSMMSMCDTWCQPPPPPQQTEFPAQVETCWTELQGLQTGVYGGDMAAALPELGDTMWGADDLWYTHIMGL >Et_6A_046567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17117506:17120559:1 gene:Et_6A_046567 transcript:Et_6A_046567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWPGSSTRREWRSQWRQDVPVLAFHALHGIGVTCISPYAVPTLMVVDQFSMTMLQGAADEVQVAIIIRSLGELKGATGSTKPGPPCTSPRTTLQTHEPAVPYGATGDLGGWTKMDSAKDDHASQASAMPLTKGRLEGKIALITGGASGLGKATAEEFIKEGATAVVIADVNSKLGLETAEKLGPKAHFIPCDVTDEDSVAAAVDATVSRHGRLDVVLNSAGIVGSLSGTSTMADLDLAQFNAVMAVNVRGTLARIKHATRVMAAAGGGGGGGSILCMASFAVAGIVKAAAAELSRLGVRVNCISPYAVPTPMVVEQFSTMIQGAADETQVAAIVRGLGELKGATCEAVDVAKASVYLASDDAKYVSGHNLVVDGGFTSYKRMNLPFPTKASE >Et_10B_003961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8529219:8535220:1 gene:Et_10B_003961 transcript:Et_10B_003961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPEAARGVNNYIQIHVAVADPACDEPERSESAADWREQSLNRFVRFVAFGEWAGNAFGALAFVWATVVLLGGFCTSLKSEDFWFAAVMIFLEAFRTNVEAPKMPPFLVNRNDVMHIPNSGYSWIRIFSRNYTLDNQSLFGTNRALRWISWSFARMLTRPQEGDEVVLIMGLCINVVNKISLGSISVVLRAGLLIFINKWHLQGAPQLTSAQRQVRVLQLWAVLVAYLIVAAGNLSTNIYFMMKEGAVVDPYIACWLADTLAGILAQAAAVLLLNLRPQRIANLTVRHGGRKLVYLAKVISAVWLAFNSVLVLLPGRAGILPLSLVSKFSAPFTIVVLSLGSLQTPATANTLFGRWIDAIVHILFLWDLIIPYHHCPHICQLSFFSLGVLLVGNLQIPVAVAQVVLSSLRLHGLRAAHHDYYQQPQDQSQNLSLLLRSGFTGQWGSKAVNLYYQRAYTTRMETGVLAAGSMMTLDTFAVESLSSSTREVQLVGIRILDSLLYESGNSRKGRKNKLIARVTSSRKALSSLIIMLSGSSTDEQDRSIRLFAARVTAKIADRLRISEAPPGMLKSISSLLNAKKLSQTASGNGGKNAGSRHTDGQSAEGKNGGPFLVCRFLQRMKDDWSIPEDPPLTQEDSFPVLGMVILEKLAQDPDNCIEIVNATTLVSKIIRLIRYTADDDNAVLCSSLYLVGRLASTEGKIGATLRQELWESPFLLDKLEGVLEDSRSSPKVWTPAMVIIAKLALDEDTRQEIGSTLVIISKLIHAFLGRDEPNDQSLRMAAGEALVNLTIENTANCSAILEESGYELVKDLKDMICEAEYRIYMYVAASLLHNVSAHSRDKLMSHPGAREQLRSALPAVMENIVSVEGKQLEALIGLASQISSIPECFVFELESQTNGTEVVKKLVSTLNSNRKPSPEYPRMRRVIVEMVISLVRSYPIYRSMLIEERVIEALSKAARTHPRWRSTGSSLVTREWLWREAYLYATLQTARKA >Et_2A_017698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5216049:5222269:-1 gene:Et_2A_017698 transcript:Et_2A_017698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPVLPRLGAAAPSIRIRLIDHPLFLTGSRNLWNNQVFKNSWRLVGGVPNMFGLPSRLFRCMASSGSGDGTFARPTSTDEAVAPLPLYSWPDKQRPRVCILGGGFGGLYTALRLESLVWPSDKKPQVVLVDQSDRFVFKPMLYELLSGEVDVWEIAPYFTDLLKSTSIQFVRDSVKLLRPSDHFRRERGESCTGGVVHLESGTVIEYDWLVLALGAEAKIDVVPGSAEYALPFTTLEDALRVESKLKMLERKRFGKSAPPIEVAVVGLGYSGVELSATISERLKNTGTVKAINVQTTICPTAPQGNRDAALKVLESLNIQLFLGYYVTCIREASTSDDSSITVEDSEVDGDHKKLILDLQPAERGRQGQVLEADLVLWTVGSTSQIPRLQPPDAPYVIPLNGRGQVETEETLQVKGHPRTFAIGDSAALRDPSGKLLPSTAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGRNDAAITASFIEGLTLEGPVGHAARKLVYCLRMPTDEHRVKVGISWFAKTAIDSLASVQNAVANSFPIPTISITNRTRPPSGAMDPDSEVAFDFPPYLCEYKSGRIFRPGGAPTAPTGTDARTGVVSKDISAGAAKVRVYLPPGATGKIPVIVYFHGGGFVVGSPARPGTHAYLNDLVARSGAIGVSVYYRLAPEHKLPAAYDDGWAGVRWAATLGDGAEPWLLDHADLSRVFVAGCSAGANIAHNMAVRAAGASALPDGVTLRGLALVHPYFTGKEAVGGEIEFGPEIREFMDRTWRYVVSDTSGLDDPRVDPFVDDAARKASAGIPCQRVLVCVAENDVLLKERALWYYREIKASGYAGEVELFESKGVGHAFHFDVLESEPAVELQERIVAFIKK >Et_2B_021309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28549636:28554149:1 gene:Et_2B_021309 transcript:Et_2B_021309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTTLRTPPFSHTEPTPHNGGRKVLRNRLPDPLRSSSRRLVVSLLRPSLSSASSSLSTAPRDLASPLRIETLATAPGGPAAARSLVHRRGEGAAAACRGGRRRPMGGAVSGGAAAVDAEGASYPVLLNVYDLTPLNNYLHWCGLGIFHSAVEVHGSEYSFGAHDHPSSGVFEVEPKNCPGFIYRCSIFIGHTSLNPLEFREFIQRMASEYHGDTYHLISKNCNHFTDDLCIRMTGKSIPGWVNRLARLGAFCNCLLPESMRLESTETKHLADCRFSDGSNTTSNENFDEDDLEDKHLLPTSSVSEDAIVKEVHR >Et_4A_033162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19377302:19395145:1 gene:Et_4A_033162 transcript:Et_4A_033162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSDTSLCVTHHQTHFTLHGPTGAEGLHALAEKAVQLVLADGSAAGGPHVAFANGVFVEASLKLKPVFQEVAVGQYKAETFSVDFKNKVQLAVLMMAHQNIKQLSQNIMVNSIVFLSLFQSIWKLCTSTLHHDSPPPMTHIYSQAAEVVAQVNTWVEKATSGLIKELRPTQYVDKTTRLAIGLRNLMRQKQKDGEFHLLDGNSVQSLFMTTKRKQYLASFENFKVLQLPYQSICRCGCSQVVANRTHGFCCRSSFPIRDPRRHDWCCSIRWSCGSWMKSWNSQPEFVENHIPTRKVEVGQFKVPNLATTSDTSLSVAHQTRFALRLAAAIFSPSQPQAAGSATNAAFSPLSLHVLLSLLAAGAGSGTRDQLAAVLGGGDGLGGTDGLHALAEKVVQLVLADGSAAGGPHVAFANGVFVDASLKLKPAFREVAVGKYKAETHSVDFKNKVEVVGQVNSWVEKATSGLIKELLPTKSVDETTRLVLANALYFKGDWAEKFDASKTKDGEFHLLDGSSVQAPFMTTKRKQYLASFENFKVLQLPYQSGGDKRRFSMYILLPEAKDGLWSLAENLSSEPEFLEKHIPMTKVPVGQFKVPKFKISFGFEASDLLKGLGLQLPFSEKADLSKLADSPVEQNFGVSSVFHKSFVEVNEDGTEAAAASAAVVVMFCSYSYTPPLDFVADHPFLFLIREDTTGAMLFVGHNFYFSSIFHKSFVEVNEEGTEAAAQPAAALSLLSAGAGGATRDQLASVLSGGDGLGGADGLHALAEQMVHVALADGSTVGGPRIAFANSVFVDSLLKLKPAFQEIATGKYKAETQSVDFRRKAAEAAAQVNSWVENVTMSLIKDILPPDSVDHTTKLVLVNALYFKGTWSEKFDPSKTKDHQFHLLDGSSVQAPFMSSKEDQYIASFDNLQVLKLPYQKGGDNRKFSMYILLPAAKDGLWSLAEKLSSEPEFLENHIPRWKVEVGQFKVPKFKISSAFEASKSLKTLGLHLPFSQKADISEFVAASDAEAQDLAVSSVLHKSFVEVNEEGTEAAAATAIVFTTTSLEYSLPTDFVADHPFLFLIREDTTGVVLFAGHVGGRSIDNIFAHSHIVYHNN >Et_6B_048594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11168313:11169557:-1 gene:Et_6B_048594 transcript:Et_6B_048594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRFFAYDPSDYYYYPATYHYPNPYYQHHPAPARSAGASGFFPFAGDADQYYGFQREPSFARGAGGFFATDEEVDPYYQPAPARRAGGLFPAAAGNVEPAATARAAAARPKMAGSSRSVSVPVAREADALRGDARARVAVGEELMRLLLRLDAVRGAREFRKRVAKRVLALQDAVDALEQRPAPVADASEAGSSTVEMVAEDEATAPVRTDQNSVAEAPAEMDVDKDRPEAEDSEPAANEDAEGEWEMVADEPAAPRPVPTALQPAEEEVTGRPAAAGGGGVDANKLMAMVAALCEQSAQQCAVIGALADRVDALERALRRVEDTERRRRRAKKLRKEGKGSNRDRCYSD >Et_2A_018390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2684331:2688317:-1 gene:Et_2A_018390 transcript:Et_2A_018390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDFRGRSGSGSYGAPPGGPAGGGPALYPRVGQPTHGGGGSTASPRAPPYNHGPAAPGSSAPIATPLAPTSSSSSRVGINVMIKPEYRITPPPQLEPHMADIPRSTFNFDFEYERKILAEAEKENPNWSKFTRPASSGDPVVDKYVAMGLGREAVSFAVLNFGDNPMKACPFLLVKEFVKSYNILHEMGFTSPNVPELLAIHDNDPDKVIQRLLSSPS >Et_7A_050512.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:20900946:20901686:1 gene:Et_7A_050512 transcript:Et_7A_050512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRSWRPSTYHEGVTMETVRPRQQLAAPRVPRGRVAPSGGSPRRRHVRRAALRSTSPRSSVCPPTSSSRPGRCLDPGLLSAPPARSCMRVHVPGRASRRSCRRTPSMRTWIAATWVRSLPGVAGQSMHCVPLLRRPWLVQRRADHGSGGRAPGQREAVPVGGTRSQQSRTWTRCSPTAYCAGPIKDRGLVVRSWAPQRARCVVARVRTRVRYAPRVELARCWRHLVLSGGRGVLHFWRGRSTRSS >Et_10A_001012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20138637:20139098:-1 gene:Et_10A_001012 transcript:Et_10A_001012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LARPGSYNFSPSSPYKPKFSLRLKPRIAAKKHQKSSNSVLKCRANLHGCIDEILEIIRKRSYVVARIQVLNDQVCSEPQDDMGSLA >Et_3A_026995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2916659:2920601:-1 gene:Et_3A_026995 transcript:Et_3A_026995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPILTLPAPEGDGGGGGDAQQQQAAPPPPGARADPPATVVTHTLPIGIIHPPPDIRVIIEKTATFVAKNGPEFERRIISHNQGNAKFNFLQPSDPYHAYYQHRISELAAAPPGADAPSGGEPDGGAGPDGATPAPAPADGTAPTDGAPTADAKPDHSAPFRVLPPTKVLVPPKAELYTVRLPEGITGEELDIIKLTAQFVARNGKNFLTSLAQRESNNMQFHFIRPTHSMFPFFTSLTDAYSRVLRPEEGVPALLKELKEGSKDLTTVLERCLNRLEWDRSQEQARKLAEDEIEQERLQMSMIDWHDFVVVEEIDFADDEYEGLPVPPTLEELKRRKKMENLGEEEAMELAEPAKEVEMEMDEEEMQLVEEGMRAARLEENEGAQVKVTGDEEAPMRIVKNYKRPEERIPAERDPTKFVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMLAKIKETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGWTATQALSQGGEEQADASVDGRPIPGPAPLIRPGMPLPRPPQPLPLTNIPRFVPPNAPQYSHMPGVQMMPHMHPPPQQIPGQPQMIRMHGQMVHMPNIPPPPPGQTQFMPGPPRPYHMPPPQHMPPMINPIGIPQPPAPPLPPQPPAEEQPPPPDEPEPKRQKSDDASLVPAEQFLAQHPGPARISVSVPNLDEGNLKGQVLEIPVQSLSDTVGSLKEQIAGELQLPANKQKLSVKTSFLKDNLSLAYYNVGPGVMINLTLRERVVTEIRKFVPKSNEGSQPPLNFGSSCVVPFVLWWERVPASKKATRNVGDQ >Et_1A_005281.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:37937847:37938155:1 gene:Et_1A_005281 transcript:Et_1A_005281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGAVCSSDKENIPPPAARGIAVRKQSVMKRQGGSKAALRRRPPLRDITGLFLAASALLSPAVVDSTALPSQGVPEAARHGAPAAVALKQGRCSLRKGFR >Et_5A_042862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5782439:5784491:-1 gene:Et_5A_042862 transcript:Et_5A_042862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSLLEIIDDGPLAAVSESDRGYPNPWIQVAELHRPDAAGFLQHNAYIPPHENGSGSQPQGTATPAADAGNKTVKTDVGSKKKQVAETSLKVEMVKLPTPIFNFRAATAAKTLRWSMDCFPLSGREVLCADQSGRTFLFDMDTRRVVTMPDLCKPKRSPLSLFIPSTDMDAHDGSGDSLFIMEGTPQQEWCSGHSNQFEAFIYRKHTLTTCQPLPPPPFIRDPKCWHHRPRISSYTVIGGGAEICISVEGVGTYCFDTVKHTWRHVGDWTLPFQGKVEYVPELKLWFGLSAKTGQVAVADLSVMDSQPQLLKTWMELAPRKEWWNTRHSQFVNLGSGKFCIARIRGDWKGLKASFGNCGCIYTCEWMHAENGCILVYHPTDAGS >Et_7B_055997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:907587:908764:1 gene:Et_7B_055997 transcript:Et_7B_055997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDQGAAVKDQVALSMRLLRSLDAPTNLAFSPLSFHAVLTLLAAGATGATRDQIAAFLGPAGADAHTALASDVASFVLADRDGEVPEVRCAMGIWVDASLRLNPPSPTRPHPTAARTVAFSDDPAAASAGINRWFRSQTGGLVKDILPEGSIDNSTAVVLANSLYFNGHWYNPFFPELTKDGTFHVSPDHAVRVPFMTGSHQHTFMDIGCHPGFNVLRMPYNGNGGAQLQFAMYIYLPDERDGLQGLVRQLSSDPAAFLHKGIVPERPVTVGELKIPKFEVSLKVEASRLLRDLGLDLPFHPAADFSAMLLDSPQGMAVSSVRHQCFVNVNEEGTVAAAGTIGEIMGCAMPDDHIVDFVADHPFLFFIMEEQSGVVVFAGQVINPLLH >Et_1B_014121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31455882:31458473:-1 gene:Et_1B_014121 transcript:Et_1B_014121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEEMDGWLSWRFAAVAVVAWLALHVAARVADALWWRPRRLEAHFAAQGVRGPPYRFLLGSVKEMVALMVEASSKPMSPPTSHNALPRVLAFYHYWRKIYGSTFLIWFGPTPRLTVAEPELVREIFLTRADAFDRYEAHPVVRQLEGDGLVSLHGDKWALHRRVLTDAFYPDNLNVRDVLCSALPCWFRAPCRLLTRLVPHVGRSVASLAEKWRAMAASAGGEVEVDVAEWFQAVTEEAITRATFGRSYDDGRVVFSMQGQLMAFASEAFRKVLVPGYRFLPTKKNWQSWKLDREIRRNLTRLIARRSDEEEKAGDDAGGFRDLLGSMINAGERKTRASSSPPNKAIPVAEMLEECKTFFFAGKQTTTNLLTWATVLLAMHPEWQERARSEVLDVCGADELPAKEHLPKLKTLGMIINETLRLYPPAVATIRRAKTDVQLSDGCMIPRDMELLVPIMAIHHDTRFWGKDAAQFNPARFADGTARAAKHPLAFIPFGLGARMCIGQNLARLEAKLTMAILLQRFEFRTSPNYIHAPTVLMLLYPQYGAPVIFRPRSPHPSDPAAS >Et_10B_004314.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:3724257:3725570:1 gene:Et_10B_004314 transcript:Et_10B_004314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLLGDVLRLILELIDSPVCLVRAAATCKRWCRIISSPGFLRRFRSDHAPTLVAGDYFNHSTLLSALASVVQPRTRHRTSSSSLSVDASKTRDRPTFVPAPSSSTIDATKFSLDFLPNDEDDEPHAWTVFDSRGSLLLLIRSRLGHFDSGFPDMFVCEPATRCYKKIPPPPMLPRRGDCYKAAYLVDGETNAAGGRIGMSNFKVLCVFCRLCSRRAATFTVADGSSWSDKAPRIVLGQVDPHTIELALDNDLVRFEEDPLCPMRTLGRAGGSWYFHNTLYESYSEQLIVLDGGTGEFSMCALSFPSDSWVDFHYENTNFYVADGRDGKLRVVAVFDETLKVFARLDDGKWAPEKSVVLSEATHSLPGYDSLFFEEPLNILTRAPGFVILSPQAIETWLISVDLETMEVALAEEDMGPMVYRCELPWPPALNAGIR >Et_4A_033343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21727161:21731169:1 gene:Et_4A_033343 transcript:Et_4A_033343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRASIWKQMSEAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGLSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPTIKFTYASHKAVSEYKEAKALGIDTVPVLVGPVSYLLLSKPAKGVDKSFPLLSLLGSILLIYKEVVAELKAAGASWIQFDEPTLVKDLDAHELAAFTSAYAELESELSGLNVLVETYFADLPAESYKTLTSLSGVTAYGFDLVRGAKTLDLVKSSFPSGKYLFAGVVDGRNIWADDLAASLSTLESLEAIVGKDKLVVSTSCSLMHTAVDLVNETKLDGEIKSWLAFAAQKVVEVNALAKALAGQKDEAYFAANAAAQASRRSSPRVTNEEVQKAAAALKGSDHRRTTNVSARLDAQQKKLNLPVLPTTTIGSFPQTMDLRRVRREYKAKKISEEEYTNAIKEEIRKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKTAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAGGIQVIQIDEAALREGLPLRKSEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLDTNILWVNPDCGLKTRKYTEVKPALTNMVSATKLIRTQLASAK >Et_3A_023876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1401692:1403777:1 gene:Et_3A_023876 transcript:Et_3A_023876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVRVRSPPVLQSKLLCLSLLYLLTTLPLAIYVSFSDPGHRCTLPPFPSRASTAGVKALFEYPNGYGEHKHALTVPRALCSNPVVFAGYKTALEEINGLCRNLSVSRASPVLRYQKGTRDSFAGNLSADERRTYFSHTDNKVEIPCGFFKEFPLREVDRLAMEKCRGVVVASAILNDYDKIRQPKGLGSETLRTACFFMFVDDATHRVLARHGVVAEDEHGGASTVGAWRVARLRAGELPYDNPVMNGVIAKHLLHRLFPNARFSVWVDAKMQLTVDPLLLVHSLLVGKGVDMAVSRHPFNIHTMEEAIATARWRKWGDVESIRVQMETYCENGLQPWSPTKLPYPSDVPDTAIIIRRHGLASDLFSCLLFNELEAFNPRDQLAFAYVRDQMSPKVSMNMFEVEVFEHIAVEYRHNLKHDKTGGGKQGITRMASSRDITGSSCETYLTKMWGESTE >Et_9B_065907.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17276932:17277936:1 gene:Et_9B_065907 transcript:Et_9B_065907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRYLQHSGATDGEGDEAIDAFNRECSTSTGSDVSDDSAYTAYVEKLCRSGNLLDAVRVLRHLGDEQRHVGLHTFNLLLQQTTEANNFALFAKVFRCLLLSKLAPDESSYKNVAKALQKVDDCELILKFIGEILEITHDRDPTVMNRILFATAKYGDIDKSLIIFQELKKDRSRLDVVTFNTILDVLGKAGRVDQMLHELKVMEEHGHSPDIVTYNTVINCLRRLGQLHLCRRFAAEMFAKGITPDLRTHTALIDSLGRAGHIADALEMFEQMKKSHQPSIYVYRALINDAKKAGQYELAQKLSEEMNSRASDLLGPEHFKQKFKGRRIRNNR >Et_5A_041926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4375147:4379564:-1 gene:Et_5A_041926 transcript:Et_5A_041926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQQKEAAAMMQQAAALQSMYAMPAPLPAHHPLLAAAPPQQIEPIVTGNLPPGFDTSTCRSVYVGNVHVQVTDALLREVFQSVGLVEGCKLIRKEKSSYGFVDYYERRSAALAILTLNGRQIFGQPIRVNWAYASGQREDTTDHFHIFVGDLSPEVTDSTLFAFFSGFASCSDARVMWDQKTGRSRGYGFVSFRDQQDAQNAINDLNGRWLGSRQIRCNWATKGATSGEDKQASDSKSVPDSTNSLTEDGKEKVNEDAPENNPLYRTIYVGNLAHEVTQNVLHHLFYSLGAGAIEEVRVQYGKGFGFVRYSNHAEAALAIQMGNGRIIGGKPIKCSWGNKPTPPGAPSAPLPPPAASSLADSDMIAYGQAMALSRMASAEALLHAHAQQLRQAPPLGIAAVASQAMYDGTFPNVDPSQQQLMYY >Et_9A_062015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18889694:18890286:1 gene:Et_9A_062015 transcript:Et_9A_062015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PASACEILAPPPHPPIHRSAPHATSPIRGIRPLLPILPVHSHRIERQAPPSSHLSPPFKPLPSSPILHSKHHRHRRTNLSSKSHTTSEESSTRQPCRAAGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENTVTAMDVVYALKRQGRTLYGFGG >Et_6A_046777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20415438:20417438:-1 gene:Et_6A_046777 transcript:Et_6A_046777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLSVLLMLACAAALASSAAALRVELKRVHSDPGATASELMRRDMARHSRKLASLGDTLTAPTHSDAVGGGEYLMTLSIGTPPVAFPAIIDTASSTILTLCGSSPQFDPSSSSSFAELPCNSSLSPELCVDEGCPCTFKQKYAIGWASGPVGVENFTFGSAPPVAGIAFGCVNDSSGGWGGSSGLVGLGRRNQSLVSQLDIPADAFALTSDGHGGIIIDSGWTTTALVDVAYQKVRAEILNLVNLTTVDASNETQLELCFELPSDATTPAMPDMTFHFDGADMVLPPENYMFLGSGGWCLYLESATASSGSVLGNYQQQNMHILFDVEKEMLSFAPADCSTV >Et_4A_034252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31171244:31174586:-1 gene:Et_4A_034252 transcript:Et_4A_034252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATICPLATAFFLCSILLIETICTSGTFLDFSYDSKHGVIPSLSVTTEYRVLVTERQHAYIFLKPLKCRARPCRAVHLAGSLVREVLVPNQHLNFSNVIVTATETQLGALRRSLQSVHASLGAAGLGVSVSPELSLSSLRNLAKNRVGKRQWGKVMEFVRRSGSFVQVEVEAEVNDELAIAAAMQAAVADIAALSGADAGVVLRLKSRAAPPPPSAAEMAKLVGEMSREKRILGVLVDASSSSPPRRELAEARATKRDDVTNPVTVPATNPTTSPLSPGIVTVPSTNPPGMGFASNLNLPPLYPQPTPTSPVTMPDPTTTTPTTTMPSPAVPDMNPVTAPATMPGTVTNPATTPSSPVTNPATTYPSPQQGGAGGVGVGVGTGGMPTTPVYQPPATMPGTVQQPGSPAVSGQTWCVAKTGLSDMVMQNGIDYACGIGGADCSAIQPMGSCYNPNTVQAHASYAFNSYFQRNPAPASCDFGGAGILVNVNPSSGTCMYQTSAGFSAGSSSGVTGTVPTGYIPGVTGTGPAGTPFGGGGTGSTVLNANNPGGNSMYGGSDPTSLTSSSASLSCGWVLCLIWMITFAFVKEKADRINVEFLSLTLQQMQKFALHVNALENTIPHTVCARYYSRSKSGQQGWREAGRYRVNQGSQAIPIFSVETVITGSIGFHLMRQ >Et_4B_036338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18587193:18588290:1 gene:Et_4B_036338 transcript:Et_4B_036338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALGAVAAASQRGRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPRPPASAGQHNVPSPPTLSALPSKVTNLLLLRLKKARSDNYLNASATMQQSLLQQQQQLGREGRAGHEDCGFQVDDFLSYDTTCGDELAGSVAKHEEASSNCFSQETDDGGEDEAEAPLDFGFMDAEPSPPTCREIGDTGLYSPFEMVAAELAGGGGTAIHEVMKRMKYERKISASLYALTGVSECLRMRHGAGGGHELAFSGLREACRKKHEQLEQQAGEAGQEESSTSSSSEAASSSPEQANDTDSDVILWSSLDLPPIC >Et_3A_027234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5503091:5506196:-1 gene:Et_3A_027234 transcript:Et_3A_027234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAQEVGEGVNVVGFEVPASPDASYNNPVPGNEDEAREPPLVPPHLQHTLLSFPPSHDDSSSLPPPQNVVLNHLYIEKENTRSVVALGITHRFRAKFVTVVLYKPVMRVPVGWSVQLNPVVFLEDDDMADDSDVLFIVPVTLYDFIWVGCVGIRNGQ >Et_2A_016678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27137184:27139730:1 gene:Et_2A_016678 transcript:Et_2A_016678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALCDRLFADDVVDGELQHPSDAEDLFGILEAWEDCVSGGSTPRGGVEALLSADAATPRSSSSAAAAAVNGKRPQAAPVCDSGDDGVVVANEPTKRQRCSSVPVVATTTAGGEGAAKQTTTTSHIAVERNRRKQMNDHLAVLRSLMPCFYVKRGDQASIIGGVVDYIKELQQLLQSLEAKKQRKAYTEHQVLSPRPPLSPRPPLPSSLKSTPPMSPRPTVLPISPRTPPTPSSPYKQLCRHPSLPPLSLPLHLPPPPPPPGSAYASPAMTPTSTTTQESYQLPSSLDAIAAELCAYAANSKQQPTVPEVKVEFSGANLVVKTVSPRAPGQAVKVIAALEGRALEILHAKISTVDDTAVNSFTIKIGIECDLSAEELVQEIQQAFS >Et_3A_025451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:351881:355246:1 gene:Et_3A_025451 transcript:Et_3A_025451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTKTHGDDLTRNADEDLTTSKCDGKTTIYDLTSSNKRIKGGEEPLHSGPITVYTCSGVAVQREKSIMRLLTSANLAVTFMEKRKAGRVVMIQVRHVFSNVTEGYVEEFDLDHGTAFVKVALCLDVCVAHIRNGMEILPNSLLVAIQLIDSGASSPLRRVLTKVSSASEVGKLFCEFSKREWKICASMRSLNQSRSGRVLINPREVRRRDGKHYNMTPDAIELNCQDLAEEGLNSLGYPKHPDTDVDGSMVLVNTFEEIFGDPYDSGKGAWRRVSKTVAEDLSQSVVSLASFTGKMRFFACSGIIISWSGCTTILTSASLVRNPLDEKKIIENLRIEVLLPNKRRTVGTLKHYNLHYNVAIVSFQGFDDLCATNILDPMGHHSSSLVVAVGRCFESSMLMATKGGGGPDWVAEQIRLQMLLRIPLVKSLRLVSEVHLLILKGNLLA >Et_3A_025404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29600050:29612207:1 gene:Et_3A_025404 transcript:Et_3A_025404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPHFSDQTHHGPTPAPPKPNPAKSSSPGRPGARRFVLPPPKQEQQQSDPAAADLICHDLLLLVVPPHSRAAPVPPRRREFGARGGQRRGCRVADADSPPPVPTDPSAIPTRRIIPKVSRVNRRSVSASVLEFRHAFGTVVSLSDFSMTDSVPDNVVLEKCLKDILALIKPEEDDRNKRVSSILELENCMHSVGALKGAAVKPFGSFVSDLYSKSGDLDLSVHIGNGSNLPINKKKKQNVLREVRRALLSRGVAGYMQFIPHARVPVLQYVSNRFGISCDISIDNYAGRIKSKIFYWVNTLDERFGDMVLLIKEWAKAQNINDPKTGSLNSYSLCLLVLFHFQINEICAANIARFQLQNKGQKNESSVCRLLASFFHKFIHIDAHAEEVVSTFTGQFERTKDNPSRKAKPYHLFVEDPVERPDNAARAVSMKGLHRIASAFNDAYRKLDSLECIDRNDLLALLCTPGVSSKLGGRVMANSYTNTPQRSHQHIRSVGHGGHNQRFREARGLTGSGPVQRNQRPYTADFSMTQYDHTYDLLKTCIEDILSVIKPVEDDRKKRLRAIQELTDSVNSVGALRGAAIKPFGSFVSNLYAKSGDLDVSVDPRSVSVLPISRTKKQNVLRELMRALQIRGVARSMHFIPNARVPVLQYVSNRFGISCDISINNYPGQIKSRIFYWINTIDDRFGDTVLLVKEWAKAQNINDPKNGTLNSYSLCLLVLFHFQKHVDEVCARNIARFLRQNTGQRNQSSVSKLLASFFRKFFHIEDLSGQVISTYTGWFERIQGNRNWMAKSYRLFIEDPFERPDNAARTVGSEELPLIGSAFNYVSYRFTAGALADRNELVSLLCTPTVRAMLGDRGSTGRRTSQASTGHVTAGLYQNNNRSRVYTTGLERAVPYQYHDDLRLYAARRPQASQFQNEPRRSEYSPYQPAATTRYGPVGRRFYNGPAWDYGS >Et_4B_036264.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1469947:1470030:-1 gene:Et_4B_036264 transcript:Et_4B_036264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFISWMGFYLPVYGFLTCVQNRCFV >Et_5B_044778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5680079:5683134:-1 gene:Et_5B_044778 transcript:Et_5B_044778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNACWELYCLEHGIEPDGTMPSDTSVGVAHDAFNTFFSETGSGKHVPRAIFVDLEPTVIDEEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDEGDEGEDY >Et_5A_042090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6208688:6210998:1 gene:Et_5A_042090 transcript:Et_5A_042090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSTRLFHNLAVFLLLTQLTDSALVPKIKNHTELKPQASNIYIVHANHLAKPPHFVDLEQWYHSLVAPQTANTSGRILYTYDATMHGFAVELTGDEARHMSSYPGVTGVYKDTVFYPQTTRSLGFMALSPANGAWNETDFGDGVIIGFVDTGIWPESASFNDSGLGPVRPSWRGMCVDADSFNASLCNNKLIGAKAFDAAAQARVGMKSTGTVPSPMDEVGHGTHVASTAAGAEVPGAGMMSMFSRGTARGMAPKARIAVYKACGPVDCPTADIIAAVEAAVKDGVDIISMSLAGDPTPLYKDAVAISTFGAEKKGIFVAMAGGNSGPRASTVSNTAPWITTVGASTIDRVFPANLMLGNGVVIAGQSLYTMKAKGTGMIQLVSSENCEHAVGSWTPDTVMGKIMVCMKKGYGEFGVALQKAGGAGMVVVDRTEWSRDGVEAIPISLPGLTLSVSSSKKLRTYMASTANPVASFSFGCETIRTGHRWSRASRRGGLNLAAPELLKPDLLAPGVNILAAWSADAPLFDDSSANYNIISGTSMATPHVAGVAALIKKKHPGWTPAMIRSALMTTAGTLDNMGHSILDNGATHGRYDSARVATPFASGAGHIRPNLPLDPGLVYDAGERDYLDFLCALDYTAELIRLFAPDFVKCTTTLPGVVAGLNYPSFVVSFDNGTDVRPLTRTMTAVSKKAETYNVTIAAPEPVKVTVTPATLEFKKPNEKKSYTVEFRSLAGGNATAGWGFGYINWENEDRRVRSPVAFQWNN >Et_1A_006808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27804297:27804788:1 gene:Et_1A_006808 transcript:Et_1A_006808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HPSLLRTKLVATQQQTDAPFGVATPAQSPNGPRYRVTRVLPPRLVLLSDSLRPSPPPYIFRRGPSSRRRHLTRTSPYELASFWPSGRAVASSRFLSAVVPNVMLGWATQSLEPSRTCLLSSLPSYSAPLGVVPSRVPPVKYPYQRVPAK >Et_3A_026315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6705276:6706344:-1 gene:Et_3A_026315 transcript:Et_3A_026315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCKCGSGCGGCKMYPEMAEEVTTTQTVIMGVAPSKGHAEDGFEAGAENDGCKCGANCTCNPCKCGK >Et_7A_051507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19759656:19764033:-1 gene:Et_7A_051507 transcript:Et_7A_051507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLRAHFNIPDKSVKVRGVYVKKKGDISVECLQAEILKKKLDELTWRCFFMILSARFLFPTGKYNVGQRDIEFAMEPTMLGRVDVSNAVWLFLQNALKEWHNRDRRTQNPAIQGCGLFLIIFYLDNLHHPITQASPHEPVAILSTEFGLTDSEDDNSPAAHEGLCAFHLPSIRELVAPNLRALRPSLRIQALETLDKFDADALVITNKIAGEQRRIAGEQRKLRNKTIENILRITETPHGAEEHENDDQLVKSPSPAAEENENDDQPVKSPSPAAEEHENDDQLEKSPSPAAEEHENDDQNTKGNSPADVTEKPHIENEKDADSTDADSNKVTEAKDVVAQEMGTGFHIFPVGNEADIHRDMSGTQTQIASGTGDDGVCRPTGVSGCNVFDGRSSLGYRGACYGVTFAKSKQYLGYIGDCAWFHEDAMGRRIMTRPPHASRHEHFVPLQIPNMRRQAGEGVSANSDELPQLGHNRDFVPEDLVSVLKLTLPDKVNWKKLKVILMPVFHHEHYSLYAVNYGQHRIDVIDSINYEDRGSKFEDHHDPIG >Et_4B_039120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:868820:872017:-1 gene:Et_4B_039120 transcript:Et_4B_039120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGDGAARQAELRRIEGNACFKKARLGAAIDCYTEASKPLPVSGTFSPCKGAIALCPDVAVYWINRGLCHFRRNLVYYPLPSPYWLFILLVTKDWAKVEEDSRRALALDDTLVKGHYLLGYAMLEKEEFPLAIKEFEKGLNLLKSTNSAGSMAEDMWQVLAKAKYLDWEQHSTERVWKMQSLKEACENALQEHHFLSGTLAEDSDGSSIEYSEQLKLLSEVFTKATLADTPTDVPDYLCCQITFEIFRDPVITPSGVTYERAVLLEHLHKVGSFDPVTREPLKEHQLVPNLAIKEAVQAYLKEHSWAYRLN >Et_7A_050537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23303539:23303945:1 gene:Et_7A_050537 transcript:Et_7A_050537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKEMILEASHLKQTKKMAGALCWALSLTRNDFIFRNKLSNTLQAVAHRAGALMQRWRSLSKPEIA >Et_4A_034188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30624352:30626398:1 gene:Et_4A_034188 transcript:Et_4A_034188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGVQAWLSSRVLLALFAAMRAEDTVTADRALSGDAKLVSRGGKFALGFFQPDGGAAGNWYVGIWYHDISVRTSVWVANRERPVSDPAASRLVIAPDGNLVLLDHHGSLAWSTNAAGNANAPPTAAVLHDTGNLVLAPASNASASFDHIGDTWLPGGKLGWDKAMGVSQGMKSWRARGDPAPGPYTLALQQLPGQPQLVLLWEGALEYWNIAIDDFADLNGHVFNSDARLADNSTVYRFVADVSGQLKAWLWVEGLQAWSLDYAEPKASCTVVPYGHGCGAFGVRLRLRHRVRAAGRRELETRRLHRRLRAERRAAVPQRRMDHMRLPDYGRVAGSTSAVDCERECLGDCSCSAYAFDESCILWHKDLQNLEDNYRSPRTLYLRLAASELPGVIRSHKRRAVAITLGALAVICFVVTVAVVLIVRSTMAARKVQALATSEGFVTSFKYRDLKSFTKNFSDKLGCGAFGSVFRGQLPGHATTTTIAVKKLEGLRQGEKQFRAEVSTLGTVRHVNLIRLLGFCSEGGGRKLLVYEYMPHGSLDRHLFGATLSWRMRYNVAVGVAKGLAYLHDKCRDCIIHCDVKPENILLDGEFAPKAARSVGALNRFFACARYKYLCTLNIET >Et_5A_042364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9153724:9177615:-1 gene:Et_5A_042364 transcript:Et_5A_042364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLHSSSSPNQPSEPSLAPHRRGAHKAQNSMAAEIAPASASRRSWLSAASISRSLRSSDDPFRRSSRGGDGEDDEENLRWAALEKLPTYDRMRRGIIRKAVGEDGDGGVVIDEVDLAKLDPRAGRELMERVFKAVEDDNERLLRRIRDRLDRVAIELPQIEVRYENLSVEADVYVGKRALPTLLNAAANVIEGFISQFGSSNKRTIKILKNVSGIIKPSRMTLLLGPPASGKSTLMRALTGKPAKNLKMSGNITYCGHTFSEFYPERTSAYVSQYDLHYGEMTVRETMDFSRRCLGIGARYDMLSELARRERNAGIKPDPEIDALMKATAIEGKETNIMTDLILKVLGLDICADIIVGDEMQRGISGGQKKRVTTGEMLTGPAKALFMDEISTGLDSSSTFQIVKYIRQMVHVLNYTVMISLLQPPPETYNLFDDVILLSEGYVVYHGPRENILEFFESAGFRCPERKGVADFLQEVTSRKDQQQYWCHDQENYHYVSVPEFVQHFKAFHVGQKLQKELHVPYDKSKTHPAALTTKKYGLSSSESLKAVLGREWLLMKHNSFIYMVKSLELFVLGLITMTVFFRTKMPHKLFSDNIKFNGALTSSLIDIMFIGFTEMNMTIQRLPVFYKQRDYLFFPAWTYGLAIIIIKLPFSFLDSFMWTCVTYYVIGFAPAAGRFFSQFLAYFLTHQMAVAMFRLLGAILKTMVVANTFGVFALLIVFLFGGFLLPRQDIKPWWIWAYWVSPMMYSNNAISVNEFLSTRWATPYSGNIDAPTVGKAILKFKGYFSGQWGYWLSIGAIVGFIILFNVLYLCALTFLSPGGNTSTVVAADDGENELENDSTNQTQMSQVTHVYLVSDTNGLANRRTQTGMILPFQPLSLSFNHMNYYVDMPAAMKEQGFTESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGTIEGDIKLSGYPKKQETFARISGYCEQTDIHSPNITVYESLFYSAWLRLSSEVDDNTRKMFVEEVMSLVELDVLRDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYAGQLGVQSHLLVEYFEAIPGIPKITEGYNPATWMLEVSSPLAEARLNVDFAEIYANSALYRSNQELIEELSVPPSGYQDISFPTKYAQNFLNQFMANVWKQFRSYWKNPPYNAMRYLITALYAVVFGTVFWRKGKNVEDQEDLANLLGATYAAVFFLGAANLLTCLPVFSIERTVFYREKAAGMYSPLSYAFALTVVEFVYNIVQGILYTVPIYAMIGYEWKADKFFYFLFFISASFLYFTMFGAMLIACTPSQVLAMILVSFSLNTWNIFAGFLIARPAIPVWWRWFFWTDPLSWTIYGVTASQFGDMGDQVKVPGSNTVIVVKDFLKQNLGYKHDFVGYVLLAHFGYIILFVFLFAYGTKALNFQKRRRSWLSAASISRSLRSAEADDPFGNSRSRGGAGVEDDEENLRWAALEKLPTYDRMRRGIIRQAAGEEGHLKGGVDEVDLARLDPQAGRELMERVFKAVEDDNERVLRRFRDRLDLVGIELPQIEVRYENLNVEADVHVGKRALPTLINAAINVLEGVISRFGSSNKSTIKILKDVSGIIKPSRMTLLLGPPGSGKSTLMRALTGKSDKNLKMSGNITYCGHTFSEFYPERTSAYVSQYDLHYGEMTVRETMDFSRRCLGVGARYDMLSELSRREQNAGIKPDPEIDALMKATAVEGKETNIMTDLILKVLGLDICADIIVGDEMKRGISGGQKKRVTTGEMLTGPAKALFMDEISTGLDSSSTFQIVKYIRQTVHVLNYTVMISLLQPPPETYNLFDDVILLSEGHIVYHGPRENILEFFESAGFRCPERKGVADFLQEVTSRKDQQQYWFHDQEHYRYVSVPEFVQHFKTFHVGQKLQKELQVPYDKTKTHPAALTTKKYGLPSSESLKAVLAREWLLMKRNSFLYIFKSFQLFILGLITMTVFFRTKMPHKLFSDNTKFNGALATSLITIMFNGITEMNMTIKKLPVFYKQRDYLFFPAWTYGLATIILKLPFSFLDSFLWTSVTYYVMGFTPAAGRFFSQFLAYFLTHQMAVAMFRVLGAVLKTMVVANTFGMFSLLIVFLFGGFLIPRQDIKPWWIWGYWVSPMMYSNNAISVNEFLSTRWATPYNGSIDAPTVGKAILKFKGYFSGQWGYWLSIGAIVGFIILFNILYLCALTFLSPGGNTSTVVADDDDENELENAVNQTQMSQVTRGTDGAANRRTQTGMILPFQPLALSFNHMNYYVDMPAAMKEQGFTESRLQLLSDISGAFKPGVLTALVGVSGAGKTTLMDVLAGRKTSGTIEGDIKLSGYPKKQETFARISGYCEQTDIHSPNVTVYESLLYSAWLRLSSDVDENTRKMFVEEVMSLVELDVLRDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYAGQLGAQSHLLVKYFEAIPGVPKITEGYNPATWMLEVSSSLAEARLNVDFAEIYANSALYRSNQELIKELSIPPSGYQDISFPTKYAQNFLNQFMANMWKQFRSYWKNPPYNAMRYLMTALYAIVFGTVFWRKGKNVENQEDLVNLLGATYAAVFFLGAANLLSCLPVFSIERTVFYRENAAGMYSPLSYAFALTVVELVYNIAQGILYTVPIYAMIGYEWKADKFFYFLFFISACFLYFTMFGAMLIACTPSQILANILVSFALTGWNIFAGFLIARPAIPVWWRWFYWADPVSWTIYGVTASQFGNIGDTVKVPGSATGIVVKEFLDRNLGYKHDFVGYVLLAHFGYVILFVFLFAYGTKALNFQKR >Et_3A_026258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6071016:6074000:1 gene:Et_3A_026258 transcript:Et_3A_026258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPDQGEILRPVQLQDRMHEVQLNSHTIQTRGASLAQKHTHDWVVLILLASAVVALHYVPPFGRFVGRDMMTDIRYPVKQSTVPAWSVPIISVMCPLVIFMSIYISRRDVYDLHHAALGVLFAVLITAFFTGVIKTAVGRPRPDFFWRCFPDGKQLYDQVTGGVICHGENSFLRDGRKSFPSGSFAGLGFLSLYLSGKIRVFDRQGHVAKLCIVILPLLIASLVGISRIDDYRHHWEDVFVGGLIGYIMAVLCYLHFFPPPYHNQGWGPYAYFHMLEELQAANSNGAQDQQSTCGHHIGLSEQQHDSRPRNDLESGNV >Et_9A_062808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5149772:5164571:-1 gene:Et_9A_062808 transcript:Et_9A_062808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNGGEDEPACGASPSREAWFADCLLQEDTYRLLLRRSAFVGDVFVSSLDGASRGAAAKTARHGTFTATATAMVMIAARNTTELPALGTKKPRKPYTISRPREKWTVEEHESFVHALLVFGRDWKTIEQFVGTKTATQIRSHAQKYFLKVHKQGLATALPPPHPRRAAAERGGLGGIVHRGWTALVASGGRLFMARAPSSSSEEASLLHQLPLSPDDLRFAEVYRFVGDVFGSGVPRPVEAHLPRLQAMDPVVAETILLVLWNLEDHLYGDDGGEEKVGVAGIGDEEASEGVHDLEAAGEVDGRRARPLCPRLHALLIFGRDWKTIEQFVGTKTATQIRSHAQKYFIKVQKQGLAAALPPPHPRRAAVLADWAAHDGTFMETSSLHQEDTVQLPLSLDDLRFAEVYRFVGDVFGSGRLWPVEAQLQRLHGVDTVVAVTILLTEKKVVMMSARNAMGTPALGTKKPRKAYTISRPREKWTADEHERFVHALLVFGRDWKTIEQFVGTKTATQIRSHAQKYFLKAHKLGPAAALPPPHPRRAAVFPTAGAADWAASCTVAGARLPAHDGSFMAATSSLTERRSNEAPVGGASPSEEVAWLADALLDQEKTVQLPLSPDDVRFAEVYRFVGDVFGSAAPWPVEAQLQRLHGMDPVVAETILLGIEEFSCVRDEARC >Et_2B_021633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3858059:3863818:1 gene:Et_2B_021633 transcript:Et_2B_021633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHGSGGLTPSPGEGEKKAINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMNKELDTIPSYPSLPSKLICKLLSLTLHADSETDEVYAQMTLQPVNKYDRDAMLASELGLKQNKQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFMMQPPAQELIAKDLHDMSWKFRHIYRGQPKRHLLTTGWSVFVSTKRLLAGDSVLFIRDEKSQLLLGIRRASRPQPALSSSVLSSDSMHIGILAAAAHAAANSSPFTIFYNPRASPSEFVIPLAKYNKALYTQVSLGMRFRMLFETEDSGVRRYMGTITGIGDLDPVRWKNSHWRNLQVGWDESTASERRTRVSIWDIEPVATPFYICPPPFFRPKLPKQPGMPDDENEVESAFKRAMPWLADDFALKDVQNALFPGLSLVQWMAMQQNPQMLTAAAPAMQSQYLASNALGMQDGTGSANEDPTKRLHIQAQNIGLPNSQAGSKVDHPAGTSLAQHQQQPLHVLQQQQVPPLQQNSAILQQQQAQLLQQNAIHLQQQQEQLQRQQSQPRHQLKAAACLQPMDQHKLKEQQPSSGQVVSQAQLLSQILQPSSSQLQQLGLPKSPTQRPGLPGLTTVGSLQQPQLTHTPQVQQTADFQQALLQGQQPQLQQLSQSDLQLQLLQKIQQQNLLSQLNPQHQSQLIQQLSQKSQEILQQQVLQHQFGGADAMGQQLKHLQQTPLNHIAGSLTAQQLVRSHSALESGEPSSSTAPSGSRISPINSLSRAHQGSRNLPEMPSTPQIDHLLQEIQSKPDNRPKTDMQGSKETTHVPNRHPASDQLDASSATSFCLDESPREGFSFPPVCLDSSVQADPRDNFLIAENVDTLMPDALLSRGMSSGKDLCNLPSAQRDHRDVENELSSAAFSSQSFGVPDMSFKPGSSSDVAVTDAGMPSQGLWNSQSQRMRTFTKVQKRGSVGRSIDITRYRGYDDLRHDLACMFGIQGQLEDPYRTDWKLVYVDHENDILLVGDDPWEEFVSCVKSIKILSSVEVQQMSLDGDLGCIPPQTQACSVSDDANAWRS >Et_4A_032366.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31895392:31895751:1 gene:Et_4A_032366 transcript:Et_4A_032366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRALLLVAAALFAAVCFAAVASADDAAAKPTILTPVAHTPLPSFEGDTPAADDAMDDDDAAPVGAPTGATMTDPKPPLTTTPGAAAGDAAAATSGASVLAARVGAVVAVAAGVFAY >Et_4B_039114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:860343:860893:1 gene:Et_4B_039114 transcript:Et_4B_039114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSVIHVELALARSCLSCTQMTRSFATGAIETKVILHQDVVVARGKMLYLSRAGL >Et_3B_030672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6091067:6091511:-1 gene:Et_3B_030672 transcript:Et_3B_030672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRAASRRDATKEEEAECQSAVLNGAKRFHLENKILKLQLALKTKELELQENRRLKLELLLKTKENESLLKQNEELKAENEKLRKTVSSLCGSVH >Et_2B_019608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1120358:1123071:1 gene:Et_2B_019608 transcript:Et_2B_019608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACLAKKQEGTFFFVQELRLQFRNHTERRAGAGSAPCRAADRTGPEVPRVLALPLPTSHAIPTLQIPNPTGHRLHHRRRLRPSPDRTAPMAASPSPLATAALGVLAFLLAAAMLPPAAAEIRETVIRADPRSIIPLDEFGFTHSGVLELNVSGIAFEPPASAELDLSQLGFFLSTLDAWVHVLRQLQDLDVTCALQSDLVKLAFSFDRLRPPSNPAGIAVARSSSFSTAFRVSDPGQYTLVFANCLGGGLKVSMDVTSAMYNVDPATGERAYLSAGASVLPSFYFLFCLAYAGLAAAWVAILLRKRAAVFRIHYFMLAVLVLKAFNLLAEAEDKSYIERTGTAHGWDVLFYIFSFLKGISLFTLIVLIGTGWSFLKPYLADKEKKVLMVVIPLQVVANIAQVVIDESGPYARDWVTWKQIFLLVDVVCCCAVLFPIVWSIKNLREAARSDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALQTITSYRYLWTSVVAGELATLAFYVFTGYRFRPEVHNPYFAIDDEEEEAAAEALKLDDEFEL >Et_1B_009818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29547493:29548275:1 gene:Et_1B_009818 transcript:Et_1B_009818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGGAASAAKPSRYESQKRRDWQTFGQYLRNHRPPLELSRCSGAHVLEFLRYLDQFGKTKVHASGCPFFGHPSPPAPCPCPLRQAWGSLDALVGRLRAAFEEHGGAPEANPFGARAVRLYLRDVRDSQAKARGIAYEKKRRKRHPPAASKQAKTATHQPEHHHHHLAPTAAAPGDPAPHFFFPHAHFFHGHHFLAAAPAPAATESATAQGPAPAVGITAEDMMLAMAEAHAAGCMMPLSVFH >Et_3B_027457.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18947567:18950077:-1 gene:Et_3B_027457 transcript:Et_3B_027457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ETSYNPFVLSLASQVTYNKVIEPLLVCKKTRNARRCNRHTQLQPQGTHARTRHKHTHETRATPTTKPPKLPLHYIALHYRARRVRTRIDHSGRPPASHSQASSAGRLAAHADERRVVDDGPAERAVGLHVVECALEDDPRAVGDGREVEHGALVPAFPPGLVRHLHGRPRRVCLGDHGPLAAVGRVAHREREAVPPPGHGAHLLHQRALPPAHQLHGARALGHREVRRVHVLDGLGGDDHPCGQRGVVVLDVGRHEHAPRRVGQEVGALLEPAVLEHVAPSAQRRRVQRPDGHAVDERAAGRVLLDARVVEDEVHGAQLRLERPRVRVPPVAAVDGLHGQHPPLGAHRHVQRLRLRAGARRAEGDGVVGAPGHGEALTDGGLVAQAHRVSALRHHQQHVPLLVPGLDVLHEPRRLPLRDRVTAANHRAVRQLRLGRALLEVAVREKTCIGVPIRRRVHGHLYVVYKFNHAIKLHLIADGAETCE >Et_4A_035212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12416122:12416875:-1 gene:Et_4A_035212 transcript:Et_4A_035212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNGGGAGARRVVVAVDESEESMHALSWCLSNVVSAAKAAKAPPPAVVLVHARPPRPLCYYPAIDGAGELLLNCSLSKMFFTTLRRLDYSSYAWMLRMRPIAEYVLTQEVRDSMDRYMAAAADTVVAKAKSICTAFPNVRVETCVEKGDPRDVICGAAEKAGADMLVMGSRGNGFLQRALLGSVSNHCVHNCKCPVVVVKRPGGNAPRHHGS >Et_10A_001551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4935759:4944159:-1 gene:Et_10A_001551 transcript:Et_10A_001551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRGDYDEQDRRVRGTEVFVGGLPRSATEGMLREWLMELIHIASTGIFYLWGDCSSANNERSEWHFKGLGYGFVRFSMREYANTAKRQKNGKKLDVDLSLDQDTLFFGNLHKEWNLEEFEELIHKTFKDVVSVDLAMASNFGSNKRHLNRGFAFVRFSSHGAAAHAVRIGSRTDFLLGGILHPAINWADKESHVDADEMAKIKTAFVGNLPANVNEEYLTKLFERFGEVERVAISKKGQYPVGFVHFSSRIDLDHAIEEMDGKTVSVARPAVHNDKKRIREEEKTRRPNISRDRPDYSYDRHGHGSLDRQGKAPRLSNAVIDIADPYESAISSLPSAVKELLLRILRLGIGTRYDIDIHGVKILNELPESSAVAILKQFLISGGDKRNKGDYFASLIAKHHAPTFDLVHTLHGTYLPRNLEIHEKRFPREDYDYMTSGWEQWIQGLRALSFPYYADDPSMSEPMIRRYAEERPTMVRNPEPRPRQGERVNMRYPEPTLLHESRHNTGKLLDRRYTREHSSKVERSPEEAVLSRERRLPHSAGYNTDIGPEFHSRSSAEYSAARQQVRFDPFTGEPYKFDPFTGEPIRPEPNERRSGSLY >Et_8A_056181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13241248:13241757:-1 gene:Et_8A_056181 transcript:Et_8A_056181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQCQGLYSTFDTGAVWKAATEGKHKFFAWLALQNKVLTAEWWNESLMRIGKKEKQIKAATLIYTIWNIWKERKRRLFQHREMTPQQVFGLIREEIKLREMAYGHSQAE >Et_10A_000980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19855706:19861610:-1 gene:Et_10A_000980 transcript:Et_10A_000980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLLHLLPRGGGLLLASLRCRSSAPSLLRSIALPTRTRAFSFSIRAFSSNSGMSAGSQEQQQQRSVEVRETVELTEKEERIFRRLLDVVRHFGLGTQLRVAGGWVRDKLLGKDSADIDIALDNIMGQTFCEKVNEYSKLIGEEQKGIGVIHNPDQSKHLETARMLIFDIWIDFVNLRSEKYAENSRIPTVEIGTAEEDAYRRDLTINSLFFNINNNSVEDLTGRGIEDLKKGLIVTPLPAKATFLDDPLRVLRAIRFAARFNFTLAEDLKEAASDVKVKSELGSKISRERIGHEIDLMMSDKHPVKAMCYIRDLGLFYVVFTFPEKSEPPVFDKCDRCCVKYIEAAWNVAYSSGCSMFTSGSDSKLQDDQRRLCLYSALFVPLRNMFYLDKKSKKVPVTSYIIRDSLKLKASDAETIVNIHAASEKFAELVRLLESNMDIGTLKEKLEDEYLEIPADLVKRVFAGLILREIKDFWRVALFISILTNPELENSMDTLNQQDELEQRKEKCLRVERSITDLDLDGVWKLKPLLDGKTIMGVMQVKSGGPLIGKWQQRLLKWQLAHPKGTMDECIEWMKQSQSKRQKVESNT >Et_8B_059877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3626804:3630606:-1 gene:Et_8B_059877 transcript:Et_8B_059877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEDCHAEWMDSDSNDDGQYDQSVSNLIDDSCAEDVKKGYDIQGIPWLGPSRDLNRQDRLEYYVNVEDESTSTEKGQVYYEFQYNTRAVKPVIFHFQLRNLVWATTKHDVYLVGYYSALHWSSLTFEKQEVIDLLGHVAPSEVHEGNLSKGFFKPEVCSLAVRDNLLVAGGFKGEIICKLENHLFSFPEVCSIRILNLTVFLSHFVPSGSVHFLASNVDGQVRDFDTETFKICNNLRFPWAVNHTSLSPDGKLAVVVGDSTVGLLVDACSGQRVHQLRGHLDYYFASAWNPDGQTFATRNQDMTCRVWDVRNLSKSVVALRGNIGAIQSIRYTSDGKFMAMAESADFVHVFDVASGFRRRQELDFFGEVAGISFSPDTEALYVGVDDEEIDGLLQFNRRRFYSYLDAEF >Et_9B_066275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9506273:9506883:1 gene:Et_9B_066275 transcript:Et_9B_066275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAYERAGMSSEEAGMFRSMIAEGAVGFAQNGMSNETIAMFHSMREAWVHPDKITLVGVLSSCAAVGALKLGAELDRYASHRCLYINIYVGTAIVDMYAKRGDLDKALQVFRKMPLKNEAHGMH >Et_10B_003743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5475385:5479107:-1 gene:Et_10B_003743 transcript:Et_10B_003743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAADGLIDLEDVEASDAEEDEEEDDDERPAAAGDELPCPFCGEELDVLGMYCHIEAQHHVEATAGVCPVCADKVGINLVPHITSEHPTFLKDKWRNRQSSYGSHSSTLALLKKNLRERDRHPLNGGSSRAISMSTVPDPLLSSFVGNFVEVDLPKDAPQVILNAADVGSDSLEQKVAESAEEPLLPEVKEERTRRSQFVQGLVSSLIFDDVL >Et_2B_022349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18873000:18875934:-1 gene:Et_2B_022349 transcript:Et_2B_022349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSAIPLYTSPPGTVYSSEFDPSSRGSPPCTTAAPPPAAVSHRLPAGGGGLSCLFSSPAAAAAPPRAPAHDELGALWHDRSDDLTVAGGGYSYSQSHSSSPLKWRDLHHHHHHSPVSVFQGPSSSSPSRSPPASWLTGRDRDRLFAGFVRNALGSCIDYAPATSPRPEVGAGELAFELDENLAETSLTCEPYARELLASAQDRHRIFHEELVVKAFLEAEKAHRGQTRASGDPYLQHCVETAVLLANIGANATVVSAGLLHDTIDDSFVDYDHIFHMFGAGVADLVEGVSKLSHLSKLARDNNTASRTVEADRLHTMLLAMADARAVLIKLADRLHNMKTLEALPLFKQQRFAKETKEIFVPLANRLGIASWKDQLENLCFKHLNPEEYKELSSKLTESFDEALITSAVDQLDKGLRDADVSYHNLSGRHKSLYSIHFKMLKKNLKMEEIHDIHGLRLVVEKEEDCYRALSVVHNLWPRVTGRFKDYISRPKINGYRSLHTVVMSEGVHPFEVQIRTKEMHLQAEYGFAAHWRYKEGTCRHSFVLQMVEWARWVLTWQCEAMSKERTASLGNGDTMTPPCPFPSHSDDCPYSYSRQCNHDGPIFVILLEHDKMSVQEFPSNSTVMDLMDRVGANSPRWSPYSIPMKEDLRPRVNHEPIGDPNRKLSMGDVVELTPALPHKSLSGYREEIQRMYDRGGFALATRGGSPRRR >Et_1B_011950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27445415:27447214:-1 gene:Et_1B_011950 transcript:Et_1B_011950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGSLALEAGHMINLQSLDVSGNRLTGEIPVSVGDCQVLQYCYLQGNFFQGKIPDSIGQLRGLLELDLSRNNLSGHIPNFLGTMKGLQKLNISYNNFDGEVPKSGIFLNASAFSVEGNTALCGGIGQLKLPPCSNHVSTTNKRPRKVIMTISLATGILIIALLLALFVFCHQRRKLRKEEHSSPSINDKHVRVSYINLANATNGFSSENLVGVGSFGSVYKGTMMITDQEVVVAVKVLNLQQRGASQSFIAECETLRCARHRNLVKILTVCSSIESGGLDFKALVFDFVPNGNLDQWLHIWEQGTHRGLDLSQRINIAIDVASALEYLHHYRPTPIVHCDLKPSNILLDNDMVAHVGDFGLARFVHQDQTSLSDISSGWATRRGTIGYAAPEYGLGNGVSIHGDIYSFGVLLLEIFTGKRPTDSCFVDDLSLHSYVQLALQDQQVASVVDQRLLPAQDQESEGRTSSSSSTTEMTLSCITAVLHIGILCSKEVPTDRRLIGDALRELHGVKDKYKRN >Et_1B_014047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28789120:28790400:-1 gene:Et_1B_014047 transcript:Et_1B_014047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGSRILVIGGTGMIGQHLVNASLAAGHPTAVLVRAGCAAGDPGKTKLLEAFEARGANIVCVCADDTSSRPKHNTLGDMNDHESLVAACKQADVVISAVGHHGTEDLDAGQLKIVAAIKEAGNVKQRFVPSEYGCDLDQAGKEAVVEPAKSMILAKLRVREAVRAAGIPHTFICSYWGQGLILPRLGDPRVDGPPATMATIFGDEKTPAIFVNEKDMSMLVIRAVEDPRTLNKILYMRPPENMCSFSHLVSLWEEKTGKSLDKYYMPEEDLVKKIQQSPFPLNFQLAMVHATVAAGDLEQTTIDPSAGMEATQLYPDVKFTTVNDYPDALLLAHPHLRKPENNAKI >Et_1A_007417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34288560:34292243:1 gene:Et_1A_007417 transcript:Et_1A_007417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCPSELELEAFLGGVERAAAAAAAEQKPGHVAPFGAGGIMFPAGGADLSAFSFADSNTLNGSIPNHLWSHNHGARHPAISTTIESQSSICAAASPTSATNLNVKESQTLGGTSGSDSDSDSFLDIEGGPCEQSTNPLDVKRMRRMVSNRESARRSRKRKQAHLADLETQVDQLRGENASLFKQLTDANSQFTTAVTDNRILKSDVEALRVKVKLAEDMVARGALSCGLGHLGLSPALNPRQACRGPDVLSGLDFPGDDACFTGLSPTEQVQNSPLQSIASLESLENRMPSEVTSCGGVDVWGGWDSNGGLSNTSSSYRTTAISPASVTAPSAAASPTHTFFLASSSLNHSSAPRCRFAAAATPAASAATASTALAAASTSTYSTARAQPTTRRGMSSPSLTQLRAQPQSAAAYARCDSDQASSAARQLRSSEASAPAAEDARVGPGGGGGPLRPPPSAPVSGRAAAEEDESSALASSAVRFIARCASVITVHILANLNNNHKKFGDHEPFHWRCSCKIDSNTTYPRTSCVSCGRSSSSRTAAILLDTASTEVMEMSSLVRRSLMAALVFSMANGSAPLASWLLSSATFFS >Et_9B_065575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7241253:7243559:1 gene:Et_9B_065575 transcript:Et_9B_065575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QPVPVPPPSTNKNIQGHFFRALLRRTNKRPLPFYLILIPCQEQSSGSIRSSSMSKLMAKLVDKCDNGGDAQDAGCVRAVLAELVLTFLFVFTGVAAAMAAGSAGPPGAAMPMATLAAVAIAQALAAGVLVTAGFHVSGGHLNPAVTVALMARGHISALRTVLYVVAQLLASSLACILLRYLTGGMVTPVHALGAGIGPMQGLVMEVILTFSLLFVTYAMILDPRSSVRTIGPLLTGLIVGANTLAGGNFSGASMNPARSFGPALATGIWTHHWVYWVGPLLGGPLAGFVYESLFLVNKTHEPLLNGAF >Et_7A_050287.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:19743811:19746032:-1 gene:Et_7A_050287 transcript:Et_7A_050287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYRSAFRRTPVLLARRSLCAPPSNSPPSQIAGAADAEAQWNTAIRRRLDSGCPAEAVSAFAAMLRAGARPDAFTLPLLNRAAASLPCLVGAAHSVGIRAGLGGNVYFCNTLVEAYARRGMLEHARQVFDEMRTRDVVSWTTLMSAYAGAGDAREVSRLMSSMRINGCEPSAVTLAVVLRLCTAQRDLAGRTQLHCYAVKKGLIGDLLVLNSILTHMSRTAGLDDALRLFEQSLRREAVSWNIIISEYSSVGNVPKVLELYERMRREEVFPTCETLTAVVSAFTQSGCLQQGEKLHSFALRSGLVDTILAASFVNFYMKCGDIPSSYQMFEEFKDKSSCVWSAMIWACFHHGRFSDVFIVFGMMMESSLAPTTDVLQSVILSYTELGALRFGKATHGYITRNSKTAELDSSVLETSIVKLYARCGNIHLAERCFSRILCKDIVSWSSMVEAYSSHGYGMEALSLFYQMLEEGVRPNSVTFLSLLSACSHSGLVSEARELFDCMTGKFGISPDLGHYTCMVDVLGRSGNLQEAVQVINGMKVKPDGRIWGALLASCRTHSDSKLANFAAHKLMELEPDNVGYHVVLSNVQAGGGKWSNVEDIRRSMVEAKMLKSPAWSYVPEIDSPLICED >Et_4A_033374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22006840:22010636:1 gene:Et_4A_033374 transcript:Et_4A_033374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PQGEEGGRRLDGWGEANPDKYNCIHVAQTPVMDSLKNGAPEKWRLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDSALASGKNFDGEGFKYIKESFDNGTLHLIGLLSDGGVHSRLDQVQLLLKGASERGAKRIRVHILTDGRDVLDGSSVGFVETLEHDLSQLREKGVDARIASAGGRMYVTMDRYENDWSVVKRGWDAQVLGEAPHKFKSAVEAVKTLRAEPGANDQYLPPFVIVDENGSAVGPIVDGDAVVTFNFRADRMVMLAKALEYADFDKFDRVRVPKIRYAGLLQYDGELLLPKRYLVSPPEIERTSGEYLFGHVTFFWNSNRSGYFDESKEEYVDIPSDSGITFNVKPKMKAVEIAEKARDALLSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAVEQVGGIYLVTADHGNAEDMVKRNKSGQPLLDKSGAIQILTSHTLQPVPVAIGGPGLHPGVKFRSDIEIPGLANVAATVMNLHGFEAPADCETTLIEVADN >Et_3A_024264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19009794:19014453:1 gene:Et_3A_024264 transcript:Et_3A_024264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDARSGRRGAEWRQIGLDFWVALPHLGAAAATRRQPWRSTKGFLPSHSPREPRCAPAWAAAAGCRSSCTCGASKKDDSFSYDAFYCLSNAFDIQKKKKTEKEREHKICSDAVFNWSPPPSALLSASNKSLLSFPPRVVVAAAAAMAPGLYSDIGKKTRDLLYKDYNTHQKFSLTTCSPHGVAITAAGTRKNESIFSELHTQIKKKNLTVDVKANSESDLLTTITVDKFGTPGLKSILSLVVPDQRSGKLELQYLHEYAGVNASVGLNSNPMVNLSGVFGSNALSVGVDVSFDTATSNFTKYNAALSLTNPDLIASLHLNNHGDTLTASYYHIVKQHSSTAVGAELSHSFSRNESTLIFGSQHSLDPHTSVKARFNNYGMASALVQHEWRPKSFVTISGEVDTKAIEKSTKVGLSLMIEKKLDEEFL >Et_3B_028703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18193508:18220259:1 gene:Et_3B_028703 transcript:Et_3B_028703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAMCAPKVGVDAEIETGSAACCRIYLESGSGPGDELISPCMCMGTQQFVHRSCLDHWRSVKEGTAFSHCTTCDAQFHLRVQLLGGSSCRKMKFRLFVVRDILLVFLAIQASIAAIGSFAYLLDKDGKFRNSFADLSLSKHPIPFYYCIGVVVFFVLVGIFGSILHCCTSSNDDCPCLAGSSCCVMDSECCVPVLVIFVIIFAIVGLFFGFVAASIAEYVVEDLPGGYTPPKMNPKHVLRLRMLKLICRLPGGTKMPPAMCAPNVGVDAEIEISSAACCRICLESGSGPGDQLISPCMCKGTQQFVHRSCLDHWRSVKEGTAFSHCTTCKAQFHLRSIAAIGSFEYLLDKDGNFRNSFADLSLSKHPIPFYYCIGVVVFFALVGIFGSILHCSTSSNDDCPCLAGSSSCIMDSEGCIAVLVIFVIIFAIVGLVYGFVAARVCRGGSSRRLHATKDETRARAAPEDAKAHLGADADIKTGSAACCRICLDSSSGPGYELISPCMCKGTQQFVHRSCLDHWRSVKEGSAFSHCTTCKAQFHLRVQFLEGSRCRKMKFRLFVARDILLVFLAIQASIAALGGFAYLLDKDGKFRNSFADSSDFPSKHPISFYYCIGVVVFFVLVGIFGSILHCSTCSSSDDDCPCLAGSSSCLLYSEGCITVLVIFVIIFAIVGLVYGFVAASIAVQRILQRNYHILTKKELTKAS >Et_2B_019978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15848591:15851879:1 gene:Et_2B_019978 transcript:Et_2B_019978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAASRLAGGGGGGADGGDPVAVCRDRKRLIKAAAERRFALATAHAAYAAALRSVADALDVFVARHTAPAPILITLPTPTSSPPDSPKPAQPQQLPSPATPTPPPPAVQEAPASVPSAEDGDSGVQTPEMGCPYYYAPPATPPPPPPAASSVGGWDFFNPFYGAEEVTAAISDEEMRAVREREGIPDLEEAEEEEEAAKAPESKAPNAEASLGVAKQEESKDLGETVGNNDCLEVAVAQPGRELLAALKEVEELFARAAEAGKEVSGMLEAATRVPELKENSSKIIHAITWHRSPSSVSSSYRSELGASSNSLSWTDKSETKSDIFEDYGGMKSGSHSQTLGRLYAWEKKLYEEVKAIDQIRLTYEKKCVQLRNKDAKGSELRCAEKTRTTVRDLYTRIWVSLRAAESISDRIQKLRDEELQPQLVELLQGFTKSWKIMVDSHETQRQIMFEVNSFTCPAYGKFCNDAQRHATLKLEVELRNWRSCFMVYVNAQKAYIEALDGWLSKFILTDTIRYSRGISSIAPDRAGAPTLVVICHDWYTMLSKFPNKRVSFTMRNFIRSVRVLWLKQGEEQQQKRKVDSLAKEMDKKLTAYKRAENKVIETKLPDFKPELDVKQRMEHLSEKKELINTLRKKVEVEKGKHHACMRDTHDVTLNGFKIGLASIFESLTEFSKDSVKLYEDLLSQAGAKDSEKATEKRPGVEGPFSQIAVEAT >Et_10B_002742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10229049:10229330:-1 gene:Et_10B_002742 transcript:Et_10B_002742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPNFSLNEEQDQLIWAKLRHLYNIVNVPTSVFQGVYIKQNEKVISNRLLINWGSIEEDEMERKHELCTLQFTGK >Et_2B_018959.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19050082:19051473:-1 gene:Et_2B_018959 transcript:Et_2B_018959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGYSSECVQAYASIRRTTVESALRRLGVEEETSTDDDDVEWGKIRRWIRAARAAVRGVFASERRLCFLIFHDFPLSSSNTTPFTEAVKGAAQQLLGFTESVVSSIWFLRRSPEKLFDTIDMHDALADLLPDISSLFAASKATESIYMQAAKARSSLADAVPGMLSQFESAILHDPSKVLVPGGAVHPLTRYVINYIENLISSYYKASLSKLITSMPPSSCSRVTAIDQEATPAVVPNPDSQLPPLAAHVAWIIAALEHNLECKAASLYKDAALSHFFLMNNGRYIVDKISDDSSELRGLIGDEYLKRLTCNFRQAAIRYQQSGWPKILNYLKHEGLHVGGGFSTDISKLVLRERFKGFSTGFGEAHKVQSRWYVPDSQLREELRISISEKLLLAYRPFFGKYRHHIGKGKHPNIYIKYSVEDLEEAMADFFEGASPSKLHKSLLERAFRFGSLGFRTKVN >Et_3B_029046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21618157:21621499:-1 gene:Et_3B_029046 transcript:Et_3B_029046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLALLIRRCTTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTAKRTKKAGIIGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTAMVVAVRSTIRRLREQTEA >Et_2B_021472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:308849:312109:-1 gene:Et_2B_021472 transcript:Et_2B_021472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTPNGNHTVRSLATTVPVQAVLFDIDGTLCDSDPLHHVAFQEMLLEIGYNNGVPIDEEFFINNIAGRSDIEAAQNLFPDWELEKGLKFLEDKEEKYRSLAKERLVPVKGLQKVVQWVKDHGYKRAAVTNAPRINAELMISLLGLSDFFQAVIVGGECEKPKPAPYPYQKAIKELQVSAEHTFIFEDSASGIRAGVAAGIPVVGVATRNPEKSLLEAGATLLIKDYEDPKLWAALEEIDRAEAELKKGSREHHHSGRGRDGSQSSKLKILLFTLEQPEEQSMAIGRVAPLKAVLFDIDGTMCISDPFHHRAFSELLVQIGYNDGVPITQEFGMTHMAGRSNEQIGSFLFPDWEQDRVDAFFREKEELFAKYATEGLKEIAGLTRLCRWAQERGLKRAAVTNAPRANAELMISILGLSDFFQLIVTGEDCDRSKPYPDPYLKAVALLGASPDHTVIFEDSTIGVQAGVAAGMPVIAIADERREGKLLTVGATLVIRDYQDPKLWAALDKTDAKSVSS >Et_9B_065059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20971311:20977182:1 gene:Et_9B_065059 transcript:Et_9B_065059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEKPETVEEAQEEYESDLDDAPLPALRRAAASDDEEEEDEGTPVRPRRAGSDADSDGQGAAEEYDEGAYEDGEEYVEYEEVYEVFQERGRGERGAAAEVVAAAGQEEGKVGDGEAEEADEKAAGAAEEEKNEPYAVPTTGAFYMHDDRFQEARGRGRGRGRQRRFLNNRNLWNPKEEEAWVHDRFDEMNLHDIHGDHTKRKQGGRLRGRDGGPGGRTRGISRGSFRGNRSRAHYQDGSKNYRYVPKEPHAYQDNNTKNAQGNSYHDNTKNAQRALYNNGKNRVPKLSHAHYDNVKNYDTVAKESRTHYSDAKSQKNAPRVGRGRVSKRYQPRGKVATEISSVQNNESQNENASSNANLGIDKSQSSNSRPEQVIPVKQTVASNLNSASPPFYPSRSFHQEYPLSQLGTGQPNSTSRSLSSSMGMEHVSPTPQYGNLLGGKAFLPVGRAEVAVKGMNSPALNSSASSPNGPFSAATNQVTRDYVQSSHPIASSVKSSAQSAPRMPAQMFGARFGGSNKVPSSVQPAPTIVSEDTEITSPGGSIKLDARLSIKGRPGDQGEEHASYLYGGSHALGATGAMGLTGEQGFHGTPALLPVMQFGSQRPGGPGVPSIGMALPGFVSPQQLGLSNSEMTWLPMLTGASGAIGGPYGSPYIALDGSYYSGSSQQASSSVSLREPSASNVSSPLKSQKGTEVASDELSQRQNKPRRYILGDELWTMSIVQFTGYNQATMLFHYR >Et_7A_051447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18698361:18699717:-1 gene:Et_7A_051447 transcript:Et_7A_051447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FCRFTSPPISIPMPHSVRLYLQLVCCSEKKCQLSMGTPAQRLSSVEFHSECVRKTPMASCAKTSSCGHHVVSKVWPSTEVMNSDGRRDYLVFRKAFFHAQNGKIRSLILLAKQNFIDDRVKVCFCWSTWQRHSNGLLLPLLRAPAHLPSLEPTHDLLYLLGQLCPLHFAPDLQPYAPLRALVPAVGLLLREEGPAEHGHSRADALQRGVPPGVRQEHAHGLMCQNVLLGTPRREQGTSIDG >Et_4A_034253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31177983:31180877:-1 gene:Et_4A_034253 transcript:Et_4A_034253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQASLADSFLADLDELSDNEAYPEEDNAEAAGMEEDGDDVMPDLESLNYDDLDSVSKLQKSQRYNDVMQKVEDALQKGTDFSNQGFILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDITLVDLEGLLPSAIIMVVSVTASTTTGKPLSEENLEKTIEACDRALTLDAAKKKVLDFVESRMGYIAPNLSAIVGSAVASKLMGTAGGLGALAKMPACNVQLLGAKRKTLAGFSTATSQFRVGYLEQTEVFQSTPPALRTRACRLIAAKSTLAARIDSIRGDPTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSAAQSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNPQAQGNVLGSGTQSTYFSETGTFSKIRRTQ >Et_3A_025555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30745472:30749060:1 gene:Et_3A_025555 transcript:Et_3A_025555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRPESSDLSLGLHSHGLAHAHGRVHAVATPLRLFDDSDDVKSEGVGGGEAEGGRDEDDGGDQHFSLLGHALCVKRPRRALNGGGGGSGGGGGGEASSCSSSSAALRPAKRQATGERAGADLDARRAAVRAWGNQSLAEADPDVHALMERELDRQVRGIELIASENFACRAVLDALGSHLTNKYSEGHPGARYYGGNQHIDAIERLCHERALAAFGLDPACWGVNVQPYSCTSANLAVYTGLLQPKDRIMGLEPPSGGHVSHGYYTPSGKKVSGASIFFESLSYKVNPQTGYIDYDKLEERAMDFHPKILICGGSSYPREWDFARMRLIADKCGAVLMCDMAHISGLVAAKECRSPFDYCDVVTSTTHKNLRGPRGGIIFFRKGKILRKRAGSFSQGDENDYDFEDKINFAVFPSMQGGPHNNHIAALAITLKQVATPEYKAYIQQVKKNAQALASALLRRKCRLVTGGTDNHMVLWDLRTIGLTGKIFEKVCEACHISINKTPIYGDNGSISPGGVRIGTPAMTTRGCLEDDFEVIADFLIRATQIASTVLKEHGKIQKDFLRGLLNNKDIIELRSQVEAFASQFAMPAFDF >Et_1B_010694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13070246:13072861:-1 gene:Et_1B_010694 transcript:Et_1B_010694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLEYTPFDSINLFLEQLNLGDCTIRGNLEAFSCKHTGTDRRLSISLEHEILDYLGKSSDSDPSSPVEHLSSRSSRKTLIYLVLTLGHMYPDYDFSAVRAHLFFREEEWESFKLMIDTYLSDASRQWATTNDGTSLMDSMTKAIDEVIKIRECDIYSYNPDSDGDPVLEKGAIWSFNYFFYNRKLKRVVSFRCYCTSKLSGDDFLIGAPSDGEEEDALIDMDI >Et_5A_040274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9484914:9485294:-1 gene:Et_5A_040274 transcript:Et_5A_040274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLERSRRGGEHYAKKRAVWAEEKVAVEKADEKVDVKKAKEEETQAPAPATDQVKIRITRKQLEELLRRVDDSKQGGVGGVPAREVISELLCEASSSNFRHRGAQGQWRPSLQSIPE >Et_8B_059927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4117855:4120569:1 gene:Et_8B_059927 transcript:Et_8B_059927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLAPSPVLPNPALPSPGRAPLRRGSLLRARAVRAAPRPPSQWTVGSWRDRPALQQPEYPDTAELDEVLQTVEAFPPIVFAGEARRLEERLADAAVGRAFLLQGGDCAESFKEFNANNIRDTFRVLLQMSVVLMFGGQVPVVKVGRMAGQFAKPRSDGFEERDGVKLPSYRGDNINGDAFDEKSRVPDPHRMIRAYSQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYMELAHRVDEALGFMAACGLTLDHPIMTQTEFWTSHECLLLPYEQALTREDSTTGLYYDCSAHFLWVGERTRQLDGAHVEFLRGIANPLGIKVSDKMDPAELVRLIDILNPENRAGRITIITRMGPETMRVKLPHLIRAVRGAGQIVTWVTDPMHGNTMKAPCGLKTRSFDRILAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQSLEMAFIIAERLRKRRIASWPLSMNQLGSIPSMGL >Et_6B_048397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18463109:18464713:-1 gene:Et_6B_048397 transcript:Et_6B_048397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAGDPSPSFAAPITTPDGWHPRTAERRLLHLLHHSAAARRRPLELLAFAVRRALPCFPPSPHHHSLAALLLLSTPPPPALPLLRLLPPDPPPPLPLLNAAVKALSDSSPPLAFRVLSSLRRLHAPDRLSFLPLLSCAASLPLLSALHCLLLRLGFLSHHAISLAFLKPYPLPYVRALFNEMPQRNRCTVAYNTLITAHLNAKDVPTACHLFDEMQRHKRSRRSVVSWNTMIAGCARCGRDDMALWFFEKMIDDGEVAPDDGTLAVVLPACGRTGNVGAGRWAHEYARKTGLLDRAVHVANALVDMYCKCGDVDSARVVFEGMHQRSVVSWNSMIAGCSLNGHGIEGIELFQEMRKHGSEPNAVTFLGVLGCCAHAGAVDVGREIFHGTQLDHGIEPVIEHYGCMVDLLGRSGLLEEAHTLIRGMPMKPDAAIWGALLSACRAHAGLGIAEVALKELISLEPWNSGNYVQLANLYAETERWEEAGEMRRLMRRMSANKAPGQSLIEDTEFQFTNGFS >Et_7A_051911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2773897:2777926:1 gene:Et_7A_051911 transcript:Et_7A_051911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLQAHRLLISHRRLPTPARRRFTAVSSVQSPPAKTLAPGPAASSILSIRESLLSGERTAAEITAEYLSRLHRTEPSLRSFIHVAESAAQREAEELDRRIASEGKDALGPLAGVLVGVKDNLCTANMPSTGGSRILEGHQPAYDATAVRRLREAGAIVVGKTNLDEFGMGSTTEGSAFQVTKNPWDDSRVPGGSSGGSASAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVVGCFGSSVFDTATILSVIAGHDKMDSTSSSQDVPDYASELVSLDSLESKPLNGLRVGIIQETLEEGVDIGVASSIKAAASHLEQLGSVVEEVSLPSFSLGLPAYYILASSEASSNLSRYDGIRYGRQVSADDLNELYGESRANGLGHEVKMRILMGTYALSAGYYDAYYKRAQQVRTLVKESFKGALERFDILVSPAAPSAAYKIGEKINDPLAMYAGDIMTVNVNLAGLPALVVPCGFVEGGPAGLPIGLQMIGSPFNEGNLLRVGHIFEQTLQNFSFVPPLLSES >Et_2B_021357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2961985:2966243:1 gene:Et_2B_021357 transcript:Et_2B_021357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLASAMRREHRRSKPASSSSSAGVAAGRAPLVMAFLSCLAWLYVAGRLWQDAQTRAILSGLLERNSGNLPKMLSVEDKLRNLGCKEIGRKIVEAEMDLTKAKSEGYLWGNGSAAMNTDSKKKFLAVIGVYTGFGSRLKRNTFRGSWMPRGDGLKKLEEKGVVIRFVIGRSANRGDSLDRNIDEENRRTKDFLILESHEEAAEELPSKAKFFFSAAVETWDAEFYVKVEDNINLDLAGLIEMLEGRRGNQGLYMGCMKSGAVVTEEGQQWYEPEWWKFGDSKTYFRHASGSLFILSNNLARYININSASLQSYAHDDISVGSWMMGVNATYVDDDRLCCGSSRQEKVCSNA >Et_1B_010482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1104837:1110961:1 gene:Et_1B_010482 transcript:Et_1B_010482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVRQPVLCAVTGGGGGKEKWTPQPQRPWWGRNKQSLPHQPRQSGGNGGGGGALDQVLGVLRRDSEFLQAAAGAPLRDAIWLRFLENKQQRKQPNPQPKPSQQQQILKQRQEEEPARDTPAFPPPSYPPGLSCTELMMADFQALKVYVGSAQNAIARRFLGSKRLPKSQQQPKPKPLEHQKEQQQILQPPAFPPPSYPPGLSSMELMMADLESLKLYFDYFSTILTTPLPQHYDPDLLAQYFVSRPHILAFRTIQILFAFFSAAVKLQISKRLKLTTDATYNSGFDASQYMVGQLLKETFLDLGPTFVKVGQSLSTRPDIIGSEICEALSELHERVPPFPRGDAMEIIEEEFDCPVSHIFSYVSDEPVAAASFGQVYQGRTGDGALVAIKVQRPNLLPSVLRDVYILRLGLAFVRKVAKRRSNISLYADELGRGFVGELDYNIEAANATKFLEYHSRYPFMLVPKVLKQFTRKRVLTMEWVAGENPKELLSLSRGISDKITEASEKQKLEAKTRLLDLVNKGVEASLVQLLDTGLLHADPHPGNMRYTPEGRVGLLDFGLLCMMEKKHQRAMLASIVHIVNGDWASLVYDLTQMDVVPPRTNLRRVTMELEDALGEVAFVDGIPEIKFSKVLGKIWSVALKYHFRMPPYYTLVLRSLASLEGLAVAADETFKTFQAAYPYVVRKLLSDNSPETRRLLNQAVFNKRKEFQWQKIAVFLKLASARYNSGVMPDTKDTNVASLVEISDSSSLDRATPEMALHTANLCLRLLLSRDSVVIRRLIMTVNAKSLARDMISRDARMFRVLLSKVVADVVCQWMLNITESFQAALRDRRLHVIFAKFMRELKEEPVLMVRVSWNMFVISVASAAMGLHRFMVFLSEEYLPTLPPPVSPPRLVQIQGL >Et_9A_062013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18881671:18882808:-1 gene:Et_9A_062013 transcript:Et_9A_062013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HPNTSKDSHPKAMFHPSSSSATNCSDLSMHHAANFSSGLSTAPTEIPRGGFYHDNGGLLALPNVAASAPPPYYIHGTTISHFLPLHLQLPDPVTSNAAFACSSPSACHLPLPHAPSSPSSSSSDFMEFSSGTMRRVFSTGDLQMMNVSPPAAVSGETYSQDAGGPFTQKVGRYSAEERKEKIERYRVKRHQRNFQKKITYACRKTLADSRPRVQGRFARNIETEAEAIADLEQEASDNSYAHCNYSDFNNNGSNSYDSLCRGSGKSTTFDAGKCWWETPIEAAAANGHHGHHHYQQQQLLGFDMDGDDEDELWASLADICSGT >Et_8B_060588.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:2942309:2942698:1 gene:Et_8B_060588 transcript:Et_8B_060588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTYQRLASPPAGSRARARAWALLRWAAVRLSCAARRRWCARARRLAWAGLCGRGAAPAGRGKGRGSSSAAVAAPVVGYDSASYARNFDDGAWKAEEGVSWAGAGGAFARARVSGAMATSDSIPSSAA >Et_4A_034246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31122388:31125479:-1 gene:Et_4A_034246 transcript:Et_4A_034246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAGGGGGGGEAAVCVPLMKATSEGAWQGDNPLRFTLPLIILQVCLVLALTRGLAFALRQPRVIAEIIGGILLGPSALGRNKVFLSSVFPKESLTVLDTLANVGLLFFLFLVGLELDPASLRRTGRTALAIAVAGMSVPFALGVGSSLALRAAVAPDAPRGPLIVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDITAWILLALAIALSGTGSPFVSVYVLLCGVGFVGAAAILVRPALVYMARRSPAGEPVKESFVCETLAVVLAAGFVTDAIGIHALFGAFVIGVLVPKEGSAYAGALTEKIEDVVSALFLPLYFVSSGLKTNVATISGAKAWGLLALVTATACTGKIGGTVLASLTMRVPVREALALGLLMNTKGLVELIVLNIGRDRKVLNEEAFAILVLMALITTFMTTPAVTAVYRPARRGASYEHRTVERADADTELRVLGCFHASRGIPTLINLVEASRGTRRGRLTMYAMHLVELSERSSAISMVQRARRNGLPFYSTAGSRGGGEEVVVAFEAFQRLSAVSVRPMTAISDLATIHEDIVASALHKRAAVVVLPFHKMLSHDGALEPVDRAYHQVNVRVLRAAPCSVAVLVDRVLGGAAQVSAPDVSYAALVLFFGGPDDREALAYAARMGEHPGIELTVARFTAATTNKINDDELAKDEEALQRNVSRSVNYEEITVTDRMEVTSAIKVLGRGKNLVVAGRSAPAAPLVEKSDCPELGPVGSYLATPEFSTTASVLVVQRYNRRSDPTCRQEGEDPDVEGAVVPVPSPNYTSTRPDESESSQRGT >Et_5B_043275.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:21558520:21558987:-1 gene:Et_5B_043275 transcript:Et_5B_043275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRHELRKDWPLPDEKQFVYNGPDWLLMLLGSIDEEVGACILLLFWRAWHLRNDSVHGKGTGSVIGSVRFLISYSDSLHMARQQSDPIVDVKGKEKIFVERPKNNRAGGSTGASATVKRVPKLLSAMRRKECSSQHGVCYESVWRPEKRRQKRA >Et_10B_003977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8878406:8880295:1 gene:Et_10B_003977 transcript:Et_10B_003977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGFIYLEVLVVLLVLAALLHGAQCQSSQGGAANLTVVGTVFCDACSSSSFSNHSYFLPGVKVRLDCMIKVNSNSKDEIKITAEKVTNSYGSYQLDIPAIDGFECAAAGATAAESFCRAAVLDNPSPLCNVPAVTTAVRHISFPSQAPNACLYSLNSLYYRPAGKPGAGQCDAGAGDSPPSPAELNESLFYCPPWPWPPIPFCTPRPWFPPIPFFTPPPPAFPFPFPPIPFFTPPSPPPPAFPFPLPPWPWTPPAVQPPPAFPFPHLPPIFSAPSPPPPPPPAFPFPLPPLPHLPPFPQLPPLPSLFSPPPPPPPPPPPPPSFPWPFPPLPFFPPGSSAPSPPPLKYTRKDPSTWSSPKHQP >Et_4B_036954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12958915:12969008:1 gene:Et_4B_036954 transcript:Et_4B_036954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVYGTGVFEFRHPRAAEYPLPADAAAAPAVGAPDKAAAAAPASGGSITLLDIQRDRLTRVAAEHWGTPAAAAAFDAGLVKEIYATELRVEDRGRKTVPLHRVMILEVSQYLENYLWPHFDPADASFEHVMSIILMVNEKFRENVAAWTCFHDRKDAFKGFLWRVLKLKEEERALNMAEKTNYLLFMINAFQSLEDELVRETILQLVSLKLWHTLSFGRLQMELCLNPELIKKWTKIKRKEAKEAKKSDQPINPSEMLENKFLRNLIEEFLEILDSKVILSSQDGGEESVLNESLSGQVDDSCVLYCERFMEFLIDMLSQLPTRRFLRPLVADVAVVAKCHLSTLYTHEKGRLFAQLVDLLQFYEGFEINDHSGTQLSDYDVLQAHYSRFQAFQLLAFKQVPKLRDFALSSIGSLHKRTDLTKKLLVLSDEELRDLVCNKLKLISEEDSCIGRREFLIEVLVAFFEKRQSQKDAVNALPLYPNEQIMWDESLVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLHAYINNEGDTAFRGWSRMAVPIKEFKITEVKQPNIGEVKPSAVTADVTFSISSYRPQIKSEWDALKEHDVLFLLSIRPSFEPLSSEELAKSTVPERLGLQYVRGCEVIEIRDEEGTLMNDFTGRIKREEWKPPKGEFRTVKIALDTAQYQIDTTETAEKGAENVYGTFNILMRRKPKENNFKAILESIRDLMNETCVVPEWLHNIFLGYGNPSAAQWINMPDLLEVIDFKDTFVDARHVQQSFPDYQVSFVNSDGTENLHPSPPFKIRLSKKMRENSHALPGNVNSNSTAKNADNMADEGSQKEKLIVETYIPADPGPYPQDKPKQNSVRFTPTQIGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLGEVSKLARSLRLPEDVGYTCETAAYFWLLHVYARWEQFLAVCEQNEDKPTFVKDHFPFSEFFSDTPQPIFTGESFEKDMHAAKGCFKHLSTIFQELEECRAFELLKSTVERANYLMTKQAKIVAMTCTHAALKRRDFLQLGFKFDNLLMEESAQILEIETFIPMLLQRQEDGHARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGVPYIELNAQGRARPSIARLYNWRYRELGDLPYVREQEIFHKANAGFSFEYQLVDVPDYRGRGESAPSPWFYQNEGEAEYIVSVYIYMRLIGYPANKISILTTYNGQKLLIRDVINRRCKPWNIEPPNKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDKLGLNLEECTPFTERPLGETGSIHYVTGIEDIGHLANEPSLAVPENNADAIPPENGTAGSALNNTNQQMVVEENGDASDTVISNMMEEDTIKEKDGMPQEGNMTDEGDGNRDAATEDKGGEHADAKDKMEEDNALSKDKMDEENAEPKDEMEEE >Et_2B_019854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14648396:14651631:-1 gene:Et_2B_019854 transcript:Et_2B_019854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEAPEIGTGFDNFPVGKEPDIHRDMSGAQTQIASGTGDDDQVWDTEAPGPELTYLSPSICFNWDSEGPGTGSTFPNLIPNIDEPLENFGLMNEDACEPNAVATSIAGFSTAPGTETQDVMGRRIMTRPPHASRHEHFVPLHLPNMRRQAGEGVTLRLHRCIIDTGSKYRDTLLMQYGETLFASGDFIRQLFDGGQCTDYEFVNAFVQCVNADDKRLRPALHEERLIIKPAALVSANSEELHQLGPNRDFVPENLVHVLKETLPVKVNWKKLKVILTPVFHHEHYSLYAVNYGQRHIDVIDSINCGNRGSKYERHHDPIGQKLMLRMQEALDKVSNGACKTFVNLRQR >Et_8A_056439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1063578:1065309:-1 gene:Et_8A_056439 transcript:Et_8A_056439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSDDCGWLLYLSLAAKCGDPDRLVGFAAVFAVAFAVTALLHWAAPGGPAWGWYRWTRRPLLGGARAIPGPRGLPVVGSMALMTGLAHRKLAAAAAAEEERRRLMALSLGETRVVVTADPDVARELLASPAFADRPVKESAYGLLFHRAIGFAPYGAYWRALRRVASTHLFAPRQVAASAAHRAVIARQMNKQLSNSSAGHGAVVAPRRFLKTASLHSVMWSVFGRRYDELADAAASGEAAELQALVDEGYDLLGQLNWSDHLPWLARFDLQRTRARCAALVPRVNRFVARIIDEHRAKLSGGDGVVDFTDVLLSLQGADKLSDADMIAVLWEMIFRGTDTVAVLIEWVLARLVMHQDVQRRVHQELDRVVGPGRTVAESDAASLVYLHAVIKEVLRLHPPGPLLSWARLATSDVHVGGHLVPAGTTAMVNMWAITHDPAVWADPTEFKPERFLLGGADDEVSVMGSDLRLAPFGSGRRSCPGKSLAMATVGFWLATLLHEYEWLPASNGDGACAAVDLSEVLRLSCEMAAPLEARLRPRHAV >Et_6B_049300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2957796:2964431:-1 gene:Et_6B_049300 transcript:Et_6B_049300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAENTGKRKSSDSRDEGQQQTPPAVAPPQEDGEPAAKRRNLSRSCIHEVAVPKGYDAAKDEAVHGTLSDPAFHGEMAKQYPFQLDPFQSVSIACLERNESVLVSAHTSAGKTAIAEYAIAMAFRDKQKVIYTSPLKALSNQKYRELSQEFSDVGLMTGDVTLQPNASCLVMTTEILRAMLYRGSEVIKEVGWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDENGQFREDNFGKLQDSFAKQNVQLDGRKGGGPKASGRIAKGGSASGTSDIYRIVKMIMERKFQPVIIFSFSRRECEHHAMSMSKLDFNTDEEKDCIEQVFNNAIHLLKDEDKTLPAIELMLPLLKRGIAVHHSGLLPIIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDTNRYIGSGEYIQMSGRAGRRGKDERGICVIMIDEKMEMSVIKEMVLGKPAPLISTFRLSYYTILNLMSRVEGQFTAEHVIRNSFHQFQYEKALPEVVQKITRLENEATLLDSSGETDLAEYHKLELDISELEKKIMSEMIRPERALLYLVPGRLVKVRDGSTDWGWGVVVNVVKKPPPSGTLLPALSASRSNNYIVDTLLHCSSSSSENGQRSKPCPPRSGEKGEMHVVPVPLPLVSGLSSVRINIPPDLRPAEARQNILFAVQELGKRYPQGLPKLHPIKDMGIQEPELVDLVHKLEDLEQKQCSHPLYKSGQSEQEMSWYHRKAELNHEIQQLKSKMRDSQLQKFRDELKNRSRVLKMLGHIDADGVLQIKGRAACLIDTGDELLITELMFNGTFNDLDHHQIASLASCFVPCEKSSEQIRLRSELSRPMMQLQEAARKIAEVQRECKLEVNVEEYVESACRPYLMDVIYCWSKGATFAEVIEMTDIFEGSIIRLARRLDEFLKQLEAAAQAVGEVNLAKKFEEASNSLNRGIMFANSLYL >Et_1A_009481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6375726:6376120:-1 gene:Et_1A_009481 transcript:Et_1A_009481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKGLDVDTLYISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEAESQIATRKA >Et_1A_007037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30276065:30277974:-1 gene:Et_1A_007037 transcript:Et_1A_007037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCVCLSRASEFPREYDGACLQMRLSYSPAAHIFLFLVQWTDCSLAGALGLLRILIYKVYVDGTTTMSTHERKASIKEFYAVIFPSLLQLQRGITDMDDKKQKAVYMEQYRRRDEDERNNLSDIDVEREEECGICLEVNSKVVLPNCTHAMCLRCYQDWNSRSQSCPFCRDNLQKTCPGDLWIYVEEQDVVDMETVSRENLRRLFMYISKLPLIVPDVIFSIYDSHIK >Et_2B_021211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27424786:27430086:-1 gene:Et_2B_021211 transcript:Et_2B_021211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRWQSPAAAAAAAEAAEEDAGGGRAGGPSRRPPRRGPHRASPYGFGPRRLLPKLPLASRIFSTSSRNSAASDNDQEVHRESLEVIHERHSTEPNTYSAATGPQTSLSNKFNLLLEGDYKNPADCSGLAEIEKIINQRHFSRDETERLIEIMRSRTPDLSLEDQRDPGLAAKVFEVTPFSTPTKLIDPQSSWGTGIFPPSNVHEVGSSPIEIAKAFMEAQTSASVHESQKRKFRALSHGVETENSTSKIVPKVISDSSVCWPGSVVRDYPNYLTPQSNKGRSQPQPLSRTPYSGSVFGRSIKNSSHGVTYNNSSGQPQLSSPFSVGTKTILEDKMAQSGFRVQQSFSRGGQTDIFGATTPFFPREGSTAAKNVTFNLQGTHGKGIIESSSTPGRVSATDNISKGASVSVHPKSSETAYKILQHLEKTIPSPATKPLELRQSLAKRNASSAIISSQVKGPDSNISNGHGQSSGGKNGNARLEIADAKKEPISNPNVENQKIQNSGGNVEVPDMDTSQHPLKSDLASMPAAEVLDKNTSKGSTFTFPVAKAPSSLLEPPPTPTLASPPKSLPVGTEGIPKFTFSSFSELVFNFDSASSSVGADGSTATFKFGSDQKRELSFSVPGKDAVCF >Et_6B_049519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:601630:603116:-1 gene:Et_6B_049519 transcript:Et_6B_049519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDAADCRDSAIEDEEEEEMVWEKCSKHRDGSIYRGTAFWHSLYRVADTRETSLEPMMLSNPTDCRPNKRDCETHGVCHMMQIFSLKLVHTTEAIDSPIQLYGFVAVRDLLNPLRNYIFNCTRDDPFVVEQQSDGSGSYIQMSGPKRGIEMKAQVLIEYDMRIKKGETQEDDLQLIDGAACFSELDRLPSRVCTRRIVGDSGAVDLSLALLHRAVEATVQVRVSQVHGSGLNLSISSLVGRISPKIQLFQGVIAEPCDLNRFVIAVVKGNTLILDLIVHQREGSGHAHPCYPFKAKLHGHDIQEFKLDFATIVVKVSWSTLVPYRGAHGLL >Et_9A_060904.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:15432260:15435070:1 gene:Et_9A_060904 transcript:Et_9A_060904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRSPSPSRFKNPRKILPPHRDCAPRPPDWRRPPQQAQHQTKRPTKTTQKTQKPRQALRGAGSSSLPFRIPFSPHLPPPPTRPAGRGERRLRASCPAALARCRMGYLSCRADSSMATCRSITAISPLPISRRSSGSSGGASGSGSRSRAPAALPPATIERFAYAELEAATSHFADAALLGRGSHGAVYKAVLPSGRAVAVKRPSPRRAEVDNEIRILSSVRGPRLVNLLGYSDPGPAAGRLLVVEYMPNGTLYDLLHSSPRPPGWPRRLRLALQTARALRALHDADPPVIHRDVKSANVLLDANLDARLGDFGLALRVPKRLPGDAASAAATPAPAGTLGYLDPAYVTPESLSTKTDVFSFGILLLEIMSGRKAIDVQHSPPSVVEWAVPLLRKGKVASLFDPRVPPPRDPATRKDLAALAASCVRSCRERRPSMADIVERLVVLSKAVSAKVWNGLADGLAVVGNPCAVVDVQKTISRRAAASTRAESERESTSVIAFDDDDKEEEEKAVEQALEDQVPLVGVKKPPRPLKNGIVLAEAGARERRNLLELMAKIDGVAGQRFGITRARTVRATSELIEKDAVLLLRRNQTVKVVGSEVLPKSERLSRFEVKIKHKDGKEQEKVTKILDKEGELQEKVEKTQDKRSQIQERSAETLGKADQLLERTEQNLGRDQRIQEKSGQNLEKSETVQENEGKIQGTAEKIQQNAGENQDKVEKIRFKSGGS >Et_6A_046886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2225786:2243607:1 gene:Et_6A_046886 transcript:Et_6A_046886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERDQSPMGAAQRRLRAVSAHLHPPASSAAAAAAGLAANPTAGEYAHVKGYSVVLPEKLQTGKWNVYRSAHSPLRLINRFPGNPDIGTLHDNFVYAVETFRDCRYLGSRIGANAIVGDYKWMTYGEASTSRTAIGSGLVYHEIPEGVVLSHESLIANVAGSSLSIKFYHSDVYISYLPLAHIYERANQVALLHNGVAIGFYQGDNLKLMEDLACLRPTVFASVPRITNAVKESGGLKEKLFHTAYNAKRQAIINGKKCFGGEILEGYGMTETSCVISTMDIGDKLIGHVGSPNPSCGDSFNSSLVAIVAVEPEVLKAWAASEGIQYEDSRQLCADPRAKAAVLADMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKSPAPTGASGLSADPTAGEYAHVQGYSVVLPEKLQTGKWNVYRSAQSPLRLIHRFPGNPDIGTLHDNFMYKWMTYGQVSMNRTAIGSGLMYHGILEGACIGLYFINRPEWIIVDHACASYSYVSVPLYDTLGPDAVQFIVNHARVEVIFCVPQTLSIILSFIAQMTCVRLIAVVDGDDANMPPAQVGTGVEIITYSRLLIQGKTNPQPFRPPKPESVGTICYTSGTTGTPKGVVLSHDNLIANAAGSSLNVKFYSSDVYISYLPLAHIYERVNQVSLLHCGAAIGFYQGDNLKLMDDLAVLRPTLFGSVPRLYNRIYAAITNAVKEAGGLKEKLFHSAYNAKRQAIAKGRNPSPVWDKLVFNKIKARLGGQVRLMSSGASPLSPSVMEFLRVCFGCEVLEGYGMTETSCTITAMDIGDKLIGHVGSPNPSCEVKLVDVPEMNYTSEDQPYPRGEICVRGPIIFRGYYKDEVQTREVLDEEGWLHTGDIGLWLPGGHLKIIDRKKNIFKLAQGEYIASEKIENVYAKCKFIAQCFVYGDSLNSSLVAIVAVEPEVLKAWAASEGIQYEDLRQLCADPRARAAVLTNMDSVGKEAKLRGFEFAKAVTLAAEPFTLENGLLTPTFKVKRPQAKAYFAKEISDMYAKLRETESPRQKL >Et_7B_053655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10825137:10827939:-1 gene:Et_7B_053655 transcript:Et_7B_053655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGRALLLLLVSALLVQIRASDPLLYEPFDEDFEGKWIVSGKSDYQGVWKHAKSDGHEDYGLLVSEKARKYAIIKELEKPVTLKDGTIVLQFEVRLQNGLECGGAYLKYIRPQEAGWDAKEFDNETPYTIMFGPDKCGSTNKVHFILKHKNPKTGKYVEHHLKSPPSVPYDKLSHVYTAILKPNNEVTILVDGEKKKEGNFLSADDFEPALIPSKTIPDPDDKKPEDWDERAKIPDPDAVKPDDWDEDAPMEIVDEEATKPEGWLDDEPEEIDDPEAAKPEDWDDEEDGEWEAPKIDNPKCEEAPGCGEWKKPMKSNPAYKGKWHAPLIDNPNYKGIWKPQEIPNPEYFELEKPDFDPIAAIGIEIWTMQDGILFDNILIADDEKVASAILEKTWKPKYQVEKEKEKAEEAAAAGADGLSELQKKIFDVLYKVADVPFLAPYKSKIIDVIEKGEKQPTLTIGILVSIAVVIVTVIFKILFGGKKPAAPVKPAAEAKKPKATETDAGSSGDKDENEDEKEGEAAAPRRRSRRVD >Et_10A_000143.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:1173030:1173320:1 gene:Et_10A_000143 transcript:Et_10A_000143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTKEQEEALHLLTSLQHLQFKACHKLQCLPAGLRTLSKLKRLTISKCELISSLPSDGVPSSLQELKVKFCSNDKLKKQCRMFVKDHPRIKLIII >Et_4A_032618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11975245:11978625:-1 gene:Et_4A_032618 transcript:Et_4A_032618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIVGLGLGDERDITVRGLEAVRSCAKVYMEAYTSLLSLGLDPSSLANLEKLYGKEITVADREMVEERADQMLREAADADVAFLVVGDPFGATTHTDLVVRAKNMGVEVKVIHNASIMNVIGVCGLQLYHYGETISIPFFTETWRPDSFYERIQNNRRLGLHTLCLLDIRVKEPTLESLCRGKKVYEPPRFMTVNTAISQLLEVEEARGGSAYSKDSLCIGVARLGSDDQKIVAGPMEKLRDVDFGPPLHCLIIVGETHPLEEEMIEFYMMKYITVYELEILVFTGPGAASEPKLWRLGDLRDLDRAGVMGPLTTRGDDPRATGGLVDLANPISLAMEGMGDMDGMGESMRSANGKHCSE >Et_10A_001541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4806239:4809651:-1 gene:Et_10A_001541 transcript:Et_10A_001541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCSPVALVVLLAIFLLSAISPCVASSSSLKPSTNGNDTDLAALLAFKAKLSDPLGVLASSWTTNVSFCNWVGVSCSRRRQRVAALVLHKTPLQGEITPHIGNLSFLSRLDLTNTSLTGSIPAEIGTLRRLNYLLLGTIPATFYNMSRLQVISLAFNNLSGQIPNNQSFNLPLLSQFSISGNNFLGRIPQGFAACQRLQLLYLSGNSFVDVVPTWLGQLTHLTDLYLGGLHLFGPIPAVLGNLTHITSLDISFCNLTGHIPPELGMIQDLSYLRLGSNRLTGPIPSSLGNLSNLSWLVLESNQLSGSVPRTIGNIPGELPSSLSNLSSLVRIYLYNNLLTGAIPESITHLQNLMLLDVKNNQMSGHIPIQIGKLRSLQHLYTNGNKLYGSIPDSIGNLTSIEYISLSNNQLNSRIPSSLFHLDKLIHMDLSQNSLTGAVPVDVSGLKQVNYVDLSSNYLLGSIPESLGTLRMLTFLDLSHNSFEDLIPVSFQKLESLASLNLSSNNLSGTIPMFLANFTYLTDLNLSFNRLEGKIPEGGVFSNITIQSLLGNAALCGSPRLGFLPCPDKSHSHKSNKHLIKIVLPIVTIAFVSFLLCMYLLIKRIHKHMRHIHASFSDPNMQLEKAIGSFDAECRVLRMTRHRNLIRIINTCSNLDFRALLLLGDDNSMIVSSMPGTLGYMAPEYGSLGKASRKSDVFSYGIMLLEVFTGKRPTDPMFGGELSIRQWVHQAFPSELDSVVDNQLLHDALFSSGDLNEFLSPIFELGLLCSTASPEQRMSMRDVVATLKKIKKDYTELASAKK >Et_3B_029723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27400472:27400709:1 gene:Et_3B_029723 transcript:Et_3B_029723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSALPRLVLLGLKTAAGYASPGPGPQLILRTVILLSISPLCLSPSSPKAASSLSSSVPPLPHHPQRPMPLKP >Et_4B_038589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3118919:3121591:1 gene:Et_4B_038589 transcript:Et_4B_038589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYPGDERYIHYHPAAMCAEGKGAFNEKIFRLVCRQWTNSRCSNDHRMAYRVLMCQLYCDTPTEWTKISKQYPLLDEVLSQHSGRAPQRLALAGARHPPPSLADLWAAARTEAAAASAIAAAAAAAAAAQAPPGTHPIGAIVPSAVVPIGIQQKLQQLHDHTKYLTAWGLIGHQRHVPVHLFDPHVVNRIMGQKKNAMLIPITYSFAYGMACLTAAFPKSQKGTLESFAALGVLDELEMENFFPKVFGAIPIPDFNVFDAEWSAARREVLEQQNPPQLRQTWKKKKNKEGVVSDFWDGESWDLNLYKNLGQNSVHEWEELIQLLWNVELNNEDTVKWALEKSAFEWETIPSCMEFLEEWLSLGVKNYDLRLYMFSITAWSLWKIRNKMAIQNVFVKNTDERWRLLLKRQDRLALDENMTKLQDGVTPQVELTAYAHKGWVEEGRTMFSEMQRGTYGKVELTVEHYGCKVDMAGLVDLRRRT >Et_8A_057200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22276073:22280883:-1 gene:Et_8A_057200 transcript:Et_8A_057200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADSPESSASDRKEEQQKAALPLPAAFLEFLSENGLDPAVYSMASTIPRYIRLKPGMESQIARIESELKCCLEKVSWLPGFYAIPPEVQIAGSKAYQEGQIYGIDAASGAAILALDVQPGDHVLDLCAAPDMLGSTGSLTGVDVAKHRLAACRTMLQKYSLGDRTRLFVADGTLFSLLPVNSALRRTEESIGHEENGSTFSEWTSRRSWKDRQKTKKANATGSRHLQSNSEPELIYYGKNSGLVGLRKCDVLCSSADDEACTSGYDKVLVDAECTHDGSIKHIHKFEFWGWKTLDRRVLDAERTDNLLHLQLRLLINGFKLLKTGGSLVYSTCSLTVAQNENVVQKFLSTHPSADLQKIDAADNWPCRSGGIPKTLRFDPATSQTSGLFVAKFTKLPT >Et_10A_001092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21011841:21022156:1 gene:Et_10A_001092 transcript:Et_10A_001092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LINSHLPSEKSSSCADIFLLGTSQSNLGGKVETALPPAGLDFASSPGFELDKMEPSSPVAESGGGAANGAATKLQKVYRSYRTRRKLADSAVVVEELWWQALDFARLNYSTVSFFDEPKPETAASRWNRVSLNASKVGQGLSRDGKALKLAFQHWIEAIDPRHRYGHNLHFYYDVWCKSQAGQPFFYWLDIGEGKDIDLPECPRALLKKQCIKYLGPQEREHYEYIIDEGKIVHKMSGEPLDTSQGPKGTKWIFVMSTEKRLYAGKKERGVFQHSSFLAGGTTIAAGRFTAENGVLKVRSSTKEDYNEDPVPGDAQNFTSALDPPQETVPKTTEGNKGDKALTIEAKPTYQRTLSGGLQSPKTTDVPQKAILERMKSKSASKSFQLGHRLSLKWSTGAGLSDGAQNAGYGDGRPLTEGINTTSLKEITLLLTHLTNIAARTNADLPAPAKCPAAGSGDGVDGAATKLQKVYRSYRTRRKLADSAVVVEELWWQALDFARLSYSTISFFDEPRPKTTASLWNRVSLKASKVGQGLSRDSKALKLAFEHWIEAIDPRHRSGHNLHFYYHVWCESQAAQPFFYWLDNGAGKDVDLPECPRTLLKKQCIKYLGPQEREFYEYIINDGKIFHKHSGEPLDTSYGPERANKWIFVMSTSKRLYAGKKEKGVFQHSSFLAGGATIAAGRLIAENGVIKNETESLNNPAKQTKPTYQRTLSGGLQSPKATDVPQNAILERMKSKSESESYQLGNKLSLKWSSGVGPRIGCVNDYPVELRREALEMVDRSSTPSTNVAACTNSGLPVTAKFEA >Et_9A_061340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10237629:10239556:1 gene:Et_9A_061340 transcript:Et_9A_061340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPAAATCLLVAVLSLLSPAARAVRTHGGAYVSAVGDPGMRRDGLRVAWEAWNFCNEVGQEAPGMGSPRGADCFDLEETSVDEQGQPAYEVAHRVSDADNALRAGDPFPAGSPAANASVVTDDVDLYAAAKEVYLGGLCQVEDSPAPWQFWMVMLKNGNLDTTAAACPENGRPARPFPQTSRFPCPPSGGGAGGCMNQPLVFHNRTALLDGGRWLRGGVFGTYELDASPAEEVGSAGEASYYSVTWEKEVPGNGGWAFHHKLRTSRRYPWLMLYLRSDATRGFSGGYHYDTRGMTKIVPESPDFKVRLTLDVQRGGGGNSQFYLLDMGGCWKNNGLACDGDTATDVTRYSEMIINPDTPAWCSPARIDQCPPCHTFRNGTRVHRARDTDRFPYDAYHVYCSPGNAAQAEQPTTYCDAYSNPQPQEILQVLPHPVWGEFGYPTAKGQGWVGDGPRTWELDVGAMSQALYFYQDPGTPPAKRRWTSLDVGTEIYVSEDAEAEWTLSDFDILVPDNCGKSHEGGTTSSCW >Et_5B_045142.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1045851:1046345:1 gene:Et_5B_045142 transcript:Et_5B_045142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVGPAPAGSATAPAPSWSLSSISTSGLTAAAVVLLLLYITGRFLWQYNKIAAAEAQAASAASSSSSSSLPVSRPRVCGGEAVSLSLLPVFVHVGGAAEEKAAECAVCLAEFGVGQAGRALPRCGHGFHEDCIATWLRLNTTCPLCRAPVVAANSQVTLRST >Et_4B_036671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10268162:10269543:1 gene:Et_4B_036671 transcript:Et_4B_036671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMAHTFRIYCSASEDHSLAIVNGEVVLAKADLRDDRQARGLSLEKLSLVHRRLRLLPLLRLLTQCFPHAWLKDLSYGGGIKDEAGSPAFALVNRSTGELLKHSTGCYHPVRATKFDPLYVDDSILWAESEDTGDGFRRIHKFSNMEYVFHHEPADPRRNTSCYAFDHDLVDHRRRAAAAHDGWRLILSLWNGSRNQLWRMVPQQHGAAAPESVAEHARRFRLVCQSGEGLSVTVRDGAVVLATTDHQDERQCWVQSFQNTGHVTDEEGHRAFALVNRATGKALRHKGHGDDELVQISGHSPDSVDVALLWTHDLGEGFHGVRAVNDVGGERHA >Et_10A_001229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22292757:22296441:-1 gene:Et_10A_001229 transcript:Et_10A_001229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRFPRVLGTKDGKSTSIRSPDCSINSTVDLKESQARSSMASPSASSSSFFKSLSESRSLKFSGFSSPATISSSHTEAFRVFAATWNVAGKNPDKGLNLIDFLPSDDYSDIYVLGFQEVVPLNAGNVLVIEDNEPAVRWLALINQALNRPSPSDASAFSDASVSLSLASSAASSPALATPSSSPLDPSLFHKSSHREVRRAAITRGRRLKSCTCPENRRPRRSYRSPCLMGCGGGGGKSARNVEGDATTSDDEEEVVVAVDDEATSVANNSDSFLGSDVKNLTAAVAAARRRERYCLVACKQMVGLFASVWVRRELVPHVAHVRFSCVGRGIMGRLGNKGCISVSMSLHHTSLCFVCSHLASGEKEGDELRRNSDVVEILKNTQFRRLCKRSGRRIPERILDHDRVIWLGDLNYRIALSYSEAKKLVEANDWATLFEKDQLKTERENGVFRGWNEGKIFFAPTYKYSWNSDSYAGEDVTSKKKRRTPAWCDRILWHGEGIVQLSYIRGESKFSDHRPVCSVFIVEVAVLDNKLIKAASGPNMKVGAEELLYTQTTKPDLDV >Et_10A_000886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18715198:18715444:1 gene:Et_10A_000886 transcript:Et_10A_000886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIQVQSVKTSSPKAYLSSTQAIMCTTSLLPWEWGELCRLMNSCLKLECNGPREPIGTCIQTVLACNCDNCG >Et_7A_051806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2498525:2499208:1 gene:Et_7A_051806 transcript:Et_7A_051806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKNALETDVEFELSKQAQTFSLEISAQQGKQRTGHIFSSSARPSDNYGNQNIKFICLLWTWWSERNRIRESENRRTIATLEHVIHIYSLEIMKAFEKESKLKCRNSIRWVRPDTRVLKINCDASFLAGSAEKLQFLDPTTM >Et_7B_054647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3147020:3147971:1 gene:Et_7B_054647 transcript:Et_7B_054647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGIFKGLRVVSQIFTAKEREMEIGCPTDVKHVAHIGWGTSTGNASPSWMNGIVAPDLSSFRNFAASTGTSWASQDFDLQPRDASSSHEVSEDAGHQHDTAPCTDVPRPPRKTRRRKPKDCAPPRVSISSAPSAAAAPDAADGTQ >Et_7A_052707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13434375:13443613:1 gene:Et_7A_052707 transcript:Et_7A_052707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPSCSAATDTLSRGRALTSDDRLVSGNGKFALGFFQPGSKTSNHTLKSYLGIWFHKVSKMTPVWTANGDNPISSPITSLELMISGDGNLIVLAQDNIIWSTKANITTNNTVAVLLNNGNLVLLSSTNSSHIFWQSFDYPTDTLLSGAKIGRNKVTGLSYRYVSRKNLIDQAPGVYSAQLALNEIDIKWQSSVTYWSTGIKFPQNGKGVPNASSAKECAQLCLRNCSCTAYSYNKGGCCVWHEELFNVVVDSNGDTLYLRLAAKDLQRLKSSRNGIIVGVAIGTSTVALGFIFLLVIWNRKKGKRSSYTVGNDQGCIGIISFRYVDLQRATKNFSEKLGEGGFGSVFMGHISESIIIAVKRLDGARQGEKQFRAEVSSIGVIQHINLVKLVGFCCEGTRRLLVYEHMANGSLDAHLFHSHGTVLTWTIRFQIALGVARGIAYLHHRCRDCIIHCDIKPENILLDASFNPKVADFGMAKFLGRDFSRVVTTMRGTIGYLAPEWISGTAITPKVDVYSYGMVLLEIISGKRNSSKESSSHGDEGYFPVQIAHELINGDIRNLVDANLQGLERESHCGNVALDTTSYTSRFSCPAPFVIEDKDIIRSWTSGSIADASMLDVINFLAKF >Et_2B_020749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23227613:23229641:1 gene:Et_2B_020749 transcript:Et_2B_020749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHPQAAGRAAARVAEHGGRGWAFAGLAAATSKITSLGRAGDATAARAVFDALPRRDAVVWNAMLTAYARAGWPRDALELFVHAPAPDAFSLTAALSAAADLRCPASGAQLHGRLLRLGLRAPLPVGNALVAMYAKCALADDAARAFREMREHNALSWCSLLHAYVASGRMKLAHELFDEMPTRSNVAWNTLLMGYSRCGNANQCLILFNKMRMSGLTCDDATLCILVDTCAELPHPFTGFAIHKIAVQSGWNTVPEIRTVVSWNSLIDAHMKLGYTDKAAALFQSVAETNVISWTAMIGGLARNGCTDEALTLFVEMLAHEHIHPDDFTFGVVLHACATAASLASGKMIHGRVFHSGFATYLYVANSLIDMYAKCGDVDGASNMFNGIIDKDLISWNTMLFGFAINGWAKKSLLIYESMKSHDVCPDEVTFTVLLTACSHAGLLEQGKKIFESMVSFHGIEPKREHLACIMDMYARSGNFAKAIEVLNHHSEMVQMHGNDIQGALLSACSSEHLNIRLGRKVGDDMVANRPAGDAGYVMLSNLFSAAGQWNEAEMVRRAMAVHGVKKFPGCSWIEVKGAVKVFVSGAQDLDHTGGFLCDIIRLLDGEMRNTSELRITPGTQPGDVIVVEKQGGHLCDFISVVLQT >Et_9B_064482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15599387:15601626:1 gene:Et_9B_064482 transcript:Et_9B_064482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRGCSWAAAEEDDDSPVLSGEYQALEMSTMVSALAHVVAGADGEYPWAADPAMAAGGGGGYAVHAPQGSYYPAAAAPTPDQFAAGDHHVDVQQRAATAAMDDQQCPTPTADASGGGRRFRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGSRAKLNFPEDARLSSSSATAPAAAAITSAPAMAASTAAAYPANAVSEYLQYQMLLQQGGGHGGFSQQQYYGDGAMSSSSGSYSFPASSVTVASVPSSASSAQGYGGDAAAQWAWPETSAWSYPATTGSWSGASSYYTQPPQ >Et_2A_017244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32345849:32351799:-1 gene:Et_2A_017244 transcript:Et_2A_017244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVLDMAPPPPPPPSRHPKGGHGHGGRKKPLQSSVAQPKAETAAAVVVPPEGGKRCGGGGRRRGGRGRARPAVEPRPAPAPPVRTVIGPPVPSKGLTFCRRPGFGTVGTRCVVKANHFLAELPDKDLTQYDVKITPEVSSRSVNRAIIAELVRLYRESDLGMRLPAYDGRKNLYTAGTLPFDAREFVVRLTDVDDGTGVPPREREFRVAIKFAARADLHHLREFIAGRQADAPQEALQVLDIVLRELGNQRYVAIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQILGKDVMSRPLSDSNRVKFPYR >Et_8B_060313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9190048:9192651:1 gene:Et_8B_060313 transcript:Et_8B_060313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAGRHLAPPVSVSFVVARLRSVQVWRNKMEIIVIASLIILLVLGALFVIPKSQNKGKSKGTDSRGNGMTSRSYTKEEVYKHNTRKDCWVIIKDKVYDVTSYVEEHPGGDAILNNAGDDSTEGFFGFDVSLVQDKRIIPKRRNYRIEQEIK >Et_1A_006810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27828177:27835293:1 gene:Et_1A_006810 transcript:Et_1A_006810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIDACSPPAHPDPSSISPDAWRRFETAALAVVHKIQPTVSSQHLRAAIIDYVQRIFRFNAGCEVFPFGSVPLKTYLPDGDIDLSTFGSAISDENLANEVHAILLSEEQSKSSEFEVKDVQLINAEVDQEFGKNHLFKRSIILIKDWCYYESRILGAHHGLISTYALEILVLYIFHIFHKSLDGPLAVLYRFLDYYSKFDWDNKGISLFGPVFLSSLPELVTDPPNAHDDGFIPREEFLKECAKSFSIPARKSEKSERPFAKKFLNIVDPLKQSNNLGRSVSQGNFYRIRSAFDFGARKLGKVLQVPNCSTVSEVNQFFRNTLKRNHTGFRPDILVTSSDDGIRTNHVTNNSVSRDLGVERVSRGSSPLYSNSYGDLSNQFSNINITHPSNHASIKQNENNTMAEQKVIKAVSSGFLDSDATSHASIDSASLRNRGDFCESSPTASETCALSSERHYYAPHLFHQSENGNDDTNPSHHGMSTKQFSSRSHHSFEDSKYSNGFSGSSSPLFGHHTHSSPASLADGLAKTNSFYASENSQADGTINDVVLDLDGDFYANFNHLLYAQGCQQDNPNQFYYHMMPPPPPPAQYRSTSPALDHGRKNPYRYAGMNGAVPGPPYSPGCFVLRPFHQTDDHMAMRARGTGTYFPDPNMRKDMQPGGREERGRHNFPPNNYQKFHHYVRTDMPADMIPLDELRQEIPPPFNRPVPSPSSRSPRDPSKLPAHAPSSQVRRGFHGNGLMLPQDSKHNFGTSGALPLEVTTSNERASRSDFASNNEAPGPVSPISPADKTVSGYKQTSLHFAMPDLHYNLQESYAIPSQGQ >Et_10B_003492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:20077135:20079447:-1 gene:Et_10B_003492 transcript:Et_10B_003492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGDDDLAALREQVSLVSGAAISASNLDHAFQLQLAEAIQASLPPSRCRRPIILSLEPSSDLSHALALHAADLARVEQERRDARTCRASVRVALARAWAHDGDYFERPLDPNSFSARPLFRVLFKGMTRLSGRDAWSLASNRAEACRYDKSGFVGAKEIKQCEISLVAQSQVSYVVKLARDAMDVQIAKSRAHNAMERRETCTICLEDADITKVHAVEGCAHRFLLPLHEGARESEATQWNAPRLSKTWLHHKAKCGGFKGIPIATAVGHHGSTHQGRTDPSKPKGLLAIPQVFCLDVLE >Et_1A_005967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15995767:15998049:-1 gene:Et_1A_005967 transcript:Et_1A_005967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRSRFAFARFSCFGGQARTKKMAEDGHPVKLHVYDLSQGMARQLSATILGKAIEAIWHTGVVVYGREYYFGGGIQQGQPGRTPYGMPVRVVDLGVTHVPREIFEDFLREIGPRYTPATYNLLTHNCNNFSNEAAQFLAGASVPSYILELPNEVMNSPVGALIMPMIQGLETSLRAGAVPQPPQYQPTPAPAFAATTVTKPSSDEVAAARSSTDASKPEVDKTVDNGSGSAVPPAVQPAAAPVSVSPTPPAAAAVESQDPLVEAKRRVQEEIKREFAAIMATGTARASEAAALATRRVMERHGLPRAAVQRA >Et_7A_053122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7225503:7227815:-1 gene:Et_7A_053122 transcript:Et_7A_053122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTNRTDQYVAFKVNSPVLAFVRELLLRCIAGEERLVSMFRSVGEDDEPKEVLGESVRHACGILLPRSSCNLTVTMQAPVEMLSDYHCKDKFLVQSVVVRDGTTMNEFAPQLFTKAPDRVIEEFKLRVVYIAANPPSPVPEEEEEEDSSPRSEVPNCIGESGEEPSCTEGTSVISRLVGETEYAVDENQKLQKEMELLRETRSSQQGFSLSHTIRKSNTLKGAENTCRSKPKQKEITFPCDQLGKKEKKMMKKKKKKKKKKKKKKKKKKKKKRKKKNKGRLQERHQEHSWEFFVIEVLHE >Et_7B_053453.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:20337084:20337269:1 gene:Et_7B_053453 transcript:Et_7B_053453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYWAGLCAGDMKTGVPHGRAESMLHAAFKVMGRQGTTPSAGWVLITEVDEEVIEEGEEED >Et_6A_046238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:10660284:10661871:-1 gene:Et_6A_046238 transcript:Et_6A_046238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGDRKKTACVTGGNGYIASALIKMLLEKGYIVKMTVRNPDAIVKNSHLKELQALGRLEVFRADLDKEGSFDDALAGCDYAFLVAAPVNLHSSSPEKELIEPAVRGTLNVMRSCTKAGSVKRVVLTSSAASVTTRWLQGDGHLLDEDSWSDVEFLMSSKRSAWGYAVSKVLLEKEASRFAAEHGISLVTLCPVLTVGTAPGRNVNTSALGSLSLLSGDERALGMLKAMEAADGVVGMVHVADLCRAQVFAAEQVAAAGRYIVCSVNTTIIELAHFLAGKYPQYTVKTEQLSRGEFLQKPRVCVSSAKLVREGFEFEHQTLDDIYDDVIHYGKALGILPN >Et_4B_036682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10336265:10336583:-1 gene:Et_4B_036682 transcript:Et_4B_036682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLTRAAQLGMTRVILETDASTLGTALLSEEMDGSEHGCLFRQLRRVMTTQFEHWDVSICNVVADSLATFGAHVTASGSVYMNHALEFVSGLVSGDMADCLEL >Et_7B_054387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20801685:20803821:1 gene:Et_7B_054387 transcript:Et_7B_054387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAAPPASAPSVVTEDDDFHWDDAAEAELQAIEAAYASASASVKRRRLPDWTSPSPSPSCRPRYSRSPDSGGSTPSWVLSPHTPRGSVRARHQQVSFGGKIVYCRTPSEVEKAATDILDKIGSMKASGPVSLGFDLEWWPFPRRGEPPCKVAVMQLCMEQTVCYVLHIAHSGVPPILRSLLEDSSSIKVGICIDNDARKMLNDYNVSVQPLMDLSNMANIKLAGPPKRWSLASLTEMITCKELPKPSNIRMGNWEACVLSKHQLQYAATDAYISWYLYERLPDYNPEFEIES >Et_6A_046743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2077263:2080271:1 gene:Et_6A_046743 transcript:Et_6A_046743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVEQILSKEQIQEFREAFSLFDKDGDGTITSKELGTVMRSLGQSPTEAELQDMVDEVDADGSGAIDFHEFLTLLARKMRDAGADDELREAFRVFDQDQNGFISRDELRHVLQNLGERLSDDELAEMLREADVDGDGQINYNEFAKVMIAKRRNQMMEDEGVPVLCHTVIGFGSRILVNNLLAGNDVQGGNVNSAFDICKE >Et_1A_008040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40300036:40307775:-1 gene:Et_1A_008040 transcript:Et_1A_008040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPGGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPETLWGKIDPRSFGDRAVQTKPPELQEKLAKAKGKKKRSSSGDAADPDLPSKRRRRAPSHRDVSVLSLTDDVVYKPQTKETRAAYEALLSIIQQQLGGQPLDVLGGAADEVLATLKNDKIKNPDKKKEIEKLLNTLSNQTFDQLVSIGKLITDFHDPAGDSAAGDAPSGADGMDTTLDDDIGVAVEFEESDEEEESDLDQVQDELDEDDEDDVAELNGPGGMQMGGELDDDDMQNANQALTVNVQDIDAYWLQRKITQAYGEMDPQQNQKLAEEILKIIAEGDDRDVENRLVMLLDYEKFDLIKLLLRNRLKIVWCTRLARAEDQEQRKKIEEEMVGDPSLAPILEQLHATRASAKERQKNLEKSIRDEAKRLLNSDAAAADGARERRTVERDMESGWLKGQRQLLDLESLSFHQGGLLMANKKCELPPGSFRTPHKGYEEVHVPALKAKPYETGEKIVKIADMPEWAQPAFAGMSQLNRVQSRVYDTALFKPDNILLCAPTGAGKTNVAVLTILQQIGLHMKDGEFDNTKYKIVYVAPMKALVAEVVGNLSKRLADYNVTVRELSGDQNLTKQQIDETQIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNYEDVAVFLRVRKEGLFHFDNSYRPCPLAQQYIGITVRKPLQRFQLMNEICYEKVMAAAGKHQVLIFVHSRKETAKTARAIRDAALANDTLTRFLKNESASQEILGTHADLVKSSELKELLPYGFAIHHAGMARVDRELVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGHSELQYYLSLMNQQLPIESQFISQLADQLNAEIVLGTIQNAREACSWLGYTYLYIRMLRNPTLYGLPADILESDKTLDERRADLIHSAANLLDRNNLIKYDRKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVGVRQDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISRLKLEGLSLSSDMVYIRQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVDKQMWSVQTPLRQFPGIPKEILMKMEKKELAWERYYDLSSQEIGELIRFPKMGRQLHKCIHQLPKLNLSAHVQPITRTILSFELTITPDFQWDDKVHGYVQPFWVIVEDNDGEYILHHEYFMLKKQYVDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVTALRNARYEGLYSGFKHFNPIQTQVFTVLYNSDDSVLVAAPTGSGKTICAEFAILRNHQKAVSGESNMRVVYIAPIEALAKERFKDWERKFGEFAKVVELTGETAADLKLLDKGEIIISTPEKWDALSRRWKQRKQIQQVSLFIVDELHLLGSEKGHVLEIVVSRMRRISSHIGSNIRIVALSASLANAKDLGEWIGATSHGLFNFPPAVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAITQHAKSGKPALVFVPTRKHARLTALDLCAYSSAEGGGTPFLLGSEDEMDTFTGGVEEETLRNTLKCGVGYLHEGLSELDQELVTQLFLGGRIQVCVASSTMCWGRSLPAHLVVVMGTQYYDGRENAHTDYPITDLLQMMGHASRPLQDNSGKCVILCHAPRKEYYKKFLFEAFPVESHLHHFLHDHMNAEVVVGVVENKQDAVDYLTWTFMYRRLTKNPNYYNLQGVSHRHLSDHLSELVETILNDLESSKCVAIEEDMYLKPLNLGLIASYYYISYTTIERFSSMLTQKTKMKGLLEILASASEYAELPSRPGEEEYIERLVRHQRFSIEKPKYGDPHVKANALLQAHFSRHNVVGNLAADQREILLSAHRLLQAMVDVISSNGWLSLALNTMELSQMVTQGMWDRDSVLLQIPHFTKDLARRCQENEAKPIENIFDLAEMSGDEMRDLLQLSNSQLQDIIEFLKRFPNVDMAYEVREGDDIGAGDNVTVQVTLERDMANLPSEVGPVHAPRFPKPKEEGWWLVIGDSSTNQLLAIKRVALQKRARVKLEFAAPAEAGRKDYMIYLMSDSYLGCDQEYEFTIDVKDAGGS >Et_8A_058181.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:2387033:2387875:1 gene:Et_8A_058181 transcript:Et_8A_058181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVALRRSVTLADQLAAVGPAPPAAAAAGSCNLRDLLKLRDEDDLAAGRRAAAVTLASAMAAERQTSLPTPLPAPSPSPSSASSSAAASAAAAARTLLDIIRDDQPPAAGAGDPLVRRAVSLPAPTTASPTASTSAEPAPPAPHPPSPLPPPAAAAAVDEEDQGERVSLMALLEQTDRQWSAGVGAGAREDDFPAAAEAEALLEADDYDDLAEPEQEPEAAGKGVVAGCCCVCMARGKGAAFIPCGHTFCRVCARELLAGRGRCPLCNAAIVDVLDIF >Et_1B_012627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33910674:33913180:-1 gene:Et_1B_012627 transcript:Et_1B_012627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEATTGDKELELLLRNFQRVSQVSGSAAPLLRSSSPADRCVAIRVRASSAPPFRISFESRSALGYKDALTEVQALRVNHSTESKKCEALESHITDLKRDNERLRRLYTETLFKFTNQVKSHIESRSLKEELQKANSRLLSVKEEHKREIEQLKHSNEVNGNALESKLSCALAQQAADGAAMKQLKLELSAQKAHIDMLRSRLEQVTADVHSQYGNEIHDLRDVIAIEQEEKKDMNRKLQNAENELRIMRMKQAEQQRDSISVQHVETLKQKVMKLRKENESLKRRLASSELNCS >Et_7A_051060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1427443:1432332:-1 gene:Et_7A_051060 transcript:Et_7A_051060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPPADAKETLVSSFLEIAAGQTRQIATQFLQISNWNLEEAVQLFFINGESALASHPAPSAAAAASVAAAAAAGVEEALRFAPPPAAALGDGMLQGLGDDDDVRAPLPVKRETLYGDAPLAALRPNSTAAFRNFEEEARQSAVWDSEQNTTSSSRDNLASLYRPPFSLIFNGPFDKAKLEASLLDKWLLINLQSKEEFSSHMLNRDTWGNEAVAQLVRTNFIFWQVYHDTSEGRKVCTYYKLVSMPAILLIDPVTGQKMRGWNGMVHPDRLLEDLLPYLEKGPKDHHAVQPQKRPRQVDQDSTMGKQGKTSVMDEDEELARAVAASLEQGKEVAGESDATDDMAETEEEDEPSLNIKLDYPPLPEEPTGSRDLLCRVAVRLPDGRRIQRNFLHTDPIKLLWSFCCPKLEDGEKRPFHFVQTIPRASQTLEFGSDLTFKEAGLANSMINLLWD >Et_7A_050440.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15553534:15553728:1 gene:Et_7A_050440 transcript:Et_7A_050440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLILTVRMRWVRFVILVLQLIMTRLLQLCVESRVALMATWHQRCIRQGALAPLNLTCGLWVL >Et_10B_003736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5303570:5314253:-1 gene:Et_10B_003736 transcript:Et_10B_003736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLLLVVATLLFAAAGIAVCAEVIVRSSPSATTVLKLVHLLCFATSWGATVWAVFISGIIMFLNLPRHMMGGLRGKVFPACFALTAVCSAVSAAAFAWLHHPLQEAPAVERRQLGVLVSAAGLDLANLLFFTPKTLKVMQERHKVERGLGIGSVGSFNGMRSNARAAGRCSALAVLNLRFWTAHVLSVLATLSSATGLAVHLNLPRHMMGGLRGKVFPMCFALTAVSSAVSATAFVWLHHPWQEAPAAERRQLTVLVSSAGLDLANLLLFTPKTLKVMQERHKVERGLGIGSIGSFYGMRSNARAAGRCSALAAVNVRFWTAHVFSVLATVSSSAGLAVHLCYLVSKLAL >Et_5B_045274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15980354:15997011:1 gene:Et_5B_045274 transcript:Et_5B_045274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISRGNKYDPAHTEIPSMEIEREGGERARNQTTNAVQDESNKLQDSHQMIKEPGWKRFLAHVGPGFMLLWVILIGLIFALIIQSLAANLGVVTGRHLAEICKSEYPKFVRICLWILAEVAVIAADIPEVIGTAFAFNLLFHIPVWVGVLITGSSTLLLLGLQKYGVRKLEFLISMLVFVMAACFFGEMSFVKPPAVEVIKGLFIPRLKGPGATGDAIALLGALIMPHNLFLHSALVLSRKTPQSVRGIKDACRFFLYESGFALFVALLINIAVVSVSGTVCFASNISPEDAERCSDLTLDSSSFLLKACCLNVLGRSSAIVYGVALLASGQSSTITGTYSGQYIMQGFLDIRMKKWLRNLMTRCIAIAPSLVVSIIGGSSGAGRLIIIASMILSFELPFALIPLLKFSSSSSKMGPHKNSIYIIVFSWLLGLLIIGINMYFLSTSFVGWLIHNSLPKYANVLVGIIVFPLMLIYVLAVIYLTFRKDSVVTFVADSSQADAEKAKGASEDDEDEPVPYRQDLTDIPFPE >Et_2B_019516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:47754:60442:1 gene:Et_2B_019516 transcript:Et_2B_019516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRSGGGGASRDGAPDASASAAISTPARRETRSSSAVTPTNLRRSTRETKGKKKSDLAATPAPASQRSTKATKDAAPPTPVPSPKKPQGDSTPTRKSSRVKTTTSPASKQDSTSATAKRKNDNDQSAGSASLPKKHKRLNTKSYIAFFKAPEEQPKFSPVLPSPHTENGEDASKVQVEENDSDLVENASKVQVKENGTDMVYKESDAHEQVSQEPSNVQVLEGHSSDLDENPEAILERDEMNVGCHQADAISESHMPSEICSLNKAAEIIPMMEAGEQTAGDSNQNSLPESLNGPFSTAHHKETSKAIEDGDSIGTQEACTSMQTQAIQCDETDHNDHVCVGCRSRNVSDILKSCDGKGCKRHYHLSCIDPPLEYVSPGIWLCVICTKKRIQFGVYSVSEGIDSLWDVKDGPNSSKQYFAKYKNLSHVHNQWVSESDVIDSTPQGRDLISKFCKRIHKEKTIRWKQEWAEPHRLLKKRSLMPQNEADEFFKFLGDKFAYCNAEWLVKWKDLGYEHATWELETSSFLCTPEAEELKRSYESRREAARRASARGEIDKVKDGIFQKLQRPPDGCPAGLDDDHLNSINKLREFWHNSRGAIFIDDQERIIRTIPFVMSILPDVCRPLLIISTPASLSVWEAKFNRLAASINVVVYNGEKDVRKSIQDLEFYDSGSMMLQVLLSHPDAILEESIPEYINLLSFLNPEENDVLSVSNGDLGDAAGTLTALKAKLACCIAFERKADSSMFSEYWVPAHLTQVQLEMYCYTLLSNSPALRSHSKTDNVGALRNILVSLRKCCDHPYLVDQSLQSSLTKGHLPTEILDIGVHSSGKLILLDKMLQKLNLKGLRVLILCQSGGGSGNPMGDILDDFISQRFGYEAYERVERGLLVQKKQKAMSMFNDKGKGRFIFLMDTRACVPSIKLSSVDAVIIYSSDWNPVNDLRALQRINIESQSDHVPIFRLYASYTVEEKALVLAKHDQILDSNIQNISPSLSHCLLSWGASFLFNRLDKFQNHNHSSNGSDGDKLFMDNVVLEFLTKLSSKVDVSTKNDNSVISRAHLHGSFYSSDIVVIGEREGISSPDGDLPKFWAYWLNLLHGRSPRWQHISEPAQRSRRKIQNMEEPVVNIEEQLKVPVEETDVARMKRRKLGEVMDSSPKVAPGLNKDTLLSEGNTPSSSHQISVDDTWQELETNNLHGAQRGLHVQLKPELSKLYQLLELPETVKSLCEELLEYILKNHQVSQEPKGILHAFNIALCWRAASLLKHKVNRSESLALAVKTLNYECSERLVLFVYEKLRILKKKFARKAGEASKQTQSTSAGNLSPCEQETSSKSKNGESINGQAISVDGRIESDSHQQAPGDFWTEEMVSGEKDLLSDTGSRVGQHLSKDELLSRVMDKRIKLVDKVFSLRGKSIQGKHVKEVALLDTHRQREVAKLREVCNIVVKHLRESQSHIDQEDMVGKIKLIVEWFTMLLYAFLKHIQCQRNKLDMQQSSALTKESQLKEQYLQAAKSGQLDRAFDRHIPLPDSDFVVEEFSHFREEVGVCHVHAASLTQQSLGDDSAMEITLVRSVNASEVTSTEEARNGPVIQKSTPEAVSLTANRIHDTSDCIDSQGGASLAVHNPLVEDFANQESLVGDHGSTEHVEEESTVVSPLQGGTNQPLGDAEMEVNSENSETAVVPHLNTPASSAPSSQAVLPASREVETQTNLVTRSAQQSLAPSQLSPGEPGREGSSGLASAQPLQSERPRSIPVSNNPLEIAQPDQSQQSHQTDAAPNSAEPAQLFPVASMMFNHPPIDDEPLKNEMHKLRLHMDTLNKIHELKKSQLRTECEQELEKIKRKYDLLIEEHDSTHILQKKTLDDIYEKVLRNQSLAEDFRAKFISPSAAQEPIVLKLVSCLRLLSKHRRGIQQLDPHHHQLLRHQLVDRLCQGLESNHHCRMCLVCRRCLRKWANLPLRYQAIFSEQHQRQLATCLRHVELRVNWLLVHLRLIFSSGHHDRIPRLLEISSSFRGWRRHLQGHSCLLWPKGPHCSMSNLHSSLPSTLSPSGLHPVTPASSVASATSGAPLAGRRVGPSSAAGMQATDSGSLALDAWLTANLGLSSDSPRVGAPVNGDVDVVCLSDDDSN >Et_9A_061123.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17152941:17153330:1 gene:Et_9A_061123 transcript:Et_9A_061123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGFGFPRGILPVGVTGYRYRPSDGAFEVYLGRDCEFEVDGGYRLTYQRTISGNVEGGSIRNLGGVSVRMFLLNWGIDRVVMEDPGHLMFYVGPLSQAFPADNFDESPQCRGRGCAAVGGGGFDVAAI >Et_1B_012365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31196578:31199460:1 gene:Et_1B_012365 transcript:Et_1B_012365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSSVLLPLCQMSLNCILDMEDKTDDLDEQNEATEAEVNVPQDAQGIILSFLPGRVVVKLRGVCKFWRDCVEEPSFVDRHLSNAFRFHQSIACFTSLDHGLARMYTFDPTTMNFKNVDHVFSNRFHMSGPCNGLVCAYDFKGNAEVLNPIMRKHLNLPVSAVKSRSLYSEYFLGFVHSTKEYKVVSIRHRVQFLTFEISTIGTRSWRTVRESAELLKTTKAVIANNAMYWLLLHDASSVLCREILMLDLTDERFSKIALPDAVKEHDLALVEGEGRLHLLSTPTDGSSNSVSAIWVADSRQEWIQLETVTRQVPVGMSLFFLYKMKIFFGRQDKLFYRDLLDNTVSCINIPPGESLLSCGTFVESFAPALEGLLNSTASYSSYDSCLTESSSAHIGPSSCGSGPEQSLERAKRTVNMEWKKIK >Et_5A_041631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25236943:25237432:-1 gene:Et_5A_041631 transcript:Et_5A_041631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESWKQESHAPEAPVLCINNCGFFGSSMKNNMCSKCYRDFVKLMEMDAPVVEKKQDDAVAAVTAVDEKQPAQEPPKPPSNRCLTCRKKVGLTGFQCRCGGTFCSLHRYTDSHECTFDYKKVAREQIAKQNPVVVAEKINKI >Et_4A_034977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8449548:8450127:-1 gene:Et_4A_034977 transcript:Et_4A_034977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATGASKPKKGAAGRKAGGPRKKSVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAIRNDEELGRLLSGVTIAHGGVLPNINPVLLPKKTAEKASSGGSKEAKSPKKAAKSPKKA >Et_6A_046930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22500384:22501961:1 gene:Et_6A_046930 transcript:Et_6A_046930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADDNLKELIDRNILQPIDSSRSAKVKTFKAHGVMHEFMRHMAIPAKFITSLGNQERSNHRHLFVENHSSGKALEKKHCHTSQASKKFRARSITICGSAGAAVADFNKCELLRVLELEECNDLEDDHMKGIHKLWHLKYLSLGANIRRLPNKFEKLYSLETLDLRKTKIETLPLEVVKLPHLTHLLGKFKFGKKDLKMNEVHKFLPKESNLQTLAGFVADGNTGFPLLMVRMKKLRRVKIWYDSTSEDSKSLADLATAIKKFIQDELDTSVGVRSLSLDMGNFSPNILLSLDNPYIYLSSLKLHGALSGLTGFASDLANLHKLKHLEFLKLVKVSLGDFVIRRWDVPRLVQLCIVQSRTLPTIEEGAMPTLVSLQLLSEDLVGLSGIEIKWHKHLQEVALDSMVSSETIKIWENAAKRHPKRPRVLFLRRIGHDMLQYVATERPSAGTDCPIMRGKRVIHEFEPNSVIDLDSALKRMKFSGPSITSPELPSARNDVMPSSSKAVF >Et_8A_057191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22115457:22117340:-1 gene:Et_8A_057191 transcript:Et_8A_057191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEDIQRRILSMLPLKEAARTSIVSRNWRELWTCHPNLCFDCSKQQSTDEDSMKIEGTKFIETVNSIVQQHSRAVLNKFSIRFLLKKESSDHLDRWIFFAAACKARIMDINLWPGALKAPVGKNYNYPLEALGSQDGPFIQSLFLKHVSLKPHSDIAGFTKLRRLHLHNVIINGDLRGLLNICCALEDLEIFKCYGAWDLRVSIHLNKLRHMLISLVPTSSIDFHVTGLTHFEYKGQTRPIVLHGCSQLEKVSLTFKAGNFERDKDLVSYAFNKLPTISAVKVLTVRADMIEREPVWTSQVDRLMTRPVNMFTRLRHLTCEITIFTRDANSHVGILQFAHYLDRAPELEVLQLHMLYNTAVGFWPGEATGLFSSSMGLHRLKEVHMSGFRCYRPQVELLCGILGKGATLGNVTIQTNPYTVTSNNYESYIREWAHHTSERFGKTINVVT >Et_5A_042224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7770891:7775412:-1 gene:Et_5A_042224 transcript:Et_5A_042224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GLGPLAPSRRNPIVSNWRTLWPPAVSTAATAATSATGEWTASAASPTISSTPSSSASLPPRKAIRTSVLSRRWCRVWTRVPALSFTVEQQHPSYASILDGIDAALDAHAAPTLGRLAISAVSRALRPRDQVELPVCGRATAIDLQLTNQQRLRFPAGEFAALRDRVLLRFYLHGGDLECALFAQCPRLNELKLSVTDLDGAAVSVCSGSLERLVLGGGGAGQIRVDAPRLARLETSRSPMVAGRAVYGVQITAPELAEVIWRDACTERDNIVVTSQRRWRLRRLEVELSHYHQKKALASGMASTVLGWFDAVDELELQMTSYLVRTCSNWIVHVCHRRRVDRLSDLPDDLLHNILIRLPSTFDAARTSVLSRRWRRVWTHAPALSFTVEQQQQPSYASIVDGIDAAMDAHAAPTLDRLAISAVSQRDPVARVPRWLRFASRRVAGELSLQFTRPFLMFTSDAGWQPTVELEVPVCERATSIDLQLTDQQRLRFLSATGTFAALRVLRIECSYLRHRGDLERALSTQCPRLQELALSVMNLGETLSIRSGSIERLELRGGGTGQISVDAPRLARLELLEQCTARTLLLPESLRR >Et_10B_002956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14614507:14617387:-1 gene:Et_10B_002956 transcript:Et_10B_002956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVARAAWRCPWLTYGGAKESAASIDTKQPDATKRKGVNQVTTPAPPAGQTPACSRRSTEATTDTTIHRAGRRRRACQLPLDLLLEITGRTDPATVIRCAATCKELPRHIADPAFHGCLRLRHNGRFIRALLVDNATRGATNLLHNRECTTVTASRGLVLLRVHDGLCVCSLSTGQRQALPPGPPFRRHITHGSSGLRRQPDYLVIGDTVHCLCYTDHSYCILRLHTGGQARLTIMDLPPSFQRASHFIRARKSQILLATDTFEGSPIVLVANNDVISSWVPSKLTTKLKEQPQVVIKNEALLSFAKVSGFTQESLGRVQLGWFGQMSGVVLISAQPCGFFWLDLGSREILGWSKSCRNGYPTAPYEIELSSCVPSLCGTF >Et_3A_026675.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:13442681:13443265:1 gene:Et_3A_026675 transcript:Et_3A_026675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVAPDPAPISSLVDGVLGEIFLRLPSLACVARASCACVHWRAVASSPVFLRRFRDVHGCAPLVRYVSSCSLTHPATRSLSDDPDIAAFLRRTNFHRPGDLVLDCRHGVLLVSPGSPSEQDRNAKEVLAVYEPASSLRITIGARPESDDGHSYCYFTDCLLLDGGYDDTDGSGASIRVASVQKDGQRIRAAV >Et_9A_061132.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17896663:17896977:1 gene:Et_9A_061132 transcript:Et_9A_061132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISELTRTIHHQRSEAMQPTMRSACTGCSPRGSAHIWCHGCRGLQRKSLRVNLHAENIVDDDKCELCSTESQDTFHIFFECSFMQTFWNAINVEPPQDLSARTP >Et_5A_042742.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:3321451:3322122:-1 gene:Et_5A_042742 transcript:Et_5A_042742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLLLSLAPPVAAVISGGCSSTSFSRPPAPLPAAATARTGSVMSRRHRRMRDVVAMADMLGDFGARDPFPAEIESNFAEKTLGDTDTLHRILIPTLSVLSLARLPLEPDPAPLAVDDARRLLHKVVGWRLLLDEGEVERPARLQCIWKVRDEACGQELVARINAALDGHQPAALVFEAPNQVRAELSTPSAGGLTVNDYIIAARIDQVKTLDLIPKKRAWA >Et_9B_065803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13060873:13063553:1 gene:Et_9B_065803 transcript:Et_9B_065803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTKLIRRHFKMGEGPETDKNIMIWKVKKLIKGLDAARGNGTSMISLIMPPRDQISRVTKMLADEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNRVPPNGLVLYTGTIVTDDGKEKKVTFDFEPFRPINASLYLCDNKFHTEALNELLASDDKFGFIIMDGNGTLYGTLSGNSREILYKFSVDLPKKHGRGGQSAVRFARLRMERRHNYLRKAAELATQFFINPATNQPNIVGLILAGSADFKNELGKSEMFDPRLQAKVIKTIDVSYGGESGFNQAIEMSAEVLSDVKFVQEKKLIGKYFEEISQDTGKYVLGVQDTMTALEMGAVDTLIVWENLDVNRYELKNTATGETVVKYLNSEQEADQKNFIDEATSGELDVIDKMLLLEWFSDNYHQYGCTLEFVTNKSQEGSQFCRGFGGIGGILRYPADVALYQDGDMSDEEDYEDFE >Et_6B_049841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14737716:14740210:-1 gene:Et_6B_049841 transcript:Et_6B_049841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMASAEEMMEDERPVHQGCMAGFLHLFDRPQILSGRRLHHTPRRLTSSSSVRCRFTTFPCLTVAWLGSATPSERSMPLDRSTPAPSSPDMTPPAAPRPSLQLPPLHDLKDVAASSGAPSWRLPRLSLDSRAVVDGRGKYRPREIRAPSPAAAPPSPSLNGDERRSPSVVARLMGLEALPHEEDGGGGGRQREQYQYASCPAAAALRRSASERVPRNLPSSHFRFVDPAFFERPSPLPQQQQRPAPAPAQASYPSAEATMRRAPADPASSVRGGIQRRSRFDAREVFPDPGKRASAADPASAAQHGGEIALYGEIERRLRKRGIAEPATRDLETLKQILEALQLKGLLHHAPPAARAPTAPPPIVVMRPSHRAQPPQPQPPSPARLVTPTARRLRVDVDRARRPRSPDPSSSPAQSPASPARRGGPQSPQRRVSPAQSPKQQQPFRRPSTADPAGARSRIARRAARNSATLFPDEEASTTFSDGGSSSSFSASSRWDFDPQPAVRGEEPSRAVLERCGKLLSSIQAFTGRDAAAADQQPSPVSVLDAAAFLADEDSPSSSSGSKRVIDFNIVRSPPKKPATVSDPEDDEWALSGPWTMAGPNAGDPDYAYVAELVRLFHRKRDPADVYKIAEQQRRLGGGGGGADTWHHRRLLCGAVAEALERQRCACPWDPASWLRGADLVDHVWGKVRRAREPVPAVAPPEELDLNDVTCDAIRRDLAAADGAWASTLQQQLPRRLGAEVADAVLQIERLVFKDLVADTIRELADADRLVPPRRKLVF >Et_1B_013020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4876948:4879248:-1 gene:Et_1B_013020 transcript:Et_1B_013020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLGVSMSGLQYRLRHRWLMDTASAPASTPGHSGSNNGMTIMVTILVVVIVCSLCYCVYCWRWRKRNAVRRAQIERLRPLSNSDLPLMDLTSIHAATNGFSKENKLGEGGFGPVYRGVLGGGAEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGCCVEKDEKMLIYEYLPNRSLDAFLFDTRKSCQLDWKMRQSIILGIARGMLYLHEDSCLKVIHRDLKASNVLLDNKMNPKISDFGMAKIFEEESNEVNTGRVVGTYGYMAPEYAMEGVFSVKSDVFSFGVLVLEILRGQRNGAMYLQEHQQTLIQDAWNLWNEDKAAEFMDAALAGSYSREEAWRCFHVGLLCVQENPELRPTMSNVVLMLIGGDQTQMPAPAAPPLFTRLKTPASEFSLGMKTDTTKTQSVNEVSISMIEPR >Et_7B_055907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7192293:7195519:-1 gene:Et_7B_055907 transcript:Et_7B_055907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFAAGRFEEAAQHFSDAVALAPDNHVLYSNRSAAYASLHRYAEALADAERTVALKSDWAKGYSRLGAAHLGLGDHAKAVEAYEKGLALEPSNEALRSGLAQARQAPPRRPPSGADAIGKVFQGPELWSRIASDPTTRGYLDQPDFMQMLREVQRNPSSLNTYLSDPRMMQVLSLMLNIKFSNQNNGASEPAAQTTSPPPKQQPEAKAREPEPEPEPEPMEIPEEEKERKERKAAAQKEKEAGNAAYKKKDFETAIQHYTKAMELDDEDISYITNRAAVYLEMGKYDGCIKDCDKAVERGRELHADFKMIARALTRKGTALAKLAKSSKDYDVAIETFQKALTEHRNPDTLKKLNDTERAKKELEQQEYFDPKIADEEREKGNECFKQQKYPEAVKHYTEALRRNPKDPKVYSNRAACYTKLGAMPEGLKDAEKCIELDPTFSKGYTRKGAIQFFMKEYDKALETYQGGLKHDPKNPELLDGVRRCVEQINKASRGELSQEELKERQARAMQDPEIQNILKDPIMQQVLTDLQENPKASQAHLKNPGVMAKIQKLVSAGIVQMR >Et_10A_001747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7650160:7652531:-1 gene:Et_10A_001747 transcript:Et_10A_001747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVVVVSSAGCKGGGGGGKRGGEEERRSRAVLELLLAAVRRSVVACREEVEEAAVDLEEMEIGWPTDVRHVAHVTFDRFHGFLGLPVEFEDEMPCRVPSASASVFGVSAESMQCTYDGKGNSVPTILLLMQERLYAQGGLKAEGIFRINPENDQEEHVRDQLNRGVVPKDIDVHCLASLIKAWFRELPEGVLDGLSPEQVLQCNSESEFLELVTLLRPTQAALLNWAVELMSDVVEEEELNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNFLKTLILRTLQERDDAATGEEYTPYSSPASSVQHCDTECFVSEQDIKHCDAECFGSERDIKHYDAECFGSDQDIKHCDAECFGSNWDIKHCDAECFGSEKDMDGSCELSDMHSQISKCGRHADYLVRYNTCFNSEQEVDDHLSEVEEGFLRSLESDLEAEENSRKKSGTNSEIIAMEDVELEAENKGAQKVVQKEAGLESLT >Et_2A_018378.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:25349531:25350181:1 gene:Et_2A_018378 transcript:Et_2A_018378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKPCNVLHGREDRSFELKLSLSVPKGLAADGLAEEVFFLITNSFKCTARYWPHWEHRKDDRGWIKIFIEVTGGTYNPYRTVASGHIGLPARNGLISPGMSVAGGLFGGVTLVARRDEVEADCAVDGHFTALCAIAVSFFYKKDSTVGVGPARPNPRPPLLPVTASRLDHDILMASELADVTFEVEGEAFKAHRLVLAARSPVFKAGWRRARRP >Et_6A_047533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:688191:691405:-1 gene:Et_6A_047533 transcript:Et_6A_047533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTPGVLLKLLQSMHTDERVAGEHRSPVLQVTAVVPALTASTADSLLSPTNGFLLNLSDGLHSTYVQLPPADADALLSARPQLVGHLVHLDRLRFARPVPRAVGLRPVPSSRALPCAGNPEPLVARSASCARGYVIQPAASPSDAAPPLMPSSGSNLNNSDAVKRAVLAPKNTVSDEAPSSGTSGVKRRFSSPAPSKQRYPSPSVKGASRASSPSVKGASRASSPAVRGTPRATSPAPSKCVVPSLVQAKEENRRAAREPAIVVQSRYRQPSPAGGRRGAASPAVGGRRASLSPSSRRLSGEGCGKKKVGVLVAGISKMTDLGNGSAVKPGRKSWDDQTLALAAAAAGSVMKSRAKVDKDNILRTQEAMSRRLSDATTEQSSNDDSSVDERPKPRKKIDSSSVKMKSTAPKIILHDPKWTDGSMPLDAVSDKLSKIGMEAIERRDAAATAAARALQEALVTESVIRNLSKFSGICSSSTTSNPLPTIDLFLAVYEDTLKWKTMAEAVAANGEDDAFLEKSATHWVDAALATDLEVLKLLNGATESISRTKSTNKPKTPSVVEPPRTSQPKKQSLGASAKIQSKVSPSPTVTGTWSNAEGMNETVELAKTLWREMHMWFLNFVNEALDVGFHLFEDQNVASRAKHSSHITMVLSQFKKISDWLDRVAKIAEEKTTKEKIECLKHKIYGFVISHMGSAFEGSIVPNN >Et_7B_054128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15865443:15866039:1 gene:Et_7B_054128 transcript:Et_7B_054128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILSQINHRNVVKLHGCCLEAEIPLLVYEFVSNGTLCDLLHRIAVEVAGALTYLHSAASVSILHRDVKCMERSSEGQLHRQGFSGFGASKSIPIDQTRLVTAVQGTFGYLDPEYYHTGQLNEKSDVYSFGVILLELLIRKKPIFENEYVEKQDLFNYYLWAMSERPLEEEVDEQILGEAS >Et_6B_049202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19312425:19313396:1 gene:Et_6B_049202 transcript:Et_6B_049202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDGSGVVALYGGGSGNNKVKVVDASGSKPPAATFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRSQGGVARMSDPGLIRDIKRAVTIPVMAKARIGHFVEAQILEAVGVDYVDESEVLTPADDAHHINKHNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMADVFAYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPEILAEVSSGLGEAMVGINLSDPKVERFAARSE >Et_9A_062326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21941813:21942434:1 gene:Et_9A_062326 transcript:Et_9A_062326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMETCRSRHALWLVSAVLASLVAGSTAGVYHIVGAGKGWRMPPNKTYYEEWARSRNISIGDKLSKHGDAVSRHQILVPLEFMKTAGRLQVVFPSTKLFLYRSGVYNIVEVPSRELFDACSMRNVTNRYQRGPTIIELTEPGMRYYFCGVGEHCEVGQKLAIDVCAVAPPPPDEPSAGAVVALTSPAGLAACLVAALLIMAL >Et_7B_054547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:23046376:23046927:1 gene:Et_7B_054547 transcript:Et_7B_054547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHHDTVAAVIRNVIGSGQANVITVMTPVQGLMLAMDIGITNLEQEKLITDALQAEATTAVQGLIATDIGITNLELEMDASTLRTALTSSALDLSTNGVLFKDIKFMMYSEFNSVRVLYNPNLVMQ >Et_10A_002346.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:9922377:9922781:1 gene:Et_10A_002346 transcript:Et_10A_002346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELDTDDGYVDLGEFATFHGHGTASSRAELRAARLRRPRRHRRRARRTAAEPWKVLARNGEGCSDEECDRMIASVDVYGDIHCAHSAAAKPAVDPHQGRAVPAACRTPARRATRPCRVLACTIPDSTELMVAL >Et_1A_004914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16280759:16281001:-1 gene:Et_1A_004914 transcript:Et_1A_004914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSLYSGSSRKGVQTAAPVKQLLRRLQHTLRRSASRPRRAAPVSFGYDLQSYSQNFDDGLGSSLHRL >Et_6B_048705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12852309:12876363:1 gene:Et_6B_048705 transcript:Et_6B_048705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFGRWTIAARLKRNLGVCTPYWGMGSAMLEESSNWVVEMEKMMIEDASPSVEQERWKKTSIYRVPEWHKNMSNRNAYRPWLVSLGPFHHGEPDLLPMEEHKRRAVLHLVKRARKPLREFVDAIEEVTDNLQDAYQGLDEKWRGAKRDLFVEMMVTDGCFLLEIMRADVLRMQDEDDEDYAHNDPVFSKHGLHYLFRPIRADMVVIENQLPLLSLERLVAVQHGTPPSAGEINNMVRFFLGLPCFEGLHAICLHPLDIFHKSFCDGRQHQEESDEPDIIIPNAMELSEAGVHFKTSKTPWVHDVDFKNNGVLSIPPVTVDEGTEKEFFNLIVFEQLHADAGSDVAGYLFFMHHIIDSERDVALLRSKGIIQNLISDKEVADMFNRLTSGSTSNTAAKLLEVKRKVNAHCAKRGNKWRAFFVHTYLINPWVFISLVAAVTLLVATLLQTIYTVVPFYTKVEGRSSWVVDMKKMLVDPEELYSVEMARWKKPSIYRVPERTKRMLTNIETYHPQVLSLGPFHHGEPDLMPMEEHKHRAVLHLVTRARKPLGEFIAAIEGVANTLQDAYDGLGEEWRGANTGQFVEVMVADGCFLLEMMTIGRLVREGKVSKDYAPNDPVFSDHGYHVWSPDIRSDMVLMENQLPLLVLQRLSAVQYGTALGQSAKEINKMALLFLGHAFYEGMDNLGLHPLDVLHKSFCGFHPNLDGCSKWQGTMPSAVELQEAGISFKDSNSKSILDVNFDNGVLRMPQVKVYGDAEKEFINLMAFERLHSDAGTYVTDYIFLALLKSKGLVKHGMGSDNEVAQLFNTIGYGAVVSSSSKLASVKQKVIAHCAKPWNKWRASFVQTYLSNPWVFISLLAAAILLVATLMQTNRGFEQLGGGDGSADGGHYTIRGDGEVEAAHCLPGARDSRPQLVSLGPLHHGEPELLPMEEHKRRAVLYMVKRAGKPLEQYIAAIEEVADELEGAYSDLGDRWRGAHRGGFVQMMLTDGCFLLELMRTRIMKEKKKVDDDYADNDPVFSKDRFSSLWPHVRNDMIVMENQLPLLRIVAVLRDAPTVRISFCPQAMKWLLTIWCYFFWAARLKKTWTTWASTSYTFFTKATVAPVQFGKDPRSNAIVARPVPSSLLRQGSSSRRATLTASTTLTSETAC >Et_8A_056710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15671831:15673268:1 gene:Et_8A_056710 transcript:Et_8A_056710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAVRQSFLAARSHTLWSHLRAAAVRRHGRCSSQIAEADAGNQVTLPAYHYPDISDEEFGIDEPVSPVEIVDQAGETARASWHHCGCDWCGHPKPLVEPPPRPHLYVVVDDWNQGFSVHKIDLNDRDEVGVRLQANSYQRLPHPCFRFEFSNVCAGAQFAALGSKIVASTQGNDGLTVLYDSGTAAQAIVRSPYMFLHHNWNAAVAAGGRLYAFAPTNWDFGKIRSTEKVAGGIFCLEETIEHPEFPFDSKENTIARKKWTWRTEPFPVPFDQSGRMCSYAVHPDGKTVFFSSDTRASSGKPNGGADTYSYNTDSHKWTHHGTWGLPFHNKALFSGQAHYDKELDAWVSGEFKRIGCCDVIAPSGADGTTLVAPPSWKLCEEDISLLASGWQPVLVPMGGGKFCLVETVTRDGVYRWWGDGDRWELRVTTFRAKYGKNGELKVTDRQTERSYVLSRYAGHDFHVHAYWM >Et_4B_038803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:546411:554647:1 gene:Et_4B_038803 transcript:Et_4B_038803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGSMRRSAPAPRPVPAFTASVSDYRLMEEVGYGANAVVYRALFIPANRTVAVKCLDLDRVNSNLDDVRRETQTMSLIDHPNVIRSYCSFVVDHNLWVVMPFMSEGSCLHLMKVAYPDGFEEPVIASILKETLKALEYLHRQGHIHRDVKAGNILIDSPGVVKLGDFGVSACMFDRGDRQRARNTFVGTPCWMAPEVLQPGAGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDRRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTVKSILNDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSMIHDDDPPELKEDDDITRINEVDKYDIKEWARNVQISAGNSSRSDRETSGTLASNCGLADNEQKADELRNQGPEFDSLPSTSNQETDGKNNINEVRQKQRTYSGPILQSGIRSSSMTERSHITGRNAGHLVSDKQKNGTGRTNNLSGPLSLPTRASANSLSAPIRSSTGYVGSLGDKPRRNMVEIKGRFSVTSENVDLAKVQEVPAGSVSCKVKEGPSLRKSASVGDWSVNAKPMSTSRRRKELCDNSVSASVLIPHLQNLVKQTAFQQVEAASADEGERSLLVKIFELQSRMISLTDELIAAKLKHVQLQEELKAMYSQEEIVDMREDENGEA >Et_4A_032590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11728112:11731733:1 gene:Et_4A_032590 transcript:Et_4A_032590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFCPVAEDLQQHKPRPYTPSPWRDFFLHHQPCTPSQLLSMKESAEIKKEEVRKILLHTAGASSDLALKLELVDTLQRIGVAYHYVNEIDHLLRDVHDNARHEEGCDEELYVASLRFYLLRKHGCNVSSDEFVKFRDEQGNFTSNDDVNCLIMLYDAAHLRTRGEEILGDAITFTKSRLESMKAMLESQRRVERVEARRYISVYEKKATRNDTILEFAKLDYNILQALYCEELKAITIWWKDLEPWTYMTFARDRVVEMYFWMSAVAYEPQYSYTRIMLTKLLKIHHGRKRAIHCSNRKSLYIFILNTTNDIVEQLILQKNKNAEWVKKLFIDVAKRYHAEVKWRDEHYVPTKVEEHLKLSMASSSCMHITNLAFISMGDVIPRETVEWAFSFPKIIQGVCIVGRIGNDIVSHEREQTSEHVVSTVQTCMKEHGVTAEQAKEKLRVLIDEAWMDIVQGSLDRRHPMALLEKAVNVARAMDHVYKRDDAYTTPFSLKGIITSICQYLSEATGASYLNLHATKHMKAKPIKFNK >Et_8A_056274.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19485282:19485866:1 gene:Et_8A_056274 transcript:Et_8A_056274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYWGRPGPSPAWAASRGPSPVVPLLVVMALGWVICNETLTGWYEEATEVQETVTGNAVLLVLGAGLLLLATAVAGNRSEVLFVPVALVVVMFLIKNIVLTAILLLLAAYFAGIYYYPPDRGYGVAAGGGDWCYGGGGGGTGLGFYMLLVLCLVLCALFSEDGVNWWIPGVLLVGCLLCLHLFSGGKVWGYEYF >Et_4B_036314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17109926:17110780:1 gene:Et_4B_036314 transcript:Et_4B_036314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLSPKMPAVLAAELPWTRMGKTPASRRSFIQAIICNTVREMESEALALLPIPALAVGPLEAPTSTALSACHFLPEDQTCLAWLDAQAPGSVVYVAFGSVAVLDAAQLQELADALALCGRPFLWVVRRPNSNDDEFRRRVGDAGLVVRWAPQQRVLAHRAVACFVTHCGWNSVMEGVRHGVPFLCWPCSADQFCNRAYVCDVWGTGVRLCADDRGLVAKEEISGKVARLLGDEGIRARALSMKTVACASLLADGGSSHQDLLKLVNLLCC >Et_1B_014432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8515948:8516942:-1 gene:Et_1B_014432 transcript:Et_1B_014432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRVVLVSAAVAVLGVAAAVLGFVSERTKSKATQAYLGYDGQRCVYQRTAAFACGIAAALLVLAAMAIATAASGCFGRYGHRSAQGSSGRRSTAVKLSTIAWVLVVVATVLFLYGAYRNSGGTSGLTRFRGGRRFNRTYYYACADVRSGIFATASVASAVATACAVAAYVSLHKQDEPGQYGGPGGGVAMGQPQWSQPSYPPPPPGQFADPGGVATGQPTQWGQPYPPPVGYPAPPPHHGYGDGFAGKPHPGTA >Et_8B_060648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3924421:3928501:1 gene:Et_8B_060648 transcript:Et_8B_060648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDGDPAFNRGEAISAVQDVDHYYGEDDEFDELYNDVNVGDGFLRNSHPPPPPPQQAPPPQHLQHQQQQLPPPPQGPPLHQHQQPPPHSLPPPPPQAPPQQKVHAPPAAPAANHFPTQPNLPPPPQPPVGPPPPQHHQIQQGDGFHRPGGNYGGGPVVVGNGGAVGGSDGPGSTTLFVGELHWWTTDADLEAELSKYGPVKEVRFFDEKASGKSKGYCQVDFYDPAAAAACKEGMNGHLFHGRPCVVAFASPNSVRRMGEAQVKNQQAMAGQTSAMPPKGGRGGGGPGVPQVGGNYGGGRGGAGGGGGAGGNWGRGGGGGIGRGGGGIGRRMGPVGGRGIMANGNMVAPPPPLLPPILNQGFDPTGYGAMGRFGGFPGGPGPFPGVMPPFPPVAPHVNPAFFGRGGMGPGGMGMWPDPSMGAWGGEEQSSYGDDAASDQQYGEGANHGKDRPPERERSGASERRRDREMDMPPAQEWPERRHQDERDMGRERDRDYDRDRERDRDRERDRDRDRERDRDRERERQRERDRHRDDRDRYGDHHRHRDRDPERNGDWDRGRSSGVRSRSREADHSKPMRLGSVGLHEAVFPGSRSPPKSYSVPHVKVNRVFR >Et_2B_021348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28885798:28886183:-1 gene:Et_2B_021348 transcript:Et_2B_021348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQETVALQDFSTCALMASITSYPRTELAFGPAFFSPVKDGVSSRRIEPSHPCKQQQHKNISMHARTSSGTSWKNMRRMDAPIRFSLRTAAAIPDRTMVASLGQDLE >Et_6B_048899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15280022:15283584:1 gene:Et_6B_048899 transcript:Et_6B_048899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIRAAARAVLLLDHEGDTDEALAQAHVLAAEYPESAIAQRLVGDLRYAAAIRAAAGEGSAEAREAEAAVHLLAARDALSATCRLAPDCVDVAVARGDVFCASKMYGLAESEFRRAQRILHPVDPAVNNATYGLYGDQEDEESTAAERVEEARERARSLYATMTVEKLVPIAVERVLDVARDHGAMEGRRQAKRVAESFPNLGRAQYLQAYMDLEFVRGLDAAIDRTAFLRRTLTIAERAAQTFPKSAVIASFHSRLLFVLGEYDAAERECRRALGMKEPDDPQHDCIPPGSISGENRGARLVSLACEFHELLNRILVLASDYWNSMSSERQREFLLVRLDVLQDEYNKVDPSYAFAVSDVQSFVKEKGSWRYWVCPICAGNKKFLDTGLLLSHMCSKHPRAVLPRLQSVVDPKLGEKALEGDDSMDGLSFCQDSDQRDVITFDKRSDLFKWFFYAPSSGVGAKPFAEIRETKRQNGNMLLESIKERMKTLPTDKSTSEFAEALPEIHKLWHNFLRASVMDYRAIILTLARSFLWKKLKQCMTEDQKAAAKFISGADIDAVFTKEEASIFTKEDDTDGKVIDSHEESEVHAADESSETTVNDAELSGPPVNVTESVDDLDTKVKNLQIDPNSDGSITTSEASSSGFIWLYNFSHCSDFQ >Et_4B_036297.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:15573904:15573978:1 gene:Et_4B_036297 transcript:Et_4B_036297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFEGKQMSGLQVAWLTKEEIDM >Et_8B_059417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17799737:17806133:-1 gene:Et_8B_059417 transcript:Et_8B_059417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAMRAKCDRIKTVKLTRERGRLDAYMSRSAQPNLMCGSRGPWIGSCGLSPTTPRNTHTPPTHESWTVSPLHSLARRNRRAAAATAGLLLPAPRQPRKVFPRYGDTTGSGARDWSELHVDALSLIFAKLGPIEVLMGAGLVCHSWLDAAKSPDLWQSVDMSNHKTLEKIGCDALCAMGKVAVDRSCGQLEAFVGKWFVTNDLLKYMGDRAPSLRRLSLISCDYVSSGGVAGAIKKFPLLADLELSLCLQVSGKYVFETIGKSCTQLKRFICCEHGVRRYGDSIEEDGISYNSKAMEIATMTKLHSLQLFGSSIDNRGLATILDKCPNLESLDIRYCFNIKMDDTLQAKCAAIRSLRFPHDSIDDYEFMDKLPTWLPPRSPKPLDAITAVLRKLDHIEILMGPGQLCRSWRRAARDEPALWRLIDMRGHADLEYQVNLYGMAQAAIRRAKGQCEAFWAEYAADDNVLHLLGDQAPSLKSLRLIACQDILEFEEIKKFPLLEELEISLFTNIGDRHVFEEVGKACPELKHFGLNCYRFCNLNDTEDTEDDSEFKFSKDDEALGIASMQGLCSL >Et_10B_003418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19684569:19687494:-1 gene:Et_10B_003418 transcript:Et_10B_003418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YSSRQDTQSSKQQHLAYISSCCRVASTDLPSAMAASVARCIGLLLLLALAGTSSAQLSTSFYSKSCPGVYNAVKSVMRSAIAKEKRMGASIVRLFFHDCFVQGCDASLLLDDTSSFQGEKMATPNNGSVRGFEVIDAIKSAVEKACPGVVSCADILAIAARDSLGGPNWNVKVGRRDSTTASFSGANNNIPPPTSGLANLTSLFAAQGLSQKDMVLTQLALHGAQTLEPTCIMRPTSTAPLQRQGNRVALAPQNDYYKNLVSKKGLLHSDQELFNGGATDSQVQSYVSSQSAFFADFVTGMIKMGDITPLTGSNGQIRKNCRRPDYLLP >Et_8A_056122.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:2372537:2373031:-1 gene:Et_8A_056122 transcript:Et_8A_056122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKSTKRISNSARRTKRNTLSTALVSSPGSSLLLPPPMPRCCRIAPDRSIDRPWVPLPPPNPRRQRTESIDTSITAQRGGLTGSPAGRTAAEGRPNRLIRRWIRAAACRVKGKREERWGKERRDNGLAEPPLLVATQLGPSGLNRTVKKDGSRELEVLKRNGT >Et_7B_055416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10108351:10111200:-1 gene:Et_7B_055416 transcript:Et_7B_055416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLLSTQLAFTLLLTAAIVRHPLTFVNLNAVVILTVGSSCLVGGPSIHSPVKTKPRTPHSLATRLDSPLMPGHFTVPLDWALVALVARRRESWRRAFSAGSRSIATGKV >Et_4A_033203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:199942:200490:-1 gene:Et_4A_033203 transcript:Et_4A_033203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGRAPMIVVVSLFVVLAAGHYTPTPATPSNCPPPPAAIVVTRSSCPRDAVKLGPGLRSMYFSQP >Et_1A_006296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20186721:20188277:1 gene:Et_1A_006296 transcript:Et_1A_006296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQKVVVMSSNAAVTSNPSWPEDKLKDESCWSDKEFCKQKEVRNASKSFFKHDIAAVDKIKTSTALYYQDWYSVAKISVEQEAWQYADKKGLNVVTVCPPYVFGPMLQPTVNASSKILIYIIKATPWITGGSDVMNNRMWDMVDVRDVADALLLLYEKKESSGRYICSSNHISTRDLVDLLKKMYPNYSYINNIFDAENKAQVTSQKLRDLGWEPIKLKEMIMDSVDCYEKAGLLVDADANPCQLPFLVRAWQVNP >Et_1A_008613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9128628:9133011:-1 gene:Et_1A_008613 transcript:Et_1A_008613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEASCNSGVTMMEADGSVAKQQQAKENGRAAVAVSEAVAAAVPVVADPRLQGISDAIRVVPHFPKPGIMFNDITTLLLRPAVFKDAVDIFLERYRGMAIDAVAGIEARGFIFGPAIALALGAKFIPLRKPRKLPGEKISEEYVLEYGTDCLEMHVGAIEPGERVMIIDDLVATGGTLSAAIRLLERAGADVVECACLIGLPKFKNFYKLNGKPVYVLVESRESDTKN >Et_1B_011599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2448480:2455391:1 gene:Et_1B_011599 transcript:Et_1B_011599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFATGRRTATIVASSSSATGDSSVAGALVPSVPKPEIAPSGGDASTDAALAPAASPQAAPDGEGAADRDLLCPICMAVIKDAFLTACGHNFCYMCIVTHLNHKSDCPCCGHYLTKAQLYPNFLLEKVLKKISARQIAKTASPIDQFRYALQQGNDMGVKELDSLMTLIAEKKRQMEQQESETNMQILLVFLHCLRKQKLEELNEIQTDLQYIKEDISAVERHRLELYRTKERYSMKLRMLLDDPSAPKMWNSPLDKANSLYLPNSRAPLSASCPGSLQNKKLDLKAQLSHQGFQRRDALTGSDPPAPPIQSSNIVARKRRVQAQFNELQEYYLQRRRTGAQSNRQEERDIVTMKKEGYHAGLEDFQSVLTTFTRYSRLRVIAELRHGDLFHTANIVSSIEFDRDDELFATAGVSKRIKVFEFSSVVNEPSDVHCPVVEMATRSKLSCLSWNKYSKNVIASSDYEGIVTVWDVQTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASVINIDMKANICSVKYNPGSSCYVAVGSADHHIHYFDLRNPSTPVHIFGGHKKAVSYVKFLSNNELASASTDSTLRLWDVKDNCPVRTFRGHKNEKNFVGLSVNNEYIACGSETNEVFVYHKAISKPAASHRFVSSDLDDADEDPGSYFISAVCWKSDSPTMLTANSQGTIKVLVLAP >Et_4B_036903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12495757:12496183:1 gene:Et_4B_036903 transcript:Et_4B_036903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCHHGLACAAAFVLVFLLSASPAQSKNSSWLHICIFCVDEHLRNIEQPGRPQLLRVESSSRFYASNTSKNATAVASTGLLDDSKLKIVFCQHTKCTGWKGCYCCINERPKEYCYPTMDECRAVCPLCNPTCPP >Et_5A_041255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20102813:20104037:-1 gene:Et_5A_041255 transcript:Et_5A_041255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGAAEVRHWNAAVNGITLHVAEQGPASGPAVLLLHGFPELWSSWRHQMAALARRGFRALAPDLRGYGDSDAPADAAAYTMLHIVGDVVALLDHLRLPKAFVVGHDLGAQVVWHLCLFRPDRVRAVVALGVPYFPRSPVPVMEIFAARGDGFYVMQFQVRCTRTSPCFFLCCFLPPEPGRAERAFARYDAATVLKKFYSIESDDPFTAPPGVEIIDFLQAPSLPPSWITEEELRECAEKFQNAGFTGPLNYYRMFDTNWKLTAPWNGAKVAVPAKFIVGDKDIGFQSYGIGHYITSKDFKSSVPDLEVAIIEGHHFLQQEQPDKVNSEILSYLEKLTISELA >Et_5B_043130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8714431:8715169:1 gene:Et_5B_043130 transcript:Et_5B_043130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KSSDQRSRYHNLYVLPATRRPRWRRTCSASPSPSSSATTASSRRWLAAEQVQRWPDHPGGLAEWLMVTVEEPVDSGGGGGNGSLGQRHVLDVFLGDAHGARRRVGARVLVVSVRDAEVAVVVLLCGRDDDGAEPEHQALPRARRRHMRAHTHMRALRRRLRVAQSAGVTVSMACRRAKSKATTAGRVSW >Et_9B_064417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1584454:1588680:1 gene:Et_9B_064417 transcript:Et_9B_064417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYRFSNLLIGYLNLATLLASIPIIGAGLWLAKGSTTTCSSILQTPLLIIGFVVLIISLAGFVGACFHVAWALWLYLLAIILLIAMLLGLTAFGFAVTAGGGGTQVPGRPYREYHISDYSSWLQKHMQDMKYWQPALACVVGSKACAKIENWTPMDYVQHTLTPIQSGCCKPPTSCQYSGGMPVGAQDEDCYKWNNAPNILCYQCDSCKAGVMEQVCQDWHKISVLMVIVLVALICICSCGCCAFRNARRSVSEYPYGVNRMSKINPRWDYYWWRWWAVTATIQHEKERMITMIKALRCLIRFFSFLPCTLPLFGWFT >Et_8B_059532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18976102:18978947:1 gene:Et_8B_059532 transcript:Et_8B_059532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATARSGFRRMFSVSAFVPKAPTPPPKADPSPNLFISGLSKRTSTEGLRDFAAKFGEVLHARVVTDRVTGFSKGFGFVRYSTVEDASKGIEGMDGKFLDGWVIFAEYARPRPPPQQQEMNSQPQQSWGPPSGSWGSQ >Et_1B_011709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25250234:25251967:-1 gene:Et_1B_011709 transcript:Et_1B_011709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDVDLYRTEPWDLQDHCKIGYEEQSDWYFFSYKDRKYPTGTRTNRATLTGFWKATGRDKAVRDKHGRGLIGMRKTLVFYTGRAPNGRKTDWIIHEYRLETDENATPQACAVCLTSPPMHESIAISILFEEGWVVCRAFKKRAMAPPRSVAGAWDMSYHDSIVAGAAHFKQESPELDAAALLQYSSRLAELPQLESPPPPNQDSHRGGTAHGEGGYDFDARQDAAASTDWRALDRFVASQLSPDEEHASRALPQEFNKPAGANAGDNGDATDIVAMLLLDGILEKDAGLLGSVASTSACLNKNHTRYDF >Et_7A_052064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4198152:4206740:-1 gene:Et_7A_052064 transcript:Et_7A_052064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGITRARIRLPATAVFASLTDLSLLCMRIAAGSGHLLARFLSSECCPRLRKLRLRDLRKLMELLVEADALVELSLEEMERGCSIELRTPSLRILRMPDFYGNRLDMLRISAPRLEELMVKKYDRPDHIIVDGEFPFMKNLTVYLRTLGYSVEEDYNDVGIRLLQCCTSIRCLKVQLDIITKVVDMINGRIPHLPHVTALTVHCFSIEMHSCGFGLDGILTHCSSLKYLCVQCSVIKVSGYPNKFHKIKSPGDNDSESYSLCDHPNHWQSRMVSLTYLHDTELRGLTGTDCDLWFMQFLFAGATKLKKVNVNFDPEHMQENTIDGLAVGCGLLAVTIVGPMSGGLAKRQGTTPSSAGADLISGLLGDDVLLRILSLLPDARDAARTDALLCRWRGLWTRVPDLRFSSRSWPEFMDAIDADRYVAVPIESLSILFNAGRMRGPEAERVVPSSMARAATGWIRYAVQHAVKRFVLDQTGPWNREDYFPINSFDDDMALLMDLEDLPSSADLETMHLRITRARIWMPATAVFASLTDLSLECIWIAAGSNHLLARFLSSRCCPRLLRLRLQKLRLMELLIEADTLVELMLEELEKGCSLELRTPTLRILRMTCRGSRPEMLRIAAPRLEELTFEKDDGPDHIIVDGELPFMENLTVYLRTHGHSVEDDYNDVGIRPLQSCTFVRCLELHLDFMVCVQIQGTYPQRNTNFQ >Et_5A_042472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13977061:13979827:-1 gene:Et_5A_042472 transcript:Et_5A_042472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAQQRSPAACAAVLLLLLANCLAVAATDATKTTTLATGGGGRRVLVANGLGLTPQMGWSSWNHFQGNINETVVRRTADALVVTGLAKAGYTYVNLDDCWADYQRNNKQGYMVANPKTFPSGIKALADYVHSKGLKLGLYSSAGTRTCSKQMPGSLGHEDTDAKTFASWGVDYLKYDNCNPDGTPETVRFPRMARALTNSGRSIFYSLCEWGYMEVAKWGGSYGNSWRTTGDITDRWTSMLKNIDMNDAFAQYAKPGGWNDPDMLEVGNGGMTYNEYVVHFSLWAIAKAPLIIGCDVTSISKQTLRILSNSEVIAINQDRLGVQGKKVRKYDDEIEVWAGRLTRHRKAVLLLNRGSSRSRSITATWRDVGIRRRVTVEARDVWKHETLPGKFTGSLTAVVEPHSCKLFVVTPV >Et_5A_041330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21201269:21201861:-1 gene:Et_5A_041330 transcript:Et_5A_041330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGIQLTEPDQITWRFSGDGKIFRTLCHSIRWLFSRLQLAPSMEIQGGKQVPVFHVAAPSKQSAHGGQNHQTGKPVKSHLSVMSHNAGKHIAHDVHVLTPWRLASWSRLPQQQQLRPVNIKAWRFATLGMPQAVNAQDKARLQPTLRGIFGRNGAAGFLRIRARLWTCSISARSSSRRYIVYTMRVALQLDM >Et_4B_037400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19398542:19403682:-1 gene:Et_4B_037400 transcript:Et_4B_037400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPRARASGDLRPPEPPLDPLEFLSRSWSASGRAHGPPPPTPPPAALVSPIAEDAACELDDGGAFPSVGPAAAGGSSFSFASAATSQLIMERILAQSQEVAPLTSGRLSHSSGPLNGGGSLSDSPPVSPEIDDAKYCRAVSTPKPQVYRASNKTVGRWLKDRKEKKKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAAASGSGKDDRAARTDMAVASAATLVAAQCVEAAEGAATLKARALKEVWNIAAVIPVEKSTVGGGHHQKPSVVKQHRKLESNGSSISDDISLEEESNFLGICSQELLARGTELLKRTRKGVLHWKVVSVYINRMGLVMLKMKSRHVAGTITKKKKSVVIDVCKDLPAWPGRHLLEDGEHRRYFGLRTAEHRVIEFECTSQREYEMWTKGVARLLSLAGERKRLA >Et_2A_018496.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3029659:3030870:-1 gene:Et_2A_018496 transcript:Et_2A_018496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAARRYPPWSDLQPELKGLVLRRLSSLADRVRLRAVCRSWRRDAMLEPCLPPPLPWLTLPDGTFLSIPDGEIHRMPAAPDGARRRCHGSVGNWLLLENIADIGRCSLMNPFSGDVVQLPNLGTIWRRGRIDADRGRPIHLKVAALSSSQDPSLDSLFAVLITDSKYESVISICQPTTATAYKVTQPQHRHVLDIALFDGKLYALSRRKLFVLEMDTSIVNPRIRSMKCIANSMTDDSGTSSRQSFADKGYKFGWWGYLAESSGRLLYVRRLIGVRSTVVDDDFIRMEHARTLSFDVFEADLTAGFDSCGGRWRRVSTLRGQALFVGTHSKSFPASECGAQEDCIYFMRDYDRGNCDPDPLRDSGVFDMRNGMIAPLLPETAVVPARGAGGRPAWFYPADKL >Et_4A_032782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13816896:13820679:1 gene:Et_4A_032782 transcript:Et_4A_032782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPSPSPRRASVHAPSWVEHDDTVKVWAASQWGRQALLRRLASSSALNPEDRVRRLAAPCRPALSGVVAATRTHRLRNGSACRGTRRKRRRSGAGTGAVHRPGAELHPPQTHLLAHGAMALFQQEGKGSNNIGGIVNLVRLNSLPEFGTPQFVADRLLQAEKTKELSCSRLAATGSAGRSGKEQDDGDAGNGQDQNGSKTRMGKQAPTGPLDENKASL >Et_5A_041318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21112714:21113689:1 gene:Et_5A_041318 transcript:Et_5A_041318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPKRFAHHVVDLECGEFWEKFCGFPQPPEWKKEFVLAATISRASATTTTTANLSGRACARRAGSHRPSTMKALQCHNQRLLVTLL >Et_3B_031047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1240742:1241240:-1 gene:Et_3B_031047 transcript:Et_3B_031047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSASASAVAAVAVLLLAALAAAGRGAAALQCGQVTQLMAPCMPYLTGAPGMTPYGLCCNSLGVLNQLAATTADRVAACNCVKAAAGGFPAVDFSRAPGLPAACGISISFTISPNMDCNQVTQDP >Et_5A_042979.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:8648363:8648569:1 gene:Et_5A_042979 transcript:Et_5A_042979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDCVVGSDRLVEGDTLNLQFLQAVVKETMRLHPAAPLQSPRMCREDASVGGYDIPAGTCVPASSLG >Et_3B_029185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22861276:22863622:1 gene:Et_3B_029185 transcript:Et_3B_029185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKVFSSTGLEADFDDGDVSSDNELQGLWSLGEIDPKKARFPCCIVWTPLPVVSWLAPYIGHVGICQEDGTVLDFAGSNLVSVDNFAYGSVARYIQLDRKKCCFPANLGAHVCKRSYNHTEVGAAISWDDALKSGMRHFQHKFYNLFTCNCHSFVANCLNRFAYDGLVEWNVLNVAALVWLHGQWVDKMSVVRSFFPFLIVTCVGILMAGWSFLIGMAAFSSLLIGWFVLTVYCCKGVVLQSAEKCDHR >Et_1B_010750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13628355:13637037:-1 gene:Et_1B_010750 transcript:Et_1B_010750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANNKIAVLAALVVLLLQASSCAVARRHQHPDPQPGGSGGGGTPAVMTVNGFEPGQDGGGPSECDGKYHSNKDLITALSTRWYANGARCLKKIRITSVKNGRSVEATVVDECDSRHGCKDNIVDTSQADNIFDTSPAVWDALGLDSNIGEVPLHGPTHELRTFGRSDDTGRQEGQGCQDCSQRADEFMDSSFARRVRCRC >Et_10B_003150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17071985:17076626:-1 gene:Et_10B_003150 transcript:Et_10B_003150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAASMRVGGGGAGGKAARRPGRGVRRRGAVVLLLALAYAAGLLMFVLGGRVSPPSAGVEVRGRRRRRSAEEEAPPRPGSVYRSHLVFDRLWPAMRDDATHAASASSLSSAASWRRSMLMTSRYQNPVEPWTPCVNSRLIRSELPPSNGYLMIEANGGLNQQRLSICDAVAVASLLNATLVIPTFHLNSVWRDRSKFGDIFDEDHFIETLKEHVRVVKKLPEDVLLRFNYNISSIPNMRTKAYSSPNHYVQKVLPRLLELRVVRIAPFSNRLAQSVPSNMQALRCLVNYHALRFAEPIRNLAEGLVGRLIKKSSLTGGNYVSVHLRFEEDMVAFSCCTYDGGWKEKTEMDNARERSWRGKFRRHGRVINPEANRRDGKCPLTPLEVGMMLRGMGFDNTTTLYVASGKIYNSEKYMAPLRQMFPLLMTKETLALPEELSQFEGHSSRLAALDYTVCVQSEVFVTTQGGNFPHFVMGHRRYLYGGNAKTIKPDKRKLVLSFDDPNISLDRFKHHMQEILQHSDMRSIAFRKPNDSVYTFPMPDCMCQQDGMV >Et_7B_053281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21108973:21109273:1 gene:Et_7B_053281 transcript:Et_7B_053281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTPGPYSGVSTLALVARASAFGVGVVYGTIKLSILKVSSLTPS >Et_7B_056005.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:9052433:9052978:-1 gene:Et_7B_056005 transcript:Et_7B_056005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNGDFEDGPYIFPNTPWGVLVPPVLEDVHSPLPGWMIMSDTKVVKYVDAAHHAVPQGAYAVELVAGRESALVQEVATVLGKRYKLRFSVGDARDGCAGPLVVDAYAARETLKVPYESRGTGGHKRAELEFVAVGNVTQVVFQSSNHHMKPDATLCGPVIDDVSLMPVHAHKHAARLLRL >Et_4A_035911.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8424534:8425169:1 gene:Et_4A_035911 transcript:Et_4A_035911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFGPHSPRSPAYTPTSPAWDLGEHAAHDFGPGVQADQASGPHQAQEVQALTYQVEDLLVNDMADDADPGSASAIVAKAARSFVRQVFVNHSEPVVPAPPSPLTTAATPALPIPQPKTRRASTRLAKKSPVVPVSLRGTHRLIKELDLAPPDDTFAEEALAEYLKMYKRPLKKKEMKALSRVTRLDNEQLTAAMAAFAQEDTTPDVDAQ >Et_2B_020525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2163316:2177676:-1 gene:Et_2B_020525 transcript:Et_2B_020525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKMKYLVEVEAATEVAGPAYRNVQAKDGLLRPRPGLNSCWDIFRTSVEKYPNNPMLGRRRIVDGKAGEYVFMTYKEVYDVVMKLAASISKSGVEQGGRCGIFGANCPEWIISMEACNALGVCCVPLYDTLGAGAVEFVICHAEVQIAFVEEKKIAELLKTCHATSKYLKTIVSFGGVTNGQKEEASNHGLSIFSWDEFLITGGERQLDLPEKKASDICTIMYTSGTTGDPKGVMISNECLLINIAGTDSTIRSVGEVFDQNDVYLSYLPLAHIFDRMFEEVFISHGSKIGFWRGDVKLLVDDIAELRPTIFCAVPRVLDRIYSGLTARISSGGILKKTLFSLAYKMKLDSMRKGIRHEKAAPFFDKLVFSKVKERLGGKLRIIVSGGAPLAAPVEEFLRVVTCAHVVQGYGLTETCAGSFASIPNEISMIGTVGPPVPHMDVRLESVPEMGYNALSSIPRGEICVRGNVLFSGYYKREDLTQEVMIDGWFHTGDVGEWQPNGSMKIIDRKKNIFKLSQGEYVAVENLENVYGVLQGIDSIWVYGNSFESCLVAVVNPNQQELERWAAQNGITGSFTELCENSRAKEYILAELTKIAKEKKLKGFEVIRAIHLDPVPFDMERDLITPTYKKKRPQMLKYYQKMKYLVEVETETETAGPAYRNVLAKDGLLQPHPGLDSCWDIFRTAVEKYPNNPMLGRRRVVDGEAGEYTWMTYNEVYDVVMKLAASVSNTGLRQGECCGIYGANCPEWIISMEACNALGVCCVPLYDSLGAGAVEFIICHAEIQIAFVEEKKVAEDHKEEAKNHGISIFSWEEFLITGVSHHFDLPEKKKSDICTIMYTSGTTGDPKGVMISNESLLVNIVGPDSIIQCVGEVFNENDVYMSYLPLAHVLDRMFEEVFIYHRSKIGFWRGDVRLLVDDIAALRPTVFCAVPRVLDRIYSGLNAKISSGGILKKALFNFAYKMKLDSMRKGIKHGQAASFFDKLVFSQVKERLGGRLRVIISGGAPLAVPVEEFLRVVTCAYVVQGYDVRLESVPEMGYDALSSIPRGEICIRGSVLFSGYYKREDLVQEVMVDGWFRTGDIGEWQPNGSLKVIDRKKNIFKLSQGEYVAVENLENIYGILPEIDSVCVYGNSFESCLVAVVNPNQQELERWAEQNGITGSFAELCENLRAKEHILAELAKIAKEKKLKGFEFIKAVHLEPVPFDVERDLITPTYKKKRPQMLKYYQVRRHTE >Et_5A_040946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15462000:15488505:-1 gene:Et_5A_040946 transcript:Et_5A_040946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDMVWARVLEEGVFRFDASEAARAVVGPSLSFADPRRREAARVGSDAPTVVPACEVAGGVQDVVVKVPPGTSFYGTGEASGPLERTGKRVFTWNTDAWGYGPGTTSLYQSHPWVLAVFPDGKTFGILADTSMRCEIDLREESTIKFSASSAYPIITFGPFNTPTEVITSLSHAIGTVSMPPKWSLGYHQCRWSYDSSEKVLKTVRTFREKGIPCDAIWMDIDYMDGFRCFTFDSNRFPDPKSMVEDLHSIGCKAIWMLDPGIKKDGYFAYESGSENDVWIQKADGGPFVGEVWPGDCVFPDFTSQRTRSWWSNLVKDFMSNGVDGIWNDMNEPAVFNVYGMLMARSTYEGMAMGNAAKRPFVLTRAGFIGSQRYAATWTGDNMSNWEHLHMSVPMVLQLGLSGQPLSGPDIGGFAGNASPKLFGRWMGIGALFPFSRGHTETESFDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYHSHMKGTPVAAPVFFADPQDPELRKVETSFLLGPLLVCASTSPTKGAHECAHKIPKGTWLPFDFGDSHPDLPVLYLRGGAILPVGLPVKHVGEASLADDLSLFIALDENGKAEGVLFEDAGDGYEFTQGDYILTYYVAELHSSVVTVRVSKSEGSWKRPIRNLKINLLLDGGAMINSNGVDGEEVRLAMPSALEISSLVATGELEHKNRLDMIRPIPDIDKPSGEEGVALLKIPVDLKSGDWFLKVVPWIGGRIISMTHLPSGSHWIQSRIEIDGYEEYSGTEYRSAGCTEQYKVIRRYLEQFGEEESIGMEGDIGGGLLLQRKISILKDNPKVFQIDSSIQARNVGAGSGGFSRLVCLRVHPTFTLLRPTAVVISFTAINGSKQEISQESEQTMLEGDFRPNGEWMLVDKCAGLSLVNRFDPIQVSKCLVHWGSGDLNMELWCCVGGASPAAAEGRMAAAAAMEDMVWTRVLEEGVFRFDASEAARAAAGPSISFADPRRREAPRDGADAPAVVPACEVAGGVQKVVVKLPSGTSFYGTGEASGPLERTGKRVFTWNTDAWGFGPGTTSLYQSHPWVLAVLPDGKALGVLADTTRRCEIDLREESTIKFSASSAYPIITFGPFNTPVEVMTSLSHAIGTVSMPPKWSLGYHQCRWSYDSSEKVLKVVRTFREKGIPCDVVWMDIDYMDGFRCFTFDSNRFPDPKSMVGDLHSIGCKAIWMLDPGIKREEGYFVYESGSTNDVWIQKADGSPFVGEVWPGDCVFPDYTSQRTRAWWSSLVKDFISNGVDGIWNDMNEPAVFKVYGMLMARSTYEGMAMGNAAKRPFVLTRAGFIGSQRYAATWTGDNLSNWEHLHMSVPMVLQLGLSGQPLSGPDIGGFAGNATPKLFGRWMGVGALFPFSRGHTETGSIDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYHSHMKGTPVAAPVFFADPQDPELRKVETSFLLGPLLICASTLPTKGAHECTHKLPKGIWLPFDFGDSHHDLPVMYLRGGAILPVGLPIKHVGEASLNDDLSLIIALDENGKAEGVLYEDAGDGYAFTQGDYLLTYYVAELHSSVVTLRVLKSEGSWKRPNRNLKINILLGGSAMISSHGVDGEEICLTMPSEHEISSLVATSELEHKKRLEMIRPIPDIDEPSGQEAAELSKIPVDLKSGEWLLKVVPWIGGRLISMTHLPSDSQWLHSRIEMNGYEEYSGTEYRSAGCTEEYKVIRRYLEQSGEEESICMEGDIGGGLVLQRQISILKDNPKVFQIDSSIQARSVGAGSGGFSRLVCLRVHPTFTLLHPTEVVIAFTAINGSKQEISPESGETLFEGDLKPNGEWMLVDKCAGLSLVNRFDPSQVSKCLVHWGTGDVNMELWSEERPVSEDTPLRICHQYEVRQTA >Et_1A_006019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16693765:16695023:-1 gene:Et_1A_006019 transcript:Et_1A_006019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVLGPQQVVPPPEPAPVKKAAAPPGSVPKPRCAAVAAGRRKTLCDITNLSRRAPAEEADESACPEGGVAQLAKASSPIYRTRRLLLTTLLACVADADLLFPPNYHENADLVRLLEERNKIIELSGTEIQKLRLTNLELARANSQMRCSMSLCAQELPLKQKHPNWRCAYFLISFILCSRHFLEDCMKRVFLQQDAKKAMKRRIAHPQEKTSNQTAQHLGSEKVAQVKDGDVVDPEPASEAAKAGSIQRPGNASRKRMLRSRCNPQTTTSLFARTCEGPVIDSSGSLTD >Et_1B_013509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9075546:9079799:-1 gene:Et_1B_013509 transcript:Et_1B_013509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAWGGLGGAGAWALDAELAEEEERQAAAAPPAPAAGFPSLSEAAGAGGGKSKKKNKGTTLSLSEFAGYGPGRRAAAAEPKGLTPQEMMMLPSGPRERSADELENSRHGGGGGGGFRSYGGGDRRGGGGFDDDGRRGPPGRGSDLDTPSRADEDRDWSSSKKSFAPSPAADSGPRNRYGALGGGGGAPASVGRADDDGDWSRGKKTMPSAPSRYPSLGGSGGGFRDSPGSTDSDRWSRAAPSNGERERPRIVLDPPKRDASTTPTAPSESGRSRPSPFGAARPREDVLTEKGLDWRKMETEVDQKKTSRPTSSQSSRPGSAHSSRPGSPGSQSSAVGSEGAPRARPKVNPFGDAKPREVILQEKGKDWRKIDLELEHRRIDRPETTEERVLKEEINLLKVELNESKGKMSDDDEKSLSEKIAQMERQLELLTIDLDDKIRFSQRPGSGAGRVTASPPTNIASESQYTVSMERTHSRNGTEQYPKPTEERWGFQASRDRGSFGGNRSSDRLLA >Et_3A_026798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21194693:21197400:1 gene:Et_3A_026798 transcript:Et_3A_026798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSDDDSEISDSEIDEYEEKIYGSLMSGDLKVKKNGESYSCPFCSSKKKNNYNKNSLLQHASGVGAAPNRQAKEKATHRALAKHLKHDLAKSPEPQPQIMPEPQPLQNRDEKFVWPWMGVLVNVPTEWKDGRQVGESGNRLKEQLSRFCPLKVIPLWNFRGHTGNAIVEFGKDWNGFRNARSFESHFAAGGYEIRKMQQLARTHSQKIIDENQKLRSELETKMNELDVRSKQLDELEAKSDCDRRNLEQEKQKNAIKSNHLKLATLEQQKADDNVLKLVEEQKREKQAVLNKILKLEQQLDAKQKLELEIQQLKGKLKVMEHMPGDEDSESKNKINELSEELQDKIDELDAMESLNQTLVIKESKSNIELQEARKELENGLLYLSGGQAHIGIKRMGELDMKAFSKACRKKCSEEDAEITAAYLCSKWEAEIKNPDWHPFRVVIVDGKEMEIIEDDAKLRELKEEHGEEIYALVTKALCEVNEYKSGGNFPGGELWNFKEDRKVTLKEAVQFVLKQWRLNRRKR >Et_1A_005946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15877337:15877970:-1 gene:Et_1A_005946 transcript:Et_1A_005946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQQQRKHYPGRIRSAAGQTTLQSFLFKPRVADGDVRPSPTPEAGEDEEAPVSPPEPPKREIVKVTRAIIKEKASAFSSVGSASGKGERGEAGGALGAALFKRFHSSAAAPSAEGSADAGEDGEGNGDGSDVRFDIEDTAAAGSGREPWRKRKGPIGARLNHASGLRSSLESFF >Et_4A_032612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11917438:11920608:1 gene:Et_4A_032612 transcript:Et_4A_032612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPRPQPPAPTPPMAPLPIPVHPPIAPIPVPPPRAPAPSSSAAVASTSAAGGGDDAEYEVSDDHRAARERHERAVQELLQRRRAYAMAVPTNDSAVRARLRRLGEPITLFGEREMERRDRLRALMVRLEAEGQVDRLLRAEDDQAAAGGAVEEEEEQIQYPFFTEGTQELLKARVDIAQYSLPRAKARIERAKRRHDDPDEDPEAEANLVVKQAGEFVLECSEIGDDRPLSGCSFSRDGSMLATSSWSGMIKVWSMPQITKVATLKGHTERATDVAFSPTDDCLATASADKTAKLWKPDGSLLTSFDGHLDRLARLAFHPSGKYLGTASFDKTWRLWDLNTGKELLLQEGHSRSVYGISFHPDGSLAASCGLDAYARVWDLRSGRLFFTLKGHVKPVLGVSFSPNGYLVATGSEDNFCRIWDLRKKEMLYSIPAHKSLISHVKFEPQEGYYLATSSYDTKAALWSARDYKPIKSLVGHESKVTSLDISGDGQQIVTVSHDRTIKIWSCKSNTQDNAMELD >Et_3B_030201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31286968:31289641:1 gene:Et_3B_030201 transcript:Et_3B_030201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHLSFEEGWKVLEQGIVKCSKILECTSTRPTVAEYMNCYDCAYRMAVQKNHYCREMYNGFKTTLEECVRAMVLPHLMQKKSDYFFRELVRMWSNYCIMIRFVTGFFGYLDRCYLEQCKLPSLSDTAAASFFGPVFSYFNDEARDSVLTMIRQERDGSMVDKELLDVMRGISCSEVKTLMRNAVLQDTYAYYSKRSSEWITHYSLPDYLAKVQETMEKESKRLAHYLCTTDDDSLHLCLQVVSPPLMQTYESYAREKNIGGEILLQTYKTVEDELLARCSGLTLDSCSDNSSFN >Et_1A_008889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17432080:17439360:-1 gene:Et_1A_008889 transcript:Et_1A_008889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDEYEYLEKAVEPSAAPANGSGEKDRASRRRDGDEERVSKRSRSGEDRDRDRDRHRSSREHRDRGDGKDRDDGKVKEKEKEKEKSRDRGKDRDREGRDREKERRSEKDRPRERDVERERERRSRSRSERRRAEEEDMVRELQRERERSERHHYRDRDVRRKKDDGTEPEVDPERDQRTVFAFQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTATSGAASGGARKLYVGNLHSNITEDQLRQVFEPFGQVELVQLPTDPLTGLCKGYGFIQFARLEDAKAAQSLNGQLDIAGRVSAVTDHVGAQVNGATAGDLDDDEGGGLALNASSRAALMMKLDRSGTATSLTGGIGATGLNTSMALPPASVIGAAPAASPFPQPTIPAAGLVAGAPLLPVIAQSAGMSTPTEFLLLKNMFDPAVETDPDFDLDIKDDVQDECSKFGLVKHIFVDKHTAGFVYLQFDSVMAASKAQQALHGRWFAGKMITATFMSAQEYKTKFPNS >Et_10A_001093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21036651:21039305:1 gene:Et_10A_001093 transcript:Et_10A_001093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGMSASMAFTLLGFCVSVIFIVYICARLVCAIARRRRRRAPPLPQFHPYAFAVHVARHPSAGGGGGGLDPAAVAAFPTRAFAGGRRSSAGSDSSSSSNADAQCVVCLAEYEDKDMLRFLPYCGHNFHVACIDLWLEQNSTCPVCRISLRGNLDNTHVTPPPPLSVVISPPCSPPASGSDPCRCLFISTGNASRTSEVPRQEPNQENQIASSPSVDGAANNSPLSEVNSAAENNSQTGKQVERSTQLGPCK >Et_1B_010311.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:6045277:6045654:-1 gene:Et_1B_010311 transcript:Et_1B_010311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IGKQARRTKAAVLIYTAWHIWNERNRRVFTQIQKQPVEVIKMIEEDMVLRSRACGRADFDFIFGEHESQHSTGQQCNLAPHFFSLSFLLVHKLYSTLMKRQYSCLIFKKKKHIYRRMSVFVQPTR >Et_2B_022847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6731137:6732754:-1 gene:Et_2B_022847 transcript:Et_2B_022847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTRLSSMMLLAFLGTQEDTFRHAARAVRLADHRGGGGGSSRSGTADWRIPGGTAFHLPISSGAFFDEYGFVGGIGESLGWEPDDAAADGEMRERPGRVGKGEYEEKEHRLEGKDAMSVEELEASEGTSRRRSSRRRVDERRPPMPVTLARIWTTYERPTKDLEHLTDGPQNVWPSKDSKDEEIFNKTEKHEEPYSPGNHTSDLRVYKQINSREGEEKKKKKKKKKKK >Et_8B_058853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1007994:1008426:1 gene:Et_8B_058853 transcript:Et_8B_058853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKRRDGIAEQLTGATLQREGRLLMMPMVVQAQSCETELSAVQQSGLGSGALGTAAARQEAVQLRHALAAALRRHAAQHHWPTTAAAENQQDEACSEERAVDDGGRVWWRHCCLPKRALMFWDCGFLLACCCCCL >Et_9B_065554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6824584:6832785:1 gene:Et_9B_065554 transcript:Et_9B_065554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRGGGLVVMAELRAVGCAVVIAVVLRHHGRKAMDRLCWCVPGKGNFDKTFLWSHSRSLGYSSFLVPDDMLRKAVETYNGKNWKKIAECFPGRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIQMVNKLGPKKWSTIAQALPGRIGKQCRERWHNHLNPGINKEAWTQEEEIRLIHAHQMYGNKWAELTKLLPGSNLIYPILGLLVMFCKVRFEVNFNDKFLFLPIRTDNAIKNHWHSSVKKKVDSYRASGLLGQFQGIAHVEYPAGSLNIDSSSAMTQQNSEDSGFNVVGEVEGSTELSQSSLAKASCSQEEQIGSTLGSHCHAHESLCPEECYTNADNVASAVPEMQQQLSISDNDQDTHLQHLSQTIDLPLDIDELQNNSMLTDSQVSSELTGQPQYTHTMCSSENGGSFLPYSTAPDVPISMSHDVPEFEHNVDMMCDLGLSSDKCFQSEQWQSITFQPIACASEAANNFSAPSYPLQTLNSSTMMGTVQYQSSVTSAPPPLICSDGVSNVPDIRYETSHFSDFHQVMEDNTCHNASVDPDQHSYVSSKDDRDRAMENLPESEKKQLIDGQQSSVEPTPTSGKEIVSSHEDTVASEKKDAEALCYEPPYFPSYEVPFVRCDLISSSDLPEFSPLGIRELMRSSLNFPTPVRLWSSPPRDGSPDAVLKSAAKSFSSTPSIMKKRPRELLSPTADIRTEKNLTTEQNFGSLGMSTKRIRRSCIDTTDESFDLFSPGDRTASQQKKLKLFEENKENLKATTNQDENGDNGILTQSNVDNLNTPKHRPHHQSQGLNTSANALSNSKDIISSRSKPAELLVDKSPPCMNAEFEYVNILADTPSIKRGLESPSAWKSPLFSAFQSAYFMSPTARAFDALGLVKQINEQSADALEEAHEVLASGSAKNQHRKENSKKENIENTNYKNEFTISKLPSKVMAEARVLDFNECSTPVRKKEDRKEESTLGGPASSPVMPTYLRMNVR >Et_7B_053739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11686875:11687357:-1 gene:Et_7B_053739 transcript:Et_7B_053739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEETHRDRLFHHLKEEEEHEVEINYEKKEKHHKHMQQLGTLGAIAAGAYAVHEKHQAKKDPENAHSHRIKEEVAAVAALGAAGYAFHEHHEKKDAKKHAED >Et_10A_001604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5857762:5859148:1 gene:Et_10A_001604 transcript:Et_10A_001604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLALDTIKLQQWIMDTNNVGALGGSQEFHALSGSEQQYELDADDFQGLDESTEDGNFDKAEWTNSENTASFCTLCVEEINARNRSNGYMTARGYKNIAAKFEQQRGLRHSKLQLKNRWEGLKRFYTFWLWCNKQTGLGRTPNGGIMAGDAFLKLHTKGHAEWRKLKNSPPDNIAELQIMFENTAVDGSTSCIPGEHMDDVGDVGEEGEDGYADGSPMSTGSMKRGATSNTTVTSLRKKTKSPIVKLIKGIKDSVQETNAVAQKAMQGEYVVQSVKDLMRLVVQCGAPVGSVEHFMAGKLFVKPAYRGVFLSIDDNA >Et_2A_017612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4166411:4167157:1 gene:Et_2A_017612 transcript:Et_2A_017612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDARASPDSSALARTAPAALSSVLSPTRSPPSSSFPPTCVEAAARGWLPPPPEGSAAAMFSRVSRLGARLLREARAEAIAGSLHSSRGSLYQAHVNRPSTPLISPTVLSLVRNEVLLVKLCWMKISDITLCF >Et_7B_055866.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6270405:6271808:1 gene:Et_7B_055866 transcript:Et_7B_055866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDTVESVAVVAVPFPAQGHLNQLLHLSLQLASRGLSVHYAAPGPHVRQARDRVHGWDPKALCTVTFHDLEVPAYASPPPDPAAPSPFPSHLMPMIEAFHAAARAPLARLLARLSSAHRRVVVVFDRLNSFAATEAARLGNGEAFGLQCVAMSYNLGWLDPGHRLLRDHGLQFLPVDACMSKEFVEFIFQAEQDEQGAPVAGLVMNTCRALEGELIDAVAAYPEFQGQRLFTVGPLNPLLDAEDARTTPGQARHECLGWLDEQPPASVLYVSFGTTSCLRKEQIAELAAALKGSKQRFIWVLRDADRADIFADSSGESRHAKFLSVFTKETEGTGLVITGWAPQLEILAHAATVAFMSHCGWNSTMESLSHGKPVLAWPMHSDQPWDAELLCKYFKAGLLVRPWEKHSEVVPAEDIQKVIEEAMLSDSGTAVRQRAKELGEAVRASVAAGGTSRKDLDDFIGYITR >Et_8A_057285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23099610:23100480:-1 gene:Et_8A_057285 transcript:Et_8A_057285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSASYGFAAAAVALLLLLGASPAATANAGRGGGWEEAHATFYGDETGAETMQGACGYGNLFEQGYGLSTTALSSALFDDGWSCGACYEVQCHGCSYCKPGGAPVTVTATNSCPANYSKPNENWCNPPLRHFDLSKPMFLRLVTDFHVGIIPVQYRRVPCAKKDGGVRFQMVGNRWWVAVLVYNVAGAGDVRAVAVKGSKDGRWTEMKRNWGQIWDGDTRLIGQGLSFRVTTSDGRALVFDDVMPPTWVAGQNFVTKQQFVN >Et_5B_045067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9013550:9019040:-1 gene:Et_5B_045067 transcript:Et_5B_045067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESMNVSKTRLCGKILRKLMDHKGCWLFNKPVDPVLYGIPDYFDVIRNPMDLGTVKKKLTSNQYVSPGEFAADVRLTFSNAMKYNPPGNDVHTIAEQLNRTFESEWKSVERKWDHRNPVQEQQPMKAIKDQAAINSKSMIARELIAKSNSLATEPLINAISSKVKIKFSVRGSKITSSNYMHFHAADSSNCSLNNSVPCTKGNAKISGIQPNDNSFELNGEEDSLHLEPLSPSKALRIAMLKRRFAGTIVKAQQNALLDHGKEIDPAKLQLEKERLEKKQQEEKARIEAQVKAAEAAAQLKLEEETRMKREQERKAARVALHMMKKTVDIDNSDFLKDLENFCQKWQSNPPCKLIMEFVNGIELPTDLGSPLEALGLFMKKDLEEEVEHEMEHNISPSLNADVEEGEISCCQ >Et_2A_015450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13095376:13099662:-1 gene:Et_2A_015450 transcript:Et_2A_015450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFLGALSSLPATKLGSVAIEAALKRANVDPSLVQEVYFGNVLSANLGQAPARQAALGAGIPNTVVCTTVNKVCASGMKATMFAAQSIQLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDAMLKDGLWDVYNDCAMGVCAELCADNHSLTREDQDAFAIQSNERGIAARDSGAFSWEIVPIEVPVGRGKPPVFVDKDESLDKFDPAKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVLARIKGYADAAQAPELFTTTPALAIPKAIANAGLESTHVDFYEINEAFAAVALANQKLLGIPSEKVNVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVLELA >Et_3B_030593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5184566:5190878:1 gene:Et_3B_030593 transcript:Et_3B_030593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFSRSPVLSSRAVSYLVWCLLLVTLAASNGVRAKAPKAGFGYKLVSLVQQPNGGGLVGYLQVKQRTSTYGPDIPRLRLFVKHETRDRVRVQITDAEKQRWEVPYNLLPREPAPPVTGGKVAGGAPFTAGEYPGEELVFTYGRDPFWFAVHRRSTRQPLFNTSAGALVFKDQYLEVTTALPKEAALYGLGENTQPGGIRLRPNDPYTLYTTDISAINLNTDLYGSHPVYMDLRSLGGRGVAHAVLLLNSNGMDVFYRGTSLTYKVIGGLLDFYFFAGPTPLAVVDQYTSMIGRPAPMPYWAFGFHQCRWGYQNLSVVEGVVEGYRNAQIPLDVIWNDDDHMDAAKDFTLDPVNYPRPKLLAFLEKIHAQGMKYIVLIDPGIAVNNSYGVYERGMARDIFIKLDGQPYLAQVWPGPVYFPDFLNPNGVSWWIDEVRRFHDLVPVDGLWIDMNEASNFCTGKCTIPKTHECPIPNSKTPWICCLDCKNLTNTRWDEPPYKINASGKTARLGFNTIATSATHYNGILEYNAHSLYGFSQAIATHKALQGLQGKRPFILTRSTFVGSGAYAAHWTGDNKGTWENLRWSISTMLNFGLFGMPMVGADICGFYPDATPLEELCNRWIQLGAFYPFSRDHANFASKRQELYVWESVAESARNALGMRYRLLPYLYTLNYQAHLTGAPVARPVFFSFPDFTPGYGLSTQFLLGEGVMVSPVLEEGATSVSAVFPPGSWYNLFDTTKVVVSAGGGAVKLDAPLNEINVHVYQNTILPMQRGGVISKDARATPFTLVVAFPFGATEADAEGAVYVDDDERPEMVLAEGQATYVRFYASVRGKAVTVRSEVQMGSYSMQKGMVIEKLSVLGLEGTGRNLAVQVDGAEATAVATSSPYFAAGDNAKLHRQEGVEGSKKSVMVEVGGLALPLGKSFTMTWNMHIEV >Et_6B_049612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7282469:7286041:1 gene:Et_6B_049612 transcript:Et_6B_049612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAPSGVESAMAVTSSVSCLLVELDHGSKLISHSSLPTKRKCDPLSITFLPSSLARLKCSHGLTSNLTLAFSPALRCCLWNPISWLAGRPSVAFGHRRNMSTECFPSMGPWFCTSTSAGNLSCSHPSSPMSSTW >Et_5A_042035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5501075:5502358:1 gene:Et_5A_042035 transcript:Et_5A_042035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPYISSAPSMQIDMMAQFLGAHDHCFTYEHVDESMEAMAALFLPSLDTDSNSSSSCLNYDVPPQCWPQQGHSTSVTSLLDPAQSFESFEFLVMEPFPSANFESHSDIPYFSEDCSLQGNHSSVREEEAAKDAPPAKKRKCSAAMKATKKTKKVGKKDSINNDDGGNSYVDAQSSSSCTSENGNLEGNADSSSKKTCIRASRGAATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAAHYVKFLQLQIKLLSSDDMWMYAPIAYNGINISNIDLNF >Et_7B_055111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7382816:7384838:-1 gene:Et_7B_055111 transcript:Et_7B_055111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTISHPSNTRIAVVTGGNKGIGLEVCRQLASNGVTVVLTAIDEKMAREAVEKLKAQGLPNVLSRQLEITDASSIARLADFMKTCFGKLDILVNNAAVGCVEYAQDPVDGSVMSEEKFSGMDRDQRLEWLWRNCRETYDAAKKGIHTNYYGTKHVIETFLPLLQASSDGRIVNVSSDFGQLRYFRNEELKQELDNVETLTEDRLDELLDMFLKDFKAGTVEERGWPAAFTAYKVSKAALNAYSRILARRNPALRVNCVHPGYVKSDLTLYSGLLTPEEGASNVVKVALLLDGGVTGAFFEEGTKASFV >Et_2A_015679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16897538:16898442:1 gene:Et_2A_015679 transcript:Et_2A_015679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVMHPDDAHTCLTQSTSTSSSPRALSPTGRRPQTTSRTKAPNANTSVALVALPNLEHVAGLHVAVDDDLLPVLVEVEEAGRDALNDAQPLGPGERPRHRLAVQVPVQAPVGHLFVHQEELALVPAVADQAHQVPVPEAAEDDDLGRELRQPVRRFRRDPLDGDAQAGRGEDASVDAPEATFPQQLCVAETVGGCTKDFVRKPAGSQLGLPVFLEQRRRKQAVNPASTAIEDTVSGNHFMRLFWFESCIGLPIFRGSSE >Et_2A_018653.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4277572:4279623:1 gene:Et_2A_018653 transcript:Et_2A_018653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHRLLLLLLFAAVTATAAAQNTSDAEALMQLKKSFTNSSSLSSWLITSTDGGSKSPCAAGSHEWHGVVCGDGGVVTGLRLSDLALGGTIDVDALASFPSLRSVSFARNNFTGPLPAFHQLTALKSMFLSDNAFSGAVPDEFFASLGHLKKLWLDGNNLSGPIPASIAQATSLLELHLDRNAFTGELPPAPPPALRSFNVSRNDLEGVVPESFRKFDAARFAGNEYLCYVPTHVKPCKREDDAAARRVVLALAAVLVSVVVLAIMCACCSGGRVRDFDSGMEDKPPVYMAATTHKRSTSWLARRAGSSLGLGHRRAASVAAKADDLGGGSGGDLVIVNDCKGVFGMADLMKAAAEVIGSGGLGSAYKAVMASGVAVVVKRARDMNGAPTKEAFDAEMRRLGALRHANLLPPLAYHYRKDEKLLVYEYIPKGSLLYVLHGDRGMDYAALDWPARLKVAAGVARGAAFLHATLLASDGDSPPSHGNLKSANVLLAPDFEPLLVDFGFSGLVSPPHASMLAYRAPPTHKADVYCLGVVLLELLTGKFPAQYLHNNKGGTDIVMWATSAVADGFERDLFDPALVSSAWKFATPDMARLMRVAVDCVEADPDKRPDMKTAAARVEEVVAAAMATVAERPPEEEAGDGDRGGSHATYVRDGSIQRVTSVGERSSRRGSSDYSYGIS >Et_1A_007830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38619649:38620414:-1 gene:Et_1A_007830 transcript:Et_1A_007830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINTTASFVAGPGLCQKPPAKLSGRRLPGSSCRARTAPNGFRTYCMKTWNPFTNTRYEAMSYLPPLTEESITKEVEFIMSKGWVPCLEFDKRQLETEAVSAM >Et_10A_002172.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:382373:382738:1 gene:Et_10A_002172 transcript:Et_10A_002172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHADVRRHEAALRAVQKPPAKPWRGGGGTGSAAPPPPPPKVYRVEPREFRDLVQRLTGAPPATRHHHHQMPPVQPVAVRAAGAEDHQHHQQQQQQQLYAPSWFSYPMPGMDHGGNGALM >Et_1A_005485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10811635:10814790:1 gene:Et_1A_005485 transcript:Et_1A_005485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMCTVAPSALPAAPSMRGYDKGYDDVAEMDVLLADIDYVVHAHPITTGLTPDPSAELVAPPPRRHDSYLEALLRGIRSVKVASGTGSFAPLAVAHHDDAPTTPVAELIRAPFSYGEDDATGGFEIKSTASEKRPDPSEEYDADIDAAFRKMEKDPAERPSADYLWTTQEGSMTMADRAELVAWMYEFSRFGRFNLLPPGALHRAVSYVDRYLSSKKIEFDAQDLRLLGAVAAFAASKYDDRRTAWLLINADTIARDVGCSRREVIDTERDLVAALGYRLSGPTAYTFVDHFTRRDQLDTEEGAMVVRSLAHHLADMTLLDYRCVPLMPSAVAAAAILVARLVEELWSDEMVKMTGYTVEDLVDITDTIYEMHELAGVWPGCAQMMENFVCSYSHHLRENGYDVFEDLADITDTIYEMHELAGVWPGCAQMMENFVCSYSLSPR >Et_2B_019467.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5301846:5302025:1 gene:Et_2B_019467 transcript:Et_2B_019467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDELREVEFTHCFREQNRVAHCLAKHSCTETSTAVWLRRSPDFATSSVVADCNPSVI >Et_7B_053910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13249979:13251546:1 gene:Et_7B_053910 transcript:Et_7B_053910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAADAEKPHAVIVPFPAQGHVTPMLKLAKILHRRGFHVTFVNSEFNHRRLLRSRGAGALDGLPGFRFAAIPDGLPPSDADATQDVPSLCRSTMETCLPHFRTLLAELNAAPPVTCVVADDCMCFAVDAAREIAVPCALFWTASACGYMGYRHYRTLIDKGIFPFKEEQLTNGFLDTPVDWAPGLSKRTRLKDFPSFIRSTDRDEFMVHYALHVTERIADADAVILNTFDELEAAALDEMRAIIPPSSAASIHTVGPLALLADEIVPPGGALDSLGSNLWKEDLTCLAWLDGRAPGSVVFVNYGSITVMTNAELVEFAWGLAGSGHDFLWVVRPDLVHGDAAVLPPEFVAAVEGRGLLASWCPQEAVLAHEAVGVFLTHSGWNSTLESLCAGVPMLCWPFFAEQQTNCRYKCSTEEWGVAMEVGHDVRRETVEEKIREAMGGGKGKEMRRRAGEWRETAVRATRPGGRSYASLDRLVADVLLSGAAKSC >Et_2B_022625.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28053496:28054182:1 gene:Et_2B_022625 transcript:Et_2B_022625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEQKNKELVLLDFWVSPFGQRCRIALAEKGLAYEYSEQELFGTKSDLLLRTNPVHKKIPVLLHNGRPVCESLVILNYLDEAFPTTRPLLPAGDPYARAQARFWAAYSDKLFQLGSQQWKARGEDARKQAREEMVQVLRNLDGELGEKAFFGGAAFGFVDVALVPYTPWLPVYERHGGFSVAEVAPRLAAWAKRCGEMESVAKSLYPPEKVAEFLAQHKKEYDIE >Et_8B_059044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12876855:12880097:-1 gene:Et_8B_059044 transcript:Et_8B_059044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAAWLVLPAAVTILAVVVAWFFSAGGAGKKTKPVPPGSFGLPVVGQTLSYLRAMRANTGEDWLRRWVAAYGPVSRLSFFGRPTAILVGAAGNKFIFASDAVVPKNTASLSRMVGQRTIRDLAGGDEHRRVRAMMAPFLRPDACRRYVAAMDAEVRRHLDARWRGSAAVAVMPSMKDLTFDVMCTVLFGLVRDGGDGDAVRRELSTEFQQLVRGISVIPLNLPFSSFSKCLAASRRGRRAVAGVIRERRAKLEREESSPADDVVTHMIAEGLPDEEIIDNVMFLMIAAHDTTAALITFLIRHLDANRDAYDKVLREQEEIAQGKAPGEALSWEDLSKMRYTWAAALETLRLVPPVPSILKKMIEDVEFGGYRIPKGWQLIQPMSTTHRDPAIFPEPGRFDPTRFENSSAIPPFCFIPFGGGARVCPGNDFARVETLVTVHYIVTRFRWKLAAGCDGSYARFPLPYPSQGLLIDIEPHQVTRPASKPSSSSSTWGKKKQNAMQIVKLAYGYGSGLRLK >Et_8B_059765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2515705:2517020:1 gene:Et_8B_059765 transcript:Et_8B_059765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTRRKRRRRTVADADADAAATGDESSWASLPEDLISLIAPRVLAGDLLDYVRLRAVCPHWRRSAPSSPRGRGIVDPRFHPRRWMMLPVLQRDHDGAVRLLHPFTGDVAEFPPLATLRPYVNLVESDMWCHVRKICAASISVSSADEAVTVMMLLPVTTRAAFATSEIEGVVLVCQPNLPSIGVQGKLYFFRQSSNPYSGAEVLEINPPQQEGTDLFLLPPKLIAKSPASSVIFLHHLVECDSEILVVTSDFAPDTKVSVYRLADLALEKTVPLTSIGANSLFVGWNSLCVSAMAFPAIVGDTIVFTQYERRYLLQYHLDSGSVSPATDVYTGGCDTPDPLNIIYHIFTCCYRHLWNKGYIMFQGIPNGWRVKRKWRQGVSISRVQCFCSSVCIMFGPTFY >Et_4A_034134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30164393:30166705:-1 gene:Et_4A_034134 transcript:Et_4A_034134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAVTRRPPSRHEDFGVVTITPLPGNQIFFHKIHEVVHEFLTVFKRVRIRDIQPTHLGQALVRFEYEQNNQVAEVPAALQMEEQPLDLNAAPVEIDMEIVADDLPVANHFDLNIPMEEDIADDVPNHNHPGQVHEDNEPNHEPQIGNPEVNANMNILSGEAFIELNDFVNGLVNDEEEQNDGQLSFQVSDSIYGGNASAGFAASVNQPTPGEANAEVVLALEADNNPMGAFLPMELQMADLSGDQVQQHHPEQDNHHNVHLNMQVGMMQFEGELQPDPVFQSMFDSLGFARHKQPSPDCYRLWARYFSPIGVTDPKIGIPAEWVAFFISMLLSPKTFDSAKSLLVSKAWDIIRKHVVKDNEMAFMLPAKCPVKENSPCQSKLTENLAGRIADDQEEIKFKASVGKKEKQEEGDKLPKQKKGKKPKVSAKKIKKKPSNEDKEPKGKRWVCEWGTKGHF >Et_9A_061873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17294746:17300427:-1 gene:Et_9A_061873 transcript:Et_9A_061873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFVSTLCSDETTKRRASKSKSFKDVENFEVLVLEKNCGCKFKSLRILIIAIISATVVTLLTPTLYEHQLQSASRYVDVGWMWDKITSDPRYVSSVNVQWEDVYRALGNLNGENQKLRIGLLNFNRTEYGSWTQLFSDSRVSIVRLEPAKDSITWQTLYPEWIDEEEESEIPSCPSLPEPNVRRGNLVRHEGNAWLYSPDLKALKEKLRLPVGSCELAVPLNAKSRLFSVDRPREAYATILHSASEYVCGAITAAQSIRQAGSTRDLVILVDDTISDDHRKGLEAAGWKVRIIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILKNVDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMDHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDDEEMKAKKTQLFGADPPILYVLHYLGLKPWLCFRDYDCNWNNPSMRGFASDVAHARWWKVHDKMPQKLQSYCLLRSRQKARLEWDRRQAKKANFIDGHWRQNITDTRLKACPEKFCFWESMLWHWGENNGTKRAPATATPGLANTACFTLYKSKNKRMSKSKEGTYLQWDGSYVRKKTHWVNTYHSANQKKHLPSRQSFTVPTEGGDHVYSVQDVQRLQASMRSLNVDLTLFGACLGAECSCTRNTDRKNSTVRPCDANLTAR >Et_6A_047516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6812362:6827264:-1 gene:Et_6A_047516 transcript:Et_6A_047516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRRGEMATPAVAVAGGEDHISALPDDLLHLILSPLPSDQAVRTCVLARRWRHPYQISPMKLHSFVNHLLVLRGGTPADVCFLTCGNLLEDRGYDEQHYQYQKDHLHGQLCEFAQLWIRHVLTVCRVRVLKVWVRTYRRLSLDKMPFASPVLTRLNLRDVSLMFDRWQHGGARSFHPLDFSNCPALKYLTMTSCKIHVSAILSKTLTWLTITECHFHSKFRTRVSTPRVEWMELSVCSGRAPILDSMPNLEAADVRLENDYYDCCENGDYYGDCKDDECRGCLGSSDASSVLLASLSGATELELMSDPRQYIFPRDCKSRIVFDKLETLVLNGWCMGAEFGGLVFFLRYSPVLKKLTVQLEYCEKEHAVVVTDDQYIPTEHFLVSKKLKTVKIKCPEENELVNKLLVILKTYGVLPEHIRIKQIFLPPDAASPNPHPQNGGVGEPYAFSGRTMPDGLVDRLSALPDDVLNLVLSRLPSDEAVQTCVLSRRWRDLWRSTPALRIVTDPSGKKKKNRRKNTWTPRSLTHFVNHLILLRAAGAPMDELEISCSQLRSDDCYDDSGYCSYDDYDAPRILPSEKAREEDLSRSAAMWIRHALSVCHARALTVSLRSTQNCLRLDGVRYVSDTLTTATISDAAFRSSGGVLDFSMCPALEDLKMSECKIHVMVISLPSVRRLSITDCSFDYSGTRRTCISAPCLVSLELGVSSGRAPFIESMPLLEAARVRIHDDCDDICRKAYGLDCDNQKRCVGCRHWSTRGESVLLEGLSRAAELELTSDPRVFIFRKDCDFGTTLANLKVLLLNEWCMAADSAPLVYFLRSSPVLEKLTLQLEYCESEHAAVKAIRNHRTKENFLVSEQLKVVKIKCPKENELVANVLMVLSAYGISKEQINIEPDFCPPTYYGYESTDSDDYY >Et_1A_008823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14948986:14950646:1 gene:Et_1A_008823 transcript:Et_1A_008823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFTCVVVFLLISLLPLALCSSSASPPVQHTLGTGASLAVEDHERPFLVSPDATFSCGFRQAGENAFSFSVWYTAAADKTAVWSANPGAPVNGRASRLSFRRDGVLALDDANGTTVWESKTKGRGLTVSLLDTGNLVIAGDPSGGGVMWQSFDTPTDTLVPTQPLTKDTRLVAGHFSLFYNSDNVLRLLYDGPSTSSIYWPNPDNDAFQNGRTLYNSSRVAVLDDAGVFLTSDNLRVTASDLGRPGGVRRRLTVDADGNVRIHSLNNASTGGGGWTATWAAMAQPCSAHGLCGENAVCEYRPSLRCSCAPGYEMVDRRDWSKGCRPMFAAPNCSRGALPEQRFRFVRMPHTDFYGYDLGYNKSVTFDYCKRMCLDMCLCSGFSYRIDGQGLCFPKFVLFNGYTSSAFPASMYLKVPFDFNVSAPSVAARTAAGLACDPNGPEIVRLGSPDTVLSSPGNGTRWSYFFAFAAVIGSSLEEAGYRMVTGQLFRRFTYRELKDATGNFKEELGRGGSGVVYRGVLDGGRRWWR >Et_8A_057989.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:11156149:11156646:-1 gene:Et_8A_057989 transcript:Et_8A_057989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKALALALLVSAALLLTEPQPAGAQVFCRSQFNLANEACSLRSFVPGARRPGVPLEKLNETSVASTSAAGGGGDEAALQSRDDDDDDGGSSGRRSSHHHHRHYSEEEDPRDTACCRRIMGLDNSCVCQTTARLPPFMNAVRHAIKLMPIEGCEVSFECPGPLY >Et_10B_004099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12840080:12843474:1 gene:Et_10B_004099 transcript:Et_10B_004099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSQNPRRSATAGLSEEQMAGADPDYAYFLSHLWLEDGTSPPDVIRYEEADLGLDGEPPLEEEIPSGAIPVDHLVDPAWYDDPDMDESYRLYLTTMYDPSEDAWPADNNEPQEHEEGQMGAALPADDLHSELMHCILVLENRGILPYGAPHIMQRLSGGAPPHPRAHRRRSVTAGLSEEQVAGTDPDYAYFLSHLHLEGESYMLRIPSKDCTIPPVYEEEDETLANPPVDEEEIARTDPGYAYLLRHLHLEGDSYVLEIPSMDGIYPPVVNRYEEEEEETVADPPVDGSTDAPVDHVPDGALLSWMWLRSCLAPSTISSPRRRPMSTRSSSKAGTWVLGFLLMIW >Et_9A_061865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17271400:17273125:-1 gene:Et_9A_061865 transcript:Et_9A_061865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FTWFSFVCFRKCHCSVSLPIPCAYLLEDHQEEINRGLFRGAVQHDTPQLPALSLVRAAVRVPEQHPGVDDQRCRVGDRDRVRGDLPGVRVEPDDAAADVWPRVGRGRGVHDGGARVAAGAARAGPQALLRPRSHHLLHLHVRVAALHHEAGGEDEERGVHAVPAVAGGVPVRHVLVRLRPARARPLRRDPERVRELPGRRAARPVRHLPEQRQGRGAGRQAKAEAGGRRRRRGDARGQEQHQGGRRRGC >Et_2B_020632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22129414:22136009:-1 gene:Et_2B_020632 transcript:Et_2B_020632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQMVRTVDHHINLEGLDHKAFKQLFFACAFGDIKTKNDHSELLETGDMIIEKLKGSPLAAKTVGRLLRNNLDLDHWTRVLESREWESQTGDHDIMPALKLSFDYLPFHLQQCFTYCALFPEDYRFGREELVHLWIGLDILHSSPNENKSIDDIGLRNLKDLVNHGFFKMEEEHGNTCYVIHDLLHELALKVSAHECLSLYSSNVSSTQIPLSIRHLSLNIELSSVNDKMSFDVCKEDLSVLNNKLRVENLQSLMLFGYHQNRFLKSFSNLFREAKALRVIFLSEKDYSMEDLLPNYLNLVHLRYLRISGVFESRLCKNISRYYHLRILDLRAIYFMENYDLPRDMSNLAKLQHFLVRDNSMHLKISNVGRLKSLQALEIFMVKRESQGFELKQIEHLFELHGSLHIKNLGKVESREEANEAKLMHKKHLHKLILDWDINLSSKDPACEEQVLEGLKPNSNLSEIYIIGHGGTTCPSWLGVNLSVKNLDSLCLNSIAWETFPPIGKLWLVNAELPSDIPNQNFQNLRRIRIELVKLEGVKKWVVDSTCQFYTCLEVLKVIDCGEFRELSFSNYAGSQHGQNIWFPKLKELVIYGCSKLSSLPPVPWTDAPCSIAITGVGLGFRRLYLKDSDTGLCLSIEGKAGQDTGEFWIALDFDHLNELRRLEMVSCPPLPLDRLKMLPFLKHIIITNVGTSGNELTQALAYMPNLSRLYLNRCQKITGLGVVEQQEKTTPSVKRGFNHWMTRVGALANRLVPWSLDRQFLVTSNEAEAHIGVQDISREEEEIAQAEDGLLFLPPQLQKLEIWRCREVSLRLDDSAGGLQGLHSLRSLTIWACPKFLPPSSASFSSCCPFPTSLQELTLGEQSLTIQPTADCIEGRTVSLSNLASLTKLNIQRCEGGEGFWSHLPRGCLTRLIVSNTSNFFLGCLLHSCSRIMVGFLPLSPRWIRMAPRDSLPLQSAASLTKLELYDDQKIERFTKEQEEALQLLTSLQELRLEGFTRLRCLPAGLRGLPRLKTLGIESCEAIRSLPTGGLPDSLHTLTIKYCGAIRSLPKGCLPNSLQELQILECETIRRLPKDGLPNSLRRLDVRGCGNEELKRHCRKLFGTIPIVQFADLD >Et_10A_001347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23663239:23667950:1 gene:Et_10A_001347 transcript:Et_10A_001347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCAACEVDKDKKRARVLELSRRLKHRGPDWSGLSQVGDCYLSHQRLAIIDPASGDQPLYNEDKSVVVTVNGEIYNHHHLRDQLSASGHKFRTGSDCEVIAHLYEEHGEELVDMLDGVFAFVLLDSRDGSFLAARDAIGVTPLYVGWGIDGSVCIASEMKALHDECEHFEIFPPGHLYSSANNGGFRRWYNPPWFSSEDMIPSVPYDPLALRKAFEKAVTKRLMTDVPFGVLLSGGLDSSLVAAVTVRHLAETEAAKHWGNKLHSFCVGLEGSPDLKAAREVADYLGTDHTEFHFTVQDGIDAIEDVIYHTETYDVTTIRASTPMFLMSRKIKALGVKMVISGEGSDEIFGGYLYFHKAPNKDEFHHETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKEFINEAMSIDPEWKMVRPDLGRIEKWVLRKAFDDEEQPFLPKHILYRQKEQFSDGVGYSWIDGLKAHAESNVTDKMMSNAQFIFPHNTPTTKEGYYYRMIFERFFPQKPAILTVPGGPSVACSTAKAVEWDAQWSGNLDPSGRAALGVHLSAYEQPEHQLPATIAAGASSKKPMTTIEVAAPGVSMCLGIANGSSSRTSKMRALRGSDWRVEGDGTVETSERMVGGCGGGGGCCAGNFD >Et_7B_054316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:210615:212627:1 gene:Et_7B_054316 transcript:Et_7B_054316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEASIVDGDVWLACAVPLSRLPAVGSQVYYFPHGHAEQCPAPLPGPLPTPHLFPCTVTAVRLGADAKTNEVFAVISLQPGPHRGPPAGASSSSSSAAASQVGGGQQQQLGLSYFAKQLTQSDANNGGGFSVPRYCADFIFPKLDFEADPPVQTLVMRDPIGNPWQFRHIYRGTPRRHLLTTGWSKFVNAKLLVAGDTVVFMRRADGELLIGLRRAPRYPPQAQAHAREQQRRNARARVPPEDVVEAARLAAEGSPFTVTYYPRLGAGEFVVPRKEVEDALACSWEPGMLLRMQVMEPEDARRTDWANGTVKALHADIWRALEIDWDDTASPSSAKSRFVNVWQVQFVGYPPLLKRLRISDTISPLCSGDDSMAAPLIGPGSQEMAVLLGAPIPAAAGMQGARHTGPTDVPSSSSAMLTTQLLFPSPIEDLQVPPSLSGGSEILDPEFGSPPSNSVNMNPPNLPVGTKSIKLFGTTITPHA >Et_1A_008425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7505858:7516201:-1 gene:Et_1A_008425 transcript:Et_1A_008425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVMENQQPVAQLVVPAAPSPPPLPPQVYKHRCKVCKKGFMCGRALGGHMRAHGVADDVLSEDDDDDACPCSGDTGRSSPSAATTKRMYALRTNPGRLKSCRVCENCGKEFTSWKSLLDHGRCNEGDEDGEDGGEEGVTDLALAGGWSKGKRTRRTKMMVVETGSVTEVQSPTSPSSEEEDLANCLVMLSSARSAPPPAVVVDAEPEPCVLASKIAVERNSRILVRKPISVMAPATRELKLLAPPQVVPQPVSTTVPRGLFECKACKKVFTSHQALGGHRASHKKVKGCFAAKLESNRSEPPQAVTATPGVANAIADISTGAKNAGVNTNADGNPMNSCTSVVAMATAAPEMIATQVDEARAPSSVSPVKKKGKVHECSICHRVFTSGQALGGHKRCHWLTTGSGDIPTGAVTKLHTIVPQDHVMRAMCQQLTLGRPTFVAADPVHGLNAPTNPDATKQGRRAQRQRAVPQRAGVAVHAVLDDRTQRRDEQPQRRRGHGGRSGQPEHQARQDQRPQGHGCGWGDNAVVASRRWPPNTCKLCARRFASPRALAGHMRSHSLAAAAAAAAAAAAAAAAAAAAKQQISSGSSASTSFTTAEDEDDGFKKPASIYTLRENPKRSLRGADGSFSDRESEAESTPPRAKRASPGPHAWAEAEPVSSLSEAATAEEDVALTLMKLSRDSWPRSLRIDGGDYSDDGSDDDGYGLPSPPPPPRAPAAAPVEKRTQFQCTACKKVFRSYQALGGHRASNVRGGRGGCCAPPVAPPPPSPLPELHGVDAEDVVDAKLLLQVPHECPYCYRVFSSGQALGGHKRSHACGAAAAAAQAASAAAARPSPIKNHGMIDLNVAPPSEEVELSAVSDPHYYNPGA >Et_2B_022323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1802707:1809428:1 gene:Et_2B_022323 transcript:Et_2B_022323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAASQALFLLLVVVSATNGGVRAQPIVPAIFSFGDSTIDVGNNNYLPGAVFKADYAPYGVNFRRHEATGRFSDGKIVTDITGCYHALPHTYVQCTLCSKSSCISMWLIRYLTVAADTLGFDRYAPPYLSPQASGKNLLIGANFASAASSYLDDTAAMYDAITLTQQLKYYKEYQSKLAAVAGRAKARAILSGALYVVSTGTGDFLQNYYHNASLSRRYDVDRYCDLLVGIFSNFANVSNFVSDHLHHSISSHPSTFTRLVIRINLSLQALYKLGARRIGVTSMPPLGCLPASIRLYGAKGRGCVARLNRDAETFNRKLNATVRALKTRHADLKIAIFDIYTPLRALAEAPAAQVMKMCECNGRSVWTSGFADAKGTCCRTGTEKTRVYLCNPTTAGTCRNATSYVFFDGVHPSEAANVVIAQSMVDAGIELFIDSMDSAYNHHGNLPTMGALKLFKCWGVTFAWLVVTLSFSESPAAELRLLKQEAHNIQGKDTGNEGITLPILQQHTIDHVNGFLLHGRNMKCQVYDKIDK >Et_2B_021441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29734908:29735328:1 gene:Et_2B_021441 transcript:Et_2B_021441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNDLTAYDIRRHRQTPVAADLQFFRCLGNGVICTVGCGTQAWTYDEEIQRDKQLPQPCRCSRSKAGGKSCAVGGATLPADCLVTRCCSMHRQSVWITQMGRLAELLMYYVGWAGTRPLLD >Et_1B_010221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30776639:30778115:-1 gene:Et_1B_010221 transcript:Et_1B_010221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HHGRRRPPPPNPSPPLPRVTTAAGAAVAAELRSLAPPTRPELLSLDLPRYDPAQSRPVDLAVVGGGPAGLAVAQRVAEAGLSVCAIDPSPALVWPNNYGVWVDEFEAMGLAHCLDAVWPSASVFIDDGRAKSLDRPYGRVARRKLKSTMMERCVANGVVFHQAKVAKAVHNEASSLLICDDGVAVPATVVLDATGFSRCLVQYDKPYNPGYQVAYGILAEVDEHPFDIDKMLFMDWRDSHLPEGSEIKERNRRIPTFLYAMPFSHNRIFLEETSLVARPGLAMDDIQERMAARLRHLGIRVRSVEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLATAPIVADSIVRFLDTGNGGLAGDALAAEVWNQLWPGNRRRQREFFCFGMDVLLKLDLDGTRRFFDAFFDLEPRYWHGFLSSRLFLPELLLFGLSLFGNASNTSRLEIMAKGTVPLAKMIGNLIQDRDR >Et_1A_005772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13914140:13917120:1 gene:Et_1A_005772 transcript:Et_1A_005772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMERFLAGLRVLAVDDDRVCLKILETQLRHCKYNVTAVTRAEAALEMLRARKDGEQFDLVISDVHMPDMDGFKLLELVGLEMDIPVIMLSANEEMETMMKGIKHGACDYLVKPVHLDRLKNIWLHVVKKSMNDPRDHISGANNDASQKRQSGDPEDDKNGADDTKKHSRKNKKDGDDPEEDSENTSTQKRQRIQWSADLHRKFVEAVHQIGIDRAVPKKILEVMGADGITREHVASHLQKYRLALKKLEEKLELYQHEWAGQFSICTRNAPLLHSQSVQPIGSQRSLGIPVRDMGPAGNGGNLLNAVVHDVSNVTSGNLNISNGGLPSGSSPSGSYIENIRNRMVLDADRSFPYAISGNSFTNMSNGTQPLVPGLVSNSLKGDVLSMFPGYGPSQGNSLKINQLSGIAASSGQMTAIGNEIQNRMAALKGKSTPVVDFSEQMAPFNLGNNTSVPINNSALASSSTIRSTIRSAVPDIQIGNLGMLTQMLNDEGANVNVLDENDGTGGVYQRAVGDLMGTIEAQNQTSGDLDDFFN >Et_4B_037396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19356041:19357936:-1 gene:Et_4B_037396 transcript:Et_4B_037396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAVLAPVAAAYSCSSSSSSLAPPPQRRAPSRAHVAAAGMSSRASSFVAGGGGMALTAAQVAARPRRAGAGGGGALGCKCLFGLGVPELVVIAGVAALLFGPKQLPEIGRNIGKTVKSFQQAAKEFETELKKEPGEGGDQPPPTTPTAVSGGEEKKGLETSSTKEST >Et_6B_048307.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:11135158:11135382:-1 gene:Et_6B_048307 transcript:Et_6B_048307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHCVAVAARRRSSNALVSLVLLAISCSPAANASTRKVGTLPALWGTRSTPSSSTPGAPAAASTSFASTATCIW >Et_6B_048787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13706383:13708842:1 gene:Et_6B_048787 transcript:Et_6B_048787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHREKLLLFIAAAAAGSLSLVTYARQQHHVLAAGNFSASCRPHERDALLAFKRGITNDTISLLHSWRPGQDCCRWTGISCSSKTGNVLKLDLGRPYQDPALVGQISPSLLSLEYLEYLDLSNYFLEGPNSSVPEFLGSMRNLRHLDLSQIPFSGTVPPMLGNLSNLRYLDLGWMQNSYSTDISWLANLQFLEYIDMSNTNLSTIVDFPLVANMISTLQHIVLINCSLQSADQSIPHFNLTKLEELNLSRNFFGHSIASCWFWNATSIKTLSLVSTHLGGHFPDALGGMVSLQNLDFNDNGNAATMTVDLKNLCELQTLWFDGSFSSGNITQFVERLPRCSSNKLFFLSSVANNMTGMLPNAMEHITRLTFIDLSNNCLTGAIPPEIGKLTILDTLYLSSNQLSGQIPLLPKTITTLDISMNCLSCHLPTKFGAPNLTTLILSSNYIMGEVPESICELRDMQILDLSNNLFEGELPRCSSMQNMLFLKVSNNSFSGVFPSWIQSCSSMVLLDLSWNKLHGTLPRWIAELERLKFLQLSHNMFRGDIPVNITNLGSLQYLNLASNNISGLIPLTWSKLVEMTLKYPVAPWTFDSFFDGQQAEVLSLVMKHHVLMYGPSGIGGMFGIDLSQNYLTEDPSIYDGNSGLCGPPLRSSCSGSNSTESGKEMPRENDSETIYIYVGCFWGFTFGFWVVFFVMFKRKWRISYYHCLDRAYDRVYVFVVLTWDRLARQATIE >Et_1B_014311.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5709977:5710552:-1 gene:Et_1B_014311 transcript:Et_1B_014311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSAALLLLLIVSTCSKSSTLEDTCRSFAAGHPSIGYDYCIRTFQADEASAAAADARALAAIAARIAEAKANATAARVAALSALEKDARRRGRLDVCAEVYSDAVDQLGQAAEDIAGGADDGALQDAVTQLSAALDAPGTCEDAFGEADDTSPLAAEDAEFRKLATVALAVAASLTPPPSTLGRPRISD >Et_5B_044466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2691804:2694755:1 gene:Et_5B_044466 transcript:Et_5B_044466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPAARAVAEEIGRWACLKQTGVSLRYMMEFGSRPTERNLLLSAQFLHKELPIRIARRALELEYLPYGLSNKPAILKVRDWYLDSFRDIRYFPEVRNRNDELAFTEMIKMIKVRHNNVVPTIASGVQQLNKELCSTRKVHPGFDEINQFLDRFYLSRIGIRMLIGQHVALHDPDPEPGVIGLINTRLSPVQIARIACEDARSICLREYGSAPDINIYGDPNFTFPYVASHLHLMLFELVKNSLRAVQERYMNSDKDVPPVRIIVADGAEDVTIKVSDEGGGIARSGLPKIFTYLYSTARNPPDLDGPNEGSTMAGYGFGLPICRLYAQYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP >Et_6B_049463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5121785:5126411:1 gene:Et_6B_049463 transcript:Et_6B_049463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CSGREPIKVSASLPHAGFIPNLWPWTLHTAPPPPPPPQPLAQPLPPRTGTPSCTPFPMAAITTASATSSSSLLHRSPAARARYPLVAVALRAPSQHSHPRLACRAAEVSGAEPSAPPQAGGGGGGASWVPVVPLAALPRGERRVIVQDGEEILLLWYKDQVFAVENRSPAEGAYTEGLLNAKLTQDGCIVCPSTDSTFDLRTGEIKEWYPKNPVLRALTPALRKLFVYRVKTDDENIYISISGAASGGSAEIVFSGKAQPGVTSTDVNVEEVRMIVDEDVGGFGFTSTNELINGKAAIIGFLLLIDFELLTGKGFLKGTGCGKVRCLVEQESRWLLRNK >Et_10A_000050.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:19875415:19878551:-1 gene:Et_10A_000050 transcript:Et_10A_000050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNQNNSRGQSANLSSNPLYYQFGSDNPLLGMGTQQPFPPFTSPFGASSSTNIPQMDWNPGTMLDNLTFIEEKIRQVKDVIRTMVDNSGQLSYRPGELAQQQQAVNADLTCLIVQLISTAGSLLPSLKNSSFLSHPPAGHMDVANHVGSSSSMVPNTTLHSEGNNEDLCSPEEYEELFKGFTDGAVEGTEIDNVHVEEQDTKDGDEGGDACMDGDNLPPGSYELLQLEKDEILAPHTHFCSICGKGFKRDANLRMHMRGHGDEYKSPAALAKPPRDASAEHAPVKRYSCPFIGCKRNKLHKNFQPLKTILCVKNHYKRSHCEKSYTCSRCHNKKFSVMADLKTHEKHCGRDKWLCSCGTSFSRKDKLFAHVALFQGHTPALPSDEPKDSSDQVGLLGSHQEPAKLASSMGSFMWGNSSGDDSALDIKGLDSCSDDFLSTANFGSFNFSFGQLDEFVGNRSDGSFAVLGSDNIQCAQNKGGK >Et_8A_057927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9440200:9469789:-1 gene:Et_8A_057927 transcript:Et_8A_057927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFVSHCCFPVEKVILIAAISICLVEMASKVDLREAKDAKGRNALHFAAVKGHLEVCRFLVEELGLDVNSASEEGRCEAVKLLLSKGVDLEPMNYIGTPLHLAASKDQDGAVKILLEHGADDGKIPIMWAAGHGNRELVELLYPLTKPIPSIPDWSVDGIIRAMKYLHLEAQDAILVGKWIDDAKSLGKEAFAKGEYFAAVHYYGLAAGKAPHDATLFANMSLCWLRMGDGEHALSDAQKCKMMRPGWAKAWYREGAALSFLKKYKGAIIAFMKAHDLDPTSDEIYKALRPPSTATSASSRMATKVNLREAKDDMGRNALHFAAAKGHLDVCRFLVDELGLDVNSTPDGSGTSVHFAAVGGDERVLGYLLDHGGDPGAPDAKGSTPLHDAAEQGHCQAARLLLSKGVDVDPVNHRGTPLHLAAAKNRDQVVKILLEHGADAGADVNFISPSGLTILMTAVDDGLIGMVKFLLESGADPNIADEDGKIPIMCAAFHGHRELVEILFPKTKLIPPVTDWSVDGILRSMKYLRFEAQAMEKDPLDATLLANRSLCWLRLGEGDRALLDAQQCRMMSPHWSKAWYRYTPIFRAANEGKVSVLRYLLDHGGDPAIPDALGFTPLHMAAERGQHEVVELLLSRGVDVDPLNSHLAAPLHSAAMKSHDKILKLLLERGADAGADLNFIKPYGSSPLIEATRKGLTDFVQFLLEAGADPNICDNAIFVHPLDATLFANRSLCWLRVRDGNNALSDAKKCREIRPRWSKAWYQMASRTDLREAKGPGGWTVLHFAAAGGHLDVCRFLVEESRVDVNCASADGETPIAHAAAAGAVSLLRYLVDHGGRPATPSSMGRTPLHAAAQNGHTEAVILLLSEGVDVDPIINSRNGGTPLILAAGKGHDQAVKVLLDHGADPNRAVHGIFSPLLMACYAGSLKCMKALVEGGADVKPRSPYGPCLLMKAVMDGSTSTVKFLLEAGADPNIVDEFGENPIMCAACDGRRDLVEILFPHTKPIASVPNWSVDGIITTAKSMPFKAKEASMEEHVPADAKPRGNEAFAKGDYLAATCLYKLAMDKDPLDATLFTNRSLCWLRLGDGEKALLDGQQCKTLRPHWSKGWYREGAALRLLKKYKESANAFVEALKLDPANDEIKTALRQCSCFASIYCYFSVIMLLFDCFHLLAGADVNLRISYGPTVLVRAVDVGLTDIVKFLLEAGADPNVYEEVASEKDPHDATLFANRSLCWLRLGDAEHALFDARRRKRICPRWSKAWYREGAALSLLKDHEGAVNAFMEALKLEPENGEIKKGEATDALRNAS >Et_3A_022979.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:11672034:11672474:-1 gene:Et_3A_022979 transcript:Et_3A_022979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVADNGNDDEQAALVPRYIVMGEMKRHVLERPQGYRIKREVLDNHFSDLVTLDRVWQLAEHGGGGGIFADRPELRDLCLSFSLFKTLRRRLSGYPLADAGSREALEFVLRGMDTVGATAAKANADRVFRVLVDEL >Et_8A_057193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22119240:22123422:-1 gene:Et_8A_057193 transcript:Et_8A_057193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSSIISSFETLRGLTMNWCNLPGSVVSAIGNLMNLQSLEMLCCTTFGSILSSLSNLTNMVSIRINGCDGLPVPMHAAIGNLTNLQTMEINCDCTPGGHTSETVPYTIGQLNKLTLLVLDECCYSGSIPNSIANLTQLTKLYLSNNAFNGPIPNTLFQLTSLKRLDLRSNNLIGLVDLGSFRRLRNIEILHLSNNKLSVIDAEGNSPLPSAYWSGPNVLGLASCNITQFPISLTRSKYITYLDLSCNKINGDIPKQMWEACSSSIIYLNLSHNMFTGIGLTSHVLPLTATPDILDLSFNRLQGLIPMPKSSASLLDYSNNRFSSVHPNWASHLSQTSYLSMSNNNIKGYIPLSICNSSLGILVLSHNNFSGPIPSCLTENKQLSVLNLRDNHFKGMLSFNITSGCSLQTIDLHDDTNGVNKYDEFFPSLQIMDLASNNFSGNLRPQWMERLKSMMEKFNSFGVGFAAAIVVKWGRVGRCPVKDSGSRCS >Et_5A_040931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1561393:1564217:-1 gene:Et_5A_040931 transcript:Et_5A_040931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPLLLLVVVSGGVPTPTSAGGGPPPLYRDCPNAPGGTYAANSTYRANLAALAAALIKNATAFGSGAGTAGAAPDAVYGVALCRGDSRGALCAGRLADAFAAAMNESDSPVCELHRNVTLYYDRHQLRFADADFLSGDGNAPEWAENNTNFVNASADAPFRERVAWLLNATAAAAASRSDRYAAGEAWFEEGGRPVYALTQCTRDMAPERCGACLRGVISELPRKLNASQIGGRLIGVRCVVRYEMDPFFQMDNATLHLGMPNPNTTWLWVVALAAGVAVVISCIIIFTELKKRRKRGKRARSELRKLSAAIQNVITLWRLEEGNSGFSLYDFSEIKAATRNFASENMLGQGGFGSVYKASLIWLTCVRNVDLVCPPFFVVRKGELPNGLVIAAKRLAACSGQGLHEFKTEIQLVAKLQHRNLVKLLGCCIQGDEEKILVYEFMPNKSLDMFIFDNVKREVLNWPKRLHIIDGISQGLLYLHEHSTVCVVHRDLKASNILLDREMNARISDFGIARIFCSNATQSSTTRIVGTITIQLALTYSNNSSGYIAPEYALDGVCSIKSDVFSFGVLVLEIISGKRTGGSYRYNGKLYCLIAYLWRDGRWHELIDPCLRDDDVHHVTQKRCMQVALLCVQEDAEDRPSMDDVVKLMSSDSDSLPEPKQSAYFNVRPSGTADDAASPSGNISISMITLPR >Et_5A_040968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15893283:15904231:1 gene:Et_5A_040968 transcript:Et_5A_040968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRVATCNLNQWAMDFDTNLRNVKESISRAKAAGAAVRVGPELELTGYGCEDHFLEQDTTAHAWECLTYILSGDYTDNILCSIGMPVIFNSVRYNCQVFCLNRKIIMIRPKMSLANDGNYREFRWFSAWTFKDKIVDFQLPIEVSDAISQETVPFGYGSVAAETCEELFTANAPRIDLALNGVEVFMNASGSHHQLRKLNLRIDSMRNATRLCGGVYMYANHQGCDGGRLYYDGCCCIAVNGDVVAQGSQFSLKDVEVLDALVDLDAVSSYRASVSSFREQASHRTNVPYVKVTYKLCQSFRSGMVPTSPVEIKYHCPEEEIAFGPSCWLWDYLRRSQASGFLLPLSGGADSSSVAAIVGCMCQLVMKDIEEGDEQVKVDALRIGQYKDGEIPTDSRDLAKRLFYTVYMGTENSSEDTRSRAKRLAEEIGSFHLNVPIDSIVSAFLSLFETLTGKRPRYKVDGGSNTENLGLQNIQARIRMVLAFMMASLMPWVHNKSGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSVSKHDLRAFLRWASIHLKYSSLAEVESAPPTAELEPIRANYNQLDEVDMGMTYEELSIYGRLRKIFRCGPVSMFQNLCHRWCGRLSPSDVAEKVKHFFKYYAINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNSRWPYQFRKINELVQEMDKDGKWETSAEMQPREHKDAQGSGMGVVAVGSASPSAGF >Et_10A_000460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10780748:10781437:1 gene:Et_10A_000460 transcript:Et_10A_000460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TGDVEYVRIFCKDNPDLKLAIRENKVVLARADPEDETQLWMKDYFVTGNVTDDEGQRAFVLVNNGTGQAMVNKHKPRQDGNIEVQLAIFNGESQLSMLWTEEGKDHDGGWRRVRVLRDITKALDGLLGHVKDGTVVGIYRSVEPVGANALWKMETVKMESG >Et_1B_013130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5731257:5734279:-1 gene:Et_1B_013130 transcript:Et_1B_013130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAPSPSAAAVWAFAAAACVKLLLVPTYRSTDFDVHRYWLALTHALPARQWYTDASSEWTLDYPPFFAYFSRLLALPAPLVDASLVKIPVTDAPPFGHLLYLRLTVAFSDLLLLASVLLLARDARRQRRPFLALVLVLWSPALIAVDHVHFQYNGFLMGLLLLSLHFLEQGRDLAGGVVFAALLCSKHLFLVAAPVYFVYLFRHYCCGRGVVRGVGRLLLMGAGVAAVFGAAFAPFLYYGQMKPLFSRLFPFGRGLCHAYWAPNFWVFYIILDKILAFLLRRLGFNIQIPEASFTGGLVGDTSPFSVLPKVTPLTTFVLVILAMTPCLIKAFSNPQPKHIIRWVSYACTCGFMFGWHVHEKASLHFTIPLALIAMNSLDDARHYFLLSIVSCYSLFPLLFEDQEYPIKVLLLLTYATLMWAGFSSHFAGNSTHEGKKVNQSSSMVKKNNFIGWIGLIYLLGMAAIESWSRVFHRHVFGDRLPFLPLIMVSFYCGIGIMYSWIWQLIWVVRHT >Et_3A_024783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24112508:24115949:-1 gene:Et_3A_024783 transcript:Et_3A_024783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPRPPLRLLLLPVSRALLVLLAAWLGGASGSGGDDVLRRAQRPEFAAWMAGVRGAIHERPELAFQEHETSALVRRELDAMGVAYRYPVAGTGVVASVGTGNPPFVALRADMDALPLQEEVEWVHKSKEAKKMHACGHDAHTAMLLGAARILHEHRHELQGTVVLLFQPGEEVGTGAKKMVEAGAVDNVEAIFGFHVTVRFPTGVVGSRAGPILAGCGFFEAVITGAGGHAANPHGSIDPVVAASSVVLSLQTLVSREADPLDSQVVTVTRFQGGGAFNVIPDSVTIGGTFRCFSSEGFLRLKRRIEEVIVAQSGVHRCRAAVDFGARGVGTPLLPPTVNSAYLHERVFMAVARETVGAGAVRGDMEPNMGSEDFSAFGDAVPSAHFYFVGARNEAVGAVHDPHSPHFFVDDAALPYGAAMHANLAAGYLRRRAAASSAPGDDSRDEL >Et_3B_028901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20178318:20181437:-1 gene:Et_3B_028901 transcript:Et_3B_028901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSPSGLELTMAVPGLSSSSGSEGFGCNNSNGNGNNNVMRVDLDMNQPASGGEEEEFPMGSVEEDEEERGAGGPHRPKKLRLSKEQSRLLEESFRLNHTLTPKQKEALAVKLKLRPRQVEVWFQNRRARTKLKQTEMECEYLKRCFGSLTEENRRLQREVEELRAMRVAPPTVLTPHSRQPLPASALIMCPRCERVTAAAGSPAARTARPPAAASPFHPRRPSAAF >Et_5A_040989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16163794:16164688:-1 gene:Et_5A_040989 transcript:Et_5A_040989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTDWGPIIVAVVLFILLSPGFLFQLPARVRVVEFGNMGTSALSILVHTILYFCILTIVVVAIGVHVYNTKPDPDSLYLALEELVKMWEKRKQQRSRIHHILQQLDDLS >Et_1B_011455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22485828:22494120:-1 gene:Et_1B_011455 transcript:Et_1B_011455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVAGLGYRGCRGGGRELQYPAATTASSILPNSNDLNPIWNDYFEFIIEDAILRLKLYDDDGIQFIALPRNFLFAIIFPGPVPSSGHWRGRLCPPPLRCYELLMLYMFYYLHNLRLAHDSLLEGRKEFVSMASDGSGVVTLYGGGGGGNNGPALLEPSPQQHKSATFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPAPTSARRAAWPACRTRASSATSSAPSPSRSWPRPASATSWRPRSWRPSAWTTWTSESEVLTLADDAHHINKRTASGSPNFVCGCRPATSARRCAASARAPPWSAPRARPARATVVEAVRHVRSVAADVFAYAKRIAAPYDLVVQTKQLGRLPVVQFAAGGVATPADASIMMQLGCDGVFVGSGIFKSGDPARAARAIVQAVTHYSDPKILAEVSSGLGEAMVGINLNDPKVGQYVHHPTKPRYPAKSVKTILLGVNSIIYAEILIFDIAGQEEHRMEVGTDCSNARGCACVLHWFKLSYLGNTKSFSYTINVCPGPGH >Et_1B_012992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4655693:4665629:1 gene:Et_1B_012992 transcript:Et_1B_012992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSKGGKNKGKAQGASQAVSAEPEVPVTDGAEVVKPENGEVNEPPAAESGVAEVETGDGEAAVAAQPAKKPAEGEKLELQLSPGDSVIDVKQFLLDAPETCFYTCYDLILHTKDGSTHQLEDYNEISEIADITCGGCSLEMVAGIYDERSIRSHLRRVRELLSLSSLHVSLSTSLALQQESAQEKSADAGKTAIQELDGLNYMEDTTSALTNLLTPAPAEIKCVDSIVFSSFNPPPSYRRLHGDLIYIDVVTLEGNKYCITGNSKSFYVNRSNGSILDPRPPKQAVEASTLVGLLQKISAKFKKGFHEVLDRRASAHPFENVQSLLPVTSWLGAYPVPEHRRDAARAEDSVVLSYGTELIGMQRDWNEELQSCREFPHGNPQERILRGRALYKVTCDFVDAAVKGAVGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDSDYEHISKDHKTDCQNGPSKSTKVSSPDVRAKQDKNPAGSREAANSEPEEPPAISDDASAEAQLADSEQATYASANNDLKGTKAYQEADISGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSDSLLYGSVDNGKKISWNESFHSKVVEAAKRLHLKEHLVLDGSGHPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNYIGQEHRFCVLRPELVSSFVEAESTKQSPKQKVPDAPEESDDQVASTSDSTEIAADEELVKKAGTYLLDIVIPKFVQDLCSLDISPVDGQSLTDVLHLHGINVRYLGKIAGLIKHLPHLWDLFSSEIIVRSAKHVVKEILRQSPDHDVGPSIAHFLNCFIGEILPASTKGGVGSTHSETQKGCQNSQTQKSSKVQKSSQIAASRKGLSAYSHLTSDAVGITIAARKYDLDASTPFQPSDILNLQPVIKHSVPTCTDARNLMEAGKIRMAEGTLNEAYALFSEAFSLLQQITGPMHKDAANCCRYGNMALFYHGLNQTELALRHMSRTMLLLSLASGPNHPDVAATLINVAMMYQDASNMNTALRYLQEALMKNERLLGPDHIQTAVCYHALAIAFNCMGAYKLSTQHEKKTYDILAKQLGEEDSRTKDSENWLNTFKLREQQVNAQKQKGQGTNPSANAIDFLKANPAFLQAMKAAVIQSGDGAANVNRSLNAAVVGEGVPRVRGVDERAAKATAEARKKAAARGLSVRNGPTNNASELDHILNLINSAASTSATAAPSNSPKPASEGQLSNGPALNGTTAKSKDADSNGQSAKSSGNAPAGLGTSLESKKQKSRKA >Et_8B_060243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7854200:7857397:-1 gene:Et_8B_060243 transcript:Et_8B_060243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALGTSTAAAVAASVAPRAFHWRYPWHRVGSRRGNAASTRWLLGSSASPAAVSPGAPDEAVAEPLTVEPAPETKLSKLACPICYYPLASPSDQSALECSTCKKTYPNKQDYWDLTVAVGLTEYSESMPAATEFFRTPLISFIYERGWRQNFIWGGFPGLEREFETAKTYLKPTVGGTIVDASCGSGLFSRLFVKSELYSLVVALDFSENMLKQCREFVKQESISDERLALVRADISRLPFVSASIDAVHAGAAIHCWPSPACAVAEISRVLRPGGVFVASTFVADVIPPAIPILRIGRPYISQITGSNTFLSEVELEDLCRACGLVDFTFVRNGFYIMFAATKPS >Et_6A_048104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6322985:6327216:1 gene:Et_6A_048104 transcript:Et_6A_048104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICRYQLQQQTAEETSIKSRGGTIFVHIIQLTKYECQHNFFMEVYTIAAWEIWKQRNEKLFRVFWPSFGNCTKEDQAQVLGDEGHIIRIQTEETLLAATEPENLNLAAQSEQDPFSRDQPNRVDGARVVDAEVELEAAPIQRRRRFLPEVEQAIHEASEAVGAAPPLDAGETDPRRESPEVAPALGDDAGPHGVVRRQERKHVVEEAIWEGVDVVCQIGSARRGGPRRRHRVEYSGRHRKKGTRQQGETGIASVQQLPYAGVKNIHTETVITYVA >Et_1A_007199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3254822:3259206:1 gene:Et_1A_007199 transcript:Et_1A_007199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHEVYGQEIPEDGDMDGADVDMSGAGDDAAKELDEMKRRLKEMEEEAAALRDMQAKVAKEMQGGDPSASTAEAKEQVDSRSVYVGNVDYACTPEELQQHFQACGTVNRVTILTDKFGQPKGFAYVEFLEQEAVQEAINLNESELHGRQIKVAPKRTNVPGMKQRPPRGYNPYHGYPYRSYGAPYFPPYGYGTGELLDSAVLRATDHTSEVMSGLERAEFQIPNAFFAPGHPISKFLSQ >Et_7A_052083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4502946:4505178:1 gene:Et_7A_052083 transcript:Et_7A_052083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IYHKTKFVPWGQHTLQVLPWLLLFSQLAAASTSNCTNATDGADSQGAMKLKLIAIASILTAGAAGVLVPVLGRSMVALYPDGDIFFAVKAFAAGVILATGMVHILPAAFDGLTSPCLHKGGREKNGFPFAGLVAMSAAMATMVIDSLAAGYYRRSHFSKARPIDNLEVHEQSGDEEGRTGHVHMHSRATHGHPHREADVISSPEEASIADKIRHRVVSQIRVVLELGILVHSVIIGVSLGASVRPSTIRPLVGALSFHQFFEGIGLGGCIVQADFKLRATIMMAMFFSLTAPVGIGLGIAFSSSYNVHSSTAFIVEGVFNSASAGILIYMSLVDLLATDFNKPKLQTNTKLQLMTYLALFVGAGLMSMLAIWA >Et_3B_031744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9524265:9530245:1 gene:Et_3B_031744 transcript:Et_3B_031744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRKAMWLYPKVVGFNPPERWGHSACFFEGVVYVFGGCCGGLHFSDVLTLNVETMTWSSLATTGQRPGTRDSHGAALVGHRMFVFGGTNAGKKVNDLHVLDLRTGEWARPQCKGAPPSPRESHTVTVVGGDRLVVFGGSGEGEGNYLGDVHVLDVPTMTWSSPEAKGDHAPAPRDSHGAVAVGGRLFVYGGDCGDRYHGEVDVLDVDTMAWSRFPVKGASPGVRAGHAAVSVGTKIYIIGGVGDKQYYSDVWILDVANRSWSQLEVCGQQPQGRFSHTAVLLNTDIAIYGGCGEDERPLNELLILQLGSEHPNGRYNISMCKVLSNHWSQEKRKFLRAGHQKDASVGNGDAGQKPREAETEQRNQFLRGLENGRLKRRRTGDSRPNEAESEQEEHSLSLSQHSSPSQSDQEQNGAHKLSASPSTSISALQPFVRLSANGTLRAPGPGGISSRPLKTDQFLRTFAPQHRPEVQFLSSDHKPQPRPSGPPLIGAEVHGTIDGAFDSGYLMTAVVNGQLFRGVLFAPGPGVTAPRPTQHHQILTSSAVPPQQRPLLAHAIPVHARPLPQATGFVLPDCAHLARQGFPAKSVKSEPERGNSDLHDVVLTLGGPGGGGKLSTFLCWPNFM >Et_10B_004239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1991905:1995110:-1 gene:Et_10B_004239 transcript:Et_10B_004239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEPFLAPASEDDDVEGSPLQVICNVLYESKVVALVFSSGTGKWLAATTFSYEYAPFMRHYAHNCFYWTHCARNTVLALNPRGMKFSVIGLPPSLGEGEDVAIVNAGVDRIGLLIFGSRERCLEMYTKTMRSIGVGTEGWLHDKTIPLPNCHWIIIGGREGYLVLHGVERDWFDMPKYSKKEPERQYFILELKTLLVERLCVFNPTRHHVYPYESFPPPLSLPAFLDMIYPLGTMSRTSRLRVQPSPSKQEMISGQLHFHLLHPPTPSPPHSMASVAHKIAAAPAALPPLPDEIRSWNISSVAFNPHHPSSALIMYSGTIESPKADF >Et_7A_052933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2744006:2744513:-1 gene:Et_7A_052933 transcript:Et_7A_052933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSVAFASLLLLLLATTAHGIRPDRQLQAAINKKEMGDPKPGEASIAHSVNKHCDLDGHCSSSGTMKQMTPTVVAKDSQVTISAYAGPRFHEDYYGPSGHEPNHH >Et_8A_056111.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:21021167:21021701:1 gene:Et_8A_056111 transcript:Et_8A_056111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHSTKIFVAVALLALFAIAANAATCPQSFPSMMGMRMMSPCMQSCMMQQSFTMGGPLSPMMGTIPMDSCMQSCSMMQQPFNMGASPFPAMMMPQQCCMHLMMMGMMSSQCHYGTMCQMMMQQQSMRMSMMMPYMCNMLPTYCQQFFLGCAF >Et_7B_054915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5500452:5504026:-1 gene:Et_7B_054915 transcript:Et_7B_054915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFMLHILGIFCCLCSCALGKNISVRPNVVNIGALFSFNSTIGRAAKVAIGAAVNDINNDSSILTDTKLVVQMQDTNCSGFLGIVQALKFMEKDTVAIIGPQSSVIAHVISHVANELQVPLVSFAATDPTLTSLQYPFFVRSTHSDQFQMASVADIVEYYGWKLVTAVFIDDDYGRNGISALGDELAKRKLKILYKAAIKPGAKKSEMAAVLVRAAMMESRVFILHANVDSGLAVLSLAYNLSMTSGGYVWIATDWLSSFLDSSPHLDMGLMSVMQGVLALRQHTQNTRRKKLLASQWSALVKGDSGGSRFFLNSYGLYTYDSVWIIAHALDAFFNMGGNISFSLDPKLHQAAGGALNFEALSIFDGGRLLLERIHQVNFTGATGPVTFDSDGNLIQPAYDIVNIVGSGLRTIGYWSNYSGLSTLAPGTPYGKSSSQSNTNNKLYTAIWPGETTTRPRGWVFPNNGNELRIGIPNRVSYRQFVSADAQTGTVGGFCIDVFVAAINLLQYPVPYTFVPFGNERENPSYTQLIDQILTNEFDGVVGDIAIVTNRTKVVDFTQPYIGSGLVILTSVKKQSSSGWAFLQPFTIRMWCVTGVFFLIIGTVVWLLEHRINDDFRGPPAKQAITVIWFSFSTLFFAHREDTRSTLGRFVIIIWLFVVLIIQSSYTASLTSILTVQQLSSPIKGIDSLIASGEPIGFQVGSFAESYLVKELGVSPSRLKSLGTPDEYKDALELGPRKGGVAAIVDERPYVELFLSGHDKFAVVGSEFTKSGWGFAFPRDSPLAVDLSTAILTLSENGDLQRIHDKWLPGGSSASQTDDLESDRLHVHSFSALFLICGVACVVALSIHACVLYRQYRRHVASDPAALSAGSSSRSQRSSLRSFLSFADHRETEFRTSSAKDGAAMAAGGSGSGVSFTSSSSVSTSMSR >Et_4A_035567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29484332:29489916:-1 gene:Et_4A_035567 transcript:Et_4A_035567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWYEDLEDALTIEALAVRDGLVMAKDAGARRIAVESDNISVVNLLKSPDGVRSTSLLSPRGIL >Et_6A_047051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24540308:24544686:-1 gene:Et_6A_047051 transcript:Et_6A_047051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRLSGLPDDLLRHVLYFAPAKEGASTAVLSRRWRSLWRTSGAVNILSHFDDSSIFIEDSAARAMRKAFFRGAKAALAAAHAGGPVRRFTMHLEAQYGFHISKLLPRRRIHAVLSKPALQGVEELRIGAAATDKTPQRPWLRRAVSSTHVDTEELYKLSFGALPSEALRELHIVNCRNLKPPRSRATFPRLAHLRLQGCTVSLLDMQRVMDAIPQLATLHLESFSFPQEQGTKNKGSGAIELSCYQLRCSTVTTLVLEDCHWPEMEGGLELDVPKLRYFVYEGFVRHCHRLSLKPQVSSNIIQVDLHLSIDSRFPTNDQIKIPSFWRFLQNFDMTKVLKLKLDFTVDDIAVFDKKDQDEFLRNKLFLNLEQFELEGDYYEPGRETALMALANFLHCCPVAQDLRLKLKQRSTTSCYDLKPIKVAQLDFHKSIDYFRCHKRSKNPMSGDYYDYENCDVSDIPTLSKHPFSCLQSHVRRVSLKFWMEHPNCFGVQLAKFFAQKAMVLEEMSIDDGSQKMCHHGLENPTVWNIVIGNIDEDDQYIAAADLLADREDECRVAIVLEPVLVDDVLLVVLFLNSTSAGIKNSDRISRLGVRSSILVTVAIMVVSSSRTIPVSSSSRREVKTGNAGAEEKTPWPTPAPRRADLSCRCVALGKRWNKFLSLTRFPESETSSPQKSSTQDVIREGL >Et_10A_001212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22151859:22153397:-1 gene:Et_10A_001212 transcript:Et_10A_001212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDAADCQDSAIEDEEEEEEMVWENCSKHRDGSIYRGTAFWHSLYRVADTRETSLEPMMLSNPTDCRPNKRACETHRECHMMQIFSLKLVHTTKAIDSPIHLYGFVAVRDLLNPLRNYIFNRTRDDPFVVEQQSDGSGSNIQMTGPKRGIEMKAQVLIEYDMKIKKGHTEEDDLQLIDEAACFSELDRLPSRVCTRRIEGDSGAVDLSLALLHRAVEATVQVKVSQVHGSGLNLSISSLVGRISPRIQLFQGVIAEPCDLNRFVIVVVKGNTLILDLIVHQREGSGHAHPCYPFKAKLHGHDIQEFKLDFATIVVKVSWSTLVPYRGARIPWTFVTHSMKKTMIYL >Et_3B_031507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3316724:3320166:1 gene:Et_3B_031507 transcript:Et_3B_031507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKSMELVLERDWAEIPSDLLLRILGTLEIPDMVSAATVCRSWRSGYKLDHRLDISPLFRGPCLVYSAVDREANVATLHCLSDDKRHHITLPDPPFRSRYVVGSSHGWLATADEQSELFLVNPVTRAQIALPPVKTLKNVGLRFTKSKALHSYVLYHMDVSVGLRNPYMFAEREFYDPDEARYFLYKRVVMSADPSSGNCVVMIIHWFQDQLSFARVGDTRWTWIHGEEYCCHYQDLFYDKNDSLFYALRGFGEVHTIDLSGPSPVVKVIFKEVVNHINNDKYVVRSPWGDLIQIWRDDDVNDNGEWVTNKLVVYKMDLVQQTVVEVKDLKGYMLFLGFNSSCFLHIHSYVEGGLVDSMELVPERDWAEIPADLLLRIFGSLEVPDLLSVAAVCRSWRFGYKLDYRLDISPLLKGPCLVYSAVDCEADVATLHCLSDDKCHHITLHDPPFRTQYVVGSSHGWLVTANEQSDLSLVNPVTRAQIALPPAKTMKNLVTRFTSKKALHSYVLYHMDLKYGARMYEQRESFDPDEARFFLYKRIALSADPSSGNCIVLIIHMFHDQLSFARIGDASWTWIHGEQRYSEYQDIFCNTDDCLFYAIRGTGEVHTIDLSGPSPVVKVIFKEVVNCIYNNKYVVKAPWGDLIQIWREDDIINDGEWITKKLVVYKMDLVEQKVVEVISMSPPSGWLRPFDSSRPPTSRGGHQTAPLRRRCSCYNAWSSQLLLLGCSCT >Et_2A_016468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25014121:25030637:1 gene:Et_2A_016468 transcript:Et_2A_016468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSMATAAFLVFLLVLGAAIDFVVGQPGFLSIDCGLDDQYSGYKDPDNGIYYVSDAPYVDAGENLRVSPEYERRWRRLFHTVRSFPSGTRNCYMLPTEAGAKYLVRVEASYGNYDGKNDSSSMEFDLHLGANYWATVYVAQDKVYDATFVAWASWAPVCLLNTGHGAPFLSLLELRKLAGALYPQLTATKAMSLYSRLDMGGSRFITRFPDDQYDRYWWGMVSPQWTNRTTSLTIQQDTKFAVPQAVLQTALEPAANVTTFKYTWVGSSAYSYMVFLHIADFQNTQVRQFDIYFNGGRSDKPYSPPYLVASPLSNSEWFRAPDSKYNITLAATATSMLPPMLNAHEIYTLLAFDSPTTFPKDIDAIMTIKLEYGVKKNWNGDPCFPSKYSWEGVKCRNTSDYTLRIISLDLSNSSLRGVISKNFTLLTALENLDLSYNNFSGSLPDSLTGLSSLRVLYDTDGNKCNIEPSPPNSPPLIPKEAIIAISVVVPVLVVVILLLAYFIWREKRKPNGVNPNVLSSTDPARDPQVENPRASRSHVDHLPKTENRRFTYKELQKFTNNFQSSIGQGGFGPVYYGRLEDNTEVAVKLRSESSSHGLDQFLAEVESLTKVHHRNIVSLIGYCWEKDHLALVYEYMSQGSLFDHLRGKNAFGEILNWATRVRIVLEAAQGLDYLHKGCSPPIIHRDVKSGNILLGQNLQAKIADLGLSKTYLSDAQSHMSVTAAGTAGYMDPQCYLTGRLTESSDVYSFGIVLLEVATGEPPAVPGYGHIVQRVQQMIATGDISSVADARLGGAYDISSMWKLVDTAMICTTESATQRPTMASVVAQLKESLALEEAREKDISSGLSRGSEIPPMASTFRPMASIDCGLDDQYSGYPDQSTGIIYVSDGPYVDTGENLKVSAEYQSKWPRLYHTVRSFPSGVRNCYSLPTVADAKYLVRLEASYGNQDGKNDNSSLQFDLHLGANYWDTVYVAYDFTYEALFVAWASWVPVCLLNTGQGPPFLSLLELRQLDNALYPPLTAGKAMNMYTRRNMAGRYTRFPDDRYDRVWWTTFRPEWGNMSTDRTIQQDPSFIEPLPVLQTAIAPLGNATTLSYTWQGITTYSFMVFLHFADFQSAQFRQFEITMNGNRLGPNGKPYSPSNLLSSTVFNSEWYKSSDNKYNLTISATATSVLPPMLNAVEIYTLLAFNSSATYPKDFDAIMAIKFEYGVKKNWMGDPCFPSMYAWDGVKCSNASDNTIRITSLDLSNSSLRGAISKNFTLLTALENLDLSYNNLSGSIPDSLTSLSSLRVLNLSGNHLSGDSLCKNYTGSLVFRYDPDGYKCNAAVGPTNAAIIPKAAIIAISVVVPALVVVILLLACFIWREKRKPNVQPFSTHDSARDPQVENALGSRTSHVDPLSKTENRRFTYKELQKFTNNFERFIGQGGFGPVYYGRLEDDTEVAVKTRSESSSHGLDQFLAEVESLTKVHHRNIVSLIGYCWEKDHLALVYEYMSQGSLFDRLRGKNPAAQTLNWGTRVRIVLEAAQGLDYLHKGCSPPIIHRDVKSANILLGQNMQAKIADLGLSKTYLTDAQSHMYATAAGTAGYMDPQYYLTGRLTESSDVYSFGIVLLEVATGEPPMVPGYGHIVPRVQQMIATGDVSSVADAQLGGAYDISSMWKLVDTAMVCTTESAAQRPTMAAVVAQLKESLALEEAREKDTSTSLSRGSDIPPMASTFRPMAR >Et_8B_059892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3795359:3798954:-1 gene:Et_8B_059892 transcript:Et_8B_059892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFDTVQVFTPGEATETWRDVLAPAGSRCCLSAGLVRLRINRIYILLLVPGILRHKFRHVCKGWRDLIDERTPKHKYCAQILVFTNKRGGSLARVFDGTDGLLKHEWPFPSCSEEGHVYMVGTCNGLLCLHDKRSFQGFSCSTITVTNPITGKRLELPPVPTPWTWDQLRSHGKYSFGCHPVTGEYKIVHIPCVRHQALKMVQVFTIGKKKWREVQVLAPGASCNLKSEPLSVGGRTYWLTASSDRVMALDLKDEHVTSFAVPSVMQPAGTIAPGTRRQLTNVHGSLGLVIALPVPGAPTVADVWVMEGGGEQPQWTHRYNIKNTMQRFWIMAPQMTYGDHMLSVRTRVVMVMGDYRYHSRVLVHLYRHKFRNLTHISEETREHHPTEEPNRNRRQRTAPDAAAFPLNASWASLHEDLVRLIGRRVLASDLLDYIRFRAVCPYWRPSTDSPRGRGIVEPRFHPRRWLMLPEGHGLYQVTASSTFLPAPSSAYGSRSSETTAPWNPTTASFCCSGTKTPPSGSSTPFTNDIAELPPLATLLPYVDGSLIGADTEAGKWRHLRKVRGTCISVSAADGRITVMLWACGSTVCCA >Et_3A_026447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8239486:8243776:1 gene:Et_3A_026447 transcript:Et_3A_026447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAAAEAPGASFTSQEDTILQVLATRGWRFRDPTDEAVQALLYSSPSSSPDAVESELIDMDLRMFGGKSLPDRATTAATAKRLSYIHGPIVLQVVSVRDIYRSSIDASFKNTQQRRLLRFGLTDGISEAVAIEFSPISFITEEIAPGTKIRLENKIPINNGILCLSAKNVCVIGGTVQSLYEEWQMNQKFSGLSRASLRLSQSDDGAGPPPFEKLDIDARPCRATKVQPYPDHKDRKFAVNQGHVPVNSGGKLMNEVSSDVSKEMTATKVESKQSISDSRPKEVSDAVPVQNQAAAQKLLQKMAQGMSEERHGRGQRFRGKGRQQDTQVFTLDEWEKRKAIGSKSSAESYMQDTSQDEELARQLQEQLDLEDMQGGPEFFSGGAESSDAERLRMSMFSFNGPDETGGGRRDFRGRGRGRGRGRGRGRGRGRF >Et_1A_008238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5495238:5497665:-1 gene:Et_1A_008238 transcript:Et_1A_008238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSIVRELKEMRDGIGSMSRRAGGGSDGRGGHGCAGSRHSWPSLWAEQQQPQRQGQGQGQEGPPQHQGRWANLPPELLLDVIQRVEASEATWPARRQVVACAAVCRSWREVTKEVVKTLEECGRITFPISLKQPGPREQPVQCFVRRDRASSTYLLYLGLSPSLHGENDKLLLAARKVRRAARTSFVISLVPDDFSHSSSTYVGKLKPNFLGTKFTIFDSQPPSDAAVLPNNKPSKRQSKQVSPRLPLGNYNVATITYELTVLRNRGPRRMQCSMHSIPAQCIQEGGKAPTPTGTIQSLDEQASSVPTSKGKEPAIEFSSTSLSADLSGLACSNESPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVASVDPSLNIPAAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLTSFDTKPACE >Et_1B_013475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8973683:8978001:1 gene:Et_1B_013475 transcript:Et_1B_013475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSCAHVEFLKAQPAWALALAAVGLLVAARAAIRLALWVYAAFLRPGKPLRRRYGSWAVVTGATDGIGRAIAFRLAAAGLGLVLVGRNPDKLAAVAGEIKAKHPKVPEVRTFVLDFAGEGLAAGVEALKEAIRGLDVGVLVNNAGVSYPYARYFHEVDEELMRSLIRVNVEGVTRVTHAVLPGMVERKRGAIVNIGSGAASVVPSDPLYSVYAATKAYVDQFSRCLYVEYKSKGIDVQCQVPLYVATKMASIRKSSFMVPSADTYARAAVRHIGYEPRCTPYWPHSVVWFLISMLPESLMRKILLEALRITDQEYMLSKVQCKDNNLLIHQLYLGKQDPGAMSSTSFVYSSKMDTDEIT >Et_3B_030403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3496327:3500060:-1 gene:Et_3B_030403 transcript:Et_3B_030403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARTLLLLAAAVVALLLLAAASPAAATRDNRRAAMKKICKATSYPDVCIKTAGKHVKDHRTVDAFTVLQMQVDAFAKRTVAARKRVARKVATSGRAAHGSRLALNLCGKFYLDVMDNLGACRRAIRHRDGVTIRATMSMAAQDMQNCDEEFRKAGEKRNPLERFNNNLGPSSPSATSDTQISSPRTRIVRTQNQQLAMAGRSRALLVLAAVVAATSCSLQAAAHGPLFGGPLFGATQQSPPETVADICRRTAYPDLCTVTAGKQSGRYETVDVLTVLQMEVDALAMRTDAARARVGKEAATASPAAQTALEQCNKFYGDVMENLGACRRAIGHKDAVTIRSTMSMVAQDLAFCDEEFRKAGEKNPLEHFDQSLGHMSEICRSLSNMITV >Et_4B_038452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29006848:29012923:-1 gene:Et_4B_038452 transcript:Et_4B_038452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLKLTEKEEQIFRRLLDVVDHFDLGTQLRVAGGWVRDKLLGEESTDIDIALDNMTGKNFCAKFIEYTKLIGEEQKKPHVCSRNPDRSKHLETASMFMFGIRIDFVNLRSEKYVESSRIPTMEIGTAKEDAYRRDLTINSLFFNINNNSVEDFTGRGTDDLKKGLIVTPLPAKDTFLDDPLRVLRAIRLAARFDFTLSNELKEGASDDKVKSDFGRKISRERVGKEVDLMVSGRRPVKALSYICDLGLFSVAFDFPEQSDPPIFVNCDRCCVSHIEAAWNLAVHSGDDNILPDEELWLYLYAALFFPLRKMVCLDKKSKEMSVTRYIIQESLRLKGYDAVSVVNIHAASGTFAELVHLFESNVASGTLKDKLEDTYLDIPKDTLKRVCAVIFWTITCDTEHSGLVLDEIKGLWRVALLISIVSYPEAENPGDVLSQQDELHRRKEKYIKVERFITDQGEVNDGLDGVWMWKPLLNGKDIMRVMQLNSGPLISEWVRPLKKRVFKWQLAHPKGTIDDCIDWMKKAQRKHQKVESLH >Et_9A_062778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4821156:4821702:-1 gene:Et_9A_062778 transcript:Et_9A_062778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYKETVALSQEIFNESHIWAATGVYFALLRPKFDSLLILVAWRLWKERNNRIFGFRALQSVALSQKIIDEVQMWTAVGFFKLRKLLLLRRA >Et_2B_020994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25516580:25518605:-1 gene:Et_2B_020994 transcript:Et_2B_020994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPLNSCGKKSSLLRIPASWGRYAWSIGRDDPRRAIHALKAGAALTLVSLLYILEPFFKGIGKNAMWAVMTVVVVLEFTAGFAATYLRFFPKIKKNYDYGVVIFLLTFNLITVSSFRQEDVVPLARDRLSTIAIGCAICLFMSLLVLPNWSGEDLHNSTVRKFEGLAASIEACVDEYFREQNKDGNDLDRQEARASIHIGYRAVLDSKSSDESLAHYASWEPRHSMHCYSYPWQKYVKLGSVLRHFAYTVAALHGCLESEIQTPPSVRSLFRDPCTRVAREVVKVLQELAVSIRSHRRCAPDVLSDHLHEALQDLNSAIKSQPRIFLGSKHARAANNRMLMELNSAKNTMTSRATLHSFKTDTTSLLEQKNTKTDQPAERNERTMLRPTLSKIAITSLEFSQALPFAAFASLLVEMVVRLELVIEEVKNQESAANFREFTGHDHLVIDLTSKEKMRNNKGDPLHPVSPAAE >Et_5B_043060.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:18532090:18533186:1 gene:Et_5B_043060 transcript:Et_5B_043060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCERVSKGQLSRVLCGLTALQYLNLSYCRIKSIYDDAMRNLTNVEHLDVSHNNDLVCLPESIGNIKKLQTLNISYCSNLNSLPDCVTEIVTLKSLLIEGCSNELIDQINSRLPCSLTLPLFKVRVDDAGACSNLPDLECVNVGDLRICSLENVRFLEEACKIKLSDKTNLSTLALAWTLDADRSLDDKDLLGQLEPPRGLKQLTLECYSSPSVPRWLMNISDHLPNLVYIKLEDLPTCSNLPPLGQLQNLEALRLKNFPSVTKIDKSFCGGKRAFRRLTNFRICDMYGLKEWTTTYSVEDGDVEEFMFPVLDKLQICNCPGLRLKPCPPTFCLCVIERSDQVISSLEAAGGSHLTSSSPT >Et_8A_058262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3868228:3868737:1 gene:Et_8A_058262 transcript:Et_8A_058262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTRANCSTHCGNNSMPDPFGIEAGCGYHVGINLTCDLLQNPPKLFLGDRWHSGGARDINSQWPQHCQCLCNLLPTSTRKGPTFSVTYEQLSVLSLAAVAHPYQKAILYSRCYPGGSVSELLLTRTRLKSTNHRRAAGAHIGGFGP >Et_7B_055249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8690364:8704189:1 gene:Et_7B_055249 transcript:Et_7B_055249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EAETWLLRLEQNEDKTMNYTLSPTDAALISRDLLDHPDPDVKLAVTSCLTEVIRLCAPQAPYGDEVIKEIFQRIVETFADLADINSPSYARRLSILDSFSRVRCFVLMLDLDLGHLIRDITNHSENVIRWMEHILRVIIEESDDVPADLASSLLQYLSKEAQETFPASFGLAERVVGSCKDRLKVVFVQLLSGTPLYNYSEIVILLCQDASGAATDNNADASIADMEGEGKISEAFVSQESPQEISKIGQDDCQGQDDTHPSITCTSAFSNGSSLCDNVTSLDNPASSEQKPGLSSSDGHAKIDQLISIDKELSESVTTETEKLSDGHKLDSSPVTGFEVTEHPKVVKVNGSVVASEELSPEIDDIDNEQLIETGEKAADGSSKPVDTKPAMIKRRGRPPKSQEKKEGSDLRSGKVAPVCGSGGRSTRRLIKDDAKPPSTKAVVGESVKRQHIDKDTDEDTSLKEMMSTTKLDKTKGQQEDNGVSKRKRLQEVEVAPPSKKKIMLDENLVGSRVKVWWADDKMPRGRRGQGSSSLGMNEGKTGAPQSGSRDPPKKRGRPCSSNNVSSTGSLAMTKSSLKRKGAEDAKETPKTGGNFKRVSSRLTRSTAKAKDDVAKASNKDEAWLAVKTTVRKTTNALPEAPEMTREELLAQAARRMRNINKRFGARLPQDKDELLGLLTEAETCLLRLEQHKTMHYTLSPTDAALILRDLLDHPDPDVNLAVTSCLTQVTKLRAPQAPYADDVMKEIFQRIVETFAGLDDINDPSYARRLSILDSFQGSSVLS >Et_1A_007984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39963557:39966507:-1 gene:Et_1A_007984 transcript:Et_1A_007984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPLALAAAIFSGVTAIIIYLSGLSSYGGAGVSEADLAALAALQGRFSKCVDANGLGLKAVQGKDYCRVVIQYPSDTDSKWIDPNTGKPEGLSFEFNLCEAVASWEQVRNSTTILTKEYIDALPNGWEEYAWRRINKGIFLNKCQNKTLCMEKLSLVLPETSPYVPRQFARCAVVGNSGDLLKTKFGDEIDSYDVVIRENGAPIQKYTEYVGRKSTFRLLNRGSAKALDKVVELDETKKEVLIVKTTIHDIMNQMIREVPITNPVYLTLGTSFGSSAKGTGLKALEFALSVCDSVDMYGFTVDPGYKEWTRYFSESRKGHTPLHGRAYYQMMECLGLVKIHSPMRGDPGRVVKWLPSKDTIEAARVASEKLLKRPRAGSDGPLGTCSMIKKRKRGKAPDRSGFRDAAIKHLEYMKGATRYPMERNFGGGYMCMINDS >Et_1B_011162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18173781:18179058:1 gene:Et_1B_011162 transcript:Et_1B_011162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVGARCPKVIIIGAGMSGISAGRRLSDAGLTDILILEATGRIGGRICKTEFAGMNVEIGANWVEGVSDDSSVDTVDVNPIWSIVNDELGITTSRSDYDHLASNIYKENGGLYEEVFVQKRICEANKVQEYGYILSRDLQQDVSVMTMQRLYGHMPFGPTNPVDMVIDYYLNDYKFAEPPRVTSLQNTHPLPTFKDFGEDVCFVADQRGYESVVHHIARQYLKYDALGAIVDPRIIVNKVVQKINYSLDGVIVKTEDGCIYEADYVIVSVSLGVLQSNLIQFTPALPHWKTMAILRFNMAVYTKIFLKFPRKFWPSGPGTEFFLYASSRRGYYPIWQHLEKEYPGCNILLVTVTDDESRRIEQQSDAQTKEESMEVLRKMFHDIDVPDATDILVSRWWSNRFFRGSFSNWPIGVDRYGYNMIREHVGRVYFTGEHTSERYNGYVHGAYLAGKDSADILIENAKKETNEYHVPRRNLSIMAQEQIIRPLYV >Et_3A_024051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16691176:16716734:-1 gene:Et_3A_024051 transcript:Et_3A_024051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADDYDAAAALAAFYKWLGGVRGLIESGVTTVPPLFIVPTADAQLPPATDFFAIPTVDLSLPRPDTVALITDLAACIMLMSVRHQKSSLCSELTKPPRRTTVDHKVGPKKKRDMAAADDYDAAAALAAFHETRGGVRSLIESGATAVPPLFLAPSSPAATPSPAAATDLLAIPTVDLSLPRPDAVALVRAAARSCGFFHVVNHGVPAGTISAAVSAVRSFHEQPLAERAAFYSLAPVGAVAYSTIPHPGQAAPLLPWRDTLRVRFGPPEPAADLGRLPPPCRDALPEYQRALTALGKEMAGLLSAALGVAAGRLERAMRVEGWLMACHYYPPCPEPGRVAGSLAHTDPSLFTVLAQDGVGGLQVRRGAGGAGGEWVDVAPVAGALLVNIGDVLKVVSNDEYKSVEHRVVIKSTKEARVSIALFFNPAKRDESDLFGPLPELVMAERPAQYRSFTEMAAADDYDAAAALAAFYKWLGGVRGLIESGVTTVPPLFIVPTADAQLPPATDFFAIPTVDLSLPRPDTVALITDLAACIMLMSVRHQKSSLCSELTKPPRRTTVDHEMAAADDYDAAAALAAFYKWLGGVRGLIESGVTTVPPLFIVPTADAQLPPATDFFAIPTVDLSLPRPDTVALITDLAACIMLMSVRHQKSSLCSELTKPPRRTTVDHWVDVAPVAGALLVNIGDVLKVVSNDEYKSVEHRVVIKSTKEARVSIALFFNPAKRDESDLFGPLPELVMAERPAQYRSFTVPEFMNFRRKFGHGRSSIERFRINRN >Et_4A_032511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10975855:10981833:-1 gene:Et_4A_032511 transcript:Et_4A_032511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVLLSLCCVAACGLATYLYYVLWVAPRRVLAEFRRQGIGGPSPSFPYGNLADMREAVAAAKAARASARRGGGGAVRRDIVHDYRLAVLPFYEKWRKEHGPIFTYSMGNVVFLHVSRPDVVRDINLCVSLDLGKSSYLKATHEPLFGGGILKSNGEAWLHQRKIIAPEFFLDKVKGMMDLMVDSAQTLLKSWEERVDKNDGITDIKIDDDIRAYSADVISRTCFGSSYIKGKKIFSKIRELQQAVSKPNVLAEMTGLRFFPTMRNKQAWELQEQVRTLILEIVKESGEDRNLLSAILQSASSSKVALNEAENFIVDNCKSIYFAGYESTAVTAAWCLMLLGLHPEWQDRVREEVHEFCGDRPLESQSLQKMKSLTMVIQETLRLYPAGAFVSRQALQELTLGGVHIPKGVNIYIPVSTMHLDPKLWGPDVKEFNPERFSDARRPHLYSYLPFGAGARTCLGQGFAMAELKILISLIISKFVLKLSPHYEHSPTLKLIVEPEYCVDLTLTKVQSASRD >Et_9A_061977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18500492:18505816:1 gene:Et_9A_061977 transcript:Et_9A_061977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPGYLTLPIFLVLAAIGYVYYTTVFVAVARWLGLATAAGVANAAAFTALAAACVATYAVAVCRDPGRVPPDFVPDVEDAESTVHEIKRKGGDLRYCQKCCHYKPPRAHHCRVCKRCVLKMDHHCIWINNCVGHENYKIFLVFVLYAVIASFYSMILIIGSIIHSVPKDEQAGDNSSRTSLIICGVILCPLALALAVLLGWHIYLIVQNKTTIEYHEGVRAMWLAEKGGDLYHHPYDLGVYENLISVLGPNIFCWLCPVLNSIGNGLRFRTSYDIPVSKPLILMYDTLPRLEPFLGLQASNSTSKMRVELQ >Et_5A_040418.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20724610:20725182:-1 gene:Et_5A_040418 transcript:Et_5A_040418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSRSTRRASVEKATTLSRSRGPRLSTTNAMARFTSASFAPVLLLTSSTVTRSSGARDAAADDAEDSDGARASTSTARPSRAAPLASAGYSQCVLRTSSPLPWPALKQHLDSLEPYTECIWGGFISFIFNRATVQPVRLAALQRLFCSSYSCFSYFFCSRYSIRSYFSAAAACQTACGWRQTGLLFYMN >Et_3B_029438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2503006:2506092:-1 gene:Et_3B_029438 transcript:Et_3B_029438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLLQQGGVLPRMSRLTAAPFSTASGETVRATLFPGDGIGPEIAESVKQVFNVAGVPIEWEEHYVGTEVDPRTESFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCNSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKANGRERVSAIHKANIMRKTDGLFLKCCREVAEKYPEIQYEEVIIDNCCMTLVKNPGLFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGICLAEAVHGSAPDIAGKNLANPTALMLSAVMMLRHLQFNDQADRIHNAILQTISEGKFRTADLGGKASTSEFTNAVCDHI >Et_8A_058126.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:2060004:2061203:1 gene:Et_8A_058126 transcript:Et_8A_058126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNNTRDAPPPCADLPADALGEIAGRLHDATDFVRFHAVCRPWREAPPPPPHTRRRSFLPCLIAQNVFRDYGRLHIRWPFSGNLPRARTLTVAALTRNRLESSGDAASGRVLAVNFSDLGGVAKLVNPLTGDAITLPELPGILFSNGPWNCRRPSGIARNDVVMFSNTKLCVFRPLAVVLLRPGEADWEVVELAKMEFDYWSYDLYEPMLRALTLCSSGVLRGGAHALAELPQELTKIHRRHVVESQGELLCLDVRSIRQKENEPALMSVAVHALEVREDGRPRWVKRVHGRGIDHACIFLNWGKSCSGFAVDAREFAASAEVTGGCAYFVHDHPEEMMKYAVYRYSFKDGTATVVDVLPADDVGIKSMWWYVPRPTISVVRSRHVQEVTATDENIAA >Et_3A_025423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29803799:29805245:1 gene:Et_3A_025423 transcript:Et_3A_025423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEECPLARAELWLPDEFLDDDFFSEEEKAAVAAKSESDEEDGLDGLSRRLAGLVSGDRAGDVARSPQKAEVTVGSPQSTLCGLPASGEDSPNGAASQVSSPPSSPLEQQPADPWDMLYEAAGEVARLRTTSIPVPENTAAHQGLAVAPPPKKPSPPGPDPKDVGVSHYPRSQLQQQIQIARFHALKQQRDQQLRAAAAVAWGMHQAEAQRTPGFGAPLGLNSSAFPPLQKPQQPAASAAGMRAVFLTPPGAKRECTGTGVFIPRQAGAPVEPKKRPACSTVLLPARVVQALNLNVEDLGARPVYPGGFVLDHDALVSRSNALLANQQRESQHRGAIAREANLPQEWTY >Et_1B_010721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13347535:13352938:-1 gene:Et_1B_010721 transcript:Et_1B_010721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANMLSRALLPTPNPSPATRPNRGGPAVVSVPRRHSRLVSLRASVSTTSPSPPPQPTAAATGPPKHCFQRGADGYLYCEGVRVEDAMAAAVRSPFYLYSKPQVLRNFAAYREALQGLRSVVGYAVKANNNLPVLQLLQGLGCGAVLVSGNELRLALKAGFEPNRCIFNGNGKTLEDLELAASSGVFVNVDSEFDLKNIVRAARTTGKKVPVLLRINPDVDPQVHPYVATGNKTSKFGIRNEKLQWFLDSIKSHSNEIKLVGVHCHLGSTITKVDIFRDAAVLMVNYVDEIRAQGFELEYLNIGGGLGIDYHHTDAVLPSPMDLINTVRELVLSRDLTLIIEPGRSLIANTCCFVNRVTGVKSNGTKNFIVVDGSMAELIRPSLYGAYQHIELVSPPSPDAEVATFDIVGPVCESADFLGKDRELPTPDEGAGLVVHDAGAYCMSMASTYNMKLRPPEYWVEEDGSIVKIRHEEKLEDYMKFFDGLPA >Et_2A_016427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24664667:24665352:-1 gene:Et_2A_016427 transcript:Et_2A_016427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSRQEAASRNPMRRTASLTEFAPPDVLTSVVEDEEVVVVSQQQPAAGGGGGQDWLAAFGGAGGAEKQEEWLMAYRARAAPARGGLRRNSADCSATEAAAFLRSCGLCRRRLGPGRDTFMYKGESAFCSLECRQQHMTQEEWKDKCAVKSTINKDASGAPAAGRRRGSGKPGTGGSTVAAA >Et_5A_042519.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1859504:1860688:-1 gene:Et_5A_042519 transcript:Et_5A_042519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGAGGGDRPQQPQAARGRRQQAASLPLDVMAEIAACSDPATLVRCAATCREVRRRVADDPAFRRRLRLQHTDRFVPPLLRGHFAGKTTGFYPNSKNELYLVDTAAADATKVRGVTGGFPSGPLASRDGLLLVRAAKELRVCDPATGRSQVLPSEPTFPRPATIWQGYHSSKYVLLAGNTEGGCAGAVCRPFQVLIAKLDVSEHRRHLQIHTFSSVDDTWGPYTEIRIPNLYGSRLLQDLGEGLVVGGAVHWLCQTDSGSYVIKLHVKAAQVTISELPESFRGGRRRIEHLLATTSLSGSPIVLVNDNTKISVWSQSKQTTKWKQQPQVIGVYELVRYAISNGENIPLSTTRRINLHWFAERSGLVLIEISYDGFIWLDIRSMEMVRCSRIVL >Et_1A_005411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10145936:10147460:1 gene:Et_1A_005411 transcript:Et_1A_005411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSKLSLGIKRASRSHAYHRRGLWAIKAKHGGAFPKAEKPAAAAEPKFYPADDVKPRAPSTRKPKQTKLRSAITPGTVLILLAGRFMGKRVVFLKQLKSGLLLVTGPFKINGVPIRRVNQTYVIATSTKVDISGVDVSKYDDKYFARDKKKKVKKTEGELFDTEKEAAKSLPDFKKDDQKAVDAALIKAIEAVPDLKTYLGARFSLRDGDKPHEMVF >Et_7A_052642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10186856:10189236:-1 gene:Et_7A_052642 transcript:Et_7A_052642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSLQLVPLLLLLVACCCGMIAPASGAGGRWTFLKTVGVSGMHMQLLHNDRVILFDRTNAGRSNLSFPAGVPCRENPRDKTLPQGDCTAHAVEYNVRDNTFRPLVRLFTDTWCSSGYVDADGTLVQNGGWEDGERKVRRMPACAAGTDVASCDWSERPNDPDVLAVKRWYATNQKLPDGSAIVVGGIGQPNYEFLPKPNTFGSDAIFLPFLGTVNTLYPFVHLNIDGNLFIFASNRAILFDYKKGLVVREYPALGNGGEWRTNPEAGSSVLLPLKANPVEAEVLVCGGAPAGANEAANRDPNNRVFVTALTTCGRIKITDPAPSWVVETMPSPRVMGDMILLPNGEVSILNGAKDGVAGWDTAKTPADGPVIYRPDRPVGTRFEAQNPAGNPRPRMYHSSALLLRDGRVLVGGSNPHQFYEFNNVAFPTDVSVEAFSPDYLDAANNRRRPTIADPSPRGKAATVKYGQKLTIDFGLEELDPAFSVTMVSPSFTTHTFAQGQRLLHLQVEVGKPKGFMGIGGMMFPLPDGVRQASVTMPAKSVLAPPGYYMLFLVNGRIPSEGIWTNTLLTRAEAYRHGRYAGPCMSCTGCHQTAGWLDDWSDR >Et_3B_029078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2188648:2190328:1 gene:Et_3B_029078 transcript:Et_3B_029078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGMSSGRRRFVYQRPERSRGMLPLLALQVLLEYGRAGATRPPVTAALLAANALVYLRPGALHELLPTVSRVAFSPYLIIEFGDWMRFFLSPFYHLSETHMFFNMTSLLWKGIQLETSMGSAEFASMVAALLGLSQGITLLMSKGLLLLGDDTSYFSQAAGFSGVLFGMKVVLNAWSDDFVYLHGMVIPSKYAAWAELILIQSFIPDTSFLGHLGGILAGLVYLWLKRSFNGPDPLTLLISSVAKVVTWPFRFAQRLISSVRNQGRITGRGRVGRRASARETPRGIWRCSTCTYDNSVATDTCEMCSTVREDRAFSRRQNHLAGGNGELSVDEIRRRRLQRFDR >Et_6A_047989.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:2874104:2874790:-1 gene:Et_6A_047989 transcript:Et_6A_047989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTRARHGGGGVIQFPVGRRRYVPVVDAECGCRPRRPRLLRLPSFLKSGCQQLGGKAAVVVRRGGAGERYSSSSTSSFSSSCAATKSTGYSSAYSSDYYYSTATKQQELPKAAAAASPAARKKKEEEMKEDEGVGVAVEKESRDPRADFRESMVQMVVEMGLCDWDGLRCMLRRLLALNAPRHHAAILTAFAEVCAQIIAAPTAAAASPLQPSPSPPPAYHHHYRR >Et_7B_054522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22538050:22543474:-1 gene:Et_7B_054522 transcript:Et_7B_054522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSAARHALLPPSLAPCIPGAFRRGQFSPLVPRAPLCRAASSASAPATGGYLPPLFSVAPMMDWTDNHYRTLARLISRHAWLYTEMVVAETIVHQKDNLDRFLAFPEEQHPIVLQIGGSNLENLAKATELANAYSYDEINLNCGCPSGKVAGHGCFGARLMFDPEFVGDAMSAIAANCDVPVSVKCRIGVDDRDSYEELCEFVDKVVSKSPTRHFIIHARKALLNGLSPAENRKVPPLKYEYYFALLRDFPEVQFTLNGGITTIDQVSASIRQGAHRVMVGRAAYNNPWNMLGHVDAEVYGMPTRCVSRRQILESYQVYGDSIIGQYGPSRPNVRQLVKPLLHLFHSELGNSLWKRKADAALRHCKTVKSFLEETLDAIPDSVLDKSITKEPSSEEGYFADVDSLMPPRYATLTNCSRGSPELVAASP >Et_3B_031410.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28574338:28575075:1 gene:Et_3B_031410 transcript:Et_3B_031410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRRLALSSLFNARAAKDTSRSPPPTQTEAMAPAWPWPPCNNTPTTQPSSRSAAPPGAKTIASIFLESSGESSFTASSSAQPADCSDSLSTASEPSAAAGDEADDAVVRGLRSERLLFDPGASATSSILEEKAACAGEKEAFVGGVAVAFESADPYADFRASMVEMVAAHGVGDWGWLEEMLAWYLRANDQDTHCAIVAAFIDVVLAIADPAGEARSYGRSSSSAFPAGELKVAEKSKAGALAA >Et_6A_046557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1706115:1706744:-1 gene:Et_6A_046557 transcript:Et_6A_046557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIQHVKKLPWDGCYYTLRTRRCGDLVRSFRVIYRRVESLLPIPSIWDVISAGETFILHFPESGRNDKLYLRVDDAEFGDEPKVISLADICKRETNVSTAARAKSLLEDIRLMHRPNHTRGFFQLKDLPMQLTENGPVDVEWAENELRRSRILDLDNSSWEFLTEYFPPFTTN >Et_9B_064610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1749496:1753573:1 gene:Et_9B_064610 transcript:Et_9B_064610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSRLAVARVSPDGAGPAGGRRGRPGFAVVGLPAAGRRGRRRGGAVAASPPTEEAVQMTEPLTKDDLVAYLVSGCKPRENWRIGTEHEKFGFELETLRPIKYDQIRDILNGLAERFDWDKIMEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKALGEEMGIGFLGLGFQPKWALSDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEKDMIRKFRASLALQPIATAIFANSPFKEGKPNGFLSLRSHIWTDTDNNRAGMLPFVFDDSFGFEQYVDYALDVPMYFVYRNKKYIDCTGLSFRDFMAGKLPSAPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEESLQSILDMTFDWTNEEREMLRRKVPVTGLKTPFRDGYVRDLAEEVLKLAKSGLERRGYKEVGFLREVDEVVRTGVTPAERLLNLYETKWQRSVDPVFEELLY >Et_8A_057837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8011231:8012547:-1 gene:Et_8A_057837 transcript:Et_8A_057837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEARVPRAALSAAAAAAPRRWPLLKAAAAVFRRCVDTVLYATLATMGFHSLGVVVEVLGRWVCGPGSTVEAAGAAFRSGCWFLARQLLPVATPLLLMRIIQRAAHDGQEQRKGKKKRKKVSMLTATEISATTSNRELPQLPKGFNLGPLYGPAPLYQLMHLAITMKDSEEGSQMWRVGCVLYDVASLGLAISTIFLLRNLVIIVAVPRVKDGDNLM >Et_4B_038404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28861730:28866457:1 gene:Et_4B_038404 transcript:Et_4B_038404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGDVQSPRKRKREHAEGKAKKPHGGAVTKGKKRPVAQGSHGFAGEAVAKKQPVTPREKRLAAKELSESRKMRRKQNYSLEKELTKLWEKMRCHSVSKEDRSKIVSEALRKMDGKYLDIAGSHVTARVLQTCVKWCSQSERDAIFDALQPHMLTLSRKKYAVFLVKKLIKLATKKQFALIISSLHGKIAKLLRHTIGAAVVDYAFQLATQPQRRQLLLELYSTELQLFKGLTEQKSHSLLDTISKLGLQKSSVLQHMTIVIQSILEKGIVEYSIVHTAILEYFTIADKTSASDVIRQLIPLLTEGSTVIDVDESSVATELPKKTKAKKKRLSEPLIVRIMHTREALKIAISCLRHGSAKDRKKIIKSLKGHIMKLALNDFGCLFLACILSIVDDTKLVSKIVIQELAKNLNQLIFDKNGRRPLLQLLHPLGSRYLPPADLACLSYSVPCIMSREATDKVTEVTSESKVDAAADKEHSEGTQIALDSKKDPSQRRHELLIKSDLAESLVQSCIENVGELLRSNFGKDVLYEVTVGGKDNVLDGISNRIHMLHDAIAADAAQPKTEEIEHAFENFHSSRVIRKIIIDCPAFAVTLWKKALKGKCKIWAHGHSSKVVVAFLESPSSKVRDIAKSELQPLIDSGVLKVPDHKHKPVEKA >Et_8A_056614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13482492:13494980:1 gene:Et_8A_056614 transcript:Et_8A_056614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALGPPPSLGSMWGPPRAAASPSSTETSHHPRRGGAAVSSALYGLRGRHYLCSVQFMDALRSSLQMEANSALSPKPLTATGSDDSSVTCKGFCTISWNLKADVQDGYLIFVTGDPVTLGCWESDMAVQLTPFKSDNVWKAEIKVPYGVHFRYNYFIREEKGSSDIIWRPGPEYSLSIPSVGRKKHVIIVQDLWMKTSLAGLPSPSWGSWLMEDAPPEGQLFAGEKHKSTVKVHSSRDTMDHAVSIGEHIILKLGNGTPLHAKLLSERPSTSMQSHATVTDKPDSSNVNQCGMTQLVEEPWILESVLVAKKPVARVKDKKDTKKFASKNNALSGISEDMSQQDQPVEEPWLFQSIVEATGAIVHADGNIEAKDIIKKLRKKEKPPAPLDDNKTTSGEPSSRVILINSSVCSMQRIAVLEDGKLVELLLQPIKNNVQCDSIYLGIVTKLVPHMGGAFVDIGISRPSLMSIKQNRDPFVYPQVVKNSEADPTDDSYDNDENLPTYDDDDDDDASDDEFADEETVDRASTSPAENIMDNDEGIDFMPDSKINIADSAEFEGDISYDEEKDDENDHVESEDLLQGDQSDISDDLKTLSSIQHALRESSDDTHGSRWSQVRKGTKILVQVVKEGLGTKGPTLSPFPCLRSRFWILVSRGNKVGVSKKITGAERTRLKGITKLLRPPGFTLTARTVAAGHSWEELQKDLDGLLSTWKGITEHAQSAALAAEEGVEGAVPVMLHRAKAQALSIVQDDFNEKVKRLVVDSPRTYHEVTSYLQEVAPELCCRVELYKKRKPIFDEYNIEKEIDNILCKRVPLHNGGSLVIEQTEALVSIDVNGGHSMFGQGTSQEKAILEVNLEAAKQIARELRLRDIGGIIVVDFIDMTDVSNRRLVYEEMKKAVEKDRSTVGVSELSKLGLMEITRKRVRPSVTFMISEPCPCCHGTGRVEALDTSFSKIEREICRRLAASRQKSDPEKPKSWPRFVLRVDHEMCTYLTSGKKTKLGVLSSSLKVWILLKIARGFSRGAFELLPYSDEKDNDGQKASSESKEAGSPKLSVFPIKRWMSRAKRAK >Et_2A_016362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23897915:23902426:-1 gene:Et_2A_016362 transcript:Et_2A_016362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIECLVLGSGQDVGKSCVVVTIGGKRVMFDCGMHMAYQDQRRYPDFSRILAATGAPDYTSAISCVVITHFHQDHIGALPFFTEVCGYHGPIYMTYPTKALAPFMLEDNRKVMVDRRGEEEQYSYEDILKCMKKVTPIDLKQTVEVDKDLIIRAYYAGHVLGAAMIYAKVGDAAMVYTGDYNMTPDRHLGAAQIDRLKLDLLITESTYAKTIRDSRHAREREFLKAVHKCVSAGGKVLIPTFALGRAQELCILLDDYWERMNLKIPIYLSAGLTLQANMYYKMLIGWTSQKIKDSYTVHNPFDFKHVCHFERSYINNPGPCVLFATPGMIFGGFSLEVFKKWAPSEKNLVALPGYCSAGSIGHKLMSGKPTGIDYKDTHIDVRCQIHQLSFSPHTDSKGIMDLIEFLSPKHVILVHGEKPSMAFLKERIESELGMPCYFPANHETVSIPTTQNLKMSATERFITSCAAEQTKDRLQQGNLIRGNSVSEVNGSVAEGILLMEKHKKPKILCEDELLEALGMDRHSVQFEPTASARIGAADESEELK >Et_8B_060633.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3761864:3762370:-1 gene:Et_8B_060633 transcript:Et_8B_060633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILGDVTEYASMQRITEKSDVYSFGVVVLEILTGRHPLDPTLPGGTHLVQWVREHVQAKRATAELLDPRLRGKPEAQVQEMLQVFSIAMLCIAHRADDRPAMKDVVALLKEVRRPAEGGDEGKEQSACNAAAAAPPAAQQGQRSPARNPLLKGGSSSCSFAMSDYSS >Et_2B_022122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9430608:9434916:-1 gene:Et_2B_022122 transcript:Et_2B_022122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSSSMPMLCPKVFKNDEEKLIKILPVGMPFLPRSVYKAEKMEPGRHHTVCAARGVSNGTWYFEIKVEDPIIIAGWVNENADLTAPIGYDMHGFGYRDIDGGKVNQGYVHRYDEDTNYGPGDIFGIYIHLPRGDVHEPWPPSIVACEGKTHLLVDPRKEVVPGSEICYYKNGVCQGSAFQNITGGRYYPAATTYQHPNQPNCEIVINFGPKFDFFPQDFGGRPIPLPMNELPDRWYKPENSGPAENGLCAPYKVILSSNLSQLAFRIRFLKDQNSSKQRRTVRAMSVRRTKCKALLLLTFITT >Et_1B_009960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14304564:14304916:1 gene:Et_1B_009960 transcript:Et_1B_009960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFAILFGSLALPVQFANSTSLDERKLFLIFCVVELCGYFGRPDKICYCCPNRSRKEYCHLTKEECRANCASCSPKCP >Et_1A_007905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39328403:39332965:-1 gene:Et_1A_007905 transcript:Et_1A_007905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLRPPLPGPSLGARRASPAPAPRRIGPLALGSGRRCRRGMAVASAAPSWLEEVRMEVLEEGGRRNPSVSDSYRPEGLPRPNATVLEAQARVCTGPGQTRPLGEEQAIRVLNTILRSAMGELKDEPVSNAQLGYEEVQCVLKDILPAGPNTNLTAVSEALLAAFLIGQRMNRETDRELKAYCLAFDDELGPPPVADVKSLTHYGEPYDGNTRFFRSTLFVAAVRACYGESCLLHGVEWMPPKGGITEGQMLKFMGANTHLSPAQAKTLLEDENTGFAYLSLQEACPPLHSIIGLREHIKKRPPLATSEKVQQFVRARGRESMVAGFYHEGYEDPLLMLMRRRTVHAGLVVKGEEGALSMTTKERSAHASKGLPVNHCSGFRTPNNTNFSEADGVSRESFRVVVNAQELGFESTETPRTDRSVLKNLELGLAALGGEKGAAYDRIVLNAAMVDHLLGCSGAEDINTALDRAREAVDSGKALRRLMSYIKISHTVS >Et_10B_004345.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4369072:4369311:-1 gene:Et_10B_004345 transcript:Et_10B_004345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWGSGRAKGTSSRESTKAMRSRTTPEPTRQRPVATAPANPSVSAPTSSSAWMMSHSLASARPARLAWNAADRPRWVT >Et_1A_005199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32198086:32198434:1 gene:Et_1A_005199 transcript:Et_1A_005199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFAPADELLVEFYLLRRVRGQPDLFPGLIVDDEAAANTQPWELFDRHGRSDAVPAFFFDHTNGGARPDRRCQGGGTWKSQKRVHEDQMIWRATAAAGG >Et_4A_035489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26704805:26707373:-1 gene:Et_4A_035489 transcript:Et_4A_035489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDQTVAKCPEGLRSPPVDGAAAAAGGGVGALMKGFSAAHDGAVTVSLGPAGALAYSGANQNPLVPRLFGAVNDIFCLFQGHIENIVNLKQHYGLSKTANEVTIIIEAYRTLRDRGPVPASQVVRDLSGKFAFILYDTLSKSTFVAADADGSIPFFWGVDSEDHLVFSDDAGLLKTGCGNSFAPFPKGCFYTTSGGLQSFEHPLHEIKAVPRVDSQGQMCGSTFKVDSETKKQDASIPRVGSAADWSNQF >Et_6B_049707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9203874:9229750:-1 gene:Et_6B_049707 transcript:Et_6B_049707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLVSLQLVFLVCLFNLASATERNIVASLPGFDGALPFRLETRYMTVDEDNGAELFYYFIQSEADPRGDPVLLWLTGGDRCSVFSGLVFEIGPVRFITERYNGSLPRLRYNPYSWTKAANILFVDWPVGAGFSFSSDPKGYDVGDVSSSLHLKTFLAKWFSDHPDFLINPFKIGGDSYAGKIVPYLVQKISEDIEAEVKPAINLKSIMEHCRGEVYSKPINVICAQSLNRFNEESAKRMILKEEIGGLKHPPPRPPMDCQFYGNYLSYFWANNNGTRDALRVKKGSKDEWVSGGHDAIIPFLGTQAWVRSLNFSIDDWRAWHLDGQSAGWMPLNSEFCTLIKAARCVVHCARVSAREMPGHVHALVFLVCLFNLASATEPNIVSSLPGFDGALPFRLETGYVTVDEDNGAELFYYYIQSEADPRSDPVLLWLTGGDRCSVFSGLVFEIGPVKFITAPYDGSLPQLRYNPYSWTKAANILFVDSPVGAGFSFSRNPKGYDVGDVSSSLHLKTFLAKWFSDHPDFLTNPFYIGGDSYAGKIVPYLVHKISEDIEAEGKPAINLKGYLVGNPATGEPIDLESRVPYAHGVGIVSDQLYENIMEHCRGQDYSKPVNVICAQSLNRFNECIYASPGENNESSKRMILKEENGGLTHPPPRPPMDCQNYGNYLSYFWANSNVTRDALRVKKGSKDEWVRCHEGDLPYSRDIKSSIKYHRNVTLKGYRSLVYSGDHDAVVPFLGTQAWVRSLNFSIIDDWRAWHLDGQSAGAVDTLRQSFSQREALLCSGGGFPTNHFDY >Et_5B_043564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11930021:11940094:-1 gene:Et_5B_043564 transcript:Et_5B_043564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AALSAKTIQNAYLAQHRARTRHGTRYPSAFCSLNTRNMHSFQECGLSLADTSAKWLNTTTTSWVSFVQQAKISCNAQGSSVVSSSEKVDFLKLQNGSDIRGVAVAGVEGEHLNLTEPVTEAIAAAFAAWLLNKKEADGVRRLRISVGHDSRISANKLQNAVSHGITAAGHDVLQFGLASTPAMFNSTLTEDEKIHCPVDGAIMITASHLPYNRNGLKFFTSDGGLNKANIKDILERASIIYEESAQGSIKELEEASRGVVTNVDYMSVYASDLVQAVRKSAGDKGNGAGGFFVDKVLKPLGAVTTGSQFLEPDGLFPNHIPNPEDKSAMKAITQAVLDNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMAAIVLEEHPGTTIVTDSVTSDGLTAFIENKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARTQNSSVGSEVLTGLVEGLEEASVTAEIRLKIDQNHEDLKGGSFHDYGESVLKHLENAISNDPNLHKAPKNYEGIRVSGYGGWFLLRLSLHDPVLPLNIEAQSNDDATKLGHAVLAAVSEFSALDVTALKKFVQEQCQTNAPTADQMVTSDVDFV >Et_8A_058465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8471977:8474577:1 gene:Et_8A_058465 transcript:Et_8A_058465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDMWSRSTLAELNGNLVLVHERDGSHCFDLWFLTDAENHVWVKQYSIRAPESVIPANEKVKPLLLLDDGRIAIFLHRKGVLLLYDPTTNVFSKVPTRRLGAVGLYTGSLLNSQNVAKINRAEDKQEFIDIVEIVYRSARKGRGLALCCSHSNVSPPSVPYYQGTMPPPPGRPKLLPHSSETTYHLLHSSKPAE >Et_8A_056444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10144822:10146555:1 gene:Et_8A_056444 transcript:Et_8A_056444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TNFLWNQGSCEKRVKLLKLPRLFYYYYLLIEQHMHKNRLQQFAQRTYQKLPIYNVESEGEYHLFKFKCTVEVGGQLFSSTQSFSRRKEAEQDAARVAYETLVTTDVATVREALELIDQGVVFCKSILNEFAVKKKTTGPSYTIAPQEKPMTLFVASVVFDGRTYTGEAAISKKIAEQKAARAAVKSILATGDTCMKGIVKSKENLITAIKSSRNSKDTVAFTRPAAYTAYGGPDHVAPESQHQASLLITVQGQNIVPAIDPSVNPSAKAVTHSRKRKGRAEVSDVNGAMIAKEH >Et_3A_026736.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:17791700:17792926:-1 gene:Et_3A_026736 transcript:Et_3A_026736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSFSDMILSESAWTGGVGGEVPPDLGDGGSMTALERLVLDEALAAAILELQQQHAPSKVLQVPAAMAAGVGDVAFAAMATATPAYADVDAGVLQRQQHRHRHQGAMAMRPPDYDLTPATAAVAAVTSSPAAFTTADPRVLQSGADTTTAVTCEDGGGGRRQQRRPNRKRKAAADAASTQESSLCSLLASTTAGDGGGGGIQIAFSTSAPAAKRSKPSTLSSSGSSSISFDGRGNAGGADDPAAAYEPDTEALAQVKEMIYRAAAMRPVSLGPGAGDEELQAAAGERPRRRNVRISSDPQTVAARQRRERISERLRVLQKLVPGGAKMDTASMLDEAANYLRFLKSQVRELQTLDRRNYGSNAVMAGGPAVSFNGGNGVPPFAFPGPAAAETLRGGLAAGGGVEQQI >Et_2B_019046.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27168272:27168937:1 gene:Et_2B_019046 transcript:Et_2B_019046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSAEQQDCSSQHERERHGKQQIHVMKYHRLRTFFRQPLDHPLDLVELFRLHNRVSFGNGIPSRRPIVKFAVMLVGIAVECTKQVPASAVESCKPNSLPTGGAPVWAFLPFRDHRCPLAGSGNISGLHGKCLHFNLSNSYRCVARNNRFFNSVSTIDDAINRGRSQLGLLLLHYHLLVALGAHVHGSCTAEEATVIDAEDGSLGGLASSLLVLHGFLNWK >Et_2A_017824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6713220:6719920:-1 gene:Et_2A_017824 transcript:Et_2A_017824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGLGSKRRVAEGDEGAEEEEEEYEVDVMRDKLDSSRGSRLALFGSDLRLGRFRPMRHRRRRPLAAGTDAEGFFHGLVIHPDNKWYRLWTRFILCWAVYSSFFTPLEFGFFRGLPRKLFFLDIAGQITFLIDIVVKFFVAYRDPDTYRMIYDPNAIALRYCKSSFIFDLLGCFPWDAIYKACGSKEEVRYLLWIRLTRALKVTDFFWQLEKDIRVNYLFTRIVKLIVVELYCTHTAACIFYYLATTLPASMEGYTWIGSLKLGDYSYANFRDIDLATRYITSLYFAIVTMATVGYGDIHAANVREMIFVMIYVSFDMILGAYLIGNMTALIVKGSRTERFRDKMKEVIRYMNRNKLGKEIREQIKGHLRLQYESSYTEASVLEDIPISIRAKVSQTLYKPYIESIPLFKGCSAEFIQQIVIRLQEEFFLPGEVILEQGSAVDQLYFVCHGVLEGVGIGEDGQEETLLMLEPGRSFGEIAILCNIPQPYTVRVCELCRLLRLDKQSFTNILEIYFVDGRRILSNLTENTEYGGRVKQLESDITFHIGKQEAELTLRVNSAAFYGELQQLKSLIRAGADPKNTDYDGRTPLHLAASRGYEDVVQFLINEEGVDINLADQFGNTPLLEAVKRGHERVAALLHSKGAQLSLTNAGSVLCSAVAKGDSDFIRRALAYGADPNCRDYDHRTPLHIAAAEGLYLIAKILVDAGASVFATDRWGTTPHDEGRKCGGRTLAALLDQAKADELARFPERGEEMHPRQCSVFPYHPWQLAGGEARRKEGVVLWIPHTIESLVASAQEKLGVPGAASKLRLLCEDGARVLDVDMVNDGQKLYLVGGEDEDGEEKDGE >Et_7A_053168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8762990:8767558:1 gene:Et_7A_053168 transcript:Et_7A_053168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVLSLASAACAAAAAGAPRPEADLVTGLPGQPAVGFRHYAGYVDVGSGGGKALFYWFFEAEKEPEKKPLLLWLNGGPGCSSVAYGAAQELGPFLVRSYGTNLTRNAYSWNKAVNLLFLEAPVGVGFSYTNKSSDLKGLGDRVTAQDSYNFLLNWFSKFPEFKGRDFYIAGESYAGHYVPQLAELVYDGNKGASRDRVINIKGFMIGNAVLNDATDQLGMVEYAWSHAIISDELYSAVRRECDSFKEEADGGRPGKGCSPALRAFLRAYDDIDIYSIYTPTCLSPSASAGARRPAPSLVAAPRLFSKHDAWHRMKRVPAGYDPCTEAYVIKYFNRGDVQRALHANRTGLPYPYSPCSEVIRKWNDSPATILPILKKLMGAGLRVWVYSGDTDGRVPVTSTRYSINAMGLRPRERKARRSAAAANETGDWGAWRAWYYKQQVAGWAVEYEQGLTLVTVRGAGHQVPLFAPERSLAMLYHFLRGQALPAARST >Et_3A_024279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19115542:19115959:1 gene:Et_3A_024279 transcript:Et_3A_024279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVEDMMDQGSPSSVFDRHVIDGYVNLPFPFDDIGLAREGEPVSFDMEHEMSFEELSEHVGGGGQVVKEMEEEWGGPSLVRTVTFKAFLLAGTPRAND >Et_1B_014095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30530060:30536777:1 gene:Et_1B_014095 transcript:Et_1B_014095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPQERNTRLENMTWRIWNLARKKKEFEKEEACRLLKRLPETEKTRADATADMSEDLFEGEKGEDAGDPSVAYGDSTTGSSPKTSSIDKLYIVLVSLHGLVRGENMELGRDSDTGGQVKYVVELAKALSSSPGVYRVDLLTRQILAPNFDRSYGEPVEMLVSTSGKNSKHEKGESSGAYIIRIPFGPKDKYLAKENLWPFIQEFVDGALSHIVRMSKTIGEEIGYRHPVWPAVIHGHYASAGVAAALLSGALNLPMAFTGHFLGKDKLEGLLKQGRQTREQINMTYKIMCRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRYMPRMVIIPPGVEFGHIIHDFDMDGEEDNPSPASEDPPIWSQIMRFFTNPRKPMILAVARPYPEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMHNMSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLAARTKGAFVNVAYFEQFGVTLIEVRTLIIPTCCTHEQAAMNGLPVIATKNGAPVEIHQVLNNGLLVDPHDQNAIADALYKLLSDKQLWSRCRENGLKNIHQFSWPEHCKNYLSRILTLGPRSPAIGNKEERSTAPISGRKSIIVFSVDSVSKEDLVRIISNAMEVISTEKKSDSTGFVLSTSLTISEIHSLLVSAGMLPTDFDAFICNCGSNIYYPSYSGETPSNSKVTFVLDQNHQSHIEYRWGGEGLRKYLVKWATSVVERKGRIERQIIFEDPEHSSTYCLTFKVVNPNHLPPLKELRKLMRIQSLRCNALYNHSATRLSVVPINASRSQALRYLGVRWGIELPNVAVLVGESGDSDYEELLGGLHRTVILKGEFNIAANRIHTVRRYPLQDVVALDSSNIIGIEGFSADDVKSALQKMGILTQ >Et_10A_000118.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:6075429:6075797:-1 gene:Et_10A_000118 transcript:Et_10A_000118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFGEAKSISGHQTLQLRKRPYGVKGSMYVVTLPALQRCLEDGPDAAERRPRSGFPTSRGTGDGHLEAHHDLQATPARPGKVTRLGVRGSIVPEASRTSYSSFYKERVRDLFSATEKNLLR >Et_1A_008414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7469711:7471092:-1 gene:Et_1A_008414 transcript:Et_1A_008414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARTTAPAPVKPARFSGLGALDAALSLRLHALFLPVPRLLLKALEVAGDGRIWLPLPISLLLLSATPASSGEISPLLVGLVAGLVLDLILVGLVKVVVRRPRPAYNAADMYVAVAADHWSFPSGHSSRAFLVAAFLGGAGGLQHRKALFLWAAATSASRVLLGRHYVLDVVAGACLGVFEAWLSSLFLTFLCSRSSFLKATDVASQDACFKSVRAELDVRTECICTLLQEVWRRKQGLLEEIWSL >Et_2A_018431.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:2859205:2859879:-1 gene:Et_2A_018431 transcript:Et_2A_018431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRRRSPWPDLHPELLGLVLRRLPSVCRQWRRSAVLDGPLPPRLPWLPLLDGTTFLTIPGGETHRLPLPDGDYFCHGSVGDWLFFHHRASGCRLVTNPFSTAKMYLPKLVTGWWREQPWSFVFFKMVLLSSSSSSRRGLSRNSVSAVLITDCLDDSVISICRPPSAYAFRVPRCDYSKIFDVAFYDGNLYALSRTKLFLLELIDSLGTGKPRVASMEPVMD >Et_9B_064637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17214924:17216230:-1 gene:Et_9B_064637 transcript:Et_9B_064637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHVFSGFNFQQSRQIISQDNNPGGPDILTNYLEPMGCCGSSEVDAEEHLDYSAGNVTIVPDYRCWQRKLEEATELGQTIVIKFSATWCVPCRNAAPLFAELSLKHSDLAFVSVDVDELPELVTEFNIRATPTFIFMRDKKEIDKLVGGNQADLEKKFDPYCEQKD >Et_2B_021506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30165523:30166841:1 gene:Et_2B_021506 transcript:Et_2B_021506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEQAFLEEILSLRRDAWECNAMGDFFSPAAAAMDCSFQERHQPPPSVSVLPTFTASYDHHQQQQQPAAPGFDCLSEVYGAAAFAGHNAGAYGGEMGFLDVIEPKAPLVDGAGLGVCKVEPGLTDSGGAFSAGAAPPAPVSKKKRVEGMPSKNLMAERRRRKRLNDRLSMLRSVVPKISKMDRTSILGDTIDYMKELLDRIKQLQEEIDQEQQDAPGVLSVFRELNPNEMVARNTPKFDVERKENGETRVEIYCASKPGLLLSTVSTLDTLGLDIQQAVVSCFNDFGMHASCSEMQRERISADMIKQELFKNAGYGGGCL >Et_7A_052041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:433234:437180:1 gene:Et_7A_052041 transcript:Et_7A_052041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNGMQARTNDASRVHDNVRWSLRTVGAMMNRLDLVQEAERVILNKPRKGLSAYLDAVDKLRSVEYCINLKSSYTTSNNVLKHVDELLSKAAQELENDFRGLLSKCSKPVELECLFNSLPSLEQWLPCESISAGSINASSKDSCGSLIDLNSAYMLPTLINPRYILLLTKLYQKSVQLGCHQQFLKIYREVRGSTLELSLKCLGVEYVTTQEMQNAQAESLDAKIAQWTQFYRIVVKLLFAAERKLCDQIFEGKHTLKDNCFAGVTAKSLSTLLSFGEAVAKSQASPEKLFMLLDMYEATLELQSEVEVVFQGYACSIHRTSAFNLTRCLASTIKRIFNDFKDNILKDSPNSTTADAAVHPLTSYVINYTKFLFDYRSSLMQILEESATGSGTNSDLVCQIMDVVHALETNLESKSRKYKDHCLHYLFLMNNIHYIARCIGSSEVNDLFGDDWVQKRRRIVQQHATQFRRVSWGKALEFLSAQGLTSSLGSTRERNQRSGPIIGYYSSITSRSVIKERFKSFNIQFEEVCQRQMNWVVPDKELRDNLILAIAEILLPAYRNFLKRFGPLVGNSDSSSKYIKYTPEALEDALGNLFAKKKS >Et_7A_051566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20487472:20488596:1 gene:Et_7A_051566 transcript:Et_7A_051566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWLELLLVTQFFSTCTNHLRSSRNECNLFCIDCEEKSIAFCCYCKSRHHSTHRVIQIRRSSYHNVVRVSEVEDILDISNVQTYVINDAKVVFLNERPQVCGCGASIGKTLSSSSHKCETCCRGLLDEFRFCSLGCNLRGMGKDMVMRNLCDNGLNDQAETEGVTGNGNTILNAESKNENPGDNNEEEPPAKRIARRHRRKGIPQRAPFF >Et_10B_002612.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18225795:18225866:-1 gene:Et_10B_002612 transcript:Et_10B_002612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEQHVPILPACPLAWMRNEN >Et_9B_063831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16713356:16714926:1 gene:Et_9B_063831 transcript:Et_9B_063831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHGQEPPPGRGGGGGDGGGLDLILLPDEILGSIISLLSTRDGARTQILSSRWRPLWRSAPLNIDFVGRRMTADGVSCILSQHRGGARRFSLSYMCVSDGLPALLDGWLLSPALGNLQELQFFYSFPLDSPGPLMPHSALRFSTLRVAEFVCCQFPNVATHQLHFPNLQRLALRWVTISEDSLHSMLAGCPALNSWELVGVYKDNMHISIISAPKLKILGRLADNMSGLQLGSTVSMGLHDVRIGTMRTVKILVLRLGNLQLDVVINFMKCFPCLEKLYIKTFLKSTEAMPPPNCQERVECLDLHGNRSHVDFAKFFVLNARVLESMVLDVEPKKRSDYWVKRQRRQLQLENRASTGAQLEFISDVFYDINQKRELSGPCEYRLG >Et_8B_058777.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3549208:3549258:-1 gene:Et_8B_058777 transcript:Et_8B_058777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLRTPGAPFFSSIM >Et_8B_059256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15938751:15940451:1 gene:Et_8B_059256 transcript:Et_8B_059256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGDFSGGAVVPLTKPCRGLVLVRGYFVANPSTGAVLPLPDSETPAKLTLREKTTSGPPPYFFHVSYGLGYYEEIQGRARLLLPGERDYSHGGVGELRGVRPRQHAGSAMAPDRPAAAPARGQGGEARRVPARAAPLPLRRDGGVMTFDVTDETFGSLPPRFENFASVITELDGCLCLCYEESEREDTTIYHVCVLRDYTSLRWERMCRLDQTTWSEPERSLLDSYWIAPLCMYRHHSDDGRRKIMFGTGDCNVFVVELDGSAPQILFKPDDTVIGTCDDDDVPSMGLFNESLVPVGRTIEEMIFSSPTSEIGCRRASSAIGYRRQIEFDDHDGTFFAGRIGLGYDSEIERHVLVHITYKEKDLETRHYERQCKVCYANSYEWRTVDPPPRPVAATPPTFVDGKIYWMSWSTGATCEIVAFDVETEEFEVLRGPPCSHDRGRMTILELQGALCVTCSDRSANTIGIWMMKDFESWAMEYHMEFEEFGRDYLSENTTPLAIDPKDNGRILLSADLSLGYYDPKTAELETIYTAGIPTDGSKLRPIICHESLVCPLDQ >Et_5A_041077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17934774:17937887:1 gene:Et_5A_041077 transcript:Et_5A_041077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPAFRFLARWLLRTRALDLLRSNLCAKEMSASEIQADEASKDTNIRKVGQSGLKIRIRLPPRKRSSDGIQIMSPGISEDSENLTAKNVPEQTDNNTLSTTCTAAEVKVEEASSNLPGTQKDTKSLSSKMVPEQADNDTEGASFMASEENVEEACSNPPGEELCKDVNSNDQSETFSNETPPENSSFVAPSTNLGTMSGVQGKEKRLGKGGEEGNKNILNKVLSPGNSCITPVNESCVGATDKISNENLAITGVYGEVEKNYYGTGPCEDASNNALSNRVLYETNSNTASKDLLEEAAINCPSKNLTTSAVKCEKSNDNPVGNSLFEVRNLIATEKLSAKAQNNVSRNRPAVPANDKTCKKKLRTSVVHATDTCKNTSGAKPFPSVGQDVERSTSAANVEAIKVYKEFEEKVRRTVYLDNLSHLTTEAVIKVALNQFGTVRTVNFLVNYTVPYDIPQSALVEMESEKDAASVVSMLHEFPFMMSGMPRPVRGKRATAVMFNDRPRKPGSKLEFHWVGPTDPDYHNVRKFKLMTKRHEVENLALIRHELEREELLAKQQQDDLNCNYRKLEAVDTVVMTGWVNRLSQIYNM >Et_7A_051797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24918793:24919622:1 gene:Et_7A_051797 transcript:Et_7A_051797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYQSNTRFAPFRDAPFALRGGLGSLNSNLEQAKGYTSSPLGALRPKMSPSGSRPLHTSRPLSSPVANRPLSPHLPLKKPQLSATFSISHRIFGVALGAAIISIPLATKFSVMF >Et_1A_009102.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:29069685:29070869:-1 gene:Et_1A_009102 transcript:Et_1A_009102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGRGSMEWSSMPADLLLAVFALLTSDADRVRFRAVCTGWAAAASAWRPRPWLLGSRTDRSGRNAGAISSFWLSPSGSLAPFSAAVPAGLEFLSSSHGYLALADTSRTSPKAIVLVNPVTGRQIRLPPIGFFKKWLDVATVVLSGDPGAAAEWAAVAVGFPTSCLAYYSSATGAWAKLDFSVTGYAGVERYNGRFYVAFKSQICVCEVDGDAPAVIPLEHVDGEGDGDGSDDEKVPGGGGRRVLETHLVECGGELLLVSVRDGVEYSSDNEMGVGLVVDIGAGGGDARAVEVHRVEWVGDGAVRLVQVVDIGWNALFLGRNRAFALSPEEFPACRVNCVYLVDRQGHPDGVVRVLDMESQWARRDDTICPDDGRRGSPAAGWARRGWFFPHY >Et_9B_064559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16462596:16467744:-1 gene:Et_9B_064559 transcript:Et_9B_064559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMPAVKVEEGDGAAGNWGNLAAWSPSELASEAASYGGGARMSTVLSSPMDSDSGRRRTSGPVRRAKGGWTIEEQKKEHKCPKLTSDLSSLYAAEFLPDRTEVQCLHRWQKVLNPELRKGPWTQEEDDIIIDMVKKHGPKKWSVIAKSLDGRIGKQCRERWHNHLDPQIRKEAWTVDEERVLANAHRVYGNKWAEIAKLLPGRTDNSIKNHWNCSLKKRLGNYNTNSNLPASMHTIQNCLKHVKQPIAGNHIDLNKDPNINLRDPQEIADHSECASHLHACNLKGVKSCSEFLSLSLPTGQPEIPCKALAAEDSAAALAMQGLKLNAVDDKGTEINFLCEEGVMQFGHVTEKIVSSGSARPEGKTSINLCELSLRNESSSFGSLCYQIPKLDDIVPAHSPVFPRHHVQEHCGDGFQSPIGYSTYSPMDGSISDQRSVESILKSAAENFPGTPSILRRRKREKPMDAQESNLKIDILNGDSFHTPLGKCSTGSPHSFKTATFLSLGPPDGEGLSAALGSVDVSPAYRLRSKRMAVLKSVEKHLDFSSDGMDNCSADTVKSVSWNTVCTNSSTDVSSWPEKNMMKHKFGLETLAKDFAHIPKLA >Et_3A_024530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21731024:21737774:1 gene:Et_3A_024530 transcript:Et_3A_024530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFWDLVGFRKPRNRNRRSHRKMNQIRGIGNIAKRWRELNGVNYWKGLLEPLDIDLRRNIINYGELSQAAYTGLNKERRSRYAGSCLFNRKDFLNRVDVSNPGLYEITKLIYAMCTVSLPDGFMVKSLSKAAWSRQSNWMGFVAVATDEGKEVLGRRDVVVAWRGTIRMVEWMDDFDISLVPASEIIQPGSDGNSCVHGGWLSVYTSADPGSQYNKESARYQVLKEIKRLQDLYENEETSITITGHSLGAALATINAMDIVSNGYNKSCPVSVFVFGSPRVGNPDFQNVFDSTSDLRLLRVRNSPDVVPKWPKLGYNDVGTELMIDTRESPYLKAPGNPLTWHDMECYMHGIAGSQGSNGGFNLVVDRDIALVNKHEDALKNEYSIPSSWWVVQNKGMVKGTDVAMFSGDMAERWRELHGSDHWEGLLDPLDVDLRRCLITYGEMIMATYEAFIGEARSPNAGMCRYRRADLLRRVDVSHPGWYAATRYIYATACADVHGKVLLRPFCRQGRARECNWMGYVAVATDEGAAALGRRDIVVAWRGTQRKLEWVADMKLAPTSAAGILGPEGADGSEPSVHRGYLSLYTSNDEESKLSKQSARMQVLTEIARLLDKYRDEETSITVIGHSLGATLATLNAVDIVANAYNKSPYSDARAPVTAVVFGSPRTGDRDFRDIFHRLPGLRMLRVRNQLDRIPHYPPVGYADVGVELLIDTRRSPFLKPHGNESQSHDLEVHLHGVAGWQGERGEFELVVDRDVALVNKYDDCLVDEYPVPVAWRVHHDKNMVKGPDGRWVLEDRDPDYDEEEEEGDDL >Et_9B_066223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7668961:7673231:-1 gene:Et_9B_066223 transcript:Et_9B_066223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVERRHHQFYSRDGPDDGYKLGAYDSSSAVRQGEFKFKAAGRPHRPVGWTSCENTTLLAMSSSSISSLINSSAAAEAAMLSAADTVLLALALSSPSGFPPFSKVSCICSANSRFHSTSPIDGRSVPWSARHFSAVSEYFFRQSGAILPFNSGSMMSCSNPFRWQLRAWSARLTCSLGRLGFSAGRAQSTSKSTTPKE >Et_4B_038520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29635904:29637862:1 gene:Et_4B_038520 transcript:Et_4B_038520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKPTPVRPAPPSAQRIGSAASSPKQTSKASAAGAKARSPAKAPKVSKEKPAAAKKKRDKAEPQAGAKRKKPQASGEAGTPKKKKGKKGGEPEIKPQKEATSTKKQQSSGKSENPAPTPTKKQQPTSRSENPAPTPTKKQQQSVKSPKSATKQQSSGKVEKPASAATTPAPTKKQQKEAKREKQQVPGGKGKSTPTKRKRDGTEPQKEPRSPKRASGDGEAGTPTPVKKKRKDKKAAVPDMGTCSFPMARVRQLMRAEDDTIRASHDAVFLINKASELFLAKFAENAYRNALKDRKKSIIYDNL >Et_3B_028096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10157450:10164037:1 gene:Et_3B_028096 transcript:Et_3B_028096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGGGGRRLPKSSLAPSSAAEATPALDPICRNLDFAFNRRDSDANSLCSSRPPSSIGVSAAPAAPNFSDRATQAAALREVNAFLAPAVTLRPPLPAARDILAAFRLLLERLDYPLQEKEVSFEDDLLFVLRMLGCPFKLTRSALKAPGTPHSWPPLLSVLHWLTLLASVSGDAISSAPFNDLTRYTTQGYSYFIMGDDDAVAALDNEYVNKARTHAEEAVEATRALEKEAQELEEKRNKLTSGPSRKEALEADKAALTEDVHKFEAVVKTWSAKVSEKEEASVNLRKEVEVKLMDVQRLASENKDLLKKVEAQAVNVRDVDRMHREMQLVENDITNLENEKAALEDKRWELDAALVKKLEELEGIAEQCNQALKRLKPSIDFQYSLNAKGSSPAELLGLSYKTELKPALKAYAEENRRISASKLDESVELQKQLQEKAKMLEEKKNNISSWQNKTDEMVARLNSLDREIENDDSRCTADAGQMKNELERKEHLLSTVEKEADDFLKNAEQRFQDAVRKADEETQACAKELLELMDSVADYREFMEAAIAQRKKELNETADYIASLPSKTSSQTSDKSSARERHRYR >Et_1A_009202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33002458:33003683:-1 gene:Et_1A_009202 transcript:Et_1A_009202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSARPRRKKKKAAAAAEQPLTGPASIHDVTDDLLKLILLRLDSSIWIARAASTCKRWHGIIAADDGGAAFLSLSRGLHSPAIAGHYHLGGETKDFIPSSPPPSIDGSRFSSLDFLPGYYNNTSCQVADCYGGLVLLFNPRNLIVCDPLERLWQEVHYPSTRSWDDNAATLIDGEADGGGISLSNFRILYRYDWAVQGRVFSTAEDGDWRLLDMAPAVDLDSYTMAHLAGRVDGSLFLGLKSGRLMAIDNASLDFFQIDLPTSTDPSNKYNNSSFRLRRWHVEPKTVRVVHVNGEDLEVFRLVTGEWTLEHSVPRLSEATRGSPGYREIFDWIVEPVGDGAGSVVLSALHGTQRWFIFSVDLNTMEMAAVPHQTYRGPMSSYRLPWPSFQGVRRSRRIRRRTKF >Et_4B_039188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8558710:8560060:-1 gene:Et_4B_039188 transcript:Et_4B_039188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTCAAPTEDDDIASITTSRRPKPRAPPISIRFRGDLELAMATLQLSSGFIASPSPALSAAPTGARPPSVLPVGRSRRAHSCFPSLALRPGETAALRCPCLVVRAQSRRGKALLPEDPNHYLEEREFILSRFSKILVMDEGYMDSANLNPGSRCEISMDTVHMTLGTNVHVFVQAADDCYNRRVKTNTVLSFLGALKGLGFVSHILFEAALEDLSHVHPRESLSEYAFNYDVKAIHHEFNQKMSELEDGIRNSSTSKQCELLASTIYKGAKSTESFVGLMLSRRQRALAKAIAN >Et_8B_059043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12815053:12818006:-1 gene:Et_8B_059043 transcript:Et_8B_059043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVSVLISWAEHGSAGKASRKSDVFSFGIMLLEVFTGKRSTDAMFVGELNIRQWVHQAFPTELASILDDQLVHDSSCTRDLNDFIPPIFELGLVCSSDSPEQRMPMSNVTVALKKIIKDYTKSNLFVTDSLLNYPLNLSLLSASLEHSKVE >Et_3A_025400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29506409:29511462:-1 gene:Et_3A_025400 transcript:Et_3A_025400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSFLSRALARSARSSSRPPRQGSLHEGYAGLCAAPQRSPMPRGDGGGLGFARSYLTSALGSRATAITGAGKVGGWRFLPASWQSQRLFSDGSNKNYEKYHPKEKKEKPTEDDSDKSDSKKDSNSKFKWNFKEDVVKKFQELLVPLLFLGLMLSSLPRGSSSQEISFLEFKNKLLEPGLVDHIVVSNKEVAKVYVRNSPSSNQSQVGDTHITTSHLPGIGTPSSYKYYFNIGSVDSFEEKLEEAQEALGRDPHDYVPVTYTSEVNWFRELTGYAPTIFLVGSVYLAAKSLRRGINIGSGVGGGARSIFNIGKAQVTKMGKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVAPPGTGKTLLAKATAGESDVPFLSISGSDFMEMFVGVGPSRVRSLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGGHDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDIKGRDQIFRIYLKKLKLDKEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEETQITIQHFEAAIDRVIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNDNLLMTKEQLFDMTCMTLGGRAAEEVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPPREDGFAMSKPYSSQTASIIDTEVREWVAQAYEKTVELIKTHKEQVAQIAELLLEKEVLHQDDLVRVLGERPFKTVEPTNYDLFKQGFQDKDSDKSAEVSDADPSSSPGNVVHLSQRRT >Et_2A_018753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6703492:6711729:-1 gene:Et_2A_018753 transcript:Et_2A_018753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSMSSTRRLVPLAVRRIRSYLRLSTSTSLDHPLDPEAPSAAAILASLRTEHNPSAATLSLLRTDPGLAADLYSLLAEQPGALTLGSLAILYSLAALHRIPPPSASLLSKLLPRFASPTDAASFLRDSLAAGAPAPDLSSFNSLLAALGRARDLNGMNQLFASMQGASVRPNVVTYGILINGLCKAGNVQDALNVLDRMSRPGSDVRPDTVIMNTVLDGLCKTGRLQEAVAFMGRMRPKHGCDPSTVTYNCLADAFCRVGDVGMAFEVVERMEKDGLAPNLVTMNTIVGGLCRVGRVGAALDFFREKRTMWPEVRTGNAATYSTLVGAFLHCNNVALALELFHEMVDQGHPPDAIMYFTMISGLTQAGRLEDACTMASSMKKAGFKLDAKAYNILIGGFCRKKRLREAHDLLGEMKGAGIQPDVCTYNTLLSGLCKEGDFSAVDELLAKMIDDGCQPSVVTFGTLVHGYCKVGKVDEALRIFRSMGESGIKPNTVIYNTLIDFLCKNRDVDSAIQLFDEMIELNVPANVTTYNALLKGLRDEDMPEKAFEVMDRMRTEKCTPDYVTMDILMEWLPDIVKGMWLPAGNDKPTVPLSVEPEQAGSTVAVGLWQRLVLDFYCSTVYLGIFSEVRAEGFRPASLEPTPYLHSLPSLVSSVPLRVYTSILSHEGPFGPYELIEGIMRICIEAVEILVMSHDTTSSIEFNKRQGGEKWTTDDTLFFNRNGSGRR >Et_7A_051226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15849360:15850269:1 gene:Et_7A_051226 transcript:Et_7A_051226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LHIHHFDCHGQPHRVILRLQLLLLLSALHARRIHGVRLALVVLVPAREHEEHDEVEDAEHGEHPVEEVEPPVVEAPRVAASAAATSALLRKKPIASVARNRPVLMDFIRSGASRMKNSSWPTYANASPAPTSTSCGASQNALIISGDASRRFRSTRAAAAMADVERSRPAATRCREVRPCGRPVARRASGTRSRSLSAVKRRMVRKRMAEREPAGTVNPDRPPTRRSMCVACSTVKVVIWEYTVQKRMQVDQIGTSFASIFTSSTSVTVGGRWCSDVARLASMMAALSRHLPMHTRTD >Et_8A_057896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:961709:965011:1 gene:Et_8A_057896 transcript:Et_8A_057896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQKAVELPIHGRATSIWLSLARHSRVQLPAAAAASYQALTELRLVAPVLSEEVTGGTLGDFVTSCCPCLRRLSVMRPIGLPQLVLRSEVLEDLDISFANDLRTLDVAAPNLRIIRLRYCFHNIMTSEEDDVCKVARIVAPRLQEFCFQHYKQIPQLDLDIHDLTSVRRLNNLVLDMHGRYCRDTQVGLSLLAKCPGVQDVHVSLDHREADVPDKGDLFDLSTEGVAANFDNVRNMVLNWAGYFPTRHLVSSIASFLMRCSRLRSLRVNSRSTTKGAPWMNCFCDNHEDKWKFHGNIALKMLEEVIITGFTGADEEMQLPSLLSEGSNSIKCMAISETARKIDIAVLTQKIGQEVESNNTILQKLTKVPCADGGQWQFVEQVALPEDLLHEILSFLGDARDVTRTAVLSRRWRHVWIHAKNLSFDDRHLNRPIAWPGFRRFRDYQLVAGFVDWALAQRGDADMDSLQIHIAKQGEVLTQAISEWLRYATQHVVTSVIVHLTAYAMQQMADVVLPIHGRMTSISLSLSKHRLQLPSVAAARYEALTELSLKSLSFTGNTTLGDFVSSCCPRLRRLHIDQPKGLLQLVLRSEALEDIEIVWACHLRKLDVTAPNLRVVRLESCFQYPAADDNMVARIVAPRLQELGISVLGQGPRPDLDIHDLASVRRLNDL >Et_9B_064699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17808498:17813649:1 gene:Et_9B_064699 transcript:Et_9B_064699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRKALFRAKLRETKEKQQRRIDPSLVRYNEYDQPICRICNITLKSEALWPAHQVSRKHHEAKAAAAAKASAGAGSQGSNAKQEQPAEPQKEKSSTLPSNFFDNRGNKRHSDDTGSEGRSVRHEVSVIQPKSKEASTNKPTVKVDQMAKKGSQTSTNVKGVLPGNFFDYAEDDEAPAPKELTSTSGNTASSNHMQLSVQGIKKCRNCSSKRIIARSDAYKEFEKEIQEDLQEVDDRLEEEEIDAAAEREEYLSLEQQEYRQRVDMLKKQLIESKAARTTKANSKPVGMDMESSSDSSSDEEDDNTDFAVDWRAQHLK >Et_1A_007627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36524614:36530900:-1 gene:Et_1A_007627 transcript:Et_1A_007627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPWRFPAGGDLCPGCSVRHFPFCPPPPLPPHPFPYDLQPPPPPPPPQYQAPFQPPPPPPPMWGPPAPGPRPYELPGREGPHKRMRVGEAPPFDPYDAAPPPPPPQPGRPSVEGERLLGLVREHGHPRLPASPQTQGGRGYPSPYGQGADFANFDHTGRLPPPVPMPHDRHNAFGPGFPPGRGPHENYLDSDHRYHQFHPEALPATPYDSRAWRPEAGAVPPPLEPPFLSHPDYRTMPPLPPANSSLFPVLSDSPATTTLPANAHTLHQAHPMANANCYDGHINNEGSGLIYRLQSEQHLIDGRPTTAHPSLDNAKVTIINACDLFKQPLRASRSDHIVVILRGLPGSGKSYLAKALRDLEVENGANAPRIHSMDDYFMIEVEKKVEDDEGSKSSSASKGRRQLTKKVIEYCYEPEMEETYRSSMLNAFKKTLEEGNFTFVIVDDRNLRVADFAQFWATAKKSGYEVYLLEAPYKDPEGCAARNVHGFTLDAIKKMAADWEEAPPLYLRLDIHSLFHDDNLQGHSIQEVDMDTEDVDDSSVTATSTTAEDSRKTIQEAPHNASYEDLSKPEKWNEEDEEDSDGFKELGQSKWSKDFEDTEKKENAEKNKHALSGLAQTYDTHRKSVSWGDRLEKGGFSIAATKRKFTSSLIIGPGSGYNLVSNPLSEDSTGTKGRTNNENKRRFSEQLRDEGASFRAVFDKRKKRIGVFENGDDE >Et_2A_018046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9430227:9432245:1 gene:Et_2A_018046 transcript:Et_2A_018046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNCPGLSEKKTIVVCRYIGASIDSLCVWGHDYSNVDGSANLKAALRVLYSLAIAQGVLFGYKAIYALVATIKLVKEVGGTYFPDSPNLVSDYINVTVKGCEEDTSFARGRNLVTYAVDLLMESKSREKYISGVSILGSLVKPEKPDLVDQRMMIKQLMTASESFSHMIQRLLDTLVPGSEYSNEIRVHAARIVAHGASDIRLEQFPQGVQRISSLLQTCEEDENSWAAPDECEPPCLLPEQYEQDLLGLLTFWKLADDEDNCRVISNTHGLLSKLTAPLISCRKHSDLPKEWPEIAEVSMELMIRLMAAPGETGAKLRQEISSNEEAIRAVEGIFKCDGSGEELKKLAIWILLQLSVDTSSILANEGSSIMLITTLLNLFLFGMIKVHQPPSHFRQKQSQLVSRYSSSSVHWMKKRTDNANFAAERLLAMLSSGSETRSAAKLILQAAVHDEAVGPLTRAAVRTKNSTHRMSAAGILNHLCNNYTKDDEYLKELKKGMADVMPKVLREVLGYGLTRDEIHQVARENRNQFSALGADLEKGGTSHHNGQEDTGSDSSCHQESQAQYEGTKLQEALITLCTTICERWIKADPGLAREFDELAAKMCSEREKPVKAFTSLVDEAKQLLKKKA >Et_8B_059839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3271451:3273056:1 gene:Et_8B_059839 transcript:Et_8B_059839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYVYGPGRTHLFVPGPVNIPDQVLRAMNRQNEDYRSPAIPAMTKILLEDVKKIFKTTTGTIFMIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFNVDVVESDWGRGADLDVLEAKLRADTAHTIKAIAIVHNETATGVTNDLTKVRKLLDSYRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCASPKAIEASKTAKSLRVFFDWKDYLRHYSLGTYWPYTPSIQLLYGLRAALDLIFEEGLDNIIKRHNRLGTATRLAVQAWGLKNCTQEEQWHSDTVTAVVVPPYIDSNEIVKHAWKRYNLSLGLGLNKISGKVFRIGHLGNLNELQLLGCLSGVEMVLKDVGYPVKLGSGVAAAAAYLSNFTPFIPSRI >Et_4A_034631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:504547:506006:-1 gene:Et_4A_034631 transcript:Et_4A_034631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAKKVEVEATKDIAEEKAVVPLPPAAAAKHDKPDVDDSKAIVAVKDVADEKSAVTGGSTERDAYLQKIVSEKRLTLITAWEESEKARAENRAAKKLAYITSWENAKKAEMEAELKKIEEQLEKKKAAYEEKLKNKLVMLHKSAEEKRALTEAKRGEEIIMAEELAAKYRAKGEAPTKLFGLLKA >Et_4B_036508.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27507716:27508366:-1 gene:Et_4B_036508 transcript:Et_4B_036508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KKQPAKLNVVLFPWLAFGHLIPYLELAKRLAARGHDVTFLSTPRNLARLPRARSGAPVARHQSGGAACAESIRPAGERRVHEAEVPAAGDERAHPEGARRLGRRSSPAAGGSRARSSSTSAVPPLAPGDRRRARGAVRDVLHRAAHDDRLLRDAVGERHRAATRDVGGLHRAARLVRRPIFNSFPPAERVRGVRPRTPVAGHRALSPHHLPELRRD >Et_8A_058346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5466438:5467038:-1 gene:Et_8A_058346 transcript:Et_8A_058346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSWTLITHLHTIGGPCITLLYPLYASVCAMESPTKLDDEQWLSYWIIYSFITLLEMVAEPVLYWIPIWYPVKLLFVAWLVLPQFKGASFIYEKLVREQLRKYRARHFRMGAAAADDQKVYIAKAS >Et_4B_038195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27029569:27033756:-1 gene:Et_4B_038195 transcript:Et_4B_038195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGFLLSPPPLPLPLPGATSTYLTSARHGRRALRPLEHGCRCGRPPETAPSSTSSSGGVGSYDRESAFSPVGLEGGASSYRQTGDAEPGYQATSTKVHASNGDYEGWQKKQDSTDDISKSRSKSRYVHAFGVDLSPDNVAVAIVYFVQGVLGLSRLAVSFYLKDDLRLDPAETAVISGFSALPWLIKPLYGFISDSIPLFGYRRRSYLILSGLLGALSWSLMATLVDDKYSAALSIVLGSLSVAVSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGVVSAYFSGSLVDTYGVRFVFGVTSLLPLMTSAVAVLVNEERLPLRERSISVSGSELIENSKLRIMQLWNSVKQPNIFLPTLFIFLWQATPQSDSAMFYFITNKLGFTPEFLGRVKLVTSVASLLGIGVYNTFLKAVPLRKIFLVTTIFGSALGMTQVLLVTGLNRELGISDEWFAIGDSLIITVLGQASFMPVLVLAAKLCPSGVEATLFATLMSISNAGGVAGGLLGAGLTQLLGVTRDDFGNLALLIAFCNLSSLLPLPLLNLLPDESPDTDSTQTKID >Et_6B_049755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:136949:140413:1 gene:Et_6B_049755 transcript:Et_6B_049755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLVVVPVPADKGAPQMVPELLLFLSCKWSVRRPRVISNGDGAELDLAPLSWLSRTVIPVGDRLLCWSDQFHGVLFSDVFDENPTLRYVPFPIKSPYRFRLCATTATVGGGDTLKLVAMFPRCCCGDVGASQCPTSLHAYTVKTWMMRMDDMAWVMDGMVDSTEIWALDAYKGLPRVQLDDPVVSLDDPNTITFALCEEHHVEHGADSTVRMLMIDTRRKTISSSIFRYPPERRYIRSHYPIPSRVSSYLNSSYPSQGNDGATSSPSKIDAAVNSSEQSFSSLSLEPMHHVSEEEVSLEKKVLMVLEEIPGLARDEMLKAFSILVHDSGRRFRSLLELPKNLRKDWLLIEIKASISHSPSIIMRENSSKQTNPSPSVSTPRIMRRQSSTEHGSSPSDASTAMSSSAEILPSPSASNTANVSLSSRSSVAADAAVAVAISSSTTPASASISASSSSLETTLRRAASSSSRVTAAAGFLDADAGGGGRTVRGDASSEDEEDVVVEPNDVLCLAPSFLTFLLLLSFLEPNKFVTSFMATSSPS >Et_2A_016681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27150444:27155297:1 gene:Et_2A_016681 transcript:Et_2A_016681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGESSDLFTNRKFSGFRSRYLHDVDDGESELGGGALGVVAAREDAVEELAALAELHDEVHPVGVLARLAELHHGAPGAAELRHAPRDLHLPAHVVGVGPHAVQQEAPPPDRLARQRLPRGGVLAPPRHAELAAPELRAQPVPPREVRVRGSGPQDGDVLPVLPALLLRRARGFLVVFGGGGVVVVIVVGGSAAAGVVAVGDGEDGRRAPGAASAQHLQLGDRDGGVAPTHGAVGGRAGAGCSLSPPLAPCCLDRPSPSPPGLIPVLARARSGNAGGVGSVGCPLVSALLPRRPISESETAAVGRAELGATTRPMLTFVDYCARCVVCALKIFDFDVTVTHFRKIRSWAMRSPSLLSQCLAGLLSHDKAAAHCVNIVPEREPHLPSPAVEIVPSKTVHPYKYAGENIEMQGMNIFKGKISVVDMVGLSKSDTVTSKGEGSLKYWESSIDLVNVLKDEIRDGLLTFRSKQVLELGCGYGLPGILACLKDPSAETIRCKTIPNVLANLEQAQDKQNHHQGSPLTPSRQLPQDIHFYAGEWEELHTVLSVIQEDDVDASSGVGLGFCEDDLLDECNSQDGNNICLETSSRRSTKLSGSRAWERGHETSTGDGGYDIVLVNEIPYSASSLQNLYSLIKKCLRPPYGVLYLSARKNYIGSSSAVRQLRALVDEEGAFGVHLVSEPPEKEIWKFFFK >Et_7A_052485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8491671:8496150:-1 gene:Et_7A_052485 transcript:Et_7A_052485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDLQLFFSSSSFIFRSQILSCLVPNFENGNSVVQSFADVKSDTECYEKRNLRIASPAPVVYSDSSMAEGMTNRPSRNRASILGLGVAVPEHVWPQNSFPDYYFDITDSNHMLYMFDQAANSRNKHDFYLAGEKTMIEKRHVYMSDHLLRSNPCITSYKSPSLNLRQRLADATIPKLGARAARDAIADWGRQASEITHLVFCTTVSGCIPGADFEVVKLLGLPLSTRRFMLYQVGCHGGGMALRLAKDLAENNSGSRVLVVCSEVITMALRGPSETHMANLVGQAIFGDAASAAIVGADPSSCERPLFEMVAASQDILPGTEEGVVVKLYEEGIVYNLHHDMPSHISSSIERLVNAALKQAGVEIKDWNEEVFWVVHPGGRKILDVVECKLGLRKGKLEVSREVMRQHGNTLSSCVIIVLEEMRQKSAKRSMETTGRDWNGDYFLDLARASLSRPSSSERCV >Et_1B_013559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9490102:9492160:1 gene:Et_1B_013559 transcript:Et_1B_013559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASPGLLQAPSFCAARRLPAVSRSAARRASFTVRASASESTKYAVLKAFHENRALKIISGLQNFDRSNVASVVTAADKGGATHVDIACDEALIKLALDLTTLPICVSSVDPSSFRSAVQAGAKMVEIGNYDSFYEMGIEFSSEQILNMTRETRKMLPDITLSVTVPHTLSLPDQMRLAELLEEEGADIIQTEGGKCSSPTKPGVLGLIEKATPTLAAAYSISRAVSIPVMCSSGLSSVTAPMAVTAGAAGVGVGSAVNKLNDVVAMIAEVTSIAQAMGLPSRSVSENLRAVRH >Et_1B_012667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34244263:34246711:-1 gene:Et_1B_012667 transcript:Et_1B_012667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARRLFSFHPRSSAHPLAAAATFANPHRRGKHDAVACKATGKTKPKAKAKGGERQQRRALEEHLKRRTRSAAAFDADLYGRRSHEHHVPVLLGEVLASFRRPRPLRSFVDCTLGAAGHSLAMMEAHPEMELYIGMDGTLRAYTHVKNFKYIKQVLGSVDENLAVGSSGVDGILIDLGMSSMQVNRSNRGFSVLQDGPLDMRMDPKAVLRAEDILNSWPELEVGRILRDYGEESNWRSLQKQIVEARETGGLHSTGELVELIRRKCVISGGRQGWIKTATRVFQALRIAVNDELRILEDALHSCFDCLETGGRLAVISFHSLEDRIVKQTFLDLIHGDQEDEADDDDDLAMTGTNDEEDTWFKQRVQGKNGIILTKRPITPSQEEEKLNQRCRSAKLRVIQKA >Et_2A_015120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30966298:30967929:-1 gene:Et_2A_015120 transcript:Et_2A_015120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLILALVSLLVVLDRRRRSAKAQEEHGLRLPPGPWKLPVIGTLHHLAGDLPHRAMRDLARRHGPVMLLRNGERQLRKIAVTELLSARRVLSFRPVREQEVAAMLRACAAAAAASRPVEMRRRLAALVADTTARAVLGDRCKDRDVFLRELDRSLELSAGFNPADLWPSSWLVARLSSSVRRAEKCRDTVFGVLDGVIKEHLDRMDSGAGAGEAEDLLDVLLKIQRNGGLQIPLDMEVINAVIFDIFGAGSETSSTTLEWAMAELIRNPKVMRRATEEVREAFRAQGTVQEQALGELRYLNLVIRETFRLHAPVPLLLPRESQEPCRVLGYDVPRGTTVLVNVWARFEEAAAAADFKGVDFELLPFGAGRRMCPGMGFGLANVELALASLLFHFDWEAPGVSDPAEFDMTEAFGITARRKANLLLRPVLRVPVPGV >Et_9A_061843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17031721:17034078:1 gene:Et_9A_061843 transcript:Et_9A_061843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALNNVRAGGPCLTPPRPAPPSLAGRRLWRRGPARAPLVAVRASSGGGRKDVPGGGGDGEEAEIKASSSESGDTDASTPAGDSSDELTEPHGEAKSSEQIGISNSNYWRDVRASLVRREKELFVDPSAPSEVKTSKGDPAHQLPQKWAHAITMPEAGCVLVATEALDDDSIFERTVIFLLRLGSRGTLDGPFGVILNRPLYTKIKHVNPAFRDQATPFGDSPLFFGGPVDMSMFLVKTDDQNKSRLKGFEEVIPGICYGFRTDLEKAAVLMKSGAIRTQDLRFFVGHAAWDYEQLLGEIRAGFWAVASCSAELISDALTGDPSCLWTEILQLMGGQYSELSQKPKEDNS >Et_4B_038177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2715943:2718802:1 gene:Et_4B_038177 transcript:Et_4B_038177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSRTHVELSGTRDKYRDQTVTGGICEHTLTSPSPAPSHAELVNRGHKNSSSPANQLPFSLSHLNATAHASRAEKRAREQSTGAGACSRAQDRRNQKKPTKMLAAEGRRRGAALVALLAVAAVTARVADADDFFSPFAPMLSPIINSVCKTVACGKGNCTVEQGTVLGYRCECDPGWTQMHVGDSLRFLPCVVPNCTIDRACSNDSSAPAPAPLPAPKNFSLDDPCQFAYCGTGGTCRKGSGLSYHCDCKEGFGNLLNITSMPCFQNCSIGADCAKIGILPSSNSSNSPAPPGSESISNNGNAAVQGSISRKILPALLLLVSLNKLDYCFMASSHPSEL >Et_5B_044636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4229666:4229934:1 gene:Et_5B_044636 transcript:Et_5B_044636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSIHLIPHKHHKPCCLELGKPSHSSLTLREMEDHPVCPVSKSNSEPGVAGSPQKNKIIMSSDSTLAGVLVRKWLSDETIRS >Et_3A_026206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5477340:5482192:1 gene:Et_3A_026206 transcript:Et_3A_026206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPPSPQPELDAAASSPREELDPSPPPPPPPQRRLLRLRCAVQHYEWGRRGAASLVARLAGDTDPDPARPYAELWMGTHPSAPSTLLDDGTPLRDWVARNPDALGPAVAARWGGDLPFLFKVLSVAKALSIQAHPDKKLAEVLHALRPSTYKDGNHKPEMAIAVTEFRALCGFAGIEELKDVLRTVPEVEGLLGHEYAGRILSPKEYEGGNEVKSNLKSAFAKLMIANRDMVSEALAKLINRLNTESKTRTLTDKEQLILLLERQYQEDVGVLATLFFNYVKLSPGEALYIGANEPHAYLSGECIECMATSDNVVRAGLTAKYRDVQTLCSMLTYKQNFPEIMRGVPVQPYVRRYTPPFDEFEVDCCLVPPGELVVISPVPGPSIFLVMTGEGEIQVDSITDGEKAKEGDVFFVPAYTELKLSACGPEFMQLYRAGVNSRFFS >Et_10A_001782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8121557:8121778:1 gene:Et_10A_001782 transcript:Et_10A_001782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TLWLTRNDLVFNDKVIPKPISIAYKALSIMQRWSILTKIKDVEEKLRERINILQPTTANSLPSTGIG >Et_2B_019116.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:11055482:11055664:1 gene:Et_2B_019116 transcript:Et_2B_019116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMAIVTVAGGVLGPVFVLLSRVQPVVEFFRRLCDCLRHPQRRPARPVRAPWKRDAAAE >Et_7B_053948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13691056:13692464:1 gene:Et_7B_053948 transcript:Et_7B_053948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMLLSEFSGLRSAASLPLRRNATSEDFVSTVSFKTYAVGSSGGARRAPTEAKLKVAINGFGRIGRNFLRCWHGRDDSPLDIIAINDTGGVKQASHLLKYDSTLGIFDADVKPVGDDGISVDGKVIKVVSDRNPTNLPWGDLGVDLVIEGTGVFVDREGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNADQYNPDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPNLKGKLNGIALRVPTPNVSVVDLVVQVSKKTLAEEVNQAFRDAAAAELNGVLEVCDAPLVSVDFRCSDVSSTIDASLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNWK >Et_6A_046566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17019836:17030658:-1 gene:Et_6A_046566 transcript:Et_6A_046566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAHDLSIHSTLQLQQGTQQNSKASWKKFNHLKKICQQDNVQCHQNVLEIAPLSPQLRPLEVLDLEDCKELRNSHLKGICNKLFKLNYLSNWKTGITELPKQLGRLLFLETPDIRETDIETLTKDGSFFQKLKHPLATRHNDRGNHDYKESEKALVTVKMPRNIAAMTELQLSGILCSLSIQIIARNENEDVDMADMILGSAQGAVDSLLGRLTSALVDEAQLLGGVRGDLQFIKDEMESMNGFLMHIAEATDDGKEDHQVNAWMKQVAEVAYGSQNCVDRYIQSLGEGTEGGAGEQSFLGRLRQLPRLLWTLPARHRIATQIRELKARALQVGERRLRYGVEAPTKSGARDGAKMHKAPWHGAEDDDTMDEECARLLALAQAMPPDSKSFVIKLLFGEGDHGCSEVQHLKVVTILGLGGNGKTFTAKVVYIDPVIVRSFDIRVWICVEDNESHLKLLTAELRSIAIQADKLDGKEEDELVEMLQTHLEEMGSPGSALLVTGRDKKMATSFSPCECFDHAASLSSYYSSNAIALVQKNNQSIDLLRVLDTIFKKMALVPLAIQMLLCSLCGLDNSLDDTLYNSVRQVLLFIYNDLSSNCKNCLLYFSIFPEDFIFERTTLVRRWVADGMITKRGRLSAFDEANHCFKVLVEHRLVMVKDIDVTGKVKSCVMHDLISESVIEIARDQKFIKSNLSPELDHRLSIRNAFQLQQAAQQLRTAYSKSCCITWNHLIPRYNCKIDESNATEALLESLPLSAQLLEVLDLEDCKELKNRHLKHICNNVYQLKYLSLRNTDITELPKQIDKLRYLETLDIRQTKVQEFAKSSIVLPKLKHLLAGYKTNRTSQDAIQSEVPFFTVQMPKSIGTMTELQVLSHVAVFGSGDELADIVNLLRLRKLGVVLKSPHAFEPCNWKAEQKPSHSVDPDH >Et_2B_018991.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:21888794:21891221:1 gene:Et_2B_018991 transcript:Et_2B_018991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQYYATSSLVIGYALCSSLLSIINKYAVTKFSYPGLLTALQYLTSAGGVWILGKLGLLSHDSFNLATAKKFAPAALVFYLAIFTNTNLLVHANVDTFIVFRSLTPLLVAIADTTFRKQPCPSKFTFLSLVVILGGAVGYVMTDSAFSLTAYSWALAYLVTITTEMVYIKHIVTNLGLNTWGFVLYNNLLSLMLAPIFWFVTGEYKSVFAAVESRGEGWFQMDAFVAVALSCVFGLLISFFGFAARKAVSATAFTVTGVVNKFLTVAINVMIWDKHASAFGSVCLLFTIVGGILYQQSVTTKGNTKEFAKRGPASEQPKDDIDTKEFDEENQSLVSSAKESNA >Et_8A_056777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16603784:16604516:1 gene:Et_8A_056777 transcript:Et_8A_056777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAALLLCASVLFAAAALSQANDVVVGGADHGWKVPVQPDALNLWSSVHRFQVGDNLVFKYDDPADAVLEVTRDDYNRCSTASPLAVHKAAGAGSGRVTVPLPRSGPFYFVAGAPGSCQKGERVVVVVVSEKHSRAPRRGGFFAPAAAPSSMTHFGDAPAPAPVTGGAAAATGSGGALMLGAVAVLLGALLGGW >Et_10A_000163.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:13722900:13723013:1 gene:Et_10A_000163 transcript:Et_10A_000163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLFYLGPQHPSTPQRTCRYFEANRGASPNWHGALE >Et_7B_053457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20521036:20521758:1 gene:Et_7B_053457 transcript:Et_7B_053457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHGGHHFRAALAYASDYEPASGATFDEHAGAGQLECFAFSTASELRRALVRSLAELDAQRAAHQAELRRMESEATRLAALVASAAAEAQESPSPSPAPAPTRLHGGVMDDVLAPDAAADDTELEMALARRLPEKGRLVETVVSAGPLLQTLLLAGPLPLWRHPPPAASGADIPPFNPGPMKTESFSSASAGSSSPESNCSGGGPPPPQALPPFHMSSFCM >Et_1A_005205.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:32864908:32865012:1 gene:Et_1A_005205 transcript:Et_1A_005205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHPSMHRQFSSVPAAQAQELDLYAAAQDLLRR >Et_6A_046771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20416936:20421340:1 gene:Et_6A_046771 transcript:Et_6A_046771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATGGAEPNVKFSTPTGPEAQPIAYFCLNVHGHPSSTHSSGLSELLHGSSANDDELDGSNCGEDPHSVRMVLLAVSMIAGNATGGVPMDRVMRYSPPPTASECVGAVRVSPNDASFLLCRAMSRRMSSDAVAPGSEWTRFSSTRRAAALEARAAAQASIRSTERYAIVAGGRIQKWRGCGWLYIGRYGCAVSSSAAAAAAAAAVSS >Et_4B_039981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7158669:7158952:1 gene:Et_4B_039981 transcript:Et_4B_039981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDEAIAVKLYHVKCLQHVLLLNDLLCLQGEGNKALVSLHRRARGLISHETGPYPMESTITVPKGKELADIAPRDGLAGKARAAGNSKA >Et_1B_010955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15885023:15885909:-1 gene:Et_1B_010955 transcript:Et_1B_010955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAAVDKGKRPMYPEDDPTDAVDAAAAGEKQPAAEEEGAEGRDDDLVLVTESGTELRLSRLAARMSTMLSGMMEGDCAEGRIPVPNVEAGILRLVVAYCEKHAPHYDPESAGRDRDPFPPFPIDLTPATHAIKPVTEPDPDPHGLKAWDQQFIPAGMDNSILFAIILCANFLGIEDLIDLCCTAVADKMRGKTPEEIREAFDIENDYTPEQEAEVRKQNAWAFED >Et_4A_035814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6675973:6679057:-1 gene:Et_4A_035814 transcript:Et_4A_035814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNTTAANAQRKGKGKERHNPDALLTKSHGALVPYHSNPRIDTSFSFSNPPLGSDPVKRRAEFCSGLFEVRPDATGEKDKKPSDGDKIAFCDRCHSDMNGKEMCQEPCDEASEISSQAASNTEASNSSGRVSLDLSLTVAAAAAAAATTTESSTTDTSNGDGAPEAAPVANREPARVFTCNYCQRKFFSSQALGGHQNAHRRERTLARRALRIDAAADVASLPLYGSGLYPIGIQAHASAAHPEQHRHVVAAAAEARPARGLLAPMPFLVGEEELNFGWPGSFRPAAVAAAPAGSAALGSVDSGVVHPGEEPDLTLRL >Et_9A_060880.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:10142095:10142631:-1 gene:Et_9A_060880 transcript:Et_9A_060880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDDLPAGDDRPQEVSLVHLVRHAHPHPQVELVPHALVGEDPLGEGGLPDAAGAGDGDDEDVLPGVDPGQRLRQRVRVFLNLLPDEIGVDVDRARLLARRRRMLPERGRWCRPDEVKHVAPLADALQPPVELLDLPGHGVSEREARSSQGQRRKRACAAHGGPPSGTGPGRRRRRA >Et_9B_065897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1724843:1726121:1 gene:Et_9B_065897 transcript:Et_9B_065897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGKSGFARLVYNGGSLGFVGVVEEPGFCLRLLWRETSPDGVARWAQARDIKLETLLPDVTLPPPSYHRALPNTGVIGVAEGTDVVFVYISTNEGGDVYMIHFNSGRAKKVGPCCGPVFPYTSFCIPGFEASEPGLQSICVHY >Et_8A_058198.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23740331:23740987:1 gene:Et_8A_058198 transcript:Et_8A_058198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVLALLPLSTLGSRAGPSARHSHGSHGGGHNKHSPPPPAAIVSPPPPAASPASAAQLVRSTCNSTAYYDVCVSALGSDPSSATADVRGLSAIAVSAAAVNASGGAATAAALANGTATEQADGTATAALLRTCAGKYGQARDALAAARQSIADQDYDYASVHVSAAAEYPQVCKALFRRQRPGAYPAELAAREDALNKLCAVALDIINLLSANNS >Et_1A_009137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30648586:30651169:-1 gene:Et_1A_009137 transcript:Et_1A_009137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGVGRRRGPPPPERLALLLLAAAYAVAGVGEAKVVHVAEEHRRSMLANGLGSAPPMGWNSWNHFQCQGNGEDVIKETADALVSTGLAALGYKYVNIDDCWAEPERDATGNLVANKKTFPHGIKALADYIHSKGLKLGIYSDAGYKTCAKVQPGSLGHEEQDAKTFASWGVDYLKYDNCNNGDLKPLKRYPQMSKALMKAGRPIYFSLCEWGDMHPARWGAAYGNSWRTTNDIADTWDSMIATADQNEVWAEYARPGGWNDPDMLEVGNGGMTNNEYIVHFSLWAISKAPLIIGCDVRHMSQETYDILANKEVIAVNQGTLFSVVAFEDGLLLTGVRADPLGVQGKKVRMEGSNEIWAAPLSGYRTAVVLLNRHATDAATIIAHWDDLGIPAGTTVEARDLWLVRSSDSSHHDGKWLWARGRVFLTRLLLWLLWLVAQHKTVDARFTDKMAFDVPPHSCRMFVLNPSFDDSQAK >Et_3A_026356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7249331:7251603:1 gene:Et_3A_026356 transcript:Et_3A_026356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATPNHEEESSPLLRPDAAGEKLPPAPAPAPEVAKYCADGVPVVMGEPVASRTVGGVPRESWDSGILSCLGRNDEFCSSDLEVCLLGSIAPCVLYGSNVERLAAGQGTFVNSCLPYTGLYMLGNSLFGWNCLAPWFSHPTRTAIRRRYNLEASSSGCLCCFCMIFPFKSGSFEAFTRQCGCCHGLVEDEEKREHLEVACDLATHYLCHPCALCQEGRELRRRVPHPGFNNGRSVFVMMPPAEQTMGRG >Et_9A_063138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11507455:11510326:-1 gene:Et_9A_063138 transcript:Et_9A_063138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNKNRRKQQIRGRRVRMKSSMRKRSEGVVGSLLTETGCSGSSRQKTQQMFSPSNLTMSSFPTSSPSSSSSAAPGRSAWRRRAFSWSERASSDSMERMAATRPLPATGATEESPEQSGKLHRAATARASGSERQGACHGVAQVEQRMSSTSGGAAARQAMQAPSPSHGRLGMAAAAGGEDRFQLGFAGQVGNGRNGNVSRRGRGEWEDRAAAARIPIYKKLILKFCDGLIAMVSGVTKCVLGIQLFETWDKVATDV >Et_4A_033915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2857914:2859314:1 gene:Et_4A_033915 transcript:Et_4A_033915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIEVSVKITSSTMYFNANRFARTSPPMPPYLPPPPPPFEPIVLPSSCLLDQVTFVVAEPPRLSHFCVHCPGIDTKLGYARTPRIHHSVDNIALISVSFSSAWSHNSEYLFYKAGRHGRRPSLQHLEGIGDYFKKKRDVVVASVGFLLRGDDFVLVALTFSFAHSQEELHVFRSEQNTWTSKVLVLESHQKLYLIERVLDLGSGELGFVNLWKGILACSVLTDDPTVRFIPLPVLLPGNKSHDQSGDARSLRDVVFKDGVLKCVEIEHCYQRIVREKPRRVPRLPDDVSEVDVLHDSDMVADIGIGPKEEEVTYKYTGWRLIAWRRSISSTSWHKDCLFHVDDLRINDPAHVALGHRDGRKLTLKDVLTCVPTLSIDDTNSLYVITDARVPQTLEHRLRVILVDMWKKTLKDVSPVHTEGPNNSSPACSSCSLFKRLDADS >Et_7B_055010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6430083:6434249:1 gene:Et_7B_055010 transcript:Et_7B_055010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSWWRPLALWLLVAAASVAGEEVVVAAGAAPRHAYAAMMYMGTPRDYEFYVATRVMMRSLGRLSAAADRVVIASLDVPPRWVQALKDDGVKVVPVENLKNPYEKQENFNMRFKLTLNKLYAWSLVSYERVVMLDSDNIFLQNTDELFQCGKFCAVFINPCIFHTGLFVLQPSMDVFKNMLHELAVGRENPDGADQGFLASYFPDLLDQPMFHPPANGTKLDGTYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPSAPWFKPWYWWSWPVLPLGLSWHEQRRENLGYSSELPVVLIQALLYVGVIAMTRLARPSLSKMCYNRRMEKSTMFLLSLLRVVAVWSILAAYTIPFFIVPRTVHPLLGWPLYLLGSFSLSSIVINIFLLHPLSVLTTWFGIIGALFVMACPWYLNGVVRALAVFAYAFCCAPLIWASLVKTMSSLQVLVERDAFRLGEPNQSAEFTKLY >Et_2A_016959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29920056:29927925:1 gene:Et_2A_016959 transcript:Et_2A_016959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQLSAVAAGGRPAAAGGRADEMEDVALLDSYDEEMGAPPPAGGPADDGEECAEAHVRVTGMTCSACTSAVEAAVSARLGVRRVAVSLLQNRAHVVFDPALVKVEDIIEAIEDAGFDAEIIPDSAVSQPKSQKTLSAQFRIGGMTCANCVNSVEGILKKLPGVKGAVVALATSLGEVEYVPSAISKDEIVQAIEDAGFEAAFLQSSEQDKILLGLIGLHTEKDVNLLHEILKKMDGLRQFNVNTVLSEVEITFDPEAVGLRSIVDTVENGSNGRLKAHVQNPYTRGASNDAQEASKMFNLLRSSLFLSIPVFFIRMICPSIPFINTLLSMHCGPFLMRDLLNWALVSIVQFVIGKRFYIAAYRAVRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGYRPPVYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELVPATALLLLKDKEGKYVGEREIDALLVQPGDVLKVLPGSKVPADGVVVWGTSHVNESMITGESAPILKEVSSVVIGGTINLHGILHIQATKVGTGTVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITLSAITFLCGWFGAYPNSWVAENSNCFVFSLMFAISVVVIACPCALGLATPTAVMVATGIGANHGVLVKGGDALERAQNVNYVVFDKTGTLTQGKAVVTTAKVFSGMDLGDFLTLVASAEASSEHPLAKAVLDYAFHFHFFGKLPSLKDGIEQRKEEVLSQWLLEVEDFSAIPGKGVKCLINGKHVLVGNRTLIIENGVTIPPEAESFLVDLELNAKTGILVAYDSNFVGLMGITDPLKREAAVVVEGLKKLGVHPVMLTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVVRSLQKDGSIVAMVGDGINDSPALAAADVGMAIGGGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNVVAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLFLRRYRKPRLTTVLQITVDCADEVDRAAALLRRQSAPTTVLHTHLGFRRRIKMDNSRSGFSPQL >Et_10B_003065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16102032:16120807:-1 gene:Et_10B_003065 transcript:Et_10B_003065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFFLSLVSLSTTEFIAPVEYETIGSASIVVSLQLGTGRILENIWKGYNHICISALLYGGTSEKKIPIWVRLLLKLYVPSALSLKILQCENRARGREERSRAGTDPMDPLRQLQNAIQIIQIPFSLVKEDQKLHVQLECDLRYIKNELQLIKEDMKNYKEDELLVQELAYDIEDFAESLWIPGRVKGPILSAIAVNPGETNLLRIKHFRERIDSLKQRQKASPKSGSRQSRDRGGATSPAEGSPSYTREDNLVGIANPKMKLLELLEPADGQDAGQQLKVISILGCPGVGKTALARVVYDHYASPRAEVRQDTVKVFPNNENSSRIIVTTRVRSVASAWSSGSYVYRMHCLGKDDSKGLFWRRVYGCEREPAPALARGSERIFSKCDGLPLALISVAKHLSIDKGDLRRSDCEEVGKALGTDYLAGKKATSAFGDMRRALVHCYDSLPDYSHKTCLLSLSIFPRGHQINSKRLIRRLIAEGLVAAGDKIEEEKAARDHLRELIDRCIVEPVVDISSSSRVVKRCKVHSIMLEFTIEESVTKNFITLIHEHEPIRIVNNKEARVRRLSIQSSAKGKYSEVEDKSAIRSLTMFNSELFDFKSCKVLRILDLEGCTGLNKRFVYGICQLRLLKYLSLRKTDVNILPTQIEELQCLETLDVRETRVEMLPVEAIMLPKLAYLFGKFELPAVRPRKTERLDIFLSEKSELNTLAGLVVTKETQGFVQIILCARKLKKVKIWCKDTPADVPSSISEPNPTRSATPRTGPKKRLFSCFRFQENLKRSSGSNHPALGAPRQLTNLMPALGSAPGSPDPEATSVSAPSGTLARPNVPQQIEYIDLVISLTKRFTDLESLSIESSVLCKQFLAHLRCPCTISSIKLRGALDSLPKCITLSGLCDLTKLQLFSTGLKCEDLSSLQELSCLEYLKLVEHRDGFWDGNFVVKSCGFASLLQLCLEAPKLPKLRFEQGAMKNLTSLHLLCSDISQVQPATEFFILQLADLNTVILHRSATEATIQAWKIAANGHPNKPHVKKQP >Et_10A_000745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16294259:16295705:-1 gene:Et_10A_000745 transcript:Et_10A_000745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTTEPTTASTMALPGEGKSDIDSDWLSSNECLQENADPLLGSRPRGSTSHFWAHNDDQSTAASPPNSPSVATMVRLVTDAGFTVQDMQEVDRLLDDPVISSKATAESTPPSMDKSTTLARTIINVLVQGRRTDPPAGSWKGPLPHPRNSPPLTLGDVHVKDGRSVVVDHRRRQDYSKSKVDDEIQILNGDPVSKVPLKLGRHGPQKEWVVCLLDVENYLTNSGIRIAECKPYLFLLATIASPTPLLFMVLVADVSMEVTMAVVSWVLMEGAVTNFMAIVETSRATGWGAPPPGPMMHQVGNHAQMQGGPMWNNFSQNHGGHFNGNGDFGGNHGGGNSGFMPHNHGNGVAHNLTSNFQGPGIIVPDFNSTLVLVVRHMGMVEVVNGNGEEEEVLVAVEIRVDEQHLISNFQLVAEVKVAGLLLKLQHQSWWNMYLRLAPRLHHRPQHPNQLIKRLSFLNRQRLV >Et_3A_024234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18739059:18751334:-1 gene:Et_3A_024234 transcript:Et_3A_024234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGQQSNKKLRARSRSRSKSRAPEEVIPGEGFRDSNQKKKAIKKAKDSTKKRNKDARRGEADRVIPTLKPKHLFWETLYWEDKQAIKAFVHRYGKLVRWDAVGNPVFVLVVAFLAQRSLRRRYLSWKESSQARLQAAAVTVQSAVRATAARRELSLRKQDRAATRIQAQWRAHRALWSYLMTKRAALICQLTWRHSIARKQLVKLRLGQSDNVQANLQRLHEMVDVLQQAVGDAEARAIAEREAAKKAVAEAPPVIKEQVVLVEDTEKVNSLHAEVARLKDLLGAEMKEVFAAKKALAEAELRSEKLARSLGMEEVKNNRLQDSLKRMEEKASNLDEENIMLRQAVASNPAINSASSENHEESNVQETPDNEKATNGAFKPIIVDREGNIHELLIKCLSEDLGFSIGRPIAAYLIYRCLIHWKSFEEERTPVFDRIIQKITAALEGRDNNDTLSYWLSNSCTLLVLLQRTLKTNGVSSLARQRRRTSPLKGPRENQDANHPDRPVSDGRLISGLAEVCQVEAKYPAIAFKQQLTALLEKVYGMIRHNLKKELSPLLSLCIQAPRTFVVSPKGSCSQGTDLAQQASMAHWQSIIKILTNSLNVLKSNYVPSFLICKLFTQVFSFINVQLFNSLLLRRECCSFSNGEYVKAGLDELEHWCFWLTEEYAGHAWDELKHIRQAVTLLILEEKHSRSLKEITDEFCPALSMQQLYRISTMYCDDKYGTLGIPSDVILSMRTKMIEGSSSPSAHDDINSFLLDDDFSIPFSLDDIAKLMVNVDTSDMDLPSLIQESNGSKSGH >Et_4A_033521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24074503:24080879:1 gene:Et_4A_033521 transcript:Et_4A_033521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHYRPAGKKKEGNAAKYITRTKAVNYLQISLAIFRKLCILKGVFPRQPKKKVEGNHKTYYHMKDIAFLLHDPLIEKFREIKVHRKKVKKAVAKKNKDLAERLLNRPPTYKLDRLILERYPTFVDALRDLDDCLTMVHLFAALPAVDGERVEVKRIHNCRRLSHEWQAYISRTHSLRKTFISVKGIYYQAEVQGQKITWLTPHALQQVLTDDVDFNVMLTFLEFYETLLGFVNFKLYHSINVNYPPILDSRLEALAAELYALCRYMSGGSLAGPQADKNNEAGPQADESELRLAQLQHQLPTNEPGALMHLVEESTADDADDEETKQCKSLFKNLKFYLSREVPRESLLFIIPAFGGTVSWEGEGAPFNELDQDITHQIVDRPTQSHVFLSREYVQPQWIFDCVNARIVLPTEGYLVGRLPPPHLSPFVDNDAEGYIPEYAETIRRLQAAARNEVLPLPGIGDEDLDNSLVEAIIDRNESNDVAERKRKLDMLEKQYHDELKMECEGITFSTLSNTRADNPPDAMDKDDTQSDHEEDANKQAEKDAADISTSLMSRKKQGLYEAIKINKERRQANIETLKKRKKNADSSASAKRR >Et_7A_052442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8086761:8091444:1 gene:Et_7A_052442 transcript:Et_7A_052442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWKQASRLKEQVARQVSPRAPETSPHLTSRSSIPSVSSNTRPELNYLMVKCIKKVSLIFELVNIDITLQGVFKQFGYGNSDNAFTDESEVKLHQRLEKLYLSTRAAKHYQRDIVRGVEGYIVTGSKQVEIGNKLSDDSQKYGVENTCTSGDTLSRAATYFGKARSLIEKERGNMLKAFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAVEVSRRQNRVRESAGNGDMISKLEAAEYKLEELKSSMVGLGKEAIAAMSAVEGQQQRLTLQRLIALVEAEREYHQKVLEILDQLEEQMVSERQKIEAPPTPAADNYMPPPPPSYDEVNGAFASTSVNDSVKSVEFFLGEALDSFKAESEFELNLSAGDIVIVRKISSNGWAEGECKGQAGWFPHAYIERRERVLASKVPHIF >Et_3B_029886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28865015:28871820:1 gene:Et_3B_029886 transcript:Et_3B_029886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLDIEMKSMSSGELLPRPCPSVGSKAADARGGWRAALFLVAWPRARLSPSLGFGCGFFPAVVGLLERVGFYGVQSNLIMYLTGPLGMSTASAAAGVSAWAGTVEVLPLVGALAADSRLGRYRAVVAAGVLNLLSLGMLTVSSALQTPQNGSPSSTSPARCAFFYVALYLLALGQGFHRPAAQALGADQFAAIDDESTARASRSSYFNWLLTPEREEGKGVVVKLLPIWLTSLSSLCSPSRAALWTGASIGTGRTATGLVVPPAALQLFISGTFITMVPVYDRLLVPLGRPPRGRHDAPAHQRRHGHVRRCHGRRGAGGSQSAPCCLGRWPAGPAGRGLADEPVVDSASVFPARSRWAVAEPLLPPPATALDHLGRPVSRRTSGRWPAAVFIIGVEISERFAFSGIAGNLITYLTGPLGQSTASAAAAINAWSGAALMLPLLGAAVADSWLGRYRTVISASVLYILGLAMLTLSSTFVPQQPPDGGNVDSSFSWTMGIHLTFFYMSLYMVAVAQGGHKPCVQAFGADQFDENDPEECASRSSFFNWWYFGTYGGNIITVSVLNYIQDNISWQFGFGIPCIAMSLSLAVFYLGTRKYRFYPPSSDGIFGNIGKSLQAWIRRLGASCFPKSSNDSHSAASSSTGDEGDIEKTCFPDAAAAAVLKLLPIGATCLVYAVVFAQWMTLFTKQASTLDRWLGSFQVPAATLQSLISVSIVVSVPIYDRILVPLARKYSKNSTGITMLQRIGIGMVISVILMIVSALVEMRRLRVARDYGLVDKPEVTIPMSFWWVVPQFVLSGLADMFTMVGLQEFFYDQVPDGLRSLGLALYLSIFGIGSFISSFLVFAIDKVTSKSGDSWFSTNLNRGHLDYFYWLLAVLNCFGLASYLYFSRLYTHKKKGILITH >Et_5B_043490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11114364:11115950:1 gene:Et_5B_043490 transcript:Et_5B_043490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSSQAASTNAAGLEPWRRFFNGANILNAILVTATDSPQEFQRRREIVEQIYSAPAIVSVPGTAAAGKVLAARHVSAEDAAGNNNVAAAEPMAMRQIHIDFYKDVIKTTDTSFVQKVESFIRELRGDHRHHLNTEWRVIQEDGEIRHRTALLKLCMDKCCLVDPDSPLRCHPGCAEGFPSMPAVHVCRRSAVDSDIKRLSEDYKIEVPTWSAYKSWPRS >Et_2B_021455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29864040:29867429:-1 gene:Et_2B_021455 transcript:Et_2B_021455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENATNRQVTYSKRRTGIMKKARELTVLCDAQVAIIMFSSTGKYHEFCSPGTDIKTIFDRYQQAIGTSLWTEQYEVNMQRTLSHLKDINRNLRTEIRQRMGEDLDSLEFDELRGLEQNVDVALKEYHVITTQTETYKKKVKHSYEAYKNLQQELGMREDPAFGFVDNTGAAGWDGAVALGGAPDMYAFRVVPSQPNLHGVAYGSHDLRLG >Et_1A_006944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29242843:29246379:-1 gene:Et_1A_006944 transcript:Et_1A_006944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPLSPRTRAHVHAHVLVSVVFVLVLRVAAIFTGGKIVGALLVADSGPPQSSPRFVLSWASALASQSGGRTRPSNETDARSPCAGFYRRMNGRGSAERAPEPVATARTLFFTTDGSAAGRRGDALCRAAAQPQGRLPPASGVAAASRGAAARAPLLAVKVGPLSVSPMGFGTWAWGNQLLWGYQESMDSELQECFNLALRNGINLFDTADSYGTGKLNGQSERLLGKFIREYEGPIKSPDDVVIATKFAAYPWRLTSGQFVSACKSSLQRLQIDRLGIGQLHWSTANYAPLQERALWDGLVEMYDKGLVRAVGVSNYGPKQLLKIHGYLASKGVPLRSAQVQFSLLSMGDEQMELKAVCDSLGIRLIAYSPLGLGMLTGKYDAYNLPNGPRSVLFRQILPGLESLLACLRRISEKKGKTMSQVAINWCICKGTIPIPGVKTVRHVEENLGALGWRLSPAEISELESAAMAAPKKMIQNVFQTA >Et_1A_005107.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27662186:27662500:-1 gene:Et_1A_005107 transcript:Et_1A_005107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMDGDLIIDSVLHNLFTFILAPMVNRMIDTFCNNLSKNKHRVACCAEAGNLISFHYLKKLSKRHQQKNRRDRGSSMLVPLHEREKQRKFSAITAMLGTPSIL >Et_1A_007254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32673428:32677804:-1 gene:Et_1A_007254 transcript:Et_1A_007254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRLLVLVLVVSLPCFPASDRQGDALIDMKLKLNVTGGQLVDWNQNQVNPCTWNSVICDNNNNVVQVTLAERGFTGVLSPRIGDLQYLTVLSLAGNRITGNIPEQFGNLSSLTSLDLEGNLLVGEIPASLGKLSKLQLLILSENNFDGPIPDSISNISSLTDIRLAYNNLSGQIPGPLFQVARYNFSGNQLNCGTNFSHPCASNMSYQSGPHASKIGLVLGIVGGGIGLLFVVALFLLCIVRKKSRLQEVFVDVAGEDDRRIAFGQLKRFAWRELQIATDSFNERNVLGQGGFGKVYKGVLPDGTKIAVKRLTDYESPGGESAFLREVELISVAVHRNLLRLIGFCTTQSERLLVYPFMQNLSVAYCLRDFKPGEPIFDWSLRKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEYFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHASPEDRPSMSEVVRMLEGEGLAERWEEWQHVEVTRRHEYERMHRRFDWAEDSIYNPEAIELSAGR >Et_10A_000421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:135177:138792:-1 gene:Et_10A_000421 transcript:Et_10A_000421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRGAISGCALLLSLTFLLPASRALPLCTDARAPAMLNTTLKFCASYESGTSSCCDTDADAALSKQFDAMDVSDAACAAVLKSVLCAKCSPYSADLFSTGPKIRTIPFLCNSTTSATSAQSKETTQDYCKNVWESCKDVKITNSPFQPPLQGSTPLLKSSSSKLTDSWQSENDFCTSFGGAPDGQSVCLSGNAVSFNTTKPSPSPKGVCLERIDNGSYLNMAPHPDGSNRIFLGSQAGKIWLATVPQQGSGGALQFEEASPFVDLTDQVHFDSAFGLMGMAFHPDFSTNGRFFASYNCDRTKSPSCTGRCACNSDVGCDPSKLGTDNGAQPCQYQVVISEYSAKGSSSNVSEVTSADPSEVKRIFTMGLPYTSQHGGQVLFGPNDGYLYLMMGDGGGKGDPFNFAQNRNSLLGKIMRLDVDNTPRETEINNKSLWGNYSIPKDNPYADDGDLEPEIWALGLRNPWRCSFDSERPSYFYCGDVGQDQYEEVDLISRGGNYGWRAYEGPFVYHPPWAPGGNTSLKSINAIPPIMGYNHSDVNKNIGSASIMGGYVYRGSTDPCLYGRYLYADLYASAMWTGTETPESSGNYTSTEIPFSCSKDSPIPCETAAGSPLPSLGYIYSFGEDNSKDIYVLTSKGVYRVVRPSLCDYTCPTEKAVTPPGPSSKAPAMGTGKQMGALLLSAILMFWVLVR >Et_9A_062483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23442183:23443973:1 gene:Et_9A_062483 transcript:Et_9A_062483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMVMVKNEIENFSGSAPAVMINASEGGEASQVVRRRRREPALLAPISGGANSSGIGKPLPSITVKRSSRFRGVSRHRWTGRFEAHLWDKNSWNPTQRKKGKQVYLGAYDEEEAAARAYDLAALKYWGPTTYTNFPVMDYEKELKIMENLTKEEYLASLRRKSSGFSRGVSKYRGVARHHQNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYKGVNAVTNFDLRSYITWLKPSTPVTFNPEALVMQATPAEQLLQTETQMLPRGNPFLLDHNALAGSSSSSQEASMISPGGMRKRGSSTALSLLFKSSMFRQLVEKNSDAEEAAGGVREAGAHPGEAYEYHNFFQADGPDMCDLFSSGCGNAPNAFHGEFACYDDGESAANWNGFGNMSSLP >Et_4B_039279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9389798:9391098:-1 gene:Et_4B_039279 transcript:Et_4B_039279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLISTAVHDRLPEKYVRPEAQRPRLDQVMADAGILVVDLANPDRAAVVAQIGEACSTHGFFQVLNHGLPAELMMVMQAVAYEFFRLPSLPAAEKAKLADPAKKMSLSTSFNVRKETVHNRFMMIKLINNQPRFRQTCHREIVSRYSRELQELGFRLYGAISESLGLDEEYIKTVHGEQEQQVAVNLYRLAPAVAYGLQARTDPNALTILLMNQQVAGLQQVLKEGSWIAGNPQPNALVINIGDQLQTLVRQSRAL >Et_2A_014882.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20128313:20128714:1 gene:Et_2A_014882 transcript:Et_2A_014882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRGGKTKRQTSQATKTEDVDAGSGNDEAVIPAYKRRGRPHKHFKADSEEEGDSVKVEEVEDSDSAKPSVPSSSVENGGKKRRRRRQQRLGSDSMVEEKGDEPVRQAGFRHHGSRRKSTPRRAAEAGVECN >Et_9A_061573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13920373:13930125:-1 gene:Et_9A_061573 transcript:Et_9A_061573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMINWGSKGSLLKYAQQTACVGLQLPASKFSFRIPSQLTCICWNEEKLSNPFYTPYRDEQIFFSENATVPGTLTRKLMYHLRDLHVSYDGTVRSSYGQHIMQFSYDSADDMCCDHGPAGELGAPVGSWAACSISEAAYGALEQPEVFKCHKAANSRDHVGLLFLSKKLKQFRFGLEYASLEVKSHLEQVHFLNLVETIMIMYDGCEKARKGSPWTTHFHLSQEIMKKNGLGLRSVVKELTKQLNSLIPLVRISKGKCSVGNECIKNPACCVSVVVQAESESISQLDDLKRRVIPIILDTLLKGFLEFKDVEIQCQNDKDLVVKISMSEHCKMGKFWATLQSVCIPIIYLIDWERSQPQSTYDIFHSYGIDAAWSYFVQSLRSITADVGRNIRREHLLIVADSLSVSGQFHGLSSQGLKQQRKRLSMSSPFSEACFSSPAQSFINAAKQFSVDNLCGSLDAITWGKEPFNGTSGPFEIMHSQKSHEPTQNESIYDFLCHPEIRNFEKNRKDTCKQSTENTSRWKSAFKSKSSVLADGGTISIDQDFLDAKVGVWDNIIDMRTCLQNMLQEFPLNGFVAEPGKSRLMEALKFHPRADEMIGVGIREFKIGLNPSHPSTRCFILQRNDGTTEDFSDNKCVLGAANFISPQLGSYLKNKLLSSRSSLQHD >Et_10B_003984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8912354:8920832:-1 gene:Et_10B_003984 transcript:Et_10B_003984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKYGGLGVMTAARTADKRAPPASRHPRRPRISTIVIPVRKNSNPRLAFALPIPLAAAATAAASMPRHGTSTRATSAAAESSGGGGKAAPAAARNKKRVRVALGNVTNVAGAGRRGRSAGGSRKVARASANSEKLNSASSAETVKQGRSASALFVSSARGPAVSPHENAIEKQDVHPLKNCAIVHVSNVEPPSERPEFECVVTGGSPRLAPLQLQANEHLSVMEARDGEETKWMTNGPVPMEIDQVCDDSNNNEHPQLCSYHTSDIYTFLREHETKKIPSTNFMETIQKDVNSRMRAILIDWLVEVADEYSLVPDTLYLTVNYIDRYLSGNKVKRQRLQLLGITCMLVAAKHEEICAPQVEEFCYITDNTYFRDEDFALARYTRYKPSELCDCVKELHRLFSVGPGVKLQAIREKYSQHKYKFVAKRHCPPSIPVEFFLDEAC >Et_4A_032940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1590583:1591247:1 gene:Et_4A_032940 transcript:Et_4A_032940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTWDALKESRFVLDYVTKWQTV >Et_9B_065768.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:10701091:10702500:1 gene:Et_9B_065768 transcript:Et_9B_065768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGSLDHHGGGFSFTPPSFITSFTDLLSSAGDMLAGAGDGRSPRFPRAAAGGNGGVPKFKSAQPPSLPISSPSSYFAIPAGLSPAELLDSPVLLSSSAHNFLASPTTGAIPAAAQQRAFDWKHAADMIAASQQDTKSFSDFSFHSNNASFPAFAAKEEEEQQQQQQEPEAASNKAASAAVVSSNGKGGGNKLEDGYNWRKYGQKQVKGSENPRSYYKCTHPSCSMKKKVERSLADGRVTQIVYKGAHNHPKPVSTRRNNNSSGAAAAAAAEEHSGLSGHSAGGGATPENSSVTFGDDDEPDAKRWKEDGDNEGSSGSGSNGSKPVREPRLVVQTLSDIDILDDGFRWRKYGQKVVKGNPNPRSYYKCTTVGCPVRKHVERAGHDARAVITTYEGKHNHDVPVGRGAAASRAPAPVAPAAVAATQGHHPYTLEMLSNNSVGYGAFQQQRVTKDEPRDDLFVDSLLLC >Et_10B_004255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2159669:2163818:1 gene:Et_10B_004255 transcript:Et_10B_004255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAADDMIRRLLAARGGRDAELTEAEIRQLCAAARAAFLAEPALLEVDSPINICGDIHGQYPDLLRLLAVGGHPPSSRYLFLGDYVDRGKQSIETICLLLAYKVRHPGAVFLLRGNHESASINRVYGFFDECKRRFSVRLWKAFTDCFNCLPVAALVGERILCMHGGLSPDLDNLDQIRGIARPIDVPDHGLLCDLLWSDPGKGVTGWGPSERTVSCTFGADKVAEFLQKHDMDLICRAHQVVEDGYEFFADRQLVTVFSAPNYCGEFDNAGAILCVDESLTCAEPSTLHENTAQQYAATLVKGSSSINAHDQQSNQDLLLREPEAFADENLSGTLAYQPHHNPHWLSKKKERQFGSKYKLNPANA >Et_2A_016180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21855194:21855808:1 gene:Et_2A_016180 transcript:Et_2A_016180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICRRFEFICRALEHHVKQHLLNITRIDTLGDKVMKKLLDYSKHGPVVVNRSLGLKSLGLHRKWQAHIDWTRDKNVIPIAISIVSSRGYPNEMAYPGELIYIGSEGKIDDKKHDDKKLKLVKMYTEAIEGGGGMTRSYTYDGLYYVEECKMDGEHGSNMFKFKLQKVPGQP >Et_9A_062012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18866502:18872916:-1 gene:Et_9A_062012 transcript:Et_9A_062012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRDAYGFAVRPQHLQRYKEYAGIYKEEEEERSDRWKNFLARQPEMSGQDVDQNARGGSSVSLPEKTAPGPRKIEIWTPIRSSLSNIEQMMSLRVEKTQHSAEKQDAKDGAHPVKVEQGKLSEDSDDEFYDVDKVDPAQEVHSGDAASTDVGNTSQEEDYISKEELECLVHGGLPMALRGELWQAFVGTGARRINGYYDSLATEAELENNKSLDSSTSEAVHEKWIGQIEKDLPRTFPGHPALDEDGRNALRRLLIAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDDYFDGYFSEEMIESQVDQLVLEELVREKFPKLANHLDYLGLQVAWVTGPWFLSIFTNVLPWESVLRVWDVLLFDGNRVMLFRTALALLEFYGPSLATTKDAGDAVTLLQSLAGSTFDSSQLVLTARMGYQSVNETVLQELRNKHRPSVVSSMEERAKGLNDWTDTNGLASKLYNFKREPEPLVSISDSADQLSDVGDGDANQESDLGNMDDMYGGVTVNSEIDSLPDPKDQVAWLKLELCRLIEERRSAVLRADELETALMEMVKQDNRRQLSAKVEQLEQDISELRQSLSDKDEQEQAMFQVLSRVEQELKIAEEARISAEQDAAAQRYAANVLQEKYEEAMASLAQMENRAVMAETMLEATLQYQSSQQKALSPCPSPRTSLLDASHNPSQEFQPKKKNLLGSFSLSWRDKNKEKQINLDESTTTKLSNNDDEMVEISNKDDERQIETSKPDNEHTAESPKKDGKLRAETPEKHNESPKVQIVASDMNGQHEQMQEIHLD >Et_9A_062570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24087212:24089951:1 gene:Et_9A_062570 transcript:Et_9A_062570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVIQYMKIFLGCVHVSGNIYGDPLSVTSSRQPSDPKSASAPLQRPPPQHAYSQQVHSSWQPSSDAPSGPLGPPPPPPVTGGTVSYADLAAATDGFAEANLLGQGGFGHVYRGTLPGAGEVAIKRLRPGSGQGDREFRAEVEIISRVHHRHLVSLVGYCIHGDQRLLVYEFVPNKTLEFHLHGTGQPTLAWPFRWKIALGSAKGLAYLHEDCDPKIIHRDIKAANILLDHSYEPKVSDFGLARIQAGSDTHVSTRVMGTFGYLAPEYATSGRVTDRSDVYSFGVLLLELITGKKPVLSDEPYNDDTLVSWARPLLTKALGEAVFDELIDPKLEANYDANDMQLLIACAAAAVRQTARSRPRMSQIVRYLEGQLSVEALNAGIAPGQSEEQDERAGEQIRRMRRMAFVPDTTGTLTENMSSSYHQRRRRHGRGGRDGHAGNQHAHGVRRRRLHVCAELQRRRGDEQAHAVATRRRRVTSLPIGPWLARELLLEQGFRLAGVNILGFH >Et_5A_040669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1179233:1180277:1 gene:Et_5A_040669 transcript:Et_5A_040669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISEYIPKQLSAHGHKRSICAADFWPEAAADFDELAGAGASSYPEEEEPVRKRERKTMYRGIRRRPWGKWAAEIRDPAKGARVWLGTFATAEAAARAYDRAARRIRGSKAKVNFPNEDPPPDYDHAATAIGAAQGMIVTEPRGDLVDYDVAVMGMGMGFFHQPPPHYVVHDAVPIAAAPEEAYVHQPHQQPQQNVDAGMELWTFDNIGTAVPI >Et_1A_005959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1658374:1661854:1 gene:Et_1A_005959 transcript:Et_1A_005959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEERSSAVGRVRDQFPVGMRVLAVDDDPVCLKVLETLLRRCQYHVTTTNQAVIALKMLRENRETFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVMKGITHGACDYLLKPVRIEELRNIWQHVVRRKFGNRDRANIDTYEECNKPPNADLDHMHSQVTCGSPDQSGRPSKKRKEYHSEEEEEDEGDESNGHDNDDPSAPKKPRVVWSVELHRKFVAAVNHLGIDKAVPKRILELMNVEKLTRENKYRLYLKRLSAVASQQAGIVAASGGSDAFMRMGAFEGLQGYQTFTPSASLSSFSPQGLLSNTTSFGIHGIAASRSIQIANSNSTISHSLRGASKYHLNLPGNQQGNLAQGLTTSVGQVQLPQKWINEETDDLSTILSTNGLANRAPSTLQSVTNSPLLQQELVECREANVVIQPSVRTSSGSSEHLGGTVGVSSNLMDSRVSHQSCLPLTAYSANGLPMNGSCSNNVGKLGAASSGGTHICSSNDLTTVSRDNKAGAASFYSTILLPPGGDQNSKYLSFGNVSSLRQNTDGMNADNLLDSKQVWSSLLSNSGAHHSMSHRSNNDSLGSRMTGQASASASAAAPQTKFDMFISGGDILTPKNASDFSIPKLHSELSSSSCSFDGLLNSIIKVEKDEASFSDDLGCDFYSLGACI >Et_10B_002471.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:1062205:1062432:1 gene:Et_10B_002471 transcript:Et_10B_002471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRRKQLTGIILYAWWNMWKERNRRIFDSKEAEWTQVAMWTKEEIDQFNLAMKSPILVYYKWGLKKLMATNSM >Et_2B_021579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3264912:3265754:1 gene:Et_2B_021579 transcript:Et_2B_021579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KEYSKGDSRYAARDTATVTVEGITPVLARGKPENKFTVCSYAIASQKSYSHILQFTLFVPALLLHHCLLRRLQLLPFHFWAYFIGANSSWVAIPTLIAIRSWKKICLAIGAEKVKTK >Et_7A_050459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16638426:16639164:1 gene:Et_7A_050459 transcript:Et_7A_050459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKFACRSWRAAIEDPGFVRRHLELSRARRTPPSLLVVPRAEDADNASVSEDVSFHRLLLDAARTPGEADVELVFEAAVLETMTNAVLPTHSTRSPGSSSRCRQAPATPAQSRTGRPRRRSATTRRAAGTSWHGTSTRITVSSRTPSPAGTGSSSRSATRSSRWAATSETAPGSSPTSRRTRSAHGTPSTGAPTTSSRTCCSGSACATGRSTRCRFLRARSSTTASTT >Et_3A_026189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5241324:5254890:-1 gene:Et_3A_026189 transcript:Et_3A_026189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQQKRPSPTPSDESSSGKKPKALPAPPCSSISGVVVKQEPVEGQIVQGGMESLARAAAGGPPVNPAVERPRFNVSLEVQLFHCAVAGCYSHLKPPVFKCEAGHLLCDNCRRDGQCRICDGAATFVPCGLEVDRFIDGARVPCPYEDSGCDRSVVYHEIPAHRDACAYAPCPCLAPGCGFEATPPALRDHLAADHGWPVHRLSAYAKAHTLRVPGAEESRRLLVVEGDAPRVFLLSLRRRGEGAVAVSLACVRASAAAAGPHYKCCLWAAAPTPPDAPRPMERRVMLETDVASCAAPGGPAVEAGLWLYVMPEMLHGPSKEMADEQHKRPSPGPIDESLSSSKKAKGITASPFSLTSDVVVKQEPGEMQAAIGGRGSLARVGAEEPAVERPRFNVSLEVHLFHCAVADCDSRLKPPVHKCEAGHRLCHNCRSDGHCRICDRATTFFHCPDVDEFIDAARVPCPHESSGCDRSVVYHEIPDHRDACAYAPCPCLVSGCDVFAAYAKAYALRVPAAESQRLLVVEAEEPRVFLVSLRWRGAALAVSVSCVRKSDAAGPDYKCCLWATAPAPPNARRQMERRLMMETDVESCAAPGGPVLADGMWLDVMPTMLHGSSLSREVQLRIRIEEIRAASASARAANGTKKGRYFSAPGCAKTNKNTKAIQRPNPRRKDQEMPLPPRLNPPPAMVATVLFGKSRLRCSLCSGALKSPIYLPECGFLCAPRALAAHLADEHDASCPPADDVAYSTPRLFALPVLHRRLLRGDDASVFLLAAAPLGVGAGGAAVSLVLVRANAPAPPQFTCTFHNAYPPDTVRLEGGYYSATFPVRSTALADGDGVAPGKGMYFFVPGEFMYEGEASREMLVSMAGEQNKRPSPTPSEESFSSKKAKSLLLPASPCSPMSAVVVKKEPGEGQIARAAAEQQGDSAMVVEQPRFNPSIEIQLFHCAVADCFKPLTPPVYKCEAGHRLCNKCIRERQCRNCNGATTFFHCPDVDEFIGATRVPCPYESSGCDSSVLYHEISEHRDTCAYAPCRCLVPGCAFEASPPALRDHLAGEHGWTVDRFPTYAKPHQLRVPTATESQRLIVVEGDTPRVFLLSLRRRDAAVAVSVACVRKSDAAGPDYKCCLWACAPPPPDARTRIERRMMMETVLASCEVPGGPDLDDEMWMDVMPVMLVGPSREVQLRIRIDEIRPAPASARSANAPPGFRPTLPRTRGSLARSARLAAAEAVKTSESPSTTEGAARSGSKRAREPLQLFRSPLIKDEDQESDAASEGAGAAVPSETPSPAAAAAATESVRVGKAMLRCSLCSHTLKKPIYHVPYGTPLQLVVPVPDEAAPPHRRMLRGVDDASLFLMAAAPLGDGAAVSLVHVRANAPAHPRFMCTFYADAVAPPEAPGLEGGYFFATVPVRSTALADGDGAAPEKGLYFAVPGEMLREGDASRELVLSVRIDRSLGPETRGHDDH >Et_4A_033713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26060181:26065363:-1 gene:Et_4A_033713 transcript:Et_4A_033713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGAATPYTALAQKAMSRHFRCLKDAIAAQLRHTCELLGEKDAGTSSGITKGETPRLRAIDQSLRQQRAFHHMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMIEEMYQQECRELDGSSAGGGGGESAGNDPSGAGDAHSPTTTGAAAQLQQQQQQSAMQHGGAGRHMQEHGTPPGMMAHKPDPGAAGPSAAEVAFVGIDPVELLGGDAHVGGGADDLYGRFEPGVRMRYGPAAAGATAGDVSLTLGLQHAGAGNAGPDGTGRFSLRDYSGC >Et_4B_039371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12032499:12033426:-1 gene:Et_4B_039371 transcript:Et_4B_039371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKGGARRVVVAVDESEESMYALSWCLSNVVSAAKAAKAPPPAVVLVHARPPWPLCYYPAIVDGADDAEYVLTQEVRDSVDRYMASAADTVVTKAKSICTAFPNVRVETCVEKGDPRDVICGVAEKAGDTRRLRLPARLARDHDNCGKIGNARGLLAKIIEFTHASPELLGNPYASELQVRAVKRALQLVKMLVYATGNTGRALRRDVAENVFSVSSLRGILQHGHQHRDL >Et_5A_040265.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:9056041:9056729:1 gene:Et_5A_040265 transcript:Et_5A_040265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKPVLICCGATVAVLVVLAAVFVALYFTLFRPRPPRVVATVVGTETSAFSVLPPTLNLTMHVEVTVDNPNYASFRYGDVATVVRYHGADVGQSLVPAGEIGARTTQTVAATVEVDTVKVAFTPYFPGEAILGALPFETDTTVAGKAVVMNTFKISASSVVVCQVEVYPLKNNATAVCTSTVHMRRL >Et_9B_065064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20952862:20955666:-1 gene:Et_9B_065064 transcript:Et_9B_065064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESENQQNPAEAAPAPARSIPKEARQLLHELAAAWEDVADCRALEVVPLKGAMTNEVYQARWATGAGEERKVLVRVYGEGVDLFFDREDEVRTFECMSRHGHGPRLLGRFRNGRVEEFIHARTLSAADLRDPEISAIIASKLREFHNLDMPGSKDVLIWERLRNWLRTARSLCSSDEAKEFCLDSMEKEITELEKEFSGESQCIGFCHNDLQYGNIMIDEDTKLLTIIDYEYASFNPVAYDIANHFCEMAADYHSEKPHILDYDKYPDINERKRFVQTYLTSKGEEPDTEEVENLINSIEKYTLANHLVWGLWGIISDHVNDIDFDYKEYARQRFEQYWLKKPEILTSQIAE >Et_9B_064153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11906498:11917857:1 gene:Et_9B_064153 transcript:Et_9B_064153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVGSSLSALKRHGVDAQMMNIAIRSASTSVPQSSSGGFWTWLTGARSNALPPPDFTLPGVTIPPSLPDLVEPGKTRLTTLPNGLKIVSETSAGPSCSVGVYVDCGSVYEAPETTGASQLLKKMAFATTTNRSQLRVVREIEAIGGSVKASASREMMSYTYGALKTYMPEMVEVLIDCVRNPAFLDWEVKEEILKLQTELAKASSNPETLLLEALHSTGYSGALANPLIASGSSIGRLNADVLEYFLAENYTAPRIVLAASGVDHDELVSIAEPLLSDIPSVTGSRPKSTYTGGEYRRAADSSNTEVALAFEVPGGWLKETDFATASVLQTILGGGGTFSWGRPGKGLHSRLNHLVNEFDQIKSISAFKDVHSNTGIFGIHASTDASFVPKAIDLAARELTSLATPGQVDQSQLDRAKASAKSAVLTNLETKASTTQEMGRQVLAFGERKPVNHLVKAIDAVTLKDVTSLAEKIISSPLTMASHGNVLNMPTYESVSGKFSSNWGLFHQPVIQGANREFYQAALHQLVQHGSSRRVPRLTRGGLHTTISFQFEIRAYKVYSGLTAMKVFLCASLVYLKNPNSFACNKSSIT >Et_1B_012698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34566716:34568014:1 gene:Et_1B_012698 transcript:Et_1B_012698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVDDDALAEILLRLPLKSVLRSRAVSKRWRRVATSPAFVSAYSRGQPLEVLQRARMLGPVANGGNGGLIPSACLALGDTLHWSRHPEAGDTGNMVAFDTASEAFRLIAPPPALLVGHDHHVRQFDMDGTLAVSAVAAGSPRRMDVWALAAVQDKNHHGSCWSHRLRVDLPPRLLPPPGSFQYPANDEAVAVVDDVLVVVGSGWVLLYDIDNGKVRSMLDHSGDIGNVTRCLYRASLVPLPEPLPFCGGPNGDLSVRHCPPDDPYMSLYGSDCGDWVTHRL >Et_9B_065745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1039772:1043609:-1 gene:Et_9B_065745 transcript:Et_9B_065745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDDGPAPAGGGVGAGRKFWRSASWSASRAAAEPPPDAAASGTGGQGRRVPPPPPLTPRSMSAKARSCLPPLQPLAITRRSLDEWPKAGSDDVGEWPNPTTPGAPTEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQFVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSQYAPLHLVPKMLNDPSPAALDSRGAFIVHVLSSIYVWVGMKCDPVMEKDAKAAAYQVVRYEKVQGHIKLVREGLEPQEFWDAFSSTPLNSDRNTKVSKDQIDSASKSNPGSRQVESYDADFELVYKAITGGVVPAFSTSGAGDETHLPARESSWSLLRRKFITRSLSRVHSDSALIRDFDPRADRVQHLAAEASTSPPFLSPSSLSSDSSVSSKYSSDSPSLSPSTSSPPSLGYSPASSNLPHALVPSSRSPLSQSSNKEPIKPGLESVRSPSKTSSIAERRGSFLPLKLPSLQKDLVLPPRVPSSIRRTEEVTDKSNTNGVKQMTRGEYESSSDGDTIDWQQVADDFLRLKGLSNALPVKVFKEHETEDLLEVLNAS >Et_4A_034481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3590678:3595997:-1 gene:Et_4A_034481 transcript:Et_4A_034481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSMRLQPAGDEAKASRRPASILLDQRVHISNSINQLETTLVTAESRDGHAINLSLRIHEPPALSFFCLRCHKVPDSDSDAEFQDWAEVVGAEGRFVLLRATRSPRSEPLMESKSVDTFDSPGSDARRPASILLHDLAHFADFRIDHPPDITDNCNETTATAKSIGGHTMAVSFWIANPPDMSFFSIVCSDPPDSNSKGSYFRIHPHVVGAEGRFVLLRARFFFYRSPDEYFMYKASDDEPPSLERVPPPTEADDALRTVREFGVVPCGNDGHYLLVALCDAHDAPMDYKLHIYSSEKKAWSSTTLPNPCPEVKRIVPEKVITIGEGVLGWVDLSCGLLVCNLSQDQPDAHFIPFPEPMPENRDKLRASPTSVHSARLFRDVTCINGVLKFVEMEHRMIEIAPEKPSDPSDKDLLYDSDLILSLKRKNMDEKPKQTRLRYGWRAVMWTRMILSNCWRKGCTVDVADILVDKSTESGVKAKTSGELMFWDLYSTFPTFTMDGGDILYLKSTTEPKDYNGWVFAVDLGNKTVKALGAFSLHDYGPSEQAFRPCTLSRYLNMTPGIKVSAFKVTQTGSSANGPNNEPTSCKWRSKLQRQSAKEVDRAQSKAQIVVQNDHISVARPHPIEDYNVESTIKLRRQVCHRKNLSTNGIGPIKIIRSRHASASRMELATMGIRSDPLPITHIPMVHKQTMATTNRSGSSIVLNMPVHLGSSHLQWCMVVFGFLKLKPPSPTPPHGTPGRNVAPAIAASIRHLHSVDGELQATRQCRAGAEKERAAASKVGLSTDELRRAARRRARLGCAKELGWAAREDLVGPRGGEVTLGCRGGEVRLGCRGGEVLSSSME >Et_6A_047967.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:26484131:26484637:1 gene:Et_6A_047967 transcript:Et_6A_047967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALITDEIKAKAEVYYGDEICQQCTQLLLKEAGLPNGLLPLKDIIECGYVEETGYVWLKQKKRVDHLFQSVGRVVSYGTEITGYAEKGRIKKVKGIKTRELMLWVPVEEIALDEPATGKIICKSIVGISKTFPASAFHIPEMENVNMNCAAPKPVVLMERAQQVVRNN >Et_10B_004149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15810400:15812764:1 gene:Et_10B_004149 transcript:Et_10B_004149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPEWSWKADLASPKIPFPVPCQSALDNFGEVVELVAGRTVALFLDYDGTLSPIVNDPDRAYMSDEMRRVVRRAADLFNTSIVSGRSRRKVTNFVQLEDLSYAGSHGMDIMVSTKHTASASTGESEIETEDEPCLFQPAAKFLPLMAKVKTALEEAITGIEGATVEDNCHLEVKKKVKRVLKKGNDFADLKLTFGKMVLEVRPDIKWNKGNAVVFLLKTLKLDNPGEVCPIYIGDDRTDEDSFEVLREQGNGIGILVSEVNQKTHAFYTLRSPDEVADFLRLLIQWKLGQGNE >Et_6B_048840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14341418:14343213:-1 gene:Et_6B_048840 transcript:Et_6B_048840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGASRDGQTALALRLAKHLAPGGGADDASAAAAAGNVAFSPVSVHAALALVAAGARGATQAQLLAFLGAPSAKALAAFGRRVAERVLADRSDSAGGPRVLFGGGVWVDASRGGITDAFRDVAAESYKSDARTVNFTKKPAEAVKTINEWVKKATDNLIDTIISTSDINAATDLVLANAVYFKGKWMDPFDPLSTKPGAFHRLDGSISEAEFMTTGIRWLDVACMDGFKVLKLPYKPGLWRKGTPSPDAVEETQYSMFVFLPDARDGISTMVDVVTAAPAFLYGILAEMKNKPVHIKLPKFSISFNWNGLGPNLRRLGLSLPFSAEAGDLQGMCVEDDVAGSRRPTFLSKVVLEFAQDHLLRN >Et_2B_021373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29046201:29050872:-1 gene:Et_2B_021373 transcript:Et_2B_021373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRESGTKVHQANIQAAKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILREIDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAQAFIDKNYHPTVICRAYTKALDDAIAVLDKIAMPVDVNDRAAMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGVDLGQGLREVDIKKYIKVEKIPGGQIEDSKVLKGVMFNKDVVAPGKMRRKIVNPRIILLDCPVEYKKGENQTNAELMKEEDWQVLLEMEEEYIKSLCAQILKFKPDLVITEKGLSDLAMHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTGAGLFEVKKIGDEFFAFIVDCKDPKACTVLLRGASKDVLNEVERNLQDAMSVARNILKNPKLLPGGGATELTVSATLKQKSSSVEGVEKWPYEAAALAFEAIPRTLAQNCGLNVIRTMTQLQGKHANGENAWVGLDGRSGDVVDMKERKIWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASAPKQPQIETEGDADNEQMIPE >Et_2B_018865.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:10491033:10491592:-1 gene:Et_2B_018865 transcript:Et_2B_018865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RISFAANLCTLQEVFEQFCEGHSFCGPYWQHILQYWEKSSRSPDKVLLLRYEEMLRDPISNLKKLAEFMGCAFSKEEEEGGVLDAIVELCSLKALKNMEVNKHGYNKFAVKNDVFFRKGEIGDWRNHLTPDMAERMDKIMENALEGSGFTFNDSL >Et_1B_011636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24476722:24478860:1 gene:Et_1B_011636 transcript:Et_1B_011636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPAVLVSNGAVSPLAPSSAASFLESTSGAYTTARGLLWWPRHLLRLADSARLLAHSHPHLLGLPQPRHIGFSIPSLVNPSVRIAVAEMRSRMPVTDKDDLAITALVRGGASGDGLDVFVHAGAYTPPVFGEAGAKVAVAGKGRDAAAAKYAPWARMRKSMEKMRPPGVTELLLTNDGDHILEGSVTNFFVVCLKDEHQRDEPFSVQTLSQKFEVQTAPISDGVLPGIIRQIVIEVCHDIGIPVREVSPSWSKRDSWQEVFVTSSLRLIQHVESIQVPLLGEDIQSKTWSDISWTAKQFHGAGGITTQIQSEILKRARTEEWDVHNLL >Et_1B_011992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27924723:27929641:1 gene:Et_1B_011992 transcript:Et_1B_011992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WEWKRGSRPPAIHSNQSHLFAVGTSQAAVPRRRSLVRGSPPSPRRGRRASTRGTGAGAIEKAARAGVEQATLTGPELKQSRGIKKPRALAASWVYLGPELASAMHLVSVFFQPSTAAPAHKPFPRGTGGGSPLNFVKFRRIGRHCGSLAMSCKPDPASGSETSGGGDFTVGEKDAVIIVDHGSRRQESNLMLNDFVAMFRVRTGYKIVEPAHMELAEPTIKDAFEKCVEQGASRVIVSPYFLSPGRHWKQDIPALAADASKEHSNIPYIDIMNDRIKYCLRHVAGDVDECTVCSGTGKCRLYS >Et_4A_032161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21788692:21789691:1 gene:Et_4A_032161 transcript:Et_4A_032161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKVLSADAGLLVLRVPHRLWGTLGARYSDHFVYTTHATRPALLHRLPELQLRRDDFQWFRDQEVAILSCGGGDDGYVVAALMNRPGWGQEFSLHTCRSTGGEPPERWTSQVVVMSVEAPLRDAVFPVPASARSQEFHVTTKVIVLGGDQGTIGWVDLWRGIVLCDVLSEEPELRDVPLPNPTTRGSRISFRNSDPYFFRDIAVDKRSNTIKYVDMVTTRPREPPPFTEPSCFSSCAADWKAATYTMPVPVTSWGDWRRGCFIRSDDLELPVDNPEYCNLLRELVMISTSAVAARSRRPRRRRRRAVCPGKACKWHTPP >Et_1A_007726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37676127:37679807:1 gene:Et_1A_007726 transcript:Et_1A_007726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRAEQLMTGEVKDKQVLVEVLEDDEEKSMDNKALDLNEGIDVESEEGEVGDDDEEDDGDDDDDGGSTTDVAGSRSSSNNSSTNKNSSDKVEGSGEQRVPSVRQYNRSKLPRLRWTPDLHMAFVNAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDQDGRPRGSISSVYSSMDFHFMRGDRRFNGMPFLQRAAALSSSRPDHGGFFASRNGSRPEVSRLYGLLQHRQPQMQSLDFRSSSFRHHEWAMNQREELIARKDVFKPPSAGPPLASSSGLPRNERRWWPFTDTGAAVAAAGEHTRINTDVNFGHSIGSNSRPLSRSLSWAMPGDRHLSFRWRPESGSNVVVGHVSSSAVIAKSSDPVVIDDEAPDAKQIERQKHVEPRASVSPADDACRKRPLPEAQDSTPDLQLSLSPATAVDAKKRKTTTAASEQQEIVDSSELWISLSLSPPTAASMQQQNQPKEKTRGSSGGEAVLGQSTLDLTMSIRALDPNRGPLPCSAH >Et_4B_037556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21087844:21089232:1 gene:Et_4B_037556 transcript:Et_4B_037556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWASDPRVARFQRRDAYTSLDQARRYIADHVLPHPWYRAICVAGRPVGSISVKPAEGDAGSDGDRCPFIRASSVGYRVAHEHCGRGVAVAAAAGGRRSACWRRPASSGRASCAATSCSRESPGTWSCSASSTRIVASRSSSPWQRPTDPKLHHQAMEPDGDARLREGDPAPVVSLRPLGLDDAGDFMAWASDDRVMRFLKRPLCATREQAVAQIRDTVLGHPWFRAVCVDGRPVGQVSVWPYADEGGGRANLGYALAHDHWGRGIAAAAIKMVVGRVFDELPGLERLEAVTDVENVRSQRVLEKASFHKEGILRSYIAGRGGGKAKDAVIYSFLSSEPRVMTGGHWTSARALNNGSFALDHCTYQIQVT >Et_6A_046717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19847043:19847808:1 gene:Et_6A_046717 transcript:Et_6A_046717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGECSAPEARKGAQVRSHSEAERKRRQRINAHLATLRTIVPSAARMDKAALLGEVVRHVRELRAKASHATEGLGIVIPGECDEVGVADEDDDHDRHYYGGRGRQRRVRAWVCCADRPGLLSDLGRAVRAASARPVRAETATVGGRTRSVLELDVDCAAADGSHGGRAAVAPLSTLRAVLRNVLLDRREAEERVAAAAAAVEGYKRPRFSSAQVGEVQ >Et_4A_033930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28087188:28087698:-1 gene:Et_4A_033930 transcript:Et_4A_033930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAARSGLRSLAARAKSATPAKRRMSSAHDDAYETAKWEKITYVGIISCTLFAGYNLSKGHPHFDEPPAYPYLHIRNKEFPWGMDRLPLSHFDVANCLDCFRTV >Et_1A_007132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31148381:31149621:1 gene:Et_1A_007132 transcript:Et_1A_007132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAPNAKGKQTIVSDEEQRRIRRARCQASLWTWKPPDVGWVKINVMELSRRGRGGQRLELSLETRMARCCQAVASRHRYRYSGRYCGRYEHYLVHWVLLSAWRVIFDASSVEEVEGRAILESDCSTIVATFNSKSLIKSSLCVLAVKRKRNQITHELAQLVKCTTHIVVWRGTQVCLCVKEMVAHECTCSVE >Et_2B_021415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29542399:29546318:1 gene:Et_2B_021415 transcript:Et_2B_021415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRQELCRNFQRGRDPSAQTKQPEAASQPPAAHTACTDPESCRQQIVEDFKNETPLWKLTCYAHLRSGPCDIKGDFSFEELRARAYEEGRQGHPLQSIVERERNLQNAKLMEFTNFLNNPRVSQTASFPNVASVPEVKSNTPFGVSQNNGPPVFNSFSQIGASTNFGPGPRMTTGVSTSNLFGQSSQTTFGVSEMKFGVSGPFGSQTRQSSGSLQSSSSSSFSFPKPPAGYHQSPASSNHHKDIDKQSLDLLGGMMAPASATSQTPIEDEENENQDDSIWLKEKWSIGEIPLSEPPKRHISH >Et_4B_038357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28388332:28390330:-1 gene:Et_4B_038357 transcript:Et_4B_038357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAAPRPTVLVTGAGGRTGHIVYNKLKERSEQFVARGLVRSEESKQKIGGADDVYIADIRDADHLAPAVQGADALIILTSAVPKMKPDFDPTKGGRPEFYYEDGMYPEQVDWIGQKNQVDAAKAAGVKHIVLVGSMGGTNPNHPLNSLGNGNILVWKRKAEQYLADSGVPYTIIRPGGLQDKDGGLRELIVGKDDELLQTETKSIPRADVAEVCVQALQYEEAKFKAFDLASKPEGVGTPTKDFKALFSQITARF >Et_8A_056334.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23344840:23345394:1 gene:Et_8A_056334 transcript:Et_8A_056334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEEQVAVIGKGPTAFVNSLAAATPGRTAVVAVVRSAINVEAPGPAMVQKEAGDEVLVAMAPMEVVVNTAGIQMCRQVVEAAITLTASRMAMTVARRRCRTAIEESNLWITTNPRRNRPQRRRRYFFVKSVRKSITLRNVLCFVDQNRQRHSVVWLGTAWASFRYRQQGRHQLKFQHESQLQP >Et_4B_037276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17576163:17577720:1 gene:Et_4B_037276 transcript:Et_4B_037276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLAEQHNCSQLGYMALLNMLGPVMRTDGFKHLIASCPLVMKEILDKMSHIESKLSKTIDVDWSATMLVLAEQHNCSQLLQACIGFMASPNMLGPVMQIDGFKHLTANCPLVMKEILDKIPPFPLSLSHTHTHTESLRVSSAKPCIDVEWVAIMLFNTTAHGYDELALGLVLPNMLGPVMGTDGFKHLITRAPLGYEGDFRQDVPCSQ >Et_7B_054441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21402870:21407497:1 gene:Et_7B_054441 transcript:Et_7B_054441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLLDSAFQVDRTYVKSRKVPTVQGALLKLFYPDMIGPPSNRRVAKSWKDYRWSLNDGTPSAAEIIKREFWLRFRCPPDVDPQKSDSILDITTTNLEAVKKVYQKESVEEEEDEDGNLGPKSKELMDAKPEDLATQEAWYALCLYWSTPSFRKKSVRGKENRLAGGDIVYHCSGSRSLTATRQFLKIRDGVDPGQIGAWHYQHRMQQGSNRTLCSKKATNIWTRYDTAMTEKSGENWQEEHPDIDHVVVHNIAGAPHGTFAMGDGVIGPSDAVSIKSRKRMHEESYASGAASGASGNRTMLHQVESNPAWRAGIERVLQALAEKTNLDIDALMRPESEPNVEWCDGVERVLQSVDNSNTYRAALEPKDGRPWLGRQRHSLGTLLWRRNKANKKEAKILLSVIQVP >Et_5B_044939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7510699:7513233:1 gene:Et_5B_044939 transcript:Et_5B_044939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGWTPYRSGSCSRTSECPFPSSSSSRLGLHVLLTLAHPANLLASATWDYLFFLRASRFTLLVSAVPCPGGSRGDQRARAARFGSCGTAGHVVRGGFCLKRCASVLFGVVHGPWLVERARINTFSAHVSGVPSRTIAEECWQISGHAVRARCGLWSVEKGTDTKYEGRTKYSGLVYIHSALLHNLKKEDLFKCILVDEQDNVVGHESKYNCHLMEKIESENLLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIQEDYLGVRNAAQRKLLDELGIPAEDVPVDQFTPLGRMLYKAPSDGKWGEHELDYLLFIVRDAKVQPNPDEVADVKYVNREELKEIVRKADAGEEGLKLSPWFRLVVDNFLMGWWDHVEKGTLNEAVDMETIHKLK >Et_10B_003852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6799655:6802420:-1 gene:Et_10B_003852 transcript:Et_10B_003852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSFEEEPMASSPTTASCETCKCAHDGGMAKKEKKRKYELIGYDELPEWLKDNEFIHGYYRCEWPMKETILSIFSIHNETLNVWTHLIGFLLFLCLTIFTAMVIPRDGSSRSSSIGSWGDLVTMANMTVTLRHDALAACLLLPPSAAAAGLSEDGQQICPPNNTSSSLFHHHRAIQIQDDGAALVAALAGTSDPVTRWPLFAYLVGAMACLLTSSACHLLLPHSERAAYLTLRLDYAGIAALIVASFYPLAYYTFLCHPATQRLYMSTITVLGAAAAAFSLVPAFQAPALRPLRAALFSAMGASGVVPIAHKLALYGGAPGAVASARYEALMGAMYGLGAAVYAARVPERWAPGRFDLVGHSHQLFHVFVVAGAYAHYLAGLEYLKWRDVDKC >Et_7A_051003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13560884:13562441:1 gene:Et_7A_051003 transcript:Et_7A_051003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVHRENEWVVNMEKLMREDQGAGDAAEEAKQWLKQSVYRVPAHIRNTNTSGSSPYGPHLVSLGPFHHGSPDLAAMEVHKQRALLHLLRRTGRPFRGLVAALGEVAQKLREAYKDLDPKWVEGNGEESFLKMMLLDGCFLLEVMRTAAEAEEEEEKKPAPEQEQDGVGGGELCDYAANDPIFSRHGELYMFPYVRRDMLVIENQLPLLVLQRLLAFLHGASAAVRISGNPVPSFELYPELNCGHEQTDDAINNMVLDFVKMTPNPPAIRGGGLALHPLDVCHRSLLHGPPRPLRTGPLDEFVPSATELDQAGVRFKPSRTRSLHDIRFHRGALHIPAFAVDDATEHKLLSLMAFEQLHATNDRHASNEVTAYVFFMDNVIKSAADARLLSAVGVVWNGLGSDKAVAEMFNRLANEAVLDRRSGLHDVHRAVNAYRDTRWHEWRASLVQNHAGNPWAIISLVVAFILLVLTVVQTVYTVLPYHDQQQQQSAGGGGGGGLIQEL >Et_2A_017998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8827334:8830872:-1 gene:Et_2A_017998 transcript:Et_2A_017998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNAGGSSLAASVALDTVPSRRPNATGQNGPLASTTASRAMRVRTSAQETVFGQAASSLAFTLSTEPKPRRLLFGFASFSANMPPPEEWAGEAGCSTDDLLHLLANNGLDVRACLAVVPFDQLSRCRRAVCKEECHRKEQHYTGLDRHCIDGYSRDGVRLGLVRLVS >Et_4A_035095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9434309:9434850:1 gene:Et_4A_035095 transcript:Et_4A_035095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRHVVASAHHVEGEGGVGSISHAYLMKERIEFLDKCECMSTLIEGGGIGVAIETATSHIKVEPAANGGSVVKVDSTYKLLPGVEVKDEIAKAKESVTAIFKTAEAYTSSPTQTRLQLNLVLSCVCLLLSNKAALSSVWCRNQKHR >Et_3B_027873.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24192755:24192886:1 gene:Et_3B_027873 transcript:Et_3B_027873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHRSPQDRTSARRFLRRRLSSSRGWRTPTRCSSTRSATLSPR >Et_1A_008668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9724087:9729423:-1 gene:Et_1A_008668 transcript:Et_1A_008668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LIETEQGWWTVSGSTKPGPDGGRRDNLAPGYKSGGGRRKGVRSNANPSLPHFAKSNRGTDSAPLIPVPRGRVVAVGNLVTPGMCYHIVINYMATFLGLYRKDEGNHIEIMSGRSSESSPFSGQSSFSSLPDIKDLEISSESGCLSIVVLGASGDLAKKKTFPALFHLFQQGFLQSGEVHIFGYARSNLSDDGLRERIRGYLKGASDEHVSQFFQLIKYVSGSYDSGEGFESLNNAISEYETSKTNESGSSRRLFYLALPPSVYPSVCKMIRSYCMNPSSRTGWSRVIVEKPFGKDLDSAEELSAQLGGLFDEDQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIDNVQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVSPIKYDEVVIGQYEGYKDDPTVPDDSNTPTFASVVLRVHNERWEGVPFILKAGKALSSRKAEIRVQFKDAPGDIFKCKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGLRYQDVKIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHDIDAGKLKSIPYKPGTRGPSEADEMSTRMGYMQTHGYIWIPPTLSKF >Et_3B_028843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19741400:19743648:-1 gene:Et_3B_028843 transcript:Et_3B_028843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGEFSSPYCYQEMWFMSICWRKLKLKILKDHTDSHLCLEQCKVLADADARSATEREEGTRVIGRFGDSLCKPIRPKLMHVASPNIWVMVDEEHGKLNDHTSWVGDASDIYLLGCFPHESNSWRVAAAECGTGNDIPTKGLELRPNIQLA >Et_8B_058902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10702881:10704408:1 gene:Et_8B_058902 transcript:Et_8B_058902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVLSATLCGLLVAVLCLASNVAQAQILFQGFNWESWKKQGGWYNSLMSQVDDIARTGATHVWLPPPSASVSEQGYLPSRLYDLSSSKYGTEAELRSLIQTFHGKNIQCVADIVINHRCADRKDDRGIYCVFEGGTSDDRLDWGPDMICSDDTQYSNGRGNRDTGAGYAAAPDIDHLNPRVQRELSDWLNWLRRDVGFDGWRLDFAKGYSAAAAKVYVGNTSPAFVVAEIWSSLAYGGDGKPGYDQRADRHELVDWVQAVGGPATAFDFTTKGILQAAVQGELWRMRDGDGKASGLIGWLPEKAVTFVDNHDTGSSQNSWPFPSDKVMQGYAYILTHPGIPCIFYDHVFDWNLKQQITALAEARSRNGIHPGSKLEILKADGDLYVAKIDDKVMMKLGPRMDLGNLVPSGFRVVTSGNDYCVWERSGSSVPHGTGN >Et_4A_033059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17902600:17904578:1 gene:Et_4A_033059 transcript:Et_4A_033059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKRWTSASGMELPGRSALSPGRHSARRSVSRTDSGSAFPSVARSLWPSSSSGDRKKATRAPSPLSSSASTTSSSSGPTLADHLAKDEAPQQPAISRQRSCTQLPRFADADAEARKIGRSSVVSGHAFGRSMRLLPSTKPAGVTLTPGRVAPSDLRRLAGSSSAASVDAAADVASSGSECSDAGSRGSSSTTPRGTASSSKPRSPLLPRTSSVRLLGSSATQWALSPGRRSGSPLRTSTTTTALATVPESSATKGSGSKKSLISLGWGHLFNRRKHATDDASLAAAAAATLLSSPVVSSARNGGGGEAGHQMRMAHCRLLQWRFANAKEDAVRKRKLAGAEVELMGAWASVSEMRGKVARKRVQLHKEKLKIKLNAVLSSQMKDLESWEQMESRHASALASTVSCARAAVCRVPLTNGAKVSLPSLASTLQHVLELSVTTKTTTRPFGPMVQSITMSTFACELMYIDYTDLLSFAQETALVVSELVRVARDEQALLQECLELLYRFSSLQVEEQSLRCHLVQSSSSAFTSVTVE >Et_2B_022583.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26617404:26618348:-1 gene:Et_2B_022583 transcript:Et_2B_022583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGIQAFAKKVAAEVAANGEPQEAEGGAPPAERKYRGVSRRRGGKCGADIQEPFTQERVWLGTYDTREEAACAYDAASRTMRPHAKTNFPEPAGEEETRLNVVRAHVDGVKRRREDKMQKKEAEAAAAAARDAAVPPPVQPPPGAAAASDASGSHPAPASPVGVSSIRLFGHVLTPAAPLDFGLPPPPPAASPAPAQAANASASQLPPAVLAPAPLNFAFPNDMAPPPPVHLALAPTAPAAATPQTHQEYVDQCLARARTTAHAHLQALRHLRDVEELYNRALAVLQDVNRRLAEVQAPGGLGAAGSDPGTQE >Et_3A_023462.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28996745:28996984:-1 gene:Et_3A_023462 transcript:Et_3A_023462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRQTGGSGADDAAQCCPICLGEFAEGEKVRALPLCGHGFHAECVDPWLRTRASCPLCRASLLPAAATTKPDVVASEAV >Et_9B_063860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18080825:18081919:1 gene:Et_9B_063860 transcript:Et_9B_063860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVLNNIASSQGDPNQRLTSWLLRALVARACRLCGASAAARPPAPRERAMSVTELADYVDLTPWHRFGFTASNGAILRAVAGSAAVHVVDLSVTHCMQWPTLIDMLSKRPGGPPAIRITVPSARPAVPPLLGVSDEELGLRLANFAKSRGVQLEFAVVRSGTTSQLASVLSDPASLALRDGEALVVNCQSWLRHVAPGSRDAFLDAARALGPRLVTVTDEDADLDSPSLASRIAGCFDFHWILFDALDTSAPRDSPRRLDHEAAVGRKIESVVDGAERAESGARLADRMRRNGFAGVPFDDEAAGEAGRLLSEHATGWGVKREEDMLVLTWKGHGAVYTGAWTPN >Et_4B_039688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26731332:26733831:1 gene:Et_4B_039688 transcript:Et_4B_039688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTRYYEILGVPKDASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGMHDPFDIFQSFFGGGSPFGGGGSSRGRRQRRGEDVVHPLKVSLDELYNGTSKKLSLSRNVLCSKCNGYVIGLNPDTVTGDIIFVLQQKEHPKFKRKGDDLFYEHTLTLTESLCGFQFVLTHLDNRQLLIKSKPGEVVKPDSFKAINDEGMPMYQRPFMKGKLYIHFTVEFPDSLNPEQCKALETVLPPKPASQYTDMELDECEETMPYDVNIEEEMRRRQQQHQEAYDEDEDMHGGAQRVQCAQQ >Et_7B_054230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1811061:1814145:1 gene:Et_7B_054230 transcript:Et_7B_054230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIVGAARAQLRQNYYGSSCPSAESTVRSVISQRLQQSFAVGPGTLRLFFHDCFVRGCDASVMLMAPNGDDESHSGADATLSPDAVDAINKAKAAVEALPGCAGKVSCADILAMAARDVVSLLGGPNYAVELGRLDGKTFNRAIVKHVLPGPGFNLDQLNSLFAQNGLTQTDMIALSGAHTIGVTHCDKFVRRIYTFKQHLPWNPPMNLDYLRSLRRVCPINYSPTAIAMLDVSTPRVFDNAYFNNLRYNKGLLASDQVLFTDRRSRPTVNLFAANNTAFQEAFVAAMAKLGRIGLKTGSDGEVRRVCTAVN >Et_3A_023292.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:18459244:18459630:1 gene:Et_3A_023292 transcript:Et_3A_023292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYICYQKEYKQSDLKWRFQHSGETISRHFGAVLRAMVQLSGSLIQLPPVNIPHNVLSNPKFMPYFKVLPMLFHADIIMHLHVYCCVLIFKCPVGDLICRTIYWCNRWKTCSHISTSKRSLQKQKRNTL >Et_5B_043702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14226348:14230229:-1 gene:Et_5B_043702 transcript:Et_5B_043702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQFQFPWLKSSTSTTTTAAASTSNPSPNPGIPNPFAPIQAHLTSFLSSLPPSPPWVRIPSPSSAQTKAPPSAASALPASEIEDRLAGVPVYALANAAQEFVLVSSTRAEEREGGEGSRPPPALGLLCFRKEDADALLAQMDGDMRAGSSVVPVALNKVIQLKSDGVAFRFLPDSSQVANALKLMQDEGLYAREGFPGVPIFQSRSLVLMSDNKRYRPAFFRKEDLDNSLHRTSRDQQKPNPTIRIGDTQVSSLEDIIKSMKDNSSSKWDDVVFIPPGFDLATGSKP >Et_3B_028897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20099427:20101838:-1 gene:Et_3B_028897 transcript:Et_3B_028897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPNRTPAPDRPPPPVPPPPLETPPQPPSPSPPGEEFHTPTPSFGEASPREASPQEEEASDETAVTKSPTLSPLHFPSPHRLPPPGSPTTSNNGQEGRAAASRARPQLRLATGLVRTPSQGSVATNSPSQSLTPPSPLTPAPAPVAKSPSSSLSPSPLSPLTPADKSPPPQTAAPKPVAKSPSPSPPSPLTPAPPPTVNSGKSTPKHAEAWKPQPPPPPPPHIASPAIAAQFDADEEAATSPLRTGKPRLDQQRRTPAAAENGGTRAATGVPPDVAAVAKVGERRALSVALRLATAVLSLAAFSVMVSARTTGWAGDYYGRHQQYRYAVGVNVIVCAYSIAQSLGEIRRLISPRFIFRSMSSYYFSLFLDQVLAYLLMSASSAAASRNDLWVSRFGTDPFNKKINSAVWLSFIAFMTLAANALISTANLFSMPSSSTWFTLLNQMECRIMWKRSYLIGLACQRKCLCSRFTQVCIATYLRSEIPCRIVLRLGMSFSATTTEKAFQLRTSLSGSAKLSAMITGTHS >Et_5A_042629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2365289:2368898:1 gene:Et_5A_042629 transcript:Et_5A_042629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRVCRGGPSSASPAGAAAGRPFPPLASSSSTSSAPSETASTSVTKTVNGSHHFKIAGYSLAKGIGVGKYIASESFSVGGFEWAIYFYPDGKSPEDGASYVSLFIALASEGTDVRALFELTLVDQSGKGQDKVHTHFGRSLEGGPYTLKYRGSMWGYKRFFKRSALETSDYLKDDCLLVNCTVGVVQSHTEGPKIYTIPVPPSNMAQHIGQLLTSGKRTDIKFEVDGEMFPAHKVVLSARSPVFRAQLFGPMKDKNMNCIKIEDMEAPVFKQSCPSLLTELLEYVAKVGEHSVSPCLYSNEVLDGGDANGRRVKPRI >Et_3A_022986.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:12899229:12899846:-1 gene:Et_3A_022986 transcript:Et_3A_022986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSTDMKDRNMLFAAIPSICASSPKKISIYNEEMIVALCFIGFLIFSRKSLGKTFKETLDGRIESIQEELQQFFNPNEVIPEESNEQQRLLRISLRICSTVVESLPMARCAPKCEKTVQALLCRNLNVKSATLLNATSARRIRLQDDIVIGFHFSVSERFVSGSTFKASTVEQIREAFVPIDLIREGLIVLRKVRVGGSILEE >Et_3B_029152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22516593:22520577:1 gene:Et_3B_029152 transcript:Et_3B_029152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVAVANGSGGDTKAAFTAIYNKLKQEMLEDPAFEFTDESLQWIDRMVDYNVLGGKCNRGLSVIDSYKILKGVDVLSKEETFLACTLGWCIEWLQAYFLVLDDIMDNSQTRRGQPCWFRVPQVGLIAVNDGIILRNHISRILQRHFKGKPYYVDLIDLFNEVEFKTASGQLLDLITTHDGEKDLSKYNLTVHRRIVEYKTSYYSFYLPVACALLLSGENLENFGDVKNILVEMGTYFQVQDDYLDCYGDPEFIGKIGTDIEDYKCSWLVVQALERADESQKSILFENYGKSDPKSVAKVKDLYKELNLEAVFHEYETESYNKLIADIEAQPKKEVQTVLKSFLHKIYKRDK >Et_3A_023901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14276657:14278429:1 gene:Et_3A_023901 transcript:Et_3A_023901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CEKLKKKACWQPHPDRVYKLYTDAAFDPATNCGGWGFVASDDQGAFRGAGKRIRILGSRPFVQSAVQSPPPPSDRTERIVLLMEHVSSALHAEAIVALNCLERAAHLGMTNVTILERDGPKSFWVLMSENPKCKEYSV >Et_4B_038655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3693702:3694029:-1 gene:Et_4B_038655 transcript:Et_4B_038655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARGGGTGRVDGPAGLIAERVLAGDVADYVRFRAACLPRPPKKGRCRHVYKVRDRFRGRKAVAVMHLRGRTDGRFTEHHELARESHAHTLRGHRAVVRIMPVKG >Et_4B_037306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17936070:17936891:1 gene:Et_4B_037306 transcript:Et_4B_037306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVGPGGEVLDTFPYFVSGVLHLISSAVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGIHLILLGIGAFVLVLKALYFGAALSVFGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGK >Et_5A_041958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4618569:4620667:-1 gene:Et_5A_041958 transcript:Et_5A_041958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYLLHGPAAAPYPLSLRSPPAAAKTPFLASPVRTPPPRRAAAFSFSAAAAAPIAASLLQGPVLVWAGRLCLYYALLHVGLAGSPRNPFLSHEIGGEDGAGDSDLGFSKWAEQLRGGASESVCCYNVRALFDELPTPHLVLEITPFPAGHLTDNDYRKAERLEMVLRLSASI >Et_7B_054228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1790317:1799916:1 gene:Et_7B_054228 transcript:Et_7B_054228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAASIASHVPSGLLSVGGEIIRKAWRLEGELRELLRVHGGRALEQQQGAAGEQAKERFLRAYERLKSELLNDRAFSFTEETGQWVAKMLDYNVPGGKLNRGLSVIDSYMLLTEGTEVDDEDFYLACVLGWCVEWFQASALVLDDITDNAYTRRDNLCWYKLPTVGLSAINDGVLLKCHVQAIIKRYFKEKFYFLDLMELWNEIGLQTAMGQMLDLITTHTGAKDLARYRIQGYRRIVKYKTSYYSFYLPVACALLLNGARVSDYVELKNVLIEMGVYFQIQDDYLDCFGDPEVIGKVGTDIEDYKCSWLIVQAMELANENEMKILYENYGKFDPECVAAVKNVYKELDLQDIFLEYESRVYKHLVSTIDAEPNPAMSQKTAPGGVSVVTLDGEMKEKLHLHDGAPPQPPAKCSAMMQKRCRVPEGVDVGDYRERFVRAYDRLRDELVADDSCELTDEAKRWVAQMIDYNVPGGKLNRGLSVIDSYLLLKEGSEVTEDEFFLACVLGWCVEWFQACALLLDDIMDDSHTRRDQICWYKKPEVGLSGINDGILLKCHIARLIKKYFREKPYYIDISELWNEIALQTSLGQMLDLISTHNGADNLAKYSIEGYRRIVKYKTSYYSFYLPVACALLLSGAQLDKFSGLREILVEMGVYFQAQDDYLDCFADPNTIGKIGTDIEDHKCSWLIVQALGHADRNQIEVLHNYYGKKDTTSVSKVKSTYSALDLKDIFSEFEDRAYKHLVTSIEAQQDHAVQEILRSFLKKIHRRKK >Et_1A_008062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40530634:40535853:1 gene:Et_1A_008062 transcript:Et_1A_008062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHDGEQAELSRAGKREWTDSEISASLDLLPLLRSMGREGTGHSSSTTERMMSPRSLAVFLLLLLAAAAAAAPSTAGNAKDDEAEDLQYLMENADDIPASDPDEWLQEGSSSDDDDDDDLLQDQESEEPDLPQDQIDETHVVVLTASNFSTFLAARRHVMVEFYAPWCGHCQALAPDYAAAAAQLAAHDDLALAKVDATEDTDLAQKYDVQGFPTILFFIDGVPKDYTGARTKDAIVAWIDKKLGPGVHNLSDLQHAERILAGDDKAVLAFLDTLSGSYSDELAAASRLEDSVNFYQTSNGEFKASAIADFVSANKLPLILLFAVANESTKFLPIFKEAAKSFKGKLLFVFVERDNEEVGEPVANHFGIAGQETTVLAYTGNEDAKKFFLDGDVSLDAITKFAEGFLEDKLTPFYKSEPAPESNDGDVKIVVGKNLEQIVLDESKDVLLEIYAPWCGHCQALEPTYNKLAKHLRGIDTLIIAKMDGTTNEHPRAKPDGFPTILFYPAGKKSFEPITFEGDRTVVEMYKFIKKHAGIPFKLKRSDASATKAGSTESPTSFEREKNSGTSLKDEL >Et_4B_037110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14784235:14793355:-1 gene:Et_4B_037110 transcript:Et_4B_037110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDFLGVFGRRGREGAPMEEAAGGESDDIELSLGLSLGGRFGTEAKRPRLARSSSIASVCSVSSLDGDRDADPSPAAPLPLLRTSSLPTETEEERWRRREMQSQRRLEARRKRVERRNSMGSSSSSLPTKPGGDAPALGNGFQLRRSIGSQGSSSVNPTEQGLAGSAVVQSTDTRSTSASDNNTNQSNVLPPPASTAKPPNGTATEQPRLRTLGSLTTRTSSTGDIRKIMMEDMPMVSSKVEGPNGKRIEGFLYRYKNGEDVRIVCVCHGSFLTPAEFVKHAGGGDVSNPLRHIVVNPSPSVYLSLYASRGFTFKIGTGFCVGFKFAMLKIFEAIEETDISIKSEGNSSKIRVNLKRYIENSNPYANPERLDGEGVDGVHLHIFILLSPWP >Et_6B_048621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11695195:11698866:-1 gene:Et_6B_048621 transcript:Et_6B_048621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFPDEVVENIIGFVKSHRDRNAISLVCRTWYHIERLNRRSVLVSNCYAVQPERLHVRFPCMRSLSVKGKPHFADFNLVPAGWGAKADPWVDSCARACPALEELRLKRMVVTDECLKRVACSFSNFKSLVLVSCEGFSTNGLATIATNCRFLKELDLHESHVRHRGHHWINCFPKPSTSLESLNFACLDGSVNAQALEELVARSPNLKSLRFNRTVPFDVLARILSRTPKLEDLGTGSFVRGYNAGAFVTLFTALGQCSSLKSLSGFWDAPGLLIPAIYSVCKNLTSLNLSYARETRSADLINVICKCTKLRVLWVLDYIGDDGLRAVSSSCVELQELRLFPINTRVEARTAVTEEGLVAISSGCRKLNSVLYFCGQMTNAALITVAKNCPRLTSFRLCVLEPRSSDAVTGQPLDEGFGAIVQSCKGLRRLFMSGLLTDTVFLYIGMYAESLEMLSVAFAGDTDDGMIYVLNGCKNLKKLEIRDSPFGDAALLAGMDRYESIRSLWMSSCNITLGACKSLAASMPNLNVEVMKEVVSSIDEEDEEANNVKKVDKLYLYRTIAGPRDDAPGFVSIL >Et_3B_028964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2089751:2093367:1 gene:Et_3B_028964 transcript:Et_3B_028964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAGVGGRDEMMMTSGATGRIVPVFRSVLSRRALLRFVVAVQTLFLWLLLLVGRRRRAGPDASAPSEAGSGKARRRRMAAEEEDVRRRRALAEEVAMEEDAEGDRGTRWATFLVPGARRNALFCRLWAPAAAEMRGILVIIHGLNEHSGRYLHFAEQLTTCGFGVYAMDWIGHGGSDGLHGYVPSLDYVIEDIEVLLDKIMEENPGVPCFLLGHSTGGAVVLKASLYPHIRERLEGIILTSPAIRVKPAHPIVGAVAPIFSLVAPKFQFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSFLLNNLKKVTIPFMVLHGTADRVTDPLASQDLYNEAASRHKDIRLYDGFLHDLLFEPERDEIGAEIIDWMDRMLLLQAV >Et_10B_004269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2405357:2407841:1 gene:Et_10B_004269 transcript:Et_10B_004269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAMDGNKKSSSNKSGSKSLLLGKYELGRLLGSGTFAKVYLARPVAGGGEPVAVKVIDKASVLAAAPGMAPARVLREVSAMRRLRHPHVLRLHEVLATRSRIYLVMELAPGGDLLSRLAALPRRRLPEAAARRAFAQLVAALAYCHARGVAHRDVKPQNVLLDERGDLKLSDFGLAALLAPDSASDDGGGGGGGHDLLLRTACGTPAYAAPEVLRCKAYDGAKADAWSCGVILFVLLAGRLPFDDANIGDMLRKAQRREYDLPDWVSPPARRLVARLLDPNPATRVAVEALAAHHPWFKPRSLSLDSRLGGLIDGAPERAMELLRAAKANAFDIISMSPGLDLSGLFGKRGREKRFMTTASPEQTMERLGRAAGKLGYYVVVGKKGVECSLPIGSGRSAGLAAMSVEMSEVAPPLMLVEMRVEMDDGDGEEKCFGWEELRMELGDGTERSTSKNLWSQNKVFVAEEQSCCPRLQMLKHE >Et_8B_059134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14256820:14258543:1 gene:Et_8B_059134 transcript:Et_8B_059134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAADAASVVPPYVVSAAEETLAAAESVGDHLSQLLAAATEDPDAVAELPPLLRLRCSGIDPDEHPIRKEFERLNLWQEKLNRFEDWDKAPLRPTTTLNTQAAARFIGHSLSHLTSDQKRSMQAISRGGGKGWSGQKRKPEPLPERKSVRVAAEEFLAKAAQELMGNSDSRVKGPVRLIPDEDED >Et_2B_020804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23809067:23809735:1 gene:Et_2B_020804 transcript:Et_2B_020804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVALSPDGHASADQEAPVSDVVDGRKTTGWPFWATLLRIVGLKVVYNPNVYSSLLGVAWSAIANRWHLELPGIVNGSVLIMSKTGIGLGMFSMGLFIALQDKFIMCGRVTVLSFVLRFVAGPAAAAVGAAVIGLRGDLLRFAIVTVSKSNHASLLLNAILN >Et_10B_002993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15003863:15004821:1 gene:Et_10B_002993 transcript:Et_10B_002993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLEIMSGKKKKLRQRVPIGPCGRKRLICSKGGKRWNIEKKRNLPTYKVDNSQGVLGSFHSNMLICSEQLNFQTSFGSVFKGHLTNSVIIAVKRLDGALQGDKQFRAKLSSIRIIQHTNLVKIALGVPRGLAYLHHGRAGCFCPET >Et_7B_055810.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5174323:5175471:1 gene:Et_7B_055810 transcript:Et_7B_055810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAAAAKKRLGVGGGGFALGCGCKDAKAVAVASSASPYSATATDASTATTWRSRARAAHPSASGSTGTLTVPSASSSSFPWEDAEVIDGDGEEEVDCKRESSVANTPSFSGLLRQLNELEQSVVSWGRKSTSKSHLSPPPPPPPPPPPPAAKQRAVHSGDRRDSKEGHGANFSPPPPPPSFQFQTQQLRKAKIMDKGDDRQEAADLFITQPPPSPAPPPSSLPLPPEQPRNTKSTDNKAGSKKEDAKNKPPLRQGPKHRKAKSFDGGGRLDGTVAVVKQSDDPLSDFRRSMVNMIVENRIATGDELRELLRHFLALNAPHHHDAILRAFSDIWDEAFSSAKGKAAAPRRESPAARRPTPPRQRTPPPQRRRHPPSTRVWR >Et_1B_010527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11272366:11276274:-1 gene:Et_1B_010527 transcript:Et_1B_010527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMGIAEVAIPTMPGAFVGHSPASNWFLRAGARRRKRNPNSVLGTRALHGHLKIPGLRSVRRQCQRIDDLARVTEGNGNWVKDAVNNVSQVLGDASLQGHAVGGDGSLNGSSVKPPPQRRKTSSVEDEAWDLLRESVVYYCGSPVGTIAANDPNDSDPVNYDQVFIRDFIPSGIAFLLKGEYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTIPLDGDEDATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGMKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNNRLIALSFHIREYYWVDMNKLNEIYRYKTEEYSYDAVNKFNIYPDQIPSWLVEWIPPKGGYLIGNLQPAHMDFRFFSLGNLWSIVSSLATTHQSHAILDLIECKWSDLVAEMPLKICYPALENQEWKIITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPEIAAKAIEVAERRISTDKWPEYYDTKRARLIGKQARLYQTWSIAGFLVAKLLIEKPDAARILWNDEDAEILNAFSTNRKRGKKVLKKTYIV >Et_4B_038447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29033809:29034293:1 gene:Et_4B_038447 transcript:Et_4B_038447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDFRAQAPPQPSTPRYLCLIRPGSRNNGHLRPGKQKQRTILSSQCKLFQTGVVDWHAMSTFKIRYSFNDGVGVYDWWKQRRPRLPKKLAKGVDTFDHAGGMAATVQQLAEIILSEVDIWIAEGAKKVAGKSASG >Et_6B_049119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18201308:18202161:1 gene:Et_6B_049119 transcript:Et_6B_049119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWYKKETTPCERTAEYTNLSMAAEELGLLFYSVEKIIYIVHSIREAVKTVQKNKVECEEISRSVARVSAIMTSLHQSTAMAVDPAMGGALVDLAASLERALDLVTECQEKPKLLSLLGAKDIAGELRWVHDDIMRKVALGSFAANVVQTNIMLNNMQYASPPPSPLWTTPMPPCVAPSWSMPSPPIVTPQPQPTPWQTNQWSSSQVAPWQKPPSMMAELCCPRSWLQRDNVAQNFCPP >Et_4A_034071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29723623:29742397:1 gene:Et_4A_034071 transcript:Et_4A_034071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAVRMLPDGGADDEERWLAEGIAGVQQNAFYMHRALDSNNLKDALKYSAQMLSELRTSRLSPHKYYELYMRAFDEMRKLEMFFREETRRGSCSVVDLYELVQHAGNVLPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQHPLRGLFLRSYLSQISRDKLPDIGSEYEGDADSINDAVEFVLQNFIEMNKLWVRMQHQGPVREKEKRGKERNELRDLVGKNLHVLSQIEGVDLDMYKETVLPRILEQVVNCKDDLAQFYLMDCIIQVFPDEYHLQTLETLLSAFPQLQPNVDIKTVLSALMDRLSNYAASSPEVLPEFLQVEAFAKFSNAIGKVIEAQPDMPVVGAVTLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSGKAKLEDSRATKQIVALLSAPLEKYSNIVTALELSNYPRVMDYLDNATTKVMAVIESLFDLIKGLIKDMDGAQDDELDEEDFKEEQNSVARLIHMLHNDDHEEMLKILCTVQKHILQGGPKRLTFTVPSLVFSALKLVRRLQGQDGDVTGEDVPATPKKIFQILHQTIEALSCVPSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFILYEEEIADSKAQITAIHLIIGTLQRMNIFGVENRDTLTHKTTGYSAKLLKKPDQCRAVYACSHLFWTDDQDGIMDGERVLLCLKRALRIANAAQQMANATRGSSGSVTLFIEILNKYLYFFEKGIPQITNTVIQDLIELIRTEKQGDNTVADPSTEAFFASTLRYIEFQKQKGGSIGTQRQQTPLEAHTNLKYPTPKSD >Et_5B_043243.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:19893345:19893689:1 gene:Et_5B_043243 transcript:Et_5B_043243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEYGLLGLAGINFSNLYLWSKKVEPQEAEGWIKWRIIKLDTLIGTYCHRLKVIGFAEDVSAIFIRKNSSVFVFELQSGKFRKVCKGVHYETVLPFTSFYTPGTARATLAYFS >Et_3B_029959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29399827:29404019:1 gene:Et_3B_029959 transcript:Et_3B_029959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERIPPPSLLQFSPSPVHSSPHPLNSMRYSASERERYLAELLAERQKLAPFVQVLPFCTRLLNQEILRASSIAPNHNFVDPERIEHGSPLRLPGHPANGQPMDLEGWSGMQAEHMGVLQASSMGWSGAPTLAGTPVVKKVVRLDVPVDKYPNYNFVGRLLGPRGNSLKRVEATTQCRVYIRGRGSVKDSVKEDKLRDKPGYEHLNEPLHVLVEAEFPADIIDSRLNQAVSILEDLLKPIDESMDYYKKQQLRELAILNGTLREESPSPHLSPSVSPFNSTGMKRAKTGRYT >Et_2B_021630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3751951:3758815:-1 gene:Et_2B_021630 transcript:Et_2B_021630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFSQKFYILGTNTDKTLWRLLKIDRMEPSELNVDEDSTVHSQHEYHDLLKVLDEDHKSTGGVKFVTNCFGIIGFIKFLGPYYMLIITEQRKIGDIFGHPVYQVTKSAMIELSNSKTRPKLINSKDENRYKKLLQTIDLRKDFFFCHSYHIMRSLQKNFNDPQEGWDLYDTMFVWNEFLTRGIRNILKSTLWTVALVYGFFKQDKLAISGKDVMLTLIARRSRHYAGTRYLKRGVNEEGKVANDVETEQIVYEDMLGQRQISSVVQNRGSIPLFWSQETSKLNLKPDIILHEKDNNYEATRLHFENLRSRYGNPIIILNLIKTREKRPRESILRREFDRAIKIINSGLPGENHLRFLHWDLHKNSQRKSTNALQVLLKVAFEALNLTEFFYCQVSQDRRGDGSLNLGALLGNCFGPHVCEDNNNCGNLDCMDDLDDISQEETCGSSDTGNVVAEDKTEVNGSTAIKLLKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGSVESPELDLDSPLARLLMHFYERMGDTLALQYGGSAAHNKIFSAKRGHLKFAIQSQEFFRTLQRYYSNAYMDAYKQAAINLFLGYFQPELGQPAIWEPESGEENVLDDNTSNFMKRVRSDGSILRKRPMSSNGRNGIRYTPTVSHIKHVSCELDYCNGSGDSNFLDLDWLSASDNERSKAISTPDVNISTDDVNDLNSGTTEDHAAEIQMQGLSEHFVQWVNLGEAFWY >Et_2B_019620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11087207:11097777:1 gene:Et_2B_019620 transcript:Et_2B_019620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAATSVASLPRPAAFLPSSSSSSPSRPSVLRAAVPGSGCAAARGVRCSAAQDSVFYELQHDEMFNSAEVIQWESGKSINAIAAAQGISIRRLCRPRYPSEGTGADRPVPRNILEQIIWDKEVEVSQRKAKKPLQKVIEAAQHAPPPRDFVGALDTAHRRNGVPALIAEVKKASPSKGVLREQFNPVEIAQSYQKNGAACLSILTDEKHFQGSFENMETVRNSGIKCPLLCKEFVIDIWQIYYARSKGADAVLLIAAVLPDLDIKYMLRICRNLGMTALIEVHDERELDRVLKIDGVQLIGINNRSLETFEVDTANTKTLLERRGDIIRNKGILVVGESGLFTPEDVAYVHNAGVSAVLVGESLLTQDDPGRAIAGLRVG >Et_4B_039777.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:29121525:29123600:1 gene:Et_4B_039777 transcript:Et_4B_039777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLAALLLLVLLVGPLLLAAPALSSTSGTIVFTTLGRSRYAFDIFALPLAPLATAAASPSAEVRLTDGASVNYNGQFAPSSDSLLFVSERNGTLNLYTSLVPGAGGASRSEALEVEAPPLKPLLPWDPIALRDRPSLTPDGAHLVYVSTATPAESPRRSWAAVYATHLSSGATRRLTPPGVADFSPAVSPSGEWTAAASPGADGWAGEVEDLSTDIYIFRTSDGSRRTLAIRDGGWPCWADETTVFFHRRDSDGWYGVYRAEISFTDAGASAASVVERITPPGFHAFTPAASPGAPGLVAVAIRRPGSDYRHIEVIDVSSGGSQNAYYYLEVTRPVAPRSHHFNPFISPDGARVGYHRCRGSGNGDAPLLLENVKSPAPDTFSLFRIDGSFPSFSHDGKRIAFVGLPGLFVVNSDGSGGRRQIFSGNAFPTAWDWKRKGVIYTSVGPEFSSESTKVDVVAVSLGDGDSCSSSSQVSIKRLTLGGENNAFPSPSPDGKWVVFRSGRSGHKNLYIMDAEDGEAGGMRRLTEGPWSDTMCNWSPDGEWIAFASDRHAPGSGSFAIYMVHPNGTGLRRVVHSGDGGRTNHPWFSPDSETLVFTSDYAAVSAEPVSNPHHYQPYGEIFTVNIDGTDIRRLTHNSFEDGTPSWTPYYLKPKDVGETLQASGMCAFEDCHWLNIEAKPKNFMCGKHG >Et_6B_049435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4913465:4921081:1 gene:Et_6B_049435 transcript:Et_6B_049435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPPEIAASAGEKSPEQHAIDVGHEEHIDGKGEDVEAVGKERVAVAEEVQNKKKSKRVAALDAFRGLTIVLMILVDDAGGAYERIDHSPWNGCTLADFVMPFFLFIVGVAIAFALKRVPNISAAVKKIVTRTLKMLFWGLLLQGGYSHAPDDLSYGVDMKMIRWCGILQRIALVYFVVALIEAFTRKIRPTTVQSGPYAIFDAYRWQWLGGFIAFIIYMVTTFLLYVPDWSFVYHNDGDVNDGKQFTVQCGVRGHLGQACNAVGYVDRQVWGINHLYTQPVWIRSKLTQHRSSASRCTCMVSCTFRTRRLIETHKERLKHWLLMGLSLLVLAIILHFTNAIPLNKQLYSFSYVCFTAGAAGVVLSAFYILIDVWGLRTPFLFLEWIGMNAMLVFVLGAQGILAAFVNGWYYKSPDDTLVHWIVKHVFVNVWHSQRLGTLLYVIFCEIVFWGVAAGILHKLGIYWKL >Et_1A_006371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21416566:21419517:-1 gene:Et_1A_006371 transcript:Et_1A_006371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSALAILLLPVLLFVASAAEAEEEALPLEPIELYFSPAELARIAGYGEEPVSSVTVSGQVTCELCLRPGSDLLTFELPGAKVAVICESEGHNQVASSAFATTDEYGNFTIGLPSQLHATPNLEKACTVKVLQFPVESSCQFSYHTRSTYGLGLLSMEDGVRTYTTGVIRLQHSNISSDKCVNIENRSEIR >Et_6A_047580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7657126:7669751:-1 gene:Et_6A_047580 transcript:Et_6A_047580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTGDAAGPSPAPTPTPTPAPAAVTNPATPYAPMGGLRAGECADLLGLVSGVSRPLEDAVADFLARVPPERRLRFGSAISFVLEDKMMLRPAERLIAFTILHQGYSSQLANPFVPLLINAACAETSEKAERAFLQLLLTSPNADNNEILKQTSVDYLNGSNYASQVLLQQEQLEKQYFCDTSQPQRYSSTLRDATVRSAIPDPDVSESRGLSSEGSTAKPNRDNMVACLLQQEAIKGLAPQWIRPSPPRFEILEGELQWLNLDNNHELLWDGSMCADTGRGAVIRDLVGRACKAPLAPAQQEQIVAELAKDGKLVYHCGMTPQKLPDLVEHNPEIAIEVLSKLINSSDMDAYFDILVHMNMSLRSMEVVNRLTQVVVLPPGFIHDYISNCIRSCEGITDKFMQNRLVRLVCVFLQSLIQNKIINVQDLFVEVQAFCIAFSRIREAATLFRLLKSLD >Et_10A_000262.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20597462:20597959:1 gene:Et_10A_000262 transcript:Et_10A_000262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETPSKLSPTRQHLRPRSPLANGSAAGEFELRHWRTPKKRAPAPRWAPPPVIEIPHGTGSDDDSGSSSGGHGYTSLRDILSSPEYAAAAAGSPAAACVVVGGCDSCGDIHMIRHPLVKHAAYAYLQMTPSAREDPGRGRGRRWRGPLCRLLLGCLSFIGALFRP >Et_7B_053344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11937684:11938104:-1 gene:Et_7B_053344 transcript:Et_7B_053344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWAAAADGGAARVQGEGMADDGDAAAAAPARKYRGVRRRPWGKWAAEIRDPQKAARVWLGTFATAEDAARAYDAAALRFRGGRAKLNFPEDAAARRRPPATTTSWTTWSTRGSWEG >Et_8B_060005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:499092:501914:-1 gene:Et_8B_060005 transcript:Et_8B_060005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLILLVDCLSVMWGISFVAVIPEIQFVRGWCSDLIIPFRFGMGLSESWMAAPRGINQLLRRAFQNQSSGSSLLSSLRGNHEESSAGLKALALLGVGASGLLSFATIAHADEAEHGLAAPDYPWPHAGIMSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVQIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMRWSVLKSRKLVLDVVN >Et_1B_014164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33482548:33490223:1 gene:Et_1B_014164 transcript:Et_1B_014164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVELPLPAKVDFGKILAPAAAVEGAEGAGAGAGGGEVLRRCADADRRHGGDVKQHNQNAESYPSYRSKRTSLEVSTQKSFALGFKSENSVKRDYVGNDAVQFMHKQDSKIVAKKTIKLLDGPPCSKRPKLEPVQTIRDTEAKGHDSMSQKNVPELSQCAPSEKSRLLKQKRVSDAKRIDKKNIRSGVRSKYDCFSSKAGLANFDSGFLGNSALGAHGLKSDIRDITDHVENLSLSELLNGTYKYSSLGREKGKRVLHTKDELLVSVRKAFSMLSDMDRYGKEASLILSPKPPSASNTSSDIKEQCGDKPSSTKESSQINVLEMSLRRPKDILSCLSLPQGQDLDSLLSPGSEISDTVKPCTPSMTAHGASLPPFPWSHSQSGGYRPNVDSGKHGASRSNSHWQWVRVGCSLTPPDDDNLTVHKIDDLLQEMDATKLSIMDSFEGRYNLCGTESTSGSPVQIHSRKVGNGHVSPQWQNLENGDPSDGFQKHGSENSLLRTPQASPRVLRAAEILCDMRRSTEAWSAHGYSNGAIKWPKSPSEKSMKARKPSSLFGTAESSSGSRNSDAARNGNNQSSKKIVDRKNDSTRLNNPGKGSIRWPVPIEDSASPVRSERGLMLDTRQPHVSGGRLPSQAPSQARLEKEYENQQKLRKATLTSSLGSGGDWNRERNRRM >Et_2B_021507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30088750:30092238:-1 gene:Et_2B_021507 transcript:Et_2B_021507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPPPPAFTITLSALLRRRTNPTNRLRANHTRCFSSDASAEAVEQQSRRGGQGGTRLEEAVPAGEGRSRIDAWISSRLGSGGVSRARVQASIRAGLVSVNGRPVSKVSHTVKGGDLVSCTVSELQPLRAEAEDIPLDIVYEDDHVLVVNKPPHMVVHPAPGNANGTLVNAILHHCRISTFTCLAHNSTGDECPDSSDDDVDVFDVDQFTADEVSSEVREALVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTICRVYVSLTCGVPDPSSGRIEASIGRDPNNRIRMIAIPGSGGHRYARHAASRYKVKEVFAGGGSALVEWRLETGRTHQIRAHAKLLGIPLIGDETYGGTKSMALSLLRPRIPSRYHSDISNLISKVDRPCLHAALLGFIHPHSGKTLEFTCPPPDDFAEVLNDLRRYTIKFLRWVLDKCFNLVV >Et_3B_028617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17349313:17353097:-1 gene:Et_3B_028617 transcript:Et_3B_028617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGATISAPLSCRGMPRGAVGGGGGKAGRAESERWRRTGRRPGGGGTVRCVATEKHDEKAGVAVGVEFADEEDYRKGGGGELLYVQMQATKPMESQSKIASKLLPISDEILDLVIIGCGPAGLSLASESAKKGLNVGLIGPDLPFTNNYGVWEDEFKDLGLESCIEHVWKDTVVYLDGNKPILIGRSYGRVHRDLLHEELLRRCCDAGVTYLNSKVDKIIESPDGHRVVCCERGREILCRLAIVASGAASGRLLEYEVGGPPVCVQTAYGVEVEVENNPYDPSLMVFMDYRDCFKEKFSHSEQENPTFLYAMPMSSTRVFFEETCLASKDAMPFDLLKKRLMYRLDEMGVRILKVYEEEWSYIPVGGSLPNTDQKNLAFGAAASMVHPATGYSVVRSLSEAPGYASVISDILRNRASAQYLPGGSPNYSPSMLAWRTLWPQERKRQRSFFLFGLALIIQLNNEGIQTFFDAFFRVPKWMWRGFLGSTLSSTDLILFSFYMFAIAPNKLRMNLVRHLLSDPTGSTMIKTYLTL >Et_3B_028116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10471130:10473650:-1 gene:Et_3B_028116 transcript:Et_3B_028116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHGDAKVEHFREEQESLLFINSLEVIKFEFCDSLKYLPARLHTLPRLKSLSIWRCKAVRMLLENGLPSSLQELVIERCPEIQSLPKNCLPSSLQKLVIGGCPGMRSLPKVNDLASSLRELCIFYDNSKELTRHCRKLTGIIPRVEGRPLVSYFRNQVCLRNRFSANYIEVQRGRLKCTPRIIYYMSTPTLNRIGRAISSSVIFIRRVAIWIAKLLVVQDVGLDERAPGHGRRPEGQDRGLPDGQRRHAERFGVAELEVDRCAL >Et_4B_038113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26362417:26363950:1 gene:Et_4B_038113 transcript:Et_4B_038113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKEYAREAMSLDKVTSDGEALIAAGDGEEDDVVLPGFRFHPTDEELVTFYLRRKVARKSLSIEIIREMDIYKHDPWDLPKTGTVGGEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSSANSSESIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPVAAAANASPCMQEAEVWTICRIFKRNITYKRQQQQPQQLPAESSSNTGSFESDGGDEYMNRLPGSAPAIPQHQHVSNQVNMLHGGGGFFRDSVHSQQFQGQWFNSLPMTVHEQKPQVNPSAMTIAFHQSNQSLATNEFYKDGYLDEIARFMEINDPTPTAFYDCKYA >Et_4B_036873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12170268:12170913:-1 gene:Et_4B_036873 transcript:Et_4B_036873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLGSLLLELKSDFGHLFLHAGLETEVGACFKVQCRRCSYLRGRAGRAVEIGQALYSNMLNLASSAFFSVDVVDMDDKLALGIRQLIREVGIRMTKPNIPDLLPPLNLQGYCRLAVGLVEKIFRIMAGILDSRFTEAGSDSRIKRNDFLSSSTSNTIALTAEWAMAELLHHPRTMSKLHAEIRGALSG >Et_5A_041184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19058991:19061376:-1 gene:Et_5A_041184 transcript:Et_5A_041184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQAPDESFSPEKKDGKTAAEEKALDDWLPINGSRNAKWWYSAFHNVTAMVGAGVLGLPYAMSELGWGPGIAVMILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHVFGERLGLWIVVPQQLVVEVSLNIIYMVTGGTSLKKFHDVVCDDNCKDIKLSYFIMIFASVHFVLSQLPNFNSISGVSLAAAIMSISYSTIAWGAPLAKGREANVDYHLRATTTPGKIFGVLGGLGDVAFAYSGHNVVLEIQATIPSTPEKPSKHAMWKGALVAYIIVAMCYFPVTFVGYWAFGNSVDDNILITLQKPKWLIAAANMMVVVHVIGSYQVYAMPVFDMMESVLVKKLRFSPSLRLRLVSRTFYVAFTMFIGISFPFFGGLLSFFGGLAFAPTTYFLPCIMWLAVYKPKRFSLSWLANWFCIVIGVLLLVLAPIGGLRQIILTAKTYQFYQ >Et_10B_004295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3154660:3156252:1 gene:Et_10B_004295 transcript:Et_10B_004295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVSGAAAYGVHALNGDGGAAAAPFHTRAGVRAGVIIVPLPPRASSETRHTLTDPSRLPLYTTHRRAPSASASSSTSSSMATHLTGPACPDSTASRPCAAPPSSRRHTQMVRSADPLTTTRPAATARHSTAILWPRSAPPFLADDPPRRNCQCVTAPSEEPTYTTRASAATAIAVTAPSPLRSTRSIECLVRREAFLLFSTVSSRRHTLTVPSADPVNSSASNPAAATALTASSWAGTDSRHRESATRHTLTVLSHEPEYSSPASASRLRHETASKWRSHSSERRLRRVVRTYRDGSSELATTSRSRGSVPTRRCSTTAAASPSSSLPARRRQTRTLPSWCPVKMRPSAATTMALTRPLPDRNPPHSRRSRHTRTLRESVPRRSPAAASEYTWPSSLTREPRSPVCCLATACSSSAPKPRPGSRVHGDIRYGDAAAEVAALALVLGEEVVGGEYASSSYPPPPPPSSSESDDVDGDDLAAGAGSGRRNWSSFLWFPMAGRLVFLLVFGFALCSLRANLRMVFRRKKG >Et_1B_013716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13876697:13893484:1 gene:Et_1B_013716 transcript:Et_1B_013716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPRPDVLVPFHVGSFGGDAKKQSRSKPRPTADGARQPQASTTTATATGTRGSTAPARPGTNQPCATTTPDEPVLAGRIWRAPSRRHSLHHPDRAGGLWTAATTLGVIVLFGRVTAVFFLCSCLYGVRFVRARAADAKSCGVAGASSSRRFGDPIGGSSRRFADPIGVPVPTKGAELGATEEFKKKVLMAGLLERPGNRPSSRFGSSPITLKGNDRHTRYKPLKSSTASEQDSTASQCMSSGREALKLTPVFYNIYLGQHGWFPQARWHSSNINKLTILIVRKACLRASSIICGVITTI >Et_2A_017310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33023738:33030011:-1 gene:Et_2A_017310 transcript:Et_2A_017310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPSMASAASDRADGGEPASALRLRRAPDADAGDREATSGRRENGEPHPPQQEQPPPQQQQHEMFCYRASAPAHRRVKESPLSSDAIFRQSHAGLLNLCIVVLIAVNSRLIIENLMKYGLLIRAGFWFSARSLGDWPLLILTLPIFPLAALASEKSIQRKLISEHVVILLHIIITTSVIVYPVVVILKCDSAVLSGFVLMFLASIMWLKLVSYAHTNYDIRALSKSIEKGVAHGNSIDAENMKDPTFKSLVYFMLAPTLCYQPSYPRTTCIRKGWVTRQVVKCLVFTGLMGFIIEQYINPIVKNSKHPLKGNFLNAIERVLKLSVPTLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNAKTVEEGLAILISFLISAIFHEICIAVPCHIFKFWAFIGIMFQIPLVFLTKYLQEKFKNTMVGNMVFWFFFSILGQPMCVLLYYHDVMNRQAQASR >Et_4B_037613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21799019:21806026:-1 gene:Et_4B_037613 transcript:Et_4B_037613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGRRRGRGGGGDPSGGRGLGGPDVGGRGRGGRGGAGAAELGGRGGAGGDRGGAAAGGGRGHGGGRFHAPQPQPAGGRGGGYNVVAQGRGQVPAPAPVAANVEALSDQMKTGMVLSGAPQPSGPSSSAPAPRAPPAAPVRVGGSAAAPEYSGGQQAAQAVAAPKGRLPPTSSKAIVFPARPGYGTVGRRCRVRANHFVVQLADKEIYHYDVTITPESNSRARNRWIINELVKLYKQHMDGRLPVYDGRAGLYTAGPLPFKAKEFALRLTNPDRANMGEKEYKVAIKDAAKLDMYSLKQFLAGRHRELPQDTIQALDIALRECPTTRYVSISRSFFSQQFGHGGEIGDGVECWRGYYQSLRPTQMGLSLNIDISATAFYKAQPVINFALEYLNVRDPSRRLSDQDRIKLKKALKGVRVVATHRHDMSRYKITGLTSVPLKDLTFDQDGTRVSVVQYFKRQYNYNLKCIHWPCLQAGSDSRPIYLPMEVCDILEGQRYSRKLNERQVTSILKLACERPEQREHSILEVVNRNNYGNDYYAKEFGIKLMNQLALVDARVLPPPMLKYHDSGREKECNPSIGQWNMNNKRFINGGLIKYWACVSFASRLHPNVIRMFCDHLVGVCNNMGMQVNGRECVDIVQARPDNLESALRSIHGQSAEVLAQQGVTGQQLELLIVVLPDVNASFFYGRVKRLCETELGVITQCCLPKNVQKGAPQYLQNLALKINVKVVASMDWPAVSTYRCLVSSQGHRQEIIADLFTDAKDPQKPHGWGMIRHVGSWSELLMSFYTANGRDGVSEGQFSQVLLYEMDAIRKACASLQEGYLPPVTFVVVQKRHHTRLFPEDHRSRDLDRSGNILPGTVVDTKFVIPGTSRPTHYHVLFDENHFTADALQTLTYSLCYTYARCTRSVSIVPPAYYAHLAAFRARHYLEDGLSDQEGSSGGSSLLRDHAVPVTRLPKVKENVQKFMFYC >Et_8B_059929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4138579:4141213:1 gene:Et_8B_059929 transcript:Et_8B_059929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGTREEMVYMAKLAEQAERYEEMVEFMEKVVAAAGTGELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGAADHAAAARGYRARVEGELSNICAGILRLLDDRLVPAAAANDAKVFYLKMKGDYHRYLAEFKTGAERKDAADATLAAYQAAQDIASTDLPPTHPIRLGLALNFSVFYYEILNSPDRACHLAKQAFDEAIAELDSLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGGDEMRDASKPEDEYPKNLRRNMISRMCHRFDNFVTREISMETRRQC >Et_8B_060333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9801500:9802427:1 gene:Et_8B_060333 transcript:Et_8B_060333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDDDVLPVYYGDTHDLVYGFIRDVGLFFLVGAAGGAAFHFVRGSLVDRGGASSGRLAAGFRAARANAPRVAGKFGAFGAVFTALEAATYLAGGGQYTLAAGAAALGLGGARRGGGPAAARGAFLGGACILLIWELERSRMVFRFEESLARQKRINGDRPALAAALRQEPDTSPLLMDGHCRTLGLSMFPRMEHNVADQIIYRWSFWSFAGINVFTTLLLLR >Et_2B_019772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13914773:13918266:1 gene:Et_2B_019772 transcript:Et_2B_019772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SWCVQATAAIMEVVSCSHSCLALHQTPRSGWRLRNSGIGLGYGKPLRRRSSILCVGTTRGTSNPSDSGKVRAYVSDVDAAVQGIPSRKAREIEKVMIQGLPEGPDSSPISTGFWELKPKLTVYYEKSGTENSKAPAVLFLPGFGVGTFHFEKQLKDLGRDHKVWAMDFLGQGMSLPREDPAPSSIDREQDDEEFWGFGQDSQPWAEELVYSIDLWHDQVQRFVEEVIREPVYIVGNSLGGFVALYFAATNPNLVKGVTLLNATPFWGFLPNPATSPQLSKIFPWAGTFPLPSFVRRITEVVWQKISDPRSIQDILKQVYADHSTNVDKVFSRIVEITEHPAAAASFASIMFAPRGQISFQEALARCKSQRIPISLMYGREDPWVRPIWGIKVKQQVPEAPYYEISPAGHCPHDEVPEVINYLLRGWLKNLESEGSIDLPFLEEPSYAVHGISKELEFVREGSRKSVGVRLYGSKISLWRQLSSLLNTRATNSRVVSR >Et_3A_024840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24743253:24744073:-1 gene:Et_3A_024840 transcript:Et_3A_024840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDTKCPMCFRLDDEDAGHFFFKCKYAKMVWRELLMEDKREELSVLRSALDVFRKIWQWEADVQVRLSELCGLLEMIQMQGRHNALLLHRHVTEFQMFLSKPDKKPSAAQKWSAPEAGYLKINVDGQVVGDSLFAITLGFLSFAREAGFDDVVVETDAINVKTALSSQSYDLASCGMLISDIKALLLVTFQNYSINFKHRSCNTVADSLAKFGCALDSGAVMVWPDGHPAFVNCHVAADIQSAPS >Et_4A_033616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25025438:25028701:1 gene:Et_4A_033616 transcript:Et_4A_033616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEESSAGVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPPNVEPNLFPMLGNWQREHTMEDILTSLKKEMSAPQNRRLHQPHEGIFILIASVTILHEMKSKCSCLEEVILKSL >Et_1A_005895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15263689:15265635:1 gene:Et_1A_005895 transcript:Et_1A_005895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRQLLLQARWRCLVQQPQQLSGPLILCRTLSILRSEDEAMAAHRFPSVQVQLPQSSHWSSSDPLGSGFRIEAVNSDLWPASVSFSLDSARGKECPDGFEQRSDNELHDSDDEIDDMRHRKKLFYKLDRGSMEFEENSVPLRRRRNRDKTSAKNLKECKKVEPAQSVSSNVPKLKPKRAVREEDMDEVKRERVPTFNQMTDPYHLPFCLDIHVTKGSVRACFVHRVTSRVVSVAHSISKDMKFDLGSRKGKGMKACAAVGALLAKRAIEDDIHNAVYTPRKGDKIEGKIEVVLRAITENGVDVKVKLKQRNPIKNTPVRGMISASDGSAVGQHNS >Et_4B_038433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2957525:2959149:1 gene:Et_4B_038433 transcript:Et_4B_038433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMQWAAVLAVAVAVAASVAGGDMSADRTECADQLVGLAPCLQYVQGEAKSPAPDCCGGLRQVLGKSPKCLCVLVKDKDDPDLGIKINATLALALPSACGATHANVSHCAQLLHIPPGSKDAAVFSPGGDKGTTAAPAKDNSTTTADSRAQQATNGGGISSAATAGVALTALLAGYLLLLVPELSPSSF >Et_8A_057980.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1113493:1114455:-1 gene:Et_8A_057980 transcript:Et_8A_057980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSRTKKTKKKANLFTQIIVHPDGTVTRPEVPALPPSDSPVSPVLSRDVPLDAACGTYLRLYLPNPVVPPSPARLPVLLYFHGGGFVLFSAATVFYHGYCQTMAASVPALVASLEYRLAPEHRLPAAYEDAVSAVAWLRGAAPADPWVAAHGDLSRCFVMGSSSGGNMAFFAGVRTKDLVRGLLLHQPYLGGVERTPSEAGSEHDAVLPLEANDKLWRLALPEGADRDHVFCNPAKSLPHDAVAGLPRCLVTANAADPLIDRQRDFVGWLRDRGVDVVDKTDSPGYHAGELFSPKKAQELCAAVREFVFASSTILPRL >Et_3A_024128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17623584:17631175:-1 gene:Et_3A_024128 transcript:Et_3A_024128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPPLLLYVLVLALLAAAALPAARAALEDPAGLLRRAKESAFTDWMVGVRRRIHENPELGYEEFATSELVRRELDAMGIPYRHPFAVTGVVATIGTGGPPFVALRADMDALAMQESVEWEHKSKVPGKMHGCGHDAHVAMLLGSAKILQEHRDELQGTVILVFQPAEEGGGGAKKMVEAGAVENIDAMFGLHVADSVPIGVLASRPGPIMAGSGFFEAVISGKGGHAALPHHTIDPILAASNVIVSLQQLVSREADPLDSQVVTVGKFQGGGAFNVIPDSVTIGGTFRAFLKESFNQLKQRIEEVIVSQASVQRCSATVDFLDKDRPFFPPNINSPELHPFFEKVASEMVGANNVRDKQPVMGAEDFAFYAEAIPSTYYYFVGMYNETRGPQAPHHSPYFTLNEDALPYGAAMQASLAGRYLVEHQPPASPDKAKTHDELGKKNDGK >Et_2A_017648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4664230:4667131:1 gene:Et_2A_017648 transcript:Et_2A_017648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IIMEHNMRCRTKTKRLKCSNHCHGKAMFKKCSAKLQFLSFPMDMQRLILSKLPLKEVIRTRILSSKWRSICTFYPKLRFDGITMCSSRSIPGSEQYTKEFIQNVDDVLQEHNGEFVEDFELKFELTNELVTHLDKWVRFAAASQAKNLAFDLVPAEFHGRCDRLIHFVDITANNLENMLSSCSNLEWLSVVRCHLDDELKVDLPLPHLQYLCVAHCKITKIKFNAMKLETFVCRGSLYPFDLTQSLDLKDAHFYVYDSLTLDYALVTLPTLLPSMPALLESAGKFSRLKCVKFELFVIDEDAGNILSLASYLKAAPLIEKFEIHFSVFSVAHSDWNSEPLKSLPRCSYNYLKTLYITGFTACTGQLEFLLHAVKNAPMLEVLTLDPACRFGQGLTYEGQEFVFSCVRKGETAYGPPSGETIWAEKEMPRFWAKQTESVSKVCDGGVVLMP >Et_1B_012376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31273662:31277276:-1 gene:Et_1B_012376 transcript:Et_1B_012376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAVAAASTAPPATIAKPQEAAAMARGAGGGCGGQGRAAATMEHVLLALRETEAEREARIRGAFGFFDAAGRGHLDHAQIEAGLAALRGVPEGSAAGAAAEDYARALLRACDRDHDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWHALVKAATIENIYHHWERVCLVDIGEQAAIPEGINKHVSASKYLIAGGIAGIASRTATAPLDRLKVAMQVEKSHTTIAQSVNSIWRDGRFLGFFRGNGLNVVKVAPESAIRFYTYEMLKEYITKSKGENKNDIGTSGRLMAGGLAGAIAQTAIYPIDLVKTRLQTYKGSRIPSIGALSRDIWMREGPQAFYRGLVPSLLGMVPYAGIDLTVYETLKEMSKTYVLKDSDPGPLVQLGCGTISGALGATCVYPLQVIRTRMQAAPKTAYSGMTDCFWKTLQDEGKSAFYKGLVPNLLKVVPAANNQQHCETGIQWSVSGTWFAVESRAAED >Et_9B_065439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5000471:5004616:1 gene:Et_9B_065439 transcript:Et_9B_065439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQAGMGLTRVVVLVGAGVAGSVVLRNGRLSEILGELQEILDKGNKGKGGGEAETSVEEALLKQVHRLTMEVKQLGHSRPITVVSGGSGQSGLSGLIVPAATVGALGYGYMWWKGISFSDLMYVTKRNMANAVSSMTKHLEQVQSSLAAAKRHLTQRIEKLDDKLDQQKALSGQIRDDVTDARLKLENIGSEIKNIKDLVWGLDGKIDSMEAKQDFSCAGVMYLCQFIEQSGGKLPERLGLQLAIESGNFDKGAIESLIDNTDSSDKIN >Et_7B_053255.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:16243195:16245028:-1 gene:Et_7B_053255 transcript:Et_7B_053255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LEPADQLSVRSRIEAADSDLVLLSVSIRSVRGHCDCFLYKANGVNGTPSLRLVELPDDFPNTRYDIALVASSDVVSLLQQSEVAGKRFRLRPHGEGDAVERFGLRPHAEGNAVEKFAEGDAVERFRLRPHGEADSGFYVAALSHETDGVFKLWVFDSEEDQWTRKPVHVPCPFYHHTRKAINLGGGLVAFADPWQGIVVCDVLCRRPPRYMLLPPELVRNDRCDHPLLFRDIAVVEGRLTLIGLWNIGEPDTDRNCWSWEASTWSRKVTPLWEEDWRQDYVVQSGDVEVDMDAVDNVGLLPEVEDVDGVMKPCLEMRYTAHPTMSLSDSHVFHFMAKVGRLDKKALVLSIDLKKPRLLGLARFDAERMLGHPFSYAYMQSWVPRYFNPGDWINLLLF >Et_1A_008009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40011557:40015183:-1 gene:Et_1A_008009 transcript:Et_1A_008009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPTALSARHFRPRAPSPHAPAPPHRLPSATASSRPPPRRLAFSPRAEAGTGTGTTGDLESLKAGVSVYKPRSYEVLVADAARSLACALDDGKTRLEIEFPPLPSSISSYKGSSDEFIDANIQLALAVARKLKELKGIRSCIVFPDQPEKRRASQLFQTAIDTIEGTTVSSLDDVPTGAVNNFFKSITSTLDFDFSDVNEDRWKSDEPPSLYIFINSSTRDLSSIEKYVEKFAASVPALLFNLELDTLRSDLGLLGFPPKDLHYRFVSQFTPVFYIRQRDYSKTVAIAPYIVNYSGAVFRQYPGPWQVMLKQADGSYACVAESAARFTLGQAKEELLRVIGLQEEQGSSLEFLRRGYKNATWWEENVDQEKSSAWRT >Et_3A_023529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32742583:32742957:-1 gene:Et_3A_023529 transcript:Et_3A_023529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_5B_043394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:174459:182282:-1 gene:Et_5B_043394 transcript:Et_5B_043394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAGDVPTMYAVLVNSLSADEAVRRPAEAALAQCETRPGFCSCLLEIISARGLACREDVRLLATVYFKNSINRYWRHRRDSYGISNEEKDHLRKNLLLNIREENSQIALQLAVLISKIARLDYPKDWPDIFSVLAQQLQSADVLASHRVFMVLFRTLKELSTKRLAVDQKNYAEITSHLFEYTWNLWKSDVQTILQNFSTLSQRHDIDSILEQSNDLILICDRWLLCLKIVRQLIFSGYASDSRTAQEIWQVREVCPTVLTAIKSLLPYYDSFRDKQAKLWDFAKRACIKLMKVLITLQGRHPYSFIHQTVLPAAVDFCLNMITNPEQAGTSFEEFSIQSMVLVKSVLECKEYRPSPTGRVINENAQHLSLEQRKKNFAAVACDMLKVVLPGDRVVLLCNILIRRYFIYTAKDLEEWSENPESFHHEQNLVQWTEKKRPCAEALFIIIFEKYRELLAPVVVSVLREAMAVSPPLETDITAGMLLKDASYTAAGHVYYELSNYLSFNEWFHGSLSIEISNSHPNMRIIRRKIALLLGQWISEIKGDTRKLVYHALVGLLQDNDIAVRLAACSSLCYLFQESSFSEVDLFECLPTCWTMSFKLIEDVQEFDSKVQVLNFISVLLEHAGDKVIPFATQLSQFFQQIWDESAGESLLQIQLLTALRTFVSSLGFQSPLSYHMLIPILQSGINIDSPDALNLLEDSVLLWEATLSNAPSIVPQLLDLFPYLVGIVNRSFDHLEITIKIIEDYIIFGGQEFLKSHGASLSNIVDTIVGNVNDKGLLATLPVVDLLIQLFPLEAPPLISSALQKLIFISLSQDDGQNPSRATVRVSSGAIVARLLVMNTNFSAQLLSEPSLSTSIQQAGISVDDANAVQQKEYALALSVPQVIDKLDDILSVCTTVIIGNRETKTEEDTGGDITSSSWLGNDSSGYSNTSSKELRKRQVKDLDPIKQASLENVLRENLKACAALHGDAAFNAAISRIHPAAFAQLQQALNAA >Et_8A_057574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4679558:4687721:-1 gene:Et_8A_057574 transcript:Et_8A_057574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PEAQNPIRHAAFHPVTPPPPRTAALDTSRSAASRQQCSPPPHANAAASSVLLEASGGWTRPRRSSRSPRPSLSASASAPRRLAVPGNLLLLSLARLALRGPGPRAAAAPRRWFASLSAASPLASGGPPGGGGSAGNGDGGGGGGDGWKQPRASQGTGVAEEAAGREADVIVLDVGGMSCGGCAASVKRILENEPQVQSATVNLATEMAVVWAVPEERDAEDWKLHLGEKLANQLTTCGYKSNLRDSSKVSSQRVLERKMTEKLENLKQSGRELAVSWALCAVCLLGHTSHIIGVNVPFMHLFHSTGFHLSLSIFTFIGPGRRLILDGIKSLVKGSPNMNTLVGLGALSSFAVSSIAAFIPKLGWKTFFEEPIMLIAFVLLGKNLEQRAKLKATSDMTGLLNILPSKARLMVDNDSEKASLIEVPCDSLAVGDYVVVLPGDRIPADGVVKSGRSTVDESSLTGEPMPVTKIAGAEVSAGSINLNGKLTVEVRRPGGETVMSDILRLVEEAQAREAPVQRLADKVIACPCALGLATPTAVLVGTSLGATRGLLLRGGDVLEKLSDVDAVVFDKTGTLTIGRPVVTKIIASRSEGDSNTKDCRIDEWTEVDILSFAAGVESNTNHPLGKAITEAARAANCPTMKANDGSFTEEPGSGAVATIGEKQVSVGTLNWIRRHGVIHNPFREEEYFGQSVAYVAVDGALAGLICFEDKLREDSRQVVDTLSKQGISVYMLSGDKESAAMNVASIVGIQEDKVLAEVKPHEKKKFISELQKQYKLVAMVGDGINDAAALASADVGVAMGGGVGAASDVSSVVLMGNRLSQVVDALDLSKETMRTVKQNLWWAFLYNIVGLPIAAGALLPVTGTILTPSIAGALMGFSSVGVMANSLLLRVRLNSRQKLTGYSETSDEAEKNYSSKWST >Et_5A_042337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8983192:8986950:-1 gene:Et_5A_042337 transcript:Et_5A_042337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSLGMMRMLLRSHKDAEEDDEDEVPVSRSRTGPGGRTVLHAAVLVSNEMTKELLQWNPTLLKEVDDSGSTALHYVASVGNISMLKLLLRHDTSPAYASDSNGSFPVHIAAKMGYGQLIYELCRFCPDCDELLDSRGRNFLHIAIAHKKWKVVWHFCGTAELGRMVNVMDSEGNTPLHLAVKNADQMIVSLLMATKGVLPNIVNNQGLTALDLAVLATDKGISYTLNPQVIILRCLAWTGAVLSPRRLDHFIDEFSIGKTSGNELKKFTNIAQNLIVGSVLVSTVTFAAVFTLPGGYMSDGHPLAGAPILSHRYTFKAFVMANTLAFVGSTLSTIWLTYAGSEHVHPTLRAIYMFLSVISMEQATRSMVIGFALGAYVVLSPASDRIAIVVCLSTFMTLLLRNPSSWQLGFLIMPIKRRLGWRGAFKTHLPQETRGRLTVGVGSNFARLFFRRMLGMIFTYSFIFLLALL >Et_4A_034500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3910501:3912231:-1 gene:Et_4A_034500 transcript:Et_4A_034500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGAARALSQKEQDIQMMLAADVQLGTKNCDFQMERYVFKRRTDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPSNNKGRNSIGCLFWLLARMVLQMRGTILPGHKWDVMVDLFFYRDPEEAKEQEEEAAAGPEFAAIAEYPAADQWGGGDNWTTDVAAPPAIGAGGAEWGAAPAPVAADGWDQAGAPVSVPVDGVPPVVAATGWDPAAQPPPAQGWE >Et_2A_017733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5634425:5636310:-1 gene:Et_2A_017733 transcript:Et_2A_017733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWPNPALPLLLVGSLLAFEDWLTMPSCSGGSLAARASGDLRVMMVADLMLLGSDASYADRYFRDHFMSKFFANSIQTLKPDMIIVLGDISAKGSELTEHKWISVTEQFEGILGRYSSLPLHIALGDKDVGTCANLDGNSVHRRAKHLPGLDSSGCGAFEISNVSFVSLNAVALLCGNNALRFGVEKVMERENHHFQRERVNEEECYSLGCGKRESFADISWRHNSMKSGSGSVVLLHFPLHRSDGEVTGVPTFPEAIVSDHSSVSSSSKQSGADDRRLYDRLHTLPANSTQYILQALKPRIVFNAHTGSFSDFVHADGTQEVTVPAMTWNTRGMPGFVIATFDSKGGTTFGCCWLTREWHVITGYLALVCLTAVAV >Et_2A_017237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32265098:32266764:-1 gene:Et_2A_017237 transcript:Et_2A_017237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLPLAMPAASAAPTACISGSPSPASFTGTRAHLSLRFRGSPRGVACALRRRPTKYKTKIQNDDVATEDDMDDEDEDAALEAIFKQLEEDLENDDLSVNDEDEISEEDMARFEQELAEAIGDVGGAEESAGDLSSGFGDSGNDGKEAGSEQSELKNWQLRRLARALKIGRRKTSIKNLASELGLDRALVIELLRNPPPKLLFMSDSLPDETPSKPEVKEVEPLSSTIVDEAESSHATEINQEMELPIHVRSAEWSARKRLKKVQLETLERVYLRSKRPTDTMISSIVQVTNLPRKTIVKWFEDRREQEGVPDHHAAFRRSLSETVASS >Et_10B_004110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13140532:13142180:1 gene:Et_10B_004110 transcript:Et_10B_004110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVRHWNADVNGISLHVAEQGPAGGPVVLLLHGFPELWLSWRHQMAALAERGFRALAPDLRGYGDSSAPADPSQYSLFHIVGDLVALLDHLRLAKVFVVGHDWGAQVAWHLCLFRPDRVRAVVALSVPYFPRTPVSVTEAMAARGDGFYVTQFQEPGRAEKAFACHDVATVLKKFYSIQFDDLTAPPGVEIIDFLEAPSSPLPWITEEELGQYAEKFQKSGFTGPLNYYRMIDMNWRLMAPWNGAKITVPAKFIVGDKDIGVQSFGTDLYIKSGGFKSIVPDLEISIIDGHHFIQQEQAEKVNYEILSYLNKFTSEVASA >Et_5B_043311.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:23912256:23912528:-1 gene:Et_5B_043311 transcript:Et_5B_043311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWWCIVASLLLCFAVATKVVAARDVPRGDCDTATFVPTVAGAVDEAKTDVFGGRTGGGGLFGGVHGPLGGAVAGAGPFGGGGGGGVP >Et_7B_055516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12954000:12955526:-1 gene:Et_7B_055516 transcript:Et_7B_055516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHAVCLPYPAQGHISPMLNVAKLLHARGLDVTFVNTEYNHARLVRTRGAASVAGVPGFRFATIPDGLPPFKDDGDVTQDIPSLCRSTTETCLGPFRRLLADLNDPARGRPPVTCVVSDVVMGFSIDAAKELGLPYVQLWTASAIRFLCFRLLTSRGHAPAPLKDVKQLTSGSLDAPVEEETLRNIRIPSFIHAAMDPDDYMVRFVLQETGRTASASALIINTFDELEGEAVAAIEALHLAPKVYALGPLPLVAREDPPTTPRSSISLSLWKEREEALEWLDRREPGSVVYVNFGSVAVMTSEQLLELAWGLAGSGRPFLWIVRRDLVRGDAAVLPPEFVAGTASRGLVASWCPQKEVLAHPAVGAFLTHSGWNSTLEAVCGGVPVISWPFFADQQTNCWYQCNVWGVGVEVDRNVVRREAVASLVTELMDGEQGKEMRRKAREWRDKALRAAEPGGPSHCNLDELLRNVLLPKN >Et_10A_000003.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:10708694:10709238:-1 gene:Et_10A_000003 transcript:Et_10A_000003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGKVAVLVFLLCSIVCIHQVIGEDPVCTEEQKEAILSNCIECISRYRPPHMSVPAFNGPCCIKVRDVPNNDMKCIVKLLTHKERTEYVDIKILNLRYYCS >Et_6A_048180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8792334:8796618:1 gene:Et_6A_048180 transcript:Et_6A_048180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRLRRALAAATTSAPLLRASTSSSVSRALPLAPCVSAPLPRLPWLLPGAAAGFRSTAAAAARGGDYGAEDSKISPDEILFEGCDYNHWLITMDFPDPKPSREEMIETYLQTLAKVVGSYEEAKKRMYAFSTTTYVGFQAVMTEEMSEKFRGLPGVVFILPDSYLYPETKEYGGDKYENGVITERPPPVHYSKPSRTDRNRNFRPPQQGNYQNTPPPGNFQTFRPQQDGRGYAPQQNYAQAGQDARGYGPSGGFQGQTPQYQGHVNPAGQSQGYYNPQERRNFSQGQGGPNFSQGHGGPNFSQGQRGDFRHDSPSAPGTYGQPSTPGNYGQPPPSVHPGGNQQAPGVNPNYGGDNRQGAGPAFGGDNWQRGSGQYPSRGEGQGNWQAPLFRCAPLNFEENIIRIHIFDQLDVH >Et_4B_038551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29820814:29824947:1 gene:Et_4B_038551 transcript:Et_4B_038551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASLVSSLTLPLVSAFLGGAIALVFLAGYLRRKRAVIAHVPPSATAAAPDQPKQVRPSNQQKKGHLRQHHHAADKDAAKKHHHLDVNTLRGHTDSVTALHFSSDGCNLATVCADGVVRVFRIDDTSSKSFKILRINLPAGAHPTAVAFSEGSSSVVVAAQALLGTSLYMYADVSAPPTAENKQQGKLSPPEIKWSHPKIHGKESVLNLAAARATYGSSDGSTIIISCSEATDIKIWHGKSGKELGTVDTNQLKNNMADISPNGRFIAAAAFTADVKVWEIVYSKDSSVKEVNKVMQLKGHKSAVTSLCFAPNSEQIITASKDGSIRVWNINVRYHLDEDPKTLRVLEIPLHDSKGSVCQYDHMTISPDGKILAVTSGSTLQWLCVETGAVLDTAEKAHEGDITGIAWAPRTILNGGVPAFILATSGIDKKVKLWLAPEVRST >Et_5B_044988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7995453:7996940:1 gene:Et_5B_044988 transcript:Et_5B_044988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METQPPKAATLTLPDDALAAALDRLPARSLAVSRCVCAGWRDLVDERRLLLRRLLPHSVQGIFLTYDPEHRHPHLFSRPTAAGPRPRIGDEFGIDGAASRYYDKYGTVVDHCDGLVLYTNATPAMFVCNPATRRCVRLPACPAVHWIRDRCAYLSFDPATSPHYKVLVAPHVPAEHHAGRLMEWPPSPWTWNVFSSKEGQWEERTFVREGEAAGTVGGLQVNASDTASAWWFSATHWQGTLYVHFRREFVLRMPLSSNQMYRVIKSPIYQDDSYLGARPHLGKSEKGIYFATNHYEHCQLRVWFLHESQDGTEWVLMHQVDLKPCVFWARTMETAAAAGNLEQPCVGSCWLLDDCCSIVETKKTASHWSEDESEWDSDDDNIVDAPAQHSDEEIPLQTSWNAVPRVCRFLGFHPYKDVVFLSASYVGMAYHLNSSKLQHLGNLRPQGRCTGVTKSFVYTPCLLGDL >Et_8A_057886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8855421:8859351:-1 gene:Et_8A_057886 transcript:Et_8A_057886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAARLLPLFLLWRRPPPPHFLRRRRLPSPRPRTLATRADTFVLPGDERSPSPSRPPHQTPQDYAAPATGGNSAGTIAAIVTSVGGGPAAVGIVRLSGPDAVAVAGRVFRPARRAPAPWRPRSHFVEYGLALDADGGVIDEVLVVPMLAPRSYTREDVVELQCHGNDLCLRRVLGACLEAGARLADPGEFTLRAFLNGRLDLAQAENVSRLISAKSAAAADSALSGIQGGFSTLVKSLRSRCIELLTEIEARLDFEDEMPPLDAKMLVSKINSMKHEVQDALDTSNYDKLLQSGLQIAIIGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVVEANVSIHGIPVTLLDTAGIRETDDIVEKIGVQRSEAAALGADLIIMAISAVDGWTDDDTKLIEHVLINKVDCAPFVSGKQFERFSGLFRKHVHTCAVTGKGISELESAVIEVRGLEPVPSGGRRWTVNQRQFEQLLRTQEAFKRLESSINEQLPMDFWTIDLREAALALATISGEDISEEVLSSIFSKFCIGK >Et_5A_040719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11516093:11516626:-1 gene:Et_5A_040719 transcript:Et_5A_040719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANSASGLAVHDACKVKFRELKAKRSFRFIVFKIDEKSMEIQVERLGEPTQGYGDFTDSLPADECRYAIYDLDFTTVENCQKSKIFFFSWSPDTARTRSKMLYASSKDRFRRELDGIQCEIQATDPSEMSLDIVKSRTN >Et_8B_059063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1369043:1372891:-1 gene:Et_8B_059063 transcript:Et_8B_059063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGDAPGSADDKKSPKPEGSSNERQGIPPGGFASPFDFSSMQSLLNDPSIKEMADQIAKDPAFNQMAEQLQKGAQTVGEQGMPPLDPQQYMETMQKVMENPQFMTMAERLGNVLMQDPAMSTMLESFSSPSHKEQLEERMSRIKEDPALKSILDELENGGPSAMMKYWNDPETLQKIGEAMGASFPFGPGPSAEPSGTEEAEEEGGEDESIVHHTASVGDDKGLKKALDDGADKDEEDSEGRRALHFACGYGELKCAQILLEAGAAVDALDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTLQNMDGKTPIDVAKLNNQDEVLKLLEKDAFL >Et_1B_011548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23490313:23494671:-1 gene:Et_1B_011548 transcript:Et_1B_011548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPECGGSEGYRELRDVRVELNSEMVRGGGEGFAVCFWLYLSRSAGPSSVILHQVDGRDDNKLPFLALGEGNKLLLFPLLRLHKEAPVPPGSYPWTDTINLSSINGCPLEKWFHLGCEVTENVMRLHIDGDLINETHLCSLSNEPDHQDDGSLIKLVGSDDKFEGYVYNTEPSCRRNFLLELVLIDAFGEPVKDIEVVASLVYADNGTLVEKSRDDSEPPLLITCEGIEYPAISRPIPVIRGRALFKLKISQLSSKCDNKLFRIHFSTPHMKRYPFMEAYSKPIRCISRHRTNRPLGSGKQVTSATTDEIIVLSDGQGFVNADTVIGRLNSHGQSSVGCSHPSMNVKTEDGVAMTVDTNKMVSQNKHARKVVVSKEAHNVMGTDSSTSDYESFEAGSSWSGSDGDEVESFSDAVIFRYCLDSTDERSKFLRGAAPTVNKDALVKLADQVSLYSGCSHHRDQILTSKRLLQEGADTWSMISKNKECALWSSAIPEIITKFMGIAHPMNRGLSEQDLEVLRGIAGCGDDIGRNEFERLWYWLYPVATSLSTDKIKNLWDCTVPRWIEGLITRVEAENALRSSKGLLKEPGTFILRFPTTRSWPHPDAGSLVVTYVGFDNLIHHRLLSLDSSDARAGSLPDLLLQEPELCRLGRVERLPTAKQR >Et_3A_024854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24897641:24901815:1 gene:Et_3A_024854 transcript:Et_3A_024854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GVLQLESRMELAFPRLTSEIATHSAMPAVMMLPLVPADPRGRHVRGRTRRRPAEMLVGPTKVLFMDEISTGLDKSTAFQIVRCIQQIVHLDLGEATVMASLLQPSPVVFDYFVLLSKGQIVYQAPREHGLDFFESCGFRRPERKGVADFLEEARHSRFCKQSVSTLELLKTSWSKEWLLMKRNSFVYVFKTVQLSYLLKGILLALVASTIFLRTQRHTRNEEDGQIYTGALLFVMIVNMFNGFAESTLVLARLPRPWTFALPNVLLRVPASLFESIVWVVITYHVIGFAPEASRCPIFSSRNISSLILFFCFSGLDIVWTFPLVLCLYNLTNEYFSFESYYGIEIITFHCYRCNSKMVDMALWCSPLTYAYIALAANEMHSPRWMDKITPDGRRLGAAVLENSGLFTNKEWYWIATSALLGFAILFNGMVTLSLMYLNPLGKPQAILPEETNINLEDTHEGKKITDMAQRSVLEQLHGHSPNTSDRSHMNASVRIVPGRGMILPFEPLSMSFSDINYYVDMPLEMKSQGITADKLQLLSGISGAFRPGVLAALMGVSGSGKTTFMDVFSSGRKTSGYIEGEIYISGYRKNQATFARISGYCEQNDIHSPQITIRESLLFSGFLRLPKEVMDQERQTSSSSARTISVDSKIFVDEVMELVELNGLKDAIVGLPGVNGLSTEQRRRLTIAVELVVNPSIIFMDEPTSRFDARAAAIVMRTVRNTVNTGRLVMCLHIPDT >Et_9A_063001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:795830:803096:-1 gene:Et_9A_063001 transcript:Et_9A_063001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGGSIRRRLDKLPRERSFIDVGRFSPGLYPLEKGRRDAAAEERSFLQHFFLGEAEEVSADDLAVEKMRRREQQKEAYQKLLREKKEKKDELRKLFMAQAARLSHNPDYAYPNGYMMSLGLSYALKKNWRKAVASKQEDEGASEECVNLEPFFFDEAEAVAEHAAPEEKRKQKEQKEQEEAREQQMLLRRWKVHRSVLNSIRDYNHKRNYFYFRRFNFADLSTFDLDEESPLGPMRYTGYPLLLDGTVCIQGEERFLLNDSVNVLSVKIASSEVGFPINVYGTVIARDSLDNKCVYLFRCDRNHCQLINSEDDLLILTGPKRGLVLTSVIYFEMDLKIKSGQGKNDKQLSNGFLTLDAVARTSWDKMKVETDSLDTKLGVLEVTYAVVKRAVEATVAIEVVEGDFYGKITACTTNIRNCMVLHDSNVADVITCNSKRVVQILRPTVAVFVKEKLEVTGVAQTGVGEVESTINFTPRASGVDEDEMTCGSVKMLVKATPKFLIYWACLASKCQSRINILLINFEVHNIRLSPSNNIHLNYFEVDLKIKDDQGKKDRQLSNVGVCGVVKRALEATIAVEVAEGELWKKKITSCTTNIQNSMVLHDSKLAEVITCDGKIIHTKSKQCRGRPSYTCGSVEMLVKVYWSIIASVPCG >Et_2B_020688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22740507:22742984:-1 gene:Et_2B_020688 transcript:Et_2B_020688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYSGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRVKYESTARSWTQKYAMG >Et_3A_026620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10587570:10591089:1 gene:Et_3A_026620 transcript:Et_3A_026620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALKRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDITGGACSIGEQPIKGLLNPKAMARLAVGEALTNLVWAKVTSLADVKASGNWIYAAKLDGEGADMYDAAVALADCMIELGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISTYVTCPDITLTVTPDLKLGKDGVLLHIDLAKGNRCLGGSALAQAFDQIGNDCPDIDDVSYLKKVFEAIQELLSQRLISAGHDISDGGLIVCVLEMAFAGNCGIELEMNLEARSLLEALFAEELGLVIEVHSKNIDIIKQKLKAAGITANVIGEVTTSPEIEVVVDGQVHLKENTSDLRDLWEETSFQLEELQRLKSCVKLEKEGLKSRTSPSWSLSFTPKFTDEKLLCASSKPKVAIIREEGSNGDREMAAAFYAAGFEPWDMTMSDLLAGKSSLEEFRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQDFYHRPDTFSLGVCNGCQLMALLGWVPGSDVGGSLGAGGDMSQPRFIHNESGRFECRFTSVSIGDSPAIMFKGMEGSTLGVWSAHGEGRAFFPDDNVLATVVKSNLAPIRYCDDANNITETYPFNPNGSPQGIAALCSPDGRHLAMMPHPERCFVMWQYPWYPKDWQVEKSGPSPWLRMFQNAREWRTLPVEPISIIKAGAVVKLLQFC >Et_10A_000447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10556819:10565175:1 gene:Et_10A_000447 transcript:Et_10A_000447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNEADFKCRQRLDAKFSIETAEQIYVKNWPVHPSNNRSRQRIKLGHVFGDVPRDVARAAVRRALDLGINFFDTSPYYGGTVSESVLGDCLRHAAVPRDSFVVATKCGRYKEGFDFSADRVTCSIDESLARLGLDYVDILHCHDIEFTNLDQIVNETIPALQKIKESGKARFIGITGLPLNIYTYVLDRVPAGSVDVILSYCHFGINDTALVDLLPYFNSKGVGVISASPLAMGLLTDNGPPDWHPAPEELKSACRKAADHCREKGKRITKLAMQYSLMNNEISSVLVGMNSAEQVEDNVAAATELSTSGIDEELLREVEAILSPVKNLTWPSGIQQP >Et_4B_036055.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:17905490:17905915:-1 gene:Et_4B_036055 transcript:Et_4B_036055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDTGTSVTGLAPPAYAQVTRALDRYLAHLQRVTDIAGFEFCYNWTFAGDGVDPAHNVTVPRFTVELEGGARLEPDAKSVVIPEVVPGVACLAFRRLPSGPGIFGNVLMQEYMWEFDHINEKLRFRKDKCTTHNTSNHHP >Et_3A_027230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5450296:5451413:1 gene:Et_3A_027230 transcript:Et_3A_027230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVASILLMLSVQALLVVAGLCSAAGSGDTDIIRLPSESEGNNERPPWRCCNDAQCTKSWPPICRCRDKVEHCSDACKKCVDVEGSKACADWYRGQLPPRCDDDQDNNVVLGDVVTGARKEGDDERPPWKCCNNALCTKSWPPVCRCMDKVEHCSDACKKCVDVEGSSKACEDWYRGQLPPRCDDDQDNNVVHVVVPGARKESDGERPWKCCNRPVIGPSTSETPVWYCLDKFEHCDCEHCSKVDKGHGYHCLDGYKGSNPGPICTHDG >Et_6A_047092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25064775:25073835:-1 gene:Et_6A_047092 transcript:Et_6A_047092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDDSHHLRAALREAFGDSSDSESDAPAGVGTSCGRGCGGMAGGKRWRWDAVAEVRGLWLCADFLADDEQARLLAAIQREGWFSDVHNQAMRFGDLPSWATELSMLIREAICVGDVSAAADAELTNEDEDSCPLPSDLLWREPLFDQLIANRYKPGEGICAHVDLMRFDDGIAIVSLESACVMHFSQAEPADTACDILKQGDTESTKVPVHLNPGSLVLMSGDARYLWKHEINRKPGAQLWDGRELEQDCRTSVNRPPTKWPAAAAAATSLTSVPPCWRPSAIRQIANRTRPRGSAPHAVAWVGRAGSAGGGMRWRRSGGCGSAMNSSSLTSRRDSSPRSSEAMKFGDLPSWAVELSVLIYVAICVGDVSFGANAELAKEDEDSCPLPSDLLWMEPLFDQLIANRYKPGEGICAHVDLMRVDDGIAIVSLESASVMHFSQAEPGGTACEVSKEGETESTKVPVQRLTCDARYLWKHEINRKPGAQIWDGRELEQDYRTSLPSWAVELSVLIREAICVGDVSVGADAELTNEDEDSCSLLWRKPLFDQLIANRYKPVKENQEAQLVKFPRKGTLNQQRSLSTSTQAHLFSCQPSGDARYLWKHEINRKPGAQLWDGGELEQDYRTSVTLRKTLGLSKLKWSWQ >Et_8B_058851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:995283:996789:1 gene:Et_8B_058851 transcript:Et_8B_058851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILRAALPLCFFFLVVVLRGGHAHGKKSQASTDDAGVYDVTEYGAAPSNSDNKDAFLAAWHAACGSTAGGNATLLFPNGTFAVGAVEFAGPCTNGDAPAVVIDGVLQPGGRRLSGDAWITFSGLKNLLVTGAGTLDGQGDQSGGNGRSRTTTLVLDDVTNSAVRGLRFVNSRGFHVNLRRCSGVVAEGLHIEAPEDSRNTDGIHVGASSHVRILDSEIGTGDDCVSVGPGSTDVLVDGVVCGPGHGISVGSLGKGEDEEDVRGLIVRNCTVRGTTNGVRIKTWPGSPPSCASNITFEDITMDAVANPIIIDQQYCPHGRCSDADKPSLVQISDVTFKQIEGTSSSRVAVQLICSEERPCTGVRLVNISLSCGDQQCETQIENVLVTPPTPGPAARPEEEAYVQRSS >Et_2A_015237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:65952:67025:-1 gene:Et_2A_015237 transcript:Et_2A_015237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLFGFWDAQSQRRRRSGFDSLKRLGHISPAVQSHLKQVYVTLCSALAFAALGAYLHVLFNVGGTLTTLGCLGSIAGLLALPASQSQERNRFALLMSAALLQGASIGPLVHLALDFDPRILVTAFIGTAIAFGCFSGAAIIAKRREYLYLGGLLSSGLSILLWLQFAASIFGHSSATFKFELYFGLLVFLGYMVFDTQEIIERAHHGDMDYIKHALTLFTDFIAVLVRVLIIMMKNASEKSEDEKKRKKRS >Et_1B_014280.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:4922276:4922674:-1 gene:Et_1B_014280 transcript:Et_1B_014280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTTPTMPATTTMTPAMVTPLPGYGYQGSAAGSAMPPPPSHGSGSIGTFFGVLVAVLVLALLSCIFGRVCAGHAEGPDEWYDCARLARRWRGSRAPRRSARREAKPPPPMLPVVEVEIPAALPPPEEP >Et_1A_006337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20922362:20931165:-1 gene:Et_1A_006337 transcript:Et_1A_006337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEEQRKGHAGKEGTKNKYAVACSIIGSIISILMGYDTGVMSGAMLFIKEDLKTNDTQVQVLAGILNVCALVGSLTAGRVSDWVGRRRTISLAACIFLAGSILMGLSPNFGTLLAGRCVAGVGVGYALMIAPVYAAEIASSEIRGSVTSLPEICISFGIFLGYVANYLLARLPLAYGWRAMLGLGALPSAVLAVAVLAMPESPRWLVMRGRADEAAAVLRRVCDAREVDVRLAEIKAAAGMAGDGDAAAAAPPPAGKGVWKEMFVHPMPPVRRVLVAAFGVHFFQHLTGIEAVVLYSPRIFKAAGIATTSEVLVATIGVGVTKTVFILTAILLVDRVGRRPLYLSSLSGIIASLACLGLGLTVIERSASHHTPSWAVALAIATVFTFIASFSVGVGPITWAYSSEVYPLRLRAQGASVGVAINRVMNAAVSMTFVSLYKAVTIGGAFFLFAGLAVVAAAFFFFLCPETQGRPLEEIEEVFSRGWRDRLKSPAPPAASMELPVSVANDGSKAPMGEEDQRSNHGPKEGRKSKYAVACSIVGSIISILMGYGEIITLETQRFYADTGVMSGAMLFIEEDLKINDTQVQVLAGILNVCAILGALTAGRVSDWFGRRLTISLSACIFFVGSALMGLAPNFATLLAGRCVAGIGVGYALMIAPVYAAEISSAETRGALSSLPDISISLGILLGYVANYGLAKLPLVYGWRAMLGLGALPSAALAVGVFAMPESPRWLVMRGRDEEALAVLRREYGAAGEAEARLTEIKAAAAAAPAASSSGKGVWKELFVHPTPPVRRIVIAALGVHFFNHLTGIEAVLLYSPRIFKAAGIASRNEVLGSTVGVGVTKTVFILAAIALIDRIGRRRLYLSSLAGIIASLACLGLGLIVVEHHHHPPRWAVALAIATVFTFVASFSLGVGPVTWAYSSEVFPLRLRAQGTSVGVAINRLINATVSMTFVSLYKAMTIGGAFFMFGGLATVAAVFFYLFCPETQGRPLEEIEEAFSDGWRARMSSAAAVELPRVNATVSKADAR >Et_7A_052627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9973403:9978043:-1 gene:Et_7A_052627 transcript:Et_7A_052627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TGTEGKRREEFSTVLLLHRKFQPRKSESRVALGPAAHISTDAASGHATWQTKRSSDRRRCRAAPHRRGTRGARQRAAAAGGRWKKRGGRGGMAGGGGERNGGVGKVKAGSRPPWVGLAAAVWVQVAAGSAYVFPLYSHAVKEALGYDQRALTMLGVGNDVGENVGLLPGVLANRIPPWAILLIGSACAFFGFGTLWLAVTKTLVMPYWVLWIALCIGTNSSAWLGTAALVTNMRNFPLSRGTVAGLIKGYVAVSAAVYTETFNGMLGNSPTNLLLLLALGIPIACVLVMYFVRPCTPSLDEDNTTEHGHFMYTQISSVVLGVYLMVATILGDTLNLSEAVTYLLFGIMILLLLAPLAIPIKMTLYPKQQTKEKPTTLAPSYSTDSFSGPDQENAEPLLGSASTTLLPGTNDSDESTDLELLLAEGEGAVNLKKKRGPRRGDDFTFGEALVKADFWLLFIVYFCGVGTGVTVLNNLAQIGMAAGANDTTILLCLFGFCNFIGRILGGSISEYFVRSRMLPRPFWMMCTQIIMVVTFLLFATGLHSLIYVSTTLLGICYGVQFAVMIPTVSELFGLKDFGLMYNFMLLVNPLGAFFFSALLAGYIYDKEAAKQHPGVLEPSNCFGPDCFRLTFYVCAIVCCCGTLISVFFITRIKPVYQMLYASGSFRHPRSLQQLH >Et_9A_061685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15291595:15296658:1 gene:Et_9A_061685 transcript:Et_9A_061685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKNNAGKASPCLLVLISVGCFFATYNFLTMVGHGRGRDGPPRKFLGSDRDSAVSVGSGSDPSKRFHVALTATDALYSQWQSRIMHYWYREMRARPGSDMGGFTRILHSGKPDGLMDEIPTMVVDPLPEGQDRGYIVLNRPWAFVQWLQKAKIPEEYILMAEPDHIFVRPLPNLAHGDEPAAFPFFYIKPTENEKIIRKFFPEEKGPVSKIDPIGNSPVIIKKAQLEKIAPTWMNVSLKMKEDQETDKAFGWVLEMYAYAVASALHGVHHSLRKDFMIQPPWDLKTDDTFIIHYTYGCDYSMKGQLTYGKIGEWRFDKRSYLRSPPPRNLPRSTPVRDNRVAHDRADQLDARDAVIVVQSPELGGVDHGVHDPSHVVPRLERPGVDGVVMLGAPAGGAAAGEDVDELHGCAGGDAHTPRRPVGVWPRRLHVKPYVPQQSGAVVVVEHVEEQQDAERQVDPAQVPAADGDDGVGHHHEDSSASRSKASSPSSRTIRGRSTLHSSERNRTSSASFFFSGVGAGASSSSLAFTMLSAATTSSSSPRRNRPVMLPCSERSKQSGESSDSDGGRAGWPPSAAAL >Et_7A_053065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:659034:659715:1 gene:Et_7A_053065 transcript:Et_7A_053065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAVHSAMKRLGFGDVDIVVAQTGWPSAGEDWEAGVGADLARDYNKNANRTFELSIFSLFDENLNPGPVSERNFGLFRGDMTPVYDAGILAAPETVEPVSDKLTQPGGAAADELMLQENIDFACGQDGVDCSAIRPGGICYEPDTAQGHAAYAMNLYFQSKGQHAFDCDFGDTGVVTTADPSYGGCKFT >Et_7B_055983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8770793:8771505:-1 gene:Et_7B_055983 transcript:Et_7B_055983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGAAVTLFYCVALSMVAVGFAAPADNNGRFISREALECSNITETSHRKLAGLPAGLCPVNFEGLPGIRTVVNSCRPPQPSEQRCCGAFKSFACPYLDLINDNANNGCAGEMFFEIIVRGRLSPGLFSYLCHDGAVGLNCGPAPA >Et_6B_049568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6618002:6629972:-1 gene:Et_6B_049568 transcript:Et_6B_049568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRAATECPKKVAGLVDLVNLPTALREFAGGQSQMSHLTFFRRVWSYIKDNNLQDPTNKNVVKCDDKLKTVLLGRSKVELSELPMIVKLHFPKVPKSLVPGAKKNAPVYTLSLFCRFHKFKPEDLEPAPSNSSSISEMSYMASLRRFSHNRTVASWMDRLSASWSTASTDSSAIFIFLRSLSKSSCSLIWPPMAAQICWLTRLRREETSIASSFGMAADSISALVCRARSCLSTAHQAPNLKHSTTPRLLTPSMWD >Et_4A_033786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26950612:26951438:1 gene:Et_4A_033786 transcript:Et_4A_033786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRGQPDEAEQEGKGGAGDPPPPPPPQFLEVTCRSSGKVRRFAAGTTARYALHAINRKLGPGAPPALHVEAVRDGEEEPVCFGPSAPLADYGRGWRLQTVTAQDAPGILRGAPPPADAKRDDAQAAKDFRGGDNLWSRATAIYILKILLAFLFIFLLGGLFTYLLETLPDMFQLESAPESFVESAD >Et_9A_063318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19876865:19878281:-1 gene:Et_9A_063318 transcript:Et_9A_063318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRNAFSTSAEAPLLSRHMAYSITAASQGSVILPSLPKPNSSWDIRKSSVKTGVLRGAALIFFFWHPPGDPLYPKRCHPLPFLGKLSNPPGIDHAASDGVWRPLEHEYIDERGGCPVKWDGWLREKALNMSRMTRIQLLCFRFKKTRHHPQQNADEEAWSQCFSQIHAARVQMKLRVPLWTYSKLPELYQQKLHDQFLEDTFTACHE >Et_7A_052830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18613516:18615280:-1 gene:Et_7A_052830 transcript:Et_7A_052830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVRVNVKELISNVSVYTSATESSGAMSLVFRRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNIIVRQRGTRFHPGNYVGMGKDHTLFCLKEGHVRFERDKLTVKMLASNKYWQYCELMSQNLCFYAATLEQ >Et_5B_044059.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19534967:19555648:-1 gene:Et_5B_044059 transcript:Et_5B_044059.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSHEIFSERCNCNHLGEEFHQMASQIESHLAGAEVLKGDAICSKKTIELLEEIGLPKGLLPLEDIQEFGYNRATGFMWLVLGKKKVEHTFKKIKQTVSYASEVTAFVEKGKLRKITGVKTKELMLWLSVVEVYIADATPDKVTFKTGTGLSDSFDAAAFALGDHRTGAEVVKGDAICSKKSIELLEEIGLPKGLLPLEDIQEFGYNRNTGFMWLVQGKKKVEHTFKKIKQTVSYAAEIVSYAAEVTAFVEKGKLRKITGVKTKELMLWLSVVEVYIADATPEKVTFKTGTGLSDSFDANAFALGDKISKPTTLDVEDKLQRALLRAQVIADEAMGRLVTNHAMLLQLDMLTEAIYRGYYTLDTFRYQPHNKDDAKNQVLNHSSSLSKINYLKGFCSSSNNTHVFEQMEKSLDSLSSMIIDVKELVVFLTSYPSMYRQPYSMHLLLDNCMFGRQMEAERIINFLLQTRPHGAKNLEVLPIVGPGKVGKSTLVAHVCKDERVLGHFSQVIILHDHDFADDELVTLMKGCAMKLQNQLSNSNKDRRVLFVVELIGDLDEDAWNMLYSASKRYVPSCSKIIVTSRSDKIKNIGTTQAVTLNFFSHEAYWYFFKTLTFGSINPGMHPRFVHLAMEIAKVLNGSFLSAVGTAYLLRDNFEIHFWRKVLALLRRLVKKHVLRFGEHPFDLVDQYRIAHVRRMATPSEYLVVYRQYECSPEAEVPKIMIKDLMMYESIKCHGKFEVLTWRSRLPPYYSYVYTCEIQEQKMATHIESHRTGAEVVKGEAICSKKTIEWLEEIGLPKGLLPLENIQEFGYNRATGFMWLVQGKKKVEHTFKKIKQTVSYAAEVTAFVEKGKLRKITGVKTKELMLWLSVVEVYIADTTPEKVTFKTGTGLSDSFDANAFALGDHHIGAEVVKGDAICSKKTIELLEEIGLPKGLLPLEDIQEFGYNRATGFMWLVQGKKKVEHTFKKIKQTVSYAAEVTAFVEKGKLRKITGVKTKELMLWLSVVEVYIADATPEKVTFKTGTGLSDTFDANAFALGDHRAGAEVVKGDAICSKKCIELLEEIGLPKGLLPLEDIQEFGYDRATGFMWLVQGKKKVEHTFKKIKQTVSYASEVTAFAEKGKLKKITGVKTKELMLWLSVVEVYIADATPEKVTFKTGTGLSDTFDAAAFALDEKATYSVHKLETSLSSSHYYPAIIIGEKNPCSDYEEQIKGTLY >Et_6B_049005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16600726:16601942:1 gene:Et_6B_049005 transcript:Et_6B_049005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFVLYPPQDLNTTAWPPSFWIRSCSRHAALQAGISYIPGSVVTEGQPFATRIVSSGGYLDEEDTGDYVLVYTSRGGREHNRLDHHTDQKLQGSKCALHNSYVFDIEVHDIRGHTCDTIPNHKVYVYDGLYKVVSSDFGTGKSGHDVCEFKFLRVPSQDEQLGSKNWNTAKLLKHAIVSKILPSIHLARLFGGKEPSSVPVCNLVDDDRSPLDFEYIVSTDFSPVKQEGGCHCAAVCGLRCECKKKNVGVRVWCSVWMCGCPITCVNRVTQRGMKHWLEVFRSTANTQLDTAWHILVRWLVAYREDRYIIDPNKRFPPRWSEWGDASNVHPGIKARQFQQFQGTGYLLDLSRRRSVASYISHSDTPNVFHQYLLFGNEDEPRPHLMVAMREFNVDYEMP >Et_8B_059214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15430673:15457155:1 gene:Et_8B_059214 transcript:Et_8B_059214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPVFKAELYGPMSDKSLKDITLHIEEMQPAVFKALLHFGAIATTASWCAPAKARGRHLFEVTGYSQLKGLGVCESIRSAAFTVGGYKWCISYCPDGDDGYEDYASVFLYLLTETTRQVRVVFDFKLLNPATGVWSSVCSETYEMYNECPTTGTADFIKRSELEASYARDDRFVMECDLTVFLGIPVPKSRKVCEIQVPPSDVLDSLGKLQEFTEGADLTIKIQGEVFHAHKIVLAMRSPVFKAELYGPMSDKSLKEITLHIEDMQPAVFRALKYGRDHPFFAAPAPAAAAALGFSSETPAMEESRRPERKKVSTSARETDRCTYVFQIDGYGLHKDLVTAGTNMESATFAVGGLDWCVLFYPKGDGDDYSEERGDCACVYLEMISRTTKPHRVQFDFRLVNPVTGLSSSMFHEDGEFDNDYPCWGTRNLMEISMLESEYVRNDCLVIECDVTVVTGTAVSKSETIFDIHVPPLDVLDLLGNLLEWEEGADVKIKVKEEVFHAHKVVLAMRSPVFKAELYGPMGVKKTKTMIIEDMQPAVFKALLHFIYKNSLPAMDDLDEVEKKEMVKHLLVAADWYAMERMKVICESFLAKKLDAESVATILVLADQHHCNQLRDACIEFINTSNRLDDIQPRPAARTASRCVTARGTHSFEVTRYSLHRDMGAGHYVQSAAFDVGGYRWRLRFYPYQICDGEGREYIAGAEVRAHYDFRLVDHTTGSSRSIFSKTLPRVFNTMDATKNTHGWGPRKCIKRSELEASSYLRDDRLVMECDVTVIKESQVLLEASDDEGPPPELSIHVPPSDILEDFSKLARMTVGTDVTFTVDGEVFPAHKIVLAARSPVFMAQLYGPLAEGNNGESITVEDMQPTVFKSLLHFIYTDSLPAMEHLDDDDHRETAKHLLAAADWYAMDRLKLICKEMLCRIVDANTAETMLALAEQYGCRDLKEACLQYIITSKRKHNVSARQCYPEPKRSRVASND >Et_6B_048811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1452640:1454217:1 gene:Et_6B_048811 transcript:Et_6B_048811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFCNSNATRFKVPLLEDPFPWQDQHEAFRSFVRVLSEFGFVSSVIAGIYIQRSGMIYQTSTAMDATKLLVLIAGTATFGANIACLYDDSIIAPTFPHLVEQPGQRRRRRRRHRTTRKTCCSFVPSKGCLRGFFAGVCIQRNGIIHQTSSAADVTKLVELLHLESTLNVCMTAQSLHQNIIIYICYLNRGEEDGEGVEHKEKRICDLNLQTKMLDV >Et_4B_039259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9177110:9180474:-1 gene:Et_4B_039259 transcript:Et_4B_039259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRAETRVAMSEQSARAAWSFAYEKGLVEILVELKVDARFKGQNGWTPEGWKTVATKFNEQFPLARFTKLLKLAAQPSTSEASVPAVPSAATSENTAANPFGAGEDGQEVSEAQSAPSGSGRKRNQSQIAATLGVYLGTKTDQAQKTVEALMQKKKREDDHSVEKCLETIEAMEELTDEEKATAVELFEKDIHREIFMNFKNHNVRLIWLRKKIRNIAESLFGAAEM >Et_4A_032189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22707511:22708458:-1 gene:Et_4A_032189 transcript:Et_4A_032189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLEYSRPVTARNGRVVLELRRDRHADGLKLCVLNPMTGELALLPPLAGKDRPGFYACALLTGDDLIIQPPPPSSATAATIFFRVLVVYNRRSFTALRAYSSDTGRWSAEVSCRSPKIDAGRLRKLGQGVVLRDEHDTSAGKWEERQQGCIMLTQMKVGSVIDDSVNLRWFCEKSGVILFTVGEGSSSPGAYALNVRTREVEKLADGAGCNSWRNVVGYEMDATACLASIACY >Et_3B_031318.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25728271:25729113:-1 gene:Et_3B_031318 transcript:Et_3B_031318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSKKRNSRAAASARRCEPEDRSPPSPEEETVKEVLSETPSAKPRPEPKSVANVAAPEELDVEKEAKKKHATADAALSDLGSCVSLSLATDEVSEAASESSVATSSVTGPDPSPTGKPARRRRPVSADLGPARRDRAVAASYGVRSRSARGSPSPPPRQVTRDRSARRSPSPAAKRPSEQRRAASPVQRKPPVPVRPASGRVSPRRAQAEAPPPPVVAFPPSPPPSSLPEDDAVTVSSEVSAPNASAGGGDVQGGRDVDGKESLENPLVSLECFIFL >Et_2A_018732.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:6278316:6278786:-1 gene:Et_2A_018732 transcript:Et_2A_018732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLERLLERRPELLNSVQLRQNPHDVHAWHDRAKIFHADPARQAATYAEAVRTVDPTKATGKPPHTLWLAFAKLYETRGLLDSAREVFRRATQVSFRSVDDLATVWCEWAEMELRHGNREAAIELIRQATSEPSVEVRTRVAAADQPAVQIKLHR >Et_3A_023186.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7341328:7341810:-1 gene:Et_3A_023186 transcript:Et_3A_023186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIRLDAIRGPGLILCCSFTVPARLTAAGNHLAPGAVALVDQIGFAVVVVDGKHHKVSVDMSVSFVDLAAVLPSYAVPPPSPSRRPSSRLFSSLFLHRFALPFSVLASICGSSLSASVASSSTSAGRRRKGERGNVVSAGRRRLASAGRRLASTSVSRL >Et_7A_052202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5657160:5660727:1 gene:Et_7A_052202 transcript:Et_7A_052202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLVSMDMLRGSTGKREVLISSMNAPLPYATEDSFGGYFFEDDDDDLAQVLQDQEILYQLIQGNDGSGSSRMRSAPNSSYGHDQISNERKPSGDANYKLQLAVDEALARELQAMEGQLANTSLNDNDGRRNRTTSTTSNSGNSSGSRPPQAIVDDGIDPDNMTYEELQQLGEAIGTESKGLPESAIALLPTSTYKSGIFSRKEKHNECVICCMAYKNRDKLTKLPCEHQYHQTCVTKWLQINKVSYLVFSFLIYALWYLYRYLPMSSIRFFVFTKLVASILPRTVVVATDHGSKSNFWVTYKVMISE >Et_6B_049857.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:15426267:15426716:1 gene:Et_6B_049857 transcript:Et_6B_049857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRWKPFLGAFDHIDAAIESAAGGVPRGEFKRSRNRIVETLCGATDDTVAEALCRLLDDAMAESLVTLRTAAHAKKNLDLLASGELVAAVGALARAHESARVRGLARAVLRGWREAVEADLATATAAMDVLDRVSTATTILHVHHGT >Et_2A_018745.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:6505192:6507855:-1 gene:Et_2A_018745 transcript:Et_2A_018745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLLRRMGFHNMLIQRTHYELKKELAMKKNLEYLWRQNWDIEETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMRGFSYESCPWRFDPVETTPDNVQERATKLLDQYRKKSTLYRTNTLLIPLGDDFRYVSTEEAEVQFRNYEKLFDYINSNPHLNAEVKFGTLEDYFSTLRDEAEKINYTRPGELGSAELQGFPTLSGDFFTYADRNQDYWSGYYVSRPFFKAVDRVLEQTLRASEILSSFVLGYCQKFQCSKLPISFSHKLTAARRNLALFQHHDGVTGTAKDHVVVDYGTRMHTSLQDLQLFMSRAVEVLLGDVHDRSDPTLLSHFEPVQERSKYDVQPVHKVLDPHEGKAQSVVFFNPLEQTRDEIVMVVVSSPDVSVLNSNGSCLKSQISPEWQFVKGEKISTGRHRLYWRASVPALGLETYYVVSGEDCEKATPAVVKAFTGSHQFSCPEPYDCSKLEGKTLEMKNSYYTLSFDVSHGLLKTVAHHKDGEQTEIGEEIGMYRSHGSGAYLFKPLGEAHSIVEEGGHFIVSEGPLVQEAHSLPKTEWDKSPLSHSTRLYNCGDSVQDMLIEKEYHVELVGHVFNDRELIVRYKTDIDNQRVFYSDLNGFQMSRRQTYDKIPLQGNYYPMPSLAFLQDSRGNRFSVHSKQSLGAASLKNGWLEIMLDRRLVQDDGRGLGQGVLDNRPMNVIFHLLRESNVSTLPKTHSLLTLQPSLLSHRVGAHLNYPLHSFMSKKPHDKSFKLPQQSFAPLAASLPCDVHIVNLKVPQPLKFAHTEAVEPRFAILLQRRGWDASYCKRGGLQCATVGEEPVNLFYMFKDLSAVNVKATSLNLLHDDPEMLGYLEQIGDVAQEGNVLISPMEIQAYKLDLQLPSLQEE >Et_1B_010912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15330106:15345696:1 gene:Et_1B_010912 transcript:Et_1B_010912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSRPLLDGDRSANCKFLPIANQVAETMLPPKYQSGAKKKKEKETTKFGFIKLNMANTKLVTLSLFVLLSIGLTNAIRVARYASSQGTGSGGGNGGGSVNGAGSGSGSGSGVGESGNNGAYASAGGGGGGGGGWSQYGGSGFGGGSGTGSGSSQFSQGSSYGYGGSSSAGGHGNGGGGGQASGNEGSSGYGAGGGTGSGSSEAAGGAGGGYDPYTPTFANANASGHGGGTGSAQNGGSGGGEGSGSGVANAARVVRYSSSEGSGMGEGQGSGYTNGTKLVSLVLIVLMSTGLASAATARVSRLASSRGTGSGGGQGGGSDILATVLADHLRLGEAVVAVVEDKLEELMGPVVTGLVVALVLALAMCRKWQV >Et_1B_010719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13289863:13290165:-1 gene:Et_1B_010719 transcript:Et_1B_010719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVLGRFDSLTNTGGEQLRADWVPDGPERRVPPPSCAWCRRPAAAMAWRARARASRWGSPDSRSTAAAASTGTPTRRATISIGVLLL >Et_7B_055875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6499342:6499983:-1 gene:Et_7B_055875 transcript:Et_7B_055875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVDLVEHYEFCLLRIRRTQVELDGRALNSKPYIDLHSDPFIQDAVRMYTPKMFIKVRRQIEKLSEWEVTQVSWGLNGSMMWTIQANCAFPVERAAYAHVWSDQMERFRALRKKAHHALLKVSWSVEETQRVMEFLDAILNKEEDDYS >Et_2A_017162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31512626:31517445:-1 gene:Et_2A_017162 transcript:Et_2A_017162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAEGDDEPPSQAEGDAQPAAESPADHAAPMEEEKSFASEDGPDPAVAAGAADSVMGSASPEGAGAEEEGNDGEDRSADPAVDAVGEAEELENGDGAVKADGEKGSEAEDGGGSDGENKGMDGQNQPAENQLVLVQPAEEDLALAKVANNSFFFDYSTGGDDSGTEEEQAAFMKELERFYREKMMEFKPPKFYGEGLNCLKLWRQVTGLGGYDQVTSCKLWRQVGESFKPPKTCTTVSWTFRNFYEKALLEYEKHKIETGEFHVAASTLSDRIGSDNQISHASGSGRARRESATRAMQGWQSQRLLGNGEISDPIIKDKGAIVLKKDKTPKSSGSAKRKRTPSLEDDRVIPYKSDKLQNDLMVVDMGPPADWVKINVRRTKDCYEVYALVPGLLREEVHVQSDPAGRLIVTGEPEQLDNPWGVTPFKKVISLPSRIDPHQTSAVVTLHGQLFVRAPFEQSK >Et_2A_017600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3996433:4002221:1 gene:Et_2A_017600 transcript:Et_2A_017600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADDDVEEDDMDFNPFLREGSPSETSSSLTSEAECEEHCTDNQPSRETDPLNSQGTENTSGSALPQNRLLSKPVSKDDLGDKSSTQVDCENDDGSLNVLEKEVLPNEGARSSTVQSSDHLLLKGSEEDAICRRTRARYSLASYSLEELETFLQESDDDGDPQNVDEEEEYRKFLAAVLSGAGNDTQACQGDENQDEDENDADFELEIEEALESDGEENAENYENTIIRKDKDGHRPHTRKRRPFTELSRPGSYRHESTKTQLRPLLPYVPPALLTPEHTLGWQHPSQNALFPSSLTSATCAPLVSGFTDQQLGQLHVLIHEHFQLLIQTFSLCVLDPSKQDVASNVKKMIVELVGYRDQALTTSAPFRRFFFESQHLRSLSSVPSGSSGYKWVPLIKGPVISILDVSPLQLAPGYLSDVATAVVKYRRSHVDGTADQNRRKEPLFPLLVLDSCKDANNASQGRSNSVPTASSLSSGQIQQKKSLAATLIESTQKNMVALVPSDIARLAQRFFPLFNFSLFPHKPPPAAMANRVLFTDAEDRLLALGILEYNNDWGAIQKRFLPCKTNHQIFVRQKNRSSSKAPDNPVKEVRRMKTSPLTVEEKECIREGLKVFKNDWTSVWRFVVPHRDPSQLQRQWRAASGLQKSYNKSEAAKERRRSYEAKRRKLKASMPDSRIAREQEADNNSSEGIENDDDSYVNEAFLEDTDRSMNMTHCQLSLLSKNAGESMVMQSGTSHDEECDATGNYIEPGKGSGTKLDVTTSCIPFISGTYDGPSSVRAPSTTPPTVSSGPLDQLQASRYCKEKGSCVVKLAPDLPPVNLPPSVRVISQVTFHQNATHFNGMSGNAAKDMYHVPPLAFTESAYRQLNLFPNHGTSSRLRQSGNSNENNTEDGAEQDFQMHPLLFQYPRDVLSSCSHPVRNLINHSRKYDSYPFEKVQVERTNNQTTGSTPRNRSTVNANTIDFHPLLQRTDDATHDEVREDDYQHSTCNMREAQVDDQSTAGQASTNPCDKLNNIDLNIHLCSPMELKNANDLRDTVGKSNVQDMGSRKDKASVSELEIVKVCSHHCIQEPNEESMQGIVMEQEELSDSEEDSQHVEFECEEMDDSEEEQIESVEASLIENKGTSASVVCGDFHGSNVQSQIQQGLVQGVAQKLQSISQSARGKLKPETAKRTGSRANQCLPTSRTGEPSQTKSRSSKMQQGQSSAVRRSNDSRRTRKNPAPS >Et_4A_032620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11981647:11984849:-1 gene:Et_4A_032620 transcript:Et_4A_032620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGPGLSPRLACHRPLVPAPLGWDAHGRPALVRSRPPRAASSLGPLACGAAVGRGVWRLAAAAESQAAAVEEQPARLAEAGAAGGAEASSKLVFVVGGTGGVGQLVVASLLSRNIKSRLLLRDPEKAVSLFGKQDESIMQVYKGDTRNPNDLDPQMFEGVTHVICCTGTTAFPSKRWDGHNTPERVDWDGIRNLVSVLPRTIKRLVFVSSIGVTKYNELPWSVMNLFGVLKYKMMAEDFVQSSGIPFTIIRPGRLTDGPYTSYDLNTLLKATAGERRAVVIGKGDKLVGEVSRLVVAEACIQALDIEFTEGQIYEINSVKVFSLLILKIIQLVIGNYLQGSSTEEKWRRTRDRPREMEGSIQICSIKLVENWTLVIRSNRHLNSGMINMFDEERVFAEDVGAKARKKEVASESRERAASLPSLMKPPIFFSSIESDRIMSEA >Et_1A_008061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40480883:40482524:-1 gene:Et_1A_008061 transcript:Et_1A_008061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVRRSLRLRSSPDSDDRGWNQLHVAARKGDLKQVRRLLDEGMDVNAPAWGPKTPGATALHLAAQGGHVKIMDELLERGANIDARTKGACGWTPLHIAAKERNKRAVRFLVENGAFLPPEMNDHRFNPPLHYCSGLEWAYEMKRAQDESDSTGETSLSSEN >Et_5B_043997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18767397:18769161:-1 gene:Et_5B_043997 transcript:Et_5B_043997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRPLPEDVVTIILRRLPPRDLAVSRSVCKAWRGVVDESRTLRPDLLPLALGGIFISLLREPAPPVLFSPPSMGPHKIAGRLQSFVKMEDWWDLTTIIGSCNGLLFLDDQVANPATRQWAPVPLCPVGWDVYNHKGEVYFVFDPFVSPHYQVLFVQDPYGLLEEESEWPPPTYAMWVYSSGTGRRRRLSGKEALLEPWRRSDQHECIITFTPCIGVEQTMITIPDYKYQVIKLPAGVSASDIHLVKSKNGVYVALVAHQCQLRVWFLNELCEWVLKDQDHPVDKPWILHYGKYNNREATAEGVSEWDSDNDNVIQIDDTEGKHDVTRFFCIFGFHPYKGVAFLYLPNNDRVVACHLDSLKIQDLGQLRLPCRSDAIDTTFVYTPCWMGQLSEND >Et_6B_048836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14349979:14357572:-1 gene:Et_6B_048836 transcript:Et_6B_048836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAEKLYNGSQIKDLVSSTAMVFGYAKNGKVEIARSIFDGMPEKDVVSWSAMISAYAESNQPNEAFNLFNNMIEHSVTPDEITMLSVISACANMGSLDKAKWVHSFIENKGLSKTLRICNVLIDMFSKCGDLSCASNIFNAMPRKNVITWTSMISALAMHGDGRSTLGLFEQMKSQGVEPNEVTFLGLLHACCHAGLVDEGRSLFRCMVQEYRMEPSIGHYGCMVDILGRAKLLQEAVELIESMPLGPNVAVWGSLLAACWMHGDLKLGAFAAKKVLELDPKHDGASVLLLKIHAQSGNWNNAWEVRDAMEQHGVSKETGSSWMELNGTLLAAAVESAISSRSPRLGRASHARVLRLLSPAIPPFICAHLINLYSKLDLPGAAASALAADPHPTVVSYTAFISGAAQHGRSVPALTAFAGMLRLGLRPNDFTFPSAFKAAASMPARASVGPQIHSLALRFGYLPDDAFVSCAALDMYFKTGQLTLARRLFDEMPNRNVVAWNAVMTNAVLDGRPMETVEAYFGLREVGGMPNVVSVCAFFNACAGAAYLSLGEQFHGFVVKCGFETDVSVANSMVDFYGKCRSVGKARAVFDGMGVRNSVSWCSMIVAYAQNKAEEEAFAVYLGARRSGEEPTDFMISSVLTTCAGLLGLDLGRALHAVAVRSCIDANIFVASALVDMYGKCGGVEDAELVFSEMPQRNLVTWNAMIGGYAHVGDARNALVIFDEMIRSGQAAPNYITLVTVLSACSRGGLTAEGYELFETMKERFGVEPGTEHYACVVDLLGRAGMEERAYEIIQGMPMRPSIPVWGALLGACKMHGKTELGRIAAEKLFELDPQDSGNHVLLSNMLASAGRWAEATDVRKEMKNVGIKKEPGRSWITWKNVVHVFQAKDTKHEMNNEIQAVLAKLKRQMQAAGYMPDTQYSLYDLEEEEKESEVFQHSEKLALAFGLICIPPGVPIRIMKNLRICADCHRAFKFISGIVGRDIIVRDNNRFHHFRHYECSCRDYW >Et_7A_051236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1632704:1633495:1 gene:Et_7A_051236 transcript:Et_7A_051236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGAKQQELSSGCWSCTCGGSNSSKVHYAFSSTSKGVSTSSLRSGIYVSTPETGEIKRRRKTEIQRNLQLRIEAKGKWLQKEEQLLKAGKSVLEPREELGVGVASTDVNEQDEPLAFDDAAAVRGK >Et_9B_063952.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:5629194:5629475:-1 gene:Et_9B_063952 transcript:Et_9B_063952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPYGAPTPASAPSQVGCPSGFPNIFDFQRASSQLLPQGTLLFSAPASIKPSISSIINNIPRTSNIIRICVCFYNINIFVPGGVGPFVCQAA >Et_2B_022300.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17221670:17222173:-1 gene:Et_2B_022300 transcript:Et_2B_022300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRDKKEEPTELRAPEITLCANNCGFPGNPATQNLCQSCFLAATSSSMPSPSSPTSSPSPSAASQPRPQALVEPPASPAAAAVDHLSSSPPQVAAASPAARTSVNRCSSCRKRVGLTGFRCRCGELFCGQHRYSDRHGCSYDYKGVARDAIARENPVVRAAKIVRF >Et_8B_059461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18183384:18187668:1 gene:Et_8B_059461 transcript:Et_8B_059461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFGAFRPWLCTIDARLSLLHMENNNRLVVWSHGFQQQDGALAWRPTRFLQIDVETGFCGPRRILLLPAFGAWHGSKAWDFVMSEIDPEAAAAPCPPAIPEDVVVEILAMVEDLVSLFRCFVVCKRWRGLVADPVFLRRRWPEGDSSLAGTSLLGFFVQRHRINTSARRKISRLFPSRAPAFVPAPDTILGPERRFLTSFVRDDAGLLDDAKQLVARGGLLLVRVQPRSWDKTSVLRLCVCNLLTGRREGYGLVTAADHDAGPHPLVDGYSTLFQVLLVGLAHEDGHLHLLKFSSAAARSRLWYAYDCRNQTGVPMSGPKGCITAAIAGGRAQWLLYGNNPERAERPRLYTLDVSVDTGRITATMLPFGAFRPWLCTIDARLSLLRIDNNNRLVVWSHGFQQQDGALAWLPTRFVRVAVETGLFRTESLSPVCVGENNDTVVALYHSDPECAYMVDLQSGSATKVAVWTRSFNYMTAVPFEINWAMFFMSRLGVHL >Et_6A_047619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8538707:8542038:-1 gene:Et_6A_047619 transcript:Et_6A_047619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLAILVLVVHVLLLAAPPANAEPRGFRASMIRREKTINFTQAARQSYARLSMLAARLDSAGGGVSTQTPLRADDHGEYDMEISIGTPPQNLTALADTGSDLVWAKCGPCGSCTPKGSPSYDPTKSSSFSKMPCSDSLCMALESLATCGSGGSECDYLYPYGSGDHATQGYMGTETFTIGGDAAQGVGFGCTTKSNGNYGTGSGLVGLGRGNISLVSQLGVGAFSYCLIGDTSMASPLLFGSLATLSGGGVQSTGLLEGSTYYNVNLTSISIGSTTTPGAGTDGIVFDSGTTLTYLTDPAYTAAKNAIVSQTSLPPVGNISIFEPCFQASDKDISNAVPSMVLHFDGADMELPVANYFVEVETGVICWVVQRSFSNRLSLMGNIMQTNFNIRHDLDHQVLSFQPANCSSLLAAN >Et_10A_000520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12026631:12027501:1 gene:Et_10A_000520 transcript:Et_10A_000520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKELSQNFASFVLNYVKRSANFAAHLCAKEASARRAQSPSLDDLQCPTLSLRQHPFACPLDRPLLCLAFVPTPGVV >Et_9A_061585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14060892:14068328:-1 gene:Et_9A_061585 transcript:Et_9A_061585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAAMAVPPRTSILSLISFLDHHLRALLADPAALLAARHRCVALLEPQCPRRRHCCPLSSSDDHKEHDDGGDGDVLAALQGAVDAFLPGASCWCLDGVEEALQGPALLPEHGETGGLDNRRVAACAYFYLALVRCAQGDAWQGAMHFLQAVAVSPAAVVGAGAGEGQAASGLAPRALWEGLFDEGVLSRAGAGEEDAARRAARRYKDWLMYYKVMPAAPMDAAAAGAGSDDGCLQLGRSGSSIVPRWLNFAEDRATQSVDHEGISKTSVSQSGGPNGFAELKDFLNIADQDSEEDTKWSSDNRCLHEMLEESQTDSPVSFYSHLDSSEESDSEKQAAPHEKGRSAKIMPIDADFLSPELYERSNNKKNLTWCTSPENAMIYAPGSPLYHVDDNDMKLKYLQSNRSHGSLNNLSNSVLELKNADSCSTSNYSAKDDMFLQCTPRNDLRCFSNFSTKFIKKSALSDLVSIGSMSRKFKTSTTSEDWSEVSSRWGKDSQVDFLERFERAVSKLLVSEGLENCLDAGSEVTTIWQLLNNSSEARHKSSVRQDILDQLLDSISTSKKDKVIRASVYVLLLMISEDRNVMRGIKRKNVHLSNLATALKRDVHEAAILIYLLDPTPLEIKNLDLLPSLLHVACNSDTQKWPTLLPLTPTSASIALIEILVTAFDYVTNNVHLASLSSPPILSKLVDVAKNNNLEEGVALAAILVRCVRLNGNCKKFLSQATPVDPFLHLLRRKEHRAKCAALEYFHEILQIPRSAANCLLEEIQQQGGIAIMHTLMASLHQTEPEHRVLAANLLLQLDMMEKSDGRSVFKDEAMEILMDSLSSQENGRVQALAASFLANLGGTYSWSGESYTDAWLAKKAGLTSTFHRNTIRNIDWLDSCLQDTEISSWSSKSARTIIKIGVPVISALAKGMQSKVKGTSHDCLVFAAWLGSELAALGEDVIRYSGCEILLRDIARHLHPGYELEERVLACMCLYNYTSGKGKKKLMSLTEGSRESLRRLSPFTWMAEELLQVTDYFLPSNPRVSCVHTQILEIGQPGNGAVTAIAFFRGQLFAGYSNGIIRAWDIKGQRAVIIREVKEHKKAVTCFALSENGENLLSGSADKSIRVWKMAQCKLECVEVIQIREPVQKFDISNDKIIVLTQDNVLKFSCASRSTQTFYRSKHAKSLAICQGKAYLGCTDLSIQEVDVSFESKAEIRAPKRSWRIRKQSISSIVVYKDWMYCAGTQVEGSALKDWKKRCKPNMTIPMPKGTNIGAMAVVEDFIYLICSKCPSIIQIWLREKQQKVGRLSAAGKITSMFTANDMIFCGTETGLIKAWIPL >Et_9B_064430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15047086:15047315:-1 gene:Et_9B_064430 transcript:Et_9B_064430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINLGVDNFVFALASLLYHFDWKLPDGIEPKDVDMCEASGMAASKKTGLMLHPVTRIPPANA >Et_4B_036323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17667921:17668892:1 gene:Et_4B_036323 transcript:Et_4B_036323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTELADYVDLTPWHRFGFTASNGAILRAVAGSAAVHVVDLSVTHCMQWPTLIDMLSKRPGGPPALLRITVPAARPAVPPLLGVSDEELGLRLANFAKSRGVQLEFAVVRSETTSPTKPDAALRHELASVLSDPASLGLRDGEALVVNCQSWLRHVAPGSRDAFLDAVRALSPRLVTVTDEDADLDSPSLASRIAGCFDFHWILFDALDTSAPRDSPRRLDHEAAVGRKIESVVGGADDADGAERAESGARLADRMRRNGFAGVRFDDEAAGEVGRLLSEHATGWGVKREEDMLVLTWKGHGAVYTSAWTPN >Et_6A_046859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21637774:21647704:1 gene:Et_6A_046859 transcript:Et_6A_046859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKKTRVVAAGTLPDDALAVVLACLPARSLAASRRVCSVWRDLVDERRLLDRHLLPHSVRGLFVNYDDHRRPHFFARPMRKVDGEFGFIEEDDAYYRHKRGAVLDHCNGLVLYTTNSTAPAMYVCNPATRRWARLPACSSRRWMAHRRAFLAFDPGTSPQCYLVLLAPHTPSDFSKHRSMEWPPSEWTWPVFSSRDGEWGKRTFVREGEAAGTVAGLRNSTIYVRQAWLSATYWKGTLYLNFFREYVVRMCLSSNKYKVIKSPVYQDDSYIGATTYLGKSEKGMCFATVHHGFHPYKEVVLLHASYVAVAYHLKNSKVHHLGSLCPSDTIDKSFVYTPCRIGDLSREWNRVLSCDDPEDMSPAGSKIWAIDRPNVAKPCLDWESVVRLRGGSNKFADRKKTRVAAGALPDDTLTVVLTCLPARSLAASRGVCSAWRDIVDDRRLLDPHLLPNSVRGLFVNYYGQRHSHFFGRPTPPSGRPGRQIDGKFSFIEDGYKHKYGTVVDHCNGLILYTNSTAAMYVCNPTTQRWTRLPSCPDGHWEEDRCAYLTFDPATSPDYEVLVVPHMPLELEACQSMEWPPTPWTWNVFSSRNRQWGERTFVREGEAAGNVGELRLNKHFNDHASSFWSPATYWQGILYLHFHHDYVLRMSLSSNKYRVIETPSCKDDFYIGSLASLGKSEKGMYYAIMHCVHCQLRAWFLHELGDETEWVLLHQSDLKPAVLWMLAASKLKRGAGPWNLEDRYDNAGKKRKARVQLDHDSEWDSEDDNVVDVTSRSDEESQLIRKANGMPRGLAYSLLGFHPYKEVVYLCAARVAVAYHLSGSKVQHLGSVGQQGWPYYVDEAFVYTPSSR >Et_2B_021937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7045387:7054321:1 gene:Et_2B_021937 transcript:Et_2B_021937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMPPPPPRNPNPSSSSSSMPPPPPRKPSPPAPQPEEPVTSSSDASAAEADERGIPSTSSSMPPPPPPTVVASEPDVSPAGADGSTNPSPITSESSAEEARNSSGAASGDTEMEEAAVAPAETQKQQRPRAPYTIPEWSAAPDHPFFLEVLKDGIIFDKLDVCKKGAYMFGRIDMCDFVLEHPTISRFHAVLQFRNDGKIFLYDLGSTHGSFINKTQIKKRLYTEIHVGDVIRFGQSSRLYIFQGPSELMPPEKDMQKLRDAKIRQDMLDREASLSHAKTQAALSEGISWGMSEDAIEDAAEDEADEVTWQTYKGQLTDRQEKTRGKILKRMEKIANMKKEIDAIRVKDISQGGLTQGQQTQIARNEQRISQIMEELENLEETLNDSIRESLGARSGNTKRGSRKAALEEEEDVLSDDDEFYDRTKKKSSSQKSSEQQSVETADSLLEKKDSITSDIENKKKLLEEEKLKLAQSDTANHGDDLDAYMSGLSSQLVHDKIARVQKELSDLQVELDRVVYLLKIADPMGEAARKRDLKPREAKIQASGDKPRHESNKQNKVTQTTSTEEKQEKLKDSCDIIQTDKPGKVEDDVSKKQENGSKPAFTMPKPQWLGDKRIIEPEENFINEEKADVEESDNFVDYKDRKTILSNSGSGKDLEEAAPGLILRKRKTVDQSAANEADSSLVQSEASAADAVALLLKHKRGLQTSDDMGNEDEPQASKRDGKKSKQKRVLGPARPDFLDARPDHETWVPPEGQTGDGRTALNDRLVGCLQCSQDELMGPYTGGIVVEAERAKLSPDPCRTLNHQMAMSPDPCRTLSHCFCRCSPRVPHIAADGEYAFTPTGATDDDEDGPVWSLLVGVTSATDGRDCTLDAAGDHKNSSWL >Et_3A_025534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30508703:30513581:-1 gene:Et_3A_025534 transcript:Et_3A_025534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVQPPRFLPLPRRRLAGRRHRVPPTLAIHSQWKLPDVDTDAVRQRVRSWMSLARGTIVDAAQAAREKARHKEEPPEGRKMKQRKEVPVEEQALVAVPEVTVERRVDQGWLSLDAVVSIEQFARLNGLTGIKVQRIFKALAPERLHSDARSLVEYSCFRYLSRDNCDFHPSLKGRLVGEDAFVRIAPAVAGVADVTTAHHLFRALVGAEKRLSFDAWTAYLAELLKVHHGRQTYQRGDHFLSDEQILCIGSSKKRPVLKWEENTAWPGNLTLTDKALYFEGIGLSGTKKAIRLDLSDQNSRVGKAKVGPFGSKLFDSAISVSSGSKSDEWTLEFVDFSGEMRRDVWLAFISEIISLYRFIREYGPSDDDPAIHHVYGAHKGKKRAVTSAADNIARLQSLQFIRRLSEDPAKLVHFSYLSNAPFGDVVLQTLAVKFWGGPLITKPKSANHRSSQWHRSSGDPSSGYAHIFDIDGSVYLRKWMTSQSWASSHSIAFWRNSSVKDGVVLSKSLVVADKNLVEKAMVDCKVKSKVVEKTQATIVAATIEGIPSNIDLFKELMLPFAILAENFKKLQRWENPRSTICFLLLVHTIIFRNMLSYVFPFTLMVMALSMLALKGLKEQGRLGRSFGKVTIRDQPPSNTIQKIIALKEAMASVENYLQNLNVSLLKIRTIFLAGQPEVTTQVALVLLASSAVLLLVPFKYVLTFFTLDLFTRELEFRREMVRAFMNFLSERWASIHAAPVVVLPYEGNQSSPKTLPVKVSQQAEPEDLQCGIVDVTSKNGSSS >Et_2A_016045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20442005:20450213:-1 gene:Et_2A_016045 transcript:Et_2A_016045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTLSTYGSCLAILLALAAALPSHSRIGAADDSGGPRWHVVGVSSLLPSTAPSSSAVSVVHQHGPCSPLPRRGDPPSPLDILDWDQERVESLHQKIAAASAAVTTSLSTKKGVSLPTRRGISLGTNNYVISVGLGTPARTFSVELDTGSDLSWVQCKPCNDCYEQQDPLFDPAGSSTFSSVPCGARECHELGSRGGCSSSDNKCRYEFDYADLSRTNGKLVRDTLTLAPSHEIPGFVFGCGDDDNGTFARTDGLFGLSRAKLSLPSQLAATRYGGAGGFSYCLPSSASATGYLSLGVAAANAPANARFTAMVTRGDAPSLYFLNLVGVEVAGRALAIPPAAFASTGTIIDSGTVFSRLPQPAYDALRSAFTRAMDRLQYRRAPALSFLDTCYDFTGLTSVRIPSVALVFADGTKLSLGPRGVLYVWSVGQTCLAFAPIGNDTVGILGNMQQRTLAVVYDVANQRIGAKNNSEFTKNLFILASSNSSFLSVVHRHGPCSPLLSRGGSAPTTHAEILDRDEERVRSIHRKHHGSSSKTNPADTSKGVSLPAYRGLSLGTGNYIVSVGLGTPARSFSVVFDTGSDLSWLQCKPCNDCYEQQDPLFDPTQSSTYSAVPCAARECQELDSRTCSSDGNTCRYEVVYGDQSQTDGNLSRDTLTLAASEALPGFVFGCGDGNSGLFGKADGLFGLGRARASLASQAAAKYGAGFSYCLPSTSSATGYLSLGAAAPANAQFTPMLSRGDTPSFYYLNLVGIKVGGRAIKIPTTVLRTTGTVIDSGTVITRLPDRAYAALRSAFAGYMGSRYKRAPALSILDTCYDFTGYTKVQIPAVALVFQGGATVSLDFSGVLYLSKVSQACLAFASNGDDTSIGILGNTQQKTFAVVYDVGNKKIGFGAKGCS >Et_1B_012834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35451818:35454718:-1 gene:Et_1B_012834 transcript:Et_1B_012834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPSTTSSGESSPPPLPPVQKDIGSISSMVASSASSAAAAAADFTRWAETFGAEKADAAKAALGAAATIATSSASAAASASSTAASSAYAAASDLALVAKEDLEWAKKEFSAHERMVFGKIKEGVVLAITHPGIAAGSATLAGIVLFKRPRGYLIQRVRRMFVSKETLLSGIQAEVNHMRQTVNLVSNESQKLMEGRAIQDELSQISDIERQATGLKGILDQLPRAHASEFRSEISGLASQVKKEKRVLNTALSRIVNYGVPI >Et_1B_010638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12326604:12330365:-1 gene:Et_1B_010638 transcript:Et_1B_010638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGDGGAGEEAHAVFFPFPAQGHVTPALHLARLLHVRGGVRVTFIHTERNRRRVLRSRSPDALAGAPGFGFAAVPDGLPPPSDEEAEDDHSPQHMAALLASIDASAVPHLKNVLDDAAAAGSPATCVVSDVACVLRAAREMGLPAVAFWTTSACGLMSGLLCQQLIDRGLVPLKGKYINHKQTNLLLHYTSNLSRQAWNFRPWPIEFTLISRSQTLCSSRSRIQFVSTLHKYTVRYIHELLEFTWCADAEQLSNGHLDTTTIDWAPGMPPDMRLRDFVSFVRTTDSNDSVLRAHLGIMHALRTAPSAIILNTFDELEAEVLAAMAAIVLPPVYTVGPLPLLAERAFVGSGSSPLAALSANLSKEDDGCLAWLDRRRRRPGSVVYANFGSITMLTSQQLAEFTWGLADSGYDFLLVVRKDQAKDTGGPAAVLTPEFVAAAGDRGYVTSWCPQGEVLRHEAVGAFLTHCGWNSMLESIVSGVPMLCWPFAADQQTNCRFACTEWRVGVEIGVERGEVAELVREVMGRERGREMRQRAAEWKEKAAAAAALPGGPSWVNLERLVNQVFREHKQCSLYILLCQIKINKAHVCLCPSVKSLNVCAIKFQDLNINTHQASVIELAAYFECFGQLFFFFTEGAVILHEAQSL >Et_7A_052174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5297290:5301408:1 gene:Et_7A_052174 transcript:Et_7A_052174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDPNPFDEGDADENPLSVSPKTLNGGGGRQQYGFRPTEPVGFGGAGMGDAVVDVPLDNMGDSKSKARDLSSWESDLKRREGDIKRREEALKNAGVPMEEKNWPPFFPIIHHDIANEIPANVQKLQYLAFASWLGIVLCLSWNFIAVIVCWIKEGDSKLFFLATIYALLGIPLSYLIWYRPLYRAMRTNSAFSFGWFFLCYLIHIVFCILAAIAPPIVFHGKSLTGILAAIDTFSEHVIIGIFYFVGFGLFCLETLLSIGVLQSYLQQATVIAPRKGTRAHHVFVLKADIELCFLAGPSKFTSMQDREASLS >Et_8B_059020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12407746:12412946:1 gene:Et_8B_059020 transcript:Et_8B_059020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRALEEHCVGKKIVRCAAVDDTKVIDGVAPARLEAALVGRTIAAARRKGKNLWLALDSPPHPTFQFGMAGAIYIKGVELSKYKRSAVNTTEEWPSKYSKLFVQMDDGLEFSFTDKRRFAKIRLLDNPEAVPPISELGPDALFEPMKLDDFVQLLSRKNLPIKALLLDQSFISGIGNWVADEVLYQARIHPMQTASKMSKKKCETLHQCIKEVIEKSLEVGADSSQFPENWIFHYREKKHGQAFVDGKKIDFITVGGRTSAYVPELQKLDGVDATASRSKRSKDKDNDDEADPIKVKKGAKNGNAADISARKAHTVDNEASEEEQEGDEDAKLAKRVRKKTTKLAKSSSKMVSHALRGSEEAADAELEAKPGKRKGSNQASTEMKTLPKKDHDAGPAKRPHRRARLT >Et_3B_027845.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:22303243:22303305:1 gene:Et_3B_027845 transcript:Et_3B_027845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRTLAATSPAGTSPVSLS >Et_1A_008649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9428748:9430476:-1 gene:Et_1A_008649 transcript:Et_1A_008649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGIYNFRCELCDTEVVQSMTELLLRGLATASVDSTTGDIFKSASSVAIGVKTEMEGYLFLRTETLVRESVDGAEDHSDQLLKASTRPTEFLSDLIDDFVASKRNLLSHVSGFLSSEIRLNKIKNFIQKIDSENIWAPDERKATAETILENIDLKCSFHCPEKFADQDKLDEHRSQCKFRVVNCKNDGCLSSFSAVHFEEHDSICPFKALPCEQLCEQHAQSLNEQSGALEVRSLTLMIKEQEAKIKKLERDIKNARGQDEEA >Et_10A_000639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14251568:14256897:1 gene:Et_10A_000639 transcript:Et_10A_000639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLPSFDFDSCWWKLRFFSIWSDSVLVAVADWQAVVGKIGTFLLWVLFLVLQTATKIVGSLLAPPGEPQEDQRQEAAAGRRRTPPASPRHDPYQPASAPPLQLWDQPPPPPPYPASAPVADEYSSSSSFRRRASAPAPALVEDVAVSSSAYMRPAAAAAHAHSLSAPSLRSVETRAVPARAPAGGKRPRLERKYSKIVDQYRSLDEVIEALAQAGLESSNLIIGIDFTKSNEWTGKNSFNGMSLHHISDTPNPYELAISIIGQTLSAFDEDNLIPCYGFGDATTHDQDVFAFYPDERPCNGFQEALARYREIVPHLRLSGPTSFAPIIEMATTIVEQSGGQYHVLVIIADGQVTRSVDTEFGQLSTQEQMTVDAIVQASEFPLSIILVGVGDGPWDMMKEFDDNIPARCFDNFQFVNFTSIMSKTISQSKKETEFALSALMEIPLQYKATLELGILGHRLAKSPERVPLPPPFASYNTISRAPPSRANSYRSVLSRPREEPTVDSTITASVTSPPAADTGVSEPQMCPVCLSKPRDMAFGCGHQTCSECGPQVEDCPICRRPIDTRVKLY >Et_4B_036710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10891804:10895622:1 gene:Et_4B_036710 transcript:Et_4B_036710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEPSEKKEEVPEGFPVSISGIYVSCKLMDAKLTPFDPTKKKKKKKVVIQDPSDEVDKLAEKTESLTVTESGEPTFAGMKKKKKKQVELDPSLTEALDGDDAADDQVREDEEGEGIELGGAPRYPWEGTDRDYKYEELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVAQVGRRKAGA >Et_2B_022516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24300356:24305608:-1 gene:Et_2B_022516 transcript:Et_2B_022516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLLASALPVSKATRARCYHSASPPPLPRRLRNLTRALASSSPQAAMASSPAPKKVLVPIANGTEPMEAVITIDVLRRAGADVAVASVEPGAATVAAAWGVKLAADALLTDLADLDFDLISLPGGMPGSSTFRDCKTLEDMVKKHVQKGKLYAAICAAPAMALGTWGLLNGLKATCYPSFMDKLPSEVHAVESRVQIDGKCVTSRGPGTAMEYSVVLVEQLYGKEKAEEVAGPMVMRPQHGVEFSMKELNATSWNVGETPQILVPIANGTEEMEATMIIDILRRAKANVVVASLEDKLEIVASRKVKMVADVLLDEALNQQYDLILLPGGLGGAEAYAKSDKVMGLIKKQAEANKLYGAICASPAIALEPHGLLKGKKATSYPAMWNKLTDQSECKNRVVVDGNLITSQGPGTSMEFSLAIVEKLFGREKALELAKTMVFV >Et_4A_035201.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:1211122:1211871:-1 gene:Et_4A_035201 transcript:Et_4A_035201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATTRRGAAGALPAKKTTKKKAAARGFMCGCGGSKAVSTVSCAAAANISAPVAAAAIPTKITTAAKPATAAKKTVMPEYRDAGKDEAAEGTTSVDGLLQQLRELEQGVRALGVREQREDDGDAPPRTRTRARHRRSATEWGGGGRVEAESVAVVTESADPLGDFRRSMVQMIVENGITGGAELRELLQRFLSLNSPRHHHLILRAFADVWEEFFAGSGRAPPPPPPAAAEFAYHYSSRASKRPCIQSR >Et_1B_011249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19299733:19315479:-1 gene:Et_1B_011249 transcript:Et_1B_011249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSFGFSPSRLLNRRIDLPPSPVLRLWVAFSSSSPSPSEASATDVGGRPATIREGRAEIFADESNSVFYNKAQVNNRDISIAVLRSFITKRREEHATRPTKGGPKDPLLSEHDKYKEPRVLEALAASGLRAIRYALEVDGIGELIATDNNEVAIEACKKNIHHNSSLASSKVVPHLADARLYMLTHPKEFDVVDLDPYGSPALFLDSAVQCVADGGLLMCSATDMAVLAGGNAEVCFSKYGSYPLKGKHCHEMALRILLGCIESHAIRYKRYIVPIISVHMDFYIRVFVRIFTSASTVKRSPLKLSHVYQCIGCDSFHLQNIGRINSKDKRNIVLPNFSPAVLGDCSECGHKFVMGGPMWSDPIHDKEWAATILSNIHAMSDAYPAYPKISAILTSVSEELPDAPLFLNLHNLCATLKCTNPTLDMLHSAIRNAGYQISGSHVDPLALKTDAPMSVIWDIMRCWVKLHEVKPRTGNHPGNIILSQEPKLQANFSQVPQISAPRKSPRFVPNPEKYWGPRPKAGRHPKICHGQNP >Et_1A_004872.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:13090742:13090927:-1 gene:Et_1A_004872 transcript:Et_1A_004872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGPILGALDAFHAEVMDCVKSLQIANEMGMANVILETDALTVKQAVETSDYIYLQLEA >Et_4B_037696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22652524:22659938:-1 gene:Et_4B_037696 transcript:Et_4B_037696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSSSSSSSPLHVVICPWLAFGHLLPFLHLAERLASRGHRVSYVSTPQNIARLRPVRPDAAPLIDFVALPRVDGLPDGAESTNDVPYDKFELHWKAFDVLAAPFAEFMRAACAVEGRRPDWVIVDIFHHWAAAAALEYKVPCAVLRVSAAMHVAAWTSRSSGEQPEATHEPLAASVPPYESEWKAKMFTNHGASGMSVAQRCALTLERGTLVAIRSCVEWEPEYVPHMPTLLGVPVVPLGLLPPSSNGGRGISMNREDVAMRWLDAQPANSVVKPSCVPDAEILPPGFEERTQGRGLVRMAWVPQISILAHRAVGAFLTHCGWSSVIEGLLFGHPLIMLPIYGDQWPNARFMEGKKVGMQVPRNENDGSVDHEGIARVIRAVILEEETRQVFVANAKKLQKIVGDNELHESYIDQFIQQLRSNMKKKEKLEYKRDTKEVPDSRKIARLAYRRITTVAGEHHMQAAFRFKKHSRPASSGITILQSTTHTFTAACVELKGFPAPFPMDAGSSSTSPLHVVICPWLAFGHLLPCLDLAERLASRGHHVSFVSTPRNIARLPPVRPDAAPRLEFVALPLPRVEGLPDGAESTNDVPYEKFELHWKAFDGLAAPFAEFLRATCDAEGRRPDWVIVDAFHHWAAAAAVEHKVPCAVLLLSAAKLVAGWASASSEGAASGEQPAAAQELPASVPRYESEQKAEMFINHGASGMSVAQRCSLTLQRSNLVAIRSCIEWEIESVPLVPTFHGVPVVPLGLLPPSPDGGRGVTMNKEDATVRWLDAQPTNSVVYIALGSEVPLRMEQVHELALGLEVAGTRFLWALRKPSGVLDAEVLPPGFEERTQGRGLVKMGWVPQISILAHRAVGAFLTHCGWNSIIEGLLFGHPLIMLPILGDQGPNARLMEGKKVGKQVPRDDNDGSFDRKGLAKTIQYVMLEKESREVFVANVKKMQEIVAYRRITTAVGEHHMQAAFRVNSKPFGHALIDNTVLRSLNTSTAAGVELKGFLQPFAMDAGSSSSSPLHVVICPWLAFGHMLPCLDLAERLASRGHRVSYVSTPRNIARLPPVRPDAAPLVEFVALPLPRVEGLPDGAESTNDVPFEKFELHRKAFDGLAAPFAEFLRAACADEDRRPDWLIVDTFHYWAAGAALEQKVPCVVLYLTAAHNLVARTRRMLERAEPVVAAADRRPAAVQERPAVPRYESERRVKMCANHESLVGMSVNERCNLALERGTLLATRSCHELEPESIPLAATLCGKPVIPLGLLPPSPNGGRGASMKGEDATVLWLDAQPANSVVYVALGSEVPLRLEQVHEVALGLELAGTRFLWALRKPSGVLDADILPHGFEERTHGHGLVKMGWVPQISILAHRAVGAFLTHCGWNSIIEGILFGHPLIMLPIYGDQGPNARLMEGKKVGKQVPRDDNDGSFDRKGVASTIRHVMLEEESRKLFVANAKKLQEIVSDSELHERCIDQFVQQLRSYRK >Et_4A_031982.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9820516:9821748:1 gene:Et_4A_031982 transcript:Et_4A_031982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRLGFHPLLDSSRLRNSGRCSSNPSALAASSSSSSSITGAAVTCCTAMASAPRPLFLDDDDDSFRRSPPGIDRDRASDRAAVSARRASSADTLPRVAGSSTYTPPAPSTWSAGSGATLPPTPLRCCSLRTRASTTKWRGWSIMSSATDTGSLSARTLPSAITTFPPPAAGAVAPDTRLPITFVELKQLARTHAGDQPSRAEQRNGAPRRAGDGLERVLKRWAGARRISRD >Et_10A_000857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18259562:18260529:-1 gene:Et_10A_000857 transcript:Et_10A_000857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPILSAPEARAPATLTDHLLEEILVRIRAPANLARATAACKTFRRLIKDPTFLRRYRSLHPPLLLGFASSSALFRFNLSLAYRPQPNARFAHGFIEPCVFLPVEAPLPNATAARAVAAAADFSFDYLPRRGRYGWAHCDARDGRSLLMSSELERGLVLPELAVCDPLTCGYTLLPPVPDDLVTSNLVQVEEENIQFFYAFFDPFGGYEETQFRVMCWTRSLEMGAIFVYSSASGSWTHGTSVSWNALGLNVRPDRRLVGGLSNKLIKLDINSMGFTIVNLPGDYEGRDIKVVEAGEGLEYLVSFWIMFCSLKNIRIL >Et_7A_050835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11437010:11437316:1 gene:Et_7A_050835 transcript:Et_7A_050835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRIVSLGRVWFVDIDTGCFRSAGRPTLSYICVPRFPMTDMQEDDIVHVIMSKSAESKEHLNCDG >Et_3A_025014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26078248:26086922:-1 gene:Et_3A_025014 transcript:Et_3A_025014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSCAWRPGGRGKEELLTEFIDTMDESRKRAASTVNAKNTSSSLDEDFGNDFLSSWKLPKSGGDTIDFNVESVPKGSKKFSFDSLDDFGLDGAFDKLPSFKMGISGLDFSSPVKKKVKHNSSNGDDVSEEKKETEKDFSFSFDFSELGKFNLDAKLGIEEKSTSTVTGKTNPISTEGNKDTQRDLSAEGTDTRADNKSKEQDNKSKEQTQTHDTSTLRPSHPTRQESVKNDGHQTQNVNAADSSDKMQEHTSVNPARMEQTNIDPVSTDRHGEHSKEAYPSNEAVNKSSQSVSCCPLSVEDPAQVPADPVNSNEGRKVNVSKVHMSSEGNDHEQSVSSQSRNTNTVNPYISRRSVSQLDSQNDVMEESVSHNEGSQGNQRVSGTSKLSKRKSCKTKNSEEGTSALKCLSSSMQREIRNVKPPLANEAGTLSLLSKSANIKSNRMELTSETSKKPDGGSKLMNKMATHLADLKREHKQANAGTDKPKLALSKAYIKPALHGQSTTPMNVNDAKNAKLGLERPSAGNLLQLNTRSSMTMTASPGHKIVPNHMLLKSCDASDSMQGTLSKDDKIPAISQLTGRRTTKLSMRSPKSGISLEKKTVEVSGGKGSPVTTSKIPNSIPKGKSALLSPSIMQKESVLDPKAPTMLKHIMRSPAVRKSPQTVPELGNQTILGCGTPKARMENEMSSVMPWEMGDISDLELPALLENDGNVEKAEACRKELEDSSRPPIDTKLLLPRWAYYSYRTHDHSFEGVLRRPGCAHMPR >Et_6A_046401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14464376:14467882:1 gene:Et_6A_046401 transcript:Et_6A_046401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVASWGLTPLESADPVVHDLLEREKRRQRRGIELIASENFTSFAVMEALGSPLTNKYSEGMPGARYYGGNDVIDEIENLCRSRALAAFRLDAAAWGVNVQPYSGSPANFAAYTALLNPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSATTGYIDYEKLEEKAMDFRPKLIICGGSAYPRDWDYARLRAVADKVGALLLCDMAHISGLVAAQEAANPFEYCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEGAVYDYEDKINFAVFPSLQGNKVEKLCDLCHITLNKNAVFGDSSALAPGGVRIGAPAMTSRGLVEKDFEQIGEFLHQAVTICLNIQKEYGKLLKDFNKGLVNNKDIENLRAEVEKFADSFDMPGFTLESMKYKE >Et_2A_018212.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18279750:18280532:-1 gene:Et_2A_018212 transcript:Et_2A_018212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHQQLRSTSLPLRPHALVQELEDELQRLRSGAAASSSSSSPAALVARLGDAYGRVEELVRLPGGRDALSSPRWRAAVEAELDASVALLDLCQRARDAASSAKQHLRAARRALRRGDASAAKSSIRGYVRCLAKSTKECNLPKKALTSKHTAPAAVKVLSEAVSVTMAVLQCVTSSLSARVLDHTTRKTKWGVVSKLLRSDWSLSSLCDDLDGGDGGVIRAQEMLQELDDTVESVESGLEHLFRSIVQSRVALLNVLTL >Et_3B_030797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7500565:7502421:1 gene:Et_3B_030797 transcript:Et_3B_030797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSDLTLDYKPNGGGGAYAAIPKQQQAAPLIDGHHHLTTEQTTQKLREFLARLEEERLKIDAFKRELPLCMQLLNHAMEAYKQQLEAYQMGSQLPQGAAVRPPLVLEEFIPLKSIGIDVAGGDKPGNASSEKASWMESAQLWNGSSSSAAADSTAAKGPQTPKENSEHPLPIDTLGGALDGQRNGGAFLPFAKDKGASSDAAAALPELALAPAEKEAVAAGEVDRKPYLVDAGNNGVLGARRENGVKPASEGQAAPAPPPQTHRKARRCWSPELHRRFVSALQILGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPPAPPTPATAAPQLVVLGGIWVPPEYAQQAGGPAIYGAHPATQPHYTAAVAAQEYYHQSPAAVHHLQHHPAAAMVHRAPPPPHAYKAAMVGSPPESEGLGSVGGGNSLGAGGSGRDRSESIEEEGEGEEREDDDDDEEEMGAAKADVDAAAGNGAIKY >Et_6B_050102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6272497:6278850:1 gene:Et_6B_050102 transcript:Et_6B_050102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTTNRRTSRSNSSALSDHGSSRKKTCCSPTISAYSTSFLHSFIRRAYCLFRSRRMSCPAATTSTGGSAGASGPAGLVAGWSRVAPSGSASRRYLSASSVVRNGGQSSSDGVRSSPAMAGSGARTRGHLLGRTRWPRGARRSRRRSRRLGRRGRGRPRRRATGRLRRRRRGGATPWRRRREAVVEGGHHGGEGGREAEASRITSFHSTPHSSSPSRTCTGNAGSTALRRTVPSLSSCSTPRQSSTTYGSGDGDGMVG >Et_2A_017409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34004665:34008486:-1 gene:Et_2A_017409 transcript:Et_2A_017409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGTQFDAKHYDSKLQELLSSGETEEFFTPYDEVFESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPAKIQVGVFSATMPPEALEITRKDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQKFYNVVIEELPANVADLLYVIPAKGFTNATPKQTLYLIAMRTAPLSRCADQLLCFCSPLEPHRNGDPVITATASGAFYLPAAAASARAPKHGRHRDDRALDKSSLPVTLARRPIPPAFHD >Et_2A_015050.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27747394:27748035:-1 gene:Et_2A_015050 transcript:Et_2A_015050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPHTTSLEVTVISGESVRLRSGRALSRGAYAVVQTPSSFAVTHVDEDADCCGYPYWDKPVRVELPPGARVLDVEICRSHGGGGGGARGRGESVAAARVPVEDFHVGPPGHLHCLSYRLFDTGGVRGRNGIVNITVRRLHGAGEGEGKPHVLPAMGKKAVDDGASGSGGSGYGVADLGKPAAAAGSAPGVVIGYPVGMPATGHAGGKGGV >Et_3A_024778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24159791:24162736:1 gene:Et_3A_024778 transcript:Et_3A_024778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLPGPGAPYERVRGPKCKEKVPDTAERRKPKHLISSPVSVPNPRTLPPPQPAPRATMGDTAAAAAPPSRFGRICVFCGSNPGNRAVYGDAALDLGKELVARGIDLVYGGGSVGLMGLIAQTVHDGGCRVLGVIPRALMPLEISGASVGEVKVVSDMHERKAEMARQCEAFIALPGGYGTMEELLEMITWSQLGIHDKPVGLLNVDGYYDPLLALFDKGAVEGFIKQDCRDIIVSAPTAHELLTKMEHYTRSHKEVAPRTSWEMSELGYGKSPEDSQAAQRNSSSSSCTGVSTKRQSTDITNIHT >Et_2A_014644.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31342728:31344360:-1 gene:Et_2A_014644 transcript:Et_2A_014644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAESTAGAKKRQGAAPASASAAPYIPDEVVGDILARLPSRSVLHCRAVCKAWLRIVSCPEFALEHHRRQPAMPLVSFLRDAGGREVADVADCCVEALDLRADEFRSAVRFTDARERCGKFCIRGSCDGLLLLTFGHRAYVCNPATHQWTRLPKPLPSSKFAAFYRHEPTGEYRALFYRRRWPGTDYYILVADKRKGRGIGLRSKKDVFKFKECPAGPPALYRGILHWRPQRPHAPRILAFNTVTEVFGWLRPPPALIREHMSLLEVEDKLAMLMCGKDATMFELWLMKDYGKQAWVPKLRIELSAMAVSTFNFDEPWSVFFMSEEGFVLVNQNSNKLGHYDLNGKLKEGFRCDGRLLKITPYTLKESLIRHSFFETQADGNGDERSPPFFRGL >Et_6B_048355.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:15391316:15391447:1 gene:Et_6B_048355 transcript:Et_6B_048355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGQCQWQSCAVGRKEAILKKISKSGNKGRLKKLLFFFEMRF >Et_1A_005615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12047691:12050972:1 gene:Et_1A_005615 transcript:Et_1A_005615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEAAKSGGAAAPLRCQRIGCDATFTDDNNAEGSCQYHPSPIFHDGMKEWSCCKQRSHDFSLFLAIPGCATGKHTTEKPVTKAVTANRPKATPVQSSKQGVGADACQRCRQGFFCSDHGSQPKVQVPAATDDAKKEPVEQSSVPPPKKKIDINEPRTCKNKGCGKLYKEKDNHDAACEYHPGPAVFHDRVKGWKCCDVHVKEFDEFMEIPPCAKGWHNADAA >Et_4B_039601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23660449:23661659:1 gene:Et_4B_039601 transcript:Et_4B_039601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKRQRSASSSRLSAFSSPPSPPPPSSSSSTASLSLPNADVVLRLHLDSSCPDGADSDTDCHLAAAADPESFLDLHVCSASLLRSRYFAALLSDRWSPAPSAAAGGRLSLSVPASSSCQHPFHAHVEVLRLLHTLDFAGSIRSPGDALDLLPVALQLLFDACVEACVRFLEAVPWSEEEEARVLDLAPLLPADEAADLLARVSTASNAGEASRSPSEAMLHGLIHSAIHGHPVHAATKAFVAMLLKDYPSRDCVHKVLDEEFLSRLETVKELMGKYSSPDFRVTADSDEREAMQRLNLQSAVLNVKHLYWLIERMVDLRVADNAVKLWSDQAPLATDLQKLLNDADMWRNMTPGLPMLVTRCTLKLANSVIAGETLVPRQLR >Et_3A_024292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19192831:19215971:1 gene:Et_3A_024292 transcript:Et_3A_024292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNGSGEGFEVPKVEVKFTKLFINGQFVDAVSGKTFETRDPRTGEVIARIAEGDKADIDLAVKAAREAFDHGPWPRMSGYERGRILHRFADLVEQHTEELAALDTLNGGKLFQSGKLQDVPGAVQLLRYFAGAADKIHGATLKMSQRTQGHTLREPVGVVGHVVPWNYPTTMFFFKVAPALAAGCAVVIKPAAQTPLSALLCARLAKEAGVPDGALNVVPGFGSTAGAAIAGHMDVDMVSFTGSSEVGRTVMEAAAKSNLKAVSLELGGKSPILVFDDADVDMAVNLVNMATYSNKGEICVAGTRIYVQEGIYDAFLKKAVEVAKKSVVGDPFNPNVQQGPQVDKNQHEKILKYIDLGRREGATLVTGGKPCGDKGYYIEPTIFTDVKDDMAIAQDEIFGPVMTLMKFKTVEEAIQKANNTRYGLAAGIVTKNIDTANTVSRSIRAGAIWINCYLALEPDAPLGGYKMSGFGKDMGMDSLDNQAEAVTTHSLVSASAMAAANGSSGKHEGFEVPKVEVKFTKLFINGEFVDALSGKTFETRDPRTGDVIARIAEGDKADIDLAVKAAREAFDNGPWPRMTGYERGRILHRFADLIDQHIEELAILDTVDAGKLFLVGKLRDIPGAAHLLRYYAGAADKIHGATLKMSQQMQAYTLKEPVGVVGHIVPWNYPSTMFFFKVSPALAAGCTVVVKPAEQTPLSALYYAHLAKEAGVPDGVLNVVTGFGPTAGAAVASHMDIDKISFTGSTEVGRLVMEAAAKSNLKSVSLELGGKSPVIVFDDADVDMAVNLVNFATYTNKGEICVAGTRIYVQEGIYDAFVKKAAELAKKSVVGDPFNPRVNQGPQVDKDQFEKILKYIDIGKREGATLVIGGKACGDKGYYIEPTIFTDVKDDMSIAQDEIFGPVMSLIKFKTVEEAIQKANNTRYGLAAGIVTKNIDTANTVSRSIRAGVVWINCYFAFDPDAPFGGYKMSGFGKDMGMDALEKICLSSVYIRRPESPGPFSLREKRQRQKLPQLSQTLETQASMATTNNCSNGNGKAASVVVPEIKFTKLFINGEFVDAVSGKRFETRDPRTGDVLAHVAEGDKADIDLAVKAARDAFEHGKWPRMSGYERGRIMNKFADLVEQHTEELAALDGADAGKLLLLGKIIDIPAATQMLRYYAGAADKIHGEVLRVSGKYQGYTLKEPIGVVGVIIPWNFPTMMFFLKVSPALAAGCTIVVKPAEQTPLSALYYAHLAKLAGIPDGVINVVPGFGETAGAAISSHMDVDSLAFTGSTEIGRVIMESAARSNLKTVSLELGGKSPLIVFDDADVDMAVNLSRLAIFFNKGEICVAGSRVYVQEGIYDEFVKKAVEAAQNWKVGDPFDATSNMGPQVDKVQFERVLKYIEHGKSEGATLLTGGKPAGDKGYYIEPTIFTEVKEDMKIAQEEIFGPVMCLIKFKTVDEAIEKANCTRYGLAAGIVTKSLDVANRVSRSVRAGTVWVNCYFAFDPDAPFGGYKMSGFGRDQGMAAMDKYLQVKSVITALPDSPWY >Et_8B_059652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20206473:20208300:-1 gene:Et_8B_059652 transcript:Et_8B_059652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSCVPPGFRFHPTEEELLNYYLRKKVASQQIDLDVIRDVDLNKLEPWDIQEKCKIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYNAVKRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDPADAATATVAAAAAAAASSDAGQEDGWVVCRVFKKKHHLKESSAGAGKHGHGSKAAAHHGGLQYSSSDDALDQILHYMGRSCKQEHEILSPPPARPSAAGSSRYLPPIDTVLAGHGFMKLPPLESPSSALTTTPPHVPGEAASSAGAGADELHRRGGNGITDWAMMDRLVASHLNGQPDAAADQLCTFDDGGDDAGDGLAFYSAAATRLLTGGSDDDLWSFARSAATTPAERLSNVTTL >Et_9A_061153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19165898:19167181:1 gene:Et_9A_061153 transcript:Et_9A_061153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVASFTDRSRRKLSNGHVVAQLLEPRRSCCGPAADAPAAPRRASAADASSSPQRRLVPFSWESSPGVPKNAAVPPPKPPPGRCGRGGAACHENTTTDDASSDDGDGDDTLSDALDRTSSSDRGLAALSARLSAIDGAAGSRRSPSFIMDRFLPAANAIATTSADKHPRRRSSPRRTVRRSRSNSKDDDEEAAARARARSALAREHSKQSSPRQSKDDAAAVAQEEEQGGDETPPSSARACGLMFFFPWRAKPMLLSFPLPAARSMTSNASVASIAAAPSPSPPRRSVTLGDVLEKERKEERSGGGKDNKDGSASLRWGTALLGTSKRYCADAARKALGRLARSATDGGGDGPRLLRRERSSGGKQAALVTRSVSGKMPPLSPPSESWLSRARRSNAASNKR >Et_5A_040282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10314538:10315155:-1 gene:Et_5A_040282 transcript:Et_5A_040282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEQAREVADGLERSGHRFVWVVRAKPADDTSRYPTDADLDALLPDGFIDRTKGRKGLAVGGFVTHCGWNSTLESLWFGVPMAPWPLYAEQPLNAFELVACMGVAVELRIRAGRQLQDKFVEAAELESAVRSLMGETEEGRKAREKAAGMKAACRRAVEEGGSSYAATQNVVRDILASYVPAQVTQPKV >Et_2A_016085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20945999:20950692:-1 gene:Et_2A_016085 transcript:Et_2A_016085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQYVYAYAAQYRCAPACAPKIKPGCRQRGTQAPFALSTDLPANFSVPASRQRQTGVRTTELLMASSPWPAGAGAAPTSPLEEAAAAAAAVTSAAGSAPTSEHHPVKEGGIAATAAIPLQEEAKPQLPRDDDSEAEIQEHEQKIIRYQAILAARLKTKFFSKKAFDGGIHALFNFLQYLESQMSRWPCTRSFANPELFSRDKHSHEKGTPSSAAEFSAKNNSPPLAGEASPKNNVGTLATENNLTPGKRQQSKKI >Et_3A_023063.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:22925385:22926458:-1 gene:Et_3A_023063 transcript:Et_3A_023063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCRELNGSTDCEVLITVQFASFEESYGSGHGRISSLRDKTDDLYFETRNFTPSSLYSWRVSESIWRMDLESILALASSTLSCAFAVLQILHTKRNRDAAVPNTSITMLVVLVLGYAAPLALDLHALLANRGNQLVQLSGNGLLELNERVRKVPALIAIALQLRLLQLVAWSSRTSEDPRSGAELRVLRVCLPLYLLGAGVTAAVHAANSRAARTNPLMVRRGRDAGTLGEALESYAGLMLDAFLLPQVALNAVSGSGAQAVSPWFYVGGTVTRVAPHVYDVFRARGYVPSVRSSYVYASPRDGLFGVAWDVVVTCGAAALALLLFLQQLRGGDFFQRSRSTSGGCAMPMVSTFRS >Et_7A_052268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6308443:6311343:-1 gene:Et_7A_052268 transcript:Et_7A_052268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASPARPRGFPRLALRRAAAGLLLATLVALPFAVLYHAAVSRSLQDSWGWDSLPAHASLEEEEGAEGDDVDSEDLKLERVLNKASMGDNTVILTTLNAAWASPGSVIDLFMDSFHSGVRTSSLLKHLVIVTFDSEAYKHCVKIHPYCFALGTEGVDFSEEKRFLTSGYLEMMWKRLDFLRLVLEKGYNFIFTDADIMWFRNPFPHFYPDGDFQIACDHYIGNASDLRNIANGGFSYVKSNERSIEFYSFWYSSRLRFPGYHDQDVFNAIKHDPYVADIGLKIKFLSTAYFGGFCEPSRDLSKVCTMHANCCIGLWSKIHDLRIMMEDWKSYLSLPPHLKQLSALAWRVPQNCRYGTALTLCLEMFHMMGRMGRH >Et_2A_016687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27204935:27206789:1 gene:Et_2A_016687 transcript:Et_2A_016687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYQTCASGLPSQNRFAHRLPFLACTMMFNKQSSQWTNRKVCSSLKAVSPLQCTEITMQPSVSFKDFCVSVSTEDDRVIKIQVNVAGTMTYSTFDEVFAKKVAAAQPLPGFRRMKGEIALHIIGPSKVKRETIQKIINCTVAEYVQKEGLNALKNLKVQQSYEELEAAFEPGKEFCFDAVIRVE >Et_2A_014999.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:25661721:25661945:1 gene:Et_2A_014999 transcript:Et_2A_014999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATTRRPRLLAARHLLKVVKGRFSGTTEMPLTSCRNSVASARRTPSSSPPLRRPKSTVHMTSKVRSFMDGIN >Et_1A_005334.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4995973:4996137:1 gene:Et_1A_005334 transcript:Et_1A_005334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKIACAVLIAASATVALAAEGPAPAPTSGSSAVAPALLGAAVASFFAYYLQ >Et_4B_039280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9394864:9396243:-1 gene:Et_4B_039280 transcript:Et_4B_039280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQDHASDRRARKQRSLPVLVIPIAFLFVAPCPYSLGPAATDYDARRAKWLSEHPQFPSTVAQGRPRVLVVTGSAPRRCRDPDGDHLLLRAFKNKADYCRVHGFDVFYSTAVLDAELSGFWTKLPLLRALMLAHPETEFLWWMDSDVVFTDMLFEPPWDKYASHNLVLPGWDDKVYDVRSWLGINAGSFIIRNCQWSLDLLHAWAVMGPRGPVRFKYGELFAQALSDRKAYESDDQSALVYLLVTQRDRWGVKTFLESSYALHGYWADIVDTYEELRRKGKPGGAAGAGEEAWPLVTHFVGCKPCGGDDGTYEPDRCRLGMERALNFADDQILKLYGFEHESLNTTAVRRARNDTGGPLDADDEELARLLHPSFRAAQHW >Et_9B_064804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18770299:18774907:-1 gene:Et_9B_064804 transcript:Et_9B_064804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLRHGPAAGWAGVAGAVPTTVDEASMERSKSFIKALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLDNLKDYAVRAVVNAVDHLGTVAYKLTDLFEQQASEVSSLEMKVVRLNQQVFTCQVYTDKEGLRQQQMTGMDIKHHKHYILPSTGHKRNQAVAHLQTDSDQESKPRPYPSAKTLSWHLASENSTTANRVDKPTFVLGDTVSSKLPSGKERSASPMRRHLQLNRSASSDATQKIGPKNQFGAKDLTTFHSFDNPKGRAIQKAPVATKSMLAALFIKHKSAKMKKIAAPLHMLSCYSNEIEGMMAVLLAALKHEKEVTKV >Et_8A_057283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23136436:23137113:1 gene:Et_8A_057283 transcript:Et_8A_057283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRTPSDTLAALRSGSPTPSSLTTANPWLAGAGVTILKPTTYSCTALPFPQSELPCAPVARSPPMGECGSASPASAATRIRSRSTMPLSTVRSLFPASTLTVRFSRSVLMMVPSRPPGQASLGKLWECFVATFTFRFAAAASAIAATSSCSVRGNVAFAARQTVRSGNEPYMLVTVQSDVPRAQVTGSGGGLPPATPTRRRRRRRTGIARGPCMAAMS >Et_2B_019567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10229293:10238795:1 gene:Et_2B_019567 transcript:Et_2B_019567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELGQQTVELGAVVRRAAEESYLALRELVEKSQAEAEGKGLGGGDAYGGRQRSDAEKKIDLLKFIARTRQRMLRLHVLAKWCKQVPLVDYCQQLGSTLSSHETCFTQTADSLFFMHEGLQQAKAPMFDVPSAIEVMLTGGYQRLPRCVEDIGSQNKLSPDEEKHALRKLDASVRYKVLVTPRPKAVSSVSVTDGIADFRVDGEFKVLLTLGYRGNVDLWRILHMELLIGEKKGSFKLEESRRFALGDDIERRMAASDKPFTVLYDILHEFCISLAMDSIIGQANALRQGRWKDAIKSELISDSTTGQTGNAALMQIGQDGEFSSSGFKIPGLKLSYWLDEKNNNSAESDLSPFIKIETGQDMQIKCQHSSFVLDPLTNKEANLSLDLSCIDVEQLILRAIACHRHTRLLDIHRQLCKNVQIFQSPKDVVLKRDINELKDPHKKAEKKGFSDCCGNEVLQVRAYGQAYISLGINIRTGRFILQSPENILPPSSLIDCEEALNKGSSAATEVFASLRTRSILHLFAATGSFLGLKVYQQSHGSLKIPKSILHGSDLMVMGFPHCANAYYLLMQLDKDFKPLFHLLETQCDTSDKANANADAKEAIRFNKIDVGRIQMLKNESSTIPFDVKLQALQGIVNCADIRENGIPIQNGIEPLPLLPACSPSFSSIVDEVFEYEDGSTATQNHSLSVGFHGVDARTISVSPMHGGGFTQASLNNYLPNNSRHLQSNQTFSYSSPVRNSSATNHSVVDGSKSLQLVGHSSYSGSLGNSLPGHLVGRSTTAGAAAKLTAMGSDGAPRKRILSDFLQSLPSLHGPESESRKRRKISESMQNPLPLQAYSSNLQSRASLTCENVLMGRNNIVPATIYASVLLHVIRHCSLCIKHAQLTAQMDSLAIPYVEEVGLRTPSSNLWLRLPFAQDDSWKHICLRLGKAGSMSWEVRINDPHFRELWELNGGSTTTQWGVGVRIANTSEMDSHISFDADGVVLNYSTVEVDSVQRLVSDLRRLSSARSFARGMRRLIGVKLDDKIDDNVTSMEMKPQSVKISNNDSADKLSEQMRKTFRIEAVGLMSLWFSYGTMPMVHIVVEWETAKGGCTMHVSPDQLWPHTKFLEDFVNGGEVASFLDCIRLTAGPLLALGGAIRPARMPVTVSSGYNAMPKQSNIPMQGPLANGSSSSSMHHVPAGPTPSVTPAAHLGSHNLHAAAMLSAAGRGGPGLVPSSLLPFDVSVVLRGPYWIRIIYRKKFSVDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQGLNALEPNFMNATQASAHLNSSAGAPQSAPTANRLSAAPGVAMSRPTSGVANHVAASLSRAGNAMLATSALASGIGGAPVRLTPGTGLPVHMKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPELLKEILGSILKDNEGALLNLDQEQPALRFYVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQAQSNAQEELSSAEINEICDYFSRRVASEPYDASRVASFITLLTYSFGDTNHVAYLAMDGSHGGRACWLQVEEWERCKQKVARAVEAVNGSAVAGEAGQGRLRMVAEMIQKQLQHCLQQLRDGSLSAGSTAA >Et_4B_036372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20581821:20582855:-1 gene:Et_4B_036372 transcript:Et_4B_036372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLVLLLVPSAAAARVGPSVTKPIDASQTQHMELPDIVIGPESVAFDPHGGGPYVSVNDGRILNQIPIVAKEPLCGRPLGLRFHRESGNLYIADAYMGLMRVGPDGGEATMLVTEAEGVPLSFTNGVDIDQVTGDHEMVTKTGDSTGRILNADRTHLIVASTGPCKLLKHWIRGPNAGKLELFADLPGYPDNVRPDLKAIRIGAKGEKLQDMRGPKNVRPTEVVEREGGKLFLGSVELDYVSIVST >Et_1B_012791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35163395:35166816:1 gene:Et_1B_012791 transcript:Et_1B_012791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGDEIDGGGSVGQGTCKDIRRYKCEFCAIVRSKKCLIQAHMVEHHKDELDKSEIYNSNGEKIVCEVEHKCLECGACFQKPAHLKQHMQSHSQERLFNCPLEDCPFSYKRKDHLNRHMLTHQGKLFSCTVDGCDRRFSIKANMQRHIKEIHDDENAAKSNQQFVCKEEGCNKSFKYLSKLKKHEESHVKLNYVEVVCCEPGCMKMFTNVECLRAHNQSCHQYIQCEICGEKHLKKNIKRHLQAHEEVPSSERMKCTFEGCEHTFSNKSNLTKHMKACHDNVKPFSCRFAGCDKAFTYKHVRDNHEQSSAHGDFEEIDAQLQSRPRGGRKRKSLTVETLTRKRVTIPGQASATDDGVEYLRWLLSGGDGSSQNL >Et_3A_023811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12692629:12695370:-1 gene:Et_3A_023811 transcript:Et_3A_023811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YKPELLHHGDLKGSALVDQWMEVEAHHVAPTLWQIIRNCITGPYVRRPRDQTVVDENLAKLRTVLEVYEARLSVSKYLAGDGYAGVVDAYPHVKEWWEALLARPSVQKVMPWMPPDFGYASGNI >Et_4A_034309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31590955:31592599:-1 gene:Et_4A_034309 transcript:Et_4A_034309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVFSKRRRTADHSSLEEARALVATAALERSNLIGRLPDCVLGRIVSLLDTAEGARTAILSRRWRYVWLSAPLNLDDRLRPLYGDSDRLHPRRSPGLCPPPLLAIPPPPLQHQPLRRLAPPPTFDTLEELVLRFGRGAHQLRQPEVPACALRFASLRVLDIDNCTFPPGRAPPAFPCLTHLSLRRVCIAEELLLGMISHSPGIENMMLDTNSGHRRLCLPSLPMLRCLAVLVSQTFIRKREIELEELVVEDAPSLERLLMQEVQYGPSVRIKGATKLKMLGYFGTGFPIIELGNSVFKGMVPVSLVSQFSTVTILGLEMPEPKLEVVVGYLTCFPCLEKLHIKKNLNPALTLDPSAPIECLDRSLKTIVLQSYDGLEAHVKFARFFVERARVLEFRLLNIENRASRCAEFPFVHQYDWPTRFLMDDSFSSDDPFMESVDRNSA >Et_8A_057498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3648905:3651454:-1 gene:Et_8A_057498 transcript:Et_8A_057498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAAATAAGIAGMEPEPEPDQPRAAAAGNRKHLAMLERLSKRSSSCAAAAAPSSDSTGASPVEDFLSRFATAKLAAESALSACPSSTGDEATASLAAAAAAIDDLDRLVAEASHALPPYELRSALAAAADLRAAHRVAASELKPKKSFSFRNKSRAPKNPAQDPATLPPPQPPAPEQPKPVLHAIVPGFGFRGKEGATLVKDLRVSNDKDGDFTLSDLVSCEVYLKGKCRALYVHKLRNCRVYVGAVLGSVLIEDVEGCTFVMAAHQIRIHEARATDFYLRVRSRPIIEDCSGVRFAPHALKYEGIEEDLKDSGLAEETGNWANVDDFKWLRAVQSPNWCLVPDEERIPLVDISHVRDQEITMYQEPAEFILGGSGAKGRMLAFELQYYSYIALPRVNPSLIRGAKKSEGQQGSWLVNPSATKSVIITLSQKAVNR >Et_5A_042514.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1878719:1879429:1 gene:Et_5A_042514 transcript:Et_5A_042514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARADIARPRKQASGRRRQQLPSLPLDVVLEIAARSDPATLIRCAATCRDARRGVADDPAFRRRLRLRHADSFVLPLLRGHLMEVFSYNQKAYYTETLHLVDMTTANAAARPLTTEDGFPDGKPWRRHRPVASRDGLLLNQMIDQQYQELRVCDLATGRSQTLPPEPTFVEAARDQWESYVLLVDESSGGGTGIDRPFQVLATNLVFTDHSCYLEIQTFSSEKRRWGPYTKIRIPH >Et_1B_014056.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2973154:2974368:-1 gene:Et_1B_014056 transcript:Et_1B_014056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPPAERYPVHAIDFLHLIKARFASNSDIRKEFFSILTDFCNGVTADARAVVARANELLQGHPDLVDRFQVFLDPEKEARQFLERVKDADMNLYDAFLAELLRLDEERGLDAHQIYARVRLVFGSANGDLLRGLAKFLPTRYDPAPCANAEREGRRPTRKRKHAADPGMDDVPIPEHYAAAPNADAAAAMSSRPIRAKKRRVHEHDDPKPKCNPISYAGACAVGSSRPSRARKPPRTDDCDNRCAPLASAANGTTRGAAARDDDVMNEEVSRFRRAWEFETGYSKLVATMARAEELQRERRAHGASASHGRRGASVEELFPSRECRECLAEMYRDAWGTMRKLLEDDDFADSALGIVLNRLRAMEAAAVEEARSRRDPARAAQRLNDLVENRVREDVERRGA >Et_3B_031482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31148945:31149815:-1 gene:Et_3B_031482 transcript:Et_3B_031482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQAAGGLPHVCVARDGRLSVACVHDTRVDVWTQQDDDDDDSNPAAAWLFARMFGKNWVLSQVIQMPSTAPANENFMSCKWFYCNKGAMMAVYGGNGVFVLDLETKSMDLADCAPSYGYYMCVPYEMDLPGFFLGQLGGLAILGKREKRKLKRAAQVNPYPW >Et_5B_045625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5696057:5696630:-1 gene:Et_5B_045625 transcript:Et_5B_045625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVTLSVTDAEDHEITRTMRRTEKLDTLIDISIVTLTVREEESPSRLAFTLTLRRTDQLDDPMNFYYDMVRTVEHGDGVFLYDGRRVRGDKTPQELGMEDGDEIEVTYNRVVSSMSRIYSRRPLLLASGKQFVALAW >Et_1A_007440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34529009:34531976:-1 gene:Et_1A_007440 transcript:Et_1A_007440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ISPPPLATQTPPATAAPLPSSLPNFKFSHAPLRFASLAETLTAAAAMGGSHSREDLEFSDSDDDAESRASDNSSDYGTPSPAPASSRPGGAAAATTASVDAIDRHLRNLHLKYNEPISPNPSPGPTPSANPTALNAVKLYLHIGGSTPSAKWIVADRLTAASFVRTGDDEDDEEPASGPWCLVVGSKIRARVGPELQLKTFPAQRRVDFVADGVWALKFLHADGFGEFCSKYHSCLFENSYGVAATDEGRAKVFGKDFQAWARPEDGDESIWEDATDGFSPGPKGFKTPVPSRSPMLKPLMEDLREFEEPVEEGSGIQSLALGALDNSFLVGDSGIQVVRNFEHGIHGKGMSVKFSGGSTNFSTPKKALLMRAETNMLLMSPATDGKPHAKGVHQLDIETGKVVSEWKFEKDGADINMRDITNDSKGAQLDPSESTFLGLDDNRLCRWDMRDRRGIVQNLASATESPVLQWTQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSTSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFIDKDGKEKTGFTGRMGNRIAAPRLLKLNPLDSHLAGANNKFREGRFSWVTENGKQERHLVATVGKYSVVWNFLQVKNSHHECYQYQEGLKSCYCYKVIPKDESIVASRFMHDKYAVTDSPEAPLVVATPMKVTSFSISSRH >Et_9A_061177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20430851:20431600:-1 gene:Et_9A_061177 transcript:Et_9A_061177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTTSEKTMQPAAAAAATGLALGVGCGAGGGPHYRGVRKRPWGRFAAEIRDPAKKSRVWLGTFDTAEEAARAYDAAAREYRGAKAKTKPPAAAAAVTGGGGGGGSRSSNSSTVESFGSGDVQQAPMQAMPLPPSLELDLFHRAAAAGAGALRFPFSGYPVSQPYYFYGHAAAAAAGAAGCNMLKLAPTVTVAAVAQSSDSDSSSVVDLAPSPPAAVSAPKPSVAFDLDLNCPPPTEA >Et_4A_033768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26766084:26767291:1 gene:Et_4A_033768 transcript:Et_4A_033768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRSAAKSAPTAPPLDAKALSLDVAIPCELLKPPPPAVFSREPFSAPESRVMGGLARPASADSDTCELNRSPWDVISEPSLTDPQVSATTTTPILLVVLSIWEEDDLVDKYFVHVPCQARWLTVNGTSAPVKEKLHMSVDPKKASKKVAMNKEDQKKVQTPKKVDVNKKDQKKAPKKVAVNKKKDQMNVHSEKNAQVKEEEDNERKPPSIKCRKYKGNSWHCDQPVSSHNSICDYHLYHKRSSSRSRCYISREFSLVMEEAAATSKPAKSSKPQKKKSRPDFSAEGYYYYT >Et_8B_060520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19881984:19884715:-1 gene:Et_8B_060520 transcript:Et_8B_060520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMTYMFKYDTVHGHWKHSDIKLKDSKTLLFGEKPVTVFGVRNPEEIPWGEAGADFVVESTGVFTDKEKAAAHLKVIHDNFGIVEGLMTTVHAITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPDLNGKLTGMSFRVPTVDVSVVDLTVRTEKAASYEDIKNAVKAASEGKLKGIIGYTDEDLVSTDFVGDSRSSIFDAKAGIALNDHFVKLVSWYDNEWGYSNRVVDLIRHIAKTQ >Et_2A_015805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18027611:18030020:1 gene:Et_2A_015805 transcript:Et_2A_015805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECALLEYRHGVDQPRNPWFDGPEYITQCPIQPGANFTYRIIFSEEEGTLWWHAHSDFDRATVHGAIVIHPTRGARYPYKKPHKEVPVILGEWWNEDVNHLLEEAKRTGGDFKPSEANTINGQPGDLFPCSKKDTFKMAVEHGKTYLLRIINAGLTNDMFFGVAGHRLTVVGTDGRYLKPFTVESIMIAPGQTMNALLVADRATDGSCNSRYYMAARTFATNTAIPFNNSTATAIVEYADAPRSTGPPDLPNLPAVEDIGAATAYTAQLRSLVTAEHPVDVPAHVDEHMLVTIAVNVVPCPPNQKCGGPRNQSLAASLNNVSFVNPTVDVLDAYYRSMPGVFEADFPNKPPVVFNFTNEDVPPAFWFTKKGTKVKVLEYGTVVEVVFQDTGILGAESHPMHLHGFSFYVVGRGFGNFDGKKDPASYNLVDPPYQNTVSVPKAGWAAIRFRAANPGVWFMHCHFDRHTVWGMDTVFIVKDGKAPNAKMMPRPPTMPNSKSLLIASSPLT >Et_2B_019374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25940415:25940853:-1 gene:Et_2B_019374 transcript:Et_2B_019374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLMGMKMSLTKNPTKPMTTNPIAVRVATLVNSAHTRLWQRLTRRTLSLAKSRRGSTTESIASMAAERDLEGEARERGEDDVRMRFYLWEVCFTRVMDPIKLLENVIG >Et_5A_042906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6768364:6770552:-1 gene:Et_5A_042906 transcript:Et_5A_042906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGCRRDWANLTAGPAALIADHALANNITDYIADPRAHEISDRQFQPRQWIMLPSKFNVDRRRRFVNLSTGECIHAGIPDLHRHYLFGPTAEGFLVLCQKGTHIVQLLNPITGQVTDLPCAATLLDPCFDHWTTAHGARNLMLRGAGLTNDSAVVLLYNLLALQVAAVAKPGQKSWTRLDIHQQRIMSAFPFAGRMYCVTNKDILVAETSATNEQAPRLVVVAELKWGFSFSDLPAVGYHDYIYPLLDGRDVILVHRRLEYSTAYAGHYALTPYMSAATTVMRTEQTSLPLISALSSLRNLSPEKC >Et_8B_059207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15213398:15224057:-1 gene:Et_8B_059207 transcript:Et_8B_059207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFGAALGLVLGVGVVMLFARLENARAEQRRELAATVSTFSKLTVQDLKNLIPPELYPSWLKWLNHELVKIWPFVNEAASELIKTSVEPIFEQYKSFILASLHFSKLTLGTVAPQFTGVEILESDNSSITMELEMNWDGNPNIVLDIQTTLGISLPVQVKNISFTGVFRLVFKPLVAELPCFGAVCCSLKEKSKVDFTLKVIGGEMTAIPGISDEIEGTIRDTIEDTLTWPNRIIVPIVPGDYSDLELKPVGVLEVKLVEARDLKNKDLVGKSDPFAVLYIRPLREKTKKSKTINNDLNPIWNEHYEFEVEDISTQHLTVKVYDDEGIQASEIIGCARVSLTDLQPGKVKDLWLDLVKDLEIQRDKKPRGQVHVELLYYPYAKHEGVSNPFANQVQLTSLEKVLKTESNGYDVNQRKNVIMRGVLSITVISAEDLPPMDIGGKADPFVVLYLKKGETKKKTRVVTDTLNPIWNQTFDFVVEDALHDLLMVEVWDHDTFGKDYVGRCILTLTRVLLEGEFQDTFTLQGAKSGKLNLHFKWTPQPIYRDRDREGHILYEKDEVKEQGIRVTAAGCCWSLWMSFTMSNTASG >Et_3B_027536.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25921691:25922588:-1 gene:Et_3B_027536 transcript:Et_3B_027536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHVRRLLNRVSIALAAVATVALLHLVRHSSTSCFAGGGYHSYTSLSLAPFPRTSCDAASRRVVDPDLRLAKLRASPRWRRRAAALSASALVPLRRRRLLDGSSRVLCVAAGAGQAVDALHAAGVGDVTGVDLVDFPPLVRRADPHNLPFFDGAFDIVLSDDQGAFTGALFPSRFAAEIERTVRRGGAIALAVDQHVELSTVARLFKKSRVVEV >Et_3A_025926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34035282:34043556:-1 gene:Et_3A_025926 transcript:Et_3A_025926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSPSRPNACVAGEPPEPLPSRFTRFRRRLRLHRRRSGAGDDSARAILADEFAGIARIRIVKEKKVIVETNGPHIARISVFETNRFSKNTLVGYCEVDLLEMLTKELDEHSEDLSLMDPSSPNTTVGSISISCYIEDPVETEQSFARRVLAIVDYDEDGTLCLSEFSDLMKAFGNKLAVTKIEELFRQADTNGDGIVDIDELSALLADQQEREPLISNCPVCGEVLGRYDKINDMIHMTLCFDEGTGSQIMTGGFLTDKQASYGWMFKLSEWAHFSTYDVGLHSGSAASHILVFDRRTKRIVEEVIDGKIVLSMRALYQSKVGLTLINTGVKDILKNLSDKQGKKMNSLESAKDIPKFLELFKDQINMDEVKDPIESFKTFNEFFIRKLKPGARPIAYSDQDGIATCAADSRLMAFSSVDESTRLWIKGRKFSIEGLLGDSVQSDALNNGSLVIFRLAPQDYHRFHVPVSGTVEKFVEVPGFLYTVNPIAVNSKYCNVFTENKRVVSVISTSEFGKVAFVAIGATMVGSITFLKEEGDYVQKGDEFGYFSFGGSTVICVFEKDAIQFDADLLANSGRSLETLVSVGMTLGISKRNKGLQVPELQKCSLE >Et_2A_014796.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:15777527:15777643:1 gene:Et_2A_014796 transcript:Et_2A_014796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMYFVTSFAILLSLWCTRQGGIFHAAMENTAQRGWAR >Et_7B_055506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12762741:12765760:1 gene:Et_7B_055506 transcript:Et_7B_055506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEEGLDLSLSLQRSPSSPPRFQAVFACCYCPRKFRSSQALGGHQNAHKLQRNLARRGARDVASAPPPLPLAAADQAAGNNNKAAAGSESAPPPAPRSTAELIGVDAWGEGRRYRHHPHQQGASTSGGASSASSGGIGVVAEDMIDLHY >Et_4B_039630.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24326401:24327120:1 gene:Et_4B_039630 transcript:Et_4B_039630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAPPVRGYGPASSSSSVALSRARTPASFVPVRSTRRAASVRLRAAVADAPGALELQRREVPLLGSAFGSGEEEENDVVEKVGEEMVEGWMRESIAEIVRNIGEAPFLVHLFSSDDGGEERLTVRREPAAPESWPDVRRRWGPGGQRRPDGIILVEQLAAAAVDGGASEAARQVWGLVVQARGMECASCYVLDTCRVRSSAGFCTHFCLARAQCFGDPVELQLRNAWLNRLSGRR >Et_9B_065647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8670362:8683469:-1 gene:Et_9B_065647 transcript:Et_9B_065647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSLLREAPVRRSRRGRGRRPRSPPSGFTSHGGRRRDNGAAVPRATTAASNNSSTLATRCGPPVMPDTCIASERILVQSPHHCETHGWTARVQLQPEGLQQEVPNVVTVYCPRHGWTNYSRRNTGSPNEEHTFAMASRRAISPAPSPEYTPASRKYTPSQAAPPEFLLQGTIAARRGAPPFYMSTGGAAPALLQGRHLALSSPHLLLRPLLLRPRCSRQRVYGSQPPPWAAPQHDPNRSCAGTGRESLTLSAAIDLNVHEFESKEDKKEAYLLTAVCSNRRRTGLGRGDHRAGQRRPWREAD >Et_3B_028835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19629415:19631164:-1 gene:Et_3B_028835 transcript:Et_3B_028835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCSPLLLVMDPFNDWDLQAVVRSCSFAHADEPAAAAGPRRQEPAAPAPQAEARLERTVPVAGRASAAVAGKDASSRALYGQLDYLDLDRKPFLLPAATAPSRVAGEDGREVMISFPAAAVASTSGSQSTAVAPGRKAGARTTRPKRSKKSQLKKVVREMPVADGGVSSSDPWAWRKYGQKPIKGSPYPRGYYKCSSMKGCMARKLVERSPAKPGVLIVTYMADHCHPVPTQLNALAGTTRHSKPSAGEDRPASTSPKRHEQQQQGTAADDEAAAARCDADSNEASTTTTAPVAADCGGGVEELAAALADDDGEFWPAGMDLDELLAPVDDDFDFEHVLDDDDGVLGRRLSL >Et_1A_005030.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22353730:22353813:-1 gene:Et_1A_005030 transcript:Et_1A_005030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYSYLRIYSMPEFDLLLMWVFLFPE >Et_8B_060390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12639657:12643712:1 gene:Et_8B_060390 transcript:Et_8B_060390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSIPAPGRVWEGVIMGSRFPSHQLSNGLYVSGRPEQPKEKAPVICSTAMPYTGGDIKKSGELGKMFDINRKSGHLGNQPSRNTSFSNAASNSGPVSNAAGRSNYSGSIQSGVTGTGGSSRTKSSSGPLNKHGEPTKRSSGPQSGGVTPMARQNSGPLPPVLPTTGLITSGPITSGPLNSSGAARKVSGPLDSSVSMKMRATSFAHNQAVSNLNGEDGYSIKGSIPSAIWWLVSLLFVVGFVAGVFILAAIHNPILLIVVVVIFAFVAALVTWNIYWGTKGVIGFVSRYPDTDLRTAKDGEYVKVTGVVTCGNVPLETSFQRVPRCVYTSTCLYEYRGWDSKAANPQHRRFTWGLRSMERHAVDFYISDFQSGLRALVKTGFGARVTPYVDESVIIDINPDNKDMSPEFLRWLRGRNLSSDDRIMRLKEGYIKEGSTVSVMGIVQKNDNVLMIVPPAEPISTGCQWAKCMLPTSLDGLVLRCDTSDIDVIPV >Et_7B_055512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12898131:12902001:1 gene:Et_7B_055512 transcript:Et_7B_055512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFWGVEVKPGKPYTLTHGDCHGRLRLTQATLGDAVGKGEKGAGGKKCVLQCSVENKDPVYLCALVPDQSETCHLELEFEEESVTFSVNGSRSIHLAGYYIPEECEEGMCGNDADSLEGSDEDDLFESDDDGNMVMGDQMLTDSEDDGSDYDSETDDEEMVYSQRRGKSSVVIEEIQEDDKPAVGEAQKGSNKSHLQLAVRTPPAESMESEDEDGFPVPIAESKKSSESVSKNSKDTSNEDRKRKSEAISNHCASSGEVKKENDGASKKKKKTKDKRVAGNNGNANNEEKEVKQQDSPADPVDAKQKKKNKNKSSAEVVTDQQSAKKTQIHRDAEEVTAQEGSKKKSKKQKTQETNKSESQAQTGLAESGSRKEPLQTRTFGNGLIIQELEMGKPDGKRATRGKKVSMRYIGKLKNGKIFDSNVGGRPFEFRLGVGEVIKGWDVGVDGMRIGDKRRLTIPPSMGYGNKRVGSIPQNSTLFFDVELVNYIHRDV >Et_1B_010786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14045595:14046921:1 gene:Et_1B_010786 transcript:Et_1B_010786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKLKKMASAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNTIPGIEEVNIFKDDVVIQFVNPKVQASIGANTWVVSGTPQTKKLQDLLPSIINQLGPDNLDNLRRLAEQFQKHAPGASGGEAGAVTGGAAQDDDDEVPDLVPGETFEEAAEEKKESS >Et_5A_040183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20254006:20254728:-1 gene:Et_5A_040183 transcript:Et_5A_040183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTPTESSSRAFFFSFFPFLVDMALSFLVDVAGSFMDVEGSNSRDGVLGVSNNFSLTLGCNARLVGERIVWSLWNVHICPYTNPLLYPRLHLSSPRSLVTKTLTFPVKPAMKILKI >Et_4B_039129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8065645:8069577:-1 gene:Et_4B_039129 transcript:Et_4B_039129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLLLLHPRAPLQPRPFRMSSPAAPCRVIVCSAASAEGLIPAAPILLPEGPWKKVEGGVTAAKGFKAAGIYGGLRAKGEKPDLALVACDVDATIAGAFTTNIVAAAPVIYCKRVLNTSKTGDAGYQDAVDSADAVAKLLNVSTDDILIQSTGVIGQRIKKEALLNSLPRLVDSLSSSIQGANSAAVAITTTDLVSKSIAVQTEIGGVPIRIGGMAKGSGMIHPNMATMLGVLTTDAQVSSDVWREMVRTSVSRSFNQITVDGDSSTNDCVIALASGLSGLSSILTHDSTEARQFQACLDAVMQGLAKSIAWDGEGATCLIEVTVTGANSEADAAKIARSVASSSLVKAAVFGRDPNWGRIACSVGYSGIQFDADQLDISLGAIPLMKNGQPLPFDRSAASRYLKDAGDVHGTVCIDISVGSGAGIGKAWGCDLSYKYVEINAEYTT >Et_1B_010683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1326153:1329770:-1 gene:Et_1B_010683 transcript:Et_1B_010683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVSLVRLALLLLAVLPFCATHPSPAFHTPREFQFQKAHHSDGYGLVIRRSTAEAPVDTNITTNSSFVLAAERTYRKDPLNGFRKYPGGWNISEVHYWASVGYTAAPLFSIALVWFVLFFLLMLGICCHHCCCPHHSYKYSRTAYALSLILLILFTCAAIAGCVMLYDGQGKFHKSTTTTLDFVVSQANFTVDNLRNLSDSLSAAKKVDIGRFLLPADVQNQIDEIQVKLNSSATDLSTRTTDNSEKINKLLNRVRIALIVIAAVMLFVAFVGFLLSIFGLEFLVSVLVVFGWILVTGTFILCGVFLLLHNVVSDTCVAMDEWVAHPTEHTALDDIIPCVEPATANESLYRSRQVTFQLVNLVNQAISNVSNRNFPPNTPIFYYNQSGPLMPMLCNPFKPDLSNRTCTRGEVTLDNATQVYNSFVCETTTVSGAEICTSVGRVTPRIYRQMEAGITVSQGLYQYGPFLIQLEDCTFVRDTFTNINQNYCPGLQRYSKWVYIGLVMVSSAVMLSLIFWVIYARERRHRAYNKQFIVGHNYPTEDKPAATAPNA >Et_2B_019123.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:11484386:11484469:1 gene:Et_2B_019123 transcript:Et_2B_019123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METADVAMNDHVMNARITESSYRPQES >Et_4A_034045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29441716:29444268:1 gene:Et_4A_034045 transcript:Et_4A_034045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNTTAAAATPAPPPAASAPAVQVPRGQVDLVDFIDWTGVECLNQDSSHNIANALKQGYREDEGLHLASDSDEQLLIYIPFMQVVKLHSALFKGPEDDGPKTVKLFSNKEHMGFSNVSDYPPSDSLDLSPNHLVENKPVTLKYVKFQNVRSLTIFIEDNQSGSDVTKIQKISLYGTTVDTTNMKDLKKIEEH >Et_9A_061463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12188965:12192611:-1 gene:Et_9A_061463 transcript:Et_9A_061463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVASAFLKSVMGRLFLVLEKEYNKHKGLAQETQSIQQELRMIAAAMDDQIRALGKHERTVVARLHSEVMRDLAHDIEDSVDRFMHRVRCKQRTGSGASFLVHRVAHELKKVQIRSGFADEVQKLKTRLREAHQRVIHAVPTACGQANGWPSTTACPMKSHVTRNPVGFERPVEEVQSLLDEVNGEQDQLRVISIVGFGGSGKTTLAKAVYESPETKAKFDCRAWVPAAGGSPEANEGMRGILRLILQQVLPKDTMEVDSQHQESSLKEYLKDKRYLIVIDGIRMDGWSALYSAFEDNNRSSRIILTTTIRSVANMCSHGNGYLHQMDTLCEEDSKKIAFPGVTSPELDQGSTELLGKCDGLPLALVSVSHYLKSSTEPTGELCQKLCRNLGSYLKEHHGHDTFSELRRMLFDNYDNLSGYALNCLLYLGIFPNNRPIKRKTLMRRWIAEGYARSDSLLCEQDIADENFNKLVDRNIIHPVDTRNNSQVKTCKTHGIMHEFVLHKSLSQRFISTWSTAHPRLGGNANCARHLSIHAGEPTECVASDEDLSRVRSLTAFGDTDGAISYVRKCKMLRVLDLEECHELEDHHLKHICKLWNLKYLSLGGTICELPRCIERLHCIETLDLRRTKINLLPIEAIELPHLAHLFGKFMLDKNDLKNVNKMSKLQKFLSGDKGNLQTLAGFITDDSQGFLQLIGQMKKLRKVKIWCKRATNNYVGALSLAIQELSKVPLERAIDRSLSLDFEGCPENFLSSLDLEPCSEGFKYDLRNLKLQGKFLRLPPFVNLLSGLTDLCISSDTLTRGIISALVRLRKLLYLKLVANQLENFEIKQGTFPSLRRLSFVVQSLTSALPTIEQGALPNLVSLQLICRDLVGLSGINVKHFRYLKEITIHKKVSAETRQDWDRAAMNHPNRPRLLLLEMAEPMESRELESCTMLEKRKRGVAQPNSEDGLDSNFKKMKVSEPTGGGSELNVIMQYSSASAHLGT >Et_4A_033073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18015871:18032286:-1 gene:Et_4A_033073 transcript:Et_4A_033073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSIARTVSPPRNRPVPNPGVYVGSSSFRRYQLEALERAKRGNTVAFLETGAGKTLIAVLLLKAYAHRVRRPEPRSFAVFLVPTVVLVGQQAGVVEAHTDLRVAQFYGEMGVDFWSEDTWRKAVDGAEVLVMTPQILLDNLRHSFFRLQDIALLIFDECHRAKGNSPYACILKEFYHPQLNSRPSDPLPRIFGMTASLVYSKCLDRADFSKQICELENLMNSKVYTVDNESAISMYIPFATTRIIQYDDSSIPSELHDPIIICLDRLKTKHLEIFEAKLHGSSLENAKQRISKLHQTFSYCIANLGVWLAAKAAEVLSHSESCLSFWGEKLNEQVEVFVRNYSEDVYTSLSHFSKRDIGEDFAADLHDGLLTSKVHFLIKSLLEYRHMQDLRCIVFVERVITSIVLQSLLSSMDHMSGWTVKYMAGNKTGWHSQSRTKHMEIVDSFRSGKVHLIIATNILEEGLDVPSCNLVVRFDPSATVGDAEALSKTEKFLSSGQMMREESLRLAPIICQPLTSTLCDEEYYRVESTGALVTLNSSVPLIYVFCSKLPSDDTDKALGTCTLHLPKSSPVQTIHAEGEGSFLKQIVCLKACRELHAIGALTDSLLPELGVPYEDDSDIEVSDPINFHEMQESIGVVYLLLPLVSGKIDWCSIKFSDSPICEGTNKDMRHCHSCKKVDLLQTKDGPFCRCMLKNSVVCTPHNSMVYAVSGFLDSNAKSFMPESVVSYTSHFNTKHKLNLTYEDQPLLFATKILKARNFLHKSHHYKKEKENRISVELPPELCRVVMSPMSANTLCSFLFVPSIMYRIQCMLLSVKLKFQLGPRMQQFDIKSLKILEALTTKKCQEEFSQESLETLGDSFLKYVTTQHLFRKYKLHHEGMLTKMKKNLISNAALCQLACNNNLVGYIRGEVFSPKEWIIPGLGYDKCGSRKIFCVSTNMYSLRSMSIKSKRIADSVEALIGAYLSSVGEEAAFLFLVSLGMDIEFHNEMTVKRRITTKCEEFINMKSLETMLGYDFSDPSLLMEALTHGSYQVTGSTACYQRLEFLGDAVLDHIFTEYFFNRYPECSPELLTDLRSASVNNNCYAHAAVKAELHKHILHSSSELHRKMDYYLNNFKQSFSGPSHGWEAGIGLPKVLGDVIESIAGAIYIDSKHNKEAVWSSMKRLFEPLATPETLQQDPVQELQELCDGKSYSISYTKTRENGVSSVVAEVQGEGISYSATRTGPEKDVAKKLAAKAILQYLKVAVQNDDARNSTAK >Et_3B_030763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7119982:7136234:-1 gene:Et_3B_030763 transcript:Et_3B_030763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAGAAAAGTFSRLVDRTRVPDPALQRHAVAAFFRHLLSLPAPLPAAAHDAASALLASPHPAVAAHAAASIARLAASRPDLLPPDLALPLLLAPLAASPSSRLASCLVKAVAALASCVLRSGGRFPQHDHPFVQALASGADGARAELTRQAARMVAEGVDGVIGFLRPFVMLAVVRKGDAPFARDLIGALAAAAAAAAKPGVVIPVLKLLEESLLHFGCGDDEEGRLWLCSAECLVDAYVLLLKKLAHVQMVLFAVFCFVCALKYWSNQLLRISKQRVHICKYVYKPAHDTQASSAELMETLLSQCSLRPKLIGITSTVLGLSKHLFSVQKDLGLCYIPDISVILSSLSYSLSGLEFEHEQLAGLKLLAFLIEWKYENVLEIKGSTHNLNEELLCVLPVISLAISPSKSVKAAASHVLSRFSLLVLDLPVSHLSEQQDISMTYHISKPSFILPKLLRHLWSQPSSSGSIFMKYTVSKVASDSAQDSLEASYWTHQLNDYMTGPCREKLMMDDLSSKKISSVAISSLVSSVVSVLVMHPKLGASAAQSLATLGASNQRLGMPLFVVILFYIKILYGNNNFSTKILLSLLESLPSFAIHGFVLPLALQWISPMLKRDTNLSLYATAVRLLCKTWIVTDWAFPNLQVILDPENFSSFNSDTEISASIAASIRDVCKHNSDRGVDIILSVSVCIESPDSVVQALGLESLSYLCEADVVDFYTAWKVISKQLHDYSIEPNIAHNLCALLRWGAMDAEAYSGISKELIGILWNIGTSVKNNSESLWVKARGTAFNSLSYYKVSLIQDAIPDFWKQNYELFTNECNVEVLKAMEIFEDEIIKFEHINRRRVTTDKRVVVHKFEKLLDVFPQVVFKGKSVHHQLPGAALLTLNFTPKDILNGGKSKQAFAEIAESIYISRNIEVALLVLHSWKSFVSNWMEAALALLDIKDSSKLNKILCGHAPATPQVAVNIALVIGALCSIVPPAAHLVISSAAEFLVRWLFQYEHEHQQWSAALSLGLIFNCFHSTDKKSKFQVTNGLLEVISKTESCLVKGACGLALGYTCHGLLTRSHNAAESEVEATTKLNERASVEDILHTLVTSLIQLCPSTSYSLKKLRICGIDTMGRIEENVNSFDDDPWAIAALVLGLGNSVVALYRLGAYEAVTDIKNILISWIPDVDSSSALFDEINSASLCMGSCLALPSVMAFCQRVELLNDDLDVLFSRYTSLASEVLNLNKSGTIFQNLLIAICIGAGSFLSCILGDGVHAMEFSGVKRLLDTFKYIYTHPFPPLVHLGAMFGVVNSFGSGAADLTGMCSKPMTSQIKHEEIFLLAKDADDKHIQDYAAWAISFLRSTWLSNNQNLCDDNDTHRSSGDLNQSTHFSEQSLVWNLSLWLSDLKLEKTDDMVPASTIGIVLKCLSKAPRLPTIDWGVVVRRCMKMEVQVSHKSTNRQDFKLLREACLYFSAVHAKTISPLLQFLDDLTDILRFQRLDFNMQSILLQHLSHLMKLFSVSRLEKLYEDLTEYFHSPTSSFLKYSSQQQSMIRMSFWKGICKCLLEIVSEESAVFLFIKKCIECLLPLLTICNDGQPEFGDEWSAAIVCLGNAQKSWLDDMLQVHNSNGEHVSVAKRIIIRARLSATGCGSAHELGNIKSIILCTKVDGVWWSVLVEAVAAISSAENSIKKQWLLDALDVGCVTDHPSTVLRFVGLLCGSCCIYMPLLIVNSTNVLCDLPVTLPSFLSSSSWDGFRNSVVEKLWLLTTRIYTWAEQLAHGNFLTEHDHIHRSEADIANFLANILRSTCIAVEDYLSVDKQLKLANLETLRS >Et_7A_050593.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:3731888:3732304:-1 gene:Et_7A_050593 transcript:Et_7A_050593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALACEEAMIEAKQMNVRNLHLETDCLEIVQLWEKRSSQRALIASMLRAMEELSLVFNNFSFSHVNRRCNQVAQYRLENCLNVQNEPQTNFTKIGMANRAFFFWLICGLSRKILLLKLNHSTISVYCSIYRLNAQFS >Et_3B_029336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24080173:24084478:1 gene:Et_3B_029336 transcript:Et_3B_029336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQDDGRGSERAPLLPDPAPRRAAARLHPLPLLVAAAFAASYHFLLAAPAPSYYQSLFLSLGSNDTAAAHLRALTARPHLAGTEANALAAAHVAASLSAQSFPTRLTPYSVLLSYPVHRSLSLSAPGRDAVAFALKQETYPGDPYASVSDEAVPTFLAYSASGSVTAEVVYANYGRAEDYAYLAARGVNITGKVAIARFGKVYRGDIVKNARAAGAAAAVIFTDAKDYRPGKAFPDGPGMPPTGVQVGSTFKGVGDPTTPMWASSEGCERLSVAEAMASDDMPGIPALPVSGRDGEAILQLVGGEVAPEDWQGGDGAPVYRLGPGPAVLNLTYIGNETMATIQNVISVIEGKQEPERYVILGNHRDAWTFGAVDPNSGTAALLELAQRFHELQKKGWKPRRTIILCNWDAEEYGLTGSTEWVEENRAMLSSRTIAYLNVDSAVAGPGFYASGTPQLDELLKDASKQVRNPDNGTQSVYDLWMASNTSPLIGRLGGGGSDYSAFVQHIGVPSVDMSMGPGYAVYHSLYDDFIWMEKFGDPLFWRHVAVASIWGLLALKLSDEEILPFNYSCYASELENGAMDINERVLGMPISLAPLHESIKEFKRAVVKVDSELKIYGPSLHNDYGAEVYPGVDDAIQTAKRTNTSESWQSVQHEIHRISRVINQAALVLSGGLT >Et_2A_015080.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:2986944:2987000:1 gene:Et_2A_015080 transcript:Et_2A_015080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAPLIGFVVRLPSNVL >Et_4A_035601.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:30749484:30750689:1 gene:Et_4A_035601 transcript:Et_4A_035601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQAVNFAVSAVAISEFASRAVSGLGGRFNKHADTDEKLKRLELLLIKIHSAIETSEKHEVENMWLLQWREKLKEAASEA >Et_3A_026700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15255424:15260587:-1 gene:Et_3A_026700 transcript:Et_3A_026700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAEVGTAACPWDALPLHLQERILALLPLTGLLPVAAASRSLHRLIRSPAFHALLSPHRLDAFFLLAPRLAVHPLSRRVIATPLPAAGAASPSPPLVSFASPSLLVTPAALRHLPPLPPGSYLLSVVVPLRASSSSSPPYTLVAVTTGAAVRSYTLHSADPTPRWASQGDLPLPFTLLGNAAVSGDRGRLFVLGRGSDALLMFDLVTGKWEVMPVVMPHGLTTAHLFVFDGRLFLVGGVERFGEVEQVVVWQMDGGEEDSLWKEVGVMPAEVFEELVAGRHGSFWHFQAADRMGIVCLYNAIDGRLVMFDAANGQWTLLPRVSGLDAEESRRWFGHRVVIVEALEASAVLDEEFGRIEALEDAAVARQNSPNVRGAGWERRKIIEFVLE >Et_4A_032840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14580206:14586072:1 gene:Et_4A_032840 transcript:Et_4A_032840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGYHSGFNDSFRNGTRRHDNNKENTSQQGLNVRQTELARSGRVPNRYTNSGGNSRSSLPGVVQEYRIVKDNRTKQKEASGTVLEVDNNGESSIEHAVSNVGGKSSTEKLAALDSLVTGNGNGHGAAQADNVIKSVALAHDTEASSVRKMEQSGGMQTLVGSHEVMGKGIQNREVTVTSGKNSFAGELCCSSSDPIHVPSPGSKSAGTFGAIKREVGVVGGRQRSSDKPGTNTSTSNGLVKVASTPKDNPSNEQQSGLPGSSLKNGRTNVPVPLNNRPFPSSQYYHKQQNHWKPKSISPSSTNHEVSLSSSGAPSPVVGNQAEVSVLSKKLSQANVSGNEQVIIPEHIRVPDSKRTHLIFGTFESEVSDVSGTTSDTVVTKECLNDHSPSSLTALNAMVSTDIYADDKMDHAVSQSSLPQSDSNTSVSEHQNSSSEAVEVPSPSVGEYVTEMISSKVMHSQPQFQHQDNHVVPNFKKYEPDSRHGAPFITKAVDDEATESIVYPSEVLGLHPANATQLPAANQQPVPQMYPQQFQVTQYPNLPYRHVYPPHYAPPVVVSNYSSNPAFPQLPHASSYLVMPNGTSQLAANGMKYGLPHQYKQVFPGTPTGYGVYANPNSYTVNAGVIGSTGHVEDVNMSKYKNHNLYPPNLQAETADVWVQGHRETPNMPSAPFYSMMGQPMSPHAAYLTSQNSHAAFTPAPPHPGQLQYPGFPHTLQPTSMTMVQNPQAMVHQAAVPQLAGNVGLDMAAMAPGSQVAAFQQNQFGHLGWAPSTY >Et_3A_024516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21529146:21532809:-1 gene:Et_3A_024516 transcript:Et_3A_024516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIGTVGLPVPNIDVRLESVPEVEYDALASQARGEICTRGETLFSEYYKREDLRKEVLVDELFHTGEIGEWQPDESMKIIDHERNIFKLSQGEYVAFGNSENTYGLVSATDSVCNAKLSISSAFNFVLYMMINKCQKWFTRASLLSSLGSFKAREDADFKIDSTPIAGFELERSGISACFVFMHDTLA >Et_7B_053349.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12746391:12746687:-1 gene:Et_7B_053349 transcript:Et_7B_053349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVQFMTMVLGTPGYLDPEHIQESQLIEKRDVYSFGVVLIIRKTAIYSESTEREQASLHLGHKYIGCQNIDAPRSCSTHKVLLEYEQGQTTVNDRCV >Et_1B_014131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3195663:3197286:-1 gene:Et_1B_014131 transcript:Et_1B_014131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEEEEMLASLLVHGPGLALYKALAVKPLLPQRDASTPPPPVPPRTPSRIPLQSTVRLSDPSKPSTTPVFHCLLASSELPSSPNGRSHRHSGSPPRGALAAAAAQSKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEDAALAYDKAAFRLRGDMARLNFPSLRRGGAHLAGPLHASVDAKLTAICQSLSDASSSKNGSPVSDSAASPPDSPKCSASSSSEEESVSAGSPPSPTLAPPVPEMAKLDFTETPWDETETFHLSKYPSWEIDWDSILS >Et_1A_004513.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:11722118:11724395:-1 gene:Et_1A_004513 transcript:Et_1A_004513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLPPRALIPGSPFDLEASMQQPHRQQFNFAQPQVHQGVFAVPAANPAQDLVNVVKTSLSDEEDADDGHHDHAKAAAAGASHWHRVKWTSDMVKLLVSAVAYIDEDVEADHGSTSGRRKHAMLKKKGKWKLVSMAMNERGCAVSPQQCEDKFNDLNKRYKRLTEILGRGRACQIVEAPALLEKVSLTAKLKEEAKKHLNSKHLHYEEMCSYHNRNRFCLLDDPALQKSLRSALRSGDEQGKKSSSFGYDNDDDDQMFSDDDDEDDEGNDDQEVSVEDRHHKVHSTKKLKHDHEETHCGSHLSEVAAIDMNNMLSEGSGGPAADKNSSGMTLNQIEQKRLKIKAEMLKLEERHFKWLKFSKEKDRELEKMRLENEKMALENDRMELELKLKEIEMGIKPKRIW >Et_7B_053275.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:20217757:20218245:1 gene:Et_7B_053275 transcript:Et_7B_053275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNCPRLKFVLPFSSEITLPRLEAIHITHCCDLRQIFPWDDNVPEEYSKEGAVKDFPKLKKIDLLDLPNLQEICAATMYAPKLESVRIRGCWGLRRLPAVILKRLPSFILRRRHQPVVHCEKDCWENLKWDGLHAGHHPSLYQQHFSSVYYKKRHLRGTVLR >Et_2A_017226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32124040:32127507:-1 gene:Et_2A_017226 transcript:Et_2A_017226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TEAEREERIREMFAFFDVAGRGQLDYAQIEAGLAALQVPAECKYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEINDEELARFVEHVDKDNNGIITFEEWRDFLLLYPNEVTIENIYHHWERVCLVDIGEQAAIPEGISKHVNASKYLIAGGIAGAASRTATAPLDRLKVIMQVQTTRTTVMHAIKDIWARGGMLGFFRGNGLNVVKVAPESAIRFYAYETLKEYIMARKGENKSEVGASERLVAGGLAGAVAQTAIYPIDLVKTRLQTYSCEVGNVPRIGALSRDIWMHEGPRAFYRGLVPSLLGIVPYAGIDLAVYETLKDLSKAYILKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQQANSEAAYRGMSDVFWRTLKHEGVSGFYKGILPNLLKVVPAASITYLVYEAMKKNLSLD >Et_1A_009370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4196031:4196744:-1 gene:Et_1A_009370 transcript:Et_1A_009370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSWLTERRWTPNFLNGPNFEVPTSKMKSIPMGSKLRSVLVNALPDNENKELRYPPDACAIAHHSASTIQWRLDLIS >Et_3A_026724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17247396:17248996:1 gene:Et_3A_026724 transcript:Et_3A_026724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPQPEVEDETSPPAKPGSRRLRYNSPLAQVSLIGLVCLCCPGMFNALTGLGGGGQRDHTTADDANTVVYACFAVFGILGGGAYNILGPRATLLLGALTYPLYAASFLYYNHHNSSRAFPMAAGALLGVGAALLWAAQGAIITSYPPLNRRGSYISLFWCLYNLGGVLGGIFPFAFNYHRGDKPASVNDGTYIAFIAFMLLGAVLSVVILPPSKVVRDDGTKATRFVYSSLKIEGAEILKLFTNWKMLLVLPAAWDSNFFYTYQFNNVNGVLFTLRTQGLNNMFYWGARMIGSVIVGYLLDFGFFASRRKRGLVGIAVVALLGTVIWGGGLANQLRYKDGKWDNLIDFKDGSPYVGPFLLFFSYGLLDAMFQSLVYWIIGALANDSQVLSRYVGFYNAVQSAGSAVSWQIDRHKTPLITQLIVNWGLMTVSYPLLALLVLFAVKDEDD >Et_2A_014960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23489545:23490522:1 gene:Et_2A_014960 transcript:Et_2A_014960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDHRSDRCYTPPLPPGFRFRPTDEELLTHYLARKAADAGFATATVGEVDLYKAEPWDLVPAAGDGGEDGCRYFFCARRVKFPSGLRANRATRAGYWKSTGKDKLVPRHGGEPLGVKKTLVFYRGRAPRGDKTNWVMHEYRLLEGHAAWPQTFARGRRVQVQKHNSISSCRSIFLVEWVICRMFMKKQPPDAGEANQEQQETTLHPLPHDDHLLQMSTEQRQATAAEGDDSVQANCFSDDYDTSHQGLLQVDNEDFLVNCRELDGLSSSALAQATLLGEELAADSSFDLLPQLLGYESFPFIHDF >Et_10B_004486.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:987688:989481:-1 gene:Et_10B_004486 transcript:Et_10B_004486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVHKLHKCRRNATAAAVASVSFLVLVLIFSVFLATRHHDAEAFFFSPGGGASATTTSSLRHGGDGSEQRGSCQELQSLPDHGARCRYLSSEPPPPPCAPQGYVDYLRLFYCGFGRSPWLGGAALAAWLLVLFYLLGDTASEYFCASLEGLSAALRLPPAVAGATLLSLGNGAPDVLSSVVAFSSGDGGDDDAGDVGLSSVIGGALFVSTVVAGVVAIVAGRRGAPAIERRGFVRDVCFLLVALCYLLAVLLTGAVTVWAAASFLSLYAAYVLLVSTSRCCAAAADDDDDEKLLLEHSYNKSGGTASDLAVPLLLDAPPPSLPISSKPASPSSSTPKKTFSRRAMDALQAPLYLPRRLTIPDIAAHRWRKPYAVASAFLAPPLLLAATTSSSSPLPTAAVLVPGLLAGAALAAAAAHSTASSSPPSTRLARLPWLAGGFAMSVLWSYMLARELVSLLVAAGVVAGVKPSLLGATVLAWGNSLGDLVADVAMAMHVGGAQTAVAGCYAGPAFNTVVGLGLSLTLAAGARYPEPYAIPVDAEVYQAVGFLAAALVWALVVLPVRGMRLDRVLGVGLLGIYLCFLCLRLGSLTALGSPS >Et_8B_058678.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:15686919:15687542:-1 gene:Et_8B_058678 transcript:Et_8B_058678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKAAVPGGEDAEVEALLRAAQDAVLLKMQANSHLVSSTSAPTAPPLDPAAAPPDPLDDDLARRFDALKSRAAAPAPKPAGAAGAGAGSGGMEELEARFAALKGPEKETRVKLEDLGGESSEDEEEEVDKLIQWAMDAARLDVATAGAGGGDKAKRAEEEEEPKDDEKSSVSSEEDEDEERLELEMARKRKEMAKSKSKNKWFFF >Et_3B_028047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5170174:5170548:-1 gene:Et_3B_028047 transcript:Et_3B_028047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGSDAFRKGKYPRCTQ >Et_1B_013398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8043920:8045179:1 gene:Et_1B_013398 transcript:Et_1B_013398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQYYINEKGDKVYTTKVKESPLGVPTQSAHPARFSPDDKYSRQRYLLKKRFGLLPTQKPAPKY >Et_10B_004174.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17558231:17558614:-1 gene:Et_10B_004174 transcript:Et_10B_004174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAPGADGTSQRWGRVAAEGCTSTGATMSVAHRSPAAASNGHRCCGGECVVNIYVNNNVQGVTNSVLFGSKVVMRDPGARVTSRGPRQGGRRGTNKTAPVKTGIVLLVAAAFCLILFVYYSLRRLN >Et_3B_029246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23419934:23421937:1 gene:Et_3B_029246 transcript:Et_3B_029246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASERQSPWASGERRPHFFKVLMGDFKKRLKIPPNFCKHIPWEASRKAKSLREASMAATLEGPSGRTWLVVIRRSAEGTFFTSGWPKFVQDQALRELEFLVFRYDGNTRFTATVFDTSGCEREDLMMLGGGGGGAAEARPRGKKRGRPSTAASRGGVARATKSKDAAVGKELVPYRAPGDRQLQVAGTPELAAGAVKAEVEDADELALCVVIPAPPPSPPARGPGMMASQQADGAAATKTRSIQDDLAAVEEDIPASVRRYKGYVSRRRPATGAERQRAMELAYAFRSSLPYCVMRMSTMHVYYSFMMRFPTGFSRQHLPRERTDVVLRDPGGKAWVVLYIPNTRDRLSRGWCAFARGNCLEEGDYCVFELVAAAEFRVHIFRVVDPPVPAVRLRTTTTTA >Et_9A_062179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20392024:20415741:1 gene:Et_9A_062179 transcript:Et_9A_062179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAECCGAVDDWASDIVDLAAAGRRSRILLSHASCCTFGNREMAMETSPPQSPGRAARPRLIIKEMVLRNFKSYAGEQRIGPFHKSFSAVVGPNGSGKSNVMDAMLFVLGKHAKQMRLNKFSELIHNSPNHQNPDNAGVSVHFQEVIDLDDRNYRTVEGSDFVISRVAFRDNTSTYFINDRGSNLTEVTKLLKGKGIDLDSNRFLILQGEVEHISLMKPKGHGPHDEGFLEYLEDIIGTNQYAEKIEEAYMQLQVLNEKRIASVQMLKLAEKERDNIESAKNEAETYMLKELSLLKGQEKATKLASDDATSRVEQLHENVANIEVDLVSKREKIQQSSLTTKEIESIYNKHIKRQEDLENNTKACKDQFNEFKRKDVKLKEDLKHLKQKIKKLDDKVEKDMSKIDKATKEMEESSNIIPQLEGKIPVLQELFNEQEKVLQRIKETSQEETVRLRAELTQVRTELEPWENQIIEHKGRLDVTSAEKELMQQKHDGPRAELTAAQNQIEAIKDKIKTKESYILELQEKIKIHQSESYGARKAEQESLKQEELLVPMVREARQKLIGIKSTRDSEKNQSSGLKAILQAKESNEIQGIYGRLGDLGAIDEKYDVAISTACPGLDYILVETVNSAQSCIELLRRRNHGEDTTCLILDKQIHLHEIKDKVETPEGVPRLLDLVKVKDEKLKLAFYNVLGNTLVANNLDQASRIAYGAAKEFSRVVTLDGELFEASGTMSGGGNKPKGGRMGTSIRETVSEEAIRNADNDLNKLVVELNGLRVKANDAKKRYLSLEEAISRFKMELAKARKEVESMNTQYCYNKNRLYSLEAASEPNADEICRLKELDDIISKLQQKLEDARGQVLKNQEIKVAKIQSELDKTSSEINRYRVHVTTCERLMRKLTKSIEESKKEKEELLAEKEKMVLIVKEIEKKAVCFREDYMKAQEMMEKHIDELKKTKEEYDELKKAMDELRASEVDAEYKLQETNRLGKEWEMKVKAFRKRLDDIQTNFDAIDPEKLKVTLGGDQINDECDMKTAMEMVALLEAQLKDLSPNLDSIAELDEFMAGFNIISLKLKEMYQIITLGGDAELELVDSYSPFSEGIVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDVALDFRNVSIVGHYLKDRTKDAQFIIISLRNNMFELADRMVGIYKTDNCTKSITIDPGSFAEIKSEAHLCQEKSSPSPDISSFFLGLTTSNSATRARNPRTVSVFPPKIPPNSQIPAPSQSLQLSPRNPQPPTSSGPLRHLEASPGQSGGVSRGVNDWAADPDFAAAERAAGGARRSRCPTLAAAFANSEMSMETSPPQSPARTPGRTARPRLFIKEMVLRNFKSYAGEQRIGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSSNHQNLDSAGVSVHFQEIIDLDDGNYRAVEGSDFIISRVAFRDNTSKYYINDRGSNFTEVTKLLKGKGVDLDNNRFLILQGEVEQISLMKPKSQGPHDEGFLEYLEDIIGTNQYVEKIEEAYKQLEVLNEKRTASVQMLKLAEKERDSLESAKNEAETYMLKELSVLKWREKATKLASDDANSHVAQLQENVTDLEKNLASEREKIQQSSQTLKEMESVYNKHVKRQEDLENKMKTCKDQFKEFERKDVKYREDLKHLKQKIKKLEDKSEKDKSKIDELTKEMEESSNLIPQLEGAIPKLQELFNEEEKVLEQIKETSRDETERLRAKLTKVRTELEPWEKQIIEHKGKLDVASAEKELMTQKHNGARAELTDAQKQMENIKEKITAKNSCVVDLQEQIEKHQSDASEARKVEQECQKQEELLIPQEQAARQKLVEIKSTRDSEKNQNASLRAILQAKESNEIEGIYGRLGDLGAIDAKYDVAISTACGALDWIVVETTNAAQACIELLRRKNAGVATCLIMEKQTHLLHKIREKVKTPEGVPRLFDLIQVKDERMKLAFFHALGNTVVANNLDQASRIAYGAANEFRRVVTLDGELFERSGTMSGGGSKPRGGRMGTSIRESISEDAIKSAENNLNKLAGDLNKLREQMNDAKKRYWSLEDAKSRLEMELAKVRKEVESMNAQYSYNEKRLDSLKAASQPKADEASELQQQIENAGGQALKDQKIKVANIQSELDKTSSEINRHKVQITSSEKKVKRLTKGIEDSMKEKEELLAEKENMMSIFKDIEKKAFVVQEDYKKTQEMMDNHKDELDKTKEEYNKLKKAMDELRASEVDAEYKLQDTIKLAKEWEMKVKAFRKRLDDIQTNLVKHMDQIQKDAIDPETLKVTLGDEQLHDTCDMKGAMEMVALLEAQLKDLSPNLDSIAEYRTKARLYGERVDELNATTQERDDLKKLLDEFMAGFNIISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITMNPGSFADSMKVV >Et_1B_012556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33170603:33172573:1 gene:Et_1B_012556 transcript:Et_1B_012556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPDLVEEMKTGGMPESGTQQKPEPEVNQNPMENGGSLEQKTDEIPGSRINLEPMGTCQGTVVKTDANPEKQIDEIERSEVNSEPVEPCDETVVQTDATPEKQVDPKAIPEVGQKPIETSQETVPETEVTPEKQTAHPGIIYRCKRCRQMVATQEYVVTHEVGRGDWKFGTRKKDADGDDKKPECSMCIFVEPMKWMQSVQEGYVSQKLHCMGCKALLGQFNWSGIQCTCGHWVIPGFQLTKSKIDECSM >Et_5B_044410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23929901:23932328:1 gene:Et_5B_044410 transcript:Et_5B_044410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEQDEFSVYPFLVLNDGRILTYIGIRRLLRIYDPRTSTYTDVVDIGMRDETGLYTGNLLSLANDANRSAMAPRRKRSSAGRREEQDLPSMPQDVLFEVLLPFPAKDLCRLRLVCRSWRSLLSDKDFIAAHMRRHPPDEALIVVGHVKRRYKDSCRPDTILCDIIDLSGRVVKRIHSTGADGIRNDTMAYAHANLICIANVTNKLSCRLLDPVTGVQYTVPDGLAEEHVAHKHDISHSQTFLVFGLVSSSGERKVLRVLDISWSNTTTDSNPIRQLCEVFTLGSSSSSATHHYQIWRGKKVPPNRLAVQKNVVVIGSIVYFSLAESVRTQDYVASFDLETEEWRPTLPGPTSSLAANGGATGYFGSIASLSGSLVVVERSSSINLWFLMDFEKCLWVKQHSIQVNDYHTYAAFWKKDLRPLLVLDHGRILFLYKEDDKGHDRGSIRIYDPRTNTCTDVAEINRCGAVGLFAGSLFSLATGASSL >Et_5B_045686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7466660:7467277:1 gene:Et_5B_045686 transcript:Et_5B_045686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEAVVVCLLVIAMDVAAGVLGLHAEKAQNQAIRTGGFGDLVSLINRGRHLRILFIECRQPVRRAYELGVAAAAVLAASHAVANAAGGCACACSGDKLRRPSPNRHMASFALVLAWVVLAVGLALLVLGALPNRARKLADCGVARHRFLSVGGVLCFVHALVAVVYYASANAAKREEGRAGAAHA >Et_9B_064325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14034990:14036011:1 gene:Et_9B_064325 transcript:Et_9B_064325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEFYRTLFPGDCKRKLRLPDRFAKELGDRRHAKLRLAGGGEQRLWDVKFAGAHDLRDGHLLVFRYDGSDVITVTVFDETTCRRRLRRACSGSGSSAGASGGGSPAVAADAEQSQFAVTLRPSNLRSKKNQYLHVPVPFQDAHGYAERRRVTLRMGGRSWTVNLKHSKRTRGNRTALKYGWHQFCLDNGLRVGDICIFKVLREG >Et_2B_019650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11809745:11812357:1 gene:Et_2B_019650 transcript:Et_2B_019650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQLLLAMGLVMMQLLLPGAAAAAGKVPAVIVFGDSTADTGNNNFIQTLFRGNYPPYGRDFAGGVATGRLSNGRLAADFVSEALGLPPAVPAYLDPAHGIHRLASGVSFASAGSGLDDVTAQILSAVTLPRQIHHFKEYKARLRRAKGAAAVNHTVAHALYIFSDWRQRLPGQLPPYEAYLVRAAEAAVRAVHGLGARRVRLLGLPPLGCLPLLRTLNLDGPGSCSRRHNMVAMRFNRRLRALAWKLNFEQPGAQVAYVDQYRLLEAMIDRPWEYGDALTCDNPDKHVFFDAVHPSERAYEMVAKAILNAAPHMLNKSETGRK >Et_3B_029053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21743443:21746636:1 gene:Et_3B_029053 transcript:Et_3B_029053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFLSCPDHGPTDAQPRTGRSPAPAQARAPSSPPALVSSVQDLYEFICSGPLVDRIGYTKEKIAESIDRWLRCGVQVARLFRLNELQLSEAERARIYHFYIPVFLWCEDQVNEHRAKYNEGDDIPPLVIGVSAPQGSGKTTLVFALDYLFRVAGRNSATLSIDDFYLTHEEQNQLRDNNPGNALLELRGNAGSHDLQLSVETLESLLKLTKKDTKMKVPRYNKSAYGGRGDRADPSTWPEAEGPLEVVLFEGWMLGFKPLPNEVVKELEAINKNLQAYYDAWDRFIQSWIVIKIREPSSVFQWRLQAEIAMRADGKPGMSDEEVMDFVSRYLPAYHAYLPTLYKEGPNGSDPDHLLVIDIDDGRNPITGSPDVQTTSNFSPPAAPSEL >Et_1B_010303.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:452717:452770:1 gene:Et_1B_010303 transcript:Et_1B_010303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASFPSVLYTGVDGEE >Et_5A_041227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19757120:19760792:-1 gene:Et_5A_041227 transcript:Et_5A_041227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSRTLLLVAPLLLLIIIMVIVTPSGAANGDDGAASMVLAARPGCNNTCGNVNIPFPFGVGPNDCFREGFEIDCTDGTPKLKDTDYEIQNISMTPDSGSIARVLLPIAYQCYNESSTRYANYSYGTLSFNRQGVYRISDKLNELVVIGCNTQAYISSEPAANTTAVPYKYTIYTGCISYCLSAESVIENRCAGVGCCRVDIPAGLTETWVTFGGYDHDKYFLPFSACSYGFLVDRGTYNFTRADLQMSAGRRVPVWLDWAIRPSDDAAPKLTCADAKRNGTAYACVSPNSVCVDAASNLTGYNCQCQPGYEGNPYLPDNVTGNCQDINECAPENKDKYKCYGPHCHNTPGNYTCRCGRGFHSDDPKTQPCQPIITRPAQIVIGVVCGLAFIAIAAIFMLMVHHKRKVKEFFEKNGGPMLENVSNIKIFTKDDLKQMTKNYSIILGKGGFGEVYMGTVENNQQVAVKRSILLDEDRKKEFANEVIIPSRISHINVVKLVGCCVEVDIPISHQKEASMMFFMEQIRRI >Et_3B_028771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1927245:1928291:-1 gene:Et_3B_028771 transcript:Et_3B_028771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAFVASTVLAALAAQAFVGVVEARSAPGENAQVVGMPDTCKLGFESECCFPDVHWKLACGWLVLAGDVKKPDCVPGVDPRSFVPGISGGHGGITPVPSHGGITPVPPHGGYGSPPHGGSGALPDPAHGGFGTSPSAPSGGGAYGGSPTTPSHGGGAYGGGSPATPSTGGFGTTTPMPSVPVDPHSPSSLPGSCDYWRSHPMEIWSAIGGRFPTSMGHFFGGTSDMSIQDALANTRSDGAGALLREGAAALLNSLTRAGFPYTTDQVRDAYAAAAAGGSDSAAAAQAAAFKKANEAARA >Et_10A_002208.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:4391640:4392413:1 gene:Et_10A_002208 transcript:Et_10A_002208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEALLDAAAVVPSASCRDDDTTATATSSEEEEASMTTSAVKQEEAEGWAKRKRTRPRRNKRDARPPPPQLPREPTEEEYLALCLVTLATGRRDVPAPAPAGDLHACSVCGKAFASYQALGGHKASHRNKPPAAPAPAEEGQEERKPQVTPASSSNGGEATSSGGGKASAAAHECHVCGKTFPTGQALGGHKRCHYDGTIGSAAAPPVKHKPGRVAIGFDLNLPALPDIAERCTADEDQEVLSPIAFKKPRLMIPA >Et_10B_002983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1496675:1506983:-1 gene:Et_10B_002983 transcript:Et_10B_002983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQLLRPLLPSPAHLNPHLPPPPPPSSTTSAVTTRRASVTALLLLAAASQSRAEPGGEDIDEARVVRLFEEASPSVVFIKDLVVAEPQRRGSGEDDDDEEGGAKVEGTGSGFVWDSAGHIVTNYHVVAKLAGDGAASHRCKVFLEDSSGNSYSKEGRLIGYDPAYDLAVLKVDVDGDKLRPALIGTSRGLRVGQSCFAIGNPYGHEHTLTTGVVSGLGREIPSPNGRAIRGAIQTDAAINSGNSGGPLIDSYGHVIGVNTATFTRKGSGISSGVNFAIPIDTVVQSVPNLIVYGISVSNSAGPVSEDIDEAVVVCQRRHRRWCSSWTSSLQGRRGQGGGGDDDEENGPRWRGPGRASSGTPRDTFFKISWRWMCIPLLQGCLLASCKYTPLTILLPVYPLFSWTILVATVSTKDGKLLGYDPAYDLAVLKNPIPTECITNNVATVSVVSGFGREIPSPSGRVIRGAIQTDAELSFIQDLGSHQG >Et_6A_046442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15072742:15082653:1 gene:Et_6A_046442 transcript:Et_6A_046442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGFTNSHLLQRHVHRYIIVIDDIWDISSWEVIKLAFIENNIGSRIITTTRKLEVASVTGDVYKLAPLSYDNSKRLFYTRIFGGKYNCRDYHSDDVSDKILKKCGGAPLAIITMASLLAGKPREEWFEVYSSIMFSNKNNHHVENTMRILSYSYYDMPSHLRTCLLYLSAYPEDYAISKDPLIWKWIAEGFVKGEQGVTLFEVGERYFNELINRSLIQPVQQERQLGYIDSCSLHDMVHSLIRSLSCKDNFIAIVNDVDEIIVERNTRRYSFQRSNIENNHQAQKDMLQARSFVACVCNFHKMLPLSRFHVLRVLALERCSFMEDYHLTHLGNLPHLRYLGLAATPICELPKEIVALKFLQTLDLAETNIKKLPSSIGLLTQLMCLRGMFKTKAPVDGTIAKLKSLEELWINPAMADNDNNSADKFVTELGNLRELKVLATIIPSVLDQSLGENLLHCIGKMIKLRYLFIQFTSSRSPFMWEAINFVPSRYLQYLWLGGLEFSSLPPWINSSLLPNLCFLYISVKSVDSREIISIGMLPELSSLNLVISTVSDIVFYGGGSCFQKLRCCHLGPAMFVRHKSGAPILPNLEELSFAIDVGDFKKFCANYGQDISSFLPTVIALHSIPSLMNVKVITCCGNATSWEVEQTEVAMKQVIDTHPNRLIFEMERLGEDKMITSELEQQSATEDSRVFGFYVHVQLLNIMCYSFDFMNLAKLPSLEIVVASINCEGATPDEVEVAEAGLIHAILVSTFSPIVLLIVADYVAKVAIITD >Et_6B_048389.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:17993483:17993698:-1 gene:Et_6B_048389 transcript:Et_6B_048389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALVPVNHFESLVLSASSYWSSVKEGAPTSATSRNLNAGSILAKQARGTPMGRVKERSGKKDLSGRLSAP >Et_4A_032264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27066871:27067224:-1 gene:Et_4A_032264 transcript:Et_4A_032264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGLLVLGSRGLGRIKRACLGSVSDYLVHQAPCPVLVVIREAAQIACQVNSAASDRRKKKKKLGSFWNTRLSLSASTRFVSALCCQ >Et_8A_056729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1588026:1592595:-1 gene:Et_8A_056729 transcript:Et_8A_056729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EQRFVVLNENDISERQEEDISKVTAVLSVSREEACVLLHHYKWDISKLNDEWFGDEEKIRNIVGLLSSESGFPRSRKLTCGICFEWYSSDMMSSASCAHFYCHECWQGYISAAISGGPGCLSLRCPDPSCGAMVLQGMINKLAKDEDKEKYARFVLRAYVEDTKKTKWCPAPDCTCAVEFLGDENYDVSCNCKFSFCWNCSEEAHRPVNCETVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYESAKKEGVYDESESRRERAKNSLERYMHYYERWASNQTSRQKAQADLQKAEKEQLARLTDIFGIPETQLKFITEAWSQIIECRRVLKWTYAYGYYLDDKAKSEFFEYLQGEAESGLERLHQCAEKDLGAFLPNLNKSDSVDPTPSVTDFGDFRVKLAGLTSVTRNYFENLVKALEAGLEDVRSTSQAATSTNATTSKKGGTKTKSAKRQQHVRPSSDHSEDGWPCERCTFLNSPSVDVCNVCEKTRY >Et_10A_001785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8155369:8162496:1 gene:Et_10A_001785 transcript:Et_10A_001785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAAAFAKKAAIFVGENAAGAAISFFVKKALGRLTAEEEHLRQRLTAKLPVIQAIFGAGDQELVMENMGDWLFIFRDAMEEAEDALDELEFLDLEKEVKSRKAQEAKDWMERVSYRFPDIRRGFRRSVSAVVTGGTSQRLKDALINLDSVLHDAGAFLSIIRNKPHGCTLGNQDSGNTRETARELTTVVFGRQKEKDEILEWLGVHTSPDTVDRNLSVCAIVGGGGMGKTILAQFICQDKMVQDHFGNMIIWVHVSKRFDPKELVRRILESITQDKVSAETLDSLQLRLKKELVIKRFLLILDDTWEDTKDMKWEHFFGSLREAAAMGGRILLTTRMRSVAEAVELQTRIGVKCLKLSGLGEKDTLKLFNHHAFGDLIPIDRPELQLIGEQIAGKLEGCPFIAKIIGQQLRGNTDHITWSTILNQDIPQVDDMLKLSYQNLTYEVQLCFRFCSIFPPDFKFKMDELIEIWVGSGLILQREDGKRNQEDIAREYFIILAQKSFFSLVPRELHADPSEDYYVMHHFIYKLACSVSNEECSRYEDGDHSADIPCIFPSKVRHLYIQSVNSESIQIISQSQNLRTLIIVNESDSLQQELAHDLKKAIKGRLSLRVLKLHGHGWFGMNDAVSELKHIRYIYMSATDEPNLSKLFKLCHLQVLRIIKIHKEKGVSSIDIGNLPRLKKLELPKITLSRVPHIGRMTSLRELNGFVVCKKDGHKISELQDLKMLRKIIVLDIQNVSDCDEADAAKLDNKTDMKVLSLGWSDDQARVDCQILHKLVPQRHIRYLTINGYSGIRPPMWIKNQHLSNLIHLELDGCLEWDELPPLGSLGALKHVFLARLPKLKYIAASSHGGLPPHLVTFIVKECPNLSLLPDLPFSLQFFMIDAVGISSLPIMSDLRRLEPQLSILHIQSCELLICLNGCFLQEEHYKALTVLKLIRCHKLSSLPDAADFRRISKMETVEIIACNELSSLGGIGALSCLKVLKIEHCVNLVTSSSSRVPPASVEYSDLKLGKLDIDDHRLLFFSSLRNLRLTRRLIISGRPKMDLLPEEWLLQNVSQLEHIEISSAELLRYLPSKMHCFKALRSFLVHNAHVLQSLPLMPQNLWVLVINGCCAELTEQCQSGGSEWAKISRIPPELAISLVDRAYYLGAATNDLRQKRLQELRSIQAELDRAEGLLIKGIPWLNQVFRDVTSRFWRFRDAVEKIEDAIDEINYSRLEEEVGNPVSKIVKRKVINKITRLNIPGSSLKKLRNAVDSSQFLRPQANQTPFSVVLALMARVRYSADTYGYSSWLATAEGVSRLEQEKEDLVQWLIEDTAEGSPLTVCALTGYADRKTTVVSTVHEDPRVLANFDFIVWPVDLTEHGEYPFVPVPSILQKINSVLLEAVTCELHTHMSSLEYSLRVQLRDKKLLLILDNFCAEDWKALVAPLNVCKEGSKILLMTAQQSLVDTISIVMGSAPRVMLLQRELPPRLVDTPAGSAMEIYSVGTGRENN >Et_7A_052198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5549963:5555339:1 gene:Et_7A_052198 transcript:Et_7A_052198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAAAAAAPADAYDIPWVEKYRPTRVVDVVGNSDAVARLEVIARDGNMPNLILSGPPGTGKTTSILALAHELLGPSYREAVLELNASEDWGLDVVRNKIKMFAQKKFTLHPRRATKSSFWMRLIGIAVPKLIRNEFRTSAVLSETRGYLEVLLFHHEFFALKTCLLCIRFLSSKKFMTSGAQQALRRTMEIYSNTTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLMIVVAAEKVPYVPEGLEAIIFTADGDMRQALNNLQATFSGFCFVNQDNVFKACDQPHPWHVKNMVKNVLEGNFDDGCSALKQLYDLGYSPTDIITTLFRVVKNYDMAEYLKLEMLKETGFAHMRICDGVGSFLQISGLLAKFALVRETAKGP >Et_3A_024492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21214051:21216168:-1 gene:Et_3A_024492 transcript:Et_3A_024492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSSGLPPLLVAAVVLALLAVHARAEVKRYQFDHGRMQIVMSNVSRLCHTKSMVTVNGSYPGPTIYAREGDRVVVKVTNRVGHNVTIHWHGLKQRRNGWADGPAYVTQCPIRGGASYAYDFNVTGQRGTLWWHAHIVWLRATVHGAVVVLPARGVPYPFPKPDDEAKIILGEWWHADVEAVEKQGRMLGVAPNMSDAHTINGKPGPLFPCSEKHTYALQVQWGKTYLLRIINAAVNDELFFSIAGHTMTVVETDATYAKPFAASAILLSPGQTTNVLVRADQRPGRYFMAAKPFTDDVPVPVDNKTAVAVLQYAGVPTSVVPAPPQMMAPAANGTTGFVAAFHDRLRSLNSARYPAAVPRAVDRHLLYAIGLNVDPCRTCPKGTRLAASLNNITFVMPRVALLQAHYYGDARGVFTADFPDRPPARLVNYTGVPLTAGLGTSPATRLGRVAYNASVELVLQDTSLLSVESHPFHLHGYNFFVVGRGLGDFDPSKDPATYKLIDPPERNTVAVPAGGWTAIRFRADNPGVWFLHCHLEVHTTWGLKMAFLVEDGNGPDESVLPPPKDLPKC >Et_3A_024019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16114618:16117272:1 gene:Et_3A_024019 transcript:Et_3A_024019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVLLRATALGVAAAAAGSLHALSGWTPSSHVSPVTHRVKRVLTESALGLQASLLGGARPLAGAHLADVRARAERDLARASASDPSDEGDPAEAADLRLLLALLAARDGRADDALRIYEDAAREAPFDPRPRALAFHLCLCAGRAGELARWADAYHRLVPRAAAGRGPLPGLERYETRELVRELAVAATLGGVFRLDRPGKRTFMMTTACGAVDEGLLVALQDEALSTAERLQLHALRVFLHAKVRQLVNKEEEEVRQLDKKVVEEEEDRDDGDEVDTTSVPVAITVAVNVGGKGGNPAGANGNGQWRSHITWST >Et_1A_007345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33559744:33572432:-1 gene:Et_1A_007345 transcript:Et_1A_007345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPATSPASEVPFRITFTGHSGHLRLDPTPQPPSPIPDFVLPPAYPQGTPRTVKEYLEANYLNPELHLPTAADGGRVWDVDWFDLARPPLEPSAPRTMLAPAWEPPFRRGRRPSQPASESRVWDPESVQLEMSEVFDSGNGGMPSRLPGPAKDFVRGSMNSRPFRPGGLQDDAAEAALEKAFPEGARNGDWVRELMGGGPAQVTPPGFRKGLELGQLKQYTRHWKCFQDGELVEEQLASSSNDTMEKYAVQFDDLFKIAWDEDADKKASQEGEVQQSAENEAANEIDEQKADALQDASETVNAEKQEVDITIDVSESQTDLDQMLSSEVEDTRRKSGGSGDDKPAQDGKVWALVGGDEDIVTNFYKLVPDMAIEYPFELDKFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALATKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSIRPEATCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDAERGVVWEEVIIMLPKHINIVLLSATVPNTVEFADWIGRTKKKKIRVTSTNKRPVPLEHCLFYSGETFKICERDTFLTQGFREAKDAFKRKNSNKLGGKPGPKPGPGAPPVRAGAQGRNQDTSSRGKDQKYPKHHNSNSGAAAVQQSSSGPRRSESSVWMPLVNNLLKKSLVPVVIFCFSKNRCDRAAESMFGADLTSSSEKSEIRVFCDKAFSRLKGSDRNLPQVVGIQSLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDSLRKFDGKEHRKLLPGEYIQMAGRAGRRGLDSIGTVIVMCRDDIPEESDLKNLIVGKPTRLESQFRLTYTMILHLLRVEELKVEDMLKRSFAEFHAQKNLPEKEKLLLQMLRQPAKTIECIKGEPSIEEYYEMALEAEAHRESITEAIMQLPSSQQFLTPGRLVVVKSESDDDHLLGVILKNPSAALKRYIVLVLTGDCTSSALASDMSNQSEKEPGDFQQGRFIIPKGKRGMDDEYFSSVSTRKSSGAINIKLPYKGSASGMGFEARAIESKEIISICTSKIKIDQVRLLEDPSPTAYAKTVQLLIKEQPDGTKYPPALDAVKDLKLRNVDLVESYYAYHKLLQKMSENKCHGCIKLKEHISLMKEQKMYKDQLNELKYQMSDEALQQMPEFQGRIDVLKVLHYIDSDLVVQLKGRVACEMNSGEELISTECLFENQLDELEPEEAVAIMSAFVFQQRNASEPSLTLKLSEAKKRLYDTAIRLGKLQAEFKIPVDPEEYARDNLKFGLVEVVYEWAKGTPFADICDLTDVSEGLIVRTIVRLDETCREFRNAASIMGNSALYKKMEIASNAIKRDIVFAASLYVTGI >Et_10A_000641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14262919:14263333:-1 gene:Et_10A_000641 transcript:Et_10A_000641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRATDAQAQEERRGEAKQENSEAEGYTREYGHPYPRVDKYGKPVPPVDQYGNPIPRETPAPGLGDTAQLYDTCDPVAAGGAYPHDGVRNGVTGPGGAAASI >Et_8B_060815.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:881052:881819:-1 gene:Et_8B_060815 transcript:Et_8B_060815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQARARRCQRPNRATESAESSLSHPPPRLPEIRRPASQPSRRIRRAPDSDAPPPPTILIAKTARGLKNSGKATAPSSPVSLTPSHIYSERGRQRLNQLLPLLPRPVRLRSADLRLMIAAPLRVSVPKGAVRFRSGASSSSSYSSTSRSTIRCAAAASAGSTLYEELGLRAGATVREIKAAYRRLARERHPDVAGAPAAADFLRLHHAYATLSDPDTRARYDRGAVTAMAVASAGPRWGGSGRPRRTWETDQCW >Et_4A_032868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14961966:14962617:1 gene:Et_4A_032868 transcript:Et_4A_032868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRTQHGSSVELDVFRATRYFAGHAHCATDATEPADRLNQAKAVLDGQRMAESFRGHVEQLRVHDLGHDQELLPAKPAGKSLLASFFGFMVSPSPGATSFRKNLSLPTSRSAAAGGEQAKVSSSTISSRESTDDLIASAVQSQGCGYGLDLGVAIGDRRLQGVRFVRGSGGRRREMDCEVHFVLEEQREGIFGAASIQEGGEDGNSPGNWDSGR >Et_2B_019252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20132029:20132571:-1 gene:Et_2B_019252 transcript:Et_2B_019252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFSGDGQQVTFIQQALLTKSNSLVFIRPSKRLMFGKRKLNLRFRLFAWTAMHGQWHPGWRGPPLASSPENGKLSWGYSFTHGGIFGRNVTEGSLKECRKIAYKLHFWLKRTLTIFARQYKVEL >Et_1A_007298.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:33094308:33094780:-1 gene:Et_1A_007298 transcript:Et_1A_007298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGYPNPKCSEEILKCNPSTG >Et_9A_060938.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19408368:19409117:-1 gene:Et_9A_060938 transcript:Et_9A_060938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTGQNILPCFFSPSYLPVFVPTLFDCSPHVTTTATVSLSGRRLWPTPPPPPSITGRRHVGQEPRESSQASTHRTWNPCRHRGSTRTFSPSANSPRQMAHTSSPSTASSPPPYTSTGILLSARFLTPPAADDGDAPSLASPLRWCRPHRSAHRASELSTMANSSARNSAARMITMFASKLASPPGAAPPPSPSPVPFTNRPGAAVGAEASESRWPVTTDPSARTLAPPKRRDSMLFHKQLLGAGSRI >Et_3A_026194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5343967:5344874:1 gene:Et_3A_026194 transcript:Et_3A_026194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDSNSSMSEGETQKLLKGAIEADYFRCDVCEKPLMPPVFQCSEGHFFLCLSCRDNQYDCEFCDGRSSIERSHGMDHAVLSILVDCRYADKGCQERIAYYEKESHEKVCPRGPCSCPYSGCRFAGLAAALLDHLTTHYKCPRTEFKYGVQFDLNVEPGVLHVLHCKDDNGQLILVSAQPVGQLPKWYAISMVCAPSRIDKSGIGGSVSLAGYGTFTIDLVPNLSQSDWPPKDYVCFVPKPKVSGRRNIELRTNFICAHAGDYEDAHQ >Et_3B_029424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24921548:24922019:-1 gene:Et_3B_029424 transcript:Et_3B_029424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAMEVALPKANRKGGLRTIPFIISNEIFEKVATFGLHANMILYLTDRYHMSNAVATIVLYFWNALSNFLPIFGAVLSDACLGRFRVIAFGSVVSLLVSADRPSRLNSAFH >Et_5B_044859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6641350:6645036:1 gene:Et_5B_044859 transcript:Et_5B_044859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSLPFAALRGAADWRPSTVAAVSGAVVLSARARRGSRTVVRCVATAGDVPPTVAETKMNFLKSYKRPIPSIYSTVLQELLVQQHLMRYKRTYQYDPVFALGFVTVYDQLMEGYSSDEDKDAIFKSYITALNEDPEQYRADAQKMEEWARSQNGNSLVDFSSRDGEIEAILKDISERAKGKGNFSYSRFFAVGLFRLLELANATEPTVLDKLCAALNVNKRSVDRDLDVYRNILSKLVQAKELLKEFVAREKKKREERSETPKPNEAVTKFDGNLYSIRH >Et_4A_033183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19690319:19692306:1 gene:Et_4A_033183 transcript:Et_4A_033183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPRSETEGCKQSESSGQRIEVVNISSSPSISPGLVSSSEDDVEAHSTPGYILESGTQLTDAQKKEMEVKVQSIQSAIPLFGCIMKGRSVFGEPCSLDLSREYADEYLPLGMTTLTLQRNGKNWEVQCFGRVGESKRLQCGWKQFADDNDLQLGDLCLFELVGNKKHTMNVHVIPMKFPRSETEGCKQRDRSGQRIEVVNIGPLPSKPSGHVSSAEDDTEAHSLPSYILERGTELTDAQKKEMEVKVRSIHSVIPLFGCIMKSSSVSGEPCTLDLSREYADEYLPLGMKTLTLQRQGKNWEVHCVGWAGESKRLQHGWKQFADDNDLQLGDLCLFELVGNKKHTMNVH >Et_3B_027723.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:15953181:15954173:1 gene:Et_3B_027723 transcript:Et_3B_027723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSLPISSAGKLYGFVAQIGLGTPPKKYNVLVDTGSSFTWVQCEPCIHSCYVQDDPLFDGQKSSTYRTLSCSSAPCLATSGATMSPTQCSKQNTCIYQIQYYDSSLSSGYLSMDTLTTVGAESFPEFVFGCSQRYDGSFGRYAGIIGLGDHNLSFLSQVAKGSAHQYRAFSFYLPSPSSVGYIQVGSYDESSIAFTPMSTIEHLYYVELKSITVGGVPLNLTVGDQVTTKPIMCNVDLGAPFSVVPHRVFDMLADAVAEQIQGYERVENMPWCFEPLYWSTQRSVPTVQVVFRDGARLELDETKLMSQPQAGGRTCLQFERGSEGFYVY >Et_7A_050822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11309399:11312458:1 gene:Et_7A_050822 transcript:Et_7A_050822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVLLRFLRKFAFRRVSDICELFGAGNGCFEEWTLWSERMPRCDIVTGRRLLEMPEPQVSCIAAGREPACHAEAEPSIDEQKDKQIVIPETSFFWIKVLSKLLPKGFKFAPSDLEAIEHLEAKSGLRSPHRYLNLHVQTIHVPIHPEDVPAHVWYLFDLVFLCYLIGVRMDGSTNYFFSILRNAYGRGDRKLRQVTKDGHIFTWHKTGKPTEIRDDGGDIRGTRDILTLYKGSNKTGKGKAGWTMHEYHLKKEMSRDDEIVLAKVFYCGSSPPKPALPLGPENHHNLLDQYTQFE >Et_7A_053169.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:8744435:8745055:-1 gene:Et_7A_053169 transcript:Et_7A_053169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREDAATFQVYRPMPTPAPMSLAAAPADTAAPATKKPSAGAGSKDRHSKVNGRGRRVRMPIVCAARVFQLTRELGLKSDGQTVEWLLRQAEPSILAATGSGTTPAVFSCSSAPSTSTTAGSHSLLGKRPREDAAHDHEAAAAAAAAAAAQPFWAALQARPVAWGLSPAQEQAAQAYASVAQGHHHLNLLSVLSGATRPAEEESR >Et_1A_008338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6738990:6740154:1 gene:Et_1A_008338 transcript:Et_1A_008338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RGEFVASGKHRENRWKQIEAIRPRALEAIATSGTKAASPACHHRSAVRRSTARPFPMARAAGVIRNSSPNIQSPQKLANPRKPHASGALAFPQSLQLQLLSSRRRAVAVACTRGSEQPSSPTVAAQARPGTDALSVEFRTQDGCRLGIGRYPDFAYNAQGGRGVGAGRGEESGDDGALLVDFDVASLYIPPMSGATTRFLELPLPPFLKIDILPEALGGTIDRVTGQVDLKFRSRFCFSVGSIYKAPPLFVDTTLTSEESRGAIRSGSGERMDGEGRCKLVGVAVVDPIDDLFMNTFLSLPTECIAYLNATISIPKPR >Et_2B_019894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14974129:14980891:-1 gene:Et_2B_019894 transcript:Et_2B_019894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAALGAAQAAGAPPPPGAAGEQPPAPRVERLSAGVQQQLNLEGMRARAVGLYKAISRILEDFDVIARTNPSASPKWQDVLGQFSMVSMELFNIVEDIKNVSKVFVVYPRNVNAENAAILPVMLSSKLLPEMEIEETTKRENLLSGITNLPVPTQIEKLKARIDMIGSACETAEKVIAEHRKSYGLGARQGTNLVPTLDKAQAAKIQEQENLLRAAVNYGEGLRVPGDQRQLHSSLPSHLVEVLPFGDGAQNFGDNSGVYPKNTSTLAPNSVNMQGNTIQASGGQLLGRPAPSPGAAGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQQRQKLMQASQQQQLHAQQQLRPSAAGMLAQNTIPQLQDLQGQAQQKLQVPGQQQMQYNQALSQQFQNRQMQAGRMQPGISQSQLNQGNQLRSHLGQFAGAANSAMFTAAQASSNSQMMANMPGTMQSQTLLPQMQYGLSGGHPQRSHPSQMMTDQMFGMGTANNTGMMGMQQQQQGVYGNMQAGAQNMQQGMVGLQNQTQNPNFPQQRQQNQQ >Et_1A_009480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6349649:6350456:-1 gene:Et_1A_009480 transcript:Et_1A_009480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPGELCLKIFQLLDHQSLASAPQVCRKWWTLTSDDQLWRKLFNDRWGADAAAFYAPEGSRSWKDVFVVQDRCDRYGLGVRIIREGRDYYLIYQGEIQRYLGSRQDADGDDKNAPHQKAEDEQRQVSDRILFFLGDLEAACANAKRVKT >Et_1A_008911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18230782:18232371:-1 gene:Et_1A_008911 transcript:Et_1A_008911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVFVDKMARNSTGGKAPRRELYALVSKPQYPENEIRKYQKSTDLLIRKLPFQRLVRDIAQDFKTSLRFQSHAILALQEATEAYLVGLFEDTNLCAIHGKRATIMPKDIQLARRIRKERTRSSALKYVELPTTCCFRES >Et_1A_006123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18043182:18044645:-1 gene:Et_1A_006123 transcript:Et_1A_006123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVMVISEQRNHHHRHHGVRSKSLGPQFLSSQPSRGFRGVNCRAFHASACMGVLPSPPPPPARTYSSPEPKTPKQQPRQGGKRSRPVSMSPSTSPPNRAELWAGPAFSNSPPPSSLPIPKFSLRQKRSISLELPPVERPDDVEVKPHTKSAPSSPAGGSGSGYDFFKNNETAIATENLRRILHQLIPSIIILTSLVLCKDHWNLLACSSVFCKEEAGWKMEDPQMILRRNQILETCTADKPDE >Et_2B_018965.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19859310:19859582:-1 gene:Et_2B_018965 transcript:Et_2B_018965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRLLILRRCEPIVRFSCCSVQYRECRRNHAASTGGHAIDGCREFISEGEEGTGGAFKCAACGCHRSFHRRVQVYEVSLDYASDTSPTE >Et_7B_053570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1020931:1023744:1 gene:Et_7B_053570 transcript:Et_7B_053570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSFRRGQVKVDGRTDKGEVPTPKFRSHRFGCVALKRSTRGGNCSSTKRLLVIPVADSGLPLSAVKREVQWRDWERKLSGDASPRRAHAAGSHQDIVPFVETHPCLPSRPIRVLHTVRPLPLFTPATQPTSISSPPSSSFRQAAITSETPTRTPPRTLSSSLAAQMFLMDKYSALLPLHPKAHRQGKAAPSATRFDAALAARLRGLLPLPASPLAALARLADLLALTLGDAVPALAGEGDAAAVAAHLDAGVALLDACNAITARLERLRRRRLLARFALHLLSSSSVGRARAALADRDGTAAASPPPPLPSLPFHQPRGRLSGAARVLVAVNAVSSLAAAAAAAVLGGGGGALLAATFPLVSGDFSWASAFNAVSSQLSAVAANSGEVDAIDEAVQKLASALNGEGGGIDEAAQEVEKRTEELTARLDRLSDAVNGVFRAALCLRNAELGSFIVGPAEKTCK >Et_6A_047350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4368818:4375819:1 gene:Et_6A_047350 transcript:Et_6A_047350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVITADTVDRAVERILIELRDAAGTDSRSSSGRHNVIYFDGWDGLGASAVLRAVGRRLTTPVASQDKEPGDPAAAAGLEFSHIFHIDCSKWESRRAMQRMIAEQLKLPTSVMDMFDAQDENDDYQGVGKGSRAEIPQIAEEINQQIQKLNRFLLIFHNGSSEEIDLDSLGFPLFDRYSRSKVLWTFQGRFRVYPRTKVDGVLNNTRVTDVVLSAKNFSGFSNLAEVEAAEVARDITVGSSSIYWRAAAANCFLYMMKLYQLSNDLTTDFDLVTHACNYWRCDGVILLQDGNVGSDDGVDKLWLSADALQREIRLDADRYQPPVKRRFLKRMAFWTSPTYGSKLIPDPHRRIPKGMFQQFDQLCVLKISYGKFSFISPPFICCHNLRFLWLDHCRDGSSTAEAVNYEDVHRFVQRLWVLDVRHSNKAFLSKEIMDFMTQLRELNVMGEKELWHMNLVQSLLHNIHRIQIKESTVNSPFLFSGMDKMKLLELSRNYTLSTWSRIFVKSCRSLETVIINGFNDLIDISLIGCAKLKNILLSGSLMRLHKIHIIDSGVETLDFSEVTTPELEELCLLDCDKLCAILWPPAVECKLSIDTTQKEGTTAGEGEQITGKPPSEFDWHICLRDARILQSLEPLKDNFSSYHTHLDISSPSHHLYTDDAGRKDDIMKSCSGQHRAKLQAASTTMPAFICDSAKILHVHDSLHMTNIVATPLASVTWSKLEWCRVEWCPKLGCVFSPQLDETPEGRNKSIFQKLRTFWASHLPKARHIWKWNGSRSLLFDISFQNVTFLHIDYCPRMVNVIHFPSMRNGLDKLETLEIMWCGDLVMAFNLYDSPTFSGWIFHKIKHIRLHELPKLQNIACLKRVRLPELKTIKIRGCWNLRRLPIVSSNYVVKCDCEKEWWDKLEWESAEHASKYKLTYPRHYKKTMLKGSILRSSLLLTMLPPGALKAILSVRFLDCSGCFPSSVPASACL >Et_1B_012986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4569552:4575867:-1 gene:Et_1B_012986 transcript:Et_1B_012986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARSFALAGKGWKRPLNLYFQASASPGRRSDMPQQLRHLHHRLAQLEISPEEPNQQQNTCGNIFEGSFEQTFATLKSIGEERVNERELKLLLKKKPAPVCYVWCEPSPWMHISQGIMNTLSVNKMVRCGCKVKILMADWYSQMNPEIGGSLNKMRAIGLYNIEMWKATGMALDQIELVWLSDEISQHADEYWPLVMDIARRNSVRRIRKYGGSRDPYPRGQLTAAEIFHPCLQCAAILFQKVDIWLLDMDQLEANLLVRQYCRHAKRENKPVAVFHNMLPNLLEHPGMENIKHPAWAIFMEDDKDDLRFKIEKAFCPPGLAEGNPCLEYIKYIILPWLGKFEVVRKKENGGNKAFLSIEELTDDYESGALHPSDLKLALEKSLNKILQYGSKPFKSRPLI >Et_3B_029008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21281849:21286188:-1 gene:Et_3B_029008 transcript:Et_3B_029008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFLYPIILSFLSVLLCSRASSWQAISTGSSLQVDHGKIFLISPDTTFSCGFYSSGEGTNAYYFSIWFTHAADKTVVWTANPGSPVNGHGSKISLNRDGNLLLTDVNGSTVWESKTSGGKHTTVALLNSGNLVVRTSTDELSFESPTDTLLPSQRLTREMRLVSQSGYYRLYFDNDNVLRLLYNGQDITSIYWPSPDYNALQNGRTRFNSSKIAVLDDDGNFLSSDGFRMTASDSGFGIKRRITMDYDGNFRMYSLNASNGNWTVTGEAVLQMCYVHGLCGKNECKQICLDKCLCLSFTYKAGEGLCYTKDHLYNGQVYPYFPGHNYIKLPKKVASTSSASKHSGLTCSANNTKIMLVSEDTYMKNSDNRNWIYLYVFAAILGAVELLFIMTGWYILFKMHNIPKSMEEGYKMITSQFRRFTYRELVEATGKFKEELGKGGSGTVYRGILKDKKVVAIKKLKDVGQGEEEFWAEVTLIGRINHINLVRMWGFCSEGKHRLLVYEYVQNESLDKYLFGDKGSDSLLSWSQRFKIALGTARGLAYLHHECLEWVVHCDVKPENILLTREFEAKIADFGLSKLSKRDSSSFNFTHMRGTMGYIAPEWALNLPIDAKVDVYSYGVVLLEIVTGSRVSSGTILDEEDMDFMQFVQEVKRKLALGADIDIVDPRLKGRFNQKQATVMVKIAVSCLEERSKRPTMDQIAKDLMEYDDEDNHPAYF >Et_10A_000660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14870123:14871023:1 gene:Et_10A_000660 transcript:Et_10A_000660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIAMLDMELTQEERNLLSLGYKKVTDEKRASVKALIYLEVQEEEKGSESRMKIATEFRWNVEAELEDLCNDVINTVDKHLLPYSSDAESTAFYYQMKGDYYRYLAEFKMHPEYSEVVDQSLKAYEAYFCCMCLQNASNIAQINLSPAHPVRLGLALNLYLNCRALQLANQAFEDAVPNLCLLDGDSYNKSTILLQHLGNNLARWNLNSNMDVEA >Et_3A_023123.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29327671:29328117:1 gene:Et_3A_023123 transcript:Et_3A_023123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLAGGRAQHQDVPALGAVLGRREVEVQAYPAGDVAGLLGRRRQHQLGRGRLISSFGLGAVPAHVAAERLPDGELEPADGALVHPRLGWPRRQRLGSQAELGVIGGAWALLAEQPRALVARAVAAERLERRELAAARLALEHAPADPA >Et_4A_032095.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:16923917:16924093:1 gene:Et_4A_032095 transcript:Et_4A_032095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQLVPYRRGHKLESLDQFERSFGVVEITELELVWSSNGNSIKLITVSMESFPSFFF >Et_7B_055200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8231821:8236742:1 gene:Et_7B_055200 transcript:Et_7B_055200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTEWPWQWMGNFKYLVLAPAVLHTAHRVATKGWEDLDPAYATMLPALLLRMIHNQIWISLSRYQTARRKNLIVDRSIDFEQVDRERSWDDQIIFNGLEFYLAYATIPNVRLLPIWRTDGFIITVLLHMGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFAEHVVYFMLFSIATLTPIFMGCGSVLGVVLYIAYIDFMNNMGHCNFELVPKWIFKVFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDELYETSLKVKEETPDFVHLTHMTTLQSTYHLRIGIASIASKPSDNPVWYAWMIWPVAWLSMVLAWVYGSSAFVIERLQMKKFKMQTWAIPRYNFQYGLSWERESINSLIEKAILDADERGVKAKQLNRSGELFIQKYPKLRVRLVDGSGLATAVVLKSIPLSTKQVLLSGSTSKVAYATAIALCEKGIQVIMNQKNDYDMLKSRLPESRTFYLKFSNSNIPQVWLGDNIDDEEQKKAPKGTTFIPTSQFPIKKIRKDCTYLSTPAMKIPETMQNVHACENWLPRRVMSAWRIAGLLHALEGWDMHECGDDMMDIEKAWSAAIKYGFVPLMKG >Et_1B_012321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30953797:30955899:1 gene:Et_1B_012321 transcript:Et_1B_012321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNSDLGGLGGRPMNPQANPFGNALNGAAPGLIRTGLGVYGENFLNSSSEFMQSNITQYLSDPQYYFQVNSQYVRNKLKVILFPFLHRGHWTRITEPVGGRLSYKPPVQDINAPDLYIPLMAFGTYIVVAGYALGALGRFTPEALTLQFSKGLLGWFLQVILIKGLLYSLGSGEAPLLDIVAYAGYGFAGTSLAMLARIFWSYLYYFIMPWFCLCTGVFLVKTMKRVLLGGPRSYERHPSRNHYFLLFLAVVQFPMLFWLGNISAQIDAATVSPSPWGRFVNNRVLCPRELRSPLTLGVVSSTRRPRRTHPRGLVLDVVPMRAVQRRPVLEELQQQVLLEASVVLALKA >Et_1B_011811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26238430:26243608:1 gene:Et_1B_011811 transcript:Et_1B_011811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSVCHHLLAQCKTLRELQKIHAQALAHGLHPGHQSVSCKLFRRYADFGCPSDACKLFDEIPSPDLVSFTSLMSLHIQLDRYREAVSLFSHTVASGHRPDGFAVVGALSASGAVGDLGVGKAVHGLIFRHGLNSEVVVGNALIDLYSRCAKFNSAQMVFDRMIVKDEVTWGSMLHGHIKCAGVDSALTFFDHMPVKSVVSWTALITGHVHGKQPVQALELFGRMVRAGHRPTHVTIVGVLSACADIGALDLGRVIHGYGSKYNINKNIIVSNALMDMYAKSGSIEMAFSVFEEVQLKDAFTWTTMISSFTVQGNGMKALELFWDMLRSGVVPNSVTFVSVLSACSHAGLIKEGRQLFGTMREIYNIDPQLEHYGCIIDLLARGGLLEEAEALIADMNMEPDIVIWRSLLSACLVHGNDRLAEIAGKEIIKREPGDDGVHVLLWNMYASSNRWTEAREMRHQMLTRKIFKQPGCSWIEVDGVVHEFLMCSGDEIDGGGRVGQATCKDIRRYKCEFCAIVRSKKCLIQAHMVENHKDELDQSEIYNSNGEKIIYEVEHKCLECGACFQKPAHLKQHMQSHSQERLFNCPLEDCPFSYKRKDHLNRHMLTHQGKFSCAVDGCDRRFSIKANMQRHIKEIHEDENTAKRNQQFVCKEEGCNKSFKYLSKLKKHEESHVKLNYVEVVCCEPGCMKMFTNVECLRAHNQSCHLYIQCEICGEKHLKKNIKRHLQAHEEVPSSERMKCTFESCEHTFSNKSNLTKHMKACHDNMKPFSCRFAGCDKAFTYKHVRDNHEQSSAHGDFEEIDAQLQSRPRGGRKRKALTVETLTRKRVTIPGEASATDDGVGYLRWLLSGGDGSSQNL >Et_1A_006688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26397410:26402635:1 gene:Et_1A_006688 transcript:Et_1A_006688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EAFALLLLASRKPESRVLFPSELPLPSRTFHQHRASSSSLLSPGIAGMGTKTMENVIVLVNRIQQACTVLGDHGGGDRASSLPTLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEEGVQEYAEFLHMPKRRFTDFALVRKEIQEETDRVTGKTKQISPVPIHLSIYSPHVVNLTMIDLPGLTKVAIEGQPESIVEDIEKMVRSYVDKPNCLILAISPANQDIATSDAIKLARDVDPTGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQADINRNVDMIIARQKEQEFFASSPEYSHLASRMGSEYLAKLLSQQLEAAIRARIPSITSLINKTIEELESEMDHLGRPIASDAGAQLYLILELCRAFDKIFKEHLDGGRPGGDRIYGVFDNQLPAALRKLPFDRHLSVENVKRVVSQADGYQPHLIAPEQGYRRLIESALNYFRGPAEASVDAVHSRLKELVRTSIGETQELRRFPTLQAELAAACYEALERFREDGRKTAVRLVDMEAAYLTVEFFRKLPQEVDKPGPGNPGTGNPSTPPVDRYTDAHFRRIATNVSAYIGMVSETLKNTIPKAVVHCQVREAKRSLLNYFYTQVGRKDAKQLAVLLDEDPTLMERRQQCFKRLELYKSARDEIDAVSWTR >Et_2B_019448.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:30242016:30242573:1 gene:Et_2B_019448 transcript:Et_2B_019448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding APPPAPTIQLLLDGFLVLADAFASFLAALRQHAADLRAAARAQRQVGKELDQLAARCARAATLQPPQYSDVVTRTVAEAINDTAVASASVFVQVAAAAAAMAASSPSSPPKKQMMMRLPLGSRMSKHNNRLPSCEDDQIIKEAVALEKLQHLELCIGELESESEKVFRSLVQTRVSLLNIHTPTF >Et_9A_061502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12791624:12794041:1 gene:Et_9A_061502 transcript:Et_9A_061502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLSGRLSPVRQPYQAASSVIPSLSQTTPRPPILRFADSPCVRRPIPAPSSLLPWLSIRCRASNLYSVPVSSGNWKAQPPPIGTFAAWKSIGASLVTQQKKQAAVNVLSHYSEFVMVCIGEDVRPTDLRLHLMKEISGMPTSLKKEPPQAAASPDSSGEPSSSGTMKGDKNECS >Et_9A_063219.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16067422:16068105:1 gene:Et_9A_063219 transcript:Et_9A_063219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCALASRAAHVFVAAAREQTTSLKQRPRGAVAVVAPASCGKMAQEKLARLVVATKLSAPPPQHKTAREVPVLPAPPPRHLNERTEAEHADREGKDGGRIFLAAKRRRPEAPSAEADAAKRRKSSSSTKPAAQAVVHQRTEPAAKQQPLPDRQSPISKLIEKGKEALKRRRLEEIVRAREKFRQELLEVEKAAMPDETIYPEDLEELGLTELQYAVTRTREQALGGDG >Et_3B_031441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:386973:387928:1 gene:Et_3B_031441 transcript:Et_3B_031441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAEAATWAHKRQMYAAAGVGAPRMLAPPTVGFPPPGFVRPLHVWGHPPMIPSGGVEQLPAWPRHLQAPPPPPRPWAARKWGPHPQAVTQLGTPCVTPPMPAAATMLPRFPVPGVMAHPMYRPPPPAPPQSSKEIAATLQQLQLDAHPSKESIDAAIGDVLVKPWLPLPLGLKPPSLDSVMTELHKQGIPKIPPAAT >Et_3A_026599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10066693:10070747:-1 gene:Et_3A_026599 transcript:Et_3A_026599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYIVSSEKLNLLTQDFSTIPMEAKEVYNQDMSVDLEKGNGMDINSVTGHTRTMREGSWDDLVSLKDDRSHHMSCCSSHCLDSVAKNGESLSSEGTLWIGAEAAKVESSLLRFMAADMGVEPERLQELFRGHPQTMRVTYYPPCRQASKVIRLSPHTDACALTLLLHVNDILSNGRYKSVEHRAVVHPNRERIAAALFHQVHPSTTVGPLPELVERGGVLRHILVSHADFLKHFFSSKFDGRRSHLDHFRI >Et_1B_013998.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:2718975:2719709:1 gene:Et_1B_013998 transcript:Et_1B_013998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLESGGFFMLPNSEQENSLFLRALISVVSGDTTVPTLELPETKPVVAAAPYSCARCGVDGCPGCGEFFGAGDAASSCSDSEEGESGSRVTGGVCKRRRRQRRGERVSKYRGVRRRLWGKWAAEIRDPHRAVRKWLGTFDTAEDAARAYDVAAVEFRGHRAKLNFPADAAAVSTASSWATVRPQPVPESLREKCGSNAASPVHMAQVPAGQQGCRTVAKDQEIWDGLNEIMMLDDGSFWSMP >Et_8B_058906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10819682:10829811:1 gene:Et_8B_058906 transcript:Et_8B_058906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLTSQKTKSDHPHGEAICKNVRPSVRLEDLPQDVLYKIVSKLPSKEIARTRVLSSEWRCVLSTFPRLTFDGVAMCKCDRAHLPLHIGKFIDEVNAVLQKHHGMVVETLEMQLSFVSLKPPSHFKGFPNLRKLHIQSLHVSRKDLEDLLSHSCNIEWLRIDRCHLDDELIVDGSLSRLLYMHVECCKLTKIKFHAVNLVTFLYKGNFVPIDLSHSSMLENASIWLREAVFQHVVTSLLSGLPYVKNLTLRIAWPHVEKQWLWNNPLKFAHLRHLQLFFSSYSKDVERVLYLVSLLKATPFIERLGTHFSGYPLWLADVGPRRQELGQCNGPPLEEAKRIAVTSLSSIALPLNKMGNILTREKTTTDHVLADEDGRLSIRLEDLPPMIYLANLWPHINSARTDRNEAILISKSLVHLPQDILYTIVSKLPPKEVARTSVLSSKWRCMWSTCPRLTFDAVTMCKCNRADLHKHTERFIHEVNAVLQKHCVKVVETLEVRIDLVDSLLIHHLNNWVDFAVASRTKSLTLDLKPRWFQWDQVDRYLFPFQLLDSGSISRLEHMQLSFVNLKPPSQFRGFPNLRKLYLQLVHASNNDLVQVLSHCCNLQWLCLDRCNLNDELMVAGPLSHLLYLRIDRCKLTKIEFHAVKLATFDYEGSFTPIGLSHSLKLQSANIQLDEAVFQHALVSLLDGLPHVQNLTLHIGRQQMEKQWLWGSHLNFSCLKNLQLLMHIRATDVENVLYSVSFMRATPFIENLEVHFTGHHLWFADVGPRRQDFGQCNKYNYLKNVCITGFKAARGQVELLLHIVENTPALEVLTVNTTELICQDILTCGDRPFEEAKQIAMTSLSTVIQQNVKFY >Et_4B_039495.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:18989435:18990889:1 gene:Et_4B_039495 transcript:Et_4B_039495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTRRTRHFVLFPFPGHGHLAGFLAIARLLRQELPDAAVTLVSTPRNVATLRSSVADSSVSFHALPFVPSDHGLPAGCESTNSLPVPGFINLFEAFESLEPSFDAYISGLVARDGAADVCIVADAFVAWTVDAARRHGCAHAVFASCGAFGTAVYHALWKNMPALPFGSDEDTLRLPEHPELALHRSQMSPSFFFGAGGRMMDRWTAFYHRLIPHGHRTDAVLVNTVGEFEPTGLAMVRRTLGKIPVWPIGPLVRDQDTKMASSSEADNDGVLRWLDSQPPASVLYISFGSQNTIQSKQMMELAAALQSTGRPFVWAIRPPIGFDIAGEFRDEWLPERFEERARAGNRGVLVRGWAPQLRILAHGATGAFLSHCGWNSVLESLSRGVPIIGWPLAAEQFYNVKMLAEEWGVCVEAARGNLENSAVDRSKLAEVLETVMGETASSSAMRQRVKLVQEMMKTAWAEDAGSSRTALHEFLGAMHVK >Et_4B_038052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25877418:25878034:1 gene:Et_4B_038052 transcript:Et_4B_038052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEVKCGACENCGVKWMKTNRLCCCRSTFSFYENVVVVLVIDMLARSAVLQVFYARHCELFLQKAYLDGSMVFEDVGEHVAEKDVAAAFCAAFDSQRLASSPTTILMSKAWVRDHDDRQPETFATTHAAAVHTNLQQNYGLRSNFQVMRDNTGGIVSVRISQQIL >Et_2B_019654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11833925:11839992:-1 gene:Et_2B_019654 transcript:Et_2B_019654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREVAESCVDGVVMEMVAAYCGRFYAEKPELAARRIEAIGFQVGHQLSERYTMERPRFTDPLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLTRVSLDPSSESMDSNDNDSAPLGDTAAQTASMLLYFPCGIIRGALTNLGLTCSVSADMSNLPACKFSCAVSFHHLFLCM >Et_1B_011800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26151550:26158793:1 gene:Et_1B_011800 transcript:Et_1B_011800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPEPEPENGRELYALLHLSPDASDEEIRRAYRQFAQIYHPDKYQDLQMKDVATENFQRIRDAYEILSDENKRQIYDIYGMEGLTSGLELGPKLNKPEEIKEQLERLRRRKEEEKLFVHARSTGSILANFSIPQYLDGDGVMGGMGMSSAVELPVSKQDTVTVSGNLIVNGSRGTGAASTVLRHELSSVSSIEFMATAGLRSVLGVQTVRHISPHSTATSGLAVSLRDGSINLSNAWTRQLTESCVGNIQLVLGDESSIAVGWQKKDEKCSAAGEVKFGTNSFGASAHYTHRFSLKSHGRIAGRIGSTALDFEIGGGRRISEFSTVRMIYNIGIQGVSWRFELNRAGQKLVIPVLLSTDLNALFATTAFAIPSTLYFLLQTYVVKPFYLKREKQKELEKMEGLSTQLTEARKAAKKAQKLLEPVSNRKKNRQLENDGLIITRALYGNCKKVRESNDFNEINDDVASQVFDVTIPLNFLITEAGQLKLHEGIKKSGIMGFYDPCPGDPKLLVVEYTFHGRKYKATAEDREALLIPQDIHQI >Et_9B_063817.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:1631658:1631870:-1 gene:Et_9B_063817 transcript:Et_9B_063817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCCCILDLYRHHHRYASTRLTRLWELHPPNGVSHTHFTVLLHLCSWNIWKHRHDVVFRSLPLSQDAML >Et_3B_031634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6624744:6632545:1 gene:Et_3B_031634 transcript:Et_3B_031634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAALSLTLFVDAKKSTARAVRGGRQGRGGLPLLPPRVHGRHRQRRRDDDGDFVLPGVAKDGAPVSANSAILLPPAPASERPKRYRCAESCKSSSSGRVFSVDVLGISCPICGGQMATPLQEQDLASAEKGFVRDGVTYSVSDDVTKVPLGRDEALEILKLSMTPCKTVLSNVFLGEKAPEDPRIPCAQNINGHNETTLYIQSFNDSMVELTMDNVIPSSAWL >Et_8A_058449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8068289:8072043:-1 gene:Et_8A_058449 transcript:Et_8A_058449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGAWPALPHAPAPARRGAAAAALRGLRARAADIAVGCVMGAMWLLNATSAALVATKRVLGKDSRAAAVAEQLFVAALCAAAFLLNVAPFLLLWCVPNGGRHAEAREEKFGSGGQRRRSESTGSACLLDVVGSVTKQILIFMAMLMLLGMLMQGLAPEKESCEGRVGSMLADIGSFHYSVVFCFVVSPKREAVESGIAVA >Et_4B_037115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14899361:14899775:1 gene:Et_4B_037115 transcript:Et_4B_037115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKKMNAKQATVLCLLLVLMLHADHASAGTACFDSKPWIPFCKGWSCKSECWMEAKMLFLGAKVMEHKCTKGGIKGRCYCLMCNEPDQ >Et_9B_063843.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17106117:17106545:1 gene:Et_9B_063843 transcript:Et_9B_063843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKTSRQVIEKYYSRMTLDFHTNKKVLEEVSILPSKRLRNKVAGFTTHLMRRIQRGPVRGISLKLQEEERERRMDFVPEKSALEVEEIRVDKETMEMLAALGMADLPGVERAPEVSSAPTYGRPPFGGPRRDRN >Et_7B_054951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:603738:606779:1 gene:Et_7B_054951 transcript:Et_7B_054951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPERGEAPPSDSSFEGEASVAAVASSSTSEQREDSSSKQAKTSILSSVFTPPFSIFEGHQDSSQPSGSKSPKSSSGSYDWSRILRRIEEPSSDSDSDTGHSAFLQDFSSRIWITYRKGFDAISDSKLTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRKPLEKPYNPEYIGILHLFGDSEACAFSIHNLLQAGKSYGLAAGSWVGPYAMCRAWQTLVRTNREQAEIASGKENFPMALYVVSGDEDGERGGAPVVCIDVAAKLCQDFNKGQSTWSPILLLVPLVLGLDKINPRYIPLLKETFKFPQSLGILGGKPGTSTYLAGIQDDRALFLDPHEVQMAVNISPDNLEADTSSYHCSVVRDMALDQIDPSLAIGFYCRDKDDFDDFCSRASELAEKANGAPLFTVVQSIQPLRQMYSQDEGLGSSGGSMVNDDNLDGSGETGVEDWQIL >Et_2A_017371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33612136:33616871:-1 gene:Et_2A_017371 transcript:Et_2A_017371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLRLLLSHTRRHPQPHRLLPLFHFSSDANSSSAPSPPPPLRPDRPAFEEGAEAEDGLLGEGQRIELDAARTRSIFGLPVEEEQLPYPTLISVEKRPQKVAIDLVDAIRQVKTNAHEKKRNFIETVEAHVMLGVDPRRGDQMVRGAITLPHGTGKTVRVAVFAEGPAAEEARAAGADVVGGDELIEEIRTGGGKLSFDKCIATPMYMPRLSKVARILGPRGLMPNPKLGSVTNDVSAAVKAAKSGRVDFKIDKTAIVHVGLGKVNFSDESLRENIGAFVHALLLAKPVGLKKTSKYVGYVKKFTLSSTMGPGFPVTIPSLSVAADHYNSKVQVS >Et_7B_055533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13387082:13389336:-1 gene:Et_7B_055533 transcript:Et_7B_055533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPFLAQPRERALVVPARLLDGADNVPELGFHVGVRAADAPERRAGLVGLAAQHQAARGVRDEEGAHDDDRGRHGRDAEGDAPAPFMISLVTLAAIVPATTKKWYAVVNAPRHFAVNPIPKPSRIRAMMSIAMLIAPAPIADPARNMAPPLSIVASRPILLVTRLATRDDSMPAMNMDDVNVEIRLLHYNAGTATAPWRANCKRTYRVMVDWKNCRSSAS >Et_7B_055856.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:649450:649821:1 gene:Et_7B_055856 transcript:Et_7B_055856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIHAALRRYKARRVERGLFHFVPKPDSVSFCLLSPMGAPPGAARREAMRKWRRWREKRKAFQAALLEKTIIVHAADVWLRQDGEADETECAAILCLPGVHCSHRHWPSIKALVECRRRRSV >Et_9B_064609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16980157:16981109:-1 gene:Et_9B_064609 transcript:Et_9B_064609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LNRNFSSPRTLPDLAVRRLKLQIRDEGRADEPQQRLAVGEGAAEEHDGLVRCAEEVEEAPGGEEAEEDEEREGVRQERGPEREGHHGGVVDAEARLRRRREVASETESGLEKAERSSSSPHGRRSDSERRADSETRLRKSRKAGVAIGASEPPPAAGDEPAAAGLVTGRRGGVGVEAMAMVLLARAFCRRGRGLGKARCRCGCGDALFPSVVPLQWWLPATAFGCHVYASLGKICLSMTVGQASRRVHMSVTECLMKL >Et_2A_014791.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:15100302:15100382:-1 gene:Et_2A_014791 transcript:Et_2A_014791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRYVFGRTNGLGALVWRYSIGTCM >Et_4A_033988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28843213:28845700:1 gene:Et_4A_033988 transcript:Et_4A_033988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTRYYEILGVPKDASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGMHDPFDIFQSFFGGGSPFGGGGSSRGRRQRRGEDVVHPLKVSLDELYNGTSKKLSLSRNPDTVTGDIIFVLQQKEHPKFKRKGDDLFYEHTLTLAESLCGFQFVLTHLDNRQLLIKSKPGEVVKPDSFKAINDEGMPMYQRPFMKGKLYIHFTVEFPDSLNPEQCKALEAVLPPKPASQYTDMELDECEETMPYDVNIEEEMRRRQQQHQEAYDEDEDMHGGAQRVQCAQQ >Et_3A_024208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18431901:18433805:1 gene:Et_3A_024208 transcript:Et_3A_024208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGKPDPAAPPQAPSAAKVMFMRRIFPFLLATNVFIGVYVFAKTYKRDQDKKNAQAAAAAAAAAALSLPTSATAKDADPIPAPAPAPAPTPKRVLPQVSEEEQRQLYKWMLEEKRKIKPHNATEKKKINEEKALLKEFIRAESLPRL >Et_1A_005802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14048563:14055409:-1 gene:Et_1A_005802 transcript:Et_1A_005802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPSSARPRRRDWLRLGLAPASSPDAASQQLDLVFADRAPPTAARRRGPAHRVTRLLSPPRAAPSPGPVRDEARGQPVPLLLRLPPAGGAGEEGHTPCSASTPTTKADALHTATGAKSRVVSCACGCSSEFVVSFLSNTAGDELDGPHTAIAGVLCCAANNGSCVFRFRSGSDRGTRCSTLLRAGEDNLSAELMLPKVMQPRSKEVRILQLGLGLLLLVLLAVQHVPATAIPSPQCQKQCGSVDIHYPFGIGVSCSLTEHFNITCQAQDDGISKPFLGDFELLDISLTDSTVRVKNFIATYCYNSSGLMELGGFVSFNLSTPYRFSDARNKFTVIGCYTLGYIYNSGGTSYQSGCVSTCLNQSDVGEGSCSGIGCCQTAIPKGMDYYGVTFESGFDSGYNTSQIWRFSRCSYAMLIEAAAFNFSTAYITTTKLNDSDFGGVPAVFDWAVRNGTVACAVARRNESGNYACVSRNSDCVDSSNGPGYVCNCSHGYAGNPYLLDGCQDVNECNSQSPCPSGGICHNTIGGYRCSCRAGRKLSEQSNTCNPDTGLIIGVTIGFVALIIFSFSGSMILQKRKMDRVKQDYFSQHGGMLLFEKMKSERGFSFTVFTESELIKVTDNYDKSRVIGKGGNGTVYKGMVKGNMPVAIKRCSLIGERQKKEFGQEMLILSQINHKNIVKLVGCCLEVEVPMLVYEFIPNGTLYELIHGKNRALQISFSTLLRIAHEAAEGLNFLHSYASPPIIHGDVKTANILLDDNYMAKVSDFGASILAPSDEEQYVTMVQGTCGYLDPEYMQTCQLTEKSDVYSFGVILLEVLTGQVPLKLDGPETQRSLSSCFLSAMKENNLDALLMSQMKGQESNELTRGLAELAKQCLDMCGANRPTMKEVVDELARLRKLSLHPWVQLTDVESESLLDGASSTAGFEIEATTTGYPMQEGESMPMNPGSSYYASGFDSGYNTSQIWRFSRCSYAMLIEAAAFNFSTAYITTTKLNDSDFGGVPAVFDWAVRNGTVACAVARRNESGNYACVSRNSDCVDSSNGPGYVCNCSHGYAGNPYLLDGCQDVNECNSQSPCPSGGICHNTIGGYRCSCRAGRKLSEQSNTCNPDTGLIIGVTIGFVALIIFSFSGSMILQKRKMDRVKQDYFSQHGGMLLFEKMKSERGFSFTVFTESELIKVTDNYDKSRVIGKGGNGTVYKGMVKGNMPVAIKRCSLIGERQKKEFGQEMLILSQINHKNIVKLVGCCLEVEVPMLVYEFIPNGTLYELIHGKNRALQISFSTLLRIAHEAAEGLNFLHSYASPPIIHGDVKTANILLDDNYMAKVSDFGASILAPSDEEQYVTMVQGTCGYLDPEYMQTCQLTEKSDVYSFGVILLEVLTGQVPLKLDGPETQRSLSSCFLSAMKENNLDALLMSQMKGQESNELTRGLAELAKQCLDMCGANRPTMKEVVDELARLRKLSLHPWVQLTDVESESLLDGASSTAGFEIEATTTGYPMQEGESMPMNPGSSYYAR >Et_5B_043841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16713639:16717081:1 gene:Et_5B_043841 transcript:Et_5B_043841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLSAILSELTSRSISFIIDKSLRPSTVPTVEEARSSLQRLLLRAEVIVTEAEGRLVTNQAMLQLLNRLREEMCRGHHTLDTYRCRAHEDQAPDHHEAVSLSFRSSIFNPAKRFRFHSGSSSSSSEQEQVNEALGCLEIAIRDSTELVVFLSGCPRLYRQPYNMYLIVDKCMFGRQMEMECIMNFLLQEEASVAEYPCVLPIIGPGKVGKTTMIEVACNDDRVRNRFSKIVCFSQNSIKDKRRIATLSDCDVIKHYKSASEAQRILVVIELIGEIDEGAWRELYSNCRHHLGNGSKIIVAGRSDTIARFGTTQAVRVQFFTQEAYWYFFKVRTFGSINTQDYPKLASIAMEMARELDGCFFSAHLHSGLLKANFNAHFWSMSLAMIKEFKRMNLFLYGSHSVDPWQVVEPVYIKKTSSQYLVILDDYQTGSAQNPAQSGAAMLSIQDVLFGRVRPSGKFKVLAWRSHLPPHYSYMLNCEVWRPQQGMKTCKLKGGKQIQQAHLCHLPYIHRRLPDCQKRYLRMAMFFSAILSELTSRSISYMIDKSLRPSTVLTLEEARSSLQRLLLRAEVIVTEAEGRLVTNQAMLQLLNRLREEMCGGHHTLDTYRYRALEDQARDHHQAVSPSFKPSIFNPAKRFRFRSGSSSSFREQEWINEALGRLKTSIRDATELVVFLSGCPRLYRQPYNMYLLLGKCIFGRQMEMERIINFLLQEETPGAEYPCVLPIIGPGKVGKTTIIEHACNDDRVRNHFSKIVSFSQDSIKDEKRIATLGDCDVIKHHNRAVQEERILVVIELTGEIDESIWKELYSDCKHQLGYGSKIILSSRSGMIVRFGTTQALRVQFFVQEVYWYFFKVLTFGSTNMEDHPKLASIAMEMARELNGCFFGAHVFSWLLKSDFSAHFWSMALSYVKEYKRMNPFVDVDPWQVDEPVYIRRVNKFSSEYVVALGDYQTCSAQSEGPALSVRGYIFGRIRARGKFKVLLWRSHVPPHYSYMLTCELRRPQQGMVSRKKRVHEIAS >Et_10B_003039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15771268:15776910:1 gene:Et_10B_003039 transcript:Et_10B_003039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSLVSSSSSMVSYLPPSLHSLSVLDCPKLKEIPLLPTSLVYLKLIKVGLTNLPRIGKLHSKDVDGQQSCLTTIEVTQSSDLISLDGSFLDQKQFLGGVRDLFIAGNTNLELVCLPFKEMIVLDRLFIINCPKMRMLGGGGNVILASSLTGLSIGLCGDLKLPLLTWSLSRADVWSNLKSLRQLNIDGRDNLVSLGGLGSLPSLTLLQITSCCKLVEAARSSLTSDASGGEEKHLAVHTQLNRLSIDFPSLLLVQPLKRLRHTRELSIGDASGTEGLPEQWLLQNWTEGLPEQWLLQNCASLQSLFIPKAELLPLGIKDLFSLQKLVLLDARELQSFPDLPLSLQYLSIKQCHPDLEKKIKGYGSPVRDKIFHVPRVQIGISTLPISSLHVISTCLRSPGPQTIAHFLAGRTNKIISTDEVEESMPSSLHCRTELVLLRVSLIGNFTHTIGLLAQEDILLLKIEIWLFAA >Et_6A_047623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8596097:8618724:-1 gene:Et_6A_047623 transcript:Et_6A_047623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLMIQVLLLDAAPANSEPRGFRATLIRREKTMNFTRAARQSSDRLSMLDARLSGDTNTQTPLRADGGAYDMEVSIGTPPQKLTALADTGSDLVWAKCAPCTSCTPPGTPFDPTQSSSFSKMPCSDRLCAALESQNMPRCSSGDSECDYVYPYGSGDHATQGYMGTETFTLGSDTVQGIGFGCTTKSNGNYGTGSGLVGLGRGKLSLVSQLGVGAFSYCLNPDSSMASPLLFGSLATLSGDGVQSTRLLESNSDDTFYSVNLKSITVGSTTTPGAGNNGVIFDSGTTLTLLSDPTYTAAKTAILDQTSLPLADDGSGPCFQASGASDISKIPPMVLHFDGADMNLTAANYFMEAENGVLCWVVQRSFSNSLSIIGNIMQTNYHVRYDLDKKVLSFQPANCNSILPGSNMAARVATLALMLQVLLLVAPLVSSERRGFRAAMIRRETSINFTWAVRQSSDWLSMLAARLDSAAVGASTQTPLRWDGGGAYDMEDSIGTPPQKLTVLADTGSDLIWAKFGRCESCKPKGSPSYDPTKSTSFSKMPCSDRLCLALESHNQATCDSGGSECDYQYRYGSGSADGYMGTETLFLGSDSVPGVGFGCTNKSSGNLGTGSGLVGLGHGPLSHVSQLGAGAFSYCLNPDASMASPLLFGSLATLSGYGVQPTGLLGSSPYYSVNLKNITVGSRATPGTGRDRVVFDSGTTLTYLTDPAYTAAKAAILSQTSLPLADDGSGPCFQASGASDISKIPPMVLHFDGADMKLPAANYFREAENGVICWVVQRSFSSRLSIIGNIMQTNYHIRYDLENKVLSFQPANCNSIPLRRYDPTQSSSFSKMPCSDTLCTAQGSQDTCGSGGTECDYRYAYGSGDHATEGYMGTETFTLGGDAAQGVRFGCTTMSNGNYGTGSGLVGLGCGPLSVVSQLGVGAFSYCLIRDTSMDSPLLFGSLATLSGDGLQSTGLLQSPTFYNVKLTSITIGSSTTPGVGANGVVTSLTRSRRWCCTFDGADMELPVANYFREVQTGVVCWVVQRSFNRLSLVGNILQMNFHIRHDLDQQLLSFQPTNCDSL >Et_5B_044836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6366971:6370411:-1 gene:Et_5B_044836 transcript:Et_5B_044836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDRCPRPMCYKAYGSGKEDSPLCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAMNQHESIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRGVLRVNQKIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYVELEAGKVSKLAKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGSTLEVPPCPL >Et_8A_057863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8437157:8442734:-1 gene:Et_8A_057863 transcript:Et_8A_057863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGAPPRSADGVAGSRRSRESLFGYLVALQLLTKLVSFVFNSWCMGAVEGFSGSMLQATSFVDRVLYYREGFRRGCLHGGFGFNDEVTDESTTKLLKHRFACILELLAEPLYILSQNLFLLKLRLISEVVETLMRCITAVQDWMDYDRRLWQACMMFTGQSLKELVVQKGQELVPFRSSYLDEYGVVDRLGSLVVRLIFRPFEESNRLKFAEMASAIGVKPHYLLTSS >Et_9A_061727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15854689:15855034:1 gene:Et_9A_061727 transcript:Et_9A_061727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSIFSSDANRATSIWNALGFSSPLMNTSIKDLWNDPGELNSTKPKIRSDVLTVVLSFMEYMEMNSKLFQHVDLTVAAHCCDDLSLWSHRCHQSADRDLLKFWSSRLSFST >Et_8A_057161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21823796:21826257:-1 gene:Et_8A_057161 transcript:Et_8A_057161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDGVPPAGAGAAAGGGGSGAGGGDGPRRCSQCGHHGHNARTCTARPVKLFGVRIGDKPIRKSASMGNIAHLAEGSGGGREEGYGSDGERPPKKRGEAWTEEEHKKFLLGLNRLGKGDWRGISRNYVISRTPTQVASHAQKYFNRQTNVHRRKRRSSLFDMVIDDSAEESLSRSSSQEMEQHLEDLHPVVAPPAPVVSSAAVVAPPPPLAVDMPASVPSPVQVPVSVPVVAPQLMEQDSGASNSSPGEARMVMPETMPPYVYPIMLPPPYYHPAYVPVPYYGYVPVPYYGYGPPGAAQAPHEVVKPVAVHSKPPLNDNDLYSMSELSLKGDSSADGGISASPLPPKPIGRPERQSAFHGKGSPGGSSGGLIPAVK >Et_5A_041374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21964792:21980622:-1 gene:Et_5A_041374 transcript:Et_5A_041374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGLRQRGKFSLHISYRVPDGEVILSGIRGLSALEKHAAVAGVDHLPIGAVGNWRVRSGGNKGGSFSSVDVVEDAQHWGRPVEDAKPAAEAGVGDEVAPSRADEGGTDEACRIVWREDLLDELVHQGRRRRRHDDEGGADESAGIVRREAEEDLLDELVVAGAVIGTEHRRVPVIKFVGHCQQRQFPLLLRDWVLHNDRIHRTIAEPLGISTLKEHAAMASVHHKRFATISNPWAGGGADEDDGSVGVKPNNGGVRWNSQNLRWKPALETRRHHRRQTRAARTTLAGSSGGRRKRTSSTSSSIRCGGGSPRASLSTRESTRPWRASITSNLPQSAIGGGANEGGGSVGVVDEAQQWGRAVELTEPAVEAGVGDEAAPSRADEGGADEACRIVRREAEEDLLDELVHQGIIGLPMPIDMPVIDMRYTPASGQLAPSTSLGRVGVDTDTNTSGDQEDNNEGSAVAVKVVAGVGVGTEHRCVPAINIR >Et_9A_062827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5528137:5531656:-1 gene:Et_9A_062827 transcript:Et_9A_062827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSFRTATSLSPFPSAPSSSCSPRFRCSNLRFPRSRNGRQLGLRRRASGFDAFPPLPGKVFVDEAIGAEYGEGFETFRMDGPLKVDVDYLNEKLQECFLQRIRHAMKPDEAFGLIFSWDNVIADTDSLKLDAWKQLALEEGKDIPSAAHVRKSILHGAADHVLRKVLYWAKEEGRMEKLKARLIELYYENLFKLDTPVEGLREWLDAVQTAGIPCAVASSLDRRCMVEALDRMALSKYFKLDRKPSKCVVFEDDPRGVTAAHNCTMMAVALIGAHPAYELVQADLAVARYSELSVINLRRLFANKGISFMDMQKQIIERPPPRRRLTVDTIF >Et_7B_055701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22758753:22761831:-1 gene:Et_7B_055701 transcript:Et_7B_055701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFPEFSTFDSLLSQTKLADEINQRQTITVLAVDNGAAGAITSLPADAQKKVLAAQVILDYYDPVKLDGIKDKAAQLTTLFQASGGAAGQSGFLRYKEGVDDQMAFGSAEPGAPLGSKLVKVVASRPYNISVMQVTAPIVPPSKGGTPSPAAEKANASSAAPSPSAKPEEAPEEASAPTAEDGEASAPSSEDGNAPAAAEEPSPVAAEGPSDEDSAASSAPESEKSTDAKADAPTSAGSRAVAGASVGLVGLGIELYRSSALVIEESVKTKTNHHKANSTPSSRRHTECGLGLGGSRKCRVQLDRQGPAGMKKACV >Et_4B_038887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5680282:5685426:1 gene:Et_4B_038887 transcript:Et_4B_038887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWMLLPWLPLSSLWRGRKHFGEKKRVADALLAPVHIGSGTTRKMLGRRWCRRSGGSCFNISGKEIMAEKLADGEPITPLVEDSEEEEVMPQWLQVLLRTRFWEPCSKGHKDENRAEDCIFCLQCYKVFCPHCTHNEPDHHLLKIYRYVYRSVVLVKDMNELNIDVSKIQTYITNDNKGVLLRPMRRSPKFRPQPGATRCKTCTCWLRSASNLFCSLTCKGKASVSQDDFSGPEAECRYRNLHTNTSQQVPNDDNDIELPVEAPVIPFEPVEAPLMVIPPEPVEAPPVVIPHEPVEAPPMVIPHEPVEALPVMIPYESVEAPPVVIQPGPNNSQRQRPRKQAKPQRAPFF >Et_3B_031191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20103972:20106876:-1 gene:Et_3B_031191 transcript:Et_3B_031191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQALPLLRWSRTSSSLRALSCPAAPRFLFSTLRRPAAARCEAGSKVMLKGMDYPELENWVQEQGFRPGQAMMLWKCLYGNNVWAHCHGELTGLNKDFRKMITEHADLKALTVKDILTASDGTRKILFSLEDGPVIETVIIPCDRGRTTVCVSSQVGCAMNCQFCFTGRMGLRKHLSTAEIVEQAVFARRLFSEEFGSITNVVFMGMGEPLHNIDNVLKASAIMVDEQGLHFSPRKVTVSTSGLVPQLKRFLHESKCALAVSLNATTDEVAVRNWIMPINRKYNLDLLLGTLREELRFKKNYKVLFEYVMLSGVNDSMDDAERLIELVQGIPCKINLISFNPHSGSQFKPTPDEKIIEFRNVLIQAGLVVFVRLSRGDDQMAACGQLGEPGDYQLPLLRVPEKFQVAL >Et_2A_017069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30717727:30722509:1 gene:Et_2A_017069 transcript:Et_2A_017069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAADAEAACEVVATAADIICSLRAADLAGWTPPWSKPSSPPPHAADPSACDDDEDGDARELAWPAVARGKRSRSRSHRGSPSATSAGSGSVLAAKKKKKPRRARGSPASPLDYSGGSGASTSGGEDGAFCSPPPPVMSAGGGGGVLPVESATKVRMRISRLRRVVVGLAGRRSILPVPPPRPAGQRPRKKMRLPEIQQMVRSLSVENDALRQEMKALQKACTTLSKENGKLEVSDTPNTLFLFVSMWLAGHHAYAYKINVDKWYAVGIRLDHSSKRDGIISEEKKGKPQPVQRAAEMEAQNGFALPDLNLPAQDG >Et_6B_049112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18103437:18104289:1 gene:Et_6B_049112 transcript:Et_6B_049112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VFAHPIVDKRRDIVELEKLDAFKRPLFNGIPHLLLHAVPRHVHQLQEHDAPHFFARPAVAVWPGRIDCEFGFIEKEKAGWSRVLDHCNGLVLYRDDDADSSYVCNPVTRRWARLPQCSTDDMRWKRHTFLVFDLTVSKHFKVLKALRELDKMIEYHRRRQWPLFGTKTAGMEEDDSWRAMEWPPARWTCHEFSSRTGRWKERVFVREGEAAGTAGLLMLPLHCLVEKQWRYSAYWNGALYLHCRGEYVARVGAILHLERLMYGERS >Et_1A_008241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5587711:5592346:1 gene:Et_1A_008241 transcript:Et_1A_008241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILEQSEALSIEGQPRVGISSDRSTSSSAQIKALPFEQGDDSYQAQLPEDILHQIHFLMPMREAAQATIVSHSFLCSWRFFPKLILSIDSLGINKDAYEQDELATVFISRAERIMQSHSGRGVKVFKLETFPCSNMHPSYVDHWLQVALTPGIEEIKLSMFKSDLDKMYNFPVSFLSNEARISIKSFLLAVCSFHSAAKVGCMSSLTNLDLYSVHITGEELYGFLSNSLALERFKLCYCDDIVRLRIPSLLQALHTLNILGCGKLKKIDSTAPNLCNFSYSGPPVHISLGDALQVRKISFSSDYSSNTLYYASTKLPFIAPHLETLELSTSDEVSSSHPTYFMFYGSKKMVDTPMACGKFLQLKYLEIVVSAPDFSQDYDLYSLVSFLDASPLLETFILRIALPTVRNDSIIADSGGYPHHRSLQRCLSECYHDNLKNVMITGFCSAKSLIDFTIHVIEKTKLQCLTLDTTRGHDRSLFGVGRCLLLNKEALMEADKARVAIRRYVEGIVPSYVDLKIIEPCSNCMYKP >Et_1B_011230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1975496:1982632:-1 gene:Et_1B_011230 transcript:Et_1B_011230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGVISREVLPACERLCFLCPSLRTRSRHPVKRYKKLLAEIFPRTQDEGPNDRKIGKLCEYISKNPMRVPKITVYLEQKCYKEMRAERYGSVKVVMAIYRKVICSCQEQLPLFASSLLTVVETLLEQNRQDELRKIACQTLFDFVNNQIDSTYMFNLESQIPKLCRLAQEMGEKEKICILHAAGLQALSSMIWFMGEHSHISAELDNVVSAVMENYESPYANSDNNDATVEDRRNRWVSEVLKAEGHEPPAVTILTKVPSWKDVRAAHGELNLTIEESESPNFWSGICLHNLARISREATTVRRVLEAIFRYFDSYNLWSPSKGFALCVLLDMQIVMDKSGQNAHILLSMLVKHLEHKNVSKQPDMILDITEVTARLAEHSKAQSSTALMSAISDMVRHLGKSMQSLVTDAVPGDMANWNNRYGKAVDECLVQLSRKVGHAGPILDTLAVVLENISSSATVARSTISAAYRTAQIVASLPNLSHQSKAFPEALFHQLILAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFSGTSQTSKIDLRRTLSRSTSVFSSSAALFGKLKRDMFSFRESPLLDNTKLVPIGENVDHISGNDTKLFKSQTLQRLASAKDTSFSSSTDTSSSSGPIQEKDAVTLMLSSRQANLLLSSLWTQALSPENIPRNYEAISHTYSLMLLFSGAKGSVIEVLVGSFQLAFSLRSISLQAGFLSPSRRRSLFTLATSMLVFFSKAFNVPSLIPIVKHVLTESTVDPFLSLVEDCRLQALDRQARVYGSKEDDDLALKSLSNINMNEDQSKETSVSVILNSLENLSESELSVIRKQLLEEFSADDVCPLGSHFTETPSKSSAQTGKLHQKSLEVIPMGFVFEDDTLIEPDCLGEPHLRHTLDNSILDVSQLLESDGETSMKKLESSEPVSQIDNLWCTDDEQCHTSIYKLPVLSPYDQFLAHAGC >Et_1B_013978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26115189:26119704:1 gene:Et_1B_013978 transcript:Et_1B_013978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYGSSMMRNPDAGVQYRAKAQDRANAKLVSRRLLLPTPINPNTTFFAFSIAHPTGLTPNLLRLFEPRPPLEYKPPAENRELPAYSGIAQFVSQFAESGDTEYAPPVPKSETRAEKKARIRELKLEQGATKVAEGLQKYNPQSDPSATGDPYKTLFVGRLSYETSERNLKREFEAYGPIKRARLVTDKETKKSRGYAFIEYVHTRDMKNAYKHADGRKVDNRRVLVDVERGRTVPNWRPRRLGGGLGSSRISGEVADRKCPSREQKLAGRPRVEDSRRDDRHADKDQQKSRERVRGRDQDERSHARSHERARDRESKEERHIHRARDRAREHDHVTDRKRDRG >Et_8B_058967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11657012:11670840:-1 gene:Et_8B_058967 transcript:Et_8B_058967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGAQVEGSRVAAGHDRERKTRRRKDEATHDEESKGGARHVGWETMMFDDDDDGVEPQFKVVDKYYLEDGDGNDVCFSMLPFLFDENEKAESCNSNMEVYLRGLGDNGMTYIIELGGDKPEIFMLSKDNWIRLLKPRNICAKKVFGSILITVQMLHFTRKNLEDMDNNFDFLWSHLDEVFSKFDIKPTPDDLRQHRNFVMLFAKRDPILMNSEGQCKRAFHPTKKHGRRSKCIILGYTSAQRKKIITFTCKNCEYENHQCFKCGELEPSDVENAKVFRCNNPSCGYFYHPKCIAELLEPDDTGGVCEFAKRITAGMSFICPVHWCFKCERMEDKADSAFQLAVCRRCPASYHRTVCQASEQQIILETECAAKHFIEDLQLKSCGIEVTADLPSLRAVEGLEKQSDTSVAVGRSQMKNSYLGGSDTDNSCPDMSQKNMEISIKNGHQCVVQNRVFVNQKDIMLDNPLVEKDAERDNESRRISDDKDDNGSEEASEHYSGIERGHRNNENFDENNERNDEFDYLIGERHTEEDACNIQSGKEKIMEPGKTASAHDPPSQSAEERSLRESPMFGDECKQDSRSYEDKIDMTDSNKSRSHDGGTWPNSSDDHRSEMQEFGCCENHEEDDTRAGLKEPNSTHCHDNVMDMEREREGETRM >Et_2A_016573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26268077:26277369:1 gene:Et_2A_016573 transcript:Et_2A_016573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHVPLFSLQIVLVCRNVEFAHPGSQDQICRQQSRAGLSPAEQASADDNFAALYCKPVELYNIIQRRSITNPLFTQRSLVYKINARWKKRIQITVSLSGRTNTELQQPNIFPLYVCLARPTSNVSLDGHSPIYRFSRVCLLTPSSKFGNNGNTEATFIIPDGKPGILFVKITAQGIMWKALLLKNFVSLSLGHTMELASTVTMSQSFLETKFLEQDNCLTFCSHKVDATGSYQLQVCISAQEAGATAMFLSPYNSYSYIDVPPSSLPITEDFSCAFCLVRCGSFKVSEKCQAVNVRLKTDVWRTECFAAGVDPRHQTFSYISKFKRRRQLKTTTEKIRGVHSDIIESGSPEDVQAVSEDDYVHGKNGTSIDPALSLHGSNLSQPTVLQFGKTRKLSAERADPRNRQLLQKRQFFHSHRSQPMALEQVFSDHDSEDEVDDAIADFEDRRMLDDFVDVTKDEKSIMHMWNSFVRKQRVLADDHIPWACEAFSQLHGKQLVQNPALLWGWRFFMIKLWNHNLLDARTMNKCNTILQGLQDESSDPKQP >Et_8A_057844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8136206:8139523:-1 gene:Et_8A_057844 transcript:Et_8A_057844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAFNRAAFAARPLRRPPRPQPLLHIGGAEDGAAGRGGGAALMRRLRCSASLSVGAGSENAPVFPRQNSWDPYKLLGVDHDASEEEIRSARNFLLQQYSGYEESEEAIESAYDKIIMESYTHRKKSKINLKSKIKKQVEESPSWVKSLLGCFEVPSMEIILKRFALFGFIAGWSIATSAETGPTFQLALSLVSCIYFLNDKMKNLVRASTTGFGVFVSGWVVGSLLVPAIPAFIIPPTWSLELLTSLAAYVVLFMGSTFIKRNYPRPSSSRTRHLALPLRTAPPCCAPTCHLVCEPRRLVPWPPVSRRSVAG >Et_4B_039853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4115861:4117819:1 gene:Et_4B_039853 transcript:Et_4B_039853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METANVRALCFSIAIVFAVLPWQSLAAGEGSKEADKIPALPGQPKDAAVQQYSGYINVDEKDGRNLFYYFVEAAADPAKSPLILWLNGGPGCSSFGIGAFQEVGPFRVDTDGKTLCKNKYAWNTVGNVLFVESPVGTGFSYAVNTEVYKPMGDNMTTDDTYTFLVKWMERFPEYKGRDFFVVGESYAGHYVPEITTTILAAKNPEFNLKGIIIGNGILELKEEQRTMYDYLWQRAFISDPAHTLIAQSCKDADDASPLCNAAETAAERQLGNIDWLNIYAPACHDPKVKPTGSNCVDVAHPCAEFFVKAYMNSPQVKAAIHAFPGLKDPWQRCARGRYDLNHFGDRPKTMLPHLKTIIGSGVRVWIFSGDMDSVVPIIATRHSVEKLGLPVTADWRPWTADGQEVAGYVVEYKGLMFATVRGSGHMVPIDQPERGLLLFSSFLKGQPLPKAPPMPSQ >Et_4A_032369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32053412:32054017:-1 gene:Et_4A_032369 transcript:Et_4A_032369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSVPIGPRRVLVANGGFSLKVYADHEKSSPPIIEEGWDVYEDDEIEEYTRTMCAGLGRMLDITYLVIPNAIETNVEVKLKLKDLASRSRAVYGKINASTNDYGNKSVHLFSCERGTRLFFLSASTSILPLSPSMVAVPCRWQLELHIEVDLAVITTCDSQEEQDKNLKEREVDDDQVEVNIKWCPVY >Et_5B_045523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3621505:3628550:1 gene:Et_5B_045523 transcript:Et_5B_045523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGIHSSRDHMSVEEFKEWLKQFDADGDGRISRNELREALRRCGGWFTTMRSGRALRQADKNNSGFVDETEMENLVAFAQKDLGMKISTWHELNRRLT >Et_7A_050862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11748929:11753741:-1 gene:Et_7A_050862 transcript:Et_7A_050862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFRQRSRFYLSFNETETLLDRTSAVARRASTCGGERRGRRSPLPRLALLIAFCLFAGAQLQPPVPSSLDGGRSYGNASSSRAAGDSLEEAAIVTGGGALHPTPDESATAASWRLDDDDDDGAAVCCQVHARRVGVASCNGTVSVNVYDVRLRPGEGLVVRSLDGPSPGSHGGFLGITTETLEATPAKNRFVLIELFDTVHLRITDTGTPSIGVSVYRRVVSGRIRYGDLSRVSETYEYLHVGLDIVGCEGAKRAVELAGIRPCGSVVSTNKTANLADSNIMWIASTEACYYMGVCRVETKPASPVPSSPLECLINDHVPAEKWHLGFSASTGTVSHESFYNQFNLSMKHYVLSVSHHVVYISSPELSFLRSIREAVGGQMKLVFRFLDENAIEPWIKMSYGEILQNLTFVKKFVCGIIVSKNYIWEVSLDSYLDHYNPLTMRKPISILASTHQHSTTTSQRQQCQSAECRGGSVLSAWVARRALRVGHSRMRRSVLPRHERIRRPQLGRAAVVARRRRRRVRGDDGRLRGEERVREQHPVELVHRHGRGHGDAAHDLGLGPRRPPPTVPALYVTFTVYGRPASVVTGLLRLSPSNSASW >Et_1A_009300.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:37889433:37889684:-1 gene:Et_1A_009300 transcript:Et_1A_009300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDCLFRLIVLVHLNVVCIGFTGYLLYSLVRCVQKPYHRTSDVVALSFGLAIVVAASTFLYPILYGPGGLFPWNALGRLPSSA >Et_7B_054945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5931614:5935062:1 gene:Et_7B_054945 transcript:Et_7B_054945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGLEEAEVEAVKNFGHHGQPQVTSAARALPMAAPKPDDASMSSGHRSSRSPSSSTNTSTIARSGGSGSTRPLADYPEGRILETPNLRIFTFGELRAATRNFKPDTVLGEGGFGRKLNPESVQGLQEWQSEVNFLGRLSHPNLVRLLGYCVEDKELLLVYEFMAKGSLENHLFRKGGSFEPISWNLRLHIALGAARGLAFLHSSEKQVIYRDFKASNILLDTHFNAKLSDFGLAKNGPTGGDSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLTGLRAMDPGRPAQQHNLVDWAKPYLADRRRLARLVDPRLEGQYPSKAALQAAQLTLRCLAGDPKSRPSMAEVVASLEEVERITARRESAGRHRSSRSRSGSDGARSSHHPSPSSSQR >Et_3A_026890.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:25168639:25169061:-1 gene:Et_3A_026890 transcript:Et_3A_026890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAEVFESMDSLWFYSSVFLLQPSSKHKQIEASAELKHRQPQDSVETHGMSSESSCQACQAPRCVKEVIVVTTDSRVASRSCRESNGRVDVWLKKQRRRTRVVAVAARCSPASMPPPDDGMAMKAHLKSWAHAVACSVR >Et_1B_013961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25471338:25473165:-1 gene:Et_1B_013961 transcript:Et_1B_013961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARYDVEKGGPYGGGGKYPPPPQPPPEQQREAEREWVPWAVPLVVAANIVLFAVAMYANNCPAHASSRRGACVAGGFLRRFSFQPLSENPLLGPSSATLQKLGALVWDKVVHEHQGWRLLTCIWLHAGVLHLLANMVSLVLIGIRLEQQFGYLRIGVIYIVSGVGGSVASSLFIQNNISVGASGALFGLLGAMLSELFTNWTIYSNKVHVFPNAAALVTLLVVIAINLAIGILPHVDNFAHIGGFLTGFLLGFIFLMRPHYSWMQRYVLPSDVKNTTKKYLIYQWVLLAVASVLVVIGFAVGMGMLFKGVNANDHCTWCHYLSCVPTSRWSCGK >Et_1B_010949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15851753:15857598:1 gene:Et_1B_010949 transcript:Et_1B_010949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVVNGFNYFELVNIQNLAGTGSVGSAWIKGTKTGWIQMSRNWGANWQANAALAGQALSFAVTSTGGQYIQFLNVAPEGWKFGQTFNTNQNFQLLSDKAVFVPVLSSVVRACPSSQILPAMAVMTRKHLVMWAVLAACVAAGAADWSPATATFYGGPDGSGTMGGACGYGNLYDAGYGVYNAALSSVLFSDGASCGHGGVRFAMAGFNYFELVNIKNVAGSGSVAAAWIKGTNTGWIQMSRNWGANWQSLAGLAGQELSFAVTSTGGQYIQFWNIAPAWWGFGQTFNTYQNFEPFVTVKFAENL >Et_8B_059375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17234864:17246157:1 gene:Et_8B_059375 transcript:Et_8B_059375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGVACAVRAVEGFGSGALVKRGGGEAMPDKGERGHGHHHHHQHRKSHQLATAVELEEGELLNGEPDTNGLPERSMPPKKWRKVLVASTAAAEVEPGEIVAAQSMPSRKTWRNGELGRGDSVPEKQRKDQSGKTGRKSSKDEVEPGEITPLDKKQDGKSQRGDDHSRRPSSSSQKGSLRDSDEEPGEIKPDSSSSGSVRKSRAAESQGIKHQADTSDHSGSKIRRKGEGKSLSAGRHFLGRNREASPSIWDRHDRRERSPGILGRFPHDRIRHDRHDRSPGRLDRSPRERARHYDSRDRSPYISPRHRPRQFHYRDNTPSRVDNSPRGRAYHEDFRERSPLRHDRSPSERHRSTDAHEAIKKSRSAKLETSNPEKPQLKSKSAKQSSKTKSGSNGKIEEKISKEKATESIQHTELPPPPPLPPPPPPPPPPLPPVVPPPLPPPPEPELNGVLEEDACMEEDMDICDTPPHPTISPEPIEPTEPISDMGKWFYLDQFGIEQGPSKLVDLKKLVEDGYLLSDHLIKHADSDRWVTVENAASPLVPSDFPSVYSDASAQLVSAPEAPGNLLDEAPEEASNLASGTENDQMEEVSAEYEEDFYIDDRVEALMEGSILVHGQELEILGDFKPADWERWSHPEDFSRYQMHPEGDDRVNRGTELLSNRPADTYGFDSVEKNSFLHHAESSEWFSGRWSCKGGDWKRNEELSQDKPFRKKLVLNEGFPLCQMPKGSHEDPRWQCKDELYYPVRTKKLDLPLWAFSSIEEDTDSVNDASKTVVMPGRPVQIRQPPRGVKGTMLPVVGINARVVKDQSSVEPRTKHRGADRTLSRSSRSHSVHEGLSHSKKHHEHDSQGLHKSKSVPDIPKDHVCTIGELSVSRGDWYYLDGTGHEHGPFSYSELQELVKKGTIIENSSVFRKSDNTWLPVMDLKPDCAVRSVARSSNSTSDHMPSDQYNFRMDHGSDSFHELHPQFVGYTRGKLHELVMKYFKSRELTLAINEVLDPWISAKQPKKEIDTYFSHNSASRSFLSEDGGSAKRAKLLPDQNDEDTHMSDDILASQKEDICFEELCHGAASVDDDFVDCGAENEHWGLLNSHVLARIFHFLRTDVKSLIYSAATCRSWHAAAKYYRSMCRFVDLSSVGPLCSDPVFRGIMAGYEKQNIRTLVLTGCSNLSSLALGRALEQLPHISYVNIQGCSHLGDLKNTFHHVKWICSSLNPEEAYQKMKSVKQTGDGNNPSKLARSLTNQLGGSDELDGYFADISNRENANLSFGQGFYKRSKLLDARKSSAVLSRDAQMRRLMQRQAENSYRKMEEFIINRLREIMKGNRFDFFIPKVAKIEGRLKNGYYARHGFSTIKHDIRSMCQDALRYKDGSDLGDIKQIVVSFIQLAKRLGNPSYISERNGAATQKDSLDISQYSSDAKLKKKQNKSRGANSVAAGADNSSRAFDREIKRSLSKLKKRDVDSGSETSDDDDRYSEGDETESETTVSDTESDFDVNSGAWDLKGNGLKLPELGESVTDDRILGARMTKASLVPPVTRKYEVIEEYLVVADEQEVKRKMKVALPDDYSEKLLSQKNGTENLELPEVKDYQPRKVPGNEILEQEVYGIDPYTHNLLFDLMPADLDWSVADKHVFIEELLLNTLNKQVRDFTGTGNTPMVYPLKPVIEDIQKSSEESGDRRISKMCLGMLRAMKNRPEHNCGLGVVCNKKGGFGADDFVVEFFGEVYPSWRWYEKQDGIKHIQNNSQDQAPEFYNIMLERPKGDRDGYDLVFVDAMHKANYASRICHSCNPNCEAKVTAVDGKYQIGIYTLRPIAEGEEITFDYNSVTESKEEHEASVCLCGSQICRGSYLNFSGEGAFEKVLMEFHGVLDRHSLLLQACEANCVSQQDLIDLGRAGLGTCLLAGLPGWLVAYTAHLVRFIYLERQKLPDEILKHNVEEKRQFLIDINMESEKNDAEVQAEGVLNSRLQNIVHTLDKVRYVMRCIFGEAKNAPPPLVRLTGKNLVSAIWKGDSSIVAELLQSMEPHVEEEVLSDLKAKIRAHDPSDSEDVEAAIRNSLLWLRDELRTLSCTYKCRHDAAADLIHMYAYTKCFFRVRDYKTVKSPPVHISPLDLGPKYADKLGPDFQEYCKTYPENYCVAQLIYWYSQNAEPESRLTRARKGCMSLPDVSSFYVKSVKSAQDRVYGNRTVRFMLSRMEKQAQRPWPKDRIWVFKSDPRFFGSPMMDAVLNNSPLDKEMVHWLKTRPNVFLG >Et_4B_037354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18857904:18870274:-1 gene:Et_4B_037354 transcript:Et_4B_037354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFTMEEAFVQAPEHRPKPTVVEASGIPVIDLSPLLAGEHDGVDAVVAEVGAACREWGFLVVVGHGVPAETVARAAEAQRAFFALPAERKAAVRRVETSPPGYYEAEHTKNVRDWKEVFDFAPHELPSEAVADGELVLVNRWPDQPPGFREAMEEYGGAMEELAFKLLELIARSLNLRPDRLHGFFKGQTTFVRLNHYPPCPNPDVALGVGRHKDSGALTILYQDDVGGLDVKRRSDGEWVRVNPVPNSFVVNLGDITQVWTNDRYESPEHRASVNSEKERFSIGYFFNPATDTMVEPLEELVSEESPARYNAYNVGDFYNTRKHSNFKKLDAPEHRPKPTVVEASGIPVIDLSPLLAREHDGVDAVAAEVGAASREWGFFVVVGHGVPAVTVARAAAAQRAFFALPAEQKAAVRRSEAEPLGYYESEHTKNVRDWKEVIDLIPRKPPVALADGQLVFDNKWPQDVPGFRESLEEYDAAMEDLAFKLLELIAWSLNLRPDRLHGFFKDQTTFIRLNHYPPCPSPDLALGVGRHKDAGALTILYQDDTGGLDVRRRSDGEWVRVKPVPNSFIINVGDLIQSAEHRVSVNSAKERFSMPYFFNPASYTMVEPLEELPPEHRPVAPVAEAAGVPVIDLSPLAAGAPPGAAVDALAAEVGAACRDWGFFVALGHGVPAETVARAMDAGRAFFALPAERKAAVRRTERAPLGYYDAEHVRNVRDWKEVFDMFPRELPAPAEDGELVFQNKWPDGDLPEFSENLRRKALEEYAAAMDELAFKLLELIARSLNLKPGRLHGFFREQTTYMRMNRYPPCPRPDLVLGLGRHKDSGALAILSQDDVGGLDVRRRSDGEWVRVKPVAGSFVVNVGDIIQVWSNDTYESVEHRASVNSEQERFSIPYFFNPSKDTLIEPLQEMVSDDNPSRYNAYNWGEFFSTRRRSNFRKLDAENIQIAHFRKDGLVVMLRPFFL >Et_2B_022715.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3598028:3599686:-1 gene:Et_2B_022715 transcript:Et_2B_022715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGVGMVAAAVAVVALTWLWAALVHLVWRPYAAARAFARQGVRGPAYRFFVGNNEETKAMRAATSGETLDLRSHDFISRVMPHYRAWTSLYGKVFLSWNGPRPALCAGNYDMVKRILSDRSGLYAKPDPGPDLMALLGMGLVFTEGDDWARHRRVVHPAFAMDKLKAMTGTMASCAAEVIRAWEARAQAAKGDKVTTVEVGQQFTELTADVISHTAFGSSYRQGKEVFLAQRELQFIAFASINNVRVPGSQYAPTKANVRRWQLERKVRGTLMAIIDERVAEAKESRGYGTDLLGLMLEANAAGGDGGKRIMSMDEIIDECKTFFFAGHDTTSHLLTWAMFLLGTNPEWQQRLREEVLQECGGADAPIHGDALNKLKLVIKLPPTITASSYEWYSVDLMTTVSWFAQVTMVLYETLRLYGAVSMIGRVATADSDLCGVKVPKGTILSIPIAMLHRDEEVWGKDAGEFNPLRFRDGVSKAAAHPNALLAFSNGPRSCIGQDFALLEAKATLAMILRRFTFEVAPEYVHAPADFLTLQPLQGLPVVLKVLEP >Et_7B_053834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12647348:12652109:1 gene:Et_7B_053834 transcript:Et_7B_053834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAPLSSLQEEDVEEREPSGEDSAFSAAAVPPRPATHQSQHKYSPLDWSAYFDEERSVAIPGTNDVFNVYTAGSEGPVVFCLHGGGYSGLSFALAASRIKDKARVVAMDLRGHGKSTTSNDLDLSIETLTSDVLAVIRTMYGDLPPAIILVGHSMGGSVAIHVAARKEISNLHGLVVVDVVEGTAMASLVHMQKILANRAQHFPSIEKAIEWSVRGGPLRNIESARVSIPSTLKYNESQGCYIYRTPLEETEKYWKGWYEGLSDKFLSCPVQKILLLAGTDRLDRALTIGQMQGKFQMIVVRHTGHAIQEDVPEEFASHILNFISRNKIGPNGVEKYQACLLSVLSERQIKLE >Et_5B_043757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15453589:15460112:-1 gene:Et_5B_043757 transcript:Et_5B_043757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGVADLKAVVGIAIFAVLVMSSQGHPRTKPLCSDCPSLCNTNCTAIAAANCSSDCGPPPDCDRCKTQVLQDCCQDYCTSSNGTSTISCCPNVCSIGSCACNCDNCNATIQQRCMFPCSIHADDAARCDACKNGVGQHCDGTSSFSCYPNGTSIVICSCGNYNSAIKNSCKHNALDGIGQQCNQSCMSDCKNNCVKKDC >Et_4A_033028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17102270:17102570:-1 gene:Et_4A_033028 transcript:Et_4A_033028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEAEKARVRKKYTCSRCSSSEHITNCDKLDIELPPKKNARLHPRIMLQVQTARSQTAQKVQVPNTQEEKW >Et_7B_054557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:23099340:23099840:-1 gene:Et_7B_054557 transcript:Et_7B_054557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRANHVILTALALLALLSAMPRKVQAHGKNCTTIVLSPGEKCYDQSCDEECYKVFRRHGQCVDTGCGCVFCHPGEETEELS >Et_8A_057922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9378212:9383480:-1 gene:Et_8A_057922 transcript:Et_8A_057922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRELRRLAAVLCRAIKPEHGSLTAVELVFSTERRREAAAARRAMDPAAPRNLKTNVGITLFPVLLCVILVVLQGVINRELDKPKYRCGCACVEPGPGGSCRRTECGVQHSTLDQVGSCPIPSPTRWPALVQVPPPESRAVRIASQPFDGLPDPSCRDAGTCPAAVLVTGGNRSLAESLSGGLFPTLSSPLNFTDPLDALSKTVVGSDTSPWTTQYIEAAFIPGNRLYLMQPRCLANQSSTFSYSAGDAPLQINCVQGFSLWRESASVVNDELFKGYRQQDGGSGGGRTNEFVAGYDFLNTNRNGLEMNIWYNSTYNNNTAYVEIALLRVPRLVNTASNAYIKFLRGSGVEMLLEYVKEMPKVGTKLKFDLSSLLGALFFTWIVELLFPVILTYIVYEKQQKLKIMMKMHGLKDGPYWLISYGYFFALSAVYMILFVIFGSLIGLNFFKENDYSIQFVFYFIYINLQIALAFFVASFFSSVKIATVVGYIYVFGSGLLGAFLLRFFVEDSSFSKGWIVVMEIIPGFSLYRGLYEFGQYAFAGNTMGTDGMKWANLDDPLNGMRAVLIIMVVEWAILFPLAFYMDQVSSIGGGARKNPLFFLKFFKRRALSLQRHSFGRQGSKVVVEMDNPDAAQEREVVEQLLLEPNSNQAIICDSLKKVYHGKDGNPDKLAVRGLSLALPKGQCFGMLGPNGAGKTSFISMMIGLVSPTSGTAYVYGMDIKTSMDDIYTNMGVCPQHDLLWETLTGREHLLFYGRLKNLKGTELMKAVDDSLKSVNLFYGGVGDKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWSVVKEAKKNRAIILTTHSMEEAEVLCDRLGIFVDGGFQCLGNPKELKARYGGTYVFTMTTSSEHEQEVEQLVHRLSPSANRIYHISGTQKFELPKQEVKIADVFHEVESAKSRLSIYAWGLVDTTLEDVFIKVAKGAQDFSVVA >Et_5B_044806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6039415:6046327:1 gene:Et_5B_044806 transcript:Et_5B_044806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGSSADGCTYTTDEALSRVGFGRFQALLLGLLGTGYVAEAMEIMLMSFVGPSVEAEFGVSGGEEGLITSVVFAGMIVGACLGGLGSDRYGRRAGALFTALVSGIPGFLCAFSPNYALLLSLRFVVGIGLGASHVLPTWFLEFVPAQNRGSWIAVFTCFWTIGTVLEALLAWAVMPILGWRWLFALSSLPCFILLVFTGLLPESPRYLCLRGQTSEAMLVLDRIARMNNGSLPPGILSFNATRRAGNNLDASVTTPFLMPYDSTGLHKETSSKSNGFNEFLALWSRDLIISTLLLLLVYTASNFAYYGMVVLTSELSNSSKSCASVGTHLMQPKDSSLYTNVLVTNFAEFPGLLLAGLLVDRVGRKVSLGGLILLCCAFLAPLAIHLEEGLAITFLFCARACSAGSFAVLHAYSPEIYPTSCRNTGVGVTYSVSRVGSMVAPLVTTALLENCHQKEAVLLMNLVLFVTGAACALFPFETKGPGMAGGGSGAEASYTTDEALSRLGFGRFQALLLVFLGTGWVAEAMEVMLLSFVGPSLKAEWGVSGGEEGLITSVVFAGMLLGAWVGGIASDRYGRRAGFLFTALVSGIPGFLCAFSPNYATLLALRFVVGLGLGASHVLPTWFLEFVPAENRGSWIAAFTCSWTIGTILEALLAWAVMPIFGWRWLLALSSLPCFILLIFFGLTPESPRYLCSKGKISEAMLVLERIARINNKVLLPGIVTCDPKRNVDNNHDASVTTLLLTPEDSQGINQDTISKSYPINEFQALWSRDLIRPTLLLWLVHLDVILPIMGC >Et_3B_030507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4403691:4407724:-1 gene:Et_3B_030507 transcript:Et_3B_030507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEELLRCQIQEWYPAFRRHTIPTVIIPLPAAFLRYLAGQRAYPDPDADDNDGPFPFLLPEIASGRQPFAPLHAHHPDPVSQLDRDSDFFFGSGDDDVYDPDAEHPLRPAFPELEAAIDAAIAELGGAALPKLNWSAPKDATFMSAEGTIRCTCFAEVALLLRASDCVAHDLASARPSCEDFVRPGGARQNVQKDRARVEEGARPNANETVVSEGTQPNSQASGIGPSDPSEKDGVVEDATEDEQGSNSETWVYDGFQYYLALRKWYPGLRPESEFRCFVREKRLVAISQRDASAYYPSLPAWSAEVQPKIEDFYEDVIEPQFASNNYTFDVYVRADGRVKLIDFNPWGGYTLPLLFTWEELEEKGADQELEFRVVMQQGAVRPGLMTAVPYDMLDWGEGSGWDVFLRKADEELDRQMNLTDDDSQELEPERITRRSGFITAEADSRPEETLFKHPCSKCDTCA >Et_2B_021853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6020637:6021335:-1 gene:Et_2B_021853 transcript:Et_2B_021853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKIIVPMILTTMIMAFLITSGSSRPVPGDGRGAGKGVVSGEHILQVLQQQYLQMLGAGPSCQTNNPNGMDCSLERQRQLVTDSRGGVPQPRDAVPTEDRCELCEDEEPGVSPPNGTLSGLRGKTAARRPGAMAEGTEGAT >Et_2B_022510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24191180:24192519:1 gene:Et_2B_022510 transcript:Et_2B_022510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQHKVPNTLRSNAYPLERPKVISASNLDLPTYQASVLRIYPKPPTGDFAAFEAGLPSLLSHFFPLAGRIVKNPSSGLPEVHCRNQGAELVVGDAGGVALSSLDFRLAEASLKRILVPYDDDVALSVQLVSFARGGFSVAWSNNNLVLDGCSARWSELARSGTVSGLPISFDRSALRPRDPPSYGAAVAEMFTPFDGRHLVNVLTARESFVERLYYVEALREMPGPRRVRALAAAVVAASYSKRAGGEASCRMGWYVDARWRVASPELRAALRDYVGNVTPYVVTEAAAEAILRKPLAEVAAMVREAITSVDYDERLVDWVEEHKPQVDVVEAATVGLGSPTVSQRVWASFPLDTDFGFGHAALAMPVWESEGLCSGNVVVTAQPGGNGSWLVSADLWPRLVAALEADEMQIFKPLTAEFLGL >Et_9B_066188.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6122497:6124880:-1 gene:Et_9B_066188 transcript:Et_9B_066188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMAALLGLLALASMASMASVAAGRHDYRLALKKSILYFEAQRSGVLPPNQRVTWRESSGLFDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSILEYGKQMAAAGELRNAMDAVKWGTDYFIKAHPEAEVLYGEVGDGDTDHRCWQRPEDMTTSRQAFRVEPQNPGSDLAGETAAAMAAAALVFRGAYPGYANLLLEHSKQARRTFAIAKLSSSSSTMDLFAFADKYRGKYDASITVARNYYGSFSGYGDELLWAAAWLYEATEDGFYLDYLARNGDALGGTGWSINQFGWDVKYPGVQRYRANAEFFVCSCIGKGTANVPRTPGGMMYHQRWNNLQFVTSASFLLTVYADLAAAGGEGSVHCPGGAARSPDILAFVRRQVDYILGDNPRGTSYMVGYGGAFPRQVHHRGASIVSVKKDPSFVGCGEGYAAWYPRPAANPNVLEGAVVGGPDEYDDFADERDNYEQTEAATYNAAPLLGVLARLAGACSGAGFEEYQSHLLLNQNQTSPAAAAAEHHRRRDPAWSPIEIEQNVTETWARRGTTYRRYAVTVTNRSRKTVRELHLGVAELSGRLWGLDKARYGYVPPKWRPALRAGKSLRFVYVQAAPPANVWVTGYKLV >Et_5B_043116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5210409:5210625:1 gene:Et_5B_043116 transcript:Et_5B_043116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSAPSQEAPDPPQPRSGFVVGFFMALCCCCLVDETLM >Et_10A_000061.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21098428:21099535:-1 gene:Et_10A_000061 transcript:Et_10A_000061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SATTIQPSYRLRSPLSSSRTASPLALRQRSRVDEKKEMRRFSSASSPLAALAVLLLICSFQCAAAARPLAAVPLVHGTLFARSCIFARNLFADPTCLASLINVLNFLFFLSFFFLAENGAKDAADGLVLKEGAAANDELSVSDVRKHKPLIQSSQIDLLVRLSVANLSAPGSVLQVMRAEEVEEATPCEEGNDECMQRRLLRDAHLDYIYTQHKGKP >Et_10B_003325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18894301:18897399:1 gene:Et_10B_003325 transcript:Et_10B_003325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DDGRNGIPYSDGQPHQATEVSQGITIQHVELPQDVWQHIYSLLPLRDAARAACVSHRFLRFWRCYSNLTFNQETLAANRQSLRWTEDRGKYVFRKTQQVLENHSGVGLKTLRLNLSTCCKEDINPSVLDGWLQAFVKPGLVELTVMLPDSCANDEYNFPYSILSYDKSGSSATSSVQSLYLASCGFRPIGGPRLLGCSRSLWKVCLRKVGITGEELGSFLSCCFALERLDLCNCDVLTSLKIPRLLQKLSMVRVQMCRALQTIESDAPNLSNFHCEGAQRALLSRFSLGDSLETKELDIDATSMVDVIQYAGIKLPSIAPNLEELVLSTFQEKLKAPPVMFDRFQSLKHLVICLGEAGGFCTGYDFSSLACFLDACPALETFVLRIADGFAWYKKYVIMGNPDQKDSSQAQHQEMPELRHGGLGNLRTATITGFCSAKSLVDLTCHILETASSSLQCLTLDASPGYDRKRSAADRCCPMRVDALRDAEVALAAVRTHVTPKVPAGVELKVLGPCSQCHAMDAKVMEEEESRVPRRFFQRQADGSVALVFELYNQFVWDNDVLRPEW >Et_8A_056762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16482121:16483857:1 gene:Et_8A_056762 transcript:Et_8A_056762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMINLNVAEADKMKKMSMLLVTLLAFVALFSSVAPGSAVPALKTKTFLSPPFVLRPGDVANKWYYDIDFPRGHIALKSFNGEVVDEAGAPVPLHETYLHHWLVAPYYAAKGGRDDVEDLPQPNSGLCKDSLGQYFGLGAETRRTATWVPDPYGIEVGNPPEGYEERWSVNVHAIDTRGAADAPGCTECRYDGGTHCCYDGARCRLKEGFCGDEPMGRKLFMRYTVAWLDWSDAVVPVRIYIFDVTDNALADGSPKPDCKVEYAVEECSSENRAINHCVDVKETKEVVQHGGDIVYAVAHQHRGGIGSSLHGEDGRLLCASAPIYGTGQEVGNEDGYVVGMSTCYPPPGTVTVRNGEALTVVSNYSNERQHTGVMGHFYILVADQVQDKKPSSLCFSLPVSWCAPAWLWSHM >Et_1B_010089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23374295:23374921:1 gene:Et_1B_010089 transcript:Et_1B_010089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PTSACVLVLAVLVAFATLQPSAAIRAQVAAAAPAPAPTRASPGLPRLFPCYNLPPSPPLRDPPECRTPLMKMISCAGYLTNSSVLEPPCECCKGYDAIGNAREGICYCHLVNGDFNQLLPTPMITARMFNLTPACGLYLKMETYAKLCNRTVDTVPPMTLPGPPPSGT >Et_8B_059009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12135560:12151913:-1 gene:Et_8B_059009 transcript:Et_8B_059009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEAVSLDGRKFPSTAPDVPSGRISNSGSQAADASTMEATNSTTDWDATKSDSTVLVNLKGGLQSGGQVTVVGLNAQQSLQYASNFVLVPFLDSHSRDNVGAPKQAIGNKKASVEHNLGHDLPADNKGFSQQSGSSPFGRSSSRPSSSYSNRSHQSCGSQNDMPNEEWKPKSTSITASEATEKVIIVDDLPLAADTIPHSAPMSNSVTKDELLKVDKSFNGLQLSDKQHVIIPDHLQVSESEKYGLSFGSFNASFQKTMGSTDPEGAKRSFLPVSSQLNCIDDEPHFMQGDQNSSSILLEEAESVPHQLPSAKLEECSTSAVRNSSVIPTESDQCRDDSATSGVPPPYSTFELAPHSHGSEIMVAEESKSQACDATLTFDAAKLLWLAHFVFHFYGKYVLLAAPCKSHLIFQLVIVDSHTNLLLMLMNECPHFLLVNLRAFGNVSVLPVQTGQDQEVNNLPVHASSVSALVANPAAGVIHTSIAIPQQSVPVFRQSVGVHLPQFPAANYVPYNQYISPFLFPSPTLHPCLGNATFPQPPSTGAMYPAPGSAGVPPPVKYPLLSFKPGANTGSQASVGVPGAYGTYGSITSIYSSSTTVPSGNPAENDDIASGQFKENSIYIARPQTEGSTLWVPAPGRDISSLQANSFYGYPPQGQQVTFAPQAGHGPFGGFYHQAHTVTGAAVHPLLQPSHTMAGALEIVGTPGGVYQQPQSSMAKAPTTIYCNRD >Et_8A_057595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4902489:4909822:1 gene:Et_8A_057595 transcript:Et_8A_057595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRSDRSVAAAIHVPIFVFHMWQFLLAFSLLTVQESVASTAVSGVEEALNFLDVENDVDLILADYYTDGMKGCDLLAKVKESSKLKHIPVVIYSTEDKPDLIKKCIEGGAKGFFVTPLKFEDIP >Et_7A_050332.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:3542144:3542905:1 gene:Et_7A_050332 transcript:Et_7A_050332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASDLLEEVYAAPLLRVLREQLAVGPHGHVAADVERVPVPVPPEREPRPDPGPHLHRHRHRAAARRRVARHAFSGAGADLHRHALERHGRRGLGPQVRRPAGEVLILHHLGVAVHHRHVEPVEEEGHVVVRVAHGELARERHRPAGRDAGGRERRHVEPRPVRPDGEVDDEDDHADDEEDGQECRAQELRAPRQVRPLRLHRPDAAGEVARCGPCGVRRRRRRRHGGRRRLAGA >Et_9A_061572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13931144:13936161:-1 gene:Et_9A_061572 transcript:Et_9A_061572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDCQKWSTETCGCVMAKKQPFVVAGEKSVQILLLDGANHDFDVPLAKLTAVKFDLMTSADMEKMSSISIIEQSDVTSPKLGLPNSSPLCDTCGSQNTRDCDGKQYRTVSYHVVFVPFSKKKSLVKLLGHFGVTKLAATVHNPYFFNEVVQFLNQICPGCLNPKQNVNMKNDGAKTYPSVIFKALPSPRVLLSKSTLHRSPSVTERSLIVAEAVDRVSNRSKNKGSLEVLPQDYWDFVPSENQTQSNMTKIILSPCQVFHILKKVDPVLIEQFVSRRELLFLSSLPVTPNRHRVVEMGYGLSDGPRLTFDDRTKAYKRMVDINKKADDYRQHPQFSLYFKKTDGETSTDTYGMKWLKDSILSKRSDNAFRSTMVGDPKIRLHEIGIPEDLASTLHVSEHINCYNLDDINLKCNFHLLPRRNYLFGEVGS >Et_9B_066025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2090063:2094584:-1 gene:Et_9B_066025 transcript:Et_9B_066025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLQWIVNKKKREAAFLNRRATLLKKASELSTLCGVQVAAVVYPVPSDAEPAATWPPAPEQVRSILQRFADLPESSRGGLDSEAFLRERIGKVTKKLHNLKVRNHDLEVNLVLNDFSLLRRNNLDGLSPEVATAVMSVLKKRARAIAAAAAEAAPVVADAPLVLAAPMEEADAAVDMDGGEPAEEGFLKDVVQIIMDDGSGNSSVVPTKADVGRVLKEHGLRLFKPHFLT >Et_2B_020795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23753053:23756136:1 gene:Et_2B_020795 transcript:Et_2B_020795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPTVSPYRNAFLGDDVDPEAITDDDDGRREDSLVGGDHGDSSSVDCMHGSFGSSLSLHGVRVDEPSVLLDNCSRPSSPFDILTAQDVLPIEMARSRFLNLIVDHFIGSIQANDKSNKRKQHEVHYEGDPLFALPLMYIANLYETLVSDVNARLASLIGFREKTIGLALEASGGLYRKLTQKFPKKGTCSFRRRELATSRSTRTKFPELVVHEEKRVRFVVINGLEIIERPNSMVMEDADWFKRLTGRGEVAVSSRDFKFYSPRHKFRHSPQPGFDIPGTSIQNQHQSTSKRHIEQLENQPYLHLFHQAEGDTVQQVQHSAPFPPIHQCTCAPHISDNPQHQQQSYLSPHVPCLQTGQVHLGGRMNILPTSPAKFCDECGSPYLRATSKFCSECGTKRLGI >Et_4A_035756.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:5155310:5155501:1 gene:Et_4A_035756 transcript:Et_4A_035756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAIQHDAHAEHPLVLRGSSSFDCDGCGCAGAGQRYRCEACDFDLHELCATASPTARFFFHG >Et_5B_045501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3134584:3136527:-1 gene:Et_5B_045501 transcript:Et_5B_045501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEQSAARPMKRALPLLDAPRAQPAPRPVASNRRTSLESHARRPLAGPLPSPPPPLSWSITSRSSSSSASQRHLPLPPLPPSASTSRLTSSSTGDWPGSSRGPVGAATGGRGCSGKGGARPPVARPATHGSWATRPRLGGPGQCSGRATTAKSNGFLVNIFYEMKPASVEAAEHGAFPPAYRWGHSSGLQAPMRRPACIGWLDRQPTGSVVYVMFGSTGVLYVELTAGLESCGHRFPSVVRMPSLDGTNCSMGKKTTRGDDPDARGPSGGGARSTPPATSLPGSGGGRDGGAGERGMVGWRAGCGRGARRLCREGREIPSEDGARGARCVK >Et_1A_004746.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:36445959:36446270:-1 gene:Et_1A_004746 transcript:Et_1A_004746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GSGGCWSGVGPAYRCAGPPVGGRVPNALRVQLAAGGGGRRGADADVAAGVRPVHRGAAGHGGAPDRGARLGREAEREVRGDLEGGRAGGGRCARSGGVHGARR >Et_10A_001476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3800090:3803046:-1 gene:Et_10A_001476 transcript:Et_10A_001476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRPRRSSPAPGRIRTVPIAVTPEGFWCCPSPAALHKSLKNPHHNHAGNKQPSLPQKQQQHKAPSAPPSKAPSGQTAPSVTDEPAPADKRQNQAAAEAAPAPAPAGVGAQQEQQHKICVGFGQPETSDLTVMLYGKEGIAVRMSVHRDVLCQSSVFFAEKLAGGHGQLAPCVEIHDCEDAEIYVETVGLMYCDEAKHKLLKQSVPRVLRIMKVAELLGFHACVKSCLEYLEAVPWVGEEEDNVLSSIRHLQTKAYGVSPLLKRVTSDNLNSPSDTLGHIMEMVLTSSDDRGRREMKALVLNLLKDSSHCTDGPAEIGSEMLYSSCRGCLDRLQVLFAGASEEDFSVQVTRQITLETDNLLWLVEILVNQRICDDFVALWASRTDIAELHAKLPAASRYTVSCITARLFVGIGRGEMLPSKNTRLLLLQVWLQSLIDDYSWLQCSCRSFDRKIVEEGIGQTILTLPLEDQRSILLSWLGKFLKLGDNCPNLQRAFEVWWRRTFVRPYVNQAEAGYQPKVPVKVLGLQHQDVCYPGSGYARVQRMKLTLASSLARLQSRDNGQVKKQADRQSDSDHEFETYGAWQLA >Et_10B_004196.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18394135:18394391:-1 gene:Et_10B_004196 transcript:Et_10B_004196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >Et_10A_000125.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:7944708:7945007:1 gene:Et_10A_000125 transcript:Et_10A_000125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVILETDAVNLIVAITGSTSSFDRSELGVMFSEIRGKMWMDFTECRVSKCPRSCNSVADCLAAFGMNLGVDDHSLWLDQAPDFVIPLVSGDLPGAGH >Et_10B_003845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6781165:6785458:1 gene:Et_10B_003845 transcript:Et_10B_003845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKDEDLEAKLLADGKSAKPDTSGNTYALVCALLASLTSIVFGYNRGVMSGAQKYVQEDLGVSDGQLEVLIGATSIYSLVGSLAAGWACDRAGRCRTVALAAALFLAGSAVTAAANGYAALMAGQFLAGVGCGFGLVVAPVYIAEIAPAASRGFLSSIPEIAINSGILLSYIADFALAGLPKTLNWRLMIGIGAVPPLFLAASALLVMPETPRWLVLHGRTDEARRVLARTSGGDSADRRLQEIVAAVDEAPKKQNEPGGASPPSTSVWRDILLRPTPAVRRVMLAIAGLQFFQQASGMAAMVLYAPRVFSRAGVTSERAVLGATVLLGVAKTVPVVIPLFLADRLGRRPMLLASAAGMAASLLVLGLSLRAPPAASSWATVTCVAAAAAFMAMFSLGFGPVLWMYGSEILPLRLRAQGTGIGTALNRVMSAAVGSTFISMYKAVGMAGSFYVFAAVSAAAYVFVYAYLPETKGKSLEDMEALFDGTAGRSPQTSPS >Et_3A_026531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9307077:9340664:-1 gene:Et_3A_026531 transcript:Et_3A_026531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPGPPLPAAVSAELDRLEARLGQAADLWARSRLAELGEAAAVRIIRGIAEPPRPVGNLSAYIKWLANNDTMKRNADGVPTAESAACISGPFRASLQEDSISGPFYQDDVEMEVQSPDTEMAFGLSTQARIEPASPVRQVRCRLQCHESPARTDAWTSGIEIESPPGWISLGLQNHSPFSPVASPTAYRGGTGAGCLQYQMPDTPPRDSTPSPVRHITQRVQQMHGPSGRAGVATPPSLAYVNSLRAKASPQMLALGELEFDRFFLIRVYLADKKIEDVIEDPNYIRYLKSLPMDCFESEIWNRFGEKFVSAADRRKNLDWDPSKTKVYHCNIEKKDDSIVTIFKGPYAENTRTHLQKVVGDDNVLIVKFADIDMSGGMNNDNFGIYCTFYNDVAKNGILLGLRRYRFFIYKDGGKEKKQNELSKKEKSKKFSSSVRCYFVRTESGWDSDEPYKLSGCTIDQARKLFMHIHTAPTVAKYLSRFSLILSKTITLDVDFMKVRVAIIDDEPCKDEFGRTVIQHGERLIHTDGTGLISVDLAMKCPTSDAMDSKERQHPTAEHPLLMQFRMFYNGYAVKGTFLVDKRLPPETICIRPSMIKIKPDPNLLGCKSFNSLEIITTSNRPKRALTSRFLIALLHYGGVKAEYFVYLLRKALEDVNKARHTARDLLEVAFNHADLDDSMSARMILSGIQPENEAYLQHQLALMTRQERKGLQQGRIPIDECYYLMGTTDPTGTLKHDQVCIILDHGQVSGKVLVYKHPGLHFGDIHVLTATYIDGLEKIVGNAKYAILFPTSGPRSLADEMANSDFDGDMYWVSRNPQLLEQFTKSKPWVQRSKPEKDNKQKKPQDYDVFELESLLFREFLKARFTPSYMLSKAADCWLALMDRLLTCNISECEQKDLIKNMLDLVDIYYVALDAPKEGHKVNFPEKLMAKLYPHFMEREHCASYHSTSVLGKIYDEVNSQEAETISPIMIVPLECFNEEVVPDECKRRWWCLYQDYLKESSPLCKVDDKTIKNIKFRALYQKYKRILYDAEEFEESPRSRPELFIEACAIYQVVYELAMPRNEISKCGFAWKVAGRALCQLYVLKLSGDTSPPLPAAVSAELERLEARLGQAADHGARRRLAELGEAAAARVVRRIAETRRPVGNLSAYIKWMANKDTMKRNAEGIPTAESAACSPGPFRASHQGLRLFIGPDLQANSVSVSTVVSIVLDSISGPFYQGDDPMEVQSHVAETAFGRSNHARIESVSPVRQVRCRVQSRESPVPTDAGMFGIENQSPFSVVASPTAYCGRTGAGCLEYQMPDTPPRDFTPSPVRDITKRAQEMDGPLGRIRVATPPSVAAMNAESKSEPSNASIGGIGIEEELEDVNYIMHLKLLPMDSFEAEIWNKFSNKYVPATDRRKNIDWDPSKARLYHCNIEKKDDNIVTTFKGPFIENTRTHLQKCLGDENVLIVKFADIPGDMSTTDKFGIYCTYYNPVSESGIILGLRRYRFFIFKDGGKEEKLKEQRKKEKNKHYVSSVRCIFVRTESGLFMHIHNAPTVAKYLSRFALILSKTVTLDVKFPEVNVTIIDDIPCKEKDGRTAVRNEEPLIHTDGTGLISLDLALKCPASVFKGKFSKAHDLQDTVDSKEHRCLIPEHPLLMQMRLFHNGYAVKGTLLVDSRLPEQSIHIRPSMIKINPDQDSSGSESFNSLEVVTTSNRPRRAVTSRFLIALLRYGRVPADYFEELLAKALKDINKSCHTVKDSLEVNLSCIITIAQGQNCWSLLKYFKPSKPWEPRIPPIKSTQKKPQDYDGSQLEGLLFKEFLKCRFTPRY >Et_4B_039214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8866756:8869087:-1 gene:Et_4B_039214 transcript:Et_4B_039214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRRLLCLPAVLLLAAAAFLPPRGGASPATEAEKKVPLELYYESLCPYCSRFIVNHLAGIFKDGIIDVVDLRLVPYGNAHGSTAKVDVALYYESLCPYSARFVVNYLAKVFADGLLDAIDLTLFPYGNARVGPGGKISCQHGEYECLLNTVEACAIDAWPELNKHFQFIYCVEDLVVKRQYRDWESCFAKLGLDPKPVTECYKSEQGHQLELKYANQTDALVPPHRYVPWVVVDGQPLLEDYENFEAYICKAYKGSPPKVCEGLSTLQETVGARNRVSYNSVETNLEPVFSQ >Et_2A_017077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30743404:30744034:-1 gene:Et_2A_017077 transcript:Et_2A_017077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRQALLRGAAVQDIIDHLLGSCPQTARSGAPRACLAELLGAPRVPEEAATCLPPRAPESCGVSRSATPAAAAERPSRPRRSSRSYAEKLFGAFFVADTSAATGERTMTQLDRHPDVLAKALDKVRRVVSDDDRQGHGPRIRSPPAPPLPEAACSSDAGAARDHRGMHMQGRRRSGADQVDAAGPPRARLGDRQGPRPGRGE >Et_5A_040249.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:5080324:5081043:-1 gene:Et_5A_040249 transcript:Et_5A_040249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVMKSLLGRLLMMLLMLLRLAGTGSRIVPWRSSAEAALSKAILLGKPAGHKAWDGTGAGVGAGVGAGAWAWAEAAGMRPMARSRSAGEAMDLDAIVAS >Et_3A_024311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19369553:19380405:-1 gene:Et_3A_024311 transcript:Et_3A_024311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVPYHHLKRHEEILDCLPAHGVMRRATLSALPVGRLRGQDRISALPDDLRRDIVSRLPVTEAARTTALSRHWRPIWRSVPLSLYDGHLLPSRSIWRADVIDRVLTGHPGPFRAVHLKNCFFDSRAPALERWSSLLAARGVEDLVLIRLLPHEKVVDGDEPLRLPAAILRCANLRRLYIGFWTFPDTSDLPDGAGVFPHLEELGILDTRVESRDLDHMLDSSSALKKLALSGYQLPEHVHLRGQSLQCVLFWRSGRVDTKASPSSMIPSVKILALKVDFSGLTEVQMMASFLRCFPNIETLHVECRYHRDVPISGKQDVKFHENISPTECVQSQIKKVVLHNFRGLQTEMDFLKYLSQRANEMQQLTLVLPHLPDETSVDEIKGVLGDLVIPPWASEACTLLLVGPPVMPGPSTEHLIFLSTIRFFQMMGRSSSLVMRSLPDRAFPRAGTLSAALLRIVASRLPVKDAGRITALSPSWRRVWRSMPLVLDDVHLIRNMDIDGCVAAVDHVLAAHPGPFRSVRLAYCFFGALNHGRKLARWPRLLAAGGVQDLPPAANRHAPPRLPADILRCTELRRLYLGFWEFPDTRNLPDGAGVFPHLREFAILNTRIKDRDLDHMLASSPALEKLALVFSYGLPEHVRLRGQNLKCVLFWHSMAVELSVVDTPRLERLLMWNSYAGSENNESHMRVRIACAPQLKVLGFLDTRVHQLQIGNTVIQAHTEVSSNLMVPSVKIAALKMLTSFLRCFPNVETLHVEFATPDKPTGKNCAIFLAKLCPIECVHTRIKNVVLHEFRGDASEVVFLQYLTHRAHMLQKLTVVLSNGILLSVDDMKTVLKKIAIPPWASKACMVSLVGPKYAWNFHRALDLSTDDPFDSEHGQEFFRFMKEGEQKAVRLIVLGCLPFRTITTTGTLSAAAGEDLDSEDRISALPDELLRNIVSHLPIKDAVRTTALSPRWRRIWHSVPLVLYDAHLFPAPGPVRADAIDRVLSGHPGPLRTVHLTYCFFGAHDDDDDVLDKWARLLATGGVQDLVFVNQPPPVDMPLPVDILRCTELRRLYLGFWSFPDTADLPDGAGVFPHLQELVLLNTLIDDCLLDHMLASSPALEMLALVASYGLPKRVRLYGRNIQCMIFWMSMALELAVADAPCLKRLIMCLTCPPSGFDESDDESRMWVRIDSAPQLRVLGYLDPGVHKLQIGNTVVEADTNASPSSIVPSVKILALKVHLSVFTDVQMLASFLRCFPNIDTLHVESSMDNETTEKHYVEFFESLSPILCVRSNIKKVVTQRARGLEKLVLVLAEEIFVSAGQDLLSVLGIPPWASKACTVVEHRWNLQFHRASNLSVEDPFVSAR >Et_9A_063187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14735971:14738284:1 gene:Et_9A_063187 transcript:Et_9A_063187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYQNSGQEADQKSFTDEASSAQLDVIDKVLLTEWFAENYRQFEGAVVEELVKKSVLGLRRAKPVMGVH >Et_4B_038890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5708031:5711730:1 gene:Et_4B_038890 transcript:Et_4B_038890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGATTTAATLLLLPCLLLLLRAADAGNGSCARSCGPLTVQYPFGFSAGCKIQLGCDDAGAEAWVGAKRELGLVVRNVTARALVVELRPDCDRRLSASLDALFSGSYAPTTRNALVVSSCAPAAPALANCSIPPRNYLGNSSHCSSAAADSIRCVPPPQPNSNSTSVQQQRYFLNKTETLELGSECGLVSAVSYSPSPEPAFMLGVLDVEWWVPGPCRCSDHANCTAVTTPTKQQAFRCDCLPGFEGDGFADGGGCRRVPKCDTSKYLSGECGKPVQIALLMAGIIFGALVTGVTCLVYHLLKRRSASLRTKRSTKRLLSEASCAVPLYSYREIERATDGFSEEQRLGTGAYGTVYAGRLSDGRLVAVKRIKQQQRDNDAAGLERVMNEVKLVSCVSHRNLVRLLGCCIEQGTQILVYEFMPNGTLAEHLQRERGPAAMPWTVRLRIAAETAKAIAYLHSGVHPPIYHRDIKSSNILLDHEYNSKVADFGLSRMGKVSLSSSVDCASHISTAPQGTPGYVDPQYHQNFHLSDRSDVYSFGVVLVEIITAMKAVDFARVPSEINLAQLAVDRIGRGCVDDIVDPCLDPHRDAWTLTSIHKVAELAFRCLAYHSEMRPSMAEVADELEQIQLSGWAPSADDAAFMSTTSSICSSAPSTRATDRSWGTGRNRTEAAAPAVNSAVVQETTKGAVESPVSVQERWFSDRSSPSSNSLLGNSSLH >Et_1A_005038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22976554:22976997:1 gene:Et_1A_005038 transcript:Et_1A_005038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGLAAAVASAAAVAAASSAELLACDCDATAASAVGRCDEFLSRQRHDDDEVRVLRGSASRENSRRGSSGDRFAPRFDGLRFIETLVTAHR >Et_3B_031609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:674620:679142:-1 gene:Et_3B_031609 transcript:Et_3B_031609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACRSFIARASRFTGPLIPCATGSPLRYFHQGSVGQNKFTYLVMEGAQGASNNVAKHMKRKSPVQRWRPVSTEATSRKEEQVIESSITASESLASDGTTNIVIEVSTNDFSSSENNKSLESSSTKVLIEDNVEVSSFNKGLDEPDISEKYSSSIEVDAPLMRFVKGKGGSMQKQIEEDTGVTIIFPSSKEETSVVLEGKSAESVRKASEKIANVLEEAVQSRMLDYSHFISLPLAIHSDLVDKLYHFQRSILGASSNEDSDKDETLSEGSVDEMDHDHKQAGSSSVSIKLQVQEEESVRVKIDTKGFGIDKSIFIKPKTFHLTVLMLKLWNKDRIAKASDVLQSISSEVNEALGNRPISIQLRGLTCMKGSPAKARVVYAPVLEIGGEGRLAQIIIDAFVKSGLVLERDAKQELKLHATIMNVRHRKSKKRNRWNDSFDARDIFRKFGKEEWGEYHIPEVHLSQRFKFDESGYYHCCSSIPLPAEVQKE >Et_9A_063475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3658566:3665415:1 gene:Et_9A_063475 transcript:Et_9A_063475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTSCVIVITEDECIAKHIAHDVVPVNPLPADSEARSDDESESEDGDVETDVLHGRCVEEDELLKVVLDKNSLCVAVWGPPGVGKTALVKAVYDHVQRQDSGFRQQAWAKIPDPLVNVVGVSRDIISGMTKNGLNRRSETDDLEACRDLLEDSPGRTLVVFDGVRSKQDWDRINADVTCAMQAESCVVVITDDESVAAHCAGRSEDHAKIRNDVTDGCRSLSTKCGGLPKFIVTIAGHLANQSGPAQRNKEMRLLNDNFTQQLQNNPKLIA >Et_7B_055349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9626998:9630390:1 gene:Et_7B_055349 transcript:Et_7B_055349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQHGGGKPADIGVEAGSSMESQGAASPGAMSQEDCVAGIRSALKHPTVRFLRERMEKAGCQVWPRLIQAATCTSAGGYASREGVKVCCNHMRFQDEINQVIIHELIHAYDDCVAKNLDWKNCAHHACSEIRANHLSGDCHYKRELLRGFMKIRGHEQDCVKRRALMSVKNNPYCSEAAAKDAIEAASLDDHYEIDPQMFVLTEDNSIK >Et_7B_054746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:470977:476665:-1 gene:Et_7B_054746 transcript:Et_7B_054746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSKATACCCRTPSNGVINDNTETVTEEQGEAYELPVFQEFSFEQLRLATSGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRSAWPDSRQFLSQAMKWPMRLRVVLYLAEALEYCTGRGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGTLLLDVLSGKHIPPSHALDLIRDRNFNMLTDSCLEGQFSNEEGTELVRLASRCLHYEPRERPNVRSVVQALTPLQKDVETPSYELMCIPRGGAPSLQSLSLSPLAEACSRKDLTAIHEILEKTGYKDDEGTANELSFQMWTNQMQDTLNSKKKGDNAFRQKDFTTAIDSYSQFIEVGTMVSPTIYARRCLSYLMNDMPQQALSDAMQALVISPTWSTAFYLQAAALQTLGMENEAQEALKDGCSHEQSSSSGH >Et_3A_023022.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:18558409:18559287:1 gene:Et_3A_023022 transcript:Et_3A_023022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVDWTALPADALRCIAGRISDPVDFISFRFVCTQWHEDVSRSDHGRFGPWIVEKAEEDDDSGNVLFYSVASGNYHMIHVEALEGKRVAGYGAGLLLGIDTDDALSAVLVNPLTGDSTALPRLPDCFLGTYTYGFVTDPKMITAGEEEEDVFVLVYNWPTVHARSNVALWRRGAGAGGWATIRSERFWMDMPRHRDRLVAHGPQLLQQFELQAAATANGHAPGAMAWVPGMEAVHVIEHQGQVRKLARQEQLVVNNVRGQQGPAPRANFVLRDVVGGADVAWADAPELGDK >Et_3A_025159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27447896:27451140:1 gene:Et_3A_025159 transcript:Et_3A_025159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKIYVVYYSTYGHVGKLAEEIKKGASSVEGVEAKIWQVPETLPEEVLGKMGAPPKPDVPIISPQELAEADGILFGFPTRFGMMAAQMKAFFDATGGLWREQSLAGKPAGIFFSTGTQGGGQETTPLTAITQLTHHGMVFVPVGYTFGAKLFGMDQVQGGSPYGAGTFAADGSRWPSEVELEHAFHQGKYFAGIAKKLKGSA >Et_10B_003565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3126782:3130861:-1 gene:Et_10B_003565 transcript:Et_10B_003565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHVGSNKKKSSNGLSRLDSENLSGVSSTGLPLKCAMDPTNLLRLRTVPSDNGLKSVESSSDNLQDMACESPHAGKVKFMCSFGGKILPRPSDGKLRYVGGETRLISIIKGFSWKQFLQKTLKIYNQPHIIKYQLPDEDLDALISLSCEEDLQNMMEEYYNLEKANGSPRLRIFLVSLSECEDAPLDSRSLDSEPEYQFVVAVNNLAQLNRSISGNNLMNQPSHQLDSSSLPYGDSPVCQTSTEIGVKASFGTTLNESSSQFFIAPYTQQAEVESSTTSFPSLSQKRTVKKSRMRVPTDKSTMNQEHVNISEFGDGSNQKAMLPDHQDKKQNDEGISIGVGSQMQHFHIEKQVADFARNESDLIPQSNHDMSTPMEASFCSEVSMRSENALRGSGLHEQTGQVLVMPHAFSDPLLKDLTEVPASNMSLPAGSYIAPSFSQKICQTDELERAISGTRPIFECVKPPHVAQTNEPNYLVSNHIYDQGIVHPATSQPPVCYQQQSMSSNVIQKDHDGGSVVQQHDRSCQENSAGPSFVPWSNIVDTKLNYHAHGARLSSNELDALESSVPTAMHATDHSLSYLLNGSQADNSNDGLHKEKLNAGLAVTDYGATGYVNGNGKVAPEPQISMSNRASDVYQKGNFDQSSAHNSSLAASQHVGPSDANLSTSLYGNGAHSLPSSQNPVPGDVLRREDSLLDQTNVSCTGVNGFDHTTKYGKTKLAHGMCDNNIQMDVLVVEDVTGNVPSDIPSSKPVVPQVEVAVEEQQEVIVSSQTDDDARSNEPEDHDGAADRTISDAAIAELEASMYGLQIIRNGDLEELRELGAGTFGTVYYGKWRGTDVAIKRIKKSCFAGRSSEQEKLTKDFWREAQILSKLHHPNVVAFYGVVPDGTGGTLSTVTEFMVNGSLRNVLLRKDRMLDRRKKLIIAMDAAFGMEYLHSKSIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSEKVDVFSFGIVLWEILTGEEPYSNMHCGAIIGGIVNNTLRPPIPENCDPEWRKLMEQCWSANPDVRPSFTEVTDRLRAMPAVLQPRGPAQGNK >Et_4B_039193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8659820:8667131:1 gene:Et_4B_039193 transcript:Et_4B_039193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPRCRNNGGAVLAAVALACSVVVIHASALGVGLARRHRRDATAGGGLAGCDVFSGSWVRDDDGGAAAAASYTGYNCPVIDAEFNCQLYGRPDSEYLRYRWKPAGCELPRFDGADFLTRMKGKTVMFVGDSLGRNQWESLICLLHAAAPQSPAQLVSGDPLYTYKFLEYGVTVSFYRAPYLVDIDVVQGRRVLMLDDISENAEAWRDADVLSFNSGHWWTHTGATMQGWDYMGESGRYYDDMDRTVAFQRGLTTWANWVDLNVDAAKTRVFFQSMSPTHYSSKEWPNPVSKNCYGETAPVTGLNSTAGQQVSGQDQVIQGVLRGMKSPVRLLDISALSALRKDAHPSPLVPPRPPRHLEPALLRLALLQIIPGMKRVKGRAPLSVVVAIIGGLALAGIIFTEDLRSITEIMEKKEKEREKKRTSLPARTRTPTLMAVTEEAPPPPAKKKMAFDPSRCSVTDGYWAYNRSKKLLYTDETCPYIDRQDSCQRNGRPDSDYLYWDWHLDDCGLPRFDPSRVLEQLRGKRMLFVGDSLLLGQWLSFVCLVNSVVPYTARSMERSSSLNVYTATEYNATIEFYWAPFLVESNSDRNIRLGADGRVLHVDAIEAHARRWEGAHVLVFDSYVWWMTGSRIKTVWGAFSDDGYEELDAWVAFRLGLKTWANWVDSHIDPNTTRVFFMSISTTHMRSEDWGRAGGGVRCYNETRPVTRKGYWGSGSDRRMMAVMGDVLARMRRVPVALLNITQLTEHRVDAHVSVYTEAGGELLTDAQRAEPDKHADCIHWCVPGVPDAWNQILYAHLFL >Et_1B_013630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10043395:10046835:-1 gene:Et_1B_013630 transcript:Et_1B_013630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGFLGLGIMGKAMATNLLRNGFRVTVWNRTLAKVGAVNQPSPAGGRLSCFTRIDPRPSPPIRTLDAFIPGLANRVATLRSSCQELVALGATVGETPASVVGKCNYTIAMLSDPAAALSVVFDKNGVLEQIGSGKGYVDMSTVDAATSSKISEAVKQKGGTFLEAPVSGSKKPAEDGQLVILAAGDKALYDDMVPAFDVLGKKSFFLGEIGNGAKMKLVVNMIMGSMMNCLSEGLCLADKSGLSPQTLLDVLDLGAIANPMFKLKGPAMVQGSYNPAFPLKHQQKDMRLALALGDENAVAMPVSAAANEAFKKARSLGLGDSDFSAVYEVVKGAGGSGQA >Et_1B_012071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28686706:28688432:1 gene:Et_1B_012071 transcript:Et_1B_012071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFPATAGRGVVACSAAAPVPRRSLLLSTAAAGGDWWAVNSRATLHSDPLRLARSASGAGALRVSAQAATFAGKDDALAWAKKDNRRLLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEEKYSNAFLGYGPEDSHFAVELTYNYGVDKYDIGTGFGHFGIATDDVAKTVELIRAKGGKVTREPGPVKGGNTVIAFVEDPDGYKFEILERPGTPEPLCQVMLRVGDLDRAISFYEKACGMELLRKRDNPEYKYTVAMMGYGPEDKNAVLELTYNYGVTEYDKGNAYGQIAIGTDDVYKTAEVVKLFGGQVVREPGPLPGIGTKITSILDPDGWKSVFVDNIDFTKELE >Et_2B_022546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25817660:25831942:-1 gene:Et_2B_022546 transcript:Et_2B_022546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMSSTYPHHWLSFSLSNNYQHGLLEAFSNSSSAPQLGEEGAVEETPKMEDFLGGVGGTGAPPPGPTEDQLGCGGELGSIAAGFLRQHPANGTPENPGAVTIAMGTDVAESDQARRPAETFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGPTTTTNFPVSNYEKELEEMKSMTRQEFIASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVDSILNSDLPVGGGAASRASKFPSDSLSPADAEASKGSTAET >Et_10A_001344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23598602:23600054:1 gene:Et_10A_001344 transcript:Et_10A_001344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSKGFVESSMDQMPLDGDADAPHGGEGSSSNSSTVDVEEGGGGKMAGSSSSSVRPYVRSKNPRLRWTPELHHCFLRAVERLGGQDRATPKLVLQLMNVKGLSIGHVKSHLQMYRSKKIDDSGQVIGGSWRDHFHDGGQVYNRDHLSLHHGQTGVRHLLSARLGAWPHWNNFHEQKWLYGHHFLASKQYYSTAEAEMLIRTRDNYVARAPTSVHRGFLFQNGQIMNHQRRKLPQETLPLSDEANHDDPLDLELTLDIRPRREKRKRSGGSWGKEHDNKVDEEAESSTATGLSLSLFLSPHPPRNCVSDSDYRVESVNVDKGKAPAERASTLDLTI >Et_4B_036051.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:17509877:17511136:1 gene:Et_4B_036051 transcript:Et_4B_036051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVSMDGWLALRLSLAGDEVGGVCPCVVGDGVVDVVEEVLDGSLPGDDGLDEESEHGEHGEAAVLDLLDLELGGGVGVIGEAERVEGAAGVDLVEALAERAAADAVALDEAHEHDLDGPDGQDALRVHQVGVAQVVEPALAEDLGPRLEPDGLAELDPVLGQDLREHAAQRAQHRPPRVDHLQLPVPGEGLRVGGEAGGVPAVVAGELAGQVRRRLLGERAKVLGTVGAVPVNHGTSVSYI >Et_4B_038690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:470348:474451:-1 gene:Et_4B_038690 transcript:Et_4B_038690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLTPTQRYAAGALLALALRQAQIHQSVPLGGSNGADAGDEERASSASASSSASSDAELWTHESRGLLRPVFRFLEIDPKAWAGVEETAASPEAKHHIGAFLRIIFEEDGEGSSDTAEQELALAKAIDVMVMSLGNEDKTDIHESQDATTSSSGAVESPEAGSSENLLGVDKLSLDDVPVNNHRKMALLYALLSACVADKPVLQEQEDRKSSPFRKGYDARHRVALRLLATWLDVKWIKMEAIEVMVACSAMAAAKEQEQEQENKSPKSKWEKWKRGGIIGAAALTGGALLAVTGAAGAGLTGSKMARRLGSVKEFEFKPIGDNHNQGRLAVGIFVSGFAFDEDDFLKPWEGWKDNLERYILQWESKHIIAVSTAIQDWLTSRLAMELMKQGAMRTVLSGLLAAFAWPATLLAATDFIDSKWSVAIDRSDKAGKMLAEVLLKGLQGNRPVTLVGFSLGARVIFKCLQELALSSDNEGLVERVVLLGAPVSVKGERWETARKMVAGRFVNVYSRDDWILGVTFRASLLTQGLAGIQAIDVPGVENVDVTELVDGHSSYLSAAQQILEHLEINTYYPVFLPLPAVSK >Et_4A_032925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15718332:15719786:1 gene:Et_4A_032925 transcript:Et_4A_032925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNSPFDCILLDLDDTLYPGNTGIGAALKRNIDEFLVAKLGVTNKNAADMRVELFRSHGSTLAGLIVSCPTRSPSQRSHSTTVRLVFLANRFCDLSPISIGTRQALGYDVHPDEYHSYVHGRLIAADPELARLLQSIPQRRVLFTNPDRAHMKRALERLGVDEDIFDDIVCFETMNQRLFGEERVNGERPVPVILKPAENAIKAGLLAAGTDPRRTLFLDDSERNIAAGKALGLRTALVGKKVRSKDADYAMESIGGLRLVIPEIWGTVDVENKGIRSEPDSSLHPTTIQA >Et_7A_053086.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6360104:6361660:1 gene:Et_7A_053086 transcript:Et_7A_053086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLPRHLLRLATLVMLLAVAASGENSAVPGQFRINCGASASANDADGRTWDGDAAVSKSGPPVGGVAAGASYEDPSLPSSVPYMTARVFASSHTYSFPVTSSRVFLRLFFYPSDYGDRSAADALFGVTAGGVTLLRDFNASQAALALNYAYIVREFSLNVSSGAGRLDVTFTPSQVPDTGSSSAQYYAFVNGIEIVAAPADMFRKPVPTLANGGRPDPMPIRADTAFQTMYRLNVGGLAVNPSNDSADLYRAWDEDSPYILGAAFGVYYDKDSNVSIRYGPDAPPYAAPEGVYASARSMGPTPQVNLNYNLTWVLSVDAGFFYVVRLHFCEIQYRITMVNQRVFDVYINNQTAQNGVDVIDLSGGIGRPVYADYLVVAAPGSVGQTDLWVELHPDVYAKPQYYDAILNGLEVFKLQTYDGDSLAGTNPPLPPSAQRDAGNNVRPKKTRGASAAAWAGAGGGLAAVLVGCLCAWAIYNKRKRKAAAEVVDVRETPDSPPSVILDQTKSPYVFETAR >Et_4B_038930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6047059:6050356:-1 gene:Et_4B_038930 transcript:Et_4B_038930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRAKLVEQLREHQIRSAQSYSAALAVFSPNPHIASRKDLKVAVIYAVLFCFLMVSCYVALYLKWFKLSAIFVFLGILLPVVLKISRHRRLKRKRERRLLLPLSM >Et_4B_040002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7520074:7522306:1 gene:Et_4B_040002 transcript:Et_4B_040002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPFSLDLWDPFEGFFGSGSSSGSLIPSFPRTSSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNILQISGERNKEQEEKTDTWHRVERSSGKFLRRFRLPENAKTEQIRAAMENGVLTVTVPKEEVKKPEVKSIQISVITAIACLEWKVTCEQHAGYPLQSCNLAGLAADACIRKHLVGMR >Et_3B_029492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25388660:25390843:-1 gene:Et_3B_029492 transcript:Et_3B_029492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLDGATAAHEDEEERLHRRVRHPGPGHQLPHRRLHRPTTPPSEDDDADQQQQQQQPQLRWQVHPAGVLENPGRPPVPKFPASWTAPPALARRVSRYVTQRDVMFPLLTVRETLLFSARLRLGAANDTDAHVDAPLDELSLRRVAGTRIKGTLRRRVSIGVEAVHDPVVLILGEPTSGLDSASALQMVMAETRGRTVVLTIHKAGARIVKMFDAVLLLAGGTVDQLRALIAGAGLKPAPEHRRRRHAPPPTHQPTAGGIAQSRAPRRACARCSSCSSCTSSSSWPTRMSELTGDEQEHTHAKKTKTGIFAKTLSSSSSRATWRLTVCMLVAGLALGSIFYDLGEDKAAKRVGLFAFLLHHLPPLLHHGGAAHLPAGARHPRHGDVVGCVPRVLRCVRRAGVLARGAPAHGGGVQLLSAAGCVAHLYTANTVVACFAVAAPDLVVRHWAPAWPPGTRCCAAPGGMPMGKRSSAAESYIVTEGTTRLNVRTG >Et_9A_062765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4400788:4409242:-1 gene:Et_9A_062765 transcript:Et_9A_062765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHYIPRALLMDLEPRVINGIQNSEYRNLYNHENIFLSEHGGGAGNNWASGYHQGEQVVDDIMDMVDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYNKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQVNMIRKTTVLDVMRRLLQTKNIMVSSYARTKEASHAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIDWAPASIQVALSRKSPYVQTTHRVSGLMLANHTSIRHLFSKCLGQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESREIIESLVDEYKACESPDYIKWGMEDSGEANVAAALDSKLVVSYFICRLFAGTSDLTCPRLTVDDMTKTTDAR >Et_4B_039220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8912479:8914353:1 gene:Et_4B_039220 transcript:Et_4B_039220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGVLAAAWKDWGLQALVLLSLVIQVTLVISAEFRRHIGSGVLRALVWSAYMLGDGTAIYMLGHLSVTSRSPEHELLALWAPFLLLHLGGQDKITAYAIEDNRLWLRHLQTLVVQVAAAAYVLYGSSMVGRSRPPLLLPATILMFMVGVVKYGERVWALKRAGSNPSTGNYKTDIGRRTFNQSVPDSLIRRMDRAETLVLNAHMLLDITKDKFKGPLPRLFLCGPMRPESQLRGEQKLYRVAEMQLSLLHDVFYTKAEATHTWHGLCVRVLSSLATAVAFLLFNILLLGNHHRHLKGYSRTGVAVTNVLFLGAVVLETASLLRAMLSGWTCALLVKRGSGGSVVCNCLAHVPLYIRRLVRAARWRRRRSWSRSMGQLNWVKLCVRSRASRWSKVAKRMAFDSGLWILKTKAETKSWIVDSKSRSWNKELDWSLTRSGPSVPVSACVKNLLMKSRKAKPWGREEFESRGLYGDPAWVAESKLDERVLIWHVATEVYLFWHNKDRGRAEAARRG >Et_4B_039248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9122585:9129278:1 gene:Et_4B_039248 transcript:Et_4B_039248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATRAPARRLRFLIDLPEEILSEILLLLPPKYILRCRAVCKDLLRVVSDHSFLVAHHRRQPPRRLFSFLRDVGDRSDDLGLFDYCVESFDLHNHEFQSVVRFTADDYSCLEDDSPVAIHAACDGLLLMSFENLLYLCNPTTRQWVSISRPSLRHDTIMGLYAHGSSSEYRVLYYRRNHGNPLFFISTVNSEIERCIHPGLSLASMKKWMGKRSEDAHLKEPFLFNGNLHWLPCLGRQKNNILVFDTLREIFWWLRVPFKIRLAVSLLEVKGTLAMSNSHMGSSKVDVWFLLDYSNAVWVRNWRIVLPVLDIRRFEDRDWCPHVVSQERDFIIDGMEWQLHYDINGKLLKKFKCNGHMLTITTHILRESLVSHAFFRVQDNRDMHEPPFFRGVFANIFARLPAKSIARFRAASKLWCTRASDSHLLLDHHSRQPALPLLYHNRNVDDKGEEAFLMALYYYCLEAVDLRAVLPHRMLVRFQDEETMKFETLAVHGSCDGLLLLSYLDAHFVCNPATRHLARLPPLPPLKCDIVGFYAHAASREYRVLYHRSDDDDYIEYYVLTVGSQQPARRIELRVSSESVGKALGGLVSSDVSPPVFLHGSLHWPPRPFGKDNILVFDTAAEAFRWMRPPAISEYSKCFLFGTKEGKLALSTWDQNGWMAKLWIMQDYRNEAWAFTYDIQVPVDNRVGEVEPLSVAIVSQYGDMFVEVRGMVLHYDENGILLQTLKSDGPFIRFTGHFLKESLVQHPFLRKHKDDDPEGPPFFKGFFEHICFED >Et_5A_041214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19553183:19560588:-1 gene:Et_5A_041214 transcript:Et_5A_041214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLALLAAAIAALVVLVFVVFALRRWWRRRSRRRLGPEAAAVVPAPVAVQNEDLNMPLLSETRDNHSGQKNSFLANALGESSKIQTNRSSTSPRSHATADSGRIYSSKSYATQGETHVINVEGDTSEDFQLGSTLKRTTPTNLPTPDQKHKRRISGEDSRNGSISKDNVYESILDLEVIAGPSHGISCSRLSSSTSMLPITLGRVPPSDLVLKDSEVSGKHARINWNAKTLKWELVDLGSLNGTFLNSHAIHHPDVGSRHWGEPAELAHGDIITLGTTSKLSVQISLKNPNQRLPAGVGMASDPMVARRSGKELPMEDISFCQLPLKGVGQLGLFGIFDGHGGDAAAKAVSKILPQNVANILNHPETKERVLSCSDASDVLRYAFTLTEAAIDHQYEGCTGTALLVWFDQNKDCFAQCANLGDSACVMSINGKTIDMTEDHRVVSTTERARIARMGKTLKDGEKRLNGLNLARMFGDKFLKEQDSRFSSEPYVSEAIHITKACSAFAIIASDGLWDVINTKRAVQLVVEGKERNTGGSNSADKVANRVLNEARNLRTKDNTSVIFVDFDILRTDPCIAK >Et_10B_004495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9572416:9578029:1 gene:Et_10B_004495 transcript:Et_10B_004495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKIRGALVNPERFIKCLKKFYSHWKEDISDRWGSSNAIFIATPPPSEDIRYRKSLAMSMWFFGHSESNSFVIGHISREKPEAKVLEEWCQKSHGPTLNLFDVSGGISELLAVKDLTEILYVRKAAYLTASVMKKFVVPKLENIIVDEKKVAHSKLMELTEKIILAPTKINVNLKAENVDICYPPIYQSGGKREAPDLLPFLTKSGGTGIGIEFRETCLSLNEKNDLMLTEGMILNVSLGFQNLPTKAADENIKEISLWLADTVLICKEKPEILTAHISKADDEVIYSFDVEKTKSPSDKPALKEEVMRPLKENLRSSSRKPKEDLRKQLQSEILQKRTNEIAIRDDATTGKLFDGPGPLGAMGKMVAYKNASDFPSANRLEIQVDKQNDAILLPIYGIIVPFHPSEREMITLLHFHLYNEIMVGSKKTRDVQFYIEVMDAVDSIGLKRRSAWDPDEIEEEQRERARRNEINRQFQLFVKKLSESPFLVVSLREVDIVCLERVALGQKSFDMDYTRDVLRIEVIPTTSLDMIKDWLNECSLKYYESKLNLSWRKILKVMMDDPDSDKNDRWEFLNPDASDSDSESSETEDEKYEPSDMESGSESDDEDSDSESVVSSDEDEGVKAGFDEDDDGGESWEEMERKAMDADMEMGSESDSEDERRRRREKAKSKSRCANEPPLKGKQKPKSS >Et_10B_002694.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:8468214:8468459:-1 gene:Et_10B_002694 transcript:Et_10B_002694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLSLYVAREPPSTSLATVLALKDRSFTCGSFASRTSSLATPLTHELESNSFTADLRIVRSSLSCYYPQGAQQPTVHIII >Et_8A_057766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:707147:709138:1 gene:Et_8A_057766 transcript:Et_8A_057766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVDLASSSAEDHHEAAPVGGSKSRAVAEPPEESSSMVDGGKQQDGAAASESDRSRRECCVKGVRHLSESGITRLPHSYVLPPSDRPLLMTTDDHLTRVKLPVIDLARLRDPGQRAAVLQTLDAACRDYGFFQVVNHGVGNEVSGGVLDAARRFFELPFADRAKYMTSDVRKTVRYGTSFNQAKDAVLCWRDFLKLVCQPDHHQEVWPPELREAASRYAMANHALFLELMDALLEALGIGSSSSGGNQLLSSSSSSQMMTINLYPACPEPELTLGMPPHSDYGLLTLLLQDRVEGLQIMHRGRWLTVQPVPGSFAVNVGDHLEVYYHPHFFTGAGLYVHIDVVYNCKFIYIHARMQIYSNGRYKSVLHRVRVNSTRPRISVASFHSVPAERTIGPAPELVDGDRNPPRYMDTDFATFLAYIASAEGKHKTFLQSRKLPLPSS >Et_3A_026588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:68105:69397:1 gene:Et_3A_026588 transcript:Et_3A_026588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAQPSPSLLLFSLGLVLLYFASGSTIRLAEGQKTWCVAKPSASNEILALNLNYACSQVSCAVIEKGGPCYYPDNLVSRAAVAMNLYYAANGRHAWNCYFNNSALVVQSDPTRIVIIY >Et_8A_058357.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:5776319:5776723:-1 gene:Et_8A_058357 transcript:Et_8A_058357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTSKSSITKMINNQNQHLVPTSSTGLPNILPTFRSTDDFELPHLSQPFMPLPPVSIPAPAPVLTPLPAMPTTPSFMDIFGGGLFDNQSNDFYGAMNEMDVLLPNDFGVMQQHELVGDHHGGAPVSGATKGGQ >Et_1A_004670.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:29743726:29744088:-1 gene:Et_1A_004670 transcript:Et_1A_004670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQKLSCMHQYTTITMPHHHTELGDKICKNEAHCTDSHYSLAESRTKTSLTMMAGMLRRTTRRTHPMKISSSRRRTDEAGLATSMERLILLYFLYCFRLVTWEGLVDPPVGNLNNGYHV >Et_10B_002726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1083717:1085970:1 gene:Et_10B_002726 transcript:Et_10B_002726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVERGAGGVEVAEVAGAVAAGERAAKRRRVAAAGVRRAAAAGGGGAGVGRRGRRRGVQADAGAVQRLFQACRAVFRGPGTVPAPAEVQLLCAMLDRMKPEDLGLSPDLKFFRTRDAAEGTPMITQTTIYKSPKFSMVIFFFPPNAVIPLHNHPGMTVFSKLLLGSMHIKSYDWVDPHSDPSVSSCSSSSGDQLRLAKLVVDDVFTAPCDTSVLYPTTGGNMHRFTAIAPCAVLDILGPPYSIEEDRDCTYYTDIPYTPCSTADGTSDLSSVEQDQGRLAWLKEIDMPRELKMCSVQYGGPPISYK >Et_7A_051150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1543062:1549780:1 gene:Et_7A_051150 transcript:Et_7A_051150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPFAGGVFLVNIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKLHVNKRVSEPIRILSTGTTARRERRHGTHQGCDAGPAARCVSAKTTRSQGSGPRRRKKAQSPLSEARTRQARQTVQDRAASKGLSRRRICASTSVGRASSAPSDSAPWYSIGGA >Et_7B_053522.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5758628:5758699:-1 gene:Et_7B_053522 transcript:Et_7B_053522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKAATTGGSHGNGGLWGLARA >Et_3B_030983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9670354:9670784:-1 gene:Et_3B_030983 transcript:Et_3B_030983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVSCLAIFVVVICITERRRIVDDPLNFSVLNIVVEVISAYGNVGFSTGYSCGRQVRPDGSCRDAWVGFSGKWSREGKLTLMAVMLYGRLKKFSMHGGQAWKLG >Et_1B_013966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25821686:25822277:-1 gene:Et_1B_013966 transcript:Et_1B_013966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWRAGQEPGHPGEALRATCRYEQEEVGEEERTRCRISVVLEALRRHPPGGDRKGVDLTGTRAMGCLTCLSATGLKSDHA >Et_7A_052454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8237744:8239151:1 gene:Et_7A_052454 transcript:Et_7A_052454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFTHVPPGFRFHPTDEELVDYYLRKKVALKTIDLDVIKDVDLYKIEPWDLQEKCKIGSEEQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYVKNCLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGIPHEEGWVVCRVFRKRLATVQRMAGDSPYWFNDHGGFMAPPELGGSPMQATMHHQQGAVMYPRHPSYPACKVELEYHHLLPQDHLLQLPQLASPNKPCSLTQEQCISRYTEQELQAEQVYMTAGDASGADWRALDKFVASQLIHGDATPKESTSYSNPVQMFQQAEEKEAASDYVSTSASCGGENDLWK >Et_2B_022395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20165095:20170157:1 gene:Et_2B_022395 transcript:Et_2B_022395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKDKMKDFMKKVTSSSSSTFKGPSHVLGSGPSPSSSASSSRPANPTSNPRPAPKQAPPPPRPPTSTEFTPFASLISSSASRRPDANGDASAATVACPSCGDAFPSELSVSEHLDGCLASAGGARARAAAYLAADPPAAAVEVVKRLLGNLLREPGNDKFRRVRLGNPRIKEALADREGGLELLEAVGFRVGDEGGEMFAVMDGTPSEARLGGIRRAVLLLERAHPSAPVQAEAEPKESNHNAVVDQEEVKKTIDRQTRVFFSVPGSAIAENDLPDSFYNLTGEEIRNEAKMRRERLERSRLLIPKSYKEKQALAARQKYKQAVIRVQFPDGVILQGVFLPGEVTGSLYEFVASALKQPGLEFELICPAIPKPRVVPHFPKPGERARTLLEEELVPSALLKFKAKETDSLVFTGLLDDLLAASEPLNAASS >Et_4B_039423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1515834:1518948:1 gene:Et_4B_039423 transcript:Et_4B_039423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTPEVDARSGYCATTRTFRSRRAPVPLPDAPDLDVVTFLASRRHSGVVALIDAGTGRRITFTELWRSVAGAATALAAPPLSLRKGQVALILSPNSVHFPVAALAAMSLGAVLTTANPINTPAEIAKQVADARPVLAFTTRDLLPKLPRAAEGLRVVLLEPGARAPSDDPRIVATIDEISATPPAHPARRRGSITQDDQATLLYSSGTTGPSKGVVATHRNLISMVQIIMNRFRLELSDTTEAFLCTVPMFHVYGLVAFATGLLGCGATVVVLSKFELPEMLRSINEYGVTYLPLVPPILVAMVAHPKPLPLGKLRKVLSGGAPLSKELIEGFREKYPQVEILQGYGLTESTAIGASTDSAEESRRYGTAGLLSPNTEAKIVDPDTGEALPVNRTGELWIRGPYVMKGYFKNTEATQATITPDGWLKTGDLCYIDEDGYLFVVDRLKELIKYKGYQVPPAELEALLLTHPEIADVAVIPFPDREVGQFPMAYVARKKGSNLSEREVMEFVAKQVAPYKKVRKVAFVTDIPKNASGKILRKDLIKLATSKL >Et_9A_061364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10618597:10620821:1 gene:Et_9A_061364 transcript:Et_9A_061364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRRTRSSTLSWSCISSTRSTYKIWKKKHIRHLQPCHHHACARVTLPHHMQWLPNRVELPGKDHSVQKMVLGTQVQLPLDDVEADARHYETTSTSGDLGHHPAKSAPVGVESGCLLVFIIVQIVQQINHDGEVNRACYMPQITFIIATKTISAKVYVVDCSKDTTRVEGTQL >Et_4A_033179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19583753:19607171:1 gene:Et_4A_033179 transcript:Et_4A_033179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRLPPPSSAFAGRSSAEGVAQQQGPRRPRPFDARTHPQMPKSRSDLTLIFDMASSGSRMKKSCDCCKRYLEHLEENKQNMTCFLRCMTANFKHSTIVPNGFLKHFAGKLSGTIKLESPNGSIYDVEIAERYTKMVFRRGWETFINTHHIKEDDFLLFQHIENSPFEVLILDSDGCEKPFPCAGIKNIPSVRERTTEYTDISSSSEHDSTKLSRRQRNARWEKGHPSHSTSSSSEDSGKDFSSENKSPESDDLQMAQGADYVLSRGNSLSEAQKERVLALIQEIKPDITVFVAIMRKSNVQLPNTHLVISKKYAFTHFPHKSMDVTLQRPGNSKKWHPRFYKRNDNRLCMLKGQWLDFVCDNHVQEGDICLFLPIKGRRKFTFMVYLLRTSASGSSRVETGFERTGPRYGRSSTKMASAVHIKEESTEGKNVSMENGMHETSEEYQESDSDSLSEPPYIVALKSCLSRSQKTIVEKRVRAIQSEFPIFVAIMTKSTIGDAINCRIEFGVRSARHLPDRGQTMILHCMRKIWKTKMVILPGRRRWFLRQGWPTFVWDNGLRIGDICLFELKKNERKPTMEKPCDCCKRYLNHLDEKNQNMTCFLRRMTGNFKHNTIMPNRFLKHFTGKLSGTIKLESPNGCLYDVHVIDRYDKMVLGHGWEAFVDVHHINENDSLLFRHITECRFEVSIFDPDDCEKMFRHITKRHRNSQHDTTDSSGSQKSARSDKGRHGKTAGMAAISSSSDASGKGSSSESESLESNDLEIPTGTDYAITKEYAFAHFPHETTNVTLQRPGTSKKWHPKFYKRKDRSVHLLRGKWLDFVRDNNVHEGDICVFLPTKGAEKYTFTVHLLRATSTGCVGGTGLQKVGPDPGRSNNAEMASEDIKEERTDGITAKQQHISSESGMHESSRGSLECEGISAEYASAHFPPKSTNVTLERPGKSKKWHPSYYKHNGVHVPLENDAHGISTGSMEDCGDPSKPPYILSCKNHLSEPEKKIVEYKVRAIQSEVPIYVAVMSKRDVIGSCELEFGRRYAEANLPGTRRSVEIICTGRTWKAQMSVRSNRWFLCGGWSTFVRDNILRIGDICLIIPKMNGRELSMMVRIIYREDL >Et_7B_054946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5941551:5960254:1 gene:Et_7B_054946 transcript:Et_7B_054946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGRRRVLAAAAIALVQVSESTLSGSRRTGAWALGPPAAAVSAAAADGSGICFLVLPISAQQFNGTSRVVPAEGQCSMYGICGQRSDGKVLNCVNATKAVKPDTLFSTRIQSLCPTIMGDVCCTVDQFDTLHQQVQQAIPFLVGCPACLRNFLNLFCEMSCSPNQSLFINVTSVKQVNNTVTVNGIDYYVTTNYGEELYNSCKDVKFGTLNTRAMDFLGGGAKTYKEWFAFIGRQANPNEPGSPYSITFRSDFSGSSGVKPLNSTIYSCGDPSLGCSCGDCPSSSVCAGSLSPQLSTETCSVKMGSLKVKCLDFSLAVVYLALLCAFLLWGLLYRTRGRTGFSQTKPTSDDKSQTNKNGMSPHNSMQVAEATSSTVEAAHPSIVQTYMSTYFRKHGIFVARHPLLVLCVSVLVPVLLCIGFIHFKVETRPEKLWVSSGSQAADEKNYFDSHLAPFYRIEQLVLATSASDGSKAPTIVTDNNMKLLFQIQKKIDDLRANYSGSTVSLGDICLKPLGTDCATQSVLQYFQLDPKTYDDTGIDHAKFCFQHYTSEETCLSTFQSPIDPSTILGGFPGSNFTEASAFVITYPVNNKVETTGQENGKAVAWERAFVKLVKEEIQPLVLAQNLTMSFSSESSIQDELNRESTADAITIVISYIVMFAYISFTLGDRPSRWLSLFVSSKVLLGLSGVVLVMLSVLGSMGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPDESVLEQRISDALVEVGPSITLASLAEVLAFAVSAINPMPATRVFAMFAALAVLMDFLLQVTAFVALIIFDLRRAQDGRIDCVPCVRISSSTAAGDGGDHQRLHLLARYMKALSTRLQPGLEQQIVLPRNSYLQDYFDDLAKYMKVGPPLYFVVKDFNYSSASEHTNQICSINQCNSNSLLNEIARQSLSPDSSYIAKPAASWLDDFLIWMSPEAFGCCRKFVNGSYCPPDDQCFLHSDLHNGRPSTTQFKDKLPWFLDALPSSDCSKGGKGAYSTSLDLSGYESGIIPASAFRTYHTPLNKQSDYVNSMRAARDFSSKMSEDLQMQIFPYSVFYIFFEQYLGVWKTAIMNICVCLGAVFVVCFVVTSSLWASSIILIVLAMIVLDLMGIMAILGIQLNAISVVNLVMSIGIAVEFCVHITHAFMIGIGDREARARQALSTMGASVFSGITLTKLVGVIVLRFAKSEVFVVYYFQMYLALVLIGFLHGLIFLPADAGFAAGFMNPAMNTPSFT >Et_2A_015549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14933668:14951140:-1 gene:Et_2A_015549 transcript:Et_2A_015549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPTVQSNTLYKHAHTIYLSILVATQHTHCYLPASIRSKPLKMFPERLHYCLLLTFVHFCLMAPSSTAAAGNVSAIIAFGDSTVDSGNNNNIPTPIKSNFPPYGRDFDGGVATGRFSNGRLVTDFISESFGLPPLVHAFLDKHRDIDQLAKGSAISLSHQLDLFKLYKEKLKLAKGESLSNDIISRALYYFSIGNNDLVDYFTLPVRRAQYSPPDASAAVREAYYHGARKFVFVSLLPVGCVPGRRAVNHAGPGKCNEKYNNLAMMFNDEIQEAMRKLNGELAGAQVVYTEMYSVVSAILANPSAYGYENSAQGCCGTGTVETALLCGLDGALSCPDASKYVFFDSAHPTEKTYKIEATEMMKTALKGSINLPYRAMAVKLSKNIKICIRWYS >Et_1A_009345.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4076335:4077447:1 gene:Et_1A_009345 transcript:Et_1A_009345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLVWQVAAVVATVLLVTVSPASAFPSGLPPLPAEAPPFPNPWAAFQNLSGCHMGEDRDGLAKLKDYLSRFGYLPPPPSSSPFSDAFDEALESAVATYQRNFGLNATGELDPSTVAQMVAPRCGVADVVNGTSTMGRNASSAAAHGRHLYTLFPGGPMWPPFRRDLKYALTATSETSIDRATLSAVFARAFARWSAATNLRFTETASESDADITIGFHSGAHGDGEPFDGPLGTLAHAFSPTDGRFHLDAAEAWVAAGDVSTSSSAAAVDLESVAVHEIGHLLGLGHSSEPDAIMYPTIRTGTRKVDLASDDVQGIQSLYGSNPNFKGVAPTSPSTSSREMDSSAGAESRPGSGFVGAVVAVGLLLVL >Et_9B_066208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7053699:7054385:-1 gene:Et_9B_066208 transcript:Et_9B_066208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLEKVRLTLEPDSVKWALEKSACSWTLWLTRNDLVFNHKIIPTPISAAYKVLSMMQRWSMLTKEKDRAKINEVETKLKEKIKALQSQSQSIINLPPAGIG >Et_1B_012385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31355850:31359304:-1 gene:Et_1B_012385 transcript:Et_1B_012385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVNVIASATQLVSAMVSAVAALEQAASDFVEAPRRLQVLEDFVSDLDALTQQSRQRHAHKMHGPQLERQFQSLGRLLDQLHANIAKARQVLKKGKGKGFARVVRSSVVGDPLMKCVKLIRDDLNWWLELQELTQSVGDVIAATAKSTPSLVRVTSERGYPVSKKCSYVRELLEKHGAHQVVLIVGLSGIGKSCLARQIASDPPGTFVDGAIEISFGRWCSRAAYNGSRSEYHKRLVRKICKFLVQIGSMTVNEEMGKDLDDVCCLLQTALVGRTMLILLDDVWEQDIVDRFTKLYDNDCRYLVTTRDEAIYEIAEAEKVEITKDDIKEISKEILLYHSLLSVGELPPVAEVLLDRCGHHPLTVAVMGKALRKESRVEKWEKAISNLSAYATCAPGPVSYVNEKDVETTLTVFGSFEFSLEAMPENSKSFFRVLAAISWEEPVPEACLESIWSALVQDSLFSLVVSKLVEGSLIIKLEDEQLYHMHDMVSLYLDNKTNDAVRTLLSESFSEYAALVAPWLFVFGKDCVKGPAEHKMRSFFSLLQFMEIEILLRSTTQALMACRSISDFEASRLGFSKILGPRIAEIISVGSPALIFEVTKAVSVIFYQVDYKNLAQSLETAGSVDRLIDLLGVCEETSTLANLSSVLAKISEHVDATTAAEILSRIPMDRIADLLSPENEQWHEIVFTTLASLTKVGQLKAIETMIESGIDRKLLVLLGTGSEISQHHAIIMLKTFCELGAPLQGCMGPAVLIHLPWQARLSLERFVLFDQNMPPSPKPQQSFEVILHKFLQKDHKVIIEAIQGLLPFAERANDSRVQDLLFGSNLFDRLAFLLQRREAESNQVRSQSAFLVMKLACTGGEPYIRRFLELNIVHELIDMMQCNIDELQDSAYYAMHQIVFAKGGSLVLQRFLQLGTIEKLVNFLDRKSLKTKDLAMQLLVDIVVVGNKPCIERMLCSQVIEKLVALEKTGESFSGAVSRYIQGLNMCKNVQTAERAVMKQHILRKVRSAVRGHELEASLVASVEACIAEGSKGASSSRKKK >Et_2A_016792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28193206:28196577:1 gene:Et_2A_016792 transcript:Et_2A_016792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATALPFAAPGATASSARVAAAGRALRPRRAASVVAKLEGGLGKGVPTTNYVVPLDKATGMTRPLVEILRDLNKRVPDKIIDPDTNTVPWYHANRMLSFYAPGWCGEVRDVIYCNNGTVTVVYRVILKGTDGEAYRDATGTAQVHEGRREDAVAEAEEAAFSKACARFGFGLYLYHQDDTHRDEYSFH >Et_5A_042026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5347449:5349997:-1 gene:Et_5A_042026 transcript:Et_5A_042026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDEWPDLRSGRGALLQRLLDHVSVVIPTRNQPLRLYIMTTRRSVQYSPVPTEERDGGNVTEANDVDLRFSYTPKLQRKFPWKSIMLALFLLLIGVSLLSLSYFIFSHHMEGDDSQAYGLLFLGILAFLPGYFETRVAYYSWRGTPGFSFASIPDY >Et_9A_062313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21817975:21822178:1 gene:Et_9A_062313 transcript:Et_9A_062313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFQRSRSPATPRSSSSSHKAPAPVVAQPPGLSAPGQPDTPRRRSSGSPAPPAGSASKAGAFSRYFPRSSAQVQPARVTTPPEVGDLVRVVEELQERESRLRTELLEHKILKETVAIVPFLETELAAKSSELGRCRDAVSRLEAENARLRAELDAAVARARSGEQRVLEMEREMAELRKRRRREEGPADSSSDECSSSVSSDNSERSNAASGAVAKPPNLAAGLSVIPPPPPPPPPPMPASCKSRSYFSGSSRASPANSSSSSSSSAPSTPTYSSDTAASRSRAPPELSKLPPIPAPPPPPPPPPPPPAMPACCRLSASASSSPSTSSSGSGGAGPPAPPPPPPPAARRSSRASSPATSASPPASAPCVRRVPEVVEFYHSLMRRDSRSRDGTGGGDGGAGGGAAAARDMIGEIENRSSHLLAIKSDVERQGDFIRFLIKEVQGAAFVDIEDVVTFVKWLDVELSRLVDERAVLKHFDWPEGKADALREAAFGYRDVKKIESEASSFCDDPRQPCSSALKKMQALFEKLEHGVYSLVRVRDGAMTRYRGYQIPWEWMQDTGIVSQMKLQSVKLAMKYLRRVSSELEAIQGGPDEEELMLQGVRFAFRVHQFAGGFDGDTMRAFQDLKEKASTFRSQRQSGNQHLHQQRLAGRS >Et_4A_031890.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:26870872:26871213:-1 gene:Et_4A_031890 transcript:Et_4A_031890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPALETEGSVWSWRRLPAPPFESRNVTSYAVHPDGRTLFVSVETDTSSSAATFTFDTADRALEDVVGNAWKPRGAWSLPFAGRGFYHPELDAWPGSGSRETIAAGSARAT >Et_6B_048626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11872936:11876309:1 gene:Et_6B_048626 transcript:Et_6B_048626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVTGAMATLLPKLGDLLTEEYQLQTSVQDDVAFLKSDLESMEAALLKISEAAPIDVQDNLWAREVRELSYHIEDCVDTFVARVDRPPGKLLQGLRGFIDRGLDLLRRAKVRHEVCVEIRDIKRRIMEVSERRVRYKVDDNNVVVKSSGQTVDSLRLSALYAKATELVGTEDRSDELVDMLLMESKRQLRIVSIVGFGGFGKTTLAKVVYEKLKGNFDCGALVSVSHNPSMEKVFRNMLYQLNKDCNINVADLGEALLTDELRKFLRNKRYLYILFFSDTFLQYSSVDTIHKSASIFYFIIVDDVWSCSAWNTIKYALIENECGSRVITTTRILGDAKQANVVYQLKPLSLAESRKLFHHRIFGAEDKCPNQLAQVSDKILKKCGGVPLALITISGMLADTRNEATEKYWYQVYQSMGLGLDESQDVKDMRTMLSVSYYDLPPHLKTCLLYLALFSEDYGIIRQDVIWKWIGEGFIQKKHGKTLYEQGEDYFGDLINRSMIQPLLMDHGSRVWSCCMHDMMLDLITSLSEEENFLRTLSGSQLISKTSKIRRLSLQTSREDDARQLTTMNLSHVRSLIVSEEAFTLLPTLLSFPVIRVLDLSRCSQVDNNHCKNICNLLLLRYLRLSWTSITEIPNEIGNLQLLQILDLDMTNIKALPSTFVQLRKLEFLCIDHQTRLPECFGNLKSLQKLMPNITVRSPIILHELGKLTELRRSNIRFDEWDVSYEELFVQCLSNLVNMEVLQIFDCHNGLGFGLHYLTPGPQKLRSIIIGPNTIYRVPRWMSSLIALSNLDITLLTLGEEDLKLLGSIPSLSHLYIWIKEHGKEREKRLVIDHGYLFLCLKKFRIGPGAMEVEFALGAMTELQTLRLDFHVRHTIDQFGNFNFGLENLSSLELVVVHMNCYNAECEEVEDAEAAIREALDFNPNRPKLELEKKLQRR >Et_9B_064946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:212419:221590:-1 gene:Et_9B_064946 transcript:Et_9B_064946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGRMEESVDDETSSFLKRCEASGDAAYAELKALLARLHDPATRRQARVFLAQLCRRQEMSDLEMFFRRYGFCIRELQLHPVAHFPSEAAAGQDVDAFLAECTASGDAAYAAAKAVLERLHAPATRPAARRLLGAVRRRFAADPAAGEDCFRTYHFRIHDVQLDPHIQGFQQRKKLTMMEIPSIFIPEDWSFTFYEGLNRHQDSIFRDKTVAELGCGNGWISIALAEKWSPSKVYGLDINPRAVKIAWINLYLNALDDDGTPIYDGEGKTLLDRVEFHESDLLSHCRDNSIELDRIVGCIPQILNPNPEAMSKIITENSSEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGIAVIKPSGIMVFNMGGRPGQGVCERLFQRRGFRITKLWQTKIMQAGDTDISALVEIEKNSRHRFEFFMDLVGDQPICARTAWAYMKSGGRISHALSVYSCQLRQPNQVKKIFEFLRDGFHEVSSSLDLSFDDDSVAEEKIPFLAYLASFLKANKSNPCEPPAGCLNFRKLVAGFMKSYHHIPLAPDNVVVFPSRAVAIENALQLFSPALAIVDEHLTRHLPKHWLTSLAIEGGADGNHAEDTVTVIEAPRQSDLLIELIRKLKPQVVVTGMAQFEAITSAAFENLLTATKDVGSRLFLDISEHLELSSLPSSNGVLKYLAGKTLPSHAAILCGLVKNQVYSDLEVAFAISEDASVYKALSQTIELLEGHTSIISQHYYGCLFHELLAFQIADRHPQQERQPAEVIPQKMIGFSDSATSTLKAAEFFIPDSNESSIIHMDLDRSFLPVPSAVNASVFESFVRQNITDSETDVRSSIQQLVKDSYGFPADGCSEIIYGNTSLALFNKLVLCCIQEQGTLLFPLGTNGHYISAAKFVNANTLTIPTKLGSGFKIEPQVLADTLKNVSRPWVYISGPTINPTGFLYSDDDIQDLLSVCAEYGARVVLDTSFSGLEYQTDGWSRWNLERSLTTVNCSKHSFSVVMLGELSFELTAAGHEFGFLILNDSSLIDTFYSFPSLSRPHSTLKYTFKRLLGLKNQKDQHFADLLVEQKEELKNRANHLIKTLESCGWDVASGCGGISMLAKPSAYIGKPFKVEGFEGKLDGCNIREAILRATGLCINSSLWTGIPDYCRFSFAMESGEFESAVSCITRFKELVLGCNAQSQINGN >Et_2B_021533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30338582:30346407:-1 gene:Et_2B_021533 transcript:Et_2B_021533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAFDAYFRAADLDRDGRISGQEAVAFFKGSGLPQPVLAQIWTYADKNRTGFLAREDFHNSLKLVTVAQSGRELTPDIVRSALYGPAAAKIPAPRINISAAAPQNGALASPSNAIQTLGSAQPTPATKGSQGLPAASPNSQVLQPGNVVRPPQPPNASTAPPVQGIAPRPPVGGGPSVLNHTNSNTANLSTDWFSSKRSASPLGATSQAPPRGISPQASLSSVGVSAQSPTPIAGYNPHTQPAATPANVNSADRNMLSSQPSPSVNDSKALVPLGNGLPFNSTFGADPFSTTPQANQDSSLPPVLSNILPSPTPPGPAAGSLQPPRPAQGGSMQGISSVPSHTGQLPQSQPAPRQHQVNDVPSSLGSVSANGTGGQIPSNTNHSQVPWPKITQADVRKYMIVFIKVDRDRDGKITGEEARNLFLSWRLPRDILRKVWDLSDQDKDGMLSFREFCTAVFLMERHREHRPLPDVLPDNIWAEGTSLPSTGQFAENPSGPAPHPGPGFGSRGMQGPQHGMLPSSMKPPSRRPLSIDADDAVQAEQQKPKIPVLEKHLIGQLSKEEQDALEAKFKEASEADKKVQELEKEIMDSREKTEFYRTKMQELILYKSRCDNRFNEVSESMAADKREVQSLAAKYDERCKKVGDVASKLTMDEATFREIQEKKLEIYNAIVKLQKGDEEDEKLQERANKIQSDLEELVKSLNEQCKRYGLRAKPTTLVELPFGWQPGIQETATAWDEEWDRFGDEGFSIIKELTVEVEPPIVQKSHSTVEDGKVSTNGASADKEDTKSDESAAAEQAGKPEATLTDNKPKSTKSPPVSPVKNKEDGYTDEPDKKQPGTNDVSPRATESIRYTFGSLLCMMNTRLLLEHHSFTDGENGDSDLFFGPQGLPPIRTGGSSSGSSFVKEQKPLFDSVPGTPMEKPFLDSVPGTPMEKPFFDSVPGTPVQKSVFDYSVPGTPVQKSVFDYSVPSTPMQKSSFDYSVPSTPMQNSLFDSIPGTPVQRSVFDSVPSTPMQKPFFDSFPSTPMQKSLFDSGPSAAESPTASSMYGKEQRGFFDSSVPSTPMYNSGFTPRYSEAGDDSFDTMSQYGSFGMNENNSFGQRDSFSRFDSFRSNADPGGNDTFARFDSFRSTADQGGDSGFMRYDSMKSSSDHDRSDAFARFDSMKSSDYNSRGYSFDDDDPFGTGPFKSTETSSPTRHGTNTWSAF >Et_10B_003417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19657314:19665083:-1 gene:Et_10B_003417 transcript:Et_10B_003417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASGAGRSGLVLVLLLALAGVSSAQLCTSFYSSSCPGVYDAVKSVMQSAIATERRMGASILRLFFHDCFVQGCDASLLLDDTPTFQGEKMATPNNGSVRGFEVIDAIKAAVEKVCPGVVSCADILAIAARDSVVILGGPSWDVKVGRRDSTTASFNGANNNIPPPTSGLANLTSLFAAQGLSQKDMVALSGSHTIGLARCTSFRAHIYNDTNIDRSLSGTRQSVCPRTSGSGSSDNNLAPLDLQTPTLFGNNYYENLVRKKGLLHTDQELFNGGATDALVQSYVTSKSAFFEDFVTGMIKMGDIMPLTGSNGQIRKNCRRLN >Et_6B_048861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14713124:14718066:1 gene:Et_6B_048861 transcript:Et_6B_048861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITTSVVLQHHVHGSLRASTPSVAMKQRFCLCSGMGRKRLSTLRAVMTRPQEAVVAPPPPVRKRIAAPPPPLRETPTTATDTSTTTVYHDNWFDKLAIGYLSRNLQEASGMKSRKEGFEGLIEAAITINAMFRVDKQWEIIKVMMPPSKFSREYFAAFTTIFFPWLVGPCEVRESEVDGRKEKNVVYVPKCRFLESTNCAGMCTNLCKIPSQKFIQDSFGMPVYMSPNFEDMSCEMIFGQEPPEDDPALKQPCFATKCIAKQNHHVNCSI >Et_2A_017827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6828632:6832300:-1 gene:Et_2A_017827 transcript:Et_2A_017827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLDLAPAVLPLLALAALCALTPARGGDDYTAFVYAGCSQGRYDPGSQYAAEVDTALATLVNSAGYTAYNNYTSPPSSAGTGLAAVYQCRSDLPAAVCGACVKSAASKLDQLCNAAAGAAVQLRACFARYGNDSFLGKQDTTVLFKKCGGESAADTGVVANRDAALGALVAAAAPSSGDGSYRAGAAGYVQAMSQCVGDLGAKMCTDCVSAASAQLKAGCGYASAGEVYLGKCYARFWSNAAGAGNGVPAVGGGAGNGASNGVAGGGGVGTGNGYAYGGFVPNTYTTHDESGKTLAIIIGLVAAVAIVIVFLSFVRRAGGVGVANYKRRNQ >Et_2A_014586.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:25473066:25474531:-1 gene:Et_2A_014586 transcript:Et_2A_014586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRYAHLLLTITILLLLCRLTRATLPPSPAPSPSSNNATVPSPPPSPPAPPKLPRGLPRLIPAWSLPVNPFTAKAAFIRYWNRKVRSTRPHPAFFFAKLSPLSAPDAAAFSTLASAGKLASRIRDFCAAASLLCPSTPSASWSASSSTNVEGAAAAGASGGGAAPFKDYKNGNFSSYGNSGGGGADQFALYSRGKSNPVDSFRRYGKGSLGRNDSFTSYEAENNIGTSSFTSYTTGATGGAGEFAAYAGQTNTVASTFAAYDAAGNGRSREFAAYAQDANSGVETFTSYGKGANGGAGESFKAYGNHTNTVASGFINYGEKANGFNDTFASYGLDGNAPENTFRSYASGSNAGADEFRAYRDNANVGDDSFTSYATNANGAAADFDSYGKSVNPGSVAFKGYGQGVNPNHKIGFAHYAGDNTSFKAYSNEGVEFKEYQNMSKMEVSKTAVDVSDHRHRLPKSWSPEPGKFFRERD >Et_9B_065923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17848617:17850218:1 gene:Et_9B_065923 transcript:Et_9B_065923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPGMDGKNFGNGQKAQQDSRDALTDSVPSKDLETMKLYSDSDAGKDSPVNGHESADVNMEAAISTEDVVRAGGFGARDDIGSLLPTAIDSTDFEASIRDARNFEGEREEPSHPGLGWKGKEADDGNKASDMSQ >Et_10A_001511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4426348:4430302:1 gene:Et_10A_001511 transcript:Et_10A_001511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDVFQTEGNSIRFVTTDLATDIVITVGDVKFYLHKFPLLSKSSRLQTLVASTNEESNDEIDISGIPGGPAAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLSASIFRTWKDSIIVLQSTKSLQAWTENLKVINHCVDSIASKASIDPSEVDWSYTYNRRKLPSENGLDSHWNGVRKQVTVPRDWWVEDLCDLETCLYKKVILAIKAKGRTSSEVIGEALRAYACRRLFSSLDNVVNNGLDCTKHCAALETIISLLPAERGSVSCGLLLKLLRAACLLGSGDTYHDDLVKRIGVELDRASASDLLIPASSSDDGMYNVDMISAMLEEFLVQHADGSEPKLQEDDESLDAAENMITSKLAAVAKLIDGCLSEIAKDPGLPVAKFIELAESVPAASRQVHDALYRAIDMYLKEHPGLSKSEKKRLCALMDCKKLTADASAHAVQNERLPLRVVVQVLFFEQLRQSSATAASATPPRSILLPREDGTSCGSSMSAATTATTEDEQWAGVAPTSTSGGGDGDASSLRSVSVAGSKKKPKGGKVAPAPARRVLGKLWSGKGNSGENSGSDTSESPAGSVNLEETKSTPLRITRHSVS >Et_4A_032267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27369839:27371281:1 gene:Et_4A_032267 transcript:Et_4A_032267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPDIQVVVVDISKPRIEAWNSDTLPIYEPGLDEVVKQCRGKNLFFSTDVEKYVAESDIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGRKAVQTLKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVAGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAQISIYDPQVTEDQIQRDLAPTSPTAVKQVSCVWDAYEATKGAHGLCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNIVDPEKLREIGFIVYSIGKPLDAWLKDMPAVA >Et_9B_065559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:713230:723261:1 gene:Et_9B_065559 transcript:Et_9B_065559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRLGSPLLFPFFSEFGPDASSSSIIDDTLQRLLGGLGHAEQRAPLLGVVGEGDDGEAVIGAELADDELHCGLHLVELPVLKHASADVEDGHEVQPGAFDGGRHVDDLQQHLLRVLLQWRLLVAVRHQPHSVALDWLIIWLQRLCSAPGSHRKVLQYAGLAAALPLLLTSLGLSSGPMFLTQDAPYSRVASLRMPQRSCQVILGLFLMRGGSGSDDGKAISLRSYR >Et_2B_020726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23027702:23035917:1 gene:Et_2B_020726 transcript:Et_2B_020726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRVRRLEESEISEQDALHLTKNLLRVAISTISYIRGLFPEKYFNVQLVPAVGQNRLYAYSFKTSLYIYYLKCSVINAEIKTNMLMPNDAESRRLIDWIEKGVSDALQKKYLKTLHFCICEKNEDFILEEYSFSFRYPNRNGEKVAMGISCSGLKMNKTTFQSDDAEITPDQMRNSACKMISTLVSLMRTLDPMPEERTIMMKLLYNDESTPEDYEPPLFKCCTDSETLHKWKKNPLLMQLGNFNSKHFVLALKVKSVLDPCGDECSDIEVMTKYI >Et_8B_058922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1110664:1119596:-1 gene:Et_8B_058922 transcript:Et_8B_058922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFREGLPPAAVELAGAIDQGPATAAGRREPPPLTHDDNRGFLQMLREKKERLGVEAAKVEVAFERLTVEADVRVGRRAVPTLLNCAVNAAQELATSSHMCATRKRPIRIINDVSGIIRPSRMTLLLGAPGSGKTTFLKALAGKLDSSLKLKGKVMYNGEAMNYSTPQYLRAYVSQYDLHHPEMTVRETIDFSSKMLGTSNQLEMLGEAIRRKKGVINKVDQDLDSFIKATTFGEGSNLTTNYIIKILGLCECADTLVGDELRRGISGGQKKRATIGEMLVGLARCFFMDDISTGLDSSTTFEIMKFLQQMTHLMDLTMVISLLQPPPETLELFDDIILLCEGQIVYHGPRENATDFFETMGFKCPSRKNVADFLQEVTSKMDQKQYWASDENKYRYHSIEKFAESFRTSYLPQLVKDKLCSPSHIGKNKKVKVNVSRRISRWNIFKACFSREVLLLKRNSPMHIFKIIQITVMALVISTLFLRTNMNHDSVLDANKYMGALFMAVVIVNFNGMTEIAMTIKRLPTFYKQRELLALPGWALISSVFLISIPISLVETSLWTGLTYYVIGFAPSLIRFIQHFVVLFAMHQMSMGLYRFLAAIGRTQVMANMLGTAALIAIYILGGFVISRDDLQPWLRWGYWTSPFTYAQNAIALNEFLDSRWATEFHYDNANTVGEAILKIRGQLTEGHWYWICVSILFGYSVVFNILSIFALEFMNSPHKHQVNIKAPKVNLEYHCHMVGNGDVSSDKAILPFRPLSLVFDHINYFVDMPKEMVKNGVTEKKLQLLQDVSGAFTPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTIKIAGYPKKQETFSRISGYCEQNDIHSPNLTVYESLKFSAWLRLPSNVKPHQRDMFIEEVMSLVELTDLRNAMVGIPGATGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRKTVDTGRTVVCTVHQPSIEIFESFDELLLMKRGGQLIYSGSLGPLSSNMIKYFEAIPGVPRIKKGQNPAAWMLDISSHTTEYEIGVDYAEVYRNSSLHRENRLLIDELEKPKPNTEDLHFPHGYWQNFTTQCAACLWKQNCAYWKNSEHNVVRFVNTFAVSIMFGIVFWKIGATIPNSDFVCNRKDEQDVFNVLGIVYGSALFLGFMNCSILQPVVAMERVVLYREKAAGMYSTMAYAIAQVSIELPYMFVQVLMFSAIVYPMIGFQLTAAKFMWFVFYMVLSFMYYTLLGMMTVALTPNIEIAMGLSFLIFIFWNVFSGFIIAREMIPVWWRWVYWADPAAWTVYGLMFSQLGNRTELIRVPGLGEQTVREFLEAYLGLQDRYFELVTCLHLAVIALFAFLFFLAIKHLKFQRR >Et_10A_000293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21498809:21499720:-1 gene:Et_10A_000293 transcript:Et_10A_000293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GNEHCLVPLWEREFCSYVGNISWQNFCENKQYVEVCNNLGPWDDSGALENFQNAKKRFWAHYHGQPSDIPLPAPDMYIDKVDHHCKVDPELVADLDKVGLPFDSDYNSASASEADKKPSQNQSGNWDIFIEKPAEVNKWDWEGNSRPDPTWGVKHEPLDNWGNSNSGWDDAVADPGWHSSSNNHYSSNNWNDSRGGSNNRSNNRYQDRNNMSSRKRSSGGHFQPRKSKQRNQAEGYQRSGWQDHRGGRNSEWRPVNNRDR >Et_2A_015241.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:95200:98934:1 gene:Et_2A_015241 transcript:Et_2A_015241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARVAAEIAALPEPRGPMRRLCGDLSRRIRLLAPLLQQLQQQDAPLPLADALAAARDLLRAVQDGSKIYQAMRGDSILDTFAKVNRQIQLALDDLPYNTFDMPEEVQEQVALVHSQFKRAATRTDPPDTQLSKDLFSALADKACDPAVLTRISEKLQLQTMADMKKESVALHEMVISSGGEPDGCVEEMSSLLKKLKDCVITEPPPITDTLSTRSGSISHRSPIIPDEFRCPISLELMQDPVIVSSGQSYERSCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLIAQWCEANGIELPKNKVNSRDKKASKSSDYDHAGLVSLMSRLRSGNQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVESHAIPKIVEVLKTGSMEARENAAATLFSLSVVDENKVTIGAAGAIPPLINLLCNGSPRGKKDAATAIFNLCIYQGNKIRAVNAGIVIHLMNFLVDPTGGMIDEALTLLAILAGNPEAKAVIAQSEPITPLVEVIKTGSPRNRENAAAILWSLCSADVEQTRTAKAAGAEDALKELSESGTDRAKRKASSILELMRQADEASPKMTGKADGPPRTRCAPARPPRPCAVNRAAAEDALRRLVPAPSTAPLPRTMDDAAWCGLAGAEEGESCESPQTMDGAARCGLLPPRSAALDVHSPPFHLDPLPFHLDPSPSTSIRLLSTSIRCPSTSIRHAHDGAEPNRGCAGRRRIASRTQPSPHPRRSHSRPRCPQPARRRRGRR >Et_2B_019383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26565357:26566235:1 gene:Et_2B_019383 transcript:Et_2B_019383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEADCDRIRGPWSPEEDDALRRLVERHGARNWTAIGREIPGRSGKSCRLRWCNQLSPQVERRPFTAEEDAAIIRAHERLGNRWAAIARLLPGRTDNAVKNHWNCSLKRRLAVASGGEAERPCKRVSLSPDSPSGSGSGSDRSDVSQGAGSGSGQIYRPVARSGGFEPVDCAISRRPPPPPPAPAASYPFNPEFMAAMQDLIRTEVQRYMSSVGVRAGCGVAGGADMFMPQLMEGVMRAAAERVGAVGRMQ >Et_1A_008558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8841122:8846127:1 gene:Et_1A_008558 transcript:Et_1A_008558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGRQPEPEKAETTVAFASAGPACDYLEDPRFQCCVCLEILYKPVVRVYLLHPHISSLTVPYSPNLIYHLLMQLAAICHVSGVSIKLCMDCGNPIVLYVGNLINISQLLHHLLLKLEPVDYKRREKEILEEEKHMETYSPQLIEFYNSKNNEIGKDGENGLEDGKIRPPQEVSCNGNVVNDQSKIIKLEDVSCPLCKEMLYQPAVLNCGHVYCVSCLSSSNEEPLKCQVCGGLHPGDVPNVCLDLDHFLEEYFPAEYVSRGEKVQFEKRKCNREASSSGTSSTKKGSAEQLDDDLSNVHVGVGCDSCGVYPIRGKRYKCQDCTELIGFDQCEACYTSSSNLPGRFNQQHTPDHRMEVDESTLLHRLLRFHGIPGEGPVDLMLQEVVVDPGAMMQFVAGDDEMDDINEEALAPGAMVQVVIDNQEMEDNGEEDHPL >Et_10B_002837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12269344:12277255:1 gene:Et_10B_002837 transcript:Et_10B_002837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFFQPVPKDGSPAKKRPAAADSGDAPGASADGGGEGKPGEEPRKFLTWNANSLLLRMKSDWPAFSQFVTRLDPDVICVQEVRMPAAGSKGAPKNPSELKDDTSSSRDEKQVVLRALSSPPFKDYRVWWSLSDSKYAGTALFIKKKFEPKKVSFNLDRTSSKHESDGRVIIAEFESFLLLNTYAPNNGWKEEENSFQRRRKWDKRMLEFVQQVDRPLIWCGDLNVSHEEIDVSHPDFFSSAKLNGYTPPNKEDCGQPGFTPSERQRFGKILSQYRGKRMRIDYFIVSEQLKDRIVSCEMHGRGIELEGFYGSDHCPVSLELSETEAEVPEPKTSN >Et_3B_028495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16087924:16094411:-1 gene:Et_3B_028495 transcript:Et_3B_028495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEVPPEESNKCVRGCCRSAAIPLHLPPASYSLLSPIARGSESTVYEARLGEGRAAAKKPVLSTADDLDKFHYQLQLLELDHPGLAKLIAAHAKPPNYLFFFDFFDPPNLADKIHVEEWSPSVQQVVSIASDLAKALQYLHVLGIVHRDIKPANILLDKDLHPHLADFGLAMFQKDIKRISVENWKSSGKPTGGFHKKNMVGTLIYMAPEILTNDIHTEKSDVYSFAISINELLTGVVPYTDLRAEAQAHTVLEMTYTEQQLTAAVVSQGLRPALALPESGAPPNLLRLIRRCWDPHPEQRPSFEDIVEELNIIQKHLDAIPCIHSTASASNSQNDSIGVNHYQEALNWFNQGEQLVKRSNKSDHTKKLWSGSFDHSAEYQPTLSWGSFATCGRRETMEDTHFMLPHMSEEKDVYAFGIFDGHRGSAAAEFSVRAVPGFLKQFCQDTSPTDALQEAFVRTDISFREELIIHQKSKRIIKKGWHPGCTAVTALIVRNKLFVANAGDCRAILSRGGKPFPMTRDHVASCPKERERVVKAGTAVKWQIDTWRVGAAALQVTRSIGDDDLKPAVTAQPEIIETALSVDDEFLVMASDGLWDVVSNEDVLSIIKDTVKEPGMCSKRLATEAAERGSKDNITVIVVFLRPVSTAERIY >Et_2A_018322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2287911:2293197:1 gene:Et_2A_018322 transcript:Et_2A_018322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAAAAARQAKPAEACTNHHPVHHAHLAALLNPSPRSPPLPLPLCRRHLPLSPHAASRLADSFPPLPLLVCLLRALRLLPSPPPRPFDALIKSYASLPSRASVAQAALAFARSAGYAPSVPAYNAVLLALSEASLPSARRFLAAMLRDGVAPNVYTYNILVRALCSRGRREEALDVLRDMRGAGCAPNAVTYNTLVAAFCRAGEVDGAERLVGVMRECGVKPNLVTFNSLVNGLCKAGKMEDARKVFDEMVSEGLAPDGVSYNTLVGGYCKAGCVHEALSLFAEMTRKGITPDVVTFTSLIHAMCRAGNLERAVALVRQMRERGVRMNEITFTALIDGFCKKGFLDDALLAVKEMRESGIQPSVVCYNALINGYCIVGRMDEARELVSEMEAKGMKPDVVTYSTILGAYCKSGDTDSAFQLNQQMLEKGVLPDAITYSSLIRGLCEERRLADACVLFENMIKLGLQPDEFTYTSLIDGHCKEGDVEKALSLHDEMIKKGVLPDVVTYSVLINGLSKSARTKEAQRLLFKLYYEDPVPANIKYDALMHCCSKAEFKSVLALLKGFCMKGLMNEADKVYQSMLDRKWKFDGSVYGVLIHGHCRGGNVIKALSLHKQMLQSGFAPDSTYTVSLIRGLFKEGMNEEACQVIQELLNCCSLADAEASKALIDLNWKEGNVDAVVDVLHDMTRDGLLPRSGSRWTVEAYINESRKVENVSTNEAHKEDARLITGWQSKVGGPYQHLGLPCGDLLGFLSGERWWQRARLEVSDVSLHGLRHAVTVQALGWAGHGLGTYLDGILWHCGLVPEQLGVLGEQVQHVVVDVVHAHLLPHEPAALLADELRLCRVRVTPVHGGFDGLADVVSVDRQVVLAGDERPGQDVLEDRGNFTKISHETIWIENIVEKFPHVYLYKHCLGMLESLKPFLLTLGQSYKQADAIIKHLAEEAGIPFVVIGDVTCHELVAYGVPGGSPLPERVGLPLRPPHALVLPPHLLQHLRPRANVHLGPSPERPVREHDVERGVVLAVPEHGRRVGRRRREVGGAHLAGVVAGEHDVDVGHASRVAAQHLEDAPLCEHVPRLESAAVRLHVRAPGHHHQPEEGVRPRALQCFSCDPRAAPRTQVVVDVAESGHVGGAGSPEGGDLGGGAGAGGAGHVGLPQVNGIGASGAVVLLWCGGRCPAVLLMLPSRNDDCGGAMHGS >Et_4A_032742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13249631:13254744:1 gene:Et_4A_032742 transcript:Et_4A_032742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGETAALRAEVAALRMRVRELESENQRLAKIASSCTCGIKCYGDAEDNDPADNSSKRTNRKGYKKGNVSHCSKRLIALKVMYFGQRFYGFSSEGQADPTVESEIFKALERAKLLVDSRKESCYSRCGRTDKGVSATGQVISLYLRSNIKDVVGGDVLDERSEIDYVKVLNRILPRDIRILGWCPVPADFHARFTCLGREYKYLFWKGNLDIVEMQKAASKFIGEHDFRNFCKMDAANVSNYRRRITEFNISACDKRSNSDELCSMIIKGSAFLWHQVRCMVSVLFLIGQGLESPSIVDSLLDITRTPRKPQYKMAPELPLILRSCLFDKANFVCSSDANRSLVEHLKDEYHHYMLQASIFHEALSCLPFPDSDSSETLQKKRNHIPLMLRQTEPSYEERIAKGQAGR >Et_4A_032225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24863106:24865124:1 gene:Et_4A_032225 transcript:Et_4A_032225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GKGKGPVIGIDLGTTHVDIIANDQGNRITPSWVAFTDDERLVGEAAKNQAALNPERTVFDIKRLIGRRFDDEEVQRDVRYLPYKVVNKGGKPYVEVPMKGGGERRAFSPEEISAMSAVVTVPAYFNDAQRQATKDAGTIAGLHVPRIINEPTAAAIAYGLDRKKSASEMMNVLVYDLGGGTFDVSVLSLDRGVFEVLATSGDTHLGGEDFDRRVMDHFIRVVKRKHGRDIAGDARALGKLRRECERAKRALSSQHQVRVEVEALFDGVDFSETLTRAKFEELNMDLFRKTLGPVKKAIADAKLKKSDIDEIVLVGGSTRIPKVQELLTEFFDGKEPTKGINPDEAVAYGAAVQGSIISGEGGAETKDILLLDVTPLTLGIETAGGVMTKLIPRNTRIPVKKSQVFTTYEDHQTTVSIRVFEGERSLTKDCRELGRFDLTGIAPAPRGVPQIEVTFEVDENGILHVTAKDKAAGGTSKSITITNDKGRLSQEEIDRMVREAEEFAEEDRRVRERVDARNRLENYVYRMRSAVRDGGGVGGKIGEEDKEKMEAALAETLEWLEEQDGGRTAEKEDYEEKLKEVEQVCGPIIKQVYEKSGSGAGAAGEGDEDDVNEL >Et_9B_065399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4702209:4707608:1 gene:Et_9B_065399 transcript:Et_9B_065399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLQSDEGDKGDDMDFTTLAGTQARYAASRPGAMKSSPSSRAAGVEPTTVQCSSPEPSLSHAAAAAATQPRASDDSCVVNDVDAFARTIAAIRSKPPAAAATASGDNTTSLASVLSHYAARWLPDAASSPSGRFLLPPPPESPTAAWLKKRLLLESLVAALPPDDAEDDGGVTCDFLLRLLRAGIVAGADAALLGDLEARAARRLDQASLAAVMIPAFGLRHGGPGGSATLLDVPLVLRLVRGFLREGGGAGGAAATAKVARLVDAYLAEAALEAGLRPPEFEELARAVPAHARADDDGLYRAVDTYLKAHPRASKEERRSLCRLIDARKLSAEAAAHAVQNDRLPVRCVVQVLFFSGHAGGGGGQHNHHHRLAEWSGGSFRDLPVRSPAVSRDTARCPSKREVLAQHHELRRLREDVARLQVQCQALQAQVERLGAESRRRRGLFRWGAAFLLGGAAGAARVDDSDSGVDRTPLSGRKHHQDRLAPTPTVARWRRSHS >Et_4B_037991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25362505:25364908:1 gene:Et_4B_037991 transcript:Et_4B_037991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPAKSRASKRRERRRRRAAVAAATGGGPDVLAAERGSTAPGNAAAAASSSRRRNRRRKRQRDRPAKAAAEASKGPEVPGSAALGDCTGALGDLHDIANCVSVVASVLGDSSLQSGCCLEAMETTSQDCPVLNGADDSGLVTIVSEKNTTCLRPMTNSVPVDNAKTRGEIVNLDKAIDKRCEVGMINLDCTSTERNGLQEQDAAFSENNNANCLSPFSLAEACAEKLKIVFSPRRSCVRFPKKKLLILDLNGLLADINQDYHNACMADAKVRGKLVFRRPYCDDFLKFCSWNFDLGIWSSRKKENVASVVDIVMRDFKPRLLFCWDMSKCTFTGHKTLENMHKPLMLKELKKLWNKEEPDLPWEEGDYSPSNTLLVDDSPYKALRNPPHTAIFPRPYSYLNRNDNSLGPGGDLRMYLQNLAAADDVESYVRNNPFGQSFITESDPHWNFYAQIAGNRSAVAHNGASPLTCCA >Et_2A_017801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6348323:6353027:-1 gene:Et_2A_017801 transcript:Et_2A_017801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRFGSFKSEKGDSAAAAAGGAAQRRDPYEVLGVGRNATEQEIKSAFRRMALKYHPDKNGDDPVASNIFQEVTFSYNILSDPNKRRQYDTSGFEAIETDSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVVVSQLQLGNAVHRKVEKQSAHFYSVDITEKQAKMGLVCRVHSNEKSKFKLLYFELEENGGLSLALQEDGVKTGKVTSAGMYFLGFPVYRFEQSSSAGSAKDSDGAFFKKLDSFQPCDIHELKPGTHFFAVYGDNFFKSASYSIEVVCGESFPAEKEKLRSVEAKILTKRAELSKFESEYREVLAKFNEMTSRYAQEMQTIDGLLKERNEVQASYTISAPPLKRSSSRNKMKSPSKVPKGDAEKHPQKEKRVKDHCMEGYGSEDDNSSEKKTKGRFPRSKWLNIPFKIDRRKPC >Et_5B_045080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9249913:9256540:-1 gene:Et_5B_045080 transcript:Et_5B_045080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSNKNAPADHMSGAEIADDMYPYLRRYKDGRVERLMVSAFVPASEAPGANGVATRDVVLDPCTGVSVRLFLNAAAAAPDRRLPLVAYFHGGPFCTGSAFSKLFHRYATSLSARAGALVVSVDYRLAPEHPVPAAYEDAWTALRWAATLFDPWLADHADPVHLGAAPTSCTLLLLGHPAGCAKRVDTLWPFLTAGLAGNDDPRINPPADVVRSLPCRRALVAVASKDVLREQLFHVTMKQHVHGREGTNKVCRAVVGRAPPKARFGLILGRSISFNLVKRGLLTGEVDGVGGERRHRLCRRSCLRHRKDKAMAELKSEVMEALQKEVRSLDDDSWMFAAPHSGINLVSRPERSG >Et_4A_034072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29755148:29757838:1 gene:Et_4A_034072 transcript:Et_4A_034072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFARAKAVRLKSHHDKFLYADEDEVHVTQDRNGSSPNARWTVEPVPNSSPPSLRLRSRYGRYLTASNEPFLLGMTGRKVVQTAPARLDSSVEWEPVRDGFQARLKTRYGNFLRANGGLPPWRNTVTHDDTPRINTQHVLWDVEIVQVLTPVGPERAESAPAELPPTPPPPEISRPPPPPHAAHHRPSKSYAATPPEYEAPPPPAPTLSKLESSNSFSAPLHKVEGRAIHYQIADDLGNVEDDKGGHSFTFNGSNLEELTHKLQELTGLDDIIICTRSPINKKLIPLRLQLPPNNAAMHVVLVRESSKGKNSKIL >Et_1B_010469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10808365:10810449:-1 gene:Et_1B_010469 transcript:Et_1B_010469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLSARRPPTAAEVVERIKDDGDFDNLRRTIVRKVKENEALRNTIISEVKQSLVLQEDGSEKLKLKDLSDAVFQDIGSKIMGRISDEVWSVIQSNGTDIRGTVQAVYNRIVHPEKDSQPSSKKLKSNGKEELVPLAKPASVTVEVDDDDPEEPPGFGFSNTAATTQQQQPSNLENHKEMKPNEGEPVAVSSVGDCDDDDGDDDDDDDDDGPDVPPGFG >Et_7A_052772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15865965:15870957:1 gene:Et_7A_052772 transcript:Et_7A_052772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRSNCGSSSAPSNLNLSNNTCAPSKAGTAWPGSRALWWRRSETSAARRAALAANEESLNSSDDDDNDGRFSVSSSRGTTTLTGSAAHATKRASGRAGWSGPCAPAARRRSLNPHSHTRKKAATVRKRMEEKAKGSAGRTPRTTGRSAARRGAPGASRAAAARA >Et_5B_045720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8120215:8122084:-1 gene:Et_5B_045720 transcript:Et_5B_045720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQGHVPGGHACVRHDGCRHDGVHPARRAARLRVASITLAAGFHADALVANTFAQEFARRGMLDCAESIHQRQGRINGVETLTNQKVVERQLLFNSRVLKTAQGGISPTAAMGKDKTTKRILVRMNAVFIQLLVYALIFALFTIPQAMGEQECYGEKETFKRKCYLSIGCGGGYIEPTESCCRAVRKADMTCVCRVITPEEEHTIDVGPFLDHGFLHHHPTTCNSKDTSKRFHQGKQING >Et_10A_001679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6753834:6755642:1 gene:Et_10A_001679 transcript:Et_10A_001679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMINGYAVFIGYLMMGVRGLGVLVITWTTVVLLGGFVSVLGKKDFWRLTGITLVHTAGVFNFLLEETLSDFVHSWWGLLNAVAATVIKPFRRGKDKDDVSTKRIMLILALSVIQVLVLATIVCLVAVLYLCGMYISAGVSLWRLIEHDFSNPDGGANLQPALQVLYSLAVAQVDKKLASDYLEGTIAGCEKDPSFAAGRNSVTYAVGLLMEAKTNDGFVAGIKLLGRIIKDDINNGESRKC >Et_8B_060033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5267712:5268378:1 gene:Et_8B_060033 transcript:Et_8B_060033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNTKLVLDFVVTKCGEVFDGISSLVDVGGGTGTAASSIARAFPDVKCSVLDLPNVISGIQPGDGTVEYIAGDMMNYIPPTDAVLLKYVMHDWNDEDCVKILTQCRKAIRSGKPSGGKVIIIDTVVGSLSKDISEAQVSSDLLMMVVVSGKEREEHEWSKIFMDAGYKHYKMRPVLGFLSVIELYP >Et_2B_019510.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:9664451:9664558:-1 gene:Et_2B_019510 transcript:Et_2B_019510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLYPIENPPSLLEYIYDQILLPKFVCISLGEIPN >Et_7A_053040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5341604:5344289:-1 gene:Et_7A_053040 transcript:Et_7A_053040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEHLGRGVTTDEEDDDVSPVEQVRLTVPTTDDPSLPVWTFRMWTIGVVSCALLSFFNQFFAYRSEPIIISQITVQVAALPVGHFMARVLPDRKFTVFGKEWTMNPGPFNVKEHVLVCVFANAGAAFGNGGAYAIGIVTIIKAFYRRNISFFTSLLLIITTQVLGYGWAGLMRKYVIEPAQMWWPSSLVQVSLLRALHEKEERRMTRGKFFLIALICSFTWYTVPGYLFTTLTAISWVCWAFPKSITMQQLGSGMNGLGIGAFTLDWSVVVSFLSSPLVSPFFAIVNVMVGFALLVYVIVPFCYWKLNLYNATTFPIFSTDLFTGAGQMYNITAIVNDKFEIDMGAYKQQGKIHLSLFFAISYGLGFATIAATLTHVALFYGKEMYQRFRESYKGKPDVHTRLMRRYEDIPSWWFYLLLVVTMAVALVLCAVFKDEVQLPWWGLIFACAMAFVFTLPISVITATTNTTPGLNIITEYCLGLIMPGKPIANVCFKVYGYMSMNQSVSFLNDFKLGHYMKVPPRSMFLVQLIGTVVASTVNTIVAWWLLTTVPHICEKDLLPHGSPWTCPGDHVFFDASVIWGLVGPRRIFGPLGYYNALNWFFLGGLIGPVFIWLLCKALPAHARWISLINLPVLLGATGNMPPASTLNFTAWCFVGTVFNFFVFRYRKVWWQRYNYVLSAALDAGVAIMGVVIYFALNMGGHNLDWWGTSGEHCDLATCPTARGVQVEGCPVL >Et_4A_034088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29884574:29893112:-1 gene:Et_4A_034088 transcript:Et_4A_034088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAPAVAAELWRPPHLAAGGGHAAEAISAVTEKSNGSRGGAGAGRKRQREPPASEDDSSRIFSTSGGGGGQDLTDSEAKRFKANKTSDDNSSQKMEAQTDSRSAGKAVSQNPPAQEPPRQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKASVLDEIINYIQSLQCQVEFLSMKLEAVNAHVSNGVEAFPSKDVFRPLLNIAVEFTAEELEDAIITPNATLDDVHMPLLKSIPPVTRMAMGRGTWVTVLCRKLKHWWHLVAEGDLPIVVSHGAEIEMYGALEPATRLIILKAICDIRCEQEDIRNFIDNSLKHGYHLPVFRKERIGGDSYGISYWYEDDPIHGHRLYREIRRVEYVKEQTRKSKGKGISSVPVMSYQWEAVATNFDEFKIAAEKLFSSRNRTEVSLGKKLNMNCLPEIEQIHKKKEKLLKKQQREALLIDSYLTANGFTSGRSRRERKRVTYTFDDYDRSINKAIKTTKRVLEPTQETSGNGRLPGPSPLCNGFYEESPTKTGTLHRRQRRRSQRYTKDFVEAVSDIDPNFDSDDDIMGEAIYDEEYLRSRRQQKTRSSEDDEEFRLEQVADDGDVEMDHSLIANEDAEEPQWYERLPIRNPQGTNLRFVDDIQIGIRRSKRSSRSRINYQRYDVSGVDTECGQPEKHNASDPDAGSDALNDLEVSTTSQDRDEEDDEVNKGRQHHTEKMHASGRESRNVRIKFRDLNEVAPVAGVDDTPALVKDEH >Et_4B_038361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28424338:28424891:-1 gene:Et_4B_038361 transcript:Et_4B_038361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQVFFLAFALLAVVAQSSGRHHHHRHSHGETRAGRPDERLIAF >Et_8B_060176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6849945:6853163:-1 gene:Et_8B_060176 transcript:Et_8B_060176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAPPPKQEELQPHPVKDQLPSVSYCITSPPPWPEAIILGFQHYIVMLGTSVIIPSALVPQMGGGNEEKARVIQTLLFVAGINTLCQSFFGTRLPAVMGASYTIVTPTISIILAGRYSNETDPHEKFLRTMRGTQGALIIASTIQIILGFSGLWRNVVKLLSPLSAVPLIALTGFGLYELGFPGVAKCVEIGLPEIILMLIFSQYLPHAIHVAKPVFDRFSVIFTIAIVWLYAYILTVSGAYKNARTKTQVHCRVDRSGLIGGASWISVPYPFQWGAPTFDAGESFAMMMAAFVALVESTGTFIAVSRYASATMIPPSVLGRGIGWQGIGTLLGAFFGTASGTAVSVENAGLLALTHVGSRRVVQISAGFMIFFSILGKFGAIFASIPLPIFAALYCIFFAYVGACGVSFLQFCNLNSFRTKFILGFSLFMGLSVPQYFNEYTSVAGFGPVHTGARWFNDMINVPFSSKPFVAVLVAFFLDNTIHRHDGAVRRDRGYHWWDKFRSFKTDSRSEEFYSLPFNLNKFFPSV >Et_5A_041391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22008605:22011889:-1 gene:Et_5A_041391 transcript:Et_5A_041391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRWHAVAVLGVMFAAATTAVAADRGLWLVEDEKMGVLQNVSNLIWRRDGNTYQHVWPEMEFGWKIVLGSPIGFLGAAFGSVGGVGGGGFFVPMLRLVIGFDPKSSTAISKCMIMGASVSTVYYNLKLKHPTLDMPVIDYDLAVLIQPMLMLGISIGVIFNVIFPDWLVIVLLIVLCLGDEASYGPLPAGPSAAANAKFQSDEATSLMKNIRWKEFGLLTFVWVAFLVLQVTKNYTATCSTWYWVLTFLQVPVSVGVTMYEGLGLMSGKMVLSSKGNEQTELKLHQVVVYGFFGITAGLVGGLLGVGGGSIMGPLFLELGIPPQVSRATATFAMMFSSSMSAVEYYLLNRFPVPYAIYFIIVAFIAAFVGQHLSRKLVNWLGRASLMIFILAFVIFISAISLGGVGISNSIQKIGRHEYMGFEELCKYDT >Et_2B_020711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22974600:22978890:-1 gene:Et_2B_020711 transcript:Et_2B_020711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPPSGSPEGPAASASPPKDAGAGSSSGAASGAPETNTLWVGNLPSHVSEGDVMTLFAPHGALDCALARAGPRSYAFVLFRSPTEARAAVDATRGAKVKGASMRTEFARPARAVRNLWVGGISPSISKEELEEEFQKFGKIEGVAFSQDQTSAYIDFEKLEDAISAHRSLNGKSLGGTELCVDFQRSKGRAEWSEASNFSGRVSGPPVEKRGTGPTKGSTIRMREAQPTNVLWVGFPGSYKFIDEEELRQAMSAYGVVTKTKVFLSRQYAFVEFASVAEAYNAKKNLDGHLFNDPRIQILFSNSDLGPNKLDNPTQLAGFSRSEMYSDGRHGPGLSSGTLQGYDSPMGGRSRYSDYGGVATSGSILRSPEPLDPRDAKRMRLDAASDPYDARAGSTGLYSSGLLRHQDSSVRSEGISIPVIRVKGTVHRTSYLEHFWRGNIAKGGSPVCRARCLPIGKGIDIPLPDVINCSARTGLDMLAKHYADATGFDIVFFLPDSEDDFVSYTEFLRYLGSKSRAGVVKVDAGTTLFLVPPSDFLTNVLQVDGPERLYGVVLHIPQISAAASLRPQLTGPELQPYYDERETVSSLQRKYNTISPGTDYRGSSREDSIHHLGQISRVDEAQAVQSAMVGYQTAGSQVQSALKPDIMSTLAQLMPSAQPSAPVTGQVPMNSADRHHNSSFGQIANVQQPGQQFTRQTSAAHLTNYGNMVGSLEHSAQHSAYNPEVALNLPPPPPPVPTLPHSSAMLQSQGGHSLPTQVNQQQYQPEQYYVPQSNYGHSNSQISNASIPAPPVPQVNPVPSPANNQMGNMAQLQHAMPLHANRGSQDFSSQGQHQQNSALGAAQTSDEADKSKKYHATLHYSNVVLEISPETKLLQPSYGCFSRSNLQQHS >Et_1B_012423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31973352:31974119:1 gene:Et_1B_012423 transcript:Et_1B_012423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALAAKVSDLAYESACKGVNNTMLPQQQNLFRQIAAFLVHGERPIETMPTLMDGCVALKVSTCVKRVQLNYLRRFLGSSFARHVQGNELLKEAFSYGADEGKVLSVAKKKQGSNVEKELKGKRRRERWGYDWDNNILCRYPIHSSAQARNSAADWMAGTELVLLFEEKSVDFVPAGSGSAFKMEKVLLSIATKKQSSKMEMDLKPKCKRGCDCNIV >Et_6A_047286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3284570:3288661:1 gene:Et_6A_047286 transcript:Et_6A_047286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHQRSASLPCFPSYSIDSNVEQELQSLKSHTIASPSATIDAACDGLRRLGDVYTSVEEMTRLPSNQVGLFSGKQRKAVEEELDRSLELIDLCGAMQESLAELKMSVQELRLVLKRGDAAAAQIKIESFLRMARKAQKPLMKKTTANSSKAAAVGGEGCRVVRVMAEARETGVSLLESTSRLLPNQIECPGARKWLLVSRRFQRRKAVVCEEQQLRALEHGVGDLGDGVEFLFRRLIQSRVSLLNVLSSRVAKQACAEGCRLFRIMEEAREMAISLLESTSEALASKRFVPEKRRLCALDRILGDLEDAFQFLSRSLIQSRKRKIVCEEQQLQPLERSMGDLEHGVEFMFRRLIQA >Et_7B_055083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7109933:7112717:1 gene:Et_7B_055083 transcript:Et_7B_055083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAVEVSRRQNRVRESAGNGDMISKLEAAEYKLEELKSSMVGLGKEAIAAMSAVEGQQQRLTLQRLIALVEAERAYHQKVLEILDQLEEQMVSERQKIEAPPTPAADNYMPPPPPSYDEVNGAFASTSVNDSVKSVEFFLGEALDSFKAESEFELNLSVGDIVIVRKISSNGWAEGECKGQAGWFPHAYIERRERVLASKVPHIF >Et_9A_060985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2474623:2474915:-1 gene:Et_9A_060985 transcript:Et_9A_060985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAANCIDILIAIILPPLGVFLKFGCGHEFWICLLLTFLGYIPGIIYAIYAITKYTY >Et_7A_052718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14012923:14021952:-1 gene:Et_7A_052718 transcript:Et_7A_052718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPANEQKVQAVAETMKMEEKQNSRWKSSPLPSKDDDFLVPGSSKMHASTSEGTSFSGKMGLSESKTHKSRSNGTSNVSRLGLVIGTASMNGFGKAVEILDTLGCLMTTLSPDGGFISRAKTKGCKISILAFEVANTILKGASIMQSLSEDTVKYFKQVVLPSEGVQSLVSDNMAELMQIAANDKREELKIFSQEIVRFGNRCKDPQWHNLDRYFVKLELETTPQKQLKETATTEMQKLMTLVQRTTDLYHELNALDRFEHEYDSRLKGKDNNTYIFEKGDSIQIMRLELKTQRSYVKTLKKRSLWSKTLEEVVEKLVDIVHYLHIEINNAFGSSGEGTLSAKSTVNCQRLGPSGLALHYANTIIQIYSVVSRSGYVPASTRDALYQGLPPRVRSALPNRLRTSSVPREVTIDQIRARMENTLKWLVPMAINTTWTDRVGRRPGQADPIETLYHADKAKTEDYILELVVWLHHLVNQSNGPANSRDN >Et_2B_019462.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:566435:566587:1 gene:Et_2B_019462 transcript:Et_2B_019462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYASSGRHGRAVRVRKQMRKKKIGKDPGCSLIEIDGVVHEFRAVPANSIR >Et_2B_019242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19782037:19783059:-1 gene:Et_2B_019242 transcript:Et_2B_019242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGEEEDATVAVRVRPWLLWRRRGTKRFRVGDRRVDLAWDLSRARFPPSGSPEPSSGYFVAVVVDGEMAVVAGDMAEEAYRKTKARRPPGPGPVLVSRREHVSMRDASGGRGHRTCVTVRGKVREISVDLVSRGQAQGQGKEKERDRADVGMSVSVDGERVLHVRRLRWKFRGSEKVDLGGGDRVLVSWDLHNWLFPSRDTSPPDATAAHAVFVFRFELGNDGGDERDSAEAKEKEPLDKAGRGGWAGAVGRWARGDWSESSSNGEHRRKRRQARRLAKESSSSSASVASSSASFASGSTVMDWASPEDAELQRGDGFSLLVYAWKN >Et_4A_034423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32401095:32402701:-1 gene:Et_4A_034423 transcript:Et_4A_034423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCITAAAARSAVLSYAPARRVQGMDAVSFRVSASFSGQRASFPSLRLQRIPKRFQVSCSAKQETIEKVCEIVKNQLALAEDITVTGETKFVDIGADSLDTVEIVMGLEEAFQITVDESSAQEIQTVDDAAALIDKLVSEKDA >Et_8B_059409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17727188:17731548:1 gene:Et_8B_059409 transcript:Et_8B_059409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERLQPQQSTGLRSKMQDHEKTAALKPVASRPFSTSRSFSKRLQDFSATDSRPVTILEETSIIRPKLTRLTPLPSDLPTEDTGSGNMLEEMEVDTEQVVSCDPLTTCDAVRKPMGGVKNNLSYDGYNWRKYGQKKVKGSEFPRSYYKCTHPSCPVKRKVETTIEGQIAEIVYSGEHNHPKPHPPRKPSSSTSTEVAVADLHDTSNVEAEIQTGGHNQVPDITVTASGGSSNCFDKFGKNSEVSDKNKRYVYVSKHSAIESFLFLPYRACASGTILLTMEESEVLVEVSFMVAEFMEDESKDMRRSKTLVFFGGHGCHEFLQLRLNLISLFWVYRDARIVLPRGIFLLGGAAQLARNGCEKYLNSQSEEQPNGILEFTPAQLVVGVPEPLVPC >Et_8A_056566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12504478:12511940:1 gene:Et_8A_056566 transcript:Et_8A_056566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPSFRPRPVDINRRLPIVRTAREFVDDDPTFALRTAPPLPRHSAPEPAAGGEAYPSSSKKKGQEIPIPQCDVVDTYERDYTCTFAQPAAYIRGRGARNEIGEFVEYDLDNEDEDWLEDYNNERENIVPEIMEVLLFKLEILDHKARERAGIITPTIVGPIPVILDLNSAMEALQYLAVHYDVFQAVYNYWKSKRERWQKPMLRHLQPPPPASDTNPYNVFRQREKTYRHHTRRMQRRENSVQSFERLRMVRRNLEQAKMLMEALIMREEKKRENMECEVHLRRVQMKYKNEALLNDGIALSGLQQDSSLFESSEDDYADSDDTTMEQPYVLPIAFRNGFADNNLSVISSVRLNHERELKRKLQKTSWFFRKDPEEPVMLFTRPLDPDKMEIAGIRPPPGPPIGSGSTALPFRCQGRIGRGGRIIFDRWNPLLQGPIGQQTSQFLRS >Et_3A_026225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5798188:5802949:1 gene:Et_3A_026225 transcript:Et_3A_026225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCAVKGGGYVVASSSADRKSSAINPSGVRMPSSPGWCGGLRWRAPDLDGIEMPGLRGGVEGLFRPRYGRVRATAAADPEDVPFENTQVKSSGHVLPYVGVACLGAILFGWVVSTTLAGATVGSFTGGSLADKFGRTRTFILDAVPLAVGAFLSATAQDVRTMIIGRLLAGVGIGISSALVPLYISEISPTEIRGTLGSVNQLFICIGILAALVAGLPLAANPAWWRTMFGIAVIPSILLAVGMAFSPESPRWLFQQGKFAQAESAVKRLYGKEKVTEVMYDLRASGQSSSEPEAGWFDLFSKRYWKVVSVGAALFLFQQLAGINAVVYYSTSVFRSAGITSDVAASALVGAANVFGTMIASSLMDKQGRKSLLITSFSGMAASMLLLSLSFTWKALAPYSGTLAVVGTVLYVLSFALGAGPVPALLLPEIFASRIRAKAVALSLGMHWVSNFFIGLYFLSVVSKFGISTVYLGFASVCALAVIYIAGNVVETKGRSLEEIERDLSPA >Et_5A_042212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7536029:7537344:-1 gene:Et_5A_042212 transcript:Et_5A_042212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KSLCKWLRSSKPCGTILLAPKPFISGLQPLNGVSVSPTLLTLLNHQKRYPILSKLRNWNLFSVNVAMAGTGLYQLSRKIRQDYFSEEKEAPAALEG >Et_8B_060639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3882299:3886747:1 gene:Et_8B_060639 transcript:Et_8B_060639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGDPGFHRGEAISAVQDVDQYYGDDDDFDDLYNDVNVGDGFLHASHPPPPPPQQAPPPQQQQQQLPPPPQAPPAQQQHPPAHTLPPPPPQVPPQQQKVHIPGMSGPGPGLPPAQPNLPPLPQPPAAPAPTPPQHHQIPQGDGFHRPGGNFGGGPIVVGNGGPVGGGDGPGGTTLFVGELHWWTTDADLEAELSKYGPVKEVRFFDEKASGKSKGYCQVDFYDPGVAAACKEGMNGHLFNGRPCVVAFATPNTVRRMGEAQVKNQQAVAAQGSNMQPKGGRGGGGAGGPQVGGNFGGGRGGAGGPGAGGGAGGGGGNWGRGGGGMGNRGPVGNMRNRMGPAGGRGIMGNGGMAAPPPPMLPPGGMLGQGFDPTGYGAMGRMGGGFGGFPGGPGGMPFPGLMQPFPPVVAPHVNPAFFGRGGMGAGGAGMWPDPNMGGWGGEEQSSYGDDAASDQQYGEGGSHGKERPPERERSGASDRRREREIDAPVSQEWPERRHRDERDMERERSRDYDRERERDRDRESHRDRERDRERDRDRDRERDRHRDDRDRYADYHRHRDRNSERNEDWDRGRSSGMRSRSREVEHSKHAYPWLRDMYKLHFDPEFQLK >Et_8B_058591.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:4804368:4805942:1 gene:Et_8B_058591 transcript:Et_8B_058591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CCLFVHLSKSLSFVIICRYQDHWLPYRLTPFSSIQIPKINIYFEMIFVTAYSSSHLVTLRGREGELLVAHVKKHVHVLLQDVADDGHAQSLRRLAQGEAHQPGSRLLKVVIPRQNHVRPAVEVQHERRRLHRAHPCAARQREAHLVVLDGGVGHGERHRLQHGLLHGPERRLHQVRDRAAGVDDGAAGAVVRVHGEAGRRHAEPLRAQREAHQRHVVVGGLHRRVAQKRRGLDRPRRRLLVLAQVGHGAEQQRARLVALRERREAVGEFRDGELGHQRQGVRAEPHDAGGADEASPSQRAAAEAERERDFAADAEGEVLGGQDARGLGAAVHVVVHAPVLRGAVLEPELSVLAQRVAAGEEGEGGRLGRVVERLALPRRAAPVRLALRPDEVAARVDDQRVRLRRRADAHGQRVALVSQRCERHVGGRDVVPPNGGAFVAEGASEPRRDPRRPGPARDHGAADGLVAG >Et_2A_017587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3911090:3915113:1 gene:Et_2A_017587 transcript:Et_2A_017587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAARFLTAVSFLAAGVLFAPDAVLGGRSGGGAAAAKLAHLLSLATAWGAGLWVTFIGAKIMFRFLPRHQFGSLQGTVFQAYFILKSVCSAISVAAFAYLHPWKTAFTIERYQLGFLIVALGCDLSNFLVITPMTIKIMMKRQKMEKDLGIGSEVGYSRNAEVAKTSPALATMNRKFRMIHVLSSLATTELARALSYAGRQVEMIRCVTVPDRGVVPLRRRPLRARRPNLGRSGGGVAAALHSFAAVWAPDSASPSRRNRGGRGGEASRRRIAVRLSPPVTRRRHAPTTSLLQSAAAGHRD >Et_10B_004388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5670686:5671829:1 gene:Et_10B_004388 transcript:Et_10B_004388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAPPAATAMVVEEAASAAAAAEAAEKMTLVVGVDESEPSCYALQWVLNHFFLPAQRPYQYRLVVVTAKHAAAPAIGPAGPGGAPVMFVDSDTTKEDAVRAIDKAKDLCAQVTDAVFEVVEGDARNVLCDAVERHHAELLAVGSHGYGAIKRAFLGSVSDYCAHHAHCTVMIVKKPKHKH >Et_2A_016018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20206489:20211251:1 gene:Et_2A_016018 transcript:Et_2A_016018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGTAPATELTDAVDVMFAFARARHSCALHLLVTCSFLLVFASAALFDRALLEIRGGGQLTDSLHGFRPTHRLRFDNEMSPCRSMLVEVSCLSKTGQAAEQERLQGISTADSLNSARFRIQWEPAASDQQKQQQQPLTPPLATVTMPMAAVGVEAVDPAAPSPSHAPASLQDVQAAIAKAVELRQLHAALRQRGAPIARSGAGACRSPVVIRLPPAASPARSRTGDESYPVFTPAYDEEERVAGLNHICQETRSRSENWSGAALDHTAGDVALSDYDHHHLHGAFPSSNSEVLFPSSTDHHRLPNRGGAASYKIHPAFLHSAPSADRFLPSSAGAGRRTAYASSELKLPPATCNNAFRPAAAAMIGGGGGRVPSSAAHSRTKHRGPPQILSWLFPRSSKKKDKPPPETASPSAIERENVSRLLTEWGALSTLDSLKKELAEANAHRDAALREAAEVRASLGELANRLVSLEDYCNDLKKALRQQANSSNSPSVSSSRRSTRSAEAAATAASREPPMPVSHDVMVEGFLQVASEARLSVKQLCKALVQQVEEEPDDGGLSDKLNLLLRPHHLALLAGDNGKTTSKAVLYHLEAAMNQAMYQDFENRAFSRGGAPRRLDPAQDRRHSFDAFVALRNLSWNEVLRKGTKYYSDDFSRFCDRKMSAVVAALGGWARPWPEQLLQGFFVAAKCVWLLHLLAFSFAPPLAILRVEAGRAFDQAFMEDVLLLHSQDRQQQAQRPCQVKVMVTPGFYVQDRVLKCRVLTNRPEA >Et_5A_042660.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24580107:24580910:1 gene:Et_5A_042660 transcript:Et_5A_042660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKTLKARILRALKSAGPDSPPPSPTKPGAVASVHASFDAFSDDASFFDAREAETPTKSPLPHHPAGAAEPLDAWELVDEDGRATSPAPAAEGPDPLLDFPARCPPGGEGGVVLYTTTLRGVRRTFEDCNGVRALLECLAVAFQERDVSMDRGLRDELWGLTGDKAVPPRLFVRGRDLGGAAQVLALHEEGRLVSLLLPGAAGEDKATSQHPRVAAGKNGKCEACGGLRFVVCGECDGSRKVFDGGRAARCRGCNENGLVMCPLCL >Et_2B_021073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26250138:26253943:1 gene:Et_2B_021073 transcript:Et_2B_021073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALASLDDLKNENVDLESIPIQEVFAVLKSSPQGLTAADGAGRLEIFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIVLANGGGRPPDWQDFVGIMTLLVINSTISFIEENNAGNAAAALMASLAPQTKVHKCTASDLIKSASVHRTLTKQLMQVLRDGKWSEQEAAILVPGDIISIKLGDIISADARLLEGDPLKIDQSALTGESLPATKMPGDSIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAVGMLVEVVVMYPVQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNQLTVDKNMIESFVKDLDKDAIVLYAARASRIENQDAIDTSIVAMLADPKEARAGIQEVHFMPFNPVDKRTQITYIDSDGTWHRVSKGAPEQIIELCRLRDDVSRRVHAIIAKFADRGLRSLAVARQRIPEGNKDAPGAPWQFLAVLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSTALLKDGDTGGLPVGELIEKADGFAGVFPEHKYEIVRRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRVVLGFLLLALIWRFDFAPFMVLIIAVLNDGTIMTISKDRVKPSPLPDAWRLQEIFATGIVLGTYQALATVLFFWAVRDTAFFTHTFGVHPIGENNEELMAAVYLQVSIISQALIFVTRARSWFFVERPGLLLVAAFLAAQLVATLIAVYADWPFAKIKGIGWGWGAVIWLFSIVTFFPLDIFKFAIRYFLSGKQWNNVFDNKTAFANELDYGKSKREAQWAIAQRSLHGLQQPEASGLFNNDNSNDFIELSEIAEQAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQHNYTV >Et_3B_027855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22933288:22933983:-1 gene:Et_3B_027855 transcript:Et_3B_027855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRQRRVPRRAAVAVRPRRAVPRRQRGRGRRARAARRRRLPHALRPLPPVPPGDPRRRRHQHPGHHRRRRGPRARVAHRGVPPAPPLRAARQRPGRLRRPGGQWLRRRRPGGAPEGGRGGCRAGVARAVQRVPVGVRGGGRRREDLRRRVPGVGSLQPHAGPRTGGHHCDDCSRRRPRRGRGGGGARGEGRGGGGAGGDGQDLPGRRGPTCQLPRVQL >Et_2B_020553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21331031:21336014:1 gene:Et_2B_020553 transcript:Et_2B_020553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRRDSATSSSSNGFLPPAAAAAVSSSSPSLPTPFPDLGVQLTPADLREAAYEVLVAASRTTGGKPLTYIPQASSAAPPSPASSSSSASSASLQRSLTSAAASKMKKALGLRSSASSKGVGSPGSGGKAAAPPRRPATVGELMRVQMRVSEPADARIRRGLLRIAASQLGRRAESMVLPLEFLQQFKASDFPDPQEYEAWRSRNLKLLEAGLLVHPFVPLNKSDMSAQRLRQIIRGAYDRPLETGRNSESMQGLRSAVMSLAGRSHDGTSDGCHWADGFPLNLHLYQMLVEACFDNDDGTVVDEIDEVMELLKKTWGILGINEMLHNLCFTWALFNHFVMSGQVDIELLSAAENQLVEVAKDAKTTKDPNYCKVLSSTLSSIMGWTEKRLLAYHETFDTNNIESMQGIVSIGVSAAKVLVEDISHEYRRRRKEDTDVARSRVETYIRSSLRTAFALRMEEADSKRSSRNPTPVLSILAKDIGDLAIKEKNMYSPILKTWHPLASGVAVATLHSCYGNELKQFVAGLSELTPDTVQVLKAADKLEKDLVNIAVEDSVDSDDGGKSLIREMPPYEAENAIANLVKVWIKERVERLKGWVDRNLKQETWNPGANRENFAPSSVEMLRVIGETLDAFFELPIPMHLTVLPDLTAGLDRILQLYVSKVKSGCGTRNSFMPQLPPLTRCEVGSKLLFKKKEKPQNLQVRASQNGVTNGNDPLALPQLCVRLNTLQYIRGELENLDKKIKTCLRNVESAQADITDGVDIKFELCQAACQESIQQICETTAYKVTFYDLGHVLWDTLYVSDPASNRVQVLLSELDPVLETISSMVHNKLRNRAITALMKASFDGFLLVLLAGGPLRAFTRQDAQIIEDDFRALRDLYLADGDGLPEELVDKASSQVKNVLPLFRAESESLIDRFKRMMVESNRSASKNRLPLPPTTGHWSPNEPNTVLRVLCYRNDETATRFLKKTYNLPKKI >Et_9B_064568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16550191:16552529:-1 gene:Et_9B_064568 transcript:Et_9B_064568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SARRRPRPSPRCAFAAVGDPGRRVRSRQAQAPAKPSASRNGRWPPSSSLSSSSYHASSQLCLFLICPRIHRARLLLSRDSSFAEIQSFAARNLVPPRYFVSFSVGHDGIRGWQWPQFRCFVDGRVQPLLVQETCPKVKNILLLDSEGKRVAVKYYSDDWPTLSAKLAFEKSVFAKTQKANAGTEAEIVMFDGQIVVYKFIQDLHFFVTGGEEDNELILASVLQGFSDSVDQLLRNIVDKRTALENLDLILLCLDEIVDGGIVLETEGSVIAEKVSSAHGMEGATSLAEQTLVQALTTAREHLAKSLLM >Et_10B_003583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3404839:3408982:1 gene:Et_10B_003583 transcript:Et_10B_003583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTAATTGPLGMEMPIMHDGDRYEHVKDIGSGNFGVARLMRNRASGELVAVKYIDRGEKIDENVQREIINHRSLRHPNIIRFKEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGGYPFEDPEDPRNFKKTIQKILAVQYVIPDYVHITPECRHLISRIFDANPETRITMPEIKSHPWFVKNLPADLMDDAAMSSQYEEPDQPMQNMNEIMQILAEATIPAAGTRGMNQFLADSLDFDDDMEDLDSDLDIDIESSGEIFGSQSIQNVISIYKPVQVVTLFCNLHSEVRDNLLGLSPQQCRLLVTHDVTKGLQGGRRSWEAPQPRAFPHVYLLQACQVTKLLWEVCQVDTLRYVKLKKDGKSIRLIKIMNLHPNVD >Et_2B_020497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20873823:20885795:1 gene:Et_2B_020497 transcript:Et_2B_020497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERQERERRRTLLLVNLASIMERADEALLPAVYREVGAALHATPAGLGALSLCRSVVQAACYPLAAYAAARHNRAHVIAVGAFLWAAATFLVGISDTFLQVAISRGLNGIGLALVVPSIQSLVADSTDDGTRGSAFGWLQLASSLGLISGGFVGLLLAQTTVLGIAGWRVAFHLVAAISVVVGIFNWFYVVDPHFPTTGDPASAAVHQRDGNNKRAATAREVVAEMVEEAKFVVRIPTFQIFVAQGVSGTFPWSALSFASMWLELVGFSHGATAVLMTVFWVASSLGGLLGGKMGDVLALRYPDAGRIVLSQISAGSAVPLAAVLLLGLPDDPSKGAVYGVVLFVMGVFISWNGPATNFPIFAEIVPEKSRTSIYALDRSLESVLASFAPPIVGLLAERVFGYRPADDKGGASIQRDRDNAKSLAKALYTSIAIPFTVCTSIYSFLYCSYPRDRDRARMQSLAESELQQMEHDSSAMEDGDARPPKVFAATANDGERAVIGVTYDHKEVPETEKDTVFDGAMGPPARHERRTLVLVNLASIMERADEALLPAVYREVGAALHATPTGLGALTLYRSIVQAACYPLAAYAASRHNRAHVIALGAFLWAAATFLVAVSDTFLQVSRRDPITVAVCRGMVAISRALNGVGLALVIPAVQSLVADSTDDDNRGTAFGWLGLTSSIGAMFGGFFALMLAQTTIFGIEGWRIAFHLVAIVSVIVGILVWFFAVDPHFLANNAGMHATPVHKKSALDEAKKLLREAKSIIQIPTFQVFVAQGVSGSFPWSALSFMSMWFELIGFSHEQTAVFNTIFAVATSIGGFLGGKMGDFFAQRYPNAGRIILSQISSGSAIPLSAVLLLGLPNEPSRSSGIAHGLVLFIMGLLISWNGAATNCPIFAEIVPEEQRTSVYALDRTFESILASFAPPVVGFMSQHLYGFKLEDKRSSPEQDRENAASLAKALYTAISIPMIICSSIYTFMYRTYPRDRERARMHCLIKSELDQIELGSPGFGCDDERFELFESANDGEKHDQTDVGSSAEESDEGMRLMLSLWELAMAEQGRRQRRSRRTVLLLAFAAQAVQCADAALLPAVFREIGDALQASPTALGSIALSRSIVQTACFPLAAYLSARHDRLTIIALGAFLWATATFLIPFSNTFPQMAVTAAFNGVGLALQIPAIHAFVAESVDSASRGMAFGWLAVAGTAGNIAGASVGLLMAPTTFLRFPGWRIAFLLLGVLGAAVGVTIRAIAASDVARSRHQAGSAGAAGVREGGEVIIAQGLPGSFPGSALSFTAMWLELVGFSHGETAALTTLFKVATSTSALFGGKMGDVLARRFRNAGRIVLAQISSGAAIPLAAVLLLGLPNDATTAAKHGAALFVLGIMINWNASATNSPILAEIVPPRAMTTVFALDRTFEAVLASFAPPVVGILAEHMYGYKLLRSADDGENAATVEMERHNAMSLAKALFTAFAFPMALCCLVYSFLYYTYPKDREIARAEAARAGGEGSDTEDEEDDDVKKLLSLYERRTLVLVNLASIMERADEALLPAVYREVGAALHATPTGLGALTLYRSIVQAACYPLAAYAASRHNRAHVIALGAFLWAAATFLVAVSDTFLQVAISRGFNGVGLALVIPAVQSLVADSTDDDNRGTAFGWLQLTSSIGSIFGGFFALMLAQTTIFGIEGWRIAFHLVAIVSVIVGILMWFFAVDPHFIANNAGLHATAVHRKSAWDEAKELLREAKSIIQIPTFQVFVAQGVSGSFPWSALSFLSMWLELIGFSHEQTAVFTTIFSVATSIGGILGGKMGDFFAQRYPNAGRIILSQISAGSAVPLGAILLLGLPNEASRSSGIAHGLVLFIMGLIISWNGAATNCPIFAEIVPEEQRTSVYALDATFESILASFAPPIVGFMSQHLYGFKPEDKGSNPEQDRENAASLAKALYTAICGPMIICTSIYTFMYRTYPRDRERARMHCLIKSELDLIELGDRGFGCDDERFELFESVNDGEKPDQIDAGFGTEESAEADAGTERLLANRERQRRSRRAMLLLAFAAQAMESADAALLPAVFREIGLALQASPTALGSIALSRSIVQTACYPLAAYLSARHDRLTIIALGAFLWATATFLIAFSTTFPQALTPRFSKCKLLRRHLDLACLAC >Et_5B_043728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14808710:14811008:1 gene:Et_5B_043728 transcript:Et_5B_043728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHYVSNDRRTLFVTFSNGYPFTQDELYDFFMRYFGDVEEISVEVPVDESKPPLYAHVTFFSQATLFRVLAGNWKVKYMWNGKHLWARQYVPNKKKVA >Et_2A_018208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18193238:18196542:1 gene:Et_2A_018208 transcript:Et_2A_018208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPSFPYIAVVLFLISWTLCAADDRIVVGKPLSPGTTIVSDGGAFALGFFSPPGSNSSSLYLGIWYNNIPKLTVVWVADQASPITTADHPSSSSSTSASLALTNTSNLVVSDATGRVLWTTNVTVGASRAAGSSPPDSSPLAVLQNTGNLVVRSPNGTALWQSFEHPGDAYLPTMKIGHTYRTHYGVRLVSWKGPGDPSRGPFTFGADPDRPLQLVIWNGTRVHWRNSPWTGYMVDSNYQKGGGRSAIYRAVFNTDEEIYAAFTLSDGAPPMQYTLTSAGDLELQSWSNDSSAWNTVIKYPTRACSAFGFCGAFGYCDNSTDVLKTCRCVDGFEPASGEEWSRGNFSLGCRRKHAVRCGDGFAEVHNMKLPEGYTLVPNRSFEECAAWCMRNCSCVAYAYANLTTSAKKDSTRCLVWAGDLVDMEKVVGSWGDFGETLYLRLVGAEMLKKINGENNKIRALRVLSAADEFGHELPAKDLELPFLEYDEIVTATDNFSEASMIGKGGFGKVYKGVLGSSEVAVKRLSMGSKQGIVEFRNEVILIAKLQHRNLVRLVGCCMEGDEKLLIYEYLPNKSLDATIFNSARKSMLDWSMRFKIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDAAMNPKISDFGMARIFGDNEEQANTKRVVGTYTLTKVPVMWFSTSGYMAPEYAMEGIFSVKSDVYSFGVLLLEIVSGVRISDTTIIDHSPNLIVYAWNLWNEDTAETMIDSSIVTGCILDEVLLCIHLALLCVQENLNDRPAMPQVVRILENGSKSLAAPNRPAYFAQRNNELEHTGSSKNSNNTVTLTVLEGR >Et_6B_048887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1577794:1578323:-1 gene:Et_6B_048887 transcript:Et_6B_048887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVTGTALLTELTGSRQFASSAWPPPSSSSGTTAQWLLRWRRRSSSSEITAVACFWPLLAAVASFSLTMASMYEDLHA >Et_10A_000662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14845278:14853603:-1 gene:Et_10A_000662 transcript:Et_10A_000662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSHGAPSSLPLHLIVDETLALVSPLQQSFQRAQRHCFGDSAPGEFPLAANPSIVLHATCTFFRKPANFSPDFQLSMSELAALDMCQKRAIFKPMTAHERELFKQRCGGSWKLVLRFIMAGEACCRREKSQAIAGPGHSIAVTTSGAVYTFGSNNSGQLGHGNVEEEWRPRLVRSLQGIRIIQAAAGAGRTMLVSDAGKVYAFGKDSFGEVEYGVQGSKVVTTPQMVESLKDIYVVQAAIGNFFTAMLSREGRVYTFSWGNDMRLGHQTDTNDMQPYPLTGALENIPVVQIAAGYCYLLALACQPTGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQALNIQPVVVAAGAWHAAVVGKDGRVCTWGWGRYGCLGHGNEECESVPKVVEALSGVKAVHVATGDYTTFVVSDKGDVYSFGCGESSSLGHNTVTEGNNRHANVLSPELVTSLKRVNEKMVQISLTNSIYWNAHTFALTDSGKLYAFGAGDKGQLGTELVAQQNERGTPERIFPSPMSCPRLAFEAIGRSTRQIPLDPLVMCRHHHKWQPHVIETCGAMFLSLDLPGKEPCSGRRLNQITKQESPVFYNNFFGFAPLMTPLHFSGQPVDPSSPPPRASPELRLQIQPQKWVALDRTLGTNEEVEKD >Et_5A_042945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7434345:7438105:-1 gene:Et_5A_042945 transcript:Et_5A_042945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAQPPTKRTAAAPPPPPIGLLSDDILADILIRLPTLADLGRAAASCAAFRRVVAGRPFLRRLRSLHQVPLLGLVIDSKIKPAQPPHSSAPYARALAGAADLSFTFFPSHHRWHAADARDGRVLFHRFVMDQHAVCDPLSRRYSLLPQIPGNPPPHNRWDLNPFLIPVTNEETETSFRVVCMRYEAGTGQLVAFVFSSATGQWSSLAVGALVRPSCLLATRGYAYGCFYWKLIETDNLLVLDTRSMEFSLVQIPSGQGEQSLAIVEAGEGRLGMFTVENSLISAASHLIYTIQEINEDGSSRWRFERRIKLPLQSLFIIAHATDKRLRNTNARNAHCRYGVICDERVIST >Et_1A_006129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18266987:18267991:1 gene:Et_1A_006129 transcript:Et_1A_006129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPTDPSTPARSMLKRLFDRQLLRISPAERLPSSSSSAAGDQKDEAESSSVCLDGLVRSFLEEDGGGGGAAPEKPGHGGRYCNCFHGGDNSDDEEDEEAAASSDAAETIKGLVHCATLRERNLLADVCAHLERHRAAGARRRDLLRLVAASLRAAGHDAAVCVSRWDKSASHPAGEHAYLDVLLPAASERGARERVLVDADFRSAFEVARPTKAYRALLHRLPAVFVGKEDRLRLLVAAAADAARASLKKRGLHLPPWRKPEYMRAKWLSPYDREPAPAPPDEAAAGEVDGEGTAA >Et_6B_048224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13089750:13090784:-1 gene:Et_6B_048224 transcript:Et_6B_048224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSQPEPCAGAGGDHLLLRFLKNKLDYCRLHGIELFYNRAFLEPSMTWSWAKLPALRAAMLAHPEAEWLWWVDTDAVVTDMDFSLPRAAAARYAGRNLVVHGIADRFFARRSWLGINAGVFLIRNCQWSLDFLDEWARMGPAYPEHADWGKKLRKELAEKDNDYACDQSALAYLLLSGWESRGFRDTVRVETEYYLQGYWKDVDVAGRLPGVAARGGVPGPDGGGDDGWRRPFVTHFVGCAPCSGMRNPAYSAESCGGGMRAALDFADDQVLRAYGFRHAAPGNDSVLPLPFDYPAAAPTERRRW >Et_4A_034392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32149754:32152888:-1 gene:Et_4A_034392 transcript:Et_4A_034392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGDQNLDAAIESLLNVEKQMRLAGEVAGTRKAVIDIIELCFKAGAWKTLNDQIVLLSKRRGQVKQAITAMVQKAMDYIDLTPDMDTRIELIKTLSSVSAGKIYVEIERARLIKRLAKIKEEQGQIDEAADLMQEVAVETFGSMAKTEKIAFILEQVRLCLDRQDFVRAQILSRKISPRVFDADPSKEKKKPKEGDNIVQDAPADIPSLLELKRIYYELMIRYYSHNNDYLEICRCYKAIYDIPSIKEDPAKWTPVLRKICWYLVLAPHDPMQSSLLNATLEDKNLSEIPNFKLLLKQLVTMEVIQWTSLWEFFKEEYENEKNYLGGALGAKAAEDLKLRIIEHNILVVSKYYSRITLKRLSDLLCLSLQEAEKHLSDMVNSKSLIAKIDRPMGVVSFRTAQDSNGILNSWATNLEKLLDLVEKSCHQIHKETMIHKAVLKA >Et_10A_000961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19677021:19684618:1 gene:Et_10A_000961 transcript:Et_10A_000961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSTGGRGRFLALLAVAAALLSLASASESDHKVRVPSLFYLSPEIHATVGSRASRRIWALLSRGSRAAAAALYKAEEPVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPAHKWGGLGEVLGGNELIDSQLDIKFLRNEEKGFICTLELDSQKVQQFADAIESSYWFEFFIGFVGETDKNSENKHYLYTHKNILVKYNENRIIHVNLTQESPKLLEAGKKLEMTYSVKWVATDVSFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPRSLMFLSALVGIGTQLAALILLVIVLAIVGMLYIGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKAMVLTASLFPFLCFSIGFALNTIAIFYRSLAAIPFGTMVVMFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFIILLIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTMMFCLGLGILCVCPRHANGPVDT >Et_9B_066200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6971555:6977064:-1 gene:Et_9B_066200 transcript:Et_9B_066200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNKYGVRQPFLVLGLLSAACASIPESTALAVRRVNKHGPYIGVVVPNAFEMEPLLRSPSFSPAKNQPPFIDVAGRRFRLGTIGKQKVIMVMTGLGMLNAGVTTQLLLTLFDVKGIVHLGIAGNADPDRQIGDVVVPKYWAHTGLWNWQRYGDGPEDELSLESNGDYTRQYGNLNFTDYSVDKNGGNSNLLNSVWYQPEEVFPATGTPEQRRHALWVPVDGRYYELAGKLEGTTKLEGCVANGTTCLPRRPAVARVERGCSASVFVDNAAYREFLRTKFGVTAIDMETAAVALVAMQQGAPFVAVRALSDLAGGGSAESNEAGVFAPLAAQNAAALHASSNRFVYHWSLRRPYAFGKRRVL >Et_9A_062994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7933619:7934029:-1 gene:Et_9A_062994 transcript:Et_9A_062994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSREIGILGPQRFVLLRCLSLLRPSAMARTLSGLAVAAALVLAIVMPAVVAAQAPAPAPTSDGTSVDQCIACTLMIVALVLTYLIHPLDASSPYKIF >Et_10A_001516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4483297:4489749:1 gene:Et_10A_001516 transcript:Et_10A_001516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLSMYPEDCLIKKSSLIWMWIAEGFVQVQNEGCCSLFEVGERYFDELLNRSMIQAVFDDTMSSIIEGCRVHDIVLDLIRDLSMVENFVTILGKKQLVESSTSVTRSMKELGLRKVRRLSIQSGVPLDTIGMAEVVRSIRAADCDAEASKLSSFRNCRVLSVMTFTGELKHMEKLRHLRYLEMDHNLVKLSEELGNFKSLQTIRTEISDGLPDSSTVFELAQLMCLDASIQRAAVIKIGNLVCLEELKLRIIGGIGLHDLGVALGKLTRLRVLEIWCAFSVEDACYRELMQCLNKLQEIREIRLLFRYRKPNRVETTREPLSPRLMDPSCLRRLRHLYLGVPGPKAVCTLGNLALLPELHSLSLEVLCEHRGFIVVPDGFQNLRRLRIVLTPTKPKFVQGAMPRLEYLEIQVRPGRDLEEINLASLLSLKEVMIMVMCRECFHGDDVEDAEAVTSSGRSSQSRLSRRASRCLVDFFPALRWLDRLRGVDAAMQSRRDAEKAEKRAIDELLALQEVDPEYYTDTVIKGIVLALLTAGTDTSALTTEWAMAELLTHPDAMQKLRAELDAVVGTTSLVEESDIANLPYLQCPPTRPWKTAPSPSAAAGTMVLVDAWTIHRDPKLWDAPEEFRPDRGKALSENKNKGDKWMNDTWSRGSQLNRK >Et_7B_053553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:80627:93264:1 gene:Et_7B_053553 transcript:Et_7B_053553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHVSPVPAKASGDENSAPPDAPAAPRERAAPSRRPPLVAIQATGLPVNRKQLIHKPATLVRTKPAANLSPAIRAEVPKFALREDTSCWEDKTVQVFVRIRPLSSSEENKHGYKRCLKQTSAENLTWIGPPETHFAFGHVACEATDQEETFRVAGLPLGEKCLAGDNASIFSYGQEEVRSRSENLKYNCKCSFLEIYNEQITDLFDPKLKNLQIREDTTKTVYVENLTELEVNCLSDVVDLLLKGSENRKVAATNLNPESSRSHIVFTCIVERLREKDSVQSIRSSRLNLIDLSGSERQRASGAEGERLKEATSINKSLSTLSLVIMSLTDLAHGKIRHIPYRDSKLTFLLQDSLGGNSKTLIIVNVSPSVGSASETLSTLKFANRARLVHNKMFVNEETSLTEKDSGNLENELALHIELELYKAFLGEQISARAKVEQLLKKRDLQISELNARCEHDQTSHSSEIMLLETTISDLETTVCCFEDKVKILNEVAIHQRAREKELEEELKKARVSEKELEDELKKVRVQGGLL >Et_9B_063923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21703740:21704573:1 gene:Et_9B_063923 transcript:Et_9B_063923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAYHEPACCCGGCDPAPAPPAPCYYPTPSSSASDHLLHAIAAHLLLGSSTPAPPPQPQPPPAAPHATNPYPYPPHHYQYQQQEAKPHPPPQHQPQPSASDHGHLLLHSLLRRVAALESALPRCSPHPPLARRRTIHPNPRPRRVAHPAEEEEEAEDSQPSPPRRLLQRRAGPSSSARDLAARTIQAHFRRFLARRSRTLRQLKELAVLRSHRRGRGADPEAVSEAAMGLLFRLDAIQVTDTLP >Et_3B_031684.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:7865566:7866033:-1 gene:Et_3B_031684 transcript:Et_3B_031684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGYSPRFQRQASCSCAPNLSRRGFVRAGFDLDGDDYDDDYIYPSSTAGGYDRADGAYSSAAAYDVHARPSARDRLRGLWRRIMREKKRILLCTTGCIPAAAPPPHREPYDAYSYAQNFDDGAAWVEPENLSRSFSARFAVPSRVFQRVAV >Et_5A_042483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14956838:14961872:1 gene:Et_5A_042483 transcript:Et_5A_042483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFMDYLESLIEIFVARVIPEPRLKNNGHQILLNMEAIMVDSAPEGDAPKTPAEIVSKVLLKTTFLQNTAVAQVDDLESDLHALNDK >Et_10B_003708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5097293:5103551:1 gene:Et_10B_003708 transcript:Et_10B_003708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKARMTTADVAAEVKCLRRLIGMRLANVYDITPNTYLFKLMNSSGITESGESEKVLLLMESGARFHTTQYVRDKSTTPSGFTLKLRKHIRNKRLEDVRMLGYDRIILFQFGLGSNAHFIILELYAQGNILLADSEYTVLTLLRSHRDDNKGLAIMSRHRYPVEACRVFQRTDFAKLKDALTMSGNADEVPSETTSGSANAQEPSESASDGVAVTDISEKPLSRKEKKSAAKTKQSGSNSKASNGAQSNKATLKTILGESLAYGPALAEHIILDAGLVASTKVGKDPESSIDDNTLQALRESITRFEDWLVDIISGQRIPEGYILMQNKMATKKNSTLSEGPSTNQKIYDEYCPILLNQFKSREYNEFETFDAALDEFYSKIESQKVNQQQKAKEESAAHRLNKIKLDQENRVHTLRKEADHCVKMAELIEYNLEDVDAAILAVRVSLANEMSWEALTRMIKEERKAGNPVAGLIDKLNFERNCITLLLSNNLDDMDEDEKTAPVEKVEVDISLSAHANARRWYEMKKKQESKQEKTITAHEKAFKAAEKKTRLQLAQEKTVAAITHMRKVHWFEKFNWFISSENYLIISGRDAQQNELIVKRYMSKGDLYVHAELHGASSTIIKNHKPDTQIPPLTLNQAGCFTVCHSKAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGILFRLDESSMSAHLNERRVRGEDEALQEIEAESSKRQSTPESDEEITSEKDTNRETHEYESTANTNIQKNNDLGPSDLSTNNGSTESSKSLVSAQAEESVANGNSTSKEETVDASVSSQLDDLLDRTLGLGPAKVSVKSSLLNREKSLAEDNDDLEVQNPAVRDKPYISKAERRKQKKGQFTGEAANDSQNGETVEMPGTSQQPKSKTNTKAASPGVTEPGNLQHEKSKGNTNVAKSKLSRGQKGKLKKIKEKYAEQDDEEREIRMALLASSGKALRKDKPTQDGDGSTAKQLKPPAGEDDSSKICYKCKKSGHLSRDCPESTSEMDPNNVRIGQSKDGLGSSAAPVGGSSAMDEDDIQELGDEEKEKLIDLDYLTGNPLPSDILLYAVPVCAPYNALQTYKYRVKITPGTAKKGKAAKTAMSLFLHTPDATNREKELMKACTDPELVAAIVGNAKITAPGLTQLKQKQKQKGKKNAKDK >Et_1B_010497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11065572:11068523:1 gene:Et_1B_010497 transcript:Et_1B_010497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVYKGKKLQRRANNLKVVAIIGVETRGDDRVVLDANEQRNKPLGCIPSESHSLASLDEIGDGRADDGDLDGVRAVVCERRRDGAGLGQGGVALVREGHRLHDLRLAGGVVARVDIVVRPRKGEPDSVVELLALRQRSLRGTRLGAQHRLDVVDCVAGVVDAPIGGHLGEAPNVGVGAVMVRAQLRHAEAVVHARVAVGRRPARRHHRRTGAAEKDERGEGRDQKEASGGIRPHLFVCD >Et_1A_007472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3488433:3492353:1 gene:Et_1A_007472 transcript:Et_1A_007472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSRFSLHVVPAALVLLLACSARVAVAADPPFSCGAGAPPNVAFCDSRLPVERRADDLVSRLTVEEKISQLGDQSPAIQRLGVPAYKWWSEALHGVANAGRGIHLDGPLRATTSFPQVVLTAASFNPHLWYRIGQVIGVEARAVYNNGQAEGLTFWAPNINVFRDPRWGRGQETPGEDPTMTGKYAAVFVRGVQGYAMAGPVNSTDLEASACCKHFTAYDLENWKGVTRYAFDAKVSAQDLEDTYNPPFKSCVEDGHSSGIMCSYNRVNGVPTCADYNLLSKTARKDWGFYGYITSDCDAVQIIHDVQGYAKTAEDAVADVLKAGMDVNCGSYVQQHAADALQQGKITEQDINRALHNLFTVRMRLGLFNGNPKNNRYGNIGADQVCTQDHQNLALEAALDGIVLLKNDANALPLSKPKVTSLAVIGFNADNATTLQGNYFGPPCISVTPLQALQGYVKDTRFVAGCDSAACAAATIPEAVGAAGSADYVVLFFGLDQNQEREEVDRLNLTLPGMQQSLIESVANAAKKPVILVLLCGGPVDVSFAKTNPKIGAIVWAGYPGQAGGIAIAKVLFGEHNPGGRLPVTWYPNDYTQIPMTDMRMRADPATGYPGRTYRFYRGPTVYNFGYGLSYSKYSHRFVTEGTKPPSMSNIEGLKATATAAGAGAVSSYDVEAMGEETCERLKFPALVRVQNHGPMDGRHSVLLFLRWPNATDGSGRPASQLIAFESLHLKSMQTAHVEFEVSPCKHLSRATADGKKVIDQGSHFMMVGEDEFELSFMA >Et_1A_007954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39682774:39693630:-1 gene:Et_1A_007954 transcript:Et_1A_007954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSSPAMASQQPPPTPSPRQELDLDAFLPSSPTSSTASDADADHRRAVDDLLLLLSSSDSDSEESIPIPSASSRTPARLRAPEPPPKPSPPASPSPSASASPRRSTSASPSDTLPSLVSRTFSSNGASSSKPLPSLFRGVRPSPKPGAALAAAAAASRAVFTSHAAAIKSRRSLSAPVEKLLEEGSGSEASEELPSLGGLEAEVEEKGNAEPAAEATEQTTGGSGVEELEEEKHEEMGIEENSESTILLEASTIDSVVAEDVRGLEETIEDVNIAETDQVDNQRVVATEENENEYIADDYSESVQDVEPVDVVSNESFDDKGDERSDSFMEEQLESESIIDKVIEERMEQLEINRKAEKNAEKKLKASMKPLEWAEELEKRQASFGQHWEEGAAAQPMQLEGIGKGPPAIGYMQIEMDNPVTRAMASPSFRTDHGSPQVLAAHRSYIAMGTSKGAVIVIPSKYSIHQADDTDTKMLLFWNQGEKIQSPVTAMCFNQQGDLLLVGYSDGHMTIWDVQKAAVAKVIYGEHTAPVVHACFIRQSKAITGDSKGVVLLHTFSIIPVINRLTIKGTQRLFDGNTGVVLSACPLLVDESVGFSNTSAQANQTTSSGGLGSMMGGVVGGVVGGDSGWKFFNESSSPIEDGVVVMFIMHQHALVVRLRTNIDHVDHIETFSRPDGAREGSIAYAAWKYTSSSSDSTSLDEDRLSWLALAWDRQVQVAKFVKSKILKHREWRIDSSAASVAWLDDQMLVVLNLRGQLCLFSKDGSELRRTTFVPDGLVFDDTILHHAYFSNKFGNPERHFNNSVAVRGATVYILGPTFLTVSRLLPWKERIEALKRAGDWMGALDMAMRLYDGQTQGVVDLPRTVDSIREAIMPYLVELLLSYISYVFEYISIALSNHTGKGGESDGLIETDRSLLTQREEQYARVGGVAVEYCVHIGRNDILFDTVFSKFVAAQSGGIFLEVLEPYILKDMLGSLPPEIMQALVEHYSGKGWLQRVEQCILHMDISSLDFNQVVRLCREHGLYGALIYLFNQGLNDFRTPLEELLSVIQKTNRNEAASTGYRMLVYLKYCFQGLAFPPGHGIIPQSRLQSVREELLQFLLEESKLLTSEVFKGFNASCGKCPKICYLLWMDTEATLEVLKYAFAQDGIGPRDDPYSSIDAFVSTDEDDTEAANPVTQNTMVQKVVDAVIDIVGLENEVTRSVVTGTADSEFWPSEKEFGYLIEFVSFFVSRKRANTSKRVVIHILTYLTSSYDDTRTPTQKEKEVLQLFSAVPQNDWNSDFVLNLCLDAHFHQACGLIFMTRNQYLSALNSYMKDKEEPFHAFVFINKKLVELSRDEALSFRSTVVSHFPELVKLSRECAFILVTDHFHDETQQILAELRSDRNSLFLFLKTAIEVHLSGKLDFKELSVRNSQTVELDYTSTDLKGYLQRLSNLPKLDHNPVSINDELIELYLELLCQYEPRSVLKFLETFDSYRLERCLHLCLDYGVTDAAAFLQERVGDVGSALALVLEGLDKKINLFISSVENTFSDVASKSISTTKEPDIVLEMLEAHPVLDALRASIGLCQRNSQRLDPEESQSLWFQLLDSFSEPLKKLYASKDANEKHARSKGNEAPIEQPKGKGRSQLRISSKKCCLNALRKIFSKFVGEIIEAMAGYIPLPAIMAKLLSDNGSQEFGDFKLVIHRMLSMYLYEKRILETAKSVIEDDSFYTLSLLKRGVCHGFAPQTFACCICNCSLSKEGAVSAVRLFNCGHATHLHCESEQSRSSNRESKDGCPVCLSTSNTQARNKSTISENGLVNYSGSESEVSHGAHHIHEAGHAERSRGLQHMSRYEILNNLQKAQKSLHIETVPPLKLSPPAIYHEKIQKRAVLAGEPSKHSARSQKPQKIWQMKEQKSKQTGNRLPQKPIVLKKGSWCKHCMVCSPTT >Et_5A_042162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6921572:6922629:-1 gene:Et_5A_042162 transcript:Et_5A_042162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLERRMSRIMIEVDERAATSLDKALVRATCAEMVGAPPHNSPGKKEEEEEQEDEVDDTPEPAPPDGGGDSDNSSAAGKPRAAPRARAAALRMDFASCYLPDHDEDAHFGHAKAGFVGVADGVGGYRDKGVDAGAFARALMKHALAAAKQAATAKRGRHNINQPVVTPQSLLQRAYIKAARARATGASTAVVLSLRGATLRWAYVGDSGFAVLRGGRKVMAHRSAPQQHRFNRPYQLRAGGGGDRVADAAVGEVAVAEGDVVVLEWVVRAGTERGMSPQGMADKIAAVARKMSRSWSTPSPFNVESARCVRDGKRPHYGGKEDDITVVVAYIVSKDS >Et_8A_057401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2724918:2725687:-1 gene:Et_8A_057401 transcript:Et_8A_057401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSGSRSMEVDLRQEEFVGGSAGNNSTNNPSWARRCAACKYLRRRCAPDCVLAPYFPASQPRRYADVHAVFGTSNATRLLQSLPVQERGRAADTMATEARWRVQDPVYGSAGIIDRLQHQIRDAQRDLATARAQLAFMQMMHGAPRPAGTQPSPSTLLLPPPAAAEVQNNGLLAVRHEEEEETSPMDPDEFLDLGDL >Et_3B_031514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3427570:3428681:-1 gene:Et_3B_031514 transcript:Et_3B_031514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRAHWDPAATAKLIELCKEEKSLRNFNRIGPTHLGWTHIHQGLQAAFSNQFDHKQVSNKIGSLKRSYHEWLKLQRQSGLGHNRSTSGVTADDSFWDEHEEIDEHDETEPSEEDHEGHEPTLPQDCGTPSPFLEDLEFLFGRTPQDRGNLAQQHDDDLLRSVSNIMRVDGFMDGSEMFLKAAVLCQHRPTRVQFLDLKDRDARIEFINFVWSNRDARI >Et_6A_046478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15802732:15811872:1 gene:Et_6A_046478 transcript:Et_6A_046478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVRGTEQGDAVVVAEAFQLVPQGVKAPVSAAQSAAHQSIGALLTILNKLRRRSQTDFFEKKRTYGCSGCHRNPVEEPKFGTQTSTPGRSEQFFHSSNQPFPFMAPHCGFDGWYASVSRASSSLQLAPGTNTIGWRGSSPTTASLLVAVGR >Et_3B_029994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29768779:29770795:1 gene:Et_3B_029994 transcript:Et_3B_029994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCVQVHQLVAQFPPSHGHAREKKRWGTFGRSRGPHAEPAINIPLYREPSSIEKILGDAEMEQQQRQFYAATRAQYQVTPARPTTVTAAPSASSSLPAAARERERERERGDAKPAAVTLPLPLPPPSPPPLIRRFDHDREQQQRLQQMQSRAETEWRQQPQPQQQQRRHRAAAARQRVPPPDRARAAAVAIQSAFRGYMAMSFGAAIDVVGFDDAFAWVQARRNYRSLRGLIRLQGVMRGASVRRQTAQTMRCMQTLVRVQSQVRASRVEAMERRNRQHHGAMLRDGGRWRAGSRDGGIWDDSLLTPEEADARTKRKVEAVIKRERALAYAYSHQLLKATPMAAHAILADLQSGRNPWWWTPIERRHDPDAYHRAPVETISKPRPALAVAHRETSTAATTPARSVASSFSAKPRAMTTRSSVKGGAPPPPSSYHAGSIRDDESLTSCPAFGGVPNYMTPTLSASAKARARAQLLQQHQEQQRKAAQEKPRFSFGLGQSIGSWAKSPFWKGGGGAPSSTSRVGTPAASVAGGRHRRSVSGLSVDSTVSMPAGIGRRPFK >Et_9A_062834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5649520:5653025:1 gene:Et_9A_062834 transcript:Et_9A_062834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGVLMGRRSKWREAAACALLLVLCVGCAVAVDDQGAALLAWKATLRGGGGGALADWKPSDASPCRWTGVRCDADGGVTELSLQFVDLFGGVPANLTALGATLTRLVLTGANLTGPIPPELGALPALAHLDLSNNALSGPIPAGLCRPGSRLETLYLNSNRLEGAIPDAVGNLASLREFIFYDNQLAGRIPAAVGRMASLEVFRGGGNKNLQGALPTEIGNCSRLTMIGLAETSVTGPLPASLGRLKNLTTLAIYTALLSGPIPPELGLCGSLENIYLYENALSGSIPSQLGALKRLTNLLLWQNQLVGVIPPELGSCPDLAVVDLSLNSLTGHIPASFGNLSSLQQLQLSVNKLSGTVPPELARCGSLTDLELDNNQLTGSIPPELGGLRSLRMLYLWANQLTGTVPPELGNCASLEALDLSSNALTGPIPRSLFRLPRLSKLLLINNSLSGSLPPELGDCASLVRFRASGNHIAGGVPAEIGRLGNLSFLDLGSNRLSGSIPAELAGCRNLTFVDLHDNAIGGELPPGLFRDLLALQYLDLSRNAIAGAIPPDVGTLASLTKLVLNGNRLSGPVPPEIGACSRLQLLDLGGNALSGEIPGSIGKIPGLEIALNLSCNGFSGAIPPEFAGLVRLGVLDVSRNRLAGDLQPLAALQNLVALNVSFNGFEGRLPETAFFAKLPTSDVEGNPALCLSSRCAGGGASDRDRERAARVAVAVLLSALVALILAAALLLYRQHRRAAAAREDDDKDAAEMAPPWNVTLYQKLEIGVADVARSLTPANVVGQGWSGAVYRASLLSSGATVAVKKFRSSDEACVEAFACEVSVLPRVRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGALLHGRSGAGAGHVVVEWEVRLAIAVGVAEGLAYLHHDCVPGIIHRDVKAENILLGERYEACLADFGLARFADEGASNSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLELITGRRPLDSQFGEGQSVVQWVRDHLCRKREPMEIIDARLQGRPDTQVQEMLQALGIALLCASPRPEDRPMMKDVAALLRGIQHDDGAEARKGGGSGGGDGAAAEVRKWADPKQPISPTKLMALVRPVQSTQAQPESRASSGSQSLLNSRE >Et_5B_043806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16328589:16333385:-1 gene:Et_5B_043806 transcript:Et_5B_043806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSHAEIDDGDATGRGGRRHPSLPAPASPLDNDDILQEILLCLPPRPSSLPRAASVSRRWRNLVSDPQFRRRFRAHHRPPPLLGFYNGLNFVPTQDPPDRVPASRFSIDLPDDEEGGWYCLSSCAGLVLYSDRNEFLVSEPMSGHRHQVPSPVNEKDKGTVFPITAAVVPLAADSSHGYSSFRIIALFSNRSHGIRAFASVYSSVTGVWEGSNGFLPAEPTRDLMISLASNLVGNDIYWAVDLPGILEFDLDKQSLAVIQLPPNVDINGSSVFGVMPAEGHQLGIATLNAHSIRFWARKANHGSPVRWVLCKTVHTNNFLPVGCLPLFLAGIAQDGKVIFIATNGGVFLVHLETMQSRKVLDAIALALQQAA >Et_10A_000276.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20928424:20928672:-1 gene:Et_10A_000276 transcript:Et_10A_000276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERANSNLLLQNLCIMQQNEELRRKARQLDQENKALLAQLQRKHHQQQQQQAGSSSSAPASQAVVPGGGHSAAAAGGNTQPK >Et_1B_014157.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:33009916:33010428:1 gene:Et_1B_014157 transcript:Et_1B_014157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSFVLAYLGIAWISLAAGGLYNLFVATSKASPPNPAFDVLVVLAAAAVRLQLVLRGPFLPIDAGDAAAGRCPTVITGRLARRLRRSAGRDVSSCHGTDDVGDAVVRQQFVNQRLGLDVLHDDEQPVQQHARAAASGDGMRGVPRRGGEGADGEAAAAVPALVPPAVR >Et_3A_026300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6574663:6581215:-1 gene:Et_3A_026300 transcript:Et_3A_026300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDVLMANAEADNAGEPLVWPWTGILTTATTDAGAGAASTLAAHAHQRFAGITTTALQEEAAANHHLHFLVIHFGKSWFLREAGARARSVEDVENDEASVAAGLAAVVCDYERRAKFLEDKRDEMVGLVQSLEEESSWLHGELKELKNISDKIIPGMDQDIDNENEKLRKELDAIKREIESSMQRIKELKDGRTELLHCSKVQKLVFEINSLNKARKPMMSNHVQMLPEKHKEEMEAIYAKVVKLERQLEQRQAQESAICLLNTKLQAGANLSKEEYQHLYVLMIILKNCLHQESERLENSSVDIIKRDILNRDVLHETRQELIKGFEGMLIDKCTVIGIKRMGQLDEKPFHNACKRKFRDDDPEGKAARLVSSWQEELKKTSWRPFTTILVDGEDKDVVDEDDPKLRQLQNDYGDNVCNAVKAALRELNDYSPQDRHAVNELWNFREARKATTPEVVKYIFEQLKISTAYVAEEGPTRGFSLNDWNANLPSSGASQHPSAVALSPTDPPIAAPVAWACAGQSLMYIVRTKRTTLATTKRGTRNGNHRRNGRNSCGGCGGGRLRCWCCGPLRPWCPGPWLRWRGLLGRPWPPPPPSTSMGTTAVSPRRGMAPAAGGIVGRREKCEPAGRNSAAREGGSPR >Et_1B_011623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24278905:24281770:-1 gene:Et_1B_011623 transcript:Et_1B_011623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVDLDLNCCPPSPEEPRLAMLAREQPFSEQVDRHKLYGSFCKQSSAASSSRHSTHEYKLMSLDERENPNPCVSNSFNEHKTHPNATQQNFDASRSVWRKPDMFVPVKRSYHHQIDLEKPATSDDVSDILVYSGLGNSANHIVRSQESSCCISPENSLVETGLLCREPNSFRVSPGSVGSSGTPGCQSPIKQTNTESRHSLFDLNEPQEESLHVFVTSSQEIYPASLHSSSPCPGAFSKKPSQVFEKKVGSSTGSLEESSITVMVPNSAVCSSKNVAAASLVQREGLIDLNVPLESTDMPSENLSSFMLHPVSTTHGINKSQSKVLIPGTPLADNHVRLKPGVSHDSPSNSQMDVSMLGAGAESDDIMVDTAAETLLSIFRHNSACTANTPERNSQISAPDQNNEPQCSLDSFEKVVLNLEEVRDDGQSILVIPPDKDAPACGIKLKRGRGMRNFQREIIPGLVSLARQDICDDLHAIGYEPKKTRSRKARRGQGASSSRSRPRKRGTATRN >Et_2A_018167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16081022:16084135:1 gene:Et_2A_018167 transcript:Et_2A_018167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLRSLQLFRVNLTNEELTTILDNCLQLESLDIRNCRKIKMDSALRAKCARIKTKKLLLYECTDEVEDFRPGSPISFCSSCIIFGWKTDNGVNSSDVSDSEDSEDYSDSSDYLSAADEIDLDEHERMIDKTILRYLFIQVPNLNPRDALRHCVAAAGNLPQAQEKESETDILKAFHALPPARNWADLPLDPLLYVLRKVGHVELLVGGAAGVRRSWRRAVIDEPSLWRHIDVRGYARLSFRRDVDLHQLARIAVPRSAGLCNAFWSECAGDDDFLVYLADQAPMLESLRLISCYDISKEGFLASIKKFPLLQELEISLCGNFTDQETFEAIAKSCPQLKHFLHIERRRFYGTDLNLDWKATAIAGTHGLRTLELHGDCLTNQELTTIINSCAHLEMLIIHDCPNVTVDNTLLAKCARINTVSFRGDESDHYEISAPTVSSFCYSCAIRRYTDYEYLGDGFFIEDLCDYYAWSNYINGVYVTDLDDDEDSRILAKSARRYLKISTEG >Et_9B_064990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20284787:20289305:1 gene:Et_9B_064990 transcript:Et_9B_064990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATAARLLLVLAVYLYAAAALRSLGVREGAGAGASEGGAAGSGDAAVDLNATNFDAFLKASPESFAVVEFFAHWCPACRNYKPHYEKVAKLFNGPDAAHPGIIVMTRVDCAEKVNMDLCSRFSVSHYPFLLWGPPTKFVSAQWKPKQENSEIELIDDGRTADPLLLWMTKSMKMKIVRAIYDVEEATAHALQIILEHKMIKPETRDTLIRFLQILVAHHPSKRCRRGSADLLINFDDHWPTNLSLSSQESSRLLESVAADNHQICGKEVPRGYWMFCRGSRSETRGFSCGLWVLMHSLTVRVGDGESQSTFTSLCDFIHNFFICEECRKHFYEMCSSVSVPFKSTRDLALWLWSTHNKVNQRLMKEEKELGTADPSFPKDIWPPKQLCPSCYRSLSRTADGAVQVDWDEDEVFQFLVNYYGKMLVSSYKESYMESLLQEKRQLGSISDDSSASSAATVPIGAALGVAVASCTFGALACFWRTQQKNRKQRKNWN >Et_3B_029987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29593928:29597280:-1 gene:Et_3B_029987 transcript:Et_3B_029987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRGRQALLLLGFLFLLNGAASRADDTVAAGRPLSGGHSLVSKRGKFRLGFFQPDNTTHRWYLGIWYNQISVHTNVWVANRETPITDLESSQLPIATDGNMVILDHRKSPIWSTNVTGVSNRSTAGVILDNGNLVLADASNASAVLWQSFDHFGNTWLPGGKLGRNKLTGEVTRLTAWKGYGDPAPSPFSLELDPRGGSSQYLLNWNGGAAQYWSSGNWTARSFAAVPEMTATDAAPVSMYSFGYVDGADESYFVYDVKDQAVVTRFLVDVTGQIKFLTWVAAAGEWVLFWTEPKAQCDVYALCGAFGVCNEDALTACGCLRGFRERRPEEWRQGDRTGGCVRNAGLNCETSSRSHSQGAAAEKNSKSDRFYTMPSVRLPSDAQSAAAASVHDCELACLGNCSCTAYSYNGSWLWHGDLINLQDTTGAGTGDGSISIRLAASEFSGSGTSKKLIIGLAVAGFVAAVTVVVLVTLFVLRSGRRMKALRRVEGSLMAFTYRDLQFVTKSFSEKLGGGAFGSVFKGTLPADATLVAVKKLEGVRQGEKQFRAEVSTIGTIQHVNLIRLLGFCSERRNKRLLVYEHMPNGSLDRHLFGERSQGVLSWATRYQIALGVARGLDYLHEKDCIIHCDIKPENILLDGAFAPKVADFGLAKLMGRDFSRVLTTMRGTVGYLAPEWIAGTAVTTKADVFSYGMMLFELISGRRNVGHGPDGTVDFFPSTAISLLLDGDVSRAVDSQLAGNADVAEVERACKVACWCVQDAESARPSMGMVVQVFEGLVDVNVPPIPRSLKVLADPSKYVHFFSGLPST >Et_4B_036886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12310007:12322827:1 gene:Et_4B_036886 transcript:Et_4B_036886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DPCIRDQQSITSHLLLALALQLAHSLKRARMTMAASFRLAVVVACVLALASACQGLQVGYYKKTCPRVEAIVRDEVKKFVYKDAGIGAGLIRMLFHDCFVQGCDGSVLLDPTPANPQPEKLSPPNNPSLRGFDVIDAAKDAVEKVCPGVVSCADIVAFAARDAAYFLTRLTLKIDMPAGRLDGRVSSSAEALNDLPPPIFNLNQLITSFAAKGLDTEDMVVLSGAHTIGRSHCSSFVSDRLAVPSDINAGFANFLRRRCPANPTPGNDPANILAKKVLFTSDAALMTSPATAKMVQDNAFIYGLWEQKFKKAFVKMAGIGVKTGSQGEIRKQCRLGLQVGYYKKTCPRVEAIVRDEVKKFVYKDAGIGAGLIRMLFHDCFVQGCDGSVLLDPTPANPQPEKRSPPNFPSLRGFEVIDAAKDAVEAVCPGIVSCADIVAFAARDAAYFLTRLALKIDMPAGRLDGRVSSSSEALNNLPPPFFNLNQLITSFAAKGLDVDDMVVLSGAHTVGRSHCSSFVSDRLAAPSDIDTGFANTLRKTCPANPTPANDPTNVLDKKVLFTTDAALMASTATAKMVQDNAFVSGLWEGKFKKAFVKMAGIEVKTGFQGEIRKNCRLFFLISPFLPVLIPTPAILTNALANLSSHHPGMFALSRTILAVAGEVTSAASDVTFSAIEILKLPANMDSASVLAAVTLLVLAVACHGSPYYPLQMGYYHDKCPQAESIVKAVMEKAIGQNPGNGAAVIRMLFHDCFVEGCDASILLDPTPFSPTPEKLSPPNNPSLQGFELIDAIKDALEAACPGVVSCADIIAFAARDASYFLSRGKVYFDMPSGRLDGTFSNASEPLKFLAPSTSNLSDLIDSFDVKGLSVDDLVILSGAHTIGRGHCSSFVPDRVAVPSDIDGGFARFLRSQCPANPTNSDDPTAVQDVVTPNDLDNQYYKNVLDKKVLFTSDAALLTSPETAKMVVDNANIPGWWEDRFKTAMVKLASIEVKTGYQGQVRRNCRAIN >Et_3B_029216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23038581:23042394:-1 gene:Et_3B_029216 transcript:Et_3B_029216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQDISDELYSFVGMVHHRKQEQIFVFQENDIKPERTRTHADLQCSQKPYRPKLAGHLECSSGPSTMISWKTIYHVLEETVPLYVAMILAYLSIKWWKLFTPEQCSGINKFVAKFSIPLLSFQVLSTNNPYDMNLKLILSDVIQKSLALLGFAVISRACCMEKFDWLTTGFSLSTLPNTLIIGIPLLKGMYGDEAVKLISQIVALQSLIWYTLLLFLFEFRAARGMATTTSSQTTESGTPGPTQHRYEEDQAKGVSARCYRAFCFLSGVGRKLMTNPNMYASLIGLIWALISFRWRIQLPLIVSNSIRILSDGGLGMAMFSIGLFTALQTKIIACGTKKMLLSLGIRFFFGPALMLISSYAAGMRGTLLKIAIVQAALPQGIVPFVFAKEYNVHADILSTAIIIGMMVAVPVALGYYFVIDHPRL >Et_4A_034316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31771893:31775084:1 gene:Et_4A_034316 transcript:Et_4A_034316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVQSRDLARLVDTLCASGRSAEAHHRVSLLLLSAASPLSRSHFDELLRRLLRARTPLLTLRLLQHAAASALAPSLPNYNRLLALLCRADTPPLPLPVHLAHRLLLRMRSPPDAASYAALLDGYARVPDPRAARKLLDEMPGRGLVPSSLARTFLVKAFLRSRDVDAAMDLVDNHLWPSTLEGGQFQENQEVMNAAFANLMQCLCTEGFFHVVFRIAEEMPHRRYGVDDEFAYAQMIDSLCRAGQHHGASRIVYIMRNRGMCPSTVSYNCIVHGLCTSQKPGGRLRAHQLVMEGASFGYRPREVTYKVLVDELCRENEVGKAKDVLELMLQPQFGNDKGGDEETRTRIYNMFLGALCALDNPSEQLSVLMSMLQAECKPDVITMNTVIHGFCNAGRVQEARRILDDMLSGKFCSPDVVTFTTLISGYLDAGDHAEALDVLRTLMTRRRCSPTVVTYNCAIKGLFALQQVDTAMQVLEEMKANNVTSDSVTHAVVIKGLCDAGQLEKAKAFWDDIVWPSGIHDGYVYSAIFRGLCKQGKLEQACDFLYELADCGVVPSVVCYNILINAACKKGLKKLAYQMVNEMRRNGLAPDAVTWRILGKLHHCGKEEEEESRPLLSFSVAKRYTDGVEPSVSTENVMPSLSSSISLHELNVYNNKANIKDEVNVDGEGNWTKITEDPSDNTGLAKELEGSLIDSRCETTTDEGSATQEDGLMKPNEQPVIRLPLARVARKEKQEDQLDKDASFVTVMIIVNLFPVLVCSFAFKLQLACSFFHNFLCAKPKRIPFPCRKRLSIHYPLNCWSSREDLRPYIDDNVMRLAWKMCL >Et_9B_064289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13657421:13657883:1 gene:Et_9B_064289 transcript:Et_9B_064289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKDMARADAERVPPAWLRALLDATFFEECPEHPGTSRATWSGGCNLFGTNCTGRPLCSVCIAGEHDGHKLIQMGRRSSRHNVVKVKDLEALLELWKSGRCNRTPSTATFSHANLDVHQFVPVSHRYI >Et_3A_025591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31071888:31079759:1 gene:Et_3A_025591 transcript:Et_3A_025591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPVAAPSSSVPATAAVAAAPRVPAAAAPAPAAAPPALATQKPPSTATAASAAVPDAAASCRRQVFSVELRPGETTIVSWKKLLREAGHVAVAPPPVAEPAFAAHVGPPGAAHPAENDPKDPSQPNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYDTEDSFIDDAELDEYFEVENMTTKHDGYFVNKGKLEQIEPGAVANVAPKKRRRKDASADNNQIAPGDYFNTGDVPGKSSGRGVAQAGKPSSSNVGSYGQYYEDNRVVKNKIGAPGGAPKRKSSDFAMGADAAARAKISSKDISHAPLELRDLEKHKAATLQPIDYAYKSKTSETYDYAYSAYRDKGTSVQLDFQQRKASGENQDQSNRIHRKEKHGISEYPAMAMAGAAYSTQAAHPIVGREGSGTKPKGTRLERAIRDLQKIVAEYRPPTIDINEVDPNGQAAVKRRLPQEVKQKLAKVARLSANQGKVQEHELMDRLMGIVGHLVQRRTLKRNMKEMVELGLSAKQEKADRFQRVKLEINEMVKERVAAKAKVSEQQDGSADDFQVGNDERRALKGKSVMDAALEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPQGYMDNVGIKDAIYRSKERKRLLYSQQKVRSEERMKRKRLAAAAKLQDGYPVAMQSAVIPQVAQPSMTNAMTYPAPGYGQNQGLKSFERVREISSSAVHDDSNRNAGEMKKKRRKPESDFVDTQANPLKAPLQHVSEKQKPSKPSDEAMVLTETVLGLPTTLAAECPEYKLLST >Et_8B_060222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:7674919:7675742:-1 gene:Et_8B_060222 transcript:Et_8B_060222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKVDLRGLKPGGPGWEEARAAAHGAVLVVHDAVGPDLRQALFGRASPEFYAIPPDAKQLVSGPINGYIGPRPQAPAYESACVRETTLDGSVVRDAAAVVWPDGYPAFRDTIETFAKNMLDLQTTLETMILEGLGVRKEHIDAHLQGPAVALRVARRDGERDVHAGAQGPTVLPLLAQHDVDGLELQVDGGRWLPVPAEPGTFTVVAGELLTVKLMN >Et_4B_038285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27890682:27894063:1 gene:Et_4B_038285 transcript:Et_4B_038285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVCTKVVKGLFQYETSFIPESTSSDECRSTSGAASMEIIASSRASVCCKTEGFREENQDAEALDPLCSFVPCSVSCDEGHNSQAPVYKQNEGNNEYGINQPPECEQSKGKEKEFMYANESPKFQDPDGEAGPSSVALVKSPELNVTSRRRQYSSLRPFSTVAPKSNILEATSTHNADVEVCRPERFTPVTLNKCIQRVQATKQSMENNVEAQTLQVFSKVQKKPYYSQDSSEHQIKEQEIPRDVCQQAANLKVGKQCLKRKRVQFAEAKLSSRRMKNGRRMLTKSRFSRSDGRIGETLENSEYICDKAALFQGVEFLLTGFHRQKEKEIESLIHKFGGHVLHKVPLFPLDRRKNMTEFPSWEPPIVLSPRKVSTAKFLYGCAIDAWTLHPNWLFGSVQAGVLLPPGKYVIRQRKALKHCSAFVQLLHPKCNALIFDGVGFLIHGKINFCSKFSNIIKHGGGQVFMSLQGLVESLKDGSTSHGIILVANEASAPRHLSHCGLEHDIKTAPANWIIDSLYSGKLIPLKKDRCASFRRIKMPSFQQQHVFVMSQEI >Et_9A_061326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:990547:992503:-1 gene:Et_9A_061326 transcript:Et_9A_061326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLTPRQPKKAYGGEGGSYLEWSPADLPMLGVASIGAAKLSLTAGGLSLPSYSDSAKVAYVLQGAGTCGIVLTEATKEKVVAVKEGDALALPFGVVTWWHNAPESTTELVVLFLGDTSKGHKPGQFTNFQLTGATGIFTGFSTEFVGRAWDLTQEDAAKLVSSQPASGIIKLGAGQKLPAPSAEDREGMALNCLEAPLDVDIKNGGRVVVLNTQNLPLVKEVGLGADLVRIDAHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGPDGKRVLETRVEGGYLFIVPRFHVVSKIADASGMEWFSIITTPNPIFSHLAGKTSVWKAISPEVLEASFNTTPEMEKLFRSKRLDSEIFFAPN >Et_1A_007871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39026453:39027104:-1 gene:Et_1A_007871 transcript:Et_1A_007871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPTKPGAPQPPSPGKGIHRKLGAGVIRLLQGPAVVALAAALAVSTPAPGSEPLCNLPPELSGEQDGLQGEKNKIRHPKSAQAARCTSKCVSTCVLGGYGAPGVGGPFNVRRPLVVFKDTFRSRQYCLVECSDVCNLIKDGEDDQ >Et_9B_064557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16417798:16420003:-1 gene:Et_9B_064557 transcript:Et_9B_064557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRLRWCLSPACLLLAVAVVLAMPGLAAARTRRYTFNVTMATVTRLCVTKSIPTVNGQFPGPRLVVREGDRLVVNVHNNINNNVTFHWHGVRQIRSAWADGPSYITQCPIRPGQSYTYRFQIIGQRGTLWWHAHFSWLRATLYGPLVILPPRGVPYPFPKPYREVPLLFGEWFNADPEAVIKQALLTGGGPNVSDAYTFNGLPGPTYNCSADDVFKLRVKPGKTYMLRLINAALNDELFFAVANHTLTVVQADASYVKPFTADTVVISPGQTMDVLLTAATTNPSSPAFAMAIAPYTNTVGTFDNTTATAVLEYAPQRFSGVAALPAPALPAYNDTGAVTNFSSSFRSLASAAYPARVPRTVDRRFFFAVGLGTDPCPRGSRPNGTTCQGPNGTARFAASMNNVSFAMPRATHGTRVVPLAFNDTVEVVLQDTSILGAESHPLHLHGYDFFVVGRGFGNYDARKDPAKYNLVDPVQRNTISVPTAGWVAIRFVADNPGVWIMHCHFDVHLSWGLSMAWLVNDGPLPNQKLPPPPSDLPKC >Et_7A_050331.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:3566845:3567852:-1 gene:Et_7A_050331 transcript:Et_7A_050331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKINQRLPPGKPLWKPKRHSILDLDRQMRSDDDHPTLLPVLRDDVLEQLGSLGIQRDRRLIEQPDRAVDCEQPGELQPLPLPHGEHPGLLVHEPAEPHELDGRGRPRAVLPLEELEPLHVLEHGELLLHGVLERHPVELQLVLLEHARGGDVVAVPEELPRRGPREAGEHAEEGGLSGAVGAREHQRGAVVDAEVDVAEHRLRVSHARDVVEEEPRRRRPQRRHHCSLAQRLCGRVETRSCALRRRWVGECGGARRGRSGGGRKMCWLGLCECSVLSVRLPGARGRVVGPTRKGINGPAICKMREDWAGRKMRFILGDVVAFDRKIFGERSHFQ >Et_2A_015333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11090198:11094051:1 gene:Et_2A_015333 transcript:Et_2A_015333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSGSNNGYLGVTEPISLNGPTEVDTARTREVEKYLADAGLYESQEETVSREMVLGKLDQIVKSWIKKATRAGGYGEQFVQEANAKIFTFGSYRLGVHSPGADIDTLFVGPRHATRNEYFFQVLHDMLSEMLEVSELHPVPDAHVPVMKFKFCGISIDLLYANLTHVVIPEDLELSQDSILYNVDEQTVRSLNGCRVTDQILRLVPNITNFRTTLRFMRFWAKRRGVYSNVIGFLGGINWAILVARICQLYPNAAPSMLISRFFKLYSKWKWPSPVILCHIEEGSLGLPVRNFRDRGHLMPIITPAYPCMNSRYNVSISTRYVMIQEFTRAYEICQAIDDGKAGWDALFVPHPFFESYRNYLKIEITARSEDDLRNWKGWVESRLRTLVLKIERYTREMLLSHPNPRDFVNSSRPLHCFYFMGLWKKQITQGQEAEQFDVRAIVNEFKISIHAYQYWKEGMEIEVTHLRRKDIPLFFPDGVRPSRTADKEARTILRNKVSTDPQAGHLPSDPHYALSKEVKLASDPSAAYHSPGRTIDSSLPSENTAHDSNGYTNVHTESVEERLCNYPDSTLVPQKNGSLDVVKPPQTTIPNSINDFSSPTNGLDTILDNSCEGRAEIDVNRHSNFTSTVLGVPDEGKIHPQNLNPVAQTLSMDGGTGSTVGHIGTVDSHGVNNLKRKAHEELE >Et_3B_027963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28518938:28519269:-1 gene:Et_3B_027963 transcript:Et_3B_027963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEKYHEAESEFRQLKIDSEEATKQFENIVKVMNEELERFQEQKTADIGLAFHEFAKGQAKLAKDIADAWRSVLPKLEACSTS >Et_2A_016327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23396451:23397590:1 gene:Et_2A_016327 transcript:Et_2A_016327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLGFLQHLTICLDLFTYYVSNLLPCFQTELASIGEKEWYFYCPRDKKYRNSGRPNRVTGAGFWKATGTDRPIYSSEGTKCIGLKKSLVFYKGRAAKGIKTDWMMHEYRLLSLIDPTLPKKTRDKNIAAEDAWAICRIFKKPCSVAQRVRSHSRGSQSVAAIEPDLLSALQSIQTSHFTLESSSCSTNWFNRQQYLQAQQHQKLNSQDGSSCKLQPSNEYEVDWAIDTNGGIIKRDDDPYTRKHGNEYSSGSECGIPQKIKSPFDLGVDSPDDWTSNKLCDFSALSPTSHR >Et_9A_063212.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:15703034:15704446:-1 gene:Et_9A_063212 transcript:Et_9A_063212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSCRDLHPPLLPTLPRRGTTHAQLSFLRAAAAAPCRRPLTTASSRTAPRLPAAATTRDDAVASLVGQLEHDVAQAQYRNYVEDEEEEDRLINQLVPGDELAARWREIHGRDDWAGLLDPMDPLLRSELIRYGELAQACYDAFDYDPSSRYCGSCKYPRRELFARLGMPGAARGYAVTRYLYATSNFRFPSIFPQSRAGAKIWSQRANWIGYVAVSTDEESARLGRRDVAIAWRGTVTRLEWVSDLMDFLRPVADEGLPCPDPEVKVLSGFVDLYTDKDPTCRFCKYSAREQVLAEVRRLVARYAARGEDVSITVTGHSLGSALAMLSAYDIAESGANRGSDGKEAAALCVYSFGGPRVGNAAFKRRFEAELGVKALRVVNVHDNVTRMPGILVNEGAPEMVRRVAETVLRVPWCYAHVGVELALDHKRSPFLKDTLDPACFHDLEAHLHLLDGYVSALPHLTWPTHW >Et_6B_049627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7496863:7510457:1 gene:Et_6B_049627 transcript:Et_6B_049627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRTIRRTTINAGGMAATFLTVTAALLLIGAAVADAAAGEPPFSCGASSAEAAQGYAFCDASLPAPRRAADLVSRLTAAEKAAQLGDVAPEVPRLGVPAYKWWNEALHGLATSGKGLHFDGAVRAATSFPQVLLTAAAFDDDLWFRIGQAIGREARALYNVGQAEGLTIWSPNVNIFRDPRWGRGQETPGEDPTTASRYAVAFVRGLQGSSSTGGAPPSPLQASACCKHATAYDLEDWNGAARYSFSARVTAQDLEDTFNPPFRSCVVDAGASCVMCAYTEINGVPACANAGLLTDTIRGDWGLDGYIASDCDAVAIMRDAQRYAPTPEDAVAASLKAGLDIDCGSYVQQHAPAAIQQGKLTEQDLDKALTNLFAVRMRLGHFDGDPRTAASTAPYAALGAADICTPEHRNLALEAAQDGIVLLKNDAGLLPLSRAAVGSAAVIGPNANDGLALIANYFGPPCESVTPLKGIQSYVADVRFVAGCTNSAACDAAATDQAAAAARDADYTFLFMGLSQRQESEGRDRTSLLLPGQQQSLVTAVADASKRPVILVLLSGGPVDVTFAQANPKIGAILWAGYPGQAGGLAVARVLFGDHNPGGRLPVTWYPDEFTTVPMTDMRMRADPATGYPGRSYRFYTGRTVYKFGYGLSYSAFSRRLVSGNNPSPPNAKNVLAGLRETKAETEDGGARHYSYYHVDDIGADGCERLKFPAVVEVHNHGPMDGKHSVLVFLRWPNATEGRPASQLVGFRKQHLRAGEKANFRFDVSPCEHLSRVREDGKKVIDKGSHFLVVDDHEMEIRNAGAMAALMLLVIMIPALLINNAAMAAAGPAFSCGPSSAAKSFPFCNRKLPAAQRAADLVSRMTAAEKVAQLGDIAAGVPRLDVPTYKWWNEALHGVAISGKGIHLVNGGAVHAATSFPQVLLTAASFNDNLWFRIGQATGKEARALYNVGQAEGLTMWSPNVNIFRDPRWGRGQETPGEDPAVASRYAAAFVRGLQGNAGNAKSAPPPSTLLTSACCKHATAYDLEDWKGVSRYSFAAKVTAQDLADTFNPPFRSCVVDGGASCVMCAYTSVNGVPSCANADLLTKTFRGSWGLDGYVAADCDAVAIMRNSQFYRPTAEETVAVSLKAGLDIDCGTYVQQYATSALQKGKLTQQDLDKAVKNLFAVRMRLGHFDGDPKANTYGALGASHICTPEHRSLALEAALDGIVLLKNAAGALPLHKAGGSLASAAVIGPNANDVLALLGNYWGPPCEPTTPLAGIQGYVKNTKFLAACSNGAACGGAATDQAVALAKSVDAVIMFMGLSQTQESEGKDRTTLLLPGQQQNLITAVAAAAKKPVILVLLTGGPVDVTFAQNNPKVGAVLWAGYPGQAGGLAIAKILFGDKNPSGKLPVTWYPEEFTKFPMTDMRMRADPASGYPGRSYRFYNGKTVYKFGYGLSYSKFSHRIVAGARNPAAASNTSLLLAGVAPLSEDKASYHIDDVGTDVCDQLRFPAVVEVQNHGPMDGKHSVLMFLRWPNATAGRPVSQLIGFRSEHLKVGEKANLRFDISPCEHFSRVREDGRKVIDKGSHFLRVEEHELEIKNPKGFFKLRNRIGRVYMEVP >Et_8B_059935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4147929:4150149:-1 gene:Et_8B_059935 transcript:Et_8B_059935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTQLPSSPDDPEPSAGREMDDEDLVEELLATVNSARAFAEFRRTQRRECANLLRWLQLVLPLLEELRDSAPRLTDNAYRRLALLGRAFAAARRLLRSCHDGSKIFLALESEAVLTKFRNVYEKMHGALDGMPYAELAISDEVMEQVELMNAQLMRCKKRTDTQDIELSMDLMVILQNKEDERNADRAILERLAKKLELQTLAELRAETMAIKKLINERKHADSTKQIIELLNKFKRIAGVDETNVLGDVSMPKSLGKCPSLMIPNDFLCPITLEIMTDPVIIATGQSYERRSIQKWIDRGERTCPKTREPLAHLSLAPNYALKNLILQWCEKHMVELQKREPEPAAERGDQRKEEDIPSLVEDLSSIHPEVQRKAAKRIRMLSKESPENRRLIADNGGIPALIGLLAYPDKKVQENTVTTLLNLSIDDNNKLLITRGGAIPLIVEILRSGSAEAQENSAAALFSLSMVDENKAAIGRLGGIAPLVELLRSGTPRGKKDAATAIFNLVLSPQNKARATQAGIVPALLAVIDDGPALGMVDEALSIFLLLSSHAACRAEVGTTAFVEKLVRLIKDGTPKNKECALSVLLELGSNSKPLLVHALRFGLHEDLSKIAKNGTSRAQRKANSLIQLARKCY >Et_8A_057391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2577778:2581305:-1 gene:Et_8A_057391 transcript:Et_8A_057391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDSEGEAEEYLFKVVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSMDIDGKEVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDISRRSTFDNVGRWLQELNTHSDTTVAKMLVGNKCDLENIREVPTEEGKALAEAEGLFFMETSALDSTNVKTAFEMVIKEIYSNVSRKILNSDSYKAELSLNRVSIDGESKDEQKQTNRFGRARLLLLKMESQRLSGDSHGDSHPHQIPPQISIKIHPLVLKSTRIRVIRIPSTNFIPEREDLPTLERYQEAMAEEEEKLPVDWLNLANPEAEDGVTPERLRAMLPRPDLQAKQAHLLHVQVPPPPDVGFQIPHPLLCPLRTTTPIHHHHHPC >Et_8A_056687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15010551:15019121:1 gene:Et_8A_056687 transcript:Et_8A_056687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLCVLILFLSSLYLLSLHAAAALSFNYNFSVRADLESGDLKYINDSSPTGDRIDLTNGTQHDSTGRVYHRLPVRLWNGRRERASFATSFFFAIGGNRTNDRGDGMAFFVGPPSLPRDSRSMFLGLFANSPDMRQSQTVGVEFDTCRNDGFDPANVTDQVGIDYASLQNLGLYGTMSANITYDAGSTTMTVSLRLADGSAYSVEAPVDFMDAGVPQDAYVGFSAATGVLADSIHLRAILVSVASASLAGLLLVAALLWFVKQRQQRLPMKIALPVARKFSYHELSAATGNFSEDRKLGAGAFGAVYRGELRDPQLEPRGDPGICGSLGELRELVGWCDGGGDDKLLLVYELVANGSLDDHLHHGAQEKLLSWPERFGIVRGVGSAIEYLHTSCKNPVLHRDIKPSNVMLDEAFEAKLGDFGLVRQGSSLGDTAMVGSWDYMDPKCVTTGTVSAASDMYSFGVLLLEVATGKKPVVLPDREKGSPTNALVTAVRGSYVKGAVLEMADERLNGDFDRAQMERGP >Et_4B_037661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22332211:22335671:1 gene:Et_4B_037661 transcript:Et_4B_037661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLEATRLLSSHLPRPRPLPPRRGLRPLLRPPLLPVPRAHLRFPSPAAPASGKDEPARPNHALLALAALRRSVLDSLAALKKPALALLLAGALLAAASAGPHAALAASGGRVGGSAFSSRSSGPSASYGYTAPAPRAGGGYSAAPFYSPSPFVSFGPAVGIGFGGSGFLLTLIGFAAFLYLAGFLSDSPGGGSVLTETQKTTVLKLQVGLLGMARSFQKDLDQIAEKADTSTPAGLGYVLTETTLALLRHPDCCISAYSSADVKRSMDDGEKRFNQLSIEERGKFDEETLVNVNSIKRNKTGSQRSSGFSNEYIVITILVAAEGVHKLPVINSSNDLKAALQNLAAIPSSKILAVEVLWTPQNENDTLTERELLEDYPLLRPL >Et_7A_052664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11580410:11604457:-1 gene:Et_7A_052664 transcript:Et_7A_052664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPEPHIGNSLNLVGLQDPFAAVPFVACCPKPSSIPAVVRQQASVGFCSPLDNGTAPLKPMDSPAHDVLPWLGYSGSNRETAAISVTFGFISYVRLIPKKIGGTRHSLILEASLLLLIHWLNLFLGAFTAAAAAAYSQGYVGKAPTADEVGSRGSGRGVLRPLRWSSSASAEMMQGQESGFKARLSPVVPTRQTKAIIPICKTSSCGGARDAHSHSPTRGSNSTRGSSTCTHSRDGDYLHIQRISSELARADDLCLRLCDVNPSSRNRIVPVRGITAMVVMLRHGADLEHGGGNGGTEAAGPPHDVVVDIAWRVVATSPRPMDDIASAQASCRVMHAACREGAVG >Et_7A_052107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4767637:4780681:1 gene:Et_7A_052107 transcript:Et_7A_052107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARRLRELLHHLHRCSASALDRAVSLLCSLSKAYAQHQVTERVTRSRRALRHAAGAARLGSLLLHVAYFVALSGAGYGLLAALKVRADHGASRPRGIDLFFTAVSAATVSSMSTVEMEVFSNGQLLVLTALMFAGGEVVVSLVGLASKWFRLRKESMNRARRVESHAELELGAPPTRTFTTGTEETSTYTDDNETSSVITTGEDSSISPAVVDAKRLRHHAARALFWIVLAIVAAFHVLGALAVAAYVRVASPGARRTLRRKSLDPWTFAVFTTASTFSSCGFMPNNENMAAFARDAPLQLLLLPQALAGNTMFPPLLAACVRAAAAATPTRREELVELARKGGEAVGVGGGGYYHLLPARRCWMLAATVAGLVAVQAALVCAMEWGGALRGMTAGEKVVNALFLAVNSRHTGESTVDLATLAPAILVLFVLMMYLPPYTTWFPFEENPTTTDYPSESKGIRLLKSTVLSQLSYLTIFVIAICITERRKLKKDPLNFNVLSIIVEVVSAYGNVGFSMGYSCSRQINPDQHCTDRSTGFAGRWSDSGKLILIFVMFFGRLKKFSMKGGKAWKLIRVTVPHSQSYIAMKPMLPSSEVVRIIKEKIHHLHVFLSTRLGSISKCANYFFKRSYMVFWSNPLLVQLIYFMSISFLGFLVLKSLKPQHTKGPSNLDLIFTSVSTLTVSSMATVEMEDFSDQQLWVLIILMILGGEVFTSIPGLLFKKAKASTDDILQKRLPPTSRDIELSDAVKRLEDIHSEATISHNQVQESKDMKRNFCNILASVVAGYFVVGIVCSSLVVTIYIWLDSDVKYLLKSKSINIWTFSIFTAVSSFANCGFTPVNDNMVMFRKNSSLLLLVIPQILAGNTLFTPLLRLSIWTLGKIRSREEYAYILQHPEETGYRHLQQQKTAVNLALTAVGVIVLQVMFLCYFGWHSIPLEGLNWFQKLVCLLFQSVNTRHAGEAVIDISTLSPPILVLFALIMYFPSDYLCDDQTTGNKKENSSSSTLWNKFIINKPVCLTIFIILACITEMKSMSLDPLNFNIFSIVFEVISAYGNVGYSLGYSCERLLKPDATCKAVSYGFVGRWSDEGKLIIIMVMFLGRFRRSYVATNISLENLGVTRIFEEKFRRLLGFLSMKLSFLSKCAARSIKHTFWILVFQSNSFIVQLIYFIATSFAGFFALKNLQPHGKPVPKDLDLMFTSVSTVTVSSMSTVQMEDLSDQQLWIMILLMLLGGEVFTSMLGLHFNNAKANKMEHSGRNLSSIRWDIESNISANNRDQTGMECDQSEAVLSHNQVQQSKSTRRGSRIILAHIVAGYFSATIVCSSVVIVMYFWINSDARKVLESKDIKIYTFAIFTAVSSFANCGFTPLNSNMQAFRKNSILLLLVIPQILAGNALFSPLLRLIVWILGKISGEQGYANILQYPEETGYKHLHTKKKTVYIVLGITGMILLQVLFVCSFEWDSKAFEGMNWFQKLVGSLFQSVNTRQAGEAVFDISTFSSPILLIFAIVMYLPSDTSFLPINANKQPLADKRPSSRAIWKNFAITTPACLALFTFVACITERKSMSADPLNFNVFSITFEVIRQVRMHPYTIIKNELSQCPSNNTHVLIGSAFGNVGYSLGYSCDKLLKPDAGCKAASYGFVGWWTDEGKLMIILVMFLGRLKQFILKEENFNSAPTTTHQIQRVEAARLPTP >Et_10B_004458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8092692:8094547:1 gene:Et_10B_004458 transcript:Et_10B_004458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSLCATKGRRHECNKLGSGRAVWLLLPLVLYVVLKTGFIVLKSDLLLQDAHCFISARRQQQLLPLGVSKSEVPKGVGRVAPPATSKLTCNFSDFHSNVCEMEGDVRIHGKFGMVYVVSSPSSSSSTYRPEPEKKKKNSSVIRIRPYTRKWEEGTMSRIREVRIRELDAGAGGGDPLPPPRCTVRHGGVPAVVFSVGGCGTNFFHAMSDVLIPLYVTAREHGGHVQLVVADHDARWFAKYRKIVAALSFYPVVDMDGDDVVRCFPAARVGMWSHRMLGIDPARSPNGQLTMVGFREFLRSVFSLPRPLSTPVSRRRSSGGNQQIIRRPRPRPRLVMLLRRNWRSLTNEADVIAALQALGFEVVAARPEDMRDLARFARVVNSCDVLVGVHGAGLTNMVFLPHNGTIVQIIPWGELKWASRYDYGDPVPDMGLRYAEYEVTAEETTLKDRYPRDHPVFADPLSIHRKGEVFKYFLGGQNVTLDIPRFINETMRPVYESVTTE >Et_8B_058811.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:846754:846912:1 gene:Et_8B_058811 transcript:Et_8B_058811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPVTEGNEVAKVLDGGVTRGLGSEGFFEAGTLARWTTSWTCRGAARPCFS >Et_7A_051098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14414554:14425664:-1 gene:Et_7A_051098 transcript:Et_7A_051098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVASSNVLQMHGEESRSFPEFRNLNVLSLCNCDLSDDFRTLGHFLRNDSMKKKGAPKSKKNQPSQCHNLVGVRCENLKHTEIVYRDDDIRLLVELLLHTSENFPNNSIKLRKTAGRLMELEDTGKRARAASSGVLAVDRLSALPDCLLHHIMSFMKARQVVQTCVLSTRWTHLWRSVPSVDLDMMEFKTAAENSDTSDDTRWIRHGMKYSAQVPGIQGKGLSCSSWRVKRLHLSNVHLDDRFTKHVSSGCQNLEPRLIILAPAVADLFLALNSYYTADVAYSGMPSLVNASIHLPGYMRSHLSKDLTKVLSGLCNVTSLDLLGFHTKVFPFYHAHVVVSSDHQVLGQKSTAFPNFKNLKTLLLNQCDLSYSFMTLGHFLKNSPYLETLTLRCCKKWKGKTKSKKVPSSEHLNLVDAECKNLKLTEIIHKDDDDVHLLVELLLSILGYPPQNNMKLTKAD >Et_1B_010408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10261664:10272038:1 gene:Et_1B_010408 transcript:Et_1B_010408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKHVDVKALQRLSGADKKKLRRTAKERFPQASDADLDAILPPKVEITVAKYPNRTLVYGIEGGCPMIFDIDGRGHELFPTVYALWKVPHLLPAFTLKGGEVSRYVIGGADLMFPGISIPPEGFPSFEAGQPWSVKVPGNPAPIAVGTTTMSSTEALKAGLRGKALRIAHYYRDMDSADGRYVPNEGFYEDIVVEDPNFVSTSQSPESSVEHAEGTHEGSVSAEDAAADISDSHAADPDVHNDAVEEISDGVHELELPEGKTTEQQPEEKGHQNLTTEEVDSLLDKCLLQALYTSIKEKDLPLPGSTLWSNHILPCRPPGVTLDIKKSSHKKLSKWLQSKASSGLITSKEDKYKKEVMLTGINRKHPDYMAFKPEKRVQEPVEQDKAVAESSVTKQLEVADIYKPSSHVKPIFVAVEADMEKYYSASEASDVVFRYVEKENLVKPTDKAKVILDVTLCDALYKGAIKKGSSYPTEIHKKDLGSTFLNRMQVHHRVSRGNEVVVRKGAIRTLQIMTERRQGNKKMTRLSGVECFLMDADSLASELQKKFACSTTTAELPGKKGQYEVLVQGGVIDDLAKHLVEHYGVPKRFIEVLDKTKRIKVNPAAPHSKATSPRLELTRATRSAGEARKLGKGRRKKTFCREEASAALASSAAAAAAAAAAFSAARAAAASSHRRSASSKACRARSDSAAAWATSSSRRRLSSSGSTALASASAAARARAAASLRALRRRSFFRCFRFSLLVAGTDVGPASPLPPAVSAAGADMAAGNGSSSIPLAARLVGLGYLRHDRGGREDSGEMGVGSGFGVH >Et_10A_002154.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:2537203:2539269:-1 gene:Et_10A_002154 transcript:Et_10A_002154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKECTSRKETAKSNGVAGRSATTASRLLLLVIVTSVCRLAVGQSDKPYAPFAPNCSTTGNYTTGSQFKKNLDQLLADLPAAARNNGWFYNDTAGTGADQVFGLIMCYADVNVTECARCLDGAPAWITKVCPGSRNVSAAYDACVLRYSDKSFSVANPNWAFSVRYWNDTTIDESALNNARLDLMNNLAKTATDSPLLVANGRASYGGAPDTMQGLAQCTRDLTAGQCTWCLTTYIAKLQDKFPNSSGGAIKGYSCYVRYNVGSFDITLPPLPPPSSPSVSTGLVIGLSAACSATFLVVLSLSVWLLLRRRRRRRAKQTTAERELEEDDLFDSEPEMEDEFEKGTGPKRFRYGELAIATDNFSDEHKLGEGGFGLVYRGFLKEPRLAVAIKRVSKASKQGRKEYASEVRIISRLRHRNLVQLVGWCHGGGELLLVYELMPNGSLDAHLHHSSHNDGAQPLLSWPRRHEIVLGLGSALLYLHQDWEQCVVHRDIKPSNVMLDAAFNAKLGDFGLARFVDHGRGSHTTVLAGTIGYMDPECMITGRANSESDVYSFGVVLLEIACGRRPMVPNGEYAIHLVQWVWEFHSRGAILDAADGRLNEEFDASEMETVMIVGLWCAHPDRSLRPSIRQAVNVLLSEAPLPSLPPRMPVATFMPPPDAFYYTSSVATGGTSTKENYPAEMTKNWLR >Et_4A_032848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14595218:14595700:-1 gene:Et_4A_032848 transcript:Et_4A_032848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVRTNIILVLCSYTIVIHRLPDATIPYTTLTLMRYHTVVISFTAPPRSRQKGCSVPVGVTIQLGAQGPKLCTRKCKYCGLKEGHNAPSCPHDPKNAERVDRAKNPVKRKRGRPPGSANKKPKIQEPSIC >Et_2A_014989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24792783:24793157:-1 gene:Et_2A_014989 transcript:Et_2A_014989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSPPSLCEFIEYIDTEQTPENIAHVYRVAERARRHWFDMEAEERREEERRKMRQKEEERRREYEAERKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_10B_003355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18988207:19009637:-1 gene:Et_10B_003355 transcript:Et_10B_003355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GMGSKEGSSRAIFCFFLLSLVGYKCLASEIEATQTATLKVDASPQLARKIPETLFGIFFEEINHAGAGGIWAELVNNRGFEAGGPHTPSNIDPWSIIGDDSSVFVATDRTSCFARNIVALRMEVLCDNCPAGGVGIYNPGFWGMNIEEGKTYNLVMYVKAPETTDLTVSLTSSDGKQNLASATITVDGASNWTKLEEKLVAKGTNRTSRLQITTNKKGVVWFDQVSLMPADTYKGHGFRTELISMLLDLKPRFLRFPGGCFVEGDWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLAEDLGAAPIWVFNNGVSHHDEVDTAVIAPFVKDVLDSLEFARGSADSKWGSVRAAMGHPEPFPVKYVAIGNEDCGKTFYRGNYLKFYNAIRQAYPDIQMISNCDGSSRPLDHPADLYDFHVYTDSKTLFSMKNTFDRTSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDIVQMASYAPLFVNDNDQTWNPDAIVFNSWQHYGTPSYWMQTLFRESSGATIHPITISSSYSGSLAASAITWQDSENSFLRVVNFGSDPVSLTISTSGLQVSVNALGSTATVMTSSNVMDENSFSNPNKVVPVKSALRNAAAQMQVTLAPHSFSSFDLALAQSELIAEMKPLVHGKRDLDTMEIFGTTGLMTALDFMSFSSLQKTWELFQCGSSMEVVTGNNGLDNFSNKLRWASKSGVRNINIVKLMVHQIGQNWRRSFSLKEPTEPRGLKIKTNKKGTVWFDQAHTTGNPDVIVFNSWQQYGTPSYWMQTFFLSQVVPWFIRLLSMMLMSVVPVTRELPAAGKEMQVLLGPYSLSSFDLALDQ >Et_1A_005771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13871996:13888768:-1 gene:Et_1A_005771 transcript:Et_1A_005771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFRRPALLLALPVLFLLLAQVPSPEAAESTPGTRTRKIGGGGPSSVFSLFNLKDKSKFWTESVIRTEFDDLEGSASRESIKKGAINFTRAGNIANYMSLAEVDSIYLSIPVNFIFIGFDGKGAHELKLGSEELERWFTKIDHIFEHTRIPPVGEVLTPFYKTSVKKLRQYDLPLVSHVNHNFSVHAIHMGEDVLCIFENAIKVLSRREDLADSRENEEGLLQVDSAQMEHVVSTLVDHLQIQEAYNIFILNPKPIGKGVNYGYRKGFSESEINLLRENKTLQARVLQAKSDNKFFLDIEKGVTKRPLYESHPLSSFSWTTTDSMDMGDWSKKCKEALSKFELLKEGKSKDDIVYEKAVQILHGTKDELHYILEGALKSSDLKGLHAQCLTDIWIARDRFAFIDLSAGPFSWGPSVGGDGVRTELSLPNVAKAFGEVTEEEAEEKLQDTIRERFSSFGEDYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKELDERMHDLKNELEGYNTGDSDEINKNKALDALKRMENWNLFKDTSEDHHSYTVAHDSFLARLGSLLWGSMRHVIAPSVSHRAHHYYEKLSFQLYFVTQEKVRSIKQLPVNVKSVTDSLSSVLLPYQKSMFSQHMLSLSEEPALMMAFSMARRAAAVPLLLVNGTYKSTVRSYLDSAVLQHQLQRLSEHNSLKAKALSNMVVVVQSDDDAWESHLQCNGKPILWDLRKPIKAAVAATSEYISGLLPSHLVYSHAHETAIEDWTWSVGCNPLSITSQGWQLSEFQQDVIARNYIITSVEESIQAVNSAIQRLVAEQTSEKGFKLFKSHESVMVEKYNSIVSLWRRVSAMSKGLRYGDAVKLASMLEDASHGLHPVQCTRERKLDVQLDLTTLPAFLADIHDFTKTRAT >Et_9A_061043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12300936:12302282:-1 gene:Et_9A_061043 transcript:Et_9A_061043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFANLPIALAPGMGTNAYFAYTVVGFHGSGALPYRTALAAVFLEGLLFLLLSVVGLRSRLARLIPKPVRISTSAGIGLFLAFIGLQSNQGVGLVGFSSSTLVTLGACPASQRAGILCLSGRMTSPTFWLAVVGFLIIAFCLIRNVRGAMIYGILFVTFVSWPRGTAVTAFPATPAGDDAFAYFKKVVDVHRIRSTAGALDFRGASTGRFWEALFTFLYVDILDTTGGLYSMARFAGFVDDATGEFEGQYFAFMSDASAIVFGALLGTSPVTAFIESSTGIREGGRTGLTALTAAAYFLAALFVTPLLASIPSWAVGPPLVLVGVMMMRAVAEVDWADMRQAVPAFLTLAIMPLTYSIAYGLIAGIASYMLLHSWDWASEGAARLSGGRRKVRRGGDGTNGEAARAERSNGAAEAEQGKEMELA >Et_2B_021613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3588088:3593090:1 gene:Et_2B_021613 transcript:Et_2B_021613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGDLHKVWEVRALKTKPEAAAARALLDRVARQVQPIMRRHKWRVKVLSEFSPRNPRLLGLNVGAGVEVKLRLRRAGRDYDFIPYEEVLDTMLHELCHNQRGPHDAQFYKLWDELRKECEELVSKGITGAGQGFDGTGRRLGGFTVHPPPPSLRQATLAAAQKRARNGALLPSGPRKLGGNSDIMSALSPVQAAAMAAERRMHDDLWCGSHDRSGIVDDSDDVVILEEPPSLTAQVGKTTKGSFSDTKPQSTTSGSGFHTVAQEGSSSSRTTADANDSSRWECAKPKIAKAKYTTWSCKFCTLENSTKLEKCSACDQWRYSYGPPPSRHPDLISEAAEYTEFQLSSFKFCN >Et_2A_016506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25395930:25397175:1 gene:Et_2A_016506 transcript:Et_2A_016506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QALQGSGSVLIPLVLSATEHTVFILDHGARPAKTKVVLGTARYIDLELVNTCRLSAESPTCTASASCSRSSIVTVRNAVTEAVEQRLIRWADQAAIELEDEPEERRQMDWTARRSWGSHSGVPHPQTAVTGRPFVQAMHVLRRSTSARCMAPGRFSSPWDQETVAATANDPENLSFTNQVILAIEDTQT >Et_8B_060747.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:615398:615853:1 gene:Et_8B_060747 transcript:Et_8B_060747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQPADDVNLDLRLIHAAEARGRGRHRHAVAAEPDRTFSCTYCQRKFYSSQALGGHQNAHKLERSLAKRSRELSAVAAISSASAAAVAPAPPSSELGSWFPAAAHAGDQAAAAAVVSWITDGGRRYAYRVHASAPAAGDAAEDIDLSLKL >Et_3A_026299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6492735:6494095:-1 gene:Et_3A_026299 transcript:Et_3A_026299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LIIFRSYYFVVDPRVQDFDEYLKLQATSFVQYYRCQPTSYLGRGDNVEDGNKVFLPTSAVDRVCTLNLEWPLHFRIQNPTTGLASHCGVLEFVAEEGFIHIPAWLMARLGLEVNDLVLVQQKALPTATFLKLQAHTKDFLDVAYPRELLEHNLKKYACLTAGETITVTEGDRRYSLDIVELQPEDAVSVLETDVNTDFAPPLDYVEPPPPQPAPAVPAGQAGGEPAKFTGVAARMDGKPVEMPTAPVPAAAGVKPKGGLRFGGASAVGGGGVSKEDKGAGKEQEQRFTGTAYSLQQGTSARLIKPRPGLIQSFHYLKSAHLICNFWSLFEIE >Et_2A_018107.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:11203832:11205277:1 gene:Et_2A_018107 transcript:Et_2A_018107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDDNHSSSGIPQAVPGGYGFPLIGNLRDRHDFYYKQGQDKYFASRVERYGSTVIRINVPRGPFFTIDPRVVALLDAKSFATLFDVSKVEKKDVLVGYHPPLSLTGGYRILSYLDPSEPSHGKLKQLMFTLLHSRADAVVPAFRSSFSSLLDGVDAHLSGSGAGPVDFNKLNDVSAFQFLGDAFFGEKPAPGLAKSAGKWLLLMLSPLFTLGLPWVVEEPLLHSVPLPSFLVGKDYRAFYDYVFASAGKPLDAAESLGFSREEACHNLVFAMGLNSYAAFKLMLPTILGSVAGAKPLHARLAAEVRAAVANSGNGGKVTLAAVERMELVKSVVWEAVRLDPPVKYQYGHAKADMAVESHDGKLYQVKKGEMLMGYQPCATRDPRVFGDTAAEFVGDRFVGEAGRELVKYVYWSNGRETEAPTLGNKQCPGKDFVMLVGRLFVVELFLRYDTFSVQFTRGPGGNKVAFTDVTKAPPTAAA >Et_5B_043727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14873400:14881669:-1 gene:Et_5B_043727 transcript:Et_5B_043727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METVGNAINSFDPKSLPQHIEGALGTAGNIINSFEPKWTGQKEFDLDGEDGFLDKYECPDEYWGSAPRTAQNPVNLKNVLGGIVAMIGRSCKNTEAQPTEDSKTSVSFLESSDNGDTFLHSSVYMPMPSAPPLLDEESLNYNIYRAVIEAEPPEWLPDSCASSCMQCAAPFTAITRGRHHCRFCGGIFCRLCSKGRCLLPIKFRERNPQRVCDSCYDRLDPLQNLLIHSVSNASQTAKHDVMDWTCSRGWLNLPIGLTMEHEIYKAANSLRIYSQAARLDPEKSIPHAILSEASGLAILTVVKAGAVLTYKLGTGLVVARKSDGSWSAPSAIVSVGFGWGAQIGGELMDFIIVLRGPEAVQTFSSRMHFSLGAGVSAAAGPIGRVIEADLRAGDKGSGVCYTYSCSKGAFIGVSLEGNMVATRMDANLRFYGDPYQTTSDILMGNVERPNAAKLLYAALDDLYLGLKSYIKSLPAAGAPNSLMQKLVSKALTVTAPPPSWRSTTNHPTPMPCAAKNFAHPRPRNAPSPSRPTSTHQTLPNGLTTRAVAP >Et_7B_053991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13988647:13990630:1 gene:Et_7B_053991 transcript:Et_7B_053991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKREAARKRNPKGKGKGKAMSDAENMDRVFQNSEERKERVDAEESGWNAEKYKDPLAFEAKSYRQFWDDLYAQVCGRFEDHTKIPCMRLTYKPLPYDATPFKTLEVFSVKVAEISGGLQWPLDVFGVVALCNSLDHNRNIIFSRERDSCQTLTKQDPYLLLTGPLRAAVVCDPSDDKELSLLSTSFWDGRKPSASYFTLKSYTSRLSTLEFGLGLIVRSVEATVSVKVTSGSWPNGFCGRFIAFTDSLNKEILFFDSGDKCLSQVIMSTFLDVLFRLKFLESYEFLSVLRMVVLPYSVKWSSNLNRLVQAPKNSLVVSAHWKPTTIEFSLGFVVNSVEATISVKISSAKWPCGFFGQFFACTDRIVEQS >Et_6A_045910.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:5238982:5239365:-1 gene:Et_6A_045910 transcript:Et_6A_045910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPSWNNFFRSLLRFVVVRSGHNEGLGRICRLVFLFPRWQKEEKERHKTEEVSPLRLQECWLPFVGLLFSSPLPGVCPCTPSVCLCSLLCKASYRFGVEILDYTSFLDDHVVFLCVCNLMMYSTMV >Et_10B_003229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1843044:1847704:1 gene:Et_10B_003229 transcript:Et_10B_003229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGARSKGGSIGRDRCRIGGVQAGIGVKVGLLVPNKGWLQKINHGSKDRIPYYTKKGKTMCPFGIQYLDKFVGPNQFFSLTRMLPMEGCTQFFFFCDLSPKFFLHRQTHLYCFGTEQHGNDDLRSFVCADDESPTLLDTIILSQWDGFAWKGHLDYDVTACKLKVIEGGRNFVIQLNDNWNSFTLKEYDKFIKAFGCLKPNVMKSYEGLLLCIAQGEKDRPEVVPSTSPPKDGLLLIANAYPVEYGHIFMVPSAINQLSCTWDKRMLGLAAKIAFEVNNAAFRVFFDNGTSVVPGSMLFQACYFANPLPVESASTVTVYDGKARSGITVSELVDYPLKALIFASNNLKALVNVVSEISFSLHGDTMAYSLLISNNGTKIFLFPQVKNLVTGWSLSAWECGGYFIYHTKYDFDKCSESEISNRMASVSLQDGAFEDLKHLCCAVVDDVVM >Et_1B_013200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6364450:6367730:-1 gene:Et_1B_013200 transcript:Et_1B_013200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPPSPPHPKRPRMSSSSDPEAEPTSPSAAGEGADPGQPRRRFKRRKVAILLGYCGAGYQGMQKNPGARTIEGDLEEALFQAGAVPEADRAAPRRYDWARAARTDKGVSAAAQVVSGRFYVDPPGFTDRLNAQLAPQIRAFGYVRVTNSFSAKKFCDRRRYVYLLPVFALDPSAHPDREAVMASMGSGSELAKCVECSERGRKVPGVMGREGKVPSPGGNVVDATMEPAKASLDESGSIGDAKCDIVSSGGGVANGDGEIVLSGNEKHDLPTSGDGTEAEKSELGLNGGGECDVIPSGSTDASVANGDHKLETSVIEEETSQAMDFEKSNGEEMPPKKSTFSYTDEVKERFNRILKFYVGTHNFHNFTTRTKAEDPAAKRFIISFDANRVVSLDGIDFVRCEVIGQSFMLHQIRKMIGLAVAVMRNCAPESIYDVAFRKDINLNVPTAPEVGLYLDECMFTSYNKKWQDSHEAVSMEPYSEVAEEFRVKYIFPHIAAMEHKEGSVALWLHSLNHRNYPDLRYMDNAGAEAKVDAEVESIEEAPMPNDARSECRDAEVSMDAASNMQRRSVASMP >Et_9B_064471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15447604:15453864:-1 gene:Et_9B_064471 transcript:Et_9B_064471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAATSSGDSAGELLLRAAALVPWTHYALAALALVAALLYRFLELHLLGDLLRGFRGGRVVLTFHPDSRVYHRVASKCRSLHGRYLATPWLASPHLQTLFLSISGRPPSFTYRRQLYTVRDGGTIALDWLLAADMEAEDTDSCDETISKDASTPLLVVIPGLTSDSSAAYVKHLVFSMAGKGWNVVVSNHRGLGGISITSDCFYNAGWTEDMREVVNFLHQRYPKAPLFTVGTSIGANILVKYLGEEGEGTPIAGAASICSPWDLLVTNRFISRKLVQRCYDRALAIGLKGYAKLHQPVLARLANWEAITSSRSIREFDRHATCVVAKYETVDTFYRRCSSANYIGNVSVPLLCISALDDPLCTREAIPWDECRVNKNIVLATTPNGGHLAFFEGLSAGRLWWVRAVSEFLCALHDSHYMHRPKVAQEHGLHTSLELSIDKSPYVSFMEDGMVAAVTNDGHDNDSQGESELDDGMAAVQQNENTGEIQNKHDSGDNTNSQGNEVPDSGHGGSQEQQEVYLNKIRDAIAPVKRSMNQLARSQGRSVWLLAYIAVVTSWPLLGSLGFFLFRKKFRNSLAAKKL >Et_1B_014160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33362666:33365254:1 gene:Et_1B_014160 transcript:Et_1B_014160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRRAARFSTVSVEMRHGLGPERLEDCTGTVLAFVYASLPTPPVSATASLSCAASAAGHGGVDRISALPDEVLLRVISLLPAKDGARTAALSKRWTRLWRSVPLVLVDAHLLPAGGRLPSRRGAASRAVRDAVSAALRAHPGPFPFVSLTCGFMEAVDADRAVLARWFLHLATKGVEELVLVNRPFPIAGLRLPAALFSCPSLRRLFLGAWEFPDTALLPRGASFPNLLELVLGCVVTNERDLDFVLAVSPVLEILTVFGSLDRLTVRLASHSLRCALFCMSDVREVAVVDAPSLERLIIWNLPSNRSSGRKMETRIKIGHAAPRLITLGYLDPGAHVLEIGNTVIKAGTKASPSTTVPSVQVLTPHLHFGVSNEVKMLPSFLRCFPSTNTLCVRSVEACEPTANLNSKFWQGTAPIECVQSRLNILFFRDFHAEQRELDFLMFVAENARALQRMYLVLRTGETYAAQDN >Et_8B_058859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9996485:10003510:1 gene:Et_8B_058859 transcript:Et_8B_058859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVATAGQPRRPAGTWLLAFYSSLVLNVLLLAHNFLRPSGGVVATGGDGCGLSWALQPAREAEAVAATECSGHGQVFLDGITGGNGRPGCECNRCFGGPDCSVRIANCTANAASGNPLFLEPYWKRNAAAGSVVVSAWHRLGYITTDGEYQSVELERLIRQLHRAVGNAAVDDKHLVFGTGSIQLVNALVHALSSPDAASPPARVVATAPYYAAYRTQTRMFDGREYRWGGTTASWANASSNATHGFVELVASPNNPDTKLQERVLRGSAAIVDRAYYWPHFTHIPAPADDDIMLFTASKLSGHAGARFGWALIRDENVAERANDYVQESTMGASRDSQLRMLVIIKAILANLHGKEDIFAFGHHVMSTRWHRLNAVVSRSRRISLQEIPPQYCTYFKRTRPPSPAYAWIKCEREEDKDCHEVLLKAKVITRSGVGNEASSRYTRASLLKTDDDFDMLLESITDLVNNEKYSGTAGSSPMSVNTQRK >Et_7B_053599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10139696:10142402:1 gene:Et_7B_053599 transcript:Et_7B_053599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNNETVTIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEDTPRESHWGVAAAVGVDVAGAVGAELCYSVLWTILHDMFPVQCNLLSFDCAGGAEQGLREGGHGGEQPRVDTSSPKPLESSLPNSTPPSFLSLCRSGPSPPSPA >Et_2A_016459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2516964:2517472:1 gene:Et_2A_016459 transcript:Et_2A_016459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSADPADYDQYGCLKPLNSLSLYQNTLLRSKLAGLQARYGSAVRIMYADYYAHVDRMVRDPARFGFGSPAMTACCGAGGGKYNYEFEARCGMKGATACANPSSHESWDGVHLTEAVNRMIADGWLRGPYCNPPILQ >Et_1B_010554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11566447:11570440:-1 gene:Et_1B_010554 transcript:Et_1B_010554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KDQDATQDPVHGPPLEESNDATAAAAEAVPPPPAPPDGDLHERPGEGGGSLSSDAAAEAEAAAAAAADRKGKKVATSSPSSPSSPSRYAGSISRPAAPVDSISAGKDSRLASAVDSRREGTVSWKEPLVGEIVEVPKVLRPIDLKNVFDNLLQEQKEIKDPERRLAAAEEQEKGQVRARILVIFTDDLKGKKVVPTSPSSPSSSSWSVGSNSPPIGPVISSAGGNSSPAAPVDSVSVGEESSFAAAVDRRREARVSWKELVRTIGLKNVFDTMLQEQKAIEDLERQFADAEEQEKGQVIPMTRMIQHYGLIEKVVREGEGVIDNSNALLLRNYSGFRPVLGDGECFYRSFIFSYLEQILDKEDKHEEYRLLAAVKEAARQHKRLGWTSEFSNSCKAFKQMIKKVMKWKRHSRWKRVRTTNSYRKQKLLEFFSGYDTTRDIFAFLRLVAAIWICSHWEEYEPRVAELREDYTLRDWCFQEVIPEKIYTDHIHMTALVNRTWGAPASGEPLSREWSRPLHWTRP >Et_8B_060738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5863880:5865534:-1 gene:Et_8B_060738 transcript:Et_8B_060738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLERKNMQPLPPPEHRRVLRYVVFLAVCLVAFSCWALVNHKINNAMPGSAVLLANADEASPALTRDDDDDGIHPSGDPESSSIPTAVATSGNATAGAAKTMGDPLIRQPLVGGGVERSKEMRCDADSAALRVFVHDLPAEFHFGMLGWDGQGKEASWPDVRDAGAVPHYPGGLNLQHSVAYWLTLDILSSSSSSSSSSPVDRPCVAVRVTNASQADVFFVPFFASLSYNRHSRLRRGERVSRNRLLQAELVKRLTRREEWRRWGGSDHLVVPHHPNSMMQARKPLSAAMFVLSDFGRYAPDVANLKKDVVAPYMHVVRSLGDGDSPGFDQRPVLAYFQGAIHRKDGGKVREKLYQLLKGEKDVHFTYGSVRQNGIRRATAGMSSSKFCLNIAGDTPSSNRLFDAIVSHCVPVVVSDDIELPFEDVLDYSEFCVFVRAADAAKKGFLLGLLRGVTRDEWTRMWTRLKEVAHHFEYQYPSRPGDAVQTIWGAVARKMHSVKLQLHKRSRFQRIGSES >Et_2A_015839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18294526:18298300:1 gene:Et_2A_015839 transcript:Et_2A_015839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSISWVPKGAAKNVPVVAEPPTQEEIDEAIKAIAVGRDDGSDADADEDDDAGAMNVDGAEDEAEAEEEVDEVAKAKAAAAALAKGSGELDDVADGLRELNMDAYDDEEDGLEIFSTGMGDLYYPSNDMDPYLRKNDDDEDDDDDEEEMEDKIIKPTDFVIVNIYEEAEDGEPNMFVHHDVPLSDFPLCTAWMDFNLKGGDRGNFIAVGTMAPEIEIWDLDMVDEVQPHMVLGGLSKKKKKEKGKKGKKYKKGSHRSSVLGLAWNKEVRNVLASASADNTVKIWDLAIGKCAVTLEHHNDKVQAVAWSHQSPEVLLSGSFDKTVAMNDMKDGGQSCQKWSVDADVESLAWDPHNEHLFVVSLENGMVQAFDKRTASSNSSGRSAFTLHAHEKAVSAISFCPSAPNLLATGSTDKTVKLWDFSNNQPSCVASLSPKLGAIFSVSFSNDNPFLLACGGSKGDLTVWNVLTEPAVASKFGR >Et_10A_002167.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:2953234:2953866:1 gene:Et_10A_002167 transcript:Et_10A_002167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSFPSSSPPGILPREVAEKAPFANLAGVLTAFHIPTGSAVAAQVSDTLCRCQLASPPNVAGEVMTKSCTTSLEATVQSAMRMLGADHAGGVWVASSELTGAGLPRQQYAVVAAAPVVHRRGRYVYCHTMQFPYTVYLCHIAHQAGYRAYKVSLAGLRDGSAVSMLAFCHLNTAGWSPAHPAFEVLHAKPGGAPVCHFMPYGNMVFVKN >Et_9A_061518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1303699:1305833:-1 gene:Et_9A_061518 transcript:Et_9A_061518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYGNTRLYVGKLAPRTRSRDLEYLFSRYGRIRDVEMKRDYAFIEFSDPRDADDAQYNLDGRDVDGSRIIVEFARGVPRGPGGSREYMGRGPPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPRSLNRSRSRSLSGSPRGARRDRDERRSRSLSYSRSPRQSISPPAKEKERSPTPDGSRSPRSPSPGDQVSPPPKDNGERNGSDRGNSPPARENSRSRSPSDRGRSPAANGRSPSPMEADGRSPSPGDNRSASPGDNGKADDDGRGVSPRGSGSP >Et_8A_058046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1625481:1628729:-1 gene:Et_8A_058046 transcript:Et_8A_058046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFKRHNFARQHDKKSPKPEGSSNERQGIPPGGFASPFDFSSMQSLLNDPSIKEMADQIAKDPAFNQMAEQLQKGAQTVGEQGMPPLDPQQYMETMQKVMENPQFMTMAERLGNALMQDPAMSTMLESFSSPSHKEQLEERMSRIKEDPALKSILDELENGGPSAMMKYWNDPETLQKIGEAMGASFPFGPGPSAEPSGTEEAEEEGGEDESIVHHTASVGDDKGLKKALDDGADKDEEDSEGRRALHFACGYGELKCAQILLEAGAAVDALDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTLQNMDGKTPIDVAKLNNQDEVLKLLEKDAFL >Et_7B_053613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10314686:10327118:1 gene:Et_7B_053613 transcript:Et_7B_053613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGSLLLLAPARMLHRVQDKLLRGRFLNDSSKLYLQAWPELYSPCFWMNAFALIHLIFIISISTRFLFKKVRWRRQGLNTTTTESNEHSYQHQKKTDVKQGASCFLPSHISNSCPKKRISDCKYPLCVLSECVQMLSWLILSQAVFSFQKTKCTKVPLIIRSWWIFNFLQSLTGVVFDLNSLLSEHKHIGFEEWINLFTLGLCAYLFAISAQGKTGIAFTYNRITEPLLIPSVRQQTQIRRPCLYGRASILDLITFSWMNPVITTGHKKTLEKNDVPYVDEQDSAEFLSDSFKKIMDDVERKHGLSTSSIYKAMFLFVRQKVMINAVLAVLNASASYVGPSMINDLVMFLAGGKEYGHRRGYLLVVILVFAKLVETIAQSQWFFEGQQLDMRLRAGLISHIYQKGLQLSFRSRQKHTSGEIINYMSVDIPRITNDVLYTNYIWMLPIQLSLAYLQKLEALRSEEYNWLWRSVRLTALTTLVFWGAPAFISSITFGSCILMGIPLTTGTVLSALATFRMLQDPIFTLPDLLSVFAQGKVSADRLVKYLQEEELKCDAVTQVPQSDSCYDVEIDQGIFSWEVESPSPTLTDVELTVKRGMKVAICGMVGSGKSSLLSCILGEMPKLEGTVRISGSKAYVPQTAWILSGNIRDNILFGNPYGKEKYERIIQASALNKDLDLFANGDLTEIGERGINMSGGQKQRIQIARSMYEDADIYLFDDPFSAVDAQTGSHIFKNSIMGILRDKTVLYVTHQVEFLPAADLILVMQDGKIVQKGKFDDLLQLNIGFEVLVGAHSQALESVINAESTSRILSDNQKSEDTEDEFDTESTMDDPLQGIANEEPASDVTQDINKKGRLTQDEELEKGGIGKKVYWTYLKAVHGGALVPVTIAAQSFFQILHVASNYWMPWASPPSSATTPFVGLGLLFSVYVALCMGSALCIFARSMLTSLIGLLTSEKFFKKMTHCILRAPMSFFDSTPTGRILNRRYQIPTARELSRLSQIQRAPILHHFAESLSGASSIRAYGQKNRFEKANLELVDNHSRPWFHSISSIEWLGFRLNMLSNLVFAGSLVLLVSAPEGLLNQVSTEKLSVTTKDLIYPISSVITANILRAIVSNTMVHAGLAGLALTAIIWNISSTENKMIAVERILQYSKIPNEAPLVIEDCRPPSIWPRDGSINIRCLEVRYAEHLPSILRNISCIIPGRKKVGIVGRTGSGKSTFVQALFRIVEPREGTIEIDNVDICKIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPLNEYPDQRLWEVLDKCQLGDLVRQNPKGLDSTVVDNGENWSVGQRQLFCLGRVLLKRSNILVLDEATASVDSSTDAIIQDTIRSEFSDCTVLTVAHRIHTVVDSDLILVFSEGRIVEYDTPSKLLKNKNSEFSKLVREYSKRSRRFSVSGN >Et_10A_001291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2380248:2381816:-1 gene:Et_10A_001291 transcript:Et_10A_001291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTAEPPLPEEILEDIFLRIDEAADLARAFAACISFRRLISAPRFLRRFRSLHRPPILGCLTSQYPEFQPIDPPRRSAAASAALAQAADVTFSFIKPRNNWIVCDVRDNRVLLAPLYDRPDPFEYLVICDPLHRRYATIPPIPGDLRPTSRERRLRPIEFEPFLHPASEEDDAEHWPLRVICNVLYESKVATLVFSSDTGKWQAAATFSYKYAPFMRHYVHNCFYWTHSARDDVLTLNPCGMKFSVIYLPPALHVGRDVAIVNAGKDRLGFVTLGFEKVCLELYTKSMRNNGVGGEGWVHDKTIPLPINCHWTMIGAREGYLVLHGFERGWFKMPKYSETGPERQYFIVELKTLLVERLCVFKPIRHHSMRNNGVGGEGWAHDKTIPLPINCHWIMIGAREGYLVLHGLELDWFEMP >Et_2B_022262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15808091:15810651:-1 gene:Et_2B_022262 transcript:Et_2B_022262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCVAVVGHQVRNPPLSLRSRRNNPLYLQSFTEADDALKLHHIVHCSLDVIDERVNNPKRSAPTLNETFLGLLYPTENYKVYGYLTNTKVKFIMVTTDLDVKDADARNFFRKFHAAYVDAVSNPFHVPGKKIASRSFGARVSTIVKSFGSGTTV >Et_3A_025620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31314863:31318284:1 gene:Et_3A_025620 transcript:Et_3A_025620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGEAEAAALEFTPTWIVAAVCSLIVLISLAAERCLHYLGKTLKGKNQKALFEALLKVKEELMLLGFISLLLTVFQGMIQRTCIPPEWTSHMLPCQNTKGRAEAHHIAAGVLGLTRRRLLAEGGPGAQHCQKKIHQWKHWEDEIQKDVTGNGPRKVTHVHQFEFIREHFKGIGKDSIVLSWLHCFVKQFYGSVTKSDYTTMRLGFIMLLLAVGTKLEHVITQLAHEVAEKHSAIEGDLVVNPSDEHFWFGRPRIVLYLIHFILFQNAFEIAFFFWILTTYGFNSCIMDHVPFIVPRLVVGAIIQLLCSYSTLPLYAIVTQMGTFFKKEIFDEHVQQGLVGWAQKAKKRKAMKNGGSGGAGASTHGSSHPSARLELMRRAVDLDEGSACGNGGEVQCARVPG >Et_1A_009105.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:29350958:29352529:-1 gene:Et_1A_009105 transcript:Et_1A_009105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPQLLLGALLVIIPAALLLFLRAGKRRRRRLPPGPPSLPLLGSVVWLTNSPADVEPMLRRLFDRHGPVVSLRVGSRLSVFVADRRLAHAALVERGAALADRPALASVRLLGETDNTITRASYGATWRLLRRNLVAETLHPSRVRLFAPARAWVRRVLLQKLGEPGPDAAAPPRVVESFHYAMFCLLVLMCFGERLDEPAVRAIAASQRESLIYLSRKMPVFAFLPAVTKHLFRDRLDKARALRRAQTELFLPLINARREYKKKRGGEEPRKETTFEHSYVDTLLDIKLPEDGNRPLTDDELVHLCSEFLNAGTDTTSTGLQWIMAELVKNPAIQDKLYDEIKAATAGDDDKDEVSEEDVHNMPYLKAVILEGLRKHPPGHFVLPHRAAEDMEIGGYLIPKGTTVNFMVAEMGRDEREWKNPMEFSPERFLPGGDGDGVDVTGTKAIRMMPFGVGRRICAGLGIAMLHLEYFVANMVREFEWKEVAGHEVDFAEKSEFTTVMKKPLRAHLVPRRIQPTTETQ >Et_4B_037954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25047590:25049064:1 gene:Et_4B_037954 transcript:Et_4B_037954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAPEWLDKGDNAWQVAASTLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAATFVVWCLWAFRMSFGDRLLPFVGRPDFAALGQAFLTEQGFAGAYPAATLLFFQFVFAAITLVLVAGSLLGRVNFRAWMLFVPLWLTFSYTVGAFSLWSPNGFLFKAGVMDFAGGYVIHLSSGIAGFTAAFWVGPRTAKDRESFQPNNILLTLAGAGLLWMGWTGFNGGAPYAANIDASVAVVNTHLCTATSILVWLCLDCAVFGRPSVIGAVQGMITGLVCITPAAGLVQGWAAMLMGALSGSVPWLTMMVLHKRSRLLTRVDDTLAVIHTHGVAGSLGGVLTGVLAEPRLTRLFFGDDHRYVGLAYAVKDGRAAAGLRQVGMQLAGIAFVVALNVVVTSIVCLLVGMLVPLRLSEEQLAAGDDAIHGEDAYAVWGDGETYEQSVHGNGAYPMTSNPVASKADEMI >Et_7A_050408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13171197:13171571:-1 gene:Et_7A_050408 transcript:Et_7A_050408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGSDAFRKGKYPRCTQ >Et_5B_044262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21990625:21998491:-1 gene:Et_5B_044262 transcript:Et_5B_044262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLLLGGSKVGRDAIGEVLEGFYGDCAAKFQCHAGYYHMASDMRPLGGKKVEMPSHGLENCGVSDQACHGKCQSCDGDGKPSLAGVNVNSLLTESHTEVKASKENCVACSFDVGVAIADHDSCLDGKMESCMTGDDLPQGSDIEQAGGTLEDLFFLNEEEEDDSDWEPTSRHAMNRWFCLNCTMPNMDGLSYCLNCRELKESDVAGYDVFKTQIAQTAFVSAGTESPLVSTAIGFDERMLLHSEVEIKPNPHPERPDRVRAIAASLAAAGIFPSKCALVPPREITKEELMMVHTPDHIESVEQTKNMLYSYFTADTYANGHSACAAKLAAGLCADLASLIVSGRVRNGFAMVRPPGHHAGVKQAMGFCLHNNAAVAALAAQRAGAKKVLIVDWDVHHGNGTQEIFEENKSVLYISLHRHEDGMFYPGTGAAHEVGTLDGRGFSVNIPWSRGGVGDNDYIFAFQHVVLPIAAEFAPDITIISAGFDAARGDPLGCCDVTPAGYSTMTSMLTGCSEGKLLVILEGGYNLRSISLSATEVVKVLLGDGANIDTGATTPSKDGLKTVLQVLKIQQQFWPVLSPAYASLQAQQGSVFPKYTNKGNELKKRKHLGAPGPFFWWKLGRKRLLYEALFEGRRQRKIKARGKGKADTSEQPEQ >Et_5A_040376.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:18232914:18233234:1 gene:Et_5A_040376 transcript:Et_5A_040376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLMFECVVSRAVWKQLSELVGRSVGFNFEQATTLWLDRKSYADINIINVAVPQGLWKTRNNLCFRNLQWKGLLCSANAKEELEPMQEAIADIIRRHERIEAIPP >Et_4B_036351.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:19457302:19457754:-1 gene:Et_4B_036351 transcript:Et_4B_036351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGSPSSSPRPLRVVHLELAERLALRGHRVSFVSTPRNLACLPPRVDLVVLPLPRVDGLPDGAESTNSVPSEKFPLLFQAFDGLAAPFLRAACADGQGTRPDWVIADTLTHWAAAEHGDAPLVRRADRRLDRPAVGRRFGVRPVGRL >Et_6B_048818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14006641:14012174:1 gene:Et_6B_048818 transcript:Et_6B_048818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDREAPAAAAAAVENGVEDYVVVKAGSDQGDLAADGDAAGEAAGGSEDAASSAAAEAKEPEPEPAKAKAPTKKGGSGDVAGARKAKPQQNGKAPAAASAKAKKPGVLSQSASFPARGPSGARKAAAAAAVAVTTPKQAKPVANGSGPAGRAVEKKANSARTPVARRSMPVKSGSVDATPNDASPAVQETQETTTIPLNHTQPAKTEDDVRSTTSSTNTPRGAARKSAAAGFSFRLEQRAEKRKEFFQNLEEKIKAKELEQTNLQEKSKESQEAEIKLLRKSLTFKATPMPSFYKEQPPKVELKKIPPTRARSPKLGRRKPASSATAASVDGSCESPRSTANSGKVNEVVENKPRVPARKPVQRPVSKTASQVSATTKAETKPVVTKLKTLNSKPKVSRAKAEQGQENPVDTAPSEPHAPEVLVVEHGVEEARGPDLAAPLVASNEVPVK >Et_4A_033747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26390410:26393260:1 gene:Et_4A_033747 transcript:Et_4A_033747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTQLKKRKAKSNTSSNEPAAADCAYAEAEASSDPNALKNFRISEPLMNRLASKGVKSLSDIQASTFDLVLDGSDLVSRSGTCQGKTLAYVLPILESLINDTYQAPRKTGHGRPPSVLVLLPTRELMDQVNSYKYLILEKCTLVQASKCFEKYIYVYNDFTYYGRPFNLSVCSMSWGAAYRPQEMTLKMGVDIVVGTPCRVKEFIDMETINLEYLKFCVLDEADVMLKMGFVGDVQHILEKIQGVAVVQTLLFSATLPDGVNKLSMRFLKPDRKTVDLVGNEKLDTSAPVKYLAIPCNKVSRAQYFLECTIDWHPLSLTSYLFSGDQTIIFTETKHYASELSGFIAGSRVLHADLAQSHHLEILNGFKSGKFLVLVATNLAARSLDINKACLIIQCGVPTDFKAYIQRSGWTGKAGDTGVYVLLYEPRCMERMTTNLRGYEVAFEYISAPQPSHMAKSAGKEAAAAIARVSDSVVPLFKRRAEELVNSSGKSVVELIAKALASKAGYTDIKKRSLLSSMDGYTTLHLHALVL >Et_8A_057969.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:10409441:10409992:1 gene:Et_8A_057969 transcript:Et_8A_057969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWNKALVEVSLKVKRNGSSFDDDDEVLVDVCIDFRWDRITSGKKLKSRIECSFGALEMEYKFIKHGIEAVLEVEIPRELVGDHVIIIARSTGFKKIVTIHDAVVQAETARISSVLVASQGGSLYFGYLAAGARCSGCTRVEVAKHGSYKGDVGLTLGSSPKTCVIPFTVTFSTMGYYNNGS >Et_7B_053397.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:15353440:15353568:-1 gene:Et_7B_053397 transcript:Et_7B_053397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIITLSRSILVTRNNLIFNNVDPTVQECRDTFCKEFSPYS >Et_2A_016436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24811022:24815896:1 gene:Et_2A_016436 transcript:Et_2A_016436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDSPSQAFISTDGVINARARVLGGGTCINAGFYSRASSSFVQEVGWDEDLVNESFPWVEEKIVRWPKIAPWQAALRDGLIQAGVSPFNGYTYDHISGTKVGGTIFDETGYRHTAADLLAAGDPSNLRVLLHASVHKILFDSRQGRLKPRAIGVQFTDEDGRLHQALLNNNRDSEIIVSAGAIGSPQLLLLSGIGPKNHLKNHNIPVILHNKHVGKGMADNPMNSIFIPTKSPPRQSLIETVGITEAGVFIEASSGFGQSSESVHCHQGIMSAEIGQLSTIPPKQRTLEAAQKYAHTKLNLPKEVFQGGFILEKIDGPMSTGHLVLTDTDVRNNPAVTFNYFSHPQDLNRCVYGIKTIERILKTNRFSELAANGAGFSMERVLNMSVQANVNLIPKHTNDTESLEQFCKDTVITIWHYHGGCHVGKVVDEHHRVLGVAGVRVVDGSTFSRSPGTNPQATVMMMGRYMGVKILRERLGRAAG >Et_5A_042610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22020806:22021395:1 gene:Et_5A_042610 transcript:Et_5A_042610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLLGSAEGIGVIFLNVKSGIFTIDLKSSRATKVYEASCIGVVVPYLNFYLPVSLGSNIYILYWVLHKMDQERFPQELDKLKIPMCRQQSCQSR >Et_5A_042727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2990346:2992763:1 gene:Et_5A_042727 transcript:Et_5A_042727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEEEKGAMAHSADKPESSSSEKKPVGEEIEPADSLSLRQSPHLTMTKTTNRGAAVEKTPGGDRRHPGGGMEEEGEGARAGVAQVERGGREGASTWSGCIVTKSGLAGFRDEWMADGSRYASFEDITEIKPMRFTDEPAPPRYAVPCDTLQAFSVKVTGIRGGLQWPVDVFGLVAVRDCVDKKRNIIFLRDRDDSQTLTEEDPYLVLTGPTRAVVMLCPGTLEVELKVKGSTKSEDKDFSSIADPIEYGGTRFPWLLDMDYNSKLSTLEFTLGHIVFSVEATIFIQFVDGSWPDGFRFQFAARATSNSDKDTAIIDGKECILLDSRGERVTDDGNVHLSRCVVSVENTGKLVVSVKAWLADRIVAGKESSASKKAEGIYLVKDEVHAPMEADNYIEKEVVFTAKKADISDDILDLGFCKMKVTIVWSLILCKELNPGARRA >Et_3B_028141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10803519:10807908:-1 gene:Et_3B_028141 transcript:Et_3B_028141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTALETSKPLMTTRPTQTKREPPSSPPPQLATLRFSFPPCAYSLAPPPTSIAHRTHAPRRGREGRSLPTKPLGGLFVLLPAEPLPPCESMAAAAAETSDASAAGIALAEANINWERLDKTRFHLIGAILFTVQQGTLHPTAVVKTRMQVAEGGLAHMSGFSVFRRILRSDGVPGIFRGFGTSAVGALPGRVLALTSLEVSKEMAFKYFERFDMSEASRIAVANGVAGLVSSVFSSSYFVPLDVICQRLMVQGLPGMPTYIGPFDVIKKVVRTEGIRGLYRGFGITMLTQSPASALWWSSYGGAQHAIWRSLGYGNDSQTKPSQSELVAVQATAGTIAGACSSIITTPIDTIKTRLQVMDNYGSGRPSVMKTTRLLLDEDGWRGFYRGFGPRFLNMSLWGTSMIVTYELISKSSFRNHFACGLERLSVKPDVCVSISPYAFQQNGSGSLASPPAPPAGTSSTPDMVMSVQATASLQARRMGAPPPRGLYVPRMSRYATPATRTAEVWLAHRVPRQ >Et_2A_018842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8847814:8859247:-1 gene:Et_2A_018842 transcript:Et_2A_018842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERTEVLKRNRTGNIHQIKDPRKDVERRDQRNERHRLTSQVVGDELHDPMNEVDHVAVGGADEQDSRDPRRQLGRLVAVVVPDLVEALLVHHAPSCRLAGAVGIRDPPPHGHCRFFAAAAVAACTGDLRKQQLVVALTDAAVGGQARVETLQNATRREGVGEQCGLRVDGIAAEEPARWVEPPETVAVGDHADAGPLTSPGQQGDSHLLLDPLVAARE >Et_2B_021544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30449010:30452679:-1 gene:Et_2B_021544 transcript:Et_2B_021544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GQLIQNSFYASPRNRGNALTILPRGHDSDWIPAPFLSDIHKARVSSFASRAPGTEDLGLLSAQQGILYWSSQPNERNMHDQADSSKLDLYGNPYGGQSQHRKNVGDESTGVPPCHVVAKKSSRPVTANLRSDSNTLHLEDNPPRKSSKKKGSKKRKLYARATHKKLNSASENTCEENTYAASPVEVLPTDLLADKLSETTFSTRSLVRKTHLGKDGAENNDHMKCGAMVDLSTLGTDGIGDSAGSSNDASVEGCSCVTYLNNGSNTIHASEFNGSTFAEHGLGEESNIYQKLFRGCVCNLDSPDTFFSKWNNDNSGNCSVNVEARLIIKDENRCNATHAEDTNDSFSSSSCCSKNVTDSSSNIERVQCSSEACSSKTSLQFKSERSSRNPRKTPSCSDLTMFNRVVIANRHKNGGGKDSSAVWQKVEKGDKSVPTGGRTDDSAIQDKGAEEDTNKGIQQDATRHRAKRKQNKKACKHEFPNFEMESTKEEEDAFNSFQTSSKSVYKKQVPFVHQQRSSSFKQGSQSLKNYYAPKNGISKVPKDYSQQEGLPLLQLVQVKNTCDRSTLSYCSTDEVILTGIGSNCKSEGKENLQSGNEKAVLPPYNLVPDLVSQASSWTSRYLYADPCAAETEEARYAKLAIENNPQESCKLHSPSGHLSQKWVPVGKKEVLSVIHLDVSEASGVEGSVPANGIYDPVSHASANGEDIKLASDLNSDEHIDLKCQAYKGSETSYKKITEPLSDVCIAWQGVEDVQRSIGRPIADCERFMHSASPVIHCRLCPTGCKSYLQESVKDCLCLHQTSDITLRSVWQWYEEPGCYGLEVKAQDFRRSKGLWNSQCQFTTYFVPYLSAVQLFRQPKRTSGGSTHKEAIDMDMTLEESPCINTPPIFAKLIPQQSKPRNRSPSLHTEDDQHSSSAELIFEYFESEQPYWRRQLFDK >Et_8B_059941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4214299:4216911:-1 gene:Et_8B_059941 transcript:Et_8B_059941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLARLLLPALPACQPQSPPRLSFCWRRPSQASFVVPTTGNRAVACSASASVAPAAEAEAGAGEPVGPRTRLVAQNIPWDCTADDMRALFEKHGSVVNVELSMYSSSKNRGLAFVTMGSEEEALEALNNLNSTILNERTIKVDFARPRKKLRKQPVAAFDPTTKHILFVGNLTWRVRSLHLRELFASTPGFVSAEVIFQTTTPRRSAGYAFVSFSSKEAAEAAISTFNGQKLMGRPINVTFKDDSNAKRNKSSVPKEEPEECQIAVQFCSFNKA >Et_2B_020310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18906845:18907545:-1 gene:Et_2B_020310 transcript:Et_2B_020310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRPAAPAASAARSPQLARCAFLVLAALVSASWFVEPFAAAAELWLPRALTFVLILIFAALFNYSTHLFRSTFLPGSQFAGATEAQVQGVGLGASHGYWYCGCCLPYWEGAPLIMCSRQLVAESDENDLPYSCGLETEQ >Et_1B_010960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15899707:15907993:-1 gene:Et_1B_010960 transcript:Et_1B_010960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLLAPSAPRCVVAPSAAAGSAACGCGSAPRGGLLLRSPPAHVSRRRHRCPATRSVRFCASVASRWPVVVAGSVGRGFGKGLRPMGMGADAGDQAGEDDEARITNENLRATIRKSKEVLAMHRNLLEQISEKKKLISVIAESSIHNKQEPFSGQSDSSFPEGKDVGHDHETYLDMHSAQSEFDTTYGEFIDDQNEHYESFESEDTDFSGSSSEHYLYDSFPRASPSVYAPGAANGMNQDYVARLSQVSEKEHSINVGANDNSSVSGGVDLMNIILVAAECGLGDVAGALPKALARRGHRVMVVVPKYGDYAEPQQIGEPRTYHVAGQDMEVNYYHTYIDGVDFVFIENPIFHHVGSEIYSGNRTDILKRMVLLCKAAVEAQWYVPCGGYCYGDGNLVFIANDWHTSLLPVYLKAYYRDNGFMTYARSVLVIHNIAHQGRGPIDDFNYLDLPGHYMDMFKLYDPFGGDHLNIFAAGIKAADRLLTVSHGYAWELKTPEGGWGLHSTINESDWKFQGIVNGIDTADWNPIDDVHLQSDGYTNYSLETVQAGKAQCKEALQKELGLPVRGDVPVIAFIGRLDHQKGVDLIAEAMPWIASQDVQVIMLGTGRQDLEDTLRRLESQHYDRVRGWVGFSVRLAHRMTAGADILLMPSRFEPCGLNQLYAMMYGTVPVVHAVGGLRDTVEHYNPYEETGLGWTFEKAEANRMIDALGHCLNTYRNYRSSWEGIQMRGMMQDLSWDNAAKLYEEVLISAKYQW >Et_3A_023930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14889857:14890850:1 gene:Et_3A_023930 transcript:Et_3A_023930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYRSSTALRQGLTPLRINPRPQIQLPNQGPAVVPGIVNWEIHDFVIVCDLGSGNFGSVMKGSIEPRCSGSIGVVQFFGVARDRNRNMMYIVMELGGTPLEDAIRAGHAHGRALSEDDVRVIMKSLLLGLKNMHEKGIIHRDLKPSNILIDSNGRHVEGKICDFGLAIYYDQAVATWSRTPRGTYGYMAPEVHKAKSSCTFESDMWSLGAVMYEVITGSPLIKGRDPAGMITCMRSLFGTLSNEASTSLGVADGPQADPKWATHGALIRRQFSPQCLEVLPGLLKLDPSERLSAADALEMDWFAGY >Et_4B_039847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:389730:391982:1 gene:Et_4B_039847 transcript:Et_4B_039847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKQVLVRRTLRDDDDDDDGSSTASGAGPVLEVDQSPSPPPMSSCGRYILHRVCRFDTLAGVAIKYGVEVADVKRVNGLTTDLQMFAHKTLRIPLPGRHPPAAAVSPPSSSPTHHASDRARGVCHLVYKLLGTTRASLKKSGHHRSLSDGFSVANGDPTKEVDDSEKPIRRRQKADYESTAKEDNGSGLLARTGQRLASRPKSGNRPDMNSSQQDLVAAGMLSYMDGLQAVRKSSSTPEFQDADNSSIASVWLRSTWSLKPDAFTIPLPLFDGIPKPFFDSIQKPIAAWRNKAAKD >Et_1A_008899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17968898:17975029:-1 gene:Et_1A_008899 transcript:Et_1A_008899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLVRVSALTVVASLTYVCLALFRTGSFGKDCAAQGLAPTPDSPPPPSPSSPAPPGNHASSGAPPAAPSTSPKGNKMTITTSLKKNFNEINSMRENKQQPNKFNDMTKSQNSSRSEIGKASCSCSDFCEALDTPSTSPAPRDSMDTSSGRISRSPVPMAWAVLADR >Et_8A_056310.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:21438546:21438764:1 gene:Et_8A_056310 transcript:Et_8A_056310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETTIFHCLRQFFPSYMHALFRRAYWLRYWALLQTEEVREHVRGVSTTLEFAALKLYATHGWRFNNGLDPP >Et_7B_053330.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8831120:8831602:1 gene:Et_7B_053330 transcript:Et_7B_053330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTPPTVQHLRRSARIDKLTDGHRNDTNAASYVAIPAQHLRRSPRFIRAADVQRQESGTNNQTSRTPSSDDDDDASATDPSQGNFPSCFFPGPVKFPNLTDLEKSKEPHPEIPAKVLQAVAVEKCGMPPEEATLELLNKTSQQEQGGISGNRSNHQVSQ >Et_3A_026838.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:22931554:22932273:1 gene:Et_3A_026838 transcript:Et_3A_026838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFLRPLLPPKPFLSARKPHLAAAPATSTTVRCTAAPKPATSPSPRPTQEETSNVGREEPTIAPTPDEANANPNSIPDDETPPSAAVTTSFAVTKRVPSAISPDRRPRTALTQEEPPNFEVGWKRTKELPLEKPKGWAIADFLEKLEGLMARGRYGSPQMLGTVAGVVTERAREEAEILVGEGGVDERVVTELYRVLRLVEMDVEMVKAAVKEETVKERVETARARCRQAILVALSL >Et_1B_012933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4159496:4164807:-1 gene:Et_1B_012933 transcript:Et_1B_012933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELSILCDAEVGLIVFSSTGRLYEFSSTNMKTVIDRYAKAKEEQLGMNNATSEIMLWQREAASLRQQLHNLQESHKQLMGEELSGLGVRDLQSLENRLEMSLRNVRMRKEYLLKSEIEELHKKGSLIHQENMELCRKVNIMSQQKLELHKKIRASEQRGIVDANKSPSTSYSFGVAQDADVPANHELIQSPRKEGAQLEGGAPELG >Et_6B_049469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5197097:5202023:1 gene:Et_6B_049469 transcript:Et_6B_049469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPGRRVVTGGVVCALLVAAAFFTAATLVTAPSPRPALSLPCLPTVTAPSGSGYASPAVAALADAAVGYAVAKTVPQQTRAEIALSLAVLRRRAPLRLLVFGLGRDSALWHALNPGGATVFLEEDAAWYRAARKRWPHLRAHLVAYRTRLDAADRLLAGYRRQPACLPASGAAVRGNAACPLALHDLPPEVYETEWDMIMLDAPKGYFAASPGRMAAIWTAAAMARARRGEGDTDVFLHDVNRKVERVFAQEFLCERFRVAGTGRLWHFSIPPPSRRRSNNGTAAVAGGRRPTNGTGKQRLQRAAMDGEEDLSWEIKQKVWHHSLQEMVKNMQRQKRKKQTDFILYIRRCAFFEEIYAALCSVVGREATDDEESTTARDTPRLRHRPRVRQRLEYPGDVSSSTRWQWHGDFHSYTHSASMREWHGGRRPRLADCVAAPHRVLGGVRRVDVAEPDERSGSGMAQVQLRRSLGSTQAKDIV >Et_4B_040007.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7674264:7675746:-1 gene:Et_4B_040007 transcript:Et_4B_040007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRSWLADLRSRLGAGGRADGLGILAFEAAAAMSRLVSLHLALSDAEVRRLRGDALRAEGVARLTSTDQSHLLRLACGELVADLDRAADAAARLGARCGNGSAGTPLLRDFDKVYADAKRGRGLGLAQLDAAVGFSRGAARRFRKMERLVAATARLYAEMDALGELEASERRMEQWMRHSGPIPARSTAGGKTAAEPAGEKLMRELRAQRHKVRRLTDQGGGSLWSVPAAKAAKLMVKSVLAVLARISVAFDAYVPGLPPLTTGGRGAWPLGHSSGPLHRGGAAGPDAAIRHSAPIFRQNDPALSSPLDSIKPSPNTVGGSGMELRYANVILSAETLLAALRPPPPAASGDVVHTSEVPVGIDLSRRDALYKMLPVSIREAVNAKLRETWRGPQPQPAVDEASAAAARDAVERVLRWLGPVARDTVRWHDERSMERAQRFSVRPRALMVQTLQFADRRKADDAIVQVLVGLSCVCWYHDERRRMESLDWDDE >Et_3B_030307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32158202:32160055:1 gene:Et_3B_030307 transcript:Et_3B_030307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYYGGRTMYSNTDECYDVGKHGSRRTYSHTDERYDDVDRRRPGGYADDCYDGGYRQTAVYSDEYSRGGYGGGEQQYFKREEKEHKRHERIGEYEGHRAKTDPEHKQKHMIEAGLAGAAALGAGGYAFHEHREQKEARYEGNEHRVPHGYCN >Et_6A_047934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24416802:24418810:-1 gene:Et_6A_047934 transcript:Et_6A_047934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAVQTLLGSAGQLLREEYQQLRGVGGEVAQLRDDVATMKALLLMHSEADEGAVDHFIQEWMKQLRELAFDAEDCIDDYRLRIKSRASDGVLAWLKRLLGTLSPRRHLAGEIIALRARAAAIRERHVPYGVDRKALRRSVWASTSVSARDLFGAIDPDNHRFKCNVGNQADGLVDQILEAIDTEESTRFYFFVGPAGVGKTTLAIEVCQRLKARFDCQAMLSLSPEFDSHSSPADRNLFNRDGSCRTDWLFERPLHWRGNEEEANCHLEQLFKRVELKRLISAPISHG >Et_8A_057928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9485929:9486920:-1 gene:Et_8A_057928 transcript:Et_8A_057928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLASTSSLPSAPHRPSAAASASCTAHSPWLLLRLRQQQDLLLHASPPHLRPRPRRCGPPRGRVPHPRPLPQRCLSRVAGHRLPWRPPMDRGRSRSTTPLDLLLSPPHNKFSHGHRGKLIPMDYFLLCSGDEARCGLSFRVVSLCHDKSRLRAAVFSSATKEWQILPWAHSSMGSCAGHTPKHSYMVVLDSSTWQFSCIDLPEILMGQGHLYCAGCTKDGKFCIVAAIEFTLLV >Et_7B_055000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6317774:6320163:1 gene:Et_7B_055000 transcript:Et_7B_055000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQRQRLARKRFREANPDLCPPKPATPADGTKKKSKKNKKSMFKKAKKGGSGRSKHPLRVPGMRPGERCFICKSTDHVAKACPEKALWEKNKICLLCRERGHSLKNCPDKSEGNLKKFCYNCGESGHSLSKCPKPIENGGTKFASCFVCKQQGHLSKDCPENKHGIYPKGGCCKICGEVTHLARFCPNKGRQDFVPSAGDDMNPEEHNQGRHTVLHGGDDLEDDFIEEEEEPKPTKSKKAKQTGTGNDEKNAGTKAKAKQAPKVVKFFG >Et_3B_031150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18352437:18353381:1 gene:Et_3B_031150 transcript:Et_3B_031150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSHCGNYGHNSRTCSLGHRETIMLCKAGNNGGGSSSGLRLFGVQVHVGAGSGAASSMMKKSYSMDCLQLAAAAPGCSIVSPSSSSSSSMLLSIDEGLERAATNGYLSDGPHGRVVQERKKGVPWSEEEHRQFLVGLEKLGKGDWRGISRSYVTTRTPTQVASHAQKFFLRQSSMGKKKRRSSLFDMVYPKASIT >Et_5B_044971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7930236:7935810:1 gene:Et_5B_044971 transcript:Et_5B_044971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHFDGADMRSLLLCLLLLSLSFSVSTISSHRGLRLELTHVDARGDGLTGADGVRRAVERSHRRVNSLLGAPAPSPATLKSDGAPVAAAATASVHASTATYLVDLAIGTPPLPLTAVLDTGSDLIWTQCDAPCRHCFPQPSPLYAPARSATYANVSCGSALCDDALPGSTRCAAATGCAYSYSYGDGTSTDGVLATESFTFGSGAGATVHGVAFGCGTDNLGGTDNSSGLVGMGRGPLSLASQLGITRFSYCFTPFNDTTSSSPLLLGSSASLSPAAKSTPFVPSPSVPRRSSYYYLSLEGITVGDAALPIDPAVFRLVTGSGRGGLIIDSGTTFTALEERAFVVLARAVAAQVALPLASGAHLGLGLCFAAPEGRGPEAVRVPRVVLHFDGADMELPRESLVVEDRDAGVACLGMVSARGMSVLGSMQQQNMHILYDIERGVLSFEPANCAEDDMAFRVVSAATLMLAAVVMGILAPATFATRPRGFRAALNRAHRCCGNLSAAARHDARRLAERRAARTSRNRRRGSASRSGAARRTSGPWTARRASWGLGRAVPFVSELGVGRFSYCLRSDANAGASPILFGSMANTTGGTMQSTPPIQNPGTRHTPFYYVMESSASPGVVWSGRAFRYDVHVPL >Et_4A_034511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:394264:395707:-1 gene:Et_4A_034511 transcript:Et_4A_034511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYASASAASAALGRAMTWAEAAWFRYSAGTPDFWLYCHNIVVYTVALLELRAPPKETSPYKLQPRVRLSPAAFFRCYKDTARVLLLTVGVLQLVSYPAVKMVGIRTDGGAAGGVLFGGGLPGLLDPPAGLLHTEWGYHKIHRVHHEYAAPMAFAAPYAHWAEVIVLGFPAFAGPAIVPCHMTTFWLWFVIRHIEAIDTRSGFNFPFNPTKLIPFYGGSEYHDYHHYVGRRSQSNFASVFTFCDYIYATDKGYRYHKASLAKLKDGKGGRDAFQTGKQD >Et_3A_025123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27041817:27042330:-1 gene:Et_3A_025123 transcript:Et_3A_025123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSAATATTVVARATLGLPPLRARSDRVRCSYSKDAKSAAPAVSVKGAGASLLAAAGAVTASAAPALALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTVYTSDLDEDEESGGLSL >Et_4A_032613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11973003:11975506:1 gene:Et_4A_032613 transcript:Et_4A_032613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKRRRSWFERIRRLFTSEPKQKPSNKPEKKGKSKRWLPGKLRTQTSFALPAPAPETAAAEQVVIRQQAEDEQSKHAVAVALATAAAAEAAVAAAHAAAEVVRLTGHQQQQSPPALTPPSRHEHAAVAIQSAYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLMKIQARQRGGGRALNLDGADDEDEDEDDLLLRRGRELYAAAVHEQQQAGKGGGWDSSIFSKEEMSAMMRSREEAALKRVRALHYASIQNEKLGIRRPPLPTTRDEAEALNQRWSWLEEWVGSQPPFDKDVPVAHQSPYRDDAKARLACLDTDADRLGCSARRSFVRPRRTPARDPHYHDDSSAAAFPGYMASTASAKAKFRSMSTPKERFSSAGADAYSEQCFPFADRMLSPIPSMSPIPSIASDMGFARSTRPPVARGSSPRVVKGPMTPARSRSRRSPSRHSFGSEAALHQMQMEHYTPVR >Et_10B_002859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12640784:12644895:-1 gene:Et_10B_002859 transcript:Et_10B_002859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESRMAHIATTIPVRNVQALAESGAEELTAEAIERYIRPDIDQDAVLVGQGAEVPVIDLGRLLCKESVEEEASKLKFACEEWGFFQLPLEVKNAYAQRPGDLQGYGQAYVVSNDQKLDWADMKSIEEYSSEVGNLAHSIVTFIGNILNIDPGLLSDKYAVQALRMNYYPPCNSCLKRCWDSHLIQMVEGLQIRRHGAWIPVKPRPDALLVNVGDFLEILSNAKYKSIEHRVTINAHSERLSVSAFHNPKFDGVVSPIVNTARHEKVFYKTVNVNEYFKHHMSNKLDGKRALDHAKAFLI >Et_5A_041170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1917936:1921617:1 gene:Et_5A_041170 transcript:Et_5A_041170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDRWVPKRCPYSSAWIGALNGLATNHRHLSRAVYRSASPRSDWDWRRGRSAAPRGSGRLGFGGEVLRRAAAEGGGLGWSRRCGGRVLFLLLMGRSFRDSLKVLEADIQHANSLASEFRREYDGACLQMRMSYCPAAHFFLFLVQWTDCSLAGALGLLRILIYKVYADGTTTMSTHERKASIREFYAVIFPSLMQLNEGINEVEDRKQKAICTERYRRRDEDQKMVISEIDDNIEEECGICMEVNNKVVLPTCSHAMCIKCYRDWRSRSQSCPFCRDSLKRVDSADLWIYTDNRDIVDMATVRRENMKRLFMYIDKLPTVIPESVFDVYDSHVK >Et_1B_009955.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:14068707:14069135:1 gene:Et_1B_009955 transcript:Et_1B_009955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKTSRQVIEKYYSRMTLDFHTNKKVLEEVSILPSKRLRNKVAGFSTHLMRRIQRGPVRGISLKLQEEERERRMDFVPEKSALEVDEIRVDKETMEMLAALGMADLPGVERAPEASSAPAYGRPQYGGPRRDRV >Et_3A_026824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22234403:22237394:1 gene:Et_3A_026824 transcript:Et_3A_026824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRFDVDARKCGTHSTPSKMMYLDTTDSRRVAHCQSGYHDVDSYSVKHHYPSPIVSWIEDVSSFGDASFSPSEYVDEQAGFSVQPSSSSRHLHDMQISERLTDEFMELTKENTGNNLETCGILGASFVSVVGQKLPVRLKLDHEASRVYMQRDGTYFVTLLIIPKQEGTAHSCQAVNEEEIHAILSEQSLYPAGWIHTHPSQTCFLSSIDLHTQFSYQNEISFASPVSGTFRSYGIFRLTDPGMEVLRECDESGFHTHRETTDGSPIYEICSKVQFKPNLRFEIIDLRSGR >Et_6B_049620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7381136:7387302:1 gene:Et_6B_049620 transcript:Et_6B_049620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAPRRLAVLRSHLRPDEPAAPTQEHDPRPAAPAVSTLTCAGGGGGEGCVFCRIIRGDAPAYKIYEDDVCLCILDSHPLAPGHSLIIPKCHFSSLEATPPPVVAAMCSKVPFLSNAIMKATECDSFNLVVNNGAAAGQVIFHTHFHIIPRRSGDKLWPTESFRRCSIEPNETSGLVSCIKEQLCSTLESSKVEAASLPKES >Et_1B_013376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7909995:7912540:-1 gene:Et_1B_013376 transcript:Et_1B_013376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALREIESTLPPGFRFYPSDEELVCHYLQKKVSNERIAQGTLVEVDLHAREPWEIPDVAKLTASEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRSTASRAVVGMRKTLVFYQGRAPNGVKSCWVMHEFRLDTPHSPPREDWVLCRVFQKTKGDGDGQDGASSASPTFAGSSHVMPEQEHTTPAGCYGYFGNVQEQDMAQMLTYYGGTGDNGGGALPGFEFGARGVAGDEYGFGYLDMAGFDDMASHGLGGMGFPQGWN >Et_1B_014246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4255616:4260484:1 gene:Et_1B_014246 transcript:Et_1B_014246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNAGNSQAVRRAASTTGLIVILLLVVFTASNYSSLNIDRFINVPTSASSSRVTTTTCDVAKGEWVPDPAAPYYTNATCPFIDSRQDCMKYGKPEIESIVRWRWQPDGCDLPRFDPAAFLRLVRHKSMAFVGDSVARNHMQSLMCLLSMVRTITAPTHKHCLVLILDTQANDTINELSCAESWSPRARVQVEHPQEIEPKDCIHCTRSYLYREHNFTVTVFWTPFLVRWNLTRDGALQFMDPHNVFLDEADPEWAPRVAGYDYVVLNAAKWFTRPVVLHERGAAVGCSDCGAGAPNATTYLPPHRAVRAAFRTALRALRELPGFRGKVVVRTVAPPHYENGKWYDGGNCLRTRPVRSDETSLPETEAAFHAAQVEEFRAAASAAGDGRFVLMDVSQMMQMRGDGHPGQYGHWPHEKVGFGIDCVHWCLPGPVDAWSELLLHLLTNSAQTIEAAVHHF >Et_8A_057202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22306938:22310262:1 gene:Et_8A_057202 transcript:Et_8A_057202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGESRRHRSKWDTTEGAPDVVEISEDESPERKDIHTDGVNKDIKEIQSKASSERSQPPKLADEHDNKGWGKAGLEKPHGNQGINRYADDRRRGDGWGIALNRGYSSRMPSDPDPWRPRSRSPSPRGVWNRPRRNRSRSRSRSRNRSRSRSRSRGRGRGRSRSPYFADRGPEWRIERGRTSGAPLPCRDFVAGRCRRGVNCRFPHEDGGHRPFEEHHPAGPRERYGYPSKEFTDSREQNDFLQSRQPRGRYDDETWERSEPRRDYRSAEQCYNFVKGRCNRGASCRFAHDDSAPQGGWRDETRQSAYDRVGPDSSYGNRTEHRRVNKNPCKFFASGQCRRGANCPYLHEEAPQSQMGLSAPDEPSDYSNVRTGRGNFSNWGDQNNAVRATSQIVSRDDRENPVSQNIGRNVSSYEYENHHPKDAGKSQYQIITQDDFGSQVHKKENATSQQPQLFTSVQANVDSMNNDKVSGMDGQSAPGTAGNLSMHTGMHASNVTENLAQILQRQDAIPEPPTLPVTTHLQNNTSSLPSNSHMQQSNFSVHPQEQFLVTQAAVNNSTHNVQGQAVAPRMGHSHVGYGLGAQALPNLSAHNGHNFSIPGQVPQDLPTSGHTGQNQASIERLGQDSGAQAQSIQNMQNFQPVAPNAQLQTQSLQGLPIVPTSSSADIIGGSVSHNAAKSEDIQRVTASLAQYFGNAGLSAGAVGLPSSDPLNSSLMVTSSAAPLAVQPNAWPWPQQQAGMVQPAPSVPSELHQQAPQTFQMPVAVGSNNGHSMPLPHAGASTGQAAASVVNETTPKEKKKVETKDTDAEVDEDGDNKKGKDSKALKMFKIALADFVKEALKPTWKEGQMSREIHKTIVKKVVDKVTSTVENTPPTKEKIDIYMSFSKEKLNKLVQAYVGKYATKTT >Et_9A_062702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3478158:3488750:1 gene:Et_9A_062702 transcript:Et_9A_062702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLFVEPGDASSLSTPSTSTTSIVTLFEASVGHRRDNQIARKSDACTRRDAHFLQDTCSSGKMGGARGGERRRFLKEDSDEFDDEYDEAPLTLCMVAAKRAKVVKKKSSSGRAGVRKEKSSSGAAAPVIPENVAAVGYVVAEDADVLDCGVCFLPLKPPIFQCNVGHVVCSLCRDKLKATGKCHVCGISIGDYSRCHAMERLVGCIRIKCPNAAYGCTSQPAYCDKNSHCRTCPHAPCHCPREGCSFIGSTTALWDHFAGVHSWPCTAKIRVGNKCTLSLHDGFNFLLANCDTDSSEGMTATPAASNQWFFLMNVARQPLDRAISMLCIHPHAGVDAKEIECSLVYSQYGRVVNNCHGGDHLTSHYQSSTFRVACTDLSHRLPNPNDCFQFVVPNSAVKDHEEYAIQVVAQITIKPRPTVMEPVNRLPKRKPMLPAGSLASPVIQKEKCSSRPPAAAAAASSSMVRVVDCAPAAAYVAEEDTDAMDCGVCFVPLQPPIFQCEVGHVVCSSCRDSLAAGGTGKGHVCGVATAYRRRCHAMERLLESIRAACPNAAHGPTTSGRPTARPARTGRAAAPAWAAASWARRRRCSPTSLAWTRRDLSLVSLRQLRQHLYDDYIGVRLRDGFNFLLVVRRGSSTTDEISAGGSERYLFLLSVTRLRQPVAGRAVSVLWIHPESAAASGGAAQLGPWSSSSKEMECSLRHTSSCHVDCPSNTQRINYEMSAKFRVPCTDLSSGLHGLDGCCQFVVPEYAVPDGDKDATIQLTAFFDRIRIDDCPCPGSTLKRLVVLSSWDPGRRRQRKRSAALGTQAPVTWTALPTPSGSTMRLAQSSGWHARTYQAAYTALTAATSSWCLITLWQTVTRMPV >Et_7B_053675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1157640:1160241:1 gene:Et_7B_053675 transcript:Et_7B_053675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLAVVTKISPAAMKQLAVLAIPILLLLLSVSSLLLRPTSPPLLTSHEASHPDSRLRVYVADLPRSLNYGLLERYWSLPAADSRIPASSDPDHPAPPNLPPYPESPLIKQYSAEYWLLASLHAASVAAVRVVADWREADVVFVPFFATLSAEMELGWGAKGAFRRKEGNDDYRRQREVVDRVTAHPAWRRSGGRDHVFVLTDPVAMWHVRPEIAPAILLVVDFGGWYKLDSKSSGNSSRMIQHTQVSLLKDVIVPYTHLLPTLSLSENKDRPTLLYFKGARHRHRGGLVREKLWDLLGNEPDIVMEEGFPNATGREQSIKGMRSSEFCLHPAGDTPTSCRLFDAIASLCIPVIVSDEVELPFEGMIDYTEFSIFVSVSNALKPGWLTNYLRNISKQQKDEFRRNLAHIQRIYEYDTSDSRSNGPVPPDGAVSYIWKRIHQKLPMIREAVIREKRKPDGASIPLRCHCT >Et_1A_007918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39406097:39406667:-1 gene:Et_1A_007918 transcript:Et_1A_007918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEEQVLHVWKEWGIRVLVLFSLTIQVLLLVLAEFRRRVDSGVLRAFVWSAYMLADATAIYVLGHMSVTSKTELYPGACVPDYDNVARQWRTGQHNCLLHRGQPALVAPPTESSPQLLMCY >Et_3A_023495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31066361:31067833:1 gene:Et_3A_023495 transcript:Et_3A_023495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVCSRCLAAGIDASSRLTVAAGNGSKSLNCFFLTVLYAAGVSSPAGPHSPATASCALGLALSTPSSPTSSPASTSSTNHTNIAVATTISIASVLLVSLIALLLWKRKRHDDDIKNRRSIRLSGERRRSRPRPNTGSVLFDVGELAKATGGFAERNVIGRGGFGVVHRGALADGTVVAVKKMLDPDVHRNLVPLRGCCIADDDDQEGRAREVLAETLLRKETASTNTGAAVAAMERFVLVGILCAHVTVACRPTMPEALRMLEGDVDVPELPDRPQPFGQRIAFDEFETNFSASSVMSGPFVDFGDMLR >Et_7A_051776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24504282:24508377:1 gene:Et_7A_051776 transcript:Et_7A_051776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMMMRLMRRRSLLHPGAAAPVAVAGAGGSSSLFSTQQQPTAADPSVLPGIKIRESASQLIGRTPMVYLNRVTEGCGARVAAKLEFLQPSFSVKDRPAISMLEDAEKKGLITPGKTTLIEPTSGNMGIGLAFMAALKGYELILTMPSYTSLERRVTMRAFGANLVLTDPTKGMGGTVRKAAELYEKHPSAYMLQQFQNPANVKVHYETTGPEIWEDTLGQVDIFVMGIGSGGTVTGVGKYLKEKNPNAKIYGVEPAEANVLNGGKPGPHLITGNGVGFKPDILDMDIMEKVLEVKSEDAVKMARELALKESLLVGISSGANTVAALELAKKPENKGKLIVTVLPSLGERYLSSALFDELRKEAEAMEPVPVD >Et_4B_038572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:374008:379955:1 gene:Et_4B_038572 transcript:Et_4B_038572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAPPPSNAGLRILLSKDRPAPASSSTVFSSHAERDRIIGVFRNALSSNESPETFALQTVQEAIKPQKETVLVLEENQSLENALRTLLQELVSSAVQSGKKIMQYGNSSNSRESNCLITRLLDIVLYLCESGHVEGGMVFQLLEDLTEMSTIKDCKDIFGYIESKQDVLGKQELFGRGKLVMLRTCNQLLRRLSKANDVVFCGRIIMFLAHFFPLSERSALNIKGVFNTSNVTKYEKDATDGISVDFNFYKTLWSLQEHFSNPALTAANIAKWQKFSSNLAVVLSTFEAQPLSDDDGKLNNLDQEEDAAFNIKYLTSSKLMGLELKDPSFRRHILVQCLIFFDYLKAPGKNDKEGPTGSMKEEIKSCEEHVKKLLEIIPPKGKEFLKSIEHILEREKNWVWWKRDGCPAYEKQPYENKSGQTGVRKRKPRWRLGNKELSQLWKWAEQNPNALTDAESVRMPSISEYWKPLAEDMDPSAGIEEEYHHKSNRVYCWKGLRFSARQDLEMFSRFSDYGIEGVVPSELLPPEVNAKFSSKPAEKAKRPKRDDSKGASAQAKEQQVAATPETDGGGSGADPEEGAVPMDSDTVAVEDGQKRSPEEVSGPETGQIEAEADAEDNMKTATSKDAGASEKEKREG >Et_4A_035292.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:1849196:1850287:1 gene:Et_4A_035292 transcript:Et_4A_035292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTMAWTAAVIGAGLVYWFVWVMGAAEVKGKRAVDLKMGSITNDKVKDKYTQYWSFFRRPAETATASAEKVPAFVDTFYNLVTDIYEWGWGQSFHFSPSLPGRSHRDATRVHEERVADLLGAKPGHRLLDVGCGVGGPMRAIAAHSGSNVVGITINEYQVNRARSHNRKAGLDARCEVVCGNFMSMPFPDASFDGAYSIEATCHAPRLQDVYGEVFRVLKPGGLYVSYEWVTTSLYRAEDPDHVECIHGIERGDALPGLRRQDEIAGIAKEVGFEVVKEQDLALPPALPWWTRLKMGRLAYWRNSLVVRVLTLLRIAPKGVAEVHEMLFETAQHLTKGGETGIFTPMHMVLLRKPAAAAAE >Et_6A_047449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:642702:642984:-1 gene:Et_6A_047449 transcript:Et_6A_047449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTPPTSRQNTLLLSHSFLQKNFVNITRRRPAVILSTRPFRPAPSPFFAFEKEAEIEIDERAQVARRRR >Et_1A_008233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5507366:5509234:1 gene:Et_1A_008233 transcript:Et_1A_008233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAAAAAAARSRVLVVGATGRLGGCLARASLAAGHPTFALVRPHHLARLDSPVIRTLVAAGATLLEGSLEDYPRLLEAVRQVDVVICAVPTKQALEQKTLIRAIKEAGCVKKFIPAEFGLDPTKVQIGDMDYGFYEKKIEIRRLIESEGIPHTYICCNFFMRYLLPSLVQPGLNAPPRDEIRIFGEGNTKGVFVEEYDVAKFAICTIEDPRTLNKTLYLRPPGNVCSMSELVDIWEAKINKHLKRIYITEEQLLKQIHDAPFPLKMDLIFIYSAFVRGDHTYFEIDSSTEGTQLYPDVIYTTVTEYLDTLV >Et_2A_015371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11749545:11762768:-1 gene:Et_2A_015371 transcript:Et_2A_015371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLDDDDDVEPPLTALENYWLVDSEEQPVCFSTLPLRLGDAGDDDVPKFKKRLVLRGTVPPGVKKFKEVVAWKIVLEGKQPEVAVLAADGGWISLVKPKPSYEEIIRTILITVQMLHFLRRKPDESEKNLWSHLRKVFDKFDVRPSVDDLRSHRSLIKKFAEKDPALAKSETMRAFLDGGSRKKIDVVGADIEVKQPFIADDEEIDEMDTEENNTESDEDEDDDLFDSICAICDNGGDLLCCDGPCMRSFHATEGTGEDSYCVTLGYTEVEVQAMKIFLCENCKYKQHQCYICGALEPSDGAAAKVFLCNNATCGHFYHPKCVAQLLHPNNWNEASELEKQIADGCSFTCPIHWCVHCKGLEDRTQESLQFAVCRRCPKSYHRKCLPREISFEDKDDEDIITRAWELPKRILIYCLDHEIDPDIDTPARDHIKFPKIEKQVEFSKNRGKTLVKKKKRTYDETVLDQPSKEPRKMSDKVHMPERKETLKKISAKVLSENVGDKPEKKRTKFLKEKMQPEPHMAKDASVSRPKPMEEQEQEMVHLPSLTRKVPVSSFPTVDSETEKRVIELLGKKVSSLTIKDVTRKCSVPSTHVYSGRQTDRIIAQGKLERSVQAVEAALKKLENGGNVDDAKAVCEPDVLKQLARWHSKLRVYISPFIHGTRYSSFGRHFTKVEKLVEIVDKLHWYVEPGDMIVDFCCGANDFSRLMKEKLDQVQKKCRFKNYDLIQPKNHFCFEKRDWMTVQPNELPRGSQLIMGLNPPFGVKASLANKFIDKALQFKPKLIVLIVPKETKRLDKKKTPYELIWEDSECLAGKAFYLPGSVDHSDKTVEGWNASAPPLYLWSHPDWTTKHKKVAEDHNHTCIRRVASHVDEGNLSDLPLKEEAESSDREKTRSGKEDTGTMSLHPREDNMSDDRHVRTQAESASKWNPRSERETTDKATCNAIDANLQADHPARKQARSKDEKETTGRIAVNVSEAGASDKVPVKKIAEATKKEVSRSVNEKDGYEHRSRKWTPDLLDSLPPEKQVEVAYEETKEMRSRKTINDKKKGAVHGDGTVAHREESKKAQHDYEQRPAGLSDIKFREGGDSDMSMSPQDGRNARSRSSSYSPSIPTKHLSDRAAHCESYMNRPAKEPYDSTVNRATYQGSYLERNDDYSDAPKRKNGPFVSQIDDSTRKNVSSFEELTNRDAASPTVDPYCLQYMGPDDRIYRRQVADWSPSASEMYPTRYGQVGAINSQVNRTPVTTDSQTYPALHSGTSADGYLQSRYYLGSSGARFGQSASATASFGLSSGANATRGSVMDKYAYGLSGPIGPQSSVMDRYAPSLDGTNNARTNSFPQQYRLGGGRPHI >Et_1B_013280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:754036:757690:-1 gene:Et_1B_013280 transcript:Et_1B_013280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSRPLFVSASASSVAPPRASLRCLHTVITPSLGLLSRKYFGGEIGRWFVPVAVVSIWEPRPPLRAVTCCSAQMKHCARRSTVCLASGPSVSRLMRSVNRMLSRYSSLMVVLRCNLGRCYKAVKSMRQEGASKNFMSVGLGRVVLGMVLVMSMSAATYTAPSSSALTEENLLFLEAWRAVDRAYYDKSFNGQSWFRYRENALRTEPMNTRAETYAAIKKMLSTLDDPFTRFLEPEKLRSLRSGTQGALTGVGLSIGYPMALNGSPTGVVVISANPGGPAEKAGILPGDVILAIDNRSTEDMDIYDAAELLQGPEGSSVDLSIRSGVDTRHVVLERQTVTLNPVRSRMCEIPGAKDSSKVGYIKLTTFNQNASESVKEAIKTLRGNNVKSFVLDLRNNSGGLFPEGIEIAKIWMDKGVIVYICDSKGVRDIYEADGANTIAASEPLVVLVNKGTASASEILAGALKDNKRAVVYGEPTYGKGKIQSVFALSDGSGMAVTVARYETPAHTDIDKVGVIPDRPLPASFPSDENGFCSCLRDPTAPCNLNAAQLFARS >Et_7A_051639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21947388:21947789:-1 gene:Et_7A_051639 transcript:Et_7A_051639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQSIYIKHIATSEKERSPGSFARNNTKIIKTGPERLQNSPVWPSFRAGTIELHQIHRNKPARFDQNNTEISETHPEQPCMVRLQQNSHFLESSHGNHPVTSNISQQVKRSDPRVVLTETTHK >Et_6A_047076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24959284:24968518:-1 gene:Et_6A_047076 transcript:Et_6A_047076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQGALDSVLGRLAAVLVNEAQLLGGVRGDVEFIKDEMESMNGLLLHLTEAQHRDHQVRAWMKQVAGLTRDCEGNVELYVHYVGRGGSSSGVLAFLRRIPFLLRTILIRRRIATRIRELKVRARDVGDRRLRYGVTVPDLAAADVADTYDDGDVQSPRDAAGVEEDRRRRSALLDGDEPRDDEELVTETIDSLVKWLSEEPPPATAGDGQPQQQLRFFPVLGYRLAKLVAQGVYEHSSVATSFDCKAFVTSDYTSLRRFLVNILDQVTVLHEPQQEDKPRNQQEQLVDKDEEQLVDILQAHLKDKRFLMVLADVTREQQWKTIVDALLHAADGCHPGSAIVTTTDIEQVAKCPSPNRIINAKNLEEFYAGKADRLNANYTYWDDTYGQRMSIFDAICYPNAYAMKMFLHLLYVNPNRTSDELRKYGSAIFECKRLNKSIAKQVLTFCYNELPSKYRSCLLYLTIFPKGRVIRASSLARRWIAEGFITTTATTRTDEKVSLMDEACHYLDVLFTRGFISLSEISVTGKIKSFTVHHEVCEVIAKIARDVNFVDANLPADLANHLSIHNRIGLQESHSNDNSIDILAFLPILASSPQWQLLKLLDLEGCKGLKKHHLKSICKILLLKYLSLRNTDTTELPEQIKELQCLESLDIRQTKVRMLAKKVVVLPLLKYFLAGHKVPSSNGARISSEELFPMVRIPICIQRMKNLEILSHVQVLNGHKELSGITQLLKLRKLGVSIYGENAKLNNLFHLIEKLQRCLRSLSIRIDRPADPGNQNHDAGIPDALPILPRFIKNLNISGITTGFSHLIQEHPQLSKVTLSETYLNKDDLCIIGNLPSLRCLKLQHKSYTETELAFKEYEFQSLNFLLVEGSGITYVSFISGSCPNLERFVWSFTALDALSGVCHLTELKKLELNGDCNLELVKEETVRHPCYPYYPILKHNPHHQRKEDETAGAVDLLLGRLTSLLLDEAQLLGGVRGDVEFIKDEMESMNGLLMHLTEAQHRDHQVRAWMKQVAGLARDCEGNVELYVRHVSTATADASASGCLLKHLRRLLWLLRTIPSRHRVATRIRELKVRARDVGDRRLRYGVTVPESAGAGSAGVPHGYDDDDAAPAAARDEEDRGRRALLECATDEADVVRTRVNTVVKRLSVEERSPAAGGGSGPRVRILSVRLQRNDGSIGHLVAKGVHEHPSVLSSFDCRAMVLKARHFNSRNLLIKILEQVTGVVGLVTDKWGKEQLTRTLHENLKGKRFLVILESVDHWQWRDIHPALSHAHNCSHGSEIIVIERYDDTDWLTPHETVTAVENSVEFFMYKAHLLAAGFKHCSMESLLPFVTDLYPNAFAIKVFLHLLYVNPNRTYDEVSRYRRAIHECKRLRKSIPRQMLMFSYNELASKYRSCLLYLTIFPKGQTIKATSLMRRWVAEGLVTTTAASDDRVSATDEAERYLDLLFTRGFVSPVEINSVGGIKTFALHHEVCEFIAKIARDVNFADTNLAPDLAHHLSIHNRIRLQKPHSEASSNDFLLKVLDLEGCRGLKKHHLRCICKILVLKYLSLRNTDVTDLPKQMKELQCLETLDIRQTKYFLAGHKVSSSNGASISEESYPMVRIPLRIQRMENLEVLSHVQVSNSDRELSGISELLKLRKFGVSLHGENAKLSDVLYQIEKLHRCLRSLSIRIHRPARQENLGAGTADVLPSVPQFIESLNISGITTGLSHLIQDHHQLVKVTLSETLFKEYDLRILGKLCGLRCIRLQHGSYNESAIAFKEDEFQSLTFLFIESSEITNITFVSGAAPKLKRIIWSFVTMDGISGLIHLHKLEKLELNGSCNLDPVRADILHHFNKPVLKHNPHPQHQEDRTALVASTSSAP >Et_5B_044328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22692956:22695185:-1 gene:Et_5B_044328 transcript:Et_5B_044328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHLSQIGDNDNHQHLATGVNSKTKKMMQREDVKPHRPVDLRKSGWILGDIVEVFNQNLPGKIIKVLKNDFFLIRLTCCIQLKELHISCLRLPQANHGNQSTVIDKNGQGFEEDGHRTKRHKSSKICPSAATRLVKKKLKARRTLPQETKAAAYEVHQETKKLFPLKVSARNGIGDDHLYRPFSNKYNDLSRNSFMKTKPECEVLSPPQILLHGREENECSVASCSANCLEYSITGDQQSVALGSCFPDDAMSTCLKRSWRDNNNVYGTSLDMNLHDLELHAYQSTIRAFFVSGPLTWEQESLLTNLRLSLNISNEEHLL >Et_1B_013504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9051296:9054164:1 gene:Et_1B_013504 transcript:Et_1B_013504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLAEMPRAPLDRRPRKRQRMGWDVGPAEFQQMGLCGQEVANAISAVALGLSSGVVSSQGNQGSPPLREDDRDGHYVFAVGDNLTPRYKINAKMGEGTFGQVLECWDKERKEMVAIKIIRGIPKYRDAAMIEIGMLEQLGKYDQSRSSCVQIRNWFDYRNHICIVFERLGPSLYDFLRKNNYRSFPIALVREVAKQLLECIAFMHELRLIHTDLKPENILLVSPEYIKVPDCKVSSRSPKEGSYYKRLPKSSAIKVIDFGSTTYDQQDQTYVVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHMAMMERVLGPMPHHMLKRADRHSEKYIRKGRLNWPEGCTSRESMKSVMKLPRLQNLVMENVDHSAGDFIDLLQGLLKYDPASRLTSQEALRHPFLTEGKDRRR >Et_1A_005399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10068662:10074898:1 gene:Et_1A_005399 transcript:Et_1A_005399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEKAKGVVIPEVAAAPPPTTAKASSKGIPIMTKAQRSHPLDPLSAAEIAVAVATVRAAGRTPEVRDSMRFVEVVLLEPEKNVVALADAYFFPPFQPSLLPRTKGSAVIPSRLPPRRARLVVYNKQTNETSIWVVEFSEVHAATRGGQHRGKVISSEVVPDVQPAMDAMEYAECEATVKSYPPFIEAMKKRGIDDMDLVMVDAWCAGYHSDADAPNRRIGKPLIFCRTESDSPMENGYARPVEGIHVVVDMQNSVVIEFEDRKLVPLPPPDHLRNYTPGETRGGVDRSDVKPLVINQPEGPSFRINGYFVEWQKWNFRIGFTPKEGLVIHSVAYIDESRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGFIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIESEVKLTGILSLGALMPGEQRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPNEPYNQVVEVNVKVENEGPNNVHNNAFYAEEKLLRSELQAMRDCDPSSVRHWIVRNTRNVNRTGQPTGYKLIPGSNCLPLALPEAKFLRRAGFLKHNLWITSYKKDEMFPGGEFPNQNPRIDEGLATWVKKDRPLEETNIVLWYVFGITHIPRLEDWPVMPVEHIGFMLMPHGFFNCSPAVDVPPGPEADVKEAESPKGIQTDLISKL >Et_7A_051185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15219581:15230467:-1 gene:Et_7A_051185 transcript:Et_7A_051185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVIVPNDGPASGYGGGLTLSAFMSCLVAASGGLIFGYDIGISGGVSEMEPFLKRFFPHILERMASAKGNEYCIYDSQALTAFTSSLYVAGLVASLVAGRVNKAMGRQAVMLMGGALFFSGAALTGAAVNIAMLIVGRMLLGFGVGFTNQAAPLFLAEMSPSQWRGALTAGFQFFLALGVLIANLTNYATAHLTWGWRLSLGLAGAPAVIIVIGALFLTDTPSSLVMRGRVDQARAALLRVRGPGADVDAELKDIVRAVEVARQSEDGAFRRMATRREYRPFLVLGVAVPMFFQLTGVIVLAFFAPLVFRTVGFGSNAALMGAVILGAVNLGSLLLSTVVIDRYGRKVLFMVGGVQMIICLVAVAWIMGAKVGKSDEAAMARPFAVAVLVFTCLDSAGFGWSWGPLGWVVPSEIFPVDVRSAGQAMNVSIGLCLTFVQTQSFLAMLCRFKYATFAFYAAWVAVMTVFIALFLPETKGVPLESMATVWARHWYWKRFVQDQKEMAGGVIVPSDGPAVDYGGGLTLSVFMSCLVAASGGLIFGYDIGISGGVSQMEPFLKRFFPHVLERMAVAKGNEYCIYDSQALTSFTSSLYVAGLVASLVAGRVTRVMGRQAVMLMGGALFCFGGAMTGAAMNIAMLIIGRMLLGFGVGFTNQAAPLFLAEMSPSQWRGALTAGFQFFLALGVLLAGITNYFTARLTWGWRLSLGLAGAPAVFIFVGALFLTDTPSSLVMRGRVDQARAALLRVRGPGADVDAELKDIVWAVEDGAARRMATRREYRPLLAFAVAVPVFTQLTDVVVLAFFSPLVFRTVGFGGNAALMGTVVLGAVNLASITLFTFVVDRFGRRALFMVGGVQMILCQVAIAWILGAQLGKAGDDVAMARPFAVAVLVLTCLHSAGFGWSWGPLAWVVPGEIFPVDIRSLGQAMNVSISMGLAFVQTQSFLAMLCRFKYATFAYYAAWVVVMTVFVAVFLPETKGVPLEAMSTVWVRHWYWKRFVVQEQGKGAAALT >Et_4A_033704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2617830:2624921:-1 gene:Et_4A_033704 transcript:Et_4A_033704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSTNATPLTDSIEVGPERDSQSSPCQADQRSPEPLLQERSYKAKLISHHFARKYLSPSRRSREQCRPSTYRRLEFARHGQPGRGLRVRSVSSCPDPAFRTRASICCCRERYFGDGTDFLGCIFGGFWSSKGKKDFSTAILERKKSPNRLVVDEATNDDNSVVALHPDTMEKLQLFRGDTVLLKGKKRKDTICIVLADETCEEPKIRMNKVVRKNLRVRLGDVVSVHQCQDVKYGKRVHTLPIDDTVEGITGNLFDAFLKPYFLEAYRPLRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCEGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLFGPPGSGKTLIARALLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLENIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVSNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDDQSRLQIFKACLRKSPVAKDVDLNALARYTQGFSGADITEICQRACKYAIRENIEKDIERERRKKDNPEAMEEDEVDEVAEIKAAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFPGQPATAAGASAAADPFASASAADEDDFSLDT >Et_3B_029221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23087858:23098416:1 gene:Et_3B_029221 transcript:Et_3B_029221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQQQRYSSSRTSSFWTDTGPRYPMEPAASGGQQATRPVGLGILALFYSSLLFNAGFVAAPFLDGVAGEDGRPGCECSTCFGGPDCSVRKPNCTADADRRHAAASAVVVSGWHRMSYTTTDGMFQSVELENRIRELHRAVGNKHVVFGGGSMQLINALVHALSPDAAYKSQTTMFDGREHKWGGPTAVWANASRNSTGGFIEFVTAPNNPDTELRKPALRGHFRPRLLLAAYYFTPIPEPANEDVMMFTMSKPSGHAGSRLGRRPANPGLLVLLYTSLLLNAVLFAHHFLSPSLLGEGRGCGLSWALKAAREAEATAAIQCSGHGHVFLDGVAGKDGRRLRCECNTCFGGPDCSLRTPNCTADADRGDPLFLEPYWRRHASASAVVFPGWHRMSYLTTDGMFQSAELEKHIRRLHRAVGNAVADDKHVVFGVGSTQLINALVHALSPDADAASPPASVVATAPYYAPYRSQTTLFDGRKYKWDGTTAAWANATQGSTSKSFIEFVTSPNNPDAQLQKPVLHGRSATAIVDHAYYWPHYTHIPAPADEDVMLFTMSKLSGHAGSRFGYVHSFIGSIAVRMWMDGWWALIRDEKVARKAYEYVQNSTMGASQDTQLRMLRIVKVILANLRGKEDMLAFGHEVMRARWRRLRAVVSRSRRISLQNIPPQYCTYFKRIREPSPAYAWVKCVREEDGDCYKALLKAKIITRSGVGNEASSRYTRVSLLKSDDEFDVLMERVTELVNAEKYDAPGMAIM >Et_10B_003027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15539796:15541643:-1 gene:Et_10B_003027 transcript:Et_10B_003027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTITALVVGPVPFKDMCGVMPEFDVSTLAAEDKPWLRHYRGTWVMEPWVPGLMAIQQSFVPRCGDVVLASPPKCGTTWLKALAGTRTRLRHPLLRLTPHECVPFMEMLFAAGQGSKMDALPSPRLMATHMQHSILPASISHNPDCKIVYICREPKDMLVSLWHYANKGSSDDVSFLDIFERACEGRSASGPIWDNVLGYWNASKASPEKVLFLRYEELLRDPVENVRKLAQFVGQPFSASEEEAGVVTDIVRLCSFDKMKHLEVNREDSSSSIANSSYFRKGEVGDWSNHMTPDMARRLDTIVQEKLCGSGLSFA >Et_3B_027794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19554215:19556305:-1 gene:Et_3B_027794 transcript:Et_3B_027794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLHGGEHAASRESLPALLIQVPSQSIAGFDCVGRDATVFLNEPDKEEMEQGSGNDKDIVIRAYNDDAYVPYSISLSMPASPSGFHLAQFRASHEQEQQQQHAEAQHAPRLLKQTRFHSQPILHPSSKSASDEARRCDSIRDKRFDPFKTFSGRLERQLSNLRGRPQEPSDGVSPDSTISEETDQVPDANRYFDALEGPELDTLRETEVPVLPNDERWPFLLRFPISAFGMCLGVSSQAILWKTLSSAPPTAFLHVSPVVSHVLWYAALALMGLVSAIYLLKVVFYFEAVRREFYHPIRANFFFAPWIACLFLVQGSPWRVAEMHHALWYALMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGAKMGLSEGPIFFFAVGLAHYMVLFVTLYQRLPTNMTLPKELHPVFFLFVAAPSVASMAWAKINGEFDNGARIAYFVALFLYMSLAVRINFFRGFRFSLAWWAYTFPMTGASIATITYATEVTNVLTRALSIGLSGIATVTVAGLLVTTVFHAFVLRDLFPNDVSIAITRRKPKFSKILAHFRSSSSDLKEIVLSLHKPPQSDSSETDMSVTKSQAEP >Et_1B_011262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19713513:19727442:-1 gene:Et_1B_011262 transcript:Et_1B_011262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESENGSCSGWAARDPSGILSPYKFNRRAVQSDDVSLRITHCGVCFADVVWTRNMHGDSIYPLVPGHEIAGVVTEVGANVSGFKVGDNVGVGTYVNSCQDCENCHSFLENYCPKSVFTFNRVDKDGTITKGGYSTHIVVPERYCFNIPDGYPLEKAAPLLCAGITVYTPMMRHNMNQPGKSLGVIGLGGLGHMAVTVFSTSESKRDEAINHLEADNFVISSDTQQMESLKSSLHFIVDTAAGDHPFDPYLSLLKVGGARTLSGSIVGGTKDIQAMVNFCAANKIYPEIEIIKMNYINEALQRLVNQDVKYRFSGNCSAWAARDPSGLLSPYKFNRRSVQSGDVSLKITHCGVCYADVIWTRNMHNDSKYPLVPGHEIAGVVTEVGSDVKAFKVGDHNHCSKNVYTFNAIDTDGTVTKGGYSTHIVVHERYCFKIPDGYPLEKAAPLLCAGITVYTPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKSFGLKVTVFSTSESKRDEAINLLGADNFVISSNKQQMESLRNSLHFIVDTAAGDHPFDPYLSLLKVGGVMAVVCFPSEIKVHPANLNLGARTLAGSVTGGTKDIQEMINFCAAKRIFPEIEIIKMDYINEALKRIVNRDVKYRFSLKGSLHFIIDATSGEHPFDPYLSLLKVGGVMILLSFPREIKVHPLNLNLGNAYFRHQDVQIGKGARSLSGSVTGGTKDTQEMINFCAANKIYPEIEMIKIDYINEAVQRLINKDVRYRFELGEAWMKLAVWWRWLTTTGGSMLAVAVDWWLFTLN >Et_4A_033264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20813591:20815678:-1 gene:Et_4A_033264 transcript:Et_4A_033264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPEPAPTAAAAEVAARFRSLVDADDVASIRQKQHLILGRLQDSNAVLTHFNEYSEQCFTEVSSDFASKTRLLKSMKADLDHIFTKLRGMKARLASTYPDAFPDGAMAEAMDQRPDLESPLD >Et_9A_063455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2957618:2958583:-1 gene:Et_9A_063455 transcript:Et_9A_063455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPFLVHDVGSFDYDDPHSQYSIASQTHVDATIEVLADYRCFETPQGWILALDPVSLRTFLWRPEDGERITLPSMEKNLPLTCKCILSGKPGSSSSCVVMVFDLHDFAYWVCPIGGNKWERDGYSLTIYDAKDQPKELHMARRHGMAAVGGKVYYEITGSELGVVEFDPVNAEPNLTSIEVDMVDTPKTMPMWSSYLVESCEELFLVVIFFLGDNVHRIAEIAVYKMDFSVPMWCKVDKIGDDRYFLHVFNLEEGTEEVKRPFEDLVHPLRPPFWLLPADP >Et_8A_056241.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:17617435:17617806:1 gene:Et_8A_056241 transcript:Et_8A_056241.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADCTRSRHSLSLMGSMCVSPDTTPLKRSTSPQEHMERGDADERPGVGVGVVQKHEHHVRGDHLRRQVQRSLPRRPDTRVDVDGALTTSVAVLDGAVHTASASSGLVRGAAAAVAGGDLARI >Et_5B_045397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2140334:2143137:1 gene:Et_5B_045397 transcript:Et_5B_045397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADNAAPPRPEDARGRGGGAAPEPEAVEREVKVVVVDEPPARLQTQRPLAPLQVTTQAPPPPMSVASGAVEPPPQVAAAAYQPVMQTPPPGPLPSLNSHKYTNGITLCLFLLHLAAAGLAMGFFVFRAVRDITQHPRSRNARRERSLLRDWLLPVEGAVALSIVLAFSWQKAVRKWPRAMVRVIIWSSFGITLCVGAMLMCFSMPACVGLGVAMVVFSIGTGLYACWVTRRVGFTARVFERAVHPVDKFRGLNGPAYLMVAAGFAWISVWCVAVIGAANFRFPGLTILALVLSLAWTAEVMRNVANLTASRVIALYYLRGMQSSVQFSFQRALSYNLGSACLGSLFVPTIEALRILARGLNLLEGEDEFMFSCAHCCLNVMNAVFTFGNSWAFVHVRDRAAASSFSMAMRLLIADDKRLFSLQIAAYGRGFVQASRSTWGQFEALPGMAALVDSDITSSVCFLTGVTSGALCVALAGSWTFATHRHYTATVSLLAFFVGYLMTRIGMALPQACVGCYYVCYAENPGSRLFDSTIPERLRKMRDDRDPLVVPTPRFPHQRVHA >Et_1A_004515.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:11858478:11860160:1 gene:Et_1A_004515 transcript:Et_1A_004515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRGLRRRRAPQPEDGRQRPVHGPPAGGAAPPHYDEVLRSAPVRPPHGHPPPAPHRLPGPRRLLLRGLRRGAPLGLGAHRRRRRQGRPRPDPRRAAPGLRGAPPPLPRPQQARPPHHRALPLARGGLRRLCRIIAEANSIYSALRSRSYFSSLLQDEHPGSDDEDENAFQPEKGNVVFACARDGWGFRIHRFAELYAEKHKEDGVSYAALLKGLWDPYYIDKTTKRVLLLRKEAMGGGASRQPLFVQKVLTPLWAVYQRGLKGDAASWLDKNVLQFFNLEVLPRELHSNDPKVALHAVLRAWMPLADSIMAMLVECTPGPVAAQAVRVARLMPERKAAAAADSSDIVTEAEQVRRCVASCSTSASGPVVVFVSKMFAVPYKTLPSRGPNGEPLNHSQGPGEASEEDCFLAFARVFSGVLHAGQKVFVLSPLYDPMKGDDAACKHVHEVELHTLYEMLGQDLKPVASVAAGNVVAIQGLDQHVLKSATLSSTKNCWPFSSMMFQVSPILKVAIEPSNPADFGALAKGLKLLNQADPLVEYTVSQRGDHVLAVFGAVRQRFAG >Et_2B_020829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24003448:24005979:1 gene:Et_2B_020829 transcript:Et_2B_020829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TKPLQIWDKEVVDGYIKRPQDEDIQSNVLEIVGTNVQSTYITCPADPSATLGIKLPFLAIIVKNLKKYFTFEIQVLDDKNVRRRFRASNFQSVTRVKPYICTMPLKLDEGWNNIQLNLADLTKRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSDEELPPEFKLYLPIQVRTRLCLCDIRY >Et_3B_027741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16934107:16935372:-1 gene:Et_3B_027741 transcript:Et_3B_027741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSFDDMILRESAWSGEGGGGAVLLPPDLGDGGGMTALERLVLDEALAAAILELQQGKVLQQQHAAGGNGVVGDIAFSAMATATPAYADVDAVGVLQRQQHRHRHQGAMAMAPPDYDLTPATAAVAAVTSDAAFTTADPRVLQSGTDTAAPCEDGGASGGRRQQRRPNRKRKAADAASTQESSLCSLLASSTAGDGGGIQIAFSTSAPAAKRSKPSTLSSSGSSSISFDGRNTGALDDAAVAYEPDTEALAQVKEMIYRAAAMRPVSLGPSADEELAAAAGERPRRRNVRISSDPQTVAARQRRERISERLRVLQKLVPGGAKMDTASMLDEAANYLRFLKSQVRELQTLDRRNYGSNAVNVNTAMAGGPLSFNGGNGGVPPFAFPAGSAAAETLRGAGGGGCVEQQIN >Et_3B_027683.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:12193515:12194126:1 gene:Et_3B_027683 transcript:Et_3B_027683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAGLRWDTQAEHALRYVAAAEAEVRRHVGHDLLHRRPGHQRLPPGFEARERVDDAGVLRRHEVAHEAEVAEVGGGEVVAGEVLAGRQPRLVRLQHCLQLGEVLVDGGLVAVAADVLADDAVDHDGEHDGLQLVGLHVDPHVHRRRSGEVAGKEELRLVFAEDVPRDGAGFWRGGKNGSRCELCMNENDSDVCRPGEKLDRR >Et_4A_035403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23518834:23525983:-1 gene:Et_4A_035403 transcript:Et_4A_035403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEDGDEFRDAEDGDGTAPPPSSSPASTPTKSKPAATAGGFGRRLLASIGLPTSFSAAIGRPSAPSSPKPPAPQPPPAPSLGLGLLLHPGTDPSSDASARNLHLPPTADLQKRHEEELLEEQGAAAQLVLQHGDGEDQVIGYDVGVGEELAVLVPIEEEGREEKEDVADGCSGNRNGSSVQELVKDGEQRKSNDLGAAMEDQEVIEQEEAATYYSAVEDQSNNDAELCTGDEPTAIKHASAVEEKKTMEQEYAVRILGVAEAGIVGGLQEGDGLVEGQGEDVISVKDQHLVVDQCTNDELGAAMVASWVHDQDEVVVEQEGVVVYFDAAVEDQSDNAALEQRTSDESTIIKDGNGVEEKEKAVEQEAAIGILGPAEDGIDVGLQEEDVVVEGQGEDSVSVQGQDKMVEYCMSDELRAAMGDSVVHDQEVVVVEQAGVVESFDAVMEDQSNNADMEQCIGDELREVEGGNVVEEKVKALEQEGATGMLDAAEDDFPVHEEDVVVEGQGEDEISVRDQHKLVERCMIDELGVAMVDSTIQDGDLVPDQEEVTEYFDVVVEGQNNYAAVEQCTHGEFKDLSGDNFVEVKEKSVQQECVIGIAKDDVAVELQEKGMSVEGQGDDGIAMQYQFKVVECTSDELEVAICHSTIQDQEVVVEQEGITGSFDAEAEDHSNKASVKQCTSDELREVKDDNIVEIKEKVLKQGVFGIMSAAGNNVTVGSQEEDTMVVEGHGEDGISVEDQHKQCTSDMLGVTMGDSVIQGHEVAVLEQEGITKCSDAAVEDQSVSSVVEQGTSDELEVVKDDNFVEEREKAVVEEGATDTLGSTKDDDIVGLQEGEVVVVAEQGKDVYSQDQYKAVEQCASDQVRTTAFVNAAQDQEVAEQENVILVRIATADGIAIDTQEKAIEQSAIDRSEATKHAEDKEVVVDQEGLSDKQHAIEDGSSVVLPEEGDVIVKEQGEDDISVQDQDKVLEQCTSDQWRTTMNAAAAAEDKEVRRDKIRLCVGYPQRPGRQNCRAYVSTGRCTYGSSCQLNHPQFKAKPDVPSFLSEQGNREVAEILELNRLGLPIREYYHPEDKYASRYPRKNPSLAKELMVCPDKPGAPECPFYMKTEACKFGAECKFHHPKDVPSSMQGPTTTKRPLDAKDHPEAKTTLQDHMDQKQNYPERPGQPECRYYMQFGKSANESWDWLARLFVSSR >Et_7B_054505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:22139886:22141699:-1 gene:Et_7B_054505 transcript:Et_7B_054505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATLFLTLSTALFLVLLCRTLKSKKQSRRLPPSPPSLPVIGHLHLFKKPLHRSLAKLAAAHGPVLLLRFGSRRVLHVADPTAAEECLTTHDVTFANRPQLPSARHLSNGYTTMGSASYGPNWRNLRRIATVDVFSSHRLLLSSDARAGEVRDLARRLFKAAAGNLPAHADVKARAFELAMNTVAVMIAGKRYYGGDDDAAAATEEAERFRSMVQECAAMHGASNLQDFVPLLGLLDIGGMNRRAKRLARARNEWAQRLIDDHRAAAAAATEAKQGKKTMVGDLLEMQASNPEAYSDKVIQGLCLSILQAGTDTSSSTMEWAMALLLNHPAALAKARAVIDRVVGATRLLEESDLPNLPYLESIIMETLRLYPVGPLLAPHESSADCSVGGYDIPAGTMLLVNVHAVHRDA >Et_1A_005245.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35221463:35221570:1 gene:Et_1A_005245 transcript:Et_1A_005245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEKNCDEKVMYMLNGDFKGLGLRGLKSCPDNLA >Et_3A_024328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19661433:19662746:1 gene:Et_3A_024328 transcript:Et_3A_024328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSHCGNYGHNSRTCSLGHRETIMLCEAGSNNGGGGGGSSGSGLRLFGVQVHVGAGGGAAASMMKKSYSMDCLQLAAAAPGCSIVSPSSSSSSSMLLSIDEGLERAATNGYLSDGPHGRVVQERKKGVPWSEEEHRQFLVGLEKLGKGDWRGISRSYVTTRTPTQVASHAQKFFLRQSSMGKKKRRSSLFDMVPICENGARNSDQLNSAENASTSLSLNVPRDGFSDSRPAFDLNCTEEDDNRSDFSSASGAGTGTLRPSSHGHGHGLHCSLLELGMSLSTPSIGT >Et_2B_022528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24867384:24869316:1 gene:Et_2B_022528 transcript:Et_2B_022528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGGGGSPEDEAQAAGGDLPAVCVTGSTGYVGSWLVRTLLRRGYRVHATARDTGKAWRVLGAVEGMDRLRVFRADMGEDGSFDDAVRGCVALFHVAASMELHVSPGQDNVEEHVRSSVLEPATRGTINVLQSCVRAGTVLRVVFTSSISTMTAADAEGRRKAVVHESCIRALDDVWRTKPVGWIYILSKRMTEEAAFEFAREKGVRLVSLVIPTVAGPFLTPSVPTSIQLLLSPITGDPKLYSLLASVHSRFGCVPLSHVQDVCDAHVLLMETPRAEGRYLCAGGSHPMAQIAQLLASSYPPFKPAERHAHDSLSKDFDSSCSSVVSSKRLLDLGFKFEYDIEDIVQDSVAQCVEHGFLEHS >Et_4A_032699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12731493:12735785:1 gene:Et_4A_032699 transcript:Et_4A_032699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVARAVAEEVARWGSMRQTGVSLRYMTEFGARPTERTLLIAAQFLHKELPIRIARRALDLESLPFGLSAKPAILKVRDWYLDSFRDIRNFREVRNRDDELAFTEMIKMIRVRHTNVVPTIALGVQQLKKDLGGPKAFPPGIHEIHQFLDRFYMSRIGIRMLIGQHVALHDPDPEPGVIGLINTKLSPLTVARIASEDARAICMREYGSAPDVDIYGDPDFTFPYVTTHLHLMMFELVKNSLRAVQERYMNSDKHAPPVRIIVADGAEDVTIKISDEGGGIPRSGLSRIFTYLYSTAENPPDLDGHNEGVTMAGYGYGIPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP >Et_10A_002092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22086926:22099926:1 gene:Et_10A_002092 transcript:Et_10A_002092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALNDPRLKALIEVSTYSSRTENDSPLQILVGFLATEERTKALANEFVAKMTDVCWDKCITGSIGSSFSRSEVSCLSNCAKRYAELKMLTVQKLPSSLSEQPQQSPAPFVTLTSLPKSPVPLKATPISVVNPAPQHEDESSDEDYEPFPAQKKSTAVKPTKRIHMVGGSNEDNSKRQSVRRSLNKELVSCPSSSDDPSESAEAIMMMFDSLRRRILQLDEKEDASKRADLKAGTLMMQNGLRINSLKVIGPVPGVEIGDIFFYRIEMCIIGLHAPIMAGIDYISAKHFGKDETLAISIVSSGGYENDDDDTDVLVYTGQGGNSRHKEKHDQKLERGNLALMNSMKKKNLIRVVRSAQDPFCNSGKIYIYDGLYRIEDSWMDKAKNGFSVFKYKLRREPGQPNGLSVWKMTEKWKQNPLTREKVIQRDLSSKIENLPVCLVNDADDEQGPSYFNYVTGVKVSQKGVYLNFEVFWTGDRGWGLRSWDPIRAGTFICEYAGEVIDEIHINMDAKEHEYAFHTSWLGDKVLRWNLGAELLEEASGNVTNESLKKIPIIISAKDSGNVARFLNHSCSPNLLWQPVQYDHGDESYPHIMFFAMKHIPPMTELTYDYGTRGTPPGIKGEFPNACKLKPCLCGSTNCRLRQKKKKNCLSPGSNWGPLVCETNVITNYTTQTIWKEISIIRVPRCPAAGRSSRNNDIHLQLGLPWSRSAERIRACRAAHRRLG >Et_4A_033912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2830040:2832255:1 gene:Et_4A_033912 transcript:Et_4A_033912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKMTELGFYGGKSKSLYGKEGHLGLTLIKFADNLAGLKEAERLAEFLERQGHGRIGWSRAQASHSLDSDQNPSLFETDNRTGERILYGYLAIASDLGELDSDSRKRAFLKSRRESDPRTLSQY >Et_6A_047879.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:22361049:22361762:-1 gene:Et_6A_047879 transcript:Et_6A_047879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPKSTEPTTAAVEAAAENVVATGEKKPPAKHRMPTSHVKWILSKKPMAPPARFVALKRSNPSLTPRPGEEEADEDTKRLLFLAKAFYEMEERMPGKQERVRAELAAKGYVEVNDEWVKWRAEAHAVFDREWPEIQAKLDAMILEDEEFARRQRASKASTRTTAAATRRRKRKTR >Et_7B_055152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7858845:7867326:1 gene:Et_7B_055152 transcript:Et_7B_055152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLRLRQMPRGRGSSVSTSEKPKIDEVADSNEDNRKNRRGAYLLLGLLIVFLHGSWSVYRIQFGNLPLPLDADQAGKRGFSETSALEHVKYLTSLGPHPVGSDSLDRAVQYVYAVAEKIKKTAHWEVDVQVELFHTDIGANRLSGGLFKGKTLLYSDLNHVLLRVVPKYLPEAEENLILVSSHIDTVSTTEGAGDCSSCVGVMLELARGVAQWAHGFKSGVLFLFNTGEEEGLDGAHSFITQHHWRNSVRFAIDLEAMGISGKSTLFQGTDHWALESFAAVAKYPSAQIASQDVFGSGAIKSATDFQIYHEVAGLPGLDFAYTDTTSVYHTKNDKIKLLQPGSLQHIGDNMLAFLLHAAASPKFLKDAQQQKQENTEHNKAVFFDILGKYMVVYPQRPATMFHNSIIFQSLLIWGTSLLMGGRAGLVCFGISCLSIMLMLIFSISLPVAVAFALPYICSFPIPYVANPWLVVGLFGSPALLGAFIGQHIGFSLLKKHLWCVYSRTRPSLARSTMENVIDLEAERWIFKSGFIQWLIVLILGTYFKVGSSYIALIWLVAPAFAYGFLEATLSPVRLPKQLKVVTLVLGLAAPVVSSAGLVFRMADVIIGSVVRVDRNPGGLPDWLGNVVVSVAIAIVVCFMFVYLLSYVHISGDKRTLGFLLCTLFGISLALVSSGIAPVFTEDIARSVNVVHVVDTTGINDANTEPLSYISLFSNTPGKLTNELRHLGDEDFSCGRNMTIDFVTFTMKYGCWSYKESNTGWSKSEVPVLLVESDSVTDGVRQTVISVDTKSSTRWSLGINKQEIDDFTVRVESEKLVQLGGKTEVDGWHTIQFAGGKNSPTKFQLTLFWSSNALHKSSQEAKEAANIPFLVKLRTDVNRVTPKVAKVLEKLPPWCTPFGKSTSPYTLAFLTALRADI >Et_6B_048709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12928675:12931172:1 gene:Et_6B_048709 transcript:Et_6B_048709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHKRRAVLHLVKRAGRPPGEFVAAVEEVAEKLPDAYQGLDDKWRGECRDRFVEMMVTDGCFMLEIIRAAIQFMLTTITLLTILYSESTAYNFLSGAIRADMVVIENQLPLLALERLLAVENFTPPSYLELNDMVRSFLLRPYFESMDYRCLHPLDILHKSFCGSRRHHGESDGTDFIIPNATELSEAGIHFKTSKTPCVHDVNFETSGVLSIPQVTVDEGTEKEFLNLIIFEQLHADAGSDFTNYIVFMHHIIGSEKDVGLLRSKGIIQNLRDDKEVAVLFNKLTSGSTTNTTAKLHNMKCKVNAHCAKRRNKWRAIFVQAYLSNPWVFISLVAAVILLVATLLQTIYTVIPFYTKN >Et_5A_040112.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:10744989:10746486:-1 gene:Et_5A_040112 transcript:Et_5A_040112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAASSDAGERVLREDERGVPRSLRLLAALVEAESLRYAAATASRRADSDLVRAFRGGAAPSVPIGEFLERIHHFIQLEDVRHVIQLQGVCFVLAGIYLTRFFRSPGARESGILVEPSTAHRLVAAALFVGAKFGADNTLPKRWTVVFEISSDCAIRASEMAALERRFLRAVDYRLFVDGKCFDWFCGILEKGPPPVERGTCRGGKRTASAAARAGEEHEDKRRRVRPCLPPPPIASN >Et_4A_031791.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:15528881:15531994:1 gene:Et_4A_031791 transcript:Et_4A_031791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFSILQVLSLLSLLSTATSRDILHLRSSLTVEEHETDVLQSPDGTFSCGFYSIYNDTHAFTFSIWYTHSADKTVVWTANRDRPVHARGAAVTLRKGGAMVLTDYDGTVVWQADGSSAGVRHAQLLNSGNLVLKNSSGLIVWQSFDSPTDTLLPNQRITADTKLVSSTGLYVPGPYMLHFTDTSILSLIYDNIDVHEVYWPNPDNGEYQNNRNRYNSTRLGFLDDLGNFVSSDFANQQALVASDEGPGIKRRLTLDLDGNLRLYSLNSSDGKWLVSWIAVSQPCNLHGLCGPNGICHYLPAPTCSCPPGYEMINPRNWTQGCRAKADITCGVEQAHAVKFVELPDTDFWGSDQKHADPVSLQDCKNVCLNDCTCKGFQYQPGSGACYTKAFLYNGKAYNTPTKWSRIMYLKLPVSINISGIPIPQTNVPISTNQRPDCGWTNPMPMELGVHKANQGDTKWSYFYGFAGAIFVLEVFFITFVWFFVLRRELGASEMQAVEKGYKAMTSNFRRYSYKELLKATRKFKHELGRGGSGIVYKGILDENRAVAVKMLENVRQCEEEFQAELRIIGRINHMNLVRIWGFCSESSYRMLVTEYIENGSLANILFKDNILLEWKQRFVIALGVAKGLAYLHHECLEWVIHCDVKPENILLDHNLEPKIADFGLAKLLNRGGSNQNVSRVRGTIGYIAPEWISSLQITAKVDVYSYGVVLLELVMGKRVLDLAIGADEEVHTVLRKLVEFLHHILNKEEPSLIHQVVDCRLSGRFNYIQARTLIKLAVSCLDEDRNKRPTMESIVQMILLAEDTSTMQ >Et_2B_019506.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:9670800:9671021:1 gene:Et_2B_019506 transcript:Et_2B_019506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTVEDSSRPRPKRTGAGSLLKPLNSEYGKVAPGWGTTPFMGVAMALFAIFLSIILEIYNSSVLLDEILMN >Et_7A_050901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12175325:12176060:1 gene:Et_7A_050901 transcript:Et_7A_050901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTDLPNLYPQVQPQVYPPAPPNPQGQGYQQYQSYLGEENPSYSSYGWSEHPPPAHSQQGPFQYYQEDPDCITFIRGCFAGLCCCCLLGQCCL >Et_8B_058581.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20987966:20988577:1 gene:Et_8B_058581 transcript:Et_8B_058581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAMRMSTTKTTTARKEATTAPLVRWQKPWNCMQMGTQLARTFPQCATYTMAAAAAPPAVSSSIITTSHTSSNSRTPAALGRRTPTAEKGSDSTRYPAAMALAAMKKVKAGKSSNLAWNWKNCVNTDSLSSDVPVEMAAASVGPNAATLSTKSITARQRRNPSAPDRRSSGSPSPAALRGAVALVPAA >Et_1A_005013.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22083669:22083701:-1 gene:Et_1A_005013 transcript:Et_1A_005013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLPGSKA >Et_8A_058085.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:18941373:18941666:1 gene:Et_8A_058085 transcript:Et_8A_058085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYKYGLTFLAGTGFGAAMASIRRDACPLRKQHCRHRRRRHDDDVAGDDDLPETTRERYDEQVRRQEKESDMGASRRPKKGSGKKRDASYAMDDSE >Et_2B_019855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14692300:14694227:1 gene:Et_2B_019855 transcript:Et_2B_019855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSTYMMVEMPNRADDVQVWLEKRYVGSQHTSEWFNTAGRSVKTDLIACVIVSQQQATLMLETWNGINKQVKWAQWTWAATILQSSFVAWEYGVSGPFWYAAIEVKRKAPNAHTMCEIVRAHAVFLCFCLAANVAVTAMLLLGGSAVVSALTGVDVRAASFLIPLGVVAYTLAGGLKATFLASYFHSLILHVVVVVFVFLVYVTSSRLGSPRAVHDRLTAVAGATRRCAAPLSHPDQAGYLLGGLLWFALPFSLATALALDLPLTAEEGARGLVPAATATALMGEPGSLLLLVMVFMTVTSAGSAELVAVSSLFTYDVYRTYVSPAADGRRILRVSRLAVLVFGCFMGALAVALNLAGVSLGWMYTAYGVMVGSAVIPLSLLLLWDKANATVAGCAFGVAVWLAVAKLVHGRVDLDTTGRNAPALAGNLASVLGGGAVHVACSLASPQQRFDWEATRRQITTVESVSVAGGGEDEEEELDEDRLLRARRWIVRWSVAFTVLILVLWPALSLPAGRFSVGYFTLWAALAIAWGTVASVVIIVMPLLESWRTIAKVCAAMFTIDSHHKQVKTAHKASASVDN >Et_10B_003431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19783396:19791004:1 gene:Et_10B_003431 transcript:Et_10B_003431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGDRTCPLCAEEMDITDQQLKPCKCGYDICVWCWHHIIDMAEKEETEGRCPACRTPYDKDRIVKMAATCERTVTEKNAEKKQKTQKVKPKVAAAAAATTVNAEAKKHLASVRVIQRNLVYIIGLPANLCNESVLERREYFGQYGKVLKVSVSRPTGPPSQQASSNNNISVYITYAKEEEAIRCIQAVHNFVLEGKVLRACFGTTKYCHAWLRNLTCGNPDCLYLHDVGSQEDSFTKDEIISAYTRTRVPQMASSVSQRRAGTVLPPPADDFSYSAVVSARHTVKNGTINTNNQPRLSPPNSTGVTSSASLSKSKSEPQSSSSMVSSTRIPSSWNDDMSALPKISEGWQVSEKDGSSKTLEPYKPGIAKETQALSSLNSSLDIDFSTIPSAWNDDDTVVSDEMSKGNEENQVTNGNGKLTYSGPKSPKKDTIVSSTSKSPSDFVSSLEISVPDVKIGDDDQPVASIAPRSPASKNINCHLGADKKTLEDVGPKETDIEKLSVWISSVTLDVKDEAQSMAGNQQPDAMPCTSVAEPLPSENNKSVRSCQYGSDKHLDWSSDIQSCSVAPLNSIGNSSLNSDKHHMTLLDGTTVPSYSSFIHLSDASDTSLWDDTESDHTLTIRNTKPSLMQTKQSSTNNTYTFVNGVQDGLGTVRTPSNVSGHPGMDSHQHGAISVRAGSIGNFDKTISVNKDENRIISDILLSEFDPWDASYANANNYARMLRESENNDVPFAMPSWKSGNSSKESRFAFARQDNQGNMSDASLRNCGNEKNFSLLSQNSRGNVYQNGLSFQSLENTLAMSDMTTSGTSRSKIPAPPGFSAPARVPPPGFSSGFPSQDGLNPPPGFSSGIPSRDGTNPTPRFPSGISSQDGSNPSPRFPSAFSSGFPSQDRPTSPSRFLSAFSTGFPSQDGPNSPSRFHPAFSSGFPVQDGSNPPSRLPSAFSSGFSSQGGSSQVYGSAYSETPLRDNLLGGLTNHYEPQLARHTNDIEFVDPAILAVGKGRMPGLGDSGLEMKNTSAFPAQLQTSNNDQRIQLLMQQNVQSHQSTHIHDQFNIMSDNYLASRLLAQNHGSLSPYAQMPPPQPRSAHLTNGHWDGWSELRQGSNAPISDMSRIYPSEANNLHMMGSNDLYHRAFGIP >Et_9A_062164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20249205:20252576:1 gene:Et_9A_062164 transcript:Et_9A_062164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDGGGGGGAGGMSDSVLRKVLLSYCYVGVWIFLSFSVIVYNKYILDPKMYNWPFPISLTMVHMAFCSSLAVALVRVFRVVEPPSAPAMTPQLYTSSVIPIGALYAMSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKETFRSSSMLNMLSISFGVAIAAYGEARFDLRGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLCFLVVPWAFVELPRLRAVGTFQPDFFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVAYYNHVKLQALKAKEAQKKAAQADEEAGSLLQERDGHVYILTNYAGILYRDLVQVAKAVVWSTAALKESAVSRSMAMLALIPLRHGEHNKWLLCDVPGTP >Et_4B_038960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6344367:6347992:-1 gene:Et_4B_038960 transcript:Et_4B_038960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPIPREWVGLQQFPAATQTKLHELLGKLKEENVSTLTILVMGKGGVGKSSTVNSIVGERVATVSAFQSEGLRPTMCSRTRAGFTLNIIDTPGLIEGGYINEQAVEIIKRFLLGKTIDVLLYVDRLDAYRMDTLDEQVIRAITDSFGKDIWRRSLVVLTHAQLSPPDGIDYNDFFTRRSEALLRYIHSGAGINKRESGDFPLPIALVENSGRCKTNEHGEKILPDGTPWVSNLMKEITIVISNGSKPIHVDQKLIDGPNPNNRWKIFIPLILAVEYFLVVKGIRRAIHADIANGKVDEWEQRYRDLVGSRDPVEQKGSAPRNRKA >Et_3B_029261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23585366:23588805:1 gene:Et_3B_029261 transcript:Et_3B_029261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRALWQASVNATKRVWNSEDLIPPSERFIFSFNSKDEIKRWHLYSDSEYGGLSSASLEITDGAAGGDAPLTGVFSGNLSLDMSEGSTWRIRRSGFCGMRSKKFDGFIDLDAYDTIAMKIKGDGRCYISTIYTENWVNSPGQQEDNSWQAFVYIPQDRWQILKIPLDNYLPTWRGNVIQAKLEMNPARIVGMSLSVNAEGGVPGAKTGPGDFRLEVDWIKALRTM >Et_2A_018759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6896416:6901321:1 gene:Et_2A_018759 transcript:Et_2A_018759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASVKRGQGIGGAVLTAKGGRRGRRGAALQEKKGAPSYWRRGRGRRATGDEEEGARLRPVAHLVSNSAQYRGSRLCIEVEGVENQLPGKIERLVRCEASAYQKLLMTRVEDNLGGIGAVKVRAVHNTVMELRNICNHPYLSQLHVEEIEGYLPKHYLPSIVRLCGKLEMLDRLLPKLKATGHRFLSLLCIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKEVLVLRLETVRTVEEQVRASAEHKLGVANQSITAGFFDNNTSSSIFEFLLLHSNRSAEDRREYLESLLRECKKEEAAPVLDDDALNDILARSEAEIDVFESIDKQRREEELAAWQRVIHDGSTSGLDPAVLPSRLVTDDDLKSFCHAMKLYEQSNVVSVKTNVRKKGELGGLDTKHYGRGKRAREVRSYEDQWTEEEFEKLCQADSPDSPQRSGMLKDLDISKGDLDISKGIKPEVPAESSKEPEQSMKEASPTVGDSPPAKRRRGRPRRSDVLLSPNTTMDGGKQETDISPTPSSVINSGATATPTHSSASDVVRSILPVDINKPEIDTEVKPSSLVALSEGSAAKVGTPLLEHIIPRLEAENPKQEKHLAGEAENQKL >Et_9A_061726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15845952:15849004:1 gene:Et_9A_061726 transcript:Et_9A_061726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPVPAVLLLLAAVLLLPLAAAQGFHFRGFSYLLDCGATSRTTDSRGLRWDPDAPYVSAGVPRALDLPRQGSLLDPTLATLRAFPYRPGAKYCYSFPVDRNRRYLLRPTFFYGAPPPPVFDLIVDGTFWTAVNTTDDALAGAASSYEGVFPARGRSLTFCLGVNPAYTDAGPFISALQVIQLDDSVYNATNFGASAMGLIARTKFGSTGDVERYPDDNFDRYWQPFPDSKHSVSSTHNVTSTDFWNLPPPDVFNTAFVAEKDAPLVLQWPPILLQNDSYYVALYFADTMPENSRIIDVYINDYLFYKDLNVTSAGLSVFATQWTLSGLTWVTLTSTSPSAPALPPLINAGEVFGLFSLGRLTHPRDALALDSIKRSLKNVPEDWNGDPCMPTGYAWTGVTCDEGSKIRVISLNFSSMSLAGTLSPEIAKLTALANISFANNSLSGPIPNLSNLSRLERLHLQDNKLNGTVPQTLGTIKALSELFLQRNELNGTVPDIVLHKQGLNYQFLPGNNFTSSPPH >Et_5A_042405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9647906:9648319:-1 gene:Et_5A_042405 transcript:Et_5A_042405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DKIHDHLETISNCDHEVLLKKEKEFEQLYLPISVLKAITKNFSDDLRIGNGGFAVVYKGLLKSGGTVAVKKLLNTIGIDDDQFQKEVSCLMRSDTQKYSSIPWILC >Et_3B_031222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21729176:21735184:1 gene:Et_3B_031222 transcript:Et_3B_031222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLEATRAAHEDLERLERLAVRELQREPANARDRLFQSHRVRHMLDLVISTSDKLVEIYEDKDSARKDEISTHLTAPSQNGIFSKYYERLKEIRDYHRRNPSARFVSTTDDHEELLKEEPDCLIPLLGSWWCGWQYREYLEHILEYLTSFIYRTEPLQDTEKIFAKLESEFEERWANGEVPGWERESVSEGSDIDLNDYSTVEELVELGPQKLKEALATRGLKSGGTVQQRAERLFLLKNTPLEQLDKKHFAKVPRTPTVSSESSNGNNFKDDLKKEIALLEVKMKRLCELSDEVIVRTKENAEKKLTLTYEEMEAEREEEEVQPDSESDDEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNHSYWGRRAYERHFKEWRHQHGMRCLGIPNTKNFNEITSIEEAKALWEKIQARQGVIKWRPELEEEYEDQEGNIYNKKTYTDLQRQGLI >Et_2A_014998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25470286:25470903:1 gene:Et_2A_014998 transcript:Et_2A_014998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGDVDDDGNPATFTVPEFPHIKLTFEDFMAPFDDPASIAPMLELDRKLGKAIESPYIEFWNHHVGPRAWPVGPLCLAHPMVSPCDAQPTCMKWLDDKAAAGEAVLYVALGTLTAIPESQLKEVAYGLERAEVNFIWAVRPENIDLGPGFEERTKNTGLVVREWVDQPEILKHKSVREFLSRCVWMELSA >Et_10A_000246.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:19785689:19785883:-1 gene:Et_10A_000246 transcript:Et_10A_000246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSIRKFLLHSLSCPPKISATRRAPVISDVCIGLFSTDVCDDSPCKVGRTKRTNSSSVSDLRR >Et_4A_033864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27533660:27536969:1 gene:Et_4A_033864 transcript:Et_4A_033864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRAVELRRLLLARSGIGGQEVIPSLASRCRPASTAAPVDGELAGKSAYEVLGVGETSSNAEIKASFHRLAKETHPDVAAAAGSRFLQILAAYEILSDSQKRAHYDSYLRSQRRVVQKHPRPSQYVYPSGSGSGIAVARESNVVEWLKWYRLTIDDIVTKKRVATGSGYFDRLESELYTAIHAAYYGPDVDSLDVLPDCFEAEERSVYETSELLHLVSGRDLFGVITVSDSVLELTDACHEKLAPSGVTASGVTLKVSRNVNKDSVFMDPVIRKKEMGYDGIPPSDAYKDIELHICGKVVAAASRSHKCNCIDNSDGEDHIHVYLVPDVAVGSDSMQGSVLLGTITGLATSGEEGSCCVYSGLGTKTHVIMKHRTLMVKHMHWYQVGEEVSPCECRCSRARLPPSRYWLFEPRCYMHDTGGWYIETFGRDKKGRTIPSPRQWDGFSEYSEKRLHPAMYLAAIAYRSLDLENARRRHWSISNFLQSQLTNIYQLCKKLLNGDKEELMAGLQSICSNAAGAYAVVYSLFSLSLERRWSLVVERSREDNGSVSRPT >Et_2B_019025.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25471458:25473613:-1 gene:Et_2B_019025 transcript:Et_2B_019025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PGIGSIAGLQTLDFRSNLLSGVIPEDIVKLINLQELRLQQNRLTGPVPSSIGNLSPLLSLDLSNNLLNGSIPPILGNLQQLTLLNLSGNELTGHVPEELYSLSSLSSAMDLSDNKLDGFLPEGVGKLGKLALLALSGNSFSGEVPSELGSCQSLEFLDLDSNFFTGPIPSSLRTLKGLRRLNLTSNRLSGTIPPELSQMSGLQELYLSRNDLSGGIPAGLEDMSSLTELDLSYNHLDGPVPARGVFTSTAGFQMAGNGALCGGAPRLRLPPCPPSRSTRRGNTLLKIALPIIGGSALCFAVLSALLLYWRKRKSTTADTTARSVLNGNNYPRVSYAELARATDNFADDNLVGAGKYGSVYRGTLSLKTKGSSVQEDVAVAVKVFDLRQVGASKTFLSECEALRSVRHRNLINIITCCSSIDTDGNEFRALVFYFMPNYSLDRWLHPSSTDVKKGRVLSVIQRFNIAVDIADALNYLHNSCSPPIIHCDLKPSNVLLADDMTACVGDFGLAKPLLDPGRHLVTNIESTTCIRGTIGYVAPGRSVPLTAISSPFHAIYLSMSD >Et_9B_065285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2985239:3001128:-1 gene:Et_9B_065285 transcript:Et_9B_065285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEGSAKKRDMAAAAGYGDASAGRKKIRVALLSALRSSAAPTDSEYKREEARAHRGNAGAAAATADEVAAAVIESDGEHMELDADPVVSEELVEEEESQSDGEQPESDGEDAAAAVAAAAEEEEEESHGDGDDYESGGGEEFMEGEGALGGAGVSEEGLPKPVPPAPSSPHVRVGGTVVEDVIVVDADALECGVCCLPLKPPIFQCERGHVVCSACRDKLKATSKCHVCGVATRGYSRCHAMEHLVASIRIPCRNAAHGCGARPAYHRRDAHNRVCPHAPCRCPGVSCGFIGSTTSLLDHIATVHRWPCTTKVRASEAFSIRLHDGFNFLHADRAAGDDSNGTISSIPLLFLLNVTQERHGRAISVLRVHPHASSASRMDNCDDDLQTSLTSHCELIFSHYDDSINEALCCRYYQSSEFQVACTDLSNGLPNPDLCFQFIVPNSVIGDDKEGIQCDVGHVVCSPCHDKLEETGADGRCHVCRAPTAGGYRRCHAMEQLVDSIRVPCPNAAYGCAVKPAYHDREGHARACAHAPCRCPDKNCVFLGSPAALLDHAAYAHGWPCTAETSAEATFNAKSPTSATASTSSPPSFMFLLNVARAPFGRAIYAARVLPHATAVSSSSSVVCELELSFSLNGCYGDMCPSIHSQISVFKEPKQEIPDPEPVPAPAPRTVGTRKKATKRASTTGVGDDDIKPQAKRSASHARPKKRAAAKRDVTLDDTDAFDCGICFLPLKPPIFQCNVGHVLCSPCLNKLENPAKCHVCGATGSFHRCHAMERVVESIHIPCPNSASGCIA >Et_4A_033489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23611168:23614484:-1 gene:Et_4A_033489 transcript:Et_4A_033489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGRRPRSPERHRPPALRKVPVVYYLTRSRHLEHPHFVEVPVASPEGLYLRDVMNHLNTVRGKGMASMYSWSCKRSYKNGFVWHDLAEDDLVLPATEGEYILKGSELVDQSSPPGQFYHGSNGNQKQQSRLKEGTPTRQPLQREPSYPPSPPTVIVREAHHRRSPSVPHPDEDDSPSPRRGHSTGTMSPELEPQRTQLPASGSASPAEFRVHKPTGCMDAATQTDDLGRRSSRRGPEMRKKSLSTDHDAVVREISEYRQSLPRRSAELQGFSRELLSQCPTPMSTASTRAKSESLESLIRADNATNSFGIIEEDDIVVPTCPKLKPTNVLMQLITCGSLSVKDNENIGIIQAYKPRFPNLKFPSPLISRTMMMGELDYLSENPRLTGMKLEEKEYFSGSIIETKAQRDVPADRYSALKRSSSYNAERSGETLDCSRADEDAVDTSRSRCLPRTPLLSSFLHPKSETLKSPVSDCRRSSSTRQDCDLASGDGSRRFTDAASARTDSFRKEEKLVKIEESCRPELEL >Et_6A_047969.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:26511363:26512577:-1 gene:Et_6A_047969 transcript:Et_6A_047969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALREAVAVLLLVALPPLLLHATPAHGAPAAGGGAFSSWVAANEQSYKMNAALYAKKASGDTGKTLDASLMAAEEKKVTYVVDASGNGDYPNVTAALDAIPEGNTKRVVLDIKPGVYREKIFLNISKPFVTFKSSDLSKPATIVWNDTAATPGPKDGKPVGTVGSTTVAIESDYFVAHGIIFKNDAPLAKPGAKGGQAVALRLFGTKAALYNCTIDGGQDTLYDHKGLHYFQNCVIRGSVDFIFGFGRSLYEGCHVESVVKEVAVLTAQQRTKSIEGAIDSGFSFKNCSVRGVKGGQIYLGRAWGDSSRVVYSFTEMGEEVVPVGWDKWNIAKPESTGVYYGEFKCTGPGADAKKKQRVGWALDLTEEQAKPFIGTHYVFGDSWIQPPPKSSMDPAVAPTPAPT >Et_2B_021835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:589474:592788:1 gene:Et_2B_021835 transcript:Et_2B_021835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPPQLAGVGDRGSSSHHPNSPAPPKILLAKPPLPHASSSGAEDDGGGAGARSRQAPQLGSLSLVSDSWEAHTEKFLPYLTENNDFMVIGIIGPPGVGKSTIMNELYGYDGSSPGMLPPFATQTEEIKLMAKHCTTGIDIRISNERVILLDTQPVYSPSVLIDMMRPDGSSTVPVLNGDPLSAELAHELMGIQLGVFLASVCNIVLVVSEGINDLSMWELMLTVDLLKHNIPDPSSLTSSASQGKENKNDERSGSEDYIADLCFVHARLREQEFSPSKLTLLRKILEKHFKSSSFSIGSSSATDQSSDTSVSSSTKVEDVTSSQQDIFLLPLRAHDNSMKFEYGTYSSMLRMLRDQILSRPGRSFSKNLSERDWLRSSAKIWDMVKKSPIISEYCKALQSSGLFRK >Et_1B_012977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4507586:4511491:1 gene:Et_1B_012977 transcript:Et_1B_012977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGIMDNNLLEREAVWRARRVHRIRKEVRGLSVDPPLFCRLGASPVNDLFHLEVVIDGPAGTPYEGGTFPIDVDLSDEYFLSPPKIKFKTEVFHPNVYADREIALDIFQEEWSPALRLETILLSIVSVLYNPVIDDNAASPDIADLYETDMKRYEELAAEWTWEYSATPVLSHYPTEEELDRSVVITVMAAAEKAAAERMRRQKAKERSQQKAEERRLRHQKEEEERRLAAGSVFGLGWLWRKVMATPRERGAQMGAAPSSPTREPCYRRIRKELHQFWVDPPPYCRPGPSPVTDLLHWEVIIDGPEDSPYAGGTFPVDVQFPCDNPFKPTKITFKTKVFHPNIDSEGQMVLDIFKENWSPAMTINTLLLSIVSVLYDPMLDYPINRDIARLYQRNIKLYEEKARAWTRKYASEPVVSYYPEKGDEHWQEYRDAFAAHYAEVAERRREAERRRAAASSTAAPPRKRGASLVWRRAVAFLQGRSIAVPPTAKALIILLMQDSKRLQKGVLSSLSKEIEGMSCLQLDGQNGE >Et_1A_007076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30633071:30639441:-1 gene:Et_1A_007076 transcript:Et_1A_007076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLLLVLLLVAAAAAVATAEVDGVSGNWTEELRAAARRNRRGWRRARRKVYENGLGRTPQMGWNSWNHFGCNIDEQMIKDTADALVNTGLAKLGYEYVNIDDCWAESDRDYQGNFVPNRRTFPSGIKALADYVHAKGLKLGIYSDAGTRTCSLKMPGSLDHEEQDVKTFVSWGVDYLKYDNCNDAGRSVTERYTKMSNAMKTYGKSIFFSLCEWGRENPATWAGAMGNSWRTTDDIADNWPSMTSRADQNDRWGSYAGPGGWNDPDMLEVGNGGMSDAEYRSHFSIWALAKAPLLIGCDVRSMSPQTKAILSNSEVWAGPLSNNRKAVVLWNRQGYQATITAQWSMIGLAPSTAVTARDLWAHSSFSAQGQLSASVAPHDCKMYVLTPQ >Et_1A_006263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19881629:19885273:1 gene:Et_1A_006263 transcript:Et_1A_006263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPISTTSLVFLFLTSLLVLVVSSSSSLKLKSRARRPPGPWGLPLVGSIHHLLLTTQLQAAFRDLAQKHGPVAVLELLSARKVRQFAPIRDGETMSLVWEIRATAAAGKPAARLSYTNSITGLATFGYRCDRERREQFMSAMSLVLKSSLGFCVSDLFPSLWFLDVVTGIVSRLRRLSKQLDDVLDEIIAEREGRRKEKTVKNSNGEEHDREDDLLSVMLRIRDDGEFEFSFDNTNIKAIIVDLFTAGTDTTSSTAEWVMSELMRNPGAMAKAQAEVRHVFANTNPCDHESCLDQLHYTRMAIKEALRLHPPLPLLLPRICRETCDVGGFEVPKGTRVIVNSFAIARNRKYWDDPEEFRPERFEKSAIDYSGTQFEYMPFGSGRRMCPGMNFGLVALDLIVARLLCYFNWDLSAGMRPEDLNMDTTIGATARRITQLQVVATPYEGTLAT >Et_1A_006124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18051734:18062682:-1 gene:Et_1A_006124 transcript:Et_1A_006124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREIPRVKLGTQGLEVSKLGFGCMGLSGIYNAPIPEEAGIAIIKHAFEAGITFFDTADVYGPYTNEVLLGKALNQLPRDRVQVATKCGIAAFDASGVQVKGTPDYVRACCEASLQRLAVDYIDLYYQHRIDQSVPIEETMGEFKRLVEEGKVKYIGLSEASADTIRRAHAIHPVSAVQLEFSLWTRDIEEEIIPVCRELGIGIVPYSPLGRGFFGGRAIVESIPSESLLSMDPRYTGENLMKNKILYKRLEMLSDKYGCSPAQLALSWVLHQGEDVVPIPGTTKVKNLDDNIGAINVKLSKEDLKEISAAVPATEVAGSRVVGIFEPYSWRLANTPLPK >Et_2B_020713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22985220:22989039:-1 gene:Et_2B_020713 transcript:Et_2B_020713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PGKAASYARAVHRTPRRRGSVQLSAYRALNPATHRFAAHEHRRCHQGTVQIRATSPRARVRVGPARASQHHHRRPAAAYLRLSLNSHESPCCLSFQVSIALCAPLSLPSPPLPSTPRLVAPPERGRKGEERGAMRMLSKACSIVASSLPRCSSSSAGPTMRSQPSLLPNVRKHWLGKPLIYGIGTLLVMPLRTLQGVGRVFGAGRFLCNMTSVSSSLQIELVPCLRDNYAYILHDIDTGTVGVVDPSEAMPIIDALEKRNQNLTYILNTHHHYDHTGGNLELKAKYGAKVIGSEKDKDRIPGIDITLKEGDTWMFAGHQVFVMETPGHTSGHVCYYFAGSGAIFTGDTLFSLSCGKLFEGTPQQMYASLQKIVALPDETKVYCGHEYTLSNSKFALSVEPGNKELQEYAAHAANLRTKNIPTVPTTIGREKQCNPFLRTSSQEIKRTLSIPDHFNEDRVLEVVRRAKDNF >Et_3A_026602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10218814:10219952:-1 gene:Et_3A_026602 transcript:Et_3A_026602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPKRNRQTSTKGMDPKFLRNLRYSRKHNKKSGEAEAEE >Et_5A_041466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23182815:23185879:-1 gene:Et_5A_041466 transcript:Et_5A_041466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTGVCPDDLLSSLDKTMEGKIWRKNALLVASAIPAALIVGIGVCGQRYRHYRFTRFIYLGAITLFLPIISTVMSMVTGPNDYIINRGHESPAIDTLGPNRLSAKCDPGLDSVLMVIWASLVQIIMINTSTIVAIDDREGGKTGPPIELLFQGFWTFYLGISYLSFFFTLGEDLIILMVLELIPFVLTCAKMVLKYYAYEKACKSLSLGLSPHLTYGYFQQLKLQKTSQHGEPSGAADKPPTQLLVMGEEKRHVEKHPHGYVIKDDSVSMLQTNNGLVTIERVWKLDTNLPVSSLNQLKDLCVSFALFNLLRCRFARGKLINASSNDTISFFWNLLLKDGGHDRVFSVISNELSFMLVAHPGYNYLTLEHNLLHGDCIIVLTPEFYQVTWQRTGWRIAIHTWHEEIVAWMFPCITYQNEVGYLPRSKRYGSFDIELVPILLLSLLVMITEMREPGPVVPATADRGSSTAEAAWEAGRAAATRESRRGARRDGGARPAGGGAEEEE >Et_5A_043001.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:9615009:9615509:-1 gene:Et_5A_043001 transcript:Et_5A_043001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMTVPSAAMRRLKMAATAARSAAAPTRRCCAGGVLRPTARAEASPAGTRCATTSCTSATGDAQAPPTPPRPASGADVNIPVSVGIGARVLVRTPVTAPSGRVLVFQLSAVVVSAAGDNGSLEVVYDCRWPPDDPAKDKIVVDAPPLVTGFRGLATGLASEEKGR >Et_1B_011906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27040969:27042720:-1 gene:Et_1B_011906 transcript:Et_1B_011906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHLVGLVKVRVVRGVNLAIRDLCSSDPYVVVRIGKQKLKTRVIKKSTNPEWNEELTLSIEDPAVPIRLEVFDKDTFIDDSMGNAELDIRPLVEVVKMKLQDVADNTVIKKLVPNRQNCLAEESTIYISEGKVKQDLVLRLKNVECGEIELQLLWVDVPGSKGV >Et_1B_010562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11604543:11605035:-1 gene:Et_1B_010562 transcript:Et_1B_010562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAKSLCRIHCVCRAWHAIASDDDDPTFADARSSRAAPLVVAVFGTPDTTQARQPSLELRVIDTADGSVLRAVKYVRRAKLSRAAHLDLVSVDQGVHDGRVIDPATGRVVAMVGIQNPQGYPVADLWVLISVEMIILMDNISSLTLKKRTW >Et_5B_043701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14195000:14213729:-1 gene:Et_5B_043701 transcript:Et_5B_043701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCDGIESVSNALITMYARCKDMERAHLMFRMLRSPGLVTWNTMLAGYALSDDAEEASRVFRKMVCSDVQPNYVTVVTYLALCARVANLQHGRELHTHIIKHGFKGYRLLWNSLIDMYSKSGRLFIAQNVFDTMDDRDMISYTSMIAGYGMQGKGSVALRIFSQMVDSGIMPDDIIMVTILSACSHSGLVREGEELFDKMVSSYGIKPQMEHYSCMVDLYARAGLLEKAEEILNQTPFPATSTMWAALVAACNERGNIIIGERSARRLLEMRTENAGHYVLIANMYATAGCWNELATVRKLMRDLGVTKAPGLAWADLGNGFSPFLVGDRSNPLAPEIYEVLDELYEQMKNINICSDLDILSMEELKLATGVSSDPPVKYWVAGVHRLALECRLRTSTRPMALRRDFPGRPLQAQGFGPVLENFWKVYPKGKINSRETTLYCNVVLESMM >Et_10A_001818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8846830:8849159:-1 gene:Et_10A_001818 transcript:Et_10A_001818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVEDKRGAIVLMLASLLFLGTFPAVLTLLERRGRLPQHSYLDYSVANLLAAVVIALALGQAGETKHGMQDFFTQLTEIHDNWPSVLFAMAGGLFLGLGNLISQYAWAFAGLTVTNIICSSLTVVLGTTMNYFLDGRINRAEILFPGVGCFLVAVFLGAAVHSSNAKDNEKKLSQSGAVDFSSDVTCKEAVLLDPEDPKKNGHCENGNAIGEAKKPGTAEFIIAVENRRSIKVFGSGKLLGLVLIFFAGVCFSLFAPAINLATNDQWHALKTKGTPHLVVYTAFFYFSLSCFVLGIGLNLWFLYRPTAGVPASTVGTYVRDWKGRQWALLAGLLCGFGNGFQFMAGQAAGYAAADAVQALPLVSTFWAVVLFGDYRRSSRKTYMLLAAMLTMFVVAVAVLMASAGHRKT >Et_4B_038684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:447843:458538:1 gene:Et_4B_038684 transcript:Et_4B_038684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDIRNCHNKEQERLRVDKELGNIRTRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMETVSLISAPKYPEKQVGYIVTSCLLNENNDFLRMVINTVRNDIIGRNETFQCLALTMVGNIGGKEFSESLAPDVQKLLISSSCRPVVRKKAALCLLRLYRKNPDVVNIDGWADRMAQLLDERDLGVLTSVMSLFVSLVSNNAEAYWNCLPKCVRILERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNARRALFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMARMLLVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKEIVEELLQYLNTAEFAMREELALKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWYRVVQFVTNNEDLQPYAAAKAREYLDKPALHETMVKVSAYLLGEYGHLLARRPGCSPKELFAIINDKFPTVSTSTVAILLSSYAKILMHTQPPDVGLQQQILTIFKKYESYIDVEIQQRAVEYFELIRKGSALADVLAEMPKFPERESALLKKAEDAEVDTAEQSAIKLRSQQHTSSALVVADHPPANGSAPVANHLTLVKMPSQTISDIQEGTPTYEEAPKPPVETPKENGAPLDVESKATSIPEINIESKVEPPSTSHSASPADLLADLLGPLAIEGPPPVEQHPAQVSEANQSPVGDLALATLDDQSNSVQPIVNVEEKFHILCTKDSGVLYEDPHIQIGLKAEWRAHHGRLVLFLGNKNTSPLMSVRALILPPSHFKMELASVPDTIPPRAQVQVPLEVANLRASRDVAVLDFSYTFGTALVDVKLRLPVVLNKFLQPITLSPEEFFPQWKALTVHSLKVQEVIKGVKPMPLPEMANLFMSLHLAVTPGLDNNPNNLVACTTFFSEATRNMLCLIRVETDPQDRTQLRLTVASGDQNLTFELKEFIKEHIIDMPRTQAAPPPTPVQEQLPSAAPATHNDPGAMLAGLL >Et_5A_042616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22107626:22111306:1 gene:Et_5A_042616 transcript:Et_5A_042616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSGGEFAAKRSNSGGEDRLSALPDDALVLILLRFDTGTAARTSVLARRWRRVWTLLPVLASPTAPIPTACAPPSPPTKRRSATFASVDAVPASVAAWLSAASRRLTGRLVFQNKAVGRGERGAFELPCLENAAIFSLDLGFLGLALPPAGTFARLTGLFLIRVRLQGAPALSDLVSSPRCPSLRKLIVHDARVPGNITIHSKSLLKMELQKLDGMQKFIIDAPALKELRMFDCFAEHQPTADISAPQIVVLEFTDQYAPSSIQFGSLALLQQLATSFFLVYGPQGYANNRTILELLARFRVIHELTFTLAIPPLFACTDAR >Et_8B_059590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19579989:19596480:-1 gene:Et_8B_059590 transcript:Et_8B_059590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SSCTAPPTLTVRGTNQFEIVDYSLIRGLAAGEFVRRSTFLCNNPSMATHRSSAALWLCFALVAVFPVARALPQQPSSKCGRKCGQVEIPYPFGIGHKASPEHCALPGLHVNCKDTGNGIHKPFLYDEVEVLSISVPQAQVRARMDMSSYCYNTSSHDMDDTNSWSLDLTRSPYRFSDTGNRFTVVGCRALAYIGYEDNVHSYMSGCVSVCRQDHFRQALTDGSCSGIGCCQTAIPKGLQFYQASNFSFSTSYVTSSAFYDAYAQRKPESFACVSSNSECLNSSNGPGYICNCSRGFQGNPYLLDGCQDIDECEDSKNYPCSVHESCNNIQGGFQCICPPDYPKGNAYNGTCERNQFLPRKLTISLGIFVCILVALIAFLGKEWIKHKRRIIQQEHIRKMNEYFQENGGQLLIDMMRVESDISFKLYHREEIELATNNFDNSSIIGEGGQGIVYIGHNLDTDNNPVAIKICKVFNDSRRLEFGKELLILSRVKHDNIVKLIGCSLQFEAPVLVYEYVPNKTLHYLIHSQDEASIRTLEIRVKIAAESAEALAYLHSLSQPIFHGDVKSVNILLSHDLSAKGTMGYLDPEYLLNFELTDKSDVYSFGVVLLELLMRRTALSKDKESIVSVFKEAVEEGRLGEVVDREISEQDNMEFVCQVAELAGKCLIMTRQHRPTMSNVAEELWRLVALLRQHTGEFHGVSPLTLQGRLSTDMSVDNIWEEGSDQYNFQNKTSMSIEIGQEDRGYVSAFVELVTQGAAAWAYVRLLALDHTTGLPDPDTSLWDERQDPTLFDASRAEIRRSWSIRAPRSELEGSVYVHGDCLQIECAIDVCLDHLAFDDPPAPEHLPLDAALLGSAEGADVAIQFGAAPAASFPAHSAVLDAYAPRFLTEHDAKSTNAMTREVTVDDMPPEAVEAFLHFVYTGTLPVVPGMTGDGYKDVLRHLLLAGERYGAPRLAAICERVLGSHLDVDITAATLAMAGRHGFAELRAACIEFVSDPCNYKLVRESEGYPQLYREAPHLAREACTFRVATAVPIQTPPNRTSWIDVERSTLESPPYLAGDRLAIACEVTVLKDPRVSPTLPLPHVAPPLPGLANDLGELLEPASGVAAADVTFDVGGGEVLLRAHRAVLAARSPVFRAELLGPMKENASGRVRVEDMQPAVFKALLRFVYTDELPAMAEDLDNDDDARTEMHRHLLVAADRYAVARLSRLCEDALCSRLDARTVKRTAELAELHGRARLQKACKQFLVASRLADWEKQLQQGRRTYASLFRGACPPLRLMPATTSSCTTETARGAHVFQVRQYSLLRAQLCACRSVRSGSFAVGGCDWAVRLYASGELSEVKVWASVELLRSANAEVMTEVGVRLVDRATGRTRSLLPDARPKQFYTFQTKTALADTGSAFVFGIDSKEFEAAPYLQDDRVTIECEITTIHEPHVPANQPMPDFETVAAPPPPAAGLSTDLGELLESGDAADVTFRVGGEVFPAHRAVLAARSPVFKTKLLLGDNENEKEPEQHVIIGIEDMQPAVFKAMLVFVYTDALPANAMAEDLDPDDRTEMHRRLLVAADRYAIEGLRQLCESVLCRSLNTSTVHTTLRLAEQHRCDRLQEATKAAIT >Et_2B_021684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4420403:4426653:-1 gene:Et_2B_021684 transcript:Et_2B_021684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTWHLAPRATRCGGPKLRLPAYGADFINDAGADIPDDDVVRGSRRHSIPMREEEEDIDEIERQVRERYARSTHIEYGEEAAEVEQQALLPSVKDPKLWMVKCAIGHERETAICLMQKSIDRGDLQIKSAVALDHLKNFIYVEAEKEAHVKEACKGLRNIYASAKITLVPIKEMADVLSVESKSVDLSRDTWVRMKLGVYKGDLAKVVEVDNVRQRVQVKLIPRIDLQALASKQEGREVVKKKAFVPPPRFFNIDEAREMRIRVERRKDIYSGEYFDFVDNLQFRDGFLYKTVSIKSIHTHNIQPSFDELEKFRKPGDDMNGDMASLSTLFANRKKGHFMKGDAVIVIKGDLKNLEGWVEKVEDETVHIKPKISDLPKTLAFNEKELCKYFKPGDHVKVVSGVQEGATGMVVKVEGHVLIILSDTTKEHIRVFADHVVESSEITTGITRIGDYELHDLVLLDNLSFGVIIRVETEAFQVLKGVPDRPEVVLVKLREIKSKIDRRASAKDRSNNIISTKDVVRVVEGACKGKQGPVEHIHKGILFIYDRHHLEHAGFICAKAQSCLLVGGSTGGRRGNGMDTADARLGALRSPASILQSPGRLPPRGPHMNYGGRFGGGGRGGRGHDAMVGKCIKIKSGPYKAYRGRVKEVTGALVRVELDSLMKIVTVKRDDIADTPAVATPFREPRYSLGSETPMHPSRTPLHPYQTPMRDPGATPIHDGMRTPMRSRAWAPMSPPRDNWEEGNPATWGSSPAYQPGTPPARPYEAPTPGSGWANTPGVNFNDAPTPRESYGNAPSPYVPSTPVGQPMTPNSASYLPGTPGGQPMTPGNVGMDIMSPIIGGEGDGSWLLPDVLVNVLRGGDDGPGVVREVLADGSCRVALGSSGDGDIVTVLPNELEVIRPKKSDRIKIMNGNFRGFMGKLIGIDGSDGIVKLDDTYEVKILDMVILAKLAT >Et_4A_034413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32307998:32310437:-1 gene:Et_4A_034413 transcript:Et_4A_034413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRKRPPPPFLGFSRFARSLLFSAIAASSAPASSKPRPLPDASAAPETPRADDMTRPPSKRAKRAEPSSDEERSSSESEDESFSNSDSDGEEEDQTSEELETVQADFAFFDPKPSDFHGVKLLLKTYLDSKPWDLTGFVDLILAQTTVGTVVKLADDEEEEEEGKGNGNDKANTSSKDDEDLFGLISVLNLGRYGEQRCIKDLKEYLLSVCSDKDTKKKLKPLLEENASSVGLLVCRRFVNFPYELVPKLYDSLFDEVSWATEDEPTQELRDSFRFKQYLLLVRIMERKTPVKHKSKNSKDEDEPVIYPKLEDEIFRELSSWSFTFPIRTEQSTQQEMKNYKEMGLVMAIKAEAIPKFKKKLEALFLNKLLRCEAERKRGQRVDLNKLLLALGT >Et_6B_049545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6333786:6334637:1 gene:Et_6B_049545 transcript:Et_6B_049545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTAFRPFSPLLHGHQPRRRRVNVVVAAANTPDSEPASATAAGAGQGKKKTVDTRIHWSDPNEGWVGGKASKDGDDGGRRRGKEPFGKTYADLINSSSESHYQFLGIAPEADIEEIKTAYRRLSKEYHPDTTTLPLKTASEKFIRLREVYNVLSKEETRRFYDWTLAQEAESRRLQQLRSRLEDPYEQDLRNY >Et_1B_010640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12332565:12335200:-1 gene:Et_1B_010640 transcript:Et_1B_010640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSSSTETWATQTPSPPRTPSPARPALSEEEKLLRAVEREIERSQAARRSSWASPLRRLVSNATKKGKNAAASKSLLPAPPSPSRTRQEGREASKKGAGDAGGSSSASKKPSEATREDDGVLVRQAAALDRSGCAATMKCALARIQGGDVSDNAEAFAEMEQAMKGLMVVLFEEKAEPVLPEVFLSKLPRIDNVWSQRDIITDPLILASGESIEKFSHRWSSQGVDQPLLTAPNHLLRDVITAWCLDHSIPPPSSTSGAFDEAPPSEEEMPLLLEKLSLHSVEQQEALHRIQLLSASSKGVQPCLNQWQDLLPKLIELHKKWKATWTRELEEQRLTIMLNLSLHRPNREILAKQVQLPEALKKTIERAKKLGYPLATMAKVSSVIAVLSEFDAFKIKLVEVGGISMLRRLLNTKDVLVRNEASSAILALCRYDTTSAIAQLKHVAGALLEGLSDGLVTDSCLLLLERTSHGEFVTDCVASSVALLMKVITHHGIGHVTSEGIQTAVRLIYNAVKNDARRLKGAANLEDFVEALRNLETKETPLERVFQIEELLELALELLPD >Et_8B_058789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5724028:5724138:-1 gene:Et_8B_058789 transcript:Et_8B_058789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGLSFSSVAERRRRKTQAALR >Et_8A_056688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15023077:15026427:1 gene:Et_8A_056688 transcript:Et_8A_056688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAMGRRTIGGLLVTKGGSILVFREESPRHKATSCCTRLGCSSKLFPNKDRKMHRTDNEAAATQRSPVLRKSNRMSPQGINAYGGSGSSSRNAASTCAFTNNRPRRRENAGRESRDLLARLKERVNASRKRSLSGGSSPSPLSPNTPGTGSKSSSQVISRSLHRPASKMKKDGSRTSESVRMHRDSTRVDVETSSADHDPSGRFLSRRLFRHRRRLQGGPISSLENNLDDSDGYWRFNMNGNEEDLLALGERIGTVSTGLSDGALSECLIRSHYVPTTSISHEDGDSKCIICQEEYFSGVELAKMVCKHYYHTTCIQQWLRQKNWCPICKSLASTVSSHYVSRIVQKPADKTRWQRL >Et_8B_060024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5176209:5179226:1 gene:Et_8B_060024 transcript:Et_8B_060024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHLTRGAVAAIWKDAAAVAAAGVPPVLQVVEVRPMSDAPGSVLTAVRTGRLRRGSVVRLSKFMGKTHLGRRFIFARQLEVLQTDCMLIGNPKQYRSGQLEDKHEELDAQLVTSSPQLNIGPYSSGQCFKELLTKGDGGDVAAACDAGLEKSEKFRLVISDGLHTQNARLASHLNHLVKEGLLRNGTIVRILDFTCNNVWSPSMVDISQLEVLQTECELIGRPKAYELCCIGKPYGLQIECGEPYYGSVANYAQPDNPPYCGKGFKWYLTWGAVVTKLGGDMEVEQRLVMQVVDVSLVSPTHADCTLYKVLLSDGIYMKHALLFPDLNPLVENGLLHNGTIVCILKCHGDHNY >Et_1B_011554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23592829:23594173:1 gene:Et_1B_011554 transcript:Et_1B_011554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQGHYHHHHPHHRGPPFLSRGSGAQESGRIVAPEMEMMPAQKEAMATHQPPLVFFDFDHGDCGGGRKRQREVEPPPPAAMSPQFFSLQPPQGQVPKVISLSQLHKRPATGLRLDFDDGSERVSSTSTAEASCLLSDELAAQRDQHRNEMDRLIQEHAERLRRALADTRRRQLRSLISSAEATAARRIRDKEAEASEAARRGAEMEERIARLRAEASAWQAKALADQSTAAALHAQLQQAAAAAQALRCKAAAEEDAAGAADDAGSCFVDPDRVVEVAPPPPPARPCRACGGRRLASVVLLPCRHLCVCAACEPAVSAAAACPMCRGAVTGTVQVFFS >Et_2A_016200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2267740:2269935:1 gene:Et_2A_016200 transcript:Et_2A_016200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VPFPFHAVHGSSPAHATDIFPHPAARPRDRVRSKQTERTHNKQQRSRRRKGRRRKGKMSWQAYVDDHLMCEIEGQYLKSAAIVGHDGSVWAQSPDFPQFKPEEIAAIMKDFEEPGSLAPTGLFLGGTKYMVIQGEPGVVIRGKKGTGGITVKKTGMALIIGIYDEPMTPGQCNMIVERLGDYLVEQGY >Et_5B_045643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6155974:6157978:1 gene:Et_5B_045643 transcript:Et_5B_045643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSQHYVMLFLLLAQITHSAPIPEPKNHADHMSTYIVHADHLAKPSQFTAHEDWYTSLVASHSPRAAANSSGRILYTYDTVMHGFAVRLTGDEARRMSNAAGVTGVHEDRELRFLTTRSPGFLGLDPGFGAWKDTDFGDGVIIGFVDSGIWPESRSFNDSGLGPVRESWRGGCVDAWDFNASSCNNKLVGAKAFGANTHGSPRNKSGHGSHVASTAAGSEVRDIGFDMFARGTARGVAPKARIAMYTVPPAYPKMADVAMAIDAAVKDGVDIISVSLTDDESHPFYNDTLSIATFGAVRKGVFVVLAGGNDGPKASKVMNVAPWMTTVGAATVDRLFPANLNLGDGTVLTGQSLYTIKSNGSTMVPLVTSSCSMEALTPARITGKIVVCTDLDGQFDALMDVLTEMHAAGLVLVSSFTWSGDDFPPADFTIPGLVLGYTAGDKLGAYMASEPYPSASLSFACETAIGENRAPTVETFSSRGPNPIVPELLKPDVVAPGVNILAAFTSLANTKVNYDFDSGTSMACPHVAGVAALMKKKHGTWTPAMIRSALVTTAASLDNTGRDILDNAVTDGSTGATAATPFAADARHLRLFAPDMATCTTTTLHGGAADLNYPSFVVVFHGRGGDVHTLTRTVTTVSEEA >Et_2B_021363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2933126:2937366:-1 gene:Et_2B_021363 transcript:Et_2B_021363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGTQPTLRKYLGALKDTTTVSLAKVNSDYKELDIAIVKATNHVERPSKEKYIREIFHSISAARPRADVAYCIHALARRLSKTRNWAVALKTLIVIHRALREVDPTFREELINYGRSRSHMLNMSYFKDDSSAEAWDYSAWVRIYALYLEERLECFRVLKYDVETDPPRTRDLETAALLDHLPPLQQLLFRLLACQPQGASSYNVIIQHALSMVALESVKIYTAISDGTINLFFEMQRNDAVRALDIYKRATNQAEKLSEFYEVCKTIHVGRGEKFLKIEQPPASFLQTMEEYVTDAPTMAQKAVLAIEYKKEPVEEEKPASPPPAPEPEPAQEPEPEPEPVKEEPPADEPTDLLGLNETHPAAAEIDEKNALALAIVPIDDAPKAAPATFENGVTGWELALVTAPSSNETAVNSTKKLAGGLDLLTLDSLYDDANRRASQTTSYNPWEAPAAAAPAAVPMLTMAPAMHDPFYASSGYAAPHGVQMAAMAQQQQAFMLQQQMMTMAAAPAPVVHPMQMQQAPAGAHPYGAAGMPLHAGPGNAYTGLI >Et_6B_048349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14934485:14936026:-1 gene:Et_6B_048349 transcript:Et_6B_048349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDTTPAAFDVLDGAAGVNGFQPLNADDVRTYLHKSVDFVCDYYKSVESQPVLPAVEPGYLLRMLQAAPPTSSAPFDVTMKELREAVVPGMTHWASPNFFAFFPSTNSAAAIAGELIASAMNTVGFTWQANPAATEMEVLALDWLAQLLRLPPTFTNRSSGAGAGTGGGVILGTTSEAMLVTLVAARDAAVRRSGTTISRLTVYAADQTHSTFFKACRLAGFDPANIKSIPTGADTDYGLDPAKLLQAMQADADAGLVPTYVCATVGTTSSNAVDPLGPIADVASTFNASACICPEFRHHLDGVERVDSISMSPHKWLLTCLDCTCLWVRDTYRLTDALETNPEYLKNHASESGAVTDLKDMQVGVGRRFRGLKLWMVMRTYGAAKLQDHIRSDVAMAKAFEKCVRADDRFEVVVPRNFALVCFRIKPRQGMTEEGADDANRELMERLNRTGKAYLAHTVVGGKFVLRFAVGSSLQEERHVRRAWELIKKTTTEIIKADKI >Et_10A_002301.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:7753074:7755581:-1 gene:Et_10A_002301 transcript:Et_10A_002301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVDRAVRLTIEAQPSDAATTAPGGLATPFEPQPSDSLTPRPRVADETSWRSAMDDALVVPVAASGPERRLTLLALRLAVLEKAASGLGALGFIWATVVLLGGFAITLGRVDFWSVTAILLVEGARIFSRSHELEWQHQATWSLSLADAGRSGARLVARSFRFVFHRHGSIANKPGSSGRRRRTSIGWPWTGWSWSFLSGHVGRAFYWLQLASATACVALSATRLARQDFGDAAEARTNRRSALDIFYGLALAEALLFLAEKAAWEWEVTHGRVLERVAADCGLLTNAGNGESAPGLLAVRRFFYDAYSRCVEGSIFDGLRMDLVSFAEELLVGGSHDEQRIAAGILVSLVVASDDDARLRRVGASPAVVERLVEMLGWTGAGEAGARASAALVVSKLAGKKRNALRVAGVPGAVESVASLLRDAAGEECNLLGLLIVKKLARDHDNCSKIGAARGLLDKIIDFTAIVPGDAPTSPSPAATTPNLLTSARAKAVQRSLQVIKMLAGATGSTGKQLRREIAEVVFTVSNLRAVLQHAPRGGACNLRRLAAEALTRLAMDADARERIGATGGVVTLLLDMFLQPGDADERVEAGEALAMLALESPRNCGRILKAGVAGGAATTTTTVDRLVDALDDPVAGVGAARILTNLCAYAGGGGSAWFPHLRRVTTRGAATTLRRVATSTYPDSQQEQRLEVSLGLAAQLVRLTAGPDEVARHLARAGVSEAGLVNALVAVLASHARPSIRAPRIRRFAVELAIALLRTPSSPPANNFAGMMADAGMEGELRRVAETTSELECFHVFSGSAGVGRHAVGLAALVDAALDLMGAAADDDEAHA >Et_4A_034800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6688184:6695070:1 gene:Et_4A_034800 transcript:Et_4A_034800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSDEWRFLFPVASVFAAPSAARSRGPLIFSPLPPPAPLLSLPFPIPPPRASSRGLHRFLRAFVNTTSFLPLADLDSVACDLLAPPSSPFPPPSNLLAVLRRPSSPPSWSLLLFFPYGENAEQVAFVTLNSDTVSGSTPASPVVQGDGFKHTGHRIQLLAASATEPSWPSQPGDGHVEGFLLATTLYSVNWFRVESRDSASPALVPVAKQGFDAAVVHACWSRHLPSECIVLLENGELCWFNLDSRSGGKMKVDFGSKDDCENWLSCDYGAQPWMVIIATSKSILLVDLRFGGGGKYKVLAKVGMPGLFETDPFAGADQYLAFCRAGFDHFHFSVVTERFLILLDVRQPLKPVLAWQHGLEIPNNVAMFRLSELWPTKEHEWASNSGFAILVGSFCTGDFSLFCYGPEEQGCPENSHLYAWDLPSRFSLTDQGCSCSDGIMKEVFSKHVSIDGSAQGSKNPTIGYCVLPNDLSVLDPSFSGFALIRLTALGKLEIQKYHASTGSGDDIPCENSQHASRASSSSFLPYTEGDDLSLRYSLMKLHFLLGHLEGTLCSALAKHDFFATKKMDQIIISEEVSEYAKDNSGSLSQPVSDFLCNATIPMNIFEIACQRILNGLSSDILHVTFSKYNDMLACSAERTGEHLDVPGCLMGNNLRSFLLAKPSCISDNLTSKVLSRDPLVGPVLPIHALLAMEQRNKNVESSSQGASEEIDYVSDQSREVLEAFHPVISIADMSNSDGWFASQEMNDEKSYFAYEPQIETSFTSESARNNGQKDQNVDDPFHASATSDQDKIFTTFVCGKAEISESGPEQAAATIFDFGPVRMAFEPQEMEIQPAGEKVYKCLKKQFLTWQNNFRPYQEFCSSHKIPKPKFGTHGVPSGRICEQSKASSTIAKSIHSVCIQAIHIQKNIDSLAQKKPVLSKHLKASKDITSRAEMPVPLYDLDSLFINVSTLNEPRSIDNVQGEPCPCAGAHRWPQTLGLHRTASNNRITQATPGTARVPQTNWNRKKGYLESTDSGNPLTNNGVDSGRLPHSTLPHY >Et_1A_005328.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4191257:4191496:-1 gene:Et_1A_005328 transcript:Et_1A_005328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKFVWPLALAVLMLLAASVSARRLEGGESGDKPLAGVAASSGGHLVIQYLKHLYLQQLAHACPSGMTWDPNISACH >Et_8A_057211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22351754:22353712:-1 gene:Et_8A_057211 transcript:Et_8A_057211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVLLSIILCSCIFVVVYWKRLSSMRLRLPPGPPTWPIFGNLLQLSPLPHKDFARFCTKYGPLVYLRLGTIDAITTDDPEVIREILIRQDEVFASRPRTLAAVHLAYGCGDVALAPLGANWKRMRRVCMEHLLTTKRLESFSAHRAKEAEHLCQFVWAKAQSEKPVNLREVLGAFSMNNVTRMLLGKQYFGLQSAGPGEAMEFMHITHELFFLLGLIYLGDYLPAWRWLDPYGCEKKMREVEKKVDDFHQKIIDEHRRAREAKKSGLLDDDDSRDEMDFVDVLLSLPGENGKERMDDVEIKALMQDMIAAATDTSSVTNEWVMAEVIKNPRVLRRIQEELDAAIGRDRMVAESDLPHLPYLRCVVRESFRMHPAGPFLIPHESLKPTTIMGYHIPAQTRVFINTHALGRNPRIWDDVDEFRPERHLPEDGGRVEISHLPDFKILPFSAGKRKCPGAPLGVVLVLMALARLFHCFDWSPPDGEDIDTEEVYGMTMPKAKPLVAVATPRLPPHMYGSCGAAHRGGKQM >Et_3B_028907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20202343:20204677:-1 gene:Et_3B_028907 transcript:Et_3B_028907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRNRSKVAAAGSAPQVLPDDIVLEIVARCSTVADVIRCAATSKPLRRGILNKPFLCRLRYFLLRDGRDGTFIPSLLVGLYHKTDDPHHPVAFLPAADGGWHPSSVAALPPAAPGRDDTGACRYGSYLPVASGRSLLVLRRKCRVTEQEHLVERHGLYPVELSVCNPTTGERWVLPPHDVSDTSHAVLDADPLAPSSFKLLVTELTDNDPRKLYVQIFSTEEGEWGPVMPCRIRRRCELPDKCRRGPVVLGDTVHWLCSTEERPRILTWCWRRGGGVGRPHDTSLVRMPQEWSVPSTVDEMCLAASPPTDGGAAGSQALLSLIVRSRDNIDMWVHEKTSARPPWRLLHRINRTDIPRPVGSSFRCYNHYWNRKYVELFFSLFDARPIQGC >Et_9A_063252.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17716987:17717619:1 gene:Et_9A_063252 transcript:Et_9A_063252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPTAPTPAAEASGGGRRFRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGSRAKLNFPEDARLSSSSATTPAAAAITSTPAAAAYPAASAVSEYLQYQMLLQQGGGGHGAGFPHQQNYYGDGGMSSSSGSYSFPASSVTVASVPSSASSAPGYGGDAAAAQWAWPETSAWSYPATTGSWSSGASSYYTQPPQ >Et_4B_037860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24104577:24107829:-1 gene:Et_4B_037860 transcript:Et_4B_037860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTPLRAALLSPATNSSRRGGSRRRAPSSVRCDASPPASSSSAAASLDPDFDKKAFRHNLTRSDNYNRKGFGHKKETLELMSQEFTSDVIKTLKDNGNTYTWGPVTVKLAEAYGFCWGVERAVQIAYEARKQFPDERIWLTNEIIHNPTVNKRLDEMGVDIIPVDAGIKDFNVVDQGDVVVLPAFGAAVEEMYTLNQKKVQIVDTTCPWVSKVWNMVEKHKKSEYTSIIHGKYAHEETVATASFAGKYIIVKNIAETMYVCDYILGGQLDGSSSTKEEFLEKFKNAVSPGFDPDVDLEKVGIANQTTMLKGETEEIGKLVEKTMMRKYGVENVTDHFMAFNTICDATQERQDAMYQLVKEKIDLILVVGGWNSSNTSHLQEIGELAGIPSYWIDSEQRIGPGNKISYKLNHGELVEKENWLPEGPITIGVTSGASTPDKVVEDALQKVFEIKRQEVLQAA >Et_2A_016166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21690372:21692294:1 gene:Et_2A_016166 transcript:Et_2A_016166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITVSYSGYMAQNLAASIRGSAAASASGHRLLHDGAWRPFCIFTSTRQPEQSHSTGGGGGGGNNRHDGGDRNHPKHRSLADTGHSLLLTRACLSSSKSPPPSLAVGLLSVLAQRTGSTPGIGGAASLSGSSSISLGFNPTSFLPFLQTAKWLPCSDLATSSSSAPSSPPLAPTTSAPSPPPRAPAPPAPSKKALLGAASVGASGSAAIARSAMSRSNWLSRWVSSCSDDTKTAFAAVTVPLLYGSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYIFREPEILDIVIFRAPPALQAFGYSSGDVFVKRVVAKGGDYVEVRDGKLLVNGVVQDEDFVLEPHNYELEPVLVPDGYVFVLGDNRNNSFDSHNWGPLPVRSIVGRSILRYWPPSKITDTIYQPDAAHYAVPS >Et_1B_014189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3500220:3501304:-1 gene:Et_1B_014189 transcript:Et_1B_014189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQAVLAVLLLAALLPLALSRGLGLGHPHPHGLVHRPGLGHAHPHPHGPGLGHAHAAPLGGAGWSSAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGAVACNKKGGIRFTINGHSYFNLVLVTNVGGSGDVHAVSVKGERSGWQAMSRNWGQNWQSNALLDRQALSFRVTTGDGRSVVSNNAAPRGWSFGQTFSGAQF >Et_2B_020060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16508055:16509234:1 gene:Et_2B_020060 transcript:Et_2B_020060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKHLHFSEGRPKSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEKRREEERKKMKQKEEERRRQYEAERPSSQMLSMAPGSWIDDYDYEEEDEASRHPDRYSRRSPTPFDFDAYMAEGQQDTLPASQLPGAPLQTQPTQDHQDTPPDAVLRSTRQVVPPSPLTYSAGHVRAGRKAPKPGTVRGIPPKRGRR >Et_7B_054669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3338614:3340521:1 gene:Et_7B_054669 transcript:Et_7B_054669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKLEHPLEKRQNEANRIREKYPDRIPVIVEKASKSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKDTLPPTAALMSAIYEEHKDEDGFLYMTYSGENTFGLL >Et_3B_029137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22380787:22382105:1 gene:Et_3B_029137 transcript:Et_3B_029137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLYDVSCFAAGLAGNIFALALFLSPVPTFKRVLKAKSTEQFDGLPYLLSLLNCSICLWYGLPWVSDGRLLVATVNATGAAFQLVYISLFIFYADSRRTRLKITGLLGLVVCVFALIAQTSLAFFDHPVRQQFVGAVSMASLISMFASPLAVMGLVIRTECVEFMPFYLSLSTFLMSASFALYGLLLRDFFIYLPNGLGVILGATQLVLYAYCSRKWKGGDSSAPLLG >Et_7A_052760.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15671039:15671359:-1 gene:Et_7A_052760 transcript:Et_7A_052760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLSLALVAVLLLGPLMMSSSPGVLAVRMPAVLAVDDTSDRGGLPAVQPRPRKLAEVGNAATASLDASKKPATAGSPSSPSTVFDPDRMSKRRVRRGSDPIHNKC >Et_5A_041091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18259184:18259557:1 gene:Et_5A_041091 transcript:Et_5A_041091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEPCSRHGVCCSIARMQRKQRRRLAWRVFDCRRDGRTLVVSWNCLAVVVEKNNMKTQNRSVLSPIICDIQSEMRQVSAFRVSKVRREQNKVAHELAQWAIKSSSSKVSFVNFPRVCRVID >Et_8A_057960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10024500:10028480:1 gene:Et_8A_057960 transcript:Et_8A_057960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKAIKTKVATVRRKAAAATTKGKGRQATTTTKGKGRQAKGQGLPPPPQKASFCMPSSSANDHDPVTVDADSHVAAQVAAANHVPAHVEFQSLLDEDNPNNYSDLNMLDEFSQSADGYVGMMSDAIGLDDFITGDDPFEAGSEEEDVVEVPSPPQQRRGGNYSVEEDEALVLAWQEQNATQELYKQRDPNGRQFGMLHCWTLLQHNEKWINRNIDPHPFKKRAASDMESEGYEDEDGNESGRSTTPSSDWTSS >Et_3B_031123.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:1768492:1768704:1 gene:Et_3B_031123 transcript:Et_3B_031123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEEVEDEYRRAGKLHRFDLENEWKKRFARVARKHLKLLEDPELTCLHIAAVLAENYHGAFGNCIERQF >Et_1B_010153.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26494624:26494812:1 gene:Et_1B_010153 transcript:Et_1B_010153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAGFTEQRLPPASNGGRITGRCTAGPLRPRRPLWQTTIFFIYFLFATKSFSQKKNNVIYN >Et_4B_038006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25474342:25479799:1 gene:Et_4B_038006 transcript:Et_4B_038006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVKITSIMGAKVEGESFMPGYYATIDLNAEANGSWSPYYEEKMPNGQMCNGFTTKSANGFSNFDKEMLKHTMLEHDAVFRQQRHMMKQYRSKEVYAYPMLTDTSQTNSPSQVPQNGSTVAWQMPVPPASTTFRKASVEHDATNQASIKFLREGSVQSSPNGLPSSDAAPKRRQYMFDLQRPADHYVDNDNASENNPIDFLGLTSDSKPQIDADLALVRAEGLGRLSDNSSTSGLRTTNNLGSWHVSDLNEPNTGIYMGRANGSASRGLSHTLDNPWHQSMLRSSATNFSFNKEDNKGKHADEGTSSNFFDTSAKIRQDEKPLINKGKQVNGINVLAPRYSETDPQKYFKVADGTPASCNQFVYHDQSSSVGWFARSPLDPYAVNNFARFDRPHHSSMGTLAAPISIPPIDHPSVATPTGSCTVDPRSSVISNPAFIPRFNGSSAVNSYTSLSAAAQSIGSSTPKLKYGNSIDRRYTGFTLDSFSASHSRHQVAISNDLEQKSTEMFEHSAQQSHGKGMKSFNLNETLSDGQVVGLIEQDRRCAGSLQHNKDDAVFGLSWLKNKATYADPTVLDQPGKLFGHSFGPAAELKNTEARNEGALTIRNLSDSASTSLECGIKKDGASEDFVTRNQLICNKTHEPVPLSCLKHVTKGGQAAEVVIQKNSASIRNFIDLNDDVPNEDNSEESVVSHECQVASLQNNQSKRGFVIDLEVPPCEEDAAWTFHQECTRDAYQQAGDTSVASAVAAAESIIALSMDVPATAEAPDDMLLWFVDLAISNIDDLAEQVEACINDSSDDESDSFESLTLKLEETKIDEYWSRPLAPAITTEEQAVSTAHLLTKPRRGQQRRCRQKRDFQKDVLPGLSSLSRPEIIEDVQLLEGLVQASGGSWESSLSRRGRYGGRTRGRKPRKNLTVVVEEEVPVTTPPKPPGSGDLEADDKGMFGWGRTTRRCRRTRCPSGNNIAAAS >Et_2A_018355.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24257368:24259590:-1 gene:Et_2A_018355 transcript:Et_2A_018355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWKKKLGHTLSRFLTSKPPFPQPRPRPTEPPPPPPPPVPFPPPPPAMPHGGHRPPAPAPRRPVFPSATSMVLPDPARFFAPGLLNAPLPTNSFFQNFVLKNGDQPEYLHPYSIRSSGGGLTICYPARNVTPSYIIQTIVADLTISASSDAAGGDHRVVAFDDLSVTLDVSPSLRAHLVRGCPYVTLTTAHQGAGAVDISAASVHAFIEVAPCGDAGTKWRLRMNSGQTFLLYASAPIRLAQTDTSRLSAPGFAGVIRVAYLPDAFMEPVLDRYSGCFPTAGEAALNRPFCVEYHWRKAGPGELLMLAHPLHLRLLSQPHDSGGVRVLDDFRYRSIDGDLVGVVGDSWVLRTDPVPATWHSTHGVSENGVDEVAAALRKDVAGLASTPITTTSSYSYGKLIARAARFALIAEEVGCPDVIPAVQSFLKAAVTPWLDGSFQGNGFLYDAKWGGLVTRQGLTDTGADFGFGVFNDHHYHLGYFLYAIAVLAKIDPCWGRKYMPQAYSMVADIMTLSRRPGASFPRLRTFDLWKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALVGLSYGDTHLATLGATLTAFEALAARAWWHVREGEGIYEEQFSRNNRVVGMLWANKRDSGLWFAPPEWKECRLGIHLLPVLPISEALFPDVGFVKDLVNWTLPALARDGVWEAWKGFVYALEGVYDKETALAKTRALTGHDDGNSLSNLLWWLHSRPAVVGDAGSGRCCWYRQYCH >Et_1B_013640.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10423494:10425287:-1 gene:Et_1B_013640 transcript:Et_1B_013640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLPPLAVHPAPCPAPPAAAAAIGISVSDVIPVLEGAISSGDVLRVGSAVHALLVKTALTCHTLLSNRLIELYSLLPSPAASVAAFHDLQHKNAHSYNTLLAALSRGPDNLSDALSLFDEMPADIRNAVSYNTVISNLEHHGCQKEALHMCARMARDRFLGAGLAIDRFTVVSVAAACAGLGAARPLREMHGAVIVSGMDMTVIMTNAMVNAYSKAGRVEDARLVFDQASVRDAISWTSIISGYCQAKRLDEAVQVFEMMQEKDSIAWTALISGHQQNGEEEAALKLFERMLAEGFAPTPVSLVSALGACAKLGLVARGKELQCFILRRSIGSHPFNIFIYNALIDMYSKCGDMTAAMAVFDQMPKRDFISWNSMVTGFSHNGLGKQSLDMFERMLVSGVRPTHVTFLAVLTACSHSGLVSDGRLILESMEDHGVEPRAEHYAAFIDALGRNRQLEEASEFIKSLSSRVGPGTAGSWGALLGACRVHGNIELAEEVADFLFQLEPGNSGRYVMLSNIYAAAGQWDDARRIRGLMKEKGLKKYQAYSWIEVRSAKHVFIADDMSHQETDDIYEMLGKLFDHMRIAVDPNEHQLELC >Et_2A_014677.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34047202:34047477:-1 gene:Et_2A_014677 transcript:Et_2A_014677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYTFVCRNSGGEWTAKQHSGEIEASAATTYELQRQLVAAASAADSASGVQSSFAMVSPNSAVFQVWSPTASLPNPPHLTLCRLQLRCIR >Et_2B_019619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11002684:11003553:-1 gene:Et_2B_019619 transcript:Et_2B_019619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFFKSFSHRRLLTKATELTRAMEGSLSHNFETDLTTADLWEVYGGLLVGQLIPKLLPRVLSKVELVEGDGGVGTVLLVTFPPGTPGSETYKEKFIKIDNENYIKEALVIEGGFLNRGFRKYLMRIEVIGKDNGSILRSTIEYEVAEEHASSAPVVSTSGLADVAEAMTRYIKEQKSKP >Et_9A_061336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10141884:10159656:1 gene:Et_9A_061336 transcript:Et_9A_061336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFVPVLGRMAAEAVKERLDMLLGVPGTMEKLEATLEDLGNVLADAERKRIADTAVDGWVRELKDVMHDADDALDRPAMRGASALPPLTLAAACLSFAHAMAGQVKELNRRLESVCKRSNMFDFVRAAPSASLRQHPAPARKKSSPVYVHADLVGEKIEEDADTLAEALTRVDARENVLVVAVTGAGGIGKTTLAKRIFADQRVRDEFDLRMWVCVSHEVNETDLLWSSSLEPMLQRAVSGKKVLLVMDDVWSDEAWNGPLRNAFRAGARGGSRVLATTRNEMVAQKMKAVYIHRVDKLRPEDGWILLKNQVMLGDDPSDIEFLKDIGMKIVTRCDFLPLAIKAVGGLLCTKNRTPRDWLEVSESAAWSIAGLPNEVDSAIYLSYTDLPSHLKQCFLHCSLFPKDEVIKRVDVVQMWIAEGFIQDDGHSTILEDKGSQYYKELIMRNLLDPNDQFYDQSGCTMHDILRSFANYLAKDEAAVLGPGQSLCNKNLYILYKCNPLGQRAGNSPSSKIKLRRLSIASENVHPSALKDQKQLRALMLFRSTKIQLDNFLHELPRLRVLHLGGVNIKTLPPSLCNLWHLRYLELSGTTIDTIPDSIGNLKYLQYIGLINCINLYILPGSINIKDIMGTKVNEIPKGIGRLENLVELTGLLTHNDDTVVTHEEKYQIKDVFEALCPPPCLENLSLVGYIGQQLPNWMSSGHMALKNLRALKLEDCTYCEQLPALGHLPSLDFLLIKNAPSIMRIGHEFICTSNGTLTNQKMSIFPRLEKLGFDRLDGWEEWIWDKELEQAMPKIMSLKITKCKLNSFPPGLVHQARALKELIISEARNLTAITNLLFLNELHLCANPNLKTLAKLPKLRKLVVIQCPKLNVLEGLTELQKWYKINHIQNEKVYAPKEEEHRGWCVLYNKEPFSLTTNVVGSEIFEMTGAYFEMTEVFAKIYRIQRP >Et_3B_029322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2406001:2408087:-1 gene:Et_3B_029322 transcript:Et_3B_029322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHYQTLGLRRDATKDEVKAAFRSRALRDHPDRHGGSTEAARNAAAQRFRQASDAYHVLSDDRRRAEYDFRLRSSSSYARASSSSSTSSGSYGYGYSHGGGSYRRPPPGSSAGSVDWEFVLKRVTRPGFIINLAFAGIILAGATFLDGSILEIWKMNNSGKSFEDAMDSIEKVKKTQKGNR >Et_10A_002310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8409929:8411576:-1 gene:Et_10A_002310 transcript:Et_10A_002310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMNKTRLKLFSQLADEAASSRLRLAHWSEPYTDLQGRSQVLYGLAQCTRDLNASECNRCLSYFVVLLWSSYTNDTYGAIKGYSCYVVYNVGEAINITMPPEIAAPPPPPSRRTRSAPSATALLPSVTVGSVIFFVTCTSILVRFFLRKRKKEKAREHDEFAYNDEPLEVEDEFEIGTGLRRFRFSELAEATNFFSDKEKLGQGGFWIGVPRGRKEYISEVKIISRLRHRNLVHLIGWCHGGGELLLVYELMPNGSLDTRLHSKNDVMSWELRHENVLGIGSALLYLHQDWEQCVLHRDIKASNVMLDASFRAKLGDFGLARLVDHERQSHTTALAGTMGYMDPECLLSGSTSATSDVFSFGVVILEVACGRRPIVVVQDSEEYATVHLVQWVWEYYGYGRIVDAADARLNSIFDAREMERVMIIGLWCAHPDRTVRPSIRQAVNVLRHEAPLPNLPAKMPVAMFMPQVGCSRSESGAGTGSSGITRSTIMMEATRLLR >Et_3B_027833.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21395932:21396057:-1 gene:Et_3B_027833 transcript:Et_3B_027833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVANRTYLLQSNQFFAKETLDWCVLARGNTHLFSLVNYT >Et_5B_044164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20952196:20953372:-1 gene:Et_5B_044164 transcript:Et_5B_044164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSCFLHQSARLGAAASTPRAAVPRTVFVCKAQKQDEGEVSRRAALALLAGAAAVGVKVSPAAAAYGEAANVFGKPKANTDFIAYSGEGFKLLIPSKWNPSKEREYPGQVLRYEDNFDANSNVSVMITPTDKKTITDFGSPEEFLAKVDYVLGKSAFDGKTDSEGGFESGEVATANILESSTPEVDGKKYYSISVLTRTADGDEGGKHQLITATVSDGKLYICKAQAGDKRWFKGARKGVEKAASSFSVA >Et_4B_038863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5484516:5486802:1 gene:Et_4B_038863 transcript:Et_4B_038863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPAAAAAMAAVEELVEKAGGCAVIDGGFATQLEALGADINDPLWSAACLITKPHLVKEVHMQYLEAGADVIISSSYQATIPGFLARGMSLEEAEGLLRTSVNLALEARDEFWKSALRQSKPIYNHALVAASVGSYGAYLADGSEYSGSYGADIRIEKLKDFHRRRLQVLSSAGPDLIAFEAIPNKMEAQALVELLEEENIQVPSWICFSSVDGKHLCSGESFADCLQIINASNKVAVVGVNCTPPQFIEGIITEFKKQTKKAIAVYPNSGEVWDGRAKRWLPAECFGHMSFDALAKRWQEAGASLIGGCCRTTPTTIRAVSKILKGKAGH >Et_4A_033079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18152022:18154516:1 gene:Et_4A_033079 transcript:Et_4A_033079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLEMESSDEEPDEEFYRQQKKEWEEYPARMKALFPDEEAAAPVDHGHGEADESVVDLPGGAIFARPSTPEEAAFTRADAGPQCSRRNGEAVDRPDEQVKRQCVLASPEIAPPFDSRPPEVKFAEVMDKIYITVQLPNAKDTIVKWAQAIDTVYINVQLPYAIATTVNLEPEGTFTICGNDEADGHLYHLKLDLNDKVNVEASETSVGPRPIVCIAEKAEAKWWKELLQDGQKVPHSVNDDGNKWVNEDVQGTIYAFSSILVLIILVEVKYRDMQEYSLHFAQHLLERVWVDVFIDLFL >Et_1B_011586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23876105:23881207:-1 gene:Et_1B_011586 transcript:Et_1B_011586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGGGVFIAGSVLGGAAVNVPMLLLNRILLGVGLGFTNQRGAINNGFELSLSLGVLFANILNYFIVKITAGWGWRISLSIAAVPATFLTIGAIFLPETPSFIIHRHGDANKTRILLQKLRGSTSVQNELDDLVAASNLSKTTQYSLARKKSGSRATGSMATGAFAEGAGGGAGYSGRVTPFVVLSCVVAGSGGVLFGYDLGISGGVTSMDSFLKRFFPEAYRQKQDSKVSHYCQFNNDLLTLFTSSLYIAGLVATLVASSFTRRYGRRASMLIGGAVFIVGSMFGGAAFNVPMLLLNRILLGIGLGFTNQSIPLYLSEMAPAKYRGAINHCFELSVSLGILFANIINYFVARITAGWGWRISLSMAAVPAAFLTTGAIFLPETPSFIIQHDGDTEKARILLQKLRGTALIQEELDDLVSASNLSRTTGYQFKNIFKRKYRPQFVIALLIPFFSQVTGINFVNFYAPIMFRTIGLKESSSLLSAVVTRLCATFANIMAMMMVDRFGRRKLFLVGGLQMILSQFAMGAILAAKFKDQGDMDKEYAYLVLITICVFVAGYGWSWGPLTYLVPAEVCPLEIRSAGQSIVVAVTFLMIFAIGQTFLPVLCCIKSATFIVFGGWVCVMTLLVYLFLPETKKLPMEQMEQIWRKHWFWKMVLGVEEKKQACENSYTKLLAY >Et_7B_054868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5072546:5076553:1 gene:Et_7B_054868 transcript:Et_7B_054868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLMADQVEEWKGYYINYKLMKKMLKQYVQQTQLGDKDHEQVLKEFSRILDDQIERIVLFLLQQQGHLARRIEELGEQRTALLEQYDISQVSQLRDAYREVGRELIKLLRFVDMNATGIRKILKKFDKRFGYRFTDYYVTTRANHPYSQLQQVFKQVGIVAVVGALSRNLEYLQHHQGSFVSIYDHPSVILKDPIINQVNHAVQKLTHATNFMQFLGQHSLIAQEDAQSGSEDLVDDQSYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSVSLGAAATVCGIIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFFGNLLYALAYDLNSLTVLLIGRLLCGLGSARAVNRRYISDCVPIKIRLQASAGFVSASALGMACGPALAGFLQTKFKIYSLTFNQSTLPGWVMSVAWIVYLLWLWLTFKEPDHFTKTASKPQPSGSGHQGSTNLEDGIAQPLILGREQGQDENTEDNDDNDSESSHEPARSIASAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFSWSTSAVAIFLAILGLTVLPVNAIVGSYITNLFEDRQILLASEVMVLLGIIMSFRFTHHYSIPQYVISALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVIADATITAAGYLGTDLLLNVTLLPPLLICIVSIAATLYTYNTLY >Et_3B_030558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:477599:489424:1 gene:Et_3B_030558 transcript:Et_3B_030558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEDDGAVGGGTGGTWTEEDRALGAAVLGTDAFAYLTKGGGVISQGLVATSLSVDMQNRLQELVESDRPGAGWNYAIYWQLSRTKSGDLVLGWGDGSCREPRDGEVAAAASEGIDDTKQRMRKRVLQRLHIAFGGADEEDYAPGIDQVTDTEVFFLASMYFAFPRRVGGPGQVFAAGVPLWIPNSERKVYPTNYCYRGFLANAAGFRTIVLVPFESGVLELGSMQHIAESPDVIETIRSVFAGASGNKAAVQKPEGNGSTPTERSPSLAKIFGKDLNLGHPSAAPVVSVSKIDERSWEQRRAAGGSSLLPNVSKGGPSFTWTQARGLNSHQQKFGNGVLIVSNEAAQRSNGAADSSSATHFQLQKVPPLQKLQLQKLPQIQKPPPLVTQQQLQPQVPRQIDFSAGSSSKPGVLVTRTSVLDGESADVDGLCKEEGPPPIVEDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQKKLKEMETERERLLESGMMGGTPRPEVDIQVVQDEVLVRVMSPMENHPVKKVFQAFEEAEVRIGESKVTGNNGTVVHSFIIKCPGTEQQTREKVPPEMLDALAGWFAQSLSPDASARRNAEQSLSSASSTPGFALALLALSASPRHDLQARLASSVHFKNLLRRRWPKPSSPDADDAADLLPASDCAIIKAHLLQLLLTAPPLIQAQLSEALAAAAASDFPSKWESLLPSIVSSLGTALAAGDVVATNSLLAAAASLFSRFRNAFDNNALRLDLKYCLDNFAAPLLEVFLSASRRLQAAATATANPLDLRPVFECLRLSCEIFYSLNSIDLPEFFEDHMQEWMTGFRAFLTTTYPPTVEADGAPDALRAAVCDNLQLYMEKYEEEFRRFLQEFVEAVWGLLMAQTVSPSRAQLAVTAIRFLTTVAESVHHALFGSPEAMRQICDSVVVPNLRLRDDDEELFEGNWVEYVRRDSEGSDADTLRRAACRLLRGLAANYREQVAALVSAQVQQMLAAYAADRANNWREKDAAIYLVIALMQKPGATGGGTPVVDMENFFTNVIVPELQAPDWQSEPMLKATVLRFLKEFKDQIPKATAVALLPSVVRFLSHESNVVHSYAATFIENLLMIKDAVPVPGVNTVTRSQRYVAADINPFAPQIIQNLSTALSFPDSSENPYLMKCLMRVLGIANIGGQIVHDITARLVGILMEVCNNPKNPDFNHYLFEALSAVIGRAGEQDPAIVPVFEASLFPVLQRILVEDIAEFWPYAFQIFAQLVNLSRPPLSQNYMQLFGVLLSNATWDRPPCVPALVRLLRAFLRKIPNELNQEGRLPNILVIFRSLLSRSSTEDSAFYMLNTLVENVGIDIMSPYLSEIWSALFTRLQTRQAVKFVNSLVVFMSLVLVKYGSGVLVSSVDAIQPNLFTQILQRFWIPNLKLIKGSLEVKLTAVASTRLLCESAVLLDAAAAQWWGKLLDSTVALLSRTDQGGAQQEQNDGADAADFQRTSGYSVSFVRLQYAGKSEDDLLKEVSDPKQFLVSSLATLSAQSPGRFGPVIEQHVDPANKNILPHRGEQEILTTVDLKIAFGFEKLLNLEMLVMETARRAADIEPLLQDPQSITAEALLMAFEFDVLHCIVDSEVSELEKLAGSIQTDIQSVENSMEEPEDSAEGKLQAATESLKQMQELIATVRRESATFEKVIQPSQGTTEGVGYENGLMSPHTTTQAEDQRHFLQMLQKSIASELDLGKELCDTRSEVEELKVKLYQAEQQSYFLEESVEAIYERMLSAEYASQLFLGTSKDLLAKINTIDFNLSASVHRETDLESKMEEGLSNDNKSSLENVPADSDNDSNQVPAQMQMLSPPEFLTLRDKIQQLEEWLRVSDSQSQWSLVSRGANKEEQNITWPEISSFRDFINELKVAISNAEDRTQKAEARCTELTQTNDQLYAELNSDRAGLLEKRLKESDTQLEQAKASVEAVVEQQSMLKSSMSDMEQMIEDLKEKFLKAETRAENAESKCTLLTDTNLELSEELSFLRGRVEGLENSLRQANQLKASTAKDIRIKTKTISDLVAKLALERERLHLQIVTLTKKNKMLAQKCRKNVNEASLFSKKATVKEGELRPIEAKEEAVVDTSSTESKVTPTDKNIQDEAELTPPLEVDSGTRNKLETVRTIEPSQLNWKYILTAVLVLLAMILVYQLYKEDERVQQLLRQFLDF >Et_8B_060772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6927641:6931775:-1 gene:Et_8B_060772 transcript:Et_8B_060772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVIGHAIWGEDSPVLETAALVVLAGTVSSPICFVSMLALSSCIADPNTKEDKASASLRSTATATREALSKADIIVFFATMAFVFLVIVGGWVKVFGSVKGSRRERIGCVIMDVGYSSWSLLCCIIVIPTVALRTWRLRRSGWRWCDSWENIALVLELVELLHVSELVELLQVLELVEFDLVPASVEIQIFLVKTAQTLLGSELEQLSDYYKETQKDPQWYQMYALSSTLHVRVAPLI >Et_9A_061767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16188118:16191008:1 gene:Et_9A_061767 transcript:Et_9A_061767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALLPLTPSPPHPSTQRRLLCRCSASRRDFTIHTAIAIASTSAATVGAAEAPAPSPQPPPPTPASKPVGSVLGALANTRSWSQHYGSGFSIRVPPSFDDILEPEDYSAGMTYYGDKAKPRAYEARFASPDRDELVSVVIKPSNQLKITFLEAKDIADLGTLKEASKIFVPAGAKIYAARTIKVKDDEDIRTYYFYEFGVNRQHVALMAAVNSGKTYIAAATAPQEKWDDDGVKLRSAAVSLSVS >Et_9B_064039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10359534:10361900:-1 gene:Et_9B_064039 transcript:Et_9B_064039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREQKRAILHEKLQVLRSVTRSHAIGVYRYVSPLEFISIFYVQSKSQDEDEGLINAHDVEQAVAEKQKKINFNRKDFWQSVWLPLLKSDEARGTQPFHQKRKPASQQLAVRQVCHCISCLHCLQQAQAAKADGEHSTAATGLNV >Et_4A_032313.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29548542:29548751:-1 gene:Et_4A_032313 transcript:Et_4A_032313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFTITITSPLRRRQMTRMIGPLAFILLKLNFCSPLESTDRGMFYCLFDLFRSVVQASLYLCVVIDHI >Et_6B_048455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6603934:6604900:-1 gene:Et_6B_048455 transcript:Et_6B_048455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKAGLLDDAVRVFDEMPERDAVVWNCLVTGYARAGKSAEALENFRRARVQAVNMARYLGAVPSVLNVCAKIGELMKGMGNALVDMYAKCGRVDAAQAVFAGMRERNVVSWSTLISCYGVYKEMVSSGVKPNCMTFTSILSSCSHSGLVTDGRKIFKSMSKVHAIEEAVRYISKMPMEPSASVWGALLSACAMHNNVDVGEVAAYRLFELEEGNASNYVILCGIYDAVGQSDCVAGLRSRMRGEFILSTKGASHGI >Et_10A_001930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1211461:1213963:-1 gene:Et_10A_001930 transcript:Et_10A_001930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHPPNAAANSLGDLFPHQAALEGGDSSVDWLSVYVEDCFSSSTSFANPVSGKPAPTMANQSVGNPKLLPPSSSNGRQRKRSLASVISDNDDQQYYIPLFVEPPLLLIDQKHWMADSELILPKKENDQELCQQLEQDHGEESEEGALTQCHQELLLKRCSYCLSCETPIWTHGPSGRNTLCNACSPKVKPENRFTTISEKQWGQGTNKKQEHGKRRDKKKIKKTPNAIEKELPLEPPTRVCTHCMSSKTPQWRTGPMGLKTLCNACGVRFKSGRLLPEYRPANSPTFVSHIHSNSHKKVLQLRQGDGHM >Et_2B_018898.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:14757023:14757504:1 gene:Et_2B_018898 transcript:Et_2B_018898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCTWNSCTTRSRRQAGMKMVGGRSPCRNPRAETYMGRAPMWSRWPWEMKRNSCETARRRNWPISKARLNVGKMTQVF >Et_3B_030904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8672452:8672890:1 gene:Et_3B_030904 transcript:Et_3B_030904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSWIHGYATANTAVGNNGFMCGYAASCTPAELQHREEEEELLIGSQIQHHLNQATCT >Et_4A_033082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18121662:18121887:-1 gene:Et_4A_033082 transcript:Et_4A_033082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKPIEKSRWAAGPFRVTCAPRKFPFLRAATPVKPYLLHRRLRFPTRTPSSLSSAHPPLSRFRVPTKP >Et_3A_025335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2950047:2953135:-1 gene:Et_3A_025335 transcript:Et_3A_025335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSATREVLMLEAPPADPAAAPWRAPPDAETVDALPYIDGDYGNPAVKHEVDRLVEEEMRRGKRNPADFLRDLPPNHPILAKEYERVRAGRPPFMLDMSRYGLEPPPLNKQNDVGAWKQALRNAQSQLQHQITRIENLELMLKYGVEVWKLKNRQMESVLSRMQKMAVEYNERIETVNRERKFHQQNTGGELHALTTEWQELCQKNIAIEAACAELQNHIDQLKLEAKELGMPMDDNAETNPKAS >Et_4A_035268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15588257:15589893:-1 gene:Et_4A_035268 transcript:Et_4A_035268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIQGLATAAPVKLNCFFFHRSDAGSARGLGLLSAADPSRPAGRWTAVVAAAAATADSPAASVPREQVEVVRSLGGWAAEHMLPLLTPVESAWQPHDFLPRSSPAPGGAGSEAEALEAFTAGVAALRAGAAGLPDDVLVCLVGNMVTEEALPTYQSMANRTEGGNDPTGRSAVPWARWLRGWTAEENRHGDLLNRYLFLSGRVDMRQVEITVHHLIRNGMEMLVPTSPYHGLIYASFQERATFISHGHTARLARQHGDATLAAICGVIAADERRHEAAYTMACAKLFEVDPDGMARALADVMRGKVTMPGQLMADGAGEDGLFDRFSAVAQRAGVYTASDYGDLVEHFVRRWRVADLAAGLSGEGRAAQEYVCGLAPKIRRMEELAHRRAARGQPARAAFSWIFDRSVALA >Et_7A_051411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18039204:18045860:-1 gene:Et_7A_051411 transcript:Et_7A_051411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASADGLLALADEAERRRDFSTAASCLESALGPPHAAGPGAASPRVPPPRPPHGPRRRRRGPSAKAHLERALLILSPLPSAPPRLKLLAHSLLAGAYAVLGIVPSQKHVLRRGLGLLASAAASGLLPRAPALLWTCNFQAQLASALAVEGDAASALSTLSAGAAAAADLGNPQLQLFFAATSLHVNLLCWEDSSAVEGAVAHATQLWDALPADQKEHCIGLFFYMELLHTFYLLRICDYRSASCRVERLDTAMKSEMQRGQRIKEFANELGTVEKTLGQPGLKERERSALAHKQRQLKAQLRSLCGYDSLNDVLDYGDKLLLAPPPMHGEWLPRTAVFVLVDLMVVMVGRPKGIFKECGNRIKFGIQLIHDELSKLGIMDGVTEANLEHSTIWTAGIYLMLLLQFLENKVAVELTRSEFVEAQEALAQMKKLFTRFPTILQGCESTIEMLRGQYAHSVGCFDEAAFHFLKAIELTDSKSMQSMCQVYAAVSYICKGDAESSSQALELVGPAYRTMDSFVGVREKTCIIFVYGLLLMRQQNPQEARNRLASGLRIAHQQLGNIQLVSQYLTILGTLALQLHDAGQAREILKSSLTLAKTLYDVPTQMWVLSVFTELYREVEERGNEIENSEYEKKKEDDLQRRLSEAHSHSFHQELVEKSRIQIQPLHNLSNMQPEMAGPRANANFDIPESVGLYAPQPSSVRRLIEPGSVRRATRRGQS >Et_1A_006833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2794093:2797550:-1 gene:Et_1A_006833 transcript:Et_1A_006833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSKTKPGGEGSWWVPMGRAMAAPQRPRPRTRPQLARINAMRHSYAAEEEIDEIPAAELGAEFASQTSFRIRGGRGAEVADLFRKLGLSGPEDFTIPPAVYAAAMAHIPNSARRRALSLELAAGSEGPPPPELPDTPGRDVVVATRLEAAGVGEEAVLATETVQIQPQVIEISARTSKSVRTKSGSRVVQLDTAQTSRREVAAEVKEEATADDEKGKSDEAKVGRLRVERTTAVAVETARETTGGAVVQVAAESTSRDTEYFFSPSPHRRFKRTITSWLKGQHLGSGSFGSVYEAISDDGFFFAVKEVSLMDQGLNAKQRIVQLEHEIALLSRLEHENIVQYFGTDKGDGKLYIFLELVTQGSLAALYQKYHLQDSQVSAYTRQILNGLHYLHQRNVLHRDIKCANILVDASGLVKLADFGLAKEMSILSQAKSSKGTIYWMAPEVAKAKPHGPPADIWSLGCTVLEMLTGKVPYPNMEWTHALLKIGRGIPPEIPSTLSEDARDFIERCVQKNPNDRPSAAQLLEHPFVQRPLQH >Et_5A_042690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25893185:25894090:1 gene:Et_5A_042690 transcript:Et_5A_042690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRDMLSSLGARPGPATFQDSKNRWRNGYQPYPFPLGAPVRYSVTASATSPSMARRPFQSSALAVMMPPLLPSTLSPWSSGTSDATDSTATM >Et_7A_052674.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:11930324:11931313:1 gene:Et_7A_052674 transcript:Et_7A_052674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIVASSASLAVIGLLAAVCATAASAAEPLPPLLSADFHQASCPQLPGIVRAAVADALRRDAQVTAGLLRIFFHDCLPQGCDGSIFLDPERRSGPNGSLQPAAEQVVEGIRAKAHAACGGPVVSCADILALATRDAVALAGGPSYGLLLGRQDSLRPASSAQIGVLPGPSTPVDALLSVFSGRGLADPADLVALSGGHTVGRAGCGFIRANDDFTRMLARQCSLTADGKQNLDVATPVVFDNAYYVNLMNRQGVLASDQGLADHPRTRSIVNAFARNQTAFFDQFARSMNKMSNIRGAAGEIRRDSCFRPNARIAADDDEGIVLAASA >Et_3A_023835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13114403:13118453:1 gene:Et_3A_023835 transcript:Et_3A_023835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METRGSFGGGDMGPEDAAAARQREWEEAAEAVAYDSCTRRPPIVSVCGPGNSGKSAFSRLLLNTLLQRYKKVGYLDIDVGQPEFTPPGCYFFGDVCAKRNPTLLLTYIFGLYNYFLKEFYCFSDFNDPEKSAIPLVINTSGWVKGTGLHVLSEMLKIVSPTHVIRVSTTAEAKNLPGGVFWMDEYVEDPEVNIVEIRAAQNSPRHLLVKKEARIIRDLRLIAYFRQCLPRDFPIVHSDDLVEGFSSVQPFQLLLSKIQIIDQHCQVSGTDLYRFLNGTIVGLAASASSPLSTECSTPCCIGLGFIKAIDVSEGCIYLITPVSHKIMEVVDIIFKAILQFLAASWR >Et_3B_030097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30423628:30425379:-1 gene:Et_3B_030097 transcript:Et_3B_030097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLAVALPTLLSRLRGCTSASHALQCHALLLTSGHLAASPLRLSNLLLLGLASVPARDHVDAVFARLPEAAARHPFPWNTLIRVHASGNPRRALLYFARMRQCGVAPDAYTYPAALKACSCRVGFLVHAEAVTRGLDGDLFTANALISFYCRIGDCRSARKVFDETSGGVRDLVTWNSMIAGYVACGEMELAQNLFDKMPQKDAMLSCGVKPDKVTAVGAVTACAQLGALEQGRWLHSYLAKKKVLFDVVVQTALIDMYMKCGRLDLAMSIFESMAEKSVVTWNVMMVGLGTHGYGLDAVRLFHRMEAEGAPMDDLSVLAVLTSCTHTGLVSEGLEIFHRMKKDLGINPKVEHYGALVDLLGRAGRLDQARHAIETMPMEATPELWGSLLAACRSHRCVELAELSVERLADLGADDSGVYVLLSNIYADEGMWNDVLRIRKFMSAEGMKKDIGRSVIEVDGEIHEFVNGDSSHLYTDAIYSMLWNLSNMASSI >Et_8A_057937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9590713:9596153:-1 gene:Et_8A_057937 transcript:Et_8A_057937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSIATYRESLSRLADEVDDAAADEVPAPPTPARGGDGAPTPPSSGRRRRYSRPGSDTAEPDEVSKLKEEIQKLQASEAEIKALSFNYAAILKEKEEQLGKLREENGSLKRNLESCKAVSANFNGTLERSPSRGQKNAVQENSLNTTKQNGYVGSSNGIQPNGVHATGYHKGNVLEEDRAYFATKQATLENEIKQLKQQLSQHSEKETEMIRRLEDENKRNEVLQQQLNELRVNNDRISTSTEELHKELSEKKSELKRVQDELSKKDKEHVSDRSLQSLRSMVMALQKENSELKLEKVRLEEDLKSMTRTSQKSVDSTSIINEISDAEKVKEEIATLERALQEASRERDKAVQDLGRLKQHLLDKDLEDQEKMDEDSKLIEDLRAICEQQRTHIIQLERALKNEMEKQEESKKIINQEHQRSNEQIEDLKYKLGSCMSALESKNVELLNLQTALGQYYAESEAKDRLGGDLAVAREELTKLSDSLKVANETIEIARREKEAIATKLSQAERMLADGKRSMQKLEDDNSRLRRALEQSMTTVNRMSLDSDNSVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSEEDKQRIGLAQSGAGKGVVRGVLGLPGRLVGGIVGGSSPSKSTQASQDSQSFADLWVDFLLKETEEREKQEREKREASEAARQSQEESHTTASSSNSSSVHPAQNPANLAPDPSTRPHLFGRPDSEFSTVPLASSTYSSVQAPFSRPPPR >Et_1A_004560.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:17686406:17686663:-1 gene:Et_1A_004560 transcript:Et_1A_004560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPFPRDTILRAYISPDANYYLVPPTDDSEPGEVHVHVVRIELWDITRVVVHIKPGKPNLENDMAYDKWCFRLSKKYIPSMIEW >Et_2B_019585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10404828:10409119:1 gene:Et_2B_019585 transcript:Et_2B_019585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISQTEVNLLRLLDSAPRQKNEAKLIHYVTTSRELLEKLAAENPSEAISCVLKAKLNDYSDKIKELAARLASEMPDHASKVQDTIEEKNTAEVEKVVSLIDLSSGLRRRFMDGKTICENKRDVGAPIRLDEAARAYNAKHRKLQEDLTDEMILDSTERAVEHSLAGTGRANAHTVEVYSVASRTPCFQWLLLFVMTCMFLMVVLLIRSVFPSKYQKFAK >Et_8B_059300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16311566:16316130:-1 gene:Et_8B_059300 transcript:Et_8B_059300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPCDLRLLLLAAAAAFIYIQERSENQCTSQLKSLIDQVSMQQEKIVALEEIKIRQDEERAQLKILIQDLESMEPLPSYRIDGTNGAVKRKALDYKQITYMQHIDLEPVRTERPGELTAYYKIAKHYKWALDQLFVKHKFARVIILEDDMEIAPDFFDYFEAAAKLLDNDKTIMAVSSWNDNGQKQFVNDPKALYRSDFFPGLGWMLTKSTWIELSPKLKEVHGNRQFIRPEVCRTYNFGEHGSSMGQFFKQYLEPIKLNDVHIDWNSEDLSYLGEDKFLTKFGKEVASATPLHGPDAVLKAHNIGTDVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYNGSRRRIFLVGPESLGQLGL >Et_9A_062153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20018428:20050421:-1 gene:Et_9A_062153 transcript:Et_9A_062153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLLTLLLLICSSSLLAAPANAQHDIYYQCVGDTNYTIGSASQANLDALFSSLPAAAAASWGFAKNVTGAAPDQAYGLAQCRRDVSAPVCRKCVDNLAQQLRSGCLGLKSAIIVTEDCMLRHSNVSFFGEVDLGFLFYYKAPLNATEPELFTTRLDALMSNLKTKASYGNPRMFAAGVTEVVPLVKIYGVTQCTGDLGHDDCYSCLDRAAAYIQSYWLMRQGGQSALWSCFVRFEAALFYNVDSAAAGAGSRVDQQEPALRPRKHRTALYVSVPLAVTLLVMLFVAVYIRKKHRKLRKQMHIASDSKYGNSASGMLQNGQNIAVKRLSTASQQGQAEMRNEVVLVTKLQHKNLVRLLGYCIEQHEMLLVYEFLSNKSLDKILYGPARQLELSWVQRYKIIEGTGRGLMYLHEDSRLKIIHRDLKPGNILLDADMNPKISDFGLARLLNIDSSVKNTRHNAGTYGYMAPEYAMQGIVSAKSDVFSYGVLVLEIITRRQPYEDLVWRHWRQGNVTQLIDDCPADEHGKQVMLRCIHIGLLCVQDDAQLRPRMAAVVHMLKSRPMTLASPTEPLFEVPGERPMQPSAGDDMSGLLTLLLLLLCSLILTAPANAGPTFTDCPSNTNYTLGSAFQANLDALLSSLPAAAAASSGFAKNVTGATPNQAYGLAQCRADVDASACRRCLDAAAQDMATECPGQKSAMLGYDDCLMRHSNASFFGPADTSVVKYWWNPQAQNATRPERFASTLSALMANLTAKAAFASPRMFAAGSVALTPFVNIYGMAQCTRDRPADDCNRCLATAVAAIPNCCDGKQGGQVIFRSCSIRFEEYPFYNASAAEAAMMTPSPAPAPVAGPINGGNHSVPGSAGSNRTVRTALLVATPVTVTLLVMLVGAVCLCKRNRKAHKHVHIASVKQGEDEEMRSSEFLMYDLSTLRDATDNFSEENKLGEGGFGPVYKGVLQDRQEIAVKRLSTTSQQGQMEMKNEVVLVAKLQHKNLVRVLGCCIQEHERLLVYEFLINNSLDKILFDSARRHELSWEQRYKIIEGIGRGLLYLHEDSRLTIIHRDLKASNILLDKEMNPKISDFGLAKLFDVDSSVGNTSRIAGTYGYMAPEYALRGIFSAKSDVFSYGVLVLEIVTGRRNTFKQASEPSEDLLTFELLDGCPAGGRQPQEVLRCVHVGLLCVQEDPLLRPGMAAIVIMLNSRSITLPTPTAPAFVDVTAVDARRRSTDREGLMPGNMSGLLTLLLLLCFRIVTAPANIVPRFTYCPSNTNYTRGSAFQTNLDALLSSLPAAASSGFAENVTGAAPDQAFGLAQCRADVNASACRACLDAIVQDMANLCSAGQKSAMLAYDDCLLRHSNASFFGAVDTSMWTYWRSPQSATQSTQFTSTLAALMGNLTAKAYASPRKFAAGSVSLTPFVNIYGLTQCTRDLSPDDCNNCLVTAVAAIPKCCDGQQGGRVIYRTCSIRFEVYPFYNASAAEAAMSLAPAPAGEPINGSDHSVPGSTVDTWRQSTFCKAPSLLNQTFSAMASFLLTFLLLCSLALAAPANAIDDPYYFYTDCPSNMNYTRGSAFQANLDKLLSSLPGAAAASSGFAENVTGASPDQAYGLAQCRADLNASDCLACLGAAAKDVASKCPGQKSAMVIYESCLLRHSNESFFGDADTSVVAWLANHLNATQPARFSSMLGALMGNLTAKAAYASPRMFAVGSAALTPFESIYGRAQCTRDLDPDYCNRCLVSAVSYIPTCCIDKLGARINARKCSIRFEEYPFYNVSAAEAAMSPAPAMRGGPINGSDHSVPGSTGSKHTIKTALLVSIPIAVTLLVFLLVALYLCKRNRKPHKHEQIASVGHEEDDEMWSSESLLYDLSTLRAATDSFSGENKLGEGGFGPVYKGILQDGQEIAVKRLSATSQQGQVEMKNEVFLVAKLQHKNLVRILGCCIQEHERLLVYEFLSNNSLDKILFDPARQQELSWGQRHKIIEGIGRGLLYLHEDSRLTIIHRDLKASNILLDKDMNPKISDFGLAKLFSVDTSVANTSHIAGTYGYMAPEYALHGIFSAKSDVFSYGVLVLEIVTGRRNAYTQVSESSEDLLTFVWRRWSRGSVQELLDCCPTDGRQPQEILRCFHVGLLCVQEDPLLRPGMAAVLIMLNSRSITLPAPTAPAFAIPGREVTASMNTDREDPRAAAREHSFNGASISDLEPPMGSLLTLLFLCSLILTAPANAGQNFIECPSNTNYTRGSAFQANLDALLSSIPGAAAASSSSGFAENVTGASPNQAYGLAQCRADVNASDCRACLDASVQQMATWCPGQKSAMLIYDDETCLLRHANASFFGAGDTSVLWYLANPQNASQPAQFTSALGALMKNLTATAAYSSPQRFAAGSAALAPFENIYGMAQCTRDLSPDDCNRCLVSAVATIPTCCNGKLGGRVLYRTCSIRFEVYMFYNARAALSPAPSPGGGPINGSDHSVPPSTGRKHTVKTALVVSIPVAVTLLVLLLVALYLCKRNRKQHKHAQMSSVGHEEAEKMRSSESLLYDLNTLRAATDNFSDENKLGQDPARQHELSWEQRHKIVEGIGRGLLYLHEDSRLKIIHRDLKASNILLDKDMNPKISDFGLAKLFNVDSSVGNTNRIAGTYGYMAPEYSLHGIFSAKSDVFSYGVLVLEIVTCRRNYTQASVPSEDLLTFVWRCWSRGRVQELLDGCPAGGRHPQEVLRYVHVGLLCVQEDPQLRPRMAAVVIMLNSRSITLPAPTAPAFVDVTAVHARGRSTHRKGRKASAREQSINEAPISDLEPR >Et_1A_004879.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:13910258:13911922:1 gene:Et_1A_004879 transcript:Et_1A_004879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSVELEPETIPQQEVKLSRDGVECDDGVVGDVQAELPALLGDVELVDPGRRHHLEAPDELPDDEREDGQREDDPGAAAAAHAEGQEPEVHLPAADGGVDGLLLPHEPLGPELLGPVPQRRVVGEPPRVDHHLGPLGDRVPAELGLLEVHVRDEQRDRGVQPQRLLDHGLEVGQLVEVGLRDGLVGGEGVADLGADLPLHGRVVGDLGEDPLDGPERGLDGRHVDVLHDVDDVLRRDLAVLLRLQDVVDRAPELLVVLGCCCGHDLAPLLDVVVVEEGEPPGLQVLAFVEVVPQERAQDGDEVAVVVLEALREAAALGVELGGLDEHGVVHGLEPRVEHHPVHDVVQHQLQPAAHHDARGLSGRIRIEVGDDGVHLGLPHGAVPLHDARREEGQRHDAAHAAPVLAVLGEHHVGAVGGEDVEDDVPRARAELDALRVEHLGGELRVRDHHQVAHPEAEAEHAAVDGREARQEPVVQVVAHLQPVAEHRHGRRAGRRFAGGAAFLAAGRREDDDGEDGRRKEEDQRGVLGLEEGGFGEPVRGQGGRRGERHPGQ >Et_5A_040828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13122372:13124295:1 gene:Et_5A_040828 transcript:Et_5A_040828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEAMNPAGGGGGGDNYPQVAAAEEAAQDAMAQGTGQEFVGEQANQDGVDGVGGAGDKDDGACRDLVLVEDPEAVAVEDPEEAAATAALQEEMKALVASIPDGAGAAFTAMQLQELEQQSRVYQYMAARVPVPTHLVFPIWKSVTGASSEGAQKYPTLMGLATLCLDFGKNPEPEPGRCRRTDGKKWRCWRSTIPNEKYCERHMHRGRKRPVQLVVEDDEPDSASGSKATPGKAIEGAKKTDDKSTSNKKLAVAAPAAVQST >Et_4A_033676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25765832:25769958:-1 gene:Et_4A_033676 transcript:Et_4A_033676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGYDCSPSSAAKDRRAVLSELLGHIHGHYKAVLNRLPAEELPTLISRLLETGVCFGLLDPVSNIIANTICSHEALPEPDAAAQCKEEDPEESRSSGRGTKRKASSAAAAAAAGEAQRTRDGAMSEITTDASSISILPPRLNERGVSNRRTVAQRSIEGLVTFLICYFRSLPITEALQYLVTAKADLLAAVQLIERTRPMGGRLCLISSQTTEIALRCAAVSASHPEPAVLASRSLLLASHFEQLSQILTIGGGCLPPDAINRLRKLPDEPLEQPADAPKPVRHALLRLNGYIRGTCKLNKCPFASTETLRTLILEKIHLFYLKAMTQLPKDALRRHHHHGLLKAGLCFGPSNPVSNVILNTIWYDTAFPPLVSLSFTHSLFPALTEHEAMLHLFCSNANLQEVVFRAMQDDSISGSYEDAYRAAAEAAFHPHPEAQAEFAVSSCPTLLPTLESSEEVGRALTSDELELISRYFSNKSITARSVLSVIELVPSAEKIVKKNQERFTENEYFIRRKVKAALKRYATEKGTQYELHVICGTNFEVPENGKHGYFNNHNGYPYIHVNFLATPKGSQPDNTASKPFFLECSNTEEDMDIPSWFCTLIELPTDSGRCFHCEYKGNKILMELRGRETDFEELASGERRAGYEGLTLFDTLRIDFVGVFSGHENDMVREEEEAREECFRQDIAVEDADDTDTEEEQTFWFDMGSCCVVLMGAALLGACNNLTEFEECVPKPLAMQQQTHRAETVLTRVRAAARSLFQIEEEAAQRPLNVEPVNLENLEKSKQDQRRMVSGFVHGDCGTHCIVMPDMRSSTQQ >Et_8B_059749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2307829:2312811:-1 gene:Et_8B_059749 transcript:Et_8B_059749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVADAPRRAKSPSPPPAGGDRKRGRSSPVLPPPPPGPPPPEQHNKRYRREGGGFDRRRLGGGGGGFEHDDRRDHGGMGRRGDDDRGHGRYMNRAPDWSDSGRGGWNEGPGNRRYACKSGLFHELEDDISPVEAQSRYEEYKSEYIRTQKKAYFDLHKNEDWLKNKYHPTNLESVIERRNELARTTASEFFQDLQNGSLDTGPGLTGSVVSKSGNNSSLTATDADENGKKGKLGKGPDDLYYAAPKAHPVSSEARRIRVDTEQAQALICKLDAEKGIENNVLSGTDNDKSEPPIVIIRGSSTVKGLEGVELLDTLVTYLWRIHGVDYYGMSETNEPKGLRHVRADARTYNGASSNATEWENKLDSFWRDRIEGQDPLETLKAKDKIDAAASEVLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVQKHLKLKHTDVVVELTSKVREDVYFENYMNDPKAPGGTPIMQQSAPRGKGRQRPPIESRLRDERGRRFDRNADSPPHDGPGENPDDPIFDSYGDPMMHGAFPPDIPAPPVLMPVPGAGPLGPFIPAPPEVAMRMMRDQGGPPPFEPTVAPHPRKAGRGGIPPMGGPSPIFTAPPVPHDPRRIRSYQDLDAPEDEVTVMDYRSL >Et_7B_053977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13970588:13971203:-1 gene:Et_7B_053977 transcript:Et_7B_053977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLIGSQGEFKLLKASSQIIISTEERLVLEKKLKKRSALPIQDPGLVGRKLLRMEYPDLAAEQS >Et_4B_036706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10847928:10850541:-1 gene:Et_4B_036706 transcript:Et_4B_036706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVPVHCLEDNYAYLIVDESTKKAAAVDPVEPEKVLKVASEVGAYIDCVLTTHHHWDHAGGNEKMRLQVPGIKVFGGSLDNVKGCTDQVENGTKLSLGKDIEILCLHTPCHTKGHISYYVTSKEKEDPAVFTGDTLFIAGCGRFFEGTAEQMYQSLIVTLGSLPKSTRVYCGHEYTVKNLKFVLTLEPENEKVKQKFEWAQKQREANQPTIPSTIGDEFETNTFMRVDLPEIQAKLGTKSPVEAMREVRKTKDNWKG >Et_4A_035751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5081955:5087366:1 gene:Et_4A_035751 transcript:Et_4A_035751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLLLPLPFASKEMEVGSGEPSSLDTSGHDRPQIRLAEEVQARLLEGVAVVLLSKRQLRLHRAHVDALAQLVLADQLEPLQTVPVRRDEKISEHGIILVLVRLRQQRVGVRAAEQGLERPRLDAVHAHHSGVRVGGGVAPRGRAAQELGADDRRPGPEHAPVRGEPLAADLEHHVGAPPALQEVAEVLVQVGRRNSVPGRRRLHAEPVEDADVAPDRESVILVAVATHLRQQDLPFGEIRVAPTSVAGEIVHQLRLGKHLSLPVDEEECSRIHQEAEPELRRHRLGDVLVECEEERNVSLAAGVNAVGVVLDQPAVSDHGEGAGLEDAPGSGGRGDGKRAGVDAELVAPALFVRDDGGGGAIAAAGRGRHGERNTRVSPAARRGVITGCRLGCWVEWSE >Et_3B_029070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21917198:21924167:1 gene:Et_3B_029070 transcript:Et_3B_029070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIKLTPEEPELPVGTPPRPQLPPSVAGAGGSGGLEMASDDERSVAADSWSVRSEYGSTLDDDQRYADAAEVLGAAATSANFPSAASDYWMIKILVTLKDQCLVSRAIGMLLIQKILQIFRNMGTLEKYDVMDTVAAWTKNLCNIIPGGISPCNDNIKSEVDENVFSNYPVLDIGTGNGLLLQALAKLGFTDLTGTDYSEGAIELARQLAARDGFSAIKFLVDDILETKLDRKFKIITDKGTLDAIGLHPDGRAKRITYWESVSNLVEPGGIVVITSCNNTKDEVLQEVEDFSKRKFVKGDMDEGVGNVSQIFRYIDHVRTYPTIMFGGVEGSQVCTVAFQRGQQPLRRLRRGVTVWDERRKLTYGNYTAIYDAFRVEILSVKAWRAISRICSLIVPYNEKN >Et_10B_003804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6322773:6323446:1 gene:Et_10B_003804 transcript:Et_10B_003804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEWPLELSLATLGAQGSSSSAGEGTSFRPAPRRRGRPSATALYAELRALLPNIDPSRRLNQEEIVDAAVAQVKVLEDTAAVLEAYRGVRAPPRPEVAVAGAAVCFSARLPGPAPGALRRVLEAFARRGVEVLAVTLARHGGGAGVADAVVTVTAAAAPPEVLEMIRADIACIH >Et_3A_024775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24061774:24064131:-1 gene:Et_3A_024775 transcript:Et_3A_024775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMASLSAAAHHHLAAGHFSTPREGGRTGRSGFTICMRAQRKTSTGDSGSGSGGGDGRGSSGRRVWRRRKLTKDDDMLRYKLDRIPFLEEKVRKVRENGKIVCLDINQLMLSQENRFAFTMEVAEEANAYLEKNRHEYGLKKPILHVLSDRMNEAGFSRPEGYLYPYPIKPVTALSFSTSMGWPVFGQKNLFR >Et_4B_038148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26726180:26729094:-1 gene:Et_4B_038148 transcript:Et_4B_038148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAKWLKSLVGLRKAERQHHQKQNREEDADVGRIEQDELHKPDAMYQFQHHHDDGNLVTQEGFPIKSCPSEGDSNTPSCLEPTCSSVHVPLPRTEEELKEIWAATVIQTAFRAFLARRARRALKGLVKLQALVRGHIVRKQAAITLRCMQALVRVQARIRARRVRVALENQTDQQNTLDEQTNESHVRKIEDGWCDSMGSVEDIQAKLLKRHEAAAKRERAMAYALTHQWQARSRQQSSITAFEPDKNSWGWNWLERWMAVRPWESRFLGTCAADGIAMGSEARNADRNAISTLYRKPVKRHISAPHSNAMNKNACSSYSEGGGSLSNWSSGLVSAKSGLKLLPREGSDEAESRSCGRGIRSSSNPKERIGHWDCQVNKRFSLPAGDVEAGQRLTAKATVKVAKDPHTMDSKHHLAGSIKQLPNRVERQTLEAI >Et_6A_047917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23978575:23979993:-1 gene:Et_6A_047917 transcript:Et_6A_047917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMLIAASMLAISESPRWLAMRGRDSEAHAVLARTSDTCSEAGDRLEKIRCAVAAQVGGAGVWRELAGPSSPAVRRILINVLALFAFQQASGIVLYSPLVFKQAGISSDRAALAATIAKGVVKTLAILVTTFLSDRVGRWPLLLATARTTHTKD >Et_4B_037385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19218780:19222284:1 gene:Et_4B_037385 transcript:Et_4B_037385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNNFFSMLRIASRSGLIGGRRCYSAAAKGGPSIAGVGDIIAVASGKGGVGKSTTAVNVAVALAKEFKLKVGLLDADIYGPSIPTMMNLHAKPEVSEDMKMIPVENHGVRCMSMGFLVDKDAPIVWRGPMVMSALEKMTRGVVWGNLDILIVDMPPGTGDAQLSMSQRLRLSGALIVSTPQDIALIDARRGANMFRKVQVPILGLVENMSCFKCPKCGEESFIFGEGGARRTAEEMDMKILGEIPLEINIRTGSDEGKPIVISSPDSASAQAYIHVAEKVTQRLKELAEERLTDPEILL >Et_3B_029949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29306253:29307882:-1 gene:Et_3B_029949 transcript:Et_3B_029949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSAPGTSFKPSSPLSSTRLEEEQPKRKFRASSSSAAIELPASIDQEKRKKMSGQDLHLPPGFRFHPTDEELVMHYLCRRCTGAPIAVPIIAEIDLYKFDPWQLPRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPVGSPKPVAIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRSARRKNSLRLDDWVLCRIYNKKGGLEKPPVADRKPVMFGTNNAAAHSPPEQKPFVGYADLAAYYDRPSDSMPRLHADSSCSEQVLSPEQLDREVQSQPKISEWERTFASDPVNPAGSMLDAVNPAGAMGGDPLLQDILMYWGKPF >Et_3A_026274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6231237:6233178:1 gene:Et_3A_026274 transcript:Et_3A_026274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAEDMYTQDGTVDMKGNPAVKKGTGNWRACPYILANECCERLAYYGMSTNLVNYMKTRLGQESAIAANNVTNWSGTCYITPLIGAFFADAYMGRYWTIASFMIIYICGLALLTMASSVKGLVPTSCTDGVCHPTESQNAVVFVALYLIALGTGGIKPCVSSFGADQFDENDAAEKKSKSSFFNWFYFSINIGALVASSVLVYVQTHVGWGWGFGIPAVVMAVAVVSFFFGTPLYRHQKPGGSPITRVAQVLVASARKWNVAVPADGSALHETPDSESGIEGSRKLEHTTQFRFLDKAAVVTAEDKTASSADPWRLCTVTQVEELKSVVRLLPIWASGIMFATVYGQMSTMFVLQGNTLDAHMGPHFSIPSASLSIFDTLSVIVWVPVYDQLIVPAVRSVTGRPRGFTQLQRMGIGLVISIFSMLAAGTLEVVRLRTIARHGLYRDKDIVPISIFWQVPQYFIIGAAEIFTFVGQLEFFYDQAPDAMRSMCSALSLTTVALGSYLSTVLVTVVTRITTRHGKLGWIPDNLNVGHLDYFFWLLAVLSLLNFLVYLVIASWYKYKKTADNPDAKGEH >Et_1A_008498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8160949:8163088:-1 gene:Et_1A_008498 transcript:Et_1A_008498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGTLEVLLVGAKGLENTDYLCNMDPYAILKCRSQEQRSSIAAGKGSNPEWNENFVFTVSDRTTDLLIKLMDSDTGTADDFVGEATIPLEAVYAERSIPPALYNVVKGEKYCGEIKVGLTFTPEDVRQRGLPEDFGGWKQSH >Et_3B_030928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:967221:969311:1 gene:Et_3B_030928 transcript:Et_3B_030928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEEVEAVLETIWDLHDKVSDAIHSLSRTHFLRAVRRRAAGDKPAAGLVYVKGRGFAAGDGDEAADLAALAEEARSLHAIRAALEDLEDQFECFLAVQSQQQAELDIALSRLEQSRIMLAIRLKEHHGENHEVINEASNFVHDVYQDIWPSLSVNKPDKSADSSSNVAKVSNFFGRMLRWMATREKCPAVGNYSYRRVTKNYSSPLGTSPPSSSQGHLDVSLARG >Et_7B_053789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12232578:12235070:1 gene:Et_7B_053789 transcript:Et_7B_053789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVCCPRRGPPVPPAGRTRRPHPARATAPKCGASPLPPLLTRRTVSAASLLLAALPLPVLLPQLVPIASATEVAAEVQEGGGGAAVLELERYTDQEQGFTLLKPTTWPKVEKAGATALFQQEGKGSNNIGVVVNPVRLNSLPEFGTPQFVADRLLQAEKKKESTKSAEEISVGERSGHDGLKVYEIEYILDSTRGGMKRIFTAAFVASKKLYLLNIAHSDSQEKPLDSQTRTVLEQTGLSFSAKQDIRLGI >Et_4B_037082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14403184:14409842:-1 gene:Et_4B_037082 transcript:Et_4B_037082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQASASSAGEGKRRQQQPPRPALSLPPRSAIESLFAAGAGSAAAAAGAETSPGPLTLAAAFFPEAQSPAFHGSFTQLLVGAIGSPAASAAGGGGGGPSPPSPFALPPGLSPTALLGSPGLFSPTGSFEMSHQQALAQVTAQAVHSPYNMVNQTDYSLPFSSTTTTSQNVSSSANVASTSTKVIPIQPSDTGNSNIQSNEISQPQTSALTADKPADDGYNWRKYGQKAVKGGEYPRSYYKCTHSSCPVKKKVERSADGQITQIIYRGQHNHHRPSKRRSKDGGELLNEGDDFRENEDATTRSEPGSDDHSGKVEASNDGPAGPSMSRRGEGGDHLSGSSDSEDEGDDESRASNGDAGPANANKRHVPTPAQRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYQGCDVKKHIERSSQDPKAVITTYEGKHSHDVPAARNSSHAAANVNASSSSSVAHRGQNSVSSRRRSDLQNASSASSVLLKEENEIT >Et_5B_044503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:317764:322510:1 gene:Et_5B_044503 transcript:Et_5B_044503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVLAAGCAAGSLSSSAQEDGDAGCECRLPPKEIQEIIDVPPNPSHYISPRRERIMFLKRRAMPPLSELAKPDKILAGIRIDPISNKRSRMSFYSGISIHLFMDDGSLGPEKVVNGYNDNAKINFVGWSPDGQHVAFTVCYDDEVDSGSNLALWVADVESGQARPIFESADIILNALFELYVWVNESTLLVCTIPSSRGNPPMKPLVPFSPRIRSNEQKNTVQMRATKEMLKDMHEEELFDYYAISQLVMVSLDGTVKPFALPAVYTSLDPSPDEKYVMLTSVHRPYSSIVSYKMFPKKVELWTANGIFVREICNLPLAEDIPVAANSVPQDGGDANVEASPRDIVYTELAKPSNGEKPQALIKLDFRYRKTYWCRGLFALVYEYWYKTHRTRTWVISPDCKNLTPQLLFERSSEDAYTNPGSPMMCRTPAGTLVIAKVTRNCEGNYILMNGRGATPKGSTPFLDLFNVNAGEKERIWESDKEKYYESIVALMAYHPECEVQLDQLKLLVSKESRRETTQYYLKIWPHKKQVQITNYPHPYPQLALLQKEIIRYQREDGVKLTATLYLPPGYNASKNGPLPCLIWSYPGEFKSKEAAGQVRRSPNKFARVGNNFPLLWLARGYVEQLVASVEAAVNEIVRRGVAHPDKIAMGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQSSQFYDALKGHGVPCRLVILPFERHQYVARESIMHIIWETDRWLQKYCVNNSGNVNSPTDVALDYEPSTFINISSS >Et_7A_050933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12619241:12624841:1 gene:Et_7A_050933 transcript:Et_7A_050933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDINPGPSSRHGPLDASPPMARPVAAEHDLPSTSGKLGYFYLRLVDPAPALLVLRSDRLYSLSVSRRRGHRLRLLLYCPRRRRAQGLLLSTSGCVLRLTHRSIGSGGARVNGRPLRAGTPAELAVGDEVSLLRCGARYVFVVESFVSCGEYEKVATGVARSRAEGLVLRAESLRKRLRAISESEDPLSFFRDSHFSGNGSAAAGIKEFRQDVDAELCPNHAISPDPEVNFSREDCSTQQDKLEHPVDVVKGDNVELLQESKGCSNGSVEQNKFTNYHNESCYSDGSKFFLNRLVGFGPDMVAEQDSGVTLPQLLHPVESLVRVFIATFTSDISWFLDYCKIPLHLPVTIACHNKERCWSASRDSRMAVPFESHPNVLLVYPRFPEEIAFGKDRKKQGVACHHPKLIVLHREDSIRIIVTSANLVPRQWHLITNTVWWQDFPLRTFLDYSALFVAADKSESDFAAQLASFIASLVHEVPSQAYWINEIAKYDFEGAGGYLIASVPGLYAKHILRTRSARNMFLGSVQTSVVGISRRFHIPSDAGSQLKALSAYLRKCHVNMHGTTEVILKRNTNIPADANAVSVHVADLNNFSEQEDSVQLGFLPREVAKWVSPLSDLGLFSFSGFIFPREALEAAFGVTITKVQLLLCVSKGPEFSRISELILDEDFPPLCSLVASLDRCLGLWRLEEWGCWTAKNELKKPSISLLFPTIERVKQGACGIQLSRYLLSLPEKTWQRLRSTGIFHDAMPHPSARIGYPMHVKVARRRFEARHGGRSFGWTYCGSHNFSPAAWGQLLYPPSKANTTGSRTPPCGQKLHICNYELGIILISPPPGMSKHASKRKLGIDDISLPFVVPPPQYKQSDWPATPLAMREAMAEACVLQSNGPVDLSEETDEDIPDEEDDNVVELSDCSQEEKEEEKIYAETLWGQVYSSQGQEKD >Et_1A_009199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3330553:3330866:-1 gene:Et_1A_009199 transcript:Et_1A_009199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPCPSQGIQLFRKQGASGRRGAGRGPHLPDQPPMGSPYRVPSQMAPPQGAAMFYPHQYG >Et_7A_051432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18461605:18472168:1 gene:Et_7A_051432 transcript:Et_7A_051432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSLAVVLRAALSHAPEERNAAEASLNQLQYTPQHLVRLLQIIVDGNCDMAVRQVASIHFKNFVSKNWSPADEEGHKIPESDKSMIRDNILGFVTQLPPLLRAQLGESIKTLILADYPEHWPSLLHWVTHNLESQDHIFGALYVLRILARKYEFKSEEERIPLFQIVEECFPRLLAIFSKLVQIANPPIEVADLIKLTCKIFWSSIYLEIPKQLFDPNVFNAWMVLFLNLLERPVPVEGQPLDPDARKSWGWWKVKKWIAHILNRLYTRFADIKIQKQESKAFAQMFQKTYAGKILGCHLQLLNAIRTGGYLPDRVINLILQYLTNSIPKNSMYQLMQPQMDIILFEIIFPLLCFNDNDQMLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVNELVRKRGKGNLQKFIQFIVSIFMRYNEASIELKPYRQKDGALLAIGTLCDRLKQTDPYKGELERMLVQHVFPEFSSHVGHLRAKAAWVAGQYAHIDFTDQNNFRKAMHCVISGMRDAELPVRVDSVFALHLDEIRPILPQLLDEFFKLMSEVENEDLVFTLETIVDKFGEEMAPYALGLCQSLAAAFWRCMASSEADEEAEDTGALAAVGCLRAISTILESISSLPHLYIQIEPTLLPILRKMLTSDGQDVYEEVLEIVSYMTFYSPTISLDMWSLWPLIMEALNDWAIDFFENILVPLDNYVSRGTDHFLTCKEPDYQHSLWKALSTILTDQNMEDSDVVPAPKLIEVFFQNCKGQVDHWVEPYLRLAIDRLRRTEKPYLKCLLLQVIANALYYNPSLALEKLHALGVATEIFNLWFVMLQQVRKSGQRANFRREYAKKVCCLGLTSLISLPASHIPGDALERIFKSTLELLVAYKDQVAETKRQNEADADELDGFGADEEDDEEVESDKDMGLDDEEADGVNNFDPQKFAEVRGFHHEDSDDDSDDDFSDDEELQTPLDEVDPFIFFVETIQAMQGSDPARFQNLMQTLDFHYQALANGVAQHAEERRIEIAKEKLEKANAQ >Et_10B_003826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6468835:6472963:-1 gene:Et_10B_003826 transcript:Et_10B_003826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGCSVRAIWILTPHDTVAFSRRFAVVEKRWRAAWEAEGGGISGDGKGAGAPLPADYEVAAAFAERRRREGTARGSGIHTSASPEGSDSWVDDPITRHIISLHINKEEGSGFMLWPVVLQKRGGYYVLVLPMVDPQSFRAYENLLKKSDCGSSAKETGNLSSILLNLPCITGAFMVAHVIGDIITGDLAEPEVIVTSGPSVGGLLDSLTGSIGISSRPKPIAAPVAAPTASVSSPVGAAQSESFKGGVRPFDKDVLRNFIIGAMPFGTPQDLNYTNVTSIRTNGASADPLPTDQKQPAWKPYLYKGRQRILFSTLETINAAMYDRDDVPDVLSVSGQITCRAELEGLPDVSLPLTGLKAARIEVSSFHHCVQASEPNDHKQTLVFQPPLGNFVLMHYQAPCNIDPPVKGFYQLSMVSENEGAFLFKLRLMEGYKSPFIMEFCMVTMPFPRRRVASYDGNPSVGTVSMTEHSIEWRIVSSGRGLSGRSIEATFSGTVRFFPRTTQRANSSFRSIPSTAYAEDSDSEQDNLKNGSNLDDYLMEKMNKDLQAVDLEEPLCWQAYNYAKVSFKIVGGTMSGLTIDPKSVNIYPSVKAPAEYSMQASSGDYILWNTLGKCPTAAVPKEF >Et_6A_046848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21424579:21429634:1 gene:Et_6A_046848 transcript:Et_6A_046848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSSRTSWADVADAEPLPPPPAAVSAPAPSPAPAAASNGPTRSSYVPPHLRNRSSSAAAPAPSSAAPPARAAPGLLSRPVGGGSGGSFGGAAAAPAGRPRGGRGWDREPNPFAESDAADAAAAAADPAPFEEHQNTGINFDAYEDIPVETSGREVPPPVSTFAEIDLGAALNDNIRRCKYVRPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGPPAARPQRGMSRTAYPLALILSPTRELSMQIHEEARKFSYQTGVKVVVAYGGAPITQQLRELERGVDILVATPGRLVDLLERARVSLQSIRYLALDEADRMLDMGFEPQVRRIVEQMDMPPPGVRQTMLFSATFPKEIQRMASDFLENYIFLAVGRVGSSTELIVQRIEFVQEADKRSHLMDLLHAQRDTGKQALTLVFVETKRGADSLESWLCMNGFPATSIHGDRNQQEREYALRTFKSGKTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNSNMARPLAELMQESNQEVPAWLSRYAARPSYGGGGGRNRRSGGGSRFGGRDFRNDSSSFGKGGARGGGDYYGGGSSGGYGGSSSYGGGGYAYKKDDTEGGVGRHIRKYTKLGVRT >Et_6B_049618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7345893:7346419:1 gene:Et_6B_049618 transcript:Et_6B_049618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTCIAPERILVQPPCHCETHGWTARVRLQPEGLPQESSQHRSPNEEQTFAMASPRRAISPAPSPEYTPASPEYTPSQAAPPEFLLQGTIAARRGAPPFYMSTGGGSSSTGIAARAPPGFEEPALAPLPLLLWPRCSLVAPTRLRQPTAAPGCAAT >Et_7A_051420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18244320:18250373:1 gene:Et_7A_051420 transcript:Et_7A_051420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LIPPKFIERYIPKEHLNNHMATILGPLGKVYTIQLKMDWLDLFFTGGWSKFVASHGIKEADFLWLRYEGNMSFTVKAFGPDGCRRECKHMDIRVQEFKNLSTCICLSYQTSTSPEAGKQKEATSACIRKDKRKNKASLNRFSSYKIGPPSWIRKQINFNALEKELSLAPAFCGAIGLQEPCTITLKTSMDIAKSWLVHGFQSKKGTYLLVKGWKSFCQENSLKEGDICTFNVIKTTLWHVVITRCKEQMNQLCYVSQVVLMHFYLLSCNEETTSASSKKRKIMYDRSGGKGPKRPNGSITSLKKASSKKGGVFEIGPPAWIKKEIKTSTFQNCLYLSQVFCEAIGIREACTITLKTSMSSTSSWQVRVLPYDYCSHHIVGAGWTRFCHENGIKVGDVCTFNIVQTTLWHVHLRVLLPFSRSSLVREMFSRLRHAPKEAEPTDLARLQRIPDELAGEIGGGEALVFVPSGGKRFWPVEVGQDDDGAFLGRGWRAFADACGVGGGWLLVLRHRGRGVLTVKAFDDSGCVRKLGAPVPPPAEETVSSKARFKPQFIRVFPQDSMEKMLLPAKFVQHYILKEHLNNCMAFIFVPLGKICQIELKMNQSDMFFAGGWSQFLSFHNITGPNTLLLRYEGSMVFTVKVFEPNGCLRGPKHKDIRMQQSEQKINDFRIYI >Et_4A_035863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7537250:7538588:1 gene:Et_4A_035863 transcript:Et_4A_035863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAARQRRGRIRGRNEWRAPGTQHNRAPPRHPRPSYLAASEATRPPSLAPPLSLCFSAWARGSPEHRGRLLPVPCQLNYLSFVCTPGGRSALLKWSVLLSAKDRLKVEELVVKLKCWFQSFQSQLVVARNESSEDNFM >Et_3B_031721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:896872:898201:1 gene:Et_3B_031721 transcript:Et_3B_031721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLKYLRGGAVAGNQRAPVVATTIAASVSEDGGGGGGGGGEVDDDASFFDLEFAVPGDESAASDAEEERVEFNFAVAGDDVASGGAEVVAVDAAAAGGHSGDSNKEKEKDGAEEEVEAAPPPASSLVRPATKFRVLLLKLRKPKGAVAVTADGNGGAPAAKTTTSRFLIKFRVDDAPLVSLFTRDNSSRTSDAGGDRPAAVQAAQLPQDGASAAAITAEERRFAKEVVLKYLNKIKPLYVKVSRRYGERLRFAGASEGEETDAEPDPAPATPSPAPAAAAAPQPATHHLQPVVVACGVRAPRASVPAGLKQVCKRLGKSRSASSAVAAAPSPPPAPAPATPRRRDDSLLQVQDGIQSAIAHCKRSFNASKGSESPLLRSMTMGGDATRAADTSDGGDGGK >Et_5A_042613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22077597:22085755:-1 gene:Et_5A_042613 transcript:Et_5A_042613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRNPRSSETVVARSKQGSSKEGSSSPTSLAFLWFSTMSWPERRRAAVRRQSAMRSGLVCSVLTKRWRRGASWAASAAVMRWGAGEKLKRSSGRRVHTRRQRRERTVVRPAAAAVSRRSRMRTRVSSGSALSRRARNITGHTAVYKVREESPWGLGIIAGFLRLGHILVVQKLNGWRTDWKTLIRFNNILLVVIIAFLQVP >Et_2B_021876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6377829:6378945:1 gene:Et_2B_021876 transcript:Et_2B_021876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGVKKGPWTAEEDQKLVGFLLTHGHCCWRIVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDEEERLVIDLHAQLGNRWSKIAARLPGRTDNEIKNHWNTHIRKKLLRMGIDPVTHLPLHKAPAPPPPVQEHDPEQRAEPHQQQQQPQEQQQPQNDGDDLLPQVEEAAMEDQDKDVPLLVPPPEFPAPPAAAASSVSSASAGSASVVSPSCSSAASGGVEATEWPEPMYLFGMDGIMDAGWGGLFSGADGISLDPFEPYPGAGGFDQGDDWI >Et_2A_017191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31919507:31920181:1 gene:Et_2A_017191 transcript:Et_2A_017191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGDLEATFETLVDAFVFLDKNKDGYVSKDEMIQAINETIGGERSSGRIGMRRFEEMDWDKNGMVTFKEFLFAFTRWVGIDENEDEDE >Et_2A_015244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:104440:105381:1 gene:Et_2A_015244 transcript:Et_2A_015244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVGAGTPAPPLVRAPPVVVSLRLPPSSFFFSLPLRGRGRIAAAAKQNHQGRRRWWSDSDQEESSYDDEEYDDFFPGGSLGGELFDEPWFSKVFKTYGYLLPVMLASLLVATGPRAFLMAMAIPLGQSAISFLLDAIWGRNDRRRQTPFEEEEEEEDEDNPKYSSDFASASGRDRSSNNYYGRRRQSYQSWVSDDFVADADDPSSNDRVGQKTSGFGGWDELLDKDDDAAQARNKASSSRPTTDGAPLSRKRARMSASTKYKQAPMLMRLLVAVFPFLGSWFRLL >Et_4B_037948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25006342:25009740:1 gene:Et_4B_037948 transcript:Et_4B_037948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAPAPTAADRILAYCLFAVMADTTDLLKKLSLDNKKEGAVTDAAKKSSGMPYGSANASDTASQVDRSITPLLQEAMDPNFFYQPNGYASPAYYYPSGYDGSANEWDSRYSGHEGMDVTSNVYGDMYHGYGYAPYGPYPSGSPVPSVGHDGQSYGTQQYQYPPQYYQPPTPTNPTHVVNGSNSQSEMPPVTTHQARIVVDTTKMSANGMPNANNSSIPRKQNHLNVSVASNGSYGRGPMQGGGPSGSNYGHNGLRSPVQWYDGPIYSNGHQRPTTSSSSYRSNSSSVKSQSQRPTTTTNLMGMHGPSSGMGLTSPSYPTRMYPDSRLYGHYGQYGNTLKGGLGFGSNVYNSRNNSRWGIVDTKYKPRGRAAFGFGSENQDGFTELNRGPRSGGFKHQKQFGPTVTIAVKGQAIPSLDSGYREAQEKAGDCPVFLFFSVNTSGQFVGVAEMVGPVDFDKTVEYWQQDKWNGCFPLKWHIVKDVPNNILKHITLDNNDNKPVTNSRDTQEVKLEQGLEMLKIFKEHASKTSILDDFGFYENRQKKREQSSNRFKARQGGDVSHEKDKDAANGKPGVQTQALSKEGTLAEAANTKPAAENVVLNGN >Et_10B_003610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3854654:3860766:1 gene:Et_10B_003610 transcript:Et_10B_003610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENESRSLTATKRECRSRAGSTRLSVPTGETNIHDLADDLLELFLLRLSSPISLVRAAAACKLWRRVIAADVFLRRFRSFHGPLVLGHYDAGVVTVFTPMPPSSGEAAAAVNIRDAMSLNFLAGTYKYMSDLFLTDCRDGLLAFDLLDSRVVVCNPWTKQYKVLPCSKRLYCRGAFLTDADETDTVLHMSNFRVIRVGFLRVNGRVAADVSVISASDKRWLSLTRTILDDDIRLDMRSVCVGRVGNSIFLSLESLYVIQVNERTGVLSSFSIPELDKINRNKYSYDRRKLRVVGRDARTLRLVRIVGKDLQVLQLRHGGKCVEEKRFDLSQLCSIEAAPDRSLWRFPELGEAVAHGCVVLSPDDNCPRMFSIDVESMEVVCVEKRFHAWRVFPYELPWPPTIKAALPMENESQSLFATGRECIRAGATNIHDLGDNLLELFLLRLSSPVSLVRAAATCKLWRRVIAGDAFLRRFRSLHAPPVLGHFYAGIASRVFVPAPPPSPGEAAAAADFVRDHMFLGFLDGKASNYEYLSDLYLTDCRNGLLAFVRCDHRVVVCNPWTKQYKVLPCSKPKRLRSHGAFLVDANDETAGCYEWVAADVSVISATDKRWLPLTRTILDDDDMGFEAMCMGLNVSDFCVGRVGGSIFLSLDCLHVIQINERTGVLSCFYFPEPDEIDWDKCRSYDRMKLRVVGRDARTLRLVRVLEKDLQLVDGGKFVEEKRVDLSQLCNIESGQEWSSWHFPELGEAEAPGCVVLSPGENCAWMFSVDVETIEVVSMPKRHFHDRLVFPYELPWPPTIKASF >Et_5A_042020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5322766:5334708:1 gene:Et_5A_042020 transcript:Et_5A_042020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAPPPPPPPPPPSPPPPPPMTHSAPPPPPLPLMRSGPPPPPPPPPMTHSAGPAPPGRGAPPPPPPPPGARAGPPPPPPPPGACAGPPPPPPPPGARAGPPPPPPPPGACPGPPPPPPPPGARTGAPPPPPPPGPRPGAPPPPPPPGRPGAPPPPPPPGLRPGAPPPPPPPGGGGRAPPPPPAPGGRLGGPPPPPPPGGRAPAPPRAPGMPPPPGGNPSLGRGRGAVRPMGSAYGAAASRKSTLKPLHWVKVTRALQGSLWEELQRSDDSQSTSEFDLSELESLFPAAVPKSEDSSKSDSRRKSLGSKPEKVHLIELRRANNTEIMLTKVKMPLSDLVSAALALDQSTLDVDQVENLIKFCPTKEEMELLKNYTGDKENLGKCEQFFLELMKVPRMESKLRVFSFKIQFGSQVADLRKSLNTIDSSCNEIRTSLKLKEIMKKILLLGNTLNQGTARGAAVGFRLDSLLKLTDTRATNNKMTLMHYLCKVLAAKSPQLLNFYVDLVSLDAASKIQLKMLAEEMQAVSKGLEKVQLEYDASERDGPVSEIFREKLKEFTDNAGADVQSLSLLFSEVGKKADALIKYFGEDPVRCPFEQVISTLLTFVTTFRKAHEENLKHAELEKKRAEKEAVAEKSKSTHLTSKNDSKASNPSRQAKQSLERTKSTSRRSRDEVMLCFVFWAKYAFARSNVMDSWSNRPQNFL >Et_1B_014315.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5863191:5864204:1 gene:Et_1B_014315 transcript:Et_1B_014315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTALMDDEDTTASAIAKYEALASSLPRADGVRGAASYYKDGGFWYPSHLLAPMLASRDTFAARPSDVILATMPKAGTTWLKALAFAVAHRGHHAPDDPRHPLLGSSPHDLVPFLHSLYERHRSVPPAKLLDAMPAPRVLAVHSPFSALPPSVVDSGCRVVYLCRDPKDVVVSLWHYLSKISPADCSVGPISKVVDRCCNGVAPLGPMWDHMAEYWKASVERPEEVMFLRYEDLKEDTVGSVRRLAKFLGCPFTDEEVARGVPEAVVALCSIERMKNVEVNRDGVHGIGWSVFKNSAFFRKGEVGDWKEHMTLEMARRLDSVMEEKLRGSGLSLIRN >Et_7A_052149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5083672:5087004:1 gene:Et_7A_052149 transcript:Et_7A_052149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPFASLSPAADHRPSSILPFCRASPLSAVGEDAQHHQQQHAMSGRWAASPRPAPPFTPAQYEELEQQALIYKYLLAGVPVPQDLLLPIRRGFVYHQPTLGYGPYFGKKVDPEPGRCRRTDGKKWRCSKEAAPDSKYCERHMHRGRNRSRKPVEAQLVAAPLSQPPTAPANLAGGFQNHSLYPAIAGGGGRGGGATGTFGLGSTAQLHMDNAAPYATAVGGGSKNLRYSTYGMRSLAAEHSQLISGAMDTSVDNSWRLLPSQTSTFQVSSYPLYGTLSGLDESTICSLPKTEREPVSFFGSDFATANAAKQENQTLRPFFDEWPKSRDSWSELADDNSLSSFSATQLSISIPMGTSDFSNTSSRSPHGIQSR >Et_5A_040619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10361443:10365798:-1 gene:Et_5A_040619 transcript:Et_5A_040619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPSNSGAPAPVAPKPTVVLVPVWGVGHFVPMLEAGKRLLARSGGTLTITVLVMPAPTATRAAEIAEHVRQEEAAGGGGGLDIRFQHLPAVQHPAEATYSGIEEFISRYVQMYVPHVRTAIAGLTCPVAAVVVDIFCTTLFDAAHELGVPAYVYMISSAAMCALLLRSPSLNEEVAVEFEELEGGVDVPGLPPVPPSCLPTGMENRKIPAYEWLVYNGRRYMEAKGIVVNTVAELEPSVLAAIAEGRCTRGVRAPTVYTIGPVLPLTSATAELQGGRHECVRWLDSQPPGSVVFLCFGGGGFFTAPQAHEIARALEQSGHRFLWVLRGAPKEGTKLPTDGNLAELLPPGFLERTKERGLVWPTRAPQKEILGHEALGGFVTHCGWNSILEGMWFGVPMVPWPASAEQHYNAFTLVANMGIAVALKVDRKKDNFVEAAELERAVSLLMDGEEGKRVRKKAMEMKVASRQALEDGGSSYTSLQRLSEALHDGAVLPKTRDQVSSTRTSSIDRSGSTMAKPTVVLVPVWGFGHFVPMLEVGKRLLARCGRRLTVTVIIMPSPTPHVASVIDEHVRQVEAADADIRSLYVPAVDPPTDHSGVEEFISRYVRLYAPHVKTAVSGLTCPVAGVVFDIFCTTLLDATQELGVPAYVYIISSAAFAALMLRSPGLDEEVAVGEFEEEMEGGVDVPGLPPVPPSCLPSGLENRKIPTYNWFVYNGRRYMETSGIIVNTVAELEPRVLAAIADGRCTRGVRAPTVYTIGPVIPFTPPTAAAEEEEHECVRWLDAQPPASVLFLCFGSSVFFTAPQAHEVAHALERSGHRFLWVLRGPPAPGTKTSTDGNLAELLPPGFLEKTKGRGLVWAKRAPQKQILAHAAVGGFVTHCGWNSILESLWFGVPMLPWPFGAEQHYNAFLLVAEMGAAVAMKVDRKRNNFVEADELERAAKALMDGSEVARKVRDRAMEMKAACRAATEDGGSSCVSLQRLCEALINGAVPTKNCLHPSSRAKFKTIRCELIK >Et_4B_038858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5420469:5429022:1 gene:Et_4B_038858 transcript:Et_4B_038858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLRYREAAGVLDRYRHVGLSAGKLRFVDMYRNRDARGAFKVTVWTLVDPDSTEWAMEHEAGFRDIWADQSYKAAGLPVKIPVLALIHPRNPAVVYFFLDEYLFSVDLVACKVLECKVYELVSLAGDAVSTRFVHAWELPRALSSVHDLTFVPGFFVLDAASASALALPEPVLISDQFHLGLLLDPRGGGHYMVAELQPIGGADYGFLFCFASDVGEWVRKKVPFPYPRRWFPRGVLSHYGRLWWVDLSFGIITCDPFADEPALAFVPLPPGLVLHHKVDEFVLDKYRDMRVSAGKLRFVDMYRNRNRRGAIKVSVWTLSDPDSTEWALELEASFPDIWSDQSYKATGLPKMIPALALIHPENPAVVYFFLADNLFAVDLRARKVVECEAYELVATPKDVVSSRFVHPLKLPRALCSESDRMASASPSWVILDVVPSVSAAAAEGQAGDDISLALATPPRVTKLTVGPRVFPADPDTQAGVPFPVVLASDPSGLLLTIAPPSMSEREPEEPRVWRAPDGTERTNSPSLGVIAAPAGGGAYMVVELQTMVGGRDAELICFSSETGEWVEKEVANPLPRWIWTFYDVVSHDGKLWWVDRAAGLLACDPFADEPDMMYVPLPEGDVKPHRGCNYCSERMAASRRFVKLSNGEFRCVEMGCARDGGAPTLTMRTLVDPKTAEWTLEYQVSFAEIWAGESYKAAGLPEKAPVVALIHPKNPDVVYFFLEENIFGVDMPARKVVECAAHELDVASSKGGASSSCILAWELPQALTAGTLQPAFSPVLLHFLVILCACDMKLKFSRGGPNNGVKEELHAEKSS >Et_10A_000613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13744370:13754141:-1 gene:Et_10A_000613 transcript:Et_10A_000613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRPLDPSLAVAASASPRRGLALSAYGGGSAGALRRRGAVAAPGRRVLSCRAGSAASAAERTKEKSRMDYSKQGIVQLRVCLEHQVKFGEHVGIIGSTKEIGSWKNQIEMEWTPNGWVCQLEVPGETHLEFKFVIFSEGVKEKIWEDGDNRVVDLPKDGTFDIVCQWNRTNEPLDLSGTPKVKFAGEAAKKTSEDATVSGNIFSEEIGNVSVAADGPTPESESSKFGGLWQGSEAVFMRSNEHRSKESDRMWDTSGLDALALKLVEGDKASRNWWRKLELVRGLVSESGDDQSRLEALTYSAIYLKWIYTGQIPCFEDGGHHRPNKHAEISRQIFREIEKIYSGKNTSAQEMLVIRKIHPCLPSFKSEFTASVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRNAGPEDLVATEAMLARITKTPGEYSEAFVEQFKIFYGELKDFFNAGSLLEQVESIKESLSESGLEVLSSFVKAKKSLDQLEVAKHLDKNGSVKVLIETLQALSSLRSFLSKGLESGLRNDASDTAIAMRQKWRLCEIGLEDYSFVLLSRYINALEALGGSDSLAQGGASNGSIWDDALDALIIGIDQVSFSGWKAEECIAIGNELRFWKHKGNEDEKDVWVLRLKATLERARRLTEEYSEALLSIFPEKVEALGKSLGIPENSVSKLCTVLLKASRAVLGSSVWDVLVPGVVHGALIQVERIAPGLVPSSIKEPVVLVVNKADGDEEVKAAGDNIVGVILLQELPHLSHLGVRARQEKVVFVTCEDDDTIADVKLLEGKHVRLGASSNNVDLSVVSDKYDSAISLEQSSGGNLFAQELPKEFSLPLATELDVSKTKNYSSGVSGMSGVLELSEASVESSGAKAAACGTLSVLASLSNKVYSDHGIPASFGVPAGAVIPFGSMEDALKNSGSLDSYTSLLERIETAKIENGELDSLSSELQAIVSLLSPSEEIIESLKNIFDPNARLIVRSSANVEDLAGMSAAGLYESIPNVSLCEPNAFGAAVGQVWASLYTRRAILSRRAAGVPQRDAKMAILVQEMLQPDLSFVLHTISPTDHDPKVVEAEVAPGLGETLASGTRGTPWRLSCDKFDGRVTTVAFANFSEEMVVLNSGPANGEVVRLTVDYSKKPLSIDATFRKQFGQRLAAIGQFLEQKFGNAQDVEGCLVGKDIFIVQSRPQP >Et_4B_039615.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:2390484:2390702:-1 gene:Et_4B_039615 transcript:Et_4B_039615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLMKDGMEGATRVIPCDDATLKTTYWANVKSKVRSFGEYAVLRTRQGISMFGEPKLESLVKGTDAKDASQ >Et_9B_066014.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:20563927:20565357:1 gene:Et_9B_066014 transcript:Et_9B_066014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDAGVEMRRLRTLGRGASGAVVSLFAAGEELIAVKSAAAAGGAEQLLRREAAVMASLCSPHVLPCLGFRAAAEEYQLILEFAPGGSLADEAERNGGRLEEAAVRAFAADVARGLAYLHGESTVHGDVKPRNVVIGADGRAKLADFGCARRVDGSSISKGQQPIGGTPAFMAPEVARGEEQGPAADVWALGCTVIEMATGRAPWSGVVDDVLAAVRLIRYTDAVPEAPEWMSAEAKDFLGKCLCRDAGKRWTAEQLLEHPFLASAVKAEDVVKGKWVSPKSTLDAALWESDADDEDDDLPESPADRIRSLAGACWALPDWESDDEGWIEVCGSNSEFSSDAAGAGQEEKFPAYCDIFDTAVASSSEEKHGEVPDLPTVAPSAETTHCGNFWGDVSESEAETEAELFGADLDAVDEPGHNVGAADANARQQQQDILENFIIDPAVLHLNVSDEEIIKAHFPGQFASVTLQPPLFAF >Et_9B_064494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15794698:15797478:1 gene:Et_9B_064494 transcript:Et_9B_064494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSSSPPPPAAQAEEAPPPPGDKLAVFWHEGMLAHDAGHGVFDSGRDPGFLDVLDQHPENADRVRNMVSILRRGPIAPFVSWHSGIPAHASELQSFHSAEYIEELVQANAAGAKKLCEGTFLNPGSWGAALLAAGTTLSAMKHILDGHGNIAYALVRPPGHHAQPDRADGYCFLNNAGLAVQLAVDSGRTKVAVVDIDVHYGNGTAEGFYRRDDVLTISLHMRHGSWGPSHPQSGSVDEIGEGKGLGYNLNIPLPNGSGDAGYEYAMNELVVPAIDKFQPQLLVFVIGQDSSAFDPNGRQCLTMDGYRKIGQMMRTMANRHCNGQILIVQEGGYHIGYSAYCLHATLEGVLDLQAPLLDDPIAYYPEDEQYTMKVVDMIKNCWKESVPFLKDI >Et_3A_026692.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:14665497:14665811:1 gene:Et_3A_026692 transcript:Et_3A_026692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQKPSKRARLWTPAKVRAPPPAEEDAATATKRNEEVSDEEVDRFFALLADIREMKELWRRNGCGAPETTKKATRVESAQQLWRPAFVMEDFNFEMKGGDRP >Et_8A_057226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22559585:22560807:1 gene:Et_8A_057226 transcript:Et_8A_057226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFQHLNKLRSLRFGNRIDVEITWFNQLSNILFLSLQGCRLKNLPESICELSSLRYLISRSSVEELPDAFTAYRFLTQDVTKLINLRCLALPAHVSRALSKLKGLGNMSCLQDLKYFRVGKDNGRRIIGLKGMNVLSQTLTILSLENVQSTEEAAEARLFDKQYIKKLILCWEDRNMRGQLRSDQNGAVVVVDGEDLEAVALAAGLGRALRVLVNDAEAAADGDGEGRELGAGHARQGADGGEELSLGVAHEGAAQVVHGDDLVLPPIHLVLEQHELDDVAHLLHSRMAHVDCGGRQGAAIVYRRQICSCAAAGVERRLLVLLRLM >Et_8B_059545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18994943:18998474:1 gene:Et_8B_059545 transcript:Et_8B_059545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMKGVSEGLIIGTTVGVVIGVLLAVGILLCLRYRRSQAQIRSSSSRRASTVPIRTNGVNACTMLSNSTTGQESPRDLEDRAPSLWFEGPGRKSMISASGIPKYAYKELQKATSNFTTMLGQGAFGPVYKADMSSGEILAVKVLASNSKQGEKEFQNEVLLLGRLHHRNLVNLVGYCADKGQHMLFSPLKWDLRVNIALDVARGLEYLHDGAVPPVVHRDIKSPNILLDQSMHARAAINVDGRTGWEEIADSRLEGAFDVEELNDMAAVAYRCVSRVSRKRPAMRDVVQALTRVLKHSRSRKHHRKRHPHARGDDESVDLEASEVQSSLSGLQREESVGSISDLPDV >Et_1A_007575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3629132:3639626:-1 gene:Et_1A_007575 transcript:Et_1A_007575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHAMRLRCLLLHPPLWSNSSSIGISASGIGGGCFIRRFSAVGAPRPHGPARRLYRFYGSKGGVGSAEARGAGAAAGAESSGRCFEKEHARLGERDQQEWLSGERFVTGCKQRESPFLTKRERFRNEFLRRLVPWEKATLSWQNFPYYVNENARKLLSECVTSHLRHTGVTAEYGSRLRSSGGRILLQSLPGTELYRERLVRALAHELRVPLLVLDSSILAPYDYGDDYSESEEEDEHAESEDEGSESEMEDDGDEDWTNNNEKSGESDDEDALKSVEDLKKSVDDLKKLVPCTIEEFAKRIVGTEENTASESSETPDSTEEEKRPFHRGDRVKYVGDSAVSEADQRTLSNGQRGEVYEVNGDQVAVIFDPPAEKLHDGEVDVTSKEESAKPSIYWVDAQDIAHDHDTESEDWHIALEALCEVLPSLQPIIVYFPDSSQWLSRAVPKSDRREFVRWVEEMFDRLNGPVVLICGQNILAAAPKDKQPPSSLKRLVGDIKGQTYSRSSDISKLFTNSLTLPLPEEDEQLRVFNNQIEEDRKIIISRHNLVKLHKVLEEHDLSCMELLHVKSDGVVLTRQISPSIKNDRLIIPLESLDIGIERLKEQVVTTKKSSQNLKVLAKDEYERNFISAVVPPNEIGVKFDDIGALEDVKKTLDELVTLPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRNKILKILLAKEKLESDFKFEELANATEGYSGSDLKNLCIAAAYRPVHELLEEEKKGGTSNESSYLRPLKLDDFIQAKAKVSPSVSYDATSMNELRKWNEQYGEGGSRTKSPFGFGN >Et_1A_006606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25095616:25096732:-1 gene:Et_1A_006606 transcript:Et_1A_006606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFAECPCILQYPKCIRTATSRGSRACSCSTKTGSRDQISRRRVEPPPTKVHLHQIQAASFRRFVPNRTCLLPDRRIDAAAAAAAPVLQPGPANLVLACPFSFENRDKENFKLARYADGAGHGGDQPGAIAACVVSPRWTQETYMASCSSNDTPLSPGTMAELSFPMHHTSNAAADLASPDMGPGRDHK >Et_2B_022417.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2143379:2144551:-1 gene:Et_2B_022417 transcript:Et_2B_022417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRLEDGCVSLQDLMERWIRALKTMVQVLCITNRELRATVPTVVGVRNAIRYFLIDFTAARNKTKREHELLQFMRFAKASILRMLAFVDAVAAAALNDHQATETLPGMLQVYRCVMDDSGAILAMFKEASNTTSMFEDMNCIFLKKRRKLSDAIWGMMEKVRASFLTCDCWQFAREVEDVHKTTRLMMNYIMLLWRNDDALNFILQDHHFSVFVSETEGFSLAVNLIVDTVSCLEQQLVDTSYSISDPGLRCIFLMNNFHFISQKVESLLLPSWTRIGESKIQRYMETYVNLSWGAVMSCLYDDTHYGLRRYSSLARFESEFHKTYAAQKFWKVPNPGLRQRLRKVIIEKVILSYRKYLTERTTRGKSNTSPKNTPIELEELLEELFEG >Et_2B_020786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23675669:23678867:1 gene:Et_2B_020786 transcript:Et_2B_020786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHCKQLLDPEDVDVVPAADRERGITPEEFRLVKIHMSFHIWRLAQQVKVRQRVIATAVTYFRRVYTRKSMTEYDPRLVAPTCLYLASKVEESTVQARLLVFYIKKMCASDEKYRFEIKDILEMEMKLLEALDYYLVVYHPYRPLLQLLQDAGITDLTQFAWGLVNDTYKMDLILIYPPYMIALACIYIASVLKDKDTTSWFEELRVDMNIVKNISMEILDFYDTYKIDPQRGLPEDKISPVMNKLPAKA >Et_6B_048263.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:3258358:3259319:-1 gene:Et_6B_048263 transcript:Et_6B_048263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDDSCRRPGSIPFKWEICPGTPKHVRSSSATIVPSSPSPYSSTSAAKVAVAPKLTPPPSMSPSPYHSPRASSYSARSASMSPSRRRPHRPTAFLDVAPRAAPAHAAAYGAGAEDDEAAAMAAYRCFPLPVFRRRDGKKGGGGSSDRRSGTSSGSSSGSSFRSDGAPAHGGLRRSASSSSSSCLSLSSGSSSKFAEPREVEAATGWFF >Et_4A_032177.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22402673:22402753:1 gene:Et_4A_032177 transcript:Et_4A_032177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLVDLKAKLKRICSVTPEQRRVSK >Et_7A_051044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13920073:13922472:-1 gene:Et_7A_051044 transcript:Et_7A_051044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLVQFGRKAWFIVRVMSGYEERMIRSYRLQLQKRLEMASIFRHDCRFSFLGDLAQARKEELRKQPEQMILSEVRQVVQQMQALNQHLEEAETAVDEYFKPIDKNVKIIMDMQMDKEEKKMKDMVKVMQEQAKFEREIAMRRAEATSVECKNDTKVTEKVAEIPPKQEAAK >Et_7A_053163.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:8671819:8672244:-1 gene:Et_7A_053163 transcript:Et_7A_053163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSVALLLLLAVATASRLSLAAGAGGRADDSSSGGGIPAMVGRELKGLLARADNIFRSSAGWHAATASAADDSAVVESKNLRAVAAGRRRSRRSSCVTAAMCRKKRVICGKRCYGASRASVNHIPSRCVVKCKKCVPTC >Et_1B_010996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16245342:16248206:-1 gene:Et_1B_010996 transcript:Et_1B_010996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSDLSSDQEATGSSSHGGDIASCYALSPFFVAPVATATAPPPLPPPPPTQLVEQPRTAGNKRKRNQPGNPDPEAEVIALSPRTLVTTNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRAPPSSVAGGRHQGDAVAQPPPRKRVYVCPEPTCVHHDPARALGDLTGIKKHFSRKHGEKRWRCERCGKRYAVHSDWKAHVKGCGTREYRCDCGILFSRKDSLLTHRAFCDALAEESARLLAAAHNSSTITNINDSSSNNNLLITNSSTPLFLPFSHPPPATHQNPNSLFFMHQQEAPLLQQIQHSTYLDLTVDATVSTVTGDSGADTISFGLTPDDSVALHAGGGNRRLTRDFLGVDDGEVEELQLPLCTTAAAAVPRAASCATDLTRQYFVERLPPVNDTWSHNF >Et_6A_046770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20399944:20405276:1 gene:Et_6A_046770 transcript:Et_6A_046770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGVELARRRRVHYHGDAAPGEHHHVGHAHGHHHRGGGAAGGVTGPALTARIRLEEKLRGAGPPSPSRWSRLMGERDGRATSRSRNRQEQQQQEQEILSTSTELWPSPNSVTVAAASTSRAELRRTLSKVDLCAVCLDEASSADLCAVCLEELRGEAEEEAALPCSHAACVRPWLAAHRACPCCRAAVPLES >Et_5B_044823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6255689:6262998:1 gene:Et_5B_044823 transcript:Et_5B_044823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLALSAKLITYGQAKKDCPPRSGSSSHPMCRRVRDRRACSTTRTAPSCGGCGTKRRAASNARAPSRRAKRRRTEHDPEARHESVFITWGAKRARSALPLLPAQPPRLESDPVPPGLWRDWTDLGAGPAGLIAERLLADDVAAYTSFRAACRPWRLCCPTDPRAHGVLDRRFHPRHWIMLRETCRAPPYWRRFMNINTGRCRDLRIPLLRGHDAFPTTEGLLVLLDRATFVVRLLNPFTRHAAELPPATTLLTQRELEGCTPVITLLLVSGAGLADASTVAVHFGSIETVAVAKPGDARWTVGKLMLVDRRTRFVPGLSITYTVYRVDLDAMKVAPVRRLGGRAVFIGLELAVAVSPSVFPSISADAVYLGFDELLTGWLDNSPIHLMDGTSEPRDFDDDTVSYGPLGVDDHLSWFVAGYQDDR >Et_6B_050182.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:9460661:9461851:1 gene:Et_6B_050182 transcript:Et_6B_050182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSKKMKLAEAAAVVGLLVLIVVIWLPSSSEAAAQELSMYEWVTQQPPATGDGCAEKKDSALSVAERIHVNNIIDPSGAHGSSYKTIGESVANIPDGNTKRYVLTLRSGVVYREKVLIGKSKPFVTIRSEDPYSPAVIVWNDTAATLGKDGKPLGVDGSSTVTVESDYFVAYGVVFRNDAPPSSKAPAWSKNGEVPALRLLGTKATIYNCTVEGGQGALYDQKGLHYFKSCTIKGTVDFIFGLAKSLYHDCNIVAAVDTTAPADLLPVAPQPKGSALMIKVAPGSETGFAFKTCIFQGQRIYLGRGDVPFVYSYSKMDKMIVPIILSADQDNAHVPDNGFFYALFKCHQPEIQPRLNMGEDIMTYAQAKPFLGTQFISGDSWIPSLPPPEEADR >Et_2B_022360.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19028902:19030620:-1 gene:Et_2B_022360 transcript:Et_2B_022360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSHTAKGEKVSDYLQDIQGGRLIQSYGCLLALDETSKVIAYSSNAPYMLTTDASQPSITGTHVRSLFAEPGASELEKALGTGKVSVLNLIMVHTKITNKAFYAFLHSTASCVVIDFEPVVPVELLAMASTYTDMQPFSLALNASSKLQSLCGASIKELCNIVSQELLKLTGYGRVMVNRFHEDGHGDIIAEATSPGLEPYLGMHYPASDIPQASRSLFRMNRVRMVCDSHAKLVQIVTDGKTSFDISLSSSLLRAPHSCHLQYMKNMKTTASLTMAVIVTDNKNDEADCEVEIEELTKKNQDNKRLWGLIICHNETPRYAPFSLRCACEFLVQMFAVHVRKELDSKKQRQEKKTLKVLSALSGVLLREASSPGSIITGSPNIMDLVKCDGAAILHGDKVWRLHVAPTEVEILMIINWLLDIHRDSGVMSTESLYDAGYPRALVLDHHAVICGMAAAIVNPNYIVLWFRSDNTAMPTATWGGAKYGHSDEDKTEGMGPRSSFTPLLFFEIEKMTKSSPWKDYEMEGIRTLRLVLKETQSKLEKEKEEKPRTSSLTLATSAKTESSTSDNS >Et_3A_026779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19987053:19990300:1 gene:Et_3A_026779 transcript:Et_3A_026779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWNKSPSDDGAGTAVSVDVAGAGASDDTGLARLRELGYKQELKRDLSVLSNFAFSFSIISVLTGVTTLYNTGLTFGGPATITFGWFVAGAFTMTVGLSMAEICSSFPTSGGLYYWSARLSGKRWAPFASWLTGWFNIVGQCLFEPLTEQLLCVVQWAVTTSVDFSLAQLIQVIILLSTGGNNGGGYVASKYVVIAFHAAILLSHAVINSLSITWLSFLGQFAAAWNMLGVFVLMIAVPTVATERASAKFVFTHFNTENNAGIHSNFYIFVLGLLMSQYTLTGYDASAHMTEETKNADRNGPIGIISAIGISILVGWGYILGITFAVKDVPYLLSPDNDAGGYAIAEVFYLAFKSRYGNGVGGIICLGIVAVAIYFCGMSSVTSNSRMAYAFSRDGAMPFSSVWHKVNKQEVPINAVWLSAFIAFCMALTSLGSLVAFQAMVSIATIGLYISYALPILFRVTLARKHFVPGPFNLGRYGVLVGAVAVLWVATITVLFSLPVTYPVTKDTLNYTPVAVGGLFFLVLSYWLLSARHWFKGPVTNLDG >Et_7A_052187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5458577:5461476:1 gene:Et_7A_052187 transcript:Et_7A_052187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFLRRAAPAASSSRAAGLRQFQCAYHRSSGKLSPTRDQEVSYGLNWAIAGRGVVVKDKVFHNLEPSELQKVGATYPECLSRIPLHVRGNVISGVPDVSKAQFAKLLKLVTFHLSSISSLYVQDGAIGSSTQCDAKVRVISDNPSAAMLLSNVLWKISDRAISHDTSPLTIYAASSISSNVKTVLGSGTQYTNGFAAADIERSSLILCGKAYADSTVVKDALTALTAPIFSARGGLPVPGWLLYFGGCAVLLFAPMEIIRSCSEIRNVLLSIDCGVVISSEESTVLFPIKARQEPKLFSKASSVIILSSDSTDAIPSVSKLSPGQAAYHFLAGYHDGRFVPAYSRAPSPADPLALASSLFSHLKEDLPAYLINAKRSGKYIEGKEFIKLFELALSGSLPDIKTEDIRVGELKGKYRSFLSSKFGKRLPEEFSF >Et_6A_046872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21874259:21875415:-1 gene:Et_6A_046872 transcript:Et_6A_046872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVASSPISEVPTARRVLAHVPSHSDCVSPTYPRENGFLNRPHKPVCQFYMKTRDCKFGGVCKFHHPKDQPVPVSNFALSSIKRPLRPGEPICTFYSRYGMFKECHLIIPLLFAIQRENVFLNSPDKTECQFYMKTEYYKFVQSNCHYAQESPYGLSILAKECSSLVQNENSIIQCKPSCTDLLHHQPVRSQLLDVCWHMYHRIRILFRPHIWPSDSNPSRTLITFGRECHLIIPLLFEVQRENVFLNRRDKAECQFYMKTRDCKFGGLCKFHHPKDRAVPVSNFALSSVELPIRPREPICTFYSRYGMFKYGAK >Et_4B_038408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28799554:28803546:-1 gene:Et_4B_038408 transcript:Et_4B_038408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAKPAEVELIPTLSSLEPVYGKGSQLDEARLRFARLGDRFEAVYGARPALFARSPGRVNLIGEHIDYEGYSVLPMAIRQDMIVAIRKADGGQVRVANVEDKYPVCVYPADPDKEIDIKTHKWGHYFMCGYKGVYEYCKSKGIDLGEPVGLDVVVDGTVPQGSGLSSSAAFVCSATIAIMGVLEKNFPKKEVAHFTCLSERHIGTQSGGMDQAISIMAKSGFAELIDFNPIHATDVQLPPGGTFVIAHSLAESKKAETAATNYNNRVVECRLAAIVLAIKLGMDTKKAISSVTTLSDVEGLCVSFAGNEGSSDPGVAVKKLLHEEPYTAEEIEKITGESLTSVFQNSPTSLDVLKAAKHYKLFQRATHVYSEARRVYAFRDTVSSKLSEEEMLKKLGDLMNESHYSCSVLYECSCPELEELVKVCRDNGALGARLTGAGWGGCAVALVKEPIVPQFILNLKEMYYKSRIDRGVISQNDLGLYVFASKPSSGAAIFKL >Et_1A_004583.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:20825210:20825617:1 gene:Et_1A_004583 transcript:Et_1A_004583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAVAAGPAYDEHFQDLVDWVEDRKATSSAEQQYYVETATLGLGSPAVCVTAFGLVPFDTDFGFGVPPSRSGCWTPRRPAGCAQGRGSSVPSCGRSSRPMSRASSSPSRRSSSDCCIRRDRSAGFDTDLSSAG >Et_3A_024199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18332305:18332899:1 gene:Et_3A_024199 transcript:Et_3A_024199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTTNPANVLCARALDTFNNLLNEVMQGQILIDKYVLVSPGANADRTVDESGGRKILKEETIRGLLNNPPPRPPLNCIVSHHMSKYYSPLAVIGATLLISKIHMQSYGYYLSYFWANDRRTRDALGIKKVSLPCCDFELELSLGSSTCQETM >Et_9B_064997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20308569:20312499:1 gene:Et_9B_064997 transcript:Et_9B_064997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CFAGARCGLVVTAPCLVPSSSGPTKTKGAAEELMRAIAKTLCQLASQACEERKIVRACEDGAGSRYDKKEEIQILLTKNVTLESPQHKNYLWMARWTRANSSAEPQNDSNFNRLEDLTNGTSTNDNGVQPSEFMKPTVAEKIMVGVNRGSASVQHSRQFSSSMRGLVHDICKGLGPKSNEHGGESFVRCMKQKDVHLQGRAVVSETYSVRKLSGLPLDFQNLGSSDNLSSDWSHFPMFEINRKIDNILNPKRRSSLGPASHVMTLSSQEYMMHSHRLSDENMDIHKPSGGIVSHLEGPVGLGSDPSGHKLKGHLSDTMSCSCSKDNNSSDCQIDEQHTSHYVANLQHQLPCASSGKMFHFAGNNKDQIAASAFNYQKLRTSAVYKQQDAAGDIFCAPVLDTEFQKEPTDCSYNSKQGGETFHGTFKYHGKAVSSLPCEQQHLKTQRKESSQKSAGSCKRKGPCLYEMLTTVSQSKSACPKNSASSGNGFDVCMYGTNIGSRLFGTQNQSSARTETWYSDTLIVSKSSAGIASSPAQKDNAYPNEAKAERLTTPPARRDSDSKENESHIANEHHDVSSKATIASKQSCIPATGTTNLDLMLFQMSRMKNPISVGIVQPPLGAEPSDRWLKRLHLDVLGPEIPTSKRPKVGDSPPCEETNDRALRCNRRDNEETINSIKEDKISGEGIKLQEDQRTYVPSKSMNYWIGRWCEGGTPVFHGDPDQRRQATKPGQASEELGGQFPSIAAMAMMGRAMNKLRPCEHQKKGPLVVWKTE >Et_4A_035540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28250426:28250931:-1 gene:Et_4A_035540 transcript:Et_4A_035540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAKCRRGFKSDDGKTSEPLPPLITHSRSIETLARSNVKTLVRCAVTSKAVRHIILGPDFRRRLALRAEANGSYDPRSSSASPPTNKAAAVVDDRVAKTSRPLRFDAILLLSYDPVASRDGLVVLQQRQTRRSHKALPTPELRVCYSLTSETHGPLRNDYPPT >Et_4A_033328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21550180:21550613:1 gene:Et_4A_033328 transcript:Et_4A_033328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ENTLIACVGKNLGYCAGNPVAAYTIYKCLLHWKSFQRDKTGIFDRLIQLIGSEIETIIAL >Et_3B_029771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27866050:27867319:1 gene:Et_3B_029771 transcript:Et_3B_029771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTTDLTGDGGLLKTVITKAKADAASPSDSLPLVDVHYEGTLAESGEIFDTTHEDYSVFSFEIGEGTVIKAWDIVVRTMKVGEVAKVTCKPEYAYSAAGSPPEIPPDATLIFEVELVACRPRKGSSLESVSEEKARLEELKQQRELAAAAKEEGKRKREESKAAAAARVQAKLEAKKGKGKKAK >Et_3B_029079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2213797:2218915:1 gene:Et_3B_029079 transcript:Et_3B_029079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRRRSLPFPPILLLLPVPIFLLLVLHRSSHPTTPLLPTDRSGSDHAPDPRRFSLLIKLLAYDRPDALRRCLRSLAAADYGGDRVALHVLLDHRPPNASSAGDASTLSTSHEILAFVDAFPWPHGEKRVHYRAANAGLQAQWIEAWWPGSDDEFAFVVEDDLEVSPLYYRFLKRVVMKYYYDRENFSPYVFGASLQRPRFVAGKHGNKIQLDSKTRVFLYQMVGTWGQLLFPKPWKEFRLWYDEHKAKGIKPILQGMKSTGWYKKMGERIWTPWFIKFVHSRGYFNIYTNFLKERALSVSHRDAGVNYGKSAGPDSTLLDGKNLDFNIWDLQPLKKLKWYDFCFKEVLPGRLVRKFDEVRSVLESVQVKSTVVLVSLYSVEQSFARNLICHLDKAGMQNYIFLGDNSEFLEDLAHRGHPVIDAIEFFQSIKTSRFTGSDDIVKETLVTSYVIKSCLDLGYNLWVLNGNMVSLGRKLMEPSDQSIEFFTAEYVGLMFIRSSVASKKAWNELVMSRLKAMCTSGDFSASLKQKSFVHLLSGVLENSAGVRLGKLNEGLRVIELGPNTSKGSISEGQNNVLFWPDSMASDSVQRQLENLNLWLIDSDSSCSAVVCHQ >Et_4B_037488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20301591:20303016:1 gene:Et_4B_037488 transcript:Et_4B_037488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKRRTAIEVKQPAEGAALPLPYDLFVDDVLTRLPPRDLAVARGVRRSWRAAIDGSRLLRADLLPLSVGGIFIDFNDHRFPEYFSNPSTSPTIPSMLDYLLDSIKTTRISITGHCNGLLLLDGDYVVNPAKRWWARVPPHLHTLEEHFNYWNYLVFDPTLSSHYEVFSVPHLYGDGVDEDELDPTIEEFDEWPPSSCILQVFSSTTNGWEGRSLIRDGEAAGTVSNMRKDVVQPDRYVYYKGVLYVQCQTNFVMRISLSDSKYQAIKPPPGIEDPHLGKSKHGIYFASLGYPDDGCRLRIWVLNESAGQAEWMLKCHADLTCVLHQNFSILPPGSWVLQDVNYNLQRDLFSNDKNEEHTEEKEIWDFENDKSYDDWGSFMFLGFHPFEEVVFLSQSLNWGLAYDLNSLKAEYLGYLYPTKYGYFAEVSKEIMASYPYTPCWM >Et_3A_026623.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:10848130:10849137:-1 gene:Et_3A_026623 transcript:Et_3A_026623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPVAEADERSPFGRLTPEEFYARHGVAHSTSSFVNPRGLRIFTQRWIPLTTPVLGAVAVVHGFTGESSWMVQLTAVHLSASGFAVAALDHQGHGFSEGLQGHIPDITPVLDDCDAAFASFRADYPPPLPCFLYGESLGGAIALLLHLRGKDSGTNPWRDGAVLNGAMCGVSPRFKPPWPLEHLLGAAAAVAPTWRVAFTRGNIPERSFKVEWKRRLALASPRRTTAPPRAATALELLRVCRELQARFEEVDLPLLLVHGGDDTVCDPACVEELHRRAGSADKTLRVYPGMWHQIIGEPEENVEKVFDEIVAWLKDRAAKANASANAGEQQQQQ >Et_1B_012940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4229547:4232124:1 gene:Et_1B_012940 transcript:Et_1B_012940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATPSKRAKTAAFAAGTPHKLRKAAVADQILTPEKPAHGLAAATAAEQIWTPEKPEQRPTKRGRSVAFSVKGVRRAALGLRRPEKEPAEAAPKEDELESLEKELGVGAGAVRSPVKRKAEVKLPEGYEMLCEFFNCLESSTRLLRMKGSKATFPNLCASIQHLSERRFTYSHLAQLKYIMPESIVINKILLRDETTCCMKPDLQVNLLVGAVESVAKQKGETAYSALRRIFRQRIVDFFRDHPKGDDIPEHELPHPFNGTRLSVPQAAARIVPESASPIESSELNELQPAVMSHMSPSFKKRFSRPSPKASMTSPVAKVESIVPSPLSRNTLSGSNASVSLSIDAKSNAKQVTEDDDKDVSKSSTAEGTPARFVSTPVRLMASTPDLKTSKRPIPATGYDTPPLKMVKRSARAKLFTTPTRGASSMDGASQSASVSSVDSDDESLSFLPQSLLQSVKAKEQRALEEKETGFADQVKRQKLIASLPSTFDVIFLIYQSRQRSVMTKQELVHKIIASSPKIMDKSEVEEQLALLEEFVPDWISEKTARSGDVLCCVDATLSQTYLRQRLYSAK >Et_9A_061230.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:2330681:2330800:-1 gene:Et_9A_061230 transcript:Et_9A_061230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQHHFGAMLGYLMVVLANFSLHYIATSRRSRRQLQMY >Et_9A_061198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21614633:21616162:1 gene:Et_9A_061198 transcript:Et_9A_061198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LISLLPPPVVPAPKVESDSGSDDLFPLDSSDSASSDSEAADAALAEAPPGDGEDLISGLPDVLLTDIIHRLPTKDAARTAALSTNWRRLWAVTPLLVDDAHLGDGGPKDIPIVRAVSRCVAAHPGPIGGVRITRVSFLSHEYALRRLVATLADKDVKDLILFNRPWPLNMPLPDDILRCASLNRLYLGLWHLPKCTVCNKDLDALLAHCPKLEVLSVVMLTNDTSRFRLVSHSLQVAVDWMARFDEFVVQDAPCLKRLFLDPSAVDRKLIRIVGAPKLEVLGFIDLDLHDLEIGGTVIRAEVNVSARAMVPSLKILAVKVRFACNKMLPTLLKCFPHLETLHILSHLKTVVFHGSMSRTHEIECLMYILREGKALKTVGMVWWDEEARNFRASGGTNSQVSFRDFTPCWRFQSAIDSSLDDPFYLVPAEA >Et_6A_047498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6597971:6600476:1 gene:Et_6A_047498 transcript:Et_6A_047498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKECLEHGCMNCISFNRKGTLLAVIVTPAETVVKDIVFSRDGQCLTNSNDGVIISDAITNLQWNASCFSGNGEWIGGGSATEGEHRLQIWDKAGRPVKSLQGPNEALIDLTWHPAEPTIATISVSGIIVYIWAKVYEQNWRAFAPDFVELEENEEYVEQEDEVDLNGKEEKSQEANIDEDSDVDIETYEKNRMFSDLEDSVDEIVYLPSVPSPEALDEQPDKYLEDGATK >Et_2A_018161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15671778:15676370:1 gene:Et_2A_018161 transcript:Et_2A_018161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAALLLSSADAALAVPAGRCRRSSTPARTRFAAPGRLSCGRSVSIRTRAAPAAAPTAGGINGHNNGVYTVSDFMTKREKLHVVKPTTTGALPAPRELQIAHLCCFYAALELLVQHRISGFPVIDDDWKLVGVVSDYDLLALDSLSGGLADTSSTSMFPDVDSTWKTFREIQRLLSKTSGKVIGDVMTTSPIVVRENTNLDAATRLLLETKYSKLPVVDSMGKLVGMITRGTVVRAALQIKKKIEEGNCSDHNTLCFGSMDGFGSGFN >Et_2A_016076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20797729:20806379:-1 gene:Et_2A_016076 transcript:Et_2A_016076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLFMQVFERQDWVAAQVQQQADSFSQTLACTLLAAGHRPPDWLLPSRPGEPQQGAFPSPFASASFPRLGAVGLVRFGNGEGSIGLADWDWFRSGKALELNGKPIVPGLIFSGSQVTTPAANRTFFLPPAIPSTAIRRPEVPIADIQPVNIPSTLVDLDADQHKEPQHEQTSLSKELANTCAEPSMFSRIQCSKSRQRNIEGRSREKDQAANSGSCDGVQGGMKKTKLGAVGSNMTTLSSSSRSCGNVANNAKTSFTCPDQENGFHASQGRSTDFLKCHSDLGSRGKQLDCLPSLASEEKVICSDNNHSIGNLPIVPLPKHTDVNIADTMCHAMPSTHLLVEPKKLQFDGVESACMGTASEQTDQQQESALESDHFGLADRNLLSEGPYPTSSSQEPHYMGRPSLDNLKSDNSKSIDADVKHNHYGLEHSHHDLSNMHSLNEEPSLKCSAEAPGVLGDPLHRKNTQHVPETSPLGRARSTNRQTQEMVMLNSEETNCSQISHSGVNPVLEKDTLQTVEDTKQPQSPNSNVSPVQLPTQLADAKFEANASSGRSMNSLSGEDEHVHLSNLLTNCRNSGYSLGMSSADAPLGHPPPDMHNEMLKGNPASELVNCHSGEWGYDVHVNRAHYSSTGSKKDQSLAPKVISSVSSGRTRKMHETERNMTSSDKCSGSLWQEGILTVPVAYCQMSLTYSYMISNLSGIEQETPVENDVPINAEAGIRENVEHIKSSQHSLQYLLRSSVSYEKNNQLQADGRNGQKRSVADGVQVNGGSSSKRKRVKCQDITLSNSSRTNSLSLNHQDGIGSHVVTAENLSGRSQPSGRNLLRNSGFLKSESKTAATKSKNSVASDALENGDTSPELKKQSHASDVAICNSSKEEVLSPNFNHNNGSRSAVERTDFRNSQPQFQNNFDMSAPSVLPCCSSITPDMEECHAKEENLCLEGQRLSVNISSAEHQDMATQADEMLYYSGTGSLTILPSHTLDQHVKHASDLVASAHKKLSFESGVKHDTGCETEDSVRFLLSDATIPMQKGDESVDCNNEMPEFERFDVSLPSVSPATEKRTFEALCDSRRFGTLSSNISCKVNTVTGMHQIVAPMSKKATSFSFSGDVRQYSMSSDGSIADIFGACGFGISGSFLPSDDAASCSSNDSDKHMSGENPLTPAVEKYSLGKLSTRVGSVSGHMGSIPELSCFRIDEDSGIAEENEYQDVLPESICNKGQSDRTALQDITGLCQNTENSALHSLGFMDTANTDLITETRGSKLSQNSGLRNDHNYKKPKEKSVSLVKREGKMSHSLRNRLSKTEVTDNRNQRNTSDANLGRQSKPSNIVANVPSFIPLVKTKQQSTTACVKKDVRVKALEAAEAAKRLEEKKQNEREMRKAAAKLERERIKQEKESKQKQEQEQKKKRDTDMATRKRQRDEEERREKERKRRCAEEAPKQQKQPIERRHDDDEKDAHPKASDNKELRKNLVEAVKGEVKPGEMIELGNNATTSNTDIVVDERPSSLGSHGMENIPNHLDESYVMTPYKDSDDEDEDEEASRRRKKLKPSWVREENLVNILLSDHHQALDPREIFAQKRSFNLSEALEHLLLHLGNEAGLTVALAPSASGDELGAAALLPEHDEDDLQADEARASRGRLTVDRQVPLRQPRLLSSTSELVAVTRSGRPAIRGTCTVFACSLPCSAAPAVARVSSCHEPSWPRDIATCKNILFRFRKSNICTEEQGAEKKRFAGSMAAWQRRARTGDAWVTGRQGNDSESREHTALAEARRPRWCRVVLSLFCHHSRFHSNIPQARPPRLPLAARKPCTVKQIKEVMTRSQNKPPNRTRKLWKGKELLNFAERSGDQGPVARRRPRASCFHHHMALRCEKCTKGARERQQQQLDKVAIRDTSRRYNSGSPRNASRTWPCARAAAVAISGTGRRDGESRDPGRALLSLARGRGCAEF >Et_7B_054874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5129752:5143575:1 gene:Et_7B_054874 transcript:Et_7B_054874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGTGLTLPSILSLAWFAATVPIVAAALPIPAPAGGRFLRRLLVSFSSRGMTARPSSSSSSKTKFTVPQKFFLHFYVLGVTVTTVLLLVMWFYAYMKMTPLSTEPSSYSAIASHIVGGSNSFSLSNFLSGPKEHKYRVWRTIFALLLMEVQVLRRLYETKHVFNYSPSARLHIAGYLTGFFFYVAAPLSLASSCILEAMQYLQGQVAEFTVKGRARMPDLVIDSSSLLMPLVKLGVVPGSLRKHRDSDEYVIPCGDWFDRVSCPHYLAEIVIYFGMLIASGGSDIPLWFLLIFVITNLSFGAVQTHKWYLQKFEDYPCYRYAIIPFFAPRVAQAAASLAMEIGAGPTLQSLLCLAWLAATFPIVAAALPIPEAAGGRLLHRLLAAFSSRGKTARPSSSSSSSKAKFTVPQKFFLHFYVVGVTVTTVLLLAIWFYAYMKMTPLSTEPSSYSAIASHIVGGSNSFSLSNFLSRPKEHKYRVWRTVFVLLLMEVQVLRRLYETEHVFHYSPSARMHIVGYLTGIFYYVAAPLSLASSCIAEAMQYLQGQLAEFIVKGRARMPDLVIDSSSLLKPLVKLGWCQWIGAAIFIWGSLHQIRCHAILGSMRKHRDSDEYVIPCGDWFDRLSCPHYLAEIVIYFGILIASGGSDVPVWFLLIFVITNLSFAAVQTHKWYLQKFEDYPRYRYAIIPYMC >Et_3A_026181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5123667:5129246:-1 gene:Et_3A_026181 transcript:Et_3A_026181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGLGQLLAALAAALFVRTIAGPGPALLPAAEDTEDQEADEEAGGGGGGVTPVTIRWARISCALKNKRGEVARFLLSNVTGEAKPGRLLALMGPSGSGKTTLLNVLAGQLAASPSLHLSGHLYVNGRPMSKGGYKMAYVRQEDLFFSQLTVRETLSLAAELQLPDTMAPERKDSYVNDLLFRLGLVNCANSIVGDAKVRGISGGEKKRLALACELIASPSVIFADEPTTGLDAFQAEKVMETLRQLAEDGHTVICSIHQPRGSVYGKFDDIVLLSEGEVVYMGPAKEEPLSYFASLGYQCPDHMNPAEFLADLISVDYSSAESVQSSQKRIENLIEAFSNKVPITEVTDSITTPEGSEFSAKLVQKPTIKQRRGWWRQFRLLFKRAWMQAFRDGPTNKVRARMSVASAIIFGSVFWRMGKTQTSIQDRMGLLQVAAINTAMAALTKTVGVFPKERAIVDRERAKGSYALGPYLSSKLLAEIPIGAAFPLIFGSILYPMAKLHPTFSRFAKFCGIVTIESFAASAMGLTVGAMAPTTEAAMALGPSLMTVFIVFGGYYVNPDNTPIIFRWIPRISLIRWAFQGLCINEFKGLQFEQQHTYDIQTGEQALERFSLGGIQIVDTLVAQGRILMFWYWSTYLLLKKNRLKYQPLLPPSEEDQNKQKEASSSPKHLAMIVSRHYGQGNI >Et_3B_028711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18298628:18301438:-1 gene:Et_3B_028711 transcript:Et_3B_028711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRKPPPVAKNLSDGSDDGDDPRPPACRHGKGPPEGYWVPIGWARIAELVATVDGDTAWDGQLGMTPVTGDSPYWCDDDSITVADVAAPYWQRPAGPTWWCHVTAGHPAVDAWLAAARWLHPAVSVALRDETMLISEKMKHLLYEVPVRVSGGLLFELLGQSVGDPARHEDDIPIVLRAWQAQNFLITALHVKGAASNVNVIGVTEVQELLSTCGSVAPKGIHEVIAHLACRLARWDDRLWRKYVFGAADEIELKFVNRRNQEDLNLLCIIFNQDIRRLATQVIRVKWSLHARDEIIFELLKYLGGNTTKSLLQGIKKDTRQMIEEQEAVRGRLFTIQDVMQSTVRAWLQDKSLRITHNLTIFGGCGLILSIITGLFGINVDGIPGAKKTPYAFALFSGLLFLVGFLLIIVGIIYFGLQKPISDEQVQIRKLELQQLVSMFQHEAETHGKVREGVLRSDLPPRAADLIYDKVKKLGSYFSREAKKEPTLQGYHLVSLFTL >Et_6B_049475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5365872:5372829:1 gene:Et_6B_049475 transcript:Et_6B_049475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMSGTKISSCLRRTATYDSHRPRKSLMVTDGKKAKTGKLVMERRICSVIIRRLHAPLDAWAYQDHAYPTIWVASADASGCVRVWGRYGDRALKAEFRPLSGRVDDLRWSPDGLRIVVSGDGIKGKSFVRAFVWDSGSTGGEFDGHSKRVLSCDFKPTRPFRIVTCGEDFLANFYEGPPFKFKHSIRDHSNFINCIRYSPDGSKFITVSSDKRGLIYDGKTGEKIGELSTEGSHTGSIYAVSWSPDSKQVLTVSADKTAKVWDIMEDASGKLNRTLACPGTGGVDDMLFLLVGHSMCFLQAIRTKNFAGHLKTVSSLVFLPQHNPKTILSTSYDGVIIRWIQGVGYGGRLMRKNNTQIKCFAAVEEELVTSGYDNKAKVWVPFQRKYCKIGEAAKNDASPVEGRFSSNLEIGILSGSMLETEGEQYARIATGYELLESMKRNLTHNISLPCQFNAARKVMKRLHMNSLAKQSFSAVMLLEKGTQNREDEHSDHYL >Et_7B_053642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10654297:10656045:1 gene:Et_7B_053642 transcript:Et_7B_053642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLWLCILIGVVSVAVQVLQNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >Et_3B_031605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5909699:5910263:-1 gene:Et_3B_031605 transcript:Et_3B_031605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFARYKLDALIEDDTGTMNVMIFDEPAQELVGVPVEDMDEEIICDKWSLCRDFAIAFDFENGKFVVKHVLSDDMSQLSGSTQVAAAGDSSISSQEKGSSASSANTSSPKVKKENMVMIEDEREPKRLKTADEADQADDTKEGIKKEIEDGQ >Et_3B_028552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16748433:16755465:1 gene:Et_3B_028552 transcript:Et_3B_028552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARLRLALLLSACLCATFAWARPHLEPGIRLPSERAESAGESDDSVGTRWAVLIAGSNGYYNYRHQADVCHAYQIMKKGGLKDENIIVFMYDDIAHSPENPRPGVIINHPKGGDVYAGVPKDYTGREVTVDNFFAVLLGNKTAVRGGSGKVVNSGPNDHIFVFYSDHGGPGVLGMPTYPYLYGDDLVNVLKKKHAAGTYKSLVFYLEACESGSIFEGMLPNDINVYATTASNAEESSWGTYCPGEYPEPPPEYDTCLGDLYSIAWMEDSDIHNLRTESLKQQYKLVKDRTSVHNTFNYGSHVMQYGSLDLGAQHLFTYIGSNPANDNTTFVEDNSLPSFSRAVNQRDADLVYFWQKYRKLAEGSPEKNDARKELLEVMAHRSHVDSSVELIGNLLFGSEDGPKVLKAVRAAGKPLVDDWSCLKSMVRVFESQCGSLAQYGMKHMRSFANICNAGIRPEAVSKVAAQACTSVPSNPWSSIHMEVVLQVEVLLR >Et_5A_041865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3622686:3625724:1 gene:Et_5A_041865 transcript:Et_5A_041865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHAASFVADDVVAAPAQAGRHFSAFPPPKGLDCKKHAAVHMDLAAAGVVKGASWMEPMKASSPRPAADADHGDWMEKHPSALAELQTLLAAANGKQIVMFLDYDGTLSPIVEDPELAVMSEEMREAVRSVAEHFPTAIVSGRCRDKVSSFVRLNELYYAGSHGMDIMGPTVQANAEEAVHYQAGSEFLPQIEEVYQTLRSKMEPIPGALVENNKFCLSVHFRCVREEEWEFVNNEVRSVLKEYPDLKLCHGRKVLEIRPSIRWDKGKALEFLLKSLGYMGRNDVFPIYIGDDRTDEDAFKVLSNMGQGIGILVSKFPKETAASYSLREPAEVKEFLGKLVKHSKGDVAMKMI >Et_9A_063323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:211230:212307:-1 gene:Et_9A_063323 transcript:Et_9A_063323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLSYGGIGGLDKTGDALRRYIKMQMQVEAAHTGAEKKRRHQKQKLSTQDAMFLFSENTSFPLLKSSKLGSGPWSRFHNNPFDPWVSSTTMGGFGSLAPKTRNLVVAGGLSAFVLGVYYYTMRAVGGTDELQVAIDKFEEMKKKDAGNSSSAGSS >Et_8B_059872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3607135:3608252:1 gene:Et_8B_059872 transcript:Et_8B_059872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGKPATESASLLSSESELDRVYQEKIEAEIQCIILTAANQTWATLACDQMVLYEARKSLTEDYKQLGLKLQRTENRVTVLEEMAEKLQAQCKELSSSSEVLQLQSKASRASLFCFIQFLLLCIAIGTYFVRLMAPAAEILLTNDKVQCFLEEEQGRVRWLDGRDKTALD >Et_8B_060190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:699493:705191:-1 gene:Et_8B_060190 transcript:Et_8B_060190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDELTKLGSIYNHIYEITCLPSSQCQQRKAVEEELEQSVALLDLCKAMQESFEELKANVMETQLATKRGDDAAVQANVQAYARLAKKAQKQFKKINSKASSDIGVCKVVKLLSEAREVVVSILKSTLDLLKSQIVMPSASKWSLVSNAFQKKRFVCEEEQLQALELDIADLESRVDTLFRRLIQTRLLQPLSLYKLESQSQKTPLPLQQLAFPSISSEERLHNFHFIPSMACHQRSVSVPSSPRSNETSIEERLHSLKATICSRSATIETMVDGLTKLGSIYSHIDVLTCLPSSQRQQRKVVEEELELSLVLLDLCNAMQETFVELKATIMETQLVLKRGGDAAVQTKVQSYARLAKKMQKQFKKINKAATDTEGCRVVKLLAEARAIAVLMLEASLDILAKKIVMLSSSKWTLVSKAFQKKIVVCEEEQLQALELEILDLEGGVEILFRRMIQSRVSLLNTLSL >Et_3B_031388.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27944123:27944392:1 gene:Et_3B_031388 transcript:Et_3B_031388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIIPFVFKAIAQYKEEGRVSFDGMMISDSDESSAASYVLLPGDSDGGHRETTNHQQLRSGSAAQAEAVATCIARTGPLGCSTLRRGI >Et_1B_013743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1491049:1492366:-1 gene:Et_1B_013743 transcript:Et_1B_013743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEANAAAPTLPLPPPSAPPTVGALLTRASAAAVTNSGGASRVRHCSSPRSLLSRILHRSRSGGFGCRLRLPLPRYCSSGAAKEDTAVAAAAVAKEEEAAPKVVGSRGESESPRSSMSGKEKAPGAADDAGPAASLGLGASLVLLLSKSAAELSRMAELRAQMERLMVDVRADVRSSCNGGRPSASDGRHTDSVVKEPVDVDEASRGGSEDAGHRETMDQMEAELEAELSRLQQMASNDEGSVTPRRDHQGVEIEEAKSGATSGSPLSAIFSDSEIDGDESQQDDEEEDHDDDESDAEGQAKSPPHGGVSARELERRLHELLQSRHETRIAELEAALERARKKLKEKEREACRWRDTAKLAARFTDDSRLR >Et_6A_046377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1433707:1446296:1 gene:Et_6A_046377 transcript:Et_6A_046377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVDVLTVQTCVLKVNIHCDGCEKKIKKILRKIDGVYKTNINAEDGKVTVTGSVDPDTIVKKLNRAGKPAALWGAKPGVVSQPQKLQLGGGNKGQPKDAGANAGQPKAGAGGAGAADPRTVKFNLPEEDLDDEGSEFDDEDFEDDGLDDAFDDPRLMVRPVAMPPPAGGGGDKKGGAAGGGNAGKKGGAGNAIPVQIKVNANNGGGKQNPGGGGGNGKNGGGGQPPQNAVKGGAPGGGNQPGQVKKVGVAGGPIIGGAPVAGGPMPPPQQQSMMRPTMMGGPGFPGMGQMGGGPMGQHPHMSGMPQVGGGVAINGMPGAGFYQVGGMQSGPEMLQAAAAAGNPAAQQQYMAMQQQQQQMMMGGHGPHVHHGHHGHHGHGGYPPMGYGYGRPPMPYPMDYPPPPPPHAAESYNIFSDENPNNSCSTCVLKVNIHCDGCEKKVKKILHKIDGVYQISVDAEQGKVAVSGLVDTDTIVKKLNKAGKPASLWGSKPHSGGGCKGQQKDAGGKGQPKDAGGKGHKGGGGGGGGGGGKDAKMVLPQPTPQQLQQLQMKGMKLPPQFMGGKMPFPATRVAEAGTATTAAVDIRRRTAREASRAARTSRGRGRRAAAPADRWARAAVSVARWAAACRRSRA >Et_8B_060839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:961398:963905:1 gene:Et_8B_060839 transcript:Et_8B_060839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFRPAPLMDELVEEILLRVPPYEPACLMRAALVCKSWCRLVSGPGFRRRFRERHRTPPLLGVIHREWPRFAPTSSFRHSRPYFRATRALDSRHGRVLLRSEPFFFLTKPPTEHLHFRIWDPVAGKLLLLPEVPRFGTNSFNAVVLCAAPGCDHLDCHQGPFLVVLLGAVDRGVFASVYSSEANAWSKPSCARYTGFLSTHIRGAHVGNSVYFVRNCTPRILKYDLGTRKLDAFDAPPMWDHRIVLMTAKGGGLGCITSNESRLYLWSREADSDGNIGWAQNRVIELKALIPDSVEMTTLGGSQVDVVSFADGSGVIYMGTYYHGSFIYDLKSGRVKKVEGVCGRDKIVPYMSFYTPALPVAFTGESPRDGASNA >Et_4A_033450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2306429:2311787:1 gene:Et_4A_033450 transcript:Et_4A_033450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGEGGGGADGRMGKLEPLLEEIKFSEVHTAPNISALVDSRFRLVPPFRSARSPPPRVLENRTDLINQLDHSFSYSADDLSPILDSVIVSWDDSGCSGVSHCMLHKSILQVALKCSHIDTTGCLGQFLTLGAKATSWCGKHLLQSVESIDESEDAQEEEHSRLLPEIVSLTLNVTIKLLPSAAKCITAHMVRSIGDFITELLTLMESSIVDKKFHAAVPEIARAAPVFLDETVKLCKAYLEAAKENNRKMSVPEEPEIIKHSKPDITSDVTRITASTIQNLCKMGIHAASSGGSQVTLLNASWKGVVSLLQIGKGMIEEKIRVEDIISTLISLSIESLRVAAETWCTLFPETVAMHIHKNIIRCALTIASSSILFSKEPQLKAANEALVELLEPTSFLLLDTLMKSPELTPESKCQLVCYFLENEEANNPSHMGQADQKNITLASLDCIFRMESDVDQRSRALLPSEIILQILLNILTSEDIYSFVLGCQLPALYGADHSPVVVWQPVYTSLIQGVKTFMISASASSAAWNELEVFLLENLFHPHFLCLEIITELWCFFTRYAETEMSNYLINQVLFLLKSAASPENVLAPLSALRKVARAFCIILSYASSATIDQIYTCLLNDETSSKSSILHLALLMEGFPFNSLSGGIKELAVNKMFTSFAGHLEGYSKNHRAINLPNASSGVTGLPAHVLASALQHCEIKDDNEKSITSMFKFTITLINLYGTACDSTKDHLAKHISSMLGIISNMRHRCAFNELEKLTLELRNLLFSTSDSQNAVLSQCKPSMASFMAILGHLNVTEDDANVLCSAMWDLYHSLLRERHWALIHLAMDSFGYFAARTSFTQLWKFVPRDAALSYNISTGTSIDENGFMLELRAYLQKEAALHTEKWSGEEQIFFLVSEGRALKKLIEAYSEIPVISELEKTVITRDVSAKKRKMPDGICEGMVLLQNGLKVMRSAFDEAEFTVLKDRFAAHLSRLEEAVSQIACLSDEI >Et_1B_009674.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:13315468:13316301:-1 gene:Et_1B_009674 transcript:Et_1B_009674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CDLGDDDDSVCSVKWAQRGNHLAVGTDRGTVQIWDAARCKRIRTIESHQFRVGSLAWSSSLLSSGSGDSTILHHDIRVQEGFVGKITGHESEVCGLQWSCDSSKLASGGDDKRLFVWDPRYEKPMLKYKEHTAAVKAIAWSPHQHGLLASGGGTGDSCIRFWNTATNTQLSCIDTGSQVCNLVCSKSLNELVSTHGYTQNQINVWRYPTMAKVATLTGHTDRALYLAICPDGQNVVTGAGGDDEALRFWNLFPPTKSRSSNRLSCSGSTSSARSYIR >Et_5B_044403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23850593:23860027:-1 gene:Et_5B_044403 transcript:Et_5B_044403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANRRRKLFTNSGDRYRSSAWSHVVLDHPSTFDTLAMDPAKKREIMDDLDAFRKGKEYYARIGKAWKRGYLLYGPPGTGKSTMIAAMANYDIYDVELTSVATNTDLRRMFIETRAKSIIVIEDIDCSLNLTGNRTTAKKTDAGEEPANGKAPTKGGKDAADPNASKVTLSGVLNFIDGLWSACGGERIIVFTTNHVDKLDPALIQRGRMDKHIEMSYCCFEAFKFLAKIYLDVDAHHLFDVVAALLQEVNMTPADVAENLTPKGPEEDADSCLAGLVDALQKMKEKTAEDKEIMEDDSIRDEVWSYVDFKHPTTFDTLAMHPDKKTKIMNDLDDFRNNKDYYNRIGKAWKRGYLLYGPPGTGKSTMIAAMANYLTMVRSNNDLRKLLIETTGKSIIVIEDIDCSLDLTGQRSTSEDLPVHIDIPTLNNKKRKRTSEVTLSGLLNFIDGIWSAHINQQIIVFTTNYVDKLDPALIRRGRMDLHVEMSYCCFEAFTTLAKNYLGVNRHPLFDTVKELLQTVEITPADVAECLMTSERTDHGADACLECLINELEKKASKMEKDKEKPEGQGAGKANGKGNGDMANGGGVLDDDSDSSDEYEFCSRITRV >Et_10B_004183.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17889964:17891403:1 gene:Et_10B_004183 transcript:Et_10B_004183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALIVVCLLAAASGLMILCPPHQARAFGEMSPGFIRKFGPPMDAALLTPNNTVAVDLGNTNSCIAGFDDDGGGDTAFLFRICIPTRLAALADDGALIVGEAAAAVDRLALFGLKRLLGQTRFASGSAAWRIWEAAAAVFTELRSRAEAHTSGTRVYHAVLTVPYYFGDASQGAAIFAATLAGLRTVRIVDEPTAAAVAHGLHRHGHGNVLVLHVGGGTSAAAVLTYRDGGFDGVGSAHDLLLGGDDFSRRVTDHLVQLVKERHDGLDATSNVHGHLLRKLRMESERAKKELSTQHCVRVNVVFADNANALMLFSETLTRAKFEELNHDLFGRVMALVDRAMGESGLSQSKHLIDDIVLVGGSARIPRIRHLVRSYFGGKEPMSTMAPARPEQIVAFGGALLGHPAVGGYQCRGRDWRQRYNSTDWCYPDERIYGKKLADVAGAAIGSTTFVHGFVLLIHRATSECFHLLKRWPETIS >Et_4A_035724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4433415:4435783:1 gene:Et_4A_035724 transcript:Et_4A_035724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGPDCDIVSSDSTLLPGMALHQNNWRELLGIKTGDLKQVIIACGKAVDENACYVDALISELRQLVSVSGEPMQRLGAYMLEGLIARLSFTGHALYKSLKCKEPTGPELMSSMHLLYEICPFFKFGYMSANGAIAEAVKGENFIHIIDFQIAQGSQWTTMIQALAARPGMRPHLRITGIDDSDSIHARGGGLDIVGRRLHSVAQSCGLSFEFNAVPAASHEVMFEHLAVRHGEAIAVNFAYQLHHTPDESVGIENHRDRILRMVKSLSPRVVTLVEQEANTNTAPFFLRYMETLDYYTAMFEAIDVARPRDDKKRMNAEQHCVARDIVNLIACEGAERVERHEPFGKWRARLAMAGFRQYPLSPIVNNTIKTLLDSYHTYYRLEERDGALYLGWKNRKLVVLTWLCFLHMNPRQFRSENSVIQNKSGRAQNAEHVAI >Et_9A_062923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6803913:6821688:1 gene:Et_9A_062923 transcript:Et_9A_062923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPCYESDGHGLFGAPNGCKTLLTRQISDLRLDLLPVAFTRMAKLTCPGLLRRFRAALLRDPGLLVLFFRCAPPSIADLAQLNSREASVLLISLNTWMELSKNVQLLTLNGPR >Et_4A_034690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5474665:5479480:1 gene:Et_4A_034690 transcript:Et_4A_034690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LDVTLCLGHRSTIYISCLAVFHIGLQKARVVLLEASSIMEPLPRTTAIAAALCALAIFSIVGAVAAGGGEQPLSRIAIHRATVTPQPGAFVDASPAFLGLEGKDREWVTLRYSNPNPSKDDWIGVFSPANFNDSICPSENQWVEPPRLCTAPIKFQFANHSNLDYENSGKGSLRFQLINQREDFSFALFSGGLSNPKLIAHSKSVTFINPKAPVYPRLAQGKSWNEMTVTWTSGYCTSEATPFVKWGIQRQIQILSPAGTLTFSRDTMCGPPARTVGWREPGFIHTSFLKDLWPNFMYTYQIGHRLVHNGSIIWGHQYSFKAPPYPGEDSLQRVVIFGDMGKAEADGSNEFNDFEPGSLNTTNQLIKDLKNIDMVFHIGDIAYANGYLSQWDQFTAQVEPIAATVPYMVGSGNHERDWPGSGSFYGNLDSGGECGVPAQNMFYVPAENREQFWYSMDYGMFRFCMANTELDWRPGTEQYKFIEHCLSSVDRQKQPWLIFLAHRVLGYSSATFYGAEGTTEEPMGRESLQSLWQKYKVDIAMYGHVHGYERTCPVYENVCVANASDHYTGAFTATTHVVVGGGGASLAEYTSVRAHWSHAQDLDYGFAKLTAFNHTTLLFEYKKSRDGSVHDHFTISRDYRDILACGVDNCPSTTLAS >Et_2B_020304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18884361:18888755:-1 gene:Et_2B_020304 transcript:Et_2B_020304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCRLLLAALAVASLAATAAVASSSSFSDSNPIRPVTDRAASALESTVIAALGRTRDALRFARFAVRHGKSYESVEEVQHRFRIFSESLELVRSTNRRGLPYRLGINRFADMSWEEFRATRLGAAQNCSATLAGNHRMLDTNKLPETKDWREDGIVSPVKNQGHCGSCWTFSTTGALEAAYTQATGKPISLSEQQLVDCAGPYNNFGCNGGLPSQAFEYIKYNGGLDTEESYPYKGKNEICHYKPENAAVRVLDSVNITLGAEDELKNAVGLVRPVSVAFQVIDGFRMYKSGVYTSDHCGTTPMDVNHAVLAVGYGVENGVPYWLIKNSWGADWGDNGYFKMEMGKNMCGVATCASYPIVAA >Et_8B_060182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:722283:733637:1 gene:Et_8B_060182 transcript:Et_8B_060182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIHGSRVRAGLGSIYRLRLLRRETLLWIILLNKVSTPVSRSTMSSSNACNCSSSHTTLFFWKDHLLVLDTAICFDRRFNVDSSINREIPLASANNLTTLHPSETEAALRETLLWIILLNKVSTPVSRSTMSSSNACNCTSSHTTLFFWKDHLLVLGTAICFDRRYNVDSSIDTEISLASANNLTTLHPSVAEAALRATLLWIILLDRSSTPCSRSPTMHSNA >Et_10A_001386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2490350:2492236:-1 gene:Et_10A_001386 transcript:Et_10A_001386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRANIPTNNSALIAVIADEDTVTGFLMAGVGNVDLRKKTNYLLVDNKTTVKQIEDAFKEFTAREDIAIVLISQYIANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSSESVASDRR >Et_3B_028334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13563949:13574720:-1 gene:Et_3B_028334 transcript:Et_3B_028334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPLLALSLLLLIVLLPASDAIYCDEDDCYDLLGLKQDANASEIRKAYYKLSLKHHPDKNPDPESRKLFVKIANAYEILKDESTREQYDYAIAHPEEVFYNTAQYYRAYYGHKTDPRAVLIGLLLIISAFQYINQLTRYNQAIESVKQTPAYRNRLKALEFERTGGIASKKKVNKQVDKKVEVELNNEVELQIHGVERPSVWRLFGVQFILLPYYIGKMLTWEICWLWRYRVKKLPYAWEDACYLTQTSLRMPATTWKNMDESTKENLVSRRLWEKSNMERYIADMRKESKRRR >Et_1B_009747.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:23007306:23007697:1 gene:Et_1B_009747 transcript:Et_1B_009747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSPRQPGAGGGPRHHHHQAAACKVHRDSHGIHKAATSPPSSSSTNSSVSSSSTSSRPAQKQPQQPVIIYTHSPKVIRTSPRDFMSIVQKLTGLDNSPA >Et_6A_047318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3905632:3906096:-1 gene:Et_6A_047318 transcript:Et_6A_047318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDLRAGELRKKKGWGNFVRKKVDGYSNGCANGFKLILEKPNLLRPSRGPQIELDDHVASLDEPNTITFALCEGHHVQHEADSTVRLLIVDTRRKTIWSTFRYPKMDSIFVLIII >Et_5A_042559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20329143:20330217:1 gene:Et_5A_042559 transcript:Et_5A_042559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLAFLPVWVVAAGAAVLHDHPAAAGTPAARFWEEALPGTPMPEVIADLVQKGIDHSPLIEHYPINWTNTEKVPWSLIYNEKSGKPKVMPGLFFNQAQLRVGGTMNVSFPPELVPAILPRDVAGKVPFSNLTDVRSVFNIAPGSTAAAMANDTLRRCQAPAPAGEQKTCTTSLEATVQSALRMLSTSSRTAWAVASKIPAGVGLPLQPYVIEAVRPLDDDRHVGCHIVPYPYAVYQCHSTGQPSTAYMVSPRGLRQQGPGISMAAICHLNTSSWNPAYPAFEILHTKPGGAPVCHFMRYANLLFGVKTGNA >Et_9A_061277.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:5218948:5219286:-1 gene:Et_9A_061277 transcript:Et_9A_061277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLWCKCVDGCVSLPLTSTWRRAWRALQRCTRCVEPTTHQSCYIKYYRTSMGMLPSPYAARPSHQIIRSNLKEKTCWGLSCTSVRVVCVFFLLMWLHLCVICTNQLITLHE >Et_4A_034375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32037040:32039190:-1 gene:Et_4A_034375 transcript:Et_4A_034375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRPDPDLRLRSTSSTWTAPPSSTRSSERRPQLRPCARLIDPLPHTLQSAATCTSKEMDASEQQVGKAEGSGQLVWTASMSSYMLSHLNGLVANGIKTSTDFKKVHHNSCARAINDHFKMRISGEHIAHHIRT >Et_2B_022824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6036102:6038825:-1 gene:Et_2B_022824 transcript:Et_2B_022824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCARDNLPSLVSSDLYRFDFEGPGYFDKHPEGKNLTKDAGSYACSNIVPAIKNSKFIMAKEKVVDARGAIWSFRDTQRQDKSKCHNVQQHIKR >Et_4B_037420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19718174:19731961:1 gene:Et_4B_037420 transcript:Et_4B_037420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDCQRKEVCGLHAIVGAYMSPDRETHPSNASGHSWRATPREEEVALATMLPADLLDIVARTDAITIVHCAACSKPLRRDILSPGFIRHVCHKPGGGGTTNSWLLSFIHTYDKVFGAFRPPTPFSLVHPATPAAASISEKHIMPLVSGDTWADLLGRYVPVTSRRGLVVLRRRRVYHRCGTDICVYDPLTGGRTFFPYPPDLESDFIGMYILLTAARGVGCSFLLLAVDFTMLRTSGSVRIQSTVSSTTTSATPKGKWSPATVATHPRLRRASLQPRCGAVVLGGIIHWLMAHPSWYAADRFFILTYNVCTAAAEAIELPKAADLPGGCAVSNLHLASSPEGKLSLIVADKLTVSVWKLLLPDDGAGWSRHAVIKTKATVESLLSHDTPPGWWLGRAIEFGSFFSGGRSGAILVRPFNEAFNDQAEEDGEGVVVLDLETNEMRRAKRKRHVLAFPLEIDLKPRLSAMKTF >Et_3B_031249.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:22681827:22683020:-1 gene:Et_3B_031249 transcript:Et_3B_031249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATFGWAGAGQHVDDYFSRQAGCARFEADDAFLGACFGQQQCDVVGDAGTCQVSSNFGGCAAGVEGDPLAALLSSGTTTGDVFDGSLLDAALSFSRELGGGAISNGAMFSSYSGTTGGNMSSGESNNNYSGGSHDAAEVVSPTSTTMSPTTTAPAFLHASSTQAQQQQQGFHHAKRKVTDEYNPTSIARPTEPPPPFPFPRAGAGAKRRAATSISFGNRGHGGGVQQHDIGGYEPDMEAMAQVKEMIYRAAAMRPVNLGPEIIPGAAGGSGSGSAAADQKPRRKNVRISSDPQTVAARLRRERVSERLRVLQRLVPGGSKMDTASMLDEAASYLKFLKSQVQELETLGTTSSSRSQHYSYHSSSSNPGFLGFARSSNIPHAGYVNPNGSNTGSKLF >Et_1B_010641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12363852:12366754:-1 gene:Et_1B_010641 transcript:Et_1B_010641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRLLDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAPAAKKEGAEGQEGEAATEETKKSNHVQRKLEKRQQGRTLDPHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQRKKGKVFHPTQMKVL >Et_4A_032959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16049449:16049778:-1 gene:Et_4A_032959 transcript:Et_4A_032959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YFRPSAAASEQGRHRCTVCHRSFSTGQALGGHKRCHYWDGLSVSSSLIDLDLNLAPATTITGSVMRRWGEEEEVESPLPVKKRRLSGPSLELSLATN >Et_3A_025215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27972250:27975461:-1 gene:Et_3A_025215 transcript:Et_3A_025215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALASPMASLSLHSGRISAAAIGGVSRPCRAIPMGASASPFLRSSFVSSSSTSSASASLSAAVSASLAFTSSSSFSGSSLGIQFSYNRITTRRSRGLQIRAGKAALCMTKRSRSRKSLARTHGFRRRMRTTAGRRVLKRRRDKGRKVLCTKTNSNTGKKRILTLPDGLELMSSRIELELKKGRGATQSALYHRISNLFGPSIHVDYATARVQNLDDTAAGLVFWALLLLWWRWWWRRRRRRLWPCHLLQRSEPPSVAAPGYPVQCSVHPPPRAPPGEPPPSLPVGAAGGHHAFGDGR >Et_9B_065307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3533849:3542302:1 gene:Et_9B_065307 transcript:Et_9B_065307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVRIHHTSVVSFPSSSTPLPLSAFDGVFVSLRPTLHVFLFKSPTPSSAFPTLANSLKRSLAQTLSSFYPFGGELTYLPSSRSVAVVFPENHARVTFIEAETDIDLKGLLKAEELDMEALRLLVPDIRRDTLPAPVMAVQITQLMGGVAVGVASHHAVADGRGLFHFMDSWTAAAVGSSNGSSNPSLLMPLHDRNLVRFDGDEEFNRAVLLHFAPHLPNLDSAPESSQPHPVVRQTFVFTAAALKHLKRQYVAATDIGDQLMPSTFATLTAHGWVSFSRANGFDADDRPVFVGFFADCRPMLMSLPEDQFYVGNCVIFGTVGLKGSELAAPDGLALAISAIGEAVKKVKADPLKNKEQCITHHQWDRTFLVSGSPWFPAYEVDLGFGRPARVERACLGGKSEAYFTAGREQGSVQATVAMAAEKMPAFRQEFMVDGRHELDLGCARPPRLERVCLGSNSEACLMAGKETAGSAQAMVAIAEENIPAFRQAFMMASVRIHRTSAVTVPTTNLAPLPLSAFDAMFVSSEVPLNYVYMFPSPTIAFPELAESMKHSLAQALQSFHPFAGELTYLSLSRTIAIVFPENGARVTFIEAETDISLKGLLDAEELDTEALRLLVPDIRRDVLPAPVMAVQVTQAVGGVVVGFALDHTVADGHGLFHFLNTWTAAATGSSGSCKPNPLPLHDRNLVRFDGDEEFNRAVLRHFTPNLPRIKEPKLHPTAEEQKCPVVQQTFVFTATALQHLKRQHISSTNPGKQLVPSTFVAIAAHGWVSFSRAISGSSADDRPVFILFLVDCRPALMSLPADQVYAGNCVVFCKVGLKGSELTAPDGLARAFLTLTDAVKEAKADPLKYKAEVIAENQGDPMFVVSGTPRFRYELDLGFGRPARIVRARLGYHSEAYLMAGREPGSVHAMVAMPAENMPVFRQEFMVDGVHGRP >Et_1A_008022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40156445:40165521:1 gene:Et_1A_008022 transcript:Et_1A_008022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NDPSSRTAASLPIWLTPWHDGRWRRWQLPSVLWPGATIPGAEEPAPFQWTTGPGWQSDAKPGCNAAAGIPQHQQQQQAKMSMAGPSTRDQDMVNSNNPAKMQEFMSLQAQMFKRQSEHLQQAEKQKEQGHPSNNEQRSGDMRPPMPPQGVPGQQMPSAGMIRPMQPMQGQVGMGSVGGNPLTPTQFQLIQALAKENNLDLSNPANMSKISQLLPMLQSRMAAMQKQNEASMAMQQQQQQASPSQVNSDTPGHGNIPSHSASMKPRQPLPPNSSVSGGEDAKAANLSNLQLQQQLSAHNRDGSSDRAVRSPMTAGNSGQSLQVSQSSGHVNKVPEQANSKNVIANSEAMQMQYARQMQQLNQGVAPAPSPGGTGGSQAPTQGARSQTGFTKHQLKVLKAQILAFRRLKRGDRTLPPEVLELIMSGLPTDSQGQQVSGSLAAVNHEKPGLSTSDDHGKHMESGDKAPENPALSKVPCLPKVEVSASEDKASSASGPGPLQVLKASPKEPLRIGPVSVPEHSNTTMVKSEQESERGIQRTPGRNDCSAERGKSLPAESGSADAEQAKRAGSTSSAPAPREVPRKYHGPLFDFPSFTRRHDSMGPANYNSNLSLGYDVKDLLAQEGTIILGKKREDSLKKISGLLAINLERKRIQPDLVLRLQIEEKKLKLLEHQARLRDEVEQEQQEIMAMPDRIYRKFVRQCERQRIELTRQVQQMQKASREKQLKSIFQWRKKLLEAHWAIRDARITRNRGVAKYHERMLREFSKKKDDDRNKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLTQTEEYLYKLGGKISAAKNQQQVEEAANAAAAVARAQGLSEEEVKAAAQCAGQEVMIRNTFTEMNAPRDSISDNKYYNLAHAVSERVTKQPSLLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKANYGPHLIIVPNAVLVNWKSELLHWLPSASCIFYVGTKDTRAKLFSQEVLAMKFNILVTTYEFVMFDRSKLSRIDWKYIIIDEAQRMKDRDSVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDSSKAFQDWFSKPFQRDGPTHSEEEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPRKDSIVLRCRMSAIQGAIYDWIKSTGTIRVDPEDEKTRAQRNPMYQVKTYKNLNNKCMELRKVCNHPLLSYPFLNCGKDFMVRSCGKLWNLDRILIKLHKAGHRVLLFSTMTKLLDIMEDYLQWRQLVYRRIDGTTSLEDRESAIVDFNRPNSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTREVKVIYMEAVVDNISSYQKEDEVRTGGSGDFEDDLAGKDRYVGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDDERYQETLHDVPSLQEVNRMIARTEEEVELFDQMDEDYDWTGDMMKHNQVPKWLRASSTEVDAAVASLSNKPSKSGGIALGTNDTPEKRRGRPKSSGKYSIYREIDDEDLEESDEDSEQRNISSLPEEGEIGESEDEEDNDDSVPDNKEESEEEENDNRYDFPSGLRSGKANRLDEAGSTGSSSGSRRLPPPAPSSSSKKLRSLSALDARPGSMSKRNPDDLEEGEIALSGDSHMDLQQSGSWNHERDDGEDEQVLQPKIKRKRSIRIRPRHIAEKQEDRSGDGVFPHRGAHLAFQVDGDYDSQFKFEQDARAFADPVARQQDAIHPIVKQKRNMPSRKVSPASRTGRLASMSASGDGPAERSKENWSGKAIDSATPDFGQSKMSESTQRKCKNVISKLWRRIDKEGDQIIPNISSWWRRNEKSSFKGAAGSTLDLRKIEQRVDEFEYVAVTEFIGDMQKMLKSVVQHFSYRHEVRVEAETLHTLFFNIMKIAFPDSDFREAKNAMSLLGPGGGASSAAAPSTKHASSGHKRRSSTSEVEQHGSGHTRHNQHSSVNEIPSRGHNSRPERDSRHSGSGARGDSAGLLMHPSDLVIVKKKRQDRGRSSIGSPPSSGRAGPLSPGNPGRAGPAPSPRGARTPFQRDPHPSQQLMHSAGWGGHSMQQSDHGGSSSSPGIGDIQWAKPAKRQRTDSGKRRPSHM >Et_1B_012320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30947801:30951616:1 gene:Et_1B_012320 transcript:Et_1B_012320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTATMTSPAVTTSFGSTNSHPTPAAKSAPSPLSTAGAVKSEAPPTPTSTGSTAAAGAEDPSYIITVPSYSAWFSYDSIHDTESRLLPEFFEGEAAEASGCRGPDAYKYYRNTLVRRFRSRPGRRLTLTEARRGLVGDVGSVRRVFDFLEEWGLINYGALPSGSKQAKEKREEAAPQSSLSAGATATRKLCTRCRTVCGLAYFACEKADISLCARCFVSNNYRPGLTAADFKRVEMTEDAKSDWTDKETLHLLEAVLHYGENWKKVSKHVGSRSEKDCIARFIRLPFGEQFMGHKEDKMGMAFANDGDVTDEPGSDFSKRLRLTPLADASNPIMAQVAFLSAIVGSDAASAAAQASISAQSRVDLNDGEIDSSMNSTRDEESSCINGLSVNDLLKEAAANAREQLEKERNSIEQSLSDIVDVQMKEIQDKICRFEQKEMLMEKERQQLHCLRNLLFADQLVVAQHQRRPPAAVSESKDEEKPKAVINII >Et_4A_031916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29520849:29521874:1 gene:Et_4A_031916 transcript:Et_4A_031916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AASLGGGELGDGLGALRDGVLGELAGEDEANGGLDLAGGNGGLLVVAGELGGLAGELLEDHLEDVDLVGLHALLRLLLALLLAALLASGLARRQPLLRLGLLPRRGLLCLLGGGLLLRGLLGRRLLLGLGRHGCGSSEVGKGGWDLRFCFACERKMQMLMSLWGEETGSADFIERGRRALIGGGSGRGSCFERWEALDASPLDGFDASMTRIADVAKTRARGGGRKIVFGAARVCDESVTSCALGGMSGNFPGEWRGTCGAQVFFLICLHAISGHTTKCLATVTNACSCLRFVRHSKFFCRFALAGCRLARGELWQV >Et_5A_042076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:649811:651464:-1 gene:Et_5A_042076 transcript:Et_5A_042076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLARSTWRRAAIGAASSALAAAVFAFLDVVDVLLCFVYGFLDGVLEEGPVSCYCHRSFDDAADEDDEDDRVSDTLYVRRSALRDALTRLVRRVFPRRAAAAPEKGLSPRWSDCGCASCVEWLRNSGDGDALARLHVVVKDPKPKEAAGTEQSECDDAAAVFVHGFTSSSSFWTETVFRESSVLGCRLFAVDLLGFGRSPKPANCAYRLKDHVGAIERSLVEPRGLRSFHLVGHSMGCVIALALAARHPARVKSVTLVAPPYFPPCEQRASQVALSRLAEKKLWPPLLFGSAVMSWYEHIGRTVCFVVCRNHLLWEWLAKRLTGKREVDVRLRDLTKHTHHSAWHTMHNVICGGARLQDRNLKSIEAAGIPVQVIHGVKDQVVPVECSHHLKAKLPRAEVSLMPGCDHATVVLGREKGFAHKLRAFWSGSGSQQEKPAVVS >Et_1A_008285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:634815:639555:-1 gene:Et_1A_008285 transcript:Et_1A_008285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPAAAGPTFEDLERDLQAVLMDQNHTSSPDELSMFRSGSAPPTVEGSRTAIGTLFSGPPAHVNNLGGGSSRGTSVDMLTEEEIRSHPAYLSYYYSNEHLNPRLPPPMVSKEDWRAAQRFQAVSGGIGDRRRRPSEVGSGNSLFSVQPGARDTGGEKVLLNDRMDRSESNGIIRQQSSEWLGRGTDGLIGLSDVSSLGSRRKSFADALQENISHPAATAGHLSRSNSRNALESPNPIRSSDSSRAQLQNRSESMNGLRSGSTSPSLMRVQSLGSSMSHNFASAVGSSISRSTTPDPQLIRRTPSPCLPPVGVRMGNSDKRVEVAAVASLGHDSADIAATLSNLSLSGNKMSNVETEGQNHVYQNFGEQTDALFNLPKEHRQFSQQNLTQNIDEGSLNNPEYSVFPNGGNNFNNPHISKMTSPSNSNFPVQSSHSNAHKKGSLMSPSGSVPHYQNMNGDSPSIDISGWHSKTNAGGFAPSKLNNQLNYDAEYSSLLSNQGGSSFQGQPTETLYAQYLQGNPDSPLGATGSMSPFRRRAFAGSGHLDSPGYQKAYLGSLISQQKLQYGIPYMGKSGALNPNIYCNDPAFGMGMTYITSPTSSPFISSPQGHVRQGERLTRVPSMARSAAGGSMGSWNADNGLMDNGYGSSLLEEFKTNKTRSFELLDIVGHVVEFSSDQYGSRFIQQKLETASTEEKDMIFPEILPQARTLMTDVFGNYVIQKFFEYGTEPQKKQLASLLKGYVLQLSLQMYGCRALEVVDVEQQTQMALELDGSIMRCVRDQNGNHVIQKCIECIPQERIHFIISAFYGHVVELSMHPYGCRVIQRVLEHCDDENTQNVMMEEIMKSVVTLTEDQYGNYVIQHVLQHGKPEERSSIVTQLSGQIVKMSLQKFASNVIEKCLTFGSPEERQILINEMLGTNNETEPLEAMMKDQFANYVVQKVLETCDDQNRELILSRIKVHLSALKKYTYGKHIVARVEKLITAGERRIGASS >Et_6A_046320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1382046:1387325:1 gene:Et_6A_046320 transcript:Et_6A_046320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKEASSRTIFCFLLLFFLGCKCLASEIEATQTATLKVDASPQLARKIPETLFGAFFEEINHAGAGGIWAELVNNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFTRNIIALRMEVLCDDCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKAPETTDLTISLTSSDGQQNLASATITVDGASNWTKLEEKLVAKGTNRTSRLQITTNKKGIVWFDQVSLMPADTYKGHGFRTELISMLLDLKPRFLRFPGGCFVEGDWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLAEDLGAAPIWVFNNGVSHHDEVDTAVIAPFVKDVLDSLEFARGSADSKWGSVRAAMGHPEPFPVKYVAIGNEDCGKTFYRGNYLKFYNAIRQAYPDIQMISNCDGSSQPLDHPADLYDFHVYTDSKTLFSMRSTFDKTSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDIVQMASYAPLFVNDNDQTWNPDAIVFNSWQHYGTPSYWMQTLFRESSGATIHPITISSSYSGSLAASAITWQDSDNSFLRVVNFGSDPVSLTISTSGLKVSVNALGSTATVMTSSNVMDENSFSNPNKVVPVKSALRNAAAQMQVTLAPHSFSSFDLALAQSELIAEM >Et_9B_064165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12041668:12045479:1 gene:Et_9B_064165 transcript:Et_9B_064165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHAVSPLTGGLLRRGVPLHHRRRLLAIAAASEAPAPAPTPSQPPPSPASPRKGYFPKRNETIELTCEGLAFKGKGVCKVAGSSFVLLCDGALPGERLLASVRRLRRGAFAEAAKLKTLEPHHDAVDAPCPLAADCGGCKSQSLAYAAQIRHKHLQVRDLLVNFGKFDPKQLESADSDAILKPIVPCEEIFRYRNKMEFSFGAKRWVAKELMKEKEDEGGEGVKQETDEHDGYALGLHAPGFFDKVLHVQKCFLQSEPADEVLAVVQESWMDPALGLTPYDVHKHVGFLKHLMIRTGSVINNVNTSVGNTSVGEQEYTLYGKPFITEILRGLTFQISANSFFQTNTKQADVLYKLIEDSAGLKGDGSEIVLDLFCGTGTIGLTLAKRAKHVYGYEVVPEAIADARKNAKLNDISNATFVQGDLNKISETFGKEFPKPDIIISDPNRPGMHMKLIKWLLEVKAPRIVYVSCNPATCARDLDYLCHGVEEKDLQGCYELKHVIPVDMFPHTPHIECVCLLELR >Et_2A_017086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30856161:30858503:-1 gene:Et_2A_017086 transcript:Et_2A_017086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAGGARLLLFLLVAGTVAADQIFTTSGVPFGRSSREPRYHVEFHSADSPFHPETGQESEPMTSHEGKRYTCFLPVEETKTMKSMLPQNATNVIIESERRIKPKEPDELLEVLKDQCFYRLEGWWSYEFCYHGKIRQVHVEGEKVIQEYVLGEYDDNATAAYHENSSAEFADEDHRLKDVSKRYHVHLYTNGTVCDLTDIPRETEVRFVCSEPTVLISSIKEISSCKYVVTVHSPMLCKNPLFQQEKRILSIHCNELPAEAEPIVEDDSLPKEAQISIIPDPDELHDFSAAYAT >Et_1A_009436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5351174:5352940:1 gene:Et_1A_009436 transcript:Et_1A_009436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIEVTPLESPHASGSKAGEPTASVARPATEDKRGRKMCRTCGKKGDHWTFECPDKYLLAPQAQTFLDKPPSADGTTASATCSFCMQAAGRHEANVLRQRAELQRRRAEVMKRGNGALATGRTIETSIRVNNLPEYTSQLDLYNLAESFRAGIITNTKLVSDEQTGSSRQFGIVEYARRQSAEKAISRLNGYCYDGFILKAEWETPEPKQVCVSPPICAVCVRDAEAAIRVTNMPENASQSDLYNVAAPFGLIDRVYLAVDQKNGSAGRRSGIVQFVQRKSAEAAITWLDGYIFGGLTLHAEMATPTDLVVFYAVSN >Et_5A_041952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4636036:4638761:1 gene:Et_5A_041952 transcript:Et_5A_041952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAEWCRISCRCLGAAVLFFVLAAAVAGGEDGGDGFITWDDLSIPSAAAASGGGGGDGGGVGLGKAAARGGGGALTTIVVSQDGTGHSRTVQGAVDMVPAGNRRRVKILVRPGIYREKVRVPITKPFVSLIGMGTGRTVITWNSRASDLDPASGHQVGTLNSASVAIEADYFCASHITFENAAPAAPPGAVGQQAVALRLSGDKAMLYRCRVLGTQDTLFDNMGRHYFYNCDIQGSIDFIFGNARSLYRGCTLHAVATSYGAIAASQRSSPGEDSGFSFVGCRLTGSGMMYLGRAWGRYARVVYSYCDLSGIVVPQGWSDWGDRSRTKTVMFGEYSCKGPGASTGQRVPWSRTLSYEEAQPFLGPSFINGEQWLKL >Et_9A_063093.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:10058653:10059717:-1 gene:Et_9A_063093 transcript:Et_9A_063093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSIKLHVPPKPARVQAKKNSAALSFRCAVTKPPASAATVTTTTVLLEVDKAAEAFSFEQYMASKAEVVNAALERALPLRHPERLHQSMRYSLLAGGKRVRPVLALAACALVGGDEAAAAPVACAVEMVHAMSLVHDDLPCMDDDALRRGRPANHVAFGVSTALLAGDALLALAFEHAARGLAAAGVPADRALRAVAELGNAVGGEGLAAGQVVDLASEGEGDAGVGLETLEFIHTHKTARLLEAAAVCGAIVGGAGDEDVEGVRAYARCVGLLFQVVDDVLDVTRTSEQLGKTAGKDVAAGKATYPKLMGVDGARTYAAELVARAEAELDRFDAERAAPLRHLARFVAYRQN >Et_3B_027946.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27881765:27882400:-1 gene:Et_3B_027946 transcript:Et_3B_027946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTHSQRRWTLHIAAAPEITYASRRRPPPAAWTRLSGATRASASNTSPARRATSSATAAPGAAAASTLEVVVDVMDARAFLDSGHPDAKPRAAAAELLLPAVTVRDAGRDCAVCLEELRPGARALVTPCDHVYHARRGSRPRARARSAGRTSAATGTASSCAASAAAAGSASAAASRAASSASRCSTPTETSSGPARGLGSKGCSSVPG >Et_9A_062725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3703891:3707576:-1 gene:Et_9A_062725 transcript:Et_9A_062725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSCSAPARARNSVYYPGQRVGVPRGSSVFEKEATWLVGRWSSGRTRGTVVNVEMADALVYWLASSELGIIADGDDAVRAAAPPACATNASELTVFPSDAGGSWAVGDRCFFLQNTHSSSDDATATAAGFVVVDHLVEPPMCVADARTTVDVLWQDGTRQRAVPSASLLPFAGLDYEQDFFPGEVVVDVIYDGVDDDDDATDDADDDHDATASEELDIERVGIVRSFNCKDRTARVSWFKPTATGNEEVECHDETVSVYHLDKYDYYNNVFYGDIVVRPPLPESRGGDGKSTLQVATEYSKEGAADLSWVGRVVDLGDGHVQVKWGDGSTSKVLHHDIDFLKVRGIHDLEQEILNGDRAQEGPPQEPEANDNANTAAVSDNGEGDDDSADGSDEEDDGVEGGADEDGSSEKVKAGANSDDGALLRFMQFDVVQSPSDHHYLDNKEQGTGTKWMKRVQKEWKILENNLPDTIYVRAFEDRMDLLRVAMVGAAGTPYQDGLFFFDLQLPPTYPAVPPLVHYHSFGLNLNPNLEVSVCISLLDTYDGEDAVQLWSPAMSTVLQVVVSIQALVLTVQPYYNEPGHEGSIMGRRNALPYAENAFLLSLRTMLHLLRRPPARFEELVRAHFRRSGRFVLRTCAAYLRQDGCMRPNADERQPCSEGFKLALAGVVPQLVGAFTSIGEKGCEEFDQFLKS >Et_9A_061927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1791473:1792357:1 gene:Et_9A_061927 transcript:Et_9A_061927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAALTVRSTSQCFAASASAHGHRRAAPAVLRRSRRTPCGRLQALPPEISEILSPKLVPGSPSDTGDVSSLIPIRRVPLDYAVMLLFYIVSNWVVPELVMKRLQPKAEDDATAPASMSSSTQQGDAADGDAPQKNRMKAKKKKNRKETIIKV >Et_3B_030348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32331935:32335823:-1 gene:Et_3B_030348 transcript:Et_3B_030348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVAAFPAGYPSTTFNPNPSTHLAPFFLRFHLRPCRGSAVAAATLREVCAGRVPDHVLQRAEDVGYLVPTEVQEQSLPVLLSGQDCILHAQTGSGKTLAYLLSVFSTIDFSRSSVQALVVVPTRELGIQVTKVARLLAAKTCTVMALLDGGMLRRQKSWVKAEPPAVIVATVASLCQMVERRAFSLQSMKVLVIDEVDFIFGSSKQVSLLRKILTSYSAASGRQTIFASASIPQHNRFIHDCVQHKWTKSDVVHVHVNPVQPMPSHLRHKYVICTKKERLHVLLSLLERNTPKSGIIFVAEQEVKGRGFLLVSTDIASRGFDLPQTSHIYNFELPKTATDYLHRAGRTGREPFSKVECSVTTLITEDEHFVLQRFQNELKFHCEELHLESMFTFNL >Et_3A_024012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16045381:16055060:1 gene:Et_3A_024012 transcript:Et_3A_024012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYRSSTALRQGLTPLRINPRPQIQLPNQGPAVVPGIVNWEIHDFVIVCDLGSGNFGSVMKGIHRTTGRLNPSVICLGFGKSNHVFPVLGSIGVVQFFGVARDRNRNMMYIVMELGGTPLEDAIRAGHAHGRALSEDDVRVIMKSLLVGLKNMHEKGIIHRDLKPSNILIDSNGRHVEGKICDFGLAIYYDQAVATWSRTPRGTYGYMAPEVHKAKSSCTFESDMWSLGAVMYEVITGSPLIKGRDPAGMITCMRSLFGTLSNEASTSLEAVDGPQADPKWATHGALIRRQFSPQCLEKDFLRLMRSRWTGPVVPGIANWDIRDFLIVCDIGSGSFGSVVKGIHRSTGVCVALKSLHDTSLSRFWHEAIIAFQCSGSSGIVQFFGVAHDRNRNTLYMVMELGGTSLEDAIRTGHAHGKAPLEYDVCVIMKSLLLGLKHMHEKGIIHRDIKPSNILIDSDSDCVVGKICDFGLATYYDEAITTWCGIPHGTYGYMAPEVYELKSSCTFESDMWSLGAVMYEFITGCPLISGRDSTGTITRMRSLFGSPGKGADLETTAHDEPNPADEEKNPVQTTVDSSDEETKTHADREREIRHAIFHRRFSIQCSELIAGLLRFDPFERLSASEALDMDWFANHGIA >Et_8B_058631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11950237:11951076:1 gene:Et_8B_058631 transcript:Et_8B_058631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFISEIVTIGRLRHRNLVQLLGYSRRKDELILVYDYMHNGSLDKYLHSEEEKPVMRWAQRFDIIKGVACGLLYLHEKWEKVVIHRDIKASNVLLDTHMNARIGDFGLARLYDHGTDPQTTHVVGTMGYLAPELLRTGMSSPLTDVFSFGAFLLEVTCGQRPIKQGSQDKTFLLVDWVLEHWHSGLLCLHPLPSARPSMRQVMQYLDGDMPLPEISSDMLSTMQHKGFRSSMLTYPDLSTSVGSFSDLSGGR >Et_1B_012011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2853271:2853667:-1 gene:Et_1B_012011 transcript:Et_1B_012011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NPPRRYGRATPPESRSHLPELHHNRPCRPHETVPKARNRAHKHRTHRRSSPHSVPNPHRTGTSQFSPATFPPAATADGRHPTGNLAGQIHSMETERIRQLLLLTRAALHPRLALVGGNHTAARFCSR >Et_7B_054839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4825672:4830409:1 gene:Et_7B_054839 transcript:Et_7B_054839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCHFPVDCRTEMEEEVFFDTREELTASPASSPGPALPWSGGLDSVWQRRERFMRSMGLECSSSPQQPGSVDTVGEIEEEEVVPEFGRSSSQSDENDCSMSSWSSEETTSYEGGASDDNSVSGSSRYDDSSKMGRSYSSLSFIQRLMSRSGKISVVPKAIERRRNGWLRRLGLRAGIVDHEEDEASTSSSEGEQRYERVKVGSYRKQSKEFSAVYQGQVIKAHDGAILTMKFSPDGQFLASGGEDGVVRIWGVTQSEDCKISLDDPSCVYLKADPKGCLAPVSIDIEKKCKVRGAKQSAKPACVVIPSMAFQISEEPLHEFHGHFGDVLDLSWSNNKHLLSASTDKTVRLWKIGSAKCISIFPHSNFVTCVQFNPTNKNRFVSGSIDGKIRVWNITKCSVVDWADIRDIVTAVCYRPDGKGAVVGTITGNCRFYDASGRLLQFETQIALNGDKKSSLKRITAFEFCPSNPSKLMVTSADSKIKILDGTIVTQKYSGLRSGSCHSLATFTPDGQHIISASEDSNIYVWNHENQDESSWKHAKTIWSSERFHSNNAAIAIPWNGNARSPVSLASQILPPQGDNFLCMSETVKGNSRDSGEDSSTSSFISTLDAPGIFSTKSTCRSSATWPEEILPSCSVLKNCLQSSSNSWGQVIVTAGWDGKIRSFQNYGLSTLYGSIGTSHGLKFSTHAPSRAGPVRFLGTRHHMLGAPAELYKYQLMYIINPVVLRDLYISGCSRQALYIVPIVDISHLCGLRFPQSSKASSQNAAPCSASDLQCSAPDLKLLQLLSCREMLSVFVRGWLDSSEHVSYGNCTML >Et_3B_031565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4861446:4862445:1 gene:Et_3B_031565 transcript:Et_3B_031565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METGEWSIAKKARVESPRGPLKHEAEEKEANGGGALAAVDGAAAKAEITVTIDMALLHCPLCTLPLTPPIFQCGVGHLACGRCHGQLAGNQCHSCGDGGVYARCFVMDAFVSSAVVPCPHEAYGCRTEVAYYQVGDQESACPHAPCACSEPGRAFAGSPPDLLAHLAAAPHSWPVDKLRYGEVLRLRVPESEPRRLLVAEDGRVFLLAVGEAGSLPRAVPVTVACVRARAAAGPRYTCKIWANGSVAPATGKVERVLMEAEVPSCSGGVDDVVDGEEAMFLGVPRKMLRGESKQILLRVRIDKVPS >Et_1B_011778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25979147:25983623:1 gene:Et_1B_011778 transcript:Et_1B_011778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYARNVHADGDGGVVGATTITVSAAGGAEDAAGVAGGRGGGGSGGRRSGRPSPAGTPRAGRAGATPARSSAAGSPWAGSPLPEGIAPSPATSATTPRRFFRRPFPPPSPAKHIKASLARRLGQRSPTEVERELDKSFGYDRNFAVKYELGKEVGRGHFGHTCLARARKGDMRGQVLAVKVISKAKMTTAISIEDVRREVKILKALSLSGHSNLVKFYDACEDALNVYIIMELCEGGELLDRILSRGGRYQEADAKLIVEQILSVVAFCHLQGVVHRDLKPENFLFSTKDEHSPMKIIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSTEADMWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDLPWQSVSPEAKDFVKRLLNKDYRKRMTAAQALSHPWLRDEQRQIPLDMLVFKLVKAYLRSTPLKRAALKALSRAITEDELIYIRAQYNLLEPSSRDGRISIDNFRMALLQKSTDAMKESRTLEILNALEPLAYRRMDFEEFRAATISPYQLEALGRWEEIASTAFEYFEQEGNRAITIEELAQEMNLSSSAYSIVRDWIRPSDGRLSFLGYTKFLHGLTMRSSNARRHH >Et_6A_045905.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:523329:523586:-1 gene:Et_6A_045905 transcript:Et_6A_045905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAWGPHKLVEILFPWTKPIPSLPEWNVDAIIRTMKQFNVISFLWYFHVQSYKEAVHAFEEALKLDSASDEIKNALRQMLSYP >Et_4A_033433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22798796:22818664:1 gene:Et_4A_033433 transcript:Et_4A_033433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAKFFSVFLAVSVVGWVVFTFAARLLAWFLSRVLSASVGFRVAGFNCLRDVTIRFHKGSIESVSVGEIKLSFRKSLVKLSFGVISKDPKLHLLINDLEIVTRSSSQNKKISKSARHRSTGKGKWLVTSSMARLLSISVTDLMIKVPKGAVDIKELTVDTFKIAGPNHILGVKLHLLPLNLHFGDLGFPADSAGSCNLHDALQSDQASVSNSEKILAPFVCEDLLVTCDFGHEKEKGVKIVNLELKCGYVIANIDERMFHKKLKNSENGNGSVNTGDSVLNTSGIKQASRSKSVLPTLKKQMLAFPDKVSFSVPKLDVKFRHVHEGLSVDNNVTGIQFTCAKSMPQEDPDEATPHFDVHIDLSEIHLVREGSSSLLEVLKVAAVASLDIPMDSFLPIRAEIDAKLGGTQCNLMLSRLMPWMRLNSLRSKGMKLSKRESCHEISQTKEIKPILWTCTVSAPEMTVMLYSLDGLVLYHACSQSSHLFANNIASMGIQIHTELGELLVHMEDEYREFLKENIFGVDTCSGSLMHIARVSLDLGYRDDAQDITETRKLVFSVDISDVKVKFGFKHLESLVLNWMSFRTLFKSLQPSGERSKEKNSEHRGKKKTKGVEILKVCLQKFSVTYCGEANIVNMPIADPKRVNYGSQGGQVIISVSADGTPRRATITSVLPGGNRYLRFSAALVISQLSVCIDKEKKTTEAELERAKTIYEEFPEDHSSSVRVTLLDMQNAKIVRRSGGLTEVAVCSLFRATDINLRWEPDAHLALYETFIRLKHFLHHSKLQNSEKLTDIKINEHGNLTAGKPQKADRRGSIFAIDVETLRVSAELADGVEANLLVQSIFTENAKIGVLSEGFSLSLNGARVVRSTRIQVSRIPLSTGGLHEVEPSSKRDWVVQGLDVHICMPYRLPLRAIEDAVEDMIRGLKLVASAKASILFPDRKEKSKKVKSGATKFGSVKFVLRKLTADIEEEPIQGWLDEHYHLMRNKVCELGVRLKFLDDAISGSLDPNNRSSERKILYDGLEIDSHDTVALQRLQEEIHKQAFRSYYTACQKMAPAEGSGACAEGFQAGFKPSSRRASLLSLSASELDITLTRIDGGEIEMVEFIKELDPVCQEQNIPFSRLYGSDISVLAGSLVIQLRDYTSPLFSSVNGTCQGRIVLAQQATCFQPQIPQDVYIGRWQKVTMLRSASGTTPAMKMYSNLPLYFQRGEISFGVGYEPSFADISYAFQVALKRANLSTRASPAIQPPKKERSLPWWDDMRYYIHGKIVLYFNKTTWKFLATTNPYEHVDKLQIVSEYMEIQQTDGHVDVSAKEFKMYISSLESIMKNCSLKVPSGVPRPFIYAPSFSLNVIIDWQCESGNPLNHYLHALPFEGEPRKKVYDPFRSTYLSLRWNFSLRPSQAQCDNGSSSSCYGNNPMLCGSVSSSSSKLADAEFPTMNLGAHDLAWVFKWWSLNYNPPHKLRSFSRWRRFGIPRAARSGNLSLDKVLVEFFLRVDATPCCIRHVTLTEDDPANGLTFKMSNLKYELCYSRGKQHYTFDCKREPLDLVYRGFDLHKPEVYLIRDNNLSSVDNVSKVKTTAQQSTGKFVHDRFNLGNLQEKHEDGFLLSSDYFTIRRQAPKADHDRLIEWQDTGRNLEITYVRSEFENGSESDHNLSEPSDDDDGFNVVLADNCQRVFVYGLKLLWTIENRDAVWSWVGGISKAFESPKPSPSRQYAQRKLIEERNAEASRLHGIFDDFNDEGQLQFAVNVIKPQFNLHSEEANGRFLLAAASGRVLARSFHSVVHVGKEMLEQALGTSNLHIPEIQPEMTWKKFDLSVILEDVQAHVAPTDVDPGAGLQWLPRILGSSEKLKRTGALLERVFMPCEMYFRYTRHKGGTADLKVKPLKELRFNSPDITATMTSRQFQVMWDVLSNLLFARLPKPRKNSLQYPSDDEDVEEEADEVVPDGVEEVELAKINLEQKERERKLLLDDIRSLTGNGDIQSDQCHSAEKGDCLWMINSGKPILVDGLKRDLINLQRSRRSASSALRKALQKAAQLRLMEKEKNKTPSCAMRISMKIKKVLWSMLADGNTFAEAEINDMVCDVDRDYKDIGIARFTTKYFVVRNCMANAKCDTLLSAWNTPPEKGVMLRVDAKQGAPKDGNSPLELFQVEIYPLKIYLSEAMYRMMWEYFFPEEDDSQRRQEVWRVSTSTGPRRTRRLSSGVDAVTSSSYSVREHDLSGKSGTAVHMSTNVSSWQSLHADNSQVSKLQSLKANIVCGSHQELRRTSSFERTWEESAAEIVTNNDVVSLVNSAIIPSKGDGNNSMAENSVAGTEMWRSKMKDSKPAKSGRLSHEEKKVGKSSDEKKTRARKLMEFHNIKISQVELLVTYEGSRLAINDLRLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSGKKFSNPRETHDGVVPENDLNLSDSDVGHHGRPDQFTASWLKRPGDGAGDGFVTSIRGLFNSQRRKAKAFVMRTMRVDGNNNEYQDEWSESDGDYPFARQLTITKAKKLLRRHTKKFRPRGQKNPGLALQDSLPSSPRETTPYQSDSSRSSYEDFHD >Et_1B_011874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26743787:26749719:-1 gene:Et_1B_011874 transcript:Et_1B_011874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLLVLLLVAAAAVVMATAEAAGVSGNWTEELRAAARRNRRGWRRARRKVYENGLGRTPQMGWNSWNHFGCNIDEQMIKDTADALVNTGLAKLGYEYVNIDDCWAESDRDYQGNFVPNRRTFPSGIKALADYVHAKGLKLGIYSDAGTRTCSLKMPGSLDHEEQDVKTFVSWGVDYLKYDNCNDAGRSVTERYTKMSNAMKTYGKSIFFSLCEWGRENPATWAGAMGNSWRTTDDIADNWPSMTSRADQNDRWASYAGPGGWNDPDMLEVGNGGMSDAEYRSHFSIWALAKAPLLIGCDVRSMSPQTKAILSNSEVWAGPLSNNRKAVVLWNRQSYQATITAQWSIIGLAPSTAVTARDLWAHSSFSAQGQLSASVAPHDCKMYVLTPQ >Et_4A_033146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19043461:19045400:-1 gene:Et_4A_033146 transcript:Et_4A_033146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQGFFAALRDEVARGLSPARARRMAEAAKLASSLRLSRRAGGGGGGGEALSPLMEGPDPESGDGEYGGGARARKEGWDKWVRFQLREPSSATAGAGGMRIDIRMMLGVMGAPLGPVHVSTAEPLPHINLKDSALETSSVQYILQQYLAASGGHKLLASVRNTYTMGKVRLVAIDEETAGRVVKNRNAGRGGAETGRFVLWQMAPDRWYMELAVGGSKVHAGCNGSLVWRHTPWLGAHAAKGPIRPLRRAIQGLDPLTTASLFAGARCLGEKVVNGEDCFILKLSADPETLKARGEGPAELIRHVMFGSFSQKTGLLVHIEDSHLTRIQSTTGGDAVYWETTINSLIEDYRPVDGIMVAHTGRSAVTVFRFGEVAMSHTKARMEETWSIEEVAFNVPGLSVDCFIPPTDIEPESADQTMELSHGDIVKAGPPPGYCAKVAALEKAVDDKVAWCGTILEDHN >Et_9A_063197.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:15031439:15032023:-1 gene:Et_9A_063197 transcript:Et_9A_063197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVRSFFSRSGRRFGSSSARALSRTPSLSPCPSSRKGPLPAEDELERVFRKFDANGDGRVSRAELAALFEGVGHAATDDEVARMMEEADADGDGYISLPEFAALMGAAAADAAAVEEDLRHAFRVFDADGNGVITPAELARVLRGLGEAATVAQCRRMIRGVDRDGDGQVCFEEFKIMMAAGGGGVFCGNVAS >Et_5A_042100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6320219:6324422:1 gene:Et_5A_042100 transcript:Et_5A_042100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDFAAAAAAAAGGGAKRRRVDSRGTQGEVVAPLPAVHISSLPDELRQRILTHLPLKEAIRSGALALGWRDLWKSRWSHRSSVEVHLRSSDDLQRELDALPRPRRRLDRFSLIVDICKLRSTELRRFLDYAAECRVEVLHVETRTSTAAEKLNFHLPRSSPLLARLSLRRIRVSKMYYKGTQPFRALEVIRLHSVSFTRVAFQKMMVLCPNLRTLDLRGCDCDSVFFWDSCTYFPANLRRVTIAECDGKAFLSKSVPRLQSFLYSGSFFNAPFSLSGDATLADLYICLAYSISGCRRDIKKFNKSLPNDLSRLTVLTICSNALPLFHFKDRNFESIVSLLTMRFRLRLPCPMMKQLPKLSNLQSLRELQLLMLKMMAANLADIYVFFKTCQCANLERLFIHLPESENEPMEVEPSLDEVGEDPLEIDLDNLKIIKVMNFKWHRTEVQLVSFLLRKATSLNKLLLVSPNVAPLDVPGVQESDLLLLKEAQANGKIMLSESDDSGTQPYHSEVFIERHFLLWKVHLSDDTMMRKTSVCLTNGSNLVRATYFLHEFRGQEKRDSDYLRTAPPPAWAAVPVPSVAGSSRRSCSRGRWHTPPSFGWLLHLRRRRSPGPGRSGIHDVYLEQLLFLRHPVQVAGFALLCARNVPVDRARRVHRCRAPLASATTRTPTPPSDATTARAAGVLLVATQGLARGAHAEPGEEVALVEAHVVAPGPRRRAWHRERPRPHQRARRVQLVGQAVQVSAQPELAARNELAGLPSRRTCRWRLR >Et_3A_024871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2491730:2494805:-1 gene:Et_3A_024871 transcript:Et_3A_024871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVSRSCSSKLASPLSTPAYSAAAAAAAAAGSLVQSPVMPRAPPGSGDAPPGAVGETGNTPCSSSGASWKEYPWNRMAYQRQSVPHLGKVSGAVCGHVFGASYSSQNQQSFRYFSSSSDQERRWAGNKIMHDLPRSVKIVEVGPRDGLQNEKDTVPTPVKIELIRRLATSGLPVVEATSFVSPKWVPQLADAKDVMEAVRDIEGVRLPVLTPNLKGFEAAVAAGAKEIAVFASASEGFSKSNINCTIKESLARYNDVILTAKEQEIPVRGYVSCVVGCPVDGPVPPSSVAYVAKELYDMGCYEISLGDTIGVGTPGTVVPMLDEVMSVVPVENLAVHFHDTYGQSLSNTLISLQMGISVVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGIKTGVDLGKVMAAGEFICKHLGRQSGSKAAAALSKITAKASKL >Et_9B_063838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16962661:16963329:1 gene:Et_9B_063838 transcript:Et_9B_063838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TCSAAGTQQSRAKGSATATATPVAGSSRPARQAKGGPDNARHKYRGVRQRQWGKWVAEIREPRLGKRYWLGTFDNAVDAALAYDKAVVNIYGAGALSRLNFPAGSAASTEVFLEHEVKPVVSGTQGGGPVAAPKPEEMLDDCSDDIAMYIDFDAVAHMMPCYPGVKMEDCQFDGFDFDSDAVGSPLWALDD >Et_7B_055396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9923974:9927448:-1 gene:Et_7B_055396 transcript:Et_7B_055396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLHSDPYSRGSSSQPQFRDVSMSSENNNTVLDKHEIVNPRVGMTFETVDLAYQFYLEYGYRAGFGVSKRTSHSVDGVKYRATFVCYKGGIARIKPGLKARRRLVAKTGCKAMMVVKFNASENHWEVVFVELEHNHPCNPEMVRFMMCFKDLPDWQREHRPFNAKTRLNPKIHSGRGRPPNQNKDFMFDTAMKLRSDREAYDDFRSFQQRPQVLSGLLFEEQFANVYTINMFQKFQDQLKQLMNVNCTEVNKVDDLYKHGHQYFADIVEMGATDPDAMEYVLSVMKEAKEKVRKFEESRKEKRPGESPVSASKKGAKSKPSEDGNGTSVSTPMPAAVATLTMASPAQMAAAPTMMAMAPTSAAVPPGMFLVPMHPHSMVFPPFAPAVPPAVAPAAPPAAPPPNVVDAPSNSSKKRKKRKGNKQLLGFVWVVRRPAFHPRSPEKPEDPIFERPGKLAVGKPCFIRSRS >Et_7B_055830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5557176:5558668:1 gene:Et_7B_055830 transcript:Et_7B_055830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLLRHLLRLPSLVMLLAVAASGENSAVPGQFRINCGASASANDADGRTWDGDAVSKSGPPVSGVAAGASYEDPSLPSAVPYMTARVFACSHTYSFPVTAGRVFLRLFFYPSGYGDRSAADALFGVKAGGVTLLRDFNASQTALALNYGYLVREFSLNLRLRPPRRHLHPVLLPVLRVRERHRDRAGAGGGHVQQAGADPRQRRTPGPDADPRRHGVPNHVPAQRRRPGHQPHSADLYRTWDDDSPYILGAALGVNYVKDSNVSIRYGPAAPEGVYASARSMGPTAQVNLNYNLTWVLPVDAGFFYVVRLHFCEIQYPITMPNQRVFDVYVNNQTAQLGMDVIAWSGGIGRPVYVDYLVIAAPGSVGQTDLWVELHPDVYTKPQSYDAILNGLEVFMLQTYDGNSLAGTNPPLPPQGQSGAGDDARPKKKHGAVTAAWAAATGGLAAIVVGCLCAWAIYKRKRKAASEVVDDSPPSVILHQTKSPYVFKTAR >Et_1B_012268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30365212:30368034:-1 gene:Et_1B_012268 transcript:Et_1B_012268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGQQEPQLSLAAVRDALVREEDSVVFALIERARHPRNAPAYAPAAGGGQSLVEFFVREAEALHSKAGRYQKPEDLPFFPQDLPSHLFPTKSYPKVLHPFASSVSVNDAIWKMYFNELLPLFTVDGDDGSYAETVALDFACLQVLSRRVHCGKYVAEVKFRDAPQDYSPAIQAKDTDTLMNLLTFKAVEEKVKKRVEKKARTFGQNVTLEDNNADKQENIADDSECKVDPKVLSKLYDQWVMPLTKDVEVEYLLRRLD >Et_1A_006804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27755621:27757555:-1 gene:Et_1A_006804 transcript:Et_1A_006804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTPAAAVLLCCASSAPCTRIGPRAPSSISVASLSRRRRWSGPLRSLPPEGTPAELMEEDSKFVPLNEDDPMYGPPALLLIGFENDETDKIQAFLKELDGEFLKVIHCTEEMTKKTLWDAMHTEQPNLQAVKIAESMPRICIFSGLTGEEMMMFINAFPEAGLEPAAFAALVPNSAEKILGEVIEEIMGDHEMLVSLDCSHRLYNFSVIPI >Et_4A_034022.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29239833:29242844:1 gene:Et_4A_034022 transcript:Et_4A_034022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVDKYRPKTLDKVTVHDQIAQNLRKLVSEQDCPHLLFYGPSGSGKKTLILALIKQMFGAGTEKVKMENKTWKIDTGTRTLELELAMLSSAHHVEMNPSDAGFQDRYVVQEVIKEMAKNRPIDAKGKRAFKVLVLNEVDKLSREAQHSLRRTMEKYSASCRLILCCNSSSKVTEAVRSRCLNLRVNAPSEDQIIQVLEFIGKKENLHLPAGFAARIAAQSNRNLRRAVLFFETCKVQQYPFTSNQVAPPLDWEQYVSEIATDILTEQSPKRLYAVRQKFYELLVNCIPPESILKKLLTELLKKLDADLKHEICHWAAHYEHKMRLGSKAIFHLEGTYLDALYNNVCLHSIPMRPYHCFE >Et_3A_025649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31491337:31495195:-1 gene:Et_3A_025649 transcript:Et_3A_025649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRAPPTSLRLAPPPGTVSLRRTALKTSFLNGSISLRVVQVRQSNLNRLKCNAIRSNLFDRLGRVVRSYANAVLSSFEDPEKILEQAVLEMNDDLTKMRQATAQVLASQKRLENKYKAAEQASDDWYRRAQLALQKGDEDLAREALKRRKSYADNASSLKAQLDQQKGVVENLISNTRLLESKIAEAKQKKDTLKARAQSAKTQTKVSEMLGNVNTSSALSAFEKMEEKVMAMESQAEALGQLATDDLEGKFALLETNSVDDDLAKMKRELSGSSLKGELPPGRASVSNSGAARPFPDLEIENELNELRRKAKEY >Et_7A_052504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8686234:8687599:-1 gene:Et_7A_052504 transcript:Et_7A_052504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGRNPPLRADTAGTGPFLPAGGTRAAVAEFVATAIFVFAAEGSVYGLWKLYTDTGTPGGLVAVAMAHALALAAAVAVSIGASGGHVNPAVTFGVLVTRRISFGRAVLYWAAQLLGAVFAALLLRLVSGGMRPTGLGFGRGVHERHALLLEAAMTFGLMYTVYATAVDHRSRGGVAAIAPLAIGFVLGANILAGGPFDGAAMNPARAFGPALVGWTWRHHWVYWVGPLIGAGLAGALYEHVMVEQQHEAPAAAAPRMPLAAEDY >Et_1A_006291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2043116:2052400:-1 gene:Et_1A_006291 transcript:Et_1A_006291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAYGWPQSIPLDPDDSDRVVLLRVLGRYLLAVCPASLHLWSAAQHRVRLARFDRTPDSLAAHGQNAHAAWSSDAKTVAVLTSSFYLLVYKPLIIGGKQLPGLCLASISQIISEKVPLANGVAITSNFVCDSKSMLLGLSNGHVQVVSWNAEFPDSFKLGCSQCSAEKPTAIVDALVFDPPSLRENSNARPAPCCTGNSSIVHVELSVKLRLLVALYSGCHIALCNIGKKGLKQPGGIRVERWLDTDDAMCTSVASEQQILAVGCSRGVVELYDLAENARHIRTISLYDWGYSVEDTGPVACISWTPDNCAFAVGWKFRGLTVWSVSGCQLMCTIRQTGSNSASSPMVKPSALKFEPLMGGTSQIQWDDCGYKLFAVEESLSERILAFSFAKCCLNRGLSGTTYSHQILYGEDRILLVQPDDADELKLLHLNVPVSYISQNWPVLHVVASNDGMYLAVAGSHGLVLYDLRNKRWRVFGDVTQEQKIQCKGLLWLGKIVIVCNYVESSNMYELLFFPRYHLDYSSLLYRKPLLGRPIVMDVFQDYILVSYSPFDVHIFHVAITGELSPASSPVLQVSTVRELSIMSPKSPPVSMRFIPEQNDGVLKRDTHGSSDLSSQQPSRCLILRMNGELSVLDMDDGHEHALTNSVELFWVTCSQFEEKGSLIKEVSWLDYGHRGMQVWYPSHGADPFKQEEFLQLDPELEFDREVYPLGLLPNVGVVVGVSQRMSFSTAEFPCFEPSPQAQTILHCLLRHLLQRDKNEEALRLANLSAEKPHFSHCLEWLLFTVFDADISRPSASKNQVSQRTDPPKKSLLEKTCDLLRNFPEYMDVVVSVARKTDGRHWADLFSAAGRSTEMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLVRSGRDFENATTDSEKLSPRFLGYFLFRSPYKRQSSDLKSNSMKELSPHIASVMNILESHASYLMSGKELSKLVSFVKGTQFDLVEYLQRERLGSARLENFAAALELIGQKLRMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLVDLFRHDLRLWKAYSITLQSHDVFRGYLDLLNVLEEQLSSVSDLTLQNGPLTPD >Et_4B_037538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2085716:2090059:1 gene:Et_4B_037538 transcript:Et_4B_037538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSWFLIRQLIKSSPKAGGGARSRRAVGGKGMEKAAANALPPLRFIAVLAVIAWTFFLYFHFSMLSGTVEVASHSHPDDVDGADPCRGRYVYMHDLPARFNAGIIRDCRKINDHWGGMCEFVSNAGLGRPLADRTEGVITEAAGWYNTHQFALDAIFHNRMKRYECLTNHSAVAAAVFVPFYAGFDFVRFHWGYDNATRDAATVDLIEWLTSRPEWRRMGGRDHFLVAGRTGWDFMRSNNINPEWGTDLLGAPAGRNMSVLVMESTLLHRNDYPVPYPTYFHPKTDADVLRWQDRVRGTNRTWLMAFVGAPRPDVPMNIRVRDHVIAQCKASAACTLLGCARNLGSTQCHTPLNIMRLFQKTVFCLQPPGDSCTRRSVFDSMVAGCIPVFFHPGSAYKQYRWHLPEDHLKYSVYIPDADVRRHNVSIEAVLRAIPPATVERMREEVIRLIPRVLYADPRAPNLETIKDAFDVAVEGVLHNVARIRNGEDVNTGGPVDEDPPFLFASTDSKFRPQQWKQRVYATPPVGAHKKKKNSISTARRTTTTMERTGKRWLPRLLFIVALSATPWLLVVYTHLLVFHGAPVSAPHASLVTVDSDGGEDAQRFLLQQEQQLPSSASAAGALPAASVEEERRRTHGDDAVVDACAGRYVYIHDLPPRFNADILAQCHVWYPWHNMCSYLANAGLGLPVNNSDGVFADQGWYATDHFGLDVIFHRRVAQYGCLTNDSSRAAAFFVPFYAGFDVVQHLWGHNASTKDALSLDLARWLTRRPEWRAMGGRDHFVISGRTAYDHQRDSDSDSEWGNKFLRVPPVQNMTVLVVEKTPWLDFPSPIPYPTYFHPAKDAEIFDWQRRMRAMKRDWLFTFAGGERPDDPASIRNHLVRQCNASSSSCKLIRCRKSSRQCLNPSTFMGVFQRTRFCLQPAGDTYTRRSAFDAIMAGCVPVFFHPLSAHAQYKWHLPEEHDSYSVLIPEDDVRAGNVSIEERLRRIPTDVAERMTQTVIDLIPRLVYADPRSKLETVKDAVDITMEAIIAKVNKLREEMRGGQGHLHQVQTGNGRVQQKVSSDN >Et_4A_032356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31492393:31493265:1 gene:Et_4A_032356 transcript:Et_4A_032356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERERKQAEKACQRAEELFRAGNISGAHRQASKAQRLCPSLPGVANALAAYEILSAAAAANSWRAVLGIRPGAAATPDTVKKQFRRLSLLVHPDKNRSCAAAEDAFKLLRQAFDDALLAAASSGDDDTAAGPRAGAAAHDDEAAAPRPAAAAAGHDDEPPRRPIVVYCPSCKNEFAGRVGPFEEKKGMKCARCPAWLRSPWQTKPPGKKEPPAKQGFPYLARCPRCEAQFTSMVAVGRWRLTCTACSKPAMFDVRGGSGTAAATG >Et_1B_014313.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5789819:5790397:1 gene:Et_1B_014313 transcript:Et_1B_014313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMLVEAAAASPASPADSLNSDMVLILAGLLCALVCVLGLGLVARCACSRRWAAAAASGGRPAPQAAAANKGVKKEVLRALPTVTYVSDRIKGSASAEEAEAEADECAICLAEFEDGQAMRVLPQCGHAFHAACVDTWLRSHSSCPSCRRVLAVEALPAGERCRRCGARPGGIAALWKAPCSAAEVPTFLA >Et_4B_039242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9040654:9045702:1 gene:Et_4B_039242 transcript:Et_4B_039242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAPIRDLLTSFSPDADFLALSSGDGRIKVWDAVRGRLQTEFADIPSVEVGAVAEAKRGHLALDYTCMKWVQLSNKKKRKAGSSLLVLGTGSGDALALDVAAGQWKWRVSDCHPGGVTAVAYSKHGRNVYTAGTDGIVCRIDASDGSVVGKFKSSSKAISSIAVSSDGKILATAAGQLRTFDTSENKKIQKFSGHPVAVRSMVFSNDGQYILSSGIGERYIAIWNLGGGKTQSSSCILSMEHPAIFVDCKCSDEGEIHVLAISEIGICYFWSGNGVDDLRNKKPTKIALSDSSLARSQQAFSIFAAKLQGLDGPNSAHVLLAYGSVVKPSFDKLLVCHGTDINLGISQDGVLLPNIQTTMPKKSHSAKKQETITALDRANAEDAILPLPKIQTKEKKRKHEATKPIDDIEPAINSDIVTTRLIEKRVPVQRIEEDSICIEDMLRDCGVIYTRVHRSLEDHPGIPTNILSDLFGSGSIKVNADLPSKKIRAHLRSLKPEDACKFLDSLLSAWKARSGRSELVLRWIYCLLVIHGRFIPSEKSRKLIKILEKMCAERYSATEDLLKLSGRLWLIVAQIDKNTNVSNLPSEEMQDAAAVQSDEEEDEEIDETVFGQDSDLSQTSDDDAE >Et_7B_055604.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:16645382:16645864:1 gene:Et_7B_055604 transcript:Et_7B_055604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVIALACALALATSSAMADQCRFEILVKTGSRRNAGTDARVSLQVSSANGPTLVIPNLESWGEMSAGHDYFEKGDLDRFGGTGPCMPSEPCDMTIKSDGSGYKPGWYVDYVQVTQLGIGSISSMTHKWDVDQWLATDEAPRLLSARRNGCGFAAAAEP >Et_1A_006101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17894123:17900424:1 gene:Et_1A_006101 transcript:Et_1A_006101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMLGSAVVGETVSRISSFVAGKHEEGAAAGDNLDRLELAHIKMKAALETSDMCRRRRTIVDDDGQRPSLLLWQARLKRAAEECGAALEACKRRATEEQEEEASSLGRRIARTYRQIGGPLHPRRRHGAGAAAGGRPARRGGAQRYADSAGELVRFMEFGAAPRRHQQQRWCAVPEDPLVERLLEGKFVWYRTLQESRYYRSFRLGPMAPFTTERGLEALATFEFDLLPVRNLIHEPCGLELCGLHRALMQQSRRPGTPEADEENALLKEKKKKGLHSGPPCTGVAPPELLPGPFPEQAVLVFAQCRVPPSTGKKLEQPAASARSSQDDQPPPLELGFSFRPHVGTGRLHSESTAAAAEFVDGKEEHRGSGLITGIDGVDKWDEMLLPKAIRRLSAQPEPRTYEAFWDSAHGASYLRVEKTRSAMPCTIL >Et_3B_031127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17058980:17061240:-1 gene:Et_3B_031127 transcript:Et_3B_031127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSPLNTERNHLLLSPKQQQQQQSPRDGLRSPRVSCKTTTTSPSPRSRTTKKMARGGGNLLERSLSFKNWEAQAAAEAADEPAAPPRPSSGGINGARPGTLALQQQSPRSTAPAAAAVSPAQKAVLEYFSPRPLAELDEAATRLQKVFKGHRTRRSLADCAIVVEELWWKAYDSASLNIKSISFFDVEKQETAASRWSRAGKRIAKVGKGLSKDDKAQKLALQHWLEAIDPRHRYGHNLHLYYDIWSASSSTEPFFYWLDIGAGREVHHTKCPRSKLYSQLIMYLGPVERAAYEVVVEEGRLVYKESGVFVHTNEESKWIFVLSTSRSLYIGQKKKGKFQHSSFLSGAATTSAGRLVAKDGVLKAIWPYSGHYLPTEENFREFISFLEENNVDLANVKRCSVDDDEFPSFKKKTEEAEVVAEAAHGEEAANDAEVELPAVDIVKEEEVADAVTAEPEKTMMMASRPSFKWMTPTGARIGCLRDYPADLQSMALEQVNLSPRVVPSPSGNRLPIPSPRPSPRIRLSPRLHYMGLPTPTGVRLPIPSPAPARRSPGQQQQQFAGFHTPAVELTLPKHKGK >Et_4B_038882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5589447:5592176:-1 gene:Et_4B_038882 transcript:Et_4B_038882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTDLVNLNLSDCTDKIIAEYIWVGGSGIDLRSKARTVKGPITNASQLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRKGNNILVMCDCYTPQGEPIPTNKRHNAAKIFSHPDVVAEVPWYGIEQEYTLLQKDVSWPLGWPGPYYCAAGADKAFGRDVVDAHYKACIYAGINISGINGEVMPGQWEFQVGPSVGISAGDEIWVARYILERITEIAGVVLSLDPKPIKGDWNGAGAHTNYSTKSMREAGGYEVIKKAIEKLGKRHAEHIAAYGEGNERRLTGRHETADINTFKWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTILWNGK >Et_9B_064009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1033231:1037080:1 gene:Et_9B_064009 transcript:Et_9B_064009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAAGLPVAAAASGKDKDKEDRRRWVVRCAFAVAGIMSTLLVYGVLQEKIMRVPYGVEKEFFKYSLFLVFCNRITTSMVSGMVLLASKKSLDPVAPIHNYGVVSISNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTIIMRKKYGGKDYMFAVIVTLGCSLFILYPASMDVSPFNKGRESTVWGVSLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTMCSCLLSLTGLILQNHLIPAVDFMFRHPDCFSDVIILSSVATASQFFISYTIRTFGALTFATIMTTRQLVSILLSCVWFVHPLSWMQWVGAAIVFGALYTKSFLRSKPQRPVAGSPTHGSIPNSANS >Et_4B_038123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26459716:26460719:-1 gene:Et_4B_038123 transcript:Et_4B_038123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SNASSGMGVAPNIRDTFHELQMKKAFRYVIFKIEEKQKQVVVEKTGATTESYDDFLACLPENDCRYAIYDFDFVTGENVQKSKIFFIAWSPSTSRIRAKMLYSTSKDRIKQELDGFHYEIQATDPSEVDLEVLRERAH >Et_7A_052624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9942552:9951675:-1 gene:Et_7A_052624 transcript:Et_7A_052624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRKINSVKRPGGAPYGAGSKEKQNPMRNRHVTGACMGAGCGSREAASRISVSAFPEGYEDDDRLRSGFFSTDGKIAIGILAFRSSLGDCRPDNFNLDQYKNDIPIYEAEVGSAPKKEDLSYHHQFILHAALDVVQDLAWTTSAMFLKSVDRFNDLVVSVYVTAVITTISLFSLTARVTHTRFMLLHDSRSEDGIKSFFQEVQDLYIKIFLNPLYLPGSRISSSHFDTKVRALARKSEI >Et_2A_018246.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20068796:20069647:-1 gene:Et_2A_018246 transcript:Et_2A_018246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNKPSISPTLLLCNMYQRPDMITPGVDAQGNPIDPERIQEDFEDFYEDIFTELSKHGEIESLHVCDNLADHMIGNVYVQFREEDQAARALQSLQGRYYSGRPIIAEFSPVTDFREATCRQFEENSCNRGGYCNFMHVKEIGRELRRRLFGHLHRSRRSYSRGSRSRSPSPYRHRSSRSRDRDEEYDYYHHYRSGSSRRSSERHRSYDSDSSRRRRGRSRSRSPVREGSEERRARIEQWNREREAAQA >Et_10B_003016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15321062:15321752:-1 gene:Et_10B_003016 transcript:Et_10B_003016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTGSEVAGFVVGALLLGATVAAPRVDGFIASSQRRSLGMCKRCGNLRIVACPQCKGVGSVRKGGMLNLGMLDDLYESLGAEAKTDNLVPCTKCRTRGRLLCPECSKTP >Et_5B_043168.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:14278597:14278917:-1 gene:Et_5B_043168 transcript:Et_5B_043168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNKSPVLAAAALLLAVALLVAAAPPAEAVCNMSNEQFMSCQPAAAKTTDPATKPTDACCNALRGADLNCLCAYKNSPWMSVYNIDPTRAMELPGKCGLATPPNC >Et_7A_051682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22879215:22882472:1 gene:Et_7A_051682 transcript:Et_7A_051682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAIGGWMASAGIAKLVDQVCCYAGDQYEYQRNDTKKKLRRLKKSLWKIKAVVDKAESLETKNPSMESWLGSIKDAVYRAEDVLNLFDYRVLEAEDTANSCSSSTAGSSINSATNITTPSTSTSSSSTVSRSVRVLRRFLFSDDDLNELIAIVGRFDKIASEMPTLLKLVKLEDRRPEPSIQWRKTTSMLGITKFYGRVCEETKLKKSIVETHDESSQPYSVISVVGLAGVGKTALVQRVYSHFRDTGQFNFMVWIHVSENFDAERLTKEMIQAEKCYKLKRRKDRTRKISWRGSVPADWNSSISLDQAQRKLQEKLHGKTAKVLDDIWNENSSQWDTLCKPLQFASKGSKVLLTTRSHKLSFIQKAANLDDHYVVHDLLHDFAEFISNGEHFRIEDDFHVSIPENVRHLYVNASNILKVLISLTESEVKKGLRSLIICRHDAAFGDGIPTYNFNKALEETLHLPELRSLRVLVLRHQDAILPDNIEHLVHLRYLDIRESRVFTHVPRSLFKLYHLQCLTLQFCCNDEVKVGLQKCISRLSQLRYLMAAPEIISGIENIGRLRFLHALEEYHVMTDSRHSICQLKKLNELRGKLTIKNLEKVTCKEESSKARLIKKQGLKKISFCWNHVQGANSNSTEHEGIFEGLQPNCNLRELHVTRYMGTKSPNWLTSEYLPNIQTIELVSCHHLKTLPPLGSLTFLRILKVKNLKAIEKIDAGFYGDAAVAFPSLEELKFENMERWKEWSSVESNQCMFPSLRTICIKRCKELKGPLPLPVPSKGVNISVSDWLSANITSSRYLKSAIDLKKSSSVHLFLDRYGLLFGCLSSNSLATIQVLNLSSRHLVSFNKEQEEWIQKLRSVKEVHFTDCPNLRSLPMNLVYLTNLQSLYIEKCPKLESFPEMGLPASLKELRVIKCHNKLTASVPRST >Et_6B_049251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2350797:2352053:1 gene:Et_6B_049251 transcript:Et_6B_049251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDELEQRLGLDRSWAVRQWVWISDPHTRLNRSILRRRARQNLRRALSHALGAFFPLAGHLRLSPGGTAANRYELHYRPGDAIAFTVAEYDGQDLDSLVAGRFMAPPLPDGGAVLALQATLLSGRRGLALGVTVHHAACDGAASTHFLHTWAAAARNSWPRARRSPQESRKRSAETRRRPWEC >Et_10A_000544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12543733:12553423:1 gene:Et_10A_000544 transcript:Et_10A_000544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPQQPGPASRPPPPFAPQNPGPSPAGSLPAAFSNMQISRAPPPFAGGPPPAAGPAQPAPNGGPQYSAAQPPPFSRPPAAVSQASPSGGLRPPFGGPPGQSQQVQFGVPPQFGAPRPGVQAPPFGAQPAPMSQAPPFMGPQGGNALTFAPPSWQAHAQPGAVPGSMQPSMRMPGMPGTMPPNALGQGMPLASTPTMPYSPHAGAQVSTPSKIDPNQIPRPMPETSVIIFETRQGGQASIPPAASSEFIVKDTGNCSPRLMRCTMNQIPCTGDLLTTSGMPSALLVQPFALPHPSEEPIQLVDFGEMGPIRCSRCKAYINAFMRFTDQGKQFICNLCGFSNDTPREYFCNLGPDGRRRDADARPELCRGTVEFIATKEFMVRDPMPAVYFFLIDVSMNAVQTGATAAACSAISQALSDLPEGPRTMVGIATFDSAIHFYSLKRAQQQPLMLIVPDVQDVYTPLQTDLILPFSECRENLEQLLENIPSMFENNRIADSAFGAAMKAAFLAIKSTGGKLLVFQSVLPSIGIGSLSAREAEGRSNISTADKEAHKLLQPVDKNLKTMALEFAEYQVCVDVFLTTQSYVDIASISVVPTTTGGRVYYYYPFSAHSDPAKLFNDLRWNISRPQGFEAVMRVRCSQGLQVQDYFGSFCKRVPTDVDLPAIDSDKAIMVTFKHDDKFQENSECAFQCALLYTTVFGQRRIRVMNLSLSCTNMLSNLFRYADLETQFTYMVKQGANAIPSTPLSQVRDQVTSTCINILQSYRKYCASVSSSGQLILPEALKLLPLYTLALTKSIGLRNDGRLDDRSYWASIVSSISVLLAVPLVFPRMIALHDLTSREDDDSLIPTPLTLNSENIRDDGVYLLENGEDGFIYVGNSVNPVTLEQLFGISSLAGVPNQLVLEQFDNELSRKVNEVVNEIRRQRCSYLRLRLCKRGDPSGDFFRSLLVEDKAPGSLSYVEFLVHVHRQIQSKMT >Et_8B_058814.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:8443821:8443988:-1 gene:Et_8B_058814 transcript:Et_8B_058814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKTCKKNLEMKTATADKDFSQAIIPEQSSMTIISANVAQKVARELFLCLMPMC >Et_2A_017005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30049233:30052320:1 gene:Et_2A_017005 transcript:Et_2A_017005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMVPQRHRAAARKPKWIIILLSMDWLPTMGRRERTDEEIISSVVIRDILAMPMPVSKTPKIAFMFLTPGSLPFEKLWEKFLEGQEGRYSIYVHASREKPVHSSSLFVGRDIHSDAVVWGLISMVDAEKRLLANALEDVDNQFFVLLSDSFKDPGPHGSGRYSPEMLPEIEQRDFRKGAQWFAVTRRHALLILADNLYYKKFKLYCKPAEGRNCIADEHYLPTLFNMVDPGGIANWSVTHVDWSEGKWHPRSYKAADVTYDLVKNLTAIDENFHVTSDDKKLMMQKPCLWNGSKRPCYLFARKFNPEALDNLLKLFTSYTI >Et_10B_004451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7969907:7970962:1 gene:Et_10B_004451 transcript:Et_10B_004451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNSENEKLVLKSQSTHEEASSAPEVVRTSIVPPQWRDAWKSVPCLCDKITEEFDYDDDMFINFVSNLLASRADEAPLKVFSLYSPGSEEASSWIRYAIDHSVESLEFTDAEEEIEPFILDTGIINFGSSHLKSLSLSNVSLAAIHISQLNDHCPKLHILKLEESHLETFQISSSSLTSLCIEHCTTPGDMLICAPKLTSLSFIDSGIGVVSLEKLPSLAEASVILHFNIVDPYETTREAVEHDYEYTIYTSKHFSC >Et_3A_025859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33454681:33470909:1 gene:Et_3A_025859 transcript:Et_3A_025859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPRRSDVELPVAANPAADRDRARLQQLGYKQELKRGLSALANFAFSFSIISVLAGVTTTYNTGLRYGGPASMTLGWLVVASCNGCVALSMAEICSAYPTSGGLYYWSAKLAGKDWAPFASWITGWFNIVGQWACTTSVDFSLAQFIQVIILLSTGGANDGGYMASKYVVLAIYSAILIVHGLINSLPIHWLSWFGQFGAFWNVAGVFVLTILIPAVAKERATMEFIFTHCNNDDSVGIHNKVYVLAVGLLTSQYSVLGYDTSAHMSEETKNAEWSGPMGIVTSVALSSIIGWVYLVSLTSIVTNIPNLLDPGNAAGGNAIAQALYNTFHMRFGSGVGGIVCLAIMAVAIFLCGTASVTSNSRMGYAFSRDGAMPLSHLWYRVNKQEVPFNVVWLSVSVALVMALTSLGSQVAFQAMVSITTLGMYIAYALPIFFRVTTARKTFVPGPFHLGKYGVVVGWIGVIWVALVTVLFCLPVAYPVAVENINYTPVAVGGVLLLSVGAWVLHARFWFQGPVTNVELPVADADRARLQQLGYKQELKRGLSAVSNFAFSFAIISVLTGVTTTYNTGLRYGGPVSMTLGWLVVAAFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKDWAPLASWVTGWFNIVGQWACTASVDFSLAQLIQVMILLGTGGANGGGYLASKYVVLAIYSAILIVHGLINSLPIQWLAWFGQLGAFWNVAGVFALVIIIPSVAKERASPEFIFIHFNTENDMGIRSKAYILAVGLLTSQYSSIGYDTSAHMASRTEETKNADWSGPMGIVTSVALSGIFGWIYLVALTSIVTDIPYLLNASNDAGGNAIAQALYTAFQRRYGSGAGGIVCLGIIAVAIFLCGVACLTSNSRMGYAFSRDGAMPFSQVWHRVNRQEVPRNVVWLSVSVAFVMALTLPLAVADDLAAADRDRARLRQLGYKQELKRGLSVLSNFAFSFSIISVLTGVTTTYNTGLRYGGPVSMTLGWLAVATFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKDWAPLASWITGWFNIVGQWAGTTSIDFALAQLIQVIILLGTGGLNGGGYMASKYVVLAIYGAILIINGIINSLPIQWLALFGQLGAFCNVAGIFTLVILIPAVAKNDRASVDFIFTHFNTNNGMGIHDKTYILSVGLLMSQYSLLGYDASAHMSEETKNADWSGPMGIITSVALSSMFGWIYLVALTSIMTDIPYLLNPENDAGGYAIAQALYNTFHRRYGSGVGGLVCLGIIATATFLCGVGCITTNSRMGYAFSRDGAMPFSRVWYRVNKQEVPLNVVWLSVAIAFVMALTSLGSQVAFQAMLSIATIGFYIAYGLPIFFRVTTGRKSFIPGPFHLGKFGVVIGWVAVAWVALVTVLFSLPVAYPVAVDNFNYTPVAVGGVLLLSVGAWVLHARFWFKGPISNVELPVADPDRARLQQLGYKQELKRGLSVLSNFAFSFSLISVLTGVTTTYNTGLRYGGPASMTLGWLVVATFNGCVALSMAEICSAYPTSGGLYYWSAKLAGEDWASLASWVSLSL >Et_1A_006662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25884146:25887059:-1 gene:Et_1A_006662 transcript:Et_1A_006662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAGGMKPATADAVEELTRLYRELPPRPAVEEVEAAAAVLASADAEEEARLAEIAREEEEAARARVGAGEDAVPAELVAVLGEARRNAVRLRALQQRKEAAHVLELERRFKVFDDLIQRASRVVSPGDVGGDGRVGAVADEVVDVEVEAKRKKEAAVAAAAVEIDRGSKGGLGLESKAVSSLRRASSAGGSDTEKLSLIQVASLIESSAKKGNTELNLRGKLLDQIEWLPVSLGKLQDVTELDISENRIMALPSTIGSLRYLTKLDLHSNQLINLPDTFGELSSLIDLDLHSNQLKTLPASFGNLTNLANLDLSSNMLRVLPDCLGKLTNLRRLLVETNELEELPYTIGSCTSLVELRLDFNQLKALPEAIGKLEKLEILTLHYNRIKGLPTTIGSLSRLRELDVSFNEVEVIPENIGFATSLVKLNVSRNFADLRTLPRSIGNLEMLEELDISSNQIHVLPDSFELLSKLRVFHADETPLEVPPKEVVKLGAQEVVNYMAKMVAAREGGQKRTDGRSFWSWLCSLFGCCKKCQGSGSVPV >Et_1A_005764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13665442:13708510:-1 gene:Et_1A_005764 transcript:Et_1A_005764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGHVLYLLRKYLGEYVEGLSVEALRISVWKGDVVLKDLKLKAEALNSLRLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRLFILAHPAPDGQTLKEEDREKLFEAKLQQIEAAEAATLEATSRSSKGGGPGPGGNSWLYNLISTIIGNLKVTISNVHIRYEDSVSNPGHPFALGFTLSKLAAVTVDEDGNETFDAGVALDKLRKSVELHRLAIYHDSDSDPWKLDKKWEDLDPTDWSEIFQDGIDDHSGNSIWAENRNYLVSPINGTLKYKRLGKDERGDPDAPFEKASLVLSNVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPVVPVKEDCRAWWRYAMLAAIGSRGRELGICANFVALYATLLQQASNVDISEIREIEKILDMKVIILWRLLGHAKVETVKSKETLHKKRTQLVSPNTIRFLVDVSIGQAAARIINIDQTEVLCGRFEQLQVVTKLYPKSTRCDVTLKYCGLSSPEGSLAQSVVGEGKSNALDVSFVRAPTAMDLDWQLTAKISPCHVTVLKGSYERFLEFIKRSNAVSPTVAMETATALQLKLEQVTRRAQEQLQMVLEEQSRFGLDIDLDAPKVRIPLTANKPSLGNEYFVLDFGHFTLHTRDGSRDEERQSLYSRFYIAGRDMAAFLICDLAEDIYSIPDNLGQDVLSGHTSDDQFCSLLDRCGMSVIIDQIKVPHPNYPSTRVSFQVPNLDIHFSPKRYCKIVELLGVLYHLKGSNNEDSSSYQSGSLAPWYPADLAGDARTLVWRGLGYSQAEWHNCYVVLSGMYLYILESELSQTYQKCCSMASRQVFEVPPTSVGGSLYSIGVCSRGADTQKALESTSTLIIEFSNEIEKASWIKGLVQATYRASAPPEVNILGEPVSTATEQSTPRLSSLGSVDLVVNGSVIETKLSVYGKLDRKMKDPQELLMLELLGHGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSNYLACSVINESVESTCSGTEGDLSSFSVDEDSFMDALTEFAPELSPNPQDNLISDTNEHTEMNSKGGLWFDGDQQKVKSTEIFYEAQDNNVTDFVVLTFLTRSPDSCLYDGIDSQMSIRMSALEFYCNRPTLVALIEFGFDLSMVNSVPKGDPGMAARTQIAKPTGKEDGGRTVVTGLLGHGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPSSLSIDGMLGNMRFCDMSLGPDHRWGWLCDIRKPGVESLIKFSFQSYSVEDEDFEGYNYSLTGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFMDKVGGFEWLIQKYEMDGASAIKLDLSLDTPIIIVPKNSQSEDYIQLDLGQLKVSNAFSWHGGEESDPSAVRLDILNAEINGINMAVGVNGTLGKCMIQKGHGINVEVRRSLRDVFRKVPMLSMKIQVGLLHAVMSDKEYNVITNCISTNLSETPNLPPSFRKNVNRTKESIRLLADKVNLNNNSLLSRTVVVMTVDVQYALLELRNGPDADSPLAELALEGLWVTYRTTSMLEMDLYLSILKFSIHDIRPDTKSEMYLMLGSYSEASNLCTEDPSTDVGVSNLTMLILDYRWRSSFQSFVIRIQQPRILVVLDFLLPVVEYFVPSLGSITGREESLDPKNDPLMRSDDIILSEPVFLQRENVIQLSPGRQLIVDGSDIDEFTYDGCGGTISLCEEYDKKGQLYSGTIIIVGRGKRLRFKNVKIENGALLRRCVYLNTGSSYSISADDGVEVSVLETDFSNDDEDRLKLEEHNKRMGTLQTATDAPANTMLNFTFEAQVISPEFTFYDSSKLSMDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDVSWKYTSVNEKTNIVLTSTDVCVHLSLSVASLLLKLQNQTLAALQFGNISPLISCTNFKRVWSSPDGDLPGYNLTFWRPQASSNYVILGDYVSSRSVPPSQVVVAVSNTYGRVRKPIGFRLVHILPGSLNLGDSSQSTGGNECSIWIPVPPPGYLALGCVVNIGSQPPSNHVVYCLRSDLVTSATFSDCIHTLTPAPGTISGFSIWRVDNVIASFRAHCSIEQPRCDTKKPFSIWRPLPRFGFASVGDCITEGLEPPTLGILFKCDTVVSEKPVQFTKVAQIDKKGFDEIFFWYPVPPPGYASLGCIATKTDEMPSKDSICCPKLGLVSQVNISEDPISRSSNSKGPNCWSIWKVENQACTFLARSDMKKPSSRLAYSIADHAKPKARENITAELKLGCLSVSILDSSCGMVTPLLDTTIANINLATHGRFETMNAVLICSIAASTFNRQLEAWEPFVEPFDGIFKFETYDTCEHPPSKVGKRIRVAATSPLNVNLSSANLDLLIETLVSWRRQVDLEKKSSIKIEDTVENLTKADDSSSSALNEDDFQRVVFENKLGCDVFIKKLEDTENIVELLQHESTVSLSMPPARFWDKLNVLSNSTESRYYVVIQIFESKGLPIIDDGNDHSYFCALRLLIGSHASDQYKVFPQSARTRCVKPMKTTELQTHYAKWNEHFIFEASANLEIEVTNLASKAGKGEVLGSLSIPIGRGATMLKRAPSMRFLQQDSDVKRVMTCPLTRKGQVPNHEGRKNCGVLVLSSCYVERSTHSNFQSWKDSKSSESDFWIGLSPDGPWESFTAVFPLSIIPKSLNNNHFAFEVTMRNGKKHATLRSLAVIANDSDIKLEVSVCPVNMLNSSVLNTRSTSSINAFDEVFENQWYRPITGWGSNPSNDNGNDLRQWSTRDCSYSSKAFFEPSLPSGWRWTSPWKIEKSSFVDSDGWAYAADFRNLNWPSSFWKSSKSPHDFVRRRRWVRSRQQTQEQSVEIPRKILATVNPHSSTPLPWTAMIRDMDLCLQVRPYSEKSEDSYSWSQVISLGSEGIPKQQQQQSSLSRQSTLKQSTLPSKNSVLRLAELEKKDVLAYCRPSVGTERYFWLSVGIDASVVHTDLNVPVYDWRISFNSILRLENKLPHEAEYAIWEMSPERNMVERQHGIISSGGSVFIYSADIRKPVYLTLFLQNGWTLEKDAVLLMDLLSLEHVSSFWMVQKQSRRRLRVSVEHDLGASDAATKTLRLFVPYWIKNHSSIPLSYRIVEVEPTESPDADLPGKPDSLSRPIKSSKFSLRYSSKSLVRRSTMPQRNMQIMEVIEDCSTNYVMLSPQDYMNRSAGMRSDSGDNNFSPARIAISVAVGSCTQFSIGVSLFELENKEHVDVKTFASDGSYYWFSAQLKMASDRTKVINFLPRGLFINRVGRSIILSEYHNESEEPLQPYEPPKIFQWRSEFGSELLRLRLEGYKWSTPFSINTNGVICVLMNSTTGNDQVFVRVNVRSGTKSSRYEVVFQLACWTSPYRVENRSMFLPIRFRQVGGDDHSWRCLPPNSSAPFFWEDIGRKRLLEVLVDGTDSTNSMTYDIDVVMDHQPLTNSSGLKKALCVTVLKEGKLHVAQISDWLPDNRNRGQTIERILSPIFQPSEVDYGHSSPDLDSEFHVTLELTELGISVIDHMPEEVLYLSVQQLLLAYSSGMGSGINKLKMRMQWIQVDNQLPFVPMPVLFCPQKIENQSDYVVKFSMTVQTNNSLDFCVYPYVGVQVPESCVFFVNIHEPIIWRLHEMIQHLKLDRIYSSQPSAVSVDPILKIGLLNISEIRFRVSMAMSPSQRPRGVLGFWSSLMTALGNMEHMPVRIVQRYREELCMRQSALMNAAISNIQKDLLSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQSRMRQDSKGVEDFGDVLRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLLRRRLPRAIGGDSLLYPYDEYKAAGQAILHLAECATFLGQVDIFKIRGKFASTDAYEDHFLLPKGKILLITHRRVLLLQVPMMTQRKFNPAKDPCSVIWDVLWDDLVTVEMTHGKKDAPGSLPSKLILYLKAKPSNSKEVVRLVKCNRGSDQATIIYSAIDKAYKAYGPNSLKELLRWKVPRPYAPRNNTGRSAQDLSFG >Et_2A_016498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25365452:25385663:1 gene:Et_2A_016498 transcript:Et_2A_016498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFRSCHEPTDLACSFSKMELASRAALFLLSVCAELFTGHLGVATAVSFFYNFSDPTSFNQGDLLLEGNAYAGDGSVVLAKGQQLTAGDTASASSAGRVSYRHPVLLWSSNATGWSFSTSFSFVINNGLTQNNTGGGMAFFLAPYKSRMPVNSGGGYLGLFNSTTATGGERIVGVELDTHGDVGWDPTASPHIGSGKNRYKVVDNLADGVPKFLQVDYSDVTNALVATLRDFTNGSSVTLEAVVDLKQLLPQEVAIGFSAASVSGSVLSRVLSWSFNSNPGQGHPSKETLVHVAPEVALNASASPPPSSKILPPSQNLRHLTILSFSAPSHNSSGIVSASHSQKQSLIFSYEELSDGTDNFSEERLLGDSEGAGSFYKGDLIDLGVVAIKKVKQEPGQIGLTKLGIHRVANIRHRNLVQLLGLCHEGDNFCVVYEFVHNGNLHDHLYNPGVLLTWPMRYKVVLGIGSALQYLQVKFAYLFFFLNLKTSNVMLDESFDAKISDFLLRWPHFSFISIVTLKVQNMAASRGYTDHERTCMANPLSIVYSFGVVLLEIACGRPPIIRQQDEAAPSTSTLFDSVWDLYEKGIILDAVDWRLNGEFDMMQVERVLMVALWCGHPDYRQRPNIDEAVDSLEFLIPTKSEDATILLSSSLCGCLCYLLLLLSTRAPRATSLSFSFNFSNVDNPYCTESSDLACGGDAHFYAPEKAIDLTRNDISEPNYHSVGRAWYARPVPLWDAATGEVTSFNTSFSFKIKPSDPTRPERSADGMAFFLGARHPAGVPRGSNGKNLGLFNGSTNRGARGDDRVVAVEFDTYRNHVGVDVNSIVSAASVSPDWSLKSGETVVAEVSYDNGTRVLAVTVWMGGSTAYRVNHAVDLRRSLPSEVAVGFSAATGGIIEVHRLLTWSFSSNKQSKARNTIAVSAATSFVVICAFVGFLLRRKLQTWKKPKVISKAELEEDDKHDEAGFEKGFGPRRYSYSELAAAAGNFAEANKLGRGGFGHVYRGCLKIDGQDRHVAIKKFSPESSVQGRKEFEAEVKIISRLRHRNLVQLIGWCDGCKGLMIVYELVSEGSLDKHIYCSARFLTWPERYKIIIGLGSALRYLHLHGEWEQRVVHGDIKPSNIMLDASRDAKLGDFGLARLAEHGARPATTKVVLGTAGYIDPELVNTRRPSAESDLRRRAPGARHRAATQRRRPVEEPDDSDELFVLVRWVWRLHSSNAVAEAVDPRLMSGEVDGEPEDNQRRQMERALVVGLWCAHPDRGDRPSMSQAMHVLQSDDAKLPALRPQMYGPEPFL >Et_3B_027648.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:10130120:10130308:-1 gene:Et_3B_027648 transcript:Et_3B_027648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMEVILIATWAIWRVRNAKIFEGVNPSFGAWWNFFREDMTLHSIRFRQHERQKMLNWLESL >Et_3A_025981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34302495:34305006:-1 gene:Et_3A_025981 transcript:Et_3A_025981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLLQHGLMMGPWLLTPPKTSPFRAPPPRPPRPSRRRRRATHHTAVMATTRRRPRRGSNSDLSRILTDCTRRGDAAAAMAAFDAAVSAAPAPRLAAHQYNQLLHLLATADGSSFPSPAAAARRVFSHMLQAGAAPSEATITSLARVTASDAADEAFQLVATMRDKYGLAPRLRSYSPVLAAFRRAGDAGKAYAVEAHMAASGVSPEEPELAALLDVSSRAGDAGKVYEYMHKLRQAMDCVSEETAEVVEAWFRTEKAAMAGMPEWNASQVKEAVVANGGGCHRLGWLGTGPWTVQRVRVGADGDCGGCGCHLASVDIDMEETQRFADSVAGLALERETKSNFSQFQDWLEANKQYGAIVDGANIALYQQNFAEGGFNLTQLDAVITELRARYNGKWPLVILHNKRVSKLMENSSNRHFIENWRANGALYTSPSGSNDDWYWLYAAIRLNCLLVTNDEMRDHIFELLGSSFFPKWKQRHRVKYTFNKGKAVLMMPPPYSSEIQESELGSWHVPLEEKSGDERIRIWLCITRNGKEPDEAPAVNGIVQEVPPTEASNGVQLSLLENKAELAAGKRKDRD >Et_2B_019577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10353909:10357202:1 gene:Et_2B_019577 transcript:Et_2B_019577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIADTDDDFPPTYSNSRGVRSSGRGTGNGRSIAAANSYPREPTDMEAQIHHLEQEAYCSVLRAFKAQSDAITWEKEGLITELRKELRVSDKAHRELLNRVNNDDIIRSIREWRSTGGLQATLSNNPQPLHDTVPSPTTSARKRQKTAQPIPALPGPPSALHSQQLAAATQPSSSVARKGVPPGPKVKKTKPGQKLPTGSTPKSMPPSAGASGRGQAMNRNFPGGPPEHSQAQGVNPLVGRKLMSRWPEDNSFYEAVITDYSEETDLYALVYDMNTANETWEWVDLKEMGPEDIRWQDDGSGIDPMTYLRSRGAPGSGVRKPNRSGPMSGPGRGRGFQKNASKKDFPSPQNGVGKRSSDDIDILHTESLIKEVEKVFSVSNPDPLEVEKAKKALKEQEQSLIDAIARLAEASDGESDGHNRGRRNALHAQANYNDSMPIDGDQAGAM >Et_2B_021255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27981810:27983371:-1 gene:Et_2B_021255 transcript:Et_2B_021255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGFQWSKPCSFILFAVFFFLSAAAVSEANIGDFDEHWRQRKLVAEAAAKATYKHDPLEETNRLNSAEDASTRREMLGKKKGKFGGPCLATNPIDRCWRCRKDWATDRKRLARCAQGFGRNTTGGLKGKFYVVTDGTDDDVVNPRPGTLRWAVIQKEPLWITFAKTMIITLKEELLIGSDKTIDGRGAQVRIANGAQLTVQFAHNVIIHNIHINDIVASNKNGGNIRDSTDHFGWRTVSDGDGITVFGSTNVWLDHISLANCQDGLIDVIAESTGVTISNCHMTNHNDVMLFGSSDSRPADQIMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGSKNPTIISQGNRYIAPPNLAAKQVTKQHDAPESEWKNWVWHSEDDLFMEGAYFTVTGGDLQRKFNKKDLIKPKPGSYVTRLTRFAGSIPCRPGKPC >Et_10A_002096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22194376:22197842:1 gene:Et_10A_002096 transcript:Et_10A_002096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGKLTGGGAMSPSGMSEAFVTNLHLEDHKQKGIVGMDSSVYLSLCCRNSSFVPEAYSPLYMVSIPDSSLPEASATREGPRQIAGLETEVEGKSFISLPSGWIMGVGGNSGGTIILDTKLPTKVIRGPNLLAAKCFPILAAVGHKVYALCNSPNYTDEPNFVPWFEVLDLSKGTVTKTADGNFRLDGCLWEELPCPPCFSRKLSPTGYLHPPIISVRSYVIVLPYILLCLNPKTSCTYAFDTSSGDWHKIDNTSLPFVGHATPHGHSGCIFLGTSLGNWSINAYRISVSTSSGSPSTVLGGSGGKGSALKLSITACSIINEEHKRVGSVAGQNIISLDRDRFSLLTFSFDNRKHNMVSYSEDDATYSKKLFANLTTYHIENPALLEETQDQEKLWTVKREIAVYKQWEQEFKIIDVTGVEFEHRHRCTLLWSIFSFGRGK >Et_2B_019160.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1574776:1574865:-1 gene:Et_2B_019160 transcript:Et_2B_019160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNAPTAQEMSYFDHVQKRHEEKGCLYAW >Et_2A_016442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24826951:24828877:-1 gene:Et_2A_016442 transcript:Et_2A_016442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRAANRCLVQEDAKRAPKLARCPPSVQVHDSSGGNPENPQDHHVPNFMTVNWNLMNSNLPADTQWWLQLQPNFGCQMAREHLNCVGGEAGEKKMEGLVAPASKLDDTEAKKSVDPFEPPWIVSTAFTKQTSETGAEQFKTHVGNTPTSLKCRGYGNNYVHEDKEFMEFKTFDPLFPNKPHKEFCGMDAPWEESKRSRPWWQVADADGLASLVAERAMQNFVNNDLPRPTQTVQVHGTKLSSPCKKIDYEQPLLPADKEPYPLHDTLVCSYGVSSTETNSSDGKGWQQHQRINVPGGEQDSYSSTDSTSGGKPTYQSASERAKLLDALRHSQTRAREAEMAAKKAYDEKDHVIKLLFRQASHLFACKQWLKMLQLENICLQLRLKEQQIATMFPELPWMMVKEKMASGQERKDGTRKRGRRPNRRGGLRNAVVFAVGVGIVGAGLLLGWTLGWLLPKL >Et_8B_060652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4006648:4010723:1 gene:Et_8B_060652 transcript:Et_8B_060652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSIHIRLAADEIHQTLEASSSAAASYSSDDAFVPVFRPDPSATSVAAAAAMADRVRSLFRSVEIDLLCDALFAPRSEDLGFTEEFADDGDASIHWDCLEFEDAEPDLPLVASAAGDEFEWEEVASASASGAGGEPAEPEWEVLGDVLPPAAATNDAEEGFVYTSDRESYEVLVSGGEGLFLKNKPPAARSAVEALPSAVVAAGEEGEGEECAVCRDGVAAGQRVKRLPCSHLYHDECIVPWLQVRNSCPLCRFELPTDDPDYETWKAGRAWERTGDARLLKSTWQPSFVLYRVALAAACSAVLLAAALCRCRGDLPRQSSLVQRKPNGTKQKQQYPSRASWRDTCPSWEPGNRHAATRKSSSRGDNDDTQTESSQNKAAGASSAGARFDAGGE >Et_4A_034160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30354920:30356773:-1 gene:Et_4A_034160 transcript:Et_4A_034160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDPVADDAVACSAAAGRPLAPKHASSARQPASLHCSQNYPASIAGAATSSLPPFPTPATSYWPASSPPVVQLQHHHPWQPSAPPTAASSSSFVGDSGVQTGNELFAMFAHCSRPQSTPAPFAQLAVPPVQMMQFAAQAQHTSQAMAAAPVESVPFAVVPANNVAANGDDGAKPAPRRRGRPRKNPGAPSPPKRAAPRRKPPVPKTAAAATSRQVQQQAPPANAVAMANGAAVGQEALSTNAAASPVQEQRPCACEEAAMMEEEPVAKPYAEPTVPGVRFRPTNEEIIGYLRMKYLGRTMPVDFIKDFSVYQAHPETSVNGCWYVFSPRNRRYKNGLRPARSVGTDLGFWKSNTKETDIRVGDREDGAVIGKVTALTFELGRQPKGIQTAWKMKEFCIPEKQHRSDGRNMVLDDWVLCKIFCNGQGDKDDQMALAEEVDQMDDADEDADEEERDENMEILAVEQGGHPGDTNESVGETEGDATMQDVHVDQALSNSDEDLCVEDYLVSNPTFRDQNHAAN >Et_2B_021938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7054412:7055672:1 gene:Et_2B_021938 transcript:Et_2B_021938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLDLLQSKGLLCFLLNIAHDTYNKFLDGARLSGTIATCERSLIKNNVALYIICQSASHSTVCKINILDGRLLGCQDQILTPHCTLETFSYHDEDAMLDQLLPKWHFFCDGKLIYAAPSNEGDSVYTCDLLTGCTGQVVSRRPVGVVFHHVLQVGPKTIALSHSFHGVYHLDSATCAWIFHETFAPSREQREVNFTGYAVLDFETFIVYEGYSGCWFILNISDHDGAWKVFMPYAEYETSSQDETSLSPSLMHQSGYLNGRSVFANGFIYTCLDEGLTAYELIEHCGSHYLGDQIDLQFPWRHGWDDDRICLAYVGEDNSSAPLCFLCCKVIHYFSHDHSCQPGYDNTPVRITTVQVKTERMHNGMLKPNKIGHVDMVKSCVGWSGSVSIRNCFAV >Et_6B_049987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2742422:2750954:1 gene:Et_6B_049987 transcript:Et_6B_049987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METEATPPSGSSSSSSAAYPRWVLLARHDVFEDDDARLTGDDKSAAACLTSAGRPIRFSLHVAPPPALSRMRVHAPEGGVMWNHPRGVVLRHGSLPLWRRRRQVAAAVGEALLPHCYFTVRNVRRNFCDERASPRGFLFGDRSEPRMLEQLDQDVAGLLRRRGRGNDAFVVAELQMAPSKPAAELLMLRSGKWSVTRAPINHASDKCRELPSWRTQTVVPVGDRLLCWVDLNLGLLLSDVFEDKPELRETPAMAELVMLRSGEWSVVRPAFSGAELTRWGGTHGVVAVGDGQLCFFNLHGGLMICNVFAERPKLRYVPLPAGGEAMSPPNGGSGTSRNVCATAGGRKVKFVNVFPRCCCGGAGATKCRHSHRAFAIATWTLDITDGDGDGKMAWAMDAVVDATELWALDAYRGVARVRPTHPVVSLDDPHVISFVVSERYVDTHGDHMTWLVMLDSRSKTVLSVGPRPGAVFRFIGQPMLPSRVSNYFSALPSSSKPVVVVVNESQTEDANNNTAQASSSSSISSIMPKHPLQAASPAMMFAALEEILHLPREDMLKAYRILCHDSTGRRFESLLGLPVSFRKEWVLLEVKATEACSVCSGSTADN >Et_2B_020021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16087880:16089261:-1 gene:Et_2B_020021 transcript:Et_2B_020021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGRRDFRTFSSRRTSAQHILCSGPFGPALLAAGTGDRAEARSMARSIECSDFSVKNVALDTLFLIVIEAVVVIALGKFIHIALRRHNLPSAISQIIAGIIVGSLGLHEVIVHVDVANEEDTYARYVSEVRNFYMFYVGLDADLAALWNDVRRCTIFTYASMASCLLLAALVSGGMYGSMMHTPVRSPELLAAVLMLTLANTASVDVSRMVGEMGLTATASGRLVVATNVICIAGEGVFACMKLASSMTPGMSASASSAQRGAAPRGQLGAGAAAGVRVVTGFDGMPAGLLLGLVFPREGPVARSVAGALAYPLHALALPFYFGAMGMRLNFNSISGAIVVPDVLLTLLGLTGKCVGTMAVAGFVKIPLADAVRFGVLLNIKGHVNMIDMTFASSEGVVIIIHSSYWRSH >Et_4A_033088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18385736:18386614:1 gene:Et_4A_033088 transcript:Et_4A_033088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALQQTTSFLGQTLVSRVGVDAGGRITMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHARWAMLGALGCVFPEILAKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLVVLMGFVEGYRVGGGPLGEGLDKVYPGGAFDPLGLADDPDTAAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHVNDPVANNAWAYATNFVPGK >Et_5B_045367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:224246:229134:-1 gene:Et_5B_045367 transcript:Et_5B_045367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTRMPCSPSSQATFLVSPTSACLDAVYACGPMPPITPATLATDTMLPPPPPPRATMARAACFMPRAAPSALTRSTRSNSAASMDAMRFAARVCRPSTPALLQNTSRRPCRATARCTVRSTSASTDTSQCSKPQPSAAARASPAASSMSAINTRAPCSTNIRTIASPIPCAPPVTMQTFPSSLHFDELLRCLMVTSSCPGPESKEAKCVCIYICLLSMAAAAPLPMMSAATWIFLGEGGGKVVVSTHLGLGWCAMLSEMNSTAVMVAATAAPCIDRKPALLLAFTFSWRRRRGPRTLLAVRRMARNAACIYSATVPSSFSLVGRIREIQIQSSQTQFVRDTTHATTFRLLLVVDRKSSKSFASIDASIRTLLLSPQNSQTVPRLPSACGGGFSPSIFGCQAWGGVPGESSLVWSAFSSCGWEVLRPL >Et_6B_049043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1761401:1765607:1 gene:Et_6B_049043 transcript:Et_6B_049043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAALVTEPDSGGGGSFSSLRAYGRALAQTPRRLARRACAATAPGEEMSRVRARSGPRMARALRWHDLVGLGLGGMVGAGVFVTTGRATRVYAGPGVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSKWRIAVPGLPQGFNEVDLVAVGVILLISVCICYSTKESSSVNMVLTAVHVAFILFIIMMGFVHGDARNLTRPADPEHNPGGFFPHGAAGVFNGAAMVYLSYIGYDAVSTMAEEVERPERDIPAGVSGSVVLVTVLYCLMAVSMSMLLPYDAIDAGAPFSGAFEGKQGMKWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPSWLATVHPRTATPVNASAFLGALTAALALLADLDVLLNLVSIGTLFVFYMVSNAVVYRRYAGDNSGAGGRRRKTLAFIVAFSLVAVCFTLLWHLAPSGDGGGRVKAGLLAGCGAAAVAVVAAFQKLVPQARAPELWGVPGMPWVPAASVFLNVFLLGSLDRASYVRFGVFSGAVVLVYVLYSVHASYDADEGGGGGAKVVDEGCEV >Et_3A_023849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13461549:13467231:1 gene:Et_3A_023849 transcript:Et_3A_023849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVVGAPSCCFGFGHHAQRAAAVRLRVPPARAADTSSAQPAARLRPGNDEAALSLVRGSQGDDGGLRGFGTARQVYFSGGLRNMVLDTIGHSLSQKYHNRVIQHEAGHFLIAYLLGILPKGYTITSLDTLIKQGSLNVQAGTAFVDYEFLEEINAGKLSATMLNKFSCIALAGVATEYILYGIAEGGLADINKLDGLLKSLGFTQKKADSQVRWAVLNTVLMLRRHEKARLRLAEAMSSGKSVGSCIEVIEGNINAEDI >Et_9B_065720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9739533:9752881:1 gene:Et_9B_065720 transcript:Et_9B_065720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVVRRLGDPTLAPGGEASPFAAISRDQPVPELSSPTAVRVRVAATSLNFATLLQVQGKYQERPPLPYVPGSDYAGVVDAVGPAVRRLRPGDRVCSFTGLGSFAEFIVVDEKELFSVPDRCDLVAAGALPVAFGTSHVALVHRAQLKAGQVLLVLGAAGGVGASAVQIGKVCGAIVIAVARGTDKLQYLKSIGADHVIDSSKDSIIENAKSFLKARGLKGVDVLYDPVGGKLTQDSLKLLNWGAHILVIGFASGDVPVIRANVALVKNWTIHGLYWGSYLVHQPAVLIDSLNELLSWLSKGLITVQISHTYRLNETPNWQPRDSKRRSAVAKHRTENMKLKMCHGSWCTLVVATLSLPAGDVYSMSCYFSGEASQNQTRINGTHKKQAKAAMHAFKINPIPVPYWFPTVGQLTGK >Et_4A_034980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8462735:8466743:-1 gene:Et_4A_034980 transcript:Et_4A_034980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPAPRPSSGAGSAAGSGSATSVAPVDALFLQNLMSRVQLRPPFLDTNSFLTQDLDDFLLNEFAALSAAAGEFDDDEDEEDEEGGLSGGEGSGEARRRRMLAREEAKVEKEIVRMVLAGEAEEKLRPNSGQSVAVGDHHLCVGFHDESGGEYRVWEWHGHVMLYGDDDGYSAEYIYGNHFEPLAAATVRAKKKEKEKREKDLSMGLRDLVMDTNDSGNASKQNGSSGGPRVVRRNVVNSPAAPARHYGHKKEVKAATVK >Et_2A_014827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17247560:17248306:-1 gene:Et_2A_014827 transcript:Et_2A_014827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GATSASARRRRPAPAPPRRAGRSASLAADPVEPNVPGIPSPSRVASPDHAPSAPPERAPSTPSSGRAASPEYTPSSRATSWNGAASPYYTPSTPYYTPSTPEEYTPSTPSSRAASSPDYTPSTPSSRAASPDYTQATPSSRAASADHTPTTPPPQSPRIAEPDAEASCSRCSSRPSSRISRRGRRCLHTKTYLAFFSPTIPVV >Et_1A_004883.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14005711:14005974:1 gene:Et_1A_004883 transcript:Et_1A_004883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIQQHADNGHGGDARAEQAASRGKNQEEQQQQQQPASSLNPTAARLLREAIVSQPDGDGKPAAAAGSSDILAFARAVDRADSPLE >Et_9A_061787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16475462:16484304:1 gene:Et_9A_061787 transcript:Et_9A_061787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADAAGGGVDAGEPVWDWGNLLDFVVQDDDALVLPWDDAAGIGAADPTEAGASLLATPPPQPVEVDPEPEPELEPEPGPVLPPPPLQVQGIGRRVRKRDPRLVCPNYLAGMVPCACPEVDEMAAAAEVEDVATELLAGARKKPKTAGRRGKAGAAGAAGGGGVASASGSAGGTGRAAAAEMRCQVPGCEADIRELKGYHRRHRVCLLCAHAAAVMLDGVQKRYCQQCGKFHILLDFDEDKRSCRRKLERHNKRRRRKPGSNGPFEKEMDEHFDLSADISGDGELREENIEGTTSEMLETVLSNKVLDRETPVGSEDVLSSPTCTEPTLQNEQSKSMVTFAASVEACIGAKQENMKLNSSPVHDTKSAYSSSCPTGRISFKLYDWNPAEFPRRLRHQIFEWLASMPVELEGYIRPGCTILTVFIAMPQHMWDKLSDDTANLLRNLVNSPTSLLLGKGAFFIHTDNMIFQVLKDGATLMSSKIEVQAPRIHYVHPTWFEAGKPVELHLCGSSLDQPKLRSLVSFDGEYLKHDCFRLSSLDAFDCVEKGDLTLDSQHEIFRICIKESRSDTHGPAFVEVENMFGLSNFVPILFGSKQLCSELEKIQEVLCGSSCKNSDIVGEFPDASFDPCERQKIQSAAMSEFLVDIGWLIRKPTPEEFKNLLSLTNIQRWVNVLKFLIQNDFFNVLEIIVKSVDNIVGSEIISNLERGRLEDHVTTFLGYVDHARSIIHHRAKHGEGTQLESRLVTDNFLKQPSLGTSVPLDNGNTGPCGDNYLQSTSAACEEEVTVPLMTKDASHRQCCHPEINARWLKPSLVITFPGGATRTRLLTSVVVAAVLCFTACVVLFHSHRVGMLAAPVKRYLSSDSAS >Et_10A_002234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5089462:5090890:-1 gene:Et_10A_002234 transcript:Et_10A_002234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNVLARQFYRGDHASFAFKYMEAGGCHEEFAVAVQGCEKATDDNSKLYIDACIRKTAALRKCMMANEAHFKFYIRDMDKGIDDNERRGYGIESEPYENSWDIPTSKWRWWGNMRRKYKTIPKRDKFPNWFMTHV >Et_3B_031457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30334956:30338280:-1 gene:Et_3B_031457 transcript:Et_3B_031457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGSSPPHSQENPPKHGGGRGEEPAEEIGGEAADDFMFADDTFPSLPDFPCLSSPSSSTYSSSSSSNSSCTYTNNAAAGGVRGGGEPSERASAGEGFDALEDIDQLLDFASLSVPWDSEPLFPDVGMMIEDAMSAPPHPVSAGGPEGKALLEGGAGGEGACMDAPAAGEDLPRFFMEWLTNNRESISAEDLRGIRLKRSTIEAAAARLGGGRQGTMQLLKLILTWVQNHHLQKKRPRDAMEEATAAAGSHGHGQLSSPGVNAGYEFPAGGHDVQAPAGAGTSSWVPYQQPFSPPPAYGAEAVYPSGAAGHGQFTFHQQGCGTSSVVVNSQAFSPPAVGDMHAPAGSWPPQQYVTFAGGASTGSYPMPPPFSPGFAGQYPMGSPRMAAGVEASATKEARKKRMARQRRLSCLQQQRSQQLSFGQIQSGPLLQQEPSPRSVHSAPVTPSAGSWFWPSAGQQIRNPLSKSNSSAQMLQVPSPEPAAAPPPPAKTASAARQEKSPQRAAASDKRQGTKADKNLRFLLQKVLKQSDVGSLGRIVLPKKEAEIHLPELKTRDGISIPMEDIGSSRVWNMRYRFWPNNKSRMYLLENTGDFVRYNELQEGDFIVIYSDVKSGKYLIRGVKVRPAPEHGNGVLGKHKQGHPAERAGASGSEDGGGVDGKPDACKSRPPHSVRRARQEAAAMGQMAVII >Et_7B_053678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1148479:1153265:-1 gene:Et_7B_053678 transcript:Et_7B_053678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPPADAKETLVSSFLEIAAGQTRQIATQFLQISNWNLEEAVQLFFINGESALASHPAPSAAAAASVEAAAGAEEALRFAPPPAAALGDGMLQGLGDEDDVRAPLPVKRETLYGDAPLAAIRPNSTAAFRNFEEEARQSAVWDSEQNATSSSRDNLASLYRPPFSLMFNGPFDKAKLEASVLDKWLLINLQSKEEFSSHMLNRDTWGNEAVAQLVRTNFIFWQVYHDTSEGRKVCTYYKLVSMPAILLIDPVTGQKMRGWNGMVHPDRLLEDLLPYLEKGPKVHHAVQPQKRPRQVDQDSTLGKQGKTSVMDEDEELARAVAASLEQGKEVAGESDATDDMAEIEEEDEPSLNIKLDYPPLPEEPTGSRDLLCRVAVRLPDGRRIQRNFLHTDPIKLLWSFCCPKLEDGEKRPFHFVQTTIPGASQTLEFGSDLTFKEAGLANSMINLLWD >Et_1A_005790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1436304:1439842:-1 gene:Et_1A_005790 transcript:Et_1A_005790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMASPRGRSIRETVLETVAAYHQQQRMRRKLRKSLSYAGELSSAGRARGEGVSSSGSATSLYGPEDDDEPFWEEEEGTVELVQLGANRAKNVLILMSDTGGGHRASAEAIKDAFRIEFGDEYRVFVKDLCKDHAGWPLNNMGSSYKFMVKHVQLWKVAFHSTSPRWVHCFYLAALASVYAKKVEAGLKKYKPDIIISVHPLMQHIPLWVLKWQGLQNRVVFVTVITDLNTCHPTWFHAGVNRCYCPSEEVAKRAALDDLIPSQIRVFGLPIRPSFCRAVLVKDDLRKELELDPELPAVLLMGGGEGMGPVKKTAKALGESLFDKELGKPIGQLIVICGRNKTLSSSLQALEWKIPVKIRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKSPTESAKLVARWFGPDSEELKRMSENALKLAQPEAVFDIVRDIHELSQEQGVLSQISGSLTSSFYIPSPEATPIQLI >Et_8A_057518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3890884:3892008:-1 gene:Et_8A_057518 transcript:Et_8A_057518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQKGILRRILLFLFEMSLAAALTVLVVFPLANIGRPSDMMLTQGNCTKIGVQPPVAVVPKDHDQSEFADLAELLPRVATDDRTVIITSVNEAFARPNSLLGVFRESFRAGEGIEHLLNHVLVVAVDAKAFAHCKAVHAHCYLLEVKTMNLSSANNFMSKAYVELVWTKLSLQQRVLELGYNFLFTDVDIVWFRNPFRHISVFADMTTSSDLFFGDADSLDNWPNTGFYYVKATNRTVEMLRRWRAARPRFPADHEQTAFNHVKRELADELGARIQSLDTARFGGFCQFFRNDIAAACTMHANCCVGLGNKLHDLRE >Et_2B_020132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17113431:17115272:1 gene:Et_2B_020132 transcript:Et_2B_020132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLALIAAELGDSSDFEVEGIQNLTENDVSDEEIEPEQLARRMWKDRVRLKRIKERQQMLALQQVELEKSRQLKPVSDQAMRKKMSRAQDGILKYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVKFDKNGPAAIANVAEDGNGDTHNSCNEYDVDGFEDAPLSVSSKDDEQNLSPVAQSTEEHAPKRGRERAHNKGPNQIVPYKAGTKEPPKRKRARGSSTVIEPEAQRIDNGPENSRNMIPDMNQLDQLEIPGMANQIVSFNHGGNMSDAFQHRGDAQVQVHLPGAEVNSFGNTQAANAAPVSIYIGGQHLPYLNSDSSRSKSGNTFPVDADTGLNNLPNGYQALPPKQSIPLSMMDHHVVPMGTRAPADNGPYGDHIIGGGNSTSVPGDMQPLIDYPFYGEQDKFVGSSFEGLPMDYISISSPIPDIDDLLLHDDDLMEYLGT >Et_3B_031425.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:29039921:29040655:1 gene:Et_3B_031425 transcript:Et_3B_031425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAGMHDVGGGDVPVAEDGADDVFFCVAATSRGSKNKISYFHTNARGGDAESARALAALCLDHAPEHHVWHHHTVAGARTFAFLSSGDGRTYFAAADPTPGGAETVRFLERVRDACDAAPRRRLRDEAVAPVARQFARALRAVAAGGGSSSTADAALLAASPRARVPSTPLAPVCEKDEEPQGMEAQLRAVQPEQSARAGRPSWWRTWRRHAVVAIGVDVVVCLVLFAVWMGVCKGFRCLTG >Et_2A_015240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:86779:94401:1 gene:Et_2A_015240 transcript:Et_2A_015240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACSSSSPGGSVCASSPPPPPPRAMKRELAFALQSLSEISASPGRTRSGRPISSLPNPASSVKRRKRSDTPAADLVYPPTPPIDAEPPALHDVLGPSDVSNPNAAPEVLVLQQLKTPPHLLLPPSSSPVGASHGPCSRIYQMKKRPHLMNATPQHSKDASVDSALLPQRRFTRSLLKTKVEASLVGSEDVPDSTSDSPPSVKKMEMKMSKKVACLTKHPGNIRELFNTGLLEGMPVMYIIPHSKKPVLKGVVTGCNIRCFCPSCNGSKAVSAYYFEQHAGSTKKHPADYIYLGNGNSLRDVLRASGRSPLEALEKTIRSSIDPIVIRGIANCLNCDEHIPPSSQPECVLCHGCLESKQPQDPPTPSYSCKSDFSLPQSSKDYLVKKVSSGKKDGSAGKVTNKDTGLHKLVFNVLLDGTEVAYYVDGQRKVDGYIKDQRIYCNHCNKVVSPSAFEAHAGEGSRRKPYDNIFTSNGVSLHELSMKISKDMGRSEHEIDNLCRECGLGGDIFPCKICPRSFHPACIGLSGVPSEWYCDNCRNLVQKEKALAENKNAKAAGRQAGVDSIEQIMKRAIRIVPISDDLGGCALCKQKDFNNAVFDERTVILCDQCEKEYHVGCLRSQWQVDLKELPEGEWFCCNSCSELRASLDKMMSSGAQLLSEPDMDIIRKKHEARDLSMDTITDLKWQILSGRSTTEDGSVLLSAAVPIFHQSFDPIIEAHTGRDLIPEMVHGRGPKDGMPGQDYSGMYCAVLTLGSTVVSAALLRVMGGDVAELPLVATSKDLQGLGYFQALFSCIERMLVSLKIKHFVLPAAQEAEGIWMKKFGFCRIPQEQLETYLNGAHLTIFYGTSNLYKARLLTDQHHGGHQSNTLDRVSPQLMGRGRTARQVVVTSGVARDLAAWQVVVTDREELCVWAAWQVARTAA >Et_1B_012904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:407353:409660:-1 gene:Et_1B_012904 transcript:Et_1B_012904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEQSGTEQTSPRGNDWEVVQLTASTYAAAPAPRRPELSEEVEAKKFGTKGDDDSAAALLMSGHFSVAQNEVESLLIGKDSIEYQKELCSLDALSNEADDEKYQETCEHKLKDDLHRIPSFDKGKSLSLADMEFDSKALQGMSLVGEEPVGLSAPSYDAIDAGKDLSWSATESRNEKKTEESILHKVNPDTGSTKAVASGDQNKPDGSGLPRDAWWKKQLISLYKNAKENTKFWPIVAAAAALVGIAYFGRRWQKGKLQLQPVKLQPSSSKEKISHAVGPLSRMKDILVAGNHQSTAAFDSDDCYEATP >Et_9B_065730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9818689:9824259:-1 gene:Et_9B_065730 transcript:Et_9B_065730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSLGHANPTAGQLQRRDGSHGPKLRSARHHHHDVPSIKCTHTHARTHVGWRPHAVHTAWAMLGLIYAGQMDRDTTPLYRAAKELINLQLETGEFPQQDIVGCFNSSLFFNYTNYRNLFPIWALGEFHRRLLEKTG >Et_4A_034044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29401508:29405322:1 gene:Et_4A_034044 transcript:Et_4A_034044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAEPVTADAVRDGFAELERQQELLATCTELYRQLTDHFGALERRLASRSGSLRARRRAHGARTSRRLAALRRRELSVEGSVELALSRLDDSLAAAAGSGTEQAGASPAGVAEALASLCAGMDSAGFFAFVAARRKEVDALRALLPEALKRCVDPAKFVMDAVSEVFPVDKRVVRSPADLAWACVLILEAVVPALADPDPEIGATRPMVPRAARERARGMAEEWKEAAERNGGVEGAKPADAHAFLQHVATFAVAERGDKGLYRRIVVSFSWRRQMPQLALALGLEDEMDDIIEELITKGQQLDAVNFAYEAGLQEKFPPVPLLKSYLEDSQKISSTSDNPSTSTDQFGINANKKEQYALRAVIKCIEDRKLEAEFPLGDLQKQLEELERAKKKKAASSSSNSGSSGPANKRIRASNGGPTTLAMASCLTESNGVSLPNVTSAPPSSHASYGASPPYSYSVHAGHTIYCGQTAPVLREPYVYPPDASNVGLCVTYPSPPIIYPAYSGYNSGLTGHSNAMTPELFWANPGGGVVF >Et_1A_006061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17295446:17299215:1 gene:Et_1A_006061 transcript:Et_1A_006061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQESAATNGAGARPHAVVMPYPLQGHVIPAVHLALRLAERGFSITFVNTESVHHQIGAGDDIFAGARASSSSSELDVRYELVSDGFPLSFDRSLNHDQFMEGVLHVLPAHVEELLRRVVTDPATTCLVIDTFYVWPATLARKLGVPYVSFWTEPALIFNLYYHMDLLTKNGHFKCKEPRKDTITYIPGVPSIEPTELMSYLQDTDANSVVHRIIYKAFEEARGADLVVCNTVEELEPSTIAALRAEKPFYAVGPVLPAGFARSAVATSMWAESDCSRWLDAQPARSVLYISFGSYAHVTRHELHEIARGVLASGARFLWVLRPDVVSSDDPDPLPEGFAAAAAGHGLVVPWCCQVEVLSHAAVGGFLTHCGWNSVLESVWAGVPMLCFPLLTDQLTNRRLVAREWRAGASVGDRGAVRADEVRARIEGLMSTGGEEGDGLRDQVTKLRATLQAAVAPGGSSRRNFDEFVDDLKRRCGGGAR >Et_6A_046688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19518213:19524908:1 gene:Et_6A_046688 transcript:Et_6A_046688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGEGKANPGGGGGRKRKYLPHGKPVRKGAYPLRPGVQGFFITCDGGRERDSTREALSLLDSFYEDLVDGKVSDEKPKIIPDKPMNKKIKFDSDSSDDEGEDHAGEEASEDHDVEEANKGQAGEEANNGNDEKNGETAPSEPQQEVSGASEIVNKENEEQVDNADESKPKKPRVEDPPVSEETDKKESTDKPKESAGKPKESSEKNIDDLIDEDLKQQGDRKKRLFASLDTGCNGCIFIQMHKRAGDPGPAEIVQNMMSTAASTRKHMSRFILRFLPAEVACYASEEEIIRAIAPLVEKYFPKDCPSGHKYAVLYEARSNTGIDRMKIINAVAKCVPQPHKVDLNKPDKTIVVQIAKTICLIGVVEKYKELSKFNLRQLTSSEKQFRIRSLLCVYKMSFILVFLAFIVGKHTV >Et_3A_025830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33161462:33164576:-1 gene:Et_3A_025830 transcript:Et_3A_025830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPATEPAPPNPNPVPDDPPAPEQQEEEEEEVPEPPPPPVPTTSIEPTPSGDEDSDDSSSSRCIGELSFRSPVRGVRLRRDRIIVVLENKIFVYNFADLKLVHQIETAPNPKGLCAVSQQPGSIVLVCPGAQKGQIRVEHYGARKTKFINAHASRVACFALSQDGRLIATASTKGTLVRIFSAAEGNLLQEVRRGADRAEIYSLAFSNNLQYLAVSSDKGTIHVFNLKVNVGSTANDKPQPAPEPEVPPMSPPLSFIKGVLPKYFHSEWSVAQFRLHEGEQYIVAFGHEKNTVAVVGMDGSFYRCQFDPVNGGEMLQLECYNFLKPSDQP >Et_1A_006213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19276982:19279550:-1 gene:Et_1A_006213 transcript:Et_1A_006213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFVATSSANSDRNVALFEQMASTKVATNQSVMESFLQRQRQEDERLRLVADRHGNTRRKSCFLAKMDIAGMILDDDEDLMAMILVMQEEQERLDQSMLLFGPKPVLPPPSHARDNYPEVVPKLSWLPAGRTPGQALASRLTHIMAELPPLRVAEATRSPSFPSVDELCHSSPPKFDP >Et_9A_061798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16630472:16632510:1 gene:Et_9A_061798 transcript:Et_9A_061798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTVDSNGDGQPKKRKQGGFKTMPFILGESSIQNGILSELDFSAAANDICDRFATAGFGANMITYLTQQLHLPLVEASNLLTNFGGTSSLTPILGALAADAFAGRFWTIIAGSVFYQLGMLGLVLAVLYLSLLCTSLGSGGLRPCVVAFGTDQFDQEQSGAEAVAERKRRYFNLYFFTMGIAALLALTVVVYIQDNVGWGWGFGIPAIAMFVSIVVFVVGYPLYVRLKPGGSPFTRLAQVAAAAFKKRKAAMPEDLGLLYQDKELDALIATNGRLLHTNQLTGHLRLRRARPVASVDGAPRGGAQVDHSHAPIWSAGIMLAAADSHNGTFTIMQARTMDRRLTRTFEIPPASMSIFATLALLASLALYDRAFVPLARRVTGLPSRITYLQRMAAGLAVSILGVAAAALVETKRRGVAAGHGLLDDPKAVVPVSVFWLVPQFAVHGVAGAFSSVGHMEFLYDQAPESMRSTAAALFWLASSLGHYMGTVLVTAVQRATRGRGDWLQDNINRGRIDSYYWLVTCLMVINLGYYLLCFRFTP >Et_4B_039215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8869608:8871366:-1 gene:Et_4B_039215 transcript:Et_4B_039215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKANVKKGLWTPEEDAKLLAFTSTHGTGNWTTVPQRAGHVYMGGAGLKRCGKSCRLRYTNYLRPNLKHENFTQEEEELIVTLHAMLGSRWSLIANQLPGRTDNDVKNYWNTKLSKKLRQRGIDPITHRPIADLMHSIGALAIRPPQHPNTSAAYLPASPPLPLVHDVQYHAAGVPPPPPQQQVVIDADAPASPDYLDQKQQLQPLLDLKWSDFLADDAAAASAEAQQVLGQQQYQHEGVAAAAAGGAGAQAGSSAAGGGRACGDNNGVVGGDDGAAAFIDAILDCDKETGVDQLIAELLADPAYYAGSSSSPEMDWGY >Et_3A_024655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22978695:22980828:1 gene:Et_3A_024655 transcript:Et_3A_024655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEASSSSPLPALSNGYQPLPSMYLGFLAIWAASGFSWAFNSWRNRHFQANNLQWILALVPLIKALQMALSFLFWYSCVHLQTCSLWMSFGAYVTGILFQTASFVSFMLISHGYCIMCERLSIRERRITAVLGCLLYLSLIGYKAAVPYFTVFLLINYFASFYIIFRRTAQNLIVLQEQLSFVDEEDIHSLHGTLNMKYTMFKRFQGTMQVAAVAFIMVYMRADDTPDNYWFRVLVREWVQFCIFMYIGWNFRIPEASLHLPVIPLMKSAWEITMPPIYSVEMDAADFKGLVSDQWHVGVRTDSGCSAQPLLVLVQNPSPTVRVSKFQLDKDSQV >Et_5A_040476.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24802041:24803147:1 gene:Et_5A_040476 transcript:Et_5A_040476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DEALDDAHELGGRVGPGVDGEEAVLLPLELPHLHGLSGGPEPLRVVHGAVAEDVAPPDDDQRGGKLDGGVVERRRAGAERVRDRVVRRGALGKREAPVPVVEGPVEERVVGALRLRPRAGRAAEERHQLHVAADCGGGELRGGGAEADGEVVRDGAAGGVAGDEDPGEIRGLGEPRVGGVPSAGLRGEPEDGGGGVVEGGGEAVLGREAVVRGDDDGAEVGGEAEAVVLAVGPGAGPDAEPAAVVVEEHGQLLPAAALAWPVEADAQPVGLVERRVLPLHGPVVTHRRREPGARAPHHGAVAVHEQHAAALVHHVRRSRGVGSSLGRHGSRDARCGVEWSGSGVPSVRRELSSYRRERARAGERTRRG >Et_10B_003124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16886658:16891580:-1 gene:Et_10B_003124 transcript:Et_10B_003124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAEQLRASFATGRTRPAAWREAQLRGVLRMATEMEAEICDALRADLAKPVTESYVHEISLVQTSCKFALKNLKKWMKPKKVPAGILTFPSTARIAAEPLGVVLVISAWNYPFLLSMDPVVGAIAAGNAVVLKPSEIAPATSSLLAELLPRFVDSSCIKVVEGGVTETTALLEQKWDKIFYTGNGKVGRIVLSLAAKHLTPVALELGGKCPVVVDSNVDLHVAAKRIAAGKWGCNNGQACVSPDFIITTKSLAPKLLESLEKVLQKFYGHDPLQSPDLSRIVNSNHFKRLRILMDEEKVKDKIVFGGLSDETQLKIAPTLLMDVPLDSAIMKEEIFGPLLPIITVDKIHESFALINSMTKPLAAYLFTKDSRLKDQFENGISAGATIINDTSIHLTNPHLPFGGVGESGMGAYHGTFSFDAFSHKKAILARFFIGEVQARYPPYTPAKLSIVKGVLKGNLASMIQAILGFPRGGA >Et_9B_064412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14974773:14977599:-1 gene:Et_9B_064412 transcript:Et_9B_064412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVLLAVSVSVLVVLLSKLLKSLILAKPKLNLPPGPWTLPVIGSLHHLIGDSLPHHAMRRLARKYGPVMMLRLGEVPALVLSSPEAAREALKTQDLAFADRNVNPTLMALTFDGNDVALAPYGERWRQLRKICVTELLTQSRVLSFQQIREQEVARLVRDVGASAAAGSAVDLTKMVAKFINDTFVLESVGSRSKYQHEFLDAFSTGLRETFSMTLADLFPSSKVLQFFAMAPRKVLACRKRMQRVLEQVIQEKTEAMDGGDEANEGFLGVLLRLQKEHTTLLDHASLVGLLFDMFAAGSETSSATLNWCMTALIRNPAAMAKAQAEVRDAFKGRDKITEQDLGRLSYLKLVIKEALRLHTPGPVLIPRVCRETCQIMGYDIPKGMVVFVNVWGICRDPKYWDKPEEFKPERFQNNNLDYKGTNFEYLPFGAGRRMCPGITLGLANIELALASLLYHFDWKLPDGMEPKDVDVSEVSGLAASKKTSLILYPVTHDMFAAGSETSSATLIWCMTALIRNPAAMAKAQAEVRDAFKGRDKVTEQDLTSLSYLKLVIKETLRLHTPGPVLIPRVSRETCQIMGYDIPKGMVVFVNVWAICRDPKYWDEPTEFKPERFQSNNIDYKGTNFEYLPFGAGRRMCPGITLGVANIELALASLLYHFDWKLPDGMEPKDVDVSEVSGLAAAKKTSLILYPVTHVPLAKG >Et_3B_028601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17184439:17188234:-1 gene:Et_3B_028601 transcript:Et_3B_028601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKQGAGRGRGPRRNRSARGATRAPIRDHSGGRSWAGRHPVCGVYRIIPPDAGLPKPPLSPQFSLPSRISSCLPDLATRAPAGAHVQDGRVRGLQGGRPDELGAPGLDLAHDLRARLLRRQDDACRRVSLRLRPLRCHVSPSPRQFDCMIVAGTLTNKDGAHPQHVGKIFFLARKRCCQDCLDNDDDDIVSAARRPASLEHMTVAELRGVDPWDSEAVMCCCANIIGSFMCLDSIDFAQNFRCFSSSVYYPLMKK >Et_4A_032118.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:18953784:18953909:-1 gene:Et_4A_032118 transcript:Et_4A_032118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNLTAVGYPTCRPSNNRTPLCEMVAKVVEEDIEGPKAWF >Et_1A_009340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39849206:39849960:-1 gene:Et_1A_009340 transcript:Et_1A_009340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRIIKELKDLQRDPPTSCSAGPVSDDMFHWQATIMGPNDSPYSGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGNICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMCKTDRLRYESTARSWTQKYAMG >Et_4B_039325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:184529:186046:1 gene:Et_4B_039325 transcript:Et_4B_039325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEGRYGGDSELQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGHIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGDYEDEEEAEDLQD >Et_7B_054873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5126849:5127539:1 gene:Et_7B_054873 transcript:Et_7B_054873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMAKPASRTAKPAAAPKPKAAAAKPKAAATGAAHPPYFEMIKEAISALKERTGSSAHAIAKYMEDKHGASLPANYKKMLSIQLRGFAAKGKLVKVKASYKLSDAAKKDTSPKPKAKPAASKAAPKPAKTAAKSKKEAAAKPKKSAAAAGTKRKAPEKKKIVSTPKKSPAAKAKAKPKTVKSPAAKKARKVAA >Et_10A_001730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7465855:7469233:-1 gene:Et_10A_001730 transcript:Et_10A_001730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHFPGDEDVVHEAVCGGDVDPRDVGKLEHGCEHYRRRCKIVAPCCGEVFPCRHCHNEATASGDRHTICRQDVEKVVCLLCDTEQPVSQVCVSCGVNMGEYFCDICKFYDDDIEKGQYHCSDCGICRIGGKENFFHCVKCGSCYSVALRDNHQCVENSMRQNCPICYEYLFDSLQGTRVLNCGHTMHMECFSDMVQHNKYTCPICSKTALDMSRHWEALDQEIEATIMPHAYRYKIWVLCNDCNKVSEVNFHVIGHKCSHCNSYNTRSTSRPADSSGSSSPTTDSSDNNL >Et_5B_045641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6147658:6148457:1 gene:Et_5B_045641 transcript:Et_5B_045641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRVVAAAMLTLAAVVIGILSSATVAKSPHGFRGALTRANRCYGNHSSAVRHDAGSDLTWTQCAPCTACFAQPDDATAALGPIRLLHVHVAPVLQHPVPLPAGRLPCVRRRQRLHLRLPLHLGYTAGYLAANTVALGDAAFPGVAFGCSTANGGPVDGASGIAGLRRGALSLVSQLGRFSYCLRSDANAGAITIFFGSAANVTGDTVVQSRPLVRNPVAQHAPYYYVTVGDTDLRVTNDTFGFTATGADVCGRPTTVSSCRS >Et_5B_043549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11714812:11718740:-1 gene:Et_5B_043549 transcript:Et_5B_043549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVPAPPPPFAVHLVNGGGSSPELSLLLRSLDAARIVALDAEWKPRRRGGPVAPAPADDSSPEPAPAPPLFPTVTLLQIACRGGGDHDVCEVFVVDLLAVPLAELWAPLRELFERPDVLKLGFRFKQDLVYLYATFAAGLGCDSGFDRVEPFLDVTNVYYYLKGHDRQKRLPKETKSLAAICEELLNVSLSKELQCSDWSCRPLSEGQIQYAASDAYYLLDIFDLFQQKIRTEENMSPTMKLNSDANCSQSGIECSSSGCDIHSDYLVSIITKYSEKILLTESDSKPRNTRRKEKKKLSTDARCKDKVDLSTEWQGPPPWDPSFGGDGYPKFLCDVMIEGLAKHLRCVGIDAAVPSSKKPEPRELLNQTYKEGRILLTRDVKLLRYQYLASNQVYRVKSLLKHDQLAEVISVFELKISEDKLMSRCTKCNGSFIQKPLTIEEAIEASKGFQVIPSCLFNRNLEFWKCTDCNQLYWEGTQYHNAVQKFTSLCNISD >Et_6A_047272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3132691:3133602:-1 gene:Et_6A_047272 transcript:Et_6A_047272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKIDHCGLVNEQNPSAAFDHGAVVGADFVKVGTWISGHYNIFVTCPAFFITSGGSNGVQRANGLRFQTATYCRVEV >Et_1B_010164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27153462:27155360:-1 gene:Et_1B_010164 transcript:Et_1B_010164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIIGEWQRGPVIGRGASATVSIAADRRTGRVFAVKSVEAARAGVLKREQSVLSALSSSPYVVSCLGSGVSPADGGGAQFDLFLEYAPGGSLADEIRRRGGRCEEPLIRSRAADVLRGLAFVHGAGVAHCDVKGRNVLLGAARGEAQGAAADIWALGCTVIEMATGAAPWPHRFADPVAALHHVAHAGEVPEPPAWLSDEGKDFLARCLVRDPAARWTAEQLLQHPFVAGDNSAAAAAAKGLSTEQRVSPKSILDQGFWEESDSSSSAIDDSDAPAPALTPADRVRALAGDVAPDWTWSTGEQWITVCDHPGMADDNSDNGIAASPGFEAEVGASTIEASVEQIGGEHMGVDAPIDDASPSRGRDHGDASSSVGSRRGDSVISGSNYNELCSSGNSASRGNKKQVIIRAPSWLTPRVRAGSRFFTFPARRFFHSSLSLFFSPFRQGPFRHVPGVSRNFEVVVVTGVPGSLQLTTRAWHGVVMVHTLEVPISLSVPSHQTTAATIRSMRPDEREPYQLPRSLQEQLLVHMLYCTVRIKTLPGRWVRPTKVRTCTGGGAGGEYASDNGEQTRPTPDGLVRPRWLIAWARGSRVPI >Et_1B_009901.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9880405:9881808:1 gene:Et_1B_009901 transcript:Et_1B_009901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRVVHRHHRSRGVNESDHPVEHLPGLVAVGLEEVEDVALAHGLEQLDAAAALILQAPRRGDGLGVGASPPAEEVPFRGADEHAVARERVQPRRAGRHGVHPRVVHAAGLRAREEPEPRAHGARRRVGGLLAHGLRAPEPGVDQHRAPEQGTTRRGRRAGYDFRVDGEVVADVGAGAVPGDEDAFQVPVRGDPGVGGGERPVQRREAVVVGRGERVLRGQAVLDGDAERGARGRERVEEAVVVRRRGRLRHEAAAVDVDHDGQLGVGLGGGGWGQVEADGAGAERDVLGVHARGGVEPRPHRRRHQGTLQAAALVDTEQRAELADHHVVVIGRRRCCCCAGAGCGGCGVGRHGWLPIRIARFAAREECEVVSTRLRTLQRLCWVLYVLTLQRVWNRRFSWVAAAATLVCV >Et_5A_040835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13125877:13127210:-1 gene:Et_5A_040835 transcript:Et_5A_040835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VIAPILYWVSTTFSRPAIALICFGAIALFPSILLPSSPFMWIAGMTFGYGYGFLIITSAMSIGMSLPYFIGSVFHCRIHRWLEKWPKKAAFVRLAGEGDWFHQFRAVALLRISPFPYIVFNYASVATNVKYCPYIAGSMAGTIHETFLAIYSGKLLQSLAVATSKGSFLSVDQIIYNGIGFTVAAVSTAAITIYAKKALQKLQAEDELC >Et_4A_033631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25172032:25181378:-1 gene:Et_4A_033631 transcript:Et_4A_033631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DTISHFRIPTLASAPDTTPPPHDRGGDHPPPWGPTPPDRARRTWCTARFLRGSDCSLRIYSAPSTADGDEIRRDGPYKLERQEPRFWRRPPLAMEVSASRDLLLSLSEWVALHRLPGLETVAAVSSKTKGANAIAWDDRRGLLAVGRQKRLTIFRLDSGREFVEVKEFGIPDLVKSMAWCGDNICLGIRREYMIINSMTGAQTEVFSSGRIAPPLVTVVLRDVQKLVQTDNCILAALSNSVYGLLPVPIGAQIVQLTASGEFEEALALCKLLPPEDSNLRAAKESSIHIRYGHFLFDSGSYEEAMEQFSDSHVDITYVLSLYPSIVLPQTHIIGEHDKLQDMPELARESSDVTDEMESYSLQLHESGDKSPLEIKKMSHNTLIALVKYLQKKRSGIIERATAEVTEEVVSGAVHHSLILSDSYKSKKPNKKRAQTHTSSIAREMATVLDTSLLQALILTRQSSGATELLKGLNFCDLKICEEFLKERNEYLVLLELYRSNGMHREALQLLNQLVEESKSEMGNTDFNKKFNPQMIIEYLRPLCRSDPMLVLESSLYVLERNPSETIELFLSENVPADLVNSYLKQHAPNLQSTYLELMLSMSETGINPNLQNELVQLYLSEVLDWYKILKEEGNWTEKTYSPTRKKLISTLESNSGYNTDLLLKRLPQDALFEERAILYGKINQHLRALSLYVHKLQMPERAVTYCDRVYEEGAQQPSKSNIYFNLLEIYLNPRKAQKEFEQKIIPVASQFPGNQKVSTATKVKMGRTGKKVVEIEGADDIRFSPSGTDSGRSDGDGDDVNDGGPIMLNEALELLSQRWDRINGAQALRLLPRDTKLQDLVSFLEPLLRNSSEHLRNYLVIKNLIFRANLQVKEDLYKRRQAAVKIDGDSMCSLCHKRIANSAFAIYPNGQTLVHFVCFRESQQIKAVRGGNSQLNTFNSRVRNGNHHEFLSGFSSSAERYISFLSFPLSSFLPLTHLSLRKPTLSSTSTYAGISFSPQKKWSSQKRPPGLSTRYTSNTANCIALGGTQPLSTCMECTTSSVLSKKGSRAATLT >Et_2A_015796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1795561:1797285:-1 gene:Et_2A_015796 transcript:Et_2A_015796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVAAVEGQERQYGGKITAFVVLSCMTAGMGGVIFGYDIGIAGGVSSMEPFLRKFFPDVYRRMRGDTRVSNYCKFDSQLLTAFTSSLYVAGLLTTFLASRVTAGRGRRASMGLGGAAFLAGAAVGGASLNIYMVILGRVLLGVGLGFANQAVPLYLSEMAPARHRGAFSNGFQLSVGLGALAANVINFGAEKIAGGWGWRVSLALAAVPAALLTLGALFLPDTPNSLVQRGRDREDVARLMRRIRGAGVDVADELDDIVAANAGEKASSGDGLRMLLTRREYRPQLAMAVAIPFFQQVTGINAIAFYAPVLLRTIGMGESASLLSAVVTGVVGVGATFASMLAVDRFGRRTLFLAGGAQMLASQVLIGAIMAAELRDDAGAGVSKATAGVLILLIAVYVAGFGWSWGPLGWLVPSEIFPLEVRAAGQSVTVAVSFAFTVFVAQAFLAMLCHMKAGIFFFFAAWLAVMTVFVYLFLPETKGVPIEQVAAVWRHHWFWSRVVGPDDEPRAGGKL >Et_7A_052010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3666883:3685441:-1 gene:Et_7A_052010 transcript:Et_7A_052010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDGEGADVTFKVDDQLFRAHRNLLAARSAVFNEKLLGIITEQDSKCIPIADMDPTVFEGLLHFIYTDSLPDDWEEDKTVAMQHLLDAAHRYELYRLRALCEAKLCSWIDVQTVASTLALAEQQQCVQLKDTCLRFIGCPHILAAIMQTEEFKKLLASFPSVTKEILEKVTNFSLLNGMGIGEYVSSSTFSVGSFNWKLNFYPDGDDADHEAAYASVFLCLAGGPTGAWVKFKFTLFDKDYQVSRKRGKRRKKEIEENLQKTEASTFDSVGQEWGCSDFFDKSVLQELLLASDDCFTIRCSMSVIKVDTEESDTIEVPPSNLHQDLARMLKDREDADVTFRVGDQLFHAHRNILAARSMVFKVELFGAMMENVTECIEIKDMEPTVFEGLLHFIYTDSLHWKSDSFVAVQHLLAAADRYGLDRLMAICEAKLCSWIDVQFIGWPDVLSVVIKTEGFEHLSMAKSPSSSPDNQILPPTSSRCLTLGTIATHDFEVANFWLLDGMGVGKFVSSSTFSADGGDWNIHLYPDGWKTTEDDKADYASVFLNFLDGPPGTRVNYRLSLLDPHRVLREEGRGKRRIKEIRVKCGTHTFESAGGAVGSHAFVKKTKLQEFLLVDFTIRCVLTVYKTHTDDTSAIVVPRSKLSQYYFAHLLEDMEGADLTISIGGELFHAHKYVLAARSEVFRAQLFGNNGEDLHTECIKIDDMEPSVFEGLRHFMYTDSLPESYPGDETVAVQQLLVAADHYRLKRLRLMCEQQLCSRIDVQSVAATLNLAERYRCVPLKDACLRFIACQDVLSAVMETEGFKLLMASYPLFMKEILDRSSRTTHDFKVANFSLLDGMGIGKYVNSSTFSLGGGNWNIKVYPDGNGGNAGQSGFVSIFLSLVGCGVAGGARAKLSLGLLDIINKVLKHDETESKEDDGRAKVWRRLLDFEPRTAGNNSSWGWPAFIRKSTLNQLLRESKSKDAFTVRCELTIIKGHVLEAIVIPPPSLHHDLARILKDGEGTDVTFSVGGDLFHAHTSILAARRMVFRALLWGDTKEKAAPQQVIEIEDMEYAVFYWLLYYIYTDSLPDASCCYADRGPFAPSSSLLPVAACRRDAALPAGCCLPRTSSTSMTESVTGVHDFKVTGFSLLEGIGIGRCVTSSTFAVGGRDWAVRFYPDGATADCHGNASVFLCYSGRDTGVRARFALALLERDGKVAQATSVGMRHTFSPASDNWGFVKFVEKSRLLQPGSPYLDRDCLTIRCVVTVVKQSRTVNGEINSVVVPPSNLHLDFKQMLNDGEGADVTFTVDGQAFSAHRCVLSHRSPVFRAELFGPMRENASKSIQIDDMEPADGNTDGQQGPRCITPQHLETVEVWRMWAASDGKKKYIPQHL >Et_6B_049523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6032579:6038896:-1 gene:Et_6B_049523 transcript:Et_6B_049523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKGDIACQSGQTSLAARLLGRFATTTAADGKNLIFSPLSIHVALALMSAGASGDTLAEILDFAGAPSRDELTSFVRSSVIDRVCPLKPAYRDTIVGKFRGTASTVDFVNKNSTCFQNIVELAYILDSDVPKGIKEADQCLGGQGKKGLITELVDPEEQSTDTRNVVVNAIYFKSAWCEPFDKEDTIDDDFHVLDGTSITTPFMRSWSYQQISCHNGFKVLKLPYKVTDTNSAGFNWKQLHSIPRFCMCIFLPDARDVLQSLVEELTLKPNFLRNHLPMEHVPVCVFRLPRFKLSFGGSIVDDLKSLGLVLPFQSSRTGITEVTKEEQQFVGNVIHKAVVEVNEEGSEAAAATEYDDDMGCSLYNNYVPPKPVDFIADHPFAFFIVEETSDTTVFSGHAEKIRIGRLPVRLDGAGRAAARELRFGRLGHGRQLHLLAALHSRRARAHVRRRAVAGGSHGVRRVLAARWVVGGPTVAFACGAWMDKSYTLKPAYRDTIVGKFKGAASIVDFADQPLESRKQINAWVAEATRGLTTELVNPKKQNKHNINVVVNAIYFKGEWCDLFAGENTVDREFHRLDGSSINTPFMQSWNSQQIVCHDGFKVLKMSYKVMDMDWSSPAFDWKLWKSVPKFFVCIFLPGSPDTDSRA >Et_3A_025865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33488530:33497179:1 gene:Et_3A_025865 transcript:Et_3A_025865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPEPRPLPVPKQLTVHCPENEALSRFFLEKWRSMMDQPGGLTENLYLTFASANRNLCASKEPIRTLHDFSKIKGVGQWLIRIMKGFFEQSSHNLSPTKGHLFIFFCEVEECCGIFTGKKSSGAKPYVPRKNTAAYAILITLHSSSMRGQKFMEKQEVIDAAEASGLSQGAIGPDKYKGKKNSPKDWYTGWSCMTTLQSKGLVARSIKPLRYSLTQEGHETARECLSRAGLDDSAGFLDIASAHNTSIASHNSEHHSMDHSVAETMLGPSMTIHRPNTSVAGHAPEVVCGCSATKRPYSYHAEVQTTNHCSKEIILSDSDSEEPSKLKSSTKMFNRIVLITFIFCYQGSTEFNMLDKDTVSMDNSVLAMPPRQSNESFIEAYEVVLILDNRENFGSRSRKVSDNIFSQFRVPVEVKKLPVGDGIWIARDRKNGDEYVLDFIVERKNVSDLHGSIIDNRYNDQKLRLKRCGLRKLIYLVEGDPNCSDASDKIKTACFTTEIREGFDVQRTSGYTETERRYGALTCSIIEYYKKNFSKVVNTSRVCPTYQEFTGRCRHLAKKTVRQVFALQLMQVPQVTEEAALAVIELYPTLMSLVRAYSMLEGDTRAQEEMLQNKSNMVNAGASRNIFKLVCSDESSEDLKKLKMVNAGASRNIFKLVWSDKRSEDLVDRIT >Et_5B_043409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10022974:10025305:-1 gene:Et_5B_043409 transcript:Et_5B_043409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSHLEFAAQLEPKRFTQGPVPLGAMSRDTAQVFSVAVKGIKPDLGFQWPLDVYGFVAVRDNLDCRRNIIFCRDRNNCQTLAAEDSSLVLTGPSRAVVAGDIIYFEVELKVKGTRESEDKMLSFLCIDHNCIGARSSYGKLFREIHTNRYCTTELLFAQLRVAVEATVDVKVVEGLRNPFCLRIIARTKSFPDDDFVLFDSLGGGIVESDEGTIKLSRSVVSVESDGELVLSAEAREPNSSAVVTDKIMLTPKRERAQVMVSLTLGSVRWKSAYLGHFSSVTSKLIRSSENCC >Et_7A_051116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14614581:14620094:-1 gene:Et_7A_051116 transcript:Et_7A_051116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHQSPPSNHHNDHSPLAQPERGVSFNYDIESTDGAWRGAGADALLRYDDDGPREPLLRKRTTNTTSQIAIVGANVCPIESLDYEYLTAFLAYGGCNLVLAATAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTWNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRGLIEFCRSGKCGLFGQGGLIMFDLSSTIPTYSTQDVVAIVVLGVIGGVFGGLFNFLLDRILRAYSFINERGAPYKILLTITISIITSACSYGLPWLAPCTPCPVGAAEQCPTIGRSGNYKNFQCPPGHYNGLASLFFNTNDDAIRNLFSYGTENEFRMSTLFIFFIAIYCLGLVTYGIAVPSGLFIPVILAGASYGRIVGTLLGPLSDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLPMLPLVMLVLLISKTIADSFNKGVYDQIVVMKGLPFLEAHAEPYMRNLVASDVVSGPLISFSGVERVGNIVQALRITGHNGFPVVDEPPLSEAPELVGLVLRSHLLVLLSGKTFMKEKVKTSGSFVLRRFGAFDFAKAGSGKGLKIEDLDFTDEELDMYVDLHPITNTSPYTVVETMSLAKAAVLFRALGLRHMLVVPKTPGRPPIVGILTRHDFMPEHILGLFPNLRKSH >Et_5A_040403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19798719:19798859:-1 gene:Et_5A_040403 transcript:Et_5A_040403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVSPKMEEEFQGGICLGRFKSQTKYEDSTR >Et_10A_000854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18222872:18229654:-1 gene:Et_10A_000854 transcript:Et_10A_000854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAKPILYNAWISSCSHRVRIALNLKGVDYEYKSVNPRTDPDYEKINPIKYIPALVDEDIVVSDSLAITLYLEDKYPQHPLLPKDLKRKALNLQIASIVGSSILPLQGYGVIGLHEGKLSHDESLRVVQHYTDKGFTAIEKLLDGSESKYATGDDVQLGDVFLEPQIHAAINRFQVDMSKYPILARLHDAYMEIPAFQAALPKNQPDAPSS >Et_3B_029387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24568329:24572090:-1 gene:Et_3B_029387 transcript:Et_3B_029387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKGKPTGRRNFSTPEEIAEEEEQEEEEIEESEEEESEDESDDKPKHKGTEGLIQIENPNLVKAKNIKAKEVDLGKTTELSRREREELEKQKAHERYMKLQEQGKTEQARKDLERLALIRQQRADAAKKREEEKADCWSV >Et_4B_039804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3068590:3069928:-1 gene:Et_4B_039804 transcript:Et_4B_039804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSSYDPTFRCGRRCNGSLYTVNHLDTSNLFHKSSAAARKNGNKQTKDEIPKLQRLPAPSFNFQPFRSNYYESIRGADLFAPFGKEGMILCCDGVGQTAVYDPRLHAAQIMPMMQAPKGYMTIAFPVARTEAHATSAAACDPDSSVNSESFIYRWVEGDHFESLYILDMAPRCPFFFERLSFSSKGWRWCALPPPPFLLDPRYKPRRDSCAAVNGTTIFMSPSTQEEVIGTYCFNTVTHEWFKAGDWVLPFLGRAEFVPELGHWFGLSHCSPNHFCAVSSLDPPEVKASWSDLDPPEGWSLRDLYLVNLGSGRFCTAKFFDARDPSSDDAVINTVAVLTGVEVVSCANQLGGLGFEMLKHKSKCVTNLDIKCVL >Et_6B_048382.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:17442562:17442825:1 gene:Et_6B_048382 transcript:Et_6B_048382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCERGRRRPRQELLAEALDRAGGGGGGVRAPPARDLTAPSPGEDAAYNGAAAVSPAWEHGTSASSREATRGLSLRGGRVVFVKLAT >Et_1B_010486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1126951:1129058:1 gene:Et_1B_010486 transcript:Et_1B_010486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQQLELIVRHQSMANICDSEDALGSSESEPARQPRPRGKRTRAAEVHNLSEKRRRSRINEKMKALQTLVPNSSKTDKASMLDDAIEYLKQLQLQVQMLSMRNGLYLPPVNLSGAPDPAAPSEIRTAHHLSGAKTSNSGVVLLPVNQNSAGHHLIDPPNHDQRHNKTLFLPSGPNATTVESQFLHESSQSNLQSFELTLPPEMIFQQDMMLNARLNSDHETPSVPGHKVDTVRQETSTVNADHFGRIMLRKDQSQDMVPKNSESLLLMPYLR >Et_6A_046997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23951150:23959036:-1 gene:Et_6A_046997 transcript:Et_6A_046997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYDERYEGNGDHAAAAEAAADYAAPAGGSPPAGGKPTGFSDHADGRSAQPQPETQSHDSGSSKSREKDREREKGKDRERDRDRGRDRERDRDKDKERHRDRGERGDRDRDRDHHHRERRERSEKREHRERSVDHYRHRSRDSERRRESRDRDRDGHRRHRSRSRSPSRSRDRDRRSRSRSKSKRVSGFDQAPPQQGMPIVAPGVTPGQLPGVPPAMPGMFPNMLNFTGGQFNPLVIQPQAMTQQATRHARRVYVGGLPPSANEQTVAIFFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLTVTDIACAALNGIKMGDKTLTVRRANQGASQPRPEQESILLQAQQQVQMQKLVLQVGGALPTKVVCLTQVVTADELRDDEEYEDIVEDMREEGRKYGNLTKVVIPRPDPSGAPVAGVGKVFLEYADVDGSTKAKTGMHGRKFGGNQLHDAPPIPGILPNMFSLAPEQATRHARRVYVGGLPPNATEQNIAVFFGIVMTAIGENTADPGNAILNVYINLEKNFAFVEMRSVEQASNAMALDGIMFEGASLKVRRPPDYNPSQAAALGPSMPNPNLNLAAVGLTPVCAGGLEGPDRIFVGGLPHYFTDAQVRELLESFGPLRGFDIQLMPQVGGALPTRVLCLTQVVAAHELRDDGEYEDIAEDMRDEGRKYGKQHWTNGPVNSVHILLPLSFRLPTCNLMKVVIPRPDPSGAPVTGVGKVFFEYADVDGSTRARIGMHGRWFGGNKVVAMFYPENKFAAEEYD >Et_5A_042534.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1941058:1941489:1 gene:Et_5A_042534 transcript:Et_5A_042534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMASSSLNPNAPLFIPAAYRQVEDYSPEWWELVKTTAWFRDHWVSQHQLHEAQYDAALAALPDDLLDTDDLFYSPDHHHQQQQQPEEADVLRSLTLNPPATTSPRGALWDHHYQQPRHADKPAQQHVGLKAGARRGIHQPR >Et_6B_049449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:551955:558812:-1 gene:Et_6B_049449 transcript:Et_6B_049449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKEASSRTIFCFLLLFFLGCKCLGSEIEVRQTATLKVDASPQLARKIPETLFGAFFEEINHAGAGGIWAELVNNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFTRNIIALRMEVLCDDCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKAPETTELTISLTSSDGQQNLASATITVDGTSNWTKLEEKLVAKGTNRTSRLQITTNKKGIVWFDQVSLMPADTYKGHGFRTELISMLLDLKPRFLRFPGGCFVEGDWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLAEDLGAAPIWVFNNGVSHHDEVDTAVIAPFVKDVLDSLEFARGSADSKWGSVRAAMGHPEPFPVKYVAIGNEDCGKTFYRGNYLKFYNAIRQAYPDIQMISNCDGSSQPLDHPADLYDFHVYTDSKTLFSMRSTFDKTSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDIVQMASYAPLFVNDNDQTWNPDAIVFNSWQHYGTPSYWMQTLFRESSGATIHPTTISSSYSGSLAASAITWQDSDNSFLRVVNFGSDPVSLTISTSGLEASVNALGSTATVMTSGNVMDENSFSNPNKVVPLKSELPNAAEQMQVTLAPHSFTSFDLALAQPKLVAEIKADIDGVRGNGIDGIEFARDGPESTWGSLRAAMGHPDRFPLNYVAIGNEDCLKRFYLGRFPYVQIISNCNGLEGPLVHPADYYDYHIYTNATDLFLKKNTFDTASRMGPKFFGNIGDHRSRHYKCHPESQ >Et_5A_042341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:897571:904150:1 gene:Et_5A_042341 transcript:Et_5A_042341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDAAADFALDSWARFCALTGELIGGEGDLSVGPRLTPVVADLCARGLATFLRDYFIHSLEETFRKNAVKKFWQHFHPYCSSSAVDRIKFCVEEQWPEEILSTALEDICLEKSYQEKCVLVLVHALQSYEDRTPKRKSKAAYCSSSLMPRYQLMVSSVLLTTLPLSFPEILNFYFKKKLEELNNVVAGSYDSDQLGDNDFIEKNNTSTWHSGMDIDGQETVISESSYLVKNIGKVVRDLRCLGFTSMTEDAYSSAIIWLLKSKVCELSGDDYRIPVLGCVKKWIQAVPLRFLHALLTYLGDSVDYESESSGLKSPSPAIEDLKLCLEYTGQHSKLVDSFISSLKYRLLTAGASTNDILHQYVSTIKALRTIDPTGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSGGNASGTANAGDNLLEELNRDAENQENVDYDDHTNIDDKQAWINSESWEPDPVEADPLKGSRIRRKVDILGLMVSIIGSKDQLVNEYRVMLAEKLLNKSDFDIDSDIRTLELLKIHFGETSMQKCEIMLNDLIDSKRINSNVKTSLLKSPQSVPGQEESEVSQDVLDATIISSNFWPPIQTEDLVLPPSVDQLLSDYAKRFHQIKTPRKLQWKKNLGTVKLELQFEGRNMQFTVAPVHAAIIMRFQEKSSWTSKALATEIGISVDSLNRRISFWTSKGVLTESVGPDADDHTFTVVDSMSDVDKNITANDRLDEYQMTEEGESSVASVEEQLKKEMTVYEKFIIGMLTNFGSMTLDRIHNTLKMFCIADPSYDKSLQQLQSFLSGLIADEKLEMRDGSYLLKR >Et_3A_023664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10568866:10581897:-1 gene:Et_3A_023664 transcript:Et_3A_023664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSTAGVLVALVATVCLLLPAATRAQLQVGFYNTSCPNAEALVQQAVTAAFANNSGIAPGLIRLHFHDCFVRGCDASVLLTVNPGGGATERDAPPNNPSLRGFEVIDAAKAAVESACPRTVSCADIVAFAARDSVNLTGNLFYEVPSGRRDGNVSTDTDALDNLPGPNSTATLLIDRFNNKTLTAEEMVVLSGSHTIGRSHCDSFLFRNRERLRDGTISPAYQALLEALCPANTSQFTPVTTDIDVSTPALLDNNYYKLLPLDLGLHFSDDQLVRNATLAPSVAAFAANETLWKEKFVAAMIKMGNIEVKTGAQGEVRLNCSIVNAPSSSSSMIEMVGFYNKSCPSVETLVQQAVAAAFKNNSGIAAGLIRLHFHDCFVKGCDGSVLIDSTANNTAEKDSRPNNPSLRGFEVIDAAKKAVEAQCPRTVSCADILAFAARDAVALTGDNLTYKVPAGRRDGRVSKNTDALSNLPSPLSNAAELVGNFTGKGLTAEDMVVLSGAHTVGRSHCSSFTNRLYKFSNASDVDPSISAAYASQFFPPNVTTAMDLMTPAKLDNLYYVGLANNLGLFTSDQALLTNATLKASVDAFVKSENKWKSKFAKSMVKMGNIEVLTGTQGEIRLNCRVINSGSSSSAGAEELGMVTASDGSLDDI >Et_9B_064069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10924981:10930530:-1 gene:Et_9B_064069 transcript:Et_9B_064069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSHAASTAAAAVTFPQATRSCCSTSSRRLPSSSSASPRPPRSLRLDSRASAPLAPLSPAPDGLLAAAIEHLEREPASAADGAAPLAALSPRELQLVLVYFAQEGRDAYCALEVFDWLRRANRVDGETMELMAAIACGWIERLVGAGGDVADVAALLGEMDCVGLRPGFSLVEKAVALYWDRGERDQAVEFVRDVLRRGGLGAGVGGEVAGDGERGGPVGYLAWKMMMDGDYRDAVKLVIEFKETGLEPEVYSYLIGLTALVKEQKEFSKALRKLNSSVKDGSISKLDVESMDSIEKYQSELLSDGVLLSNWAAQEGSSDVLGLVHERLLSLYTCAGCGLEAEHQLWEMKLLGREPDTQLYDESGSLEAYMKLCKRLSETDLIGPCIVYLYGSHEQDIEAPTAVNQLKFCTSNFSV >Et_2A_015054.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27883600:27883887:-1 gene:Et_2A_015054 transcript:Et_2A_015054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLERTEQQWTAGAGGGVWQRVDGEEVEEEAPQEGEKGGGGVGGRCCVCVARGKGAAFIPCGHTFCRACARELRAGRGRCPLCNAAIREVLNLF >Et_9A_061129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17681800:17682066:-1 gene:Et_9A_061129 transcript:Et_9A_061129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMTERGIPHTSFHERQFCEGCMAQNWNKHSSAPESAPSGKIFEGKVGCSILHGYHHTYVLEHNLDNSG >Et_9B_064799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18685969:18687936:-1 gene:Et_9B_064799 transcript:Et_9B_064799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTVTTPSRPLAAGCRRAAGPRRSGPVVLSLKGPRRRAPSTTSCAALASPEKQSTAKLPLPPPATTPSPQAEEEESTDYNEVAAALESIYKLSPAVVEEEDDKTQKKKKKKKKRKDRVGKSTVIVRSRRRRRGRRMDLGKRVEMRSKAAGDNKQPEQQEEEREFEEMLLREHAVSTDMGSLDWKRMKIPPVLSSAQSARLFKTVQPMRAIFEVQESLREELQREPTDAELAEANNMTVQQLRRRLDVGRAARNKLIKHNLRLVLYAINKYYPDMANDERFDDLCQAGANGLITAIDRFEHKRGFRISTYALFWIRHSIVRAMTLSNFTRFPFAMESERQEINKAREELAFELGRTPTDDEVIKRVGISPARYRDVLRMMRPTYSLHSRNRVTQEELINEITDADAIGADSDKHSNQLLRLAIDDLLDSLKPKESLVIRQRFGLDGRGKRTLSEIAGNLNISREMVRKYELKALMKLKHPTRVGYLRRYM >Et_6A_046299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12323642:12325562:1 gene:Et_6A_046299 transcript:Et_6A_046299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGATQRVDMVTTRKNSFGRFKVAVMNPNLVPTHMDVVIGTRYFELQFEIEPFDMNNVRPLGAKKKGGNGDDNANQQKDKGRKEGNKKQKSDQSANENNSQAQQPENGKDNSQAEMQESESVIDLDEDDLLDEEWEVNEKGGQCLVKKITHDGLGVVAFEVSTNSVFSLPTMVHSSDHAQLTEDDANLERADGVAQSLDASTGAGADALIAETTGDKMVIGGALAVCVAGSDVLRQGTGYAARDKMVVSDALAECVAGGDGDVARANGPVQVANAAAQDVLCAGGAPATCVAGGDGYAARADAPVQATGATAQGATIAGGAPATCFAGGVAEVTYARDKMFVGDALAPCVADGDGRHDTRDAMLGGGTLGTCVVAGNGAARMDSPAAIAGGALTPCTAGGFGNKYVLHQIITNGSSVDRRATQPLKAITPIGDLSSPLRRSSRRAATVDEDSSERASRLVAKRNLEDFEGSEYHNSVLNLSSKHITDNIQEVGISLGSEMENVHSSVMLIKNTEKDRFKVSFLKTCQDSNLDLEDSECEIDHLALGRL >Et_7B_054479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21798558:21809254:1 gene:Et_7B_054479 transcript:Et_7B_054479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPQVLFLCSIILTLYSARLQPTTAQITAPWEVNALKAIKGSLLDPLGNLNSWNRGDPCMGNWTHVICYNATASDGYLHVQELQLLQLNLSGTLAPELGQLSKMRIMDFMWNSISGGIPKEVGNITSLELLLLNGNHLSGSLPEEIGFLPNLNRIQIDQNKISGPIPKSFAYLNKTKHFHMNNNSLSGQIPPELSRLPSLVHLLLDNNNLSGYLPPELSNLPKVLIIQLDNNNFSGSSIPPSYGNITTLLKLSLRNCSLEGPVPDVSGIPQLGYLDLSWNQLRGPIPSSQFASNITTIDLSHNHLNGSIPGIFSGLPNLQRLSLDNNNLNGSVPSNIWQNIDFSGNRSLILDFQNNSLASLSNPLSPPANVTILLSGNPVCTVQNLQNISQYCQTMAVVVPGGSANNSTLCQPCSTDLPYENIPMSPIPCLCAIPLHVDYRLKSPGFWNFVPYKFQFQQYLSSGLTLSLYQLEVSTFMWEEGPRLKMNLKLFPNNTALFNASEVSRLRGLFTGWLIPDSDIFGPYELIDFDPGWYNNVLPHRSKSSLGTGAIIGIVMAAFAAAAILSSLITVIILRRRSRHSSKKRSEKRISMKIAGVKDFTFEDLSHCTNDFSDSALIGQGGYGKVYRGVLADGTVVAIKRAQQGSLQGAKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQMLVYEYMPNGNLRDHLSVRAKDPPSFPMRLRIALGSSRGILYLHTEADPPIYHRDIKASNILLDSKFVAKVADFGLSRLAPVPETEGSAPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREVVVASQSGMIFSVVDNRMGSYPAECVERFALLALRCCQDETDARPSMVEVVRELEAIWQMTPGTENIASSESSAAMGSSSSNTTGTTTASSGSRMVPSGDQYISSMEVSGSNLLSGVVPSINPR >Et_4A_034278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31392893:31397861:1 gene:Et_4A_034278 transcript:Et_4A_034278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWVTGSQNEETRGGMQQLQPKQMHWVRADSSDFGGDRPAARSGHTAVSIGKSKVVVFGGFADKRFLADVSVYDVENRLWYTPECTGNGSDGQIGPSPRAFHVAVVIDCNMFIFGGRSGGRRLGDFWMLDTDLWQWSELTGFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYIMDTMSLEWTELAVTGTVPPPRCGHSATMIEKRLLIFGGRGGAGPIMGDLWALKGVTEEDNETPGWTQLKLPGQSPSPRCGHSVTSGGPYLLLFGGHGTGGWLSRYDVYYNECIILDRVSVQWKRLPTSNEPPPPRAYHSMTSIGSRFLLFGGFDGKNTFGDLWWLVPEDDPIAKRDSAPNIDSESKPSTMIGDTPQSNLKEAQTHESPITELAKRLGIPLSEEVSASFVDETNDKELVELSSRLAGQSLPASDQVSSIQVLRDHWRSCPASSIQMQELGPLLRDYQRLIHQRYVGNPLPAFREIEALRFFHLKSASQLRMDDIPILLSEYGKLLST >Et_4A_035453.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25129680:25129973:1 gene:Et_4A_035453 transcript:Et_4A_035453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKLALILVFAFAASMAFAVHGDEPRRHDAAAGTATEETTAGATAVGLLIGAAVVPPIKMARRLLAGEVDGADSSARISCHSNSVHNDCSPPSRH >Et_9A_062031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18971592:18978091:1 gene:Et_9A_062031 transcript:Et_9A_062031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGEVTGCSSVVEGVGPAWHITTCLKPCVLPEWLGSSRIPAAGKFLSNKGNLKGKTLSEILRTPPRLHHDTTARALFSRSAPRASSAQNAAPAPARPLRRRRRRRDLSTAASHPPWAMIRHAAPIRSPVPNGSNFLVEPPRASYIFVLPHLIDPSPLPDPGGDVVGIRSGLITASSGDGLLILSFLDGRVTAPVAASRSGVPERKVTEFHMDPDITRFVCNPVSGELFRLPDVDGTKKTLLFCHDMGLLTRSAAGHGPPDRYAAAALSNDSNGEGNAFVMRRFLSQTGEWEKLVDLPLPLPRVRQMIIYPEVVAFAGRLWWADLTWGVISADPFSDRPELRFVELPRGSVRPVPSEDFPQAQGLHRRLGVSEGRLRYVEVSQKEPFLLSSFALDDDGSCWTLEHRVALDRLMANEGGHPALKITPRLPLLIH >Et_1B_010421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10360603:10367002:-1 gene:Et_1B_010421 transcript:Et_1B_010421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YAENEKNKAKKSWSWVLSRARDEVPSDPSRLKVAISKGQYNDLTRQAIPPVSSLKALVRSQRRPNSGTFDEMCTLKTNHFVVKLLKKKIYQYDVCISPDVSSSDVNRAVMKELVSIHRYSDLGGFLPAYDGRRRLYTAGKLPDICKKFKIKLQDGEVILGDGQKPWRHIRVQQFEVVIKPATSIKLQPLVNVSWKREDQTQKALQAFPISPVLRTWCGLENLSGFVQKLTAHSKGTSSEYWLDGAILMFHFLAIKKCVLDSCLQFLFFSLFSLAQYLCFLLDVSSTVSIKPMDVTDYIAQVLGRRIINEPLCDADRLKIKEALKDVLVQFQGMQKKYRVVGLTSDSMIDLTVPIDESGTSKEFVPFFQDTLKYTINYTHLPCLEVCNKQTRIFLPIEVCKIAEGQSYSKKLNQKQMSALLQIARLYPRQREHHTEKTLQYNNYFEDDPYLKDFGVVIEQQLLPVKAHLKFHDKGMHKKILPQEARWNMVRKRMFNCGRVSNWTCVNFSSDVGERIIRSFCHELTVMCKASGIDFSVDPVLPVVTYPPQHVESAIKSCYLNVMEKLRPLGKELDLLIGILPNKKDSLYGTIKRMCETEIGLVSQCCLAKNVLKTVKQRKTCKQYLVHVALKINVKVGGKNTILNDTLTRRLPCVGDVPTIIFGAHVMHPEKVSNHSIAAVVASQDWPEVANYAALAGLQARCQELIQDLFQAPLETGATAGGMIIYLEADYNPAVTYVVLEKHHHTRFFVDKYDDETFFCRGKLLPGTVIEDLHRPDGFGFYLFSRNGPTQGMARSVHYHVLWDENIFPVPPVYYARLMASRGRLYMECTPSDSAQMASGVASTSDSVAVPRLPDVKDNLKKVSCFTASSIMHKSTGMSIFHLSTHDISSLSPPPPLPPTRRSRRTASVRLSGDSSPSSLPSRQDPFSFDSG >Et_7A_050381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11688229:11688633:-1 gene:Et_7A_050381 transcript:Et_7A_050381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVPIPEREPCAKVGFQIRNSLDSFDELGVQGLLVILLELRQVLGNLKYTNPKFTLSLLCLLLLLPGKVLVIKLLHVNTRDINLCGGCDDIGLVHTADWDSIDLEGS >Et_9B_065816.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:13753481:13753930:-1 gene:Et_9B_065816 transcript:Et_9B_065816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAKCFLNHILAIRSDSYAARSGDLSESSSGMWMRVCRYHGRGERFVLASGRDPALVNKACDFLKDHHGVPPCWRQDENKGMVRGADGRWVQPDRHGWQLDDHDDDDDLHHHHHGGHGNQDHGRHHHHRSRGHGHDRPAIPPAKRGF >Et_1A_008751.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:11758658:11759965:-1 gene:Et_1A_008751 transcript:Et_1A_008751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREVSGRLAAEFQGLEVKVPNLFRCPISLDVMRSPVSLCTGVTYDRASIQRWLDSGNTTCPATMVPLPSTDLVPNLTLRRLIALWASTASPTSSSPQPSAVGPTPAAAAAELLRRVGNPAVDPCPALRKLAAFLSDDDVDEFDKNALARAGGAVETVASVLRRGEKESGLEAAEAAVRVLAAIASSDCIEEENKRRVAAALSADAASTAAALARVMRGGSGLEARVDAARLAESLLLRSNGNAIAEAEELVAELVRLIGPVDDEKGSLDARAVSAGLSCLAAIAATRRARSEMVRLGAVPAAVRVLAADAGSPTQALRVLEAAVGCAEGRAAICEAAETAIPAVVSKMMKGGMGGAEAAVSVLWAVCHRYRDRRAVDAAAACEGGLTRLLLLMQSGCSPAARQMASELLKIFKVNAKSCLAGYESKTTHIMPF >Et_10B_002905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13296880:13305320:1 gene:Et_10B_002905 transcript:Et_10B_002905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMASDPAAALPLSLDLEDFKGDFSFDALFGSLVDELLPEYRGDDDAAPAPPPPPPVLGAAPPVFPAVDELLGLFKHSCKELVDLRRQIDKRLQNLKKEVAVQDAKHRKTLGELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSAESQRETASQTIDLIKYLMEFNSTPGDLMELSPLFSDDNRVAEAASIAQKLRSFAEEDVGRHGVQSAVGSANASRGLEVAVANLQEYCNELENRLLARFDAASQKRESSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEIMNTDIQVVLGDEGLQADSESIADGLSTLYREIADTVKKEANTITAVFPSPSEVMAILVQRVLEQRVTTILDRLLIKPSLANLPPLEEGGLLQYLRVLAVAYDKTKVLAKELQSIGCGDLDIEGLTESIYVAHKDEYTEFEQASLRQLYQSKMAELRAEAKQQYESTGSIGRAKGSSLTPPPVQQISVTVVTEYVRWNEEAISRCTLLFSQPTTVAANIRSIFACLLDQVSLYLTEGLDRARDGLNDAAAARDRFNIGSSVSRRLAAAAASAQDAAAIQGEQSFKSFMVAVQRCASSVAILQQYFSNTISRLLLPVEGAHSSACEDMGSAVSVVEAAAHKGLLQCIDTVMSEVERLLSSEQKATDYRSPDDGAAPDHRPTNACTRVVAYLSRVLEVAFSSLEGLNKQSFLTELGNRLHKGLLNHWQKFTFSPSGGLRLKRDITEYGEFVRSFNAPSIDEKFELLGIMANVFIVAPESLSSLFEGTPSIRKDAVRFIQLRDDYKTAKIASMLNSITYE >Et_9A_061704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15503475:15508192:1 gene:Et_9A_061704 transcript:Et_9A_061704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPNPDAAPLKPEAVAAGGGEGQQPSFGAGAAGEQQQQQQPRKALAVRKERACTAKERISRMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQGAYDDEEAAARAYDLAALKYWGAGTQINFPVSDYARDLEEMQMISKEDYLVSLRRKSSAFSRGLPKFRGLPRQLHNSRWDTSLGHLLGSDYMNLGYGKDVTLDGKFGGRFGLERKIDLTNYIRWWLPKKTRQSDTSKEDELSDEIRAIENSMQLTEPYKLPSLGFCSSSKPSSAGVSACSILSQSDAFKNFLEKSTKLSEECTLSKEMVEGKAIASVPATGHDTAAVNLNMNELLVQRTPYTMATVVPTPMKSTWSPADPVDTLFWSNFILPASQPVTMATITTTTFAKNEVSSGGPFQSQE >Et_6A_047587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7758971:7761965:1 gene:Et_6A_047587 transcript:Et_6A_047587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VDSAQIESPFWGFDRSASASSPVLSAEDSTGKQRMRGLLGRRRQPPPLPLFPATKRPAQPAASLLVGRISRLLPASRLLRFLLLLAVLSLVPPAFFHLRLRRFHRMRERKCGWIASPPLVCAHGGDSTNAFPNSMEAFRMALNSRVDCVEVDVSRSSDGVLFALHDRDLQRMSGNSTAKVGHWSTDEIKALGTRFQLSKRVPNEEVPRAEDALVMISQSVGQVILDVKVGPPFFEKHLAEDVLSLIRRTNCKNCLVWAKSDDLGRDIIKLSKDAVVGYIVMVDKSTGRRTELVRIKGAKVAAIYHPLINEKVIKVMHRHDRKVFAWTVDDSNSMRKMLYENVDAIVTSNPSLLQQLMQETRAECMEYGFALP >Et_4A_035788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:649687:650377:1 gene:Et_4A_035788 transcript:Et_4A_035788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARAALVVAAAVLLLLVDGGACAMYKVGDLDAWGVPPASKPDVYKHWAKSIKFKLGDAIWFLYPPTQDSVLQVSPDAFHTCDLSSPLLKLDDGNSIYNLTKPGRVYFTSGTPHHCLKGQKLWVDVPMADGSLIKPTEKDLAALAPTPGAEEPGTESSEAPAGSESSDSSTHAADDHASSAFRAVAGVGSVVAAAALSLLL >Et_3A_025554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30734702:30741378:1 gene:Et_3A_025554 transcript:Et_3A_025554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DTALDDVCYGLACGNSCCKKCDHGETSLVNNGDMDWLSMDTSPSNTPYGTPIFSRESSFSSFTSCFSSLGDSLIDSESEQEIELQDTGQLDPDSLFTDEFMEQRKESLIQVDEIELRHGAAVDDSASFHVPADQNISYGQGESETHGDITKENFDVTNNILDSNVSSEPHQDVVLCNDQLTETKYGLSVEDSGLHQSDVIEIEEITSLPMPGGEIIPLNEQVTDHLDSIKENTIVYNNILNTEPEMKPSDDIDYGNECMNPQVVPSFDADSLIWLPPEAANKEDDVDIVSNNDDESDDNSTEWGRPSFNVDFAEKIKKSREDQLQKVMSEVMNGQFKILVSRFLAAEGFSLCDGGTDKSWLDIVASLSWKAALLVKPDANSGNAMDPGLYVKVKCIASGSCQQSEVINGLVFKKSAAHKQMRANIKNPKLLLLQGALGHCSAGLSSINSMQQENEQLEKTLADVIKKCHPDVMLVEKAVSRNVNEYIQKQGVTIVSDMNIHRLERIARCTGSPILLLQDVLTKPNLIKQCESIRFEKLIEEHDITVEDGRRTFKTYLFLEGATSEELKKIKHALHFTVFAAYHLILETSFFADQKLFTTDRATTGKDNCFETDLQLPERKSDTIRHSIPTCDGQYVNMEEHHHTESSVSLHLHDSNKNSRDAADGDLSDPSLNSSRDISSSDGPEPTTCDGLDGLVFSAISNKVTTQKKDECGENCQDTVDDGKCTETGVALNTQDILISMSSQHIRNQTVCEQSHLSRITYYGYFDTSLGRYLQDTLLNEKHICLSCGEPPESHMYSYTHHNGTLTVLVKRLPLESSLHGRGQGRIWMWTRCLKCNAKPTQRVIISSSARNLSFGKFLELSFSTHSSAKKLSTCGHLLHRDCLRFFGFGSKVAMFRYSSVEIYSACKPPLMLEFSNQNRKDWLNVEVNAVDHKWKLLFSEIENALRDLILRYSSQDMCENTTISVYGKLLFEAASMLAQEKNEVEVSLMEFGQTVKPKSCAHEILGLNWLYQQLFLSFYVWDVRLHHILQYIKVNSTSSNCIANESTEDNEQKNSEDIALRDIPLVKDLEIEKEEGAIYSSTCFDNSYDGVLSDKAQLANTIVKEDESPLCPDHDVMSSPTSSGDVSVLFTNAEHPAPSRINELYHVVIPRDDTRKWVWNKFSQVEMEYKKELQCGLLDKFHLINKYTPLSSSLTHHNHQIDLGYFIVGPGGNILSVAEEEISSIIAYALTISEQQGFYSEAAFVKDELADGRNIDKLASSHVDRDASLLSSILSPSDSLEKNHNLIRNLSTLSSEGSTSGFYDSFLSALKDLHPEICVNNEKISLKSKYTVVCIYAKQFRDLRKICCPSELAYISSISRCKSWNAQGGKSKVFFAKSMDDRFIIKQIKKTEFDSFLKFGLEYFMHFGASQVSSNPTCLAKILGIYQVKEIRNGKETRVNFMVMENLLFGHNIIRRYDLKGALFSRYVPDSENPEKRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLVVPKNQTPTVISPREYKLRFRAFMS >Et_1B_013482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8948918:8955330:-1 gene:Et_1B_013482 transcript:Et_1B_013482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERSSSAFSRSDGDGETSLEALALGKVAEAAEAIAEAASSGEVVRAIHAVAALLFPVDSAAVAGTVEEPFRTQIIETVSLGDGEREAWRHAFYHGPAFPTMSKILLGNVSLKWLRKIQASARNEVYDPFFVKGPPTEVIQELIPALSQKRDSKEEQRNFSLNVERLLILCLLDHKGVFQIAADFTRVIKHDDDIANPDRTTFVSRVAQLLASVPDKIRLGGPPALTAHQLLVGAEAAAIKLSADKDYIERCALNYAYLFVGEVLSRVSRRGSTGSLVAELIPRIRNHLNRCVASDHKTLSPDTISHVSQSPFWFHMVESMKDQHSIERLTEELLRQLASQHTSDEEAYWILWTLFNRSFTRLTVMRLESPIDLIRKMASAIALTFSKVVDPKNPLYLDDNCCETVEWEFGILCAKEVNGSSHGTESKSKLKASSREKKAKAIKQGIPDGRPKIVEIGSLDHDRMSDPAMNEQFEEECDEESLNVDASSDSSLEPYDLSDDDTDLQKKFSHLSDLAAALRKPDDPDGVENALNSAEKLVRASPDELRHNSGDLVRALVHVRCSDVAMEGEEDSAEEKRQKALVALLVTCPFESLDVLTKLLYSSSVDISQRILIIDVMTEAAQELAETKIAKTEQRRGNLISDTSPSWLVPSDRGPPGAGPWREVSEPGTLLSWSHRYERDVPSRSGQVKSGKSRKWGLGKAKHFQTEWSKNRFPLYAAAFMLPVMQGYDKRSHGVDLLNRDFVVLGKLIYMLGVCMKCMAMHPEASALAPALLDMIRSRDVSQHAEAYVRRSVLFAASCILISLHPSYVASSLIEGNREISTGIEWIRTWALQVAEADPDTECSSMAMTCLRLHSEMALQTSRALEAANQSKAGARSLPSKLDNIIIPFGNMM >Et_2B_019078.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:313254:315065:-1 gene:Et_2B_019078 transcript:Et_2B_019078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPGLQRRCSPPAITALLPRLRVAAGANSPKRLLFNSLALILTSGLSSSHPAVSSRLLNSLLPHVDRRHLPSLLRLLPRDHLTLLLLSAKHHVHSLPAASALHALAVSSGHLPSDLRLSNSLLSLYLSLGSPSSARRFLADIPRPDTVTWNTLLRACLRMGLLPAARRLFDEMPERDVVTYNSMLSGYAAEGDMVSARELFDEMPERDVVTWNSMLAGYTQRGDMESAKKMFDEMPVRDVVSWNSMLDGYVQAGDTQMARMIFNAMPRRSVVSWNVILALYAKVKDWRGCLKTFDAMMAVGENVPNEKTFVSVLTACGNLGDLERGKWVHSLIRERWERLVPDILLLTALLNMYCKCGVMDTAREIFDSMSERSVPSWNSMIIGYGLHGNSEKALEFFLEMERSGPKPNETTLVCVLSSCAHGGLVLEGWWCFDRMVRFYNIEPKAEHFGCMMDLLGRAGLLKDSENLIKNLHGKVSPALWGTLISASRTQDNSKLGEFVGRKLIEMKPTEVGPYVLLSNIYAAEGRWDDVEKVREMMKEQGVEKDVGLSLVGSSEHEPQADISSQRSGVMLSMLSEMGVLVKQPSEELHHRGGGLLVVP >Et_5A_041850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3436645:3438274:1 gene:Et_5A_041850 transcript:Et_5A_041850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAQLTALQRAVADLEARITTSQQALASHEGNMARLEANRALAVAQRARELYTHAASKVKAVTNPPVVLMLMAYPALMAFTLAARVANFALLYPTPVVPNGAVPPAAPLGGPQDQWKTMHGGVFARTFFSFLAVTLTHLYLVTNLGVRGGAGAPRMAPGYSWFAPVIFWVCSTCYFLTYVSLARYIVLTCELHIVLLLFVRVDW >Et_2B_019478.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6594246:6594641:1 gene:Et_2B_019478 transcript:Et_2B_019478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QTVNLISNPTEGCTGSAGEGTTAVTAEAGGPTGRRRETISSTLAGSPEKSASTVPSRRLRTQPARPSDSAVDAVQSRNPTPCTRPFTTTCTAFRAGSCCGCGSVGWEASEEAGVAMVPARRRERRGAVAGE >Et_3A_026720.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:16923497:16924972:-1 gene:Et_3A_026720 transcript:Et_3A_026720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVSMLLIFVLCCYHSPIAHAGDDRHYKVVYTGSHKTAANCSYAKGAVLLVLDARHLFYSSLRAGVTYRLASALIVSAAPSSNGTTVPLHHRHGPCSPVAVASEKTPTFEELLDRDQLRVHYIQRKASGATVNGTTQKVAATVPTTLGSSLDSLQYVISIDLGTPAVTQNVLIDTGSDVSWVQCVPCLQCHPQADPLFDPSASSTYSAFSCSSVACLLLDDFGCSSSSSQCQYTVRYGGGSNTTGTYGSDKLALGLEPDGVVEGFEFGCSHVESGFVSTIEARVKNATTGRRRRVLLHRGLERRRERRVRRRGQPRDDRAGVHDRPAGGEDRGGHVKELPVHHDALKDHEVISGDLGVNDHRCGHEARVCGGAEREEPGGARSRREAVREGTTRYLRHQRLRAAAEPDDPVGLAAEVHAGFAVAASNVGVLLEATGEHGPCRWYNGTVVPLEEGPAAETIDEEGKRSQPEQSNKPSGIESVVPHLWAPYS >Et_5B_044097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:269193:271073:1 gene:Et_5B_044097 transcript:Et_5B_044097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYSDEEGADPHPFASIRFALVGFDDLSGSQYRLELLRAGGTDAGDWKGDCTHVIVYDRLYVSSARHFFFGDFFCYGTLIHTVPPLILLQDDQVCVSARKDGKKVVTEQWVDDSLDLGELADANRVSLPILNAFSLIFSGFQCLYKHSNLQHFVLYEPLRGSGAIPGSESLVICLTGYQKDMRGYIMKLVSLMGATFSRPLKANEVTHLICYKFEAISVPSSHPLFFSPLQIILGEKYDIAKQVNVKLVNHRWLEDWYVHLSILPKNKGLSHHFMVILSKSFRMLLLQLKGMGNSSD >Et_10A_002170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:333705:337491:1 gene:Et_10A_002170 transcript:Et_10A_002170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFDLEIDRKTLLPSNCMEIPDPGHPSVMSQDSSARESDMLGSHQGQHSFNSVKDMKNEMLMHMMDGSQSSTANLIHDDAHNGAQLEFGVLNNHSPSNVHSVQGQGLSLSLNTQILAPSLPYWSIKPDMLSPQSYHDSLRVDDIRMKSMQSEASRAIRNSRYLKAAQELLDEVVNVWKNIKQKAQKEQGDAGKVDGKETEGEPKSEGVSSNPQESAANTAPELSTAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQTVVSSFDVVAGPGAAKPYTAVALHTISRHFRCLKDAINDQINVIRRKLGEEENSSGKEGKLTRLRYIDQQLRQQRAFQQYGMIPQNAWRPQRGLPENSVTILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEEIGDIEQDSNSSSDNAPRSKAEVASSEDKEGLKSSTPRVCETSQLSESRASIGTVNVGGAPVGFQNEANPDESFMNLMLKDQRSNDTDGGLLLHNAVAHHPDENARFMAYHLAELGRYGNGNVSLTLGLQHSSSSLSVPNAQQSFPGVGDDIYNATAPLGASVASSDYESMNQMDQRQRFEQSPLLHDFVA >Et_3B_030360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32497415:32498217:1 gene:Et_3B_030360 transcript:Et_3B_030360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFKADKMKQRLQSLSPRGLSCSSAASTSVVAIDRRHSPRLRDPHRSSTSMKLKNTVPPPQPQEPTSSPASSSRFLLNSSRLQPSDVTILDTLPPPNSFIEQDLFAPVINNPEQTAESSSSTQLDGIGKSKAAMVTRSCSTRSQHQVVVLKVSLHCKGCAGKVKKHISKMQGVTSFDIDIATKKVTVMGDVTPLGVLNCISKVKSAQFWPSSSSPASPPRTSASF >Et_4A_032513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1097965:1099816:1 gene:Et_4A_032513 transcript:Et_4A_032513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGRGLRAPFVGAKEDHGMEEAYPLDANWTLLDPSNCEMLAKGRKVSGRGEDMSAHYAFGPQEDDAIIKHRLLTRTTTTRGEPPVKKLQKKFMSFATEIEKDTDNINDCERLYKAFLQEINTFELPLMKSKAVVDANIREKESFNELQVEIHRQILQAQTDIEDLKKQLEKNKIERQHKEECEAIRKMISMQPPRSETEKLIADLEKEIADLEAENVACIRTLELRKKQFALLLHVVEELQISIEDEQRSIADELRAVAGEQRMSIEEGSGGASDAMALD >Et_4A_033233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20162416:20166462:-1 gene:Et_4A_033233 transcript:Et_4A_033233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAGGGGGGGGDAADMRGRHRIQAELKRLEQEARFLEEELEELEKTDKNHWAFKSKLGQQNLRDPPFQWA >Et_2B_019896.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1546146:1548465:1 gene:Et_2B_019896 transcript:Et_2B_019896.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPGSARLQSIPYPFGIGDSKEGCFREGFRVYCEDQTLYLAQNEIFKVLAINLSLGEVRILKYIASTCENIADSGYFQIGLHRRNLFAISTKNRFTAIGCATIGMIQGANQYNYTSVSGSFCSLDSIENSTSECTGMGCCQIPIPPKLWKLEFSFLTIDGKNYSEVRNFSPCSCAFVSDADSFKFDRSYVTTREFESQYGADDRGVPMVLDWVVDNVNCTEAKKNSSYASACRAINSECTDAPNGLGYRCICAQGYEGNPYLNQEECKGQHAAISPSSLSVSFSAVATSDLRLTTLTPHHVDECRSPSTYPCYGVCTNTKGSYNCFVPTRNYWRPKEGKLHQAPDPKQPEPKLTIEKKASESLFKQNGGQILYQKILSQQVDTVTIFTIEDLKMPTNNFTGVKIKQKDRQKTHRPETCPLISERRFRSEK >Et_10B_002584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17103058:17104323:1 gene:Et_10B_002584 transcript:Et_10B_002584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGGGGGGGGGGGAPSSSNSGGASAGGGTSGSRGGEAHPHHAFYYTGPAPAGSNSGVPPPQQQASPFAGALAITPAAGADQAAQPSAAAGDNKKALVPAAGTGTVAKRPSKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAIVAATGTGTIPANFSSLNISMRSGAAAAANPNRASPFPALALHPHHHQPHDMSAMMGYHHHLLPPPPQQQQDSPGGQDPGSGGGYMRKRYREDLFKEDDDDRQDPNAPKAREQQQQQGTPPQAPSAAMWAMAPNTAAPGGAFWMQPMWSFSGGGAGTGGTVQAPLQFMSRATYPTGGNGGGMSDTNLGMFAAVNPYNRGGSEDHQQQQPEAEQQQRGGDGGGGDDDEDGGDDSGEENHGNNSSQ >Et_4B_037618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21881977:21885693:-1 gene:Et_4B_037618 transcript:Et_4B_037618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAARDADAEMRDPAASAVPPGDDDGEDDSEDEEDDVDDDDEELPGPAPVQEAPAPAPVSAFPANPNQLTLLFQGEVYVFDSVTPDKVQAVLLLLGSGELPPGLAGMVLPNQNENKGYDDILRRTDIPAKRVASLIRFREKRKERNFDKKIRYAVRKEVALRMQRRKGQFAGRASLEGESPATSCDPASQGSGQDFPSRESKCQNCGTSEKMTPAMRRGPAGPRTLCNACGLMWANKGTLRSCPKAKVESPMVALEQSGSDNKALVIPKNGNDAASSGDV >Et_5B_044482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2865177:2868238:1 gene:Et_5B_044482 transcript:Et_5B_044482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAGEAQPFRLFSKRTKREPKPKPEAESVADDGESKPASTMVTETGKSDDATAASAAATFAELGLSQWLVDSCHALGIRRPTAVQRRCIPRALAGEDILGIAETGSGKTAAFALPILHRLGEDPYGVAALALAPTRELAAQLAEQFRALGAPLGIRCLAAIGGFDSLAQAKGLARRPHVVIATPGRIATLVKNDPDLAKVFARTKFLVLDEADRVLDANFEEDLRVIFGCLPKKRQTFLFSATMSDNLRSLLELSGNNSYFFEAYEGFKTVETLKQQYIHMPSDGKELHLLHLLPKTKQKEDPNQTTGDSVRSAIVFVSTGETCQYLDFLLQELGRPAVSLHSYKSQSQRLSALSRFKSGQVPVLIATDVGSRGLDIQTVDLVINYDLPRFPRDYIHRVGRTARASRGGLAISFVTQKDICLLHEIEDVIGKQLDARECDDREVNKDVTKVFKSRRLTVMKINDEGFKEKVLARKEQKKRDIARKRKLEE >Et_9B_065486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5703343:5704428:1 gene:Et_9B_065486 transcript:Et_9B_065486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IRREALRPTGNSATYSSSLFACSRSLAARVGQQIHGLSIKAELSRAGSWMCTTNVCNGLIKTHSKCGELQESIKVFDGMTIKNSISWNSIVAASA >Et_3A_023666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10602715:10604872:-1 gene:Et_3A_023666 transcript:Et_3A_023666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVLSKQTVLVAAAAMALLCLQLPAVARGQLQVGFYNTSCPNAESLVQQVVASAVANDSGIAAGLIRLHFHDCFVRGCDASVLLTSPNGTAERDAAPNNPSLRGFSVIDAAKAAVEQSCASTVSCADILAFAARDSINITGNVSYQVPSGRRDGNVSLAQDALTFLPAPTLTAAQLVANFANKSLTAEEMVVLSGAHTIGRSFCSSFLARIYNNTTPIVDSGLSPAYAALLQALCPTNSNSTVTTLMDVSTPTVLDNNYYKLLPLNLGLFFSDNQLRVNATLNASVNSFAANETLWKEKFVAAMIKMGNIEVLTGTQGQIRQNCSIVNNGSSSSVAAGIRQTPRATASLDEIATS >Et_9A_063389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22633649:22636527:1 gene:Et_9A_063389 transcript:Et_9A_063389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLRSGHRILLRSHQRHRLSSAFSTAAAEELFDVRKLPTDYDPSTFDPSSPSRPPPSDRVWRLVDEISSLTLAESVALSSLLLRRLDIPSAPPIAILNSAAGLGGGGAAASAGPAGEKAAAAAEKTVFELRLEAFDAASKIKVIKEIRSFTDLGLKEAKELVEKAPAVIKGGVSKEDAEAIVERMKAVGAKNKSEEESHWNPNQIEGAEVNIGAQVLPPASSGNT >Et_7A_051178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15149690:15151783:1 gene:Et_7A_051178 transcript:Et_7A_051178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLRAAARATRSSALRSILARRGPFSTESTEPLPIVVTLCHMPEGDDDEGRPEEDDDLRNRIFRLGLAKRSATAALEKWAGEGRDAPAGELRRIARDLSRSRRFKHALEVADWMKTHHESELSESDYGMRIDLITKVFGASAAEDFFEKLPPGAKSLEAYTALLHSYA >Et_8B_060481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17862712:17865004:1 gene:Et_8B_060481 transcript:Et_8B_060481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASSGSAVVERVERAAACAALARGAGRCEAFSGRVDDDLLRHLSERTGSVNPAPSPIVPLPSVASSASRRKRVDPSTSWASSPAPASRDRATLPHDILLHIFLSLGRC >Et_1B_012674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34362613:34369385:1 gene:Et_1B_012674 transcript:Et_1B_012674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPASQRAYRLIANYNFQRTLDMDFFTEYGEASQYQIQKIIGKGSYGVVAAALDTRTGERVAIKKITNVFENVSYATRILREIKLLRLLRHPNIVQIKHNMLPPTQREFRDIYIVFELMESDLHQVIQANDNLTPEHHRLFLYQLLRALKYIHAANVFHRDLKPQNILGNSDCRLKICDFGLARVSFDDSCSAIFWSDYVATRWYRAPELCGSFFSNYTPAIDIWSIGCIFAEILTGKPLFPGRNVVHQLDLITDLLGTPSLGSISQIHSEKARGYLAGMPKKHPIPFHLKFYGTDPLALRLLEHLLAFDPADRPTAEEEFSTQPLSKLHFEFEGRKQTEDALREMIYREILEYHPHMLQGYIEGGEHISFLYPSGVDCFKQQFVHLEENYSRGVRGTPLRGQHASLPRERICSSKDGNSQDSSDEERRTATSVARTTISPPRSKEGRMHESTHQKGTNNSNFPATGYPRSSVTIGASRCVVERNKYKKENEIPKDVEEEAVHELAYKVTRMLT >Et_5B_044387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23583999:23591278:-1 gene:Et_5B_044387 transcript:Et_5B_044387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLRRGVGSLALRSFAASLRRHAPPPPPLPLENLFPAAPAHIRRTLAPPCRHFAAPPQVNKKSKEDDDEGPRVNNAITAPLVRLVTDDGHKVVSRKEALDLAARMEMDLVEVHRKSDPPVCKIMDFHKEKYKKDVKEKERLKTKSAIVLRGGDNKEVRFKGKTELKDLMVKADAITRLMERGYRVKCMAMPSGNEGEDLGAPLSRLLGLIQDVCIVESGPHLDSKHAYVIVRHVKFATKKGGKKASKAMEDAGKGTHGTASESPVAGDNKDEPIECVTEAGDRAVSAQKGGQDRDFKRNLNQSVANPGDREKLHNTNSGGSRANPGKWGPQTPEHRHGSKDAKPDMEKRENNNQVREPGETNRYAARRPPVRGDNQGFSQGRSPQDHRRNENEGRYAVNDNQRPLEQHNRPVPRFNQGRLPQDPRNERRGQFPLNNNQRQPPGGDSGSHNPTTKDFGIFSSPKHSSSEPRKTNDAPANTDSPKSYGIFSSSKSAYLRAQGPLFLVIGLKNANR >Et_9A_062878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6089034:6092397:1 gene:Et_9A_062878 transcript:Et_9A_062878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SGPVLTVVGEAVLGSGSLRCAAVGRKRAKCFVLGHLMDVPPVETERESQTDRHPLLMEHVIGIPRNGVASSSTYRRDNHDGLDQLPQVSESSSGTTTASNTQNAPVARNDNRGRRQQSPLNSGFWISVELVVNVSQIIAAICVLCVSRKEHPYAPLFEWVIGYTVGCIATVPHLYWRYINRNRLTPGQEAARQNYLPNNTPESYTGTSALPVPEAGAEPGTNGVPRNSLLTNPRVQAFADHFKMALDCFFAVWFVVGNVWIFGGRSSAHVAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISVMGFREDLNQNKGATSEAINALGTYKFKLKKARGSEGNDGGGGVVAAGTDKERVVSADDAVCCICLARYADNDDLRMLPCSHFFHKDCVDKWLKINALCPLCKAEIDGVATTAPTIGFGRRHSDNRVGNDIESQQ >Et_1B_009800.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27540355:27541387:-1 gene:Et_1B_009800 transcript:Et_1B_009800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMACHTVPPLLLLLPFLFATAAAADVAQGPTPQLSEANLTRVLEKGEQYTTLLRLLNTTRVLDQLGGQLRDSYDGITFFAPTDSAFAKLNPGALNTLNDQQQAQLVLYHVLPRYYSLATFQTASNPLRTEASGPGGAYSVNVTAGTAAGSLVRVSTGVVDVPLSNTLLAEFPLAVYSLDEVLLPEQLFGGGGKAATGKQAPAPAPAAARRKGAAPKSRGAAGPSAEAPGTEEGDESSTNAAAGRGSTFVAALALMAAVVVNVVVA >Et_3B_030889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8295727:8312182:-1 gene:Et_3B_030889 transcript:Et_3B_030889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding APEGDRMVKTHASENQLVKEKEISVFNMCTRNCIQGSININMNDQMYASLQSLQKMQNDMVREHCLLGERSAQCDMDIQTILTGISFMYSQLNLVEMVRERYPIFMFSKPAEGKMTPRVISILQKYEQTTSNMVKVASSTSSRRGSQTMKLKRRRLAEAVLSRTKCEARNIIPSMQELDEICRESNWILPRYTVLPSLIDGLYQAAVHLVCPDLELNADGGMKTTPHEARDSAAAAMLHQLHTKAKEKLAELDSSIPDAIVKDHKERYCTSETHLQSRKIEGKIMDRSLTNDKRQWLKVMEIAASYVGSGRLAGGYCD >Et_3B_029970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29561999:29562868:1 gene:Et_3B_029970 transcript:Et_3B_029970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DSKGSGPMEPNWTEEETKVSVRNSQTSSMQFKWGKERTNFLTRYLMQQASIAKGKGAVFREDALLEAAEAVSQRFQRECIVADVQRRLSTLREKWRSIERIKGHASASWNHATRTVSMQEDESRLYAMVHPTDSGFINRPIPGYDELAFLFSDEKSPDDTQAKKDQKAHFVNNQISEDPMKQKINNEDIRYLVLKIGEFIAALKDLKPRDFADELWKAVTACGYNERMGITAFEYFLKKRGGGEDFPGAKPRPPEGMAS >Et_2B_022104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9154345:9159822:1 gene:Et_2B_022104 transcript:Et_2B_022104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSKRLEKLKLSALYSFALCHKGSSDDHSRIGTAGFSRVVYVNEPNRHEEEGFMYPLNGVSTTKYSLVTFVPKSLFEQFRRVANFYFLVSGILALTPLAPYTAVSALVPLCIVIAATMAKEGVEDWRRKQQDHELNNRIVKVHRGNGNFEETRWKDLKVGDVVKVEKDSFFPADMILLSSNYPDGICYVETMNLDGETNLKIKQALEVTLDLNEDTKFRNVRQTIKCEDPNANLYSFVGTMEWKGQQYPLSPQQLLLRDSKLRNTEFIYGAVIFTGHHTKAMQNATDPPSKRSKIEKKMDRIIYFLMSSLLIIALLGSVLFGIWTKEDLKNGVMKRWYLRPDDATIYYDPKRAALASFFHLLTALMLYSYFIPISLYISIEMVKILQALFINHDIEMYDEESDKPTHARTSNLNEELGMVDTILSDKTGTLTCNMMEFIKCSIAGTAYGQGVTEVERAMAVRKGARIDAEIENGEYKEKKVDDSPHVKGFNFKDPRIMDGNWIHEPNRDMIRDFFRLLAICHTCIPEVDDETDKVSYEAESPDEAAFVIAARELGFEFYKRSQRNITVRERDPIKNVVEERKYELLNMLEFSSSRKRMSVIVKEPEGRILLLSKGADSVMFKMLGPSGRKFEEETRKHINEYSDSGLRTLVLAYRVLDEKEYREFNEKFNAAKISVSADRDEKIEEAADSIERDLLLLGATAVEDKLQKGVPECIDKLAQAGVKIWVLTGDKMETAINIGFACSLLRQGMTQIVISLEQPDIIAMEKNGDKEAIAKASKQRVMDQIEDGIKQIPPPSQFSTESFALIIDGKSLTYALEDDVKLKFLDLAIKCASVICCRSSPKQKALVTRLVKQATHKVTLAIGDGANDVGMLQEADIGIGISGAEGMQAVMASDVAIAQFRFLERLLLVHGHWCYRRISVMICYFFYKNVTFGVTIFLYEAFASFSGKPAYDDWFLSLYNVFFTSLPVIALGVFDQDVSARLCLQYPELYQEGVENVLFSWRRILGWIFNGVLNAILIFFFCVTAFEDQAFRQNGQVAGLDALGVVMYTCVVWVVNCQMALSVNYFTIIQHIFIWGSIAVWYLFLLVYGTIDIPRLTNTAYMVFIEQLAPALLFWLVTLFVVLATLVPYFSYAAVQIRFFPMFHNKIQWKRYLGKAEDPEVARQLSSRHRTSSQQRMVGISARRDGKAMQITRETSLELQ >Et_4B_036348.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:19362567:19363205:1 gene:Et_4B_036348 transcript:Et_4B_036348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EGGEPEVADLEVAVGVEEHVLRLHVAVGDAALVAVDERRDELEEHAARRVLGEPARGQGGDPGEEVAARGELHDKVHLGPRGEHLVEAEHVRVAEAPHGGDLAEHARRHAGRGGQVGLVEDLHGHGVAAVHGPRVVHLGEGAAAQEAAQLVLAQQRRAGLADRLALGGACHDASCRVPAAGQARRRRLCSVLLWSLAPGRWFTALQGVWFRI >Et_2B_022210.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:13794845:13795387:1 gene:Et_2B_022210 transcript:Et_2B_022210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVTYAVAAALLLLSVLPGFRVQLAVAQTSASDFLDPQNAARAEVGVGKLTWDATVAAYAQRYAEKRSHDCALVHSRGPYGENIYRGAGGPRAVVADAVAFWLEEREYYDCESNRCARRRSCLHYTQVVWTATKRVGCAAVECRGGDTFVVCSYDPPGNMDGEPPYPACGRYRVVADA >Et_8B_059521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18868516:18875122:1 gene:Et_8B_059521 transcript:Et_8B_059521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKHHPSLPFPVHTSLPPSIPLPQNRTTQRGGGAPAPTEQRKPKIPAMSPSPSPPRRPQRRAASSRPPSYDESLLDVELQAYLGDAPSRRIRRLRRLSAEDRQRETEKEALIALSVGFPIDELLPEERPLLPGPVADAPNDYIVVRNHILASWRADPAAPLPRARVLETVAATYDHLVVAAHGFLAREGHINFGVSAAFPAAPPPDVAPKGGPAASVLVVGAGLAGLAAARQLLRFGLRVLVLEGRARPGGRVYTTRLGGDKAAVELGGSVITGIHANPLGVLARQLGIPLHKVRDRCPLYYPDGRTVETRLDRSIDLVFNTLLDHATRLRESLSQAAERISLGEAIEKLRRLYHVARTEDERMLLDWHFANLEFSNAGCLSELSLAHWDQDDPYEMGGDHCFLAGGNSRLVHALCEGVPVLYEKTVKRIEHGEDGVSVTVEGGQVFQADMALCTIPLGVLKSGSIVFDPELPEEKLGAIKRLGFGLLNKVAMVFPHVFWDEEIDTFGCLNKDSSKRGEFFLFYSYHTVSGGAVLIALVAGEAALEFEKVDPVVALHRVLGILRGIYGPKGVTVPDPIQSICTRWGSDPFCSGSYSHIRVGSSGADYDILAESINERVFFAGEATNRAYPATMHGALLSGLREASKILRAAESRADTDHKKYSIQKSLRLPNGALEDLFMEPDLAFGRFSFVFSSVTPDDPDAPGLARISLDTPQKVARGTFYLFATISREQADRLQLSSDDDKSRLELLCKDLSVKLMGYDCTCDEVSDLILGILSARKARKRLQRPKNFNISH >Et_2A_018626.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3618306:3619778:1 gene:Et_2A_018626 transcript:Et_2A_018626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARIKAIISEAWFGCYDNSSGGGSSRASSVHSDSDESSSADEFWHDLREVRRHASPLSSSIRDSIRRISVSSPRALDDDVKHQQRMLLVLPAFASPATAAARAEALTRWLAGFDVGWVVGASASLPRREVARKVREWAQALSTMERLFLLWKPEVAAETSATALGELAAASAGAMLNLVRAVSALESSPSKLLTALDVYAPVWETYPVLARLFSWAPSHPVLAAAETALADLVDAARRCRRDLGAFIRSHYPWQMPQGGEVHPCVGFWMGYFRCMLRHRVSLYFVLGGNDDDQRVAEAAAPRREQGAEEGAGLSRLVAELVSCLEAVLEEKSAALAAPGLRHVFMLNNTCAVVLHAVGSDLKLFLPPGWVHDHEERMEGYVKGYVAASWAPVVSRLADGGGRRARPSSVIVSGRQNRLNAFRSALESACSAQRCWKVPNPVLRGVLRKNVSEKVVPVYRRFLEDHPEVQVATGLAAEELEQQLLDLFEG >Et_9A_061619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14549334:14550939:-1 gene:Et_9A_061619 transcript:Et_9A_061619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ESKLKQSSAMSFLRLLPQRLPQIVRQIEQDVETVIHVLQPGPIGIVEHKFTDAEIVEARATVRRAVENWQRNWTFERNLGRGSFEKWKNLLRVFMDLMV >Et_3A_025897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33874960:33878156:1 gene:Et_3A_025897 transcript:Et_3A_025897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTLLLLLLLIGCVVASVRAGDMPMPVNEEVLGLVVFKSALSDPSGKLATWTESDATPCGWPCVECDPATSRVLRLALDGLSLSGPMPRGLDRLTALQEVSLARNNLSGPLPPGLSLLKSLRSLDLSQNAFSGPLPDDVALLGSLRCLDLTGNAFSGPLPASFPPSLRFLMLSDNQFSGPLPQGLGKSSLLLHLNVSGNQLSGSPDFEGTLWSLSRLRTLDMSRNQFAGPVADGVARLHNLKTVSLSGNRFFGAVPKDVGLCPHLTSLDLSSNAFDGHLPDSMAQLTSLVHLSASGNRLSGDVPSWLGKLTAVQHLDLSDNAFTGSLPDSLGDLKALSYLSLSKNQLSGSVPDAMSGCSKLAELHLSDNKLSGSIPDALFDVGLETLDMSSNALSGVLPSGSTRVAETLQWLDLSGNQLTGGIPAEMALFFKLRYLNLSHNDLRTQLPPELGLLHNLTVLDLRSTGLHGEMPSDLCESGSLLSVLQLDGNSLAGPIPDSIGNCSSLYLLSLGHNGLTGPIPAGVAELKKLEILRLEDNKLSGEIPQQLGGLENLLAVNISHNRLVGRLPASGVFQSLDASALEGNLGICSPLVAEPCRMNVPKPLVLDPNHSTAGKSSGGKLTAGKVVTFGPGSSLRSEDLVGGADALLSKATEIGRGVFGTVYRASVGQGRVVAIKKLATANIVQSRDDFDREVRTLGKAKHPNLLPLKGYYWTPQLQLLITDYAPHGSLEARLHGGDALSWEERFRVVSGTARGLVHLHQAFRPPMIHYNVKPSNIMLDERCNPMVADFGLARLLPKLDKHVLSSRFQGGGMGYVAPELACQSLRVNEKCDIYGFGVLILELVTGRKAVEYGDDDVVILIDQVRVLLEHGNVLDCVDPTMGDFPEEEVLPVLKLGMVCTSQIPSNRPSMAEVVQILQVIKAPVGGRMEPFN >Et_1A_004794.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5962087:5962365:-1 gene:Et_1A_004794 transcript:Et_1A_004794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGAGGLIWATAEDLARNRPVVLSLYRQILRALNSPELPLGHAARLAKKAECRAIFLFGAEERSLHNIRDLVDAARHTLGLLNRGRLPEQ >Et_8A_056312.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:21872463:21872882:1 gene:Et_8A_056312 transcript:Et_8A_056312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRPPAVSARVVAVSGRCANAGSCVLSTSRAASRDDTTTVATSPFRSSITGPCRRASSRIARCGSCPTRWCSVPINGICHGPGGRRSPCSAERRRRFFSSTTSSDARASARYINKFAGGSIDCSSIVVQVCLWLSFTL >Et_4A_034536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4166073:4172453:1 gene:Et_4A_034536 transcript:Et_4A_034536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLGGRGGGRGGGGAAKRPPALHGRGRGGASSIGGMGAPPRGRAAAAAAAAQPAGRDESFRLESGGPPAFAAIIRLTPDLVDEIRRAEEAGGGARIKFNPNMYNSSENVIDVSGKEFKFTWASERGELCDIYEERQSGEGGNGLLMECGSAWRKVNVQRILDESAKNLVKMRSEEAERLSKSRKSIVLDPANPSVKSQAKSMAAAAVEGNMRRMHWKNKKEFFKKNQAAVIAPTKSVSKVKLSNSTPKGNFSTSPAPSPEPGASIPSFPVGSDANNEVITPFDLNKEDNNKIEKVTPSKMSKGRRASTPSAGVDDNTNEVRSLLISVLSENPKGMGLKALEKAVADSFPNASKKIESLIKNIATYQAPGRYVLKQGLEIESSKRNSSEGGRSIDENIEEAAPSLKIDDPDIFEKIDIGGSPVAVAGDGMVNNDSDSKAGTSSESGSDSDSDSDSSDSGSDSGSPSRSAADSGSGSSSDSDSDASSSSKEGSDAFVDITSDDDKANTAHTKVADDLNLSSSPKDFTGLDVDDEQIDIGTNLDYRTTSPHIDLNNFNTDNDDAADTIAASEGLDASNLNKLLEIPGKENMTSTRMDPIGVDSNRKYNEVPYQGNPFDDPLRTFSDNSSKEDPGQSTKIHGSRRKSTSKDGPNLGPMSSADKSAKPKLKRHSGNENSTAKPESSKKAKVDITSAGTTVSVLEKKKGLPLEKKSNDRLIKETGSVSRDASQDSSPAIKGRPSASGNLQNIDQSPNVSMPTMQSERTSENIEKTSSRRKAEKMQKPWRAIDGDFGTGYSHSEDHRVNFDGSDDSSARKRSRHGDLPIDDKMLKRSKDANVNATSMNSRTSKGNVGPDGTMAFPESHKSNGDPSYSQRDNVERSPHSKKLLQRELSDLELGEFRETSLENDNGKTRKQFERNSSSKSLDGKLTNANNSYPSMNIRKGPLTTFHDKRKPSPQEYGIGGHMNLEGIPRNAAGYDLDDNRRQQRGNIPESQHLPRTDNSDSENILYSDKSGGKTSKRETRMAHGGMLESADKKKKLNSRLPQNGSKNAAVPRTQKSISPSDNEERSRNNSLIEAGKGRKKRDSSSDEDDLFFSKYDKDEPELKGPIKDSSQYNDYVQEYNEKYEVYSYLIGQIKKNMSEFEKFEADLNDAKESDKEQYYNIIEKVNDTYRELGGRHKLMKKVFVLLHEEMQAIKQRINDFKEAYSNE >Et_5A_040558.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:9502001:9502705:1 gene:Et_5A_040558 transcript:Et_5A_040558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SNPLFCLSSREVSGLSCASPSRLSSASSPRACATNPFVADGQGAPAAVRGRGAVPGVPARRQRGRVPRRRRRPAGPGRVRRLVLAGGRRPRALRSGAGGRTDDGGHGSERRAGAAARGWRAESGVWGRAADGRVGAGRGARLAQPLRCRRSGGVRGGAAGGGGIEGRRRRVGAAARVPGAAAGAGVGGGGRRPDAQGPGHVPGARRRLEPNRVRRITKSAGPGLPGPVFDLIFV >Et_7A_052264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6354668:6358788:1 gene:Et_7A_052264 transcript:Et_7A_052264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYGIAGPFISSYLWCTILWNVGSGYDQFDRKEGITSDSSHHGIVENSPYRIPYDRHAEGGQLGASWYFSRKEIEENSLSRRDGIDLKKEAYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILLSYEIIHKKDPAAVHKIKQKEVYEQQKELILLGERVVLVTLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVAPPPSQGNDTEGSSASVANQRAPGKVPGAADEPPAHEHHQAPRQSSQQNMSGHHGYDHPHTEKQNTRIPQGEARDGTENSNEGPNMSSTMMDAMKKINKDKVKAALEKRRKSKGDMSRKVDVMDDDDLIERELEHGVELAAEDEKIKQERRQSWPHPENAEEGELSIDSQEYHSPELDNRKRKDLYEHRNYDRGERDHKRMRS >Et_1B_013320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7361719:7364215:1 gene:Et_1B_013320 transcript:Et_1B_013320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQPSPMLSVPEKKTAAAVLFRDRHFFNSAFFNEIRDLRAALSAGGPDSPSSRRTTLLRYHHLLFSARDDPCAFDDTLSFTWHDAFKPHLKHSSASLRFEKAALVFNLGAIASNIAAAVDRATAGGVKEACAQFQRAAGAFRAVGEMMEGQGESTVDMSPQAAAMLERLMLAQAQECCFERALAAGTSPAACSKVAMQAGLYYKEAYDALLSSPLHNHFERSWISQIQLKAALFNAEACYRYAMELHEKTEIGEEVARLQIGMNAIADAKSAKGAPRALYDSASRLDRDLVQSFEKAVSENHRIYLMRVPPGTSLAPLPAASLVRPASLSEILDTKTESDAQPS >Et_7A_051519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:213269:215335:1 gene:Et_7A_051519 transcript:Et_7A_051519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPSIVDGDVWLACAVPLSRLPGVGSQVYYFPHGHAEQCPAPLPGPLPTPHLFPCTVTAVRLGADAKTNEVFAVISLQPGPHRGPPAAGGASSSWSASATQVGGQQQLVGLSYFAKQLTQSDANNGGGFSVPRYCADFIFPKLDFEADPPVQTLVMRDPTGHPWQFRHIYRGTPRRHLLTTGWSKFVNAKLLVAGDTVVFMRRADGELLIGLRRAPRYPPQMQAQAQAQRRNARARVPPEDVVEAARLAGEGSPFTVTYYPRLGAGEFVVPRKEVEDALACSWEPGMLVRMQVMEAEDARRTDWANGTVKALHADIWRALEIDWDDTASSSSAKSRFVNVWQVQFVGYPPLLKRLRISDTIAPLCFGDDSMAAPLIGPGGQEMAVLLGAPIPAAAGMQGARHTGPTDVPSSSSAMLTTQLLFPSPIEDLQVPPSLSGGSEILDPEFGSPPSNSVNMNPPNLPVGNKSIQLFGTTITPHAVQTATDGGSQEVNNEVPDGVVDENAEQDG >Et_2B_022209.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:13843318:13845837:-1 gene:Et_2B_022209 transcript:Et_2B_022209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKHSDRGGGGGGTELFICFTSRPSSASVAGGAAPSSLRPSSSSKLLSPGRGGGGGGGSSAAGADAPAPPLHPSRSRRLRNSGSLKGGQSPMFPSGSTGGRRGRAGFEPAEPSSPKVTCIGQVRVKGGKRRPKHASAAALRSRSRRGGVGGGGGSAEASFRRAGDDRDGPQGKNQGWVYQIPVNICEALKTFGSCGGRSLCSPSRGGGERGALSAGAHGDKKRRRAPAGGSWLCGAAVARCLLAIQEEEDDEVGKGAAVVPAEEIRASEVGLVMEGWDVEEEERGVMVGEVEVEKKDEIFVVGKEEEGRVSVCIPPRNALLLMRCRSDPVRMAALSTRFWGSPAAATVEQVNNEAAGDDGDDEEEEEEEEEEDEAEAVVEKDCNAEARNSAVSVEDMKFRECSAGEDDGAEAGEVDQAQAEIEESPNCSDPVEDEKDGHCRAEEEEVQIVRKDAALEVSLVQDEERENHGPDMVDLAAGGKAQEGVPAPDNVEEEVKGRRSISNYSPSAALKEERKLRRLSSSRRRVSTSSRTSSVSDRVGRRHSFSADTEGRRSSFSSLKDSRRASFSIDRDGRRWSFSIEQEHLVAEPKVLMASRKGKKNSSEPESEKECAVVVAPNSAEEDQESRDDGKEEVVQNGEAYGTTQGEEMNPKVEKVETQIEEGAAGQVPRRKKSGELPDCLLMMMYEPKLSMEVSKETWVCSTDFVHWKSHQGKNNRNRRQQKASANDAATEEPKEEENAESTTVANDADESKDESVVNSAPKPPPVVQKAAPPKPATTEQKVKIELPLVANAAAYAPFVLKRCKSEPLRSSARLAPDACFWKDRHRPLNATGVGF >Et_3A_025872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33574388:33596964:1 gene:Et_3A_025872 transcript:Et_3A_025872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAVKLLGSPNSPFSHRAEVALRLKGVTYEFIREYMNNKSELLLKNNPVHKKVPVLLHGDKAVCESLVIVEYVDEAFHGPPLLPADPYERAQARFWAHFFEEKCSKSMWPALWTEGEVQKASMKAARENLKLVEEQLQDKRFFGGDSIGLADIAGAGFLGYWLGVLEEVAGVRVLHDDEYPALRRWADDYLDNEAVKGCLPSRGQLLSHFTAVREKCISVAKSMLVKIRAKAEQKMSQPVKLLGALDSPFSHRAEVAMRLKGVPYELIQEDLSNRSELLLTHNPIYKKVPVLLHGDRAICESLIVVEYVDEAFDGLPLLPADPYDRAMARFWAHFIENKCLKPFWVAHWTEGEVQKGLAKEAEENLVLLERQLKGKRFFGGDAMGYLDIAASVFAPWLSVLEEVTGVSVVSQDKLPALRRWTEEYTCSEAVRQCLPDRDQLVAYFTASKEKYKITRRMATEPVKLIGAFGSPFAHRAEVALRLKGVPYELILEDTSNKSELLLTHNPVHKKVAVLLHGDRAVSESLVIVEYIDEAFEGPSLLPEDPYERAMARFWAHFLEEKCLRPLRLALFADGEVQKASANEVKESLAVAEQQLKGKRFFGGDAIGLADIAGASVLSLWAGVLEEVAGVRVLNDEEYPALRRWAMEYNSSEAVKGCLPPTSLGLGRTRAYQQVPKESMPPPVKLIGFFGSPYAHRAEATLCLKGVPYELLLEDLFNTKSELLLKLNPVHKKVPVLIHGDRAISESLVIAEYVDEVFDGPPLLPADPYERAMARFWAHFIENKFIKPFFMAHWLEEGEVRDGFLKEAKELLPLLEAQLKGKKFFNGDAPGYLDIAASMLAPTRIAVEEVTGVALINDDDYPALSQWARDYISNEALKPCMPDRDQLLAYFTKNKEMYRAGVAKDMSSPVKLIGFFGSPFAHRAEAALCLKGVPYELLLEDLFNTKSELLLKLNPVHKKVPVLIHGDQAISESLVIAEYVDEVFDGPPLLPADPYDRAMARFWAHFIDNKFTKPFFMAHWLQEGEARDGFVKEAKELLPLLEAQLKGKKFFAGDAPGYLDIAACTLGPTRIAIEELMGVSLINDDDYPALSQWARDYVSNEALKPCMPDRDQLLAYFTKNKERYTSAVKAMLQHIKMSPPVKLIGTFGSPFVHRAEAALRLKGVPYEFIQEDIENKSELLLKHNPIHKKVPVLLHGDQTICESLIIAEYVDETFEGPSLLPSDPYDRATARFWAHFMDQKVIVGAHPSMQMQFLIQCGNPLWLSLWTEGELQKGFLKETKENLTLLEAQLGGNTFFNGDSAGYLDIALSSLAHWLGVLEEATGVSLMGDECPALRRWAGEYTSNEAVKPDPAAKMPEQQLVKLISAFGSPFAHRAEAALQLKGVPYELILEDLLNKKSDLLLKHNPIHKKVPVLLHGDHAVAESVVIVEYVDDAFEGPPLLPADPYGRAEARFWAHFIEQKFSRPFWLSFWMEDGPRKEAFVKESKENLALLEGQLIKGGKRFFGGDAIGLVDIAASGLARWVGVFEEVAGGLWLMSDEEFPALCRWAERYVSHESVRKCLPGRDELVVLYSSLKERYTQLAKAKEAAVKVIGFFDSPFAHRAEVALRLKGVAYELIPEKDLQANKSELLLKHNPIHKKVPVLLHGDKAVCESLVIVEYVDEAFHGPPLLPADPYERAQARFWARFLDDKCSTPFWLALWKEGEAQKGFVKEIKENLKLLEAQLEGKRFFGGDAIGLVDIAASGFAHWLSVFEEVAGVTLATPEEFPDLCRWGKDYTSHDAVKQCLPDRATLLAHFTAKKDFFVSTVKSMAPK >Et_9B_065200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2288548:2291471:-1 gene:Et_9B_065200 transcript:Et_9B_065200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASGAATAAESFVVVHNVAKRHNVGTLARSATAFGVAEVVVVGRRDISAFGSHGATSHLRFCHFTSLALARAGCDICGVEITDDAKPVTAHPFRGSTAFLFGNEGTGLSQKECEICDFFVYIPQYGGGTASLNVTVAASIVLHHFGVWAGFPERSREGNKFIVAEKPQGRSRGLYSGDSIEAVIEERKMRKENACDILEENRSSHLQESNGLDLMFTD >Et_3A_023493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3184009:3184383:-1 gene:Et_3A_023493 transcript:Et_3A_023493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSSPCLCEFIEYIDTEQTPKNIAHVYCVAERARRHLFDMKAEERREEERRKMRQKEEERRREYEAEWKTREAERERMRERARRARAAGPDVFRKGKYPRCTQ >Et_1B_010326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8622186:8622414:-1 gene:Et_1B_010326 transcript:Et_1B_010326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNARQRFGSKIFREILIVVCWTIWCHRNGRSPLRKSFSLVILRAKASVKSHIEVWLCDIH >Et_2B_020659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22484814:22491367:1 gene:Et_2B_020659 transcript:Et_2B_020659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQVVVLAGGTSESLSPLVSKDVPKALLPVANRPVLSYVLDLLEASNLKDLIVVVEGQEAARLVGAWVSSAYVDRLSVEVVAVPEDLGTAGALRAISKRLTSSDILVISGDLVTDVLPGAVAATHRRNGAAVTALLCSVPVSGPSDAASSGGKDKAKKPNRLNIVGLDPTKQFLLHIVSGTDVEKDVRVNKRKIRAVGQMEIRSDLMDAHLYAFKRTILQEVLEQKEAYRSIRLEVLPYLVRSQLRSALSGGNGATVDETGNNAVTSIGNLQCLSQHRIIAPSAFNHGTISTGHRCCVYIASKSKYCHRLNSIQAYCDINRDVVGEVSHLSGYSFSAHNNIIHPSSVLGSKTTVGPHCILAEGSQLGDKCSVKRSVIGRHCRIGSNVKIVNSVVMNHVVIEDGCHLQGSVVCNNVQLQERAVLKDCQMVALAREVPFGENAGMEQ >Et_1B_011850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26559528:26561579:1 gene:Et_1B_011850 transcript:Et_1B_011850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAIAMLLAAALVVAMMSAGAASASAEDCNPERLAPCLPAIIGGLAPPMAACCVNLHAQQGCFCRYEHDPAYARFLGSPNARRTITSCALALIGIMAKAVAMLVFMALMVATMSSAASSEKDCHRKQLSVCLPAFIHGVAPTPGCCANLRAQQDCFCQYNRDPTFRNYLTGVNGQHTLKSCGLATSYVCLQRAV >Et_3A_025715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32043815:32045446:-1 gene:Et_3A_025715 transcript:Et_3A_025715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PKEHPLPSTPPVQKTSQPSSQIPQSAAESRREAQPVRPEKKKMVRVATYFAMTFGAFLFWQSMDKVHVWIALHQDEKKERMERELEIKRMQAELMAQAKESES >Et_4A_035496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26947910:26949816:1 gene:Et_4A_035496 transcript:Et_4A_035496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEGVRTTTTSVHSSTSRDHAPSTEHSCNNYIPSLSSAHAHHWLLLLAPNKGRTKFCIHVPSSASFYVEILALAVTLHPNRTRASPPPRTSKELQFSRPSGPVTGGRGDGGRKKAKRLWQKHLYLLVDDWHWGYSVRKIDFPIADSADPGSEVARLPRAVFRFEDRHRATEQGDARERPSSSGRGASPYVFPLPNNFRGGLVLIPVGGDQLFHLSTGGDSFQVGGNSFPRRASLRGGPLLRLHPRAVHPDGRTIFVTATSAFDTAAPAAWTKRGDWALPFTGRAHFGAGDDKRDMRICACNVVSSEDQPCPSWKAVSN >Et_10B_002998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15165666:15168971:1 gene:Et_10B_002998 transcript:Et_10B_002998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDADRIRLSAEALSLQDDGVRDKPDAKANVFADLGSPVSPLCARAASSSSSSRSGKSLPPSNAGPAGGRSDSGELVAESHPLRLTAHRRCGSGPTASSPMLNALPAGNICPSGRVPGPAAAPLPPRSRSDVLGSGTGHYGHGSVMRGNLRTPPRSSIDAPPSFSSRSPVSSFGSSASIQEVTHSGNECYRKGKFAEALRHYDRAVALCPDSASCRGNRAAALIGLGRLTDALRECEEAVRLDQASGSAHSRLANLCLRLGMLEKARWHFTKAEHLQHPDPTGWQKLLEVEVHVGRSIAARKIGDWKSAVREADAAIAAGADSAPLLLGLRSEALLRLHKLEESDSTLTSLLKLEGALPSLTAEKRIGMLAEAYVHVVRAQVDMALGRFDNAVAAAEKARDLDPGNAEVGMNLNNVRLVAGARAQGNKLFSATKFSDASIAYGEGLKYDPFNSVLYCNRAACWSKLDRWSKAVDDCNAALRIRPNYTKALLRRAASYAKLERWADCVRDYEVLRKELPSDKEVAEALFHAQVALKATLGEDVSNMKFGGEVEIVTNAEQLHAATGSSGVFVVYFMSSMNQQCTQITPLVNTLCTECPSVNFLKVNVDNSPVIAKVENVRVVPTFKIYKDGVKVKEMICPSVQVLRYSVRHYSVSSS >Et_9B_066069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2472721:2489264:1 gene:Et_9B_066069 transcript:Et_9B_066069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLPDLNEAPAENDMEIVLDEAAPQVEHQFDLNMSMEEDVEVPDPLQQQPQQLDQPNMPNQIQMEVHPGEAFVEMNDFVNDLINEGEPVGDDQLEDSQLTFQVSDSIMGGSMDSEASVNQPVLGGQNAEVVLALAAEVNNPMAAFLPLDLQMDDLIGDQVQQHGQGNVNLQVSFKQFQGELRPDPVFQRMFDSLSFTRHKQPSLDCYRLWARYFSPVGLAEPRISIPLEWASFIIAMLLSPEAFDSAKSLLISKAWEIIRKYADKDEEMPFMVPAKCPVESKILCQLAPNESTPITGEPEEQITDEDPDEEEVVSTSPAPAENTHSFRLHAEDAGELAVKTGENICILRQEEDLGRNKSLWFMGRHSPVTESEDASMLPAKWAQRMPEAHQEMEWLW >Et_7B_054185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16860561:16864134:-1 gene:Et_7B_054185 transcript:Et_7B_054185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPLDLAGKLSSQRRIAEGDTVVVYERHDAMRAVAVRAGGVLQNRFGVFRHDDWVGRPFGSKVHSSAAGGGGGKKGGGKAGGFVHLLAPTPELWTLVLSHRTQILYIADISLVVAYLELVPGCVVLESGTGSGSLTTSLARAVAPHGRVHTFDFHEQRAASAREDFERNGLSSLITVNVRDIQGEGFPEEHRGAADAVFLDLPQPWLAIPSVSLMLKQDGVLCSFSPCIEQVQRACDAMRSCFTDIRTFEILLRTYEVRDGSLNSATANEEAAVGPRPQKRKKFTPSGENSGCTEKTSSVLVRPCSTAKGHTGYLTFARLRV >Et_9A_062222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20896014:20897124:1 gene:Et_9A_062222 transcript:Et_9A_062222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEWGVYAWCVGGTVREMRFAMAWHRNHAWNACCVGPRNRREQLYQDGSLDADEPMAPDIIPASSSTHSCSDSTIEEGNSFYKNVLQFGTILFTEKYNTNEEIFTVAGMSSKITIRGVALLQKEEKEKARKYVCIGSMLVEISEEIST >Et_7A_053076.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6142460:6144337:1 gene:Et_7A_053076 transcript:Et_7A_053076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLPFPASSSFTRVMAKCYSDWSALPPLHPSRRTLPQSHTSLSTIKRQLASFVRHCSRSCASPLLEPKNLPNEFQAVPESVSPLRDAVPKLPVAAPKLGISNQFIRGLCSDRQTEQLAFECYRRALLQPEFRPEKKTANALTVQLLRAKQWDSLELLVEDFRTYGVLPEKRTCARLVASCIKARKFGLADAVLGVLEVKKGAPAVMAFSSAMLAYNKLHMYQRTVVVYGQARVARLALNGDAYRAVMEACGALGEPDMATSLFKLYRSQKWYPSDSCVEAYAIVSYALAKAGRALDALQYLREMEADGLSPNASVYSSVIGALADARETAAAKEVYREAWENKMLGDPDMFLKMVIMHVEAGVVEETIEVAKDMRQIGLRVTDCIVSTIINGFVKRRGLKPAIRAYDKLIFVGCEPGQVTYASVVNVYCRLGRSDRAESVFSEMIDRGFDKCVVAYGNMISMYGKIRRASEAMRLLALMKQKGCEPNVLVYNSLLDMHGRLGNAKQAEKIWNEMKRRKVQPDRISYTAIIYANNRAGEPDRCMELYQEFRESGGKVDKTMAGLMVGVFSKRSRFNELIELLKDMNGIKLDRRLYMTVLRSLRDAGLEVHVKWLQSNFTFMDEKT >Et_7A_051370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17525630:17527837:1 gene:Et_7A_051370 transcript:Et_7A_051370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLRRAEPQFLASSSSALARGLPPGPPSCSSPALPDHDPSRATARQRDTRPAALFPRGGDTARTARNMFDGTPPWAVVSWNATIAGHARRGSVSYAMGAAVRMHRAGAELTEATFASVLGACARGRRFREGAQAHCQAVKSGHEGFAVVGASLLDFYSSCFDLRGSRTVFETLHSRNALLWSPMAVALVRFGLLREALDLLELTPVPRDVFAWTAVISGYTKGAHESCGKALELFVSLVADDGAMPNEYTYDSVLRACVRLKALDFGRSVHGCLIRSGYETEQLITSALIDLYCSSDALDDALLVYSNMEMPSLITSNTLIAGLISMVFLQNGRLEEGLKLFEQIKDEKDTITWNSMISGYIQNDQPSEALKLFMDMCRLSIVCSPSTFSALLHACASIGTLEQGKMAHASLCKSSFETNGHVGTALADMYFKCGSVRDAQSAFGFITSPNIASWTSLINGLAQNGHWLEALVQFGRMLRHHVSPNEITFLGLLMASARAGLVDKGMKIFHSMKNYGLVPTVEHYTCAVDLLGRTGRIREAEKFISEMPVPADGVVWGALLTACWYSMDLEMGEKVAQKLFCMGTEHRSAYIAMSNIYAKLGKWEDVVKVRTRLRSLDAKKEPGCSWIEVKDIVHVFLVDDQNHPERDKIHSMLNDVVSNISLHSEPDDGLQGLSNA >Et_2B_020932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24938456:24939489:-1 gene:Et_2B_020932 transcript:Et_2B_020932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRRKTREPKEENVTLGPTVREGEYVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARVVGGEGGCRCLSSGYLSSCAAGPQETSPLWIVLLFLYLSRGALILLYVKDRL >Et_8A_056579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12854977:12865515:1 gene:Et_8A_056579 transcript:Et_8A_056579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGQLKEWVSDKLMNLLGYSKSVVVQYVIRLAKECSSTGDLIGKLVEFGFTSSAETRSFAADIYAKVPRRGSGISNYQKQEREAAQLVRKQSTYKLLSDDDENEADNQTSTSQQRSAKQSSKSRKHFRKKAEGQDGGDDDDDDEKRAKDSERNVRRRTEEEGEEDDHDSSDDEQERIRDQQERAQLERNMKERDAANTRKLMERQLSKEEQEELNRRSQAIDKNDTSDLRKYSRQAYLQKRRDKKIDEIRDEILDHEYLFQDMKLTEAEEKEFRYKKKIYDLVKDHIKDDDDLGEYKMPEAYDMGESVNQEKRFSVASQRYKDPEAKDKMNPFAEQEAWEEHQIGKSKLQFGSKDRKRSSDDYQYVFEDSIDFVKSSVIEGTQDDNDSDQEDVDAKDMLKRELQDERKTLPIYKFRDELLKAVDEYQVIVIVGETGSGKTTQIPQYLHEAGYTAKGKVACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTIIKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDISRFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEIHYTKAPEADYIDAAIVTVLQIHVTQPPGDILVFLTGQEEIETVDEILKHRTRGLGTKISELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFCKIKSYNPRTGMESLLINPISKASANQRAGRSGRTGPGKCFRLYTSYNYMHDLEDNTVPEIQRTNLANVVLTLKSLGIHDLVNFDFMDPPPSEALLKALEQLFALSALNSRGELTKTGRRMAEFPLDPMLSKMIVASEKYKCSDEVISIASMLSIGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLNVYNSWKETDFSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIEITSNIGDLDTIKKAITSGFFHHSARLQRDGTYKTVKNPQTVRPRWVVYHELVLTTKEFMRQVTELKPEWLVEIAPHYYQLKDVDDSGTKKLPKGQGRAAL >Et_1A_008002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39988151:39991217:1 gene:Et_1A_008002 transcript:Et_1A_008002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYDEAAWSEEAARRVWGGAVPLQVHLHDADVTALPPPPPFLTLGPRIGYLPLLIPVIKAHFSSALPPGVDTVWFEYKGLPLKWYVPIGVLFDLLCADPERPWNLIVHFRGYPAEILSPCEGEDSVKWTYMNSLKEAAFIITGNSRSVMNMSQADQVALWESVVKGNLDGYKSMATKLKIGPFEEDGLLRTTSAERQLQQGSDEPESPGSGKTCRVPVRLYVRSVEEDLEYLEDAVPLSDWERVSYINRPLEIPKGEGSNYITLEHALKTLLPEFFSSNSQEVEPASTNLREEDVAKRAKVKLVRVQGIELDMDIPFLWVANNLKNPEYYLRICVYVGSRKQ >Et_1A_008887.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:17313497:17315590:-1 gene:Et_1A_008887 transcript:Et_1A_008887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKLCCFLVLLLFHLHGLSQAAGAAAAAGDGQFVYNGFAGARLDLDGMALVDPDGKLVLTNVTSQLKGHAFHPAALRFHDGAGAAAAAKNGTAAWSFSTTFVFAIVSEYVTVSGNGLAFFVSPSKNLSSASPSQFLGLFNAENNGNASNRVFAVELDTILNPEFRDIDSNHVGVDVNGLVSLAAQPAGYFPDDGDDAFRNLSLFSGDAMQVWVDYDGRDTSLNVTLAPAGTPRPRRPLISVPVDLSPVVAGNDTAYVGLSSSTGPYRTRHYVLGWSFALGGAAPALDYARLPKMPRVATKKRSRTLEVVLPVALPLAALALVAGASFLAWRRFRYAELREDWEVEFGPHRFAYKDLFHATDGFDGRHLLGVGGFGRVYKGVLPASKTEVAVKIVSHDARQGMKQFVAEVASIGRLRHRNVVQLLGYCRRKGELLLVYDYMPNGSLDRWLYDRYPTTPPLSWAQRLRAIRGVASGLLYLHEDWEQVVIHRDVKASNVLLDAEMTARLGDFGLARLYDRGAGGGGAGTQSTHVVGTMGYLAPELAHTRRVTPATDVFAFGSFVLEVACGRRPIERGDDDDGRFVLVDWVLGLWHRGALADAADPRLCGDYTVDEMTMALRLGLLCTHPAPAARPTMRQVVRYLHGDAKMPDPEPSYHSFTVMAMMQNDGFDSYAMSYASSAATMTSAGAASSDFSGGR >Et_4B_038967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6486315:6489336:1 gene:Et_4B_038967 transcript:Et_4B_038967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKDSSGRSSSGSSREQNGVGPFGQIRVLIVGDSGVGKSSLVHLILKGSAIARPSQTVGCTVGIKHVTYGSAGGSSNNISDAERNFFVELWDVSGHERYKACRSIFYSQINGVIFVYDLSQRKTKTNLNKWAVEIAETGTFSAPLGSGGPGGLPVPYLVIANKVDIVPRDGTRVSSGNLVDLARQWAEKQGLLRCSEELPLTESFPGNSGLVSAAKQARYDKEAVIKFFRMLIRRRYFSNEPPAPSPWSLTPRPDTILPVETLGVEADSFQRKSYGDEGFMYNGVVTPLPAQRNLAPPPTLNPQPPVFSLDNYRYHRFSSSSLPDVGSNRTSREDSNV >Et_2B_022593.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27260434:27261069:1 gene:Et_2B_022593 transcript:Et_2B_022593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSESLVLPLELLRHIKPSDLADAAEHRAWQLNVLEAGLMAHPSVPLDRGGNGNPAASALRDAARSPSLDVRRGHGAVVAACRWADGYPLNVHLYVSLLRAVFDARDETTVLDEVDELLELIKRTWTVAGAEPDGPRRVCFTWVLFERYVVTGQVEPGLLSATLAMLEQVRGDAEKQVDVPQDLGRHADVHALVGGGEAAELPRGVRRQ >Et_2B_021890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6672808:6680849:1 gene:Et_2B_021890 transcript:Et_2B_021890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQQQLATQIHSSVLIVPSNIFCRTSWIIDSQRFAKKIKGGSGSLDPSKQKWMSNPTMECKNCGHVIDNSDVVHQWPGLPSGVKFDPSDQELLLHLLAKHGKAGRKPHPFIEEFIPTVEEEEGICYTHPQKLPGVKQDGTVSHFFHRTFKAYNTGTRKRRKINTDLADVRWHKTGKTKPVLVDGKHLGCKKIMVLYISPVKGGKAEKTNWVMHQYHLGTGEDEIDGEYVVSKLFYQYKSGEKNAQELTAADGVESVAAEADLPDLLPFPSEEDIATNQEVIHSPEHNPYQVNGTCEINMEENAAEETDVLAPSEKPGEPGDPENPQSQEPKLWEGDSQIDLLDSQQLAEGLALCDEFLLSQSQTSCGDGDEPKTIKPRLAVYAQLPAEDFKKDLEECQRLDPSDNGNLELDMTKNMASQTTKIFAIVALVALSAIAANAATCPQSFMSMMGMGMMSPCMQSCMMQQPMMGMSMMSPCMQSCMMQQPFTMKWSLSPMMGTIPMDSCMQSCMMQHAFTMASSPLSAMTMGMMSPQCQCSAMCQMMMQQQAMIMPTMMTPYMCNMLPTYCQQLFPEENVAEETAVFAPSEKLEDPENPQSQDPKLWQEFLLSQYPTSYGDGDEPKAIKLCLVFFKKKKLCLVVYAQLPAEDFKKDLKECQRLDP >Et_8B_059477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18326835:18329464:1 gene:Et_8B_059477 transcript:Et_8B_059477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAARARFPLLQRWAWLAALAVVLLLSTPAASAAAAPAAAGCECGGGAAAEAVREEDARGALRLKLIAVASILASGAAGVVVPVLGRSAAALRPDGDVFFAVKAFAAGVILATGMVHILPAAFDALSCGGGSGVPKGGFPYAGFVAMCAAMATMMVDSVAAGYYQRSHFRKARPVDDGADAPAAVAADVEGAAAAEHAGHVHVHTHATHGHAHGHAHGHGGGHGHAHGHGGGDSPEDASVAASIRHRVVSQVLELGILVHSVIIGVSLGASLRPSTIRPLVGALSFHQFFEGIGLGGCIVQAKFKARATVIMATFFSLTAPIGIALGIAITSSYSKHSSTALVVEGVFNSAAAGILIYMSLVDLLAADFNSPKLQTNMKLQLATYLSLFLGAGLMSLLARWA >Et_4A_033448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22951546:22957355:-1 gene:Et_4A_033448 transcript:Et_4A_033448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCAPEDAPREGRDAAHKQAAPQPQAEVEEAGALEEDDGVEEAEDYEEEARTHLPFAPSSELPDDSTTVDPSYTISLIRQLLPQGSNVEKEFRQVVKQGVPEEKEANRDNGEATKLEDKDPWEESGCILWDLAASKPQAELMMNNLVLEVLLANLQVTQSPRVKEICLGIMGNLACHESLVNAMSLQNGLIATIVDQIFLDDSACLSETFRLLSAVLQSSASVHWAEALLSDEILSRVLWIVGNTLNCTLIEKSIDFLSTVIDNQDVTAILLQPLIKVGLVDHAISLLASEIEKLSDESKMDRGASLDLILNFIEELSSLDSCSEVMSSSEQLIQVLHSIIKLPDKFEVASYCASVVIILANILADGKQIVPMLFHDLPFLEGLLDILPVVSDDNQARNALWCILARLLTQTQGIDANSSLEQFVSLFLGRFTLIKDDLDSHRVDKEEDLSAEDVYLKRGISASLRAICCVMERWIAEKSALGKNNAPLSENTLESGRMLLTYCQNYGL >Et_5A_041007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16629671:16633987:1 gene:Et_5A_041007 transcript:Et_5A_041007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLKVKRYKLAVLPCTAHHTFTELRPFSTSSSTFASPKLQMKHICFFIHLNILVILVFSVPAASDSQFVYHGFAGVNLTLDGNAMVTPDGLLELTNDTVNLGHAFYPTPLKFHRQLNNTVQSFSISFVFAILSVHEDISADGMAFFVAPTMNLSNTWAQYIGLLNSGNDGNTSNHMFAVELDTTQNDEFKDIDNNHVGININSLTSLHAHHTGYYDDKSGLFNNLTLISGKAMQVWADYDGDASQISVFLAPLGADKPVTPLLSATYNLSSILKDPSFIGFSATTGAISTRHCVLGWSFAVNSPAPAIDVSKLPKLPRIGPKPHSKVLEITLPIASASFVFTVGVVIILLIYRRLRYNEVKEDWEVDFGPHRFIFKDLFYATKGFNKKNLLGVGGFGKVYKGVLPKSKVEVAVKRVSHESRQGIKEFIAEVVSIGRLRHRNLVPLLGYCRRKGELLLIYDYMSNGSLNNYLYCEDGELSLTWAQRFHIIRGVASGLFYLHEKWEKVVIHRDIKPSNVLLDSEMNGRLGDFGLSRLYDHGTDPQTTHMVGTMGYLSPELVHSGKASTLTDVFAFGVFLLEVTCAQRPVKQNAQGQEHTLIDWVLEHWHNGLLTDTVDQRLQDDYNIDEVYLILKLGLLCSHPFTSARPTMRQVMQYLEGDTPLPELTAAHYSFTMQTLIQNNEFESPNISYPHLTTSIGTFSSVSGGR >Et_10B_002995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15170992:15177983:-1 gene:Et_10B_002995 transcript:Et_10B_002995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPFYPYTGGGFPGAGSGAGAGGDGEPPRRYSDYEVDLIAARYADVPLPSLSPAEVGYFDAHVGARRSAEVLYHHSVMGSHSTFGQSEPLYSSNTMVKRPRLESGLTIYPQRPGGKVCAFYMRSRACKFGEDCIFDHPQWVPEGGIPNWREVQNIDDSYPERPGEPNCPFFRKTGECKFKSKCKFNHPKGKVNEFKGVADNEQSLIADSAILPARPSEAVCSFYAKTGKCKFGATCKFNHPKDDKVPTLTGKQTIYTAAIDEEVFNGAADGSNPAKTDKPTAAAEAHNAKGLPIRPGEIDCSFYMKTGSCMYGTVCRYNHPDRQVVDTALMTSLTQGILPTPAPAAPAAVLNPVATFLPGFDIQAALMPLEPEPVAYPQRPGETVCDFYMKTGYCKYSEKCKFHHPIDRSAPRPNESWDPQQTVTITLAGLPRREGAEVCAFYMRSGTCKFGVQCKFDHPPPAEAITKLQAAGGKKNAKKAKAVAKLMAAAEKKTEGLSIGLAEAEPSQKKAEGLSIVLAQP >Et_3A_026067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:446809:453369:-1 gene:Et_3A_026067 transcript:Et_3A_026067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKFKLGRKIGSGSFGEIYLATHVDSYEIVAVKIESSKTKHPQLFYEAKIYNTLQGGSGIANVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRKFSLKTVLMLADQMLTRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGNLPWQGLKAATKTQKYDKICEKKISTPVEVMCKSCPVEFASYFHYCHSLTFDQRPDYAFVKRLFRDLFTRQGYEFDYVFDWTILKFKQGQKQRHGPGAPVTRPVSGDIHKQAAVNGAIHHNEAREHVGTSHPAGPAALLQGKQPTQRDPNSNLQLADNFRQDMAAGKAQLTTAITLPSSQWRNGGFCKHYWLFKQLVSYIRAQCSSKVTAGKVKKSAEYGISS >Et_8B_059470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18221525:18226237:-1 gene:Et_8B_059470 transcript:Et_8B_059470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIARCLLRSNAAATEVRRLVKYVAAAGGLHGAPSFSEAPPRYYSTEPSLQATEETGCVKFGALKDDVHKHNCTSANDVEVFRFKGHGMLAPFTAGWQSTDLHPLVIDRSEGAYAYDINGKKYIDALAGLWSTALGGSEPRLVKAATDQLNKLPFYHSFWNRTTKPSLDLANEILSMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPEKKKFIARSKSYHGSTLIAANETEEEFATRLANNLENLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFEKVQAVLKKYDILLIADEALSLTALHTRDIQERNIIEHVNKIAPRFQEGIKAFSGSPIVGEIRGLGLILGTEFVDNKSPNDLFPAEWGVGSIFGSECEKRGMLIRVAGDSIMLSPPLIMTPDEVEEIISKYGDALKATEERIAELKSKRN >Et_7B_055855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:607506:609148:1 gene:Et_7B_055855 transcript:Et_7B_055855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSVVLIFLPALLSVSAIKGKSCYCDALPPRSAVSEIRRMARAQQHDIVCVNDAGTDAGGIGVNYGTRATTLPAPADVAQFLARDTIVDRVRLLDADPALLRAFADTGLAVDVTVPNGDVPRLTHPAFARRWVRENVAPHARARTNISRVLVGNEVTTEANRTLLLSLVPAMRNLHAALSSSLQQQQQRGTIKVSTTHSLGVLAASSPPSAGRFRDGYDMAIIKPLLRFLRATASPFMVNAYPFYALANNNNDDDTDHTLDFALFRAVGAAGVTDDASGLRYGNMLDAQLDAVHSAMKRLGFGDVDIVVAQTGWPSAGEDWEVGVGADLARDYNKNAIRHLGSGVGTPLMPNRTFELSIFSLFDENLKPGPVSERNFGLFRGDMTPVYDAGILAAPETVEPVSAKLTPPGGAAPATMRQWCVPKPAADDLMLQENIDFACGQDSVDCTAIRPGGICYEPDTARGHAAYAMNLYFQSKGQHASHCDFGDTGVVTTADPSYGGCKFT >Et_10B_002962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14702522:14703649:-1 gene:Et_10B_002962 transcript:Et_10B_002962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRGGPRAAPASGQLSKAAWPPHPRAPAPTNRHPTPRARLRFPFLFLSSCTPRSTEQSSSHRQQSRAKMWSSDSEHDLAVAAAAGVHLSPSSSSSPVSPPQSPPPALQSSRSRRHRRRRKTSSAAAASTSPLEATAEPEAEDVWRGAQWEAAWPGRRQNQARAPVVVLAAEDEGAGGDQVGRSRSLTDDDLEELKGCADLGFGFSYDEIPELRGTLPALELCYSMSQRLLDDHHPPQAQPEADAAPEAPAAPPVTNWKISSPGDSPDEVKARLKYWAQAVACTVRLCS >Et_9A_062612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24438975:24445225:-1 gene:Et_9A_062612 transcript:Et_9A_062612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWRRRRRRFVERRRRRRTLGCSGAALPPPHPPLGAADGTDRGAVFTAAAAAAPPHGPATGAEEPRQHLLPQQRPPVPRLDATPRHVLPRLAPLQSVFPNKDKECAFCVLERQIARLLRAETGALDSPGKIIRCLPLFAEHFRWGRQEDAHEFLRYIVDACHTAGLRIRKRLAPATANGNSGEEEGRGQGSCMVMRETFGGALLSQVKCLVCKGESNKTDEIMDISLDLPGSTSVGDALARFFKPEILEGANKYSCERCKKLTSARKQMFILRAPKVLVIQLKRFEGLNGGKINRNIEFKEALVLSDFIYNKNKDSQPVYNLFGSIVHSGLTPDSGHYYAYVKDAIGRWYCCNDSHVSLSSSQNVLSEKVYILFYILSPKIQKPSTNGYSSTAAKSFSANGNGISSSISNETLKVPLIKLNGSFSSKENAPLPLNNGKSSSGLHIKPIHLKNGPTEKVTSNGKVNLTSREELTVSDSAMPSELNGCKTGKSMEPSRKTANGTISCETMGNSERTLQDANGNGNCHPSGTQYLIETSNAKAPCPQQDLEQSAGTAASKNEECNLVKDVATSVKNSMCLEHQLEEKKFIEMYVPFAWAAYFMCISFSMKYQLSSPFSFLLQFAASKFSYVFGFILVVSFKIDLLHIELAKSASSELRSSGWVDDVHDFMRSAKRRRIQNTDMPQEIDAMRKQLIADSKDLRCQLDPVGQLPVPLRLIFEDIFVFLAEVETKSGRLIL >Et_4A_033386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22112202:22120409:-1 gene:Et_4A_033386 transcript:Et_4A_033386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDNKPLPIHVALTCQNPELFNQCIQIAAKSSTFNGWYLEKEIPGDTYAKIMEIRRTTFPDQRSIWNIHKALDSDDVDLVSMLLNESAFTLDYAFAIHYAATYCTPKVVAELLKLDSANVNLKNNNGYTPLHLACIRLEPGIIISLTEKGASVLEWTLDGRDALTICKRLTKEKQFNRNLEKGQKRSNAYLYQTKMASTSDLVAVDETAVTPLLLPLQEYSSLRKQSLPCCMAEADSTEEFTGATLRGWSKLDPYNAKQKAAISSSTKNQSTDLAFLEIGTPEDQQSKRMRFFETF >Et_2A_015295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10674073:10677174:1 gene:Et_2A_015295 transcript:Et_2A_015295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARAFSTVPLFLLATAAAFFTPSASSTDDAIGLGSRLAGNQTLVSPGKIFQLGFFSPDGADGRTYLGIWYAGIPERTVVWVANRESPVVNLPGALELSAADGRLAIVDAKNATVWTSSAAPPTREVTSSRAVAARLEDSGNLVVASPDGGVAWQSFDYPTDTLLPGMKLGVDIRAGLTRNITSWRSPSDPAPGEYTFKVVLGGLPQFFLIRGPGPAATRIYTSGPWNGDILTGVPYLKSKDLSFEVVARRDETYYRYSIRDRSLLSRFVIDGGTGKLRRYQWGNGRWTSFWYYPSEPCEEYAKCGAFGYCDSDRSPMCSCLPGFAPRSPDQWSRRDASGGCVRRAHLSCGGGGNGDDDDGFWVVDRMKLPEATNATVFAGMTLDQCRQVCLNDCTCRAYAASNYSGRASHGCVIWPVDLLDMRQYSIHVEDVYIRLARSEIDALKAAENAAVNRRRPSRTTIIAVAATITGVLLLLAVVFCCFWRNKVRRRKPQFEMVSSAAPTGGARKKRLDADWKCTEKDGDLPLIDLEVIQVATNNFSVENKIGQGGFGPVYKGKLEDGLQVAVKRMSQKSSQGVEEFTNEVKVIAKLQHRNLVRLLGCCIDDDERILVYEYMDNGSLDSFIFDEGNRKLLGWKKRFEIIMGIARGLLYLHEDSRFRIIHRDMKASNVLLDGDMVPKISDFGTARMCGGDETSAWMLWKEGRTVDLADEAMGGDFSTSEVRRCIQVALLCVDVLARNRPLMSSAVMMLASENAMIPEPNEPGVNIGRNTSDTESTHGFTSNNATTTTSELDPIATNSTS >Et_1B_011942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27472588:27481727:1 gene:Et_1B_011942 transcript:Et_1B_011942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRAPPSAAAADGGIEENAMAILDSSDIKDSRDLHDDQAAFLEAVRSACLAGDNPSAPSWRMCNAVFQILRNRSSLELGVASFHLLMELDKQYPRTYLKDSGSGQALVVVNEAWSPFLLGNNAVCGGSGENETSSHLFDPSAMENMMSFQYLINTLEADFVPRHIAYKESLDWVIFRESLLNMLLGSRKMVFKSLVKNCMYILQNQYHCEAEDAVEDSIPSEGASAKSASDLDSNLNLSFEFERTLLSLRKLFVMVMNLDLIRKQADTSGLTSRADGFRNPIMEVILDELTYNTIYLSPFLSIFLEWNWKLEIILQYFSKYCGKTAVRTRRSDNSQQDLKLESILSFFLTATSAKAIVKKMSSEVAQLLLAHAYQVCLSVQGDSSDTTATTEKIGATVQQISCNLISAFQNLRKVNPNIQISPFEKEALFTAATFTRNLKNEESNFGCPA >Et_10B_003573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3253053:3255771:-1 gene:Et_10B_003573 transcript:Et_10B_003573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLMFSDSVVEKGCASCKEWQEHYYSEHMDSIPVKFGKNFKGKISKEFNLKAPNGETWHIGVDKDDDELLFLKSGWEDFVKVHELKENDLLIFTCSGNSSLEVLIFEASGCEKVSFQLANKTGPSMLKNSVDKPNWRHHDEHCSLSDSEDTTTPSQLVGSRQDATTSQNWMLSESPNSSNYHVKREAIGEEDSDEGYSNRNYYYSRIADRLSDEEKEEVLTLSSIRSDNPAFFTVLQMSHVRRRNNFLLTLYLGALVTLQTFDFAMQIFPSRFAADHLDNRLHEIILCRPNRKDKWCVKYKRGRDTQGIRNYNFFKFVRENKLREGDICAFELMKGAKRVTMNVHAIRKVDDRARYTQKRTFGGAHVIQISLAPAKRLAPQKNMW >Et_9A_062749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4107472:4110769:1 gene:Et_9A_062749 transcript:Et_9A_062749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYSQIVVLYNTNGSTAQKTKRNGERSRPVIDQRPFLQRRTADPHSRAFERFNCNDLFGSSSTTSRNPTSLSLSLLPSLSLCLSLLLPPAPSPGKMMMTRAPMGPMEGAAVDEIVRRLVDGGRGGRQVQLSEAEIRQLCVEGKRVLLSQPNLLRIHAPVKICGDIHGQFVDLLRLFDLGGYPPSSTYVFLGDYVDRGKQSLETICLLLAYKIRYPEKIFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKMFSDCFNCLPIAALIDDKILCMHGGLSPELTNLDQIKDIERPAEIPDYGLLCDLLWSDPSPDGEGWGESDRGVSCTFGADKLVEFLEKNDLDLICRAHQVVEDGYEFFAQRRLVTIFSAPNYCGEFDNAGALLSIDESLMCSFQILKPTDMGPPHARKNIPNKL >Et_3B_027739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16881416:16881709:-1 gene:Et_3B_027739 transcript:Et_3B_027739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETETSPMVRVCLLPAGPQEQVVLETGSKELVVLWNSQHQQRSEIQFVRRSANVAAHLCAKPVSADSPIQKKRPTKF >Et_8A_058044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15675045:15690187:1 gene:Et_8A_058044 transcript:Et_8A_058044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGYYSDWSDYDDYPYGSYSDPNGNDFDTDDSSEPEANFEESGCRPNSLMPLLQRRQLYLAFDDWSKGFSLYKLDLDGGNGAMGSQQQVNQDRRLPAPAMRLELPSKYAAGPLLSIGSKIIACTEAEHGIAVIYNTETGAQTMARCHPNLTWKTDSFPLPFNLKHGCGMVTSYAVHPKEKAIFVSVFNDGTYSYNTKRFEWTRHGTWMLPFTGQAHYDDELEAWVGHSQEEGVWKIGCCDVLAPLPRGNPAPPSWKLCEEKISSVKSCLDFDLINMGGGWFFLVESLPTKGLEHKDSVVDDNECELHITLFRGKYDKNRGLKIKDHQHMCSYEGI >Et_7A_050413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13717284:13717910:-1 gene:Et_7A_050413 transcript:Et_7A_050413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWAAAADGGARVQQEQEMARKYRGVRRRPWGKWAAEIRDPQKAARVWLGTFATAEDAARAYDAAALRFRGGRAKLNFPDDAAARRAREAEAAAAAVAASRTAAPAALLESQTAAAGAAGDDFMDYLEYSRILGGVGEEEPSALMDGLLAGDGNGRFLGSWSIGTSPLSSSSGAGSAPLFPGYNSGKQSSDSAYGD >Et_5A_041806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:372964:375299:-1 gene:Et_5A_041806 transcript:Et_5A_041806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCDDKSSPTCSMGEEPFVDKKYGGIKPKKPLISKDHERAYFDSADWVLGKQGANSGSARAAIESLKPKLKRTPHHQLPPRKPTCASN >Et_1A_006566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24576301:24578913:1 gene:Et_1A_006566 transcript:Et_1A_006566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAMVSVATGAMNSVLDKLTTLLGKEFSWLHSGVKRDIAFLKDELSCMNALLEKVADMDVVDPQMKDWRNQVREMACDIEDCIDGYMLRQRHSGIIALFRDYVQRVMELVGHDGVAQQIKELKDRIVEASNRRKRYKLDTEADPGTTSIDPRLPALYVESSDLVGIDIPRENLIKLLDDGEPALKVISIVGFGGLGKTTLAKEAYKKIFVKFDCHALVSVSQKPDVRKILWSILSQVRNPNYANTNPGDEEWLVNALRGFFKHKRYFIIIDDIWDTQVWKIIKCALLENNCGSRILVTTRIASIAKSCSSHHHGTVYELRPLRETDSSNLFYKRTFGSEDLCPINVRDVANEIIKRCGGLPLAIITVASLMTTKSNRREEWVNVRNSMGLGLKNYNVEGMERILSLSYSDLPYHLKTCLLYLSMYPEDYEINMYQLVRRWTAEGFIKINSGRNISEEGECYFNELINRSLIQPASIGLDGQIISCRVHDMILDLIISKAVEENFISLMGEQTNTLVSQEKVRRLSVDYRGQENLPSLSSMSCSHVRSLCIFGYSEKMIPVSNFQALRMLDLESNEKLQNGYLQKLGDLFQLRYLRVAGSKITHLPEQIGELQFLETLDLSRTWIRKLPASIIKLRRLNFLSVNGSQLLDGIGNMQSLEELSGVSVYDTCSIDSLQELGSLASLRTLRLTWHISGARNDRTLYTHILVSSLGKLVSSSLRCLRIIRGHGSVDIPLDSWSSPPHLLRELDIPGCCFERIPEWMSSMANLFRLRIRFKQVTQETLHILGNLPSLLELELRTEAADDRMKC >Et_1B_014259.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:4581909:4582502:-1 gene:Et_1B_014259 transcript:Et_1B_014259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYHYTSTSQFVFAAVDSQPKPGAHRPSKTTVQIPITSPSDEARERSPDAAAAAKIQAAFRGHLIRRHVGAVRKADAEATRRERLLRRQETVDAVRGDERERARFSEALMAALLRLDAVPGYYPAVRDARRAVSRRVVVLQEVFDAVVAAPEAQTCGVPASLEQILEGIWGAGNQATPAVEEGRRGSCWARFFGGA >Et_8A_058479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:890181:890701:-1 gene:Et_8A_058479 transcript:Et_8A_058479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQQRGEQHQLVSVNKKTKSNGAAAACLCPTTSAAALVASSTSRHALEQELLSRADQLLQLPGGVVGDRRRERRMKNRASAERSRARRHAYVNELEAEVRVLRQENEQLKSLCDEVSSSRLKEAAEVQVPAKKKTAIPLLQRTSSSPF >Et_9B_063956.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:6065378:6065596:-1 gene:Et_9B_063956 transcript:Et_9B_063956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMLPNLFQARSNTLPPMNTKAYWSGSMKLLTRTLRRAMHMKRPCRVSMVKAAFRESPAAAEQVTSRTRRA >Et_6B_049636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7645054:7656564:-1 gene:Et_6B_049636 transcript:Et_6B_049636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRLKDKNERTFHDTLFDFELWITWELLKRTIIYQVSVKLLISKFNHGIPCYLLGREPSESDDDGYRVEDVRQKDFALQQALDQIKSAFGKESIMWLHRSNDRKEVPVISTGSFALDLALGIGGLPKGRVVEIYGPEASGKTTLALHIIAEAQKSGGYCAFIDAEHALDPALAESIGVNAKHMLLSQPDCGEQALGLADVLIRSGSIEVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSRSRTILVFINQVRSKLSTYGGFGAPAEVTCGGNALKFYASVRLNTKRIGLVKKSEEVVGTQIQVRIVKNKHAPPFKTVQLELEFGKGLSRESEVIELGCEHKLITKSGVFYHMNGQTFQGKDAIKRYLIENTDIQEGLMSMIREKIAQKESKLDRNKEGMNQDTSSTEQIVSATDDEVHDELEA >Et_6B_050167.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:8202536:8204155:-1 gene:Et_6B_050167 transcript:Et_6B_050167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRTSPWHRLSEAEAAVNRAVASSRVGRYFKLDARKSSFTKELRAGAATFLTMAYIISVNAAILTDSGGPCSVSDCTLPVGGNSTAAAPGPECTVGPNPGYEQCLARTKSDLIVATAVAAMVGSLAMGVFANLPLALAPGMGANAYFAYNMVGFHGSGPITYRGALAVVMLEGVVFFVLSAVGLRSKLARMIPRNIRLASAVGIGLFLAFTGLQAHQGVGLVGASPSTLVTLTACSEVDPATGACLGGTMQSPTFWLGAVGFLVTATCLARDVKGAMIYGIVFVTAVSWIRGTSVTVFPDTAEGNAAFSYFKKVVDFHLIKGTAGQLSFGAFRHGHVWLALLTLLYVDVLDTTGTMYSMAEYGGFTDADTGGFEGEYRAFLVDAGSTVLSAGLGSTTVTTYIESTAGIREGGRTGLTAITVSACFLASLFFGPLLISVPPWAVGPSLVLVGAMMMRVAKEIEWADMKEAVPAFVTMALMPLSFSIANGIIAGLGVYIALHWYDWARQGWLKASQVLDDRRNQVAAAAGEIGPAAQDVV >Et_7B_055641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19177225:19178955:1 gene:Et_7B_055641 transcript:Et_7B_055641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIVKLSTGNLISLSEQELVDCDTRGEDQGCNGGEMDDAFKFIIKNGGLTTESNYPYNAEDGKCKAGSNSAATIKSYEDVPANDEASLMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGTDSDGTKYWLLKNSWGTSWGENGFLRMEKDISDKRGMCGLAMQPSYPTVFIFGLHKHVEGKIP >Et_8A_057115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21253468:21256984:-1 gene:Et_8A_057115 transcript:Et_8A_057115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSDLLAVIKPEALKACIWLQCSDGSIQQVEEEVAMFCPMICREIMKNGAGSSKSHAIVLPERVNPASLSLVLDYCRFHQVPGRSNKERKLFDEKFVRIDYDRLCKLASAALSLQLRPLVDLTCGALARIIGGKSPEEVRDIFNLPDDLTEEEKLEPLENINDDPTIRLLNRLYAKKRKELQERQRLKDVQVQEEQKDERSLDEILSFINGNGGSEGGKAAKNKKKNKRRKDHAKNSAKANPDPVNKEGVSCGVPCKSDSGNISRFPCGSQKIQDDIEFPFEDCESDDGLDPAMREELDREVEDFARRLNLVWPERVHLTEDKRVGSHLW >Et_2B_019162.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15062067:15062429:1 gene:Et_2B_019162 transcript:Et_2B_019162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPAHGGGVPLPHGGRGLRPAKGLGCSGEHLRVRGRAGQRRGDPGQGARAPARQRRHRGLRPPLPVLQGRRLRRAWRWRPRQPPLHRQPTSSRMKMISIPNPSSTNSVKRQRSSFQRTS >Et_1A_004774.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:40015650:40016547:1 gene:Et_1A_004774 transcript:Et_1A_004774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FRRRQHLNHNSDIVLSSALSLVSIRRRGDSSKMSGEEEENAAELKIGEGSLFSLSTLQFPSRPTNLRRLGFDTMRCCGWIKSSCRVPEGQVPDELRGRHHPGAQVRADPAARVGLRPLLAGLPGLREVAAVRQAIQPLQEPRRRAPGPRDAQPLRPRRVRALHPRQPLPRHLRRGHRARPHPHLRRKVPAGRPGQREDRKDAQRPLPHQKVRVGSGITGNGKALFSFSSSDQTCSSVIAHIVFGLL >Et_3B_030330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32195456:32202431:-1 gene:Et_3B_030330 transcript:Et_3B_030330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDPAAAGLMIPEGCIRRVKLSVASNEEIPFPITHDSQLQDNPSLGLPLQLGTCDCCGATQVDKCQGHFGFIELPVPIYHPSHITELGKILNMICLRCLRLKNVKDLPPLCVAEVMKSNGARTLKLTAPLRAEVGDGFWSFLDQFGFQTRHTAHSRPLPPKEVQNIMQKISDETRKRLAARGYILQDGYVMNYMCVPPNCLHTTNVLDENTVMCPPDTSKNLLRKVLRTIGDIKSSSIANPNFEAREVGEDDLQVAVADYINLRGTIQGSQHATVTRQPAPKQWQQKMKTLFISKSSSFSCRAVITGDPYIGLDVVGVPDEVARKMSVEEQVTDYNIARLQDIMDKGLCLTYKDINSNTYDLDLGKANDKKRIMLRVGETVNRRVLDGDVVFLNRPPSTDMHSIEAFYVHVHKDHTIKINPLICGPLGADFDGDCVHIFYPRISDKGPRESIKLLNLLQPLLMESLLMDGFSVSLRDFNGPGKGLKKIRNSPAELNKFRELIVDFAAHSSVLGLLIDPKRDSAMTKLVEQLGFLGHQLQYNGRLYSSNLVQDCYEFLNKSSRSTRCYEPLEANGFVNSSFSSGLSPYEELLHSISTREKIMRTSKGLVESGNLFKNMMAILRDVVQVLLTKSDSKTDINDQKVILYLNKYPGVINAAQSCLKRIKVEDCATEISISECLCDEDPCDEKYLQVSCFQFFLHANIATELPESHVVHLMTNSIFPILLETTIKGIIIYIDISSIWKNPDTIVDNRLCTYDPLSHHHIGDPRVQKAKIIWIEPALPCWVQTSSAEQKGELALEITVEKEAAAENGDWGVAMDACIPVMHLIDTTRSMPYSIQGVKQVFGISFAFDRAAQVHSLLYPLLSIQTNYNLYRNVSHVLLYASYFQHLSKAIGMVTKSVLKEHLATVASSMTCTGNLHGFNSSGYKATFQSLNVQAPFTEATLSRPIQCFQKSAEKDYIDQLDSLVSTSSWGNQAAIGTGSGFEILWDIESQSASNETLGGYGLYDFLGAVGTIGATEGKTVVPHSSCLYDVDNLLEDEMSCLGGNSPISRTDKLKVDYRQRDFKGRRTGTFLTAREHQGMQTVSIWNSDVSWKHNESSQCEENTMGPQHSTLTRSTNTSRKQPKHIWNSAVTHQDYRPNWFRENVSYTQNFPIEESSGAAGWNRKNSTFGRGGGRAMQKTEGSHRGGGNSRNWKAQKNSSARQGGSSSFTPVEQQIYAQVDPIMRNTKRIIRESRDGIKLSPEDEMFIVTNILMYHPEKEKKMGGQGNYIMVAKHQKFHSSRCLYVGSSDGSCSDFSYKKCLENFVRIHYPGAADSFCRKYFK >Et_1B_012513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32722044:32722962:1 gene:Et_1B_012513 transcript:Et_1B_012513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKTCHQRRQKLSVTIQAALNARLFKGISVEIFAVAFVTAVYAELRKGWCPTGTACRKVVSLYKSVAHYLIATKQASTNSADSWEKLPCKLETSCIFEHDAPVAKEGLEDGETCRRAKAKQNKATYHHVKNSNGYKDFELHYAVLLPRRI >Et_1B_011337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20629283:20640928:-1 gene:Et_1B_011337 transcript:Et_1B_011337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICRQEVDQWAKNFVQKGNGLAIAIVCIGRLLSFRTPCSLEWEKVYKDIEMQLTNNPIIDMNIILKKHVMQRKSLVLLWVAEEFMEEVGNRTLEDVAEDYLTELIHRSLSKACVNRMFNLAHVVEYAPYFLSMLVFLNSFTFDSLRSLSIVNKLISVLNLQHSSIEWLPKEVFELYNHRFLLVCGELEFHSLQLMEARTEMVRCIGALIEFRTFRVNKVLGCHYALSRESSPRFFSSLRNVKNIKFLRLVGSRLNKITFSCLEGLQQLFYHAFDGDKMFFSVKAFSNLRVLKIRGGPHLKEIEIERGSLISLVDLKLLICPKLKMLPHGIEQVRTLKELTLDDTTEELVKRAEQNEATRISQVQHVFVGTI >Et_8A_057002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2020386:2022265:-1 gene:Et_8A_057002 transcript:Et_8A_057002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLLSMAHPGVTISGIAGNILSFMVFLAPVTTFVQVYRKKSTGGFSSVPYVMALFSSVLWIFYALVKTNSRPLLTINAFGCGVEAAYIVFYLVYAPPKARVRTIAYFLLVDVAAFGLIVVATLKLVAPAHRVKFLGSVCLAFSMAVFVAPLSIIVKVVKTKSVEFLPIGLSFCLALSAVAWFCYGLFTKDPYVMYPNVGGIFFSCIQIGLYFLYRKPRTDVVNETLPTKTVPTQPVGLIELPAAETCRTPAATADGTPRSRRSSRVSPRGLAWTSTDRQAAA >Et_2B_020963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25259799:25261683:-1 gene:Et_2B_020963 transcript:Et_2B_020963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAVSGNGAEAVPAAKEVSAKVEAKEAAVAVSKNASFREESNFLSDLKESERKALADLRDKVEAAIVEGKLFDDGKPEEKKKAEAAVEKKEEKEEKKDAAAEEKKEAEAEEKKEEVESKEAEAEEKKEEDKKVTDSEEKKEEEAKKEEAVEGEKAAAEAEQEKTAETAAVIVDKDISLWGVPLLPSKGDEATDVVLLKFLRARDFKAGAAFEMLRKTLRWRRDWTGFAGEDGGGADLPEELEGACYLDGADREGHPVCYNALGVFADDAVYKKALGTEEGKASFLRWRVRAMERHVADLDFKPGGVSSLLQVTDLRNSPGPAKKDLRVAVKQVLDLFQDNYPELVARNILINVPFWYYAFSTLFYPFMTQRTKSKFVIARPSKVTETLLKYIPIEAIPVKYGGLKRDNDTEFSAYDGEITELVVKANSTETIEIEAAEADTTLTWDLTVLGWEVNYKEEFVPADEGSYTIIVRKGKKMGSGEEAIRNSFRANEPGKVVLTVENASNRKKKVLFRHKAKSAYAKKC >Et_9B_064119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11420699:11429353:1 gene:Et_9B_064119 transcript:Et_9B_064119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEQLLSPTPSAAAAADTGRAWAPHGRLLTACLVALNIFLVLLVYFYFWRFFTRGGSDEEDAASSEAGSSPPASPKARDRREVERAITALPVFVHSSSDGGEAAECAICIAEFADGEEGRLLPRCGHRFHARCVDTWFRFHTTCPLCRASVLRQSSDADADCPVFAVYAHHWQPLFAACVVQTHPVGAEAMSTVGSGGPSPAAAAPAPAVADTSGGGSWAPHGTVLTAFVVGINLLVILLIVFFFWRFFSGKPQQGSSAAGAEDDDEDDALPVASPWATRRHRRRDAPRKEVDAAASLPVHVYSAAAGGGKAAECAVCIVELRDGDAARLLPRCGHQFHADCVGAWLRLHATCPLCRASVVAPVAAVAAAESVNLKDAVVGADSPV >Et_3A_024454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2109554:2113775:1 gene:Et_3A_024454 transcript:Et_3A_024454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPCSLLVYFDKGSAAMANEIKADLEGSDGAAKADAMRRAISLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIDRRDPAGRALPEMILICQNLRNNLQSPNEYIRGVTLRFLCRLSEPEVLEPLVPSVLANLEHRHQFIRRHAISAISAIYRLPHGDQLIPDAPELVERALASEQDASARRNAFLMLCSCAQERAVAYLLSNADRVTEWPDLLQMAVVDLIRKVCRSQNRTNKGKYITIITSLLSSSSTAVVYDCAGALVSLSSAPTAVRAAATTYCQLLASQSDNNVKLIILDRLNELRTSHREVMVGLVMDVLRALASPNIDVKRKVLDLVLDLLTPRNVEEVVLYLKKEVVKTQAGDLEKGGEYRQMLVRAIHACAVEYPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRVSMIQRLIDTFYQIRASRVCACALWILGEYSLSLSEVETSTLNLRSLILSGDFFLAAVVACTLTKLVLRLEEVQPSKVESNKASTGALLIMTSILQLGQSSYLPHPIDNDSYDRIVLCLRLLCNTGDDVRKIWLQSCRQSFAKMLAEKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRRGMSQLELEDEVHDDLKAATGGFTKHADDANKLNRILQLTGFSDPVYAEAFVTVHRYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVTFRNMWAEFEWENKVAVNTVIQDEKEFLDHIIKSTNMKCLTPPSALDGECGFIAANLYAKSVFGEDALVNISIEKQQDGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >Et_9A_062353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22097699:22100435:1 gene:Et_9A_062353 transcript:Et_9A_062353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDMGVVPLNSDGWGPIDAPVVPPSLGGGAYIPFAPFSRSDKLGRIADWTRNPAGPAAFAAASRDSVFDFTSADDSLAAGAEDSSFRLVDAKPPPKPPRFGPKWRFNQRPQLPQRQDEEVEARRREAEKERARRDRHFQNNRSHHFQNFRGNQASSAKPSVDIQPDWTMLEQIPFTNFTKLSFNVADQPEDLLSCGAVEVYNRTYDRVNPKAARRLERFKSRNFFKVTTTDDPVIRRLAEEDKATVFATDAILAALMCTPRSIQSWDIVIQRVGNKLFFDKRDGSQLDLLTVNETAQEQLPENKEDINSAHSLAVEATYINQNFSQQVLHRDGEKVTFDEPNPFASEGEEAASAGYRYRRWKLDDEISLVARCEVHAANTDPSGGRQFLTLNALNEFDPKITGVDWKQKLETQRGAVLATELKNNANKLARWTAQALLAGADMMKLGYVSRVHPRDHYNHSILTVMGYKPRDFAAQINLNTANMWGIVKSIVDICMKFEEGKYVLVKDPVKPQVRIYAVPSDAFENDYVEEPLPEEEQVRPLGDDVDATAKEMDAAAEAEANGASGAAAGEDSFACL >Et_3A_025964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34257326:34258024:-1 gene:Et_3A_025964 transcript:Et_3A_025964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLLVAPKPCVFFSIRGAQSRRSRCLVVAAGHGKGLDTHRSDRGGGNANGVGQVLLRTKQVLMRGRGRLLAGAVLVQPLPCHASTMELYGTVAVLGDLDPATAKTVAGVAGPALSALGFLFILRIVMSWYPRLPMTKFPYVLAYAPTEPFLAVTRKLIPPLGGVDVTPVVWFGLVSFLNEILVGPQGLLVLLSQQQA >Et_9A_062111.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19824050:19826679:-1 gene:Et_9A_062111 transcript:Et_9A_062111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVGVGVRGDPPARRRQGPREEEVTSALVAWRGLRGRAAALAAAAEERAALAGRLEVALEARRESVRQGAALDEMRRGLELQRARVEAAVVGRRRAARDVERRRVMLQEQIERVLPLSRALAAAHGRVQEAKEVLSDDKARLGSLQRLLRTRQQCMVGQVAALYPVRVFHDLRQHEEHHHDDANGEQGTLSEENRASTRENGTQLLAIIRAPQVRALTLFGWQILKPKTKRKNYSDKDLQRSAAVLGYATHAVLLIASYLDVPLRYPLRFGGSRSFVSDCLPSAESTSVASADHLSINNTGSTEYPLFLECQEDDSTKASYAIYLLHKDTEQLLNYIGAEGCGRHIFGNLRELIRIIQSDEYVYR >Et_3B_029043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21645303:21647202:1 gene:Et_3B_029043 transcript:Et_3B_029043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPFEAAVEEQDSPPESPAPPEEDATVAGPADAEDYDSGAPHAVPPPQQPSSSIAPPVSLSSAAARAKSQAQKEQEDEDDEEDHMEVDLEKLPSSTGDPDKLAKMNAILSQFTEDQMNRYESFRRSGFQKSNMKRILASITGSQKISIPTTIVVSGIAKMFVGELIETGKFKLVVMTERKDSGPIRPCHIREAYRRLKLEGKIPRRSVPRLFR >Et_9A_063367.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21761353:21762135:-1 gene:Et_9A_063367 transcript:Et_9A_063367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLRPAQHEGLHVTFEPKPFDPGDGRFSSPNLLTDGGDLFYGYSSHSFGRGLVPPSSPSPRAASRSRSSSSPSPGTASGSRSSSDTGSVVDEADDALAAAEHRARLSRLALQYQETAGRYRLCFSHLADTSDEAVALRQENDQLRVANGDLMSRIVMVGGNHSSAIALADDFRRLNLAEEQAKAMALAMPVTLPPPSPPAVMRPVPAVLPKSISVRSSGYLKMNPSGKHRVSKTTDAGSVRSFAQSTSDLVRVRLISP >Et_4A_031899.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27798780:27802552:1 gene:Et_4A_031899 transcript:Et_4A_031899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPLSHCAALLLDKKHRPPRPPAAGAGAGSGGGRRLQESKLREALEEASEDGCLAKSRDAALLEGDGGEEGSVGRSRSLARLHAQREFLRATAMAAERAFQSPGALPALEEALATFLAMYPKYASSADVDHLRADEYPHLDKVCLDYCGFGLFSYLQSCNPADSSAAFTLSEITANLSNHALYGAAEKGTAEHDIKNRIMEYLNIPESEYSLVFTVSRGSAFRLLAECYPFGTNKRLLTMFDHESQSVNWMAQAARDKGAKAYSAWFKWPTLKICSTELRKLISTKKRRRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNHWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVMACLQSPSGGTGAGMVRIVPVFPQYLSDSVDGFDGVLDGLEDDTIIPIEEGSVSNSHHASQLPAFSGAYSSAQVREVIESEMDQDSSDRDGASTIYEESESVSVGEVMKSPVFSEDESSENSFWVDLGQSPLGSDHSEQSSKGKLGSPLPASWFSGRKNAKKASPKVSSKLVKSPIYDNHVMSFDAAVRSVSQESGPMKVLPDEDHPHNGIKKVIPVSEIEEDQDGKGNKRFVKFSCANGPAEGSSASVFGSYTARENGSTSEICSESQVGNKESAIRRENEGDFRLLGRRDVHNGRFNGGRFFGVDESERVSSMGRKVSFTMDDSRLCRNSDAGETSGYAMADDDDDEYSDYDEAQDGRREPEIICKHLDHVNILGLSKTTLRLRYLINWLVTSLLQLRLPDSGDGDGIPLVYIYGPKIKYERGAAVAFNIKDCSTGTSLVSPETVLKLAEKEGLSLGVGFLSHIRLTDNQKHGSADVGLSSSLCRPVSNGRREKRNSKNDIVGIEVVTASLGFLTNFEDVYRLWAFVAKFLDSSFLEQERLSSIPEDAER >Et_4A_033042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17559797:17561600:-1 gene:Et_4A_033042 transcript:Et_4A_033042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCNITPLYFPDNLIPILFMANTLVLVLLLWKWGLFKRNEIARLPPGPDTLPVVGNMHQIIWNKPVVFRWIHRLLNEMGTDILCLRLRATHVVVVACPEMAREILRRKDDLFTSRPATIASKLFSYGYKAAILTTHEYQWKKMKRLVTSEILSPSMERRLYGHRVGEADHLIRYVHNQAKMIPDCCIDVRHVTQHFCGNIIRRLIFGKRYFHESPVRSNEGPGPDEVQHVNALFTLLNYVYSFCISDYFPALVGLDLDGHEKVAKRVMSTLDRLHNPIIEERIKEWSNRRKVSDTRDVADFLDVLLSLDDAKGEPLLSLEEIKAQVVEIMFATVDNPSNAVEWALAEMINKPDAMQKAVNELDAVVGKERLVEESDLSKLNYLKSCIREAFRIHPYHAFTPPRVAKEDTTVSGYFIPKGSQVILSRAGLGRNPKVWLDPLKFRPEHDDMVLLTEPDLRFISFGTGRRGCPGVSLGTSVTMILFARLLQGFTWTKPPNIDTINLQESYASLLRAAPLVLQAKPRLAEHFYDVAN >Et_4B_038288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27963322:27964355:1 gene:Et_4B_038288 transcript:Et_4B_038288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAVRRERDAEAELNLPPGFRFHPTDDELVEHYLCRKAAGQRLPVPIIAEVDLYKFDPWDLPERALFGTREWYFFTPRDRKYPNGSRPNRAAGNGYWKATGADKPVAPRGRTLGIKKALVFYAGKAPKGVKTDWIMHEYRLADAGRAAAAKKGSLRLDDWVLCRLYNKKNEWEKMQMGKMSSAAAAATTKEEAGDMTTSYSHSHSWGETRTPESEIVDNDPFPELDSFPAFQDPASQMMVPKKEKVDDAGVAKNDLFMDLSYDDIQSMYSGLDMLPPSGEDFYSSLFASPRVKGNQPAGGMAPF >Et_7A_050211.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:12018309:12018724:-1 gene:Et_7A_050211 transcript:Et_7A_050211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAMTRSMAPTSLPPMKTTGTTGGAAAPEPAPRRHASARSRSRPRGSRSSSCTVGCTPMPQKRRFTAWHMQQLLTLNTTTALSDASRSTRSAAVSAADIAAGGMYHACSAAAPYCI >Et_2A_015807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18039507:18043176:1 gene:Et_2A_015807 transcript:Et_2A_015807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFGNGGYGYSSGSEGEDEDEGTEGYRKGGYYAARPGDRFAGGRFVAQRKLGWGNFSTVWLAYDTLHSKFVALKIQKSARDYAHAALHEIELLSAVAKGDPTNSKCVVQLLDHFKHAGPNGRHVCLVTEFLGDSLLRLIRYNRNKGIGLNRVKEICRSVLVGLDYLHSELGIIHTDLKPENVLLVSTINPAKDPVRSGFTPILDRPVGNQYGGTVISFSEKMLKMRARRAVAKISQRRVSLGGVATEVEKERSLDGISLKCKIVDFGNACWADQQHAGEIQTRQYRAPEVIIGSGYSYSADMWSFACLAFELATGDLLFAPRSCQGCSEDEDHLALMMETLGKMPRKIASSGTRSKDYFDRHGDLKRIRRLKFWPLNRVLVERYNFTEPDAQGFADFLRGILDFTPENRPSAAQCLKNPWLN >Et_5B_043144.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1185753:1185911:-1 gene:Et_5B_043144 transcript:Et_5B_043144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALPPPHIDQPLNANLSAEVGIAVRVLQRRFGEFTADGHARPGGAGGQATS >Et_9A_063375.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:2267570:2268577:1 gene:Et_9A_063375 transcript:Et_9A_063375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSATWLALACAALVVVAAVADHHAAPLEVGFYKHSCPQAEEIVRNAVRRGLAREPGVGAGLIRMHFHDCFVRGCDASILINSTPGNKAEKDSPANNPSMRGFDVIDDAKAVLEQHCPRTVSCADVVAFAARDGALLAGGIDYQVPSGRRDGRVSVESEVLNNNVPGPTDNVRELVASFRRKGLTADEMVTLSGAHTIGRSHCSSFTQRLYNFTGKVGTTDPAIDPAYAAELKRRCPWPSSDDQMDPTVVPLDPVTPASFDNQYFKNVLAHKVVLTSDQTLLDNPWTAGIVKFHAAVGEAWEAKFAKAMVKMGNIDVLTGNEGEIREKCFLVNQH >Et_7B_053753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11930741:11936298:-1 gene:Et_7B_053753 transcript:Et_7B_053753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPATATTTGTARGERRTTTRQRGRGVFAFADRADVLLMALGTLGAVADGCSNNLLLLLLSDVVNSLGSLRGTRRGDDDRASCMNFVYLALVILPVAFMEGYCWSKTSERQVRRMRYLYLQAMLRQEAGFFDSGEVTTAEIVDSISKDASLIQEVLAEKVPLFLMHATAFISGLAFSSYFSWRLALVSSPLVLLLIIPGIIYGRYLAHLTRQSRSEYAKANSLVKQALGSIKTVYAFTAEKRIIQRYATVLDKTIKLGIKQGIAKGLAIGCTSIAFAIWAFLSWYGSRLVVYRHESGGRIYAAGLSIVLGGLSLGMALPELEHFTEASIAATRIIGRINRVPQINTEKMDGLILDKLRGGIEFESVCFVYPSRPEMTVLKDFNLQVSAGQTVALVGSSSSGKSTTIALVQRFYDPSEGTIKIDGIDIKELQLKWMRSKIGLVSQDHALFGTSIKENILFGKPDATMDEMYAAAISANAHSFITGLPKEYETKVGERGTLLSGGQKQRIAIARAVIKNPAILLLDEATGALDLESEKLVQNALHKASTGRTTLVIAHKLSTVINADQIVVIDGGTIVEIGTHDELISKAAHTQGL >Et_4A_035490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26708933:26725563:-1 gene:Et_4A_035490 transcript:Et_4A_035490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSTLLSKVVFAAGQQQEQPPPAPPPPAGSPLHRLGQQADPDLATPRLSSASTGGDEGGFDAAAGSSPYAAASLARGKDELELDFRRFWEDFRSSSSEKEKERALNLAVDVFCRLVKQHSSVAQLVTKLVEAHVFAFVIGRAFVTDVEKLRIHSKGRSLRVSDVIGFFSDVTELGICPGSNLLYAVELLVTETNDKQPLLDSGILCCLIYILNSLLSHDEYSTNPSPFGPEESKSDKSKDWDPKESRRLEIEGSVVHIMKALASHPSAAPSLIEDDALQVLFHMVANGSLTVFSQFKEGLVPLHTIQLHRHAMQVLGLLLANDNGTSAKYIRKHHLIKVLLMAVKDFKPESGDAAYTMGIVDLLLECVELSYRPESGSIRLREDIHNAHGYQFLVQFALTLCNLHKNQTLQSSPKLAHKEDGLNPSQRLEQDIFSCDLSPQLSRLLDVLVNLSQIGPSENAGGKSLKSSHAKGMGHNRTRTPSADKFDEVMEISSPKVKDLDAIQMLQDIFLKADNLEVQAEVLNRMFKIFSSHLENYKLCQQLRTVPLFILNMGSFPAALQEVILKILEYAVTVVNCIPEQELLSLCCLLQQPISTSLKHTVLSFFVKLLSFDQQYKKVLREVGVLGVLLDDLKQNKLFFSDEQQNKAFDSTERMSNANNFQKTVDNKDTILSPKLMASSSAKFPMFEDEGTITVAWDCLFYLLKRAEPNQQSFRSSSGVNIILPFLVSESHRSGVLRLLSCLMIEDSLQAHPEEIGSLIEILKSGMVSTSSGSQFKLDNDAKCDTFGALWRILGANSSAQRIFGEATGFSLLLTTLHSFQNDSESEDTEPSLHIHMKIFGFLLRAMTAAVCNNSVNRIRLHTIMSSNTFYDLLSESGLLCVDCEKQVILLLLELALEIVLPPTSNLQVESISSETSEDESGFLSPTSFGLSRLDKERVYNPSSVIVLIRSLLIFTPKVQLELLRFIEKLASAGPFNQENLTSVGCVGLLLETISPFLEGSSPILNHALRIVELLGAYRLSSSELRLLVRYILQLKVKRSGHLFVNMMDKLIQMEDVRQGNVSLAPFIEMDMSKAGHASIQVSLGERTWPPVSGYSFVCWFQFQNFFKSQSKEAEKASKGAYGKRSGQVLRIFSVGAVDDANTLYAELYLHDNGIFTISTGNSSSLSFPGIEMEEGKWHHLAVVHSKPSALAGLFQASVASLYLDGKLRHTGKLGYSPSPFGKSLQVTLGTPTIRGKVSDLSWRLRCCYLFEEVLTPGSICFMYILGQGYRGLFQDTDLLRFVPNRACGGEVMAILDSLELEVPVPSSSQRIDSSMKQGSSRLESSGIVWDMERLRNLSLQLCGRKLIFAFDGTSSDAFRASGTLSLLNLVDPTSAAASPIGGIPRYGRLSGDVYICNQCTIGDTVQTVGGMPVVLALVEAAETKDMLHMALELLALSLQQSHQNVKNMQALRGYHLLALFLHRRMSLFDMQSLDIFFRIAACEASFPEPQKSNINRTASYASGMSPEGSLDDLTLLKFGDDVSSGGSHGDLDDFSAQKDSFSHLSELENADLAGETSEFIVLSNADMVEHVLLDWTIWVAAPISVQITLLGFLERMVSMHWFRNHNLTILRRINLVQHLLVTLQRGDVEIPVLEKLVVLLGVILEDGFLASELELVVRFIIMTFDPPELTPNRQIVREAMGKHVIVRNMLLEMLIDLQVTINAEELLEQWHKVVSSRLVTYFLDEAVHPTSMRWITTLLGVCLASSATFALKFRTSGGFQGLNHVLPSFHDSPEIYYILFCLVFGKPVYPRVPEVRMLDFHALMPSDGNYGELKFVDLLDTIIAMAKATFDSLIINSMLAHQNNNLSHLNGTLVADLVEATSDTGGDLQGEALMHKTYAARLMSGEAAAPAVATSILRFMVDLAKMCPPFSAVCRRHDFLESCVDLYFSCVRSDCALRMAKDLTSTATDEKNVHDEDNGSSKYTFSSLPQDQEQSSRTLSSASFPQEQKSSSSESPGMQSSFEAAEVKADDSSNQQTSTKLLNGEAKKSFQNAHDQGRTSPPNSNGVAESHQLADSPNSVSVDNVESPILSERSTHKAAITPTASPMAAFTSWPGSAGSHSDGRRLTASPSMSSSMSGVDMDLSPELKTNMQGSPAVNTLFPINSKLLLDIDDLGYGGGPCSAGATAILDFIAQILADIISEQLKAILFVESLLESVPLFVDVDSTLVFQGLCLSRVMNFLERKLLLDDEEDGKKLDKSRWSANLDPLCWMIVDRVYMGCFPTPLGVLRTLEFLMSMLQLANKDGRIEDAVPPGKGILSIARGSRQLDPYIHAILKNTNRMIMYCFLPTFLKNMGEDDLLANLAFLTESGRSLASTPCQEDFSVDICTILQLLIANKRLVLCPSNVDTDLMCCFCINLMALLRDKRLTAQDLAVDLLKYLVVHRRQSLEDLLVSKPNQGQQMDILHGGLDKLLTGSTSMFFEWLRSSQQTISKVLDQCALIMWVQYITGSAKFPGVRIKGMEVRRKKEMGRKSRESVKVDARHWEQINERRYNLDLVRDVMSTELRAIRQDKYGWILHGESEWQSQLQELVHERGIFPMRQLSMEPAWQLCPVEGPYRMRKKLEHSKFKIDTIQNVLSSNLGLDDVKATNKEDGDMLMTSGSDTMSGLNLLTYGSEQKELDAADFASFKEDDEIFKGGSTMSTPIGWTDDKSSINEQSLHSATEFGAKSSSLSYHMTESHKGKSELSSPRRTPSVKGTDARTSEDKSEKELLDNGEYLIRPYLEPSEKIKHKYNCERVAGLDKHDGIFLIGELCLYIIENFYIDDSNCICEKGSEDDLSVIDQALGVNKDIMGSSESQMKSPSAWGGAAKILLGGRAWAYNGGAWGKEKLCSSSNLPHPWHMWKLDSVHELLKRDYQLRPVAIEIFSMDGCNELLVFHKKEREEVFKNLIAMNLPRNSMLDTTISASSKQDSGEGSRLFKVMAKSFSKRWQSGEITNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESDTLDLRNPQVFRKLDKPMGCQTAEGEEEFRKRYDSWDDPDVPKFHYGSHYSSAGIGGQFDHADRLFNSVRDTWASAAGKSNTSDVKELIPEFYYLPEFLENQFNLDLGEKQSGEKVGDVVLPPWAKGSAREFIKKHREALESDYVSENLHHWIDLIFGYKQRGKAAEDAVNVFYHYTYEGNVDIDAVSDPTMKASILAQINHFGQTPKQLFQKPHPQRRTDRKVPPHPLRYSAYLTHQEIRKTSSSVSQIVTYNDKILIAAANSLLKPVAYNEYISWGFPDRSLRILTYDQDRLLSTHENLHGGSQIQCTGVSHDGNILTTGGDDGVVAVWRFVKDGVRRLLRMEKALCAHTGKITCVCVSQPYSLIVSGSEDCSVILWDLTSLVFVKQLPKFPASVSALHVNNLTGEILTGAGVLFAVWSINGDCLAVVNTSQLPSDLILSVASTTHSDWQDTNWYVTGHQSGAVKVWKMVHCSSDEVTNSKSKLPAITFGGLGLNGQAPEYRLLLQKVLKSHKYPVTALCIPPDLKQLLSGDASGHLLSWSLKDDSFKGS >Et_1B_014224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3782899:3784941:1 gene:Et_1B_014224 transcript:Et_1B_014224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLAVRAALVLEAAFFLLVAASGSAAAAAGLPRFAEAPEYRNGDGCPAHVAGAGVCDPGLVHIAMTLDAHYLRGSMAAIYSLLKHASCPESLFFHFVAAEGGAAPPVAELRASVAASFPSLRFEIYPFRADAVAGLISASVRAALEAPLNYARNFLADLLPRCVPRTIYLDSDVLAVDDVRRLWETRLPAAAVVAAPEYCHANFSRYFTEAFWSDPELGERVFNGRRRAPCYFNTGVMVIDLRRWRVGNYRRRIERWMEMQKEKRIYELGSLPPFLLVFAGEVEAVDHRWNQHGLGGDNVLGSCRPLHKGPVSLMHWSGKGKPWDRLDAGRPCPLDHTWKSYDLYIGENDSASAPSRSALSSSALPAAKLVAYTITHYLGMWWLLAGDGIALQCIATATTAAAASLPPSAVQNIEGEGRHIAVAARGRRQTGHL >Et_7B_055191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8156337:8185315:1 gene:Et_7B_055191 transcript:Et_7B_055191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEGRFGKVNQLGIDFYNKLIDSLLLKGTHTRISEFLGTLCQRLPFQHISHSDVSGIQPFVTLTHYDIPQELEDRYGAWLSAEIQRDFGHFADVCFAAFGDRVRHWATFNEPNVAVRKGYMVGTFPPARCSPPFGSCAGGGDSDAEPYVATHNVVLAHATAAEIYKRKYQSKQKGLIGMVMSTIWYEPLTDTPEDRLATERALAFDVPWFLDPLVYGDYPPEMRQLLGSRLPSFSPEDRRKLGYKLDFIGVNHYTTLYAKDACFRRARWGRRPSMRWPRPLERGTDCPSTAMPTFYVVPDGIEKMVTYIMKRYNNLPMFITENGYAQGGDGYTQVEDWLNDQGRIQYPDGYLTKLAQVIRDGADVRGYFIWSLIDNFEWLYGYTLRFGLHYMAAAIGRIAAVVAVAVALAAALAPAARAVDRSEFPPGFLFGAATSAYQIEGAYMEDGKGLCNWDVFTHTNPGGIRDGRNGDVADDHYHRYMGDMELLDSLGVNSYRFSISWARILPRGRLGGVNSEGIAFYNRLIDVLLQKGIEPFVTLNHFDLPHELETRFDGWLGAGIREEYAYYADVCFRAFGERVRFWTTFNEPNLATKQMYMLGKYPPSHCSPPFGNCNSGNSLREPYVAAHNIILSHAAAVRNYKENYQAKRGGSIGIVIAMKWYEPLTNSTADILAARRAQSFETEWFLDPIFFGEYPIHMRDILLSNLPTFTLKEKKLLRYKADFIGINHYTAIYAKDCIYSPCNLQTYEGNALVLAVSERDGVRIGRYIALPGYYVVPEAMESVIMYVTQRYKGTPVYITENGYSQTSNASGEELINDIERVNYHKGYLSYLSKAIRNGANIRGYFAWTLLDNFEWTFGFSVRFGLYHMAAANGRIAAVMAMAVALAAAALAPAARAVSRSEFPPGFLFGAATSAYQIEGAYMEDGKGLCNWDVFTHTHPGGIMDGGNGDVADDHYHRYMGDVDILQSLGVNAYRFSISWARILPRGQLGGINSDGIAFYNRLINVLLQKGIQPFVTLNHFDMPHDLETRFDGWLGAGIQEEFAYYADVCFRAFGDRVRFWTTFNEPNLATKFQYMVGLHPPSRCSPPFGNCNNGNSFREPYFAGHNIILSHAAAVKNYKENYQAKQGGSIGIVIAMKWYEPLTNSTVDILAAQRAQSFELEWFLDPIFFSEYPRQMREILQSNLPTFTSEEKKLLRYKADFIGLNHYTAIYAKDCIYSTCNLQTYEGNAFVLAVDQRDGVKIGRDTAFPGYYVVPEAMEPAIKYVNQRYKDTPVYITENGYSQWSNASREELMNDVERVNYHKGYITHLSKAVRNGANVRGYFAWTLLDNFEWTFGFSEMEGELAWLAFSLVHALLMPCASAVVGRGDFPTSFLFGTFTSAYQIEGAYLEGNRGLSNWDVYTHMPGTVQDGSNGDTADDHYHRYMEDIELMNSLGVNSYRFSIAWTRILPRGRFGNVSPDGVAFYDALINTLLKKGIQPFVTISHYDIPHELDKQYGGWLSPEIQKDFGYFAEVCFKMFGDRVKLWTTLNEPNLFAKFSYMDGWYPPGHCSHPFGNCASGNSSIEPYIAGHNMILSHANAVSIYRKKYQGKQGGRIGIVVCSRWYEPLRNTTADILAVERALAFSGPWFLDPIIRGDYPPEMRMILGPGLPEFTRTQKKKLLETKLDFIGLNHYTAVYVKDCMFSPCEVDPVDGDARVVTSSERDGVLIGEPTGSEHYYSVPYAMERVVMYYKQRYNNTPIYITENGYAQASNSSMTARNFTNDTQRVDYLRSYLIFLASAIRKGADVRGYFVWSLLDNFEWTSGYTIRFGLYHVDFKTLKRTPKLSAKWYGKFLKGSLLRTGLLRKESSQVLQRRRRGRIISLASSSGRQRKEMGAELTWLALLLLPCALALDRGDFPPNFLFGTSSSAYQEDIELVHSLGVNSYRFSISWTRILPRGRFGHVNADGVAFYNFLIDALLKKGIQPLATLSHYDIPHELDKQYGGWLSPEIQKDFGCYAEVCFKMSGDRVRFWITFNEPNLLSKFSYMDGTYPPGRCSHPFGNCVFGNSSTEPYIAGHNMILSHANAVSIYRKKYQGKQGGHIGITICSRWYKPFRNITVDMLAIERALSFSGPWFLDPIILGDYPLAMRAILGPNLPEFTSEQKKKLQATKLDFIGLNHYTTLYLKDCIFSPCEIDSFDGDARAFSSAVRDDGVLIGEEIGAPFFYDVPDGMEHVVMYYKQRYNNTPIYITENGYAQASNSSMTAKDFINDTGRINYLRGYLASLASAIRKGADVRGYFLWSLLDNFEFTAGYTRRFGLYHVDFKTLKRTPKLSAKWYRKFWKGSLLETRLQNKNSQLQQSNA >Et_6A_046319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1341591:1348052:1 gene:Et_6A_046319 transcript:Et_6A_046319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTTPWAVVGRVTSSSSPSVVCMYHQYNASQIRATRETRTRCGGEGRPPPLSSRWSTAKRHLGDAVSPPPKLVKPDDDTEEPPAKPVCVVAAVSAEQPQLAYSAFLVDADAVAKPPHARNFVRLAGSEDGMSFVAAHSRHGSWIVGVGGPRGGAIIYDPSTEETLRAPGLSYPKLEPILISHRGKVYALSRRPRVRCDWESDFVPWFEPLNFNKVVPCIHHKGCPPWKSLPSPPFFPLLLRPSQLRNPPDISVASYAAVGSYILLSLEQKACTYAFHVEKKTWEKVFENNMPFVGQAVPVGDNLFACREVLSDGAASVVLMSISISSTPEIPGELTTRSLSIQKFPLASREDPWPLVYPLGKGSFCSINWVASSPQGDEAGSLKDPQIMLTTFQIDNMDDILTACQTEDQNLNVTVHVGQQKRTYELKGQAQFSDSHMPLVDVSHSEPSSSPGVPPLQKIGFLKTDVGGKTVVSMLSRWIVAVGGPSRTTVIFDTKIQKQINGPKLVSAKQDPVVVTVGYKVYALSRCPSYTADPDFEPWFEVLDLSQAMVVERADGKRLGAPG >Et_3B_029257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23535096:23536922:1 gene:Et_3B_029257 transcript:Et_3B_029257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKALVLACCCLLVASPAAAARSLSGDAHFRSRKHPADPHHSPGSSATPCSPPQGGGGGGYYPPSPSSGTSPTTPGGGGGGYYPPSPTIGTSPTTPTTPGGGYGTPPSPDSATSPSTPGGGSGGGGYGTPPSPDIGTSPTTPGGGGGGYSTPPSPDSATSPSTPGGGGGYYGPPSPSIGTSPTTPGGSGCNTNTPPSPDIGTSPTTPGGGGGGYGTPPSPDVGTSPTTPGGGGGTYGTPPSPDIGTSPTTPGSGGGYSMPPSPDIGTSPSTPGSGGGYYGGPPSPSSGTSPTTPGGGSGGYTTPPSPDVGTSPTTPGGGGGGGYGTPPSPDIGTSPATPGGGSGGYGTPSSPDIGTSPTTPGGSSGGYYGPPSPSSDTSPTTPSIGDTPPTVTPTPFPPLTPTTPTPFDPNSPPFSTGPCIYWMTHPGVIWGLFGGFWCPLTRLFGPSAVAPFGRDLTVPEALANTRGDGVGALYREGTASLLNSMVSTRFPFTTQQVKDAFGAALRSGDDGAAAAQAQLFKKANEGRVK >Et_7B_053400.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:15389487:15389726:1 gene:Et_7B_053400 transcript:Et_7B_053400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKEEVDQHRIELEERRLQWKQEEKIMSCDVSKMDEHGKAYVMARRAEIARLAELRASLGESDSVSESGGASVNCSSV >Et_1B_013850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20452705:20455133:1 gene:Et_1B_013850 transcript:Et_1B_013850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAVSSAPMLLQNDKPDDLVIGCELPSQPSGGHDKENNDCVVLGGDAESLIWCLLLPRVRGAREMAAQMNYSLRDGSSDELQIISEKGPGYCFVCDIPAPCANWGNGALDSDHCHANGMEAKWKLLREAIKIENRSANASSLAVPGILFMPRAGRDTDNAHICQNTHSRVIFERVGGVAPDNSYRYPAMPRLSQPVQVASRSPRFTVDEEGDDCIVLDGDPDMMITIPEGARSAGDSSSDELQIISEKGPI >Et_2A_014609.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27698693:27699481:1 gene:Et_2A_014609 transcript:Et_2A_014609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTFAEARPLVALSLLLLVLLGPGGSTTTRVAEAQACGAQLSGLASCARFSVPPSPGQALPAPGQECCSALGAVTRDCACGALDIITSLPAKCGLPRVRCRKRFSHLHSHSPSPSTRTLIHPSVGTCSVACCYTY >Et_5A_042427.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:179903:180457:-1 gene:Et_5A_042427 transcript:Et_5A_042427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPWKAEDGVLRNLELVGVCHGLEAKGPRPCLQELRHGRQHQSLDAEDSTEHALELADQEMAHHLASVREPGGHQRQLGDLVAVDPQCGGGDELAGLLEAHHVVAAEVEAPELRLAVEDDVVAEVGLRAGLDQGHEALVERHYYPLVAAREPASRRLSCASSSSSPPAAFWTRKATQAVWSEM >Et_2A_016451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24955033:24958186:1 gene:Et_2A_016451 transcript:Et_2A_016451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LVVWEILVRLPAKPLLRCRAVCRSWRRRTSEPAFLLAQHGRQPSHPLVLFHGELPHLSDRRGRAVFVDASLDALDLRRPPSERRRRPVLRFNDYNHFRDFSVHASCDGLLLLQLANHRFYLCNPATRQWTGLPALTAGASGVALYPHAWSAYGDQYRVLYWNVRYPAGQLKVVYHVLAVGSPAAPRRIKAAAPSAKRFVGGGGDTSQSPAVLLHGCLHRISCSRREEETVLAVFDTVAESFRTMRSPVAAGGWERPRLLEMDAALAVSCVDTSQTMMKLWVLQDYEAEAWTLTRRVIFPLVEMRSVVNEKDWSRHRLYETVASQDGDVLLHRPGSSHLFHCDNRGDLLQNFSRDSVFPRPVGHCFRESLVRHEFFERQHGARYRVIYWKGGRFVHHRDVVYHVLTVGSSPAAPRRIQGSSTPSAVLLRGCLHWILQGSPPPAVTRTRASQLVEPAAEALASLFVTRTPIPGRSLFEIVTGRSLFRIATTPGPIAPVGWGAWAGWMVVGS >Et_8A_056287.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19693188:19693349:-1 gene:Et_8A_056287 transcript:Et_8A_056287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAARRHLGDLQQQHQRPLLRGALQVNIARDCPLKLLPLFHWISYSRLGRSV >Et_1B_011697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25115509:25123152:1 gene:Et_1B_011697 transcript:Et_1B_011697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKSWRKNHPHGFVARPETLADGSANLMVWNCTIPGKQGTDWEGGYYPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPAEYKRRVRLQAKQYPALGRFTSGMPASNPLLTMVRGNA >Et_9B_065630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8354746:8355215:-1 gene:Et_9B_065630 transcript:Et_9B_065630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRLAPFGPSKAKLTFLRVFTREPSDYIKNIATSEKERFPGCFDQKNKEITETEFSHGNHPITSKTSEKERSSGRFDQNNKEITETGPEQLQNNPFGPSTAKLTFLRVFTREPSNYIKHMATREKERSSGRFD >Et_8A_056396.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:7632138:7632200:-1 gene:Et_8A_056396 transcript:Et_8A_056396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTTCLFSLCLFSLSSLCM >Et_9B_063691.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19578887:19579650:-1 gene:Et_9B_063691 transcript:Et_9B_063691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QKVIEVVLDTTRWYYNSYSSNNRHKNCGGELRNGAPAPLVGGVIYNSNDVDGLRR >Et_10B_004384.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:5330383:5331306:-1 gene:Et_10B_004384 transcript:Et_10B_004384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGGEDSACSTPFVSAPSSPARDPSFSAAAAAGFYSAPASPARGAGPGAAGDEYGFDFDFSSQFPSPAAAALPMMSSADELFHNGQIRPMRLASLLLRPQAPPPLAGEAPSPEPAEVAPPGADERGRFRSRSVHRRARSHSPFRPHWLLPSSPSPAPATNAGDPEAAPSASRSSSSSSTASSASSSSSSSSHHRRWRFLKDLLHRSKSDGGGGKHHPPPHSPLPPPGPKGNLSPPAARGRGGRRSRSSAHARLYEARRAEAEEMRRRTFLPYRQGLLLGCLGLSPRGYGAMHGLAAAAAVAKYRA >Et_1A_006692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26414917:26417127:-1 gene:Et_1A_006692 transcript:Et_1A_006692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRALLTSLLRLRAFSSAASHYPPPTPPPLRRHQFVADPSAASTSTSRSGLGGIGGGGGNPLDPTQLLRDDPVAITASLWVSSFRAAPAGGQAPPLAPFLSRLELWVLAYQKAYADETGSYLPRSSIPASTLASLLALRNAVLDDRFRFGNRLTPFIQSPRAANSPDPSTLSKRKLRALLTTPGPPPFQDRVVQELLLLLLEPVYEARFSTKSFAFRPGRSPHAAIRTIRRSFAPYLWYIKGDLSPLLHSPDPALVVGSLIRDVRDKKVVDLIRSALLTQVVTGRPGDDAPKKKTKRKYQKKKVLPEGEPKPDPYWLQTFFGFAPEEAITQPDWGHCGVLSPLLANVCLDELDKWMEEKIKEFYKPSKVKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTASGGKIISEKGVGTLLSVTASLKQCIKQFRKLEFLKGDREPDPQPCFRMFHATQAHTNSQMNKLLLTMAEWYRYADNRKKVVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIASRNLSRPLKDKKGQSPEYHNLLRMGLVDSVDGLQFTRMSMVPDPDYTPLPSGWRPDHEKILLEYIKLTDQQTVEEQRNCIREEGLITPQDYISMLVWGYKKNAVLHPPSKESDAQRSTEDLGSDTDELDEKKLGNEGDQGFPKVAEMS >Et_7B_054678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3414140:3415725:1 gene:Et_7B_054678 transcript:Et_7B_054678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALIAGRSGSHHHTHSWGESRAPLAPVPPPANPNPNHQHRLPRANGSKRASSATSPASGYMTFRPASLDHREARALRDRLSGELGQVRDLLSRIDTWQQQLQQPKPPQRRDLPPPPAKLRGAMRKRCGQILTKLRKDKRSVWFNAPVEVDRLGLHDYHAVIKRPMDLGTVKDNLAAGRYASHDAFAADVRLTFSNALRYNPVGHEVHTFAGALLASFDKMYKEAVAWFEEECQRLEPPKPVPAELPPPPPPPPTTEAKVKPKNVRLRKPKAREPNKREMSLEEKDLLRQGLESLPEEKMHNVLQIVRKRNNNPEMLGDEIELDIDEMDVETQWELDRFVTNFNKALKKSQRAAMTNGGVADVTSAAVADDSTVPVNGDVPSLVDNDDAESEKPVKSSTMAEQVDEYLDIGDEMPTATYQSMEIEKDAEVASGSGRSGSGSSSSSGSESGSSGDTASGAGNAHSL >Et_1A_006448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22429668:22429878:1 gene:Et_1A_006448 transcript:Et_1A_006448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATARLTAQRPTVEDPRGSACGSNSHLGMTNVTILETDGLKSFWVLMSENPKCKEYSV >Et_2A_018029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9151205:9152967:-1 gene:Et_2A_018029 transcript:Et_2A_018029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDIDLRMATGDGANSYATNSRLQEKAILETKPVLKKAIEELYLSLASRSTMICADLGCSSGPNTLLFVSEVMSAIRAYACDQEMDHLRAVEVQFFLNDLPGNDFNLVFRSLEQLQNLIVEKGKMVAPPYYVAGLPGSFYTRLFPGQSVHFFHSSFCLMWRSKVPEDLSSGTYLNDGNIYIGKTTPPIVVKLFQEQFKKDFELFLMLRYTELVFGGRMVLTFLSRKSEEMLMHGDVGRMWELLAEALQSLVRKGSVEKEKLRSFNLPFYAPSVNEVEALIKEQGTFNIEYVRLFESSWDPHDDSDAEAVLNCERSGGSIAKCIRAVIEPLIMDHFGVSIIDDLFLEYASIVANHLKKGKAKYPVIIVSLKKAIH >Et_8B_060402.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:13614038:13615027:1 gene:Et_8B_060402 transcript:Et_8B_060402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDATGRRRQPPCFLAFLGHGAVLPARNRGLFLPLLALTAGLAAALLLGNALAVQPLAAAVLVDADGISRADPASAAYRDLVRSLQADVRRLLLAAGACLLAAVIAGSAIKIATVFAAVAAFSSGDRRSATTAGTAVAKAKGNLWGAVLTVAFGYVLEAACAGAIAALAVLAVVLLDYSLLLLFLDALLVLLASLFLVYLTVVCAVAVVVSAAEGNRRGAAAVSRAWRLMRGRGAQAALYVLATCALGAAVSPVYTLATRWWPRSAAAGVAAGVAYVLLLGAVEVFSVAAVTAFYFECRESTEEEEEIMALAGHRYTKLPNGDEQSNI >Et_2B_021070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26192511:26193831:1 gene:Et_2B_021070 transcript:Et_2B_021070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEVRVRRIVDYLNDGEELGVEGAVGAPPCSPAAARLSLPRFRWPRLSRLGRKGGGGKEKTVLEEEEEIVAEKSEQSSVAVSTSVEQEARQCEPATTSGTRHADLGLGLSLVFLLAKTSDEFNKLVKVRAEMEALLKEMKRQLTGTSGGSGHDGPEPRNRESTTSSCVTDGKDQSASVHREDRAASSKTLAASCEKSSDDEQSCARTDVLEDEFHAELERLQVTYGSEIPLFVAQEDELDSEPPDDIDDYRHEDDDELEEVVEDEEDIDGDDVTEYNGVSAVELERRLHELLHERNRERIEELEAALRRAEKKLAEKDMEVCLWKDTAKFALRQENEPQ >Et_10A_001301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23176249:23179066:1 gene:Et_10A_001301 transcript:Et_10A_001301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEELRASFSELAVGSQTQTGSQTGSSGDPSSEGAVQVTCFTEDLHDVTLHFQISKQIYAWIGCNTAKFGHLYAAATTRPDNRVSVTSVLGGTSDNTGSSMARRLVLKTGLNIVLACNIPKDSPMLEAAAERKLVEKLKGLGYIKPKVGEASASSVN >Et_9A_061144.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:18710246:18710443:-1 gene:Et_9A_061144 transcript:Et_9A_061144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLTMVYAPLFPTFEAIEHVLLGCVYSQETWFLLLRRAHLQHLTPTPQDGFVAWWLSSRKQVQK >Et_3A_024407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20495837:20498913:1 gene:Et_3A_024407 transcript:Et_3A_024407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGDQAAAADAEPLELVLFQVAECYVYLIPPRKTAASYRADEWNVNKWAWEGSLKVVSKGEDCIIKLEDKNTGELYARAFLREGEPHPVEAVIDSSRYFVLRVEENIDGRQRHAFIGLGFRERPEAYDFQAALHDHMKYLNKKKAAEEMVQHYEKTSSVDYSLKEGETLVLQLKNKETGTKTKSAFFEQGLNKVSVSDKANSKESPVSLKLPPPPPSPVSPTDSGVAASPFKAEFPPLEQPAADTTSTASAATSKAELAPEQAAAAEKVDQETVDDDFGDFQAAG >Et_3A_026519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9150204:9152594:-1 gene:Et_3A_026519 transcript:Et_3A_026519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEKPAPVRVLYCGVCGLPAEYCEFGPDFERCKPWLRANAPGVYPDELIASSSGGGDDKDVDKVGERLQGVGISDGSSSASGSASASKQEEVKRLPGGKLKKKDKQEVVIEKIVRNKRKCVTVVKGLELFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDISFDIVEFITDTWPDVMFLNQPFSSLRMEGKSLLLDIP >Et_7B_055345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9546723:9554113:-1 gene:Et_7B_055345 transcript:Et_7B_055345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFHHNVKLSEVLRNTVVRKLELDFQSKTIYIQPEGPKRLAPLLQNLEQVWGHNCNLNQGDMYKLLFPKMSDDHLIWEARNDFKHYNLSKLIIEGFQEDRLSKLPDDILMCILDKLDVLCDAVRTSILSRRWRHVVGLLTKITLSTADFEPPEEHSKSAFDVVAQSNMDMVKAVTSILAHKSQHTIELLSLTFYLRDESIDIVRSVDHTMENREVVTASFAIFPEMRDMECTDNDMVVYGRRFLSFFYNYPRVFGSLKSLHMEGLRLGKSDVANILSTSVKLEYLCLNNCDCGIRSLLQIEHPQLMELKIIFCAFEQVELNRLPKLQRLTCEAWMPSQNQYPLSFGYVPQLWELCLINTGSTLHKTFKLSDFLGNAIIRQLDLDFECDRIWIQPEAPRQLGLLFQNLQAVILRNIYDECDLNWTMFFLEAAPLLKKISIRAWDHVCYLYEEDEFKEHDELWQQILQKEQPTKWETPDGFKHYNLSALVINGFEAEERFTRYIRQVMKAAVNLERISLYDNSSCPWCHFSPSKRYPRTEEERHTITKQILEWRSSPINVKIGMHKV >Et_9B_064685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17596340:17598568:-1 gene:Et_9B_064685 transcript:Et_9B_064685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCPGSSQEPAAPPSSSGSSRPAGGGAAVPTTTSVSPSSAPAPVKPPAPVGPVLGRPMEDVKSTYTVGKELGRGQFGVTSLCTHKATGQKFACKTISKRKLSTKEDIEDVRREVQIMYHLSGQPGVVELKGAYEDKSSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVEIVHTCHTMGVIHRDLKPENFLLLSKDEHAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRSYGPEADIWSIESEHGIFNAILRGQIDFTSDPWPRISSGAKDLVRKMLNSDPKQRISAYDVLNHPWIKEDGDAPDTPLDNAVLGRLKQFRAMNQFKKAALRVIAGCLSEEEIKGLKEMFKSMDADNSGTITVDELRRGLANKGTKLTEAEVQQLMEAADADGNGTIDYDEFITATMHMNRMDRDEHLYTAFQYFDKDNSGYITIEELEQALREKGLLDEGRDIKDIISEVDADNDGRINYTEFVAMMRKGDPEVANPKKRRDVVL >Et_1B_011862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26664108:26668765:1 gene:Et_1B_011862 transcript:Et_1B_011862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDDYGAFPDRIPVCYSTLPYPFWPVDLPVSDEEDDEEWDDDLDDEMEEEGKEEERSASRKKKAEQHAEQLRRLQEKDPEFYRYLEECDKELLEFADDESKDGKIGSIRSILTAFRKACHYGEDQGDSSAPKFSVMSGSVLDKVMHFVLKHMDRILRELLSAPSFGGKKETISELMMIKSWKKHGNLMRIYLGNALHMITEMTDEQMIAYTIHRVRASAVFLAAFPSLLRKYVKALLHTWARGRGAMPLVSFMFLRDLCIRLGSDCLDTCLKGIYKAYLVNCKLSKTISGSKLQHIQFLGNCVRELYSLDPHSAYQHAFVFIRQLAVILRGALTERGPKSAKDKKQKEISKSAKKQMEKSYQRVYDWQYIFCLELWTGVVCGCSSEEEFRPLAYPLTQIIHGVACLVPSARYFPVRLRCVKMLNCIAEATGTFIPVSSLLLDMLEMKELRGRPDGGVGKAVNLFSVKQVDKKTVKTRAFQEACIYSVVDELGKHMAHWSYSIAFFEMSYIPLVRLRSFCKTIKADRFRREMKDLIHQIEANVEFIKSKRAGISFSPNDPATEKEERSSPLSKYVATLHQRAQDRMDALDETSVIVGAESSTFSRRLSEAQKQHDEMDDDEGTIAFSKNLLAEKKKPKIVKERNKKRDREHDDEEDLVEDLVLSSDEEEDDEGNDQESDEDGSVPVEDDSDEDFVDPDSEYKKQKKAKLKRRNKRQPASKAPSKMKRKPHPKKKAKH >Et_5B_043925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17960475:17961920:1 gene:Et_5B_043925 transcript:Et_5B_043925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLSSPSQAVPTVFFDIVELDLPCSQTGSTSHRRRSDTLRQALWPSNYRPHSARAPCRQFTPKLIKPYNGLTSQDMSFEVVFVSNDQDEESFIAYFAKMPRLAIPFFDREGRESLEVRFEVRGIPHLVIINAKTGEAYRSHKRESMNPNEPKEQEKNNQTIHSLLGTSIWDYLISNKVDKIYEKFKEVGEKFEVVAVFFDNDDFIFNEIFLERCFGLQFLN >Et_2A_014959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23467190:23467535:1 gene:Et_2A_014959 transcript:Et_2A_014959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRFLALLLVSALLALSFSQGIRAGFVEGRKVRAVRHEGRLLSEEMVATVMDYGDPEANTNRHGGLVPPKDPPTPPGH >Et_10B_003034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15649875:15652665:-1 gene:Et_10B_003034 transcript:Et_10B_003034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNPHPISAPIFLSLIPFLFLILVRFASWSAQIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSMCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKR >Et_7A_052545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9122130:9128370:1 gene:Et_7A_052545 transcript:Et_7A_052545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPSATILQLYHSGQLSAALRAFESLPASPAVAPLSAAAYAALVTACSRLRSLPLGRLVHRHLLASEDARLTFNTVLNNHLITMYGRCAAPDSARLVFDGMPHRNPVSWASVIAAHAQNSRCADALGLFSSMLRSGTAPDQFALGSAVRACAELGDASLGRQVHAQVLKSEMGSDLIVQNALVTMYSKGGSIEDGFTLFERIRDKDLISWGSIITGLAQQGSEMEALQIFREMVSEGPHQQPNEFHFGSVFRACGVIGSLQYGEQIHGLSVKYRLDRNSYAGCSLSDMYARCNKLDSARKVFYRIEASDLVAWNSLINAYSVEGLLSEAMVLFSEMRDSGLRPDGITVKGLLCACVGSDALHHGRAIHSYLVKLGLDGDVSVCNSLLSMYARCLDFLSAMDVFHQTNNRDVVTWNSILTACVQHQHLEEVFKLFSVLHKSVSSLDRISLNNVLSASADLGYFEMVKQVHACAFKVGLVGDTMLSNSLIDTYAKCGSLDDAKKLFEIMGTGCDVFSWSSLIVGYAQSGYAKEALDLFARMRSLGIKPNHVTFVGVLIACSRVGFVDKGCYYYSIMEPEYGIAPTREHCSCVIDLLARAGRLSEAAKFVDQMPFEPDIVMWKTLLAASKTHNDAEMGKRAAEGILNIDPSHSAAYVLLCNIYAASGNWNEFARLKKAMRSIGVQKSPGKSWIKLKGELKVFIVEDRSHPESEEIYTMLELLCSEMEMIKAGNILEPACKHASFDHTDYDLLSNEILAEYGLEQNQTCETGRASFVDFFLLSFTEKKIVSSSTVKENYTQMKAYLVESNGVKS >Et_1B_010958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15964672:15971046:1 gene:Et_1B_010958 transcript:Et_1B_010958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADEASPPQPPPWMQNKSAAAIEASSGPLAAAATRLAARSRALPSSRDFHFYNNFPSFKSPVGAAAARADDSLGILGAAPLLPKQQPPFPGAGDLDDAHDWLVALNDDLLERFGASMDEFRTLREKEEKDGRRAAPEEAAAGADDGFQVVNGKKKKKNSGGEEPVSKVEPFGGSGSVTMVTKDKAAMPGTKAKDVYHIVVDNTNKPFEHVLLERSEDGSRVIHPLEKLPVEQLIDRNIADSEPVKPPALDDTPFTYVDDLKTLEVLATKLKSAAEFAVDLEHNHYRSFQGLTCLMQISTRTEDFVVDTLKLRKYLGDYLRDLFRDPTKRKVMHGAGRDIVWLQRDFGIYVCNLFDTGQASKVLQMDRNSLEHLLQHFCGVTANKEYQAADWRLRPLADEMIKYAREDTHYLLYIYDLMRLRLVKESSGENDQLLEVYKRSNEICLQLYEKELLTDSSYLYIHGLKENELSARQLAVLSSLYQWRDSIARVEDESTGYVLPNRTLLEIAKQMPMTSGKLKRIAKSKNKFLESNMKLVTDTIRNAMANSDAFESVAELLKKGKLEELRVANVKHSGEDTELVSAVDADNNEYPSEQPAVASTVVTNDGTASCCMGTFASQASFGDMQLDGITPEIKNPGIGPGNNEILNNGGQRQVAKATVQVSKRPTAFGTLLGKPASGRKPNLFQGFSSEQGKSKVDKIMSSVVLPFHHFSGGAKPPAGLPVKEPVHSEPENIQQRDPACHLEEVIQLDAETDDPQVPKNHNENGQRETGDTEMSEPSSEVPSASEKQFHSLNEERNLQQNRKTPQEPEFNDKLKAFDYAEARKNVSFGVPKAERRRDNTVARAINTDSGDKPRTSNKPDGGEAEGSFQNPRGRQVFPPSGNRSSTYH >Et_9A_062648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2802171:2806156:1 gene:Et_9A_062648 transcript:Et_9A_062648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAVSFVVGRLTEFVVKEASVLREVGSDVILLKDKLQWLQTFVQQADQKRRQRGNTYMDVWVQQTREVALDVEDVLDEFMLRVDLRNGLPRWKKWFKFLSACVSVRHELSGRIIMIRARLEQISQHSNAYITDYSSSAARASPSLSVINGWDDELEVVGMNTERTSLEHQLLEGEKMRTIVSIIGESGIGKSTLAWMVYDTPAVKRRFKARAWLNLPPCITEADIVHFLYKRFCHDSGSASTMEEIHGALSEHLKETSYLIVVDGITKIFNWSSVLDALPDNDLGSRVVIINSLNDNGAALAGLKRQTIIVHRLEREDTNLLFYRSACVSGNNKQHNVYFCSKDLSESEYKEEMDKACNDIFEITKGLPLAVLLLGRLLRRKEFPDQWAEVFKHLKSMERSSRLEGISALCFDDLPHYLKSCFLHFAVMPENLIHNARRLVRLWAAEGFLKPRKGETMEEVGHKYLEELISRGMVHLVKKDSTGKVWRVSVHQRLHAMALLETQEATFVDVYDKAHLQSSTAVCHLFLQNINDDYNHHMVTFFPKLRSIFKPKESHPQKKKRKSHPQKKRDPHPQEKQKESQVPQPQEKQNEPKPQGKQEELGPQEKQEEQPQPQEIQEKQPQPQELQEEQPQPQQKQKESQPQGKQKKLQPQGNQTKENNKEPLTSVLNLPRRSKLLRVIDLRGLQITKVPPEIGNFIHLRYLAIHSSSLVELPPTIANLVHLQTLDIAGSHVEKVTKAFWGISTLRHVLAKDLHLPKSVKRLDNMQALVGLVCIHPWLNNTSPLHEMINLRHLELKKLNENHWGALSDAFKKLESLRYLSISGNGGIPFILFTSMSLRRLQTLELYGKIIMPTEEAKERCTLPNLSRLVLKFSAQNKSFMDNIGTLPCLAELVLNKDSYNGKQIVFSRSGFANLTNLVLRELSKVKELKIGSESLPKLKLEGQQVLKNIKEFEVSDMPDNWGVSEAGALSEKFCRVATRSRNGQTDQRGRAENTQNSNGQRGQWRRAEEKNCQNEQRGRADNMDDQHKQKGESSEQE >Et_7B_054104.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15425070:15425757:1 gene:Et_7B_054104 transcript:Et_7B_054104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELAIKFLLHTQPLGSEDLEVLPVVGPARVGVGPARVGKSTLVAHVCKDERVNNHFSEILLLRYNDFKEDGLAAALREGCAMKHQNHFSNLDKDVRLLLVVELAGDLNEDAWNMMYSRSKQCMPRDIKIIITSRFDKIYLSHEVYWYFFKTLAFGSTDPVMHPKFTQLAMEIAMLLNVFLLGATVNAPLLRDNFDIRFWRKLLDFVTALDRLIC >Et_2A_016540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25870572:25871971:1 gene:Et_2A_016540 transcript:Et_2A_016540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTPNSEKPWNTNRGSFVSNGACPWLVCACLALATLHILCCSPAGTQHAVFASMFQYINNTYSFVSSVPGEGRRSCNYSDGQWVRAPGHARRYNGTLCNVKESHDCIRNGRPDTGYLDWRWQPAECPLPAFDAEAFLSSMRGKHVAFIGDSMARNQAESLVCLLSASSSSSYPSRLLHGGPNPSYQHLWRYAFPSHDVTVSFYWAGFLVRATGKVEDYHLRYSHVHLDQPHDRWAADVETIDVAVLAAGHWFVIGAVYYNGSEVVGAHNAPELNRTSPGYAWPLRMAYRKAVERLVISSSRRRPRTVVLVTISPTHFDGGPMDSPTACTNKEPYNKGEKDRAEGFYMGLRDIVYEEAKAAKENLQASAAARIEVLDVTTLAAMRPDGHPGAYMNPDPFANGVPEKLSVDCLHFCLPGPVDTFNEVFLQQILKKKH >Et_3A_026905.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:25745042:25745737:-1 gene:Et_3A_026905 transcript:Et_3A_026905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTKQFLPMPPQQDPNSPSSSTSSSSSSSTSPSHPQRRAQPHNLPPSPRPVPRTIETTPFPTTFVQADTNSFKQVVQMLTGAEPQPAGKNDAAAATTTAVAGGAGTASGPCRPKKPSFKLYERRSSMKNLKMIAPLAMGPPPSPRRAAPEILSPSVLDFPSLRLNSPVTPLTGDPFNRSPASTSSSEEAERAAIAERGFFLHPSPRGVEPPRLLPLFPVSSPRMAAPTE >Et_10A_001458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3603288:3605006:1 gene:Et_10A_001458 transcript:Et_10A_001458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEAANRAAVESCHRVLALLSQQQDPALLKSIASETGEACAKFRKVTALLGDGGGGRSGHARGRFSGRGRPMGFLSHKGVLGSGSGNAVETMPSCSAAPSSSTSYAQLRARVNGASESRGLDLASLSGAHQLGAPKLVQPLSVQFQIGNVAHRYPFHQQPSRQKLQAEMFKRSNSGISLKFDSPSASVATGTMSSARSYMSSLSMDGSVVSLEGKRPFHLVGVPVASDPADVQHAPKRRCMGKGEDGRGKCAVTGRCHCSKRRKLRNKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPAMLIVTYEGEHNHTRLPAQSAQT >Et_1B_010158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26889475:26890305:1 gene:Et_1B_010158 transcript:Et_1B_010158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQRIHPVDLEAGRRPTAPLMPGGSFRSDKSDPAPRPYRGAPPPLPPPPKRRGGRGCCCRFLCCALVTIIILAILAAAAVGALYLAFQPKAPRYTVDRLAVSAFQVDPSLTARARFDVTVTAANPNERIGIYYEPGSDLGVWYGATRLARGALPAFYQGHRNTTTLALDVAGQVQLGTSVVAALQDAQRTGAVPLLFRADVPVRVELGSFKLWKVTSRVKCDLVVDRLMDVTGASPIKIKASNCKFSLKL >Et_1B_011169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18246855:18250351:-1 gene:Et_1B_011169 transcript:Et_1B_011169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSYAQIHVRRERCKLAVQEHEKKAEAMGGGEEEGDKRRTADGDANKAAGGSWASVRMTSMGFTYAQISAQQERCKLAAQAKEKKTMEGTGDKGDDANKRPPVDNSKDSWATGRVHPCAGTVAALPPNGAN >Et_2B_022658.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29675226:29675549:1 gene:Et_2B_022658 transcript:Et_2B_022658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKEIAAKIDAATTNRGGGKAGLADRLGQEKGGHAKLACPLCRTPAPDIKSMQIHHEARHPKLPFEPEKLLNLHSSTPAAEATTSKPKPGIRGSLKK >Et_3A_024008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1602354:1608115:-1 gene:Et_3A_024008 transcript:Et_3A_024008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETQAAAAPEASTERKPRRLRGHKKGAVTCCIASSARPGVVASSGEDGCLCWFDLRTKDVELTIEATDKPISSICFKPANEDFVYVSAENEILSFDVRMGPQSKPLQTYNYNRDEINQIAISSKGFLAAADDSGDVKIINTIQKCLYKRLREAHTRSPELQNGGSSSSAGQCFNPAFVHSIAVSEESTLGGLHKVCAVARGDGVVDVVDLEYELAPAKSKGPSRAAGSTSSKGINLGDVSSNQSQVKRIHLDYAMGGHTAAVSCVAFSAFGEKGKFLVSGGNDASVKIWDWSKGFSSETNSNSELVLDIDVKKKVNWLCTTPTDSDNLIVCDTSKVVKKPHTTKLSLLEDKRSSSTLWWSKKREENGRHAPAASPNYSKTIATDLLPRIICSSQRKLTEIVTLNLVTFAGLLLLCSPRQRSSRSFCATFIRSEANGVASPRAVRKYSKEELIAFFRDIQTSIAESSPKTSRRTRNQSSDPFEEIVKRKQSYGEGDGGTSDVSEEQRKKTSLDDMTVSELRELAKARRMRGYSKLKKGELIERLKGVS >Et_9A_061301.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:9581803:9581823:1 gene:Et_9A_061301 transcript:Et_9A_061301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTQK >Et_2A_015065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28445169:28445877:1 gene:Et_2A_015065 transcript:Et_2A_015065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ERLRRGAGVGVVAVHRVLQPAHLVAVVARHAELQEPGAHQRQELVAGAEVQGLEPRLLVLDEHPHDAEALAAAAAAERPEQVIPHGLLVQDCSVGIDQRGVDDVVRGQPGLAHHHADADAAAAQVAAHAHGRAHPRRERQLRVRLPDGVVELPDRGAGLHPRGGRDAVDAHGAEPREVHHGELLLGAIVVPAAAHA >Et_2B_022312.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17712483:17713784:1 gene:Et_2B_022312 transcript:Et_2B_022312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSQWSSGELILAASHGQPIAAYDALAGHAVAELPAANTPRHGLAVVAGPGSTAAFVAASHVCPATGACSIRLLQWCSPMPARELPVPEPVAPLAAAPHGSHLLAGGTSGRVYALALPSGDVARAFRAHRIGAVSCLALSDDGSLLVSGGEDGTLAAFLLIDVLDVEAPRCAGAGANADLAVYRVAAHAAAVTCVACGRGGCHAVVATAAADGTCKVWRLADGGHLRTLALPCAALSVALDPTSSALYAGGSDGRVHVASLDSPATTTVASSTSHASDNDNTNAALVVVAMANGCRNLVSCSEDGEVRVWDLTHGSLLLLAHTFWLRSGAVSGALVVKRPISGEAARAAGGGGEGFRVGDDVAWTRAREVAKMEQMLRSSEEDRASSVALLEKNLDIHKRCLRLMLREVTALANGGSHDGVNGGDVRD >Et_1A_005383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:122191:125164:-1 gene:Et_1A_005383 transcript:Et_1A_005383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAGRPDEEEVRRRKNTDCIYFLASPLTCNKGSECDYRHSDTARMNPRDCWYWFNGNCANPKCSFRHPPLDNLLGAPATPRAAQQSAPQVPAPAQAHGSVPPSGTGTTKQGIPCYYFQKGMCAKGDKCAFLHLPQAPGNSALQPTQKVFTSALQANPQLKNSWTKPNSSAQQNTTSSIFDKSKVSAHDGSPLQKQNLTSRVDHSSRIYQNHSNSYVRSGSAKHYQPQPSVQDDLAENGMEVGEFVREPSANSGILASSADDDAEQSFKGNHNNYHRSSGEQHNGMRQIHGSHEPERSYRSSGETLLPEKRISHRESMPVTAGSSDLRQRLLKQRRISNPRSTQAPDRHDAYLEDRHSSRGDEHAAHDGLSRSRLHGRIKLPGETSFIPGSRSETGRDRGLRDRLSPPKHTDLRGKLHERLKPRSNEDIPDNPKCSVTKASIGEDAGSLNFAGPKSLAELKAKKVVGSLGEDTVKSTASSIGLAYRTPEIVVSKDSSEPVPFEGPKPLSVILKRKRETPSENVVDSGSIQEEDTIGVNGESESGLQILESDQIEVNMEANGEGEKEEEEDAFHPEDDVAYDDNLYPADENAAEDAEQDYEYEEADVNADEDNDYQDYEDDDDFEDDDDFARKVGVVIS >Et_9B_066098.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:3513929:3514303:-1 gene:Et_9B_066098 transcript:Et_9B_066098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKTVNEVTDMEVMTEEDEAAMRARFEDWMKEYGRSYKSEEEKARRYKIFKSFAKIADKATANARGGARFVTNHTADRTDEEFRCVYGVGIDWDEYLDEIKYFIAKKKARDAMDVTDVGGINK >Et_4A_035438.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24841086:24841379:1 gene:Et_4A_035438 transcript:Et_4A_035438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLDVQLGRTTKQTARLKRLLGLATTRLGVLRGHRQVRCAQARGDAEQLLRLGHRDRALLRAEQVVREQNALDAPSRNRSEAGRHRSEQQRGDFN >Et_1B_011292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:210381:211887:-1 gene:Et_1B_011292 transcript:Et_1B_011292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSNVEAFLEAATPRLRWRSAPMDCFESPSNVWQLEKKETVDYFSLEDLWEHYSESSAYGLAVPLRLVQPGGKATITTQHFVPYLSAIQIYTSRAKTLIPSRKNAIIDRAAEAWEARPIPGVAELAQDYPCLTSLKSAELSPSSWLSVAWYTPIDFEKCIPLLLYGPCLCFSRVCMIFLCITRYPIYQIPYHGNLKGTSACFLTYHSISSVFQDKILPQCGSDGSDSDSRTVAVSPFGLATYRMEGKLWREPPASTGSSSNSNSRRRLSDLYWAASSWLKQVGAHHPDFNFFTSHGGSAAIAR >Et_3A_024921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25342910:25345645:-1 gene:Et_3A_024921 transcript:Et_3A_024921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHHDEQPKKSVVIFAPSGLIGHLVSAVELGKLVAAHGLKVIVVLGGQADDHKTGGGGGVGDSFLKGVTAAHPELSVHRLPKVAPPRHVPAHDHVARIFELARASDSDLRDFLRATSPAALLLDLFCGSAVDVGAELGIPTYFFFTSCVAGLAHLLHHRVAHGETTRSVRELGGGLLHVPGLPPIPADHVPAALLDRDSLANRHFLALSEQMCNSQGVIVNSVRSLEPRAAAAIAAGLCTLPGRPAPPLHCIGPLINSEEAAGASRHECLAWLDGQPKASVVFLCFGSLGRFSAEQTRQVARGLEMSGQRFLWVVRRPAGDDDAQGPEPDLDALLPEGFLARTMGRGLVVKSWAPQREVLAHGAVGGFVTHCGWNSVLEAVVGGVPMLAWPMYAEQRMNKVFLVEEMKLAVAVEGYDKEMVHDDEVAAKVRWLMESDGGAELRRRTREAMRQAKEALSDGGESKVALLELVRKWKNGLRESVNGRNDELY >Et_6B_049442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:510500:512284:1 gene:Et_6B_049442 transcript:Et_6B_049442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGPSRSWRPAGLVLLCSSLLLNVLLLAHHFLPPSSWRPGDDGGGHQLSWALQAAVEAEAAAATDCSGHGRVYLDGVPGEDGRPACECNRCFVGPDCSRRTPNCTADAESADQMFMEPYWMRHAADSAVVVSGWHRMSYFATDDGAYQSAELERHIRMLHKAVGNAVVDDKHIVFGTGSMKLLNALVHALSSTPDGIDATTPASVVATAPYYPSYRTQTVMFNGRDYKWAGTTANASSSTRRKSNTSTSSFVEFVTSPNNPDFLLRKQVMPSNSKVIADHVYYWPHFTPIPSPADEDVMLFSASKLSGHAGSRFGWALIRDAAVAARVKSYLEESSMGDSRDTQLRVLRVLKVVLANLHGDKDDMFAFANGVMAARWRRLDAVLARGRGGVLSVKKKIPPRYCTYFKRVREPAPAFAWVKCEREQDHDCYEALLKAGIVTQSGVDSEDSARYTRVSLVKTEDDFDVLLERLTDFVTADEKQYSAPSSTSASA >Et_5A_041959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4621842:4623610:-1 gene:Et_5A_041959 transcript:Et_5A_041959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPLHSLRPSVPTTGHAAGRCSAAPLFGKRLPHIVAFPRARGGVASCSAVQKSSTTVSEKKDAAGGEKKDATAAAAKPAAAAKPKKAPAKPLPEMMEEEIIPPLKDALEAEENVSQVQLSFQNNTLEGSFVKEDVPYYFWAFFPTGDLTGPKGFSISSYSNEVSTIEPFLVDEKRVTAKFVVFWVYKRLAGQGILPVWKDEEGEEEQGAKE >Et_7A_051211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15681809:15687228:1 gene:Et_7A_051211 transcript:Et_7A_051211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRSLVASRPEVKAAAAGEDDGNASFVQTCLNGLNALSAASPDPPHGSLAAALPGLEQPLLHAHGTLPGRKEPGVHDHEAQRSPEDIDGSTFVRTCFNGLNGLSGVGLLSIPYALSQGGWLSLPLLPAVAAVCCYTGLLLQRCMAASPSVRGYPDIGALAFGRAGRLAASAFLYAELYLVAIGFLILEGDNLHKLFPGAGLSLGNGNVVVSGKPLFVVLVALVVLPTTWLRSLAVLAYVSASGVLASVVVVFCVLWTAVVDGVGFQGKGRMINVSGLPTALGLYTFCYCGHAIFPALCNSMKEKEKFSRVLVLCFTACTLNYGSMAILGYLMYGDDVKSQVTLNLPEGKISSKLAIYTTLINPFSKYALMVSPLAMAVEERLLAGGSKKRSVSILVRTLIVASTVVVALTVPFFGHLMALVGSLLSVMASMLLPCIFYLKIFGTAHCGKAEVALIAGIIVLGSLVAATGTYSSLKNILHEL >Et_3B_029686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27145321:27146743:1 gene:Et_3B_029686 transcript:Et_3B_029686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQALSDNSDCWASTRERLDEIGKKIKREPDAAGISALAASASTAPAENPVPRRLGLGGALNTVTPCAACKLLRRRCAQECPFAPYFSPHEPHKFAAVHKVFGASNVSKMLLEVPEAERADAASSLVYEANLRLRDPVYGCMGAISVLQQQVNSLEAELDAIRAEIFKHRYHQPGAGASTGAAGLIDAAAQGRASFDVAPGTPVHAGDVVSVVGAGQEASTVTGAPGMPASSAVYIAEAAEQPSSTTDHHYSSLNSSIYPFKSLFLFHEPFK >Et_5B_043164.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:13920904:13921152:1 gene:Et_5B_043164 transcript:Et_5B_043164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYFSLLDGAPKTYSKGKTLPPIAVNANSYGLIQLADYIADHFVWGSKQYINLYIASEDDVWVPIKTDEQLLEWFKLNLEK >Et_10A_000590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13321164:13327315:1 gene:Et_10A_000590 transcript:Et_10A_000590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLMLPLQSRLPHCGSRSLIRRSSYQNVVKVSDVEDILDISNAQNYVIYSVKVVFLNGPNNCSDSGASCGKLLSSSSHNCETCYRALIDVSR >Et_1B_010956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15961789:15963038:-1 gene:Et_1B_010956 transcript:Et_1B_010956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVLQTAVTATAHARWCSTVKTTVSLEGPALARHVPRAYRRRRRCAGRPHCQDGKAIRVSGKTSAPRETGAARVVSARPCMPATRGVPLRPQSSSKQQRHTHISTTPTPAGRDLRSTIDRHPVAKQRQEERVAHLPEPGSTMKVLVAVDDSDGSRHALAWVLDHLFPAAGSAEQQEMEQTRGPALVLVHAQEPPRHVVYPVGPGSAVYGASSMMESVRAAQAENARNLLDRAKRICHQRGVSAEAVVVEGEPREALCQAAADMGAGLLVVGSRGLGAIKRAFLGSVSDYCAHHASCPIMVVKPPRDDDGHGTANY >Et_6B_049911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17484969:17487404:-1 gene:Et_6B_049911 transcript:Et_6B_049911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVPRLLLLAAALILLAAAAPAAEATLHPVDYLALQAVRRALSDLPGSRFFSTWDFTADPCAAFAGVSCSGDGRVVSLALGDPRAGAPGLAGPFPSAALATLSALSSLSLVPGRVTGRLTPAVAALPALRFLALSGNLLSGDLPAAFAPELRTVDLSKNAFSGRIPPSLLRLRGLRTLVLSHNALAGAIPNSVAAPLVHLDLRSNRLSGGVPPLPSTLVYLSLAGNRLSGRVAPVLRRLPRLSFLDLGRNWFSGEVPGEVFAFRITYLQLRKNAFSGELRPAGRVPPGATVDLSHNALTGRVPPELSTAGAVYLNGNKFAGEVPREVAAAAEGGRMRVLFLQDNFLTGIGVGGVPASAAVCAHWNCVAPPPAVVAACPAKGGRGRRRPPAQCGGHRGRRRTN >Et_3B_031128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17125916:17126642:1 gene:Et_3B_031128 transcript:Et_3B_031128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGADLASLPSCLSAAEKDRMRRADDDPDGVVVLHSAAGLVLCCRGRGLARRPVTRQWVALPELPPLPSNYWCGILTVAGGGKSFQVVIMTFLLQDQMVFRSDTGRLWEARRPDQDKAVVYNSTTTAPGHAIQVINLPPRLVDSRHNRCVGEPAHGGGLGLRYAHADSSVFEVWESGTTIGFFDWEQRDQVSITRARN >Et_1A_009326.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:39033961:39036099:1 gene:Et_1A_009326 transcript:Et_1A_009326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRSAAAAVAVCALLLLSVPRPAASQQEGDVSDASAASFLNRFAAPASWSFPNPRLRAAYAALQAWKRTAIFSDPSNFTANWAGPNVCAYNGVFCAPLPGTTTGGGGYGHGHDGGDVVVVAGIDLNHADIAGYLPASLPLGLPDLALLHLNSNRFCGVVPRTFRRLHLLHELDLSNNRFVGGFPEAVLSLPSLRYLDLRFNDFEGSIPPALFDRPLDAIFLNSNRLRNPIPANLGNSPASVVVLAHNRLGGCIPPSIGRMADTLDEIVLIADELVGCVPPQVGLLKKVTVFDVSDNHLQGQIPAAVAGMVSVEELDFARNRFEGAVPAGVCGLASLKNLTYTDNFITSRPGCAKATADGAWNCIPGAPAQRPPSQCAAAAAHPFDCSKAQCQAAAYTPTPGGGHGGGGYPTPATGSPPKGSTTPSYHSPPKGGSTTPSYPSPSTTPSYPSPSTTPSYPSPPSSATTPSYHSPPQGPTTPSHPSPPQGSTTTPSYPSPPSSATTPSSHKPPQGSPATPSYPSPPTGSSTPSYPSPPSSSSTPSYHSPPQQGTPTPSYPSPHSGSSTPVTHAPPPPPTSADEPDVRYAPPPRSHGPRPSTPPSHGGSSSSPPSTGYEPPSSGGQPAASPPTEHPGYVLPPHAPGTPGSHPSGPGSHPPTTPGTPSSHCSPPSQGVNTGGAHGGHGHQSGGKLPFPPVYGVAYASPPPPVQPYN >Et_4A_034353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3229971:3235042:1 gene:Et_4A_034353 transcript:Et_4A_034353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVKSVPGGKMLTVLRVHLPSEIPIVGCEITPYVLLRRPDGGVLTDDVSEAAPVDGYFLRYKYRIQSDRRAAICSVHPTEQATLQCLGCLKSKIPVAKSYHCSPKCFSDAWQHHRVLHERAISALNENGTEEEELFRFGSAGSGMLSAALSGSASNLVQSSVVNNGPTPVYPIGIEKSSGETWFEVGCLRTYTATADDIGHVLRFECIVVDVETKGTVGSPTSIMTSRVIPAPTPTPRRLIPVNAADAMGHFDLDTRTSSFGTFTVLSYNILADTYATSDAYNYCPTWALSWAYRRQNLLREIIGYHADIICLQEVQNNHFEEFFAPELDKHGYQALYKKRTTEVYTGSSQAIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDAIIPAAQKKLALNRLIKDNIALIAVLEAKFNNHGTENPGKRQLLCVANTHINIHHDLKDVKLWQVHTLLKGLEKIAVSADIPMLVCGDFNSTPGSTSHGLLAMGKVDLLHPDLAVDPLGILRPPSKLTHQLPLVSAYSSFARMAGAGYDLDPQRKRMDPATNEPLFTNCTRDFTGTIDYIFYTADSLTVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCMPRNRR >Et_2B_020894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24615988:24625372:-1 gene:Et_2B_020894 transcript:Et_2B_020894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGLRAAISFLPRPGPRSGTPSPAARIIAVRGGRRRRGLMVVRAGGPPSTNVLILAFVLPLSLIAGTVFTAARVADDLDERFLREMEINQAILEENKASDEESGVQNDDREYDGGEEEAEDEQPAVEKKEAVFAGAAATRTRNRPIRKCDNTYGENRRYAVGTWLEGTVKPSTNMETPANRPPESGPEADALASNWAVSLLFFAAM >Et_3B_027558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27550735:27551630:-1 gene:Et_3B_027558 transcript:Et_3B_027558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAHEKQESETESVIKVAREPAIIINGVPGLPPDCTSDSQPEVKNNAESKVDPRFGEWLEGRKVRKLFGDTYYIGKVVKYDSESNWYSILYDDGDQEDLEWSEVEEVLLPLDITIPLKTLVMDKLQGTDPDSRLKVGRPRKVYATMDVSMTKTSTDVPSQGNDVTNNQTLMLGVENDQGQHAQACLQAGNQPRKRGRPRKDGSLSASNPPKKRGRPPKNNSASGNSESEGNTSGSLALVPVQDGESSRKQNSTLKHNTLTARAEKLKRENMRVQGHRL >Et_5B_043129.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:8665122:8666582:-1 gene:Et_5B_043129 transcript:Et_5B_043129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRHHDEHGTQNSVLCYQEITDTGQTRREEKELYIAGAGAPAPARLSTGREQRVLSSAGEGVSSGDDLKDLSCDLGLALTVVGDGEVLAELDGVVRGAAHGAHPGGELAGERLLEGTEELAVEVEREQRVEDLNRVLLELHDGGEGLRLNLDLLALDGELSLLGGEAEELVLGGVDADAVDVADLSLGGHGQQRLDDGVGADEGDELGVEQLHLVHLLGDEEGVDEVADGLRVLDGGHAADLQLPLQRDVGAALEVGVALLADADDGVAEAQLLELLDAGLRLLEHVVVEAAAEAALAGEHHQRHLLDGAAAGEGQVDVLRLDLLVHVVQHLHQGLREGAGRDDGLLRATDLGRRHQLHGLRDLLRVADRVDAAAELAEGAPDQQAAGARGGRAGPPAGGGAGERRGGPGGGEVGARHGCWSAATGITGGGRGGRRRKWKSGGWEGGKWVWMDWIWVER >Et_4A_031984.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:118663:118956:-1 gene:Et_4A_031984 transcript:Et_4A_031984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRILLETDSLNLKTALTSDAFDRASGGWFFREAMEYLALDFDVPAIQHSNRSCNTCAHELARLSLSGDPNQPSVWLDSLPEFVNAFLVRDRAKF >Et_5A_041499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23700780:23703248:1 gene:Et_5A_041499 transcript:Et_5A_041499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADSRRLPGGKDLISDLPDDILHHILVGLSSTAAAARTSVLSRRWRHIWASMPELYLACHDNVMPNSTPDAIDAALRGCSAPTLRRIEIQLCRENFDLQRLAPWLHFASQRLNHIGSLCCLFARERRRSKSLPTGYSSCSGYQSPAFSLHMHGRELERLVSVQCPRLRKLSVFGHLVAPCDVSITTESLKHLTYHVSGTTKLDISTPELTKISVDMAKEAYIAAPKLEKLIWKDDCYDPSRHKFSVTGRHLRRLWVNQSSTLLMSKFDIVDELRLSLFIPRGVMGYMNFQKDMDKLPTCQTLWLSVGRHHRITPSIFYLLERCSHIRKLKLQLDSTPPSEIMNPCRASSKCTCHLQGNNTVDNITFDSLKEIEILSFNGSVKHEEFVLLLLSRCNAEILKRVDITAWFYRVLSSNKRVCRRIRCRCSKNTKVEFHVYEQGERIPFHV >Et_9B_063657.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:1744868:1747011:-1 gene:Et_9B_063657 transcript:Et_9B_063657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLQSQRGESFFMTDSAPMETWALSVRLKSLSSLIFRVLPKLLGRPPSSLKTVREKELVHSLPMGNDMHTLPELPQEILMAIFATFEIPDLVRAGSVCSSWRSAYTTLRELGQYKLSQTPCLLYTSESAGENVAFLYSLVEKRAYKLALPEPPIRSRWLIGSSDGWLVTVDDRSEMHLVNPLTGEQVSLPSVITMEHVNPVFNKYGVLDKYEYLWHTATKARRKPSIFALSELREFLQVKAFVFPETRKGSSYIVVLIHNPVCQLSFARVGDDKWTWLPPHTNYEDCIYRDGLLYAVTKVREIHVFDLSGSVITVKVIMEMDRYAEVENMYIVQAPWGDLLNVWRGCDMFRDTDSATLVQNTDEIKIYRVDTMEKKLVEVKRLHEHVLFLGHNQSLCVSAEQYPPLKANHVYFTDNSEVWIKGFKNNCRDIGVFNLENNSTEELVSPQLWSNWPVPVWITPSLAKMNFE >Et_1B_012148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29453163:29456070:1 gene:Et_1B_012148 transcript:Et_1B_012148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRGGADGGVSRRWAVLLCVGSFCLGLLFTNRMWTLPEASEIARPNEKVEEGNMPVATECGPKKVQEQQIYKDILHAQDTHHDEQTLDKTIASLETELSAARSLQESLLNGSPVAEEFKISESIGRRKYRMVIGINTAFSSRKRRDSIRYTWMPQGEKRKKLEEEKGIIIRFVIGHSAISGGIVDRAIEAEDRKHQDFMRIDHVEGYLALSGKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGQILSHHALKPRVYIGCMKSGPVLSEKGVRYYEPEHWKFGESGNKYFRHATGQLYAISKDLATYISINKHILHKYINEDVSLGSWFIGLDVEHIDDKRLCCGTPPDCEWKAQAGNICAASFDWRCSGICNSEGRIWEVHNKCAEGEKALWNATF >Et_10B_003581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3367223:3371733:-1 gene:Et_10B_003581 transcript:Et_10B_003581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLEENFGGVKAKNSSEEALRRWRRLCSVVKNPKRRFRFTANLDKRGEAQAIKHANHEKLRVAVLVSKAALQFLHGLSLRSEYVVPEEVKAAGFQICADELGSIVEGHDSKKLITHGGVDGIAAKLATSRTDGLSTAEDNIKQRQDIYGVNKFTESEVRSFWVFVWEALQDTTLIILAVCAFVSLVVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKIQVQVTRDGFRQRISIYDLLPGDVVHLAIGDQVPADGLFISGFSLLINESSLTGESEPVAVNEDNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFIVLSQGLFSKKYHDGLLLSWSGDDALELLEHFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRNLAACETMGSATTICSDKTGTLTTNHMTVVKACICGKIKEVNGPQNASKLCSELPDIVVKTLLESVFNNTGGEVVINQDGKHQILGTPTEAALLEFALALGGDFKAKRGETKIVKVEPFNSTKKRMSVILELPGGGFRAHCKGASEIVLAACDKFIDETGSVVPLDKTTSEKLNGIIDSFAGEALRTLCLAYREMEDGFPATEQIPLQGYTCIGIVGIKDPVRPGVRESVATCRSAGIMVRMVTGDNINTAKAIARECGILTDDGVAIEGPEFREKTLEELLELVPKIQVMARSSPLDKHTLVKHLRTTFNDVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALLVNFSSACFTGSAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKREPVGRTGKFITNVMWRNIMGQSFYQFFVMWYLQTQGKSLFGLEGSNTDIVLNTIIFNSFVFCQVFNEISSREMERVNVIKGILKNYVFMAVLTSTVIFQFIMVQFLGEFANTTPLTRFQWLASVLLGLAGMPIAAAVKLIPVGSS >Et_4B_038358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28413208:28414891:-1 gene:Et_4B_038358 transcript:Et_4B_038358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LFFQHVSGSSRRAGGRPATRSLSRSRWCRGVRARRLRARERDDQRRGGGHLLGPSSTHQHHERAVRARVLPGAGALQGQHLLLLHRRAAALLLHDVRGVARAPNAHGHGLAFALAPTPTVPGALAGKNLGLFNTSDDLGKARSQVVAVELDTAMDEEFGDVDDNHVGVDVNGLRLIGATMRLEVTEEEEDWEVEYGPHRIRYRDLHAATKGFRDVIGVGGFGRVYRGVLQGSGGAEVAVKRISHDSKQGLREFVSEIASMSRLRHRNLVQLLGYCRRRGELVLVYDYMANGSLDSHLFDVAARQKPALSWDARARRVRAAVPARGVVVHRDVKASNVLLDAGMNARLGDFGLARLHDHGAKPQTTRVVGTLGYLAPEMSKTGKATTCTDVFAFGAFLLEVACGRRPMELSDSADSPGLVDFVLERWKDGRITDARDPRMGKCDDDDLELVLKLGLLCSHPDPRQRPTMRQVVQTLEGNAPEGLDNNGRLFFAYNESFDEFATLFPLTSDTTTVVTTQPSSSHSSNDQQQLIISG >Et_7B_055294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9029133:9031189:-1 gene:Et_7B_055294 transcript:Et_7B_055294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPSSSSVRLVLLCLLGFCFAVSQSIDSCRESKLAVSDLVPFDTASFRCISAWKDEDFILRYKNTAPSEWSFIVSAPDKGSYVAVGFSGKGLMVGSSAVVGWAPHGKGTVKQYYLGGKSQDEVSPNKGLLKLVKNKAVVVSHSDRLYLAFQISTDYPQPHLIYAVGPENDLPSSDGQLPVHRNMYSQRFNYTSGIAYKTAGALDGGFPRQRQHGLLSMMGWGVLLPIGMITARYFRQLDPWWFYGHMAIQATAYVIGLAAVVLGFRINSAGIKNVDIHKALGIGILVMSTLQVMAILARPDKTSKVRRFWNWYHHNIGRAAILLAIGNVFLGLSIAQEVNAYIVSYGVFAAVWVLAVAAFELKRCYADDD >Et_1A_005521.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10989066:10989424:-1 gene:Et_1A_005521 transcript:Et_1A_005521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWATATGDPGGSDGDGGGAAGRGGDVDRSTGRRLPQARLAITAVGPRVPDVRCHGGFWVRKGPAARVDHVSMNHDGSLVLCAGKQDGDVHVTASIHPSRMDAFRAHINGRPRPKF >Et_9A_063273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18524177:18530093:1 gene:Et_9A_063273 transcript:Et_9A_063273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLASLARALSRSARSSRPRQASLPSPQPLTYRTHPPRSGGGKARGSRRAPVDARAAAAAAGPRRGWPRDWIRARIPDGRIGGGAGQARRGQGRGLEGPPRESAVPAVLLRWVQEEYVYYENYYPKGKKEVPKGDGSKKSESKQESDTEGQWNFQETFMKQLQNFLAPLLILGLMMSSMASGTAEQKEISFQEFKNKLLEPGLVDRIVVSNKSVAKVYVRSTAQPKSQGQNSDTHISTSEVPGRAATSRCKYYFNIGSVDSFEEKLEEAQEALGIDPHDYVPVTYVAEVNWFQELMRFAPTAFLVGLIYFMGRRMQSGFNIGGGPGKGRGGIFNIGKATVTKMDKNSKNKVYFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDIKGRDQIFRIYLKKLKLDNEPSFYSQRLAALTPGFAGADIANVCNEAALIAARNEKTQITMQHFESAIDRIIGGLEKKNRVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPSENLLMTKEQLFDMTCMTLGGRAAEEVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRDDGFEMSKPYSNQTASIIDGEVREWVGKAYKKTVELITEHKEHVAQIAELLLEKEVLHQEDLTRVLGERPFKSAEPNNYDVFKQGFQDEDNKSQAPAKDAEVPDDDATPSLGEVVPTYGEGTYRISTPGHMTDGYGCRLPRLEVSRRAGRPVEHHLPGLHKDVSAVRQLAREPVEYLVERVPVLRGLREQHGALHGRAREQPLLPQHHLGRDGGEDGVEPGHVLLGDEHADVLPGYGVREGQHDVVGDDHRDAAEHDGLHQARAPRRPAQRAQAEHRALQVLVVGRRVVRGDVEQRVVLEVLPHRRARAPAYSGPAKKHWR >Et_6B_048339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13558514:13558873:1 gene:Et_6B_048339 transcript:Et_6B_048339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPRWHTAAVLLLSLLFLAVVVSHAAKETATTRSQAELHDQKHHQVMTKRARLSAGYEEATSLTAVDERCGVAGGGGEGEDEECLMRRTLVAHTDYIYTQGGDHN >Et_4A_032664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12300896:12301934:-1 gene:Et_4A_032664 transcript:Et_4A_032664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNCNVVVALLLAVILGGASHAVSSARNGAMMIRQPSSSVPMFMEAPAFRNGAECGGGGERVDIAMTLDGNYLRGTMAAVLSILQHTACPESVAFHFLTAADADGRLAASLRATFPFLDLRVYRFDPSRVRGRISRSWTSRSTTRACTSPTRCPATCYLDSDVVVVDDIRTLASVDLGGRVVAAPEYCHANFSNYFTPAFWADPSLNRTFRGRRPCYFNTGVMVMDVGKWRAGGYTRRVEEWMAVQKRRRIYHLGSLPPFLLVLAGDIRAVDHRWNQHGLGGDNVEGRCRGLHPGPISLLHWSGKGKPWLRLDARRPCAVDYLWAPYDLYRHSSRVIEEW >Et_4A_034260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31198291:31199178:-1 gene:Et_4A_034260 transcript:Et_4A_034260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LELRRACRSSCCIDMVLGFVAGDRLDGKVAIVTGGASGIGAAAARLFASSGATVVEATVAAVVAAHGRLDVMLSNAGVLLPTGSVMDMDLRELDRVMAVNFRGAAACVKHAARAMVSRAQGAGQRGGAIGGFGPASYTASKHALLGLVRAAAGELGPHGVRVNCVSPGGVATPLSCGFMGVGPQELEAMTVPFNVLRGKVLRAEDVAEAALFLASDQAAFVSGHNLVVDGATTAVNPAVLQSTIGR >Et_3B_031180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:263309:264268:1 gene:Et_3B_031180 transcript:Et_3B_031180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDANAAALVGNPEPMDQSEDNSMPSAQQQEEAIKKKFGGLMPKKPPLISKDHERAYFDSADWALGKQGVAKPKGPLEALRPKLQPTRQQQQQRSRRSIYTAAENEDGEGGGAEDMNMN >Et_9B_065385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4431505:4437547:-1 gene:Et_9B_065385 transcript:Et_9B_065385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLELGCSKGQKRWRPNDLEPKPKAELKPAELGGVGDGSLASTTAGPQLEPSNRLASNDPTLRRERGCPNAEALSIENHLAGAPWLVHVSSTSPDGRKILGCSGMIIRWDLEKRNAWILTSYRIAYCTAYEKMYDPMPKFAVHVPKAVPLPNNGMFDGYMIFFSERYQLAVLKIDVETMFEMEYPRYQGNPCYGDKVLVVGRDEQLALKFCRGTITCLEEDHFLFLNCKLCPCGIGGLVINDAWDVVGITCDDDQDPTVLGGKIARKCVEMWTNFGLIARPVLGMYLRSMFLLDIAHHERLRCKYGINNGFIVDEVIVQSTAEKHGVRRGNVITSFHGMSCCLPEFEDFLLSIGMASLDGTGQVKDFEIHKRTDVASAMGVGTIGKLAKLVRCPHHKSGPTNPPPPPPPPPPYYGGGTTNQTYITLTLGLPNNTNELSDSIGQKTPSHSPNGFGFYQLFSTDMKE >Et_2B_020087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16709047:16711782:-1 gene:Et_2B_020087 transcript:Et_2B_020087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELVLDTAIRDWVLVPLSVVMVLIGVLRYFVSKLMRSPVPSSPPDPKHVKEGQVVIRARNLRSNSQYIPAKAFKARKVYYTNEENGLLHVPKEDAQKAQAAMFSDPNMAMDMMKKNLSMIVPQTLTFAWVNFFFSGFVAAKIPFPLTLRFRGMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENATDDAQKMMQMGGGFGVNPTMNLGAEKDSLDIIQHDWALPKMERHAEDTLRKLLKK >Et_9B_065703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9331955:9336523:1 gene:Et_9B_065703 transcript:Et_9B_065703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPFCLWDRHKAPPTPENHVAVHGTVVVSCHFGLSVPGKTTTLRLFSGTQIDHNTGKGRLSAEFPLRGGKKTKHGAGKTSTMTYQVTFFVDPDFGTPGAVVVKNGLKNDQFFLRHVQLQLAEDRSLHFECNSWVYPYRKTNSDRVFFVNTSYLPDKTPEALRLLRDEELRSLRGNGRGERKDWERVYDYDIYNDLGNPDKEGHARPPLGGNAILPYPRRCRTGRPLFKSDGVTETRKHMINLDFYIPPDERFSPTKLAEVLTLAVQAVTHFVVPETKALLHGDAANSFRSVEQLRRDLYGKAVMPEKPQQPPAADGRVMEKLRESVPSQKTYRQVNKMVKETPVRFPVPQVIEQDQEAWRTDEEFAREMLAGLNPVVIKRLEVFPPVSSGGKTSTITTRHIHGRLEGLTVEKALVQNRLYILDHHDYLMPFLKRINTLGVCIYASRTLLFLKDDGTLKPLVIELSLPGDGVADDDISRTFLPATQGTDGHLWQLAKAHVSVNDSGYHQLISHWLFTHATVEPFIIATKRQLSAMHPIHKLLEPHLKDNMQINTLARSILLSAGGILERTMYPGKYAMEMSSAIYSQWRFTEQSLPNELIKRGMAYRDGDGGLSLHVADYPYAVDGLDVWRAIEGWVRGYCAHFYHSDAAVAGDRELQAWWDDVRHVGHGDAAACWLELDTITHLVDSLSTLIWVASALHAAVNFGQYGYAGFMPNRPTRCRRFVPLPGSPEMAQMEADPEKFFMDTVPDRFTTTLGIALIEVLSNHTSDELYLGQRASSAWTDDGEVLLLLDRFREELRRVEKKVNERNADPRLKNRRGPAKVPYTLLFPDVGNVGGKEKGVTGKGIPNSVSI >Et_7A_052262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6212777:6218236:-1 gene:Et_7A_052262 transcript:Et_7A_052262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHGWQLPAHTLQVRSARLLLSSLFFSVYVASARKSDVAADLIAAEVAIFRRSSVSSAAFENVIAITVFFLLCIAFYAFFSPFLGKDLYQYIAVGVYSFLALSVLVLYIRCTAIDAADPGILISMNGALIYRSEANVDTQDGAGKSELRNGEDIQKHKSCLGGRCFCCAIFTIEDCRKDVEANEDEDYGEEALFCTLCNAEVRKNSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYITFLCLMAMSLAWLAVECGVGIAVFVRCFTDKTAIEDQIGEKLGYGLSRAPFATIVALATALSMLASVPLGELLFFHMILIRKGITTYEYVVAMRAQSEPPGPSVNDDPQSLPSSPMSSAPTAFSGSSFARHYKGAWCTPPRIFIDQDEIIPHLQPGRVPSTVDPDTTDPTERAKNHAKRPVRISAWKLAKLDSNEAMKAAAKARASSSVLKPINARDQYEADRCSSDNLSSRSSIVSVDTGNHRYARSGGNSQFDAPPNAERSRSSVYWDPEAGRFVSAQANQGFSSRLGRPDLLYTGQSIFFGGPLIADPAARSFRDPGGSSQRPSGPRPHQLPVFVPSDPKKDQLSRLP >Et_3B_030955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9382021:9385120:1 gene:Et_3B_030955 transcript:Et_3B_030955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDYLPAIAMVLVQLGFAGMNVVSKLALDAGMSPYVLTSYRNLIAAVFIAPLALAFERRSGVTMITKKVLLQIFLATLNQVLYFVGLKTTTPTVACALSNTLPAMTFIMAAALKMEAWRPNTPAGQAKVVGTIVCVGGSMIMPFYKGPLLRVWASPIHWRFAEHTSAAPAAAAGGHAAVLGDVLIIGSCVAWAVWFIIQSKMSSEGFSAPYTSTTIMCLMAGVQCGGISAAMDRSIAVWKLGFDIRLYSVLYIGIVGSGIAFALMSWCIQVRGPLYVSMFSPLLLVVVAIVGWGILGEKIHVGSAIGSALIVAGLYMVLWGKGREEMERPAFDDDEEAAAGAGLNEKQATANTASNDRDAVISLQVFGAATTKQDATRNGNN >Et_7B_054023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14376153:14377194:1 gene:Et_7B_054023 transcript:Et_7B_054023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKYKAVVSEETCGERIQPLLGKPYFTCIMCKSHVRPPFQVVVPKSLAPFLPSSTVPATLTWRGRTWDMRFTGGRQIQRLEAGWRGFALDNGLRLGDGCVFELIDGAAEGGTVKFRVQVLHGDIPAAIREKAGGYTSTSPIEID >Et_9A_062996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:788783:792135:1 gene:Et_9A_062996 transcript:Et_9A_062996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSELSAVKTSSNGVWQGDDPLHFAFPLLILQALLILVLSRVLAFAFRPLRQPKVIAEIVAGILLGPSALGRNKSYLRALFPEWSMPVLESVASLGLLFFLFLVGLELDLRSVRRSGKRAFAIAAAGISLPFACGVGVAFVLRSAIPGADQAGYAPFLVFMGVALSITAFPVMARILAELKLLTTPIGETALAAAAFNDVAAWVLLALAVAISGGDQGGKSPVVSLWVLLCGAAFVALWMVAVKPAMSWVARRADSAGNGGEVWVAATLAGVLASGFATDMIGIHAIFGAFVFGLTVPKDGDFAGRVTERVEDLVSELLLPLYFASSGLKTDVATIRGGEAWAMLALVIATACAGKIAGTFAVAMACGMSARESIVLGVVMNTKGLVELIVLNIGRERKVLNEEIFAILVLMALVTTFITTPTVMAIYKPARNAGRLHHRKLHGPAPSAPSSPSSTATANVKELRVLACIHGGRDVPAVINLVETIRGHTAPRRVVKLYILRMVELTERTSSILMARAARRNGLPFLRPRHRGGLDQVDVAFDTYAQLGHVTVRAMTAVSALHTMHDDVAAVAEDKRVSLVVLPFHKRSQYGHGGVEVDDLGPEWRAVNRRILREAPCSVAVLVDRGFGGGEQVSSDQVAHGVCVVFFGGPDDREALELAGRMAEHPGVQLTVVRFLDAADQTKAEVTLRPSSTKSADRNYTFSASAAVVDARGEKELDDAAVAEFRHRMVSMQVRFEERAVASVVDEVVGIGKSGDYGLLVVGKGRLPSAMVAQLAVRPAEHPELGPVGDALASSGHGVTASVLVVQQHDMTNADDVPVSVVVDGLIAHHHDAGDSAAHKEMVEP >Et_3B_027738.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16871722:16872198:-1 gene:Et_3B_027738 transcript:Et_3B_027738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPENGHLPASASLPDHSSASDSDGEPDADYYRPISNAAADSDSESDADPDAAVPHHRLHETRNGIAALDLASDEEEEEDQDEDQEEEDLRTGEAAARAFSEDEQRRRAPLPAGAAARIVDAMRGVEFPGAPPPWAGSVPEDQWLDRLRSLRTGRPN >Et_7A_052321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6924595:6928636:-1 gene:Et_7A_052321 transcript:Et_7A_052321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRKSSIKSYGSNNSSRSGSFDFDQDKDRDRDRLLNDADDSRPREVVVKIDAEPHVPAVDLHVSGGPGSSYSSTANTPRAGAAPSTSVLQSGSGAGSSGSGSPRAGHDVSRDGESFSFKNRPPQSPTSPALSIGGDSTDDPPSRLIGNFLRKQAAAGGEMSLDPADFEMEELRRPPRAPASVSASRELRVSFQEAQKGRFASTSSSASSSSYDGGGGNRNHHQSSAADGDTGEVLRCTSTSTSSLLARSKTRSRLMDPPPPSNAPGGEGDRKSFVGKGQPPPKSGQLRSGLIGKSGLIGKSGPVGKSGGGGFDDEDDDPFVDEGLTSDFKRDTMDCLLIMEWVSLVIIVGAIVCSITIHSLARKKLSGLHLWKWELLVFVLICGRLVSGWVIRIAVFFVERNFLLRKKVLYFVYGVRRAVRNVLWLGLALVSWHLLFDKDAKRDSHTLVLPYVTKVLCCLLVATVIRLVKTLLLKVLASSFHVSTYFDRIQDALFNQYVIETLSGPPLVDESRMLAEVQRLQSAGAAMPSELQGTAMPSKSSGSGPVPKSGRLTTVGSRRGGGAGPSKQLQRQKTERHLDDGISIDQLHRLSQKNISAWSMKRLMKIVRYGALTTMDEQIKHATGEEDELATQIHSEYEAKVAAKRIFQNVAKPGSKHLYLSDLMRFMRQEEALKAMDLFEGAQENNRVSKRSLKNWVVNAFRERKALALTLNDTKTAVNKLHQMANVVVGLIVFALWLLILGIATTRFFVFLSSQLLVAVFMFGNTLRTIFEAIVFLFVMHPFDVGDRCEVDGMQVVVEEMNIMTTIFLRYDNLKVYYPNSQLAALPIMNYYRSPDMGDAVDFSVHVATPVEKLALMKERLLHYLDNKKEHWYPGSMVVLRDVDDTNKLKISIWCRHTINFHDMGMRFERRELLLQEMIKILKDLEIEYRMLPLDVNIRNAPTIQSSRMPSTWLTTYIVRQLNAKLPTLLHNDIEWMFCCHPLFLGRVERSCLARMRTCSLTSTFMNRMATLACFRSYEPLANACSFTRLLRARNACLA >Et_7B_055146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7689691:7690559:-1 gene:Et_7B_055146 transcript:Et_7B_055146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKGLGGGEGSAPAPHVLAVDDSSVDRAVIAAILRSSKFRVTAVDSGKRALELLGSEPNVSMIITDYWMPEMTGYELLKKVKESSRLKEIPVVIMSSENVPTRINRCLEEGAEDFLLKPVRPSDVSRLCSRVLR >Et_1A_005349.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:805243:805518:1 gene:Et_1A_005349 transcript:Et_1A_005349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYLISCQLRDQTDWHSCGINTLNTRRARRAQSYLSLSLHALQTAVRSIIKSTSLSRYPNGTVFICVVDWSFSTWSITRKTILYKIGPFT >Et_3A_025695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3189431:3193578:1 gene:Et_3A_025695 transcript:Et_3A_025695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHRQNNRGANAMAKEEAEDRLSALPDDLLLCILRDVPLKYAVRTSVLSRRWPRLWLRALATSPVLDFSDRDFARRQPPAQAVATVRRCLRRHAKRGAPLDAFRVAFRSPAGGFRWDVVSWVAAALARGAKEVAVDLTPTRWRKAVDDNWGLMNVDDSAFLELPANLFKSPNSLARLALVRCSLRAIPFGAPGLTGLRSLSLSHADVSGEAVRDILLKTCPSLEFLSLRSCNDLFHARIFGDKLRGLELVRCLTTLDAWIITPALESFVFHGDVTYSGPGGDSFLLYCRVTPALREAYLSLIGFVYADPEYYDSHCFAYYRMLISVAHATILTICSVGLQVTEFLLDLQLSGGKASCAMPVLSGEDEDAAYIASKSDHLVLDHLKFIKVVNFRGTRCEVRLLEILVSRAPALEQLVLVSVEGQGVPSDGQMKIVQDRVMAMRTASPVFRVVVCRPSEDGSPNPTHTRFYHEE >Et_6B_049488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5566675:5571904:1 gene:Et_6B_049488 transcript:Et_6B_049488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGRPPKRARVTAEPRPLDMRAFPAGGDGPAAGGGGAFRDRVRAFLARCAVPADGAWRVGLRVGEEGGKAATVAMEVVEEDVALAGAARVYCEHCTVAGWSRHPVCGKRYHFIIRNEYGMQDFKTCRHCGLMVQLFETGCPSCKHGISYDDPEDWDYMQLDNPRHLLHAIVHENGFGHLVRINGREGGSSLLTGFEIMDFWDRLCIYLRVRKVSLMDVSKKYGTDYRVLHAVANGCSWYSQWEFKLSRGSFGITPEEYSKAVDSLSSVPLSHFFQHSRSPRTKLQDTIAFYQSLSKRPLTTIRELFLYVLELANSKSVHNHFGSVHKKEHSHAHVLQETWSDDEIKRAMDTALKVLRAVGTTRWVALRTLKAAISHSVGSQQLVDYCLKTLSSRSTDGVVIAVRCNSETNTIEYRLTDEAIPMQCTCLPAWDHLVRDVKFLFDVLLYPHTMHPYKPENVYSHAKRCAMILLDCKQFKKHYDLEEDFLPANPSLLHIWCQVELLDQVGDPPYIPPELLTLSQTATVADLKVEAARTFRDIYLMLQTFVANQLLDCGSASESTQVKLLFGASGTVRVQGRCAGGERRVAIYRMERGVDKWTVDCLCGAKDDDGERMLSCDSCHVWQHTRCAGISDFDQVPKRYVCKTCKLLHKPESSQPRVTFSVGPNKRCKTDTGAFSHVGGGFLRPHIRKGTSNPNPVRYHVPFSWRSQYYDSACVDVQ >Et_4B_039806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3148525:3154109:1 gene:Et_4B_039806 transcript:Et_4B_039806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSYCTLQGNRDRIGWRAGSKIDQCTMLLQAEPTVDVHDLRPHSLEAKALAFPFCRTACSWHD >Et_4A_035274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16519480:16523390:1 gene:Et_4A_035274 transcript:Et_4A_035274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQASRFTKICVFCGSSSGKKTSYRDAAVELAKELVSRDIDLVYGGGSIGLMGLVSQAVYHGGRHVIGVIPKTLMTNEQITGETVGEVMPVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHRKPVGLLNVDGYYNSLLTFIDQAVEEGFISPSARRIIISAPTAQELMDKLEGYVPYYDRVASGLKWETRIIYDAAEDSGSSVSA >Et_2A_015438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12824522:12828374:-1 gene:Et_2A_015438 transcript:Et_2A_015438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAVSQQPVVASIDGNGAAFAMARAARMAVPALLLVAIALTHAPGVSAMDFTERDMASKESLWALYERWCAHYSVARDMGEKARRFEVFTNNVRFIHEFNNKGDDAPYKLRLNQFGDKANDDEFSACYKKHSRMSKGGKHQGSRFRHGAIAVNDLPKEVDWRMRRNGGRPGCVTDIKYQTRQCGSCWAFATTAAMEGLSSIVTDHLTTLSAQQLIDCNSENDGCGGGDAAAAFDYIKEAGGLAREADYPYTARQGTCKKYPSAAFAIGGYEEVPASDEVAMMKAVSQQPVVASIDGSGAAFGLYGGGMFRGPCGTNTTHQVAVIGYGKDYDSGTGVDYWLIKNSWGASWGEHRFMRLSREVTADGGGMCGILIEPLYPVKKVN >Et_7B_054688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3493537:3496731:-1 gene:Et_7B_054688 transcript:Et_7B_054688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVGARRWPGSRRRLVSARGMMEDLQDCNSKSMVAVPGSVVLHLFRMFDQQDQHSWQKYILAYFFLVRNEYFSSDSKKYSDVNEQHSCDISELGVYFSFASDWDSEEGELLKQKPALSTQSGGDSSGDRSNDCFFPGLHDDLAQDCLAWTSRSDYPSLSCVNKRFNLLINSGYLYKLRRKYGIIEHWVYLACSLMPWEAFDPSRKRWMRLPRMPCDECFSCADKESLAVGTQLLVFGREYTGLAIWLYDLLTRSWSRCTPMNLPRCLFASGSSGEIAIVAGGCDKNGQVLKSAELYNSETGRWETLPDMNLARRLSSGFFMDGKFYVIGGVSSHRDSLTCGEEYNLETRTWRRILAMYPGGTSASQSPPLVAVVNNQLYAADQLTNVVKKYDKANNIWNIVKPLPVRADSSNGWGLAFKACGDRLLVVGGHRGPRGEVILLHSWCPEGGDSGADWEVLSVKERAGYRVLAISKSVACPDLSVNEFGQGQFVNVAYFADGFVPPAEHPPEWLAVLLACDRR >Et_2A_017165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31591199:31594910:1 gene:Et_2A_017165 transcript:Et_2A_017165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAESNREKHQCGTHLTSIKTSEKESILLQIKDRDEDGRHHHHHGSAAAAAAALCSPSSSSTSGRTVPARHHYHSPKTLAAQTPAFNSMAATAAAAGTASSGLISPRRRAASFRVAASRFRSPRGVLGSEQLRAVDGKRMSGGEPRGAVWTPRAPAPGPRLAALPPEARDSRMKIFSGTANRPLSQEIASYLGVDLGKIHIKRFADGEIYVQLQESVRGCDVFLVQPTCSPVNENLMELFIMIDACRRASARSITVVIPYFGYARADRKAQGREAITAKLAANLLTEAGSDRVIVCDIHSTQALGYFDIPVDHIHGQPVILDYLASKTLSKDLVVVSPDVGGVVRARAFAKKLSDAPLAIVDKRRQGHNMSEVMHLIGDVKGKVAIMVDDMIDTAGTITSAAALLKQEGAESVYACSTHAVFSPPAIERLSGGIFEEVIVTNSILLPDDKLFPQLTVLSMANLVAETIWHVHRNGSVSSIFQ >Et_1B_011226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1930328:1934755:-1 gene:Et_1B_011226 transcript:Et_1B_011226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDGGAEEVAGLWAMAADLERQFAGYKQRLAERNGRSARDEEEPGGDGSGDVRGRMYEAYVRRRDERLRQGWRKRMERKEAEVKAFWAQLELSGRAGGNGGGERAPAGGGGSTTTEAGTAMAGDEERKKTEHNDDDRKRSSDAALAPRRISGKKHARTRSFSSSTNTGRTSPDAERRRAVSQEPPPSEPQAAGDASKEHPARPTGGAATTAKATSLRRKSAVKGHGFAKQTGPKLPRSLPRRPSSGGLEDLGREVVAPNADGAATTSLGSCSSEHALHSQGPQASPPMQFTGDGTGVANAQAASPDSERSEVVDDAVPDGEPEVNKAEVAKRGDKEVETSRDDKLGNPNGEITSDSDVESSYVYVNKDDVVEKQATSVPESLPPPDAVPEYRATTSEQNAETTTEPPVDATAAEIATTLNTREAPARDSSDDSSFSGRLGRSAPNSAAPSCSSRDHSIERLLEADAVLLRKKREARAEKSALVKTPGSAGSRVSSTGRSPNSKETPRGFKRFLSFGKKNRTREVTVIDCTSPSVSSVADDDSGGWQSAGSIKPRMGSSDAASDDTDHGHTTPPRVCSLQSLVAASPAQSELAEIVPQLIGLFSHFDRSTADGADSRQECGRSIDPPADTGPAIRT >Et_6A_046535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16746114:16751467:1 gene:Et_6A_046535 transcript:Et_6A_046535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLEPVFSYCEDVFCNAWFTFLHEGRAKVPYYFGDLDGPAEIVIQFEKETLLKFTRSLSDLLGVLASKSKDSGLSLPPLHPKNCRKADFLDLKPVSCSSLIGYLLQHNCFGKLNIYLFGCFVDYQAAEKAIPFCYRLIDLAIASDYEWLNLFFREEILPTVILLLDDFPCAISELSSSLNSTTKEDLRRDVTKLCQRIYEVTVGEGEVTEALPVLFKEWLAKKLNDLHIRVNCATPEHFPKDIEWKWEFNEEFERYLPSYMYMLKEVEEMDDCLKDNLLDTQILLKKLKPEFKSRYAINSYVDPCLRTMTCMLQRKMPTVFYRKRTEWITQLLMQLISLKPYIKLPDSKENVLSRLEEICEPQFEIYQCNPAWAVDIFRRSILFYWEPQFHPLIREPLEPHPDDFLEHLRPYAYMHFERKKEEYGLKDICSDPFAPDEKTTQPGSNRNPYRNRKFFFFLSSPIPNPQSPDKP >Et_1B_013893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22684760:22686572:-1 gene:Et_1B_013893 transcript:Et_1B_013893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMDKVNALGERLKISGAEVSRKMSVGVSNMSFKMKEFFQGQNMADKIVDEATLETMDAPDWATNLEICDMVNTERVNSVEVIRAIKRRIMMNNPRVQYLSLVLLETIVKNCEKAFSEIAAERVLDEMVRLVDDPQTIVNNRNKALVLIEAWGESGDDLRYLPVYEETYKSLRSRGIRFPGRDEESLAPIFAPPRSVPPAESYSEAAQEGYQEIPEEGFAPVRTVPAVQVNEAFEVARNSVELLSTVLSSSPQKEVLQDDLTTTLVQQCQQCQRTIQRIVETAGDDEGQLFEALSIHEELQKVLSKYEELKEPVHVEPEPQPAMIPVVVEPEESPSSLSNTGTHARKPAGSGDRSSGDDLLQDLDDMIFGKKGGTSSQQDRTPRKDQKDDFISF >Et_7B_054713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3812213:3815246:1 gene:Et_7B_054713 transcript:Et_7B_054713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSARPGAEAVQKDGSMDSSESSRPITRSGIVRVKPAAMFDDKNEPAGEGLPDGWMKECRPRKNRHGSRMKGDTFYIDPIHGYEFRSLKDVYRYLQSGYISQCVRLPIKRKNEDLHCAGDQSLYTGRSSDCTHLDQANESNQYEMGVTEPLRNACLSPNSGSLKTNNTNSIQGGSDQVEASEVRSTQSGSEEHTAVEDECETRKEANVEQKTKEKKRKMKYVKHMATPLRSSPRLAALKMSQEANDASRDGRMSAQTDIDNQLQPKQVKNPRRKANSSVLPEKKDGAPGLSEKIEDNYCLVPSQIRASVPCSSSDVGCQNALEGMPVLPQQVGSTADDMPGSTLSSLFRHVWTLTGDIPVLNDTLAAANYLLPHQTLNKGTTPNCSSSAYDGTRNHAQVDHVGLSMPRPSENLYSSGWFPPQ >Et_2B_021457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29879899:29880758:-1 gene:Et_2B_021457 transcript:Et_2B_021457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNCDVCGGEPAAVLCCADEAALCTACDRRVHRANKLAGKHRRIPLLQPAGADDSTAAAGPLCDVCKERRGLVFCVEDRAILCADCDEPIHSANDLTAKHSRFLLVGAKLSAAIVDDQPPSSPDCAQEQDSSTTDTRSSVLDGNNNGAMISDYLTNICPGWQVDDLLVDDSPPFSTTAAKAAGRDELEHVPSMDADLFDVVAGKRAASSWSFEEVPTANKQGRVRETYSWNSDNDSDVFAVPEINPPPAKKARPASFWCF >Et_1B_010422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10388819:10392964:1 gene:Et_1B_010422 transcript:Et_1B_010422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKETEYYDVLGVSPAASDDEIRKAYYIKARQVHPDKNPNDPQAAEKFQALGEAYQVLSDPLQRKAYDGYGKNSISRDNILDGTVVFTLLFGSELFEDYIGSLAMATMASSEMTSDNNNPEKLQDSLKNVQREREEKLARFLKDFLSQYVRGDREGFTNCAESEAKRLSSTSSGLDILQTIGYVYSRQAAKELGKKAMYLGVPFVAEWVRNKGHLWKSQITAAKGALQLLQLQEEACRQSAKDSCATEHDVDLQMRMNKDLMMKSLWKLNVVDIEMTLLHVCELVLNENNVKKEDLKARAMALKILGKIFQIGIDGVGKNPGRHGTMAPPPSEVEVATLVPLACAF >Et_1A_004514.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:11736424:11737111:-1 gene:Et_1A_004514 transcript:Et_1A_004514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Et_1B_011619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24284797:24286851:1 gene:Et_1B_011619 transcript:Et_1B_011619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASLRLAVVGAGAAGLAAARELRREGHVPVVFERAAAVGGTWLYTPPATAADPLGAAATHSSLYASLRTNLPREVMGFLDFPFSAASAGAGYSADPRRFPGHEEVLRYLEAFARRFDLHRLVRFETEVLRVRKEHDGKWAVASRKLGDKGSGEEEVYDAVVVCNGHYTEPRVVVIPGVDAWPGKQMHSHNYREPEPFLDQVVIIIGASASAVDISRDIASVAKGVHIADRSSPASTCEKQPGYDNLWLHSMIDRAHVDGSVVFQDGSSVKADVIMHCTGYLYDFPFLGDDSTITVDDNRIDPLFKHVFPPEVAPQLSFIGIPWKVIPFPLFELQSKWVAGVLSGRIKLPSKDEMMEDVKAFYSSLEARGWPKRYTHNFSNYQFEYDDWLAEQCRHPPIEEWRKLMYVANAKNKASRPESYRDEWDDDHLVAEANEDFRKYL >Et_2A_014986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24765058:24766224:-1 gene:Et_2A_014986 transcript:Et_2A_014986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRVANLYIYINDQDTPQDIPTSSHCSYLSPWLRRARDETAEISWHNKKEEGCPYSRAFRGDRYRDIGASASQKSLLRCKSVCKAWRAIISGPFFIQKQLRCSASRWEQDPALIISPHPLEENFYGEFNRPCYFAHCDGLVLAHTDTTLYLFNPATRDRVTLPASNNNNMREPGSCHCAGLGLDPCTGKYKVVQAFYRSADPVVNTYRMGMEVFTVGGASWRETRHDLPYSIKTWQTGLAVRLSLTNESFGVTGLPDSLDPALDDAFMLDMLRGEYGHPSFLLCQLISAVSSATERDVNKYRDKIKKKYVQNIYIQNILLVSHENSLSSQS >Et_1B_012611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33722960:33732903:-1 gene:Et_1B_012611 transcript:Et_1B_012611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSELEGDELNKPDRRLLTPDNDILISLGEHSAKELIARYGDCRPAHGAEDEKRARINVISGEEDACRTSLEIIDRKRDTTEVIMDGTCCELNSDDTETWTAVAPGYPILPNSSHRDGSIYKGDDCWKKAYRIADRNETRLEAMMLSDPTEHCYFKDGICWLHTARHTLQFFSLKLSEILVDGDSVELYGYMAARDSFDQLLNYIFNCRRDDPLIIKQGSLLNLAGPKRGIELYDTIVIEYDMRIKIGETEMDDLQLIDGVSIFDHISTQNCRPFTCRIHGDYGAIDMTVARLNDSFEATVEVLISEVQGRFRMCLDCFTSGLDEEIRLFDGSIGDSRALKRSVVAVVMDTQIDLKFKVGADPSITTEHCCSFNANRHGHVIQEIKTDFALISVKSQYNLIHYEARSEAMMYSDPADCYVRYGPGMIYGSNIMMQIISLKLAKIHVSYSSVELYGYIADDPMIVDQTPVVLAIELIVATKVLPGSAFKALAEKSNQYKMGKRLQQT >Et_10A_000417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:92157:93306:-1 gene:Et_10A_000417 transcript:Et_10A_000417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLVSLPATGDIIYRCKHCDTQLAYAADIISKMFRCKHGKAYLFDKIVNVNVGDKDDRMMTTGMHSVCDIFCVTCGSILGWKYLAAFEKSQRYKEGKFILERGKVVGPTVAAERAHLWVERDARSSESEEDDQDSTIWG >Et_1A_008983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23281607:23282872:-1 gene:Et_1A_008983 transcript:Et_1A_008983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARLLLGVCAVLIAVGVSNAASTGDAASVVIGLAKCADCTRKNMNAETVFQGLQVAIKCKNSNGEYESKAVGKLDGSGTFSVPLAADLHGADCHAQLHTAAGIPCPGQEPSAIVPQSEGTFIAVPGKTHYPSAECASATICGPIKKHFIDHFHKKIDHFHKKPVPPKPKPEPKPEYHPPTPEYHPPTPTYGGSPTPIYQPPALSASATFTGKIKKHIIDHFHKKPVPPKPKPEPKPEYHPPTPEYHPPTPTYGGSPTPIYHPPALSASATFCGKIKKHIIDHFHKKPVPPKPKPEPKPKPKPEYHLPTPTYGGSPTPIYHPPALSASATFTGKIKKHIIDHFHKKPVPPKPKPEPKPEYHPPTPTYHPPTPSYGGSPTPIYHPPAKH >Et_10A_002207.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:4434901:4436115:-1 gene:Et_10A_002207 transcript:Et_10A_002207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKAFDAVGDIDGDALSPPPTLVSMDACTWTSSGSSTMAAIAAAQQQQRGRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPRPSPPAHPMPAPASAPPPAAAHVPATAPALPSKVTNLLLLRLRARNQQQLQLVNAAAAVQHKEAADAALMQDQSSCNEAYAGAGAGEDGGGGFFQVDDYLSYEGGSSDGTSSSQEMEENEEEEEEMDFQFMDTHATSHAAAGLCSPFEVVAAELGAGAVVEAAEGDDGGPATAVQELMRRIEYERKFSASLYALNGVSECLKMRLGGGGAGMRDQLSGLRDACRKKQQHEAVHPQLQHQEQEPAASEVTEVCKEACSGRDDGGGGSSSVTEESGVDGDVLWSSLDLAPIC >Et_6A_047031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24454242:24457723:1 gene:Et_6A_047031 transcript:Et_6A_047031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWSLYAPGSAAIIFLFFSTMSLSAAAVVEHTFVVHQMNMTHLCKETLVTVVNGQLPGPTIELREGDSVAVHIVNKSPYNITIHWHGVRQWLNCWYDGVPMITQRPILPNTEFTYHFNVYGQEGTLWWHAHVPFLRVTLHGALIIRPRDGAGSYPFPKPHREIPIIIGDWWETDPAQVRRITKDGYDVPDVPRASTINGRLGDLYNCSGAVEDRYLLDVEAGKTYLLRVINSALFSEFYLKIAGHKFTVVAADANYVNPYPTDVIAIAPGETVDALLVADAPPGKYYMVALPNQPSLPDPQIPEHIVRGTVQYSSNHSPGNGTEAVQVSSLGDKENGGEIPSGDVPVAPEMPDQHDTMMSLYFHGNLTSLRHRRQSPQVPLQVDERLFIVLGLGSVCKDGSQNCKRGGINEILLVATMNNVSFHDPTVATPLLEAHSSHTSMGMVQELPDRPPRAFNFTDPSLIPFGGKEMQLEPASRATVVRRFRHGATVEVVFQGTAILQSDSNPMHLHGHDMFVLAHGIGNYDAARDVARYNLVNPPMRNTVLVPNLGWTAVRFVANNPGVWYIHCHYEFHLSMGMAAVFIVEDGPTADTSLPSPPADFSTYGFDTSVMRNELYLQTNKNEASHDMCQDRQERGAKAPVASGMVLM >Et_7A_052030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3953834:3965670:1 gene:Et_7A_052030 transcript:Et_7A_052030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKPLDWYCQPVRHGAWSHVVENAFGAYTPCGIDTLVACISYLALFGACFYRIWRTTRDYTVQRYKLRSPYYNYLLGLLVVYCIAEPLYRIATGTSIMNLDGQRVLAPFEIVSLIIESAAWCCMLVMIVLETRIYIYEFRWYIRFVVIYVLIGEAAMFNLVLSVRQYYSSSSIFYIYCSEIICQVLFGILMVVYLPNLDPYPGYTPIRNEVLIDNSDYEPLPGGEQICPERHGNIFSRIFFSWMTPLMQQGYKRPITDKDIWKLDSWDETETLYSRFQKCWNDELRKPKPWLLRALHSSLGGRFWLGGFFKIGNDASQFVGPLVLNLLLESMQKGDPSWNGYIYAFSIFAGVSLGVLAEAQYFQNVMRVGFRLRSTLIAAVFRKSLRLTNDSRRKFASGRITNLISTDTESLQQVCQQLHSLWSAPFRIIISMVLLYAQLGPAALVGALMLVLLFPLQTVIISKMQKLTKEGLQRTDKRIGLMNEILAAMDTVKCYAWEQSFQSKVQDIRDDELSWFRRAQLLAALNSFILNSIPVIVTVVSFGVYSLLGGDLTPAKAFTSLSLFAVLRFPLFMLPNLITQVVNCKVSLKRLEDLLLTEERLLMPNPPLDPELPAISIKNGYFSWESEAERPTLSNVNLDVPVGSLVAVVGSTGEGKTSLVSAMLGEIPPVSGSDTSVVIRGSVAYVPQVSWIFNATVRDNILFGSPFQPPRYEKAIDVTSLRHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCIKEELRNKTRVLVTNQLHFLPYVDKILLIHDGVIKEEGTFDELSNKGELFKKLMENAGKMEEQLEEKQDESKSTDLTKQTENGDAIIVDGGSQKSQDPNKTKQGKSVLIKQEERETGVISTRVLSRISSSTWLSIWTDQGSLNIHGPGYYNLIYGILSFGQVLVTLTNSYWLIISSLRAAKRLHDAMLRSILRAPMVFFHTNPLGRIINRFSKDLGDIDRNVAVFVNMFMAQISQLLSTFVLIGVVSTMSLWAIMPLLILFYAAYLYYQATSREVKRLDSITRSPVYAQFSEALNGLSTIRAYKAYDRMANINGRAMDNNIRFTLVNMSSNRWLAIRLETLGGIMIWFTATFAVMQNQRAENQKAFASTMGLLLTYTLNITNLLTSVLRLASLAENSLNAVERVATYIELPSEAPPVIEDNRPPPGWPSAGIIKFEDVVLRYRPELPPVLHGISFIINGSEKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDTSKFGIWDLRKVLGIIPQAPVLFSGSVRFNLDPFSEHNDADLWEALERAHLKDVIRRNALGLDAEVSEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRLLILSSGQVLEFDSPENLLRNEESAFSKMVQSTGPSNAEYLKSLAFGSGEERSRREESKLQDIQRRWVASNRWAEAAQFALARSLTSSHSDLLALEAAEGNNILRRTKDAVITLQNVLEGKHNTEIEESLNQYQVPADRWWSSLYKVIEGLAMMSRLGRNRLQQPAYSFENNGSLDWDQM >Et_1A_005541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11282037:11285530:1 gene:Et_1A_005541 transcript:Et_1A_005541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSLLPTAFLAALLLLSPTSAEEEAVLTLDTDNFSEVVGKHQFIVVEFYAPWCGHCKQLAPEYEKAASILSKHDPPVVLAKVDASDKKNKDLGEKYNVQGFPTIKILRNQGDNVQDYDGPRNADDIVEYLKKQVGPASVEIKSVADATSLTNKGVVIVGVFPSFGGSEFENFIAVAEKMQAGYDFLHTSDAGILPRGDRTVKGPLVRLFKPFDELFVDSQNFDKDALEKFIEVSGFPTVVTFDTDPANQKYLIKYFENAGAKAMLFLSFSDDRIEAFKRQFYQAAKQYGANNISFLIGDITAAQGAFQYFGLKESDVPLLFIHASDAKFIKPTVEPDQIKPWLKDYTDGILAPYVKSDPIPEVNDQPVKVVVADSLHDVVFNSGKNVLLEFYAPWCGHCQKLAPILDEVAVSLQDDEDVIIAKMDATTNDVPPDFTVEGYPTLYFYSSGGNLLSYEGGRTADAIIDFIKKNKGSKPGEAAVEDDAAETDAIAEEESEPEFVKDEL >Et_5B_045465.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:2447978:2448298:1 gene:Et_5B_045465 transcript:Et_5B_045465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTDYYWREFLPVGDTRDHAAARVCGDGVELPDAFPPAMVAVGGLDVLKDWQARYVETLRAKGRPVRLVEYPDAIHSFSLIPELLDTGKLLEEVKLFVDEHVRSN >Et_9A_061345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10380446:10382344:1 gene:Et_9A_061345 transcript:Et_9A_061345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCCLCPKLHRCCVWSCKHLAMYAWLCVEFWTSLSLTVLFLWVLYRPDHFHPRVDSAVLTAFHLASPPAPTVANNNATDTGRPTSLLRYGLAADLSFRNSHRHLSVRYLDVGATAFYNGTRLGPADDSLPAPFRQGPKNTTVLHPSFHGTVAVDSGVAAELERERAAGTVHLRLSVTLTLLYKVWFAQQVFFYKYDCWLWFPPPGNATPGVFNAGTQCWAAK >Et_7B_054233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1803709:1804040:-1 gene:Et_7B_054233 transcript:Et_7B_054233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHIRERDLSRADALYRAAPAAARGPVSTVSCSTGMPRLAASTAREIFDAMPVKKTVTWNCMLSVPSRRGPPVVQRHACSKHCSWTAMVLGYERNGMLMEAKVV >Et_7B_054834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4712712:4713218:-1 gene:Et_7B_054834 transcript:Et_7B_054834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLIQQSTSVVLMYTHLHITGAYTFPVSQSSSAHSGQLFFSAVALAAQADFPRQLSISAMPKLLPEEGGHDDDDVEAKPEKAPPARSFGKERSVHLIPLLTILCFLLLFLCSHNPSPSDMSSFGGKAAGGRKTKSL >Et_1B_013412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8091238:8095709:-1 gene:Et_1B_013412 transcript:Et_1B_013412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRQRRLRRRLAVRDGAASRAASLPAPASVVRTHLPATGAAHSGSLAQWPKARPSLASGIQGGGARALQRTRKGRGLSKKPPSEKMAAPAVPGGVSSRGRGGTNTHRADHLLPPLPRTGEPAATSRGSSSRRSEEARRAADAAGVRKQVILAESAVGGHGGNYRRPSSSSGGGRAAPRPRPAAVAPPRTPVTTPPRRPSAAAEGATAGSTTAAMTPPPSSSREWFLPDVELPGPPMDYGQERLVIKANFMKPSSAQRNIRQQAASHASLPKRRGRAFCSFPPFIDKLYISPAMRAYYADCKYAVEEGNQPHVGAIDATNEERSLKVSEEENETDGQKYWWSDSVASRILSVRDLNAEPGGAFESSNRIADFKKPSALPRNIRLQAHRHPSLLSRDVINEKRLPKLSEEKCEVDGRKDWLTDTVSARISSDLSHNLKTGTIIYAAESDWSSKSDGALESSNHISDFKKHAALPRNIRVQTHSHASLRQRAEGTNQMPQKFSHRDMPDELMRPAHSSKNHHQHVGAIDAITEWRCPKARKEEDEVDNQKDRHTATVRSRLPSARGWNVQSDGVLEGTKPNSDFKKSSATPGNTRLQQHRYPSPRGRSSEANSQVPPRVITGARPDRLMRRACFSDNYCQRMGAIDAPNEWRVPKGCLKQYSSDTTSNRSDGAPSRKGLAISAEGSTQTPGGTARAIKKVTYATTLGIGGGYGDPHPPPFYKCKVCCKRTAFFRLKCCHLVVCDHCGCSCDPKYFESKKLQFQNDKQQGNKEKLPKVKLQGTDLFLWQPFSHPADLMLCMAKRAGSLLQYYFAPVQELMDSNMPVINTTIYSFRQIGKNAKSLKVTEELISRSQLH >Et_5A_040595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10120267:10122194:1 gene:Et_5A_040595 transcript:Et_5A_040595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPQILHSPHPRLAAASSPSSSPCRAFRRAPRLRAPAPRRARRFVAAASASGPPDSSYPPESDDGLVELPLFPLPLVLFPDATHALHIFEFRYRIMMHTVLQTDLRFGIVFAGSGSGGAADVGCVGEVVKHERLADDRFFLICKGQQRFRVARVVRTKPYLVAAVQWLEDRPPAEAPAPGEDAEALAADVEALMRDVIRIANRLNGKPEKDVGDLRRGLFPTPFSFYVGNTFEGAPREQQALLELEDTAARLRRERDTLRNTLNYLTAASAVKDAFPSSPSSGLPKFTSTTDYIEQSMDKADSEQL >Et_5B_044579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3758271:3762572:1 gene:Et_5B_044579 transcript:Et_5B_044579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGPLCTWLVAACLSSTCDADEHKQKHYFSGGDGSMFGQRRRPGARRRGAARSGVTMAVALQAERGVIEKKKPDIKQRRVVVTGMGVVTPLGHDPDVFYNNLLDGVSGISEIERFDCSNFPTRIAGEIKSFSTDGWVVPKLAKRMDKFMLYLITAGKKALENGGLTEEIMNELDKTRCGVLVGSAMGGMKVFNDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRRGEADVMLCGGSDAPLIPIGLGGFVACRALSQRNSDPTKASRPWDVDRDGFVMGEGAGVLVLEELEHAKERGAKIYAEFLGGSFTCDAYHMTEPHPEGRGITLCIEKALDDAGVAREEINYVNAHATSTQSGDLKEYEAIVRCFGQNPQLRVNSTKSMTGHLIGAAGGIEAVASIQAIRTGWVHPNLNLENPEKAVDVGVLVGSKKERCEVNVALSNSFGFGGHNSSILFAPYK >Et_2A_017499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34934194:34937694:-1 gene:Et_2A_017499 transcript:Et_2A_017499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEKNRMAGLWDREVGRLPPKNFASSVMASQDFVHSLNIQKRLRKHRGCVNTLSFNANGRLLLSGSDDRTIALWNWEEAVPTFAFHTGHSNNVLHAQFMHVSDDRSIISCAADGEVRHSKIQEGGRVITDELVELEFAVHKLAVEPGSPHTFYCCCEDSSVWLFDLRGKDAVELFKCGAADHFSGENVELFAIAIDPRKPCFFAVAGSDEYVRIYDTRKICLDGSSRFGRPTEHFCPPHLIGENKDGITGLAFSQTSELLASYSYDNIYLFSTEHGLHFNNIEVGERLLMDETEGACNINTAPLPFCRDKLPVPQTFKGHRNIHTIKGVNFLGPNCDYVTSGSDCGHIFIWRKKDGELIRVMKGDKRIVNCVEQHPSGTAVASSGIENDVKIWEPGECENPSITHIEEVETSMWESSSSDYDAFFNDYDYMVDSDDILYHEDDDTSEDSSEDDEYGDNSAKISSDGDSSANEDSDVDNSSKDMSDG >Et_7B_053376.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:14319768:14320088:1 gene:Et_7B_053376 transcript:Et_7B_053376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNAEKWNNASRKRVMKKGTLYDPFTIPWMKIAGFTCLMVLSTLFLGLSTATVSSIRFLNRYRQLTMTTSSLLTLRSSTRMVSHFDAIPWYSKGTSNFLAYSASR >Et_4B_038835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5103695:5108564:-1 gene:Et_4B_038835 transcript:Et_4B_038835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIKSVMSLLLLLLLGVALQGCIAQGGGGLTRGSFPEGFVFGTASAAYQYEGAVKADGRGPTIWDKFAHTFGKIADFSNADVAVDQYHRYEEDIQLMADMGMDAYRFSIAWSRILPNGTGQVNQAGIDHYNKFIDALLSKGIQPYVTLYHWDLPQALEDRYSGWLNRQIVNDYAVYAEICFKAFGDRVKHWITFNEPHTVAVQGYDAGLQAPGRCSVLLHLYCKSGNSGTEPYIVAHNMILAHATVSDIYRKKYKASQNGQLGIAFDVMWFEPMTNTTIDIEAAKRAQEFQLGWFADPFFFGDYPATMRTRVGERLPKFTADEAGLVKGALDFVGINHYTTYYTRHNNTNIVGQLLHDTLADTGTISLPFKDGKAIGDRANSIWLYIVPSGMRSLMNYVKQRYNSPPVYITENGMDDGNSPFTSIKDALKDSKRIKYHNDYLTNLAASIKEDGCDVRGYFAWSLLDNWEWAAGYTSRFGLYFVDYKDSLKRYPKNSVQWFKNLLSS >Et_8B_060429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1536950:1537727:1 gene:Et_8B_060429 transcript:Et_8B_060429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEANTEHGAVDTSNPGQFDTSVAESSGTKPTEEEARIIKEAIDLAVKKLLVECANNVIAEDSVVEGEGMVVCGATQEGPLSSGIGGVSPKVPHTLEQAAPGFTTAAPVCGCDGGDHVEEETATLVVAEVESPILPLTMTDNGDQEEQVRAAQETPVAVAIDGPGGVVIGGHTASVLPSIKRSSFATPTRARVDGHGAARLPGSAGSPASYCDAMKTEGRALSCPSREPGLHQHSLERLLSS >Et_1B_012563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33198891:33203665:-1 gene:Et_1B_012563 transcript:Et_1B_012563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAGNGLTGTAQIKGSGGDGSAKPLPPCCVKARAAAPESEAKCHATVVSGWFTEPRSRCGKASKVQYYNNPMWPGEAHSLKVERILYQGKSPYQEVLVFESSTYGKVLVLDGIVQLTDKDECAYQEMITHLPLCSIPSPKKVLVIGGGDGGVLREICRHSSVESVDICEIDQLVIDVSKEFFPQLAVGFEDPRVQLHVGDAVEFLRNASEGTYDAIIVDSSDPIGPAQELVEKPFFETIARALRPGGVLCNQAESMWLHTHLIQDMLSICRETFKGSVHYAWTSVPTYPSGVIGFLLCAKGGPPVNFLTPTNPIEKLEGATKAGREIRFYNSEMHRAAFVLPTFAKRELEAYYASTEREEQEETAAKVPKIPVAPNSDILTAS >Et_1A_008102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4399229:4403689:1 gene:Et_1A_008102 transcript:Et_1A_008102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQEAAAGETAGRRDGAIRALLGVDGAPTEGAAVSPGKASGAGGGEERKAAVVRVVAADMAPALQRSAFRCARDELAAMPHFPRRLEPKRLALALKKVSSDHDPATVNHITEFDTAYGPAWHCIVGTSFGSYVTHARGGFLYFSVDKVYILLFRTAVEPSSPIDDERANGTIPYGLPCFECFLSKSCNLLWAGWSSNLVASYDALLDTQGGQLQPGYKVLQELECGAQQTSDHGGYACLGDPPDGRLQLPSSSPLLGVLTPKLRPSDEIDRYLKQRDYGK >Et_4A_035392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22946112:22950390:-1 gene:Et_4A_035392 transcript:Et_4A_035392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAAAARDADAEMRDPAAAALPPGDGDGEDDSEDEEDDVDDDEEELPAPAPAQEAPAPAPVSAFPANPNQLTLLFQGEVYVFDSVTPDKVQAVLLLLGSGELPPGLAGMVLPNQNENKGYDDILRRTDIPAKRVASLIRFREKRKERNFDKKIRYAVRKEVALRMQRRKGQFAGRASLEGESPATSCDPASQGSGQDFPSQESKCQNCGTSEKMTPAMRRGPAGPRTLCNACGLMWANKGTLRSCPKAKVESPMVALEQYPQSNLAASQSGSDNKALVIPKNGNVAASSGDVYARLVLHVQFDPSQFRRIRSDVVVFILVT >Et_4B_037819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23703628:23705584:-1 gene:Et_4B_037819 transcript:Et_4B_037819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMEKEGGVTKAAAAAGAPHEEAVKKAEPPGKCYKKTVGEEATFLETAKDYLTQFKDRPAKMHWICFMNRVRAYGEYVSQKSSSVTSLSPRPPPLCSGLAPCSVMRVCDAVLPGWPQLVFGKQKVEPVIKEPTPEVAVKDGGTPEAAS >Et_1A_006012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16661399:16680549:-1 gene:Et_1A_006012 transcript:Et_1A_006012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNGILSDEKLKNMKSVRSNGQLGDDDDVIIIDAEGDETKVRATTDGRFKEHKEPSHGTMDDGVHEEAPASDDDSDVDSYEFFLRESNNEEASASEEDIEVPLSEEEIEDLVAEFLEVESKAAEAQESLEKESLEKIEAEVRLELSERLQGDEEQLDAAGIELPTLYKSIESQVPNVCETEAWKSRTHWVGSQLPEEANQSIKKADEFLQYCRPVRRKHGRLLEEGASGFLAGKVPAGDDSIQCDEKSWSSFNELIKSKENAKNTFGSNDWASVYLASTPQEAATLGLQFPGVDEVEELSEVDCAFTDIKCVDEVELSEEQRRKYRKVREEDDVKIMKRMQCRLKGRRTRGWHKDFDLASSPNGSHEKPLPSKNATNVLAPSELKTDENKVTTEILKRTREDDDELDQKRLKTVIIESDDDMQIDSKSDMYKNCEGSSAEVEKEVDIIDLDLFPSQSPKLNDKDLPKAFKCTICTDMLDASHVHRHPALDVTVCGSCRFLVIEKNRLEGPVSGGYCTWCAQSEQLQSCSSCKLLFCTSCLSRNLGEVYLSQAKVTGWQCCCCIPSQLESLISECDKALSGVESSDPDSSNTEFSGPENNDPVSKKKLKKKIRRIMDDTELRDETKRKIAMEKARQDHLKSMQEQSASKIRSGNIGTSFGALSDITLEDAGDGHIVNLAREDDEEPVRIPSSMSSKLKNHQVITFLYTVMRCVQLGLHTALVVTPVNVLHNWRKEFTKWRPAELKPLRVYMLEDVTRMNRPYLLTKWRTKGGVLLIGYSTFRNLSLGKHVKDRNAANEISYALQCGPDILVCDEAHMIKNRRADITQALKQVATQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTSDDVKIMNQRSHILFEQLKGFVQRRGMNVVKNDLPPKKVFVITVKLSQLQKKLYKQFLDVHGFSSSGYSEKSHSSFFVKYQTLAPVWNHPGLLQMTKEQKGNLRKEDAVENFLMDESSSDDNAENFLPNGEKMKDRPDHLSKKSNVVNEESNWWEDLLDENTYMEADYSGKMILLLDILSKCSEWGDKVLVFSQSLTTLDLVEFYLSKLQIKGKEGKLWKQGKDWYRLDGSTPSSDRQNLVERFNDPENTRVKCTLISTRAGSLGINLHAANRVVLLDGSWNPTHDLQAIYRTKPVYAYRLMAHRTMEEKIYKRQVTKEGLAARVVDRQQVSRTISREEMLHLFEFGDEELLEQNEKGSTMIDCAKVGTEKPSTSSSIYSSELEPVDKLMGNLLSKHNSWIAGYHEHEALLQENEDERLTKEEQDLALSEWEALRNAVRDPERRSNMIAVPTVPKLAQTSKATSRSRQPQQPKANSNNQKKCNNLTHLLTLRSNGTKAGCTTTCKECDQEIMDALSPRKSHPEKGTNAGSKGREEWRTEKEEVTGHGTRVTSAYRPTPTAVGGSVTLSTCKLL >Et_5B_044697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4865039:4870727:1 gene:Et_5B_044697 transcript:Et_5B_044697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGWQLPYHPLQVVAVSVFLALAFAFYVFFAPFVGRKVFQYVVMGIYTPLVSWVFFLYIWCAAANPADPGVLKSKKYLSLYGNDNEKHLKESRKGFSDAGLQLEGIGEKYEHEVTDASEKSMTQHKGNNSSCCRAIISAFLFVIYPLSFVFSCCQSHEWSSEQQASEEGMFFCSLCEVQWLNNCIGKRNYRRFFLLMSSALLLLILQSAIGILVLVLCFVERKEFSMQIVSKLGSSFSLVPFIIVVGISTYDYIIALREQEQDEVSGPQSPQMSRVSSYTGLSSASSFGPLRRGSWCTPPRLFLEDQFDVVPLEAGSSHNSATKRKEDALRRKKGGAVKISPWALARLNAEEVSRVAAEARKKSKVLVPIRKDDYLRGHETDSSYGGRSSGRIDLGPDNKRRTNRRGRQHSDLSLKPVAKISTDAIDSTGNDLAPEALSNLAPLQLEARSAFHPSRAASSANVGGSSPDSSLDSPDLHLYRVSAVSSSGAEELQLTALTAPGSTPQQGNELSRSTSDGYEASGGEDSDRIPSRIVHRSSNWASIILNSDQSASSSGILVPKNSFRIASRIEAKNVRFLSAPGIN >Et_6A_046970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23403866:23405957:1 gene:Et_6A_046970 transcript:Et_6A_046970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLVLAFRLSALFLLLPAFAAAADDEGSSAPEPGTDAAALVRLKESFSDPNGALEAWSASSASSPCDENDPWPGVQCYKGSLAGLRLTRMNLSGTFDFAAIAKLPGLHSVNLKHNAFSGPLPASLVEARSLRALYLSYNSFSGPIPGEVFGSMRWLKKLYLDHNNFSGPLPEDALADAPRLLELHLEHNRIEGPVPQLLPASLHMFNLSYNLFSGEIPRGVASRYEESSFAGNPGLCGAPGSDPSACAAIMPAPTPMTPPTPADYRAVQEETSVFVVIGIILLVILLVTGAMVLMLRQDEMNSRAAAAWDYPGASTGAGKPMMSPTGPRAAEMVAVDVASGSSHGGGSQSGGRRMGEFVLMREDITPFGLPDLMKASAEVLGNGTLGSAYKAAMRNGVTVAVKRMRDMNRVGRVEFEQHLLMLGELRHPNVLPPIGYHYRKEEKLIVSEYMPRGSLLYVLHGDQSPNRLVLDWPARLRIAVGIARGIAFLHEKLGIPAGRLVSMDGADFDAPPPPPPHGNLKSGNILLNADLEPRLVDYGFFPLVNPAQAPQAMFAFRSPEGTTRGVVSPRSDVYCLGVVLLELVTGRFPSQYLTTARGGTDVVHWSAAAVAEGGERDLVDPVIAAGGGEAAVRLLRVGVQCASAETECRPSMSEAAWMVEEIATAS >Et_9A_062531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23839623:23843897:1 gene:Et_9A_062531 transcript:Et_9A_062531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNAAIRADSKLAMAAARAWVLLLCLTTVAVSGVLQAYAQPDSIGFISIDCGLPGTETYVDNTTKLLYVPDAAFIDEAGSNHNISAEFMSPVLAKRYHNLRSFPDGVRNCYTLRSLVTGLKYLLRAIFLYGNYDGLNRPSVFDLYIFEAIVVVPDDFVQVCLVNTGSGTPFISGLDLRPLKSIMYPQVNATQGLVLLARKNFGPTDLTLENIIRYPDDPHDRFWIPWIATTKWATISTKRRVENLGNDIFEAPSKVMQTAITPRNASKNIEFSWDPETQPKDPTPGYIGILHFSELELLPSNETRQFYVNLNGVPWYPNAYSPPYLLADEAYNSNPSWGFTGYNISLSATANSTLPPIINAVEVFSVISTTNIGTNSQDVSAITAIKAKYKVHKNWMGDPCVPKTFAWDVLTCSYTISSPPTITSVNMSSIGLDGDISSSFGSLKSIQYLDLSHNNLYGSIPDSLSQLPSLTILDLTGNKLTGSIPSGLLKRMQDGSLNLKYGNNPNLCTNADSCRTIVVIVIVSVVLLCCIMRRHKRGPRNNTVKPQNETQMSHVPTGDAAYTQSSLQLENRRFTYKELEMITNNFQRVLGRGGFGYVYDGFLENGTQVAVKLRSEASKQGDKEFHAEAQILTRIHHKNLVSMIGYCEEGECMALVYEYMPEGTLQDHISGNGRNGGFLSWRQRLKIAVESARGLEYLHKGCNPPLIHRDVKATNILLNAKLEAKIADFGLTRAFNRDNESHVSTNTLVGTLGYMDPEYHATMQLTTKSDVYSFGVVLLELITEKPAIVRDPDPTSIIQWARQRLARGNIEGVVDPHMHGEHDVNSVWKATAIALKCTTQTSAQRPTMTDIISQLLECLKLEDARSGNGDVNSGFTTVGSSDPYSGYNEYPVDGQFTDVSQSSTTFEVEHDLRVPTMSMGPAAR >Et_6A_046831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21025311:21030932:-1 gene:Et_6A_046831 transcript:Et_6A_046831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMASSPAIHLRHPPRLRLLLLSSNPLSTSSSTSSYPWLSAWSHPRPRRLRPPAPALDLRREPSPSSDSDDEDAVGTSRSSGRSTMSLILRRLQRAGYAPEEPSPAAVASPHPPRGSVEDVFRADDGVLPNVRGGFDDDAEAMLGDARFPWERPMPPPEAAPRAARSPTWMAELTLPAAELRRLRHAAMRVKSRIKVGGAGVTREVVEKIREKWRTEEVVRVKVSGTPALNMRLFHEILERKTGGLVIWRSGTSVSLYRGVDNDVAEPTKGINKNSQALSMKSSSKGSLSPALLPTDKVNSIQDSNETLVSNVGKEDIVEQAPEIKYEDEIDKLLDELGPRYSDWPGSDPLPVDADLLPATVPGRSRQLQGLANAMVKLWEKSSIAKIALKRGVQLTTSERMAEDIKKLTGGVMLSRNNDFIVFYRGKDFLSSELAEVLLERERLAKSIQDEEQARLNAASFISASSEAYVQPTVAGTLGETLEANSKYGNKLDENHAEKMTRTVEAARHADLVRKLEWKLSLAQKKMEKAERVLGKVEAALNPTEGIKPPETITDEERFMFRKLGLRMKAFLLLGRRGVFDGTIENMHLHWKYRELVKILVKAKSFEFVKKIALSLEAESGGILVSVDKVSKGYAIVALSRHVAKLNRKVEQLRAELVQMEDVKDQGDEELYNKLDSEYSSDEEDEMMRLISKDLTIDLNGNGKCNEANDTRRSHNEQPTELST >Et_3A_024169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18041597:18044993:-1 gene:Et_3A_024169 transcript:Et_3A_024169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPAAAAAAGRKPPAVVLLLCVAFCFLLLLLGSYSPRLEPNGRSHHRRLKLHPRSSKSAASSSGAAPVVDGGQEQQNHHHTFDPAIAEVEHRLEDKEWEREHYRLLHGDDGDGGPDEHMKEWEEFIKEEEDLINDDERFNLDARIRELFPKIDLAPEDGFVSLDELIKWNLEQARADQLHRSGREMELYDKNGDGMVSFGDFKAQRQESHGQGNLLGFPWWNEEHFNASDANGDGFLDKAEFNDFLNPSDSDNPKIINLLCKQETTQRDKDGDGKLNFEEYFNGLHDHIHGYDDENADISHIGNVTVAKERFSRLDKDNDGFISEHELEPVLDKLYLSERYYARQQAVHAISEADKNHDGKLTLEEMTENPYAFYGSVYFSDDEDDFHDEFR >Et_9B_064856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19258970:19260310:1 gene:Et_9B_064856 transcript:Et_9B_064856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNYYRSTWCGPAAASMQNKKQIEACALAVATQRQKRPWGGSVVGHRSKRRDRIRGDIRLTNDYFVERPLFNPEQFRRSHKSCSRSTSSSGFQNLRPSLACAFRPPPSDIAIAAA >Et_1B_011524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23159796:23160559:-1 gene:Et_1B_011524 transcript:Et_1B_011524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTSLWDAILLSSKELISLDMILSVYGKFKPLIEESNVYSLSNVIVTPEAKSYRPVENDKIVNFLPTATMKKLKDSDDIPRYNFHFFNIDMISTGESVMAFIYQIL >Et_2B_020352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19253832:19254175:-1 gene:Et_2B_020352 transcript:Et_2B_020352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDDNAQVGLEMKMQFDRGSMSVDKVCKALQVGKMPYSRNKSGPKVFSMMICGFPQMGKKTLQFEEEKAMESGNLSSTL >Et_9B_064555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16482464:16487698:1 gene:Et_9B_064555 transcript:Et_9B_064555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQAYSRLGSFGGGADAPSPSPPPPPLLPSSPARAAGGGTGVGWRGAAGRAARAVLAALLRRQAVFLFAPLLYVTAMLLYMGSLPLDVVPRIIARQAPGSVYRSPQLYARLRADMDADNSTDALATVWRHTSKGGIWRPCINNGTKGLPESNGYIYVEANGGLNQQRTSICNAVAIAGFLNATLIIPNFHFHSIWRDPSKFSDIYDEEHFVQRLQNDVRVVDKVPDFIMERFGHNLSNVFNFKIKAWARIQYYKDVVLPKLVEERFIRISPFANRLSFDAPTVVQRLRCLANFEALKFSKPITSLSETLVSRMKEKSVESNGKYISVHLRFEEDMVAFSCCVYDGGDEEKKEMDAAREIGWRGKFTKRGRVIRPGVIRMNGKCPLTPLEVGLMLRGMGFSNKTAIFLASGKIYKAEKYMAPLLEMFPLLQTKETLASEEELAPFKNFSSRMAAIDYSVCAQSEAFVTTQGGNFPHFLMGHRRYLYGGHSKTIKPDKRRLAVLFDNPRIGWKSLKRHLLNMRVHSDVKGIEMKRPNESIYTFPCPDCMCRLNRTEHSKPRHNLSNRRFSIG >Et_3A_023111.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28519640:28520037:1 gene:Et_3A_023111 transcript:Et_3A_023111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDMEAELPSLLGGVELVLPRRRQQLEVLDEAADGDAEDGQREDDAGAAPAADAEGQVPEVVAVGLDVLLLLEEALGPELLGPLPAVGVVGEVPRVDEDLALRGDVVAAQLGVVEVHVRHQQRDGHAQP >Et_2A_017368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33674065:33674774:1 gene:Et_2A_017368 transcript:Et_2A_017368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVELHMNIDCDGCEDNVRKALLKLEGAHYVNVDRLHGKVTVTGSVSQKKVLRAARRTGRLAVLWPSAYNHHHAYAQPAAYHHHNTAAAAHVQHNHYHRNTGSVSSAVARRPPAQPYHKASSYNYHVHGYFDSDLYESHHEHPDMVPTAVRSYFSDENPSACSIM >Et_3B_029248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23448904:23467149:1 gene:Et_3B_029248 transcript:Et_3B_029248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSRGLQDMASLDGSGGADGVDEEEALRFGGHEEVDVRAMGLAQRQAFVERLFRDAEEDNARFLKKLRARIDRAGIQVPTVEVRFKGLSVAAECHVGTRALPTLANATRDVADWLLGLLGAKLAKTKTLHLLKDVSGVVRPSRMTLLLGPPSSGKTTLLLALAGRLDPSLEVSGEVTYNGYGLDEFVPQKTAAYISQNDVHDGDMTVKEIIDFSARCQGVGQRYELLKELAKKEKQLGIYPDPEVDLFMKATSVNGATLQTDYVLRILGIDMCADVLVGDQMRRGISGGQKKRLTTAEMLVGPTKVLFMDEISTGLDSSTTFQIVRCIQQIVHLGEATVVASLLQPAPEVFELFDDVVLLSEGQIVYQGPREHVLGFFESCGFRCPERKGVADFLQEVTSKKDQEQYWIQNEKPYCYVSVAEFVAKFEKFHTGRSLEKQLSVPFDKRKSHKSALVFSEQSVSTLELLKTSWSKEWLLIKRNSFVYIFKTVQGILGALVASTVFLRTRLHTRNEEDGQIYIGALLFVMIVNMFNGFAESALVLARLPVLYRHRDFLFYPPWTFALPNVLLRVPASLFESIVWVVITYYTIGFAPEVSRFFKHLIVVVFIQQMAAGLFRLVSGICRTVVITNTAGSLAVLFMFVLGGFILPKDAISKWWIWGYWCSPLTYAYIALAANEMHSPRWMDKFAPDGRRLGIAVLENSGLFTNKEWYWIATAALLGFSILFNGIFTLSLMYLNPLGKPQAILPEETNVNLEDTQEGKKITDKEQHTAAPTPEPVSSNSMIVLDKVLEQLRGHSTNTSDMSHMNASVRIAPGRGMILPFEPLSMSFSDINYYVDMPPEMKSQGITAEKLQLLSGISGAFRPGVLTALMGVSGSGKTTLMDVLSGRKTSGYIEGEIYISGYPKNQATFARISGYCEQNDIHSPQITIRESLFFSAFLRLPKEVTDQQKMIFVDEVMELVELNGLKDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGPLGRNSHKAIPGVPKIEERCNPATWMLDVSSASSEVRLKIDFAEHYKASTMYQQNKALVKELSKPHPGSTDIYFAAQYSQSTFDQFRLCFWKQWLTYWRSPDYNLVRLFFALVTAVLLGAIFWRVGNKMRSSTDLLIIIGSMYAAVLFVGFQNCVTVQPVIAVERTAFYRERAARMYSAIPYAFAQVIVEIPYVFVESASYTLIVYSMISFQWTPVKFFWFFYISFFTFLYFTYYGMMSTSISPNVQVASIFTASLYPLFNLFSGFFIPRPKIPNWWVWYYWLCPVAWTVYGLIVSQYGDVEDLIKVPGRPDQQARLFIKDYFGYNPDFMGVVAAVLAGFAMLSRSVQAMASPISPDVSAYFSGVSSRRRSAADAVDDEEALQWAAMERLPSFERLRTGLMRAEEDASRRRFGGHEEVDVRAMGLAQRQAFVERVFRVAEEDNARFLKKLRARIDRAGVQIPTVEVRFQHLSVAAECHVGTRALPTLANATRDVFDWLLGLVGVNLAERKSLHILKGVSGAIRPSRMTLLLGPPASGKTTLLLALAGKLDPSLEVSGEVTYNGFGLDEFVPQKTAAYISQHDVHDGEMTVKEVLDFSARCQGVGQRYELLQELAKKERQLGIYPDPEVDLFMKATSVQGATLQTDYILRILGLDMCADVLVGNELMRGISGGQKKRLTTGEMLVGPTKVLFMDEISTGLDSSTTFQIVKCIQQIVHMGEATVVVSLLQPAPEVFELFDDILLLSEGQIVYQGPREYVLEFFEKCGFRCPERKGVADFLQEVTSMKDQAQYWIQNEKPYRYVSVPDFVAKFKKFHMGKSLKKQLSVPFNKRKIHKSALVFSEQSVPNLELLKISWSKEWLLMKRNSFVYVFKIVQGILVALVASTVFLRTQLHTRNEADGQLYVGALTFAMIINMFNGFSETALILARLPVLYRHRDFLFYRPWTFTLPNVLLRVPASMIESLIWVLMTYYTIGFAPEASRFFKHLLAVFLIQQMSAGLFRFVSGVCRTVVITNTAGSLAVIVMFVLGGFILPKDSISKWWIWGFWCSPLTYSYIALVSNEMHSPRWMNQFTSDGKRLGEEILKNSGVFTDKDWYWIAIGALLGFTVLFNVLFTISLMYLNPLGKPQTIVPEETDTSLENTQEGKKITNITQGATALTSEPLSSNSMITLDKVIEQLRGHSPNSSDRSYMNASVRAAPGRGMILPFEPLSMSFSEINYYVDMPAEMKSQGVTADKLQLLSGISGAFRPGVLTALMGVSGSGKTTLMDVLSGRKTGGYIEGEIYISGYRKNQATFARISGYCEQNDIHSPQITVRESLLFSAYLRLPKEVTDEEKKIFVDEVMELVELNGLKDAVVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDSRAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKVVEYFEEIPGVPKIEDRCNPATWMLDVSSASAEVRLKIDFAEHYKASTMHQRNKALVKELSKPPPGSRDLYFPTQYSQSALDQFKLCLWKQWLTYWRSPDYNLVRMFFTLFTALLLGVIFWRVGRKMESSKDLLIIIGSMYFAVAFVGFENCITVQPVVAVERTVFYRERAAGMYSAIPYALSQVVMEIPYVFIESVIYTLIVYSMMSFKWTPEKFFWFFYVSFFTFLYFTYYGMMSISISPNVQVASIFTASFYPLFNLFSGFFIPRTKLPKWWVWYYWLCPVTWTVYGLIVSQYGDVEDYIKVPGQPDQKVKLFIKDHFGFDPDFMGVVAAVLAGFTVLFAFTYAYCIKSFNFQQR >Et_5A_041472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23317052:23318195:1 gene:Et_5A_041472 transcript:Et_5A_041472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEHIAWFDVPMEYYFLPFLMEILIKTSTRHLVSTSTAKKRLLVFPLLYSLMSPIYCIGIVFEKLFLAAMRNAML >Et_1A_004686.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30556614:30557173:1 gene:Et_1A_004686 transcript:Et_1A_004686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VRRGLSAAVAATEVHTGLRSCWELERVIGERFRSWSLCPEDALQLFEELLPQARPASVFAINMLLTVLARARLKSSSSVRDGPEMVVSLFNRVARGGPNKVAPSITTYSIVIGCCCRVDCLDLAFAVFGKILKSGWRVRIHILNQLAKGLCDVKRTSDAMDLVLRRMREFGFHA >Et_4A_035498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2695986:2696968:1 gene:Et_4A_035498 transcript:Et_4A_035498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVSSSFHLLVLVAACLAATARAQWRQGAATFYGGSNAAGTMGNLYQAGYGTNTAALSSALFNDGAACGEVSCSRSGGVRFTINGHNYFELVLITNVAGPGSIRTVQIKGSRTGWVTMSRNWGAHWQANNYLNGQAISFRVTATNGQTIEFWNVASSNWQFGQTFTNGNNFY >Et_8B_059954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4416278:4418395:1 gene:Et_8B_059954 transcript:Et_8B_059954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNGKKVADVAIKAGKAIDWDGLGKMLVSEEARKEFATLRRTFEDVNHQLQTKFSQEPQPIDWEYYRKGIGSKVVDMYKEAYESIEIPKYVDTVTPEYKPKFDALVVEMKEAEKASLKESERIEKEIAELREMKKKISTMTADEYFEKHPEVKKKFDDEIRNDYWGY >Et_7A_051861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25655005:25657814:-1 gene:Et_7A_051861 transcript:Et_7A_051861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGPRRRRKASSPAAPSTFTMKKGSSAALIFIFIFLALAASSLVIMILPTSVRRQQHRPPRSPGKPLVIMTLKGLGHDEASLAIQSHDFSIAGFANRSKHWHAFPGYERLLGVSSTTLPFGNSYRDLIGGVANLPGLPLGKAPSLHATSVLSTCDPAAADDEGIKALKRALATLKLTKCDAMRLQPIGEMLTKEWESGDARVAPEHLPYEKLRSGMNATSLHRATESRKLTRSLSDHPPTMKMRSSIALCLLMLALAAGSLVMLQQERHPPESDRQLMVITLKGNDGDKTRLAVTTYDLSVAGFANGSDHWYALPGHEHRIPMSTPLPFGNSYRDLIGGLANLPGLPLGQAPTLHSCGLRLRPCRRRRRCVEALKRALATLTVVTSEAQRLKPILETVDKGWQSGDARVSVEHLPYIEHWDTICYEIIHAHKNGGVWDGPFTELLKETANIHNLDEALAVVNVLTNRTMVDLLEAHARSA >Et_7A_051115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14600919:14603323:-1 gene:Et_7A_051115 transcript:Et_7A_051115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKEVEEGVMRVLLVDDSPVDRRVAQLLLNSNSCAGSFHVIAVDSAKKAMEFLGLTDGKALNPLKPIPVIVMSSEDEPQRISRCLSAGAEDYIVKPLQSKDVQRLRNCSLAKPKGSSPCDAVTKRKPLPAPDHAAADATASSSGRRAHFTGVLYSSSVELSQYFPLLFKLVLLLYAVLCLGELLHRWSSRGCPLSLWCA >Et_2B_021139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26877947:26883265:-1 gene:Et_2B_021139 transcript:Et_2B_021139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGLGFLVAGSRREFIVLNVDDFAKQESSQGCPDYICQICGDDIDVLQEENEYFVACNECAFPVCRTCYEYERQEGTQACPRCKTRYKRHKGSPRVHGDEEEEGSDDIESEFASSIAGRSNVVHPYRVSVAESSMTSWDMDSISVTNSGASVHCYEEHVGSPTNHHALVVHPDTGEIMRYNPAYARPINPKRDLALYGYGSVAWKNRVEWKRKQHQKMQSISSDAEESDQNDFDSDRDVPRCAESKQQLSRKLPIPSSKINPYRIIIILRLAILALFIHYRILNPVHDAYGLWLTSVICEIWFAFSWILDQFPKWYPVKRETYLDRLSLRYEKEGKQSELASIDIFVSTVDPMKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALTETCLFARKWVPFCKKYKIEPRAPEWYFAQKIDYLREKVLPEFVRERRAMKREYEEFKVCINSVVANSRKVPEGGWSLPEGGPWQGNNVQDHAGMVQVITGHDCVMDDAGNKLPCLVYVSREKRPGFDHHKKAGALNALLRTSAILSNAPFILNVDCDHYMNNSKALREAMCFLMDPVLGGKICYVQFPQRFDGIDQHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRHALYGYDAPAAIKPPNKACNCWPMSCCMCCGPKRKCLKAKKKQEKQKKVKCRDASKQIHALEVAGKETASLVPQEKFEKRFGQSHAFLASTLQESGESRCFDMLKSIDDCIHVLSCGYENKTQWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCMPNRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSKHCPIWYGYRCGLKPLERLSYINSVIYPWTSIPLLVYCTLPASCLLSGKFIVPEMNIYASILFIALFVSIAATSILEMQWGGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVLGGVDTKFTVTLKGGDDSEFSELYEFKWTWLLVPPTMLILINVVGVLAGVSNAITVGYESWGPLLGKLFFSFWVLLHLYPFLKGVMGKQERVPTLVVVLSVVLAAIFSLLWVRVNPFTAKFDGPVLEVCGLECET >Et_9A_063371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21779298:21783728:-1 gene:Et_9A_063371 transcript:Et_9A_063371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTKLYPPHLILASLSTPHALAPAHHHRRPSVKWPRRLSSATLRAAVSAAASTSSADPSESLRSLCSHGQLAEALWLLESSAEPPDEDAYVALFRLCEWRRAVDAGMRSCAHADEHHAWFGLRLGNSMLSMLVRFGETWHAWKVFAKMPERDVFSWNIMVGGYGKTGLLEEALDLYHRMMWAGIRPDVYTFPCVLRSCAGIPDWRMGREVHAHVLRFGFGEEIDVLNALMTMYAKCGDVVAARKVFDSMAVMDIISWNAMIAGHFENDECRTGLDLFLTMLEDEVQPNLMTITSVTVASGLLSDIGFAKEMHGLAVKRGFATDVAFCNSLIQMYASLGMMGQAKTVFSRMDSKDAMSWTAMISGYEKNGFPDKALEVYALMEVNDVSPDDITIASALAACACLGRLDVGVKLHELAESKGFIGYIVVANALLEMYSKSRHIDKAIEVFKCMPEKDVVSWSSMIAGFCFNHRNFEALYYFRHMLADVQPNSVTFIAALAACAATGALRSGKEIHAHVLRWGVASESYLPNALIDLYVKGGQTGYAWAQFCAHGGKDIVSWNIMLAGFVAHGHVNTALSFFNQMVKIGEHPDEVTFVELLCACSRGGMVTEGWELFHSMTEKYLIVPNLKHYACMVDLLSRVGRLTEAYNFINEMPITPDAAVWGALLNGCRIHRHVELGEIAAKFILELEPNDAGYHVLLCDLYADAGRWDQLARVRKTMREKGLDHDSGCSWVEVKGVVHAFLTDDESHPQIREINAVLHGIYERIRASGLAPVESPSEDKEVSKDDIFCGHSERQAVAFGLINTTPGTLISITKNQMEVVHVEMKATDELVEPPEVILELLFASNLKNYQCCKALKLELEHLSGKLVIRR >Et_2A_018237.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:19920481:19921029:-1 gene:Et_2A_018237 transcript:Et_2A_018237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPKSVFLRLLLPALAVFAASVRRVHLLMHHPHASSFEGNFVKVAGAATVIAYAQLRSFDHELRSFLRGGTGAGEDVVRAVKSCVKYFPEVLHDEDDAVAILRRLETPAGRREHEAKSNLNTVNSDISAIFDFTNMCIDGFVSSGGGVLASPVGKMMLAGNATVHLYAEIAVDLVASIKL >Et_1B_013392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:854219:863129:-1 gene:Et_1B_013392 transcript:Et_1B_013392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLINRRFSECAELLDVTLLVIEGRISCRMLPLNSNYGAYIVFKIADQSYGLDYPRFPPQEAQLDVGERPFAAIRQVCLGVSPSMVAQMCLEVSRSMVPQLIERTPHPLLFLPKRRADGWMELEMAGFRIEEGDFGEVQLELRQQRKLLNSGVSCWLEIRGSILAIDGNMLSQNSSYAAYIPCVQTRAAWGPDLPEQITSVTLGGNESTRRLFLDGYDSDGEDRADVFRHSLPFGTLLRPTRRSRLEIPQHVLLPQEQMELGEFYNDDGEDAASACEIARLPEELLSAVISRTSPRDACRAAAVSPAFRAAADSDSVWSCFLPRDLPPLADVEPSTPAPSIKGRYLRLAECPVILADGLTVRFSEAAELLHVWWLEIHGKIDSKMLAQSSAYGAYFVFKVRSNAMGLDLPPQNTSVSLGGNKSTGLVCLGDHDRYDEYGVSMPLHSFARGSMKFLKMFCSLEKELMAGRSWELGEFYNDEGEDGDVCISLIATSATLKAGLIVQGIEIRPKKQVASACEIARLPEELLSVVISRTSPRDACRAAAVSPAFRVAADSDAVWSCFQPSDLALAEGELEPAPRSKKELFMRLTNSPVLLPDGLMSMWLDRESSAKCYMMSARALWITWGDTPQYWRWIPLTDSRFAEAAELLAVCWLEIRGRIHSQMLSPNTKYAAYMVFKIDDEHYGLDSPLQEASVGIGENRPTRQACLQGYDNVDDDEEEVPENYRFMMLPARRRFRRRTRRVPPPEAHVQLPQNRADGWMELEMGEFSIEGGDDGEVSISLMETRGGNWKKGLIVHGIEIRAKKWILCFALSLVSSAGFSVDRLD >Et_3B_031264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23108977:23111795:-1 gene:Et_3B_031264 transcript:Et_3B_031264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGSSTAGASASDPGPPPDDPVAGPQIDGAGGAIPVAVPGGAIPRGRDGAGGAAGLASGSSSDGRTGWSDEGAGATALPTGRVATPSAPAGAGGAATGLASGAGGLGLSLDGAGLDATPVATGPRPTATAQDAGRMDSNKSFLLKIKLVSSGKKTTRTGKCFGFEWEFEADDLPIEENEQDDYLRNPLPENEHVGVDEESMYLQMQPHHEPVNALQVIPSSMEKEKDPAYAPSDSEEGDDSEEGDGSEHGEESEDGAEEEPAQDVACIEENHAPNVEYDPLDPPIEVGSTYANMKVKKWLKSARVYIWKG >Et_9A_062359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22116417:22116821:-1 gene:Et_9A_062359 transcript:Et_9A_062359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQATGLAQVSPVSLLCAPDSHLGVSIILATSLSKAPSNLPCSSDLHIFLHDRIVVSVTERRVQHRGGAEPGIRLRYSFCGVGEHCEVGQKLAGAGAGATSPAGLAACLLSAL >Et_4A_031780.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:14069638:14072095:1 gene:Et_4A_031780 transcript:Et_4A_031780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNISGHDQTCLHDIYVSRAVAVGNEPFLKSYKGQFEAATLPAVQNIQAALVKAGLGRQVQVTVPLNADVYESGDGKPSSGDFRPDIQGLMVNLVRFLLNNNGILSINIYPFLSMDADPNFPKDYAFFPSPGAPPSPASVQDGNVLYTNVFDANYDTLIAALEKHGLGAIPVVVGEIGWPTDGDQNANVASAQKFNQGLFDRIVAGKGTPRRPQMPDVYVFALLDEDAKSVDPGNFERHWGVFNYDGSPKYNLRLVGGRPVVPAKGVRYLSKQWCVLRPDANPTDPSVGGAVQYACQYADCTSLSPGSSCGSLDVRGNISYAFNQYFQAANQMKSACTFNNLSVITTTDPSQGSCRFHIQIDTGKHDLTGKSAAGRATAAWTAVMAMGFLALVMAAW >Et_7B_055218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8369946:8372019:-1 gene:Et_7B_055218 transcript:Et_7B_055218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATRHGGRVVHCPPSAPYSSRSGQASLAREDGPGCKACCRCCFCLAAVAAAIAGIVLLTVFLERRFPKEDPVYSAAIAGVAGLDAVAVGAAPLSPVFNLTLRIDNTRNSWDSACVRALSTAVVSYGDAPLARGSVPPFCAKEMREREGEMARAWGEGVVLPPFLREQLAGELAAGEAAVDVKVTMPARCHDCSDVVLSCSKVRIGGGGPSRCWVDHSRVGGRTRSNWEGSTNPLKLSSPPSATNQLEQETRAAMTSQESTARQEEDAKACFACCRFLFAAAAVITGVVLLTVFLMHRYPQKAPVFSVAVAGVAGLDPARDLSAAGGPPALSPVLNLTVHIDNLRNAVETACVASLSTAAVSYGDAFLGKGSVPEFCAGRRRESERVVRVWGQDVAVPRFLRWGRRRWTSM >Et_3B_027624.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:4715294:4716136:-1 gene:Et_3B_027624 transcript:Et_3B_027624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKFLQLVEEKKKRILEKKEAPLKWQQKLEAAAKAKADAEAKEKKLKSRKHKRRDSSSDSDSDSDTGVERKHRKRKDRRRHKKHSHSDSDDTRRHKRRSKRRSSSSSDESDSDDYESGSEEDRRRKKHSHRRKRHRHSSRSDSEDYSSDDEERRSTKKDHSSRRRRHRSSSDDDSEGKHRSRHRKRVRSSDEDQASDSSNHKRHRSRSLEELSDDSSADESVKTRNGRRSHRNGHSHHHHRYHRHHHHGGRNNCAEPNDKKHILNSDQKALEGDMREE >Et_6B_049607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7179725:7180938:1 gene:Et_6B_049607 transcript:Et_6B_049607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDAELKQSKRAKMREGAEQQEASSPLHVVVFPWLAFGHLIPFLELSKQLAWRGHAVTFVSTPRNVARLPPVPAISLSGSVRLVSLPLPPVVGLPESAQSTADVPPEKTELLKTAFDGLAAPFADFLAAACAGEGGVGLLQKRRPDWIVLDFGIFFRIIRAVGHPVTNWSPDDRQWHHWLGPIAEEHKCSAKLSVCIGSLRDVQTRRASPTSSASGGRSSAAASSCSAAARSWSPACSPLMAELDRKPAVPAGLLLPEPAEEEERSSDALRWLDEQLPGTVVYVALGTEAPVTADGVRELALGLELPGARFLWALRRPSGLLPDGFEARAPSARGGCRRCGCSRTPRWARS >Et_10B_004198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18522833:18526279:-1 gene:Et_10B_004198 transcript:Et_10B_004198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRAKSPSPSSASTPRITSPFMTTHHYLPINNATPADRDHKIRRMRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQHCNRDVVKALLELGAADVNSRAGPTGKTPLHLAAEMVSPDMVSVLLDHHADPNARTLDGVTPLDVLRGLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMYT >Et_1B_013113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5596565:5597343:1 gene:Et_1B_013113 transcript:Et_1B_013113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DYYKVLEVDYDASDDAIKLNYRRLALMWHPDKHKGDNDVTAKFQEINEAYTVLSDPIKRLEYDFSGCYEINRYTLREYLSRFKGMILTCNGLGMDHPSKWVQHLRELEPH >Et_9A_063461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3004972:3012056:-1 gene:Et_9A_063461 transcript:Et_9A_063461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPGGLGFASRRGLYAQAAQQHHHHGGSAQTSPGGSPTASSPVHRHARSGSLGGAGAASTAGRRGAASAAAAAAGGAGSRAHNTAARAAAQRLARVMASSTDVGGGAGGGAGSGSDDDDDDYELSGPPIELSSTPRRSSARSPSPSIGRYLADQAPVSRPPSLTSRYTAGKSVPLIPSIKRPATSGMGSESPSMNRREQRRSVDLGTSLRGRRTSSALHDELHLAEERSEDGDAKSMHMERQASVISDASETEAILINRKDAALEQRKIAMRIASRRSSSASYDEIAALRSESKVDLSSNMVGSDVVTSVSRRAKSSGSELRSLHATANKMVLSHEEMIFSLSSLGIHSDIAEEKQEYWSSFAPLALEAVLSIGQKARDGTLSDNADMETKSKMSDINDMAGDGNIESMLSVEKGLRELASLKVEDAIMLALAEHRHIRPLSELSEDEQEDVRFKQAWLTYFWRRAKNHEIEEDIADERLQFWIEQSNHPIGTTDIIEAERGLYELRKLGIESQLWEATRRASDDDFSNRGSPTGSEV >Et_8A_056781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16671557:16674944:-1 gene:Et_8A_056781 transcript:Et_8A_056781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPAAATALAVALAALCLAAPAAGFYLPGVAPNDFEKKDALQVKVNKLTSIKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYTFEMREPQMCQIVCKISVGEKEAKLLKEKIEDEYRVNMILDNLPLVVPIQRVDQEGAYFYQHGFHVGAKGKYSGSKDEKYFIHNHLSFTVKYHRDEQRDVSRIVAFEVKPYSVKHEYEGQWNDKKTRLTTCDPHAQRVITSSDSPQEVEVGKDIIFTYDVEFKESDIKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPANSDWLCVYVGTGVQFFGMLLVTMVFAVLGFLSPSNRGGLMTAMLLLWVFMGLLAGYSSSRLYKLFKGSEWKNIALRTAFTFPGSVFAIFFFLNALIWGQKSSGAVPFTTMFALVLLWFGISVPLVFVGSFLGFKKPAIEDPVKTNKIPRQIPDQACEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKFVSAVLYFGYMLIASYAFFALTGTIGFYACFLFTRLIYSSVKIE >Et_8B_060266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8274707:8275313:-1 gene:Et_8B_060266 transcript:Et_8B_060266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVMSEFLGAGRERQNRKRKQFNTVEMKVRMDCDGCELRVRNILATMRGVQSVDINRKQQKVTVQGFVETQRVLRRIQSTTRKRAELWPYVPYTNPYAAPPVYDKKAPAGHVRRVEAAVVSVPGAGPGQEERLATLFSDDNPNACTLM >Et_1A_008292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6017688:6019855:1 gene:Et_1A_008292 transcript:Et_1A_008292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVQRDYRAILLLTLATQSKSIQWTTPSQNRVDKTIEGPGSTESSKSNHPVYPSVPSPHRTRHVIPHVLHAFAGSVTTTPIQITRALLRSPAAKEKGPKNPAIRRRGGTTTGPPPPPPPHRPQHCGQLHPTQQPSASHASESKHLQHRGKGIGVGRASHKSVDRQRPRARRRFGSPACAVLLLPVPEAGVPIEERAEEAWSHAHQAPHPPPSRGAHLRARLRRPRGLRRRRCRRRPRPVRGVPGGGAGPDRVEAALPVRGGRLRRLAPVARRAGRRARARPRLRRRVPGGAAPQGGVCGRRRRRLRLALDGLFDQAFAASAADHLASWLAAAAARGVERLELHLPRSRHAVLPPSLLGCTSLTSMTLRLDHYVLPLPSLSALTRLSRLHLASVSLAGNGDFFGDLISGCTELRCLILEQCHIGALRLAGPSRLCSLVIKDCSWTQESSVAVSDMPELRTIRYSGEAATRHIVDGDISLDEVRLAIEKPQVKPREATLRELITLVGNVRSLLLSPWCMEQFAHPEEWSKGRLGKVKQLSCIIGRREEGALSVAPLLSNCPNVEELCVSVVPTQCKRRRCSDDGEFHRVLGRKGATMKRLRGIRMEYIDESKSGFELVKVLLKNAPALEMMTIVPSMDGLEQAMFRRRVLKLRKNSRNASIQFSTTG >Et_10B_003721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5258213:5261063:1 gene:Et_10B_003721 transcript:Et_10B_003721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDDSLATVPFLILGNKIDIPYAASEEELRYHLGLSNFTTGKGKVNLSESNVRPVEVFMCSIVRKMGYGDGFKWMSQYIK >Et_3A_026795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2142696:2149169:1 gene:Et_3A_026795 transcript:Et_3A_026795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDCERAEMQVNNEAPLGCLKPNISQYSSPEKRGGLDGLPENNEKPNVKVAAEKFWEASPLPNQGLNRPLYRQEFYAWPYIYSDYQMVRQPQPFGFDNQFYQLNRDHGFPIENRVQYLPFKMLPQGHPHDAQLQEFQYFVVIDFEATCDKVNNPFPQEIIEFPSVLVNSATGQLEASFQTYVRPTYHQFLTDFCKELTGIQQIQVDRGVPLGEALLMHDKWLEDKGIKNKNFAIVTWSNWDCRVMLESECRFKRIRKPPYFNRWINLKVPFHEVFGDVRCNLKEAVQLSGLTWEGRAHCGLDDARNTARLLALLMHRGFKFSITNSLVWQPAPQSTTSCQLSPDRSPDPVQSQKPNEMLGSPVQLNPYAATAGKDRPMYCYCGVLSRWSVVRKPGPMQGRSGAYRYD >Et_2A_016814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28466097:28469132:-1 gene:Et_2A_016814 transcript:Et_2A_016814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGNWKANVEFHFMHERKEASIAVHAPVGNGRGIEALGFGKMEWRSFVWQGRMHPSGGPLSVPPGFRFHPTDEELLYYYLRKKVAYEPIDLDVIREIDLNKLEPWDLKDRCRIGTGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIFLGSARRIGLRKTLVFYVGRAPHGKKTDWIMHEYRLDEESVEIQEDGWVVCRVFKKKNYQRGLNPADMAMLEDEELPQLPTPVPGAMPTEHKLNPHLMHYDFPSFDPSMQLPQLMNADQPVPAFLSSQPGVAMGMSSLDAECSQNLMKMTSSGGGEGMLHGGGGSGGAGVDHFASTTDWSILDKLLASHQNLDQLFQGKVTAASAAPMAPPYHQQLMELGSTSSLQRFPLQYLGGEAADLLRFPK >Et_7B_054031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14394514:14399366:1 gene:Et_7B_054031 transcript:Et_7B_054031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCPYFATEEGARGIRAGESPAAALRRILATPGAHQAPCCFDALGARLVERAGFPIGFMGGFCVSAARLGLPDVGLISYGEMVDQGRLINESVSIPVIGDGDNGYGNSMNIKRTIKGYINAGFAGIMLEDQVAPKACGHTEGRKVISREEAIMHIKAAIDARKESGSDIVIVARTDSRQAVSLDEALWRVKAFADAGADVLFIDALASVEEMKAFCAVAPRVPKMANMLEGGGKTPILTPAELEEIGFNLVVYPLSLIGVSMRAMQDALVAIKDGGVPPPGALPSFQEIKDTLGFNRYYKEEKQYQVVSYDVFLSHC >Et_2A_017200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31951169:31953608:-1 gene:Et_2A_017200 transcript:Et_2A_017200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWFSSGSGPSTSSAASPQPSLLADWNSYAAARSAEDDAGDGFGIDIEAAVRSANDRVAGTFGVVSKGVKGRLKSTTSSVQSGSLMYFGLFLASGIFLVFIAFTIFLPVMVIMPQKFAICFTVGCAFIIGSFFALKGPKNQLYHMISKERLPFTVGFVGSMVATIYVSMVLHSYVLSVFFSVLQILALAYYAISYFPGGSAGMKFLSSALVSSVLRCFGR >Et_6B_048505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1046069:1053503:1 gene:Et_6B_048505 transcript:Et_6B_048505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGSSALYAHTKQSQDTSPDDNRIILVHAQKRPEAGVTCCGQGSEMMEAMRRQQELVMQLRALVLPQLHDDGRRAELSVQLFDDVIGCNISILSTLEGCLIRSGAGGGPAIELVNDKSLVRKNNSTNTGQRSEDQGKRNIIGQKRRRTDKRSRSLVTHVPHYDGHEWRKYGQKNISGWQHPRSYYRCTYRSERRCLATKTVQQQEQNDSTSSATATEEIAKYSVVYYGDHTCKDHGISTVQPPYQLVNMDVQSAKIVQTTTNIQEFEADFDLPALLEGFDSSLINCYDWDLEDLLPRCNKMKQHHQPNKNSMYLPNQSPTCDNLSHVCDHRSVMKEIAREQSLVTQLRAIVLPALQADERSELVAQMFQNILDCSSKAMAELQLHQSDDARAESDNTLVDDKKRIRRIPDNSNKEEDVKLHRQHKRRRFADLVPLETPVPHYDGRQWRKYGQKHINKAKHPRCTYRQEQGCKATKTVQQQDETIGSDHPVMYTVVYYGQHTCTGNNGGDSGTDDSQTNPTRQSSNDSQSSISGNCSDPSDHQMFLDANKLIDKSTDSIKENKMYEALDITEFVALDLDSWELDALLRFEWGNGEV >Et_7A_051785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24679021:24701110:1 gene:Et_7A_051785 transcript:Et_7A_051785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTGPIFGAPAFATSDDPNWRFAPSREGVEDAIHHDGRFYSLTYSGLVEAWDRDTGGGFTSKVVAPRLTVAAGDDKRRKYLAVASDGRFMVVFKECMEVEVKGSGPEWTCEFKVRRLDETRQLWEDVPDIGDMAIFVGVNSSVCVPTTTGPGIRPGCVYYTEDEIGKASLRHENYVSYRDADEVLRRVDLRETQGGSDLKKATRPPTLAAAGLSNAAGGINNNIVARLPPDILSEIHRHLTFLDRIAFALVIRRESGGHVMTTDTPWLVLPSSASDETATLVSIADHKRTAAVPTCDPAMRGGHVVVGSSHGWLVTADAKGALRLANPVTGAQAELPSIATIPLFTVRSGISYVLDGDAFARVRFGGPPPYHGDTTWGPTPPRTFTLNADQLRTSSPRSETFAAMLVTAPTFGAPAFATSDDPNWKFATSREGVEDAIHHEGRFYSLTYSGLIEAWDLDINSGGFTSTVVAPRLTVATDDKRRKYLAVAPDGRFMVVFKESQGGRRKIRQAEVDMLDEARQGWEEDPDIRDMALFVGVNSSICVSTTEHPEIRAGCVYYFTDDEIGQAFLRQETYRSYSEPDNELRSVAVYSFSEDRVDLIVNPEDYPRWPLPAWFTPQQARDLPPAKRPADDIRVNLVDRLSHDLLANIHGRLASLDRVAFAVVCKTAGHPMHPDAAAAAPWIVFPGASEETATFFPLADGRAATARTEVIGTRGHVVVGSSFGWLATADELGALQIVDPVTGDRAMLPDASTFPFSRYSRSISREGHYYIDTAAYLRTRYGGQPPPEGREKVVRPRSDTLTGDQMRQWFYSKVVLAASPRPDSYAAMLILDKDYGVPAFATAEDQAWKVAPSRDGIEDAIHHDGRFYSVSHSGAVEAWERDGVTGEFTSKPVAVARVMDVENFVLDRKYIAAKPDGQLVVVVKYTREVDATSYYEKKKWSCAFVVQVLDAARGRWNMTTDIGDAALFVGMSSSIFLPTAAHPGIKAGCVYFADDELHQAAERRDREAASRYGRNTEKVDHGPQHVGVYNLMDGTVEVVQGLGLQSWSSPPVRKLKRQRARAAAAVRVNLVKRLPQDLLANIHGRLTFLDRLAFASVCKAASHPMNLEETTRTPLPPWIVFPGETEEKATFFSLADGRAAAARTADLGTRGHVVVGSSFGWLATADELGALQIVDPVTGERSRLPDITTVPFIDYSLKYSKEGHYRLDMAPFLRARYGGLPVPVDEHYGSMVPQTSTLAGGQMRHWFYRKVIPAASPRRDSYAAMLILHQDYGVPAFATSEHPIWKVAPSRDGVEDAIHHDGRFYSISYSGLVEAWERDGATGEYTNKFVAAAARINKVLKEGFNVVHKDRKYLAAMPDGRLVVLHKYSWEEQMTYHQPRNWSCVFKVQVLDAAQGRWNETRDIGDASLFVGMSSSLCIVSTATAHPAKIKPGCGLGRQPWSSPPLWFTPTAHWAGLLPELLADIGDRLPIQDRLAIAAVCSTWRAACNVGAPCLVLPSATLYSLANGGRVEAVRGPDPSQVVLGSSGGWLAMADELGVLRIANPVTGDITTLSPIVRHHPAHSLSFSVDMEDLLAQVRFTGAPPNQGLIHEAGSLSVPPKLMQGGSLLPLSARRHALILFLHLTPRPSRRWRGAPPRDGVEDAIYHNGRFYSVSYSGVVEAWDDDRLGDDGVFTSRVVVNATPFMHGEHHIYSKYLVAAPDGRLMIVLRRRTDRRSTTTPPPQTTNCRKTSVFKVHVLVDNDDELGTVRQCWKETKSIVLVRVDEGASGAQGRLRILHPVADPAGQQGAPRLPIQDRLALARRVQPRGAMPGPLVGELAAGDSQYDLLSRQPSR >Et_2B_022263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1643252:1647697:1 gene:Et_2B_022263 transcript:Et_2B_022263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNNKVDSLSYDVEAPPAMAGDTTPQPPPASTTHHQQQPQPQRREVLELHKVSVPEPRTTAKALRQRLAEVFFPDDPLHQFKNQSSARRLVLALHYFFPIFQWGSEYSPRLLRSDVVAGLTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLIYSLLGSSRDLAVGPVSIASLVMGSMLREAVSPDEQPILYLQLAFTATFFAGVFQASLGFLRLGFIVDFLSKATLTGFMGGAAIIVSLQQLKGLLGIVHFTSHMGFVDVMTSVVKRHDEWEWQTITMGVAFLAILLLTRQISARNPKLFWVSAGAPLASVIISTILSFIWKSHSISVIGMLPKGVNPPSANLLAFSGSYVALTIKTGIMTGILSLTEGIAVGRTFASINNYQVDGNKEMMAIGIMNMAGSCASCYVTTGSFSRSAVNYSAGCKTALSNIVMASAVLVTLLFLMPLFHYTPNVILSAIIITAVIGLIDVRGAAKLWKVDKLDFLACMAAFLGVLLVSVQVGLAVAVGISLFKILLQMTRPNMVVKGVVPGTQSYRSVAQYREAVRVPSVLIVGVESAIYFANSMYLVERVMRFLRDEEEKALKASQSSIRCVVLDMSADMRRIGNLAAVSAIDTSGLDALSELKKILDKRHIELVLANPVGSVAERMFNSAVGEAFGSDRLFFSVAEAVAASQCKAQP >Et_5A_041440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22938034:22940033:-1 gene:Et_5A_041440 transcript:Et_5A_041440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEIGLVLARASDLRSRISACAAAARPPSQQDAEEAVKRLGAAEDEDGGGEEEEEEEVESLVGISDALESLERQLAALQDLQHQQRYERETILSQIDRSRRFLLNKLKEYKGQDCEVIHEAAAFAGEKIEHDDGLILPPYSNHITNSFVLDDLYPLNFLSKSKRLQNGLDSNGMTQDDTRTNGLEKRNARTSNHGSRRGIGSFIGWMAKTAVMVVGAVTIMKASGYEPVIARNIKLDIGRVFGKEAGSRQERSTVECPPGRVMVLEDGRAHCVVKERVEIPFDTNLASPSASYGLG >Et_4B_037690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22618500:22623913:1 gene:Et_4B_037690 transcript:Et_4B_037690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRGLTAVSRLRSRVTQEATTLGGLRWLQMQSASDLDLKSQLQEMIPEQQDRLKKLKSEHGKVQLGNITVDMVLGGMRGMTGMLWETSLLDPEEGIRFRGLSIPECQKVLPTAIEGGEPLPEGLLWLLLTGKVPTKDQVDALSKELLSRSSVPGYVYEAIDSLPPSAHPMTQFATGVMALQVESEFQKAYDKGMPKSKFWEPTYEDCLNMIARLPPVASYVYRRIFKDGKRIAADNALDYAANFSHMLGFDDPKMLELMRLYVTIHTDHEGGNVSAHTGHLVGSALADPYLSFAAALNGLAGPLHGLANQEVLLWIKSVIEETGSDVTTDRLKDYVWKTLKSGKVVPGYGHGVLRQTDPRYTCQREFALKHLPEDPLFKLVAKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSIGIGSQLIWDRALGLPLERPKSVTMEWLENYCKNKAA >Et_4B_039537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2097101:2097444:-1 gene:Et_4B_039537 transcript:Et_4B_039537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYDSYDNGDGGFDAVPRGHRFLTEEDVPALQGREEKSDKCTVCLEAYEEVQAPLRTMPCSREFHEDWLRVSCVCPLCRFKLPAKSEDEEPDGAFLGAAPQHNSAITLLN >Et_2A_014515.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18325751:18326134:1 gene:Et_2A_014515 transcript:Et_2A_014515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLSRAPNLLTISHDKIRRVSRFLISEAGLDPKYVASNPGLMTYSLEGRLMPRFYVVKFLKEKGLLGPQRSYYAAVVPKEKDFVERFIHPYKGAAPHLAEDYAAACRGQVPSRFRSQEPRTGLESV >Et_6A_046858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21627748:21631526:1 gene:Et_6A_046858 transcript:Et_6A_046858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVAVALGRVITKLGKLLKEEYDLQKGVRKKIMCLSKDLEMMQAALEKMAEVPPEQLDQQDKLWAREVRQLSYYAEDVVDRFLLRVDGREAPDPNMFKRAAKKICKELGKIKARHQIDSKIESINQRARELKVRTDTYKVNTEAESAPSSTVDRRTWDYKAMKGLVGLEKPRDELISKLNSDNEMQTVLVVGVGGLGKTTIVKAVYDKLIDFEREREREKEKLKDKEVDSKADFEREREKEKLKDKEVDSKADFEREREKEKLKDKDFVSAFVSVGKNPDMKQVFRDILMGLDKDEYTAANYDKFNANQLSDELEVYLKDKRYLIVIDDLWEAETWNRCKSAFFKVKNQSILITTTRISTLADQDDKVYEPQPLSEKDSKRLFYTRVFGGKGTNPDNQLDKLSGKILKTCSGVPLAIIGLAGLLMDKPREQWIKVSKSIGIDDTTMKILSLSYYDLRPRLKTCLLYLSAFPEDYFIDKSSLIWKWVAEGFVHQEEGTGLFEVGERYFYELINRSLIMATKSEPDLYGCRVHDMVLVLLRKISREENFVTIPDNDGRMTISDCDGARRLAQQKGIVELTHQDRHLNWLGYLKCTHQKRKKDHLISLIACHCDYKVLSLQNFKLLRVLALEHCKAGEGFIYLEHIESLVHLRYIGLRGTSIQELPESIGALKLLQTLDVSNTRIVELPLSIGQLTQLICLHASVVKGQNGVIENLTSLEDLRIAFCGDIAGQLTRELGNLSELKTLNLKSIDTIEQSDLIDSLGKLHKIRYLCLHGNMRYMDDLENGLVLPETLQHLFLRIMFHALPSWINDEALPNLFQLDLSVQYMDEKALKTLGKLPELRYLSLTLPTESTVTLTIHDDHGCFFQKLRSLLLAHSMVWFVPNKGSSVSFTIGNEQHDVAASTGTRKGALAVMPNLEMLQFRIKLNNLVDCNRGSCASLGLNCLPSLQIVKVDFDRNGVCVADVEKEEAALRRATQEHPNRPILQMNTFGKPTDRNRPMRRFRYVTPSTLLIVDSL >Et_4B_036090.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:22686117:22686407:-1 gene:Et_4B_036090 transcript:Et_4B_036090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQQHHIKAITPTWLLVKKVAPAPPRDGAKKPTPAAAYSPLFLSPSVWQKAQDEKKKSKEDAGGLPLS >Et_1B_010307.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5257991:5258116:-1 gene:Et_1B_010307 transcript:Et_1B_010307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVANRTYLLQCNQFFAKETLDWCVLARRNTHLSSLVNYT >Et_3A_023177.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:5217249:5217632:1 gene:Et_3A_023177 transcript:Et_3A_023177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAIRMPCSNTNYGCDKTIAYYQKETHENACMYAPCFCPEDGCSFIGSTGSLLNHFVTEHKWSQTNFHYNKALRISVKRHSRFTLLVGEDTSMFLLLNIFAHIGNALSLLCIRPNESGSSVS >Et_10A_000987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19927658:19936796:1 gene:Et_10A_000987 transcript:Et_10A_000987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAASAAPPNRRTRSRPPSASSRKSDDPSAAAAAAANGNGNGKRTVKKLRLSKALTIPEGTTVSEACRRMAARRVDAVLLTDAQGLLSGIVTDKDIATRVIAEGLRVEQTIMSKIMTRNPTYVMSDTLAIEALQKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAISRLEKAAEQGSAIAAAVEGVERQLGGNFSAPSALIETLRERMFKPSLSTVVTESTKVAVVSPTDPVRVATQKMREFRVNSVIVATGNTLQGIFTSKDVLMRVVAQNLSPELTLVEKVMTANPDCATLDTTILDALHIMHDGKFLHIPVIDGDGQIAACLDVLQLTHATIQLVEGGNGTANDVANSVMQRFWDSALALEPPDEEFDSHSEVSLLMASEAGDGKSSIYPPVVGNSFSFKLQDRKGRVHRFTSGTESLDELMSSVRQRLNITDEKDTVQLLYDDDEGDRVLLTTDGDLVGAVLHAKSSGLKVLKLHIEDPGLSTEVTKPSQELAPPPRGSGLSPVHIGLMAGVVALSGAAVMAVQETQVV >Et_9A_061982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18529542:18530936:-1 gene:Et_9A_061982 transcript:Et_9A_061982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLYQFSCANTVTGVEWQSSDRACEWQRSSYSSLPNKKKNIAGSAGDVLEDDPTGRLKVYVYDLPSKYNTDLVEKDPRCLTHMFATEVFVHRSLLSSAVRTTDPEEADWFFTPVYTTCDLTPSGHPMPFDSPRMMRGAIRLVAERWPYWNRSQGADHFFVTPHDFGACFHFKEEKAIGRGILPLLRRATLVQTFGQRNHACLKDGSITVPPYAPPRRMRDQLLPPGTPRSIFVYFRGLFYDTTNDPEGGYYARGARASVWENFKNNPLFDISTDHPATYYQDLQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIVADDIVLPFADAIPWEDIGVFVAEEDVPRLDTVLTSIPPEVVLRKQRLLASPAMKRAVLFPQPAEHGDAFHQILNGLARKLPHGGDVFVKPGQMVLNWTAGPPGDLKPW >Et_1A_007045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30308563:30319708:-1 gene:Et_1A_007045 transcript:Et_1A_007045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRGLSAAVIATDVSRRVRAGRCSCSELERIIRERFRSGSLGPEDALHLFDELLPQARPASVYAVNQLLTVVARAPASSSVRDGPALAVSLFNRMARAGAKKVVPDICTYCIVIGCCGDAGRLDLGFSAFGLVLKAGWRVDTITFTHLLKALCATKKTSDAMNIVLQRMPELGCAPDIVCYNILLKGICNDKKSQEALELLHMMVDNAGSCPPTVVSYNTVIDGFVKEGEVEKVYILFQEMLERGISPDVVTYNSMIDGQCKAQEIDRAEDVLKEMLDKGIKPNCRTYTIMVYGYCSSGQLKEAIRILKEMFRNGLEPSVVTYNLLIDYFCKAGRLTEARKILDTMVQKGKKLDVITYCILLNGYARDGTLVDVHGLLDLMAADGIAPDNHVVNILMCAYAKRQMVDQAMLIFMKMRLQGLKPNVINYGIVIDGLCKTGRVDDAMSHFNQMINEGLTPDIVVFTSLIHGFCTIGKWDNAEELVFKMIDKGIQPNVVFFNTLISNLCQEGRVMEAQHAFDLMVRIGVTPSELYITMVDRGKQLDVVTYNIVLQGLCENGCLDEALRMFESLRSNKFQLEVRTFNILICHLLKVGQKDEAKALFSCIWSTDLVPDVVTYSLMIESNIKEGLIEESDDLFLSMEKNGCAASSRMLNTIVRALLEKGEVIRAGSYLTKIEEKNFSVEASTAKSIVSIVSDTKYQKEVKFLPKKYQFFVKPRTAHRYLLCLPSADCISHRRSGRRAGGHPFLPFAEHGL >Et_4A_032792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1471371:1474426:1 gene:Et_4A_032792 transcript:Et_4A_032792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQCQNGTLGSDYYNRPVSRYADGYVEEDRYSDLKKFDKPWPEVNSFKPTAAGILRRGLEPTSITVLERKTADLREHYIIGRRLGQGQFGTTYLCTEISTGCEYACKTIPKRKFITTEDVEDVRREIRIMHHLSGHKNVVAIKDVYEDNQAVHIVMELCAGGELFDRIQEKGHYSEQKAAELIRIIVSIVAMCHSLGVMHRDLKPENFLLLDKDDDLSIKAIDFGLSVFFKPGQVFTELVGSPYYVAPEVLHKRYGPEADVWSAGVILYVLLSGVPPFWAETQQGIFDAVLKGHIDFESDPWPKISESAKNLIRKMLCHSPKERLKAHEVLRHPWICENGVATDQALDPSVLSRLRQFSAMNKLKKLALRVIAERLTEEEIAGLREMFNAVDVKNRGVITFGELRDGLRRYGTGLEDSEISDIMEAADKDNNVTIKYEEFIAATVPLNKIEREEHLMAAFTYFDKDGSGYITVDKLQRACGEHNMEDSFLEEIILEVDQNNDGQIDYAEFVAMMQGDKVGLGWQTMETSLNVTLREAPQVH >Et_3A_023987.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:15715002:15716548:1 gene:Et_3A_023987 transcript:Et_3A_023987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVKIGPWGSDDGDQRDVTYRRAAPP >Et_7B_055962.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8095293:8095910:1 gene:Et_7B_055962 transcript:Et_7B_055962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDLEAGSPKSQQAPAAVSCVKLETIMVCVAAVALVSTAVFFFAIYPSDTLLPTFAVDVAAVEGLDDAANATTSTAINATFELTLHGVTRRRRLGPALEICQERGTVAVSYAGAVLAWGRVPKFCPPAQGQARVRVVALGADVRLSDELRGRLASERPARAAELDVDMTLDRWRLLSCRVKLDEEPSPQPAPCKVFTVHFVPSF >Et_10B_003170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17265919:17268501:1 gene:Et_10B_003170 transcript:Et_10B_003170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRPEGQNRPPRLRRALLVFFPSPHPTHARLLRSDQSLRPKRRREISRARGGDDEGEAAARELLDFPHRSSSSRRKKMAVSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSHSRRLRTKPEVSTVQPSTFSAKIYRISEYEIMTLE >Et_3B_031371.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27106631:27108721:-1 gene:Et_3B_031371 transcript:Et_3B_031371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHPRPVVTTSPPGCSTSAFSMPPPPSPSDGELLRSLHRLARDLSAVAETPAPFLRAALASISRRSKLLAAAFDDLTTCAAAGDLPRSASLCLREVLLVLQRFKSVAADCAARSRMRLLLQSDEIEEEVRELHQDLATLLDLLPVAELGLAEDVADLLALASRQCRRFAPAAAAAERALKARVLSLIHEIEREIVPERERLEEILEEVGIHDPASCGEEIESLEREIGDRASERWTAAMIALVGLLRYAKCVLFSASTPRPSGSGAKPADHPEADDEDGEPPAPPPDFRCPISLDVMRDPVVVASGQTYDRESIGRWFDAGKSTCPKTGQVLANLELVPNKALKNLVAKWCRENGVAVEGGEAGRGEPVVAAVAANKAAQEAARMTASFLVKKLSAVSFSAAPDAASRVVHEIRLLSKAGAECRAFVGEAGAVPLLVPLLYSQDAGLQLNAVTALLNLSILEANKKRLMHADGAVEALVHIMSSGATWRAKENAAAAVLSLASVHTYRRRLGRNPSVVEKLVHLVRAGPTSTKKDALATLLVLAGERENIGKLVDAGVAQVALSAVSEEETAAAVLAALAKRGGAEAIVSIDGAVARLVAEMRRGTEWARENATAALVLLCRRLGSQAVTQVMAVPGVEWAIWELMGTGTERARRKAASLGRICRRWAAASAADGERGNGCPAASVVDPPAMMAS >Et_5A_041175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1894294:1900224:-1 gene:Et_5A_041175 transcript:Et_5A_041175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTERDQFTDEEEEDNLDEDMDDQDFGSEDEEGEGGAKRKRMLERMAVPGKRGVCYLSRVPPHMNPSHLRQMLSKYGEVLRIYLVPEGQGHRKHTTVKAKAYSEGWIEFAKKSVAKRVANLLNGEQIGGKKRSSFYYDIWNIKYLRKFKWDDLVGEMADKTHIREQKLTLEIAAAKKQRDHYLSNVEKSRILKHIQERRKKKQKTEGAEPSDALETKTARPIPQKKPVAETGNKTKPNLSKDILAGYYMTLRLEI >Et_3B_030996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9868137:9870544:1 gene:Et_3B_030996 transcript:Et_3B_030996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARCLSVLALLVAAALQLPGDAIAGRGAKHHGPAPHDYREALAKSILFFEGQRSGKLPPSQRVTWRRDSGLSDGAAAKARYIYREGENNACLCFFLFFGQLIGHSAFALLLTALGNFWQVDLVGGYHDAGDNVKFGFPMAFSMTMLAWSVVEFGGLMKGELQHAREAVRWGADYLLKATAHPGTIYVGDATKDHACWERPEDMDTPRTVYKVDASTPGSDVAAETAAALAASSLVFRKADPAYASRLLARAKRVFEFADKHRGPYSAKLAPYVCPYYCSYSGYQDELLWGAAWLHRATKSPAYLSYIQTNGQLLGAGESDNTFGWDNKHAGARVLIAKSFLVQRLGATHEYKAHADGFICSMVPGTPTDATQYTKGGLLYKLGDSNMQYVTSSAFLLLTYAKYLAFAKQTVTCGGGVVVTAHRLRAIARRQVDYLLGSNPMGMSYMVGYGAKYPRRIHHRASSLPSVAAHPGRIGCSQGFTALYAGGPNPNVHVGAVVGGPNEQDQFPDERNDHEHSEPATYINAPLVGALAYLAHSYGQL >Et_1A_004963.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:19442848:19443291:1 gene:Et_1A_004963 transcript:Et_1A_004963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRMMIPALYVKKSRKRWMTYYNIVSIVSETWYWFLWRFGIQHAAPSLVETPFVEWWLQSYKRIDKEIKQGFDTLVVLVVGRLWKERNKKVHEFQTLQPVTLALEIVDEVNFFDDNELHQASEVVLPAFRESLDPPPPPPRFFFFM >Et_1A_008274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5985042:5986149:1 gene:Et_1A_008274 transcript:Et_1A_008274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLLVFAWAAMVVSSVAFASPAALEVGFYKNKCPQAEDIVRNAVRRAVTRNPGLAAGLIRMHFHDCFVRGCDSSILIDSTPGHEAEKDSPANNPSLRGFEIIDEAKAIVEQHCPRTVSCADILAFAARDGAYLAGGIDYAVPSGRRDGRESVMDEVLLHVPFPEFTVAQLVDNFKRKGLSADEMVTLSGAHSIGRSHCSSFTQRLYNFSGELGRTDPAFDPAYAADLKRRCPQLTYDMSDRTTVPIDPKTPDVLDNQYYRNVLAHKVPFTSDQTLLDSPWTAGLVAFHAAVGKPWEAKFAAAMVKLGAIDVLTGDEGRSGRSAPS >Et_1B_013808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17482129:17483714:-1 gene:Et_1B_013808 transcript:Et_1B_013808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKFARLLLAVALVRAAASSSDGDGVGRQTTTRYAGVLSFGDSLTDTGNALHVGPGPATASRPPYGETFFRRPTGRASDGRLVLDFIVEALGAPQPTPYLAGKKDFRRGVNFAVGGATALDLQFFKSRGLKPFVPVSLGNQTSWFSEVLQLLGPVHVVTIAEQRKVAATSLFIVGEIGINDYLVALSGNRTFGEVKTLVPLVVAAILSLLTDVIAAGARTVVVPGMIPLGCEPQLLAQFQGSGRENGTGDYDPKTGCIARLNDLAELHNRALNRMLSGLRRSYPGTAIVYADLYHAITDLVVSPRKYGFRDRPLDACCGGAYNINTAAFCGSPGTAACADPSEYVSWDGVHFTEAAHRRIACALLEEGSDVRTLPNSWGATEAGRRRIGCI >Et_8B_060852.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:9045676:9046242:-1 gene:Et_8B_060852 transcript:Et_8B_060852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPDEAQLLGMLVKLTGAKNTIEVGVYTGHSLLATALALPDDGKIVAIDTNRDDYDRIGRPFVEKAGVNHKVDFREGKGLERLDELLADEGNLGRFDMAFVDADKPNYVRYHEQLLRLVRAGGIIVYDNTLWGGAVALPDDAPMTDFDRWISTAIKDLNARLAADSRVKVCQLAVADGVTICRRVV >Et_10A_001505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4209882:4212734:1 gene:Et_10A_001505 transcript:Et_10A_001505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTGAAATTGPLGMEMPIMHDGDRYEHVKDIGSGNFGVARLMRNRASGELVAVKYIDRGEKIDENVQREIINHRSLRHPNIIRFKEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGGYPFEDPEDPRNFKKTIQKILAVQYVIPDYVHITPECRHLISRIFDANPETRITMPEIKSHPWFVKNLPADLMDDATMSSQYEEPDQPMQNMNEIMQILAEATIPAAGTRGMNQFLADSLDFDDDMEDLDSDLDIDIESSGEIFGSQSIQNMISIYKPVQVVTLFCNLHSEVRDNLLGLSPQQCRLLVTHDVTKGLQGGRRSWEAPQPRAFPHVYLLQACQVTKFLWEVCEVDTLRYVK >Et_2B_020897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24642791:24646328:-1 gene:Et_2B_020897 transcript:Et_2B_020897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDFQAIPLIDISPLVEKIDDPSMANDMDLLEVVRKLDDACKEAGFFYVTGHGITESLMREVRDVTRRFFQLPYEEKLKIKMSPQSGYRGYQRVGENVTKGKPDMHEAIDCYTPIEPGKYGALGKPMEGSNLWPENPSNFEVLLEKYVSLCKDLSRKIMRGIALALGGKVDAFEGETAGDPFWVLRLIGYPVDIPEEQRTDTGCGAHTDYGLLTLVNQDDDICALEVQNRSGEWIYAKPIPGTFVCNIGDMLKVWSNGIYLPTLHRVVNNSPCYRVSVAFFYESNFDAAIEAVDFCREKTGGVAKYEKVVYGKHLVQKVQTNFVM >Et_5B_043514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11334468:11337940:-1 gene:Et_5B_043514 transcript:Et_5B_043514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWEPSSLTSPDCMTTIISAFRIVERRCATTIVVCPIEARSSAFCTTRSDSESRALVASSRRRILGDFRIALAIAILCFCPPDIWTPCSPTYFFRHALRQLGDEAVGVGRLCCGNDLLRRGVLFAEKYVLPNRCCKQGWLLADEPHLRPQPLELQPPNVDAVEGHLPMGRVVEPFQESDHGRLPGLASSDQCHSAPCRHVKVEIYKDGALWPRRVAELHIPELHLAGGTGRFLPGMTLRATVDDAGGDSEDGAALVTVVHDEAAAIPDVEAVYGKAQAATADGDPLSEPLVDPEPHRLLERGGEARHGATLRRERVHGARRRDGLLGDGAWVRVLVPDPAREADEHAAAEEARDDEQKHGRQQRRQREPPQQHEPDGVAAEEHGAVGHEVGHLLAQHVLHHQAVVGDAGDHLRRGAGVEVEVLHVLLEHGSQVPRPQP >Et_8B_059530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18971673:18972929:1 gene:Et_8B_059530 transcript:Et_8B_059530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIYIVYYSTYGHVATLAEEIKKGALSVEDVEVKLWQVPETLSDEALAKMSAPPKKDDVPIIAPAQLVEADGLIFGFPTRFGMMPAQFKAFMDGTSDLWCEQKLAGKPAGIFYSTGCQGGGQETTALTAITQLVHHGMIFVPVGYTFGSGMFEMGEVKGGSPYGAGTIAGDGSRVPSALELQQAFHQGKYFAGIAKKLKGTA >Et_4A_034052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29444935:29450636:-1 gene:Et_4A_034052 transcript:Et_4A_034052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYQKAPDLEAGLGGSPVLYPGMTESPDLRWAFVRKIYVILAVQLAMTAAVSAFVVKVPAVSQFFVSSNAGIALYIFLIILPFIVLCPLHYYHQKHPVNLLLLGLFTVAISFAVGMTCAFTSGKVILEAAILTAVVVISLTAYTFWAAKKGYDFNFLGPFLFAALMVLLVFSLIQIFFPLGKISVMIYGGLASLIFCGYIIYDTDNIIKRYSYDEYIWAAITKPPPLAAMGKNKDKHCSHDAEACYPPGPAFAKASPYLIESPQMRWAFIRKVYVIVAMQLVLTVAVAATVNLVDPIRAFFQSRTKGAVVAFVLILISPIIVMIPMIFCRKKHPVNLVLLALFTVCISLTVGLGCLSRNGTVIMEAAGITLVVVVGLTGYTFWAAKKGYDFEFLGPFLVAAVLVLILFSFVRILFPMGKTGTMVYGCVAALVFSGFIIYDTDNLIKRYTYDEYVSAAIELYLDIINLFMAILNMLEGCE >Et_8B_060382.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1271292:1271573:-1 gene:Et_8B_060382 transcript:Et_8B_060382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDETAADSTIAHRRFEFEDMVFAVTERDNEVAEVLDGVALRFLGSESFFDDDTGTREHLVDVHGKTEPMLLLVSVREDKRRIVGMRENEHM >Et_2A_018542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32157389:32160334:1 gene:Et_2A_018542 transcript:Et_2A_018542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRPAPPPVEPSPASKLHSEVDSKEPDSGAPALKTPKISPEILRCLPGQDRKVYELIFEAGGKGMWMLDVRNKTGMAPPTASKVLRGLVAKGLLKEVSDVRHRSKKIFMATEFEPSTEITGGTWYHDGRLDTDAVAAARRSCLAQVQKLGVATAEMIHNGIEKDEPRAGYAMDKIRDILQTMVLDKVLEEVKSNGEGEFAAIRSGRMCYRVAGAAQGGMMEGIPCGVCPRIDECSPDGVISPNTCVYFKKNKELSKEMLKLLSTWFDSAFSIILVNNLRKAGSVLVPGRAAFSEDELFQRLEFS >Et_8A_057583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4793159:4797955:1 gene:Et_8A_057583 transcript:Et_8A_057583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGITAWRYPMTHVLGIPWDVDTEGLREYMAKFGPLDDCVVMKERSSGRSRGFGYVTFSSADDAKNVLDCEHVLGNRTLEVKIATPKEEMKSQGTKKATRIFVARIPQSVDESMFRRHFEAFGEILDLYMPKEHGSKGHRGIGFITFQSAESVDSIMQESHELDGTTVVVDRATPKDDDVRYPPSRPSQGGYGAYNAYISAATRYAALGAPTLYDHPGSAYGRGYYGSSQGMGKKIFVGRLPQEANTDDLRQYFGRFGRIVDAYIPKDPKRSGHRGFGFVTFADEGVAERVARRSHEILGQEVAIDTAAPIEGDAAAGAFVEPMDLYGAYGSMRSFGRFCGSLDYDYGYGPSGSSRSRSDWRYRPY >Et_4A_034885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7508810:7515850:-1 gene:Et_4A_034885 transcript:Et_4A_034885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKARARSALHVKELIEMELVADYTANPDYMKTWTDIMDGYEKFMEAMEDASKPSRITLVGFGEVDVSHLRVHAELAGKAFDLRARLTAYWKSIMLRLVDGLALHVLLSVKRLVEKDLEEELGNELLSNKFAGVERMLAPSPSTGTKLERLKKSIVLLRQSKEVVANIMDRITLFAPEVAQLPRQVLHLQPRAAAFAHRRRRLTRELLGPRGNLTEPAAIDSRVQQQRDLVRVRRRVQPAPAHRHGGAQLVHEQPRVDEVVRGQGPREHGHPGGHGLQHRVPPAVREVPPHRAVPQRRHLRRPPADHPAPAAAARSSNPAGSGAAGPAAHTNGTPVRSSAAAWCCCSTAMLPKPTYTTEPSSNLSSQAHSHLPAVVGGGVGVRRRQRPNRAHAPEAQVRERRLQAPLLEPPERVEHETRAVQRKLRLSASETSSPALNVRYSIEICRHGNAGAPGRSGKETGLSSSFSASGLGIRRKSDCITAVPPTAHGEYATRGMPSSTASGCVHPQKKSERMATTRSDSDDLQARARTQEPSAPRSPAKAATRDGTSAGGRCLTLSTPDGKAGCDGNGRVSARTAPGCAAWSGASSGAVAGVVTTVRRRPREARRPVRSRSPSMWPCAGKGTTRTCGAGARRTGDKPVDDVKDAKGTVAAAASAVTASAIANSYNDQIRPLLDAVDRLRHLKVTQEGIQLTTIVVVGDQSGKSSVLESLAGISLPRGQGICTRVPLVMRLQDDPSAGSPKLQLEYGNGHVVETSEDTVADAINAATAEIAGSGKGISDAPITLVVQKRGVPDLTLIDLLGITRVPVQGQPEDIYDQIAKIIKDYIVPKESIILNVLSATVDFPTCESIRISQQVDRTGERTLAVVTKADKSPEGLLEKVTMDDVRIGLGYVCVRNRIGYETYDMARGEEERLFKNHPLLSKIDKSMSQRLTKIQAAIIAKCLPDIVKQINDRLVCSSAELDQMPPDLNNVTDAVRLFFQIVKRVCISLEKILVRGEFAEYPDDRFFHGTARVAEMLNRYAKKLPTQWPRRKDELFLMEEMQVLEETKGINLRNFLPRSAFHVMLKNKMATVDQVPQDLGKEVWEYVEDLVLKILLQHSENFHRCSHRAAAPSRA >Et_7A_050271.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:18093794:18094294:-1 gene:Et_7A_050271 transcript:Et_7A_050271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AKRLARGASVKPVRAIFTPPSSSNSSTTLAVAITSLTSPATHGVLSAPTSSTASPRTFSSSWASVVGSGSTLSPPKPTPRQSLTTSGITISVAAPAPVLDGIDFDIEHGSNKHYDGLAGYLKEYSKKGKKVPVLGPGAGGGAPDGALRPRACSGGGALLLTSIFPF >Et_1A_006266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19968887:19972347:-1 gene:Et_1A_006266 transcript:Et_1A_006266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMSPFPLLFLLSWLLSGHLHTRCDAAGTAVLQYLNCSTAGNYTPTDVYATNLNQILAALPDTTASKNGTGGFFNGTAGQPGAPGTAYVMAMCAADFARGDCHDCLAMATSNSSGLLKQCPGSSTVVAMYDQCLVRYSDAGFFGTAYTDIVYGVPGPDRLQTMVMNAYTEALKQVLIQLSAQATSSPQRFAASKASPFALVQCTWDLPPEGCKACLDRLASNVSNFNYIRSTGEARTCSCSVRHSNNSFDVFSFADATNNTNGTTLVIDNGSHKRTVIVVAVSVGTAVASLLAAVVVACCVRRRRARRAGSITMGDTSVQIDLLQEYTYEELKKATGNFSKDAELGRGAFGVVYKGTLDNKKVIAVKKLQRNEKVEVEQFMNEVSIMSGLKHKNLVKLEGFCIRGQGQGQQEGLLCYEYLPDGTLEDRLYGQRGAARLTWKERFHILKGICSGLQYLHNESPNDISIIHMDLKTDNILLQVQEDKRNGGIVITPKISDFGISRNHDADKQHEYVEKVVGNMTCMPREFMERGKASPKVDIYSFGLIILEMVTGKSRRSSSTATLSSLSLDNSNHYGEGLIKQVRDHWEKKDIENIKDEVMDTKCDDEIERCIEIALECVLEDPAMRPDIATIARQLNDVSSKAIHKLVVVVVVSGSSLMEKRADRWALFPNTFGSLSPL >Et_1A_005168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30738521:30739009:-1 gene:Et_1A_005168 transcript:Et_1A_005168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLHSSDGEQFEIEEAVAMQSQTIRHMIEDECTDNGVPLPNVDSKILSRVIEYCNKHAEAAAAKDDDASATAAATSSGADNKDVDQGTLFDLILAANYLNIKDLLDLTCQTVADMMKGKTPEEIRTIFHIKNDFTKEEEEEIRKENQWAFE >Et_5B_043577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1266121:1273420:-1 gene:Et_5B_043577 transcript:Et_5B_043577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDLFPNVSFSDVSAGAAPAADGATAAFGVEATSGGPRLSLVRAGKPEVDPTIEIDLSDAQIFKLGPKEWLCVSEEPEAKADVNEKSFSRAIKIVLGTEAESKAFSLSFQRWKQRVISGKPGELLENGSIIASKSKFDTKIEASSAKMYFHYYGQLLHQQNMLQDFVRTGTYYAAVMENRSDFEGRVVVDVGAGSGILSLFAAQAGARHVYAVEASEMAEHAQRLISGNPSLGQRITVIRGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLAPNGKMFPTTGRIHMAPFSDEYLYVEMANKALFWQQHNFFGVDLTPLHGSAFQGYFSQPVVDAFDPRLLISQPTYHTLDFTSMKEEELYEIDIPLSFVASVGTRVHGLACWFDVLFNGSTVPRWLTTAPGSPTTHWYQLRCVLSQPLYVMAGQEITGRLHLVAHSAQSYTIYLTMSAKMWGVGAEQGGILQTSTGKLELKEPYYRLSQPQSYVSQDQQQQQPSLQPQGSEQQMQEGLSPGITIDQVDQDCGLH >Et_2A_016684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27177820:27182875:1 gene:Et_2A_016684 transcript:Et_2A_016684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEESQKHLLSLSYHELQCLCKRYNLPANKSHTQLASSLASLLEEPLAASPAPSVVKEASTCSQINQKRGPYTGRDDDMPLMHAKHHKGPQNAVGEASKMGIDASMSGPPVPINDGRSDCHGRSSSETGNAHIVQSQSIADKTTSPEVACEHHVSAPNNIGQTTVPIVQKHPVFDNGPGAAPSKNIKDTTDKNCGPSDMRSAISVQFSVISDEGINLVVDLNATPLDLADKFKEEVSIPPSEPGNFSSFLSSLVSKDDDSTVSPSGNIVVDIQSKGSGRTTPSTNSSLGSDVGDNSRSEPYPADTTTVNTVSSGSTLLGTSVEISGYQEGAPVVSSSCLTAEVPNNKVSGMMAGALDKEALPQKSVDVLLQSERITASVVDASLQPTCNKGMKSPGKTKVSGNIGSTQNVSVADTDNLSAFSLRDVVRSGSNEHSFSKTVRKQTLDVPGGAQLAGTHVVVMEPVVTVAVERDAGFGDWLSVSSQLARQTVTKLPVTDAHSDASSADHCIAGNFDLINPTSSSAASQLATYLQSAQSHSADKRSDCDPEEIEELESKTPPAYGEPPRNILLSLRSASARKTKPTRRSARLVP >Et_10B_003123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16977464:16978498:1 gene:Et_10B_003123 transcript:Et_10B_003123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCISPSTITELRQTMDTTGQTWKVTTVVADPEDYASSHDNGWDFDVAAFHPDNDLIIFCDQLRRRLLSYDMNHMHVHVICTLGKVPNDHRPFKPYVPLYARALLSSQIVK >Et_7A_050544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23619501:23620427:-1 gene:Et_7A_050544 transcript:Et_7A_050544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSDEVLHDFRPFIQQFKSGRVVRFNAAATVPAGNDPAGTGVSSKDVVINGSSGLWARLYLPAAASNKKLPVVVYYHGGAFVVGSAAHRATHLYLNGLAADANVLIVSPEYRLAPEHPLPAAHDDAWEALQWVASEADLSRLFLAGVSAGGNIAHHMAARAGARFPIIRGLLLVHPYFNCEAAASARGPSAKAKHEAFWRFVCPATPGLDDPLCNPFSDGRSAARVAARRVLVCVAEKDALRDRGVWYYHSLRGSGYTGEVELLESMGEDHVFHYSKPEGEQARTLNARVLSFLRDE >Et_2B_019980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15796899:15797566:-1 gene:Et_2B_019980 transcript:Et_2B_019980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISLWSTMSPAILLLLLLLAAATRRAAGGTEYTVGDAAGWTNGFNYLAWSQKYSFTAGDTLVFNYVQGQHDVYEVTEDAFRTCEPAKKTLRVWVTGRDYVNLTVPGDFYYICSIEGHCLGGMKLAVSVAAAPTPPPSPPSPPVMLAPPPPPPGSAAVSWNRRRLAWPEALGIPCLAVISLLLVV >Et_7B_055095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7259530:7259882:1 gene:Et_7B_055095 transcript:Et_7B_055095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDKTSGTVAVFVAALVMAAVFTSSDAARDIGAEKYVCARLQGCNISMCMGYCTVLGYEGGACKHNDPEICCCPH >Et_10B_003596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3657447:3659810:-1 gene:Et_10B_003596 transcript:Et_10B_003596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIASKLVYFQRRPSPALPDPEPEPPDPRRGPCRDSRRRSSLSSHNKPGQELVTGNQRGTAKPLGPAGTVTEHGGVIASSARLNRSVSDHGRLPDAVQQARERLLQRLNSVDLSGRRQRTWPSESIWAGLTRPADLGVSTSTDCILGSLTNCFQPVEAVASCKLQESETEPDYNEDQRTPMTLFPKPVTSQQHATCRGGSEEGEYAEPSAECSICLERCSDADGLIQLRCKHVFHSTCLEQWLRSRGDCPYCRASVLL >Et_10A_001771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8008185:8011128:1 gene:Et_10A_001771 transcript:Et_10A_001771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQARSAPGRRETGRGRSPEPLDFFIWTVEDVGLWLEEINLGSYRQVFEENGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRRPWWAPSCLSVVFVRAAKRNRQSRVVSLKLEP >Et_1A_008835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15248939:15250687:-1 gene:Et_1A_008835 transcript:Et_1A_008835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFDILKWLPTRSVLELSLVCREWRAIVMTDWFAELHVFYANRKKSPRIMIVMDPRHASYIDLKEFVDGQQTPNLTVNLVCSQPCFGLNVGSCFHWDFICNPAIGYCKIIEFDDHDPTFFAGRIGLGFDSELDRHVMVHITYKEKDMKTRHYKLQCKLCYVNEGEWGPIDPPPRPVAATPPTFVRGKIYWMVEPKLGPVSETCEVVAFDVDKVEFEVLRGPPCSHDKGRMTILRLKGALCVTCSDQRANTIDIWMMKDFEFWSMEYHIELDKFWTDYLSENTTPLTIDPKDGRILLSTDLSLGYYDPKTAALETIYAVGYPEHYGKISCMLFALFVKTQHCIHRIRDIDKFCAVDKLIK >Et_2A_017770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6032268:6042540:1 gene:Et_2A_017770 transcript:Et_2A_017770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTSGFPLQGTKVNIIVGSHVWAEDPEIAWVDGEVLKINGEEAEIQATHGKKIVANLSKLYPKDMEAAAGGVDDMTKLSYLHEPGVLQNLAIRYELNEIYTYTGNILIAVNPFQRLPHLYDPHMMQQYKGAPFGELSPHVFAVADVAYRAMINERKSNAILVSGESGAGKTETTKMLMRYLAYLGGRVATEGRTVEQQVLESNPVLEAFGNAKTEVEKYKLGNPKSFHYLNQSNCYELVGVSDAHEYLATRRAMDIVGISTQEQDAIFRVVAAILHIGNIEFAKGKEVDSSVLKDEKSKFHLETTAELLMCDPGALEDALCKRVMVTPEEVIKRSLDPYNATISRDGLAKTIYSRLFDWLVDKINSSIGQDATSKCLIGVLDIYGFESFKTNSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFAQKLYQTFQKNKRFVKPKLSRTDFTICHYAGEVLYQSDQFLDKNKDYVVAEHQELLSASKCSFISGLFPSLPEETSKSSKFSSIGARFKQQLQALMDTLNSTEPHYIRCVKPNNELKPAIFENVNVMQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGILAPEALEGNCDEKVACKKILEKKGLSGFQIGKTKVFLRAGQMAELDARRTEVLSAAAKTIQGKIRTHIMRKKFLSLRKASICLQAIWRGRLACKLYDNMRREAAAIKVQKNQRRHQARMSYRLRYASVLVVQTALRAMAARNEFRFKKQSKAAVTIQARYRCHRAYSYHKKLKWAAIVAQCRWRGKSARKELRKLKMEARETGALKEAKDKLEKKVEELTWRVQLEKRLRTDLEESKSQELSKLHGSMEALQAKLDETSAMLVKEREAVKTIEEAPPVVKETQVVVQDTEKIDSLTAEVQELKTSLQSEKQRADDLEKKRSEEQQANKEKQKKMEETEIKMRQFQEYLRRLEEKLSNVESENKVLRQQAVSMAPSKILSGRSKSNLQRSSENVNVSSNDLKTTPETNNMSSPKKEYDIDDKPQKSLNEKQQENQDLLIRCIAQHLGFAGNRPVAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGSTGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLSLINGSMISGIETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLMKGSSRSNTNTAAQQALIAHWQGIVKSLGNFLNILKVNNVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYRATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSSIPFSVDDISKSMEQIDISDIEPPPLIRENSGFVFLLPPPE >Et_9B_064802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18768246:18774679:1 gene:Et_9B_064802 transcript:Et_9B_064802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRASRHRHQSPDTSSQPNKQQPARPAAPKQKRAPPPPAPRQQHQPPQPLPPQQPHHDAAAAAAAAGVGRVLGRPMEDVRAAYTFGRELGRGQFGVTYLATHKATGRRYACKSIAARKLARADDADDVRREVHIMHHLTGHRNIVELRGAYEDRHSVNLVMELCEGGELFDRIIARGHYSERAAAALCREIVSVVHSCHSMGVMHRDLKPENFLFLSKAEDSPLKATDFGLSVFFKPGEQFRDLVGSAYYVAPEVLKRRYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLRGHIDFSSDPWPSISNSAKDLVKKMLRQDPKERLTAAEILRHPWIREDGEAPDKPIDITVIGRMKQFRAMNKLKKVALKVVAENLSEEEIVGLKEMFKSLDTDNSGTITLEELRTGLPKLGTKITESELRQLMEAADVDGNGTIDYVEFISATMHMNRLEKEDHIYKAFEYFDKDHSGYITVDELEEALKKYDMGDEATIKEIIAEVDTDHDGRINYEEFVAMMKNNSPEIVPNRRRITKVIDRIDNGSNSIIFQVVQHPDARLLAVLGGGVELRPQVLELLHPHITTIKNPETNIRAPRTRRIDGSN >Et_8B_060599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3026510:3027657:1 gene:Et_8B_060599 transcript:Et_8B_060599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYGLPPSSLLLILMLIFFSNAFILPSAMHCNSSDRAALLKIKEQLGNPLELSSWLPATNCCAWGLPIMCSEIGRVTLVALSGLNVTAPIPSAFGELSMLQFIMLDTMPGLYGSIPSSFSKLSHLGTLDINDTSITGPLPGFLANTDLYRLTITNSKLIGPIPKSLSRLPNLYYIDLHGNMLSGSIPPGLLHGNGRLGSLLLSNNRLTGEIPAYYGNEDIYILDLSHNQHTGNISFLYAAKLDSLDLSHNRIKGKLPKSLKDIDLLHLNVGYNQLCGEIPTGGHMEHHRAENYAHNKCLCGTPLPPCLLIANSKYQLPVKL >Et_2A_015353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11524948:11526954:1 gene:Et_2A_015353 transcript:Et_2A_015353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHQQRHPQTPKIPSHHKAVSRCSVRHTMCGQAMMPMDYAVPAASPRQRSAGIINRKKCGKEAWDGRKQWEAALREFMNGDSDDDDDDSSESTDTEAPQVVPCRRVRGPAGDAGAMARPRLSSSERAPATTVARPSGVRRKQARSRRSGPYHGIRQRPWGRWASEIRDPVKGVRLWLGTFDTAVDAARAYDAEACRIYGARAKTNFPPVDDKASTTSTSTEPEGLGNSSDASAPLELECCSDDVLDSLLAGFSDSNTDHCMEELPVVT >Et_2A_016610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26646047:26653557:1 gene:Et_2A_016610 transcript:Et_2A_016610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSASAAALAGARGPRPRFLLELLDDCLPLPDAGEDLRPPRRPADLAYAADAVRAHGLLAERDAGGDPALREEWRAAVDAWVGRLLVLMDSEREHSRWVGTSFLGLTFQECCDQRFINSYSAWFPKVLDKMKLHDLSGIAIFIESIILCNIVMIRLSSFSNLKNEANNLARKVVKPSLQLLKNGPVTEKAADLLGLLMKFFPSSVYRDFKNKLASTLALLPCVRMSKNSMSLMIQKLLTVVNRHTDQRTLLALPGSKLVPPIGGRTICRDQQTLSKTKPRAYVVTTISALIHCCSVMLTSYYPIQVTNIPVHALLSLIQKVLLIDGSHHKSLFQSNTPLYQEFICSEIPTLHSTFLDLLASTIKGMRSSLIPHAGIVVMVIAEYFNRAKLPAIRRKLYTVVRLLLSSIGVGMVMQLLQVVISNIFYDLDDNAGSSLLSACTDPVKPIISSPSKSSSNMEQRHQLQSSNFTSSESICNSPIMSPLCAKIGALETLEVLLNVGGSFRAYSWRTEMDLLLVNVARNAFYKAEMYEQRQPWTEEPSISVFQLASFKTLLASFLSSPHERHPYLEQGLELFNRGMLDTDTELGNFCAHALLTLDVLIHPRQFTPQRVAHSDIGLRSGGPEQPVLGSDIAQPPCSGHKGRTTDDLRASIAEEPSAKRVAVEKHAPVELSRDLSVQKDAQWSHAVTGERLEMDMYCCPAEGGQAVPVPGSSWIDVNMADDSWFNLAGFDALCDPPDSFSVYPAPINITTLDSPNPGDEIPNKKTACQGGNLSSDACSSKNVPALASPILAATSVSGPEWDSLDSLLNIGGADADIPTWLGSPGSD >Et_1A_007343.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33586300:33588174:1 gene:Et_1A_007343 transcript:Et_1A_007343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVIVHHHARHKTYPGEVTGFVFFACLIASVGGCIFGYDIGLTAGLTSTETFLIQFFPSIYEQMKNQVVVNQYCKFDSQLLTLFCSSLFLSAMVAAFLAGPMGRSFGRKWTMFVAATAYVIGACLGGVAVNFAMLLTGRILVGAGVGLCIHASPLYISEMAPSQQRGMLNILFQLMITVGILSANMVNYLSSRLAGEWAWRVGVAFGAVPAAVIALGSLVIPDTPVSLISRGDPETARATLAQIRGLSDVHAEFEDLSAACEESKAVAHPWRELLFGGKYKPQLTFSVFIPFFQQLTGINVIMFYAPVLFKTVGFKQNATLVSSVITGLANVFSTFVAIMTADKVGRRALFLQGGTQMIISQILVGTFIGLQFGMSGTGAISEQYAMCIVLFVCVYVAGFAWSWGPMGWLIPSEIYPLAVRSPAQSITVAINMFFTAFIGQIFLTLLCHLRFGLFYFFGAWVLLMTLFVAMLLPETKNVPVEEMAHLWRKHWFWRKFVVDNADARGAEMRKRIALEMS >Et_4A_032528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11053708:11057734:1 gene:Et_4A_032528 transcript:Et_4A_032528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEPSEKKEEVPEVRRHLSLSYPFRLRSASEVGQLTPFDPTKKKKKKKVVIQDPSDEVDKLAEKTESLTVTESGEPTFAGMKKKKKKPVELDPSLTEALDGEDAADDQVREDEEGEGIELGGAPRYPWEGTDRDYKYEELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVAQVGRRKAGA >Et_4B_040098.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:9609350:9609748:-1 gene:Et_4B_040098 transcript:Et_4B_040098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKENHYMDNATASPEDQGPTWATKHAFAPQRSTPTDEQTRHNASALHSALAIAHFSPQLPARETAMVNLPVDPHTFVAPKMVMDPGGQNRRSREYVSVGGNIIRQHKEYIIAVDEDEEINPEVYGMFLEQI >Et_3A_024288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19132370:19133103:-1 gene:Et_3A_024288 transcript:Et_3A_024288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQQERREELDRKAHEGETVVPGGTGGNSLEAQEHLAEGRSKGGQTRKEQLGEEGYSEMGSKGGQARSEKLGHEGYSEMGKKGGETRKEQLGHEGYSEMGKKGGETRKEQLGEEGYKEMGSKGGQARSEQLGHEGYSEMGKKGGEARKEQLGEEGYKEMGKKGGETRKEQLGEEGYKEMGKKGGLATKEESGGDRAAREGIDIDESKFTNKQA >Et_7A_051158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1544474:1549872:-1 gene:Et_7A_051158 transcript:Et_7A_051158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRRVWCSVLARAAAAAPDPAAGSPRGRYAPPIEYHGALSLGALDALPTDVLAQILRLLRPFEAARSCTVCRAWRVLASDNGLWAFFLRLGPEPWDLVVFAETHLAAGPASHPWLCYDSTPQLSFKQIYGLREVVPGSVIVDGGSGYCKYGWSKYAAPSGRCATFLEFGNIESPMYARLRHFFQTIYTRMQVKAANQPIIVVLPLCHSDDTESARASRKQYEETLYSVLFDMNVPAVCAVDQAVLALYASKRTSGIVVHIGFNVTSIVPIFQGRVMYEIGVETVGQGALKLTGFLKELMQRRNISCESLYTVRAIKEKLCYVAADYEAELRKDTHASCEIDGEGWFTLSEERFKTTEILFQPHMGGMRAMGLHKALSLCMDHCYNSEVVGDDSWYKTVVLAGGSACFPGLPERLEKELHQLLPSYISEGIRVLPPAFGTDSAWFGAKMIGNVSTFTEAWCVKKKQFRQKIWGFPINPAYGHLYSTAQGWETGFANLRYGQGRAPLFLEDVKANAAIAVNVR >Et_10B_002791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11079426:11081031:-1 gene:Et_10B_002791 transcript:Et_10B_002791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSMEPGRLRRAYSAVMAPGAATDSWEYKLHKYLLLLATLVATVTYTAGFNPPGGVWQVAAGGRLAGDPIIRDTSYRWYRAFYYTNATAFASSLVVIMLILILEVLHERNKARLAPLLILRFVMLLDLFSLMGAYAAGTCRDKFTTIYSIILVTLVAIYVIVQMLWATVTETKAEFKEKERHKDLQKQRKMLMLLATFASSVTYLAGLSAPGCFWSNSDAGHRPGYAVLKGRQDTRLEAVFIFNTMAFVASLLIIVLLLDNKLRLSKEVKKRVPRRLYGIIILALIGLLGAYAAGSCRQIDTTIYVIALIFAVLVCFFLLLCMLRYF >Et_3A_023149.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32110840:32112733:-1 gene:Et_3A_023149 transcript:Et_3A_023149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQDLFSVPSCFSAGEKLPDAPASAAATRSGQSAVTLVYRAGIAGQNRLVTVTWCRNLLTHGMSVSIEGSAGGGKDKSGRDCAEAGTVDGGSSKACSTACKVEMQPWHFWRKYGAKQFQVDGKAIDVVWDLRSARFSDEPEPLSDYYVAVVSDEEVVLLLGNLKKDAFRRTGSRPSLQDAVLVCKKEHVFSKKRFLTKARFHEKGKLHDISIECSSSNLNGGIDVDMVIKIDGCVNVLVRHLQWKFRGNECILINQLKVQVYWDAHDWLFGTGMRHALFIFKPEPPSLCSSPSASADFHTDEYSDFCLFLYAWKVE >Et_4B_038205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27132743:27137704:1 gene:Et_4B_038205 transcript:Et_4B_038205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRFPIVEPDYGHTKLRLSEQGLEAIRRIENPIAIVGVIGPYRSGKSFLLNQLLSLSCDKGFGVGHMRDTKTKGIWIWGTPVEMEVDGSKVSVLYIDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETVREADISRLSFAVEIAEEFYGRGKMLLLSQRSFSGLSREIFYKENLFSRWSMKPSSGCLMIMVNQIRDSLAVMGDNSTAFSLPQPHLQRTKLCEMGDKELEPLYVERREQLKKLVASIVKPKIVQGKTLNGRDFVSFLQQILEALNKGEIPSTGSLVEIFNKAILERCLKVYREQMDGLGLPVTLDKLQRLHEMANDQSRMLFDKQHFGKHHAAQSILQLEDEIKKVYRNFLLANEYQSSKLCEARFSECEDKMDHLQVLKLPSMAKFNAGFVHCNQSFLRDCVGPAKESYERRMSKMLAKSRALFIKEYNNKLFNWLVTFSLVMVVVGRFVIKFFLLEVVAWVMFIFLETYTRMFWSAESLYYNPAWHIIVSSWETIVYSPILDLDRWAIPIVILLSFAVLYWRCFGHRRKRGSRSLLPLYKNSHKNSTRPRSD >Et_2A_017149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31472998:31475196:1 gene:Et_2A_017149 transcript:Et_2A_017149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPQRSPVAGGGGGGGGTPALHYLSGPYGDTTYTKVFVGGLAWETRSEGLRAHFEVYGDILEAVVITDRATGRSKGYGFVTFRDPESARLACMDPYPVIDGRRANCNLAILGRPGPAVPFVAPVRPVIPYNGGVAVPGGMYVQSPTYQQPPYNYSQAFVYPPYGPSAYGPEYLYQQNAYGPYVGHQYVSVYGGPRTVGPAVYPYGQFGQPVPSDHAYSPGYVPSHALPLSNQNVNATNVVRMPAVQQQFPPGVPRPQQQLLVPARAPQFPPNNISDQASG >Et_8A_057421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2929739:2933503:1 gene:Et_8A_057421 transcript:Et_8A_057421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKIKKFGKGRKSAGGKGEVVELDDDANAGNVAPKEEQKKDSVLEEHAEEAVEAADGANGAVDEGQEEEEDDEDDFITNEVKRRLKEMRKNSFMVLIPEEECAEVEEDGEDDEGEEEGSSSREWVESDVGDGYPLCGFDSLYEKYCQRMLVFDKMILQLMKDPESLNISKKSPRSASKLASTLRSLSFKRRDELQEDCEQLQQQQSDDDPYQTLETAYVAQVALSWEALHCTYVHLSLIVATQPDNPTTYSRAAQAFQQFQVLLQRFVENEPFEHGSRVEIYTRSRSSFSKLLQVPTFQVADRKENSEDQTEPSIFASDLIKLLEESILTFRLFVKKDKKKNSASVHGHTGSSIQQVQSSLDKKETKVKELFKKKKGSRHKSWPATMEEVQLLFALIDIKVVSRVLRMGKLSKEQLLWCEEKMSKLDLSEHRLRRDGSPILFPC >Et_1B_010644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12456506:12458168:1 gene:Et_1B_010644 transcript:Et_1B_010644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQRVMGLLRRSLGLATPPAQRALSTSAAAPAAEGAAATAEAVAKESKKRKKKNLFDVVQFLPGWGVGYKVAKTTWRDVSYQITKINLYKDGRHGKAWGIRYKAGVQAADAPIRISGVNKRGWKYIKESQKKLQEAPKVETPAVAA >Et_3A_026778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19983617:19984562:1 gene:Et_3A_026778 transcript:Et_3A_026778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQLQDHYRPLAGDDAEGVVAAAIRDGAEGDDVKLRLLGYKQQLKRDLSAVSNFAVSFSIVSVLTGVTTLFGMGLQFGGPVTMVWGWPIAGAFTLVVGLAMAEICSAYPTSGGLYFWSARLCSRQSWGPFAAWLTGWGCLFIQWYDDHASL >Et_1A_004725.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:34543392:34543754:-1 gene:Et_1A_004725 transcript:Et_1A_004725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALALFLLFAAAAAVLLLHLVVAARAFRHHRRSRYRVPELGPTPPAKPLLRAGLSPAELRRLPSFAFASLRGDGDGDASLPSQLCAVCIETARAGERWRALPACA >Et_1A_009025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25842257:25844665:-1 gene:Et_1A_009025 transcript:Et_1A_009025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASAASTSSLSRLAGRLASPRARSPSQLPTRTPRRFCSFHRIAVLSEGRANKRVRALLGEFSDAGASESDDDEEEDVLRGGDTREDEDAVELAAASDGPERWDVLGLGQAMVDFSGMVDDEFLERLGIEKGTRKVVNHEERGRVLRAMDGCSYKAAAGGSLSNSLVALARLGSSRSDSYPELKIAMAGSVGSDPLGSFYRAKLRRANVHFLSKPVKDGTTGTVIVLTTPDAQRTMLAYQGTSSTLSYDSDLANLVSKTNLLIVEGYLFELPHTIEAIKQACEEAHKNGALIAVTASDVSCIKRCYNDFWDIVGNYADILFANANEARAFCELPSTDSPMSATRYLSHSVPLVSVTDGVHGSYIGVKGEAIYIPPPPCIPIDTCGAGDAYASGILYGILRGASDLKGIGLLASRVAAVVVGQQGTRLRVQDADRLAESFALHLDSLEFCSDV >Et_10A_000204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17398144:17399271:1 gene:Et_10A_000204 transcript:Et_10A_000204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATIHRARSRPRGCQLPLDLQIEITGRTDPATVVRCAATCKALYRHIADPAFHGCLRLRNNGRLIRALLVDNATRRATNLLKNREGTTVTAGGGLVLLRVHDGLCVCSLATGQRQALPPGPPFRRDFTYVLVVGDGAGSTVGRPFQVLRINPSFIPQRGECCLRIQKFSSEKGAWSPVTDVLTPFRHGSSGLRRQAHYLVIGDTVHWLCYTDNSYYVLKLHTGGQARVTIMELPPSFQRVSHFIRTAQILLATETAEGSPVVLVANNDMIWSWLPSKLTTDWKERPQLAKVKGLTTESLGRVRLEWFAQMSGVVVIGTPHCGFFWLDLGSREVFGWSKSCRNGYPTCLYEIELSSWVPSLCKTF >Et_1B_014192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3550473:3554687:-1 gene:Et_1B_014192 transcript:Et_1B_014192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATVVSSRSSFTPLSPGSPSIHGVIRDSSPYSTQSPPQVQSAGGGGGGGNGRISPAVLFIIVILAVIFFISGLLHLLVRLLMKKQHHRGATRGESASPHRPGARDAAMDRQLQQLFHLHDSGLDQAFIDALPVFAYREVIGGSKEPFDCAVCLCEFDGEDRLRLLPVCGHAFHLQCIDTWLLSNSTCPLCRATLFVPGMTIENLMFDFDERLEEEGLTEECEDGFQISRQKPSDEEQPAAEKRVFPVRLGKFKNVGSQGAVDGGVGNGNAAGIVSREAGESSSSSLDARRCFSMGTYQYVLGDSDLRVALQPGRVRNGASSRFGGAAAGLSSVNAEIMEGKRICARNKGESFSVSKIWQWSSVKGKLPAGSDNCSDTGNLPWLKRNVADGNEGAKVTAGSEVAVMIAIDRSQE >Et_2B_021902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6721368:6730264:-1 gene:Et_2B_021902 transcript:Et_2B_021902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCHSFAVVCQVLGWRIRLVKLLDFSNLWRALFLTLCYCAEDGATLSKGFNRGLGTLTAGVLALAVAELSKHFGKLEEVILIMSTFIVAFCATLTKLHPKMKPYEYGFRVFLLTFCYVMISGYNTGLFTDTAISRFILIAIGAAVSLGINIGIYPIWAGEDLHNLVAKNFAGVAKSLEGCVDGYLKCMEYQRIPSKILVYQASDDPLYSGYRAAVEASAQEESLVSACPKFKKIHRVGREGAKVLRELGNNVKTMTKLSSLDILSEVHLAAEELQRKIDEKSYLLVNTEKWDASKQAEGIKKVLNGPAIVEKEKLEKENKSEVTEPTIPDQTLAHQSKSFRANSLLRRFDSAESFKSLQSWPARRSFHPNLPVEDEDSKTYESASALSLATFASLLIEFVARLQNVVNAFEELSDKANFKVPVEEPAAAGTNGGGLGSLWTALEDQRGLSRETVPLLASAWGLPTGEDAERRRKEGLRQRAARWWREACAGAVDMWAFARADRRKPVFAAKVASALALITLLVFIREPRDIASHSVWAILTVVVVFEFSIGATLSKGFNRALGTLTAGVLALAFAELSAYLGSLEEVILVVSIFIVAFFATLTKQHPKMKAYEYGFRIFVLTFCMVMVSGYTNGTFIHTATSRFILIAVGAAVSLGINIGIYPIWAGEDLHNLVAKNFAGVAKSLEGCVDGYLNCMEYERIPSKILVYQAADDPLYSGYRAAVEASAQEETLLGFAIWEPPHGPYKMMNYPWKNFTKVGGALRHCSFAVMALHGCILSEIQAPPEIRRLFASEINKVGQEGAKVLRELGNRVKTMTKLSSSDVLLEVHLAAEELQKKIDEKSYLLVNIERWDANKRVQGSKVVQNEMEPPIVDQTLLHQSRSFLANSFMSRFDSASTIDGFKPPAWPARKSFYPNVPPEDEESKTYESASALSLATFASLLIEFVARLQNVVNAFEELSDKANFKEPVEEPAQVSINVGGCFDNICKSGASDLRSNPGGATFDNTQCMDTYTDDQVVLRKNSEDSGEDEQSILELQT >Et_4A_032861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14788766:14790898:1 gene:Et_4A_032861 transcript:Et_4A_032861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECENAGLCTAAPRADPLNWGKAASELAGSHLEEVKRMVAEYREPLVKIEGASLSIAKVAAVANGAGEARVELDESARERVKASSDWVMSSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGGAGSNSGQHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAVAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRQNAVAVAPDGSKVNSAEAFRIAGINGGFFELQPKEGLAMVMNGKPEYTDHLTHRLKHHPGQIEAAAIMEHVLEGSSYMKLAKRMGELDPLMKPKQDRYALRTSPQWLGPQIEGTPIGVSMDNARLAVAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISARKTAEAVDILKLMSSTFLVALCQAVDLRHVEENVKSAVKACVSTVAKRTLSTTDATGDLHAARFCEKDMLLEIEREAVFAYADDPCSASYPLMKKLRNVLVERALANGAAELDARTSVFAKVARFEEELRAALPKAVEAARASVENGTAAIPNRIKECRSYPLYRFVREEVGTEYLTGEKTRSPGEELNKVLNAINQGKHIDPLLECLKEWNGEPLPIC >Et_3B_030187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31183608:31186396:-1 gene:Et_3B_030187 transcript:Et_3B_030187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHAAAADHIVEVGAEKSSLAGDAADNVEVAGDGTAGAAVRRASSFSQAYKMKHRDPMVFTRWQTMLLAYQSLGIVYGDLGTSPLYVFSSLVLPGADETDFVGVLSLIFWTLTMMSLVKYVLVVLRADDHGEGGTFALYSLLRQHVNFKGDTPVPVTRLESDSDLCFHGRKRGLPSKLHQWLESSSRFQSAVTYFVLFGTCMMIGDGALTPAISVLSAVQGIQSRSTNITQDHVVILSVVILLFLFLVQRFGTGKVSCSFSPIMIVWFASIALIGVYNIVKYHPPVLKAVSPHYIYYYFAKDKGAGWEQLGAVILCITGAEAMFADLGHFNKSSIQMAFSGVVYPSLLLAYSGQAAYLIKNPSQLSTTFYSSIPEPLFWPMFVVATLSAIVASQSLISASFSIIRQSVALGCFPRVTIKHTSEEYEGQVYCPEINYFLMVVCILITAGFRGGPEIGRAFGVAVTFVMLITTHLMTLVMLVIWKVHIALASSFFIAFVAIEGVYMSALMNKVAEGGWVPFAIATFLLVPTLAWTYGRKLKAEYEARHAVGDEELDALVARSARAPGVCVFCTDLVNGFPPIVRRYAEHTACLRELTLFVTVRDVPVRSVLPEERFLVARQEPAGVYRCIVQYGYTDKHDLVGDGFVGSAIAALKQVAGSAEEAELMGLALVEGYMFVFGRTILQMGQEHNLLKRFVINILYRFLQKNFRSSISTLKIDHAKTLQVGMLYEI >Et_1A_008713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10247137:10252126:1 gene:Et_1A_008713 transcript:Et_1A_008713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNNETVTIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEDTPRVKPKKPTAETALRRKGEGSGTTHRVPNLELDGLVVDGDHAGAELDADGEVVHGLEALVRELQQQA >Et_7A_051363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17424010:17429078:-1 gene:Et_7A_051363 transcript:Et_7A_051363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNFTFVTSYATSPEFNNTNNGQAPLVVDWAIGDKTCKEASKEPDTYACVSSNSECFDSPNGKGYICNCSKGYEGNPYLQDGCKGTKPAQSHVSNIDECKDMANNTCPANANCINTPGGFECVCLPQYHNGSPSNGTCERDETLSSKVKISIGISVSVLVGLILFLGTEWIKQKRRIARQDHVRKINECFELNGGQLLLEMMKVECNISFRLYSREEIELATNNFNDSEIIGQGGQGIVYKGHNLDPDNNLVAVKRCKGIDESRRVDFVKELIILSRVNHDNIVKLLGCSLQFDVPVLVYEYVPNKTLQCLIHSQDNNYTRTLDIRLKIATKSAQALAYLHSLNHPIMHGDVKSANILLGPDLSAKVSDFGCSMIRSTDENAQVVKGTLGHLDPEYLLNFELTDKSDVYSFGVVLLELLTRKKVISKEKESLASVFQEAVKKGKLYELVDSEIMNDQENMDVVHQLAELAGRCLIMASEHRPTMKEVAEELRLLDGVVQQRPGALFHSDTPIIVPGRSINNTAEYYSGGETTDYHSLRKKAVMSIEVAR >Et_1B_010981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16049026:16054368:-1 gene:Et_1B_010981 transcript:Et_1B_010981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASPVAASPRRRHSHRDDESPRHRKRRSSPSPSPDAEADLDRRRRRSRASPPDRDRRRGRDAKPSEENGHAKPDGEADGGSPSRRARVSDGEEDGDRRRRRARVSDDEKEDDRRRRRSRASDDERSGSSPDDRRSRRHLRDEGSRRRDERRRRDDDREERPRRSPEKREPTPLPPPPPLLPEMIPGRTGGIYIPPFRMAQMLREVEDKSSPEYQRLTWDALKKSINGLVNKVNATNIKNIVPELLAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRVILQLKRAYKRNDKPQLLAATKFIAHLVNQVVAHELVALELLTVLLENPTDDSVEVAVGFVKECGAMLQDLSPQGLHAIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDELDPETNLKAGHKLMKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLNDPTMQGSFESIFPKDHPKNTRFSINFFTSIGLGGITESLREYLKNMPRLIMQQQKPESSESESSGSESGSESSSSGSGSESESESESSSDESDRRRSKRRKRT >Et_2A_016294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22957202:22968754:1 gene:Et_2A_016294 transcript:Et_2A_016294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRELSIERDLKMKVEVVETTVVAPSEATPHHTLWLSNLDLAVPKTHTPLVYYYPKPDPSSGSPPFFEPARLRDALARALLPFYPLAGRLATGPGGRIEIDCTGEGALFAVARADFTGDEVFRDFEPSPEARRLLVPFAESGEPPCVLAMVQVRSPARRAYYCYSFTAAFFRSITIPDRPPPLRAARRWKVTFLKCGGVAVGTGMHHVTMDGAGAFQFIRTWTALARGESPDASPFHDRTLLRARSPPHVPFEHPVYSPSYLNGAPRPFVTRVYTVPPKLLADIKSRCAEGASTYCVVTAHLWRAMCVARGLPADSDTRLRVPANIRQRLRPPLPASYFGNAIVRDLVTVKVGDVLSQPLGFVAERIKRAVSRVDDAFVRSVVDYLELESEKGSQAARGQFMPESDLWVVSWLGMPIYDADFGWGRPGFVAPAQMFGSGTAYVTQGPDKEDPISVLFALEPEYLQCFEKAFYGDTLLATRATEDSMAVEVVTSELVAPREPTPRRPLWLSNLDLAARNGYTPTVYFFRRPVDDDRQATLAGELFFSADVMRDALARALVPFYPFAGRLGKGPDGRAEIECNAEGALFVVARSAAALDEFEGFAPSSADAGAPLLKLQVCCTDMGLCL >Et_5A_040952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15679878:15681573:-1 gene:Et_5A_040952 transcript:Et_5A_040952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSQLITSATNPANNSAIHIAQWSSQHTESSLQGNLVRTVVACVLSFLAAAISSAGGVGGGSLYVPILNIIAGLSLKTATAFSTFMVTGGTLSNVLYTVFVRGAGTDGHPLIDYDIAVVSQPCLLLGVSIGVVCNVMFPEWLITALFSLFLAFATVKTYGTGVKRWRAETAVLGEGTEEAGTEESLLGRRGGGSRQFPWVDLGVLVTVWLCFFVIHLFIGGEGAKGTFDMIKPCGIAYWLITVAQIPVAVAFTACIGHQKRKSQAHNGLNAISAKSKLDVLPAYVFPVAALLTGVMSGLFGIGGGLLLNPVLLQIGVPPKTASATTMFMILFCASMSMVQFTILGVQGIVNAVLYAATCFVASIVGLVAIEGAIRRSGRSSLIVFMVAAILALSAVVIACSGAVRVWAQYTSGQYMGFKMPC >Et_1B_011579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23785732:23794957:-1 gene:Et_1B_011579 transcript:Et_1B_011579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVRRRTCREKAAKGEEEAVRGSPRVGSSGSEDAAAAEVDWTALPDDTVLQLFARLNYRDRASMAGACRAWRTLGSSPCLWSSLDLRAHRCDLEMASSLASRCGSLRRLRLRGHEAAAAVPGLCARGLREVVADGCRALTDATLAVIAARHEDLESLQIGPDPLERVSSDALRHVALCCSHLRRLRLSGLREADSDAVGALARYCPFLEDLAFLDCGAVDESALASIKSLRFLSIAGCRNLKWATASAFWAQFPSLLAIDVSRTDISPSAVSRLISHSKTLKVICTLNCNSVDEEQAHNPAAFSNSKGKLVLTIRGNIVQSIASLFHGVDVKEHGVFNPCNWRHRDKIVDDIMSWLEWSLSQSLLRIAESNPQGMDDFWLQQGTTLLLSLVKSPQEDVQERAATTLATFVVIDDESASVDPARSESVMQNGGIRMLLDLARCSRESAQSEAAKAIANLSVNAKVAKAVADEGGITILTNLAKSMNRLVAEEAAGGLWNLSVGEDHKAAIAASGGIKALVDLIFRWPAGTDGVLERAAGALANLAADDKCSMEVAKAGGVHALVTLARSCKLEGVLEQAARALANLAAHGDNNDNNAAVGQEAGALEALVQLTSSQNEGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVSLVQGCLDASEGLQERAAGALWGLSVSEANSIAIGQGGGVAPLLTLARSEVEDVHETAAGALWNLAFYSGNALRIVEEGGVPILVHICTSSRSKMARFMSALALAYMFDGRMDEVALVGTSSDSNSKSFNVEGARRIALKHIETFVLTFSDPHVFSMAAASSAPAALSQVAEAVFIHEAGHLRCSGAEIGRFVAMLRNPSSILRACAAFALLQVVMRCTMQASCRKQEQVESCVQRRLQQQLLSKPKSLLELSSGIWSTISQARHERVRRVLILCFMG >Et_2A_016408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24304254:24306627:-1 gene:Et_2A_016408 transcript:Et_2A_016408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAASSPRQADDAQQAQLPHVVIFPFMAKSHTIPLTHLAHLLRRRQLATVTFLTTPGNAAFVRAALSGADGVAVVELPFTNHVSPGAPPAAECVEALDSSLFSLPAFVEAVESALRPRFERALAAMRPRASVVVADAFLHWAHAAAAAHGVPTLAFFVANMFAHVVRDVCVTRNPAAALTGAAEFFTVPELPHVRLALADIPVPYDDPALTGPIREMDAKVGKAFADSQGLIVNTFDAMESRYIEHWNRRIGPRAWPVGPLCLASLTPTSRHGDTPAWMRWLDEKAAAGRAVLYVALGTFMAVREAQLREVADGLERSGLDFLWAVRPADVVLPAGFEERVRGRGMVVREWVDQCAILGHESVKGFLSHCGWNSTLESISAGVPLAAWPMGAEQPINAKLVVDELRIALKVSARNVAGGLVSSEEIASMVRELIAGEKGAETARNAVAMAAKAREAVAEGGSSWKALQELISGLNRPTGDAIMEGAASLQNKGQRDPCVNTTDLTMRTENDDEVNTSWRPRMGMN >Et_6B_048377.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:17119739:17119921:-1 gene:Et_6B_048377 transcript:Et_6B_048377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QTTSRRLADRKTAKFQKNITRRGSVPETTVKKGNDYPVGPLVLGFFIFVVIGSCMPSNLL >Et_8B_059428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17854644:17858909:1 gene:Et_8B_059428 transcript:Et_8B_059428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAVDRSGGQLEVFVGKQFVDDELLKYIGDRSPSLKGLGLVSCNGISNEGFTEVITKFPLLQDLISTVGHSGRNVYEATGKACAQLRRFWLRKDWYLFTAEGEALGVAAMHELRSLTLTRCDLTNDDLAVILDGCPHLELLSLPGCYNIVVDEALKAKCARIKTLKLPVFHALEEDDYETFQAKDCDGVAKEEMVARMDALSAPPPSGSRDWSELPLDALSSVFTKLGAVDILMGAGLVCRSWLEASKELDLWRSVHMSHHRLVEVMDGEVLRAMAKVAVDRSAGQLEVFVGKYFVDVELLKYIWDRSPCLKGLGLSYCGCLTNEGLINLFEKSPLLEDIMLMFCKRIGGHDFIEATGKLCKQLKRFSLGKEFLDQSWTFRGSKMITDASGITAMHELRSLSLIECHLTNDELMSILDSCPHLELLCLRGCYNIVVDSTLRTKCARIKELTLPNASPAESN >Et_2A_017523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35122305:35124544:1 gene:Et_2A_017523 transcript:Et_2A_017523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AEYVFSVFLPKDMDMATLVFQAVSPREDLSQLVQRAEVANDVSGSLSRLQMAPAINETNMTQQEMGRISILADQPGGAGNWYAAQEAEYGDYHGLVATLDVYGFSLNPQQLSRACIWLKNLGDGAPTSLNTITVGWEVSPFLYGDSKTHFFTAWTRDGYKTTGCYNSKCSGFEPASGASISPGAVIETVSQLGHNGVKQNLILKVVKDKASGDWVVHYGLNKQQEHLELIGQSNGHSFRRLGGLEHSDLQLIDRDGQASPLNRELARFMTNPSLYQVTPIVNGAFFYGGPSPKPSLPNS >Et_7A_052557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9263394:9268376:-1 gene:Et_7A_052557 transcript:Et_7A_052557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPAQLLAAALLAAALLLAGAAAATTEEAYVTLLYGDEFVLGVRVLGKSLRDTGTTRDMVVLVSDGVSEYSRKLLQADGWIVNRITLLANPNQVRPKRFWGVYTKLKIFNMTSYKKDTIVVKSIDDLFKCGKFCGNLKHSERMNSGVMVVEPSETLFNDMISKVGRLPSYTGGDQGFLNSYYLDFPNSRVYEPDSPLTPEPETQRLSTLYNADVGLYMLANKWMVDEKQLRVIHYTLGPLKPWDWWTAWLDMRQKLEESLPGTGGGRNPHDQLVVTILFILPFCLLLFGYYQSCFQTNKELLCIRYLCAFARRARYKYKSEEPLPSYSAVGVSPSAFGISNQRIMPWTGLLLMFEWTFVSFFLTFGSYLRFVYRWGSVCANHAGFNNSDLSENHMATGHQRNTSDCDTDATFYWAGMAVIATVAVLSPTILGITALFTKLGLMVAGGVVLASFMTYASERLAISAFNKGLKERNASRTRSFCFLC >Et_10B_003735.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5296578:5300605:-1 gene:Et_10B_003735 transcript:Et_10B_003735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSWSSTSLAIASGARHTSSAMAPPPRRPSKTLHLPSTTWPGSLRTASGFPHPKMSTTTVESSLNDLTARFNSLAVQPSPFSRTSPAVAFTW >Et_8A_057525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:425177:428603:1 gene:Et_8A_057525 transcript:Et_8A_057525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGINGNKGRCYDFWLDFSECMSRCRQPSDCSLLREDYLECLHHSKEFQRRNRIYKEEQRQIRAAARKAKEEVEGAPAHATGIQDHRLVIQRGMSISNKIGAKGLEQVLQQG >Et_2A_016341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23542062:23547180:-1 gene:Et_2A_016341 transcript:Et_2A_016341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAAGDAAERETARGATSPAPTWGRVRKAGLGAAAHALLLCFTALLALKLDGIFTHSWWVLFIPLWTFHAVAARCRFSLPAPSSPQSCHVPCHSIVATPLLVAFELLLCVYLEGIDGHDEPFIDLKLVFLPLLALEIVTLVDNFRMCGALMPGHGETITDEAILERLPYFWVAISMVFLLAATSLMLLKLCGDAVTLGWWDLFINFGISQCFAFLVCTRWSHPMGTPSYARFIPIRVILLPVILLQLAGVSFALWRFFNRLIVKLQDGTISERHVSVSSKVDELSTMIQYGSRLLYWWSIDDDSKEEQAYLCYANNYGYSTFCSYPPDVVKEMSKKDLIKEVQRLQQALEEQTQMANHSQQQCDYLKNERIFCRICFERDICIVLLPCRHSVLCEICSNKCQSCPICRLTIESRLSVNDAVSPNLLCDAV >Et_10B_003801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6278662:6282687:-1 gene:Et_10B_003801 transcript:Et_10B_003801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILDAGQRTAADMEGAGSALPLAGAAYQPYVSELLSFSIERLHKEPELLRVDAERVRRQMQEVAVENYGAFISASEALSFVRAQLEGFDSHLEALIEEIPNLTSGCTEFVESAQQILEERKLNQTLLANHSTLLDLLEIPQLMDTCIRNGNYDEALDLEAFVSKISKLHPDLPVIQGLAAEVKKTVQSLISQLLQKLRSNIQLPECLRIIAHLRRIGVFSESELRLQFLRCREAWLSGILDDLDQRNVYEYLKGMVACHRTHLFDVVNQYRAIFNNDKSGSEESYDSGLLFSWAMQQVSNHLTTLQVMLPNITEGGSLSFILDQCMYCAMGLGLVGLDFRGLLPPIFENAVLNLFSKNMNTAVENFQVVLDSHRWVPMPSVGFVANGVEDDTSIDVTPPSVLMEHPPLAVFVNGVSAAMNELRPCAPLSLKHVLAQEVVKGLQAVSDSLVRYNAMRMLRGNESSLFISLCHAFIEVAYPYCAACFGRCYPNGATLITECRSTFDSVSQLLTVPARSNSSGISIERRQSGGIERKQSGGIERKQSGSIERRQSGGLERKQSIESAGSAITENGLSPDGPGSEVNNDAGTQGTTLQSDLQTSPPANK >Et_3A_027257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:651196:653191:-1 gene:Et_3A_027257 transcript:Et_3A_027257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQPHAEGASPKHETLMEKIADKLHVGGGDSSSSDSDHDERPRPSAPPAPAPAEVKPSFSDSAAAAAADAKAKVFRLFGREQPIHRVLGGGKPADVFLWRNRNISAGVLGGATAIWILFELLGYHLLTFICHGLIFSLGVLFLWSNASSFINKSPPRIPEVIIPEDVVVNIALSTRYEINRAFANLRQIALGRDIKKFLMVIAGFWLLSVLGSCCNFLTLVYIVFVVLHTVPVLYEKYEDQIDSYGEKGWVEVKKQYAVFDAKVLSKVPRGPAKDKKH >Et_4A_035463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25607688:25609041:-1 gene:Et_4A_035463 transcript:Et_4A_035463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQWFSNMVMDEPSFFHQWQSDALLEQYTEQQIAMAFSQGEVDQAVAAAALMPQQQQYSAAPAPAAEHRPRKAAKVNTSWDSCITEQGSPADSSSPTILSFGGGHSAAFAKAEAAHVPSAAAYYGGAPAAAPVKAPKQEMADAGFQAHPVKRSYDAMVAAVEALAPSRPASQNQDHILAERKRREKLSQRFIALSKIVPGLKKMDKASVLGDAIKYVKQLQDQVKGLEDVARRRPVEAAVLVKKTQLSADDDDGSSCDENFVGGEAGALPEIEARVSDRTVLVKIHCENRKGVLIAALSEVEKLGLTIMNTNVLPFTSSSLDITIMAMAGDDFCLSVKDIVKKLNQAFKSSC >Et_10B_003171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17271160:17271893:-1 gene:Et_10B_003171 transcript:Et_10B_003171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLQPVVEQQPQKQIQPVVGDNGRAGGNGGSSDAPRSDGNNKHNVFLVHRKLPVKEYLPTPLHRILLQPVNDDGPRVGGGNKYLAVGTVPTGRGGGETRHRRAELAELVTARAIRAAAPGLTSSYLSKKSPSTISGADRPEQ >Et_1A_007541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35769553:35772206:1 gene:Et_1A_007541 transcript:Et_1A_007541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNSSSYRSNCFSKRRIGSPFPFGYRKKVHFKLFPPRLCRNTFATSGTELSFLAPKMSGGHADAGNSAKMSKLKELLHRSENRICADCSAPDPKWASANIGVFICVKCSGIHRSLGTHISKVLSVTLDKWTDSEVDSMVEVGGNSHANAIYEAFLPEGRKPRPGSSQEEREKFIRSKYELQEFLEPSLRIVSNQSIESEKQAGGASQSAGSKSEIGMVEFIGILNVKVIQGTNLAIRDMSSSDPYVVLTLGHQKAQSSVIKGNLNPVWNEELKLSVPQEYGTLKLQVFDHDMVSKDDLMGEAEIDLQTMINAAAAFGDPGLLDDMQIGRWLKSSDNALVRDSAVVIAGGKVRQGVLLKLQHTETGELELEMEWMPLNM >Et_1A_009310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38171183:38173566:-1 gene:Et_1A_009310 transcript:Et_1A_009310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATGAMNTLLPKLVELVRDEYKLQKGVKGEIKELEEELISMNAALRKVSMVPVEQRDEQVKIWARDVRELSYDIEDAVDYFMLRIKRHLVGIEGPKNELAEKLICEGSSLGQHQKIMSIFGFGGLGKTTLANALFSDLKSKFDCHVFVTVSLNPDIKMVLKTILSRLDKNSVVNEVWDPMLLIGMIKEFIENKSPSYEVLALQDANHGSKIIITTRKKAIADYAGGDIYEMKPLSDDDSRKLLNRRIFDSEDGCPPCLREVSGKILKKCGGVPLAIITTASLLANKPVNSVAWEKVNSSIGYGLERIRDVDKMKKILMLSYDDLPFHLKTCLLYLGLYPEDAMIFRDTLVSSWISEGFIAHETQSAGTTSREIGENYFSELINRSLIQPVVDTIGNLDGSVDRCQVHDMVLELINQLSAEEGFASMLLPDGQHANTSASVPLKMQMRRLSP >Et_1B_011379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21279573:21285611:-1 gene:Et_1B_011379 transcript:Et_1B_011379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSSHPTSPAPPLEDENILAEILLRVPPHPIYLSRSSCVCKRWHRLIRDPGFLRLFRAFHRTPPVLGFFHNSPCSPRFVSAQGSPGRIVHAAGALRRDGDDGMWWFVECRHGRALLRGRDWADLLVWDPMTAERREIAVPYRVRSGSFDLNAAVLCPSAADADCHSSPFSVVVVCARQGRAFACVYSSGTGSWGELFSIGMPSSQSGLTEEPGALVEDALYWLLDDINILEFQLGNHRLALVELPSETFSIYKRNIRVVRSEGGGLGLTAVKNLTLHMWVREADSEGIVKWVLCREIDLCKLLALPLTQPRVGSIPVWISGIGEDGNVIFLRTMVGIFMVWPKTKQFKMLTNSVLIKTVYPYASFYVPEGFISASDPPATGARREASEKKHAVLSERYATFFIKDLVDFHGSEL >Et_1B_011711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25284157:25284767:1 gene:Et_1B_011711 transcript:Et_1B_011711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGVLLAFMISRPNILRVASNQNNNDPSARSRYPMDSPPKKSLPLEHLAKASSRCSITANASFIFSALSASVIAPMMPNQHGNSCKQETTTSAHAHKSRIEGPVNASSTYCTITNGLTVVDEHWHFLVHGVRLEQGLALVAKILLDVVVAHSFDAQGQAYAERERA >Et_9B_064696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17739733:17744728:-1 gene:Et_9B_064696 transcript:Et_9B_064696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNAAAPCQVVAVPYPGRGHINAMLNLCRLLVAHDGRVSATVVVTEEWLGLLGAAAKAMGPRVRFETIPNVIPSEHGRAGDMVGFVEAVSTRMTAPFERLLDRISPPAPAAIVADVFVSWAVDVGERRGVPTCILCPISATMFAVHHNFHRLPSAAASGGTSSPVANATEGTDPCFIGNYIPGLKSVRLSDLAPGHSNEKILKRILEAYTFVRRAQCVIFTSFHEFEGDAIDVVRRDLHCPVYAIGPCIPFKLLQAQEHQANSDDGEGYMAWLESQPAGSVLYVSLGSYLSVSAAQFDEIAAGLAESKARILWTVHDAGVRSRVQSLIKGRDDAGVVVVVPWTDQLRVLCHPSIGGFFTHCGMNSTLEAVYAGVPMLTLPITFDQPVNSRLVVEVWKIGYGLKEKARADGVIGREEIARAVERLMRHDTAEAEDTRRRAKLLKDAARSTVEEGGSSRSDLTSFINFAMTSKAAAPCHALAVPYPGRGHVKRDAEPVSPPGRPPPLSSSAAADLGSRVRFETIPNMIPSEHVRARRRHGRVCECRVHQDDGPRIGSINGLQGGGAVPRPGRAVPYPGRGHVNPMLNLCRLLVARDGVSVTVVVTEEWLGLVGSAAAKAMGPRVRFETIPNVIPSEHGRAGDMVGFVDGVYTKMTAPFERLLDRLPPPAPTAIVADFVLRWVLDVGERRGVPVCVLCVLSATTFALRCNFNRLPSVAARGGSTIPVADVADGTDPLIEKYIPGLKAIRLSDLGATLTNEKWLTRILETFASLRRRARCVILASFHELEGSAIDLVRRDLHCPAYAVGPCIPFMALQEHEANQDNAAQGYMSWLDSQPAGSVLYVSTSQLDEITAGLAESKARILWTVHDAGVRSRVQSVINGREDDAIIVVVLCHPSVGGFFTHCGMNSTLEAVYAGVPMLTLPITFDQPVNSRLVVEVWKIGYSLKEKALADGIIRREEIAAMVGRLMSRDMAEAEEMRRRAKLLKDATHATVEEGGSSWSDLTSFINFISQGDL >Et_10B_003726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5269255:5282342:-1 gene:Et_10B_003726 transcript:Et_10B_003726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAYDAHGWLLAARSIAPVFEAELHAAAKEKVPGRRQRPPPRRESPAGLRARGVQGDAPLHVHRRVAAGEEASSSCFCCFYDVRSLGFDDFVRWKDLEESRRCLKDDCFAVRRHRPDQPKRVEDDRSDNDDPPAACVIVPPYDLNAPLGDILRKKRGTDVAVDVRRARMAVAGVRGGAARGEEGQVRRPPPRIQIQGVEPKVFKAMLHYMYTDALPPETEETGDDGRRIATGRAQLERLKMMCEEMLLKRVDTNTAAETLAVAGGAAQVRRSQGRVRGLHRSSWESQGAYGNSRLREDEGQLPACCDGDCHEATGLMDQIHEQRARHRDRDHKLTIDGFTPSKLTLHKWVWLSPTFEAAGFPWRIRYGPHSYRHPLTNDPYVSLYLQLDPAAGDYTESLYPIRFRFSLLDRSGKPVPEFSRDTDGFCSFYESRDFGFHDFVRWKDLEESGCLVDDCFAVRCDITALTNLKRVENDDGGAAPAAVVVPPSDLHAHLGDILWKKRGADVAVDVVAAGGGEAVTFDAHGWLLAARSPVFEAELLAGAAKKDKSAALRRIQVQGVEPEVFKAMLHYMYTDALPPAPEATESDDAAAMAGGLLERLKLERLKLMCEEMLLKRVDVDTVAETLAVAEQHGCRALKAACVEFITCPGNLKAVMETQGFQKMKATCPGVVMEIVMKQIVPRVVTASHKLTIDGYTTSKLIINKRAWMHFFVEMAKFKFSLLDQSGNPVPEFTRATTEFSCFRSDGKSQGFGDFVRWKDLEESGCLKDDCFAVRCDITALIDDDGDGGAPAAVVVPPSDLHHLTDLLWKKKEGKDVTIAVAGGETFDAHGWLLAARSPVFEAELLAAAKEKVPSGGVRRHVGVQGVEPKVFKAMLHYMYTDALPPETDAVAIAGGLLAAAHRFKLERFKLMCEETLLKRVDVDTVAATLVVAEQHGCRALKAACVEFITRPGNLKAVMETEGFQKMKATCPGVVMEIVMN >Et_4B_038409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28804430:28810502:-1 gene:Et_4B_038409 transcript:Et_4B_038409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAATADGGAFLEFVDYAISVISSSGGDGDGYESPGDGPAPARPPWGWAVAQVLKSCCAYSSGVTAAILLSDLFQSWTEQRKSLTSKRKVELTNLLNTRSKRRRLPNTITIDSIHEKNFLSPKSVLEAVVIDVFVLPGTNIYMLTLGDMWSASTIDLYLHRRYYDYIGEHGILKKGREVMLTGCCLRTAMEGSGHARILPTEYMVMLLDEDKDEDAMLLAAQFCTYSFSSMVLEESRNDVPYSFYARIEKIESLEPFRCTERKQIVLVDDDDAKMKFILWGEQVLLANLFSVGSMLALDRPFIANVVDNDHEESQELCLEYGSATQVYMVPIAQQEEQITLPRDMHGSVDFSKYPFRGYVSDLHDKMVGVSLFGTVTSVCKASTSGTTFYLELEDITGVVLMKLIFTGPWSLGRVGVGHMVYISGLTCTLSSTRIREVSWREKEPGSLFVNLSLLPALLNSPCLHKLSLLSDLPHSSNRTHICRVRLDHIDCNSLKLLLFHNICGCVVNEHSGGRHCSFCKCDCQRGCIQGFRVHLTIADDSEKIFAWCVGQTAVEFLQISPDEYLELPEDERVMYLYTLQNESFVVAIANTSKRVDECATDDEALPFWEITRAQKCE >Et_7A_051255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16107589:16109158:-1 gene:Et_7A_051255 transcript:Et_7A_051255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCLILQILITSFNLSAGYEALLGCLAVVVIVGVVSVFCHTRRRAYKFKPSRKDNEVTFASLEYEETTCKQMSIKDIYTATENLSPSNVIGQGIAGKVYRGVLANGWPVAVKHIVKNEHAETFLREVTSLSHIRHPNLVSLRGYCDGQEECFLVYELCINGNLSEWLFGKDKNLSWIQRLRIALGSACGLWFLHIYPEGCIVHRDIKPTNILLGVDMEPKLLDFGLSRVMELGVSHVSSEVRGTFGYVDPEYRHNHKVNSAGDVYSFGMVLLQLLSGKRAINILNTAKPMSLDKMASTLIQEGNVLEFADPRLNGDYSAEAFDVSLKLALSCTGHKKQRPSMEQVVSRLEKALEISLKGNEKYNSISIVESLA >Et_9B_064350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14248537:14249608:-1 gene:Et_9B_064350 transcript:Et_9B_064350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLSPSTLFTPLRGSKKPSPVSASSSSSRSVVSCALKKQQQQPALVSASHGDAGAGARKNWVSFLHHGLAAAALSLAISLAPAPAPAAASEFDVLNDGPPVDSYVVDDAGVLSRVTKSDVKRLARDLEARKNVRLNFITVRKLTSKADAFEYADQVLEKWYPTIEEGSNKGIVVLVTSQKEGAITGGPDFVKAVGDQILDATVSENLPVLATDEKYNEAIFSTAKRLAAAIDGLPDPGGPSFKENKRESNFKTKEETESKRGQFTLVVGGLLVIAFVVPMAQYYAYISKK >Et_1A_007930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39517625:39520481:-1 gene:Et_1A_007930 transcript:Et_1A_007930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPTTPAIAAATAAEDEARLLRLEEQAEHGGGGAWEYLCLARRLRARRPAHVLKIGLDLLNNASARSRLASEQWTLYEQVAVAAMDCQRLDVAKDCIGVLSKQFPGSNRVARLEALLFEAKGEWAEAERAYALILENNPFDQIVHKRKIAIAKAQGDMSLAVDYLNKYLEIFMADHDAWRELAETYVSLQMYKQAAFCYEELILAQPTIPLYHLAYAEVLYTMGGLENLQTAKKYYASTIQLTGGKNTRALFGVCLCSAAINQLTKGRNKEEEGSELQGLAAEALLKDFKQRAPSKVPLITSMLKSMKLS >Et_2A_015619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16068170:16069405:1 gene:Et_2A_015619 transcript:Et_2A_015619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLAPPCASARASATPSGACTTSTTTSFDSSPSTLLKSLRLSQCYKVSYLGFAEVIERFPLLEEHELELCPKVGRFELVSKACPQLKHFGHTKEKYSSIWRWRDGDDATDYAEALAISRMHELRSLQLFRVDLTNEGLTAILESCPDLEYLDIRNCRNVNMDDTLRAMCAPTKTKKIRTIWIDDTKYEEFEPGSPISYCSTCCMSFCSDRDSEVLDTEVPNFDSDSSCYLSGVEEIDIHEHDKMIDKTMRRYQ >Et_2B_019321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23500466:23501778:-1 gene:Et_2B_019321 transcript:Et_2B_019321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGAVAAEAAAGEPPPPAPAPVPAPAVAADAAGQRTLPTPFLTKTYQLVEDPSVDDVISWNEDGSTFVVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDCFRRGEKRLLCDIHRRKVAPAPAAGLATAAAAAIPMALPVTIPGSPGLSSDEQVLSSNSGSAEDHHHAPSGSGGGASGSASGDTGEENERLRRENARLTRELSQMKKLCNNILLLMSKYAASQQLDASAALSSVVNNSGESSEAAPRPPPLPPAILELMPSCPALASAAADLVADAEPEAAARLFGVSIGQKRTRDDDGGGSEVKPEVSDPRPGSKSPSPDQNHWPIYRPTPVYHSTRTCNGRDQGLGSDQDGSSSR >Et_2A_018509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3097323:3098859:1 gene:Et_2A_018509 transcript:Et_2A_018509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLAPVGDPTTCAPGSLDEHLPIETKALAWAESTGLFFSKELLGSNDNVSPNILGHFIRRTWAENDGNMSPICYAQLPPLALAGAQKPTSIINPAPHLGFPLPPHIRRSAAATPSAPSLPEPPQPPRHHFAAMGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEKIPETMPDVAVFGLLGLMFWFVESILCSM >Et_4B_036111.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24958563:24959438:-1 gene:Et_4B_036111 transcript:Et_4B_036111.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPSSTSGRGASGTALARARPDHVDPVYFYFPVDGGRLFALAAGGRFEILCPPAPEQPDGSAWSWRRLPAPPFESRSVTSYALHPDGRTIFVSVERQLDGNDSPATFTFDTADAGNAWKPRGAWSLPFTGRGLYHPELDAWVGLSRDDRGRLCSCDVMPATTISESDDASDSRQCPDRKLSRERMFSPESYEKHFGATLVYMGAGARSEFCLVESFSIRDDYDDEMEEEEEEEEQDASRSSTSCCWIRLTTFCLKYDGSGKLTHGNSRHVRCYKAPDVGTEPMLKSPVAFWM >Et_7A_050885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1184145:1186338:-1 gene:Et_7A_050885 transcript:Et_7A_050885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTASWSPIFSRSQPSPKLNLNRGATGGATSCNKLGKGKNVIVAKHGNQPPGARLVNSSTSTEAKPGLNGIANLGANPANSSPLTPLGFLERAATIFGDCTSVIYHDTVFTWSQTYRRCLRLASALVSLGISRGDIVSVLLPNVPAMYEMHFGVPMSGAVLNTINTRLDARTVAVLLRHAGSKLVFVDQGSLPLIRDALRLLPPGHTAPRVIPVEDPHDESPDETLTYEALLETGDPEFAWVRPLSEWDPMVLNYTSGTTSAPKGVVHCHRGVFLIVLDWLVEWAVPPRPTYLWTLSMFHANGWGFPWGTALVGGANVCITRVTAATVYAAIARHGVTHLCCAPVVLNMLANAADAARRPLPGTVRVLTAGAPPPAAVLQRTEAIGFEVSHGYGLTETAGLVVACTWKREWDALPESERARLKSRQGVRTPSMAEVDVVDAETGRGVPRDGSTMGEVVLRGGSVMLGYLNDDAATRAAISDDGWFYTGDVGVMHPDGYLEIRDRSKDVIICAGENISSVEVESVLYGHPAVDEAAVVARPDELRGETPCAFVSLKDGVAGSVTAADIVAWCGERMPRYMVPRTVVFRAELPKTSTGKIQKYVLRNIAVEMGPTRRGSSSTKV >Et_5B_044559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3405236:3409399:-1 gene:Et_5B_044559 transcript:Et_5B_044559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVIKDIGSGNFGVAKLVRDVRTKELFAVKFIERGMKQICHRDLKLENTLLDGSIAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLARKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPRNFRKTLTRILSVQYAVPDFVRVSMECRHLLSRIFNHPWFLKNLPIEMTDEYQENLQMIDMNLPSQSLEEIMAIVQEARKPGDGLKLSGQIPGLGSMDLDDIDVDDIDVDDSGDFVCAL >Et_1A_006141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18434002:18441295:-1 gene:Et_1A_006141 transcript:Et_1A_006141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFRDINLDLITSKCSFSAKVYFKANVLMHKDGNYIRLILVDEEGTRMEALAFGRTCFDLARTIVEGYEYDFIDVVVGYRWDLNFLDVFRILVIHVCSPHIRRHAGKWRPAAQYFNTIAALHVEMNTKRGVLVTTPYSQIIFEPDRPEARAMKGFPTTHQGSRLSTLRMEGTTDIIILEDRGDGAICSRGLDGLKLVMGLLGEGEKFPGVREWSERLQPLAPCRIPCRPRRRCLQRNPAAFTPARRGTEEGLRVAMPLPATLRGTPPVAILCYNRVSLVTLICSGPEDAVYDDEEAAAESGSNSRGSGLRAETADGKLPRTNTCCICWEPWASSGAHRICLSPMNTPLHSAHSHSKACPECGGQYEHSHILNLYPATERLWDDCCQEQAPRHALMEEEATRQVVKAAMEEIAQKDKVIAKMEGKIAQMARVQEIGITLTKILD >Et_5B_045527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3675651:3677924:-1 gene:Et_5B_045527 transcript:Et_5B_045527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAYNTQQHNCTSCKASLLSKVQLLPSFINTALELIGPKFDGKFLHSKIQSLLGATKIRDTLTNVVIPTFDVKNLQPTIFSTFDARTLPLKDALLSDVCIATSAAPTYLPAHFFQTRDNSTGNTRDFNLIDGGVAANNPTMVTINQISRKMIMNKEEFFPGGPTDYDKFLVISIGTGSGKNASMYTAKEAAGWGILSWLHKDGYTPIIDMFSYSSAAAVDLNVSILFQALRSEKNYLRIQDDSLKGTAATVDVATEENMAELIRIGERMLKANVSRVDLETGKPVPVPNEGTNADALARFAKLLSEERKARTSSQARPNSAM >Et_5A_042309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8628057:8641666:1 gene:Et_5A_042309 transcript:Et_5A_042309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARRGGQLRELEALCRQGYCGVSALLVSEREDASSIIASLVCSAAPPSGIRLELTHVDSKGNFTKSQLLQRAAHRSRLRVARLQSAALHGSTGSAMASLAVFLLVAYAGLASCAAGVRVGLTRIHSDPHVTASQFVRDALRRDIHRDTARQQLLAASDGAATATVSAHTRKDLPNGGEYLMTLSIGTPPRSYPAIADTGSDLIWTQCAPCGGQCFKQQAPLYNPASSTTFGVLPCNSSLNMCAAALAGAAPPLGCACMYNQTYGTGWTAGVQSTETFTFGCSNASSDDWNGSAGLVGLGRGALSLVSQLMHILYDVQKETLSFAPAKMIKPLVASIPLSPMASKRSLLLCLVLLSLSFSVSTISNHRGLRLELTHVDARGGGLTGVDRVRRAVERSHRRVNGLLGAPAPSPATLQSDGASGAAAATALSVHASTATYLVDLAIGAPPLPLTAVLDTGSDLIWTQCNAPCRRCFPQPSALYAPARSATYANVSCGSTLCDDALPGSTRCTVSGCAYSYSYGDGGSTDGVLATESFRFSSGAGATVHGVAFGCGTDNLGGTDNSSGLVGMGRGPLSLASQLGVTRFSYCFTPFNDTTTSSPLLLGSTASLSSAAKSTPFVPSPSVPRRSSYYYLSLEGITVGDAALPIDPAVFRLITASGRGGLIIDSGTTFTALEERAFVVLARAVAAQVALPMASGAHLGLGLCFAAPEGRGPEAVRVPRVVFHFDGADMELPRESLVVEDRDARVACLGMVSTRGMSVLGSMQQQNMHILYDIESGVLSFEPANCAKDAMACKVVSAATLTLAAVVMGILAPATFATSPRGFRAALTLPLCSAVATTLPRHATTRPPALASLSAAGSGASSFQTLVEK >Et_2A_017466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34676018:34678308:-1 gene:Et_2A_017466 transcript:Et_2A_017466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAGLYTCTFWDRKFVRCVVSNQPIRATSEAVTHHRSNPHSPSTEERESAAARSMATTAGYLARRAVQKERVRLLYRRALKDTLNWAVHRHLFYQDASDLREKFEANRNVENLDVIDRLIEDAEAQYRNFQHPDPYIVPWAPGGTKFTRNPPPPQGIEIVYNYGKED >Et_2A_015636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16311076:16314886:1 gene:Et_2A_015636 transcript:Et_2A_015636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTDPLARSIHGTNPQNLIEKIVRSKIYQSIYWKEQCFGLTAETLVDKAMELDHTGGTYGGNRRPTPFLCLALKMLQIQPDKDIVVEFIKNEDYKYVRVLGAFYLRLTGTVADVYQYLEPLYNDYRKIRHKLSDGKFTCTHVDEFIDELLTKDYSCDTALPRIQKRWVLESSGTLEPRRRALPKNVIETGSMTGITDRGRHRSRSRSRDRRDRDREEGEYRRRRDRGSASPRGRGEDGSQREEPKRKKEKKEKKPEGKNVPDPDDPEIIEMNKLRASLGLKPLK >Et_1B_012204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29917081:29921479:-1 gene:Et_1B_012204 transcript:Et_1B_012204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDPTPPVMKSARRTPPPPSAAVDRLSALDDGTLHAILALLPLRDAAATSVLSRRWPRVFATLPRLVLQPNTFNRRGFDDRGDEDYCEDADRWMHSLACVLNSRVTPVAAFEVDFKYMGLYDRWFDILFLELCASGGLLELSITNTKYSECYPLPAAVYTCATLTTLDLFNWRLKVPGRITGLRAVRSLRLRNVVASDDDLRRIITRCSAMEHLEIHDVHKARNIVITSAPRLEKLDIFAHRPLCISIKKAPRLDTVNLSLSYSFPEFSWSITDSMDSDEEYTYSEIQEMCDYQKMAEREHQLTDEVGNMLTFLSGLGRAKKLDLYLPTEYSQGSRRQSSPISLPAEFWEKLINADCVLNHLSSFTFFIEPPFEDHPCEGLCQFLLMNARVLKRLRIEYRPWLVKPEHEAKLGAFRNELNFWPRASPDMLVELLNDQDSCRESSPVPLAAECWGKQLNTADCGILHRITLMNVRVLRRMRIESFHRSVVKPEHAAKLEAVQNELNLWPRASPDVLVQLSPVDHNPSW >Et_2B_022141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9644913:9650206:-1 gene:Et_2B_022141 transcript:Et_2B_022141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSYFDDGGICKMTDTTESREDGLEQAPGPESASCPISRCPITSARRSKQRNVFHLLAQREVSARTKHQAKKQWTKSLRCNAGSVELEFCSTDTRHDLFSCGDHTVKIIDYQTGKCLKELLGHQRTPWVLFLSKKNNDKVRYWSVFFGARGFHPNSACLSSSCELILAICFSILLGTIHCIQISLPVEVWIMKFVSGMLKHHIALEYTASVISLDNRPIASIAFHANGELLAVASGHKLFIWDYNKKGEAFNPPMILKTRRSLRAVHFHPHGAPYLLTAEVNNLDFGDSTLPLAKSSGYSNCRPAVIVANINSMHCPHLKSRLSSPCFLWPAHLRDGEIICPLCNDQGVTSNVQQPLSSLPQNTAVDAENQEISQIVTPMDICHGEPGVANDNNSVSTPLYTGPELNTVNVQSDERVHSGRAARYARYERFSGRCNIIPNQEIVLQSRPSERSGMPMEPFNASGGLPYVHNLEHVGALSSVRASTARFGGPSRHHTSLRHLTARVPGVERLFLGTQIDEPGVRGVSISVGSEVPSSLFDGSGAELPCTVKLKIWRHNIKYPYAALDAGACCLTISHAVLCSEMGTHFSPCGRFLVACVACILPQTEGDHGTQLSMQYDSTGAGTSPTRHPLSSHQVVYELRVYSLEEATFGTVLASRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSLRTIFLDGKTVVHLYTVLEVYRVSDMKLVRVLPSASDEVNVACFHPSPGVGLVYGTKVRRKLRPTAQDSTNICIADSYGERQEGKLRILQHNGANMGPDFFAEQNMLEVALSINNLSFPDVVNLISRHA >Et_1B_011940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27416407:27420730:1 gene:Et_1B_011940 transcript:Et_1B_011940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKRLKTVDQLYVGSNTLQVLKPLPLLDRSLRPRRLALLSKPWLPSRRSRAQLKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKRRLQATSPSSWRSWSVWKTRNNWVFNNIDPTIAVCKELFKEKRKPRKNSTKGWFPKKVSTPNMAATTSNPIVAATSDAIMMEEATSSQPHNMAISTPTKQHGHF >Et_1B_009806.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:28520284:28521254:1 gene:Et_1B_009806 transcript:Et_1B_009806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEILPPGFHFKPFDDELVGLYLLPRVRGQPDLFPGLIVDDDAAANTHPCELFQRHGRPDNVPAFFFVRTNGAACPDRRCHGGGTWKSQKCEREDPSHEMVLDDGEKIKWKRHNLNLHMVTGKSGGGSSGWVMHEYSPSPTTPPSRSAGSPSPASARSASASPTLCAANPSLSARSSTPRLQILARRRQRPLAMEQSMSWAPWSKGNCRKKQRDSTAPWSNWMYKTATVRVSPTPPVLWGRRRSIKSACSTFLPHTRTVPANSSRRWSKK >Et_1A_008716.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:10581250:10581486:1 gene:Et_1A_008716 transcript:Et_1A_008716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIALAAVLALLVLGTSDGQILPTPCCRWNCCDGRPECCDPGPGAVAATSPAAVPVAHAKAAARPDATAVGGGSGN >Et_10A_002262.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:6031268:6032392:-1 gene:Et_10A_002262 transcript:Et_10A_002262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLASPAALTAHPPPPCLVRPRTARAWRSRCPVPVAYHRQFLAPINRYRSSSATGSRSSAESGQSTIGNSSSSSRSKPSSSRKTKVTLKLTYLEINTWVWEVQEHGVGEEARPLRVLVDPVAAGNLDFGVPWLYDGAKKHPAVRAMGVDDLLLATTGPPDLLLITSGLDDHCHARTLARLSAMAPDLPVVAAPSAERALAALPFRRVTFLAPGQSAAVGRRVRVLAAPGPVWGPPWQRPENAYVLFFSGDGDDGGGEGEGLIYYEPHCVYDRRFLGENSVRAEVVITPVVKQILPGNLAVVSGQEDAVELAALLRARHVVSMNNGDLDAGGLLTRVITTQGTTESFEAMMAESLPEVQVPVATPGVPLCLEL >Et_5B_043891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17273889:17277337:1 gene:Et_5B_043891 transcript:Et_5B_043891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKWSECPSNNYRELENGEFESAVIGTVPSYTNCSGLPTANTMCSLSAQKKDGNVYKKRKMDKDSNALAASEEGKETMIQSCTTSKDHSSLLLPVVPSRKMSLSSTAGMKDPILDCGETAGVLVDPGSDMNDRCMVSSATPSYMTLEKKDAAECSSSNMCPTELIAELSARDVCVGILKEDVFKTEPRTRITTEESTDNVANPLFACNTCGGEEYSLKMLICDSCEAAFHLSCCNPCVKELPSDDWYCKTCSLKKPKGLYGKLSEGKVKPSGNTNQRPHGMSHIQYMLKDTEQYVSGARIGRDFQADVPEWSGLTSSTDGYFDQPSEFDPAELIQLNSRETSSQNRASIGNWIQCRETVNFGDSDKVCGKWRRVPLYVVQSDGWDCSCCLLWDPAFADCAVPQVTLYLFRYSNS >Et_6A_046096.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:24075522:24076727:1 gene:Et_6A_046096 transcript:Et_6A_046096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCWRPPTSPSPAAWRAPPPPSSAAAATAPVTPAPTPCGGSSGTRTAPASSCAGEWYCRLVRRGGGCQYHQLYVRPVRLDGRGVEAKLIFVCEDDEAPLEKNLCLGAILRLSESTDLVGTLVRCLEFLVTPHFRPAAESARRELVALPRQDFSGLPSSTFVDNDNEHWNAMHSCLSRWFRPNPLCCCNQQHVSDDLEPVIGVFLQRHIPLAEDNAHRSKSATTAIIDGDVGATCLEKKKNVPRLRLGLHFSPHGSSDMAPAAVEVVDGEELQQAGGGVHRNISSLEELDEFALPKAIDCLLLRRPEAAAYQLFWKARHGTAYLEVEKTGLLKAPPRRVGRSRWRRRDPKLGMWTQVVIDFFNLWVARAPRGLQGSVIEWVQKANEIQQEPLAFEKKIDLCP >Et_3B_029161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22600394:22602059:1 gene:Et_3B_029161 transcript:Et_3B_029161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMGRLRIFVVKEPVVAASCLIAGFGLFLPAVVRPVLDSFETAKQVPQPALSDVCDFVLYSNSLYVAPNFENDGLLFS >Et_3A_024522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21673288:21675457:1 gene:Et_3A_024522 transcript:Et_3A_024522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPSVAERIERLMNESAAGSSNPDDASLDLSFLEDGRSGTFMIGNESFPASLLDLPAVVESYKTYDDSVLIKTADIGQMIMVREENDPAPEGVEYKHGLTPPMRDARRRRFRREPDLNADLVSQVEKHLINIMHGVSNASVIGGEEGGDRKKAAVPRATKPDVQEPAANGEEGEPERSDSDESEN >Et_3A_023569.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:5095836:5096219:1 gene:Et_3A_023569 transcript:Et_3A_023569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AVLHCHRLRLEIDHLLPDRRLQRRLRQQPVHEQREVVTHQSCLHEINGSGNRNAGIFTISRHSKTNQTQLQLQASVPNIGTCAKKHATNKNPRKGTRSQARSRGSYARMQLLPYVRNRHKPTDIILS >Et_4B_039308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9845038:9850645:-1 gene:Et_4B_039308 transcript:Et_4B_039308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDLSVRGSAARKAELQTLVPVPLLGPPPPPPIPPTSGMYLPGPPPPGVLLPRPIVYMDEFRHMDECRSRSLIKFMEDSGVVPAPEDERRRERVVRELSKIVMDWAKRVAYEQGGQYWFTTATVLTYGSYALGAYGPESDIDALCVGPCIASLQHHFFVVLRQMLEGRPEVSELHSIEGAKVPLMRFKFNGVLVDLPYVQLPVINAAEAMHAFDPRVLENVDGASWRCLSGVRVNRQITQLVPNMKKFQYLLRCLKLWARKRGLHCHLLGFFAGIHLAILAAYVCRRHPNASINALFALFFDIFVHWPWPRPVSLLDQPTLRSPEGCSLMPIMLPCTPPEFCSSSITESTFKKIKDELWRGHALTKDMRSTDIDWSMLFTPFPYDAKYKCFLRIVLSAPAAEELRDWVGWVKSRFRNLLLKLESLGIYCDPDPTEQVDTTIVEPNVVFFWGLMYKENTQICTSSLKEDFMKSITNNIYGKEKCAHSDIGMSMYWPPQPPKFVFGRSVYSENLPPYMMANQLMKQDNYAVHVHSCTQHSNWYTSDRGIPYRNCICKL >Et_9A_062238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20982442:20983006:1 gene:Et_9A_062238 transcript:Et_9A_062238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGVPDYYAVLGVSRGASASEIRAAYLRLAMKWHPDKRGKEAADDDAEATARFQEIQQAYKVLSDPSKRAMYDAGLYEPSADDNDEDIKVSYRRSYRFFLFLARSACDAI >Et_3B_030978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9644396:9649976:1 gene:Et_3B_030978 transcript:Et_3B_030978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFVGGPSQRRGGGAGATLQWGRGDVGGCGGERPPPPTLAQLMSRRAPEPWAIRPDAVRAAEAAAREVAVRVHPTREAERRRQDVLACLKHLLGTTFGFEVRPALSPPALILFCHVRLLVRLPGAPPPAAVFAFGSVPLKTYLPDGDADVTVLANTWLNSSLIDDVRHVLELEMSSREAEFEVKGLTFIDADTSFYQIGGVSTFCFLEMVDHEVGKDHLFKRSIMLIKAWCYHESRILGAHHGFLSTYALETLVLYIFNLFHKSLHGPLEALYRFLEYFSKFDWDKYGINLNGPILLSSLPDLTLEPTVALDEQLLGQEFLETSLGRFVVVTGSNGRDTNFRVKFINIIDPLKGNINLGRSVNKASFYRIRSAFSFGAQKLGQILTLPSELIPGEIYGFFANTLQRHGNGERPDLGNDSSFESLLGTENAPNEVLCLKMSCITEGENKGSCNSSKHADKELKVVQMHKTSGTYVPGDIQDLPWNKIWFTEIASDFNANSSCSASFSSHSSFSQQNDNKSDWVTFHAGKKSLPPFSESDMLDISGDLDLYLGCLRKVNYHLEYLCVELKEAIQKAWLAGEISEDFFKFLSVRTETKTRPQRLTIVSSTGTETRKLSPVHSTEDVAQQSHVEDQADVVWQQNVSLSTNELSFASSPINFLFLGFLSLPTSHGTGTYIPRVNYEMYRERMERFAPERGFMPEGERRQRPRLADRHLDQRRCDLRNEHTAFQSTTSQVPVTQGPLQDLYPSKSVDPKGGFLPVSEQTATGPGTEQTTTNSPKVLISLDTLSSPAVDNSSKDSVGKERQIKPHSSGIELPHFGQGNPPASSNCQLSSPATASCSQLKAQAVENLEFGSMGPFSLGLLSAQFQEAFPPLPKKPVQQVHASVVQSPQPVVTESSYSLLS >Et_6A_047753.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:1400835:1402352:-1 gene:Et_6A_047753 transcript:Et_6A_047753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAAPRKKKTKQLRLLLLPFFANSHIGPFTDLAFHLAAARPDVVEVTIAVTPANVSVVRSALARHGTPGGAVVVKTATYAFPAMDGVPPGIENISAAKGEFWRRIHVTAMDESVMRPGQEALIRESSPDVIISDLHFSWSTDIAADLGVPCVIFHVMGTFPILAMLNMYMAAPGGGEDVVGGVAAIPGFPPPEIRIPVTELPKDLFFRSQQQEQHSFGIRSALGRSFGLAVNTFLDLERDYCGLYVADGIVKRAYFLGPLLLPLLLPQSSAPNNNSRWCIDWLDKKPNNSVLYLCFGSFAPLSDAQIRELALGLEASGKPFLWVVKSDSWKTPPKGWVERVGDRGLVVTDWAPQTAILAHPAVGAFVTHCGWNSVLETVVAGVPVLTWPLIGEQFISERFVTQVLGIGARLCPEDSGIVRSVRRGERGLVPAEVVARAVTAFMEPGGAGDTARVRAKKLSVKARAAMEDGGSSRQDLHRFIDDLMEETRARVETVQLRPKM >Et_2A_016028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20375122:20378934:1 gene:Et_2A_016028 transcript:Et_2A_016028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHVGSSKTHSSPKHSGGDDDRSNPRGARRHGATATLVFFSLTPLPLQQPSDHRHLPKPKEPRVLAAGAMGAPRLHRILVAVALLLATAASSAGTGTPHISAIISQSGLDFAKDLLVSRVLETLTPLTVPDIERSVNIPLVGTVRMAATGIVLHGLAVEKSTIAVGDTGVVVAASLASANLSMEWSYSYSAWVVTISDSGNASIQFEGMEVGISMGMKSQNGSLKLFIDAFSNHIRSSVENAIVNKIMDGASKLDSFLGNLPKTIDLDSVAAMNVTFVNDPLFKSSSLEFDIDGLFIPSDGSSVPSMLLGNFGFVPPLGSSSKMLWISLDEDVFNSISALYFKAGLLQHMVDKVPDQFLLNTASWRFLIPRLYHKYPDDDILLNISAISPPSVRITVGRIDATVDLDVVVNVLDSGEIVPVACISVSVTVSGAPVVSENNLAGRVELNYFSFTLKWSKVGKLHTVLVQTVLRIVLRSLFVPYVNSYLEQGFLLPIIKGFSIRDAYILTSYSKMIVSCDVAFIEPKALYPF >Et_6B_049649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7974349:7987939:1 gene:Et_6B_049649 transcript:Et_6B_049649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNNSKTQTLLDGQLDLWHTTFAFMKSMALKSAVDLGIADAIQHHGGAATIPQILTEVKLHPSKIRNLRRLMRVLSTTNVFTVQHPSTEQSEPIYMLTPASQLLLSSQIPFAAMLLNPTIVSPFFELGTWFQRELPDPCIFKQTHGQGIWELTKQDSTFDALVNDGLASDSQFILDIAIKLCAGVFRGISSLIDVGGGLGGAAQTISKAFPHVKCSVLELEHVVAEAPSNTDVQYITGDMFENIPQADAVLLKHFLYLQCTINVTYVFECNVWYAQSVLHDWDHEDCVKILKNCRKAIPPRDAGGKVIIINMVIGAGPSDLKHREMQAMFDLYIMFINGMERNEQEWKNIFLEAGFSDYKIIPVLGVRSIIETLVDCSWNVEYRPLLAKTNVIKRSKSIRCPLNTENMLARVQTEVLALEVVNEEEQGSCSDHGMCCFLPLPPAWWHTIEAWSSLSHTRNLTEVIEL >Et_8B_058840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:72390:76052:-1 gene:Et_8B_058840 transcript:Et_8B_058840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVTERAKKALEAMKPLGFSGKQVKPALKQLLKIFGNNWELIEDEGYRALIDAICEGQEPKSTAGSQQVTQATHDGSEPPGATTGDDRHHSPSASKHLMDDNYDDADETPLVKRPRMGAADFGQESDPVPRNSTVSTQCVVPASPQTTCRQTRSLTLAQKGIDRGAPSGTTDALLLKDPKPEPEIDVPQGSDCRDVLAGPDSRQLKTGSSSTQDASFVELDVASSTMGEVKMSLRCNLDPSKFSVSMEEVFKMVEEKCLHSYKMLPPDFSIGKLMNEVCQSVVQLGTMHSGTSSNGDSLEKGADAPFVKPISYSTVVDENGNAAGGSSVLESSELSLQSSMVAWKPEMALCKRRATHDVADISKGEERIRISIVNDFGSETCPPSFYYIPRNVVFQNAYVNISIARIGDEDCCADCSGNCLSASLPCACARATGGEFAYTPEGLVRTAFLDECISVNHFPEKHHTLYCKACPLEISKDQASSGPCKGHLARKFIKECWSKCGCGVQCGNRVIQHGITCKLQGKGWGLRTLEDLPKGAFVCEYAGEVLTSAELYERTVQSARNGKHMHQVLLDADWATKGMLRDEEALSLDATFYGNAGRFVNHRCYDANLVQIPVEVETPDHHYYHLAFFTTKKVEAFEELTWDYGIDFDDVDNPNKAFRCMCGSRYCRDPKNPGRKGKAAARRN >Et_5B_045560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4397787:4399648:1 gene:Et_5B_045560 transcript:Et_5B_045560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRCSVLVLALAAALSVAGAYDPLDPNGNITIKWDIMSWTPDGYVVTELTNPDVLLHTQAVVTLNNFQMYRHIMAPGWTLGWTWAKKEVIWSMVGAQATEQGDCSKFKGNIPHCCKRTPAVVDLLPGVPYNQQIANCCRGGVVAAYGQDPANAVAAFQVSVGQAGTTNRTVKVPKNFTLLGPGPGYTCGPAKIVPSTVFLTADRRRKTQALMTWNVTCTYSQHLASKYPSCCVSFSSFYNDTIVPCRKCACGCEHKTCVQGDSKRFAVTGKQAHGHATHGLHRGDKEAPLLQCTTHMCPVRVHWHVKLNYKEYWRAKITITNFNYRMNYTQWTLVAQHPNLDNITEVFSFDYKPVVAYGSINDTALFYGLKYFNDQLMEAGPYGNVQSEVLMRKDASTFTFKQGWAFPRKVYFNGDECQMPPPDAYPYLPNSAPGTAAASLGSSVVAAVAAFFAVLLMA >Et_1A_009444.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5393161:5395260:-1 gene:Et_1A_009444 transcript:Et_1A_009444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLQKLLEAAAAVSTPHAAAHLHAHLFRSGCLYSAHHLTARVLASYPPGLARDLFDEIPVPTPRLANALLHSHLRAGQWRAALCLAPRLRARPDRFTFPLLLKACAMLPSLAHGRAVHAVAVRSRAASDDAFVAAAIVQMYARCGDMVASFNAYGSFEKPDLVLRTSVLTGYEQNGMAEVALEFFARHVVGQGIAPSPITLVSVMSSSARLGDARNGKACHAFVLRRGLDYELALSNAVLGFYTKIGAVQAARRLFEGMANRDVVTWSCMIKGYVQCGDTLNAMRVYREMVESGVQPNSVTLVSVLQACALAADVEEGRRIHHIAVSIGYELELGVGTALVDMYLKCSCHEEAIRMFHRMPKKDAVAWAAVISGLTRNGLPDESLKVFKSMLLNGHAPDAVTMVKVLAACSESGVICQAICLHSYLVRSGFCNKAFVAAGLVDLYSKCGNLDSAIKIFESVTEKDAVLWSSMIAGYGVHGLGKEAVALYQRMIASSVRPSSVTFVSLLSACSHSGLVQDGKQIFDSMIQVYGIMPNTEHQCAIVDLLGRAGELQEATRVINDMGGKAFAHTWCALLAACRAHNNTEMSETIAKNLLRLDPDHMGYYNLLSNIYAFDQKWDSVKETRDIARGKCLNKVPGYSAVEVNNVVHNFIAGETSHQDWEKICTLLWELSRKLRDEVYSFQLNSDLAPEEFAPLN >Et_5B_045191.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:11440544:11440912:1 gene:Et_5B_045191 transcript:Et_5B_045191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFAGGMPTMLAPFWKVAWVWSEAYTTSLRMPSWPSLLNARSRAAMSVHTTASHVLPCRTPPPPPLKWKRSGRPRRLAIQSTTTCSSSVATGDAIQVKGTTLRPADSISPANPAVLAFAGK >Et_2A_016696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27266957:27273426:-1 gene:Et_2A_016696 transcript:Et_2A_016696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQAVGSPASAAAALGFLLPSFWEIEVTCAAAMILVALYAAYELLNPRPASSNGASSSPSDDILLARDSDGADKYKGGSSGPSAYVVKLELLAAKNLIAANLNGTSDPYALITCGGEKRFSSMVPGSRNPMWGEEFNFFVDSLPVKINVTIYDWDIVWKSTILGSVTVPVESEGPSGPVWYPLESTSGQVCLHIKAIKVHESSSRALNNSAEADARRRISLDKQGPTVVHQKPGPLQTIFGLPPDEVVDHSYSCALERSFLYHGRMYVSSWHICFHSNVFSKQIKVVLPLRDIDEIRRSQHAVINPAITIFLRMGAGGHGVPPLGCPDGRVRYKFASFWNRNHTFRALQRAVKNFQTMIEAEKQGTFPCTAEQFFSILLSDDSNFLTEYRTARKDKDINLGQWHVADEYDGQVRELNCKSICRSPMCPPYSAMTEWQHMVLSADKTDLVYETVQQVHDVPFGSFFEIHCRWSVKTTNSSSCSLQISAGAHFKKWCIMQSKIKSGAVDEYKKEVQEILEFARSYMLKVASPNQDSSVLTQQDNMAPDAVPCDQ >Et_9A_062952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7337053:7344144:-1 gene:Et_9A_062952 transcript:Et_9A_062952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQEMQGFDASLRAYEAPSTDLMSLQCTLTNSAGLKLKNPMSPGSGSAGAALEKSAGKGKKVEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEIALIIFSSRGRLYEYSNNSVRATIERYKKVTSDNSSAGTTAEITIQHYKQESAKLKQQITNLQNSNRTLIGDSIATMNHRDLKQLEARLDKGLGKIRARKEMELQNDNLYLKSRVEENERAKQTADMMGAPSTSEYQQSFLPYDPIRSFLQFSIMQQQQPSPQPQTSQYYPQQQDDRKLFNLDKWTLGDL >Et_7A_052162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5168352:5172405:-1 gene:Et_7A_052162 transcript:Et_7A_052162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMKVFDQTVREIKREVNLKVLKVPEIEQKVLDATSDEPWGPHGTALSELANATKKFADCQMVMNVLWTRLSERGSKWRHVYKALTIIEYLIANGSERAVDDILDHYSKISVLSSFEYVEPNGKDSGINVRKKVETIVGIINDKERIKAVRDKAASNRDKYVGLSSTGITYKSSSAAFGSNYSSGERYGSFSGTRESDSFGDSYKDKEPVKTSSSHSSQKSGSKLRKDRRNEDSSSPSPLKPPSTASNIEDDFDDFDPRGSTSNGKANAKTSEVDLFGPNLMDDFIDASATTPAANSTVEPQVDLFADADFQSATTSTETAAHPDVKGNVDLFAGKPAFAAAFPSQAAFIPPPSSGTSSNMNTTTPKIASPEPFDPFGAILLNGFDGSDPFGDFSSNVGSSTAPPPSKSSSGNISASSQNLHAASDFGAFVSNNDDAAKDPFDLSSSSNIGKTPLAAPQTDASDFGAFVSHTEEAAKDPFDLSPSSNLGKTDQTPLAAPKPNTKKENFQVKSGIWADSLSRGLIDLNITAPKKVNLADIGIVGGLGDGSDEKAQPSWTMGSGSGLGMSGIPSTQTGGIESLANYNKHQFGFK >Et_3B_029677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26990999:26991891:-1 gene:Et_3B_029677 transcript:Et_3B_029677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLFPIYNARFRMYTKLERTIEPKWEDPNCANGGKWTSDTMWLHTFDHGDEICGAVVSVRGKQERMAIWTKDAANEAAQISTANVFKWAVDLTKAHHKLLSYTAGPTQQDPEEPIKGELNPN >Et_2B_019655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11842741:11847398:-1 gene:Et_2B_019655 transcript:Et_2B_019655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YLLSSILGRNPLVVDYVDEESFPVVEPPPAGRAAQAAPPPSPPPVVRAPTGVAGTVCAVCTEEIAVADTVARLPCAHWYHHGCIAPWLGIRSTCPLCRAELPPREDDAGEEGLREEKPRAQASSVPGTSAARAARRDASFEYLAGGMDWIAARFLLSTILGVHPLVVLDDAARDDERFPVDDGGCRRAAVAVPAAVEAPEGVAVCAVCTEDVAAGQAVVRLPCAHWYHAACIAPWLRIRTTCPTCRAEMPRQQQAVETEPETPGGRLRREASYTMLAGNLPS >Et_1A_009589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8740580:8743060:-1 gene:Et_1A_009589 transcript:Et_1A_009589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLALIVALVFSARPAVVEAAAMQPRETCQWRCGDIDIPYPFGIGPGCHLETGDWTFVLSCNRTADGRHRLYNYKIEVMDMSVRRGQLRISNNINPWCYNATTGAMNGQKNWWYNMSITNFRINDAANRFTVVGCNSLAYIQSVNDTRNDGRYMTGCMAMCPGVDRLANGSCAGVGCCQTAIPGGLNAYQVSFEEKFNTTAIENFSRCSYAVLVEAAAFDFRTTYVTTDEFMAGNGGQVPLVLDWAIGNKTCEEAKRNASAYACVSGNSECVDSKYGRGRGYLCNCSAGYDGNPYLLDGCHDINECEDKRFKYPCSVPGTCTNTIGGFYCSCPHKTTGNAYNGTCEKDKSQTGWQIAIGVSSGFVVLIVTASCLYMIHAKRRLAKIKREYFKQHGGLILFEEMKSRQGLSFTLFTEDELEAATGGFDERNVIGKGANGTVYRGTTKDGETVAIKKCRLSNERQKEEFGKEMLILSQINHRNVVKLYGCCLEVEVPMLVYRYIPNGTLYRLIHGVSRSRGGDSVAPAPRVPFSLRLRIAHQAAEALAYLYSWASPPIIHGDVKTSNILLDEDYTAMVSDFGASTLAPTDQAQLVTLVQGTCGYLDPEYMRTCKLTDKSDVYSFGVVLLELLTCRKALNLEELEEEKYLSSQFLLVLGEGRLEEILDDQAKAEQSVELLEQVADLAKRCLEMAGDKRPTMRQVAEELDRLSRVSQQPWGRQDSGEILALLGASPSTASEVELTTTNASFTDTAYIGIRSPR >Et_8B_058991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1200648:1201619:-1 gene:Et_8B_058991 transcript:Et_8B_058991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEASLSVEVGDGTSARFWTDKWIHGQSIKQLAPTSYRLFRRGYKTKERSLKHSRPDGASLFPGPVPMRVPIHLAFSYWFLWEHAWEHTMETGKPRPSRPICEGRTSKEQERCR >Et_9A_061313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:145083:148432:1 gene:Et_9A_061313 transcript:Et_9A_061313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSDQQSPNSAPAPAPDGGEKLVFEAPPQPMREDYVENAVKFLSHPKVRGSAVVYRRSFLEKKGLTAQEIDEAFRRVPDPQPSATSQQQATNQNQPTGLQTYAPVQPVQPATGPVVLRTQPRFSWYQAFLAAGLLLGFGVSAAVFVKKLFIPRLKSWIRNVVAEGDEAEGKQLKAKIDDETAQAVKVSASAVSAIAKTNQELLASKDEERKILVTLTQALDSQAKELKSLSESLSHGRESINITREDRFSQYRPLEEHVPPAVKNGSVNSSWRASQQTNVYGVPNSDFGSGRPSFAPAPTEPTPGSFSRSYAETVSSAQRGDRSSSGSKPWEMQQYSQQRPGYGSNSQLGNDGSYFEAQDNHAPLYHQNGKAPDFHTDEPRPLAYSTGVEERPPPQRRWVPPQPPGVVMPEAATAIRQPKALPKQPSSDSTESAGEVQVNGAPSTSGVAAGVPVNGGAESDAGRTEIEEHSEAI >Et_2A_015681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16967417:16978353:1 gene:Et_2A_015681 transcript:Et_2A_015681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTKEPFGWVTVDNAMGLVRMEENECSADVRSDMGAQLPIKRLRIIFASQAVIQASIGHVFIHKSLSILACSYKSNEVWMSRLAENLHLPPLQDQDAPFCHTGNISGLGLPTLQHTQEAIPLQKHQVSHPRLRCPMLYPPHFEGLGGLFQLANAAVRLQRRTRNSKIPKLAYRVSIKENIVRLQITMCDAFWLVGVEEDKCCADVGSNTDACSPLEWLGVMLALETVLKASIWQEFINQHFRISAHSYKSDKKFDFQQSQARPITITRLVDMQQDKVEITQKPEAPSCTEVGLAILE >Et_2A_014935.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:22261831:22262193:1 gene:Et_2A_014935 transcript:Et_2A_014935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASQHQDALWAKLQELELQLAAYKLLRGEDASEGRAVWAPEATCRGRQYDAYMRRRDAKREAASAEQRLVVKLARQQQQTRGAKPMSPGALGCAVRDAQEVGRLHRHATVSFLVRLAVG >Et_1A_007456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34793242:34793559:-1 gene:Et_1A_007456 transcript:Et_1A_007456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGNLYLYAINILVCCRRRLPFYLVALSCFTAACRCSGDEDGDGGSGAPFALLLAVRDSEYTGNMEGEFPELEDLARYNAFVVSGSPHDPYDGDV >Et_8A_058456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8275347:8280219:-1 gene:Et_8A_058456 transcript:Et_8A_058456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALSRFSHWIWPGSGRRRTCPLPAGSTAITSGLFPDSPSGFREPGPVGLLSPGGGGARQRKGKSRRRGGRRVERVDREHDMVIVPSDGDGGEGLSDSDSDCSDWSIGWLEPHAPELQSDGDSDSSFAVLVPCYRRVEQTGRGGVTGGIVSDSHLYIDDGKIKINLYTGTGTLNL >Et_8B_060099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:667620:668953:1 gene:Et_8B_060099 transcript:Et_8B_060099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLLRPSQVALMVIEGEEVQASRICSSSSTWEYPPNVLSHYTAPATSSKNQQVILVFWNVLETKDHLLELGIAICFDRRDGRDSSMAIAISLASASCLTTLQLSWSADVDFLLIFLNCF >Et_8B_059883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3673076:3699155:-1 gene:Et_8B_059883 transcript:Et_8B_059883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVCSWNLPTDAFVEILLRLSPIKRWRLRLVCLHWRDVIHERTPAPERLLQPVPLAFVVNHEGNMKVSASAYAINDLEKGRCTELCRLRPVQHGCTVEGDDDDDTAFDMVMVGTCNGLLCLCDNTKPGGAIYLVNPVTKETLAVPRLPGSGDQRPVVKKTMASAGWHEAYSFAYEPMTERYKIVHVPCRSDRNGEFDAVQVFTLGESSSSSSSWRDVPVPGGSSCCLDAGIVNVDGSTYWVTTEAERVVVSLDLKDERVAFTKALPARAEHEQGGGYTWRLTELHGKLGIVSARTDAKRAPEERNEVLVLERGKDRRQQSGGIARPLFVHGDHVLTSSKTGVFAHRLRNAGRPKQCGDVRSVKISEPMRGTAVSGLSGGCIRGMFTYVRTKQRLIRRPRRVGCQDVLAVRKVRSREPLRHAVHLHAAPAYPRLPDLTLPTDAFVEILLRLPTSARRRFRLVCKLWRDVIGERTPERQVRSLILAFISRPGSSRALVFDDDNKDGSRRHEWTYNSSSLNGGVYMVGACNGLICLQDIGFTADATVFTITVANPITGDKTTLPPDTVHVFTLGDTAWREVVVLSPSGNYGLAYSGIVTVDGSAYWTTLSAYRVMALDLKDERLTSFEAPLSTRHVPRIGKMWWNLTNVHASLGVAVGHHTQAEIRVEVWVLEGGARETPPRWSQRYRLIESGCPRSSIVTGPHLIHGPYVLSISSDSERMYRRNLGGSKNYGKGTQLRPSEGAELILCGEMDGVVDTFAYVETPTSAMEEQGWTLPTDAFDRRAPGAGSASSAGTGATSSTSAAFPPPRSQPMALTYVTTSTSGSEYVIEDLAEGRCRELWTSGAVRRDFNKVMIGTGNGLLCLCDNNKPAGGAICLINPVTGETLAFPRLPSSGHWARYEQYQCKYSDSFAYLPATGRYKLLHLPFHHGRSQDLDIGGFRCNLTEVHWKLGLAISADKPAPAPAKTEGMAGTGRAWVRWCSVQVHGVPQRLARPHFVHGKYVLLTTNTLIYNDGLRSEGGVRAQAGARRLEAAVRSVRIREPGTAVSGMDSAPRPASSTAIVSFLPVAAAMSSRHRLSSIFATTTKTAAAASITSTAASPRAPHVVLAAATDLVRSGRLRSDDAHQLFDEMLHQPATIPMHALNSFLAALARAPPSAACSDAPALAIALFSRLVRSARPCVLPLTVHTYGTILFCCCRARRLDLPLAIFGRLLRTGLGINAVTFTNFLKCLCATKRTDKARDFLLHKMTKLGCVPDVISYSILLKALCDDSRSQQALELLRMMAKGGSSSPNVVAYNTVIHGFFKEGEVAKACDLFHEMMQQGIEPDVVTYSSIIDALCKVRAMDKAEQILRQMVSKGVLPDAMTYTSLIHGYCTLRQWRDATRIFKEMTKRGILPDASTWNVLMDSLCRHGRIKEARGIFDTIAIKGQKPDIISYAIMLHGYARLGFLSDMTDLFNLMLQNSIVPNHHVFNILIKAHANHGMMDEAMLMFEEMRQLGLMPDEVTYVTVIDSLCKTGRLDDAMDMFKQMVDQHGSTNIAVYHCLVLAFCTHGDLVKAKGLISDAINKGLCYDNKLLSSVLNRLCKEGRITEAQDWFDFIISIGQRPNVITYSSLIDGYCLVGKMEEAMRVFDNMGSAGLEPNDVTYGTLINGYCKIGKIDDGLSFFMKMSLKGVKPTTFIYNIILDGLFQARRVVAAKEKIHEMIENGVPVGIVTYSIVLSGLCKNNCIDEAITLIHKLHAMNLKLDITSVTVMISAMFKARRIEEAKDLFATLSVNRPVPSVVTYNVMMTNFIKEGMLAEADDIFTSMEKTGCSPDSRLLNTVVRMLLEKGEIVSALNYLTKIDEKKFSLEASTTELLISLFSKGTCQKHWELIPAKYQFLFGANPAMAEEALLNLPTDAFVEILLRVPSPKRWLLRRVCRRWRDVIRDRTPAPCRPTPLAFVVSYNADSLASSACAYAIDDLEEQGRCCRELWRSSNAPPALVYDPTERRRVVANRFDTALVGTCNGVLCLCDDTVPGGAVSLVNPVSGETPLSVPRPPGSEQHLGARLARGVQLRVRPGDRYTVLHVPCYYDKTGGFSAVQVFITTPGAPGAAAAWRVVPVPGGGSSCCIKAGLVSVGGATYWVTKNAESVVSFDLGEERVAFTKALPTRGERGYAWHLTDVHGRLRVVSTAVDERRTPEKIEVWVLGDDDDDGKKDPGGWSRRYSVQVNGVRERIARPHFAHGDHVLLTTTDHYNQVVFAHRLKNATRRWQSGEVLSVRVSMEMPGVAVSGMSGKIKGIFSYVENTEPLKPRNNGAYQQRSRSRSGRRGLEQPPHGRLRGDPAAPPAELTVAFPRGLPALAQCHRRANAEEATAQGSPVLRGQQHGGTSFFGVRRRRPISDGRSREIWRPPSYHQMIGTCNGLLCLRGVPNPIGGEIVLANPVTGETLDVPPCPGHRSIWKAFSFGFHLPTGLHKIVRLPRADGFNEIQVFTLGDASSWRDVPVPSGSSYCFDAGLVSVDGSTFWVTRGAERVASFDLGDESFADVPLPVDARGGRYRCHLTEVHGRLGLAVSLDRTTPAKVEVWVLGEGRDRHKWSRRYSVQMQGVEQLLARPHFAHGEYVLTTDTRKWTEHVHGDLTKVFGHRLRDGGRPLVSGEVRSVRIRDPGTPVAGVATNSCIRGTFAYVETTEPLRVYRLHRRHRKSRKTAACECSYCCTLV >Et_10B_002923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13951956:13952725:-1 gene:Et_10B_002923 transcript:Et_10B_002923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGSIVGDVVDYFATSALLRLFYGGREMTCGSELRPSQVANEPAVHITGGGALYTLVMVDPDAPSPSNPSKREYLHWLVTDIPEGAGANHGTEVVAYESPKPSAGIHRFAFIVFRQTTRQSIYAPGWRANFNTRDFAACYSLGAPVAAAYFNCQREGGCGGRRY >Et_2B_021274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28079341:28088401:-1 gene:Et_2B_021274 transcript:Et_2B_021274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSGAEEEEVSATAKASENDEQRRRAEAKERIEAGGATAVLGFSTLSGCLCFPSDAKRAASSARFGVCLFLAFASFLSGISLMLLSINMLGLVASLVSGGDDVAARCLFVACAALSVMTLLSVVALVPGGAYVYVGLAVVAAVVLPAAAAYWYLGGPTDGGGDGAPERSDEDKKEMEAVAKVTSSVTNSAFGGLVRVLFSVTKISGAAAAGRAAYAAIFFMFTTAILGLFVTNPRFRRLPIAVARVANAFLLCSLACAAFAASFVFLRRSRRWSSRVSSASFSGTASPVAEAAAGDGEADRENQEAQLKATEDLAGKVTTATFGGIMSVLGSSIGGEKGNGKTGATYLFMIALTSTFVSGFGFMLLTAAPGTAKARLAPAAKVLVWSSVALFAATAVAVYAVEAEEGVVEERIPRHTAKAQENMEFGAGIAAFGASMMVAWFFLSPENRGVHNLCYITPMLLSFSCFAVGLSLMLLSMNILELPEKNVDDVLEVASKCLSFLCSILPVVTLLSPLVLSGYKVYRYIGLTLLVMVTAPVVFLRWYIGRKADEGDEHAAENEQEAQLEAAFKFISAISNSASGGLVALVVNYNVTSGSGRTKGATLLAIFFIFTTAIWGLLSMEIRMKVLKIKSKNLRGFIIQAICLAIIFMLFSLACAVFAEGFAIVEFYVFAAFTPWDCVNPARIPRENCANVSLEIHLNCKADRGIKITMWSFMAIIGIFGGFLHGHEKIESLKACIVLLASAFMSGLALTILTIRPDATNASLGVAITVLDWTGAATFVAAIFAVIVAM >Et_8A_056769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16538008:16540510:1 gene:Et_8A_056769 transcript:Et_8A_056769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGKLNLYQSVIRSIETARNFYFGDFPVKDKVSYWALGNQKLTYALLHCNSQSESNMRRILKCLIPVKLSLDVVTSLQRDLKLLRQALDNHEDQFFKIWCIPEKLELQVYKRLVKKIHLY >Et_4B_038602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3236044:3238847:1 gene:Et_4B_038602 transcript:Et_4B_038602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLRRGAVASRRRCPAEALRRLVSSEAAPDRAPSRSPPEMPPFEHQPRPYAGMAGAEILEKRKKVLGPSVFHYYQKPLNIVEGKMQYLYDEHGKRYLDCFGGIVTVSCGHCHPDIVNAVLEQTKLLQHTTTIYLHHAIVEFAEALTSKMPGNLKVVYFVNSGTEANELAMLMARLYSGNLSMIALRNAYHGGSAGTIGLTGLQTWKYPIPQGEIHHVMNPDPYRGTFASDAAAYAKEVEEHITYGSSGRVAGFIAETFQGVGGAVELAPGYLKLAYDIVRKAGGVCIADEVQSGFGRTGSHYWGFQTQDVTPDIVTMAKGIGNGLPLGAVVTTPEIASVLAQKIQFNTFGGNPVCSAGGLAVLKVLDKEKRQAHCADVGSHLVERLKSLQQKHELIGDVRGRGLMLGVELVTDRKEKTPAKAETAELFEKLKDLEILVGKGGLHGNVFRIKPPMCFSKDDADFLVDAMDYAMSGL >Et_6A_046464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15539462:15541900:-1 gene:Et_6A_046464 transcript:Et_6A_046464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGPDPAAAERTAFRRAEKQYKFYKPPNAKGRSRTRLGSRSSLLLQLLPSSLITQSDVPYRPRSTPTGDDLSAVVDFHALLAADGELPAGIGRCDCADFERPVFCFLDRPGFYFIPGALSTDEQCHWIRESLSTFPQPPNRTNLTAIYGSISDLLMAAKTQKILVEGDNPDGQETNEQNNNVAKTLPRNFKFVDESEFQKGEACRSTAATTLVRKLRWSTLGLQFDWSKRNYDVSLPHNKIPDALAILAKKMAIPAMPSAEEFKSEAAIVNYYGPSDMLGGHVDDMEKDWSKPIVSISLGCKCIFLLGGKTRDEVPTAMFLRSGDIVLMAGEARERFHGVPRIFTESDQQDISALVSPLSGEDDRFILEYIKNSRININIRQVY >Et_3A_025447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:310886:314622:1 gene:Et_3A_025447 transcript:Et_3A_025447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKKMLLRLFCVKNKDSKKKACAPHSTVSANKSHFEPCSSGTGAVQWVQKHEPARSNFSDHHYANSSPGKATKDWVKAIQSEWNLLQKNLPASIYVRVYEQRMDLLRAAIVGPAGTPYHDGLFFFDVRFPSEYPKCPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGNGCEKWAKSNSTMLQVLVSIQGLVLNDKPYFNEPGNKNSAKTAVGEKNSLAYNQTAFILSCKTMLYSLRKPPKHFETLVACHFYEREHVILESCGAYLSGTIVGSSVRNGTKYACDKCFADFTKSLAIYTEQLRKEFASNKTRVLELVREASSADEIVPAS >Et_3B_029260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23580481:23584032:1 gene:Et_3B_029260 transcript:Et_3B_029260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYITAAGAAAADDDVMKPPKGAPPPTQQQQAARRGCRAAVVTGLLAGVLIFRAALLAVEAGASLCPSATGCLDWRARLGGWLYGGAGAGDDAMEEFMKEWRRGHREATLLDPVVVEAAPDSLDALTAEMGTMLASYDGIDMDAVVLKIMAMLLKMDRKVKSSRIRALFNRHLASLGIPKSMHCLALRLAEEFAVNAAARSPVPPPEHAPRLADASLLHVALLTDNVLAAAVAVASAARSAADPARLVFHVVTDRKSYVPMHAWFALHPVAPAVVEVKGLHQFDWRDGDVVASVMRTVDEVQRSSLDYHRCDGSDEREYRRLEASKPSTFSLLNYLKIHLPEFFPELERVVLLDDDVVVRKDLAVLWEQDLDGNIIGAVGARRPDADGGGICIDKTFGDHLNFSYLEVPSLGLRSSQCAWSWGVNIVDLDAWRRTNNRESGFRLWQTASLPPALIAFDGRVQAIEPLWHLPGLGWRVPDAELLQFSAVLHFSGPRKPWLEIAFPELRRLWLGHLNASDSFLQGCGVVE >Et_4B_036436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23953687:23954342:1 gene:Et_4B_036436 transcript:Et_4B_036436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVHCNGCARKIRKTARDVNGVEEVWASPETGVVVVTGNADAEALRSRIEQKTGRAVTVVSGGGGAEDQAPDGWRTTRLAPPRRAPPAPDSWWTAQHVPPSGHAAQPDSLWASHFLASSRHATPQVHLAPPPVQPQYAPYPPPAYPFHGGRHGNQNHQWSLV >Et_4A_033788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26974996:26976488:1 gene:Et_4A_033788 transcript:Et_4A_033788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAPEWLDKGDNAWQLAASTLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAATFVVWCLWAFRMSFGDRLLPFVGRPDFAALGQAFLTEQGFAGAYPAATLLFFQFVFAAITLVLVAGSLLGRVNFRAWMLFVPLWLTFSYTVGAFSVWSPNGFLFKAGVMDFAGGYVIHLSSGIAGFTAAFWVGPRTAKDRESFQPNNILLTLAGAGLLWMGWTGFNGGAPYAANIDASVAVVNTHLCTATSILVWLCLDCAVFGRPSVIGAVQGMITGLVCITPAAGLVQGWAAMLMGALSGSVPWLTMMVLHKRSRLLTLVDDTLAVIHTHGVAGSLGGVLTGVLAEPRLTRLFFGDDPRYVGLAYAIKDGRAAAGLRQVGMQLAGIAFVVALNVVVTSIVCLLVGMLVPLRLSEEQLAAGDDAIHGEDAYAVWGDGETYEQSVHGNGAYPMTSNPVASKADEMI >Et_4A_034612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4795534:4802055:-1 gene:Et_4A_034612 transcript:Et_4A_034612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPSSSASQVDAIGLGILAAADLIEWLIPLTPSEVLRQSYLSNLSESWPLGALHFADVYKECHSSEPLKMSAIMLCTCSGDRSKFEDLPRSPESLATRDFSANGSSKIPSRETTPNDNQVNEVESDLRETLSLNYEEARALLGRLEHQRGNFDAALQVLQGIDIRSLRPRMTGAIAESIKPRTPPSSRRKTSQVNGMQMHMSMHSVSLLLEAILLKAKSLEGLGRLTDAAEECKIIIDIVESAWPCGVPDGASEDCKLIDIFHSALEYLPKLWMRSGCFDQAITAYRRALARSWNLDSQRSANLQKDLAVTVLYCGTEVKFPQEFGQKRNLVNPENNIEEATLLLLILTRKLALQEIKWDPDLVNHLMYALSLSGHYEVLASHLEMLLPGTYTRSERWYILALCYSAGGMDDSALNIIRNSFGVLERKGKPHIPSLLLGAKLCCKNPKHASEGIKYANKAMKSFRSRDRHFISIANHFLGVCYGPFSRSSTSHADKLRLQDDALRLLQDAATMAKNNPEIIYSLAWENAMQRKLNAAVECATECLEMVMGGSVSAWKLLILVLSAQQNLQEAEAVADIAIDEAEKDDQLDILRLKAQIQASRGQFKSAVESTRVLLAAIQTKKEVWKSTTCDKVKSLWKLEMDAWLDLASIYTKLEAWHDSNICLDKARSIDFFHPKCWHFRGLQLEAQSLHQEALMAFSFSLSINPDYVPSMVCMAGILRKLGGNSLSIARTFLRNALRLDPTSHRAWMDLGLVLKSQGSLLEAADCFQAAYELRELTPIQDFSEQLPIMLQ >Et_4B_037987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25332315:25335585:1 gene:Et_4B_037987 transcript:Et_4B_037987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTRAAKIPSFQQTEINWDNLDKTKLYVVGAGMFSGVTVALYPVSVVKTRMQVASGEAMKRNAMATFKNILKVDGAPGLYRGFVTVITGAVPTRIIFLTALETTKAASLKLVEPLKLSEPVQAAIANGLGGLSASTFSQAVFVPIDVVSQKLMVGGYSGHAKYKGGIDVARNIIKTDGVRGLYRGFGLSVMTYAPSSAVWWASYGSSQRIIWSALDHLNEKGDAPSQLKIAGVQATGGIIAGAVTSFVTTPIDTIKTRLQVMDNQNKPKASEVVKRLIAEDGWKGLYRGLGPRFFSSSAWGTSMIVCYEYLKRLCAKVEEV >Et_1B_014394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7688882:7693251:-1 gene:Et_1B_014394 transcript:Et_1B_014394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSQTRSWRVAVHFDPSDYPFRGLRCSHSVFWNGSLVWVVINHLVRLLVDEERVVEMPMPRTPEGWICAYVGESGGHLQMIGFTEEERLTGFMDVLEMQEGSSADWSLLYRVDLRRMTEMYPGIRRTRREFPYLGLRFSRGTGRKIEYLALGPMYVVRGTEESGGHGLMIFSIPGKIMCYHTENNEFSVIHEEPTASESDTKENSYSATGRHERNPPSQAPHV >Et_3B_027922.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26687633:26687773:-1 gene:Et_3B_027922 transcript:Et_3B_027922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTSGAEQEPNHGEVVVAARMDIEVNDYPGSGANNRHEPRSPGRV >Et_7B_054927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5661759:5663931:-1 gene:Et_7B_054927 transcript:Et_7B_054927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVPGTGPGCLGSPPQATTSSLPGFQGAPRRPGTTKPSWIVRTESNVRRERPKRPEPPCNICKGTGRIDCRNCFGRGRTNHADLVMLPKGEWPKWCRICGGSGLDYCHRCHGTGEYREPMGFHFTEDESAQERSNNEKLSQVVNSQMEVYKSLEFAKAAAGAEACNGNKRT >Et_8B_060583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2871072:2874678:1 gene:Et_8B_060583 transcript:Et_8B_060583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDGFQMRPTTSRKKKSKLFLKWWMRVAARGRSEVARHGGCSGGSRGARSNWLSPTKHRLHPSVRNEREIPTPTTTSTDASDKTKATNEKSSNKKGKKLKTKSGDDSEPVGVDEEGMYSDTESLVALSDSSYDTDIAASSDSDAEYDPDIEIVDDDDLDDIPAFSYDVENPCIDVGAVFSDKHEAVNVDWA >Et_8A_056507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11144501:11149271:1 gene:Et_8A_056507 transcript:Et_8A_056507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYATLAVQKLPPHFPKGQNPTHDTATPPPANTTPALLALQARILLLAPSEISKIHPKFYLSWISCVARQVVKMAEGLPSSWEELPPDILGLVLRRLPSLADRVHVRAVCRPWRAGALPQRQSLPPPLPWLALRDGGLVDLQGAPVRCAPILRKGVDFGYLAFDNLAFLVDRDGKCSLMNPISGVKFPLPKLAPAVLRAIDGSGAYGRSYIQKGYVKATISSPLDLTPDPHVAALILEGYSVAISACKQQDAISIGMPDPKWPDSTRKIDDIAFLDGKLYALTPHEGLYVINLDAGRLRELKSSSCFHQYIREDLKQQEVYCSNPNRTNNYETYPEFLVIRYLAESNGRLLMIRRWMSIPPRARLGDHDRTLRFEVFVADLTTVPGRWMKVDSLAGQALFLGSECSKSVLASQCAGGIEEDCIYFMHRAFDNPCTEHFGPCVDPLGDSGVYDMRNEGIKPLVTDSVMSKLKSKRQFLTWFFPADA >Et_8B_058540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14616289:14616702:1 gene:Et_8B_058540 transcript:Et_8B_058540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPNCHVRANVSVAETEQNYGRIFHKCPRFSASGCQYYQWDDEIDAAIVPSAQPVLMQVQAPPQAPAMIQVPVGAPPQAPAMIQVPAPPQPQGGRVQDAPGNLQVLMVK >Et_1B_011842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26467656:26470485:-1 gene:Et_1B_011842 transcript:Et_1B_011842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETEAERGRAAGYQRGPPWLHLVKASTARAFVPPELRLVQAFGYTLGGMFLARYHDSPAGEFDELVVIAGIVWNPPTSCAWAARVLVNSVEACRHGRKEVGLPSHVATFSKAEADVPRNEPLVKPNNFLSILGIGSTISKQANGREIKISETKGSSAMHLCNISLPLTGSHKHHKWMGPAIKMSLPSFSGQTEDHPDLLKYSCQLECRVRPVKPARIWSPITPEPQECSDCKNSSAVSANSDAQKQSISVLLSRPVFALEFNSLRMHVDAPKIVIPDCKKKEVRISSSRV >Et_3B_031741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9468013:9471377:-1 gene:Et_3B_031741 transcript:Et_3B_031741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFSTILMEAKEVYNQDMSVDLEKGNCLLTREESNGMDINSVTGYAREASWEDLVSLKDDRSHHMSCCSSHCQDSVSKNGESMSSEGEMKAGRPDNSVSDKEKKKRFKKPPRPPRPPTTSPLDPADQKLISELSELAVLKRARIERMKALKKMTNSKPASSIGNLY >Et_4B_040045.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:8277838:8278416:1 gene:Et_4B_040045 transcript:Et_4B_040045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLSSRFAPATSTLRTPLRHQGHRALKFLSPPRASSSGDDASAEKEPAAPAATKTATAADDGFEERILQIKSRVGPKKRGARKKKAAGAAASSASAVTLPPVPLREAKSRLGAPVELGFTAYSERLNGALAGLGLAALLLVELGSGQALVKYHQPATLFLQVYTVAAAAALFVKYEKEGISKWPGPPASG >Et_7B_055075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6984573:7003652:1 gene:Et_7B_055075 transcript:Et_7B_055075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWLHLAGCLALRTQMTSHLRLHAAASELELLVPRSAAVVAMDHRAPAGAHEIEKTPSERAEDMESEPAAAAARRVPPWREQITARGMVAALLIGFVYTVIVMKLSLTTGLVPTMNVSAALLAFLALRGWTRVLERLGIASRPFTRQENTVVQTCAVACYTIGFAGGFGSFLLGLNKKTYELSGATTPGNVPGSYKEPGIGWMTGFLLAISFVGLLTLLPLRKVLVIDYKLTYPSGTATAVLINGFHTPQGDKNARKQVRGFLKYFGISFMWSFFQWFYTGGDVCGFLQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNLSLLLGAVLSWGVMWPLISKQKGNWYSAKASESSMTSLYGYKAFLCIALLMGDGLYNFVKVIVITVKNVRERSARRSLNRVADADTMALDDMQRNEVFNRDNIPTWVAYTGYAALSVIAVITIPLMFRQVKWYYVIVAYLLAPALGFCNAYGTGLTDMNMGYNYGKIALFVFAAWAGRDDGVVAGLVGCGLVKQLVLISADLMHDFKTGHLTLTSPRSMLVGQVVGTLMGCVIAPPTFFLFYKAFDVGNPDGYWKAPYALVYRNMAVLGVEGFAALPDHCLQLCAGFFAFAVLANVARDFLPRRFARFVPLPMAMAVPFLVGASFAIDMCVGSLVVYVRHKLDSKKAALLIPAIASGLICGDGIWTFPSSLLALAKIKPPICMKRQNRRPPHLELEMAHPRDGGHEEAAGSLDGDAEEGMRGGERLPPWREQLTARGMVASLAVGAMYSVIVMKLNLTTGLVPTLNVSAALIAFVVLRGWTQALARLGVATRPFTRQENTVVQTCAVACYSIAVGGGFGSYLLGLNKKTYEMAGEGTEGNVPGSYKEPGIAWMTGFLLTVSFVGILALIPLRKIMIIDYKLTYPSGTATAVLINGFHTTHGDAMAKQQVNGFTKYFAISFFWSFFQWFYSGGNNCGFSQFPTFGLRAWKQTFFFDFSLTYVGAGMICSHLVNLSLLLGAILSWGVMWPLISDLKGDWYPTDIPESSMRSIQGYKAFICIALILGDGLYNFAKIVAFTIKSLLERARLRNAKKEEDIPLLDDIHRNEVFTRDSIPTWLAYSGYLALSVLSVIAIPLMFREMKWYYVVIAYLLAPALGFCNAYGAGLTDINMAYNYGKVALFILSAWAGKDSGVVAGLVGCGLVKSLVSISADLMHDFKTGHLTSTSPRSMIIAQTIGTAMGCVIAPLTFLLFYKAFDIGNPDGYWKAPYALIYRNMAILGVEGFSALPLHCLQLCYGFFGFAVAANLMRDLFPPKYGKWVPLPMAMGVPFLVGASFAIDMCVGSLIVFTWHMIDQSKAALMVPAVASGLICGDGLWIFPASLLALAKEPRRRKHHHRSPPPDLERAMAYRRDGGGGDLPEDAAGGDSEAGARGGGGRVPPWWDQLTLRGMAASLAVGTMYCVIVMKLNLTTGLVPTLNVSSALIAFAILRCWTQALARLGVATRPFTRQENTVVQTCAVACYSIAVGGGFSSYLLALNKKTYELAGEGTEGNAPGSYKEPGVWMIGFLLTTCFAGIFSLIPLRKVMIIDNKLPYPSGTATAVLINGFHTTHGDAKAKQQVDGFTKYFAMSFFWSFFKWFYSGGDNCGFSQFPTFGLRAWKQTFFFDFGMTYVGAGMICSHLVNLSVLFGAILSWGVMWPMLDKMKGDWYPSDVPESSMKGLQGYKAFICVALILGDGLYNFVKISAFTFKGVFDRSRLKNEEKGFILFPDEATPLLDDIQRNEIFSRDNIPTWLAGYGYLTLSLVAVFAIPFMFPEMKWYYVVVAYILAPILGFCNAYGSGLTDMGMAYNYSKVALFVLASMAGKEHGVVAGLVGCGLVKSLVWISADLMQDFKAGHLTLTSPRSMMIAQIIGTAMGCIIAPLTFFVFYNAFDIGNPDGTWKAPYALVYRNMAILGVDGFSALPMHCLEMCYVFFGFAVVANVMRDLLPPKYGKWIPLPMAMAVPFLAGASFAIDMCVGSLIVFTWQMIDRSKAALMVPAVASGLICGDGLWIFPESLLALAKISPPMCMEFRPAH >Et_4B_036329.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1836751:1836825:-1 gene:Et_4B_036329 transcript:Et_4B_036329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRQSCVHVIKACIWLYNGCRNP >Et_6B_049554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6568978:6570951:1 gene:Et_6B_049554 transcript:Et_6B_049554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYSHVFPAACWSNVRTTLLVRCSSTPDGPGQLPVRRSANYPPNIWNYGSIESLGTGIHGHNPLNLLQIKTSRDVEPSLKLRAIDIVQRLGVAYHFDEEISAALNSVSMDTPVVVNRQNNVNYSALLFRLRRQNHSPASPAELLRNLQDGKGGFEKTLLKDIEGLCSLYEASHLAFEGETFLDEAKEFSAGTLNELMPSMHPHLRRFVAHALDSPLHWTAPKLHTRWFIDHYARDIGADPLMLQFAKVDFNNVQNLYQQELSRITW >Et_9B_065177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21801260:21804271:-1 gene:Et_9B_065177 transcript:Et_9B_065177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQAWAAAGVGMAGHYFDVNCIAYSPDSQLLATGADDNKVKVWTVSSGFCFITFSEHTNAVTALHFMANNHSLLSASLDGTIRAWDLFRYRNFRTFTTPSPRQFVSLTADQSGEVICAGTLDSFEIFVWSMKTGRLLDILSGHEGPVHGLMFSPISAILASSSWDKTVRLWDVFESKGAVETFQHSHDVLTLAYRPDGKQIACSTLDGLIQFWDPLEGLLIYTIEGRRDISGGRLMTDRRSAANTSIGKYFTTLCYSADGSYILAGGNSKYIYACMMLENRFQITRNISLDGVLDFLNSKRMTDAGALDLIDDEDSDVEEGIDQQTRGNLGLGLPGSVANRGRPVARTKCVKFAPTGRFFAAATTDGVLLYLVDESFIFDPTDLDVDVTPEKVEEALSENQHQRALILSLQLNEDSLIKKCIFAVDPSNVRAICSAIPFKYLQRLIDAFADLLESCPHLEFILLWSQELCKVHGHYIQQNSRTLLPSLKSLQKSITRLHQDLADTCSSNEYLLKYLCSAGTKN >Et_2A_018736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6364349:6367113:1 gene:Et_2A_018736 transcript:Et_2A_018736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGTGRPWWGPPSPAAAPSAPPFPDSPPSSFTADPPEKFLCPISGTLMADPVVVPPGQTFERACIQACAALAFSPPSVAVDLSSSSASFSSSPLVLVPDVALRTAILNWCDRLGLPHPAPLSPDTARDIVRRLMPRQEEQRSSLSSRPPAAQAATSVRVRRPSLASEDFAPPPPPPQEPAQQRTAGSLEEEILAVLAAEGATAAELASAMASLRQATRENRETRRQLCTPRLLAALRPMLLSPDASVQVNAAAAMVNLSLEPENKVRIVRSGAVSPLVDVLRGGHPEARDHAAGAMYSLAVEDENRATIGVLGAIPPLLELFASAATGYRARREAGMALYYVSLSTMNRAKIARAPGAVRTLLAAAGEATRDRANEADAAAVRKVAVMILAALAGFPDGRAALMDGGAVAAVVRLMRGGAVAPGSSEEEYCISTLYGMSRGSLRFRGLARAAGVEAALQPVADGDGGVGRDLARRTLRAMRGEDDEAPVSAAGMLGRHWEEGSSVVSEGLVSIRRPPHRGTYGGPSGSVSNKRFAFLLRCRLRASGTRRPAALRSRRLQVGELHVLCRLRARTAHPPPIPRFSSSGEQQLVPCFRASRPALLRAVAPHVPIGAERAYVGADDQLVPLVDIGDAVRREVELAAPRTALSDCDEHRHSTGTRAQ >Et_1A_008622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9227366:9227886:-1 gene:Et_1A_008622 transcript:Et_1A_008622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PPKKADGKTQALKVAKAVKSGAAKRKAKKIRTSVTFHRPKTLKKPRDPKYPRVSAPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >Et_2A_014818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16857266:16858204:1 gene:Et_2A_014818 transcript:Et_2A_014818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYEVEVTVGSARDLKNVNWRHGDLKPYAVAWIDSGAKCSTRVDLDGGESPTWDDKLDVPLPPTSTRLEDAVLYIDVVHANAGEGVKPLVGSARLPLRDVLDDAGMGGRASRNLRLKRPSGRPQGKLDVRVAVKEPKRYYDPNPYPAPGAAAGYGAGAYGSGGYGSGGYGAAQPYAAAPPAGYPVASPYASAPPQPAYGAAAPVVVAAAPAVVAGAPVKDPNKKGNKMGMGTGLAVGAAAGVLGGLALAGGASYLEHKFEDHVADRVEDRLDRDDYYGGGYDDDDCCDDDY >Et_5B_044121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20231826:20232457:1 gene:Et_5B_044121 transcript:Et_5B_044121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVTVVLHAPASDEYTRALTWISQSSVAMKTTLKGPPWQWRWSQPLTGQNTAADIRNALLITRNGVPAGEIPTIVVRMEEDAAMVAVEGAAEVGVGGEGYCCGGVEAWVGASSDDLAIVEVAEQGRRLVKGAVAAEEVGVDEDAEPGLADEGGAEEVLGPVRRESEEDLGGDVVDELRRRRHGGAASRLAARVWGIEWEAG >Et_1B_011949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27448545:27462761:-1 gene:Et_1B_011949 transcript:Et_1B_011949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAGSARRRPLFLFWLKQRSGWAAGSARRPRSATPLGPVSRSAALARRPSSHPPRGSAPPACRHRSHQPRGATPRRALPECRPRSAALCASAAPLSHGYTHHHFSVLLVASLILASSSWASAAMGSAGADTDRRALMQFRSLITDDPHGALASWGGGGGGNASAPCGWRGVTCGAGGRGRGRVTALDLIGLGLAGSIAPSSLAGLTYLRELDLADNRLTGGVPSLLPPSLQVLILDRNNLTGTIPASLGNLTSLTDLSLSSNNLAGAIPDALGNLRALVYLYLNFNMLQGSIPPAVFNLSSLQHLVVQYNNLTGTLPPDAGVWLPSLRLFAADSNRLHGAIPLSLCNASKLEVIEMPNNSFSGVIPNCLGAKNLWALLLDGNQLETNVDADWGFMDSLTNCSNLKFLGLSGNKLGGMLPASIVNLSTTMEQLFLSGNMVSGQIPQEIGNLVNLTVIEMNQNNFTGTIPASLGRLNKLGRLNMYSNRLSGEIPSTISNLTVLSKLLLENNTLTGLIPSSLGNCPLETLTLDNNLLSGPIPKEVLLISTLFHASFQGNMLTGSLALEAGHMMNLQTLDVSGNRLTGEIPVSLGDCQVLQYCILKGNLFEGKIPESIGRLKGLLDLDLSQNNLSGRIPDFLGTMKSLEQLNLSYNSFDGEVPESGIFLNSSFFSVEGNTALCGGIAQLKLPPCSNHGSTTGKRSRKVLMTISLASGILSISLLLALLVFRCQRRKLRKEEYTLPNINDQHVRVSYINLANATNGFASENLVGVGSFGSVYKGTMMINDREVVVAVKVLNLQQRGASQSFIAECETLKCARHRNLVKILTVCSSIESSSHDFKALVFDFLPNGNLDQWLHIWEYGTHKGLDLARRIDIAIDVASALEYLHHYRPTPIVHCDLKPSNILLDNDMVAHVGDFGLARFVHQDQTSLSDISSGWATRRGTIGYAAPEYGLGNGVSIHGDIYSFGVLLLEIFTGKRPTDSSFVDDLSLHSYVQLALQDQRVASVVDQRLLPIQDLEREGRTFNSSSTTELVLSCITSVLKIGIVCSKEVPTDRLLIGDALRELHGVKESAGAATDHRALMQFRSLITDDPHGALASWGGGDNASAATGPCGWRGVTCGARGRRRGRVTALDLSGLSLAGSITPSSLAGLTYLRRLDLSQNRLAGGVPSLLPPSLELLYLSNNSLQGSVPPALGSLRRLQELGLDSNDLTGTIPASLGNLTSLTVLGLTDNNLVGAIPDSLGNLQSLIGLYLNFNMLQGSIPPVVFNLSSLQELVVQENYLTGTLPPDAVSRLPSLWLLSVNTNQFHGAIPVSLCNASKLEIIEMPENSFSGVIPDCLGALNNLWGLTLDANLLEANVDADWAFMDSLTNCSNLKIIGLAGNKLGGVLPGSIANLSTTMGILSMYDNMISGQIPQEIGNLVNLTVMHMDQNNFTGTIPASLGRLDKLSGLYLNGNKLSGQIPPAIGNLTVLLTLALDNNMLTGPIPSSLGSCPLEVLNLGNNRLTGPIPKEVFISSLTNASFEGNMLTGSLALEVGRMINLQGLYVSGNRLTGEIPVSLGDCQVLQYCILKGNIFEGKIPDSLGQLRGLLNLDLSRNNLSGHIPIFLGKMKGIEQLNLSYNSFDGEVPKSGIFLNASAFSVEGNTALCGGIARLKLPPCSNHGSTTGTVKQSHKVIMTISLATSILSISLLLALFVFCHQRRKLRKEEHTIPININDQHVRVSYINLANATNGFASENLVGVGSFGSVYKGTMMISDQEVVVAVKVLNLQQRGASQSFIAECETLRCARHRNLVKILTVCSSIESGGLDFKALVFNFVPNGNLDQWLHIWEHDTHRGLDVLQRIDIAIDVASALEYLHHYRPTPIVHCDLKPSNILLDNDMVAHVGDFGLARFVHQDQTSLSDISSGWATRRGTIGYAAPGKRPTDSCFVDDLSLHSYVQLALQDQQVASVVDQRLLPAQDQESEGRTSSSSSTTEMTLSCITAVLHIGILCSKEVPTDRLLIGDALRELHGIQDNHGAPWLHPPPFLCSTRGLPPPFIVVLDLGCYGKRRRQH >Et_7A_050732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10478020:10495107:-1 gene:Et_7A_050732 transcript:Et_7A_050732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPEEKLRCTKEPFIEVVGTQRIESIRFSTLSGNEIRKSAEVQVWTNQIYDKDMNRITYGLLDARMGAPNKLGQCSTCHGSFAECPGHFGYLKLVLPVFNVGFFNCVLDVLKCICKGCSRVLLVEKDRREFLKKMRNPRADPLQKSAIMKKVRDKCKLSRCPRCEYRNGVVKKGRSGLTIVHDCSKILDGHTDDLANALKHKKEKPSNISIRMLDPATVLSLFRRMIDEDCELLNLGDRPEKLIVAEIAVPPVPIRPSVVVGNSRTSNEDSITVILKNIVNTNSILKEILKSGGPVTKCFDCWQHLQLQVVEYVNSDAPCLTDSQHRGLIQRLKGKTGRFRGNLSGKRTEYTGRTVISPDPNLRITEVAIPVLMARVLTYPERVSYYNIEKLRHCIRNGPYKHPGANFIIQPDGTKLHLKYCDRRIAARDLKYGCIVERHLEDGDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLVTRKDNFYDRSSFTLLCSYLGDAMENIDLPTPALIKPIELWTGKQLFSVLVRPNASTKVFLNLTVKEKNYTREWETMCPSDGYVYFRNSELISGQVAKGTLGNGNKNGIYSVLLRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGEHLNQEKKKKVDGGYTKCHDLISSFSKGALTLLPGCNAAQTLEAEITKILNDIRKEAGDVCMNTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGRRAPDGFIDRTLPHFPINSKTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFAYGDDGMDPAKMEGHDGKPLNLDQLFMKVMATCPQRGHDMLSPDDILQILNDKLSEHDTSSDDGCSQEFKKELTEFLEKRIKLLKNSRSAFHLDEDHEDNEASRNIERVAANISGISGKQLQVFLDTCLSRYHSKKIEAGASVGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKKISTPVITTELLSKQDVLSARIIKGSMEKVVLGEVSAAIKIVLKSSQPNLVVKLDMQLIEDLYMGISADSVQLSILNHPKIKLKSEHVRVVDKSKLRIYPSGTDKSKLQLELHNLKSMLPKVIVKGIPTVERVVINNTKKENKKDGTKAEYNLLVEGTNLLAVMGTPGVDATKTKSNHIMEVNKTLGIEAARRSIIDEIQYTMKSHGMNIDARHMMLLADLMTYKGEILGITRYGIAKMKSSVLMLASFEKTSEHLFNASYSGREDKIEGVSECIIMGIPMQLGTGILKVRQRLQHLPEFKYQPDPILSS >Et_1A_008589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:968279:969812:1 gene:Et_1A_008589 transcript:Et_1A_008589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPAGAAFLRQQQCWGVPEWAFLDKVGHMGRRNDATTARTMTTIEVSLALADPPARSRCVVHFPDLTTAHDDRDSILVPSCLVTGAGGAFLLIDARFTDPGDGYTVYDVVLYKAGPVAPSLHLVPEGPYGVGVLPCRGGQHCLVVLPEGTGLLGYDLHVFSTETMSWSTKAARMAFDMNELLHVLFYHTKAFAVGDDSMAWADLIRHGILGLRVTTIRLPPPMPINDTVDYKVTWDRSQLPPLDMVRDVTFTDGSFRFVEMESHYLDGRIKDTQFFFLRWTINTYKRVIGSDNWELLQCTGLDSANLTPATSCIPYLFPQIWDSRENKLTFNKLVSFGPTLDQYRDNVVYMISMLPGHHDPAPHGYWVLAIDTKGRKLEKAVPFTAEPYFACARDFLQCAFSKYLSRSPVSRDDGRPVGEPAYRPFYA >Et_9A_060940.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19627185:19627583:-1 gene:Et_9A_060940 transcript:Et_9A_060940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHPGVCLTIVRYVPPPQPAPRASNARAITIVPDAAAKSERQMDEEYLNEFRARNVGNDAVLYMEQVVANSEETLAAIRNLDSAHELYIVGRHPGQAGSPLTAALAEWMESPELGP >Et_4A_033364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2269231:2273180:1 gene:Et_4A_033364 transcript:Et_4A_033364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLFDLSAGASAKVASRDGSPVRGTQSERKDYFGSKTVAGSTRSSSDRSGGTPMKMLIAQEMAREGDTNPKTTNVVARLMGLDSDVDLPKSDMPSSRRSFPDGHLSATLARVNNQMSFEKHIRSVEDVEYKDVYEVGYQPPRGEFLSKECPRRRRPNEDHDKRRMDLVRQKFVEAKRLASHDNLLQSKELHDALEVLNSNKDLFLKFLEEPNSLFANQSGELHSVPTSPQRKCITVLKPSKSVEMKGDKAIKRQKNHTVEGNRLERSNIQKSDAGQDKEERFPKHTRIVVLKPSSAITSMEQFEQNYHADLDDSEAPVRSRHLSDEIDWSVHGMCRQRDESLSGCIQSNLFSTDRSYNQCAEEEGTSLSDSDIGTPTSRHSWDYIYRFSNPYFSSSLSHASCSPEALVSREAKKHTSDRWATVPSNEIGREKVPVRRSLSTLGEMLAMSDMKKEEVADQISPEATNQLCSNEPTVGVPSNCPFVDGEGESSLRKISRSRSVPVSSSAFDSLRLDGGCLDAHHKESVTSKDVKSKSGKSSLKGKISSFFSKRKKAEKEKVNPSPLGTPNSRSSSAITQPVCISLQDDAALKIFEEQCYNGPTVVPVDEPEASTSSKSLISLEKALSFEIRNSHFDQPSPTSVFDAQFEENNEKSPGSSESAITAKQEPLSRSLPIGSVARTLSWDDSSQEAPLCSTREDSHEQEQYEFIEKIMESAGFCDEETQDIFVRWHSLDCPLDPAVLDQLLERKVENAKCRERRSNQRLLIDSVNAALLDIGQSKLWGACPCNGWHANAQRVGTCDVLLTDEAWRLVKGWLFDNEKHIVCGGDNAGLAADWVVGKEIHGKGWSEMLRLEVDDISKEICGEVLSELVGETFSGLAGLHDEEASVCLFLSAATCVPARCHCLLWSLDEKLDCRDLSSAGLELP >Et_3B_031298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24991964:24993326:-1 gene:Et_3B_031298 transcript:Et_3B_031298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLDRDDSLDTVLEVPIPDEMLINAPGADKRRGAGGANMRAWLKTQAFDRATVDGPGAVNAELQLFLNVVGPPLIPCPVPHDRAFSRSIRDSSIQASTAKYIIQQYIAATGGQAALQGVQSMYAVGKVRMCASEFHLGDQTVTAAQGRAEVGGFVLWQKSPEVWFFELIMAGHKMTAGSDGKVAWRQSAAEQSHASRGPPRPLRRSLQGLDPRSIANLFSDAVCIGEKIINGEECFILKLEAGAATLRARSAAAFDIIHHTVWGYFSQRTGLLIQLEDSHLLRMKSGKGARRSENIFWETSMESVISDYRCIDGINIAHGGHTAVTLFRYGEGSVNHKRKLEETWTVEEADFNVHGLTTDYFLPPADLKKDADDQRAGGPPGHNK >Et_1B_009919.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11030552:11030977:-1 gene:Et_1B_009919 transcript:Et_1B_009919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSPARWSDMILFVPPTSRPPMKTAGTAGPRPPSIFSSARSMSLPLASRSSSCTRAFTPRSAISFVTVWHMQQELRVNTTTARSDAIFITRSAISFSFCWLSLGSIDFLSKKISHSSLSLSLSLSLSLSSSPQASVFCS >Et_3A_027094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32556588:32560251:-1 gene:Et_3A_027094 transcript:Et_3A_027094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFFHHVVGDLTVGKPVVVELHDTDTLDDAARAIAASPEGTVPVWRPRASPDEPPSGARFIGMISALDIAAFVAASGAGDRAMRAVVGEVVQPNPGLLREIDPGTRLIDALELMRHGVKRFLVRKSGTWTGITKRFSVLYNGKWLKNMESTSPSAASSSKQLSSASSSADKFCCLAREDVLRFLIGCLGALAPIPLTQISSLGAINPHCCHVEASVPAMEAIQKIPQDPCAVAVVETTPDGTRKILGDISTYKLWKCDYVSAAWALANLSAGQFVIGADENGSTSISIAPEPPTSSSPAEEISPGRSPRARKFSSRSIGFQANQMSMGRSRSTYHRGRSSPLTCKSTSSLAAVMAQMLSHRATHVWVTDPEAEEDGVLVGVVGYTEIFSAVTRSACPTSPTTS >Et_7A_050744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10716781:10720994:1 gene:Et_7A_050744 transcript:Et_7A_050744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPELFTAPAGFVHQQFNPNSDAAPLPTTHPTAQLTGSAEHTDSVSAQFILPATHEDDTTWLRRAWDRELAAWQATSIAGKTSLAKTTGFEGRREYEAMERIEVDGDFIAADNWKLHGALCKQLHTAVVEVLDVIPALETKIPRSSSGLLVLSSLSISVEKAKNLLQDCSECSKLYLAATAECVLTKFEKSRQALLESLHQVEETIPEVLNSKITEITQELDKAVFALDESEKQIGDEVNQLIQNESKSNGFLGDSELEFFRQTAFRVGIASSAAALTERRVLRRLLERAHAEEDAKKESTAAYLLHLMRKYSNIFRNEITDNTNSQCSSPPCSFISVSNSIDLPGDGQVLERQIPRVGSFNLKQIKGLSGSMPLPPEELRCPISLQLMYDPVVIASGQTYERACIEKWFDSGNTTCPKTRKQLSQLSMTPNYCIKGLIASWCEQNGILVPSAPPESPKLKYLKISSLKNSTCLVTNGVSTVLFEAMGAKDGIKSESKAASENFSRRNSGEVTSKLCMDKITPDKYSRQDSRESTSEICEVEDSPERSSHENSREDVPERCEQWLHVLNKNDVEGTEEQSKVVEEIRLRLKNDDELRDYVGANGITEPLVYFLKIAICRDDLNSQEVGTMALFNLAVCNDRNKRQLLSAGVIPLIELMIQKPETCESAVAMFLNLSCLPEAQEIIGSSDTIPLLIRGLREDGSRSNTCRLDTLLTLYNLSLHAPNIPYLLSSGLIESLHTVLTPSSEWTDKALTVLLNLALTRGGKKEIAANAAMVGAIVLILDNGEPGEKEKAVSCLYVICSGDEGSSQTVLQEGVIPALVSLTANGTGRAKDKAQRLLRLFREQRQRELEEMPPQVQLLEVANQAAQQQLEEEEMVVAAAVAAAATPGIKRSSSKRRLCRLGSKKFTRAFPCLLKKWSLR >Et_1B_012965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4469455:4472688:1 gene:Et_1B_012965 transcript:Et_1B_012965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTANRYQHIKSTKPILGKARKLKDLMMKSDNRICADCGAPDPKWASANIGVFLCLKCGDVHRALGPDISKVLSVTLDDWSDSDIDSMVEVGGNSYANSIYEAFLPKDHPKPKPDSAIEYRTKFIRAKYETQDFLKPSLRISSKSSFKSTTSVKSVGSSFSITSRKDAVKAQTTVKKSDLNPVWNEVLNLSVPRNYGPLKLQVYDHDMFSADDIMGEAEIDLQPMITAAMAFGDTSRLGDMQIGRWFMTKDNALMKDSTVNVVSGKVKQEVHLKLQNVESGEIELELEWVSL >Et_1A_008624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9234286:9237574:-1 gene:Et_1A_008624 transcript:Et_1A_008624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITLRGSAVTVRPAAETPRRRLWNSGPDLVVPRFHTPSVYFFRREDAEGKSLADAEDGSFFDGERMRRALAEALVPFYPMAGRLARDEDGRVEIDCNAEGVLFQEADAPDAAVDDFGDFAPTMELKRLIPAVEYTDDISSFPLLVVQVTHFKCGGVALGVGMQHHVADGFSGLHFINSWADLCRGVPIAVMPFIDRSLLRARDPPAPTYPHIEYQPAPAMLSSESEPPQAALTAKPATPPTAVAIFKLSRADLGRLRSHLPAQQPRFSTYAVLAAHVWRCASLARGLPADQPTKLYCATDGRQRLQPALPEGYFGNVIFTATPLAEAGTVTAGVAEGAGVIQAALDRMDDGYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSANRDGSLSVAISLQAEHMEKFRKLIFDF >Et_4B_039518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2006046:2008729:-1 gene:Et_4B_039518 transcript:Et_4B_039518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLAHQTGAAAAVTAAPAGPRTSVVAAAATVAPPSSSDVKPDLAMACQALVENVPETEHPDVAGELKSKAGVPVFVMMPLDTVRKDGNGLNRRKAVEASLAALKSAGVEGIMVDVWWGIVEAEGPGRYNFNGYMELMEMAKKTGLKVQAVMSFHQCGGNVGDSVTIPLPKWVLEEMDKDQDLAYTDRCGRRNYEYISLGCDTLPVLKGRTPIQCYGDFMRAFRDHFANYMGNTIMEIQVGMGPAGELRYPSYPESNGTWAFPGIGEFQCYDRYMLSSLKAAAEAVGKPEWGNAGPGDSGSYKDWPEDTPFFRREGGWNTPYGEFFMSWYSQMLLEHGEHILSAAAAVYNGMPGVKISVKVAGIHWHYGTRSHAAELTAGYYNTRHHDGYVPIARMLGRYGAVLNFTCVEMRDHEQPQDAQCRPEALVQQVAAAAREAGVGLAGENALPRYDETAHDQVVATAADKAEEERMVAFTYLRMGPDLFQPDNWRRFAAFVKRMSDSGKRDMCREQVEREASGVAHATGPLVQEAAVALSN >Et_1B_009857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3423087:3424347:1 gene:Et_1B_009857 transcript:Et_1B_009857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEIHASSSQFLHLWPLCPCKRQETSSSLCTCSHRKSRLACQFQWLSRSLLRLPCLALCCSGIFYPLDSRNTIPSLQYLLALYLGDPMDHTCRYPAA >Et_7B_054668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3311665:3318784:1 gene:Et_7B_054668 transcript:Et_7B_054668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALRPQSPLCSRSRPELVVRPATAAAASGLALSVIRCSRFTRGGLVRCMVSSSDYPKKNQRRTSTPKPKGTASRGYASRPTAESSTKKVEKSSTGDSDLSSSNGKLHIEATEPTSTAEEPSKVDLIGNNSSSAKKEGLDTDDETEIKEEADQNHSSAFSSTSTDDESIDKKLDEYRGKINALVNSKPESSSVASVHGQGQSAVDVHGQEKSVTGSQEHDRPRGRPFAEAIVGYAAKNSAKVRRQNTKDQEQYEPDIQAPVEDDVDPKVVMRRLEEVADENYSTGNKLFVFPEVVKSDSMINLYFNRSMSALADEPDVLIKGAFNGWRWKSFTEKLHKSDLKGDWWCCKLYIPKQAYRIDFVFFNGDSVYENNDYNDFYIKIESDMDEHSFEDFLVEEEQKELERLAAEEAERKRQAEEERRREEERAATEADRIQAKSEVETKKNKLHQTLSLASRYADNLWYIEPNTYRGGDRVRLYYNRSSRPLMHSTEIWMHGGYNNWIDGLSIAERLVKSHEKDGDWWYANVTLPERALVLDWVFADGPPGSARNYDNNGRQDFHAVVPNHISEDLFWVEEEHKIFKRLQHERREREEADRKKAEISSKLKAEMKEKTMRAFLLSQKHIVYTEPLEVRAGATVDVLYNPSNTVLNGKPEVWFRCSFNRWTHPSGPLPPQKMVNAGNGSHLRATVRVPLDAYKMDFVFSESEEGGIYDNRNGMDYHIPVSDSVAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHNVEVILPKYDCLNLSNVKDLHYRESFAWGGTEIKVWFGKVEDLPVYFLEPQNGMFWVGCVYGKNDESRFGFFCHSALEFLRQSGSSPDIIHCHDWSSAPVAWLYKEHYAFNGLPNGRVVFTIHNLEFGAHHISKAMTHCDKATTVSDTYSREVAGHGAIAPHSFKFHGIRNGIDPDIWDPYTDKFIPVHYTSENVIEGKSAAKQALQQMLGLQQTDTPVVGIITRLTVQKGIHLIKHAIYRTLERNGQVVLLGSAPDPRIQGDFTNLASTLHNEYHGRVKFCLTYDEPLSHLIYAGADFILVPSLFEPCGLTQLIAMRYGSIPVVRKTGGLYDTVFDVDDDKERAQAQGLEPNGFSFEGADSNAITTFYDARDWFNSLCKRVMEQDWSWNRPALDYMELYHSARKN >Et_2B_022162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:32344:37684:1 gene:Et_2B_022162 transcript:Et_2B_022162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARVAAEIAALPEPRGPMRRLCGDLSRRIRLLAPLLQQLQQQDAPLPLADALAAARDLLRAVQDGSKIYQAMRGDSILDTFAKVNRQIQLALDDLPYNTFDMPEEVQEQVALVHSQFKRAATRTDPPDTQLSKDLFSALADKTSDPAVLTRISEKLQLQTMADMKKESVALHEMVISSGGEPDGCVEEMSSLLKKLKDCVITEPPPITDTLSTRSGSISHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLIAQWCEANGIELPKNKVNSRDKKASRSSDYDHAGLVSLMSRLRSGNQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVGSHAIPKIVEVLKTGSMEARENAAATLFSLSVVDENKVTIGAAGAIPPLINLLCNGSPRGKKDAATAIFNLCIYQGNKIRAVNAGIVIHLMNFLVDPTGGMIDEALTLLSILASNPEAKAVIAQSEPITPLVEVIKTGSPRNRENAAAILWSLCSADVEQTRNAKAAGAEDALKELSESGTDRAKRKASSILELMRQADEAGSPEITARRIIHIPAVRPTRKSMVKIQLD >Et_1B_012590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33503509:33507006:1 gene:Et_1B_012590 transcript:Et_1B_012590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPISAKRAQRIPVLHTNGLPRFSLVKMSSLGINQTSHQSNTIVASSPSLGYIKRIDPVVKMCGITSARDAEVAVEAGAKLIGMILWPNSKRSVTLSEAKEISRVAQSYGAESVGVFVDDDEETILRVSDSCGLNFIQLHGDESRALVHTLSKNNRIVYVLNADDDGNLINSPPDTECELDWFLVDSAKGGSGKGFNWQKFQMPFVKSKNGWLLAGGLHADNVCEALSVLKPHGVDVSSGICAPDGIQKDPNRVSCFISNSKRVVTMDKINLQPICFRSAVPEKEAKSLDLTQDTSSAFEEKPFMSLFIGLQTKCFRNRISGCPQMVVCRKMKVVVGQSEEQEEHQKSGLQAAVDLFDTWLEELRCWEWERLGLQMSEAVVLEALGEVVRLAAAWNQVVRLAAAWNQVRPAAAWKQETFESSLANGTEKVTTSNYIAGLLNIYSNLNTRQSLSSNLNYDLNTIKKFKGSNVMDSSAIGSKMSTIS >Et_9B_065308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3578803:3582816:1 gene:Et_9B_065308 transcript:Et_9B_065308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSGEAAMSPPSSGSGGGGKRGRDLEEDVYVDNLHSHKRYLSEIMASSLNGLSVGDSLTHNFMESPARSETSSCVRDEILSQYSPMSEDSDDYRCYDTQLNPNTSQADPMVSPSTSPMSSPHRHQKPQSALLPSNPYPLPSCSLSSVVCAHARRGSDNEGRFPSSPNDMCHGADLRRTALLRSVQMRVQGPHTYDLSFRQEQDHAHEHEDEHEHVHLEGLEEAERPSCRKSINDEVSFRRPDHDFGQPEHEIDYNENCSSGDSPSNRKFERDDKNHCKYDTAMDKSR >Et_10A_000558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12722827:12732265:-1 gene:Et_10A_000558 transcript:Et_10A_000558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLEVSSISGRTSLVGKALLMLDTLGSSMTNLNIRGFGSGTTTKGSKISILAFEVANTIVKGCSLMHAISKDSIKHLKEVVFLSEGVQNIVSKDIHEFFKIAGADKREELKVFSAEVIRFGDHCKDPIWHNLKLYFNKKSENKADAESVMQKLMTYVQFTAELYHEMHALDRIEQDYQRKMMQKEDGSSVVQRGENLHMLKQKIKSQRKHVKSLQEKSLWSKNLEEEPTKQHNRLGPTGLALHYANIINQIDTLVSQSSSVPPTTRDELYQRLPPTIKSSCRSMRYSFAVWKELTTSEIKAEMENTLRWLVPIANNTTKSEVYSKPTGQMDLTRFETLYHAEKEKTEAYILHLVVWLDYLIKRLVSSP >Et_3B_028577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1752340:1752839:-1 gene:Et_3B_028577 transcript:Et_3B_028577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSICQNKKTCFSASQSPHGTEEGSLAIIFSAAAVHSYINHQHASFHRYGGEYKTYIILLWSPPNANAMDEDDTVVGTSLSCRAPSPTPVSHASHVFNGFVAKLTDAELEVVAKKPGFLHVFPNQQNHLMRMRMLLTTKGAGNTEGVPVGA >Et_6B_049819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1430183:1430721:1 gene:Et_6B_049819 transcript:Et_6B_049819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSGSSSPLAALAVLLLICSFHCAAAARPLPAVPLALHENGVKDPADGLVLGEGTAGNGDELSISEMMGAEETEDAPACEEGNDECMQRRLLRDAHLDYIYTQHKGKP >Et_8A_057755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6855131:6855458:-1 gene:Et_8A_057755 transcript:Et_8A_057755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGYIVGSLVGSFAIAYLCDTFVSDKKVFGGSTPHTVSEKEWFQATDAKFQAWPRTAGPPVVMNPISRQNFIVKDTQ >Et_10B_002470.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:85009:85071:1 gene:Et_10B_002470 transcript:Et_10B_002470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLLRLKHCHVEKEGIRWP >Et_1B_012578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33393968:33400057:1 gene:Et_1B_012578 transcript:Et_1B_012578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSAPAAVGGGGERAEAVAGPVLGGARGAAPEPGPETSGGDGRKGLGEAVPLRLRLGRTRRRAGPGTPAPSWKMEDEVAGEGEAAAARRSSASASARQLGASLWEIHDVALEGRRRRRGGKGLAAGREDGGGVEGADQSQGSDGFGVCLANSSMKHHKLHEESRHRIQPFSPASYTSSVRGSGVNHAVSPAHSLDFKGKFRSASSTLKTSTELLKVLNRICSLEEQHTANLSVVNGLRLELQQAQAHIQELMQERRQYRHEVSSLMRQLSENKVVKKNKEHGKIEAAVHSLQGELADERRLRRHSEDLHRKLSKEVSEIKSAFLKAVRDLEKEKQANHLLEELCDQFATGIRNYEDEVRVVKQRHVKDYEPNFDKSVLHIAEAWLDARVQMQNTDVKEDPAQEATITGRLSSEIQSFLLSKRSGGSKHNEKYMNDNSILRRQSLESVHLNGATSAPQLAEDDDDDSVASDLHCFELNMHGNGIRNHNHMGPHRSGRAGIDVPNRRSEDIHGIAAEGSHLSRAPIRSHKDSARSSSSNLQHATKIPGLDSHCNAGVTLVEERNGITSTYNSRGSQNDPSKDNQEAHVTCLGQESLDHYSRTSLFCEGTTSGDLGNLGSPTRQLTYQSTSLDPEISECSPEPPIGVVGSTLKEKLLQARQEGRHARLKASGSSSTSKRKQECCRFCRFWVDARMSNQGGQYIVNKIN >Et_6B_049570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6748954:6751283:1 gene:Et_6B_049570 transcript:Et_6B_049570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEASVCNPLERMLTDETAEPTNLPLSLLKSITNNFSADLQIGSGGFAVVYKGLLRNGIVAVKKLTQTFDMHETKFHQEVDSLMRVSHKNIVRFMGYCSDTQGKVWKLQGKNVMAEERQRFLCFEFLPEGSLDKYISDATKGLEWMTRYQIIKGICEGLHYLHQQKIVHLDLKPANILLDLYMVPKIADFGLSKCFSEKQTRAMTSNVFGSPGYMAPENYGGLITFKSDIYSLGVIIIELLTGEKGYPDIDNVLVSWSSSFKTSLGDIRLEPIKICTEIGIECTDSNPANRPNTGHITERLAEMERVYGFMKTDLFTSSATNVSINGTYILILCVHAPNFTFVTSSLS >Et_3B_030600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5261527:5262828:-1 gene:Et_3B_030600 transcript:Et_3B_030600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDKSGVPGQPEEKELGGEVSVGEMVGDEGLKRGDASPEKEDATAEENAGHGDAAAESKEGKDLEVIFNFVRLVVHDSESRNAPFLISFLDASAEESEGVVPVGDADHRVAEQEDEEVEYLKATFPWVIGIVLASKLREAFATAGSARDAVIGIVLGSMNEAQGSTVVRSECEATADNTCSDDGKSGTGATNAPAPWEASSALSHPLASRGRKRRRPEHEEAEAQARSKVRRGNENQKKPSLSKVKRMINASAQS >Et_7A_052725.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14371410:14371658:1 gene:Et_7A_052725 transcript:Et_7A_052725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METPAAASGDRVADRLSELPDCILEDVLSRVTSRQAVQTSVLSRRWRHLWRAVACYCIDIDRREPSHNRAVQIEPNRDPDAF >Et_9A_063600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9195887:9197688:1 gene:Et_9A_063600 transcript:Et_9A_063600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSPQRNSHEHNRSSFGNDGYEFTTTDSITPKQSAHSQDKKPKPKNKPDQIDKVNPPSATNPSHVTSQKTTAPVHSSDQAGATTAAAAVTASAGNNTTEVGSNGESGGARSNSMESSSAAVASSASSASATGHVRRHTGGDSRWEAVQVATSSRDEPLNLCHFRLLKRLGYGDIGSVYLVELRGTAAFFAMKVMDKASIISRNKMARAQTEREILGLLDHPFLPTLYTHFETDKFYCLVMEYCSGGNLHSLRQKQPGKHFTEPAARFYAAEILLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTLVKSSSVHANGGAGGGVIARGVDVADGDVVTSNQGSCIHPSSFFPRILPRRSRKPSKSDVGGLAGGPPAVEFNAEPTDARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTLGIFLYELLHGSTPFKGAGNRATLCNVIEQPLRFPSDGGGPATASAAAKDLIRGLLVKEPQKRIAFTRGATEIKQHPFFEGVNWALVRSMTPPSVPEPVDFRQYSEAKEKKAPENAAAAGGPAAKSNSESSTDFEYF >Et_3B_029939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29245280:29250396:1 gene:Et_3B_029939 transcript:Et_3B_029939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEASTSAAAGHGSWVEGMSADNIKGLVLALSSSFFIGASFIVKKKGLKKAGASGVRAGVGGYSYLYEPLWWAGMITMIVGEVANFAAYAFAPAILVTPLDIMLKEKLHIFGILGCVLCVVGSTTIVLHAPQERAIESVAEVWDLATEPAFLFYAVIVLAATFVLIYYFVPQYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGMNQLIYPQTWMFTIVVVACIITQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQTPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLPPTLPIRIPKHAEEEGIPLRSAAEGMPLRSPKAADSFRST >Et_10A_002296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7674496:7681667:1 gene:Et_10A_002296 transcript:Et_10A_002296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKGKYSEENRITKKATTCTEALGSESDYVLEVEGLDTSLPELELRHTLKEVTLSEEKEARRRSPPPIAPWRGVQLVPERQGGRLMLKEMPAKNPFVLHACVPRRWTAQIDTPTKEAATKLAMPRPKVSLTTCTEALGNESDHGGLGSAMLEVQALDISSLPELELRRTLEEVSLSEKKEPRPPPPPMPWRGMQLVTERQDGRLVLKEVATKNPFALHASRDDGQLRLTRVPPLDGLDEVSSGRNEFEGDESGGNDNWVSNSRTLFSKYLTLFCRDLTLLTLKGSATFKLGYYRDEV >Et_1A_009529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7285578:7310640:-1 gene:Et_1A_009529 transcript:Et_1A_009529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDGAGDGAAARASLVPPRLCHKDGDDRAKSPSWGGECSTPAALQETSIRYLDFDSTKGEVCDDMMKESRLSIRLPDSMDVGDHQEQQMDLWTSALEKRHYCCSTAFTASRLASAGSCAVSSSVLNDASLLSDTCSMKCALDSDQMPETSGSLRSAASCVPGTGCMIDALPDDVFLHVISFLSAHQAVHTCVLSRRWRDLWRSARRINVSSEDVGCYTFFRSFVSRLLMLREPVDLDELGLSYGIPQHYLDSFELKLASDGSDICAEQPDDVEISSQTLKVLNIESCQFAFDGPVSISAPSLVYFNFSGYHKTPLPSSLESLVTASVFIGTHGDLVHDIRQFLTSISGVVNLEFYYEGDTWPHLKIDKMSAAK >Et_1B_011633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24431726:24434150:1 gene:Et_1B_011633 transcript:Et_1B_011633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKMILEQCMVNINTNGGTAGQLIEPHNSVILSPFDEDFIPSYFFCPHPSGGNERSVLQQTGSPTKPLRQELADRGSDVTTDHTAVRNCKEPGQSPPLLRLSVCPSLSRAVALASSSHRVTVSLVQSLLRLVQVQQHLRVSYAT >Et_5A_041035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17066254:17076363:1 gene:Et_5A_041035 transcript:Et_5A_041035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGHVGLNTSAILLDQSFAASWREESRPPRALPFHRPPSRSHSAPLRPPKTRSRPSNVFLGGANLHALSSKTFSPCCLRLSLRFPCTPPPSPESGAVRLAGEIEGGTETIIGESDWACCFRLGFLMDVDEAMESTGTAGAVFLDVSGSHKSTQATKKQQNRKRASTELSVVNNIASSTEMQKKKDQELKHVKEKAEKEEKRIERENKRLKKHQKEAEREKKRQDKEQAELKRQACIQRQANIMERFLKRKTNSKMDNSGGQRVVRTTCSVSSENTGEIAIAATSAIDCTLSQAKLLGVEEVWLAHVDRWRKLAQNNRLCHWGVRRSPKTQLFHELKLQKSSMSALSDEMLLTPTKEQSSQENTQSHGFSTLLDELKTPSYGNKILSKAIDTSSSSSVLFTKKLLQFDGSNRPSYYGIWRKESYTVCGRHPFKRDPDLNYEVDSDEEWEEEDPGERLSDFEKDDEETMNEQDPKIDAEEETENSFVVPNGYLSEDEGVQYEPLSGTFDDRCSILSTSGITVEELNVLLQRKKALNSFTEHALRKDRPLVISNLDHRKDYLLKAEDITGILKVEKLCLQALCMRKYPGGPIIDVPTDLNVSLDDQKFFGANNSTPVALKSISDLDMPEFVKLVTSCPGGMNKLVDLLLGTFPCVSKAQLKKKIREIAEFTHNRWQVKKEILDRYGLSFSPGIGVILYSYFWILQVMIDF >Et_2A_015115.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:30687314:30687382:-1 gene:Et_2A_015115 transcript:Et_2A_015115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALFHHFMYKEFLLGKDNVPY >Et_3A_027179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4001694:4004313:1 gene:Et_3A_027179 transcript:Et_3A_027179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKWIRRSLGNLSAVQLNFKMYYSSLTQLTEIRSLEQTSRCSWFHEELELVSGMLIEDTREYTLTQQPLQSSEANRVVK >Et_1B_011074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17238348:17244427:1 gene:Et_1B_011074 transcript:Et_1B_011074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPCDAPLTAASNIQPFFVLHKASTASSAPSSRGRRRIDTLQPSSPNPKSSKRSRDVDAAEEDGYELYERLRLEAFHRTWSKIQSTIDEVLRGINLKLFDQVLQWVQESFSAIRTVAKPHHTEIQQPYPLITDVICRRIPTAFVLTKNAEFVDDITTFRDLAEHLESNGCHLAKLSAAELSAKHGVGSCFRNLLRQLLSDVPDVADVSALASWYCDTENYDHPIIIIIDDLEQCSGDVLGELVMMLSEWVIKIPIFFVMGIATTLDAPRKLLSSEALQRLEPCKLTLGSPSDRMNALVEAILVKPCSGFCISHEVAVFLRNYFFRHDGTITSFISALKEFLHEKFEALPEAMRQYVSSLPSCTSGKNSSNSSKNVAAGLSELLKVHKDWSAVLMCLYEAGRHDKVQLLDIFCEAVNPDLQTQNASNRDSFSKMTTANLSEVKLGSGNGFIDQAINTVRYLPIDALSRVLDIWSIHLNRMSEMSDKLKELQSATVGADSVRITKEKWTRRSASSIGNGAVPLNDKAAVLLLDVSRKYLVPVECLPFHEIICFKNVGILQSALIGNPRRMVQLDLLKSHSHLKCSCCSRSGAAVSGSLHDTSIMCNLAQEYGDVINLHDWYISFEGIINRTNSKGKRKSHSSPSKKKSKSTPPEGDAMIQARFCRAITEMQITGLLRMPSKRRPDIVQRITFGP >Et_6B_049095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17701635:17704052:1 gene:Et_6B_049095 transcript:Et_6B_049095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVAKLASERAVVVFTKSNCSMCHAVTSLLSDMGVNAAVHELDRDPRGREMERELARMLGGRVPSVPALFIGGDLVGGTNRVMALHLAGELVPMLKSAAPHKLN >Et_3B_029106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22112637:22114218:1 gene:Et_3B_029106 transcript:Et_3B_029106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARVGQEASLGAVAARMSSDAARVGQEASVGVVPASSTSVGAVAANYASVGAVAASSVGVGALAASSTGVPGAQEAGESSSTGVGAQEVSEHSGKKRKPGRVAAVLDDYLEHKKAQSGKTVEALMEKKMREEEYSIEKCLDTTDGMEELTDEDKAIASEVFEDDKNREMFMKHKNHNVRLIWLRRKISILYLCVTVNWTYVRSQIAKASARFLMHRHPTACGDKQSLCSQIDAGISGQQGSARPGVEVRRDLPSGMCRSGAPSPVLPN >Et_4B_038886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5648088:5651121:-1 gene:Et_4B_038886 transcript:Et_4B_038886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYTNLLDLATGAADQAPAPGALGALRRRLPRVMTTSGLIDDSPGSPSTPSPAPRPRTIIVANQLPIRSHPPESPSEPWTFSWDEDSLLRHLHHSSSPSMEFVYIGCLRDDIPAAEQDAVAQALLETHNCRFNRIKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCGRMLGLSYESKRGHVCLEYYGRTVSIKILPVGVHMEQLKTVLALPETKDKVAQLMEMYSGKGRVVMLGVDDMDIFKGISLKLLAMEELLRQHPEWRGKLVLVQVANPARGRGRDVAEVQAETYGMVQRINEAYGEPGYEPVVLIDQPLEFYERVAYYVIAEVCLVTAVRDGMNLIPYEYIVSRQGNEMLDRMLHQGKPEEKKSMLVVSEFIGCSPSLSGAVRVNPWNIEAVADAMESALVLPENEKRLRHEKHYRYVSTHDVGYWANSFLQDLERTCKDHSQRRCWGIGFGLKFRVVSLDLSFRKLSLEHILMAYRRAKTRAILLDYDGTLMPQAINKSPTPKSVEILDSLCRDKNNAVYLCSGYDRRTLHEWFPCENLGIAAEHGYFLRTKRNAEWNTCIPAADCSWKQIAEPVMCLYRETTDGSTIEDRETVLVWNYEDADPDFGSCQAKELVDHLESVLANEPVSVKTTIHSVEVKPQGVSKGLVARRMLASMQERGQCPDFVLCIGDDRSDEDMFQLIATAACGDSLASTAEVFACTVGRKPSKAKYYLDDAAEVVRLMQGLAYVSEELALANHGAEDGDSSLDVWE >Et_5A_041419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22381050:22385282:1 gene:Et_5A_041419 transcript:Et_5A_041419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ENLQSSLSTSHSSPKDSLLSLAVAGGSGSCIAVVQAAEEMKLEEQLVLNLSDPELRGNALVELSKNRETFKDLALLLWYSFGTMAVLIQELLAVYPYEGTLSSSASNRACNVLALLQTIASHQETRILFVRAQFPVYLEPFLSCEYQGTAFESLRLTSLGVLGALVKADDAEIMNFLLKTEVISLCLQIMEKGSETSKMVATYIIQKIMLNDAGLTYFCDTADRFCNVASVLATMVHALVEKPSTKVLKLVLRCYLRLTYHHKALVMLRITIPEALKDGTFDNCLRDDHATVQCLQQLLHRLNDDGPGGAPPPGPDPAAGGSAWQGAPPPGPGAAGSGAFLPDKI >Et_3A_027121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33654467:33661793:1 gene:Et_3A_027121 transcript:Et_3A_027121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METNRAYSTNFEERDKHTLGTTCNKGGAYLYDVHFWIGKDSSQDEAGTAAIKTVELDAILGGRAIQHRELQGYESDKFLSYFKPCIIPMEGGFASGFKKPEEEKFETRLYICKGKRGIRVKQVPFARSSLNHDDVFILDSENKIYQFNGANSNIQERAKALEVIQHLKEKYHGGVCDVAIVGEWTSELILTLRSTSTLFSLVLWFNLSMLDDGKLQAEGDSGEFWVLFGGFAPIGKKTVSDDDIVLETTAPKLYSINDGQLKLEDTTLTKAVLENTKCFLLDCGAEIYVWVGRVTQMEDRKSATKAVEEFIVSQKRPKTTRVTQVIQGYESHAFKSKFESWPAGNAAGSPGAEEGRGKVAALLKQQGVDVKGAAKSSTPVNEEVPPLLEGGGKLEVWCVDGNAKSALPKEDIGKFYSGDCYIILYTYHSGDKREEYYLSYWIGKDSLADDQVMASQLANTMWNSLKGRPVLGRIYQGKEPPQFVALFQPMGGIGSGYKQLIEEKDMTGEVYSAEGIALIRVSGTSIHNNKTLQVDAVATSLSSTECFVLQSGNAMFTWLGNSSTYEQQQWAAKVAEFLKPGVAVKHCKEGTESSAFWFALGGKQSYTNRNVAQDIIVTEIFNFSQDDLLPEDMMILDTHGEVFIWIGQCVEPKEKQKAFDIGQKYIEHANSIEDLSPYVPLYKVTEGNEPIFFKTYFSWDNTKSVVHGNSFQKKLSLLFGLRSESAPRSSGNGGPTQRASALAALSSAFNPSSQQKQSNERPKSTGDGPTQRASALAALSNAFNPSSKPKTPPPSRPGQGSQRAAAVAALSSVLTAEQSGSSDNLRASKISSTADKTELLSFSPTSQFVSFDNADVDRVVIAPSGPSGASSPQSEAGESSVFHQEKDAAADVAPSETVGAESEAPEEETTENVGEATFSYDRLVSKSTDPVRGIDYKRREAYLSDSEFQTVFGMTKDAFYKQPSWKQELQKRKADLF >Et_1B_010213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30266420:30267463:-1 gene:Et_1B_010213 transcript:Et_1B_010213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRPHGRALRVPRPRAAPQGGARAAPPRAQAPRGVHLGARVGVGGVHARRLRGHEELQGPLPQARRRPVQCLPGRRQVRQGNHRRRRRQRRGRPAVAAHGGRHARAGRALQLRRRVRRVGKHVGRPHGRFRGAGPPRLRAQRGALARPRDHLQRESPAPPDRRRDARREGGVRARHGLGHEHPLPGRVRQGARGGRGRRPGAPPDGVRAAVGDGPRGHRAQVHGGRVRRGRPGGGVLEPQGLQGRARGGRAEGGGARQRVLQEPAQAVPQWRRHHLPEGRHGEGNRRGRVRQARRLRLKAEDRMIKRRLRSVH >Et_6A_048155.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:815235:818597:-1 gene:Et_6A_048155 transcript:Et_6A_048155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEMTQSAVDTLLGQLTKLLVSEVQLLGGVRNDMQFIKDEMESMNGFLLDVGGEPSSNQVKAWRKQVREVAYDSQRCVDRYVQTMGPGRHHSAAGLLLLGRAPQLLQIRRIAKQIKDLKSRAHDIGERRQRYGVMAPPPTTTTTTSVAADQAKRAGRPTWQLQAEEARRRRRAIADAADWLEADARKMVDWLAGRPATADQGARPRNYLQRCSDAIAGFARTMWNAPSKVDQVAPELIKTVMALVGHDDPGNIEDAASLLWDYNIDIIKGERRVVVRLIDVLNHKIGLQRGGDKNKAVATSDINKAVATCSEDVFKTSSDPKEILMAQMQYSKQVGERLGRVVFPDLLKQLSPFQRGGGRFPRLLAIVTPPIDGHIIQDDALPVDKRATELALRVYQESLQACYFNCVVWINARELAEPRERLEKILQEARKQLPPLPPYDDHDDGPRAVTTLTQDELCQEIRDRLEAAEKFLIVLADHEDDAPWSGIIPALPRDFSDQSAILVTPTIRQTAQFHVWYMLSVMFTYLHKTARYKVHFYSHLAAARQKANELAPNVRRLPDLQATVKDILTKCRWDTFSTKMFLHALYANPHRSKDMLEKLLLGLNEFNTVSNAREMIKLCYDDLHSHYKTCLLYLSIFRPGFEIRRTCLVRRWAAEGLVTRRGRQTATDEAEQCFSELLDRGLLLPAGGTGPPTTKVKMCKVDPHVFSFITRMPREDDSADSTELPPAFARRLSIPSGIKLSKTKRPAAGWKKNNKECTAAADLQNSIDGMLNFLNLFPTTQLGWIKVLDLEGYNGLRKQHLKNICNKIFQLKYLSLRNTGVTELPKEIEKLQDLETFDIRQTKIKSFPTKSIVLPKLERLLANHSSNHDNGSCEEPFSTISIPREIGSMTNIQILSHVQVSTAADEEALDGVVKLQQLRKLGVVIVGKVAKTHLIRVIGMLNESLYSLSVHITDDVDVDLTNRGPTFSAPGLLTSLKISGKIEGLPNWISDLQLLSKITLCRTSLTESDVNILGDLVNLCFISLSCASKNYLVFQKGFKKLEVLSIQDSNISNIIFQGKACPKLEKIVWTSNSTTCKLETLEVHKLPGLKEIEIRGFFDLPGIKKAVEKNQNNPKLKI >Et_1B_010846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14740488:14745389:-1 gene:Et_1B_010846 transcript:Et_1B_010846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDDPLQWASGRLRHASSKLCFGNVRRLIVREWFSVAPVASAGVIVCSQDRDPTHLVELIKAAARHASFRQAYYSSLIRAASVAEQRAYYHLLVSKLCARGRVKDMASLMGLYGGGGGRRRVHAKRRGGKAAWRTAAPVRQLLRRLWRRGKTRPRRAAVTFSYDLQSYSQNFDDGLGSSLHRFLYGGSSRKSVQTMSGGAASSRSAAPVKQLLRRLQYTLRRSATRPRRAAPVSFGYDLQSYSQNFDDGFGSSLHRLMASLLGPYSGGNRRRSGGATTLKQLLRRLRSTWRRRRAAPARRRRATTRFGYDPQSYAQNFDDGHGSSLHRL >Et_6B_050158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7874311:7875462:-1 gene:Et_6B_050158 transcript:Et_6B_050158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLDTLSMSETVELLCVLRRVEDGAASWPPPEWKKLPLNFLVHRSKTESNGVLPRQLLADGPYDRDSRVPARQQDSMARRLRCCRSSPQQLKFRFSLMALI >Et_7A_050870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11883663:11885402:-1 gene:Et_7A_050870 transcript:Et_7A_050870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTAPTSAGANHAAGNGDATGPTNTTVTLPPLTLRDVPRLPAALAPAAPVAANPISRHPYFDPPSTFYISPGDVALRHAFFDLAAAAPSPLVAYRRAGPREGLGVDPARARAALVTCGGLCPGLNTVLRELVVVLQELYGVRDVFGVAAGYRGFYGADEDHVRLDPVTVDDWHKKGGTVLKTTRGGFDLNKIVDGIVARGYTQIYAIGGDGTMRGAVAIFQEFKRRGLNISITGIPKTVDNDIGIIDRSFGFQTAVEIAQQAIDAAHVEAVSAVNGVGLVKLMGRSTGHIALHATLSSRDVDCCLIPEVPFHLEGKGGLFDFLYARIKKKGHAVVVVAEGAGQELIPRTEDQKREQDDSGNIVFLDVGPFLKSEFGRWWKREHPNELFTLKYIDPTYMIRAVPANATDNLYCTLLAHSAIHGVMAGFTGFVPGPINGTYSYIPLEDIAVAKNPVDVNDHKWAWVRSVTNQPDFVKSQA >Et_6B_049293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2831082:2835994:-1 gene:Et_6B_049293 transcript:Et_6B_049293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKGIGVACFLIFVQQMVLGTHDVYIVTMEGDPVVSYRGDVEGFPATAMDLDDEMDVTSEAVTSYSLHLRRHHDKLLESLFVEGSYEKLYSYHYLINGFAVHMTSLQAKILSKASGVKHVERDMKIQKLTTHTPQFLGLPTEVWPTGGGFDRAGEDVVIGFVDSGIYPQHPSFAAHKTDPYGPLPRYKGKCEMDPVTQRSYCNGKIIGAQHFAKAAMAAGALNPDIEFASPLDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKVLYRLFGGYVADVVAAIDQAVQDGVDILNLSVGPNSPPTATRTTFLNPFDAALLSAVKAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAGVDDRRYKNHLTLGNGNLLPGLGVSPATHRNKSFSLISAADALLGSPATKYSALDCQRPELLNKRKVQGKILLCGYSFNYISGTASIKKVSQTARSLGAAGFVVAVENSYPGTKFDPVPVSIPGILITDVSKTKDLIDYYNSSTIRDWAGRASDFKATAGIANGLAPTLYNSAPQVAIFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWAPNGTDESNYAGEGFAMVSGTSMAAPHIAGIAALIKQKNPKWTYEDYITFLCSIPDVSHSEVANIAGSTCNSSSKEQRPYDLNIPSITISQLRGTQTVKRTVTSVADETEIYTIMTRMPSEIAVEVTPLALTVLPGASRVITVTLTARSLTGTYSFGEITMKGDRGHVVRIPVVAMGFK >Et_1A_009173.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31827935:31829227:1 gene:Et_1A_009173 transcript:Et_1A_009173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEPCHGKTEMSAGTTKPSPPPAEDATPASKVFGDGNLLGEILHRVDSPTTLVRAALASKRWLRAASGRAFLRRFRERHPPRLVGFYVTGDCVHRPEFVPMPPGTELIGAALRRAGSLFDAFPPCSSRVWHTRNSRVLFDFSRVLFDPRSFAVCDPLRHPPREAAMAEAELPPPPAACEHAMLLPDDDDDDDATCYCVEVNHDGRSVAAEVSVLRSGAWAVLCCAKAELAWPPEQIPMITLLAGGKVYMVAVAGYLVAVDLATASLFAVELPKGVTYEYYGNLVPSRGDDSVLHLFHVAGDRLRVWRRRMDDGAGAGEWALRDTVSVKETCGHLVEQGSDPADGDPLLPVSVVGVGDNAEFAFLELGYSGNIVVYLHLGSRKAEKVYNRDPDNDEIIEVHPLFTVWPPVFPAPALGTDEHEELHLGNTN >Et_7A_052518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8785412:8799256:-1 gene:Et_7A_052518 transcript:Et_7A_052518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHTTEASGDDVETVAEEASGLRHRHNVAKNDDGGEEGPNGVSSGPPAEEEALSVERAFADKAVPSWREQLTLRAFVVSFFLAVMFSIIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTAAIERVGLLKQPFTRQENTVIQTCVVAAYDIAFSGGFGTYLFGMSETIAKQATEANDAQNIRNPHIGWMIGFLFLVSFIGLFALVPLRKIMIIEYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKFFLFSFLWGFFQWFYTAGDGCGFQSFPTLGLQAYENRFYFDFSPTYVGVGMICPHIVNVSVLLGGIFSWGVMWPLIRNNKGSWYDAALPETSLHGLQGYRVFISIALILGDGLYNFVKVLIHTTAGFISMMKKNSTLPVSDNGSPITETVSFDEERRTEVFLKDQIPRSVAFGGYVAVAAVSIGTLPQLFPQLKWYYILVAYVFAPVLAFCNAYGSGLTDWSLASTYGKLAIFIFGAWAGASHGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFVSQVIGTAMGCVIAPCVFWLFYKAFAADIGVSGSEYPAPYAIVYRNMAILGVDGFSSLPKHCLTLCYVFFAAAIAINLVRDLAPAKVARFIPLPMAMAIPFYIGSYFAIDMFIGSVILFAWQVMNKAKADAFAPAVASGLICGDGIWTLPQSVLALAKVKPPICMKFLSRAVNAKIQPTMASVVDADAVESGNLLRRRLNSGSRGGAGDGGSGGNAGEEEEAASVEQAFMDQPVPSWREQLTVRAFVVGFLLSVMFCVIVMKLNLTTGIIPSLNVSASLLAFFLIRLWTKAIESMGLLKQPFTRQENTVIQTCVVSAYGLAFSGGFGSYLFGLSGKIAQQATEANDPLNIKNPHLGWMIGFMFLVSFVGLFALVPLRKVMIVDYKLTYPSGTATAYLINGFHTPHGAEVAKKQVRTLGKYFSLSFIWAFFQWFYTAGDDCGFSSFPTFGLEAFKNRFYFDFSPTYVGVGMICPYIVNVSLLIGGVLSWGIMWPLISQKKGSWYPDSLPDSSLHGLQAYKVFITIAVILGDGLYNFMKVAYKMTESVIKMYKNRKASTLPELSFDDKRRVELFLKDQIPKTVAFGGYVAVAAITIGCLPLIIPQLKWYYILAAYVLAPVLAFCNAYGCGLTDWSLASTYGKLAIFVFGAWAGSSHGGVLVGLAACGVMMSIVGTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKSFDIGVSGGAYPAPYAIMYRNMAILGVDGLSQLPKNCLTLCYMAFAAAFLINLIKDQVPDKVKKFIPVPMAVAIPFYLGPYFAIDMFLGSVILFYWEWTNKAEATAFAPAVASGLMCGDGLWALPQAVLSLANVKPPICMKFLSRAVNAKDAAGAGGDVDLSEVRQRGKPSNKEIAGGHDEINAGCVITDSIERVFESEPVPSWREQVTLRALVVSAFLAVVFSLIVMKLSLTAGIIPSLNVSAGLLGYFFLRLWTAPSKKLKQKPFTRQENTVIQTCVVAAYGIAFSGSTKHSAQMLCSALSNQIKLKFLALPCSARFSYLFGMSTTVASQAAEENNADNVKEPRLAWMIGFLFLVSFVGLFALVPLRKVMIIDYKLTYPSGTATAHLINGFHTPDGSERAKSQVSTLIKCSMASFLWGFFQWFYTAGEGCGFQQFPALGLKAYKNEFYFDFSTTYIGAGMICPHIVNVSVLLGGILSWGLMWPLIAEKRGSWFGAELSDKSLEGMQGYRVFIAIAIILGDGLYNFGKVLIRTVTVINASAKKHKFFGELPVNGADSTISGAGAGDPPTAQSFDDARRTEFFLKDQIPKTVAIGGYAAIAAVSITTVPHLIFPQLRWYHVLAVYLMAPVLAFCNAYGMGLTDWSLASTYGKLAIFVFGAWAGKSHSGVLVGLAACGIMMSIVSTAADLMQDFKTGYMTLASPRSMFVSQVVGTAMGCVIGPCVFWVFYKAFPGVGVAGTAYPAPYALIYRNMAILGVDGFSKLPRYCLALCCVFFVGAIAVNVARDAAPRKVARFIPVPMAMAIPFYIGSYFAIDMFLGSVILFAWERVNKAQADAFGYAVASGLICGDGALLSLLNVKPPICMKFLSRADNYNVDSFLGT >Et_10B_004151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1647638:1650325:1 gene:Et_10B_004151 transcript:Et_10B_004151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELNLELTLLHRSVSPEPAGVFVCSYCDRKFCNAQALGGHQNAHKYERSLAKRRREMAAAMRAHRAAAAANKRARMTDELAGAAPVVAPSPSNTKRSSEDGYGVHRDDELDLIWSFVV >Et_7A_050977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13117289:13123306:1 gene:Et_7A_050977 transcript:Et_7A_050977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQSLTADAAAVVKQAVSLARRRGNAQVTPLHVASAMLAAPGGLLRAACLRSHSHPLQCKALELCFNVALNRLPASAAVRAMASGAGRGEHGGACSWLVGFGTHQAYARCRAGQPSLESLWGLQTLTVPAGSLALSLTCAFDDSALDTVNQSMKASPDADGNGPASCWPLLGGNQLLSRCCGDCSAARIDTKPALPRPFVSSSSLPSWLQHCCDQDPGKTWSSICSKPSQRMTLHFSAPVSPASSVSSYEHGHQPRHSWLLSDLDAKHPLKPKCETGGESKSNDSGPSIGSVEAEHRAKFKELNAENLKVLCGALEKEVPWQKEIIPEIASTVLQCRSGIAKRRDKSRSTDAKEETWMLFLGGDAQGKEMVARELANLVFGSCKNLLSIRLGASSSASASGSSDEHRSKRPRAEVACLDRLYEAVSENPHRVILMEDVDQADRDCELGIKEAIESGVLRNHNGEEVGMGDAIIIMSCENFEPRSRGCSPPSKQMKLEVKEATEEQASDHKHEEISSSTPSCFDLNVNVESDQVDEADLNDFCLLTAVDRTLFFKRDESTCDRGDDRLDGGEFFVLTCGISVYLSFAKGLSSNFSPFEMRMAVGI >Et_1B_011663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24761821:24773914:1 gene:Et_1B_011663 transcript:Et_1B_011663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFPGLGAPRSGRSSGIFGSAGFPELEQVEQQLSQNPNLMREIMNMPAMQNLMNNPDLIRNMIMNNPQLREIMDRNPDLAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGAESNIASNPFAALLGNQGSNQPPQPATNAPTPGSESTTGTPAPNTNPLPNPWSSNAEGNVPKSRISSPVNISRDFAAITLISADFVRTAWSASTQPGDGGESPPAAAAQATLHIRCANGSKFTVQADLGATVGAFKEVVSSSCDVPAPQQRLIYKGRILKDEQTLESYGVETDHTIHLVRGVAQPAVSGTPAAASPASTTPSSGPAGMGSLFPGLGAPRSGRSSGIFGPGFPELEQAEQHLSQNPNLMREIMNMPAMQNLMNNPDLIRNMIMNNPQLREIMDRNPDLAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGAESNTASNPFAALLGNQGSNQPSQPATNAPTTGSESTTEAPAPNTNPLPNPWSTNAAAGNAQGATRSGPAGNARTGATTGLGGLGSPDLSSLLGGLAGNAGTGAAGGGLGGLGSQDLGSLLGGSPDASLLSQMLQNPAMMQMMQNIMSDPQTMNQLLNFNPNTRNLMESNTQLREMFQNPEFLRQLTSPETLQQLLSFQQTLLGQLGQHQPSQGGNQGGNATGLQGNPSLDTLMSMLSGLGSGGGIGMPNTSNVPPEELYATQLTQLQEMGFFDTAENIRALVATAGNFGLMYIHIVSEYYRIEYSL >Et_3B_029325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24021845:24032392:1 gene:Et_3B_029325 transcript:Et_3B_029325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVMQKWLPASNALLEMMIYHLPSPAKAQKYRVENLYQGPLNDIYASSIRKCDPEGPLMLYVCKMIPASDKGRFYAFGRVFSGKVSTGMKVRIMGTNYVPGQKKDLYVKNVQRTVIWMGKKQESVEDVPCGNSVAMVGLDQFITKNATLTNEKEVDACPIKAMKFSLSPVVRVAVQCKIASDLPKLVEGLKSLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGTEITVSPPIVSFRETVLEKSCRTVMSKSPNKYSRLYMEARPLEEGVPEAIDEGRIGPHDDPKVRSKILSEEFGWDKDLAKRIWCFGPETTGPNIVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDAVLFSDAIHRGGGQIIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYSVLNQKRGHVFEEMQRPSTPLYNIKAYLPVIESFGFISTLRAATSGQAFPLCVFDHWEMLSADPLEPVKMVKFTVEEMHKIMDKKNNIRNMSVVAHVDHGKSTLTDSLVAAAGIIAQDVAGDVRMTDTRADEAERGITIKSTGISLYYEMSDDSLKSYKGGRDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFSRVIENANVIMATYEDALLGDVQVYPEKGTVAFSAGLHGWAFTLSNFAKMYASKFGVDESKMMERLWGENYFDPSTKKWTSKHTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLLPMLQKLGINMKSDEKDLRGKALMKRVMQNWLPASNALLEMMIYHLPSPAKAQKYRVENLYEGPLDDIYASSIRKCDPEGPLMLYVSKMIPASDKGRFYAFGRVFSGKVSTGMKVRIMGPNYVPGQKKDLYVKNVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIKAMKFSVSPVVRVAVQCKIASDLPKLVEGLKRLAKSDPMVLCTVEESGEHIIAGAGELHLEICLKDLQEDFMGGAEITVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGVPEAIDEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGTLAEENMRGICFEVCDVVLHSDAIHRGGGQIIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFTSTLRAATSGQAFPLCVFDHWEMLSADPLEPGTQTANLVLDIRKRKGLKEQITPLSEYEDKL >Et_5B_045628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5765587:5767531:1 gene:Et_5B_045628 transcript:Et_5B_045628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFRHCSFLLLTIVVYLSTFSARGEVGNGVHLDLPALLSFKSSNPNATALATWSGPNPCSGSWFGIRCSRGRVVGVFLDNAALAGAVAPLLLGLGQLRALAVRNNSLSGALPPLSNATSPWLRHLLVSRNQLTGSLNISLGALLTLRAEHNGFIGGLQALRVPKLGSFNVSGNMLAGEISGDLARFPGSAFGGNLALCGQPLPRCVRAYNALGDSNATTSIAAQSPAAAAEGNASGSPVSSNNAGLSKLSATALMATGIGNAVLLTVSLSISVAMFVYVRRKLRSGKDASDAALRFDEEDDDKRGDDSKQCQKCGGGGGLVCFEGGEELRLESLLKASAEVLGKGVSGSTYKAVLEDGALVAVKRLSALQFPASRSKAFDRHMRLVGRLRHRNVVGLRGYCNSNGERLLVYDYLPNGSLQSLLQGHGGSARSLDWAARKNILFGAAQGLNYIHTFPARPALVHANVKPSNILVDERGGACVSECGVMRYATNIQLHSAVAVASQARCPLPELFPASASSGGGGGWHGYAAPELASGAAARATQESDVYSFGMVLLEVVTGHNKAGDGGGGGDGDDETMGWVKIGMMCTTEAPEERPRMAQVLAMMSEFM >Et_7A_052227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5805166:5817290:-1 gene:Et_7A_052227 transcript:Et_7A_052227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYRPEHANPAARRPRLVVLLLVAFFALQLLVVLAFRAVRPPPAPASSSAAAAASISVPVPVPALLSDGAKDSGCGDGLVYVYDLPPVFNQELLGLCDALAPWYSLCPYLANDGLGFPAGGTNLSSVLPPALLPSWYASDQFALEHIVHRRLLSHRCRTADPARAAAFFVPFYAGLAVGRHLWAANATDADRDRDCVALLAWLHAQPHYRRSRGWDHFIALGRITWDFRRAPGAGAGWGGSFLTMPGAANLTRLVIERDPWDDMDVGIPYPTGFHPRTAADVRAWQRYVARRPRTRLFAFAGAPRSSIRGDFRALLLSECQAAGEDACGSLDCAEGKCIKNNALVLRLFMGARFCLQPRGDSFTRRSLFDCMVSGAVPVLFWRRSAYLQYGWYLPAGSRGEEEEWSVFIDRNQLRAGNLTVRGVLAAIPESRVRLMRKRVVKMIPRLVYSATGGEGLGGGMKDAVDVMVDGMVRRAAEKRRTWSKTFFFFLSLRNSLCVKRIERLPRSPTTPPGSPLDAAAPSPVSHLLRGSLLFLALLAVQLVLFGFLLGFPSSRFLPAPGHINTTWANGAADAAGVCSAGLIYVYDLPSEFNHDLIDDCESLWPWYSFCPFVSHGGFGQRAATLPSFVKVMPNASLPHWHHTDQFQLEIIIHRRLLSHRCRTTDPALAAAYYVPFYAGLDVGSHLWSDNATVADRAGIRLLRWLRNQASFRRNGGWDHFTTLGHITWDFRRIGAEGWGTSFALMPGMENVTCLTIEAHPTDPMEVSVPYPTGFHPRAAGDVRAWQRHVLARRRATLFGFAGAPRAGFRDDFRDVLLEECEDAGRELCRSVDCRGTRCTGNGAAVVELFLDSRFCLQPRGDSYTRRSLFDCMVAGAVPVVFWRQTAYDQYRWHLPSGSRVDEREWSVFIDPDSLRVGNVSVREVLEGFSEQRVRRMRERVVEMIPRIVYASAGDGLGDGMADALDVALAGVMKRFDQRRRSMARAAEHPPGPVVPTRVDNKSTTTTTPADGKNGTAAAITQHDSAEKSSANSSSVIKTVFSEASESLIKTLHMS >Et_6A_047631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8827400:8830439:-1 gene:Et_6A_047631 transcript:Et_6A_047631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLEKLRTLMFFGHGPNGCRNMLQRCQTLSRYAKSMRLIRIHLAGADIDTFWETIKPFHLRFLEFSIGSKYVDNFYRYDTERALFGGDAYIKVLPTFYHLQTLDASPINLVIPCHMSNLVNLRHLIAGEKTYSNISNAGKMTSLQELKEFAVKNTNGFEIGQLRSMNELVTLGISQLENIQSKEKATESRLEEKDHLKNLYLSWGGSGTSFEPIMTRVHEEVLEGLRPNRNIKHIQIRSYNGGVSPHWLGTKLSVTSLQSLHLENCSKWRIIQLERITSLRNLKLIKMSSVVDVSVPSLEELQLCELPDLERCVGAYKKELASQLRILRVESCRRLKDFTLFQSYDCFQVEQKTWFSFLNKLTIKNCPQIMQWPILPFEEMQSLEELELIGMPGVRQLSVPYLRKLVLSQLLNLERCTSQNEDRLSSSLIVLHVVKCRKLIHFPLLQDDSKEWLPNIYELTVHDCPHLMVLCPLPPSAEWNKVSVSIRGVSAPPAVNMQKDWPSFTIESEELSVLDEKIVAFENLTSITNFYIVNCPHLASVSFQALRKLIYLERMEINDCPRLPSPRMSMNEIYNDNAMCLQVPFVRHLTIKSSGMMEELLLPCVRSLVLTIKECWKIPSEPSVCPLEEERRHLSLFYISSSIGSTRTPLTRNVQTLLRFPRPLYTFVKNLHISDCPELVFSNKEEWFAGFTSLEVLTITGCPKLLISMVHENATYQRFVLPASLHQFVAAHLPEKLQPCFPINHTSLRRLSVWDSPRLKSLQLHYSKYLEEIEIINCKHLSTLEGLSYVNSLRILKLCRNPMLSTAAWGTNYQDHENKEDQIGDRNIFKGLQWLEIDDASLLTMPFCKHLTNLQHLTLSNSHNRMTRLADEQEQALLQLTSLQELQLMCFDYLLSLPAVLHCLSSLKKLDIWYCPGISRLPEQGLPLASLDELVIIGCSEELNKQCRLASTSKLKVIIDGEVVDVLML >Et_5B_043433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10315886:10317390:1 gene:Et_5B_043433 transcript:Et_5B_043433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCRKCRSKQQGCCSGELCRRGERSQLWRDSDPDGVDLIGALPDDLILYILARLGCARAAAHAGLVARRWRGLWTLLPELAFHHIAADEVDAFFAAFARCSLSLLHIRVPCRRHDGAAVDSAGSRRPSSSSLMMPWSVAVELPCFDRTTSITLEVLGARFVTAPAAGDLRALESLSLLNCHVHDICDILSRCPRLRKLRITGWKHELKVHSPSLEELDVEVYLHDVKTRCVDIEGPVCFGSASMQVVGRQGVFYSAPVVEDLHWRCFCSSITGRFGVWWRLFHLTLKTDKPIHLQRQHRPQVVHTLSLSIGRPLYVDGNVDQDFGRFKIIPVTRFQVLELRIKTEGHVYGAMVLHLLGLCKFIRMLRLELHQERYGCSVFCPCDHPSDWRSQNISLTGLKEVEIHGFNAKGHEITLL >Et_10B_003691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:490660:495949:1 gene:Et_10B_003691 transcript:Et_10B_003691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENESKIRFFKPITGDIHKGIMVERGCESCKKWKEHYYWEHMTGDFAKAIVSVDARLLCQLTLHTREVVENFNGKITKEFNLKAPSGENGTSDADELFLRSGWEDFVKAHELQENDLLVFTCSGNSSFEANRGKHDERYSLSDSEDTTKPSQLVGSSQDSTASQNCNGKKSSRIAISLQCLLTTRYWGSQFTDKPETIYQQEWMLPESPNSTNDQVKREEIDEEDKDPCSTKPELSGKSVLVHEYTLSINDIYNSVNFVDLTLFLGEILTQPMSLCRSSHEITLCRPNRKDKWFVSENKLREGDICAFELMKGVRRVTMTVHIIRNVGDRLVLGCCLNLQVVATIMSSARQLVKTEEDSDEGYSNRNCTTTPGLLIG >Et_8A_057287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23188693:23189107:-1 gene:Et_8A_057287 transcript:Et_8A_057287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SYSQSTFLHLKHLSITLYGAAFSRAYDCFSVVSFLDGAPLLEIFVLGVLQQRMQHDSIVGWRSITSEADGRTPAQAPEECEDHWLITTFLLSIYYPINCSMGWSVAVQSIMTV >Et_1A_009438.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5302413:5303255:-1 gene:Et_1A_009438 transcript:Et_1A_009438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFRAASPLPLPSSRSTVTNGGGGGSSGNNFPWLTKKRSSSSNKGEEEPPTEAPKNNAAAEASTEQSPSSSSSRKRADALARLRSAFLAAITHHRRRRRQLGSCVTGTIFGRRRGRVHVALQTDPRAAPVLLVEMAAYSTGALVREMSSGLVRIALECEKTPLACVRAGEKRRSLLEEPTWRAYCNGRKCGFAVRRDCGADEWKVLGAVEPVSVGAGVLPDDVVVSSGATAAGSEEGDLMYMRARFERVVGSRDSEAFYMMNPDGSGGPELSIYLLRV >Et_9A_060907.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:15930536:15930805:-1 gene:Et_9A_060907 transcript:Et_9A_060907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VTPFNSTSLNGSKLYIVFCEDIICDYFGHGLQHCYCCPDGRLKAYCHATMEECRNNCATCNPKCPTQPAVLSRIEDGPVQAMLNATVYK >Et_1A_007141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31211408:31223797:1 gene:Et_1A_007141 transcript:Et_1A_007141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RGRRRRAATEAAGAPPEPGEAAEGGGESPASVHSRRFAPQSPRTETAASSPMAPSSPLPDWAELPEDALMTVFDRLGSAELLLGASVVCRSWFRLATAEPQLWRRVDLTGCFDPTIDMEAMARAAAEAEDEAETRDWSEMPSDALAAVFAKLDATELLTGAGLVCRAWRRLAATDPTLWRRVDMSHQGDLLETEEAEDMARAAVDRAAGTMEAFWADSFVTDGLLRYISQRASSLKSLQLSLCDNVSNEGFAEAIKGFPQLEELDITFCSLYGSVCEAAGKACPQLKCFRLNERWTILQSEYAAYEGMDDDTEALGIASTMPGLQELQLIGNNLTYDGLVAILDRCPQLESLDIRQCYNIRLDDALKSKCARIKNLKLPHDPISDFKYRAYILSVANSGSDFEVDMMDDLLDVVTEDDDADFDDLDEFEDDAGISVISAMKSVIVLAFAAKYDSLFGFEK >Et_2A_017503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3541778:3548203:1 gene:Et_2A_017503 transcript:Et_2A_017503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RMGWLTKIFRGSTHNVSEGQYNSRPAEETIRNEPSTLPGDILPEFSNEDIDRAIALSLAEEEQTKTRTVDKDMRLEEDEQLARAIQESWNIEASPRDSANRGTTYHPPRENGTANGVNTYQPLSFMLSSGFRTCAGCHRDIGYGRFLSCMGAIWHPECFRCHACSQPIYDSEYSMSGSHPYHKNCYKECFHPKCDVCENFIPTNTNGLIEYRAHPFWLQKYCPSHEVDGTPRCCSCERMEPRDSRCVLLDDGRKLCLECLDSAVMDTRECQPLYLEIQEFYEGLNMKVKQQVPLLLVERQALNEAMDGEKAGHHHRPETRGLCLSEEQTVSTIRRRPRMRGNRIMEMITEPYSLIRQCEVTAILILYGYPRFSPDVEEGICQVLAHMWIESEIMAGSSSNVATTSSASSTSTSSKKKGRSQFEQKLGEFFKHQIESDTSVAYGDGFRAGHRAVLQYGLKSTLEHIRLTGTFPF >Et_6B_050055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4975659:4978832:-1 gene:Et_6B_050055 transcript:Et_6B_050055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHRAAMTVASKSAVLVLLAMSMISALATGVVSSSSSLNPTTLTNGSDTDMAALLAFKGKLADPLGVLASSWTTNVSFCRWVGVSCSHRRLRVTALSLPDVPLQGELAPHLGNISFLSKLNLKNTSLAGIIPADIGRLRHLRYLSLTGNDLSGSIPPTIGNLTRLKVPNLNANNLSQQIPPELLQNLSSLQNISLELNELSGHMPQYLFNNTPSLRHIHLGNNSLSGPIPQGVVPESITQMQNLAWLNFSDNNISGHIPAEVEQAVRSFDAECRVLRMARHRNLIRILNTCSNLDMKILVLEYMPNGSLEMLIHSGRRIYLGLLKRLEIMLDVSTAMEYLHHEHQEVVLHCDLKPSNVLFDENMTAHVADFGIAKLLFGDDTSMITASMLGTLGYMAPEYGSLGKASRKSDVFSASESCSLKSSLEDDRRMLCSPER >Et_8B_059776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2697147:2697773:1 gene:Et_8B_059776 transcript:Et_8B_059776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRGDPGPLDSAVALQLAAVAVPGAGAAAAAATGEEDDVEDRLRVRASGARRRRAGGADERVVCEGCSGAGWLLCDFCKGKKNNVKSDTNRVYRRCPTCKAAGFILCPRCRVYKCITFPESNES >Et_2B_018907.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15547714:15548217:-1 gene:Et_2B_018907 transcript:Et_2B_018907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRYASPGCSKLCHNAVPFGERTTRLPVFWSTASGLESAGDDGGEAAPSTVTFVVQRTRPVASDRTRLLALVRESDADVEEEEDGWSAVIGDAWSATHTTVSFGMLLYHMPRYNDDELEPGGEKKPRAKAPPSDTIVVPGVSGLPTTIRSSAAHSVHEITRRRTAM >Et_10B_002797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11318809:11329436:-1 gene:Et_10B_002797 transcript:Et_10B_002797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCGEPPAVAAMEVEAETSPCVGVSPPAASNSQSPNSDSNVTSRRLGLKNSIQTNFGDDYEISTLAVSLSTNALKFYSPATGQYLGECTGHGGTINEISFSAPSSPQVICSCSSDGTVRAWDTRNFKQISLLRGGASQEMFSFSFGGSSGNLLAAGSNSQVLLWDWRNSKQVACLEESHMDDVTQVKFAPYQPSKLISAAVDGLVCVFDTDGDIDEDSHLLSVMNAETSVARVGFFGNTYQKLWCLTHIETLSIWDWNDGTRELNLEDVRSMATDRWNLDHVDYFVDCHYSVPDDCLWLIGGTTAGTLGYFPVRNDPARAIGSAEAILEGGHTGVVRTVLPAASTHQSGLGQHRGIFAWTGGEDGRLCCWRSDEIAEINKSWISSSLVSRMQKRTKSRHQPY >Et_7A_053005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4469951:4474462:-1 gene:Et_7A_053005 transcript:Et_7A_053005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRSALAMLSRRSCGSSSAPSMGGRGMEVNQVYRSMAPRTPPLRPAAVRNPESSRCMTTGGGDPSAEVKSVLRQWWERARDRVKNADEEEKNRAFYVYGLVVASTPSCDVVIILHPYPPERQWTSGLELLKL >Et_10A_000255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20200420:20200941:1 gene:Et_10A_000255 transcript:Et_10A_000255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHFFHHKKDEDEQAAAGGEYGTGEVVSTGEGEYDTYKKEEKEHKHKQHLGEAGAIAAGAFALYEKHEAKKDPEHAHKHKIEEEIAAAAAVGSGGFAFHEHHEKKKDHKEAEEAGGEKKHHLFG >Et_7A_050577.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:25971633:25971746:-1 gene:Et_7A_050577 transcript:Et_7A_050577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELLFFPFPSLYHAYAQALNWRGLNFFLWGNFIQG >Et_5B_045422.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:2208511:2209302:1 gene:Et_5B_045422 transcript:Et_5B_045422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGQFMAASAALRVAVLAAVLLLPFLIVPAAAQTKKFCLTQFAIASQACAILPPTSPESRHHHHHDDDEDEDEDEDEDDHGGDDDEHHGGDDGDDHDRRGSKSGADARSPTSMITVEPEVDDDADRNGTVPVNHTRSSSSHSYRHHRHHRSRRHRRGRLGDGDDEDDDEHEDDDEDEDSDEDEDEDDDDDDDDDDDDDDDDDDDEDDHRAYRDCCRWMKEVEPDCVCEALLRLPSFLIKPQHKYTVRVGRTCKFTYRCGGV >Et_9B_066133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4567835:4574246:-1 gene:Et_9B_066133 transcript:Et_9B_066133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPWLQPALGHTSLQSCRWKWALSDLLHRNGPVQPSSAAGIITCPIIGEHDRRRRALTRRRRFRFGVGDRRRRRPAIDEVDDGVHERHGGGAFGPVEEEHVFLALGLHERHLVLAVAAQPRRVATHALPHHVLGGRGHDRAPERQRRDHLGRRVDAGVVVAGGAARQERPEEVRPLRREHRVAAPVRLRRQPVRAPQKGVQHDQAGDVDAGAVEASGRHVVHDVGAGALAGEEHARGVGVAVEPLVGEGRCPPDRRPRVVVRRRDRVLRRQAVVDGHDDRRRALYLFPAAAQKNKMELFDVGVVFSRPNLNSFKDVDFQLIATCYVTRLGKQLKGNNAISHII >Et_9A_062303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21711458:21712271:-1 gene:Et_9A_062303 transcript:Et_9A_062303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPVNGRLFVGGIAAGTGDEDLRRHFCRYGEVADTCRPRDRLTGRPRSFGFVQFRSPSDAGRALADPPHVINGRQVPNFTGARNRVIIDRFVLREKADADAQRFFSEIYFSICMVYVARAEPRQIARSIQHKPLSDRIICVNKLCYRVGDKLRISFGPLGDNYEQCESVNKIKKFGTMVGQILIFDCTIGYISKDGEECLIRWPPGQSDGESGYSGQGTIRQSCGSIEEFAEFLSSGNSSEADQSQTWCWLVM >Et_9B_064136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11690035:11692741:1 gene:Et_9B_064136 transcript:Et_9B_064136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELRVGDEAAPALADGGGAGKGGWERREADEDLLQDVVRRLVPGKFEWDVQLIAPDTWTAPFPSKAELRRTVNFGSADLKNGMSLKFEEFEEEEDFGRELPMI >Et_5B_044567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3591400:3595732:1 gene:Et_5B_044567 transcript:Et_5B_044567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIGVVLLLLAATPFGANANTDSNDVDALHVFYTTMNSPPQLTNWVSQNGDPCGQSWLGITCSGSRVTTIKLSGMGLNGTLGYNMNQLTALIELDMSNNNLGGNDIPYNLPPNLESLNLAGNNFTGTVPYSISQMVALRHLDLGHNQLSNINDMFSQLTNLTTMDISYNTFSGNIPQSFSFLTSLKKLYLQNNNFSGTIDALADLPLMDLNVENNQFTGWVPDKLKGIRNIQTSGNSFNNGPAPPPPPSPPSPPSTYTPPPTSWPAVPSSTDGNDSPAEDHGKHSKLSGGAVAGIVICLLAFSALVAFLVIKRNSWRLSRGQDPEQNEPLSPLASGLKQMKSIKSIKIISTIGKEELQKTVSMSLKPPTKIDLHKSFDENDTTNKSITRKVSLSSITIPAYTVADLQVATGSFSPDSLIGEGSFGRVYKAKFGDQKVLAVKKINFSAFPSHSSDMFVELVANVSRLNHPNLAELAGYCSEHGQCLLAYEFYRNGSLHEFLHVKDEHSKPLSWNNRVKIALGAARALEYLHETCSPSIVHKNFKSSNILLDSELNPHLSDSGFADLLPNQEFQESDENSGYRAPEVTMSGQHSLKSDVYSFGVVMLELLTGRKPLDRSRPRSEQSLVRWATPQLHDIDALDSMVDPALQGLYPSKSLSRFADAIALCVQPEPEFRPPMSEVVQSLVRLVQRANMTRAHESHSRNFSESGDYEF >Et_9B_064808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18814186:18821480:-1 gene:Et_9B_064808 transcript:Et_9B_064808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKSTDLRTLWARKAHKEIKGNMRVFCRVRPVLDVNTTCTSFLFPEDDRCIEFQNKDSRHCFTYDRIFSPDASQDDIFVEASELVDSSLDGYKVSIFSYGQTGSGKTYTMMGREGTDHKGIDQRGLIPRSLEQIFNAKKSKESDGWKYSIQVSIFEIYKEKIRDLLAPNSSKKLLIKHDFCGNVNVPGLTIVDVFTTTDVITLLEKASRFRSVARTLMNEESSRSHFVLTLQIRSEHADEQHQGVLSLIDLAGSGSIEKSGVTDDRFSEAKDINKSLTYLKYVIRELSNGAGHVPFRNSTLTKLLQPCFIGQAKVLMIVNVSPEEASTKETLRSLRFASEVNNCIIASKRRP >Et_4A_034681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5316115:5318153:-1 gene:Et_4A_034681 transcript:Et_4A_034681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDELKKLRVRFSGLGKGGKSGSQTSTTPPQESTALGQANQFFLKEMELKKYHKLTLQLPVEMSRGEYDAAFAATIAAAAYAIAAREEKLATQKKPIPIEGAPPALTSVKRAGSIKKPAGSSKISSWFSGKEPEEDDDGPVRRPLKPTLAKPEDTSSDYKGPPKMIESSLSAKKGSGSFNKSTDKKGSKKFQQEQAIQKAPSTARPATSYHSRRNGDTAIGGTGSKTNEWEKAKLARVREEYEKMMETIAEWDTEKKVKARRKKEIKETELDRKRAKVLEEYNLEMSRINKISGGAKSMAEERKYNDEKKIRDKANKMRSTGKLPRTSGCF >Et_4B_036909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12543289:12545760:-1 gene:Et_4B_036909 transcript:Et_4B_036909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLFSCPADDYDPLEEAAPVTSSSASSGGAREPPLLKALGSGKLLIEGSLSFKRQQAASGSGALQVETKISIRTGGDAAPALMPREVARARFADAGAESPKHEAAALKLQKVYKSFRTRRQLADCAVLVEQSWWKLLDFALLKRSSVSFFDIEKQETAMSKWSRARTRVAKVGKGLLKDENAQKLALQHWLEAIDPRHRYGHNLHYYYDCWLHCESKQPFFYWLDVGEGKEINLEGKCSRSKLLSQCIKYLGPKEREDYEVVIEDGKFVYKKSGQILDTSCGPRDAKWIFVLSTSKTLYVGQKKKGKFQHSSFLAGGATSAAGRLVVEDGTLKAIWPHSGHYRPTEENFQEFQSFLKDNLVDLTDVKMSPAEEDEEFWGSLRRIPSESEKTEDTTATPEETDPGQIPEAVETASADVEETAETRQESSEDTEDQEEAEKAPVPRAKILERINSKKEMKSYQLGKQLSFKWTTGAGPRIGCVRDYPSELQLQALEQVNLSPRCGTAAGGAARFASPQRRSFNHSTVARGCEASSTPREAFRSPLQHGVVAVAAAAD >Et_2A_017932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7998139:8001566:1 gene:Et_2A_017932 transcript:Et_2A_017932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRSHNQQPAEPGAGAGNGEVGGEGGGGGNVDRVLFKNLVEMVPLVESLMKDRRANPAYSRRASLVYTPAPAKKGSDLKSVKTPQTATAKKRRDPEDTVKKSAPESNGENGSVAPLCLSGAENKPKDELAVLRQQIDELQKKLVEKEEALRSAESLVGEMNAAYYTVDELRRQVAEKEALIRSTNSHLQDAKIMLADKQASLEKLEWEVKTSNKKVEDLQGDVSNMEFEISSLMALFEKVSENASGDCPDDGSIPSSYELEALQSTSEIDKIEVEKIEMERVTYAEALAAARENPNEEHLNLAAEARLRLQVLVL >Et_8A_057939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9669559:9682225:-1 gene:Et_8A_057939 transcript:Et_8A_057939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNLLYPKGDRARRVLLLVCRICGHEVNPSPLPLPLAPASTATISCLTLEISDNNCVYRNVVHHSAGERTQVLQDVASDPTFPRTKTVRCGKCGHGEAVFFQAPARGEEGMTLFYDHCLSDLLYRWQEGRLSFDINCVMRCSKPSTRAFPKYIYTQCHSINSLRPPCGAHDRPADNHVRRYIFCGSRNLLFLVPYYHISSWSLKKILSESFYHFPSQMLSETFLKANCKDIVNPSWFSSLVQRWASLKTGIVTVRFVNQINPIVVERVSNRDTLQSFIVKSENLEKECLAEAIKSYDKLHVLPYELKKTAFCREWYVRSFRITESVSHFPLLALPPMESNGIESDFLCCFSNNLLYPKGDRARRVLLLVCRICGHEVNPSPLPLPLAPANTATNSCLTQKISDNNCVYRNVVHHSARERTQVLQDVASDPTFPRTKTVCCGKCGHGEAVFFQAPARGEEGMTLFFVCCSPECGHRWRE >Et_3A_026130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4628655:4629672:-1 gene:Et_3A_026130 transcript:Et_3A_026130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQILNTAKPTPTLTPPSSSPRHHASPPPPKLSRRAAAAAIAIAAAPALLSVSPASSKAEEAAAEATAAAAANGAPGPCVAELPVTAKAFLDVSIGGEPAGRITVGLFGDAAPAGASRFLSLVTGVGYRRKEFVKIVQGYVQHAGVVSYPAVPAATDRLAAEADALLARCGVAGGGAVHGAAGAVSIVVRDPSLPPPKPKLVARGGKLEVEEEPVGVAPNGTEFVITTGAAPELDASAVLVGRVLDGMDVVEKIAAVPTVKDNAGSPYFRVAKLIGDKRAVVAERGFNRPYTKVLVTNCGVLN >Et_10B_004462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8325282:8329962:-1 gene:Et_10B_004462 transcript:Et_10B_004462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGGEMAMCTPAFVSRVIQSRWFVVFASIVVMAASGSTYIFALYSKELRSRLGYNQETLNKLSFFKDLGTNVGIISGLVQQVAPTWAVLLIGAGMNLAGYLMIYLSLTGRVAKPSVGLMSFYICFGANALTFSNTGALVACVKNSPESRGIVIGLLKSFVGLSGAIYTQLYQAIYGDDAASLVLLVAWLPAAFNIFTVYSIRVLPYARRSGGGAAYNKPFYHFLYLSIALAGYLLVMIVVQKQVHFTHAAFVVTSTVLLIILFSPIGVVVREEYKAVSQLQQTLQQPPAIAVEQPPSSAAAAEKDASDDESSPSLCGGKGSGCGWLTNMFKPPALGEDYSIMQALVSVEMIMLFIISVFGIGGTLTAIDNMAQIGQSLGYPAKSINTFVSLISIWNYAGRAGAGYISEYLLARYRFPRPLALTAVLLVSCVGHLLIAFGVPQSLYAASVIIGFCFGAQWPLLFAIISEVFGLKYYSSLFNFGSAASPAGAYVLNVIVTGRMYDAEAARQHGGVAVVGDKICKGVMCFKHAFLIITGVTFGGVLVSLMLVWRTRNFYRGDIYAKFKVAPTATTDGSSNGSVEMAKESEEKSKKKEVGSKATYCTPAEENKSTNIYKTTSGTVSARDNKIAFRQNP >Et_1B_010077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22428739:22429137:-1 gene:Et_1B_010077 transcript:Et_1B_010077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNNANKQQQQAGADSGGSKPAAARPHWRHRDPTAAAVYVVHPTRFRDVVQQLTGAPPAASPPATAAERSLHQGGGNGTGTGTAACSNAAARHQQQGGGRTLGEMYQECMAWANEDDC >Et_4A_031841.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21860008:21860478:1 gene:Et_4A_031841 transcript:Et_4A_031841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGAAGEGGALANRGGDGSSAPAAAAEEEDGATVEVARSANAQKQELWRLFLAFFLFLALVLFAVAGSPPARLQCRHLWAPTGLLSLAHLAFYAAVAHHLCCLNGFRYQRRCHKLTLTVAADRLRMLKSGGDVVGGVVWPMWVAGSLPAKCSEMK >Et_7B_054488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21949908:21955410:1 gene:Et_7B_054488 transcript:Et_7B_054488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSTAYTSDEDADEPTTSGSVAAEITPVAPPPWKRPRWEPYPYPPPPPLPQAIPLSLPTNESPQLASLASGRYVSKRERALLAASRAPVDSASQLPPPAAAEFGSPVGPISDSNPRADILHSLRFQPKPGLSKILPLKHSVSLNGHTKAVNRVDWSSSHAHLLASAGMDHTVHIWNVWDRRNTTACVLKNHTAAVKDVRWSPHGASLLSGGYDCSLRLVDVAEGKVMNVFKEDQAVEVIKFNPSNPNLFLSGGSKGSLRLWDIRSGLITKEFSRSLGTILDIEFSADGKQFISSTDTTRSNISENTIIIWDVLRQLPLSNQVYTEAFTCPCVRYHPYETSFVAQSNGNYIAIFSAKPPFKLNKYMRFEGHGVWGFPIKCNFSLNGRELASGSSDGCVYFYDYKSAKVLKKIEAFKEACTDVAYNPVLPNVAIK >Et_4B_037020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13670766:13678523:1 gene:Et_4B_037020 transcript:Et_4B_037020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAPPLCTWLVAACLSATCGDGEKEQQRRHGVGGLFGSRRRLGVRRRGGARSGTTMSVALHPERGAVENKKTDVKRRRVVVTGMGVVTPLGHDPNEFYNNLLQGLSGISEIEAFDCSNYPTRIAGEIKSFSTDGWVAPKLAKRMDKFMLYLITAGKKALENAGITEEIMNELEKSKCGVLIGSAMGGMKVFSDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRKGEADVMLCGGSDAPIIPIGLGGFVACRALSQRNNDPTKASRPWDVDRDGFVMGEGAGVLVLEELEHAKQRGAEIYAEFLGGSFTCDAYHMTEPHPEGRGVILCIEKALEDAEVAKEAINYINAHATSTQMGDLKEFEALLRVNSTKSMTGHLLGAAGGIEAVAAIQAIKTGWIHPNINLDNPEKNVDVSMLVGPQKERCDVKVALSNSFGFGGHNSSILFAPFK >Et_6A_045919.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7042000:7042359:1 gene:Et_6A_045919 transcript:Et_6A_045919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSLAVAFVCILARWKDLEFLLYYIDVLQGSLSGLHTWQLLQHLQLVYPQFWPLVSYGWLLQFAYSQFHCLFFTKLLGEWPVLKLRGWARLSSLGSLIWFDLRAIPYVRSVKKNTIIL >Et_2B_022902.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:7515858:7517504:-1 gene:Et_2B_022902 transcript:Et_2B_022902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQATGSRVKRCGGCWSDLPEELLRDVIDCLPGLGDRLRFRAVCTSWRRAERAHAPPRRMPWLAASGSGYCVSLHDAAIHRIPLPDDDARAAACRGSLGNWLVMVPPAPDDDAATVVRPFLLNPFTKARIDLPTWTSSSCGGTITKVILSSPPDSDDCTVAAIAGCEFGGEVPWASIAVCRLKTRGGSSCSSWWRITEAFTLQDIAFFDGNIHAVDAGARTHVFEDEKLGQMRAWPLRRRPAAAPLCGRNRYYLVPCCHGSRLLMVRRSFAETRVPGGGGGGYRTVGFEVSAVTALLPEQRYDRWAGPPPPVPATSFHGHALFVGDACCGAFPVGRSSGDRIREDQILYTDDESNYNIIHDHVDDDTSTGGLNNLLRRTPLRSLQSYDKRTDCFRRYQPPSTQEAGGARTMTAAAWQCVALQRLLHREALPPPPATQRGAKLLLWELMSSLGASRAPCYTRSQGPAAAAAGTHAMDVSVHVYDQSWRFTGTGRSEQEAAQEVAREAVTFLRSRYRSVLDDSPWSSIPHYHSHVSEEDEDEDEEPSLAG >Et_1A_009608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9414931:9416096:1 gene:Et_1A_009608 transcript:Et_1A_009608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALDNGFLGRRPPGFGPFPGRDLPADRPLPHSLGLFYGRAGAMRAVAPGHTDAFFSGVGLGLACPPAPAAGFLPFYGGGGGTEMMNAWYGGPFSSVAQHNMYYGHQPHVAGDDTDAVLRAMEQRAEDQPSPDYLATTQNGRMVPTARASLVRFMGRIAWVYALAPGTLHRAVSYFDRFLSARPLQPDVGRRHRLRVLGAAAVFAAAKYEEQGATEKVNATLLAEVCCRCRCRRGGPNSAPTQAALDAARRALLDALGLSATASARSRRSRSEEDRVVRLAAHCFAEVALRDYVCLKLKPSAVAAAAIFVARLALNPSYGQVRRWNRELWRLTGYKPKDLQYAVASICSLMTKIARDRGGFNVVIFPMFFADPS >Et_7A_051925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2979300:2982816:-1 gene:Et_7A_051925 transcript:Et_7A_051925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHVGDATGSDSRDSKVDYSFMEDLFWNCTKETAEEEAERLKREAEEKKREEEEKKREAEEKKRKEEMSVWARKKHKAVIKSIRKYDPKVKSLVWTRFFFRNFSTFDIDEESLVPPMRHTGKKYQAEDQIERTMEHSANILSVKIVSSDVGYPINVYGTVIARDHLDYKCIYLFRCHRRDSQLIKSEDESLILIGPSRGLILRDFIYLAVDLKIKGERGEDRPLSKRFLEIDGRVVTRQNIEVRSVSRPSRLSIVKVEYAAVRKAVEATIEVRVIKGDFFGEITAHTTSIPYKVLLYNSKRGGMVTSDGNGTIELWRRVIAVCFKEMLIFTVVSQVSNDAVDASTPIITRELEFTPKLSGADEYDGFYCGRAVFHVKFTPAVTPSVGKTLLLYFLVIFTHSCYQQDRAPNSSSSSSFPKS >Et_1B_013560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9538404:9546340:1 gene:Et_1B_013560 transcript:Et_1B_013560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFMMISLVTGLIRTGRGSPVSFRTNAQVSAAGSGHVDEGEAVDFRGNPADKSRTGGWLGAGLILGTELAERVCVMGISMNLVTYLVGELHLSNAKSATIVTNFMGTLNLLALLGGFLADAKLGRYLTIAVSATVAATGVSLLTVDTTVPGMRPPPCAHARGPRARECVPASGGQLALLYAALYTIAAGGGGLKANVSGFGSDQFDGRDPREERAMVFFFNRFYFCISLGSLFAVTVLVYVQDNVGRGWGYGVSAVAMVIAVAVLVAGTPKYRYRRPQGSPLTVIGRVLATAWRKRRLALPADPAELNGFHAAKVAYTDRLRCLDKAAIVEANLSAPAEKQASSAPTAASTVTEVEEVKMVVKLLPIWSTCILFWTVYSQMTTFSVEQATRMDRHLRPGAASGFVVPAGSLSVFLFLSILLFTSLNERLLVPLASRLTGRPQGLTSLQRVGTGLVLAVVAMVVAALVEKKRRDAASIGGAGAAISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLVTLSMGFFLSSFLVFAVDAVTRGAWIRNNLDAGKLDRFYWMLAVLGVLNFAVFLVFARRHVYKPSTVAAAVQRSGFTRFYAILFPQY >Et_3A_026253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6006762:6030098:1 gene:Et_3A_026253 transcript:Et_3A_026253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGSTGSADSLNLRSDASVATGGPAILLAVSSLCAPLGRISSKASDAHMAPSLLLLIVSSAWAALSLPLMLTTVADEQGGLCPPALCGNVNISFPFGIVPEQATDSNCGAIGFQVHCSNNTPYLGYNRQIDRWLLILDIFYDNASLLIADTHKLQYLDGSATGSCRIPVSNSSTKLALPFSISLLNQELILYNCTEAPGRVAEEGLMETRCRNETFVRAGGRYNESSSDYFLEGCSATVVPVLGGSGEVNASRYERLIRDGFLLTYQTPPWPASPPSQAAAAAAATSPRQPSSCLPKKCGSLNISYPFWLDEPGRPPCGPPSFQLKCNSSGAFLSRSMFQSYRVVRIFVQNSSFHVVDGNLPLATGCPAPFFNISLGIGLGPFVISKTNKELLVLTSCKEPPPPPGFRSLPCDNHSFVSFGGEGNYGSYLGLHGIPPSCVLSVVPTLGASGGNGNGYVTNMRKGFLLEWGGLSSNCPECMANGGECTYGDDGMGFACNCPDGVQYPIRCGSKRTGRKIMLIVLMAVAGSLILPCIYVLIWHRKGQKICFLLCRKTSGSNERNIEALISLHGSLAPKRYKYSETTKITSSFNNKLGEGGYGEVFKGMLHDGRLVAVKLLHDSKANGEEFVNEVMSIGRTSHRDQNERLYASTCPTDPWTNTSTQRTPKAVLGWERLYAIAVGIARGLEYLHHSCNTRIDDGLQACEVTQEVEEIAKKMSLIGLWCVQILPTHRPTITNVLEMFERGSDELDMPPKQNFSQILEDSPYNLNAESTSSSPPKAQAYSEVVKVEEASLLSSKILQRPALHIFAFVLQLSVVAPLEPPPPSPPHHHHQQACSPKTCGDLNISYPFWLEEPGRPPCGPPPFELKCNRSGAFLKSSVFEAYRVVSIFMENNSIHVVDENLPLAAGCPPPCFNISLSGGMAAFAVSKTNTDILFLSRCEDPAHEAPPGFRRLPCDNSSFVGLGGEGQFSVDEAIPPGCILTVVPTLRSPDGNGGDYISGMKTGFLLEWTTTVISGDCSKCMESGGKCIQRDNGLGFSCICPDGIQYPLTCGSRGTSRKIILIGMLHDGCLVAVKLLHDSKANGEEFVNEVMSIGRTSHVHIVRLFGFCLEGSKRALIYEYMPNGSLDKYIYSENPKATLGWEKLYAIAVGIARGLEYLHHSCNTRIVHFDIKPQNILLDHNFCPKIADFGLAKLCHPKESKLSVTGARGTIGFIAPEVHSRTFGVVSTKSDVYSYGMMLLEMVGGRKNMKSLVEKSSQKYFPEWIYDHFVQDEGLQASLLRATPAATAAATSCAPRTCGNLTIAYPFWIPDQPTNSTSSTAAPCGPPAFQVDCHGDGRASLARSFRGGYKILRLSYGDRTVVVANDNVQTDDRGCPVPRVDVSASLTLAPFTASRANAQLVFLFNCSTTPPAGFVGVTCPGTPAVVRLDPVYNTTAATAVAGGCSDYSVVPVLPYPGASAGEYPRLLRGGYLLEWRASAGNCAACIDSGGTCGYDSDTDAFACVCADGSSRPARCGAKKSAKKDGGVLKDGAGEDEVARKMALVGLWCIQTVPASRPSMSRVLEMLERSVHELAMPPRPYHPSDLSNSPSPSHPSSYPSTASASDFTQRYVELYFCVFFRSLTILVITEETEPCSVMQLEIAYTGEHNVMNGLGDTVAED >Et_5B_043579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12031681:12037415:1 gene:Et_5B_043579 transcript:Et_5B_043579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDARLDAVVFQLTPTRTRCLTEVVMLLSRFDLVLIVNGRKEKLASGLLKPFLAHLKAAQDQIAKGGYSITLEPSSGFDAPWFTRGTVERFVRFVSTPEVLERVTTLESEILQLEDAIAIQSNDNLGLRSVEDHGKGLTESNEGSRVNSDPNADTAIVLYKPVSHSTQPVQNETTSQEENSKVQLLRVLESRKTILRKEQAMAFARAVAAGFDIDSLGFLIAFAERFGASRLMKACSQFIELWKQKHETGQWIEVEPEAMSTRSEFPPFNASGIVFMEPSSVPNVEANGEDGAKADQKSGQHMGYQTSFPPWAMHPPSGAAVYPPYAMQGMPFYPGVNPYYPPYPPVDDPRYHHSGRKSSRKHSSDSKDSDTQDDESERSSSERESSHGRKSHRKGKRSGKKKPSVVVIKNVNVRSKKHGSSESESQSSSDLGSGDSDDSRSKSREGKHKSSSSKKKGGRKTTFDSGDEHSKDEMSNGQDADQGNWNAFQSFLLRAEEKTRSNDADLFAGEKEPPSMRKKNVNTADPILLAERDSCDVHERRVGFDSANGRTRAVRIVSNDELLTSGEGRSYMDGEMKELEAGGGRYRRGTGEDFMVYGQERQTDRATLLDPLAEARFRSPTQQDKKESCGADESFMIPLRSSSQDNFGAESRTTIDIDVELPTSTQKTPDGKSGNQLFYEPDELMPERGFEDSSFGYDPAMDYDDQMLMQTAMKVEDKNVEDVSPAIDDDAKKSEKGKLRNTKDGSDKRRKDALLRRLSAPRTPLNDAQKRAQNLRAYKADLQKLKKEQEEEQIKRLERLKLERQKRIAARGNGKTPGSNSPKANGVNGLSKSVPSFTGVKKEKTGTTESLSDRLKRLSEPKIIAGEDNLSNLKSAGADHSRRRSMA >Et_4B_035985.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:10334005:10334430:-1 gene:Et_4B_035985 transcript:Et_4B_035985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERASGAAGIAQTWSANADHTRLMGMVEGAQPKNFSGSRVDRDAFATTNARGSHDMGCCWSQSADVAAGESGKCYINVNLCFPYVISFCFGFGRCVLAAACVLHCFLACVPVSSRVACAPPFFLFSDIVGTSGAFLFFLI >Et_3B_027476.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20659857:20667038:-1 gene:Et_3B_027476 transcript:Et_3B_027476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEEHDKAENEQDDSGISLNKSKLSSLVKGFHDDYQYLHKHYKQLINKLENVGHSSSNSDSSDSDMEGDKSDSGTTTPEVALTEEKGWKHKVAENYNMEAEIEKLKQVTEEQANEISELKELHAQAIEDKEATIVKLSSEDANLQSENENLKSLVETAEREAAESLKGLASMENDINTMSSEKKIIENERDNLKMSIADIEKDRQDLINQLKDTVERCTLLSSELEKARLAENEVQTLLAENQKMKNDNLMLLAENDDLNARLQNLDLECSQLKATISETKADNEYLISEKHMAESKIEQLGADIDGLKVEKEEIVDNLNKELKTAAEEKERMASEHSKCLHELEMARSSVIELEKELESTKSVLNGNIVELQNEKDSAASEIAELEYSLKNLESQLRQQLEKISDMQKTNEALELANSNLHNEIIKVQGEKSEAIASIVNLQSKLEQEAQHITNLQVANKDLEATKTDLCNEVTTHQEDKNAALARLQQLEDNLKSLQSNLEEQHDQISILQHTNEELQDINSILHKQLEESTANMQEEIIALQREREQALNSLQQSNASIQTLEGQLEQQSEQLLILKLSSEDLQNSNSSLKMQLEEMTASHHAEVLGLQDEKNKVLSVLQQSEASTKNLRDELEQGKEQISILRLANEDMKNDIASLEKQLEEVRNSLHAEIAALHRDKDAALSELQASQEEIIALQREREEALNNLQQSNASIKTLESQSEQQSEQLSSLKMQLEGAMVNHHAEVLALQDEKNKLLSELQQSEASITNLIAELEQGKEQISILNLASQAEIAALHDEKDTVLLELQASQASVRNFESLLEKQNENISSLQQANDELQKNIRTLTEKSEQAEAELQEKVKATQEEKDTVVTQLKQSEISIENLENEVARLTEKLSVQLENNSTLDKQLEEATQKLSVQLEKNSTLDKELGEATLKVSNLHEKLEKSQAEAASHIDEMSTKAKDLENTINVLSSQNTKLQEDLKSMVEACTSNMSLVKEFEDTVKQRITDHETRIGLLYQNLRGVLGSCQKLQYAYDEISTRASQLEVIKGSQSEQINQLEEKNTEILDKNRLLEEEKLNANKENTNLRKHVQDLEVQLHLAKQKLKVTEAESKCKEDSYATAVETLQAEIQRLERLVQQFSGRVSLLEENLMQVKEHAESGVSNLADKLDELELHFSQGFTRFVDRSSACREELKILREKLHDHLDEQKELLKEKDELAIRLRDKETVISEMVKDAAETEKKVAHLAKEVEEKEEQLAEMVKDAVEAEKKVAHLEKKVEEKEEELASRVQEKREAIKQLSDAIIYHKNNSDDLVRYIRTHNRPRLPFCM >Et_5B_045379.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20255929:20256555:1 gene:Et_5B_045379 transcript:Et_5B_045379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYHRSSPTELDIHDFTGVRRLSELQLDMHGKYHQGMDVGFWLLESCPGIEHVDLELGHMPRGSLTMDKLVDLTSEGKAPFPKLRTMAVRAFSYLKHHFVASMSALLVRCPNLTSLHVDMYNCLHEATWRCFCQSAVDKWEIHGKVALESLENVKMTGFSGTEEEMQLVRLLFHSSNNSIKSMEVVHASASKIEYATEQPDVKDVETI >Et_4B_036479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26214195:26215754:1 gene:Et_4B_036479 transcript:Et_4B_036479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQAGRAGARYGTSVPLGSHLLPSSVVADSWRQPPPLPTWVVLDPYVDLEPEEPPTGAGESWAIVECASRKAYGCGKHAQEVLDDLSTALYIQASDAALRGIKAEFRAEFAADVLDGLYQADDERLFAKGHVESTEVDNLVVLTVVLRLGSFRLVYYLVYDSSDMSLVMIPCPPYPHEASYGTKPPLPVRRDDGAYELLVLSQRRAPQLPSPKEFEDVLCLWTPDQAECTADPWRMLELDFPVVMGPFSSEVMFAFRGKAFFVDLSQGIMYLDLPGADDISAGEFKFIPLPEAIPNDRRWDNPKPEDNGPRNINRTMRRVCDVVKFVCIDRSSMEKWNEDKRFVWSEIDHLFRFCPEVLPRTPPRFPILMPDGTLSLVLPYMRIREDDPRVDCICNLDLRGSKRLVCLVWWSSLLNYRLTYPCFVRSDFFKRLKSPRSRLRGSIFF >Et_2A_016579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26263971:26264314:-1 gene:Et_2A_016579 transcript:Et_2A_016579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEVSKQTETLHVAFHTRQSCFAVQSKRTKHVGLENIRQVPADMTSARQVMEKVLELKEEKKIKVISQLYMELVVRKE >Et_3A_024720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23598076:23602812:1 gene:Et_3A_024720 transcript:Et_3A_024720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRVAKNQSVPIDDCRICGSIELGGLTILGRMPPPSAPIRLGVPTPYVTSHGSKVARLHMYDWIVLVLLAVLDGVLNIIEPFHRFVGSDMMTDLRYPMKDNTVPFWAVPIIGIIGPMIIITGIYFKRRNVYDLHHAILGLLFSVLITAVLTDAIKDGVGRPRPDFFWRCFPDGIPVYDNITTGVKCHGEASVIKEGHKSFPSGHRSFAGLGFLSWYLAGKIKVFDRRGHVAKLCIVLSPLLLAALVAVSRVDDYWHHWQDVCTGGLLGLVVASICYLQFFPLPSDENGLWPHAYFRHILEPEGDNQVQPTSMNRRNSLPNGSFHGPDSVEMRTTGQALDSMEAASFCLDY >Et_9B_064274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13555399:13559296:1 gene:Et_9B_064274 transcript:Et_9B_064274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATAAACASTSLLAPTAATTSTAGPAHNAVLFPSTVPSLRAYPRLLLAFRRPAAAAVADPQGAVLDEEDVVVIDQGGRYDDDGDGYGGRGPAFTPPTKPRTGKAALPLKRDRTRSKRFLEIQKLRESKKEYDVPTAVTLMKQMASAKFVESAEAHFRMNLDPKYNDQQLRATVNLPKGTGQAVKIAVLTQGEKIDEARAAGADIVGGDELIEQIKGGFMEFDKLIASPDMMPKILGPRGLMPNPKAGTVSPNITQAIEEFKKGKVEFRVDKTGIVHIPFGKVDFPEEDLIANFMAVVRSVERNKPSGAKGIYWKTAYLCSSMGPSIKLNIKEMLDYGTDSSN >Et_9B_065126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21437605:21440840:1 gene:Et_9B_065126 transcript:Et_9B_065126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDNFTHKTNEALVAAHEMASEAGHAQLTPLHLAAALAADKSGIMRQAITQAPGGDGAAGDSFERVLSNALKKLPSQSPPPDSVPASTALIKVIRRAQSAQKKRGDSHLAVDQLLLGLLEDSQISDCLKEAGVSASRVRAELEKLRGGEGRRVESASGDTNFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLLDVRLIALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVFVAEPSVPDTISILRGLKEKYEGHHGVRIQDRALVVAAQLSARYIMGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVELHALEKEKDKASKARLVEVKKELDDLRDKLQPLSMKYRKEKERIDEIRKLKQRREELQFSLQEAERRMDLARVADLKYGALQEIDVAIARLEGETGENLMLTETVGPEQIAEVVSRWTGIPVTRLGQNEKERLVGLADRLHQRVVGQTEAVNAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGKNSMKVARDLVMQEVRRHFRPELLNRLDEIVIFDPLSHEQLRKVARLQMKDVAVRLAERGIALAVTDAALDIILSLSYDPVYGARPIRRWIEKRVVTHLSKMLIQEEIDENCTVYIDAAPKKDELAYKVDRSGGLVNAETGQKSDILIQVPNGVARGDAAQAVKKMKIMEVDDEDGMDEE >Et_1A_006234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19486938:19488655:1 gene:Et_1A_006234 transcript:Et_1A_006234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPAASGAGSGADFSDAVPSPSSPAAVPSHPSPGRNYYLAVDRLQFKMRTLLELLGVVSDRRSGLPIAVCVSSRDELDAVCAAVANLPFVSLSPLYSDQAEAERASILERFRQATVQWNQAAKATDVTGSSKAESVGLKLSIVVATDACLPLAAMAEPPIMARVLINYELPTKKEAYLRRMSTCLAADGIVINMVVGGEVATLKALEESSGLLIAEMPIHLSDVPLWSEPKNAYISGFRDIIIVLVIRNCRSHYFTSTLARVRFYYCFFDLWVAFNDMWIGPKSKSHKE >Et_2B_022195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12429060:12435602:-1 gene:Et_2B_022195 transcript:Et_2B_022195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQTCDRNTDVTNYNVSSVRQKNKLQWPYVIRYDFRVGKTMMMQELPRQWRGDICMWFLPQPNIAPIVTRPRQLTIYASDLPPSMSNSWLQEMFSEYGKVASAKIAYEKKGRSKGFGFRNMATQEGFEVQWTSCGFYGKCQYKHWLCGASAT >Et_6B_048212.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1151998:1152272:1 gene:Et_6B_048212 transcript:Et_6B_048212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITISNETIYGIVRRVKRRSSPFLTETQVKLRFGTVVGLGVKHREVGASR >Et_2A_017461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34595494:34597625:-1 gene:Et_2A_017461 transcript:Et_2A_017461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRLAPLLPEPRRLLRYLNPSASMAPAAAHLATAADPDEDLCASSAAEAAAAEELAPAPPLPPPPVSAEERVERAWAHWRRLGSPQLTVAPMVDNSELPFRMLCRRYGADAAYTPMLHSRIFSENEKYRSMEFTTCKEDRPLFVQFCANDPDILLQAAKMVEPYCDYVDINFGCPQRIARRGNYGAFLMDNLPLVKSLVQNLSANLYVPVSCKIRIFPQLEDTLAYAKMLEEAGASLVAVHGRTRDQKDGKKFRADWDAIKAVKDALRIPVLANGNIRHMEDVKDCLEYTGVDGVLSAETLLENPALFAGFRTKEWKEDGDEIGDSGLDQADLVIEYLKLCEQYPVPWRMVRSHVHKMLGDWFRVHPEVREEFNKQNKLTFEWLHDMVMRLKQLDGRTPLYKKEGALPTTTVAAGNA >Et_3A_027053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3145195:3146204:1 gene:Et_3A_027053 transcript:Et_3A_027053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPSWVVLAVVPHVSAPDVVPDLSLTLTAPPLVSTLTVHPRVSPEPTAPDSYPNILVADRSGLLLLNAVQGRDTAPLIHDSPDRRLFLHREFVEGYFICDAASGTAFRLPDPDPVGTIFNPGNIGLITTSGGTRYMVAELQPIIGSDRATLLCFSADTGAWNEKRLHYPLPCRPWLRRAFPRRHDGELWWVDLTVGILNCNPFDDEPKLRFFPLPDDRGLHFQPGGSDELETCRCVKVSAGKLRFVEMTAGRRGPPKFTMWTLSPTDSSEEQWSVEYTVGFDTIWEDDSYAATGLQAKLPRVAALHPANPGVVYFFLDAHLFGVDMMLRGS >Et_6B_048650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12023606:12024951:-1 gene:Et_6B_048650 transcript:Et_6B_048650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGLVLNGCPETIFRSLFNVMWKLSTSLCSLSIQIIAGNKNEDVDIGNYYYSVHILPWCLQTLEISVLMRRLSLWGAMELLYARVFRPSEHLQLGLKLKARSGLQEVNSVMMPWWGRRLRPQQERKEGCGETSRGFGPSSGGVRCAAAEAGARLAGGGGKGAKERSSLRGACD >Et_9B_064014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1052369:1054479:-1 gene:Et_9B_064014 transcript:Et_9B_064014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLTPRQPKKAYGGEGGSYLEWSPADLPMLGVASIGAAKLSLAAGGLSLPSYSDSAKVAYVLQGAGTCGIVLPEATKEKVVAVKEGDALALPFGVVTWWHNAPESTAELVVLFLGDTSKGHKPGQFTNFQLTGATGIFTGFSTEFVGRAWDLTQEDAAKLVSSQPASGIIKLGAGQKLPSPSAEDREGMALNCLEAPLDVDIKNGGRVVVLNTQNLPLVKEVGLGADLVRIDAHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGPDGKRVLETRVEGGYLFIVPRFHVVSKIADASGMEWFSIITTPNPIFSHLAGKTSVWKAISPEVLEASFNTTPEMEKLFRSKRLDSEIFFAPN >Et_2A_017588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3973594:3976748:1 gene:Et_2A_017588 transcript:Et_2A_017588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHKWRVKVLSEFSPRNPRLLGLNVGGGVEVKLRLRRAGRDYDFIPYEEVLDTMLHELCHNQRGPHDAQFYKLWDELRKECEELVSKGITVAGQGFDGTGRRLGGFTVHPPPPSLRQATLAAAQKRARNGALLPSGPRKLGGNSDIMSALSPVQAAAMAAERRMHDDLWCGSHDQSGIVDDSDDVVILEEPPSLTARVGKTTKGSFSNTKPQSSTSGSGFHTVAQEGSSSSRTTADANDSSRWECEKPKIAKAKYTTWSCKFCTLENSTKLEKCSACDQWRYSYGPP >Et_7B_055325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9439696:9441870:1 gene:Et_7B_055325 transcript:Et_7B_055325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNCSSRSLLLSDDCASMMLGCGCWSEETSPLSSCGVSSLCWDELELELEVELEEVDESDPVARLPSDPFGMGCVTAAALASALSGAQTFGNGGDDDIFAGLSYYYYLNQAFQFGPEPWICGYMNGLRRSFESESASDSGNDDQFSQLPPSASCSQPSGFEENPPSSQSDLPCCDTVDAAPVQEGNDAHEALTYVLSYLGLRDVLSCEMVCKSLRLAVRSKAYTWKCIHIDSQLGEKISDADLLRLTQKDSGVLQCLSLVRCKNITDQGLKAVLQSNPQLTELGIFGNVRMTHQGLVDNLRSFNVAANTGIKKLRVANLVTASKGQYEELFSLLKMDKGLALHKQEPRIFHADCFLLDHHGGYAPDYFLPDLHDGYALDIERCPLCENYKLVYDCPAEGCNNSRFGTCRGCLVCIERCLQCGRCIDNEYEETFSLDNLCRSCQMEGDSSVAEK >Et_1B_012807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35274280:35275216:1 gene:Et_1B_012807 transcript:Et_1B_012807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGADESGACRVLPVIDEEPESEVSSSPEMTMVAERRKAIVSRMRELLRRAAAAQSAHTKLRRSTVATAKKWKRVVGRIHQKRGVCRDHQGVPLHDDGMSSVSSISSKSSFSWDAAAAESCSSAMSPSNCSPQLWPAAAFVSAQSDTTGEQMMSSPAMSIIRFGSGSDDDMRMAHWVTTDSDCKLSISRMALLLHKIYLINAVTIRRLMLSCWSSSEKRGFARGSSQQNCACLHKTQLSRRVVWADNVGLPFVSCWVINFGLSSMLSD >Et_2B_022492.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:23566272:23567282:-1 gene:Et_2B_022492 transcript:Et_2B_022492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDDGGSWLLYLSLAAKCGGDQPHRLAGFLAVCAAACVVTCLLHWCFPGGPAWGRWWWTRRGSKIGGAVVPGPRGLPVIGSMWLMTGLAHRKLAAAADRLRARRLMAFSLGETRVVVAAHPDVARELLSSPAFADRPVKESAYGLLFHRAIGFAPHGAYWRALRRVASTHLFSPWQVAASGPQRAVIAAQMVAALSSAASGRGDVAVRKVLRRASLHNVMWSVFGRRYDLELDPAKESPETRELGGLVDEGYDLLGQLNWSDHLPWLARFDLQGTRARCARLVPRVNRFVGGIIDEHRKHRSAVVDFTDVLLSLQGNERLADSDMIAVLWVRNI >Et_7B_053596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10116220:10118518:1 gene:Et_7B_053596 transcript:Et_7B_053596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIRMCQLEVINRAENTAQGSPELPLDSSLVEMNWGKLVQFSVTGANRGEKLAELRFMDIKTGTFDSDVVKASRIGLLPELPFDYKAAAPQHKYFGETSPKKRSRSRRWRRVSRMPRDNPLQLNTEFLVLATCYSLGIIGSRIAADCSRKRVGVKDYSLGRTGHKTNHRVITGKRHASLVEPYLIAYDAGDAFSKKWHTTMSMSYDGDRSEDQQSEEVQSAYKRDNEVYEETVIRRSFGIHHNQEQKDENDWSWVLQDKDGDPLAESISSLQTTQEVLESEVQKISDLVKYSEAEESSCGNKDQDVIVLPHGRVDILQMNEKMQHLEQKLKEASDIIRQKDLRISNLEIVIDSADRPLLEEDAANIVQLEMEVERQLQDKIQAEIQWLVMVKAKQNWQVRAEDQIALEEHKSSAGDNTKMMLKLRETESKIVMLKEQVEKLEVHEKELYRTTEVLKMQSRTFKVSLFGLIQLIMLCLSLKMFFAHVSVPFNDVVPT >Et_3A_025381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29367138:29369047:1 gene:Et_3A_025381 transcript:Et_3A_025381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFRKLGRPYNHRMSMLRTMVSQLVKHERIETTVAKAKEVRRKADQMVQLGKEGTLDAARRAAEFVRGDDVVHKLFTELAYRYKDRAGGYTRLLRTRIRVGDAAPMAYIEFVDRENELREAKPATPPPPQRVPLDPWSKSRASQQWAGPKVSKDSETDGL >Et_3A_025326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28980090:28981736:-1 gene:Et_3A_025326 transcript:Et_3A_025326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSTASTTVVSGSAAGGSMLPGPPPPTPSNHHPLPSANAGGTDAALSAFLHRMLLSSQAPPLRSPPVARSQAAPSLPPLVALDATDPRALRDAADTGYFHLAGHGVPSELPSSALAELAGIDASARRESNLRTLGFPEDDDEEKEADGGADDPALVFDAGEGEADALPTAAAEYARRMRDVGMRVVALLSGSPDVGFREDPLAEGRRNARCLVWVSKVCAGETAGPPAAGKAKAYPYVVGLHSQWEATGRKEAAPASWVMNDGGEWTAVGARDGALLVTIGDISQVWTNGKLKKVRGIARPTSAPSKDGQHGEPDRLSVTVLITLPLDSVISPLVPFSDAANEEGCGDETEGAGGDGDEWKFHSFLLEDYAWRVFNQRLQFKDPLVRYRI >Et_1A_007233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32353958:32357262:-1 gene:Et_1A_007233 transcript:Et_1A_007233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATNPHSGSLRSFLAFSPPDRDSLPHPIPVPSPPKSHRPNTNTSLPRRTNPRPPAARASQATATGRPYAVKGRKKKRKLEEAEASDAAPVADEAEELQQPPEEAVEEKGNENMAAAAAAGEEEEHAAVEGLPVVPRTVDGKRQPGAIFVLERACLEVGKVGKTMQILNSDDHANYLRKQGRNPADYRPDIIHQALLAIFDSPLTKAGRLQAVYVRTEKGVLFEIKPHVRMPRTFKRFCVGKREKLLNVIKNPVTRYLPVVARKIGLSYSAEKSVNLFDYVAKSNDDETLVFVFAPLSAACCLNRICSALEQKWKIQ >Et_1A_009466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6182041:6182715:1 gene:Et_1A_009466 transcript:Et_1A_009466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALYPATQTLPAGSLPPPLRASPLSWSASITVSRIPPPPGLALQSLPTPNAPGNRQQSLVVRAAWTRRSRGEAEARPNRKSWKQRTDMYMRPFLLNVFFSKRFVHAKVMHRGTSKVIAVATTNAKDLRLTLPSLVDDNACRTIGRLIAERAMDADVFAMAYEPKKNERIEGKLGIIIDTIKEHGIIFA >Et_7B_055779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4345903:4347055:-1 gene:Et_7B_055779 transcript:Et_7B_055779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMGCYAPRRANDQLAIQEAATAGLRSLELLVSSLSSQAAAPHKAAQQQQHQPIGEIADQAVSKFRKVISILDRTGHARFRRGPVEAPPVAPPPPAIAAPSPAPAHVPVLHVAPVAAQPAPSSQPQSLTLDFTKPNLTMSAATSVTSTSFFSSVTAGEGSVSKSRSLLSSGKPPLSGHKRKPCAGAHSEATTNGTRCHCSKRRKNRVKRTIRVPAISSKIADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERATDDPAMLVVTYEGEHRHTPGATTAPSPLAPLPVSAAAAVASISAGNGHV >Et_10A_000618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1385275:1386737:1 gene:Et_10A_000618 transcript:Et_10A_000618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLLMLLLLLGLLPAASPADEQFVFNGFKGANLSLDGMATVTPDGLLMLTNGTIQLKGHAFYPAPLRFHQGAPGSSTAAMESFSTAFVIGIIGAFEDLSRHGMAFVVAKSRNFSTALPGQFLGLVNSADNGNATNHLFAVEFDTIINSEFNDMNGNHVGIDVDGLNSVDAADASAGAFRNLSLVSRRAMQGWVDFDGQTMQVNVTMAPLDVVARPRKPLLATTVNLSSVIDDTAAAYYVGFSSATGVLFCRHYMNGAAPALNGSLDKYLFDNTKGVVFGWEQRFRIVKGVASGLLYLHEDWDKVVLHRDVKASNVLLDGDMNARLGDFGLASTRTRRTWWEPWGTWRRSWATRGRRPRRPTCSRSAPSCVLVDWVLHRWRAGALTDTVDPRLQLVVEEQQEAMILLELKLGLLCSHPLPGARPSMRQVVRCLDGDVPLPELSTTCEGLVQDQGFGPYIMSSPMTPMSAGTMSDLSSGR >Et_1A_006778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27572158:27574395:1 gene:Et_1A_006778 transcript:Et_1A_006778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCCTAGRVQGMGAQCFRQQRSHNRDHACACTATDDSERKRKELEGALARANQGIAELMQKKNTHSKIRKIQPQMIVKRKLQRKQSWNRQLIEPHNNGARELRVPLNQSKLSTLSLSSVIQSPFDEDCVPSYFFCPILQEVMKDPYIAADGGSPRKPIYSKMPI >Et_1A_009611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9594157:9601491:-1 gene:Et_1A_009611 transcript:Et_1A_009611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGEPSPSSSSGGQHGERAGLRYGGGDISLGHPQRGGGEAAERQDGSMDMLARHSSSPAGFFSNLMTMENEAGGSGAEPHHSSTASGNASRKMKPSSQLNFTRAQQQGAAGHLTQISEDGGFPQGLLGGDRAGRSSGESSAGAGASRSFSGGFSIVGPWEESRDIISTLGAYDPQFNGAMTSSALEMAGMDRYLQLQQDQVPFKVRAKRGCATHPRSIAERERRTRISEKLRKLQELVPNMDKQTTTADMLDLAVEHIKGLQSELQPKPTDNDGQRRSSGATAVAGCRLPRVDLRYCLLGARSSSAVAAATASLVRTSIDASSMLAPPLPPQRAPSFACVLPTTTPVPQLCNSQFLVLSFA >Et_4A_035130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9841196:9846176:-1 gene:Et_4A_035130 transcript:Et_4A_035130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHDHAADRRARKKRSLPVLVIPIVFLFVAPCVFFLFRTSDLAIPRIRIEYGRPDDGPYSAPADQLATSSPPPPPPPSPPPPPPPPPPAASSETEQKRLPPPRQLTDEPYSLGPAATDYDARRAKWLSDHPQFPSTVAQGRPRVLVVTGSAPRRCSDPEGDHLLLRAFKNKVDYCRIHGFDVFYSTAVLDGELTGFWTKLPLLRALMLAHPEAEFLWWMDSDVVFTDMLFEPPWAKYAGHNLVLPGWDDKVYDVRSWLGINAGSFIIRNCQWSLDLLHAWAVMGPRGPVRYKYGELFAEALSDRKPYESDDQSALVYLLVTQRERWGVKTFLESSYALHGYWADIVERYEELRRKGKPGGAVAAGEEAWPLVTHFVGCKPCGGDDGTYEPDRCRLGMERALNFADDQILKLYGFEHESLNTTAVRRARNDTGGPLDADDEELARLLHPQPPVPVIIPVVLLFVAPCAFFLLTSPDLAAFPRIRIEYGRRDVEDAPTIERSTPTPPPPPPAAEVDGDDEEEQRLLPPLRQLTDAPYLLGPAVSDYNARRAQWLRDHPGFPAFVAPGRPRVLMVTGSSPRRCGGGSGSGGGDHLLLRAFKNKADYCRVHGFDIFYSTAVLDGELTGFWTKLPLLRALMLAHPEAELLWWADSDAVLTDMLFEPPWGKYARHNLVLHGWDDKVYGARSWLGVNAGSFVIRNCAWSLDLLDAWARMGPRGPVRDAYGKALAAALSDREAYEADDQSALVYLLVTQRERWGEKTFLEGSYDLHGYWVDIVDRYEGMRTKWAPGLGDDRWPLVTHFVGCKPCGGQYASYEAARCRRGMERALNFADDQILRMYGFEHESLNTTAVRRVRNDTGGPLDADDEELARLLHPTFRAAKWPKSEQ >Et_3B_029852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28517837:28535190:1 gene:Et_3B_029852 transcript:Et_3B_029852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITRLSSSSCSSTVPQRHAYTGKYPSHSAGCWKLREKLPSFKARTAAKPPCASPGKGGIVPTDDDDGVSLGTVKLPGNIDIPRFETLLFQWGNSLCQGANLPLPVPLKVDKIEGGIRLGFIAIDDGATQTLVYIDCVVSPAPDGSGPVFRAIRNGPMKDQEPPGEPRIMRSLLQALEKSIQIARDWTPVPILTGSVTQIDRNGAPAVAPAGDRGLCDGLLSCESPHRQIQCAN >Et_2B_021214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27561077:27564383:1 gene:Et_2B_021214 transcript:Et_2B_021214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSGQIVETLPEWRDKFLSYKDLKKRLKLIGAGGGGGEGGAERQPKRARREDSAGASGPAAGRESKEELMRVRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLLYKLVKQSEAMLEQLLPTNNPSVSSEDGKGESKAEEKPANPGSSLVKGGGIPELDEIEYMESMYMKSTIAALRSLKEIRSKSSTVSMFSLPPLQGNNAPEEQERWNQIPVIEQAAK >Et_10A_002329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9027119:9034674:1 gene:Et_10A_002329 transcript:Et_10A_002329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGSLDIEYSAALLSKAANEVEASITMLECVVPPLAVSACDSDMEQLTGGMNKATGIFSGRFGIGASCRNTFTACRMEGLMVRSGCAHQSPVTARLL >Et_8A_057617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5244065:5249038:1 gene:Et_8A_057617 transcript:Et_8A_057617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNRICLFHAPLHGNLNSDDTFEEVMGSFSDSGEGAWSELSREVVSSLSECVVSLASFNGKDIHSICTGTIIKSYPPTIKRILTSARLVRSYDDTGGMIPNLMIQVHLPGGQMSHGWLNCCDLKYNVAVVNIISVPGIREACIDPELQFGSHSKVVAVGRAFNSGKLMATTGILTNDPSEGFSEELMISTCKISKPGIGGPLVDISGNIVGVNLYDKEKTIFVPVNKLRETAVFPESFWYAFYTFTWTRSHIGMAQDLNQTCTGFAKYFFEKLNLRGYPLPVMLEGGLRLLNGFEENFAEDTWNDITKKVALKLSQSVVSLASFRGILLGKHKSTTRVLTSASLVRASADANSIAENLEIVVHLPNHELVFGTLQHYDLNYNIAVVAINGFRCCQTAKFQSQEQVEPYGKVVTAIGRVFETGKLMATDGIVSRKKAELDCKLLMVSTCKITKAGIGGPMIDIYGNFIGMNFFGMQRTPYLPGHIILKLLKQFDAKRTVPDQVIGNPNQN >Et_1A_004611.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22846460:22846822:1 gene:Et_1A_004611 transcript:Et_1A_004611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYQSFHLNSGDQVARGKVYGFRMSLWYEPLGTVKEEFQNPASLEWIQMVNKMAITVWEIYISNNLDGDLPGHLLSYPIAMSRDGTVTELPGMKFFPDTQASVLGTLADSPFLPPIATT >Et_4A_033076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18131394:18133647:1 gene:Et_4A_033076 transcript:Et_4A_033076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQFLAVAVEAAKNAGQIIRNGFYQTKNVEHKGQVDLVTETDKACEDLIFNHLRKHFPDHKFIGEETSAALGATADLTDDPTWIVDPLDGTTNFVHGFPFVCVSIGLTISKVPTIGVVYNPIMNELFTAVRGKGAFLNDSPIKASSQNELVKALLVTEAGTTRDKATVDDTTNKINKLLFKIRSIRMCGSLALNMCGVACGRLDLCYEIGFGGPWDVAAGAVILQEAGGLVFDPSGREFDLMSRRMAGSNSLLKDQFIKALGDSS >Et_7B_055008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6396221:6396764:1 gene:Et_7B_055008 transcript:Et_7B_055008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFISTSLAPHTSCSCFLGKPGLSSPLDPKGQMKLTSAVLLILLCVVLLASSCEARGLRVHGKSSLSKSHLPASKGFTSLMVDGPRDDHHMTSAVSGMEGVAMVPPAGAVPATPVVKVSQRLLQQDDTGFHLDYAGPRTHTPSHN >Et_4A_033193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19937035:19939950:1 gene:Et_4A_033193 transcript:Et_4A_033193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIGEDGTHEGIKSIPIQRACEFTTSSVLCVCIITWNMNGKMSVEDVTKLVSSNRKFDLLVVGLQEVPKCDVLQILQETMAETHILLGQKSMQSLQLFLFGARSSEKYIRELKVDKQAVGGFGGIIGRKKGAVAMYINFSGIRMVFVSCHLAAHEHKVEKRNSEFQHISHSLFSRNDMPYTQSADVTVWLGDLNYRLQGISSIPARQMIQENRQRMLRRKDQLLQEADKGEVFNGYCEGTLKFKPTYKYNVGSSIYDTSHKIRVPSWTDRILFKVDHSSGLDAILSSYESLDCVSSSDHKPVKAHLCLKNQFGEKYF >Et_2B_022927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8535844:8538186:1 gene:Et_2B_022927 transcript:Et_2B_022927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFDVGSGLTGVNPHILTVQAGEVVLGTFLSDGKKGTDLSLAPGRVVYLLPSSSSPSGFSGGHGSPPTAAGLLNINQPGFPNFPPWK >Et_9A_061084.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:14723581:14723781:1 gene:Et_9A_061084 transcript:Et_9A_061084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGAWHQSEAIHTEAQACMSALHFASEAGMQKLILETDCLVLKTTLTTNTYDPAKGGSLYREINS >Et_4B_037106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14806293:14815709:1 gene:Et_4B_037106 transcript:Et_4B_037106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVPAAETLEVQKERFDLGVFVGDLALDEEVTSDDESLEGLQQELDDCKNDKEVANILANGIKLREYTKGVENNIRQVELDSIQDYIKESENLVSLHDQIRDCDNILSHMETLLTGFQTEIGSISSEIKVLQEKSMDMGLKLKNRKAAESKLSKFVEDIIVPPRMIDIIVDGEVNDEYMKTLETLSKKIKFIEADPMVKSSKALKDVQPEVERLRQKAVSKIFEFVIQKFYALRKPKTNIQILQQSILLKYKYTITFLKEHAKEIYTEVRAAYIDTMNKVLSAHFRAYIQALEKLQLDIETSTDLLGVETRSTGFLFSIGKEPLKSRSSVFALGDRINILKEIDQPALIPHIAEANSQKYPYEVLFRSLQKLLMDTATSEYLFSDDFFGEESIFHDIFAGPIQVVDEHFNAVLLNCYDAIGIMLMIRIIHQHQLIMFKRRIPCLDSYLDKVNMSLWPRFKMVFDLHLTSLRNANIKTLWEDDVHPHYVTRRYAEFTASLLHLNVEHGDGQLDLNLERLRMAIEDLLVKLAKMFTKPKLQTIFLINNYDLTIAILKEAGTEGGKAQLHFEEVLKSNIAIYVEELLLEHFSDLIKFVKTRPAEDTASVSEKASVGDVEPLVKDFASRWKAAIELMHKDVITSFSNFLCGMEILKAALTQLLLYYTRLTECVKRINGGSALNKDLVSISSILYEIKKYSRTF >Et_4B_038610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3292818:3294979:1 gene:Et_4B_038610 transcript:Et_4B_038610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMEPKDIDWSRVVSRYVRDETYEGIVAPHWADLTDPNAGRADIDDEAWFCRPDCRHPKTAEDFIKLSPSPKGKLLRSVSAMLPFAERNANASNLRDGNYNLKWRGGVPGGAVATFASPKPKAAPKKRVFREDGENQDPALATPPPPPAASRPPFGASRWAKNAKEAIKSSAEKRPDNAEKEALLKNAAPRQLKSTLSARNLFSGKDILGQISEFYDELKRMVGGAGSRPVTDTQEEISSSPMKGNDVEEKVSCHGGASGPVASPAVKELARHETVKKSPSPMKGKKVGLKVEAGKQRSPSVLKEVKATPPTPQRFPSPSPNRVKNEKGTPSKDPENKREASRQPFGVKDMNNSRACDAEGSSSSMFWFLKPCTFLVE >Et_2B_021312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28569992:28574362:1 gene:Et_2B_021312 transcript:Et_2B_021312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLATDHLCNSWSAKVKKKKNSWSATSCPEVCEVANCRALLCRVAKGAVVFCSFGTLMTAALDWKLERRPCIQVISLARGFSAIVGIGSTLLYPVVHSWVDTLRTGLSGSGPSGCRYAHGVKISFMRCCLLVCVGSIGGQWPGVGVDADGRRRRVAPGALDVRPRRRAADAAMQDGAPESEQCMVGGVQNSLQSVFDQSTSCYLPKASAPFFFLKQISTLISHYLVSKWTNMLMMMNQIQDFSHLIMLSFSLVTCAADVYLVRKHLLYLDMILPVQNQPREDRSIPIRNRPKRTYPNHTIPYGAIGSFPWSPAASRPRPRRLKQAPTPSPPEYSPPHLTSPAWTNPAPGAMLPSLLAFALLAVAASASGARPVLLRIVSNAPTAVSSGAGDADALFCDSWMLSVETGNAGPWTSVPKRCLASVLAYMEGDRYASDSAVVAADSLAFASQALDAAKGAAKPAWVFDIDETLLCNEPYYAVDASRLQTFNETSFDEWVDEAKAPALSSSLKLYNELLELGFHVILLTGRSEFQRNSTVANLLFAGYRSWEKLILRQPSDIGKPAVQYKSERRAAMEAEGFKILGNSGDQWSDLLGSPMATRSFKLPNPMYFISGLPLLRKRLVLALDSRRSGELLCAFLAIFSDNWFFAPRAAA >Et_5B_045303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17296877:17298129:-1 gene:Et_5B_045303 transcript:Et_5B_045303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGKGIRFIRADSQVFLFSNSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRSTKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEMAKSQKAGGKGGNATKPGKGPKLGGGGGKR >Et_8B_060649.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:414767:415357:-1 gene:Et_8B_060649 transcript:Et_8B_060649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSASSPGRRRRRWRWSWGSALVGAATTATAAAVVVCRPRDPSFELISISLSTFHYRPPASLDIGLTLTVHATNPNVVPVRYGASTVSILYAGAHLGTARLDAGEQPATSCRLLHLPARLDGVELAHHARDILADAARRHMELDAAVDIAGEAAVFRLWSRRFSVRINSHITVDPVFLEVVEQDNRSQMQLYLT >Et_6A_046520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16490917:16500147:-1 gene:Et_6A_046520 transcript:Et_6A_046520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEAVAEDGNAWEGTGGRGRVIGELLRKHAAVSKRFLFEAENIDVLASGLNSLIDIWTQPDWEAFLENLCCYGEFRMDLLNIFEFFEEELKTRREETNMIYQTGQTSYSTLISLVRLIVPLILKLLRFIHALWSDGADHRLPQELKEAKSCYQNFCPVGETLELQKHDVEVNQLGRWMQLIRESGYNVLGLCASINEAFSELLDSSSIYDAITKNLRSMEFRHLLGALASPELNTGIFEVDLHSPSDMISVSCDWKCTASSSVVGYLLLNDCFGRLSMNLFGWWVDVEATIAAVPFCSALVQVIDATNNEKLRQFAKDDILPALIRRLHDDLPCAIQRTIKKLSYQMHLTDSTKARNDLMILCQKAYRVCVQSQDLGSENLDNANTAHGFEYWFETQKSDLSVKAAWTRTDKFPSSTWTWEFEEEFQRHLDTYIDLLHEVDAMDDCLEWECSDHSVFFDKLSQDFRIKHAINSCMDNRLMMCDIFQRKKPAAYLKNHSDQMVKWLRKLITVKPYIKVSDTRGAAMRCLKENFHINLDHYEIDAKHAVDMFYSILLVLEPQFHPLIREVKDRLKCLMSKLESEDFFDVSNNSIDWEKRSIQKLIDDFKELVFREHAFPRYLVIQGIMIWMDTRYYDHSYYDLLRQPLKK >Et_4B_039726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27922117:27924133:-1 gene:Et_4B_039726 transcript:Et_4B_039726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVDPIADDAVATGRPLAPNASSARLHCIQNYPAGIAGATPSSLPPFPSPATSFWLGSSPPVVQLHHHHPWQPSPPPTASTSSFVGDSGVQTGNELFAMFAHCSLQQSTPAPFAQLAVPPPQPVQMMQFAAQAQHTSQAIAAAPGEAVPLAVVPANAAAANGDDDGAKPAPRRRGRPRKNPGAPSPAKRAPPRRKPPAPKTAAAKSRQVQQQAPPANAVAVASSGSQDALSTNAAALPDQEQRPCAWEEAAMMEEEPVVKPYAEPTVPGVRFRPTNEEIIGYLRMKYLGRKMPVDFVKDFSVYQAHPETLHEDLLVHQYPVNFRNLNHVDRSLAALCGESVNGCWYVFSPRNRRYKNGLRPARSVGTDLGFWKSNTKETDIRVGDREDGAVIGKVTALTFELGRQPEGVQTAWKMKEFCIPEKQHRPDGRNMLDDWVLCKIFCNGQGDKDDQIALAEEVDQMDDADEDADEAERDEDMEILAVEQGGHPRDADEAVGETEGDANMQDIPVDQTPSNSVDEDLCVEDYLVCDPTFRDQNHAAN >Et_1A_004853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12333781:12334077:1 gene:Et_1A_004853 transcript:Et_1A_004853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNYVDTTGEEGRFHGPHSSSTTPTGAAASSPRSMRRSFSSASSGGGTHKSFKCRLHRTNSQGHGHPHPSPPTSPAGLESAAPQQAQ >Et_8B_060281.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8636510:8637156:1 gene:Et_8B_060281 transcript:Et_8B_060281.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWFRQAQVLAGEAESPVAASVVAFMIPVLIVVSSIVLTQKQEFADCNIFYQTFHILFLRKGKLKLVHVVHVHGHARLPKLDEVLPKAAGVVFVVDARDFV >Et_1A_006895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28850868:28857397:1 gene:Et_1A_006895 transcript:Et_1A_006895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPEPEPENGRELYALLHLSPDASDEEIRRAYRQFAQIYHPDKYQDLQMKDVATENFQRIRDAYEILSDENKRQIYDIYGMEGLIPEEINEQLEWLRRRKEEEKLFVHARSTVSILANFLIPQYLDGDGIMGGMGMSSAVELPMSKQNTVTVSGNLVVNGPRGTGAASTVLRHELSSVSSIEFMATAGLRSVLGVQTVRHISPHSTATSGLAVSLRDGSINLSNAWTRQFTESCVGNIQLVLGDESSIAVGWQKKDEKCSAAGEVKFGINSFDASAHYTHRFSLKSYGRIAGRIESTALDFEIGGGRRISEFSTVRMMYNMGIQGVSWRFELNCAGQKLVIPVLLSTDLNALFATTAFAIPSTLYFLLQTYVVKPFYLKWEKQKELEKMEGLSTQLTEARKAAKKAQKLLEPVSNRKKNRQLENDGLVITRALYGNCKKLRESSDFNEINDDVASQVFDVTIK >Et_1A_009594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8874210:8880111:-1 gene:Et_1A_009594 transcript:Et_1A_009594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCAASLAPLLGPAAANATDYLCNRFADTTSAVDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAYGAPSNGFIGRHFFGLRDLPNLDFDYDFFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWVWSADGWASASRTSGPLLFGSGAIDFAGSSVVHMVGGIAGLWGALVEGPRIGRFDHAGRSVALRGHSASLVVLGTFLLWFGWFGFNPGSFLTILKSYGPAGSIHGQWSAVGRTAVTTTLAGSTAALATLFGKRMQTGHWNVLDVCNGLLGGFAAITAGCAVVDPWAAVICGFVSAWVLIALNALAARLRFDDPLEAAQLHGGCGAWGVLFTGLFARGEYVHQIYGAGRPYGLFMGGGGRLLAANVVLILVVAAFVSFTMAPLFVALNKLGLLRVSPEEEMAGMDQTRHGGFAYAYHDEEMSGRPKPVQNTQIAAASSGEFEILKLYSNTVQYWYKLVPLGVPLAMSSTKKINYTPIMLNLWFNSVVVMHQFKALKAFVNEPSMGACIQQPHKSDLIGFNPFIPHRIKERNSTLAMSMCPHADRTQQTVVAFGRNPSATILPKIPTASTNNPFCAQPAITAFQAATSLSGIRSNSTIASPTFPALAYPDTSAVQDTTFCSVKPSNSFRASTIIPCLEYPSRSEAWEWIDLTRLTPTAQAARSASGYTNWLGAGRPRRSMRRKSASASRACAGNDEAETYAESMAE >Et_2B_019202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17156929:17158134:1 gene:Et_2B_019202 transcript:Et_2B_019202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGRVPPPSSSAAHSRTKHRGPPQILSWLFPRSSKKKDKPPPETASDIERENVSRLLTEWGALSTLDSLKKELAEANAHRDAALREAAEVRASLGELANKLVSLEDYCNDLKKALRHQANGNSNNSPSVSSSRRSTRREPPMPVSHDVMVEGFLQVASEARLSVKQLCKALVQQVEEEPDDGGLSDKLNLLLRPHHLALLSGGHGSNSKAVLYHLEAVMNQAMYQDFENRAFSRGGAPRRLDPAQDRRHSFDAFVALRNLSWNEVLRKGTKYYSEDFSRFCDRKMSALVAALGGWARPWPEQLLQCFFVAAKCVWLLHLLAFSFAPPLTILRVEGGRAFDQGFMEDVLLQQDRQQPVQQSPCQVKVMVMPGFYVQDRVLKCRVLTTRPEA >Et_3A_027156.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:3551847:3552683:-1 gene:Et_3A_027156 transcript:Et_3A_027156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLDFARGATYMYIKHLVLVAPLPAASGITGCVSSPSAPAPICSTSRRRPRCVAACGRCGGASRPPSQASRTSPAVFGDDHKPPPLVVTKRRNYTATAPRMADLLADVGAADAVEPFRRREIPRQRYFEPPPMVPVTSVAGVGVDTPEQIVYWDGDFDADPEVEYGDGDGTIDLVRMLAFDEDMRREPGQRKQDKSVKDLKVHGADPW >Et_2B_020819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2415503:2417913:1 gene:Et_2B_020819 transcript:Et_2B_020819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAKSESDVTSLAASSPPRSPKRGAAAGTYYVQSPSRESHEGGYKSSSMQATPVYNSPNESPSHPSYGRHSRSSSVSRFSGNLRKGGERKPLNDKGWPECSVIEEEGPYEDLTGDSGLSRRCQIILGFLTFVLLFTTFCLIIWGAARQYEPEVMVKSLVMDDFYAGEGTDHSGVPTKLVTVNCSLHIAVYNPAAMFGIHVTSGPIHMIYSDISIGVGQLRRYYQPRKSHRVATAVIHGEKVPLYGAGDGLMLSSTGGSVPLKLDFDLTSRGYVIGKLVRVTHKVHVTCPVVVDAKKTKPIRFNKKACAVYKI >Et_7A_051515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19935563:19937397:1 gene:Et_7A_051515 transcript:Et_7A_051515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVLLAHLYEHGSPQSLALILVLFLIAVRLATPKSRVEKLLSKLPSPPFKLPVIGHLHLIGSLPHRSLCDLARKHGPDMMLLRLGAVPTLVVSSPRAAKAVLRTHDHHFASRPHSAVANILFDGLKDVAFAPIGDYWRMTRKVITTHVLTPSKVRSSRAAREQEVRLVLAKVSAATAERQAVDLSEVFSFFANDIVCQAVVGRFPREEGRNKLFREMLETNAKLLGGFNLDDYFPSLARFNLVSAKAVKHKKKWDEVLDVLIDKHASKPANDEQEEDFIDVLLSVQQEYGLTRPNIKAILMDMFEAGTDTTYISLDYAMAELMRKPQAMAKLQAEVRRCAAKGKEMVTEEDLSSMSYLRAVMKESMRLHAPGPLLIPHFSMADCDVEGYTIPSGTRVVLNAWAMGRDPTCWENAEEFMPERFLEEGMDAASDFQGNDFRFLPFGSGRRICPAINFTIATFEIILANLIYHFNWDLPPGSTDVDMTESFGMDVHRKEKLLLIPSLAQDI >Et_3A_026682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1400205:1401103:1 gene:Et_3A_026682 transcript:Et_3A_026682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSCALLAVATALLAVAAAAAVPPSCERIECPAYEVVDSANGFEIRRYTDAMWVSTSPIEDISLVAATRTGFLQLFNYIQGKNAYNETIEMTAPVLTEVSPSDGPFCASSFVVSFYVPAKNQADPPPAEGLRVRRWAGARYAAVRRFGGFVADADVGEQAARLDASLQGTRWAAAVNEARRADPTSAYTVAQYNSPFEFSGRVNEIWMLFDHAEKDAASGMQVA >Et_5A_042898.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6487458:6487814:-1 gene:Et_5A_042898 transcript:Et_5A_042898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVHQVSMPAASTAAAYDDEFVPQGFTCFGRSLSRSSSSNRLEYRELQGEERRAAQEVRSARAKLRWKAVAHELMAKGGAGAGAARRRKQQQQQLAAFSYDSRSYALNFDDQGADE >Et_7B_053392.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:15011707:15011724:1 gene:Et_7B_053392 transcript:Et_7B_053392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAE >Et_2A_015943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19436810:19438368:-1 gene:Et_2A_015943 transcript:Et_2A_015943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTTKIVKKRVKQFKRHHSDRYKCLKPSWRRPKGIDSRVRRKFKGCVLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTRKRKEIVERAVQLDIAVTNKLARLRSQEDE >Et_3A_023483.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30340394:30340624:1 gene:Et_3A_023483 transcript:Et_3A_023483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DSSSSIATTVNSTSLEERKLSLIFCVAVRCNYFNPAFGDCYCCPNAGRKEYCHLNMKDCRDNCASCSPKCSAVGIS >Et_9A_062466.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23226757:23227614:-1 gene:Et_9A_062466 transcript:Et_9A_062466.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQDKASYQAGETKARTEEKAGHAMDATKDKAHEAAGKGHDTKEATKQKASETGSYLGQKTDEAKHKAGDTTEATKQKAGQTTESTKQKAAETTEAAKQKTAETTEAAKQKTAETTEATKQKTAEAIEAAKQKASEASEYAKDSAVSGKDKTGNVIQQATEQVKSAAVGAKDAVMNTLGMSGDQKTGESGKDSSTITRDQ >Et_1A_008080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4236083:4239851:1 gene:Et_1A_008080 transcript:Et_1A_008080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTLRASPAAASPLQRRLPGRRATSVRATASAGGAGEPDLSVRVNGLKMPNPFVIGSGPPGTNYTVMKRAFDEGWGGVIAKTVSLDAEKVINVTPRYAKLRAESNGSAMGKIIGWQNIELISDRPLETMLNEFKQLKKEYPDRILIGSIMEEYNKAAWHELIERVEESGVDALEINFSCPHGMPERKMGAAVGQDCGLLEEVCGWINEKATVPVWAKMTPNITDITQPARVALKSGCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSARAVHPIALAKVMQIARMMKEEFADGQSLSAIGGVETGNDAAEFILLGADTVQVCTGVMMHGYPLVKKLCSELQDFMREHNFSSIEDFRGASLPYFTTHTDLVQRQQEAIKQRKAIRKGLQSDKDWTGDGFVKETESMVSN >Et_5B_044255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2254142:2256852:-1 gene:Et_5B_044255 transcript:Et_5B_044255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFQFKKKRISYLFLNQSPFEDEAVSSLTKATRSFLAITRESLITSLHLELYLINNYACDIGTVVSEAIDIGILKDLSLAILDEKEIADCTEEQMLQQALVVDGFFSAYPSILHCLTELCLYNLCFAEWDIHNHLFECCNQLQYLYLSNCDIGRFSVWKIDAPDSKLSVLQLYICLLGKLEVLCLPKLERLEWDAWLCPFAPLSFGVVPSFKDLDISCPASSRHHAFMLSEVLRARFIISPAAGELMMFPELTLDLFFFFKLWIQPEGHKLSAFNRIRKLSLYGIFVEFHLLWAMVLLEAAPSHEIFDTGSFNCSLPPHQFLVLYVLPAKYGNIRARSMKHVTFSRKGRIPHGRCLSTQAGVINSFLKELQIIGFKPLGQQIEFVRAVMQRAPILDTILLKYDDPCEDCEKMGILPPRSSTECVFPKNKDEQDMVVKLLKCGVSSHAQIIFCN >Et_1B_011936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27342928:27347247:1 gene:Et_1B_011936 transcript:Et_1B_011936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FTCCNLLLHCSQLFIISFIAAFGQSSTSPFGQTSFGAQQQGFGQAATNNPFAAKPFGSPTTTFGAQTGSSLFGNTSTGAFGQQQSTPTFGTPSSSPFGSSTPAFGASPTPAFGATSSTFGSGSVFGQKPSFGAFGSSASQSSPSSSTFQQTQPTFGSNAFGATSTPAFGTTTTPAFGATTAPAFGSTTTPAFGASTTPTFGSTSTSLFGATSAPAFGSTAFGSATPGTGFGTSGTTAFGVSSGPGFGVSSTPTFGFGSSPSVGQTTTSFGTSPFGANTSPFGAQTSTFGSQPATTPFGQATFGNQAGGSRIKPYAQTLDADSATSGAPAAKLDSISAMPEYKDKSHEELRWEDYQRGDKGGPNPFGTPAVAPQPNPQPNLFAAQTGQVNPNPFSSTPTNNPFAAKTSTNFASTSAFSTLFNSSSAASSNLFTSSTSTTQFGQPGGSLFPASSPSLFSNTNPTFASSSNPSPFNTGSQFTTPSTQSAGLFQTSPAFVQQSLSAPAFSSSGTIGGFTGFSNTTSQAPIGQLTPSQSNMVMQPAPVSHPYGTLPAMPQMSIGNVGSSPSVQYGISSLPVAEKSLPSRTLSMVVPRHLSQRRIKLHPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLMIRPIDQWPSRSEIDRRPVPKDSSDSEKYKGASVESERNKAAMPPSRSSPVENGSYERADVIRHGNGASVESLMPKLPDADYFTEPSVEELAAKERAEPGYCSQVRDFIVGRHDYGSIKFLGETDVRGLDLDSILEFNNREVIVYKDDSKKPPVGTGLNKAAEVTLLNIKCMNKKTGEQYREGPRVDKYKEMLVKKAKEQGAEFVSFDAAKGEWKFRVKHFSAYGFW >Et_8A_058484.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:938606:938815:-1 gene:Et_8A_058484 transcript:Et_8A_058484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMHMHSSAGVSSALATAVNETRVTADGGEGFEFDGMLLVVTEGNKVLDGVLGSESFFDARTGTRAGAL >Et_6B_049064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17428777:17436757:-1 gene:Et_6B_049064 transcript:Et_6B_049064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPSPSPSSRPPPLPGYFDPESSGRREEYRRYRKRLSSSNASPLLGSSLSKSSEARLLYGGDSIQRRPNAGLLLEDIKQEAADYSNMDGLDGSRLFGSARRRESVDDGSASDAAFSSGRQAVKQALKSVKLEDDMDVSREGETNSTTFASLLDSAIQGLVPFPEVILQFERTCRNASESIRSAATGKLRLVDDKLMQQKAQLLLDEAASWSLLWYLYGKGNEELPGDLFVSPTTSHQEACRFVATDLTAQLCKRIVLWLEGLASEALDLEKKVRGSHVGSYLPSSGVWHRTQRYLKRKNNDSTIVKHVDFDAPSREGAQLHPDDKKQDELLLEDIWTILKAGRLEEASELCRTAGQAWRAATLCPFGGIDLFPSLEALLKNGKSRMLQAIELESGVGRQWRLWKWASYCASEKIAEQDGGRYEMAVYALQCSNLKRILPICTDWESACWAMAKSWLDVQVDLELSQYQTSRPDEKQLDEDMNWNQPMLSSVGPESWPYHVLDQQPRDITALLQKLHSSDLVHETVSRACREQHRQIEMNLMSGNIAHLLDLLWSWLSPSEEEQNIVRPLDDPEMIRFGAHIVLVLRYIFGDEMEDELEEKLVAVGDLIITTYVRYLFSEHQEELVGVYASQLQHDLCVDLFVEMMELRLHSSLHTMYKLFLSAVEYLPFSSLDSSKASFEEIIERVLSRSRQTKPKKYDEDFSDIAEQHHLQSLQKAMIIQWLCFTPPSSIPGFEIITGKLLIRALMHSNTLFREFSLISMRRAPELPAGPHKLLAILAEPLKQKDNIFSLEDPDVSDNLQEFEDWHEYYSLDATYRNWLKVEMVNAAVAPEMLSVEEKDQAVAAARETLELAFLLLHKEERPWLNAVDSSPFDSSEPIFIELHATAILCLPSGECMLPDATLCTALTSALYSTVSEEDVLHRQLKVDAQVLSKDPCCIEVALRCKAVEGDGYGLHEANDGGLLAAIMAAGFKGELNRFQPGVSMEISRIDAWYSDGNGSVESTAAYIIRGLCRRCCLPETILRSMQASISLSEAGDSLDHCDKLIELVASSEYGMMHLFSQQQLQEFLLFERECLICKMELEEEQLPSDG >Et_5A_040291.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:11338218:11338229:1 gene:Et_5A_040291 transcript:Et_5A_040291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCS >Et_2A_016445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24842697:24847139:-1 gene:Et_2A_016445 transcript:Et_2A_016445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGVEPPAPPSAVAARLVARGVRPRRISAKRSWPPGCGRFPAPPPPAAAACDGEKGADGRADEATAPAAVPPADQNGAPHQHVKDEVEEAAAPAAVPPAAQNGALHQQGKDKMEVAVAPAAVPPAAQNAALHQQGKDKVEVAVVPAAVPPAAQNGALPQQGQNKVEVVSAAAASLTAQNGAPTEKGQDKAVEAVTPVAVSPQERNGIIPHALPQQGLEGGENGAAGSLINGTDAASLDGQEGNRTVHVEVPVVSVPDSGIAGAGTSAQNNSNGAALLQAEEGRDGDGSGEVMMDEVAGDGDDMEMRNITDCGEAERNMNVVQGSRKKRWLMSAVNPPPKRRAVSAVRKFPPGCGRAAVATKGSGVSKVSAIRTFPPGCEKAAVDTDSSGVLEVLPVHAFPPGGGRVAVTTDGSAVLEVSPMRSYSPGCGKAAVTTIGSGDEERMVVGATPVANGDASATEALTALPVSGGAASEKVEGKRVVAEGSSKAHNKIQESQVGSYIAVLEVSPIRSYPPGCGKAAVTTIGSGDEERMAVGATPVANGDASATEALAALPVSGEAASEKVEGKRVVAEGSSKAHNKIQESQVGSYISPADIVGANQGSDQLPNVVTKVVLKHGFAEKMKGNISTCEGKQVAQGVGDGKAISKLDGSSRKDVLKTHTSRAIDANTKGKRSDGDKMNATLLDNAKASGERNMQRKTLSTKKELVNSNAKMKQDKFDLKLKGDGISKNIPARSTKSKYGKHVTTNQIETNDEMDLVPDRIIVQALMAPDKCPWTQKKRSIVSASKSLAPRKRTKGKDAAPIKQLEWKVASSELINDETIDDNEDPYVEDDENSRAVVLYDEKREKKEFTANLLPSVPGSHHGQSGDDDVDARRKVRKLLQKFQLLCRKFMQLEEQGTRKVGRIDIAASNALKKDPTYTKPEAIVGNVPGVEVGDEFHFRVELSIVGLHRPNQPGIDSTTINGVPVAISVVASGGYPDELSGSDELIYTGSGGKAAGKKEAEDQKLVRGNLALKNCIETNTPVRVIHGFKGQSRGEVAHSKAKQVSTFTYDGLYNVVECWQDGPKGSMVFKYRLRRIPGQPQLALHLVKVTKKSKVREGLLLPDISQGSERIPICVINTIDGLRPAPFKYVTKIIYPPWYKKEPPTGCDCTNGCSDSVKCACAVKNGGEIPYNFNGAIVEAKPLIYECGPSCRCPPTCHNRVSQHGVKIPLEIFKTSNTGWGVRSLSSIPSGSFICEYTGELLQDKEAEKRENDEYLFDIGHNYHDEELWEGLKSTPGLESSTSSSKAMEGFTIDAAECGNVGRFINHSCSPNLYAQNVLWDHDDVEKPHVMLFAVENIPPLQELTYHYNYTIGQVEDKNGEEK >Et_3B_029393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24605944:24607488:-1 gene:Et_3B_029393 transcript:Et_3B_029393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAGKRKPVFVKVDQLKPGTAGHTLVAKVLSSTTVLQKGRPGAAAGPAARPTRIAECLIGDETGCILFTARNEQVDLLKPNNTVIIRNAKIDMFKGSMRLAVDKWGRIEVTEPASFNVKEDNNLSLVEYELVNVSEE >Et_3A_026488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8630853:8633017:-1 gene:Et_3A_026488 transcript:Et_3A_026488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHFVYKDVEGTTTQWDDIQRRLGNLPPKPEPFKPPAFAPKVDADERPKTKEWLDEREPEELEELEDDLDDDRFLEQYRKMRLAELRDAAKAAKFGSIVPITGSDFVREVSQAPPDVWVVVFLYKDGIPECGLLQTCLEELATRYPATKFVKIISTDCIPNYPDRNVPTVLVYNNSAVKGTHVGLQKFGGRKCTPESVALALCHSDPVLNDGHGGGDSSRDNVMEGVRKKFIEKVVAQHESREEYDSD >Et_6A_047271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3138223:3145628:-1 gene:Et_6A_047271 transcript:Et_6A_047271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPFAAVLEWPSVVPELPSSPSRRLQMGPEASVQNPLERMLVDETAEPTNLRLALLESITNNFSDDMEIGTGGFAVVYKGLLQNGTVAVKKLRTFDVDDAKFQQEVDNMLRVKHKNIVRFLGYCSDTQGIVQKFGGRNVMAEERHRFLCFEFVPEGSLDKYISDAAQGLEWMTRYQIIKGICEGLHYLHQQKIVHLDLKPANILLDRMVPKIADFGLSKCFDEKQTRAMTLNVLGSLGYMAPESYGGLITFKSDIYSLGIIIIEILTGQKGFPHIENVLGSWSTRFEMSLGDRRLEPVRKCTELAIECTDFNPEKRPDTRHIIERLAEIERVYDFPKADLITSSATNPESTSSDYKVKLEIMGRVKSIPSTTGANEFPVLVRVTAPLRYTESSRAGLDLVAVLGINGRRLDSMKRAMMFVINNLGPNDRLSVVTSFGNDVQLLTELSIMIEMNRVVARHEVSRLRPEGYTNMGLALNKAYEILQRRGPEERSSRVGRVISLSDGDAGSVEFEKMGYRFPTETFGLGADHEHNALFYIAYKTRGTYSYVSQLNLEKIKDAFAQFLGGLMSITAMDVHVNLQAPDGVTISSIESGSHPMSISSDKRSGTIQVSDLYAGEQKNFIVYLNVPDGEQNQFMDVSGSYRSPKISEEVKIHPDHTELTVLRPTVVTSPSDMPICPDVAAELIRLRLVKYVEKCVNNVVNKRRRFVGLQRFWKTVKGSEDGRSAPQSAVLALDEDVAAVAHKGGKPFILSWLTCHMGQRATTKESPDRSPAFQVKAMGEMIERAKRRPKRLFMVKEGLAKDEERTRVPSTATFYVAVFIRGPSTSGHYHIFVTCPAYFITSGGNGVQGASGLKFQTATYCHVEV >Et_4B_039677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26313938:26320442:1 gene:Et_4B_039677 transcript:Et_4B_039677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDAGADDRENADPPGSLAVDAPPPPPDTEEKSDLNSNTPVSPQSPLPILGENKEPGSNGSHSNSANTSGNGEESNSTTKKRNVFRPSVFERKTGHSERWRNDDMEPNSGSYHNRWKEKEKENSGMNKTEQLTDDSRNHLDSHYRPQERWANFTYKEGNYDQRHDNKWSFRWGPTSKGSENWRDKCTDSGKQNDPSHEKVFSHDNNTVKEAERDNISQSWNSSSLTSRGTGGTYDHLSHAPQKSSHSFGYSREKREPDTSRVVTGPFRPFHIGILSNRPGGASRDSMSYSRMKLLEIYRKTDVKDFVTPFPDTEEISSLWQEDPAEPLALTAPSAEEAAILKGIERGDITDGDGQVCKDDGKEDQAGSIEDFKSDITENIRGPGDDSLTETLKPYKSTDAAPQEFQSVGDHIHGLTAEFRQQNNVLDQGAQIDEMVGIGDIVTPEEPHPENLSLYYKDPQGKTQGPFSGSDIIDWFEAGYFGIDLQVSIASAPPDAPFLLLGDVMPHLRAKAMPPPGFTTLKPSSVPETSADLGISDYGSINKSSNTTEVENHFLPSPMSSNIQNPIADTTCVTGAQKGTVQCSSRAKWFPQMFDPSSETLHPQNADLLSVLLPVEKHQAPEITETEKLSSEISQDPKLSNIMQQQYMLSQPPLQPQKPVMPQPELSLFSSMLPLRQEEQLLSQVLTHGRSAQQLHDPSDGPKHASVSSGNCMKLCLQRTQEILDLARKLPGHSMREIQLPNHVNMQLGGTDVLGFSESRAPALPLPHEMIGHAPQRECADSLAQHRDCFVNEVSQESIAESPFTKTTSGNLSKLTAFEAKFVPNSCQDHANSDTVLSNISNQVCEMELSSTNPHPWKLAPGVRPKSLLEIQAEEQLRAQRELAIENAKITIAATSASSIPWSGTAKYSEQLFGDVTKSMGDQENVNISRSTRSQFHDLPTEKVPFKSKDMGAAIIDADGASFPRAPYVAQSGAHSRVDSDFIEVKNSKKKRNKDEKSKVSAVKSPILGSFDAPVISVPVGKSGKQVQQVKKDLFISQSSGPSQREAMDFREWCENEWAKLTGTKDTGFLEFCIKQPASEAEMLLVENIGSCDHNRDFIDKFLSYKAFLSADVIDMAFRDHISPKQHEDSPSTGNLGGMNAEIGRENGGKKKWKKGVNADSSALGFEVLSSREDD >Et_2A_018194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17519485:17521093:1 gene:Et_2A_018194 transcript:Et_2A_018194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELLPFYAACVVLAVCSYHLLGLVADRRRNLPPGPWPLPLVGNLLSLGAHPHRSLARLAERHGPVMTLRLGTVTTVVASSADAAREFLQRHDAAFSGRFLFDGTHAFAHYAHSMVWLPASSPRWRALRKVCSSELFAPHRLDTNHLLRRDKVRELVSYVARLARDGEHVRVGRLAFTTALNLLSSTFFSADLAGLGDEQQQFKAVLAELNVTVGLPNLSDFYPEIARLDPQGLRRRIEGLFRRLHAMVNDQIERRLRERDAGEPAKKNFVDVLLDYRGAEDGRGFERQTLLSLFSDLFSAGTDTTSATVEWAMAELLLNPSCMARARDELTQVLGAKPEVEESDIAQLKYLQAIVKETFRLHPPAPFLLPHLADATTQVRGYTVPRGARILVNVWAIGRDAEAWPEPEKFMPERFLAEKEVDFKGRDFELLPFGSGRRMCPGMPLAVRMVHLMLASLLHRFRWSLPAHVRKNGLDMDERLGLNLSMATPLQAIATPV >Et_7A_051789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24715469:24732661:-1 gene:Et_7A_051789 transcript:Et_7A_051789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARRARARVVGAVRRVPPNCSRASHMVLFPVILHVSSLDEHNRNIGCVLGSDSPAEQTCQQSKDELSRRSACVLVSRLSADEICRKPSRDELPSEQGLTLYCQPVNLYHIIQERAKQNPTFLRRGLCYKIDVKQRKRIQITLSLSGNTNAELQAQNIFPLYALFARSISHVLVEGHSPLYQFKQACLLTSFNESGRHFGQTLNGINCSDDYAENSSLEKLAGKCFWGKIPVSLLRSSLDNCTGLILGHTIKFASTIVMSPSFIEKPNILEQDSLVFCSRKFNDMDSYQLRISIHAEEAGARYVFKSPYTFYLYNNVLPHSSLDFVRLRVGNVLFNYMYNNNICETEVTEDFTCSICLMKCGNFKGLESHLLSSHDLFNFEFKLSEKKQVANVSLKHHIRTNEYKKRGRLVAGSEMIVSSKAIEMIVPSETNGVVKHGQLVSLGLKPAGLEDGHGFIYQMRHMLLPIHYMVVVFHHQKYYSLERLGSYLLISLTPESRSPDFALDATLLVGNSCKNVRFSILTKDRVLADSHIPWVCKAFSKLHGQLFVQNPPLRQCWCIVMIKFWNHNLLDGRTFNTCNMIVDGFKKQRGPK >Et_9A_063014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8135000:8137742:1 gene:Et_9A_063014 transcript:Et_9A_063014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLCSPFDVSAADSTRAAMPSALVPAPPSTSPPLSSTSLLSTKTVLHSSPHHKEAPMDSTGTRASSKPKKGAAWRQAGAAPERRCCFAPSRPTLCSLFAVLAANSRRAAMPSALVSAAPSTSQPLSMTALLSIGAGRMPKKGAAGHKGGGPRKKVVLRSVMADFVFPKGRYAKRFSADAPIYLAAALEYLAAKVMELSGNAVTTRRPTSSHLEQLQETLHRLPLQSTKAILDSPPHHKEAPMDSTGNGAGSKPKKGATGRKAGSPRKKVVLRSVNVDLVFPVPRIGR >Et_7A_051818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25052630:25054770:-1 gene:Et_7A_051818 transcript:Et_7A_051818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METQTEALTQQLNTVNQQSSLHPWEVLVKLWEEMFQQMKALKDAYLSELVTLDQIIVVPKITKVLYPLLIKTFVFPMSLYSFIFLMHLIDAVVYSITVQEEFEALSKDKTEQYKFMVYMKKRVRTMLNFLQLQKSNIPEHLKGQLPKSAKAIQDMIEFYRRRKDQRADMDKGLQSEISHKNPQVNNLTCNSPPSNGCSRLPFGFPKAIAVAIASYLEHWASSCVPVKPQGSVQSLPSNKLQSNRAQPSVTKTSPVKVASPSASAKSIFSSPAANPGAVIHVSSSVSSPIAKPGLEVNLPSALVKSTLPSPITNFGIMQAELPRASARSSSLPPFAKSHILGVASPTASVSTLPRIGSRRDKTLASCRRGDTGET >Et_9A_061437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11926730:11938848:1 gene:Et_9A_061437 transcript:Et_9A_061437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPERDGEEQRRPLLPGSASAEQQQHQQYQYLGRSSSSVLRGGGNGWGVPEVSADEVGCLFLLIRRALTTPGRRSPRRPPLPLPALHPQRSALPLPLPIARPRVPAFTRYAHEVHQVLCFLRFAAIVHMDWRLFLKGRTRMVAAISLLRALGDAVVPWLPLYQNGWFAGRDVLDEVEIRQLLIDHVGHRCCWGSRPARTWKITNIEDCNVYVGTLETFIEERDVVTKKEPYESGKIDGRDKGPVLGVWELDLRSEFPLLFVPEKEVTVKIPHSEVVEKCLDCEGRGDTPCPVCNAGQEPGFYKANQMTRCSTCYGRGLLAHQDGSDSVCGMCNGKGMLPCVACGSRGLVTCKTCTGYGSLLAQKNAHVRWKTLSARKVSAARGAASVPEEVFHRAKGVQLCNIQAYQCTPAFFADSYPLNQFSSEVIASRLPVPPSARVISERHIISVVPVTRVTMAHRKQSFSFYVVGYSRDVFAGASAVVSSGWGSSARRPLPPGSGAAEQYQYLARSSSSVLRGGGGPEVSADEVRSAASCSSSAGHRPPRAAAPYGDNLYPYPPMVGFADRDVLDEVEIRQLLIDHVGHRCCWGSRPARTWKISSIENCYVYVGTLETFIEERDIVTKKEPYQSGKIDGRDNGPVLGVWELDLRSEFPLLFVPEKEVMIKIPHSEVVEKCLDCESRGETPCPVCNAGKEYGFYKANQMIRCSACYGRGLFADQDGSDSVCGMCNGKGMLPCVACGSRGLVTCKTCTGYGSLLAQKNAHTLSARKVSAARGAASVPEEVFHRARGVQLCNIQAYQCAPAFFADSYPLNQFSSEVIASRLPVPPSARVISERHIISVVLVTRVTMTHREQSFCFYIVGYSWDVFIRDYPAKFCWGLCCCFEWLG >Et_8B_060637.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3822123:3822614:1 gene:Et_8B_060637 transcript:Et_8B_060637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSMPAPKDSLLAYVLYHTAVSIAILAGLVRSALVFLGLAVPQPWEEGEEHQLAAIAAAAAAAAAAGPSLADRFRSRFRPSRFGRRRAGADCRVCLARFEPESVVNRLPCGHLFHRACLETWLDYDHATCPLCRLRLLPAGADDSSSPAPSPAPAPRLARI >Et_9B_065569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7152262:7152874:1 gene:Et_9B_065569 transcript:Et_9B_065569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMEFHDSLAQAIMRCITIAKYRVKSFPFYSIQQKREVNSYNLPTGAEYQPLIMILCHSQKQMNRVPDICKMLCHCLRIA >Et_3A_026335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:716073:718871:-1 gene:Et_3A_026335 transcript:Et_3A_026335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYAETEGKRVHDPLYHPRRSATTTGFPVGGKFVHVPGPVIVGAGPAGLAVAACLAANGVTTYALLERYECVASLWRHRTYHRLKLHLPKRFCELPMMPFPESFPTYPTREQFLDYLEDYALAYSIRPMFRQNVVSAEYDGDYWIVRTKEEAVLSSTTTEYRSKWLVVATGENAEPAVPDIDGIDTFKGQVMHSSDYRTGEGFEGKKVLVVGCGNSGMEVSLDLSNHNVNTSMVVRDAVHVLPREIIGCSTFGLSAWLLRWLPIHAVDRIILLLARLVLGDTARLGIPRPSVGPMELKKVSGKTPVLDVGTIDKIKSGDIRVLPGIQSFQEHGVEFIDGKIVDFDAVILATGYKSNVPFWLKDNGFFSEKNGFPQKPNEWKGQNGLYAVGFSRRGLLGVSIDATKIADDIVQCYHKMDNGRQKSK >Et_4B_037749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23011935:23012452:-1 gene:Et_4B_037749 transcript:Et_4B_037749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRGSAGARRAIAMAFAVACCCCCGILASLGVADAATTYYVGDGSGWSFSSASWPSGKQFHAGDVLGNSRQRYASAFLLSAGSVGAGTETKWLAVSFLYIPWMHNVVAVDEDGFDGCTTPPGSRTYTSGSDSIRLARGNNYFICTRFGHCNLGMKLVVYAA >Et_1A_004934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17669903:17670613:-1 gene:Et_1A_004934 transcript:Et_1A_004934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTERVMRGCSPPVRRPALPSPPLGGSKSTGSRPSSASMPPPAAAAFVDDDVLYPSEAEPEADAARLSTAIASRRFFFAAPGRSNSIVDSAGAGPTTDNNNKNNARALRRAATNAFPASAAAASKSARRAPPPSSRLLRYDEILPLQVASGAPRADFLESMVEMADAMGLDPRRGAADVAALQELLLCYIAVNEHSAIGAIVGAYGDLLYLFDGGDARQTPSA >Et_9A_063174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13801684:13804846:-1 gene:Et_9A_063174 transcript:Et_9A_063174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIINFLSATRQQGQPGLRPVIFAIFGLAGVGKTALAKWVFGHTRFQGYLKAWVHVSQENNMVEIGKSIISQVSEATHIQALKDTKSMMECLGELLGGGKAALVILDDLWEAELASGLKDRLFEGINGSEIIVIVTTRSQSIAKKICTTKEPYKLNPLKDDMCWAIIKRTCHFEKWLHHTQEEDELEEVGQEVARRCWGLPLAAQEIGSSIKPLEWSSILHNTSYSQPMHSSVLSSLRLSYQHMPAKLRLCFAYCATFPRGHVIFKQDLVHQWIALQLIDPFEKISDRRNLGEKYIIMLLDILFLQPMKSPNLPSEKNDMNAVPFTMHDAVHSLARSEIGDLLAVLDGRMARSEIDDLLAVLDGRSDGRSTTHRKEKYWRYALFNNVDATHSILPDQTKALRILCCSKMEFCKDSFSFAKYLGVLDLRELSMHKLPDSIFHVDDEEYGSDLVELEDVVNCKELEIRCLEKSLQWRTFQDAAVYLRLVYYHSCNG >Et_1B_014104.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30897587:30898270:-1 gene:Et_1B_014104 transcript:Et_1B_014104.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASLSALSSTATAAGKRFVLSSPSLSFSSFHRRPAGLHLRAARPTTRRAASASRVVAAIAVGDKLPNATLSYFDPADGELKTVTVAELTAGKKAVLFAVPGAFTPTCSQKHLPGFVEKAGELRAKGVDTLACVSVNDAFVMRAWKESLGIGDEVMMLSDGNLELTRALGVEMDLSDKPMGLGVRSRRYALLADDGVVKVLHLEEGGAFTTSSAEVMLQTFSVTV >Et_4B_036510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27506379:27506819:1 gene:Et_4B_036510 transcript:Et_4B_036510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVTPGNVEAFPGLNTLGVSINRVDLAPGGVNPLHSHPRAAELVHVVAGYNVGKAAARAMTVFNSQLPGVVLAAPALFGAEPEIPDAVLAKSFQVDAEIIKLIKSRNRKG >Et_5B_044010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18890344:18891403:1 gene:Et_5B_044010 transcript:Et_5B_044010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPGFLTVRVLRGVNLVSRDATGSDPYVVLHLDGQKVKTNVIKKTVNPVWDEDLTLAVRSASTPIKLEVFDKDTFSKDDKMGDAEFDIEALMQMVQMDLEDIHSGTVVRTVRPSKHCCLADESPIIWENGHLVQDLMLKLRNVESGVLHLQLKWVNIPGTVLFVVQTHGVLRQNNTLIMKNDSG >Et_4B_038270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27716811:27721791:-1 gene:Et_4B_038270 transcript:Et_4B_038270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGAGALGSFGDWSEGIFVPFFPLLFVRARAKKSAKHGAAQVCQICGDGVGTAADGELFTACDVCGFPVCRPCYEYERKDGTRACPQCKTKYKRHKGSPPIHGEESDVDADDTSDYNYPASGNQDQKQKIAERMLTWRVNSGRSDDIGPAKYNSGEIGHSKYDSGEIPRGYIPSLTHSQISGEIPGASPDHMMSPVGNIGRRSHQFPYVNHSPNPSREFSGSLGNVAWKERVDGWKMKDKGAIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPISSSRINPYRMVIVLRLIVLCIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWSPINRETYLDRLALRYDREGEPSQLAPVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFCKKYNIEPRAPEWYFAQKIDYLKDKVQTSFVKDRRAMKREYEEFKVRINGLVSKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYLLNLDCDHYINNSKALREAMCFLMDPNLGRNVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKKKKPGFFSSLCGGRKKTSKSKKKSSEKKKSHKHADSSVPVFNLEDIEEGVEGSGFDDENSLIMSQMSLEKRFGQSSVFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTDWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSIEILFSRHCPIWYGYGGRLKFLERFAYVNTTIYPLTSIPLLLYCILPAVCLLTGKFIIPEISNFASIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTSFTVTSKATDEGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDTIQCGINC >Et_6A_046739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2000310:2012387:1 gene:Et_6A_046739 transcript:Et_6A_046739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LRDFHIAKIEEDIGFYAGFLGMISSSPNFTVFNKLWHMNPYVHLHHTWSADHIGRKPVIVLSILSVVILNALFGLSRTYYMAIATRLVLGALNGLLAPIKVNTAWGFGLIVGPALGGYLAQIDLFPQPAEKYPHIFIEDSVFGRFPYLLPCLSVSSLSAVVLVSCTWLPETIHTHKCPKKEISIVNAKWSKECSWDSPFKKSLLKNRPWMSTVLPYCIFGLHDTAYSELSLTYSNLCFTYIWPGVYLLFKPFNTRYFPCGLLAIGSMVVLASQPKILARFFPWQIIFILSFIFHLTESPGFVLGAGLLVYQLLIYRWVHKILGTINSSRIASAVSILVLATYPFMTYLSGIKLSLALCSAAVMKSALAITASTGISLLQNNAVCQEQRGTANGISTTVFQGNCSNRGRGYVSFSFRSFVPPIGVLQIFVGTKTSRCCFPTRMGEEAPPSPPATMPYVDGCPGCAMERRKQMSKSIPYKELFFVAITSIATALPISALFPFLYFMYWMAITTRLILGALNGMLAPIKAYSIEVCLPEHQALGLSIVSTGWGIGLVLGPSIGGYFARVPALQYPDIFSEKSVFGRFPYLLPCLSISIFSIVVLVSCIWLPETLHKHKNINNEVEMSSNSRTPLTEDVHPNKSLYKNRPLMSSIIAYSIFTLHDTAYSEIFSLWAVSDKKYGGLSFSSKEVGQVLAISGAGLLVYQLFFYRSVHKILGSVNSSRISSVLSIPLLAAYPFMSHLSGFRLGLAMYPATIVKGVLGPQSQRGAANGISTTAMSFFKAIGPAGAGILFSWAQKRQDVAFLPGDQIIFFILNIVQFIGLALMFKPFLAIPEYYGLKRC >Et_7B_055409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1064459:1066977:1 gene:Et_7B_055409 transcript:Et_7B_055409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSFNGFTGPHPSFPGAVNLTVLDISSNEFSGGINAGTVCLKPVKVLRFSGNQLTGELPRDFGHCKTLSELSLDGNDLSGSLPSELYAMSELKRLILRENQLLGSLDKGLGNLSKLVQIDLSYNSFTGSIPDVFWGLSRLESINLASNGFSGKIPASLARCSMLRVVSLRNNSLSGEIAVDFHLLPRLNAFDAGVNNLSGSVPPGLAWCTELRTLNLARNKLVGEIPKRFKNLKSLMYISLSGNGLTNLSSAFQILQQLPCLQSLVLTKNFHGETMPMDGIEGFKSLKVLVLANCALQGVVPPWMQSLQGLNVLDISWNKLHGKIPQWFGDLNRLFYIDLSNNSFSGELPESFTRMRSLMSSDGASEQAPMDDLPLYIKKNSAVRGLQYNQVSSFPSSLILSNNLLVGPLLPGFGHLVKLHVLDLSWNYFSGPIPDELSRMSSLEVLNLAHNDISGSIPSSLTKLNFLSKFDVSYNNLSGDIPTGGQFSTFANDDFVGNSALCPLWNSSCHGVLESRDEQRNDTDTAIHNTFIVMEVGFVFGILAVWNTLFFAWSWRAAYYRMVDRFLDATCVLTMANEGGALLDSSKAREMFTAAVWRTALVITQEVKPSPFTNLQNSTAIRFN >Et_1B_013632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10117498:10118484:-1 gene:Et_1B_013632 transcript:Et_1B_013632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVINHGISEQVLHDMYAVCHEFFDMPAEDKAEFYSEDKSKRNRLFCGSGFETLGEKYWVDVLELLYPLPSGDTKDWPHKPQRLREVVGNYTALARGVAMEILRLLCEGLGLRTDYFVGDISSARVVVDINYYPPSPNPSRTLGLPPHCDRDLMTVLLPGAVPGLEIAYKGGWIKVQPVPNSLVINFGCQLEVVTNGILKSVEHRAATNFAEPRLSVASFIVPADHCVVGPAEEFVSEDNPPRYRTQTVGEFKRMHNVVNLGSSINQVIDIKNNQKGTI >Et_2A_015627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16130068:16132712:-1 gene:Et_2A_015627 transcript:Et_2A_015627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHHHHPRNSAAFISMAATGAAARRLLRLSNSSMRPPPLLLRLALLQLLCFAAASALNQDGILLLSFKLSLSADPLGSLSGWGYADATPCAWNGVVCSPDDEQRVVSVVLPNAQLVGPLAGELGSIERLRHLDLSGNALSGSVPVALLRAPELRVLSLAGNGLTGGLPEQVGQLRSLRALNLAGNALSGAIPRNLTLLPNLTVVSLANNFFSGALPGGGGFPALQILDVSANLLNGTLPPDFGGPALRYVNLSSNRIAGAIPEEMAARLPANVTLDLSYNNLTGAVPAAPPFSAQRPAAFEGNAGLCGKPLDRLCAFASSSAVEPPRENGTAAKSPPAIAAIPRDPTGEALPGADTGAGAPASGGGQGRMHVATIVAIAAGDVAGVAVLFLVALYVYQLRKRRQRQEAAKQQRMGGVVFKKPEPEDSSPDAVGRSLSCCLRKKAGAGEDSSDDTDRDVTDTSASFAAKDGGVTDNKAAGVVESAAKTKDDKKGVLVTVDGGGAALELETLLKASAYILGSAGGSIVYKAVLADGAALAVRRIGSDDAGVRRFADLDAQMRAVAKMRHSNVLRLRGFYWGPDEMLLIHEFAVNGSLANLAVKRKPGSSPVNLGWSARLRIARGVARGLAFLHDKKWVHGNVKPSNVLLDADMEPMLADLGIDRLVRRTADAAAGNHKPEATSAFAGRFGSKRSAKSLPDMSPLPPASPLAGGAATTETAAHYRAPEASVARSTTKPSAKWDVYSFGVLLLELVAGRALTSVELCRCGAAEEKAEALLRMADPALRGEVEGREDAVASCLRLGAACCAMAPSKRPSMKDALQAMERIPALASAAAAASSTSTTAAAGGAHR >Et_2B_022885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7261427:7263775:1 gene:Et_2B_022885 transcript:Et_2B_022885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVICIIGMGGLGKTTLARKTYENKEDILKNFSCYAWVTVSQSFDRREILKDMIRQLLGADSLDKLLKGLQGNLLVQVNHLADFLVEGLKEKRYFVVFDDLWTIDAWNWINDIAFLKNNNTGSRILITTRDAGLAESCTPGSLIYHLEPLQIDDAIHLLLRKTHIRHQGVETGENMKNIVTKLVKKCGCLPLAVLSIRGILATKSIAEWEKFYKELPSELENNPNLEAMRRMVTLSYVHLPSHLKPCFLYLSIFPEDFEIQRRRLVNRWIAEGFVRARDGVNIEDVGNSHFNELINRINFNDRGNLLSEIRMACSVRCSDTKGVKMPRGINHLKELRILEVVDIRGTSKKAIAELGELSQLRKLSVTSKDATENKYKVFCAAIGKLTSLQSLCVGAEGSLDVGALEWLNSVSCPPPLLRSLKLNGSLSEMPRWFGNLTQLVKMHLSRSRLKDGIMMETVGALPNLMLLRLYRNAYVGEKLVFRRGAFPNLKEIDIYFSEQLREIIFEDGTSPQMGNIEIYGCRLESGIVGIKHLPRINIIVLQYDGEVAKFDMLRGEVDAHPNNPLLQMPKDKRHS >Et_7A_050907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12285227:12287729:1 gene:Et_7A_050907 transcript:Et_7A_050907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDMENLADDAAALDDDSGEAERYEAEEAEADLLRDRLRLAVIKIANAEGRKAGMEVADPVVACVADLAFKSAEQLAKDVELFAQHAGRKSIKMEDVVLTAHRNEHLMGLLRTFSQELKGKEPASERKRKKASKKDERVIDIDS >Et_1A_006657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25833865:25836313:1 gene:Et_1A_006657 transcript:Et_1A_006657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKRSSASPAIPSPAAPAPKAKKSAPAAAKVKGSAPAAAKVKGSTPTAMEVNRSVPADTEVISADKTPAAKGTAAAAAPNVIEIPSSPDYSAGAALGTSKKKARKRPAPVDFDDEIEMWTPREKRRLEEDCQILSGDPLAAVVVPPAAAAGNDDIAVVAECGQVACRDYPHPRSTCAKNPFSTTPHERYCDKCFCYVCDVAAPCVSWKGTGGHCHASDKDKKWKSLRLLRQKEKK >Et_2A_015308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10796797:10799279:-1 gene:Et_2A_015308 transcript:Et_2A_015308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPAGLPTGTANAIFVLVIVLLVTTPSLFHYCLARRESSHHRQPPTTPTTPPQAGSSTIFNVLHYGAVGDGTSDDTKASERCKHAFAAAWSAACGVTASTVTVPASHVFLVGPIAFTGDSCQDNMVFQVDGTILAPAGPKAWCSGDVKQWLEFKNVRGLTIQGSGTVDGQGSHWWSSHPVDGDDEDVKAVRVFQGVNVTVTGITIRNSPKFHLTLDTCRGVEVRGVAVSSPGDSPNTDGIHLTGSVGVSIRNATIACGDDCVSIQGGCSDVSIRKVSCGPGHGISIGGLGKGGATAVVSDITVEDVTLNRTANGVRIKTWQGGSGWVKNVRFSGVRVAAVRTPVVIDQYYCDQAAPCGNRTSAVAVSGVAYSGVAGTYTDRPVYLACSDAAPCAGIHLDDIRLAPAKDDGASHLRGPFCWKAYGDEVRPVEPPVDCLMAGAP >Et_7A_050625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7824982:7826325:1 gene:Et_7A_050625 transcript:Et_7A_050625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEAIDALGEDGGSTGDSISSFIRARYPGVPAAHDRFLRHYLTKHVAEGFFVCTAPGRYARSPNDEDAAVEVPVQPAAAGASEAARVESPATQPKRGRGRPRKDGSSSTSPAVKKSGSVVSATPKRRGRPRRVAPLAAGDGSVPASSVAAADKDGSQATASTPRRRRRLRKLATTSDVSSEALVTDNEDAPVDSDQPRELALVTTTDPRNALPMVIAAQGSSPASTSGKKTRGQTLSATPKTRQQRKLALVATDVQSSPAPVAVKKAGRELSVATLKLTPPTAGGGTGAPSVAPTPHGRPSRLYPVTADELPDDPTWCLLALPAVANA >Et_4B_039017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:750089:753257:1 gene:Et_4B_039017 transcript:Et_4B_039017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPEYRYHFEDVSEIAAYVSTEIRECATIGQRLHFAHVVHTDRVLEQVASHFGHFVGVKLLPVLLPNAPHLPHAFQTLRSGETLLHGSDVLGPKRHPIAKNLQDIICCWTIDLGVQVMPWLSWTIGIKYLQASDA >Et_4A_033064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1790103:1792029:-1 gene:Et_4A_033064 transcript:Et_4A_033064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TSFAYLALFACLAWASASLLYWAHPGGPAWGKYWRARSQLPTPSPIPGPKGLPVVGSLGLMSGLAHRSLADEASHRPGAKRLMALSLGPVRAVVTSHPDVAKAILDNPAFADRPLNHAAYGLMFHRSIGFAEHGPYWRALRRVAAGHLFGPRQVDAFAPYRVRVGEDVVAALRGGSGRGGVVQVRGLLRRASLYYIMRFVFGKEYDVSGAGTEVDELLGMVHEGYELLGKENWCDYFPGLAPFDPQGVGARCAELMPRVNRFVHGIIQEHRAKAVDGEARDFVDILLSLQESEKLTDADIAAVLWEMIFRGTDAMAVLMEWTLARLVLHRDVQANVHRELDEVVGRNNPATESALPALPYLHALLKEALRMHPPGPLLSWRHRAIYDTYVDGHLVPAGTTAMVNQWAISRDPEVWDAPLEFQPERFLPGGKAQDVSVLGADGRLVPFGSGRRSCPGKSLAMTTVTTWMATLLHEFEWLPASDVAGAVDLSEVLRLSCEMAVPLEVRVRPRREE >Et_2B_019545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:986233:989938:-1 gene:Et_2B_019545 transcript:Et_2B_019545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKESRVPLRDQLPATPSLPPPQPIPQTLDHFAASDANTTSQVPNPHSPLPSQPSRGRAHTHLMKFSVREILGLSIFGGSRRGGGSTSRICGPRDLKNTFLFEVGEDEIRSAVAKIEKFYGMVSAPRRNGFCFGLLDPFTNIVLSSAISESIPASEQRAEGGGGGEQAADMAIRSLSGLIAFLTRLFPYLPDAEAVRYLDSAGWHPIVACCLIVCRRGMCEYDPCSLTALAEFEAALRCAAVAAGHPDPQHFVQVWKRFSPYLDEVYSGTQDVPNMVNCAEKYRDSGMTMEKSWDFAQSRLVNLLVKELPLPRVAMKRMLLATIHGFYLQALGRLPTTEICTQYHRSLLMGGYCYGPLDPTSNIILNTLWYEQRFPPTIKDLAVQMISTECLWRIAARSLYGLVSFLCTRYPALTSDLAMQRLLVARADLRAADPSLALEIGYNAPDLNWSCYQVGCKTGAGELRRGAEELQRRAVDSCTPSSSAAEAYAAAATAAFHPNPSEQKEFLGSQSSMLQAASTVLHQGSVLSDQDILSLFQLFRFPSLIEFDQRPTNKGGHMFMSKCRGRFWGQHDRICTKVNIALATFNKDKEFKYKVHVICGVNELVSGPEFSTDVNVRGYNPWTPSKYYHSHINFLATCANSKPVLFFAECSNHGTDKDWCVPVEPMRPLAEHARCIYCEYEGDRIVHPAMKGFHGGDREFEKVLRGEPLFEGSDEDRYSNNRIITDKQHEIDWVHSVRDDYIYTSSDADTASVSNVDLLEDFRRNIDFA >Et_10A_002175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:361186:363317:-1 gene:Et_10A_002175 transcript:Et_10A_002175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLVSPFPISIPGARIMAANVGESTSGSGSGADAGGSFECNICFELPQEPIVTLCGHLFCWPCLYKWLRIHSHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKSVPGAEIPNRPAGQRPATAPQADPNNHFPNANQNPWFMGGGVPLANARWGNYTFSAAFGGLFPLLSFQVHGFPDATAYGQPAGFPYGYGHGHGHAFHGGHAGHAHAAPRHGPNGQQQQADVYLKALLILFQGWALLVHAMLIAVRAGAEVLVAWSQTTN >Et_7A_050986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13215749:13218499:-1 gene:Et_7A_050986 transcript:Et_7A_050986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEPARKANQLPPLLYLLALALVGTDLPLICFVAEDLAEGGCVYGAYHKDVGAHMGLLKANGLVVGWAFFSFFFSSKISHYEYASSEEISPYKHVDKLVPPTPSPRGGQTHAHHSPGDSNAGGLPKVFGAGHPPTRRVVSNGTPRAWGIEYARKSYFPFWRSVLKQKSPVKVIPAVRVSEEPENSRNKLAGQGSPLIQDLNLSLAGRFKQFSYQHKRTFCSVAFPLLKVLRKA >Et_3A_026849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23665128:23667087:-1 gene:Et_3A_026849 transcript:Et_3A_026849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHKHRTNSKRVDPNHVLFQEEQDKETREERSRPWQNVEGAKGEAIHHPTMRRYAALPPRVIKIRANLMLEPGGH >Et_3B_030324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32247777:32254465:1 gene:Et_3B_030324 transcript:Et_3B_030324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSARLLRKRAPRAAAAAVARRLSDSATSVTRDTSLLHPLPGLDLPPALPDLLGRSPTIITTLPNGVRVASEDVPGPSACIGVFVDSGSIYESGVTRGVSHLLEKLAFKDTAHRSHLQIVQELELAGGNVGASAAREQMVYSYDTLKAYMPEAVEILLDCVMNPLFHHDEVERQLALALEEVQQLQKNPERFLMETLNLVGYSGALANPLIAPEEAFATLNSSIIKKFYHENFTADRVILAASGVEHQELLDFSEHLLSDWHKGSPVEKPKSTYVGGDCRHKAESDMTHVALAFEVPGGWLEERDATVMTVVQTLMGGGGSFSSGGPGKGMHSRLYLRVLNKYHSVHSFSAFSNVYDNTGLFGIYLATPSDFVAKAVDVAISELIAIATPGEVTEVELNRAKNSTISSVLMNLESRVIVAEDIGRQLLTYGCRKPMDYFLQCMDEMTVDAITAFAQTILSSKPTMASWGHVDKVPPYDFVCKRLQYRSSLQMEEMLLSALQIAKTLSDEINYLKGDEAVVAEVEALQVLEAAQARHLQAHDTAQTMSNRAEHHIRLERLE >Et_8B_058992.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1208240:1216501:-1 gene:Et_8B_058992 transcript:Et_8B_058992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHSSTVTSASGNGDMDAHSSTASSALLPPPPANEMKVAAGAEVFEFEFEEGMVFAVTEGNEVAEVLDGGAVRVLESETFFDEDTGTREHLVDVYRERESMLLLVSVREDERCIRALATGGKRDEGGGGAEVFEFEEGMVFAVTEGNEVAEVLDGGAVRVLDSESFLDVDTGTRHHFVDVHRERESMLLLVPVREDQRYIVHILRYW >Et_3A_023201.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:120000:120134:1 gene:Et_3A_023201 transcript:Et_3A_023201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NARVFDNKATPVQQLVQSILDEAEDWIAAGPNNLARLIARARSA >Et_3A_022981.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:11922445:11924216:1 gene:Et_3A_022981 transcript:Et_3A_022981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPVLLAVTLEKVDLKSEGNGLVRGSISPIAALTLEAGLLPFLCLCLSKLLTEKFAGRLFRSSKVLVHICALLLMFLAYGILLLISMENKYYFAVLVPLVPFTLWRCYWSIWNSHDHDAVVYQGCDGKLETSLDFSAAVTTLLFLGLEGLALEGQKTNAQGLERLLTASLGTAFVTCVLGVIIMLLGTVPPLITDNGDSQTMCDVVEVLNVVLAIAIAVIVFLITFAPLGEVAWLLFLPPLLSFIVWMYKALDDDTDNMVHAPEEEGFKPASLELTKVTFTGFLAVSVPTFSKSSVSNYTHGFILLTAAAVVSGLGWRLLTHRMAPSRARLAATNVASLCAHLSVAAAVIPFATMAVRSVN >Et_2A_016652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2731676:2737973:1 gene:Et_2A_016652 transcript:Et_2A_016652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPDGHHVRLRSRVHGKYLHADEDGLGVSLRSLRGSPNAAWQVHVVVREGGSCVLLHGAAYGRYLAATPDAGPPGHLGHRVVQGVYDDREDDAIVWRAVGTGEGNYVFLRHISYRLLRANGRYQVWHNGVSVDEFDNESTMMHWSVEAIPPYPVLPQLPAPTQVSSPAIPPPSLEVLLPSGGFMGLFRRRTQPIPQDHRTIRYVRADAFGSFNDQGWQTFQFHGRSVFTLRQELSVLVGEAAFFYNIMVCAKAGRYARVTPLITDLPRSDQPMDIVVLTAGSPVRVVDTNHTKLPKSSAAAFAAAPMETAEPSRVQALADAGVPHLPAQYVQPPEHRPAPSSSSARAAALSVPVVDLSSASAAEAVRAACADWGAFHVVGHGVPRELLDAMRDAGLAFFRAPMEDKLRFACDPTRGAAAEGYGSRMLANDDSVLDWRDYFDHHTLPESRRNPSHWPDFVPGYRDTVVKYSNSMKNLAQRLLQIISESLNLPPSYIEEAVGEVYQNITISYYSPCPQPDLALGLQSHSDMGAITLLIQDNVGGLEVMKDGMWIHVPSLPDGILVILADQTEIITNGIYKSSVHRATVNAERARLSVATFYDPSKARKICTAPLLVSKDEPQKYRDVVYGDYVSSWYTKGPEGKRNIDALLIQQ >Et_9A_061836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1684403:1684934:-1 gene:Et_9A_061836 transcript:Et_9A_061836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKVTFELEIFQSGKVVNAGEIRTDPLVKTILVMAEQSTIRILRFGNSSFLKLTSQDMFKSTRQRIFRFLASICRLTCSNNVPRRVSLAICLALSDDDSNLPQRLLSNSSSSNFKSPSTPEIHPMLVKDKTIFLSSHAAPFRNKISSKFDPTTRRYLRI >Et_4A_032204.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:23826387:23826944:-1 gene:Et_4A_032204 transcript:Et_4A_032204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRLLLLLAAAAVAATAAAGAASPNSAANDILPKYGLPKGLIPDSVASYTFDETTGRFEIHLARTCYVHFGSHLVYYEKTITGTISYGAISSLSGVQAKKLFLWVYVTGMVAHPDQGTIEFQAGFVSESLSASMFDEVPACGSGVGAQLRGAAGVIGELGLLPVEEVWLQKIAPDVASVDLHE >Et_4A_033997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2949125:2951239:1 gene:Et_4A_033997 transcript:Et_4A_033997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATGGRRRGAALVALLAVAAVTARVADANDFFSPFAPMLSPIINSICKTVACGKGNCTVEQGTVLGYRCECDPGWTQMHVGDSLRFLPCVVPNCTIDRACSNDSSAPAPAPLPAPKNFSLDDPCQFAYCGTGGTCRKGSGLSYHCDCKEGFGNLLNITSMPCFQNCSIGADCVKIGILPSSNSSNSPAPPGSESISNNVNAAVQGSISQKILPALLLLVSLSVCQAI >Et_4B_037027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13778238:13794772:1 gene:Et_4B_037027 transcript:Et_4B_037027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPADDIPATRWCAVTGGRGFMARHLVAALLRSGEWRVRVTDLAPDVVLGRGETEELLANGLRDGRAVYASADVCNLDQLAEAFEGVDVVFHTAAVDSGKNDMQLHYKVNIQGTKNVIDACNICKVKRLIYTSTSCVVFDGAHGLNNIDESMPYPDKFSDAYTKTKAEAEKLVMKANGLSELLTCCIRPGSIFGPGDVVVPHADRYGRMLIIVGDGKNFDDFVYVENVVHAHLCAEKTLSTQEGAKTSGGKAYFVTNREPMNFWDFLCMIIEELGYKRPFKIRIPSFVIIPAIYVIEWGYKAMYRFGMSQPEMLTPTRIKYVMHNRTFSCNRAVEELGYQPIVTLKAAAAGGGGRWCVVTGGRGFAARHLVTMLLRSGEWRVRVADLPPAIKLDRDEEEGILGAALREGGAAYASADLRDKAQVARAFEGAEVVFHMAAPDSSINNFQLHYSVNVEGTKNVIDACIRCKVKRLIYTSSPSVVFDGVHGIFNADESMPYPDKFNDSYSETKADAEKLVMRANGREGLLTCCIRPSSIFGPGDKLLVPSLVAAARAGKSKYIIGDGNNYYDFTYVENVAYGHVCAEKTLSSEDGAKVAAGKTYFITNMEPIKFWEFMSLILEGLGYERPSIKIPVAVMMPVAHVVEWTYKKFAQYGMKVPQLTPSRISLLSCNRTFSSSRAKDQLCYEPIVSLKDGLKRTIESYPHLHAQNERSLSKASIFLGNGNLAKTLLWEDKKQTVTVLLLLAVIYYHLFTCGYTFITAMAKLFSLTALFLFIHGIIPSNLFGHKIQKLEASNFHITQVEAHHMAHSVSSSWNSLAGALRSLCRGNDWALFSKVVFFLLVVSILSSMYSQTAFKIGFKAYEKWEDTIDSLVGDACSIILHFGSTKKSGQKQ >Et_9B_064704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17897084:17919930:1 gene:Et_9B_064704 transcript:Et_9B_064704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETSPPQSPGRAARPRLIIKEMVLHNFKSYAGEQRIGPFHKSFSAVVGPNGSGKSNVMDAMLFVLGKHAKQMRLNKFSELIHNSPNHQNPDNAGVSVHFQEVIDLDDGNYRTVKGSDFVISRVAFRDNTSTYFINDRGSNLTEVTKLLKGKGIDLDSNRFLILQMLKLAEKERDNIESAKNEAETYMLKELSLLKWQEKATKLASEVATSRVEQLHENVANIEVDLVSKREKIQQSSLTMKEIESIYNKHIKRQEDMSRIDKATKEMEESSNIIPQLEGKIPVLQELLGEQEKVLQRIKETSQEETVRLRAELTQVRTELEPWENQIIEHKGMLDVTSAEKELMQQKHDGARAELTDAQNQIEAIKEKIKTKESYILELQEKIKIHQSESCEARKAEQESLKQEELLVPMVQEARQKLIEIKSTRNSEKNQTSSLKAILQAKESNEVQGIYGRLGDLGAIDAKYDVAISTACPGLDYILVETVNSAQSCIELLRRRNHGEDTTCLILDKQIDLHEIKDKVETPEGVPRLFDLASRIAYGAAKEFSRVVTLDGELFEASGTMSGGGNKPKCGRMGTSIRESVSEEAIRNADNDLNKLVVKLNGLRAKANDAKKCYLSLEEAISRLKMELAKARKEVESMNTQYCYNKNRLYSLEAASERNADEICRWKELDGIISSEQIELNKLAKQLQQKLEDARGQVLKNQEIKVAKIQSELDKTSSEINRYRVHVTTCERVVKKLTKSIEESKKEKEELLAEKEKTVLIVKEIEKKAIVFQEDYMKAQEMMEKHIDELEKTKEEYNELKKAMDELRASEVDAEYKLQDTNRLAKEGEMKVKAFRKRLDDIQTNFVKHMDQIQKDAIDPEKFKVTLGGDQINDECDMKTAMEMVALLEAQLKDLSPNLDSIAELDEFMAGFNIISLKLKEMYQIITLGGDAELELVDSYSPVRPPRKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDVALDFRNVSIVGHYLKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITIDPGSFAELKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSSNHQNLESAGVSVHFQEIIDLDDGNYRAVEGSDFIISRVAFRDNTSKYYINDRGSNFTEVTKLLKGKGVDLDNNRFLILQGEVEQISLMKPKSQGPHDEGFLEYLEDIIGTNQYVEKIEEAYKQLEVLNEKRTASVQMLKLAEKERDSLESAKNEAETYMLKELSVLKWREKATKLASDDATSRVAQLQENVTDLEKNLASEREKIQQSSQTLKEMESIYNKHVKRQEDLENKMKTCKDQFKEFERKDVKYREDLKHLKQKIKKLEEKSEKDKSKIDELTKEMEESSNLIPQLEGAIPKLQELFNEEEKVLEQIKETSRDETERLRAKLTKVRTELEPWEKQIIEHKGKLDVASAEKELMTQKHNGAQAELTDAQKQMENIKEKITTKNSCIVDLQEQIEKHQSDASEARKVEQECQKQEELLIPQEQAARQKLVEIKSTRDSEKNQNASLRAILQAKESNEIAGIYGRLGDLGAIDAKYDVAISTTCGALDWIVVETTNAAQACIELLRRKNAGVATFLIMEKQTHLLHKIREKVRTPEGVPRLFDLVQVKDERMKLAFFHALGNTVVANNLDQASRIAYGAANEFRRVVTLDGELFERSGTMSGGGSKPRGGRMGTTIRESISEDAIKSAENNLNKLAGDLNKLREQMNDAKKRYRSSEDAKSRLEMELAKVRKEVESMNAQYSYNEKRLDSLKAASQPKADEASELQQQIENAGGQALKDQKIKVANIQSELDKTSSEINRHKVQITSSEKKVKRLTKGIEDSIKEKEELLAEKENMMSIFKEIEKKAFVVQEDYKKTQEMMDNHKDELDKTKEEYNKLKKAMDELRASEVDAEYKLQDTIKLAKEWEMKVKAFRKRLDDIQTNLVKHMDQIQKDAIDPEKLKVTLGDEQLHDTCDMKSAMEMVALLEAQLKDLSPNLDSIAEYRTKARLYGERVDELNSTTQERDDLKRLLDEFMAGFNIISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITMNPGSFADSIDKRPTKITWG >Et_6A_047686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9731591:9737369:1 gene:Et_6A_047686 transcript:Et_6A_047686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTGDKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDVVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKIRNEKARRYLSSMRRKRPVSFSQKFPNADPLALRLLERMLAFDPKDRPSAEEALADPHFKNIGNVDREPSAQPVTKLEFEFERRRITKEDIRELIYREILEYHPNMLREFLEGTEPTGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPGRQHNSLPRPCVLYSDNRIQSTANVTENLSKCVIRDDERNVQQGGAMRPGKVVDSAMRYGNYAAGQYEQRRTARNSTIIPNGVSPRSSYPRRVPTCKSETSEAETIEANPARQPKAYITSKLPTTVDGRSDNKTEMNDALTPAQHKSAAKIC >Et_9A_063004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:826371:827139:-1 gene:Et_9A_063004 transcript:Et_9A_063004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRRQAKRESKILPLTIPRLNHTDSTSRYTEDRNTITGCTTRYCQSECLLLGGLGGDLLGRLGAGLLRLLRPLGGLLGEQHGVDVGQHATVGDGDARQQPAELLVVADGEEDVARDDPVLLVVAGGVAGELEDLGGEVLEDGGEVDGGARAHALRVPALLEVPPDAADGELEPRLHGPRHRLLLRPAAQLPTRRRLLHLAAAVHLSLSLSPRLVGSVWDWKCLRAKTGEPK >Et_2A_017051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30515135:30522629:1 gene:Et_2A_017051 transcript:Et_2A_017051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDPRGTDAAGRRTPSEPCRTPSEETRCLSANLVVATSPSSPRCSGKTPRANMAMLSRPSYSPFPCRGRRSRRVLHLRAVILSLCAESKTAKHLIHTAFIMDESSPLKEDSSRPPSSKMPTMNPAGRHLLAEKELLAYNAGESPAFEVVTVPCSVVAGDTLQGQTTVAHECVLAPVTRNERHFGALKMLQRLMGSVPVAHVDEVCDALVFCMERPSIAGRFICAADYPTINEIIDHFAAEHPHLDLLKETEALPTVQAHSNKLGELGFRYKYGGDTGWHHPVCSEMEEMNSSNGARVCVTGGAGFIGSWLVKKILERGYTVHATLRNTGDEAKAGLLRELVPGAAESGRLVLFEADLYDAATFAPAIAGCQFVFLVATPFLHDATSTKYKSTVEAALDAARVILRLCAESKTVKRVIHTGSMAASSPLKENSSGFKDAIDESCWTPLDVGYPHRNEHFHEYTMSKLLSEKELLAYNESEIPAFEVVTVPCSVVAGDTLQDHTTVAHECVLAPVTRNERHFGALRMLQRLMGSVPVAHVDDVCDALVFCMERPSMAGRFLCAAAYPTIYEIIDHFATKYPHLNLLKETEVLPTVQAHSNKLGDLGFRYKYGMEEILDGSMQCAVRVAMAVEGKSNGVRVCVTGGAGFIGSWLVKKLLERGYTVHATLRNTGDEEKAGLLRRLVPGAAERLHLFEADLFDAATFAPAIAGCRFVFLIATPYGLESASSKYKNTAEAAVDAVRVILRQCEESKTVKRVIHTASISTASPLKEVSGAGYKDFISESCWTSLGVDYPLRSAHFDKYILSKLQSEQELLRYNDGEHPAFEVVTLPLSLVAGDTVLGHAPETLESAVSPVSRNEFGFGFLRLLQRLLGSMPLVHADDVCDALVFCMERPSIAGRFLCAAAYPTIHDVVDHYAKKFPHLDVLKETEAVARVQPDRNKLGELGFRYKYGMEDILDSSVACAVRLGCLDAAKLSMQDG >Et_10A_002200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4094844:4096559:-1 gene:Et_10A_002200 transcript:Et_10A_002200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVICVALSVSGSIITGELIGWNLWLISYTFMPPPYMHIFVRTPTGRTTRLRVQPSDTLYTIKEKILEQHQLVFDGVELEDDLTLADYDIQHEATLDLQEKMQIYVVETLTRRTITLEVDSSDTIDNVKGKIKGMEGFPKSDQCLIFENKQLDDNLTLAEHNISKESTVLLVLFPFPRGTMRIFVKTLLGATVPLEVESSNTIDHIKMKIYEKEGSRPIQQRLIFAGKQLEDRRTLADYNITKDCTIHLVLCLCGC >Et_5A_040778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12300256:12301977:1 gene:Et_5A_040778 transcript:Et_5A_040778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYSSLALLASLIAAVVGSGGSRSTSIATTKYNAMFGFGDSITETGNICLGSNSTELDALTCTPQPYGMTYFGKPSCRWCNGHVVIDFTAQALGLPLLPPIKSQGKYFRRGANMAITGGTAMNFFYKSLGVEDPIWHAKHTNPVLFQLFIKLILCLMLDCKEFLKKSLFQFGGFGGNDYNVHLLELALTVQQGMKNTPMIINAIVNGVERLIALGARQIVVPGIHPTGCLPLFLSLFASSSNEADLDQYGCMRSYNRVTTTQCSRNRNSPTEAQIIEDHKWSARKNITVACNLCLGAGFSNPFETCCGAGGGKYNFDITIQLCPAV >Et_3A_024536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21785840:21789513:1 gene:Et_3A_024536 transcript:Et_3A_024536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSSTTSLAIGRKTLNPSRAPAAASSVSFPSAQAPCLQAASAGRRRAVDAKVSSPSVIGTAMPSLDFETSVFKKEKVSLAGHEEYIVRGGRDLFPLLPEAFKGIKQIGVLGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSKSFEEARAAGFTEESGTLGDIWETVSSSDLLLLLISDAAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSLGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINASFAVHQDVDGRATDVALGWSVALGSPFTFATTLEMEYRSDIFGERGILLGAVHGMVEALFRRYTEQGMDEESAYKNTVESITGIISKTISKKGMLAVYNSFTEEGKKQFIEAYSAAYYPCMEILYECYEDVSSGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGERVRSTRPQGDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESLIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDQKAPINQDLVSNFMSDPVHGAIEVCAQLRPTVDISVPADADFVRPELRQSS >Et_10A_000830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1870130:1871172:1 gene:Et_10A_000830 transcript:Et_10A_000830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGNNLFGAANSSVETVTRPSQCDAISQQIRTFIQMRTNLKVVDNSGAKRVMCIQSLRGKKGARLGDTIIGSVKEAQPRGKVKKGDVVYGVVVRAAMKRGRNDGSEIQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >Et_9B_065100.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21191887:21194446:1 gene:Et_9B_065100 transcript:Et_9B_065100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMESEEGEQLRTRVTKHREAAAMAWKDGGSSRAAFSRFLSDAVNVNPGPHFVPMIQLADVLLEAGYAVTVALIDPSLKTDTRSSIHFPLCKSQPGR >Et_5B_044299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22389304:22395652:1 gene:Et_5B_044299 transcript:Et_5B_044299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPLGQHRLVTMTLLLSVIFWFQLATAAGGNWPHYWTLGCRDKCGDIDIPFPFGMQREGCFAPGFEVVCNDTFDPPHAFLASSASGVIAGDWLPDFTRLAGSIGGVFQATANFYYSTTEANMQSNLINYTASAVELMDVNLTAGTARVYAAVSSDCSRNETYHELREQSIQLPTESPFLFSSEGNDPVGVGRSVIPVLSGSLSALADYQARCQSFLSSPSSAEDGKCETLGCCRQNMPRGINSTSVRFIHENKSERETYPCSTRHAGGEVMVQLLYDGPARLQGLVPERGVPVVLDLAIRDSGSCPPEGQPPPPQCQENRCINATNGPGYFCDCQEHFAGNPYVFGGCQGAYVVQLICALQYIYPLRNRNNNMMSRCTKGYIHVYLRCFFADIDECALREQNPQYRDRYPCDGICKNRIGSGYDCLCKRGMKGDAKKGTCTDIFPTFAKGVAQSVVFLSWQLYCSLFYFAERNINERNGGPLLENVHNIKLFKKEELDPILKKWKIHWKSEVFVGFLSDQKAVALKKPINVNMTHKEQFANEIIIQSRVIHKNIVRLIGCCLEVDIPLLVYEFVSKGSLHDILHGSGRMPLNLDVRLNIAAESAEALAYMHSKTTTAILHGDVKPANILLDDNFTPKISDFGISRLLGTARHTNYVIGDRSYMDPIYLQTGLLTKKSDSFGIVLLEIITRKKSTYADKNSLVMNFLDANIGQNVATELFDMEIVETGNIELLDNLARLAVECLNLDVDRRPDMTDVAERLLMMKRSRTKNLANKVAIDVSHIGQTPRLVGGLMEGWVE >Et_9B_065228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2639181:2644808:1 gene:Et_9B_065228 transcript:Et_9B_065228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVRKPVKLYGWAISPFVSRALLALEEAGADYEHVPMSRAAGDHRRPEHLARNPFGQVPVLEDGDLTLFESRAIARHVLRKHKPELLGGGDDLSRSAMVDVWLEVEAHQFSPPATAVVVECVFAPLLGRARDQAAVDDNLAKLRRVLAVYEARLAAGHRYLAGGDGVSLADLSHFTVMHYLVGTEYGPELLAEVPRVKAWWEELAARPAAKKKSAGSAAVDAWLEVEAHQFLPPVSHVVRQCVILPMIGGARDQRVVDENLAKLRAVLDVYDARLGQQAYLADLAHIGFTAMLMGTEYKALVEERENVRAWWERLMARPAVAKIVAEVFRPTPSLPSSG >Et_4A_035941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8994105:8997158:1 gene:Et_4A_035941 transcript:Et_4A_035941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFPVPPVVFTPSAPTHRRPPPPGAGSSPPPAFAPPRPSTSSAANPLPFMSFDVNAAAAASSAPPLYAGPMGVGSGTSFEDEPPLLEELGINTRQIWRKTISILHPLRSTDPSLHADADLSGPFLFLLSFGLFQLLAGKFHFGIVLGWVTVASLFLYFVYSMLSGGRRGDLDLYRCVSLVGYCMLPMVIFSAVSLFLPRGGGLMFGVGMGFVLWSTRVCTKLLAELASSGDEHRGLIAYACWLVYMLFSLLVDSIGLFVNNIVISTAIVCQ >Et_1A_008824.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14950703:14951443:1 gene:Et_1A_008824 transcript:Et_1A_008824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIGRINHMNLVRTWGFCSEGRHKLLVYEFVDNQSLDHHLFGEDDRPPLPWRERFRLALGTARGLAYLHHECLEWVVHCDVKPENILITRDFDAKIADFGLAKLSARRDGAGVQLSHMRGTAGYMAPEWALNLPINAKVDVYSYGVVLLEMVIGSRVSDQATEDGEPMEVAQVAQALRHVVATGDVAPLVDGRLQGCFNPRQALEMVRISLACLEERSSSRATMDDIARALTAYDDEDEHPAYRS >Et_4A_032194.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22970379:22970426:-1 gene:Et_4A_032194 transcript:Et_4A_032194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLRRIAQVAGVI >Et_2A_017023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30189665:30198223:1 gene:Et_2A_017023 transcript:Et_2A_017023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSAIKHTFSSLLKQKPPKTSPTKPRGAMAVSMRDIDPIFQGAGQKDGLEIWRMEKLQVVPVPKESYGKFFTGDSYIILKTTALKNGSFRHDIHYWLGKDTSQDEAGTAAIKTVELDVALGGCAVQYREVQGNETEKFLSYFKPCIIPVEGGVASGFRHAEVNEREHVTRLFVCRGKHTVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDTNHDGKCEVAAVEDGKMMADADAGEFWGLFGGFAPLPRKTFSELNGKDSAFTSNLNKGQAVPIDGVLTRELLDSTKCYLLDCGSEIYVWMGRETTIEERKRAGSAAEELLNEGNRPKSHIIRLMEGFETVIFRSKFDKWPKKAEAVVSDESRGKVAALLKRQGFNFKGPAKAAPVKQQPQPQIDCTGNLQVWRVNGSEKTFLSFSEQCKFYSGDCYIFQYTYPGDDGDECLIGTWFGKKSVEEERSAATSLADKMIESLKFQAVLVRVYEGKEPIEFFPIFQNLVIYKGGTSTGYKKFVSENGIEDDTYSESGVALFRVQGSGPENMQAIQVDTAASSLNSSYCYILHDGDTVFTWIGNLSSSMDQELAERQLDVIKPNLQSRLLKEGSEYDQFWKLLGVKSEYPSQKIVRGQESDPHLFSCTFSKGVLKVREIFNFSQDDLMTEDIFILDCHFCIFVWVGKHVDTKIRAQALSIGEKFVELDILMENLSQETPLYIITEGSEPQYFTRFFTWDSAKSAMHGNSFERKLSIVRDGVKPRTDKPKRRPITSTHTGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNTRNLSTPPPAVRKQAPRSVSPDPSKAPPRAASIAAISASFERPKPTLIPKSIKANPDANKPQTEAIKPKLETNGRESSPAKDSQIATAMAQEDVKEGPEGEQGLPVYPYDRLRTSSTNPASDIDVTRREAYLSTAEFRDRFGMTKEAFAKLPKWKQNRLKIALQLF >Et_4B_038315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28050328:28051876:1 gene:Et_4B_038315 transcript:Et_4B_038315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADAKAPLLDGRRGATPAQTLGNIVVSIVGTGVLGLPYAFRTAGWLAGALGVAAAGAATFYCMLLLLECRDKLREQETEEEDNGHQHRDGNYTYGDLGEKCFGPMGRHFTEATIILCQTGGTVAYLVFIGQNVSSVFAAESWLTPATVVLAFLLPVQAALSFVRSLSSLAASSILADACTVLAVAAVVKEDAELLAARGVAGRTALAGLWGVPFAFGFAVFCFEGFCMTLALEASMADRRKFRSVLFQALAGVTVVYVGFGVCGYLAFGDATMDIITLNLPRNWSTAAVKVSQDRISFFTDHSFLMILATAQANMMSCFEFNDRFRQVVLCVALALTFPVMMHPIHEIVEARLFAPGGWMRKHGGVLERAALHASRVAVLVTLSAIACFVPAFGAFASFVGSTVCAMLSFVLPALFHLRVVGGDAGACRRAVDWGFLLFGLVFAAHGLYTAVFG >Et_8B_058866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10212010:10221582:1 gene:Et_8B_058866 transcript:Et_8B_058866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLEPTVANQFHIVLLITFSTVVAGLSRSNISEDTDLEALLAFKAQLSDPLGIELLGILRGNWTAGTSFCHWVGISCGRRKKRVTAVELPNFPLHGFISPHLGNLSFLSVLNLKNNNLSGSIPDELGKLNRLKVLNLGSNGLSGAIPATVGNLTGLRVLALYFNHLSGPLPVQLQRLHNLGTINLNTNHLDGSIPINLFNHTPFLTHLNMGSNSLSGPIPDCIGSLPALEFIILQKNNLTGSVPPAIFNMSTLYVIALTENNGLTGPIPGNKSFNLPVLRIMYIGENSFTGQVPQGLAACRYLQGLDLGSNLFHDVVPKWLGKFTHLESLFLGGNYFAGPIPSALGNLTSLGSLDLSSCNLTGDIPSQLGQLGKLGHLQLSSNQLTGFIPASLGNMSGLGHLILNSNQFVGSVPAEICNMKALVIPDISENRFQGDLGFLAGLSNSRNLTTIVLRSNNFTGSIPDSVGNMSNKLQRFYAYQNKISGLLPPTISNLTGLLTLSIYENQLHGAIPESIVMLENLQSLDLHENNFSGPIPSRISSLKNLEELILNNNKLSGSIPMGIGNLTNLAYLILYDNQLTSTIPPSLFHLDGLIQLALFQNILYGELPVDIGNLKQINQLDLSANNLIGSLPDSISQLQMMAYMNMSHNSFSDSIPNSFGTLRSLQTLDLSYNDLTGTIPNFFANFTYLTSLNMSFNKLQGQIPEGGVFANINIQSLEGNLRLCGALHLGFPPCRSKSHKTSGYMLKFLLPGLIVIVGSLAFCIYAIIRRARMQQGMTVSFGAVGITSHQLVSYHELARATNNFSDANLIGSGSFGKVFKGQISNGLVVAIKVIDMQMQQAIKSFDAECQVLRMVRHRNLIRILNTCSNMDFRALVLQYMPNGSLETLLHFSEGISHLSFLKRIDIMLDVSLAMEYLHYEHGEVILHCDLKPNNVLFDGDMTAHVSDFGIAKLLADDSFVISASMPGTLGYMAPEYGSTGKASRKSDVFSYGIMLLEVFTSRRPTDGLFAGGLDLRQWVHQAFPTELAAVVDSQLLQEPSSNCSLNNFLVPVFNLGLVCSCDRAEERMTMREVVLRLEKIKRDYTELTADKSNASQ >Et_3A_024213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18401756:18404598:-1 gene:Et_3A_024213 transcript:Et_3A_024213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDCSEEAVRPDRFFDPKIRDAWNARHVRYFGQFEDTTKIPKKPRRKLVAEEERAHFRVETKPSDNMGGFAKFVAKVEGESGISKMVEEGSSEEELEPDHIFAAQASSFRDTWNMMYSRYFGQFEDTTKIPNMRFTYNKPKPRQSPVPTATLQIFSLKIAKIWGGLQWPLHVFGMVAVRDAVDHNRNMIFNSPRESCQILTQEDPYLKLTGPTRAVVLVDPVTFEVDLQVKGVTQEEDKSLSFLADYASKLSTVQFELGSIVFSVEATISLRVRPGSGSWPDDFRARISARTASIGKAEVTLLDSGDDSRVHVSRNGRIKLSRCVVAVEIMGALEVRVKGGRGEEILVDKKTSFKAMKDSESHGTLRLACCTLDVTVAWSLVST >Et_2A_015415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12189059:12194136:1 gene:Et_2A_015415 transcript:Et_2A_015415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSCAEKSEQQKERNSKQPVMLVWWGLVGYAEAERLERGLVWAMGNGRWVTNNGKCGPESSCSPRELIKATKEMKYMKLGTKPDTFYTEEAIRSVVSDVPADLIIHVNNTKYQLHKFPLLLKCGLLQRLCSDADDQDEESDPVPVALHDIPGGEDAFELCAKFCYGISISISASNLVPALLAARHLRMSEAVARGNLAAKLESFFDSCVLRGWKDCVAALRAAWRVSGWSESRVVQPCVDAIVEKILTPPAQVRWSYTYSRPGYDEEGRRRRGAAHHQQQPAAPRDWWTEDVSELDVEVFRSIVSTVRASGTIPPQLVGEALHVYACKHLVDPLRAPSGADAAYANGDQKAKSSAASAAGGEEETVAKQRRVLESIVTMIPGEPGSVTGRFLLRLLRAANFVGASPSTRAQLVWQAGAQLDEAAAVDLLVPAPGDARAYDLGAAEAVLEHFLAQFQRPDERRRRTMGAAAMEKVARTWDDYLRTVAALDAGLPVSKFVDLAECLPDIARGDHDGLYRAIDTYLREHPELSKADKKRLCRLIDCRKLSPEARAQAISNDRMPLRTIVQLLFVEQERTMAAAGAGARAKEEDQPPAAAAPSSADHRSDAHRPRRDRGLVADGGAAAMTRSLSVSTKAEPATPSTARRKERTPEERGSRTRNKQ >Et_5B_044986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:811654:813169:-1 gene:Et_5B_044986 transcript:Et_5B_044986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLLTARARKLFSEVAFERTSELPLTAISQNIMVPSASTMAAPCWQLVVFAVVVPWLMMSFVLEAAAASGTSPWPSGGGDEYPNCLSWRVMVEANNAKGWRTVPPPCVGYVWAYTAWGQYHRDVGGVADQAAAYAAEIAPDGRDAWVFDVDDTCLSNLLYYQAKQFGAYDPVAFKAWASRGICPGIPAIRQLFWTLKGRGFRVFLVTGRDEETLGATTAANLAAAGFSGYDRLIMRGAGHRGQSAVAFKSAVRRQLVEEEGYRIRGNVGDQWSDLQGGVAGDRVFKVPNPMYFVP >Et_1A_006865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28408633:28410531:1 gene:Et_1A_006865 transcript:Et_1A_006865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CIAGTVYQWLVLFAVWLCSSQHVLSQKTPLEPKDKFLLSDPPIGLFDPIDISPSVLPRNANPVEPLSPMYPNYTSYDPVLTGRCHVNFSTLSDIMDKTASDCSIPLAPLVADVICCPQVNSLMHIFQAAYGRGNDTLVLNQASANACFSDVMNILASKGANTNIPELCTLRPSNLTDASCPVKDISSFEKIVNVSKLLDACSSVDPLKECCRPVCQPAIAEAAIHISSGGANMFGSSSIAGTAAGIDVVSDCKGVVHSWLSTKLPSEEVNSAFRVLSGCKVNKVCPLEFDEPSSVVKACGKASSSTSTCCAALHSYIGARQKQIFVTNLQAINCATMFGSMLQKAGVVDDIYELCDIDLKDFSLQGCLLRSLPTDISFDNTTGISFTCDLSDNIAAPWPSSSSVQSLSLCAPEMSLPALPVSPTSGSSVGTSRTGIGVLLPLVFLTATISF >Et_1B_011887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26900309:26902639:-1 gene:Et_1B_011887 transcript:Et_1B_011887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPHPHASAAGSDELELALSRCRALQDRLAASPDLPRQPALRSLLRLVAAELRFLSSFHPAPPPAAPLSSNLPHLAALHLLLGHPAVQSPSRLAPLPGVDFACAFRGRPAWALISASNPARLSWVPSGGLQARVAAVLDAARGAPPATRPEKLLLVLSRGVGADIARGLAEVFGAVESDLLVEFIGELEDEEDGWVHLGFHTSEEMRSFRAFEIDVVEGDGEMLPPPEAAVTEGLDDDGVPFPLEDGFGAFVGKMNMESSELVNLDTTALIAAVSGISNGGVGKLMAAPEAETRARFKCNYRFVMDQAESELQSPILIELGKVVDGKRCIICETVNLEFKEIVSMCGGPEEKTRAKLLLKQLIIVLDSPSARMMDLPTTRKLAMKNKIVFGTGDHWRAPTLTANVGFIRAVSQSGMPLLSIEHRPRALIGL >Et_2A_018386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25871722:25888516:-1 gene:Et_2A_018386 transcript:Et_2A_018386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKERQAQTSQGQAPLETKPPRNTSLNVSTGPGRQKWRQSTLSFSGTPLANGSGFMYAPGWPSGRIAASVVTSSTSILAAAEACRFSLAALASS >Et_1B_011147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18036835:18039868:1 gene:Et_1B_011147 transcript:Et_1B_011147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRANTTVVRLALLLLVCLSCLLPRSEGRRRLAASPPGPPIRAVNLGGWLLTEGWILPSLFYGIPNNDTLDGTQLQFKSVTQNTYLAADQGGGSTIVADRTQASSWETFRLWRINATTYNFRVFGGQFWGISSTGAVVATATTPGPSETFQIVRRDGDPTRMRIRAPNGLFLQAKTKQSLTADHGVDTDWSDSDPSVFETTTAGRLQGEYQICNGYGITDATKVLQDHWDTYIVEDDFSFIASSGLNAVRIPVGWWIASDPNPPLPFVGGSLKALDKAFDWADKYNLGVIVDLHAAPGSQNPWEHSGSRDGTQSWGTSDANIAQTVQIIEFLASRYASRTSLLAVELLNEPLAPGATLSSLKKYYQDGYNAVRRHTSTAYVIMSNRLGTDDPTELLQFAGGFSGSVLDVHYYNLFTSTFNNLTVQQNIDYVKNNRSSDLAAVTTQNGLPLTFVGEWVAEWNVPGASKADYQKFAQVQEDVYGRATFGWAYWTLKNVNQAWSMEWMIKNGVISLKS >Et_1B_011981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27703931:27716371:1 gene:Et_1B_011981 transcript:Et_1B_011981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPVGSSGSMSHDLKLGDVWESTTYFAWTSYSDYSLCRLALPLGLQALVKVVIQNTVSSIRSILYQHQRGCYLTAVAAFDLIFLLPLPAMEGVAEEERSVLSEVKKQLRLAGPLAVGCLLQKIILTISLMFVGHRGELALAGASLATSFAGATGFYLMTGMACSLDTLCGQAFGAAHHHLVGVYKQRAMLVLALVSVPVAVVWAFTGEILVWFRQDPEIAAAAGSYIRRMIPALLLFGQLQCHVLFLQAQNLVAPVVLSSGVTAAVHVAVCWLLVRRLGLGANGAALAIAVSYFFYLSLLALYARAVLQGHMNGVLRGGLPRDPRIRQARRAIRPHDLPFPPGSLPSALALQLGVLGLRDPRGLFGCCLAAACARQSHQGLLPNPKLETAVLSICFNTYALAFMVSLGLGYAVSIRVSNELGAGRPKATRLAARVVMLLALSLSFSVGLVLVLARNRLGYVYTNVEEVALYSAKTMPILALCFLFDSMQCSLSGVVRGCGRQYIGAFINLAAYYLVGVPAASIVAFVCHLKGRGLWLGILCGIAVQALLLLCMTLCTNWNKQESKAKDRVLPSTPPTDTKTTGCTQQGNERGSVENYTQVTTELTRNSADPNEVQEMQENEEPQLFPDSLTLRVIKGSPEKIVCAVDIMLFAIGSTAREARSTSSSVNWKPLPFSI >Et_3B_030306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32163760:32164335:1 gene:Et_3B_030306 transcript:Et_3B_030306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHRHHLFHHQKEDEEVVAAEAVVVQETTYGGDASYTETTTVVAAAADNEEEEYRKHKKEEKEHKHKEYMGELGAIAAGAFAMHEKHQAKKDPEHAHRHKLEARIAEAAVAAAGGYVLHEHHEKEEAEDEAKEHKKHHHLF >Et_2B_019022.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24908482:24909216:1 gene:Et_2B_019022 transcript:Et_2B_019022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EVWFFATVREIKEAVHRHEGIPVASQRLFLDGRELDDDARDAEHYSILQGSRVLLLLPGDGGGPSSSAAVRVAVSAPAIGRSVALDMRASDTVARLKELLQDRTDGALPAARTAVFFDKAEMEDGKTLAEYDPPVDGMDVCVVVRQPPGGGKNHQQQQQQRMAVKVKCGARAVALEVGAMDAVRDLRREVERVAPHLHLPQRDSGGYFFVYKQNVMEEERTLRWHEVKNGDTIEIFNGSVTGGA >Et_10A_000655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14713218:14731472:1 gene:Et_10A_000655 transcript:Et_10A_000655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAAKMAFFPPTPPSYALVEDAAAGVTTLSGQPHRENVEVLRLRTRRGNTLAAVYVRHPDAASTVLYSHGNAADLGHLYQLFIHLSLNLRVNVLGYDYSGYGQSSGKPSEHNTYADIEAAYKCLIENFGANEEEIILYGQSVGSGPTVDLASRLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPQVTCPVLIIHGTSDEVVDWSHGKQLWELCKEKYEPLWLRGGKHCDLELFPEYLKHLKKFVHTVEKPPSLRQAWRESLDQIEPSRKSMDFFEPSRKSTDRREKSRSTRDRTRTIEHRYSNVEKMDKLKISFDQFEKSRRSVDIFERPKRNIEQLDCGRKSVDRLDRIWAAQLDKLH >Et_4B_039121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7998684:8009929:1 gene:Et_4B_039121 transcript:Et_4B_039121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPRHHIPSSVLVNIRAGGVTPPNATTAWSKTSTGLPISATFHAARPPAFSFFSVYCPGIPRPGKEPVDLLLKPTFVSEDADLVVLATCGPGWKTSTATTSVNPERPKLDLLPNPFPAALEDGEIAVLSCGAEEYVVAALQIMSESEDSKPTFRLRLCRSISNGEPGTWTTQELPLEEPMRDKVCPIHDTAARQLYHRTTKVITLGDDHGTIGWVDLWRGIIFCDVLSERPMLRDLPLPLPAEGNLSYFLHYFPSYFRDITVNQRKDTIKYVEMEITPPQEMFLVPPGSDPDDFTPYQGSFGSLGLIPAFHAKRTRPQRKPHSIDPGTWTATTWTMPIPAASWDDWRPGCSISLDSIRLPSGYRRVHRLLDRMLTAGCFEEQAEATGTTTLSLGCLHMAYPILSILDDDVLYLLSAGTRMESIEALVNVDVRTKTLQEVRVLQTALPKTVCGRTLYRVLATSFRSSHYSPSCVLLGLFTGSAPRRNATTAWSKTNTGLPIAASTFCTARPPALAHFYINCPGIAEMPQLLRFRPAGTDHADLADSEAFGDNQIAVLSCKDDTYVVAALQSVIHLKPAFKLHLYRSTDDGKQGSWASRLLFVEEPLRDKVCPIPKSSNRQIYHLSTKVITLGGDQGTVGWVDLWRGVILCDVLSECSKLRDLTLPLPAKRNLKSYLHGCPSCDITAMSFRSSRYSPSCVLLRLSTGTAPHHRLVQDEHRPAHRRHLLQRPPASPRPLLRQLPLPRTADLVVLRVPLDTRLGSHVRYSDHFVYKVDNQRPRLDLLPKPLGEDAFADNEIAILSCNGDNYVVAALEPVLIFKPKFKLHVYRSTDDGKQGSWTSQVLSVDEPLRDKVCPIPDSSDRQIYHRTTKVIVLGGDQGTIGWVDLWRGIILCDVLAETPEASSEGNWDRYLEGCPSYSRDITVNRRKGPIKWKLTTPKDGFIGPSGNYYYDKECLPDYFVPGSWTINTWRIHIRRVPSSYKWHHHRTVHLANISLPVGNEMLYRLLHLLVSTKHNKEQEATGATLSLGGLCMAYPSLSIDNDDDVVHFSANGTCMGTKGSMEAVVSVDLKAKTLVGVAVHDTK >Et_6B_049390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4196274:4203088:-1 gene:Et_6B_049390 transcript:Et_6B_049390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQEAKVVYRRRKSQQKQAPSVGIDVLPDEALQQVLWFLPARDAVGTCDVENMNRFVEFLMLHRNPDMPLDFFEISISEFDGGSKPNVNLWIQQALQSQVRILSVEVSSLYYGIWLDGILLISKHLTTLKLRFVTLQGKFLDFSRCPALNSLWISDCSIYVDKISSQSLQHLTIIRSCCDNCADDSAKSRECVLLKGLSQAESLELIAEPETFIFKRDLMWCPIFSKMMNLLLNEWCVALDFRPLICLLQHAPVLEKLTLQLGRNLKVIEIKCEKFDERVHQVSRMLSIYNIYLERINIQFSSRCSECEFPMVTLLYYVPVVHRMKYYGCACLCVFMSGVGLFGG >Et_1B_014448.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:903861:905489:-1 gene:Et_1B_014448 transcript:Et_1B_014448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGDSSPNSAAANDDTHHEGPEAAAAAAAAAVHAPPTQPPPPPKVRLMVSYGGRIQPRPHDHQLAYVNGETKILSLERPLRYADFYARLAALAGNPGDVCVKYQLPGEDLDALVSVTNDEDLDNLVIEYNRLHDLRPAPGSGGGSSRGGSTLRLRVFLFPVQSPPPPPQPSGLLEPKPERHWFVEALNTVPQPKQEPLPVPPPAQQSPPQQKQESVFAQQSSPPQQKQETVFVQQQQQQQQRPPPAMVQVPAPQPPMVLTPMSPDYLFGLDNGFVPPPAVKVKDPAGDPPTVRENVPVEIPAKNDDRHPNPSGDHVAVSPVVSPAEFHRQIQELEKLQVADNASHQPPPPAPAPAAAPVAAPATMPRNGSDDSLTRAYPPAAASPASNAEFYIPKFPEKPPVPPPSSTPPATTYLQVPGRYTSVAPGAGAEHAPVFFIPAPHGGYYATTASPGVNSYPTMYAVAPPNANGNANGSAPSHGMSNATAYAPAPQLAYDSNGRAIYYTSVLPQYPSAVNGMSAAGAVLGTEPAKPVAVKPTVS >Et_2B_020080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16704624:16706574:1 gene:Et_2B_020080 transcript:Et_2B_020080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARLMTDRGDGPIGRLPEHLLIEIFTRLPVSEWVQIGCVNKHWASIFQGECLWQTAITKNWPSAGLRKRWPGPIPRGSAKRRFQALYVSENFVQSGGEVDELVGHTYLYLKEQLERPAMPPSSILHDQFIACGKTGDKANELASKIWLAVIDSLEENQQTFFLLKHLAQEGEFFLPFPYSRSYNVLWRVFDKLFTDFRDCFNRADYHDALSAAKTRFQPVPSTWLGH >Et_8B_059304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16389552:16401768:-1 gene:Et_8B_059304 transcript:Et_8B_059304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVGTRWTGSPCLLRLAARLPCWPSSSTAATDPRRSRGLLSALRRPRRDADVSGDDGTPLTDEILLVIFSLVLEIADLVRCAATCRRWRRLVSGDAAFIGPMALGFFHNQDQDTAAARFAATDSASRRFGLQLPPALNSLVSGLDGAADGLFDSSRVVASRNGLIVVDLRHGKHDGSYLKLCVCNPMTGGTHFLPPLRGSGPFACTVVTADDTETKRSTSDPPARCSAESSYFRLVIVYIRQDFTAFRSYSSVDSHWSEEAKLSGVQVGKKQMSLMRNGVVASDGRAVYWAANGVVVGLRLDTLEAVMARSAGQGFDFKNDSSLLGLTPEGSLCAVQLHLRRTGGNPVFIIQILTCSNDDDFHRLKMYGWMLWEKTDEIVIDEFSLDRKAKFCEKSGVLFFTVSDCWFGGDLICEVYALDLHTKKFEKLASHVGKGDPWGNLHGYEMDWAAYLASLAEPEGMGDTKQTINTLEIIPAPAASSSYFRLVLLYRRRDFTAFRSYSSDSGGGRWSEEAEVSGAQLEVDREQRINLSRNSVVARGGRAVYWADSGVEFLGLCLDTLTARLPPTSRQNLRRLPREEHLAGTRRLCAVELDPDWRERNSEKRKRVLKINIFTCGCTGRDEDDDVCKLRRWPLWEKKDEVRVDDFPLENVGTLQLRWFCEKSGVVFFTASFWSGDRIYEVYALNLHTKAFEKLASHGGMPRAKRARLTPRPPCGPSAAAAAEPGFSRGWLSVRRDPSDKPPRRPEDDGTPLSDEILLVIFARFPDLVRCAFTCRRWRRLVSGEAAFLCRTPRRTGGKFIPGLALGFFHHHPDEGAAAAPRFDRALKLCVCNPMTGDVHILPPLSSKEGLGHFACTVITADYRDDMNTNPAPARSSYFRLLLLHTRRGFT >Et_7B_055177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8024338:8028191:1 gene:Et_7B_055177 transcript:Et_7B_055177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILAPQPFQTPRELFAAALPGGQAVLLLQDRIRGGGDKAAVAERPPQPEYWVEISESVSRVCSFDAAGGGGRSISVKVMQDSRPIHDKVVDSFLNKFFPSGYPYSVNEGYLTYTRFRALQHFTSAMLHVLSTQILKDGMQHAGKLICSSMGARMDSEPKSWRIFADVLYDLGTALDVISPLCPQLFLEVAGLGNFAKGIAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVMGIGAGIGLASTVCSTTQGKLIAGPLLSAVHIYGVVQEMRATPVNTLNPQRTAMIVADFIKCGKVSSPAELRYREDLLFPNRLIEEAGSVKIGQPLHRVLSPQLVERSRTTFPNEKFLLCPKSNKTYMVLEQSASGEDALRGWLVAAFASDMEKSGIGSRNTILHEAYEKMEGVFPTFVSEVRSRGWYTDQFLDGNGSRIAFEKFQ >Et_2A_016478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25127966:25129917:1 gene:Et_2A_016478 transcript:Et_2A_016478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRVLLFLKPFDVYPPRPCAAASASSPASSPQPPPQPRAANSKILSYLDDRCRVHKDTINFCESVLQRKSLEWTSVQRNQLTHPIRDVDLVIAVGGDGTLLRASHFLDSSVPILGVNSDPTCSKEVDELTNEFDARRSTGYLCAATARNFEQILDATLDGSRRPLELSRISVKLNGTQLPTYALNDILVSHPCPASVSRFSFRNRSNTGEMSHLVNCRSSGLRVSTAAGSTAAMLSAGGFVMPISSHELQYMIREPISPTDADKPLLHGLVKKDQQMLVDWYNQEGAVYIDGSHVVYSIQHGDTLEISSNAPTLNVVLPENQLKKASL >Et_3B_029919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28987653:28991950:-1 gene:Et_3B_029919 transcript:Et_3B_029919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAASSSSAPVPDEGAAALAAVAEAAPPEEMTLVVKWRAQEQAVRMVGDDTLGELKLRICEVTGVLPKRQTLLYPKVMFKELPDSTLLSAIPFKPNGKITMIGSVEEEIFVGQEDSPELLDDFDFEQNEVTAIKDKDVYKQKLKRRASQYKFLAAAYAAYDIMIWSATGMKWVELKMGQLGVLSNPEYKITALLDHMAMITVQSETQTKKKTFDCKPLGVIWAQFPEYYNEKNTIMFDDLRRNFVMNPQNGLVIKPFRVAHSNRHTDQELPKLTQYLLSIAELEDLSELDHSKWESFTEESAKRRRRRTIQDHGPGGLDSVRKLDWSTELTGRGPLICRMDRMVR >Et_4B_038505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29549738:29551173:1 gene:Et_4B_038505 transcript:Et_4B_038505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRVAEEETRRLLGGASSSCRWVLRLFGRKRPELIPVDKPLDADQFKRHREERIRAMEPGHFERLARDRRLVMECLSHYNSMHPYEPAPGEVIRYIRSHDGVSWTHGNFVARRKRSSCFPFLSAPRTLFFFELIRTSDFHGVVTCAPLLDEEVTEGYSILGFPIWPGTRRNGRSDCICKTCYRRYDFPDRSVACGHNETEAICEMCYRRFDVLHPFPGEFAYGYREYLYRCYY >Et_4B_039941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6428013:6429723:-1 gene:Et_4B_039941 transcript:Et_4B_039941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQREGETELERERERERERQKLLRLLQVGCRDATNEKATELLKLVNKYKGLGKRVDAVDFRGINRQS >Et_1B_011909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27076834:27079495:-1 gene:Et_1B_011909 transcript:Et_1B_011909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQLQLLLSPAACATVTAAPAPAAFSLARSSQLRASHIPSAFPRLRKYGPRHREPVAAPSFDDDDEESEAEDDDDDEEEAVDEEEFLATRPKPVGFGAGKTYSTDIEEQLLREMGGGGVRRKGEPAADKRRVGDKSDKETGADLSDGGIQVRVWNLPKKKNIHKDLKQAFKGFPGLLSINPAVSANKKTRDPICKGFAYLKMGSMEAATRFVEIYSRKNVPFGKVEKPISCCVVDAQSSSEPSNKGSTSQETQQSKSKHQNLVAAN >Et_2A_015300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10707239:10708565:-1 gene:Et_2A_015300 transcript:Et_2A_015300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVQRNTSTSMFFFLKPLTPSLWFAFFVFTGFVVWAIEHRVNPEFRGAPAQQFGLIFYYAFSTLVFAHREKLESNLARFVVIVWVFAVLILTSSYTASLTSMLTVQELRPAVTDVAELVRRGDYVGYQEGSFVLGELRKIGFRDETKLRSYNTPDQYADALNRGSANGGVSAVFDEIPYLKLFLSRYCDGFAMAAPVYNDAGFGFVFPKGSPMAADASREIVSLTESSELALIERKWFGTPGACDGRSGGGVGSSGSLSFWSFSGLFLVTGVASALSLVVYLATFVYRERNELRAAEPGAGSVSLRRLKAWLQHYDSKDTRCHTFRHMDDDEKQMQGGGKDEACTRVASAVQMAAPTWPQRRRWKMKPAAR >Et_7A_051964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3217695:3218987:-1 gene:Et_7A_051964 transcript:Et_7A_051964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNFLDASLYPPAHDHEQHGASQSCAMLDVKAYIADCRNATTAYGRTSNNVKIQVTFCTAPPPAVSYFCVWCPGESRLISEIASEPEIMAAETDLVILGLSHSSRSKFDHGNRDIFIYRPSIGGKRPSLHRIVYHCDNFELCFNVGILRHRNDSTPNDDHYCIVGLNPSKLPWHQFSHTTSKIIMLGEGNLMGFVDPWRGILVCDVLAGTHMRYISFPHNLKHNKKRCLDPVIARDVVAVQGRFKVVERFRGSVSSRVWKAAVWSRATSSSEDWNRDYTVEIPDVLVDSNTLHLELLPKMQNGNDGTSRQTLEGLHITHPTLSLENDDTVYFMAKFDAWDKKAWVLAVDMRNKKLLDVGAFRAERYDGITLSYIHSRISKYFMTSAQKKNSRPVHDTKLFLG >Et_4A_035367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21845525:21849814:-1 gene:Et_4A_035367 transcript:Et_4A_035367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVYYKYKSEKDVYSMPLAYSFISVSELKQLILTSDRHGHGRTRGRGPREGIAISNAQTGEDYTDENAMIPQNTTVLVRRVAGQLSENIVLVSLRKVTEGSSVPSNKSVLTDSSSKSLSSTEIQDEDAAITAVIDAAELKLEDHQSKKGHAGGRFASGNRYGHGPLEGETPPPGYICRSCGVPGHFIQHCTQEMKTPPPGYICYRCRIPGHFIQHCPTIGDPNFDKNKMARSLAPVVTPSPVDGILESLVSAASGSAVDDLPAELHCQLCKKVMTDAVLTSKCCFDSIRDYIITQSKCICGVKVLADSLIPNHTLRSTISNMLSTRAGSTTSGTTKHRSSSGSNLDPKVQGHAPSAASEREEMKQPIDHIVLEAAAPDGGLGDATEGDLVKQPLKKLVANVDPLSKDEVSSAELSVEKAAASAENIKLKDGSESTLKVTTVSGTLDHNVPRTDQPKKKRKKAGSTKIVQPNNAEFGYNIPLDPSYYNPFVGGGYPWVTDPYMYGPMGMPYGDYPMGPYGVNPMNSMPLQALPMPGYPPNYHRHEAQPTLKRGTEATAHSRLSERPKDTSIQSRASECNRQRGSSHGSESRNRTRSSPERRDHRRSDRASDDYYEDHSRKRMRASSPMDADRHSSRRSRHSSRSLTREDSSDDERNFKRRWGRRSSVTVDSRH >Et_1A_008731.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:1132420:1133244:-1 gene:Et_1A_008731 transcript:Et_1A_008731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLLLGCVAFLVIDDSEAQRMDRHHHHHHHDHHHMIPGGQDPSAADGAALDNSFFLGPAASILFSGGAGASGAGSSSSGAVALGSSSGGGGPSPSSSSPSLSRYESQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLKYMDQFGKTKVHTPVCPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGTPEMNPFGARAVRLYLREVRETQARARGISYEKKKRKKPASASAAAAGPSSDGSPPPPGPSGGGGGADTSASPQFIMP >Et_2A_018468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2880726:2884485:-1 gene:Et_2A_018468 transcript:Et_2A_018468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEGLENRIQATPGINIMTQLHKRFSFSDPYGRYLPNLKASILAHTGLLVHACQRLLHLHPQDDTTTILVSPKGAFSCGFYKVATNAFTFSIWFSRSSSKTVAWTANRDAPVNGKGSKLTLQKNGGLELIDYNGTAVWSTNTTATHADRVTLLDSGNLVVMDPQGQLLWGSFNSPTDTLLPRQPMTRNTKLVSASARGLLYYGMYTFYFDSNNILTLIYNGPETSSIYWPNPDYRWWENGRTTYNNSQYGVLDRKGKFVASDQFEFQASDLGDEVMRRLTLDYDGNLRLYSLNTSSGCWFVSWMAFQRVCEIHGICGKNSLCTYIRKLGCSCLKGFEMIEPSDWSKGCRPKVNLTSKWNWRNESRDKTNNTYSKEFSFRKLCGIDFWGYDFNYTVSVTLRMCRKMCLDKQDSQAFEYRKGAGTCFPKEELGSGGSGTVYKGVLDDERKVAVKKLNDVNGSLDKVLTDSQNSSSLLQWRQRYNIALGVAKGLAYLHHECLEWIVHCDMKPENILLDKDSEPKIADFGLVKLLGREARAQMLSRVHGTRGYIAPEWGLNLPITGKVDVYSYGVVLLELVKGIRVSSWVVDGEGEMEMAVRHSAEILKEKLASEDQSWLLEFVDNRLDGKFSYLQAAMMICIACNKLKNPSKQTK >Et_9B_065471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5432452:5433229:-1 gene:Et_9B_065471 transcript:Et_9B_065471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTLLLAFAAAVALGAGLGAAFDPNPLQDFCFLSASISLAVHVNGQPCKDPSTVTATDFFFSGVDKAGGGTASHRYGFSALSVQIPGLNTLGAAHARVDVAPGGVFPPHYHPRASETAVVLEGAVYFGFVTSYPENKVFAKVLRKGDVFAVPQGLVHFLHNNGTEPAALYASLSSQNPGLVLLGDALFGSGLPDELLAKTFLTDAETAARIGAKFRP >Et_9B_066225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7771846:7773843:1 gene:Et_9B_066225 transcript:Et_9B_066225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNMSFVEEPDKTDNTEKQHSDEELYVYRVSQSGVVLKFKTRKYGTLSSTSNILSHELKICEKQNARDPLENLEASGALNLLEHSQISNAEPRNEISVVDSAMVTNPVKETDRAAIVDNISKVVDKIVPLKSTALDIAMTGTCIAGAGSGENEKTNITENEISGNSLTGNDEGKDSQQDCSYETEVHACNECGKRFETIFSLNGHMASHRKEEYELIRSMQNKTFAFETPRSKLMNIWKASQDLLKMSMASGWQLVLDSKPIDVSTDETCSSEVIWDDTTQMLEVADLLIKLAQTVQSDDVMVPSRSIPVFKCTTCNKIFDSYQALGGHISSHKKSKKHQREVDMAGNSSGSSEACTWKFVCNKCNERFQTGQSLGGHKRKHWFEDKNVKLPVSPHHDTMQSSGNVLLVNDDLHSTEIELKGIDGTVHLPVPQAYGNVPPLETNKVATSAEPSGIASATSAEPHAYNGTEPSATSADPTRRIVELNLSNY >Et_10A_002031.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:19775643:19776365:1 gene:Et_10A_002031 transcript:Et_10A_002031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGAAAAAANPNRASPFPALALHPHHQPHDMSAMMGYHHHLLPPPHQPQDSPGGGQDAGSGGGYMRKRYREDLFKEDDDDRQDPNAPKAREQQQGTPPPQAPSAAMWAMAPNTAAPGGAFWMLPVSASSAAAARPAEQPMWSFGGGGGAGSGGTVQAPLQFMSRATYPAGGNGGGMSDTNLGMFAAVNPYSRGGSEDHHQHQQPEAEQQQRGGDGGGGGDDEDGGDDSGEENHGNNSSQ >Et_5B_044505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:347084:349466:1 gene:Et_5B_044505 transcript:Et_5B_044505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASTTTFEKNSLPALAIGSKPLILRFNDGDLQEPPKIMDPFDGGVRDATLELEMLKGKKCLACLEGEWLLMFDEDTNECFIVNLVSFSRISLPPLPRPGEELYRCAFSSPTPPDCTIMFTAIFPATNNHGDDDQQEDDTCYLLYRRPGDDKWWGLYDDDEPADYNAMDPYKILGSRGTMYVRTDMNTFIAIDASMSSSYKATIEKRGIPHPSTMRWGCKDYLVQSDGDVFLLQFYTHGIYNSEVIDMDIHCLDTSEYVWNKVESIGDRTVFVGDDNCVVLSSASRAGIRPGCVHLLHKRCHDGIRLYTIHLNDRAMSCSLLPASSESMYWVPNDKVELTFDEEVEEQVVAPWSSLPVDIFEELIPRISFIDYLNVRKVCKGWRSISKPIRCTERYPMLMSICSNSAGVFKLFDPIIDKGYTLKNCSLPPCGKYFQMLLFAKHGWILMMRGDKYMYAANPFTGEMFDFPELPWLGYNYDGISFSSAPKSPDCIVCAIHKERVSDQARSNSIYVMVWRAGDKCWTQKKIDDHSQFRTAYSNPVFYHGEFYCLGTRGNLGVFHPENMTWRVLDKPEPILDGDPMASEQYCYLLEFRDDLIAIFRPHDEGSIDLYRLDKSQMVWTKVERLYNEVIYVDNWNALMMPAPRDACCNRIYISKQGGYGGAGEAETSVFYDLNSQKYYPSYYGLTEQMNSIWVQPDFHNQ >Et_1B_011813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26270687:26274545:1 gene:Et_1B_011813 transcript:Et_1B_011813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIPSLKSLNAFPHAEEHLLKKTYSGAIVTIFGMIIMLTLFVHELKFYLTTRTVHQMSVDLKRGENLPIHINMSFPSLPCEVLSVDAIDMSGKHEVDLHTNIWKLRLDRYGHIIGTEYLSDLVEREHGAHNHGHGHEHHDEAKKHEHTFGEAAEKMVKSVKQALANGEGCRVYGHLDVQRVAGNFHVSVHGLNIFVAEKIFEGSSHVNVSHVIHELSFGPKYPGIHNPLDDTTRILHDASGTFKYYIKVVPTEYRYLSKQVLPTNQFSVTEYFVPIRPTDRAWPAVYFLYDLSPITVTIKEERRNFLHFLTRLCAVLGGTFAMTGMLDRWMYQLIESVTNSKTRSVLR >Et_2A_017194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31960211:31965367:1 gene:Et_2A_017194 transcript:Et_2A_017194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLYDEFGNYIGPELADSDADDSDAGASPSPSPSRSASPARSPSGSPSRPGALMDVDDDGDADPSQQAVVLAEDKKYYPTAEEVYGPGVEALVMDEDEQPLEQPIVAPPRVVRFEVGTRAEATSTYATTDFLLGLAGNPALVRNVALVGHLQHGKTVFMDMLVEQTHEVDTFNSEGERHLRFTDTRVDEQERQVSIKAVPMSLVLEGGNGKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLVVDAAEGVMVNTERAIRHAIQERLPIVVVINKVDRLITELKLPPNDAYFKLRHTLEAINDLISSCSTTVGGTQLVDPAAGNVCFASGSAGWSFTLQSFAHLYLKVHGVQFDHEKFASRLWGDLYFHPDSRTFKKKPPKEGANRSFVEFILEPLYKIYSLVVGEQKQNVEPKLAELGVTLSNAAYKLNVRPLLRLACRSIFGTATGFTDMLVKHIPSVKDAAARKVDHIYTGPQDSSIVDAMKKCDPLGPLMVNVTKLYPKSDCSVFDAFGRIYSGTIQTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQARYRVPISKAPAGSWVLIEGVDASIMKTATICPLNMDEDVYIFRPLRFNTLPVVKIAAEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVTFCETVVDTSSMKCFAETPNKRNKITMVAEPLEKGLAEDIENGLVSLDSRQKEITDFFRQRYQWDVLAARSIWAFGPDKQVDKNLLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKILNANIAPEPLHRGGGQIIPTARRVVYSAFLMANPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPKPGTPIYIVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMMNELAQQAADLHLQMM >Et_1A_005356.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:9716877:9717140:-1 gene:Et_1A_005356 transcript:Et_1A_005356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVFSSPDHRKARRREFTASTVPCGTNIADMMYVGRASSTEFTMTALPITLSRDDCQPEGYLSTLLINGAKAERFNLTLDKGRPR >Et_5A_042675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25227737:25230371:1 gene:Et_5A_042675 transcript:Et_5A_042675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAGAVLAVVAAVAFWAAASAPGAAALGMNWGTQASHPLPPKVVVQLLKDNGIKKVKLFDTDFAAMSALAGSGIEVMVAIPNNMLADLAGSGRAAKDWVKRNVQRYDFDGGVTIKYVAVGNEPFLESYNGSFINITFPALQNIQNALNDAGVGDRIKATVPLNADVYNSPQNNQVPSAGRFRPDIAGLMKDIVDFLAKNNAPFTVNIYPFLSLYLNDNFPLDYAFFDGGATPVNDGGVMYTNVFDANFDTLVAALKAAGHGDMPVIVGEVGWPTDGDKHAKASYAERFYAGLLKRLAANTGTPARPNQYVEVYLFGLLDEDQKSIAPGNFERHWGILRYDGQPKYAMDLTGQGRNTMLVPAQGVKYLSKTWCALRPNVKDLSKLGANIDYACSHSDCTTLGYGSTCNGMDVAGNATYAFNAYYQVKSQEDSACDFQGLALPTQTDPSTATCNFTIQIVTGAAAPAPALSGAAVAGVLLALLQLLALW >Et_7A_052254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6087720:6097869:-1 gene:Et_7A_052254 transcript:Et_7A_052254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKRIPSTFTNLKSYLEYYTSPLLEELRAEMSSSLESTSTMPFVKISWIQEKNGNYEIFVDANSQKTNSSNRRECYAPSVGDIIILSDVKPGHIADITRNRRPYRIAFITEGGDEDDDSPPGKYVIIASGKIDAADRKCQYGKMTPLFAAYLLNVVTYIRIWRCLDYETSVTRNTCLLQEMVQYPLATDVLQKCTDKSGSIDSMEIWSKLSSMNLNNSQNDAVLNCVSAMHHNSSHFSLIWGPPGTGKTKTVSVLLWLMREMKHGTLTCAPTNLAVKQVASRFLRLIKERSYDTSCLGDVLLIGNKNRMIVDGDLKEIYLHDRVRKLLGCFAPLTGWRHLLSSLSSKVTNKKLELLVIDEAAQLKECETLIPLRLPALKHSILIGDECQLPATVKSKVCTDALFGRSLFERLSSLGHKKHLLNMQYRMHPSISLFSNITFYDGKISDAPSVMQREYQKKYLRSPMFGPYSFINIEEGREDCDELGKSKKNLIEVVVMSDGTTSGCRKRRRQAKSFEDVAEYWDRVKNDGKFALSYLDSQVFSWSVEDIFNKNLFRDKFPVATFYDGKISDGPNVTCKNYDRMFLTGRMFGPYSFINIDGGHETTEKHGRSLKNTIEPAAVVRIVQRLFKDAVSRVIKLSVGVVSPYNAQVRAIQEMIGKSYNMYDGFSVKVKSVDGFQGAEEDVIIISTVRSNGVGSVGFLANLQRTNVALTRAKHCLWIVGNGATLSNNNTVWHEIIRDAQDRGCFFDANDDKDLSNAIVKAIVELDNAENSAKVEPRHISRSKFQVLQIDLSKSACLVVCLVIVIRFLQTKCVK >Et_4A_035177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10644026:10645608:1 gene:Et_4A_035177 transcript:Et_4A_035177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTFRIYCSASEDHSLAIVNGEVVLAKADLRDDHYCMPLLRLLIAWYKDLSYGGGVTDAAGSPAFALVNRSTGEALKHPSGFYHPVRATKFDPLYVDDALLWAESEDTGDGYRHIHKFSNMEHVFHHRPADPWRNTNCYVFDHDLADRRRAVAHLLLSLWNGSRNQLWRTVPEQHGAAAAEHARRFRLTCQSGEGLSVTVRDGAVVLATANHEDERQVTQCSVHAIYLRARPSRWSGSRSSADAPDPFLSHPHQKLLAWLCWVQSFQNTGHVTDEEGHRAFALVNRATGQALMHKEHGDDELVQLAGHSPDSVDVALLWTQSDDLGEGFRGIRAVNDVGIVLDAAKGTPEYGGAHDGTPIIVFPWNDGGNQKWKLLPFY >Et_2B_020746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23177601:23182941:-1 gene:Et_2B_020746 transcript:Et_2B_020746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGLISFVFGAIKKRRARRKTMHYEHLSALGSPPTRGLPERVTGGAYHHAQATQSCRFVVAPSLADELSLWRGDRVRARPEGLQDAPFLPAGDDGRGLSRSRRFSSMRLMDGIIPFVCKAIKKRRSARSTTEYERLPSDAATLAEAERFTGGGRYRSQSCRFEVVRSPADEPGLWRDDDGRPPPESIAEEPFPPARDGRGVSRSRRFGSMRLFACVGGA >Et_4A_034079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29740794:29743929:-1 gene:Et_4A_034079 transcript:Et_4A_034079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAAAAAAALGCALRSPSPSPSPAPQWTPLLRCPPRAPRSLLPPARSFSQNRLLDLKRGESRSFFKTFSSSGYPGVSLNSGDFVSDKLLIDCGEDQDCVLGGIVALGKFDALHIGHRELAVHASKAGTPFLLSFVGMAEVLGWTYRPPIVAQCDRKRILSSWAPYCRDMVPLEYQVEFSKVRYLTPRQFVERLSKDLRIKGVVAGENYRFGYKASGDAAELVKLCDEFGLSAFIVRSVMDTAKRSHNGVVAAINSSDKGQVSSSRVRHALAMGDMEYVFELLGRKHRLVLTVDEGCCLERNKIILPNSCMLNMPPAEGLYDNCDLVTGEYLGSCRVIINSDNIVIEMKDEKSLSQNPIREVDQLGIEFG >Et_2B_020216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1844651:1847659:-1 gene:Et_2B_020216 transcript:Et_2B_020216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPTPPAAAAPPRYTLPPVRLPPEDILFCVDVDLEARSEMKPAAAPASSGSTSSMSSPQPAGAARAGAGAGPRPAVRRMDAVKQAVLLLVHSKLAMWSDHRFAFASLGETVSMVKKDFSSDASSAMEAIHSISASESRFATADLTQLFKIAHQEGKRAESQGRILRVVLIYCRSSTKPQHQWPTKEKNFTLDVIYLHDKPSADNCPQKVYDVLVDALEHVSQYEGYILETGQGLARVLFRQMCILLSHPLQRCIQDDLDIPKQVAKKTLAAEAAQNEDGAPVSSQ >Et_3A_023969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15447407:15451925:1 gene:Et_3A_023969 transcript:Et_3A_023969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHELVRATSNFSDDNLLGAGGFGKVFKGQLDDESFVAIKVLNMQHGSASKSFDTECRALRMARHRNLVKIISTCSNLDFRALILEYMPHGSLDDWLYSTDGRQLSFHQRVGIMLDVAMAIEYLHHQHFEAVLHCDLKPSNILLDKDMTAHVSDFGISKLLIGDDNSITLTSMPGTVGYMAPEFGSTGRASRGSDIYSYGIVLLEVFTRKKTIDPLFIGEFSLRQWVSNAFPHELSKVTDYSLLQLTKGMEDSTILNTCLASIIDLGLLFVSLTTYAKALTPPSNTTDLDALLAFKAQLKDLHGVLAGNWTATESFCSWVGISCDRRGQRVTSLEFNDVPLQGSIAPQIGNLSFLSGNGGGRA >Et_10B_003333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18928613:18931619:-1 gene:Et_10B_003333 transcript:Et_10B_003333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEDKEPLPQLDAKPRRLYQAWKGSNIFLCGGRLILGPDAASLLITMFLIICPSIIFWYQMKSKLYHSQEHTTDPGIVPRNTRAPPEADELLGSNTPSMDWSSGKTPRMRFRRTKDVMVNGFTVKMKFCETCLRYCPPRSSHCSICNNCVQKFDHHCPWVGQCIGLRNYRYFFLFITTSTFLCIFVFIFAWLSVYNQMENNGGSIWKALRKEAYSFALIIYTSIVVWFVGGLTVFHLYLISTNQTTYENFRYHYDKKENPYRKSIAENFAEVFFTKIPPPMNNFRSRVGEGALEAGFYTPYIGLDVNTPREKIDTGTGNKEVLIGGMQVPTVLQNIDYGSCEDSYDKNRNEGEKSVHFPSSWAQGNEGAGATTARNDETSEGDADEIISSHTSSIRTATESNAALRIVLKK >Et_1A_008830.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:15037087:15038043:-1 gene:Et_1A_008830 transcript:Et_1A_008830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLFTPTVLAATAATAAAAGGTYISKPLFASLLSSTPVLWVSANVIIVVIWLLSSSRRSNTSAGDTASSAPAAGHAGDVLRAVDDLYPASDYEGYSSSVVSGGEGPAVASTPRRQQAREARAARRADRPRLPKAKPAGEPATAARVTRTAADKRRGDVHEVPPPTPRPVVAAVEQAKPEDDDDVSLDSLWESMVQRRAVRPVAVRKSETWGNDELPRLERAAETAAQRREMHKSASTAFRPTPTPQQQQEAAPSAARQLGWRTRDVLMRAHDDLLRRAESLIRRHHEQLRLQRQESDQRRATELQQRGVRPALVRV >Et_5B_043732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14979434:14982733:1 gene:Et_5B_043732 transcript:Et_5B_043732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWGGSIEERGDRQQENRELRRLVLTLVLCSPVTRSKVSVPGTQAVAGSQGGGRGDDGLARRPAPCEHSGDEYHYLFKVVSIGDSGVGKSNLLSRFTRNSFSHHARSTIGVECSNRTVQVEGKIIKAQTWDTAGMERYLALRRAYYRGAVGALLVYDVTKKTTFENVKMWLKELRDNADSNIVVMLIGNKMDLSHLRSVAVEGAASFAEREGLFFMETSALDAINVENAFQTVLDEIYRTISKIPLSSEVSESGTDNIIEGQSIHVPVPNSSNFTSRCCSS >Et_9B_065831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14251562:14254449:1 gene:Et_9B_065831 transcript:Et_9B_065831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALLPLAPTPPHPSPPRRLLCRCSASRRDFTIHTAIAISSTSAATAGAAEAPAPSPQPPPPTPASKPGGSVLGALANTRSWSQHYGSGFSIRVPPSFDDILEPEDYSAGMTYYGDKVKPRAYEARFASPDRDELVSVVIKPSNQLKITFLEAKDIADLGTLKEASKIFVPAGAKIYAARTIKVKDDEDIRTYYFYEFGVNKQHVALMAAVNSGKTYIAAATAPQEKWDDDGVKLRSAAVSLSVS >Et_4A_032131.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:19908885:19909217:-1 gene:Et_4A_032131 transcript:Et_4A_032131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMTKAHLMFKRENKEKPFKFQYLWEIVKDLPKWRRITQDGSTNNKRTKVSASGAYTSSSNQETDEESINHDKRPEGQKAAKARLKGKGKGVASSPLGKPASRRVPWFPW >Et_2A_016107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21046043:21049401:-1 gene:Et_2A_016107 transcript:Et_2A_016107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYARARLLLPTDLLLLCLLAFWALAARADDPAARRPGCATRCGDIDVPYPFGLDPQCAIHDLFVLNCTTVDRTTKLFLDTLKVIKISAADGKIWVKNWISRQCYNETSNKLDEPFIAWMNFTGSPFMFSAEDNKVINVGCTSFAALLSDSYIIGCLSTCNQGRNTPKNGSCSGAGCCQADLPRGVMSYHGFFNSNYNNSKNRGTRCNYVALMEAKSFHFSTTYLTTPASFDISKARSRVVMDWTITRNTCEEAQIDKSTPYACRSNNSNCVFKEVGYGCRCSSGFTGNPYIIDGCTDIDECLDNVTYPCAGICKNKPGTFDCSCARGKHILNGACMPDSKTIWVMPVVEKYFRQHGGLLLFEEIKSQQGVAFKFFSEDELQQATNRFDEQNIIGHGGHGKVYKGVLKSDVEVAVKRCMIIDEQQKKQFGKEMLILFQINHKNIVKLLGCCLEVEVPMLVYEFVPNGTLFHLIHGTHGRYISLATRLRIAYESATALAYLHSCASPPIIHGDVKSTNILLDVDYIAKVSDFGVSILAPNDESQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTRKKAFNLEGLEHEKSLSMEFLSGIKHNNLENILDDQIKDDENMELLMQIAHVAKQCLEMSGTNRPSMKEVAEKLDSLRKGIHHPWAYENPDELDNLLGESSIINSAVVSTCNFNIENKALMDLESGR >Et_1B_013001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4730841:4735742:1 gene:Et_1B_013001 transcript:Et_1B_013001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGPDHEADDSHDLPHAEEEAVPLAEAAAAAPARGGGTPPDAEPESDDGEGSSDQEEPNAAMSGCRALAPMVGGRKEREGIVGGAKVETNGEDTIDHDGDGEGDEDEEVGDEEEEDDDDDSTPDASPRAEVKAEGENSTGMAQSEASHRVEPDPFLDGDDSGTEEEQASFMAELERFHREQGLEFKPPKFYGKGLNCLKLWRQVAHLGGHDQVTVCKLWRQVGETFRPPKTCTTVSWSFRIFYEKALLEYEKHKVRTGQLQVPPPTLLPPGGTEMAGNQSSARVRRDAAARAMQGWHAQRMVANGTYGDQILKRKKASSPEYAPKLPRGKANKSQEDSMITDIGEPADWVKINVRQTKDCFEIYALVPGLLREEVHVQSDPAGRLVITGDPEQPDNPWGITPFKKVVNLPSRIDPHQTSAVVTLHGQLFVRAPFGHADIRDISKICAIDICNNP >Et_5B_043730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14939646:14943849:1 gene:Et_5B_043730 transcript:Et_5B_043730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEYDVIVLGTGLKECILSGLLSVDRLKVLHMDRNDYYGGDCTSLNLNQLWKRFKGEETPPGQLGASRDYNVDMVPKFMMANGALVRVLIHTGVTKYMSFKAVDGSYVFNKGKIHKVPSTDMEALKSPLMGLFEKRRAGKFFLYVQGYKDDDPSTHKGYDLTKITSKELISKYGLDDNTIDFIGHAVALHRDDSYLAEPAIDTLYAESVSRFRGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLSKPECKVAFDEEGKVCGVTSEGETAKCKKVVCDPSYLPDKVKVKKVGKVYRAIAILSHPIPNTAESHSGKFIAFVSAQAETDNPETELKPGIDLLGPVDELFIDTYDRYEPTSDSSSDNCFISTSYDATTHFESTVMDVLSLYTKITGKTVDLSVDLSAASAAEDDV >Et_2A_014798.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:15820243:15820545:1 gene:Et_2A_014798 transcript:Et_2A_014798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DHSPCLFCCEKEFVHHLFFNRVVAERLWSDLNIVFGVNIRTDFEFVARFWLCEKRHRILNVFSSATMWGLWKLRNKFCFQNGMWKDVASVWRKIITIIKS >Et_7A_052540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9022730:9025987:-1 gene:Et_7A_052540 transcript:Et_7A_052540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSELSSTHLWIPGRLPHCEVSMASPLLLLLLLFCTASAEYGDELLRSAAKEREWMVGVRRRIHAHPELAFREHRTSALVREELERLGLSARAVAGTGVVADVGSGRPPFVALRADMDALPLQELVEWEHKSKVDGVMHACGHDVHTAMLLGAAKLLSQRKDQLKGTVRLLFQPAEEGGAGASHMIKEGVLDGVKAIFGMHVDYRIPTGVIAAHPGPTQAAVCFYEAKIEGKTGRAETPHLNVDPVVAASFAILSLQQLISREDDPLHSQVLSVTYVKGGKALDATPSVVEFGGTLRSLTTEGLYRLQKRVKEVVEGQAAVHRCNGFVDFKSDDYPLYPAVVNDVNLHRHVEDVGKRLLGPDKVKPGEKIMAGEDFAFYQQLVPGVMFGIGIRNEEAGSVHSVHNPLFFVDEDVIPIGAAFHTALAESYLTESSRLNQGEDVPSH >Et_8B_058736.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:19655473:19655574:-1 gene:Et_8B_058736 transcript:Et_8B_058736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATGLFGVGLPDGLCCSGLENRESIPVSAAN >Et_2A_018348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24184673:24186144:1 gene:Et_2A_018348 transcript:Et_2A_018348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAWAAAAVLNPHPLRAVPSSSPLQLRIPARCKAPRGHCLRGRQQRPAKFVCRRAKNAGFDDYKFPDPIPEFAEQASYSIRTCFLFAPAATETSKFREHMAWRLEQKKDEYFGEHVEEIVDICTEACNHYSTENIIMGTFLEHDYCGPGTLLVHPFLDMKGEIKERGLPGAPQAARAAIAWAEKNIDKDWKAWTGEY >Et_3B_028308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13103915:13112430:-1 gene:Et_3B_028308 transcript:Et_3B_028308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALRVTMFMLLAFSCAVAQSVTESKVEKFDVGVVLDLGTTVGKVARTSITMAIEDFYTVHPNYKTRLVIHIRDSMSDDVQAARAVLDLMANCNVQAIIGPQKSSQAVFVSALGNKCRVPVMSFTATSNALSSRSLPYFVRATANESAQVNSITSIIKTYGWKEVVPVYIDNDYSGGFVPQLVDVLEEIDVHVPYRGVIDQSATSEEITKELYKLMTMQTRVFVVHLPPSMASLLFINAKEIGMMSEGFVWIVTDRVANLIDSLNPSVVEAMNGALGIEFCVPESTQLDNFTIRWYMRSRKDHPNDPKLKLSIFGLWSYDTIWAVAQAAEKAKTTSSKNCTRMEMVDISRNGPAVLNEILQNKFEGLSGYFDLSGGQLQASKFQIINIVGKGRRVIGFWAAEDRISQRINQRRSNITTNLKVVIWPGESTNVPRGWEIPTNGKKLKVGIVINVGYPSFIDANVDSVTGLIKASGLAIDVFEEAVKILPYALPYEYVVFNTTENVSSSYDDFVYQVFLKKYDTTFGDITIRYNRSLYVDFTLPYTESGIAMVVPVKKSMNKDAWIFLKPMTPGLLFGTIVLFIYTGIVIWLLELLGDNKNVHKPVPQQIVTMTYFFLFEEKKKVKRLMSQIVLVIWLFFLLVLKSSYSASLTSMLTVQQLQPIVKNNEELLKNGEYVGYGRGSYVKEHCNGYTMVGPIYKAAGFGYAFQKGSPITGDISQAILNVTGGKTIIQIEKKWIGDQNNCQHVGIISGSGRLTFDSFAGPIIGTGVASTSSFVVSIIIYFCKKKQFEAENCDAEQVSPAEEIIDHRDEKCQWQEETGARQTHEHTKKLMRNCSLVIYRGQRIPCPQVSSSAQF >Et_9A_063210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15598325:15599291:-1 gene:Et_9A_063210 transcript:Et_9A_063210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLNEMARADAERVPSAWLRALLHATFFEECPEHPGASCTTRPERRLQACNLFCTNCTGRPLCSACIAGGHAGHQLSRSVVGTRPGGHCCCEIERLSKHNVVKVKDMQAVLEVGEVQPYLVNSAFVVFLNQRPMEGKGKSGEYSLLTRPTASARSLGYKMMQKNQNGESPRPTKRLRDIGSSSRGGNCDEEDGAGISTTPRATGGHSGTTRRGDRPATIFHSLKVRKPQSTSCLLRGQDK >Et_3A_025510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30382383:30386881:-1 gene:Et_3A_025510 transcript:Et_3A_025510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGFQLQGRHGKSRVRVSRVWRRPAAAGGDVFVEWNVSVSVVSDCLPSYISSDNSAIVATDSIKNTVYVKAKECTEVVSMEEFAVILGRHFTSLYPQVSEATVTIVERPWERVVVDGKPHSHGFKVGVEKHTTEVIVKKSGSLLINSGIQGYSLLKTTQSGFEGFVRDRYTLLPDTRERMVATEVTAWWRYPFEHVSQLPSKPFCFTQRYQDVKKILAETFFGPPDVGVYSPSVQNTLYLMAKEVLSRFPDISLVQLRMPNLHFLPVNLSSKENPGLVKFADDVYLPTDEPHGTIEATVSRAMSKL >Et_1A_005797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14028886:14031822:1 gene:Et_1A_005797 transcript:Et_1A_005797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSPSPPPPPMIGRAGNLTVFITPPSPASTPRGSARAASESPPSDFSTPPRAAPSPAAASPSPSPSPKKTASPPAAPVVFTPPPPPVKVAPPPVQVPPPQYEKASGGKHDGSGFGFFWDAVARVQEAHASLDEYVANWFGLDQSKYQWALNDYYEATGKVSALSFLLCGAAAADQLVLQLGCETTVYWLVTYPHAAQTMLQEVDCVKPGKPKELTAKVQK >Et_8A_058397.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:6514962:6516194:-1 gene:Et_8A_058397 transcript:Et_8A_058397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAIAACLRCAAPSPSPAAGPSLATSVYETHLGLVALSWSRTSLGLSLRAVLRLSSPPPPSTPAAASSSSPASGAGYLDDDADEEETLAFRVRPWLLWRRRGSRRFRAGDRRVDLAWDLSRARFPGSGSPEPTSGFFVAVVVDGEMVLAAGDLPDAAYRRTRAQRPPGPRPVLLSRREHVSLRDDGTGNGRGHTTRVNVGGKEREISVDLVARGRGRDRGGREKDARADVGLSVSVDGERVLHVRRLRWKFRGSERVDLGGGDRVLVSWDLHNWLFPPRDPPPADASTHSLAHAVLVFRFELGAGASAEEREADLGKDPSSDKAARRNTGGWVGYLARWGQRDWSETGSNGDRRKGRRLAKGSSSSSASVASSSASWASGSTVMEWASPEEAEMQRGDGFSLLIYAWKS >Et_2B_021089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26410354:26417515:1 gene:Et_2B_021089 transcript:Et_2B_021089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AQESCNKPAPSPPSDPKINGFRSRENPTPVAPGKGNNQPISIFPVPFRFLFLVAAHLSIVATTTTSAPRPPRPIRLDSAGDRGHFSPARYFVEEVITGYDETDLHKTWLRANAMRSPQEKNTRLENMTWRIWNLARKKKEFEKEEANRLSKLRLETEKPRNDATADMSEDLFEGVKGEDAGDPSVAYGDSTGNTPRISSVDKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELAKALSSSPGVYRVDLLTRQILAPNFDRGYGEPVEVLASTNVKNFKYEKGENSGAYIIRIPFGPKDKYLAKEQIWPFIQDFVDGALGHIVQMSKTIGEEIGSGCPVWPAVVHGHYASAGVAATLLSGALNVPMVFTGHFLGKDKLEGLLKQGRQTREQINITYKIMRRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVMLARKLRALVKRGANCFGRYMPRMVIIPPGVEFGHMIHDFDMDGDEDSPTPASEDPSIWSEVIMRFFTNPRKPMILALARPYAEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMHKVSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLAARTKGAFVNVAYFEQFGVTLIEAAMHGLPIIATKNGAPVEIHQVLDNGLLVDPHDQHAIADALCKMLSEKQFWSRCRENGLKNIHQFSWPEHCKNYLSRILTLGPRHPAFARKEDQKGLMRRRKYIFVIAVDSVSKEDLIQIIRNSIEATCTGTLSGLTGFVLSTSLTIAEIQSVLVCAGMLPTDFDAFICNSGSDIYYPSQSSDMPSNSRVTFALDHNFRSHIGYRWGGEGLRKYLVKWASSVVERRGRIEKQIIFEDSEHSSTYCLSFKVVNPNHLPPLKELQKLMRIQSLRCHALYNHDATRLSVIPIHASRSQALRYLSIRWDIELPHAVVIVGETGDSDYEELFGGLHKTVILKGGFNTPANRIHTVRRYPLQDVVALDSSNIIGIEGCSTSDMRAALQQVGIPTQ >Et_9B_063892.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19590867:19590986:-1 gene:Et_9B_063892 transcript:Et_9B_063892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSQQRLLTGVVLCMHGGTRGRNRKGRPSTEFKSRASM >Et_1A_006537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23985663:23988853:-1 gene:Et_1A_006537 transcript:Et_1A_006537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRLNALSNEEYLNLFNALSFGSTNPDDHPQLAYIGLEIARLLRGLLLSGNVIADMLRKNFSIHYWLHILRRYRTVVDSNFSEFGHPVDITRFTSSSDHTLLRILPSRGVKSIVQKERSELTFGDLVVGDLVNRFISFMINKYQSEENLAEKTERLHDLLIRVHMIVEEADVRYITNSKMLVQLKKLVEVMYHGYHVLDIIKYRNFCSTRMEMEVSRFKNLFFTKRSSISHELHSTLDNLESVSSNMKVFLSLLCGCEHMFRSPFDSYLYIDNFMFARHVEKQEIINILLQENLPPFAPTVLPIIGGSRVGKKTLVAHVCNNEKVRSKFSSILHMNGENIWRMEHEPVMTMRSLVVIEFISDVDDKIWQKFYSSAKQMGAGSKILIVSRIAKLSRFGTVKSVHLNSLSVEEYSYLFKVLAFGSTNPEDHPQLAAVAKDLAASLGGSLVTANVCGDTLRRNQNVHFWINILKRYRNVIQKNFLVFGEHPKNLMDKDQPVDITKLVSLSPSLPSSTTVRLMPPHSEIDDFKRKLPKVMFGDIIAGRAVLPKEEFELVAWESRIPPYKRFVNLATYCDVGMASQNNTESPSKKRQRLDK >Et_3A_026052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3801194:3802953:-1 gene:Et_3A_026052 transcript:Et_3A_026052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFVALRSPELEVLGLTTTFGNVRTPLATRNALHLLETVGRTDIPVAEGSHVTIKKATKLRIASSCHGSDGLGNQNFPPPTTKPVEQSAAAFLVQQANLHPGQVTVVALGPLTNLALALELDPSFAKKVGQIVILGGAYAVNGNVNPAAETNIFGDPDAADIVFTCGADILAVGINVTHQVILSGNSKFDIVRKRLPFIHCLLLQGRSMRLNADREKLDQSDSKYARYLCKILRHYFDYHRDVYFRKGVYLHDPTALLAAVDPSLMTYTEGVVRVQTVGITKGLTVFNHTKKRYAENTAWSGMPTVKVAVTVDAPAVVEMMMQRLMADD >Et_4A_033805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2756330:2757195:-1 gene:Et_4A_033805 transcript:Et_4A_033805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANARTYVDFAPQHSLQEEADKEQLRVQIDNFGRLRISGERPLRADINRWRRFSKDFQVPDGCDAGAIRARIEKSGLLLITMPRLSPSPAREEPKPTPATAGANADAGTGQDKQKGDTSAQQEKAAAAAADTDAAEAGEENRKDAGDDAPMDRGDHDEQRATDDAGAAPLAGRQKYGLAMKPRRMVWAILATVLALIGAGLYAKYMLMDSSAETTPAGNPIAIISDSFPWRNTEDA >Et_1B_012877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3744491:3746307:-1 gene:Et_1B_012877 transcript:Et_1B_012877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLVARGQFGCSAGSLASRAPALPALCHGAISTSRVYYSAEKHDDTLGEIGEKARSTAEEFLRVAKEKTDEVSENAKETLHETKEAVVGESQEDKETFKRRVEEGRLRGDEREEIEIDHRIPCSAV >Et_3B_027935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27448255:27448581:1 gene:Et_3B_027935 transcript:Et_3B_027935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLYGEVSCNHGEAVFFQVRAIAANKTTDRMLQVTAKGEEGMTLFFVCVPSCGHRWARLISPCDLLVANNVVGTDDVCYLVSHDSTQSIMKWKC >Et_3B_030476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4085306:4087442:1 gene:Et_3B_030476 transcript:Et_3B_030476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFHSSPAWLGFRETGASGAASRLGLPAEVGSSGRGLTKLFPIRGISTTRLLAADDAMVPISSPLTPPSDDAEETDKSGAVVKKLKVQAIKKDIKQSPKKVNLVAKLVRGMRVEDALLQLQVTVKRAAKTVYQVIHSARANASHNHGLDPDKLIVEEAFVGKGLYLKRLSYHAKGRCGVMVRPRCRLTVVVREATAEEEAKIAKLRVSNYKKLTRKERQLMPHRLIEVSPRWARKRKEAGATA >Et_3B_030248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31690286:31691635:-1 gene:Et_3B_030248 transcript:Et_3B_030248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRENSDLNQKLHMHGWMYTDDHLLVHEMSPKTGCGAVVGVTGEERRSLEGLIDVLSYDKGLADCSVTVQEHRHLLVDGVVLQQQLALLFNVFLDELIRHTLEAQGCLSAVHEWAAKRGAGLPDTNLVYRNVVDHAVSEFTQTTSPPTPRCPAPSPSTAPPAGQDEAVFFHRYYSCAATSAAATDGGT >Et_4B_037451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:204329:206129:-1 gene:Et_4B_037451 transcript:Et_4B_037451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPAPKPPTGLRRGHLLRLRPPSCFPEGGPGTRKSSSNPLVSLLSAVPDWADAIQERRVRDRRPLYDKASWREHRSSRRHLRHFLSSLSSRVILSLVPPVSAFTAFAAAIAAFNTLFPAYALTASPLPYQLTAPALALLLVFRTEASYARFDEGRKAWMRVLAGAADLAGMLMAHHPHHDHPLRRDLLDYILAFPVALKCHIISDSDIRTDLEGLLAQDDLNVVLESKHRPRCIIQFISQGLQMLDLEEPKRNIMESKLSCFLEGIGVCEQLIGIPIPLSYTRLTSRFLVLWHLTLPVILWDECKWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDAMCKQFHDGMKDMMAVQDSVHTRLVARTKGHGGPQNGWPGSKREEAKID >Et_1A_007942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39609595:39613285:-1 gene:Et_1A_007942 transcript:Et_1A_007942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETTEDSPAAVAQPEPETTTPASSQPAPPAAPTATVAPVATTAVVAPAGAGRGEGKRKRGRPRKYGPDGSLLRPLKATPISASVPDDAGGGQYTPAAAVGAVMKRGRGRPVGFVSRASPVAVAVTAAAPTAAVVVSAPVPQTQLGPLGELLACASGSNFTPHIINVAAGEDVNMKIISFSQQGSGAICILSANGVISNVTLRQPDSLGGTVTYEGRFELLSLSGSFTPKDSGGTRSRSGGMSVSLAAADGRVIGGGVAGLLVAASPVQVVVGSFLPSYQRDQNAKKPVIEITTVPPSQPPPATIGFTISTGGDMEDSYSGSQPRSATAAKGNSVSAFRVENWTAPPAQPAPDQARKTPPPPAPEAKVPVPGG >Et_2A_014932.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:22162480:22162761:1 gene:Et_2A_014932 transcript:Et_2A_014932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHARPKEKECARELVVLGKQVLMHSERGNIPVAPNRDLVVFMKFVFVRRVWSIIVKVDYLIFMHGWLLFLYFFRYYFYNFSNINTIFLIFWS >Et_4B_037818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23774750:23777192:1 gene:Et_4B_037818 transcript:Et_4B_037818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQADGQMPGDKTIGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGEEGDEGDEY >Et_7A_052597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9714185:9716457:1 gene:Et_7A_052597 transcript:Et_7A_052597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTVRFSTGESAGGPPAAERSRAGSSPATPATATENTGAFWGYRCMRKTVRRTTPVITAAAAKRKRQHAKQASGTVLSRQASLTRPAAVRGRGRTVDDAAPVTRRRHGFSRVSQILFFN >Et_7A_050388.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1278776:1278952:-1 gene:Et_7A_050388 transcript:Et_7A_050388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RSFSLFSVIFVRREANCVAHCCASKPTRDHHVFFWSDHLPLWLSEVAAKDCNPVSINE >Et_3A_026257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6049657:6050828:1 gene:Et_3A_026257 transcript:Et_3A_026257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMHVHRAPKLFGRERPLHTALGGRRAADIILWRDTKVSASILVGATAAWFLFEVAEYHFFALLCYAAMIGMLVFFIWTNASAFFNLPVPRIPETLLSERTTRQVIQSLHSRITMLAYKLYDIACGKDLVTFLLTVLALYIASVIADCFSSLTLLYLVVLGTMTLPALYERYESEIDHLVARGVHDLRSHFAEMDSSVLRKIPRGTGAAAKHY >Et_2A_017711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5276422:5290036:-1 gene:Et_2A_017711 transcript:Et_2A_017711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEKRSQKKNGCLAGAGWRKPIRQGLPTPSSINALPGTVGGETSSKQEQQQANKATESRELASDSRSLASAQRRRRPTNQAFRPAREAFYKMVHNEVIPLLTPHKMGQFQLSHRVVLAPLTRCRSYGNVPQPHAALYYSQRATMGGLLIAEATDISPTAQGYPETPGICTDKQIAPDAESGMMVNQEAIPLLTPYKMGQFELSHRVVLAPLTRLRSYGNVPQPHAALYYSQRATRGGLLISEGTAVSAIGVHGYPDFPGIWSQEQVEAWKPIVDAVHRKGGVFFCQIAHVGRVSTGVFNGTFIAVGGYDKDEGNKIVAEDYADLVAYGRLFLANPDLPRRFELGAPLNKYDRSTFYTQDPVVGYTDYPFLEEDGPSGGDPADDAVQDGAVRALPSGGARAAHAVPQPHAAVYYSQRATRGGRLISEATGVSATAQGYPDLPGIWTQEQIEAWKPIVDSVHHKAGGVFCQIWHVGRVSTNGLQPDGQAPISSTNKQITPDAESGMVYSKPRRLRTDEIPGIVDDFRRAAQNAIEAGFDGVEIHGAHGYLLEQFMKDSANDREDKYGGSLENRCRFAVEVIDAIVREVGAHRVGIRLSPFVDYMDCVDSDPVALGNYMVQQLNRHEGFLYCHMVEPRMAIADGRRQIPHRLLPFRKAFKGTFEMGSTRCRSIRKRFPARWEAIPLLTPYKMGPFELSHRVVLPPMTRLRSYGNMPQPHAALYYSQRATRGGLLISEGTSVSAIGVHGYPDLPGIWSQEQVEAWKPIVDVVHRKGGVFICQIAHVGRVSTGDSRFDGEAPVSSTDKAVTPDAETGMVYVKPRRLRTDEIARIVDEFRRAARNAIEAGFDGVEIHGAHGFLLEQFMKDSANDRDDEYGGSLENRCRFVVEIIDAVVREVGAHRVGVRLSPFVDYMDCVDSDPVALGTYMVEQLNRYEGLLYCHMVEPRMAIVDGRRQIPHGLLRFRKVFKGTFIAVGGYDGGEGNKIVAEDYADLVAYGRLFLANPDLPRRFELGAPLNKYDRSTFYTQDPIVGHTDYPFLDDDTIDSKNEA >Et_5B_044732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5092961:5097077:-1 gene:Et_5B_044732 transcript:Et_5B_044732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKKSKARGGGDDLLDSSDADSVASSSTAISDLSISYATEHVNSQEFVLDKYIDALYEKRGSTREATLSKLVDAFESFMLHGLVENKYATLLSQFNNSVKKGSTKEVCLASRAIGLLAITLGAGSGSHEIMEESHPQLSRVLQAWSDAAKMISALDCLAVITFVGASDLAETELSLKAMWDVIHPKSGSNVGTVRKPKPPLLAAALSAWAFLLTTVGSWRINTDNWKEPIAFLSTLLEAEDRAVRMAAGEALAVCFELNLLDVSSFEDADVNTGGTSGPKNKLFLDMQALKAKIAGLASNLSAEAGGKGADKKNLTDQRDLFQRILDFVKYGECPEESVKIAGKRDVLRVSSWCELTQLNFLKRFLGRGFLKHVQENGLLQDIFDIKTDTTETLSSNDKKIFRSGEEKDRALKLNKDRRMAQARKNAAMLIE >Et_9A_061528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13098868:13104946:-1 gene:Et_9A_061528 transcript:Et_9A_061528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVERRREEHSRRSRSPARDRERRRSPPARRKDSPARARSPAKASDSHRDRERSPPREKAKERVKSPKHAREQPRSPSPAKRRDSRSPSPRTKRLRRAEAERETAQAADGDRRKASHREERDSGRHREHDEGRDASRDRKSEREVEGDRSKSSHKEERDSGRQKEHDDGRASSRGRKVEREDGRGSGKDKKLDHDDGRDHSRDRRGSRDDKSGASRETLSSRDDDRHDSRGGRPDREGQKGASSREQRLDHSDIADSARERTTGREEKYGGSGRSSRRDRSVSPGEHRHRGRHESRSPPRASRSAARAEDVNSRGDEVSRSGDADSVAKMKAAEEALEAKEKQKPSFELSGKLAEETNRVAGVNMLYSEPPEGRQTDIRWRLYVFKGGEPLNDPIYIHRLSCYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMAKKVRPYLMDLDSTNGTFINEKRIEPRRYYELFEKDTIKFGNSSTTSSNFGLLRVLLLATFSCLHVKVLPKDKAGVRMAQDVRCD >Et_5B_043673.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13903400:13908495:-1 gene:Et_5B_043673 transcript:Et_5B_043673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLLLLALLPSLVLGASAAGSGSTLMQSRTITGEQKLVSPSNTFQLGLFAASNRSKWFLGIWFAVSPDTVVWVANRDRPLNSSSGVVAVSGQGALVLLDGATNETVWSSSNSSSAPAAVAELRDTGNLVLTDAASGAVLWQSFEHPTNTFLPEMRVGKNTRTGAEWSLSSWRGADDPSPGDFRYVMDTRGSPELHVWSARRGGRKTYRTGPWNGVRFSGIPEMTTFEDMFEFRFTATPDEASYMFRNRDGSPMSRVLLNEAGVMQRMVWDRASGAWSNFWSGPRDQCDDYGRCGAFGVCNVVDATVCGCIRGFAPRSPAEWYMRNTSGGCARRTPLQCGGDADGFYVLRGVKLPETHGCAVDAGATLQECARRCLANCNCTAYSAADIRGGESGCIQWFGDLMDTRYVDGGQDLYVRLAKSELDVTKRTRKFVVVTALVITGFALLLISFGFLFWRKAHRNKKVTFLDDTVQLVSSECQTYPLEIIRAATKGFCKENEIGQGGFGIVYKGQLPDGQQVAVKKLTAENTVRGLNEFMNEVVLIAKLQHRNLVRLLGCCIHSSERMLVYEYMSNKSLDSFIFYERRRATLSWKTRIDIILGIARGVLYLHQDSRLNIIHRDLKAANVLLDDDMVAKISDFGIARLFSSSGDRQETITKTIIGTYGYMAPEYAMDGMLSFMQDVYSFGVLLLEIISGRRNHKSFNLIAHTWGLWEEGRSHELVDPTIRSNCSAAELEQAATSIQVGLLCVQECPSQRPPMVDVIPMLSQQKAPSQPRRPVVCTPMSYQAIALGVQEITSGNSNLTITSLEGR >Et_2B_022422.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:21020403:21021497:-1 gene:Et_2B_022422 transcript:Et_2B_022422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSASSKPPPHLLLHVDTTATSNGSSAAASPGGSASSTPSSSRSPRPSGNSGGGGGGQNQACAACKYQRRKCNPDCPLARYFPADQQRRFLNAHKLFGVSNIQKTLRRIDPEYGPEAMRALIYQSEARAADPVYGCVRIIKELERQLHMVHAEISAAHHQLAIYRQAAAMADPAAALLVAPAAAAQGQDNNNVVMAMDALYGGGGGADQQAGFAFVHHHDHDQQQDYHHHHHVLKAAGDDAAAQHPLYDYFCYDGAAGDEETTAGGGVQEYCYSSDASVKNSSSSMTTLGEQMEQHCQIEAAPFVDAFDVKPHDLPVAMAHHGVDQPEQKVAVVKYEDDADQKMADPAAAQCHLELGFSASF >Et_1A_004849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12059460:12059743:-1 gene:Et_1A_004849 transcript:Et_1A_004849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLRIGNAVVEIDVVLLKQAICSEVCDLGPDGGLITEIKKVSRLNFISFSVIYVPRSCNKVTHALAALGSRL >Et_5A_042325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8741264:8742485:-1 gene:Et_5A_042325 transcript:Et_5A_042325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSSSSLLPALLLLVLAAPICLFSTPAAAEGARAAAVIVFGDSTVDAGNNNFWPTTAKSNFPPYGRDFPGGRATGRFSNGRVSPDFYPAALGLGRGFVPAYLDPSYTIQDFATGVNFASAGSGMDDTTSLALNVITLSKQLELFRQYKSRLVQQLGADEAEKVLNGAVYVISIGSNDFMANYFAMTSPGRHVEYPTASLYTAYLVGLAQRFITDIHALGARKIGFEEIFPLGCLPAARAALLGLCNEVPNAAARGFNDAMRAMVAGLKLPGADVRVAGLYGFMDALLHNPAQYGFDRADLACCGTAGLGCIPWGPTCPDAGKFVYWDNAHTTDRAHGLIAAYLFNYTYGAFAAAA >Et_10B_003287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18530608:18531523:1 gene:Et_10B_003287 transcript:Et_10B_003287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAARYLARATGLAAAAAGTPLHRVAVVMADCLARCILRPIPAMADALIDPSECNRASTGGAAARRGLFELSPFPKVAYAVSNRAIIEAMENEKVNVHVIYFAGPAAQPRQWMDLLRKFHRRPEGPPHLRLTVVHDDKEFLAKTLKLLADEADELSWTWHSSSITSSASSRRCISATCTASGTTGCCSGRCAWRTPGSAACRSATWPSGARGDDVLRRCGVRGCENREHGGCLLMCWSSWPLFSVSGVAAERRRGRLPRQRRVFVPVEPDGDSGT >Et_1B_013507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9017994:9020471:-1 gene:Et_1B_013507 transcript:Et_1B_013507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITVEQDFDNSRRTEILEMAFCTDLAGLRPSPADVRVVTSDGSTIAAHSSVLAAASPVLEQMIAGAGHGWDADCTVRILGASSDAVAAFLRFLYSHPREETAAEEWAQDAEAGAHGAALLALAHAYRVPWLKRRAEAAVAARLTAERAVDAAKLAALCDAPRLRLACARLAGKDLAAVERSEGWRFAGRHDPGLRLDMLQLVHDADQRKERWMRKRASQHVYRQLSDAMSLLDRVFTQGSVRRGIEQLVRHLAACGGRARKPACPRCRRAFQLLRLHASLCDRAGAGGEPCRVPLCSNLKTKMQEEGVDKTWRLLVKKVTRARVMSTLSNREVPEIVKKSWAKYSSRKTGRLR >Et_9B_065119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21369102:21371437:-1 gene:Et_9B_065119 transcript:Et_9B_065119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMSSSRARLLILCVAVALLVAASCDVVVATGSHGRHRTERRHGHTKKLRPGKSAAAAVNATRVEAIERQFTRWVRFMGGLGGHSTFNRALNRGFLPTRTIVVDKNPGAGDYTSIQAAVDSLPLINLARVVIKVNAGTYTEKVNISPMRAFVTVEGAGADKTVVQWGDTADTVGPWGRPFGTFASATFAVNSAFFVAKNITFKNTAPVPKPGALGKQGVALRISADNAAFVGCNFLGAQDTLYDHLGRHYYRDCYIEGSVDFIFGNALSLYEGCHVHAIARNYGALTAQNRMSLLEDTGFSFVNCRVTGSGALYLGRAWGTFSRVVFAYTYMDNIIIPRGWYNWGDPTREMTVFYGQYKCTGPGANYAGRVQWSRELTDDEAKPFISLDFIDGFEWLRL >Et_4B_038762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4755702:4759074:1 gene:Et_4B_038762 transcript:Et_4B_038762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSNPDTFQDDGNEVSIVASELVSDITVCIGTTKFYLHKFPLLSKCARFQKLIPTTGDENIEIHIHEIPGGAKAFEICAKFCYGMVVTLNAYNVIAARCAAEYLEMNETVDKGNLIYKIDVFLSSSIFRSWKDSIIVLGTTKAHLPWAEDLKLVSHCIESIASKASIDVSKVEWSYTYNRKKLPIESGHDSPWNGVKQQQLVPKDWWVEDLTDLDIDSYKQVITAIKAKGMVPKDVIGEAIKAYTYKKLPSLSKVSMMHGDAKVRAMLVTITCLLPSEKGSVSCSFLLKLLKATNLLKCGEMCRKELMKRIARQLEEASVSDLLIPTVDGDTTVYDIDLILSIVEEFVRQDNKNAQKHNGGKMNGHVSAPSASMMTVAKIVDGYLAEVAKDPNIPVYKFFSLAETVSGNSRPVHDGLYCAIDMYLKEHPSLGKSDKKRLCGLMDCKKLSPDACAHAVQNERLPLRIVVQVLYHEQTRASAAVAIRADSIGIGSYESSRSGATTNTEDEWDGVMAVEDLSLSKTTKLDTAGTDAEKNHGGNKGTNGRVKGGATPKKAIGKMMPSKGQSGERSSSDSSDSAILPRQEHPKRTPARSTKSAAA >Et_3A_023077.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:25001783:25003258:1 gene:Et_3A_023077 transcript:Et_3A_023077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAHAPVSSRPRGLAQPDPLHPHLAILARDADLAAARLESCADARDLLRVHARVLRRGLLLLPFHWNALARAYLRLGAPLHALRAAVCMIAHGAEPDRYTFPLTLKAAAQAEPPGSTMRLQLHAAAAKRGLARHPFTESALISSYFKAGDLGAARTVFDENPHRALGSWNAVISGLVQAGESKEALELFHELRRGGFVPDDLTMVSVASACGALGDIGLAEQLHKCILQCRRSGRLDVTLSNALVDMYAKCGRTDLARTVFEGMPARDVSSWTTMISGLATHGQEQGALDLFDDMQREAVPPNRVTILAVLSACAHGGLVDRGLGLLKQMEDAEIRVVPTVEHYGCVVDMLGRVGRVDEARALVEQRMPMDANVVIWGTLLGACERHGNVSVGEWAAGRLVEAEPWNDGVYVVLSNIYAAAGMWAEVERVRKIMLERKVTKSPGCSL >Et_1A_005309.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:39451412:39452275:-1 gene:Et_1A_005309 transcript:Et_1A_005309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFASVHFPLSQFPNLNSISTVSAAAAAMSLSYSMIAFFASAAKGAAAGNAAVDYGLKATTGAGKVFGALNALGAVAFAYAGHNVVLEIQATIPSSPEQPSKKPMWRGVVAAYAVVALCYFSVAFAGYYAFGSAVAPNVLISLDRPRWLVAAANLMVVVHIVGGYQVYAMPVFDMIETLLVKKHGFKPGFWLRLTARSAYVAATMLVGMTFPFFDGLLGFFGGFGFAPTTYFIPCIIWLKLRKPKKYSVTWIINIVCIVMGVMLMLAAPIGGLRQIILDAKSFKFYS >Et_3A_023364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23309285:23309767:1 gene:Et_3A_023364 transcript:Et_3A_023364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAPGHFLADARALRPGRRIEALAADEDLQRGLLYAALPMKRLGAPAAPADVARLAAAVVASGEKARSRSRRSASSTAKVAAVVAPPVDATASKPRAPRLDEMEVDDAAAAAEIEELKQRLSGAGRRSRRPTLETIQEESYAFVAAA >Et_3A_025398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29574384:29575409:1 gene:Et_3A_025398 transcript:Et_3A_025398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAERQHHFILVHGNCHGAWCWYRVATALSAAGHRVTALDMAACGARPGRAEEVASFEEYSRPLLDVVAALPPGEKAVVVGHSFGGLSLALAMERYPDKVAVAVFVSAAMPVPGKPMTLVLEQFSQGTGPDFYMDCTTGTSNDPHNPVETFLLGPEYMAHRLYQLSPPEDLTLANAMVRPSRWFLNDAVMKENVLTAERYGAVRRVYVVAEDDATWSAEFQRRMASWNPGTEVAGLQGADHMPMFSKPWELSKLLIEIALAENHS >Et_4B_038455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29068477:29075939:-1 gene:Et_4B_038455 transcript:Et_4B_038455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPMRTYAVAAMLARRRCFAAANRICPLVRAFSDAPVSGLDSAAGAAPRSQDHTNSIGGVKTTPDVLDVAIVGGGMVGLAVACALSTMPLTKHLRIAIIDSNPALKSRNYLPKNSIPDSRVWDYTGLGYTRYNARDVGKEHLGCVVENKVLCNSLLLRLQEELDDIEKVIYPTRLVSLTFPSTSRQTGMKPTLGEAASVSDASEELHRRNLVKLDLIDGRSLYSKLVIGADGSKSYVRQIAGIKTTGWNYPQSAIICTVEHAGENDCAWQRFLPSGPIALLPIGDNFSNIVWTMSPEESSRHKSMSPEEFVKAVNHALDFGYGPHPHTGFFENYMEKFFSGIGNTAASTKECFEVPPKATGVISERMAFPLSLMHSHDYVSKRLALVGDAAHTVHPLAGQGVNLGFGDAAALAKVIAEGVSVGSDVGDLSLLQRYEKDRKTANVAMTAVLDGFQKMYSVDFGPLNVVRAAAFHGAQYISPLKRNIISYAMGDTKWPLGFLPSTGPYVIAKTSFRCLESNDTSPRRLTKLSSVANDSTGKTAGGERVPLRERKRRGGDELLDLGVRCAARGRRLRVQACKCPICRRLINLLVPANISEQQQDDPQLHRILGEIQHYNRIFGGAPRTLTQRLQDMPFFIRRLFRELLDPQRTLPLVFRARMMLMVALSAIYVLSPVDFLPESVLGIVGFLDDLLILLIVFMHLAAVYRSLLVYRHGGQY >Et_2A_017071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30754634:30756677:1 gene:Et_2A_017071 transcript:Et_2A_017071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIETQVKGYRGLWSESEDLNRSLNMLAAGMDDEIVKHGGAPRSAVSKAYGKEMRCLTHDIEDCLERFLHRVTRKRGASRFHKTTHALKTIPRCLRFARKMKKLKKRLDGARNRVFQPSLPSDATSVVPTSATEGRIHSPAGITEATKHLLALLEKPNLFSLIAILGFGGSGKTTLVRALYNCTLQDKFSCAWVSCNSLESHNSGGILLELQKQLLPEVQCSDSTLSDYLSKQKRYLIVLDGVKMEHMDNWKSLRHALKGSGGVLATTSFQSVATALTSGCTPGNNFSPSEEADKVEAFGYVYRMGPLSKENSQAIALAGRCAPELIQGSAKLLDKCDGHPLALSCVANLLRSQDEPTGRFCLELSRSLGSYLEQNEFDEPYFARLRTVLFDAYGRLPDHFVRTCLLYLCIFPASRPISRDVIISDEGGELRLADDVLKLLLERYIIQPVDPTWNASVKTCKLQGIVHEFLLQRSVSESFVGFFDAERHGIVRHLVVNDSNNTNLCTAVNLDLSRTRSVTIFGNGNSAISSMKYKLVRVLDLEKCTDLNDHHLEDICKLWNLRYLSLGPHITTLPEEISELKLLETLDVSKTKVNELPFVVIGLPSLRHLIGKFAV >Et_3A_024465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20991452:21008891:1 gene:Et_3A_024465 transcript:Et_3A_024465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVVAAAAASASPWSLLLPGLLTLLVAWVAYRAAERCWLRPQRLSRALRAQGLGGTAYRFPAGDLKENARLNDEARSKPMPPCHDVVPRVMPHLLNTVKEHGNICITWFGPIPRVIIGEAELVRDILSNKFGHFEKFTNKRLGKLLALGLASIDGEKWAKHRRILNPAFHLEKLKRMMPAFSTCCTELIDRWENELAGSSGSYEVDIWPEFQNLTGDVISRTAFGSSFMEGRRIFQLQGEQAERLIKAFQYMYIPGFLFFPIPNNRRMIEINREIEGILRGMIEKRERAIEKGEASGNDLLGLLLQSNMDSGKGNLRMSTEDVIEECKLFYFAGMETTSVLLTWTLVVLSMHPEWQDRAREEVLSVFGRDKPTFDGLSRLKTAIDVVFQVTMILYEVLRLYPPAVTLNRRTFKEMQVGAIKYPEGVILELPIILIHHSPDIWGKDVHEFKPERFAEGISKATKDQPAFFPFGWGPRICIGQNFALLEAKMALSIILQRFEFQLSPTAMVLVASFVAAASLPWSLLLGGLLGLVLMWQAGRLLNQLWWQPRRLERALRAQGLRGTSYRFLTGDLKDYGRVTKEAWSKPLPLRCHDIAPRVMPFVYKLVQEHGNACISWFGPIPKVTINDPELIRDVMSNKFGHFEKLKFPALTKLLGEGVANHEGEKWVKHRRILNPAFHLEKLKRMLPAFSACCEDMVSRWVESLGSDGSCELDVWPELQTLTGDVISRTAFSSSYLEGRRIFQLQAEQAESIMRSVQKIMIPGYLSLPTKSNRKMRRVNKEIESILRGIIGKRIQAMKEGEGTKDDLLGLLLESNMRHTDENGQSSIGMTIEDVIEECKLFYFAGMETTSVLLTWTMVVLSMHPEWQDRARDEVLRLFGKNKPEYDGLSRLKIVTMILYEVMRLYPPGIAFIRKTYKEMDIGDITYPAGVILELPVLFIHHDPDIWGSDVHEFRPDRFAEGISKASKDPGAFLPFGWGPRICIGQNFALLEAKMAICMILQKFEFELSPSYTHAPHTVVTMHPMHGAQRAMVLATLFAAEASVPWGLLGLVLLGKFVRLVDQLWWTPRRIERTLRAQGLRGTSYRFLIGDLKDYSRLIKEASSRPLPLRCHDIRPRAVPFTYNLVREHGRAFISWLGPYPKVTLMDPELTREVKSNKFGHFEKLKFPALSKLHGDGVANHEGGKWAKHRRILNPAFHLEKLKHMLPVFSACCEDMVSRWLESLGSDGSCELDVWPELQALSGDVISRAAFSSSYLEGRRIFQLQVEKDESIMRNIQKIVIPGYMFLPTRSNRKIHQLNNEIESILQGIIGKRIQEMKEGESTKNDLLGLLLESNMRYKDENGRSSTGMTIEDIIEECKLLYFAGMHTTSALLTWTMVLLSMHPEWQDRARQEVLSLVGKNKPEYGGLGRLKIVTMILYEVLRLYTPGTAFMRKTSKEIEIGGVTYPAGVIIELPMLLIHHNPDIWGSDVHEFRPDRFAEGISKASNDTGAFFPFGCGPRICIGQNFALLEAKMAICMILQNFEFELAPTYTHEPHLLIALQPMHVASAMVLQALLEAAASVPRSYLVCGVLGPVLLWQGGRLLDRLWWRPRRLERALRAQGLRGSSYRFLTGDLKEFNRLKDEAWSKPLPLGCHDIVPRVTPFIHKNVRENGKTCFSWFGPIPAVTVTDPALVKDVLSNKFGHFEKPQFPALSKLLADGLTSHEGEKWVKHRRILNPAFHLEKLKLMLPAFSACCEDLVSRWMQSLGSDGSCELDVWPELQGLTGDVISRTAFGSSYHEGRRVFELQTEQAEHFIPALMKIVIPGLIGKRIEAMKKGESTEDDLLGLLLESNMKHTDENGQSSLGMTVQDVIEECKLFYFAGMETTSVLLTWTMVLLSMHPEWQDRAREEVIGLFGKSKPGYDGLNRLKTVTMILYEVLRLYPPAIVFSRKTYKEMKIGDVMYPAGVMVEVPVLFIHHDPDTWGSDVNDFKPERFSEGIFKASKDPGAFLPFGWGPRICIGQNFALLEAKMAVCMILQQFEFELAASYTHAPHTVMTLHPMHGAQIKLRAI >Et_6B_049980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2395211:2397235:1 gene:Et_6B_049980 transcript:Et_6B_049980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFSSVVQWWEEWQLRILVLGSLFLQFVLFIGSWTRHVRSLKSCMWVAYIGSDALAIYGLATLFNRHRQPAGSGAIQLELLWAPVILHHLGGLHSFTAYSVEDNDLWARHLVTLVSQVTVALYVFCRSWSDGDKRLLQAAILLFVGGVLKFIRKILVLKAASFSSLLASSAVYPLRRAEGDVHWLYKSFLTSQSIDDAVSLREEEEHDLPLEDYIQKAKDLALATEIDPNFEIDLTGRPMDKSLVDISAPYSRRLRDMSSFLKLNLKNLSFDQSVLIWHLATDLCLHHPKTSSSPTSHRCRCSEMISNYMIYLLLIRPEMLMPGSRQGLFTTTSDDIEIMLKYANEPPSLDKRSVAQRILHVAKSPQPYEDRIGPLILKACKLAEDLMELLGDEKRWEVIQGVWVEMLCYSAGRCRGYLHVKSMGEGVEFLTYVWFLLSYMGMEILADKFQRPEPAQGEQDDAGTPPASQPQELPV >Et_3B_030230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31513242:31524113:-1 gene:Et_3B_030230 transcript:Et_3B_030230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGVAPLLAAALAIAAFAATATGARAIGVCYGVIGDNLPSRSDVVQLYKSNRIGNMRIYFADREALDALRGSGIGLILDVGNDKVGDLAGNPSNAASWVKDNVQAYYPDVNIRYIAVGNELTGTATESSVLPAMRNVHDALASAGLAGSIKVSTAVSMDTVDKASPPSDGVFKDSSVMSPIVQFLASNGAPLLANVYPYFAYKDNQGIDLNYALFQPSSTTVTDPNNGLTYTNLFDAMVDAVRAALDKAGGGGVDVVVSESGWPSADGRGATVDNARTYNQNLINHAGKGTPRKPGAMEVFVFAMFNENQKGGDPTEKKFGLFNPDKTPVYPINFAGTDHWSFRLHSFSCVLHVGILIYHFFGVEPIGVCNGMFGDDLPSPRDVVQLYRSRGISNMRIYNPDSHVMEALRGSGIGLVVGVFNGDIPALAASQAKAAAWVQNNISPYYRDVKIMYIAVGNEVEDGVAQSILPAMQNVEGALAAAGLGGVIKVSTCVRLDGTFAQPYMSGIARFLAITGAPLLANVYPYFAYRENQGDISLNYALFLPGTTMRDAGNGLVYTNLFDAMVDSVYAALEKAGAASVRVVVSESGWPSASGTAASLQNAQTYVQNLINHAGQGTPKRPGPLETYLFAAFNENQKMGIALLVGAIFASVPTGVRSMGVCYGMLGNNLPPSSQVVQLYKSTGIDGMRIYSPDKATLEALKGSGLGGVIVDTGNDNLCELARNPSSAASWVQSNIKPYYPGVNIMQIAVGNEVQGGDTRCIVPAMRNVDAALANVGGSSIKVSTSVSFGVIKDSYPPSSGAFAQPYMADVARYLASTGAPLLANVYPYFAYRDNPRDISLGYATFQPGTTVRDSGNGLTYTNLFDAMVDAVYAALDKAGAGSVKIVVSESGWPSAGGFGASVENARKYNQGLINHIHGKDGPQGTPRRPGRIFTYIFAMFNENQKTGDATERNFGIFYPNKKPVYPLNFHEAGERKLRTENKLLRAAAVGVCYGTSGDNLPPPSTVVDMLRENGFTVVRLYWPDPAALGALAGSGIRVVVGAPNDVLPSLASSQSAAADWVRQNIEAHPGVTFRFLVVGNEVPVGETQHLVPAMENVHAALAAAGLHHIKVTTAISQATIAVHIPPSAGEFSEEAKSFMSYVVDFLERTRAPLLANLYPYFVYTYNLWKMDMNFALFTSPETQVQDGEYAYQNLFETTVDALYTAVEKLGGPNVRVVVSETGWPTAGGVAASVENAMTYNQNLVNHVRKGTPRRPRKIEAYVFAMFNENLKEAGVEQNWGLFYPTMDRVYPISFSA >Et_1B_012941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4249404:4251029:1 gene:Et_1B_012941 transcript:Et_1B_012941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSATLPPPPPKAASRSLARRAAAAVSAALLAARPAAHAAAISKEDVAGSINKVVDTVDTVIDVGGKVAEQSAGVLKALGKAVKPALPVLKSAGDEALKLAAPVVSGASKQATEALQGAGVDPAPVVSAAKTAAEQGTKVIDAAKPIASATVETITSLAPEDYVVAAGAAFLAYILLPPVWSIVSSNLRGYKGDLTPAQALDKITTQDYVLIDVRSDKDKAKAGVPQLPSNAKNKIISVPLEDLPSKLKGMVRNAKRAEAEITAMKISYLKRIGKGSNIIIMDSYNDNSKIVARTLNSVGFKNSWVMSGGFSGRKGWTQSRLGTDSYNLSVVEVVTPSRVIPAAAARIGTISSSSRAPPRKLLPGSVDGSS >Et_5A_041001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16374306:16375639:-1 gene:Et_5A_041001 transcript:Et_5A_041001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAGDESSHLISLLPDCLLTTVLSLLPLHDAARTTALSRRWRRIWPTTLLRLLDSPVPLTAAAISQILASHRGSAELFHLILVRPSPADLDSWLPSLAAKRLQELVLRPPSDEPLRLPPSILECRSLRSAELTNCRLPEDAAAAGAVSFPRLAELTLRLFHAPSAAALHGLLAGCPGLASLSLDRVFGCRSLRVGSGTLRSLTVSVSLTRRRLPEEAGELEHLVVEAAPALERLLAHDINWGPSIHVIQAPKLQMLGYLGVRIPELQIGSTLFRSMSAVRLVERFRSVTTLALEMADLQVKPVADFLRCFPCLETLYVTRENVHHPIECFNNHLKTVVLKGYRGQKHEVQLAMFLIRGARVLKVMKFLCDNDCSSSWLTIQKRRLHLDNRASSEAQFVFQKFSMSYI >Et_5A_040643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10738780:10742611:-1 gene:Et_5A_040643 transcript:Et_5A_040643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARSPAPGLRRRGVLLPILLLLLPLLLLLHHLSSSPRPIPALRKPSQACDHSVGEWVPDDSAGSSLRYDHTCKDIFKGWNCIANGKANGRDLLRWRWRPSSGCELPRLDPLRFLQRHRNTNIGFVGDSLNRNMFVSLVCMLRGVSGYVRKWRPAGADRGFTFLRYNLTVAYHRTNLLVRYGRWSAGSNGGPLESLGHKQGYRVDVDVPDQTWAEASNFHDILVFNTGHWWWAPSKFDPTQSPMLFFENGKPIIPPLPPPAGLDLALKHMITFVNKAMRPNGVKFFRTQSPRHFEGGDWNEGGSCQRNKPLSSKEVEEFFSLDNNGTNVEVRLVNQHLMKALEQSTFRVLDISRMSEFRADAHPSTTGGKKHDDCMHWCLPGPTDTWNDLLAVNLEAIELK >Et_1A_006483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23071802:23074203:1 gene:Et_1A_006483 transcript:Et_1A_006483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTSCTFLARVPAVRLPNSSSFRVPSVTPAAMAAFSSQRRSRALSIRCANNPKAKGEHNPKTDVHPFKISPVVLVDLVAPQGERWQLKEEPDKVTLWFEVPGHTREDLAVEIDEDVLVIKKRTNAPAAGANRNVAPGADPAAKGAVPSGDGIYARLFLPAGYSKESVEAELQSGELRVSIGKIKEQARRKINVNIDVNCIQQQGKMDQLVVKDGNN >Et_8B_059286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16171371:16174022:1 gene:Et_8B_059286 transcript:Et_8B_059286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEGGGGGSAGVGGCLGMGHGGEAQIKGTPTHGGRYVQYNIYGNLFEVSAKYVPPIRPVGRGACGIICAAVNAQTREEVAIKKIGNAFDNQIDAKRTLREIKLLRHMNHENVISIKDIIRPPRRENFNDVYIVYELMDTDLHHLLRSNQPLTDDHCQYFVYQLLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTTETDFMMEYVVTRWYRAPELLLNCCEYTQAIDMWSVGCIFGEIVTREPLFPGKDYVDQLRLITELVGSPDDTSLGFLRSDNARRYVRSLPQFPKQQFRARFPTMSSGAVDLLERMLVFDPSKRITVDEALCHPYLASHHEINDEPACPAPFSFDFEQPSLTEEDIKEIIWKESLKFNPEPIH >Et_9A_062101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19720668:19722537:-1 gene:Et_9A_062101 transcript:Et_9A_062101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQQQGPSELFTTRTSFPMEQHLFLRGGNAQGDSGLVLSTDAKPRLKWTPELHQRFVDAVNQLGGAEKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQANVGTTKNAIGCTSVSDRMPGTSVPTMSSTNVIPQAEKTIQIGEALQMQIEVQRQLNEQLEVQRHLQLRIEAQGKYLQAVLEQAQETLGKQNLGPANLEDAKIKILELVSQVSNECFSNAITDIKESSSMHRLEPRQIQFVESSTNSYLTAAQGFIKEHRLQNHGVLKAYDDSSVFCRKGSHENEVHLTLNRSLSERRVSHFHDVKGYNKEEFVCESDTEAPSEYITPQKNGGGSTTSSESGSKEGNAEKQPLQEQSCRKQAVEYPRESKLLDFEHPYSGKKLDLNTRNIDDNDQAYRHFDLNGFSWS >Et_3B_029628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26659964:26660619:1 gene:Et_3B_029628 transcript:Et_3B_029628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPTSSQPFGEVAAGYNLKYLGVTGVRFLPVDQELIGYLQRKLRGEPQPTDAVNDADEDVYSEHPKDLGESTAARRNYILLFLVTVLRLNLGKLHKSFEGTWYLFSPRGWKYAGGGRPRRSTDDGVGFWKSMEAKKEVLGGADGKEVIGYKRGLTFHVFVEKPVDPKKRWKPTEWKMVEFVSINTDRPPKENVANPML >Et_1A_007870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39020444:39023233:-1 gene:Et_1A_007870 transcript:Et_1A_007870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAGGEGGGDGGGSVMRIFVGGLAEGVSAADLEAVFGSVGRVAGIEFVRTNGRSFAYVDFHCPSDKALAKLFSTYNGCKWKGGKLRMEKAKENYLTRLKREWEQEAAAAAVAVQEAAVKDYVEKQEKPKFDKAALEGMKINIYFPKLRKVKPLPFKGSGKHKYSFRNIEVPSYPIHFCDCEEHCGPPEAANDEYASVLNAVSYEKERNIMNSVMSKIFEKENERLDSSEMEKCDVHINTMEPSDPLNSMQVEKGEAPDEDLEDMQMEETEEPSDEDLDDDLVINIAPRKSNKSIVQVKTEDLQVSKDSQSRKRPRFDETSQLKKRQRFEGSSEFRKGKQEPTSVIPGARNTGKSLPAIREASQNQPKSPGLAGKGTSEFSSAILRDKSPADPQDIEALTSSTKSGEQNMVSTQPKKGSIWIQKASWRDLVGGMGSTPFSISQVLPNSNPVAPNVNESGKSENILEATTQPLSEQMLPSSTGVLSIDTTDVATGHATGESKENNKAQKVRVVPKITIGEVCPFMRNTESQKQWSKAKKVLSGFNKKSKEHSESNTAKGKPSKRR >Et_8A_056350.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:2505646:2505819:1 gene:Et_8A_056350 transcript:Et_8A_056350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KERAGAGGTRLLIGSRAPTCTYNECRGCRHRCSVQEVPIDASDPINSAYHYKCICHI >Et_6A_047101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25262646:25265917:-1 gene:Et_6A_047101 transcript:Et_6A_047101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDHDSGNGRSNSGTTVAALSLDLLAHALSTVTDPRDRKSCRLVSRAFAQAESASRRAARPLRRESLHHTLRLFPRLTTLDLSACAGLDDAALSSFTAAGNALPLAVRRVCLARATGVGWRGLEAVASLCGGARLEAVDLSHCVAAGDREVAALAAAAGGLRELDLDKCLAVTDVGLAKVAVGCPGLQRLSLKWCREISDIGVDLLAKKCRNLRSLDISYLKVTNESLRSLSTLEKLEHLAMVSCSFIDDEGLELLSSGASNSLKSIDVSRCDHVTSQGLASLIAGHNFIQKINVAHSLLVVETCFLSKLLTLKDSLTVLRLDGLEVFSSSLQAIGDSCKNLVEIGLSKCSSVTDAGVASLVNHCRYLRTIDLTCCNLLTDDALAAIAENCRKLECIRLESCPFVSEKGLELIGTLCSHLKEIDLTDCCINDAALQHLSRCSELLTLKLGLCSNISDKGLAYIGSNCGKLLELDLYRCTAVTDDGLGALAGGCKKIRMLNLRYCDQITDAGLKHLSSLEELTNLEMRCLARITGIGITSIASGCTNLVELDLKRCYSVDDASIWAVARYSQNLRQLDISYCQITGLGLCQLLSSLRCLQDLKMIHLSWVSIEGFEMALRAACGRLKKVKMLGGLRSVLSPELLQMLQACGCRVRWIDKPLVYKG >Et_3B_030013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29908073:29914757:1 gene:Et_3B_030013 transcript:Et_3B_030013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVLSTIRCSTACAALLNAAAAATGAAVAAGALRRCGGGALGPAAVAASAASAARLLASAVAGFAQGAAASAIAAGAIGAHVDSERDLRQLSRQRYKRWLWWTRFGMVITMLQFVLAIYLMCIIVKDFSDGGSSKNCFSGHNLGNIDWKRILLISFIVSMWVATIVQCATGSDVLRWRSFYASHDIAWRAHYREVFDHGIREVLCCLGRAKSVLEDDDICVVAKLLGDLMAYRASGTGHLELVAGLSLLQKSKLSTSVSKKQVEAPQDLIQEAVLFHPFAEAAYTGPLLDFGRNPLMFPCVWLNRQGVLTPWTRARRPILEGDNWWRGHAAAFLKYVNVAPEFLRKGRVSQTKREAAYFVVVIHDLNTVVIAIRGTETLEDVITDGLCKECALTMDDLDGLINSDRLSPQVKNDVHSSFPHYGHAGIVESARELYTKLEGEPIHQDKSDTATTGFLSSLLGDGCECHGYSIEIVGHSLGGSVAALLGIRLYGRFPKLHVYAYGAAPCVDYVIADACSQFVSSIVHNDEFSARLSMNSVIRLCGSAVRALSKDTSLSSAKVGKLVGGITSAGSYERNAVDHRASTVALQTVGDANQSSDQIHGRNPVHTIRGGLFLFGQAISCLINTPKHRVSSTAVINYELGRSRMAASSDGGKCTVASRSFLDVSHSREVINAHRNDQFREDDSDGCGKECRSPNSNNGSELISSSNDGISTISSSEGQSPEVYLPGLVIHIVPVKKGTSLTQKTVMTRHKNKSYKAFIANRKDFMDLVVTPRMFLDHLPWRCHYAMQKVIETWKRDQLTHGSFGTEDAV >Et_10A_000298.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21960790:21961161:1 gene:Et_10A_000298 transcript:Et_10A_000298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGTHYIGNEIVLNMGDGEYWKKVLGPVFIYLNKCPNRGDLRALWDDAKVQAQAEISKWPYNFPKSQDFAKAGERFCRPINSGQETRLAAVSPLAMSEQECTISTHGFPAFLATTCTLLLSP >Et_3B_029362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24364977:24367822:-1 gene:Et_3B_029362 transcript:Et_3B_029362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMSAATLRPSKPSHSLRRLVLSLATPLGSLSLFLLAAAAVFLYSQTAARSYGSSGAALPLFSPTVESIDGARVIWELPSVGPARAVLFVAHGCRCRPENFWPGSPRCPKCVDLPEDVAITARALERRFAVLAVASAGECWSLGSEVIAAKSVIQSWAAKNGLEGLPVVALGASSGGYFVSKLAVKMSLASVVIMIAEGAFGRTAAAPPRAYPPTMFFHMPKDKRRAALVDRNSKMLRKNGVEVKELQSLELPLTPTLLSERIHGLDRGKDWNAFKEEGFTDENGYMREDGRATPWKDALVKRGFWEEASPWADHIQEELNLAYGYHEMTSLHIDEISEVIGTPELFTMGSLDKDRLRRDASKTAFLGCPVAAGPGRMTAKTQ >Et_5B_045783.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:9759365:9761905:-1 gene:Et_5B_045783 transcript:Et_5B_045783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLVVAVVSIAFIYLEFLQARGTELLLSCGSNGTVDADGRGWIGDMSPGGNFTLSSPGFAVSLAGKTSTDEIFGPVYSSARFFNTTSWYTISVLPGSYCIRLHFFPATFTFGNISANSSLFDVTANELKLVSKFNVSEEILWRTSSSNSAISAVVKEYFLVVGARGLLIEFDPSPESFAFVNAIEVMLTPDNLFNDTVKRVGGADAQVPLGLGDRGVETMYRLNIGGPALKSSSDQYLHRTWYTDEAFMFSANAAQTVSNASSIGYVSSNDSLIAPIDVYETARIMGNNMVVDKRFNVSWRFFVHPNFDYLVRLHFCELVYDTPSQRIFKIYINNKTAAENYDVYSRAGGINKAYHEDYLDNLPQQADSLWLQLGPDSMTSASGTDALLNGLEIFKLSRNGNLNYILGHIDMPNERNSSKKKKKIDLWEEVGIGSASFVVLTSVVLVSWCYIRKKRKAVKKEAPPAGWHPLVLHEAMKSTTDARSASKSSLTRNASSIGHRMGRRFSIAEIRAATKNFDESLVIGTGGFGKVYKGEIDEGTTVAIKRANPLCGQGLKEFETEIEMLSKLRHRHLVAMIGYCEEQKEMILVYEYMAKGTLRSHLYGSDLPPLTWKQRIDACIGAARGLHYLHTGADRGIIHRDVKTTNILLDDNFVAKIADFGLSKTGPTLDQTHVSTAVKGSFGYLDPEYFRRQQLTQKSDVYSFGVVLFEVACARPVIDPTLPKDQINLAEWAMRWQRQRSLEEIMDPRLDGDFSSESLKKFGEIAEKCLADDGRSRPSMGEVLWHLEYALQLHEAYKRNVESESFGSGELGFADISFSLPHITEGEEEHHSKPSSIKEEADTR >Et_3B_031287.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24332566:24333723:-1 gene:Et_3B_031287 transcript:Et_3B_031287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLGRLLRAAPTPTSLSGHLPFSTRSRRTPHRFRRSRRGPNPPPPSPDAVSAAIASLPSRLTPPVLASSLASTSDARLLLPLLTHSLRLPAFRPDPAPFLVAIKRLAAADLYADFDRACSLVFSLLPSLPSPGPLLRDVLYFYCQFGRLGKAFHVYTLMRASADPAARPSADTYHTLFTALLSRGRGDTMVHYMYMDNVSALFRQMLEEGIPPDTRTLNVLVRGYAQSLHLNDALRIFHQMRPLYGCEPDASTYSYLVHGLSAQGRTRNARELFDEMRGKELLLTEPACNAFVSALAVAGEVDEAEGIMWEMARTGRVVDDITKRAVVEELARAGKREDANRVVREMEEKGIVSARERQSLLSSIHEYHDDNFDVDDRGRNTW >Et_1A_007450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34733636:34735501:1 gene:Et_1A_007450 transcript:Et_1A_007450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVVCVTGAGGFIGSWIVKLLLARGFAVRGTSRRADDPKNAHLWALDGATERLTMLRVDLLDRASLRAAFHGCDGVIHTASPMHDNPEEIIEPIIAGTRNVVEAAADAGVRRLVLSSTIGAMYMNPRRDRDAPLDESTWSDLEYCKSTKNWYCYAKTIAEQSAWAAARARGLDLAVVIPVVVLGELLQPSMNTSTLHILKYLTGEAKAYVNESHAYVHVRDAAEAHVRVLLDPGAGGRRYVCAERTLHRGELCRILAQLFPEYPIPTRCKDEVNPPKKGYKFTNQPLKDLGIKFTPVHEYLYEAVKSLQDKGFLKKTSDTKVSERRSSPPHSSQPAMLISKL >Et_6B_048587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11179882:11183016:1 gene:Et_6B_048587 transcript:Et_6B_048587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALAQRGNHLHHLPRVQPAAGRLGLPDLHGFLFFLARRFCGGRRGFRLPRHHLPVALGAGIVRLVVAIVRGVQCLLCFLGSARLAGGSVHLHLGGLLSRRLRLRLAAALLRAVRPRRRCLALLRRHLVRRRHGHLRLGLRLLCHGRRLRPLFQGVDGVLQREHPPRDPPPVLPRAAHGVEPERKTHQLLPDADSGPGVRAQRATLPATSSASRSTARSARTARLASNPRAAACSRVLRRRRRLLGHHRRHRRLRQRFCVDGVLQREHPFGHPPPVLPHAAHAVEPEQEPHQRLPDGDPGPGITAQRLRLARHLAHDFLRLPLHRAQRAHGPSRQEPARRHLNTHRGLLSPR >Et_9B_066053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21528487:21531561:-1 gene:Et_9B_066053 transcript:Et_9B_066053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLREAYIRFSTHSPFRRHPPFPTPRTRIQLRLRPHRSSSAMDPADQSPEEVYSVWALPPEPVRGRLRRVMEGLRAAHGGPAFEPHATVVGAIRLRRSQAIEMLRTAAAGVSPYTARVTGVARGDFFYQCVYLLLEPTPEVVGASDHCSGRFGYQRPTPYMPHVSLLYGDLTDEEKEEARKKVEELDKDICGLEFEMSELALYRTDTEDKSLESWELVEVGHLEKKWFELGTCQK >Et_3A_026776.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2011032:2011601:1 gene:Et_3A_026776 transcript:Et_3A_026776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAAAAEPAAAKAWEWEGRVVSPVTAATADEAWALLSDFLAFHRWHPRVAKCRLAAGTPQLAPGCVRYCEGVPDADGVPPDWAHETLLEHDSGRRFFRYEMNDNNMGFGVFFATFRVVSEEEGGCELRWEFECEPVRGTPREALVARLQAGLDGMAARVRDHVLAKRAAASAVVDDLIKLHNSIAV >Et_8B_059752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2342586:2344249:-1 gene:Et_8B_059752 transcript:Et_8B_059752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLARFGCRNRPFYRLMAADSRSPRDGKHLEVLGFYNPLPGKDGGKRMGLKFDRVKYWLSVGAQPSDPVERILFRAGILPPPPMLAMERKGGPRDRCPIDPMTGRPLDVECVTIVDDPDTPLGGDGVPDEAAA >Et_3A_023219.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11852632:11853411:1 gene:Et_3A_023219 transcript:Et_3A_023219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTVLPMVDTMVAGNNGGHVVSCKNATNIVSVEETKEQINGGVAENLDALRSAECFNDGAAGTTSPSSRSARRKRGAFGLFRAVYLSFSRSDSIKKRDDAASPMKEAGADNDGKPPATASASWKSIVDGMRPLSLPGQELEYYPPPPPPPGHADVYHDVILSPPSPSPSPMRAGSERGMASRYASAQDLHLLDCGEPEGDEEVATAADAGSCPHAIDTQAEEFIAKFYEQFRMQKSESFNGRATDPE >Et_2A_015503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1429220:1431715:-1 gene:Et_2A_015503 transcript:Et_2A_015503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGQNGRDEFFGGRDPFAGFGGFGPQRSMISSFFGGRDPFDDPFFTQPFGGRMMGGPGMFGPSLFGPMGGPFGDMRNDGFIEQAPPRSSGRRPVITELDDEDEENVESNTEQGNHGSYVQEPDDERNGMEEGQVQLRRDSSKANGRQPQAHTFTYQSSSVTYGGINGAYYTASKTRRSGSDGITVEESKEADTTTKEATHRISRGIHDKGHSLTRKLKPDGKVDTTQILHNLNEDELAGFEESWKGNAGHHLPGWNQSAGAANNDNPEGSNELFSVQCYPIPSSSISPDVVRVARQVRERLRRSRLGAVAWAVGVGGPAPRAAVPLEDAAVGDAAVRPGPVAVEGHALRPAQQRRPFQVQTLAPAAVDGARRFGRGGARMEQKQQDGEARDDDQRPLPCCAGDRHG >Et_1A_005256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35852744:35853322:-1 gene:Et_1A_005256 transcript:Et_1A_005256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTVGRRLCLSRSSSASRLSAVWGRLYSTETAKDKVVDLADRIAALPPEEIKQIAPALLLRLNQEPPQAISGQGFSFGPQGGSGAGAAKAEDKKAEKTVFDVKLEKFDAAAKIKIIKEIRTFTDLGLKEAKELVEKAPVILKQSLTKEEAEAIIEKIKTAGGVAVME >Et_4B_038071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2659490:2662643:-1 gene:Et_4B_038071 transcript:Et_4B_038071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYLRNNSDDEFSVAGEKPDVEFMDYQNDGTLQGYDLEEGPVVVTIPFPFKNGKPKSVLVGETSADTISIENTSPEHVNLWSVRIFSSNPEDSYVLSMMEPPRNDADDEAKQAFLGMTSVEDRTLLPGQMLTIWLSCTPKDIGLHTSIVHVDIGDEKIERVAFLLADDNVSMALLSDKPYSRRQNQNGKKFECSSFVPGCRPTRQHTQGFKYKLPQFAIPAEIREIIENKQRPDVLCEELSMINYAEFFSTLLVMEELNLEEEMRSYDMEGVSMKRRGRSLSLEVPGLAERRPSLVQGDFIFARHAGSDARPYQGFIHKVEADEIFLQFDELFHRSHHDRNLYDVSFTYNRLSMRRQYKAIHEVDLLGPGILFPYQSPFRNLKKWSFNPLNPSINTEQADAVAMILGCRGVPPYVIYGPPGTGKTMTIVEAILQLYTAKRRTNILICAASNSAADHVLAKLLEASYLIRTSDIFRLNASSRQYDDVNPDFIRFCFFEDMVFRCPPLQALMQYKIIISTYMSSSLLQSEGIRRGHFTHIFLDEAGQASEPEAMIPLSGLCGRDTVIVLAGDPMQLGPVVHCRQAEQDGLGKSYLERLLFEFEQYETGNPNYVTKLVKNYRCHPAILHLPSELFYEGDLVACKDDKVSSVFDCIDLPNKSFPVLFVGIQGCDEREGNNPSWFNRIEVSKVVSIIRSLTRGGDVHEADIGVITPYRQQVTKIKKALEAFEMPDLKVGSVEQFQGQEREVIIISTVRSTVKHNEFDKFFNLGFLSNQKRFNVAITRAKSLLIIVGNPHIITKDRHWDRLLRYCADNGSYQGCPLPPPESYSEGSRSSGYGENHSEPGGWDYNQQEAVNYNYNQEASDFSCARDNATPAAAENPVEWSKEKPDDECQPSSNAEAGPMEEIPKQLVEEDAQEGGVQSDQTNHDQLDDAYAAKYNFPPGWGDVSNIPATGWE >Et_4B_037344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18736340:18736790:-1 gene:Et_4B_037344 transcript:Et_4B_037344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTEDDLTAHFEKFGTINDTVTMYERITRRPRSSGFVTFDSQEAVDKYAKVETKMAKPREHRHDQNEYYHGSVTGLANIYSKMYSPQLQPYLFHNGSYLFPAFPRLYASQGSVNYGYMMNQTTCNDKGMMEGISENL >Et_9B_065427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4912408:4916137:-1 gene:Et_9B_065427 transcript:Et_9B_065427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LWTGNVGGDETGDRVTAILGRGSRAIGSLIARCHGGVDLGCERYLFDGSTDRSCDGVMGTVESGIGGNSDEYCRCQACLGKYTLLGDEENPRLSMFERRLPCFGCGIGWCCFLLGFLCPIIWYVAALLYCCKYYNRDPRERPGLAASAFLAVIFTAATIIVLSVLLICCVNKRFLHSCAHHRVQRLHDLAFDELQLEVVYDRCRGNLEVAEPQVPAEAAPRAAVEHGELVAGLVPDPAASGQPPLRPELVAIVAPYPLNPAHGVDAEDDRCALGHPGAVRQHVVAERLLLLERRRREQPQRLEHRRVEVRRRPERVGVEHGVLPGAAAAGGGGLVDGGCGVE >Et_4A_032918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15675685:15677884:1 gene:Et_4A_032918 transcript:Et_4A_032918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGDPPPPLCPLCGHPTQAATASTSPVFSALARTPLKRRPGPPEAPPAVVRVEIGDEAAALREALTRHQVALTDVQAELDAERGAAAGAASEAMTMILRLQREKAEAMMEARQFRRYAEEKMSHDAAELAALEDALAKRDAALVRAAAVVQQQQQTPRHHHHGAAASGASTPRQQQRGPGAITPRHPPLRSPSPAASSAGAGGCYPALRCCIDHPPTASEADALDAQQQQQQQQTPRDQLNRLAHRVHLLERGATPSAPAATTTPIIRVAPGSTAFFPRQHHHARAYSDDGSSLDFCDNNNGGGDFFPDDDDDCGGAGSDRVYTVDAIHGGGAPPLAVPEGSCYGGSTPVGSDCCYGGGRGWPAEDDDVRRLSSRLQSLEADRESMRQAIINMGAEKAQVMLLKEIAQKLCKEASAAPPVPVTAPVAQHSYYKAGNAQPAVTVTVRPPRQPPVIMQRTVVKRQPYGGTSFLAAVVKWVTSIIWWRKKSSPVKYPIGQRGNNVGLLLLLEKAPRAGHGHQRLPKKI >Et_2A_015144.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32513524:32513745:1 gene:Et_2A_015144 transcript:Et_2A_015144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVQGATAAKDLGMGHVIVETDALLVQQAVMSSSFRQSAVGGLDDLSNMLKHDFIEAILLLSLGLVILSPMN >Et_6A_046467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15581733:15585024:1 gene:Et_6A_046467 transcript:Et_6A_046467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVKDVKYLISELLNICNVHCLAKKMVNKVMDWYEILQVDIAADETVIKRQYRKLAMLLHPDKNKFTGAEAAFKLVAEANSVLRDGTKRSEYDMRRNNASRMAPSVDSQQQGKRAKTSSEPGSVGSTFWTICPECHTRHQYYTYVLHEMVQCQDCKIFFPASRLTSPVKPSIKKKNMSRRISDHDCPKVAERQKSHTHSASIVSVSAGSSMNVKGNLSLESNSKKSQNQNFPWTCVEGIDVAEATTDSEATSDHYNTMAECSTQTEARGDEHITMAEATRTVGKKQHCYSKGLSTPYPGVNVRDVNNMFKGSGQIWALYDNYDGMPRMYAQIKGIDEANSRVHLNWMKYVVRKKGGRKRSGKGQTIACGKFLLGKRDILEDSTRFSHIVEWKNDKRSKFCIIYPNEGEVWALYKRWNIEWTSNDHKHEYEVVQLLSNMSVNGGATVIPLVRIMGFASLFAEAKDKSPFDIPSTELHRFSHRIPFYITNGNERDIPRKEVSSSGTKEHVPGGFLQLDTLCLPANLDEALCSVTLDSYMSSI >Et_9A_063575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7806160:7810865:1 gene:Et_9A_063575 transcript:Et_9A_063575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLVGGAGARVARLSGEQPASSGAGPGGEAEHVRRLHRHAPADHQCSSTLVKHIKAPVHLVRSHLPFLAIVGGACLRQRSADLELVLDPRRPCSVSDFAGQFDFHLGNALRIARCPVSYLFRACCFTAIASPACFRSQVWSLVRSFDQPQKYKPFVARCVVRGGELEIGSLRDVNVKTGLPATTSTERLERLDDDEHLLSVKFVGGDHRLQNYSSIITVHPESIEGRPGTLVIESFVVDVPEGNTKDETCYFVEAVIKCNLTSLAEKKNKIFLKQTKYGGNTTILRDLPCSLESGCPGWLSMSGSTAARLLVQRATPCVTSAPLASDDYWRRASAAQLFENLIRGVGWHGSAFAGAVSCMGREDQERHWCIVLPDSACVPCDLE >Et_2A_018677.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4959709:4960368:-1 gene:Et_2A_018677 transcript:Et_2A_018677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSMTRLLACVLFLTTMVLTTSAAGLSIRAELTHVDSGRGFTKRELLTRLAARSRARAASLHHPPGGGGGGYYSHPATATAAPGTVGLPSTEYLIHLGIGTPRPQHVALTLDTGSDLVWTQCAPCPDCFDQPLPLFDPAASTTLRAVSCADPLCAATGGVAACYLRNRECFYLDTYGDKSIAAGRMVRDTFTFFTGGGNSKAAVVVAVPNLGFGCGL >Et_5A_042760.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:3966929:3968209:1 gene:Et_5A_042760 transcript:Et_5A_042760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATDRSGGGKKRKCAAAGLAELHDDMLERVLARLPPASFFRLRGVSRRWRAAAESNTFRAACARVPARDPWFLMLSDSAHKDNHEEEEEQPPLARPAVVFDSAERAWARWRGQAPGPVPVAAAGGLVLYRDPGSGALTVTNPLTGASRSLPPCGAQLLAVAMYGSSPYRVVLILGDLPDLSVATFDSSKDAWEGPVALARRQPDASSGSDRPAADGFGGGDGTDAVYFLSKSGDVVATNMQRSASRQYSCAVASGEDNNAVAYFLSHSGTVVACDLARRTFAELPRILPAYHEYSIDVVACDGDSSAYVVVLSEFLGAASLRVWEFIAADGAWRQVAAMPPAMSQPFYGAKADVNCVGHGGRVMICVSSSSSSSGDGASGCFMCDVKTNRWEELPRCTAGSGDDGEATGFVAALSFEPRMEAAV >Et_2B_022009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:872491:884392:1 gene:Et_2B_022009 transcript:Et_2B_022009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNRAVTSNDDSGAILSMEKSWDLAQRRLGDIRIKELPPVRGAMKRMLLATIHGFYLQALAMLPTKELCTLYHRSVLMGGYCYGPLDPVSNIIVNTLWFEQNFPVTSEQVTLLMTSTEALRRVAACSLYGLVSFLCTHYPDLTPDLALQRLLAARADLRAADPNMQLPEGREDNIVPLDLDCSCCYQVGSVTTDAGELQSRAPSASAEEAYAAAATAAFHPDPSAQKEFLGSPSAMPAFQVASEVLQHGRVLSTEDISSLSQLLLGRPLTLETKNQPARINKRAYSFMSNCAGRFWSQHDRVCDKVQAALDAFNEDQVLQVYFPNLTISSSLLKELKYNIHVICGVNELVSGPELSTDAEVRGYNPWTPYKYHHSHINFLANYAGSKPTLFFAECSNHGTDKAWCIPVEPLRPLAEHIRCIYCEHEGNMIVHPVMKGFNGRNREFEKVLRGEPLFDGSNEDCYSNNQIVTYKQQEVDWVHSVRDDYVYTVNYADTSSTSDDDAVRYLDAAGADAMVACHLIVRRRGMTEFNPCSETAVAAFEAALRCAAAAVQHPDPQQLVQGWKRVSRNLYSEQSSNKERDLTMAVVLDMVNNDGAGTCTDGSGGGLAMEKMWDFARRRFDNTTTLVIIDKALPPVRGAMKRMLLATTHGFYLQALAMLPTDELRTRLHGSMLMGGYCYGPLDPASNIIVNTLWYEQNFFPTTSKQVTRHMISTQGLWRLINTLWYEQRFPPTTQDPIPFLQMISTECLWRAAARSLYGLVSFLCTRYPALTPDLALERLLVAKADLRAADPNIQPLAETTMCRTWMISCSAERLNRAAHPPVSRKHTLLLPPPRSTQTLTRNKNSLDHRNRCTHLGMLEKCCGMLPCSPSSIRNNNQQPATRTKKSFSRFMSDCAVRFREQHDRVCDKVKAALDAFNEGQELKYNVHVICGVNELVSGPEFSLDEEVGGYNPWTPFKYHHSHINFLATCAGSKPTLFFAECGNHETDKSWCIPVEPPRPLAEHVRCIYCECEGNRIVHPAVKGFHGRDTEFEKLLRGEALFKGSDKDKYTNNQIITSNPVDWVHSVRDEYIYSFNSVDAPSDGDDDSDKEDPLRNIPMG >Et_4A_034186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30561043:30563122:-1 gene:Et_4A_034186 transcript:Et_4A_034186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Et_1A_009418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5011251:5014292:1 gene:Et_1A_009418 transcript:Et_1A_009418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSGRRQGVVVAIECVAGGSRAEEWGPGSSETVQTGDVVEELLIGVGGRGGPSSHAAPFKGGRSALQKLLHAAFKRGDTSVEVRVRRPAQGQGQQQLVVGGGSGELQQAVATAARMQACIVPHESVGGGIGRNRQYVLRSIRDPNYAVGLVDRMESECIAIRGSRSSRVVCALSKANLQDGYVSYPWEKKMREALPIPNSSSFLSMLILPTALDRAGSRYNTVEDTLARANAWVLSSQASGVPIMFLNVQTEALLTKISGEMASATVNAGSLADLPNLANASLYGFEDYHGVDIGVVKAVRVWYSAAAGEMPVEITLEPGDVKLGFAISRTEEGFIYISSVMEDDDGDRQAPSTRSGLRDLYREAKRASKLLIISRVSGHKVLPWMVSSSGAIRCFDTVSLSQKLSLHRHALRPILLHVLMWDGMPDAPARPVRAPSPAPQMMPVYAELPRQNSFAYAERQNSFAYAEQPGQTEGEAGIMQERDTAGDASFRFHNFSLPNNWV >Et_1B_012978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4512826:4513301:1 gene:Et_1B_012978 transcript:Et_1B_012978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKRLHFSEGRPKSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAE >Et_7B_053854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12846875:12849014:1 gene:Et_7B_053854 transcript:Et_7B_053854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGKHLVVEELLSYADDLVALLDGSKDGEDIAQAAAGARMLRSACRSELDNLELQLKEYQEKNRSCKEKIDKAKAETIPDDELKPLQIRDELDNLDGQLASIEGRKDAVKRKERDMRKAQNTISMCVSVTKIMPNLEDKDKISGYIVDKNKKKIQTFEYEKTASPFEICNELWKKI >Et_3A_023224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12207653:12208630:1 gene:Et_3A_023224 transcript:Et_3A_023224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWLELLLVTQFFSTCTNHLRSSRNECNYYCKSRHHSTHRVIQIRRSSYHDVVRVSEVEDVLDISNVQTYVINGAKVVFLNERPQLRGCGASIGKALSLSSQKCETCCRALLDEFRFCSLGCNLRGMRKDIEMPNLCDNGHDHAKTEDEAGSGSAISSAKSKKENPSDNNEKEPPAKRVARRHRRKGIPQRAPFF >Et_3B_029364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24377912:24381489:-1 gene:Et_3B_029364 transcript:Et_3B_029364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEAKDPASAAAATMPRFRLGKQSSLAPDCGGGGGGDTGAAAAAAEAKGVMSFQLMYLAHGGNAEGIRELLDAGADPNFRDSDGRTALHIAACEGHPEVVELLLQRGAGAAVEDQWGSTPLADAMHYQNHDVIKILEKHGSKLKIAPMHVNNDREVPEYELDPKELDFTHSKDISKGTYQKATWRGIPVAVKKLDDDLLMDDDKAFRDELDVLQLIRHPNVVQFLGAVTQSNPMMIVMEFMPKGDLRTHLNKKGPLPPSYAVKLALDIARGMSYLHGHKPEAIIHRDLEPSNILRDDTGHLKVADFDLCKMLKWRRKIREERAVTSPGNACRYVAPEVLRNEEYDTKVDVFSFALILQEMIEGCLPFHDKKNHEIEKAHSSKERPPFRAPPKHYAHGLRELIEQCWSENPADRPDFKVIINKLSAIQNEIVHRNRWKVKPLKCFLSFEGMWKKDRNEGSTTRSRSSRFKF >Et_3A_025719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32149945:32155520:1 gene:Et_3A_025719 transcript:Et_3A_025719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRLASAALLILFVAAAVTPLSSAVRPVSDAHRSAAAELFAPSADGSFGDLESTYEAVRTFQILGVEKDKSLTGKVCKFAAEKLASPASSPAKDLFHAVRISGALGCSVDAGVYDGVVARLKAVIKDANSLLEFYYSVGGLLSIKVQGHNVILSDAESTFHAIKALSQSDGRWRYDTNSAESSTFAAGIALEALAGVVSLADAEVDPSMIGVVKNNIVKLFDTIKSYDDGTFYFDEKHVDADEYKGPIMTSASVVRGVSSFANVVSGKLNIPGEKILGLAKVFVPLILSLPSKVFSLTSKDQIKVEVTTVFGSAAPPLRVNLVQVLGSESKVITSEKKELQYDRDSNVYYLDIAPLKIDVGKYSLVFEISLQEAEQETAYATGGTNTETVFITGVIKVDKAEIGISDNDAGTVESVEKLDLLKDTKVSLSANHLQKLRLSFQLTTPLGKTFKPHQVFLKLKHESKVEHLFVVPGSARQFKIDFLGLVEKFYYLSGRYDLELSVGDAVMENSFLRALGRLDLDLPEAPEKAPRPPAQAVDPFSKFGPKAEISHIFRAPEKRPPKELSLAFTGLTLLPFIGFLIGLMRLGVNFKNFPSLPGPAAFASLFHAGIGAVLLLYVFFWVKLDLFTTLKYLGFLSIFLVFVGHRTLSYLSSASAKQKTA >Et_7A_050215.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:12449868:12451866:1 gene:Et_7A_050215 transcript:Et_7A_050215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LPPPYHSLTDLLRHRLPLRRLLAVHALATVAGHLSLPDPCPYTQLLLAYSRLARPFPAALLLLFRSSLRLSVPPTRHSLPLAIAASSSSAFHLPIALSLHTVAVVRGLLPFPHVANALVSLYAKNARPDSARRLFEEMPAPDVISYNALVHGYVRAGRMELALQMFEGMPVRDAASWGTVVAGCAKAGRPEEAMGLFDRMMEEGFMPDDVALAAVLSCCAQLGALEKGREVHEHIKQNRPRPNVFLCTGLVDLYAKCGCVEAAREVFEACPERNVFTWNALIVGLAMHGHGTVVLEYFNRMIAEGIQPDGVTFLGVLMGCSHAGLVGVARRVFCEMEGVHNVPRELKHYGCMADLLGRAGLIDEAIDMVRKMPMEADSYVWGGILAGCRMHRHVEAAEVAARHLLELNPDDSGVYSAVAGIYADAARWEDVTRIRKLMDENISQRNVGSSSITTEYKDRTAILS >Et_4A_034330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31863216:31866072:1 gene:Et_4A_034330 transcript:Et_4A_034330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMDSNPGEELTFTGNPDDDDDADDISPGCKEFAALVEAAVESVELDAADAAAAAAASAPVPYGDDRTPRVEMVFRSYEEVLNFYKRYALRTGFGVCVKKSSFTAAGLCRRLVLVCNKWGNGKEDACYQARPTAKTNCQATVVARLWNDGLLHVTDVNLEHNHALNPSAARFLRCYKTLPSGMSKDLVGSDIKVPNGRTVIQIVHLEYIYEYELKATMNCQVQLDGSTFIVIDVAEAGNETLNKKYEVVHCMATNRMECNCGLFHFTGIICRHALTVLKCQQIYDILPSYVLSRWRSDFKQLHPLENPSNDLSTSNHIERYDYISLQCLRLVEIGMASDEKYQLAARLLLDIQKTLLDDNLCRELEQKLTPSERAIVNGDNHAHPGSSEGGPAKKRRGRPPKKGKEISMDSMVNGNKESLLVSSDVSQKGAFHSSSTASNLGTHMRTHGVVDLMEEVNANELSFDSRYGVQSGHLHHFGNQMHGGNTLQFGQPTSGAEQSRVQWMYPNIFSDDQVPYGRRTS >Et_7A_050324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25617653:25618006:-1 gene:Et_7A_050324 transcript:Et_7A_050324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSCLNRSLKPNKLLLRRIDGAIQVRSHVDITFYSLVGSGRLRFVLNGKIEHLTTHLHRPRTTRSPLSFWGDGGIV >Et_6A_047436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5979143:5988745:-1 gene:Et_6A_047436 transcript:Et_6A_047436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASPASGPGGMSSDNAKGLVLAVSSSAFIGASFIVKKMGLRRAADSGVRAGYGGFSYLMEPLWWIGMISMIVGEIANFAAYAFAPAILVTPLGALSIIISAALAHAILQEKLHTFGVLGCVLCVVGSITIVLHAPQERAIDSVKEVWDLATEPAFLCYAAIVVAAALVLIYYVVPDHGQTNIMVYIGVCSLLGSLTVMSVRALGIALKLTFSGTNQLFYPQTWAFALIVATCVSTQINYLNKALDTFNTAVVSPIYYVMFTSLTIIASVIMFKDWDNQNPTQIVTEMCGFMTILSGTFLLHKTKDMTDSTGQSLSTRHLKHAGQNGFAIEVVPLKFQDSVDDETFPLSLPKADKSYLKEGNLLRYKDSNIV >Et_2A_015130.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31327406:31328092:-1 gene:Et_2A_015130 transcript:Et_2A_015130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSALSATAAVAAVGKRLLLSRPASSLSFASRRVAAAGPLRTGLLGAAPRRAASSATATPAAAATISVGDRLPDATLSYFDSPDGELKTVTVRDLTAGKKVVLFAVPGAFTPTCTQKHLPGFVAKAGELRAKGVDTVACVSVNDAFVMRAWKESLGVGDEVLLLSDGNGELTRAMGVELDLTDKPVGLGVRSRRYALLAEDGVVKVLNLEEGGAFTNSSAEDMLKAL >Et_6A_045988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15954028:15955513:-1 gene:Et_6A_045988 transcript:Et_6A_045988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLADDTELARVPAELERLHVGAAVLHPEPQVRDQHHGAAAVHRHRLRRDARPGRRALRPPRRCAAPRPQRRARLRGPLLPLRPAGGVRRARPVKAPRHPHEPRRGRRVGGRRRDARRALPRRRHGEPRVRVPRRRVRHRRRQRLHQRRRHRPDDAQVRRRRRQRRRRSGRHRRRRAPRPRRHGGGPVLGHPRRRRHLTIRVVIQGNNTLFQTLYLGRCSKLLDTMRTVFPELGTTAADCKEMSWLQAMTFVYFGRADAPVEWLLNRTNALGGIFRGHLSRNGAGMIILEPHGAAVGGSNTNATSPYPHRRGVLFNLQYGSMWWGEANGTVAATALVWLDGLYDFMAQFVTSNPREAFANYRDLDIGRNVVGSDGVSTYRSGRVWGQRYFMGNFRKLAAVKARVDPSDYFRNEQSIPPLR >Et_3A_023870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13829636:13836049:1 gene:Et_3A_023870 transcript:Et_3A_023870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEGNGNGKAKVVVVMGATATGKSKLAVDLALRFGGEVINSDKIQVHDGLDVVTNKVTADERRGVPHHLIGGVPPGADYAAADFCRDAARAVESVLARGRVPVVAGGSNRYLAALLDSARFRRRYECCFLWVDASGDLPVLHRYIGHRVDRMLEQGLVDEVREFFRPDGDYARGVYKAIGVPEMDDFFRLEAAGAFDVDGELRARVLAAGVAKIKANTCMLACRQLSKIHRLQTLPGWTLRRLDVTESISLKLAAADGAKVDPEAERAVWEADVAGPATRVVAAFLDSGEMDNDGGFVVAPAATSTKEVAGSGTAPELCGIQLGKAAAVVARGRGFVAMDQAVGCNNRSASSAYFCAITLPCSAVSFTTITTTATAIITTTKNSFSSAYNTTY >Et_7B_055172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:805373:812071:-1 gene:Et_7B_055172 transcript:Et_7B_055172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDEPLTVNKLQTTMGQPDRKRKIVSEDEMSGTVFHYGVYEGPTEGPADIHVSENVLSSIVFEKNDFRECDMMLKTFCFVEYDASKLKSGIQEKVIPGNIFLEVLKNDSSALQVKDYDSNASRAQEDEKRKIETVRLLSRGETDLEIFHLMNEIESSKCRTIAEVDRLWGSAALKFREEPKLDFSKFDTHDAENVEITERRKALFRENIPVDSKLCAKTALQYCYKMSSRASAFSLGKLRQDSFADSFEFILRFSIHTLLMGYIKPTEFSRLGLLAITLVSIASPDQELRMLGYESLGTFKKSLEASQKSKETWQLQLLLTYLQNGISEQWSVHFKAERLWMLQLVYAGSNLSDDGKIYKRGSALELALAFYFESKVLALKGLKKRVKLPVLAHLLVKECGLLLWLSSCISIHHEGSDGVENTCSKVTELALEDKSRTSMVVSRAILNIFWLHFNQSSASEMSCEEPTLRWSVASVILGRISSTSHEKSGDLGQNTNSLVILRCLLDDAYVNVEMVDKCSANATLAIIILYLQNHAQNSSDSLSSVVMALCLLLLDTSSNPVNKYLGDNRGTIEMLCSNAWRWHYYQPWKDPAMQKTEVERIEGEQACRSLLIIFSNAFNAGVSDFPKLSLNDVEKCGLFQWERDSMFAEELIWDTGISPHSFDLYSSYIRLFVFDPKLDLIELRSQSLTNLLNAFVSHFH >Et_6B_049205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19313907:19323616:-1 gene:Et_6B_049205 transcript:Et_6B_049205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEHIEGELLLVESQLQDIQGQIKKLLDRQEELYERQSQLKALLEVSKVSRNTTINASSVAMEDWSGSFPWDSEADNIRFNIFGITSYRSNQREIINAVMSGRDVLVIMAAGGGKSLCYQLPAALRDGIALVVSPLLSLIQDQVMGLAALGIPSYMLTSTTNKEVEKFIYKALDKGEGELKILYVTPEKVSKSKRFMSKLEKCHHAGRLSLVAIDEAHCCSQWGHDFRPDYKNLGILKIQFPSVPMIALTATATSKVQTDLIEMLHIPRCVKFVSTVNRPNLFYKVTEKSPVGKVIIDEISNFISESYPNNESGIVYCFSRKECEQVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECVLYYRPGDSKRSCRHGAFFRHFGEVLQDCNGMCDNCASSVELKDIDATYHTKIIVSLLHDMQLNDQRATLLQLVDKFKAKWKGLGCSNEAADLKKEEIEQLIVHLILDHVLKEEFQHTPYSTNAYVTLGPLWKPALQGNRVVKLEIAVASQDRGFRSKGTKRGRMSDLEAKLDELRREVSSTSNGVIFPHAVLSAQQISLLSCQKPTTLAELEKVIWKVKTAKYGSRIVEVMRSHTNSGSNRGKEASDEHGSKKMKMKDKDVVCVESSEEE >Et_8A_056737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16034620:16035013:-1 gene:Et_8A_056737 transcript:Et_8A_056737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWSPEEDAMLKNYIEEHGTGGNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGDFTPEEDSIICSLYISIGSRCRPS >Et_8A_057214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22424201:22425701:1 gene:Et_8A_057214 transcript:Et_8A_057214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAGKKKLPLLALILSCLAAVLLLVQPCAAARPVPATTGTIDGRRSQQLNLKLGGGPSLLRGPESVAFDGDGAGPYSGVSDGRVLKWNGNARGWSTYAYGPGYNPKACTASKRRPAEATESNCGRPLGLRFHLKSGNLYIADAYKGLMRVGPGGGQAKVLVNKADGVPLRFTNGVDVDQVTGEVFFTDSSTKYQRSQHERVTATGDSTGRLMKYDPQTNTVTVLQSGITYPNGLSLSADRTHLVVALTGPCKLMRHWIKGPNAGKSEPLADLPGYPDNVRTDGRGGYWVALHREKNELPFGPDSHMLAVRIDGDGKIVQVMRGPKSVRPTEVVERNDGKLYMGSVELPYVEVFSTYNYVQHFTGSSSSHRSYSSPCAAVSTGSTRRASVY >Et_10B_002371.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:14468124:14468951:1 gene:Et_10B_002371 transcript:Et_10B_002371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFVLYQMHRIIAKPEAKSALEFIAESVEDLLQEQLYISRTTRPLHPGSSFSHLLHMVYSYFEVTTPPQEGPPGAAPRRSLTGRWRRATDYSRYADLKFKPRRFKQDDKWTVLDIDLQGGTLYIPFLRVGSSTLTMLRNMMALEEQQEKRPVTAYCYFMSQLACKEVDVDLLQRANILEHFLGSDEQAAQGFAELCNGVALDMGNLERNYLKPIWHKLDNRCRTPVRTFMGFFRQRYWNNVFYQLAFLAVLLLFLSELTQAIYAVIAYHKPSKA >Et_4B_037395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19351274:19355584:-1 gene:Et_4B_037395 transcript:Et_4B_037395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAVASAPKALNPSFDPSPGRWRRPSSLVRASLPLQRGRRLRCSAQYGEAAAPPTTARPAEIPWSKELSNSVRLIGTVGTDVELRQLPSGAAVARGRLAVWKSATETTWVTLAFWDDLAIVASEHVKKGHRIFVSGRLVSDTVDEGPEKRQVVVQELNFIESIQPVRLYEPEASQGAPGGRRGDYFISTSGSAEDKSRDTISSSSRSSEELWQAFFANPLDWWDNRTNKKNPRSPDFKHKSTGEALWIDGRNNPNWVMSQLAVLDSRMGSLQDNVRKPVSYMYADEFMTLE >Et_7B_053786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12180601:12181039:-1 gene:Et_7B_053786 transcript:Et_7B_053786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLSCRGQSLGEAVDHHVGTPVVGNKQHQPSEASAPVCVMKDLVDKLGVLKITSPCINDTPSVGKSYNSGKGNRSGIR >Et_3B_029572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26047742:26050706:-1 gene:Et_3B_029572 transcript:Et_3B_029572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKTLNPLIRRSPTPISDPRPLLPLHTFLTSSSSSSPTASPTAAPFAAAVHPYVPIRSGGPLFLSSPPWMLSQSATPLTAAAAALGARLRRARSLAGGGAQAVADAVRWENRRISRGESEDVAAAKIKGWGGERFLNAPNLVSIGRIVSGPVIGWMIVNEWYLPAFATLAMSGASDWLDGFLARKMGINSVFGSYLDPLADKVLIGCVAVAMVKMDLLHPGLVGLVVLRDVLLVGGAFYKRASNLGWKWKSWSDFVNLDAVQREKVEPLFISKVNTVFQLMLVAGALLQPEFGTDETQDYITLLSYYNNRIHDRLWCKILSDETKNMMNVAACSLMGEFATDGEDRMTDIQ >Et_6A_047575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7520903:7523080:-1 gene:Et_6A_047575 transcript:Et_6A_047575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHTVRFLCSVAAAFARLVRELRKAVAMAALASRPVGLIATAPLALRRNKQQAAPVATVHLQEQVLLAPPQPVLVVPAPRDVVVAENLHINKKKKVACAMVRRPSRLVIPVADDADEVAAGWGAAAAASENADVEVEEEGFCLASKAGPRHAMEDGYAVITDKIGGDSELAFYGVYDGHGGRAAVDFVSEHLGRNVVSAVVAASSAAEDETSAKEDAVSAAIRAAYLKTDSELLAQQQGASGGACAATAVVTGGKLYVAHLGDCRAVLGLAGGGDAVALTADHTCASAGERARVERDGGYVSRSGSGVWRVQGSLAVSRAFGDAGLKRWVLADPAVAAVPLAGAGAGEFLVVASDGLWDKVGNQEAVDAVRGSGAGSRAAACRELVDLARRRGSRDDVTVMVVDLQRFAR >Et_5A_040679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11024281:11024692:1 gene:Et_5A_040679 transcript:Et_5A_040679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFEETKEIFNPGDHYGAVSAVLRYADGNFLKGYADWLPSVHSALKLRHAGLKKKMYLFKALVDLFKVRDYDRSEIATITDIQELCVNFSSFSTEFVKRDANWAAHLCAQYASRHRALFSWNNPLFCCNICNMI >Et_10B_004425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6857308:6861404:-1 gene:Et_10B_004425 transcript:Et_10B_004425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKCATEWSSVNTICQEAEMIAHLQSVFWGSSDLEVDPNFCSSNCCSLVEQNSYSTGASLNISTNVDFDHQLVDNLSSANEAKVGNKRKIQLDERMIHYEKDHTDPAAPRASKKSEKDSQSRYAKKRRERINERLRILQELIPNGTKVDISTMLEEAVEYVKFLHLQIKLLSSDELWMYAPLAYRGINIGMHLNAYCLWLIQSSMDIS >Et_10A_000914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19030835:19031856:1 gene:Et_10A_000914 transcript:Et_10A_000914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAFHWYHLDCGLKPSDILLAARQAFGNRIFGEVFIVNDNVTSSLKARVQEGVWLGFLKAKANSWRCKAAGRLGAVGGSAHAERKALRRK >Et_4B_036367.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:20203731:20203886:-1 gene:Et_4B_036367 transcript:Et_4B_036367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGEFIKVNCHSPSDRSGGWGYIIRDQDGDVVSSRAEEESCICWMFSMLN >Et_2B_019039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26512422:26513479:-1 gene:Et_2B_019039 transcript:Et_2B_019039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTSKLPLLAAISLLLLLLFVPSTAAARAIDASGAQRLELPDNVFGPESVAFDDHGGGPYVSASDGRVLRWDGEAAGWKTFAYSPSYAKHKQCQPSPNSDLPSEATESLCGRPLGLRFHNVSGDLYIADAYMGLMRVGKDGGEATVLATTADGVPFKFTNGVDVDQVTGDVYFTDSSMQYTRADNKKVTSTRDSTGRILKYSPRTNQVTVLQSGLPYPNGVAISSDRTHLIVALTGPCKLHKFWLTGPKAGKSETFAYLRGFPDNVRQDGNGDIGWHSTGRRSSGRVMINT >Et_3A_025974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34331783:34334120:1 gene:Et_3A_025974 transcript:Et_3A_025974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAAASASAPPPPNPSYEEGATHSPPPPSRGKVVVVMGATGAGKSRLAVDLAAHFAGVEVVNADSMQVYRGLDVLTNKVPLHEQNGVPHHLLSVIDPSVEFTCRDFRDHAVPIIQDVLDRGGLPVIVGGTNFYIQALVSPFLIDDTVQDMQQCTLSNHLDETCLANDDDEGNSYELLKQIDPVAALRIHPNNHRKIKRYLELYATTGTLPSLLFQGEAAKNWGRPLHSRFDCCFLWVDADLHVLDSYVNQRVDCMMDAGLLDEVCDMYDPNAFYTQGLRQAIGVREFDEFFRLYLTTKQFDENKTASTIISNIHDDRLKSLLDEAVSELKANTRRLVRRQRRRLHRLNKDFGWNLHHIDATEAFHCTAGDSWHKKVVEPCVDIVKRFLSGNATSTGSNTSNVSGSPGISSKELWVQYICEPCNNRILRGAHEWEQHKQGRGHRKRVQKLKQRIE >Et_3B_028671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17974924:17976520:1 gene:Et_3B_028671 transcript:Et_3B_028671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGAMVWDPWLIVSQIVCLQCLYYLTLGMLMSLLVGTRVPRLTLLYLFDFATLTPRTPTGWCAIASFLLAAVAGYVSPLLLLLTPQSLFASFSFETFPSCFGPWVEKVLIANLASMLCLSSAGFMLYVIERAKKCLDFAATLYIVHLFICIVYGGWPASVTWWVVNITGLAIMALLGEYLCIRRELKEIPISSRLRA >Et_10A_000990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19892081:19893663:-1 gene:Et_10A_000990 transcript:Et_10A_000990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRLLPVLLAIVAAAAAALSSLPGGAQAKSKLAKKSDDIVNGPLLTEKLKAKRTLIVGPDEEFKTVQAAIDAVPAGNSEWVIVHLRSGVHTGKVVIPETKPFIFVRGNGKGRTSITHESASIDNAESAAFTVNADNVIVFGISFRNSARAGLIANSEIRTVATMVAGDKCPEIFVKPDRRTEILGSITAQNRKEEDSGGFVFLKGKVYGVGEVYLGRVTDPYSRVLFSDMYLSKTVNPAGWTSYGYPGSTANVMLAEYNCTGPGAQASKRVPWSRRLTADEAAKYLTVDFINGKDWLPAYYY >Et_5A_042813.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4840721:4840918:-1 gene:Et_5A_042813 transcript:Et_5A_042813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVRSAVVEETLKQALSGLIGGSSGREDDEKEQHAERLEMAQIKLETALEASRRWRIRAGAGS >Et_4A_032377.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3413325:3413453:1 gene:Et_4A_032377 transcript:Et_4A_032377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NLQGYILDKNVVAILKRSRRTSLLKVCRLLEVVTMDIFAKHK >Et_4B_039855.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:4105934:4106848:-1 gene:Et_4B_039855 transcript:Et_4B_039855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTVDWYGRNGGALPAALYAVAPDSKELVRAALAPPPVLGGAPTISFAAYPCAGGGVEQSAHAALVGAAHGGGLLTPAQVLQVQSQLQFLRRPGGGGALAAAAQPMKRQGVAPAPLRPAASTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAALAYDAAAFRLRGDSARLNFPDLRRGGAHLGPPLHAAVDAKLHAICHGGADALPPTQSLSNATAATTTPSTVSSASPKVIKSEPVCSGSETSSTSADGDVSSTDSSSDVVPEMQLLDFSEAPWDEFHLRKYPSLEIDWDAILS >Et_7B_056016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9305257:9311317:-1 gene:Et_7B_056016 transcript:Et_7B_056016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRRAAAVATLRASLRRACSSHAADSDDLLAGLVDAPETHPRSRLSPKDFSFLQEPTPPLPAADLQPPEAVLITKAIRAYGTDFNGKAERFLRRHREFLNDAVVVAVLRSVRVPELCVRFFLWAEGQVGYSHTGACYDALAEVLEFGDRAKAADRLLREIGEDDREVLGRLLNVLVRRCCRRGVWGEALEELGRLKDFGYRPSSATYNALVQVLATAGQVDMGFRVQREMSESGFCMDRFTVGCFAQALCKEGRSADALDMIQREDCKLDTVLCTQMISGLMEASLFDEAISFLHRMRCNLCVPNVVTYRTLLSGFLEKKQLGWCKRIINMMMKEGCNPNPSLFNSLVHSYCNAGDYPYAYKLLQRMTACGCPPGYVVYNIFIGSICGGDKLPGPDLLALAEKVYEEMLASSCVLNKVNTANFARCLCGVGKFDKAFQIIRVMMKKGFVPDTGTYSKAMKVEKAFLLFQEMKKVGVNPDVYTYTILIDSFCKAGLIEQAQSWFDEMRSAGCSPNVVTYTALLHAYLKAKQGHGADIVTPNVVTYGALIDGLCKAHKVADAHQLLDAMLSASCEPNHIIYDALIDGFCKVGKIDDAQEVFLRMSKCGYLPSVHTYTSLIDAMFKDRRLDLAMKVLSQMLKGSCTPNVVTYTAMIDGLCRIGESEKALKLLSMMEKKGCSPNVVTYTALIDGLGKAGKETESHGTAAIAPVYGMLIDNFSKAGKLEKALELHKEMMEVSSSLNLASKDTYASLIRALCLASQVEEAFELYSEMTRNGIVPELSVFVWLIMGLIEVNKWNEALQKAGLSSDEQRNITDGYRPIKKNLADANPVRAAQWKESWPSANNFGDRIHPIHFAPIHWMIIHQSSRIIPPFLEPFHSMTPWFDFE >Et_8B_060579.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2778134:2779761:1 gene:Et_8B_060579 transcript:Et_8B_060579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLVGVSLRSQIWQVVQLCYWGQETDGHWRKPSTVLISPATTSQQAQIINAQHRLTGHRTGAEVVTGDAICNKKCVELLEELGLPKGLLRLEDIQEFGYNRATGFMWLVQGKKKVEHTFKKIKQTVSYAAEVTTFTEKGKLRKITGRQDQRVDAMAQRCRSLHCGCDTGEAAAAECCTAEDPRPQQRTSIAQSTLLVFPDPSATD >Et_4B_038940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6091209:6097856:-1 gene:Et_4B_038940 transcript:Et_4B_038940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVVEPKTAARESEDVMPGVASASGSGSGIWSRRRDEITFDRLQKVPSRARSVGRRLVSIWVVWIQGGWVLFWNDLPSQARQELLKLDKQTFIEQARKNFYCSRCNGLLLECFTQVVMYGKSLQQEAPDIDYLRLTADSRVSHGEQDVPQDPSDHPWGGLSTTKDGILTLLGCFVKAKSLHVLQNVFDNARARERERQMLYPDACGACGRGWISQGMASYNRGYGTREVCALHTARLSCDTLVDFWSALGEETRSSLLQMKEEDFIEKLMYSTALCWYFPSMSQYIRVTVLGKKNMNRFDSKRFCRECRRNVIREFKELKELKRMRWEPRCTSWFCVADTAFQCEVFEDAVLVDWRQYLSEEDVSYDRFEWAIGTDEGESDVFGFENVGKNAQVHRSGINLDEFEDYFITLRAWRHDGNCNELCVKAHALKGQSCVHHRLVVGDGFVTITKGESIRSFFEHAEEAEEEDEDDAMDRDGNDLDGDGFHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGTARQNAHSVFVSLALKLLEERVHVACKEIITLEKQNKLLEEEEKEKREEEERIMKRRTKERQKKLRRKERLKEKGNNKGKRLPDGMPSSALSSPSTNDESTSTLDSKDSASEEEGNTDRHIDQSSCWDFNEENNMDRCHAVTETSPMDSSDCCTSEQSRSSKRSPSLEKDFPQVRSCCYGDSQNEPGRTADMQWQSKERTKTSGTNCKLVSPTNNRTRDRHTYNSCSCNNQEDCRDMGSCFLSANVSGREMKLARKAGVDKTRVLYHRCHTPNSFIGSTQKNAISKQVWEPLEKKTNLDNTDNPSGTIDNVNLLKPVDCGARGCQNIGAGSEPQALVSESPSNLCKLEKDQTRENGERMHAASCDGTPVTNKQYCYSTNEVSRRDEELMANSAGSDSSSSCISEGDGDSSSSIMTSLGTQNPESSSSDSEEPSGRVKSTINTPSSGTVSRSLLETCAGKGFREYQPKATRPAHEGKFGFNTSPFQDPLLHHQSMHAPPHSPATLGIHNHSWSAPTNGNFQYARPSHFPSSFVFGAAGNHFVDYPVQYSNVNPYLTPAFSHMAPALIPKTAASFRAMPPPPPFQNGGQQAHNVLWSRHNNGPLFAPNRKATQEPSPARPTDPVLVASFPPLAINATADESPTHASQAASNSSDGGSAVWLTRRSLLG >Et_5A_042155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6887045:6890165:1 gene:Et_5A_042155 transcript:Et_5A_042155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVTPAVDGDAKKPKQPKQKKGKKPNPFSIEYNRSAPPGPRLVVLREPTGRDIAAQYELGGELGRGEFGVTYLCTDRATGEALACKSISKKKLRTPVDVEDVRREVEIMRHLPKHPNIVTLRDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAALVTKTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFTPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPRVSDNAKDLVRGMLNPDPKRRLTAQQVLDHPWLQNIKKAPNVNLGETVKARLQQFSVMNKFKKHALRVIADHLSVEEAADIKDMFDKMDLNKDQMLNFDELKLGLHKHGHQMPDADVKILMEAADADGNGTLDYGEFVTLSVHLRKIGNDDHLHKAFAYFDRNETGYIEIDELRESLADDLGENPEEVINAIVRDVDTDKDGKISYDEFAAMMKAGTDWRKASRQYSRERFTSLSLKLQKDGSLQITSTR >Et_8A_056306.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:21211985:21212194:1 gene:Et_8A_056306 transcript:Et_8A_056306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFPLTAPIGIALGIAIISSYSKHSFTALLVEGVFNSAAAGILINMSLVDLLAADFNNPKLQTNMKL >Et_5B_045270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1599739:1600051:1 gene:Et_5B_045270 transcript:Et_5B_045270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAYSVSKATVLGLVRSVAGELAHHGVRRRKLLSNCETMGSYVQECILVKGKGNALAILHIGVLFYM >Et_3B_030776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7236548:7241363:-1 gene:Et_3B_030776 transcript:Et_3B_030776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVWMRWWVAVAALLAVILPPATATLSPAGINYEVVALMAIKMELEDPHNVLDNWDINSVDPCSWRMVTCSADGYVSALGLPSQSLSGKLSPGIGNLTRLQSVLLQNNAIYGPIPGTIGRLGMLKTLDMSDNQLTGSIPNSIGNLKNLNYLKLNNNSLSGVLPDSLATIDGLALLDLSFNNLSGPLPKISARTFSIAGNPMICGVKSGDNCSSVSLDPLSYPPDDLKTLPQEGTARSHRIAIICGATVGSVAFAAIVVGMLLWWRYRRNQQIFFDVNDQYDPEVCLGHLKRYAFKELRAATNNFNSKNILGEGGYGIVYKGYLRDGSVVAVKRLKDYNAVGGEAQFQTEVEVISLAVHRNLLRLIGFCTTESERLLVYPYMPNGSVASQLRELVNGRPALDWSRRKGIALGTARGLLYLHEQCDPKIIHRDVKASNVLLDEYFEAIVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGVLLVELITGQKALDFGRIANQKGGVLDWVKKLHQEKQLSMMVDKDLGSNYDRVELEEMVQVALLCTQYYPSHRPRMSEVIRMLEGDGLAEKWEASQNVDTPKSISSEILPPKYIDFAADESSLGLEAMELSGPR >Et_3A_025960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34210610:34219667:-1 gene:Et_3A_025960 transcript:Et_3A_025960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDPAAAGQMIPEGCIRRVKLSVASNDEILNAVPVMNPSEKPFPITHGSQLQDNPSLGLPLQLGTCDCCGATQVDKCQGHFGFIELPVPIYHPSHITELGKILNMICLRCLRLKNGKDLPPLCVAEVMKSNGARTLKLTAPLRAEVGDGFWSFLDQFGFQTRHPAHSRPLPPKEDTSKNFLRKVLRTIGDIKSSSIANPNFEAREVGEDNLQVAVADYINLRGTIQGSQHATVTRQPAPKQWQQKMKTLFISKSSSFSCRAVITGDPYIGLDVVGVPDEVARKISVEEQVTDYNIARLQDIMDKGLCLTYRDINSNTYDLDVGKANDKKRIMLRVGPRESIKLLNILQPLLMESLLMDGFSVSLRDFNGPGKGLKKIRNSPADLNKFRELIVDFAAHSSALGLLIDPKRDSAMKKLVEQIGFLGHQLQYNGRLYSSNLVQDCYEFLNKSSRSTRCYEPLEANGFVNSSFSSGLSPYEELLHSISTREKIMRTSEGLVESGNLFKNMMAILRDVVEVLLTKSDSKTDINDQKVILYLNKYPGVINAAQSCLKRIKVEDCATEISISYNIAQKLCYHYVVLISRPMSYFRYCQEITQAAHCLVGYIRLDKKRLNQMEVTVGSIIQTCQEAIVKQVNKKGKMRQIMKSSSIMLSECLCDEDPCDEKYLQVSCFQFFLHANIATELPESHVVHLMTNSIFPILLETAIKGKIIYIDISSIWKTQILLLIIGYEVLLTYDPLSHHRIGDPRVQKAKIIWIEPALPCWVQTSSAEQKGELALEITVEKEAAEENGDAWGVAMDACIPVMHLIDTTRSIPYSIQGVKQVFGISFAFDRAAQVHSLLSPLLSIQTSYNLYRNVSHVLLFASYFQHLSKAIGVVTKSVLKEHLTTVASSMTCTGNLHGFNNSGYKATIQSLNVQAPFTEATLSRPIQCFQKSAEKGYIDQLDSLVSTSSWGNQAAIGTGSGFEILWDIESQSASNETLGGYGLYDFLGAVGTIGATEGKTVVPHSSCLYDVDNLLEDEMSCLGGNSPISRTDKLKVDYRQRDFKGRRTGMCLTAREHQGMQTVSIWNSDVSWKNNESSRCEENTMGPQHSTLTRSTNTSVCNQRRFTGQVFERKQPKHIWNSAVTHQDDRPSWFRENVSYTQNFPIEESSGAAGWNRKNSTFGRGGGRAMRKSEGSHRGGGNSRNWKAQKNSSARQGGSSSFTPVEQQIYAQVDPIMKNTKRIIRDSRDGIKLSPEDEMFIVTNILMYHPEKEKKMGGQGNYIMHTDSSSSNSGLRFFCSLPFAAFNVPLQNGKPCGLKGAVAYRGKACTVQYPGANATGQAHKSLLGDNLPHRPQHRAAPLGAHPGHQARLDHIKWGGESRRYGPRQSTAEHALPRGQALLVALVESEGLEVLERRVAEHACGEIAEQGSGVSSVEAEEAVGLDDLKEHLPGAAFGGAGLEALGDELLGHHDGRGGDVAAGSGERGHEERRQVGSEERLGELAGAEVDGGGRGGAEDDGGEAAVEAERAVGAEDVEEDLPGCGPGGGGSLEAGLDGVEGEQRGVGEGPRGGASAGTDGGAGERSGCRGGVSGLGGGGNGEGGGAEDGGGGAGGRGRGVEEQGGEVGDEGREFHVCLGLG >Et_6A_047033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24471584:24481910:1 gene:Et_6A_047033 transcript:Et_6A_047033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLNSEGVAEDGYVLDVEPGKTYLLRIINAALFSEYYIKITGHKFTVVSADANYVNPFTTDTIAIAPGETMDALVVADAPPGRYYMVALPNQAPPPDTQTPEYVTRGMVQYSTVSLNSSRDDVPEMPDNHDTITSFHFHGNLTSLLHRQNSPPVPKEADERLFIVLSLGSICRNGGHSCKRGDSKEIILVANMNNVSFHLPPETATPILEAHYYHHNGVDNTLQELAERPPVTFNFTDRELIPFGPKEMQLEPTSRAKLVRRFRQGSTVDVVFQSTGMLQGDSNPMHLHGHDMFVLARGLGNYNAARDVATYNLVNPPRKNTVLVPNLGWAAVRFVADNPGAWFMHCHYEFHLSMGILGFMDTRCVASLLTKQVQANLLSMSLMIVCLFRMMRQVHEMNVTHLCNTTKIYVVNGQFPGPQIDVTDGDTVVVHVVNRLDHGLTLHWHGVRQMRSAWADGAGFVTECPIPPGGDHTYRFNVTGQVGTLWWHAHVTCLRATINGAFVIRPKDGRYPFPAPARDVPVIIGEWWQLDLVELDRRMADGNFDDNPLSATINGKLGDLSNCSGRPEESFVLDVEPGKSYLLRIVNTALFSEYYFKVAGHTFTVVGADGNYLTPYRTDMVVLAPGEAIDALMFADAPPALYHMVALANQPPEPDPQIPLFVSRGLVRYPGVRADNNGLPVAAPLMPDQHNTMPSFYFHNNLTGLAHPARHRVPMHVDERFFITLGLGSICRDGTTKCKRRRSNETIVVATMNNVSFAHPTKTSLLQAYYDGAGKGLYTEDFPDRPPRPYNYTNRDYIPPGPLEEVLEPTFKATKLRRFKYNTSVEIVFQSTALLQSDSNPMHLHGYDFFVLAQGIGNFDPKRDTKKFNYHNPQLRNTVQVPRTGWAAIRFVTDNPGVWYLHCHFEFHIVMGMATVFIVENGPTPDTSLPPPPPEYKRCGANACMDTPERTPAPGEHVEDAASLAGAK >Et_3B_028504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16251971:16258671:1 gene:Et_3B_028504 transcript:Et_3B_028504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVGSRVKVVGQVERVDGRSLTYAEFVDRFMARNRPVVLTGLTTSWRSCEDWTLPGPGDRRRPNLGFFARNFPSPLVPVADCSSREFTDQKRLEMSMQDFVDHWAGNSDDQGSLFYLKDWHFVKEYPDYVAYTTPTIFIDDWLNMYLDSHPIHRDSDIANHKNEVNCTDYRFVYMGAKGTWTPLHADVFRSYSWSANVCGRKLWLFLSPSQNHRVFDRYMRSSVYNINDDVSEKKFPEFNKTEWLECIQEQNEILFVPSGWYHQVHNLEDTISINHNWFNAYNLHWVWNLLYEDYKVAKEYIEDIRDICDDFEGLCQRNLAANTGMNFYDFFIFIVRFTLANAIELYHIRQLEDAAIPAEIAHHFVFNLTSIRNVALKMIGTDAFTTGNLLHISEDNRSAFSNIKQILEEDSFRRLLTALSKAYEHIGSAQRTCVKTRVSNQKGCSSVSCWKSDCSVVDEISTLSCEVRGPEDLVILVDNILSDN >Et_1B_012231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3079249:3079831:-1 gene:Et_1B_012231 transcript:Et_1B_012231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTRVASPRLFEEDLSRYHMFLFKHANSTEFEQSAGGNKSADKGAVAEQRMAMLRSWLPLLCRGSNGTDAPVLSGRERSEMAGVLEELIDKLSWEQKEEILALWLHHFAACPDTDWPNLEACYTRWYAESRRMLA >Et_1A_006163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18607094:18620296:-1 gene:Et_1A_006163 transcript:Et_1A_006163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSVSASQPAATPAAEAGEGYAPAPELPGAGGPEIAFFDVETSVPQRAGQGYALLEFGAILVCPRRLVEVAAYATLVRPADPVSAISAASVRCNGITRDAVSGAPSFRDVADAVYGLLHGRIWAGHNIVRFDSARIREAFAEISRPPPEPKGMIDTLPLLTQRFGRRAGDMKMASLANYFGLGKQRHRSLDDVRMNLEVLKYCATVLFLEASLPEVLTVENLVERAITRSQANGSASPEAPKPEPNSSPESSKRQRKNSPVESVVLEEDNQGTGSPATSRESVELVSHIEEMKLDATTQMDASSSGYSGFVEPDDVSTECIKISVAPLHQFGRRTSIQHKDIPLQLCCAGLKVQFGVSTKFLDSAGRPKLNIVVEIPENLSKVLEFCDDLARRSSQDSGSTSEWRSLIKKYGYVNHPTVRLNIPTIVTGESIYATDICQKEPSGITQKLVFSKVDATELDSLFVRGTKVDTFFSLEIYDYQQNAGIRLVAKRLQAVMATTASASAADGTEIAFFDVETSAPERCALLEFGAILVCPRRLVEVSFYDTLVRPDDLDAVVPASWIGRCNGITRDAIAGARTFRDVADDVYAVLHGRVWAGHNILRFDTMIIRKAFAEIGRPPPEPKGVIDTLPLLTRRFGARARDMKMASLANYFGLGKQKHRSLDDIRMNIEILKYCSTVLLLEASSPEVSDELVLDVTEMQLDAAMQKDASSRGYSGFLGPGDVSTECIKISLALLHQFGRPTTQILHKRTPLQLSCTDLKVRFGVSPKFLDNAGRPRLNILVVIPENLRKVLEFCDDLAKTSSPEACGTSEWIPLIKMYANDNHPTVRLNIRTVISGETAIYSTDICKKERNGIIQKLVFSKVDVAELDSLLRWNKVDAFFSLEVYDYKQNAGIRLVAKRLV >Et_6B_048707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12892299:12892639:1 gene:Et_6B_048707 transcript:Et_6B_048707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNPGRIQNVINFQNGTLRRPEVEVNKRNEKEFLNLIAFERPHVRKCREKCSIFHDLHRQHYQLGKKSCVAEIKKGSSKNVEQEAAKRFKTISKGALLSPSSSNLYKVR >Et_5A_042496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1671857:1673022:1 gene:Et_5A_042496 transcript:Et_5A_042496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEAEKDHGPVLLPEDTVVEILLQLPVASVIRSGAVCKAWRRITTDPHFRARRRPRSNLNGSPWPGCSNLPPRVYGAEDVVLGALPISFAAAGWPYNHSLIRYPKTPLSMRDDHCRLLASCNGVLLFVRDEGRRYLLCNPVTRSWAELPRLAAEYYNNYNYGDPEKGFYFHQPSGEFRLLGVLDARVWFVASTGANQPRQLPISAEMAERGVPSLRSAVTTPVALHGHLHWPPYKPMGVDTTTTEMVAFDVLCETFHRMAGPPMTTPGGEMKLFEMDGMLVAADFTSRKTRHIDLWFLEDYGAARWEHGHRVESLRMAGGWVDLRSVAAAGDEEGNVILGHRYGGLLVYDTRRRMVVRKIVVDDRNSMTPVHVTRHVFKGEP >Et_1A_007587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36136295:36140365:1 gene:Et_1A_007587 transcript:Et_1A_007587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRKVAVPLVCHGHSRPVVDLFYSPITPDGYFLISASKDSKPMLRNGETGDWVGTFEGHKGAVWSACLDTNALRAASGSADFSAKVWDALTGEQLHSFEHKHIVRACAFSEDTHMLLTGGFEKTLRIFDLNRPDAAPREIDKSPGSVRIVTWLHSDQTILSSCTDMGGVRLWDVRSGKIVQTLETKAPVTSAEVSQDGRFITTTDGSSVKFWDANHFGLVKNYNMPCPVESASLEPKYGNKFVTGGEDMWVRVFDFFTGEEIACNKGHHGPVHCVRFTPVGESYASGSEDGTIRIWQLGPANNDEQEAQNSNGKMKVGVNDVARKIEGFHIS >Et_10A_001328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23476322:23480174:1 gene:Et_10A_001328 transcript:Et_10A_001328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGTDAPASRPELLEDGFFVLGVLAPVAAAAYTAFSPLGKSDQPPDLFLVSSDATDMEEEKPMMEERNANAAVVVEPEWAGGMFDCGSGDPAGAALSTSCTFCQRFLVGDVVGGAGVALCACGLLYGGYWRIQMRRRFGLPGSTACCGSKSLTTDYARWLFCWPCALAQEVRTASLYHVAGETFYSKVVHDDERQPLLKCTSHRDVYCARDTDTMVVQVSPNDHLVVIHDDAMLPPPVQVVVVQVEDDGHKPDDCSVVLHGQMINSPNPVPATVLGGDESLLEDADHSISSHGSWRVDKVKKLINMVTLVSLLILMYTRGFILPSMEMESHEKSSGMQIVRQGKEKKERFLDFLRAAPSKEFLHQRFGFLKRVATLRASSISHAAGILRRAPAFARTVDWRALRGRCVAWAKHPTNAALLVWLAFVAGGVAFVFLLMTGALNSAVPDASRRKRWTEVANQVLNALFTIMCVYQHPRLCHHLALLLRWRAADAVELRSVYCKNVAAGDGGGVRRERLHVALVVALLHATCLAQYAYCALFWFFSGATRPDWAVNLCMAFGLAAPVAAALYMVYGPLGRRKAAAVLPAASTSDEEDDGCKSNNRSNRGVAVAAPEWAGGLFDVADDPTVAALSVTCTFCVFGWNMERLGMGNMYVHVFTFALLCAAPVLVFAVAALNIHDATLAYLVGATGALLSVLGLLYGGYWRAQMRKRFGLPADRSVCGGRPGAADYGKWLLCAPCALAQEVRTANLYDVEDGRLYLRGEELSVSVSPEEDTPAMAPLQREGCVAPLTSCEAPQCVIAVVDAPQIPVMLHQVNR >Et_1A_007113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3086403:3093946:-1 gene:Et_1A_007113 transcript:Et_1A_007113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVALLRTSGRRLLHRCSKGRPVVQAAAGSSARRLPSASFPSRGYSALPGGGARFLAAAAPLHCSGRYWPAAGPRLARRLSAPAVSTSPSPVPQDTGDVHEYAAKLGFEKVSEQIIDECKSTAVLYKHKKTGAEIMSVLNDDENKVFGIVFRTPPKNSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVDDFQTFQQEGWHYELDNPEEEITFKGVVFNEMKGVYSQPDNIMGRASQQALFPENTYGVDSGGDPNEIPKLTFEEFKEFHSKYYHPSNARIWFYGDDDPKERLRILSEYLDQFEASPAPNESKIQPQRLFKEPVRIIEKYPAGEEGDLTKKYMVCINWLLAEEPLDVETELTLGFLDHLLLGTPASPLRRILLESGLGDAIVGGGVEDELLQPQFSIGLKGVSEESIQKVEELVMQTLKNLAEEGFASEAVEASMNTIEFALRENNTGSFPRGLSLMLRSIGKWIYDMDPFEPLKYERPLQQLKARIAEEGSKAVFSPLIEKFILKNAHRVTVEMQPDPEKASRDEAAEKEILKQVRASMTEEDLAELARATKELKEKQETPDPPEALKAVPSLSLHDIPKKPTHVPIEVGDINGVKVLQHDLFTNDVVYSEVVFDMGSMKKEHLQLLPFQSLLEMGTKDMDFVQLNQLIGRKTGGISVYPFTSSVRGKEDPLTRIIVRGKAMAPRVEDLFNLMYTILQDVQFTEQQRFKQFVSQSKARMENRLRGSGHGIAAARMDAKLNTAGWIAEQMGGVSYLEYLRDLETKIDQDWDSISSSLEEMRKSLFTKDGCLINITSDYKNLEKSSQRIAKFLDSLPSRPPLGNDPWLSRLPSVNEAIVVPTQVNYVGKAGNLYQSGYQLNGSAYVISKHIGNTWLWDRVRVSGGAYGGFCDFDTHSGVFSYLSYRDPNLLKTLEVYDETAKFLRELEMDDDALTKAIIGTIGDVDAYQLPDAKGYSSLMQYLLGITEEERQQRREEILSTSLKDFKEFADAIETVKDNGVVVAVASPDDVEAANKEKSLFSEVKKCL >Et_5A_042349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:978401:982766:1 gene:Et_5A_042349 transcript:Et_5A_042349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAAWCCALLLVVLAITASPAAGRFVVEKNSLRVTSPAGLRGVYECAIGNFGMPQYGGTMHGVVVYPKANQKACKPFDDFGLSFKPRPGGLPVFLLVDRGDCYFTTKGWNAQNAGAAAVLVADDKVEPLITMDTPESSGTEHIENITIPSALISKRSGDDLRKALENGEMVNVLLDWRESLPHPDERVEYEFWTNSNDECGPKCDMQMNFVRSFRGIAQVLEKRGYTQFTPHYITWYCPEAFVLSKQCKSQCINHGRYCAPDPEQDFSTGYDGKDVVLQNLIQICVFRVANESRKPWMWWDYVHDFAVRCPMKEKKYTRECANGVIKSLGLDIEKINKCVGDPDADEENPVLKAEQDAQIGRGARGDVTILPTLVVNNRQYRGKLGKSAVLKAVCSGFEETTEPDVCLREDIETNECLENNGGCWSDKSGNVTACKDTFRGRVCECPVLNGVKFVGDGYGHCEASGVGRCQINNGGCWKESRNGKTVSACSNEESKGCKCPPGFRGDGVNSCEDINECKENLFCQCKNCACENTWGSYECGCGGNNMLYIREHDTCLSKDTTSSVGWSFLWVIFFGLALAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLENHETPSHQRPVEHAEI >Et_2B_020676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22613553:22614948:-1 gene:Et_2B_020676 transcript:Et_2B_020676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVSTGQSLRIALPLDNGPARLPAVKMSCFAQQQTDASMPLSSSLEDSKRTPEPIVKMCGITSAKDAETAAKAGAKLIGMILWPNSKRSVPLSEAKEISRVAKSYGAEPVGVFVDDDDETILRASDSCDLELIQLHGDSSKELLPLLWKNNRIIYVLNADDDGNLINAPPSEEFVLDWFLVDSAKGGSGKGFNWEKFQMPPVKSKNGWLLAGGLHADNVCQAASALKPNGLDVSSGICGPDGVTKSPERIYAFMSSVKTHLNFYQFIVSLSNASNSNKD >Et_5A_041519.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23980588:23982051:-1 gene:Et_5A_041519 transcript:Et_5A_041519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSAGIGAGEHRVQILQARDAGHQGKGTKPEKQLNCFVRALALIERLGNALGTLAFTWATVILLGGYPTELRLRMTFVFLEAARMFSRNNRMDYQLFFHTKSAVRPLGWNGLVVIVYLSNILSYLFMLVRLIQLPMTFRVLTLLLILVIPSILGIFQSSGALELLSKRLRDAISLFSPLFATILLVPLIAKFTPRDTMAKWIVLFTLLFLAVLLLTINKVVSKETANYHQNML >Et_4B_037251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17152909:17156660:-1 gene:Et_4B_037251 transcript:Et_4B_037251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDDVLCNLRSVGVPTKSAIYIWGYNQSGQTARKGKECHLRIPKSLPPKLFKLGNGRNLRWTDIACGREHTAAVASDGSLFTWGANEFGQLGDGTEESAKEPKKVSALETEFVKSVSCGAHCTAAVAEPRENDGTISKSRLWVWGQNQGSDYPRLFWGAFTPNTVIQQVSCGAVHVVALSEDGLLQAWGYNEYGQLGRGCTSQGLQGARVLNAYARFLDDAPELVKIVRVSCGEYHTAAISESGEVYTWGLGSMGQLGHCSLQSGDKELIPRRVVSLDGIVVRDVSCGGVHSCAVTEGGALYAWGGGHVGQLGVGPQSGLFSSSLDGSEMLLRNIPVMVIPSGVRLATCGHSHTLVSMKDGRIYGWGYNSYGQAANEKSTYAWFPSPVDWCVGEVRRLAAGGGHSAVLTDACSLKELCEFKLAETVNVSNAQLIEDVASRTGADALARLCEKLREYPDAQGECEFREKQVAEEVEAKAS >Et_4B_039482.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:18424047:18424661:1 gene:Et_4B_039482 transcript:Et_4B_039482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQMEKLLNHCDMELMKMAVLKHEETFRQQVRSNSTTPLIIISSLSELCLTLRNGLEKKVHELHRLYRVQKQLMSGLTTVPSCRRQMRRKHPRRALDLHLPADEYIVVGAGDAAPPSREHDLELTLAVGGDGCRRKRRGENAAATPLASSDSGGAGSPTSSSSTDTTGSPYQRAMALRLQEGTVVRQQQQQAPWLVQCLSLRMA >Et_2A_017089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30881342:30884074:-1 gene:Et_2A_017089 transcript:Et_2A_017089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPSHRSLLLAVLAVATLSLCFGAAAAAQAGSGEGYTIAGRIKIDGASSKVFGLPAKTSNTKVILNGGQRVTFARPDGYFSFHNVPAGTHLIEVSSIGYFFSPVRVDISARNPGYIQAALTETRRVLNELVLEPLKEEQYYEIREPFSIMSLLKSPMGLMVGFMVIMVFVMPKMMENIDPEEMRQAQEQMRNSPVSFSNLLARTQG >Et_9B_065515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:597984:599402:-1 gene:Et_9B_065515 transcript:Et_9B_065515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARSSSTKQSRAQAQQQQNGGHALPSKLARYLDPEASWDKDQLLDAVHWIRQALGLICGLLWGAVPLVGAVWIALFLAISTGIVYWYYTYVLKIDEEEYGGHGALLQEGLFASFTLFLLSWTLVYSLAHF >Et_1A_005064.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:24806576:24806698:-1 gene:Et_1A_005064 transcript:Et_1A_005064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHTCMQGMPHKPPQSNQFWCITTSSCRCSQDICAPLSL >Et_7B_054470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21734974:21736752:1 gene:Et_7B_054470 transcript:Et_7B_054470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ETTETAISIQNHASDQPHRPHLSIDIPTSSTPDHLLTPTPTEADITPTPDGSNTKRRPGIPVTPGSSSSRSSTVPPKMQRSPSFILRQTVKSLLPVGSFKSSVKGYEASFSKFFNARMVRTSSLPLDDVSGVDALSHEVEKSSTASATEKAVHICRSQSLPMSMKKFNAKSFKRMDSLGGMFRVVPSTPRVAATSNVISDIVPTESGAIDEEDGGEDIPEEEAVCRICMVELSEGNDTLKLECSCKGELALAHKDCAVKWFSIKGTRNCEVCKQEVRNLPVTLLRVQSVQGEANRVGNRSRYNRYTIWHGTPILVIISILAYFCFLEELLVPPSFLLLLQYNGTSNKCMDCYNFSLVWYTDR >Et_3B_028298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1374184:1376495:-1 gene:Et_3B_028298 transcript:Et_3B_028298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGLRAPARLRREAFLAFSPCSARDTPASSTQEIGHGDIGAGKKLQPLTLRRVPWRKYHRADTRDPTLLSTLLSADLSWEERVVNILHVVRCREMTEYNPKTKRSLPTRFCEMNIAFFDLDKKSDVKRKPDYIKIPDNHSTLDSSINIISIKVAESDVPYPINIYGTVLARDKVDYRCVYLFKRDRGNPQLIKSKDDTLSLTGPYRALSFSDTISFEFNLKIKGEDTADQDFSKGEIECHGCCGPDSRPRSRSLLSFLNEVEMKFQCVPYALEASLEVNILNGKSHFLGKITAGDKNDGIILNDSKVAGTETKIGSCGSVLLTRRIVAVPWGRSLVLNFSVPRSNPKSIRLKQVDKQWTCKMGTYKGQVKVTWAGVFLRQRLRVWKKIKHTRVLW >Et_2A_016936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29758809:29765215:1 gene:Et_2A_016936 transcript:Et_2A_016936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDASEFGAEGFDPKRWINAALDARHPSEPLDRFLADAEERLRAAADDAAAALERDSGDALRRIPLACRDALRLRDDAVALRSHLASVLQSLSQAEGSSAESITALARIDTVKQRMEAAYATLQDAAGLAQLSQSVEDVFSSGNLPKAAETLATMRHCLSAVGEVAEFANVRKQLEVLEERLDEMVQPRLVDALSNRKVDAVQDLRGILIRIGRFKSLEVQYTKIHVKPLKKLWEDFDLKQRASRVEMEKHGGEIISSVSFSSWLPNFYDETLLYLEQEWKWCLTAFPEEYKSLVPKVLVETMSELNSSFVSRVNMAAGDVVPETRSVAKGIMDVQSGDLQKSTKLQNKHLQALIELHNMTGTFARNIQHLFSESDLAVVLNTLKAIYYPYEIFKGRYGQMERAILSAEMAGIDIRGAVSRGVGAQGIELSETVRRMEESIPQMIVLLEAAVERCISFTGGSEADELVAALDDIMLQYISNLQETLKSLRIVCGLDSDALKKESGLEKKDAQRFVDVSEEEEWAIVQGALQILTVTDCLTSRTSVFEASLRATLARIGTNFSLSGFGANLDKSPSTTADEDAEMPLGGRAALDVAAIRLSDLPDKSKKLFTLLEQSKDPRFHALQHTSQRVAAFSDTVNELVYDVLISKVRHRLSDVARLPIWSSVEEQGGLPLPSFSAYPQAYVTSVGEYLLTLPQQLEPLAEGISGNETGNDEAQFFATEWIFKVAEGATALFMEQLRGIQYITDRGAQQLAADIEYLNNVLSALSMPIPPFLSTFHACVSTPRDQVRGMIKSDGGSQLDLPTAHLVCKIRRISLD >Et_1B_013204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6427325:6436165:1 gene:Et_1B_013204 transcript:Et_1B_013204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHIRILLSQHIAVWYANLVSVRMPPWWGKSSSKEAKKTTKENLIDTIQRLISSNEHKGSRKSRGSRSRDKDTAADKGCWSTAQSRSTSPSKEVSRCQSFAADRPYAQPLPLPGSRGRVTRTTSDITNSKPILEKRDKGQLLPLPKPNRHQKRPDTTETVAELATASVSSNCSIDSDDPGDSQLQSPPGNDAENATRVTSTSNSSVVQKERSSAIIRKSTKEVGKPNNSLRSNQILSTTPRGTVADSYQSSLQSSRQVTLESAPNSLMSSPTRSPRVVCPDQIPTSAFWAVKPHTDVTFLGSGQCSSPGSGQTSGHNSVGGDMLAQLFWQPSRGSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRAGGVAPESPTNRHAEGKKKQTHRLPLPPLSISNNSTYLQNNSTPTSPISRSPGRTENPPSPGSRWKKGKLIGRGTFGHVYVGFNSDKGEMCAMKEVTLFSDDPKSKESARQLGQEISLLSRLQHPNIVDDKLYIYLEYVSGGSIHKLLQEYGQFGEQAIRSYTKQILLGLSYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHINGQQCPFSFKGSPYWMAPEVIKNSSGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAMFKIGNSKELPPIPDHLSEEGKDFIRQCLQRDPSSRPTAVDLLQHPFVRNASVLEKSVASNPLEQLAAISCKSNSKVVGHARNMSSLGLEGQSIYQRRAAKFSLTHSPKTTSGASTPLTGGNGAIPLNHTRQPAYRNEALSITPRGIDDHLPSRPTDQARGRFVRVQQVSSGVPERVVSEADILSSQFGKMGHVNVWDLHDRQLPSEHSSLHRSGDRVKLKPSLDLTSAPLHFGRNHVTLWRDHEEGPYNLFQFFVTSSKGIWRLADCKSPNETIKKHPMCHDIG >Et_10A_002185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3743202:3746369:1 gene:Et_10A_002185 transcript:Et_10A_002185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRRCTYSLRSIDVSCLFRRPLESTGACQPPVDENQKMEPCPLPAPAMSFCPPRQSRYNDGKMEFMLLGGKHNKVVATDQTGRAILYDPDRHAVHTLRGFVEPKTSTVVALTGGDDDLYVLSALPSLDACFECLERGRRDEDDEDWYPRVLPPPPPFRCCDDGEEEIDGTWWSTGSPCIVSSAVSGDGARIWVTDQCSGTYAFDTATERWSKAGDWALPFAGAAAFVPEHGLWFGLRDDERCALCAVDLARASPRRPPAVRHVWEDLAPPDDWPAPPTSRLVHLGAAKFCVARFFSDNPSSCRHYPVTRAVFTGVEVERCADADGGLRMVKHRSKLYTLLHDMAYWMLEYRMR >Et_4B_037752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23062625:23063961:-1 gene:Et_4B_037752 transcript:Et_4B_037752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PHSSREASTARSSAPFSSFPRELARSVSHCPAVSSLMSLLTSPTPFGTAAVRCRALPAGAVPALVPLAGRRAALHVALARRGGVSSRTQRRLEERGGKKGRGGVSAPAPPDMDEETGEAAAEWEGEPLGFEVSTEPMPKLPDPETPDFWEGPQWEPLGFFVQYMWAFGVVFGLVACGVAVSTYNDGATDFRNTPAYKESLQSQEFPEESESSGADVFEGNPTEVAPSLE >Et_5B_045233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1318508:1322189:-1 gene:Et_5B_045233 transcript:Et_5B_045233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPAAAAGAAAALPRLLLPVPLPRRSSTTKSDDVLNKLVLSAAARSHRSRGLFCSITSGSSAELAALSRPTDASSAHGTPVVISGYWTGPDVDDGCGSVEAVLQRICLALRRRKGNLIVVEVKYKLRVHLLHRPKHRLVLIRHDSEAKVFVALVAHHHEQLCRRDVEKGASVQLERHAWEVRSDPRSVEDAEVVPLHREALRAVVGQVSCHRVVQDAVRWLNHPRHGRPDVDLASAMERVRWDARELLPVEEDADDTDVPRAPHVGWILDVTRETEDFRLFVSYGEAVREDLLARLELPDQRIPIPAHAQDAGQGTVVLEPLRLVGAAKHESRDGHAQARDAASGSEDIDAEVAVRGRAMEHGLEGAPVLAGWSVWSLASSCVHVHEARGQAGVEDGEVLREFGDARLALHPRQDAREVQL >Et_5A_040483.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:25092736:25092960:-1 gene:Et_5A_040483 transcript:Et_5A_040483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMNSTLLDENKVSVIFCQKLECNNFGHGWQICYCCGATEKCYETMENCRAKCVHCNPKCPQPYPHHQAMED >Et_10B_003648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4283488:4284204:-1 gene:Et_10B_003648 transcript:Et_10B_003648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METEGGGSGSAEIFDLARGKKRPASPSTSDTSEGYLAIAAARRRMRIKTHRPFTVADFPRVTSDHEQQTNLLYRNPETKLRGPRAVRLFNPLKTGKLGFAADYNITD >Et_4A_034076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29689776:29703822:-1 gene:Et_4A_034076 transcript:Et_4A_034076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGGGRGGRGDRGDQRGPPYNQGRGGGGGGGRASGFVWPPPASTPRPVPGQYQAAPMGFRAPVPHQGAYGHPAPVVYRPAVPPAPQVVFTPPPAPVPVTIRAPPPAPSPAPAAATHQPANAPAPASSAPSAAALAKEVEKKLFVSETALAPAAAAASAAVATQEGKDAAADDAPVVDLAPVSKKGMAHPARPGVGTVGKKVMIRANHFLVDVADNNLFHYDVSINPESKSRQTNREVLNELIKLHGQTSLGGKLPAYDGRKSLYTAGSLPFESEDAEREYRITIRIAGRTDMYHLTQFLRGRQRDMPQETIQVLDVVLRESPSWNYVTVSRSFFSTSFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIKFVEEFLNIRDTSRPLSDRDRVKIKKALRGVRIETSHQQDQIRRYKITGITPIPMSQLIFPVDEQGTRKTVVQYFWDKYGYRLKYASWPCLQAGSDSRPVYLPMEVCKIVEGQRYSKKLNDKQVTNILRATCKRPQEREQSIRDMVLHNKYAEDRFAQEFGIQVCNDLVSVPARVLPPPLLKYHESGREKVCAPSVGQWNMINKKMINGGTVNNWTCLNFSRMHPEEVKRFCVDLIHMCNATGMLLIVILPDVSGSYGKIKRVCETDIGIVSQCCLPKHASRPNKQYLENVALKINVKVGGRNTVLERAFVRNGIPFVSEVPTIIFGADVTHPPPGEDSASSIAAVVASMDWPEITKYRGLVSAQPHRQEIIEDLFTVSKDPQKGHNVNGGMIRELLIAFRRKTNLRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEFHGRRDMTDRSGNILPGTVVDQKICHPTEFDFYLCSHAGIQGTSRPTHYHVLYDENHFTADALQSLTNNLCYTYARCTRAVSVVPPAYYAHLAAFRARYYVEGESSDGGSTPGSSGQAVAREGPVEVRQLPKIKDNVKDI >Et_3B_029844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28387809:28390243:-1 gene:Et_3B_029844 transcript:Et_3B_029844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTAMTVDFLRARLLSERSVSRAAKERADELAKRVAELEEQVRAVTAQRRQAERAAEKVLAILESQGFGGHLSDDDSGTDQDGEEEEEDAKSRGDTARVSGEEKEPSAASARGEAEDDAQSGTAQPGGLSWKGRSVSPRKARQLTQKQRRSFFYLLSSSDSSSPKYRMGQSCRKNKRRLELSNDGRSAPPGEDGGDAVAAESQKGRRDGPDRAYDGRDDMDGEVSGGERSSGGGGGGQYVIRYEKGGEMERVLERQAELIGQYEEEEKAQREWEDKYNENRNADKVAAEAEHKPCQIRNGEEHSNQGDNQAAPCDDEVLPNGSLSESQENGAQLREANDKPRHDCAQTASVSAQESSSTATKQSQKPGDEDSDGDSGNARPHQHCSIKTPSERSPSSDTLNSKVSDWSSSHFYDHTDRDSQLDTSSYRPASSSSNVDVGSVLEALQRARISLNAKLSKPVPPSQVMLALPAPGDELKDDYGLPADEDNSNSYREERSSSSPARQKILALPAPEDYHEFHERADLSVNDTGISAAENPSSSSPPREEILALPAPGDDYRREIEDYMTIPACTPGLFRLPTDSFPSDQTMFSRKACGSGFGLGSAATRHATGHLSNPGAHNSAAAEAPSVSRDDSGFSAQQCYDLYSSVPSPGPGRCNSIARPDFRMHDPFLSGVPGLGSADLFMQRGIDYTISNKWMLQ >Et_2B_019304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22604122:22605534:1 gene:Et_2B_019304 transcript:Et_2B_019304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPRAGSSSATTSASPAASRPWTRSWSGSSPPCTASRRRRRQPVLHVRQPAADHVHVLHLPLRARRVPARRDLHPRRGAQVVHVRGRGHLPRGQRPQRRGRERGHAHPGPRPPRRRRGVGDGARPDARHAQQRLQPHDHRRHPARHARQLRHPEDRRRVGVAGQPGPGRGARRRHRRRLLLPPRHAQLPPGARPARGGGADAPPRARRGRRRRRVPRPRGGQRGVQGRDAPVARHPAAPVPPPAAHRHRAADVRAGAVQDHGLRRQRGAHVGRDHRPRQPRRPADAGQHGGHGRPDWGQARVQRHRDDAAGVRGGDRGGDVRLHRGVLVVVGAPGLAGAQRGDAAGGAARGPEHHRGRQHAHELPHRAGVPAALLPPQVHALLRLRGPARRHDTLRCDLPAGDQGGAHRGHGRRLGGALVLEALRHHQ >Et_8B_059560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19226978:19228936:1 gene:Et_8B_059560 transcript:Et_8B_059560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAVSVTMGVMKPVLEKLGVLMGDEYKKLKGLRKKVSFLERELRAMNALLEKMDASDELDPQAKEWRKEIIEMSYDIEDYIDDFMDNVGEAGDKVGILKKACYYLGTFKDRRRLGNQFQEIKTLVIEASERRMRYKLDECISNTTPVAVDPRLSALYNESESLVGINSQKEVLVKWVTDEGQQLKVMSIVGYGGLGKTTLANEVYREVGALFNCKAFVPVSSKPDMMRLLNSILSQLGQQCENTSSHVCEMDLINSIRKNLQDNRYFIIVDDLWDIQAWNVIKCAFPQNNQHSRVIITTRIEDVATICSSNHGCVHKMNPLSEQDSRKLFCNRIFGSEDNCPPDFEKVSCEILKKCGGLPLAVITVASTLACQPTRLKEQWEYIQKSLASKSPTKSALENMMHILELSYKNLPSNLGPVRFFSQILAIWNIVI >Et_5A_040346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15795470:15796676:1 gene:Et_5A_040346 transcript:Et_5A_040346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLLQAAPLYLSETAPARWRGAFASANYAFLAIGLVSATDTPSSLAMRGFTDRARLVLQRIRGPDADVDAEFKDIVCAVEAARQNNYRHYLLIGIAIPVFYELTGMVVMASYSPLLFRTVGFGSQMAILGSVMNGIVSLVSTMLATFVMDRTGRKFLFVVGGIGIMLCQVAISWIMACHLGKHQMATMPRNYALSVLVLMCISTFHFALSWAPLRLVVPSEIYPMEIRSVGQAMSISIALCASFIDMQVYITLLCTIKYGVFLFYVAWLLVMTVFVVLLLPETKGVPLETMRSVFARHWYWRTYVNNDKQEHQLVTEHCN >Et_1B_009913.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10762114:10762428:1 gene:Et_1B_009913 transcript:Et_1B_009913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNKKGFVIFVSFIIVTDSKLFETWDCEFRTDPCERRISLLYLAKDIMQNTRKESNGGYITEFMRAILDGFVSNTQTKAFLAETHYHSLALVCDRLSPLTYYL >Et_1A_008451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7813514:7815567:1 gene:Et_1A_008451 transcript:Et_1A_008451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHGEELVESGAEDMPRVVAALAGILERVAERNDAAAAADLSVAPASAFRATTKPGISVRAYTARISRFAGCSPACYVVAYVYLDRFLRRGRRLALAVDSYSVHRLLITSVLAAVKFMEDICYNNAYFAKVGGISLVEMNYLEVDFLFGVGFDLNVTPETFGDYCAVLQSEILFAEAPPAPPAPRLQYCCLPEDDAGASSGCSSQQQLAA >Et_4B_039504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19608843:19609630:1 gene:Et_4B_039504 transcript:Et_4B_039504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCFLLPLLVLATSSVAVATMESQTAPAPAPGTSSSAAMSFLHKSCASVEPADTCYNLLLPYADSFHGSLARVARTSAGLAVGQLHALSDELARLKLRGTGAGRIPDMILADCFNMVQTSDMFANETLGRLDNLVAGIKSKKDFELQKSSAQGWLESSGGGLVDCLDWFHDAGDAAASSPLVKEVTAGCTSSVYVNVK >Et_7B_053208.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12074219:12077140:1 gene:Et_7B_053208 transcript:Et_7B_053208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKIAEELQLLDHETIAMFDRQDEEDDFYGVDQGIRGVIRGVSQVIAQTLSSSSFIMVFLNGSDHEVDASKFGISPNSLDHVVLWTFRRRLLTMHDERTRVELADKLRYTHLFGYETINKQLITPAFYELLREEAAIMVARNPCMQDIDPIVVTDWSLYCLFLYYCFHGTDRVHWARASNFCLYDGIIKSYMTREIRDALHMEMNWDCAASKLKEVFTNFRNDPHAPFLIVKDDTVYQRRPYRWIWISINSINLKIWEEMQTIPETTSSLFLVFENSMGQRRLSDKLFEQCSILQVLILSQCTFSFVLPPFLKCHKLRFLGVDHCSDNKIIKEEEESSTEWIFLHNLWVLDIRHTHWDKIISFDKIDLMANLQELNIKGFCCWQHINKIQGRLPDLQRLRITKPTQLAETSVSSSSNSFVDKTKLEILDLSGNRDMKNLPAGLSNATNLQVLILDGCDGLEDVVSNELPSSLRSFSFDGYGAASHWTSLVDLPQKHYRLESASDPDKKDLVKISKISLQGFKKLESLFLRGLSNLEELDLSGSAIKVLDFWTMVTDVPRLRRLLLLGCQHLRAIRWGSANLKGFEHVCIDIRPSKAPGFTPPPLPQHKSFRLFHAVLADARLGRSLWDLVNSDICFNIHVTSLMENSGVV >Et_9B_065511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:637213:641059:1 gene:Et_9B_065511 transcript:Et_9B_065511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLTPNAVAAISEHADGNGTLKPVLQVMDVRLVTNKANASERFRMVLSDGVHTLQSMLATAENQKIRDGSITKGTIIHLQEFTCSTIQHRRIIIIINLDILQTECAVIGSPVPYGSMNLPREQGPNVPAAAAQTNDGTYSGGPGLPGSSVAPRASQGANNQGPNVSATAAQTYGGTCSGGPGLLGSSAAPSASHVANNQGPNVPATVAQTYGGTYSAGPGLPGSSVAPRALQVANNQGPDVPATAAQTYGGTYTGGPGLPGSFVASRVPQVANNLSYGESYSGGQGMVGSSIGRKIEPVPNASSGGSYGTLSAHNTMNTNMMQPPPQQPSLNSQQNQRFTAPATTGGIGPPSNTYGRPAQPLYQQAPVYMNRGPATKNDATNPVVPLAQLNQYQSRWTVQVRVTAKTDIRPYTNARGSGKVFNFDLLDAQGGEIRATCFNAQADQFYNQIEIGKVYLISRGHLKPVKDRRYNHLNHECEVTLDYSTTIQSSVDDGSIPMQQYNFREIGELENMEVKAIVDLVGVVTSVSPSVPILRKDGTEVQKQNLQLRDLSGRSVEITFWGKFCDAEGQQLQQLCDSGLNPVLALKNCQVNEFNGRSLSTISSTQLKINPEFPEAVKLRYWYENEGKTAACVSISREKSSTGMNGVRKTVAQIKDEELGRHGKPEWITVKGSISHLKADNFFYPACTLEANGRQCNKKVTNNGDGSWYCDKCDKPTACEYRYLLMCQIQDHTGVTYATAFQEGGMEIIGRSAGELAALKEEDEAQFAEVMQDVRFHMYIFKIKVVEETFNDESRIKCNIVKAEKLDPLKESGYILREIDSILQGDGDVGAPPEVQRATAYSAGFINSGHSVPNSDNAGAAHMGHGAMCGDSGNQFGQQTNTYGRAPTPVSATWNVPNCMTCGSSGHTAQNCPAGMCRPQPAASGASSYASSPGDAGSDLCYNCHQPGHHGNVCPVATSVPQPQSYGNASGGYSRQPYVGATNY >Et_6B_049597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7036889:7041098:1 gene:Et_6B_049597 transcript:Et_6B_049597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIDSRYEVPRTAAFLRDRAYTRVALQFPDEMLKDAAAVARALRRELGGGGVRVFVMADTAYNSCCVDEVGASYIDAQCVVHYGHACMSPTSNLPAFFVFGKAPLDVNACACSLLECSRKSNKRVLVLYGLEYAYALSDIKRAIEESCKACPCNAEVLYADVLCSVMSPSSSSTEENECPQSSGNSCTGGFSIGSDAATYLNSCSSMEQSGSTLKYSLGGVTWHISAEDSMDDYLIFWIGQDNSAFANIVLTFNKCEIVRYDAIANHLSSDVSHLMKILRRRYFLVEKAKDANIIGILVGTLGVAGYLHIIEQMKELIKAAGKKSYTLVMGRPNSAKLANFPECEVFVYVSCAQTALLDSKEFLAPVITPFEAVLAFSRGRKWTGEYLLDFKDLITSDKPEIVSTMEEARFSFIKGGYVEDSCPQENEEQSETSLSLAEVTEKALSIQNPNKDALLYQGGAITSIDYLKARSYRGLTGEYGDTTPDSVLAGRTGRAAGYSHEKTQGPQ >Et_1A_008528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8447693:8453143:1 gene:Et_1A_008528 transcript:Et_1A_008528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVSAALEEVCARLATGITLSNLWLALRGALEAAGLPLGPAVKRALWARLLALRVISLVEGNGDTVPVAAGDPAEKDVEEAERRGVRLLASAAARDNFLGMYEHRFAKSGLSAIQKATLEGVGASRTSGATQNDLGKKIDIKGNRFHYIVNCLESQQLLVRQSTIIKVKNHTVDGEDASQSEQSISTNSLYLSRYAKKLNLHQRIEITNKKQLGSNEYGFQEDGNSSLNSKNNVSIHDYIPEMKAICDKLEKASGKALCVKDIKIDLDYKTPYGHRAWTNVVPCLRLKKKFDSKEFQPKSTASNYKGVKKGQTTEQCLREGITLLEIGKRLGHNNSKWLHKRLLSMLPKFNLTQESEVLDKTLQYRVWTSKNFSDYKAGNALQNFEVLSEGCANCSDPRSLLPHNGLDSASPQGNSFVNRKLLLEEELHDEPVGHHLQKNCDASAGFSQSSKEVY >Et_3A_025177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27669062:27671341:1 gene:Et_3A_025177 transcript:Et_3A_025177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPEVEAAEGRGIRSVLTMGSLVSASGNEVLLSLHVHFAEIAGKIIGLYFAANWYPKCEAFTPVLAAAYRNLKERGAGFEVVLVSCDEDRPSFERFHRTMPWPAVPFGDLQCKKRLSERFQVEGIPRLVVLAPDGEIIHADAADLVHRYGERAFPFTAARVAELEADDERKYASQTLEKLFSIDGRGYVNGGKEQVPISSLVGKTVGLYFSAHRCAPCIKFTAKLAAIYNNLKGKAEDFEIVYIPMDKEEDGYLRSCSDMPWLALPYDGAPSRELARYFNVQEIPTLVVVGPDGKTVTRDGRNLVNLYFDMAFPFTEEQIRLLQEMQDEEAKGYPQSLRHSGHRHELSIVSDKSGGGPYICCECDEQGLGWAYQCIACGYEIHLRCGRNAEGGSAGTG >Et_4B_038818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5037507:5040844:1 gene:Et_4B_038818 transcript:Et_4B_038818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVWSGCSTSRCSFGQELPLRSKRAGHGTRCRLQNGSRCSGDASFQVKAPSPTMVTVGGCVCKAAPCVLESEFAGKEDADVGVWGLNGEVHGADGFDGGKIRGPRRRPVRPPAIVEKLEVSARNTPSVPASQPESKSEHRGSRLHFLEERDEGTLSKRLIRLSQSNKVRSATELFDSMRASGLHPSAHACNSLLACFVRQNSFPDAMRMFEFMKGKGMATGHTYTLILKAVANTEGYVSALQMFREIQEEEDSKKIIDAIVYNTMISACGRAKDWRQVEKLWRSLEENSLSGTLMTYDLLVSTFVQCGQSELAIAAYQEMLLKGLDPSEDIIKAIIASCTKEGKWEFALSTFSRMLNAGMKPNIILLNSMINALGKAGQDELAFRMYHMLTSSGLKPDQYTWSALLSALYRSGRCWDALELFQGLKAKHPSVLNDHLYNIALMSCERLGQWEHGLQLLWMMEKSGLKISAVSYNHVIRACEVACKPEVALKVYRRMTHQGCSPDTFTLLSVIRACIWGSLWNEVDDILEEVAPDSSIYNAVIHGLCLRGKTELANKLYTKMRSIGLTPDGKTRSFMLQHICYSSSIAG >Et_6A_046182.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:8666152:8666157:1 gene:Et_6A_046182 transcript:Et_6A_046182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding M >Et_9A_063413.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:23673315:23673722:-1 gene:Et_9A_063413 transcript:Et_9A_063413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELQEADILWPGSDDQQDRNNKVAIAAAEAISIVPAKMLVSPSAPVDISRRKRRCRPWASEHAMFDEISTVAGDDDEEDGSPIVPPHLLVARRRIGGGRTAAYSMCAGKGRTLKGRDLRDVRNRVLEMTGFIEK >Et_9B_064411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14924975:14929686:-1 gene:Et_9B_064411 transcript:Et_9B_064411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERIPPPPFFQYSPSGVHSSPHHQSPMRSSASEKERYLAELLAERQKLAPFMQVLPFCNRLLNQEILRASSLPPNPNFVEPERIDHGSPSPLRLAGHPMNGQPMDLEWSGMQIEHLGALQTSSMGWNGVPGFVGSPVVKKVVRIDVPVDKYPNFNFVGRLLGPRGNSLKRVEATTQCRVFIRGRGSVKDSVKEDKLRDKPGYEHLSDPLHVLVEAEFPADTVDARLNQAVAILEDLLKPVDESMDYYKKQQLRELAILNGTLREESPSPHLSPSVSPFNSTGMKRAKTGRQRCFDFARHYKHRLVVL >Et_6A_046362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13718209:13732525:1 gene:Et_6A_046362 transcript:Et_6A_046362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSAAPPAAAADPDGPDAVRLTWNAWPRSKVEASRCVVPLAAAVSPARAQDPSAPPPLPYPPLRCKPPCSALLNPFARVDFAAKIWICPLCFSRNHFPPHYAAISESNVPAELFPQCSTVEYIVGGGAGVPGAPAAGQAPPPPPVFLFVIDTCVIEEELEYVKMAMRKAVALLPEHALVGLVTFGTQVHLHELGFSDLSKIYVFRGTKEISKEQILDQLGLAGAGRPGFPKMPQQPGLLDELQPDQWPVEAGNRAIRCTGVALSVAAGLLGACMPGTGARIIALLGGPCTEGPGVIVSKDLSEPVRSHKDLDKDAAPHFQKAVKFYDGLAKQLVSQGHVLDVFASALDQVGLAEMKVAIERTGGLVVLSESFGHSVFKDSFKRIFEGGEQSLGLSFNGTLEINCSKDIKVQGIIGPCTSLEKKGALCADTVVGQGNTTAWKMCGLDRNTSLTVFFDVSPSERSSQPGHQNPHLYIQFVTSYQHPEGQMRIRVTTICRKWVDGSTNTEELVEGFDQETAAVVLARYISLKMEMEVFNNSPDETAYFRMLLNRESITNSVAMIQPSLISFSFDSPPSPVFLDVASIAADRILLLDAYFSVVIFHGMTIAQWRNMGYQNQPEHEQFAHLLQAPHEEAQMIIKGRFPVPRLVVCDQHGSQARFLLAKLNPSATYNSAHDVAPGSDIIFTDDVSFQSRSKTTRRGSTGKAAISSSASAFTASASASGGGAGRRGRFRRHHGSEASPPSPAAVAAIAVAAREGSVAPSPVVSTRCQAEYSFAGERFEYMNDNISGKTMGHGSNDSSEQQGVEVLHGRDEAEEQRPFGLRRRPCLRD >Et_2B_021509.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30116995:30118892:-1 gene:Et_2B_021509 transcript:Et_2B_021509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQANRDDMKWDKESQPLILMIRKVNVTRLCRQRSILTVNGQFPGPTIYARKGDVIVVNVYNHGDRNITIHWYVSGGVVFHHPPWMHIELWIDDDDEHYCVPGRLMEQARNPWWDGPEYMLASSLNNVSFVNPSGADILAAYYYRHSTRGFPDEPPRLFNFTEPHKVKVLEYGAVVGRWCSRTRPCSAPRATPATYNLVDPPLQNTVSVPKAGWAAIRFRAANPGVWFMHCHFDRHAFWGMDTVFIVKDGNTTDTRIVPPPPDMPKC >Et_4A_033474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23447980:23461283:1 gene:Et_4A_033474 transcript:Et_4A_033474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LQIIIFGDKVILDDPIEIWPNCDCLIAFYSSGFPLQKVQAYAALRRPFLVNELEPQYLLHDRRKVYEHLEKYGIPVPNYALVNREYPYQDLDYFIEQEDFVEVHGKRFWKPFVEKPVNGDDHRIMIYYPSSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPTEKQMARDVCSAFRQMVCGFDLLRCDGRSYVCDVNGWSFVKNSYKYYDDAACILRKIFLDAKAPHLSSTIPPSLPWKSNEPVQPTEGLTRTGSGIIGTFGQSEELRCTKLKSAIQLQDLLDATRQLVPPTRSGRESDSDAEDVEHVEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWMKVPKRNGDGEEERPIEALMILKYGGVLTHAGRKQAEELGRFFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASIEMDEAKARLHEIIISNAKSKKAEEPPKFPWMVDGAGLPASASQLLPKMAKLTKEVTAQVKLLAEGEDEKLALTSSFSKYDQAKALGKTTIDVARIAAGLPCGSESFLLMFARWRKLERDLYNERKDRFDITQIPDVYDSCKYDLLHNAHLNLEGLEELFKASQILADGVIPNEYGINPKQKLKIGSKIARRLLGKVLIDLRNTREEAISVAEPKFIEDEALFLPTKETELQQKNQVRNEDGRRSSTTSEKSMDQEDEDDRETKYRLDPKYANVRTPERHVRTRLYFTSESHIHSVMNVLRYCNLDESLQGEDSLVCQSALDRLHKTRELDYMSNIVLRMFENTEVPLEDEKRFRIEMTFSRGADLSPLEDKTSESSSLLQEHTLPIMGPERLQEVGSYLSLDKFEKMVRPFAMPPEDFPPAAPPQAFSGYFSKGAGMLERLASLWPFHKGASGTNGK >Et_1B_013473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8874346:8875682:-1 gene:Et_1B_013473 transcript:Et_1B_013473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRSSQGAMSMSYDAGSGGRGLLACYASKAKQPRPPSKWDDAQRWLSSSSSRAPDDDRRRSSCADDRTLLPSASQKGTRQHSSSWGSDVAALALALGAQQQQQDDAEATTETKRVDAVLAYGQQRCLSLRDVGTEMTPGGSKEPSRANTPRATAPAPAAAAQDANDGGSPPGRAAAGRGSACKRVDTGEEREEAGAPTTAAVSPATAWDAAERAKHMARYRREEMKIQAWENRRRQKAELQMKMTEAKAEKMKLRAQEKTASKLASAQAVAKERRARAEAKLNQRAARVGDKADLLRRTGHLPSSVFSLKLPLMCS >Et_4A_031840.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21844365:21844804:-1 gene:Et_4A_031840 transcript:Et_4A_031840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQFNHIARETSDVVRLAGFYEAVLGFERVPSPTYSGFQVAWLRLPKSPDVLLHLIERDPAAAPEAVGPGAAGAPPSQLPRRHHLAFSVADYDGFVTGLKARGTELFEKTQPDGRTRQVFFFDPDGERCLPPRHN >Et_2B_019499.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:8442854:8443186:1 gene:Et_2B_019499 transcript:Et_2B_019499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRKHLIPLLRPVSPLHLLSSSAAPFSLEDYLIASCGLSPDQARKASTKALTQASRKAGKPFGEFSRSRLISASNPDAVLALLSGVGLARADIAAIVNAYPLILRCLV >Et_1A_006118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1875549:1878708:-1 gene:Et_1A_006118 transcript:Et_1A_006118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLPWVLKKGCGAVKASSWASMGFLSLVGNSFGCSASGERLVSAARDGDLQEARALLEYNPRLARYSTFGGRNSPLHYAAAQGHHEIVSLLLESGVEINLRNYRGQTALMQACQYGHWEVVQTLILFNANVHRTDYLNGGTALHFAALHGHARCLRLVLADYVPSIPNFCTLTNRRSSEEDLSADFDHDALVKMVNQKADGGLTPLHMAALNGHVECVQLLLDLGASVSEVTIEDGTTIDLIGAGSTPLHYAACGGNAVCCQLLIARGAWITAQNASGWTPLMVARSWNRNSIEEILSKEPEGRIRTLPSPYLCLPLMSIMSIARECGWRYLNHSPVCIDPCAVCLEGSCSVAAEGCKHEFCTRCALYLCSTSYTSVSPVGAIPCPLCRHPIISFTTLPGTSPIRELPRNSLSLSLCTTCPAVNSDSTNSLAAHVYRTEFQCGRMPPMGSSSFRSLSCQRMPAMKLNPSFCMGAMDTNPCLISCSRFGSSSLHRSASQGESSRRAWPLTFDPIVATGS >Et_6A_047307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3658382:3660394:-1 gene:Et_6A_047307 transcript:Et_6A_047307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCAAKVANARGEGEGHRRLAGDEAEELEEQAAAAAGATGRPMLSQHEREMSAMVSALTAVVAGGAPSPAEEAVVSPEGKWLGYGAPSPSSYSAAAHEYGGAATPAQQHSPRAETTGEQVPSPPPSSTADSSSRRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAQAAARAYDAAALRFRGSRAKLNFPESATLPSPTPPPPTTTARPEAVLESQEAMVGDGGGGGEYSEYARFLQGAGEPPRFLDRPSHAQQPPAVASSSSASFPVPVFFSFGGVGDGEIDGAAHHFQPQRSRAEHPPPPPATWVDSWCWSSPPPRYPSE >Et_9A_061254.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:24542503:24542520:1 gene:Et_9A_061254 transcript:Et_9A_061254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRA >Et_2B_020892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24666815:24674499:1 gene:Et_2B_020892 transcript:Et_2B_020892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLQPQIILLKEGTDTSQGKAQVVSNINACTAVADTVRTTLGPCGMDKLIHDDKGGTTISNDGATIMRLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAAEFLKEAKPYIEDGVHPHSLIRSYRTAGHLAIEKVKELAVSIEGKSLEEKKSLLAKCAATTLSSKLIGGEKEFFAPMVVDAVLAIGNDDRLNLIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPMQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLAIGDLATQYFADRDIFCAGRVAEEDLQRVAAATGGTVQTSVNNVVDEVLGSCEVFEEKQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVPGGGAIDMEVSKYLRQHARTIAGKSQFFVNSFAKALEVIPRQLCDNAGFDATDVLNKLRQKHASGEGANYGVDILTGGIADSLANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGDAAAMGGRGRGGAAMRGRGGRGMRR >Et_1A_009550.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:7983442:7984152:1 gene:Et_1A_009550 transcript:Et_1A_009550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLSSGVHPASNDTAVQSPSSKPNHGDGKPTVVDDVEAAAGGQQQQQQQVDYMARAQWLRAAVLGSNDGLVSVASLMIGVGAVNEGARAMLVSGLAGLVAGACSMAIGEFVSVYAQYDIQVAHGGRDTEGDQETLPSPAKAAAASALAFAAGAALPLLAGGFVRPWAARVAAVCAATSLGLAGFGAAGAYLGGARSVVRSGARVLVGGWLAMAVTYGVLKLFSLAFKTNVASTA >Et_8A_057951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:173851:174382:1 gene:Et_8A_057951 transcript:Et_8A_057951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAATSGAPGTSFVILSVAIVGILATALLLLSYYLFLTRCGLLFFWRSDHRDVAHHHLHIVVQEQPASRRGLEEAAIRRIPTFRYQSGSNKQECAVCLAEFRDGERLRQLPPCLHAFHIDCIDAWLQSTANCPLCRAAVSAADRLPLQVPAGASHDDIRRRSRASIR >Et_4A_034483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3671033:3671974:-1 gene:Et_4A_034483 transcript:Et_4A_034483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGAKEPGMARRYANQPSFSSSLLDAIYKSMDETDDGDAAEAMTAAAKTKKRDEALHYNRYYYKPSLAGSYRASSRAPATTSSSSECSSYGGFSSSEAESSQHRRLRPIRTSLAAAGAPAPTLAPEKKAKKPGAAIRAKLRDLRKPASPGARLAGFLNAIFAGKRGAATTPPPTESACSTASSYSRSCLSKTPSTRGQPRRTVRFLDADVEAPAAVVLNRGIGHAHGHGYAHGRSTRVV >Et_3A_023453.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28395402:28396031:1 gene:Et_3A_023453 transcript:Et_3A_023453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFHPQCVMKHATEGCESTGCCGTQPTISPVPPMRCLNSSSQPSPTPSAKFGRTAHKNGRPVSTSPSASSWSRVASKTVRLPNATYTTDLGACASSQERQVRSSGQKWHAADVNASISSGPTASAGLGRSASASASISSKVLQTMVSARAIAGMVLITSWMRILRDSGFLPMLVQGSSDASMAGVLGLSWIRSFLLTFPAWSSHHEYH >Et_3A_023680.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10875063:10886259:-1 gene:Et_3A_023680 transcript:Et_3A_023680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESHQPAAGARTASTCSAATARGTHAFKIADYSLHKGMGPRKFIRSAAFAVGGYDWCVRYYPDPDGDAELVVYLELLTKNAKVRAGFQFVLIDHIAGGHPLEISLDQPSVFNSVEPGKNVVGVGIKIPGGLENSPFLRDNCLVLECDVTVLNEPQAVPVAPKIQVLPSTLLRNLGQLLETGEDADVTFVVKGEVFPAHKMILAMQSPVLKAALYGPMRVDTSTQDIPVQDMEPDVFKVLLHYIYTDSLPSMDGLEGGDGEEMVKHLLVAADRYGIERMKLMCENILCESLDVERVATTLALADQHHCGSLKDACIEFMNSSGRMDGVVATQGYKDLKRSCPALLVDVLERENKSRKIYIVPNLFEMVRRQAKNKMTVREALTNRTWVRQIEGASAGDHRILVHLVASGSSGHGRWDGKSFHLEMDTHKARMEKARRKPFDSIVILTVWSIWLERNGRVFRQVTRVSTEVTNSTLEEIQQWCVAGFVDRSALLPRRPSLGPPPPFPRTNRHLSGHHHQSLDGRIPATIRREDGVDVQCRDGAGHARVEDRRLQPATFAVGGYDWCIRFYPDDGGFRDEGGRGYVGVHLELVTENAKVRALFDFLLVNQVTGDQSFAISLDPPKVFSTIDVGMNVLGTDLISHNELEKENSPYLRDDCLLVECEVTVVKEPRVVPVDANNIQLPPSTLLNNLGQLLEMGEGADVTFQVKGEVIPAHKIILAMQSPVFKAELYGLMRVNTSTQNITVEDMEPGVFKVLLIYIYTDSLPSMDDLQGGDRKEMVKHLLVAADRYGIERMKSMCERILCESLDVECVAATLALADQHHCSSLKDACIEFMNSSSRMDEVMATKGYKDLKRSCPAILVDVLEKANKSRKI >Et_6A_046424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14881190:14883920:1 gene:Et_6A_046424 transcript:Et_6A_046424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPHIAVVAFPFSSHAPKLLAVARAIAAAAPSATVSFLSSHNSLARLRGPAVPMNLKFVEVSSVQWDEQTPTLRRIELFLDAAEEGGLKYSLETAASMAGGQKVSCVVGDAFMSMAAEAGVPWVAVWTGGPCALLAHLHGDAIRADIGDDGMLLTAYPGLGSFRVRDLPFGDAGTGGEMFRAMSRLLRRVVERLPRAATATVLNFFPGLFPPEIPAALADGIPNSLPIGPYHQIPCNDTAAPADDDQHGCLAWLDSRPSGSVAYVSFGTVVALPPEELRQLALGLHDAGAPFLWSLREESWPLLPPELLDRSAAAGLVVPWTPQAAVLRHPAVGAFVTHSGWGAVLEAMSGGVPMLCRPFFGDQMMNARAVECMWGFGMAFSDDAPVTRGGAAAAVASLQAMVVRAFEPEGGSIINFLKLVDSTTSLPHVLILKSNLSRRHRQRAWLGGIADDGTRTTPSTQATSLFPDADANK >Et_7A_052319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6914387:6915963:-1 gene:Et_7A_052319 transcript:Et_7A_052319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNSKAEAARERRSAAEADRKDRQERAKEEQYWQEAEGPKSRAARRKEEEAEKRAEAAARKAENRRLAEAEAAAAAARKASRVGAPTPKVTEAELARLREEERLRLEREAEAAKKRAARVAEEEEYERVVLVANTNRDDSIIEARSVDEAIARMSLVDSQAALPADKHPERRLKSSFKAFQEAELPKLKEEKPGLTLNQYKDMIWKLWKKSPDNPLNQVCLHSHVC >Et_10B_004171.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17439047:17441128:1 gene:Et_10B_004171 transcript:Et_10B_004171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSQAPYKSSSFSPATTRDDGGGRAREAVDRNLSLGALRDRPRDAPATAGGAHREQSIREEDEEEEDGGRGAAGHGGEGKAPDLAALSAEVDAFLDGGDVSGIAEATLERFAAAVEREIARSEGKDQQDKWAPGGDGEPPALLAAITRIAALASALGKTTAPEGGSGKYTAAAHRVTAVMHRAMAFLEDEFHALLEDPRVPKPAADQSAHEHDRCVLPPATAAASSDSASGDASPPYPAETVDRLRLMADAMIGAGYATECTQMFLVARRNAFEASLRGLGYENRSIDEVVRMAWESLEAEAVSWIKAFRHAANVGLSTEHDLCARVFAGPRHAAVGRAIFADLARCVMLHMLSFTEAVAMTKRATEKLFKVLDMYEAVRDASPVIDAFLHLSGGDDTSSNSSSSALTELKSELASVRSRLCETAAAIFRELESSIRADAGKQPVPGGAVHPLTRYVMNYVKFACAYNNTLEQVFREHNNTHHHHSHDKDDANQQNPFAAQLMEVMELLHENLESKSRLYRDPSLSSIFLMNNGRYMLQKIRGSPEINAMLGEAWARKQSTSLRTYHKNYQRETWSRVLALLRDDGVITVKGHVQKPVLKERFKQFNAAMDEIQRTQGAWVVSDEQLQSELRVSIAAVVVPAYRSFLGRFAQHFSAGRQTEKYVKLSADDVETLIDELFDGNATSMARRRT >Et_9A_062838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5629931:5633740:-1 gene:Et_9A_062838 transcript:Et_9A_062838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASQFMDKQIQGLAASGSGSVAGAAVSSPPPSVGSGGVADLMSPDPQEEAENRHANRPHQGGANGVGGVSNEVVPSYDFQPIRPSVPATSAVPAASSPPAAGAWGSLDSKAASSGLKSGVLEPHVLKIVSHEEDRSNFNAVTIVDIDRTMKKYADNLLHALEGVSSRLSQLEERTYNLESSVGELKVTIGNYNGSTDGKLRQFENTLREVQAGVQILRDKQEIVETQIQLANFKVPKAEDAQSENTVTGQADSRQQQAPPQPIIQPQGQAPLPAQPTGTMLALPAPTAPPPPPVQNLPPAQFPGHLPHSQVPSVPPVQSAPSVPTIPQESYYPPSAQPSEAAHQQYQTPPAPRPQAPQPPPPQHYQTPPQYAQYSQPPPPANANPSAAQPPSVPQRPEEPSPYGPPPQSYPPNVRPPPPYMPPPSGPVPPFYGPNPGMYEPPAVRPNSGPPPPYNTGYKPQGGAGFSEPYGYSGSPSHRGNAGMKPPSPFTPAGAPSGGSGNYGRLPTAQVLPQAAPVSSGPSASSGSKVGIDDVVEKVATMGFSREQVRATVRKLTENGQNVDLNVVLDKLMNDTDAQPPRGWYGR >Et_10B_003286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18494699:18497505:1 gene:Et_10B_003286 transcript:Et_10B_003286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAPPLLLMAAAAAVLFAVAVKCLHAWRPKRRGAARLPPGSTGLPLIGETLRLISAYKTPNPEPFIDARVARHGGVFTTHVFGERTVFSADPAFNRLLLAAEGRAVDCSYPSSIATLLGAHSLLLTKGAAHKRLHSLTLTRLGRPASPPLLAHIDRLVLATMRQWEPAATVRLMDEAKKITFNLTVKQLVSIEPGPWTESLLREYVKLIDGFFSIPFPFASLLPFTTYGQALKARKKVAGALREVIRKRMDEKLQNGGADGEDEEKREKKDMVEELLEAEGGSFSEEEMVDFCLSLLVAGYETTSVLMTLAVKFLTETPAALAQLKEEHDNIRDTKGNKQPLEWGDYKSMPFTQCVINETLRLANLISGVFRRANTDIHFKDYTIPKGCKIFASFRAVHLNSEHYENARTFDPWRWQNKLQNAVGASLFTPFGGGPRLCPGYELARVVVSVFLHHLVTRFSWEEAEEDRLVFFPTTRTLKGYPINLRRRPDSSF >Et_6A_045931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1045136:1045828:-1 gene:Et_6A_045931 transcript:Et_6A_045931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLESLHISCCNGLTQLPYSMRSLKFLQSLRIEECSPLCILPEWLGELHALKDITIRRCDSLSNLPPSVQHLMTLQTLELSYCTALTQLPECLAELRSLHTLRIQHLPSLSNLPRSLENLTSLQKFEIVDCDAFHQLPDCSMCRLTSLEMLTIWGCPGIMSLPDWIKGLTTLQELYISDCPDLVRRCKRGEGVDWHLISHVPCLRIP >Et_1B_013018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4846515:4861491:-1 gene:Et_1B_013018 transcript:Et_1B_013018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSSSSLQSCLKCGSDNNLARVISLGFWRGLPRRIGCKMRFRNALGSKLFQWCSRENHTSVQKLLQVDGISERSKLLKKVSVLMGYNNTQDLVEQQRARRESAAELISVFKEIDFPEISAKFPCIKIGDASPIELYDDSTSMKCKETVLSENLTSFIRDSGGNLESAYEFPNEYHPLSQTLTNANDQSITEENSLATQDISQELQTLKNSDDLSTSEDNSIMTQHICQEPAVGREAPSESSSNAITPDNSILDKSIRCLPGTTSRQYRQLEDGGFHTVRKLLQHFPRTYADLQNPKGPIEDGQYIMLVGTVTSSRGIKVKSTLGFLEVVVGCSLVEPELCSSVKSGSSGEEQNTIHLHLKKFFSGTRFSSQYFLNCMSAKHREGDLVYVSGKVKKALSNGHYELKEYTIDRLQEEEERCTMLDRKPHPIYPSKAGMKPNLLAISISRALEMLTPDVDPMPPEILSEFNLPNLFDAYMGIHKPKNRDEADFARRRLIFDDFFYLQLGRLFQMLEAVGTWVEKEELLLKCKNHELNAVAIDDWSPLTKKLLKALPYLLTPSQLNAVREIIWDLRRPVPMNRLLQGDVGCGKTVVAFLACMEVVNSGFQAAFMVPTEVLAVQHHQHLTSLLDKIDGDECKPSIALLTGSTSTRESRIIRNGLKTGEIAMVIGTHSLIADKTEFSALRISVIDEQQRFGVIQRGRFNSKLYTPSLKLSDENTSPDEASDSETFMAPHVLAMSATPIPRTLALALYGDMSLTQITDLPPGRQPIETLALEGNEAGFETVFQMMRDELIDGGKIYLVYPIIEESEQLPQLHAAKADFDSIKQKFEGYPCGLLHGRMRSDEKDEVLSSFRSGDTRILLSTQVIEIGVDVPDASMMIVMNAERFGIAQLHQLRGRVGRGERKSRCIFLSSTPSTLPRLKVLEKSSDGFYLANADLLLRGPGNLLGKKQSGHLPEFPIARLEIDGAAFAVPPASAAVASIDLGSEWLKVAAVHLAPGQVPIAVAINEMSKRKSPALAALADGNRLAGEEAAGITARHPSKVFARARDLLAKPFPYVQSLAQSLFLPYDLVPDARGAAAVRTDDGQVYSFEEIVAMVLHYAAGLADAHVGAPVRDAVVTVPPYFGQAERRALTQAAQLAGINVLALVNEHAGAALQYGIDKDFSNESRHVIFYDMGSGSTYAALVYYSSYNTKEYGKTISANQFQVKDVRWNPKLGGLEMEMRLVNYFADQFNKQLGDGVDIRQSPKAMAKLKKQVKRTKEILSANTAAPISVESLYNDIDFRSTITREKFEELCEDLWEEALNPVKEVLTHSGLKIDDIYAVELIGGATRVPKLQAKLQEFLGRRELDRHLDADEAIVLGASLHAANLSDGIKLNRKLGMIDGSTYAFVLEIDGPDYVKDESIDQVLVPRMKKMPIKMFRSIRHAKDFDVSLNYDKAYELPPGVPSHKFAEYSVSGLTDASEKHSTRNLSAPIKANLHFSLSRSGIVSLDRAEAVIEITEWVEVPKKILTLESNTTNQNSSSEAGAANSTTDSKENVSSGSDTSSSTPINESNAQETITEKVLKKRTFRVPLKVVEKTTGAGTILSKELYSEAKNRLEALDKKDAERRKTAELKNNLESYIYSMKEKLEESADILTVSTEQERESFTEKLSEVQDWLYMDGEDAQANEFQERLDQLKAIGDPILFRLNELKARPAACENARLYLAELEKIVKNWEANKPWLPKKRVDEVVTESAKVKSWLEEKETLQKSTPVYSPPAFTSDEVYQKVLELQDKVSSVNRIPKPKPKIEKKPPKDDNEKTASSESASNETERTETSQESKASEEDRSASKTNDPEAKAHDEL >Et_7A_052805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1693845:1696340:1 gene:Et_7A_052805 transcript:Et_7A_052805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNHDGHARYKQFKQNLSKQKKIRCWQVAGLMFCGIVTGTPFHVLEFGWSVPQIEPKTLSGAESPAPPKPGVRSFPLLQRGSRQPQDAKIGSETPAADGVGDAVRKRPGGGDDPLPAPADKRRRPEPAPSSSGSRDRHHHRRAPAAEEKVRASHILIKHEGSRRKASWRDPEGVAIAATTRDDAADLVLALREQIVSGERKFEDVATENSDCSSPSAAETSRTSFIGYCSFGRGKMQKAFEKVAFALKVGEISDVVDTESGVHIIKRTG >Et_2B_019810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14149783:14153735:-1 gene:Et_2B_019810 transcript:Et_2B_019810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLALGAAAAAGWPATLSAAWRAMRWSVVVPALQLAVYVCAAMSLMLFLERLYMALVVAGLWLLRRRRRKKCSRAAVVAADEDQLQLDDMEAAGDHCPMVLVQIPMFNEKQVYRLSIGAACGLSWPSDRLVIQVLDDSTDASIRELVEVECARWAGKGVRIRYENRSNRNGYKAGAMREGLKKPYAKECEFVAIFDADFQPDADFLRRTVPLLRRDPGVALVQARWRFVNAGDCILTRIQEMSLNYHFAVEQEVGSACHGFFGFNGTAGVWRAAAMADAGGWKERTTVEDMDLAVRACLRGWRFVYAGGIDVRNELPATFRAYRYQQHRWSCGPANLLRKVLPEIMRSDRVSAWKKVHLVYAFFFVRKVVAHLVTFLFYCVVIPACVLVQGDVRLPKYVAMYVPAAITLLNAACTPRSWHLLIFWILFENVMSMHRSKAAVIGLIEASRANEWVVTDKLGGSASNAAAVAARKNKKKQQQPSARKRMCMSREVHVLELVMGACLLYCAVYDMVFFGRDHFYIYLLLQSAAAFIVGFGYVGTFVPAAAAS >Et_10B_004090.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:1229833:1230870:-1 gene:Et_10B_004090 transcript:Et_10B_004090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSPSLARAQLYRTTTLAILSFLLLALVHLLVVFPNDVDLKLQEEAFASSTSPLRRSSSTGATTPGGQLAAATQPQPVVDVRVFLGVLTVPGAYERRAHLRLAYSLQPRPVRAVVDVRYVFCDLDKEEDRVLVAMEIVAHGDVLVVNCTETMDAEKTYAYFSAVARMFAGDEPSYDFVGKTDDDTHYRLAALADALRDKPRDGTYHGFLNPCHASLEWQYMSGMGYVVSWDMAEWIAAADEAIRNDQGWEDEVFGRWVRKAGKLKNVYGEEPRMYDYWDREMTVNAEVTCFRHQHVADTVAVHKLKDRLKWARTLYFFNATQGLKPSKMYDVDRLNSNMYRV >Et_1B_013700.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:13004907:13005572:1 gene:Et_1B_013700 transcript:Et_1B_013700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKILLLVVLAATASPAALAAFDVVQMMADRPQYQQFSKLLTQTKVAEEVNRLRAASLLAVPDRAMAPFYALPPEKLRAALANHVLLSYFDPIKLDELKTRTALLPTMLSATDKKLGVVNYTRADDGQMYFGAPGAPCVAKLVKVVAARPYSISIMEVSEAILPPGSGAPAAAVPAAGRKGGKGKINPSSAAGLEESKMAAGGAGAMEAAAAPGAAPLN >Et_4B_039446.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1677281:1677583:-1 gene:Et_4B_039446 transcript:Et_4B_039446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEPMHVLSALDGAKTQWYHFTAIIVSGMGFFTDAYDLFCISLVTKLIGRIYYPVDGSPNPGSLPPNVSAAVNGVAFVGTLSGQLFFGWLGDKVGRKSV >Et_5A_042159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6948247:6954760:1 gene:Et_5A_042159 transcript:Et_5A_042159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRTHSHPARRRSGLGPQLCAAVAALLLLFSLAVLHSRLSSSSSSSSFPTSRSRSSTPAADTNSSALLADEEDQDLVAALDPLLTVTTTTTTAAATEASVANPDDDRIDELDVLDEDAAGADAAGDVSASSTAAVTSLVWDHAAGAARLPFRLPTAVDALPVGTPHLDPPRRIAAAVFGSDDELVDLDLRVEISSIRGVEDALLLKPASSGAETRLRAGWARWLEGKADYLRRDRMLRSNLESLNPRNHPLLQDPDSPGLTSLTRGDRMVQRMIVAEIQKPASKNFERRRLLAYENKQDMSVTVEEKQGKGRRWGYFPGIDPHLGFSEFMDRFLEHQKCSMRVFMVWNSPQWSFGVRHQRGLESLLKQHPDACVVMLSETLELEFFRDFVKDGYKVAVAVPNLDELLRNTATHIFASVWYEWRETKYYPLHYSELVRLATLYKYGGIYLDSDVIVLKPLTSLQNSIGVVNQVHGKSSFSGAVLAFEKHSPLLEECLKEFYSSYDDTLLQWNGAELMSRVMSNLSSNADENIGHLDMKLEPSVTFYPINSTDIMRYFSEPDNMVERAHQDAIFSRIVNDSTTFHLWNSVTAALVPEPNSLVERILNLHCLHCPFQRTPSPNLLGRVQLPPLVADHYLPLGPWHDHVPIRGRERRHELHLEVPQQVAPNHLDLHVRERLPDARVPPAAERRVHERAAGPVLRPARAEPVRVELVGLLVHLRQPVVDHQRAGHRVARGHLVDRAVLPRAHGEAPPRVAEQHQRRRVEPERLLDAAVQDVHLLERVVVDHRALGDEAVLLGHGGAEVPGVREEAGPRERARAGARVLPREERRDEHPGDLRLRRAAAVLVPRVDQALQHVVVRAGAGVLAGPDDLGEYLAEPGARRVAAVMRRDRQVREEDGDEAHAVVELAEQRRDLAEHPLADRRPEQAPAGHQRHEELQLLLQVSRAGLAPPREVALHDLHVRAHFMTRVLQTSMVS >Et_1A_008065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40490621:40491570:-1 gene:Et_1A_008065 transcript:Et_1A_008065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRGGWSSSSSSDKQEGTLPMVDAEEACTLLSSATHQMWEDFDKGHVAGARNVPYYLSITPHGKEKNPHFVDQVAALYAKDDRLIVGCRSGIRSKLATADLLNAGFKNVRNLDGGYLSLLKTANQHPAP >Et_8A_058485.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:9055394:9056227:1 gene:Et_8A_058485 transcript:Et_8A_058485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLWWPLSPWLSLGAAWFIFFNAVVAAIAIMSSSREEDGHVLPSSRQRLCRSSSSAILDQLRSFSLFSIQPTAGGVTGPLLGGHGDVSDSDYYCSSQAEMASSPQVTHHASMVVRDTPRSTAPAAGESVVIPVCATPPPQCEAPVPAAADLASARESKDDEADAEEEQGKSISLDEAYAMIQHSRQRQPPSADSAPAAATISAAKKDLAAKAPKRRARSSGREAEATAEGKAELNARAELFIRQFREELKLQRLNSLLRHTHAFDTRDGAPTAAVR >Et_9A_063335.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:20570997:20571581:1 gene:Et_9A_063335 transcript:Et_9A_063335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTPLLLLLAVLVAGGAAAAAFGKSYNSFEDLIPTIPGLDPPQQQHHATADGPGVAHEFLEAHNRVRAKYGVPPLRWSNKLARYARRWSSMRRFDCVMMHSPASPYGENVFVGSGRDWRAGDAVEKWASEGSYFDWRAQACHPGSVCGHFTQLVWNDTEFVGCGRAECLMGSVFITCSYDPPGNWKGEVPLT >Et_2A_018424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27676393:27677674:-1 gene:Et_2A_018424 transcript:Et_2A_018424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETSPPSVTAAAAKEAVKEDVWEVRPGGMLVQKRIPGSDAPAAPVPNIRVKVKFNGVYHEIFVSSQASFGELKKLLSAKTGLHPEDQKIVYKDKERDSKAFLDMAGVRDRSKMVMVEDPDAQAKRLLEQRRTDKAERAAKSISRISLDVDKLATKVSALETIMGKGGKVVDADVVTLTEALMTDLVKLDSIAAEGEVKVQRRMQVSANAEYYLLAIFFHEFFGNCIHLAATLTNERTLVLQEKRVQKYVETLDAIRAKNAAAPKTNGNGNAKPRAQHLPPRPPPVSQRRNFQQQPAPPAPKPTASWEFDLLSSVPSTSSATVTTTMAAATTTSPAAAAPIPRFDWELF >Et_1A_008141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4720522:4721325:1 gene:Et_1A_008141 transcript:Et_1A_008141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQLHLAVFAASVAFLTASASSLPPAVFNVGDDRGWTVPSGNGTETYNHWAKRNRFQVGDVLEFKYANDSVLLVTHDDYKQCSTETPLSRFTDGDTKFTFDRFGPFYFVSGVPGHCEAGQRLIARVMAPSSLTVAPAAAPGMPPTAVVVVAPTPASSSPGVPSGSGSSSAASATPSPSPTSQASGASRRVLDVLGLVIVGVVSLFVLV >Et_10B_004349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4642230:4644410:1 gene:Et_10B_004349 transcript:Et_10B_004349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTGGPLSDHENIDTAGDVRKRQIPEQLDKQYHQGPYRNAEDRLHYMKRCLSPIDYELAKLEGVADHGACSPPQSQVSLRPPFRAMVLPAEMSAAVAFVATFVIIFVLSSVAWRRHTKRKTPHLPPGPRAWPVFGNLGLLSGTTLPPHRALAALAARHGPLMHLRVGPSKAVGIASSAETARLVLKTHDAALADRPTAWTCAGSTSSCCRSGPAGRRMCPGYGLAMKEVAGTLANLLLGFAWRLPDGTAPEDLSMEEFFGMSVRRATPLVAVAEPRLPARLYTAAAEYNI >Et_7A_050881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1249361:1251044:1 gene:Et_7A_050881 transcript:Et_7A_050881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVARVTATYHPCSMASALQRLPPRRRLTYPPRASGAVEVRVCTNRTCARQGGREVLAALAGLAPPRVDVASCGCLGRCGAGPNVAASAPGKGAAVFGYVGTAARGAQLLEHLLGAAEFDAAAGLAVLAAREKAEIALEKGNAAEAEALLTEAIGLSSRGGLHLVYNSRSKARLTLGNITGSLEDAEEAIRIAPKSTQAHLLRGDVLFAMGEYRAAEDAYADALDLDPSIRRSKSFKARAERLREKLVGASSFQ >Et_7A_052770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15857754:15860089:1 gene:Et_7A_052770 transcript:Et_7A_052770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPHSNLRRRLIGTAPKFIAPPFLRSVFTTTAPAASKPTTAVAVLWDLAASRPPSTLPLYDAAVRLHLAATSFGRLHLSAAFLHPSHRLPPPAAATTHLCRVCGRRFRERDALLHHFESIHTREHAKRLARVDSARGGRRVRLAAALSLKLSKYEKAARELAAAAAGPASPADDLRRAGVRAELTRTPAASLRERARQVLDEGSAGCLVLVSGREELASLLPVARERGVRSVVVGGESGLARLADVGFSWANVIAGKARNAAPAASEKWRDRDVLKRLEWKYEEDDDEEVVVFEDSDGDGVDELAGRAKGRPWWKLDSDGEDSGHNITKSQEGKIRVRVQSSDTNVATIDLINIPDAPIDHNASPSIVLCLLDRVAAQNGATHAATTINYKDPALTFLFKELAD >Et_8A_056594.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13019967:13021552:-1 gene:Et_8A_056594 transcript:Et_8A_056594.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARHVFVSSVFLFVLALCIVALLPPQGSPSPRSFFQPPAINSKGSTEHGRAGDSRCDYSDGRWVRDDAAVTTAYTEDCPFLDPGFRCIRNGRRDKSFRGWRWQPHRCHLPKFNATEMLERSRNGRIVFAGDSIGRNQWESMVCMLAVAAPPGSVHEQSGKPISRHKGYLSMVFAGYNLSVGYYRAPMIVRIDRVSPANDGVRRAVRLDVLPRHADRWAGANVLVLKHRALVEPAQDRQSVRNHFMVGNRLNKTMGIREAFQLSLQTVKDWELSGARFSKSYFFFRSYSPSHYSNGTWDTGGSCADQQEPLTNTHHFGEEYSWINQMIAKTTEGIRSQGRKAQFLNITRMTELRPDGHPSRHREPGTPPDAPEDCSHWCLPGVPDVWNQVLYANLLSMGYDTRRKDR >Et_4A_034782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6534721:6537698:1 gene:Et_4A_034782 transcript:Et_4A_034782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSQAVSESSSDMSVHSTFASRYVRASLPRYRMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFHAPLGESETAVGVGTVGSSEAIMLAGLAFKRRWQNKRRAEGKPFDRPNIVTGANVQVCWEKFARYFEVELREVKLRDGYYVMDPAQAVAMVDENTICVAAILGSTLNGEFEDVKLLNDLLEARNAETGWATPIHVDAASGGFIAPFLYPDLEWDFRLPLVKSINVSGHKYGLVYAGIGWCVWRNKEDLPDELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRHGFEGYRNIMENCHENAMVLKDGLERTGRFRIVSKDHGVPLVAFSLRDRTRHDEFEISDMLRRFGWIVPAYTMPPDAEHVTVLRVVIREEFSRTLAERLVLDIEKVMCQLDALPSKLPPPPLPPAVLAKKKSELETQRSVTEAWKKFVLAKKTNGVC >Et_6B_049349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:3630384:3637256:1 gene:Et_6B_049349 transcript:Et_6B_049349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHTDGELAVVVDSHDQEEHENGTITIMADADAEEDENRGDPEPFFQCVDRVDEPSGDSGVLLADVDAETTEFPAGDDDEEEGRDDVRVSFATAVGDEEQGEVELDDEEEEEDVSRYDYGMWMAPEAMSIQERRRRLLHGMGLTSSRDLLRSRNARARLLPPDIPRAAPRRQPQPQPVAGDAPSTAPAPEMAGRHQPPSNAAAMKRCRSDSRLAVRGGGAGRKPAAFRRVYSLPHSLHGAPAHKALRAAARCPLPSAAAKGDGNGGEIKIRNVLNNGKDHQFAVNGGQLGDGRQSGVQMSVDEFERFIGYTPLVKQLMRRSQSQPAQPDGGGGGAKPPAPKKRTRWLKNIKLSASAHAAVASSSSSGPERLKVHHYGKSSKELTGLYVRQEVRAHEGSIWTIKFSPDGRFLATGGEDRVVRVWRVVDADASQEISAAWSPLASGAGAAAEGGRPALGLAAQLSRKVRRGKSSKHVLPEHVVVPEFVFALAEQPDCAFEGHQDDVLDLSWSKSQQLLSSSMDKTVRLWDMDTRTCLKLFAHNDYVTCVQFNPVDDDYFISGSLDNKVRIWSVPDRQVVDWSDLNDMVTAACYTPDGQAAIIGSHKGSCRFYKTTDCKLNQEAQIDMSISKKRKSQAKKITGFQFAPGNPSEILVTSADSQIRVFNGITVLQKFKGFKNTSSQISASYTADGRYVVCASEDSHVYLWRRGAAPGIISGAGGGGGKAGVGGVGVRAKTWLTSRSYEYFFCRDVSAAVPWPGSPTFRPTTSSVRGGGREHRKQRSSEFAGDVDSGIPRRPKSGPMTYSSSRQVPADWLPSREPSARWHGGAEGGNAWGMVVVAASRGGEIRVYQNFGQRRPASTAAVTRPKAGGGRSTRNKAHRASPVTWTVADRVVQNLGRRHTSPAGKRQ >Et_3A_026772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:251621:257256:1 gene:Et_3A_026772 transcript:Et_3A_026772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIECNKIMQQGGREDRGRGSVADGAHDESVLVALGAPLDLVVGGEHHLAAAAALPAGHESRAAAAHASAAVLGGAGLPAGLGPAHHLPELPGLGQPDALLGAAHVAAHHEEPRRRALLGGGHEVAQLAEVVAVQRDVALVDGADAGGLGAGLDQLPRALAVLEGAPHAAERRRVQHHAAAGGAGRAALRAALEGVPPPPLVGRCGGVVLAAVAHQKGVVLHHAPPRQLRRRRLRRILLLMIRHGLQGRSWRRRLGVGVLQGGLHVLQGLAHLRPRRRSELERQRHHRLAVAAGLLILFFVFVVLIGRLFFLFLCSSSLIVLVLGDEPGLLDGADVEEGHAGGRRPVDVCRHGNVRGGGLGAAAAHALWLWREGRSARMNLWREEARTFEWLPALLACPACDKTSILLVCGSKSQIDSRAFVLHLPCAFKLTVQLTVMLPRKQIREWNRCEFMRMEILMLGKECEKQEHQNVSLTERDVKKHALSSSTCPDHWGLKNFFETAS >Et_7A_050606.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6025162:6025320:-1 gene:Et_7A_050606 transcript:Et_7A_050606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTVGNKCFAACVTKPGTSLSRSEANCVSHCVDRYIEATGIISRALFSSKP >Et_1A_007214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32139307:32142592:1 gene:Et_1A_007214 transcript:Et_1A_007214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKKMWSSPFPPTAISTVLDDDDNVLLLGEILLRLAFPTSLVHAALVCKRWIRVVSDPAFLRRFSDLHLPRLLGFYVQPKDHLGLPKFVPAPNLPAELAAIVCRASSALNAFASGKSTESKVSILSCKHGYLLVRLDNSPKKSRDVLLNLLPCCSRQEAVILPPAPSAGFFHGMTWIHKYLQNNGSVRIHAEWHYLDAQDKRTINICELIDGAWHSVTPTASAIELPLMPDGFATAPVGSKVYLISWAHSVAGARSASSSGTSCLPMITFPDGVGYGGKRNLTTWGAEDDSVIHMIHVEELQLHVWLYEMESQNWSLEDTICLRKVCANSGVKTALVSQDGQSTASDVKVYADWNLGNAQSVLLEVGIDVLYIDIKSRTAKKIYSKTPEDADWDELFPFVMIAPPIFPDIKAADGDQIQMRRRSSPTATEPLDDDALRDIFLRLPSPASLVRAAFVCRQWLSVASDPAFLARFRHRRAPLLGFYVEPARLHLPVFVPMPRLPAELAAVVRRAGTALDAFADDEVFVSLLACWNGRLLVRLHGAHDVGHVRDAVLSPLRPVGDAVVVLPPHDDPRATIFFDDDSHRLYLKNMVDSATAMAALPLAASSSLRSKLSTIAFPDGVDRLNHGSYMVSRAVDDDDSGVYLIHLTNLHNIHVWRRCHMNDYWLLVDILCLQTACSASKVAVTLVDENGRCANRIKLHALGDRAEFVFLQVGSDVLYIHFRSRTVEKVYTVTRVNGYLLKLVPFTMTWPPVFPVFR >Et_1A_004556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16890138:16890529:1 gene:Et_1A_004556 transcript:Et_1A_004556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIMTESAGDGNASKTPAEVVPQVLSKTTFLRNVGIKTKGVKTGTAAGARIEELEKQMERMAKQMEEAEAARVKETEEAQARMCKQAEELKTLKKASEDTQTLLQELAKRYKGSS >Et_9A_061007.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:7025906:7026199:-1 gene:Et_9A_061007 transcript:Et_9A_061007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDALSSPHRRSQNTFFMQPSKKPQSSRDEVGSWSALIERHRFLLTTLVVLAFLCTIYLYFAVTLGAPDACSGLADTERDKCLANSVMQHGKLKFH >Et_4A_032766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13654144:13658015:1 gene:Et_4A_032766 transcript:Et_4A_032766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATNAAAAAAAAAVSGGAQPRRAPSFLPLRRQTIRAVHAAEPSKGRDAAPAPVPAPAPAKEAAASATGKWAVDSWKAKKALQLPEYPDAAALESVLKTIEAFPPIVFAGEARRLEERLADAAMGRAFLLQGGDCAESFKEFNGNNIRDTFRVLLQMSAVLMFGAQMPVIKVGRMAGQFAKPRSDSFEVRDGVKLPSYRGDNINGDAFDEKSRIPDPQRMIRAYSQSAATLNLLRSFATGGYAAMQRVTQWNLDFTDHSEQGDRYRELAHRVDEALGFMSAAGLTADHPLMKTTEFWTSHECLLLPYEQALTRQDSTSGLFYDCSAHMLWVGERTRQLDGAHVEFLRGIANPLGIKVSDKMNPTELVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRRAGQIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSDRYHTHCDPRLNASQSLELSFIIAERLRKRRLRSASGLNGILPLPPFSL >Et_3A_026398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7745154:7755226:1 gene:Et_3A_026398 transcript:Et_3A_026398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAGDGRSIGGGGRIHRLEVENFKSYKGTQTIGPFFDFTAIIGPNGAGKSNLMDAISFVLGVRSAHLRGAQLKDLIYALDDKDKEAKGRRASVSLVYQMGTDELRFTRTITGAGGSEYRIDGRVVNWEDYNAKLRSLGILVKARNFLVFQGDVESIASKNPKELTALLEQISGSDELRREYDELEEQKARAEENSALVYQEKRTIVMERKQKKAQKEEAEKHVKLQDELKLLKTEHSLWQLYTIEKDIEKMEADLAEDRQSLQQAQEENQSADYELTAKKKEQSTYLKKITLCEKSMAKKKLELDKKQPELLKLKEQISRLKSKIKSCKKEIEKKKDDNKKHLEEMKRLESALVDVTSAIEELNEQGQDETGKLQLADDQLQEYHRIKEDAGMRTAKLKDEKEVIDKKLNADVEAKRNLEENMQQLNSREDEISSQERELNTRLNKVLQSIPKHEKELANLREEHNRIAKERQSSGSKYQTLKQKVDEIDTQLRELKADKHESERDARLKETVGSLKRLFPGVHGRMHELCRPSQKKYNLAVTVAMGKFMDAVVVEDENTGKECIKYLKEQRLPPQTFIPLQSVRVKPIIEKLRTLGGSAQLKAVLYAVGNTLVCDRLDEAKTLSWTGERYKVVTVDGILLTKSGTMTGGISGGMEARSNKWDDTLKNKKNKLESEMSELGSPRELQRKELSISEKITGLEKKLHYLNVEQNNLREKLHKLASERNNIQKEINRLEPGKEELETRIAEKEVEVRKLEKKINEIVDKVYRDFSISVGVKNIREYEEKQLKDAQALQERKLSLSNQMSKLKYQLEYEQKRDMQAPIVKLKDTLESLEKELRGLQERESGAKAEAEQITNQMDELKADAEDWKSKSDEFDKVIDELKEQNASVAAALAKFDRQVKSKEGQLMQLMSRRREILEKCELEQLELPTVNDPMDTGSSSQELVLDYSQLSEIYLEDMRPSERDKHEAEFKQKIGSYVAEIERTAPNLKALDQYDALQRKEKEVTEKFEAARKDEREISDKFNSVKQKRYELFMEAFDHISKGIDKIYKQLTKSHTHPLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFAIHSFRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCERGADEQGSDGGFGFQSIVISLKDSFYDKAEALVGVYRDSERSCSRTLTFDLTKYREA >Et_7B_054290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19311421:19325387:-1 gene:Et_7B_054290 transcript:Et_7B_054290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPDTVSLARRQGMDPQELEGLMEQMLFYIHHSLPNPPVSAAARLSALAAEPPTGCDADGGAVDRVSGLPDSLLADIVSRLPVKDAARTAALSRRWRPVWHAAPLVLIDNHLLPSGGEDGIPSHVDRADAAAVAAAVSRILAAHPGPFPWVSLACTYMDEDRPRVARWLQHLAVKGVQELFLINRPWPLHLDKPMPATIFSMAALTRLFLGYWKFPDTAGLPRGASFSHLRELGLCNVAISSRDIDFVLARSPVLEILYLQGHSSSLCLRLVSRSLRCVKIFTSSVESVVLVDVPCLERLILSGNMNMCSLIKIGHAPALSLFGFIHAGNDVLLVGNTVIKAGILVNPSAMVPTVRILALQVQFGVRNDAKMLTTLLRCFPNIERLHIHSKKTTESTGRLNLKFWQESGAIGCIQSHINLLAFHDFRGERSELAFLKFFIESAQMLNRLVVVFAKGCLRSVAEADRVRTLLSGQVATEGCTLQVCESVYPEGGGIWDYRRGSESCRDPFAFACSSTCAPPFMDRGTLSVARERGMDSQQLRALVSSVLSCIHHSLPDPPISANARLAALAEPDGCGGVDLFSLLPDALLVNIVSRLPIKDAARTAALSRRWRPVWLSAPLALVDAHLLPPPGGGDEIPSHLDRADSDAVAAAVSRVLAAHPGPIRSAHLACCYMDLYRDQVVRWLQHLAVKGVQELVLINRPWPLDLDKPIPTTFFSMAALTRLYLGYWRFPDTAGLPRGASFPHLRELGLYVVFISSRDIHFVLARSPVLAILCFQGHIFPLRLRLVHHSLRCVQILGSDLESIDVVDTPLLERLILPMNLTIDRSSSRIKIGHAPLLRLFGRLETAKDVLQVGNAIIKAGTVINPSAMVASVKILDLNVRFSVRNDVKMLQSFLRCFPNIETLHIHSKKTIESTGRLNLKFWQESGAIECIKSHISVMAFYDFLKFFVENAQMLKGLVIVLAKGCFSSMAEASAKAKIVFAGKRAIECCSLAICESAFPEDDIPWNFQKGCDFSCRDPFGFIHRSPFGVNTSILVPAVKILYLNVQFGVRNDTASTDLIDLQSKRTTESTGRLKLNQRMDPQELEALMGRVLSYIHHAIPDPPVSVDGDLCILFDADGGGLDRLSGLPDALLRNIVLRLPVKDAARTTALSRRWRPIWYSAPLILVDSHLLPAGDDKIPKHIERDDSTAVAAAVSSILAAHPGPIRCAHLTCCYMDEFRGQVARWLQHLTVKGVQELFLINRPWPLDLRRHMPATIFSMATLTRLYLGFWRFPDTFGLPRGAAFPYLRELGLCCVIIDDRDIDYILARSPVLDILCFEGHLFTSLKLRLVSQSLRCSIRHLA >Et_5A_042248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8012707:8017864:1 gene:Et_5A_042248 transcript:Et_5A_042248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLLPPTFLPQRLRRFAPPGCATPASSSASAPASRYDFEPLLAYLSSPSVSASLTSPSPPVSVPAPERQLAASYSAVPSHDWHALLRDLAASDASLPLAFALLPFLHRHRLCFPLDLLLSSLLHSLSVSGRLLPHSLLLSFPPSLSDPPSPLLLNSLLAASAAASRPAVALRLLALLREHDFLPDLASYSHLLASLLNTRDPPDAALLERLLGDLRESRLEPDAPLFSDLISAFARAALPDAALELLASAQAIGLTPRSNAVTALISALGAAGRVAEAEALFLEFFLAGEIKPRTRAYNALLKGYVRIGSLKNAEQVLDEMSQCGVAPDEATYSLLVDAYTRAGRWESARILLKEMEADGVKPSSYVFSRILAGFRDRGDWQKSFAVLREMQASGVRPDRHFYNVMIDTFGKYNCLGHAMDAFDRMREEGIEPDVVTWNTLIDAHCKGGRHDRAMELFEEMCESNCPPGTTTYNIMIKLLGEQERWQGVEAMLSEMKDQGLVPNIITYTTLVDVYGRSGRYKEAIDCIEAMKADGLKPSPTMYHALVNAYAQRGLADHALNVVKAMKADGLEVSTVVLNSLINAFGEDRRVVEAFSVLQFMKENGLRPDVITYTTLMKALIRVEQFDKVPVIYEEMITTGCTPDRKARAMLRAFDPCKMQKAEVTLQHTYRYTSLVFPGWLLDTCNGKYPRFQWSQDLRASSNFNIEQYSDVGSRARQSSSRPSEGLVFMYGRGGKKLGDTNPWPETAGESGAARVGAGRWRLTGS >Et_7B_054334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1991533:1992113:-1 gene:Et_7B_054334 transcript:Et_7B_054334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAGFEQHTKRRPPVAPSSERQQQRKLLRLSSVQEDDVAAGVVPPVTVVLDGRCICHRVHLSRHTGYGSLAAALRRMFVDTDASAADDDEGEAGLDLSNAVPGHVVAYEDMEDDLLLAGDLNWKDFVRVAKRIRIIPVKSSSRRKQVSNAEGLNN >Et_5A_042790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4468971:4471142:1 gene:Et_5A_042790 transcript:Et_5A_042790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFRKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPAVNVEHLWSMVPEDKAAEAGADKAPQVDVTQFGYFKVLGKGRLPEKPIVVKAKLISKIAEKKIKAAGGAVREGTANRIVCQQ >Et_3A_026684.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:1432398:1432796:1 gene:Et_3A_026684 transcript:Et_3A_026684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEASTKKSPAAAGDGTTSQASAAAERTGIFGVAPRQKITPEELIVLRRFVKAVSEDALRYAAMTEDDVEEEYRRAGKLHEYDLDKEVPKRLARLAQAYPPPKFLPKLEEAMKYLEDDDEDDEAPPSATGT >Et_6A_047779.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:15801940:15802674:-1 gene:Et_6A_047779 transcript:Et_6A_047779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIEDAYHKQQGRKAILFGHSFSGMVVLEFVHAAPPAWHDRYIERQILVAPVPASGFVESVKNFASGPGVLYVPTTSPLSTRPMWRTLEAAIATFPSTAEFGDDTPLVITRSRNNTARDMGDLLADVGGAGTVEPFRWWSVPRMNGFEEAPMLPITCINGVGVNTRERLVYWEGDFDMNPQALYGDGDGIINLVSMLAFDEEMRRQAGQREKYKSIKRVIVRLQVELLNPNQEIVLDVASGRR >Et_10A_002322.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:8970364:8970606:1 gene:Et_10A_002322 transcript:Et_10A_002322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRVEVRRIENAVSRQVTFSKRRRGLAKKAAELAVLCDADVAMVVFSDKGRLHDFAANARYAAPRRPADPSSIPAR >Et_9A_062087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19681261:19687120:1 gene:Et_9A_062087 transcript:Et_9A_062087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNISGATSNLRDSTGRSFTSFSGQSGSLPGFHHSGSHNIQGNLNLTNMTGSLVPRNASMTGLPSSPGVQQPGGSISSGRFPSNNLQASMSQIPHGHSGISNRGGMNVGGNPVFSSGMNAIGGSIQALSSNLPNVDNRNSAPGLAASPVLGNLGPRITNSGSIVGGSNIGRSISSAGLSMPGIASRMNLSGNSGSGAINIQGSNRMSSMLQQASPQFMNMLGSSYPTPGGSLSQNQMQAGNSSLGSSGMLRDGSSGDTPFDMNDFPQLTGRPNSAGGGQGQYGSLRKHGVSVNTIVQQNQEFSIQNEDFPALPGYKGGSSDFAMDMHHKDHLHENVNIMQAQHHPMARSSGFNLGGSYPARQPHQQSANSVQNSGLENIGLRAANSPSPSSNSGVYEQLVQHYHQSQNQTAVRLQAPSGSQQYKDQNPKSVQGTQTGADPHSLLGLLSLIRSKEPGRTSLALGIDLTTLGLNLNSQDNLYRTFGSPWSNEPARGEPDYQIPACFSAEPPPTLQPLHFQKFHPLTLFYIFYSMPKDAAQLYAANELYSKGWFYHKEYRVWLTRVPNVAPLVKTPLHERGSYICFDPNVWDTIHKDNFVLHYDAVEKRPVLPSAAQNDMRAVLKMELLVGRQPCWD >Et_1A_007026.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30188040:30189348:-1 gene:Et_1A_007026 transcript:Et_1A_007026.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLSMVALPRSAPPPAVVSAFTARGAAGKRSARFFLRSAAACGAGAGDDQQQAQPPSMAAVPASLRAIQAERKQAAARRGAPPRAAAATNAAECAVAALVKAVEAVHGAAAGGAGDAVAWVFRKVHDLQSPPDLAVGLLGMVASCLGNAVEEEVERARVRAQAKKPAGNEDEPDQPAADGASDVAASEEEEEEDVQPVDDEDLPQLVESDMETELWSRIGIMHDDLHGLDSVDDTMVGLDAEEIHDIIAARARRRKAAYERIIAAGGASSLILSNYAQLLYEFDKDIKRAEVYFKQAVAAEPADGEAMRRYALFLWHARGDHVAAEDMFTDAIDEEPESSHIRSSYAWFLWMTGGVGTCLVDSTFGEDDAE >Et_2B_021453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29798034:29800006:-1 gene:Et_2B_021453 transcript:Et_2B_021453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCCRIGKREAKENSAAAATKRRRRTSTASPASFESEILSGSSAGDPLDEASLGVGRLWRGRWEQQRGGAEQEDEDLRRARAKGKAVAPQIDTGMFSNIPPELFLQIFKFLSSEGLISCALVCRFMNAVASDETLWRRLYCMRWGLASNAKLRECAWKNLYIQQDREDMVEFVTNTPTEFKEYYIQMQAAKRSQAPLPSEVNDDKVILDKTIADQVSSWKSSRGLTDEAVKGHSCSGNTCSYTQTGDAYICEKTGHVHVCDDACREFVLDQSSGLLLCTISGHCFERWLCSDEEWDTYDTDQQQGGAADEAEPFMGSGRFGTKSSFHLLHLRNVYLSYFCVAF >Et_10B_002364.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:13637725:13638678:1 gene:Et_10B_002364 transcript:Et_10B_002364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQILNNLEAINKLFLAIKWINLKVEINFYLEIVRDLGWLGLRNSSPDGLYVFLARLTLSGGCRIKIRGPSSPVARIKSPSTLRFFPPRLHSASPSFPASSFCPGSADRGGAAWIEAGRHGFGGFFSISPISVANNEIRHPPLIRELLGLKVVHIAYIAREACLAASLGVPSPVHACIQDTHDCYNGCAAFLIDRVRRGTASVMLATHNVESGQLAAAGAQELGIPGGDPNLQFAQLMGMADGLSLSLRNAGFHFSKYLPYGPGDELLLHRPQRQQCGLRRPRRLPRPRRFLAPAPALCFSGSCIALLCLPACLRTA >Et_3A_026227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5823021:5824306:1 gene:Et_3A_026227 transcript:Et_3A_026227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTNKPARGGKLVGVVSMLLLVALGFVLGVTSSNAMFLRFYLPFVPPLLSGSTSIISTAPPTPTPSPPPPPPPPPPPQKAEVEMINLLEPNGVMHNMTDEELFWRASLVPKVRRAPERRVPKVAFLFLVRGELPLRPLWEKFFAGHDGLYSIYVHAHPSYTGSPPPDSVFYGRFVPSQRTKWGDASLVEAERRLLANALLDLGNERFALFSEACIPIYNFTTVYAFLTGSRTSFVDCYENGGSRSRYRDFFAGRNISLAQWRKGAQWFEMDRALALESVAEESCFPAFRDFCASRGRQECLVDEHYLPTLVSLLGWGARNANRTLTFADWKRAVNRHPHTHGAEEVTEELINGIREDGGGRCFYNGERDGGICYLFARKFSADALQPLLRLAPKVMGFG >Et_1B_011584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23839322:23839806:-1 gene:Et_1B_011584 transcript:Et_1B_011584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVPKVIRQLLIFALVFTALTTNQAWGEQDCYDEKVSVMHKCMRTLALNQPNVEPSADCIEVVEASDMDCICRILTLEDWKTISIVKFVKLARNCHKPLTPGTKCGSEYLIPIYSSVFREDKCRHHQGQIHENPSSL >Et_3B_031402.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28348607:28351431:1 gene:Et_3B_031402 transcript:Et_3B_031402.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGASAADADSTGGVEFWRAPERAGWLTKQGEYIKTWRRRWFVLKQGRLFWFKDSVITRASVPRGVIPVASCLTVKGAEDVLNRPYAFELSTPRETMYFIADSEKEKEEWINSIGRSIVQHSRSVTDAEVVDYDSRPVDSEHLITLETLWMQHTAGQPNRPRLVQPCRVQGQRWYAPDPTLVDGFAVCACTGNPGTSAVHADARSHTTQQTAGLQQATARQECADPDARPGAGGGPAS >Et_10B_002552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15635733:15636208:-1 gene:Et_10B_002552 transcript:Et_10B_002552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LFKDRIPSADQLKRKQWKGRAICWALWLTRNNYIFRTKICNSPQAVVHRANAFMRKWRALSNQRRRKLTWRQSWRGSKSIFKLKTTNHVVS >Et_4B_039596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23456514:23461452:-1 gene:Et_4B_039596 transcript:Et_4B_039596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPASSSSSRTRQSSRARILAQTTLDAELNAEYEESADSFDYSKLVEAQRTTPLEQQGRSEKVIAYLQHIQRAKLIQPFGCLLALDEKSFRVIAFSENAPEMLTTVSHAVPNVDDPPKLGIGTNVRSLFTDPGATALQKALGFADVSLLNPILVQCKTSGKPFYAIVHRATGCLVVDFEPVKPTEFPATAAGALQSYKLAAKAISKIQSLPGGSMEALCNTVVKEVFDLTGYDRVMAYKFHEDDHGEVFAEITKPGIEPYLGLHYPATDIPQAARFLFMKNKVRMICDCRARSVKVIEDEAVSIDVSLCGSTLRAPHSCHLQYMENMNSIASLVMAVVVNENEDGDEPEPEQPPQQQKKKKLWGLIVCHHESPRYVPFPLRYACEFLAQVFAVHVNKEFELEKQIQEKSILRMQTMLSDMLFKEASPVGIISGSPNIMDLVRCDGAALLYGDKIWRLRTAPTESQIRDIAFWLSDVHRDSTGLSTDSLHDAGYPGASSLGDMICGMAVAKITSKDILFWFRSHTAAEIKWGGAKHDPSEKDDSRRMHPRLSFKAFLEVVKMKSLPWSDYEMDAIHSLQLILRGTLNNANKPAKESGLDNQINDLKLDGLAELQAVTSEMVRLMETATVPILAVDGNGLINGWNQKVAELTGLRVDEAIGRHILTLVEDSSVPIVQRMLYLALQGREEKEVRFEVKTHGSKREDGPVILVVNACASRDLHDHVVGVCFVAQDMTVHKLVMDKFTRVEGDYKAIVHNPNPLIPPIFGADQFGWCSEWNAAMTKLTGWHRDDVIDKMLLGEVFDSNNASCRLKDKDSFVRLCIIINSALAGEEAEKSPFGFFDRNGKYIECLLSVNRKENEDGVVTGVFCFIHVPSDELQHALHVQQASEQTALRKLKAFSYMRHAINKPLSGMVYSREALKNTGLNEEQMRQVHVADSCHHQLNKILADLDQDNITDKSSCLDLEMVEFLLQDVVVAAVSQSLIACQGKGIRVSCNLPEKFMKQKVYGDGVRLQQILSDFLFVSVKFSPVGGSVEISSKLTKNSIGENLHLIDMELRIKHHGTGVPADILSQMYEEDNREQSDEGLSLLVSRNLLRLMNGDVRHLREAGMSTFILTAELASAPARCWEPSPRMADGQSVNISACRVRYEELDA >Et_5B_044946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7555820:7558443:-1 gene:Et_5B_044946 transcript:Et_5B_044946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TWVNTVRSASSSGTGSQPSVADETEAPEWAMQDFYALRKDVELAVERVNEVIQSAGMEKLEEEIVSLENKSTDSALWDDPSKAQEILVSLTEVKDRVKLLNDFKSQIEEAETIVMLTEELDSIDTALLEEASKIIKSLNKALDNFEMTQLLSGPYDKEGAVINISAGAGGTDAQDWADMLLRMYVRWGEKQRYKTRVVEKSPGEEAGIKSATVELEGRFAYGYLSGEKGTHRIVRQSPFNAKGLRQLNAKEMQTSFAGVEVMPLLPEDSLDVEIPEEDLEISFTRAGGKGGQNVNKVETAVRMVHIPTGIAVRCSEERSQLANKIKALSRLKAKLLVIKEEQRASEIKQIRGDMVKAEWGQQIRNYVFHPYKLVKDVRTSCETSDITGVMDGELDPFIRAYLKYKLTTEAAS >Et_4A_033572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24553322:24561134:1 gene:Et_4A_033572 transcript:Et_4A_033572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCAPLVPVSPAASAASASASAASSAVAVGAPAAMPPSQPPPVPPPPPRSNSAAGERRVRVTGAGGSGGGSSPPEGVKLNEIVGAGISGILYKWVNYGRGWRPRWFALHDGVLSYYKIHGPDRIVLSRETERGAKVIGEDSIRRLSRPSTSSSAHSNGHHQPRKPIGEIHLKVSTVRESRSDDRRFSIFSGTKRLHLRAETREDKAAWLEALRATKDMFPRMSSSEMVGPEDTAAAVAVSTERLRQRLQQEGVSEAAIADSEAIVRAEFESLHKQLVLLKQKHTLLVDTLRQLETEKVDLENTLVDESQRQSKEYGSASKSRNEKYSEGSASESDDYNEPQDPAEEETDDEENIYFDTRDFLSSSSFKSSGSDFQRSEGGSDDEDDYPMDGIDPSMKSVGISYPYVRRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRASEWGKRGNSLMRILSVAAFAVSGYASTEGRSCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGTGWRFWADSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNREYSCKLKFKEQSIIDRNPHQVQGVVQDRSGRTVATLFGKWDESMHYVMGDCFGKGKGSEKFSEAHLLWKRSKPPKFPTRYNLTRFAITLNELTPGLKEKLPPSDSRLRPDQRCLENGEYERANAEKLRLEQRQRQARKMQESGWKPRWFAKDTATDSYRYLGGYWESRERSSWEGCPDIFGHVPNDATITD >Et_2A_015688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16961427:16978873:-1 gene:Et_2A_015688 transcript:Et_2A_015688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFYDTTMNPNARLYVAVGKDFKDGKSNLSAARSLGLLGDLNLVLLHVHQPAERIMNGLCKVHASQLEEKELKAYRVIEQEEMNTLLNQYMTYCRLHLKVQAETLVIEKNNVATGIVELINQHHITKLVMGTSSFSTEANLYSIKTGSPRSSSASTQSDETELPARSVSLPPGHPGYMRSPDHHVLPWRSNSVSHTSSGLLANNVETMPPIAQHSIHMTPINCSPNSSLPSNGGSSKSSPKDWDSMDASPALASIVSSEEQQILMVETGMNNEVFEQLQQFKTRENSLRKEKKEIEERLTREKVVLEKEKFIIYNELQKANGQRVELENKLLQANSLLEELKQLQGELQREKEDALRELEEMHKLNGNSVFGSAGQVKLIEFSYDEIQEATNNFDASKEIGHGGCASVYKGFLRYTTVAIKKFNREGIIGEKEFNDEVEILCRMRHPNLVTLIGVCRDPKVLVYEFLPNGSLEDRLQCKHHTKPLQWRTRISIAADICTALIFLHSNKPKSIAHGDLKPDNVLLDANSVGKLGDFGISRSLNLTNTTVTPYHRTDQIKGTLGYMDPGYIASGELTAQYDVYSFGVVLLRLLTGKGPLGLQSEVDTALGSGALDEILDASAGEWPPVYAEELANLGLKCCRYDRKERPDLAKEAWGILQGMMNEPSLLGHSNKCEVPAYFICPITQDMASPTAGSSSPRADDSPQPSLPGEKVYVAVGTEVAESKATLLWALHKFNKDAGSSLVLLHVYSPPKSFPVLGATIPSSQVEEQELTAYKEVQLQRITNSLDQYLLLCAQEKIHAEKLVVESDDVARAIVEFISEHQVTALVMGAAADKHYTKKMKIPKSRKARIVEQEADPLCKIWFVCKGTVIYHRKAVPNSLDEMEERKQSLGFTHSSVESSASLSERWCIANTWLRKSIIEAQIERTTSDPSYSSLKVNTKEPYETYDNFQHILGELESARQEAYEEKGRREIAERELFEAFQKAQESENSYFRELKQKNELEEKLTTIMKEVENLLRRTDELCVKLQEEREQRMILEKRGAHSDRIIKDLVLQRDKALREAEILRAQKGESTATAEGKMHVTELSYSEIKDATNDFDHSMKIGESVYGSVYKGFLRHTNVAIKKLNPECIQSQSQFNQEVEILSKARHPNLITLIGACKNAQALVYEYMPNGSLDDRLACKDNSKPLNWQLRTHIASNICAALIFLHSNKPHSIVHSDLKASNILLDGNNVAKLSGFGVCQMLTDEFKTTTTLYRHTHPKGSFVYIDPEYLISGDLTPLSDVYSYGIILLRLLTGRSGFGLLKEVQQAVERGCLPAILDSSAGEWPAVYAERLAQVGLRCCEIRRKNRPDLQTEVWTVLEPMLKSASVTLYSLSFKSVSEDLVGVPSYFICPILQDVMRDPLIAADGFTYEAEAIREWLDSGHRTSPMTNLELSHRDLLPNHALQVHIAVGKNFRKEKANILWAAANFPRDTIVLVHVHWPSKWMPFMGGKVLYKFADEKEKEMHRGRETAAMVQMLSQYKSLCGKRKVSAHYLTHDDIVAGVVNLIKKLKIKRIVIGSRSMSKKAAVRQCCQVWVVLNGKHVSTSNDHLKHTANIRYGASSDILASIHELCDESDGYITPPSDFVDEINDVEEMIDMDDSDQLVTEAETLTEESTDESTAYEEVEMFAEDGADQSDEIQSFRNITEKAEKIMAKIDKLQQKLKELQVEGHNNHGESSFSPRQLAAASLKRKSLSQPKHPELQIPENIAQFSMLQIGKATDNFHSRNFIGEGGYGVVYKGTIGGEPVAIKLLRPHGSQGFPQYQQEMVVLSRLEHPHIVRLVGVCPESCSLVYEHLPNGTLLDRLSKGLLWKDRVRILAEQRSALAYLHSSRPHAIIHADLKLTNILLDARNVSRLGDFGTARAVRVKPLQDQEETIGRRTNPMGTTGYMDPIFFMTGELTTESDVYAFGVVALQLLTGLLDLNVADQAREALKMDAVHSVLDASAGPWPEVQAERLLKLALRCCSLERRRRPAITSDAEWRCLDILRAMAAPPASNKSRKWSYHGS >Et_7B_055885.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6798822:6799274:-1 gene:Et_7B_055885 transcript:Et_7B_055885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKGKGKRSATQEHRGGEERAPKMRKVTQEEIGKRKGKLQIKERDKPMEVEKMRRLEEKGKKVGPSAGQGAAADSATRKSEEKVALYKMVVERNFDHLAVPDILMPLLPGGPAQFRELNQGLRMAVKTFKEHSARRLKEYEAKGYLEY >Et_9B_064223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12741905:12744111:1 gene:Et_9B_064223 transcript:Et_9B_064223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAETQTPEELLRAQLEEQKIEEVSDDLALSYPMPRLCSALASSPMRRRIARLSAWGRGGDATGRSKQSRSEKKSRKAMLKLGMKAVTGVSRVTVKKSKNILFVISKPDVFKSPASDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSNVMSKPEASTAAQDDDEDVDETGVEPKDVELVMTQASVSRPRAVKALQAANGDIVSAIMELTT >Et_3B_028530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16535653:16538342:1 gene:Et_3B_028530 transcript:Et_3B_028530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRDEQLKLLGAWASPFVQRVRVALHLKGLDYENVEEDLVNKSELLLASNPVHKKVPVLLHGGRPVCESLFIVQYLDDAFPDAGQAILPADPYDRAVARFWAAYVDDKIFPPMGQALKATTDEVKTAATETLLAALETLEGAFAELSRGKGFFAGDAPGYVDVAVGGFIAWMRASEKLVGVTLLDPGRIPLLSAWAHRFAALEAAKEVLPDVDKLVEFAKALQAPPLDRFLPPPPPGTTQKSFERSKLNCTAPAWMAGVGRDEQLRLLGEWPSPFVHRVPVVALHLKGLEYENVDMFNKSELLLASNPVHKKVPVLLHGGKPVCESLVIVQYLDDDFPGTEQAILPADPYDRTIVRFWAAYVDDIVLHLRAHVFISN >Et_6A_047780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15901924:15908416:-1 gene:Et_6A_047780 transcript:Et_6A_047780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLVIFGFLCSNIFFISLASSDEFLQCLAATVPSDLVYQQSSSSFTTVLQSSVQNPKFATNTTVRPLCVVTASDVTHVQAAVLCGRRAGVRLRVRSGGHDYEGLSYRSVQPEEFAVLDLSRLRAVRVAKRATTAWVDAGATLGEVYYAIGTVNPGFAFPAGVCSTVGVGGYLSGGGVGVTMRKYGNGGDNVVDARIVTADGELLDGRAAMGEDLFWAIRGGGGESFGVVVAYQLKLSAVPSTVTVFNIVRTVDQGVAGLLAKWENTILDPLLPDDLTIRVVLWGKQALFQALFLGRCSRLAFTMRAVFPELGMTAADCREMSWLHAMTLIGSGNEQTPPEAMLNRTNNLQGAEFKSKSDYVRRAVGEPGWASIYGQWLTPSAATAGLLMILEPHGGKVGTWTADDFTPYPHRQGVLFNIQYGVSWPRGADSSGALGWIHGFEAFLGQFVTCNPREAFANYRDLDLGQNVVGDDGVTTYESGRVWGERYFMGNFQRLAAVKARVDPSDYFRNEQSIPPLRYRRPTYLGKRLEAHRDQMSVGSTLAFTAASFRKLPMKYLWPHTLPLRYVDTRSPPTTFLPMSRPSSHASGATAAVLLASPHHMDPYCRLKSTPRRCGYGDVALLLEPPTATPCGSRMIIPAPLRDRCLPPGLPHGAPRVVGLALGVGQGGPPQRVGPVQQPFHSTGASVRPK >Et_4A_033449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2278022:2295325:1 gene:Et_4A_033449 transcript:Et_4A_033449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVEEAIAALSTFSLEDEQPDVQGLAVLLSSERYATNSPIEYSDVAAYRLSLGEDTKAINQLNTLIQEGKEMASLLYTYRSCVKALPQHSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERLVNGPTITHFWSMLKLLDVLLQLDHLKNAKASIPNDFSWYKRTFTQVSSQWQDTDTMREELDDLQIFLSTRWAILLNLHAEMFRTNTVEDILQVLIVFCVESLELDFALLFPERHALLRVLPVLVVLATSSEKESESLYKRVKINRLLNIFKRHYLILNHMGTIRAEHDDFSIRFASAMNQMVTLKSSDGVDNAWSRDIKGNMYDIVVEGFQLLSRWTGRIWEQCAWKFSRPCKEPPFSDSQQNPTTFFDYEKVVRWNYTAEERRALLELIGYIKSIGLMMQHCDTLVSEALWETIHMEVQDFVQDKLDTMLRTTFRKKKDLSRILSDMRTLSADWMANNSKVDPEQHSLHQEAEEMRQNTFYPRPVAPTAAQIHCLQYLICELVSGGNLRKPGGLFGNSGSGIPVEDLKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDYVIESQDAGLLESILIPFDLYNDSAQHALTCLKQRFLYDEIEAEVDLCFDLLAQKLNEIIFTYYKSCAASTLLDSSFTYACDDGEKYFVKPLRFDAIFKLRRVMVLGRTIDLRSLITQRMNKLFRENIDFLLERFENGDLCGVVELQQLLDILELTHHSISRFLELDSYSLMLSEMQENLSLVSYSSRISSQIWNEMQTDFLPNFILCNTTQRFVRSIKGTHSSQRSSASTGKPYFFCGSHDLTMAYQGLAGLYRDFFGIPHMFAVVKLLGSRSLPAMIRALLDHISSKITGMVPKITALQEALPKSIGLLSFDGGIAGCQKIIHEILTWEAKSEVKVEVLHDLKEIGSALYWMSIMDIVLRQIDTTQFMQSAPWLGLVPGNDGQVKHAFSDNTPFTTLLSAATNAVTSSPGCPNPSTFHVMSKQAEAASLLYKSNLNSGSVLEYALAFTSAALDRHYSKWSATPKTGFIDITTSKDFYRIFSGLQYSYLEESINNPSKKQEMLGDSVAWAGCTIMYLLGQQQHFELFDFSYQFLNVAEVESATVSHYQSSDRTKSPNFLQGCEGILEAMRKARRLNNHVFSMLRARCPLEDKVACAIKPSGASLHRMKFVNTVSAFETLPQRAT >Et_1A_008200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5104164:5109357:1 gene:Et_1A_008200 transcript:Et_1A_008200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDARGGAAGPDQEADDLHADEEAVPLAEAAAAAPARGGGTPPDAEPESDDGEGSTDREEPNAAASGGGALAPMVGGRGEREGIVGGAKVETNGEDAIDHDGDGEGDEDDEGGDEEEDDDDDSTPDASPRAEVKVEGENSTGMGQSEASHRVEPDPFLDGDDSGTEEEQASFMAELERFHKEQGLEFKPPKFYGKGLNCLKLWRQVAHLGGHDQVTVCKLWRQVGETFRPPKTCTTVSWSFRIFYEKALLEYEKHKVRTGQLQVPPPTLLPPGGTEMAGNQSSARVRRDAAARAMQGWHAQRQVANGTYGDQILKRKKASSPEYAPKLPRGKANKSQEDSMITDIGEPADWVKINVRQTKDCFEIYALVPGLLREEVHVQSDPAGRLVITGDPEQPDNPWGITPFKKVVNLPSRIDPHQTSAVVTLHGQLFVRAPFGHADIAVEKQSTLMRAHRPNVGMPSHGLTGEPLGPIQDSRTRGTYAIASNAGVRGGRSGTEATGREGEAARLERIAYSHWRGGGVAGRAGVTFSV >Et_9A_062607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24456730:24460331:1 gene:Et_9A_062607 transcript:Et_9A_062607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPISVKPPSPAPVATPPAAVEPQSSPQPAAGAAEAELGGMNQLALTPNVKRQKVEEAADGNGCKHCACKKSKCLKLYCPCFAAGGYCSEKCGCQPCFNKEAFAETVQNTRKVLLSRQKRMSLKINRRSEANAEAMEDAHHSSSSTPPRRGCNCKKSSCLKKYCDCYQEGTGCSLFCRCEDCQNPFGKNEGIMAEDSKRYLYTGADLDHSEGEHEFVVERSPRLQSPISKESSFQTPPHLRASSRDTHVFPQALSQWQALPRSWHCSKRNNNDRVIDDSGNYKNSSHDWVLSKHEDSYSISKCIQILNSMVELSLVEKSVAPDVFLQQGNREIFISLGGEVRALWLKRQIQHLT >Et_2A_018453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28516895:28521745:-1 gene:Et_2A_018453 transcript:Et_2A_018453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLLASALPLSKTTRARSPPPLVLRRLRTLTRALASSSPMASSPAPKKVLVPIANGTEPMEAVITIGVLRRAGADVAVASVEPGAATVAAAWGVKLAADALLTDLADLDFDLISLPGGMPGSSTFRDCKTLEGMVKKHVQKGKLYAAICAAPAMALGTWGLLNGLKATCYPSFMDKLPSEVHAVESRVQIDGKCVTSRGPGTAMEYSVVLVEQLYGKEKAEEVAGPMVMRPQHGVEFSMKELNATSWNVGETPQILVPVANGTEEMEATMIIDILQRAKANVVVASLEDKLEIVASRKVKMVADVLLDEALKQQYDLILLPGGLGSAEAYAKSDKLMGLIKKQAEAKKLYGAICASPAIALEPHGLLKGKKATSYPAMWNKLTDQSECKNRVVVDGNLITSQGPGTSMEFSLAIVEKLFGREKALELAKTMVFV >Et_4A_034596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4691966:4695528:1 gene:Et_4A_034596 transcript:Et_4A_034596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAHLLLPSSHPSPPPHFLRRGVPRRCLTPLRASLPLPPLHRASLAAAGGYGGSRFVKTLGPRSERTGAGVRVSAIGGDGGDGGGTGIAAAAAATVVLAVMNRVLYKLALVPMRNYPFFLAQFTTFGYVLVYFSILFMRYRSGIVTREMLALPKSRFVIIGLLEALGVASGMAAAAMLPGPSIPVLSQSFLVWQLILSVLILGRRYRANQIFGCFLVTAGVILAVASGANGGPFLPGINFFWPAVMVVSAAFQAAASIIKEFVFIDGAKRLGGKRPDIFVVNSFGSGFQALFVFALLPFLSNFKGIPFAELPAYMNHGAACFLNIGGNLKDCHGAPLLPLLYITLNMAFNISSLNLVKISTALVASLASTFAVPLSIYALSLPLPYLPEGTSLSTSFLIGVATLVLGLLLYNLPQKSAGQVKKD >Et_7A_051834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25277356:25298731:-1 gene:Et_7A_051834 transcript:Et_7A_051834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKPRRTRSSIKRLPDDVVADVLRLLPPQSLAVARCFCKWWRYIIDAHLPHSVRGVFINYVDHDRPHLFARPSSSSTFPVIDGLLSFLPNVYDKINDWWTVLDHCNGLVLCNIDDESRLCVCKPRDAAVDTAYRPHGAQQRMHHLRVHRFRSHRIAALQDKPEPPSPADHTDQEDDEQKPTQTPSSADMDVKEQDEDPCRLMEWPPSPWIFKVFASRTGLWEDRSFVREGQPAGAVQDVRLDPREPKMSDGPRQRYAVYLNGGFYVHCRGSFMARFSLSNDKYQVIKMPIEKEDGKPYLGRSKNGVCFGTVDADQQLRLWMLNESCGHTEWLLICEAYLGLYAHHVASLTYNNGRELDGPWMVQEYFYDTETESNDSMECASDDDGSTEWDSDNDNILPIEASWQKFDIIGFHPYKDVVFLVGSSGVACYHFNSSKIQYLGNSRPECYEHNPPNGIYETFIYTPSVIGDLPHTLPSDGLAHVLCVLPPRTLAEARCVCKAWRDVIDSRKLLLPHLFPHSVRGVFVNYVDHDRPHLFARPSSSFPGIDGLLSFMPNDDRRDWWRVLDHCNGLVLCEIDRGSRLCVCNPATQQWTVLWSHAEVYLKNTCTYIAFDPTVSPHYEVFLIPNVPEKPTPAASAYHRKVKQVPIDGPFCLDWFFSSPEGALSIAEQTDEEDQQQPVEEQSSMDKEYKEPADDDPCRLMEWPPSPWILKVFSSRTGLWEDRLFVWEGQPTLQQKRAVAAGQKGLAMGTVEDMRLDPDEPTWRGPRQRYAMFSLSNDKYEAIKTPVENKRGVKPYLGRLKNGVYFGMAHGVALEYEADIRIYADHVASLPYDRQQEGSWMVEVYNNYETIVETEFEESSQCASNNDEYNNDMNRSEWDSDNDDIFTVEASGEKRCSGNFHILGFHPYKQVVFLVEWFRVACYHLNSSKIQYLGNSRPECYYHNHTNGIFAFSLSSGK >Et_3A_025275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28409041:28409699:-1 gene:Et_3A_025275 transcript:Et_3A_025275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GPTTIQVVLELFKFMRLVSEVVLTDQPDHFRWKWTADVVKLGLEYYKGWAFGILLRRESWSLGEFEKSGTIGTSSLEHFNRSPCRKKSSMKPINGRQRVSASYKSYLLLDGR >Et_9B_064437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15139133:15144187:-1 gene:Et_9B_064437 transcript:Et_9B_064437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKEVVKHEYLSFLGVALLSVVHNTQLVPVHPESSVKHSSKSTTSLAVAMELSSLLLLPFLLVGFLYLSMVRGNGGDTRRRRHLPPSPHALPIIGNLHQVGALPHRALRALAASTGAPDLMRLRLGQVEALVASSPAAAAALMREHDHVFATRPRFRTADILSYGSRDLVFAPHGEHWRHVRRLCSAHVLSGARSHGYNGVREREVAALVRDVAERGASASSPGGGAVVVDVSRALYGFANEVICRAVSGRRVSSSSREEEVGEGTTRRSELFRELIEENSALLGGFCVGDFFPSLSWVDDALSGAGARARRNLKRWDDLLEKVIEEHEARPRRRDDGDEEQDFVDVLLALQAAEKKQDDDGYFELTRDTIKALLADMFAAGTDTSFIALEWAMSELVRNPAAMDRLQREVRAAAAPCFASGGAVAGADALGAARTPYLRAVVKETLRLHPPAPLLVPRECMRDATVLGFHVARGTRVFVNAWAVNRDPASWRAPEEFRPERFLESEVDFRGAHFQFIPFGAGRRVCPGMQFALPTVELALANLVRLFDWELPDGAAPGELDMSDAPGLTMKRRVPLRLVAKPLGWEKHTTIHGALFLLPFLIVGSLHIRNARVNGRSTRLLPRALAVAHGAPDLLRLQLGQVPALVASSPAAAAALMREHDHVFGTRPYFRTAEILSYGFQGLVVTPHGEHWRHVRRLCSEHVLSGARSQGYKAMRERAVSDLVKTIRNTASSGEVVDVRKARRCSAFADEVICQAVSIGEGVPRRRWSKVFSWVGSAWGTDYFPALAWADELLSVAPWTNLRAAGMRYLKRWSRSRQEALRRDDNGAVEQDFVDVLVALQAQKHDGFELTMEWTPREWTPRSRRSWRTRAESPYISME >Et_1B_011938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27362809:27369272:1 gene:Et_1B_011938 transcript:Et_1B_011938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDVCLALLILLTNLEATTSIDRDHSNMRVPSMNRTYSSFMVIDPIIKASGDKSKYTQYYARYRVDTPLAGGYTGGMATLDVTSFPSIKSGEVTAALIWVSIGKYNLTGSNDIQAGWMVGPSYYRDNKTHFFVYWTADAYRSTGCFNLDCTGFVPVNDAPITPGDSLEPANGQSKISFKIFKNKDDGDWWLHFGYDINNMRPVGFWPKSLFTDLKDHADLITWGGTTMCPSGNASPPMGNGQWPGRNSASFENVQLVNTNGQGYAPPVWTLGVYAKNKKCYQASSFLDDMFYYGGPATTSIYRVDSNMRVPSMNITSSSFMGIDPIIKASGDESNLYFARYRVDTPPAGGYTGGMATLDVSSFPSIDSWAVTATVIWVSTGKNSLIGSNDIQAGWMVFPSHYGDNKTHFFVYWTADGYGSTGCFNLDCYGFVPVNDAPITPGDSLEPANGQSKISLKIFKNKEDGDWWLYFGYDKNNMKPVGFWPKGLFTNMKDHAELVSWGGTTIFENVQLVDTNGQGYVLPTWTLHVYANNKKCYQASTFLDDMFYYGGSGGCVN >Et_7B_054166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16457977:16463131:-1 gene:Et_7B_054166 transcript:Et_7B_054166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWRARRLVPLLTFVTLGMILGDSRSWPSLLLSCLLVLLLDRDRAWRVPGWRSRAESGACVWLVYARSLLEYYSTVSVPGSLLQLALLRRIDDPSHVVHVDNDPEAAALRLGYECDYLMAIARPRLQISTVVDVATGKGVKSDVRTSSGMFVNSEERKFSVIQAIEKRISVFSQIPKENGELIQVLRYEASQYYRPHHDYFSDTFNLKRGGQRVATMLMYLTDGVEGGETHFPRAGEGECSCGGKMVRGLCVKPNKGDAVLFWSMGLDGNTDPNSIHSGCPVLKGEKWSATKWMRQKMTF >Et_1B_013249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6763991:6767187:-1 gene:Et_1B_013249 transcript:Et_1B_013249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAHHHKPSSSSSAGGRSFLSGFCAAALRRKPLGASASTAASGEGLVRQLGVLELVLLGIGASIGAGIFVVTGTVARDAGPGVTISFVLAGAACVLNALCYAELASRFPAVVGGAYLYTYAAFNELTAFLVFTQLMVDYHIGAASIARSLASYFIQFVEVIPFLKGNIPNWIGHGQEFFGGVVSINILAPVLLIILTAILCRGVKESSAVNTFMTTLKIVIVIVVVFAGVFEVDVSNWSPFMPNGFKAVVTGATVVFFAYVGFDAVANSAEEAKRPQRDLPIGILGSLLACVILYVAVCLVITGMVPYTLLGEDAPLAEAFAAKGLKFVTVLISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSIFSEVHPTRHTPLHSQIWVGCVAAVMAGFFNVTMLSHILSVGTLTGYSVVSACVITLRWNDKATSRRSLGSMSIWQEGVLCLVIVALSGFVAGLCYRYNYSISVIIVAFLIAVAASFTLQFRQVYVDPPGFSCPGVPMVPIISVFFNMLLFAQLHEEAWYRFVILSLIAVGLYAGYGQYHANPFSSDHTAVDYQRVASENA >Et_1B_012448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32065757:32071178:1 gene:Et_1B_012448 transcript:Et_1B_012448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WSRGPLELDAADEPYRIGRLGAGPHAIKNRSPEKKIAMEQEQSITLSDKKKCFACDDISHSLDQCRIKHDLVSVAQLYGHATRVPFDMVHPSEEAVEKEKFYRHCLLITSNISDLDPTKVKDELQKSWKLSSAWELRRECTKKFLASFNSEDDLINCLKHPIMKKYLDDKEVTFTVTRWGEGDAKSIDLNEQWFLVCGVPKINRNWKELYQVASAFGVLIRVDEESLEVGDKEPIRLKIASRNLDDTLFSHHFVFGWSCYTCRMVTFTIEGKDINQQKKELEERIGKANLDAFNRKSEEKRNEVIEMHTGILNQGTTSENSSNTRTVNSTGNHVLDSGSDKEHRKEQVHFKTGVLLEGPEYTEESRFDGEIEEIKISAPATITTNSKKTVNNPKTEGVQSISSASMTGEVHYKGIPKPPIRHVFTRRGKKQHVSEASNMSLSNKQDQGMGPESGNYATGIGASTAFNILDYKNCSQLEHKELNMDEAARLESKKKQTSEDSSKTESAQFTRRCTKAQNSEEFTTDGDIYDSFSKMGLHENLLKGIYQFGLEKPSMVHQRGIVPLCKGLDVIQQSLFGTTVTICCGILQRLDFGSAECQALVLVPTRDIAHETEKVIQSLGQYLGVKAHACTGGTSVHAEQQILSSSVHVLVGTPGRVLDVLQRRAICPEHIRMFVLHEADELLTGGSKDQIDNIIQRLSTKVQVGIFSATFSSKALEISHTLMNKSVTIMVPRDEELKGINIDQFHVKVEKEELKLGKLCDLFDIVVGTQSIIFVSPQHKVKSLIEQIRGKGITVSASHGGMNQQARDNAIQEFRSGLSDILIATDRRGTDVMQVPIVINYDLPAE >Et_5B_045131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9817864:9820295:-1 gene:Et_5B_045131 transcript:Et_5B_045131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGARAIGSLPVANVQELATAVNRSDGQVPERYLVREDGEHVAGEDSNLAIPVIDFAKLLDPQSSEAECAKLVSACHDWGFFQLINHGVPCEVAGNLMDDVAEFFKQPLEVKNACAQQPGSLEGYGQAFVVSEDQKLDWGDMLHLLVQPVASRDLRFWPTHPASFGRSVDVYLSATAKLSCLLLEFMAKGVGAEPASLLAVFEGQPVGMKANYYPPCRQADRVVGLSSHTDATGLTLLRQKDDDVQGLQVKKDGKWFAVDVIDDAFVVNVGDALEILSNGKFKSVDHRAVVHPNKERISVAMFHHPCQDLVLGPLPEFVMEGEKVRFSTTSYQDFMMQYFTAKLDGRNHLERLKLE >Et_5A_041183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19074954:19077154:1 gene:Et_5A_041183 transcript:Et_5A_041183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGAQPYMASVPPPAAPSISLHDAELKVLELEVCLAAAAALLLFQLICGSFRRRSNNFLIQRGLWLGYTLLIPLTSYTLGLMQSSQVKTGMYQVWSLSLLLIGGGANSITAYEIDDNKQWMRRAFNVAQIFLYCLMIATQLQIQAGLCRIPIIILNFTLFAAHFYGLCASYYANDSDDSKIISDYMKYSHETTSLTSHQEYNPAFSINSCKYLVLFYGDKVGPEECILQTEHTVTLDQIWETVGTEDWFIFHGLSSKVKGAGLSYSLSHLLKCRFFGINCSDSRLLEWVLSDNNCDENMEAFRVIAVELAFLYDFFYTKYYAFFQDEAVFFQLTAVKIGCTFVLGVCMLYDPPLLMNTEGNMELPTLYKDIFITVGILGAFLVVEILQLILYLGSDWAMVSLGCGHMTARSYSQFLRFAIRKRLWLLIRPLISIHGHRNWQDKLGQYSVIEGSQFLRQSKIVTDTSIRSKFKSAAEYIRKSWANLVSTKGLHYVSLPEMLKPKIVSLLKSNSEGHVTNGNASLQRNGVFDLLSSTLQHETTQTDKMLIWHIATEYCIITLPDEAIRGNIQLQIYTQNREVATKLSRYSSYLMSEAPALLPGSSVDTKFMFDHTMYEAREALGSKHRDGAGLLKALLKSINEGTDDTIFARGLKLGKELASIEDGSHRWKVMAEFWIETILYVAPSDNAKAHMERLTQGGEFLTHLWALLTHAGILIRSTPAEGIP >Et_1A_007650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36973395:36979588:1 gene:Et_1A_007650 transcript:Et_1A_007650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMKHLLRKLHLAGAGGGAGPDQHRPRQRRSGHPPVVAAAGAPETPHPAPPPVAAVAAMEVSVPVAAAGEPRGLGAEAATTRLEEDYQVRLALAISASDHAGLVDADSVQIRAAERISLGAAAGGDRSHVEALAARYWNHSVVNYDETLSDGFYDLCGAQLHPGFQARFPSLDYLKAVPAGGDVSFLAILVNRDRDSALRRLEERAVAIAAQIRAHHGGAASAELVQKIAGLVVSAMGGLVEDADGMNSQWSMKSRQLSLQLNSVVLPLGSIRVGLSRHRSLLFKVLADQVNLPCKLVKGIYYTGTDEGAVNLVKVDFDSTEYIVDLMGAPGTLIPSDISGSQFQDSNDTQVNSDAIEESVAELCLALEQINRNDIEGSSSELEDLSQTENLVKQNVISTNGQFNISEHMKSNDVSKYIVPEVDPQLAQNLHDLLLDGGALLPTDLLSSQDSPDIHENKEIGLNPSEGKKNAGWLLVAQTSPDSKDFSEPESTRADLDFHDHVSSAISNEDQRFAQDSLVNMSGSSNGNMDKLSWSSTKTISSVMDDVAEYEIPWEDLDIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDLSGVSLEQFKCEVRIMSRLRHPNVVLFLGYVTQSPNLSILTEYLPRGSLYRLLHRPNSQIDEIRRLKMALDVAKGMNYLHASHPTIVHRDLKSPNLLVDKNWVVKVSDFGMSRLKHHTFLSSKSTAGTPEWMAPEVLRNEPSTEKCDVYSFGVILWELATMRVPWSGLNPMQVVGAVGFQNRRLEIPREVDPQVASIISSCWENDPSKRPSFSQLLSPLKQLQRLVVTESC >Et_2A_016101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21017432:21025911:1 gene:Et_2A_016101 transcript:Et_2A_016101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KLGIASECNGVGACFGKAGVSILSFHLFVPSCRESEVQQFDAVRSNTARAQSRSAGGSATEAMECTGSGTEMPLKYSSGKAFPLGVSQVDDGLNFSIFSQHASSVVLCLKLAESGTQDVDIVEFTLDHQKNKTGDIWHVLVEGLPTSGVLYGYRIDGPRGWEQGHRFDNSVILLDPYAKLVSGRKFFGVDEKSNQLFGTYDFESSPFDWGDDYRPPNLPETDLVIYEMNVRAFTADESSGLDQAVRGSYLGVIEKIPHLLELGVNAVELLPVFEFDELEFKRYPNPRDHMVNTWGYSTINFFAPMSRYASAGSGPVSASKELKQMVKALHNAGIEVILDVVYNHTNEADDANPYLTSFRGIDNKVYYMLDMNNNAQLLNFSGCGNTLNCNHPVVMELILDSLRHWVNEYHIDGFRFDLASVLCRGQNGCPLDAPPLIKEIAKDSVLSRCKIIAEPWDCGGLYLVGRFPNWDRWAEWNGQYRDDIRRYIKGDPGMKGVFATRVSGSADLYEVNQRKPHHSVNFVIAHDGFTLYDLVSYNFKHNDANGESGRDGCNDNFSWNCGVEGETNDSNVLSIRSRQMKNFHVALMISQGTPMMLMGDEYAHTRYGNNNSYGHDTCINHFQWEQLEERRNGHFRFFSEMIKFRHNHPILKRDRFLNKNDVTWHEDCWENQESKFLAFTVHNHNSGEDIYLAFNAHDYSVDTVIPPPPLHKCWNRVVDTNLESPNDIVPEGAPFTGSRYRIAPYSSILLKAKA >Et_4B_037714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22754173:22757982:-1 gene:Et_4B_037714 transcript:Et_4B_037714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKGQGAVRVDEASSASAFRELDDAFLQKQTKIWLGEVLHLRFDDDISVADLLADGELLFQVSKIIWKRLLKKNREQLKQSKVYIYDRLSFGKSNGKYMPYSKVDSFLKICQILGLAGIDLFTPSDVVEKRNVRKVCICIRSVSKRSHVMRLHVPDFDMVTYTISMPNYIVGGIRRNLEQPQYSSSGSSGYRQNDQHGDTHYDSDEAESKLSVLQPEDSVEEDTFADMLQQLGDAPKEETEGFGESRHDTHEEKSLSESVGSLNLGVVDSDSVVSTPIHNKESYCSTQSATDRCSRTRTTKSSLSSEESESISSRLAFDCGENDLQLETPVEDSEQIYDRNVKSLDDPIQGNVELFPDGSNNRSVDLQNDTRCDAVVCDRDFVCSTCEEPGNGLNCEPPNSSSGLTPRHATDGKLPMVSEDPMNNTDPRMNDTIPVTDMANDSTYLQLNSELSTEDDAGVKSVYTSEDIGKSGISPHKAEDGAPKSGKGVLKSVAGGITLVGAVFFLLHLRRNKGRSFSTVIPSLSEKSVQSESRTRNMDKGKAAAVYPGEWLK >Et_5A_041646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25455784:25461990:1 gene:Et_5A_041646 transcript:Et_5A_041646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGEGGGTGVKKVRKRQLVMESSDSEADDYCISTRQEAGASSVGNAGSGSRGDGDQSEQTAATINSGKVSGVKSSFGDGSVKNKGGVPESSSQPDPKRIRVEAVREGDDGGNKSVSKSVTGGKMLPRGFPAWRLEKPEVRAGWVLDGDGRVEMKTSSGSKMKEKVLSLDDKRGKVELQSHEKRTPLKTERGKSVDSGNQDVIIVQGKKGLLKIMPKNNKTIIDNIDNKILSNNTEGDEKAVKITMPTKRGVLKILPKKNNMVSETSDGKVLPKNSKVDGETRDGRILAKKTKVDEETSDGKVLTSKTKLEGEFGGDNVARKKSSIDSKTVAGKFLPKNRKADGESSDRYKGREEKSAALAELPKQDTNGQKGVMGKLVSPIMLRKSDPSVVGLKISQNHSKPSLSRKDEISKEDKHKKLKKRFLEHKGSPDNLSKKAKSEVNDLQGTSGTPNKHKMKKPRGGPRNKLKQDIRNQIKNVLLGNGWKIELRSRRNKDYEDSVYISPQGTGYWSITKAYAVFQEQFQNQHDCSSKLSNTELDAFNAISKDDLAMLKKNIVKRRTKHEIDDAEKKPGDSSSRNPKAILASSRNKHQNKDRVKASHRSCGLRVRGSTHNMEDNMDGYFPYKWKRTIYSWMIDLGVVSEDSKVKYMNNKGTRAMLEGKITREGIYCGCCSKILTVAKFELHAGSKEQQPYAHIFLEDGRVSLLQCLLDAWKKHTQYGKKGFYKIDPGDDPDDDTCAICGDGGDLVCCDHCTSTFHLDCLGIKIENVCLLENGIVAAVHVGSVALLKKIHRLPNYFLVFNVQGNITKLVHPEREGTPNLYLPALVVLFLPTLLAVFHKACPCTCYTLFLLMIYLDHGSAADRLVTGSKRLRLHNFIYWDTKPAPYVEMTQIYKRLNKLLGVKNNMESGFSWSLVRCFADGQAIAPQKKAQSAHCNSKTALAFSIMEECFRPHIDERSGINMIHNVVYNCGSDFSRLDFSGFYTFILEWGDEVISAASVRIHGTDIAEIPFIGTRGMYRHQGMCRRLLNAIESALGLLNVRKLVIPAIPELENTWTSVFGFKPVDPSKKQRIKLVNLLIINGTGLLEKRLLPTGTVDGQTTAMPGLETILRTLSIILLLRQCCRYKPQQCSFVHAANAVGCDNTEAQMFHEARGSLTPVHVSRDPDVCNDHEIKYHENPRPSTDHSAGLISENLPPAAEETEGTVEKTSPASVGDVKLNTLPGVDCEDNMQSKSDADDIQEGKFTETNGKLVAENTVAEQNHEDKPISSHINSLAIHVTVDSCSRSHNETGKGENDPSSELSAEAALITDKTDSNLNINCQSAHSANKGGTCVVPVGVPSVTMDGRPDNHDLKTMVADGHTQSSTEAKGLNDITNIVIGTSVDAYRDKSTGKVTSAPAVSMNGYVQEKDTMEGKIGPFSPQLECSSMSKDTMENLNESKLIETDMVEMNDLTIKVGTGEGSNDAGITTPTLDISNVVCGEVMAKPSQTCGEGQLNVDDGIRSNGMEKDLAYKEP >Et_3A_025952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34206034:34209391:1 gene:Et_3A_025952 transcript:Et_3A_025952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKGLSSDAIRVSTSSAPSTSSHGSAQDDYDSSGDVYVWGEVICDNSVRAGPDTVIRSTGKTDFLLPKPLESKLVLDVSHVDCGVKHAALVTKNGEVFTWGEESGGRLGHGSREDTVHPRLVESLAICNVDIVACGEFHTCAVTKTGELYTWGDGTHNIGLLGNGTDVSHWIPKRIAGTLDGLQVDYVSCGTWHTALITSRGQLFTFGDGTFGVLGHGNRESISCPREVESLSGLKTIAVACGVWHTAAVVEVIVTQSSSSISSGKLFTWGDGDKHRLGHGDKEPRLKPTIACGHSLTVGLTTSGQVLSMGNIVYGQLGNPRSDVLTNKSEVFTWGKGANGRLGHGDIEDRKVPTLVEALRDRAVRHIACGSNFTAAICQHKWVSGAEQSQCASCRQPFGFTRKRHNCHNCGLVHCNACTSRKALRVALAPNPAKPYRVCDSCFMKLNSASYSNAITKRKETVPRHSGESNPDAKLAKAIVPSNLDMIRSLDSKAAKQGKKTDALSFLRTPQMSSLLQLRDIALSGGIDLNKSVPRAVRTSAVRSLNSSRAVSPFSRRPSPPRSTTPVPTTHGLSIAKTGTDNISKTNEILAQEVERLRAQVDNWRHRCELQELELQKSAKKVQEAMALVAEESAKSKAAKEVIKSLTAQLKDMAERLPPDQGAYDGSEAKPSHIPNGIEMHGSIYSSLNGIHQPRNESISALSTPSLNVGRPLHSNGIPSLHKSSGSISENSEVSAHSHRVSGHHDAENSNRRAHISSDEMLNASSRAEDSSKDVRSLLNGEDGYKSRSAISLPSNHVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAENWWNENRDKVYEKYNVRSSERVSSASSTRSGR >Et_4B_036261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13633483:13633926:-1 gene:Et_4B_036261 transcript:Et_4B_036261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLHLYIHETFTGANATAVTVSGSPLGGNASFGSVGAFDDALREGSDAASQLLGRAEGALVQADLGSPAAEGDYAGSTLAVTGRADLGGGVVERGVAGGTGKFRRARGYSLMTKFGNPTPSTVVFELDLYVKMTGV >Et_1B_012936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4184057:4187931:-1 gene:Et_1B_012936 transcript:Et_1B_012936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGGSEKGRVSVAALLVAALLLGALGPASASSYPQRVVSGFLSNAASSVAKRLWSLKPTTKTASGSKSMVKYEGGYTVETVFDGSKLGIEPYSVEVTQGGELLVMDSMNSNIYRMALPLSRYSRPKLVAGSPEGFPGHVDGKLREARMNHPKGFTVDDRGNIYVADAMNMAIRKISDTGVTTIAGGKSGRGGHVDGPSDEAKFSTDFEIRYIGSSCSLLVIDRGNQAIREIQLHFDDCVYQYEAGFPLGIALLLAAAFFGYMLALLQRRVLGMVSTEDEQQPQSPVKANLSSIPPPYQKPLKPSLRPPLIPNEEEPVKQEDEEGFFSSIGKLIVGAKSSVAETKLLASARKLCHPARRDTSTIGYKNTDPSQELRLHEGDMNRRAVEINAVNYGDSPYEQNGLRYRSSYMGYNGNNQ >Et_5A_041625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25111795:25115817:-1 gene:Et_5A_041625 transcript:Et_5A_041625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >Et_10B_003547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:335873:337522:-1 gene:Et_10B_003547 transcript:Et_10B_003547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKSAVKRGPWSAEEDARLRSYMERHGGGGSWLALPRKAGLRRCGKSCRLRWLNYLRPGLRRGGFTPEEDRLICALYAAVGSRWSFIAAHLPGRTDNGVKNYWNTRLKKKLFAGGRRGGHRHDDANAGNVEDAPPPPPLPLPPAGCSSQPYAYGAMGCVGDGGDVLHMHVDAGGVFTDMITGTNAAAVNQYVDNSYSSVPAATSELDEIFRSIGTRGGEHSDLSQSSSTDMVALNWNHFPSQQSVPQNHLGIPDLYRSTLSMIGEGDSKKLLL >Et_1B_010087.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:23177918:23178277:-1 gene:Et_1B_010087 transcript:Et_1B_010087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAGAAHPRAAGRRLGHRPPLRLPPGVQALHPQLRAPPRPRRRRRHPPRPPARGQRHLGPPRQHQHRAPRPPRRRRQGLRLLHHRRRAPPAQLPLRHHRLRARRPRDLHRRARVLHR >Et_7A_051938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:379064:384396:1 gene:Et_7A_051938 transcript:Et_7A_051938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLSPEGLTAATPAHSLFLCWFDDTPTLGAQGEAPALTGGIGPGGAAESCIKEYISRKCSPVLIGMIYDESTLIWVSNGKEKTLKIASVSKILSGQRTLVFQRFLLPEKDHLSFSLIYNDGKRSLDLICKDKVEAEAWFTALNALISPGPHLSQPQRVDALRSAGFSFECGRDSSLSNSSTLTSDSLENKLSSANSTKDRSSGEYTYSERTDVSDMQVKSVSASDIRISISSALSTSSQGSGGDDSESFGDVYVWGEVICDISSRSGSDKNACSPGTTSDVLVPKPLESNVMLDVSYVACGVKHAALVTRQSEVFTWGEECSGRLGHGVGTNVFQPRLVESLSICNVEVIACGEFHTCAVTATGDLYTWGDGTHNAGLLGHGSNMSHWIPKRVSGPLDGLPVSTVSCGTWHTALITSSGQLYTFGDGTFGVLGHGNRESTSYPKEVESLKGLRTISVSCGVWHTAAVVEVMISQSNASSGKLFTWGDGDKYRLGHGDRSSKLKPTCVPSLIDYNFHKTACGHTLTIGLTTSGHIFTVGSSVYGQLGNPNNDGRYPRLVEEKLGGGGVVEVACGSYHVAVLTNTGEVYTWGKGANGRLGHGDIADSKVPTLVEALRDRSVKRIACGSSFTAAICQHKWVSGMEQSQCAACRQPFGFTRKRHNCYNCGFVHCHACSSKKALRAALSPNPGKPYRVCDSCYQKLSKVLDSCSSKKVALSSSSEMIKNLDVKAAKQTKKSDNHLQAPAVLQLKDIPFISTPDLQNFSTVTNRDPNDPRSTFPFLRMPYLNYSSSLSSESFENLRDANELLKQEVQKLQAEAPREFLYLSLDQVNSLRQQREQQDAELQKSKSKAHEAMTLATEEASKLKAAKDVIKSLTAQLEMAERPPPGTRDVTHARSASTLPPETGRESHMRYDSGSVPYPQTPTSAPSARFGGIPAHFRQASDHNETSMTPLESRGEHINGIKDFSSVQQMTNGGPIGYRYRPDDPDRRETERFQININGLNMRSSGSPNSQVEAEWIEQYEPGVYLTLVSLRDGTKELKRVRFSRRRFGEHQAESWWNDNRDKVYEKYNVCGTDRLSSVMS >Et_7B_055321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9333914:9342035:-1 gene:Et_7B_055321 transcript:Et_7B_055321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKPLLPTRGPPSTPPPLLLLRRLLPRRRAPSSPPPPPARRRPAMTRDSVAAAAVSFRVGMVRVVSFLVGGLNLAVLLLGLYLIDAVLPPGCRGAHAFAAEPSLAAIRVLAMVGAARAQHATADAIARRHLHEADASVAADAVARHDIRVRYKRWLWWTRFGMAVGALQLVAAIYLMFVIVRDLSKEIRSTSCFFGQDEAAKVSGRALIALFLILSWIVVIVQCFTGSDILRWRSFYATHDMAWKAHYREVFDHGIREALCCLGRAKYLTVLEEDEVYSVARLLGDLVAYRASGTGHLEFLAGLALLQKHGTLPDLQTDLMEAPYELMQEAATLHPFAEACYTGPLLDFGRNPILFPCAWVYRQGALTPWARRRRPALDGDNWWRGHAAAFLRFVNIPPKALLRGRVCQSKREAAYFVVVLHDKKTAVIAVRGTETPEDLITDGLCRECAFTMEDLDGLVNSEQLPAATRERVISTFPHYGHGGIVEAARELFMQLNDCSRGNTSCGQVGFLSTLLGVGSECHGYKVRLVGHSLGGAIATVLGMMLFGKYPDVHVYAYGPLPCVDFVIAEACSHFVTSIVCHDEFSSRLSINSILRLRSAAIKALSDNSPADTAMIQKLARRILNVNRFHENGVNSGMIEGCADDTRMAEPSVSSQGQFRHRGSLCSTEPDLQNIHNGFVGSSASIDEHRSGEGPSSNPDLHIISLNGPDAGFTEHPTSYTEIPVEPPEMFLPGSIIHIVRQRRSLFPLWKCWNLQEIGPPYKAFLAKRENFRDLAITPSMFTDHLPWR >Et_9A_061707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15599919:15600403:1 gene:Et_9A_061707 transcript:Et_9A_061707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKDMARADAERVPPAWLRALLDATFFEECPEQPGTSRAIWSGGCNLFCTNCTGRPSAPSASPASTMATNSSRCMGRLQVVQAQRCQGKRLRALLELWKSGRRGGRCNRTPSTGSFSHANLDVHQSVPVSHRYI >Et_6A_047598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:820200:822072:1 gene:Et_6A_047598 transcript:Et_6A_047598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQNCLPESCCFHGLSWVQRRAADAWGLLRPPPLACLPPHSASSLPQAPAALARRLVLFASAAASPEMEAPYKFGPYKIDDREVFHATPLSYSMVNLRPLLPVKRFADLSSDETSDLWITAKEVGARLQQYHKASSLTFAIQDGPQAGQTVPHVHIHVIPRRTGDFEKNDEIYDAIDMKEKELKEKLDLDIERKDRTMEEMAHEANEYRALFS >Et_8B_059751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2317642:2319783:-1 gene:Et_8B_059751 transcript:Et_8B_059751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSGGATSQAYGEAWYWDERYRKEAGPFDWYQKYPALAPLLRLYVATHQRLLLVGCGNSVFGENMVDDGYQDVVNIDISSVVIDQMKKKYQDKPQLKYMKMDVKNMSDFQSGSFDAVIDKGTLDSIMCGQNSQENATKMLEEVNRILSDNGVYILITYGDPSYRLRILKDMQHWSVKLHVIDRWERSSNQNKWELTKPLPLNDDSTSIIELLGPKPDVHYIYVCVKVSHQQTHCVRNLFYELTFSSFVFCLWMNHCRETMVQGWILKLTKLLIDVTL >Et_3A_026220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5701944:5704189:1 gene:Et_3A_026220 transcript:Et_3A_026220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPLCAASCTTPLRAGPPLLRFRRPAAQASASLTRAAPAVSDDLVLRIAEQLEDSVTSSSPLLDPLRSASSLSLLSTPWPTRRSSEAFRFTDISYLRSLPISLPSRAPDLAPPASPYASHVHFADGILVSAAGAHVSSLADLPPGRARDRAAAALAASVEFAHKDIFYDFNAVGVRDVVVVHVPEGVKATDDPVHIMFTYTNSAGGSMLMSNPRVLVVAEKESEVAIAEEHFGAGEEGGCYWANPVVEIVVDEGARVVHSYVQQQSFAAAHTKWTVVKQDTSSKYEFVEVSTGAKLNRHNLHIQQLGPETETEMSTFHLTSQDKQIHDLHSRLILDHPRGHSQQLHKCIACGSGNSIFDGNIKVNRYAQQTDAGQETKCLVLSPKALVNVKPNLQIIADDVRCTHGAAISGELDPNELFYFQARGINSRTATDALLYFFGAHVIKRIPFKPVTEKTLAQFKDLLASSRQLTDGPLLS >Et_9B_066183.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:604266:608667:-1 gene:Et_9B_066183 transcript:Et_9B_066183.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGAKISSDSPSRSAVSASGAISKFASRNGAALSGFSSRASSASMLPTPRSEDEILESANVKAFSFNELKVATRNFRPDSVLGEGGFGSVFKGWIDEKTLAPTRPGTGMVIAVKKLNQEGYQGHKEWLTEVNYLGQLSHPYLVRLVGYCLEDEQRLLVYEFMPRGSLENHLFRRSSHFQPLSWNLRMKIALGAAKGLAFLHSDEAKVIYRDFKTSNILLDANFNAKLSDFGLAKDGPIGDKSHVSTRVMGTHGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRALDKNRPNGEHNLVEWARPYLRSKRRIFRILDPRLGGQYSLPRAQKAAALAMQCLSVECRLRPSMDEVVKALEQLQDTKEVGNPQLQKKPSSRSLGNNGLKASTRGKAASSPKPLQVFAKYSMELAPYKRYLAPNVSPKARVKAYRLGIRKAELPKRSNNIQMLDNAINIDWSSCPTPKGRRKHKTVS >Et_2B_020698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22884705:22886893:1 gene:Et_2B_020698 transcript:Et_2B_020698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVESGPSGWPEMADVVPVPQDDGPSPVVPIEYRDEFREVMDYFRALYFAGERSERALRITVEAIELNAGNYTVWHFRRLILEALNSDLLKELNFVNEIAECNPKNYQIWHHKRWLAEKLGPDVANNEHEFTMKILAIDAKNYHAWSHRQWVLQALGGWEGELQYCNQLLQEDIFNNSAWNHRYLVITRSPLLGGITAMRDSEVDYTVEAILSNPQNESPWRYLKGLYKGGNNLLVADERISGVCLKVLKNDWCCVFALSLLLDLLRSGLQPSDELTGTIEAMKNSDPEMADADLAMTVCSILQKCDPLRINYWSWYKTTLSSQT >Et_3B_029031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21532287:21534931:1 gene:Et_3B_029031 transcript:Et_3B_029031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLTPAISGSRLLLLDPAMGFGPSRLVMAPIGVAGARLPAGVHAVEGIVSLPASTTGTRFRSEFPLSVTSSRRPSVVVGALPTGGRATGFLVAPAAAGAGIRVDSAIAAAIGGSSSTTGRRGVFTIGAAPRDLYPDEDDDDDDYVRAIKLARLSDEVLRSKQHITAWLDLARLQTTFEVAFDADVLKSGRDLRRVLDAIGEINITAPSLPFAFELCLDRIRAIIGSEFLGKLRGALTPEDDDQFGWSALAAQSVIEGIEDLMCLKVVCDLSTIIESTKFRRPQNSIRYRLLEAHALALEHTCAIAVDVYEEALQKVPEERWTQLRQQLRNRRITLFEQDTAVMEYITAIGLEDTEKRWENIGCHVTAIKSSEH >Et_1A_006658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25854917:25858032:1 gene:Et_1A_006658 transcript:Et_1A_006658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METKDVAPLPAAPAAGAAPAPASQPPPSASMAPPPPPPQQPQPQHQHQQPPSPFAQQAAPMPGGMRLSFDPTMAGKAPGEQQQHHHHHAAPMLYAPPPPQPQAGGNVLGMGEMMRKKRGRPRNPPSDPNAKRRGRPPGSGKKKQFEALGSWGIAFTPHILTVKAGEDVASKIMTFSQQGPRTVCILSANGAISNVTLRQPATSGGLVTYEGRFEIISLSGSFLLAEDGDTRSRTGGLSVALAGSDGRVLGGCVAGMLLAATPVQVVVASFIAEGKKSKPAEARKVEPMSAPPQMAAFVPAPVATSPPSEGTSSGSSDDSGSPINHTAMPYNHSGQHQPPHQHQHMPPAYASGGWSLSAHQQNRHDSDMKMMSN >Et_4B_038283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27867491:27873753:-1 gene:Et_4B_038283 transcript:Et_4B_038283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTAPAAAANLAGKSGVRVVVIGDPGTGKSSLIISLATEQFPENVPGVMPPTRLPADYFPDRVPITIIDTSSSPEHKAKLIAECQAADAVVLTYACDRPSTLERLSSFWLPELRRLQLKAPVIVVGCKLDLRDEQQVSLEQVMAPIMQSFREIETCIECSALRQIQVPEVFYYAQKAVLHPTAPLFDQELQSLKPRCVRALKRIFIMCDHDKDGALSDVELNEFQVKCFSAPLQPTEISGVKRVVQEKMPEGVNENGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYDNDLKLRDDLIAAPIKRAPDQTLEMTSEVVDFLRGIFSMFDIDNDGALLPAELDDLFSTAPENPWSSDPYKDCAETNVLGGLSLEGFLSKWALMTLLDPANSFANLVYVGYPGDFGSAFTITRKRRVDRKKRQTQRNVFQCYVFGARASGKTALLQSFIGRQQSDAVPSNSERFATNTVELPDGTRKTLILREIPEGDVRSLLANKESLAPCDVAVFVYDSCDEYSWQRARDLLVQVATHGENTGYEIPCLIVAAKDDLDQSSQALQESTRVSQDMGIETPIPISVRLRDLNNIFCRIVHTAQQPHLSIPETEAGKTRRQYRQLLNRSLMVVSVGAAVAVVGIAAYRVYAARKNTSS >Et_4B_040017.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7852101:7855303:-1 gene:Et_4B_040017 transcript:Et_4B_040017.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTIRKALGAVKDQTSIGLAKVTSNIAPELDVLIVKATSHDDEPAEERHIREILHLTNGSRAHVAAAVAGCSRRLSRTRDYIVALKVLMLVHRLLADGDPSFHRELLHATRRGTRLLNLADFRDEAHSGSWDHSAFVRTYALYLDQRLEFYLHERKQGSNAGSSANGPSPRDRDRWGSPDPYGRRSPSYSSPPGYGGYDDYRERNGGNADDKKPPTPVRDMKAERVLARMHHLQQLLDRFLACRPTGGAKHSRMVLVALYQVVRESFQIYADVCEVLAVLLDRFFDMEYAECVKAFEAYASAAKQIDELCAFYAWCKDTGVARSSEYPEVQRVTDKLLETLEEFMRDRAKRPKSPPREPESEPVKEEPEPDMNEIKALPAPEDFKEPEPEKVEEEVKPEPPPQPQGDLVDLREDTVSADEQGNRLALALFQGPPAAGGSNGSWEAFPSNGGNEVTSAWQNPAAEPGKADWELALVETASNLSKQKPAMSGGMDPLLLNGMYDQGVVRQHVSAQVTTGSASSVALPAPGQKTQVLALPAPDGSMQTVGGDPFAASLAIPPPSYVQMAELEKKQQFLTQEQMMWQQYQREGMQGQATMAKLDRAYNNGFAPNPAMPYGMPAAYNTNPMPMAYPGNGCPPDFAAL >Et_3B_028915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20326431:20330942:-1 gene:Et_3B_028915 transcript:Et_3B_028915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLALLHARPALPARAGLRLPLPRPRASLSPAAKPAALHSPLLASSAPLLPRRDAVLGQYGLLKRRAAGGTGDVSCGAHAAAAAAAAVPAPLPEEGGRKFLGIDVKTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSREALFYTVIFPFIAFFGAFAFVLYPLRDVIHPTALADRLLAALGPSFLGPVAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVDEAKEFYPLFGLGANIALIFSGRTVKYFSNLRKTLGPGVDGWEVSLKGMMSIVVILGLVISSIYWGVNKFVLNDPSLPKSDRKKKKEKPKLGMKESLKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRIILRKFGWGVAAKITPTVLLLTGVGFFSLILFGQPLTPMLATMGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGILLVIVLAWLGAASSLDKQFTSLAKEDLKKEKAAKEQVEPTLLKAPVEGTDGLAEQTNGSLTSETTGTESSPSNSSPIQ >Et_3A_024443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20861595:20867377:-1 gene:Et_3A_024443 transcript:Et_3A_024443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESGYLPRSFPPKFDASYERGVKDVVYKRILQRWDRIINFNFIKILELHELINKPILWFLMEASSCLRYQIGGFGLPRIWLCLFLVLVLQNCGPALSASPYLVGMGSYDITGPAADVNMMGYANAEQIASGIHFRLKARAFIVAEPNGKRVVFVNLDACMASQLVTIKVLERLQARYGDLYNENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVGGIEQAIVEAHNNIRPGKIYVNKGDLLDAGVNRSPSAYLNNPPEERSKYEYNVDKEMTLIKLVADEVGLIGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEQNVIPKQEESFHKTSGLPRRVSSIIPEPNEITDDLIQLASSYEASGGRRLSGSSITRLIRSTRQDKPKFVSAFCQSNCGDVSPNVLGTFCIDTGLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRIIGNRQFLKAVDLFNSASEELQGKIDYRHTYLDFSQLEVNIPTSSGGQQVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDTKGNPFWRLVRNVLKPPGPEQVKCQAPKPILLDTGEMKEPYDWAPAILPIQIIRIGQLVILCVPGEFTTMAGRRLRDAVKAVLTSDKSGEFNNNIHVVLAGLTNTYSQYVTTFEEYEIQRYEGASTLYGPHTLSAYIQEFQKLATAMVANKEVPTNFQPPDLLDKQIGLLPGVMFDSTPPGVKFGDVSSDVPANSTFRKGSTVNATFYTACPRNDLLTEGTFALIEKLEGSNNWIPAYDDDDWSLRFKWSRPLRLSPRSFATLEWTIPEDAPSGVYRFRHFGASKALFGSIKHFTGTSRAFAVR >Et_2A_015011.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:26073354:26073461:1 gene:Et_2A_015011 transcript:Et_2A_015011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQRLLQDCKKKLIYGDVACRVRMSMYLTRGVNM >Et_6B_048767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13446653:13449752:1 gene:Et_6B_048767 transcript:Et_6B_048767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGACAALRVDTEAARARVDGWEVDDRVEEEPVSPPGRLFREPHFRSYIVCVLGLGEPVDLPATRAGVAATLARHPRFCSVQVLDEQDKDAKPKWVRTTVNLDDHIIVPTLDPKATTADPNMALEDYVASLSMRPMDHSRPLWELHVLDFPTTESAAALAFRMHHSLGDGVSLLSLLMACTRRVADPDALPSLPPAGRAGPLYALPRPERAGLGLAALALWALSLLVLAWHTVVDVACFVATAASLVGDARTVLKGAEGTEFRPKRFVNCTLSLDDVKYVKNAMGCTVNDVFLVITSAALSRYHFRRTGESGRNSTITVRSALIVNLRPTPGLHTLASMMEPGKANTARWGNLVGYMIIPFHLAKHDDPIEYVLKAKQVARRKKNSMESIFTHWSADLIVKLFGAKAAAALCYGMFTHTTLTFSNMVGPTEQVQFCGNPIVYIAPGIYGHPHALTVHYQSYMNTVKLVLSLDEEQFPDSHQFLQDFAESLRMIRHAASRISNQAQDAGLGTT >Et_4B_037936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2502269:2505319:1 gene:Et_4B_037936 transcript:Et_4B_037936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWDNVGDVANIVQLTGLDATRLIALIVKAASTARMHKRNCRRFAQHLKLIGGLLEQLRVSELRKYPETREPLEQLEDALRRGYLLVNSCQDRSYLYLLAMGWNIVYQFRKAQSEIDNYLRLVPLITLVDNARIRDRLDSIERDQCEYSFDEEDKKVQDALLNPDPCTNPTIVLKKTLSCSYPNLPFNEALRKESEKLQVELQRSQSTMDMGSCEVIQHLIGVTKTVASTIPEEDTNDKVSGKKDSKYTEYNEESAKSYADDDYPKKQKDTYTAPRCSSPVSYGHDGSQRDEWHADLLGCCSEPALCLKTLFFPCGTFARVASVAKNRPMSSSEACNDIMAYSLILSCCCYTCCVRRKLRQKLNITGGCLDDFLSHLMCCCCALVQEWREVEIRGAYGDKTATIPPPCQYMEH >Et_5A_040675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1169841:1175925:-1 gene:Et_5A_040675 transcript:Et_5A_040675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTSELLRPVDAAHALDEVALLRYAAANVPGFPAPATALTLTQFGHGQSNPTYCVEVSAPGGETRRYVLRKKPPGAILQSAHAVEREFQVLKALGAYTDVPVPKVYCLCTDATVIGTPFYIMEYLEGLIYSDNKLEGVAPDKRRTVYFSAAKTLASIHKIDVNAVGLQKYGRRDNYCKRQVERWERQYLASTGEGKPARYQRMLDLARWLKEHVPVEDSSAGLGTGLVHGDYRVDNLVFHPTEDRVIGVLDWELSTLGNQMCDVAYSCLAYLVDATPVENSSYRGFEQTGIPDGIPQLEEYLAVYCSLSGRPWPAAEWKFYVAFSLFRGASIYAGVYHRWTMGNASGGERARLAGRIANIMVDCAWDFINRKNVLREQPGRGIAAKASWQELHGEQEGSTSAKEQGKFVPSEKVTQLRKKLLRFIEDHIYPMEGEFYKHAQSTSRWTIHPEEENLKALAKKEGLWNLFIPLDSAARARKLLYEDRSLASPGSSNDLLLGAGLTNLEYGYLCEIMGRSVWAPQIFNCGAPDTGNMEVLLRYGTKEQQKQWLVPLLEGKIRSGFAMTEPHVASSDATNIECSISRQGDFYVINGRKWWTSGAMDPRCKILILMGKTHFSAPKHKQQSMILVDINTPGVQIKRPLLVFGFDDAPHGHAEITFENVRVPVTNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMNLMVERALSRTTFGKRIAQHGSFQSDLAKCRIELEQARLLVLEAADQLDRHGNKKARGILAMAKVAAPNMALKVLDMAMQVHGAAGVSSDTVLSHLWATARTLRIADGPDEVHLGTIAKLELQRARL >Et_3A_024561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2258937:2261761:-1 gene:Et_3A_024561 transcript:Et_3A_024561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGVGGLRWTAEEASTIGGIATVSLLHSFIPTHWLPFSIVARAQRWSLSRTLLVTAFGAVLHVVSTALLGITAVTMANTIAGEETVHKLASLLLIFLGVGYIVLFVMGKGGHSHAHNHPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMILAIIVLLFSTITVMTSLVALSFYGASQIKFHWVERYDKILVGTVLCLVGVLTYVFHHHDGDEHSLHAHVHRKLVSP >Et_5A_040654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10882850:10893082:1 gene:Et_5A_040654 transcript:Et_5A_040654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPPSSAASDLYETASQPDPATAAGDAYTFLEFNTQGDDFDYPDFPELSQPPARSTPLPPAPAPGPAAASASSWPAPPPPPPDAGSPDADLAPQDTPTRPGSSSSPSPRSASKARSSAADGLAAGVAALSFEEPPGAGAGEDGYDYGKGDFVEHACRYCGIHNPACVARCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNIPSEQEQLRARQISAQQINKVEELWKTNPDAALEDLEKPGVDDEPQPVVLKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTVRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYPGDSSHPTWQSVGHVIKLTAQEEVALELRASQGVPTELNVGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEHQIIRNTLPRRFGAPGLPELNASQVLAVKSVLQKPVSLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKQLKDEQGELSSSDEKKYKALKRATEREILQSADVICCTCVGAGDPRLSNFRFRQVLIDESTQSTEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVILGVKPFRLQVQYRMHPCLSEFPSNCFYEGTLQNGVTVNERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFLGGGQGLMHGSNFGAAGSNAAADKRSGRGKGQSFVPFGPPNGTHKPGVHPAGYPVPRMPFPPFPAGPHSQPYAIPTRGSMHGPIGAVPPVPQPGGRNFGGPRSSTGGPIGGHLAQQNSQQAMGGMGSAYNFTGLENPSSQPSAGGQMSQTGLMTQDFFGDDFKSQGSHVPYNIADFSTQASQGGYGVEFTQAPQSGYSGNYLNQNAHPGYSHMGTTNDIVSQDHMAHGSHAMFTQAGYNDPSQDESSQMHYGMAPPGTLQSQSMMNPMYSQSYAHYNTQPQSLQPPPQ >Et_10B_003568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3234277:3234678:1 gene:Et_10B_003568 transcript:Et_10B_003568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRTVLAAVRRPAPAPAAARLRAPPPFAAPRRRVPAAFTASSPTAAARPLAAMMGSPVTVASVMARLTAHPGASARACCELSQGTSFSRTCQDR >Et_8A_056819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17366431:17374950:1 gene:Et_8A_056819 transcript:Et_8A_056819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHGHLPHEMSMPMPHLGADDAAAAAAAAAAAADAARSKAEVLAHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQGVLAKYSGLAAAGAGDDGRELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQNLQSLTGASPGEGTGATMSDGEDDQADSEANMYDPSLDGADSMGFGLPTESERSLMERVRQELKHELKQGYKEKLIDIREEILRKRRAGKLPGDTTSTLKAWWQSHAKWPYPTEEDKALLVQETGLQLKQINNWFINQRKRNWHSNPSSSSNVKSKRKRYKIRLDLLLYNLKFHRSILIRCTPAEVQGTPAGSDQECRDHLCGLAGQLVEME >Et_2B_021190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27316089:27320438:1 gene:Et_2B_021190 transcript:Et_2B_021190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFVNFVIRPPRCGCNLELTNERSQTLKCSHYIPAVIPENTALPCVIYCHGNSGCRADANEAAVILLPSNITLFTLDFAGSGLSDGEYVSLGWHEKQDLKCVVSFLRNDKQVSCIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLYDLMMELVEVYKIRVPKFTVKMAVQYMRHVIQKRAKFDIMDLNVVQFAPKTFIPALFGHASNDMFIQPHHTDRIHQAYAGDKNLIKFDGDHNSARPQFYYDSVSIFFYNVLHPPQFPSAPSNKLDKYYNLGAFKAGAGTNESLLYEIINGLRAAGSDPGSSSTATANFTNATKSVVELLTERVNQLSVKNDNDLDFLLDENHNLTEMDGNTADSLEDKTNRQNEECCSYTSSNRESWGRCSSLGAASDGSSSGERPRIPNQKHKSMTLRALATPLRRIRRKPLAIPKEKKNRSLWKRLKKERQEMGENLSQRLRLCLQGQAQHKRTKSS >Et_1A_005432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10331109:10336498:1 gene:Et_1A_005432 transcript:Et_1A_005432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRLGEEGLNRLKRKLSYGAEDFVTSPFRVKLLPLHTPLKKLVVLTMKTKTNRSLQKSGRGSRVQGEGPNWVLVAGGVLLSTLSVRLGCKLKQLFDAKQQNNASEAKRRPGACELHSNLYRFSDQTGCYYCTSGLADGLEVKQAPASPIPKSVEPSLPLVKVPGPESSKENSGVMWISSPDRLEDPRRPFQYSNSSGSPCVSESGSDIYSKREVIQKLRQQLKKRDEMIMEMQAQIADLKSSLNIQSDATNGHSNGYADGSVDDPELHSVGIEKRKGEVERAEMLKKEVVELKEVIEGKDFVIQSYKEQKVELCSKIRELQERLSAQVPNIFLLA >Et_2A_017704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5319147:5321383:1 gene:Et_2A_017704 transcript:Et_2A_017704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPLPPPAQPPAPPGADGAAALPPPPGTDMTGICFRDQLWLNTYPLDRNLVFDYFALSPFYDITCNNESLRSRQIHPLDMSHLTKMTGTEYLLTDVMEPHLFVIRKQRRENPEKSTAMLAYYILDGSIYQAPQLCNVFASRISRAMHHISKAFTAASSKLEKIGNVETESDAATSESKTQKEAIDMKELKRIDHILSSLKRRLPAAPPPPPFPEGYVPPSSEQEKAPDDMLASEALPPQIDPIIDQGPAKKPRFQ >Et_10B_002760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10663208:10667210:-1 gene:Et_10B_002760 transcript:Et_10B_002760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQVKPSDVPPNTIDNQDSAATPPITATDPVPLAASTDSSSQVAGASPAAISAPTAAIPVNDAAGQECQHPCFQHLNLKIPQPSSSQESPTGKNTFARLTSGLGLRLSPKAAHQSIHSLPEDFWFSAPKKGIMVFALPGRPCLAEVAGIFQSLFMIVNFYWSDPCINNEFIFRDPSLLLFWLNTTMTENRVTLNPTDLDDFDNRNLPSPGFQVEVVLVDYDGSQPPKPKPAAGPAANKSGVDSSPSIIAEENNPAPAESKKGAGSNDKDEFFSDSEGEDGPSKGRKQDANSQGSASAVKPSETSVVQDITTAASKVEKVAITSDQGIAKVSDATSLKTKGSSTITAAPPVESSSSSEFRAIEADALVFSFGDEDDYEISLSQERKHHTTFSLDDAKKRKKKQAFLVDK >Et_6B_048870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14873572:14875094:1 gene:Et_6B_048870 transcript:Et_6B_048870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVHGDWSCLPEDLILIIMRALAIPDLFRAGAVCASWRAACADVRRVRLALRDRHVIGSGHRWVVIAAAEAPNFQALNPLTGAQVDLPPVTGLRSLSPCPLQQKFPHSPLMLRISTYLAYLSCNPAVGSACAVLLVHQCSGHLYFAHVGQDRWTHISRRPTLPVDSFFHGAFYVLRDSGCVYALDLNGPSPPVARAILSGRGVAARISLPKFSWGDIVLAPWGDILQVWRSKRRLPGAVLVDDPEAYTDSILLFKVDVDDQKLVKVSARDLRDHALFLGFNASMCLSTKDFPGMKPNCAYVTTESWRQICLSKFGSREVGIWNFETRTLESLGKVQSDHPWLNWPSPIWITPSLNWHGASNLLFFFKKNQTIVDGTIQKIFLLEKM >Et_4B_039175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8487250:8488043:-1 gene:Et_4B_039175 transcript:Et_4B_039175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNAGTGTATAQQCYYRSESPPSRVSSCSPPPPPAAQQLVGNVAPTVVMSPCAACKILRRRCADGCVLAPYFPPTEPAKFTTAHRVFGASNIIKLLQDLPESSRADAVSSMVYEAEARLRDPVYGCAGAVCRLQRQANELKVQLARAQADLLNAQAQHANLLALLCVDMANNRRDGPNSQQQQQQFSPPCSGSGSDVGVGYHQMFYDSDLDSATWPDHEAQLWT >Et_4B_038208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27178683:27183010:1 gene:Et_4B_038208 transcript:Et_4B_038208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVTKCAEPAASSAAAGAEGDGGGAGTVVRVKRSALAVCLTCPLCGHLLRDAVTITECLHTFCRRCISEEFINKAICCCPTCSINLGCAPLEKLRVDHSLQYVRSKVFPSKRQKIEAAEVTLPFTSPIKRKEKSLSSLTIHAPQVSLQKCLTKRRTKASCLDNLSSHSTFWGRNVTKKVGGWRPLSSHFRAAKNKRSLRSNSEDVNKTEHKSDSPVDGTSASQAKTKKKITRRGNLEKRAGTKNLLMLTGKQKKIKAKLPNKKRRLRALWFYLVAAFDQDVDLPTSFIQKYLVQKLSLSSEAEVELLCGGKPVNPGMTLHDLADSWLDKGPKGRVRWSVGSPATGFVATLFYGRPEQPPPETEKNDG >Et_3A_024919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25380462:25383751:1 gene:Et_3A_024919 transcript:Et_3A_024919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEIVGYYDNGPYIVSFEEHEIETTLTASGAVAAAWVWETYRLHRGGLVVGLDVEWRPARVPGPVAILRADHVPYALSRFLADAARFTFVGVGVGDDAAKLWAGYGLQVGSVADLRGLAADATGRPELRRAGLLALVWEVMGVQMEKPLHVRSSAWDAPQLTFDQFKYACADAFASYEPNPATRRVDQGQAEAITGGPSMATRIQGYFEDGTCIVSFDEDCINTTVTGSGRVAGAWVDDIYRIHRRRLHRLVVGLDVEWRPAPSSTTTNSNSNSQAHPPVAVLQLCVGRRCLVFQLLHSDFVPDALFDFLADDRFFFVGVGVHDDAAKLRAQYGLDVARAKDLRGLAAYKLGRPELRRAGLHTLAWEVMGAKMHKPYHVRVSPWDARRLSQAQLMYACADAVASFEIGRRLYDGEF >Et_6B_049203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19325145:19343683:1 gene:Et_6B_049203 transcript:Et_6B_049203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVVPQFAIQWDSNSAAHRLSDAQVYNHFVVVFLFHSQRLALHHEREADGISLLDFLPIVGILPQPYTFGTRVLIALEKGLLVLWDAGEDQLDTKGQVTGAPRDAGEDQLDNTTEESEEEREICSLCWASKGGSIVAVGYITGDILLWDMTTRSSRQGKQSDVSSYVVKLQLASSRNRRLPVIILHWSAGSAVDIHKGGHLFVYGVMIWDLKKFSRVGDSGDYELLAELLCSTATTLLPVESPAKGKSSSAAGLTATVAKEPETGEFCVEAGALMLADNGIRCIDEFEKMDIKDQATSILAAENPTGGRYDKSNPLKYNMALPPAILSRFDLVYIMIDEPDENTDYHIAHHIVRVHQKCEEALAPAFSTAELKRYIALAKSLKLSSLEPELGADDCRQKLNIDLLAIAADQPFQFPATFAFVVRAFPGLNKWYSTWFTRKQYYYTVTVRHAFSTPCSSRWYWEGLDPRFDITEIVKPMQTRDGKGTATHFTICFANMTVKKLAEIIKRLGPDGQKFYSCDSNKAETEKRAHIPSAPPL >Et_9B_065096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21164898:21166300:1 gene:Et_9B_065096 transcript:Et_9B_065096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVRDILVVLLKCERLSSKMNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRLHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDVSRSAFPGNRPAPAKEGLASIL >Et_7B_054769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4247277:4262167:1 gene:Et_7B_054769 transcript:Et_7B_054769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVRFGLLVAMFQAMSRDRTSVKKRGRLRVFLDRAYGPTGRDDFFSALRLVLPGLDRERGSYGLKEAALAAVLVDALGIAKDSPDAVKLINWRRGGGFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDRLAATENRSEKASILSSLIKKTNALEMKWLLMIILKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKFVCEKLNDRSERHKRQDIEVGKAVRPQLAMRISNASAAWKKFHGKQVVAECKFDGDRIQIHKNGEEIHFFSRNFLDHSEYAPGMSKVIKENILVDRCILDGEMLVWDTVLNRFAEFGSNQEIAKAAREGLETDRQQCIDVAFDILYAGDTSVIHQSLTERQEILQKVVKPSKGHLEVLVPTSGLNLRRPSDEPCWSIVANNLEDVEKFFKDTVDNREEGVVLKDLDSKWEPGDRSGKWLKLKPDYIHAGADLDVLIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELNALVSKLKPYFRKNENPKKVPIFYEVTNNSKERPDVWIESPDKSVIISITSDIRTIKSEVFAAPYCLRFPRIQRVRYDKPWHECLDVQAFVDIVHSSNGTMTKAGDDNSLKTDNAKHTRTNKKGEAKKKSVSIIPSHLMKTDISGLKGETRIFANMIFYFVNIPSSYNLEYFHKLVVENGGSFSMNLNDSVTHCIAAEKKGIKYQAAIRQGRIIHYSWILDCCKEKCLLHVQSKYILFLADFARHRFPEEIDPYADYYFWDIDIADIKQIFSNIDKIAVNSDMVNQYKKKYYGDERFCFFRGCCIYFHHAPLMNVDYNVISDLALKRVQQDLTMHGGQVCSSIVPATHVVVVSVLQAYNFDILYKSFPPAERRYLHDKRLHIVSDKWLEDSVVKQMKLPETAYNLKPDTLEELQIERSEEKADPLDHKHEETEEVVTSHARHVPRKRGRPASSSRTARAAPRPVRRTRARKGNQLAKIDDVESEESGPGESHDDQKLDTDQISKMDEDNSEKDRRPPRAAPRPVRRARARRGNQPAKIDEFEESGPDERGKEDRKLDEDSLSKMEEDNSGPPAGAQFFTLGGQESKSATEKPDSIFQRTSAAEAMISSVPGEKMEQMIDPLQAMLLDLVPSLRQNRAEDTSRVPPAKNEKDIPGVGSSTSNSEIPIPVPPQAGASSVPAPDTNAAPPPKKKKVSYKDVAGELLKDW >Et_2B_019511.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:9717974:9718327:-1 gene:Et_2B_019511 transcript:Et_2B_019511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VTRVFFYDGDDHPPYPALVGTLRSSLAGKLAPPPPPAPLANVVIDCSRGAVSEGVRFVEAEYASGADDVHRLAGDAEHDAKAFRQLALAASAFLAPCSLCRSRGLPAAAAPWLSGCP >Et_9B_065148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21588510:21603440:1 gene:Et_9B_065148 transcript:Et_9B_065148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAEVVHGSSSTAQPLLDAQLELWHHTLSYIKSMALKAALDLCIADAIQHHGGTATLFQIATQANLHPSKVPCLRRLMRVLGVTRVFSFSSVEEHFGVLFNQGMLADSSFVMDSVVNECGDVFRGLTSLTDVAGGLGGAAQAIAKAFPDVKCSVLDLPHVVANAPIDTGTVKYIAGDMFESVPPANAIFLKWVLHDWGDPECVKILKNCKKAIPSRHAGGTVIILDMVVGAGSSNVKHKATQVLFDLMIMVVNGTERDEQEWRKVIFEAGFSDYKIIPVLGVRSIIEALLDAQVELWHTTLGYIKSMALKSALDLRIPDAIHQHGGAATLDQIASEATLHPSKLPCLRRLMRVLAATGVFNSGHGDVYALTPMSRLLVGKRSLSPIAAMVLHPTFVSPFLGLGSWFQRELPDPCIFKHTHGHTLWEMADRDATFDALINDGMVSDSRFTMDIVLEECGDVFQGVCSLVDVAGGLGASAQAISKAFPHVKCSVLDLDHVVAMAPSDTDVQYIAGDMFESVPPANAMFFKWVLHDWGHEECVKILKNCRKAIPPRDGGGKVIIIDIVVGAGPSDLKHREVHAFFDLYMVIVNGIERDEQEWKKIFFEAGFSDYKITPVLGFRSIIETEINSSKSIMALTPSSKSQALLNAQLELWHHTFGFVKSMALKSAMDLRIADAIHEHGGTATLPQILTKITLHESRLRCLRRLMRVLTVTGVFSCVELPAGGGDHVYGLTPVSRLLVGSPNVAPFLTLILDSVFVSPFLGLGEWFQHEMPSLFKAANGHDLWDFTGRDTKFAKLFDYGMVADSDFATDIVIKECGDVFHGITSLIDVAGGLGGATQAISVAFPDIKCGVLDLPHVVASAPAGTDVTYIAGDMFETIPSANAVFLKWVLHDWGDAECVKILRNCKKAIPPRDAGGKVIILDMVIGAGTSDLKHRETQVLFDLFIMSINGAERDEQEWKKIIFEAGFTDYKIIPVVGVRSIIEVYPSSSGNTPSASSSPRCTSASPTSFTTMVPDSHQIYVPQVQSPMAPLITPGPKSQALLDAQLELWHHTLGYIKSMALKSALDLRIPDAIHDHGGTATLHQIVTKITLHESKFPCLRRLMRVLTVTGVFSVELPDGSSEHVYGLTPASRLLVTSKNFSPFLSLMLDSVFVSPFLGLGEWFQRELPDPTLFKMTHGQKPWDFAAHNKKFAKLFDDGMVADSGFTTDIIIKECGDVFHGISSLVDVAGGLGGASQAISKAFPHVECSVLDLPHVVAGAPTGTNVKYIAGDMFESIPSANAIFLKWVLHDWGDAECVKILRNCRKAIPPRDAGGKVIIFDMVVGSGTFNPKHKETQVLFDLFIMFINGAERDEQEWKKLILEAGFSDYKIIPRVGVRSIIELY >Et_10B_003836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6661084:6664382:1 gene:Et_10B_003836 transcript:Et_10B_003836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSGANFHPQQPSPQGMLPPRHGPRPSGLQTSLSLASSEQVGSPDMQEPGSNSDPGHDSATESASSRDTWPAEPNQSNGGGATAGASRAADKEKEVANGASKLQVVRAPSRLSAMLLREVARERVDLVAEKMKIFPEEHLDEIKNELKSILEGSGGPQHVEEFLYLQKHVQERVDLTPTMLSMAHQVQLEILVAIKTGIQAFLHPSVSIPQSRLVEIFLFKRCRNIACQSALPAEDCRCNICANRHGFCNLCMCVICNKFDFEVNTCRWIGCDFCSHWTHTDCAIQNGQIGMGQSVKSSTGRAEMLFKCRACQRTSELLGWVKDVFQQCAPGWDRDALLRELEFVCKIFRISEDSKGRVLYRKCAELIERLRNAPAESINPRMILQALQELEMDSPKNSENEDSGRLITPQEACNRIAEVVQEAVRKMELVAEEKMRLYKKARLAVESCDRELEEKVREAQELKAERLRKKQQVEELESIVRLKLAEVEMFQLKASEARQEAERLQSIALAKSERAEQDYASMYLKRRLEEAEAEKQFLFEKIKLQENQRPPQASSSGAGDPAQTMMLSKIQDLLKNVRSMQPKSEGH >Et_6A_047358.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4426899:4427233:-1 gene:Et_6A_047358 transcript:Et_6A_047358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding M >Et_3A_026155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:483084:489803:1 gene:Et_3A_026155 transcript:Et_3A_026155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATPTYSAIVAHTSAFLAELIADPLLRRHLLSAAAAADGQQHPAGTLQALSLVSDALDAAATASPSPSSLRAAERLLLSLPAATPLSCLLLALACAARRRGGAAAAAAVLDLFALDPALARHEIAPAAFEALFAPRVLPVMRHFAARRASAAAAAAAASADEDRSVETAALSAMRVLSTMSGAQAQEMRALEREYEKVLDLNCRAYALYLKKILEAEDASTASTPAPPPPEIVFFGVGDGEENGGEDDAMAENDDETVGSQNDVRHNPMWDEASDLYPRRLSSRRDLMRPPSLYPQRVPPHLIVPQQQQSPPMAGESPTSRLRAEQPPSPAAPSDDSMEESSSELYAGKEVRALDKISAASPLSQRGDDVQLSPEPARSPMRGGDGDLQAVASTPKDFVCPITSQVFDDPVTLETGQTYERRAIQEWLDRGNATCPITRQRLHGAQLPQTNYVLKRLIAAWRDQQELLPSPAATPAREAQAQAAAASSPTMADSTPAAAFTKINSPSPDTSISHASAPSPTSVIALASLEGAVAELRAAVSCLCTSEEPAEMERSALKIERLWREAAGPAVFVAALSRPAVLNGFVEILFNSVSAQVLQVAVFLLAELASRDDAVVQTLTRVDSDVDCLVALFKKGLLEAVSLIYLLSPTPEQLADMDMADALVAAIRRGDNNDDQPVKMCVKPKAASVILLSQLLVFESADASSDSSSSFSAAVPSRAALLSERFIRGVAASLEADLVDERLAAVRILLRCVAEDGHCRTTVVDKASSSLAAVLDAFHAVADADKFDIVRFLYELLKLKRRSAAERVLRTIKEGGSVGSTMHALLVYLQSTPPEQTPVVAGLLLQLDLLVEPRKISMYREEAMDSLIQCLKNTDFPRSQLLAAETIMCLPGKFSSSGRPLTRSSLLKLARVKERYRNQSQELSIVRGDGDGEMEEEKVAVSEWERKAGYALVSHEFGLVFEALSECLKTKNAELFTTSLVCATWLAYMLSQLPDTGVLGAARVCMLRQFVVVLRSAKHGSDRVLAMVALRSFMNDRDGMHDITTYIKDVLKTLRDLKKSSGLAFEMLKLLSDGQESSVDMWNHREINLVDCSSNGEVTSVVYFRSYIFSGHSDGTLKVWEGSENILRLVHESQEHSKAITSLAVLHSEDKIFSGSLDKTIRVWQFQDGVLRCVEIHDTRDPVQSMVVANAMACFVPQGAGVKLLTWNGNSKFLNPNKSVRSIALLHGKLFCGCSDSSIQEIDLASGTLGVIQSGNKRILGKANPIYSLQVHEGLLYTGSTSLDGASVKVWNSSNYNLVGSIPSSMEARSLVVSADLVYVGSRGGVVEIWSREKLTRIGALQAGGPSCRVQCMAVDADGDVLVVGTSDGRIQVMVVLPWVPAKCSFFDLRRKSFRDESNFLITYYFLFSNTHETTLFITTLFVGLGTDLRRCWVQSESKDGEQKQ >Et_2A_014904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21239102:21240211:1 gene:Et_2A_014904 transcript:Et_2A_014904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGRDMSQESLYQDRKDVLPQPPPGHFTTPPQQQQPSHHSHHGSHGEQQHLECFSDEVDSRGSAEHKEPAGTLVVVSSGGGDGASIEASRKRRGRPPGSKNRPKPPVVITREAEPASAMRPHVIEIPCGRDVAAALARFAARRNLGICVLAGTGAVANVSLRHPAPGGACFIFHGQYEILSISATFLPPAMSAVAPQAALAAAGGVSISLAGPHGQIIGGAVAGPLYAATTVVVVAAAFTNPTFHRLPADDDATVSVSVSLSAGSGGDKAADQQQTAAEEPQQEHQHHHVVVRRHPPPHLAAPVSAAQPMESCGPMPIYACQPPPQEVMWPAAARAPHPPPPPY >Et_1A_008815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14585707:14588968:1 gene:Et_1A_008815 transcript:Et_1A_008815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLALQVAVPLPCGSILRLRHSATARAAAARDPGAEPLPDELQLVADVRSPHNHIRVADVSPRAAGHPLAGARLLLLDGPGNIHSLYFPRRPHSPLTATYFDAFATLPPLLPRPSLAVLGFGAGSAARALLHFFPDVSVDGWELDPAVLAVARDFFGLAELEKDHAARLFIHVGDALETGVPPGGFGGALVDLFANGSVIPQLQEADTWRRLGGMVARGGRMMVNCGGGCVEAEEEGRDGEAVKDATLRAMAVAFGEGMVSVLDVDESFVAMTGPPVTAPEEVAVWKARLPPELQHFVDMWKPYNGKSEEIGGARPLLIIMPVSHIAFGMGFQRKILGKRTIQASEAEVPQMTELRSLKLKRSREDDGEEALRQHSPTVTGAGSQGSLHCPPAPKKPKFVLGCSLDGFKVLSVMDLRCFLR >Et_6B_049069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17453257:17463666:1 gene:Et_6B_049069 transcript:Et_6B_049069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGAAIAGGDRLSRLADGTLGRILSFLPSTEATRTRGGVVPTVAPRPRRRGHRLHGGAGATDPRGPRLLQLLLPAATRPRLRQRHQLRHLRPPLRPRRRCSVMPPLLTLRVAFHDLGDEDWAMLDQWILYAVRQAAGDDELHLDLRLRHGPVCDRACSLLRRMDTRPDHDAENAASAADEANHQDAGWGWPPVRRGGIHRPGRRRRRHGRRMAAQHHGHGVRGRHAEEPLLVRLGPCCRLDPPTDIALPSLDTLLLTGVLGAVERLVWSPACPRLANLTLEACAALADLALRCCHRLATTRWCSRPSSAGAPCRRTPKYGRRATLEVPERAEIPCLRERTREINFAHYQVATTQRTCAKFLLSNAPVVDEPRPVPAAGDRLSSLCDGVLGRILSFLPSDEAARAALLSRRWRHVFAAVDVISMKETAERPIPEWEDGDWSPSGFDRPDVDPFYVPSQPFVNRVNAAMLGRIRAPRAPVAPLRSLRVAFKEFEGTDARSTTAVDGWLSYATIQAGDELHNAAIKRLVSGCPRLADLTLQACNNVTKLSVRRTTRLRRLALRCCHYLEVVAAHLSELRAFEYRGGVPPPKFRRSKHDPSRITSCVLDFCGEEVSDSGNLVRLRNLFHLFPSATHLQLKSARLGAGVGHGVFSSAPAFPVLASLRELELTGIVLDEDTTMIATVTRILERTPSLEILSLFFMPALVEFKNKTYNKEDIANEHWLKYDRYATLVLPVGKKIRCLRKKTKEINLVHYQGALAQRMLAKFLFCNAPVVGEVCCDFAKGPLTMQTQLMEEIRGWVINKSANMMFF >Et_5B_045460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23795233:23798028:1 gene:Et_5B_045460 transcript:Et_5B_045460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVKQRFALLDNSSSSSSSSESDNDEDFVPMDDELAIFVDHQPIAIHYDDTDDEKKVIPKKGLPAKFCNKHLPMYDTVIVLEDENGDNHDTNYLGAKQGLSGGWRGFAMKHGIKVGDAVVFELVGSTIMPGSKKELKFKAYIVRANEFTTTDGAVSLLNLDLCKEGKLSSSAEEESAGELKSGEDLKVNTNRVHGDVPLIDTNGLVSEAIDGIRMLDSDIEFDDVTSFNNFNIVVDRSVIDREFHIDLRRTYYDLCCSQKEFLHEYLLKQLSLTLVVGVIMETIKIANALGHARLKFVVVMTC >Et_8B_059601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19853621:19857719:-1 gene:Et_8B_059601 transcript:Et_8B_059601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEMDIIFSVHEKWGGDARALTIKIQIPREFGKSLACDESDLQLVLLQPMAVPADNNGKNFQVDERSAKEKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGIAVLILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLVVEVGVNIVYMVTGGKSLKKFHDVICDGHNCKDIKTTYFIMIFASVHFVLSQLPDFNSISGVSLAAAVMSLSYSTIAWGASVEKGKLPNVDYHVRATTTPGKVLGFFGALGDVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWKGVIVAYIVVALCYFPVSLVGYWAFGNQVDDNILITLNKPKWLIALANMMVVIHVIGSYQIYAMPVFDMMETVLVKKLRFPPGLMLRLIARSLYVAFTMFVAITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPKRFGLSWFANWICIILGVLLMILAPIGALRQIILNAKTYTFYS >Et_5B_043801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16260621:16266910:-1 gene:Et_5B_043801 transcript:Et_5B_043801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPGGEDGGGKGEWLIYAFVARGTAVLAEYTEFTGNFPAIAAQCLQRLPAGSSGSGAPARFSYACDRHTFTFLLHRGYAYCVVAKESVPKNVSVAFLERLKDDFMKKYGGGKADTALAKSLNKEYGPVIKQHIQYVLDHSDEIDKTLKVQAQVSEVKNIMLENIEKTLGRGEKLSELQDKTSDLRTQAQEFKKQGVKIRRKTWLQNMKIKLVILGILLLLVLIVWVSVCQGFDCTKHETYPDIKINRNIRQKDKKR >Et_1B_011481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22815698:22818678:1 gene:Et_1B_011481 transcript:Et_1B_011481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVEILCGLVAYKIIRRVFFADEGDPSYLADLDSSHSDLCFAVAARLEKLYGGRCFVGLRIPDSDAGARLHMDVVLVTKREVMVVAIKNFSGFIEVDKDGNWSCPTDKKRKQEIIPNPVLEVNRLATSLQSYLEQRGAKLPDGYVTGKVVLPNSNCRPSYTITLQPEVIPYEQWKDLKTDSKSGLSTWIKGALSKSDMQDSALQNLHFILSTSPMWDRLELKGDKNVLGELIEFKGRHEDVQLLKNLKRSKVSRFIIQKSTLFGGFGRSRVQILYSPRDYRAEGTSSSEWKEISVKQYTEIVFQPLHSKKVRKFKLSSVVSVTLSA >Et_6A_046349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13499261:13500074:-1 gene:Et_6A_046349 transcript:Et_6A_046349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTRSRGNARRRRRIPAFGEWNHNGDANGSWPATATPFFVLAAAHKPPQTVHSAGVQQKTMPLFTKRSDSYHLSEAKRRSLAEAHGRRQSKVADSEAYAVRKSCFTVVAKAVDDDLYGVPADMLYQKPARKRGWLRILLMAGCFCPRGRTCMA >Et_9B_065322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3870191:3871799:1 gene:Et_9B_065322 transcript:Et_9B_065322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSWSELCCRFCLAYSSAQFRSDTTSPNNTDNEKPFQVVSENYANPITCFFHVLFKAAALAFYILFSLFVKSFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEIDDEGNSVWKFECLDGESLARMNKKDSWLFWWTLYLTAAAWIVLGIFSLIRLEADYLLVVGVCLSLSIANIVGFTKCNKDAKKNIQAFAQNALASRVTSSLQSAFGVI >Et_7A_050217.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:12578441:12578827:-1 gene:Et_7A_050217 transcript:Et_7A_050217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVARSHEAVAAGLRATLDQLLLQSPCAAVAAGEAGDAEDAQSCCFETPAAADVNGDDGAASRSRNAASSSCKSCGVADACVLLLPCRHLCLCRACEPAAHACPVCANAKNASLHVMLC >Et_2A_015047.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:27622092:27622301:-1 gene:Et_2A_015047 transcript:Et_2A_015047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKVVMFCLLVLVVAQLLLQMAAQTAAAGNDGKARRLFFIFRCTYPNCNCRTCPIYNWACCANCCPPA >Et_8B_060557.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20986998:20987630:1 gene:Et_8B_060557 transcript:Et_8B_060557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLMRQPRGPCASFGCRSWSSLSFNNNYLVLGERKKKQQQVACSAVLTVSDSELAGRGFAVRRTVEGLDVGALNEVFARVGFPRRQEERLRRALEHSRVVWLSSTSKSSSSDNNKPVAFARAAGDGVFNAVVWDVVVEPSCQGLGLGRAVMERLVHDLRRDGVSNIVLYAEPRVVGFYRLLDFAMDPDGIRGMAYYRSANSSRPSPTAD >Et_6B_048794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13827447:13841075:1 gene:Et_6B_048794 transcript:Et_6B_048794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRTALLLILVVAGATFSSSSSHGSPWRNRRANNGTRPVLGCWSHEREALLKFKRGITKDPMGILASQRDCCRWRGVRCSNRTGHVVALNLRNDEEASLVGEISPSLLSLKHLKHLDLSQNNLQGASGRVPEFLGSLKSLRYLNLSDIRFHGTVPPQLGNLTNLHSLDLSHEYDGSDSSMNSTDISWLTRLPGFFTSTEHGVCGPHHGAGLGPCDQHDSFPNVANQSLPHLNLTKLERLVLFGNSFSHPVASCWFWNLTGLRYLEVGGTYLNGQFPEALGGMTSLEILDFSESGIYSIHKSIDIMTVNMRNLCNLEILDISYSYSDGNITELFDRLPQCPLNKLKELHLNDNNFTGVLPDRSFLIFLTIKSLGNFPSDIGTLNNLITLDVSNNLLIGIVPPDIGKLSNLTGLNLGGNNLTGVITQQHFASLKSLTAIDLSSNSLKIVVDLEWLPPFRLQSAHFSSCEMGPQFPTWLQSQVDIFDLDLSRTSIFDNLPDWFCTTFSNVWKLNISNNGINGVLPINMEVMTSLEKLHLNSNLLTGEIPKFPEGLHILDISRNSLSGPLPSNFGAPFIGDLRLFSNHISGHLPQYMCQLQELSVLELDDNLFEGEFPPCFQPSFETIIAINNRFSGKFPPFLQRCRNLYILDLAWNNFSGELPMWIGDLVNLEIVRLNYNNFSGNIPATITKLTKLLHLNLAANNISGVLPLRLSKLTAMKARKSPTTFGLYDPDMNLTVGTKGNERYYEERQMWNMVSIDLSSNSLTGRIPSGGQVDTLYSQIPFMYDGNIGLCGNPLHKNCSATKHGAQKRDERDSNLMAFLFGLGLGYTFGLWVVFCVILFKKSWRIAYFRLFVKVLDQTILGLCYMLVKGNWSVKVIRRGQSPDPQSPSHFGLHSLGGRNLELPGLLGRWANLVTLDLSNNQIRGKIPSEIGVLTNLTDLYLGGNNLTGLVTHEILSGLKSLTALDLSEWLPPFRLQYASFSSCEMGPQFPTWPQSQVDILELHISEGGFPKLVKLASNILDLAANSMSGILPWHLSNLRGMRESVSTKGHARYYEEGEIVDMVRIELSSNFLTGRIPEGIIFLDRVVNFNLSWNLLSGSIYQ >Et_7A_052976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3873955:3877510:-1 gene:Et_7A_052976 transcript:Et_7A_052976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTSTPRGLIFLTTRRSCKMAGLKEVGQVVEVEAAVPVVAAAADGLHFQVGLAVVEVAEPSACARAVVPDQRAVAEGVPRLPRAVDWFVAKARLHLSLLDRGLEVCVALLRTLMMTTSKRFRQSCLQLLRFHAATQMKHTKKQLSTRIRQLKKMYNFIEYLNNKTGVGRNENTGWIVADDKWWEGETKHCSEYKKLKHEPPPYYDLLDQIFRGVTADGSTAFTPAHKQMDVDQEEAEDDDVQEIKDDSPMSHGSHSHKRTSSTSTTATSPSKKSKNPYLNTIKNFVSTSSANSDRNVALFEQMASIKVATNQTVMESFLDRKRQEDERLRLIAERHRAQEERLRVQEERERLEKDSVDELDDYAAMILDDDEDEMAMMFMIQEEHQRLDQAIILFVHGHNFSC >Et_9A_062068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19468715:19469762:-1 gene:Et_9A_062068 transcript:Et_9A_062068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASRPACAASELIVKARESAAAVLQVLLGKQPAAGDEMPHGIQDLIEKILHCCNRALAALREDAAEAGGAMKRKPDRGPDAPRTTISKRMRGSGGERGIRVEKKWTMEDGHIWRKYGQKEILDSKYPRFYFRCSYRDDHGCTASRRVQQSDADPSVYIITYFGKHTCGGDNNKDEPLTPFVINFSSSTTKDDGKPSNSPWPSSDDDGVVVSDTSEICGLSEREDLPADMTSKVPDAELMEQSTPVPELTRMRSPGWEPLDGCLDWELGEDESLFDFGEFDQFDYLALLQ >Et_2B_021739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:558192:559510:-1 gene:Et_2B_021739 transcript:Et_2B_021739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATRLDKDGSLQFLLVEALCCRSRSVGPGDSVENITTKFVRQAAAKTNDLAGDGTNTFVIIAQRNDF >Et_7A_051840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25385960:25387017:-1 gene:Et_7A_051840 transcript:Et_7A_051840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRLKQIRPSMAGHPFFNNLAMDVLQMLANQHLSVRKKVLNLAVCLLTPLNVNSVLQYLRIELGLAASADTPLEYHQMLEEAIRECHSSYPVSIMQFMLDPKYLAFVECINYIKEIMNHSPLLRTQFLKGLLRVLRHVKSSPIRSAILAITCLFEDLLDQREILKTITGGDGQHDYTYYIDHCSSKEGDAQGKHQQPWLMEMEDLLFVHLGLTRQRDGSYTIASSSRINTDESLLMPSRLERTDNLTRLVGSGDPLLAEFVGNELSRLVEMAPTE >Et_2A_015649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16523386:16526552:1 gene:Et_2A_015649 transcript:Et_2A_015649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSCSHSCSALHQTPRSGWRLRNSGLGLGYGKPPRRRSAILCVGTTRGTSKPSDSGKVRAYVSDVDAAVQGIPSRKAREIEKVIIQGLPEGPDSSPISTGFWELKPKLTVYYEKSGTENSKAPAVLFLPGFGVGTFHFEKQLKDLGRDHKVWTMDFLGQGMSLPCEDPAPSSMDGEQNDEVFWGFGQDSQPWAEELVYSIDLWHNQVQRFVEEVIREPVYIVGNSLGGFVALYFAATNPHLVKGVTLLNATPFWGFLPNPATSPRLSKIFTWAGTFPLPSFVRKITEIAWQKISDPRSIQEILKQVYADHSTNVDKVFSRIVEITEHPAAAASFASIMFAPRGQISFQDALSRCQSQHIPISLMYGREDPWVRPIWGIKVKQQVPEAPYYEISPAGHCPHDEVPEVINYLLRGWLKNLESEGSIELPFLEEPSYAEHGVSKELEFIREGSRKSVGVRLYGSKISLWGQLSSFLNTHVPNSRVVSR >Et_3B_028018.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:31916087:31917088:-1 gene:Et_3B_028018 transcript:Et_3B_028018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLHTARTLVGRLRGAAAVHDGAATADAVAEVRRVSKDDPEIRAPLADAGAVPFLAAQLTAATSSGAAVDAAAALLNISISAREQVMSTPGLLDALTAALRADGAAAAHHAAATVHSLLCVEAHRAAVGARRPLLAALVGLLRAAPSTRATKDALKALFGVALHPPNRATLVALGVVQPLFALVMTDGRAGVLEDVTAVIAQVAGCAESLDAFRWVSGVRILLDLVEPAGAGTPRARENAAAALLNLVVAGGERAVDEVVAVGDAVDAVRELAEDVAASPRGKAKAEALLQALEGAAAARRPRDHRLADFLNGLVQSDPYISSPPSASTHG >Et_1A_007832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38652486:38656554:-1 gene:Et_1A_007832 transcript:Et_1A_007832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLEIVVHSLLSTHGADCIAERCSLLPIGMEDHSNRMPKTDIGFQRGSRISLRNQNPKDGTNPSRPGSSTRLNPTRTRMDDNQERSRYLCDSFNSSSSEMVPANSSKFPLRKFGEEKRRQSFLAGVDIASSSTRKAEIKPLEGRKQMAVEYESDPECIISGQGQSLPPDPKGSQLINSSGISSHRVDSLVRSPSLSSRTHRQNEKDVNLGNPGTCSSSFPNRPTIPGNSATGARPSYGYVGGVQRHGVKNPSLLDLNRSRRFEDMGQRGSDGGSSSRSRSLISYGNLIFVSRRNSWRVKKLAGYHVNTSTICDVSTSGSGRRTGARFAKLRQYLQQANPRMFTECLDSAVAVSM >Et_2B_020919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24830327:24838637:-1 gene:Et_2B_020919 transcript:Et_2B_020919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSKKLRAGEEEDDLISALPQDLRLRILSLLPLKSAIRTGALSSRWRGLWTHRWPSPSSLDLHLLPDGSPEQIHDTLTRRGRRRLDRFSLTIHPRKYMLAKRVESKDLCGYLDYAAACDVEDLHIDIADFIVSTFCTLIFPPGCSRLARLSLHRVGRVEFGFSRRNDAFSALEVIHLRSVRSIDLHNLLSASPRLRTLDLRYCNRVHGAIYVGAHLTSLTVAGCDYISRIVADGASGLRSFRLSSAGHPTYNISATAPLADLYISLRGPTGGDPLSYWIRALPNLANLTVLTICSFALQRVYALARFGSAASLTKLRNLPSLRELQLLMFSVESANLAHIYMFFRTCRCPQLERLFVQVNDKLFLLHQCIMNSSQNQCIMYFRNMYTEFSLYREFLTANCFYLQLPTSDTSVDISLEMSEENEPDEEQSEEEEPHEEPFEEDESGEELSEDDEYEIEEELLEEEIPEEYMLKERLFYEDMYEDTVDENVPDEEQPEEDLLEYGLTNLVLAKMVKFKGHYFEMRLASFLLRKAMSLKKLLLVTPQPQDNHMEEELRNDQMDTSCFIETKLSSLKRASPNAQIVVSDSDSAAIQPMHSDVFARCRESDRFIKDISESQVTGKMSFGGPLPAGLRSHEK >Et_7B_054880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5177757:5180242:-1 gene:Et_7B_054880 transcript:Et_7B_054880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALMTATSALPMRLPATLPPRPALCPRVARLRLQPRRRSLLRCAAVSELAPAASAAYGVLLLGGGAFAYARSGSKGSIYGGLAGSALMGIAYYLMQSPETKAAGDAVGFGSAFLFASVFGIRLYNSRKLVPSGLLLALSLGALGVFYSAYLQDKV >Et_7A_052237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5977786:5984369:-1 gene:Et_7A_052237 transcript:Et_7A_052237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGIARGRLAEERKSWRKNHSHGFVAKPETLPDGAVNLMVWNCVIPGKEGGFVAKPVTLPDGTVNLMVWNCVVPGKEGAWKPSITVRQVLVGIQDLFDHPNPASAAQDISYRLFTKNKAEYKKRVREQAKQYPSVVGIEGESDAAFAT >Et_8B_059637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19999149:20003358:-1 gene:Et_8B_059637 transcript:Et_8B_059637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESVEALVAHIQGLSGSPEELAHLHSLLKQADGESLRAHSAAFVPLLAQLQPETHSLGYLYLLEAFATSSANLKDVGGVDFLVTIASFLLACSADQIRLAPEKFLNVCRVLKDQVMQLNMPIRGIAPLRAAVRKIQTSPEQLTPIHADYLLLCLLAKQYKAGLSVLEDDIFEVDDPKDLFLYCYYGGMIRIGMKMFPKALELLHNAVTAPMSQLNAIAVEAYKKFILVSLIQNGYLPSFPKYTSSSAQRNLKSQAQIYVELCTCYGTGSYAELENFILNNAAQFQSDNNLGLAKQVLSSMYKRNIQRLTQTYLTLSLDDIATSAQIDTPREAEMHVLRMIEDGEIHATINQKDGMVSFHEDPEQYKSVEMVEHIDSSIQRLTALSKKLSSIDENMACDPAYLLKTGRDRGRFDYDDFDPVPPHNEQLKKKIIQLLVSNLI >Et_8A_057669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5914034:5917708:-1 gene:Et_8A_057669 transcript:Et_8A_057669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADRSFGRPPLVIAHGGFSGLFPDSSQFAYQFALSTSLPDVALYCDLQFSSDGMGFCKSELTLDNSTIIKEVFPKMEKTYKVNGEDVRGWFSLDFTTDQLVQNYNSFFLEHKISTEDYLKALPKEFSFSFISSPEIGFLKSAGGLLKESRTKLIFRFLDEKVVEPSTKKTYGELVKDLKSIKEFAVGILVPKTYIWPLNKDQYLAPSTSLVKDAHALGLEVYASGFANDVAISYNYSYDPSAEYLQFIENLDFSVDGFLTDFPPTASGAVACLAHSKGNPLPPPERPRPLIISHNGASGVFPGSTDLAYQQAMKDGADIIDFTVQMSKDGIAFCMPSADLGSCTTAGSAFISKGSTVHQIQNKSGIFSFDLSWSEIQTLKPDLVGPFAQSGLKRNPAAKNAGKFMTLPGFLDMAKASNVSGILIDIEHASYLATRGLGVVDAVTGALTKAGYDKETKQRLLIQSDDSSVLLAFKKSFSASKRVLNIESDISDVAKPSVDDIKGFTDGVKIHRNSVAQSTGYFLTHFTNVVSTLHAANLTVFIGVLKNEFLNLGFDYFADPLVEVATYSDAVMADGLVTEFPATVAAYFRSPCSDMSLNLSYSILPAQPGALVNIAVPGALPPAGAPAPMLEPADVLDPPLPPVLTVSTAEAPAPTGAADNSTSAANTDADSSLLAAGIVALLSMSFLQ >Et_3A_027180.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:4010484:4012022:1 gene:Et_3A_027180 transcript:Et_3A_027180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDAAAIKSTGKWRAVQFAAVTLCVLLYAPRFSVPTTDLLAPARRRDEEKKDGGALDLENQVGSPAAAAFLGPRVGVGPVVYLDNQVGSPCSSMPAHAICCDRSDDNADVCFMSGDVRTDAASLSLLLFPPTPAASGATTTGQEEERIRPYTRKWDNKTMATIHEVRLRAARPEDEAAAHRCDVRHGAPAHVVTGAGGYNGGNYFHAFNDGLLPAWLTAQHLRRRVVLAVLAYDEGWAGRYAEVIAGLSEHPVVDLLGDNRTHCFPGAIVGTRFHGFLAVDAARLRDNKTVVDFHDFLAAAYGPSPSSRGIRKQAETGQKQRWRPRLGIVSRVGTRVIENEAAVARLAESVGFDVDILPANKATAPPLAATRAAVSALDALLGVHGSDLTSFLFLRPGRGAALVQVAPFGIAELSRDAFGAPAERMGLRYEQYNVRASESSLSRVYAADDAVVADPQRARLKQGSGKDWDWEFIGRVYVNGQNVSLDLARFGETLARVHSWLLEPQQEIGSA >Et_4A_034851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7113422:7118343:-1 gene:Et_4A_034851 transcript:Et_4A_034851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSSSSWFGRWRKKEKVVVDGSEIRELVEDEEAFGMLVEREFRHLGADGVDGKLSVRELRPAVEDIGAALGLPAQGASPNADNIYTEVVSELTHGTSHGEVVSKAEFQEALHDVLLGMAAGLKRDPIVILRMDGEDLKEFVGSGRYEPEAAAIFSRVASEDASLRQCLSAALEQLTHDGVPPASDEWVVGNIIEPALLQLPADQLDQPASRVGFLEQLKKLLGAIAERLQEQPVIVAHTEHTYDGSGVKRLLASKFELDKLLGSVWKGVSAEKNKASKECLRVALDKMADAARLPRYGDVNKVDAVVDEAIKKANADDRKAVDEAEFKKLLTDILGAVMLQLNENPVFVCTNTVVHEPLSDSSTVLASAAGDTTTKSWHLQQRLRFSSAPMAEKSTLINPRGESSKNIAGITGHE >Et_3A_026749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18939228:18940289:-1 gene:Et_3A_026749 transcript:Et_3A_026749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDTGASYGSTLRYKSVERIKAVGKHSLIGASGEFSDFQEILRYLDELTLSDDMWDDGNSLGPKEIHSYLTRVMYNRRNKFDPLWNSLVLGGVKKGPKGDEKYLGMVNMIGTHFEENHIATGFGNHLAIPILRSEWREDMTFEEAVKLIEKCLVVLLYRDRSSINKFQVAKITAEGATIYPPYSLKTYWGFSHFENPAQGAVGSW >Et_9B_064982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20105323:20107486:-1 gene:Et_9B_064982 transcript:Et_9B_064982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQQHSAMVCSPIPLAFPSTVGHVEKVEFYGHRIVHITPTVYGHPHLYQALMLHEMINLFDSVHALSPDWQQFLDDFAESLKLIRQGSFNKMTERTREGRGSYAEQTGGGEASEARTTSVVAAVVSHVANRLIALARCPRRTHSSTSSCRGGVCDFDRTACARAHRRWRTGGRRRYYCSKTALDSGGRLEERREMAEVRATSGRLLEERGGGGERAGGRGQGAGG >Et_10A_000431.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10163759:10167357:1 gene:Et_10A_000431 transcript:Et_10A_000431.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQCQAGNTRNPEEVYEMAGFSPNEEIELYERHSDELLKINILRLGILMLQEIKFKPNMILNMETSFVYRSHLFQVVETQVRYPDVPSFLEYVHNRQFYSNTTESGRRMGALQEKIGDGTHNTTPNKEEGDGDHKSDK >Et_1B_009907.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10389086:10389337:-1 gene:Et_1B_009907 transcript:Et_1B_009907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CFCRSRRRPFSAEGHSAPGRPLQEPRNPIHSKKSAGQHNTHPIHSTKSWYTRLNLSCTVAVLTWNFSAAWGSFGFLSGCTCLA >Et_1B_013317.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7321918:7328421:1 gene:Et_1B_013317 transcript:Et_1B_013317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEEELEGREGEDEEELFYESLDRILSSSGSSTSASDDDGADRPRRRRPAGCDAAAAALDLWTSQPAPVQERRRRLLHLMGLAGDPSLARFDSGRSADDDAVDPPPASPVERSRSCWASSGRPPLGGARLRSSLSNASDAALEAVEEDPRCLIRNLDDGSEFAVREEFCVREVGTGRQLSVEEFELFIGRSPIVQELMRRQSFSTPNSNCTSNSQSGASTPMERSSSGSSNGGARSKRRSSWLRSIRSVAGSMVTPSRDRRSSDDKDTSSEKGGHHSSLTTDDSQDSVPRHGPDRVKVRQYGKSCKELSGLFMTQEIQAHTGSIWSIKFSPDGRYVASAGEDCVIHVWEVAEFERVGKGRDVEENEVCNPFVSTECNESSEPMVSSDASIGSHWEKRLRAMVLRSGGSVSSDRLMVPEYVFALSEKPVITFAGHTAAVLDLCWSKSQYLLSSSMDKTVRLWHMSSTYCLKTFSHSDYGKNIASESLSLSLSLNNVITMFLIFHLFDSVTCIQFNPVDDRYFISGSLDEKVRIWNIPKREIVDWVDLHEMVTATCYTPDGKFAPGSSSKVIITSADSRIRVLDGFELVHKFKGFRNTSSQISACLAGYGRYIISASEDSHVYIWRNNEDFEPNRKKGIISVTNTHEHFHCESVTVAVTWPFTSATMDSSMNSRKQELDRGSENDHVLHYKPTKARDMPDVQHRSNIANSNSSHNGDRTTWPEELMTPTNQNHKSSICLPNEGDQAPSRSAWGLVIVTAGHEGQIRTFQNFGFP >Et_6B_048677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12569244:12575740:1 gene:Et_6B_048677 transcript:Et_6B_048677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGSGPRHGRLLISPSLSSPAFSTRSPSPSSSPAPHHDRRNSTSSPKPLVPFPTSSSSAAVSRPRSFVGGAGTRASAAGSSASGPAFAHNARVAAALAPAAAFLLDLGGLPVFAVLAIGLAAAYLLDALRLRQGAFFTVWAALIAADVAFFFSASLSSAAAASVPLTVLALLLCAETSFLIGVWASLQFRWIQLENPTIVAALERLLFACVPIAAPALFTWAVVSAVGMANASYYLAAFTMVFYWLFSVPRPSSFNNRKQDAPLQDNGGILGPLESCVHTLYLLFVPVLFHAASHHATLFTSWASVCELLLLFFVPFLFQLYASTRGALWWITKDARTMDQIRIANGAVALVVVVLCLEVRVVFHSFGRYIHAPPPLNYLLVTVAMLGGALGLAAHAAGKVGDAVSSAAFTVLAVLVSGAGAVVIGFPLVFLPLPMVSGYYVARFFTKKSLSSYFTFVSIASLMVLWFVVHNYWDLNIWIAGMPLKSFTKYIVAAVIMAMAVPGLALLPTKLRFLLELGLVGHALLLCYIENRLFNYASMYYFGVEDDIMYPGYMVLVTTFLGLALVRRLYVDQRVGPKGAWILTCLYSSKLSMLFMTSRSVTWVSAVLLLAITPPLLLYRDKSKGASKMKVWQAYFHACVVAFSAWLCRETIFEALQWWNGRPPSDGLLLGSYILLTGVACIPIVVLHFPHVQSAKRFLVLVVATGLLFVIMQPPIKLSWVYRSELITAAHVSDDDTSIYGFVASKPTWPSWLLLTTVVLTLAAVTSLIPVKYVVELRALYAVAVGITLGIYISVQYFFQAVVLYPLLVATIVSAAVFVVFTHLPSESSTRVLPWVFSFMAADRGATHGPSSRSSAFPPKARLFQQRRAHAAPTFTIKRLAAEAAWMPAIGNVSTVLCFVICLILNITLTGGSNRAIFFLAPILLLLNQDSDIFAGFGDRQRYFPVTVSISSYLLLTASYRIWEETWPGSGGWALDIGGPGWLFAVKNLALLLLTLPNHILFNRFMWDYVRQTDAKLLLTLPLNLPSIVMTDILTIRVLALLGAMYSLAQYLISRRIRIAGVSHAPISFKGSGIYIMSFLDFQCRLRKKLFGGGFGPD >Et_7A_052799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16669858:16670234:-1 gene:Et_7A_052799 transcript:Et_7A_052799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEQIDSYLSYSKGLRPYQLCRILDRLPKDVLDKLVAIDLHDFDEDDAYINKADDDLAMEAEDVRRQYQEKGYVEYKVTRWREKQQALHAPYAAKGREPSTSHVHVAGQAVA >Et_7A_052915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25236600:25239085:1 gene:Et_7A_052915 transcript:Et_7A_052915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVDVLLGIFRQHTLGGAALELAVLAAPLWVAALVGLLIGWAWRPRWAGAVVGEHAHQQEAAAAAAALGPPAPKPMLAPATAPAEVAAVVPRADVVASSEEEQLAVNSGDLMHLWRLVEEKDGGSSWIHMMDRTLPTFRYQAWRRDQPNGPPQYRSSTIFEDASPEVVRDFFWDDEFRIKNTWDDMLLQHMTLEEDTETGTLVVRWVRKFPFFCSDREYIIGRRIWASGKTFYCVTKVTKVPSLVFPVPLFQDTANPVALTCTTLVVESRKGDGSMTACEVLLFHHEDMGIPWEIAKLGVRQGMWGCVKRIEPGLRAYQIARTAGEPLSKCAAMAHVTTKFNADELNTEDNDEASPSNSNTEVEQPKHWTCNISKVLMIGGAIALACSFDHGLLTKAVIFGTARRFAGPRR >Et_4B_036746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11136317:11142549:-1 gene:Et_4B_036746 transcript:Et_4B_036746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPKLDRTPSIRDRVEDTLHAHRNELVALLSKYVNKGKCILQPHHILDALDEVQGSGARALAEGPFLDVLRSAQEAIVLPPFVAIAVRPRPGVWEYVRVNVHELSVEQLTVSEYLRFKEELVDGQYNDPYVLELDFEPFNASVPRPNRSSSIGNGVQFLNRHLSSIMFRNRDCLEPLLDFLRAHRHKGHVMMLNDRIQSLGRLQSVLTKAEEYLSKLPASTPYSQFANQFQEWGLEKGWGDTGEHVLEMIHLLLDILQAPDPSTLETFLGRIPMIFNVVVVSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMVLRLKKQGLDVTPKILIVTRLIPDAKGTSCNQRLERISGTQHTYILRVPFRNENGILKKWISRFDVWPYLEKFAEDAAGEIAAELQGTPDFIIGNYSDGNLVASLLSYKMGITQCNIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADIIAMNNADFIITSTYQEIAGSKNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPHTEKAKRLTSLHGSIENLIYDPEQNDEHIGHLDDRSKPILFSMARLDRVKNITGLVEAFAKCSKLRELANLVVVAGYNDVKKSKDREEISEIEKMHELIKTYNLFGQFRWISAQTNRARNGELYRYIADTHGAFVQPAFYEAFGLTVVEAMTCGLPTFATLHGGPAEIIEHGVSGFHIDPYHPDQAANLMAEFFERCKQDPNHWVKVSEAGLQRIYEKYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMFYILKFRELGVLVTNGRSAQGGRRHYAANSNWHPQQAGIVRTIQDVEATLCQDGGNTDADKER >Et_3B_030408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3560206:3561752:-1 gene:Et_3B_030408 transcript:Et_3B_030408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIKRYCLPYESIWDAPEPSPYPIPKCECNMTAVVTQSSHPLTVARAYFCCGNELEMPPPGLKYTPFFQCSIALSGNKRGCEFQELVHRPKSHYPEPDSLPDDVLHGEELPCCTVVVSMWVPTKEGVVPSELGYGHYCENTVGENDEWDARRCDWETFEGKEEFLLKAKKRGLEYFKKALATRRNNMRHKYLTTPPSFIYNTICSELKIKRECPFWEGAEVDVVIHHWRRNRDKYPPKSCWELLDPPYGLQFNSAKECMEWAMTKMKQLCHPVYVEEKRRKEEEEKKKRGKEELEARQKDPNCWEHYFYKSAKRKKKRKMEEEEVAREERKRKGEEDRVAIQLMMDAEAIDK >Et_5B_044153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20875860:20879405:1 gene:Et_5B_044153 transcript:Et_5B_044153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGSSTVVGEMRNSLERVKQQLSSTSTRQLLQGPLLKRSDTLRKWNERWVILDPTTRKIEYKVRRSDPDVRGVIVFDSTSTVTLSPMNFQHWDSTEKGVLSLCRNAQRCKSMGIDFTAHKEAVDSLSGNGSAKLGTVATVVAVANATAMEATKEVEAAMKNSLREALGSTANKLTRGQLDDLTVMMEALRVKDDELHQLFQDIRARDSTISKIADKLHETAEAAETAASAAHLIDKERRHLSSELERFKHDHEKEVELCLLRLRETEDKAKHLTEERDHLLTARDSALQEVQMWRSELGKARGNAVILEAAVVRAEEKARVSAADADKRVKEAASRLESATKEKDDLLALVDALRLRIKRQENTIQVCEESSELCSTAAKHEEDGNVDKPCLNDPDPIPITENIVELDDGVNIRTVGDTEWDNPHLSEVSDVREVTTEPEDNSLDIPVDT >Et_4A_033635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25241873:25243419:1 gene:Et_4A_033635 transcript:Et_4A_033635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYDQEMETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Et_4A_034685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5400260:5403390:1 gene:Et_4A_034685 transcript:Et_4A_034685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPKMAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSLSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLENIIWKAFSPTTINSGRGAEFEGAVSALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRKYSGNFLVNLLGKWKDSEYSGHSIPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFERPSLSRVCVYFQARLM >Et_7B_054771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4306265:4317969:1 gene:Et_7B_054771 transcript:Et_7B_054771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSGGGSARRRSGGRSGSWGSVGDYFDIPAKGAPVERLKKWRQAALVLNASRRFRYTLDLEKEQQKEEVRRKIRAQAHVISAAFRFKEAGRIHVPLKEAPVPPADGALGFGIKEEQITALNRDHNYSALQQYGGISGIASMLKTDAEKGISGDDSDLAARRNAFGSNTYPRKKGRSFLAFVWDACKDLTLIILMGIKEGWYDGASIAFAVLLVVFVTAISDYKQSLQFQNLNEEKQNIRLEVVRGGRRIMVSIYDLVVGDVVPLKIGDQVPADGILISGHSLSIDESSMTGESKIVHKDQKSPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDSGEETPLQVRLNGVATFIGIVGLSVAVAVLVVLLARYFTGHTYNPDGSVQYVKGKMGVGATIRGVVRIFTVAVTIVVVAVPEGLPLAVTLTLAFSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYFGGKKMDSPDNPQILSADVTSLIVEGIAQNTSGSIFEPEGGQEPEVTGSPTEKAILSWGLKIGMRFNETRSKSSILHVFPFNSEKKRGGVAVHLGGSEVHIHWKGAAEIILDSCTSWLDVDGSKHSMTPEKVAEFRKFIEDMAASSLRCVAFAYRPHEMAEVPNEDQRDEWKLPEDNLIMLGIVGIKDPCRPGVRESVGLCTAAGIKVRMVTGDNLQTARAIALECGILSDPNVSEPVIIEGKTFRALSDLEREEVAGKISVMGRSSPNDKLLLVKALRSRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFATVVRVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMQRLPVGRREPLITNIMWRNLIIMALFQVSVLLTLNFKGVSLLQLKNDDRAHADKVKNTFIFNTFVLCQVFNEFNARKPLNIFKGILGNHLFIGIIAITVILQALIVEFLGKFASTVRLSWQLWLVSIGLAFFSWPLAFVGKLIPVPDRPFGDFFPCCRGGKQASDDDNKETAERSAV >Et_5B_045762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:952331:955096:1 gene:Et_5B_045762 transcript:Et_5B_045762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQKSNLLMGKYEMGKMLGQGTFAKVYHARNKETLESVAIKVIDKEKVLKVGLMDQIKREISVMKMVRHPNIVQLYEVMATKSKIYFVLEHVRGGELFNKVQRGRLKEDAARKYFQQLISAVDFCHSRGVYHRDLKPENLLLDEHSNLKVSDFGLSALAECKRQDGLLHTTCGTPAYVAPEVINRKGYDGAKADIWSCGVILFVLLAGYLPFHDKNLMDMYKKIGKAEFKCPGWFSTDVRRLLLRILDPNPSTRISMEKIMENPWFRKGLDAKLLRYNLQAKDVPAVDMTADLDSPNTNTPTESKQQEVKKPTNLNAFDIISLSNGLDLSGMFEESEKKRESKFTSTNTASTIISKIEDMAKSLRLKLTKKDGGLLKMEGSKLGRKGVMGIDAEIFEVTPSFHLIELKKTNGDTLEYQKVLNQEMRPALKDIVWAWQDENTPHAQLFRLIKVSMEDAVVDNSLNEDDSLTQLGRALASST >Et_3B_029083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2263905:2266016:1 gene:Et_3B_029083 transcript:Et_3B_029083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLAAAGRRRSRALLLCLAVAVAVAVFPRAADAWKNYTVGEDKGWYDGLALPGVDYQEWADGKNFSLGDFLIFNTDKNHSVVQTRNNTLFKSCDYYDSGVDDTVEWSAAAPEFSKDAVTVAVPLLKEGRTYFFSGNYDGEQCENGQRFAIDVAHGQGLPPDLRPPAAPAPGPAAPGGVATFNFDHPKNGTTTPNAADADDDADDTSGGRRASSGSSRALATSRIGPGLAVTTLLVAVIFAV >Et_1B_013645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10569748:10575475:-1 gene:Et_1B_013645 transcript:Et_1B_013645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFMHADAVDVALMLLGLVGAMGDGMSTPAMLLLTSRLTNDFGRGPDLVQEFSSRISANAMNIVYLACFSWVMAFLEGYCWARTAERQASRMRARYLRAVLRQDVEYFDLKAGTTSEVITSVSNDSLVVQDALSEKLPNFVMNATMFVGSYIMGFAVMWRLTLVALPSVLLLLIPGIMYGRILIGLARRIRAQYALPGAIAEQAVSSARTVYSFTAEKSTMARFSAALEESVQLGLKQGLAKGVALGSNGITFAIWAFNLWYGSHLVMYHGYQGGTVLAISSIIVNGGLALGSALSNVKYLSEASSAAERISEVIWRVPKIDSESDSGEELDNIAGEVEFRNVNFSYPSRPESPVLVSFSLRVPAGSTVALVGSSGSGKSTVIALLERFYDPSAGQVTLDGVDIRRLRLKWLRAQMGLVSQEPALFAMSIRDNILLGKEDATEEEVAAAAKKANAHSFISQLPQGYETQVGERGVQMSGGQKQRIAIARAILMSPKILLLDEATSALDTESEHVVQEALDLASMGRTSIVVAHRLSTIRNADLIVVMKSGTVKELGSHDELIANKNGLYSSLVRLQNIKDSGEANEVHETGSTYFPMDQSSSHSKSKRFSAIRSCSARSMTDARHADNIENSNLTAPSFRRLLMLNATEWKQALTGSFCAVLFGSIQPVYAYLMGSMFSVYFLTDHAKIKEQTRIYTLVFANLAVVSLLLNIGQHYSFGAMGEYLTNRIREQMLTKILTFEIGWFDHDKNTSGAICSQLAKDASVVRSLVGDRMALVIQTVSAVLIACTMGLARAWRLALVMIAAQPLIIACYYVRGVLLKSMTKKSIEAQFESSKLAAEAVSNLKTITAFSSQDRILRLFDKAQDAPRKENVRQSWFAGFGLGTSVGLMACTWALDFWYGGKLMAEHRITAEELFQTFMILVSTGRVIAEAESMTTDLAKGGDTVASVFAVLDRITQIDPDNPTGYKPDKIKGDVEIAGVDFAYPSRPNVIIFKDFSLSIQAGRSTALVGQSGSGKSSIIGLIERFYDPLKGVIMIDGRDITSYNLRALRRHIGLVSQEPTLFTGTVRENIMYGTENASEAEIEDAARMANAHDFISNLKDGYATWCGERGFQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKLVQEALNRVMIGRTSVVVAHRLSTIQNCDMITVLEKGTVVEKGTHASLMSKGPSGKYFVLVTLQQGGNRHQDPA >Et_10A_002150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2518437:2521325:1 gene:Et_10A_002150 transcript:Et_10A_002150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLWRLLAVLLLVVPTSFARLRPFPALCSTDNNFTAGETYQVNVDMLVHRLRDGAARNQGFFYTRYGKKPDRVFGLAMCYADNNWKKCLFCLDHAAAWVGAGCPYSRNVSVNYKMCLLRYSNESFFGGLDLTLTASKRSLVNATDIAGMNKARLNLIGRLSGDAAGVPGRFAYGNHRYEDSYGESHVMYALAQCRRDLGDDECNGCLNNVTGELEKRVPSDIAGYIWGYSCYVRYSLTGPMEIIQPPPPEGPPKDKWKLIKLIMVVAGGSITGTVALMLCLGVSHAYFLQRRKGRTKSVGSLTFFRGEAVEIGELEQGTGPKRFSYEELTAATDNFSDDRKLGEGGFGSVYSGFLEEENISVAVKRVSKSSQQGWKEFMSEVKIISRLRHRNLVVLIGWCYDGVDDDLLLVYELMHSGSVDNHLYHPDPEKQLAWSTRYKIVLGLGSALVYLHDETEQCVVHRDIKPSNVMLDASFDAKLGDFGLARVIDDGRRSRTTTLKGTTGYMDPECMATGRTSVESDVYSFGVVLLEIACGRCPVVTLQNGSTVHLVQRVWELYGAGKLLDAADARLAGDYKCEEMERVMTVGLWCAHPDRSLRPTIRHAVNVLRFDAPLPSLPAAMPPIAAYMPPPAGLLLGSGYSGTGSHSTQHEAAIPNGGDADLV >Et_10A_002287.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:7143203:7145146:-1 gene:Et_10A_002287 transcript:Et_10A_002287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSGAGGGNDGAGGGGDGTAGGGGELNGAGGGGDLTGGGGELTGAGGGGDFTGGGGELTGAGGGGDFTGGGGELTGAGGGGDFTGGGGELTGVGGGGDLTGGGGELTRGGGGGELTGAGGGGDFIGGGGELTGDGGGGDFTGGGGELTGTGGGGDFTGGGGELTGAGGGGDFTGGGGELIGAGGGGEFTGGGGELTGAGGGGDFTGGGGELTGTGGGELTGAGGGGDFTGGGGELTGAGGGGDFTGGGGELTGAGGGDELLAGTGTGGCGCGDGDGGLASVGLFPGDSACVSGSGTFLAGGGVSGFGVGFGSGAGVGAGSFGGSGDDSGLSVGGASGVAFLCNGSLGGPAEQTLVLQSTGRASTAAHSAGGLCPGRSPRRQFPASSSATAAFPDGTHAAGSELKKLSAKLKLASLGSAHAAAGTAPASWFRDTSSCSRLAISPRPISGSVPTSRFPLTPTTAAFLSCVSSGGTQPSRRLLSSTSSSSVSAIRPTLRGMQPTNALLASTTTVAGEFPKFSGMQPTNRLLLTKTASRSFSNSPGGSSPSKSLNRRSRYLSTGIRSVTLGKAPTKRLLLTSSSWRRERREKLSGMTPQKRLELTWKKARSVSRPSSAGRYPAMSPPLRSTPATTVTLASSGAGEQVTPK >Et_2B_021440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29693127:29695063:1 gene:Et_2B_021440 transcript:Et_2B_021440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSMAEGQGDLEGPDADPIPDDLLSDREEGGEGELGGGSDEEEEEDVDGLASFLESEILSGSCAGDPLDAAGDVANNKRKQDSSLDADGGEGGISGSCSEGEQSKRVRRAQAKGKAVAPQIDTGMFSNIPPELFLQIFKFLSSEDLISCALVCRFMNAVASDETLWRRLYCMRWGLASNAKLRECAWKNLYIQRDREDMVEFVRNTPTEFKEYYIQMQAAKRSQAPLPSEVNDDKVMLDKTIADQVSSWKSSRGLTDEAVKGHSCSGNTCSYTQIGDAYICEKTGRVHVCDDACREFVLDQSSGLLLCTISGHCFERWLCPDDEWDTYDNDTDQQQGGVTDEAEPFMGSGRFGTKS >Et_4B_039973.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:728916:730424:1 gene:Et_4B_039973 transcript:Et_4B_039973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYAAVALVALRLLLSYKSAAYAVRRLWRWADEWAQAYQYHEVPRFGSDGAENPLFRKAAAYVSALPSLEDSDAASVVSSGSKTNGGRFSLQLGPGHTARDAFLGARLAWTNPIQSGGAGGDDRLVLRVRRHDRTRVLRPYLQHVESVADEMEQRRRELRLFANTTQGTGRWASAPFTHPATLDTVAMDPDLKARVRADLEAFLKGRAYYHRLGRVWRRSYLLYGPPGTGKSTFAAAMARFLGYDVYDIDLSLSGNKGGDVDLRALLLRTTPRSLILVEDLDRYLLQQSGQDEGAARVLSFMDGVASCCGEERVMVFTMRGGKDAVDAAVLRPGRLDVHIQFTLCDFEAFKALASNYLGLKDHKLYPQVEEGFHAAGARLSPAELGEIMLANRGSPSRALRNVITKLHHVSGRPNPPLQQHRRNTSWSGTTAQHWEDHAARVSADGTTEAASAAQAFKNTPMREFKKLYGLIKIRSRRESAGFVPLDGPPTPVSNHDKERW >Et_8B_059206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15237592:15245788:1 gene:Et_8B_059206 transcript:Et_8B_059206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPREVAPMEDDENRPPLTRALLHRSATNNTSQVAMVGSNPCPIESLDYEMIENDLFDQNWRTRAKADQVRYVVLKWTFCFAIGILTGIVGFVINLAVENVAGFKHEAVSALMESSSYWTAFWLFAGTNLVLLLFASSITAFVSPAAGGSGIPEVKAYLNGVDAPNIFSLRTLAVKVIGNIAAVSSSLHVGKAGPMVHTGACIAAIFGQGGSRKYGLTCRWLRYFKNDRDRRDLVTIGAGAGVAAAFRAPVGGVLFALESLSSWWRSALIWRSFFTTAVVAVVLRLFIELCGSGRCGMFGKGGLIMYDVSTVFDDLMTYHLKDIPTVILIGIIGALLGGLYNFLMMKVLRVYNMINERGRAHKLLLAAAVSIITSCCVFGLPWMAPCRPCPTTGPPSSSSPIGSCHTLNRFRRFHCPAGHYNDLASLFLNINDDAIRNLYSTGTNDVYHPGSMLAFFVASYALGVLSYGVVAPSGLFVPIILTGATYGRLVAMLLGGRSGLDHGLVAILGSASFLGGTLRMTVSVCVIILELTNNLLLLPLVMLVMLISKTVADSFNASIYDLILKFKGLPYLDGHAEPYMRQLAVGDVVAGPLRGFAGVEKVGNVVHTLRTTRHHAFPVVDEPPFSPRPVLYGLVLRAHLLVLLKKKEFLAAPERWPKERVEGRFQAEDFDKRGSGKHDDIGGVELELSPEEMEMYVDLHPFTNTSPYTVVETMSLAKALVLFREVGLRHLLVVPKACDRSPVVGILTRHDFMPEHILGLHPVLLASRWKRLRWHKGAVGKYFRSLLMWLANRT >Et_6B_050093.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:608446:608922:-1 gene:Et_6B_050093 transcript:Et_6B_050093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHELQARIRAGDYDRFVVHVGGRRLVVARPRYRRTAPDNDDDYSYICGDHGLSNKRARVVPVTDAAINRGLREVTSAKQQQLLQTECAVCLKDLDAEDKLRAMPSCAHVFHHDCIFTWLRRNAVCPLCRSPLLPPGPAQEQEDPTATDMPAPEDTA >Et_3A_023670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10720035:10724365:1 gene:Et_3A_023670 transcript:Et_3A_023670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIGGEAAAKRRNPSSSGEDRLSTLPDDILVLILLRLDTISEAARTSVLSLRWRRIWTLLPKLAFNFAPDYHHIRKVLAASEAPALHRIFVNTKDDAPDSVAAWLPLAARRLSGFLVYRNVVEGQGDDEEEEEEEDGAIALPCFGNATRICLDLGFLALSLPPSGVFTRLSELYLKRVRVQGQCELGDVVSSRRCPSLRKLGIFGTRGVARLAIQSESLLTITLQHLDGLQQLRIDALALKELRLRYCFVGNQPVANISAPQLVFLKWRDAYDPISVQLVNLGKLQRLSSYFVLGDSQRYDVHNRGVLKLLYRFEVIHRLHIALEYRKASSHPLLCKMCNFQYFMEDIRVLLNVTFLTMTVTNGTHTFGACLFQVLRLCAGIRRFSLSLCTSTDLEAESSCPTGCICDEATNWKTEEFSLNGLQEVEITGLRGAEHEVVFLKQLFNWAVVLEKMRVTFDYSISQSKAKELCHKLSSFSKPETYMQFYMYQNCDRKSVRLLSLEDEGTGVSVPQSILVCLLPSIIQTLP >Et_7B_054102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15394085:15412062:1 gene:Et_7B_054102 transcript:Et_7B_054102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVGAQCAAVRAQLISPCNFSGVDCRLNQVCPPKVPPLPVGIGFPALMAEHLSVGAIKPRNGTEVTSWSTMTRFVYINDDSCRNSYCDNRISNTKYTLWNFLPKNLWEQFRRFMNQYFLLIACLQLWSTITPVSPATTWGPLIIIFIVSASKEAWDDYNRYLSDKKANERKVCVVKDGIHRQIKAQEIHVGDIVWLHENDEIPCDLVLFGTSDPQGICYVETAALDGEIDLKTRLIPSISANLSAEQLGKVKGVVECPNPDNDIRRFDANMRLFPPIIDNEKCPLTINNTLLQSCYLRYTEWACGVAVYTGNETKSGMSRGTAEPKLTAADAMIDKLTVAIFMFQIIVVLVLGFVGNIWKDTKGFKVTLDLAKGVYAKFIDWDEQMIDHETSTPAHSANTAISEDLGQVEYILSDKTGTLTENRMIFRRCCIRDTMYGNDNGDALKDVRLLNAISSDDPDVIKFLMVINDGTVLYKAQSQDEEALVNAASNLNMMLISKDNSTAEIFFNGSKFYYELLHVLEFTSDRKRMSVVVKEDQTGKILLLSKGADESILPRSYPGQQIQNYLHAVEMYSQLGLRTLCLAWRELKEDEYKDWSKRFQDASCSLDDRECKIAEVCHSLERDLHILGVTAIEDRLQDGVPETIKMLRSAGINVWMITGDKQNTAIQIGRLCNLLTSDLDGQLLTINGKTEDEILRSLESALLTMKTMRETKDLAFVLDGWALEIILKHSKESFTQLAMLSRTAICCRMTPLQKAQLVGILKSVGYLTLAVGDGGNDVRMIQEANIGVGISGREGLQAARAADYSIGKFKFLKRLILIHGRYSYNRTAFISQYSFYKSLLICFIQILFSYLSGLSGTSLFNSISLMAYNVFYTSLPVMTIIFDKDISEATVLQYPQILLYSQAGRLLNPYTFSGWFGRSLYHALVVFLITICAYAGEKSEMEELSMVALSGCIWLQAFVVTMDTNSFTCPQIVLIWGNLVAFYVINLILSAVPTVQMYTIMFRLCSQPSYWITVALIVVVGICPVLAFRCFRTLYRPSAIDILQQIEQTNGCIQTPGNLEPAPKSARIDLTNLLTGLRRSRGSNYQPLLSDSAESTR >Et_7A_051786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24735139:24739575:1 gene:Et_7A_051786 transcript:Et_7A_051786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILVSVSTGVMSSLLRKLATIMSDEYRLLKDVRNDIKFLNDELEAMHAFLLEMADVEEPDELAKLRLRVVRELSYDIEDNIDRFMILVDREPCSKNHGFKKLIDKSKNLINEMKNRYQIAKEVKSIKNQVKLVAERYARYKIDERLSMPRNVLIDPRVLAGFKDTSELVGIDGPKEELVKLLKVNVEGESSCQQRVVSIVGFGGLGKTTLAKQVYETLRASFDCCAFVSISRNPDMGNILNSLLSQIRNKESSSIGSVDQHLTISWIREFLRDKRYFIIVDDIWDVPTWRILECAFVENNYGSRITTTTRINGVAESCCSSHESLIYRIKPLNIADSKKLFFKRIFGCEERCPSNLREASEEILKRCGGLPLAITAISSLLATRQTEDQWNIVRRSICFSFDKNSEVDGLRRILSLSYFELPHCLRSCLLYLILFLEDSVIERESLIHRWIAEGFIHVEDGQDLVQLGETCFHELVNRSLIQPVDIGFDGKARACRVHDTIHDFLTHKSSEDNFCYFVNNPSSPTRLPVAKVRRLSIMVNNEGDLNISPKLNASHIRTLGVFRAKRLPSLLDFSALRVLILSGSHELGNHDVINIGSLFQLRYLDISNTGISELPCEIGGLQYLGLLNTTSSCLYKLPETCTLLKRLARLFVFYGTKLPDGIGNMENLQELEWINVFQYSLNFLQELGELTNLRKLSIIWRTADIEGDKASYTEKLVASLCKLDACCLHTLRIHFYFREDEDLAGNPFCPALNSIRQIFLHSPSSCRVSTWLVTLVNLEYLDITVKGVGRRDLELIGNIVSLLEFRISLTGSSHQEPIIISKGFQQLQKFRFDSLSPGKGLIIEAGAMPSLKTLGLRIQLDPFNSSVVFENTFESTVGDFEFGIQHLSCLASFSLEVNCDGMWPAYVEAVLGAFKSMVETHPNHPTLDITSTFNDTDVDTATNNRIVQVKVPLLHTTFQV >Et_9B_065458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5219614:5230302:-1 gene:Et_9B_065458 transcript:Et_9B_065458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKLALLVALMATTVFSSCIAWEMKIRMPTARAVEAAAERLEQEVAAPLIHALRPVFGSAGQLASRAGVSCDSWRLAVEAYNKRDWKLVPADCEHYVGHYMLGGHYRRDSRVVVDEAIAYAESLKLAGNGREVWVFDIDETALSNLPYYSTYGFGTRPYNATRFNEYVMEGRAVALPETQRLFKKLVRLGIKPVFLTGRTEDQRAVTVKNLLREGYSSWQKLLLKPLGAKVTSIAYKSGERQKLVDAGYVIVGNIGDQWSDILGAPEGARTFKLPDPMYYIVAMAKIVLLVALVAAGAVCSSCAAETAAEPAAVIHALRPRLGSGGQLGRRAGVACDSWRLAVEAYNMRDWKTVPAECESYVGHYMLGEQYRRDSRVVVDEAVAYAESLKLAGNGKEIWVFDVDETSLSNLPYYATHGFGSGPYNATSFTEYVLKGSAPALPETLRLFKKLVSLGIKPVFLTGRTEDQRNITVQNLFRQGYSGWGKLLLKPLGGVKVTSVAYKSGERQKLQDAGYVIVGNIGDQWSDILGVPEGTRTFKLPDP >Et_7B_053507.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:3633042:3633050:1 gene:Et_7B_053507 transcript:Et_7B_053507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MS >Et_1B_012166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29643749:29645077:1 gene:Et_1B_012166 transcript:Et_1B_012166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILLDLKHLKLISLPTRPVVCKGLLIVIALILLRAIVSPFLAISSSEKEGFYESTAPDLLPGVRRDKFVEVPQIIWGLNNQKIAFARACLTARFLNRSLLMPSLSASLFYKEVDLLQPISFDKVFDFNKFNTRCHGFGSGRRWTVERDLDQLQQFIWGKADDSEVIEIVGKHPFLWPDHWPVKDYAKIFDCLVLAPEIETEVGKVISKIREAGRKARHEAGISRGKQRKDSSTNLPVPYIAVHMRIEKDWMIHCKKWEQRSNSNEICSSKEEIIHKVSQITDLRRPVVVYLAVADSLLEDDSITSGWRVGMVAYEKKKLGVTDIYDRQPYLIKSAIDFEVCSRADVFFGNSFSTFSNLVVLSRTERLYNLGKASSCGENVGLSSYAYNVIGDDGGPQRWMTDMTDTSLQRLSYGTNNVSCH >Et_6A_046539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16719374:16725174:-1 gene:Et_6A_046539 transcript:Et_6A_046539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDEPAEAPISGRGKKRPPSTENPASEDAEEPSMSTTSDDDGWEISSSEEVEDHGTCRPYTVDDFPRLSSDHFVQTTIIHIMMQDLCRRGPSPLSLFVPYNDPTRKGRSHWFGSQYRLYDESELSVDNAASFDCSNGCKCISTDLLQVIDLKIAGYRHAQPGHARIFGFFVVRDKIEPLRNYVYRRDIDNYEAVSVNPKTGMARLSLTSPARGICITSYALFEFKLCIRTEDTEGGPKDDILIEGCTEIDNIFGTESFVETGRLYGEKCGLDVTFAVLNIAIVHAPVCGLNLKLYAKTSNMIWLFQGVAEAGCKLSSVVAVVESGHLDLRIEGSSLDNDFSQKSCFDEWKSRFYACYHGIVDEEVELGDFAAISVKIIWKAIEVGGDESAVLSPGRGKKRPASPEAYLSDGVDSSTADDDDWKLSEEEDDVDENQGDCRPFTVDDFPRLSNDHDEQTTTIYKRQDLRRRGPSPLILFPPFNDLVIEGHRHVFGRKYRLHDESPINVDNAASVDCSNGCKCIPMDLLQVIDLKIAGYRHTQPGHARIFGFFAVRDKIEPLRNYVYRRDIDNYEAVSVNPKTGMARLSLTSPARGICITSYALFEFKLCIRTEDTEDGPKEDILIEGCTEINNILRTESFVKTGRLYGEKCGLDVTFAVLTNAVQATVVVQIVRAPACGLNLKLYAKTSGFNNVICLFQGVAEADRKLSSVVAVCRRGHLDLRIEGSSAGNDFSQKSSWLEGSFDACYHGILDEQVELGDFATISVKIIWNVVYDRRRVRG >Et_10A_001406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2732656:2736210:-1 gene:Et_10A_001406 transcript:Et_10A_001406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTEVTCSNALNSTSAETFRNTEFLRCLAAKHAVTGPQDASKYPLMYRSICGLRYTDQDEVPKKLWSAGSACCRMK >Et_2B_020768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23396189:23397103:-1 gene:Et_2B_020768 transcript:Et_2B_020768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGGVRESEKQEQPPYVMIRAVREEVSGDHLIAPRFLTQKRQSYFYLCIELNAADCRRPTSTQPMAAMKNKKVIFCLLVLVVAQLLLQMAAQTAAASNDGKLARRLFFIFRCTYRNCNCRTCPIYNWACCANCCPPA >Et_2B_020342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19173484:19182776:-1 gene:Et_2B_020342 transcript:Et_2B_020342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPVSSRPPPPHLLRRGAAPSATAPFFLVLRRRQRRRRHQRPQHAVVRASLSDVLASLPSSLPLVGPAAVAAAAALASSFISSSSSRNTLPPPPTQDPAGDYSACGDVTGEWILFTSPTPFNRSVLLRCPSVSFEDGGALLDGVNERLLTEERHYVNLSRGRIPAARGWDGACDISYQRICVTMEDGGVIALDWPDNLDLDKEHGLDSTVLIVPGTTEGSMESSIKMFVLDALKNGYFPIVMNPRGCGGSPLTTPRLFTAADSDDVSTAVRFINSKRPWTTLMGVGWGYGANMLTKYLVEVGESTPLTAAVCIDNPFDLQEATRSFPHHVALDQKLTAGLVDILNANKELFQGKAKDFNVQKALSASCLRDFDGAISIVSHGFDTLDDFYSETSTRQLVSCVKIPLLFIQSDDGTVPLLLVPRGAISENPFTSLLLCSCIHSTIFTFQRYTVLWCQNLALEWLSAVEFALLKGRHPLIKDVDITINPSKGLASVEPQANDMKASKGNNFHQHSEIILYSNVPHGINGLLVDSAKENSGAQNKENERLKNDGDKDRVNRDPDEEGSVESSEDIEKGQVLQSASLIMNMLDATMPGTLDDDQKKKQGESLVKALEEAVPEDVRGKLTTSVSEILQSKRGNFSLEALKRLGWNNVRSTTTKSVSQEKLKDSDQENVNRDAKLIGQDRNSATIGEGDQKDSNITNDNNPGESIEISQGQPSQASEPVGTATEIGNDQTQPNKSDKANSVTNDSIEEHHRTEQGSETNPKHKTEQGTGS >Et_6A_045883.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:24680579:24680968:1 gene:Et_6A_045883 transcript:Et_6A_045883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAHPANFSYHLLIAVILTACTMATSTAPATANPPMVMAMPPSSSSADEAFLCAAVPQQKTRRPATKLSSLSPPPLMAARLKFPSQPRRWRLVSSVASSLNFADFKLPEERGLGGPGTKHFRDAWWSR >Et_6A_046550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1695484:1695944:1 gene:Et_6A_046550 transcript:Et_6A_046550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVCALLEVDLWMKKEGDGPTDELLLSVYVEIDEGSCMDEKLIGRIHGDHCILDMRYMFLAESEATIEVDQLAFSSCFDDEIIFFKGKVIKKGAQIRHVVAVKVEEKLGVRLELGKSLFEWTFQDGAACSSPDDSIFFR >Et_10B_002662.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:511176:511313:-1 gene:Et_10B_002662 transcript:Et_10B_002662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLCARLQGLQIGYQQQEYSFARHTQHIQTQLVELLLSSRSWKW >Et_4A_032852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14677080:14679964:-1 gene:Et_4A_032852 transcript:Et_4A_032852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPSTTARLLCLTLAAAAWATTAWAHGGGGDSDSDADGGGDTEKPNLRARGLVAAKLWCLAVVFAGTLAGGVSPYFMRWNEAFLALGTQFAGGVFLGTALMHFLSDADETFGDLLPESGYPYAFMLACAGYVVTMLADCVISHVVSRGGGRHGAGVGTEGLEEGKVSNTNGTNSEPQPAEAHNGSSADHNVASMLQNASTLGDSVLLIAALCFHSVFEGIAIGVAETKADAWKALWTISLHKIFAAIAMGIALLRMLPNRPLLSCFAYAFAFAISSPIGVGIGIVIDATTQGRVADWIFAISMGLATGIFIYVSINHLLSKGYKPRRPLAVDTPVGRWLAVVLGVAVIAVVMIWDT >Et_4B_036352.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19418101:19419297:1 gene:Et_4B_036352 transcript:Et_4B_036352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARHAAVRMSASAPGSKRAVVVGIEDAAAAAIKIQAVFRSYLARKALCALRGLVKLQALVRGHLVRRQASHTLRCMQALVAAQNRARVARLRLLGDDVDNSKPAAAHPRSRSRHHNHQQTESSAEENVKIVEVDTGGEAHHATPRTTASRRSSSCYATPSKHEMYQKVSPTPSALTDASARSLSDDFSFATARNSPYHYADYYHVSRQQQRHNHQNGAGADHPLLAVPSYMANTQSSRAKARSQSAPRQRHSSSAGAVAVEVPWERQGSGRRRASLEGQSPAVGRRVQRCPSQASAPSCPWGARQLDRLWSASAHDSECGSTSTVLTAATTTYCWPMATDNAGMA >Et_3B_028258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12310279:12313902:-1 gene:Et_3B_028258 transcript:Et_3B_028258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSGGDVAARPAARSNAVEDRLSALPDDLLVIILLRLDNTAEATRTSVLSRRWRYIWPLLPELCFPVAPSGYHIREVLAVSDAPALRRILVAAKDDASGSVAAWLPLAARRLSGELLYSNTVVAEQEEEEGLTIPLPCFGNATSMNLDLGFLRLAVPSTGHGAFARLTELCLKRVRFQGVCDLGDLVSSPRCPGLRVLRISHTRGLARLDVHSESLLEMDLWYLDGLQKLNIDASALKKLKLLNCFGQNQPVADISAPQMVCLQWRDCYDPRSVKLGRSKQLRGLCAFFFWVYGQHGNIINRDFLRLLQQFQVIPVLSFCLGYPQEIGNLQYLMDEITSLPHITYLSMYVMNNGHSFGASSFHMLRLCTSIRRLLLTLRPQRGLQLALSLRIQIVTVALMQLLLEETIDGLYPGIDTFHVSAPCFDAIRCCSLSQAQPVCQSGCICDQPSDWNTEELKLNYLKEVEITGLNGADHEVAFLKRLLNWAAVLEKIRITLDYSISKSKVRELCETLSNFCGPEIHMEFYKYQDEDRMSSCLLSPEDEGT >Et_2B_021672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4335919:4341603:1 gene:Et_2B_021672 transcript:Et_2B_021672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGSAVDLSGAEIRGDLEDRNPPIFLPRQRAAVPLVALDIGGTLIKLVYTASCGSEEGSGAELRFAKFERRRLDECFQFVRSEGLLRCNDDAQATGGGAYKFADDFREKLGVCLDKLDEMDSVVSGANFLLQNIPGAAFTHMNGKRNPVNVSPNNLFPYLLVNIGSGVSILKVTGNRTFERVTGTHIGGGTMFGLAKLLTGCKSYDEFLQLSQKGDNFVLDLIVKDICGELVCQKQGLSTSTLAASFGKVITSKKKLTDYKPEDLAATLLSAFTYNIAQIAFLVASLLGLRRVFFGGSYIRGHKSTMENISYAIDFWSQSQMQAVFLRHEGYLGALGALMSYGDLSGENVTLEESKEKEPLHEAASPVDGTSEDEQNDSNIFPYLLVNIGSGVSMIEVIGKGKFERIIGSHLGGGTILGLARLLTGCSSYEEFLELSQKGNNLSVDLTVGDIYGEEGYPKIGLPASTTAASFGKVNSNKLSEYQVEDLAAALLNSFTYNIGQIAYFVANLSGLKRIFFRGAYVCGHEKTMDKISRSLKYWSKGEVQTTFLCHEGFLGTLGAFWSYENMGIDSLAAHEVIREVLLGAPYTGQFPSLPLTEELENGENKTLEEEVESLRRDNFVLKAELERLQRENAELKSKLARSGDAATL >Et_1B_013732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14675357:14681412:-1 gene:Et_1B_013732 transcript:Et_1B_013732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTEKCDVYSFGVVALEVMMGKHPGDLLTSLPAISSSKEDDLLLQDILDQRLDPPTGELAEEIVFVVRIALACTRANPESRPSMRSVAQEISAHTQAYLAEPFRLITAANPFIFEKERTREIDWIANA >Et_2A_016997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3060812:3061831:-1 gene:Et_2A_016997 transcript:Et_2A_016997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAIPPKPEPPDRLPPSPSIGGAGFLAVQDAVQNDSSPQKHETPSEAHVDITEKTKLEDEKHHSVSSAGAGLGEHPDIGIGPAEEILRDAEFDLDSVTVDIDNMEVKHKNMQERKCNVQEQTKDQKRKMLQGLKSLKTAIDQKKELQSRLEQVVQSAKVVLERE >Et_6B_049975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2361120:2363228:1 gene:Et_6B_049975 transcript:Et_6B_049975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPSPSPSTTTTSTASPRTSPGRPRHWRRAVASRSASACTTPPATAPIHALPAHLGDRHPAATAVAAGIEEAVSGDATKTMSVFKERIRELAATMGVLRVSGSPRFVARTGAVAVAESWTGDGGIQVGVSLPPEDMHGRVPQRKRADDRAKID >Et_4A_034066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29659705:29661974:1 gene:Et_4A_034066 transcript:Et_4A_034066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTSSVNCRRYQQLSALLCSVLLEWILMLLLLLEGLLSYLVTTFARLCKLQPPCPVCTRLDHVLGNSQPGFYRDLMCSSHKAEASSWAFCHIHKKLVDVHTMCEACLLSFATDNKSNLQTYQSLVGKLGVGIKNVGYRKNFSLRCDANQASVGKKDTLCSCCSRPLKLKPYPFVVLQNEASGIGIEELCRDVSRYHQHSNEINYAVYSELRTSDSESEPCHLVGNAESFQKDGASDLKAGSILGHPQTDIAEGIPPYGNVQEQVPGQSELILVQNGVSDSKPSENAVDMCSIQADGKANLQSTDLPTNDGYQITEDSDTIDKSEEDVWHSALGSTEDLSVATTRSAATDTMEGENKAGFTHMTTRNDSFNAHEDLKLLLSQLSNASKATDIYSPTVQDQSEQAILHNITRALSFQRNHSGTSESMVNEVEVECTIDQLKRQIELDRQSISRLWKELEEERNASAVSANQTMAMITRLQEEKAAMQMEALQYQRMMEEQSEYDREDLQKMTEMVQTLQAEAESYKVKLRDQLLVDEIRDHMRLSCPKEYDDSIISKATVSLSCFEDEKAYVSRRLEKLKQKLYQFSNNSKHLPLSKPGDDREDSVNIEDVYEDDVEQGKTDDSVFDNNLPRNGSGFRDYIHCKDDPKGQYHAMVSENDLASFEEEISEVSGRLLALEADRSFLEHSVNSLRNGKEGEELIRDIARSLRELRKMGVRWKEHGD >Et_5B_045428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22137731:22140766:1 gene:Et_5B_045428 transcript:Et_5B_045428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGSTQLQSSQTWSLLKIQQLLNYPPVLSTWHNHTDFCYGGDYKTPSAFVECYGDSVTQLHIIGPATGGAPPLPKTFSIDAFFTTLSRLPDLRVLTLTGLGLWGPLPAKVSRLASLEIVNVSGNYLYGELPEGLSRLDNLQTLIADDNLLSGELPPWLGKLPSLAVLSLRNNSLRGALPESVRDMASLRSLTLACNNLSGEVPDLSALKNLQVVDLANNSLGPAFPRLGRKVASVVLAGNRFGDGLPAGELASFYLLERLDVSRNRFVGPFPPALLSLPSIEYLSIAGNRLTGLLAGNMSCGENLRFVDLSSNLLTGSLPSCLLTRAAGAGGKNDDSKLMLSAAANCLSPAAGDTTQHPSTFCQNQALAVGIVPDQAHGKKHGGKVALVAGIVAAAIAGAVLVGVGVFLAVRKVTIQRAKARPPRRLVEHASSAYPSQFFADARTISLSVSAFSSVLGYISQTVKLGALGIPAYRSFSLVELEAATNNFEVSCLMGQDAHGQMYRGTLSNGTPVTIRSLRVKRSQTSQSFNRHIEMISKLRHRHLVSALGHCFEYNLDDSTVMQLYLVFEYVQNGNLRSRISLRSPVTVTETVGAQLSCLFVKRRKGTSVDWSLSCSEGTEGRKLPWVQRIQTVIGVAKGIQFLHGGIMPGLFGNNLKITNILLDQNLVSKIGSYNIPILAETAAKSEGGSKHASDRVPNADKMDIYDFGVILLEVVSGRPITSIYEVEIMKEQLQSAVTSEGPSRRRGFVDQSVSKACSDESLRTVMEICLRCLAKEAVQRPSVEDVLWNLQFAAQVQDDWRGDSRSSEESPLSPSQIPRESNRHVRDDA >Et_4A_033217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19991831:19995116:1 gene:Et_4A_033217 transcript:Et_4A_033217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNSFFSMLRIPSRSGLVGGRRCYSAAAKGGPSIAGVGDIIAVASGKGGVGKSTTAVNVAVALAKEFKLKVGLLDADIYGPSIPTMMNLHAKPEVSEDMKMIPVENHGVRCMSMGFLVGKDAPIVWRGPMVMSALEKMTRGVAWGNLDILVVDMPPGTGDAQLSMSQRLRLSGALIVSTPQDIALIDARRGANMFRKVQVPILGLVENMSCFKCPKCGEESYIFGEGGARRTAEEMDMKILGEIPLEINIRTGSDEGKPIVISSPDSASAQAYIHVAEKVTQRLKELVEERLTGPEILL >Et_4A_035721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4371016:4378248:1 gene:Et_4A_035721 transcript:Et_4A_035721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLGQNKTSIPLRPATFKALMIASGFFNAHGGGGQTGGSAYRVAVGSLHERGGGEEPVAVVGVGVELEQHLTTAAARGLGRQEVVCSGIGCRSFRRRRGVRPGADVVERKGLVGIGLGLLPAPARLRPLLASHNPRSQIITMETANVRALCFSIAIVFAVLPWQSLAAGEGSKEADKIPALPGQPKDAAVQQYSGYINVDEKGGRNLFYYFVEAAADPAKSPLILWLNGGPGCSSLGLGAFQEVGPFRVDTDGKTLCKNKYAWNTVGNVLFVESPVGTGFSYAVNTEVYKTIGDNMTTADTYTFLLKWMERFPEYKGRDFFIVGESYAGHYVPEITTTILAAKNPEFNLKGIIIGNGILELKEEQRTMYDYLWQRAFISDPAHTLIAQSCKDADDASPLCNAAETAAEKQLGNIDWLNIYGPTCHDPKVKPTGSNCVDVAHPCAEFFVKAYMNSPEVKAVIHAFPGLKEPWQRCARGRYDLNHFGDSPKSMLPHLKTISSSGIRIWIFSGDMDSVVPVTATRHSLEKLGLPVTADWRPWTADGQQVAGYVVEYKGLMFATVRGSGHMVPIDQPERGLVLFSSFIKGQPLPKAPPLASQ >Et_2B_021634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3865878:3868398:1 gene:Et_2B_021634 transcript:Et_2B_021634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQLTGAGVAAVAFTNKGLSSTPSTLRISSSRRSFRSLVVRAATVVTPKYTSLKPLGDRVLVKLSAVEEKSVGGILLPSTAQTKPQGGEVVAVGAGKTIGDEKIEVGVQTGAQVVYSKYAGTEVEFNDAKHLILKEDDIIGVLETDDVKDMKPLNDRVLIKVAEVEDKTPGGLILTETTKEKPSIGTVVAVGPGRLDEEGNREPLSVPAGSTVLYSKYAGSEFKGADGTGYIVLKASDVMAVLS >Et_5A_040326.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:13947113:13947178:1 gene:Et_5A_040326 transcript:Et_5A_040326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCNTFSLIVYLHGNSGSKY >Et_1A_009355.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:40191715:40193292:-1 gene:Et_1A_009355 transcript:Et_1A_009355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDALGSSVHGMTGREPAFSLSTESGPTTAHDEAASKFDLPVDSEHKAKSIKLLSFANPHMRTFHLSWISFFTCVVSTFAAAPLIPIIRDNLNLTKADIGNAGVASVSGAIFSRLAMGAVCDLLGPRYGCAFVIMLAAPAVFCMSVIDSATGYITLRFFIGLSLATFVSCQFWTSTMFNIKIIGTVNALASGWGDMGGGATQLIMPFVFEGILKAGATSFTAWRIAYFVPGIMHVVMGVLVLTLGQDLPDGNLSSLRKQGDANKDRFSKVLRYAVTNYRTWVFVFVYGYSMGVQLTTNNVIAEYYFDHFNLDLRVAGIIAACYGMANLVSRPMGGVLSDMGARYWGMRARLWIIWILQTAGGASCLWLGHAKTLPTSVTAMVLFSLTAQAACGATFGVIPFVSRRSLGIISGMTGAGGNVGAGLTQLLFFTSSTFSMARGLQYMGVMAMVCTLPLALIHFPQWGSMLLPPSADADEERYYAAEWSEEEKSIGRHSASLKFAENSRSERGRRNAILATDATPQHV >Et_1A_007618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36538311:36540354:1 gene:Et_1A_007618 transcript:Et_1A_007618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQQQDHTNRLPDHLLLQILHGLGCARTAARASLVSGRWRGLWAELREIRLFGVSPGSCYDALGKLEAALVAGVRASRLDVLHVEPFIRGASRRRAASLLRRAARLAPVELKLALFSEPPISRQLVRLPCFGSTKSIDLRLLGFQLVPPPEGELFLELERLALRGCVFDPSALLPRCPRLRVLEIGPEAELAATTTAVSLPLLEELSIAVSDQASRLHVEAPVLKHLSLSNHIEDVSVSAPNLEKLTLDCAKNVNRLELGNMSSLREVVLVGIHRLALNDRLRMGTGVDNFLQQLPDLRVLSIIVSLAPRLPRNLPNDWLETLPRTSVLMLELKTDGHVFAATVLHLLEKVKGIQELTVILDPPKLGGECSQDCSCHHHREWSIEDFSLPILRKVEIKGFNGANCAFHVLVNLLSAAEMLEQVTVTLCDKDPTSNERLKHQLLDIFTSYPTVEYHVVNA >Et_10A_000303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2256635:2257032:1 gene:Et_10A_000303 transcript:Et_10A_000303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMSRLFSKQISKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLQNALSKNPILKAPLVEHANQSNVRSTLPRSTLVVLGLAEATQAQSGQTQAQSSQNQAAEASSSAAHTTEFISTSCF >Et_1B_012710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34629150:34632209:1 gene:Et_1B_012710 transcript:Et_1B_012710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSYCSISKLPITPKPSYKQAQNWLLPATNCGNGTGCMLTKAIRNSRMGFQVRAVTGDPDTRSVFDSKFPNDYTELLVQAKEAAESALKDGKQLLEIEFPTAGLQSVPGDGEGGNEMTGSMILIREFCDRFVPAEKTTRTRIFFPEANEVSFARQSAFEGCSLKLDYLTKPSLFEDFGFTTKVKMADRVRPEDEIFLVAYPYFNVNEMLVVEELYKEAVVGTDRNLIIFNGELDRIRNYPTFFYPKLAALSETFLPKLETVYYIHNFKGLKGGTLFRCYPGPWKVLRKASSGSYICLHQQEEMPSLKEVALEILPST >Et_9B_064539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16349389:16354508:1 gene:Et_9B_064539 transcript:Et_9B_064539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPKPRSLAERWSWPLLLLSLAAAGVLQARAQPDRNGFISIDCGHAGPGYVDDATMLSYVPDAAFTDGAGESHNIPPHSITPQLQRMLQDVRSFPNGTRNCYTLRSLAAGNKYLLRVNFMYGNYDGLDKPPIFDLYIGVNLWTTVDSTGSRQVIWWEVIVVVPDDFLQVCLVNTGRGTPFISGLELRSLKSKLYPQVNATHGLNLAVRSNFGPTDTTDIVRYPDDPYDRIWYPKINATNWNVTSTEKSVQNNNRFEVPSKVMQTAVTPRIASDNIQLQWFLNPEPNDPLPQCVHVLHFSEPEPLNGKAVRQFDIYINSDLVKTFTPVYLSSIAIFNDGPYRGYTQYKATISATANSTLPPIINAYEIFAIIPTTNIGTEAQDVSAITAIKMKYGVTKNWMGDPCVADTFRWIGLTCNSAISGPPRITGVNMSFSNLNGDISSTFANLTAVQYMYISNPNPCTDGESCMMPKVKSKLPIYIVVPVALVLVLISVPRNATSMSHVPIGDMHSSLRLENRCFTYKELQRITNNFQHEIGRGGFGYVYEGFLEDGTQVAVKLRSESSNQGVKEFLTEAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGSLQEHITGKHLTWRQRLRIALESSQGLEYLHKGCNPPLIHRDVKTTNILLNAKLEAKVADFGLSRAFNRDINTHVSTNTLVGTCGYVDPDYQATMQLTTKSDVFSFGVVLLELVTGKPAILRDPEPIGLIKWVQLRLSRGEIESIMDQRMNGNHNINSVWKVADVALKCTVQASTQRPTMTDVVAQLQECLELEEGRVGSDTTQSFYTGSQSNLNSSYNANAYTDGASRSSAAFEMDEMMATGPATR >Et_3B_030826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7766852:7768455:-1 gene:Et_3B_030826 transcript:Et_3B_030826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALFSMMDNHFKLFEEIFSTLLRYPEALTTVSQVCNRVSLHLNSFSLNKIVSRIRRSATGKLRWQQMQTGSTSR >Et_2A_017299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3305376:3323394:1 gene:Et_2A_017299 transcript:Et_2A_017299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQDQGQLVQEVAAGGLPAPPSRYVLKEEVRPAGGVAAPELTFPTVDLQRLAEPGDVEEAAKLRSALDSWGLFAVTGHRVLEELLDGILDAAREFFHLPAEEKLGYANRTDVGEFQPEGYGIDRVDTDEQVLDWCDRLYLTVEPEDERNFTLWPAHPERFRDVLHKFTAECTSVKDSLLPEMAKLLELEDDYFSSQFGDKPDTYARFNYYPPCPRPDLVVPTPVQELAAAVEQPLSRYVTREQDRPSSLLAAADMPEPIPLIDLSRLLDDAAEADKLHAALQNWGLVLVTNHGIEASLMDNVMEASREFFRQPLEAKQKYSNLIDGKHFQVEGYGNDRVLTQDQILDWSDRLHLRVEPEDERNLAFWPKHPESFRDILHEYTSKTKKIRSNIFRAMAKVLGLEDDYFISQIGDKAHAFARFNYYPPCPRTDLINGVRPHSDGAALTILLVDKEVGGLQVQQDGRWYNVPSRPNTLLVNLGDCMEIMNNGIFKSPVHRVVTNAEKERISLAVFYGVDGEKMLEPAAGLLDDKRPARYRAIEIKDFKSKKAIMAEESWRNSMLVQELATKVQQPPSRYVQPEQYHPIRLGVEAETAEGIPVINLSRLLAADGADEAIKLRMALQNWGLFLVANHEIETSLMDELINASREFFHQPIDEKQKCSNLTDGKQLQVEGYGDDLVIFEDQILDWSDRLYLKVEPEDERNLSLWPKHPKSFRDALHEYTMNCKRIRDCILRAMAKTLGLKDDYFVSQFSEKSPSFARFNYYPPCQRPDLVFGIKPHSDAGVLTIVLIDKDVGGLQILRDGKWQNVPTSPHRLLINLGDYTEIMSNGIFKSPVHRAVANSEKERISLAMFYGLDPETVIEPSADLLNEEQTARYRKLEAQEYLARLPSIVQELAATAKEPPSRYLIPEQERLGGKQLVGAEMPEPVPGIDLRRLLASDGAEEEAGKLRSALQKWGFFLVTYHGIETSLIDAVIAASREFFRQPIEQKQEHSNLIGGKKWQLQGYGNDPVQTQDQVLDWSDRLHLRVEPEDERDLALWPRHPESFRDLLHEYTMNCKRVKDGILRVMAKLLELDDDCLLNQFGEKGSTYARFNYYPACPRPDLVLGIRPHSDVCVLTLLLMDKDVGGLQVLRDGTWYSVPPVRDYALLVNIMTNGIFRGPVHRVVTNSEKERMSLAMFYSADLDKEIEPIAELLDEKQPVRYKKIKCKDFLSAHYEYFSKRERVIEEFNTNFLVTFQESFTQKSAKDESKIESHRRVTSVPSSATGR >Et_2B_020309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18969526:18972683:1 gene:Et_2B_020309 transcript:Et_2B_020309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAARFRLLAVAVAAATFLASSGEASVHEYRGLSFANKGNAFILHAGSEGLYAASPANATAEDEEDAAAAADAFIRFDKITFRRAEDVNDSVKEASSAKVQILLFEIEDRQMIGGSAYGGQKAICCTSDLAKLGACTEGSVIYRPSKVNPGWPKLFVASFDGSDLIATLPSKTIPITKTGMYNMYFIHCDPSLAGLEIEGKTIWKNPTGYLPGRMAPLKNFFGLMSFAFVVLGIYWFYQYMKFWREVLPLQNCITLVITLGMFEMALWYFEYAEFNETGVRPKGITFWAVTFGTVKRTAAEVIVLIVSMGYGVVTPTLGGLTSKVVMLGGTFFFATEILELVENLGAVNDLSGKARLFLVYPVAILDAAFVIWIFISLAKTIGKLQARRLMAKLEIYRKFAIALIVSVLVSVGWIGFEIYFKSTDVFNERWRYAWIVQAFWHVLSFSLLCVICYLWAPSKNSTRFTNDASEFFDRKDNLSLIRPAPSASKNGWSLSSAPDAKATTGVNTVTSFDEDDEENKRE >Et_10A_002156.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:2493925:2494569:1 gene:Et_10A_002156 transcript:Et_10A_002156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLVYARTARFISDSNRPACSRRAHAAACVALGAVARQQWSCKRSVHAGSLARAVSPDLRSVFSARHVHVPEWQAAPALWAFHHELGAVDDVAQFDGKDSRAMIAYAYVHRRRAPGGFSMRRPPGSPKTTNVQEMERVATVGLWCAHPDRRLRPTIKHAVNMLCADRASRRSCRAPIAAYVCRLRPVRHWGLLPAGSHCIHVIAIDNGGVDLV >Et_9A_062327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21961956:21971487:1 gene:Et_9A_062327 transcript:Et_9A_062327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTVLSLTCAGLGAPEEDDDGAIVGYAKSEHCLDNLKDLQRFLRRDDPQKREVFKQVCKWKIASRNLVPIIENYQDDRNLVITAVKVLVFLTMPVEPSSENVAQQIEYLWDLKAALTCNVAVAVIVSLLEDPLDRLERTSFTEDDWKLVQLVLTLFRNVLAIQEITLPQKASGEATHLLFLADSFLELMFQENVMDLILVLTQHIDEPSEYLKQENLLLMEIFHYLFLGRDPGLIARTSGTDSKVNGDIDTSVDSLRLMMEEEEKKRRMFRQQNSDNNSINGIFTCFSVDGSKSLCKGNPSSASASSLRKIRNVQRGPQKRIAWDNDLLYIPKEGIIEMLRNFMDQFLSGGYNILMQSVREDIMGEHDSVEKSDIAIFFKVARFVLAFQHEKSSNDQKSNKGTQPAEVSPSDGCDENLPFHGDICGPVAATLNEDMFNLVISRWREAYESLKETNDYKTLSAAGSLMKSMIDMIYLVVKILPEDSRESQTARVLLYKLFYDQTEQGLTQFLLNLFKSFDSHKQPKSDLADLLETIHIMLQLMEKLQARGALRVAKKTRKGRRKKTSNGKKENSESKTENVEPNNVNPTDGTKCPPESLPDLTPKDPTAEPSPVEEGKIDQSGADVPDTLEDAAGNKEGTAHPEGDTPCASNRDMARNLIDEDDETSDSSMDDHQPATSEVNFDVSRLISSLANNSVVQNICWLLKHYKSNSFRTNHYIICMLRRFCEDLQLSPILYQLSLLTAFYDILAEQKLSGSKEYTNIVNFLSKVVRKMLRAMKKQPLLFVDILFWKTHRECHCIDADALLNELKKDAGNKDGGIGSSKGWRGPINIADSLGDDEVDFVIPQAPYDENKDGDSSDGDHGDDSQKSATYKRNRLMSLSESEDDDNDRNNISRASKVPKRRGRSIFSEEQEKLIRDLYEKHKDDRKCSQLIAEALDPTGKISSAQVSRKLTQLGLRSVTRRRKLTDESVLPGVLASEPQSNLDDMNPMLGEHDHGPNSASSRRKRLHGLSSSHDDTSHGRSSDEETLQVIKSRTKSKNLPLVDSSLHESQHQEAQEDPDSDDVTLGSMIRSGKKKRLSMSDFEANEQYHQESSTNVDIKDSASNISQHQEAMQDIHPDDESIGSILRNGKKKRLVTSNFSVNIQEESSRNIDPNVETDSNIMDAPLHHGLDSVTNSGDAGETELLDDFIELEVDNHEKTDQSVIDDGSIAEPRDNANSDANGRAGLKRRHRLVIDDDDDE >Et_5A_041732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26554715:26561582:1 gene:Et_5A_041732 transcript:Et_5A_041732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLPPRPHKQPTAPPAPGRDPRSNAHERARCRRLRALQGEGSRREIGEVSWRRRGGKARSLTGSAFAEVRSEGVAARQRGELRSGCGPLFGTTAAEAEANGGVGDPGASARRRWDLPGKAADNVTMGKEVVDMSTDEESDCVVICPPNGNADHEEVVSGSHDEDSTEKLENPHAVDSNMDNNVQEDMSVRQDSPKLVPQQESSLPNSPAKPVIAGQRGSSHTVPEPCSSATERRASGAGDCTPVAHPTSSGEKFSDKSSTSTRSMAKKSPSVTPRKPLQSDNTSHSQDDDSYSVTSSTVTSARAGKTKKTTVPVAPTFVCVNRAEKRGEFYTKLEEKRKALEEERLQAEARKKEEEEEALRQLRKNLVVRAKPMPSFYQEGPPPKVELRKVPPTRAKSPKLTRRKSCSDTPHTPEGGNGSAVCCRLHRQSIGNLKDVNSKAQCSPKSSPKTGSAAKSRTTKTREDLKAVMKNVGKPSAANM >Et_8B_058518.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:11714360:11714728:1 gene:Et_8B_058518 transcript:Et_8B_058518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNRPTGNYENFSRDTVVESLSCCKEHVRWIQGVIPVNANKSPAPFTEAALKEQVLEGFFMINRAEYTRIGR >Et_8A_056544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1246824:1249521:-1 gene:Et_8A_056544 transcript:Et_8A_056544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTSSRRQRRRPAAEVDEAMPSMGDEAAASHAVREETEEEDGDASSEGAVVLPPHLVDGIVWYLGALDSARVAVVCKSWAAIVSRRLADPAPHLFGVFAFRSTATHRRGAIVEVPLGGGDADATATASFLGGGGVVTPGHRALGRVTRKTECVGATASGRLVLVNSQRRRRIVLFNPVTGKFRRITKFPGDYHHDPIPVVPIPGGDSFFLAGWNEVGLWRAEEGEWAVRDVGNAAELLRLAVLCGGSVFALDADGYVFQVALPALDFSKLADVPSLLDKYCTALAGAVGKGYLVEADGDVHFVWPLFATRRVAKRDIAPDLLDSDDSDSDEVNEDDDYFYEDVTAVCGFDVYRLDMAEARWVKLESLGGDRALFVSQWSSFSARASEKGCVSNCVYFGDGDRWGAFSLPERRMLFEHAIGTGSYKERLWFYPRAREPGSDETDRRRLEEIWYISPTPIVLYHHHRAKPPHQTQTKSSQAIMRTALLFIVVALVSYVVVPPATAIPAPPLAQAGGFFPIQNVNDPHIVDLGRWAVEQHNKQTNSGLKFNSVVGGEQQVVAGMRYHLFIDASNPNGRYRADLVEDLSDKRILFSFTG >Et_3A_023976.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15463453:15466354:-1 gene:Et_3A_023976 transcript:Et_3A_023976.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SHRRPCPTRRRRLLRAPPSLPPPLPFRAPVPRLPGALGSAPALARFAPAPLSFKRHVSASGLPLPDLPFSLGVRLAGGVNVVALLSLAARQIWLLGPRLAADGRTVELLKCAVVELEPAWPVYSMEVAIGRLLLGENGGVRVFPLRGLMKGGKENEGKEEGNVAAGKKSLHKKNGIVNGMAVPVRRGSFVGGKLSTLRAKQTSGSYCSFSVTVRNDDHTMQGGMELRKSVQAVSIHPFSKNKCLVLDSSGLLHVISLPNTEMGSSAGNKQYLENIHIYHLDYPMKVQLSAVFPSGSTKTHFFWVSDGGHSVHIMSAFDVDSTDSNNGDGAGDRELTTIKLSAMEAIFTSEKVQDIVPISKDSVLILGQALGGILTKD >Et_5A_040723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11595168:11600599:1 gene:Et_5A_040723 transcript:Et_5A_040723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLVFFDPVHIPQYQLQNRLHIPPSFTLYIKCTCNAAEDVTMSADHNQIRETSSIKKYKQQQENCCEEDKQGLDKPNLFDLMQASSSKIESPQILELADSIWKSVQPIAKPETQELEISETLNGLWKLFNTAQITFFFQEHNPDPTEAECGLTDLRPSRCRRAASAAARLESSTASPNS >Et_1B_010974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15999485:16006552:1 gene:Et_1B_010974 transcript:Et_1B_010974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVKGGGGKKKKEVKKETKLGMAYKKDENFGEWFSEVVVNSEMIEYYDISGCYILRPWAMEIYDLMKEFFDAELKKMKVKPYYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGKSDLEAPIAIRPTSETVMYPYFSKWIRSHRDLPLKCNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADEEVLHVLELYRRIYEEFLAVPVSKGRKSEMEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFDITFENEKRSREMVWQNSWAYTTRSVGVMVMTHGDDKGLVLPPRVAPIQVIVIPVPYKDADTTAIKGACESTVYTLNQSGIRADLDTRENYSPGWKYSHWEMKGVPLRIEIGPKDLANKQVRMVRRDNGAKVDIPVTNLVEEVRALLDGIQENLFNTAKEKRDACIEVIKTWDEFITSLNNKKLILAPWCDEEEVEKDVKARTKGELGAAKTLCTPFDQPELPEG >Et_7A_051939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:291335:293914:-1 gene:Et_7A_051939 transcript:Et_7A_051939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSAATYYFVLPPHLKMSFRSMVRDLRETFGNISKRNFDVRIHHRGKSLGSSSDMQDGPVVIQHGRWASLPPELLRDVMKRLEEDEKCWPSRKDVVACASVCKTWRDICKDIVRTPEFCAKLTFPVSLKQPGPREGMIQCFIKRDRSKRTYRLYLCLTSSVLDDNGKFLLAAKRSRRTSHTDYAISMDSKSISRSSSGYIGKLRSNFLGTKFIIYDTQPPYNAGKLCSQERASRVSSRKVSPKLPTGIYPIAQVNYELNVLGTRGPRRMQCTMHSIPASSVEPDGIVPGQPKELLPRLFEESFRSTGTSFSKHSIADSSMDLSSSRFSEFNGGTLDYGDVSDKESPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIASVPQPASAGSSVPSQPAPPAQMQSQSTPASSSSSSSSHDTVILQFGKVAKDMFTMDYRYPLSAFQAFAICLTSFDTKIACE >Et_2A_016322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23288948:23292141:-1 gene:Et_2A_016322 transcript:Et_2A_016322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVAALGVKKERAAEYKGRMTWYVGMTCLVAAVGGAIFGYDIGISGGVTSMDPFLKEFFPVVYRKKNSGGQNNYCKYDNQGLASFTSSLYLAGLVATLAASPVTRNYGRRASIVCGGISFLIGATLNAAAKNLIMLILGRIMLGVGIGFGNQAVPLYLSEMAPAHLRGGLNMMFQLATTLGIFTANLINYGTQNIKPWGWRLSLGLAAAPAALMTVGGLLLPETPNSLIERGMVDEGRRVLERIRGTSDVDAEFTDMVEASELANTIEHPFRNILERRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQSMGFGSDASLYSSVLTGAVLFSSTLISIATVDRLGRRKLLISGGVQMIVCQVIVAVILGVKFGTDKQLSKSFSIAVVLVICLFVLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLLFTFAIAQAFLSLLCAFKFGIFLFFAAWITVMTVFVWVFLPETKGVPIEEMVLLWRKHWFWKKVMPDMPLEDGWGAADGAAPANNSHK >Et_4A_035806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6483586:6485496:1 gene:Et_4A_035806 transcript:Et_4A_035806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRSASLRMKRPTFSVSVATASQLHDAVDRLLPLLSADASHAPAARALAAAAASLPPSTLLSNRLLHLLSSNAATLPDALALLSSMPHPDVCSHNTVVTALSRSPRSLATARALFDRMPRRDHFSWSAIVSAHARHGKAHEALALYRRMLRDPGSAGADNQFTASSALVAATAARCARAGRELHCHVIRKGIDADGVVWSALADMYAKCGHVDDARSVFDRMPIRDVVSWTAMVERYFDAGRSGEGFKMFVHMLRSGVGPNEFTYAGVLRACAEFTSEKLGRQVHGRMAKTRVGDSCFAESALLHMYAKYGDMVGAMCVFKGMRKLDLVSWTAVISGFAQNGQPEEALRYFDMFLRSGLRPDHVTFVGVLSACAHAGLVDKGLDIFRLIKDEYNIEHTADHYACVIDLLSRVGQFERAEEMINNMSVKPNKFLWASLLGGCRIHKNIRLARLAAEALFEIEPENPATYVTLANIYASVGLFDEVENVRRDMESKGITKMPASSWIEVGRRVHVFLVGDKSHPQAEEIYALLKKLYVKMREEGYVADTGFVLHDVEDEQKEQDIGYHSERLAVAFGIIATPEGAPIKKEIIVRDSNRFHHFKNGSCSCRGYW >Et_4B_036185.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:8822384:8822995:-1 gene:Et_4B_036185 transcript:Et_4B_036185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTSTSIFTFSESSRASSTRSYTSASFVTTAARPSPAAPFLGLLGTVQLPVANPLPPTSSFLSLVSASSTADASVVFTGNPGGGPSGGPAARNSRRRRKWRHRDGGGWPESSSLLYRALRLWTRFWHWARVRLVRRPAAAPWALTALATARQSCTARRPLVGGAPRADEDSPSLQSAARCSGDGGARRRAGMLGLGAAGAN >Et_7B_053864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12924478:12928368:1 gene:Et_7B_053864 transcript:Et_7B_053864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVELVRRPFSPCAAAAKSGRDRGGGLCSAWSLPVGRRRRSLRSTAAVCALAERPVVTPAPAAERAGAAPAEPHPQSVVAARAVVTVRRRRKEDAKQRVVEQLDALADRIGRSVLLELVSTEADPRTGGPKKSKRSALVGWFDKKDVKAEKVVYTAEFAVDASFGEPGALTVLNRHTREFFIQSIVVEGFPSGPAHFTCNSWVQPTRVDRGPRVFFTNKPYLPYETPPGLRDLRLQELGDLRGDGGDGAGERRITDRVYDYDVYDDLGHPDKGAEFARPVLGGDRLPVEYPEPIYVSRDEEFEEGKNEMLSEGALKALLHNFMPLLVSSVSPDISDFAGFHDVDNLFKEGLRLKQALQDQLFQKIPFVRKIQENSEGLLRYDTPDIIKKDKFAWLRDDEFARQALAGINPVNIERLQAFPPTSKLDPAVYGPPESAITEAHIIGQLDGMSVQQALEGNRLYMLDFHDIFLPFLDRINAQDGRKAYGTRTLFFLTAAGTLKPIAIELCLPPMTEGCKRAKRVFTPPTDATSNWLWQLAKAHVCSNDAGVHQLVNHWLRTHACMEPFIIAAHRQMSAMHPIFKLLKPHMRYTLKINALARQILINGDGVIESGFTPGRYCMEMSSFAYRELWRLDQEGLPADLIRRGMAVEDPSQPHGLRLLIEDYPYATDGLLLWSAISRWCDAYVAVYYTTDESVRNDTELQSWYAEAVQTGHADKRDAPWWPRLSTPADLASLLTTLLWLTSAQHAALNFGQYPLGGYIPNRPPLMRRLVPAEGDPEYAHLVADPHRFFLSALPSLTQTTTFMTVIDTLSTHSADEEYLGERTDEEWTADPAALAAAREFADEVRRAEEEIERRNADIARRNRCGAGVLPYELMAPSSGPGITCRGVPNSVTI >Et_1A_004571.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:18813100:18813465:-1 gene:Et_1A_004571 transcript:Et_1A_004571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CKRCGEVHGVKYIEECHHVRREQSRGSHCRLVHKDYDSLTQMIHDFDKFCCEFYIPDVDALVMDGNTVILPDHVQKRIDELIARVQKKKKKQDPEIIKLINRVSTSICCCIKLTIVLIFFI >Et_2B_021920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:688443:691293:1 gene:Et_2B_021920 transcript:Et_2B_021920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAARRLLSRRSSFSASALLRRGPAGATTEQLLRPAAVAAYRLGFPRGMARRPGGDGYPPMRGGGGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGENATKQQMIDCYIQTLAKVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRPPERQRRVEPVPQRAADRPRYNDRTRYARRRENQPQCNSITAGKPQLPQLIAVSEIAFPDVESLRSGELPHPLLHLFCSHTRRVEEVAGDLGDAVAVREPHRLHGPEPAAALADRPRDPLRRPDVGGVHGHVLSTHAVAPTTTTPLDLDGVPGPASGATGRDDASDAPCHWSPGELGVK >Et_9A_061097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15686637:15689879:-1 gene:Et_9A_061097 transcript:Et_9A_061097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRADGQIKTWLSDRLMALLGYSQGVVVQLVVRLVRDCTSAGDLAARLVDLAGFSSSPETAAFAEDVYARFPHRPGAAAVSEYQRQMQDAAALAKKHSEFKLLDDSDDDEETGVAASAAKSSRKRFRKKEVSQDDDDEDAALSDREDEDSSDAAGEPDDEEEQLRRDQAERAQLERNIREHDEASTRRLMDRKPSKREQADTSRRSEAMDKGDTSELRKASRRAYLQKRKEQRVEALRDEILDDGVMFDGVRVTDAEEREMRRKKEIYRFVSDRADHDGGEYYRMPDAYDDAAGVDQRKRFSVSRRRYDDDGEGRGGKANAFSEQESWEEQQIRKSRMQFGSKDRGCDNDKYELVFEDAVEFVKSSAMQGTELEDDEADELADDIDAKVRLRTELQDERKTLPVYKFKDELLQAIADHQVIVIVGETGSGKTTQIPQYLHEAGYTSKGRKIACTQPRRVAAMSVAARVAQEMGVKLGHEVGYSIRFEDCTSERTVLKYMTDGMLLREFLGEPDLASYGVVVVDEAHERSISTDILLGLVKDVARFRPDLKVLVSSATLNAERFSDFFDAAPVFKIPGRRYKVDVHYTVAPEADYVDAAVATVLQLHVTQPPGDVLLFLTGQEEIETVEEILRRRTRGLGTKIAELVICPIYANLPTELQAKIFEPAPPGARKVVLATNIAETSLTIDGISYVVDPGFCKVKSYSPRTGSESLLVHPISKASADQRAGRSGRTGPGKCFRLFTQHSFDVDMDDETVPEIQRSNLASVVLSLKALGINDLVSFDFMDPPATEALLRALEDLFALGALNSRGELTKTGRRMAELPLDPMLAKAIVASEEYGCSEEVLTIASMLSPGNAVFYRPKDKAMLADAARQRFNNAGNAGGDLVALLNVYTEWEASGHSAQWCADHFVQSRTMRRARDVREQLEALLERVEIERRSSKGNLDAVRKAVTAGFFRNTAQRRRDGSYRTLKSWRTVFVHPSSGLARADPPARWVVYHELVETTKEYMRQVTEIKPEWLLEIAPHYYQDGNLDKPEPKKKAANKAQGQSTGGTAAATTKPSQNLNDYFKL >Et_1A_006508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23429366:23435416:1 gene:Et_1A_006508 transcript:Et_1A_006508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVSVTYVAGLSPPGGTWQSDGVPGGAGGVTAGEPVLRSTHHGRYLAFFYCNAVALAASLVVTTAAAPRASSFSGQRSGARCCCSWPPSPWPSPTSPGSTRRAASGTRRKGRSAQVPAGRVAGGGAPRGPLPYVLLLQHHHVRRVALHHRAAPGAEARRAELALLRVPLNMLVLSPGNRVKGLTIRHQMDKQAASTLLSLVLLLANLAATITYQAGLDPPGGFWPEDRHGHYRDKCEATNVVVAMMLDMVALVGAYAAATSVHAVLVYVLVHVLFCTLGDAVLLDSFPRRYRAFFYCNTASFMASIALVLLLVNPNVSRLAMRCYALYACQAAGLLSLLGSARSLRTSVFVCLHRRAHGDQGKEKEAVIRRRRGGCHCRDARGGIARPRRGQRRRRDQVPRRCTTPTRAGTTSSSTATPRRSSRRWSSSRCSCSRSCAGTAGRTTTTNTAVVLDLLGLRGRKHQALMALHGAVWLFFERRRCGRGAGAASNDGRQPGTEQQQMASGSPSPAPALPPDDVIREILLRLPPHPGCLLRVSLVCKHWRRLVRDPGFLRRVRARHRHRPPLLGFFDRLAGFVPAGEPPDRVPAAHFSQLEGGRWRVLGCHHGRVLLCTRLTVPLQLQVWDPMTGTRRCFQAPQHPRHATNIVIRGSLICGAAGVHDRCSIAEDCRSGPFRVVYLFPGHEINSMFSAVYYSQTASWGDLVPMTIPKIGSFVGMEPSILLGNALHWLSCWSQDRSILGFDLDTNQVYHEDLPRDCRIPYDCYQIFKARHGGLLGVAALRGLHIHLFELALNSGGSMTWVEYRSVDLAKFLPPAEPIGCPPPPGETRMGFDEDGNTIFLKLSNGIFQLHLASLKLNKVVKQGVSLSLMIPYRSFYVEGGHGFDNRANDGAGRVEHSKVIKHMLILSFK >Et_10A_001555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:575444:576537:1 gene:Et_10A_001555 transcript:Et_10A_001555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIKYGKYEFPFIKQQHARTITVFLYILAEASMVIFTDKHDIQVVLLNLQK >Et_1A_004940.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:18029861:18030103:1 gene:Et_1A_004940 transcript:Et_1A_004940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSDDTLVDWWLQSRKTVAKAARKCFDTLVVVMVWMIWCQRNSRAVNRESILPARLIGHIQKRDVAGFKPGTRKCRVSF >Et_2B_020862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24394161:24413144:1 gene:Et_2B_020862 transcript:Et_2B_020862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSASATLQYTGIAVFVAIVFYVVLHYSRSFNTIFLTRRHGADANVAAGTGDDVVLPGPVPALGLGPDDVAVLPTFTYRAVVSPGRGRSGKAKAPERASSPADCCAVCLDELRDGALVRMLASCKHYFHAECVDVWLASHATCPVCRGSPGQEKVRLGVASLSPPLPQLRRLLPEGGEASGEKDFVSSSSPSLVVRSPVRFEVMVVAAMGNGETAFSSPSPTIREAAPAGHRRSRVSSLAAAVAIMSSSSSAAPDAADDGGSGTPGSNYTLLYIIVAVLIGVILYVSIRYGRSVMAEWRQLQGGHGAARGAGLGLSAEEIAALPTFTYRVRAASASPHVRGGNGKRRSGSKGRSPAATAAVECVVCLQELEDGDVVRVLPPCRHFFHGGCIDLWLCEHSSCPVCRADLDPERERLGDVSLSPPLPQLRRCGVSPERPPSASRVLADILARSPLRSPLRTIGVLESAEVITSASSAPVVPEIVIARSMTPSPARLNGHLSPRSVAVVESVEAVTSASPSPMPIGEGGGGSLSNPFWPRGGGGGLWRRRRRQLLLRALRHICACGLADAAALGALPTFAYVPPPPRSAADGEPARGSCALCPVCLEDVRAGEIVRQLPACGHLSHVCWRRRVAAFQPDVPDLPLRSPAAQRRHNDRPGRGFHAVAERVAAGHMLNVHRARLLAGSRGATAREDEESRVLCAVVSSVMSLMLLCGLLSVLPSPRGFRVTKTYVVVAAGAMMLMLMLAGWLVAPWIGSLLAARRRRRRAPDAPTPAAAAAPVRLHALRHMCACGLSDAAALGALPTFAYEPPPPRAADDGEPARGSCALCAVCLEDVRAGEVVRQLPACGHLFHVCCVDAWLRSHRTCPICRCELPPRNVATKGAPAVASAPSPDTLPPSFLRLSDDEDDGSGSGGASEKCTVCLAGMREGQALRELPRCAHRFHDKCIAKWLKAHPTCPVCRATAVPPPDAAGEQLDDEISPSGHARNGTPADTASSAEFHPQCVTNPATDPCASTSRCGAHDLTTRPLTSVRRRKQSGRSASRSGSRGFSSKRCPLASSPRRHLPRLLGLGAEPAEAAEAEEHDAGLGLPVQPRQAILRVALGNLMILRLDQGPDAVDRRRREAGRRAEPRGDGVDSARLERAEGNQQRDGIQLPAIAVTIAQFDQSPPPTKPDGVDIGGGGDECVVCLGSVGDDGLATRQLQACRHVFHKHCIEQWLRAHPTCPICRCNARQESLE >Et_8A_058158.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:22207008:22207289:1 gene:Et_8A_058158 transcript:Et_8A_058158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGDLVPMPGKDVTEKDVESDEAVWALYELWCKAYNKERDLPEMARRFNRFKKSAKMVLECNDIYKNDEHILGSFADGRDEDDAMENRLGLM >Et_9A_061788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16383368:16412324:-1 gene:Et_9A_061788 transcript:Et_9A_061788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRRTVVPWRASSSSILMVLVAVVVVVVAGGAAEASQRILVDTDMDTDDLFALLYILKQNRSEFELKAVTISANAWTDAGHAVNHLYDMLYMMGRDDILVGVGGDGGISYSGSIYPNVGGYLPLIDQGMTTAGDCRYRQAIPRESGGRLDIDTNFGIRSGFLPQGYRRYIPLKQPAAQQVLVDTISEGPTTVILIGAHTNLAIFLMSHPHLKRNVEHIYIMGGGQCGDHGNLFTSYTTNPNAEFNFFGDPFAAYQVFHSGIPITLVPLDATNTIPINKDFFDTFQNHQNTYEAQYCFKSLKMARDTWFDDQFYTSYFMWDSFTAGIAVSSMRNDMKHEFGNDFAELEYMNITVITSNKPYGVHDGSNPFFDGRKTPKFGLEKGGVHSGHVQTGITDSFCHVNGSKKGICEDGYTKEVSGAEAVHVRVATKARPNVDKSSPLDREFFISFLESLNRRENSGRFDRKAQFPFYREVLYKPDSVNKNKRNSVIFDMDMSPGDFVSLIYLLKAPTEVIDLKGILVSGNGWANIASIDIVYDILHMMGRDDIPVGRGNTTALGTPILGCKYLSVIPQGSGGLIDSDTLYGLARSLPRSPRRYTAENSVKYGAPRDTDHPDLRQPLAFEVWQSIKEQLDPSEKITILTNGPLTNLANIVLSDKNARYVIESVYVVGGHVRDENSSKGNVFTEPSNRYAEFNMFLDPLAAKTVLESPLDITLIPLSSQRKAVSFQGIIEALKHSDDTPESKFVHRLLLLLHDLQQKHKLYRHMDTFLGEVLGAVYLVEGSNLNPSIRLKPISIVANSTRSMDGQIVVSEQSANPVKVLVDFSSEVYYIRFANSLGSKERSAVIAVVAFVVAGGAAAAPAPGPRRILMDMDMDIDDFFAMLYILKQNRSEFELKAVTINVNAWSDAGHAVNHLYDILYMMDRDDIPVGVGGDGGISDSGTIYPNVGGYLPLIDQGMTTVGDCRYRQAIPQIGGRLDVDTSFGTRRAFLPQGNRRYTPLQQPTAQQHIYVMGGGVRSRNPTGCCPKNATASCIPQQCGDHGNLFTSYTTNPNAEFNIFGDPFAAYQVFHSGIPITLVPLDATNTIPINKEFFHEFQNHQSTYEAQYCFKSLKMARDTWFNDQFYTSYFMWDSFTSGVAISSMRSEKNGEFENDFAQLEYMNITVITSNKPYGVNDGSNPFFGGCATPKFGLKNAGVHSGHVQTGITDSFCHVKGSKKGRCEDGYTKEVSGPEAVNIRVATKAKPNVDKTSPLDREFFKSFLEALNRQENSGRFDIKAQFPFYEEVLYKPESINKKMGRPVILDMDMSPGDFVSLMYLLKAPTEEVDLKGILVSGNGWANVASIDIIYDILHMMGRDDIPVGRGNTTALGTPTLGCKYVCFIPQGSGGLIDSDTLYGLARSLPRSPRRYTAENSVEHGAPRNTDHPELRQPLAFEVWQSIREKLDPSEKITFLTNGPLTNVANIVLSDSMATSVIDSIYVVGGHINDESDSTGNHVDHTPESSFVHHLFLLLHDLQQKHKLYHHMDIFLGEVLGAVYLVEGLKMRPSLKSKPISIFANSTISMDGQMIVDKQSVNSVKVLVDFSSEEYYYRVANSLCSKEKSAIISSFAEQNTATATRKNMSSRSAMVRVGEMEAVAVFFVAVLFVAMAAGAGAAPRRILVDTDMDTDDVLALLYILKHNRSEFDVKAITVNANEWSDAGHAVNHIYDILYMMGRDDIPVGVGGDGGISDGGHVEPNVGGYLPLIDQGMSTAGGCRYRQAVPAGRGGRLDVDTNSGLRRAFLPQGRRGYRPLRQPTAQQVMADALAAGPTTVLLLGAHTNLALLLASRPELRRNVERVYVSGGAVRAGGNLFTAAAANPFAEFNIFGDPFAAYQVLHSGVPVTMVPLDATNTIPVTEEFFDEFRRRQGTYEARYCFLSLDAGYYMWDSFAAGVALSSMRHGEADGGNDFAELEYMNITVVTSNRPYGVRDGSNPFFDGRAAPKFGLREGGVHSGHVQTGISDPFCLVPGSNRGRCEDGYTKEVSGPEAVQVRVATSAKPNTDKNSSLDREFFKSFLEVLNLPTNTGRFNIKTQFPYYKEVLYKPDFRNVRRGKPVIFDMDMSPGDFVSLIYLLKELIEVIDLKAVLVSGNGWANIASIDIVYDVLHMMGRDDIPVGLGNTTAFGTPTLGCNNSYAIPQGSGGFLDSDTLYGLARSLPRSPRRYNSESSNHAERRQPLAFEVWKSVRKQLGAGDKITVLANGPLTNLANISLSDGKASTVIERVYVVGGFIRDRENEKGNVSSVPSNKYAEFNMFLDPLAAKIVLESRLNITLIPHTTQLKVASFEGVLGALEQRTQHTPEATFVHGLLSLLQDLQRKERLYHHMDVFLGEVLGAVYMVQGSDLKPSVKVMPISVVAKTTKSTDSQIVVSTKSAKLLTVLDNLNSGIYYNRLANSLSNKKQSAIVGSFEEQKTIWSRPQK >Et_2B_020708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22950244:22952570:-1 gene:Et_2B_020708 transcript:Et_2B_020708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSNAASGSQAAAGVGGEKQHTQYPYVTGTSVIALKYKDGVIMASDTGASYGSTLRYKSVERIKAVGKHSLIGASGEFSDFQEILRYLDELTLTDHMWDDGNSLGPKEIHSYLTRVMYNRRNKFDPLWNSLVLGGVKKGPKGEEKYLGMVNMIGTHFEENHIATGFGNHLAIPILRNEWREDMTFEEAVKLVEKCLLVLLYRDRASINKFQIAKITTEGATIYPPYSLKTYWGFSHFENPSQGAVGIADLFKLLLFNILVERKRRNHNRRGASVL >Et_9B_063627.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:13037561:13037860:1 gene:Et_9B_063627 transcript:Et_9B_063627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREGLSLAVALGCDKDIFEIDNISLVNSSRSGTPDRSAIRGLCQEIQELSRSFSSFAISFVRREANSAAHCCAKIPTATNRVVSCVGYTPNWLMGVVT >Et_3B_030286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31998144:32000553:1 gene:Et_3B_030286 transcript:Et_3B_030286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILSRQNLRKLASLSLLNISQRQLISHYPLALRSTVVSPSTCFNPLNLFGHSWGVRWASFGQVNLVLSEDGKPKFQIEEVEPSKKRRYLTKKRLKVQRKREKKRRKEANKNDPRRIRPKGKKIKQKFPTAEARLKYKIEKAKLKEAMLVEKLKTYEVAKAQGPMAKPDDLTGEERFYLKKVSQKKSNYVPVGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQIQEYANEIARLSGGVPVNIIGDNTIVFYRGKNYVQPDVMSPIDTLSKKKALEKSKYEQSLETVRRFIAVSEKELELYYRHVALYGMPQSQNVDLDYGGDRKASLLKMGGLNQWKDQNDFSDLHVSDLSESDEKDNTSSEYDANYGDTKDGISTDEET >Et_5B_044308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22473081:22476633:1 gene:Et_5B_044308 transcript:Et_5B_044308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IRRLSIHGSVNGPYSPPKRKAASHRGAEEVNVHHVRSLSIFDPKGHKFLDQLGKFTLLRVLDLAGCKGVTNQHVSYACQLHLLKYLSFRGTNISVPPPQVENLKHLERLECLRFCKKYGSGNWPLPRGLSKVKALRDLGLICLGDDVEAAREVGELEQLQLLELRIDTTCMDVLQELAVSSLMDPQPKALNFLHDSPAAQRLLWALVIAGDINGLPSSVGLLAYLTAFGFETTSFDVDQLFSRVHVEKPAGRRVHQAQVSDRLDVGVLGHERNEDGNVAFVVMQDLHPRVLAPSEVCPRRGGLGRCQHRRRGHASEGTLRDTCGIGLYAYVNLAFLSTFGGGRAPALDLAGHCDAASGTCASLDADVATLPASPPASSIGGGELGCYNLSSSSPSDARGVAAYTSGTTSSAAATALNGVDFDIEAPSQY >Et_4A_033718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26173670:26175569:1 gene:Et_4A_033718 transcript:Et_4A_033718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKQLALPVVLLLCGSHLYSLPSTDLSSRSISFPSSCMGDVLLWIMAGLMVDGCCIKSSEAGQGRFCPMYCLNAAYMTCKSTGDQHLDPACNCCVVEKKGCTIYLNDGQPVKCRFMVISCYNQGTEAKESKICPMYCLDNATYMTCPPNDFKRLEPVCNCCLADKKGCTIYLGNGGMEKCP >Et_3B_027481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2153475:2154409:1 gene:Et_3B_027481 transcript:Et_3B_027481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKEASKRGLQLVVVVPSVTIGEMLQPTLNMSIAFVVVSYMKGKKTYPNAVAAYVDVQDVARAHLLVYENPTASGRYLCIGDVLHRSEFLQMMRELFPQYPITINLCNLKLAKVKPYKFSTQRLQALGMKFSPIRESLQKTVKSLQENCRIPRLRYPNQGYFNHYHSLLMASA >Et_10A_001465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3724063:3726451:1 gene:Et_10A_001465 transcript:Et_10A_001465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LALDARIKLVFDVSLVIGIYFGIFGASGVFFATNPYMRVSLLLATLSYTTDCAVKGFFIRNAMSATTLFQEIEMVSSNTEYILSGNRSTALPMKMMGLLGAALHTGIKYISLDDERTICLECLDTAIMESSGCQHLYMDVQAFFDSLNIKIEQHIPLLLVEKKVMNEAMGAEKNGYHHPETRGLCLYEEGIVRIIIRRPSIGQGNRIIDIITEPYKVSRQCEVSAILILFGLPRLLTGSILAHEMMHAYLRVKGYRVLNPEVEEGICQVLSHMWLESEIATGSSANVASSSAASSYLAPTYSKKSTNREFEKKLGAFFKRQIERDYSVAYGDGFREGDWAVTLYGLRSTLDYIKMKGSFPEQETLEHFEEGAGLAEGEKEGEEMDKV >Et_8A_056663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14787427:14788568:1 gene:Et_8A_056663 transcript:Et_8A_056663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPRWRCMQLVVVAWLLIVAVTGTTPARSMYDQVKLTWGQERAFFFMDGDADGGETLALCLDETNGSGFASKDAYLYGRFDIDMKLLDGNSAGTVTTFYLMPDDVPWKNHDEVDMEFLGNVTGQPYTLHTNVFVNGEGGRVQQFKLWFDPSADYHTYSIEWNPKHIIFYIDGVPIRDYKSDAASGKPFPSWQHMQLHGTLWNADDWATRGGRDKTDWTQAPFYAYYRNLRVTQCAPPPGVAWCGAEPPESARFEPGADAAAVQQARQYVIYDYCRDPNVQKLFTETGFVPAECSLN >Et_4A_035690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3826519:3827353:1 gene:Et_4A_035690 transcript:Et_4A_035690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPQKQQVAKAGGSRFAATCGLLRQYMKEQGGNGAVRLAPAMAMSLMPGADVAGGVTEAVPAAPEEGKKIMLELFPQQPGTLKASQERKEPERAPLTIFYGRRMVVFEDFPAEKAEELMKAAGSCSASPAAGQPCLPDMPIARKASLQRFLEKRKNRLVTGDPDPAASESNKRVKDDGAPGLGVNPMLSLG >Et_1A_005665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12503707:12512160:-1 gene:Et_1A_005665 transcript:Et_1A_005665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSDEMGHAGGQSVGPASASALAAASAAADRFLRSRGAGASTQIELSLSASNLGDQEYFPKSNPMVVVYLKAKDGQLEEIGRSEVILNSLNPSWSKKITVHYQFEVLQPLVFQLYNINPQFHDVSETMLKLEEQEFLGEATCFLSEVITKRNRLLTLKLGVSEHSLPNPSKFGELTVQAEESAGSKAIMEMVFRCSDLEIKDLLSKSDPFLLISRISDSGTAVPICKTEVRKNDLNPRWKPENPLIIECFNFSSNGKHELVGKIVKSVAELENMHHSQDGEHLFVPASTTHECHSKEVLKSQVYVEKYVESNRHTFLDYISAGCQLNFMVAVDFTASNGNPRLPDSLHYIEPSGRPNVYQKAILEIGDVLQYYDPSKRFPSWGFGARPIDGPCSHCFNLNGSTYQPEVDGIQGIMSAYISALRNVSLAGPTLFGQLISTATTIASQSLAGNQQKYFVLLILTDGVVTDFQETIDAIIKASDFPLSIIVVGVGGADFKEMEFLDPNKGERLESSTGRVASRDMIQFAPMKDTHGSGLSTLQSLLAEIPGQFITYMRTRETQAIS >Et_8A_057432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:277695:279235:1 gene:Et_8A_057432 transcript:Et_8A_057432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTHEARSKIGDDAMIQTKVQSYIRVFKTVQKTVQKKFSKKSTTTVIDFQIAARNIVASFCPRKFMPISSKWSLVTKVLRKRRVVYEEKLQELELDIVDLEGGVEALCELQLLSYIERQGEAENRHH >Et_1B_012820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35347669:35351332:1 gene:Et_1B_012820 transcript:Et_1B_012820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLAAAENSPPAAAPPARRLSSPLPRRAPPSPSPSTSSRAKPRKAAQAPETDEAALDNPDLGPFLLKQARDAMVSGEGGGAARALEFAERAARALERRGEGAELELAMSLYVAAAIHCGLGRHADAIPVLERAVAVVTPPPPPPEGEGEAADEQPQADQRGEEWSLAAFSGWMQLGDTHAMLGRMDESIACYGKGLEIQMAALGDRDPRVAETCRYLAEAHVQALQFDEAEKLCRKALEIHREHSAPASLEEASDRRLMALILDAKGDYDGALEHLVLASMTMVANGRDIEVATIDVAIGNTYLALARFDEAVFSYQKALTVLKSARGDDHPSVASVYVRLADLYHRTGRLRESKSYCENALRVYAKPAPGAAPDEVAGGLMEIAAIYEALGDLDEALKLLQRALKLLEDSPGQWSTVAGIEAQMGVLYYMVGRYADSRNSFESAVAKLRASGERKSAFFGILLNQMGLACVQLFKIDEAAQLFEEARAVLEQECGASHPDTLGVYSNLAAIYDAMGRVEDAIEILEHVLKVREEKLGTANPDVEDEKKRLAELLKEAGRSRNRKQKSLDNLFSTNSQRAKKDAGRRQEQPSSTLAKQGEEDDGVAAPDRTSLEDYSEEEWASYPSFILDRLDAQTN >Et_1B_013977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26076818:26081346:1 gene:Et_1B_013977 transcript:Et_1B_013977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSRGSSLEVTICRRVQSGTLGPEDALRLFDELLEQRRRRRPNPDSASAFNSLLTVFARDRGDGPARAVSLFSRMARAGAAVPDKCTYSILVACCCRAGRVDLAMSPLAAALKAGLKLDVKFFTPLLRGLCGARRVEEAVDVARRMMPDFGCAPNVFSHSVILEGLRDHKRSLEALEFLRMMIRHGNDINAVLYTTVIDGLFKEGKVEDAVKLFDEMREQGVSPTVVTYGSVINKLCKVGAMDKADRIIRQMVSEGVAPNCFVYNSLVFGYSTSGQWKKAVMMFEEMRGKGIKPDVITYSSLMSSLCKHGQCSEARKIFDYMVKSGEKPDATAYGILLRGYASEGSPADVQNIFEMMLGAGIAPNDYAYGACEMGEETLLVLRDTWKRGLTVQTYYIMIDGLLKAGKKKEAKSIFAAISTSGLEPNAFTYRLMITDLIKDGLLEEADNMFSSMENSGCAADSQYGETRYLHVDMVSVPGKGGGLQGRNFLISTSWAGYMGKPDIYMLTLYLALLDWSGLRILQEPWDWSGLRILEGQWEARPVLDGAVGEEKNASWAARQHRGSSAILIFAGVYFLLINTETWDGRSARDAKATMHASRICPMLCLLSLCAFSLLLSPASAEPAVLSHVTSDDDKWSADSPAAAPIAMALTWEGSGDNVVAPVVVDGSAVPPESRHRFRPHHRHAALSPEARQRMDHEARCGPRVPMRRGFPWSWWTPRCRGGGGDATTDGGATGAPTAPVWPHIWFP >Et_3A_024681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23240970:23244990:1 gene:Et_3A_024681 transcript:Et_3A_024681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADSVASQAQAVLRARLCDPSFVHSALRSSPDTNYSKLKYLVASSVSEACNNSVLLLGPRGCGKAAVVDMVLDDLKTEHPDAISLIRLNGMLHSDDNCAMKEIARQLCLEHQLSFSKMASSDDNTEFMIDMLRECGLAHKTILFVLEEFDLFAQGKQRLLYSLLDAMQSLTSQAVVIGVSCRLDADQLLEKRVRSRFSHRKLLFVPSSMDDIQRLVEHLLILAKDSGLPAKYITDYNSRLTNIFGDKKFKGILNSLMEADSTTSNILRFLVVSYMDMESGFLSMESFVSALSSMQRQPKMDSLQDLSILELYILVCMHRLEDKEQSSYNFTSIMKEYRSIQEAYKTSDKYASTVCFRAFEHLLDRELISFADSKGRNQALEYRPVKLLISSRELAQSLKLNTTCPPVLQKLLDRERYM >Et_9B_065604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7803443:7806862:-1 gene:Et_9B_065604 transcript:Et_9B_065604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRVNKALLHNLERAEVLCYRRSIAMAAAAARRVLRPVCSASVSSTSRLLSSASPPPHRSTNTNSPVAFDWSDDDADSSSASSPSSTAKSPNLPPPYDPFSKKPAVAEPSDPTNLQEIFHKMRTEGLTDYAIKMFDGLSKDGLTHEALALFAVIKDKGAMPDVVAHTAVLEAYANAGPAHWRDAVRTYDRMLASGVTPNAYTLAVLVRGLAASDRYTEAGKYLVEMLDRGMRPNVATYLAAFEAYVRLEKVEEGKVLLEKMKGKGFVLDEEAVRSGTVKRGHVFKGVMSLLFGKHMLKISHSYNSGNSVFRHEMQCAWTKLWRTMIPSPRQ >Et_1A_007451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34771499:34774073:1 gene:Et_1A_007451 transcript:Et_1A_007451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GAREFVFRLASMYFEGYGYRGSTFEHTYRCYPASFIDKPQLETGDKIIMPPSALDRLASLNIEYPMLFEVQNVAAERTSHCGVLEFVAEEGMIYMPYWMMQNLLLGEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNYSCLTTGDSIMVAYNNKKYYIDIVETKPSHAISIIETDCEVDFAPPLDYKEPEKPKPTIPQNAVPTAEAAVEEEPKFTPFTGSGRRLDGKASKDKDVLASSPAKRQANASNGVQPSTGSTSQSSSSRKTSGKLVFGSGGSRADKAPEKEAKEEPKKEEPKFSAFTGRKYSLKG >Et_5A_041949.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:4605484:4606072:1 gene:Et_5A_041949 transcript:Et_5A_041949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKPLCRSRWRRRSLTMLICGRLRGSPSFESS >Et_7A_051873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25886692:25887882:-1 gene:Et_7A_051873 transcript:Et_7A_051873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKALKLRCSAVFSEHLKRGTCPNFSTPPPGAASSSQSPQPTAQMRASREGGRGGRARGGRGGGPAPRPRRRPWAQDRRGESASAAWGSSWRPCAAAKQPASVARSGVSRRASATWPRAQKARSSALSSGSGGECERRRWESGLQGEGGGTGKGRWRDRECSGKRGRERLLLNWGLLTLSYPLLALLVFLAVKDEDISANMNI >Et_10B_003762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5657381:5659576:-1 gene:Et_10B_003762 transcript:Et_10B_003762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGGASYAAQEPREQRQGKEEEEKARRADGGMKFRVSARAPHGVGALLLIGGAVLVGAAVVAWRHARRGGKQGGGEKHDRQSEKEEALDGGVVEDGKGGASATEIDRPDDDKRGEEEKTDAGSNGLVNGGVTSEIEEVHDDGEIVADELNSERVEEKINLDSGTSSTEISMHEDVDSEHVEKFDQNSSSKLSEANTHDTDHEIEEEKGNENSTNDDLENDDNSTKNNTDNDDNSTKNDTQNDEDSITTHIESDNSSKNDLKEEMTPNENEDMEASDQSSLSVSRPVIVLDKQPDCAQEAESMENSPTAHLMMHQEQLLEDMTVDTEAETAEAKPIEGTVVDKDVCKEEEQKEIAEPVGLAGSPSLPTLVKLAEKKEPEPPSRIETGMKIEQDYTNGELKEHGRISKGGASPGGAVTTMDRRSPSMAILVLMFVLTVGIVIAVRLFAPLRTKKLQIGHNQC >Et_5A_042628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2315503:2317439:1 gene:Et_5A_042628 transcript:Et_5A_042628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPRGSGVLGLPDDPAVEILSRVPADLLRELKLVSRAWRDLITDPFHRKRLPQTLEGFFHGGGDEDNYGRFTSLFKSSLPEVDPSFSFLTKLPWIERMALIHSCNGLLLFGYAWNGSDRFGYIVCNPATKELVFVPSPSGSPPPAHLEVKRVMDGERHAYTFLMFDPAISSHFHLVQLWQSGSMTDIDGEEVEGVHSYSSEARGWSDRSSEWKRGEKGGEWEQWGQALVKPTFGRAFVNGMLHFLVYHVQKMEALIVAVNGEEKTCRIIRWHEKHGNSDATFIGQSQGRLHCVSVDIRLEGHYPFFVMKKLSFWVLEDYDTQEWILKHSVSCSEMFGVSCSMFDFNVVAIHPDHNAVYLVQHWNNRKLVSVELYEQVSFA >Et_2A_015320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1100316:1103007:-1 gene:Et_2A_015320 transcript:Et_2A_015320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREEAGPAGGDGSSRSAMEEGESSSGGELAEALVRRRLYREVTLALQTGLRDAKADFSFLRARGLRSLLGFLRSTASATDDASLLLFRHSQSIPDLQVIPVLFQNSLHQPKEDPVVTLDHIFGTEPMKIRSPPTDCEIALALRVLEGCCLLYSRCTALAHKYKAVKVLLNILASRGPTEQGVCLDALISLMLDSPSNQLKCGEFLLLLIGHVYAKESSPIHDQMRNLFGEQCASLIWAASRFGSTLDAEQRQTALQIQARRVVESLEPY >Et_5B_045266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:15851533:15853011:-1 gene:Et_5B_045266 transcript:Et_5B_045266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MICVFERYSGDVAWHHTEFAFRAQQVREVRPNVTLVVRMVATVGNYEYLLDWEFKTTGAIKVVTSLTGTLKMKATSYTHTDQIKVDAHRTLIAENTIGVYHDHFITYHLDLDVDGTKNSFVKNTIIPMRNTGNPATGGANTPRRSHWTLRREVAETEADGQVSLNDAPPAELLFVNPGKRTKIGNEVGYRLLPGGATATSLLADDDYPQRRAAYTKKQMWVTPYNRSEKWASGLYADQSTGDDNLAVWSRKNRRIKDEDIVLWYTVGLHHVSCQEDFPVMPAISGAFELRPFNFFESNQLIRTRPPTLGQSPNCSCGAT >Et_4A_032430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1041296:1046102:-1 gene:Et_4A_032430 transcript:Et_4A_032430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRWTACAARVILERASELHLQRGGSRRLLLPVLMNRRSSARASLAANASTSAQETTPKQACSSRVLALSMTSNPRRLVFGCASFSAVLPGVESSSTDASQPCKQSLVEVQADKPGGEAGVGADGPANLVPHNHLRLGARLVVEPNLQLRLCAQAAQHCHNNYY >Et_4A_033699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2614241:2619477:1 gene:Et_4A_033699 transcript:Et_4A_033699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYLRYEPALTFGVIASPESNVAYDPSGRLLLAGALDRLAAWDLKRGLPSVSFAPSSSSASLAVSCIASSPSAAASSSIASGHADGSIRLWDAETGACEATLHGHRSAASALRFAPSGAVLASGSKDCDVILWDVVAQAGLFRLRGHRDQVTDLVFLDSGKKLVSCSKDKFIRVWDLDTQHCLQIVSGHRSEIWSMDVDPSEKFLVSGSADPELRVFRIRQSAEEGEDWSKWDVLKLFGEIPRQSKERVATIRFNKNGSLVACQVAGKTADIYRVLDEAEASRKAKRRMHRKKEKASAKSVAAEGSGTVIDPLPAQDTQNPAVVVTDVFKLLQVLRASKKICSIAFSPSNPPKGCLATLSLSLNNNILETYSVDSDKVSKMYSIEMHGHRSDIRSVSLNSEDNLLMSTSHNAVKIWNPSTGDCLRTIESGYGLCSAFVPGNRYALVGTKSGTLEIIDIASGSSIEVIEAHSGSIRSIVPIPDEDGTASARGFVTGSADHDVKFWEYQWTLKSDADSKQLTVTNVRTLKMSEDVLAVSIGNSQVGKQYIAVALLDCTVKIYHLDTLKFYLSLYGHKLPVLCMDISSDGALIVTGSADKNLKIWGMDFGDCHKSIFAHSDSVMDVKFVYKTHYMFSVGKDRTVKYWDADKFELLLTLEGHHAEEEKEKRLEETLEADLDNDIEHRYGQKDDTPDEGSVGVPGRKTKETLTSADAIMDALDTAEEELKRLNQHKVEDLKNGNGAKFQPNVIMQGHSPSDYVLNVVSNIRPNDLEQALLSLPFSDALKLMTYLKEWSLVPSKVELVCRVCLVLLQTHHNQLTTTPTARSLLTALKDILYSRVKECKDTIGFNLAAMDHEMLAMRSDAPFRDAKAKLMEIKKEQEKQSDRSDGYERRKKKRKKATGES >Et_10B_003923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7618353:7628410:-1 gene:Et_10B_003923 transcript:Et_10B_003923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKTSKGGGPWMQTVSDYHGRQVWEFHPDAGTEEERAQVERLRREFTENRFRRRESKDLLMRMQFTGLKHLRADMPTAVKLEEGDEVTEEILLAALRRGLDWMSALQAEDGHWPGDYSGIMYLLPFWIFALHVTGSIDENKDGGWGFNVLDESAMFGSCLNYVTLRLLGEEPSDDYDGLAKGRTWILSHGSAVTAPQWAKILLSVIGVYEWAGNNPVIPELWLVPRCLPIHPGRFWCYTRITYMSIAFLYAKRIVGPITSTILALREELYSLPYGNINWNKARNTCAKEDIRHQPSAIYRSISTCLNIFVEPVLNCWPFNKLRERALNHIMEHIRYEDETTQYIGLCPVTKIIDGTHNWEIAHIVQAFLSADLNDEYSQTIDRALKYMKKAQVTRNPPGDQNYWFRNRSKGSWTLSTADNGWASSDTSAEVTKAILLLSLRNLDQNSEEKQWLFDAIDCLLTFRNKDGSFSSFESQRTYSWLEILNPLESFRNIVADYPTVECTSSVMQTLILFGEQYPSWYDREHIREYINKASAYIENHQKKDGSWYGTWGICFIYGTLFAIKGLVAAGRTFENNICIRKACNFLLSTQLKTGGWGESYLSCEREVYVEGTSTHAVSTAWAMLGLICAGQMERDQTPLHRAAKVLVNMQLDTGDYPQQEHAGNNNSSVYFNYPNYRNLFPIWALGEYRRGLLAKKC >Et_5B_043226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18959586:18960013:1 gene:Et_5B_043226 transcript:Et_5B_043226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWADILEVLDKEIGSNFESVASLWLCDKKFGIVNMISSAALEWDVDDLGHDHPNGGKLASSMSSWENGHTAAAYCWVEGDEEQTWQNYDGSATCNIRKLKTRNTSLTEMDQAIMGKCSGSVQKEM >Et_5A_040688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11092809:11095391:1 gene:Et_5A_040688 transcript:Et_5A_040688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRATAAAPKAKRRNLSPNPKPKPSFVSPPDARTRARTRAGSSPALSSSPASSSSPAYLSFLSSPGSSASPEPKTRPRARARARAKAAPRSPLVASPRAAASPSPAASPAPASAAAAAANVGELRSAVTSQLEALKRRLDALHSRAHAELDASLSRVSKRVKTQNQACQQLTDEVDKEYKKMSDYIKESSEKVKAKYKQIITESQSSTNRVCKVTIPEMTKTVEKAIE >Et_6A_047664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9258516:9260339:-1 gene:Et_6A_047664 transcript:Et_6A_047664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYWATKPFWSLTPHGKPCVTPTKQNTVKKFRWPCGRIRSNASAMCEVTEARVNIRVAASSATSETKNQVKSGLFLDVVVSQGAAILQLLPREDEALLVRRNTCKIAMRANQRQNFQGINKGHVPTTDRTCVFMFITFLVLYLSLDVVDGVAALDVERDGLSRQRLNKDLHLAGCREDSSDKVEGVAQLAADAADVIVLLGFVLRGVEAGSVSFCVLGSVLGRPTCSLVQKQTNKGNFEIVGNSEK >Et_10A_001145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21506144:21509853:-1 gene:Et_10A_001145 transcript:Et_10A_001145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRACATPAAAATSSAAPSSADATKRKSSSARVLVLGGAGRLRPDLSILIGDRNREKGESFAAKLGEQSEFVQVDTRNESMLEKTLQGVDLVVHTAGPFQREQKCAVLEAAISTKTAYIDVCDDTDYSWRAKGFHEQAKDAGVPAITSAGIYPGVSNVMAAELVHAARSENDCEPERLRFFYYTAGSGGAGPTILTTSFLLLGEDVIAYNKGEEIKLKPYSGGLNIDFGKGVRKKNVYLLNLPEVKSAFKILGVPTVSARFGTAPFFWNWGMQAFANFLPVEFLRDKNKVLKLVQFVDPLVRAVDGIAGERVSMRVDLECSNGRSTVGLFTHRKLSVSVGYATAAFVLAVLEGNTQPGVWFPEEPEGISIEARKLLLERASQGTINFVMNKPSWMVETDPKEVGLGIYV >Et_6B_049191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19198468:19214954:1 gene:Et_6B_049191 transcript:Et_6B_049191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIASEGSDRDEHPETYKQWQVRNHRAGLRQLPLDSCVVKLVRDKAKDQFRTDFVIDVDHQWLLEGWKGRILYAMSTWSEEFQGQGVLLAEPFSPSIFLDLPPTPRPDSNGEDLASSDDLVLPFISRMLMEEDIEDEFYYHYPDHPALLQAQQTYAQILSDDATTSASSDSATTNTDRSGTFTLSPSSCDASFSNATWPYNPVELSQLLQSTPYPNTGVGLNSFIAGDANRRGSIHENDEATALCKEDSVGIQSSGFLNGAGKETDAVITKLAAGAGGRMHGVVTSGQNMVNMDMLNQAFLMGMEEAKKFLPPNNILLMDPESTSREHRPRDSGLFQGIAAGQLKEDEVVDKLLKFQGSVYVRGRKNRRNWDDLEAEMGKNNKMMVPEPEETGDVVDKMIIHGYAMCLEKMKSLSITMGDEAEKNARKGNERQSSYEAVDLRTLLIHCAQAVSMDDRRSATELLVQIKQHSSPRGDANQRLAHYFAVGLEARLAGTGSQVYKSLMTKHTSVVEFLKACQLYLAACCFEMTAFRFSNMTICKAVVGRKKVHIVDYGVQYGFQWPSLLAFFSTWEGGPPEVRITGIDLPQPGFRPASRVEETGRRLSNCAHQFGVPFKFHGIAAKWDAISVDDLDIDPDEVLIINSIIQFGNLMDEGVYIHSPSPRDVVLNNIQKMRPDMFILCVVNGSYGVPFFVTRFREALFYYSAMFDMLDATSPRDNEQRLLIEREIIGRYAMNVIACEGFDRADRPETYKQWQVRNHRAGLRQLPLYPDIVKILREKVKNQYHKDFVIDVDHNWFLQGWKGRILYAMSTFSIIPATSLPAMAAIPEEFLFIDPEPPSPSVFLDLPLTPGIDGDDPAVFDDMVLPYVSRLLMEEEDIGENNFFYQYPDHPVLLQAQLPFAQILSDATTTSNSSSASPDSPDTDSTFAGGRVSSDSDHSDMASAGFVKPFSPANDRDMFTSAFLKGMEEANKFLPTNKLDRGFTMEEAQVDGMFGHGRGRKNKHGGIDDLDVKEHRASKLIMTETEDAAAHQMYDEMMLHEQDICMKGVQHLSISTETESAKGSGKKARWRRQTSGSDDVVDLQTLLLHCAQAVATDDRRSAHELLRKIKQHASSSGDGVQRLAYCFAEGLEARLAGTGSQLYQSLMVRRTSVVDFLKAYKLFMAACCCKKVAFTFSNKTIHDAMAGRTRLHIVDYGLNYGFQWPGLLRGLAAREGGPPEVRITGIDLPQPGFRPAYQIEETGRQLSKCAREFGVPFRFHGIAAKRETIRPEDLNIDPAEVLVVSSLCHFRHLMDESAAPGQLSPRDQVLNNIRKMRPDVFIHGVMNGRYSTTYFPTRFRELLFFFSAQFDLLDATVPRDSEERMLVERDIFGQAALNVIACEGTDRVERPETYKQWQARNHRAGLRQLPLSPEVVKVVLDKVKNNYHKDFVVDEDQRWLLHRWKGPMSPPFVFPDLAAAPDVGARVATSSYIARICLLMEEEDVHEEHPDYPALLHAQQPFAQILSSASLPDHAAAADALPTDTIDNPDMFTAVFFKGVEEARKFLPADTGCKLVSGGQLKDKSGDKVRRDRHGAGHEELEAQVGRTSKMPNAELEEAGARDLFDEMMLRGYDVCSKVVGGLRVDVDKDDAAKESKKTTRKKNRARWLTCTLCCFTAHDRTSADLLLKQIKEHASPTGDATQRLAYCFAQGLEARLAGTGRQVYRSLSANRTSIVEFLEAYKLFMSTCCFRKVAFAFANKTIFDAAMGRSKLHILDYGLHYGFQWPELLRLLGARDGGPPEVRITSIDLPQTGFRPANHMAEMGHRLSNCAREFGVPFKFHLSPWETVCAKDLNMEPDEALVVNDLFNFRTLMGENIVIDSTSPRDVVLNNITKMKPNVFIQGIVNGSYGTTFLSRFREALFDHSALFDMLDATMPRENQLRLVIERDIFGWVALNAIACEGEDRVERGETYKQWQVRNQRAGLRQLPLNREIVKMATDIVKNHYHKNFIIEEGQQWLLQGWKGRILLAHSTWVADDSCSGSPNP >Et_1A_008035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40267426:40273431:1 gene:Et_1A_008035 transcript:Et_1A_008035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EEIPQLQGAPKENGEEGAAETLKTARKRRRDPVADPSSLEPSTSRLRERRTVPAPVQPKKPKKTDGSSEMCHQCQRNDKGRVVRCMGCTTYRRRYCVPCIQSWYPNLTEDDIAKSCPFCRNICNCKACLRSLKIIKKVDKWKVSEDDKIKFSQRVAHFLLPWLKEFHQEQMLEKTAEASIQGVDTCNVKVPLVSCPKDMRIYWCVPFIIFFETATTAGHLLLTIIEAVRTVPMICASVAAVIFGNYQCQVAVLILARPSEERDKDDLQQSSKHNDVACQEPSDGQDHILVDSAVSSKHCTPSLSRWKINNNGSIPCPPNTLGGCGSSLLELKCLFEDQSVSGLLEKASSVVNSEAIPELGGSKCSCFTESGDISDERSRKSACRENSNDNYIFCPAAVDVQNGDMLHFQEHWLKGQPVIVRDVLALTSGLSWEPMVMWRALRERKDTQDRFSVIALECLKWSQVDISIRMFFEGYSRGTIGPKDLPVLLKLKDWPEHSSFEDRLPRHGGEFMSALPFREYTDPKSGPLNLAVKLPEGVNKPDLGPKTYIAYGVAQELGIGDSVTKIHCDMSDAVNILTHTDEIKLKARRIAAIEKMKDKLKKRDERRNLLLKHQPSVLDLTSEEHESVQKAVVAVEAEVNLTELNGQSSNQSDAEHTDVSLSKGKAEHASLTINSEDRSEIPNDADGKSEPPGPRRSRRLGRNSSNSSGKTNTGSSTEDEDDFINLESKDDDDAQFVEGDQPEGGALWDIFRREDVSKLHDYLLKHAEEFRHYNYEPVKEVAHPIHDQCFYLTNEHKKKLKEEYGIEPWTFVQKLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVQECIRLTEEFRLLPKGHRVNEDKLEACQPFALTLMYLLVKKIALYALNQAVKDVTGYDCIERSENGITRKKVTSSENEVEDEPSSSESAEMEEEQT >Et_3A_027003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29297554:29301215:1 gene:Et_3A_027003 transcript:Et_3A_027003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAARAKDIAEKKFAAKDLQGAKKFALKAQALFPGLEGIAQMVATMDIYLVSEMKVAGEKDWYSILSVAPTADDETLKKQYRKLVLQLHPDKNKSVGAEGAFQMVQEAYTVLSDKTKRAVYDQKRNIRVLQQRTSQSTKASAVPGAANGFYNFASNSAAASKVTTKRQTTGQATRAVRQRTSQPPPPSAPPPPPSAPPSQPTPAPAPAPARCPAPPPAMPPTFWTSCNKCKMNYEYLRVYLNHHLRCPSCREPFLAKEIPMPPTENVVQDSNAHGANQSANSTRNFQWGPFSRAAGAASATASSAAAAQAANVVHQTYEKVRREREEAQAAARREEALRRKHNPLKRHTNMSENVHPGAGDVASSKKMRTLSKDAGVGMSSIVSRPAANYFTVPGGSISFSANTGAYQFQGYNGGPSWKPRPPNLSRTFTPMDCRRILLEKAKLDVKNKLKELESKRSQVASSEKASKKHVVKENGGDDETPAPNNPTASEDAHAHPEENDSGDSIDAENEDDDPLSYNVPDPDFHDFDKDRTEESFQSDQIWATYDDEDGMPRYYALIREVLSVKPFKLKISFLTSRTNSEFGPLNWASAGFTKTCGDFRIGKYENNDVLNMFSHQTKWEKGQRGVIKIYPQKGDIWAVYRNWSPDWDEDTPDSVLHAYDVVEVLDNYDEEHGISVVPLVKVAGFRTVFQRHLDPNAAKKIPKEEMFRFSHQVPFYKMSGEESPNVPKDSYELDPAAISKELLQDITETAKELNLEQYFCLGT >Et_1B_010302.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:3892676:3892909:-1 gene:Et_1B_010302 transcript:Et_1B_010302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKFILPVMMMMTVFVLLAASASARRRLEGDHLTGGNNLMVQFVKHQYLQQLAGGADHSCGTYSGDNPPCRPPHHG >Et_1A_007387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34042355:34042893:1 gene:Et_1A_007387 transcript:Et_1A_007387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNMSATLLVSLIVAVLFVAGAQADASEFPPPVARRAGPVPTPSPPPPAILSAEKDNCPKFRVPAVVDACRRGGLARCATQCIVHNYRGGHCDVMSDSRPADCTCLNCIGKQE >Et_2A_016784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28145697:28150012:1 gene:Et_2A_016784 transcript:Et_2A_016784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFLLLVAGAAASAPPASGEGCRAGCPLALAAYYFSAESNLTFIASLFGLPGYSALLPYNPNITDPNYIVTGDRVSVPFRCSCLSLPASPAGYFLAGSLPYALSRGETYDDVASEFANLTTAPWLEATNAVPAGKAPAARKVNVTVNCSCGDERVSKRYGLFLSYPLWDGETLASAAEMYGFSSPLQMELLRRYNPGMDGVSGKGIVFIPVRGKRLSGGGVAGIVIACTVVLIVGIWLTAMFYRRQKLRKAMLLPCTEKAVQLGKDSQVEGMKVDRSIEFSYDELFNATQGFSLDHKIGQGGFGSVYYAELRGEKAAIKKMDAQASQEFLAELKVLTHVHHSNLVRLIGYCVESCLFLVYEFIENGNLSQHLRRTGYEPLSWATRVRIALDSARGLEYIHEHTVPVYVHRDIKSANILIDKDFRAKVADFGLTKLAEIGNVSKSLPTRVVGTFGYMPPEYARYGEVSPKVDVYAFGIVLYELLSAKEAIVKSSESTNEAKGLVYMFEDALNMPDPKEALQELIDPSLGGDYPIDSVLKIAYLAKACTHEEPSMRPTMRSVVVALMALSSRDY >Et_9B_063745.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:1177276:1177389:1 gene:Et_9B_063745 transcript:Et_9B_063745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCLYQFIVIVGMLDHTHTLVLPHVTEMIESKVQET >Et_6A_046867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21761688:21762607:-1 gene:Et_6A_046867 transcript:Et_6A_046867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLLSLWNVQVWSKMKIRSSNASRHVQPSFITGVRSQLLDVCWHMYHRIRIVFRPHIQSSDSNPSRTLNKSSIECHVIIPLLFAVQRGNVFLNRPDQPECQFYMKIEDCKFGVVCKFHHPKDRTVPVPNCALSSVELPLRLGEPICTFYYRYGILVQNENSIIQCKPSCTAFLHHQPVRSQLLDVCWHMYHRIRIVFRPHSPYIGRMYSYTDLRNQNFHHPKNRTVSAPNCVLSSIELPPRSGEPICTFYSHYGMFKFGPK >Et_3B_028424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15010557:15017318:-1 gene:Et_3B_028424 transcript:Et_3B_028424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPTLLRLPLPLTLASYNLCVYCCKTKKAMRTSEGNEELAALPPRGWNSYDSFSWIVDENAYLQNAQIMAEKLLPYGYQYAVIDFLWYRKYVDGAYTDSYGFDNIDEWGRPFPDLQRFPSSKADRGFSQIANKIHQMGLKFGIHLMKGISKQAVNANTPILDIKTGKPYIEDGRQWTARDIGLTHRTCAWMQNGFMSVNTDIGAGRAFLRSLYRQYADWGVDFVKVDCIFGTDYSPKEIITISELLQELDRPIILSLSPGTEVTPSLAENISEHVNMYRVTGDDWDSWKDVSSHFDVSSSFATAKKIGATGLRGRSWPDLDMLPFGWLTDPGVNQGPHRKCNLTDDEQKTQMALWSMAKSPLMYGGDLRNLDKSTLSIITNPTLLKINHYSQNNMEFRYIYGERASSEEHSSQFWSPCPVDLTKNNIMVFGLTACSDDKANGWYMFSQDGKLDHVCRNYKIQNGKNISFCMGKTKPLASDAIIMDKEYEAKFHLAVKKVDDTCLDASASQRRTASEAKVPMFSRCRWHAKQMWELNEKGNLVSTYSRLCATVESRQEEGTAGARSWIATGSKGEIYLAFFNLDSKSRKISAKISDLRKVLGRKFARKNMCSCTEVWSGKYFDLVNEEISGVVNPHGSMVFEIKC >Et_1A_009458.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:590508:591776:-1 gene:Et_1A_009458 transcript:Et_1A_009458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPKPKANRMCKSKSAIATTAASSSSSAAAAPRNHRSPRTTSTFPASYSLSTSSSTASSSATSLAALRDSLPELPLLFTYHDLAAATSNFSSSHRLVPAAASSSNSFRCSLRGHPAAVFRRPLRRDARDVSARLAVLGHCHHAAIVRLLGAAASPDRTTLFLAYELVPDAAPLSALLRNPKNPSFTPLASWHARLQIAADVCDALHYVHLQADTIHNRLSASSVLVCGDGPLFRAKIAHFGAADLAGELPEDKKDEDEEDAKGSGHRRTGSRGRRIEGTRGYMSPELIAGGPPSRRSDVFALGVVLLELVSGQEPVRYELVNRAKGEYERTSLIETAEAAAAEGGGEAMRRWVDRRLRDSFPVDAAESLTALALRCVAKDPAARPDMSWVAAKVSKLFLEAQEWAGKFCIPTDISISIAPR >Et_7B_055715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2538676:2541016:-1 gene:Et_7B_055715 transcript:Et_7B_055715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVAVASVIAAVGVVAVIGTIAAVTSSKKGSESSNGGAMSAGVKLGALCSSTLYPAKCEESLKPVVNDTASPEEILRAALNVAMDEVAAAFERSAHIGKDASGNVTKSAMEVCKKLLEDAREDLRDMARLKPEEAVGHVKDLRVWLSGVMTYIYTCADGFDKPELKEAMDKVLQNSTELSSNALAIITRLGELLPPDEAKKLTNGSPSTPAGGPTRRLLGWQMSDESAVAAEEESGGRRLLVAADEEGDKMNEIAAVTDASRKLLAETVDEVSAMSRDANRRLLGLFSEVSNSSDPMGRRRLLSMSSTDEDDVTDHDDRRRLLSIQADSIADMSAEVNRHLLAAEVPDELAGRRHLLSTTLMQIVDMASGVKGQLDAMNKTTDPSSHRVLATDLVGTFDEIDDGRSGVPGGDLPEWMPAHQRRLLQMPGLQKPNVVVAQDGSGNFKTINEAVNAIPKDFKGRYAIYVKAGDYKEYVTMPKDIANVFMYGDGPTKTRVIGDRSNAGGFATMATRTFSAEGNGFICKSMGFVNTAGPEAHQAVALHVQGDMSVFFNCRFEAYQDTLYVHANRQFFRNCEVLGTVDFIFGNSAALFQNCLMTVRKPMDSQANMVTAHGRTDPNMPTGIVLQACRIVPEEALFPTRLQIASYLGRPWKEFARTVVMESTIGDLIRPEGWAEWMGDFGLKTLYYAEYANTGPGAGTSKRVAWPGYRGVIGQAEATHFTAGVFLDGMTWLKTTGVQNVMGFTK >Et_5B_045132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9825554:9826919:-1 gene:Et_5B_045132 transcript:Et_5B_045132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVQSSALLGVSSPPLAPSTRSSKKQSCSVRPAKQQRGGRLRARAVEVGKPGGEASSGLPEEVVEPAIDFAFVAPRLLPDGSPDVHYRTARGGQKLRDIMIDGYIDLYGPYDQVLLNCAGGGVCGTCIVEVVAGKEMLSPKTDVEKEVLKKKPKTWRLACQATVGNPDSTGQMIIQQLPEWKLHEWDKSIS >Et_4B_039811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3222124:3225618:1 gene:Et_4B_039811 transcript:Et_4B_039811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGRVGVMVANLRPKNCSGSDLRSKKRTYVQFHLELGGATRRSCHSEGTEKEIFSELSLPEVPCLMQRLSYLEVLGCGRLRVIDCDAPNISSFYFQGNPKVQLLLGEALQMKKVTQRKMEHVSVFADPSDLRRITQPSETRSALLLGSARRVTGGRHGALEAPPLPRFRPLARAGFQLKLAMRRLIVLLPFVNRIDILFTNSAIVVNNTVWRVH >Et_4A_033595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24824576:24826739:-1 gene:Et_4A_033595 transcript:Et_4A_033595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGARRAIAMAFAVACCVLASHGVADAATTYYVGDGSGWSFTSASWPNGKQFHAGDVLGNSRQRFIIFSFVVCAGLARSALELKGNGSPFLSCAVFRYIPWMHNVVAVDEDGFDGCTTPPGSRTYTSGSDSVRLARGNNYFICTRFGHCNLGMKLVVYAA >Et_4B_037117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14878873:14880096:-1 gene:Et_4B_037117 transcript:Et_4B_037117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTDPSYHDMSDPGMRWLPHEVGDGFVLGAAYGSAFHFNRGLRRSPNGTRLAGTFHAVRTNAPRLAGSFSSFQEVYVVSKFVVSHARKKEDRWNIAAFGATMGIRYGRRGPVEAVRAAVGGTMFSALIHVADITRVLVTPCSPSRRQAMLAPDLLPRHARLRPRSCRRGMGKGRERERGGVLPVGWKGEGRSNRAALAGAHRRAAPRCTRKMRRVGRERERGGAPLAVPCSSASASRRRGDGGGEKRREGRGRRRVWGKRGYTGGKLKCCLYMGLGFGLSAIGALMRSACPAAIFSLPVTADRKVADVTCVRHH >Et_3B_029045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21590088:21590731:-1 gene:Et_3B_029045 transcript:Et_3B_029045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVLKVAITCKKCKTCVLRIASKVKGIKSLTFDEENATLTVVGDVDVVVVVEALRKAKHPAEVVSVVDEKKEAEEKKKKEEEEKKKKEEEEKKKKEEEEKKKKQQQELCAQMCPPCPKPYPPPCPPQQYYIVDEQPGPCTIV >Et_2A_017166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31605725:31606142:-1 gene:Et_2A_017166 transcript:Et_2A_017166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LSDLTNQSCTRTFPRAATAFISLRRGACSCLSSSSGSRWRVAPHPSLAKPCATRFPARRTCDAVMSPSSPLVTASLRPRRSAASSATELVAVPICAPSQHEPALVVPVHAADARAPSKLSFSRPASGFRLPPRARRL >Et_4A_032880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1582243:1583304:-1 gene:Et_4A_032880 transcript:Et_4A_032880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKSTKVAAIAAVGLAMLFGTEDLHSEESLWSLYERWGARFNRARDPADKLRRFDVFKATVRRVHGRWSAAGQAQAPPGLNGFADLSDQEVGEYSCSMPDDDDDPPAANAPSARRRRDPPSSGFHRLAHRDLRQRQAVESNYAIRGRNNQLDPILLSEQELVDCDGKNRGCKGGLATRAFDYVAMNGISSSAAYPYRALNGTCQSGGKSRVDMILRGYEQLPSYDEFQLLQAVTYGPIAVSISVANTTDFTDHEGGVYRGPCGTRPNHAMLLVGYDAEYYFLKNSYGQDWGDEGYLTLPRDSADRTRGTCAILVRRGSYPEMDIRRIQVMCVVCGFRSMHVKNPHN >Et_10A_001180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21951192:21959207:-1 gene:Et_10A_001180 transcript:Et_10A_001180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LKPNKEPPKIVSKLGGRNYSFPEQSWRRNKFDEMSAWLQIAAAYAVNGPYHGASAALPIWKVQVQPHEFSKNYLLLASPHERNFTPIVGKSPPDIKNQIAVGTANDGGETSHCLNHDCGFIQTNNQFALGTRFQDGNSRVGGDLYFITASLYRATGPAVWWLAINGVALGYFDPNWFPVPFIESFHHEMGGRVLDSRPGGRHTTTPMGSGMFPSAGLRDAACIAFYMAVDNDGGDQVDDPINKIVTSPKCYDVQDFGWDRNHPGADVAYGGPGGYD >Et_9B_063958.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:6267507:6267746:1 gene:Et_9B_063958 transcript:Et_9B_063958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDRCKTCNHSSGNFKYRKQQARTRAKPGSHPGSYVSRFRRSSSLDTQYPDPVVWIENHRLEVRNRIQFLEMQQKIIW >Et_7A_053010.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:4743869:4744396:-1 gene:Et_7A_053010 transcript:Et_7A_053010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSGAKKSNKITEIVRMQQMLKKWRKLSVAPKDPSSAAAGSGNGNAAGAGAGESKAKKFLKRTLSFTESPAPSGSPPPPPKGHLAVSVGPEMQRFVIPTEYLKHRAFAALLQEAEEEFGFQQEGVLRIPCEVPVFVTILKSVEKNKKDAAFRYCSVEYAVDEVGRGTPNNPLCR >Et_5B_044339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22925580:22926811:-1 gene:Et_5B_044339 transcript:Et_5B_044339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSVCRECIVEKFRIEDTNCCPKCDIDLGGGHKVEDIAPLYPEELALPRPQSSPQAHEVDNSAEGTNPFLVCEPKNAETETEEGERLETEHSASLAKLIASSPHTAVSPATGPTLPILSAGSGNRQGDAQRNTHHWP >Et_7B_055575.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:15055562:15057142:-1 gene:Et_7B_055575 transcript:Et_7B_055575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTVTILRDARGLLKVPDDYRSKNAKAASSETSSTATRVSPPNDIVTKVPPLQPTRSTAADESTAPPRVSSRPTRSKKNTKRAGKFRIRAPRVSLPNDLITEILLRLPARSVARFRCVCRLWNAETSSRGFLDRHPDLFVAPKFVFVPRAPEHFCYWGRCSSCPRVIRVKHCRGLVLVEHQSPAAYSVSVCNPTTSEVLRLPDQPSWSAGEASPGVVTGIGFQYGDYKVVQVTVALGKNMRARVLTLGDARGWRAPLDMSQAQATTFGDLTDDACIDWNIDPAFADGCIHWYFRTNRRNPDEPHGVLSFSLADESFRRAPSPPFVAADRASYPYRLDNRQATSLSNTGTRLAELDGRLCITRDVRRRSDAPGQFEVWKLHDYEAGAWSLDYRVDLTGRATRRLKASFVVPLTYVVGGDDGSPGEKTARKLMFVTSEQRAQVYDPEANTLRTVAGMAGRQGRVDVNGDLIRHSDNSLRIVQYQESLVRFPGMEPCDSEIKFEKLEYISEMSLRRSRPRGRRSLRQHQ >Et_5A_041363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21804818:21807814:-1 gene:Et_5A_041363 transcript:Et_5A_041363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPLLLHPGTRMPGWGLTGRGDAGLSPAAGRHGGRLAAPQGRHWKAGEFPGTVDGPKSPAPSKLSDRKKHWKAGEFPGTAAAPRSSKPSRTPLKNVKKKLDDRADAKAWACTVTEALADRMSSKNWQEALQVFEMLKEQTFYHPKEGTYMKLILLLGRSGQPSRAHQLFDEMQQQGLAPTPELYTALIGGYCRSGLLDEALQLLNEMKAYPQCQPDVYTYSTIIKACVDASRFDLVDAMYKDMAERSIAPNTVTQNIVLSGYGKAGRLEDMERVLSVMLESANCKPDVWTMNIILSLFGNRGQVESMEKWYEKFRSYGIEPETRTLNILIGAYGKKRMYDKMSAVMEYMRKLAFQWTTATYNNVIEAFADAGDAKNMEHTFNQMRSEGMKPDTKTFCCLIKGFSKAGLFHKVVGMVKLAERLEVPANTSFHNAVLDACAKADDLMEMERVFMYMKHKHCAPDAETYSILVGAYRKEGMTDKIYALHQENPTFVPNEVVMCYDSWIHTYKNACAKADDLMKMERSPDAETYYILVEADWKEGMTDKIHDLHQENLTLVPNEVV >Et_1A_008657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9571287:9574679:1 gene:Et_1A_008657 transcript:Et_1A_008657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSLAALAALLLLLAGYPCHARPEPELEPEPTEDESFGTTLVDGIGVIYNFGDSLSDTGNLLLEGDASGMLNYTTSLPYGSSIGIVTGRCSDGFLMIDFLATDLDLPCLDPYLDPNGYFTRGANFAVAGATALDAATLARRGGVVVPHTRSSLAVQLQWFKHLMMHENYGLQNTTMQNIREMLSYSLVVLGEIGGNDYNYAFASSLKSAQAGPGGRRNFGRAVSKATALVPDVVRAITDTARELLDMGATRLVIPGNLPLGCVPIYLSAANEKDPKAYDANGCHVGLNLFAQMHNAELQKGIKELRASYPAAKIAYADYFHAYAQMLRNATKLGFDRGSVTRACCGAGGGRYNVDMDRMCGAPGATTACATPDRYVSWDGVHLTQRAYRVMTDLIYHEGFASPAPIEFPRSSRTARERPSGLLQYIGKLPYGMDIHGPTGRCSDGYLMIDFLAKDLGLPLLNPYLDRVQTSRMASTSRSPEPQLSPSPH >Et_5B_044419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2409011:2411683:1 gene:Et_5B_044419 transcript:Et_5B_044419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAARRLLPRWVAGGRCRLLGTAAETSSGGEEAGGSEAIYVKKPAAAAAVSTRDETSVAMPVSFMTGSVVGKRFYRDATVRCADDGNGWTVMLDYRTLKSPAKRPLKLASRALAMAIAAEWEYQEADGIRPFTMPLMKLACTALERVPVTRTKVIDNLMKKFHQDLVFCRSPADSELTIGVHQKQKEKIDPILDWVNTEFGFKPVVCTTFFGGKQEEGLTKAVETVLKKTTDFELASIDAMAAAAHSLVIPLAIFRGRLGIEEAIELIRLEEDHQVDKWGLVEGGHDVDIADLKVQMSSAVVFLGLSRGIQHSAAVLATYLRYLSVVLTLQIVTVVLALCAS >Et_3B_029449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25045009:25048162:-1 gene:Et_3B_029449 transcript:Et_3B_029449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTPRLVVPVDVKKQPWEQKVPLHNRWHPDIPPVADVTEGELFRVEMVDWTGGRVRDDVSADDIKFLDLQFGPLRIVDCDGMPTSPGDLLAVEICNLGPLPGDEWGYTAIFERENGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGVRFPGLTHPGIVGTAPSVELLNIWNQREKRLAETSPETVKLCEIQEGTAEWHRMANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEIIRGGMKEYLTPVGPTPLHVNPIFEIGPVEPRFSDWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLARFGYSKEQVYLLLSCCPCEGRISGIVDSPNAVATLAIPTAIFDQDIKPKHLSGKPGPKLQRLPDLLRCSNEGQLPVTQDQSGTKAP >Et_3A_027237.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:5625136:5625648:-1 gene:Et_3A_027237 transcript:Et_3A_027237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTRAQQPNKAPEPQDPAAVADTKPQRRAKQPRQPKGAKKPVAAAATRGEGGAAAVAAAVAGNAAAASVASPAPETAPTVPDVCSSGGGAGEAGSQHAVEDWDLADAGLGGGAWWTWGVDEEKLLGWFPFVEEDFRCLAGRAGADAEAAFDDDIWRIHQIYEIPNYAAK >Et_2A_017141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31219005:31223168:-1 gene:Et_2A_017141 transcript:Et_2A_017141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IQEELAELNCIKIIMGIGDGSSNGNQQSHKELRDETTPLLPVKIEEEEGFHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILMIIIVALLTEASIDMLVRCSHQGKITSYGWLMGEAYGQWGRIALQASVVINNVGVLIVYMIIIGDVLSGTTSAGVHHRGILEGWFGAHLWNSRAIVLLATALLVFAPLVSLKRLDALKYTSALSVALAVVFVVITAGIAIIKLFSGTVAMPKLFPELDGLNSIWKLFTAVPVLVTAYICHYNVHSIDNELEDRTQIKPIVRTSLFLCSSVYIATSFFAYLLFGEGTLDDVLANFDANLGIPFSSVFDDIVRVSYAAHVMLVFPIVFFALRLNLDGLLFPTSRHISRDNKRFAIITISLLTAIYLAAIFIPSIWDAFQFTGATAAVLIGFIFPAMIILRDPYAIATNRDKILGVTMIVLAILSNSVALYSDAMNIFRKKEWAKICTSASSAWASMAKKKTIRQF >Et_5A_042869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5957598:5960074:-1 gene:Et_5A_042869 transcript:Et_5A_042869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNPTEPEDQPGPAEPPTPAPVKKKRNLPGTPGELLLPRARASRAPPALHPFLPVPPAASSDAAAVVCFCSPRLSSRADPDAEVIALSPGTLLATNRFVCEVCGKGFQRDQNLQLHRRGHNLPWRLRQRGPGAAPPRRRVYVCPEPGCVHHSPARALGDLTGIKKHFCRKHGEKRWACPRCGKRYAVQADLKAHAKTCGTREYRCDCGTLFTRRDSFVTHRAFCGALVEETGRVLAVPAPPSPRPPDLEAEENADRDKEKVEEEENENSGVAEMEQTPRVAEAVAEKPQHVPSPPPLSQEPRRSPSPPPLPQEPQPLRSRAPLPQEPQPLRSRPPFPQEQRPVVALVPNVDEPKVVAEPIVLAKQEEEADQDEVTCFQKSRQYKDAEIQSSNRLDKDTPMLPCFLPSPSEAIGTDVSGTTCGAGGSVSNSIAPSTTTNTFAGLFASATKSTTSQSRSLRDLIGVDPTFLCLAIGTPSPLFPQTNASNPCSFAPPPAPHMSATALLQKAAEVGVSQSSSSFLKEFGLGSSSSSSPPSKVPQGRFADNNTQQWYHPSNPQMEMEHHRRNQQMEMEHRRSNQQMEMEHRRSNQHMEVERHRSNQQMGMEPRPMLSSSLGLGLAYQSGNSGLPDLMMGSSPLFGPKPATLDFFGLGIGGTMGGSTANGGLPALMVRGELDMGSAQAAAPWEDGKRKTNGRTIL >Et_5A_042327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8805344:8806579:1 gene:Et_5A_042327 transcript:Et_5A_042327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASSNHPVSIFLFAYMFTQLAPAASSSLSGWFGTLDYEIEKQVLAAGDAGQPNFGGGGNTNSSAAAAGGFVALDVGALSSLAGEVGAPEAVASPRPPRTPKVVRSLSRKGERKPAEGDGNGGNAGGGERPQLFVHVAAGDLGDANGARLVVHTPVAGTPGGKSRRLGRRPAPWLDPRRVVFLFATL >Et_7A_052015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3736229:3741616:-1 gene:Et_7A_052015 transcript:Et_7A_052015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACPTEIPNGWLLRSSPAELVAAMADVSPTTTSRRVRPPDTSSRCEAETVTATHDFEVSNFSQLNGHIGAGRSLKSAPFNVGGFNWAIEFYPDGSDATLRCCYCIRMASAFVTICDGAVGARARFTLSLVDRHGRASRWWRRSSPPRTFGWPYPTTRGFNHFYLKPFLRFFSDDGDDRLKIRCEITVLSPPRTENTTPAAAPQPELMRHLRSLLADGPGADVTFAVAGREFRAHRVMLAARSPVFRAALYGAMAENDPRRVIEIVDAENCTTLTDRVRRPLPISRSMANISMAAAIKQSECLSETSSRCVTGSVTGAHNFEVTNFSLLDGMGIGKYVSSSTFSVAGRDWNIKLYPDGWKEEDKAAYVSIFLTLLRGSVGVKVKYSLSLRGKNDQEAKLLNDTHTFDCSGFWGWSKFMEKSKLKPLLQLNHDSKLKPLLQLNHDCFTIRCVVTVMQDPHTEDRNTIVVPQSDLPQHFERMLKDGKGKDVTFNVDGQLFHAHRCVLSARSDVFEAELLEGRALPGQAGCGRVWYLQTWRRLPSFKQGRAQGRETWRRRPAAADFGTPRSERDGCLRAHNRVEQGRAQGEEWRASPGRAGEGAGERKGGGHWKP >Et_6A_046977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23514147:23519385:1 gene:Et_6A_046977 transcript:Et_6A_046977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARSKISGYLASNQIPSIGSMAAGDGGKSVWMAELEEALSEADASEEVSRRHSIYRVPACIKDLNPKAYQPQFAAAVEEVMEELQSAYLDLDAEWRDVYGRSKFLAMMIVDGCFLLEVMRVADSGWKNLGDYAPNDPIFSSHGALYMVPYIRRDMLMLENQLPLLLVAKLVAVETSKPMSNVLYSRAWMTDATQNYNAINRMVLRFLATVPRMLPPGVGLGLHPLDVYRRSMLCGPYQTPMDTDREPPSETDIIMSAVELYEAGIQFRPSLKRSLTDCLHDIRFRDGVLTMPAFLLLNTMAFERLHVGAGNDVTTFVFFMFSLIKSANDVTLLSSQGIVQNAIGSDLVVAVMFHRITKELVIGPDNALDAVHRRMNTHVQKPWNRWRSNITHTYFRKPTSFINFLAVSAGLVVTIIETIYTIVSFYKQQKP >Et_4A_035852.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7243957:7244967:-1 gene:Et_4A_035852 transcript:Et_4A_035852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYDQILRPLIGVGPWGAAHHHGGGEAVTRQILKCTRWQLEETTDFVTCPYHYYCDSSYPGDYHAAVGALVAAFAAYCFLSTLAFTVADLVRGNSPASSTAPASGVRGVRRKYLVPSGPFLLPLVLLALAKGQRVNSVFPVAQLGPALLLLLQASALAFRNEADGDVRYAVLEASTVSGVLHASLYLDAVVLPYYTGLEALRWSRFSGECASCLCRMEPLVVGGTAVQYRGLSKTALAVIFALCSRMVCRIYGEERLSAWTRSALEGVGWVFLAADAVYLVGWVAAEGGAVGVMVYSLVAGLVFLSVFGKVYRFLAWVETRQSQWKSSLCHSSVV >Et_1B_014016.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:27548559:27549128:-1 gene:Et_1B_014016 transcript:Et_1B_014016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGSNTDGDVGRCLLHSPLPEPADHMPAVPHEDHVATHVVGPLHVPADDHALQAPIMLDPAPATGFHHAPASDVVVERYRAVTTMEIQPPQMLQAPDPDQAYGFSEEEAPPLAAAAAGVDDALPSFQQGMFHGDQLMMNDGEDGLAAVFRGTDLGNASGAGATVEPDMRPVEEDPPGLVPFVRGQLD >Et_3B_031332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2670095:2670861:-1 gene:Et_3B_031332 transcript:Et_3B_031332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVGGRAHGLPLLLLPGLMALLAAAVLSFGAPVAAAAEAPAASPGLVFHVGGPRGWRVPDAGTNYGWWAMNNRFHVGDELYFKYGNDSVLLVDREAFDACNTTDPVAVFTDGATAFRLDRPGFFCFISGEPGHCDDGQRLVVRVMVHPAAAPAPAPGPGEGPATSAQQQPGHGGGGSAGAGGRPWEHGASCGGAAATAAGVALAAAAVVVASLVLMFQ >Et_3B_028296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1347770:1349594:-1 gene:Et_3B_028296 transcript:Et_3B_028296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAPVKKVLVPIAAGSEPVEASVPIDILRRAGADVTVASAGDDLLVEVMYGVRIVADARVADCADASYDLVVLPVRLLVLLVDVLSCLRRRRVQGGIPGAENLGGCAALEGIVKRHAEKGGLCAAICAAPAMAFGPWGLLDGHKATAHPAFVEKFPPEVTAVDANVVVDGKVVTSRGPATAMEFALTLVEQLYGKDKVEQIAKPMLVRHEPGYTIKELNPVQWQCNGTPKVLVPLADANEEMEVIMIIDALRRAKADVVVASAEDKLEIAARYGMRVVTDMSLDDAAEQQFDLIVVPASRRSCP >Et_9B_064964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20004525:20006661:1 gene:Et_9B_064964 transcript:Et_9B_064964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRCFLRLLSNRLVPHRPQPLAPASIVTRTLTSSPQHLPPLTPRALTSLRLYPSRCHFATRSSGDEEDEEEHYEDEGSEGEWEDEEAVAAKKPSGKTEEEKVAEAAEIGYKVVGPLGSDEKPFKPYEPVFAVVQIGSHQFKVSNGDSIFTERLKFCDVNDKLILNRVLMLGSQTQTVIGRPTLPDAAVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITNIEGIDKPETVAVAA >Et_4A_035337.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:20607927:20609237:-1 gene:Et_4A_035337 transcript:Et_4A_035337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSYEIFSLLESKFLFGAGGCLSGPGTPARSAFLDGGRVRVLSVDGCGAGAEDAVLAAAALARLEAGLRKEAGDPDARVAEFFDLAAGAGAGGVLAAMLFLRGADGRPRYSAAEALAFVAASVGKKKDWAGGRRGRWAKLFRCGGGGGDRSLRRVFGDATLRDTVAPLLVPCYDLATAAPFMFSRADAVESDAFDFRLRDVCAATCAADGAPVRSVDGATAIAAASAGVAGMGNPTAAAITHVLHNKHEFPLVTGVEDLLVLSIGGGASSSAATVSGGPNTPMPARSPSPRELARVTAEGVADMVDESVAMAFGHACATNYVRIQAGKAPPTPVHAGTATAAAGAMLAQRNVESVLFRGRRLSERTNAEKVDALAAELVKEQERRRRSPLPNVAIKHVAAPSPRLSSATTVSSLTTTPRTASTMPSPASSDSRR >Et_4A_035554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2890065:2897453:1 gene:Et_4A_035554 transcript:Et_4A_035554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCRHGRALLSPFFEFSNSSGFIVWDPITGDEQHVPQGTDIYYDRGVDFPKGVVLCALPDCDHGDCRGGPFVVVLVNTNHEDVEDDEDGVWFSQACVYSSVTGEWTALDYSSNGFKDQFSIDQLPAAHVRSALYFSCERGAVVLRYDNSGGLLMINGPSQDIDGAILTPGVEGGLRCAGLMQESEDSFCGRLRRWMPTVSRDGHRRQDIRNITPARREVVLGRICIIELVIIGLVGLHTSLLSIFLDELLRDLLHRTCLRIAGWLTLIIGLLLGPFHWILGSSWSGIVTCGTEVGWLLIVVVVDSFLLVVIPATRFAMRIWILLNKTLVVEAGILGYEVTLIEKL >Et_2B_021091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26424848:26427650:1 gene:Et_2B_021091 transcript:Et_2B_021091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRALEAHCLGRRIARCVVADDDKVVVAGVGHVAFERAMVGRTIVAARRRGKNLWLQLDAPPFPSFQFGMAGAIYIKGVPVTKSVVNSTEEWPSKYSKFFVELDDGLEFSFTDKRRFARVRLFDDPETVPPISELGPDALFDPMSVADFSDSLGRKKIGMKALLLDQSFISGIGNWIADEVLYQSRIHPLQIASSLSRESCEALHKSIREVVTYAVEVDADCDRFPEEWLFHHRWGKRPGKVNGNKIEFITAGGRTTAYVPQLQKLTGVQSSKMVAANLEQLADSVVTEDPKANAEDDDNLKPKKRVATSKAASASRKSRRNGGDNDKSSIKTIEPNKAGTNSEHGLEKPTSNAGKKADQVTRRSSRNKVKPQTSKSEER >Et_1A_008224.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5369671:5372322:-1 gene:Et_1A_008224 transcript:Et_1A_008224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFLEAPNRRRCHARVREQTEEATRAIPDPSIAAAIVNLFFVTPGLAQPGRTRRLCGGGATLQQSRDDGVGSMAQGSRGDGWRWLSGPVGRCAGVLAAGGNKLVSRVRHGKPLAAEQLVMGRRVDLISGFTCDAPYEWSDKTDSEWEFKKDQSSESFHVVAYDFGIKQNIMRRFTSHGCKITVVPANWPASKVLNLKPDGVLFSNGPGDPAAVWFDQNKMIGQALGGKTFKMKFGHHGENHRVCHLRSGRVDISSQPCWPSVPQDEAYVSPVPSRVLNSSAYTSSFGEFIELTKTNRS >Et_8B_059851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3367822:3368282:1 gene:Et_8B_059851 transcript:Et_8B_059851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMRQEEDERRR >Et_5B_043190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16688256:16688816:-1 gene:Et_5B_043190 transcript:Et_5B_043190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLQALSICALFLLLLVVPDACMASSTTLQDNCDLYASGDKSSYDSCVKTLQADRASATAADARGLAVVAARIARATAKATAGKIAQRQEVTGAPARCDGAFSAAGQYSPLAAADRELDDQVELAIGLLPPPSLRTTGA >Et_9A_061371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10705662:10713157:1 gene:Et_9A_061371 transcript:Et_9A_061371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVLGLAKTTVEGTVTMARSAMEEEDKLKKSVQRDLLVISDEFEMMHSFLHDAKDHVANNVTRTLVRQVRNTALDLEDCIETIVHLDNKPHWWRRTVPPCFMPAPPPGKDLDAVVATVEQLKARVDAMTLRNLRYNRNGDCCHKPAEHQHALATGVATVAAKQKHIIDDKQKEGVDKTEEKEAVGNKKEESGNEAKVEQPNKKVQELRVISILGTGSDQDVMFIKKAYDDSVTCDNFKCRAWVKFVHPFNPIEFIRSLLAQLINNACPEEGNTKEVLKVMVAPDDALIEMFETQVSDHKYLVVLENLSTMVDWEAVKGLLPNKKNGSCIVVHTQRQGVAYSCVGHQYPVTEWEMLLADHSVRVLFKEDVVDKVIDERVATKKEKVIDEDTIKANESNYEDWKKENPLFGRGEDLRWLLSARRQGRIVSVWGLPGVGKSFLIQHFCQKVQKDETSGYKKNYWVDVTRPFVINDVRKKLLKIIGMINSVPVNPPEGHKPTIKDLYSRWDNSYFIVVIDGLQSTEEWDSIRSIFQKKSTTEERNTGFYIQDNIFIIIITNEEKVAIHCAIAKHLVWNVKSLEADHAIQLFNQLIGERRMAEQERHRIELRNILVPKCGGFPKVMCAVAESWWMFWDIKVKDNLVSNLQANARLTKHSLQGLFSWLLSFFGSCPDSLKPCIFYLTIFPANHTIRRRRLLRRWIVEGYTWDKQITAEETASEFFSSLVKLDMIQPPREPRYPPSIGMPLCQANGFLREYVHSWSMEENFVFELVGDCKNLQRDPGTNQHYIKGMSMGCIVQHLTMLESWDRDRNVFESIDFSRLRSLTVFGRWEPFLICDKMGRLRVLDLEDVSSGLTNGDVERMVKQLPRLKFLSLRGCREITHLPSFLGDLKQLQTLDIRGTSVMSLPKSITKLEKLQYIRAGAAVILDNNNTAATFGSLPPLGAAAANPSTSHTPAMRTPRASPGSCLSKFGIHRLLDDGPRNGVNVPRGIRKLSGLHTLGVVNIDSAAGGKDILDELKNLTLLHKLGVCGINLSNLKLISAISRLAHLESLSLQFQSKQDHEAAITVSPMVKLRSLKLYGLLDRLPIWLMQMSSQLRQLEKVDLDMRTLPQHELDSVLTLPSLRIFRLRLAEFQDGELRFGWGLVDRYGWWIEVLEVSCNSKLQAVIVGSKIDIEILKIRCSNVSSSLQFSGLRSIKSLKEVWLSGTYDGAFKKHLTDELDKSEKEVKPILKP >Et_6A_046179.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:8386213:8386461:1 gene:Et_6A_046179 transcript:Et_6A_046179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VIQQVQHCYKDPITEFPEICLYVNYNFDGARKKLMECEQQTLMPFLLSLWSTCMCLLKNTEKLERWARMEHVDILELDPSII >Et_4B_039228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8921639:8924700:-1 gene:Et_4B_039228 transcript:Et_4B_039228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSASSRLPLPRLPPRRLRLPPLYTMASSLAILRPSAPAPLAGRARATAPSAARVAPTSRSRFSSARVSLGSEVAAGADTLFADYKPTTAFLFPGQGAQTVGMGAEAQSVPAAAKLFNQANDILGYDLLDLCTNGPKEKLDSTVISQPAIYVTSLAAVEVLRARDGGQDVINSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDASDAANSAMVSVIGLDSEKVQQLCDAANEEVDENERVQIANFLCPGNYAVSGGVKGIEAVEAKAKSFKARMTVRLAVAGAFHTSFMQPAISRLESALVATEIRTPRIPVISNVDAQPHSDPDTIKQILARQVTSPVQWETTVKTLMGKGLEKSYELGPGKVIAGILKRINKGATIENIGA >Et_1B_011621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24236336:24239979:-1 gene:Et_1B_011621 transcript:Et_1B_011621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLPETASDGKALTDAWDYKGRPASRATTGGWGCAAMILGAELFERMTTLGIAVNLVPYMTGTMHLGNAEAANTVTNFIGTSFMLCLLGGFIADTYLGRYLTIAIFTAVQATGVMILTISTAAPGLRPPPCADAKGASADCVRADGKQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDDGHHGERKKMLRFFNWFYFFVSIGALLAVTVLVYVQDNVGRRFGYGVCAVGILCGLAVFLSGTRKYRFKKLVGSPLTQVAAVTAAAWSKRALPLPSDPDMLYDVDDAAAAGSDVKGKQRLPHSKECRFLDHAAILQEREEAGTASKWTLCTRTDVEEVKQVVRMLPIWATTIMFWTIHAQMTTFSVAQAEVMDRGLGGGSSGFQIPAGSLTVFLIGSILLTVPVYDRLVAPVARRVTGNPHGLTPLQRVFVGLFFSVLGMAAAALIERHRQATSERGVVLTVFLLMPQFVLVGAGEAFTYMGQLDFFLRECPKGMKTMSTGLFLSTCALGFFFSTLLVTIVHKVTGHSGRGGWLADNLNDGRLDYFYWLLGVMSAVNLGLFTVAAKWYVYKEKRLADAGIELADEETIAVGH >Et_3B_029048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21658895:21660671:-1 gene:Et_3B_029048 transcript:Et_3B_029048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAYAVALLAVSAALLVSAATAQTCDDELPPQLAGNYSGLACSSVWNNFVLRYAQDKDNVLRVVLSTMYSTGWVGMGFSKDGLMVGSSAMVGWMGKTGVAHIKQFSLNGKTPNQVVADKGFLVSNDHDHTVLVQQAKIYLAFQLRFTQPLKSQNVLLAFGSAIPVNDRLSEHQDKTSIKFDFTTGSSSSASSFPEGLKRTHGALNLFAWGVLLPIGAIIARYCKRWDPLWFYLHAGIQFVGFILGLAGVVAGVSLYNRIQANVPAHRGLGIFVLVLGILQILAFFLRPKKDSKYRKFWNWYHHWVGRLTLFFAAINIVLGIKVGGAGNSWKIGYGFNLAILLITIITLEVLLWTRWKNNSGSAY >Et_4A_033432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22778891:22780150:-1 gene:Et_4A_033432 transcript:Et_4A_033432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSKHHEKGSPKQAPRAPVPGNSGDRSWQPPLQFEQCGHCNEQGCQAPGAAAPLVLTLGPLGSAADRPCHRCSCGVAPGPAPTPETVSMLRGSRFLRPAQELLNEAVRMADVAGGGTGDDGEEAEEQERLEAGAHRAAKDDGDGVQAKLLGLLSELESRQERYFGELGRVASTFEPALGPGAAAAYTSLMSQAMARHFGNLRRAILRRLRLHAAAAARRRPAPPLWESFPRADGDVDDEDDDDEPVTDEKVDRVARRMKLAAAARAEQAWRPLRGLPEGSVAVLRAWLFNHFLHPYPSDSEKLKLAVSTGLSRGQISNWFINARVRLWKPMIEEMYNDEFSEDHPLSNDTSGASSS >Et_2B_019151.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:14242930:14243229:-1 gene:Et_2B_019151 transcript:Et_2B_019151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLASSPGSTSRTALCTSREVSVIRPVALERRAASSARRSNMSAIIMSSTCMAWPETPRSGCTCFSTRHTYRLYPPTPASPPGLGTPRRRRRRRRRGC >Et_5A_040969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15905001:15909386:1 gene:Et_5A_040969 transcript:Et_5A_040969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYCPSLLRRRRPIPAPSLLHLLLRRPFASSPSTPSPPSLASPASSKPPALSARLSFVFDQLDALDRSRSFDLSARDAALRRIQSWRRPPSPPPEAPQLEVEAGPGCKLDEPNKEAEVVVAVPADGVERMSMEEVLRREVELVHPWSEWIELMERLAQQRYFDLGRAGGADEASVAASVPMDLSEVSEEAGFDFSRDWTTVKNACMNFGRDRFDILKSLPRKDLQILVGHGCPSMDAKVVFSAKLIRKLVHLDEGDVCSSCNLRSVCSRGYILTRKEDEARTLDVMRILLIYGFNHIKGTVENKPLLKLKSLKTVVRKLINEIVKRSSVPIDPNLPPPVIRKPPPKVKQPPPPPKKRVGRDDVEMKKGDWLCPKCDFMNFAKNTICLQCDAKRPKRQLLPGEWECPRCNFLNYRRNMSCFHCEHDRPADEYANSQMEAKQSALRKRLERPPRKSDVSSAWNFDFDDNESDGADVAAFEFADSSKASERSYVDSMSMTYRDGARGSKDEPLRMAETMGKNKVSERGSLSSSRVGFDDFDDEEDDIDSYELDLSKGSQTGEVARMSYSDLEGASESEGFGEFDNSRNSRYAGTDDVSVSADEDEFEDHPSLRSSHLADSWHKTRGWSGSNKSRRTPFGSESDDGINSDLDGDIDEDLRRKRNHTQGNPDRVSVRHRALTYSDGEPFDDGVGSDMIDRVHSRRTKSSTSASDNFRGRSLNLNGRRPSGDRHGRTIQNERFNSFDMHHGGHVLDRSRRVRGNQLGNDSRGLSQRNARRNWERSGDRH >Et_2A_016539.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25865555:25868959:1 gene:Et_2A_016539 transcript:Et_2A_016539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKPSASFHPCISSSTFRNRTSIPAGARISSATSISSRAKKPLFGELLGNISSKMEKASKAMKNAPQRFLDAVADSTFKFTDQALHPSESNFAPVLDEISEAIEIDQIEGVIPEDFPEGVYIRNGSNPLFGALHSTASIFGASRAIWVEGEGMLHAIYFTKNVSDTWSVSYGNRYVQSDTFKIEQARQKPCFLPAIEGDSPAIIAAYIFNYLRFGKVNKDISNTNVFEHANRVYAVAENHLPQEICIENLQTGKAWDIGGEWDRPFTAHPKVAPGSGELVIFGADAKRPFLVIGVVSADGTKLKHRVDLKLDRCTLCHDIGVTLKYNIIIDLPLTIDISRLIRGGQLIEFEKGSNARIGVMPRYGDAESVLWFNVEPFCMFHLINCFEEGDEVVVRGLRSQESIIPGPRYAPNNNDSEGSEVIEDDKFTKQGISTVYFSRLYQWRLNLKTKAVSGEYLTGTDFSLEFPMINTQYTGMQHSYAYAQVVDSLTSSSGNLNPKYGGFAKLCFDKRNNSEVSESDLIDTQYHWLGKNLFCSGAAFVPRVGGSCEDDGWIISFVHNEKTNISQVYIIDAKRFEDAPVARITLPQRVPYGFHGTFIHRHQ >Et_9B_064450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15268312:15270296:-1 gene:Et_9B_064450 transcript:Et_9B_064450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKVVVALCATSFLVGLLLSGRTTLLTPPSGAASGHGSSRIPLFADDCDQTHKAEDGKPNDIMKEVSKTHQAIQSLDKSVSSLEMELAVERAKRNGGLGVSLPSKGAGLPKAFVVIGINTAFSSKRRRDSLRDTWVPRGEKLRRLEKDKGVVVRFVIGHSATPGGALDRAVDLEAAATRDFLRLANHVEGYHELSAKTRTYFATAVATWDAEFYVKVDDDVHVNLGMLTSRLARYRSRPRVYVGCMKSGPVLSQTGVKYHEPEYWKFGDEGNKYFRHATGQIYAVSRDLASYISINQPILHRFANEDVSLGAWLLGLEVEHVDDRSLCCATPPDCEWKKQAGNVCAASFDWSCSGICKSVDRMRAIHSACGEGDGAVWSSATI >Et_5B_044072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19734247:19743226:1 gene:Et_5B_044072 transcript:Et_5B_044072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRQPYSMHLIIGTCMFGRQMEMDRIMDFLMQKEHQSNRNVGILPITGPGYVGKGTLVAHICNDTRVRNHFSRIVIVNGDDVNDKKLSVVNDGCMVIHQNKSLVENERTLAVIEFSENVDKVAWENWNLSLAGHLARGSKIIITSNSDKIREFGTTQALRLNFLPLEAYWYFFKILIFGSADPSDHPKLESIAKEMAREMNGSFLAANIISDILRDNFCDHYWSLYHAIFKANIQRNVSVFGEHPYELMQQKKPACYLINKDQYMVCAEYLAYSDGENAPSIRMYDVISGNFKCEGAFKILAWKSRVRPYKSYIITIMGGIVFLVDKYSKMTTTTTTDKKLHNLERLLLRVSIIIEEAEGRQITNQAIIHQLNLLRKEMYSGYFIMESLRSQETEVKGYDVSHSFALSKFNPAKHIFFTASDTHRDKDLQQVLDNLNNIIEDMKGLVMFLKNYPPLYRQPYSMHLYIGSCMFGRQMEIERIMDFLMQKDHLVTESVRVLPIVGPPWVGKSTIIAHVCNDAKVRNYFSQVMTFSGYDINIGNLHTMKGVTMHRNDALVENERVLIIIELSGDVDEVAWDIFYWSYGVYLGQGSRIIITSRSHQVQKIGTTQALVLEFLPPDAYWYFFKVLTFGSLYSCDHPELESIAMEIATRLDGTFISANMISCILQNNFVSQQWRVYLACFNKGIQHNVSLFGESPYNLVRKSKHVAYQIKNDEFAVWNKRRTCPDEENIPRITLQDVMSGNVKHEGEFDVLLWKSCIPPHRSYIVSCTIEKLNHIRR >Et_2A_017722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5447881:5450756:-1 gene:Et_2A_017722 transcript:Et_2A_017722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVNGGKSAAALYSSLLQSCIGSNAFRQGKSVHQRIVASAASPDLHLSTKLVIFYSRFGDVAAARKVFDGMPHRSVVSWTGMLSGYAKNGHPRETLELFALMLRNGGRPNQFTFGSVASACAGAWCVRSGEQVHACAAKGRFAGDMFVQSALVDMHLRCGSVADARQLFAEMERKDLVSWNALIRGFVERGHYSDALVLLSTMLRDAMLPDHFTFGSVLKACGAVSILSNVELIHTCIIKLGYWDEKFVIASLIDSYAKCRSLSSARVIYDSMCEPDLVSSTALINGYSMDRNHSEDALKLFCKIHRKGLSIDGFLLSSLLGICAKIASTKFGTQIHAYMCKNRPMGDVPLDNALVDMYAKAGEFLDARRAFDEMPCRNVISWTSLITACAQNGFGEDALTLFDRMEEDGVKPNDVTFLSLLSACSHSGLMSRGMEYFTSMMSKYGIDPRAKHYSSAIDLLARGGRLEDAWKLFQKTNAEPSSSMFGAMLGACKIHGNMPLGETVAKNVFNIDSENSANYAVLANIYAESCLWEDAQRTRKLLGATSKGKEPGCSAQLEPGAALPSADSQVLTSDLRTLLGYSDRAEGQEGLNNLLRSLLDVIRILYSLFISNGGQ >Et_7B_055683.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21399285:21402296:-1 gene:Et_7B_055683 transcript:Et_7B_055683.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNGGSGIGRGNFVEIGPLDVNLKPRNWTWLHKADLIFVVSERFLCFITPPIYFSRLSVNCTICDVNGGVQDTPVGVGYSYVEDPSAMATTDAQAATDIVELLKVLTKELPTLKGSPLFLVGESYGGKFAAMVGVHVIRAIRAGTLKLTLGGVALGDSWISPEDFTLSYTQLLHSVSRLPGNAVEDTSRMAEAVKEQIAEGHFAAAQKTWTDLLDLIDSKTNSVVDELLSLGVNVTIYNGQLDVICPTIGVEAWVNKLKWGGLKNFLSLSRQTLNYCYPAIYCSKIIKGYVSSYNNLNFYWILGAGHMVPVDQPYVAFRMISSVIQAPDSQIKISYVTCK >Et_1A_006357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21121041:21122738:-1 gene:Et_1A_006357 transcript:Et_1A_006357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATVVAAPAAAPHALLLPYPAQGHVIPFMELAHRLLDNGFAVTFVNTEFNHRRVVDAAGLSGARRRRLRLVGVADGMEDSEDRDNLVRLNAAMKEAMPPQLEALLDGDVDGLGKVTCVVVDVGMSWALDGAKRRGIPTAALWAASAGVLAVLVGAKRLIQDGVIDDDVNVPDCVAGAPIKLENNSFQLAESMTPMDATFLAWNKMGNRDAERLVFHYLTSTAWAAVAKADVLLCNTFADLELDIFTQYSPATILPIGPLRIWNRSTTDAPIGHFWRTEDEAALSFLDAQPQGSVVYVAFGSLTVMSSELIEQLALGLEVFGHPYLWVIRPGLAGKLPTSFTHIVAANGRGKVVKWAPQEKVLAHPAVGCFVTHCGWNSTLESICNGVPMLCWPYFTDQFTNQTYICDIWKVGLRVAPTGGEETVNMKIIKERLGTLLGDKGIKERLERLKDVAEKSMSGEGQSLKNLNTLIESLRK >Et_6B_048517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10092943:10093718:1 gene:Et_6B_048517 transcript:Et_6B_048517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHSLCFLKVDKAYNGKKLYFPAPSFPKLREVNIWGASQLEQITIDEGGLENLVELMFSDCPEFKCLPDGIEYLTALEKLHLTNTAEELIEQLRQQREANEELMKISHIRKLSTLAKRYRQRIQDN >Et_6A_047292.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3417040:3419336:1 gene:Et_6A_047292 transcript:Et_6A_047292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAWLPGSCSGLARRWKEERRPSGSCFGAAARFVVREAAPSWSSASTTHRGGLNHTALVIFTSARPSPLLRLTHALPSRHPQASSQPSDEDERRSRNFLQQLSALPCCTMAWLSTAQAAESSVGAKLNMVYEVGELFELGIQLSYLLILLGLLGAGSFFVVRQVLVRRELDLSAKELQEQVRSGDASATEYFELGAVMLRRKFYPAAIKYLQQAIQKWDRDEQDLAQVYNALGVSYKRDNKLDKAIQQFEKAVELQPGYVTAWNNLGDAYEQKKDLKSALKAFEEVLLFDPNNKVARPRRDDLRQRVGMYKGVPVKTEKS >Et_5B_043682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1463657:1464966:1 gene:Et_5B_043682 transcript:Et_5B_043682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPQCYVLLQQQKNSRQVLGEALVEDIPTMTNCLTNLGLDLPVDLDKLSPQLQAASETRSKACHLIP >Et_5B_045115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9736685:9738341:1 gene:Et_5B_045115 transcript:Et_5B_045115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGFIPDLVVEVGLLSASKVSMVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQRHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Et_3A_024475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21119985:21123330:1 gene:Et_3A_024475 transcript:Et_3A_024475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVRTPRFQPLPASISATGSTASASVAAGTARRCAGAVRASPFTEATSASRYRRDAWSYAADGSPPPSSSSSDAASAAAAAAASGRRDDEIALQLPELRRLLEAMRASRGKGAEGEDGGAGPGRVALVGTGPGDPDLLTLKAVRAIQSADLVLYDRLVSNDVMDLVGEGARLLYVGKTAGYHSRTQEEIHELLLSFAEAGANVVRLKGGDPLVFGRGGEEMDFLQQQGIRVEVIPGITSASGIAAELGIPLTHRGVATSVRFLTGHSRNGGTDPLYVAGNAADPDTTLVVYMGLSTLPSLAPKLMKHGLPPDTPAVAVERGTTPQQRTVFAVLKDLVDEVKSADLVSPTLIIIGKVVALSPFWVESSEQDALTENSFATEAR >Et_2A_017468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34713822:34714335:1 gene:Et_2A_017468 transcript:Et_2A_017468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVAAGEEAGAVVLVLVLWSTPQGMVMAPFCAKPYSSSAALSSATNRGCFRCPTGTTKRSSSSAAPPAGATTRTAMHPLGGIDPPLEPPPNDGDECCFFSRCTPIACPRSKEPLGLHHETIDDDGRRRPASQRRGRGGAAEKWLMHGEEERAVGRGS >Et_9B_064313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13945274:13947336:-1 gene:Et_9B_064313 transcript:Et_9B_064313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFPVSSLLPQNLHTLALYFPGTWNTWYADLLPTLARLQSLVKLTLRAGYGQDDAEEPDDDAEEPDGQSTSQHCEEAGVPLYEAPIMSSPAGGFPRLQYLTLFEIQASKLRFQAGTMPKLVELKIAYGHMATVPEKLELDEMKNVLPGEIHELLESKGIRVIIIKDECSDAHREGVRVHKHVIVILLWLVTNTRLAVVDAEKKPADEATAGGASSSSAANQIVLHSGDGEEFTVGVSVARKYVLISNIASTALPTIKSRVLARVVEFIGRKRGGDKEGEAEFFNRLADREALFDVILAANYLHTQELLTRVMRLCRRSDQGHDGAANSGVLRNDFTPEEDEIIRNENLWAF >Et_9B_066258.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:8957892:8958113:1 gene:Et_9B_066258 transcript:Et_9B_066258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLAAFLLPVLLLALPTAGTNVISESRIEDCLCDPSNGGSGDHISCKKMIVVNLAVPGESVSTRQPAL >Et_5B_044096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:271155:275116:1 gene:Et_5B_044096 transcript:Et_5B_044096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILILLFLSFSSWEVEIMEAEAKDSEDETEDAGRGSSSIRRISRSNPVSEIRTKTHFDSDVNAPTRGPTIASSNAEGAAERHLVTLEQNKNADDASTKSFDIRADSGNALDTNDVTVSVHSDAHNSTSSHIGPSENDEGPTNQVASDAAEDDHNGAPETRAAALCTPSVHGSIPAPIQADNIENINGNCLQNFNQVTAKNVPWPNSSEENLSGKKILKSELSRMVDQKDDGHTSDLKSTVPQPYAEEKQKLCESNVRSEGNSTSRNNHILGYSRKRSSKSLSPDTNLRSAQQTALPQSSEGNTSRVEFNTPPRKHDQELSKPADALSLQETEVVKHVGRSRGALAQRRKSILYSASPKPPNGDPDSGTSEVSSLIPNKESASEGAAVSNLDRHSAECTKVGHLSSGPTLNFTEKQISGSFKSNLLSTGRTSLKLVSSAEAKKLSENSSNDKNMAALGEVKAPALLKATTEKGCAIRPSVNSEDINESSGVSLQIEDIDMSDAEQVKNIEVAALNSELDKGVSHQSLEAGPKDIPVSPVADENATTPCKVSTSRVRNAGTKRSRNASSKSSGEFAKRKHKVAASKQMLDKDLSHGNVEDQQGEKHSSPNAAESTPLFSEEILNGKPRNEVSKAVLANVIPEKNMREVHKKMSSSASAVENLENSSQRVPNSVARNSVAKRPRTADDKMADSPIVDNSVTMSLKSNSEEIVPPENGETYPKRLSSSASANDPEICTANKVPNGRVRKVVAKRKLSAVQKQKSGSERCKAAGDSLTEDKVVLSERAAQSSRNAGRLTLDQDLQNTNGDAMVDRSKHTQSSKSRSNKRQKIVDVVDGSTDHDKENIPVGSNLTSKTKYGNTNISSKSITKALPSGKVVHNEDSMIKGNDCGTLNVLEPTWFILSGHRLLRKEYMLILKRLKGRVCRHSHHWSFQATHLVTTELRRTEKFFAAAAAGRWILKPEYLTACNNAGKFLEEEPFEWHGHGLNSSETVSLDAPRKWRQQKQHTGHGAFYGMQIIIYGECIAPTLDTLKRAIRSGDGTALATSPPYTRFLKSNVDFAVVSEGMPSVDGWIQEFMRHNIPCITADYLVEYVCKPGHPLTKHVLFNMHDLAEKSLQKLMKHQHDGIGDDTGEASEGYEADLSCSACGSNDRGRLMLKCGSDGNPAGCGVSMHVDFCNPPVEAAVPAGNWLCPKCDEPKPANKAKKTAKSRVLK >Et_1A_007875.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39061300:39073923:-1 gene:Et_1A_007875 transcript:Et_1A_007875.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGQAAHLSGQMSGQAVQMNQVGGSGVGVGSADGLPQHQPMQDAVSLGGIDAQFVMLRNSMREKIFEYIGRKQSSAEWRRRLPELARRLEEILFRKFSNKNDYYNMMKGPVEPQLQFAIKTLSAQNQQNHQNPRQTASSSGYGTMIPTPGMTQGSSGNTRIPYVTDNNTLSSSGAGMVPQNANMGTSMQGSMSNGYQHLTTSIQQNTITNNGQSTMGPVGVQRMIPTPGLNNQQNIAISDYSNGAGYFNSDSAVAPHMQHQKQFPSNQNSHQIQHIGGLSNSGIHSNMLENSSAYGLSDGHMNGGMGMHGSNMQLTNRTSAPESYMNISPYGSSPKPLQQQFNQNTTQRIPTSVDMAGSGSFYGTGSSALATANNQSMNAGNLQSRSRMNPMLVSNQLNIQSIQPQPQIKTEVMDQSDKVNFQSSQLTHEQLLRQQHSMQQHQMQPNSQIVQSQYHLNQQQQIPQHQQSMLRSNSFKQSQLVSSHSMQLSEHGALQQSELISSQSTDTVDFPNFQGQYQQRSAHDNVKGGQMFGHLSGSQNFHASGSHDSQQLLPPNPQLDDGSNDVTYGLKEPQTDQMLQPQWQPQTIEKASVTINAPLEKQIQEDFCQRRMTQDGAQQPFSSDWRLSRCTVTSTDPSLPKLSGGGFEQITGNVYYFRQIKWLLLLLHAKACSYPVGSCKFHHCVQMQELIKHFQNCQRKDCSYRGCNKSKMVSQHYKTCVDEQCPVCSNVKMFLHRSAEQASKQKALESKKIAQQNTARIPNGVETDRMDIDPVSAELFDDQPSVPKRLKIQPASPSAPENEISVISNPHVNPGYVSQESHPELLEHNNKTTYMKREVDVKADMRAPQKPPKIGYGIDGNVPTARHNVIPGALNEMNSHIKQENVSIDKETSGNVLEVKNETNDSTDATVSKSGKPKIKGVSLTELFTPEQIKEHIDSLRLWVGQSKAKAEKNQAIGHSENENSCQLCRVEKLTFEPPPIYCSPCGARIKRNAPYYTVGTGDTRHYFCIPCYNESRGETIEVEGQAFLKAKLEKKRNDEETEEWWVQCDKCECWQHQICALFNGRRNDGGEAEYTCPNCYVEEVKRGFRKPLPQSAVLGAKDLPRTILSDHIEDRLFKRLKQERQDRAAAAGKNIDEVPGAEGLVVRVVSSVDKKLEVKPRFLEIFQEDNYPTEFAYKSKAVLLFQKIEGVEVCLFGMYVQEFGAECAFPNQRRVYLSYLDSVKYFRPEIRTVSGEALRTFVYHEILIGYLEYCKLRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKASKEGIVSELTNLYDHFFMSMGECKAKVTAARLPYFDGDYWPGAAEDMINQLRQEEDDRKLQKKSKTKKIITKRALKAAGHTDLSGNASKDAMLMQKLGETIYPMKEDFIMVHLQYSCSHCCILMVSGRRWVCRHCRSFYICDKCYGAEQQLDERERHPSNSRDAHMLHPEEIVGIPKDTKDKDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCCHDIEAGKGWRCEVCPDFDVCNACFQKGAVTHPHKLTNHPSAADRDAQNAEARQLRVQQLRKMLDLLVHASTCRSGSCQYPNCRKVKGLFRHGMQCRTRASGGCVLCKKMWYMLQLHARACKDSDCNVPRCRDLKEHLRRLQQQSDSRRRAAVNEMMRQRAAEVAGNE >Et_4B_039399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13529082:13532219:1 gene:Et_4B_039399 transcript:Et_4B_039399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVAAVASVVAPPPPRRPASLRSVHIPRVGPLSLTVPRCSQSPSAPAAEAPPPAKPKPQRYPKQYPGEAVGVAEEMRFVAMRLRNPKRTTIKDKEGEDAGAGGETSEEDEDDDVNKEHAEEEEGEVVEGDWVPSIEGFVKYLVDSKLVFDTIERIVAESTDVAYVYFRKSGLERSESIAKDLEWFREQGIAIPEPSPFGTTYAAYLSELAESNAPAFLSHYYNIYFAHTTGGVAIGNKISQKILEGRELEFYKWDTDVELLLKDAREKLNELSKHWTRKDRNLCLKEAGKCFQQLGKMVRLIIS >Et_5B_045750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8770235:8777007:-1 gene:Et_5B_045750 transcript:Et_5B_045750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPSPSPSPSPERHPPVRRRGGASQMLLHNHNHHRRRSPSPPPRRPLRPRRAAAVSSRPLVDDFFPFPSSPSSSPSRPRQRRPSPEPSSSDSGDGGGASSASCRRRRKLKLVVKLSQLPPDQQHRQAPPTPPYSDDSDVEEEARKDVSGDEDQVKPPKKRRIEPRGDRSRHREVEHLAGLAVSLVFASPRSWLLTPDLLFVQVGGGRSDAASAPRTKRLPVPGTARTTPLPDRNTLEMILDKLQKKDTYGVFAEPVDPEELPDYHDVIEHPMDFGTVRRKLARNDDIFLICSNAMQYNAPDTIYFRQAHSIQELARKKFQELRDEGIPTGNQIQSEQKFRPNSCNIEPIKKSVLRYPDDDVGFLSRKEEVKRPNLKASEDIRFKGQVKKPISRKSQDESSLFHKERVKKPISRNSEEDLGSSFHKERPKKLVSRNSEDDLSSSFRKEPDTKVITRNSENDESSSFHKHQIRKPTSHNSKDDTSSHKNHIRKPFFGNREDPYFSPRKEPVENSNCTNGEDSGVISTKGLPETPTGKNREEPGHSHQDSSKKHICRDGQHDVGYSCNGEAVAEPVRVNNQGALGSDVSGATVASAGDGSNGLSMSQAHATEPAGCTETNGVLDKDISSPLDEIRTEKTDDISAKPSFKSIVVDETRRKTYNTSEEQLSLESDPVFDVFSTEPKELTNVGFHADHEYAYARSLARFAGSLGAQGWRIASERIRQVLPAEVKYGRGWVGEYEPPLPSVLVVNDQSKYLKGFERNVRMDASLPRDHGRFKQTERNNKKDMSPSLLSRITTSNNVVGVPGPLESPEFKPRIFGVTAEPLHRNTPALSPHENHRVSGNVAKAKRRSNEQTRKCNSSSSAHPLEMQPQRPEISKGASSGVRDIPSLNKVAGQPRSFFQPPESVRAQPTSRSNIPIEMAPQRLECTKGASSDAHDRPSSNGQPKPFFQSQEATIPQSRNEATWVYHGRPGDGKVGTSNTSRPSTSVGFISNNQAVNAANFAMNVSGQKNFNDHTKSVGSTAITGKANIPNRGHETPRNMFSAFPAAVRENQSISSAPLSQSWISFGATSETKPAVISPTFLDSNSGWKIPFANVRPSDDAKISAVPQFFRQPVQVVRESPTQNKGLMIFPQLVPTDFSRPQGQPQWQGLSPHMQQKPNKDALRPDLNIGFPSPGSPPARQSSGINLEAQQPDLALQL >Et_4A_034116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30012817:30016292:1 gene:Et_4A_034116 transcript:Et_4A_034116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHGGLDEQIERLMQCKPLPEPEVRALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLRLIARAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCREHTFIQFEPAPRRGEPDVTRRTPDYFL >Et_10A_001101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21132011:21140622:1 gene:Et_10A_001101 transcript:Et_10A_001101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEPREVSDRLPDVPAASGPAPADDAVKTEAADGGAPAADEVKTETTDGGAPAADEVKMETTDGGAPAADEVKMEAADGSAPAAEPAAAASGAGEGEVTAAGEGSAAAEEERAVVPSVTEKKMDAEDEGGATGAEHSAAPGTSKVNDGSISGEVNDLDPAASEVRMEVDGGGVTSQEQSVVLALSHVNVQSIPGEVQDSAPVVSEAKMEVDDAEIPEEGSIAAPVEQDIKMVEGDDKVLNQEAAAPGGTLPVKEEAGERLVGRYVGRTVSRHGRILIGKVASYDSTAEVYSVVFEDGHSEDLGLSRLQQLLVDEENGASGMKVSCRKRKLDLLVSSGGGSEVKAPPRTRQRVDACEVSTKPDASQQSGSGSDMSEDAETSSNSSDCTKETPSDPCPPVQTVELPPSSGNIAVPEEAISYLFSVYNFLRSFNVQLFLSPFGMDDFVAAINCTVQNNLLDAVHVSLLRTLRRHLETKSVEGSKRASNCLKYLDWTLLDALTWPTFLLEYLYVMGCIKDLGGQSFGRNLLAIEYYKLPASMKLRVLQILCDHVIDSEELKTELEDREGYNEEMEYDMDSNVLLEAGSRTISNRAAKSSAYKRINDLENQETAPNVTNPEAVVPNASQDGNSDDCRICGMDGTLVCCDGCPWAYHSRCIGLNKAFLPQGQWFCPECVVDKLGLTSSRIERGARGAQMFGVDMCGRLFLGSCNYLLVIGTSSHAESYARYYNNFDVIKVLQVLALSDAYKDICIRIMEYWKDLRDIFQSERSKIGKEIGVSHTPQSNMLSFAPMKAGDGIVWTTLKDGVDSKTLALPQTNTQHGSVANQFTLCSAEQMEKQKCMTSLSAATEKNKEVCRQAQSTQNSTHNAPVNGASGPSSVSHHIGPVVPGVSNRSQIQPAQSLFRPVLSTSASGTMSGGKPTKLSSFRPQAYMNLYIHGNIAASAAANLAVITSEEGKVSASQLAANPRKKMAADNALQLKAFSSAAAQFVWPSTEKKLMEVPRDRCGWCLACRSSAIGNKKACFLNMATANAAKGSARFLSALHVIKNSDSHFASIVAYLGNMEESLRGLLVGSLQDMQQKERWYKQLQEASNCRTIIPLLLELESNIRGVAFSASWSKLIDDWPVESAGVSTGASRPAAHQKRGAGGRRGRKRLWHLNLVQLLKMTIVGLGGAEEIFQNRGALLCSTLRKAARQGGKERIAGISYHESSNLPRRTQQFAWRACVGLSQNSSQLAFQVRYLDAHIRWKEFIPPDQIPSDGKSSDADFSALRNAVICDRTIVDNKIRYALKFPNQKHLPVRVTKNILEAEDNQDGNSKLWFSENHVPLYMVREFEQKAGVSSLSSPGVSDASNFYPIRVKASIKDVFSYLFHKGDVYPCTSCKKDVPYRDVVKCSSCQGNCHKECTSRSVGSKGSNGASSLMCKLCVQKRSLMLTSYNTNTSYIRPQQKINGQQPVTAPKITFKVGSSHSAEPPVKVIGHPVAKVQAQPTVKVEANQL >Et_2B_021400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29448192:29456670:1 gene:Et_2B_021400 transcript:Et_2B_021400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAVKLISTFGSPFGHRAEVALTLKGVPYELIMEDLDNKSELLLTHNPIHKLVPVLLHGDRPGICESLVIVEAFDGPRRILPAHPHDRATDRFWALFIDNKCLWPMWLSMWTDGDAHERLAREAKEGLAILDAQLDGKELGYVDIAACSLAHWLYVMEEAAGVRLVADGEFPALRRWAKEYTSHEVVKRCLLRREQGQVRVGGQAGSRAAMAVKLISTFGSPFAHRVEVALMLKGVPYELILEDLTNKSPLLLTHNPIHKSVPVLLHADRPAICESLIIVEYVGEAFGDGSAPSLQPADPYDRATARFWAHFIDNKYVDEAFDGPRRILPADPRFWAHFVDNKHVGSPFAHRAEVALTLKGVKYELIMEDLANKSELLLTHNPIHKSVPVLLHGDRPAICESLVIVEYVDEAFGDGSAPRLLPADPYDRATARFWAHFIDNKCLGPLWMSLWTEGEVQERFAKEMKDSLAILEAQLEGKRFFAGDAVGLVDVAACTLAYWLDVLEEVTGVRLVADGEFPALRRWAKDYTSDEAVKRCLPDRDRLVAHFAANKERYSSEVKKAAGQE >Et_4A_034714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5703391:5706403:-1 gene:Et_4A_034714 transcript:Et_4A_034714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGLMPSDTSPGVARDAFNTFFSETSAGKHVPRALFVDLEPTVIDEVKTGPYRQLFHPEQLISHKEDAANNFARGHYTVGREIVDVCIDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGRKSKLGFTIYPSPQISTAVVEPYNSVLSTHSLIEHTDVVVLLDNEAIYDICKRSLDIERPTYTNLNRLISQVISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPIISVEKAYHEQHSVPEITNSVFEPSSVMAKCDPRHGKYMACCLMYRGDVVPKDVNVAVHSIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDRKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALERDYEEVGADVEEDDEEAEY >Et_8A_058347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5476669:5478854:-1 gene:Et_8A_058347 transcript:Et_8A_058347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARQWWRRAAAAVKDRRSLYLTRVAALRPASAAAPRIPELEAAVIRATSHDERSVDYAAAARVFALARASSPAAANALMWALARRAGRTRCWAVALKALMLAHGLLLRSDALGRVPFDLADFRDRSSPPANSSGFSALVRAYFRFLDTRSLFAAQEEQQQQQQQQLSVSNSADDEEEEARLDRVARQQHLLDLLMQVRPYGDGMEAQGLVLEAMDCVVIEIFEVYSRICTGIARFLVGALGSAPTAPRARPGETTAAARRRRGAQGMRVLRKAAEQSARLSSYFELCRGLGVLNAAEFPAVERVPDDDIRDLEKLMMCHVVEGGHRDQEEKQGIKAEEKVGKEMVVVENAVVPSKTVVTKEWVVFDDDVDNAGAGVRQGHCGDYVNPFLGAPWDAVAGTGSRVLIHEDHHEWRSFNMKVI >Et_3B_028622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17393452:17398374:-1 gene:Et_3B_028622 transcript:Et_3B_028622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPATVAAVAVVVAVLAAVASAAVTYDRKAVVVNGQRRILLSGSIHYPRSVPEMWPDLIQKAKDGGLDVVQTYVFWNGHEPAPGQYYFEGRYDLVRFIKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYAEMQKFTTKIVDMMKSEGLFEWQGGPIILSQVENEFGPLEWDQGEPAKAYASWAANMAVALNTGVPWVMCKEDDAPDPIINTCNGFYCDWFSPNKPHKPTMWTEAWTAWFTGFGNPVPHRPVEDLAYGVAKFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEYGLLREPKWGHLRDLHKAIKLCEPALVAGDPIVTSLGNAQQSSVFRSSTGACAAFLENKNKLSYARVAFNGLHYDLPPWSISILPDCKTTVFNTARVGSQNSQMKMEWAGGFTWQSYNEEINSLGEESFTTVGLLEQINVTRDNTDYLWYTTYVDVAQDEQFLHNGKNLKLTVMSAGHALHVFINGQLTGTVYGSVDNPKLTYTGDVKLWAGSNTISCLSISVGLPNTGEHFETWNAGILGPVTLDGLNEGRRDLTWQKWTYQVGLKGEALSLHSLSGSSSVEWGEPMQKQPLTWYKAFFNAPDGDEPLALDMSSMGKGQIWINGQGIGRYWPGYKASGTCGSCDYSGEYDEKKCQTNCGEPSQRWYHVPRSWLNPTGNLLVIFEEWGGDPTGIAMVKRTTGSICADVSEWQPSMKNWRTKDYEKAKIHLQCDHGRKITDIKFASFGTPQGSCGSYSEGACHAHKSYDIFWKNCIGQERCGVSVVPDVFGGDPCPGTMKRAAVEAICS >Et_5B_045138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9974590:9975462:-1 gene:Et_5B_045138 transcript:Et_5B_045138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNMKQLQEALADIETDAEQILLARHQLVENDKVRNANREALTALRKMARTTKTSVPSPFEVIMKEMEGSSGKPLIKEICPTCGDHDPKEHTWLMFPGSDIFARVPFHVAHTVLEKDQERLDFDTKKLQSFVKEKSLVIAEKGALAGSVGLDTVKSFVNLTDKPKSAQEIGDMGQPPKVKYQLG >Et_10A_000093.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:22860311:22860637:1 gene:Et_10A_000093 transcript:Et_10A_000093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAQLMASIAIVLLDYVTYPYQNAITGASASVRGGDDPSRETGLESSEDCAICLGQFEDGDWCSVMPICRHEFHRDCIANWLMAFNNTCPLCRAELQWSAVAEGMV >Et_6B_049277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2657026:2660266:-1 gene:Et_6B_049277 transcript:Et_6B_049277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDPSGNANAKAKLPQPVMTAPAPSSGRPASVLPYKTANVRDHYRIGKKLGQGQFGTTYQCVGKADGAEYACKSIPKRKLLCREDYEDPENFLFASTAEDAPLKATDFGLSMFYKPGDKFSDVVGSPYYVAPEVLQKCYGPEADVWSAGVILYILLCGVPPFWAETEAGIFRQILRGKLDFESEPWPSISDSAKDLVRNMLTRDPRKRFSAHEVLCHPWIIDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITFDELKDGLKRVGSELTENEIQALMEAADIDNSGTIDYGEFIAATLHMNKLEREENLVSAFSFFDKDGSGFITIDELSQACREFGLDDVHLEDMIKDVDQNNDGQIDYSEFTAMMRKGNAGAAGRRTMRNNLNLGELLNPSKS >Et_3B_030974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9491098:9504660:-1 gene:Et_3B_030974 transcript:Et_3B_030974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHESKIPSPMNSQNLPTDGSATTTILHLRLPHISSISSSSPLVACSAAARSDLSMDPRCIPLLLLLVAPLFSAAAASDEIHGCGGFVEASSGLAKSRKSSDSKLDYSHITVELCTVDGLVKESTQCAPNGYYFIPVYDKGSFMVRVKGPKGWSWKPETVPVVIDQNGCNGNADINFQFTGFMISGKVVGAVASKSCSKDRGPSGVKVELMSDSDELVASASTSSTGEYSFANILPGRYKLRASHPDYDIEMRGSPEVDLRFGNAVADDVFFVSGYNIYGSVVAQGNPMLGVHLYLYSNDVTEVPCPQGFGDAPREGALCHAISDADGKFTFKSLPCGSYELVPYYKGENTVFDVSPSSLPVSVEHSHLAIPQKFQVTGFSVGGRVVDGYGSGVEGANIIVDGQLRAVTDSLGHYMLDKVTSKKYTITAEKDHYKFNRLEDFMILPNIANIDDIKSVRYDVCGTVRTVTPNSKAMVTLTHGPENVKPQRKLVGENGRFCFEVPAGEYKLSALPVDTERSSSLMFSPGSIDVNVNSPLLDLEFSQSQVNIHGRVQCKEQCNQNILVSLVRIAGGIEQERRTTTLEQDNVNFMFTKVFPGKYRMEVKHSSSEGSSKDDWCWDQNALDIDVGTDDVREVVFVQKGYWIELVSTHDTEAYVHQPDSSKLDLLIKKGSQRICVETPGQHEIHLINSCISFGSSSVSFDTANPMPVHISAKKYLVKGEIHVEAGVHVQISSEDIVVDAFKSDGTFFGKISTTFVHGKSSQSGFTVFEYSIWADLGEDFIFVPHDSSTRRKKILFYPARQQYSVSVNGCQDTVPSITAKTGVYLEGSVSPATPDVDVKIIAVGNSIYAQLGKGDVAAETKTDSDGSFVAGPLYDDIEYKVEAAKDGYHLKQTGPYTFACQKLGQILVRIYGEKDAELLPSVLLSLSGEEGYRNNSISSSEYKFAPSTVAVDLNSGESREVEFRATRVAYSAMGSVTLLTGQPKEGVFVEARSESKGYYEEAITDTFGRFRLRGLVPGSTYSIRVVAKDNFGFAAVERASPEYVSVDVGQEDITGIDFVVFERPEVTILSGHVEGDGIDTLQPHLSIEIRSAADPSRVESVLPVPLSYYFEVRDLPKGKHLVQIRSGLPSHTHRFESEIVEVDLEKQPQIHVGPLKYKTEERHHKQELDPAPVFPLVVGVSVIALVISMPRLKDLYQSAVGMTSLGSGAAPKKEPRKNITRKRV >Et_10A_000668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1558770:1559539:-1 gene:Et_10A_000668 transcript:Et_10A_000668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSPSFARAQLYCTTTLAILSFLLLALVHLVVFPNDDLKRQEEEFASSSSSPCSSNGVATTPTTWSARPTTRHVLPPRRLASSRVRMHADLGRPSPWRARCATPRLERTSIIAARGPRHALYDRAVSGMISKASLQMLICRMAAAYANAVAAGATTPAPVPSQMCVGDHGHYDTA >Et_1A_008212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5235234:5250438:-1 gene:Et_1A_008212 transcript:Et_1A_008212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSSSSSLQSCLKCGSDNNLARVISLGFWKGRPRRIGCKMRFRNAVGSKLFQWCSRENHTSVQKLLQVDGISERSKLLKKVSVLMGYNNTQDLVEQQRARRESAAELISVFKEIDFPEISAKFPCIKIGDASPIELYDDSSSIKCKETVLSENLTSFIRDSGGSLESAYEFPNEYHPLSQTLTNADDQSITEESSLAMQDISQELQTLKNSDDLLTSEDNSIMMQHICQEPAAGREAPSESSSNAIAPDNSILDKSIRCLPGTTSRQYRQLEDGGFHTVRKLLQHFPRTYADLQNPEGPIEDGQYIMLVGTVTSSRGIKVKSTLGFLEVVVGCSLVEPDLSSSVKSGSSREEQNTIHLHLKKFFSGTRFSSQYFLNCMSAKHREGDLVYVSGKVKKALSNGHYELKEYTIDRLQEEEERCTMLDRKPHPIYPSKAGMKPNLLGISISRALGMLTPDVDPMPPEILSDFNLPNLFDAYMGIHKPKNRDEADFARRRLIFDDFFYLQLGRLFQMLEAVGTWVEKEELLLKCKNHELNAVAIDDWSPLTKKLLKALPYSLTPSQLNAVREIIWDLGRPVPMNRLLQGDVGCGKTVVAFLACMEVVNSGFQAAFMVPTEVLAVQHHQHLTSLLDKIDGDECKPSIALLTGSTSTRESRIIRNGLKTGEIAMVIGTHSLIADKTEFSALRISVIDEQQRFGVIQRGRFNSKLYTPSLKLSDENTSPDEASDSETFMAPHVLAMSATPIPRTLALALYGDMSLTQITDLPPGRQPIETLALEGNDAGFETVFQMMRDELIDGGKIYLVYPIIEESEQLPQLHAAKADFDSIKQKFDGYPCGLLHGRMRSDEKDEVLTSFRSGDTRILLSTQVIEIGVDVPDASMMIVMNAERFGIAQLHQLRGRVGRGERKSRCIFLSSTPSTLPRLKVLEKSSDGFYLANADLLLRGPGNLLGKKQSGHLPEFPIARLEIDGGILQEAHLAALKVLSTCKDLALYPQLKVELSMRQPLAAVASIDLGSEWLKVAAVHLAPGQVPIAVAINEMSKRKSPALAALADGNRLAGEEAAGITARHPSKVFARARDLLAKPFPYVQSLAQSLFLPYDLVPDARGAAAVRTDDGQVYSFEEIVAMVLHYAAGLADAHVGAPVRDAVVTVPPYFGQAERRALTQAAQLAGINVLALVNEHAGAALQYGIDKDFSNESRHVIFYDMGSGSTYAALVYYSSYNTKEYGKTISANQFQVKDVRWNPKFGGLEMEMRLVNYFADQFNKQFGDGVDIRQSPKAMAKLKKQVKRTKEILSANTAAPISVESLYNDVDFRSTITREKFEELCEDLWEEALNPVKEVLRHSGMKIDDIYAVELIGGATRVPKLQAKLQEFLGRRELDRHLDADEAIVLGASLHAANLSDGIKLNRKLGMIDGSTYAFVLEIDGPDYVKDESIDHVLVPRMKKMPIKMFRSIRHTKDFDVSLNYDKAYELPPGVPSHKFAEYSVSGLADASEKYSTRNLSAPIKANLHFSLSRSGIVSLDRAEAVIEITEWVEVPKKILTLESNTTNQNSSSEAGAANSTTDSKENVSSGSDTDSSTPINESNAQETITEKVLKKRTFRVPLKVVEKTTGAGTILSKELYSEAKNRLEALDKKDAERRKTAELKNNLESYIYSMKEKLEENTDILTVSTEKERESFTEKLSEVQDWLYMDGEDAQANEFQERLNQLKAIGDPILFRLNELKARPAACENARLYLAELEKIVKNWETNKPWLPKKRVDEVVSESEKLKSWLEEKETLQKSTPVYSPPAFTSDEVYQKVLDLQDKVSSVNRIPKPKPKIEKKPPKDSNEKTASSESASNETERNEASQESKASEEDQSASKTNDSEAKDHDEL >Et_7A_051901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:26220886:26223837:1 gene:Et_7A_051901 transcript:Et_7A_051901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNPPGREEQETTETTISIQNHASDQPHRPHLSIDIPTSNMPDHLLTPTPTEADITPTPDGSNTKRRPGIPVTPGSSSSRSSTVPPKTQRSPSFILRQTVKSLLPVGSFKSSVKGYEASFSKFFNARMVRTSSLPLDDVSGVDALSHEVEKSSTTSATEKEVHICRSQSLPMSMKKLNAKGFKRMDSLGGMFRVVPSTPRVAATSNVVPDIVPTESGVIDEEDGGEDIPEEEAVCRICMVELSEGNDTLKLECSCKGELALAHKDCAVKWFSIKGTRNCEVCKQEVRNLPVTLLRVQSMQGEANRVGNGGNRSRYNRYTIWHGTPILVIISILAYFCFLEELLVPPSFLLLLQYNGTSIRSRNGIAALAISLPFSCILGLFSSLTTTSMVARRYVWIYAAVQFLFVVFFTHLFYRFAVISIILATFAGFGVGMTGNSIIVEILRWRVRRVAPPAEPRRNRRARAAQQQAPVSEQTSSQPSGGNQRQSNNAGAGDVENPAVPQA >Et_9A_063169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13190580:13192107:-1 gene:Et_9A_063169 transcript:Et_9A_063169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPLHSASLGAAAFCSSMAKKTRCSPLLLALALFLLVFSVLYGVDLKELGKLAFALNDDKDEDEECDVFSGRWVPDATGHPLYREEDCPYIPPQLTCQARGRPDTAYQHWRWQPHDCALPRFDATRVLEALRNKRMLFVGDSLNRGQFTSMVCLLNSALPNDDAASAKSYEMSPDQQHTVFTAKEYNATVEFYWAPFLLRSNSDDAVKHRIADRAVARGSIDHHGRRWRGADVVVFSTYLWWCTGLRFRVLERGGDPDDARAMEGPDAVWVSTEEAYGMMFREMLQWVRDNMDLDATRVFFTSMSPTHGKSQDWGGAPGGNCYNETEMIGDPGYWGSDSRRSVMRVIREVLDGDGADVPLTFLKVTQMSLYRKDAHTSVYKRQWTPPTPEQLADPKSYADCVHWCLPGLQDTWNELLYTKLFYP >Et_9A_062796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5005650:5018383:1 gene:Et_9A_062796 transcript:Et_9A_062796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSGDPSARKRVDLGGRSNKERDRKVLLDQTREERRRRQALRLQNSSATKIQKFFRGKKALELARSEIRKNFFTTYGEHCERVDWSTFGTNSDFLRQLLFFFNANEDNDIAILCQVCNLLLQYVKRGGDIITLFAGANDSSLQPLVAHRVKKLALICVQAVFQKRHDWSSQLLTASGGTSLPFISLLETVACLINPKLPWNCKVVGYLQRQKIYCLFRGIIISVPQNARNLWHADSASALEQVLMLVASHVGHHPCCCPIVDPRWSFFSQLLSIPFLWHRLPQLKKVFSVNGLSKYYIHQIASCLPSLTDVLPNDISANYPGYACILANVLEAATWILSDAKFASDTAADIIAVSTTFLDALPTITSPTERADDDEDDMPMDIDAKNSLDVDLERQITSAIDSKLLQHLVNALFRGTLSPNHSDLAGPSDAEVEAVGSICAFLHVTLNTFPLERIMTVLAYRTDIVPALWSFIKRCHDNRRWPHFPKFLSSLPADTPGWILPVSVFCPIYRHMLKIIDNGEFYEQEKPLSLKDLKSLVLILKQALWQLLWVIPPSSTQKTLPNPSGLKKLSVENVKTKARVGLSELLTQLQDWNNRLPFTSASDFYSQEATSENFVSQAILGNTRASEIIKLAPFLAPFTSRVKIFTSQLTNSRQSTAHSSLTRNRFKIRRSRLLEDAFDQLSLLNEEDLKGPIRVAFINEHGEEEAGIDGGGIFKDFMENITRAAFDIQYGLFKETSDHLLYPNPGSGLVHEQHLQYFHFLGSLLGKAMYEGILVDLPFATFFLSKLKQKYNFLNDLPSLDPELYRHLLFLKHYNGDISELELYFVIVNNEYGEQCEEELLPGGREMRVTNDNVITFIHLVANHRLNYQIRAQSTHFLRGFQQLIPKDWIDMFSEHEIQLLISGSLESLDIDDLRSNTNYSGGYHPDHELIEMFWEVLKSFSSDNQKKFLKAGVPGMEEHSDRLPTSATCMNLLKLPPYKTKEQLQTKLLYAINSEAGFDLS >Et_4A_035051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9008089:9011364:-1 gene:Et_4A_035051 transcript:Et_4A_035051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSCFNGGAGWPEPVVRVQAVSDTCGDTVPDRYVKPPPERPSLISPADSDDGGLMNTNIPVVDLSMPDAEEACRAVAKACREWGFFQAVNHGVRPELLRAARASWRGFFRQPAEVRERYANSPATYEGETTEGYTREVLGLARRVMRLLSSGLGLEAGKLQEAFGEGACMRVNFYPRCPQPELTLGVAAHSDPGGMTMLHVDDHVRGLQVRSPADGAWITVDPVPDAFIVNIGDQIQVLSNAVYKSVEHRVTVSSAEDRLSLAFFYNPRGDLPIAPLPELVTADRPALYPEMTFDEYRVFIRQRGLAGKAQLESLQATANKAASSCSASPVIVAPPPAPAADSSSS >Et_3A_023756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11970002:11977256:-1 gene:Et_3A_023756 transcript:Et_3A_023756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSPSCSSGGQQVGPVPFSVDLAAAARRLLAFLRAAPAGVGPRSVRRYEELWLPLAAAAGEAAMLLPPRDVQLVWLCHCFHHESYASYCASRFGRLIDRPSILDAENEEYAEDCCRDIWTARYPSEPFDLDGNEIEGSSGDPDNISHNNANVEIVEMVRRYAGLPARFASPFVREGVYHVAAKRRYIRFLDLVKKVACTTTELTRLVPSLEILLMWLSHQSFPASYATDMASIVMKENVAKLIVSFGEEVDLEVLERTRALWEEAYDQPYDMAGSEIDAAAVSAAREAFYWEPAASEEDVNRLYKSLHPRFIMEVYVFLKGEYDKEHITKDFLRLRTQRCHRCLKLNQSLPNLSCKNWQKTWHMYCEFATRGIIVEVRHTTSGCFRNSKILKSISFSWSDMLHEKELSIKEDLDARIRAMASITPPVQAPYLLKCVPDRVTDDGGAMISDVILRMRGYRPQEGRWLTRTVLDHGGRECFVIRMRIGRGIWRRGAETPIAVKWEDRSIEIREGSWSYIASTSSIGYAPEKVVGTATATKVQHENKVIWRFSTGDILTVGLGDDLSFQLKDESSEEEARLLVGRRLSYKVSKDSSLNNHNEEEQYLTLVRKSLDHHGARATMLLNWKLLAVEFLPEEDAVFVLLLCMAIARTMTEIRREDVAGLLVRRRLVEARAGQRDWGSVMLPNLPVSDPHLVPWYRNAAQVLRWSFNELLLSPAFLPQMGHTFIAGLLCCHSMETFPCSFLSSSNKTLAKPSFGSARRNSLGSQEMMGQDCHEIGYEKVYADALEQPDGESEGPASSLSDSLEPLYIRLKKIFNRPSSF >Et_10B_003432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19847913:19849525:1 gene:Et_10B_003432 transcript:Et_10B_003432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEENVGPFRRTSARTRRMATRLASALANSDNRAQVRLELFGRFHQIQTDTTHTRLEALESDNAGVEVVDLNDDEYGSTDEEDPVLMQKKQSKNMKRKTRQGKAMEKRAARSFMDVLHEANLESLPPHVPTYLRAAVGPSSTSSRRHYCSVCGNSANYTCVRCGTRFCSCRCQVIHNDTRCLKFGA >Et_7A_050447.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15793218:15793535:-1 gene:Et_7A_050447 transcript:Et_7A_050447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTLSSTFSLLARPSSSSSSSSSASLARSSVAVPLRRGRRVVAVASTATESPKIVEFGDAIAGLTLEEACTLVDHLQERLGVSADHSASFRLARRRGALRRRH >Et_5B_044731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5172792:5175026:1 gene:Et_5B_044731 transcript:Et_5B_044731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRREAPLMRGGGGGGPPLSRGARIAAAVAVGVTLGCVCAFLYPDGLISRSADSALHWASRADSVACDTSGEVGDLKSQLKSLERKNAEFRKQINELSMKLQLAGQGKKEVPFKAGPFGTVKALRTNPTVTPDESINPRLAKILEQVAVRKELIVALANSNVRENLEMWFTNIKRVGIPNYLVVALDENIESFCKSKDVPVYRPDPDDSIDSIGKTGGNHAVSGLKFHILRQFLQLGYSVLLSDIDIIFFQNPFEHLYRDSDVESMSDGHNNMTAYGFNDVFDEPSMGWARYAHTMRIWVYNSGFFFIRPTIPAIELLDRVAGRLSSEPKSWDQAVFNEELFFPSHPGYEGLHASKRTMDIYLFMNSKVLFKTVRKDAQLRKLKPVIVHLNYHPDKSDRMRAVIEYYVNGKQDALDRFPDGSE >Et_6A_048036.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4177009:4178487:1 gene:Et_6A_048036 transcript:Et_6A_048036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALEQEQLHQAGVDGAAAASVSPPPPAAVRVLDTALVRPYSSAAGGPAPPEETSLPLTFLDVMWLYTPPVQCLYFYRLAPDADVDAILANLKASMSHAVRAFYPLAGHVRLIPGQLDTAAANRYELHYRPGDAVAFTVAEYDGDFAAGEPRDVAKIAPLAPPLPAGGALLALQATLLSGRRGLVLGITVHHAACDGTNATDFLHTWAAAAAGGGRTPPPPPVIDRTLISDPGGRLYDLFFPVKRIPERADDGLLFATFILSKDQLQRVKDVVAAEASARGVAPPPRCSTMVATLALAWSSYHRAGLRGGGGGAGNGGDRTCLLLPVDHRSRLNPPLPDKYFGNCIGAAFAVASRSELAAEAGAGGLLAACAAIAAAIDDAVSGVATETMGKRKARIMEVFATMDVLMVAGSARFRVYDLDMGFGRPEMADLVSVAKTNAIALNESPTGDGGMEAGVCLPPEGIDAFRKSFADAVAALEPSESSIANGAQ >Et_3A_024626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22736112:22738868:-1 gene:Et_3A_024626 transcript:Et_3A_024626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNSFNDVRAVENNLATAAAVAAAKKQQQASSQVNVIRTCPSCGHRAQYEQAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDAHKLHPLVDEFIPTIEGENGICYTHPERLPGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFANGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFYQTQPRQCGGGSTTTMIMAKDAVPLAASAAATDHHQHQHDSNSSMMKEAAGIVDFYSPAALIGYNQQAAPNNRAAASAHLMPNFEVHTAGAAGFGP >Et_1A_006631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25479484:25482443:1 gene:Et_1A_006631 transcript:Et_1A_006631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVEILCGLVAYKIIRRVFFADEGDPSYLADLDSSHSDLCFAVAARLEKLYGGRCFVAIRIPDPDAGARLHIDVVLVTKREVMVVAIKNFSGFIEVDKDGNWSCPTDKKRKQEIIPNPVLEVNRLAASLQSYLEQRGAKLPDGYVTGKVVLLNSNCRPSYTITLQPEVIPYEQWKDLKTDSKSGLSTWIKGALSKSDMQDSTLQNLHFILSTSPMWDRLELKGDKNVLGELIEFKGRHEDVQLLKNLKRSKVSRFIIQKSTLFGGFGRSRVQILYSPRDYRAEGTSSSEWKEISVKQYTEIVFQPLHSKKVRKFKLSSVVSVTLSA >Et_2A_015306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10850813:10854117:1 gene:Et_2A_015306 transcript:Et_2A_015306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PKLIVSTVASGHTAPYIGTSDLYCFLRLLTFVNKQCQPCILSNAAAAVAGMTEQRSYETLVFHATGVGGKAMEELVKGGFIQGVLDITTTEVADHIVGGVMACDENRFDAIIENKIPLVLSVGALDMVNFGARDTIPSAFADRKIHVHNEQVSLMRTTVEENKKFARFIADKINKSSSRVTICLPQKGISALDALGMPFYDPEATSTLLGELNTLIERTDIREVKLLPYHINDPEFANALVDAVLSMNLMTSSSVTQKNNTPQPKKDEKSKESIYGDLLRIFRMPETETLQKTKSILHKLKQQISEGIPVIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVVEMANEVLPVVKGVPVLAGVCATDPFRRMDYFLRQLETIGFCGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMISRAHNMGFLTTPYAFNPEEATAMAKAGAHIIVAHMGLTTAGSIGAKTAVTLNDSVVRVQAIADAALGINPEVIVLCHGGPISGPQEAEFILKNTKRVHGFYGASSMERLPVEQAITNTVSEYKQISLQ >Et_7A_050309.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:23835962:23836725:1 gene:Et_7A_050309 transcript:Et_7A_050309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDRADNNDAPTALAFTANVRKQADAKDGYYGNLFTFGLTIATRREVANADILDLVRLIKEAKARAPYTFVDGAAYIGGEMGGRLRGMSGYDTLYVTSWWNLGFDDVDFGVGGPVRVVGDMERKVVPACILCGRKDKADGVAAMALCVREEHQQAFHAELRRLE >Et_3A_023324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20734937:20735665:1 gene:Et_3A_023324 transcript:Et_3A_023324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARDASFWDVQRVLKAAQTTVENLACASSMVVEKGVRRRTAPKVLKVILASASPMVAEGVANFQNAQRVLREARSSARRMVEGSAAHSWGAPEGLKVVLLFAKVMVEASAAHFRVVACVRRVCMVGLNIVLRTVAGRGVLFLAAPRVPEGVQSTVCVMEVGRDAGLKAAARVHRVALISAKLTEEVNAAHGAKRTQALVLAHSNVISFLGARTVSAQLTVL >Et_5A_041698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2679336:2679775:-1 gene:Et_5A_041698 transcript:Et_5A_041698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPYNKETLPLVDGLIAPMCLCGTTCKLVKSLVLGDDYGKRLWMCNNYQYNLPLQPLHFSDGRPRSPPPLCEFIEYIDTEQTPEDIAHVYRVAEREEGIGLIWKLRKRERRNAILFL >Et_3B_027730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16558812:16559651:1 gene:Et_3B_027730 transcript:Et_3B_027730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTVPDDAAAVRVVGGWASSFVTRACVALRLKGVAYEFLQEPLGAKSELLLASNPVHKKMPYVDEAFPGPPAILPADPYDRAAHRFWADYADAKLPTPLRTLRGMIDGDTAEAAAQVAAALARLEEEALTACGGKGRPYFGGDGIGFMDIVLGSYVGWFRAAEMITGRSVLDAATTPRLAEWAARFCAHEAVRDVMPDDAGRLVEFGKALRAANAASRAP >Et_3A_023859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13647210:13648113:1 gene:Et_3A_023859 transcript:Et_3A_023859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNRPPTRGTHFGLGDSDISPLHQALRGTTAMPKLSGSVPNADAPEKPTMSQTSNPSSQYHIDMEALPPQIQAPRTFASVGFTQSLGNNEHDFLTTPVSDYLNLSSREQILSMKPPSITSLLQGDPTAILHAHFSINGVSDPGPISEDPTLHVSKKEVFGSSSNPNFSALTSNYMQGEELGSSIKVDPYGQIPYGETVSFGQPTCSIMPKWNNRYLSRSQQATNGTVQSNMITTTEANVQRVYTCDLCNATFNSPQAFGGHRSFHSKQKRKNYN >Et_4B_036105.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:23932625:23935644:1 gene:Et_4B_036105 transcript:Et_4B_036105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPVLTLLVEKGPRKGQSLQQRAGAVLRVGRVVKGNDLAVGDAGASQRHLDLAFLPPPAARWAATDLGSSNGTLLNGSPLVPTIPAPLSHGDRIKIGESTVLAVSIAADAGPEPAVTRRSTRQAAAAVVEEEDKAPAVRRGRRKAPVAADSTEAVKEEAVGAPRRGRPKKAPAAADPPEAEKEEPAVVRRRGGRKKAVELPEAEGEDEEEVLPGGPSMAPATAAVPPQPQMTRSTRAAARRGEAVSTGQGKGEEGQTGRGRGRVTRQSARKAKEAIHVEEEDEEEVIVPEEQRRSPPRVTVSKSAKGKNDKVEMGDGTSNASEVEVPAALSEPAEGTRKGRGRVRASSRKAKDPIIEEEDEKEGEEGDVVSATECRENTQRVMVAKGGEGQENEVTTRNMEVDEASKASVTDQVAEGDGDAPLAPRGQTNRASNGRADTYAAAAAADDSGQDIEEGRESGREEGSGINQELREKMVPESKPDGVEEAGQDGKREVSGGSGQERGGKNVNKCPEGCTLENMTLREWFVRIEEYILAKNHEAAEKAIAEVEEKHRLFTEYVKTLK >Et_1A_004802.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6827445:6827759:1 gene:Et_1A_004802 transcript:Et_1A_004802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KSGWFWSPTASGGLHPLLGFLCFGLRGLELYVASVPFYSGEEMAFGHFARLVRSASTWHVGCSDVLLMVPSQNFKVASSKGWSASGHLLWPPATGTTGSCLTRT >Et_7B_055503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12463965:12466383:-1 gene:Et_7B_055503 transcript:Et_7B_055503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAKRTRAGGGSICDRLSALPDELLRHVLSFLPAQQVVKTTVLSKRWVDLWRSVPGINLDLTDFCRGDSNHSMLEVRKEAWERLEDFVTNVLILHSRRRLDAWIRRAVKDNPLGFSVIQSDKLKNLVVEGCEYESVGVFVIKAPGLASLLLDIDSGYYRKGISLDAGNSFMKASVDLPCKWSPPRVTSLELIGFQPETMLGEEFDKLPIFNNLTTLLLGTHLNEINGEHKLYKAHGRFLQKSPNLEKLTLKNWPLSEDWEGGEGMTDSKTYSQSKNLATFQCPKLKSTEIVYRNDDNIPELVSFLLGISGRGPKNVITLVSQ >Et_3A_024979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25788788:25792364:-1 gene:Et_3A_024979 transcript:Et_3A_024979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKDPVSAAAATMPRFRLGKQSSLAPDCGGGGDTGAAAAAAAAEAKGVMSFQLMYLAHGGNAEGIRELLDAGADPNFRDSDGRTALHIAACEGHPEVVELLLQRGAGAAVEDQWGSTPLADAMHYQNHDVIKILEKHGSKLKIAPMHVNNDREVPEYELDPKELDFTHSKDISKGTYQKATWRGIPVAVKKLDDDLLMDDDKAFRDELDVLQLIRHPNVVQFLGAVTQSSPMMIVMEFMPKGDLRTHLNKKGALPPSYAVKLALDIARGMSYLHGHKPEAIIHRDLEPSNILRDDTGHLKVADFDLCKMVKWRRKIREERAVHSPGNACRYVAPEVLRNEEYDTKVDVFSFALILQEMIEGCLPFHDKKNHEIEKAHSSKERPPFRAPPKHYAHGLRELIEQCWSENPADRPDFKVIINKLSAIQNEIVQRNRWKVKPLRCFLSFEGMWKKDRNEGSTTRSRSSRFKF >Et_4A_034974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8410900:8414745:-1 gene:Et_4A_034974 transcript:Et_4A_034974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAARRLRELQAQQGNKTCVDCAQRNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWTEVQLRKMEAGGNDRLNAFLAARGVSKETPHVAKYNSNAAAAYRDRIAALAEGRPWTDPPVVKETPGSGAPAPARKPPLPAASGGGGGGGGWDDWDDDFRPDMRRNQSAGSFGAAGAQSGRQPTRSKSTEDMYTRQQLEASAANKEDFFARRMAENQSKPEGLPPSQGGKYVGFGSSPAPSANRNGAAAQGDVLQVVSQGFGRLSLVAASAAQSAAGVVQVGTKEIQSKLREGGYDQKVNETVSVVANKTAELGSKTWGIMRGVMALASQKVEELSKEGGSSGWGDDWQRRDQNSEPYQRFEHETNGNGWNSSDNSSSKNYSSNSWDDWDDQGKKDEPAKPHQSSDSWAGWDDGKDDSFESYNHGASNKGGNQNGTSGGSFWTEGPSSGRAKGNV >Et_9B_065226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2638120:2638623:1 gene:Et_9B_065226 transcript:Et_9B_065226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCAENYVKYLCKWLLEHCREDIKFISDRYERDGRHDRTAIERLELVSSEPFKRISCTMAVEILENVEAHVFENKVEWGIDLASEHERYLTEEPVIVYNYPKEIKAFYMRLNDDNKTTVAAMDVCKYKRVS >Et_5B_044297.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22295232:22300929:-1 gene:Et_5B_044297 transcript:Et_5B_044297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQASQALVSLRRLDDGAVARDIAGDSGSTAVRVTDGVFTWDDHDGGTEQQQKKPALRGIDFEARAGELVAVVGSGKSSLLGCILGEMRRVSGTVMVRGRTACVAQTPWIQSGTVADNILFGMPMDAERYAEVVRVCCLDKDLEMMEFGDMTEIGERGVTLSGGQMQRVQLARAVYQDCDVYLLDGVFSAVDAHTGADIFKECVRGALKNKTVVLVTHQVDFLHNAEVIYVMKDGMIVQSGKYDELLQQGSEFAALVAAHDTSMSLVESAAAPGTEKKTNPLSRTEAASSSSTAAEAEAPNATGDGAGKRRLVKDEERASGRVSLAVYKHYMTASAWGWWAPAAVLAVSAAWQAAVAASDYWLAYAISSSGESPVRPPLFVAVYAAIAAATVALMAARSLLVAAVGLQTADRFFQQILDSVVHAPMSFFDTTPSGRILCRASADQKNVDLVLPLNFWLCMATYITVISVLIGTCLVAWPTVVAIFPLMALNFWYLSYYFPTSRELTRLESITNAPVIHHFKETIHGVMTIRCFGKEESFFRENLDRVSSSLKMSFHNNGANVWLSFRLELMASFVLCLTALLMVTLPSKYVNPKFVGLSLSYGLSLNSALFLAISTSCFLENKMVSVERIKQFSNIPSEAEWSIKGCLPVANWPTEGAIDITNLKVRYRPNRPLVLKGVTVSIHAGEKIGVVGRTGSGKSTLIQALFRIVEPCEGKIIIDGVDIGTLGLHDLRSKLGIIPQEPVLFEGTIRSNIDPLQQYSDHDIWLALDRCQLKDAVATKPEKLDAPVVDNGENWSVGQRQLLCLGRVMLKHSRILFMDEATASVDSQTDAVIQKIIREDFAECSIISIAHRIPTIMNCDRILVMDEGLAKEFEQPGKLLERQSLFGALVQEYTNRLNDPDNTNELEHTNASIDFSKRELKASVNHGSSMCEDSFTLAVHYDHGKITEERCVYVGSYETQLASGELAREMENGAGTMRAERSK >Et_9A_063025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8421976:8426843:-1 gene:Et_9A_063025 transcript:Et_9A_063025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGEGFEAWRGAVSPAARYAESGGASLTWENLTAVLPGSGGRGTKKLVQGLYGYAVPGRVVAIMGPSGSGKSTLLDSLSGRLARNVVLTGKVLLNGKKRRLDYGLVAYVTQENVHLSTLTVRETVTYSALLRLPSSMRKSEVRRIVDDTLDEMGLRECADRNIGNWHLRGISGGEKKRLSIALEILTHPRLLFLDEPTSGLDSAAAFSVVQTLRQLAVDGGRTIISSVHQPSSEVFALFDDLCLLSSGECVYFGDAKLATQFFAETGFPCPSRRNPSDHFLRCVNSDFDDVAATMKGSMKLRAEADLDPLLKYSTTEIRERLVDKYRISEYAMMVRNTIHEITKIEGVMEERIRGSQASWFKQLRTLTNRSFTNMSRDFGYYWLRIIIYIIMAVCLGTIYYDVGTSYTAIQARASCGGFVSGFMTFMSIGGFPSFIEEMKVFTLERQNGHYGVAAYVISNFLSSMPFLLAVSWASASITYWMVKFRPGFSYFAFFALNLYGGVSVIESLMMIISALVPNFLMGLILGAGVIGIMMLTSGFFRLLPELPKIFWRYPVSYIVYGSWGLKGAYKNDLIGLEFEPMMPGQPKLKGEYIITNMMGLSLNHSKWLDLAMIFVLLFSYRLTFFLVLKVKEYASPYIRVAYTRFTVKRLERRASFRKTLAMTSLSKRHNPPHPMAIQEGLSSPMPY >Et_5B_044601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:428609:431510:1 gene:Et_5B_044601 transcript:Et_5B_044601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAKRSASLKVKRRIKREICTMKLIQHPNVVRLYEVMGSKTKIYIVLEYVMGGELHDIIATSGRLKEDEARRYFQQLINAVDYCHSRGVYHRDLKLENLLLDIAGTLKISDFGLSAISEQLKVIDDKGYNGATADLWSCGVILFVLLAGYLPFEDDNTLSLYKKISGAQFTCPSWFSAGAKKLISRILDPNPSTRITVPQIQKDPWFKKGYKPSVFNEKSQASLDDVHAAFGDSEEHHVTEEMEGQPASMNAFELISLNEGLNLENLFDSDKVGKYKRETRFTSQCPPKEIITRIEEAAKPLGFDIQKKNYKMRMENPKAGRKGNLNVATEVFQVAPSLHVVELKKSNGDTLEFQNFYRTISTELKDVVWVRDGEVQERSSTA >Et_2B_019529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:157341:164738:1 gene:Et_2B_019529 transcript:Et_2B_019529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGAYLQTWGAVRYPKQGAQSSRGRDQPATSGVAGPKNSSGMELGAGEERGQPTIPQHPLMDWRRPSEQQRRVCGPEGTADRLLFLPSGVLVSSSQPAENAGQYKICRCGEGDPNETADGTPTSCPNCQVLKSGHLLLSSKGIGWTSWKKRWFILTRASLVFFRSDPNVPPPRGAEPIVTLGGIDLNNSGSVVVKEERKLLTVLFPDGRDGRTFTLKAETTEDLNEWRSALESALAQAPSVATTVGQNPIFSTDVAEPAEAPAEQSEDNSVVGKPAEFALVDPDGNPSFMEKALKFIEDYGIKVEGILRQSADVEEVKRRLRDYEKGKNEFSPEEDAHVIGDCIKYILREMPSSAVPAACCTALVTAYRTDKSRRLDEISRVIYEVFPEPNRLLLQRILKMMQIVETHKAVNRMSQSALAACMAPLLLRPLLLGECEIDNDFSMGGDGSFQLLQAAAAANHAQAIVIVMLEEYDQIFDDLEEGSCSSDAYTESEDDDVDKEYSTDNDIHDEDGSYDSGEDDVEDLDDNSEHSSGGSEYDSKARTDVTDEKVKNSIAGTSGNANNQAVQPPTKAAGNGASREGIDQIQTEDASQMEINNLSEPKHDETNDSKDHIVKSNSRSSVSGAKSIEKSSSSTNKGKKTLWGRTSARKDLSTEEIECCSDDETLLDKLENNKADLQSKITKEVKENRILQVSLERRKEELHERRLALVKEVETLRDQLQKERNLRASLESGLMNMRRGQVSLPSTIDSKTKSDLEEVATAESDVMNLKQKASDLRGQVNSQQLFSSLLCESCNKRLLNTDKLSEYERNVSLSSEIGSDSVSNMADSEQSKKQTSPGSTEKHQQSANYGEQLRSQRAQRLLSSKGETAPFDGSSSTSRWTKAQRHYATSKLEESGNAPGASALIKLTNRLNFLKERRALLASEMQSLDLGRPQSQAQAQAGAAAAAAATAPPPRRDPR >Et_4A_032899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15385653:15389474:1 gene:Et_4A_032899 transcript:Et_4A_032899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAEPQSPSLEQLVVSTVAGDHVQSPETNPAGKMVCSRRRRSNLLGGTFVALALRIAVTRVSKNCFFNCTFLLYIVGSSVIIIIMNWFQAPQDGEPAILHIAPGVIGFLAIYCFWNGEVKLGGVNQLMGKVGWNNMYGTKLKKFDGSILSANEDGGRCEDDFTALREDRGDDLKDGSSGPESSADIDYWQDGHRVVQFLSISANFPICAINGYDGEHGRCIYAHSEGEVQEDGMVDLVPIGPSELLMAYGAIGLEIFYYTTAHDEGHFVREDEGGLRSLGPRIIVQWDVNDNDETEGYKRTIYPGPGRELEITYLVIPTAVQTSVEVRLKLKDLGSRSRAVYGKIKANAIDYRNRRVHLFSCDRGRCLSFPSGTTSILPLSPSKIALPCSRLLKFHIEVDLTVITTCESHEDDKNLKFSLEFTRGITSQEREVDDDRVQVEIKYCSEY >Et_7B_053515.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:4683975:4684133:1 gene:Et_7B_053515 transcript:Et_7B_053515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGLINANPVIHEKKERRVRQAPETTDENAAEPIDQLEIFDILLPDFLTCM >Et_2A_018485.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29658787:29659194:1 gene:Et_2A_018485 transcript:Et_2A_018485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLRRLSRVAAADACAAAAGAYQPLRPAVKASAASSSFPGARRLCGGARVPEGHVPVCVGEEGGPVERYAVRAELLGRPAFAALLRRAAQEYGYGHPGALRIPCAVADFNALLLQLSSSAAAGADDEAGLCYY >Et_7B_054161.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16234562:16234931:-1 gene:Et_7B_054161 transcript:Et_7B_054161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSYLLNSFQAEIIAFLQGVQAAIDLGARRVILETEAISQVAGGLIAEMKKLVQLNFLSFQINNVCRECNMVAHGIAALGCACHVEDSPVVDNLPICICDLVVCDLSTSD >Et_4B_037614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21830630:21835571:-1 gene:Et_4B_037614 transcript:Et_4B_037614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKAPPRLLRSTAGLVLSTGGSSGGFCDLLVIGSGRCRPLAAEDEAGEVGAEAEATKEEAVEVTPAAASVKGAAGEPPVRSTEATATTRAEESASAVPAMAPRRAEVPAVEASASLVRANHFLVQVADRDIYHYDVAITPESNSRERNRWILTELVKLHKQYLNGRLPVYDGKKSLFTAGLLPFKSKDFLLKLTNPDRANQGEKEYNVTIKDAAKIDLYSLQQFLAGRQRELPQDTIQALDIALRECPTARYVSISRSFFSQAFGHGGAIGSGVECWRGYYQSLRPTQMGLSLNIDISATAFYKAQPIIEFALEYLSLRDTTRRLSDLDRIKLKKALKGVKVVATHRRDKSIRYRITGITSAPLNDLTFDQDGRRVSVVQYFKQQYNYPLKYTHWPCLQAGSNSRPIYLPMEVCSILEGQRYSSKLNERQVATILKLACERPSQRESSILEVARRNNYESDYYAKEFGIKVTNQLAMVDARVLPTPRLKYHDSGKEKMCNPSVGQWNMINKDGQWRTIRYWACLTFSSSLHPNVTGMFCDDLVSMCNKIGMQMWTAPCVDIKQGRQDNLEASIRSVHRQSADMIAQQGPKGLQLELLIIILPDMSGSY >Et_3B_031357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26699498:26701641:-1 gene:Et_3B_031357 transcript:Et_3B_031357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVLRSYALLQPDLAIAFKLIEQIGAPRSFLWSTILRTLVLGDAPEDVIAFYQKAYREGVEPDNLTFPFVLKACTRICAIKEGEQIHNHAMKLGFLVDIFVSNSLIHLYAACGDLFRARSVFDEMSVKDVVSWNSLICGYSQQNRSKEVLMLFEVMQNGGIKADKVTMVKVVSACTRLGDWSLADSMVRYIEEHGIKVDVYLGNTLIDYYGRSRQLQSAEKVFLHMKDKNIVTLNMITSYAKGGDLVTARKIFDQIPNKDLISWSSMVCGYSQASYFSDALEIFRQMQRAKVKPDAVVIASVLSACAHLGVLDLGKWVHDYVKRNNIKADIIMENSLIDMYSKCGSVQDALQVFKEMNEKDTLSWNSIIMGLANNGFEDDALNIFHAMLVEGFRPNEVTFLGVLIACANRNLVQEGLDHFESMKSIHNLEPEMKHYGCVVDLLGRDGQLEKALRFIVEMPIAPDPVVWRILLGSCKTHGDVSIAEAVTKRLNVLEPGENTRQANLTKVYDRIGEKAKPVEL >Et_1A_009009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24994858:24998124:-1 gene:Et_1A_009009 transcript:Et_1A_009009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTRAGLDPVGNAMLFVSPDGRLRTLQVTVQVSFPSITVTERRSAISVVTRDAAGRKGQPDQEVIPVAQWLPAEATRVNLWWVCEKSGVIFFSAGCDDRTSDMYALNLDKKEVEMVTSHHHGGRDPSFRCGATCMDLTREKCDGHSGSSLLRHKADITAAASIIPKIARPKGASPALQPCHRCLPLGSTTSQSAPPIRSLPIDASSSQKCMAQHQRIAHLQLQVAQSREAKNLMQLSGQQLLRNSMDVRTIIAKLLNKFFHQHLRPQVP >Et_4B_037327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18426203:18431418:-1 gene:Et_4B_037327 transcript:Et_4B_037327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPPASSSPPRSAADGDGDGVEGGAVRCSSPTPAPRRRSSPNRSGGSARKSPGSRDFGGRVNKSTVHFKKSKIQRSGSPINWTPRKKTESYMKRKIKQLQETDGMTASLHETLGNANRHYTRMAREKIAAREAARKATEARKAAMVEASWCRILRTARIQSKYAEEVMEKAMLRATEAFEEARAMGVMMYDTPDCTQQQCEVESSSQTGGRSTHKVTASFQTAFQVDMEVAAAVKKAFLQLANSPDSSKKEEFKMLLQKISQNPDLTEINVNSESKERLGDDGDGCSLRMKNENSSTSSVSSDFNSTKVQESIDVVSIMVDRLNALHEDELSSLAVIVATSGLNAVLRSDRGKCPITETVGGTSYGSLRPQPRRYSTAASFVDVQGTKKEFTSELPSLDKFLVKHLSKLEREVQEAKEASGKPNSVKHVALGSSSQFTGRSAKAPESADLDSILVKHRSKLEKEILEAKKSNHCTRPLEESCKDVSVSAEIDVRSRNNESECDKPQSVAENNSDLIGSCDPRRSGEGSNHIQDISDYVQDNKENKISHSHQLPPSGAKSKQNGKRLTRVEAAKLEALQSFCSKDGSILDVGLDKILMKPVHRLEKEKREARERQSNEQKPPQKHAQSTPVIGSLDDVLVKHVSRLEREKIEYKKRNALGEGWTNVPHDQRRNCNNAESSESLDQILLKPMSRLEREKMEFEKRNALEGGGTDDRNKTLRQSNSATASDSLDQILVKHVSRLEKEKMEHEKKGDMIFLKKSDANCTDGREGSLADIFVKRPTKLEQAKLASTAEEEKASGFNPVEERRKAREKELLDAWGGMGLGNSMKPQVSKIERDKAAWRKAEEEQRQICATVEL >Et_4A_032103.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:17882750:17883304:1 gene:Et_4A_032103 transcript:Et_4A_032103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAKARLAATPAETTRRRSGTGRLRRRSGSSAANPDSGSSSGKPTYPPSGMARSAYSTGPARKRASAGPKPMENWETWMPRETAARKWPDSWTSTMAARTEAAEATDSTLARRSGGAAAAGAETGLAMWWRRWRRGRERWGWRCERKAEEAKGGLGVEEERWVVIMVEGVEGEDGAVDNLAFL >Et_2A_016545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25964798:25966629:1 gene:Et_2A_016545 transcript:Et_2A_016545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTTAAIQKLYEVCKVSFSEKGPLSAEAVDNVHNVLDMITPSDVGLECEAQAVRFWRNPRALNRKRVFHSSPAIRYRHIYECKSFSIGIFCIPASSIIPLHNHPGMTVLSKLLYGTVHVKSYDWIDAAEPFNLSKVRPAKVVRDGEMSAPCGTMVLHPEEGGNVHAFKAITPCAILDILTPPYSSENGRHCSYFRRCPKSDRSGVLLNRTKGSDFVWLEEYHPRDSFVIRRDQYTGPALNL >Et_6A_047196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26664666:26666574:-1 gene:Et_6A_047196 transcript:Et_6A_047196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKARLQELCQQRQWALPVYQQSLQGPDHAALFLATVAVNGADSRGTAKEAQNLAAMAAFEIVSAVPVPLPAPEIQPAPPKSQLHNYCQKRGKELPSYQAITQGPLESLWFKSIVTVDGQTFMSPRFYRTLKEAQAAAANVALMLLPQETTIPVPAISYKNLLQEFAQKERLHLPIYHTSSDFPNPVWRLMENYLWREPGRNKKQAEMNSAKAAFQHLNG >Et_3B_029745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27587980:27589364:1 gene:Et_3B_029745 transcript:Et_3B_029745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHAHRNGHANADAAPPGHAFPAWARTPAECEAAFAVSAARGLSTDEADARREAYGRNELGEHPSPSLLSLLLAQFDDTLVRVLLAAAAVSFALAYLDVDAASSFVEPVVMFLILLVNAAVGAWQETNAERALAALREIQSEHAVVRRDGVSLPRVPVRELVPGDVVELRAGDRVPADVRVLSLVSSTLRAEQASLTGESEAEDAEIQAKECMLFAGTTVANGGCVGLVVATGTATEIGRVHSQIHEAAQAADDDDTPLKRKINEFGEALTAIIGAVCALVWLINVRYFLTWEYDVDGRWWAPTKVAFSFERCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMARKNALVRRLPSVETLGCTTVICSDKTGTLTTNQMSAVRVVAMPRKGGEQLRSFRVEGTTYDPTDGRIEGWPETGTMDENLAMLARIAAVCNDASVSLSGQH >Et_5A_040860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13667322:13669426:-1 gene:Et_5A_040860 transcript:Et_5A_040860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIAPAAASRLHLLRSRSVGGGGGGGGAPPTFAVGVNALTGPQLRSLSSSFSCACSPLPSPGDDGKDGAPNLFDESSVLSPVIPWEIDDIWRTYAGYFFILHIPLSFGGLDVVAKALHCSSLNPMTTVISTIILQLAELTLTLALLQYTAIPGHDVRAYFVGKVSTQRNWIREVVLGFGILMTLVLITSIVADKLIGSEDAYDPTLKNILSDSPTSTLLCFVLYSVVAPLSEETIYRGFLLTALSSSMKMRDAIMISSLVFSVAHLSGSSFIQLFVIGCITGLAYCRTGTLVSSFTIHSLYNAAILFMALLS >Et_3B_031223.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21828465:21829049:1 gene:Et_3B_031223 transcript:Et_3B_031223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLSTTAITLVSVISVFIGLASPSSSSSAAPHRLMYGSKVVPSSSSSASPLLRLVFAGSNRVEEVFAAKYLAVSLCFMLAFVCNVQAIRLYAHASFLLGGMPPGEGAGARAEFAAYVARTVNNGSYAWSLGLRAFYVSMALFLWTFGPVPMLAGSVLMCGLLYFLDTTREHADALGHGTAVNGQASGNGNV >Et_6A_046937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22774836:22776544:1 gene:Et_6A_046937 transcript:Et_6A_046937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPLPPTFKIEAFSRGYMLNYTLYLHQIIGEPSPTQKTILIPNGKFGFGEIVANNWAIVDGPDPFTAAIVARAHGLHILSGNWHNSFTIAFESDRFKGSTLEVMGLDVDQGDHQWGIVSGTGEFAMARGYINRKLHDIWDNVKVIELDIHATLEFTQSFRLIRHGPKGGSEGKHYDFTYEPRRLVSIAVRHGEVVDAVVFSYTDKYGIQQTEEQWGGNGGRLTNVKLDPTEFVQQITGNIFRSGDPGHSEYVASLRFVTNIRSIGPFGTQGGKPFSLPEKKGGTIVGFFGRAQSMVHALGVLELRDV >Et_8A_056144.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4546920:4547600:-1 gene:Et_8A_056144 transcript:Et_8A_056144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLALAVVLLIASAAVAFAAEATAPAASPKSSSSGSPASPSKAPSTSPEKSGKAPTAAPEKGSAAAPKASNAKAPASKSEATPSEAPASGAASPSGSAASETAPSGAPKGSSSPSASPSEEAAASPDSGDVAEEPTAGGAEAAGPAADSPPEPATSDSAADSPGPTADHSGSAGMGTGVAAAVVAAVAASAMLSF >Et_8A_057930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9574937:9579556:1 gene:Et_8A_057930 transcript:Et_8A_057930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRFHGSSSSALPSPLPDLGVALSAADLRATAYEVLVTASRATGAKPLTYIPQSASSSFLQRTATAGKAKGALGPGSSSSSDGRRAAARRSVAEHVRVRLGVTEEADARIRRGLLRIAAGQLGKHAESMVLPLEFLQKFRASDFPDPLEYEAWQTRNFKLLEAGLLVHPLVPLKKSDISAQRLRKIIQEAYDGQLETGWNSESMQRLRRAAMSLACRSLSETSDECHWADGFPLNLHIYKTLVEACFDGEDGTILDEIDEVMELLKKTWLILGVNQMLHNLCFTWAQFNHFVISGQTDKELLSATENLLVQVINDAKITEDPDYCDVLSSTLSLIMGWTEKRLLAYHKTFSTSNIDSMPDMVSIAISTAMILVDNMSYDHHLGTTEQTEVLHGRIATYIQSSVRTAFAQKIEEAESKRSSRKPVYVLSILAKEVGDIATKEKNMYSPVLKKWHPLAAGVAVATLHACFRNELKQYIVGLRELTPDAAQVLKAADKLEKDLFHIAVEESMESDDGGKSLIKEMLPYEAGTVLDNLVKAWVKERVEKLKGWTDQNMQQETWNPKANNMDNLDSSSVEMLRIINENLDAFFQLPISMHSTLLPGLKIGFDRCLQFYISKVKSGCGTQGTLFPQLPHLTRCDVGSKLFKNKEKPHFFVKRGSQVGSDTGNEASSLPGLCARINTLHHLKNELENLDNKMKTYLRSVSAQPDTADGLDINFQQSLAACQDGIRQLCEATGYKVIFNDLSHVLLDTLYVGSPASNRILPLLKELGPILKFISATVHNRVRNRLITALMKASFDGFLLVLLAGGPARAFSCEDYQIIEDDFRALRGLYLTYGESMPEELVVKSSLELKNILPLLRTDTPTLIGRFKQAISESYGSTDKSRFPMPPVPTQWSPNNPNTILRVLCYRKDEAASKFLKQTYDLPKTL >Et_3A_024086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17121421:17122210:-1 gene:Et_3A_024086 transcript:Et_3A_024086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPRTRRQGWSEPWRGCSRGQSWRSTCRPAWCSSSRCCLSASSIDAKGTVCYVFTTRPASGSSTAHGRRRRLLPAQVHRLVTLLPIASSCSYPWPCSTGTLLRAFDSVMPADMRQVLTTMPLAKGLVGSMLFATFPLTRGMHGIGGFPIPAA >Et_8B_059600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19805253:19813631:-1 gene:Et_8B_059600 transcript:Et_8B_059600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQAPENGPPGGVRSSPEKTTTSVDDWLPINASRNAKWWYSAFHNVTAMVGAGVLGLPYAMSELGWGPGVAVLVVSWVITLYTLWQMVEMHESVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLIVEVGLNIVYMVTGGTSLRKFHDVICDGRNCKDIKLTYFIMIFASVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVDKGKLPDVDYSLRATTTPGKVFGFFGALGTVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWKGVVVAYIVVAICYFPVSLVGYWAFGNAVDDDILVTLNRPKWLIALANMMVVVHVIGSYQIYAMPVFDMMETVLVKKLRFRPGLTLRLVARTIYVAFTMFVAITFPFFDGLLSFFGGFAFAPTTYYLPCIMWLAIKKPRRFSLSWFINWICIVIGVLLMVLSPIGGLRNIILKAKTYKFYQLTFFIMIFASVHFVLSQLPNFNSISCVSLAAAVMSFSYSTIAWGASVDKGKLPDVDYDLRATTTPGKVLGFLGALGTVAFAYAGHNVVLEIQASIPSTPEKPSKKPMWKGVVVAYIIVAACYFPVSLVGYWAFGNAVDEDILITLNRPKWLIALANMMVVVHVVGSYQIYAMPVFDMMETVLVKKLRFRPGLTLRLVARTGYVAFTMFVAITFPFFEGLLSFFGGFAFAPTTYYLPCIMWLAIKKPRQFSLSWFINWTCIVIGVLLMVLSPIGGLRKIILKAKTYKFYQFHWPVRLAALQQLQCFSYFFCNRSLPNSQSLQGRVGIFWPEFDV >Et_2B_022370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19406663:19417030:-1 gene:Et_2B_022370 transcript:Et_2B_022370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAALLASWPWDNLGFFKYVLYGPLVGKAVASRAWESASPDRWILLLLLLFGLRALTYQLWSSYSNMLFATRRRRIVRDGVDYEQIDKEWDWDNFLILQIMMAASAILMAAAAFYAFPSLGNLPLWDARGLAVAALLHVVVTEPLFYVAHRALHSGHLFANYHSLHHAIMVPQPFTAGFATPLEHLVLGALMAAPLAGACAAGFGSVALAFGYALGFDFLRAMGHCNVEVFPRRLFRSLPVLRYLIYTPTYHTIHHTKREANFCLFMPLFDLLGGTLDDQSWEMQKQTSAGVDEVPDFVFLAHMLDMTQSLHVPFVLRSYASMPFSVQLFLVPMWPVAFLVMLMVWALPSKYTFVVSCYNLRGRLHQMWVVPSYAYQVPIRSHEVFSERFVVVGPLRRLQYFLSFAQDSINRQIEQAILSADKMGVKVIALAGLNKVCDCDIVVSAPNEMNEALNGGGALYVNKHPDLCVRVVHGNTLTAAAILHEVPSGTAEVFLTGATSKLGRAVALYLCRKRVRVMVRDPSIEPKFCARAGHDIDQSSCPTQMLTLSTGRFEKIQLEAAPELRPYLVRVTGYDDASVRRCRTWLVGKWMTVREQLRAPPGTRFLQFAVPSMVAVRRDCTYGKTVAMRLPADVEGLGVCEYTLERGVVHACHAGGLLHFLEGYAHHEVGAIDVDQIDVVWEAALRHGFGPV >Et_10B_002986.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1575252:1581625:-1 gene:Et_10B_002986 transcript:Et_10B_002986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDVQDLELPHALMSDGTGDLGVQPQANVQDNIAMLENCSDFDSTTDEYLENLVLSWSISSIRMEPMMIPKIHGGDGLSPQEYLRSFKKPLVEEARAFAKGSCEICAKQSGSQSPLFSDGLNKTQEELAMTVLSDAKCGHHETMNLVWGLPGTGKTRTISVVLAGLLRYNVKTLVCAPNNIHFSSIGCYLHGFIKRKDLCYGLDRSVGDVLLLCDLVGVKDEAVRTFCIEWRLSQIIPSMVWRGKIKGLISFLEKDFRENYKEVTKGKLTVLDMFKEMLERKSSSLKKIMMTLWKHMPSHVFSQEIAKNLACLFEALRGFHELLSQDSITNEDVMTAFGIYSATNLPPQPRRVKFSTKKSSRTTQSHFKPSLSTPHPKPTYVSEIRKAKENCLKHLKYLESNLELPYEKDVDWMRQYLIRESIVILSLPHEAFTLHKVDTGQREMLLVVGAAQIKECELIPALSLPSIQHVWLIGDHVQLCPTVNSKMNENSGFKLSLFERYISSGFKKYMLTTQYRMHPDISSLASTYFYEGKLSNAKLVDLDVMKMVFTNYMFVNVDPDKVPVVILSLITAILKDHCTLLSSLTTMEFQNKHRSASSVPPIIFPHTFSAKKLLADYKEKYGEIGKEESGRGTERLENVLHLVQTEGAISELDNEVYKIDHFGCIYDSRNINKTSLEEQEGQALLIIYGQCYSSLPPKSCNLRRKS >Et_1A_009251.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35455232:35457343:1 gene:Et_1A_009251 transcript:Et_1A_009251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRDAGLPAGPPLALALALLLLAAASTARAQQEYEANKQNACYATNSSSVLGYACNATTASSAAPCDAYLVYRSAPPLYASPVSIAYLLNATPSAVAAANSLNAVSPLAATQLALVPVPCACTPGGYYQRNASYTLLSQGGGAGAGVEPETYFIIANITYQGLTTCQALIAQNPQIDSRHLVPGDNLTVPLRCACPSPAQAAAGVRHLVSYLVTWKDTVSAIAARFRVDTQAVLDANSLASDDIIYPFTTLLIPLKSPPTADMLASPAAPPAPAPPQPASPPSGGSGSGKWIGVGVGSGVLALAAISGLLFLRSRRQRRRRSQSGDGESGRPPKVAVDFSSSAEYGALASGKHTTTATTTTTTSSSSWGTRPLAASDVRGAVESLTVYKYSDLEKATAGFSEERRVPGTSVYRALVNGDAAAVKRVAGDVSGEVGILKRVNHSSLVRLSGLCVHRGDTYLVFEYAENGALSDWLHGGVSGNNNNNNNTLRWRQRVQVAFDVADGLNYLHNYTSPPCVHKNLKSSNVLLDADLRAKVSSFGLARAVPESDGAQLTRHVVGTQGYLAPEYLEHGLITPKLDVFAFGVVLLELLSGKEADDADGEERLLWEAAEEALAGDGGGEEDVVERGKVRAFMDPRLNGDYPLDLALAVAALALRCVAREPRARPSMDEVFVSLSAVYNSTLDWDPSDYGTTGGSSIAGR >Et_4A_032421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:148638:150689:-1 gene:Et_4A_032421 transcript:Et_4A_032421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSIVACCLPCSSHSDGDAPPIHPPPPRRPAMAAGPRQQRVVVMRHGDRLDHAEPIWPAKNPRPWDPPLSDAGLLRAWTVGKRIRAAAAADGWAVHRVLVSPFLRCRQTAARAVAALCAIPDGADLLAIDDPSNVPLDTSRLKVSIEYGLSEMMNTQAMGGLVAKVAPSVKKWFPDLPELEAVLPSGTIDHSAESIYPHVPKWDESVLEGRSRYASVIKALADKYPDENLLLVTHGEGVGASVSYFEMGLEIYEVEYCAYSVLERQVTVKPEGEGFTAYNFKVLTKSGTTGIQYAPVSDNLLLAL >Et_7B_053363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13520726:13521388:-1 gene:Et_7B_053363 transcript:Et_7B_053363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEFGVKVVRVAGLEPADQLRKPEGGGALFVRYYVPAGDGTRRLRVDTREVPCGSCGDAFWGELARFERWDQGAALGGIVFELRWRPPARPSSGLAALLRMAGKGGRPSSRVLARAELSWQDAATSSSKPAAERWLTLSPAGLELGGCKAPKLLVEVDVVRAAASEHHEATARKAGGVGPCCSAGERCGQCGWVGNEEDMFLAATFSQ >Et_2B_019563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10161276:10166658:-1 gene:Et_2B_019563 transcript:Et_2B_019563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSDLDRQIEQLKRCEPLTEAEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLRQSSLSALIENKIFSVHGGLSPTITTLDQANYSLGPINFIRVIDRKQEVPHDGAMCDLLWSDPEDAVDGWGLSPRGAGFLFGGNVVSSFNHSNNIDYICRAHQLVMEGYKWMFNNKIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFEAAPHVGHAEVLCSKKSLCLTRALPGDAQDV >Et_10A_002144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2424041:2426320:1 gene:Et_10A_002144 transcript:Et_10A_002144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIEGQIWRVNALLVVNAILAAAIVGIGAYGHRFRYRPLTRFIFLGATTLFLPIISYVVSTSLNPYVVAFEPSPLLWSDNNGLVATCGYGPLHLVLIVSWAFLVHLAATNTTVIVAADGREGRNTGPPFELLVQGVWTFYICISMYNKDDFGDVKTVVLQCVPFALVSAKMLFKYYAFKKAQRSFALGRSPRLISGYMQQLQQQEASQHAGEIPPTSHPPPSLLDLGNRGQNLAVGQQLASDVVKCYRTEGLVLVICIVQAVAVPICEIQCTIWCINSRLVADTPENKDFGSMNFDLVPVYMLLLLIVMSERLLHLPSQKTKVKLSAALKVCIIDALRRWNSNGRQLSNGTSSLRKTQAGERLLRACSFSSKGTCDKILAWQIATFILEVRYPPHPYEKVEGEDGPTPSISDCRIAATHLSRYCVYLVTSYPELLPDDDAWSMSLYKAVKKDATRVLADHRDNLVGSTTPEAECRKLMEALDKEVNHEVLKNGAKLGQQLVTEGEETAWKLLAGFWSEMILYIAPSNNLKGHSEAIARGGELITLLWALLFHAGIVVRPGEASGTAGVV >Et_4A_033326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21542002:21549661:1 gene:Et_4A_033326 transcript:Et_4A_033326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIASTAYNALERMLLDENEEPRPLPLSLLETITNNFSVEMELGRGGFAVVYKGELGSRMVAVKKLSGSMDMDEKQFIKEIECLMKVRHKNIVRFLGYCAETQGLLQDFGGKSVLADVRNRALCFEYVPEGALDKHITDASRGLEWKVRYKIIKGICEGLHYLHRSHILHLDLKPANILLDDNMVPKIADFGLSRRLDQTQSTVIGTTVMGSFGYFPPECHDRKFSYKSDVYSLGVVIMEILTGERFPTAEEVLESWRNRLEISQEYIPLEHVKACAEIGTMCTNHSAAKRPTTQHILEILKDMDGTNEFNEPGESASSEAQMDAEGSTGYDVLERMLLDESEEPKQLPLSFLEAITNNFSNEREHGRGGIAVVYKGVIGTTGTIAVKKLYRTIDIDEKQFNNTVQLLMKARHKNILRFLGYCAHKNGAAADSGGNSIMADVQNRTLCFEYLPNGSLSNYITDASRGPEWSVRYQIIKGICEGLHYLHEKSILHLDLEPANILIGDNMVPKIADFGLSRGYWAPEVSTRQVTFKSDIYSLGVVIMEILTGERRYSTDEEVRIIYVSCSKQDIKRDVLKGWRTQLSQQDVQEVETCARIGMKCMDTNPANRPTTQDIMKMLIIKENISAGKQQ >Et_7B_055267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8948094:8950045:1 gene:Et_7B_055267 transcript:Et_7B_055267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLVCDGTLLLDGFNASATALIKRWKEMEVDESLPDWTWRPCCKLGVQSELEGYLALEGVYHNFGESQTEDSNDFDDAEIIADDSWVQSSSDSAQVYDFHVIYSHSYRVPVLYFQGHHTSGQLLTLDEIKQDLPSDSFKVLSESKWTFITREEHPHLSRPWFTLHPCGTSEWMKLLLKHTEVTDKEQWLKYLPAWLSVVGQIHQAGVYNGDEPPETSKRRGSRHLRSKLGWQKR >Et_2A_018670.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4787954:4788640:1 gene:Et_2A_018670 transcript:Et_2A_018670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRDVAHGVDSLTGGAASPTTPARDATIRLFGRDFSNDNHPRGRDQDGVGDQERRTFECHYCCRNFPTSQALGGHQNAHKRERQHARGAHLEAAFAAAYLPPGAHATVYGGLFGYQQPQAMSAALPPHHYQVWAAAAAAAGAVPGMYGGGMGSMARAPVYGAAGAMIVPGMWRPPPPPAGRPGAFGKDDNVVTSLPARLSAGQSPEKIGMAELGTKDGVISLDLCL >Et_8A_057767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:725414:728767:1 gene:Et_8A_057767 transcript:Et_8A_057767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPTTRPLLVLLCVVFLAMASPSHGAGTPDGSEEWGYVQVRPKAHMFWWLYRSPQRVENGSTPWPTVLWLQGGPGASGVGYGNFMEIGPLDDELKPRASTWLSKADLLFVDNPVGTGFSFVEGGDTTLMARTDAEAARDLTTLLCALYRGNARLRDSPLYIVAESYGGKFAVTTALKAIQQGRLQANLAGVALGDSWISPIDFVMSWGPLLYQVSRIDEDGLRQCNAVADRIKDQLHNEQYAEAEASWSDLEQVVISNSNYIDFYNFLRDDAPATSTATLQRGRSLASFRTKRGYSGYLSSKAVRQGGVSSLMNTVIKDKLGIIPKNLTWGEQSDDVFEAMAGDFMKPRIHEVDQLLELGVNVTIYNGQLDLICATKGTMDWVQKLKWDGLKNFTSSPRTPIYCNKEGQTGTQAFVKSYKNLKFYWILGAGHMVPIDNPCPALKMLADITRSPAQ >Et_4B_039256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9150635:9154408:-1 gene:Et_4B_039256 transcript:Et_4B_039256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLGIVAGETSGTTATLVVVDGLTVTGASVGDSRCILDTQGGEVSLLTVDHRLEENAEERERVTASGGEVSRLNLCGGQEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLPNTGGRLIIASDGIWDAVSSETAAQVCRGLPAELAAKLVVKQALKTSGLKDDTTCVVVDIIPSDHCSTPPPLSPKKNQNKLRSLLFGRRSHSSVGKLGGKSALFGSVEELFEEGSAMLEERHVLSHAFLVFLLGRNLQSNANLPPFRCAICQVDQEPFEDLITDNVGGCYSTPSTSWGGPYLCSGCRKKKDAMEDHDPGYVVQGAWNSQWYLGLTT >Et_5A_041013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16863228:16863572:1 gene:Et_5A_041013 transcript:Et_5A_041013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCWELPAETMLKEDGPDWSQYAYLKALWVFLESYLSTLLQTRQSDSVLNRKGKQAVHMGGMQSFTKNQAGRLRNHC >Et_9A_062088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19642263:19647821:-1 gene:Et_9A_062088 transcript:Et_9A_062088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPSPAAAASLAPRKRWRYLAATCAAAALLFFSVVVPLAVLLGLHARFPSSQYPVHPPKPYLFPAPSQCRSFPRSVLPVRFAVYLVDECAVSGSDIIQVNNTMEKPVPVISKDVTGTNTSQLNTTIGSQLKLENGNSSISPAPPIPQASTLENSSLLNITYIDLKDKDTFEQGLPGDESGKSCQLEFGSYCRWSMEHKEVMIDSTVKRLKDQLFVARAYYPSIMKLEGMEKLSREMKQNIQEHEHMLSDAISDADLPGHHGVSMAKMDQTIAAAKSCATECANVEKKLRQLLDMTEDEALFHAIQSAYLYRLGVQTLPKSLHCLSMRLTVDYFNSSADREHSDAEKLENPAFWHYVIFSTNLLASSMTINSTVMNSEESADMVFHLVTDAQNFYAFKNWFIRNSYKGATISVLDFEDFQRKKLGNGEVEQLSPSEEFRITTHRNASMLNMQMRTEYISVFGHSLFLLPELFSNLKRVIVLEDDTIVQRDLSLLWNLDLKGKVIGAPQFCQVRFGQLRAYLPNVPYNSSSCIWISGVSIIDLDKWREHGVSRIHHQMLQKLQHGSEASWRAAALPAGLLAFQDLILPIEDQWVKFGLGYDYGLTHGAIKKAAILQYNGNMKPWLELGIRRYRKYWRKYLPRDEPFMIDCNKLQAAWDDGQPIFCRTQQELASVRKETYLSVVLRCEFEVASAAKLKELRPGAGTTAPVQGERGVLLTQIRVTISCN >Et_8B_059427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17891578:17892400:1 gene:Et_8B_059427 transcript:Et_8B_059427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERVALARAAGQCEAFRGCLACNDLPDLVQRAPSLKSLDIKRFSNYEGTEELIVALEKLPLLENLQIHFTYSVTLRCFNLSAELVPSLKKLVLIFTDLYWDKDEFYEEPIDGEIPLMHELRVLELYECDLSCRGLKGILDSCPLLESLHITGYFNEQHIHENLQVKCARVHELTLPTTVKPRFHGFP >Et_5A_041973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4759245:4760733:-1 gene:Et_5A_041973 transcript:Et_5A_041973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQRRYLNLIVENRVRGTKSLHRIDLIRQNLFNKTTTSRVAPDSFEAFVYGRVSWHYRKCWSSRLLRPPPYLLRDITTTGLHSCPEISAYAVVGSEVCVSVDGVGTYSLDTTSYKAYKWREVGRWTLPFHGKVEYMAEQKLWFGFSAKDPRCFAAADLSVMESQEPQLLGTLNDLADLPEEWKECKDPQLVYLGSGEFCIARFFHTRTPRVNSGDELNDQSFAVLTGVAVAPRPSGGDGNVKLEMVIHKSRCHKSNGHDDTITAEMACSWSSRAFVYRKLKVLELPTPPSTPSQKHQLVRRGRQRQLPRLRIGGPMLGVLDTAKHAWSSAGEWTLPFRGKFEYVPELQLWFSLSAKDRRLTAADLCATDSPPQIADSWMELEPPDE >Et_2B_020013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16091911:16093120:1 gene:Et_2B_020013 transcript:Et_2B_020013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHHTLTGSNREETTKHEHTTGLGGGSSGETMPQKSCRKKKNTVPKKTRFPQEIMKRLQEADRLIQELNERGLGEDISSEELDRYADLLPDDPPRVDTSIKLDHEQLHKRHVSEVLITSGRSKGGAAARTSEPWCDDADELEDEDPLAELRCFDEDGMDGDQILDYLHEEDLLGHIQSDSRFDWVFQYPCSAGLDDYQRIVLHNFGGREFADWDRYRKYFHKYETALEYLEFWEELSKKLKWMEEYVSVVRDPLTWEKILDRGSSQAIKVAAGFSKIPASLAQEAYLECLDSMLMDYYLCKELDGVYFEVWKRVAKLKMSFRDALDEVYKLDKFPMRQHRMRVELESNGSEMDNEFDICTAGITEDAAEDKVQELIADAITKLEGRPSSTPSTSVGR >Et_2B_022856.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6853938:6855512:1 gene:Et_2B_022856 transcript:Et_2B_022856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTPPPLSMALAVLMITLVVLVLSSVVWRGRSQRKKALNLPPGPRGWPVLGSLGLLADTLPPHRVLAKLAARHGPLMHLRLGSFHVVVASSEETARLVLKTHDQAFADRPPTAFGKIIGYDYQGIVQTPYGPYWRMARKLCGTELFSTRRVDAFERARAQEMRALARGLFERAGTVVRVKTHLLNLTMRNIVRMVLGEKWSPAGSRSSSEQGDEAFQRCLKESFTVTGLLGNVGEWVPWLGWLDVQGWVRRMKRVHALFDQFNEQILKEHQRQSGTMDDDMGFVDVLLQLAEDGRLTRDGVKAFLLDIIGGGTETAAATMEWAVLELLRHPAAAAAATAELDRVVGRGRWVAESDLPGLPYVEAVVKETMRLHTVAPLLIPHHARDDAVVAGYDVPAGTRLLVNMWAVGRDPASWPDEPDAFRPDRFLLAGGSGVGVDVRGTHFRLLPFGSGRRMCPAYNLAMKEMVATVANLVHGFSWRLPDGVAPEDLSMDEFFGLNVCRKEPLLAVPEPRLPAHLYAALD >Et_6A_047903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23540604:23544527:1 gene:Et_6A_047903 transcript:Et_6A_047903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGFSGMANGGGGGPADGKLIQTFHKSFVQVQSILDQNRLLINEINQNHESRAPDNLTRNVGLIRELNNNIRRVVGLYADLSASFARTIDAASDGDSSGTLRSSDGRAGHKRVSSRHSTLHRRTDLEAVTISDNATVLSIPSYPSSESDECITLMISQCSFAPVKVSESGVVKEP >Et_10A_001171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21799578:21801557:-1 gene:Et_10A_001171 transcript:Et_10A_001171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEEETGARRWLVDITRWRPSPAEFDAATILLPPHEQPAIARFVKEDDRKRALVSRLLQYTLVHQVLGVPFHQISIRRTDEGKPYLQNENAIFRNFNFNASHQGNYVGIASEPFCLVGLDIVSISRPQGETVTDFISNFSSYLTDHEWNCIVRAGSPNEVLTEFYRYWCLKEAYVKAIGAGVGFGLSRLEFRHVDWTNISICIDGQESRRWKFWLFKLDEMHLACIAKGHPEDAVNSYKNTLSNLIIEEEQLHATHESPERGFLLKTVEQLARFHD >Et_1A_008937.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:221586:222617:1 gene:Et_1A_008937 transcript:Et_1A_008937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLVGGVTKRMGVKLQEEREEQVKSLHRVCDQTAMVVPHAADPTGHRVRGGEAPWWSGGEARVVPTTAHVLWCCSRPQSFAARHSSSSPFHPCCAPVTTSQSVTDKEKRAMTTRPDGGGGGDKQQLVPSSTATANGGTSGNGGVRKAPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTSSPSSLRSSSSSQAQPLSAVLPHAAPFILGKRVRGADDDEVTAASVGPTPGFWALPARADFAQLWSFAAAPDMMVAAAAAPGEASAARVGNYLPMAQGNLNLLASFSGGPASAGTATAGRAEEESAR >Et_4A_035679.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:3655352:3656338:1 gene:Et_4A_035679 transcript:Et_4A_035679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETGARAAAAAADEIRRLPAEVNWEMLDKSRFFVLGAALFSGVSAALYPAVVVKTHLQVAPPPQAATATAAAILRRDGLRGFYRGFGASLAGTIPARALYMAALEATKSSVGSAAVRLGVSEPAASAAASAAAGVSAAVAAQVVWTPVDVISQRLMVQTSATAACRYRGGADAFRKILVADGVRGLYRGFGLSILTYAPSNAVWWSTYAVAQRCLWRVVGADRSDSHASLVAVQGASAAAAGGAAALVTMPLDTVKTRLQVMETDATAAARPTLASTMRGLLKEGGWAACYRGLGPRWGSMSLSAATMVTTYEFLKRLSAKEGCFD >Et_7A_051878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25950098:25960618:-1 gene:Et_7A_051878 transcript:Et_7A_051878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPPSDHGGAIPRGGGLAPLQFSSTGPSNSGTTAYIGAVAKLHTASLVHWHQGWLHGYSRWLRGCLTIEAASRVLSNKSKASIDALPPEIHLKNLVNKVNAGERLLSPSEECSSVEYHLMGIPEANKFREERTLNQVVSPYSRHKLNVDVPFLKQRRKMAGDFPPGTLIEPCLALGLATYRGRQVLFMMKHWQHFMVCRELQSWE >Et_8A_058383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6234532:6237837:-1 gene:Et_8A_058383 transcript:Et_8A_058383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRQATSVRASRRVESTWKHYLLSVAVSGICTRDMPAGRAFVHTQSKAKPLKTPRPGSLPLGKRPRTAETMRPGAGFNATAAAAAKAVVAPAAAGGAAHSAWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLEGGAGRGEDDGSAADGGKPAASDLPPPIWEEKILVIMAGDVKPTYLATPMSSRASSFGDRSSKGDEEGEKKGQEIAMASIKDAEVNGEHSESRRESEEEHHIPEVPFDFWVRWLEWFGIVGEAPEQNAAEQNNFALWPIV >Et_4B_037491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20342381:20345640:1 gene:Et_4B_037491 transcript:Et_4B_037491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDRDQDPDAPSPAAGGRCPCCSSSPSGAAAAAWRRSVKRKLDAEKGFAGDGEGEGEDGAGSTARVEAEEECAALREAVAAAQGAAALLRAEVEEERLAAASAASETMAMMLRLQREKAEVQMELRQFRRFADEKMALDAAEIEQLRGLLARRARRLIRLRASLREYRRQFIHLGIPLPEGDDLLDKNGDEEEEDFLLLDGEGEDGDGGYYPELRCHDGEYYYVDEKEEEEDAVAVDLERRICRLENDHETNLLEPALEEEEGTHLFTEEVLQESSGAEGDVLYANQMLPDVAVGDRNRLYNDDEEEVPESPDADCGSAEEGASECDGAGSASGTSSDRVYTIDKVHQGVSAPIARVPEKYRDDAVEPDIKKLYMRLEALEADRESMRQALVAMRTEKAQLVLLREIAQQLTKDAAPAGAGIGVVPGVRHSSGKRVVGIVERRFTEDKKVELVKAYSMVALFKWILTLFRKKKKPRQSRYTFGLSSNNVGLLLLLDKCPRIQKTLMRT >Et_4A_033134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1944975:1948283:1 gene:Et_4A_033134 transcript:Et_4A_033134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSKKRGRFSRFTRWMSMQCLCPGAGDQVNRMDQVIESSEAVAIKDGPTSRHSSPNFVVEQRINNAGIEEAELSLQGGDSLNYEEARALLGRLEYQRGHIEEALRVFDGINISTRVPEMKISIARKVRQQKSISHSSSPVMPFHAVNILMETMYLKAVALRDLGKFQDAAHECSTILDIVESALPEGLPYNFGNDLNLNETICKAAELLPELWKLGGFLIEAISSYRRALLRNWNLDGNAIARLQKDFAVFLLYSGCEACPPNLQSQLNDSFIPRNNLEEAILLLLVLLMKFNLKRIERDPTVMHHLTFALSVSGQLKPLSHQFEELLPGVLDNREWAYNVALCYLASEDDLTALNLLRRVLKSEEDKSSLKELLLASKICGENNEHAEEGVLYARRALANLDGGCEQMEAVVDLLLGISLSKQARYATTDSDKSSQQHEVLEILGNAGKKMHGRDFGIMYNLSLENAVQRKLDAAARYAKKLLKLEAGSELKTWLLIARIMSAQKRYEDAECIVDAALDQAGKWCQGDLLQTKAKIQIAQGQFKKAIETYTQLLAVIQLRTKSFGAGISVLQGTKADRSLEVKIWYDLALLYLRMSQWKDAELCISKIKAISPYSPLAYHATGKLHEAKGLLKEALQAYSKALDLDPKHVLSLISTATVLGQLGEKPLSSARCFLTDALRLDRTNHVAWFNLGLLYEDEGGSSTLEAADCFKAAALLEETAPAEPFR >Et_10B_004302.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:3190025:3190315:-1 gene:Et_10B_004302 transcript:Et_10B_004302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTAAASGALVGGAWFGELASALQGSWQAACEHRPSQQLPKLGGGGGLAANNNKKAAAGAGAGNKTATKKEDVVACGGGLSDATLCLLLDRFAPN >Et_2B_020027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16186564:16188868:-1 gene:Et_2B_020027 transcript:Et_2B_020027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKVTVAFLACAALYIAFAVYSRRQRIAEVQFPAVTHRVYLDVEIDGQHIGRIVIGLYGEVVPKTVENFRALCTGEKGAGPNGKPLHYKGTPFHRIIPGFMIQGGDIVRGDGKGSESIYGGTFPDENFTVKHTHPGVVAMANSGPDSNGSQFYITTIKTGWLDGEHVVFGRVIQGMDYVYAIEGGAGTYNGKPRKKALITDSGEIPKEKWGEDM >Et_7B_054712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3805892:3809355:1 gene:Et_7B_054712 transcript:Et_7B_054712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLLSPPAAAGVGAAKLAPGLSAAPLRRSQSFVSAPHLSVLPPSFRQLYRPVPSFPPFVLLLGIYCLVNLLLDSLQGRVSLASSLLMGAARSAGPVVAAAETKQGVSGKKQVLISLSDKTDLAYLGNGLQGLGYSIISTGGTASSLESAGVNVTKVEEITNFPEMLDGRVKTLHPSVHGGILARRDQEHHLKALKEHGIGTFDVVVVNLYPFYDKVTSGAISFEDGIENIDIGGPTMIRAAAKNHKDVLVVVDHNDYPALLEYLKGNQEDQQFRKKLAWKAFQHVASYDSAVSDFTVPLELRSTLRYGENPHQNAAFYADKSLSLVSAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFDSPTCVVVKHTNPCGVASRQDILEAYRLAVRADPVSAFGGIVAFNTTIDEDLAKEIREFRSPVDGQTRMFYEIVVAPGYTEKGLEILRGNGQPNRRESLRIALRKAGEEAKGAALASDAFFPFAWNDAVEEACQNGIGIIAQPGGSMRDDDTVDCCNKYGVSLVFTGVRHFRH >Et_6B_048847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14427673:14430263:-1 gene:Et_6B_048847 transcript:Et_6B_048847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEDRISGLPDELLHCILVRLGSARAAARTSVLSRRWRPVWTRLPKLLLDGGEAPPLDPSFLDAVDAALAAYSAPTLELLEITVPDTSGLFVPAHRLVPWLWFASQRTVDALILRVPGRTRSFFMPELDAEEAAELDLPPCGAAKAIALGLGGPWRIRLAGVFSALTRLEVQMAHMEGNEVTALVCTQCPLLRELILCIALVAASDVSICSDSLHTLHLLVRNARRMEIVAPKLEVLTMSMATEVHVSAPKLAEIALIWWPDETYDPRRHQFANVGRRLRLLDISRESTAVSLMHHFVEVTELKVLISVANVCLYELISLNGIGGYGRFLNKTNRLPKCETLRIILLWNHHGLVPSMTHLLRHCSSTRKLSVELSNSCDPSLRISCPSSCPCRLVDSHKIDSIALNSLEVVEISSWARSPEELEFVEQLSRCKAPVLKKLVIKDTKSRTTLTKSVCEMVRSVCRRDLKIEFYVLSGETLLPSTLTELAVALSRHRHQTPEPKNM >Et_1A_007909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39411516:39413602:1 gene:Et_1A_007909 transcript:Et_1A_007909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEAFRFGGHLGSSHAPYASSAMENTNQNQFLFDARSAPLQLQLFGNSAVPAGYCNYTGNNHLSVMNQARNTNVDRGDEKQLKLQMSLNNYNQEEAGRLARVGNPSGVSTGLRLSYEDDGRNSSITSGSGSMSSLPTTVSFADDIIAQMDKENKEINYYLKLQEEQIGKHLKEVNQRQLISLMANLERAVGKKLREKELEAEAMNRKSKELNEQIRQVALEVQSWQSAALYNQSVANNLKSRLMQVVAQNTNLTREGCGDSEEEITASSHNVNATPGGFFQSSVLGSKSPAVGLGACKLCRGKEASVLVMPCRHLCLCLDCDSNADVCPVCRFPKSGSVEINMS >Et_8B_058942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11206916:11215179:-1 gene:Et_8B_058942 transcript:Et_8B_058942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGQGARRPIMGLLLRTQQEAARLYSSTAFQARTMGAHVPQNAPFFRRFSSEVPASEQMSLIKQLRERTSAPIKDVKASLVTCNWDIEAAQKDLRKRGVVLAAKKSSRTAAEGLLAIAQDEKRAAVVELNCETDFVARNDVFQYLASSLAKKALSAQGPGELFLPFGPEYFENMSINLDHPKLSGETTVQSAVTEVAAMVGENVKLRRGFMLSTSAHGVVSSYMHTCPQPGLGRIAGLVTLEAEDSSALLDAVKEVGSSIAMHIVAAKPLFVSKELVSAAAVENEREILRTQAQSSGKSQMAMDKMVEGRLRKYFEEVVLMEQKYVVNDSTNIKTVLNDLSKEVGCKVTIGNFIRMEVGEGIGRYTVFIHVISQSPNLKPLMGQKLWLVLHRLRSEWIKKVDLQ >Et_4B_037920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24775887:24796805:-1 gene:Et_4B_037920 transcript:Et_4B_037920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSTLLSKVVFAAGQQQEQPPPPPPPPPGSPLHRLGQQADPDLATPRLSSASTGGDEGGFDAAAGSSPYAAASLARGKDELELDFRRFWEDFRSSSSEKEKERALNLAVDVFCRLVKQHSSVAQLVTKLVEAHVFSFVIGRAFVTDVEKLRIHSKGRSLLVSDVIGFFSDVTELGICPGSNLLYTVEVLVTETNDKQPLLDSGILCCLIYILNSLLSRDEYSTNPSPVGREGSKSDKSKDWDPKESQRLEIEGSVVHIMKALASHPSAAPSLIEDDALQVLFHMVANGSVTVFSQFKEGLVPLHTIQLHRHAMQVLGLLLANDNGTSAKYIRKHHLIKVLLMAVKDFNPESGDAAYTMGIVDLLLECVELSYRPESGSVRLREDIHNAHGYQFLVQFALTLCNLHKNQTLQSSPKLAHKEDGLNPSQRLEQDIFSCDLSPQLSRLLDVLVNLSQIGPSENAGGKSLKSSHAKGMGHNRSRTPSADKFDEVMEISSPKVKDLDAIQMLQDIFLKADNLEVQAEVLNRMFKIFSSHLENYKLCQQLRTVPLFILNMGSFPAALQEVILKILEYAVTVVNCIPEQELLSLCCLLQQPISTSLKHTVLSFFVKLLSFDQQYKKVLREVGVLGVLLDDLKQNKLFFSDEQQNKVFDSTERMSNANNFQKTVDNKDAILSPKLMASSSAKFPMFEDEGTITVAWDCLFYLLKRAEPNQQSFRSSSGVNIILPFLVSESHRSGVLRLLSCLIIEDSLQAHPEEIGSLIEILKSGMVSTSSGSQFKLDNDAKCDTFGALWRILGANSSAQRIFGEATGFSLLLTTLHSFQNDSESEDTEPSLHIHMKIFGFLLRAMTAAVCNNSVNRIRLHTIMSSNTFYDLLSESGLLCVDCEKQVILLLLELALEIVLPPTSNLQAESISSETSEDESGFLSPTSFGLSRLDKERVYNPSAVIVLIRSLLIFTPKVQLELLRFIEKLASAGPFNQENLTSVGCVGLLLETISPFLEGSSPILNHALRIVELLGAYRLSSSELRLLVRYILQLKVKRSGHLFVNMMDKLIQMEDVRQGNVSLAPFIEMDMSKAGHASIQVSLGERTWPPVSGISLKASLRKQKKHLKGPMVKGVAKHTGKLGYSPSPFGKSLQVTLGTPTIRGKVSDLSWRLRCCYLFEEVLTPGSICFMYILGQGYRGLFQDTDLLRFVPNRACGGEVMAILDSLELEVPVPSSSQRIDSSMKQGSSRLESSGIVWDMERLRNLSLQLCGRKLIFAFDGTSSDAFRASGTLSLLNLVDPTSAAASPIGGIPRYGRLSGDVYICNQCTIGDTVQTVGGMPVVLALVEAAETKDMLHMALELLALSLQQSHQNVKNMQALRGYHLLALFLHRRMSLFDMQSLDIFFRIAACEASFPEPQKSNINRTASYASGMSPEGSLDDLTLPKFGDDVSSGGSHGELDDFSAQKDSFSHLSELDNADLAGETSEFIVLSNADMVEHVLLDWTIWVAAPISVQITLLGFLERMVSMHWFRNHNLTILRRINLVQHLLVTLQRGDVEIPVLEKLVVLLGVILEDGFLASELELVVRFIIMTFDPPELTTNRQIVREAMGKHVIVRNMLLEMLIDLQVTINAEELLEQWHKVVSSRLVTYFLDEAVHPTSMRWITTLLGVCLASSATFALKFRTSGGFQGLNHVLPSFHDSPEIYYILFCLVFGKPVYPRVPEVRMLDFHALMPSDGNYGELKFVDLLDTIIAMAKATFDSLIINSMLAHQNNNLSHLNGTLVADLVEATSDTGGDLQGEALMHKTYAARLMSGEAAAPAVATSILRFMVDLAKMCPPFSAVCRRHDFLESCVDLYFSCVRSDCALRMAKDLTTTTTDEKNVHDEDNGSSKYTFSSLPHDQEQSSRTLSSASFPQEQKSSSSESPGMQNSFEAAEVKADDSSNQQTSTKLLNGEAKKSFQNAHDQGWTSPPNSNGVAESHQLADSPNSVSVDNVESPILSERSTHKAAMTPTASPMAAFTSWPGSAGSHSDGRRLTASPSMSSSMSGIDMDLSPELKTNMQGSPAVNTLFPINSKLLLDIDDLGYGGGPCSAGATAILDFIAQILADIISEQLKATLLVESLLESVPLFVDVDSTLVFQGLCLSRVMNFLERKLLLDDEEDGKKLDKSRWSANLDPLCWMIVDRVYMGCFPTPLGVLRTLEFLMSMLQLANKDGRIEDAVPPGKGILSIARGSRQLDPYIHAILKNTNRMIMYCFLPTFLKNMGEDDLLANLAFLTETGRSLASTPCQEDFSVDICTILQLLIANKRLVLCPSNVDNDLMCCFCINLMALLRDKRLTAQDLAVDLLKYLVVHRRQSLEDLLVSKPNQGQQMDILHGGLDKLLTGSTSMFFEWLQSSQQTISKVLDQCALIMWVQYITGSAKFPGVRIKGMEVRRKKEMGRKSRESVKIDARHWEQINERRYNLDLVRDVMSTELRAIRQDKYGWILHGESEWQSQLQELVHERGIFPMRQLSMEPAWQLCPVEGPYRMRKKLEHSKFKIDTIQNVLSSNLGLDDTKATNKEDGDMLMTSGSDTMSGLNLLTYGSEQKELDAADFASFKEDDDIFKGGSTMSTPVGWTDDKSSINEQSLHSATEFGAKSSSLSYHMTESHQGKSELSSPRRTPSVKGTDARTSEDKSEKELLDNGEYLIRPYLEPSEKIRHKYNCERVAGLDKHDGIFLIGELCLYIIENFYIDDSNCICEKGSEDDLSVIDQALGVNKDIMGSSESQMKSPSAWGGAAKILLGGRAWAYNGGAWGKEKLCSSSNLPHPWHMWKLDSVHELLKRDYQLRPVAIEIFSMDGCNELLVFHKKEREEVFKNLIAMNLPRNSMLDTTISASSKQDSGEGSRLFKVMAKSFSKRWQSGEITNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESDTLDLRNPQVFRKLDKPMGCQTEEGEEEFRKRYDSWDDPDVPKFHYGSHYSSAGIVLFYLLRLPPFSTENQKLQGGQFDHADRLFNSVRDTWASAAGKSNTSDVKELIPEFYYLPEFLENQFNLDLGEKQSGEKVGDVVLPPWAKGSAREFIKKHREALESDFVSENLHHWIDLIFGYKQRGKAAEDAVNVFYHYTYEGNVDIDAVSDPTMKASILAQINHFGQTPKQLFQKPHPQRRTDRKVPPHPLRYSAYLTHQEIRKTSSSVSQIVTYNDKILIAAANSLLKPVTYNEYISWGFPDRSLRILTYDQDRLLSTHENLHGGSQIQCTGVSHDGNILTTGGDDGVVAVWRFVKDGVRRLLRMEKALCAHTGKITCVCVSQPYSLIVSGSEDCSVILWDLTSLVFVKQLPKFPASVSALHVNNLTGEILTGAGVLFAVWSINGDCLAVVNTSQLPSDLILSVASTTHSDWQDTNWYVTGHQSGAVKVWKMVHCSSDEVTNSKSKSPAITFGGLGLNGQAPEYRLLLQKVLKSHKYPVTALCIPPDLKQLLSGDANGHLLSWSLKDDSFKGS >Et_4A_034961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8322483:8325662:1 gene:Et_4A_034961 transcript:Et_4A_034961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATNSGGPAVGIDLGTTYSCVAVWRGDRGEVIVNDQGNRLTPSCVAFTDVEMFVGDAAANQAARNPANTIFGEYYVHRLLPSVAFSSRAPDGPDRCLFNSRKQKHEPQSPAAHDVKRLIGRRFSDESVQEDVNLWPFEVVAAQDDRPMIVVQYKGKEKMYTPEEISSMVLAKMKETAEIYLGTTINNAVVTVPVYFNNSQRQATIDAGAISGLNVMQIINEPTAAAIAYGLEKMPVSNSARTVLVFDLGGGTLDVSLLNIDSGVDIDMGVFEVQAVAGNTHLGGADFDNAMVKHFLREFIRKHGKTEIRSNQRALRRLRTACERAKRMLSSTVQTTIEVDSLHDGIDFYSTITRSRFEELNKDLFSKCMEALEKCLQDAMMDKSSVHDIVLVGGSTRIPKVQNMLREFFDGKELCRGINPDEAVAYGAAIQASILSGETNTGRLLDMFLRDVTPLSLGVEIKDDCTMSIVIPRNTAIPTKRTENFTTLYDDQLSVTFLVYEGESSSTMDNNLLGEFELSDIPPAPAGEPIFDVTFEIDMNGVLNVTAEDTTTGRMNNITISYRSGSLSTEEIERMVQGAERIAL >Et_3A_025351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29112524:29120709:1 gene:Et_3A_025351 transcript:Et_3A_025351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFDRLPPMDHLRSEKMCYVQLIIPAECARIAVSYLGELGLLQFKDLNEDKSPFQRIFVNQVKRCAEMSRKLRFFSDQINKACVKSSVIPALQPDIDLEELEAKLGEHEHELLEMNTNSDKLQQTYNELLEFKLVLAKAGGILASSHNHAASAERELDENIYEKEMDEGNTYLLEQGVHQGSHGNSGVRFVSGIIVKSKALSFERMLFRATRGNMLFNQAPAGEPVTDPISGEEVEKTVFVVFFSGEQAKAKILKICDSFGASCYPVPEEMVKQRQIFHEVSARLSDLEVTLDAGIQHRNRALESVGSQLWRWTIMVKKEKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKSQIKECLQRATLHSHSQVGTIFHEMDTTDSPPTYFRTDKFTNAFQEIVDAYGVARYQEANPAVYSVVTFPFLFAVMFGDWGHGICLLIGALVLILREKKLSSQKLGSFMEMAFGGRYVILLMALFSIYCGLIYNEFFSVPFHIFGKSAYECREKSCSDAHTAGLIKVRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILMGVAQMNLGIILSYFDAKFHGNALDIRYQFIPQMIFLNSLFGYLALLILIKWCSGSQADLYHVMIYMFLDPAGDLGENQLFWGQKELQILLLLLALVAVPWMLFPKPFILKRLHKERFQGHTYRFLGTSEMDPDSEPDSARARHDDFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKLLLLAWGYDSPIVKLAGFVVFAFATAFILLGMESLSAFLHALRLHWVEFMNKFYHGDGYKFKPFSFALLADDED >Et_8A_058209.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:24032545:24033234:1 gene:Et_8A_058209 transcript:Et_8A_058209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVMLQQPRPCGSFLFGCRSWSSLSFNNLYLGVVPKKKNHQQQLVVVVGEKTTTKKKLCSARACSAPITTVSDSELAGRGFAVRRTVEGLDVGALNEVFARVGFPRRQEERLRRALEHSRVVWLSSSRKDTTNKPVAFARAAGDGVFNAVVWDVVVEPSCQGLGLGRAVMERLVHDLRRDGISNIVLYAEPRVVGFYRLLDFAMDPDGIRGMAYYRSANSPRPSPTAD >Et_8A_056284.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19687782:19688390:-1 gene:Et_8A_056284 transcript:Et_8A_056284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAASLSLAEALYVAGAPLRHVVQQSVGGPARLRVRAQQDNIAGVKLPRFEHFLLSGDARGGTSLAGLAAGGQQVAACRAAYARAVEALVELASLQTSFLTLDAAIKVTNRRVNALENVVVPRLENTIAYIKGELDEQEREEFFRLKKIQGYKQRELEQQMESAQRYAEEKVAGEVALKRGVSMGTATNMLDNGDRDEDIIF >Et_5A_041813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2999361:3000014:-1 gene:Et_5A_041813 transcript:Et_5A_041813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAWLVAAAVAAVLASWAFNTLVYLVWRPYAITKRLRKQGVGSPGYKFFVGNLAEIKQLRADAAGVTLDVNDHDFIPMAQPHFRKWIALYGRTFVYWTGARPNVCLADVNAVKQVLSDRTGLYPKNLINPHIGRLLGKGLVLTDGDEWKRHRKVVHPAFNMDKLKVRNVQETKKKDDTC >Et_3B_029138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22312462:22313555:-1 gene:Et_3B_029138 transcript:Et_3B_029138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPADENPILAAVMNDVTGRVFDVDFIQGLVGQVRAHLAENAAAFFEAEAQLEEVRQLLAEAALAAAASLPPPAAEEEEEEEMEEGEIVLVVLAELHDPEVSARAAEYVEIGARVHQLLTHHLHLSEALQFLVILRAVAFANPIIVEVMDNLDDLIVDVDYVQEVLDAVRAEIAEHADLYVEAAAQLVQARRRLVEAMVHAHAAARRALPESAEGAGDPEVTARAAAYLELEDRVRRLSRLKRTLIEALAFVLLVRAAAYFVARLHLIPGVLLTAAAAYAVAYVASGGAVVPGPASLLRISTLVMCFLLGVRG >Et_2B_018987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21663730:21664431:-1 gene:Et_2B_018987 transcript:Et_2B_018987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYHLFLLHRILRRPHTTAIGYENHNTVAWVRRMAREASLALGVIADGISVSTTLASLCLALPALIGAWELSGTSTAAMPSGAGGDTSQAMATAKYASLLACFIASFTCFVHAALCCDSDSDPPPPVSHVQRAVLRGGGFWAAGLRALYLATALLVWVAFGPAPMLACSVLTVAVLHLLDSNSMPLHCHQFAPGSKGLSAT >Et_6A_046924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22345882:22349948:-1 gene:Et_6A_046924 transcript:Et_6A_046924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRSGGADGDESPAMRRLRRLSLHFLNPSPPSDRSLVSPAACAAGRRVEGGAEVSAALAAYLRGRHRATQARVFEFFLGRPDLQTPVEQTTAAHRDLCFRQLRALVRDAGVRPLSLMAADPAEYFAVMEAAGGVDISLGVKLGVQYSLWGGSIINLGTKKHRDKYFDGIDNLDIPGCFAMTELHHGSNVQALQTTATFDPVTDEFIINTPNDGAIKWWIGNAAVHGKYATVFARLILPLQGKGGTPADMGIHAFIVPIRDLETHAVLPGIEINDCGHKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGIAYSSVGILKVAVTIATRYALLRQQFGPPKQPEISVLDYQSHQHKLMPMLASSYAFHFATIYLVDKYSEMKKTNDEDVIADVHVLSSGLKAYITSYTAKSISICRESCGGHGYAAVNRFGALRNDHDIFQTFEGDNTVLLQQVAGDLLKQYQEKFKGGTLSVTWNYLRDSMSTYLSQPNPVTARWEGEDHLRDPNFQLDAFRYRTSRLLHSVAARLQKHSKTLGGFGAWNRCLNHLLTLAESHIESVILAKFIESVKSCPDEKTRQVLKLVCDLYALDRIWKDIGTYRNVDYVAPNKAKAIHKLTDYLSYQVRLVARELVDAFDLPDLIIRAPIGMQSEAYAQYTQHVGF >Et_10A_001126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21316642:21318254:-1 gene:Et_10A_001126 transcript:Et_10A_001126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DGHVSSPKQRHLPNLTDQLIHESLASFSTNNPKDLKIIHDVLMALPKGPRSVLHIEESSVDREDTSTISVQINAYDVVRPDLARYGCILSNPWRIFWEQHPLVLHDTEILLAARSVRGRVNFLQKITALLQKHPGPVRNFRIDSSLVENAEQLEEWFEILKVKKIQEVVFVNCRWPFDTVDFPINSLDCESLVQIRLCFVKISNTNLNYINNLTTMDLACCSMTTLDLYALVYQCKSLRELKIGIYEGNVIRINSATLEILHVWQSTIRKLAVENAAKLQKILVEADPQKTVAVAAGPKKPSPCVGVWITDAPILTDECFNISTQSVTINNISTVTDNGPLSSLRKLFLHISLRVKKEKKVLENFMKSCLRLKELTLWREDEVYVDEYSDALNDDWPAKLRNLSSILNLELLILKDYKGGNTELAIASAVLKYAPSLHQLTLETNGNDEEIFTGAKAKLREVTQASANAYVKYLI >Et_4B_036570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3917446:3917820:-1 gene:Et_4B_036570 transcript:Et_4B_036570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLQSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAERKAREAERERMSERARRAREAGPDAFRKGKYPRCTQ >Et_5A_040691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11159343:11165316:1 gene:Et_5A_040691 transcript:Et_5A_040691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAALLAGAGEAAAAANPSAAAGAAVMGVFKYNFAAQFLSRVLPFLFNIWFVRQLGTDDSAVSAYALQLPLLINSILFLSREGFRRACLRNDSQSGDVLTDEAILKIAWMVIPFGILVTSVGCLFVLGIKKLKLSDPYAKATLIIGFACILELLAEPLYILSQKKKYYNIRVYTEPAANLLRCLMTFILVKGHTKVEKLVAFSLSQVAYGACIFFGYWTYFLIFADINTFDLLPLRLSNLMGYDKQLLHMCMLFTGQTFRKLILQEGEKFILVLFDTPYNQAAYGSLVVRIVFLPFEESSYATFAELAGLVVISFGPSYSYTLLKLLYGERHSDGEASVVLRYYCFYIISLAMNGTSEAFLHAVANENQLKKSNDMLLIFSVIYIGSFSFRRCLPAGWGILLISGLTTAFSERMFLDRARFKQTLPIHMAIGVMCLSVSALEIYRGEKQFLRQIFGTLKGHDKLQ >Et_4B_039349.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:11011279:11012187:-1 gene:Et_4B_039349 transcript:Et_4B_039349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSWFQKLRRRRKKAKQQQSPCRGQGGGAPAAGAARNSASSASNCPSTQCQKPPMMVAPSPWEQPAASPNRASYYVPSADRARQDGALLRRVVSRRGGDDDAAAALDVRVDVVHRLAGERRRRRLGGIDAPPGTPELKLRRIVTRHDASDVDGGVSSSATTSAATTPSTRARGFHVRSHRRRRRQQPDHDGGGARIKKDQKAAEAVSPSPSVRGGARRRRWLYESLVVVKASSEPERELAESMAEMVAANGIRSSEDLEELLACYLALNAAEHHRAVVAAFRRVWFHLARHSPHADRRLA >Et_7B_055211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8352677:8356380:1 gene:Et_7B_055211 transcript:Et_7B_055211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVGGSGGGFNAPSTAAARRRNQDEDDEEEEEDAAEGRVLEAWERAYADDRSWEALQEDESGLLRPIDTKTLVHAQYRRRLLLRSAAAAAARIQKGLIRYLYIVIDLSRAASETDYRPSRMAVVAKHAEAFIREFFDQNPLSHVGLVTIRDGISHRLTDIGGSPESQIQALMGKLECSGDSSLQNALELVHGYLDHVPSYGHKEVLILYSALNTCDPGDIMETIEKCKKSKIRCSVIGLAAEIFVCKHLCVETGGSYTVALDESHFKELLLEHAPPPPAIAEYAAANLIKMGFPQRGAEDLISICSCHKKIKSGAEGYICPRCKVNVCELPTECRTCGLTLVSSPHLARSYHHLFPVAPFDEVSFIPNRGQKGAQNCFGCQQNLFNPGSQSNLHVRCPQCNQHFCLDCDIYIHESLHNCPGCESRRSFSS >Et_7A_051097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14440071:14456502:-1 gene:Et_7A_051097 transcript:Et_7A_051097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAAGSAKRARGGGVEGSPGSVGDRLSALPDCILHEIMSYLKARQVVQTCVLSKRWTHLWRSVLCLDLDAHDGEFRGTDEGDRVGNFANFVDNLLLFHDAPSLDTFRLRFGGAGRVQSRDADRWIRRAVKYGPSVLELHLGVHSRDVRLPGLGTCSGRLKRLHLSSLTLDASFARQIQSGCPSLDDLELKNCYCTFREITSCTLKTLKMDGCTIDFPVVDGRDRVVVMTVPALVSLHLDIFNFQFGLSVNEATKLVETSIRFQGDWNFQRNVRKLIDGLSSVRNLELRSLKTMKPVDDMSEKFPVFNNLRTLLLSMCDLSDNFQTLGRFLHNAPNLEKLILQQCKMGDEHPAGRPRVVPGGDDRLSALPDALLHSIMASLPTRQAVQTCVLARRWRHLWRSVPSIDVDSDEFTFVAGTDATSEVSFVPSRERWEKLEAFAANLLRLHRVPVLDRFRLRVNLAKSSEASRSHEYHTCLALVVGDVVERIGTWTRLGAGYDPAVLEVSLGFLPDHRHGVVLPRPPAGSVSRRLKSLRLRGVKLDKGFAKHLQLGCPLLEDLELKRCVWGVPALVSGTVKNLTLDRCVDNLRRQQRSVTAPSLASLRLVCAPDQDGILFSAVDSLVTATIEYRSFDDSFDKIPCELLACLANNVRTLKLSRFRKPESPFVNGTHGSFPKFHKLATLVLDRCDMSRNFNILLSFLHNAPNLETVSLQHRMSRRGGSCITAEDRLSALPDVLLHSILSLLKARQVVQTSVLAKRWRYLWRSMPCINMDQKEFSNGRRLVSRRDLEKFEDFGDVLLLLRDPSSCLDTLNIHIREGNLGPINTRRWIRRALNCSLRALHIDGEYLCRVKFPLGLEPSPYCFKTLHLCEILLDENFEKAVSSVFLRLEDLKLKGYDICFQKITSTSLRNLIMVGNNIEASDELIITAPRLVSLCLSDISIQTSEVSDAESVKFPRFKNLRTLLLNCCGLSDRFQLLILFLKNSPNLEKLTVRYCQLPEGSDGGKGRVDFQCQKLKSTEILYNDRDDIREFASLLHNVSGHVPKQTKRGRTAGDRLSALTDDLLHLVLSFLPAPQVVRTSVLSKRWRDLWRSVPEIDLHSWYFPYDSDDEVWRQKMEDFTTNLLMRHRAPRLNAFRLYICSIRVDCFRDVSRWIRRAVEYHPSVLQVELVGGCGYHYRLPHLGSSHLKRLHLSCVSLDRSFAQQLLSGLPVLEDLHLNQCHLQVQSDGIQLNTVKKLVMACCWIQDADMFVVRTPCLASKCLKFCNYSNTPVCLEAGNFLATVSISILPDRFSQKYEAMLIGSLFNVMGLELRGFDVMTILDKQFDKIPMFDNLRTLSLLFGCLSKRDVHKLQALGRFLQKSLNIEKLTLDYFLVVPDVTTKMKVERAGGRRATTDRLSNLPEELIHAVMSLLPVWQAVQTCVLSKMWRYLWTTMPCLHLDECLHLDERELRRGSVPIFQDFATNLLLLHEAPALDGFRLTINRHSRQIVHGWIRYAVTRRPAVLEIDNGDPWPWEELALSRRGLLSLLGPPQEFAAARRADRRPLRREDLELVRCGVDFSTITLPVVKNLTVRDCRNDDQLLGRHGSCPRAPLLPGQRHVAP >Et_4B_039332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1066202:1072105:1 gene:Et_4B_039332 transcript:Et_4B_039332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPNPARLAALLLCVLFSAAVAAARFAPTDSHLLACGAAAPAVLPDGRRFVPDSGCASTRLRSPSPSLSSAAPPSSPPPPSPLHAAARVFSCRASYDLTVRRPGHHILRLHFYPFAPALSASRFHVGAGELLLLHNFTASSPVVKEFILPVDSDVLVLTFVPDSGSTAFINAIELISAPEELVGDIGTLVASGGAAQIDGLSSQVFETLYRINVAGPKVTPFNDTLWRTWVNDGNFLANRDSSNSKAWSFSGRIAYPKVTKQMSREVAPDNVYSSARSVRPGHNVTWGFPVPAGSRYLVRMHFCDTVSKVLYELLFNIFVNGHLAVEDFDLSSATGFLAYPYYIDFVVDVEDEGVLKLAIGGSKKSRSNEVSGILNGLEIMRMNKTNGGMDGDFPVALDMENVVTKGIGEFVRSLLCGFIFAGLFVVLVLLVLRLKTELRNNGWAWSRQPLDSGDAEMLEQPRFNEFLASDDFPNTVHSSTLYHRFIFYFVILCVVLCEIGPGRQQQLSYSYGSTPTWI >Et_8B_059332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16798228:16798595:1 gene:Et_8B_059332 transcript:Et_8B_059332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQ >Et_6B_049555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6571039:6572368:1 gene:Et_6B_049555 transcript:Et_6B_049555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVLCSWWSDANLSKKLPFARDRLMECFYFACGVVCEPSFGACREVVAKIFSLVVLLDDIYDIYGTLGELTIFTNAIERWEATASEVLPEYMKAIYLTIFDTSNEVAILAMKQQGCDVQFLLKMAWHDLCKAFLLEAKWHYNNYNPSLQEYLDNGWMSVSGPLINIGIQSVSPLQRLCNTFCKTMLYANEIAKFEEKKNTLCFFLIAKNTLCDILLMYNNEELKRGDAPSSIAIHMFENRATEHESRMAMKELTMEIWKLINQDAFDDCPYHLPFAKACLNMARISHCIYKGVDGISAPDDKKKMEIKELFLEPLEVESDP >Et_2B_019479.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6668829:6669428:1 gene:Et_2B_019479 transcript:Et_2B_019479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMTKAARICIAAPGRESTVKTVSLYLCLTASYLHDIGELVCKGIDNNKVKSLELALPTKKSSLECDIADMVRHAKELVCFFNAFPNLLQCITKLVLHNASFSDLEMHYLLNSCEQLQHLELNNCDTGDLSTLKINKPNSKIYYLSLEACHFEKVELLCLPKLASLYCEAWISSSAPLLFGHVPSLEELSLVCSALRY >Et_3B_028346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13887271:13887516:1 gene:Et_3B_028346 transcript:Et_3B_028346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLREHVSLARSKRPPVVSWRPCAPADLVKRMASSSSS >Et_2A_018020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9009819:9010428:-1 gene:Et_2A_018020 transcript:Et_2A_018020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKHHLNLILVGGITFLLYSKETTCIDKVQISMGIHLPSHLIYLPHPPTPSPSIHLHRNLIPLPHTKQKITHLLMSTNHPLLIHLPHARARSTQKLRSTNHHPIIPHGLTQGHITLPMMTTIPMAMTSQMFRLILRHTNRYPTQLNISLLEIIVLAFTSGCSKLQK >Et_8B_059066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13035842:13042870:1 gene:Et_8B_059066 transcript:Et_8B_059066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSYIILKTTALKNGSLRHDIHYWMGKDTSQDEAGTAAILTVELDAALGGRAVQYREVQGNETEKFLSYFRPCIMPQPGGVASGFKHVEVNEQDHVTHLYVCHGKHVVHVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTFHDGKCEIASVEDGRLMADEEAGEFWGFFGGFAPLPRRAPAEDNEKHEEISVKLLCFNQGKLDPISYESLAHELLETSKCYFLDCGAELYVWMGRTTSLQERKGASEAAEKYLSDVNRTKTHIIKVIEGFETVMFKSKFKEWPQTPELKLSSEDGRGKVAALLKRQGLNVKGLMKAAPAKEEPQPYIDCSGNLQVWRVNDKDKTLLSSSDQTKFYSGDCYIFQYTYPGDDKEECLVGTWFGKKSIEEERVTAVSLASKMVESAKFQAAQARMYEGREPIQFFVIFQSLQAFKGGLSSGYKKFVAENGIDDDSYSEDGLALFRIQGSGPENMQAIQVEPVASSLNSSYSYILHDGNTVFTWAGNLTTALDQELVERQLDVIKPNVQSRSQKEGSETEQFWSLLGGKSEYSSQKLMREQESDPHLFACVKEIHHFAQDDLMTEDIFILDCHSDIFVWVGQQVDVKVRVQALDIGEKFIAHDFLMENLSRETPIFTVMEGSEPPFFTRFFTWDSAKSLMHGNSYQRKLSILKGGGTPSLDKPKRRTPVYSGRSITQDKSQRSRSVSFSPERVRVRGRSPAFNALAATFESQGNRNLSTPPPVVKKLYPKSVTPDSSNASLKPSAISPLSGSLDRPAQNSATKSVKDGSELEKPKQEEDAKEGTDTMTNKVESLTINEDVKENEPEDDEGLPIYPYERLKTTAADPVTEIDVTRRETYLSIAEFKEKFGMTKEAFSKLPKWKQNRLKIALQLF >Et_1A_008603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9114453:9116129:1 gene:Et_1A_008603 transcript:Et_1A_008603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAWCLSAPAAAPPVAPAPGALGVLASASASGTVSLARVAAPMTRRRRWDALVVCMAPDEEKITRRSPLDFPIEWERPKPGRRPDIFPKFSPMKTPLPHPLPADDPLDDDEEEEEEEQQPQEEPQEDDPDKEEPEEDDPDKPTE >Et_8A_058416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6950137:6956991:-1 gene:Et_8A_058416 transcript:Et_8A_058416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNLLERRPVLEKTTSPTSASQRMASSLAFLNSPLRRFENVTCRFVEFSILWITILPLPISSTSKTAEI >Et_7B_055914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7332172:7335470:1 gene:Et_7B_055914 transcript:Et_7B_055914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKRAKAAIFTAVLWNIWKRRNAQVFQDDLQALPGLLRRIADDLKLWAYRANKNSSKEVLNSWAAHLSGDFITARPPTVPNDTAGFTCYF >Et_5B_043108.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:414774:415289:-1 gene:Et_5B_043108 transcript:Et_5B_043108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRRACATTAAAWRAGTGGSPGCRGACTRTPAACCCRSRSSSPPGWRGGAGPGRSPPPATPCGPGSSPSSAS >Et_1A_007646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36848775:36849971:-1 gene:Et_1A_007646 transcript:Et_1A_007646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFGMTRCTLYFLSQSANGNKRGCDFREFIHRPRSHYPDPDSLPDDVLYGENLPCWYPPPLLCQCGVPARQGVFSSELGYGHYCENTVGEDYEWDTRRCDWETFEGKDEFLMRSKKKGPEYYKKALSTRRKLKLKREGPFWEGPEADVVIPYWRRNRDKYPLKSS >Et_4A_034464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3456638:3466155:-1 gene:Et_4A_034464 transcript:Et_4A_034464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDTTARVDHSMNNAVCTILFPSDSFFRIRQERPDPSKVTSKTSLSSVQSTIKTNSSRSTLTVTSMKDRSELPTPRTEGEILSSSNLKAFAFSDLKNATKNFRPDSLLGEGGFGHKLKPEGFQGHKEWLTEVDYLGQLHHKNLVKLIGYCSDGDNRLLVYEFMPKGSLENHLFRRGADPLSWAIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDKTHVSTQVMGTHGYAAPEYIATGRLSAKADVYSFGVVLLELLTGRRALDKSKPGIEQNLVDWAKPHLGDKRRLYRIMDTKLGGHPPPRSRMSRAICGKATRTTTVARPRRRGQVEAASGPGRCAAVAGRGGGAVARARSR >Et_9B_063725.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:5507365:5507658:-1 gene:Et_9B_063725 transcript:Et_9B_063725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDALSSPHRRSQNTFFMQPSKKPQSSRDDVGSWSALIERHRFLLTTLVVLAFLCMIYLYFAVTLGAPDACSGLADTERDECLANSVMQHGKLKLH >Et_2A_016578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26254616:26257260:-1 gene:Et_2A_016578 transcript:Et_2A_016578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIEKARKATSPKNTTINTGAKSPVRNGGVSPPHKKNAAESRGRKNEVQNVRKGGQDLMLHDEGKRRSPTSQTSPKRSPRHEQPLSYFRLHTEERAIRRAGFNYQVASKINTQEIIRRFEEKLAQVMEEREIKMMRKEMVPKAQLMPASTRPLTVPKEPSFLKLKCCIGGEFHRHFCYNAKAIK >Et_3A_024604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22585494:22586964:1 gene:Et_3A_024604 transcript:Et_3A_024604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RQNLINIKHCDSYASNTTTSTTGQSHEQVRPRSLECGLQLPGWRPDLAVHKRELDLGVVELLGVVPLAQLEMNRGGLDYLDARGSYTVARSHLSVHLLHSTIQSSVTVLLVHVVISGSALVAQPDAVVLDCGWQSQIRDAYLVDGEHLSVALLHLLQLPQEVPARAQEQPNLLLARTSLVAQSFMRYILGCSSPGVGSARPTTWY >Et_2B_021180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27236102:27237867:1 gene:Et_2B_021180 transcript:Et_2B_021180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGCIVRRVFSKSPCSSAGGRGHNEKASADHRRRWSSLRLYLCGEEINTAADEDDDDGTVSVKSFETCVMAHEVQVPAVVQQSGVHSADDHPGSPEDPLPGEHSHVVPLEPARDEEAATLIQSAFRGFMARRQLQQLRKCKTRDGADEPRSPTSASVAASVEVQVGESLSNLRLSEDSASVQQCAASQKSRPPPVFRVKEEWDDSTVSSNVSRMRMQSRIEATTRRERALAYAFSQQLRSCGGTKKRSARPEQAEFNVGWSWLERWMATRQAEPADDCMSRNADTGSARRVVVVRRRHDLAVEEKESCGSNDVSVSAVSFDGSNGSGGGRNAVCHKPGRSRLKGGGRNLARRKVASSDHRLHHGARSHKLSKKGHQREEQHEDQAETQGFDASQPPTDY >Et_1B_012371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31212406:31216457:-1 gene:Et_1B_012371 transcript:Et_1B_012371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDAAAARRRAAVADYRKKLLNCRELESRVGTVRESLKNSKKDFTKTEDDLKSLQSVGQIIGEVLRPLDNERFIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFGYAREHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQGRMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVVHEDFMKAVRKLNDAKKLESSAHYSADFGKE >Et_1B_011628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24372035:24374108:-1 gene:Et_1B_011628 transcript:Et_1B_011628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASRVPKRVLSAFAATAEAGKLPEAAVAAVAAVPLPTSSAANAERRPLEFDDTGRLFAGERTSALVRTLAALQALSVGPLVDIGIAALRSPAVAGSALGKAAARATAYKHFCAGETADEAAGVVRRLWRGGMGGILDYGIEDAEDGDACDRNAAGFIAAVDVAAALPPGSASVCIKITALCPIALLEKASDLLRWQHKHPSFALPWKAHSFPILSDSSPLHLTPSEPPALTPEEERELEAAHERLLAVGARCAEHGIPLLVDAEYATVQPAIDYFTFVGALAFNGAGEAAAASERPIVHGTIQAYLRDARDRLEAMVRAAERERVRLGLKVVRGAYIARETRLAASLGAPSPVHGCIQDTHDCYNGCAAFLLDRVRRGTASVMLATHNVESGQLAAARAQELGIPKGDPNLQFAQLMGMADGLSLSLRNAGFQVSKYLPYGPVDQIIPYLIRRAEENRGLLSASSFDRQLLGKELVRRVKAAVVGRE >Et_7B_054881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5183611:5185860:-1 gene:Et_7B_054881 transcript:Et_7B_054881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTGLLSRIAAGDGHGENSSYFDGWKAYDSDPFHLLHNPDGVIQMGLAENQLSLDLIEEWSMNHPEASICTAQGASQFKRIANFQDYHGLPEFRQAMAKFMGQVRGGKVTFDPDRIVMSGGATGAQDALAFCLADPGDAYLVPTPYYPAFDRDCCWRSGVKLLPIECHSSNDFRLTREALVAAYDGARSQGVRVKGILVTNPSNPLGTTLDRGTLAMLAAFATEHGVHLICDEIYAGSVFAKPEFVSVAEVIERDVPGCNRDLIHIAYSLSKDFGLPGFRVGIIYSYNDAVVACARKMSSFGLVSSQTQYFLAKMLSDGAFMSRFLEESAARLAARHERFTSGLREVGIGCLRGNAGLFSWMDLRGMLRDKTPEAELELWRVIIREVKLNVSPGTSFHCGEPGWFRVCHANMDDETMEVALKRIRHFVRQHQHQQQQQQKAKAQRWAARAQLRLSMPRLGGAAASYLALSSPMALQSPQSPMVQAAS >Et_1B_012182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29688861:29690347:-1 gene:Et_1B_012182 transcript:Et_1B_012182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQATPPAASAAGVTATVDLSPASDDLGRAHLLPCGIRQNGGAPVSDYFKPRSTGVEVDGVKVEEAFFRGRKLQGATLALPDGYRGYVLEKKNGGKDKQNSDDEVSNFVSRAEFQNITYWNHDTTPSAEDPLPRCFHWLTVANAMHKLVSAEELANMSATCNQDS >Et_1A_007586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36041308:36042272:-1 gene:Et_1A_007586 transcript:Et_1A_007586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGDWDCRACQHLNFSRRDICQRCGEPRGAVDRGSAGGDYANFGGRDAGFGGRGGSSFGGGGFGAGSDVRPGDWYCSCGAHNFASRSNCFKCSAFKDEAAVNSGAGGFDADMSRSRGGYGFGGAARANRPNWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGSAAMTYENYL >Et_4A_032292.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28533894:28534250:1 gene:Et_4A_032292 transcript:Et_4A_032292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALPDPQAAAVSGLVAGVAGVAATLLLRSSSSQRQQQQKRETAESTEAAAGEDCGGTGLCSRCCKGEGYRWSNLILAGPASSVASALRAEGQRFPRCPRPHQLGHKWLRTVAEDEKD >Et_10A_001225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22307770:22308794:1 gene:Et_10A_001225 transcript:Et_10A_001225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIRRGNFTPHEEGIIVHLQSLLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKQQAIGAILAPPSSSDLSTSVVPAITGAGHVNHHHNMMTNPLSKDNYASSACSNNPADVTQLIAGRSPFAAPGSLDADSSSSSSYASSMDNISKLLNGFMKSSSPQKDIKPPATEVNLLLSFEHLSAGGALPDFSHVLPPQPVVMEQGSHNESTKQEHAQPPLSSIEKWLLDEAAEQVVDLMDLSDGCCSVPMLF >Et_3B_029737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27546365:27550534:1 gene:Et_3B_029737 transcript:Et_3B_029737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGDQRSTLDGITVDGGRVSPAGMGRPPPGPGFARGLMKQPSRLASGVRQFASRVSMKMTRMQSSAQIGLRGLRFLDKTSGGKEGWKAVERRFEEMNKGGRLPKESFGKCIGMADSKEFAGELFVALARRRNLEPEDGIDKEQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGMLTEDEVKEVIILSASANKLAKLKGHAATYASLIMEELDPDDRGYIEIWQLETLLRGMVSAQAPTEKLKRTTSSLARTMIPSRYRNPLKRHVSRTVDFIHENWKRIWLVTLWLAVNVGLFVYKFEQYKRRASFQVMGYCVCIAKGAAETLKLNMALILLPVCRNTLTTLRSTAIGKVVPFDDNINFHKVIALSIAIATSIHTLAHVTCDFPRLISCPSDKFMATLGPNFHYKQPTYGDLLASAPGVTGILMIIIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVLAYVLLVVHSYFIFLTREWYKKTTWMYLIVPVLFYACERTIRKVRENNYRVSIIKAAIYPGNVLSLHMKKPPGFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTTELRNLFGKACEAQVTSKKATLTRLETTVVADAQTEDTRFPRVLIDGPYGAPAQNYKKYDILLLVGLGIGATPFISILKDLLNNLKSNEEVESIHGSEIGSFKNNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDRSNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGLDIVSGSRIRTHFARPNWRKVFSDLANAHKNSRIGVFYCGSPTLTKQLKDLSKEFSQTTTTRFHFHKENF >Et_2B_020684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22771659:22778084:1 gene:Et_2B_020684 transcript:Et_2B_020684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFQEQRNQISCYILSTSHHLVKIISNEIDYHSLVEEEAEYGRRHGRIGGDLPLHHGPHDVLNAGAASVVESRDAPRRGGEPNGEGHGVGVLVLDEEVLERCLPGGEGVAEVGSGATSKQNLSSGRRVQTRRQRRERMLVRATLLVSRWSRIRTRTSLESALRRSSPATSSMPEEGISAAGSTSSLTTPARPFFGAATDCTAEDYARLMATNLESSFHLAHPMLVVASVNISSIAGFVSYPALSAYSATKGAMNQLTCSLAAEWTQDNGRVNCVARAGRRPHGHHQQQRHQAGPGFTETEMPRRAHDARGGQATWRFRYSYRGSSQSNFMTKGWSRFVRDKRLAAGDTVSFCRDGARLFLDCRRRVKRPSIGRAGARRATTTSPC >Et_7A_050392.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:12506400:12506408:-1 gene:Et_7A_050392 transcript:Et_7A_050392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MV >Et_7B_054424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21219176:21225550:1 gene:Et_7B_054424 transcript:Et_7B_054424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVSAPFATATGRAAQKTPVSFLLSRRQPPASNRGGGRAATIRCAVAGGNGLFTQTKPEVRRVVPPEQRGLPRVKVVYVVLEAQYQSSVTAAVQQLNADPRRAASFEVVGYLVEELRDADTYATFCADLADANVFIGSLIFVEELALKVKAAVEKERDRMDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRKKNDGNFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMIAGSYVPALKAAGGIKYDDPVLYLDAGIWHPLAPTMYDDVKEYLNWYDTRRDADPRLKDPSAPVIGLVLQRSHIVTGDDGHYVAVIMELEARGAKVIPIFAGGLDFSGPTQRYLVDPVTGKPMVNAVVSLTGFALVGGPARQDHPRAIAALQKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRTGKSHALHKRVEQLCTRAIRWAELKRKTKEEKKLAITVFSFPPDKGNVGTAAYLNVFSSIYSVLKDLKNDGYNVEGLPDTPEALIEEVIHDKEAQFNSPNLNVAYRMNVREYQQLTSYASLLEENWGKPPGHLNSDGENLLVYGKQYGNIFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYTFVEKIFQADAVLHFGTHGSLEFMPGKQVGMSDTCFPDSLIGNIPNIYYYAANNPSEATVAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDSGRGPQIVSSIISTAKQCNLDKDVPLPEEGEELAPKEQDLIVGKVYAKIMEIESRLLPCGLHVIGEPPTAIEAVATLVNIAALDRPEDGITSLPGILAETVGRNIEDVYRGSDKGILADVELLRQITEASRGAITAFVEKTTNSKGQVVDVTNKLSTILGFGISEPWVQYLSKTKFLRADREKLRVLFGFLGECLKLVVADNELGSLKLALEGSYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTTAAMKSAKIVVDRLLERQKADNGGKYPETVALVLWGTDNIKTYGESLAQVLWMIGVRPIADTFGRVNRVEPVSLEELGRPRVDVVVNCSGVFRDLFINQMNLLDRAIKMVAELDEPVEMNYVRKHAQEQAAELGVSVREAATRVFSNASGSYSSNVNLAVENATWTDEKQLQDMYLSRKSFAFDSDAPGAGMKEKRKAFELALATADATFQNLDSSEISLTDVSHYFDSDPTKLVQGLRKDGRAPASYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMMKSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANNTFIEDEAMRKRLMETNPNSFRKLVQTFLEASGRGYWETSEENLERLRELYSEVEDKIEGIDREEDHPKCYLTLHVDHTQEEPSACRGTITC >Et_1B_011825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26288237:26293213:-1 gene:Et_1B_011825 transcript:Et_1B_011825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSAAAAARRRSGQIRRLLSSSAPVAKGTPTTILGPCIVNKRGNDILNDPWYNKDTAFPLTERDRLGLRGLLPPRVMCFEQQYDRFINSYRSLENNTRGEPESVIALAKWRILNRLHDRNETLYYRVLIDNIRDFAPIIYTPTVGLVCENYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAKKVDMIVVTDGSRILGLGDLGVQGIGIPIGKLDVYVAAAGINPQRVLPVMLDVGTNNQKLLEDKLYLGLRQPRLEGDEYLEIIDEFMEAVRARWPKAVVQFEDFQMKWAFETLQRYRNQFCMFNDDVQGTAGVALAGLLSAVRAQGRPLEDFNKQKIVVAGAGSAGIGVLNMAKQEMLRMPGSSEEQNQFWVLDKDGLITKGRKDLDPAVARFARGHGSNEIEDLHEGASLVEVVKKVKPHVLLGLSGVGGIFNEEVLKAMKESDSPRPAIFAMSNPTTKAECTPEAVFKYVGENAIFASGSPFSNVTLENGKTGYVNQANNMYLFPGIGLGALLSGARNISDGMLQQAAECLAHYMGDDEINKGIIFPEVSSIRSITARVGAAVVRAAVAEDLAEGTSAVGAKELKSMTEEETVEYVARNMWYPVYSPLVSDK >Et_7A_051133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14867465:14868770:1 gene:Et_7A_051133 transcript:Et_7A_051133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTIPVVSKFFCSGAPTKLMIRRRPIVVNGGGFVVNDLSHNVVFVVDGCGILGSKSELMVKDGEGEPVLFISRKMGRKDLTGSKDFYHVEVQAGYDQAFIIGVMAILDNINGESTRC >Et_1A_006605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25170651:25175821:1 gene:Et_1A_006605 transcript:Et_1A_006605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAPSAAAGGAAWRQLLLLLTVLPLTLAALAFVLQWRGGGVDDPTARWPSHAFPGMAEPTPPSHPFSSCTDVLVGSSAPSFPYFRGWSFPSDSGEGLNPKICVQTSTSAGLEQILPWLFYHKVIGVSQFLLFVEGTAAKPHVAGVLESIPGVKVVYRTKELEEQQARSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWIIHLDTDELLYPGGAAEYSVRRLLDEVPRDVDMVIFPNYESSVERDNIKDPFSEVSMFKKNYDHLPKDTYFGMYKEATRGNPNYFLTYGNGKSAARIQDHLRPNGAHRWHNYAKSPNEIKLEEAAVLHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFMLDFDRAAIVQGLRESGVFTSVIAAGQPVVSDKLSPKKTIAQRQNGTRPGDVPIKLIRANSEASARKILQAVEPTIWDTDVTAVPPLSPPSFGDRHRHTQ >Et_3B_030536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4867732:4868678:1 gene:Et_3B_030536 transcript:Et_3B_030536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVRKVAVPETDEENEGGEDPKTLSVRLEADTLDCPLCFAPFTASVFQCKNGHAACESCCASVQGKCPSCRKPIGDIRCRPLEKLIAGMLVPCAFRTQGCKEAIKYAEKLTHEAVFCQHAPCACPFPGCAYSGLLLHEHIWGAHAAGGGENAAVSFVREATGTLHRSMLFRVLLHPPDSRVFLLLNSGEIQSGRSLSLLYIGPRPTGDQALEYTIEVRAGSKPGALSLSASGTVACTRRWPGPGGSCSSRMPTGALPATYRSRFVCGSRPLSRSLERRLPK >Et_3A_027375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9205318:9210095:-1 gene:Et_3A_027375 transcript:Et_3A_027375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFDGRAADPGSYRDRRSEGAFGGGTRAFAPPSKEDSIAASELNGLPRFEKNFYVESPAVAGMTEDEVVAYRRRREITVEGRDVPKPVRDFRDVGFPEYVLQEITKAGFVEPTPIQSQGWPMALRGRDLIGIAETGSGKTLAYLLPSIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEATKFGASSKIKSTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMIESHHTNLRRVTYLVLDEADRMLDMGFEPQMKKIVSQIRPDRQTLLWSATWPKEVEQLARNFLFDPYKVIIGSEDLKANHAIVQHVEILSESQKYNKLVNLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYSFFTAANVRFAKELINILEEAGQKHK >Et_8A_057291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23199014:23202167:-1 gene:Et_8A_057291 transcript:Et_8A_057291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVERRGDESRLPSMGGDHHHNDMAARGAGGDDRQAAHDMVMPGFRFHPTEEELIDFYLRRRVEGKRFNIELINLVDLYRYDPWDLPALASIGDKEWFFYVPRDRKYRNGDRPNRVTPSGYWKATGADRMVRVEGNRSIGLKKTLVFYVGKAPKGLRSSWIMNEYRLPHGEADRYQKEISLCRVYKRPGIEDNFHLTGTTTRSSGSKAAATMDKKHRTSASPRLAPMFDGGHSSGLMSKPYNGTSNGGLTSSAAAPAATMALHTSMFRSTGSVASLSSTTSTEEDGTSLYHLKGTNQMLPSSTHALLNANSTTMATIPIDELSRAIGSYNNNQGNPNQPLPSQGPLHPFPSMEKIWDWNPLLESPKVCTNFKVFDIEKTIERGRNEDSSS >Et_4A_035587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30182278:30182637:-1 gene:Et_4A_035587 transcript:Et_4A_035587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDQVRADPSRQGSGHGLALAISPPAGPDPELNYVLLPVHPYYADCNPVMDGRAHQFAYRSVCVTNDGAPAIKFVDVAPWNASWTLSGGRWIWVEDGRYAL >Et_9A_062064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19454427:19458923:-1 gene:Et_9A_062064 transcript:Et_9A_062064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRAKSERMSPPAPSPPLPNRRDEAIQELRRVTELAANLRLQLEFIPELDRYEAAAANVSEISEAMESSLSILQSESEHSLLTGRWVAVASPAAHSSDGSAVSRYGAVSRARKVRHRRGRLGEELPIREILTEAPENDRFHWRKYGEKKILNAEYPRLYYKCGYSDDHKCPAKKYVQQHNNGDPTLFMVTLINEHTCETLFRDEPSSSSGSSRVFDFTKASICPPLMSATLGLKKEEEASTSESMHSYKYDLSPSMSPNGDQADFLPGTRSSWHSSKTVSLVVVTQSSAPTRQMIENGYFEMQQRVG >Et_8B_059983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4783722:4787211:1 gene:Et_8B_059983 transcript:Et_8B_059983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALMVSACSGAMGSLLAKLATLIEDFKLAKGAKKEIGSLRDEMSSINAFLTKLSSMEEPMDVQYKELRSKVRELAYDMEDCVDIFMHSCGGRANKSRVLHGLKTLRARYKIATLIRDLKARVVTIGNWHELIARLPVHIDPRRQVLYAGAASLQGIDGPNGPYAVRVDPRIQALYADAASLQGIDAPKEKLVELLKEDGSHQLKLVSIVGFGGIGKTTLAKQVYTTIQGQYDCAAFVSVSRNPDLAKIFSDIIKQVGWFHSSQDDESQLIEELRGHLQDKRYLIVIDDIWTIEVWNIIKCCFLENNRGSRVIATTRIEDTAKACSSSNDYVYRIKPLSVVDSRRLFHRRIFHSEDACPEQLKGISDEILRKCGGSPLAILTIGSILASHQEVGSTEIWEKIIYYFRFQLETSPSLEWMRHVFNLGYNDLPLDLKTCMLYLGIFPEDCKIHRDDLLRRWVAEGFVTEKHGYCQEEIAEIYINELINRNMVQIAELDDRGEVLSCRVHDLMLDFIILKSTEENFITIINDEHSTKDPLEVRRLSLQVRNSERNNVIGNMVLKQARSFNLWGHAQWLPSLSKFRLLRVLHLDIYGSKDRKYHMSSVRSLFKLRYLRTRGISFMNLLPHLRNLEELQTLEVVTKEDYVTLDARMLPSTLSHLIIPVCVELVGRIGRMRGLRTLCELPITLVEAKKIAELGKLTNLRELMLFRGVSVDGDTCDVLLSSLCRLCSLRSLIIRTFFPLDEDVLARWLPPPRHLRRLHALGCPFSTVPADWITQLSNLCSLEIEFLSLSSDGADVLCRLTSLVHLRLHVEKHQEGIVVVRSAAFPNLREFWFRCPSPCLTFEAGTMPRIRSLTMECYAEGARQADSVLDGIEHLGSLEAFEVLIYVREDFLLKGFCSSLPEKLHMEHRKWDGKSLEDALKETISKNPRSFRVVIKTV >Et_4B_037533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20915484:20917818:-1 gene:Et_4B_037533 transcript:Et_4B_037533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQFNHVARETSDVARLAGFYEAVLGFERVPSPTYSGFQVAWLRLPKSPDVLLHLIERDPTAAPEAVGPGAAGAPPSQLPRRHHLAFSVADYDGFVTGLKARGTELFEKTQPDGRTRQVFFFDPDGTRCLPPRYNCSPISAFRRLQLMAMLTALLSIKACQSVDCGHQSNGLEVTSSSGTEDK >Et_9A_063479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3688231:3693765:1 gene:Et_9A_063479 transcript:Et_9A_063479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVDEVFLGVGDKPGLDIWCIVGGILVPVSKPMHGKFYTGNSYLLLSTDELKTGVRRHHVHYWVGEDASEEDCLVASDKAVELDAALGSHTVQYRETQGEESDKFLSYFKPCVIPEKGSFSSHLKQLGGSNGTTMFRCEGEHIARVTQVPFSRSSLDHKSVFIVDTPSKIFHFSGCNSSIQTRAKALDVVKNLKENRHAGRCEIATIEDGKLVGDSDAGEFWNLFGGYAPIPRDMPEAVMEEPMAMSFKFFWINKRNVVPMEAHLLAREMLNSDRSYILDCGTEIFLWMGRTTLVSERKSSVTALEEYVQSQGRSLNVRTIILTEGNETIEFKLHFQLWPKNVELKLYEAGREKVAAIFKHQGYDVTEIPEDKPQKLITYDGSLKVWLVDRGYVTLLRTEEQEQLYTGDCYIVQYSYVEDRKDYHLFLAWSGKNSVKEDNVAAASLMSSMADSTKGHSVVAKVFEGREPKLFFSIFKSLIIFKPLKQSLLVREGSEPDIFWKALGGRSEYSKEKRIKGWPADPHLFSCRIEHGLLKVKEVFSFCQDDLATEETLILDCREEIYVWVGLHSDFTSKEQALDIGEMFLRDNIFLDGRPIETTVYVVTEGDEPAFFTRFFSWDNTGQKHAEAIVEATCRSVSGTNYAGAPATRGEEGVRLSLCRGKVCQGAFAGFRAPTITTSSVPITQKPLLVGDVYADHGGAAALPRLAARIGGRALCGHSSAAVNVACVNV >Et_6A_046045.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20344064:20344225:1 gene:Et_6A_046045 transcript:Et_6A_046045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPERDCLLSGQQSYTFSRLLYDDLTSFLGSARSSTLRPIQSKSKKRCFLEKV >Et_1A_005618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12064428:12068350:1 gene:Et_1A_005618 transcript:Et_1A_005618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCQWILFMCAVLLGVANGLASPSPAPGFHFRPAKNWMNGPMYYKGLYHLFYQYNPKSTEWGDIVWAHSVSRDLVSWRALEPAIKPSKEFDVKGCWSGSATVLPSGVPVLLYSGIDAHNNQVQNIAYPANASDHLLRNWYKPNYNPVITAGHGVNASAFRDPTTAWLGPDKLWRVVVGSRDGSEGLAVLYRSRDLKKWFKARHMLHSGSTGMWECPDFYPVAVAGGGRDYRRGVDVAELQDRVVAKEVKYVLKRYDYYTVGSYDHATDKYVPSRGYADTDLGLRYDYGDFYASKSFYDPVKRRRIAIPRKICLSPTGDQLIQWPVEELEALRHNHVNLTSRVVKGGHHFEVTGFKSVQSDVEVVFELTDMAAAERFDPAWRRDAQALCARLGADVKGGLGPFGLYTLASRDLGERTAVFFRVFKAQNSNHVVVMCHDATRSSYNHTYRAFVNMGIDHSVVESFGAGGRACILSRVYPSRAVGDEAGLYVFNNGETDVNVVKLNAWEVRSPKINVP >Et_1A_005304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39142328:39142813:-1 gene:Et_1A_005304 transcript:Et_1A_005304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLSRLNPYAPPFVPASMSSSAESVNERKDSEQQAGDTVESIDIADRSIDEYDLPDSLSLDFYAESPEKHNIPSDSSSEYVGSDSYIHLPDVVGHLSSMFQNVSADFIIDALKLQEFDVDLTIDMLSHLVCELSFLFFAYIASVLQSH >Et_10B_004444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7349899:7351040:-1 gene:Et_10B_004444 transcript:Et_10B_004444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAHSIPDEVLELILLRLASPLHLIRAASTSKRLRRIVRDTNFLRRFASLNRPRLVAGSYYDSSIKVNRPRPVFIPSPSAAVDGSCFSLDFLWSDDICSSEPLDWTISDSHGSLLLLTFNNNENNYCSSSWCLRAVVCEPSTRRYTIVRSECWDRNPGPFFLDAGGGISLSSFRLVCVLRERYCHHRVVAGKQYIAWQTCMFFMGHTKASLYWHVGDRTVAAMDRRTAEFRSSRLPCTEKDYWTGQMVVARRDGEARIVVDGPGGALTFFADEQGWIMATVTDTALVVVDLKDGVNETLAYRFGHRHHGGGAGVRVRLWRFRLPVRAAVAASLPCRLHPKVGIPRHRDDSEINIPVEFVFLSEARV >Et_3A_024429.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20736062:20736696:1 gene:Et_3A_024429 transcript:Et_3A_024429.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTVLMLVSGRSTLYVYYKNKGYLLEHGFDVLSSIKSSPLCFQAEVIACLQGMKMAIDLGISHLILETDAFVGVAKQALTSSAYDMSSSGFLLAELKHLARSNFHSFSCVFTPSECKEWDVLVTRMKLLSYPSRSVFCDLVNDDLSADE >Et_3A_024110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17514941:17515814:1 gene:Et_3A_024110 transcript:Et_3A_024110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWTVVPPYQKDKLHDKHITFSHSRKGDAYLTLCFELRHSDWYNIENSKCVKPFRCGEFAECIENAKVRGRLKNIAYKEGKTHQSNY >Et_4B_038012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25439425:25446937:-1 gene:Et_4B_038012 transcript:Et_4B_038012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASPLATAFRPVVAAGGGGGGILGGGAGGGVAAGKGRARRHPRVAARSVASDRDVQGPVSPEEGFPSVLNSIDSSAIASNIKHHAEFTPLFSPEHSSPLKAYHATAKSVLDALLINWNATNDYYNKMNVKQAYYLSMEFLQGRALTNAIGNLELTGKYAEALKQLGQNLEDVASQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQIITKDGQEEVAEDWLEMGYPWEIVRNDVSYPVKFYGKVVEGTDGRKHWVEGENIKAVAHDVPIPGYKTRVTNNLRLWSTTVPSKDFDLGAFNAGDHVKANEAHLNAEKICHILYPGDESQEGKILRLKQQYTLCSASLQDIIARFESRAGDSVKWEDFPSKVAVQMNDTHPTLCIPELMRILIDIKGLSWNEAWSITERTVAYTNHTVLPEALEKWSLDIMQKLLPRHVEIIETIDEELINTIISKYGTLDTSLLKKKLQEMRILDNVDLPASVAKLFVKPKEKKESPSKLKQKLLVKSLDTVDEVEEETELEEEVEAEVLSEITEEKVKSADVEAEEEEDSEDELDPFVKSNPELPRVVRMANLCVVGGHSVNGVAEIHSEIVKQEVFNSFYEMWPTKFQNKTNGVTPRRWIRFCNPELSSIISKWIGSDDWILNTDKLAELKKFADDKDLQSEWRAAKRANKMKVVSLIREKTGYIVSPDAMFDVQVKRIHEYKRQLLNILGIVYRYKKMKEMSAKERTKNFVPRVCIFGGKAFATYIQAKRIVKFITDVAATVNHDPDIGDLLKVVFVPDYNVSVAETLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEENFFLFGAEAHEIAGLRKERAEGKFVPDPRFEEVKEFVRSGVFGTYNYDELMGSLEGNEGYGRADYFLLWTRMSILNTASSAKFSSDRTIHEYAKDIWDIGPVVLP >Et_9A_063415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23683488:23697717:1 gene:Et_9A_063415 transcript:Et_9A_063415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAIAILLLGLQLASPAGAAMDPAERETLLRVMESVSSDRDWRSAAGADPCGSPWPGLECKPSASAPGRMHVARLDFGVPPNPTCKDAASFPAGAFSLPELRGLFLVDCFKNPSDGGATTPFSLPPASNLSSSRLQQLAVRGNPSLSGTLPPQLAALRSLQVLTVSQNALVRGEKLDLSSNNLTGAVPSSITRLKSLTFLAMSNNGLRGRLPAGLSGLRDLQYLIMENNPMGAVPLPPELGAIARLQEIRLANSGYSGSIPDTLAQLASLTTLSLENNNLTGKIPAGLTRLKRMYHLNLSKNGLDGVVPFDGAFLRQLGKNLDLSGNPGLCVTDRAVVQDVGVGVCSDAACETSAAADSSVVGRPLFRRDVTRGFWLPASRLLLKSPAVLLCYSLLL >Et_1A_008805.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:1486833:1487162:-1 gene:Et_1A_008805 transcript:Et_1A_008805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSTPERAAAARASRSAAAPKLTCLCSPTNHPGSFRCSRHRNSPRARSAPAAAGGEAAAPRGRAAKGRSVRALLLQKISPSDRDRQRRSRGDFQPRPSRLRLMNK >Et_1A_006964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29625674:29630173:1 gene:Et_1A_006964 transcript:Et_1A_006964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYARNVHADGDGGVVGATTITVSATGGAEDAAAGVAGGRGGGGSGGRRSGRPSPAGTPRAGRAGATPARSSAAGSPWSGSPLPEGIAPSPATSATTPRRFFRRPFPPPSPAKHIKASLARRLGQREAERELDKSFGYDRNFAVKYELGKEVGRGHFGHTCLARARKGDMRGQTLAVKVISKAKMTTAISIEDVRREVKILKALSLSGHANLVKFYDACEDALNVYIIMELCEGGELLDRILSRGGRYQEADAKLIVEQILSVVAFCHLQGVVHRDLKPENFLFSTKDEHSPMKIIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSTEADMWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWQSASPEAKDFVKRLLNKDYRKRMTAAQALSHPWLRDEQRQIPLDMLVFKLVKAYLRSTPLKRAALKALSRAITEDELIYIRAQYNLLEPSSRDGRISIDNFRMALLQKSTDAMKESRTLEILNALEPLAYRRMDFEEFRAATISPYQLEALGRWEEIASTAFEYFEQEGNRAITIEELAQEMNLSSSAYSIVRDWIRPSDGRLSFLGYTKFLHGLTMRSSNARRHH >Et_2A_017536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35206299:35210215:-1 gene:Et_2A_017536 transcript:Et_2A_017536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSFKRTDSIAESMPDALRQSRYQMKRCFQRYVSKGRRLLKNQQLIEELEKSLDDKVEKEKLVEGFLGYIICSTQEAVVLPPYVAFAVRMNPGIWEYVKVHSEDLSVEGITPSEYLKFKETLYDERWAKDDSSLEVDFGALDLSTPHLTLPSSIGNGLQFVSKFLSSKLSDKPESMKPLLDYLLTLNYRGEKLMINDTIDTVNKLQTALLLAEVFVSGLPRYTPFLKFEQRFQEWGLEKGWGDTAERCKETLNCLSEVLQAPDPINMEKFFSRVPSIFNIVVFSIHGYFGQEKVLGMPDTGGQVVYILDQVRALEEEMLQRIKQQGLNVTPKILVLTRLIPDAKGTKCNVELEPVDNTKHCSILRVPFKTEDGKDLRQWVSRFDIYPYLERYAQDASAKILDILEGKPDLIIGNYTDGNLVASLMASKLGVTQGTIAHALEKTKYEDSDVKWRELDKKYHFSCQFTADMIAMNTTDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRFATGINVFDPKFNIAAPGADQSMYFPFTQKQKRLTDLHPQIEELLYSKQDTDEHIGYLADRNKPIIFSMARLDKVKNITGLVEWYGQNKKLRGLVNLVVVAGLLDASQSKDREEVEEINKMHNLIDKYQLKGQIRWIRAQTDRVRNGELYRCIADSKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHINPMNGREASDKLADFFEKCKEDPSYWNKVSTAGLQRIYECYTWKIYATKVLNMGSTYGFWKTLNKEERVAKQRYLQMFYNLQYRNLAKTVPRVGEHAPQTATSAGPSAIAL >Et_10A_000512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11953418:11954864:-1 gene:Et_10A_000512 transcript:Et_10A_000512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLRSAAAVAVVAAIVLAFPAAAQAADDSEAPAYRNHTVGGAAGWFFNTKTNASSGNYSSWANGETFYLGDYLIFKTNANSSVVHTTNATSYELCDAREDDSPETFIYGGGGGGGGGAEEGDTVAVPLTKEGANYFFSEADDGAQCQKGMRFEIKVAHGQGLPPSMAHQSPPPKGRVLAPPPDGTAFSGTGSVEPGDGAGDNGGAGTGGAPRAAGGGFLGVVALGVASAVLVSL >Et_3A_026093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4174407:4175318:-1 gene:Et_3A_026093 transcript:Et_3A_026093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFCGISADAKSKAKRGSRVYLTWTAEKTHHNNGAHAQNGWKRHVYNAAIKHVFEKCSVIITKDNISSRCKTFDKHYDEVISKILSQSGFGWDWDNNKLQIDSEEVWTKYVEANKAPTCYKTKVVRNWDAISTIYSKDHATGEGAMTGAESADELAVEGNEPSPDFPQKRQRTGEAILCMLGDMKTSFHDAMKSSEPLQLPRVTPPAEILAALDMIPDLARSDKLRSYGKLILSERLFEALMELPMELRKEWLLMLE >Et_1B_012014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27984970:27989833:1 gene:Et_1B_012014 transcript:Et_1B_012014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRPSSNVLPRRSSPSPADDRDLLTSLPEEMVAHILERLPVRDAVRTSALATPWRRRWASCPGLRFAFSGGDRPAAVDAVLAAYACIFCELFLVIPIGWAARWVGAIAAKGVNSLTLSFVVNSRFKSVLPSQLFSCTTITKLDLRGCYMPPLPTFFQGFPKLADLCLQGIAFSKHGMKALEKLISTSPLLTLAYDGILLRQPSYFVNLTELSIFAMFNQSAQILSVVYMIVSAPKLENLHITIIHETYEDEVEVDMTFLDVKKIGLLSKLKFCKFDGVIGHSSEMQFLEFLISKAKLLQKVQVSLHDESFTDTEVLSTEILNFEKASSHAEIVVNSPQEEEPSEFLNSPSTKLQGRSRNKS >Et_7A_051275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16384591:16389262:1 gene:Et_7A_051275 transcript:Et_7A_051275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTEAGLVDDLRDFLGDFALRAKRLAASVLQPFGPSSEPSSIDDGELEKLKSKLKRIRATLRDAEDRVVADESSKLWLRELRDVEHAAEDVLEELEFEALRAARLEPFKAQLLRSRAVKGKQKREVSSMYSSAPNRLNRKVAKIMERYNEIARDRDALRLRRSDGERRHVESPVMPTTGSEKCRLHGREVDKRRVIDLLSSDEASCSNVYSVVPVVGPAGMWLWVCQEFDVLKLTRELAEEATRSSCSFAGMNQMQRVITDGLKDKRFLLVLDDVWDERRDFWLKLQVPLKHAAHGSKIIVTTRNRDSRIIDESLGSIGQSIAARYVMHDLYHELAEYVSSKEYSRIEKSALSNVEEDVRHLSLAPREGQCNEIVEFYPAHNQYLKESNIPPLRTLLVVQKDEPKDEGNTLSINFPRCVFNLLGSLRALDLSNTNMEHLPHSVGELIHLRYLSLENTNIKCLPESISALFKLHSMNLRCCNCLSEVPQGIKFLTNLRHLELPSMYNWNVCTPCGIGELTNLQTMQNIKVGNDSGSCRIDDLVSLNKLRGQLCISGIENVRNKQIIREASIKNKGELHKLTLQWSCIDSMFADEASSVLDSLQPHPDLKELTISGFNGVIFPLWLGNQYMFNLSVLELKDCQNCEELPSLGELPCLKHLLISSLTRIKHVRRMFYGYDKTSRDDCRSSTSRAFPKLETLKFMNMNSWEQWDEVEATDFPCVHHLTIVRCSKLRVLPKLQMLQNLRIKKLEVGNDVSFHAVQYEDVELVSGKLIWVEVGQPEEIKHICID >Et_6B_049564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6606599:6612416:1 gene:Et_6B_049564 transcript:Et_6B_049564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSIIIVPIYGIGGIGKTTLAKLIFNDIQFKDYSRVWISVSQIFNLNKIGNSIISQLSREKSNADERNRLVQLLIDTNKELMVVLDDLWEENDSQLNELKAMLRVIKSGKVVVIVTTRDERIARKICTVQPYKLLPLSHDVLGYNKTKKDDKEDLEQIGKDIAVKCGGVALAAQTLGYMLKSLNFGEWESVRNSDIRDASTLEDECSMHRNVLACLLLSYSSMLPALKLCFAYCVFFPKGHKIVKDDLIYKWIAHGFVMPSGMFSARQIGRNYAKQLLGMSFLQHSKASSVTRLHRDDVTLFSMHDLVHELVRLVMVGDVLDVSKSVSIGKSCRYAIRALRFLGYGGIELRGIAFSSAKYLRVLDLSECYIPKLPNCIGKLKQLRYLNAQRVQCKVMPKGMTKLTKLIYLNLRGSMITTLPKSTGQTKGLMHLDLSYCLKIVKLPESFVELKELCHCVKGTVKALGGLTKLQYLDLSRTLEGNFCQNCKRS >Et_2B_022640.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:28836634:28838193:1 gene:Et_2B_022640 transcript:Et_2B_022640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRCLAIALALSFSSCYLSTPSSATSSDYGFLQCLSEKIPSELIFTPDSSNFNNVLVSTIRNLKFRTNTTVKPICIVTVTDASHVQAAVRCGRMNGVRLRVRSGGHDYEGLSYRSERPEVFGVVDLAKLRAIAVRTEEGVPKAWVDSGATIGELYYTISKNNPEVAFPAGTCPTIGVGGHFRGGGMGMLLRKYGLSSDNVVDAKLVDANGDLLDRKAMGEDLFWAIRGGGGESFGIVVSWKVSLVKVPSRVTVFNIAKTLDQGTIDVLTKWQYVAPALPNDIMVRVMVQGQQAIFQSLFLGTCDELLPTMASRLPELNVTKADCSEMSWLESAALINFGNNDTTALLNRSSTGSSGFIKIKSDYVRRAIPRGAWKKIFSWFKMDGAGLVILEPHGGFMSTIPAGATPYPHRSGVLYNIQYITFWSGNDDGPKAKSWVSNFHDFMGQYVTKSPRETYLNYRDLDIGENVVVNDVSTFDSGKVWGEKYFGGNFQRLAAVKSAVDPTDYFRNEQSIPPCN >Et_5A_042486.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1556284:1557081:1 gene:Et_5A_042486 transcript:Et_5A_042486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAFWVYLYKVQRCPRSRGHDWKQCPYWHHGERARRRDPCTHHYLSEPCPYYLASYDYHKTHHTGRAPTCSRGPTCIYAHGIFEVWLHPDRFRTRMCDAGLKCKRKVCFFAHFSWQRRRPGEMVPFVDLRQLPSWALRAPPRRLLPPPPRLAPLPVVNLPAPPPPQRDIGNIMPQTRSSSSTSSSSSTSFGSSLSSSSPGVVVAATATTLPALGYPADDSAASMLPAVGYPADYEMDNGMSDDEDSELGAEFPYYDIIKDFVLG >Et_6A_046286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12011989:12014738:-1 gene:Et_6A_046286 transcript:Et_6A_046286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVQSTVVPTDAELLQAQADLWRHSLYYLNSMALKCAVELGIPTAIHRLGGEASVPDLITGMSLPQAKLPFLRRLMRLLAASGIFTFNNSAEMYRINALSYLLVEGITDDRHINHTSFVHTATSPRYIEVAMGLADWFKKDLLTHPFEDLHGVKLFHETMANHDADYHKMANEALAAHDNFGVDIGLREFRHIFEGIKSMTYCCGSDGDDPTPRAIVKAFPHIKCTVLANPKLVGTIPQADAVINYVAGDMLTFIPPSQVVVFKLVLHHLNDEDCVKILSQCKNAIPSSEDGGKVLIGDVVIESSPGPMRETHLLMDVAMMTMTEGRERDENEWRDIFMKAGFRDYKLLSKFGARGVLEELTRQRITDQAHIESHRPSTMAAQPQKMVVPTDAELLQAQADLWRHSLYYLTSMALKCAVELGIPTAIHNLGGVTSLPDLVAS >Et_3A_023995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15818698:15822432:-1 gene:Et_3A_023995 transcript:Et_3A_023995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGNPNPNAIPSQPRPPHMQQQQQQPGGSPATPLTHLRPGGLAGSPFQGLFHTPPSHNPAFQIHMGASASPQTPLMAAGAAASAKRPPQKPPARPPAPTSSMAAGAASAAAAYKAAAAAAAVANSGGVDLTPAARRNKKRKLPEKQLPDRVAALLPESALYTQLLEFESRVDAALARKKVDIQEALKTPPSLQRTLRIYVFNTFANQGPWAVPPPKNADPPTWSLKIIGRVLEDGAELDPASIVPKHNPVYPKFSHFFKRVAIALDPSLYPENPLIIWENARSAAPQEGFEVKRKGDKEFVANIRLEMNYTPEKFKLSQPLMEVLGVEADTRARVIAALWQYIKAKKLQNPSDPSYFMCDPQLKKVFGEDKLKFAMLSQKISQHLGAPPPINLEHKIKLSGNGAHGSACYDVLVDVPFPLQKEIMAFLANTEKHKDIEACDEIISASIKKIHEHRRRRAFFLGFSQSPVEFINAMIASQSKDLKLVAGEANRNVEKERRADFYNQTWVEDAVIRYLNRKPASEGPVTSCSVLTGTNRYEAPEVLLENAAHGGVPSSKACQGLKPLVLGGEVEVQHSRGKHGTATCCAWCTDNDGDLTLVLSNAIRVQDGRRGHEIVVTSLADGRVIRWAYV >Et_7B_054422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21085365:21097077:1 gene:Et_7B_054422 transcript:Et_7B_054422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQELPGNSHGTVLCGLCLLAPFWQQYRPAALLLLLQLTCNPTHHTTLQSSLTHHPPSSRADPDVPSPTPAGCSMASGHALPELDQGLARLVSSLDCDMAIQTGVMKQYGKINDTVKDLLAQKVKISQEKQEVERLQQEISNKNKELTLEIEKLLHANQELICENNRLSLKDEMLSHENKELTLELEKLKETLEGRESVKGKVHFISSNGVQTRSMRNRNVQERYGGSMTASEPKEETFTEVISNRIIAEDYERKRELTEIRKKLIEVFANMDHCRQNIRIKMMGQIDIKPFLDAAHREHPTHISKIEAAKNCSSWQLKIQNPLWHPYKNISKDGALEEVLNDNDETLKQLKECGETIYDAVIEALKDMNEYNMSGRSVVPELWNYREGRKATVVECINLLAKKIQSQRTKFSFLYNEWTR >Et_6B_049718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9405297:9406461:1 gene:Et_6B_049718 transcript:Et_6B_049718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CWWTEGHAKYDLRGDCTNISEVSGELKVVTKSVGKMAQAMEREAAVQEQAMNEDHQQKLKERAVNEARRLEFTRAEVIQAATVFVKMPDQMGMLFALPEALRREYIRKHKRNRERERERERGWSKIEHYVISVSGQNAPMG >Et_4A_034957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8121647:8125196:-1 gene:Et_4A_034957 transcript:Et_4A_034957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAGVQGNAEINPSFSAPNSSGTGSKNSSKNATDSSTFGTKTSGSSSSVPPTPRTETEILESSNVRKFSYSELKGSTRNFRPDSLLGEGGFGSVFKGWMDERTLSPVKPGTGMIVAVKKLKLDSFQGHREWLAEVNFLGQLTHPNLVKLIGYCLEDEQRLLVYEYMQRGSLEHHLFRRGSHFQPLSWNLRMKVALEAARGLAFLHGDQAKVIYRDFKTSNILLDSDYNAKLSDFGLAKDSPSGDKSHVSTRVMGTQGYAAPEYLATGHLTTKSDVYSYGVVLLELLSGQRALDKNRPPGQHNLVEWARPYINNKRRVIHIMDSRLNSQYSLPAAQKTAALALQCLSMDARCRPGMDQVVTVLEGLQVSKGALKTGKIAGHQNYKHDRLGTGYGAQYHDHDLCPHHGTLGNLDDHQRIRIGQRHTPDEPLHKQHQQQQGKGLLLSDDNHAAPQARHYLHNGSRWPADIAAGEPEIPCARIPLYRLLGELEVLIVSPGAGH >Et_1A_004539.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14139560:14139859:1 gene:Et_1A_004539 transcript:Et_1A_004539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSLIAFKIWILKAWVDPNRPIGDAHQAGQPILQERVVQLRCRAVDVATDGEEGDSEHLQGFRSRQWGKKFARAMMKMANIEIKTAANGEVRNCHIVN >Et_1B_013441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8388211:8391775:-1 gene:Et_1B_013441 transcript:Et_1B_013441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLSLSRLARRLPASLAGVAAVRTPAPVLLRHLHADGSPPPPQAPPPFVSRVLESDPSLTPSEEPQPSSDPALDEFLARFVAAFRPLLTAAFPDHDRPVLDEMLRLVADAVMCRLTGADPGPEAVDLSDELWAAVWEVSASVRDGMRRDQVRADLRHYLHCDEVKEMTRFAVDVGIRGAMLRELRFKWAREKLEEVEFYRGLDKMRAEAAAGPAPAPVPRLTSLPQRKGEVKFSMYGLDMSDPKWAEVAERTAEAEAHFVPAEAKAVEGKAKKAEERLLSVDPRKGDPVPAMEEWKEELRPKRVDWLALLERVKTRNVELYLKVAEALLAEESFDANIRDYSKLIDLHAKANHVDSAERILAKMKEKGIVPDILTFITLVHMYSKAGNLEKAKEAFEFIRKEGFKPDLKLFGSMINCYINHGEPVQAETLMKSMSNMNIKPTREMYTDLMRAFAQRGMSDAAHRIHSTMTFAGIQPTPELFTLRIESYGRTGDFDNACSVFEQMRKSGHEPDDSSISAVMAAYMKKNQFDRALDWLMKLEKEGIKPGLKTNLVLLDWLSMLQLVLEAEQLVQKIKKLGEEPIEVHVFLADMYAKSRHEEKARRSLKILEEKKKLLKADQFERVIKGLLDGGFSEEANKYYKMMKSCGFEPSETIEVGVKASFGVRGGLRPTGRHRG >Et_3A_024035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16441871:16456310:-1 gene:Et_3A_024035 transcript:Et_3A_024035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METGASSSSAAAAAAVSRRRDALARTLASRRLPAGVAAEPGEPVPGAVAPEVMPFIRAADEVEPRNQRVAFLCRKYAFKKVQRMDPSSIQRGVRQFKTYMSIKLDQDDTQVWGNDAKVIQQFYREYCASMNQVSERKNFEEMARYYEVASALYEVLRDVTGNEVDPQVKRIAEMIEQKGRHFKNYKYNIIPLNFPGSSEAIVELPEIRGAIDAISSIDGLPMPHMSSMHWERDKPVQDLLDWLSLAFGFQKSNVENQRENMVLLLANISTRTFGEEGHPLVHTVDELWRKIFGNYQSWCRYLRVNSNIMQDDTEQNKQQRKLLHIGLYLLIWGEASNVRFMPECLCCIFHHMAKQLHEMIDANYFQPPPGFEQEGSFLKNVIEPIFKVLQKEAQKSRGGTAGHSSWRNYDDLNEIFWSEKCFAKLKWPWDLTSDFFYQGRGTAMKPKTHFVEVRTFLHIYRSFNRMWMFFILAFQAMLIVSWSSSGSLSGITDGTVFSNVLIILDIFLTVQAWGSMEWTRIIRYLLKFVMAIAWTIILPLAYSSSIRYPSGAGKLLNSFIGNWHNQSVYNIAIVIYMIPDIFAALFFLLPQLQNVMERSNSRAVAFLMWWIQPRLYVGRGMHEDILSIFKYVFFWVVLLISKLAFSFYVEISPLIDPTKFILSQGVGNYEWHQIFPFLPRNLGVVITIWAPIVMVYFMDIQIWYAIFSTAFGGVSGALGHVGEIRTLGMLRARFKSMPDAFSKCNAAIQREVPAALHMAMNSKEGDEHELIERLKLDGDRYNAVIECYESLMIILDSLLLDSNDRKIVHDIDKKVSLSMRNKTFLEDFQMAEIGKKSEPINGSADRKVVNALQDFMEITTRDFMKDGQSILKDENERKQSFTNLNINMMKEEFWREQFARLHLLLTMKDSAMDVPRNLDARRRITFFANSLFMKMPKAPNVHDMISFSVLTPYYNEEVLYSAHELNKKNEDGISILFYLQKIYPDEWNNFLERIGVETDDGEAIKGYMDDIRLWASYRGQTLARTVRGMMYYRRALEQQCYEDLRNDQANLGGEESARSKAIADIKFTYVVSCQLYGVHKASKDSREKGLYENILNLMLTYPALRIAYIDEKEVPLPNGKIEKQYYSVLVKGHDEEIYRIRLPGKPTEVGEGKPNNQNHAIIFTRGEALQAIDMNQDNYLEEAFKMRNLLEEFLIKHGNVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRLFHITRGGISKASKVINLSEDIFAGFNSTLRGGNITHHEYIQLGKGRDVGMNQISNFEAKVANGNGEQTLCRDIYRLGHRFDFYRMLSLYFTTVGFYFNSMVAVLTVYVFLYGRLYLVLSGLEKSILQDPAIQNIKPFENALATQSVFQLGMLLVLPMMIEVGLEKGFGRALAEFVIMQLQLAPVFFTFHLGTKTHYYGRTILHGGAKYRATGRGFVVLHAKFAENYRMYSRSHFVKALELLILLVVYLAYGSSYRSSSLYLYVTISIWFLVFCWLFAPFVFNPSCFEWHKTVDDWNDWWKWMGNRGGIGLAPEQSWEAWWVSEYDHLKNATIRSLVLEFILSLRFLIYQYGIVYHLHIVHENKSFMIYALSWLVIVTALVSLKIVSLGREKFVTRFQLVFRVLKGIVFLVLIGLLVLLFVGFDLVISDVGASILAFIPTGWFILQIAQLCGPLFRRLIIEPLGILCCPCGTGGGCRGPCCAKFRQRTAAVLRKMGPWDSIQEMARMYEYTMGLLIFLPIGVLSWFPFISEFQTRLLFNQAFSRGLQISRILAGQNGSSSKRD >Et_10B_003812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6370278:6376856:-1 gene:Et_10B_003812 transcript:Et_10B_003812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVRVLAVFAKNGCLGFFVVQRWTGGGKGFEDSFELSKTEQGTGNADIELGLQGEITSAAQPGFEGFFEQVKEVESLLDTLTKLLKNLQNSNEESKVVTKAAAMKEVKKRMEKDVTEVTKVARLAKSKVEKLNKDNIANREKPGFGKGSGVDRSRTTTTVALTKRLRERILEFQALREEIQKEYRDVVERRVFTVTGERADEETIDRLVETGDGEQIFQRAIQEQGRGRVLDTLQEIQERHDIVKEIEKKLLDLQQVFLDLAVLVEAQGEMLDNIETQVTNANEHIQSGTTQLRKARWLQKNTRKWTCYGIILLLVIVLIVILALKPWNWNKK >Et_3A_025736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32294787:32296616:1 gene:Et_3A_025736 transcript:Et_3A_025736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYAPPGNYSHRRRAPTGGIGKMLRERILRAPDLYVGSMKKRTQKLWLCEGDRFTQRKVRYVPGLLKIFDDILDLVYAAYNKQREGILRVDVDATNCRISVYASGEGVPIEVHREEGEMIFGHLSNDDCNVEDEITGVKLANLFSTEFIVETADGPGQKKYKQLRFSENMGKKSEPQITGYRKGVNWTMVTFKPDLARFNMTHLEDDAVALMKQRVLDMVGILGETVLVVFNGQKMLPRRMGFSEYAFLYIWLSPKGLHLPWLVIVSDKHQLEFDIRKSKTPTSFTNASLRICEKVNDQWEVCVSLSGGQFQQVSFVNKFATTSGGTHVDYVTDLIVDNVLSSEVFKKFNIGKHDVKRHLWLFINVYMDNPTFDSPNEGDLDHPSGRLWVR >Et_9A_062469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23280534:23282337:-1 gene:Et_9A_062469 transcript:Et_9A_062469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGAAVPSRFSTICVFCGSNAGRRKVFGDAALELGNELVRRGINLVYGGGSIGLMGVIARTVLDGGCRVLGVIPKALMPIEISGASVGEVKVVADMHERKAEMARQSEAFIALPGGYGTMEELLEMITWCQLGIHDKPVGLLNVDGYYDPLIALFDKGATEGFINPDCMQIFVSAPTASELLTKMEQYTRLHQEVAPATSWEIAELGYGKDAPPADS >Et_1A_006510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23459998:23475334:1 gene:Et_1A_006510 transcript:Et_1A_006510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASSTPAPVLPPDDVILEILLRVPPEPVYLLRASLVCKKWRRFVRDPAFLRRYRARHRRAAPLLGFFYADGSFVPAGEQPDRVAAGHFSQLSGVGRWQILGSRHGRVLLATTDGSGPSGEHGDEMRVHVSYQKEQAEEMELLVWDPMTGRRIYFPPPRQLMYPTHNFPYFRSDRPEVEAPCVRAALICDHDGEGGEDCCHSRPFRVAILFQKHGFLFASVYSSQTGEWGALMPAYGEWCMRHHWKRNSVLVGNVIYWPEDRSGFMFGYDLNTKDRSDTMFGYDPDTSRIQGVRGFPDLMKYRYSNTLHHTDPYACFQLFKSGDGQIGIAVVKGSHLILFAPKADSKGIPEWSEYRDLDLDELLAPPMSSFQPPVKKRPVGFDEERNTIFLETTDGVIALHLESLKAKKVIDAGMVRPYRNVDYNTMIPYMSFFVPGTICLITRDGRRLILAGVGAAAGRRRPRDPPPRPAGALLRASLVCKKWRRVVRDPGFLREFRARHRHPAPLVAFFYPDGTFVPAGEPPDRVAAAHFSQLRGEGRRWRVFGSRHGRVLLSTSEAGASCLGLDDRRPELLAASPEPEVPQDRLPLPGRKSLESPCIRAALVCAAGSHGRHDGEGCRSRPFRVVLLFPSSGSMFAIVYSSETGAWGEVLSVNGRWSSIGHWEPSSVLVADEELYWPESHVEYHDSGSQYSPPRRVEIPDHSSQLFGYNFETNWLQHVRGLDISHEDPYDCLQVFKDGYGELGLAGDLDSHLFELVIEDDEDIAAWSEYEDLDLDALLPPSTPMALSPSAPVRKRPVGFDEDGNRIFLETENGVFALHFESLKVNKVLDAGVLLPYRDVEASTMIPYMSFFVPGGTYLSMAGVPAPPTAEDLTGGEIFLRRAPEDLVHEIFLRLPPHPACFHRVSLVCKHWRRLVCDPVFLGRFRARHRHTAPVIGLFGEDASFVPAGEPPDRVTVAPFCLRRENWRVLGCRHGRVLLGSAYRRSANGRSTYRPLQLAVWDPTGRRPLSMFTGPRHQQILNGRVALPIRGSLICGSGVHDHGSQGEDCCSRRYRVVLLFYGSKSNSMFAGICSSSEATWCQELVSLVIPPDLFDGAQPGVLVGNAMYWLSPNESKILEYGLDTNQLHIIESLPTDSHGPYDFYQIVNATDGFEWPDRLGVAAMRGSYLHLFASIIYSEGTTIWLHFRVIEIDTLQHLSRLVKALLISNEDRHTVFLETLNGVFALHLESMEVKKVLACDQALSTMIAYIAAPRPRRQRHFHQTTSSWRYSFVFLRTPPASSTSPSSASTGAASSGSIASSTALAHAIIMLRPCSASSTNKASSPPGTHRTALLLHISRNCKAAAGEPSAAAMAASFSGTPLPCKVRRSSSSGIP >Et_4A_032096.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:16946913:16947293:1 gene:Et_4A_032096 transcript:Et_4A_032096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDILSRLPVKSLQVRLAALAPALLRSPPPQEQQTAPDPVRLLLPEHQRRALPRAPLRQLLGSRSPACEPCFLLLAPRRAHQPRRLLRRPAPMPPLGGPSHRRVQARGVQPRRREMGRAARLRCG >Et_9A_062667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:348339:348684:1 gene:Et_9A_062667 transcript:Et_9A_062667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQSRLDRDCAAVALVVVFLLRLNYLRSIVGDVVDSSRVATPPPTTYIPRLHNASHVFGERFMAFLHECPTQFRRRNIIFGDSDDVSTLLNVRLRQAGAALPE >Et_4B_040019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7969819:7972371:1 gene:Et_4B_040019 transcript:Et_4B_040019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLLTALSMDTATPHHHPHQGPSTFLSMDTSAHDDLDFFLQPHGRPHRCLHAAAVAPPDINVPLAADPSPPPPALHDSNSGVDMLDVGLGGPQLYDSDSPAPAAGGAASASPPPPAAPTTMVAVSHTRGAGGSSAARKCVKRNDSIWGAWFFFTHYFRPVMASDKAAKAKAATATGNGSIATMDAFLVQHDMENMYMWAFKERPENALGKMQLRSFMNGHSRLGEPQFPFSVDKGFVRSHRMQRKHYRGLSNPQCLHGIEIVRAPNLAAVPEADLKRWMELTGRDANFSIPNEASDFELWRNLPSSDFELERPAAAPPVKNNSHGLHKKSLNGTGLNLSTQPSNHSSGDGMEITTVSNKRRKDSSPVAMEEDCSNSNSDKAHDMDTSHTFEPSWMNDFSGVMRHASGPVTAAKTIYEDSKGYLIIISLPFADIQRVKVSWKNTLSNGIVKVSGTSVGRMPFLKRHDRTFKLVDPSPEHCPPGEFVREICLPTRIPEDATLEAYCDETCTGLEIIVPKYRVGPEEHECLMFDTALCLTS >Et_4A_034803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6725119:6727698:1 gene:Et_4A_034803 transcript:Et_4A_034803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYVRNPVAVAEAESTAKLQKERVKAEKKKEKRSDRKALQQGETPKHKHSHKKRKHEDNGPAGQEPKKVSKESVEQLEKSGLSEELGAPCFVQTVRDSPESSQDSSKRRKVAPSPSQAKNGNILLRIKIKRDQDSPRGVPENPVPEESSIKRMGLVLPPSNKSNSVQQHDKVIVKSAPAAHQRRNVEPQALQKQASTQLPGKSTRRDDPQTAKVVQQIDPKLSARPPVGRVDLQPARVMGRVDHPQVAKMAQRDLPAKAASTKVLPRVDPQVPSNEIQRKCSPASTKVLHETSSTSIRHLELPQPSLVRNSKLEVPVNQLQRPIASVPKEEPSSSGRNAEAVQVKEAKQSKSDRKSRKAEKKEKKFKGLFVTWNPPSFEMEDSDIGGQDWLLGSTRNSDARMTNCRASDGSVPFQSMEQQPLLQPRATFLPDLHVYQLPYVVPF >Et_1A_008434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7651011:7656513:-1 gene:Et_1A_008434 transcript:Et_1A_008434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEPTSLLPVWGARTPTTRRPKTRRHSHSATAVAGAMEETHHHRTSSSSSSAGGRSFLSGFFAAALRRKPLGASASTAASGEGLVRQLGVFELVLLGIGASIGAGIFVVTGTVARDAGPGVTISFVLAGAACVLNALCYAELASRFPAVLIPFFKGNIPNWIGHGEEFFGGVVSINILAPILLIILTAILCRGVKESSTVNTFMTTLKIVIVIVVVFAGVFEVDVSNWSPFMPNGFKAVVTGATVVFFAYVGFDAVANSAEEAKRPQRDLPIGILGSLLACVILYVAVCLVITGMVPYTLLGEDAPLAEAFAAKGLKFVTVLISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSIFAKVHPTRHTPLHSQIWVGCVAAVMAGFFNVTMLSHILSVGTLTGYSVVSACVITLRWNDKATSRRSLGNMSIWQEGVLCLVIVALCGFVAGLCYRYNYSIAVIIVAFLIAVASSFTLQFRQVYVDPPGFSCPGVPMVPIISVFFNMLLFAQLHEEAWYRFVILSLIAVGLYAGYGQYNANPFSSDHTAVDYQRVASEDA >Et_2A_014866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19264252:19264614:-1 gene:Et_2A_014866 transcript:Et_2A_014866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPNCHVRANVSVAETEQNYGRIFHKCPRFSASGCQYYQWDDEIDAAIVPSVQPVLMQVQAPPQAPAMIQVPAGAPPQAPAMIQVPAPLQP >Et_4A_035922.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8557140:8558165:-1 gene:Et_4A_035922 transcript:Et_4A_035922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAASTCVHSFGEEEYIDLDLSSCGEFEFRVRRSSADELLCRSWTTAAAPHKAATKSGAKMQGVDGATAGGGGRRSAATVAPLQHSHSAGFSRPAATARAGEQGSRRRKAARTVHAKLQASRAFLRSLFARTSCSDKRCHGASVRARTRAASTPLFGESTNGGGKAKAPFSEIKNVYGGLSVSTSTSTGSAASAPTPTTLRSSIEQEKLMDEEELAAARQRKSFSGVIKWRHATTTAAASPALPQPQTKPLSSSSARRSSVAGCGVGVGVGPGLKRSNSARSESEGLIQGAIAYCKRSQQQLGLARKSVSDAAALRAGGPASWPSNPARSAPAYCYYY >Et_10A_002153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2573084:2577674:1 gene:Et_10A_002153 transcript:Et_10A_002153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPPPGATGAQYAHQFLNTALSQRGPSALPYAEDVKWLIRNHLVALAEAFPSLHPKAALFTHNDGRAAHLLQADGTIPIHHGGASYNLPAVIWLPEPYPRSPPLVFLSPTRDMVVKPNHPLVDRSGLVANAPYLRSWVFPSSNLVDLVRSLSHLFGLDPPLFTRNAAAPPPTNPTPPPRVLPTPTPTHSPSPSSYRLGGSFPASPQLAARPPPTEDPAEVFKRNAVAKLVDMAYADVAALRPTREAEVDSLFAVQAELRHRGDVVADGVRRMGEEKETLERRLQDVMMATDVLESWVMENNRRGDTHAGDDAIQPADVLSRQMIECTAADLALEDTVYALDKAVQEGSVPFDGYLRSVRALAREQFFHRALSAKVHSAQQQAKVASMAARAPQHLGGLMNERPPRRKYMGLVMILENSPASFIRSSARPMIFCGCTENKRACNYKSGKLPHKRSDGLHYLLTKLRPFFALIPKAKTAKIMRGVIDAGAKIPRTSEIQISLCNEMVEWTHAEKRTFLRH >Et_6A_047451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:647696:650779:-1 gene:Et_6A_047451 transcript:Et_6A_047451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILICAFLLQLLLLGSSRVAAQSQPLSPARMLDATLQDYAFRAFVRPRTGIVFNATLPANLTGIAASALRLRSGSLRRKGFASYSEFDIPSGVTVQPYVERVVLVYHNLGNWSHFYYPLPGYTYLAPVLGLLVYDAANLQAVGLQEQNIIVTVSPISVTFSNVREVPAEGPALRCVYFDLNGVPQFSDLNSSNVCSTYRQGHFSIVVNSSAVPPAPAPGPSGAIAPPIPPAGSHGKKGNSKAWKIAVSAVGGAIALGLLASILICLLRHKKHKKLEVMERNAEVGETLRMTHVGRTQAPVASGTRTQPMIENDYVAYVVLKGEALRLTLCLALCGDEVRSNQATMIVN >Et_7A_050880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1238762:1246183:1 gene:Et_7A_050880 transcript:Et_7A_050880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRFLSRILRQDQPSDSPPADSPPPTSQCGSNNGARSTVARKTKEVEHMLEDLEKEGVKIDDKIASIIDDEVARIIAEAVRKKSIRDGMNNLLHIIGCLALGFIFGTDWYIKFLIATNDKEIRDLEEKLAKRKRGPSDSPAADSPSLTSQGGSSNGARANVARKTKEAEHVLSNLEKEGVEINDKLASIINDDLARIRDKAGGSSNEAKANVGWKSKEEVERFLAKLEKEGVEIDGKIASIIDDGIARTKAEAVREIIKKGTKREAIKLLYLIGCVAIGFIMGAEWNERAFRAAIAKGRRALDEELTKTRPA >Et_7B_056012.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:9205263:9205598:-1 gene:Et_7B_056012 transcript:Et_7B_056012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDTNLASAVDDFQLPPNAAASSFKPLDADDVRAYLHKSVDFIYDYYRSVESLPVLPDVEPGYLLPLLQPEPPASSAPFDVAMKELREAIVPGMTHWASPNFFGFFPAT >Et_4B_039869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4677253:4678213:1 gene:Et_4B_039869 transcript:Et_4B_039869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGSGGAPSGAKLLQILNVRVVGSGERVVVLSHGFGTDQSAWSRVLPYLARGDHRVVLYDLVCAGSVNPEHFDFRRYNTLDSYVDDLLAILDALRVPRCAFVGHSVSAMIGILASIRRPELFAKLVLIGASPRFLNDHENDYHGGFELPEILQVFDAMKANYSAWATGYAPLAVGADVPAAVQEFSRTLFNMRPDISLHVCQTVFNTDLRGVLGMVRAPCVVVQTTRDVSVPASVANYLKAHLGGRTTVEFLQTEGHLPHLSAPGLLAQVLRRALARY >Et_8A_058003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11643544:11647209:1 gene:Et_8A_058003 transcript:Et_8A_058003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPGSPAKRRRSIDGVAQPDASSPKRPKHHDDGEIEDGEILDEVVAISMDVDADSAAHHGSDYRKGKSGGHATRSRESERERRSSRVARDRHGDRHDRRERHRHGERSRSRGGSDSRRESARGRDEREGRRGSGSSQPEDYERKRGTSKDVRDVGHKLSEETKGNPFKEEVKEGYEAKTEYPVAGKIEKAGTRKISIVLKPKLQQHQVMYVPSINNEKVRGGDKIAHLKDNVGAGTKHDSSEVSANKAKQSDRSAVTNPGGGSFHNPPAAIRKSGNQRKDHHDNWDDEEGYYTYRFGESLNGRYEITAAHGRGVFSTVVRAKDLQAGKDDPAQVAIKIVRNKDTMYRAGKQEISILGRLASADSKDKHHCVRFMSSFREKNHLCLVFESLSMNLREALKRRTGNLGFKLTTVRAYSKQLFIALKHLKNCKVLHCDIKLDNILLNDTKNFVKLCDFGSAMLAGMNEVTPYLVSRYYRAPEIILGLPYDHPLDMWSVGCCLYELCTGKVLFPGPSNNDMLRLHMELKGPFPKKMLRKGAFTKEHFNRDLNFEAIKEDPVTKKVTRRTDNILKGKRVNIHTLREEPGCWNVFTLP >Et_5B_043438.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10346288:10347979:-1 gene:Et_5B_043438 transcript:Et_5B_043438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEWYFYCLKDRKYPTGLRGNRATKAGYWKATSKDREIYDGASSEPVLLGMKKTLVFYKGRAPKGVKTNWVMHEYRLDNKERVPYSATSSNTKSKKPCSSSKVEEWVVCRVFDKSLGTRKEPESAPPYHTAMSGGGVDPRSMSFPMPTEFAVGVQDLTRNSNGLHPIMGNPSASFYSIDGMGSAVPPPLLPSLLPPLLPMASMGSIGLQMNIDYFGNPTAITKPGSFYQQVEAETTRDCGFIVEPDIRMMSMLLQDVGICPSQTDDAHISSVMNPGDVASSIMDMDNIWNYILENNDLSETGIPIPEADEQLAQNSPTSSHGPHA >Et_6B_050178.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:933075:934394:-1 gene:Et_6B_050178 transcript:Et_6B_050178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKSVGSLLVGERAKVGALKRLAQGSSKTAGRNSSGRITSFHRGGGAKRLHRNIDIKRGTSSVGVIDRVEYDPNRSSSIALVRWVQGVHFRRRSNKPDHVEGISSSVADSSSTTANVSASFSLAAPFSSAAQPKVASSLLLSSLANNNNNGDDATALTSPLPRIAVAGAKPTFFVAPPQGIISNGKQTFSLSEIHKWATDDALWAQRMKRQAALSWQNDLKKKKPSSLQSSLSSNSNEGPTPKAKAVDGVPVSYILASHQCTPGTTVMNCDPSKPSSKAQRGSSANQYDVIDLNSKVGNCVPLANVRIGTWVHDIECRPGQGGKMVRAAGTFAKVVQEPGAHCVLRLPSGAEKVVDSKCRATIGIVSNPSHAARKLTKAGHSRWLGRRPVVRGVAMNPVDHPHGGGEGRTKGGRPSVSPWGKPTKAGYRSPTVASRKA >Et_1A_007913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39444663:39447512:1 gene:Et_1A_007913 transcript:Et_1A_007913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISYCSISKPPITLKPSYKQAQNCILPTTNSGNGTGFMLTMASRNSRIRFQVRAVTGDPDTRSVFDSKFPNDYTELIVQAKEAAESALKDGTQLLEIEFPTAGLQSVPGDGEGGNEMTGSMFLIREFCDRFVPAEKTTRTRIFFPEANEVSFARQSAFEGCSLKLDYLTKPSLFEDFGFTTKVKMADRVRPEDKIFLVAYPYFNVNEMLVVEELYKEAVVGTDRNLIIFNGELDRIRNYPSFFYPKLAALSETFLPKLETVYYIHNFKGLKGGTLFRCYPGPWKVLRKASSGSYICLHQQEEMPSLKEVALEILPST >Et_4B_039718.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27637526:27638311:1 gene:Et_4B_039718 transcript:Et_4B_039718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATQASAATRHLLAAAWSPAKARSSQLSLPSSSRGPAPLRAAAAEEPAVEEAPKGFVPPQLDPNTPSPIFGGSTGGLLRKAQVEEFYVITWTSPKEQVFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKINYQFYRVFPNGEVQYLHPKDGVYPEKVNAGRQGVGQNYRSIGKNVSPIEVKFTGKNVFDI >Et_1A_009498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6702323:6705974:1 gene:Et_1A_009498 transcript:Et_1A_009498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPTPPSPSPRQIAALVLNHPSATLTAASERSLSASLLAAAPALPTPVANAVLKLLWHHAPRALLFFHALLRLPPRAHALSPSTVDLALDLAVRLRHPRQLTSNVLALFPRHGLAFTPRTFPILFERYAVSHRRPDHAVRLFLSLHRTHGVSQDLPLLNSLLDALAKSRHAGKAASLVRALERRFPPDAVTYNTLADGWCRVKDTSRALDVLKQMVESGIAPTMATYNIILKGFFRAGQLQHAWDFFLQMKKRGRKDENCKPDVVSYTTVVHGLGVAGQLDKARKVFDEMSEEGCTPSTATYNALIQVICKKGNVLDAMVVFDDMIGRGYVPNVVTYTVLIRGLCHIGKIDQAMKLLDRMKSEGCEPVVQTYNVLIRYSFEEGEIEKALDLFERMSKGEECLPNQDTYNIIISAMFVRKRAEDMAVAARMVMEMVDRGLQYIGRQVKASSVPGTYYCT >Et_3A_025628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31367318:31369099:-1 gene:Et_3A_025628 transcript:Et_3A_025628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLQEQCSLLRISSSHLTNPLNPRRNPLKNQLVPPNAAKNPDSATPKAAILARKAAPWREFPVPIAAAVASWPLPSLAAQGDAKVSLESIVVAIDDFNNRNPFFVAGVVFVWLVAIPLVQEYYFKKYKPVSAIDAFRKLRDEPEAQLLDIRRGKSVRFMAPPNLKIVDKSAVQVEFDEQDEQGFVREVLAKFPDPANTVVCVLDNFDGNSLKVAELLFKNGFKEAYAIKGGLRGPEGWQAVQENYFPPSVHVFPRKKNSENLAHTDVSAAGSEDQQEGTGEPVAPNSSSVVDASHGSEDGHENPNGSISAVKHATTRPLSPYPNV >Et_4A_034693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5457417:5461741:-1 gene:Et_4A_034693 transcript:Et_4A_034693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVPRARPPDRPTGARGERAMDASHPQVEAPAAGAWVTVEEWSGSSGSALSRTAVLTASASSLTSRRFGSRWGRIGGRLLGAFVPEGFPGSVTPDYVPFQVWDTLQGLSTYIRAMLSTQALLGAIGVGEQSATVIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADFMNDLGMLMDLLSPLFPSSLVVIMCLGSISRSFTGVASGATRAALTQHFALAKNAADISAKEGSQETLATMLGMGLGMLLAHITRGHALSVWASFLSLTMFHMYANYKAVQSLSLVTLNYERLSILLQYFMEHGEANYFLLDKEDVVHVFIHKKATSIDVLKSFIHALLLASSMQKGRSQHAEARKWMEEKYSIFVTKLQMEGFSTERLLSHSIAWRAHWLHGALDEKLK >Et_1A_006114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1849527:1852003:1 gene:Et_1A_006114 transcript:Et_1A_006114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSASQIICASFNQDNSLFSVGTKDGFMIFDARNGRLCYKKNLGGFNIVEMLFGTNLLAIVGTGEQPAMSPRRLCLFNTKTGASMKELNFKTSILAVRLSRKRLVVVLQHKTFIYDLNSITILEEIETVPNTKGLCAFAPNSEGCFLALPASTSKGSALVYKASEPELICQIDAHQSPLAAMVFSSKGMYLATASEKGTIVRVHLVSHATKSHSFRRGTYPSTIYSLSFSPSTDLPDVLAATSSSGSLHMFFLGAARNGRSQTNKLLSSVIPGSVTDALDPANHHVIHNVVPAEIKSCLAAHSVENSQNSSKLPALKTVIFIVTHDGYFREYIISTTKSNESSWTLEREFSLLDSGSSSFKQNEHQID >Et_10B_003751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5538902:5539330:-1 gene:Et_10B_003751 transcript:Et_10B_003751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPLVCLLHSILAITLLQAVSLTQYSTEGCNFRKFPKQPEANVPRTLTRKLMYHLRDLHVAYDGTVRNSYEQHIMQFSYDNANGMYCDRGPVDELGSIEYARWSKFSVSHT >Et_4B_037949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25010978:25013679:1 gene:Et_4B_037949 transcript:Et_4B_037949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARTGVYVDDYLEYSSTLAGDLQRILSTMRELDDRAHGIMGQTKEQIKYLLGVSSHGYDRPNMDDDDAATERMKKDVEASQDNALSLCTEKVLLARQAYDLIESHIKRLDEDLGQFAEDLKHEGKIPPDEPPVLPPIPVVSRDDKRRLGFSTPQASKKFREREWDRDRGMDFDLMPPPGSSKKAGTSMDVDQTIDPNEPTYCICHQISYGDMIACDNENCEGGEWFHYSCVGLTPETRFKGKWFCPTCRNLQ >Et_8A_056745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16216344:16219853:-1 gene:Et_8A_056745 transcript:Et_8A_056745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQQYDAATAARRAGREAAANHHRVERAGEARREASEMPATHHRAERTGEARRAAAEMPATHHRAERAGEARRPAAEMPATHHRTERAGEGRRAAAEMPATHHRTERAGEGRRAAAAEAEMPGRRTERKKSLESFLDAPGDTRDKHRGAVPVQRAGLEFKELSYSVIKKQKKDGVKVKKEVYLLNDISGQALRGQVTAILGPSGAGKSTFLDALAGRIAKGSLEGSVSVDGRPVTTSYMKQISSYVMQDDQLFPMLTVLETLRFAAEVRLPPSLSRDEKLKRVWELIEQLGLQTTAHTYIGDEGIRGVSGGERRRVSIGTDIIHKPSLLFLDEPTSGLDSTSAYSVVEKVKEIAKEGSIVLMTIHQPSFRIQMLLDRIVILARGRLIYLGSPNTLPTYLDGFGRPVPDGENSIEYLLDVIKEYDESTLGLEPLVAYQRDGSKPNEAAKTPIPKTPRTPYQKSVQFRQIQLKSNQFSVTTATPHANPFSAFESYNIDDDEENFDNSLERKSQTPMHTGTSTYHPRLASQFYKDFSVWVYNGVTGTPHRRPTWAPPRTPSRTPMSSYQRSRVATPHHQPPPSPHEPVFKPEEPTYQEYQLDLEPLDAPEDGPKFANPWLREVAVLSWRTALNVVRTPELFLSREIVLTVMALILSTLFHRLSDASFTTINRILNFYIFAVCLVFFSSNDAVPTFIQERFIFIRERSHNAYRASSYVISSLIVYLPFFAIQGFTFAVITKFMLHLKSSLVNFWIILFASLITTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKRTKIPVAWSWLHYISAIKYPFEALLVNEFKGSRCYVGTLNELSPGPLGEIKPSDLHNRLQPNKITCPLIGQDVLSTMDIQIDNIWVDVAILLAWGVLYRLFFYVVLRFYSKNERK >Et_7A_051947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3036108:3039578:-1 gene:Et_7A_051947 transcript:Et_7A_051947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSHKMAKRKKADAPPAGANARSESPHRPVTRSMSEKIDPNHRTPKRKNNNKDEEECATPISKRRRLLKKTVSTRAKKKLELENVPDSARSHKRKRTMHLEKTSNKKEKSAEEDYKSTTESQESGAEEEASAAEEESGADKIVAVVQSAKKTKKDIRKRGSAKRTIELNENLSKHKKKRIRDVGFGGLLDIKLAYVPKKLSKWLLSIFNTETCELEVPFRGTVKVNDEAVNRIFELPMGTDPVVYKMRKNEEMFKLFYELVGAQSKKAPTFRDELKWFQGEGKDRVDDDWLRRWLIFVISAVLYPNAGEKLTVKGYHSVYDLTRIKGFDWCNLVIEGLVKGAKKGKKGKVPSGCLLFLSILYLDSLKTGISMNNRPTRRIAFWDKELIDKVIKMDRNKSNGKFGVLKLKENVQSFGQVLIGPQKVKALEFATSSVPPQTSRELVHVVSDAIQKFISTINQEAASFVQEVVVGRAQVNQREQELIAASSSHDAVSGPEYSTLSAGLRTGTIHDT >Et_5B_044661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4472555:4474957:1 gene:Et_5B_044661 transcript:Et_5B_044661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPLVCKANARFLLQQPKKFIPERFEKEGVSVLSSDLCLAPYGVGHRACTGKMLALATTHLWVAQLLHKLDFAPARS >Et_10A_001030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20284365:20291216:-1 gene:Et_10A_001030 transcript:Et_10A_001030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSNTSDGDKDKGKAKDSEPSFKEGDKVLAYHGPLLYEAKVQRIENLDDEWRYFVHYLITDENIKKRQELKKNQVGDKTVKAGRSAQPKPKGSNDTKGDKDDTKTLVKGKKRKIQPGTEEKEKRSSESLLVSQFPLTLKKQLVDDCEFVTQLGKLVKLPRSPNVDEILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKRERQQYNDEVKGDVSPSTVYGAEHLLRLFVKLPELLAFVNMEEDALNKLQQKLVDILKFLQKNQSAFFLSVYSDGPKTK >Et_10A_000763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16834308:16843357:1 gene:Et_10A_000763 transcript:Et_10A_000763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDSDPAAALPLSLDLEDFKGDFSFDALFGSLVDELLPEYRGDDDAAPAPPPPPPVLGAAPPVFPAVDELLGLFKHSCKELVDLRRQIDKRLQNLKKEVAVQDAKHRKTLGELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSAESQRETASQTIDLIKYLMEFNSTPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDVGRHGVQSAVGSANASRGLEFNRGTSAMQHYVATRPMFIDVEIMNTDIQVVLGDEGLQADSDSIADGLSTLYREIADTVKKEANTITAVFPSPSEVMAILVQRVLEQRVTTILDRLLIKPSLASLPPLAEGGLLQYLRVLAVAYDKTKVLAKELQSIGCGDLDIEGLTESIYVAHKDEYTEFEQASLRQLYQSKMAELRAEAKQQYESTGSIGRAKGSSLTPPPVQQISVTVVTEYVRWNEEAISRCTLLFSQPTTVAANVRSIFACLLDQVSQYLTEGLDRARDGLNDAAAARDRFNIGSSVSRRLAAAAASAQDAAAIQGEQSFKSFMVAVQRCASSVAILQQYFSNTISRLLLPVEGAHSSACEDMGSAVSVVEAAAHKGLLQCIDTVMSEVERLLSSEQKATDYRSPDDGAAPDHRPTNACTRVVAYLSRVLEVAFSSLEGLNKQSFLTELGNRLHKGLLNHWQKFTFSPSGGLRLKRDITEYGEFVRSFNAPSIDEKFELLGIMANVFIVAPESLSSLFEGTPSIRKDAVRFIQLRDDYKTAKIASMLNSITYE >Et_2A_017265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32645127:32650274:1 gene:Et_2A_017265 transcript:Et_2A_017265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTGGGGNSLPTTGADGSKRRVCYFYDAEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLDQMQVLRPHPARDRDLCRFHADDYVAFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKYHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRFMRSFNTGVALGHELTDKMPPNEYYEYFGPDYTLHVAPSNMENKNTRHQLDDIRSKLLDNLSKLRHAPSVQFQERPPEAELPEVRFLTSKKLVRRSSILSVRMKKESAETEAADKDVDKVSAEHSRGTGPVSDGVGSSRQAPPNDTSSMAVDEPGALKVDTEGSNKLQDQPMTYQKP >Et_1A_004677.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30130421:30130424:-1 gene:Et_1A_004677 transcript:Et_1A_004677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding R >Et_7B_055006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6359578:6368705:-1 gene:Et_7B_055006 transcript:Et_7B_055006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSLLCDAEVALIIFSGRGRLFEFSSSSCMYKTLERYRTSNFNEQEVKAPLDSEINYQEYLKLKTRVEFLQTTQRNILGEDLGPLSNKELEQLENQIEMSLKQIRSKKNQMLLDQLLDLKNKEQELQDRNKDLRKKLQETSCGESALRISWEEGIINTPTWTSSTTKIQGRLITLTSTVDLDGYDSVGLSDGTK >Et_10B_003294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18587741:18590649:1 gene:Et_10B_003294 transcript:Et_10B_003294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTSVAVGSLVAAGAANAAELQDYLQGPSGILLADLGIGDWFGGLLYSAGQQANEAVQDQLSALSVTSVAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKDRAEVVGNSIAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAIIMGMNLLEVVELQLPSFFSDFDPRAAAANLPSSVQAYLAGLTFALAASPCSTPVLATLLGYVATSRDPIIGGSLLLTYTTGYVAPLLIAASFAGALQFAVIQKIFGMDKSNQWCVSTRWRHLHPIGSGFSCNVNGHV >Et_8B_059598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19790203:19794262:1 gene:Et_8B_059598 transcript:Et_8B_059598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSENQTGKAFLPGSVKPPPHRTSSSAMAAASSLLPPPADPTPPATLPVTAPPASEPHHRRDATDPSLRALFLRAVDPSRPSSWSAAVADLLSSGDPVAALAAFAASLRANPAALRPALPPALRAAAAATSLAAGRQLHLLALRSGLFPSDAYSASALLHMYHHCSRPHDARRAFDEIPSPNPVIVTAMASGCVRNNLVYSALAIFRSMVAADSAGVVDEAAALVALSASARVPDRGLTGGIHALVAKIGLDGYAGVANTMLDAYAKGGSRDLGAARKLFEMMERDVVSWNTMIALYAQNGMATEALRLYSKMLNVGGGIRCNAVTLSAVLLACAHAGAIQTGKRIHNQVVRMGLEDNVYAGTSVVDMYGKCGRVEMASKAFQKIKGKNILSWTAMIAGYGMHGHGQEALQIFSEMRRSGLKPNYVTFISVLAACSHAGLLNEGRYWYNSMRREYGIEPGVEHYGCMVDLLGRAGCLDEAYGLIKEMKVKPDAALWGALLSACRIHKNVELAEISAERLFELDTTNSGYYVLLSNIYAEAGMWKDVERMRVLVKTKGIEKPPGYSSVELKGKTHFFYVGDKSHPQHNEIYAYLEKLLERMQEAGYTPNTSSVLHDLDEEEKESMLRIHSEKLAVAFALMNSVEGSVIHIIKNLRVCTDCHTGLKIISKLCGRKIVVRDIQRFHHFKDGSCSCGDYWCPQPPMMLWRKKVECLDLNAVAFVSRDHVHVRKNITAVE >Et_3A_024528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21725988:21727780:1 gene:Et_3A_024528 transcript:Et_3A_024528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPTGAPLPACARALADLLVALSAARAVPKGQQLHGHLLKAGHLPATASSHTLLGHHLLTFYSRCALPGLSHRAFLDLPTPQAPAAWSSLISSFSQNGLPVAAFDAFRSMLTAGVPATDRSIPSAAKAIAASEASWRPPLAPHALHGLAAKTPFAGDVFVLSAVLDMYAKCGNLADARRLFDEMPERNVVSWSALICGYADAGMHPAAMGIFHLALEEAVPVNDFTVSCIIRVCAAATLFELGSQVHARSIKTSLDASPFVGSSLVSLYSKCGLVECAYRVFSGAPERNLGIWNAVLIASAQHGHTDSAFGRFIEMQKDGHRPNHITFCACSLLVAMLEGKRYFSLMKEHGIEPQAEHYASMVDLLGRVGRIREAFDLIESMPMEPPESVWGALLMACRMFKDADTAAIAAKRLFDTGSRSSGAHVLLSNTYAAAGRHADAALARKAMRDAGVQKETGLSWLEASGEVHSFVSNCRRHKRSDEIYSVLEKVGKKMEAAGYVADTSAVLKDVDGDEKRATVRYHSERLAVGLGLLIIPEGVPIRVMKNLRVCDDCHNAIKYLTKCTGRIVILRDNRRFHHFKDGACSCGDFW >Et_4A_033795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2682356:2693637:1 gene:Et_4A_033795 transcript:Et_4A_033795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEETAEDIVIVGAGLAGLAIALGLHRKGVRSLVLESSPELRASGFAFTAWKNAFRALDALGVGDKIRKQHLQLQALRIISSATGEIAQEVDLTVQGKGEPNEIRCVRRHLLLQALEEELPRGTVRYSSKIVSIEDDGAIKILQLADGSVLRAKPKEQAAGAEDIVIVGAGLAGLATALGLHRKGVRSLVLESAPALRASGFAFTTWKNAFRVLDALGVGDKIRKQHLQLQSLRVISSSTGETVQEQDLTVLIGCDGINSVVAKWLGLAKPSYSGRSAARGLAHYPDDHGFEPEFLQLIGHGFRAGMLPCNENDIYWDSNVPAEALAVIETSEMSDVLAAPLRFRSPLSLVTASISKGNVCVAGDALHPMTPDLGQGGCSALEDGVTLARCLGEAVFGGGAKGAGTENQRIEAGLREYAGIRRWRSVELVTTAYVVGFVQQSHNAVLSFLRDRFLSGSPDLHLMETVENIVIAGAGLAGLATALGLHRKGVRSLVLESSSTLRASGFAFTTWTNAFRALDALGVGDKIREHHLLYERLVAFSASTGEAAAKVSLKMQGKSGPHEIRCVKRNFLLETLENELPEGTIRYSSKIVAIEEDGNVKVLHLADGSIIRAKVLVGCDGVNSVVAKWLGLPKPILSGRAATRGLAEYPGGHGFGPEILQFIGQGFRSGVLPCSDTSVYWNYTWYPSPSDGDAEESVAKMRSHVLGKLRAAKIPAEALDVIERSEMSDVVSSPLRFRSPLSLVRGSISRRGVCVAGDALHPMTPELGQGGCAALEDGVVLAWCLGDAFVVAGDAGHKEIDAALDKYAETRRWRGIQLITAAYVVGFVQQSNNAVIKFLREKFLSGVMAKVMVAMADFDCGKL >Et_2B_019642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11736612:11738552:1 gene:Et_2B_019642 transcript:Et_2B_019642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQFCETDTARQAGKQVPLESAVGSPDGHALMVIIDPDFGKSLLPFKYQPIDFCGDAFIVRYQVLVSAFSIRCLFEHRFLLNSDVKRRRLPLKFPLQSLIAVLLKLHVRALKTWYHLLCHESHQYNLTLLCPLIIQLEQKGAGPGARSSHAITLVGDTAYAFGGEFTPRVPVDNTMYAFDLKTRTWSAVEATGDVPPPRVGVTMAAVGNTVLVFGGRDQDHRELNELYSFDTATGAWSLLSSGADGPPHRSYHSMVADAAAGRVYVFGGCGDAGRLNDLWAYDVAGGGRWEQLPSPGEACRPRGGPGLAVAGGKVWVVYGFGGEELDDVHCYDPAARTWSVVEAGGGGDKPSPRSVFCATGVGRHVVVFGGEVDPSDLGHLGAGKFSAEAFALDTESGAWKRLDDGASDESEHHPGPRGWCAFAAGEMDGRRGLLVYGGNSPTNDRLGDIYFFSPVLDAAN >Et_9B_065798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12822021:12823093:-1 gene:Et_9B_065798 transcript:Et_9B_065798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYKDVVPTAVDLFKDCHVSSKASCTETVKNAIVAMEAIMAESAGDDNASKNATEVVAQVLPKTTFLGNVGIKKGVKTATAAGARVVELESELEGSKQMAEDLKEQMERMAKQMEEAEAARVKEAEEAQARMLKQAEELERLKKSSDETQTLLQELAKRYKGSS >Et_5A_041653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25492686:25498739:1 gene:Et_5A_041653 transcript:Et_5A_041653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLSSVGVLAVMVVAAIALAAPRCAAQTSGCTTSILSLSPCLSFTTGSSSAPSSPCCSALASVVQGAPRCLCAVLGGGATAALGVTVNTSRALELPGKCKIQTPPVSQCNAVGGAPAATPGAGSASPAAPEPTAEAPAAPAPAVPSATGAGSKATPATGAVSSHAGVTKSATIGTAAVISLTVVLLASSQAEAQSNGCSSVMMTLSPCLDFISSKSPSPGISCCSVLAGIVQSDPRCLCMILDGSAASLGMSINQTRALELPGVCKVQAPPISQCTGPQGAVTPTPSSGIPAIEEEADAAAEAPSGEVLQTRRPFFSNTKWQTNFYIHLYRMKIWKHNLELHKLKEYSKFNGHNAYACLCIALCLLSLHMTLCKILQDPFSRAKALVPSILAAFCRTLKLSIGADRFFPSYGVISHGSRG >Et_6A_046208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16593:19115:-1 gene:Et_6A_046208 transcript:Et_6A_046208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAAAASLRLPFPLLSAPAASSTLRFPLRRRRAPRFLAVAAFKKLSEASPLPIPQEPSEPLAEEEALPPKPGVYGVYDPSGELQFVGISRNVRASVEGHRRKVPADLCASVKVAIADEETPDRSALTNAWKSWMEEHIEATGKAPPGNVAGNYTWVGAPQRPPDLRLTPGRHVQLTVPLEQLIDRLVKENKVVAFIKGSRSAPQCGFSQRVVGILEAHGVDFVTVDVLDEEHNPGLRETLKMYSNWPTFPQIFVGGDLVGGCDIVSSMAERGELAALLQK >Et_2B_019092.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:7884039:7885857:1 gene:Et_2B_019092 transcript:Et_2B_019092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGSLLCALVVLLQACVVLHLSPTASSSRLTTGGPVGQVFPCQDPIRRSGLTMLNRGPYEFDGANSDSIFDDDEYASDDGGTPLPGGGAEAVAEDEEELLPSCDDDDGGGKESSGELGSGGDVECPECGKFFRNDKSMFGHLRSHPNRGYKGATPPMKNLRMSSPETDARSPAPSSPDIAGASSQRSGRDPQLTPFEKLCACILLTLRCRDGQAVQQVPPPQPPFFAEGKLEAVEKVEGGVGGLIASIAAAEVKGEDPGPELGNELLSDEHGGPIVKIPKKRRRSISKEDREANKRVKLVVTPKEKRPYVCKHCKAEFSTHQALGGHMAGHHRDKKIPAVNDKETSKAHQGTVGQSQNGKQAKGDRDESWRDGLSGLSLLSRRMEVEQLSMAPNKPWLSGQTSGGHMRQPFVRRNDGTPQVVMPAAAGGDRRRPLNIDLNVKAPDQD >Et_1A_008834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15155110:15161688:-1 gene:Et_1A_008834 transcript:Et_1A_008834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLAAVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWAMKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >Et_7B_055368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9736599:9740276:1 gene:Et_7B_055368 transcript:Et_7B_055368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRLLAFRCSLPPRPPRYKSPPCRGRRFQLRLAMPITAAASQSLSSTAATDDAPLRSPQTMARLEQGSLLVAGRELLSRAPPNVTLRPAGDATGAAFLGARAAAPSSRHVFSVGTLASGWRWLSLFRFKIWWMVPATGAGAAAVPAETQMLLLESRNEAGCSAAEESAVYALMLPVLDGGFRASLQGSPEDELLFCFESGDPEVQTMEAVDAVFINSGDNPFKLLKESIKTLSKIKGTFSHIEDKEVPENLDWFGWCTWDAFYKDVNPTGIEEGLQRFAQRLADLKENHKFRGETYKNIGELVKKIKEEHGVKYLYVWHALLGYWGGVLATSDAMKKYNPKLLYPIQSPGNVANLRDIAMDSLEKFGVGVIDPAKIYDFYNDQHSYLSSMGVDGVKVDVQNVLETLGQGFGGRVAITQKYQHALEESIAQNFKGNNLICCMSHNSDSIFSVLKSAVARASEDFMPREPAFQTLHIASVAFNSLLLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVSDKPGVHDFSVLKKLVLPDGSILRAKNAGRPTRGCLFNDPVMDGKSLLKIWNLNNFSGIVGVFNCQGAGQWVWPVKETACVPTTINITGHLSPSDVESLEDISDDNWNGETAVYAFNSCSLSRLQMHENLEVSLSTMTYEIYTISPIKVFSGTVQFAPLGLINMFNSGGALDDVTSTADSSGIAIRIKCRGPGRFGAFSAIRPELCGVDGNEVQFSHTEDGLLAFDLPHNSSHVNLSNIEILYRAS >Et_8A_056717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15810242:15812509:-1 gene:Et_8A_056717 transcript:Et_8A_056717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNVKVKHGTRPPWVGLAAAVWVQMAAGNAYTFPLYSPALKSVLGYDQRQVAMLGVANDIGENFGVVAGVLCNSLPPWLVLLVGAAFCFLGFGTLWLAVSRTVLGMPYWLLWIALAVGTNSNAWFVTAVLVTNMRNFPLRRGVVAGLLKGYVGLSAALFTQIFSGVLHHSPEALLLLLAVGLPLVCVATMYYVRPCTPADGAGGEEEDGHFAFAQLASVVLGAYLVATTVLGNVVRLPDAASYALFSVTVLLLLAPLAIPVKMTLFRKKKKLPAPPPETVAVAVAEEEPLLLLPPPPPPAGDEDAETVPALLLAEGEGAVVNKRKRRPHRGEDFEFTEALVKADFWLLWVGYFIGVGTGVTVLNNLAQIGAAAGIADTTVLLSLFGLGNFLGRLGGGAITEKFVRSMLVVPRPIWMAATQVVLAAAYLCLAYALGPGAVYACAAVIGVCYGAQFAVMIPTTSELFGLKNFGLFYNLMSVANPLGAVLFSEELAGRLYDDEAQRQQRPGGGHDGPHACFGPECFRVTFVVLAGCCALGTAVSLVLSARIRPVYRAMYAGGSFRLPNSAQQH >Et_2B_020742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23131919:23136738:-1 gene:Et_2B_020742 transcript:Et_2B_020742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCDQNMNIHVLLLPFPVQGHINPLLQFGKRLAAHSGVRCTLAATRFVVRSTKPAPSSVHVAVISDGCDAGGPAELGGIGGPYFERLESSGSATLDELLRREAELGRPVHVVVYDAFVPWAQGVARRRGAAAAAFLTQTCAVDLMYAHALAGRIPPAPVRPEEVPELDGLPSQLELADLPTFLVDTNYPPCFRDLLTNQFLGLDTVEHVLVNSFYELEPQEADYLASTWGAKTIGPTVPSAYLDNRLPDDMSYGIHLHTPMTEQSKAWLDTHPTGSVLYVSFGSMASLGPDQMAEIAEGLYNSGKPFLWVVRATESHKLPENLADKAKARGLIVPWCPQLEVLAHPSGHINPLLQFGKRLTAHSGDVRCTLAATRFVLSSTKPSPSSVHVAAFSDGCDAGGPDELGGLGRPYFERLESVGSATLDELLVQESELGRPVHVVVYDSVMPWAQRVARRRGAGVRVTCAVEAVYAHAWAGLVPPPPVRPDELPAEFAGLSTKLQVADLPTFLGDTNYPLCFRDLLVNQYLGLDTVDHVLVNSFYDLEPQEADYMASTWGAKTVGPTVPSAYLDNRIPDDVSYGIHLHTPMTAEIKNWLDSHAACSVLYVAFGSMASLGPEQMAEIAEGLGKETSKLPDNFADKAKARGLIVPWCQQLEVLAHPRRDALSLNQVVTLQTR >Et_3A_023002.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:15043053:15044904:-1 gene:Et_3A_023002 transcript:Et_3A_023002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWTSACAATGGSTVLVPKGDYLVGPLGFTGPCKGPVTVQVDGTLLGSNDLSKYNASWIEVTRVDNIVITGAGTLDGQGKAVYTKDCKAMPNTLVLYYVNTATVSGIKLLNAKFFHINIDKCKDVTVKDVTITAPGDVENTDGVHVGDSSNVTITNATIGTGDDCVSVGSGSAGVTVTGVTCGPGQGISVGCLGRYKDEKDVSDVTVRDCVLKGTTNGVRIKSYEDAESVITASRLTFENIRMEDVAKPIIIDQYYCPEKVCPGKMSKNSHVVVKDVVFRNITGTSSTPEAVSLICSENQPCSGVELIDVKVEYAGKNNKTMAVCTNAKGTAKGSIEALACLA >Et_4B_036969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1331795:1335036:-1 gene:Et_4B_036969 transcript:Et_4B_036969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGRDLPETPTWAVALVCAVIVILSVAMEHGLHKLGHWFHTRQKKAMREALEKIKAELMLMGFISLLLAVGQTPISQICISPDARAIKIMLPCKGPKKDKSDDGGDGRRRLLWYPGQEAGYRRILAGAATDDYCGKQGKVSLISAKAVHELHIFIFVLAVFHVVYSVATMLLARLKMRKWKKWELETSSLEYELANDPSRFRFTHQTSFVRRHVGFSGTPGIRWIVAFFRQFVGSVTKVDYLTMRQGFINAHLSPNSKFDFHKYIKRSLEDDFKVVVGISLPLWFVAIFILFLDIDGLGTLIWMSFVPLVVLLLIGTKLEIVIMEMAKEIQDKATVIKGAPVVSPSNKFFWFNRPDWVLFLIHFTLFQLTPGLKGCYQENMGLSIMKVVVGLALQVLCSYITFPLYALVTQMGTHMKKTIFEEQTAKALMKWRKTAKEKARQREAGFDGLISGDTTPSRATSPSNSPVHLLHKYMGRSEEPQSAPTSPRRGQELGDMYPVVEQHRLHRLDPERRRAASSTAIDFDIVDADFSFSAQR >Et_3B_029386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24552118:24558229:-1 gene:Et_3B_029386 transcript:Et_3B_029386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRWITATWRRGTPPSWPPPRSLSCGRVVDAAARDGELRVFVVAGEVSGDSLASRLMASLKELSPVPVRFAGVGGELMRKEGLKSLFPMEEIAIMGLWELLPHISSIKRKIANTVEAAALFQPHVVVTVDSKGFSFRLLRQLKSRYNQKVQSPLHVHYVAPSFWAWKDGESRLSKLNNFVDHILCIFPFEEEICRLNGLAATYVGHPLLDDAIALNMETELLSDKSQHQSSCEAFQLKHGLSPDSTIITMLPGSRMQEVVRMLPIFLHAVQHLKHAFSELSLVIPVAPHRDVRTYVEKLVQSVPNRVVLIPGESLKGRYDAFSASTAALCTSGTAVMELMLAKLPCVVAYQAHFLTELLIHMRKKINFISLPNILLNSPVVPEILFRACTSNNLSKKLSEVISNDEVRQLQIESSDKILYEPLKQQGSLFVNELGNARLPSNVYSPGMIAALTVLYTDKHQQT >Et_3B_029363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24369289:24369741:-1 gene:Et_3B_029363 transcript:Et_3B_029363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFQEADLLWPDAQHHRDDCHCHCRNQASHQRPRTTARAAHQQQSAPVRIPAMPADQAALSKTGRHSLARDYDDVVDDEGDGSAIVPPHVLAARRCAAERRVASSVCVGHGRTLKGRDLRAVRNAVLHMTGFLSNSDEY >Et_10B_003526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2538331:2550397:-1 gene:Et_10B_003526 transcript:Et_10B_003526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTGDEVVEVSCGGGGGDPGAYAAVLKRKLDLYCAAVAKSMEARSQESSFGHPNSQASDTSQLISQASDTSQLISQASFDGDGDRGSIFINSHVIDNTNCQGKQANSDTSKEQSDDDGDLEESTDPINAKKKRRMLSNRESARRSRKRKQTHLNDLESQVSRLTAENASLLKRLDDMTQKYKDATLDNHNLTVDVEMMRRKVDIAEEAVRRVTGASMLLLPTKSDMPGRSRSMTSCASDAASAADDQIKLDLSTTTFPLTSMEMGTRLAPLRRVTSVENLQKRIHGDSVQSETALPLLDPEALATD >Et_9B_063819.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:16165533:16165706:1 gene:Et_9B_063819 transcript:Et_9B_063819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSFGNFLLQECIPLSPCIKSLILGECNLCSHHQCGSYISHLRCNSFYGCCLRTRR >Et_1B_013181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6111147:6114628:1 gene:Et_1B_013181 transcript:Et_1B_013181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIVCVAVWASTMAMVMASVLWAYRWSHPKVNGRLPPGSLGFPLLGETMQFFAPNPTCDVSPFVKERLKRYGSIFKTSIVGRSVVVSADPDLNYYVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKSLVLRLYGQENLKAVLLAETDRACRDSLASWASLPSVELKDAISTMIFDLTAKKLIGYEPSKSSENLRKNFVAFIRGLISFPVDIPGTAYHECMQGRKNAMKVLKKMMRERMAEPGRRSEDFFDILIEELRREKPVMTEAVALDLMFVLLFASFETTALALTLGIKLLAENPRVLEALTEEHEAIARNRKDSDAGLTWAEYRSMIFTSQVILEIVRLANIVPGIFRKALQDIEFKGYTIPAGWGVMVCPPAVHLNPKIYDDPLAFNPWRWQDKVEITGGTKHFMAFGGGLRFCVGTDLSKVLMATFIHCLVTKYSWKTVKGGNIVRTPGLSFPDGYHVQFFPKN >Et_3B_031139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17928197:17936557:1 gene:Et_3B_031139 transcript:Et_3B_031139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERKRTKLRKSLQLYLSKKLKKIPPIHIPSSAIPTNITSMRLLNACRFPRTQSLDLDGDPAAADGDSKDQAATLSDVDRFLFDNFRSLYIHDNDKDPCFPSSSGPSTSLVDETQPTAETSSSSESVAEDIKDSRPGEESGDSTAIVVFSMDPYTDFRRSMQNMIKMHHGSISQSLDWDFLEELLFYYLQLNEQAVHKHILKAFADLTAGTRQGSLSNRKAHWTDKSDLKKLYPEKTRFNSMASESKGDKVPEVNIMERTPNLRNSSIASPGLKHHQLKGQIVYRQRFPWTSLLHTT >Et_1A_007837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38710139:38712901:-1 gene:Et_1A_007837 transcript:Et_1A_007837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERFPGPPTAGRFSSSLLFLLQLLSLASRASCCWCPEHTQGPFMGTAGEGSPPCGGVSADGRFSYGFASSPGKRASMEDFYEARTYKTESGETVGLFGVYDGHGGARAAEYVKQNLLTNLINHPKFHTDTTAAIAETYSRTDSEFLKADSSQTRDAGSTASTAIIVGDRLIVANVGDSRAVISKGGEAIAVSKDHKPDRSEERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPDIKEEVVDKSLEFLILASDGLWDVVTNEEAVAMVKPIPDPEQAAEKLLLEAFQRGSSDNITVVIVRFLDGIVGGGSSEEKEKVEGKEKEKVEEKNDKKDKETASDQNS >Et_1A_008626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9257689:9261251:-1 gene:Et_1A_008626 transcript:Et_1A_008626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLSLSRLARRLPASLAGAASIRAPAPVLLRHLHADGSPPPPQAPPPFVSRVLESDPSLTPGEEPQPSSDPALDEFLARFVAAFRPLLTAAFPDHDRPVLDEMLRLVADAVMCRLTGADPGLDAVDLSDELWAAVWEVSASVRDGMRRDQVRADLRHYLHCDEVKEMTRFAVDVGIRGAMLRELRFKWAREKLEEVEFYRGLDKMRAEAAADPAPALVPRLTSLPQRKGEVKFSMYGLDMSDPKWVEVAERTAEAEAHFVPAEAKAVEGKAKKAEESLLSVDPRKGDPVPAMEEWKEELRPKRVDWLALLERVKTRNVELYLKVAEALLAEESFDANIRDYSKLIDLHAKANKVDSAERILAKMKENGIVPDILTFITLVHMYSKAGNLEKAKEAFEFIRKEGFKPDLKLFGSMISCYINHGEPVQAETLIKSMSNMGIKPTREMYTDVMRAFAQRGMSDAAHRVHSTMTFAGIQPTPELFTLRIESYGRTGDFDNACSVFEQMRKSGHEPDDSSISAVMTAYMKKNQLDRALDWLLKLEKEGIKPGLKTNLVLLDWLSMLQLVLEAEQLVQKIKKLGEEPIEVHVFLADMYAKSRHEEKVRRSLKILEEKKKLLKADQFERVIKGLLDGGFAEEANKYYKMMKSCGFEPSETIEVGVKAGFGVRGGLRPTGRHRG >Et_2B_021209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27401005:27404339:-1 gene:Et_2B_021209 transcript:Et_2B_021209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPTSSASPAPRPTAPSRARVRVAGERRGGGFPSFLPPAVERIRDGDAIRLAKRIERVPTGFSQSPILSSCIKPLEQQRGGDPVVLLHGFDSSCLEWRYTYPLLEEAGLEAWAVDILGWGFSDLEKRPPCDVGSKREHLYQFWRFYIKRPMVLVGPSLGAAVAIDFSVNYPEAVSKLIFIGASVYSDGPKGMTRMPKFVSYAGVYILKSLPLRLLATCLAFYRIPSGLYFDWVQIGRLHSLLPWWEDATVDFMIRGGYNVRNQIKQVKKKCLIIWGEDDGIISSKLAYRLHQELPDATLRMVGQCGHIPHVEKPYEAAKHVLEFLEGGNVERAERVA >Et_4B_037273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17528690:17531631:-1 gene:Et_4B_037273 transcript:Et_4B_037273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAELEPAFAALAAKKQRLRESFDRLAACSPVPIPFRWDDIDAHLSSVQSAIALRFRKLDSASLQPAVAAGGPIAAAERVEHPVEHLVEEDPEPRVERGVTEVAQEGNAGDGDKAKNANFGKEAEGNEGDVGGASEGRRVQEGGEAGNERGKVAIEASPEQDDEDEASGGAMEVSPHQGGDEVKMADSDEAGNASADQEGEDDETEEGEAKWPSPRATAGGGGEKAMARSIAAACANMDASMLVDALFQYCRSSLPARRAFLPALLGAADPHALVVRAVGDFLARPGRMTYRSWGNCVALLDCVPQLTGTVGPSAETLEQAERLASDWKEMMVGKTGSCRDISRLAGWGLFTFLASYNIVLEFEAEEIIRLFDNIPPDLKENCIELCKHLGIIEKMTDSIHHLIRNGQPIDAIRLACALNLTDKCPPLSVMYDYVEKAKKTAEEILSKGSDSAESLNQVMEKNVNALILSWTAVDQCNIDSFHRNSLKEEISQLLHKYADKQQNLAGVSAFVSNSHQQHNLEGRHQLQRTMAQEEKQRKGQELQEKPGEKRQRQQHKSHKSQPKQLQNQEERGRGWQNLTGKRPNKNQKRKQRRQNWQNPQPKRQQQQQQFNKRPRFSSYSYSGIRGVPFADRATMIPP >Et_3B_028904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20229322:20232387:1 gene:Et_3B_028904 transcript:Et_3B_028904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAFPIRFTKGIRSYWRQHKYKHVDGSGGEAPAGRGARRHQQLARLGDGPRPWAVRLGGMLRGRRVRAPAPAPAVAAAKAPVRVLGKIRDAYVDAMLGAAKTQPAAAKALPTAPAALWQKRVPVRRSQSQSQKRQQGEELGQRLVEEMYRSVLASRSVSGMLRSSGIAIEPKTENYRDRAGEEEAFGGGERVAEVAAVAVGARLAGEHHAADLGLVARVADDGAELGDAVRELALVAVGARPRLLPLVAQLRLEHALVVHLKLDAARRALLLRLLAFHAAVHCRRLWYCGVGEAGTGTHRAVVVDGRVGRARLAVVGNRRGGSLGGQLVHLEVVLGADGDALGQHGELLSWRALRDGLLLAVAEPADLVGDGGGGGGVLPAEQRELAREVAVGDAELGVLAAERRGLVGGLGEAGEAELVAVGHLLVLQREARELQPVARDVGGRGRAVVRVVDEGGGGGRRERDGGGERRRRGEAEAGAERGRAAEQGVVAAAAAEIARRGEALVPEGERLELQGRAEAVGVHRRDESFLHGCFFRH >Et_5A_040783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12422678:12424451:1 gene:Et_5A_040783 transcript:Et_5A_040783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLSLYGSSGCVGNLRKPQSSPDSKQKASLRKKPRYSSIGKGRRWQLGPEAMENNASISVSLEGNVSSIPNSIVNDSKMSMENGVDTSFINHAAEQWSEMRRQWVRHQAEVPKKALREPVISWCTTYDDLLSTSERFPQPIPLSEMVDFLVDIWHEEGLYD >Et_7B_054930.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5716176:5718694:-1 gene:Et_7B_054930 transcript:Et_7B_054930.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTAALSVSGATHTNAFGCKCNKFKGSRNGLRLAFSSSSEKANHCTKLTVCRAQSEDSKGGGGFLTGFLIGGAIFGTLGYVFAPQISRTLDSLLDENGQDGESDETGLQRGARPRNGRYYDEAATRLKRVTGSVENEALSDETEIGISSLNGNEHLVENLNEHGFVQGESTT >Et_2A_016820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28607151:28625025:1 gene:Et_2A_016820 transcript:Et_2A_016820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSASTTLQYTGIAVFVAIVFYVVLHYSRSFNTIFLTRRHGADANAVVAAGTGDDVVLPGPVPPLGLGPDDVAVLPTFTYRAVVSPGRVRGGKAKAPSSPSPSPSPADCCAVCLDELRDGALVRMLASCRHYFHAECVDVWLASHATCPVCRGSPGQEKVRLGVASLSPPLPQLRRLLPDGGEASGERGFVSSSSPSPVIRSPGRFEVVVVAAMGNGETALSSSPSPTRPRTPPVGRVCMTRSPSPVTTESSGVQVEAHTGHRRSRVSSSLAAAVAIMSSSSSAAPDAAADGGSATPGSNYTLLYIIVAVLIGVILYVSIRYGRSLLAEWRQLQGGHGAARGAGLGLSAEEIAALPTFTYRVRAASASPHGHGGGGDGKRRSGSKGRSPAPATAVECVVCLQELEDDDVVRVLPPCRHFFHGGCIDLWLCDHSSCPVCRADLDPERERLGDVSLSPPLPQLRRCGVSPERPSASRVLADILARSPLRSPLRTIGVLESAEVITSASSPPVVPETVIARSMTLSPTRFNGQLSTMSIPVVESIEAITSASASPSPMPMGEGVGGGSLSNRAHMLNVHRARLLAGSRGATARDDEESRVLCAVVASLVSLMLLCGLLSFLPSPRAFRVTKTYVVVAAGAMMLMLMLVGWLLAPWIGALFAARRWRRAPEATTLAAAPVRLHALRQMCACGLSDAAALGALPTFAYEPPPPRAADGGEPARGSCALCAVCLEDVRAGEVVRQLPACGHLFHVCCVDAWLRSHRTCPICRCELPPRNVVAKGAPAVASAPSPDPLPPVIARAAAVTGAVALLLGLLCWLAPTGTAARRRRQAPAVPVLLVHHRCACGLSEAAMGALPAFAYRPPDDGGDGEGKPRGSAAVLCAVCLENGAAAAGVHLFHADCVDAWLRAHRTCPLCRCELSPWNVTAAKASAAAAAESSDVASLPPVDDEDDGSGSGGADAEKCTVCLAGMREGQALRGLPHCAHRFHDKCIAKWLKARPTCPVCRAPAVPSAGEQLDDEISPV >Et_9A_063526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6170904:6173037:1 gene:Et_9A_063526 transcript:Et_9A_063526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPFDLASIIKSTVYVTRFLPLANDGSLSHPTKAIQKIYGEWTNPAVSTSNIPVRHQKSFFPRTETKPPRRTTVVQVMTNTPNPLTPIIRYPMNTV >Et_4B_039983.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7162148:7162342:-1 gene:Et_4B_039983 transcript:Et_4B_039983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPASGGQDVAPPYRRNPAAAASPREGGGAYESSAAHAHPTYGRIVLALECGKNSEHICTTES >Et_2A_015205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5578000:5578263:-1 gene:Et_2A_015205 transcript:Et_2A_015205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METDSKALVDLWRGRNSNNCNLVHVKRNANSAAHLCAQHALYHVAFFLWCSCRISYCNVCSMIVIYL >Et_1A_008215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5289965:5298730:1 gene:Et_1A_008215 transcript:Et_1A_008215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGEASAGEAGSPRSPELYDFSDDSDYAAAAASNHTAMQSDSADGGLDETARVDVIYEKERVTIHPTQYGSGRISGKLRLFLQQGSLFMSWEPNEGVDSLSSSSVSMEIEKYRNLYTIKALPLSDVRFIRRHTPTFGSDYIIIVLSSGLAFPPFYFYNGGVRELFATLKQHVFIIRSDDDPNVFLVNDFQDPLQKSLSSLELPGVATVANAMSRQNSLSFTGSVDEGINWDDSKHGVSASMSQYGSRQKHRSNDPGRDLSIQVLEKFSLVTKFARDTTSSLFRDNSGANAYGRQQEEYFLNNKVSSKNKYQQTTPEKAAEPAELEPDHLPLVWGKQRDRPLSVEEWRGFLDPEGRVMDSKALRKKVFYGGVDHVLRKEVWKFLLGYHEYDSTYAERDYLDAMKRAEYEAIKSQWKSISATQAKRFTKFRERKGLIDKDVVRTDRSVPYYEGDDNRNVVVLRDILLTYSFYNFDLGYCQGMSDFLAPILYVMEDESESFWCFATLMERLGANFNRDQNGMHAQLLALSKLVELLDPPLHNYFRQNDCLNYFFCFRWVLIQFKREFSFDQIMLLWEVLWSHYLSEHFHLYLCVAILKRYRQRIIGEQMDFDTLLKFINELSGQINLDRAIQDAEALCTIAGENGAACIPAGTPPSMPIETDGGLYVQEDEVLRLDGDLGT >Et_5A_041370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21933189:21936136:1 gene:Et_5A_041370 transcript:Et_5A_041370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRRSAWKRDWTNLPAGPAVLIAEGLLAHDVADYVRFRAASAAWRASSDDPRAQSVLDRRYHPRRWIITRHSFGAHGRRRRLLNVVTGECINMTQPDSRRYILLGPTAEGLLVLCRRGTLAMQLLNPLTGHVADLPPRAIPRLRISDPVSGYFAFAKPGDKSWTRNYTTHKIASAVPFAGRFYCAGRIASDKVKVFELQTAAGQRP >Et_2A_015978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19895505:19897152:-1 gene:Et_2A_015978 transcript:Et_2A_015978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSTKGKVCVTGASGFLASWLIKRLLESGYHVLGTVRDPGNRQKVAHLWKLPGAKERLQLVRADLLEEGSFDDAVMACEGVFHTASPVLAKCDSSSKEETLVPAVNGTLNVLRSCKKNPFLKRVVLTSSSSAVRIRDDAQPNISLDETSWSSVPLCEKMQLCYALAKVFAEKAAWEFAKENDIDLVTVLPSFVIGPSLSKELCVTASDVLGLFQGDTARFSSYGRMGYVHIDDVASSHILVYETPEATGRYLCNSVVLDNDELVSLLAKRYPIFPIPRRLNNPYGKQSYQLNTSKLQGLGFKFKGVQEMFDDCVQSLKEQGHLLECPL >Et_1A_009267.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:36055677:36056381:1 gene:Et_1A_009267 transcript:Et_1A_009267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEESNTKEHKFHRMDGSAIDAKFMSSTVKQFIAVHDGFKVLKMPYVMRDDISMTGPRNAAMALAVPERTTPTPRYSMCVFLPNTRDGLRSLEDRMASSPSFVHDHMPNRRVVVGDFRVPKFKLKFCKSIKQSLQNLGITTMFTGEAELPDMQEGEPLTVSDVLHKAVIEVNEEGTEAAACTAITMMFISCCVPKPRPVPVDFVADHPFAFFVVEEGSGAILFAGHVLDPTRS >Et_3B_029243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23413905:23417743:1 gene:Et_3B_029243 transcript:Et_3B_029243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIRQYQLPLQKYMALMDLQEGNERLFYKLLIDNVEELLPIVYTPTVGEACQKYGSIFSRPQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQCLPITLDVGTNNEELLNDEFYIGLRQRRATGQEYAEFLQEFMAAVKQNYGEKVLIQFEDFANHNAFELLAKYGTTHLVFNDDIQAGTGIAELIALEISRQTKAPIEECRKKIWLVDSKGLIVSSRKESLQHFKKPWAHEHEPVATLLDAVNAIKPTVLIGTSGKGQTFTQDVVEAISSYNEKPIILALSNPTSQSECTAEQAYTWSKGRAVFATGSPFDPVEYNGKIHVPGQANNAYIFPGFGLGVVMSGAIRVHDDMLLAASEALAQQVTEENFEKGLIYPPFSNIRKISAHIAANVAAKAYELGLATRRPRPKDLVKYAESCMYSPVYRNYR >Et_10A_002042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20271097:20271391:1 gene:Et_10A_002042 transcript:Et_10A_002042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRNINDELGSWEMYDRWFRLNVVHDVDAAELAVFVDGEERLRVPGRGGSSYYFKFGVYTQTGPSQRMESRWRDVKVLTKAC >Et_6A_047966.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:26467719:26469818:1 gene:Et_6A_047966 transcript:Et_6A_047966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDISPDLDALHRELSMDDIRSWCQEKDGLLCKKGGNNDDDRWKEGNKGNDELSFQDVSMAFSLSHLLQRHFVGLSKTAYSSSILKHVTIDYKWALKLVEVELAFLFDIFFTSNAFLHYYQAKVYSLWTFASFIGICFVGVTVAIPGTTTARSATPGPGAGTSIVVDTTTADFIITLVIFASLALLQLVLLIRCWTSNWARVAIACQYVRTQQEKGNNKQIWWMRRPIWWMRLKASIVTMNIIWFDKYLWKDKLGQYSIVERSSKGQWKLFRIKLPIGITRVYGICSSILRMLGFQYLGQVLRELWGGKTKVGASVRLHADVKASIVEFLGQIRDNTIGKNWVSLLIANGIDMSSRYCLYKPGTSPRSDDVTGSLLVWHIATCYCVLAEREQEDSTCCTGNAVATTCFKKAAGCFLKQKDDVAGGGEAEKYRRVAIALSKYFGYLVKSAPELLPGRSTDTKKLCGELASQANEIDWNAATEEIKRELEEKEFWSLWHGLLLGRQLLGERPGRTGRRCRDHWKVLARFWVQALVYVAPYGNVESHLQHLSQGGEFITHLWALLYHLGIYEWKPIGDWEEFWKVQEEIKEEIKREEEFSKIQEGVEKEIKREEEFSTVQEGIEKEIKREEEFSTVQEGIEKETKREEEFSTIQDDIEKETKREEEFSTIQEDIEKQIKRESLPSGERTPSSSSSSTEYFDC >Et_6A_047815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1858120:1859746:1 gene:Et_6A_047815 transcript:Et_6A_047815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPMESLLHLGFRFNPSPKEVITYFLPRLLAGEPMHPAIRAFIHDTNIYACAPGVLTGQFRATPRKDDRFFFTTVQRQKTKSKAGKKDAGRYVRGAGQGSWSSQKSEDVFMDDGKSFKVGEVTKLRYKFKNGKYADWLMEEYSCACSCPNAVVGDRERVFCRIYVSPNAGADSAARQESAAFSEQPAAPPDEPVVMIAHAMPARNRRPAPPPIMKPPCPKRIRGAPISPIRPPPAACTASFASPPSGMAPPSATAAQVQTVPAPPRRQCAPYQQQTRAYHVPEPAVTRHLLPPVEQLPLTPRPAPAARVPDPFASPDHPLSTETEDPADSQDVYDELMKEFDKIPTEEEEAEDAPVASQAAVVKQEADDDEAVADVDELQ >Et_9A_062955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7480472:7481827:-1 gene:Et_9A_062955 transcript:Et_9A_062955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVAGEAEARGGEGGGGEGTGKDGVAHVAIDIAGDAEVAAATEQQQLGLCRICQLEDGDADERVSRGRLVRLGCGCHGELAVAHRRCAEAWFTIRGDRKCEICGKNAENIHGGVGAQKFMQQWHETTAMDGGGSSVCCFSKTQSCCNLLIGCLMIMFILPWLQHDHIL >Et_2B_020821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2424101:2431660:1 gene:Et_2B_020821 transcript:Et_2B_020821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKRLAYAAILPVLLLSLRILPSDSPNGVGGGGGGRAKTLDPTASRHVVRFVEYRHAEEHREYLEAALRGAASPAESWRWIERRNPAAAFPTDFGVLEIRDTHRDAVLVAVRALGRVRDVHADATYSRGVLSADRPPPWRGKQFTAMSFEGGEEEEVVCSSRGNSSSATLRRKLLGQRSQVTSLFGAERLWRRGFTGRKVKMAIFDTGIRADHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGQDAECLGFAPDTEIYAFRVFTDAQISYTSWFLDAFNYAIAIGMDVLNLSIGGPDYLDLPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDNNNHIASFSSRGMTTWELPHGYGRVKPDVVAYSRDIMGSKISTGCKTLSGTSVASPVVAGVVCLLVSVIPEDQRKSILNPAAMKQALVEGASKLSGPNMYEQGAGRLDLWQSYEILKNYQPRASIFPTMLDFTDCPYFWPFCRQPLYAGAMPVIFNATILNGMGVIGYVKDQPVWQPSEDVGNLLSVHFTYSDVIWPWTGYLALHLQVKDEGSQFSGIISGNVTLKVFSPAAHGESSPRVTTCVLYLKIKVVPTPVRSRRILWDQYHNIKYPSGYVPRDSLNVHNDILDWHGDHLHTNFHILFNMLRDAGYYIEVLGSPLTCFDASNYGTLLMVDLEDEYFDEEIQKLRNDVINKGLGVAVFAEWYHVDTMVKMTFFDENTRSWWTPITGGANVPALNKLLAPYGIALGDKILTGDFSINGEQTHYASGTDIVQFPAGGFLHSFELQENSKTGQDHIGTLDTQQAQEKSKLSSILGMMEAGKGRIAVYGDSNCLDSSHMVTNCYWLLRKIVDYTGNRVKDPILFSESAKLKFPVFENIHQASRRTDVNFSIYSRVIGKELICHQDSRFEVWGTKGYSVQVQPTGISRKLDEGSTTPNLTIKDFDDRQEEIGFQRNLSTRSDAKLDDKRDYFGFIGHEEVDIGMLMASQWMASCYTSVVECNKSVVDEGKDQLLIG >Et_5A_040666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1109283:1110750:1 gene:Et_5A_040666 transcript:Et_5A_040666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGSSRLFCRSLNVCSFCLVWSSSFSSEEISVALEHTFSRHLSTKTFSFAMSFLSDSTEALIEARSLVVLFKFCNGYFSIFTLFFFMFFNGRFIFGCVLTDGKCSRFFALDLQL >Et_7A_051209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15673249:15674661:1 gene:Et_7A_051209 transcript:Et_7A_051209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTDKRARGPKCPVTGKKIQGIPHLRPAQYKRSRLPRNRRTVNRPYGGVLSGQAVRERIIRAFLVEEQKIVKKVLKIQKTKEKQPSK >Et_5A_040461.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24200442:24200675:-1 gene:Et_5A_040461 transcript:Et_5A_040461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPLVYRAIVQYRQARQVAVGSLLFGDQPSRASALFCGDSGWYAASPSNCASPPARASLVSPLLRSASRRHVTG >Et_4A_034850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7166355:7172279:1 gene:Et_4A_034850 transcript:Et_4A_034850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGGGGCCPTMDLMRSEAMQLVQVIIPAESAHLTVSYLGDLGLLQFKDLNADKSPFQRTYAAQIKRCSEMARKLRFFKEQMSKAGISTSSAQLIETRSDFDELEIKLGELETELTEVNANNEKLQRTYNELLEYNTVLQKAGEFFYSAQRTATAQQRELESSQSGQPSLESPLLEQEMSTDPSKQVKLGSLSGLVPKEKAMAFERILFRATRGNIFLRQEPVDEPVTDPSSGEKVPKNAFVIFYSGERAKAKILKICDAFNANRYPFPDDVSKQLHAVQEVSGKISELKATIEMGLAHRDSILKSIASDFEQWNLLVKREKSIYHTLNMLSVDVTKKCLVGEGWSPVFASSQIQDALQRATVDSKSQVGSIFQVLNTKESPPTYFRTNKFTSAFQEIVDAYGVAKYQEANPGVFTIVTFPFLFAVMFGDWGHGICLLLATLFLIIREKKLASQKLGDIMEMMFGGRYVIFMMSLFSIYTGLIYNEFFSVPFELFGKSAYACRDPSCRDSTTDGLIKVRDTYPFGVDPVWHGSRSELPFLNSLKMKMSILLGVAQMNLGIVMSYFNAKFFRSSINVWYMFVPQLIFLNSLFGYLSMLIIIKWCTGSKADLYHVMIYMFLSPTDELGENQLFSGQKTVQLVLLLLALVSVPWMLIPKPILLKKQHEQRHQGHQYTMLQGADESVGAELGEHHEDSNDHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLAWGYNNIVILVIGIVIFVFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFSFALIREEED >Et_4B_036391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21467428:21468489:-1 gene:Et_4B_036391 transcript:Et_4B_036391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKPSPELLRLHPRVVDGVGEPLVQVQLTRFACGSLVVGFTAHHRVADGQATSNFLVAWGLASRGLPVAPLPVCDRATRFAPRDPPLVEFPHRGTEYRLPAKKRGEAHDKIKVHKVHFTKEFVSRLKARASQRGRTGYSTFESLVGHLWRAITAARGLGAGDVTKLRVSVNGRSRIHAADLIHRAVSRVDDAYFRSFVDFASSGAVEAEGLAPTADGSQPVLCPDLEVDSWLGIDFYDLDFGGGCPFHFMPSYLPMEGALFLLPSFLGDGGIEAYVSLFERHLEEFKRICYNIA >Et_2A_018182.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1781414:1781608:-1 gene:Et_2A_018182 transcript:Et_2A_018182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILELGIWVLPFTLLLAPARRMVRLVDELQRIFLAVACRRSPPPTFGEVWSRLDRLDSATVVP >Et_1B_013679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11976632:11983276:1 gene:Et_1B_013679 transcript:Et_1B_013679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASKYLKQLFSAIVAAVKARSMAVGTKAGSLRTRLIVLSIMRNKKLLLSAIQNKIHAIMGGGGSVGAAYSNAAASSHHGVDDGADRCEHGEEQRSLARKAAVLQSLPSFVVEQETKAVVLLSALPSFALDREGGSGFAPRSPLAGGEEEAEDNAGGDNKQLVVVDDAALPGSAVELARCAAEGGAEFRLEDEIDRVADVFIRRFHDQMKLQKFDSFKRDHLRKCLIHVEEPRNLFRRTGTHSHGIPKVKNLHNASLGGFKEALLPKLFGDREHLVAEAALTGEQHAHDRLDPVHPLLHLVVEQLPLDALHFVDAEPLDAKHGEVLVEQVAADGAVGVGELARVARARHPVGDGAQVVDGLGAHGVEHLVVGEGVVPHQRAAARGLRVPLQAVRRGGVELGGGVEVVHGLERKAAEREEEARGVDRDGVADHEVAQLLLEARHGELDRDPGPRGPGAAAGQVVDGDVDRGEVLRDVVLGGLEDERLRGGVPELDELVGGVEGGCSGGDLRGGGGLGGGGVRGGEEVAGDGGEAVVEAGEDAYHVVGSVLGGGEGLDDVLRRGQDLVAAAGRGGGGARLGWGGRRRHRCLFRVGWGGGLFER >Et_10A_000237.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:19339456:19340016:1 gene:Et_10A_000237 transcript:Et_10A_000237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPQKQQPDQARPPGSACVWVVATLLLLCLLAGGGCLVLYLALPAADAPQWLAAAGLSLVALPWAFWTVTCAYRCCCSSDAAAPAPAFVERQPSSSRKSAVAPLPSSKNLKSALASVSSVSARRDGSTATGSPTTSGAKRRVRFGEATVLGEDHAAEKDDDDGEGGSSVHSNESEAPLAPSMQSS >Et_9A_063039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8899178:8903752:-1 gene:Et_9A_063039 transcript:Et_9A_063039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAMGGHLTLSSRRAPAAAFIVVAILLLPLAAAQPLPWNLCNDTAGNYTENSAYHANIRHLASTLPDNTSNSPAFFSTRATGTPPDVVYALALCRGDTNDTLLCASCVNSAFQNAQKLCALRKGATMFDDACILRYADWDFLANTTDNRGRFVAWSFDNVSSTTAAAFDAASGRLVNATAEFAAADAVRRFGTGEEAFDKTYPKIYSLAQCTPDMSATDCRNCLGDIIRKMTPKYFKGKHGGRVFGVRCNFRFETYPFFFGGPLVQVPGPPGPPQANTTPPATSKDSPKSDDIQNVNSLLLDLSTLRAATDNFSEGNKLGEGGFGAVYKGVMSDGQEIAVKRLSIGSTQGIEELKTELVLVAKLQHKNLVRLIGVCLKEDEKLLVYEYMPNRSLDTILFDSQKSKELDWGKRLKIIGGVARGLQYLHEESQLKIVHRDLKPSNVLLDFDYNPKISDFGLAKLVDGDQTQGVTHRIAGTYGYMAPEYAMRGQYSVKSDVFSLGVLILEIITGRKNSSSYANSEESVVLLMSLVWEHWTARTSKGLLDPFLSCQAPQDQMLKLVNIGLLCVQDNPVNRPMISTVNVMLGSDSISLQAPSRPTFCIPEVQADLDVQSKAIASPNEVSVTEVEPRNHLPLPSITIFTGEYSYFATKESLI >Et_2A_016903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29195277:29198188:-1 gene:Et_2A_016903 transcript:Et_2A_016903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMAAALLMVAVAVAAVVGGARAQLKDGFYSHSCPRAEQIVKQYVQEHIPHAPSLAATLIRTHFHDCFVRGCDASVLLNATGGGEAEKDAAPNLTLRSFAFIDRVKAIVEKECPGVVSCADIIALATRDAIGVIGGPFWPVPTGRRDGTVSIKQEALDQIPAPTMNFTELLQSFHNKSLDLADLVWLSGAHTIGIAHCNSFTERLYNFTGRGGPGDADPSLDPAYAANLRRTKCPNPQDNTTIVEMDPGSFLTFDLSYYRGVLKRRGLFQSDAALITDAAAKADILSVVNAPPEVFFQVFARSMVKLGKVDVKTGSEGEIRKHCAVVNKH >Et_2B_019964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15672049:15676115:-1 gene:Et_2B_019964 transcript:Et_2B_019964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKMEEEHAVRHCRQRSELLALAIRHRYTLADAHRAYAESLRSVGAVLHDFLRGVQTLPPPPPEPELRLPQQRKGDGLPAASPPPAPAIASSSAAAGPPVAKQVRIAPDDEHIHFHSDDDSGSDDGHIKFHDDDEPVPGQRRPEIIRSAGPPGPPPPQMGPPYGPGYGPPYGPGYGYGYGPGPGPGPEYGGGMGVNGGSYEPGYGGMPGGGYGQSYGGMGSSSGAGGYEPGYGGMGSGSGSYDPGYGGMGGYGQSFFNINYARSQPPPPSVAREERLQATDARVHFYSGEGGPQPPPRGYGGGYPYPPQSSSSYNQYGYGGYYGGGGGGAPPPMDMPSTSREAPAPPPPPSPPRVSTWDFLNPFETYESYYEQPSAAAAPYTPSRSSKDVREEEGIPDLEDEDMEVVKEAYGDEKHPMKGYNGHGKAAKEEGRSSTGDELPRESESSEASSSGSSLEHDVHVVEKSVVGEQVQRSEPHQHVAGLPAGSEKTYSDDTEVVLEIRTQFERASESAGEVSKMLEVGKMPYYQKTSGFRVSAMMICGIPTMEEEFLRFEEDKAMGSGNISSTLQKLYMWEKKLLEEVKTEEKMRVLYDRKREELKMLGEKGAEPDKLEATEIYIRKLSTKISIAIQVVNTISDKISKLRDEELWPQTCELIQGLMRMWSVMLECHQIQLHAISQAKNIDSMINAAKFGDDHMDLIKRLELQLLDWTACFAAWVNAQKSYVRTLNQWLLNGVEYVPEVTDDGIPPFSPGRLGAPPIFIICNNWAASVDRISEKEVVEAMQAFASNVLKLWERHRSEQRQGMMANKSLDRDLRLMERDEQSMRKALEAQNKKLVLISNQSGVSLSAQVLQEGGPGAENGSLQTNLKNIFEAMENFTAASANTYRDLHLRAEEEKVRVAQENGRVP >Et_10A_001185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2203490:2206286:1 gene:Et_10A_001185 transcript:Et_10A_001185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAKLEDELSFLSKNSHMGQSEGVKTHLYCFGTEQHGNGNLRSFVCADEGSPTLLDTIILSQWDGFAWKGHLDYDVTACKLKVIEGGRNFVIQLNDNWNSFTLKEYEKFIKSFGCLKPNVMKSYDGLLLCIAQGEKDRPEVVPSTSPPKDGLLLIANAYPVEYGHIFMVPSAINPLSCTWDKRMLGLAAKIAFEINNAAFRVFFDNGTSGVPGSMLFQACYFANPLPVESASTVTVYDGKARSGITVSELVDYPLKALIFASNNLKALVNVVSEISFSLHGNTMAYSLLISNNGTKIFLFPQLKNLVTGGSLSAWECGGYFIYHTKYDFDKCSESEFLNRMASVSLQDGTFEDLKHLCCAVVDDAM >Et_2A_016359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23912379:23915071:1 gene:Et_2A_016359 transcript:Et_2A_016359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCIIRVPPTDSGIRVILDIAIIVTEHTYFVLCCLPGMKGGCLHRLLVNKLCFGLVVLLVLPILVLLLEGAPILTILSSTPEQLRILSQGFLQQEQEHPGHDVTRSHNKSRTKLNKDCNFAKGQWVADETRPLYSGNECKQWLSKMWACRMMKRADFSYESFRWQPHGCEMPEFTGPNFLARLKHKTLAFVGDSLGRQQFQSIMCIATGGTYSPEVEDVGWKYGLIKAPGALRPDGWAYRFPATNTTILFYWSASLSELEPLNTGSSAASFALHLDRPVTFLKKYLKCFDVLVLNTGHHWNRGKFNGNHWELYADGKPVGEGRLADLNRAKNLTLHSIARWVDSEIAQRPQMKVFLRTMSPRHFVNGDWNTGGTCGNTTPMSHGSEVLQDHSSDLPAESAVNGTRVKLLDITAISQLRDEGHISNSTLKKSTGIHDCLHWCLPGIPDMWNELLFAQI >Et_5A_041833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3194154:3196059:-1 gene:Et_5A_041833 transcript:Et_5A_041833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRPGSAAPRRLLLLAAVLLSTAAPARALRFDLESGHTKCISDEIKVGAMGVGKYHVVSPDPNFPDAQLPESHRISLRVTSPYGNSMHYAENVPSGHFAFTAAEAGDYLACFWAPDHKPPVTIGFEFDWRSGVSAKDWPNVAKKGKVDMMELELKKLEETIKNIHEEMFYLREREEEMQDLNRKTNSRMAWLGFLSLGICLSVAGLQLWHLKTFFERKKLL >Et_1A_004960.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:19136250:19136852:-1 gene:Et_1A_004960 transcript:Et_1A_004960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TSHSLCPCVPSRSSSLTATACGWRRPGLTRSSTRPLYTGPNPPSPTKLVIEKSRVAVRSSATVNTVTSDSPASDRDRSSGDGSGSGAGAGAGGPVGLEREPPERSPWAEVKQPRPPKIAAVHDVDLPICGASVWAAGRSKRDSGLGREECARWGGRMETDGVGLIWRSSCCHRSISPRVWLGENILGWPFLVFRDLGWRF >Et_5B_043414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10099218:10103177:-1 gene:Et_5B_043414 transcript:Et_5B_043414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVLSAVLSDLTNRFISFITDKLKSYVATRDNEIPRLQQLLLRLSTVVTEAEARRVTNPAMLLQLSQLREAMYRGFYMMDTVGTPASRPKQWVSNYKIRSDMDNLEAFDCMNGFLLILMHCPPIVRQPYSAYMFMEWCMFGRHAEKECIVNFLLHPCSSLEVLPVVGPRHVGKKTLVEHVCREEMVQRNFSGILHFSSDDLNDLVANKHKKLCLSDGRLHDEEYWYFFRVLAFGSANPYDHHPDLASVANEIATWIDGSFMIAHTIKSALRANMNIRFWRRALGWRHDDGPILFFYEGYTARSLIQGDLSSMIKPEDLLNAKTEGYGEKFDVIFHSNIPPCYSYIASCSVVEKPEGVHLGNKCLKRKRDSGKSSPP >Et_6B_049600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7067338:7074802:1 gene:Et_6B_049600 transcript:Et_6B_049600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTGDKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDVVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKIRNEKARRYLSSMRRKRPVPFSQKFPNADPLALRLLERMLSFDPKDRPSAEEALADPYFKNIANVDREPSAQPVTKLEFEFERRRITKEDIRELIYREILEYHPNMLREFLEGTESTGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLPRPCVLYSDNRIESTANVTENLSKCVIRDDERNVQQGGGMRPGKVVDSAMRYGNYATGQYEQRRTARNSTIIPNGISPRSSYPRRVPTCKSETSEAERIEANPARQPKAYITSKLPTTVDGQSVMEDFMGRAILMACSVVYQIRCVSHCFMRLRSEGRQSIRVHGGIWEHVRGVEVRSCRHEFVR >Et_2B_022339.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:18490388:18491707:1 gene:Et_2B_022339 transcript:Et_2B_022339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVERCGSWDCDAAAQAAAQKAVPAPFLTKTYQLVDDPATDHIVSWGDDRVSTFVVWRPPEFARDILPNYFKHNNFSSFVRQLNTYVSCLPCSRLCDVELFLVLPCMEWMDADGVSAAMSQSMQGFRKVVPERWEFANEFFRKGEKQLLCEIHRRKTSSASPVSPSPPPFFAPPHFPLFHHPGVQHHHHQFMADDGVAVAAHGMGMAFPHPHWREPHAAPPPVATRFLALGGPAPSPTPAAEAGNGGAGGPARSATAAVLMEENERLRRSNTALLQELAHMRKLYNDIIYFVQNHVSPVAPSPAAAAFLQGLGLQAARKKPAPVVAVLNNNSGGSTTSSSSLTIADEPSPPPQQQVDNKSSGGEAGSSSCAAAAPTKLFGVHLSAAPPSGAGTKRPPSPEDEQAPSSPPATRPRLELESADLSLSVAPSAASSPASTS >Et_5A_041888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3942807:3944780:1 gene:Et_5A_041888 transcript:Et_5A_041888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTPNPTFVVGRLNEYCLQRAPSLLHYTVQPIERSLSQCGSRLGACHREPDHGHQPRQQPGLITRRRWRQAEQSARKRIVVADARVAANHSDTMADGIASSRWRGLLRRIVSLVVVFLQEGGALSFGSRSILGLGIRRAAPWRWKATPPVASSRSSRTTSERRKLALLRSQGGSWSSTASRTPEPATSFCARCTNLREVLADPERLRLSPRHRPRRQAEKGRRRMMMSASRQRRPARGRALKKT >Et_1B_013669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11799818:11800817:1 gene:Et_1B_013669 transcript:Et_1B_013669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPKNRLPTFYRVYRRKSTEGFQSTPYVVTLFSCMLWIFYALVKSGAMLLITINGVGCVIETAYIAMFLAYAPKASRVLTAKMLLGLNVGLFGLIALVTMLVPAHGTLRVHVLGWICVSIAMAVFAAPLSIMLIDQLTDTAACLIQTQQQRLVIRTKSVEFMPFSLSLCLVISAVIWFAYGALKGDVFVAVPNVLGFVFGLAQMALYMAYRNKAPKAAVMMVEEVKLPEHVKADAAAPAPEGRASCGAEVHPIADELASDDDDRAVVVIDVEPPTTCAAAEADGPMLAPEQAIKPDTAIAVEV >Et_9B_063781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13982237:13983883:-1 gene:Et_9B_063781 transcript:Et_9B_063781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHSRPITRRLFLRSLSTLPRDHLILRFAALAKELADQPPSPPPPPRPRSPHPYDYNRLMSAHAASGGAGNPGAGADRALHLLDEMRVLLGRRPDAACFTTAAAALSSASRPEAALAVLEAMAKDGVAPDVAACTVLVGVYACRLRWFDSAYEVVRWMVANGVAPDVVTYSTLISGLCSAGRVAEALGVLDMMLEEECLPNAHTYTPIMHAYCVRGMIQEAKELMETMTSSGFAPSTATYNVLIESLCKVGAFKEVDKLLEESGTKGWIPDTITYSTYMDGLCKSGRVDKSFALVDKMLANGLRPNDITLNILLDGVCRRATAWAAKCLLECSTELGWHANVVNYNTVMRRLCDERRWLAVVKLFDDMFKKGISPNSWTFSIVIHSLCKLGKLHQALCLLGSKEFVPNVVTYNTLIRHLSLLGKANEAYLMFHKMTKEYGPSQSALLGIVRSLISGGRLRELHTLIGWILGQGFVVDVCMYEEMIFAFCKKGYCRSVDMYKVCHILERMLGLK >Et_10B_002553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15672368:15673614:-1 gene:Et_10B_002553 transcript:Et_10B_002553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAEWNDERTRIICELFAEQVRAGNRPNTHLNNTGYRIVAAKFQQRTQLLYTKKQLKNKWDKLKSDYICWRKLLVIGAGLEWDSARGTFAADDNWWKKINTELPGVRRFRNGGMQNEDKLKVMFDYILSNGVDPSPAAPDSTVNGVDHSPPATDGLPDDSPLATDGLPSAPESPVHRMDDLQRTTDDLPPAQDSTMHGVNLHPSDNNTEHNGVVHPMLESVFPLNRNKKRLTRVNVPKKAKKTKTEMALLMQSHLDRILELAQKAQSTFEKFSSRADPPSASIQDVMTLVRECGARCGSNEHFIATELFVNKEQREMFLTMETPEERFQWLRRKYISKYLSSPSMGLRL >Et_2A_018057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9620366:9624766:1 gene:Et_2A_018057 transcript:Et_2A_018057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QGPTSEEREESLLTVDPHPHTQQPHLTPSLIVSVRRHQQFPPQFVPHSPLLHPHSSSIHPLPCSAVLPAEKLSSAGSEFSHPLHSCRLPKKRGESGQARTSVRARRPARPPAPPLTPRDRAAGDRIGRAMKPAARKDPAAGAGGAAFGGVSCFDVKSFAAALVLFTLVLALWQLHPYQPLISASRASTSCPLLPTKTASSQSLSAAAAVFPSANATAAATPDIASAAAATRPAASPRDPNKRELRPYGTAAALFVQMGAYRGGPRTFAVVGLASKPAHVFGTPYFKCEWLPNPTTAGAGERPVRTKAYKMLPDWGYGRVYTVVVVNCTFPSNPNARNAGGKLLVHAYYSTASRRYERFVALEEAPGAYDAARYSPPFPYEYLYCGSSLYGNISAARMREWLAYHARFFGPRSHFVLHDAGGVSPEVRAVLDPWITAGRVTLQDIRAQAEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPDGRTLQEVLGQLEQYTQFTIEQNPMSSKLCVQDPTNEYSRQWGFEKLVFRNSITGVRRDRKYAIQARNAYSTGVHMSQNVIGRTTHKTESLIRYYHYHNSINVMGEPCREFVPKPTNGSKILFEGTPYVYDDNMKRLAGVIKRFEKETVGAILSIVVGGEEM >Et_6A_048055.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:4648322:4648609:-1 gene:Et_6A_048055 transcript:Et_6A_048055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYLLTGAFYVPNVLGFMVWAKYTSFTYYCYHLLIAVQYGGHLRRLLPSEDVVGEAIHGGCAAALVAMFRCTSGTGCWPTWLPRAAPHQDVIGS >Et_1A_007637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36685577:36688721:1 gene:Et_1A_007637 transcript:Et_1A_007637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKGKMTSAHLLERTAQPFDPKDAEDTADLLDMCVQALQDRRRSTREAALTALAGALEALPPVDELETRAYSIFALCGVCVKEGSLKEARLAYRVVGLLALTVRAGSPRILAESSPLLSRTRRADDGRVVRRRADDGRRARLPRRRHLRRRDEGGGRGAVDEGCLGRDLFPGLEIFQALGPRHEETSPPLLAAAVSTWTFFLTTIVAVTDVLRKADSAVWNATVASLAGLLENDNHAVRMAAGEALAVCVELNLTQHTPRKDMDALAAKVSELASKPPVGKGVDNTMLPRQKNLFRQIATFLDHDERPMESMPTSMDGCVALKVSTWAKLAQLNFLRRFLGNGFLKHVQGNELFKEALSYGANEGKVLSIANKKQGSDMEKDLKPKSKWGRIATYCAIIHTQLDVGRSPGEEGEALQGFNPKDAKDTAELLDMCVKALQDKRCSTREAALTALAGALEGLPPLDELDSRYFNIFAICGICIQEGSVKEARLAYRAVGLLALTFRTGSPGILAESFPLLSRTIKDRGVAHDDAPTMRCSLSEPAGKGVNNAMLPQQKELFRQIAAFLNHGERPMESMPTSLDGCVALEVRTWAKLVQLNFLRRFLGSSFARHVQGNELFKEAFSYGADEGKVLSIAKKKQCGNMEKELKVKRRRERWGYDWDSNILCRYPYTVQRKPEALLQIGWQALN >Et_9A_062574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24146908:24151082:1 gene:Et_9A_062574 transcript:Et_9A_062574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATARFSIRYCWPCLCRSTLREHGWEYAARARAWPFGGDAGALPPMEVRRFRWWAEEAAAVEEEEREVERRMAAKRRKRSVAELFAAVPRVAGGKGKKAVNGGRMHAKEKDKGKLVLAVEVKASKGAKKRKKKKNVPAGIAVSKKNDERDSSILLVIHSFNASKHLKENILSIKLKEKSSSAKLLSDSTRKTKPKKSLSNKKRNQEVSVLEKGCKKGNKKTVLDSKKNAMTNCVQSQIILEKHSEVASDTLLNNKDVTCKPNSFCKPKNATFSRGNDIFGWTVPLPQDNTEQPISVQTSQRPFQEGHCKRSREELQLVYQRADATPGAFEEDTSSLSETVVSTGVSCIFAGTKPKGSTIVGNSVDLNHRSEISNSSNYLTSSGLACLPSKMASQNFDGVNSCLDDAEDFREENHVIPQGSSHPVSLAVKAISNDIHRGQVSQSSSSCLNDRSRSTLPEVVVANCHLGKVHHKLVRSALSPFLIPRTCTDRANLRPKHHVPQIEKADQSPHFTPIAPRAHNMDFRQLSCSERMKTNKYAVLSNNYPCTYQQELSSACFCAECMVHHNPQQKLHGMQSCSMRRDGEQNTLSTAETTMRLMGKTVTLGSNGIRCRYLNNETPGCNKQTLAEDHSFLGTHRKAFPQSFHGELVYPPYAFRSHGERELSENPSVLSLVSASEMRSELESNSFRTNGHNQQPELAAANRLYAQPAAWCNESELQHQQPVRPNQVQSGSEDMLWGSMHRRDTQSVASAPSFNRRNNVRNFMETRQVPYQPSYFTQHFSNMTQRNPVSSTLSGYAAVQSTPGPGLTTQTKFTSLPPLPPSLTPSPICSSPDYAQPRGSTTNHSSIPAAYPTSKSSAPGNAISRDERVKWTMMGSNVEGLGPMNGNGKRPADRDDVLVASPKKPCMAASKEVNMLPIPERGLQFFGSRPDAQPVDVPVGFGCEPQPDLRFGRQDAQNTWSYPVNTVRPVKLKPGARHIVQPSGSNMSQDVSWTVHSVTPFAGENGGCTTSTSKNRDAEVYELQLFIYVSGK >Et_3A_023615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:127159:128162:-1 gene:Et_3A_023615 transcript:Et_3A_023615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSRRDDGDHHHQGTKQMTSPPALELLDEYWFFSNSLAVKNNNNSHGGAKKPPLLPRSPSTKPQQVVVGRRLLRTPSLPSPRVGMEEETMPSDPVEDPDQEVDDDDLNWSSIYEGVLRTRMAERNSTRSSPALHRAPSMPVVPSSPEMATKSTPTSMPRLRHSYSTLDRHQVRSNKQPPMAKSVFQKWKSSSDLESIEVQGFKDLGFVFDKEELRENLADVLPGLKKSSAPAAAANRHGQGAAVPRPPAAIRDARSAAEMKDQLRMWAQAVACNVRQEC >Et_7A_051841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25392175:25396418:-1 gene:Et_7A_051841 transcript:Et_7A_051841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRKKVAARHAAGDHANPRIRPSRKGIQSAAEKKVTDLITSSSKKQKPIASTSKKHSKGGRKLSVGCDTTETENEAPQVASGIPPDNQHCSDGHADDRPNNSIFSPTYHHPKECCLNSKDEQMTHDTMEATLKSGTSHNSGCTNLSFNTRDGLSDHSCALNLQPTGENTILEVNEFSELGNLSSEVSAIYLAMQQSKLECIDEQSQDSTSTEGYAEGEEAEEYDEFDPYAFIKDLPDLSMVVPKFRPVLLPKQTRSCPRTTLVLDLDETLVHSTLEHCPDANFTFPVHFNFREHTIYVRCRPHLMEFLERVASMFETIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRESCVYVEGNYLKDLTVLGRDLTRVMIVDNSPQAFGFQLDNGIPIESWFDDPNDTELLKLLPFLETLVGVEDVRPYIARKFNLREKVATAPSLAVDMQM >Et_4B_038385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28631790:28634913:-1 gene:Et_4B_038385 transcript:Et_4B_038385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLHSAGSYSGTKRPLAWEPAAPRVPKLRKNTSPPPAETASSLFHGGDGGDRGKPCGAALLPPLYLEVFRHPSRASPPKGYFLLSLTIAHGILVDTEGERQAGDSEVRLYDFHGTVLRLKEFSSHTLNSSVLLHGSFAFDKWLAQNRSIFDGRRVLELKSGTGALAIFLRKALGVDVTTSDFNDKEIEYGIAYNCKINSLPVLPHVQHTWGDPFPVSRPDWDIIVASNIEPYAEQSANLVKTLSFLLREYKPKGQGAGCTTITNKSGTQVPVRFPMSLISWRRRIDPSVLFLGCENEGLEVQHLGYLVYLIQKKN >Et_4A_032711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12941209:12944099:1 gene:Et_4A_032711 transcript:Et_4A_032711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NVDLLLNRGDDAVTDLPSSSAGVSCQGIEHSEQNPKDDEYARLVMPSQQVTSDVSASILPEQPRSRSFIWWMKVLLGCFLLILVGYIFVKWGIPFAFEKVLVPMMQWEASAFGRPVLAIVLIASLALFPVILVPSGPSMWLAGMIFGYGWGFLIIMVGTTIGMVVPYWIGSLFRERLHAWLTRWPQQIALIKLAGEGNWFQQFRVVALFRVSPFPYTIFNYAVTVTEIKFNPYLCGSVAGMVPEAFIYIYSGRLIRTLANMKYGNYKMTPVEITYNIISFIIAIVLTIAFTIYAKRALNDIKMSDGISKEVRRPVGSGALKNHHHQGRPHSHSIELDVV >Et_10A_001990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17422266:17426307:1 gene:Et_10A_001990 transcript:Et_10A_001990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEATTYARKRAKKVKPKHTRCCMASRSVSIPTVRGQLRGADPQSYTPRDVPIGPLHVDCSCPSIEKEKMCSVSLLRSLSGECTEGVLAALMEKLEPFARKCYADGVGDNMTPEQFRRMLLSDGCYLLLLYVEYVSGDRDTLGDHERPTAVNRNTLVRDTLFLLENQIPFFVLQGLHELVSGGTSSVVDFIAEPVQDLLQKMLFISKKPRPAPPSCSHLLHLVHTYFRPTVLPAENNKVRCRRRRRPTGRWRRATEYRRYANVRFKPWEFEDHVESSVLDVQLQRGTLWIPRLRVESNTWTILRNLMAYEEQKKRRPVTAYCLFMSQVACTVEDVEMLRQAGIVDHYMSNDEQVAQGFADLWRGVVMDVDDLDRNYLKPMWHELEDRCHSRAQRLMGWFHHGQNVVIAAAFLVAFILVVCQVMQTFYAAAARGRQP >Et_5B_045078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9225450:9227614:-1 gene:Et_5B_045078 transcript:Et_5B_045078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLLGLLKVRVVRGVNLAICDPLTHSSDPYVVLRLGSQRVKSSIKYHTINPEWNEELTLSITNMMLPVKIEVFDKDTFTKDDSMGDAEFCILDFVETAKQDLSHVSDGTVMKTIHPEKGNCLATESHITWKDGKVSQDIVLKLRNTDTGELVLHLQWVSIPGITR >Et_10B_002657.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:3947067:3947240:1 gene:Et_10B_002657 transcript:Et_10B_002657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELKDVEIKHCFRDQNRVAHTLASFACGASFCAVWLGHVPSCVTHLYDAECNPILS >Et_3A_025479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30116357:30117984:1 gene:Et_3A_025479 transcript:Et_3A_025479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVARRGGLLAQSRPGIRARARTWGHVTGAGYPAPPLLVASSLGVRESVDSVPAQPLGDDETMAAEVNLTLFLPGAELCGSTGVGEDAADSAEIPPPPDVPAKTVRVKFVLQKQCAFGEHFLVVGDDPALGQWDPANAVVLDWSEGHVWTVNTDLPANRLVEFKFLLRDDSGHVRWQHGGNRSLQTTETQSTLVVHEDWDHAKNQRVSEEGGLAFAAEHVMFSDELAGSTGAFLVDEEELSSIAAEYATFSDELAGSTGAGALLTDDFQTGESLESNRSAVADASLRGEIKGANEEAQQQFILEKDQKLLDEAHGKADTALRNGHPAADDNAQPADRSTSIFENDLAWTVKALQPLLRFLGFQIGTTRT >Et_2B_022781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:499519:501391:-1 gene:Et_2B_022781 transcript:Et_2B_022781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGETREPAIMQSPSKITGASVPSAPDPSRLQGWADLPEGLLHSIIHLLGSFLDLLAFAGSCSSWHAAFASYPHKSTFRTLLPPLLVRPNVRVSAPHLPSSRDGHKLRTCQVIDPANPNITLRCQIPQETLQKKHFAGFSYGKLICGHRREVLIVDVFTGVRVSPPQLPFSEDTFFYCGMLTAPLTSPNSHLLICAQPNGSSYSCEHSLFDWLVGSDSWSELRLDDARIDQIVDFNGQLIVMDYHQRLYTVSLSPNLCLQEITTVWWDGMSECPFLRPWLVVCGDMLLIVDHYVSFSFGAPVIYKAYCLNMLTNPATWVEVEKLESYTLFVGADVRSPPFSCTSPGRWGGRSNCLYYAHDIQPWSLHGLGDEADAVWDSSNDPELVFKRNWYGRLQPFWLYPSMFYTDGQ >Et_4B_037895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24542038:24544455:1 gene:Et_4B_037895 transcript:Et_4B_037895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAAAPRHSCAKLSVAVEDPKAAGGGAVFVKATWFPTRFSLAVTDGAGAWVAEASDAEVRLRAEQWDQPVAEYLALAERYLAFHQPSSSYSFHDAGNGCRRLSWTFERQGTKLEWRWKLQPSPNTQQAISEILDFLMDANIRLSEEVVRKSQSFDKLKQEAEKCLQQSERLNKEKADFEEATFTKFVVVLNSKKAKLRQLREKVAELESADKPTKEEEEQGDNSTDRTELFEEGSDKEASVNDEPSDTGSGDHHSSPEKSGATSRGRRGRNRTMK >Et_7A_050789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1154220:1155853:-1 gene:Et_7A_050789 transcript:Et_7A_050789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNAFSVSPTTPGLPPPPSTTTRRASSSRLRCRAEASGVRGSWASDYDLYELLGVERSSPQSEIKAAYRSLQKRCHPDVAGAAEGHDMAIVLNEVYALLSDPAARLAYDQEQARRSEFVGYTGRPLYSSWLGGEAEQRAVFVDEVRCVGCLKCALHASRTFAIESVYGRARVVAQWADAEDRILDAIETCPVDCISMVERSDLAALEFLMSKQPRGRVRVSEGNTVGARAPNIFAEVDKFQKRFQEMKQKAATRESHESETVRQSRTSAVHSIRSMSNWWYWRPFGPSAPTTTVLASRFLLPPPAETPKPADAVTDRLQEAVAARRKAGGATAAVRIRRDDYWTPQRNLPSSASPPSIQQRRNDVHQDRNRRQRAAGEAIAGTRSQRVSIDLTAPLLMGIISAGIVGYNGEEMTGGSVSGIQEHFGGAIALGVVNSFEMKVMLAGLTWFIIGSAIAGFVQILGRREEDTEK >Et_1A_008771.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12466819:12467298:1 gene:Et_1A_008771 transcript:Et_1A_008771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPTRASSSILVLLLVALAGAADQTAALLSSSSSPVPVGGGADPGDLNGMMQCMMGCFTQVFGCAFGCMGKGPDLPLCMISCDSKSVVCMIRCGLTPSPPGPKPPAPTPPAPKPPAPKPPKPSPPKPTPPAPKPPAPGPPYTPPYSVAGGRKIETYA >Et_7B_054269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18907036:18913503:-1 gene:Et_7B_054269 transcript:Et_7B_054269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVVTKSSSPVLVVPSKPTPARNLPLTSTDKSRLFFSFTSFHVFERHIHEPAETLRRALSDALVHYYPFAGRIAAGANGGDQEHVHISCTGEGVTFVSATAGCTLQEIRFLHTPLAIPLDDLAVRYGGRCGLSDPLVMMQVTEFTCGGYVVAATWNHGIADAFGLSQFLQAASEIARGLALPSVVPVRYDDSLPDIPQLISAIVKGSPAVLEAFLSMHMNLAYCDVTIPWSFINRVKEEFRSRSRGQKICTSFEVVTAAVWQCRTRAINLDPNVRPPLVFTANVCKFSGAKDGYYGNCVFSQLVEATSGTVANGAVVDVVSLIKDAKERVDFGGGTPNRVVPNLERPVGPICFPCAPCSSSHDNGANVVAFCVTEDHVQDFHAQLARLR >Et_1B_012844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35527369:35532357:1 gene:Et_1B_012844 transcript:Et_1B_012844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAMDGSTWEDMMRRILPPGTPIPEAPPNLDYSIALEYDGPPVPYELPRVDPVELPGIPTAEPVSGPQMLGNGGGLPVAPVVDPIRLPVSRIARCADPVSTQVGGSSESVDSVLQNEEFDDEDDSRSQSHGSAQSSPRPQNRAEMQEGRRAPVVTFGFTPNSKYDSKEFEEMSEQYVAVTKKEKRRRACYRCGKRKWESKETCLVCDARYCSYCVLRMMGSMPEGRKCVTCIGQPIDESKRSKLGKNSRTLSRLLSPLEVRQILKAEKECQANQLRPEQLIVNGCPLRPEELTELLSCTRPPQKLKPGKYWYDKESGLWGKEGEKPDRIISSNLNFSGKLQANASNGNTQVFMNGREITKIELKILKVANVQCPRDTHFWVYDDGRYEEEGQNNIKGKIWESSLTRFACALFSLPVPPGNSNGTRDEVPYSARTVPDYLEQKRIQKLLLLGPPGAGTSTIFKQAKYLYGTRFTPDELEGIKLMIQSNMFKYLGILLEARERFEEEALSRLCRTSSEDEETQQDENKANGSNSCIYSINARLKKFSDWLLDIIAMGDLDAFFPAATREYAPFVDEMWKDPAIQATYKRKEELHFLPDVAEYFLSRAMEVSSNEYEPSEKDVIFAEGVTQGNGLAFIEFSLDDRSPMSEPYIDNPEAHSQPLTKYQLIRVSAKGMNDGCKWVEMFEDVRMVIFCIALSDYDQLAPPVNGSSRPILNKMMQSKELFEATIRQPCFCDTPFVLVLNKYDLFEEKINRAPLSTCEWFNDFCPVRTHHNNQSLAHQAYYYIAMKFKDLYYAHTNRKLFVWQARARDRQTVDEAFKYVREVLKWEEEKDENYYQEESFYSTTEMSSSPFIRAE >Et_4A_034108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2990387:2992204:1 gene:Et_4A_034108 transcript:Et_4A_034108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLPGMVTVKEEWPPSSPPPEEEEEEVEDAPRPMEGLHEVGPPPFLTKTFDLVADPATDGVVSWGRAGNSFIVWDPHVFAAVLLPRFFKHNNFSSFVRQLNTYGFRKIDPDRWEFANEGFLRGQRHLLRLIKRRRPPSYLPASQQQQALGTYLEVGHFGGLDEEIDRLKRDKNILLAEVVKLRQEQQSTKADMQAMEERLQHAESKQLQMMGFLARAMQNPDFFHQLLQHQDRRRELEDAFSKKRRRPIDIVPFAAGAGAAAAETRRQGEEELDSAFFFGPEEMGEPGGSELENLAMNIQGLGKRKQQDEKGGGRNNQDDAIAGGETAELTDDFWEELLSEGMRGEGELSVPEMERRRPPGRHVDALAQKLSYLSNSTAK >Et_7B_055141.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7685261:7688392:-1 gene:Et_7B_055141 transcript:Et_7B_055141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAASPSLSSLASSSSYAAAASAASPAFSASPSGFHEPRPLHLSLKLLSPLPKPHSLSCSAAHVPHGDDDYEEAEFGRLLGFDDVLRLAAAHGVALPGDMMEAAKDAGIREVLLLRYFDLQAAPWPLGAMIRSFSMLRNRMLADPSFLFKVGTEIVIDSCCATFAEVQKRGKDFWAEFELYSADLLVGIAVDIALVGMLAPYVRFGKPSASTGLFGRFSRMAGSLPSSVFEAERPGCRFTVQQRIGTYFYKGVLYGTVGFVCGIIGQGIANMIMTAKRNVKKSDEDIPVPPLIKSAALWGVFLAVSSNTRYQIINGLERVVEASPVAKRAPPVAMAFTVGVRFANNIYGGMQFVDWARWSGVLLNTMRDVEQQANQLRCSED >Et_5B_043133.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:9559140:9559703:-1 gene:Et_5B_043133 transcript:Et_5B_043133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCHPERPYRGMTPPPPPPQFLRHEAGGGAPALPAADDEFTEPDREVAGILIMMSAGPAKGKGKKRLRLGGSPSCGKKEAGGASASATERNDDHKCGVCHRGFATGKALGGHKGSHMEKPTCGADDQAVVPPATSRSRSVLAAPEEETKAAATALDLNLPPPGPPVPQKNGDQGGSNNAMLDLKLGY >Et_6A_047146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25981640:25984245:-1 gene:Et_6A_047146 transcript:Et_6A_047146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKESGIEELERMCTNETSEPVRISYSVIESITKKFYQEIGRGGFGTVYLGSLRNDVMVAVKKLDTLRDVSDKQFLGEVKCLQTVNHKNIVRYLGYCAYTLGLVMEERGKVIMAEEPKRFLCFECAPNGNLHDYLKEKTHGYEWSIRYKIIKGICQGLHYFIRKGLNIWTSNQQMCCWVPRWSQRSQIFVVKFSIIASKVMGTLGIIMIRLLTGINEDIPENWYESLTVDCQQKKRCIEIALTVTHIKDLHKLTESETTNQNVPPVIITEPRNDPTSASY >Et_5A_040296.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:11769511:11769564:-1 gene:Et_5A_040296 transcript:Et_5A_040296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMCAGWIGRRRGAL >Et_8B_060863.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:9718176:9718691:-1 gene:Et_8B_060863 transcript:Et_8B_060863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKDVLPIYPDHRDLVYGFIDGVGEAFALGAAGGAAFNFVRGLVARGSPGGRLAAGFHAARAGAARVAGAFGAFCAAFSALEAVTYLARGGEYTFTGSAAAFAATCGLQGMRRGAAARCAFLGGACIVVLEELSRAQMVSDFEKSLARQRRMHGDRPAPAALRLKTDNSAI >Et_7A_051765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24276857:24277739:1 gene:Et_7A_051765 transcript:Et_7A_051765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLSLSSMSSSSGTTPSGSLQANACRRLARLNGAILSARPIPGHIRRPDPNGSTSKSCPLTSTTLLLPPTNLSGRNSSAASHTAGSRPMAQALIATHVPAGIRKALTEASLRHRRGDSSGVAGCRRMDSLMTAWMNGRLEASPSTGRRPGPTTRSSSSVALARAVGFFRSSESAHSTVTAVTYQDKCLDAVPLNRDLHVRIVCYLQQQINHVPGHKALALLPPPLVVLVQHVFQKRVHHLAKPFRPADVPLQV >Et_7B_054838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4806616:4808938:1 gene:Et_7B_054838 transcript:Et_7B_054838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLDVEAGGDNATAEAAAAADIAGASRDAAKLRRALVIGGVGQAATALYMALFKQPAGLFLLARLLRYAYYCVLIAVVLFGVAQAWVGLWVSHDPRRRRAVGVAVLWASLLPLLLLRSGPSHDRSMGSVCIQIPATAPEVAGHMADAAQDEKRLRRALVGGGAAKAAAALLLALSRAPGGVFLRGGRALYYAYYVVLLAVALFGALEVAVGFWVSGDLERRRGWGRGVVWASVVPLVVVAGLGGFAVLK >Et_1A_005649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12386511:12389571:-1 gene:Et_1A_005649 transcript:Et_1A_005649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRFADLVKVLPRRGPPNPLLHRCHPPPAPRARAMSDLRAPEHQVAGHRASINKLGPLVDGAGLFYKPLQTGDRGEHEAAFYEKFSAHPAVPSRIRDAFFPGFHGTRLLPTAARPDEPHPHLVLDDLLAGLDAPSVIDIKIGACTWPPSSPEPYIAKCLAKDRGSTSVVLGFRVSGVRVVGAGGAEWRPERPEIKALDTAAVRRVLRRYVSSVADEGMDCALAAAVYGGKGGVLSQLRELKAWFEVQTLFHFYSASILLGYDANAVSTAGVGGGVRVKLVDFAHVDDGDGVIDHNFLGGLCSLIKFISDIVDEARETSPLGPTFRSEKGTSNQTV >Et_4A_031833.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:20705954:20706448:1 gene:Et_4A_031833 transcript:Et_4A_031833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DAKWLIGRRFTDASVQSDIKLWNFKVISGPDDKPMIVVHYKGVQKMFAAEEISSKVLIKMCEIAEAYLGTTNKSAVVAVPDCVNDSQRQGTKDAGVIAGLNVNVVYELTATSMAYVLDNKSASHGEKSFLIFDLGGCTFDVSLLTIKEGVLEVKAIAGNTHLGV >Et_3A_026493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8735046:8739677:-1 gene:Et_3A_026493 transcript:Et_3A_026493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDARERKRSCVAQEGDHIMKAHASENKIVKSAEISGENMTHRVISILQKYEENTSNAVKVPSSTSYGEGYETVKINRKRLIGPILSCTKWEERNLISVNIACLKIEVLRENMTHRVISILQKYEENTSNAVKVSSSTSYGEGYETVKINRKRLIGPILSCTKWEERNLMSCQLSLSLPLSLSLCAREGDHIMRAHACENQIVKSAEISEEKMTHRVISILQKYQENTSNVVKVASSTSYREGYVTMKINRKRLIEPILSRTKWEERTGCHAPEGDHIMRAHASENQIVKSAEISGEKMTHRVAPEGDHIMKAHASENQIVKAEEIS >Et_1A_006587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2491450:2492114:1 gene:Et_1A_006587 transcript:Et_1A_006587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVKALRCIFFQISGRDKFLKVIEFLRRQLHQDTLFVYINSAFSPNPDELVIDLYNNFGIDGKLVVNYALSAAWG >Et_2B_019692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12455615:12456847:1 gene:Et_2B_019692 transcript:Et_2B_019692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSKVRLFWWRVINNFMLSKAELKRRCMLKESHYEACGDLENMFHIAMWICEEVLGSCSRIHDIISGQVCFLADANVLCVCMRTYSESEKVRIQERWKPPDRGWSKVNVDGAIGIALATGLQA >Et_7B_055305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9156277:9168365:-1 gene:Et_7B_055305 transcript:Et_7B_055305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPEEKLRSTKEPFIEVVGTQRIESIRFSTLSGNEIRKSAEVQVWTNQIYDKDMNRITYGLLDARMGAPNKLGQCSTCHGSFAECPGHFGYLKLVLPVFNVGFFNCVLDVLKCICKGCSRVLLVEKDRREFLKKIRNPRADPLQKSAIMKKVRDKCKLSRCPRCEYRNGVVKKGRSGLTIVHDCSKILDGHTDDLANALKHKKEKPSNISIRMLDPATVLSLFRRMIDEDCELLNLGDRPEKLIVAEIAVPPVPIRPSVVVGNSRTSNEDSITVILKNIVNTNSILKEILKSGGPVTKCFDCWQHLQLQVVEYVNSDAPCLTDSQHRGLIQRLKGKTGRFRGNLSGKRTEYTGRTVISPDPNLRITEVAIPVLMARVLTYPERVSYYNIEKLRHCIRNGPYKHPGANFIIQPDGTKLHLKYCDRRIAARDLKYGCIVERHLEDGDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLVTRKDNFYDRSSFTLLCSYLGDAMEKIDLPTPALIKPIELWTGKQLFSVLVRPNASTKVYLNLTVKEKNYTVREKGNDKEKKVKKFPPGNREWETMCPSDGYVYFRNSELISGQVAKGTLGNGNKNGIYSVLLRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGEHLNQEKKKKVDGGYTKCHDLISSFSKGALTLLPGCNAAQTLEAEITKILNDIRKEAGDVCMNTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGRRAPDGFIDRTLPHFPINSKTPAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFAYGDDGMDPAKMEGHDGKPLNLDQLFMKVMKRIKLLKNSRSAFHLDEDHEDNEASREIERVAANISGISGKQLQVFLDTCLSRYHSKKIEAGASVGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKKISTPVITTELLSKQDVLSARIIKGSMEKVVLGEVSAAIKIVLKSSQPNLVVKLDMQLIEDLYMGISADSVQLSILNHPKIKLKSEHVRVVDKSKLRIYPSGTDKSKLQLELHNLKSMLPKVIVKGIPTVERVVINNTKKENKEDGTKAEYNLLVEGTNLLAVMGTPGVDATKTKSNHIMEVNKTLGIEAARRSIIDEIQYTMKSHGMNIDARHMMLLADLMTYKGEILGITRYGIAKMKSSVLMLASFEKTSEHLFNASYSGREDKIEGVSECIIMGIPMQLGTGILKVRQRLQHLPEFKYQPDPILSS >Et_8A_057309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23476454:23481007:1 gene:Et_8A_057309 transcript:Et_8A_057309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IIAARFRHNQCIYFTKMGSSELEQPKALSHMDCSQPPSRTWQRKFDDEGKKIAMFTMTMNDIISIGPLLLRVLRLHIEETAKGVVSYSLLFFTAYSVISVTQKNQQKLLYPVTEFANNILHFDPQATVYDPLRKWMDNCYRGVPLGGIGSGSVGRSYRGYFQHFQIFPMIYEEKPILANQFSAFVSRPNGKKYSTVLSAPCADVLKGIDKAGIGSWDWKLKEENCTYHGLFPRSWTVYDGEPDPEIKITCRQISPFIPHNYKESSFPVAVFTFTVQNSGSTPADVTLLFTWANSVGGRSELTGNHMNSKMTARDGVHGVRLHHSTADGHPPVTFAIASQETDDVRVTVCPSFTMGPSGSGEFTAKNMWDEVKKNGSFIGAGAGDAPTVAASRPGSSVGAAVAASTAVPAGETRVVSFALSWACPEVKFPSGRRYHRRFTKFYGLDGAAAAENLAHDALLEHMKWEAQIDEWQGPILQDKSLPDWYPVGLFNELYYLNAGGTIWTDGEPPQNSSFAASGAGLFSLDTFATTTPSATTPTSSSSTAVDGVLRAMSSATEHHHLSTASLGTSLLQDSENVGQFLYLEGMEYAMWNTYDVHFYASFALLSLFPSLELSLQRDFARAVLLHDPRLRRTLDGKTVRRKSLGAVPHDMGLNDPWFETNGTCSTTRRGPQPQVYRDAVATGDVAFAEAAWPAVYLAMAYMDQFDRDKDGMIENEGIPDQTYDIWSVKGVSAYTGGIWVAALQAAAAMARVVGDRAAECYFRERYLKAKRVYDAELWNGSYFDYDNSGGANSKSIMADQLAGHWYARACGLGELVVDDEAKTRSALSTVLDYNVMRFRGGAVGAVNGMRPDGAVDESSTQSKEVWPGVTYAVAAAMAHEGMREAAFRTAKGAHDAAWGKHGFGYAFQTPEAWTADGGYRSLHYMRPLGIWAMQWALSPPKLHTDLLRVDSPGCCSPADAARGEAQFRKVAAMLRLPEERQPKGYIWAIYQLVKRMVLPDQ >Et_3A_026098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4260207:4263977:-1 gene:Et_3A_026098 transcript:Et_3A_026098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SHAAPATTRSSSARGEGPRREGRAERTQLPEDHMGAEAEHPQLPPPPSSLRASKEAAPAVLGLQLLALVDHVARVDWSLLDRIPGDRGGSQLVSIEELNHILTEVNAHILPSRNDLSPITTIAGGSVANTIRGLSAGFGISTGIIGACGDDSQGTLFVNNMSFSGVDLTRLRAKKGHTAQCACLVDASGNRTMRPCLSTAVKLQANEFRNEDFKGSKWLVVRYAQQNMEQIIEAIRIAKQEGLSVSLDLASFEMVRGSRSKLIALLETGNIDLCFANEDEARELIGGGLAYDPEEALAFLGKYCKWAVVVHVPAVGESNAVDATGAGDLFASGFLYGLVKGLPLEECCKVGTCSGGSVVRALGGEVRPENWQWMYKQMHAKGLLVPDLKN >Et_7B_054013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14169893:14174903:-1 gene:Et_7B_054013 transcript:Et_7B_054013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAVEALPERPSEEEFCAALRNGLILCNVLNRVNPGAVPKVVENPVVTVQAFDGPAQYAIQYFENMRNFLVAVSTMNLLTFETSDIEKGGSSMKVVDCILCLKAYHEWKLSGGIGIWRYGGIVKIASSNKRPASHLSRSGGSDQQMLEFVHLLSEVSLEESRVAESQHSLFQHFVLRVVRAFLLEWSEAEDSPLDDMVIETILEQACKEFTILLASHRNQVRSLLRKMMKDDNGALSKLDLVEAISKYLKENSECLFSSLRLSRGNHEVLDNGGVLESQQKDLEKLKMSFNEMKLQVESTRADWENDLKRLESYFEAQNHNAYHKLLEENRKLYNQVQDLKGSIRVYCRVKPFPKAQSDQRSTVDHIGENGEIIIANPQKQGKDGRKIFTFNKIFGPTASQSEVFADTQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPDVTAEETWGVNYRSLNDLFEISQTRADSFKYDVKVQMIEIYNEQIRNNSHVNGLNIPDANIVPVKCAQDVLDLMKVGHRNRAVGSTALNERSSRSHSVLTVHVQGKEIISGSTLRGCLHLVDLAGSERVDKSEAIGERLTEAKHINKSLSALGDVIAALAQKSSHVPYRNSKLTQVLQDALGGQAKTLMFVHVNPETDAFNETMSTLKFAERVATIELGAARVNKEAGQVKDLKEEIAKLKLVLDDKEREAAQFKDLANRTASEMRNARTRSPLTTSMSLKHEAGQESSVDTCTSEIRSTSSGKQRRFRSPLSMRELEEKTPVTSRELYLSARKFKTPSPPVRSSLSAERGSFNRRAENTASIDCTPVSKVEVPAKALNISSRNTPSSVLTAQNLRKFRDSEENRSKIPSVRQSMTKNRSDSTPRAQKEEQSANRNSGAKLRSETKCTRDSSEIENEFASDEPTFHFNRKAKKLPTQATRQSQNIDLRASVREIEPLTEGRQRRNWSKPPYAERTNIPLPDIRRSASLPRGKMALV >Et_1A_009585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:8650008:8654142:-1 gene:Et_1A_009585 transcript:Et_1A_009585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARPWLSLSEQEAYCLVLSGRLLHLGLAVPLLEALEQRVDVLALVDGHEYLVQLLSRRARLLAGQVLCSELLAHKPVQRVRAALLDPVGDRGSAVLDRRPCSLDRFGQRSTQFLLELSDLGEHGRLRVELGRAVGERALHEHIPQLLHQWVVGAARVVRVREHRLTLDLHEHVLLAEASSVEVTGGVGAQGLLGHCHHAALDGALDVLLDVAQVNSLAQGDQEGRAHELEDLDGLGGLPGGDETERVHILVVLLRTLDMVGHRVAEELQLRAVGGHGDLSALETVVQAGVAPTGQVGGKAVVVEVVHQLRELREHELADGGDGEAVDLTLDGLGGGTDDLNLGAKPLRRRAERIPVLLRFHQRVELAELLGELHVRTALQDVLHDGSGLDLSRVVLELVGEVVGVLWLAVHDLAEHGGQDFGEDGKNVRLEENRGGKPGADGRAIHHGKTFLGLQLEEASLDAGNLEGLGGVYLAAVRRHRNRVLAAGDEAGNVGQRDKVTGRGDGAAERQARRDVGVEKLGDGLEDLEPDSGVPLEEGVDADEHGRARRLGGQDVAVGAGAEGAGVEEPDELALERAALLGAPVGRGPEAGGDAVAVGAVHHAVHDPVAAGLDALAGGLVQLDAGLAGAVGHGGHLRDAQAGALDRHHGLPVLRHHPLHLVQVAAHELLGRLAPVKRVGARRRHAQIDAVGGSDVAVLALHLQMGREIQPKH >Et_7A_053084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6188701:6195231:-1 gene:Et_7A_053084 transcript:Et_7A_053084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTQALADALRSCSARRALSGARALHGRLLAVGLASTVFLQNTLLHSYLSCGALPDARRLLAEISHPNVITHNVMLNGLVKGGHLGDAEVLFDRMPGRDVASWNTLMSGYFQSRQQLAALDTFVSMLRSGDSLPNAFTFSCALKSCGALGWRGLALQLLGMVQKFESQYDSEVEASLVDMFVRCGAVDLASRLFVRITNPTIFCRNSMLVGCAKTYGVDHALELFDNMPERDVVSWNMIVSALSQSGRVREALDMVVEMQGKGVRLDSTTYTTALTACARLSSLQWGKQIHAQVIRNLPRIDAYVASALVELYAKCGCFKQAKGVFGSLRDRNNVAWTVLISGFLQYGCFTESVELFNQMRVELMTLDQFALATLISGCCSRMDLCLGGQLHSLCMKSGQIQAVVVSNSLISMYAKCGNLQSADSIFRFMDERDIVSWTSMITAYSQVGNIVKAREFFDGMPSRNAITWNAMLGAYIQHGAEEDGLKMYTAILSEKDVRPDWVTYVTLFRGCADIGANKLGDQIIGHTVKVGLILDTSVANAVITMYSKCGRISEARKVFDFLHGKDLVSWNAMITGYSQHGMGKQVIEIFDDMLRAGAKPDYISYVAVLSGCSHSGLVQEGKSYFDMMKRVHNVSPGLEHFSCMVDLLGRAGLLSEAKNLIDEMPMQPTAEVWGALLSACKIHGNNELAELAAKHLFELDSPDSGSYMLMAKIYADAGKSDDSAQVRKLMRDKGIKKNPGYSWMDVNNKVHVFKADDVSHPQVLAIRKKLDELMEKIAHLGYVRTDSPRSEIHHSEKLAVAFGVMSLPDWMPIHIMKNLRICSDCHTVIKLISSVTGREFVIRDAVRFHHFKDVKNNLREVPNASKSFSDCSDVNNQLTRLKEDRESEVDGLEREVVVLVGEQEVLGLEVAVHDPERVARLDDPDDDPRELGGLALAVVATLYDAVEELAAGAELHDDVDVELVLVGALDGDDVPVAGQVVHDLDLAAHVLDVLLGDELALGDGLAGVVGARGEVGAERSRRFGVGWPSTEPRSGGALAAPRLAAGGGGAGAAWASVATAAAARGVDGRDGFLGESSAA >Et_3A_023256.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:14577196:14577333:1 gene:Et_3A_023256 transcript:Et_3A_023256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRIFHRNLKPSNVLIDSNGSNAVGKIYDFGLVTYYDQAVTTLM >Et_5A_041899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:389935:397474:-1 gene:Et_5A_041899 transcript:Et_5A_041899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAAAAAAVFPARFAAAPAVAAAEELRSPLLRVLGTLRGGGGRHSMLVERRARFCSNSASDSEAAAAEVKAEDAAVAEGEADGKASSAIVSTNPRPEDFLTVLALPLPHRPLFPGFYMPIYVKDQKLLQALIESRRRSAPYAGAFLLKDEEGTDPNIVTSSDSEKSIDDLKGKELLKRLHEVGTLAQITSIQGDQVVLLGHRRLRITEMVEEDPLTVKVDHLKHMGEFNYPRLADFGAAISGANKFLCQEVLEELDVYKRLKLTLELVKKEMEISKLQQSIAKAIEEKISGDQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERLESKKDKCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVHHAQQILDEDHYGLSDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNVNFLDHYLDVPIDLSKVLFVCTANVIENIPNPLLDRMENIAIAGYITDEKMHIARDYLEKNTRDACGIKPEQVEVTDAALLSLIENYCREAGVRNLQKQIEKIYRKIALQLVRQGVSNEPVQEVLTVTASEEPTSDDSATANAKDEILKDPAVEDTSLANNTTEPALEEATEVKKEDSIPEANKDTGAAKELDADKAIEKVVVDSSNLGDFVGKPVFQAERIYEQTPVGVVMGLAWTAMGGSTLYIETTKVEEGEGKGALMLTGQLGDVMKESAQIAQTVGRAILLEKEPDNQFFANSKLHLHVPAGATPKDGPSAGCTMITSMLSLAMGKHVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSGIKTIIFPSANKRDFDELAAHVKEGLEVHFVDTYSEIYDLAFQSDAEIETS >Et_9A_061308.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:9969804:9969959:1 gene:Et_9A_061308 transcript:Et_9A_061308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWKQRNDGVFNGTLPNVQTTMSLIEEEVHLWGLAGARELTRLPAAATIGP >Et_9B_064125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11493790:11494735:-1 gene:Et_9B_064125 transcript:Et_9B_064125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSCFTLSLRVLAPVKFWRSCQKSLHSLSLYWAYFSESCLWPSYKRRSTRSRSGHGDVIENPLVYPEYIKLCSLGASTLMAPHDCRPFEYRGAVPGTSLLTLHGGTQNITYCKIDICGMEVTSKEELLNLRELLQLGSGIDKDVFLTFQAWPKLHHLELRGRLPDEDTTAVAAVSRILGHTPNLEVLSLAFHPDKYERVRPVGSYFMEVDLLDAHNLSYNPHSVLTAPSLQIPCLSSRVREINLVHYQGGRAQRALAKFLLGNTPVVQELWCDFAEGPLWIQTELMREIKGWVVNKSANTHFS >Et_1A_005024.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22097678:22097866:-1 gene:Et_1A_005024 transcript:Et_1A_005024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMHCSQARLNTKKVHQGVNKEEVTFVGFQIEDQLCSESERRDLTHRHPHQEKQTKQLKQS >Et_4B_039574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22338470:22340585:-1 gene:Et_4B_039574 transcript:Et_4B_039574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVIGVVGRDFAVVAADTSAVQSILVHKTDEDKVMVLDSHKLMGASGEPGDRVQFTEYIQKNLHLYQFRNTIPLSTAATANFTRGELATALRKNPYMVNVILAGYDKDVGASLYYIDYIATLHKIEKGAFGYGSYFCLSLMDKLYHPDMTKEEAVDLVDKCIKEIRLRLVVAPQNFVIKIVDKDGAKEYARREYISDSPPDAAPVSEA >Et_5A_042052.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5695596:5701953:1 gene:Et_5A_042052 transcript:Et_5A_042052.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAAAARLAGEVSRPTARAAVARRLPEGSLLRLTPTRRRRVRGIRCCAAKNSGGGGGLAAGEEFVGFFREAWPYIRGHRGSTFVVVISSEVVSGPHLDGILQDISLLHGLGIKFVLVPGTHVQIDKLLSERGRKAKYVGQYRVTDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGVIGRWHGLVDNVASGNFLGAKRRGVVNGIDYGFTGEVKKIDVSRIRERLDSDSIVVVSNMGYSSSGEVLNCNTYEVATACALAIEADKLICIVDGQIFDEHGRVIHFMSIGEADMLIRKRAAQSDIAANYVKVVDEEGINPLHKEVHEPLRDRAHVNGYAASFQNGLGFNNGNGIYSAEQGFAIGGEERLSRSNGYLSELAAAAYVCHGGVQRVHIIDGTVDGSLLLELFTRDGAGTMIARDVYEGTRMATEEDLPGIRKIIRPLEDSGVLVRRTDKELLEALESFYVVERDGSIIACAALFPFHEEKSGEVAAIAVSEECRGRGQGDKLLDYVEKMALSLGLEKLFLLTTRTADWFVRRGFSECSIESIPEERRKRINLSRGSKYYIKQLQPKLAGVTANNFVIR >Et_4B_039909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5774404:5775804:1 gene:Et_4B_039909 transcript:Et_4B_039909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFQSGAAVVVVSLLLFLACDGTICKIAGHLLRSCVVFFSLNYDYQTILMLVAVSGSGDPSSSTASKACTGAHPEALGPSKVTVPDCSFYVYVSQDDGGPVPGPPGLHEFSVSISNKGFEHTVCDVHISCGDLFSDGHVPVDSREFRRVSPGDCIVRNGGAMAPGDAIYFDYSSFSPIPFEVTSGSCCSPAVQHERPVVRHADARMIRHSWMGSPSHDTGPLKKARYNSSSGE >Et_2B_022137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9681493:9684662:1 gene:Et_2B_022137 transcript:Et_2B_022137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSKKASLIAIAVQLILTGMSVVSKAAFNEGMSTFVFVFYRQAAGSVFILPIALFLQRNTLSLSLYNVSLKFTSATVASATNNSMPVITFCFALIMRMEVVKLRSASGLAKLTGAGLCLAGVLSIAFYSGPALSPVSHHRAFAAHASGGHGNHPSKAIWIEGTFLMVLANMAWAISIVWQAALLEECPNKMLVATALSVFSAVQSFVVAAVAERDFSRWRLRPDVSLLAVLYSGFLVTGVTYYLQAWCVEIKGPVFFAFWTPLCFILTIFCSSFFLGEIVHLGSIIGGILLVCGLYSVLWGKSKEKSDYASDHNVRLMYD >Et_7B_054952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:610597:613118:1 gene:Et_7B_054952 transcript:Et_7B_054952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDWQELAQTAAIGLLFAFLAAKLISTVIAFKEDNLRITRSPPSSPTAASRSSARPDTPAPEVPPPPSRDTGDDSSEGSDSDWEGVESTELDEEFSAASAFVAASAASGTSVPEEAQLRLYGLYKIATEGPCTAPQPSALKLKARAKWNAWNKLGAMPTEEAMQEYITIVEELFPNWAAGSSTKKKGEEDSITSASGSKGPMGPVFSSLMYEEDEGNDSELGDIHVSAREGAIDDIVKQLAAGVEVNMRDSEGRTPLHWAVDRGHLKAVEILANARADLNAQDNEGQTALHYAVLCEREDIAELLVKHHADLHIKDEDGSTAQDLCSSNWSFMHESN >Et_10A_001379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2472399:2475994:-1 gene:Et_10A_001379 transcript:Et_10A_001379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRGTIDFGDVKVSGGISRRPNQEQQEHSEGSSDQAASNCECDAQVAAEASAAPPSNVVSSGRIHVTGDILKEDCLDDVKLLRRSRSVEAPEEASTWLKAEEKKPKPPSFGDFGQIAQDEYEHSRVKSSCGDLSKMIAQDEDGLHSDKIGAPAIEDHKQGHGGAGEHVQALLDMDDDKVLDKISYYLDQLKFDPPDDCVYFPLPPYTPQQFTEMYEQLALYRIRGYQLSVDRQLAELDDENLKKHYSSTDLCAEGYFQYYEESLEWYFDPELCKKPQFDDYQRIGEYHSWDRYRSTLNTYEKDMAYVQYFEALANETKWNRIKNVAKIQALQIAARFPDVLPRAILFASNEHIWSIVFDHSHYARMDCVYFEIWKQVARLKKDFKEALLELHRQNLFPLRTLDIEYELNDDKARQHIMEAIVKMIPKPKVYLDYIKEKVDIAKDIWLIGKGPPQLTGDDKQS >Et_6A_047543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7092883:7098593:1 gene:Et_6A_047543 transcript:Et_6A_047543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLCAVTERSSCALSARISPAVAAPWSSPSPRSPAAQVLVAPLAKLQLPRHVPTLRLLDVPGNPIHARRTQVRLVGIRPSCCPPSRAFVAVRAQKVQAPKKKRRLDEVCLERFQQYSRTYIQSWILQGKVHVDGRVVNKAGTQVSDKSVIEIKAEIPKYVCRAGHKLEAAIKEFCIDCDGKIALDSGLSTGGFTDCLLQHGASHVYGVDVGYGQVAEKIRIHERVSVIERTNLRYLTQLPQLVDLVTLDLSFISILLVMPAVIKVMKTDSILITLIKPQFEARRSQVGGGGIVRDPLVHKEVLDRIISGVEEFGFCNKGWIASPIKGAEGNKEFLACFHRIPTPEPRPEGEKSSEP >Et_5B_044218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21647341:21647877:1 gene:Et_5B_044218 transcript:Et_5B_044218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDLKPGVAIILRELEPSSEMFKQGASLRVTGNLQSYDVDSATAIIQDGSVSLKVDTQHLRDISLRVNSMYQFIGELLICADNDVILQAHIGRNVDGLDLNLYQQSLLIRRQHEAKLQSSRRA >Et_1B_010712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13300734:13301088:1 gene:Et_1B_010712 transcript:Et_1B_010712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVAVKSAGSSSSPQAPGGLPLMNDTMGMVYLGGDQLGAAAAGARPPSLLLRAAAVSLALAGAWH >Et_7A_052889.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23590312:23592607:-1 gene:Et_7A_052889 transcript:Et_7A_052889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAQVVDAEYMAEIEKTRRDLRALISSKNCAPIMLRLAWHDAGTYDKNTKTGGPNGSIRFPEEYSHSSNAGLKIAIDLLEPIKQKHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRKDSSVSLEEGRLPDAKQGASHLRDVFYRMGLSDKDIVALSGGHTLGRARPERSGFDGAWTKDPLKFDNSYFVELLKGDSEGLLKLPTDKALVEDPVFRRYVELYAKDEDAFFRDYAESHKKLSELGFTPPRSTFSCKAVDKPKSLLMQAAAGVAVAAAVAAWAYLCESKKTFG >Et_6B_049256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2319486:2321708:-1 gene:Et_6B_049256 transcript:Et_6B_049256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKWPWTREPAAAANGGHPPDEEPLSGLHLPVEAGGAADADAQSTASSAENHLHLPVEEPVHVEEGESAAGSVHGYGSGSESSSSSCGGSDGEQSVVGGRRRGRRRRRSGKRAASGLPAFVAAVGPTAAVMLLALVALVAWKRRQRRSSGGGGGELDDDVPDAGEEAFGQLKASPRTRLASSAFHGPLERGKVALRPGEGNGAAGASREGPGPGTASWRVESMEKPRVSCAAA >Et_5B_044487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2870770:2873738:-1 gene:Et_5B_044487 transcript:Et_5B_044487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGDILRAELSSKTPPFGLRLWIVIGICIWVVILCILGFMCFWSIYRRKPKKSLDKMPVSQIPDVSKEIAVDEGREHAIVENYRVQESHMLSVQEKPYEKNSEKMLAHLVRTKSSDADNLSQCSSAYQCERAGSSYSGDEGSSGNARRQYSQYATVSASPLVGLPEFSHLGWGHWFTLRDLEHATNRFSKESVIGEGGYGVVYRGRLINGTDVAIKKLLNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMRQHGVLTWEARMKIILGIAKALAYLHEAIEPKVVHRDIKSSNILIDEEFNGKLSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAVTGRDPVDYGRPANEVHLVEWLKMMVGSRRAEEVVDSDMELKPTTRALKRALLVALRCVDPDAEKRPTMGQAVRMLEAEDVPSREDRRSRRGHTHNSNTDTESKASSSEFEISSDRRESGPSARYQS >Et_6B_049147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18736773:18739244:1 gene:Et_6B_049147 transcript:Et_6B_049147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIVNYRGNSLPRWITDLSTLTQLHLLGCVQCEEFPSFSHFKALQVLYLKKLDKLQRLCSDVGSMIFPALKEFKLIGLKSLERWVASEGKEEELTFPVLEKLKIKNCPKLTSLPGAPNLKDIVVDEDKALLSLAVLKSKHFYSLSKLESSTRDTEATPPQIDENHESSVSELKLNGGFSFFFSSSPPQPSCEAWKWFGKLTILTFVGCDALIYWPEDVFQSLVSLKNLNIGYCHKLKGGTQVKGGEPIETADQVLPHLNKIDIYVCSSLTELFILPPSLRTVSIRFCPRLESIWEDEEHPETNTNIQLEYSRDLASTSVPKQLPSPANRRPCQLETLCVYHCDNLATLPTLPPSLKPLIIQGCAMLCSVSGHLDALELLYIDECGKLESLDSLGGLPSLERLTLDGCRCLASLPGVLGSYSALRKLEVKYCPAIDLKPLYKRHQQRLDNLEEKDISHAHSSNPYEGPKLSEPRSWKYAIPSVKDWTIARHNILGPQSCGERKSLCRCRCLASLPSIVGSYSALQELTVKYCPTVDLNLLYKRHQQRLDSLEEKDISHAHSCNPYKGSFDFLILVSLNFLCLSFVLQIHTKA >Et_8B_059581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19510932:19515053:1 gene:Et_8B_059581 transcript:Et_8B_059581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QTAGFCFTTKNTAGDKRGPPASTCRKKIQSMAAKSTSWCTTEMAQGMHVFHVHGYSLLKALGVRRSVRSATFTVGGYDWAVRLYPSGVASPEHAAVSLELLAVPAMSAAAEARAVFALALVDQTTPGRTRSLLSGGAAKKLQTFRVAAAVPIQTPPSRTSWIDVDRSTLESPAYLVGDRLAIACEVTVLKDPRVSPTLPLPHIAPPLPGLAKDLGELLELSSGVAAADVTFNVGGSEVVLRAHWAFNFGGSEPDEGERVAARARRGHGGACVFKALLRFIYTDELPAMVDLDDDDDARTEMHRHLLVAADRYAVARLKTVCEGVLCTRLDARTVKTTRELAELHGCARLEKACRQFILASRFADWEKQLKQGRTYAALFRGACPLRLMPATTSSCTAETARGAHVFQARRYSLLRAQLLGAGRFVRSGSFAVGGCDWAVRLYASGEAAARYVSVCVELLRSANAEVMAEVGVRLVDHATGRTRSLLPDAKPKQFHTFSSAGTLFHNLFVTIDRKEFEAAPYLRDDRVTIECEITTIDEPRVPANQPLPDFETVAPPPPPPPDLSNDHGELLESGDAADVTFRVAGEVFPAHRAVVALRSPVFKTKLLLGPENENESEEDVISIEDTQADVFKAMLCFVYTDALPASAMEDLDPDDRTEMHRCLLVTADRYAIEGLGQLCESVLCSSLNTSTDLPRHLLVLISSSDVGWELQNVSVNEAKQSSENLRMHIANGDASRLFLLHRAVELSLEHRRSHGEHQLVRVENLSFNVDRDVRCLVLQQLTEMQ >Et_1B_014222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3748047:3749392:1 gene:Et_1B_014222 transcript:Et_1B_014222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVSIASFKPLIMIPVVLVCADLLASPVAAGSSGQEVHLVPAVYVFGDSTVDVGNNQYLPGNSSLQLPYGIDFPHSRPTGRFSNGYNVADFVAKLLGFKRSPPAYLSLTPRTSRQLTRGFRGANYASGGSGILDTTGNTITLTKQIEYFAATRSKMMATSGGNGSSSIDDLLSKSLFLISDGGNDLFAFLSQNRTASEVPSFYADLLSNYTRHVHALYSLGARRLGIVDVPPLGCVPSVRVKSPDGAARCVDAANALAAGFNDALRALLANLTASGGALPVLRYSVGSSYSVVSFFTAHPKAAGFREVASACCGGGRLHAETGCTPNATYCADRNEYLFWDGVHGTQATSRKGAAAIFSAPLQMGFASPINFKQLVSS >Et_5A_041702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25989146:25989882:-1 gene:Et_5A_041702 transcript:Et_5A_041702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVMSSMSSLAFASGVRAGRVSPVYGLAPRRRAMVVRAQTEPDVEPTEETSTPTSAPSSPLPSTPTPKPKAKPAKPGLWDALAFSGPAPERINGRLAMVGFVSALAVEASSGGGLLTQAGSGSGLAWFAVSAAVLSAASLAPVLQGESAEARSKGFWSADAELWNGRFAMLGLVALAVTEYITGAPFVNV >Et_9A_061252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24289882:24291405:-1 gene:Et_9A_061252 transcript:Et_9A_061252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPPSSRDPSPQPRRPSSSAAASGSSKRGLLLGRYELGRLLGHGTFAKVYHARHADTGESVAIKVLDKEKALRHGLVPHIKREIAILRRVRHPNIVRLFEVMATKSKIYFVMELVRGGELFARVAKGRLKEDTARRYFQQLISAVGFCHARGVFHRDLKPFHPDGLLHTFCGTPSYVAPEVLARRGYDGAKADIWSCGIILFVLMAGYLPFHDQNLMAMYRKIYRGEFRCPRWFSNPEARITMAEIMESRWFQKGFRPVRFYVEDDQVHSLGDAENNEILSTDERRRPVGGLTRPVSLNAFDIISFSRGFNLSGLFEEKGNEVRFVSAQPMQTIITKLEEIAKVKRFSVRRKDWRVSIEGTRESEKGLLTIGAEIFELTPSLVVVEVKKKAGDKEEYEDFCEKELKPGMQHLVHHTASVPDIPSDSE >Et_6A_047679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9570228:9572910:-1 gene:Et_6A_047679 transcript:Et_6A_047679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYKRPKSSLAPHRRRFEPLDMNKSSSSLNMSTSSLRSMGEKNRKDGAAAQGSTRTTTVKFAPPPKSSSLAPSTKTTSMLVSNQQAMARPGTASGQRLGSSAGPRCGTSAGRLSEAGRKATRRSWGLAGAMDAPKEERRNDSSVPKMQQVRSSSAPRRILPPEEKEKPSPKRSNKVMTPSRTKNTESLPIRDTAGSRSPPNIATKTGDQKAPNIVSPNNAVKVTPASRVTVTMIGASWDSLPSDVQNLGLEVMRHRDNAEAAAMEALQEASASEILLRCLSAFAELTAAAAEQSPQQTVDEFLALHAALVTSSAAAVPDDDDDKQQDRRPAGDWLRAAVATDLAPFSLIYTASARNSGQSPPLLTRRPAAAGELDTWLDAAHRGLGEEMRAWFLRHVERLLDGDVAGTLGQLKRVSDWLDHGVGLMLGGSESEAVERVRKKIYGFLLDHVESAVVALNGGGGAPGRGRRQ >Et_2A_015524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14492372:14492682:1 gene:Et_2A_015524 transcript:Et_2A_015524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KLTFLRVFALERSNCIKHIATSEKERSPGRFDRNNTKIMETAKLTFFRVFAREASNYVKHIATSEKEQSLGHFDRNNTEITETGPEQLQNCPV >Et_4B_039737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28097244:28098039:-1 gene:Et_4B_039737 transcript:Et_4B_039737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEWSDYAFCWGYKPTLLSPGSIIGKQDEDRHTANIMGALEPVNEREWRKWHEETLDTARFMEFLVQIMQKLPDNMQDTID >Et_2B_019569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10202256:10215708:-1 gene:Et_2B_019569 transcript:Et_2B_019569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFLRRKPDESEKNLWSHLRKVFDKFDVRPSVDDLRNYRSLIRKFAEKDPALAKSETMRAFLDGGSRKNINVVGADIEVKQPFIADDEEIDEMDTEENNTESDEDEDDDLFDSICAICDNGGDLLCCDGPCMRSFHATEGTGEDSYCVTLGYTEAEVQAMKIFLCENCKYKQHQCYICGVLEPSDGAAAKVFLCNNATCGHFYHPKCVAQLLHPNNRNEASELEKQIADGCSFTCPIHWCVHCKGLEDRTQESLQFAVCRRCPKSYHRKCLPREISFEDKDDEDIITRAWELPKRILIYCLDHEIDPDIDTPARDHIKFPKIEKQVGFSKNSGKTLVKKKKRAYDETVLDQPSKEPRKISDKVHMPERKQTIKKISAKVPSEIVGDEPEKKRTKFLKEKMQPEPYMAKDASVSRSKPMEEQEQEMVPSLIRKVPMSSFPTVDSETEKRVFELLGKKVSSLTIKDVTRKCSVPSTHVYSGRQTDRIIAQGKLERSVQAVEAALKKLENGGNVDDAKAVCEPDVLKQLTRWHSKLRVYISPFIHGTRYSSFGRHFTKVEKLVEIVDKLHWYVEPGDMIVDFCCGANDFSRLMKEKLDQVQKKCRFKNYDLIQPKNHFCFEKRDWMTVQPNELPRGSQLIMGLNPPFGVKASLANKFIDKALQFKPKLIVLIVPKETKRLDKKKTPYDLIWEDSECLAGKAFYLPGSVDHSDKTVEGWNASAPPLYLWSHPDWTTKHKKVAEDHNHTCIRRVACHVDEGNLSDLPVKEEAESSDRQKTRSGKEDTGTMPLHPREDNISDDLHVRTQAEAASKWNPRSERETTDKATCNAIGANLQADHPARKQARSKDEKETTRRIAVNVSEASASDKVPVKKIAEATKKEVCRSVNEKDSYEHRSRKWTPDLLDSLPPEKQVEVAYEETKEMRSRKTINDNKQGAVHGDGTVAHREESKSAQHNYEQRPADLSDIKFREGGDSDMSMSPQDGRNARSRSSSYSPSIPAKHFSDRATHCESYMNRPAKVPYDSTVYRATFQGSYLERNDEYSDAPKRKNGPPFISQIDDSTRKNVSSFEELTNRDAAAPTVDPYCLQYIGPDDRIYRRQVPEWSPSASETYPTRYGQVSANISQVNRTQVTTDSQTHSALHSGTSADGYLQSRYCLGSSGARFGQSASATASFGLSFGANAPRGSVMDKYAYGLSGPSGPQSSVMDRYAPSLDGTSNARTNSFSQQYRLGGARPHI >Et_1A_006175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18784808:18785945:-1 gene:Et_1A_006175 transcript:Et_1A_006175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVLDVSFIAHAIEEVDINKFEPWELPGMAKMGENEWYFYCLKGRKYSTGSRANRTTMVGYWKATGKDRQIYQATSTVPILVGMKKMLVFYKGRAPTGIKTDWVMHEFRLEGSMLPFHTANSNSSVTAMESSSQEEWVVCRLYSKSTLIKMTPTSSFHIDTTNGDVNPRSMSFPISLQFPIIPEDFNINSNNMLPSGVSSTESYSLSPNSMLPNGVSSTESSSLSPMLQMHNIIFMDPIVTDSQCTPTYFNQQVAMGPVGSGGQMARLDNRPTTIVSQHPWVSPTQTNDIEIGAPTIDIFDD >Et_3B_027501.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:23015245:23016274:1 gene:Et_3B_027501 transcript:Et_3B_027501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFVILQVPDELDEVCTRRASFGERAGRSVSRRRHALPEPPRATIVRLHHPPDLRLHLRGAHHVLLFAAAVGAADPLRRHLHPEPHLLHDEHLVEPLLRVQRPAKQRKPRRDALQRRVPAAVRHERAGGAVAEHVRLRRPRADDEASVLGPLQERRWEDVGEVFGRIRDLLAAAADDDATRAPDDPEEPLPGALQADGDLPELPHRERAPAPEAEEHDAAVRLRVQPPEAAVLRAAGRRDERSDAVDRRRGGALPGPERAHRARLQRREGVDEDAAGLRHPGGRAEHGRGRLAVVGDVRGQVRDSERRRAGEREHGVVVAEPPEPR >Et_6A_047158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26028527:26030545:-1 gene:Et_6A_047158 transcript:Et_6A_047158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRRKREREDQRLLDLAVDSGFERATAASCLERLHQAYGEEGQDFITVEGCGNDFLGALADAVQPTDEWDDLKGIETEACGNLNDMMMKRAPEF >Et_6B_050098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6191970:6192806:1 gene:Et_6B_050098 transcript:Et_6B_050098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAPARPSFVTPRSFFNWGKGGGARGAGTPPPPQLRFQYHDDVELPFPMSLVSNTHLRDRELKCCYKATVDGFSATDFHRRCDFKGPCVVVGETAAGFRFGGFSPEGYRSTDDYYDTLDAFLFYWPPAPVEADASPSPPQSPVVLPKVGGSGAALFDYARGGPQFGADGLLVGAPLTAVMGVFTGPDASAGVGDLRSARSRLGLSYARRADGKESLFGDETRVELVEVLVFCSPQIASLY >Et_7A_051062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1451639:1457229:-1 gene:Et_7A_051062 transcript:Et_7A_051062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLPLPQGFSFLKSVGWFDDRKVDSAARQQLSPTLKLQTDKEVYRPGDVVTVTIEIFSPAGLKDDVGQTVSSEDVPSLLLDSLSFELKGIEKLDSQWFSVPKPLPGSKQRRDIVRTELPKILPPSYRGISIRYIYYIRSALFGRSIVLGNGDQNKVPNNSTIQLEGRVPLQIRVSQKSSTVLSEEGTLLFSVDQLAIFWREKDEDSEWTKANDNTDLEEGYDSSKDEVSSVSSYNPSKANPDFSLRNSLSMQSLSSRLSTSEPLYNQGEPPSFPMYSPIPRLSVSEISDDPDGGLVSPQKKLNRLLSDPPSNGQSFSPDSDRPKDDVGLPLTPKHVEPAGSEGFSRGRSYNIRIDDQVLLRFSPKNSDSTYYFGDMIGGALTFFHGTGKRRCLEVSITLETSETINPRALHPSRRSSPTITKLHSEHHEVVADLHQTSFLFSIPIDGPMSFSTSKVSVQWSLRFEFFTTPEGTDSARYEHPLLVEKREKGEWVLPLTVYAPPLRRRATHGRNDRSVALGNIFSS >Et_10B_004191.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18218442:18220061:-1 gene:Et_10B_004191 transcript:Et_10B_004191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRYYYGLVLSQTFADHRVLFSAMKCDPATAVIVAAALCVASAALLVVEGAKGAGDEASTLLINGFTATHEANAAAPFEPVLYAPNRAFALGFLRVGAASLDLAVVHLPSAFPLWRATPARMDDWSRAATLTFDSGLILTDPEHGELWRTLNTAGDTVVLLNSSNLVIRRYAKPLPAWQSFEHPSDTLVAGQNFTAASPPLISANRRFALRLGATYLALTMEFYGGGGARAAAATYWRHTAPGNATRRATGPIYGRLDGRGFFGLYRDGGAGEEEVRVDVLSFDTFVQNVTAGGELFRRVTVDDDGNLRAYCWADESKAWTPEYKAIAGRCELPTSCGAYGLCVPGEAQCQCLTNTTTSATSTSPPCGAEETTDLCSGDGKQVDFDVVRRARVSVAYKEELPFATNKTAAECEAACAGNCSCWGALFNGGSGYCYLIDFPVETMVYDADDRKSGYFKVRRRAASATTATRRAMSPGVKAATAVVSLVLASLVAAGAYTGYRMWERKRRRREGMVEQELAPGPYKDLKSMGSSNNSFKS >Et_3B_029066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21830874:21838223:-1 gene:Et_3B_029066 transcript:Et_3B_029066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAEAAVAREEATLPLLLAGDGHGQNRKMAASCWRQWVREAGRVGYLALPMLVASLSRFAVQVFSNIMVGHLPGVLPLSAAAIATSLATVSGFSLLIGMASALETLCGQAYGAKQYHKLGVHTYRAIFTLLLVCIPLSLLWLFMGKILVLLGQDPLISREAGRYIVWLIPGLFAIAVSQPITRFLQNQSLVLVLLLSSLATMAIHVPVCYAMVFKTGFGYIGAAMSISISYWLNLCIIVAYVALSSSCKETRTLPTLEAFQGVDVFLRLALPSALMICLEWWSFELLILMSGLLPNPELQTSVLSICLTTTTLLFSIPYGLGAAGSTRVANELGAGNPEGAWSAVRVVLSMAVMDAIVVGGALFASRRLLGAAYSREEEVVSSVAAMVPLVCVTVVTDALQGVLTGVARGCGWQKLGAYVNLGSFYLLGIPVAILLGFVLNMGGRGLWMGVVCGSVTQVTLLSAVTFSIDWPKMAEKARERVFDEKSTEPGSRCLPESMASAATVEEAALPLLPRTREKEDAAAPSSWWRQWSREAGRLGYLALPMLVASLSQYAVQVSSNMVVGHLPGVLPLSSAAIATSLATVSGFSLLVGMASALETLCGQAYGAKQYHKLGVQTYRAIVTLLVVCIPLTILWVFMGKILVLIGQDPLIAQGAGRYIVWLIPGLFANAVLQPIIKFLQTQSLIFALLWSSVATLAIHVPLCYVMVFKTGFGYTGAALSISISYWLNVLMLVGYIFLSSSCKETRTPPTMEAFKEVDVFLRLALPSALMICLEWWSFEILILFSGFLPNPELQTSVLSICLTSITLLYTLPYGFGAAGSTRVANELGAGNPEGARFSVRVVMSMAGIEAIVVSGTLLALRHLVGQAYSSEEEVISFVATMVPLVSITVITDGLQGVLSGISRGCGWQHLGMYVNLGSFYLLGMPMAILLGFVLKMGGRGLWMGVVCGSLSQTTLLSTITIFTDWAKMAEKARERVFNEQPAETGSRHLLE >Et_6B_048904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15365837:15369311:1 gene:Et_6B_048904 transcript:Et_6B_048904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSSRTSWADVADAEPLPPPPAAVSAPAPSPAPAASNGPTRSSYVPPHLRNRSAAAPAPAPSSAAPPPRAAPGLLSRPVGGGGGSFGGAAPAVGRPRGGRGWDREPNPFAESDAADAAAAAADPTPFEEHQNTGINFDAYEDIPVETSGREVPPPVSTFAEIDLGAALNDNIRRCKYVRPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGPPAARPQRGMSRTAYPLALILSPTRELSMQIHEEARKFSYQTGVKVVVAYGGAPITQQLRELERGVDILVATPGRLVDLLERARVSLQSIRYLALDEADRMLDMGFEPQVRRIVEQMDMPPPGVRQTMLFSATFPKEIQRMASDFLENYIFLAVGRVGSSTELIVQRIEFVQEADKRSHLMDLLHAQRDTGKQALTLVFVETKRGADSLESWLCMNGFPATSIHGDRNQQEREYALRTFKSGKTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNSNMARSLAELMQESNQEVPAWLSRYAARPSYGGGGGRNRRSGGGSRFGGRDFRNDSSSFGKGGARGGGDYYGGGSSGGYGGSSSYGGGGYGGAGAPSAWD >Et_2B_019931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15353175:15353882:1 gene:Et_2B_019931 transcript:Et_2B_019931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ETLPKFRDLRNSPRNSCRPKHQGPPAIHSRNNGSVQIPSPPPHPHKRNTPPAPPPCHVTDPRTPTSSTLCHPSFIQRQRTRVTRIAPWCFPKSSHLYNSTAHASTTHLIICSPPFTRASIPTTSHRVRSSGGGDVGPRQGRQGARQGRRQAPPEGAARQHPGHHQAGDPASGEEGRREAHLGAHLRGDPRRAQDLPREHRHRHGRRLRAQASGPHPLRLRR >Et_1B_012149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29456925:29458084:1 gene:Et_1B_012149 transcript:Et_1B_012149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRFRASSRATERGNHQHRFLRPGALARLRDSRIVARSLRSSACLLLPRSVPASPALPFHAYIFPALYIPNTQLERPLLAEYVRAILRSFPILQEPLAAMTKEGSFGEAGEDRKGKKKKTMGRQKIEMKPIASMEKRQVCFSKRRAGLFKKASDLSMLCGAHVAVVAYSPGGKPFVFGHPSVQAVIDRFTDASSGHATAAAPVHPALLEEFSRDADLLAKAIDAEASRKKALDAATRQAGVWTGDADASRSLPELLDMRGNLERVQAEVAERAQEFMAKEAMMQRDTSTDVVGDGVFHYPGAGTFMADAAGVNSYHDPVVMDTQMTMMLGGGNVGHALPFAPMMLPPPPLPFPPYSHCFDLNNGHCPVSGAERFYGAMTCNFF >Et_5B_045065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8991152:8995551:-1 gene:Et_5B_045065 transcript:Et_5B_045065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYYLLLGVWEVEEDAEACFVCEMRKNKTKEFLRWREGNIASQGKNPRSVNFAKTVYLPASSSVGFRRVPMAGRHRNQQPHSFPRGSGSGNHPPPPHLHHPHLPPHHHLDDYREPPRLPPRHHLDDFRDPPRLPLGHPDSFREPPPLPPHRHHFAGHGGPLPPQPHLVAALEERLGAEIEEAHALLGQNQRLAATHVALVQEVAAARHELGHTARTLAAAREEGDLRLREVYERSMKMEGELQALDEMRAELAQVRQDIQKLGAARQELMGQVQGLTQDLARSAVDLQQVSALKAEIQEIRHETQHLRSSIELEKKGYAESYEQGQEMQKNLISVASEVEKLRAEVANAEKRSRAAVSISSQGYVGSYGNNKANYAPNPYNAGYSMNQANTAESGPPYGPGATHAAWGAYDIQRASGRR >Et_3A_024367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1989011:1990481:-1 gene:Et_3A_024367 transcript:Et_3A_024367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSTVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQDEEDLIIELHAVLGNRWSQIATRLPGRTDNEIKNLWNSSIKKKLRQKGIDPNTHKPLAEVDRSKAAPTISTERTSESSDVDPSSGGALGNLNHLLSETAQSPELLPVLGKHRKETTSLAHLRVPPKELFLDQLVPGHGNLPSGRLTGPIPNFPFQQLSCYSNGFGSSHGGSTNSLWYNQNEASGSTISTVMPPVSPSTLSTSTGLNRSPDNQHSGGTTIQSTQFYWDTADPSSSSSRGSSGSNGLGFEIKGTSSLLENSVFPWTDLADKNSQAHLEEELKWPDLLHGTFTDTPATMQNLSQSLYEDVVKAESQFNMEGLCAVWSQNLQPPQHLQAVSDLYDKDLQRMSLSFENI >Et_10A_000892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18788772:18795806:-1 gene:Et_10A_000892 transcript:Et_10A_000892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRTVVYDAEAGDDHERQGTVWTATSHIVAAVVGSGVLALAWTVAQLGWVVGPLVLLGFSGVTYYTSALLADCYRYPDPVAGAVNREYIDAVRCYLDRKNVVLCGCAQYVNLWGTLVGYTITASTSMIAVRRVNCFHREGYGAGDCTTSGSTYMVVFGLFQLLLSQLPSLHNIAWLSVVAVATSLGYSFISLGLCAAKWASHGGAVRGTLTGVFGAGVAGPPRDKAFNVLLALGNMAFSYTFADVLIEIQDTLRSPPAENKTMKRASRYGLSITTVFYLLLGCTGYAAFGDDAPGNILTGMAFYEPYWLVDLANICVIVHLIMAYQVFAQPIFARLESYVACQWPDAKLINATYYLRLPWSSSAATVVVAPLKLVLRTILIMFTTLVAMLLPFFNAVLGLIGALGFWPLSVYFPVSMHVARLGIRRGEARWWMLQAMSFVCLLISVAASVGSVQDIVHNLKAAAPFKTRRQEFENEVLGLSYAVTDQTVM >Et_3B_027576.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28535633:28535992:-1 gene:Et_3B_027576 transcript:Et_3B_027576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGRRRRGRGLGFDVRTTERMSGRRAGFCGDSGEADPEAKMSSSSSSKAAEAGWSPAAAEGRNEPRKRSELSELLWRARSMAELSVIASAVRVGETSRQRLVGGGEPAASAQVIRAC >Et_5B_045543.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:454515:456191:-1 gene:Et_5B_045543 transcript:Et_5B_045543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISQNALQKRRLEKSGADDASDEGIGSPLALDVEGGKKAKSKNHNKERKKKRTKVLEAQQNKEEEEEMRQLENSLFGSLYAPLEFGTEVGAAVVAPDQDAPLFFTDRSAGDGADDMPIYEDDLACEDEEDVVSNKGRKPVWVDEEEERTEVDIQKIARLRKLRKEDDDHLISGKDYEARLRGQHAKLNPFTGWADMDRKVPLHATSDSESDDEGGVYDILRNNDELVVKDTAKLLPGMLDFSRLVDANIQEPSNGPINSVQFHRNGQLMLAAGLDKHLRFFQIDGKRNPKIQSIFIEDCPVHKASFLPDGSEVILSGRRKFFYSFDLVNAAVSKIGPLTGREEKSLESFEISPDSKTIAFVGNEGYILLVSSKTKQLIGTLKMNGNARSLAFADGGNQLLSSGGDGHVYHWDLGTRKCIHKAMDDGSLCGISLCTSQDSSLFATGSTSGIVNAYKRDDFLGGKRKPLKTIENLTTDVGEMKFNHDAQILAISSRKERNGMRLVHVPSFSVFQNWPGPRFSLHYPRCLDFSPGSGFLSVGHAGGKVLLYKLHHYQNA >Et_4A_032785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13831354:13833861:-1 gene:Et_4A_032785 transcript:Et_4A_032785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYHYPEFSTSSLLCDESEFSTSSLLCDESVESIFVGFDDGDGRTPECNTDLDFSSFAGLSLESDELEVIGSLIDQEKEQLAGIATGQYLERLNSGGIESSWRTAAIKWIGKENQPWAQELLSIACLSLAAKMEETMVPHYQDFQVFNPKHFFFKTKSIERMEFHVLKSLNWRMNAVTPVTSECVSRCTELILGTLKETRFLQFGPSEIAAAVVLYAVADLQALYFSSALLASEVPVDKENVRRCHEVIQEVALVKNSNSSVSPSIPKSPSGVLNASLFGFATDDTQTPGSSQRNNNSNATINQACSPLSKRTRLDTEKMD >Et_6B_049428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4748052:4750396:-1 gene:Et_6B_049428 transcript:Et_6B_049428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GKGIQEVKNTRMAVRGFSGTLVQALFWFVLCHPCFGTVSDIQCLKRLKASVDPDNKLDWTFHNNTEGSICGFNGVECWHPNENKVLSLHLGSMGLKGQFPDGLENCSSMTTLDLSSNSLSGPIPDNISKRVPFVTSLDLSYNSFSGEIPEALSNCSYLNAVNLQHNKLTGMIPGQLAALVRLTQFNVADNKLSGQIPSSLSKFPPSNFANQDLCGSPLSNCTATSSNRTGVIVGSAVGGAVLTLIVVAVILFIFLRKMPVGKKAKDIEENKWAKTIKGAKGVKVSMFEKSVSKMKLNDLMKATGDFTKENIIGTGRSGTMYKATLPDGSFLAIKRLQDTQHSESQFTSEMSTLGSVRQRNLVPLLGYCIAKNERLLVYKYMAKGSLYDHLHQQNCEKKTFGWSLRLKVAIGTARGLAWLHHSCNPRILHRNISSKCILLDDDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTGEEPTHVSNAPENFKGSLVEWITYLSNNSILQDAVDKSLIGKDNDAELLQCMKVACSCVLSSPKERPTMFEVYQLLRAVGEKYHFSAADDELTMQPQNANAQDELIVAN >Et_5A_042308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8621391:8627764:1 gene:Et_5A_042308 transcript:Et_5A_042308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPAKSPSTYPGWVVSLAVNRSGFRPARVEPPARKGEPRLHRAPKTAPPILPPPIICRLSARMPWRQDRQRSSGMSRVHCAIPYTVRSFSWDHDHGASPAADLSGRLAAVSASALNSLVTSLLNCAVAACGTVPGFVMLIHSGALLKPERKSWWEKRSWYNSE >Et_5A_041055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17487430:17488357:-1 gene:Et_5A_041055 transcript:Et_5A_041055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFVQQGRQFVAGLGGPLPQFFADPVQVAHEEFSVTCGTNSGSYFTNLMSNGIPDSEVLAADQPDDIPPHDPEVIAADQPDDLLPPDEEAGHGHAQGVARGPQKKRQEFQC >Et_4A_035602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30754398:30755467:1 gene:Et_4A_035602 transcript:Et_4A_035602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQVVGSITSAVTGAAADRTVSTIVDNFYKQKPVTEKLQRLEMLLIKIHSAVEAAEKHQVENTWLIQWRDKLKEAASAGDEVLASFKRRAMDVQTTSNANQQEDGASSSSSTTAANTVQGIRSASNMLSSNKDVKVLSNAVKNLEQFSPDIGEFLRLLQLEVSPKAGKRPTEKMKNTHSKKTFKRVATSGKAQKHLTSPSPINDFCITRDFCSIILPSETRQARKRAAVVTPEERRRKVLVRKLNKALDTIGQTVEQADSRDLKDREWLASWADILRDAHKQGCAVLEAINVGTSVIIANVGELSALQFDQKDELRRFVRSLESLAKEVAYFSGLVCLCPSY >Et_10A_000218.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18294386:18294634:1 gene:Et_10A_000218 transcript:Et_10A_000218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARFWANMMLYIAPSDRAVAPATKTATGGEFITIIWALRTHAHVADQSSRTLVVHQDCILCLKISVKISSRILVVSHLKKE >Et_10B_003446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19935022:19938092:1 gene:Et_10B_003446 transcript:Et_10B_003446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAYKQTKRQASKLPYLSFTGRVHPRFRKGIQDDGKVVALTIQGATQRRFLQHRDQHDSPSSILNSGGNIQSDTSSKHSGLEHEASKSFKLPQWAIYTLAISGAVLLVIIVTTSLYLVFSRRNKDHTVMPWSTGLSGPLRKAFVTGVPSLGRAELETACEDFINVIGTSSDYTWYKGTLSSGVEIAVVSTSAKSTEDWSDRLEDQFRNKISVLSRVNHKNFMNLLGYCTCDEPFTRMMVFEYAPCGSLFEHLHVREAEDLDWPTRLRIIMGVAYCLEHTSQLDPPVMPTNLSSSSIYLTEDYAAKISDIEFWKDDKDAAMRNSSTDQQIIVYRFGILLLEMISGRLPFSEDHGLLVLWASSYLDGKRPLPAMTDTTLRSSVPDKDIAALCDVVRVCINRDKDKRPAMAEVARMMRGVTALSPEQATPRDNPLWWAELEIASSETG >Et_8A_057781.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:7011707:7018853:1 gene:Et_8A_057781 transcript:Et_8A_057781.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FNNMSREENVYMAKLAEQAERYEEMVEYMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRKNEEHVNLIKEYQSKVFYLKMKGDYHRYLAEFKTGAERKESAESTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTEDGAEEGKEAAPKGDAGEGQYLAEFKTGAERKESAESTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTEDGVEDGKEASKGDAGEGQ >Et_6B_049503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5802916:5807507:-1 gene:Et_6B_049503 transcript:Et_6B_049503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRSANAAGMGSLALRLTKNLAAGPISGGGATTNLVFSPLSIYAALALPRAPVASRSASSWPCSASGGPAVAFACGVWHDAGWALEPEFREVAAASYKAVVRAVDFRNDPDAAVEEINSWVAAATKRLITSILDESSVNRDTAIILANAIYFKGKWEEPFKKEHTMVDKFHRLDSSTTDVQLMRSWQPQFIDVHDGFKVLRLLYRSPPPSHQDYYSTGWMIGNDFAQYSMYVFLPDSRDGLPALVDRVTSNAHFRRDYQVDERVPVGDFRLPKFKLTFSGSISHVLRADMGMNAVFHEEKADLSDMARSNAADAMPLSMMDVQHKAVIEVNEEGTEAAAVTMCLMAAGMSLRPWSPPRIVDFVADHPFMFFVIEEVSHAIVFAGTIAMKNRRRKSAGNGLTALALRLSKHLRDDSNLVFSPLSVYAALALVAAGAGGATLRELLAALGARTRGELAEFVSSIADRALTDRLSSGGPAVAFASGVWHDVAWELLPAYRDEAAESYYAVTRAVDFNKQPEKAIKEINSWVASATNNLISSILEETSVSPDTNVVLANAIYFNGRWETPFLKAQTKVDKFYRHDGGAVDAPFMSSRRRQFIAVRNGFKVLKLPYKSSAPLPPRHSTYSGGTDDELPHYSMYVILPDARDGLPALVEKIASSGGIRRDHLPEKKVAVGEFRLPKFKLSFFGSLQSVLSDHLGIKAVFDKEQADLSGMATCDEATGLPLYVLDVLHKAVLEVDEEGTEAAAATVVMRCIGSSAMSPPRTVDFIADHPFMFFLIEEVSGAIVFVGHVLDPTELGILSVPEQADLSDMANGESSDNKLYVRETVLELNEEGTEAATVTACSMFILAAPCHNRWIISLPIIRFVLFLVEEVRCKSYLGHIMDPSMCHGPSSGSFGQLRGLGRCK >Et_10B_003868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:686334:689881:1 gene:Et_10B_003868 transcript:Et_10B_003868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGEVEDAEGQPIYCFDLVMFNKTPSGAHDDRGLVLKAFDDNTVRVRCADGTTVVVKACDVTVVDRSDICRSTAVTSSCSATDESSGVVTGSTTALDLVRLSTGEVVARSVSPSEVQRDGELRLGDYVVMGPWLGQVVKVCLDVDVRFDDGAVCRVTQARTNLRTLDNDLSRGIFYLGQRVVGTSSSVFKENIVCKAARWLQGRPNSRKDDEVGTVVKVVVSGVLVYWLASSHLGTERTPLFQASAPPACQCNIQNLTLFSCSGDARLMHQVWVVGDRCVFRDVPHFHGRAVVGPHASSTGDDPNNSTSVAVESLCVANTRTTVDVLWQDGTRQYDVPSTSLASLTVWNQHEFAPGERVIRRDGDDGTHLGIVQSFNFKDQTARVSWFQASKQGEAEEIVSAYYLDVSSDHHLSYGSVVIRLRPTDSSPSREDGEGEAQSGKEDLSWVGKIIDLCDRQYIQVKWGNGNTSKVLLHDIAVVKPQSINEMLQQISDSRSMGWANTVTQAVVRLIGNVIAQGKRYIFSRSAVVSDGDVDDHAMEATTAVAPESDVRGRGCAQQGNTEADANDRYDKSGFSHFDVQQSPPDHHYLNNMAEQGTGGGTKWIKRVQKEWKILEDNLPDTMYLRAFEDRMDLLRVTMVGASGTPYQDGLFFFDLQLPPSSYPDSPPLVSYRSYGLRLNPNLYESGTVCLSLLNTFGGEGVELWSPTASTVLQVVVSIQGLVLTSQPYYNEAGYAKKVGTPQGRRNELPYSMAVPTHVRTAFIREHFRRRGQHVLRACEAYLTDGCTVGTLDDEACPTVVSRERPCSAGFRLALGNIVPRLVEMFKEIGADGC >Et_1B_009827.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30378431:30378904:1 gene:Et_1B_009827 transcript:Et_1B_009827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPQEKEADRKRSEHAARTAYILCRSSHHVLTLFCQSLESLSASGCALLHDFPSNLQAPLKSTQKMQTRKFERKKTKQTLQIQRGRTRKRGGFCFLDPVRPHRTEIERRGGERNGYLSCLSSVCVWFLEQRWMGWARSTLPLPSSCSNQSQLAPHSAH >Et_3B_031027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10509111:10509621:-1 gene:Et_3B_031027 transcript:Et_3B_031027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSIKAEARLSVIAGAKQLDNMGHPLSQIQRSIIKGTNHNILKLGMFLHRCLRTQVCPLEAMEVVLAEITCFLTMA >Et_7A_052777.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1615212:1615679:1 gene:Et_7A_052777 transcript:Et_7A_052777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHAKLPSRAAASSSSSSAMSAHKLSHTIAKAPPRKIRIVHVLAPEIIKTEARHFRELVQRLTGKPSSSVVTGAAASPEAASSSSPPTSCDTAGDEGPVVRFPAVVKAEAKEEAESSSGGGYLRALGEEDGSNELFFQGLEDFLFSSCNMDGFSF >Et_2B_022760.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:4553440:4554996:1 gene:Et_2B_022760 transcript:Et_2B_022760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDDHVDVGSGAKAHFVFVPLMFQGHLIPATDTALLLASHGAVATIVVTPSHTRRILPTVDFARKSGLPVRLVELSLDCAAEGLPDDADDVDKVPPHLWPNYFRAQARLVAPLERHLRAHAPYPTCVVSDFCHPWTTELAGNLCVPRLTFFSICAFTLLCQHNVERFNAFDGVADDNELVVVPGLEKRFEVSRAQAPGFFRGLPGFETFADDVEQALAESDGVVTNSFLEMEPEYVAGYAEARRMKVWTVGPVSLFHQQRTATLASRGNTAAIGADECLRWLDGKEPGSVVYVSFGSIAHAEPKQVVELALGLEASGHPFVWVVKNADQHGDELREFLRELEARVAGRGLLIRGWAPQVLILSHAGVGGFVTHCGWNSTMEAVTAGLPVVTWPHFTDQFLNAKFAVEVLGIGVSAGVTEPVMYQMAQREVVVGREVVEAAVRSVMDGGDEGEERRRKARALAAKAKAAVEEGGSSHANLLDLIKSFDMTGHEHHELSESTNRFSCTSNTTALRSTTA >Et_4B_036816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11707828:11710514:-1 gene:Et_4B_036816 transcript:Et_4B_036816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIVCLGLGDERGVTVRGLDAVRRCARVYMEFYTSLLALGPDDPPSRLASLEKLYGKEITVADREMMAGDGADEVQRQAANADIAFLVVGHPFGASVVNAVGVCGLQLHRYGEAITILFFSECCKPDHFYQAIVNNRWLGLHTLCLLVFTLIFTSRGKGVCEPPRFMTVNTALSQLLEVLENRGEPAYDADSLCIGVARLGSEDQKIVAGPMEKLVNVDFGSPPHCLIIVGEMDPVEEDMLQFYMIK >Et_2B_021021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25741950:25743266:1 gene:Et_2B_021021 transcript:Et_2B_021021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHAFSITDDDDLVGGAVGGPRGAPVKEIAFAAALLAFGVIGVVAGSLMAEHRVGGDRAHVLGVVMFIPGFYYTRIAYYAYKGYKGFSFSNIPPI >Et_3A_026382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7465347:7469797:-1 gene:Et_3A_026382 transcript:Et_3A_026382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPQYDLVGNPLGAVRSTFERAAAAESGGSDPVAAFRGKDWGASDLFRSFLFEEGGLDKVPVLNASNIGLIKPNTLVRFRGMVQDMLGTEFYIGAFKDGSTWRTNKFTDFSPFSMPHPCESHLWERHLFHCVPAPGQNVWTLESSKGPDMCMMAGCLATEHREKRKRDRDDDAMDKEDDVHASSSSAEMPANAPEINGGDHHIPGSSFSCLVKVYDMLESQVKLNDVVEFIGVYTFDPELAAPSDNPDDIMLDLIEDVTVQLPPSKVPRLHCLVWRKLSSHDFISRPPVVEPSPSLLKGIRHSLLSHLTLVLGNDEVAAQCLLLHLLSRLRNKVDVVTVGRLSLNLTGFNRESASIFGNQLHNLIQRLVPYSQAIPLSIEYLNTATLQPRKDNKSGRLITGVLQLPQGAHLIFDETTLQTGSLNTKGVENTVLLKNLMESQTVEYDFEYYKLEMATDVQLLTLSEGKSNILPSDLIVPFRPSSIPAVNAGSEELESWRWYLATVRSLPQSTEPETYQMIQDEMVSAMRDDRSLGCSELSRWLTMAQIMASSFGEKSLSMEHWQMVKELERLRKERQKSRILIHIIFTRRQKEERFP >Et_3A_023851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13468140:13469800:-1 gene:Et_3A_023851 transcript:Et_3A_023851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQKHDRGKEMLRRRRREKRGLGVDWKEMFEDSMLPNAISLHDSKNSTTLSFVTVLSYILIKWSGLLLNYVVQTILEFFSEQKMDFIILAEAKKLSNGIHVSQTICNNLMISQYLVIIDTGVHRGIKHIMNHVPIPGPTKEHGPFYTKG >Et_1B_010159.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26893407:26893520:1 gene:Et_1B_010159 transcript:Et_1B_010159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IPGLLKIRHIGQTTVGSAAIFGLFLGAGSLIHCGKSY >Et_7A_050737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10645663:10651222:1 gene:Et_7A_050737 transcript:Et_7A_050737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDYEARERELDAEASRRSKEQHPYVGGRHRDADRRGDGGRIRGGRELSNGHGRRRSPPPRSRLVERLGDREPGELPSGSASDDSSGRLHRARENGLSRGASISSKKRKFSPIIWDRDSPKPPHSDAAKGKKVVDPEPTELPPPPPLPPQDHIPVRLAVEKSPMDVEPTLGMESAEQLQEHEENRVVEEEEEYPTMRNISTSRWAGANDDEDEGAAPIKKRSASPADSAELGQRKKAPSPELGELVVSDASGGRTMSKSSDSGRMGNDDNEDLGVDKDDYMDVDRRQTSDSNTENHISDSDSENETHSPETPEPAKPPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKRTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMETMKQPYTQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKNEFEQLDKIFRTLGTPNEKIWSGYSKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNSLLTYDPDKRISADEALQHKWFYEVPLPKSRDFMPTFPALNELDRRTKRYMKSPDPLEEQRLKELQGNIGNLGMLNLSGLQDLFKRRRRGKTVASRVALLDLFVLLCALVLRIGE >Et_1A_007222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32276750:32278911:1 gene:Et_1A_007222 transcript:Et_1A_007222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKLRLLFLASFLAPLFLFPALGARNGDTADAPSSSSSSYGALAPAPRGMSPSSQQSVFSLDRYGASGDGEHDDTQALAKAWNAACSSSSPAVVLVPDGKRYLLKLVTLSGPCKSSVVVTVMGTLVASPNRSDWSDKNRSHWIVFRGINELTVNGGGAIDGNGEMWWTHSCKINKALPCKEAPTALSFHYCTNLRVQNIKIVNSQQMHMSVEDCTDVQLTGLSITAPGTSPNTDGIHITRSKDVQVTNCKIMTGDDCMSIENGTHNIRVSKVMCGPGHGISIGSLGDDNSRAEVSGIIVDTVQLYGTTNGARIKTYQGGSGYAKDITFQNMIVENVMNPIIIDQNYCDKAKPCKALGSAIEISNVVFKNIRGATISEVAIKLNCSKSVPCHGINLQDIDLKMVAGQAATKSTCQNAEWRKYGTKSH >Et_2B_021411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29500045:29502232:1 gene:Et_2B_021411 transcript:Et_2B_021411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSAEDLGLWKQIDDAEYYLVSGSFEQAVSTALSVSDQIRTTALENSCDHGELLEMLESAGMVLVQALKELRRTSDMFVQLKVMFGSVASVPVKVFLTGATMQMAAGSVSDLRPIFEEYLSKWRYTNDGVHVLNKEQDRSSNGLVVTSVVSNEQYIEIAELYTVTFLSIVSREIETAISWAEKAEVKEQDRQNLLQKLHALQSAAKKKSDYVKGVKQITERNVPTSLNGSTPSVHEDAPKIVHVYNGLKKGSVKSTEPSIQRVTNQFDPLFWWFHSVRLKFGKIHIVLPSGKLMLLLSLLFSTMFVLRRKTAGLKRTVFQHASSLRRAFFDALQLAFSVQMNPLAAVQQVPQAPRGNW >Et_3A_027175.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:425128:426708:1 gene:Et_3A_027175 transcript:Et_3A_027175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGRRKSFSFFEEDRKALRSGPGGAHTPVHQYYAGAVGGGRSPARLSMSSVPGGGAGVGVDHQAAVSMGATGGGCSPWVQSPLHGRGRFPPSPAAIYHCLAALHRLDGDVHALAVARGVLFTASDSGRVRAWAAPGCFNRGYLDVGRGRVAALAACGGTLVTSHSRDHRVRVWTVSTMAAVHSCDGHMIRAKKAATLPATRGILSHLVTRRTPNQQQHRDTVSCLVLHAVAGLLYTASHDHTVKAWKLADGACVDAFVAHDGPVNAMVVNELDGCIFTASADGTVKMWRRVYGGATHALIIVLRSDLYSPVNALTLCHAVQTSSSTQQRKCFLYAGSSDGYVNVWEKEATAGRPVHAGHLKGHRLAVFCLASGCGGRVVVSGSEDATMRVWRRDAYNNKQGSSHHHTCLAVIEGHRGPVRCLAVGGGEAGEVDGSMVVYSAGLDKSVKVWRIRVVAKEDDEDIEDDDIIEDNDIDDVIAGADEEVMMAGKPAMDARDVDDDVDLVGPTPILSPVWVEKRRHTSRG >Et_7B_055617.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:1730790:1730993:-1 gene:Et_7B_055617 transcript:Et_7B_055617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVVLMLTMKSYQSIPAPTKPAFLDRKSLKDKDAASDTAAAMRSASYWLYTPSPMVDKRYDMSCVA >Et_3B_029253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23391963:23394746:-1 gene:Et_3B_029253 transcript:Et_3B_029253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCCLQGLELYKYPLVCDSSLHLNLAKHKQPVAMSILIVLFLLAMAPAVTADSGQLIITVGHGEQFNYTSIQDAVNSVPANNGGKVVISLAPGIYREKIVINKPNITLIGRGARSTIITCNERWATIHNTTVSVLASDFDAKGITFENTYGSGTDTQAIAMTVKADRVAFYQCSFLSFQDTLLDDVGRHYYHRCYIQGGTDFICGNGKAFFKECHLHSTSTSGGAFTAQRRMDEGNDTGYSFVGCSLTGIGVGTSILGRPWGPYSRVVFALSNISNTVIPVGWNDWGTPNLHKTVYYGEYKCFGQGSGTKGRVPWSRQLSHDEAKPFLTKAWVGGEWIKGNY >Et_2B_020131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17072912:17076049:-1 gene:Et_2B_020131 transcript:Et_2B_020131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAGYDYDTSGTDDDLPPSQHTALRRRSFSGNGRASVGAFPFMRPHEDLESQVHRVEQDAYTGVLRAFKVQSDAISWEKESLITELRKELRVSDEEHRELLNKVNEDGAIRRMRELRQGGGTPSGAHRGSRAPYDTEPGPLAKRPRASYLIPSQSAGLQSPVMPSHSTPSAKWGSLSAKGKMAKPPMPLALPTGDPSSLINHKVYMRWPEDNNFYEATITNYNPATGEHALVYDMGTQAETWESVRLSDIAPEDIRWDFEGHGVINQDGWAPPGPSLRRQPSNGAMAGASRVRGRLSTTIKDYTPPQNGLTRIIDHIDIPNTENIVIEVERVLSNPNMLGIEKARKLLKDQEQSLLDAIARLDEASDTESEDMATEGRMGSAGDHMGGNGIAC >Et_7B_054665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3233762:3238962:-1 gene:Et_7B_054665 transcript:Et_7B_054665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDVMKTEGYTHLVDSWPLVRQEILDKITAAPDLQKCETPDILATAVDPSFCMTYRAGAGTGIVRLPARRRTWWTRSTWPSTSDRAVALMKQLPDDDPRSRRAWTARTTTSLQRRLRPGRIPGLGHNCNCWLFPWHRYVRRCVSRAFDKSGNSYLLYCAVHAFPRDDPELAAVLELGRVGRHDAADDLHRPVLPVTVGKAVCASLFLAFLDGPPGTRIKYKLSLLDCDRVEGKTGERRIIRVKCGTHTFGSAGNAVGSKAFVKKSKLRECVLTVYKTYTDDTFAIMVPRSKLSKCYFAHMLEDMEDADLTVIVGGELIHAHKHILVARSEVFRAQLFGNNGEELHTRSIKIDDMEPSVFEGLRHFSYTDSLPESYHGDETVAVQKLLVAADPYGLKRLRLMCEQQLCSWIDVQSCAATLNLAEQYRSVPLKEACMRFIACQDVLGAVMETEGFKQLVASYPLVMKEILDRIGSSNTESSKNEKEKTQTLHKKNN >Et_1A_006302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20288966:20289893:1 gene:Et_1A_006302 transcript:Et_1A_006302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWFSDAACRARRRVTSPSRAMRLATSATIFSPMASRWWPNAATRRGPNMWFAARRRSPRQCASLDGAKPMARWNRRWRAASLMGRSPNAGFTRISRAVSGWLATTSRVTPTEKDISDLPPSTARDRAASVRCATGLESAVSTPGGPDGGRRLRDRAHAGPPGESHRSTAARTTTATMDGSGDANGRRNRSDSSKPVTPMVLVASRTAGWCWEAMLVTEMVRGGGCLNRGIQPPPRKLDLKYS >Et_7B_053653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10768463:10784993:-1 gene:Et_7B_053653 transcript:Et_7B_053653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGDAHEPLPPLTDLDDNGFPALPSSPAAGGSDGGGSSFAADFYRSGTDWSALRARPSLPPEAKSGVKEKGGGSFRQKSLFQAPRGEAVGAADASPSLSHSGSWSARKRRRGGVEDSGEVKKPLTCPFYKKIPGTPFTVDAFRYGEVEGCSAYFLSHFHHDHYGGLTKKWCHGPIYCSALTARLVKMCLSVDSEYVCPLELDTEYIIEGVSVTLLEANHCPGAALIHFRLTDGRTYLHTGDFRASKSMQLHPLLQRGRVNLLYLDTTYCNPKYKFPPQEEVIDFVVRIAKRYLQKQPKTLIVVGAYSIGKENVYLAISRALEVPIYTDASRRRILHSFGWSDLSKRICSCNESSPLHVLPLGSVNHEATGKELDLIKPKSNGRVTMYGVPYSEHSNFTELRDFVTFLRPQKVIPTVNVGNAASRDKMQACFREWLKALYASLISQLTTTFFKMDELGAADTLASPIRYTTPRSTSLSINCFSSSTVWFAWTVILPEWLLTRGWIASSLCTTDCVDLTGLVCASETSLRLGSSSSAAASFSANALSS >Et_2B_021528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30334127:30336556:1 gene:Et_2B_021528 transcript:Et_2B_021528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAQVEERDGLDSSDLAIDKRLTLEVAKPPLMDDMMQPKAGMDGYPVKVRKPYTITKQREKWTEDEHEKFLEALKLYGRSWRQIQEHIGTKTAVQIRSHAQKFFSKVVREPGASNTIEIPPPRPKRKPLHPYPRKCTNSSTVANPATGQPKLAPISSSSGSDQENGSPVSVLSAMQSDAFGSSVSNPSTGCTSPVSSSDDGTNVPGEENMPHQQTEIHQSVKEVKQDSSDGDLSEEDSSSGVQETSLKLFGKTVIIPDPRKVCPSNSGHEDGDRVSQSSCQEKLHTLPVGEVATYTAPNAWLLPYHYFPFHMGESGDARVAPPHLWWPYYGFPVSHPRELGAAVLHGEGTGESESGKSPSAESSSDSVGNFQTTTPMVKESLGAIQTAEAAQSFELKPSVNSAFVPVKPSRSGDEWTRGFVPYKRCKVE >Et_1B_013515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9185691:9186085:1 gene:Et_1B_013515 transcript:Et_1B_013515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFPALMRQWPSPPLIPASTLLPVPATSQEDELLLAMAESDLEDKLNEIRKANSNLVIIGKPAGDVKEEYDAEAEDDDADNVEESDGDDFDQETG >Et_3B_030005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29848282:29854615:1 gene:Et_3B_030005 transcript:Et_3B_030005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVLPACSSLCYFCPSLRARSRQPVKRYKKIIADIYQLPPDGVPNDRRIGKLCDYVSRNPTRIPKITEYLEQRFYKELRHENFTLAKVVPCIYRRLLCSCTEHRPLLATSSLSIIRTLLDQKAHDDLQVMGCLMLVDFLNGQVDSTHMFNLESLIPKLCQIGQDLREDDQGLRLRSASLQALASMVQYMGDHSHISMELDEVVSVVISCYEANQTLSIKEVVRLQDDDDLVINGSLAVPPMSGQSSAKVASDLVPTSENPAQWARVCLCNMANIAKEATTVRRVLDPLFRLFDSQDYWSPENGIALSVLQEMQKLMDKSGQNGHLLLSFTIKHIDHKSVAKKTEKQINIVKVASHLARHAKLKASVTIAGAISDLIKHLRKCMHFAIEASNVQADAYKWNNALYVALEECLVQLTEKVGDVGPILDMVGVMLENLSHTSTISRTTISSVYRTAQIAAAALPEALFHQLLLAMMHPDNKTRIGSHRVLSTIVAPSLLCPWSAMSFPIPMKCSGSQDILLLVLSAFSSETTIEEARTKDGIQESFQKNEKSESMVGAENGYADTEPNTRQYPGSPYLNDVHLTAFDENLKFMKLNNNQIVLLLSSIWSQAFLEDNSPSNFEAMGQTYSIALLRTIEKSSSHVALVRCFQLAFSLRRKSLGLENDLQPSRRRCLYTMGSAMLIFSAKVADVSQIVPLVKAAAPEKMVDPHLCIADDYRLICTSAQSSNSDMAYGSEEDESDALAFLSAINKDDAELIEIVVSCFKEKFENLPEKFNWIEEQLHQEFSLDDSFPLGAPLFMETPHSCSVYADKDDHCFDEDDVPSELDDDDDDIFFEHSGSQSDRKTSGSMASSDVLTVNQLMESVHETARQVANVPVSANPVPYDQMKSQCEALVMEKQQKMSVLLSFKHSRSDSRGSAGLETNESSMRSEPELQSTMKERMRRNDSASSESDRSFRLPPASPYDKFLKAAG >Et_9A_063591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:8703709:8710016:1 gene:Et_9A_063591 transcript:Et_9A_063591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMARLFKEYKEVQREKSADPDIQLICDDSNIFKWTALIKGPSETPYEGGVFQLAFAIPEQYPLLPPQTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEADSPLNCDSGNLLRSGDIRGYQSMARISDDGTRGMVGKIRSVFAEICRDRKWYHEDMQ >Et_5B_044677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4493351:4497229:-1 gene:Et_5B_044677 transcript:Et_5B_044677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLISCISDPCPSASPSAPAPAKRRSSTSSRGRGSNVGARDAAKAMAIDEEALAAAAALVLGQRGAPGGLGAFERSASVRYAAKRQSQGPPLPRSCSTRPRSLADPELQPQQLVAKDLNTTDLETNIIVLVHGGGFGAWCWYKTISLLEDSGFKVHAIDLTGSGIHSSDTNKISSLSEYTEPLTSYLGGLGDAEKVILVGHDFGGACISYAMEMFPSKVAKAVFLCAAMLTNGHSTLDMFQQQDVSLASVSMRPIPFAPVLEKLVLTAENYGSVRRFYVETTEDNAIPLTLQQSMCGANPPEKVLRLKGSDHAPFFSKPQALHKTLVEIAAMPAKQAS >Et_1A_007070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30560702:30564185:-1 gene:Et_1A_007070 transcript:Et_1A_007070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGNSRKRTHWNSDDGKRKRLNSRHDDVSLPSEPIETIYRILCPVKKIGGVLGRGGDIVKALREETKAKIRVADSIPGADERVIIIFNYQNQSEQADKAAQNISNLENVKHHCSAQDALLKIHDKIVEDEFAHQKSETIDDVVARILVPGNQVGCLLGKGGSIIQQLRSDTGAGIRVMPSENLPLCALKSDELVQVSGAPTLVRKALYEISTRLHQHPRKENPPLEEIIDASTQRKHEPPSSLSDGNPMLPYVHVDHPPPIALLDPYRNGPMQYPAAEAEEFSVRILCASELIGSVIGKNGANVRQVEQQTGARIKVQEVDKDASGERLIIVASKEIPADPVSPTIEALILLHEKVSAPSEKRHLSTRLVVPSSKVGCILGEGGKVITEMRRRTGAEIRVYSKADKPKYLSFDDELVQVAGPPAIARGALIEISSRLRTRTLRDAGSANNRPPVALFDGPPVDILPSREFTLYGRPATDAPYGRPAANPHGIPAIDPPYGRPNNDPPYGRPAIDPPYGRPNIDPPYGRPAINSPYGRPPYGRPLINPPYGRPANDPPYGTPSSNIPYGRLTESSPHDPSHAYPIDYFSKKEYPNGSPPFVSNAPSSACQRYAAPARLPTRDPLSSSFGSDYLPHHSYRDHVPTTDGYSSKDAPQLGVTKDGNTNAYDYTEATGQMHGREDYRGLTNVTTTGFSSTIELRIPNSSLESIIGVGGVNLAEIRQISGARLRIRETGTGSSETVVEIQGTLDQVKAAQSLLQGFISANTRSTQQPQSSRMPHYPSWG >Et_3B_030311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32123836:32126522:-1 gene:Et_3B_030311 transcript:Et_3B_030311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDREERGVEPPTARNADPDGCSSGGGSGKKGSPCEECGEQPWKYRCPACARLTCSLPCVQAHKRRTACTGKRPRTDPVPLARFDDNQLISDYNFLEETNQARESAHRLITGFGRNYGGPGGAQLPTWLFYLRKAAQRRGIRLYFLPRGMARREQNRSRHHHRKNCIYWTLEWKFNSADVVLTDHEIDEHATLHSLLEKHLSPGPWKDHLSQYRNTELRDLKLFIQKSAKDSDSPYRQLNVEEPLISQLRGTLIVEYPTINVFLPSDNFDFEVEKMVNKLTKNEKPNDSSTDAPHLEGTEFHEEEIEEGECAPDTQIIDLKDSGPSQPTNLAPVETTTISKIDTKTDSLVPSSISVLTSDGVSGPQQEHSEQSKQTPNATPEALKRKSGTKVYPLVLDIEDNQGSLFPDLGFEQEMRDNYPELFGDVDNDDFFSYDLEMINGDDPVEAMTALLWGGDLEEGEIPSASSS >Et_2A_016902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29189974:29191981:-1 gene:Et_2A_016902 transcript:Et_2A_016902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSQHDSTSAPGIQEDVDYPGNSSKCTKTTSSSSWRWCLGLIYIVAVASIWIAASYIVQSVVDAGVSPFLITYICNSLFVIYIPIVEVARYFEDSIDTFWAKFKGKDVASLQETADLESVNLLHGSGHEGNAASDQSQTRSPEDTLVPDSIFPDQTELNVAECRKGLDAKGRWTRARVARVSMVVCPFWFLAQLTFNLSLRYTTVTSNTILSSTSSLFTFLVALVFLGETFTWLKLVSVLLCMGGTIIVSLADSSSTVNAIATNPLLGDFLSIVSAGLYAVYITLIRKKLPDEKEGQGEVSMAQFLGFLGLFNMLFFLPVALVLNFAKLEPFHKLTWEQVGLIVGKGLLDNVLSDYLWAKAILLTTTTVATAGLTIQVPIAAIVDTLTGHAPHLLNYVGAAAVLVGFAGINIPSETPQAAQQEQETPIVSLVDDPLHLPDSRNASDAVS >Et_10A_000704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:15824881:15829650:1 gene:Et_10A_000704 transcript:Et_10A_000704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLVSASTGVMNSLLAKLSLLIEGEYEILEGTKRDITFLRTELSSMNALLEKLATSEKLDSQVQVWRDNIRELSYDIVKTHKLSRGDATAGFVKKITGKIQKLWSRYQMANQIQELKARVVEESERHLRYKYDEPAANAHKVEIDPRLPALYVEAEKLVGTGGPMKKVMNWLLKHDSSNQLKVVSIVGFGGFGKTTLANQVYQKIKGQFDCAAFVPVSRNPVLKKILLNFIKELRGDTDASCDERQIINELREFLQDKRYLIIVDDVWSVTAWDFIKSALPENNLRSRIITTTRHCDVAESCCSCSEGYIHYMQPLSNQDSQKLFFKRVFHSQGPCPPHLEEVSLEIIQKCHGMPLAINTIASLLANKSGKGEQWEQVRDSMASGLHSQLVRDILLLSYYDLPYHLKSCFLYLCIFPEDCKIRRENLIWRWIAEGFITDVMGQTLDQVGDNYFNELINRSLIQPVDITYDGTAKACRVHDMVLDLIISLCTEQNFITIVEGQVYKSPANKIRRLSFLSNSLENEVLQEIMNKCSHIRSLIRFRVVDKEAPFICRCHSLGNQHIRYIGSSFQLKYLEISCPSITELPDEIGKLKHLQTLDISNSQIDKLPSTIGRLQNLVRLLVDFKVKLPDEIGNLQALQILSHAYSYNSVKFVEQLRQLTNLRVLAIGLHDRNELSGHGIGMYQEALGSSISVLGKHGLQSLEIDCNDYSTNKLMDLLCHNAPYLRKLCNWSYISRLPQRIGSLVNLVHLEICATRVKQEDLCILGAIPTLLYVMLSSLEAPAQRLTIGRQQFHCLKEFMFRSFGDGGLSMVTEQDAMPQLRRLHLEFRARETESPMGYEFNLEHLARLTHIIVIIHCDGAITSMVKSAEDAISHTASTNPRHPTLEIRRESESYMVYELKGVIGLSYA >Et_9B_063854.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17707006:17707371:-1 gene:Et_9B_063854 transcript:Et_9B_063854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCKSMLTLDWSLNPTAPVYNANDSLLKRRLWFNCYVDTIILMCWSIWVTRNNWIFNITGYSISLTKMSRIAGSTSSRCLAWLSIEPAQRKQTSSNNGYMQITRSFRFTFSFVFLVMVCT >Et_6B_050163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:861702:865367:-1 gene:Et_6B_050163 transcript:Et_6B_050163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLLLFPSSSSSASSYSASSQSLHATTSSSSHSHLLPPLPISQPPQDQLLLHYLHLVEDPAAGAMVRKRPAPEMDLPPPRRHVTGDLSDVTAAAAASAQPQPLPLPASAQLPALPAQLMQLPAFQQQQHAAAAAEVEQQQQQGQEAATTAWVDGIIRDIIGSSGAAVSVAQLIQNVREIIHPCNPGLASLLELRLRSLLDHQHPPANLLPSPAVAALPPPPAPTQLDKQQPNPPSPKAPTAEETAAAAAAAAAAAAAAAKERKEEERRKQRDEEGLHLLTLLLQCAEAVNADNLDDAHQTLLSIAELATPFGTSTQRVAAYFAEAMSARLVSSCLGLYAPLPPASPAAARLGTRVAAAFQVFNGISPFVKFSHFTANQAIQEAFEREDRVHIIDLDIMQGLQWPGLFHILASRPGGPPRVRLTGLGASMDALEATGKRLSDFADTLGLPFEFCAVAEKAGNVDPEKLGVTRREAVAVHWLHHSLYDVTGSDSNTLWLIQRLAPKVVTMVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYGEDNPERHVVEQQLLSREIRNVLAVGGPARSGDVKFGSWREKLAQSGFRAASLAGSAAAQASLLLGMFPSDGYTLVEENGALKLGWKDLCLLTASAWRPIQTSVGHQLFFPTKRENGCYLV >Et_8B_059635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20087636:20089714:1 gene:Et_8B_059635 transcript:Et_8B_059635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRGRRHGLFGFGDPFAGFGGFGRPGSLMPSFFGGPNPFDDPFFTNPFGTMMQPSLFGPNLNGGMTNSSGFIQQAPEPSRPKGPIIKELSSDDEDDASGDKEDGKNEGNLRKHPRTSKEPDVEDPDDEAEGNKRPKHGHIGREFSRVSTSHPQPQTFMFQSSTVTYGGPNGACYTASTTRRTGGDGITLEEHKEADTTTGKATHLISRGIGNKGHSLTRKLNSDGHVNTLQTLHNLNEDELAGFEDTWQRNTGGNFPGWDPRFNMLGRGSARRDIRDVNQMSALPAPDWSRGSNSSSNPQNGSFKGRSRRT >Et_4A_034215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30910828:30913769:-1 gene:Et_4A_034215 transcript:Et_4A_034215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAAARQAKESLELAFQMSQILDTGLDRHTLSLLMALCDRGANPEALAALVRELSSAAPPAAAAAPAPVSNGAAAPAPTTASLENPFYSRRFGTGP >Et_5B_043358.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:7681606:7682688:-1 gene:Et_5B_043358 transcript:Et_5B_043358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PPGAYWQVRVRPQGEPALLVVPGLEIPRVIGAVHCRGLVLVEDRTRTAGYAVSVCNPTTGEVLRLPDKPSWSGGEIGPPGVVTGIGFHAEHYKVVQVTVAMGSNMQARVLTLGDDRGWRALDLDMTQAFGDLTDDACIDWNIDPVFADGCIHWYFRTNRQNPDEPHGIISFSLADESFRRAPLPPFVTSDRASYPHRLEKRQATPSSSGTRLAELDGRLCITRDVRRRSDAPGQFEVWKLHDYEAGAWSLDYRVDLKGRAARRVKASFVVPLTYMDGGWWCPPCPGDPKTKRRLLLVTSEQRVQVYDPNTNLLRTVASIGYIRRFEDTLRVVLYQESRVRFPGMERCDSEIRFREMDEFI >Et_2B_022084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8926154:8927624:-1 gene:Et_2B_022084 transcript:Et_2B_022084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHVPVCWALVHGAGLGAKGAALAVYVTSGRRRTARTWTGFSGEAFRDALGFIRLAVPSALMVCLEWWSFELLVLLLPNPQLETSVLSITLNTANCLFMNPYGLGAAIRGCGWQKIGAWVNLGAFYAVGVPAAYLIAFVLHVGGMTGIICGVVVQVVLLVIITVCTGWQKETRIQVIRPGDAIFATKAQNRVFHSSLPTDLAT >Et_6B_049666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8125711:8130926:-1 gene:Et_6B_049666 transcript:Et_6B_049666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGREECNRIGSAGRVSENVEVKIVDHMTGKPLSVGQKGELLVRSPAVMTGYVGDDEANATAFDSEGWLKTGDLCYIDQDGFLFVAEGAHQIQGLSVMPPPPAAVDPSSGYCAATGIFHSLRAPLPLPPPDRPLSFPAHAFSLLPSPLPSQPAVIDSATGEAVSFPAFLSRVHALAAALRERLRVSPGDVAFVLAPPGVHIPVLYYALMAGGAVVTPANPALTAGEISSLVALSNPSIAFAVQATAAKLPPGLDTMLIDSPRFLSFLEEPHDDDDGVTVPGMDVVIHQSDPAGILYSSGTTGRAKAVELTHRNLISSTATPGVVADEVVLLTVPIFHVYGFVFCLRAALAAQTLVLYTAKRFSAREVLTEVARFRVTRLALAPPTLLAIVQAAEEANSVIAHTTTLQIVTCGGASVSPELARRFKLKFPHVCLVQGYGLTETTAGFCRVVGVEASARIGSVGRLSYGAEAKIVHPETGVAQPPDIPGEIWVRGPFVMKGYRGDNDSTSAVLDCEGWLRTGDICYIDKDGFIFIVDRLKELIKYKGYQVPPAELENLLQTHPHIEEAAVVPYPNDRAGELPVAFIVRRRGSNLHEAEIKDFVAKQVVHYKRIHHVFLVNSIPKNAAGKILRKDLVKLALQQISAKL >Et_9A_061748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15995590:15998705:1 gene:Et_9A_061748 transcript:Et_9A_061748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAASFFPPRGPASPFVRRAPPRLGAGRLLVSALGGGGGGSSEGDAGRILDPRATPFQILGIDGSVSYSAAQLKAAFRSRVKEFHPDVYKDSENADLIMRRVIEAYQMLSGNQEMMVERNNIDPFDEPECEARDIFVNELLCIGTGCPYSCVRKAPHVFEFTDDIGTARAISQGNGEDDLVQLAVRQCPRKCIYYVTPCQRAILEDVLASVLMAPYDLAEAAVLDSLILKAMFENNRYKMPKREAKSSSDYVDWM >Et_5B_044664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4482241:4482550:1 gene:Et_5B_044664 transcript:Et_5B_044664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVGSAVVQETVKQLALSGLIGGSSGMEDDEKEQHSTSRPPAGGTRRFEWYAAGAGDFLPAVRSCVSDGPPPPAALQTTRRMMKR >Et_1B_014219.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:3655306:3656397:-1 gene:Et_1B_014219 transcript:Et_1B_014219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVVIVVLVAAALSAGAAQQPQTVPVPPKPTTPQPVPPKPMPQPAPPKLTPDFYKQTCPRAERIIAEVVQSKQMSNPTTAAGLLRVFFHDCFVGGCDASVLIASNQFAQSEHDAEINQSLPGDAFDAVVRAKLALEMECPGVVSCADILSLASGVLVTMTGGPRFHIPLGRKDSLSSSPAAAEAELPHTNYTVDRLIQMFGAKGFTVQELVALSGAHTLGFSHCKEFADRIYNYRDKASGKPEPFDPTMNPSFARGLQTACKDYLKDPTIAAFNDIMTPGKFDNMYFINLERGLGLLSTDEELWTDPRTKPFVQLYASNSTAFFDDFGRAMEKLSLLGVKTGADGEVRRRCDTYNHGPMPK >Et_5A_041947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4591129:4593818:1 gene:Et_5A_041947 transcript:Et_5A_041947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKLQIKLNANRVVIGTLRGFDQFMNLVVDNTVEVNGNEKNDIGMVVIRGNSVVMIEALEPVAKAQGQATIVLD >Et_9B_065051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20813873:20817570:-1 gene:Et_9B_065051 transcript:Et_9B_065051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEKSPQSPVSCEQRVVISNKHGEKLVGLLHQTSSNKLDDSILVGLAAALTREGINAFRFDFAGNGESEGEFQYGNYRKEAADLRSVVSYFSKQKYDVIALVGHSKGGNAVLLYASMYHDVPIVVNISGRFALERGIDGRLGKNFMQRIKKDGYIDVKNRKGEFEYRVTKASLEDRLSTDTLLTSRAISKGCRVLTIHGAKDEIVPAADARMFAANVPNHELRIIADADHRYTGHEKELNSLVLDFVRSHQQSTSPLRPKL >Et_3A_024943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25529572:25533998:-1 gene:Et_3A_024943 transcript:Et_3A_024943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGAVVGTAVVVCAAAAAAVGVAVVVSRRRRRRRDVEDERKRKAAAVIEEVEQRFATPTALLRGIADAMVAEMERGLRADPHAPLKMLISYVDNLPTGDEHGLFYALDLGGTNFRVIRVQLGGREKRVVKQQYQEVSIPPHLMVGTSTELFDFIASELEKFVRTEGEDFHLPEGRQRELGFTFSFPVHQTSIASGTLIKWTKGFSINGTVGEDVVAELSRAMERQGLDMKVSALVNDTVGTLAGGRYVDNDVVAAVILGTGTNAAYVEHANVIPKWNGLLPKSGDMIYEKMISGMYLGEIVRRILLKLAHDASLFGDVVPPKLEQLFVLRTPDMSAMHHDTSHDLKHLGAKLKDILGIPDTSLEARYITLHVCDLVAERGARLAAAGVYGILKKLGKDKVPSDGFQTHRAVVAMDGGLYEHYKKFSTCLEATLADLLGEEAASSVVVKLANDGSGIGAALLAASHSQYAEAE >Et_9B_066261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:929349:933641:-1 gene:Et_9B_066261 transcript:Et_9B_066261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGNAKMSKSSSWPKAKTVVKKWFNLRNSEFHSGCIRHQQQHQVMRRKSCSDRDGSLPTSRDLSGGWLVESSENLRPPPRMFVGTWNVGGRAPHGGLDLSDWLMDGPASSSAHIYVLGFQEIVPLNAGNVLGAEDKGPAYQWLDLIRRALNPRSSKRSQSFPPSRRYAAAETTNADLHQNVGRVSFLDLLAAEDHRLSTVSEPDDDDDSEPSTSNPGSSSDEDTSDSTVHGGARHGYRLAASKQMVGIFLCVWVRADLMPHVTSLKVSCVGRGIMGYMGNKGSISVSLSLQGSATMCFVCTHLASGEKDGDEVRRNSDVAEILKRTRFPPHRRVFGLPSSPAPETILEHDKVIWLGDLNYRLTGSSCGETQELLERRDWEALLERDQLRTEQRAGRVFAGWEEGRIRFPPTYKYLADSDAYAITSRSSRDKKRTPAWCDRILWRGSGMEQLWYTRGESRFSDHRPVTSLFSARLLHDHDNKAPHSPRVAARLLRPSDNTAAVEAEEMLAVSRTSCPHSSRF >Et_1B_012023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28134506:28142783:1 gene:Et_1B_012023 transcript:Et_1B_012023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPWVDDSGLYLIHIKELQLHVWLHDMDSGQWLLQNTVCLCEVYGQLGVADLVPGDGLSTATDIKNRDVGHSAEFVFLQVGAVLHIHMKSRNAEKVYTVKPEESGIRLVPFMMIWPPNFPRLWPCPSSPAAAALSMVLDNDDLLGEILLRLVFPKNLVRAAAVCRRWLHLASDPAFLRRFLNLYPPRLLGLYVQSGRSPKFVSTPHPPAELAAAIRRAGSILDDASLGVTAVLDSQNGRLLVKLNDNAKPRDAVLSLLHLRETWPCSRRRCSQQDPTSGPGT >Et_9A_063433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24151442:24152668:-1 gene:Et_9A_063433 transcript:Et_9A_063433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVAGRRKRGMQGRRDVVVLVVGLLVASATAVASPQVPCYFVFGDSLVDNGNNNVIVSLARANYPPYGIDFSGGPTGRFSNGLTTVDVISKLLGFEDFIPPFAGASSEQLLSGVNFASAAAGIREETGQQLGGRISFSGQVENYQSVVRALVTMLGSEAAAAAHLSRCIFTVGMGSNDYLNNYFMPAFYATGSRYTPAQYADVLAARYSRLLQVLYSYGARKVALMGVGQVGCSPNELAQASADGVACVARIDAAVRLFNQRLVALVDQFNSGALPGAHFTYVNVYGIFEDILRSPGAHGLKVTNTGCCGVGRNNGQVTCLPFQMPCANRHEYLFWDAFHPTEAANILVGKRVYTARLTTDVHPVDIRTLARL >Et_4A_032709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12820623:12821891:-1 gene:Et_4A_032709 transcript:Et_4A_032709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSCCHKKKLRRGLWSPEEDEKLMNHIAKYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGTFSQEEEDLIIHLHSMLGNKWSQIAAQLPGRTDNEVKNFWNSYIKKRLRERGIDPATHKPLAEAAAGDAATTAGAGRAVFCDAELIPATTTTFQAQLTDPMLDWSGATISSYLQAAGRNFDMDALQQQQQRCGAFPAPVVPSASSSSTLTSMADAEHCNANVAAGANLPWLELGPHAVADAAGHVGSYAGAMDELRWSEYFDGAFQAAASQQGALQGQCVYGGGKDDVAAVEIDVHGLSNWC >Et_4B_036290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15377349:15377645:-1 gene:Et_4B_036290 transcript:Et_4B_036290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLMTYDFKILTVDTEGKTWRTIPLMETMCAECFCRGPLAFIDRDASVLSVWVLEDYYGGEWNFMYNISTFQLFGVRKLKLERD >Et_2A_015949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19479339:19479896:-1 gene:Et_2A_015949 transcript:Et_2A_015949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KVPIFPSSSSKTTGGACGADKFPAGRRYANCTALSPLGAKLYWTYHAAAATLSVAFVAKPAGPGGAGWVAWAVNPTGDGMKAGRAMSSRHLAPQRLLRGPHLQRHGVRPAPTLCDAHRVQGLGARRRRERAPAGTHIWQVGSAVANGAPAKHAFAKANLDAKGKLALVDRWRGGGA >Et_2A_018531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31900454:31901257:1 gene:Et_2A_018531 transcript:Et_2A_018531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQPEPVRLISAFGSSFAHRVEAALVLKGVPYELVLEDLDNKSELLLRHNPVNKTVPVLLHGDRAVCESFVIVEYVDEAFDGPRILPADPYDRAMARFWAHFIENKLTMPFWMSFWTEGEVREGFVKEARENLAVLEAQLDGKRFFGGDTLGFLDIAASVLATWLDVMEEAQGARLLGDDGEFPALRWWAKEYAAHEAVKKCLPDRDRLLAYFVTNADKYKGRARAALQQ >Et_2B_020123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17050409:17052928:1 gene:Et_2B_020123 transcript:Et_2B_020123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIKAVVDRFVKELQEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEITRQEARLKIEKENLEKEKSVLMGTASSQDNQDGALEITVSGEKYRCLRFSKAKK >Et_2A_015547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14721113:14723496:-1 gene:Et_2A_015547 transcript:Et_2A_015547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRWAATECPKKVAGLVDLVNLPTALREFAGGQSQMSHLTFFRRVWSYIKDNNLQDPTNRNIVKCDDKLKAVLLGRSKVELSELPMIVKLHFPKVPKS >Et_2A_017306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33014872:33019543:1 gene:Et_2A_017306 transcript:Et_2A_017306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRAASPSPSGAAAGSDPRPTLTPRVAMSCVLASEVATVLAVMRRNVRWAGVRYGGDDGADDEHLDHPLIAGLKSLRRRAAAWGPSWRDDVEPLLYLRPFLDVVRSDETGAPITGAALSSLHKILTLDLVAPSAPCAAEAMGAVVDAVTGCRFEVTDPASEEAVLARVLQVLLACVRGRAAPALANRHVCAIVSTCFRVVQQAGTKGELLQRVSRQTMQEVIRCVFSRLPDLDVDVLADQQVAGSKNQGMDAEEIENGKSDYVCLNSSGDEVGDGSSVAQDKAMMEPLGVPCMIEILQFMCSLLNIAEDIEVNPRMNPIDFDEDVPLFALGLINSAIELAASSIHRHPKLLAFVQDELFRNLMHFGLSMSPLILSTVCSIVFTLFYHLRHELKLQIEAFFSCVILRLAQSRYGASYQQQEVALEALVDFCRQKEFMAEMYANMDCDLQCSNIFEELANLLSKSAFPVNSPLSALNVLALDALVAVIQAMAERTDNAPQHHKQTVPEISEYFPFWRLKCDSNNDPDQWVKFVHQQKSIKRKLMVVLHEFARTFDFEQMNLDAALRLFLETFRLPGESQKIQRILEAFSERYYEQSPQMFVNRDAALVLSYSVIMLNTDQHNVRVKKKMTEEDFIRNNRRINGGNDLPREFLSELYYSICRNEIRTIPEQGSGCSEMSFSRWVDLMWKSKRTSKYIPCDSYPFLDNDMFSSMAGSTVAAISVVFDNVEHEEVLTVCIDGFLSVAKLAAFYHLDNVLNDLVVALCKFTTLLNASYIDDPVTAFGEETKARMATEAVFTIATTYGDHIRSGWRNIVDCILRLHKIGLLPARLTGDTADDQDSSDSLSSKLASSAVAPQVLPINTPKKSYGLMGRFSQLLYLDAEEPRSQPTEEQLAAQRNAAETVKKCQIGTIFTESKFLQADSLSDLASALIQAAGRPQKITSSLNDEGTAVFCLELLITVTLNNRDRIVLLWQGVYEHIAHIVQSTVMPCNLVEKAVFGLLLICQRLLPYKENLVDDLLRSLQLILKLDARVADAYCENITLEVTRLVKANATHIKTQMGWRTIISLLCITARHPDASDAGFEALVFIMSEGAHLSPANFVLSVEASRQFAESRLGSAERSIHALNLMEDSVNCLTHWSREVKEAGGEADRILEGIAEMWLRLVQALRKVCTDQREEVRNHALLSLHRCLVVDGIAISSSAWLMSFDIIFQLLDELLEIAHNYSPKDFRNMEVSLLHAVKLLCKVFLQSLKDLSAQSSFGKLWLEVLDMIEKFMKVKVRGRRTEKLQEAIPELVKNILMVMKASGILSKTSTSENSLWEATWLQVDKIAPSLQSEVFPDNEGDSTTQGEQKKLDTPALSDPNAEP >Et_7A_051903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:26243618:26245069:1 gene:Et_7A_051903 transcript:Et_7A_051903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAASLRGAMLLQSPLIAAVRRKPVTTRRRAVPAKISCIGWDPEGILAPPQGGHIARLEFRRRLERDSDAREDFERQVREEHERRRREREARVIPDTDDGLVEFFLDTDAREIEVEISRLRPRLNQGFFDHITREIAQVKFSVTRTAEMEDRLIELEAMQKVLLEGVEAYDKLQNDLVTAKERLMNILQSTDRKATLLEMVERNELNMSILTLLDENIASAKSSNQNEAVAFMEDVRASIVKYITV >Et_1A_007761.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3847860:3849081:-1 gene:Et_1A_007761 transcript:Et_1A_007761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLVKPHSNFAGALHSSRRAELHGRCPANVHKPAGRGSVKVNALPSLDMVSLMAVMVDHVANSRDYVVTKSIWHLSDTALKSTYTFYAMFTVWGVCFFASMKASALADLKQDPFYDSEAYRGQGGDGTVHWYYDKQEDLEASAREELMREELLEEIELRVGGLRELEEAGREEQLTQ >Et_10A_000192.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:16484020:16484211:-1 gene:Et_10A_000192 transcript:Et_10A_000192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLILTVAMRWARFVILVLQLIITRMLQLCVESRVALMATWHQRCIRQALAPLNLACGLWVL >Et_3A_026210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5542958:5543707:1 gene:Et_3A_026210 transcript:Et_3A_026210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RQEGSPLDLNNLPEEYGKQTVESSTTTATSSAATARVKRKSSGGKDDDANKVYECRFCSLKFCKSQALERETETLNRARQLVFGNESLAAVGAQMRCTYTHNSFIHR >Et_5A_041920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4274737:4277198:-1 gene:Et_5A_041920 transcript:Et_5A_041920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLAAAVLRRGADASRDLFSSRLSLFAPAYSRLFSADASGEASAAAADSQDDSFLKASDEELSLEDVKIDYNRGYYPTGALLRFRSKAAYTTAVRQASQARLYRLDTISREQWDLKKSFDGKALLLQGVPRNALPDDIEQFLCGTNFEPSPFESFIRPGVPEPIRVVLVKCPTKTDAMNTFITKNRTFCLNSPVSVRVLQ >Et_9B_065786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11948880:11949829:-1 gene:Et_9B_065786 transcript:Et_9B_065786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDRAAVKRGPWSPEEDEALRSYIQRHGIAGNWIALPKKAGLKRCGKSCRLRWLNYLRPDIRHGGFTDEEDAVIVSLYHQLGSKWSLIASQLKGRTDNDVKNYWNTKLKKRLVAGAAGTATATTPSPPPPLVPPLPAAHSPASLLFPSLAIPTVKTETYTCDDFLAPAAFRDALASAGGGGGSTPASAASSASNWSTDNGAAAGEGFLLDFCAGSDLGAAGQLQLPGGYYYPLDPSLSLV >Et_4B_036300.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:15557178:15557954:-1 gene:Et_4B_036300 transcript:Et_4B_036300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAIASKMQRMEVANKTDEIMAQFRPFAPKPTLTLAPPPLPPPPVAGSIASSAGYRHDRQDDYYIMPPYHLQPRVWWPTGVGTTVWRRGRSMPNLRLLRSGDDDPLVRLPLAVSSASSSSVPPDTSMRDVPMERDQVPKVIKPFPARPLRTTICIDSSNIIVGDKSAAGAVLAKSKKTVKEVEAEIEQDERPAIMSDSNNRVLLVNDAYKVMVGQPVCPWLDTLPYRGASRRINGEVMLNILMFHPASRLLNAGGTF >Et_3A_023082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25569923:25570315:-1 gene:Et_3A_023082 transcript:Et_3A_023082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSLPAPMVPRPTGHYAPPPTSSPGMATPASFGSYATSKISGDASLAGVILGRGGVNTKQITHVTGATLAIKDHESDNSLKNIELEGTFDQIKNARAMVRELIVSIGGPGSNFKTKL >Et_3B_029517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25656065:25657396:1 gene:Et_3B_029517 transcript:Et_3B_029517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAISGSPEPKEFPDWVLLNPTGRTHCYADADAAAAAVESDPTAVAVETSNNQSGYFSFTLNPPQNVSYLDLHWPHGMPTSPSNPRAYSCFVSVNGTLFLIRVDLRPRGLDWFVYSASPTHPSLRRLPACDKEPERLAGRSRFLQLDTDIGILRGGGGEDDYVVADLAVSWKSLQGTGSYGYDYNDPPPMMAVLCAFSSKTGQWTAKELVAPQPGGQDQFPVLWNCDTVVPFAGRYLCWVDYYSGLLILDFSDEGSPDLSYVPFPAGDKQFPDEERVERNFPEASRRVAVSHGKMRFVHIGDDYYRPSRLKITVWNLKLTDDSGSWDVDREIILDDLWAHPGYGLPRRPPEFPLVVKDDPDVLCCALREEEFDGKAWIIMLDMKRAEMRSSIPYTIQEIEDGDTVRQYEIVSHLVGSLLPSDFSIYLYNPDN >Et_8B_058834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:46837:47333:-1 gene:Et_8B_058834 transcript:Et_8B_058834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METRLTTNDNRTTVFTTEKFEKKKQMFTTSGNRTIVFQQSKDIIDREDPLAIAADQPFQFPATFAFVVRSFSVLDGIGKALILGLIFQRLLSREYTFSITRILLHLALILLKA >Et_1B_009668.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:12882527:12883896:1 gene:Et_1B_009668 transcript:Et_1B_009668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQHLVQASITRTKPGLAGLRLRGAMAILPPVNRSSVVKHPPEKIGEKLLITRHLGVRRAVVDVEDEVVAIVGPDQVEVDEVQAQRSPELAREFVDELGRRHLDPVLSAVGERAVGDVGEGDRGVGVGVPEALLRHVRQAVPPHAGGAVAGDVDLEERAAVVDELLEHDGDVQAAEALAAVHEAQVVRRRRAVDLLRLGDEREPEPAEDVGVVGGEGGGVVDDDLPGHGDVGDAVEAGGDDVEHGEAVEDAVDGGRRVDDGDARVERGEGLQLRAPRRVVDLVHDEVEGALLGERAGEVDVHEAHAAPRREVQERRLDEGVVPGGQHRVADGVGATSRRPGAGVRVHGAAALTATAVRVVCSGYAQEIDKPSLLVVVLVAPTLQLKWLEICTQPAEQGRCCMNP >Et_9A_061081.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:14659845:14659991:-1 gene:Et_9A_061081 transcript:Et_9A_061081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLCCSSEEEEEAGFNLLGLLVALVIALVFMLICSPPRRRCVAIYPC >Et_5B_045116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9768511:9771750:1 gene:Et_5B_045116 transcript:Et_5B_045116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLLFSVSVREGDWNCPQCGNVNFSFRNVCNRGACGAPRPSPSPSPRMMPTPPPAGGYDRSPLFYSRSGGPPPIPLGSGSYGAPYPHLGMRYGYGPPVGAPGSYGLFSSYGQPGPIGGMGYGPGPELGRYSYGFRGSPMPVSSPWSGGALVENNDTNASRKRRGGPDGLSEGDWVCPKCENVNFSFRTSCNMKKCGAPRPTPGVNTNSSRKDKDAPEGSWTCPECNNLNYPFRTVCNRKGCSYSRPASTN >Et_7B_054974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6056510:6061788:-1 gene:Et_7B_054974 transcript:Et_7B_054974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPTADEQLLPLRYPPARRDESIVDEYHGVLVPDPYRWMEELDSKEVKEFVDAQAAVANTVLSTCDHRGRLRGQLTALFDHPRFRAPFKRRGSYFYFHNPGLQPHNTLYVQVRPQSQSTDAFFLSFYGENKIDGTSGLRRLHVLQHGLGGEPDVLLDPNTFSDDATVSLSMIGVSDDGDHLAYGTSASGSDWITIRVMRVRDKQHLPDKLSWVKFSRIAWTHDGKGFFYSRFPAPRDGGTLDSGIKTDVNLNHEVYYHFLGTEQSEDMLCWRDPEHPKYIYSPQVTEDGKYVILSVSETSEPVNKLYYCDLSALTNGLESMKASHGNEMLPFMKLVDKFEAHYGLIANDDTKFTFLTNKDAPRYKLSRVDVTEPESWTDVLPEDEKAVLESACAVHGYKLLVNYLSDVKYVLQMRSLATGELIRDIPIDIGTVNWISGRRVDSEVFVEFASFLAPGIIYRCDVSAENPEMHVYREISVPGFDRKDFEAKQVFYPSKDGTKIPMFIISKKNIKLDGSHPALLYAYGGFGMNITPHFSVTRVVLMRNLGFVTCIANIRGGGEYGEDWHRAGSLANKQNCFDDFVAAGEFLVSAGYTSTTRLCIEGGSNGGLLVAACMNQRPDLFGCALAHVGVMDMLRFHKFTIGRAWTCDFGCSENEEEFHWLIKYSPLHNVRRPWEKKKGGAGLEARRGFGCQYPPTMLLTADHDDRVVPSHTLKFLATLQHVLRAGEEGSPQTNPIIARIDRKSGHGCGRSTQKIIDEAADRYAFAAKTHEKSFVFFCEGEIIRVKLARTAKRHAVAEPYIAHAHSGPIHSTRRLNMFQYTAIPCIHLPDSVTDD >Et_9A_062251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21054821:21056788:-1 gene:Et_9A_062251 transcript:Et_9A_062251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTVTTPSRPLAAGCRRAGRSGPVVLSLKGPRRRAPSTTSCAALASPEKQSTAKLPLPPPPQKEEEENTDYNEVAAALESIYQLSPAVVEEEDDKTKKKKKRKGRVGKSTVIVRSRRRRRGQRMDLGKRVEMRSKAAAGDKQPEQQEEEREFEEMLLREHAVSTDMGSLDWKRMKIPPVLSSAQSARLFKTVQPMRAVFEVQESLREELQRDPTDAELAEANNMTVQELRRRLDVGRAARNKLIKHNLRLVLYAINKYYPDMANDERFDDLCQAGANGLITAIDRFEHKRGFRISTYALFWIRHSIVRAMTLSNFTRFPFAMESERQEINKAREELAFELGRTPTDDEVIKRVGISPARYRDVLRMMRPTYSLHSRNRVTQEELINEITDADAIGADSDKHSNQLLRLAIDDLLDSLKPKESLVIRQRFGLDGRGRRTLSEIAGNLNISREMVRKYELKALMKLKHPTRVEYLRRYM >Et_3B_031686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7969085:7970602:1 gene:Et_3B_031686 transcript:Et_3B_031686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADEPTAAMEQVGEETTEALEQVGEESAGPMEQVGEEPIDPMELVGEKPTEAMEKVGEEPEEADAEEEPAGASLPPALPLGRVKRIIRIDRDIKKVTNEAAMLIASATELFLGSLAARAHTAASRRGRRTVRAAHVRAAARAHRPTADFLLDCLPADEEAPRARTNAGSGTGGGGGRGSGEAKPLPRGTRRIDAFFQKCTSICSGI >Et_4A_034768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6377707:6381401:-1 gene:Et_4A_034768 transcript:Et_4A_034768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRAKLVEQLREHQIRSAQSYSAALAVFSPNPHIASRRDLKVAVIYAVLFCFLMVSCYVALYLKWFKLSAIFVFLGILLPVVLKISRHRRLTRKRERRLLLPLSM >Et_3A_026947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27672170:27673607:-1 gene:Et_3A_026947 transcript:Et_3A_026947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLDPFAEANAEDSGAGAGTKDYVHVRIQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIVKKESIKIHGF >Et_8A_056228.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:16832362:16832421:1 gene:Et_8A_056228 transcript:Et_8A_056228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCIQRILICRQMVVWFPN >Et_9B_063844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17111471:17111692:1 gene:Et_9B_063844 transcript:Et_9B_063844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFCAGAPTRRMTHKVTKMLSRLLLLVSLTGKQLPLSLLTCRQRMQKLLDLVS >Et_8A_056747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16226975:16238778:1 gene:Et_8A_056747 transcript:Et_8A_056747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTASLTSAAVKMACDKLGSAIGGQVNLFWNFNNNLEDMKDTLESIAAVLKDAERRSVREESVQLWLKRLKHAAMDISDMLDDSQVAEEAAKMKGMLSCLPIAPKKIILANKMKSMREKLRKIAEQHRNFNFTLDTSVCINQQHYDQRETTSDVNDAEIVGREGEKQAIINLLLACENIDETMILPIFGLGGMGKTTLAGLVYNDTQFKKYNHRVWVYVSQEFDLKKIGNTIISQVQTEGQHNIDTMQLMNQRLDDLLPGKKILVVLDDIWEEKDTELERLKRMLRVGKQDSQTARKHARYNMHHLVHDLARSVMGDELIIFDSAARTNTSQQKYCRYALLKNYTQTGIFAMNVRALSFSGNSKLNIRDGGFSFAKCLRILDFSECTSLVFPASIGILKQLRYLIAPRIQNDSLPGCITEQSKLQYLNLHESSQISAIPESIGKLTGLKFLDFSGCSSILNLPESFGDLKKLMHLDLSSCSPYRTTNLMHLDLSTCSKITELPASVGNLTNLLHLELSDCKGFKDMHESFCGLTNLQYLNLSNCINLRRLPKDIGNLARLQYCNLSSCYNIVEMPESFQDLQNLVHLDMSRCYSVRGVLAAVGGLTALQHLDVSNLSDLHLEDLDMLGNLTDLRYLNLSKTLDPWPHQDTHSGRESCTYIEIIGGLTNLQHLDLSLNQNLGYLPGNLGNLRKLHTLNLRGCKGLMSLPGSIDALTLKSLLVEGCSDELTDHINSRFNNYLLTLPLFKVRANGSSARSNLHQLKHVNPDDLKIHFLENVRFLEEADAIKLADKNNVLKLQLSWTLDTDRHLEDKDLLGALLPPESLKHLWMKGYSSLSLPTWLMCFPCYLSNLVSITLVDFPFCFYLPSLGQLPNLEYLRFDNLGKIKNIDGDFCGGKGAFRRLSRFDLARMNGLEWNTTYHDEVGVEDFMFPMLDELNIMSCPHMSLKPCPPIFHIWRITNSDESCERIKSLPSSIQQLTNLQTLHIIWNPELKQWCQSEENKTKLAHIKDV >Et_1B_012476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32380139:32381132:1 gene:Et_1B_012476 transcript:Et_1B_012476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVLLVSAVLVGLVALSSCRSLGELSEQKTYSSAPHYGGSPTPTYGSGSTPTPTYGATPTPTYGATPTPSYGSTPSTPSTPSIGFPDIPKHGFTGSCDYWKKHPDMIIAVVGSLGNIGKTFGAACSLIVGKKFENLHDALSNTRTDGIGALLREGAAAYLNSIVNKKFPFSTQQVKDCIIVAVTSDGAASAQAGIFKKANEYHY >Et_9A_062700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3432793:3434094:1 gene:Et_9A_062700 transcript:Et_9A_062700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARGGAGGWVPGERWRSLFLALASVSFLLSLILLFLSAPRLRLPSLAPSVAAATAVRRGPDAPPCLAYLLNGRKGDGRRLLRLLLAVYHPRNRYVLHLSADAPDEERLSLAAGVAAAAPAVGAFENVAVVGTPTAGTPVGASGLAGTLRAAAVLLRLHPDWDWFLTLNAADYPLVTQDDLIHVLSSVPRDLNFIDHTSDIGSKEYYSSELMKSINQQQH >Et_7A_050240.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14638895:14641049:-1 gene:Et_7A_050240 transcript:Et_7A_050240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLITFFTNIIGVVTIALISIVSVLGLICLCRSLYFQLWIKRRGYQRLSYFNGPWLTRITLILVAFWWGFGEVIRLTFVNGEGRLISDRSWQANVCKFYIISNLGFAEPGLFLLLAFLLSAALQKQELGTLNRKWNRETIRAVFILCAPSLVWEACVVFVISSGDDRESKFAKYWYSASAIHNDDVTCTYPLLSSIFLGAFYIILTIYVMFVGGQMLSLVINKGLRRRIYILICATFILLPRAILLGFSVLPWPGEVIQESLVFISFLVLMLAAMVGIIILVYFPVADTFAIGDQEHLEMQASRAVIL >Et_5B_043621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1324347:1336663:-1 gene:Et_5B_043621 transcript:Et_5B_043621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRRRQEDAGGGVGQLRGGAAAGRAMDDDGELEEGEAFGEDTAFVDPDVALSYIDEKLQDVLGHFQKDFEGGVSAENLGSKFGGYGSFLPTYQRSPVQARSPPRAANVTSRSPFHQSNEGMSQNPSAVAVPSVSQNNGSVVPLSADSSKKEIRSSTKAERGSSSQDSLYGPSKSSDQNRFKVRIKVGSDSGLARNNAAIYSGLGLDISSPSSVEDSPDGRGSLSPEVSNEPLESPRTILQIMTCFSVPGGFLLSPLPDNILQLTKKVVPSSKKWESNVDVENVQETYEGHVAKKMKSDGKKKKLIDTKSSKNRNDTSAVMKKEIDIETTAGQKIVSEALNIPLLSDFRTNEVKGESQFEEEPAGNTLGRNKDARLKERAIKSDSMTIKADSVKAEAMDCVDNSYFGTSEMDAPKGEPKTKTEKTERAEERNATDDKALLLDRKQERKIKSDSKCHASGINYEVDNAINERASVSRSIGKVSGKETLPYDLNGENKSKSEVKKVQREQKSSASTSSDFLEDDKHIHSSAAVQDRKSDIQSKSNHTGKKPKVKSHRDVRDNLPEGCGGKEQDDILETGSGLGDPRLKEKSWKNDSDRDFDIPGTSRREISSNVKHDRHIASEHKMHIPPPSSVSTANAAPALPAPVIIEEQWVCCDICQKWRLLPYEMNPSNLPKKWKCSMQQWLPGKNRCEVSEEETTNALNALYVIPAPANGIPSAGHPHVASAGLATSTITNVNGHVEQSRKRKGAPNDGTALVEGSHQTQSSVHPMSKSYVDDNQYSAERDSISKSFDPSFEKKRSKSKHRDSYSDGGDLVERSKKHSKVKSKRDMDRDEYKASKKMRKEERHRSSRDRDPGYDLAGGDVPDEAKALPAKTTTLKGLGERNDVSSSKQKIVSRHNPLEKSKKAKDEDVVGPEDENKEYFHQSDAQRSDLSSKKRIVKEWEDSQHNSVAHISKGTTVNHSSATKETYKDQNFKEAKLKSLKSEEVFSATDSKSGKLQHSDQIVSYDGGHMDNELEDNTLFTGKRGLPELENQLSDQALDLAEPASGDVACFQTAAVTSSSSKAPGSQRKKQNTQVAKISPTDTRSSSPQRKSNIDKVPHNRISGKDGSLNATLSTAPNTVKQLNTEIGVADNVQHASETVLAGSSRRKSDKDNGLVELNQGHASDGIHLERGFDDDLQHESGRAKGSRISRSYNHSHSSDKNNYHTDASPVQKHIVEPKTSVLDAKGESTVLDNKKRASSLQDRNGSTHYPPDNPQQGLPCGKDKSHVKSNKQDLQKPKAQMACSPPRETKQESHSTPLKSNVSKLTPQSRQYNVENGGQHGTSRMATPNAADTSSPARKDGTSAAYALKEARDLKHKANRLKVVLEEGKELESTRLYFEAALKFLHVASLLEPPTFDGSKQGDAAQSMYSDTAKLCNFVGHAYEKCKKMAAAALAYKCVEVAYLKAAYYKYPTASKDRQVLQSVVQTAPGESPSSSASDIDNLNNNGLSKGPSSKDANSPQVAGNHLLIAVRNQPHLTRLLAYTNDVNSAFDATRKSQMAIASAAGSNENGIDGLSSVRTVLDFNFRSVNDLLRLPSPSATLYISSVIGCVVSSDENLCRWSDSPTPPASTQLNPTSFFTPLSRICCLTLATPAQSPFSA >Et_1A_005446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10433382:10434028:-1 gene:Et_1A_005446 transcript:Et_1A_005446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAHHAALLRLVAVVVSCALAAAAAAVGVAAAAATAGAPDGLPSEHLFPCLEELLPCTAYLKAAPANKHPSNTCCTAMHRAAAADEMPCMCRLLADPELLATFNVTTDQTFKLPARCAMPVGCRDGDNHEPVVEAPPPPAGKPPHHFVFESSGDGFRSSSVWGTIASAVLGGMVPVAAVF >Et_5A_041310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2184581:2185082:-1 gene:Et_5A_041310 transcript:Et_5A_041310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLEQERCQWPLGSSPASIGCGFSRVVASVYNAEILPTAMRGVSTSLQLDIFINVGVLLTYVHLDWRVMYAAAVLTMPESPRWLVLRGQHGPHGARAHLG >Et_2B_019958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15660991:15670321:1 gene:Et_2B_019958 transcript:Et_2B_019958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSISWVPKGAAKNVPVVAEPPTQEEIDEAIKAIAVGRDEGSDADADEDDDAGAMNVDGAEDEAVAEEEEVDEVAKAKAAAKALAKGSGELDDVADGLRELNMDAYDDEEDGLEIFSTGMGDLYYPSNDMDPYLKKNDDDEDDDDDEEEMEDKIIKPTDFVIVNIYEEAEDGEPNMFVHHDVPLSDFPLCTAWMDFNLKGGDRGNFIAVGTMAPEIEIWDLDMVDEVQPHMVLGGLSKKKKKEKGKKGKKYKKGSHRSSVLGLAWNKEVRNVLASASADNTVKIWDLAIGKCAVTLEHHDDKVQAVAWSHQSPEVLLSGSFDKTVAMNDMKDGGQGGQKWSVDADVESLAWDPHNEHLFVVSLENGMVQAFDKRTASSNSSGRSAFTLHAHEKAVSAISFCPSAPNLLATGSTDKTVKLWDFSNNQPSCVASLSPKLGAIFSVSFSNDNPFLLACGGSKGDLTVWNVLTEPAVASKYGR >Et_6A_047916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23974803:23976598:-1 gene:Et_6A_047916 transcript:Et_6A_047916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAFVTAFSVGLCLLAPSYGAKILPLRLRAQGTSPGIAANRLTCGILRMMFISLANTISMVGCFFLYASTAVAAWVFVYVRLPETKGWTLEGMEELFAKPRSTSSSQVSVARASPAVSDAIRLHDTRRNLVRVDWPTYRHI >Et_7A_052911.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2587026:2587763:-1 gene:Et_7A_052911 transcript:Et_7A_052911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTDGMSRLAVAVSVTVALSLAVFLTILVLLLADLFCAHLRRKRLRAAEAWPGNKFALPSSLSPARTDDGSVATTTAKDALASTPPFYYAQGVMHAPNNTKDLLLAIPRLEAAVWKWSPARRSSPSRSSASSSSADASRLMYISNPVYELQGGQGQGQAAAPGDGDAPSPFGITEEGEYDEEAGGFSPPLSAMRKLPPLGVVACPPPVAFGYGDGRPSLTVTDPNRASSSSSNFTGHFFSSWSSK >Et_3A_025358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29125071:29126419:-1 gene:Et_3A_025358 transcript:Et_3A_025358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Et_5B_045763.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:924859:925668:-1 gene:Et_5B_045763 transcript:Et_5B_045763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWFYKLRRGRSATSCGATTAGFVTEAAIHKPPPLPPKAPPTPPPCSPNRASYYVPSRDRERRPLSPRPAKEDNPKLRDTHFPRSPQPSDIVFDVVPRRDDQFGGGVDAAMMLELKLRPILTKPPAPRGNDASDDSSGGTASPTARVRRRLHATKAPAGMQKPAVAAPMPAKTCRRSRRRPRAGLRWLYESVVVEKDSTEPEEDFLASMAEMIAAHGVRSPRGLEDLLACYLALNAGDHHRAIVAAFRRAWLHHRAPPPTRERCSLHA >Et_3B_028756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18952171:18953385:1 gene:Et_3B_028756 transcript:Et_3B_028756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIEGTTTGKEMVDVKNRQVKSKQVTFHRKKNLQYYEVSAKSNYNFEKPFLYLARKLAGDGNLHFVESPAIAPNCSLQTPAARFLTVWVFAGTKLSWQRLRPNHCQMTMMI >Et_7A_051936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:368280:372106:1 gene:Et_7A_051936 transcript:Et_7A_051936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGKRGKAKGDAPAKPAAAATAGGKEFPACLRLMPPSTVAISIHAKPGSKVATITEIGDEAVGVQIDAPARDGEANAALVDFISSVLGVKKREVSIGSGSKSREKVVLVQDATLQGVYDALKKSCNCA >Et_4A_032422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:151167:153030:-1 gene:Et_4A_032422 transcript:Et_4A_032422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCPGGNLLTTTGVAFVIHLQQQRTTTSCSSSSSHLRIPRRSLTALRCSSSSAPTPTSQGKKEEMVKAIRIHELGGPEVMKWEEVQVGEPKQGEIRIRTTAIGVNFIDVYFRKGVYSAPAFPFTPGMEAVGVVTAVGPGLTGRKVGDVVAYAGNPMGAYAEEQILPASVAIPVPPSVDHKVAASVMLKGMTAHILVRRVFKVERGHTILVHAAAGGVGSLLCQWANALGATVIGTVSNEEKAAQATQDGCHHVIIYTKEDVITRVKEITSGKGVNAVYDSVGKDTYKASVECLASRGFLVSFGQSSGRPDPIPLSDLASKSLFLTRPSLMHYTSTREELLESAGEVFANVANGVLRARVNHTYPLSEAGRAHADLEGRKTSGSIVLIPDS >Et_3B_028374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14386439:14393660:1 gene:Et_3B_028374 transcript:Et_3B_028374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNGEPSTAPQPNRWYDLRLGSSCRDPSPTAKFCTLRYEFKPASIDKSQAGSLQSTKDNRVTVEFHNNQPGKPKVTFEGSQEEYKDNDGVLFFDGESFRLERLHRAVKRLRHVRVPGESAANLSATTSGVGGESHSPPLPKVGKSQSMSKPAVPSVPVEVERIDIGEPENPGPRYNNKSSTYQPVTRNPFELSSDPDDQEENLDILGDDDDNGSPNNMVAGQGTSLRGFDINLPNQHNLDDEIADVDDCVYNGISHMPFKESP >Et_2B_018941.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17853430:17854495:1 gene:Et_2B_018941 transcript:Et_2B_018941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQHHYHGGLASLHCLSPPDPAFHAHYHSSTIAVAPSAFHFSPATYEPIICDAPAVAGHSSAGSGSAEDAYGSRTVMPEEERRRRRMVSNRESARRSRMRKQRQLSELWAQVVRLRGTNRRLLDELNQAMRGCSDVCCENARLEKEKAELNTKLEGLMRAQTEHRHAKLLRAT >Et_3B_029989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29608516:29613207:-1 gene:Et_3B_029989 transcript:Et_3B_029989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALGLLLAFLAIGSCIAADNIDLWPMPKSVSHGTQKLYVKKDIAMSMVGSMYSDEKAILKEAFQRMVDLITMNHVVDGRNRSLVLTCVNIVVHTPEDELNFGVDESYNLTVPTTGEPLYAQIEAQTVVGALHAIQTFGQLCYFDFTSRLIELNSAPWIISDAPRFPYRGLLIDTARHYLPVRTIKGVIDAMTYSKLNVLHWHIVDEQSFPIEIPSYPKLWNGSYSYSERYTMSDAIDIVRYAEKRGVNVLAEIDVPGHARSWGVGYPSLWPSDTCREPLDISNNFTFEVIDGILSDFSKVFKFKFAHLGGDEVNTSCWTATPHIEGWLNNNHMNVSDAYRDFVLRSQQIAISHGYDIINWEETFSSFGDKLDHKTVVHNWLGEDVAPKVVAAGLRCIVSNQDKWYLDHLDASWEGFYMNEPLKGINDSKQHHLVIGGEVCMWGEEIDASDIEQTIWPRAAAAAERLWTPTEKLAKDPRSVTSRLARFRCLLNQRGVAAAPLAGYGRASPSEPGPCVRQ >Et_1B_013870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21717633:21720978:1 gene:Et_1B_013870 transcript:Et_1B_013870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLQKFLDADRWEAEDLVGRLGMVLDAAFLFAGFVPYGAPPPRGDPAGSACLCRWYTAPQLAHRKDADAAAVLMLFAQGSDLALLMMNLATNDDDAAGDATGSMYRELLDTATVAPLLLRPSLDDDSEPWVSQIARCLADGVFSGLLVDLCHKNGLMVSSFTSLPDDITVEILMRLAGDGGDLASVECTCRHLRRLVAEHADELWKHAYLDITNWRLRRRHFRAKAEGELRETASHLQRPRRRWARRRVLPVAAVTPTTDRLRWLCMFLYGEGKKSEGVVTWKEKYMKAMGFFGWPPVSSPVWLPRTGSTDLNSFLPRRLRYKDNPTRTAGARCEKTSERRHSVPRDGRSNKTPHGAGAIHSPSSRYRWKHRANRRRHDAMTNFSVPRLIILTVPTLHRGRQAGMYFTVAHIAYHKLLNDSAGSASTHVVIGLI >Et_10B_004083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11950692:11951272:-1 gene:Et_10B_004083 transcript:Et_10B_004083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSSFRLHGDNSGIKKRYFHLNEDMLRGNPEFIDRTLTSLEARQEMAASAILELAAAAVKKAITEWGRPSSEITHLVFSTYSDIQMPGADLYLASLLGLAPSVQRTMLYYQGCAAACSALRLAKNITENNPGARVLVACAEVSLVFFREPDEAHLDTLVVEALFADGV >Et_5B_043037.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:16128584:16128898:1 gene:Et_5B_043037 transcript:Et_5B_043037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSTALAPEPRPLPVTATRAATRTALPASVGSFCPPAPLRESVKPSFLSGPPTMAHVSRLGSALLESRHLYPPVHEYLMPSCGVDAPRWCRRRGYFLPTPTT >Et_1A_009456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5986613:5988706:1 gene:Et_1A_009456 transcript:Et_1A_009456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRAPPSPTSAAGIVASLGYHGVIRVLFETPSGFAIFSFDGVTLMRPDAMEIWLKDFQFFEDKSGAINPDTGVSDKLCKMLQHWCRPGQKLAVGKPEHKTIIEACLGIPCLYGESVMEVMWGIKNLMHSFVPEEKIQLAKEDRLQMSLGLKMILNHYGFDVKPEMVSDPIIMAACALYDCDWCYKEFSESLRIAGKLLEDVSRINCEDWDLLKLATAVKMLSCPEEKIVVGDLQVMFSADELSKLVNDAPRYKDELIQYSCSRAYEAVSFSHTLRTEQHKLLDQHPLN >Et_5B_045405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21366323:21368564:1 gene:Et_5B_045405 transcript:Et_5B_045405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVHEFDGSTFKECFSLTWRNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFRSVDRNTWLQELIVSMAVAGAILGAAIGGWTTDRFGRRASILAADFLFFAGAAVMASALGPAQLVVGRVFVGLGVGMASMTAPLYISEASPARIRGALVSTNGFLITGGQFLSYLINLAFTKAPGTWRWMLGVAGLPAVVQFALMLFLPESPRWLYRKGRAEEAEAILRRIYSAEEAEREIEELKESVAAESSEKKASLWQSVRASATVRRALVAGVGLQVFQQLVGINTVMYYSPSIVQLAGFASNQTALALSLVTSGLNALGSVVSIYFIDRVGRRKLLVVSLAGVVLSLGVLTAVFHETASHSPPVSAVQTRRFDASLTCPEFRTATATGGFWDCTRCLKASSTACGFCASGAGGDKLLPGACLVSNATTRDACVGEAGRAAWYTRGCPSRFGWLALVGLALYIIFFSPGMGTVPWIVNSEIYPLRHRGACGGAAATANWVSNLAVAQSFLSLTQAIGPSWTFLIFGGLSVAALAFVLVCVPETKGLPIEEVEKMLQRRELRIRFWAPRDGEDGDRDDGAKENTEKGGGV >Et_2B_020133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17147745:17151950:1 gene:Et_2B_020133 transcript:Et_2B_020133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGEAERVAALLREITGEGGFAFVASAEKAAASGDLRAAEAAREMAWEQLHSGPWSEVGAAWRDAYALACLHVARLRARGGDRPAALRALDMGLIMGGSLLRSDLEGAVERISAEPCGGGELAVAVDEEAERWREGLDMNRDIADALKILPAKSLSCKKVERRQCVSLEEFICNYFLQGSPVIISGSIDHWPARTKWKDIKYLKKIAGDRTVPVEVGKNYVCSEWKQELITFSQFLERMLSTGCPSNLTYLAQHPLFEQVLGRKYIRLYPASISEDLYPHTETMLSNTSQVDLDNINLKEFPKVENLDFMDCILEEGDLLYIPPKWWHYVRSLSTSFSVSFWWRATVLPSGVS >Et_7A_050227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13757162:13757576:1 gene:Et_7A_050227 transcript:Et_7A_050227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPNGHVRANVSVAETEQNYGRIFHKCPCFSASGCQYYQWDDEIDAAIVPSAQPVLMQVQAPPQAPAMIQVPAGAPPQAPAMIQVPAPPQPQGDRVQDAPGNLQVLMVK >Et_7B_053432.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:19019858:19020004:-1 gene:Et_7B_053432 transcript:Et_7B_053432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTSRRVQKAIITKRGHNPTMSAMQPINAMPRMMTSYMRLANLKASM >Et_7B_055210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8317337:8343092:1 gene:Et_7B_055210 transcript:Et_7B_055210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGESSRGAETPTATATASDPKDPRTIARKYQLDLCKRAVEENIIVYLGTGCGKTHIAVLLMYELGHLIRKPSREVCVFLAPTIPLVRQQAMVIMNSTNFKVQRYYGSGKNSRDHQAWEKEMDEFEVLVMTPQILLHNLRHCFIKMNSIALLIFDECHHAQAQKRHPYAQIMKEFYNNVDKPPRVFGMTASPIIGKGGSNKLNYTKCINSLEELLNAKVCSVDNVELESVVASPEVEVYFYGPVSHSNLTATYSKELDGFKLQSECMLRESLCDFKDSQKKLKSLWRLHDQLIFCLQEIGLFGALQAARTFLSPSSDSQDRKGVGVNDTRASFVRHYLNKAISLLSCSILDGTDADSFDLDTLEEPFFSKKFSVLIDVLSRYRLEENMKCIVFVKRIIVARVIAHILQNLKCLDFWKCEFLVGCHSGLKNMSRNRMDSIIEKFSSGKVNLLVATSVGEEGLDIQTCCLVVRFDLPETVSSFIQSRGRARMTKSKYVFLLERGNQCQEKLLDDYVAGESFMNKEINLRTSDDLFDCLEENVYRVNDTGASISTACSVSLLHRYCDNLPRDMFFIPSPAFFYIDDVEGIVCRLILPPNAAFRQVNGQPCPSKDEAKRDACLKACIKLHELGALTDFLLPGPGSRKIKASTTNISESNKTDDESLREELHEMLIPAVLKPSRCKLDCSQNLHFYYIEFVPIPADRRYQMFGLFVINPLPKEAEKLDVELHLARARIVRAGIKYMGMIGFNKEEMMLAHNFQEMFLKVLLDRSEFTSSYVMLKSDAELQISSTFYLLLPIKQKFYGDKFMIDWPTVKRCLASPVFQHSMDLSPHDTFLPNESLKLLDGMYRKADVTGSLVFTPHNNLFFFVDDILDETNGKSKFNGATYEEYFMERFTIELSHPEQPLLKAKQLFNLRNLLHNRLQECTETEGREMMEHFVELPPELCCLKITGFSKDIGSSLSLLPSLMIRLENLLVAIELKDVMLSSFPEASQISALGILEALTTERCLERLSLERFEVLGDAFLKYVVGRYNFISYEGLDEGQLTRRRTAVVNNSNLYELSIGRNLQVYIRDQQFEPTQFFALGRPCKVVCTADTEESLHQKNVDPDERENCNLKCSKSHHWLHRKTIADVVESLLGAFIVECGFKAAFAFLHWMGIKVDFEVSALYRVLDASSTNLSLMNYINVAELEELIGYRFKHKGLLLQAFVHPSFNKHSGGCYQRMEFLGDAVLEYLMTSYLYSTYPDLKPGQITDLKSLAVNNNSFACVAVKKSIHKYLIKDSNHLTEAVNRFENYVNLPNSEKDLIEEPACPKVLGDIVESCVGAVLLDSGFNLNYVWKLMLMLLKPVMSFSDMHINPMRELRELCQCNGFELGLPKPMMADGEYHVKVEVNINSKIISCSAVNRNSKDARKLAAQETLSKLKNYGYRHRSKSLEEILRAARKKESELIGYDEEPIKVEADISLEMKNIQMTEEMDANIFSRNREVCFSGRSETSIQSTGGDNNVDKNDADNGRENQLKAVMQNGCLPRGATDKSNKKEYHGDMVRKTARSFLYELCAANFWKPPEFEICKAEGPSHLRQFTCKVIVQLMGASATVLECYSDPKLQKKAAQEHAAQGALWCLKHLGHLPKDENRYLAKSGGEGVHEEKVAVHRVHEPQNLLVAAVVSRSPFLPHRTVNFYRVFRSRDGSQEPRVFHSRRHPTSIPLLPPRWNLNPRSADWWWLRRRAGAVKVVPVSNDLVIPWRLHNKLAVIYFSKKDTAMFSAISIIRNIDT >Et_4A_033913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2824035:2830025:1 gene:Et_4A_033913 transcript:Et_4A_033913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding THLHRGPPAGGKPPVAGAARKSRWGPPPPAAVGAAAPAGDKAASTSARTPTPTHSADSRRHPAAPAPAPLARNPASPAAALRPPTQQPAVETPPPPPYGFHNLDRRTMLLADGTVRTYFALPPDYPFEPAPLPPFPHLPRAAPDLWPPQQPPPQYMPMPVPPHDAKRKHPADQEEGFPRHLKQPRHDAPRRPPQPLPHETVDRHALRRAFLKYAKMLNESSAQRRSYLEGGRVPCLACGRFGDLTLLTSFLASFLVLIVVESSKDFADVHGLIMHAYSPQNAESFVDHLGLHKALCVLMGWDYTKVPENSKGYQSLPADLVRANREDLIVWPPTVIIQNTATGRKKTAAVRV >Et_7A_051037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13906817:13908595:1 gene:Et_7A_051037 transcript:Et_7A_051037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHKLVTHTVTWFFWAQVLARSLEYISGLIMVKLQACNILLNAASIWFLLALLQGGHGKDFNGAIQSILSIIQGYRDYKVIYFHGWDGFGAAPVIRSIAQVLPSIKALKSPPDLCYDRIIYIDCSAWISERVMQRKIAEELQLLDHETIAMFDRQDEEDDFHGVDHGIRGVIRGVSQVIAQTLSSSSFIMVFLNGSDHEVDASKFGISPNSLDHVVLWTFRRRLLTMHDKRTRVELADKLRYTHLFGCETINKQLITPAFYELLREEAAIMVARNPCMQDIDPIVVTDWSLYCLFLYYCFHGTDRVHWARASNFCLYDGIIESYMTREIRDALHMEMNWDCAASKLKEVFTNFRNDPHAPFLIVKDDTVYQRRPYRWIWISINSINLKIWEEMQTISETTSSLFLVFENSMGQQRLSDKLFEQCSILQVLILSQCTFSFVLPPFLKCHKLRFLGVDHCSDNKIIKEEEESSTEWIFLHNLLVLDIRHTHWNKIICLDKINLMTNLQELNI >Et_3A_025137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27234596:27237603:1 gene:Et_3A_025137 transcript:Et_3A_025137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEQGKGLGKDGQGNPEPVEIVMRPKNAGLGSVEAFKRPTKPVTVSAKENLPPWPPASTKEQQQQPRWSKKASARKAPVLTKNEALAMRAEQEQDEQPAVVQKLIDMRGPRPRVLTTLERLNDVPMQEMMAVAADDDAPVMPELQHNVRLLVDGAEADVARLDGRLRREREAAASLAREKEELSGHEASQRRQTQVMEAIAAALDRVRADEAAGALTPEALLHTFRGLKARFWEEFKMCGVAWIACQFAHPLLVQAFNGWHPLEDPSFGLELMSSWKDLLEHDQPYDFSHGAASMAPYAQLVGEVILPAVRTAGTNSWDARDPEPMLELLLRWERVLPTSVLQSVLEHVVMPKLSAAVDSWDPRREVPIHAWVHPWLDMLAPKSVQALCDSVRYKMSSALQAWQAHDRSAHALLSPWKNVFGPAIWNDLTVRCIVPKLKTALQEFQINPADQKLDRFNSVMVWAPDIPVQLMVRVLEADFFSKWQYVLYHWLCSPNPDFNEIIACYEGWKGLFPPELLANERIQAQLAIGLDMMNQAAEGLEVVEPVVRGNLGCFRASEKRQELDAAPLPRCSEEPGTAVADLSFKECIQAFAIDRGLLFKPRVGKFYSGMPVYEFGTASICLDSVKRVVYAQLPMETERWAAIITSTAQDYLKKQ >Et_2A_016066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20685538:20687688:-1 gene:Et_2A_016066 transcript:Et_2A_016066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRPLPAVSRRRNVSSSSRSSSRFPAHQVQLKSVRIDFLAILMVMELRTNRFSYSGTIDFPRRNNLFFRLEQSIHVAGTIDSSGWNNQFTLLEQSICAVGTISFFPVGTSQRRRCFAMALQGLSFTEILHGRHHRLRGWAREGVAGLGVQASSAEWRKDSTRGRGTGRRKTDGVSKKWRLDPTQAAAGFVREEVRAVVATVNATDDHCVPSTTLLFTLYMTEEYKIIRFLHDTHS >Et_8B_059109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1474227:1475196:-1 gene:Et_8B_059109 transcript:Et_8B_059109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPDCTGEPGAEAGGSTWRPPVPADEEHAVIVAALTQVASSGRQPPPVSVIGQEATMHQHAAAPAPTTTAQSLAEQQRRRPRYRGVRFRPWGRWAAEIRDPEKARRVWLGTFATADAAARAYDAAALRFRGAKAKLNFPAEAAQLRQAAAAAGASTSTTAQRSQPAAAAGPPAQSPA >Et_6B_050079.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:5417581:5417760:-1 gene:Et_6B_050079 transcript:Et_6B_050079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDANANAGPPDADWSTSARSVRPRTNAESSSRDAPPSASRSSLSVLPRAPAASSASTE >Et_4B_036906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12506202:12508233:-1 gene:Et_4B_036906 transcript:Et_4B_036906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHEQLQQWRSGGADGLELPPGFRFHPSDEEIITYYLINKVLDDNFTAIAIGETDINRSEPWELPYKAKMGENEWYFYCLKDRKAGYWKATGKDKGIYCGASSVPVLLGMKKTLVFYKGRAPNGVKTDWVMHEYRIDGKGQVPCPAASSKSNTKKPCSSSKEEWVVCRKSSCARKESEFTLVSAPSSRMPMDGGGEDLSCMNFPMPTEFLLEIEDFTDYSNELYPLLDDPFAIFCSTDGMGSSVRHPLLPMVGMGGIGLEMDNDHFGNRMTISNPMSFYQQVETETTSGCSITSEMDTRPSSMLLQDAVGVCLDQTDPAHVLKKAQVAKLEVGLKMKAQLADDAIFQISTVAPMVKYVLE >Et_3A_025900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33901242:33905987:1 gene:Et_3A_025900 transcript:Et_3A_025900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLGREIVGDEDEEEPELVSAATETDSVWRCIVGPFTILQLLSNYTMDIPRGKTTPSETHARPRTFQRWTFTRVTLTTLSMMRIGMNPTTINQTGNMIKKRAHHAQAMATIPDWTSLPDNLVRQIGDCFMAANDLDYYMDFRAVCQDWRSNTDNPKDKKKDDPEDPRLHPSKWVLLERHEDVDAITLVNVDTGRFLCKNIALLLRYIFLGATCGGLILLGEKEFPSKACVLNPFTGSITNFKARMPLGGIRTVVVTTSPMMVFVFHKADGCIMWADQNSEYFQEFRARYPDEPMCMTSFADNVYFTNRQGSILSFVVDGASKERSAQTISLTTIIPSPNPTQHSYYLVESGGELLRVTGPGYHTTRQFMVHKVDTMRMMLEPVSRISNHALFVSHIKCFSVTANEFNTTVDGNCIYFADEFTMEHSKAIQIGGCLRPFTLPRVFVDYCKTIQTSERSLILDSEGEDYSDSETDESSSDNE >Et_3A_023776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12183910:12184484:1 gene:Et_3A_023776 transcript:Et_3A_023776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWLSIHECRVMKPLKKIRIKYRRMIHMGKLDKGVYWTLLDEEYYLWLWVLQASGEQMFFYLPCLNCHQQAHKPWILQNANSEKGASNVTLVEQDHEWNSDDESILPTQDRLQKLHFKLYPNIFGFHPYKKIAFLHTSMERGLAYHLNSSKLEDLGSLCPKDYCHEYRIFFPLHALLDGRLG >Et_4B_038094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26246673:26248193:1 gene:Et_4B_038094 transcript:Et_4B_038094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPTRAIHSLAAPPRPPAPATVRPPLRCRATAASPLRESPGSPLTPPNQTSRRAALLALILVASPARPAAAAFSFSIPGPKELLREQKKKSASYLLAPIAASRDTLVKAQALLASPNASAEDAEEVRGRIGAAGRDCVARQRNSIVAFQSKTGVEVCTFSLILKNAASLLANKDPLKVEADSRLGELIQSFSDLGTVVETSNFELAEDREKMKDGLRSTISALNNFEQSVKDCLGV >Et_5A_040854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13533196:13546540:1 gene:Et_5A_040854 transcript:Et_5A_040854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTYDIKDLLAAVRYFEAPMVPTTCINGVGNRMPRQQVFRQLGYYNEQPPQVVYGDGDEAINLISMLAFEAERRRQPRQKKRFKSVKFVRGMPPAWRNTYFKHLALSAPTLSARFTHQVHGLASAGSLQVIYVPTTAANYTAYDMEDLLATLGLADSGVEPFRRRMVCKMRCSVPPWCPSPASTG >Et_7A_050229.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:1470587:1471021:1 gene:Et_7A_050229 transcript:Et_7A_050229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRSRALDRTERKLYPERSKTNHTAVAPTTAATEAFLRRHSSTSATGPATAGGNGQRSTEFRGNKTALRKREVGVCGHVPAPLPKRAWRDRPWVAMGIAGVRVRRAWTGNLFRFGCFEMGRTEEFGWAGSVVAEHARRRHAR >Et_7B_054981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6115121:6116596:1 gene:Et_7B_054981 transcript:Et_7B_054981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDDDDVVYLLTRAAIMAENTTGVVVTALDVRENMVRGVSMIDRKENTVFKRCYLASGISKHLNTTGTSQSFGQAEEHKQIPTRRRRRRGKRV >Et_4A_033999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2896390:2899540:-1 gene:Et_4A_033999 transcript:Et_4A_033999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYLRNYSDDEFSVAGEKPDVEFMDYQNDGTLQGYDLEEGPVVVTIPFPFKNGKPKSVLVGETSADTISIENTSPEPVNLWSVRIFSSNPEDSYVLSMMEPPRNDADDEAKQAFLGMTSVEDRTLLPGQMLTIWLSCTPKDIGLHTSIVHVDIGDEKIERVAFLLADDNVSMALLSDKPYSRRQNQKGKKFECSSFVPGCRPTRQHTQGFKYKLPQFAIPAEIREIIENKQRPDVLCEELSMINYAEFFSTLLVMEELNLEEEMRSYDMEGVSMKRRGRSLSLEVPGLAERRPSLVQGDFIFARHAGSDARPYQGFIHKVEADEIFLQFDELFHRSHHDRNRYDVSFTYNRLSMRRQYKAIHEVDLLGPGILFPCQSPFRNLKKWSFKPLNPRINTEQADAVAMILGCRGVPPYVIYGPPGTGKTMTIVEAILQLYTAKRRTNILICAASNSAADHVLAKLLEASYPIRTSDIFRLNASSRQYDDVNPDFIRFCFFEDMVFRCPPLQALMQYKIIISTYMSSSLLQSEGIRRGHFTHIFLDEAGQASEPEAMIPLSGLCGRDTVIVLAGDPMQLGPVVHCRQAEQDGLGKSYLQRLLFEFEQYEAGNPNYVTKLVKNYRCHPAILHLPSELFYEGDLVACKEDKVSSVFDCIDLPNKSFPVLFVGIQGCDEREGNNPSWFNRIEVSKVVSIIRSLTRGGDVCEADIGVITPYRQQVTKIKKALEAFEMPDLKVGSVEQFQGQEREVIIISTVRSTVKHNEFDKFFNLGFLSNQKRFNVAITRAKSLLIIVGNPHIITKDRHWDRLLRYCADNGSYQGCPLPPPESYSEGSRSSGNGENHSEPGGWDYNQQEAVNYNYNQEPSDFSSARDNATPAAAENPVEWSKEKPDDERQPSSNAEAGPVEEIPKQLVEEDAQEGGVQSDQTNYDQLDDAYAAKYNFPPGWGDVSNIPATGWE >Et_3B_031184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1998134:2000146:-1 gene:Et_3B_031184 transcript:Et_3B_031184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGNGRGGEQYSPKSPSPRATGGEHHSPSPKTPRGGGERHHSPSSKTPRSSPKLLPPISSVAWVLDSKWAVSAALSLLLFLAVALAVTTFSSSYISASSFFSFAPVGQAEFVETHVQINQNQPAVEVTTATPASPPPGAGVPRLAYLVSGSKGDLDRLWRALHALYHPRNQYVVHLDREAPVGERLDLAARVANSSVFRRAGNVHVIRRANMVTYRGPTMVANTLHACAVLLRRGKAWDWFINLSASDYPLMTQDDILHVFSSLPRNVNFVEHTGYLGWKEGQRAKPMIVDPGLYRSTKQDIFWVSPKRELPTAFKLFTGSAWVGLTRDFVEYCVWGWDNLPRTLLMYYANFVSSPEGYFQTVLCNAPRFVPTVANHDLHHIQWDVPPRQHPHALALADLPRVVASGAPFARKFPRDDPVLDAIDAQLLGRPHSAANGTAAAFVPGGWCGGDAACREVDNDWVLRPGPGAERLQRLMDRVVRSEAFANRQCK >Et_4A_035787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:591166:592520:-1 gene:Et_4A_035787 transcript:Et_4A_035787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFPKPIRLNVLNPIQVPRDIKQSLVFLCYTGERAGRRRSDAGDEKRRKIDRPCWVVQRSRNPVCRSTALRTRRRSRPLSVLPAPSSTDDDRAWRRAATTCGGTISSPSSSSDSSPPFLPPSARRAALPGHTGTLTGADCLGAGAGATALTGTATAARSPPSARREDSPKLCGTVCARRLARGGGAASGTGGAAQPSSPLPPAGHTTSRSSSSNDAAPGDDSGCFRRPRNRSAPGDRGIAIGTGSIYEAVPSASLLAVAGTVVRWTLEDERKMNERHEWAEEGADVARVIKVGPGRGKGPGNLQPNTYAEMMDS >Et_1A_005674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12641609:12650312:-1 gene:Et_1A_005674 transcript:Et_1A_005674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECSLATLRGQYRGRWTQSNSRLSSRNMQIGMLKRSHAHKIHLPYHSSVGRVWKYCAFSPGFHGRGHRVETLVKGSFLEPLIDSESIVSPNLMLVLDEALLSISIVFAYLAGVVPSGQTFPRSRNQSAKQHPTPPSSSDAGRDKKILPEKNTGFNPSDTWNDVRGKLSEALRANVQDASLNSGEDELTRDRKNYPLSMLAIHGGPRNVSESSELADGTRWLQVSTMLIDSLIQPAFMKWIEEEEALENGKINEKLLLMISSKIKEEDRVLKNFNRIGKSELYLDLLFFLRFGSARPDSYFDAKFLAEHGARILEDLVISLADVIAGIYMELMSVDGDMSTEVVRSSLALCSLSTRELQKLRNEVAINLWLHQYFESIVAMYEDRFELYVLSRKRCEKPADNEAEKTNWWRLALGKPDVPIDVNYVNISPFSLPARRTKELRALTGWRYYFSLFLELSDIAMPFLRAAVSKISAAVSYFWVSMIGRSLGLIFSGIRQPPIQIQASHKLHIIL >Et_9A_061117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16858554:16861178:1 gene:Et_9A_061117 transcript:Et_9A_061117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEDTVAADRPLSGEAKLVSRGGKFALGFFQPGIALFPADGGTPGRWYVGIWYNKISVRTPVWVANREKPVSDPATSRLTIAGDGNLVLLDASGSLVWSTNATIAIANANATATAAVLLDTGNLVLSPASNASAVLWQSFDHITDTWLPGGKLRRDKVTGVIQGMTSWRSRGDPAPGTYTLFVTDVSGQVKGWFWVEALQAWNLVYAEPKARCAVPRGCGAFGVCGDAGACACARGFAPRDGTGWTIGDYTGGCVRNTELQQCGNKDGSGSRDAKADGFFRMDDVRLPDDGRVTTAGASSSGDDDCQRACLGDCACTAYAYNGSCVLWRNDLQNLEDNYVGQAGAGTLYLRLAASDLPHARTHKKRTLAIAVGAAFTKNFSDKLGGGAFGSVFRGHLPTSTNDHTATATAIAVKKLEGLRQASTLYALSWRARYHIAVGVAKGLAYLHDKCRDCIIHCDVKPENILLDADFAPKVADFGLAKLLGRDFSRVLTTMRGTVGYLAPEWISGEPVTAKADVFSYGMMLFEIVSGRRNVDHGNMETTFFFPVVAARKLAEADGDVKALLDPALGGDATDEEVARVCKVACWCIQDEVDARPTMAEVVKMLEGVAEVETPPVPRYLEMLAGRPMPATHDMATAHDANNIV >Et_3A_026863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24280910:24281520:-1 gene:Et_3A_026863 transcript:Et_3A_026863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSAIMTTGEPHNTAHTIIHPTIVGASDGSKIRDQTGAAATPFGYGSGHVDPVRALDPGLVYDTTPADYANFLCSLKLTQDPLTHLPVSLPFQPTLPLFDAAGNPYTCSSGSSFHPEDLNYPSVTVPCLAGGSTTVTRRVKDGLLVQRHRHRAAGGGSQGHGAAGQALLGGGRDQGLRGEA >Et_10A_000977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19814754:19816664:-1 gene:Et_10A_000977 transcript:Et_10A_000977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAGFLSDGASRGDEMPEEAVIGGDVQKPREGDEDQQEHMDDDEVDWPAWTNSLDTYRRIWVRNYSKTGSFEDETKIEPKLLTDGPVLPSNAWPRDMLQIFSVKVTEVMGGLQWPLDVYGHVAVRDWLDRKRNYIFRHEREDCQTLASPQDASLKLTGPSRAVVLTDPVLFEVDLKVKSSGTPFECEDKVLSYNAFFYNSIVQTREFAQRRVESTEHNTMEFVFAHVKHAVEATIEVQVVEGSTDFMARFMARIAGIDEDVVLLDSLDRKVVVAEDGVVRFQRRVVAVKEEGDMGLLTV >Et_2A_017221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32035574:32040927:-1 gene:Et_2A_017221 transcript:Et_2A_017221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRWQSPAVAAAASEAAEEDASGGGAGGASRRPPRRGPHRASPYGFGPRRLLPKLPLASRIFSTSSRDIAASDNDQEVRRESLEVIHERHSTEPNTYSAATGPQTSLSNKFNLLLEGDYKNSADCSGLAEIEKIINQRHFSRDETERLIEIMRSRTPDLSLEDQRDPGFVSKVFEVTPFSTRTKLIDPQSSWGTGIVPPSNVHEVGSSPIEIAKAFMEAQTSASVHESQKRKFRALSHGVETENSTSKIVPKVISDSSACWPGSVVRDYPNYLTPPSNKGRSQPQTLSHTPYSGSVFGRSIKNSSHGVPYNNSSGQPQFSTPFSVGSKTEDKMAQSGFRVQQSFSRGGQTDIFGATTPFFPREGSTAAKNVTFNLQGTHGKGIVESSSTPGRVSATENISKGASVSVHPKSSETAYKILQQLEKTIPSPTTKPLELRQSLAKRNTSSAITSSQFKGPDSNISNGHGQSSGSKNGNARLEIADAKKEPISNPNVEESNQKIQNSGGNVEVPDMDTSQHPLKSDLASMPAAEVLYKNTSKGSTFTFPVAKAPSSLLEPPPTPTLASPLKSLPVGTEGIPKFTFSSFSELVFNFDSASSSVGADGSTATFKFGSDQKRELSFSVPGKDAVCF >Et_9A_063555.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:7201632:7202204:-1 gene:Et_9A_063555 transcript:Et_9A_063555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDALLKARSRPSADRNGLHQVHCVHCAHFPRHGSLGCGSCPGPATATASISGATNSVSGATDSVPCTTETSTNPSTSVGTYKGSDTCTNPKPSIDSGSNISTYSGSRGCTDPSAYTGSDSSTYPYSECCTHPSTNSGSNNSTYFSSESCTDPSAYAGPNPSTNACSVWCSNSGVSTIPSWMPVGLPQHF >Et_5B_043015.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:12694582:12695931:-1 gene:Et_5B_043015 transcript:Et_5B_043015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRYPFVRYDLSYWKEDETTSQQCRTSQGDPFRVSFRFVAPPAISRFYVHIKGGSIADDCENSCYVIASHRNALLFCLLVPLPVPVEYLSNPESEPFPRFFKQDLFVYTASNRPLLKLLPPCLEVGNEDDKALYAGERLYNPLAGIIQFPIDCEELGILCNDDGDYAVAYLCVSREISSGSGAKEVEAQLCLYFSSDSHWELHGLPICCENNDFDVLYRWSTKSVVAFGTSLCWIDYHRGILFCDVFAKPPIVRFLSLPVKVEQFPHVCMDLYRSISVVNDEGTPMIKFIDIKPSRGYPKYPTPDDSKISVFSLVMEDSKMTWILDNEVVITADEPCISKHFDSPHGPLMFPVVGVEEPYVGYMVVRELGCGVKKVVLVSIDLISGSVKEVYPYLNGDKDLFTDDADMAISKSNSFEPFLPCGISMLPVTAQPNKVIVVALMRKFTIC >Et_5B_045517.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:3519770:3520318:1 gene:Et_5B_045517 transcript:Et_5B_045517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSLQLLLLAAVLAFLSPATVLASSGYLKRTHIRVYVHERFSGPNATVATVAPSPLGDNSTFGEVGALDDELRAGPDAASALVGRYRGVFVGSDLAEDNYVSAITVVFAAGEHRGSTLSLQGQYSFPGDETLERAIVGGTGRFRMAQGYSLLKVVDTPPEASVFQLDLFVFTPRVRY >Et_1A_009433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5265118:5266279:-1 gene:Et_1A_009433 transcript:Et_1A_009433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVREHTAYVGFDGADSSPFDALLGADAAALFGGNSNLDTAAFAGGNVWGAAAASVLAFDGSTAAVSGEEDESDAWIDAMDQNYGAAAAATPRHAPVASVGFDATTGCFTLTERAASSGRAFGLLFPSTSSGSGGSPDRAAPVRASQKRSYPGVDQRQDVSPKKPCGAGRKTSKAKSAPAVPTKDPQSLAAKTRRERISERLRTLQELVPNGTKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPAQGGKAPEISQVKEALDAILSSSSQRGQLN >Et_5A_040146.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:15202906:15205049:-1 gene:Et_5A_040146 transcript:Et_5A_040146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGGFVPDVHSYSILVDGLCKQGNLSKGCDVIDEMKRNGIDPNLVSYTSLLHGLCKTGNVKLALEFFESLKNQGFKHDHITCSIIFNVCCQYLDIEVVYDLWNDMIQHDFVPDVYNYTSLIYALCRHKYLKEALRVFELMLENGVSPNIVTCTILVDSFSKEGLFGEAFLLLDKVHQLGIVPNLCTYKVIINGLCKLNKSSNVWEIFADMIKRGYVPDVVLYSIIIDGFVKALKLQEALRLYHKMVYEGPKPNIFTYTSLLNGLCCDDSLPETMKLFNDMAGNGLIPDMMLYTSVIACYCRRSNMEAAVRMFKQMKRHGLSPDAFVYTCLISGYSKVLAMDGARLMMEEMQEMDIAPTVVTYTALIVGYFKTGDEKEAKILYRKMLQDGITPDATLSCILGLSTDEGDS >Et_5A_041461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23110545:23113381:-1 gene:Et_5A_041461 transcript:Et_5A_041461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSGFWGGGGRTAAVLAGGTPVVVKMENPNWSTSEIDADDDDEFLPGGEAGRRRRKRKGKNAKQITWVLLLKAHRAAGCLAWLASAAVALGGAARRRVAAGRTDSDADAAEEEDEHSAASAASATAPPPRRSRLYALIRAFLALSLLLLAVELAAYLNGWRQRFPAASAVSFAALHASWVRFRAAYVAPPLQLLADACVALFLVQSADRLVQSLGCFYIRLRRIKPTPVSPAAAPDAEDPGAGYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNFLVQVLDDSDDLITQALIKEEVEKWQQTGARIVYRHRVLREGYKAGNLKSAMSCSYVKDYEFVAIFDADFQPFPDFLKRTVPHFKDNEGLGLVQARWSFVNKDENLLTRLQNINLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHMKGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRCKIAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSFLNILPAPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSENDLILLSPKDRNQNKAFSTPILAAATIKEKPTQGKESGKKYNRIYKKELTLSLLLLTAAARSMLSKQGMHFYFLLFQGISFLMVGLDLIGEDVK >Et_4A_034672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5315071:5318350:1 gene:Et_4A_034672 transcript:Et_4A_034672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKPAAGTGGKQDLEEALLQIVHQHHHQSLRKRQQTEKAKKDATRSAVRVADLLVDAVDGGVQGLFVNEKRIELESRALLGTVARYRRQTDQWLAATNEINSVLKEIGDFENWMKIMDFECKKVAKEKAVSKETVVLEAGKRDGNGIAFEPSI >Et_5A_041861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3570083:3575730:-1 gene:Et_5A_041861 transcript:Et_5A_041861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVLIVLLAVLGPVACQGATICFNGWLRVLNPVTCPRGSRSGFFARQRRPAPSGPGLSYGYYNNRGSYCPRAEGIVRNAVKAATDQNPGIGAGLIRLFFHDCFVRGCDGSVLLTTTATGNADTEREGPPNKNSLRGFEVIDTAKAAIEAACPGVVSCADIVAFAARDASAILSNGNVRIRMPAGRYDGRESFANETDQLPGPFSNLTQLQDTFSAKGLSSDEMVTLSGAHTIGRARCRFFNSRFADMDPTLAAKLTAQCNGNDDTNVNQDDVTPNVLDSQYYQNVVDKKVLFNSDAALNSTETIAQVTQNANGGGAWERKFERAMENMGKIGIKARTDTGAEVRRVCSKVNTFAAAPCRRDSTSPLVSGRRRPNQETTAKSNAGPPPPSHETTQSTAGPPPNPSRRCPILAALVVLLAFFGPVACQGAICFNGWFRLPRLCPRGSRHGFFPRQRRPAPSGSGLSYGYYNNRGSYCPQAERIVRNAVKKATAENPGIGAGLIRLFFHDCFVRGCDASVLLTTTNTGNGDTEREGPPNKNSLRGFEVIDEAKAAIEATCPGVVSCADIVAFAARDASAILSNGRVNIPTPAGRFDGRESFANETDQLPGPFSNLTDLQDTFSAKGLTSDEMVTLSGAHTIGRARCRFFNSRFADMDPALAAKLSAQCNGNDDTNVDQDDVTPYVLDSQYYRNVIDKEVLFNSDAVLNSTETIMQVTQNANRRGVWERKFEKAMENMGKIGVKSRTDSGVEIRRVCSTVNNA >Et_10B_004143.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:15555317:15556294:1 gene:Et_10B_004143 transcript:Et_10B_004143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTEAILQGNPNMCAYKAPSLDARQDIVVAEVPKLGAAAAQAALKEWGQPRSSITHLVVCTTAGVDMPGADYRVARLLGLRPSVGRLMLYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEITAVTFRGPSVSHLDSLVGQALFGDGAAAVIVGADVDEGAVERPLFQLVSAAQTILPESEGAIDGHLREEGLTFHLLKDVPGLIARNIGRVLDDAFAPLGVSDWNSIFWAAHPGGPAILDQIEDELRLDGDRLQASRHVLAEYGNMSSACMLFVLDEMRKRAAEQGLATTGEGKDWGVLLGFGPGLTVETVVLRSVPIVVSS >Et_2B_022797.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5398287:5399450:1 gene:Et_2B_022797 transcript:Et_2B_022797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARERTTPLAAVLAALALLLGPCRGDMVQFIFGDSLSDVGNNNYLTKSLARAATPWYGIDFGSGMPNGRFCNGRTVADIVGDKMGLPRPLAFLDPSLDEDAIFKNGVNYASGGGGILNETSSLFVSHLVTAGLELMQLHNKETAERVVCACVQIQRFSLYKQIELFQGTQAFMREKLGAAAADKFFSEAHYVVAMGANDFINNYLLPVYSDSWTYTGDTFVRYMVNTLEAQLKLLHSLGARRLTFFGLGPMGCIPLQRYLTSTGACQESTNKLAKSFNAQAGAVVARLSTELRNATFRFGEAYDFFQDIIDRPYMHGFNNSRAPCCTLGRVRPTLTCTPLSTLCKDRSKYVFWDEYHPTDRANELIALETLRKLNITGVSNGTSS >Et_4A_032049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13701813:13703075:1 gene:Et_4A_032049 transcript:Et_4A_032049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTATCLRPLLLLVLAAARPTSSQLFTPPPGYTFSRAFSPPAADAGTGGGGSFSLTTSLLFVALIIALFLLGFFSAYLRRCADATAGGARRGGAAAAFASAAGGGMRRPAGLDAAAMEALPVLTYATARSLKAGRGALECAVCLAEFGDGGEKLRLLPGCCHVFHAACIDVWLAAHVTCPVCRADLSDPAVADAGHVLAADLAAQQDVDTSLSESTPGPDATSSSSPDQQPAEETAEERADRYTLRLPERLKREIEAARRHRRAVSAVPAAAASGRWTAEAALRTMSAARVSRRWSGLFRALSGPRRSEPDGHHHHRRVAPLHTDDNTHGDVGEVEVVVVQGDAGTHMEKYYSHSLTFAGFVIDGDVASGDWNPEVFQVSTAVPGSSKRLG >Et_2B_020839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24087904:24090850:1 gene:Et_2B_020839 transcript:Et_2B_020839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQSKTSKPDKSSSAPDAGLPNPFDFSSMGNFLNDPSIREMAEQIASDPVFNQMAEQLQKSAQGAGEQGFPALDPQQYMETMQQVMQNPQFVSMAERLGNALMQDPAMSSMLENLTSPAHKEQLEERMARIKEDPSLKPILDEIESGGPSAMVKYWNDPEVLQKIGQAMGVTVPGDSSASTELSGPEEAEEEGLYDDESIVHHTASVGDAEGLKKALDDGGDKDEEDAEGRRALHFACGYGELKCAEILLDAGAAVDALDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTLQNLDGKTPIEVAKLNNQDEVVKLLEKDAFL >Et_3B_028341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13700322:13704430:-1 gene:Et_3B_028341 transcript:Et_3B_028341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPWDELVDAVLTNLAAKRHLFNTRLITLAPEPVVPQTFTGPGPWDRASVEIYTERASLQQWLTISEYYSRGYSTFDDICLSRACIWSIASSDGEASIEDDEADQKLILFSGNDYMCLSSHPGIREAAVKLKSMVWGTEAHGTFVFGENGGGAPELFGCQDDIDIVVGCLSKAAGCVGGFIACSNRWKRLLLSRGRSLTFSTALPVPVVASVHAAIHVSKMEGWRRSVLLENTRYFASLTELDIYNPVISVVVGNEDTVLKAHRHLLKSGFLVEPIRPPVVPPNTCRLRITLSTAHSSDDIKKLVDALKPWLPVKQAR >Et_6A_046452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15251327:15264438:-1 gene:Et_6A_046452 transcript:Et_6A_046452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGCCGAKAAAGEGMASEAYLAGEAVREARELVAELCRHFYGMGWVTGTGGSITVKVNDPAVPLADRLIVMSPSGVQKERMVAEDMYVMSADGKVLSAPVGKPWPNKPPKCTDCAPLFMKAYLMRGAGAVIHSHGMETCIATMLNPGAKEFRMTHMEMIKGIKGHGFRDELVIPIIENTPYEYELTDSLSEAIAAYPKATAVLVRNHGIYVWGDSWINAKTQAECYHYLLDAAIKLYQLGIDWTTPEHGPINSAKRLRSIMGPAIANGSHASESLKCVVLDIEGTTTPISFVTDVMFPYARDNVRKHLTSTFDSEETKEDIKLLRAQIEDDLGNGISGSVPVPSDEASKEEVINALVANVESMIKADRKITSLKQLQGHIWRTGFEKKELQGVVFEDVPEALKNWHDSGIKVYIYSSGSREAQRLLFGNSTYGDLRKFLCGYFDTTTGNKRETRSYFEISQSLGVDSPSQILFITDVFQEAAYLSGEEVRQARELVAELCRHFYAPGWLTGTGGSITVKVHDPAVPLADRLIVMSPSGVQKERMLAEDMYVMSTEGKVLSAPAAKPWPNKPPKCTDCAPLFLKAYQMRGAGAVIHSHGIETCLATMIDPGAKEFRMTHMEMLKAIKGHGYRDELVIPIIENTPYEYELTDSFSEAIAAYPKATAVLVRNHGIFVWGDSWISAKTQAESYHYLLDAAVKLYQLGIDWSTPEHGPIRTAKRLRSISSPVIPSECHCHESNSSKCVVLDIEGTTTPISFVTDVMFPYARDNVRKYLTSTFYSEETQEDIKLLRIQIEDDLKNGVAGSVPIPTDEAGKEEVINSLVANVESMIKADRKITSLKQLQGHIWRTGFEKKELQGIVFEDVPEALKSWHANGIKIYIYSSGSREAQRLLFGNTTHGDLRKYLCGYFDTTTGNKRETKSYLEIFQSLGVDNPCQILFVTDVFQEAIAAKNADVVSLPIRLCLSGFEVTISIRQGNSPLPENHSFRTI >Et_4B_040027.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:807279:807590:1 gene:Et_4B_040027 transcript:Et_4B_040027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Et_8A_056694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15096696:15103071:1 gene:Et_8A_056694 transcript:Et_8A_056694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRLLLRRAMPLPPPPQPPPTPRTPGVAGGRLFASLPPPPPLHSRKEVHVWYLLPDELNDASQLKMYMELLSPSERKNALSMNGEKLQKGAVLSRALVRTTLSRYTECKIDPRSFEFKKNKFGKPEILWQSDDVRMEWPLHFNISHTSSLIACGITMGTPIGIDIEEKRRKTVKSILSLARRYFTPPEVDYLTKIPDPEAQQKEFIKLWTLKEAYVKALGRGFSGAPFNKFSIMLAAKNGIRISVDSNSSCVGLSENWQFALAELNSSHYMAACIEDDSRASGSGNGQLPLGLKVWKTVPFVEDTLVSGTEARSKGYCCRDNVNKMVNGQYDGSIDESVMSV >Et_2B_020377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19485993:19490578:-1 gene:Et_2B_020377 transcript:Et_2B_020377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFEVSRRFTNLKFLLCGFCYCGFPAEFLGACHRLVVSTQIRLGCFGSLATTDSQLTALSSWDTGELTHAVLGYARILGRFNRARAARLTLPHFTCQTPLFMPVGTQGTIKGLTTDQLEEIGCQIILGNTYHLELRPGSRLIDDLGGLHKFMNWKRALLTDSGGFQMSPVDGKPMLLTPEESIHIQNNIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCMAAHKKPDVQNLFGIVQGGLDPVLRDICVRGLVERNLPGYAIGGLSGGEDKESFWRVVAQCTAGLPENKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKQNVMATDERPIDPTCSCMVCKKYTRAYLHCLVTKDAMGSQLLSYHNLSFMMRLSRDLHTSIVEGRFPEFVRGFLHAQFPKGDVPKWVCNAMEVAGIDISECCASTKCMVPSAEPPLYVPVKANEAVPN >Et_6B_049811.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:13288910:13290604:1 gene:Et_6B_049811 transcript:Et_6B_049811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGLALALVLIISRFSSCHLLSTPSSDGFTQCLTENIPSKLIFTPGSSNFTGVLVSSIRNSRFLANTTTVRPICIVTATDTSHVQAAVRCGRAHGVRLRVRSGGHDYEGLSYRSERPEETFVVVDLANLRAITVTTTAAADDDEPTTAWVDSGATVGELYHAIGKTSTELAFPAGVCTTLGVGGHFSGGGIGSMMRKHGLSVDNVVDAKLVDADGDLLDGRAAMGEDLFWAVRGGGGESFGVVVSWKVRLVKVPSTVTVLRITRTLAQGAVDAVTKWQDVGPTLPDDINMEVLVQGRQAVFQSLYLGACDKILPTIVSRLPELNATSADCNEMTWLESTAFMNFGDTNTTALLNRSTDPNFFKNKSDYVRRAIVRRVWLEIFTNWLAVNGSGLLILEPHGGFVGSVPAGATPYPHRSGVLYNIQYVASWSAGDDSSVAINWLNNFYHFMGQYVTKSPREAYVNFRDLDIGENTVVNDVSTFDGGKVWGEKYFGSNFRRLATVKGKVDPTDYFRNEQSIPPLLQSNN >Et_6B_049615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7203012:7205409:-1 gene:Et_6B_049615 transcript:Et_6B_049615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DIRGNDVKLSEYARKVLLIVNVASKCGLTNSNYKELNVLYDKYKEKGLEILAFPCNQFAGQEPGNNEEIQETVCTRFKAEFPIFDKVDVNGKDASPLYKFLKSQKGGFLGDGIKWNFTKFLVDKDGKVIERYAPTTSPLKIENDIQKLLSTS >Et_3A_026511.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:953339:965592:-1 gene:Et_3A_026511 transcript:Et_3A_026511.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVNGKFSGEETIAEFEQLTRDAGAVQRAVLRRILSENADAEYLRKLGLDGRTDPDSFGACVPVVTHQDIEPYIARVADGDTSPVLTGKPVASISLSSGTTQGKRKYLPFNHDLFMMAMRVYQTSFAFRNRAFPVEDGKSLQFIYASSQFTTDGGLTATTVTTNLYRTEEFKPMMRDIQSQCSSPDAVVFAPDYAESLYCHLLCGLLSACEVRTVFAMFAHTLVLAFQTFERVWEELCDDIRRGGEPSPERVTTPAVRNAMAALLAAPNPALADEVARKCEGLRDTSSWCGLVPALWPNAKYVHSIVTGSMEHYVNMLRHYAGGLPLVAMDYGASEGMVAANTDPGLPPESATFTVHPGVAYFEFIPLNKVSSSDNGTGAEAEPIGLTDVTVGEDYEVIMTTVAGLYRYRLGDVVKVTGFYNSAPKLKFVCRRNLMLSINIDKNSEQDLHLAVGGAARILAAENLEVIDYTSHADVSSNPGHYIVFWELSADADDDDALQRCCDELDRGFVDPGYVGSRKARSIGPLELQVLAKGTFRKVLRYYHSLGAPVNQFKLPRCVASSNSSVLQILCSNAVKAGKFDGEEVIAEFERLTRDAAAVQRETLRRILTENADVEYLQGLGLNGRTDPDSFRACVPLATHADLEPYIARIADGDTSAVLTAKPVTSISLSSGTTQGKRKYLLFNDELFKLTIHAYRTSFAYRNRYGGLTATTGTTNLYRHVDYEPMTRDIKPRCCSPDAVIFAPDFAEALYCHLLCGLLSAGEVRTVFAMFAHNLVLAFQTLERVWEELCDDIRRGAPSTVRVTTPAVRNAVSALLSSPNPALADEVARKCKGLRDTSSWYGLIPALWPNAKYVHSIMTGSMEHYVRRIRHYAGGQLPLVAMDYGASEGMVAPNVEPAVPPESATFAVLPDVAYFEFVPLKMASDGCYDEAEPVGLTDVAVGEHYEVVMTTFAGLYRYRLGDVVKVAGFYNATPKLKFVSRGKNLTLSINVDMNTERDVQHAVDGAAAKVPAAEKLEVVDYTSHADVSSDPGHYVVFWELSAEAHDGVLQRCCDELDRGFVEAGYVCSRRTQGIGPLELRVLRRGTFQEVMRHYLSEGAPVNQFKLPRCVGQSNSGVLQILSGNTVKVFFSTAEVRGEGLLASSKKVTPSENM >Et_6A_047176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26385692:26392727:1 gene:Et_6A_047176 transcript:Et_6A_047176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEELLRKIRELEEGHAELKREVAKLVPEHRRPQSPSSSRRPPPRLPSPARRALAAIPQSSSSRMQRLGRVGLTDRQHIRALHALGQSVYVIAPGGELLYWNRYAEHMFGYTASEAIGQDAVELLVHPDEAAAANSIIGNIFMGKCWRGKFPVRKKSGERFFIVANNTPLYDDDGTLVGLICLSVDTRTLEDILGPPPSVKPYIDSVKPRFQVNNRPKSGSLSRSSSHSQQPLQPSISSKITTLATKVTSRVRSRIRTGQNSNEQYETVCESKNSEHDTSETSTVSEDALHGHVGTGDNSSGTSKTSSDDSGEGKEGLHKILSSKAEALLAKKGISWPWRGLEHNGPRKSHVVSPQFQDAQENDLTHQGVPEPILVPDYQDTECDQESKYEVTGSWWSFNNNSTSSVSSNLSTNSGVDYEADCLDYEILWEDLVIGEQVGQGSCGTVYHAQWYASDVAVKVFSKQEYSEEMINMFRQEVSLMKKLRHPNILLFMGAVASPERLCIVTEFLPRGSLFRLLQKNTGKVDPRRRVNMAIDIARGMNYLHNSSPPIVHRDLKSSNLLVDKNWTVKVADFGLSRLKLETFLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELATQKIPWDNLNTMQVIGAVGFMDQRLDIPSNIDPQWTSLIENCWDSDPRQRPSFLEIMNRLREMQKQYTLQAQMQRNTSGDAQLKGAAKMSVEDC >Et_2A_016607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26538538:26541822:-1 gene:Et_2A_016607 transcript:Et_2A_016607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSGDRFKDTMKPSDNRPLASDIPITSLGLTSAASAFPPAVSDYYQLDKLLTTKEKNLQIMVRQFMENEVAPIIPKYWETAEFPFHLIPKMGSLGFLGGIIQGYECPGLSAIAYAMCISEVARVDASMASFCLVQSCLALLSIAQLGSEAQKDKYLPPLSKLHKVCAYALTEPDNGSDASSLNTVAKKVPGGWVLNGWKRWPGNSSFADVLVVLARNASTKQINGFIVNGGCPGLKIKKIEKKMSMRIVQNCDIQLEDVFVPDDDRLPGCNSFQDLVDVLSFSRVMAAWVSIGIATGVYDACQRYLGERKQFGVPLAAFQLNQEKLVRMLGNIQAMSLLGLHLCKLHDSGKMTTGQASMGKAWITKQARETVALGRELLGGNGIVTDFHVGKAFCDMETVYTYEGSYEINTLITAREITGISSIRPASRL >Et_4B_036803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11625537:11630827:-1 gene:Et_4B_036803 transcript:Et_4B_036803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGTCGGMEARSRKWDSSKIEDLKRRKNQFESEMSELGSPRELQSRELATSEKIIGLEEKLRYLNVQHDKFREKLREKASERSNIEKELSRREPGQKELENRIAEKEKEVRSLEKRINEIEDKVCRDFSISVGVRNICEYEERQLNDAQALQERRLRLNKKMSKLKYQIEYEKKRDMQTPIVKSMEKLESLVQELKGLQEKESNATVPEVDRISKLMEDLKTDAEGLKSKSDECEKVIDELKEKNNSIAYTLEKLDRQVKSKEGQLMQLISRQGKIYEKCEIEQLKLPTMNDPMDTGSSSEEPVLDYSQLSKTYLQDMGLSERDKHEAQFKQKACELVAQIERTAPNLKALGQYDALQSREKEVTEKHEAARKEERKISDKFNSISKRRYQLFMGAFNHVSKAIEEIYKQLTRSRIHPTGGTAYMTLENDDEPFLQGIKYTVMPPTKRFGDWITYQAGRRLDGGFGFQSIVISLKDCFYDQADALIGVIRDSERGCSATLTMDMTTYSDA >Et_2B_019878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14842978:14871057:-1 gene:Et_2B_019878 transcript:Et_2B_019878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVTSAAAALVTTAAVALGVLAASQPIGQPGCNTTCGDVSVPYPFGFGPPHCYWPGFNLTCDVDGGTRAPRLLLGDGTLRVTEISLRNATVRVVRAGSILNTTGEVVVGDAGWNVSFGSSFRDHGYLLSSRNELVVSGCNVVATLLAEVGERTPRIISGCATFCTVGDGGHDMGPHTDVAATTASSNSNNGKRCTGTSRCCQAPLTLTSPPTGVQVRWLCTGNHTGEQELAPVNVFVAEDGWVDRTGLVGAKELQEAPLVLRWMVTQDLPQRNQCTYDIRRKLCKSHNSECGAEQPAGYTCVCQSGYDGNPYIDGGCRDINECENQQYYGCFGECTNRIGRYDCQCPHGTQGDYTIPNGCIPIAMDKSFSKGLIICIAVGSGVGSVLLVLATIYITQMLKYMRATKLKEMYFKQNRGQLLQQLVSQKADIAERMIIPVDELAKATNNFDKARELGGGGHGTVYKGILSDQHVVAIKKSKITIQKEIDEFINEVAILSQINHKNVVKLFGCCLETEVPLLVYEFISNGTLYQHLHIEGQRSLTWGTRLTIATETSNALAYLHSSVSIPIIHRDIKSSNILLDDSLTSKVSDFGASRYIPVDKTGLTTRVQGTIGYLDPMYLYTGRLTEKSDVYSFGVILVELLTRKKPFSYLSTNGDGLVSHFINLLAKKNLVQILDPQVVEEGGQEVMEVAELAASCIKLRGEDRPTMREVEHRLEGLRASKKYNIEFGSIEMNSSSTNKKWQSMEESSRRYSMEEDLVMSASYPRLPGCNTTCGDVSVPYPFGIQPGCYREGFNLTCDTSSGFPRLLLGDGTLRVVHIYPQNSTVRVLRNGSMVDGADSITSIGLNITLAPIFAGGHYRVSSSNELVLFGCDVLATLVAGMIRFTSKDSSPRFVRCVSLCFRGRSWYGAEHYCSGEGCCQTSFSGFDGTDWYHLPTELQVRRLESQNNNVRDYSHVSVFLAETGWLDKWGKQRVSMDSTEPYSDIPLILRWDIMQGLALPESDKNDPYDKGCPRDVANICKSNNSMCTRDAEVYLCKCALGYDGNPYVDGGCQDVDECKHPQENGCFGDCANTEGSFECRCPSGTFGDATVTGGCLETANSSPGQYTQLWSVLFSPCNSLAGIPTSPIGQPNCDTSCGDVHVPYPFGIGPSRCYLPEFKLTCDANHKPPRLLLGGGNSTFQVVGIFLNDSTMRVIHANTFDVTNATYKPLYFGYDKQIGVHFPDIVGAYTLSTRNEFILTGCNVEATLLGPDDDDTISSCVSNCTSGVIGQSPAPDTDNEYCSGRDGCCHARIPPGSKPKKVKFKQLENNPNISPPSPLPPLAFVAEEGQINQWYMIFNRSTAYYLTRNESWKQDTPTVRRYMASQVPLVLRWMVKQNIYTSAQSDCQRANGGYLCHCKEGFYGNPYIIGGCQDINECNIPSIRDACFGECKNLDGSYQCRCPRGTRGDPRKLGGCVKILTGIQIGLLAASGPGLLLLVIGVSFALRKIRQHRIKLQRQKYFKQNRGQLLQQLVSQRADIAERMVIPLEELQKATNNFDQSRKLGGGGHGTVYKGILSDLHVVAIKKSKVVIQREIDEFINEVAILSQINHRNVVKLLGCCLETQVPLLVYEFISNGTLHYHLHKEDSTGSLPWRDRLRIASETAKAIAYLHSSVSIPIIHRDIKSANILLDDALTAKVSDFGASRYIPTDQNEATTTAVQGTIGYLDPMYYYTRRMTKMSDIYSFGVVLIELLTRKIPTSYRSQEGSGLVAQFNALLGDGNLAQILDPQVVSEGGNEVEEVAALAASCTKYKGEERPTMRQVEMALEAFQTTKHPGLDNVVVRNSEGNITRNHLLTRRRTNTEEGTRQYSLEKEFLIIAASGLNLTFASIFAGRPYRVSYGNELVLFGCDLLATLVAGKIRCRRLAAPPAAQAAAVPLAANNHYCSSADCCQASFNVMDWVHMPPEMHPRPLESRNNLNQNSHVSTMFLAEEGWLDKQRPEDEPKNDTPLILRLDIMLGLALSAGQSTAAPIGMPGCNTSCGDVRVPYPFGIGPSRCYQSAGFNRTCDTSKSPPRLLLGGGNSSLILQVVDISLKNNTVRVVGAGNLVNGTRVNSDRNVTFGGGLFTSGGPYRLSYDNELVLFGCNVMATLVVRPSFGEHIIGCGCGSFCSVDVSFASPDKYCTGTGCCQASMSTVVEIKQQEAPMEVHLRWISTGNSSISWWNDNLPVAVFVGEKGWLERQWGGGGGGGTTSLGTINMCWRNIYPSSCGGTSRRARRSSTPIDLTPTRALKT >Et_2A_014822.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:16929584:16929901:-1 gene:Et_2A_014822 transcript:Et_2A_014822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLASSPGRTSRTALCTSREVSVIRPVALERRAASSARRSNMSAIIMSSTCMARPDTPRSGCTCFSTRHTYRLYPPTPPIPASPPGLGAPRRRRRRRRGCCCCC >Et_1B_011142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1820123:1823835:-1 gene:Et_1B_011142 transcript:Et_1B_011142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SNGTLLGNGVVGILAETVNMWERRAPLTPSHCARLLLGGGKGRTGVNRIIVQPSTKRIHHDSQYEDAGCEISEDLSECGLIIGIKQPKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAVGEEIATFGLPSGICPIVFVFTGDGNVSQGAQEIFKLLPHTFIEAEKLPEISAARNLSKQSQSTKRSFQLYGCIVTSRDMVYHKDPTKQFDKVDYYAHPEHYNPVFHERIAPYASVIGMNGCPLVGVSDITCDVGGSVEFVNKSTSIEKPFFRYNPSTNSYHDDMEGDGVICLAVDILPTEFSKEASQHFGDILSKFVASLASAKGLVELPSYLRRACIAHAGRLTSLYEYIPRMRKTMIDLAPTKENPFPDKKYNTLVSLSGHLFDKFLINEALDMIEKAGGSFRLVRCDVGQSIDDMSYSELE >Et_2B_019966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15704506:15707764:1 gene:Et_2B_019966 transcript:Et_2B_019966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAKRLVQMAKWQRMVILARKRVALTPAKEIEGSSEPSTSVAGRGHFVVYSVDGWRFEVSLEYLGTTVFGELLRLSQEEFGFSSDDGRITLPCDATMMEHVMCLLKRDASEEVEKALLSSMVRPCNYGSKGFVQTMESSRQVPNQHFIGTSTINKPINTTNLRPPNKKVRSSLKFHPRESRSKPAMVNTKGLVQMAKKWQRMAALARKRLTSTPAKEIEESSGPSTWIAGKNHFIVYSADGRRFEVPLEYLGTKVFGELLRQSQEEFGFSSNDGRITLPCDATVMEYMMCLLRRDGSEEVERALLASMVRPCSYGSNGFVQTVGSSRPIVVSSF >Et_4A_033154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19231176:19232541:-1 gene:Et_4A_033154 transcript:Et_4A_033154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDACVLRPSIEWIDGAQPQANATVFVAKFFGRAGLVASLAAVPLQHRPALFRSLLYEACGRTINPVSGAIGLMWTGNWDLCQAAADAVLRGESLRSLSAIPAAFTDRDMAGLYGNVGGAASSSSPEHSSSAPPSRKRAKPESSFAAGNNKADYCHPPAGLLQSCELHLCLTPASPDQAGGRRGCGGGGGGASDEYSATTTCEEACGDAEAGAPTLLNLFS >Et_4A_032374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32548934:32549455:-1 gene:Et_4A_032374 transcript:Et_4A_032374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEEEEEEGIAPEVGSQQTYRGVRKRPWGKFAAEIRDSTRNGVRVWLGTFDTPEAAALAYDQAAFAMRGAAAVLNFPVDHVRQSMPPIDLAGIGSPVVALKRRHSMRSRSRTKHKQQSASSSSPSSSSSSWCWSHHSV >Et_1A_009155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31181158:31183560:1 gene:Et_1A_009155 transcript:Et_1A_009155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSECKKTASRHTTEVETGTHAFEIVSYTFKKGVGVGQFIQSGTFTVGGSDWSIRFYPDGLEGDREHVFVFLVLMSNANVRASYYMSLVNQTTGLPVCVCSETTAGVFSPSNIFSQGTLIARNKLESESAGYIVDNCLTIECSVTVLKKTKVSDITGDFQIEVPPSDLSEQFGKLLLEEEMSDVTFSVGGEDFPAHKVVLATRSPVFKAQLFGQMKENSASRITVEDMQPDVFKALLHFIYTDLLPYSDDVTDEEYETVKHLLVAADRYAIDRLKLQCASILAEYLDAESVATTFALADLHNWDRLKEVCIEYMASGEMNAVVATEGYANLKRTCPSMVVDVLEKASRLWRQGYANLKRTCPSILLAVLEKTSRYGCVDID >Et_1A_008382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7132246:7132595:1 gene:Et_1A_008382 transcript:Et_1A_008382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFMDLRAFILRNRVLKLYRQALRITRRAPEHARDELRQTARAEIEKYRDCDDKQKIRFLISEGMQRLKGLDELLDMTGNS >Et_9B_065048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20792040:20795471:-1 gene:Et_9B_065048 transcript:Et_9B_065048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRGAMRPSGMRAALARSPATSFLLAAAVAALLVGGLYFWVVVSSFRLPDSGAVGCRPDGEGSWAIGVFYGKSPLELRPIELEGRSNGNSSAWPVANPVLTCANPTEAGYPSNFVADPFLYVEGDTLFLFFETKTTTSLQGDIGVARSLDQGATWEFLGIALDEAWHLSYPFVFKYENEIYMMPEGNKKKELRLYRATKFPLEWTLEKVLINKPLIDSSLIQYEGYWWLFASDFTRYGVEKNAELEIWYSKSPLGPWTQHKQNPIYKSDKSVGARNGGRLFMFEGSLYRPGQDCSGTYGRKVRLYKIEKLTKEEYKEVPVNLGIEEPKKGRNAWNGMRYHHMDAQQLPSGGWIAVMDGDRVPSGDSTRRSLFGYIGFLLAIAIVIFVGFVKGAVSCYIPPSFWVPLTRRNELYRILPVHRFNQKVRRYSTSIGRYISATKTRLNEKTWSNRLFFCVVALIAIVNVCIAVHFLCSGNGAEEAYTYQGQHSQFTMVTMTYEARLWNLKLFVEHYSRCESVREIVVVWNKGNPPSSDAFDSTVPVRIRVEEINSLNNRFRVDPLIKTRAVLELDDDIMMTCTDLEKGFRVWREHPERMVGFYPRMIDGNPLLYRNERYARGKNGYNLILTGAAFMDSEFAFKKYWSEEAREGRDYVHGHFNCEDLLMNFLYANASSGSSRTVEYVHPAWAIDTSKFSSVAISRDTQKHYDIRTDCLAKFSSIYGSLPQKWVFGMREDGWDK >Et_4B_037702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22703073:22704428:1 gene:Et_4B_037702 transcript:Et_4B_037702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYEFYIMMNHFFGDAFSHPPHESSQQLSSPITLLSVLPTQLADAHPPSLALSKSGTNPMNIGGCTSGRSFTAASPAVSYSFSTPPSFRNLTVLGAEEVVVSRVGEQQHAVRPAPVAAAVGAEHLEHLVAAHGGERQARRRAAGDVGALRPRRVAVVVLAEAVAEQLHVAVGEVHLLAHLLLVAHHHEPPRAVGKERGRVVVAVVAGEEAEHHGRGHRGGEGDQLDGRRGHGDAAEAAVARERGSGSWGPRRCTCLVELGMQNGVRLVHACFDVALAGG >Et_1B_013218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6473160:6474212:-1 gene:Et_1B_013218 transcript:Et_1B_013218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METGEGVLELLLVSAKGLKHAHHHPRRSKMHYVTIQCGDQTVTSKITQGRGKKIWWNEKFRFRLSAAECEELAQVTLTVMERDKFSQDSAIGETRVYVGEIIAEGTERDFLQMKPALYNTVLEDGTYKGALKLGIKFISHVKLQSMDCTQCSLPPRQPCTVYRSFLNFTLPSIPWRRFLFFLCARSDDGQRAGSVCEQN >Et_7A_053011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4809060:4811911:1 gene:Et_7A_053011 transcript:Et_7A_053011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLARSLTLARSAAAARLRPSRALSSKVEFIEIDLSEESPASTGGGGSVGDAAAPTQMRRLEDAIQGVLVRRAAPDWLPFVPGGSYWVPPLPRPLGVGDLLGPAVYTSRGASMLTEEEARSFTTVRGWPSAAYFVEGSYKKAARSSETPLMVVHIDS >Et_1B_013368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7776897:7779064:-1 gene:Et_1B_013368 transcript:Et_1B_013368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSPFHSLRHSSSGSMCLPWLMATSTLFSSSPGERVFSPVLCSELLAHESVQRVRAALLDPVRDRRCAVLDGRPSSLDCLGQRSTQFLLELSDLGEHGRLRVELGRAVGERALHEHVAQLLHQWVVGAARVVRVREHRLTLDLHEHVLLAETGGVEVTGGVSAQSLLGHCHHTALDGALDVLLDVAQVNSLAQGDQEGRAHELQDINGLGGLPGRDETERVHILVVLLRALDMVGHRVAQELQLRAVRGHGDLSTLETIVQAGVAPAGEVGGKAIVVEVVHQLRELREHELADGGDGEAGVVHGHTNGCSLEVATDVLHDGSGLNLSRVVLELVGEVVSVLWLAVHDLAEHGGQDLGEDGKNVRLEEHCGSESGADGRAIHHGKAFLGLQLEEASLDAGNLERLSSVHLAAVRGHRNRVLAASDKAGYVGERDQVTGRGDGAAEGQARRDVGVEQLGNRLEDLEANSGVPLEEGVDADEHGRPRRLGRKHVAVGAGAERAGVEEPDELALERAALLGPPVGRRAEAGGDAVAVGAVHHAVHDPVGAGLDALAGRLVQLDLGLAGAVRHGGHLRDAQAGALDRHHGLPVLRHHPLHLVQVASHELFRRLPPVERVGTRRRHAQIDAVGGSNVAVLALHLQMGREIQH >Et_4A_031851.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:23396105:23397896:-1 gene:Et_4A_031851 transcript:Et_4A_031851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LNPKCYPNLAASPPPRSPPPPPPLVCFSSVAASSLSQAERPSCTRISCLEVPSISSPSFNLPFDLNISKGCFFFFSFSCFEYQSDRTRQSRGSRGDSELDSHRSRRPSLGGERMIRRFVNVVMENYTSGIYTLHRLDVSKHLFHQSRADARVMPLLAEAQDNSAGGGDEQPTLDMLQELPAPCLKIHPYYIDQAVIFKLPLLGLLSPRSSEDKVICLNRMGDAILYDAEKQSIDPLLSLRHAVGVDPISFSIVRPDAQEQEEDLYIINKVPQLNLGANSCFRVLRSCNSEEYRWRWEPLPPPPYIGAPSYDPARFIYSHTVVDGGRTICLSSVPDGVGTYCFDTVERQWRHAGHWILPFKGRAEYVPDLDLWLGLSSGSKHYNLCGTSDLNAMAMDQPPKLQYVWQDLVTPENWSGTTSWVVSLGSGRFCIARAFDVMCCEGKPASVDDWEDEDEVVVLTGVDVDRGGDGRLKVWKHKSIQFSSFYNLRGVL >Et_4B_038221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27206135:27208025:-1 gene:Et_4B_038221 transcript:Et_4B_038221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSPETLASRSPCASLLMVALADVDVDTEVAAAGAPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNSVEIKPEMIGHYLAEFSLSYKPVKHGRPGIGATHSSRFIPLK >Et_3B_030460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3956161:3958053:-1 gene:Et_3B_030460 transcript:Et_3B_030460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PVDEPVVKSRSNYEETKRNSSSLSASALLFQTPPIRGSSQRLGVGREEEHATTAHAGGERTNLTQIRSLSNRQGALDPRGPAATAGVQPSGDFGEEAAAKSAMSSSVLRAAAERALRRQALTLTDAAASRIRQLLSLRQRPYLRLGVKARGCNGLSYTLNYADEKGKFDELVEEKGVKVLIDPKALMHVIGTKMDYVDDPLKSEFVFINPNSKGECGCGESFMTTGSKGSTSS >Et_4B_038376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28627511:28630857:1 gene:Et_4B_038376 transcript:Et_4B_038376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHAGRRRDARLLVALLLLALVVSGHCLDAHHRGLKRRRRKHEIHSPIKTVVVVVMENRSFDHILGWLRRTRPDIDGLTGRESNHLNASDPSSPEIFVTDEAGYVDSDPGHGFEDIREQIFGSADTSAVPAPMSGFAQNARGMGLGMAQNVMSGFKPESVPVYASLADEFAVFDRWFASVPTSTQPNRLFVHSATSHGLTFNARKDLIHGFPQKTIFDSLEEDGLSFGIYYQNIPATLFYQSLRRLKHLVKFHQYSLKFKLHAKWGKLPNYVVIEQRYFDCELFPANDDHPSHDVARGQRFVKEVYETLRASPQWNETALIITYDEHGGFYDHVPTPVKDVPQPDGIVGPDPYYFKFDRLGVRVPSFLISPWIEKGTVIHEPNGPQKGSQYEHSSIPATVKKLFNLRANFLTKRDAWAGTFENYFKIRKTPRTDCPEKLPEVTKSLRPFGPKEDSSLSEFQVELIQLASQLNGDHVLNTYPDIGRTMTVGEANRYAEDAVARFLEAGRIALKAGANESALVTMRPALTSRASMSSEYANANPNLAETAPIR >Et_8B_059027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12571993:12573172:-1 gene:Et_8B_059027 transcript:Et_8B_059027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRDRRMVFVTVGTTCFDALDKAVDSEEVKEALLQKGYTHLVIQMGRGTYVPSKVLGNSTLEVDYFTFSPSIADYIREASLVISHADLMDNHQSELADELAERKHLFCARPQTLQETVEAMDLNTLLPYMPGDAKQVVTRINKFLGFPVD >Et_8A_057158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21712086:21715482:-1 gene:Et_8A_057158 transcript:Et_8A_057158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PGPTTAIITVSTTATIPSPPALHSTPHVVHHHHSHLLLLHLHLQTYHHDLHAPVRSHHLSRLHFTTPCTSRHRHHPPHSSSAMAATGTASSPLPLHGGLFLLLPLVLTITSAASSAPLPLLALLSLKSSLTDPAGALRPWTYASAAASGATRSLAPPWCAWPGVSCNSSTGDIVGLDLSRRNLSGTFSPTAARLLAPTLTSLNLSGNAFAGEFPPAVLQLRRLEALDVSRNFFNGTLPDGVARLGGALAVLDAFSNCFAGPLPRSLGELRRLEKLNLGGSFFTGAVPAELGNLRRLRFLHLAGNALSGRIPSSFGQLASLERLELGYNAFDDGGGGVPPELGALANLQYLDIAAANLSGPLPPELGHLGKLQSLFLFKNRLAGAIPPELGGGLRALEVLDLSDNQFSGAIPAALGDLSSLTTLNLMSNRLSGAVPARLGALPNLEVLQLWNNSLNGTLPETLGSSGRLVRVDVSTNSLSGAIPPRLCAGGRLARLILFANRFDSAIPASLATCASLWRVRLESNRLSGAIPAGFGKIGNLTYLDLSGNRLTGGVPADLVASQSLEYLNISGNPVGGKLPNVSWQAPKLQVFAASQCRLGGEVPAFGAAGCANLYRLELAGNGLTGRIPDDIGRCKRLVSLRLQHNRISGEIPAALAALPSITEVDLSWNALNGTVPPGFANCTTLETFDVSFNEHLAPADESSSSAAASWSPPGGGSARHTAVMWVAAAAVAFAGMVVLAATARWMQWGDVDGTGTASTGGGNVGGRGGRPNVVVGPWRMTAFQKLGFTADDVARCVEGSDGIVGAGSSGTVYRAKMPTGEVIAVKKLWQAQKDPSEPTWKQDNGDTNNTSRAVAAEVEMLGQLRHRNIVRLLGWCTDGGETTTLLLYEYMPNGSLDDLLHGNTKLLGWEARHRIAVGVAQGVSYLHHDCRPAVAHRDLKPSNILLDAHMEPRVADFGVAKALRGAAPMSAVAGSCGYIAPEYTYTLQVDEKSDVYSFGVVLFELLTGRRPAEPEYGEGSSIVDWVRRKVAAGGEGGLRDVMGTAWTDQSGEARDEMALTLRVALLCTSRCPQERPSMRDVVSMLQEAKRDRKPAAEKKQTHRLN >Et_10A_001856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9087711:9092301:-1 gene:Et_10A_001856 transcript:Et_10A_001856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGAWPWRTSALPAGIWVPDEVLELVFLRLASPLHFIRATSTSRHLRCIVRDTSFVRHFASLNTLHLLSGSYYDSSIIPAITVNRPRPVFIPSPSAPFDGSRFSVDFLWSDDICSSDPSLWTLSDSHGSLLTVADRGGKATWQTCMFFMGHTKASLYWHEGNRTVSAMDRGTAEFTTSRLPCTEEAYWNTHRVFTGRDDEARIVTKGAGGVFKFFAKCQYDSEWALEKRIQLSKVLPGVQSFKLLRRAGMDHDESD >Et_7B_054093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15245834:15246759:-1 gene:Et_7B_054093 transcript:Et_7B_054093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAAAGAVVMARREAVAVVVARREAAAGCGSRGGGRLRWRLRRRRAAAVAMARREAAAGGGSRGGAARGGGRSLWPEGAVAGRSRRREQAEVEERRSCAQRLGKARGKVDSSGLEPDTISYSTVIVAAFCRKGRIYDAMDIFNLMMDHGIPPNKVVCQCEHDTPCMAFMLVIIREAV >Et_3B_029326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24019815:24021830:1 gene:Et_3B_029326 transcript:Et_3B_029326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLQYVKQSPPVVKKVTFTVEEMRKIMDKKNNIRNISVVAHVGHGKSTLTDSLVAAAGIIAQDVAGDVRITDTCADEAERRITIKSTGISLYYEMSDDSLKSYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVAVDCIEGVRVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDSEEAYQTFSRVIENVNVIMATYEDALLGDVQVYPEKGTVAFSAGLHGWAFTLSNFAKMYAYKFGVDEAKMMERLWGENYFDPSTKKWTNKHTGAATCKRGFIQFCYEPIQQIIDASMNDQKDKLLSILQKLGIN >Et_10B_003479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2069312:2071203:-1 gene:Et_10B_003479 transcript:Et_10B_003479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRANIPTNNSALIAVIADEDTVTGFLMAGVGNVDLRKKTNYLLVDNKTTVKQIEDAFKEFTAREDIAIVLISQYIANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSSESVASDRR >Et_1A_009256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35568856:35572185:-1 gene:Et_1A_009256 transcript:Et_1A_009256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQSLIGLYLRECGISGDIPKALGNLQHLQCLDLRNNQLTGPIPASLYRLKMLEEIALDNNNLSGQLSPAIAQLQHLTKISISMNSISGALPPELGSLQKLESLVLGRNHFTGNIPNEICNLKWLKELSLFECNLSGSIPWSIGRLKSLQTLDLSGNNFNAELPASIGELRNLTQLSLNRARLRGRIPKELGNCKKLVKINLSYNAFTGSIPEELAGLEDVVNFQGEMNKLSGHVPYWIKNWESVNLGNNKLSGSIPTTICQGNSLRSLNLQGNNLIGNINERFIGCKNLTNLNLQGNKLCGDIPEYLAELKLINLDLSYNNFTGVLPSKLWESSTILEISLKNNQLTGMIPESIGKLHSLLSLDMGHNFLEGPIPPSVGGLRNLNILSLDGNRLSGNIPEELFNCRNLVTLNLSSNKLTGHIPRAISQLTLLTSLVLSHNQLSGSIPAEICWGFTNEAHSDKEYMQHHGFLDLSYNQLSGLIPASIKNCSILVQLHLQGSLDESISNFTQLSFLDIRNNSLTGSLPLSLSNDSYLNYLDVSNNDFSGTFPSGICNVLVPSSEAKATCETTSSDELLGQKSWEPPSINIATFESVLLRVTADDILKATDNFSEVHIIGSGGFGTVYRATLFEGRRVAIKRLHGYRQFQGDREFSAEIETIGKVKHSNLVSLLGYCARDNERFLIYEYMQHGSLEMWLRNRADAAQALGWPTRLRICLGSARGLMFLHHGFVPHIIHRDMKSSNILLDENMEPRVSDFGLARIISAYETHFSTDVAGTLGYIPPEYGLTMKCTAKGDVYSFGVVILEVLTGRPPTGKDGMEEGDGNLVSWVRWMTTHGRQGELFDPCLPVSGLWREQMLCVLSIARECTTDEPCKRPTMVEVVKDLRMVELMNVNLTTPMGV >Et_8B_058837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:56491:59607:-1 gene:Et_8B_058837 transcript:Et_8B_058837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRHHLLLPLFLAVAAAAAAASELGYGDSSAVFIDGVSHRYLRNQQGDNQVPNPYSSSDLLFRANSCLDISVPTYSVFCAPQRCPLAIDTSMSLNEVSAAVSVLLGFAPPTSLPARSSSQLNKVLLPNPFHRPRSVFLLQIDGSHAPADRFISEANSSFKTRIEGANSTAPGLTDKDELIAIHSDESSDVVSGSGYLDNEFSNLANWLEGSYHKSSDKLVVPLESGNTLTLLLDKEADLKFASNLVSLRKTVERGIQVHEDFSGVISPAELLVCRFTGLKGLEDEYGSTEFVGQRIEVVRKAFAKAFESLQEAYKGKIVGLVISTKEASPFLGSIIDAPSSLHISRQLEEASQINATIASVVLVRKSLAWITGIILLVSTLIGVCLLMNMPLTRDTLLYSNVKID >Et_3B_027785.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:19130517:19132289:-1 gene:Et_3B_027785 transcript:Et_3B_027785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGSKVAGVGGGRRRPRYRIETRALSYVLPSPSRWGWGGDKGVERLLLRGVTCEAPPGELVAIVGPSGAGKTTLLSLLAGSADPGRVAAGQVLVNGRPMDAARFRRVSGYVPQDDALFPALTVEESLVYSARLRLRGGAAEARARELMAELGLSHVAGSRVASVSGGERRRVSIGVDLVHDPAVLLLDEPTSGLDSGSALHIVKMLRDMAAAHGKTVLLTIHQPGFRILQLLDRVVLLADGVVRHHGSLAFLEARLAASGHAIPSHVNVLEYAMEAIDCLKPDVLVAEVTSTRANQDGAAADATPVPSYANSPAAEVRVLASRFAKTVLRTPQLFAARMAQSALAGAFLGSIFLGATDLQSRLGFFAFNLTYVLTSTTEALPVFLRERRVLERETSRGAYRVASYVASNAAVFTPFLLAAALLYAAPVYWLVGLNPDPARFAYFALVVWLAMLSANSFTACLSAVAPNYIVGNSLVAGLVGCFFLFSGYFVASRNIPRYWVFMHYISLFKYPFEALVVNEYGGARGARECLAEAPGGGLCVLDGAALLRQQGMREGMRWSNLGVMLGFVVGYRVLCFAFLWFRCHRIRR >Et_5B_045133.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9875344:9881468:-1 gene:Et_5B_045133 transcript:Et_5B_045133.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PKTTNAIRRSDQNLDADDADLANQADNHFPLTTIRSATNTMATIPSTVHQIHSAQRADGPASVLAIGTANPANCLLQDEYLDFYLRVTKSENLIDVKHKLRKISEKLGAKRRFFHHTEDLLNAHPELLDHTSASLDARLDIVSRAIPELAASAAKKAIAEWGKEASNITHLVVTTNSGAHIPGVDFNLIPLLGLQPSVHRTMLYHNGCFAGGAAMRLAKDLAENNRGARVLVVCAELTIMLFRGPKDGCLQSLIDQSLFGDGAGAVIIGSDLIAPAEHPLFEMVLASHNVIPNSAHALNMRLTNVGIGGFATDSNLAVLIGDSIEQLVSDACMPLGINTEWNDLFWVVHPGAKAILEHTEAALKLKPEKLAASRRVLSDYGNMFGVTVIFVLDELRRRMENGEEDLEWGVMMAFGPGITVETMVLHRCTTDGVYKA >Et_3A_027347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8376245:8377866:-1 gene:Et_3A_027347 transcript:Et_3A_027347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAVFVALLVAAAFCAAAAAEDEFHGGEGRPAAVDVDPSWRFPSRRLRDAYVALQTWKLQAIFSDPYNLTADWVGPDVCNYTGVYCGPLPSDPRAVAVAGVDLNHGDIAGFLPPELGLLADLALLHLNSNRFCGVLPPTLRRLRLLHELDLSNNRFVGAFPDLVLDLPALRFLDLRFNDFEGAVPAALFDRPLDAIFLNHNRLRFQLPDNLGNSPASVLVLAHNSFGGCLPASIANMSGTLNEILLINNGLKSCFPPEIGRLREVTVLDVSHNELTGPLPQELAGLRKVEQLDVAHNLLSGAIPQAVCALPRLQNFTFAYNFFAGEPPACARVVPRDSDRSNCLPNRPDQRTPQQCAGFYALQAVRSTLAAADASIPTSSITATALTSAAFSAAAVTASAIAPTAITTSAFATAAIPISAATFAPTPATPASPCASTPPTSTAACSCPLPSSAPSAPIMPCLSAATALRASTSICAAATVLPWAIATHRPCSVCITAAAAVSPPRSMAYSTSCSIRITTATSAGLIVSK >Et_9A_062137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:207881:210510:-1 gene:Et_9A_062137 transcript:Et_9A_062137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGNVAILLGSGFLGTVLSDGKIPSAGDVLSGAAKFVKKHSKEGGDGGGKCNTNPATAQLLSQVSSLTEELHYLTSKPVTVVTNAATSGPGAYTITAVVVAGVIGYVYIKWKGWKLSDMMFVTNRGLSDACKVVGGQLDQVSDSVIVTRKHLAGRIDRVDVTLDETHEIIQGTRDEVVGIHGDLSAFQKDLQSVNLVVRALVGIFHWKTSESRLGRLSYSQVKRSRYTTAHIRPPYQIQHAPNIIVLESCQDQTADGIHHLCQFTQKMEGGQNANVSSSIPAAIALSSERVVRAPLLQATCLPRPAPRLALEGISPIAESPRTETSNVSSAAECPRAEVAQEQQGVVTQTSWTREWPSEPSASSTEAPSSGLFGGLRLPGLSSFLRTTA >Et_1A_007949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39754277:39755299:1 gene:Et_1A_007949 transcript:Et_1A_007949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLTAVRPRLLPITCSAAGPSKNKNQQQLLPAPPSTAPLPPPLATTATASAALVPLLLALPPDALAAGGEFGILEGRSVALLHPLVMGGLFGYTLWTGYLGWQWRRVRTVQDEINELKKQVKPAAAATPAAVGAAGDAPPPPPAPKSPAEIKIDELTEERKKLLKGSFRDRHFNAGSILLGLGVLESVGGAVNTYLRTGKLFPGPHLFAGAAITVLWAGAAALVPAMQKGNETARNLHIALNALNVLLFIWQIPTGLEIVGKVFEFTTWP >Et_6B_048275.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:5896519:5896854:-1 gene:Et_6B_048275 transcript:Et_6B_048275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TLLPHHPAYRRLHLLPRGSLVDLHADAPLRAGEAAVGLLVSGHRPAQHRHPGAEALQHRVPPAVRQERADASCRSTASCGHQLVTRPLPAVSARNPSGRACWSFLITHRKW >Et_4A_033993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28885410:28889275:-1 gene:Et_4A_033993 transcript:Et_4A_033993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IRPAVGAKADEAQDPKKPLPIEGNSTPTADRRKHEKKKNSSPCPVSGSDGLDVDEAAPTGAWAGEKKTKIQSGDPGRIAPDGDVQFDEDCLKQIEGYDWDDGRLTEATDWYVKQLSNGPPDYDKLEILDEEVEDLMKRIAWYRIRAYKKGKEMDEDQLTDPVTLEVEGYYKWCEGEFEWYFDEGFPNYVGLQDYQRLMLRNNGEYGDDELESLCKLRCTLEGDQQYVQFWEKLSSDTKWEKFERLVFYRAVKIAADFPMIYSTLIFSGFTEYKCSVQYDVTYYQGYAWLYFEIWKRVAKKQVTFLFKYAENEATDGALVFMNVKEALREVSVGDVPVACFAQIKWELNNSNPDIRGPVTHNYETYVAHISGAVTEISSFNTNVTAITISSKSRMTPLIHGILSEIDIYTNALE >Et_1A_008916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1943950:1944918:1 gene:Et_1A_008916 transcript:Et_1A_008916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYIKLVQSTENDADEESLRRDLETAIKDEDYERAARLRDELRVLQVDGRSAVLAANARFYTAFKNGDLVAMHQAWAKGDHVYVVHPSTGRISGYEMVMQSWEMVCDADYEFPLQVDLQDVEVHVRGDSPAWSSSGPRGAAAGGSRWPPILIRCSKILSLEDSKNSSGE >Et_2B_019880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14880637:14883062:1 gene:Et_2B_019880 transcript:Et_2B_019880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRVDKVFCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTASGMAIHVLQVHKESVTKVPNAKPGRDSTEIEIFGMQGIPADVLAAHYGEEEDPSSKVAKVEIPQVRPVVMPNPLGMPFPPRPAFGVAPPIYNPALNPLMARPPVWPPRPPQAWYPQQPVYPQQPVVSVPPMAAVVQPQQPLFPIQNVPSPMTSAPANVLQTSFPMVPPGVPSPVAPQVSQPLFPVNTSAVNGAASSPFVASVLPGTIQASSPAPVTAAGAYGTYNQGTGALGSPPAVANTKAPGSQPATNEVYLVWDDEAMSMEERRLSLPKYQVHDETSQVSFVFIVSTII >Et_3A_023697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1132150:1133890:-1 gene:Et_3A_023697 transcript:Et_3A_023697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKASIAVVLLLLLVFPCIHGAYSVHPREHFTAIFSFGNSYADTGNFVKLVAPLIPAAAIPLNNLPYGETGCFRFALPAAVPGQDPKLHQGRQLRRGATALDLAYYLQNNITSVPPFNSSLSVQLEWLEQLKPTICNTTQGCNDYFGKSLFFMGEFGGNDYVFLLAANKTVEETRAYVPTVVKAIADGVERLIKHGATRIVVPGHLPNGCIPILLTLYASPDSSDYDHNGCLKNLNGLARDHNELLRRQVLQLQIKYPYTRIAFADYYRPVLSFLQIHGYYDFDGNSALMVCCGAGGGKYNYNPMAPCGFPGATACANPSKAVNWDGIHLTEAAYKDIADGWLDGPFAEPPLWSLAY >Et_4A_032066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15156240:15157346:1 gene:Et_4A_032066 transcript:Et_4A_032066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLTPVESAWQPHDFLPRSSPAPGDGGSEAEALEAFTAGVAADVLVCLVGNMVTEEALPTYQSMANRTEGANDPTGRSAVPWARWLRGWTAEENRHGDLLNRYLFLSGRVDTRQVEITVHHLIRNGMEMLVPTSPYHGLIYASFQERATFISHGHTARLARQHGDATLATICGVIAADERRHEAAYTLACAKLFEVDPDGMARALADVMRGKVTMPGQLMADGAGEDGLFDRFSAVAQRAGVYTASDYGDLVEHFVRRWRVADLGAGLSGDGRAAQEYVCGLAPKIRRMEELAHRRAARGEPTRAAFTWIFDRSVALA >Et_1A_007252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32594676:32599556:-1 gene:Et_1A_007252 transcript:Et_1A_007252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DTLAVILDSSSHSSKFCHNFTLAEAVRSEKPRLLMASPQESNPQCLKRKLVDDCLSKDCKSRRIKSEDGPSLDSSAKRCNCCCTRPNLANDCVNFLKSGVPTRIMYYKQGSWHNFPEQIMKSLIEEFRGNKSSVVAVMDDEPLLIDFLSMTLVNLKTRKQRSVAWFDDTGKCFFPSLFFDEDSEELSKGDSGSVDETAQGIMLDKVANSPPEVVKQVVLESSSPAPQKSCTADILRKKITSVERGSEGFLFVQNLFLSGMGSFAAPSSILHVHRYSPNDITAQCRLKAFERQMKSTKEERGDANVRYGWLGSRKNDIVRILINGLGTTAKPAEKASLSAGVYLSPENRAFTSVGLCDVDEKGVQYMLLCRMILGNMEPVEPGSQESFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHMRLEYLVSFKLLPKVRNYLLGLKGLWFHPSPKDVAVDISTLQPVMCETGEESEGPTSPWISFRVLFGVIQENISSVARELLFHHYEELKESKITREEMVKKMIIIVGEKILLEALKKLHYCPSLWYKPSVEAVSGDPARATPEQMSVDETDNNCSLTLSVHHGDSHAPNPVAVHSTKGCCSLSTDTVPYLPPAPSGVPETSSSASAVCRASPSVQSEGRNSPIQIMPPGNSASRCAKNQDSCSPRVAVRDGLLRTISGKSSAPSGEVCSSVTPTTGPTVISSIAPSNASKTHGNSAPGSITKGCESVVPSLALGNSKGTSIKRLPSAPKMTPVGQEFLSLGIASQRPVVHSVKAQDCLTSVARPAVHTPGCGNSRSTSSESRDSLALSIMPKGHDGPASSKTLKRQESQRADKCPENGRSQAQDGTTKVYNAPTPSMEPGNSPWGEPKDQNGICFSQNKSPGLGLDANSHVTGAASALVALSTPREKGGR >Et_4A_035553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2946079:2946873:-1 gene:Et_4A_035553 transcript:Et_4A_035553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLKSFLSGKKERPQHQHGEAMMPVLALPPPTPKEKRWSFRRPVPAGKLGSESPAAAARGASDDGLGVSAASGMEFDQKKHAAAAVARLSSRRAHLPANLVEDAAAVRIQATFRGYLARTALCALRGIVKLQALVRGQLVRKQANATLRCMQALLAAQSQLRMRVLQEQRRHHHNQPPPRPRRSPQHPRHRRSYVSFASIQSKAPEEQKYTAGLILARA >Et_3B_028099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10181389:10185425:-1 gene:Et_3B_028099 transcript:Et_3B_028099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRDQFSRLYDDIISTILVRLPPKQIARARLVCRRWRALTTDHHFVRASFSRPHAGHGHPITGFFYTSRFMVGTSYLALDRESEVGPSIVPTYPASGTTTFSVASSCSGLLLLVRWLPNDREVYYVCNPLTKKTIPVGDLGIGCLCLNLAFDMIKSRHFKVVALVNTHSVYVYSPEIRTWRMAIHSDHSASLFRGLCPTRGIFWNGSVVWIMAHSLVRFSIEDEHVTKMPMPLRKKDWICAYIGESGSHLQMIGYTKKEKLTACFDVFEMQKHQSEWSLLFRVDLSRVKELYPDIEWPTWDTRYRQHKIIDYLALSPIYVIRGIGKTGKHALIFSIPGKIMSYNIVDQEISVIKETIIDLMPPSGAHFSGLPYELVESILALLPLRQVARARLVCKQWNELTRDHHFVRASFSRRQAGHGYPIAGCFFNP >Et_2A_017745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5870998:5871405:1 gene:Et_2A_017745 transcript:Et_2A_017745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRGGVSEEEINELISRLQTLLPSARRRGGSQASTTKLLKETCSYIKSLHREVDDLSDRLSDLMATMDQNSPGAEIIRSLLR >Et_7B_053233.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:13672483:13674488:-1 gene:Et_7B_053233 transcript:Et_7B_053233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKFLLGGLSAILVVAVVVGVVATVTRSGTKAGDNFTVPGEATLATSGKSVKSLCAPTLYKESCEKTLTQAASNGTENPKEVFQAVAKTALESVKAAYERSQTIGDDKSGNDPMTASAREDCKKLLDDSVDDLKGMIEMAGGDIKVLLGRSDDLETWLTGVMTFIDTCADGFADEKLKADMKLVLRNATELSSNALAITNSLGAIFKKLDLDMFKKDSRRRLLSDVDEKGWPVWMRSPERKLLAAADRNRPQPNAVVAKDGSGQFKAVQDAVNAMPKGHQGRYVIYVKAGLYDEIVMIPKDKVNIFMYGDGPKRSRVTGRKSFADGITTMKTATFCEPLDPFHLRAHARAHIMQINICMTNI >Et_10B_002489.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:11095982:11096092:1 gene:Et_10B_002489 transcript:Et_10B_002489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPFCSRLNILWIIFSNLQSYSYHYVTCYLYTVT >Et_3A_024030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16381869:16386018:-1 gene:Et_3A_024030 transcript:Et_3A_024030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLALLLLLVVLRAATASAGAGAVTTVAYNDRALVINGERRILISGSIHYPRSTPEMWPDLIKKAKEGGLDAIETYVFWNGHEPRPRQYNFEGNFDIVRFFKEIQNAGMYAILRIGPYICGEWNYGGLPAWLRDIPGMQFRLHNEPFEREMENFTTLIINKMKDANMFAGQGGPIILAQIENEYGNIMGKLNNNESASQYIHWCADMANKQNVGVPWIMCQQDDDVPPHVINTCNGFYCHDWFPNRTGIPKIWTENWTGWFKAWDKPDFHRSAEDIAFAVAMFFQKRGSLQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNIRQPKYGHLKNLHRVIKSMEKILVHGEYNDTSYGKNITVTKFTYGGSSACFINNQFDDRDINVTLGGATHLIPAWSVSILPDFKNVAFNSAKIKTQTSVMVKKPNAGEKEPEDLKWSWMPENLQLFMTDERGSFKKNELLEQISTGTDQSDYLWYRTSLEHKGEANYTLYVNTTGHEIYAFVNGKLVGQNHSANGAFVFQLESPVNLHSGKNYISLLSGTVGLKNYGALFELMPAGIAGGPVKLISANGTGGIDLTNSSWTYMSGLAGEYRQIHLDKPEYRWHSHNGSIPVNRPFTWYKATFDAPAGEDAVVVDLLGLGKGAAWVNGNSLGRYWPSYTASEMPGCHVCDYRGKFKAEGDGIRCLTGCGEPSQRYYHVPRAFLRPARPNTLVLFEEAGGDPTRAAFRVVAAGAVCAAAAEPGDDVALSCGRHGRAISSVDVACFGVTRGSCGAYEGGCESAAALRAFTAACVGKEACTVRYTAAFAGAGCESGVLTVQATCS >Et_4A_032531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11008725:11010283:-1 gene:Et_4A_032531 transcript:Et_4A_032531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IQSAIDDTHGGGARPQGSASRPPWRPWAAKACPETIVRLGRGGASQNENPQPTHTFPAHDDRTKPKAAAAEASPSGISASPNASSGDWPPGATRTRRTRTFPHFSWPPRPVRSLYPPPGLPSFTLRQESERLTNPTPHHLSRPWRCYRQIKNKPYPKSRYCRGVPDPKIRIFDVGQKRRGVDEFPLCVHLVSWEKENVSSEALEAARIACNKYMAKHAGKDAFHLRVRAHPFHVLRINKMLSCAGADRLQTGMRGAFGKPTGTCARVSIGQVLLSVRCRDAHAAQAHEALRRAKFKFPGRQRIITSGKWGFTKFSRAEYLKLKSEGRVVPDGSNAKLLTWHGSLADRKPGRAVFPPSVAGSA >Et_4B_036693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10735654:10738074:-1 gene:Et_4B_036693 transcript:Et_4B_036693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSPLTLTNTSFTHPCCLLFLTMDYRMQKNVQASGIQKTYRKLESLLVNLRQVLNNIMKQIISLYSENQICFFCLYLAFSSITKNLVSYLTESLHEANVAAARNVSIWHGTSYLAPLFGAFLADSYLGNYWTIVIFCTIFIIVSVKSSPVSSTSTDLYRSPSFSCLDKCRIITVHRSFCWFVHGCLGYGALYPCITSFGADQFDHTDEEERNRKSSFFNWRCFILNAASVTSGTIIVWVQDREGWFWGFTAAALFVALCAGTFLLGSTMYRFQKARGSPIARVCQVIAAATRNFKNDLPCDCPLLYEISGQGSSIDGRRKLEHTDGLEFFDKAAIVISSDSESVGMLNPRGICTVTQVEELKILIRMFPVWMTMILFSIVLEQMYSTFVEQAMVMDKNVGGLEIPAASFQIVDVIAVLVLLPIYEKVLVPVLKKFTGVATGITPLQRMGIGLVFSTLSMVSAALVESYHLQIAHAKGLVHRKVPVPMSVLWQGPQYFLIGAGEVFAIGLNEFFYEEAPDAMRSLCLAFSFANDSVGYYSSSLIISLVSVFTATGDSSGWIPDNLNEGHLDRFYWMMAGLSLLNLLAFVLCAMKYKSKRHCTD >Et_3B_028891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20082639:20096561:1 gene:Et_3B_028891 transcript:Et_3B_028891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYMVFSCADSRCCPSVTLGLNPGEAFTVRNIASMIGPYDKTKYTGTGSAIEYAVCALKVKVIVVIGHSRCGGVKALLSMQDGAPDNFHFVEDWVRIGYPAKKKVQTECAMLPFDDQCSVLERGVVNVSLENLKTYPFVKEGLANGTLKLVGGHYDFDMDPVEHLKSGFDKFKADVYDTKPELFEPLKAHQTPKYMVFACSDSRVCPSLTLGLQPGEAFTVRNIASLVPAYDKSKYACVGSAIEYAVSALKVEVIVVIGHSRCGGIKALLSLQDGAPDTFHFVEDWVRVGCPAKAKVQAEHASAPFADQCSFLEKEAVNMSLENLKSYPFVKEALEKGTIKLVGAHYDFGSCCCFPARKPPREDPMHPAKEPLIRHGPSSTANRHPPPMITYSEGLSAVDRLKAGFRTFKRLIYDQNPKLFGPLKAGQSPKPGEAFTVRNIASLVPAYNQKIYSIGSAIEFAVTILKVECIVVIGHSCCGGIRELLSLKDDKPRSYHFIDDWVKIALATKKKVERENPLLSFDDQCTVLEKEVVDLSLRNLKTYPFVMDRLASGSLKLIGARYDFVHGRFETWHP >Et_1A_008069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40527009:40530271:-1 gene:Et_1A_008069 transcript:Et_1A_008069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKVRELQEFVEACQKDPSLLADPNLAFFRDYLHSLGAKIPAPTSTSDKAKRSSMDDIDDDDDDDDLDMRDATTEPDELDEEIVESDLELEGDIVQSDHDDPPQKMGDPSVEVTEENRDASQEAKGKAMEAMSEASVFIKMKKPVAAIRDANAALEINPDSAKGYKTRGMANAMLGKWEEAARDLHTASNMDFDEEINAVLKQVEPNAHKIMEHRRKYERLRKEREEKRAERDRLRRRAEAQAAYEKAKRKEQSSSHSSGGAPPRGFPGGMPGGGFPGGMPGGFPGGAMPGGFPGGAMPGGFPGSAMPGGVPGNVDMSQILNDPDLMAAFSDPEVMAALQDVMNNPASFARHQANPKVGPIIAKMMGKFGGSQ >Et_8B_060528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2026494:2027744:1 gene:Et_8B_060528 transcript:Et_8B_060528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTDAAGSDGYDRWRELQAFDDTKAGVKGLVDAGATAAPAIFHHAPDPHPHVTTAADSIPVIDRCTGEREAVVARVRAAAETVGFFQVVNHGVPCGPALLDAVRRFHEAPDDAEAKRAYYTRDASRRVRFNSNFDLFESPATNWRDTLFCEMAPDPPHPDELPVAVREVMPEYGAAVRDLAARVLALLSEALGLAPDYLGEMGCAEGLSVVSNYYPPCPEPRHTLGCSRHSDPSFLTVLLQDGVLGGLQVLLDDQGEGRRGWVDVPPRPGALVINVGDLLQLVSNGRFKSVEHRVLANESKDTARVSVASFCNADIRRSTRLYGPIAELVSDENPPVYRSVTVREFLAHYDRKGLDGRPALHYFLLDHPPAAATAPV >Et_1B_010216.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30478985:30479284:-1 gene:Et_1B_010216 transcript:Et_1B_010216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSPSRSSQLRHRLRATMCCCFGQGAGAVVGERMRWNRRAGVGEFRYDPLSYALNFDEGDVDEEDEGVHGAGGRGNGLLYQSFSSRLPTPSPAFEVA >Et_1A_007684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37179620:37181517:-1 gene:Et_1A_007684 transcript:Et_1A_007684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSPDDALSASDADCSSFPVSEAVLLGAKNAAIAGTVVAVPTLVGCRVLPWAKANLNYTAQALIISAACIAGFFITADKTILRNARQNTIGKLDKST >Et_6A_046880.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21875443:21875853:-1 gene:Et_6A_046880 transcript:Et_6A_046880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLASSLTSEVPTARRVLAHVPSHPDCVSPKYPVNVAGECIPKQTHKPECQLYMKTGDYKVGGVCKFHCPKDQTVLVSNCALSSIELPLRSREPICTFYSRCGMFKYGAK >Et_6B_048700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12741243:12744301:-1 gene:Et_6B_048700 transcript:Et_6B_048700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYGYYLLIVEHRRGHQNVHGYPYQTVGRGTNGAVSAQVMLKKEPVSGVIALKESMKFFDANFFNDSKLREMEDGANEFNIPAFRQNRKLVTQENGGLHNPSALVFRSQWRDSVSTESRSFDYPRPSNVHRPSNDEDIAFMSVIELGELIRTKQITSRELTDIFLERLKRYNPILESVITFTEDLAYKQAKEADDLLAQGKYLGPLHGIPYGLKDIIAVPYYKTTWGSRTFKNQVLETEAYVYKRLKSAGAVLLAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPASSTSAETAGSITYPAARCGVTALRPTFGTVARTGVMSISESLDKLGPFCRSAVDCAIVLDAIRGKDPSDISSREVVLDDPFHVDITKLTVGYLEDAEMEVVHVLSAKGVKLVPFKLNYTVESVQSILNITMDVDMLTHFDKWQREGQDDDFEAQDQWPVELRRARLIPAVDYLQAQRARGKLIKEIKDSFTVDAFIGNVTDWELVCLGNLVGMPVVIVPTGFKSIENPPKGGTRRRTTVTTGIYAPPDHDHIALALAMAYQSVTDHNKQRPPIDDLGPNDEVHR >Et_4A_035674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3566924:3569475:1 gene:Et_4A_035674 transcript:Et_4A_035674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPGSFTPPALPSPTTASHRPAWILLDQDAYIAGCVNATTAAATAGDGRTIEVSVWIADPPAVSYLCVRCKDPNGHRYRGEFLSLIRSRSSKSNDTGIEFEPRVVGAEGRFVLIRVRMNFPGCGDEYFMYRADPESPTLERVPLPNGYRLPLMDFGIVPRGDGAHYLLAVHSIVSFEAPVKYELYVYSSEDQTWSTKPLPDTCRVIRAQKMITLGEGVLGWVDLQDGILVCDLSRDCESIDAHFIPFPQPLPENREMLKEFHPGNPAFRVRDVTASNGVIKFIEIEHRWIVTTILPEKPSDPSEKDVLYDSDLIMARKRKNIDDKPKQVRKRDGWRAVTWTRTILSNRWHKGYVVDVDDTVFSSMMSELGVEHDECLKFRNLYSTWPTLSIDGDDTLYLKTVVKPNDQKGWVVAIDLGEKTLKAFCAYNFGRHDPVRYNYRPCPKPRKLKLNCRMFQEGPILKTIRNSVTRMLCYRQAQAPYHIRRSQAPTSRKGSIGIQIHTT >Et_8A_058069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17394296:17419851:1 gene:Et_8A_058069 transcript:Et_8A_058069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYVLGQSYTQAEGKDGENTDLSSFCLLCAYDKNVVELGQLSFPYLQQKKLAVPTKRKQEHNLLSPMAGGGGVDRLPPQGPATDSPGHALCAQLLPFVDFRYPLLFTEPLPEVAFLPLRSEISARQLTRFGLSKS >Et_5B_045700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7869647:7870981:-1 gene:Et_5B_045700 transcript:Et_5B_045700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAAAIVALVALLPSMAAAFGRSFSLSPGQMCGSRWQGRYAPFSVYEANLRRLVATVAAEVNASPCNCSAARIAGDRPDQVSMSAFCHWSPNATSPDCSACVALAFREARWRCPYHRQAVAIVDGGACSVSFHDVYRMEQSMGMDQPLPEILATNRSLFSWVGKVAGLLDSGITGQKSRPWQLYGCNCKHIGVACNAVTILSPMINLDWMLDEQLL >Et_3A_025608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31157540:31162166:-1 gene:Et_3A_025608 transcript:Et_3A_025608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGSRAGGNECLPIRKEKMRLVSRSDAMRAQATLAEQHAACGLVRGWAVAARTARTAGTASYDAPRRDDSAIKQSERRAVPAIINLGSQGYVKDEESGRAPTPGTDAWLPGYLRIRGGADPRLPYHDQGSHYSPSSPPPDPTPRRRRRPFDLGIAELRGDSRPPQLPPPGGPLRRSQSLQPSLSRCERRACVATVLRVMPLTRVAADAFGVLTIILFALFAALGLFCIFQSVYFRCRIRRGSSFLPLGYFNGPWVTRIVLILITIWWGVGEIVRLSFLKKKLFSSLVWQRNICDVYILSNLGFAEPGILFAFAFLLHGSLQKREQGTLNQKWNWKTIAYMLLFCIPVFAVQALLVFVGPRFVRDENSEPGRRKIAKYFIRTSMAVGDASICTYPLFGTIFLGLLDAILMSYVSYVGSRVLSLVINKALRRRVSYLMLSVLCFLPFRVLLLGFSVMPKPGGVAFEVIIFLSFFMMLSCTTVGILFLVYYPVADSLALRDIGHREIAEMVPYDDYYYEGSSLVANQSFREIERNSDTSTKRGSISFRTMIREDQLQHDGADEIGFSSRSGVHIGSPSGSSPSAAMPMLPLKEVPRY >Et_5B_044560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3441055:3442367:-1 gene:Et_5B_044560 transcript:Et_5B_044560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTEETPVAVAAEAPTPVLGEPMDLMTALQLVMKKSGAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH >Et_5B_044610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:474987:478854:-1 gene:Et_5B_044610 transcript:Et_5B_044610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPRRPSDDARRATYKPRVDFNRSRRRREDGLLALRRLDRDAGLFKRRRDEPTLVPASATAPAPNEATADAANSHPSTSRPSSPPDPTAPRDGAGSELEGLSEMVDRVWSDDTTSQLEATVQFRKLLSDEKNSTVIKIIRADVLSRFAEFLSRHDVPQLQSYPMYFLQMEAAWVLTNIAASDYTLLVAECGAVPRLVELLRSPNANIRHQAIWCLGNIAADLPSCRDILLDHGAVTPLLSQFREDMRVPVLRTATWALANLCFGKLSAEVQVKPILEIMNQLIHSADVKILADACWTIYYICSGTDDAIQDVLNAGVCPQLVNLLMHSSSSVLLPVILALARISAGDDAQIQVLIEHGILNFLAQILARNYPKNIKKQACLIVSNITAGSKDQIQAVIDAGVLSPLIPLLKTSEMDIRKEAAWAISNAASGGSSDQIQYLVSRGCLEPLCRILACQDYDLVYTCLEGLQNILESGEAGKKGQDSGTNPYAEFILECGGLDVLEELQDVDNDRIYKLVMKLLESYWEEEVSSDPDVPGSADSAETAGTAPEEAAVQSSIPSSGANEGD >Et_5B_044060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19578572:19589304:-1 gene:Et_5B_044060 transcript:Et_5B_044060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAAMQMDEIVEEVLFRIPPDDPKTLVRAAVVCKRWPRLVSDSGFHRRFREFHGTPPMLGVLCNSYYVHYQARFFPTTSFGSPHKITTKLQVVDARHGRVLLRTVELTCSFAIWDPIKDEITCKLLDLPFVLEYTNRGAAAVFCAAATTAGACNHLHCQNGPFRLLGSALFLVLGRHDANILKYDLTSREASVISTPTNSCGYGSHGVLMTTKKGKLGYATVEEYRVCLWSKKPATSEEADVGWALTRVIGLQKVARYFTERTDVVGFANGVMILFFRTTNGIHAMDLKRSSSESHSTTLRPSSTPLSSASNGAASSPTPAFRAFHGAPPMLGVLCNREYADATYKSRFIPTSSSFRSRHAITASEFRVVDVRHGRVLLRTSDWSCSFAVWDPVKDEITCELPEPTFAYPKHGGVAAVLCVVGGCDHLRCQGGPFRIVFVSEDMFACEYSSEDGVWRELSSSARGYIDAASLPGELIGNALHFVCEDLSTNNKILMYDLATRHASFISTSRRSYGDASTGVLMTTEEGGLGFATVEMKDGIHMATLGEEAGADQWALTRVIGLPTVLPAKLVGFASGVGVLFYTTSRGIHAIDLKSGKETTISPSMATLLMDEIVEEVLFRIPPDDPLTLVRAALVCKQWRRISSDPVFRRRFRDFHGAPPMLGVLCNINYASNYKSRFIPTSSFRSRHAITSEFHVVDARHGRVLLRAVDWACSFAVWDPIRDKIISELPATPPRCGTAAVVCAAGDFCDHHHCQGGPFHVVYIGTPIDEEGALIVYEYNSEDGVWRKRDTSSSQRFRVDAFEYTMNSTNLVPSELIGNALHFVFGNHGTKILKYDLTTSGTSVIHLPMRSYGSYGSGGVLTTTEEGGLGFASVEHCNQPTLEEEAYVDAWHLTRVVELPTALPAKLVGFSSGVRVLFYTTSNGIHAIDLKSSKTTTISKTVGFYCVFPYMTFYPF >Et_6A_047929.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:24200398:24200856:1 gene:Et_6A_047929 transcript:Et_6A_047929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEGIAADSTTSSACLLNDKHAMPKESAPAASDGIAEQRPGPKSTTEEDIEAMSQPAAVGKKKKVVRVKQGYIDWLLSHPDTHKPYRPMPQELIDNVEPEFGVLMARVTARQQKIRNRHADFLEQYRIKGYAEEEIEVSDDEEEDEVVGT >Et_2A_018529.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31852944:31854248:-1 gene:Et_2A_018529 transcript:Et_2A_018529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVHQHGPCSPLAGAHGKAPSHADILRADQRRVESIRRRVFETTGRGRAGPKRAAPSVQLQPGAPSTPSSSVSSAAWSTNLPASSGSALGTGNYVVTIGLGTPTKRNTVVFDTGSDTTWVQCQPCVASCYRQKEPIFNPTRSSTYANISCDSSDCSDLYTSGCSGGHCLYAVQYGDGSYTVGFYARDTLTLAGDVVREFRFGCGEKNKGLFGKTAGLMGLGRGKTSLTVQAYDKYGGVFAYCLPATPSGTGFLDFGRGAPAANARLTPMLVDVGPTFYYVAITGLKVGGRLLPIPETVFSNAGALVDSGTVITRLPPTAYASLSSVFASGMDGLGYKKAPAFSILDTCYDLSGAAQGGSVALPAVSLVFRGGACLDVDATGILYVVDVSQACLAFAANEEDTDVAIVGNTQQKTYSLLYDLGRKVVGFAPGAC >Et_9A_063370.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21799225:21800781:1 gene:Et_9A_063370 transcript:Et_9A_063370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAGTEQPTTTTSTRVPDFKQSVKLKYVKLGYHYLVTHGAYLLLVPLPGLVAAHLSTFTLRDLVDLWQSLQYNLVSVVACSTLLVVVATAYLLTRPRPVYLVDFACYKPADERKCSRARFMNCTENLGSFTPENVEFQRRIIERSGLGEDTYLPEAVLNIPPNPSMANARKEAEMVMFGALDELFAKTGVRPKEIGVLVVNCSLFNPTPSLSAMVVNHYKLRGNIVSHNLGGMGCSAGLIAVDLARDLLQVHASTHAVVISMENITLNWYFGNDRSMLVSNCLFRMGGAAILLSNRRSARRRAKYQLLHTVRTHRGADDRAFGCVTQREDGGEDGNKVGVSLSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFATLVARKLLKKRKAVKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLRLSDWHMEPSRMTLHRFGNTSSSSLWYELAYAEAKGRIKKGDRTWQIAFGSGFKCNSAVWKALRSVNPDKEKGFRNPWMDEIHKFPVPVPKVSAI >Et_5A_040435.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:21942518:21942697:-1 gene:Et_5A_040435 transcript:Et_5A_040435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPACDLLGPRRASGATNLLDGAALAVIAVAASSPAGFVALRFVAGISLAIHRRRSSCS >Et_8B_060351.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:177820:178617:1 gene:Et_8B_060351 transcript:Et_8B_060351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAATSGVPGTSFVILSVAIVGILATALLLLSYYLFLTRCGLLFFWRSDHHHLHVVVQEQPPSRRGLEDAAIRRIPTFRYQNGSNNPPEGKVAQDCAVCLAEFRDGERLRQLPPCLHAFHIDCIDAWLQATANCPLCRATVSGADRLPLQVPADIVIDIAESSAADDEAVTSDEACVPAAEPCQRQQHPVTARRSLSMDSSTDKRFYLALQRTLQQQRQQFTREEDDAKSSGESSSIPTPRRLRRAFFSFSQSRSPRSATILPL >Et_5A_042262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8123340:8125378:1 gene:Et_5A_042262 transcript:Et_5A_042262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSLMRWWRLGSELCAFLDKLQEVLARFCGKIRKLSLSSSARRRPRVVLHGFPGGAEAFELVARFCYAGGVAVTAANACALRCAAEFLDMAPASTAAPSLVRLTEKALDEMPQWPWQSVVDAVKQCQRLLPLSDATGAFDRAMAALVAHVAAAAAAPPAAGGDAGTPTTSSPESSAFRFSCDTKSSCLSLPTGRTWWFDDLVALPPGAVRRVAAALAAARDAADHAVVARFLFYYLKCRIAAGATAEEKKAMLEACVAAMAGLDVRTAVSCKGLFGVLRLAAPLQLSEVSQDRLVAMIGRKLDHATLDNLLVPAPSAGAAPGSSSLYDVGLVLRFVAAFLRGGGGGGGDEPARLKKVGRLVDLYLAEVAPDPSLRPAKFVELAIALPAAARDCHDALYRAIDVYFQVHARLTDDDKMKICRGLIYEKLSPECCKHLARNAAFPTRAAVQALASQHTVLKSLVLRDSGELMPVPSAPPPSTTAGKKGRDGESDGQVILYAGRLDLTLENQNLRSLLDGMHWRVMELEKPDEDTDDQDEGQAQESATQLHGKITAQD >Et_10A_002157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2497947:2507454:1 gene:Et_10A_002157 transcript:Et_10A_002157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGLVMCYADKSWDKCLFCLDHAAAWVSTGCPYSRNASVNYDMCLLRYSDEPFFGSADLTLTASMRSNTTPTDSIGMREARGKLIGQLTREAAGSPRRYAYGKRRYFDARGESQVMYALAQCRRDLEDGECNKCLSNVTEELEQEILFDTAGYLLGYSCYVRYSLTSPMEIIQPPPTDTWGLSELWCTAAVAEPEPAVRRGRIDLLS >Et_3A_024337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19765471:19782027:-1 gene:Et_3A_024337 transcript:Et_3A_024337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVTELPNFLMGSSFDVGETKDGATFLVYAKQLNVGVVSQTRDDDGVESWVLDREVSLHEELQCVLGSKLDDSSELIPLVDNPSDLYVLGVQDGYVFLATSAMHENPLTPSWFLSLCLESMKLDKLFRRTFDNFLYFLRARALPLILRSTPRLAAPHAPPLVSLHRLLSFAAATASPVSSPVPLVAEDYLVSRCGLTPAQALKAAKRVSHLSSRSKPDAVLAFLGRTLGVPAADIASAVVLDPSILCSNVERTLAPRIADLSDLGLSLDEIVRLIPLAPNCFRNRFLRRNLEFWLNELGSFDKLLRAVKRNSGLLSTDPDKVAKPNLALLQECGLNASDVADLTLYSPRLFTMNPNLLREIIERVEELGVERGARMFRRLLALILVMSKEAVARRIQFLQKLGFSQDDILRIVRKAPLVLGLSDQKIQGNVDYLMKDVGLDVAYISQRPVLIMYSVERRLLPRHWLIKLLKKKGFLKARSDFYSMASMGEKIFVQNFVLPYKDIVAGLADGYASKCSGKHTEDDDDVGRWVLDRAFPMYKGLQRVLPVQCDGGSELNVCICQQQRYPMILKHLVGGRVLLMNWDDSLLVVMDPLTRRSENAFDLCSEDIFEGCRGTPVHLHSRLVCSDVDPNSFTVVLLAHDRSRVRATVFSSYTREWSVHRWVKVPAPVRSPGSKRWVRREGTMQANGFLFWVYEDERYLISLDIATMKFSTSKLPQCLRNSSFDVGETKDGITCIVYSEQLKIGWYLDANKGVVSLGKELERVLRGGWHDDSVLLASVDNPGELFVLAVRDGYVYLATSEMYHDSVSPCWFLSLCLETMKLERLFRRTFDNPVHPYIMAWPHSLAGNNGSHRRKQIVTGDVHSEPGGGGDTMLT >Et_9A_061567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13821731:13826087:-1 gene:Et_9A_061567 transcript:Et_9A_061567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVVGASDSSMKSLLGKLGGLLAQEYTLIRGVEGDLHFINDELASMHSFLRDLGAAGDGLGQGQDHRLKDWMRQIRDITYDIEDCIDDSAHRLHGLRSNMCCYFVINSAYELLMWWPRRDIATRISDLKMRAQQIGERRHRYGVDNPRIDNSSPDSAQRGGRAGFNAAEDQDPSFKLVSREEPVGVDKGMEDLEKWVTDKAVGKTGVLAFVGFGGVGKTTIATALFDKCRDQFDRRAIVTVSQSADIEAILNSILSQVMPQSDRQERRDSSLKGSLAAAVRGVTDRVGGVSKKAQEGTKLDLLKKDLKEHLKENSYLVLIDDVWSTTTWSQVRKLFPASTEHKKHSRIIVTTRFQAVATTCKREHGDLIHNVVPLSKDKTELLFRQAFSESKGSAGAIPKKFWEMWGGLPLAIVTIAGHVACNPHKSENEWINFCRSLGAESGKELTQEELGRIVSHCYNDMPAEIKTCSLYLSIFPKSHKISRKRLTRRWIAEGFVSEKHGLSAEDVAETYFNHLMRRKLIRPVEHSSNGKVKNFVVHDMVLEHILWKASEENFITVVGGPWLMHAPGGSKVRRLSIQTSDTKHVKDIEKMNLSHVRSLTIFGSLSQVPFHSLEFGIMQVLDLEGCKGLKEHNIKEICNMLHIKYLSLRGTDTKRLPKVIGKLDNLETLDVRETNIIELPKTICQLQRLVNIFGGDKRTYKALRLPDDMKKKMKALRTLSGIEIVSGSADLHHMTDLRKLAIYRLNFMEDASFKELSSSIEYLGGYSLHTLIVDDESSRFVKLLGEMSYPPKFLTTLELSGKMVKLPNWMTQLDGLSKLTLSVTALRTDNLSYLSNLKALFSLSFTLAVGKQDPETQAILAGNKMHTGGAIIVPANGFENLKLLRLSAPLLPLLSFSERAMPELERIELRFNMLDGIFGMESLSALKEVYLRLNDKDYEHMTMKIVGEMKSAVK >Et_3A_025604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31112248:31113747:-1 gene:Et_3A_025604 transcript:Et_3A_025604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGQDLHLPPGFRFHPTDEELVMHYLCRRCAGAPIAVPIIAEIDLYKFDPWQLPRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPVGSPKPVAIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRSARRKNSLRLDDWVLCRIYNKKGGLEKPPVADRKPAMFGTNNAAAAHSPPEQKPFVGYPADLAAYYDRPSDSMPRLHADSSCSEQVLSPEQLDREVQSQPKISEWERTFASDPVNPAGSMLDAVNPAGAMAGDPLLQDILMYWGKPF >Et_8A_056651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14466641:14468677:-1 gene:Et_8A_056651 transcript:Et_8A_056651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRCRRGTQHPRSVRRVVLIRPRASRHNRVVTRSIYTSIHASSVTARRTFEVLGCSPSNGVLQVSPFISRSAVFDAGGFEWSIFFNAYADADGDTIGIFLRLETRGATATAQPPRPVGQPAGVLTETTPLMELTASGDVIGRTVSRNQVNAAPGPGYLTRGGLLFECTVTVFFPNGSPASAAAAATASATQPDKAVVPPPSDLMEQLRLLHATEDGADVTYTVNGTEFRAHKIILAMRSPVFKAELYRWAKDDDKGKPQRIEVKEIKADALKALLHYIYTDTLLIPSEVEDDDNKEHGMMVQDLLVAADRYGVERLRLMCEDKLCKMLDVENVANVLAFADDHHFETLKDACIQFMMTSDRLAKVMASEGYLKLRTSNPSVLVEVLEKSIQYLKEEITPLEDTSQLAELINHALISRRLNGV >Et_5A_042347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:970441:972391:1 gene:Et_5A_042347 transcript:Et_5A_042347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSGGDRSQAPRAVMEDLINLRKSMAKLQTMLEGTPTPPSAAGRDKLIEGAMSSLSSAMSALNTNGGGDGGASSSAGGRGSGGRRRRGAAAVATGGPHRRSSSSCRRRTQSPLVKTVTAPKLEDDHAWRKYGQKHIQESHNPRCTHMADQGCKAKKQVQVSETNPWEYIISYFGQHTCRDPSTIPLIIDATTAAPSNFISFGSTVNGASTSSAHAVIPHHQAAVNPIMMLSRFGYSSLLPAQERCGSEEAISSFSPDGELSAVVGSAGKTSTITVGSAPEQWLGGGSHMPAGGIDTGSFQSSPSSHELMTGSFESFGNSILDDDMFGFDL >Et_1B_012593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33547207:33549839:1 gene:Et_1B_012593 transcript:Et_1B_012593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVHFFPATSQTPCPARLLKPSPKPSVPSPTLSTAAFHCGGTCNAWRRPLLRLRRRVRSPAAPEDAPPVGPDGGGGGGSSGGGGGGEDEEEEGEKEEKKNGLLPEWMNVTTEDAKTVLAAVAISLAFRSFVAEPRFIPSLSMFPTFDVGDRIVAEKVTYYFRKPCVNDIVIFKSPPVLQEVGYTDNDVFIKRVVAREGDVVEVHEGKLVVNGEARNEEFILEPPSYDMNPVQVPENSVFVMGDNRNNSYDSHVWGPLPAKNILGRSIFRYWPPGRIGSTAKSCLLPETKPDSIIDVKLTK >Et_5B_044907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7158426:7164955:-1 gene:Et_5B_044907 transcript:Et_5B_044907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAPNFIVEFNVINSNHRRHHAMDRISDLPDELLHSILVRLHSARAAARTSLLSRRWRRVWAELPEIFLGSNDAPPPASFVDVDAAVAAFSAPAVETFQIDMPSDCHVSARRIAPWLRFASERLVGTLDLCVNCRPMPGNFAEVEEEVELPVCVRAKEITLFLGEQCRSCLKPAAGSFAALTDLVIEKTSMEARALEALVSTQCPCLKNLVLDITLVTASDINLNGFRRVYYPCRHDQIVDLEYRRLRLLEVSGEISLSFFQMFGKVDELRLVVSIYMRHLHETEQNFVDETNKLPRCETLHITWSSYGRKLHGFAPTMLHLLRKCNGIRKLAVEYRQPGSGMSYCCPPVCGCGLPRSLETSDITLDSLEVVEIKFFYDSFSQEIESFVEHLSKCSATHLEKVVINCTSPSATAPTKEAFEKLKSMCRPNFIVELNIISGVRGIQYTLMLLRSQTENRNNEARVTQPTYYSQNGNHPDIITDPRPR >Et_8A_058107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20230684:20231408:-1 gene:Et_8A_058107 transcript:Et_8A_058107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSIVPAGWAGDLLGRRGTIVLANVLLVAGALATYICAVVESTYAALMTAWFVTRIAAACFPVSSRRCTTYLYARTHVFINAGTVLAHVSNDTFAGMPAHHGSRRAPARVPRRRGSCRVVCTSPEAPPYEADVRLEEIKQQAVVKAPENNTSGVCGRRSSSSCFRAASVRRVVACVGGLHAVLFFHHASGIVAVVLYSPVVFRKASMA >Et_4B_039884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5073731:5075199:1 gene:Et_4B_039884 transcript:Et_4B_039884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEAALRMYFIPFPTPGHALPMSDLARLFASRGADVTLVLTRANAARLGGPVARAAAAGHRISIHALTLPAEAAGLTGGHESADDLPNRELAGPFAVAVDLLAPLFADLLRRQPADAVVFDGVLPWAATAAPELGVPRYAFTGTGCFALSVQRSLLLHSPQSGAASDAEPFLVPGLPDAVRLTRSRLAEATLPGAHSREFLNRMFDVERATAGWVVNSFAGLERRYVDHYERETGKPVFAVGPVCLINGDGEDVTERGRGEEAGAKAEAARVLAWLDAKPPRSVVYVCFGSLTRFPHEQVAELGAGLADSGANFVWVVGNKDVPPLEDVDRGLLVRGWAPQVAVLRHAAVGAFVTHCGWGAVTEAAAAGVPVLTWPVFAEQFYNEALVVGLAGTGAAMGAERGYVWGGEAMGGGWWEAVAERVRAAMADEALRRRAGEVGESARRAVQAGGSSYEAAGALMDDVRRRRRQREESGGARTARAGII >Et_4A_035828.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:6913630:6914670:-1 gene:Et_4A_035828 transcript:Et_4A_035828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLISCIVVCLSVAFLLPSHAAASAPAGTLERVTKQQILASIPPHWDENPVLFLTSPSGKYAAYFTRTQTAPGAGGLGADFCYVEVLDTTSPGETGLSVWESECMAVSTVNTCALVFSWNGLEVFDGSTSVWHTHDARSDSHNFLQALQLVDQGDMRILDKGGELAWKASDEPRAAQRCGMPGSPGLASALPPFAEPIGHGSSDLPFGVGGGNGGVGVGGVAQPGLPLAPSSPLEADQYGAAGGVAPQPDLPLAPSPLDAHQYGAGSVAPLPELPLAPSFPQEAGPFGGAVAGQGQAVENVGQTFGFGNQPLVDNSPYDSGALKHGCSFAGLAVALGLSVAIAMGL >Et_4A_034819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6886831:6887698:-1 gene:Et_4A_034819 transcript:Et_4A_034819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILTRQLLLGVCAIMTAMGVANAAHGEAQPVVVGLAKCADCTRKNINAEAAFSGLQVAIKCKNSHGEYESKAVAPVDKSGAFRVPLAADLVGEDGELKQDCFAQLHGGASRAPCPGQEPSKIVAAPPSHAGQLKKTFVALAGKAHRRSSPECASAFLCDPFVHHHIHLPVHPVVVPVPTHDDHGHSLPPVTTVPVHAPSSTPVYTPPKPTPIYHPPAQRDAVNDPQMFKKLFPFFKKNPFFFKLPFFPPAAHESKH >Et_5B_043373.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:9925979:9926290:-1 gene:Et_5B_043373 transcript:Et_5B_043373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRIGSAASLTTCSSTSSNASDVPLKPRAPASSLTGGATSGRSFVSSHSNAVGPDAIEVALARVRSELRYLKICVPAVSHPLGPCISSLQRSSSSGLTAGLL >Et_7B_055903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7175212:7175947:1 gene:Et_7B_055903 transcript:Et_7B_055903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPSSPEAWGAREARTTARCLRAPAKRGRASASRVTPLRLAARQRPPQLHPPDAHVGREARGGAAPLLRPPSTFVAAAALIWTCSFRCRPSFPVDDEDEDVFLFFFADVRGRLDPPAGADYFGACLSGCLSRLPARELHSEHALAAAASAVQGAVRDMVEDPVAGWDFVKIASGVPRDRFFNVSGSSSFRAPTSTDGAGEVELVRSRDGEGVQGTVALLERARMDAFYSEFLQRIAQ >Et_9B_063667.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17608024:17613442:1 gene:Et_9B_063667 transcript:Et_9B_063667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGEAVLSAFMQVLFEKVISAAIGELKFPQGVTEELQKLSSSLSTIQAHVEDAEERQLKDKASHNWLTKLKDVAYQMDDLLDEHAAEALRSKLEGPTNHDHLKKVMSCFCCFGFTNCLYNKKIVHQIREIEEKLDRLIKERQIIGPSMIIGTDRQEIKERAKTSSLIDDSSVLRRDEDKEIIVEMLMTPNNKNHPNLSIISIVGMGGLGKTTLTQLVYNDARVKEYFRIRAWLSVSKNFDEMKLTKETIESVASGFSSATTNMNLLQEDLSKKLQGKRFLLVLDDVWNEDPEKWDRYRCALLTGAKGSKILVTTRNENVGKLMGNLNPYHLKQLSDKDCWQLFRNHAFVDGDSNAHPKLEMIGKEIVKKLKGLSLAAKAIGSLLCAKETEDDWKNILKSEMWELPSDKNNILPSLRLSYSHLPAILKQCFEFCSVFPKDYVFEKRRLVQIWMALGFIQPQGRRRVEEIGSGYFDELLSKSFFQHYKSGYVMHDAMHDLVQCVSTDECLRLDDPPHRSSSARNARHLSFSCDNRSWTQFEMFLGYKRAHTLLILNGYKSGTSLIPGDLFLKLKYLHVLDLNRRDITMLPDTIGNLKMLRYLNLSGTEITVLPSSIGKLFNLQTLKLQNYHVLDYLPKNITNLVNLKCLEARTELITDIAGIGNLSSLQQLEEFVVRKDKRYIISELKAMKGITGHISIFKLEKVASAGEANEALLSEKACINTLDLVWSNGRSLNSEEAAQETEILRHLQPHHELSELSVKAFAGFYFPKWLSSLAHLQTIHLSDCPNCLILPALGALPLLKFLDTGGFRAITEINKEFSGTNEIKGFPSLKELVFEDMPNLRRWAATQDPQLLPSLTELVVIDCPQLTEFPFLPSTLVKLKFLKQALLFY >Et_4A_031863.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24737270:24738832:-1 gene:Et_4A_031863 transcript:Et_4A_031863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQCDGEVVKAPGNLVISTYVTCPDITLTVTPDLKLANDGVLLHIDLAKGKRRLGGSALAQAFGQIGNDCPDVDDVSYLKKVFEAIQELLSERLISAGHDISDGGLIVCVLEMAFAGNCGMKLEMNLEARNLPEALFAEELGLVIEVHSKYLDVVKQRLEAAGVSANVIGEVTTSPEIEVVIDGQVHLKEKMSDLRDLWEETSFQLEELQRLKSCVKLEKGLKRRTSPSWSLSFTPKFTDEKLLIASSKPKVAIIREEGSNGDREMAAAFYAAGFEPWDITMSDLLAGKSSLEEFRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQDFYYRPDTFGLGVCNGCQLMALLGWVPVSDVGGSLGSGGDMSQPRFIHNESGRFECRFTSVAIGDSPAIMFKGMEGSTLGVWSAHGEGRAFFPDDNVLATVLKSNLAPIRYCDDANNITETYPFNPNGSPLGIAALCSPDGRHLAMMPHPERCFMMWQYPWYPKDWQVEKSDPSPWLRMFQNAREWCS >Et_4A_035148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:175911:177812:1 gene:Et_4A_035148 transcript:Et_4A_035148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSTNSHAKWQDTVGIVAKLSDEFTYNPRQWPRDVLHSDFLNLSNFFNAQSSTVRVPDLDPKYKIAILASKQDHCLFDLLYRWQEGRLPLEINCVISSLTQLPSCARSNHDRPPDNHVRRFLHRHGIPYHYLPTTPGNKREQEILELIQATDFVVLARYMQILSESFLKAYGKDIINIHHGLLPSFKGGHPSRQAFNAGVKLIGATSHFVTPELDAGPIIEQMVERVSHRDTLQSFVVKSENLEKQCLAEAIKSYCELRVLPYELKKTVFFPASVKLPNKKIVHVYCMYLILHEEALYKTVDHESFMKLFFSAKLEGKSFLQRMKLVA >Et_2B_021321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28614962:28617361:-1 gene:Et_2B_021321 transcript:Et_2B_021321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQERKFLASITREAEKTALLNLESDWGKPRALNWSSSTYTDQCSWRGIICTDGFVTSISLAGCELNKPIPPALCSFRNLVYIHLSRNHIPGSFPTTLFNCSILPYLDLSYNAFDGILPSNIHQLSRNLAYLNLASNRLFGNIPSTISRLYGLKFLYLHRNNFDGSYPPELGHLSELQVCP >Et_7A_051998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3551699:3553044:1 gene:Et_7A_051998 transcript:Et_7A_051998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLGRRVGSYICSELCGTVMNQRRYYSSRVDWKQLRPMILKRIKSRSKEYPIKRMIPVAEEVVRAREILTEGVSTLLKFVPVHSCKFCPEVHIGATAHQMKTCHGFKRMIKNQPHKWGPGNLNDILVPVWAYHLKNMFQDEIKHDQRFDFTRVPAVLELCHQAGADIPDEVLYKSEQLSTAVEGNNQQPAHFLPEELRYVGQRTVEAWESLRLGVTKLLLVYPSKVCEHCSEVHIGPSGHKARMCGVFKFEGWKGMHKWKKAEVDDLVPPKIVWHQRPHDPPVLVDGGRDYYGHAPAVMELCMQVGARVPPKYHCMMKAHGLAPPVQ >Et_2A_018619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35197623:35205399:1 gene:Et_2A_018619 transcript:Et_2A_018619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQWQPTAPAAGYMDHDQASSGVQKPPHYHGGALPSIAPDLRQAMETSHEPDNALSPEAGSPELSPRACTAEVTDQLKDLSVQDESSASQEIVQAFSVSNNSYKFPHRPGSGSIGTRCLVKANHFFAELPDKDLHQYDVSITPEVTSRIASRSVMEELVRLHKASYLGGRLPAYDGRKSLYTAGPLPFASKEFHISLLEEDDGSGVERRQKTFKVVIKFAARADLHRLEQFIAGRQAEAPQEALQVLDIVLRELPTARYAPFGRSFFSPDLGRRRSLGEGLESWRGFYQSIRPTQMGLSLNIDMSATAFFEPLPVMDFVAQLLNTDVHSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRIAGLTTQATRELNFPVDQGGTMKSVVQYFQETYGFAIQHTYLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNQSQIRALLEETCQRPHDRECDIVQMVNHNSYHEDPYAKEFGIKISERLASVEARVLPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGKVRSWLCVNFARNVQESVANGFCRELARMCQASGMEFALEPVLPPMYAHPDQVERALKARFHDSMNVLGPQRKELDLLIGILPDNNGSLYGDLKRVCEIDLGLVSQCCCAKQVFKMNKQILANLALKINVKLVNPAHCFSKVGGRNTVLLDAVSRRIPLVTDKPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQSHRQELIEDLYKVTHDPQRGTICGGMIRDGVSEGQFYQVLLHELDAIRKACASLEANYQPQVTFVVVQKRHHTRLFAHNHNDQSSVDRSGNILPVPPAYYAHLAAFRARFYMEPDSSGDSGSMVSGRGGAQSSTSTSRSTRAAFAGAVRPLPALKDSVKKVMFYC >Et_5A_040565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:57380:58975:-1 gene:Et_5A_040565 transcript:Et_5A_040565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIISGTAANPPLLKWGSSNNSTPGWSRLCSSSSSSSSSSVSASASGATTTPPKAETKKEKDLVFVAGATGRVGSRAVRELIKLGFRVRAAVRDARRASSLVQSVQKLKLDGAAASSPAEKLEIVECDLENQPQDGIVSAIGNAALVVCSIGASEKEIFDVTGPYRIDYLATNDLVQAATAANVEHFILVTSLGTNKIGFPAFLLNLFWGVLYWKRRAEEALISSGIPYTIIRPGGMERPTDAFKETHNLVVAPEDTYVGGLVSNLQVAELIGFMAKNRKAAYCKVVEVIAETSAPLLPMDQLLSAIPSKRVGSVVVESLTDQEEEVLVDSTIKSEPGPATPEIGGGDATKTERPLSPYTA >Et_1A_007835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38704673:38709357:1 gene:Et_1A_007835 transcript:Et_1A_007835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHRNTHHITGFQRMYKVDLEAGKVTTRGGVGLSLGGRAVFLARWHALSVSPQVFPSINANTIYPGLSLSERGGSEQIGAYHIRDGSTVSFGYDSQNALSHPLSVADCLAAYRERLAAAGQLRARIMGKLRSASQAPIRSRSSRHAKSARAAARRGGGSGNTRDWADLGDGPAGLIAERVLADDVAAYILFRAVCRPWRRCCDDPRTRGGGVLEDPRLRPRQWIMLLGAGENLAARSAPHRSRRQFLNVSTGQCIQVDVPELLDHGVFRSTAAEGLLFLHCKSTGAIRLLNPLTRQKAELPPAATDLRGFNESYIGSHWDTCAGLADDHTVFLYSSGDMAFAKPGGDRWVRLESNSHLLMPNVFFAGRFYGITRHAIVTVDMNRGDDLPPQMVVTARLDMPLDGTLDRTAHLVDNGGKLMLVHRTTRRGPGYQRSYRMYRVDLEAGTVTTRGGVGLSLGGRAIFLSRWHAISVSPKAFPSVDANTIYPAFSLNERGGSEQIAAYHIRDGRTESFGYDNPYALSQPWSIADCLAVYRSSRIKGKRDSAARRRAVECTHVMGNRRSASQAPTFSRSSRYAKSARAAARRGSTTDWADLGDGPTGLIAERVLADDVAGYTLFRAVCRSWRRCCDDPLTRGGGVLEDPRFRPRQWIMLLGEKKNLDVAGAPHRCRRQFLNVSTGKSIQVEVPELQDHGVIRSTTAEGLLFLHCEATGAVRLLNPLTRQTAELPPDTAPRKIYPRYTSAGLADDNTTFLHSFGGMSFFAKPGDERWVLLENKNNTHMPMPNVFFAGRLYGITNSAIMTVDMNRGQVVVVAKLDNRFGGHGRVDKSVHLVDNGGNLVLVHRTTSRSWGQRAYKVYSVDLAAGNVTIRGGVGLSLGSRAIFLARWHSISVSPQAFPSIEANTVYPGLSLSERGGSEQIGSYRIRDGTTKSFGYHSQNPLPHPWSIADCLAAY >Et_6A_048014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3441498:3447407:-1 gene:Et_6A_048014 transcript:Et_6A_048014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSPVNRVARRAVKGPLEKAGLTGLAAVAAVAAAALLLLVRAASLRCSAAFGSALAAAPRKLWTGGVSIAAEASSSAAAAAAEAGEECDLFDGKWVWDVSGAPYAQGVRRLSFEDGPGSPPRRNGLLFPARPTNSRKLRLFPARFARSPGVFFVRAAGDPGRDFFPATCLAVLSDPSDPLLTNWTKYEGNPVLYPPPAIGPKDFRDLTTAWYDPSDKTWRIVIGSKENSNHAGFAFEYNTEPKQGRIRIASERQQNQNGLGRRKFRVTRPWPATWSSDPKGKVAVDSLATRKRKGGAGENKFFFDYCRSTCLTLTCGPKFESFFYKTTGSSHCYTDVWT >Et_1A_009222.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:34024621:34025031:-1 gene:Et_1A_009222 transcript:Et_1A_009222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSASGASSTRRGVGPASSSGRRCICGGSGVGGGGCGLGLARLVGRLRRQGRRALCAPSAAAARRRGCQCQYDPLSYARNFDLGGDDDGAASSYYSYSFSSRFVMAPSSSSSSTSAVASAPSVLSSRPVVVTSH >Et_8A_058240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3221891:3223428:-1 gene:Et_8A_058240 transcript:Et_8A_058240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTAEEGSFSSEEDAESASPLLAAPTPASSPHRPLLLNPAYARCKSVIHDELRNFRVFLQWCALDHTTRGARAASYAAFLALALLVPAAVSLSLRAGDASLSPSSASAITFNRVAQLPATGLAAISFLTLAAFFRRCGGLRQLLFLDGGLRDDTAYVRRGYSRELDRAFRLLAALLLPSLCAEAAHKAALFFCTVRVEPPLPALLPAARVPWRAVALVATLASWVYRTGVFLLVCVLFRLTCELQILRFEGIYHMFDVEARAAAAEIYAEHRRIRTQLLATSHRYRAFIICCLVTITVSQLGALLVALSSRDAKSFSCRTLFAPLRLHAEPARRDAKRACLSRARLVGSAVQLSGFFMCLFGAARITHRAQRIVSIASQWHMNMVCVHHIKPSPASTSASDSDHVYGSSTTAPASLQDEHGAECSYQSRQALVTYLCHNGGGITLFGFTLDRGLLHTIFVFEMTLVLWILSKVVVLS >Et_10A_001601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5839228:5846520:1 gene:Et_10A_001601 transcript:Et_10A_001601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKDSSATTPSLWLGRLQHHTVAAAGLLRRLTNAASKGSLGHHVLAAPRTSPTPRRHRCKNLSDLSNTTPSPLQGPLRHRNCRRGKIHGPLHHSHHLKDCSATMPTKVAVKEPCPEPPLHPYEALSLQQCMRNAARLQQLGIPNLVNSLANSRSTTADKNKRNHRSSEASDDEYDPSHDDTIEEDLFADHRPKGLKDPKKTCKKSNKKTVHMPPGGIRPRSKRVFAEQQTTRTTRQKTSIAPSNSSEVNLTPQDTHLDENTHMDNGGDVVAQSDGLNSMANEDDGLVQLDDDMANGAEHGGDIHMANQENKISAPTEGEQPISATQVVAEVLAEKTKKSQFLQNVGFKLPVLDPVQKTLKHNLKQRRGKRMSWQDKCSQSKSRRQNKEELDTERRCQKSKLIWKQNLSFCSLRCNRLRVWRFTSSGGTRLHSTIQVKVMATLLGIMEIRLLLHLSSVPFSIDEGLVSDLVATTVGSTK >Et_3B_028716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18368137:18392813:1 gene:Et_3B_028716 transcript:Et_3B_028716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALEAEIERMMAAERLLRPKHKAAAAHDSEAATAARRAVTRARSRKARRGAAASDAAETHISSLGEDIILEIFLRLPSLATLIRAACTCSAWCRAVASSPTFRSRFRALHPAPLLGLFADTVRSGLPVFIRTHSRDKDVLAALRGGDFNFTSLHEVDEDVSVPVQWMISDSWNGYLFLLNQKMVLDGFKFKWSSDSRLLAAVNPLARQCQDRAYIVFPCEDMEAGYRSYRFVTNVVSLSSDEDSLSVQLVCLCYDESRVRAAVHSSNTRDWRVLPWVAVAERRPLQDDDDNFWLGSLTQGSGKIYCPFSNNEHMLALDTATMEFAVSELPPCLKEAEDRGLMGKPRMATFCIAYDTGRGIGILMRGVDEKWVRHDVPFHNEEDADVLNDNGNLDFLAIRDGFVYLATTDMVVSLYLETMELDMLFPKTLNGRFNSPCPYFMAWPPSLVGDYGRGTQPMAPIAGSHDRRPATPEEASAEGSPSVGDDLLREILLRLPSLATLVRAACTCPAWRRALASSPAFRRRFRALHPAPLLGLFADNEYSDIDRFRLPAFAPAGDIRGGDFRLAALDAQERDEGEIEPPRQWIITECRDGFVVLVNWDAAVLAAVNPVSPRRLNYIPLPYVQHYEDIVDGRRGYAKGLRDVHWLSSDDDPMSSFRLVWLCLDESRVRAAVFSSATWDWRVLPSWVEVATTPQEKDDEWLLPGTQANGFLCWRVRKEERVLTLDTNTMEFSVCELSQQGVFDLDGWQHGSHGVIIGETKNGGELCIVRHTAFSIDVLTRQADEEGVEKWLMHHGVLNWDEDILIKNCGRQDSLAIMDGFLFLVAGDVVLSRCLETMRMTKLITTPSDHHFRFARLYPYFMAWPPSLVGNYGRRQRQARQAATSTHISSLPDDILLQIFLRLPSLATLIRAACTCPAWRRAVASSPAFRNRFRSLHPAPLLGVFTGAAHSGLPVFAAAHRRVDHDVLAAIRGGDFPLTSLMEERDDGVPLEWRVLDCRDGYLLIQNLNTGQLATVNPLARQGPDYIDDPFYTEGDLEHHYGRFAWRDVHMICCEEDPMSFLLVWLCREAFRVRAIVFSSDTWGRSFLPWVEVAVRSVPHVHDDDDEGQWLQTGSQSNGVVGWRLKGQDRMLALDTKTGSSPFGSFRVATRQTLGRLSTSSSARPRMARRALFVSPHTALISGYPRSMTMKWVLHSHLPYGNEIAQRNFFGITAPDVVAVRDGFVYLATQNMVLSLCLETMELEMIFPRTLSGRIWPYFMAWPPSLVGNHGSFAELHRRHGNSSTVIPQQRNSKLNMDDLTCEDILVLQIFLPLPFLVTHVPTACTCRAAAATHISSLGDDLPSLATLVRAACTCPSWRGAVASSPVFRRRFREMHRAPLLGLFADNEHIGGSRLPSFAPADDSRGDADVLAALHGGDFGLASLDAQERDQGLNEPRRWLVSECRDGYVLLVNWDASLLAVVNPMSPRRMDYIPLPYDDMADGQRHSGYVKGLRDVHWLSSSDDGDPMSFRLVWLCIDESRVWAAVFSSATWSWSILPWKEVPAMTPPDDDADKDWLQPGTQANGLLGWRIRKENRVLILDTNTMVFSGWNLPRPSSGLLDALGLPHDSHSMIIGDTKNGDLCIVRDTVFGIDVFKRRAAADGPEKWLSQRVALPLNEHIENYQREDLLAIKDGFLYLVDGDKVVWSFCLETTQMEKLLTTPSDRQFSNVAPVHGRRMPTPEEAPAAARQAATVTHISSLPDDIMLQIFLRLPSLATLVRAACTCSAWRRAVASSPAFRRRFRALHPAPLLGVFAGAEHSGLPAFAAAHRRFDRDVLAALRGGDFVLTSLMEECDYHVPREWRVLDCRDGYLLIQNLITDQLATVNPMARQGPDYIDAPSESDISVEHRGTVFSLGPHLLSSDEDPMSFRLVWIFHDAYRVRAAVFSPDTGDWHVLPWTEVAARMPPHEEEEEEEDDDRAEWLQHGTRANNGLVHLPFRYLDLVLTLDTKTMEFSVWELPAAPLLDALDGVYLPRSLVVGETKDGTPCIVRASGFSITVWMRRGGAENCEFEDVLPYKDEPELLGDVGTLDVMAVMDGFVYMATTESILSLCLKTWNLEKLVPCTYGGGIYPYFMAWPPSLVGNYGSFANCNDILVKIFLLLPSLATLIRAACACRAWRRAIASFPNFRRHFRALHPSPPLLGLFFDSFVRGDDPCVPTFSPVRPRDRDLAAAICGGDFFLTSLPRAPRQIGPL >Et_2B_022587.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2690909:2692626:-1 gene:Et_2B_022587 transcript:Et_2B_022587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTTSLLALICLLCYRVISCYYRLEANAVVPTEWPVLGHLVALIANIHRFHDWVTIGLSALGLNSEFRGGLTGVRYFATCDPANVRHIFTSNVGNYPKGDSFTEIFDVLGGGIVNADGDSWRRQRAKIQMVLTGPRFRAFAARCSRDKVEKSLLPFLAGMASAGRPCDLQDVFLRLTFDMACCVVCGVDPGCLAVDLPEVPFARAMDDASETCFIRHVLPAPWWKLMRRMGVGPERKMAAARKTIDGFVAEVISQRRRADDSKLNDDSAADDLLSSFLCHENASSDEFLRDTAVTLLLAGRDTTATALTWFFYLLSENPRVEQRLLHELSLVIASRENNTAANGFVTFDASEVGNLVYLHAALCECLRLYPPVPFEHKSAVADDVLPSGREVKAGETILVFNYCMGRMERVWGEDCMEFKPERWITEDGKKLRYEPSYKFIAFNAGPRTCLGKELAFVQMKAAAAAVLWNFAVEAVPGHVVEPKLSIILHMKNGLAVRVVGRRNAVKACS >Et_3B_030891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8357718:8360676:-1 gene:Et_3B_030891 transcript:Et_3B_030891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATESRGSEDKVYRSASSPSSLLPGRIRLRWGNRCVLVWIREIWESINVLGALEWWAAPHANEEKRWVLSDFEVGKPLGRGKFGHVYLAREKRSNQIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQTRVYLILEYAAKGELYKELTRCRHFNEKRSATGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVEKTEHDYHVDIWSLGILCYEFLYGVPPFEAKEHSETYRRIVNVDLKFPLKPLVSPAAKDLIAQMLVKNSANRLPLHKVLEHPWVVQNADPSGVYRG >Et_6A_046828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21059223:21063979:1 gene:Et_6A_046828 transcript:Et_6A_046828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVACLFTAAVSPPLPSTSAPTSTSCLHSRRCASTLLRCSSPRRRRLHGCAAWALDERLLEAALVETEVEETPGYSEDGDEEADVDEVGMPVEEEEEEQRPPAKAFVKSRRQRQEEEDEAAAGHDRFKIINGKEIFQEKAYLVGVECKKTGGNLFGIEESLKELEQLADTAGLVVVGSTYQKLSNPNPRTYIGSGKVSEIRSAIQALDVETVIFDDELSPGQLRNIEKSFGGNVRVCDRTALILDIFNQRAATHEAALQVTLAQMEYQLPRLTKLWTHLERQSGGQVKGMGEKQIEVDKRILRTQISTMKKELESVRKHRKLYRNRRQSVPIPVVSLVGYTNAGKSTLLNRLTGADVLAEDKLFATLDPTTRRVLMKNGTEFLLTDTVGFIQKLPTMLVAAFRATLEEIAESSIIVHLVDISHPLAQQQIEAVDKVLKELDIESIPKLVVWNKIDNTDEPLRVKEEAEKEGIICISAMNGDGLEEFCNTIQAKLKDSLVPIEAFVPYDKGDLLNDIHKVGMVEKTEYKENGTFVKAHVPLPLARLLTPLRQQVVAAL >Et_10B_002744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:10374902:10375223:1 gene:Et_10B_002744 transcript:Et_10B_002744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DGNEVFFRIKRSTQLKKLMNAYCDRQSVDVKAIAFLFDGRRLNSEQTPDQLEMEDGDEIDAMLHQTGGFVQAV >Et_5B_045765.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8998607:8999207:1 gene:Et_5B_045765 transcript:Et_5B_045765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTAPGVSVSTIAATATATECHMLKIDGLKRLRIMHPTGARLESCAFEAAGHTWRIRCYPNGAHEHAGFISLHLVLADAAAVAGDIHVEVEFSLVRQPGAWGALWPAHSRSKTFVFNKHALPFPHGFPSASPGFFRDDGFAVRCDITVIGKAAEKEPVVQARDLERLGVVCHCKDEMCKRHHSGAAMGVM >Et_4A_033785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26943578:26946727:1 gene:Et_4A_033785 transcript:Et_4A_033785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTLDSASARSTCPSSPTPLIPENKSRGCLPPSSASRRGPINSRWPGLPCPWSLNQVTAFDVRSRSLAVAPPQPEFRGGPVLIPVGDDRLFILSAFSFQVLNDVQSSSPFWEELPVPPLEEDHYLASSAVHPDGRTIVVSVKSTRGCGRDASTPATYAFDTAAPRWTKHGDWALPFTGRAHFDADLDAWVGLTGDTDFNRHICVCDVVVPSAASKRTSDGACLVPRGGGKFCLVESVDVGVEGRRALSGNFKLRLVTFSLEYDKNGDLTTRNTRRVRSYRCPEAGYALLYPAAFRFIDANKTAEQHLYLLVDDWAWGYSVRKIDLSIADSSDPGEEVAKPQRLPPAIFRFEAQRYRPNTTAVGTKIITMSGPVTAFDVRSRALAVLPPPKFPAGHVFIPVLNDLQSSSSPFWEELPVPPLEANHYFSSYVVHPDGRPIFVSVRPTCVHDRDAIQATYAFDTAAPRRSTAGWTKQGDWALPFKNMECFRHVCACDVVTSSSSGDVGGREQQRPCCPSWKMLSDAEAPFSAEPNEEHLGACLVSRGGRMGEFCLVQAVDDDVQGRSRGSMGISGSFKVRVVTFSLEYDKNGDLTTGGTRRVRCYKPPKTAWYFHMETLGVLVVRAAILVAFGLWTVKLVELTY >Et_2B_021286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28220724:28222025:-1 gene:Et_2B_021286 transcript:Et_2B_021286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIYMSQLSTTLPLMEGDHHQDHHHQGHFHGFTLPKDPTLLFPFVISNSSTSDSSLGYGSPDHQMMRQHQAMLEPQHMIGGSSAASVFATPFPTVESIRDDMIEPSSYDPYDMGKLQVGRSLEIGGNWTPPAKMRITRKASADPGAAGMAVKKPRRRAQAYEDMGSQPNLGVIRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMMASAGVVSADSIKAATATPSDAMAVHPKVNKKEKRADVDRSLPFKKRCKVVQDHVDTVAAPPVAAADVEVADAGLSRDLVDNIGLISWSRPSPAPMTPATVCNSFRPSPAALPVQQDEITDAAMLLMTLSCGLVRS >Et_10B_002845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12388248:12389625:1 gene:Et_10B_002845 transcript:Et_10B_002845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NFIYATRTLLFLRSDGTLTPVAIELSLPDPEHVRGIYTPTPGSGIEAWIWHLAKAYVKVNDHLWHQGVSHWLNTHAVMEPFVIATNRQLSVTHPVNKLLLPHYRETMNINAVMRQKVLGADGVFEMTVFPRKYALKITSKVYASWNFNEQALPDDLIKRGMAKEGPSSPYWVRLMIEDYPYASDGLAIWNAIERWVSEYLALYYRNDGALQADVELQAWWKEVREVGHGDLKDEPWWPEMQAIPELVKACATIIWIASARHAAVNFGQYPYCGYYPNRPSVSRQPMPVPGTTEYEELERDPEKVFLRTITCPFQAIVGVTLLAILSSHTTDEVYLGQHGTLEWTSDVRAQEAFKRFGERLTSIEDRVVAMNKDPLLKNRNALGFPYMLLYPKTSNKTAGDAAGVTAKGIPNSISA >Et_4B_036493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26861630:26862113:1 gene:Et_4B_036493 transcript:Et_4B_036493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVTGCGFFGNAATNGMCSKCYRAATDQDTVFTSAAEKKLRSVSMTSVFEEARAAPPAKKAKTSLVGSAAANRCAACRKKVGLTGFRCCCGGTFCGVHRYAEVHDCGFDYKRAGREQIAKNNPRVVAAKIAKI >Et_2A_014809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16308547:16309611:-1 gene:Et_2A_014809 transcript:Et_2A_014809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPETANDANSAPAPASSDGNAYTSFQGLLALARITGSNSDETRGACKKCGRVGHLTFQCRNFLSVKDLDLDDANAAAAAQAAAQAKFDEIKKKAAGNADEDSEEEEEEEDSDSSDSDVDPELERIIAERERSRNGSRDKKKRHSKSKRSELSDESSDSDSDRKRHRKSRKDRKKRRSHRRSDDSSDNEDVSGGEERKRRRHRGRRHHRRGASDSDGSESADDKKRSSKRRKHRRLESSGSDQEERHGHRGAKRSGEKHRS >Et_7A_053106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6749702:6750979:1 gene:Et_7A_053106 transcript:Et_7A_053106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDREASSSSSRLDAAPLLLPHHGGAGAHRLSSQPKTFANVFIAVVGSGVLGLPYTFSRTGWAAGSITLLAVAALTFHCMMLLVAARRRLADEHPKIASFGDLGHAVYGAAGRHAVDAMLVLSQASFCVGYLLFIANTLAHLYPAVSGAASSSSPLLTAKALFIWGMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGQDAAIWLAERPPVFAFAGPAEILYGVGVAVYAFEGIGMVLPLEAEAADKRRFGGTLALSMAFIAVMYGLFGAMGYLAVCVVLGFVLPAAFHLKVFGAEIGLVGLVADVAVITIGIVLAVSGTWTSLVQIFSSSNV >Et_2A_015773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17716205:17717756:-1 gene:Et_2A_015773 transcript:Et_2A_015773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEDCDRCCTFLEILLAIVLPPLGVYLRFGCCTVSPSSPSRFPFYVTRCPHAGLATFGDTVGLKQVIETAHDPSPKLRSIRRTPTSSM >Et_5B_044304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22444131:22446883:1 gene:Et_5B_044304 transcript:Et_5B_044304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMLLFKLSAPAAPATPDTQAPVDPTATAPVSQGQTRPAVLPSSAWRASSHVTATATAVAALAAALMVAGTLLAGGASAQSPSPSSSCTSVLVTLSPCLNYITGNETTASASCCTQLGKVVQSDPQCLCVALNADPASLGLNVNRTRALGLPDECKVKTPPLSDCKNGGAAAPTSPSARTPAGHTPASGSTGSKTTPSTSVDSGASPRGSAGLVAGFVAAAVLA >Et_4A_032950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1611058:1614621:-1 gene:Et_4A_032950 transcript:Et_4A_032950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYLDFSHASTSRKWGHKRQGDGFEAPRNSMEFTSEASHSYGVFQEDVPYSGNMRQYPKSGLNHSSAPIKKLIHEDISFKTNEIHKRPGVIARLMGMDSPPQNTATESSSHSEERRHEINSRSIKLKWSPPSMSPSCHTSMHRSKRSTLTTTIGASLCSRARRTMISRSRESTPQEEELQKFKKEFEAWQTSRRWEQSRSLEAESHLDDDSCTDIVPYRYQLHQHKGNDARNGSSKHTHANDDVHRRRSKESSTSISGSRTFSLTSADACSSKLPLSRFYHEEEEEERPSSPTRIVILKPCPELSTDDIEESSLGSPELVKKENNMEAFLEEVKKRLKIELEGRMASGDTAADRWAGADIPANPKQIARNIANQIRENVTRDMHPALVRSESTRSYRSDVQSPMMDFIGRDARRQLSDRLRNVLRREPDAQAPPFSYSHRRRASSTSFDVDPRPAKPRRDVASRKGKIRSKEEKKRAIESDVIRSPRHGSYKTSSPVDSEPASPRNLIRSLSAPVSGTMFVKLLTEEPRVMTGARLQRKQEGSGAKPLSEERKGKKDAFNIKGRVSNLRQNLGLRAKLFGKKFYHADESFPDDLPPIGMLVTAPSVLIHPGVLQENSTEVPPSPASWCSSPPDEMIRGGYPSPVSPLEASFSEHRSPLRTAAKDTSSLACEQAGNLPEQDTKTEEIAETSPVHDDDIDEIDDPTKSFVRAVLVAAGLFGRQTQNPANFSSDREAKLIPKWVLEEVESTSAPSSDGGEAAVDHRLLFDLINEVLPGAVRTATTLCTFDKWHAAALRRTPSGKKLLDTLWKSVKVSLEPPCDTTSSSSVDGLIDRDLSASPWNGVFRDDTDALNLEVEAELLDELVDETVWDVLLNVGD >Et_6A_046834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21127109:21132512:-1 gene:Et_6A_046834 transcript:Et_6A_046834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIGLVASVLGIADIIVKLAKAAQRNKEKCHLLGTHVEQIMRLLKDLDRQWTPDAVNSMLESLRAALNDAKELVESCQKTRNWSRVFKNQKKARKIDALDARISKIMEQFHVANTILILSINKERFFMNVLQKLLADACHSQKEELKSSIMSLTHIENMSSDAKRMLEWIIKELTQGDARSSSSYVRPGAGRRGGTEADVLTVEVVSLAVVIVEEAQKLREDRDEIQQLTKLVRLVSDLMQDPQYSELSRDTKTRLLVNNLKHDLDEAYTTVLNYKSHKNNMITRAFTCGVDGATRDYSARGPDDILKAAYKIEYYVHVLPASTFNRQQLSSLLVAMLDMSISIR >Et_2B_022758.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:4489570:4489893:-1 gene:Et_2B_022758 transcript:Et_2B_022758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALFEQLSTLADMALDGRGFDPARLDGVLTLFEGEARASWAAAEAEHEAVARVTEQAVEAAECRLGAVMDAAVGRYRGSSGEADALAAATAAMEMAFSATSKTHPS >Et_1B_013572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9596709:9602620:-1 gene:Et_1B_013572 transcript:Et_1B_013572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELAILCDAEVGLMIFSSTGRLYEYSSTSMKSVIDRYGKAKEEQQVAANPNSELKGGSKLETTTAQLARKSSLMGEDLSGLSAKELQSLENQLETSLRGVRSKKDQLLVEEIHELNRKASLFHQENMDLYTKINLIRQENAELYKKIYETEGPSEVNRESPTPYNFAVVENTNVPVQLGLNTLPQQDDTEPSTAPKLG >Et_2B_021426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29619311:29621104:1 gene:Et_2B_021426 transcript:Et_2B_021426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADRPLLVLHDPQEGYLVYDLLLFEIGGQGETVACLPHPVARFPLLSWCCSLAVSGGNVVCARYDWQDTLFHDTVMLVGGYKYFEKRHGSLQQFFDSEGRLVRWGPMSRGFGQPRELHDSHKNTPAMLPMRDGTVIRIDTLLFNGIYNVETLRRLPDDGNWHATPLPKPPIGRLPESPVVSELALLSAYFSMGTRVWISVERKGTFSLDTADGGGAAWRQEGTWQLPFEGRALHVPELDSVFGFNDQCLCACDIKETGEPPVMRYVWGDTFPRLSEPCVIDSYGHQLNTRPRDLPSLTYLGKGRFCICRPMSNMEPRNLGPQITYNGSSLLVVEVKRLPDGELQLAKRGKMNYIICTQHCMSTSHVKRLPGGELQLAKRGKMNYMWLPQGRECRYIGLIQPAI >Et_4B_037386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19256597:19261463:1 gene:Et_4B_037386 transcript:Et_4B_037386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGLMVLVSCSFPSPAADGFAKILLLRVVALCQGSERKPAPAMAVMPMLELADSGSSEHLEKFSHYVARQIGFQDINECPQICMLANNYLKNTKNCIDDIYGYFENVQNAEALYVQFIEELDKCILGYFAFHWDHATELISQALTMDSSKNMWRKMALEATRKQRFERVTRDLKVTRVFTTLVEEMKAIGVTGAAGDCDEASKHTDVMAPVAHSDRSPVLLLMGGGMGAGKSTVLKEILQEPFWSNAAANAVVVEADAFKETDVIYRAISSMGHHNDMLQTAELVHKSSTDAASSLLVTALNEGRDVILDGTLSWEPFVEQTIAMARAVHRQRYRMGVGYKKDADDGTVTENYWEPDDTQPPPPGTRRPYRIEVVGVVCDAYLAVARGIRRAIITRRAVRVKSQLQSHKRFAAAFQRYSQLVDGARLYSTNSMGSAQLIAWKDRNSSLLVEPREFSCLEKVSSLNENATSVHDLYPDGTTTCGSKSIWEDMVVSPSRTAIQCELREAIQSLEVANVVPVTTTYASISSSVQSTHVHAYCSSASWLVSC >Et_9B_065134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21457804:21461872:-1 gene:Et_9B_065134 transcript:Et_9B_065134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGASLGLRSSGSYGSLQQQPVCQSPAPSPSPPLAPRKPAKMSLGGAGRGLLCARICKLAGRRQRMLLVFLVAVVVAVCFFFSSLVSREEDAPPGTEMRIGFSDHVRSFVNPGWTSSGRAVAQRDSFSANGLSTASETEKVHDLLPSFPPAVVLDHHPCENFSFSPPPMDRKRTGPRPCPVCYVPVEQALALRPGEPSTSPVLQRLNYLIEENLIPKDSNGGSLFGGYPSLEERDKSYDVKDSMTVRCGFVRGNIPGLNTGFDIDEADLSEMQQCQRTVVASAIFGNYDIMQQPENISEFSKNTVCFFMFLDEETEAAIKNSSTIDHTKRIGLWRVVVVRNLPYSDARRNGKVPKLLLHRLFPNVRYSIWIDGKLKLVRDPYQVLERFLWRKNVSFAISRHYRRFDVFEEAEANKAGGKYDNASIDYQIEFYRREGLTHYSSAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIRSRVNWTADMFLDCERRDFVVQSYHRELLQQRQAALRRQPPPWPPTVHVQSRKMLPDNAAKDTGKSSATKKLPAKHRKSNSKRTHRSKVTGVKESVQL >Et_3A_026388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7582989:7584556:1 gene:Et_3A_026388 transcript:Et_3A_026388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVHPCCSEEKKLRKGLWSPEEDERLASHIARFGVSCWSSIPELAGLHRCGKSCRLRWMNYLRPDLKRGRFSQQEEDLIIAGHRSRRGSPGGRITRSKTSGTLGCKLRQKEASTAVSKDPAAASRSYHRGEEDDDRKQPSTAVSNSSAACTAVVDATQAATKACAVLADASRSWNAAAADELVTPSASSTSASTEASRRCEDDFLRAMVDDASYVLGDFYLDGSQDGLISFWEGH >Et_4B_038671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3838581:3840594:-1 gene:Et_4B_038671 transcript:Et_4B_038671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPAPFRALSPSAAAAAPNPSGARRALLYGRRSPLAGALVFLSIGAVSAAVACRTGCSFSHRRLPFLGARGLSSSRMDSASTTVPSIVVYVTVPNREAGKKLSQSIISEKLAACVNVVPGIESVYWWEGKVQTDAEELLIIKTRESLLNALTEHVKANHEYDVPEVIALPITGGNTKYLEWIKNSTRGT >Et_4A_034131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30097675:30098622:-1 gene:Et_4A_034131 transcript:Et_4A_034131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEVKDSRPSRSPSEPNLFLQWGSRKRLRCVKSRDDGSPSPSPSEVLRRAVPRATRPIDMAFRSPRRPGTLHCRKSDSLVNDYKHTIALSPDKDRYYSTRGSPFPFEGNGFDLGGVAEEKGTTALPRFFIALSNKEKEEDFLAMKGCKLPQRPKKRPKLMQKYLLMVSPGSWLSDLSHERYEVREKKSSRKRAARGLKALSMESDSE >Et_5B_044685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4720947:4723663:1 gene:Et_5B_044685 transcript:Et_5B_044685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFKFSIETRGFTSLGYVFLASAAPKIPSFPCEKMSSSSNGHYHANGAKALHKREKNQEKVQLDNNAASRACQKDRQYIEKLEAELKNCYQEIDYLQDQLNIRTVEANIMGEHIHSLELKLTELEKFPERVRVMDNELARSDSQCWLLMEEVRCKEEELQKAASQIDKLESAALDSQCEIESLKLDLATLEQRLFDSESLAQHAAEHKARIDKQLGEHELQLHETQKIIDQLVLENKQLKELLPVRAHKHSPSTAGEHLDKTLENGSHTEYERDNVVLERMANQNEESELLIEQLKEELREQKLKAKEDAEDLTQEMAELRYQITGMLEEEYKRRSCIEQAAIQHIQELEAQVTKEKRKLNGALRRLQESQELAHTQSIEIKKLKDSLERIKSAVSLGTVCKSCSCGFCAMLIELSTCSIDGSSVARSPHGNHIDVKPENQALIEWRPDETSDGDRG >Et_3A_026722.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:17152701:17154119:-1 gene:Et_3A_026722 transcript:Et_3A_026722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKQGTGLLAVSTEVKQLRPPPCEPATGFQMGVLYVCLYLIALGTGGLKSSVSGFGTDQFDERDARERAAMGLFFNRFFFFISVGTLLAVTVLVYVQDHVGRGWAYGICAGAMLAAIAVFLSGTRRYRYRRSAGSPVVHILQVLVAAARKRRLQLKHPAEAALYEDRPEHARVPRTAQFACLDRAALMAAGDDDNEVGPDGRAAPNPWKLCSVSRVEEVKMVARLMPVWATTILFWTIYAQMITFSVEQATTMDRRVGGGGFEIPAASLTVFFVGAIMLTLAVYDRVFVPLCRMSLMTSTGRQGLTNLEKIGAGLVLSVAGMAAAALCEKKRLAVAAANAGASSSPLPISVFMLTPQFLLVGAGEAFIYTGQLDFFITRSPKGMKTMSTGLFLTTLSLGFFLSSALVSLVKACTPWLADTINHSRLDYFYWLLAVLSVVNLVAYLLCAMWATPPAASQLAEQPQPATADEKC >Et_4B_036112.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24950426:24950887:1 gene:Et_4B_036112 transcript:Et_4B_036112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SHWWTARHTPGRLQQHRRNAAAPHHHRPSEQPKHRWRACGIVNRHEPFNTTIGTGDDCVSLGPGYSNIVLRGIKCDPGQCINIGSLGGKADEAGVWNVTVESSKLRDTLNGLRIKTWDRPFPSFVNGVSFSRYTDEQCQASTDYQSELLPPLG >Et_9B_063961.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:6545738:6545923:-1 gene:Et_9B_063961 transcript:Et_9B_063961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIILMCWCICTTMNEWLFNERDPTVQHCRQKFFHELSMIIHWTRPKHKDQLQEWLNSRI >Et_10A_002006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18126615:18128256:1 gene:Et_10A_002006 transcript:Et_10A_002006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANACNLQATPCSQETQHRLGLVTHTVCSRAPAMSNACNFQVIPRSALKEGIELNFRGLYLHHISRGSKPNQLPLISKDCYDAFVANNWAVKDGLGPNANMVARAQGLHIHAGNGWHNSFSLVFEDESLPLLNIRTVITIYAQVLTTKIGTWGGDGGSPQDVTEPPRRLESITICVRGTTICSDEIESIAFSYVDQAGQKRTAGPWGGVRGLVKKIELAEYEFVIEVSGTYAQVGKQTVVTSLKLVTNVKTYGPYGGDRGIYKTAFSVPVQGGSAITGFFGRSGDCLDAIGVYVHSI >Et_3A_025027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26272600:26273800:1 gene:Et_3A_025027 transcript:Et_3A_025027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAAGRAASSAGQVAKSMAGHRANRPSDFPNSIPPPARRSAAAVGGIAFQTPQSVMPQFVGQGSQGSGGVDDPASFDLLHHQVVPPIGPTSQDFIPDGTQDNVEEEVPAMPIGAGQGHAQQGVARGPQKRSKNFSVDEDLILVSAWLNVSLDASQGVD >Et_9A_061764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16180777:16184302:1 gene:Et_9A_061764 transcript:Et_9A_061764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLALLVAALLCFGGGRVAASTDFHFPAVFNFGDSNSDTGGRVAAGFESILPPYGSTFFGTPSGRFCDGRLIIDFLMEAMDMPLLNAYLDSVGEPSFRTGVNFAQAGCSITPANPTSVSPFSFGLQIKQFFAFKDKVTKLMSKGDMYRKYIPEEDYFSQGLYMFDIGQNDLAGQLYSKTEDQVAASIPTILLEFETDLKKLYDQGARKFWIHNTGPLGCLPQNIAFFGKDPSQLDSLHCVAKHNRVAKLFNLQLHALCTKLRGEFAGASITYVDIHTIKYSLIANYSRYGFENPTQACCGYGGPPLNYDSRVPCGQTVSLNGNPVTAKGCSDSTEYVNWDGIHYTEAANFHIASQILTGKYSDPPFVDQMPFVLKPRF >Et_9B_065331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3969246:3970146:1 gene:Et_9B_065331 transcript:Et_9B_065331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMAARNTTGAPALGTKKPRKPYTISRPREKWTADEHELFVHALLVFGRDWKTIEQFVGTKTATQIRSHAQKYFLKAHKHGLAAALPPPHPRRALLHQEEDTVQQLPLSPDDLRFAEVYRFVGDVFGSGAPRPVEVQLQRLHGMDPVVAETILLVLWNLEDNLLLDQQIDYMICVSLRGAGSDVCSGASLPVEAQLQRLPTE >Et_7A_051760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24217528:24218873:-1 gene:Et_7A_051760 transcript:Et_7A_051760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAETVYIILYITTVTTFSHAYHRHGRWTDITTVNCHKTTRDSNDNYSVLVPPSPVHAFGFGTDHDAAASAVMHAVSELRSAVQNSFARCVGSLLSVGALPSSAWTPTSASGLRPLHEPQMAAVDVAELYADEERRFLLFLDVGEL >Et_6A_047339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4121027:4125430:-1 gene:Et_6A_047339 transcript:Et_6A_047339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKHAPAFTPEAASATASTRHNLPALQAKMKRDPEGYAEELRQLHRHFKSSVFLFRHQAALATNPGGGGGRGEVAKELGDLALFLAHVAPLYPDDLADLPGQIGGLLEANARGLPQGLREHLVQALILMMNRKTVDLEDTICQLPEAADTDGCKKLKRSSLMELFMELQVIGDRAVKKLAFSHIVHRIRRMNQKSKNESKNRKLQNILFKYLQAEEESRAKRAFTILCDLHRRRVWFDERTTNAICDACFHPSSRIMIAAISYLLGYENAKHDDDSDASSTENEASQNPQVILSKEDVYKANHKGTAASKKKKKAKLQRVIRSMKRQQRKSSEATSLSYDSPLTYLKDPQAFAENLFSRLQKCNERFEVRMMMLKVIARTIGLHHLVLLNFYPYLQRYPHQRDVTTLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPESVAVGLNVVREICMRMPLMMNEDLLQDLVLYKKSHEKAVLIAARSLVTLFREIWPALLVKKDRGRPVGPKPRPKEFGEVTVASDCRVLKENISSEGEGLDNEPDAFDSDDEGDLPPTPITKEIIDGSFDSNNLDAHEGTEEEYDKDEGHGTSNQDSDADDDGLEDDSNSDLVGDTDISDVDIDPNEEINESINDSQDEGSDKDEDSDEEDKSKGSSNVPKRKLQDYIGQLNAADASLPAPKRLAGARKAKVSSGEIDKILSDEDFKRIKELKAKKDAKLALAQHGLRKGGDTRSATFKVPSADQLSMKRVYPAQLEAHVKVKLSKLERVAHVKAGRVDREPYVAKSFTKKKKTGGLSNKQKQHKKRMPLAATRAKAARSRLEKKQRHKRTGNQFRGRKAWK >Et_10B_003757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5579975:5583257:-1 gene:Et_10B_003757 transcript:Et_10B_003757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVALTLAVVVAVAVLGLALAEKQSQCARRGRSTPHRPHSVTITEFGAVGDGVTLNTVPFQNAVFYLRSFADKGGAQLYVPKGRWLTGSFNLTSHLTLFLETGAVIMGAQEVSQWPVVEPLPSYGQGIDLPGPRHRSLINGQSLTDVVITGNNGIIDGQGLTWWNWFRSNKLNYSRPHLVEFVDSKEIVISNLTFLNSPAWSIHPVYCSNVMVHNITIQTSLDAPLIDGIVPDSCSNVCIEDSSITVSHDAISLKSGWDNYGITFGKPTSDIHIRSVNLQSSLGAALSFGSEMSGGISDVHVDHLHIHGSTKGIFFKTAQGRGGYIRDAVISDVEMEDVGVAIAFTGDWSTHPGDHFDPTALPVISRITLKNMVGKNISVAGVLSGIIGDPFTNICLSNINISLADSTNSTSWSCSNISGYSELVFPEPCLDLHNPSNSSSCLSLPSYHAVAVA >Et_2A_016098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2133333:2137851:-1 gene:Et_2A_016098 transcript:Et_2A_016098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLEDLTRLLSELAARLSQPPAAAGRGVASTAAADTFSASISSLAGALNPSGGRAGTASSGTRVLDAALSLMCFDPLEARRSPSISCSGLLDCCRCDIFLINVSVMQVGRARVDILVRTLVSALSASVSCRVVRPDGGASDEMLCVGSSISPGDCRELVLSCGALVEKLGNYGGGSHSYDLLYAVAKSAVLSPHYRCLFPLPYYREEEESAYDMGAISEELKQHPSYQVLPSDHSIPLRGLGSVLELHSAMVSSLLDILLKPMSWGISIELGQTFPFSHAYFPSQQSDLLAILTGPLSCKSFMDLVRYIKDLVTLDKTRTNHSLQKHFQLQPSKGLGCLSETLSKEISTESISDVSLAQSAAFYLAWFLCPSNDDRCQMLANNILELSNSWARNNKKRPSYHTNIVNHRRKLRIPAAGDSEKLNGTTNPVSSLIKEFNDTCVKFCFVTSIPIEQPEGLSDFRPSCQNLLYLWVPLGVLLVSSSCVNEQSCDMLLHYTSTGKVLEANAVQRKTKDCVSNDSLSDSGRGMAERWAFSGAYLIFGWLDIVEGMLSVVFDSEDTCHSVVSQLRTKTSPYLLRCVTLLLEVLNGADQDRDFAVDLHDRLLNWNKNRQSSKAFEDSLDLVGKKNPEVRTVED >Et_1A_008138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4664624:4667533:-1 gene:Et_1A_008138 transcript:Et_1A_008138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKAAATAMGIKAADKLRCPSVAAAARSRLKWWMLRAMLTVLLWTGVLQLTAVGDSWAPRVLKGWPSCRTAREAAAAAAAVTTTRLAMPEPVVEKASLPPKRIYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKTSFWNDPSEFQDIFDVEHFITSLRDEVRVLRELPPRVKRRVESRKFHSMPPISWSNISYYHKQILPLIQKHKVLHLNRTDARLANNGLPLEIQRLRCRVNYSALKFTSQIEELGRRVIRMLRQNGPFLVLHLRYEMDMLAFSGCTEGCTPKEAEELTRMRYAYPWWKEKVINSSVKRKDGLCPLTPEEIALVLRALDIDISMQIYIAAGEIYGGKRRMASLTSAFPNVVRKETLLAPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRYMGFKKTILLDRKVIVELVDQYKSGSLRWDEFSSLIKAVHANRMGSATTRTVMPDRPKEEDYFYANPQECLRDPTMLQTS >Et_4B_036980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13068069:13068636:1 gene:Et_4B_036980 transcript:Et_4B_036980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQIIHAVDVVDGALELLIPTSSARFLRPPTRGKLAGDPLGRSEGDDGRRCGTIELMRPLKKPTGCVTAWQSGHRTERADRDAPQRPHIFWGAMRRSGGSLRASSIGVQQPAKSNEKERERRRERGASDLVVAGRSGRNGGFLSAAHRSSSHTHTRLAQAIESCGPRHHGVLRNRPLPAKL >Et_6A_046469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15634650:15636492:1 gene:Et_6A_046469 transcript:Et_6A_046469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNRRDPMEATEGMPDFCRKRVVRLDWISFLKMTAPMRRDGCRPTTMATKTLTAFSTSTLKLPMTKQTASPTSIRTKNCSSPGRGTIVVVLPSTALLVSQEREAEAAVVAVARPRTAAVAPRRTAAVVQVRQLDEALARAAVVPRQAVAAVAAAVVARQGAAAVVARQAVAAVVRKTAAFVLKVMPLTGRKMRRRKRSWMNDSSDAGTNKADWNPLNTTIFCDICIDEIRAGNTSNGHMTPRGYKNLSIKYYGATGLRHSKKQLTNRWGQLKQLYGFWQSLNSQTGLGRHNGTVAAEDKFWKEATYARPPPPPPDNLDQLLEMFHNVAVDGTTACIPGEYNGAEEEDREGGDGDGDGQGDEVSPTSTSSKRRASSYISTATSPHKKTKSPMVRVMKEMLESQKADSEATQKVLNGDIMASSMKKALQLVVDSGASRSSLEYFMATQLFDKAEHRETWFNFKTSEQRLLWLQRWCRLKN >Et_2A_014869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19545536:19546015:1 gene:Et_2A_014869 transcript:Et_2A_014869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRFSGPGVKNCRSPATTLCSQSGNLAQSSEQAWSGSHPDLVWRAAFCGLVAKVA >Et_7A_052565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9353218:9354535:-1 gene:Et_7A_052565 transcript:Et_7A_052565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLFSIIAFDDSGCPRGLGSDLTVSYHHNLYSWRLPNLRRQRGSLEDTLLEGGEVGHRSGVNLWLPSWFALSVAVSLFWSWYYWGGSNLVSSPGTWLWADLNEYIIGSILFTLVINSTWLVWLSIVDFDYKRTLFCVAIGILAFMIVSAVPVFWKAKRFYCIGVIAILLTGLSNLCRVMSLDELQYAKFAISVAAAGVIAGLLWIVKAFVIDQFRSEMKEYGFWNVLFKKNFFWKASLVLGSVFRLRDAVLLLIKKAGEKWNLQEKKEHCWRWIRRKVSGSPDDGQT >Et_8B_060744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5950893:5952249:-1 gene:Et_8B_060744 transcript:Et_8B_060744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERNDINMDKSDEILMPGFRFHPTDEELVSFYLKRKIQQKPISIELIRQLDIYKYDPWDLPKLASTGEKEWYFYCPRDRKYRNSVRPNRVTAAGFWKATGTDRPIYSSEGTKCIGLKKSLVFYKGRAARGLKTDWMMHEFRLPSPADPSLPKRPIDKNIPLNDSWTICRIFKKTTSMAQRGLLHTWGPPFPGAAEAEMLSAFQTVQASEFALESSSCSLQVAPPAHSSQFTSRHGLQGPQSNPPVEGSSCKLITFNCSSSLEPQNSPIILPFEAPTSHKAGPVSLVFGAQPDHQLNGFVVDSSADVNGGASSRSQDSSTRKPSNGFSMNSIDWEAVGRINFPFDLGTDSSEELRCNIPWESFLSPAAVPTELPH >Et_6B_050190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:9868858:9872354:1 gene:Et_6B_050190 transcript:Et_6B_050190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVASWGLTPLESADPVVHDLLEREKRRQRRGIELIASENFTSFAVMEALGSPLTNKYSEGMPGARYYGGNDVIDEIENLCRARALAAFRLDAAAWGVNVQPYSGSPANFAAYTALLNPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSATTGYIDYEKLEEKALDFRPKLIICGGSAYPRDWDYARLRAVADKVGALLLCDMAHISGLVAAQEAANPFEYCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEGAVYDYEDKINFAVFPSLQGNKVEKLCDLCHITLNKNAVFGDSSALAPGGVRIGAPAMTSRGLVEKDFEQIGEFLHQAVTICLNIQKEYGKLLKDFNKGLVNNKDIENLRAEVEKFADSFDMPGFTLESMKYKE >Et_4B_038444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29002862:29006370:1 gene:Et_4B_038444 transcript:Et_4B_038444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYHAMRVQPFESASRSPAGSSRDPGSHSRTSSPRRVSHACSASFAVCTFDSDSSTDSEPSPFLFLLWKRSYRTRPGINRTSRHPAPHIASRDIDLTMAEHDRRHAEKAFQRAEEMFLVGNIRGAQRQASTARRLCPSLALATHALDAYDVHAAAAARNWRAVLGMPPGADITHADVRKRFRRRSVLVHPDRNRSAAAAGAFRLLLQAYDAVSAGTDGPPRPWWMGPTERQQQRRPDGRQRHPPARWKEPPCKFKVIYCRYCKDEFARPCGDLEERAGMMCEDCHRWLRPPWEKKPAEPDPAATKKQAEAGAAAPTPRKAPVFPCPAQCPECGERYASNVSVGKWCLRCKACGKCSLFDHDKKQAEEACQRADELFRAGDIRGARREASAAKRLCPSLPAAASAVACYDIHAAGRRPGNWRAVLGMAPGVAVTRDSVKRHFRQMSLLALDDALLAASLSRDCEGWYAAGGDVPPRQPSPRRTREWRGQERQRKEERRGWRQEPICPYKVIHCPFCGHDFVRLCGPLLEQGGTTCRHCGRWLSPPWQKKPEPTTSTPRPEEEFPCPARCPECGTQYAAIVSVAKWCLRCKGLR >Et_10A_000465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10795966:10797644:1 gene:Et_10A_000465 transcript:Et_10A_000465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKDGKHDAAAAGAPQPAAPADAARWGTRQMGPPAAPGAHPENQAAARWTAARGDQELPPYVIMGEQPAAAARPHHRRAGEKGDSPMEHILDFFNTWSRKAEELGSNIWFNLKTAPSMSDAAMGKLSLGAKALSEGGFDKLYKQTFSSSPDEHLKKTFACYLSTATGPVAGTLYLTNMNVAFCSDRPLSFMAPSGQTAWSYYKVMIPLNKIATIEPVTMKESPPEKYIHVVTVDSHDFWFMGFVSYDKAVHNLVGAVSQRGEPATTAGQH >Et_2A_018814.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:8014384:8015115:-1 gene:Et_2A_018814 transcript:Et_2A_018814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTVVPVEAAAAATTEVPPVAAAAEPQAVREQDRLMPIANVVRVMRRVLPPPPPPPAKISDDAKDVIQECVSEFISFVTGEANERCHTEHRKTVTAEDIVWAMDRLGFDDYVAPLGAFLRRMRDIDGTGGRGQPRAPHHAPAPPASMAAFVQGVHAPVQPAVAFQNAALNVVPLQIPFGAAAHFVAQRNVLGGERSISTAAAYHGGAAFVTRGGGYADEASSSSNEDLLAAATAPRPQYPN >Et_9A_063411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23612263:23621490:-1 gene:Et_9A_063411 transcript:Et_9A_063411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGAADSASSPPGLHNRRLPAPHRRPNALAVLLPLVRAADERPLHLLALPPAPAPREDGAPLRHCGEEGSRVQHEAARGVDGGGAGGAGAPAAAPRLGGAPMAVVAVSLLPAPPLPPRLAAAGEFRTQEEQDYSHSSDVAVRGRNIAQEWVQETRSLFYRKTANKVTNNIYKGSTLLAGNVQDGLSEDRRGSNYPCLQNLRECVSSKSIVNRHGDDQELIRQRMEYQPVQSVPAPISVVNDSPKCLSMPRASKVEIPWRDYAPADNPLLDKSNTEVIMDLDDENHDGDDNKEKKRVVKKVVSPLPTKAALSEDSLKARKVLASIYDKVLVVDNIESARSIVKLLTTKYKSFIHACHTKVADIDAKKMTPVGHGKLICFSIYSANSDVQAADFGNGKTCIWVDVLDGERGVLMDFAPFFEDPSIKKDAFGAERRKAKMLNFSIAYGKTAGGLSKDWKVSFEEARDTLELWYRDRKEVKAWQKSQKKLAREECEVYTLLGRSRHFPNLTQVHPPNLTQVHPRQRGQQGHIERAAINAPVQGSAADVVMCAMLEIERNARLKELGWRLLLQVHDEVILEGPSESAEVAKAIVVDCMSKPFYGTNILKVDLAVDAKCSKSWYAAKWSEQMLMTSVQSRPHCSDKKKSVDSVQEHEFEVVTSVLTGSHVHFLAAAK >Et_2A_017707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5346024:5346553:1 gene:Et_2A_017707 transcript:Et_2A_017707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSSAALVAAAVVALLVLVPEASRAERFVVGDAARWTWGYNYTDWVIKKGPFFQNDTLVFMYDPPNATVHAHSVYMMRNAADYQSCNLKAAKLVAGVMQGAGSGFEFVLKKRKPHYFVCGERGGIHCTMGQMKFIVKPKSSACRD >Et_3B_028698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18117287:18121277:-1 gene:Et_3B_028698 transcript:Et_3B_028698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAWFPLLMKVDEEIETGSAACCRICLESSSGPGDELISPCMCKGTQQFVHRSCLDHWRSDREGIAFSHCTTCKAQFHLRSIAAIGSFAYLLDKDGNFINNFADWNNFLSKHPIPFYCCVGTYFLPQLQLSAMKIDAVKCAVLTNWLCARYSLVVFFALVGFFGCIMHCSTCPSTNNNNSSSTGSSNWNTDSTEDCFAVLVIFVIIFAILGLFFVSLFRDLAAALPYTDQEGADKGKLTFLESLICARQEYVVVDLRGCYTQPKMNPEHEQRLKMLHLIQASSWKAHDDEEECCFCHLTNWCRLAFELHHTSSAYSILVIVLGLSDALHDFCTCAHLKVEIIKPVTEPTVLDYAWRVDSAET >Et_10A_000944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19448420:19451422:1 gene:Et_10A_000944 transcript:Et_10A_000944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QPTHTCEGTQIIAGLTGSCPHLCNSQASINIGYLYGRKDRLSLRCSFHFSKARNNHSSAVAPKDYCFYQFLRDKQIVPTSDPPSSKDVDLLYQFVDNSKKLMVLTGAGISTESGIPDYRSPNGAYSTGFKPLTHQEFVRSIRARRRYWARSYAGWRRFTRAQPNSAHYALASLERSGRVHSMITQNVDRLHHRAGSNPVELHGSVYGVICLDCGTSISRQSFQEQVKNLNPKWAQAIESLEVGQPGSDKSFGMQQRPDGDIEIDEKFWEQDFDIPSCSQCGGVLKPDVVMFGDNVPQERAERAKDAARNCDALLVVGSALMTMSAFRLARLAHEANAPVAAVSIGETRADSILSLKINARCGEILPRILQMGSLVVPNIS >Et_5A_040915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14939666:14946479:1 gene:Et_5A_040915 transcript:Et_5A_040915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPMDAEQLRECGHRMVDFIADYYKSIETFPVVSQPGYLKELLPDTAPNKPDTLEDLFDDIQEKIVPGVTHWQSPNFFAYYPSNSSTAGFLGEMLSAAFNIVGFSWITSPAATELEIIVLDWFAKMLKLPNQFLSTELGGGIIQGTASEAVLVVLLAARDRTLRKHGRKSLDKLVVYASDQTHSALQKACQIAGIFPENMRIVMADCNKNYAVAPKAVIEAISADLSSGFIPFFICATVGTTSSSAVDPLPEIGQIAKAHEMWFHIDAAYAGSSCICPEYRHYLDGVEEADSFNMNAHKWFLTNFDCSLLWVKERTYLVQSLSTSPEFLKNKASEENSVVDFKDWQIPLGRRFRSLKLWMVLRLYGVENLQSYIRKHIELAKNFEELVISDSRFEVVTPRNFSLVCFRLLPPPSDKDNGHNLNYDLMDAANSSGKIFITHTVLAGMFVLRFAIGAPLTEERHVIAAWKLLQEEATKLLGSL >Et_3A_023000.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:14985024:14985864:1 gene:Et_3A_023000 transcript:Et_3A_023000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKCGRTRVARTVFDQMAGQNVVSWSSMIDAYSRHGHGKEALVLFKLMENAAPMVSPNAITFLAVLSACGHSGLVDEGRSMLHLMKSKYRIAPGPEHYGCLIDMLGRAGQIDEAWDLYCRLTANRYGRSVAICVAMFNACKANMDVVRGKKVAVRLLEIDPKNPGIHVLISNFHSAITQWSQSDESRRVIGDKGLRKEAASSHVSVG >Et_4A_034201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30756878:30759076:-1 gene:Et_4A_034201 transcript:Et_4A_034201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLRCHSRRRCFSTAPASHNLPSAGRAAEQHCLRLLERASTPAALLQSLAFLFKSGLHSNPLVLTRLFASSATAAPALLEPLVAALLNPSVPIDAFLVNTLIRAHVTSPIPSVRLRAAAFFPLMLRSAVVPNKFTFPFLLKSCAAQPGSPAVGLQAHAAALKFGFATDHYVSNTLIHMYSCFGGGFLGDARNVFDRMTKESAVTWSAMIGGYVRGGMSSDAVELFREMQASGVRPDEVTVIGVLAAAADLGALELSRWVGRFVQREGIGRSVTLCNALIDALAKCGDVDGAVAVFKGMEVRTVVSWTSVIDALAMEGRGKEAVGVFEEMKAAGVPPDDVAFIGVLTACSHAGMVDEGCRYFDSMKMEHGIVPKIEHYGCMVDMFGRAGMVERAMAFVRTMPMKPNPVIWRSLVAACRAHGRLELGESITKNLLNEYPAHEANYVMLANVYALTRRWKEKSEIRREMSKRGIKKVPGCSVVELDGEVHEFIAGDESHPQYKEIYRMVEEMARELRRIGHISATSEVLLDLDEEDKEGALQWHSEKLAIAFVLLRTPPGTRIRVVKNLRVCSDCHAAIKCISRIYKREIIVRDRSRFHRFKDGSCSCNDFCALGTISATTEELLDLDEEDKEGALQWHSEKLANAFVLLRMTPETQARVVKNLRVCSDCHKAIKICFPGL >Et_4A_032887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15008195:15010246:-1 gene:Et_4A_032887 transcript:Et_4A_032887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWACRQFANRSRVLLSQVRPIHRVVLLAGASRGHASEAIDGDHHTAKHAAASAARFHGADRTNNLLDAKKHLYVVLDDTNNGITVHKLDVDGGGLMNGSGDTSGDALERLPNPPVIRMESPSLGDNPVVAIVGSNIIGVGTGSPDPLSGYELRDGVTVAFDTKTAVLTVLRDLPVDLRDNPVEFAVAAGNMLYMLEGGGSSRYYGWSYRDEEFCPGGLHCLKVEDSVDVDDATRSKQDSVGYWYRLRSPSRCLWSDGTRSIPIYASNITGHALDPEGYAFFLSDPERHLQAKLVPMGGGGRFCLVEIVTRDRVNIEKCQHLGDGDKCVLQLTTLRVKCGDDGELIVTDLRSAGSYRLSSPNKDMDRLHGTYGVE >Et_4A_032257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26769338:26770849:-1 gene:Et_4A_032257 transcript:Et_4A_032257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVPDGGKTRRLRVFFLPFFARGHLIPLTDLACRLAAARPADVESTMVVTPANAALIEPTVSRAAAAGHAVRVLRYPFPDVGLGAGVPLHEALLRAHRPDAVVADVPFWWTTAVAAELGVPRVTSHPVGAFPQLAMNSLAAVRADIVARRDDAAPVAVPGLPGEVEVAIPVSELPDFLVRDDHLSALWDRLKASQLAGFGVVVNTFADLAYFVGPISQPSLSSVRRGGDGDVACLEWLSGKPSRSVVYVCFGSWAHFSAAQTRELALGLEASNHPFLWVEDGWERRVAGRGLVVRGWAPQLAVLAHPSAASAGVPVLTWPLVFEQFINERLVTEVARFGARVWDGGKRSARHDEAETVPAEAVARAVAGFMERGARRERMEGRARELAERARAAVAENGSSWRDIHRLVDDLVQARRASDHTRMAQENIPNNI >Et_5A_042822.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:5034104:5034946:1 gene:Et_5A_042822 transcript:Et_5A_042822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLHKPKSAPVSLYIPSTDMEAIISSGSFLDDLDGGVDSSFLVMDRNPKPEEAGCIASAQFEIVRFKRCSLSHVSNSRHTCKILPPPPYSHDTTNSCPEISSYGFAEGISQICVSMEGAGTYCLDTASYTWREVGEWTLPFRGKFEHVPELKLWFGFSENGLFAAADLSTMDAQPQLLGTWKELEPPEEWPEIQDPQLVNLGSGRFCVARFFRTRTPNAGILGDELSSRNFAVLTGVEAVVPPVHDGNAGCGNRKVKLVMHNSRYHKSSGVDDTITAVL >Et_3B_030846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:879859:884827:1 gene:Et_3B_030846 transcript:Et_3B_030846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSVVMSALGIGIGVGVGLGLASAPWAGGRSANARAGVTVERVEQELRRLITDGADCKVTFDEFPYYLSEQTRVVLTSAAYVHLKQAEISKYTRNLAPASRALLLSGPAELYQQMLAKALAHYFEAKLLLLDPTDFLIKIHSKYGAGASTESSFKRSISETTLERVSGFLGSLSILPQKEQPKGTIRRQSSMTDMKLRSSESSGNLSKLRRNASTSSDMAPLRRASSWTFDEKILVQAVYKVLHSVSKKHPIVLYIRDVERFLSKSPKMYLLFEKLLNKLEGPILVLGSRIVEMDSDEEMDDRLTILFPYNIEIKAPENENHLVSWNSQLEEDMKLVQFQDNRNHILEVLAENDLECDDLGSICLSDTMVLSKYIEEIVVSAVSYHLMNNKNPEYRNGKLVLSTKSLSHALEIFQENEMSDKDSKKLEVTGGVSKVAEKGIAPTAAKTETKPATSLPPARPAAAPTVVESKTEPEKSEKKDTPPPAAKAPEVPPDNEFEKRIRPEVIPANEIGVSFDDIGALDDIKESLHELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAQASFINVSMSTITSKWFGEDEKNVRALFTLAAKVAPTIIFVDEVDSMLGQRNRAGEHEAMRKIKNEFMTHWDGLLSRPDQRILVLAATNRPFDLDEAIIRRFERRIMVGLPSMESRELILRRLLSKEKVDEGLDFKELATITEGYSGSDLKNLCTTAAYRPVRELIQKERKKELAKMKREKGETPSDLPEKKEKEEAIILRPLNMQDLKEAKNQVAASFAAEGSIMGELRQWNELYGEGGSRKKPQLTYFL >Et_4A_033838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27190562:27196580:-1 gene:Et_4A_033838 transcript:Et_4A_033838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVGLIIFSTKGKLYEYATDHCMDKILERYERYSYAEKVLISAESETQGNWCHEYRKLKAKVETIQKCQKHLMGEELETLNLKELQQLEQQLESSLKHIRSRKSQLMLESISELQRKERSLQEENKVLQKELTEKQKAHRQQVQLEHTQQPQTSSSSSPFMMREAPPAVNVSNYPAATGVRVDGPAEQPQVRIGLPPWMLSHLSS >Et_1B_011345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20849076:20850059:1 gene:Et_1B_011345 transcript:Et_1B_011345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTSCTFLGRGPAVRLPNSSGARIPSVAPAAMASFSSQRRSRALSICCANNPKAKGEHNPKTDVHPFKISPVVLVDLVAPQGERWQLKEEPDKVTLWFEVPGHSKEDLAVEIDEDVLVIKKRTNAPAGENRNNAGGGAPGADPAAKGVVPSGDGIYARLFLPAGYSKESVEAELQSGELRVSIGKIKEQARRKINVNIDVK >Et_5B_045696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7805757:7809594:1 gene:Et_5B_045696 transcript:Et_5B_045696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAQLFTYLATVCLLAFAFAPLAAGDPLSQFCGTSGNYTANSTYQANINLLSTTLPKNASASRTLFAKGSVGSVPNIVYALALCRGDTNASACESCVAGAFQDAQQICAYNKDVTIFYDPCLLRYSNQNFLAYISGGGGEFLTLMPVRNVSASGKKYQVVVGALSGGGSALILINTLNVTAPFKVFDAAVGVLLNATADYAAAANLSRRFGTTVEGFGSFDSQNPRLYGLAQCTPDMTPTECRSCLGVLIQIAPKYFSGRQGGRILGVRCNYRYEQYSFFTGSPLLQLPEPTVGAPAPAPAAVNVAPSTTRRDSTSSEDIQNADLLIIDLPTLRATTENFDEDKKLGEGGFGEVYKGILPNGEQIAVKRLSQSSRQGIKELKTELVLVAKLRHKNLVRLVGVCLEEHEKLIVYEYMPNKSLDNFLFDPAKRNELDWPKRFMIVKGIAQGLQYLHEESQLKIVHRDLKASNVLLDCDFNPKISDFGLARLFGSDQSQYVTNRVVGTYGYMAPEYAMRGHYSIKSDVFSFGILILEIVAGRRNGGSYDSEHYDHLLSLVWEHWTMGTVVDIMDSSLSSNSSVEQMLKCIHIGLLCVQHNPADRPMMSTVNLMFSSSTMPRQAPSRPAFCFLRSEVNSDMYSRRRGNLQSTSTSLVSENEVSITELEAR >Et_3B_029633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26649106:26653667:-1 gene:Et_3B_029633 transcript:Et_3B_029633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNCPVSRDALPDSRSDGVGLVAGTQLVTGDGGCGWLLRGGGRLLVAVGLGILGDGRHSISEGCRPKLFKTKERKDAKSTDRPSCHDAAKAGPSSSKAASPSPLRTLSEVKSMRLSHFLAQSSNNTTTEPVRIFVSTWNVGGKTPTASLNLDDFLPPDDNSDIYVLGFQEIVPLNAGNVLVIEDNEPAARWLVLINQALNRPADIDASVFQHEASPSVDSSSSRASSSLDTSFSDLSKTANGSTIFQKSLLKSISKSFMPVRRKQLKACNCPVEMTKSSYRDACFGCPKAHGDETDSSEEDEEVKNKEIIQDSQGHLLDGVTSAPATRDQLKYNLIACKQMVGIFVTVWVKKELVQHIGHLRKSCMGRGILGCLGNKGCISISMTLHQTSFCFICSHLASGQKEGDEFRRNSDVLEILRLTMFSRICRRSGRKIPEKILEHDKVIWLGDLNYRIALSYTDTKNLLMENNWDALFEKDQLKIERDAGRVFKGWNEGRIYFAPTYKYCLNSDAYAAESATSKKKRRTPAWCDRILWRGDGIVQLSYYRGESRFSDHRPVCGTFIVEVEVLNRKTKRRPSNADMRIGAEELLPMDKGKGIALCLLLTCLSFNQFFFFWSRKLFEHVLHCKLLEDMMRACVS >Et_7A_050819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11213178:11217084:-1 gene:Et_7A_050819 transcript:Et_7A_050819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSPWGVGAWALDAEREEEEMEKAAAFPAPEPPAAAGGAASFPSLREAATAATGGGKKKKNKGTTLSLSEFTTYGAPQRRAAPQEPKGLTPQEIMALPRGPRERTEEELDRSRGFRSYGGGGAGGDRERRGGFDDDDRRGPRDRDLDMPSRADEADNWATNKKFTPAASDSGRRDRFGGPSPAGRSDDIDNWSRDKKPLPSRYPSLGSGGGFRDSSSFRDSPGASDSDRWVRGGGFTPMPQNGEGGERRRLVLEPPKRDPSAAPAPPAEVAWNRPSPFGAARPREAVLAEKGVDWRKVDTEIEQKKTSRPTNSHSSRPDSAHSSRPGSPGSQVSAVGSEGAPRARPKVNPFGDAKPREVVLQEKGKDWRKIDFELEHRALNRPESDEEKNVKEEIILMKVHLKETEEKISSGSDQASPEDAKNLSEKISEMEKQLELLTRELDDKIRFGQRPSSGAGRVTSFPRTSLAEESHAAVSIIDRPRSRDRPRSRGGMEPYQKPVEERWGFQGSRERDSFGGSGSSDRFVNDKTWVVKIGPWASQSVKETSGSQSLCFERMGKNKSYSFGKLFDLEDWRMEITPGEAVDLSPLYGV >Et_3A_026821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22085736:22085980:1 gene:Et_3A_026821 transcript:Et_3A_026821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVAKQIQQMVRFIRQEADEKASEISVSAEEKLLEASRSEPIVLSGRPICPTRTVISKHLGD >Et_4B_037113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14942644:14950927:-1 gene:Et_4B_037113 transcript:Et_4B_037113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMIGSLVVGEVVNRTSSYLISKHRERLSTWEAIERLEMAHIKMEAALEVSARWQAADVSLLRWRRKLRRAADECDDALHRWKLRALEEEAARERLERAPLHRRVAHAVAAFVAALLALTRGGDGEAARACAAVRRFERLADGSAEFLRCVQFGSASSRRCGGGWVGPVVRKLGSKQANHNLLQGGRSRFLAVGGSGSPVVEENWVQNKPCRGYGSPGEAGDGAREAGGRTRDLRQVAHPRRVAAPVAQECDDTLRRCKQRAVEDEERRRVVRSSSSFPRRLAHAAKSAVSSPSSGDEHGSGHPAVRRFEWFADGAAEFLRFVELGGTPRRRYMFYDPLIARLLAGDELLYRLVRGSRHRLFCVRPVSLEGSGVEEAKLIFIYEDDVEPERNMCIGSMLRLSESTDVVGIAIKCLRLLVTPHFKPTAEAAARQLADLPTQDFTWVSYADSSNAEHWNSIHRDMSQWFRPDPFHRGVLAVPDTTVRVQHAGELRCSGSTSNPTTSSKDSPHLKLGLLFTPHFRHVFGGGDPRRGAANNSTRPCYPRHSTACTIRPKQRCIRYFGNRIMHGTACFQVKKTMPRKNIQGCQTKMSLMKQYNQYPKLERWAHVLTNFLSLWVARAPERLRWSMVEWMRKANEKKLVCFDP >Et_3A_026609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10422707:10425346:1 gene:Et_3A_026609 transcript:Et_3A_026609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAEGGLAHMSGFSVFRRILRSDGIPGIFRGFGTSAVGALPGRVLALTSLEVSKEMAFKYTEHIDMSEASRIAVANGVAGLVSSVFSSSYFVPLDVICQRLMVQGLPGMPTYRGPFDVIKKVVRTEGIRGLYRGFGITMLTQSPASALWWSSYGGAQHAIWRSLGYGNDSQTKPSQSELVAVQATAGTIAGACSSIITTPIDTIKTRLQVMDNYGSGRPSVMKTTRLLLDEDGWRGFYRGFGPRFLNMSLWGTSMIVTYELIKRLSVKPE >Et_10A_000256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20351971:20352924:-1 gene:Et_10A_000256 transcript:Et_10A_000256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWTDAMVKLLITAVSYTGEDPGADLGGGRRNCSMMQKKGKWKAISKVMGERGCLVSPQQCEDKFNDLNKRYKRLTDLLGRGTTCKVVEKPELLDGMNNLSDKMKDDARKILNSKHLFYEEMCSYHNNNRISLPEDPALQRSLQLALRCKDEHDANDHSVDTDYEEENDDEHHTTHVNKGSLLMHKRMRRMVDQEDIGFGNSSSSHDYSRRSHPHSITVDTDKSFPDGTNLDIQPSEIEKQRLLIQADALELAKKRLEWEQFCKKKDMELEKMRFENEQMKLENKCLELEVRHRELELKLKGNGDPA >Et_10A_001199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22049398:22053488:-1 gene:Et_10A_001199 transcript:Et_10A_001199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDGSRTGRTWRLVRTDRALVFSPFGTRRCAAAVEVAIDAARASMRCIDGIQFATRDVGSR >Et_2B_019208.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17474012:17474419:-1 gene:Et_2B_019208 transcript:Et_2B_019208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRPGRKRGREEEDARDFPFEEAAARDDGVGEASRRPPGVFQLPWHKQCRGGGPLGVPPGAAAGWELRDVFFRSLVDGGAAAIGVPGDRLVSPPRALLEEGVDAWLADHAADEVVDPVWRSALKGVPGPASSAA >Et_4B_039878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4978208:4982826:-1 gene:Et_4B_039878 transcript:Et_4B_039878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDGDEDSGRRRPSQHRPSGGSGGGGSGELASSAKLVAEAAKLALQDHNLEKVDKGRVAGAAADLLHAASQYGKLEGKPVGGYLEKAEEYLHQYGRKEGGGGGKHQEGEYGKKPGGHGGSGGRYEEEEEGYKKKPGSGGYGGGKYEDEDDYRKKPTSGGGYGGGRYEDEDDYRKKPTSGGHGGGRYEDEDDYREKPTSGGYGGGRYEDEDDYKKKPAGGGYGGGRYEQEDEYKRPPSGGGSGGYGGGRYEEDEYKKKPSGGGYGGGRYEEDDYRKKPSAGGYEGGGRYEDEYKKKPSGGYGGGRYEEDDDYKKPSGGHGYGASSGGGHGGRYEDDDYKKKPSGGRYEEEEGYKKTSGHGGGKYSKEEDEDGKKKKKHGDESEGGGIGDYLKLAQGFMKKNDGDGGHGGKYGKEEDDSKKKKHGSDESEGGGIGDYMKLAQGFMKKQDGEGESGGGVGDYIKLAEGDGFARDLISILLPQLLHAGNEAHLVRLVALDAHAGHLHPYHHLGLHAFDTRKLASGQCRLDTRSITYRGRILEGHLAGLGKVHHQLRPRFIVFIADDIPREEAWREVVLTQEIRERLGFAWIGQLWPHDQYTVLEASWKLVLVL >Et_1B_010442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10586588:10594584:1 gene:Et_1B_010442 transcript:Et_1B_010442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATQPLIITSFYSRRVLLKSMSKKSTQAQSESSKLAAEAVSNLRTITAFSSQDRILRLFYEAQDGPRKESIRQSWFAGLVLGTATCLMPCTWALDFWYGSKLVAEHLITAKALFQSFMILVTIGRVIAEAGSMTTDLAKDTDAVASVPHGYKPKKLNCNIEIRGVDFAYPSRPDVLIFKGFSLSIQPGKSTAIIGQSGSGKSTIIGLIERFYDPLRGVVKIDDRDIKAYNLGALRQHIGLVNQEPTLFARTIRENIMYGMETASEEEMENAARAANAHDFISGLKDAYDTWCGEQGVQLSGGQKQRVAIARAILKNPSILLLDEATSALDSQSERAVQEALDRVMVGRTSVVVAHRLSTIQSCDLIAVLERGVIVEEGTHASLMAKGSSGTYFGLRDAPGPAQGWWFMSVLVHADAADVALMVLGLVGAVGDGLTPPLRLFLASRIANDVGSGPGHHPQFGSRINENVRNILVLACASWVTAFLEGYCWARTAERQASRMRARYLEAVLRQDVEYFDLNAGSTSEVVTSVSNDSLVVQDALCEKLPNFLTNVSLFVGSYAVGLALMWQLTLAALPPVLLLLVVPGLLYARGGEQYALPGALAAQAVASVRTVYSFVAERGIVARFSAALDQSARLGIKQGLAKGLVLAGTSGVSYAITAFAFWYSSRLVMYHGYPGGAPFAVCGVVISGGKYKQIKIMAYTQRRSLGSALSNVKYFSEAIAAAERVLEMIRRVPKIDSGSNAGEELANVAGEVEFKNVEFCYPSRPENPIFASFSLRVPAGRTVALVGSSGSGKSTVIALLERFYDPSAGHISLDGVDIRRLRLKCLRAQMGLVSQEPALFAMSVRENILFGKEDATEEEVVAAAKAANAHDFISQLPQAYDTQVGERGIQISGGQKQRIAIARAIVKSPKILLLDEATSALDSKSERTVQEALERASVGRSTIVIAHRLSTIRNADMIAVMQSGEVKELGSHDELIANEIGLYSSLVRLQQMGEAT >Et_4B_039257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9154453:9154952:-1 gene:Et_4B_039257 transcript:Et_4B_039257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAARDGKVAPVLPLATLIGRELRGDGSERPLVRYGHSGFAKRGEDYFLVKPDCLRVPDDPSSAFSVFAVFDGHNGVSAAVFSKEHLLEHVMSAVPQGISREDWLQALPRALVAGFVKTDIDFQRKGETE >Et_4B_039208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8846425:8849470:1 gene:Et_4B_039208 transcript:Et_4B_039208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGTPVDASGEPIPTSSVLMAASKHIAVRCRAENLAFLNCKKKDPNPEKCLEKGRQVTSCVFNLLKDLHQKCPKEMDAYAGCMYYYTNEFDFCRKEQQAFEEACPISE >Et_5B_045772.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:9094959:9096422:-1 gene:Et_5B_045772 transcript:Et_5B_045772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTVVLVPLWAPGHLASMLEAGERLLRCSGNAFSLTVLIVPPPMDSAASDVTSQIDRETTASGHAISFHRVPAVDHGSGPVHPSEYMQLYAPHVRDAILAGLADPVAAVVVDWFATMLLDVARDLAVPGYVYFTSTAALLSLMLRLPDVLDEVAATLLESTTSGGGTVDVPGMPPVPAASMPCPVENDYAWFAYHGRRFVEARAIILNTAAELEPDVLAAVADGRCAPEGHRAPTVYPIGPVLSLKPQDADDHRSSPHECVRWLDAQPPASVLFLCFGSRGWMSPEQAREVADGLEQSGHRFLWVVRGKPSDDSSRYPTDADLDALLPDGFLARTKRKGLVWPRWAPQKEILAHAAVGGFVTHCGWNSTLESLWFGVPMAPWPLYAEQRLNAFELVACMGVAVELRIRAGRQQEHFVEASELERAVRSLMGETEEGTKAREKAADMKAACRKAVEEGGSSYATTQNLVHDILAGYVPAQVTQPKV >Et_9B_064399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14849205:14860836:1 gene:Et_9B_064399 transcript:Et_9B_064399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKLWKRFRGEDKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSFVFSKGKVHKVPATDMEALKSPLMGLFEKRRARNFFIYVQDYNEADPKTHQGLDLTRITTRELISKYGLSDDTVDFIGHALALHRDDRYLNEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIPNTNESHSVQIILPQKQLGRKSDMYVFCCSYTHNVAPKGKFIAFVSAEAETENPQSELRPGIDLLGQVDELFFDMYDRYEPVNEPSLDNCFVSTSYDATTHFETTVEDVLNMYTAITGKVLHMDRNDYYGGDSTSLNLNQLWKKFRGEDKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSSGKIYKVPATDMEALKSSLMGLFEKRRARNFFIYVQDYDEANPQTHQGLDLTRVTTRELIAKYGLSADTVDFIGHALALHRNDRYLDEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKVRKIGRVIRAIAIMSHPIPNTNESHSVQIILPQKQLGRKSDMYVFCCSYTHNVAPRGKFIAFVSAEAETDNPQAELKPGVDLLGSVDEIFYDVYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLNMYTMITGKTVDLSVDLSAASAAEEY >Et_5A_041422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22463256:22472405:1 gene:Et_5A_041422 transcript:Et_5A_041422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPHPCPRSPPSLMADLIEEILRRLLPDEPACLVKAALVCKLWRHVCPTPPSPAATVSRFVPVSTASPVSQSEVNDYWALDCRHGRALMHSVMKTSLIVWNPTNDELQHLSIPYKYNFCAAAVLCAIDGCDHLNCSGGPFNVVLVGTRPGAVGGLLAQASIYSSETGSWGAPTSTFIHDHIDVKPGILVGDALHFTVEDAHPQVQHERARLVRDQIAVYFCAIIMTAAEDHGGLGFVAVSDRSICMWSWHCGADEGTARWVHRWVMDLVTILPGFRWKSSHQVGNIQKSRQLRKVGNGKPTNYSILPYMSFCIPAGALFSFGWVLHRSFELFTPLPVSLWFNTISFAEGTDTVVMSTNVGVFAIKLKLGHVRKLGVTGSYYSIVPYMTRLPCRRRSPPVLMEDLVEEILLRLPPDEPARRVHAALICKSWLRIVCDGVFLRRYRKFHRKPSLLGFIPNGPGSIPGLVSTSIAFPFSPPALAPGRSWWALDCRHHRVLVLHIYKLLELIVWDPITHEQHHLPLPSPPHSSSNGAVLCATDGCNHLDCHGGPYLVVFVCTRNHGVGGGECVARVYSSETGAWSDSATIENSSYVVMTKPSLLIRDTLLLPFAE >Et_7B_053416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17958084:17958591:-1 gene:Et_7B_053416 transcript:Et_7B_053416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVDSEEAGHKLLSVVRPGQEAELCAMLAECCRKEKAHCAVTEEDTTSSSRIFLKVLFQDLAEQLGVRELSSRLNDEDTEVRDALFPRDSASNTRFAINFFTAIGLGGVTESARQLLLSAQ >Et_3B_029664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26967240:26973109:-1 gene:Et_3B_029664 transcript:Et_3B_029664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGAFSWANRSYGPSTENKAKNLTAQNETSVLIVLGRNPNGVRVASEDRSALTLSLRGSTASGLTRGVSHLLEKLAFKDTAHRSHQQIVQELELAGGDVSASVAVYSYDTLKAYMPEAVEILLDCVMNPLFHHDEVERQRKSRSCRRTLRGALANPLIAPEEAFATINSTIIKKFYHSICHKGSPVEKPKSTYVGDSRHKAESDMTHVALAFEVPGGWLEERDATVMTVVQTLMGGGGAFSSGGPGKGMHHAFTAINVYDNTGLFGIYLATPSDFVAKAVDVAIIELIAIATLEKVELNRVKNSTISSVLMNLESRVIVAEDIGRQLLTYGCRKPIDYFLQCMDGMTVDDITAFAQKILSSQPTMASWVDVDKVPPYDFVCKRLQYSDNKVVTYQAYSSLQQISHKQSMVRERRPFT >Et_10B_002909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13481759:13482120:1 gene:Et_10B_002909 transcript:Et_10B_002909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSINPLPFIAPELHIEDGGENRRPCVHLHLSGPPVRARQEYVLQIDHDNALDQEDHAITFRMSLGMRFATVRGTRLGLRDRLFQGNLHDIDGFKIQFVMHDRARDY >Et_2B_022678.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:30059952:30060239:1 gene:Et_2B_022678 transcript:Et_2B_022678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGTARTHYEVLGVGAGASRGEIKAAYRLLAREVHPDAAGGCGGDEDFIRLHAAYATLADPDERARYDRSTMAAIRRAPSGFRTRTWETDQCW >Et_5A_041012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16696308:16697283:-1 gene:Et_5A_041012 transcript:Et_5A_041012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRARAVHGRLPTIAIRKEKLASVEPDGTDLIDDVRCTASGVPDGAGGVAIENVGAGTKGVSGAEDGHVTKDVILEKPASKRRLGRCEWFGI >Et_2B_020201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17893786:17898857:-1 gene:Et_2B_020201 transcript:Et_2B_020201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEASGAYREFKALVDAADRKFARARDLPLYGGGDHHSRKAFKAYTRLWRLQQERRRELVAGGLRRWEIGEVASRIGQLYYARYLRTAEPRSLVGAYVFYEAIYSRGFLVVAMLMRRAQAVEHLATRLRALVEETKSAYPKTNFKEWKQVLQELGRFLKADGAYKASRSLRYDNLFDSYPSNLASIARFHSKRVLKLKEAVLTSYRRNEVKFTELTLDTFRMLQCLEWEPTGSYQIAAKELTENGTVSDQSGPSGLIDIHLSAEISDGSLPSNPQKAIIYHPTVAHLLAVLATICEELSQDSILLIYISASGFAEQNVSSQKYASSSSSHLKAASSDNHLWLGPRGSGGPNNLYPEDLIPFTRYPLFLAIHNAEKGEPAAILLSPRISSAMPGAESTSHGSQFTYFLTAPMQAFCQLAGITSDIDTDTYANAENILVSALEEYEGILCTSVGLNNVWGQILPDPFLRRLVLRFIFCRAVLFYFHLDEHEQHLPTCLPSLPESVSPNAEAIKTPILLLAENLVVSNRFHFRDSTRNKK >Et_3A_023904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14307049:14317976:-1 gene:Et_3A_023904 transcript:Et_3A_023904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGPAERRDEVTQAAMVNGNGVAPAPSRPPGRPSSATAYAERRLRPIPNTEHKPQDYSDVRGEYAPAVYSALERHLPPSLLEADRDVKLQFMRDILTRYWPQGERNKVQRHKEYRQRILHLYKPLHQELYNMHPSAFFLPTFLEAVRTNTEESLRSIMTEPTPGVYSFAMLQPNFCEMLLEEVENFEKWVHAMKFKIMRPNTMNKYGAVLDDFGLEAMLNQFMEQFIAPISKVFYPEVGGGTLDSHHAFVVEYGKDRDVELGFHVDDSEVTLNVCLGRQFSGGELYFRGIRCENHVNSETQHEEMYDYNHVPGQAVLHHGRHRHGARATSSGLRINLLLWCRSSVFREMKKYQKDFSSWCGECKREKMERQSQSVKATKLAFLRGAGGTMI >Et_2A_018016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9001313:9005757:1 gene:Et_2A_018016 transcript:Et_2A_018016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAEPAKGLLPYLQRADELQKHEPLVSYYCRLYAMEKGLRIPQKERTKTTNSILISLMNQLEKDKKSLTLGPDDHLHLEGFALNVFAKADKQDRAGRADISTAKTFYAASLFFEILNQFGELQPDIEQKQKYAVWKAAEIRKAIKEGRKPEAGPPGGDRDENSYSTSTISQDMRQSQSFTSMPHGSEAPSQPVDKDFSRRDSFSAVQQGNNMPRQSTDFNGHSSAQSPYSSPPPPQSQHPSPSQSYSSPSYEATDYPSSDVHKPPPSYSSSPYSSTEYPKAEVHKPPSNYSPRPYTRTDYPSNDGYNPHGNDKQDVTAYPQTYQPPPYTIEPQHTSQLYYSTEAPAVPYNYPNFQSYPSFQDSTSPSVSTHQSPFYPASDAPVVSSYSPSASNPSVPTHYNSSANAGHQVAPPAAPSASQYKYDSDYQPSVEKIADAHKAARFAVGALAFDDVSIAVDHLKRALDLLTNPSAETH >Et_5A_042360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9127883:9129353:-1 gene:Et_5A_042360 transcript:Et_5A_042360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPDDAGMDAGLADLKLPSSHFLHLFVLHGAALRVADSTSQKLVVNVPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHAIKRCKHFEIGGDKKGKGTSLF >Et_5A_042420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9931302:9931757:-1 gene:Et_5A_042420 transcript:Et_5A_042420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKPASSSTCPPDAFRAGEVPCSETTSWSPPRRTVSSSSGTEATRTPSASSTPSLARCFASRRRRQYLVTAGGRLRPKAALRVRLRLPLQRQRGHVRRSNQQVILARKSTFANGLRYGRLPTPLDGRKDSRKQCLRATIP >Et_5A_042897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6561281:6585380:-1 gene:Et_5A_042897 transcript:Et_5A_042897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKALTGARRYPFLNISTGECIRVDIPELAEHTLLAVTPEGLLFLLQESTLAVHLLNPLMGKLTSFPPLTNLLTPDQQRFRHSGDDSFREVIGVGLVVDRSMLVVNGFNGSTVLAVAEHGGENRFHLVDNGGDLMLVQGMAYLNLNDNSFKRMYKSYKVDQNAGILIPTKSFNGRAVFMGMRRAISVSPKTFPSVTPDTLYFGVDCEEKREMDGYCLADGSNVPCYDNRSRHTLVSTRNQLDWRRCSPDPGAGGLDDRFLPQQWIMLGKAITASPHCYRFLNISTGESNRTELPELAEHKFLALTPEGLLLLLLKPAAKSEANMGFQNNAVNQGCVYRKACNILQRVCYNTTAWSLAISPTRVACLVEADVEP >Et_4A_034460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3448061:3451641:1 gene:Et_4A_034460 transcript:Et_4A_034460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSPGSMCAAAAAPAEPKKTVWVWTENRQVMTAAVERGWSTFLFGSKDLGKDWSSTARINPLFIDGLGIFDGENKKVATISQVSSPRELELVQPDNVEADNIVIDFRGDWQVIPAENIVAAFQDCRGAVLAVSTNSTEAQVFLEALEHGLDGVVLKVDDMDDIIKLKDYFDRRNEAKSLLQLTKATVSKVEVVGMGDRVCVDLCSIMRPGEGLLVGSYARGMFLVHSECLETNYIASRPFRVNAGPVHAYVTVPGGKTSYLSELRSGKEVVVVDQNGLWRTAIVGRVKIESRPLILVEAKENSGDDTYSIFLQNAETVALITPGRGSSGRTAIPVTSLNVGDEVLVRKQGGARHTGIEIQEFIVEK >Et_4A_032365.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31943611:31944228:-1 gene:Et_4A_032365 transcript:Et_4A_032365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTKAWRCHSLPPPPYENAPTRSYAVLGGGSHLCVSAEGGGVDGTYCFDTAAGDWMLPFYGKAEYVPELGVWFGMSNGNGYVPCVSDLNPAIDGEKPELCGMWKTRRYPPGWEVTGDTRIVRLGTGRICILDEVETVDEDNLDRVGDPEVDDSFDVFTGVELLPRRGHGDNKAGDGNGNGKFRMIYHKSMIHRPFGDTWLEAML >Et_4A_033919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2811868:2819845:-1 gene:Et_4A_033919 transcript:Et_4A_033919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLGGGGGGGAHPTLPPGHQLVLNNCINSVKINNIESRRVKNETIIKGIRFMVHIVYLGHNDGLSPSLTSRFHLQLMSRVFTEPEEAREAILYSYSYGFSGFAALLNSTQAATLSETAEVISVFRSRMLQLHTTRSWDFMGLSLQMQIEKSSKTYLKFGDDVIVGVLDTGVWPESQSFRDDPHLGPIPSSWRGTCVGGEQFDPATACNRKLIGARYYIAGFEHELGPLNTSGGAEYRSPRDRVGHGTHTASTAAGAVSPNASYFGGLGRGGAARGGAPRARLAVYKVCWFKDLTGRCSDADILAAFDDALRDGVHVVSASLGSTPPLTPLFATSTEIGSFHAMQLGVVTVFSAGNDGPDAAMVQNVSPWGITVAASTIDRRFPTVITLGNNASIVGESFVVKDMKADLVESSSVFTDGTCAFEQLISRTAASGKIVLCFATMGMVSSEGAALAVYAGKGIGVIFADTITRKSTQDNFLPTVHVDLQQGTRILHYIRSSRCYIRSISLLGPMANARSACLVVRALALFLTGVQWQSLPSSKPTVHISPSKTVVGKTPAPVVAYFSSRGPSSISPNILKPDIKAPGVNILAAWPPKSSPTVLPLDKRSTEWNFDSGTSMSCPHVSGIAAVVRSVHPTWSPAAVKSALMTSAYMYDDTSDVMLAGGTLKAADAFDVGAGHVDPLRALDPGLVYDAGARDHVVFLCSLGYREEQVRQMVLPSPALDTTCPGAGAAEDLNYPAIVLAELNATVTVKRTVTNVGPNRAAVYRAAVVSPQGARAAVWPPELAFSPYHGDRASYYVTVTPAKLSRSRFDFGEIVWSDGYHRVRTPLVVRVTNLPDDGVRTQHGHAATDLPLQAAYY >Et_4B_039074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7485421:7490496:-1 gene:Et_4B_039074 transcript:Et_4B_039074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFWICISVPFFDLRSAGAAVGFPSREPPTLPPEEDCCDDEPVAGAADGSEVMRSISVVPEESCPEAMAAGEGVRGARPCECEISGDWCFSWGLFGSGGGLLPELEPIQPDLKVFRVRSSPEPSPAPASIYAPAHAGQREGRYESRSPYRRSSHSRYRSRSRSVDSSDVENPGNNLYVTGLSARVTDRDLEKHFSAEGEVTDASVVLDPWTRESRGFGFVTMATVKEADRCIKYLDRSVLEGRVITVEMMLIAALHQYNLTTKACYLNQRVVCPSSYISCVEYAKRRRGRTPTPGKYLGSKSSRGKQANHPFFFIFHLFLFRTIEAVVDYPCC >Et_7A_052242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:616078:617098:-1 gene:Et_7A_052242 transcript:Et_7A_052242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLAKAFAEPPPPPSIPKLDHNPYVNLWKRLEALPLRTEDKINVGVYLARPDCEGMRSFLDASSDHTLETWVSNQTASCYHKVGHATPSAHD >Et_6B_049208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:216672:226260:1 gene:Et_6B_049208 transcript:Et_6B_049208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKGRAASSGLAASLVPHAQGAAPTFGFGGYHGATRVEPAAPSDPEAPIRPSPDVDSEVLQHLKRLGRKDPTTKLKALSALSMLWHLSTRSCCFIITEKFAAPTFGFGGYHGATRVEPAAPSDPEAPVRPSPLKALSALSVFFAQKSGEEVAQIVPQWVPEKQSSPVNFEMVRFAFGVLKGSLFSLGLVEEDSVFPSVLTALFIIEWECSMALTLGEENDLDGHKDDADAGALEEEIHLKANLAENISAFCRSLSPSFWNNLHSCPLNRVAYILAQSARFAVFHTRDLHDDVTAILCSEWVVDMLKLICIDHVSLQSFFDLLLSEGECWPLWLRPSLCNGHPSINVQFEPAITDDIELKHQRFVAFIDNLIIKFEFGEVILGIPGNLHRSMSQSTDITSYISPLSRAWVAGEILCTWTWAGGSALKTFLPSLVEFMKEESCHEVSIMSLLLDTLLEGTIMHESGHGHCLMTGIFLAMRLRKFRIVFTVLLWLYCLLRIPMVDLERT >Et_2B_019925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15284238:15286112:1 gene:Et_2B_019925 transcript:Et_2B_019925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ELLRQCRSLQHLNQLHAHLVVHGPSALAAFASQLLASYCALSSESGNGGLCYARYLFDGISDPNRVMCNNLIRAYSNSSCPQEALRLHRCMLRRRILSNEFTLPFVLKACTRAQLWEHALAVHAMVVKLGFVQQVFVGNALLHSYASAGSIGDSRRFFDEMVDKNIVSWNSMISGYTQAKHGSVDAARDWFDCMPERNIVSWNAMISCYVQVGRCHEALDLYKRMQSLGFTPDEVTLVAVLSACGHTGDLTSGKAIHIYIRNSLSPDVTLVNSLMDMYAKCGQVDTAISLFNEMHKRNTISWNVIIGGLAMHGRAQDTIMFFRSMVQDSFSPDEITFVGLLSACSHGGLLEDGQYYFEAMRYVYNVKHEIEHYACMVDLLGRRGNLEKAVDLIKDMPMKPDVVVWGALLGACRIHGNVEIGKQVIKQVLELEGISGGMFVLISNLLYETHHWEDMKRLRKLMKECATKKDMGVSSIEVDNSIHEFGVEDTRHEISNDIYAAVDQLSCHLVSLHISALQEEELFVEE >Et_2A_017668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4951945:4954548:1 gene:Et_2A_017668 transcript:Et_2A_017668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPASAAAPSAAALLAVTLVVAALRCATAKTTIEPCSGADACTALLGYTLYADMKVSEVAALFGADPAAVLAANALDFASPGAANRILPAGLPLRVPTRCACADGVRKSVSVRYAARPSDTLASVADVVFVGLASTDQIRTANGLAAEDPDAPLGPGQTLVIPLPCVCFNSTDNNLPAIYLSYVVRVGDTVQSIAASHATTVTDISNVNAMGSPIVAPGDILAIPLPACASAFPSSASDYGLLVANGTYALTAGNCVECSCGPANLNLYCTPASLTASCSSMQCSNSSLMIGNVTAQPTSGGCSVSSCDYAGSVNGTISTSLSSGLQPTCPGPHQFPPLTALPTAVNHGSYSPSPAPGPVEAGGAIPGSSLPGGSNIPPANSPAGSVSRVSLMNQPCYILLFILTLVL >Et_10A_000950.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19535025:19547857:1 gene:Et_10A_000950 transcript:Et_10A_000950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSSHPRAKRIHAAAAPPSLCSLTDDLIAEIFLLLPTLADVGRAATACATFRRVIADRAFLRRLRRAHPEPLLGVLSAYSFHPAEPPHRWATAAQALARAADFSFSFIPSAVTVPCRRNLMDARDGRVLLGLDYNHREFAVCDPLSRRYTVLPKIPHEDPAASSADEEPRIELYSAAFLVPAAAAAGEASFKVLWIEEWSHEPPVAFVFSSATGQWSRLAMDGVPHMPELPTERRQTPYYAHGCFYWILTRGIGLFSDEINCDDKLLVLDTRSMEFSTIDLPPGLDYGFHDYAIVEAGEERIGMFCLHKGGVFYSDEAPRIGYATRAVDKEGIYRWRSERTVLTLPSHRNYKVVGATDRCLLLDGCPSLTMLQRSLYDRSNTSETSDVDFKFFSVDFEAMQIARVCQHRSLFRSAMPYSGFPPSFLTDDLLAEIFLLLPTLLDIGRAATAFRRVIADCTFLRRAHPTPLLGALSAHSFHPAEPPHRWAPAARALVRVVGFSFSFVPSAATVPCRRKLIDARDGRNLLSLVHNHQRFACDLLSRRYAILPKVSHEDPASSACGEPRLELYRVAFLVPAAAAGEAETSFKVLWMEEWSHEPPVAIVFSSATGQWSRPVAMDGCAVTLYAHGSFYWIFTTSGINLFSDEVNSDKLLALDTRSMKFSTTDLPSGPDYGYSFLGFAIVEAGEERIGMFTLDKSVFDSDAATSIGYAVRGVDKEGVCMWQSQRTVLTLPSHRTCLLLDGCPSLSQFQSSVCDGSNLWETSDVDFKYFYVDFESMQITRVCRSLLLGSAMPYTGFPPSLCLPT >Et_1A_006763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27306358:27309631:1 gene:Et_1A_006763 transcript:Et_1A_006763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYGSGAGTPRPRTSSRRPCSATASRAGSGAFVYEGMRATPLSTSTTANFARSLRKAASFAHTKPPFPGAGSDGGAAAAVLPPRRTKSCKENRSNAGADLHPTSSPRRSLPEPGAAARGPWEPPATARRRRSPGSEDAAAAGKGGSAPGALREMIARKKEEPEKEEAAHRARVLTGRLLQWRFANARMEKAMARATSAAENKLFYTWLRVAELRNIQAAKRIVAQRRRQKLKLARLLRPELPLLASWEPLAKPHDEATADLTRVLSAACTSLPLAAGAQADVQSLHETMLSCVGTVNEIEAINDLFYDTAGATSSALGELARTIQQEMECLEEATRLSSIVTSLQVQEVSLRANLMQAKQRLDVGAIGAPMLATSDSIGQS >Et_6A_045961.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:13830614:13830847:-1 gene:Et_6A_045961 transcript:Et_6A_045961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYGTIFTRSFLFAKELMNGIVFYHAMTQKTCHQMEVGCGHLIRQMLPNLLLAGKGWSDLGVLPASLQMCKSLFPT >Et_8B_060494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18165594:18181975:-1 gene:Et_8B_060494 transcript:Et_8B_060494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAPIIGESEPPMVNACCIMQDCMHGLIIPIPVIDGKDCGQVVAFTAIAESTRRATTTNILLQQWKRVGPHAIR >Et_6B_049129.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18440801:18443400:-1 gene:Et_6B_049129 transcript:Et_6B_049129.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGWSPGIESLLRLAVDYGFDRNIAAYCLARLIEIYGEDDGRNFLTVEECGHDFISALADATQTTVDWDDLKVMKSGASNKLNGLAVNGPTYEKGRAATNSHSLRKEGPFASEEIKADPSSSKKRKKNPLSEQTCDNFSTGDSDFEMDNHHARSFSVQTKTHSRNAELQCRSSDNSKDARWAPWRSPTSLHHGPMVAGCSTGMDSLLGLAVSCGFDRDIATACLARLIELYGEDGRHLLTVERCGDDFISALADATKTGEDWDDPRVIQERSF >Et_8A_057581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4767793:4770610:-1 gene:Et_8A_057581 transcript:Et_8A_057581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLHRPAIAAARHLLRENQRISAHGRAGDVAAARRVFDTMPKRDVVSWNALLTALWQGGRDLPAARRLFVDMPARNVISWNSIIAGCLAHGDLDAASAYFARAPRRNVATWNAMLAGLVRLGRMEDAERMFGEMPERNVVSFTTMVDGLARCREVARAREVFDAMPERSLVSWAAMISGYVENGMFVEAKELFQAMPEKNVVACTAMVTGYCKEGDVESARRLFDRIAVKDVISWNAMIAGYVHNGHGEEAMRLHIIMHKEGVKPDHATLIAVLTACSALALLRQGRSTHAVAIKAMLESSVSFSNALMTMYSKCGNVVESELVFMNLKSHDIVSWNTIFAAYAQHGRFEKVIALFLEMEAHGLTPNEVTFLSVLSACGHAGRVDISLKLFDIMSSKYMISPRAEHYACIVDILSRAGELEEACYYIKKMPFEAEKNVWGALLGACQTRANVQLGELAAKMLVQADSESSGPYVMLSNIYAAAGMWDEVNQVRGRMKQKGVKKQPGYSWTEIANKVHMFVGGDASHPEMSEIISELRKISFHMQMATDECYIMEELAQENV >Et_7B_053404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15769433:15769791:-1 gene:Et_7B_053404 transcript:Et_7B_053404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHMEAEERREEERRKMSVDASTKRNASKERRRAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_1B_012956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4314812:4319813:-1 gene:Et_1B_012956 transcript:Et_1B_012956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTSLARRRHSSYLTPPSNLHAALQTLIPSQCVLSFSPPPSHDPQPGGVDGSKQRRPRKDTFTAWRVWCVVAVADRLAPFAPFYFGWENIDSRLHEGRQSVIKIETAVMLSVAAFNSQEDSLESGRAIMERQTARRTPTNVSQMVESVFASVILAKDLAARCKDRVLQLTDDETQNITQDLENVLQNIYDDLGSISASAFGSNTYMDVLIKSRGCSEADISMNIVSNKPRRRSLRDIDTPRLVDFLQGMYHESHEFGGPMFSTLPEVAEYIEPLYDAFLCPLTNEVMSDPVTTESGVTYERTAIEDYIEMFIDSSEPVYCPVTKIPLQSKTVTSNASLKSVIEEWTMRNEAMRVRIARTALSLSTADTMVLEAIHELKLLAKLRGKNRELMHKIGVTKFLARLLDNHNAQIRCNTLELLCLLVEDEEGKDIVGKTKAIARTIKLLSSTDERHAAICFLLELSKSQLLLEHIGSAAGSILILTTMKINNSDDPIASEKAGAILTNLEVCPKNIKYMAESGYLEPLLSYLVEGSEETQMEMISYLSELVQEQELTIDITRSTSEILIKMTRSCNPMVRKAAFNVLVQLSSHHPNSKMLVNTGAVPVLIEELFIRKVDDEPMNSMASAATVLANIVESGIDPDTTVVNKEGHVLTSKYSIYNFIHMLKCFMPDDLNLSILRILLALTALAKPLATVVSVIRENHRGHAIVELMGSPTEALSIAATRLLITLSPHIGHTIAERLCKTQGQPSSLVKSISHTGRVTERQAASATLLSRLPYRNTSLNVALVQEHAVPIILSTMKEMQKGTGRTSRHTVPFMEGLVGALVRFTATLYSPEVLQAAMDHNLVSVLSDLLGGAAGSDEVHRLAAVGLENLSYLSIKLSQPPPEELLSKKTITLKLRKDSKAHSNSKKNLTHQLNICPVHKGVCTPATTFCLLEVGAVEGLLGCLENDNAGVVEAALGALCTLLDERVDVEKSVAALVELDAVRRVLGALRQHRQNILWRKCFCMVEKLLEHGDERCVREVTGDRMLPTALVSAFHRGDASTKQAAESILRRLHKIPDYSVTYVSMEF >Et_3B_028546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16684816:16692870:1 gene:Et_3B_028546 transcript:Et_3B_028546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESTVVPPYQKDKLHDKHITFGQSQKGDAYLTLCFELLHSDWYNIENSKCVKPFRCGEFAECIENAKVRGHLKNIAYKEGKYACRDDAIMHALAIETSSNEEPTNQTTKCCKEYTSSVHEPRKTKMKILSFVTPISKESEMRDNTSLPELDEGAMKYQALESDTVGLDARVEGAVFEPTELEDNMQVYDAEMSVYGNYTGHALPLAFLISKSTGKPIKGYPVTVEVLEDSCPASSADDHSPTISSFDCLMKSRISVPRQARSLRIPICSRKIPEHDLDKSWLPHTQSFTSFVNSPTREERSSRKPVVAEDSTHPVCSAVNPTFSSRELQSFTSFHNSSTQEERSSRKLVVAEDSTDPICSAVSPASSSRELQSFTSFNNSPTREERSSRKRIVAEDSTHPICSAVNPTPSSRELQSFSSFPDTGGESANKNFAGEEECPGGVTGADAEVGALVWVRRRNGSWWPGRILGMDELPENCVIPPRSAGTPIKLLGRPDGSIDWYNLEKSKRVKSFRCGEYDECIEKAKVLARQQKRTHTEGATGRYVRREDAIMHALEIERSRFPNKDDDLEEDSDDDMGPSQNFYSAKSKNINGLNKRTRNTRGLYDTEENSGQDMSHALVVYKQPQNISSSSTRYASSSGKRKRKGRKNSKDDTVRGSQRMRDLREIGTKNVPKQKFGAGIFSDGHRDVPLLESGPSFGYDLSSSNGIKKSKQSQSIKRKRSNIGQSYENSRKKDRRRPLSQLCEDSEATIPTFCPWNSSGQSSSQYQGNQMPNLFEPSRGRASVSTDVNNCSYNSGASSLDTLLDTSRTNHAGPAKATVKDGEGDVLEEGHLNTYGSCTSIKDQFSKPNNQTTDSSKVGMSSVQHHRSSKKRSLSSVTPLSEESNMDKNLLLQQYEGTIKLDGSICKATELEGNMRSVMSEHDESSETISNHSNSEKGTVSFPYYVPLQVIMPDQQPDLKPPRCHVMRPTKRALADCGLYDVEVAVQRSYKGHHVPIVSLMSKWTGKPIVGYPVTVEVLEDTRPTASRDERRPAMGSLDSLLRSGAAEPRQARSSHKSRPKASGRKKVSDHDLDKSWRPHTKKPASSPRKMRRLSSFAGSRRESVNRNPVVAKTGGPTVACVPLRLVFSRINEALSFPVRQENPT >Et_4A_033829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27139782:27148545:-1 gene:Et_4A_033829 transcript:Et_4A_033829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQYYHFRLTAFSLKYDKNGDLTTGNSRRVRYYEVPDAATECAMRGRHIQPRHGGGGVWKHRGDWALPFDSRGHFDRDLDAWIGLSAGDPDTAGHVCAVDVVRDDDAGGSAAGKMLSKETLFGDPVEKHVGANLVYLGGGSWFCLVQCIGSPIYDKNGDLTIGNTRRVRYYDVLDAASDFAMEDIVAFWMTSNQIRFSLDTARRRRVPSGNIVATGRCLSTTDRGHFDRDLDAWVELSGDPVAAGHICAVDVVPDDAGGGGDAPGKKLSKERLFGDPVVKARQSIPGLGGGGSKFCLSMVREEELDLDPPQYCHLRLSTFALKSVTSYAVHPDGRTLFVSVEKDASVATFSFDDGVWSRRGEWAMSFTGRAYFDVELDVWVGLSRDPDSIGHICSCDAVPADNNAGVDGLFRARKLSKEKLFSKDPVGATLVYIGGKSNFCLVECISTKDDDSVDAKNDSYAEEKNDGRVDEMDYTDDETDEFDDEDPKMEEQDCAQAVFVSFGNFFSQL >Et_2B_022799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5428352:5430313:-1 gene:Et_2B_022799 transcript:Et_2B_022799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKGSTRLSPRALKQIHGNLVVSGIGSCGLQPLRDLLLSCITSFRGSMDHARRLFDGISRPDLFMHNAMVRGYAHASAPGAAFAVYRRMEAAGLRPDGFTFCYLLRACTGLPRSRAGYQVHAVVVKLGFLGDAFVRNALINMHAKCGDLGIAGALLREAGEQDVVAWSAERDVWTWNSIIGGLALHGQAAKSVQFFDKMLEERIRPNEISFLCVLGACSHAGLIQDGQIYFFLMKDSYGIEPNVRHYSCIADMLGRAGLLDEAFAIVSSVRCESSAVVWRTLLGACKIHGNVALGKLAQERLLNMSGDASGDYVLLSGIYASYNEWLGVETVRRSMDTRGLRKVAGCAQRIIARGKQHTDDYIKAAIPITTT >Et_2B_019322.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:23521645:23522055:-1 gene:Et_2B_019322 transcript:Et_2B_019322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LVELESPARHDGEDLLEEREEGALDVVARERGRLGEEEALLLGEARGLVGGHLAALRRHVGLVPDERHDGGAVGVRAELLHPPRHVLERRAPGHVVHHHGAQRAAVVRARHGPVPAVFIIQSNTTNIFVHQNFRSQ >Et_1B_011401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21673477:21691670:-1 gene:Et_1B_011401 transcript:Et_1B_011401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAISAVASDLASRFISFLINKFSAGSSCSEEIVQRLHHLLLRVQMVVEEADGRYITNGGMLLQLKLLEEALYGGYYALHNFKYRHIMGATEEVSEGSCSLYFTTPLKRFQRSGSFSANYDKDHKIESALVNLEAAVSNMTEFVILLGGCERMSRRPYDAYLYMENFMFGRHAEKQQAINILLQHSLPNSYAPTVLPIIGGRLIGKKTLVAHVCNDERVRAHFSTVLHLNSDNFFRVDPALYTSGRTLAIVEFTSDVREEDWTNFYSSVGQMGRESKVILLTRIENLSRFGTVKPICLNNLSYEEYSYLFKVLAFGSTNMDDHPHLASMVDEFPMLLRGSLVSVYAFGDALRKNMNARFWLSVLERCRGVVESNLSMFGEHPKLLLERDQPADIARFISPSSAPLHLMPPRGEADATERPLPTVTFRELIVDPTVLPRGDFDLVTWESRIAPYTKYVHFVPAACVDENPETLPTKRKRPAVAVSAISGEILTRLISFLIKKYADWAYLEDKLERLNHLLLRVHTVVEEAEGRYITNSHMLLQLQMIVDGLYRGYHVLSTFKFKPFVETLQEQVRNSGPCPLFCTASLMRTASGTMRSNKAVNRELQAALENLEAVASNMTEFIVLLGGCKKMPRRPYDTYLYIDNFMFSRLAEKQNIINFLLHDTSVGATAVLPVIGGYSVGKKSLVGYACNDNAVRSHFNSIFHLTSDNFLKMSHERFRYVRTLLVIEFFSNVDDSKWAKFNSAMSLLGTGSKVVIISRIEEIARFGTVRPIRLGLLSQAEFMYLFRVLSFGSTDPENHKQLASIGMELGGILHGLLLSGNVLADILRRNQNIPFWLHILKRFKRSIEHNCSNLGQHPKQLLERGLPTDITMLVSPSAAPLLMMPPRGQSSNFSMKELSKVTFADLLEGSASVVPTGRFQIIAWESRIPPFTKFVANCIEERHPCNLSDRKKRKSEENLAEKTERLHDLLIRVHMVVEEADVRYITNSKMLLQLKKLVEVMYHGYHVLDIIKYRNFCSTRTELEVSRFKNLFFTKSSSISHELHSTLDNLESVSSNMKEFLLLLCGCERMFRSPFDSYLYIDNFMFARHVEKQEIINILLQENLPPYAPTVLPIIGGSRVGKKTLVTHVCNNEKVRSKFSSILHMNGENIWRMEHQPVMTMRSLVVIEFISDVDDKIWQKFYSSAKQMGAGSKILILSRIAKLSRFGTVKPVHLQSLNVIQKNFLVFGEHPKNLMDKDHPVDITKLVSLSPSLPSSTTVRLMPPHSEIDDSKRKLPKVMFGDIIAGRAVLPKEEFELVAWESRIPPYKRFVNLATYCDVDMASQNNTESPSKKRQRLD >Et_10B_003037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15723809:15726381:1 gene:Et_10B_003037 transcript:Et_10B_003037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAAAAARRLSLLRHRNLLPKRHLSSSAADGLDDGGGGGRVKIFDRDLKRRHRDRAAWAAGETDALTGAVAENLLDRLEDCRKAFPSALCLGGSAGAVRRSLRGRGGIEKLILMDMSADMVKKWRELDESTGDGLETHFVVGDEEFLPIKESSQDLIISCLGLHWTNDLPGAMIQCRLALKPDGLFLAAILGGETLKELRIACTIAQMEREGGISPRMSPLAQVRDAGNLLSRAGFTLPGVDVDRYTVKYNSALELVEHLRTMGETNALFQRNPVLKRDTALATAAIYQSMFGLEDGSVPATFQVIYMTGWKEHPSQQKAKRRGSATVSFGDIQKQFGSKEN >Et_9A_063589.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:8554353:8554748:-1 gene:Et_9A_063589 transcript:Et_9A_063589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSWTRSAQQLSTIHLHDVPALHQICEVKMHAPALETIRTRSCWSLRRLPALMGQRPGSRRPTVEMEKDVWDALEWDGVNAGEHHPSLYQAPVHSRHYKRQRLLRGTVLRYVSDDCYSSDYIPYSSCSCS >Et_2B_019788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1420281:1423169:-1 gene:Et_2B_019788 transcript:Et_2B_019788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIMRLSVLPLLLLLLVATKITTSRGCNGKERDALFDLKASFKDPQSLLSSWRGLNCCSWYGVACNNKTGHVIKLDLSNHNFSSKSGLTGDISPSLVNLTHLEYLDLQRNDFGGAVIPEFIGSLNNLRHLDLSGAEFGGKIPSKIGNLSKLSYLDVSFPSIRSSSSVDNLHWLSRLSSLVYLDMTYCNLSSASDWLASLNMLASLQELHLYYANLPPTDLNSLSQYNFTVLDIIDLSLNNFSSSFPYWLTNIQTLSDIKLSYCGLHGSIPEAVGNLTAISNLDLYGNSLGGEIPVSIGRLCNLQTLSLSNNNLVGDFNNVGKALSVCMKKLLVINLANNNLSGSLTRWLGSVSSVLSMDLSHNSFTGPVPSNISHLTKLNDLDISYNLLQGVLSEEHLNLSKLDSLVMSSNLLRVSVGTKWVPPFQLNELKLHSCPLESQFPHWLQTQTTMMTLDLHSTGIMGPLPDWLGTSLVSLTGLDLSNNMLSGKLPASVVHMKSLEFVRLDCNQLEGQIPDMPRSIKFTSLSNNHINGSIPKYFCDLSSFSVIDLSNNNLSGKFPDCWKQNRTIIRLDFSNNSLEGEIPSSVGSLTSLLSLHLSKNRLSGVLPTSLRSCNNLRLLDLGENNLEGSIPAWIGHSLQLLAILRLRSNQFSGNIPDELSRLQGLHVLDLASNKLSGSLPKSIGNLTKMASKKSEPIQPVIFFSTNTEHSSSYNESLYITTKGDERMYSSILYLMKSIDLSDNELTGEIPVEIGALLGLKNLNLSRNHLSGDIPDTVGRMDSLESLDLSWNLLSGVIPQSMASLHLLNHLNMSYNNISGKVPPGSQLQTLDDQDPYIYAGNRYLCSPLVPESCSEYKENPTDYDEQPDDRDVFLYVFSGLGFGSGFAAVWWLLIFSKAVSKVYAQFIDLICEKVFDSIILLKVKLSRKYLGGNQTPNN >Et_1A_007746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37883340:37887691:1 gene:Et_1A_007746 transcript:Et_1A_007746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATGAMNTLLPKLVELAVEGCQGRDTELEKELRSMDAALRKVSKVIELVGIEGPKNELAEKLVGEGSSLGQHPKIISIFGFGGLGKTTLANALFGDLKSKFDCHVFVSVSLNPDIKTVLKNILGQLDNNKYINEAWVEMQLINMIREFIENKRCFSVIDDVWNESAWVTIKLALQDAKHGSKIIITTRNKAVAEHAGGDVYEMKPLLDDDSRKLLNRRIFDSEDGCPPCLREVGGKILKKCGGVPLAINTTASLLANKPLNSVEWEKVNSSIGSGLERIRDVDKMKKILMFSYDDLPFHLKTCLLYLGLYPEDAMITKDALVSCWIAEGFIAHETQSAGTTLREIGENYFSELINRSLIQAVVNYPRNLDGSVDKCQVHDMALELINQLSAEEGFATMLLPAGQEAGTSAFAPQRKQMRRLSVHNFNKTHASREAREQRSKLRSLIVFGKVDSLASLSSFQVLHVLQLEKCTYLHDNLILTN >Et_9A_061107.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16164189:16164380:-1 gene:Et_9A_061107 transcript:Et_9A_061107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKVDLRRVEPGGPGWDAARAAVTASMVAHDCIVVGSSTRSARRRGARCSAAPCRSSSPSS >Et_2B_022829.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6110511:6113918:-1 gene:Et_2B_022829 transcript:Et_2B_022829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTPDRRRGGGPAPPSSRPMWRPRSSAPAPTSAPAAGPDAAPILPLPTAAPENRPPHRRPRRPNHGNNNRRNPPPQEQGNGTGHNPRAPPPPQDHNANAGHYRRGPPPATEGQLPNGNAGHYHRRGPRQERPRPAAPPAPAPAPRTAPAPVPLATGGDGAVPQLVQEIQDKLSRGAVECMICYDMVRRSAPVWSCGSCYSIFHLPCIRKWARSPASVADGSAEAAASANWRCPGCQSVLSIPARDLSYTCFCGRRRDPPNDLFLTPHSCGEPCSKPLEKAEPGLKGDDAAATRCPHVCVLQCHPGPCPPCKQFAPDQPCPCGKQIIVRRCADRSTPVTCGRPCERLLPCGRHRCEKVCHTGPCGDCAVLISAKCFCGKKEETLLCGDMMVKGKLSEDDGVFSCSEVCGHTLACGNHACKDMCHPGPCGECELMPGKVTTCHCGKTKLQETRASCLDPIPTCDKVCDKYLPCGVHRCKVTCHEGECPPCLVRVEQRCRCSSSGRMVECYMVSKEEFLCNKPCGRKKNCGRHRCSECCCPLSKPLANHEDGNWNPHLCQLPCGKKLRCGQHTCQLLCHSGHCPPCLETIFSDLTCACGRTSIPPPQPCGTPTPSCPHKCSVPQPCGHPASHSCHFGDCPPCVVPVVRECIGGHVMLRNIPCGSKDIRCNQPCGKNRQCGMHACARTCHPAPCDQPPANGDASSSSGGKASCGQVCGAARRECKHTCTAPCHPSSPCPDSRCEFPVTISCSCGRITATVPCGAGGASSGDNMFEVSIIQKLPMPLQPVESNGRRVPLGQRKLSCDEDCAKMEKKRVLAEAFDITPPNLDALHFGENSSSSDLVSDLFRRDPKWVVAIEERCKFLVLGKMRGSSSSSLKLHVFCHMMKDKREAIRLIADRWKLSVQSAGWEPKRFVTIHVTPKSKPPARILGSKAGAPVTAAHPYFDPLVDMDPRLVVAMLDLPRDADVNALVLRFGGECELVWLNDKNAVAVFNDPARAATALRRLDYGSAYQGAAVFMPSSSAQPGNVWVGAQKDGGIAAKSNPWKKTAAAEPDSSSGDWTGVLGHAPAPGWRGGNAAAQVAGTQNRWNVLESDVATSSGPSGGQRPAPRTDAARSAAPSKLQPDVEVDDWEEACE >Et_2A_015505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1439748:1446772:-1 gene:Et_2A_015505 transcript:Et_2A_015505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDFGAPADDPKIFRNVCRDRILKDLLKPDKDKETKSAWKVLIMDKFTVKIMAYACKMAEITDAGISLVEDLFKRREPMPSMDAIYFLQPLKENVIMLLSDMSGRCPLYRKAYIFFSSPIPKELVTYIKNDSSVIPRIGALREMNLEFFTIDMQGFVTDHDTALNDLYGADGLSNSKKFNDTITTMATRIATTFASLKEFPCVRYRAPKGGDPSTTPKFDMVPKWLATAVWDIVSKYKSTIPEFPQKETCELLIVDRPIDQIAPVIHEWTYDSMVHDLLEMDGNKYTYEVSKADSEPEKKEALLEDHDPIWLELRHAHIADASERLYEKMNNFVAKNKAAQLQSRDGGEISTRDLQKIVQALPQYSDQVEKLTLHIEIAGKINKFIREYGLRDIGQLEQDLVFGDAGAKEMISILRSKQDMSPENKLRLLIIYAIVYPEKFEGDKGEKLMQLAKLPYDNMSVINNLKYLGGSDTKKASRAGAFSLTFDKQKELIEKLSKGDLPLNEYPSMGEPSSAPHGAPQPSSSARQSTTRPSQNPQPISMRSRRTPTWAKSRGSDDSQSSDSSVLRHASGDFKRLGNRIFVFMIGGATRSELRTVHKLTMKMKREVVLGSSSIDDPPQFISKLKAVGGAKNILQQTLFAYGQFYVLS >Et_8B_060267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8284633:8288688:-1 gene:Et_8B_060267 transcript:Et_8B_060267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGQQEQLGSGRRLYRSASSMVLDRLRSFSVFPIHPAAECYYSTATEAHKRQDGATAQEPARVAAATPESEKDEAEAEDKSAASVDEADVQGEVQHAPESPLAQAAAADEAADAVERPGKKKQRRRRARTRVQEAEDAVEGKAELNARADLFIRHSGTAMFVFFNVLVGAVAVMSRGQQGGHGRRLCRSGSSMVLGRLRSFSEWYCYHAAREAEELPVADVSALEPVPDEPPCTTAADAAPAALAEAQKDEKVAEEMRTSLDEKNVQSQPHHAAQTSPPAAAVAEQMAEEAPASVRRRARGCRREAEEAAEGKAELNARADLFIQQFREDLKLQRLNSIINYTRALRRRAGAALSQDH >Et_7B_054754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4070781:4076116:1 gene:Et_7B_054754 transcript:Et_7B_054754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATVSSASGLLAMLQEPAAELKLHALASLNSVVHLFWPEISTSVPTIESLYEDEEFDQRQLAALVVSKVFYYLGELNDSLSYALGAGPLFDVADDSDYAHALLAKALDEYASIKTRAFKAAEEEENIDPRLEAIVERMLEKCILDGKYQQAMGMSVECRRLDKLEEAIVRCDNIQGALSYCINLSHQYVNHREYRFEVLRCLVKIYQTLPNPDYLSICQCLMFLGEPEPVASILDKLLSGNEDDVLLAYQIAFDLYENENQAFLLNVRNRLTSHTPLPSNPDSGAALQDDQTTSAGTEPAGDVRMRDDTTTPNGSTHTVDPNVAAHADRLAKIKGILSGETSIQLTLQFLYSHNRSDLLILKTIKQAVEMRNSVCHSATICANAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLQQGRALMAPYLPQSGAVGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSAEVIQHGACLGLGLAALGTADEEIYEDIKNVLYTDSAVAGEAAGIGMGLLMVGTASEKASEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYSGTANNKAIHQLLHFAVSDVSDDVRRTAVMALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGSGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESFDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSRNKHDKLTAVVGLAVFSQFWYWYPLLYFISLAFSPTAFIGLNSDLKVPKFEFLSHAKPSLFDYPKPTTQQTTTSTVKLPTAILSTYAKAKSRAKKDAESKANQEKTAEDASGSTSSKVAKTQEKEKDAEAMQVDNATEKKAPEPEPTFQLLTNPARVVPAQEKFIKFLEGSRYVPVKPAPSGFVLLRDLQPSEAEVLALTDAPSTVAASTGNTAAAAGQQGSGSSAMAVDDEPQPPQPFEYTS >Et_4A_032242.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25818537:25818896:1 gene:Et_4A_032242 transcript:Et_4A_032242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNGGVGNGNNDQQAFPARAACNGNGNGVKLPQVLQQSFGEVQGILEHNRVLIQEISQNQESGDADGLTRNVALIRELNTNIARVVDLYADLSGSFARSVTTNNANAAGPKRPRSNEA >Et_9B_065163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21708566:21711155:-1 gene:Et_9B_065163 transcript:Et_9B_065163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVASTPRPLRASPRPAPGGASWQAPHHFNARQFQARRPRLAVSASSGAEEDEGARGGPERFYFNFTGFPFPLGPFLNRRTIRTEAVRGSVWLFEQEQALGFSSVSTNIRMTVVKLKSGGLWVHAPIAPTKECIQLLKELDAPVEYIVLPTFAYEHKIFVGPFSRKFPKAQVWVAPRQWSWPINLPLEFFGIFRAKSLKDEDDATPWAAEIEQKVLSSPEVGIGPYVEVAFYHKPSRTLLVTDAVIFVPRQPPACISKESLLASAENGLAVKLLSKGKEVPDEPVVDNKLNRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWVDRIAADWPFRRIIPAHFAAPINASRSDFLAAFAFLDELLPERSAAVPGLSLLFASFMGKAASYFPPDDMKTLSSLDEFLVSVGAVKKTVSGRKR >Et_7B_055258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8876511:8878416:1 gene:Et_7B_055258 transcript:Et_7B_055258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKISAFFKRQAAEPDPNSGDEGRGEGSAGAKEAKLGPKNSSGGELRSKKRTYAQFHLELGQSDFLLHTCSVCGMMYARGNDDDEKVHKAYHKSYFEGVPFKGWRNETVIAKSEGGDRVILVTDENCRMRNSKVQEVIQVMEKELGFGEGQLLHKLCKVYLYISCQRIVGCLVTEPIKTAHKVIPCSSEESFSDFPANNIKSEKIDHTLEFGKISFKREILRRHDHNIKSKEECQDPGVIICQEEAVPAICGFRAIWVVPSRRRKRIGSKLMDVARKSFCEGQTLGLSQLAFTPPTSSGKALACRYCKTSAFLVYRNGDT >Et_4A_033650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25421904:25441659:1 gene:Et_4A_033650 transcript:Et_4A_033650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQETAVEEVLRAAAAEVSTSSVKLRLRLFRHTLPHLLAKATESPSDITLLVDLIFQTLPIYDDRASRKAVDDLVIQALGEPTFMKPFAAALVQSMEKNLKVTSPLISFKLLRWSHYLLKWSQFATLSKGAFSRLAHAQAVLCQVLMDGSFRRRRTCKQLFIHLFSEVNFGNTETSVEKVCMSVEIYKMYLEEVTDLRISTRDSPAFVNLIVDFTTTSPSLSAEHKPVFLDLYIKTILSSKDRPPKAATEAFKPLFLDLGHEDLKNTVVPSCIRMLKRNPEIVLESIEYVLKTVRLDLSRYSSEFMPVVLQQARHSDEGRRITALSIIETLSEKSSDPDTLPSMFNAIKAILGGSEGKLSLPYQRIGMINALERLSKAPPKQIGKLAPSVSSFLLKCYKDDGMEEVKLAILSVLGGWASISAEAIQPDVLSFISAGLKEKDTLRKGHLNLIRLICKKSDSLTKVTSLLDQLIQFSKAGFSKATQRVDGIYALLAVSRLAAVDTKADGAILKEKLWALIAQNEPSLISTQLLSKLADDDCLAAVDLLQSLLVDHLSRIREYFSIQLLLQLLIYLVCHPSWEVRKAAYDATTKVLSYSGGLAEDLIFLFSNWVSLVGERFDIDSATDSQVPFIPSTEILVKCLFLIAPYAVEHGSKSYSRVILCSHHPCVSSSTSRAGVWKRLQRRLRKQQIFFVDLISPNISVICKELLSQDGLFSPKKQEQRAALCSLSTLMTITPHDTFLEFEKLFIGREERTLHDELSENDIKVFYTPEGQLSTEQGIYVAEAVASKNTKLAKGRFRAYDDQDADTARSGVPAKTERREPSGTGKRETGKSIKKTGILISQADPNVDVRGRMINVGILIIDKHGKENVPLLFPIFESYLNKKASDEETYDLVREGVVIFTGALAKHLSKDDPKVHSVIEKLLDVLNTPSEAVQRAVSDCLSPLMVSKQEEAQALVSRLLDRMMKCEKYGERRGAAFGLAGVVKGFGISSLKKYGIAATLRQALEDRMSAKSREGALLGFECLCEKLGRLFEPYVIQMLPLLLVSFSDQVLAVREAAECAARAMMSHLTGHGVKLVLPSLLKVLTDTHPKVQVAGQTALQETTFINSIDAPSLALLVPIVHRGLRERGVETKKKAAQIVGNMSSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRAVAARALGSLIRGMGEEIFPDLVPWLLDTLKSDNSNVERSGAAQGLSEVLAALDRDYFDHLLPDIIRNCSHQKASVRDGHLTLFRYLPRSLGGVFQNYLQAVLPAILDGLADENESVRDAALSAGHVFVEHYATTSLPLLLPAIEDGIFSDNWRIRQSSVELLGDLLFKVAGTSGKAILEGGSDDEGASTEAQGRAIIEVLGREKRNEVLAAIYMVRSDVSLTVRQAALHVWKTIVANTPRTLKEIMPVLMDTLISSLASSSSERRQVAGRALGELVRKLGERVLPSIIPILSQGLRDPDAGRRQGVCIGLSEVMGSAGKHQLLSFMDDLIPTIRTALCDSTQEVRESAGLAFSTLYKSAGLQAIDEIVPTLLRALEDDDTSTTALDGLKQILSVRTAAVLPHILPKLVQPPLSSFNAHALGALAEVAGPGLNSHIGTVLPALILAMDDENTDVQISARKAAETVLLVIDEDGVEILISELLKGVNDSQASMRRGSAYLIGFLFKNTKLYLADEAPDMMSTLIILMSDTDQATVSAALEAFSRVVGSVPKEQLPTHIKLVRDAVSTARDKERRRRKGVPVLLPGLCLPKALQPFLPIFQQGLISGSAETKEQAAEGLGELIDVTSEKTLKEVVVPITGPLIRILGDRFPWQVKSAILSTLTIIITKGGIALKPFLPQLQTTFVKCLQDNNRSVRSRAAAALGKLSALSTRVDPLSGDESVKESVLSALKGIIKHAGKSVSSAIRSRGCALLKDLLQADADEVRSCAAKVIGTQSQYMEDIEISDLVQNLLNMSTSPNWCTRHGALLALSSMSVHCPSQLCHSTSFSSLVDLLKDALKDDKFPVREVATKTLGRLLCFQLQSETGTLQLVQLLVLALRDDSTEVRRRSLSCLKAAAKINHSALAAHHSILGPAISSTLKDSSTPVRLAAERCALHVFQLAKGADNVMAAQKHLGMTGLEVKKIAKLNEESSLGVLLIMLSVLHLSRSGKPPLILSICFLDLYTTLGPHSRMYCSHGGSATTPSPRYSAATMRYLALESTNRLLRAASLRMPTPSAAEPCRYTPGYA >Et_9A_061717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15734003:15739674:1 gene:Et_9A_061717 transcript:Et_9A_061717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPESPSPSSSSGSDDFAALLDSEVDLASEGYSDTLGDAFVSPTGGDDEAEEVKAEFEALEENSTKRPRVEELHQDRATSVTPGKDTAGSSTNVQGELCPHLGFVNGLCFTCGKLQDEEDVSGVAFDYIHKGLRLSTSEIDRLRGADLKNLLRERKLRLILDLDHTLINSTRLHDITPAENKLGIRTIASKDDPNRSIFTLERSHMLTKLRPFVNKFLEEASGMFDMCIYTMADKDYAIEIAKLLDPGNIYFSSKIISNSDCTQQHQKGLDVVLGSESVAVILDDTERVWKKHKENLILMERYHYFGSSCKQFGLGVKSLSESMRDERESDGALATILHVLKRVHAIFFDSAAETDLSTRDVRQVIKAVRKEVLQGCKLVFSRVFPRNARPQDQIIWRMAEHFWSIADGLKLLIFDGAGNQRKIFLFVPRREKVKDTVAGEKKTVQDKQNDVPSQDKAEETVNGHENTEENTLATSTGPTDS >Et_4B_037959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25034669:25041621:-1 gene:Et_4B_037959 transcript:Et_4B_037959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGLLRGLDLLLLPLPNPPSRPPIPPAALLLLPRCLNGFSSRSLCSFPGGGRAVEQFSDDEYDHEYEDLRPSSSVANIDEWRWKLSMLQRNSEEQEIISRDRRDRRDYDQIANLAKRMGLYSEMYGRVIVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLVQEHLDRALLLDKNGGITESGSVMIEKADKNLDEQHDSLLDRSVMEKILQRKSIRMRNFQRSWQESPEGVKMLEFRKSLPAYKEKERLLAAIARNQVIVISGETGCGKTTQLPQFVLESEIESGRGAFCNIICTQPRRISAMAVSERVSTERGENLGESVGYKVRLEGMKGKDTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLARRQDLRLILMSATLNAELFSSYFGGAPTIHIPGFTHPVRAHFLEDILERSGYKLTSSNQLDDYGQDKVWKTQRQLLPRKRKNQITTLVEDALKDSSFETYGSRTRDSLANWNPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWDDISCLKDQLKAHPLLGDPNRVLLLACHGSMATSEQRLIFEKPPPNVRKVVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISKASARQRRGRAGRVQPGECYHLYPRCVYDAFADYQLPELLRTPLNSLCLQIKSLQVGSIGEFLSAALQPPEPRAVQNAVEFLKMIGALDVNENLTDLGRYLSMLPVDPKLGKMLIVGSVFRCIDPVLTVVAGLSVRDPFLLPQEKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFSYILKDAGLIDSDANMNNSLSHNQSLVRGIICSGLFPGIASVVHRENSMSFKTMDDGQVLLYANSVNAKYQTIPYPWLVFGEKVKVNAVFIRDSTGVSDSILILFGGAVMKGSMAGHLKMLDGYIDFFMDPSLAECYLQLKEELDKLIQQKLEDPNFDIHKEGKYILFAAQELAAGDLCEGRFVFGRETSRARLRNEDDGKSNIIKDGMNPKSLLQTLLMRAGHTPPKYKTKHLKTNEFRAMVEFKGMQFVGKPKRSKQLAERDAAIEALGWLTQTSGVKPQDEDDDSPLDLTDNMLKLLTRPRRHSKNQSRRR >Et_1B_010117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24741369:24742271:-1 gene:Et_1B_010117 transcript:Et_1B_010117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPKSVAPSFLLDCARPKKLSYARVRSTSLPVRLHPLAAALHDAARALVAWADAPAQTGPAWVADGAGRAGRVLAGLADLLHHPQARDALRRPWTEQLLDDLLLLADLHGCFRESLVALKQLLAETHAALRRRDGARLAAALRAQRRSAREVSRMASSARDLSHRAAPDDESDEATLADAFAAATAAVAAASAAVFSGVAAASAESAASAAPSVTDLLRRRRTVSFEDSCNEEEEERKAAMARVRGLEECVDAAETGCEEVYRALVNARASLLNLLTPTF >Et_6A_046244.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1118135:1122289:-1 gene:Et_6A_046244 transcript:Et_6A_046244.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPVSSVLPVVLLGCGGVGRHLLHHIVSCRPLHAKQGVAIRVVGVGDSSSLLVADDVHSSGFDDALLTNICTDKSAGSPLSSLLGQGPCQLFKKPEANVKVIDTATVLGRSSGLVLVDCSATYDTVGMLKHAVDHGCCVVLANKKPLTGAYEDFEKLVSNFRRIRFESTVGAGLPVIASVTRIIASGDPISRIVGSLSGTLGYVMSELEDGKKISEIVKTAKSLGYTEPDPRDDLGGMDVARKALILARLLGQRISMEDINVESLYPRDLGPDAMSTNDFLESGLVQLDKSMEERVKAASLKGKVLRYVCEIGSAGCQVGLRELPKDSPLGRLRGSDNVVEVYSRCYESSPLVIQGAGAGNDTTAAGVLADILDLQDLFQKTA >Et_8A_057203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22313195:22316642:1 gene:Et_8A_057203 transcript:Et_8A_057203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVMVSAVMDSVLSKLNDLVGGTFANLIGMSRDILSFRIELIDMNALLKKLEGNEQLDPQVKNWRNQVLEMAYDIEDCIDDFKNNVRSADAKASLIGRFSDFLMTLRACFDTAMQIKELKTRLQDMNDRRKRYKVDDCTSSTAIETLDPRLPALYNEATNLVGIDNPKEEIIKWLLDEDQKLKVVSIVGTGGLGKTTLANEVYREAGEFNCKASVSVSRTPDMTRILNGMLSQLQRGPISHAYDVQYLIDSLRKYLHDKRYLIIVDDLWGVPPWDTIRCAFPANNQQSRVIITTRRVDVARACCTDHRYIHYLQPLCDTNSRTLFFKRISVSEDDSHSSHISETVLCEILKKCGGLPLAIITISSTLACQQPRRQKEQWECIQNSLAIQSATNPALEQMVRILDLSYKSLPNHLKSCFLYLGKFPEDYKIRRDDLIRQWVAESFVTSSPGRDVWDIAKGYFNALVNRSMIQPIYDVFGTEVLYCKVHDMILDLILRRCGEDDFLVALRDPQVVVEAKYKVRRLSMDMSAIEDATMTAATVSLLSQVRSVAMFGGTDWVPPLLEFKFLRVLFIEFHKHIHRIDLTCVVHLSQLRYLKIVAKAGSYRLSIQLPSQMRRLQHLETFEFRSYIPRGVSIPPDIVDLPHLSHLIMPNNTRLPDGIGKVHSLRTLVGFSLQACSSDVIRSLGTLTNLVNMGLGCMEEWTNSECMLTATCALTSALEKLSNLKRICMATRGGCRGDSLSSFSPAFNNLERLEMLGVTFPRVPRWISCLHDLHRLELGVDEIFMEDVGILGTLPSLVSLWLRICGVPAERIVIAGSTGFRVLQMFHYVCDGISRLTFEAGAMPKLWALYLSFDPRDCNKAMPVGLEHLSGLKRISAWRVGRPPPEEKEVEGVMGEVRGVFQVAADALPTRPTFTLEKGWVEKLLGELDVVPQYHVGFSNNDESFIYVVHIASTWYW >Et_8A_058202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23911267:23913264:1 gene:Et_8A_058202 transcript:Et_8A_058202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGQLRSYVADAWTDSIHGLPLLRKGDANPSIINNLVLNLVLLFVGRERQHLQAAPGRAWAWGDGLLRDEVPDVAVEGASDERDGAADGVHGGDGVPEDHPRHQHRHRHLEVAGHVTAVVEWIT >Et_9A_062388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22415038:22418097:1 gene:Et_9A_062388 transcript:Et_9A_062388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVNPLTGFRVDGRRPNEMRQLKGEVGVVSQADGSALFEMGNTRVIAAVYGPREVQNKGQQVDSKNALVRCEYRMAEFSTGDRRRKPKGDRRSTEISLVIRQIMEASILTHLMPRSQIDIFVQVLQADGGTRSACINAATLALADAGIPMRDIVTSCSAGYLCSSPLLDLNYIKDSAGGADVTVGILAKMDKVTLLQMDAKLPMDTFENVMGLAIEGCKAIASYIREVLLENTKRLECQRG >Et_1A_005956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1642941:1643910:1 gene:Et_1A_005956 transcript:Et_1A_005956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSKKKTVLLRIGSCILCSTNTQVFRTASAENEAVLPKAPSRLLNKTITKDVRRAGNYKYRIEELNDPPVRRPGIRSLLPLAGGDLLTGGTDLKIRYWDQARPEQSFCIAGPSEKDAILAKGSERDEFYSYDIRSSFGVQVVQEICKQPTTASKSHKTQLAMAAADSAGCHRDAILALATFNLSSQRLISASRDGAVK >Et_2B_018928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17476580:17478133:-1 gene:Et_2B_018928 transcript:Et_2B_018928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRDVVSWTSLVAGYAQNDMPEEALGLLPAMLGARVAPNGALLDMYARRGRMDEAFAVFDRLGSRNGVSWNALIAGFARKGDGETTLKTFAEMQRNGFEATHFTYSSVFSAIAGLGALEQGKWVHAHMIKSGQKLTAFVGNTILDMYAKSGSLVDARKVFDRVDKKDLVTWNTMLTAFAQYGLGKEAVAHFEEMRKSGIQLNQVSFLCILTACSHGGLVKEGKHYFEMMKEYNVEPEIDHYVSFIDLLGRAGLLNEALVFAFKMPIEPTAAVWGALLGACRMHKNAKIGQFAANHVFELDPDDTGPPVLLYNIYASTGQWDDAARVRKMMKQTGVKKEPACSWVEIENSVHMFVADDDTHPSAKEIYGMLDEINMRIRKAGYVPNMDYVLVHIDRQDREAKLQYHSEKIALAFALINMPAGATIRIMKNIRICGDCHSAFKYVSSVFERDIVVRDTNRFHHFSNGSCSCGDYW >Et_2B_019436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29616063:29617277:1 gene:Et_2B_019436 transcript:Et_2B_019436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFNPTAHRRTRSSEDDDKFSEARFLSLTEDGRTYAIRQPAPAVSDRLCVGSSDGWLITADDASELHLLNPVTGAQVQLPSVTALPYVDASRDAEGRVVSYSLRCCFTDDGDEAMMMMMIPPETFAADRLRYELYEKAILVSAPRWSGSWGGYAVLLIYQPLFRLAVARAGDTEWTLLDTPGRCWVDAVRADEGTQRRVVYTMDTAGRVDAWDMDAATPTPSSWPIAPPCCCTCTGRSCSMSAACRRYIVELSPGHLLQVHRLRDAAHARYKWEPRPEHVEYTTAKVELFEYTTAGEGGRGQWAPVDSGKINNGVLAGRALFLGKSASLCVQVDDGGCCPAVKGKPPRGAVRDLLWKWPPPVWVFPSLAN >Et_4A_033830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27171915:27173071:-1 gene:Et_4A_033830 transcript:Et_4A_033830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSIQQALIEDLPNNIPKRKPSEGTKRFRKCRSMPSSDPDKSPTEDGSLRQAKELFKGLRPSFKLAGLLLFVYLLVGVIVFYLVMDQISGKRTNRVLDALYFCIVTMTSVGYGDLVPNSDTTKLLACAFVFTGMAIIALFISRAADYLVEKQELLFFKALHMNMKGGEAKMLRAMEANRIKYKFYTAALLLVMTIVAGTVFLWKVEKLSLVDAFYCVCATITTLGYGDKSFSSEMGRVFAVFWIITSTVIMAQFILYLAELYTERRQKMLAKWVLTRRITTMDLEAADMDGDRQVGAAEFVLYKLKELGKISQDEISSFLEEFDKLDVDQSGTLSAYDLTLAQPAQ >Et_3A_024882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25012629:25017982:1 gene:Et_3A_024882 transcript:Et_3A_024882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRDAAGARRWGDGEEAAEEGAGGAGGPVEDGGEVSLREWLDRPGRAVEAAECVHVFRQVAEAVAVAHAQGVAVGSARPSCFVVSPPFARVAFIESASGSDASGGSCSGSDASDDTDADPAASPPRRGDGGAARGEDRPGKGFPLRSVLAMELNWYTSPEEADDSGGGGATFASDVYRLGVLLFELFCTFETMEEKMRAMANLRYRVLPPQLLLKWPKEASFCQLLMHPVPETRPKMSEVVQSEFLNQSRNNLEEREAALRLREEIEEQELLLDFLQQLQKRKQDIADNLQDTVAFLSSDINEVLYQQSALGQCGNISSDLDKEVCSETVEDQSDCGSRKRFRPELQGVDVEEQNRSLEECSRTVPSSVVIQETVLSKSSRLMKNFKKLETAYFLTRSKLAKQAVNQVSNCRQVVKRTAGSAVGTEGSSIDDSPLEGQYGRRRRGWVNSFLEGLCKYLSFSQLKVRAELKHCDLMNSSNLVCSVGFDRDKEFFATAGVNKKIKVFEYNMIVNEHRDIHYPVVEMSNRSKLSCICWNSYMKSHIASSDFEGIVQVWDVTQSQVFVEMREHERRVWSVDFSNVDPTKLVSGSDDGSVKLWDMNQAILFLHLLYAGSVGTIRTRANVCSVQFQPDSARSIAIGSADHKIYCYDLRNIRAPYCTLVGHSKTVSYVKYLDASTIVSASTDNSLKLWDVSRSPGSIIDSPIQTFTGHTNTKHFVGLSISDGYIATGSETNEVFVYHKEFPMPVLAYKFSVTDPISGQEIDDPSQFISCVCWRGQSSTLLSANSCGNIKILEMD >Et_3B_031201.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20614002:20614595:1 gene:Et_3B_031201 transcript:Et_3B_031201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKDSVFLGVGYFIAAASFLGMVIIFVVAISAPPPYVTVSLVNARGLDIDEQARTAPPEFDVTIGFLHLDGDFAVGHAGGHVAVSYAGVKLAEGTLPKFYVAGGPKRVEATRTVASGDKDQGPLPQEFRDHLWVDQQLDGVAEFDIALSFFDVNVTSNKSTRSSYYNCKAGLALPGKAAKPSKCGEVVSLLSRHS >Et_1B_010341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9556265:9557113:1 gene:Et_1B_010341 transcript:Et_1B_010341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGQAAVAFLTKVAKVAAGVGVAASAVSASLYTVDGGERAVLFDRFRGVLPETVGEGTHFRVPFIQSPFLFDIRTRPHTFSSTSGTKDLQMVSLTLRVLSRPDVLKAVVAQFNADQLLTERPQVSALVADSLRRRAREFNIVLDDVAITHLAYGVEFAQAVEKKQVAQQEAERSKFLVAKAEQERRAAIVRAEGESEAARLISEATTTAGNGLIELRRIEAAKEIAGVLKSSPNVAYIPSGDNGQMLLGINAAR >Et_8B_060362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1075312:1077512:-1 gene:Et_8B_060362 transcript:Et_8B_060362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTRARRQRRRPAAEADEAMPSTGVEAAASHAVRDETEEEDGDASIKHAVVLPPHLVDGIVWYLGALESARVAVVCKSWAAIVSRRLADPAPHLFAFRSTATHRRGAIVEVPLGGDDRDATASFRGGVVVSPAHRALGRVTRKTECVGATASGRLVLVNSRRTVLFNPVTGTFRRITKFPGDYHHNPIPVVPVPGGDSFFLAGWNEVGLWRTDGDEWTAAGASSPSTPTATYFTKLADVPSLLDKYCTALAGAVGKGYLVEADGALHFVWPLFATRRVAKRDIDPDLLDSDDSDDNEDDDYFYEDATAVCGFEVYRLDVAEARWVKLESLAGDQALFVSRWSSFAARASEKGCVSNCVYFVCDEGDGDTWGAYSLPERRMLFEHAIGTGSYKERLWFYPRAREPGSDEMDRRRLEEIGIDCIDQILSTHSISH >Et_3B_029021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21398689:21402004:-1 gene:Et_3B_029021 transcript:Et_3B_029021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRVGVVPVSAVCALLLLGVVSIWAPRADAARRPESRRDQATVTVSVSNGSLQMQMAGLVKIRKTLRAIDGVSKVYVDQANHKVTVVGIADPQEDREGHQEDQPARTPIQRPRLNLLRRPPTLLLRQRRHRLNPHRRTRRRRLPPATDSCTVIHTVHDSPYSHDHRLYPEHWANHSIDMRGVGTSDVTHSYSHHRPSPYAAEYGYGGSSAQEGRYYSHDY >Et_2A_014628.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3058332:3058634:1 gene:Et_2A_014628 transcript:Et_2A_014628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRTSKLLAQPKKQLKLDTAFSCPFCNHGGSVECSIDRKHGVAEASCFVCKEFYATTAHALTQPVDVYSDWIDACEKANEGVRRQVSYADDEGLYLG >Et_3A_027076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32091637:32101560:-1 gene:Et_3A_027076 transcript:Et_3A_027076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKRSAESFAQDDEADRLKKQKECQDFTPRRYQLDVYEAAMRQNTIAMLDTGAGKTMIAVMLIKHFGKISRANNDRKLIIFLAPTIQLVTQQCEVIKSLTNFEVDQYHGAKGVDQWKAINWQEQLSKYQVMVMTPQVLLDALRQAFLTLDMVNLIIFDECHHATGNHPYSRIMKEFYHKSEHKPNVFGMTASPVIRKGVSSDLDCENQLSELENLLDAKIYSLADRDEIQLCVPSATEVSRYYEPRTVCFEDLSEELGILYSKYDEGIAQLQNRPNYQYEDADEIIKESRRQLSKSFAKICYCLEDVGLLCASEATKICIERGQRKGCLKGGGDNTDRQCDVNIPDLFAENSMLHMKFFEEMSHVIDKRLQQGASIDALLNSESGCVEATKMGYISPKLYELIQIFLSFSDFDRVRCLIFVDRKITARVIEQTMKKIGHLSYFRVSFLTGGSSSVDALTPQMQKETLDSFCSGRVNLLFTTDVAEEGIHIPDCSCVIRFDLPRTTRSYVQSRGRARQKDSQYILMIERGNVKQEDLISAIMRSKNLMVETASNREPEDRHPISFPAEEINEYHISTTGAKITTESSISVLYQYCETLPKDKFYTPRPTFQFTHYGDGFECTVTLPSSAVFQILVGPKARNMHKAKQLVCLDACQKLHQLGALDDCLSPFREEKPLEILSKANNCTSGAGVGTTKRKELHGTTRVLAMSGTWSSNRSAIKLHAYKLNFVCDQVGQKYSSFVLLIDANIAKEAATLNVDLYLHDKMVKASVSPCGPIELDAQQMEQAKLFQGLLFNGLFGKLFTGSKSSNTPRDFILKKDDTLLWNNANMYLILPVHPTLDSHESFCINWSVIDVVATTVGLMRSIYSEDNRNLIEILNPDKNGDLIHLANRSCKAGDLRNVVVLAFHTGKIYTALEVVGKSANSTFDGSSDKKETAFRTFAEYFEKKYSIVLRHPSQPLLLLKPCHNPHNLLTSKCRDEEKMNETATRANSHVHMPPELLIPLDLPVDILRAFYLFPSLMYRIESLLLASQLRSEIGYVDSDTSSFLILEALTTPRCCEDFSMERLELLGDSVLKYAVSCHLFLTLPNKHEGQLSSRRQETICNATLHRLGTKRNIQGYIRDAAFDPRRWLAPGQLSIRPCPCECPENSEAVIEDNNKSIVIGKPCDKGHRWICSKTISDCVESIIGAYYVGGGLKAAVAVLKWLHIKVEVEEELIMEAMSRASVRTYLPKVDFVELLEAKLSYVFLAKGLLIEALTHPSQQESGATYCYQRLEFLGDAVLDILITNHLFLTHKDTDEGELTDLRSASVNNENFAQVAVKHKLHHFLQHSSGLLLDQITEYMNSTEGSSMDKTTLLSNGPSKGPKVLGDIVESIAGAILIDSKLNLDVVWQVFKPLLSPIVTPESLELPPLRELREWCDKNGYFVGIKCENRGDNVVAIIDLQLKDKLFVRQGIGKRKIDARAHAASLLLKDLKKKGLLIPQNASTTEQIEKKHCGPKQSNRVIDAMGSQTVAPPHRKELAVSMTALDSVIDKPLIVKVKMSKGGPRVSLFESCKKLKWSMPTFEFVKVEPSGCPSSGSSSQKVAPQGFAFASTITLHMPSGDIISLTGDGRSDKKSSQDSAALLMLYELQQRGRLLVQEV >Et_1A_004979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21009382:21010452:-1 gene:Et_1A_004979 transcript:Et_1A_004979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSSALLPFPTALRSDRQNELHAHLFLHRTVLGANHNQASIVEPGHRKGFGSTVVNDWAVTDSLGPEARVVARARGIQHPGRIGHLTILLMVNRFDGSTLQVMGPVVERGEWAIVGGTGEFTLAKGVIYKKFHEQRGDGNIMELDIYSCILHSYGKSQVSLLLLHKKAKESLSSAASARVRLIPPVVCTRSARSDRCSISYARESAVYTYPKPITLSRTQKKQTNGDGELYVVVLTSRRTTSPLSLPSAAGENLDERGRHVPLDGQVGDVSGDGDVHDVARGGASSSCPGRRT >Et_2A_017754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5909788:5911231:-1 gene:Et_2A_017754 transcript:Et_2A_017754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVSDELLGTFVPIAVYWLYSGLYIVLDGFGMDDYRLHPKGEESKNIVSKWTVVRGVLVQQAFQIAVSLLLFTVLGDESGTVRKQPHILVIVLQFIIAMFVMDTWQYFMHRYMHINKFLYKHVHSKHHTLVVPYAFGALYNHPLEGLILDTIGGALSFLIAGMTPRTGIFFFSFATIKTVDDHCGLWLPGNILHVFFSNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYTLETRKGGGFEARPVKLNKAEQTKAD >Et_10B_003951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8381627:8384958:1 gene:Et_10B_003951 transcript:Et_10B_003951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRVLGRTGLRVSAVGFGASPLGHVFGDVPRDVARAAVRRALDLGINFFDTSPYYGGTVSESVLGDCLRHAAVPRDSFVVATKCGRYKEGFDFSADRVTRSIDESLARLGLDYVDILHCHDIEFTNLDQIVNETIPALQKIKESGKARFIGITGLPLNIYTYVLDRVPPGSVDVILSYCHFGINDTALVDLLPYLKSKGVGVISASPLAMGLLTDNGPPDWHPAPEELKSACRKAADHCREKGKSIPKLAMQYSLMNNEISSVLVGMNSAEQVEENVAAATELSTSGIDEELLREVEAILSPVKNLTWPSGIQQP >Et_2A_018717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5853276:5854968:-1 gene:Et_2A_018717 transcript:Et_2A_018717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLQGFGFSQHDVREILRKAPAVLSLSDQKVQGNVDFLMKDVGLDAPYIAQRPVLLLYSVERRLLPRHWLLKSLKEKGLLIFEFDYYVTASMGEKSVARQHMLDM >Et_7B_053730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11662818:11670608:1 gene:Et_7B_053730 transcript:Et_7B_053730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGEADLAPESVDAEVVIAGGGIAGLATALALRRVGAARDVVVLERHAELRDTGAALTIFPNGWFALHALGVAHKLTSRYEAYETSRVTNLETGATQVFRFSGNKTSPEEVKLRPMDRKALLQALAEELPPGTIRFSSKLVSIDTEPAAGGDSSETVLVQLDDSTAIRAKVLIGCDGVHSVVARWLGMSEPVSSGRSAVRGLAVFPSGHSLKQELRQFLSDGLRAGMVPISDTHVYWFLINNTNEAARDPIKTLHEVTDNLASHMPAEFLDVVRHSNFNNLSWAPLLYRNPWSLLTGAATRGAVTVAGDAFHPMTPDIAQGGCSALEDAIILARALSRSSTPAEGMASYVAERRWRVTWMVAGAYLSGWVQQGGTKNGGMLGYMIKWFRDGIFYRFMAGGGVDAEVVIAGGGIAGLATALALRRAGVARDVVVLERHAELRATGAALTIFPNGWFALRALGVAHKLTSRYGAYDTCVAACFAVAFPVVIDRLTSVVSAHRSKVTNLDNGTTQVFRFAGNKARVRPIHRKALLQALAEELPPGTIRFSSKLVSIDTEAAASGGSSEIAVVLLDDGTVIRSKVLIGCDGVHSAVPRWLGMPEPVSSGRSCVRGLSVFSGGHMVKFELRQFLSEGLRAGMVPISDTDIYWFLKEAAGDPTKTLHEVTNSLASHMPAEYLEVVQHSDLDNLSWAPLLYRNPWSLLSGRASRGAITVAGDAFHPMTPDMAQGGCSALEDAIVLARALSRVTTPAEGVASYVAERRWRVAWMVAGAYLSGWVQQGGTNVSGMRGYIVKLFRDQIFYRFLFPKLADAMWFNCGELTPREKDKDHLEQ >Et_3B_029351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24263609:24269995:-1 gene:Et_3B_029351 transcript:Et_3B_029351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLRTLPPAPSSFFLSPPHPRQSNLISTAATNKPVRSVKATALAEVATAAGDRGVNKLSARTSMRQERWEGDLAVEGHLPLWLNGTYLRNGAGVWEVGDSAFDHLFNGYATLVRVSFRQGRAIGAHRQIESDAYRAAKAHGRPLHRAFAYRPKPANLIDRVRNVVGLITGTATTDNPNVLVAQLGDGRVVCLSEPTRSTALVDPETLETVGKFGYTDKVGGILQSGHPVVNGSEFLTLLPDLFRPGYTVVRMEAGSAHRGYTDLFLPVCLRLWRAPAVQLPQHTHKDRPVASVEVPPFMAIHFVNAYEETREDGQAASAVIVDCCEHYGDPAIIETLVLNRLRSFRDENVLPDARVGRFRIPLDGSPFGELESALDPDEHGRGMDMCSINPAYLGKKYRYAYACGARRPCNFPNMITKVDLVEKKAKNWHDEGAVPWEPFFVARPGATEEDDGVIISIVSTMEGDGHALVLDARTFEEVSRVRFPYGLPYGFHGCWIPERI >Et_7A_050785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1178006:1180270:1 gene:Et_7A_050785 transcript:Et_7A_050785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMMIKTPATLLVAAFLLLAAAAEASSFDYAGAFDKCLQFFEAQRSGKLPSDRRVQWRGDSALTDGFLQGVDLVGGYYDSGDHVKFGFPMAYALTMLSWGVIEFEKEMIAANNLQRTLDAIRWGTNYIVKAHTEPNVLWVQVGDGDSDHLCWERAEDMSTPRTAFKIDRQHPGSEVAGESAAALAAAAKAFKPYDSMYSDLLLLHAKQLFTFADTFRGRYDDSLKSAKKFYPSASGYEDELLWAAAWLYEATGKADYLHYISQNAEAFGGIGWAVFEFSWDNKYAGLQVLLSKVLFEGGSAGYADTLKQYQAKAEFFLCACLQKNNGHNIKTTPAGLLYFDEWNNMQYVSSATFLLTVYADYLGVSHGSLKCPDGEVKPAEIIKFAKAQANYVLGKNPKGMSYMVGYGSSFPTHVHHRGASIPSIFAMKDVVGCMDGFDRYYNSKGPDPNVLHGAIVGGPDANDRFVDDRCNYQSAEPTIAGNAPICGVFARLASEPAHASDDKSPAYPQPQDSTPSNGSPLEFVHTVSNSWTENGVERYRHVVTAKNTCGHAITYLKLHINELSGPIYGLSSTPAKDMYELPSWLKSLNPGDQLTIVYIQGGPPAKISVVNYKTA >Et_2B_022470.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2313024:2313293:-1 gene:Et_2B_022470 transcript:Et_2B_022470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITWLLLLTCCLCPAASAGRCKFPAVFNFGDSNSDTGGFWAAFPAQPGPFGMTYFRKPAGRASDGRLVIDFIGTAHAFLHYFSSFLRF >Et_10B_002624.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18756707:18757828:1 gene:Et_10B_002624 transcript:Et_10B_002624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAIRAFIHDTNIYACAPGVLAGQFRATPRKDDRFFTTVQRQKKSSKAGKGYVRVAGPEGSWSFQKGEAVFMDDGKSVKVGEVTKLRYKFKNGKYADWLMEEYSCACSCPDAVVGDRERVFCRIYVSPNAGADSAARRESAAFAEQPAPPPEPVVMAAHALPARNRRPAPPPIMKPPCPKRIRGAPISPIRPPPSFASPRPCVPPSSMAPPSAPQVQTAPAPRRPCAPYPQQTRAYHVPEPAAARHLPPRAHPVEQAPLTPRPAPAARVPDPFASPDHPLPTEAEDPADAQEVYDELMKEFDKIPTEEEAEDTPVAMASQAAVVKQEADDDDMDLLFANDLDNMLDTEDEEKEQVHKEMDPFAAAFPDYLHD >Et_2A_016682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27156123:27158222:1 gene:Et_2A_016682 transcript:Et_2A_016682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVESGPSSWPEMADVVPVPQDDGPSPVVPIEYRDDFREVMDYFRALYFAGERSERALRLTVEAIELNAGNYTVWHFRRLILEALNSDLLEELNFVNEIAECNPKNYQIWHHKRWLAEKLGPDVANNEHEFTMKILAIDAKNYHAWSHRQWVLQALGGWEGELQYCNQLLQEDIFNNSAWNHRYLVITRSPLLGGITAMRDSEVDYTVEAILSNPQNESPWRYLKGLYKGENNLLVADERISGVCLKVLKNDWCCVFALSLLLDLLRSGLQPSDELTGTIEAMKNSDPEMADVDLAMTVCSILQKCDPLRINYWSWYKTTLSSQT >Et_6A_047954.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:25722211:25722753:-1 gene:Et_6A_047954 transcript:Et_6A_047954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLQQSTAMAMGPAMCGALGDLAASLERALELVTVCQGKPKVLSLLGAKDIAKELRWAHDDIMKKVILGSFAANVVQTNIMVNNMQYPSPPPPPLWTRPMPHVEPWPMHSPPILAPQPQPTPWQTNQWSSPQVAPWQKPPSMMAELCCPRSWLQGDNVAQTFCPP >Et_7B_054306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:19700777:19705800:-1 gene:Et_7B_054306 transcript:Et_7B_054306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRVAIVGAGVSGLAACKHLLERGCRPVVFEADTVIGGVWAHVPDSTALQTPRPMYQYSDFPWPEDVTEVFPDHSQVTAYLDAYARHFSVLDCVRFGHRVVGMEYDGVREEAVVAWEEWAGNGEAFGSGAGEWRLEVADAEGGVEVSKSATLYISSGNQSALFTKNYFPFMHARRHCLLIRHLNYFMMNTYKVVVGSDRYFFCTLSLRSSSWWNTCCRADVTYKVDFVILCIGRFSGVPNIPTFPPGNGPEAFDGQVIHSMDYAKMGTKKAKEMLKGKRVTIIGYLKSAIDIAAECAQALIIHVHWWSEQSIESYRTISLGDSTYHFLYLNRFAELLIHKPGEGFLLWLLATMLTPLRWLFSMFAESYYSIPMKKYDMVPDHSLFEALATCLVAIQPKDYYKRLDEGSILLKKSKVFSFCKEGVLVEGESSPIKSDIVIFGTGFRGDQKIKDMFTSEYFRNVAVGTASTTVPLYRECIHPKIPQLAVLGYSESIANLYTAELRAKWLAHFLDGAFRLPNVTSMQEDVLEWEKYMKRYAGRYFRRSCIGVLHIWYNDQLCKDMGCNPRRKKGFIEELFGVYGPTDYANLHPQN >Et_4B_037303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17903291:17904777:1 gene:Et_4B_037303 transcript:Et_4B_037303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALARGKSGIGSSSGSARFEAKGKSSAAASASSSKATKRTTATRGKAVKKVYSLAGQKFDPPEEREPLRIFYESLSKQIPSSEMAEFWLMEHGLLSPERAKKAYEKKQKRQQQIRMGTPIKPTVRKPETLKKPSTSSNMDSKSKKRVDYSDDDDEFIVKMKRAR >Et_7A_050838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11460907:11465997:-1 gene:Et_7A_050838 transcript:Et_7A_050838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPETVMDCISLTATTASTMSPETAMDWISSTGATACSMSPEPATAAGAMSPEPAPAAGTMSPEPSPAHQQSADAEEPFSSHYGDVPSEEIQSKTVSGRVWSKVRDLNEAAAGRSVLIRGRVHVIRRVSNKMAFVVLRQGMSTVQCVLVANPDDGVSTQMIRFATLLSKESIVDIKGVVSLPKEQLKSTTQQVEIQVRMIYCISRALTTLPMNVEDAARSESEFENTVQAGEKHARVGQDTRLNYRSIDLRTPANQAIFHIQYQVENKFREFLLSKDFVGIHTPKLIGGSSEGGAAVFKLMYNGKPACLAQSPQLYKQMAILGGFERVFEVGSVFRAEKSNTHRHLCEFIGLDAEMEINEHYFEVCDIIDGLFVSVFKHLTENCKNELETINKQYPFEPLKYLDKTLKLSYEEGIHMLKEAGTEIEPMGDLNTEAEKKLGRLVREKRAVECGIDVNTISSYIESFSYGASPHGGFGVGLERVVMLFCALNNIRKTSLFPRDTQRLFP >Et_7A_050273.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:18582599:18583015:1 gene:Et_7A_050273 transcript:Et_7A_050273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLIITVACLLALAASSTVAVAAQCRFEILVKTGGRRNAGTDARVSLQVSSTHGPTLVIPNLESWGQMSAGHDYYEKGNLDRFVGTGPCMPSEPCKMAIRSDGSGNKPGWYVDYVQVTQLGQGSVSSMTHKWAVDQWL >Et_5B_044820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6098017:6101175:-1 gene:Et_5B_044820 transcript:Et_5B_044820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAVSPAAASSLPRALTGRLTQRAGCGCLAKQSVMMSSPAGFTRLQVGTVDFVSRPLKEKMDKAIETIQSNFNTVRTGRANPAMLDRIEVEYYGTPVNLKSIAQISTPDATSLLIQPYDKSSLKLIEKTIVSANLGVSTSNDGEVIRATVPPLTSDRRKELTKTVAKLAEDGKVAIRNIRRDAIKAYDKLQKEKKLSEDNVKDLSADLQKVTDEYMKKIETIQKQKEEVYLFIGLFR >Et_3B_030071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30259448:30265275:1 gene:Et_3B_030071 transcript:Et_3B_030071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLREKHWGVVVKPGETLKCDPGEFYCAVSQIALEGGKGNENVEVFVKVNGNILLMATLSAFRHPQYATELVFEKEFELLHTSKTRNVSVIGYRFSNGERKYPCVLLSSIFAKNLKYINALVVLVGRIYDVFPAHLAYLAYKLMFSDDEFEYIGLFQLSKEAKICSEKLAAPRRAAVQSSKPKVTLEKRLNPGKLNNAKGKNRLVETPLKTPMEKKAKKTTSFTGKNISRAVKERDHVHVATPYPSEQVKRSPFSISPSYVCKSCSNVLLFPGVVVRPGEAVKCDPGEIYCHLSQIALQADMGNEDVRVFVKVSGQEILLGTLSVGKYPQHTTSLVFDKEFELLHTSKTSNIFAIGYKFNSSDDEVPLAIPLYSNSDGIVFDANSIFVLILKTHVLLWDDKSKETKSGAGKPSGSDSATIQSAKPKPNVDGNDEDDSDGDDEVGDSSDEDDSEYSDEGVKEEFTKKAKANISPAKTPLKTSLVKKAKIETPSKTGSSIAKKSGHVHVGTPYSSKKVKKTPNRQNL >Et_2B_021972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7583189:7584931:1 gene:Et_2B_021972 transcript:Et_2B_021972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHAWPCHEPAPIWFRSLVFLGAVYAAAFSFRLVAYLALCLRRPTDLRRRYGTWAIITGPTSGIGRSTAMELARRGLNLVLVGRNADYLRETSDAIRSRHGVETKTVLFDLSLVGTAQGDKAMRRLRDAVAGLDVGVLVNNAGVERPYATYLHDVDVEVWVRMISVNLWAVTEVTAAVVPGMVARGRGAVVNIGSAASEAVPSFPPSTMYAATKRYVAHFSRSLHVEYKGKGIDVQCQAPFFVATRMVIGIKPLSWLAPFVLTADAYARAAARWIGHSPLCFPTVRHQLLWCITTIVPDAALEWLLVHLMPMAEGGLPE >Et_3B_030522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4607140:4638535:-1 gene:Et_3B_030522 transcript:Et_3B_030522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSEVKLWGNLKNRVQRHLIVGSVIGVLLVLLTYLVISQQFSISAHNVVITAAQWITDQQRTEARHETAEKGEVVCNSESLYSDACEVDGDVRTNGTALPVAVVPTGSSGHLEWRIRPYARRTASSIKEVTVTQLSDRDAAPPCTVTHDVPAVLFALGGHAGNYWHAFCNVLVPLFVASRRYDGEVLFLVTNIQPWWLVKYATAVRALSRYDAVDIDRDAEVRCFPQVTVGLRIDKLFTVVPEMAPGPGGRRRLTMADFTAFLREAYALPRGAPTRQTPAGKKPRLLLMRRGHNRRFVNEEEVARAAEAAGFEAAVVELPRYGRVDEQAPAVNAFDVLMGVHGAGLTHAVFLPTGGVLIQVVPYGNMEGIARAEFGAPATDMGLMYLEYSVTAEESTLLETLGPDHPAIRDADSVHRSGWDKVNEFYLQKQDVRINVTRLAPTLAQAFDHFRHKVVCNTEGRFSESCEADGDVRVHGTTLSVSVVPTTSWAAERREWRIRTHARKSVDNIRKATVTQLPDPAAAPPCTVTYTMPAVLFAIGGHSGRNFWHDFADVLVPLFVASRRYDGEVQFLVSNIVQPRWLDKYKTLLRRLSRYDEVVDADGDAHVRCFPHVDVGLRIDKEFSVVPEQAPAGGPRLTMADFTAFLRETYALPRHEAVSLAWDAGSRKPRLLLIHRGHYRRLVNEQEVADAASAAGFDAVVMELRGDTPVGDQAKVVNSLDVLMGLHGAGLTNAAFLPPGGVLIQVVPFGKLEFIARVEYGEPAEDMGLKYIDYNIGLDESTLPEMLGPEHPVIRDPDSVHRSGWAMVDEFYLRKQDVRINVTRFAPTLAQALEHLRRGHEVKPGRSLTTWRHLNAGIVVAGVLLVVLTYLVVSPQFAVNARYGGEKHSSLHLTLNGICHPMACSSVLLVITKARWTTEEQLIRAPGDGETGERFSPYDLTQVLCFRLKVQNVRPEWEEMRMNGAWSTHGEDSNQGESSLSWRAAEQQGKVTCSSEGYFSESCQVDGDVRVNGTALSVTVAVPGNQSSERREWSIRPYAQRNVHNIRKATVTQLLDHGPPVAALPACTVTYAVPAVRFAIGGHSGRSFFHDHSDVLVPLFAAYGGEVQFLVSNIVQPRWLDRYRALLTRLSRSWPWTATRTSVTVGLHIHKLFNIVSELAPGPGGRRLTMADFTAFQREAYVLPHHEAVSLARESSEKKKPRLLLIQRERYRRFVNGDDLARAAEAGTVGGNSTTTVAEQAQTVNSFDAMVGVHGAGLTNAMFLPPGAVHVQVVPYGKMDAIARSEYGDPMIDMGLVYLEYVCNQPGGEHAAETLGPEHPAINDPESLHRSGWDMVNEFYLKKQDVRVNITRFAPTLAQAFEHLRRRHEVKPGRGGLRTWAQRHLNAGFVVGFFLVLLTYFVVSRQFAISAPNAVTTNAPRRVADKQVIRAPGDTEGEEKRGQEWQPKAEEQQPHQDTSGAVPAEESPKRDDADGKPSAETGKVVCSTHGPYSDTCDLDGDVRVNGTGRSVTLVPASGQQSERREWKIQPYPRRHMLGMEDVTVTQLASPLDAAAALPACTVTHDAPAVLFALGGLTGNYWHDFSDVLVPLFVASRRYGGEVVFLVSNIQPWWLGKYEAVVRRLSRHDAVDLDRDAETRCFRHLTVGLHLHKELSVVPEWAPGGHRLTMADFTAFLREAYALPRAAPVPGRKPRLLIIHRGHYRRFVNVQEVARAAEAAGFEAVVKDPRGDAPLAELARAVNAFDALLGVHGAGLTNAVFLPAGAVVIQVVPYGNLERMARTDFGEPVADMGLRYLEYSVAKEESTLLEMLGPDHPVIKDPESVHRSGWDKVAEFYLGKQDVRINVTRFAPTLEQAMQHLGQQDSRAGDDDKMGGDQGKLMKSLRGAGQKYLGVGFLLGFFLVLLTYFTVSEQFAISAPNAIRRSSPGHRSPTTPAVAEKREELPVIEQKPPKAEHVQAAQPPPIEEPHTETELEQKPVTADGAAEESAPAKKPACDIQGPWASDVCDISGDVRIRGSAREVLIPPSIESGGANPNPQEWRVLPYSRKHMAGIKEVTVRELASASDAPACGARSPVPALVFAMGGLTGNYWHDFSDIMIPLYLQAVRFEGEVQLLVDNFQPWYAGKYRAIFKKLSKYEMVDMSKDDQVRCFPGAVVGIRMHKEFSIDPAREPLGHSMPEFTKFLRETFALPRDAPLKPVGEKNKKPRMMIISRRHPRKIMNVGELVAVAERVGFEVVIGDPPFNVDVGEFAKEVNAVDALVGVHGAGLTNSLFLPTGAVFIQINPYGKMEHIGEVDFGVPAVDMRLKYIAYSAGVEESTLVDTLGRDHPAVRDPESIHRSGWAKVAEYYLGTQDIKLDLVRFEPHGASRGGAGARERKPKHGARATAKNQSKLEPAGNRQVAACLLALAVCISVVKLLAVLSSRVSRVGALSSWQVDVDVPQGPSAPILPRADADPFRSSLESDTNHTNDTVKNVTVLVNKSITEGQFVSSAESDSPPGSSFMKPQQAVTDIQIPMPSSKIDCDDNSRDEGFPYARPVICQIWGDVRVAPESSSVALTMAMHKGEEMRRIRPYARQDDTLPPLVKEVAIRAATVENGAPKCSVNHEVPAVIFSIGGYTGNFFHDMSDVLIPLYLTSFRFKGRVKFFITDYKHAWIQKYKSMLRRLSHHDIIDFDSNKDVHCFQHVILGLVRDRDLIIRQHPTRNPKGYTMLDFTRFLRHSYGLSRDRPLVLGEQPGKKPKMLIISRRGTRKLLNLRRLAAISRALGFEVIISEAGGNLKKFAMIVNSCDVLLAVHGAGLTNQVFLPPQAVVIQIVPWGRMDWMATNFYGEPAHRMNLRYLEYYISVEESSLVHRYPRDHIVFKDPMAIHSQGWNALADVIMSQDVKLNLRRFRPTLLQALDILQFSFMKPQRPDIQVPMPSSKIDCDDNSRDEGFP >Et_3A_025483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30129651:30130900:-1 gene:Et_3A_025483 transcript:Et_3A_025483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSHSPAATGQLLAPSRRTRCLAPCPRAGATPAAAAEAEAALLPGGLRAESLPRHVAVVMDGNARWARARGLPSAAGHEAGRRALEEAVRLSRAWGVRALTAFAFSNENWSRPKVEVEFLMGLFERVIQDSVAEFLREGIRLRVIGESSRLPVSLQKTAREAETATRDNSQLDLTLAISYSGRRDIVQACRKLAQKVQANQLRPEDIDETLFAEELETSPAGEFPYPDLLIRTSGELRLSNFLLWQSAYSELFFTDTLWPDFGEADYLEALVSFQSRDRRFGLRKS >Et_5B_043500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11273667:11280200:1 gene:Et_5B_043500 transcript:Et_5B_043500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHDPKDDVDRLFACFKCGVSPPQSALRERPLRQGKKPRVSPVAERGGGASGGSGSSTPAPTQDAAEKHGAASSAVIKFTGRKQMTPIVFYGSPQGVPVKKPLSLMRLLREIRIDLRKQTESIPRDVWATFPRQEEAVRFSKAHEYTNVFSYQDHLTGTRRFLVSTYDEFWRRYNDMDAKIRHHYEVIQEGSPCHIYFDLEFDTRLNIKRDADEMVDILVAIVFSALRDKYAIEAHEEWITELDSSTEVKFSRHLIIRIPRTAFKDNSHVGAFISEVCSQITAERAANPNLDKLYIKKDSSSSGPSDQLFMDTAVYSRNRCFRLPFSSKSGKKSFLVPTRRFKCKEMNDKDVFMESLICRLDDNCDKLLICKLDLECKKTLHFDSEISISRIQGNDCKNPIITYRSDFPHEYTYGRSPFPALDGFIESIASFGNVSGKIRSWYWFSQYGLMIYSMSRSRYCEHIGREHKSNHVMYIVDFQRAAYYQKCYDPDCQGYRSPLRAVPWDVMPDLSSVIESAQTEYQGEVVEVNIEESSKNEYVADDNTIMENGEDPDWWEEAIKYADSVENLDNAPGLKTTFMMMPTLNGGCTQKEFWSRLRDK >Et_6B_049138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18562249:18566252:1 gene:Et_6B_049138 transcript:Et_6B_049138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDKRVKARKNITATKLPVMLKKYYWWVCIRVHLMATILFSFAGSCIQKLQEIITQEAIQILGVKKDLKELQETIKQIHYFLKDADRRSIEDSAVSNWFDELRDAMYDADDIIDLARFKGSNLLGEYPSSSSSRKLTRCSRFPIFSCFSTICTRHEIAVQIRSLNKRIENLAKLGKTFLKFEAESVGSITVSNLRQTSYLVEPNLVGKEIIYTTNRLVELVLEHREKKAYKIGIVGTGGVGKTTLAQKLYNDPRVKACMVCVSQQYSEVALLKEILRSIGVHHQDGSVGELLGKLAEAIEEKSIFLVLDDLWQSDVWTNLLRIPLHTAGHGTIIVTTRHDTVAKAIGVEHMHRVELMSEEVGWELLWKSMNLNDEREVRNLRDTGREIVQKCGGLPLAIRIVARVLTTKETTESAWQKILSNDAWSLNKLPAELRGALYLSYDQLPQNLKQCFLYCALYPEDWTMNLDDLVVHWIAEGFVEKHENQLMEDTAEEYYYELISRNLLLPDPKYFDQSRCKMHDLLRQLACHLSREECFTGDPQCLEDKSMPKLRRISVVTEKEVVVLSPSFDKQKFRVRTFIMFCDKSLVFDHSLCKRLSYVRVLDLAGSRLQTIPYYIGSLIHLRLFILDRTNISCLPESIGLLKNLQALTLSKCTALHNLPLALTRLCNLRHLVLYETPINQVPKGLARLKFLNTIEGFPIGGGSDNSSKVQDGWTLEEIGPLLQLRTLVMNKLERATP >Et_4B_039288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9611286:9611631:-1 gene:Et_4B_039288 transcript:Et_4B_039288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLCRYQRQQPCSRNTLGCPSSTLSLGTANGRLTPMLLTTIPGSRMAPTLALSPALAPSLYLAVAPLILADSGRDSLRS >Et_6B_049873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1608118:1613474:-1 gene:Et_6B_049873 transcript:Et_6B_049873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRDLSGDPPESTQLRIGDEVTWSDINGVYDRDDSLKENTNPKCLLKNHHHHNAGGGGTSSQRFSGNLKPTAAPIIGLPGKLGGGQGARRHHPPAIFPKKAKTGGGGRQAKPAVPEPGSPKVSCFGKVGSERERAALRRRPPSPSQGTRPRPRAPACCGGFGFLMHRSRSRNSAVECVDQSPPLPPSAAARRWEMKEKEEEEEEEAAEAPAPAPALGGMRRFASGRRAADWAAEMEDDGRVARSGPLILKFTDIEANTKRLGRAANLPIGSNAHYYTHSKEWDNKCRGRATLFDQLHFDAKSSEKSLWPKCE >Et_8B_060509.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1952619:1953467:1 gene:Et_8B_060509 transcript:Et_8B_060509.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVALRRSVTLADQLAAVGPPAPATAAAIGPAAAGSCNLRDLLKLRDEDELAAGRRAAAVTLASAMAAERQTSLPTPLPAPSPSSASSSAAAAAAAAAARTLLDIIRDDQPPTTAAGDPLVRRAVSLPAPTTASPTASTSAAPAPPAPHPPPPSQVPPPAAEQEEDQGERVSLMALLEQTDRQWSAGVGAAAARDEDFPAAAEALLEADDYDDDAAEPEPEAAGKGVVAGCCCVCMARGKGAAFIPCGHTFCRVCARELLAGRGRCPLCNAAIVDVLDIF >Et_5A_041160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18951299:18952313:1 gene:Et_5A_041160 transcript:Et_5A_041160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPNLPAPPAPAPTGGQLEPLPVVAPHFCVPYVVTLNVKQDMSFAEGNFTITDSNDAVVLRVKSPVFTIHNRRFLRDSAGQPVLCMREKIFSLHNRWEVFRGDTYNESDLLFTAKRSKTTSIHQLLKTEMDIFLASNKAMEVCDFKMKGSFNESSCVFYLGNTNTMIAQMHRMHNMGSTVLGLDSFGITVFPNVDYVFIAALVVILQEIHTDNND >Et_3B_029502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25511518:25516927:-1 gene:Et_3B_029502 transcript:Et_3B_029502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIRYPQRGCWAMQLIIQVNGHHSIVVGSTNQRVNAVRKFGMSPLSELVWSPDEGLSIKIAASSLSTRKASLRWNADTYYFLDAEGIDVMNEGKDGSQNCCLDKLDEVEENNCPTRCCKDTSHSSASRKELMPSILEKQVCSTSVQNERSWATNAWRARLLKAVSQKASVPSKNAENAISTSSIGDLRDAGKLPGKLTGFLCKRNVQCLGNDSKNISHIQEFHSNGRCHNQVLQDHKDEHVVGREDPPSGVNAVARCESASDVDARRIEKGKEKVVCDNSNCVSNTKESDDSNESIESCPSTKILKRKLVECSAANMSSRNKRSRREDNESSCSGILQKCGSSFFNWMSSLTKGLIMLDETTPAVPLDQTCAATDVEESVTPPLPLQSISGFPMQSVGFNSLFKSLYNHNVMITSRNICDQPETNWTEHVPYRTTLDSKASDSVLDKQIGMGRDVTTGALATESPQMVSGASRGNFHNQFSIFPMRAERNLELPDSCSRPAEEKRNECPAGCSNAATGNKGGAKSLWVSRLLPKTSVKLMDATPCDVESDFCADNPKGRDNNLYGSPLQNFNVETDVNDVQYLAAKGSSDGVTSGKCPAMPPEDPKQSETMASVFAKRLDALRHATTSAVQLAITSDHGIHKRNNQEANSFAVSYSSHDGLEAGQDIHKSSSGHGKIVLWMGDKSKEPLCTRSNGESRGTFVSEREQQQHGASTAGKSVAPHDILKADTSAEDVYRERVLTKEGTSGFMANMPDNKQIVPYGIVSSDLYNESSDVCGALRRLRLSRSDIIRWLRSPIVHTSLDGFFVRLRFGKWEEALGGTGYHVARLNGALDRNRLSVTIRNSTCQVDSRFVSNHDFHEDELKAWWSAATKGDWKLPSKQELDMKLRERELLHW >Et_4A_032859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14733995:14736090:-1 gene:Et_4A_032859 transcript:Et_4A_032859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAECKVVTALLFLVAALFLLADALPFDHHGSSRLRGPGAALADLLLAALERLRDVDVVGLATRRNMVLLCHAILLVVLRDAGLLGTPARRRRSASAATTTSDVFVAAGACFYSPPESSLARSAAVAWRPRNRAVAGAKNAAAVDDDIGRLERHRRCAWRAVTVEDPCAAPSSADQPEQGPHHQEPTTKAIVLVAEDRQATYLDDHQVHHHLVSAQLHQHAALDDCKTILTSTLDFGGAIVVVADGERNRAEEDATAEEGESPHDYGQEEEQDLDDMNRRFEAFIADTKKRMRLEELQPASGARRRRKQTRALAISEVTKGIEWQSQAHAFPPHLAKCRASDRDERRPPKDAL >Et_1B_010727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13403338:13404037:1 gene:Et_1B_010727 transcript:Et_1B_010727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLPWPQRSAALFALIFFPVLFSTSFAGRQCSFSADQDVPRQQREAAEAEQKEALPLVHARMLNVKTNDYGGYDPAPSMEKPHSKIIPN >Et_9A_062392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22469714:22472069:1 gene:Et_9A_062392 transcript:Et_9A_062392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAGATRMGAQAAAEAAVGAVGCGYDLTGDLRLSRAKPAGRLLVEAGGDGGARARDLVLPGGAVVRDAPAGVAADKGERTRFRSDALSFAQMAEQVNQSLSLAGSKIPSGAFNAMFDFRGCWHRDAASARSLCFDGRFVELYSVQAVRAQLALRDRVKRDVPPFWDPPALAEFIDKYGTHVIVGVKMGGKDMVCVKQLKGSSLTQSDVQARLKKLAEDKFTQDCAGSSTARGDKFSHQGLNGKFGPGSAAWHTFRSPVVSHKDDIVSIHIRRGGVDNGQGHSQWLSTITGFPDVISMAFVPITTLLSGVPGSGFLNHAINLYLRYKPPIEELQQFLEFQVPRQWAPEFGELPLGLQRRKHSLPSLQFSLMGPKLHVNTAKVDSGNRPVTGIRLFLEGKKNDRLGVHLQHLSATPGTIKVVGEAVSAVDVTVNERDYIEPVKSPLLSHICTAPAEYHGALIGDCAAVVTRAWLEVRETCCLKKVLFLRLGFSGVAATRIRRSEWDGPFVVPRKSGSLSARLGAALSGGLAPVPPPPEEGKVEVNSAIFPKGPPVPLPVQKMARHVDTTEVTRGPDDLPGYWVVTGAKLCLEGGKVALKAKYSLLIAVQEDAEV >Et_10B_002379.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:15742456:15748672:1 gene:Et_10B_002379 transcript:Et_10B_002379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFEYWNHFLHEELVRFQGTEDSIMKILRLSYHYLPTEFQICFRYCSLFPQDYKFQKKDLVLMWIGSGLISQAGSGSRRLEEVGEKILAKLTRNSFFDVKYKIIQYNQRKEEYYVMHDLMHELAKDVSSGECTAITNASMLDNETGTVRHLRIGCIQKISIEEVKKIRRFKNLRSIIIDGPGFINKDMLHAVESVIESSKSLRLLQSNLQNTYHLPKLGDLKHLRYVHLYRISAEAICGLVKLYHLLLVHCLNDWKEEPRQVRYLGNIDHLRYVNYGPYSIGEFPIGRLTSLQELRNYRVQGSKGNKVSAIGNLTALRELEVLGLENVENLEEANNAKLNEKQYLYSLSLLWSARASLENNKDDLILDHLEPHANIRNLKISGYAGGRLPIWIENLRVKNLVSLELVRCDYWEQLPSFGELTFLKKLRLECLPSLQLIGQQSQQSSITCIHSYLPPNLETLIVRGCKELMQLPILPPSLVHLEISKVGLSEFPRIGNLRSENIGTKPSKLLFVSVEECECLTSLEGNLLFQAQYIGSIRVLRITYCKELEYAPFLFKEMSELRELSIANCPKLTSSELEGKILAPSLEKLTIKQSGDLERSLVQSLHGLTNLSELVLENCPGLESLPSTDVCKSLKSLKFMEIIRCENLQSLGGIGSLRHLVVLKIITCRKLTEDGLSTPPASGPAIAASAEDDDIFFDANEDDDIEEENLEVPASSVLIDYLEVDLPSILNIEPLKSLCHTKGLIIGDGTQMERLPEQWLLQNHKELQSLKVLSANSLESLPLRMRDLSSLNLLLLSGAEKLQSLPDLPYSLQWLHVMGCCPELETVIRVKDSPEWIKISHIPRVHIAAAVKPDQSRPHIFHGMYASLLSTGTFPLPAHAMTFPNQCIPCTNSNVTQIVFK >Et_9A_063331.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:20257970:20258869:-1 gene:Et_9A_063331 transcript:Et_9A_063331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGMSERGPSMKRLSGASNGGSGGGGGGGAAGDWEDGGGNAARARGLNAGIMDEQVLTLVFRSLNWDPQSLCVVARVSRRLRAVAERVLWRELCVSRAPRMVAALTGGAPASAPAAGRIGGGWPALAKLLLFCCGAAGAGVPGHFAPVSRFSKTSGRRFLSRRCAGDLLYVSDPCEHAVPGGGAADDDVGAYRGVFRGFMRSRTRAWLVGHRAPLEPRVRCPYCGARVWSMTAAGLAPRSASRRLGANDGRLEYFVCVSGHLHGSCWLARLSDSEGGGGEDDDSGGDAASDEDDVDL >Et_7B_055163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7939116:7942233:-1 gene:Et_7B_055163 transcript:Et_7B_055163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSWAWLDRGFTCGHLPRQSHDRQGLTVPLLEALEHGVDVLSRVHGDEHLVDLLPGRPRLLPGQVLGAELLAHEPVERVGPALGDPVGDRGGAVLHGRPRAVHRPGQRGAELLLERGELGEHGGLRVALGVAVGQGVLDEHGPHLLHERVVGAAGVVGVREHRLAVDGLEEVLLAEPGSVEVDGVAGGAQGQGPLGHRRDAALDGALEVLLEVAQVDGLAQRDQERGGHELEDVDGLGRLPGRDEAEGVDVLVVLLRALDVVGDRVAQELELGAVGGHGDLGALEAVVQAGVTPAGEKSLTSSENCANMSFPMAATARRVLSMDTPMGVPWKLPPCSALPRCTSMSGLSLTELISRSIDAVAARMTSTCGPSHCGDVRSAYRSCFGFISGSSSPSLLASAMYELPSRMCSMIAAASIWPGWCFSLWVRWSVYSGFPFITSQNTAERSSARRASTLASNSTIDASPDPTAVPFTMASPSLGCSSKKPCWMPASSNASAASTFLPSGATAAALGRPVTSPAM >Et_7A_052945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3020680:3021931:1 gene:Et_7A_052945 transcript:Et_7A_052945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEPVYRVEIEAPNDVCDAVKAALCRQRGNVFEKIEIQRPGNLLVKIKAYLAVQDSFGFSKALKEPLQCSQLFFCSRSHSCSLPNSLNYSMK >Et_2B_022850.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6792239:6792613:1 gene:Et_2B_022850 transcript:Et_2B_022850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPVLYVAFGSQADLSRAQLEEIAAELERSGVNFIWVVRSRWFDQEDLFENRFGERGKMVQGFINQLGVLRHKSIKGFFNHCGWNSVLESISMGVPILAFTMVAEQKLNAKFVVDVLGVGLRV >Et_9A_061649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14907627:14913049:1 gene:Et_9A_061649 transcript:Et_9A_061649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKRRGGDGGVGGEKKDLFHVVHKVPAGDSPYVRAKHLQLVEKQPDLAIVWFWKAINSGDRVDSALKDMAVVMKQQDRSEEAIEAIRSFRHLCSKQAQESLDNLLIDLYKKCGKVDEQIELLKQKLKMIYLGEAFNGKATKKARSHGKKFQVSIQQETSRILGNLGWAYMQQNNYEAAELVYRKAQTIEPDANRACNLAMCLIKQGRNEEARQVLKDVLQRRIYGSDDEKVVARAEQLLCELNPLMHVSSPFDIGLTVSEELMERLDLAMNEWTPFRSRRLPVFEEIATFRDQMALMPSVDAYLLAIVAAEHRCKKAEVYFRIGGYRICMLFQYQRYAEVEVVLFVNIGHHIVAKEMIANLTAENIRSDSFTSSKKCQLDPQRDKQGVPELWQQDEDKLHFIVAGRQVQDDGVQRRHGAAATAADDEVLVLGLLLKPAAQRGEALLVEREVPPGPLVEVVHAAVLPGRVVEDRAPRRHVQGLLD >Et_7A_050441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15510987:15511253:-1 gene:Et_7A_050441 transcript:Et_7A_050441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMISGDLAVAYVLKNACKEEIRNAEARAVDGKAAAQGKKQRQAEVGSKGDGGCFFGLVKKKVHPKVNGTRTSS >Et_3A_025934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34111232:34112805:1 gene:Et_3A_025934 transcript:Et_3A_025934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQATGVSGHEHVIDIPRDTGPSVSRSGDRENNEELNATDRPSTRALVPALQAPSAIGAVSNAGHASGTRRSDNYVRRHRSPLNSGLWISIEVIVNVSQIVAAIVVLCLSRKEHPQAPLLEWVIGYTVGCFATLPHLYWRYIHRNIVNGEHETSHAPQGSSHNNTTEAANAASVSERRRNAARNAVLANPRINALFDHFKMALDCFFAVWFVVGNVWIFGGRSSSADAPNLYRLCIVFLTFSCIGYAMPFILCAMICCCLPCIISVMGFREDTNNTRGATSESINSLPTYKFKTKKRRHSSGNDAEGQEGGIVAAGTDKERSLSAEDAVCCICLAKYAHNDELRELPCAHFFHKDCVDKWLKINALCPLCKSEIASSSGTSDARHPDHNAIPVQEIETH >Et_6B_049048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17022738:17024965:1 gene:Et_6B_049048 transcript:Et_6B_049048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNAQQLEVFRSSSTSAVVRCPTILSGGKPRNRAMAHGGTASDMVAPLLPSSTAPPRRNLFPFVCATLASTTTFLMGYRTIGRNAKFTTHESCFTRFISLVAVASRSCTDEWRDGLEKNFAQGLRILRDGPGSINMLGRRATLVLAMSLGGSYATLMGARFVPGSGSGFARVVAPVYNAEIFISLVALRTRSDMQTDVLVGSINVYMSVSIVTAGRLRG >Et_3B_027555.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27315999:27317728:-1 gene:Et_3B_027555 transcript:Et_3B_027555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIITSRPRARERNAADEQPPAPQLLDSPLPTPRRSCASVDAARLRCRRDASPLRTHVPFSWESSPGVPKKSLAACRDVAHNKKAAQEQEEMPPPRPPPGRMLPPPCPARNNWYYYGNTSEASSDDDRSFSDALDRISSPDQRIGSFDRVTSKRFEDIFVGRATSFAKDRSGNTAADAVDISASGRHPRQRRRGSTRRSHEEDLWAAPHRQQRADPVPMKLMQRIRMDAQAEEMSPRACGLMVFFPWSPKPAVCGFRSPAQHATPRAVAGAPSPACSHSRRGTTTLRDAMKEENEAAGSSGLPQQQRGEKRGRDREDWQSRRWGVSSLLDTSKKYCTDARKALSKLSIGRGTDSGSPRVGSERRSDVLQSRFSTAPASTASKATKLKASSRLLR >Et_4A_033671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25683516:25687322:-1 gene:Et_4A_033671 transcript:Et_4A_033671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSALLTSAGINIAVCILFLSLYSILRKQPHNYGVYFGRRRAEERFQKQDDYFSFERLLPTAGWIVKAYWCTEEEIRQVAGLDSVVFLRLFIFSIRIFSITCLICILGVLPVNYHGQEMSHKNIPADSLNVFTIANVKEGSRMLWVHCVALYVITLSACILLYHEYNYISRKRLAHITGSSPNPGHFSVLVRSIPKSDNELLDDTIRNFFVNYHGSSYLSHQMIYRKGKLQKFVDNAEKAYRKFVRVKLSVFDRNGRSVSRCGLCGVRASSFQLYRNKFIEAKKSDLSNPEVVEAQKECPGAIVFFKTRYAAIVASRILQSSNPMLWVTKLAPEPRDLYWSNLWIPYRQIWLGKIATLAASVVFMFVFIVPVAFVQSMMQLEQLQKMFPSLKGMIKKSFCARVITGYLPSVVLLLSLYTVPPLMMLFSSIEGSISRSGRKRSACCKILFFNIWNVFFVNVLSGSVLNQLNVFTRPKDMPSMLAELVPKQATFFITYVLTSGWASLCSEILQVYNLVYNFFSKFICCCHQDPEYVYSFPYHTEVPKVLLFNLLGFTFSIMAPLILPFLLVYFCLGYLVYRNQILNVYYPKYEMGGKLWPIMHNTTVFSLVLTQIIALGVFTIKHSPVATGFTILLLVGTVLFNEYCRHRFARIFGAYSAQDLIELDRDDEQSGRMQEIHEHLLDAYSQSTPIDGSRSFANAQVPNEMIMEDPAQEASESSQELCDTVQEVSDSIQEHAVEDKSHSV >Et_4B_037151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15484385:15487015:1 gene:Et_4B_037151 transcript:Et_4B_037151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRALRYAVVSYRQSAASILVDAFTDEPFKGNSAAVCLLEEGGTGEPWDERWMQSVAAEFNTPITAFLIRSNPSGAAGDAAGTGTTVANPQFCIRWFTPVRESELCGHGTLASAHYLIASGLVQCDAIDFLAKSGHLTAKKVIGQEQTSTLFSSAQHASSNFLIELEFPAFPVVNCNSSDTSSISETLNGVSVINELQTVSAASDFIVELNSCEEVINVRPNTAELTRCAGRGVAVTGPARDGSGYDFVTRFFCPKYGLDEDPVCASVHCALTPYWGKKLGKQTMTAFMASPRGGTLYLQWDEEAQSVRIRGQGVTVMVGTLLV >Et_4A_032920.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15622829:15623141:-1 gene:Et_4A_032920 transcript:Et_4A_032920.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GLTWVSHAGACHVALPASLLPCAGSSLGDDVYDMARLAVNYCPSLPMGLGRVANALGLVPPLWSPRLADAVLALHAFAALEFNAFREDVSRYRGLLHELQVT >Et_4B_036756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11194544:11199408:-1 gene:Et_4B_036756 transcript:Et_4B_036756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSLVLAALLLVVAAAAGRSAEAAATLPVNLILSGIVPCAAGNNINVGAVPVFPNAKVQLVCSGSVVASVTADNNGAFVVNLGNPLNQLSLLTALLGNQCNVVVVTPLATCNVNLGGVTGTLTAPVQVLTTATGGLVSIIGGQVFTTVGGIIPVTRLGKLVVSGVVPCNTGTLIDVATSPAFPDAKVELRCGGNAVARATTGRDGSFAIETDMTGALAALLGACQVVVDTPLAKCNATLPAAGTLVSSLQGPLSGMLSGVFRLAPAGFSFRMN >Et_3A_025847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33366430:33369272:1 gene:Et_3A_025847 transcript:Et_3A_025847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVHVNGSVHGTNGTEERLDELRRLLGKSDGDLLKIVSIGAGAWGSVFAALLQDAYGHYREKVHIRIWRRPGRTVDRSTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIETPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEEISKYWKERISVPVIISLAKGIEASLDPIPHIITPTQMISSATGVPTENILYLGGPNIASEIYNKEYANARICGSNKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSIKGKGMIQGISAIGAFFELLSQPSLSVQHPEENMQVAPAELCPILKRLYRILIKRELPVRDILQALRDETMNDPRERIEMAQSHVFYRPSLLGKP >Et_3A_024922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25360232:25360961:-1 gene:Et_3A_024922 transcript:Et_3A_024922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQRKSCNGSHWLTFPSRRRWPTTKPRPQVEERVDHNDIAAFTGADRPSASRCSFDFRLSLSEQTPAIANTDQMFLNGLLLPLQLVSNGGDEYGDAVHKQSVLPRSLSLDSSQRMIVSASKQQQLGQPASLNSSPSGLCCRAVATARSSRMSKDAAFKNSKLRLLSFGRCRKGRNWITSRYSRFLVPLYQKIVRCIQRSKAEDDSLRAKHRNGRVCDLGNENTIRDAILHCNTM >Et_3B_031186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20001080:20001865:1 gene:Et_3B_031186 transcript:Et_3B_031186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSMSSARSQWTPKQNKLFEQALAVYDKETPDRWDNIARAVGGKSADEVKSYYKLLEHDVHRIENGQVAFPAYRCPGAGMGAYEADRIDASAYVCLQKKRLKEVGY >Et_8B_059086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13692041:13694321:-1 gene:Et_8B_059086 transcript:Et_8B_059086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNVKVKHGTRPPWVGLAAAVWVQMAAGNAYTFPLYSPALKSVLGYDQRQLAMLGVANDIGENFGVVAGVLCNSLPPWLVLLVGAAFCFLGFGTLWLAVSRTVLGMPYWLLWVALAVGTNSNAWFVTAVLVTNMRNFPLRRGVVAGLLKGYVGLSAALFTQIFSGVLHHSPEGLLLLLAVGLPLVCVATMYYVRPCTPADGAGGEEEDSMQDGHFAFAQLASVVLGAYLVGTTVLGNVVRLPDAASYGLFSVTVLLLLAPLAIPVKMTLFRKKKKKKTQPPPEPEPVVVVAAAAEEEEPLLVPTSDAPPPAADEDAETVPALLAEGEGAVVNKRKRRPHRGEDFEFAEALVKADFWLLWVGYFIGVGTGVTVLNNLAQIGAAAGIADTTVLLSLFGLGNFLGRLGGGAITEKFVRSMLLVPRPIWMAATQVVLAAAYLCLAYALGPGAVYACAAVIGVCYGAQFAVMIPTTSELFGLKNFGLFYNLMAVANPLGAVLFSEELAGRLYDQEAERQRRPGGGHDGPHACVGPECFRVTFVVLAGCCALGTVVSLILSARIRPVYRALYAGGSFRLPNSAQQH >Et_10B_002918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13909951:13911736:-1 gene:Et_10B_002918 transcript:Et_10B_002918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNAIAEYSYRLERERLSKMELRHISPYFHITMKAVSKELHVSITALKKVCCKFLIKRWPSRTCGKG >Et_2A_016148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21493994:21495776:1 gene:Et_2A_016148 transcript:Et_2A_016148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKLTHLPPPARSPAWPFGLLVALCLTTLPLFLAMSPGRPSLSDMWQQMGIRVTVSYDGQDATKESEPLASPPMNSRDVLLGGLLSPDIDQTACLSRYQSSLLHRKPSPHAPSPYLVSRLRRYEALHRKCGPGTVAYNKSMAQLAAAAHSLGLVECSYLVWTPPSSYSGHHLLADRMLSMASAFLYALLTGRVFLIAHTTTDDMAGLFCEPFPGAASWELPRDFPVLKNLTELRRGSELSYGNLVVGAKKAANDSGDHAAVLSADAESLPSYAYVHLERDYQLPDQLFFCDDDQAVLGKVNWLVLRSDLYFAPGLFLVPRFEDELRWMFPAPDTVFHHVGRYLFHPSNTVWEDLIARHHASHVSKFQERIGVHVAAAVSWNPVAVTTEEEYLKQIAACTSQERILPDVVDPDAASSEHGVAVLASASEPRIAEWLKSMYDDRTTVTGEAVSVLQLTSAGSKQPRRNQEALMEMFLQSYCDVSVMSGGSTAGYVSHGLAGVKPWLLLLTPPRNRTRTEAPCVRTTSMEPCFLAPPSYDCRAKKSGAVLRHVRHCEDVTEGIKLFD >Et_2A_015717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17177412:17182189:-1 gene:Et_2A_015717 transcript:Et_2A_015717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAVLPALVPSRSAVVVLLAYLGYLAAAGAILPGKIVPGAVLPDSSRLHYRCNGLLSLLLLLGLTALGTYLGWMSPTVVADRGLELLSATFIFSVIVSFALYFAGLQSRHKSSSLKPHVSGNFIQDWWLGVQLNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLAGSVNLSVILYQFFCGWYIIDYFVHEEFMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNKVELPLLAAVANCCIFVIGYLVFRGANKQKHVFKKDPKALIWGKTPKVVGGKLLASGYWGIARHCNYLGDLLLALSFSLPCGASSVIPYFYPTYLLILLIWRERRDEARCSQKYKEIWVEYCKLVPWRILPYSSVSTFITRQPCTVQTVVDDASNI >Et_6B_049948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19214969:19217877:1 gene:Et_6B_049948 transcript:Et_6B_049948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPEEFFVEGLMEPAPPSPSVFLDLPPTPDGNSGGPLLIDMVPPSILNICMEDEIGGELMYQCSNHPALLQAQQPFVQILSSPSFSTSNHNRGNTEGNKDLLPGSSGDQSTLSSPFSKGADVVGAFLKGVEEAVRFLPIDNSIRRDYLVNEIFRESRNHNAIKKRYNRDDYLEEEVRRTRKTMVMMIEEPEEIGVHEILEEMMLRGYETCIKEMEKLRITLNNEGKKSKSRGGRKTAMDVVDLPMLLIHCAQAVAANNHMIAIELLKQIKQNASTTGDARQRLAQCFAKGLEARLVGTGNKLWNLHMAERPSVVEFLNAYKMFMAACCFNKVAVMFSIMTIMDAIVGKRRLHIVDYGMNYGFQWAGLIRWLAERNGGQPELKITAIACSQHVFCPARKMEEQGRWLSKCASEFGLPFKFRSITTEWEKVSIEDLNTDVDEVLIVNDLFNFRSLMDESLSFDNPSPRDTVLNNIRKMRPDVFIQSIVNCTYGSSFLSRFREALFYYTALFDMFDATMPRESESRLLLERSWFGRHVLNIIACEGADLVDRPEKYRQWQMRNQRAGLKQLPLKPCIVKVLKDKAKKHHKDFMVCEDGQWLLQGWMGRILFAHSAWVAEALVIPAGSILVRLPVGRPAEDARGPPPEATITGIDLPQPGFRPASYIEETGRQRTACARVLGVPSFKFHAIASASWDAVTRWWWWW >Et_10A_000227.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18841251:18841490:1 gene:Et_10A_000227 transcript:Et_10A_000227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGPTIGGAAAAAPKDSWPEVVGLSSEAAKKKIKEEKPEADVQVVPADAFVTMDYNTGRVRVFVDSNDKVARAPRLG >Et_4B_036087.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:22534059:22534711:-1 gene:Et_4B_036087 transcript:Et_4B_036087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASCRCSRVVYVGNIAFHAAEKELRDACELIGPVLSLRLATDPATGKRRGYAFVEYADDETARSACRNLDGHFLRGRNLRVGLAGREKGPRGRRPRGDHEPVGMEDAVHAACLVSGAPLEPVTRYLAARSTRELRQMVAALEGLDADTAPLLKEHVPGLATLTEQAKHLLDMAAADAAAKETREKKRAASACEPTAEASNDD >Et_3A_024746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:23856686:23858825:-1 gene:Et_3A_024746 transcript:Et_3A_024746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSTVLGAMEEYIFTLVAMAVGLLVVAYLYEPYWKVRHLPGPVPLPLIGHLHLLAKHGPDVFPVLAKKHGPIFRFHVGRQPLIIVADAELCKEVGIKKFRSMPNRSLPSAIVNSPIHMKGLFSTRDSRWSSMRNIIVSIYQPSHIAGLIPTMESYIERAATNLDGGEEITFSELALSLATDVIGQAAFGADFGLSGEPASRHGDANNCGTATKLSSDFIKMHIHATTSLKMDLSGSLSVIVGTLVPFLQKPLRQLLLRLPGSADREITRVNNELSRLMDGIVAEHVAARELAPAASQQQKDFLSVVVAARNKDESTRELLTPDYLSALTYEHLLAGSATTAFTLSSVIYLVAKHPEVEEKLLREMDAFGPRDRVVKESMRFFMVSPLVARETSERVEIGGYVLPKGTWVWMAPGVLAKDPKNFPDPELFRPERFDPAGDEQKKRHPYAFIPFGIGPRVCIGQKFSVQEIKLSVIHLYRRYVFQHSPSMESPLEFQFGIVLNFKRGVKIHAIRRHRD >Et_1B_011809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26197027:26203483:1 gene:Et_1B_011809 transcript:Et_1B_011809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLKSAVPTELRQAVGEGTAADLPATASRLLAFFDGLPLFHQVMRELTDPELALCRKDKGRAVELKGQGNACFSRREFRQALGFYSQALRNVPISSDGMDVNLVAAIYVNRASTMHKLGLLEECLRDCDRAIAVSPHYVKAWYRRGMVNASLKNYSSSIHDLEVALSMEMTSSGKANIEQELKLILQKHGNVTHTGTLNGDPQDAELPHTGQLHKVVLECISTPDKGRGMASPNDIPPASLIHVEEPLAAQAVGQISGNQDSCLGLNQAIGLAKLSVTSTSCKQPSSSGKIAEHRHECGGANWAAVLPSDIILAGRVMSRYIEKRTMAGKGSAMSGPDLGLVHHYDQNSPASKLESHIYAIVLLLCLQNYYRSILSCAKDSLSQLVLLICQIKVNSIAVVHMKSMDRSHELIANGEFPAADGAALCNVEQVRVAQAIYISGSHFNHSCRPNVHVYFLSRALVLRSTDFIKSGSPIELSYGPQVGEMDLPERQRLLRDNYYFSCQCSSCSELNLSDLVVKSLRCPQSNCLGALSESSCYSTKGNLVHVSLSESHVCKLSLPDVSKVDEDMKEVGKSFFQDSCVNLNIDPGCCMSCRSRLDVSSAVATSYRLTSKIDGLKDFRVLDNSFMTEALQSLKQLKQLSHPYSKVLAQAEDTIAEAFAKAGNQEQARKHCETSIQILEKLYHSKHIIIAHELIKLVSILLPLGDRESALTAFSRAEAIFSLYYGSDMKNILPYLDALKGAVSNWSNWASA >Et_4B_037023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13691787:13692744:1 gene:Et_4B_037023 transcript:Et_4B_037023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSRKKRPQRVPSLSEDLIVEILCRVPYRSLCRFKCVSRSWLALCSDPDIRKKSPQTLSGFFCYARDEDYRGVRFLNMSGRGRPLVDPSLPFLQGLGYDRIRLKQCCSGLVLCSGWKSSWSGYDADHIVCNPATRKWTVARYRRGAQCDPHILVVFRSIGAIMLLCILVSGGFLARFGTPPNAITSGLSLITVDTEGTTWRKIRMPHWSLQTWFYDVEVFIAHSQGRLYATHIDFHEDNVLSVWQFEADVNEQWALKHTASITCSEDTKTDTASSIK >Et_10A_002198.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:4001529:4003061:1 gene:Et_10A_002198 transcript:Et_10A_002198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRETVVLYPGVGVGHLAPMLELATALLRHGAGDAFHVAVALVEPPVTDPGFLAAVARAKATHTSVAFPVLPPPEAAVAGSGSSTGELDMATRLRFLRAMNAPLRDFLRSSLSVRALVLDMFCGDALDVAAELRIPAYFFFASGGTGLAVFLGLPALHAGLGDAGFGGLGDAAVLSSFPGAPAFRVADLPADIASDGDGDGEACAGMLRMAARMPEARGILVNTFASLEPRAVKALRAGLCVPAGVGAGATPPVYCVGPLVSPGGGGEDHGGCLRWLDAQPDRSVVFLCFGSMGGAFFSATQLRELAAGLERSGQRFLWVVPRRPRGLSGGALADGDDDFAGVLPEGFLDRTKNRGLVVASWAPQAAVLRHRAAGAFVTHCGWNSALEGVAAGLPLLCWPLYAEQRLNKVWVVHEMRLGVEMVKTKTTRRSCVDGAEEEEDDGVVSAAEVEKKVRWVMESDDDGARALRARVAAARDAAAGALAEGGSSRADFVEFVRDLENCKCVHG >Et_5B_044310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22494797:22498681:1 gene:Et_5B_044310 transcript:Et_5B_044310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASSGGAWDSPLFGFIDNLSPIEPVKSAYTATSLQGYQTINITSISSIFTSPHDNAQKEPRLLKSPLAQISETEDCAEGSDRSKTSTKSANAVRLFASTSTVTQETQTVTCSVVVDHPDGPCDLSQTAGQFDTGSPDHNTTPCHGVRSDLKQDKCRKIEAVHTIKNTLEKRKCLFSTEIQLDGSQPEKDSDEVMGCQWSDLISTTSGELLAYDTSIDEHHRGVQLAVNNAESCGYLLSKLTGDGEPAAAGSAYYQELMMGEDQIENTQIFQDGQPIISTEEIQDNIYDANGGIPLGYKVETQQQRGIRRRCLVFEASGFSNSLVQKETVEDMSGSSKGKSPVETKISKSKLQSRVPRGIGLHLNALTATPKGKMACQDPMASALVPASTSEHDMHGKLLSAGEKFTHSGGELLELPMDDSEGFPDLVSSQSGSPQKKRRKADNGDDGESCKRCSCKKSKCLKLYCECFAAGVYCSEPCSCQGCLNKPIHEEIVLSTRKQIEFRNPLAFAPKVIRMSETGQETGEDPNNTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSSNCRCESCKNTFGRRDADIELTEEMKQEGEETKICGEKENDQQKANVQNEDHPLLELVPITPPFDVSSSLLLKPPNFSSAKPPRPTKARSSNSRSSTSKATETVQSRKISKVANSGRNEEMPDVLREDNSPSTCVKTTSPNGKRVSPPHNALSISPNRKGGRKLILKSIPSFPSLMGEANNSSAMNSVDSKLSAPPLALGKHLFLQIILIL >Et_9B_064541.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16375958:16377985:1 gene:Et_9B_064541 transcript:Et_9B_064541.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPPRARRSVVAELEGTLLRSADTFPYFMLVAFEASGVPRFAALLALWPLLRLLELLGRGDLSLRLAAFVATAGVPRSEIEAVSRAVLPKFMADDVDAAAWAAFAGGEGGATRVVVTRMPRVMAERFAKEHLGAHEVVGCNLEYSRLKRSTGVVSGGGGDGRAVADRVRALFADGDRPDLGIGRSESEVARAFLPLCREQLHPPFTAVDTTTAPPFRPVIFHDGRLVCRPTPFMSLVILVWLPLGVLVAFVRIAVGLMVPIWTIPHIAPIFGGAVITHGRAPPPVGTDSPSGVLFVCTHRSLMDPVVLATVLASRRVAAVTYSISRLSEVLSPIPTVRLTRDRDVDAARMRAELARGDVAVCPEGTTCREPFLLRFSALFAELSDRIVPVAMNYRVGLFHPTTARGWKAMDPIFFFMNPRPVYEVTFLNQLPAEATCAAGKSPVDVANYVQRILAATLGFECTSLTRRDKYRVLAGNDGIVNAKPAAAAEPAWQRRAKEVLGYLLH >Et_8A_056708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15597089:15604907:1 gene:Et_8A_056708 transcript:Et_8A_056708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHEVLATLLCVGVVLAVPGDAGAVQAVRPPSDIARVECPKTCGELEIPYPFGIGPDCAVPGFNLTCEPNGDGTRKAPFLGDVEVTNISLELGQATVMNRISSYCYNAELGTMESSNWEVNLQGRPYVLSNIGNMFTVLGCRTLAYIGGNKNNASTYMSGCVTMWSSIDDEMDPTDGSCSGMGCCRTAIPKGLTYYQVLFDQELNTSSGIYNSCRCSYAVLMDSANFKFAATDLTSSKFNNTYDGRQPMVLEWAIGQDNCTVARAKKGFACDSSSNSDCFEAASGRGYICNCTKGFKGNPYLRGPDGCQEIYESCRCSYAVLMDSSIFKFSTTDLTSSEFNNTFDGRQPMVLEWAFGQDNCTVARGKEGYACDSSSNSECSDAASGRGYICKCTKVFKGNPYVSGAHGCQDINECNDPATYPCLGICENKIGAFNCHCPTGTRGNASIEVCQKVLTTRDRIAIGIFGSLLFGLIGFLAREIFLHKQSKKRQAYFQQHGGQMLATMLNQGDNVAFIFYDSRQILKAIGNFHKTNIIGEGAHGAVYKAILDVGGTATEVAVKRCKVIDKTRTTEFVHELVILCQIRHPHVVKLLGCCLQFEAPMLVYEYVPNGDLDKLLHGRNRPRVTLATRLRIAKESAEALAHLHSPPHQIRHGDVKPDNILLGEGFIVKVSDFGCSTIDDNTQVVPKGTFGYLDPEFILNNHLTDKNDVYSFGVILLELLTGMKPLVKERKNLTVIFQNSRRDGTFHEILDPHIVDEGSREVIHQAAELASQCIAVPGESRPAMDLVAAELRRLVDLVPECLTATNMETQTFSHYSTTQIETTELAIMNAEPR >Et_1B_013668.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11647732:11648398:1 gene:Et_1B_013668 transcript:Et_1B_013668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Et_2A_015091.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29554432:29554644:1 gene:Et_2A_015091 transcript:Et_2A_015091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWGTAVAVSTLARNTPGNVTQAIAGFLLWMLGVWLLTLVPAAGRLPQAAMVAAAMANDFIRSLFTPWNC >Et_1A_005114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27936576:27937478:-1 gene:Et_1A_005114 transcript:Et_1A_005114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPKSVAPSFLLDCARPKKLSYARVRSTSLPVRLHPLAAALHDSSRALLSWADAPAQTGPAWVADGAGRAGRVLAGLADLLHHPQARDALRRPWTEQLLDDLLLLADLHGCFRESLVALGQLLAETHAALRRRDGARLAAALRAQRRAAREVSRLAASARDLSHRAAPDGESDEATLADAFAAATAAVAAASAAVFSGVAAASAESAASAAPSVTDLLRRRRTVSFEDSCNEEEEERKAAMARVRGLEECVDAAETGCEEVYRALVNARVSLLNLLTPTF >Et_1B_013916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23852753:23853963:-1 gene:Et_1B_013916 transcript:Et_1B_013916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMMVNARGEEKYGGHHNLGLSLSLGIATAAPVEPSPPQRQQRALSVAPVSSFPAPPPQQQTQCWNGFGAGFFFSPSSGMDRSLERKQQQPAAAAACHSHEMPFLRGIDVNRAPAAESRRGGSCSEDEEPGASSPNSTLSSLSGKRGAPTRSGGGGDHEGDLTPRTGGSDDEDSGAGGGSRKKLRLSKDQAAVLEESFKEHSTLNPKQKAALAKQLNLKPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQREVAELRALKLVAAPHHYARMPPPTTLTMCPSCERVASAGAGDEAARAAPRPAAPPGPWGPVPVRPVFVDGPARRS >Et_5A_042750.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:3597633:3598310:-1 gene:Et_5A_042750 transcript:Et_5A_042750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKGATLAADGEKGAAATKTGENEAAAAAAKEVAGGKMITLLSLSEDGTPFKVSEAAARLSNLLNTMLEGNDVIIDSGIPLPPVGAAALEKVVEYLTKHAEAAADPYSTSKELKEWDAEFVDGLGSQDALFDLVVAANFLQIDSLLDLTCKKIADMMKGKTNEQIRATFNIPDDLTEEEREKIRREHAWAFPGHRAAAADTEDEDEEEEDEDEGEGEDEDEEP >Et_8B_059804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2933224:2933694:-1 gene:Et_8B_059804 transcript:Et_8B_059804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYLLLSIVLECLSRHQPISLQGRFNIWVPSRVEEDVTSKVADNQADQTVVVAFRRSVSLVDILPFLDEGHLMSSGLGYDRRRSFITMDMFITRDINPFYDVFPPMANDETEEDGQNC >Et_1A_008596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9023967:9028199:1 gene:Et_1A_008596 transcript:Et_1A_008596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLQRRKPSNRPHSASLKPPRPPRGPTFQPPPASRPLPEPSSPDGRLRKKVRFTNEAGSHQIGGRQVASIHESAKSKAQVCDAKTAEFKFFKKLCEQSGHRSHSYKHSHISIEPNVSKQKQELHNAPLLRKFSVQGITVRCDDPPATPSKNEEIPTEQVNVQSSNSEYDEKDTPHLNSHDYRPQFHVVTPIAQTSFEVTGISGREPVSGLIFSEKRSKLLKLAAKTVSMGSDELLQRRSEYVGDILKRLGANNIIRKCNGSRRHRKIECRQDPTISDGHVDNLLDYKHSDFNSITTLKNQGQKPSCYARDESFGFMALPWGYNQSLLWKNDLPRGGTEARECMALPWVCTKDISSSDQNRGIVHNQVSNLLLEDVEPCILGRPASGNELSLNVRTASCDQYGWSPLLSVPPVPLAESFRDRLSFPSQIEEQHAVPYAISNASWQPDLFSSMGRCVSGSVGLDKVDPKEAGWCDNSDASFSTRFDQLLAKSTSSHFLGSGNEILGHNGFRCISNFHASQSNSMVMSSNTSHQSLLRSTPGHPYELSSMIFHDSAIGVSFSGLKEKHSGVVELSDKSDRLLRVLDQLPVLSSSPNDEPEHPCDQDWNSFYDSSTELWSSVQQLQSHTNLGAVFDLTSNESSYSDSEGHHSLMLVQGNLNNDILGTTDLSFFGSFSSMDNIREVPVLSSDGITW >Et_2B_022398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20252270:20260276:1 gene:Et_2B_022398 transcript:Et_2B_022398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGGKTALGWAARDASGHLSPYSFSRREQRDGDVTIEVLFCGICHTDLHVMKNEWGNAMYPVIPGHEVVGTVTDVGGGVSKFKVGDTVGVGYFVDSCRHCESCTKGQESYCPDLVQASNGATTQGGFSDVLVVSQDYVVRVPESLPPAGAAPLLCAGVTVYSPMVEYGLNAPGKHLGVMKAAASTMDGVIDTVSAGHPVAPLLELLKPRGLLVVVGIPSKPLEVPALAIVGGGKRVVGSGGGGVAKCQEMLDFAREHGITADIEVVGMDYVNKAIQRLEKNDVRYRFVADVAGSMRAVLLN >Et_7B_055851.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5897964:5899229:1 gene:Et_7B_055851 transcript:Et_7B_055851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDREASSSSSRLDAEPLLSHHGGGAHRLSSQPKTFANVFIAVVGSGVLGLPYTFSRTGWAAGSITLLAVAALTFHCMMLLVAARRRLADEHPKIASFGDLGHAVYGAAGRHAVDAMLVLSQASFCVGYLLFIANTLAHLYPAVSGASSSPLLTAKALFIWAMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGQDAAVWLANRPPVFAFAGPAEILYGVGVAVYAFEGIGMVLPLEAEAADKRRFGCTLALSMAFIAVMYGLFGAMGYLAFGAATRDIITTNLGTGWLSVAVQLGLCINLFFTMPVMMNPVYEVAERLLYGKRYAWWLRWMLVVVVGLMAMLVPNFADFLSLVGSSVCVVLGFVLPAAFHLKVFGTEIGLVGLVADVAVITIGIVLAVSGTWTSLVQIFSSSNV >Et_5A_041155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18844981:18850395:-1 gene:Et_5A_041155 transcript:Et_5A_041155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVRRRTLVLCSAFYSSNSALYTDTWRTLVVSIWRQGDQQIGREGERRILSVLVGRLIFLPELIHRLAGDLSEEMLIVTCLHLPPQTGNETWVTCLTVLQKLRCHPRRLLIPVRSVAGFSPPGRSVARLHLNEFCDAAEESLVRLTIAVKQPDGGDLTRKEMFLRSVAAACPRVVQAMCVASTRTRPASSAPIVASKFRPDSSSWMVSTTLNCTAPPAVAAARKQSMISSTNVVVVVVAIGTGTCIDRRRR >Et_2A_016285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2353179:2353531:1 gene:Et_2A_016285 transcript:Et_2A_016285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METHLEHQGTFQNENCTLEICTQLSSYRAAVEKWKDFSFRGMLSLWQKKKSCSHFSIISICDSNLEKAEEKKWTFFIQSGSDFLISCSYVRTEKPLYLPFPFGTSERAEMP >Et_9B_065363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4269955:4273964:1 gene:Et_9B_065363 transcript:Et_9B_065363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRSTSTTPRCLLSVLSLLVLGSSLVPLAAAYRPGDIVPMLRSGQYHGSRSVWFDVVGRHCPAFAVNREVLMPIPKPTGFTGADAYKITFQIGHEKFHVPWLYVINRKSSEVPMIDFHLKYSGNDLLGVTAKVVDMPHHYVEVHPDIKKNFWDLQTWPKYVLVRYTWEEQSEIDVTGGFYVLFGSGLVLSFILAIYVLQSSQEKLTRYHSAFSFSFGRTSTTNKIPSLLFGILHIYHLSLYRTKT >Et_1A_007195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31897620:31900168:-1 gene:Et_1A_007195 transcript:Et_1A_007195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAVAQPALPAAPLAAAIAAVIGDDNLLWEILVRVGFPSTLVRAALVSRRWLRHASDRAFLRRFRERHPPHLLGFYLKEFGLPYQRFVPVSQAPELAAAVRLAADAGGYFGISHSRNGRLRVTEYGETGDDFRDAVLAPLRPARGTVFPPPRPPEHTRIWPFLAGDDDGAAAVGMFSIGTKLQVDLLTLRSGAWVVHRTAVLNHPESTLPEITGVLPPARGKIYILSDPYYYFVQHVLTQTHPARIVRLDMATAKVSVIPFPDTVRTTNYKLSLGEEEDKDSGLNLVYAEGYLLSVWRLAPNTNDWVLVYDRIHVREACDRLEDVKVRAVDDTCEFVFLGLEASALVICMHLKSRAEEVEKISDDPAYMRYVHVSPLKMVSGNREETDKASRTVR >Et_3A_023718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11201984:11202682:-1 gene:Et_3A_023718 transcript:Et_3A_023718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIRTPYAAAGAVLSLFLVAVAADAASAKAPAAAPSSKLSVQEACKHTAGHYALCVATLSGDAASKSADTAGLAKVAIQAAQRNASETATFLSSIYDDANLENKTAQLQQCLQDCGDRYEAAVEQLTDATTALQSGAYSESEALVAASQAEVKLCQRGCQAVPDHRNVLTTRNREVDQLCSIALSITKLIRGPPS >Et_4B_039729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2834785:2836386:1 gene:Et_4B_039729 transcript:Et_4B_039729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQGESGETSDLMAPLYNEVPPFAAYENDIIRIPEEIRLCDLEAFEPKAVCIGPYFHSLRYSPNFRRMEQHKHWCVNRLLQRSKHSLELLVQEFLVRLTQAINKRPFGQLYAGPVAMTDEEIGLLLLFDGCFIVHFLLRHDPRKGAEYEYWAKLDAGYLDEEYETLQWERPWEWGLVAMAMLLMENQIPFVAVRILFDILKTEQDEAVELTACARNMFKKYLPTGMCTSTSPIHCRDVRCLLHLLYRSLLPNPKLDSRLMEPPPNPPASGMDPAKKLETDGIRISRRQRWWPLSHFQEHFSFLDIIFSHGTVKIPRLEISDTSIQLQNLVAFEKCYHGITSHVANYAAFMDALNADQQDTEMLRKRHILDIQLSTAQPKLCLRKQLNQDVYPSPESYLSRLMLDVVLHKEAKASRKDMPTQMSMPGALLFANLMLLLVIVRMPCEVWSEAKENVM >Et_6B_048335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13120337:13121754:-1 gene:Et_6B_048335 transcript:Et_6B_048335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAAAGGKGAGPTTRAAAHHHGALLPLPAGRVREALAFAAGAVAAALVLLGSASVLAPSPVPNLVVTLPKSSSSSFPPTSGNTEAGIGDGPRTFYDDPNLSYALPVDRGARVTGWDAKRAAWLRSRGLSPTSASVTSRVVMVSGSQPEPCRAPGGDHLLLRFLKNKLDYCRLHDNIELFYNTAHLEGRMVAYWAKIPAVRAAMLAHPDAEWVWYAGHNLVVYGWPTEVYERRSWVGLNAGVFLVRNCQWSLDLMDAWAAMGPASPEYAKWGRTVKKELSGKPNAESDDQSALVYLLSEYPERWGNATFLETGYYFQGYWAGAERGGEEGRRSPGPRRRRAGRVAAPVRHALHGVQPLRRRAEPGLLHGELPRRDAPRARVRRRPGAPRLRLPARRAAQRQRAPAAVRIPVI >Et_9B_064724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18040986:18043315:-1 gene:Et_9B_064724 transcript:Et_9B_064724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSANRCGCKNFLSCNLLCSACCCKNFLSCNLLRRACWGSWILCICGRKKSTQEASTSETKRKKKRKWFRSVCGGTVREAEEPLTSESKKKRKNPTTPEPEKCNWIKKRIWKKKKKGKNEQSGLANLVKEISLSNSPKHRAAAGEILRIGNNNIPSRVFTFRQLADATNSFSQENLLGEGGFGRVYKGYIPDTTEVIAVKQLDKDGLQGNREFLVEVLMLSLLHHPNLVTLLGYSTECEQRILVYEYMPLGSLQDHFLDLTPNSQPLSWHTRMKIACGAARGIEYLHEIANPPVIYRDLKASNILLDGSFNAKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGKLTKMSDIYSFGIILLELITGRRAIDTTKPTREQILIHWAAPFFRDKRKFVKMADPMLDGKFPLKGLYQALAISSMCLQEEASSRPLISDVVTALTFLADPNYDPPEDIKDPLPITIPNLDRDTSQKETE >Et_3A_023109.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:28192497:28192765:-1 gene:Et_3A_023109 transcript:Et_3A_023109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVSICACFLCALLLLHCFLLPCDVRASSRELIRSRKLLQNVFHGPATAALANKAAAGGEVSENLKKEVPSKSN >Et_2A_017907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7872074:7874680:1 gene:Et_2A_017907 transcript:Et_2A_017907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGGGAAERSEPLLPTKRDGPAAEGEEAAFHEFNGASFPGAVFNLSTTIVGAGIMALPATMKVLGLVPGLVMIVLAALLTDASIELLVRFSGVVGARSYGAVMGDAFGWWGRRLLQVCVVINNVGVMIVYMIIIGDVLSGTYSGGEHHYGVLEGWFGMHWWNGRFFVLLVTTLGVFTPLACLKHIDSLSYTSAISVALAVVFVIITAGIAIVKLIAGQIPMPKLFPSVPDLASVWELFTAVPVLVTAYVCHYNVHPIHNELKDSSKIKPIVHTSLALCSTVYIATSFFGYLLFGESTLSDVLANFDSNLGIPYSSVLNDAVRVSYALHLMLVFPMIFHALRLNLDALLFANASPLYSDNRRFGLMTALLLLVIFSFANFIPSIWDAFQFTGATAAVCIGFIFPAAITLRDRHLIAKKWDKFLAVIMIVLAVVSNVVAVYSDAYKMFHKKSAPSKA >Et_5B_045585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4959706:4964003:-1 gene:Et_5B_045585 transcript:Et_5B_045585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLALPLPLRAPAPPPRPATSNPIIIIHRQPHSPSTSSAPRLFSPTSLLSTSRPTPTSSSRKPRLGRPLDPSRDGGGGGQPWHLPPSISLPARRALLAFLADPDHASPRDLISAVPAPDLGALFNALTSRGHSGAALAALHAARDLHGERALHHPRVLPAAVRVLARAGRLSEASALLDAAPDPDASAYTALVSAFSRAGRFRDAVAVFRRMVDSGVQPALMTYNVVLHVYSKMAVPWKEVTALVEAMKKDGVALDRYTYNTLISCCRRRGLYMEAAQVFAEMKAAGFEPDKVTFNSLLDVYGKARRHEDARGVLKEMENAGCPASVVTYNSLISAYVKDGLLDEAVELKHEMYLKGIKPDVVTYTTLISGFDRAGKIDSAVVTYTEMVRNGCNPNLCTYNALIKMHGVRGKFTEMMAVFDELRDSGYVPDVVTWNTLLAVFGQNGLDSEVSGVFKEMKKAGYIPERDTYVSLISSYSRCGLFDQAMQIYKRMMEAGIYPDISTYNAVLSALARGGRWEQAEKLFAEMKDRDCKPDELSYSSLLHAYANAKKLDKMKTLSEDIYSERIEPHNWLVKTLVLVNSKVNNLSETEKAFQELKNRRYALDINVFNAMVSIYGKNRMVKKVEGHELSQAMDITGVTNLKVKVDALQWYLHVGLHE >Et_2B_020117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1710924:1729695:-1 gene:Et_2B_020117 transcript:Et_2B_020117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQHSTLTMLGFGVLTFNSALAIYKSWGDAGSVAFVLIADAALLLLFLCLREFERAGRVRGTNIKAAVGALTTLLTMMFASRVAPLMPPVLSAAVWLIGVATAAGGFWAFFLHPAATGLVPTAFVVLTVTCAAAIYRAAAAGDVASTAFVAVSYAALLLLFRSLRAYELALPEAAAERERLKRRTDKAPDSRLRLPKALLHPAFAMADNRNRGPTWVTGAGFGVLTLNSCLAIYRARDDFASVLFVAGSYLLLLLLFGCLRAYERAPPGSPARERARSAVWPLTTLLTVGFAWKVAALMPSAVAVLVWGLAIATSVGGFFALYAEAKPWIDPRMDPGRADGRGHHSSLLAKLGVGAPTCNSAIAVYRSRGDPASIVFVVAAYAALLLLLRSLREFERARPEDRGRVKAAVWALSTLLTAMFAAKVAPLMPPLVGVVVWLMAAATAGGGFWALFKQRRCLHSSSPSPQLCSGASHQTRSLRLTLYRGAQLQAMDFDRHGFLTKLGFAALTCNSALAIYRSRGDPGTVAFVVGAYGAIALLFYFLRSFERAGPGADRGGTKAAVWVLTTLLTTMFAARVAPLMPTGVGLLPRRSKGPNHHHKQQHLQQSLLAEDTPNSMERGDAHGAWLVRAGLFTVTLSSAVAVYRTAGDVASVSFVVASYAALLLLFACLRAYERAPPGEADGRRTRIRRAVWCLSTLLTVLFAWRVAGVMPNWPAALLVWAMASATIIGGFVALFHRDQSREDNFDL >Et_2A_017220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32027847:32031475:-1 gene:Et_2A_017220 transcript:Et_2A_017220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGGQCDRWMLLLFCCCLLAFPGHGPLVVEAFVGAYGVNYGRIANNIPSPDKVVALLRASKIRNVKIYDADHSVLDAFKGTGLNLVIAINNGLLKDMAANESSSMEWLNQNVKPYLPDTRIVGITVGNEVLGGDPSLYQPLVDAVKNVYNSLKRLHLENKIELFTPHSTAIFAASYPPSASVFKQELMPYMKPLLDFFSMIGSPFYVNSYPFLAYISDPDHIDINYALFKPNPGIVDPNTSLHYDNMFDAQIDATYAALQAAGYNNMEVRVAETGWASSGDENEEGASTENARTYNFNLRKRLFLRKGTPLKPKTPVKAYIFALFNENLKNGAGSEKHYGLFLPNGRISYDIGLSGLLPSSASSSLLSSKKVQAGGWTVHYSATVLLSILIFLAMGLVV >Et_5B_043790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16108900:16110982:-1 gene:Et_5B_043790 transcript:Et_5B_043790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RHGGHEFLNFCIHKDSDYDRLVSIFQQQRGIRGNHSLVYEKIDGCSIKFSSSPTYDKTTHKNMRTHILVKMPIYCRRKMVFSAHACYKIVLSTLLVIFAKRFQTNTLSLLISKDHMEAKDCLYRTCMLQNCVAYTPHDEMLQKFYSLARTIWRQRMVFSAHACYQIVLFRLLMTKYSIMSLGFHARTPNLSWAYDKTTDKNMSTHMLTKDGLFRTCMLQNYVVDTPREKNKTFANRFQTNTLSLLTSKDHLETKLYEHYHACKDAHLLQTKDGLFSTCMLQNCVVYTPHD >Et_1A_008741.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11188055:11190055:1 gene:Et_1A_008741 transcript:Et_1A_008741.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSFMGLLHSPSIELADEQHQTQSDVPQPQASWMSHPDAPNSGYASSLQIEWSQITKAVEAVGKAEDGRTRVALLNFDDAEVEEWRARLPHTDASTVHLDPVESDVTWERLYPEWIDEEELYGKPSCPHLPEPKATAEAEAEERYDVVAVKLPCGRAASWSKNVARLHLQLAAARLAARHGAAAAAAHVLVVSPSRCFPAPNLFKCRDEVAREGDAWLYRPDAAELRRKLELPVGSCELAMPFSALGEPHVSSSPEREAYATILHSEQLYACGALTAAQSIRMAGSGLRDMVALVDETISARHRGALESAGWKVRTIRRIRNPRASRGAYNEWNYSKFWLWTLTDYDRVVFLDADLLVQRPMDPLFAMPEVSATGNNGTYFNSGVMVVEPCNRTFALLAGHVGDIESYNGGDQGFLNEVFSWWHRLPSHANYMKHFWEGDTAERAAAKRRVLAADPPVALAVHFVGLKPWFCFRDYDCNWNVPALRQFASDEAHARWWSAHDAMPRRLQEFCLLGEKQKALLRWDVARAREANFSDGHWAVRIADPRRSICAGEDCREREIAGRTVEGNRITTSYAKLIDNF >Et_6B_050143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7160296:7163376:1 gene:Et_6B_050143 transcript:Et_6B_050143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSGLLDWRGRPVNKKKHGGVRASIFIHALVLLSNAANIANILNLVSYLRERMHMDVARASTIASNFFAALQMFSIPAAFLADSYMKRFYTVLIFGPIEIVGYILLAVQAHVPSLHPPPCNSSLKTSTCEAVHGSNLSLLLLGLYLIPIGDGAARACLPALGGEQFDISDPVEQRQEASFFNWYTFAVSSGGFVGLVFVVWVENNRGWDLGFVVCALCVLLGMLIWIAGFPFYRNQLPSGSPITRILQVLVAAFKKRKVQLPEDPSELKQIKQDDADSLEVLRRTEGFKCLDKAAVDTGNASPWSLCSVTQVEEAKIVLRMVPIFLSAVLGYIPVPLILNFTVQQGNTMDTKLGAIHISPATLFIIPTVFQMVILILYDRFIVPFLRRITGYVGGVTHLQRIGIGFLSATLATGVAALVETKRKKVAEENGLMDASTGIPMSVFWLTVQFFLLGVVDVTSFVGLLEFFYSEASMGMKSIGSSIFYCILGVSAWLGSLLIQLANQITRRGDGTGGWLDGTNLNKGKLDRFYWLLAILELVSLLIYVFFARLYVYRNNQRVVVEGEKNTALNGSEEVI >Et_2B_020960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25200672:25201263:-1 gene:Et_2B_020960 transcript:Et_2B_020960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EASERFLHPSRRAPGPCSSEREERERRTGGEHAKPREDVLRVGGSVQHRAVDDHGEAPEEEEHRGLEASVLDLDQLPQRPDASFCSSSSPGRGGCSGGRLVTNLVLRNRRLHGSGIEVDLGERGESEGVMLCERDTATVHGTTARRQEGRNVVRAWALTTGSRKAKVPNGLQ >Et_5A_040949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15527705:15543130:1 gene:Et_5A_040949 transcript:Et_5A_040949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASGSQSRFTVGSHVWVEDADVAWIDGLVEEVKGDELIINCTSGKKVTATVSSVYPKDAEAKRCGVEDMTRLAYLHEPGVLHNLKSRFGMNEIYTYTGNILIAVNPFQRLPHLYNNHMMEIYKGAEFGELSPHPFAIADHAYRLMINYGKSQAILVSGESGAGKTESTKSLMQYLAFMGGKAEAGGRSVQQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQNGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPQKERERYKLGDAASFHYLNQSSCIKLDGMDDSLEYITTRRAMDIVGISSDEQDAIFRVVAAILHLGNVEFSEGSEADSSMPKDDKSQFHLRTAAELFMCDEKSLEESLCKRVMVTRGESIVRNLDPRAAALSRDALARIVYSRLFDWLVTKINTTIGQDLNSTLLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIISLLDETCMLRNSTHEVFAEKLYQKYKDNPHFSRPKFSRSDFTIHHYAGNVTYQTDLFLDKNIDYAVNEHQVLLNASRCSFVSSLFPPSEESTKSTKFTSIGSSFKQQLQSLLETLSATEPHYIRCIKPNNLLKPAIFENSNILQQLRCGGVLEAIRISCLGYPTRRRYDEFVDRFGVLLPEVLGDSYDEVTATEMLLEKANLTGYQIGKTKVFLRAGQMAELDALRTEVLGRSASKIQRKVRSYLARKNFIQLRISATQLQALCRGQLARHYYEGLRRKAASLTIQTFYRMHFARKYYRDLCVASTTIQSALRSMAARKELHFRQQTKSAVIIQSYWRSYVAHSRYMSLRKAVITTQCAWRGRLARKELRKLKMAAKETGALQAAKNKLEKQVEELTWRLQLEKRMRTDMEEAKTQENKKLKQQLQELQLQSKETKDLLKKEQEISKEAMQKAALVPEVQVDVTLVDELTAENEKLKTLVDSLETKVHETEQKFEEMKKARDEWLTKATDAESKINELTNTMLSFQEKLTTMEAENQLLRQQALLRTPVRTIPENRSPKSNFTNGSPLSEEQKTPHGTPPAYGSFSHARASFYERQHESVDALINCVSENIGFSEGKPVAAITIYKCLVHWKVFETEKTSVFDRLIQIFGSAMQKHDSNEDLAYWLSNSSTLLIMLQKSLKAAGSSGTSPQKRPQTQSSFLGRMVFRSSNITVDMDLVRQIEAKYPAFLFKQQLSAFVEGLYGMIRDNVKKDLSSLLSHAIQVPRTVKASMVRGRSFGTSSLPRGRSFSNQASYWQAIVDNLSEILQILQDNCVPSIFIRKIFTQIFSFINAQLFNSLLVRHECCSFSNGEYVKQGLALLEAWCGEVKPEVIFKKFRISYDEIVSDLCPVLSVQQLYKICTQYWDDKYNTESVSEEVLEEMTTLITKESSQDTSANTFLLDDEISMPISLEEISDSMDAKQFQNVAPPSELLAIPAFQFLKS >Et_1B_013038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:505238:505848:-1 gene:Et_1B_013038 transcript:Et_1B_013038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVQLRQDCHDTRVMRTDASFPPMQSSYQHLECVRIPISSNEHFYWDLWNNTGELNSTRPKIRSAVLTAVLWNIWKCRNSKVFQHVDESNVTVAARCCDDLSLWSHRCHQSADTDLLKFWSSRLSLSA >Et_4B_036501.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27239340:27239597:-1 gene:Et_4B_036501 transcript:Et_4B_036501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRYARVCGEVLDAGVRIAVRSYTHCPQTARMYYKPPTTTAPSSSYGGEKAASGGGADARTTKQLQQAAVAATAVQMILYGRAA >Et_8A_057958.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1030262:1030639:-1 gene:Et_8A_057958 transcript:Et_8A_057958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAPLRVSVPKGAVRFRSEASSSSSSSSRSTIRCAAAASTLYEELGLRAGATVREIKAAYRRLARERHPDVAGAPAAADFVRLHHAYATLSDPDTRARYDRGAVAAMAVAQRPCPRTWETDQCW >Et_4A_035273.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:16255837:16256133:1 gene:Et_4A_035273 transcript:Et_4A_035273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLIFLASVVDDDGVGSAGASSWRPMTYPKGSFLMIFTGEAGGGGRQMLPVGKMSGLRRNDDGSSESSSVEKERSSEAFADTNVSESLISRPPPSLS >Et_1B_013126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5768728:5777046:1 gene:Et_1B_013126 transcript:Et_1B_013126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRYYYYFPATLEAPENVSAGFCNLSSPSPKPRRASQDDADVAGVLHHHYLDACFLCGRLLGGNRDIFMYRGDTPFCSEECRQQKIETDEAREKRSKQAPARKEQRQSSSPRARRIPVWARRLHLTIGIPRNYTTPPPDDALQVCAICVASILHGPCPVLSKRRCRRPPAPPYVPTPEPARRDDVRRPMEFTSSYFHAFGNPDFAAVFSGGDAGGSAQARRPRPAADDVDAARVKAAVSGRSPAARHAPSVFCVPDMEAEEAHHFLDDCTLCRKALCGDIFMYRRGDTPFCSDECRREQIEMDRVKHRRKKQNSPTAQAASRVASGAVPCNKTSTRGGREGDRSMAGAGHMQMHFLEACFLCQKPLADNRDIYMYRGDTAFCSEECRSAQMEADEAAERTERASARRLAHGPSPAREVDGPQECGKVRAGSVLAL >Et_6B_048878.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14960039:14982132:1 gene:Et_6B_048878 transcript:Et_6B_048878.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVEHTFVVSQMNMSHLCKDTLVTVVNGQLPGPAIELREGDSVAVHIVNKSPHNITIHWHGVRQWLNCWHDGVPMITQRPIQPNSEFTYRFNVSGQEGTLWWHAHVPFLRVTLHGVLIIRPRDGPASYPFPKPDKEVPIIIAEWWEKNLTRVARNMSNGFNDDYSSASTINGKLGDLFNCSGVPEDNYVLDVEPGKTYLLRIINAALFSEYYLRIAGHKFTVVSADANYVNPYTTDTIAIAPGETVDALVVADAAPGRYYMVALPNQAPPPDTQTPEYTTRGMVQYRNKSSRDGVPVAPKMPDEHDTIMSFYFHGNLTSLRHRQRSQVPKEADEHMFIVLGLGSICRNGGQICDRRDDTQMILTANMNNVSFHLPAAMATPILEGHHYHNDTDKTLQELPDKPPAMFNFTDVGLIPFGPKELRLEPTSRALLVRRFRYGTTVDIVFQGTSMLQGDSNPMHLHGHDMYVLAHGLGNYDAARDVAKYNLVNPPLRNTVLVPNLGWTALRFVANNPGVWYMHCHYEFHLAMGMAAVFIVEDGPTKDTSLPPPPAEFSTYGLYNNLMQNKLCTNTKKCEVSHVNVKPMTKELMTAEAL >Et_5A_041886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3940768:3941771:1 gene:Et_5A_041886 transcript:Et_5A_041886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAEEGHSFTPSSAYLVDRCLRAKIDSGRISDNANAYLFHDTDVCSARPHDLVRDRTPARVPCRDAGDGVQWFFFSRARCDGRSLTRRSRTVDGTGGKESWPWKAALPVDSSGSSRTITASGVVEKPGWIMAEYTLVKDTRAGDLVLCKVYRSPRGPGRSRAASSSCASSSAANSVCKRKAMDDDENLEAAKMPSTRPRLTEEDDVTLFAEDIERGLLSDDDHMAATIDGNMSFEEIEAIVMADVEDDETMLRVPDGEDPETFYMRVLGLLDGDQQPQEQDAFIQTVHGPRSEEDVISALASGVTVDELLLDGPFMSCPHPGGALALLCA >Et_1B_010597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1198200:1200775:-1 gene:Et_1B_010597 transcript:Et_1B_010597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPEIQAPPDVFYNEAEARKYTTSSRIIEIQARISERALELLALPNDGVPKLLLDIGCGSGLSGETLTEHGHHWIGYDISKSMLDVALERETEGDLLLADMGQGLGLRPGVIDGAISISAVQWLCNADKSSHDPRLRLKAFFGSLYRCLARGARAVLQFYADNVKQSEMIVTYAMRAGFAGGVVGIYDRNRPKKKQKTKKNGKGKEWLLRKKEQMRRKGHDVPVDTKYTGRKRKTYF >Et_8A_056286.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19709086:19709163:1 gene:Et_8A_056286 transcript:Et_8A_056286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGLPLLRFGRRCGETEGNKVWRG >Et_3B_031343.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26216875:26217075:-1 gene:Et_3B_031343 transcript:Et_3B_031343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDNLRVFLVLLLAQVCLLMTFAASAVQGSRAGPVTPEETPACCLYRPECCQHGFVAGPVSSAEP >Et_8B_060829.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:8564083:8567364:-1 gene:Et_8B_060829 transcript:Et_8B_060829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPDQPVHHTLTHALVASPPENPSGISPSFLPPPPPPPTPRRRPRGSRRLRRFAAAREGQEVIRCPNCRLLCFQFHRCSVFREWGLNFGFWGFPFGVAGAIGGPGWTTTRRGSTPRGGGRRWGRPRERAWGPPSGGDWHHRGLPPRPPHAADGAGCAEGRGGESAAFGRNAAGAGADPEGNAADGCRGADGRGKAEQDAGGGWGWWWRGHAAARRGEAAADAAAQGVPDSVVAREGVAVGTKRPSPAAPPAHHPPPKRTAVSARRQFPPGCGRDAAAPLGRADSSSTRFQAAAMGAGDSPDAPHNAAASPHPPPLAGRRDDGEPSSGAASLGSAACASVIAKVPHVPAARLLAKRRIVSAHRSFPPGCGRPLLSVEVRLLVESGRRGDGNTRSEEMVADHGDVSAAADEQAMEIDAAPPYVGAATATDGGAVQDEELEEGEIPPAKEHPTVAEEHIIGPQVSVSVTLHEPAADCGHEPSVPATDAVQAPPVAAEDFKVVNSPAGSSSCNVSVQSLSSEDPSEDLKGNKGSQIPKVEESSDVAKDFKVVNSSVRNSCNTAVQSLSSEVPSEEEDLKRKRVPEIPKMDESSAVAKDFKVMNSSAVSSGNVAVQSLSEGPSEEDLKGKKVSGIPKMDESSGVGARVPSEPAMRRKVMFTARKSVRPPKGILKSAVDTQHAPFSKNNEKSEPGSKNVIEDTDEFTKDLVKQALMSSEKCLGTQGKEADTVKGYFGPRKKVKVNDPKSEIRRRVTRNVIKNDSDEFTTDVGKQVPMSSEKRPMTQGKEASAVRGYFGPRKVKVKVPANVPIKVNLSCKLGSKDKFGDKVASNLEGDDILKGLAVREGKLEFYLKEKTPVPYMKCLRQYGVQNADARSKVKMMCRRFEAICRTIAQAVDQRSMKVRRIDIEADKAIRTLPDFTKHGPIVGEVPGVQVGDEFLYRVQLAIVGLHRPYQGGIDSTKDTNGVLVAISVVASGGYPDERSSSGELVYTGSGGKHAGRNAVGDQKLERGNLALKNCINRKSPVRVIHGFKRQNIEESSHSRAKEITTFTYDGLYHVVDCWREGHPGSEVFKYKLQKIPGQPELPHCRKKWIMS >Et_2A_015795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1878763:1880635:1 gene:Et_2A_015795 transcript:Et_2A_015795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALHLRLLPSPPAGVQPQQRRLRSRVQRQGGLPRRFSKVVSYYGLTTTPYKLDALEPYMSRRTVELHWGKHHQDYVDGLNKQLATSPLYGHTLEELIKEAYNNGNPLPEYNNAAQVWNHHFFWESMQPEGGGAPEGGVLQQIEKDFGSFTNFREEFIRSALQLLGSGWVWLVLKRNERKLAVVHTRNAISPLAFGDIPIISLDLWEHAYYLDYKDDRRTYVTNFIDHLVSWHTVTLRMMRAESFVNLGEPNIPVA >Et_2B_022045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8416920:8423881:-1 gene:Et_2B_022045 transcript:Et_2B_022045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVVVSAVMEHVLAKLNELVGDTCAKLIGMSDDIQFLRDELPAMSALLKKLEDNEQLDPQVKNWRNQTRLQYINDRRKRYKVDDYFASSTTIETLDARLPALFNEADRLVGIENPKEELIKWVLDEDQNMKVVSIVGGGGLGKTTLANEVYHELRQRYLIIVDDLWDVLPWDIIRCAFIANSQQSRVIITTRHVDVATACCTDHRYIHYMQPLSDTNSRTLFFKRISVSGDGSHFECSEAVLHEVLKKCGGLPLAIITISSIIACQQSGRLKEQWECIQNSLANQSATNPALDQMVHILDLSYKSLPHHLKAYFLYLGKYPEDYDIRREDLVRQWVAEDIVTSSRGRDVWEIAEGCFNGLVNRSMIHPVYNAYSTEVIHCRVHDMMLDLILRRCEEDNFLIAVHDPQEVAEARCKVRRLSIDMSGAKDVTMPVATTSLLSQVRSLNIFGLNCWIPKLSEFKFMRLLLLEVSNLKPTIDLTGINQLSQLRYIKVQGSSTEAVLPAQIRGLRFLETLEVSGTINSCGLSELVDVPRLSHVSVPWSEGWRLPDGIGTVKSLLTMSQFSLAMSSLGSIMGLGELSALSELQLCADTGRNKGVVPLSTMISALINSLEKLSNLKILSLWSPLAVYCGDAILGSSFSPPFCNIERLCLGMLIFSSVPRWIGHLRCLRELELVAKQIHQQDFNMIGTRQRSLVSLRLQIVHVPTERIVIKGSTGFKVLKLFEFDCDGLSCLTFEAGAMPDLQKLNLALTLHEWDKTIPIGLQHLLSLKVIHVSKAKLYSDNRVFKKDEKEMMMGVFQEVADALPARPAWHVRVAGWRHR >Et_10A_000821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17801900:17811858:-1 gene:Et_10A_000821 transcript:Et_10A_000821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VGLDLPTIEVRFEHLEAEAEVRVGSSALPTEAANALRILPSRKRTTPILHDVSGIIKPHRMTLLLGPPGSGKTTLLLALAGRLDKDLKVSGRVTYNGHGLEEFVPERTAPYISQHDLHIGQMTIRETLAFSARCQGVGSRFDILSELLRQEKEAHIMPDASAIEGEEANVVTDYVLKILGLEICADTLVGDEMLRGISGGQRKRVTTGEMLVGGARAFFMDEISTGLNSSTTFQIVNSIRQYMHILGGTAVISLLQPAPETYDLFDDIILLADGQIVYQGPREDVLEFFESMGFKCPERKGIADFLQDLKDQKQYWSGDDQSYKYVPVKQFSYSFQSFRTGTVVANELASHPASLTTSRYGVSAKELLKANIDREILLMKRNTFVYIFRTFQLILLSVITMTLFIRTNMHRDSVTDGGIYMGVLVIGVLMIMFNGMSEIGLTIFRLPVFFKQRDLLFYPAWAYSLPSWILKIPISFIEVGGFVFVAYYVIGLDPNVRRFFKQYLLLLAVNQMAASLFRFIGGLARNMIVANVLGTFMLLLIMTLGGFLLSKDNVKKWWIWGYWLSPLMYAQNAIAVNEFLGHSWEKVVNNSISRETLCVQVLVSRGFFPEARWYWIGFGALLGYTLLFNTLFTLALRLLEPYGKSPPSISEELLKEKDANMNGEVSATNLLVSGSTTHQTEVDNRESDSATDEANSGPMKRGMVLPFVPLSLTFDSIRYFVNMPQEMKAHGIVEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLLDVLAGRKTGGYIEGNIRISGFPKKQETFTRVSGYCEQNDIHSPQVTVFESLLFSAWLRLPTDVDSEKRKMFIEEVMDLVELKSLIDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSSELIKYFERIQGVRKIKDGYNPATWMLEVTTASQEQILDVDFGDIYKNSELYRKNKALIEELNKPLPDSSDLYFPTKYSQSFLKQCLVCLWKQNLSYWRNPSYNAVRFFFTTAIALLFGTIFWDLGKVKKPQDLFNAMGSIYGAVLFIGILNASSVQPVVSVERTVFYRERAADMYAAFPYAFGQVVIELPYGLVQASIYGIIVYAMMVFEWTAVKFFWYLFFMYFTLLYFTFYGMMTVGLTPNYFVAVIVSNSFYFIWNLFSGLFVPRPKIPIWWRWYYWICPVSWTLYGLIASQFGDITTPMDDGTPVNVFVEDYFGFKHSWVGLVAVVVVAFTVIFAVAFAFAIMKLNFQKR >Et_4B_037423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19767063:19767464:1 gene:Et_4B_037423 transcript:Et_4B_037423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGHAFFSSPTDLNIRHWSHIRRDYRRQRHRLLVASPRHKLSRLYLATSPDRKPLSLLPHGDDAAWSWRAVIDTTVTIKSLVPPEWWLKKMIKFQSSGTSSGTILVWPFNKSCNDHPGKSR >Et_8A_056256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18642108:18642482:-1 gene:Et_8A_056256 transcript:Et_8A_056256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYHVAQRARRHWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRVRAAGPDAFRKGKYPRCTQ >Et_6B_048915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15421976:15424298:-1 gene:Et_6B_048915 transcript:Et_6B_048915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSFVRRWDWPRHGRYKPAAHLGALFKGTPLSPSPLFPLSRERPVVRRHVAPTLEAVPGRVRSHRSTPPSRLLPPPASDLNASIPSEEFKRPRDSDRGDAVRRHQRRRGRAADLPAARRHDGGVARHAAFGGAWRERRWSGWRLARGHRDLVLRPMRRPAGGGGPPVVVVPPPPLSASFYDHDATWLKFRDDDDDFPSRRRGVTVCAAWSNRADQRPSAGGAWHELSSSAPKTKLQPLMPPNLSYILIPFRDKINLVSSASAFDQLRRSESSETWNVSLVAFPDGVKYELQHKGKLQAWADSSFIYLIKVGTKKLQLRVWRYGMDSETWSLEDTICLRTVFADSRVLTSVVSQDGRGVSKGTDVVIHRFGPRRGSTCMLLQVGNDVLYINIKSRTAEKVYTVAPEDGDSIRLVPFDMIFSLLLILITIKAKCKPSPRTQALIDHRIELDEQILGSADLETRLRNAGQRAFTDGSISGATISGSTWIVRRSFALSTSWYRSWSLIALRDLHLLLLHRLRARAASLSPPTLLRPASVDEVSVLLGCFGAAKAFAEACTLGDVDLTQLAAAADDDDERRLLLERTYGSAIFTTPAAASWGGRGIGCFFIAAAASRPGDTSSRQKRSGPSAFPQTRPGPPGKEDETRKELDR >Et_8A_057839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8134948:8135217:1 gene:Et_8A_057839 transcript:Et_8A_057839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDVEQVPACLHRVRTPSNRERLSVLFGGRSRDDAMVRAMDELVNGGQPLLYNHLSYEDANLQIQRTTEVVQRNGGKHEQIE >Et_2B_020512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20873724:20874625:-1 gene:Et_2B_020512 transcript:Et_2B_020512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRWNATRQPAMPSTVVCASRRPTKPPEMRPRLLASCSHPNAEPRVPSSVESATRDWIDGTTRARPMPLRPRDIATWTFEQSEPLPDPNLYLQEHVLHAIQQHKLQNFPNILAKKLRADLEEGVGDADEERGGGPEEGPDGDDVRAVVPRGGVGGERVAGGLHDGAAQRERAEPRGGCVQRGADLPVHRRQERLVGALHDGSKVHQQQRPPPLPLLPLVHLLSLSLFLSCLVSGSGQQLRALEREVRAGASG >Et_4B_037421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19743137:19746481:1 gene:Et_4B_037421 transcript:Et_4B_037421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPKADVGRAAGEEEFCADVEEPLINEEYKIWKKNVPFLYDLVITHALEWPSLTVQWLPDRIKLPGKDHFTQKMILGTHTSDNEPNYLMLVQIDLPLDSAEADAHHYDDDYYTDNGGFSTASGKVQIVQQINHDGEVNQARYMPQTQFIIATKTASAEVYVFDCSKHPSKPPLDGACNPDLRLNGHNSKGYGLSWSTFKEGHLLSGSDDAQICLWDHHDGVVEDVAWHLRHEYLFGSVGDDQHLLIWDLRSPAPTKPVQPVMAHQAEVNCLAFNPFNEWVVATGSTDKTVKLFDLRMIDTSLHTFDFHKEEVFQVSWSPKNETILASCSLGSRLIVWDLSRIDQKQTPEDAEDGPPELLFNHGGHTSNISDFSWNPCEDWVVASVAEDNILQIWKMAENIYHDN >Et_10A_000784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:17139923:17143947:-1 gene:Et_10A_000784 transcript:Et_10A_000784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCTWRPASLFAALLSASVLLLVTGSHQEFHEAAGSRSLLQTHTHEVHCSRERSRAAWKAIDEYLMPFVEKEKYQLPSKCRLHPDNDMFREQEQHKIHYDINEWRCGFCKKAFRAEKFLDQHFENRHKNLVDNSLADSCFPINQGRSASRLHEFFLRQFCDAHTCSRGSKPFPKGGRKQTNRFYLALCILVLILLPMFYIIVFLHQREMKKGVQDLKRFSKIGQKKKPS >Et_10A_000874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18561134:18564285:1 gene:Et_10A_000874 transcript:Et_10A_000874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGARFLRSAAAAAFSRLARALLSRALLIVTMSTGLCYSPTSPSAAAPWHQQARTAKSTKVHPAASHAVLDDKDVHQPPLLVLAAPPPPASPVVERKAARGVRPPRLVIPPLGAAAGVDPFGAAVDRETDAATEAEVQGEGFCLASRRGVRHAMEDAYDVVAAANNNDNGQGSQMAFYGVYDGHGGRAAVDFVADKLGKNVMAAAALAKSNEDEVMAAIQSAYLTTDSEFLSQYYVPQQGVRGGACAATALVKDGELYVANVGDCRAVLGSRGGVATALTSDHTAGREDERRRIENSGGYVSCGSSGVWRVQDCLAVTRAFGDASMKPWVTADPQLLRRRITHDCSFLVLASDGLWNKVSSQEAVDFVFAAAADGTTTTTASCKELVAMARSRGSRDDITVMVVDLQRFVR >Et_2A_014761.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:12988674:12988706:-1 gene:Et_2A_014761 transcript:Et_2A_014761.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLQLRDLQ >Et_1A_008600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9001926:9005779:-1 gene:Et_1A_008600 transcript:Et_1A_008600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPDPDGVSSRGRGGNNTRRADHLLPLLPSTGEPAATSRASRGSSSRSSEEARRAADAEGMRKQVILVNSAAGGHGGNHCRHSSSSGGGRAASPTRHHPASPPVSSGGGGHGRKYPGADDASSFFKPRVRPDRFLVLMEVVSMNCVFDEECLKEQSGRDSASEPSVNERPTAANNRVQRGRVGHGDPHPPPFFKCEVCSSRTAFYQIKCCQLIVCEFCGCCCNPDDLEYKDKQSLVGQKETLPKVKLVGSDLFLWENFSFPAGPMLCMAKGHADIVQYYFASPEDLMDKKIKHIPVKIYTFHQDGRKLKAWFVSEKVHQRREARWRGAFDAEQRGGTISAGQRAEAWKQMLASE >Et_6B_049792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12016774:12019755:-1 gene:Et_6B_049792 transcript:Et_6B_049792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMVLGSAQRAVVSLLRCLTSALAGEAQLLSGIRGDVQFIKDEMESMNGLLMHIAETGQGESDHQVQAWIKQVADVAYRSQNYVDLYVKSIGECTTAAAQKGIFGYLRRLPKLVKTLPIRHRIATRIKELKIRVQEVGERRLRYGVQVPVNSAHDTNSVNMAPWGGGDAGEEEGTMACVEAEPASFESFTLKSWITENGDDANQQKHGMPRVIALVGDKEEAARFAKVVHNDPWLTSTCSLDRNKLIQLGPEYDVRKLAQNIQKLLSGQLDESSGTGDDSATGSQSTTVDKKISYHLQLLDSLMYATTTRLASFLLYVTGIINPKTVIEDLPQQGKCKRFLLVLDDVRDKSIWDRIKPGFYHSNWSPDSAILVTTQDIRFAYPFIPYEIYVLERVRTQLHNYSNYVADFYLDNKAIAHQGAHLKPVLKEIFKRLCCEISECKLFLYAFSTNPNRTQADLQRLRDSLDYQSPNNHKEILKFIYKGMSISCRDCMLYLSIFPGETTIKRGRLVRRWVAEGMITKRGRLSAMDEAEQCFDVLVAHRCVEASETDVTGKVKSYTIHVHVTDIARDENFVLDNLSPYLAHRLPIRIALQLQQGAQQQYATRSKASLKKPPHIKKTSQPETESTAMKMFFKSLPLSPQLRLLEVLDLEDCKEMGNDHLRCICDNVFKLKYLSIRRTGITELPKQLGRLLFLETLDIRQTEIKTLTKGGSIVLPKLKHLLATRHDDRRNHENKQSEESFFTVKMPKYVGAMTELQVLSHMEVSGEGDELEQIGSLHRLRKLGLVLNGCTETGSRSLFHAVGKLSTSLCTLSIEIIAKNGNEDVDIKDCYSLRISPWCLQKLEITGLDCSLSGIDGLKDVKEINLKGKFNLDELQKAAEANPNKPILQIYPNDA >Et_7B_055467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11236688:11238077:1 gene:Et_7B_055467 transcript:Et_7B_055467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLSRVINPLSTDCNTRTHLAKPKPNRHGAVTNLIPSSPPRPAPASAPPALLDPKKQARADGLKSYPLLGIVPHIIKNQHRFLEWSTEVIKRSPTHTMLYKAVGLTGGVITANPANMEHILRTSFDNYPKGKLTLSIVEDFLGHGIFNSDGEQWLRQRKAASYEFSSRSLRGFVVDTVRFEVVERLLPLLARAAREGEEGRTVDVQDVLERFAFDSVCRVAFGEDPACLTEATMASPESAEFMNAFNVAQNAVMARFMSPAKSLWRVKRMLDMEPERRLRAALDTIHGYADRIVRERREKGAACRDDLLSRFVASGERSDAGLRDVVTNFLLAGRDSTFLAKIVREIRELDSGTSPTFSFDELREMHYLHAAITESMRLYPPVSLDTHVSQRDDFLPDGTFVGKGWMATYCAYAMARVEGVWGKDCEEFRPERWLGGDDGAFRPESPFK >Et_6B_049121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18271924:18275859:1 gene:Et_6B_049121 transcript:Et_6B_049121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLMIGILEKLIKIGLEIKKAVETVQQNKEECCEIEERVVIVRAILTHLSEATKNEVMESALEDLYRTLRRALNLIKDCQKKSILCHFCTSGALSKQLYRVRDDITRKIMAVQFATTLHLTLVITGPEKHGLKMFSLSELAAATNNFSDERLIGRGGFSHVYKGVLRAGPVVAVKKFFVNHDHSDTMLLAEVKIGAKLEHKNIVKHLGYCLDTTSEIVNFDGKFVAAERRNHFLVLGYLPNGSLDEITQGERRVNWSYCFRIIQGIAEGVHYLHEQRIIHSDLKPSNILFDLDMNPVIIDFGLSKALDPDDEIILDTVLGTMGYMAPEHIDSSRQSLKSDVYAFGVTLLETVTSVATGSNMGRGLKREDWGLLHPTVLVDESQLLEINRSVEVGLRCTELDPADRPTMADVLQMLNGLKRSHLFISKHHISFFFHGVCGV >Et_1A_006764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27358209:27360115:1 gene:Et_1A_006764 transcript:Et_1A_006764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRTVAVVGAGAAGLAAARELLREGLAVSVFEKSSRAGGTWAYDPRADADPLSRDPADPAAVHGSLYASLRTNLPRELMGFSGFPLAGRVFAGDPRTFPGHEEVLAFLDALAEESGVAARVRFRAQVLRVAPLGQGKGDQWSVQWRGEDGAVAEEVFDAVVVCIGHNTVPVVPEIRGIDKWRGKQMHSHNYRIPNPFRDQSVVVVGFGASGIDIAREISNVAKEVHIASRYCEDRLGKIELYPNTWMHAEIDCIQEDGQVRFAEGSAVAADTILYCTGYRYYFPFLDLDGLTVDDNRVGPLYKHVFPPKYAPNLSFVGLPYKSIIFQLLDLQSKWVAAVLSGRVALPSDEDMLAAVLEDYRRMEKAGRPKRHTHTLWPEWVEYLDWLADQVGVPRLEPRHSEMYEKVVTRIWSLDESYRDRWDEEEEQWS >Et_3B_027674.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11738145:11738489:-1 gene:Et_3B_027674 transcript:Et_3B_027674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLAPLLLVLLSLAALLAARPAAAGDASAAAALGWDLGAVGAAEDDEWGLGSGSGDTVARRVLQGGGYISYGALRRDNVPCSVRGASYYNCRPGGQANPYSRGCSAITRCRG >Et_9B_065943.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18329052:18329990:-1 gene:Et_9B_065943 transcript:Et_9B_065943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSCNGCRVLRKGCSDSCSIRPCLQWIKSPDAQANATVFLAKFYGRAGLMNLIDAGAENIRPAIFRSLLYEACGRIVNPVYGSVGLLWSGNWHMCQAAVEAVLKGAPIVQISSADDAAAAAPPHILPPAGQLNKQAYDIRHNNKQQQQHAASSSSSHGNNGDGDAASAVPLPRAEKDAGLLHKVAKPGRPSFKRTSTKPAAGKPKQQQQQQPPLRQEDEHQEDEHHHASSASSVSHVSQAEQSTGNQQQHDDSLQDPEEQQQPGPALDLTLGFAPLTPAAPCRVPPPAAPTGPVTGGCAAGEPGFVGLRFL >Et_7B_055014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6401204:6408734:-1 gene:Et_7B_055014 transcript:Et_7B_055014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKASVALFLAVNLVVFAMASACGGNCPTPSTPTPSTPSTPTPTPASFGRCPRDALKLGVCANVLGLIKAKVGVPPTEPCCPLLEGLVDLEAALCLCTAIKGNILGINLNLPIDLSLILNHCGKTASIALFLAVNLVVFAMASACGGNCPTPSTSTPSTPSTPTPTPASFGRCPRDALKLGVCANVLGLIKAKVGVPPTEPCCPLLEGLVDLEAALCLCTAIKGNILGINLNLPIDLSLILNHCGKTVLIILALNLLFFTAANACGCACGKCPTPSPPALPPPPPPTPSYNKCPVDTLKFGVCANVLGLVKGEVGKVPAEPCCSLLGGLADLEAAVCLCTAIKANVLGIVVDIPIKLSGLINYCGKCVPSGYQCA >Et_3A_026710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:16096023:16098725:1 gene:Et_3A_026710 transcript:Et_3A_026710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTTRITFATEPLLTLERHEWPPPCTGPPSSVRIRCTVTRRYSSRRIAGDGLAVPDRLADGPVTAEQTFYVYDPSLFLRYDDACRAVHGMLANMPPLAGVDLSPENWLPHFAPAALASRMLSHAQKEEDDGGGGGNYHFAVLVAVEVRLEFSEPRAAVRACAETFMQTLREQPVRDMHGQLGERRRQWRRDGSREPALFPWFPCIPGASPCGSSRAAGAPARSAAMTSDTCRCPDRRGRRREHYPSTASVVQMLTLTIILVGIGC >Et_5B_043599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12366092:12367235:-1 gene:Et_5B_043599 transcript:Et_5B_043599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTKLVARRVQVPRAVGRHVDRRLPRLRQGEVLGEARVFQVRPGAAAAVRRGAPGLRVLGRQPVGREDSRQLRRAGRGRQAAAASFQIQKLGWRRGDGGGELREVAARIVAHLAGDIRLAQFPGAMRKEALQVANEQLLQGLKILERLASDCHNCSDMCSAPGLLPMVAAPLHSATLIQDAKSSAEWARVANACAPGCCASALPARPGQGFACSAVAVSNLLNILCQESTDQAGQEELQMGAMEILTELARDSSANLASESKEDVTKKQLRIFLSDERGDEAMLNPLRATAGRMLVLLSSGSKIGTVNIKEACDDGIAPRLAGSPDDRKSIIAHLSELVDAKNNIVYRTIAAEILKSLCIHCHLESDKQNC >Et_7A_050485.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:18715623:18715898:1 gene:Et_7A_050485 transcript:Et_7A_050485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVASASDGGSPSGMAAKRNPGTDSSAAAPWDWSSRRWLYSVLTKVTWKPRAWRSFASFSIGVTWPCAGYGMHTACGGGLSSRAGDEPMV >Et_3B_030420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3644290:3648762:-1 gene:Et_3B_030420 transcript:Et_3B_030420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPRDPFNPPVPPPNKMRQMAGYNNPWRVPVPSLLNARGDLGDTSLFSTSLPVLPHEKLNFPDSAHGTPLMDGTSAKMKVFDDDPDEKDYKFDFDLRQIDDLLPDEDELFAGITDEIEPTGQTNNTEELEEFDVFGSGGGIELDVDPVESIATGLGNSSIGDGVGGNGVNPFGLTSTVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTATKHRGFVMISYFDIRSARNAMRALQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGAYGEVKEIRETPNKKHHKFIEFYDVRASEAALRSLNKSEIAGKRIKLEPSRPGGTRRNLIHHGHDLDQEEPRSYRPTHVGSPITNSPPGAWAHYSSPTENNPLQAFNRSPTGNGMSPIGMPSLISNAARIAPIGKDTNRSKYDQVFSNSNQSIGAAFQHSHSYPDRSSEHMSSSPGTLTGPQFLWGSPKPYSEHSHSPIWRPPAIGPAVSSNNRSQGQGFLYGSRQTSLFGSSDQQHQHHVGSAPSGAPFGSHFGFLPESPETSFLKQVKFGNVGNIGGVRNGGGLMLNMVGRASVNTICSLSGSLTDNKSTDFRPMLSPRLGQPFYNNPTYQGPGSFGLDNSIDRARNRRVDSSALQADNRKQYQLDLEKIRKGDDTRTTLMIKNIPNKYTSKMLLAAIDEFHKGTYDFFYLPIDFKNKCNVGYAFINMISPMHIISFFQAFNGKKWEKFNSEKVASLAYARIQGRTALISHFQNSSLMNEDKRCRPILFHPNGSDSGNQEPFPINGMCIHMPLEDDAGDSLDNEEDSNHNEKIGESSMAGSM >Et_1B_010693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13062014:13068988:-1 gene:Et_1B_010693 transcript:Et_1B_010693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAWRAHSPSSSLPALLLLLAALFFSPSSAARATALIVFGDSTVDAGNNNAVATVVRSNFPPYGRDFPGRRATGRFCNGRVATDFYSEALGLGRAFVPAYLDPDYGIRDFAIGVCFASAGSGLDVATSRVFRVIPLWRQVAMFREYKARLAAHLGAAEAGAVVSSAVYAVSIGTNDFIENYFALTTTRFLEFTLPEYTEYLVGLARGFLAELYALGARKIGFTGLGAMGCLPLERARRPPALGGLAGGCDEDLNAAARAFNAALRDMVEELHGELPGADVRVAEVYDFFEDVVRAPARYGFARADVGCCGSGRFEMGYGCSAWDPRTCPDAGAYVSRAPLALDTTTFSRRLTHHGFVALFVDVPARVSGGGGGADVHLAGGGGGGLARDGGDRVRRLDGGLRQQQLLAATSRPTANFPPYGRATGRFCDGRLPTDFYSEMLGLRNFVPAYLDPSYGIQDFASYGFDRADVGCCGSGRVEIGYGCVAWDPRTCPDAGRYVFWELHAVLSGNSYAHSQLQAD >Et_3B_028645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17675662:17677734:-1 gene:Et_3B_028645 transcript:Et_3B_028645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRRKRNPEDKANPTPLCASPSRAGVHVHLPEEIIADILSRLPGKTVLRFRAVSTAWRRITTDPHFLAAHARRRQLEIVLSPSDSIVRWHALVNTPYVICNPVTRQWTELPRLPQGRIHECGFYFHEPTGEHRLLCRWAKLYGVMGKYYITSTGATEPRHVSVDEAVDHLITEIGYVQYVTTAAHRLHWILPFSTGDRVILVFDTMSEMFSVMLAPPLSMGCVQLFDMNGQLAAANFGRTNIDLWFLEDYNAGERARRHQICTPPSLTELGYPKQLRVTAASYDEEDIILGKTGSLMVYNFT >Et_10B_003160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17162492:17168377:-1 gene:Et_10B_003160 transcript:Et_10B_003160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLLHLLPRGGGLLLVPLRCCSSAPSPLRSIALPNRTRTLSIFSIRAFSSNSVMSAGEQQQQRSVEVRETVELTEKEERIFRRLLDVVRHFGLGTQLRVAGGWVRDKLLGKDSADIDIALDNIMGQTFCEKVNEYSELIGEEQKGIGVIQCNPDQSKHLETARMLIFDIWIDFVNLRSEKYAENSRIPTVEIGTAEQDAYRRDLTINSLFFNINNNSVEDLTGRGIEDLKKGLIVTPLPAKATFLDDPLRVLRAIRFAARFNFTLAEDLKEAASDEKVKSELGSKISRERIGHEIDLMMSDKHPVKAMCYIRDLGLFYVVFTFPEKSEPPVFDKCDRCCIKYIEAAWNVAHSSGFSVFTGGSDSKLQDEQRRLCLYSALFVPLRNMFYLDKRSKKVPVTSYIIRDSLKLKASDAETIVNIHAASEKFAELVLLLESNMDIGTLKEKLEDEYLEIPADSVKRVFAGLILREIKDFWRVALFLSILTNPELENSVDTHNQQDELEERKEKYLRVERSITDLDLDGVWKLKPLLDGKTIMGVMQVKSGGPLIGKWQQRLLKWQLAHPKGTMDECIEWMKQSQSKRQKVESST >Et_3A_027260.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:6123214:6123687:1 gene:Et_3A_027260 transcript:Et_3A_027260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNPRVTSSRRKCRKAHFQAPSSVRRVLMSAALSTELRHKYNVRSVPIRKDDEVQVVRGTYKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVIVTKLKLDKDRKALLDRKARGRAADKAKGKFTADDVAAAAGGAAATGASLQEID >Et_3B_028977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21019782:21025472:1 gene:Et_3B_028977 transcript:Et_3B_028977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLSYAPPAPQPRASPVPGRGHRRPPCALPFPDAIRTAAAAAAVSLSLLVGDAAGAVVTAAPQLPEVCRDGGAPVEEEVRGEAVTNEQLVEEAWEVVNESFLPDAGSHPWSPEIWMQRKQDILQGTIKSRSRAHDIIRKMLASLGDPYTRFLSPSEMSKYDMTGIGLNLREIPDDNGSFKLMGDELLSVNGIDVRGKSAFDASSMLQGPKETFVTIKVKHGDCGPVESMKVQRQLVARTPIFYRLEKRENEDSSVGYIHIKEFNAVAKKDLVSALKRLQSSGASYFVLDLRDNLGGLVQAGIETAKLFLNKGDTVIYTAGRDRQVQNTIIAENGPLVTTPLMLLVNNMTASASEIVASALHDNCKAVLVGEKTFGKGLIQSVFELHDGSGIVVTVGKYVTPNHKDINGNGIEPDYNRLPGSDESLDSRTELMLGRTISIASCHQLEKHNTSNIEVSCKHLQSGHALEVA >Et_1A_005255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35709998:35711291:1 gene:Et_1A_005255 transcript:Et_1A_005255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSFSENSFTGSDHPCFTYSPTSRRSKCSTCHGTCSVDACLARWGGCERWRSKTHLGYNRLEADDREGWEFVASLSNCSQLQMLLLNNNPGFTGEMPSYVVNLSTTSNGAGLFNTNLSGQIPSSIGNLSTLTNLDAYNSNLQGPIPSSIGKLNNLVGLDLSINQLSGYIPESIGEWTVLQQLWLDDNLFERNIPQSLNNIKGLSVLNLSMNKLSGVIPDAIGNINNLQQLYLAHNNFSGPIPAVLQNLTSLSELDVSFNNLQGEVPSRGIFSVGGLHLRTQREQNDEGKPENTRRERRNTQREERGREPKGQKSSPPSPWAVFIGKVG >Et_3B_029132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22279856:22280328:-1 gene:Et_3B_029132 transcript:Et_3B_029132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHRDAPEVAVLRQPRVRAGHGPAQRGPGVVVGRQERVLGREAVADGDRDDAGARGQAVGVAVGWRGEPKTKAPPWKNTRIGSLFVAELLSWMVVGMYSRAATPVAPPSITTSLEVTPVAASKPAGAVASAPSARWTRPSLYSRK >Et_3B_029547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25937543:25939546:-1 gene:Et_3B_029547 transcript:Et_3B_029547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRRRGGASAGGGGVRAEEEKAAPAPAPTVWFALKKSLHCRSAPSEVHVPRPKAAAAPAPSGGGGGHLSSIVTKRGAPRSGCSRSIANLRDVIHGSKRHPGQPPSSCSPRSIGSSEFLNPIAHEVVLSTNSRCCELKITGFGGGGGGLGAGGDVVSSFVGTLRPGTPGPAWAAGHGLQYSGSCRAVRCTPPRSPNVLLERNGSVASAAHRASCEEPTKNATTGCGTGKGSSGGLSCHRCGDQFSKWEALEAHHLSKHAVTELMEGDSSRKIVEIICRTSLLKSESSCVRIERVFKVHNTQRTLSRFEEYREAVKLKASKLPKKHPRCLADGNELLRFHGATISCALGSAGSSSLCASDKCAVCRIIRHGFSSSWKKEGKAGVGVFTTSTSGRAFESIDAPPGDGEHAAATRKALLVCRVIAGRVHKPLDNLKEFAGQTGFDSLAGKVGPYSNIEELYLLNPRALLPCFVVICKP >Et_8A_056650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14451140:14453281:-1 gene:Et_8A_056650 transcript:Et_8A_056650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAIRANWNFVQEKGFLDILNELKVQDRFTTQNGWTAEGWNSIHRKFNQMFPFARYTKAHLQEKNKDLKATYKAIRDARKDSGACLDPASGMVTGGPNVWDKIEKSYVTFARSIATGDLSSTSTDPVHQSFENLKEGHLEAARVGQEASLGAVGTRVSGTVAARTSSDVARVGQEASVGVVPANSTSVGALAANYASVGAVPASSTSVGAVAANYASAGAVAASSVGVCALVASSTGVPGAQEAGESSSTGVGAQEVSEDSGKKRKAGRVAAVLDDYLEHKKAQSGKTVEALMEKKMLEEEYSIEKCLDTTDGMEELTDEDKAIASEVFEDDKNREMFMKHKNHNVRLIWLRRKIRRLAVFY >Et_9A_063143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:11873347:11877248:1 gene:Et_9A_063143 transcript:Et_9A_063143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRWRAVECELEAVDFVVPLGGLLGAHEEAVVGEADAEGLDPGEVAAHCGVALADEVSVDVEVGVGDDAEVLVLLAMEVEVIAIAAGEARVPAGDARVEVAHFENVHLAAGPEDVALVGAARVALGVAGWLLGRRIVAGQRLNPD >Et_4A_033499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23982238:23985677:1 gene:Et_4A_033499 transcript:Et_4A_033499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLEATRLLSSPLPRPLPPRRGLRPLLIHAPRLPVLRAHHRPPPLTAAKDEPARSNHVLGALAALRGSVLDSLAALKKPALALLLAGALLAAASAGPHAALAASGGRVGGSAFSSRSSGPSASYGYTAPAPRARGGYSAAPFYSPSPFVSFGPAVGIGFGGSGFLLTLIGFAAFLYLAGFLSDSSGGGSVLTETQKTTVLKLQVGLLGMARSFQKDLDQIAEKADTSTPAGLGYVLTETTLALLRHPDCCISAYSSVDVKRSMDDGEKRFNQLSIEERGKFDEETLVNVNSIKRNKTGSQRSSGFSNEYIVITILVAAEGVHKLPVINGSNDLKAALQNLAAIPSSKILAVEVLWTPQNENDTLTERELLEDYPLLRPL >Et_7B_054321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:231682:233234:-1 gene:Et_7B_054321 transcript:Et_7B_054321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPIRRCLRPLPVSSCSSAVGKGTGSFGKRRNKTHTLCIRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYMRHVPKRFKSNFREGTEATPKKRVATN >Et_4A_034257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31259391:31263376:1 gene:Et_4A_034257 transcript:Et_4A_034257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLQRLLAASTKIIGVGRNYMAHAKELGNPVPKEPVLFLKPTSSFLHAIPNPLEHHEVDVADGGVTTAAIEVPEQLESPHQEGELAGGVTTAAIEVPAEPVESLQQEVEVAGEATIAAIEVPELVESLHHEVELAVVISKRGRDVPEALAMDFVGGNLDESSSKVISYSCRLILCVCMRQEGFAFSFVMEFQHDCKAWYTDTLIRLLAASSKIIGVGRNYIAHAKELGNPVPKEPVLFLKPTSSFLHAGGVTTAAIEVPEPLESLHHEVELAVVISKRGRDVPEASAMDFVGGYALALDMTARDLQSVAKSAGLPWTLAKGQDTFTPISAVVSSLFLDKGQDTFTPISAVIPKSAVTNPDDLELWLKVDDELKQKGPTSDMIFKIPFLISYISSIMTLMEGDVILTGTPEGVGPVRVGQKIKAGITDLIDVEFDVQRRKRAFST >Et_1B_010179.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:28192982:28193773:1 gene:Et_1B_010179 transcript:Et_1B_010179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CFLRRFCDLHLPRFLGFYIQPTDLSLPKFVPAPNLPAELADIVRRASSALDAYAPDTSESEVSILCCLHGYHLVRLHNSPDYSRDELLNLLPRCPRQEAVTLPPPPSAGFCDSTAWLHKYLQNSGSVRICAQWYYLDLVEQHHRTESYELRDGVWHGLTPTTIELPLTLPDICTSMPVGSKLYLISSAHGIAAALSSGTSCQTTVTLPDGVDYWCKGNLTLWTADDDSVIHLIHVKELQLQVWLYGIDSENWFGFSGWEKHRH >Et_10A_002168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:293438:294363:1 gene:Et_10A_002168 transcript:Et_10A_002168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANTINSDEFNFQRYDSGTGAWSSRLLRVHAPQRGEVLPIPDTVVFHDTTKVITLGGPNGTVGWVDLWTGILFCDVLDDDDKLVLRDMPLPKLARSNRRNFCRGRPHRYWDITVIACRDREVIKIKYVEMETRPGDVPSSSRRRLTDHSAGSQSDSDSDSDSDDEGVAYYWKANIWTMPLPISSWKDWRKECTVDVTDIAVDDSRHSELLLPLSRHSADSEDATWSLRRLMTAHPALGLGMDEDVIYFLCKVDVMDHKGWAIAVNTRHMKLSGVAELDHRKNSCFRRYYLPSEISKHLIK >Et_8A_058178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2314183:2317113:1 gene:Et_8A_058178 transcript:Et_8A_058178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGNRASSSTSAAAAVVAVVLVLSSHVAAGARVVVQAERGRDLLSRRGDSDIASAGACALAVTPLGYPCEEHQVTTEDGYILSLQRIPHGRGGAGGRGRGRAGQPVLMQHGVLVDGLSWLLSSPEESMAFVLADHGFDVWIANNRGTRFSRGHVSLDPSSRLYWKWSWDDLVVNDLPAMVDYICSKTWQKPHYVGHSMGTLVALAAFSEGRMVDKLKSAALLTPVAYLAHITTPIGVLLAKAFVGEVLSDVLGVAEFNPTAPPVANLVRSFCRKPGTNCYDLVASITGKNYCLNSSAVDLFLQYEPQPTSTKTMVHFAQTVRDGVLQKYDYVLPFRNIANYGQAEPPVYDMRNIPADFPLFLSYGGRDSLADPADVRLLLNDLRDHDPDKLTVQYLDQFAHLDFVMGVCAKDYVYKDVIAFFDRFN >Et_7A_052591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9681231:9685987:1 gene:Et_7A_052591 transcript:Et_7A_052591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAWRKVRKALGLRLCAHAPVVGGSERRGTSGAGGRPRDTAAASAGESGPCMPAGAFRRSKSGSRSASSSSKGKCAICFASMRSGHGQALFTAECSHMFHFHCISSNVKHGNYVCPVCRAKWKEIPYRSLSSNSSHGRIGANQSRSPQQNPHVALHQQVRNRRDVCRLHTSEPVDYNDDEPLQQKDAFHNLDIGSCKTAQISSYPEFQGVPQSSSLRGFDILIHLKAPTATFIGNLVDESSARPSSRAPVDLVTVLDVSGSMAGTKLALLKQAMGFVVQHLGSSDRLSVIAFSSSARRLFPLQQMSHRGKQQALQAINSLGAAGGTNIADALKKAMKVINDRRYKNSVCSIILLSDGQDTYNISSNVQGTSAGHRSLVPSSILNDERHRVPLHAFGFGADHDSDTLHSIAEASGGTFSFIEDEGVMQDAFAQCIGGLLSVVVQETRLTMECVHPGVQLCSIKCGSYPSKLAGDGRHGSVDIGQLYADEERDVLLSVTIPKSHEQTSLIRVACGYRDPVTNEVIKIQGDEVKIMRTTSDIPESVSIEVDRERNRIQAANSIESARAAAERGALSEAVTILEDCRRVLAQSFASQSGDRLCVALDAELREMQERMANRQLYESSGRAYMLSGLSSHSWQRATARGDSTDSSTLVYSYQTPSMVQMLQHSQSHCPSTQGQRPQVRSARSSFPEKPRARGSLGLAMIRFRMDFFPHVDGLFKRTNVPFSLYSVASATEHGDRGPRDAVASVTIRCHCKGDVHRCLAPRQLRGQPIPELPRHCHVQAEHSGATSHSAAGLAQAGGTRPLPSATPP >Et_6B_049167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18994631:18995079:1 gene:Et_6B_049167 transcript:Et_6B_049167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKQGGTATGVLLLAALVAMAMPNAKRSSRAMPRRPASTSARRTTSRTSSLSAIRLARAIRTTPHAAAVRGLHDHRRRQRHRAR >Et_6A_047189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26583069:26584101:-1 gene:Et_6A_047189 transcript:Et_6A_047189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVMLDQSIQFPVCVENFTAPLPSFLNHGDIVFNLVVSYYNPQQTDDGRRRMVASSKVIKVGPFGGRGGSPWDDDPHHGVRSITLTYGRFLESMTAEYVGRNGRPVYGAKHGGGTSRSRSDKVELDFPYEFLTGVSGRYGQVYGGSLPVVRSLTLTTSRGLVHGPFGNEVDGVPFTYPMDGGVVVGFTGRSGWHVDALGFYIAALRPQTLCDVVHHRGLSAFCGCGSSSRMYS >Et_1B_012276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30409208:30409929:1 gene:Et_1B_012276 transcript:Et_1B_012276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADTWASLPTHLLPGIFRRLDAAGDVARCACACKTWRRAIIGDAASLRPRPDGFVRDLLLGFFHNTGESGVRLLRVPSAFASAALPEPAVLEPWRCRESLDSLVVPSANSSAGGDVVDLSLYDILWTYCTASPHVCPSIVAHAGRTKQGTSSAMAIGQGKILVEKGKEGQPSPIAHQPGILLHVGPVVAVVISRHGADKRLQMLGTPMSKI >Et_6B_049914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17706982:17708822:1 gene:Et_6B_049914 transcript:Et_6B_049914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVAKLTSERAVVVFTKSNCCMCHTMTSLLNDLGVNAAVHELDREPRGREMERELARMLGGRVPAVPAVFIGGDLVGGTNRIMALHLAGELVPMLKSAGALWLYNFLKQLYSGEKIKGENNTLISTPFSCKKLHGCPTRR >Et_2B_022120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9447723:9451143:1 gene:Et_2B_022120 transcript:Et_2B_022120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTGGALLPARRRKAHKWDVEYARYFATPRRGPSTPPPPGLRYVSRGKLRHQGTWLPASTTATLCVSQPSLPSAVPILTVSIGGVTFEEHFVSILNFSWPQVTCVTQCPIRGSRVIQKFAVRFPQLSDAESFLNCVKECSVETMDIIPSGSDYICEDSSTSEYIASNGLQLRTDDASSYEEPASDPIIEVPALSYHEEPDQPVPGPILDSNVDTIYSGFPPSFSQMLSICSTENEKDAEGPYPETTTKRSPREVYALGTSHDGAVVATDTTADKGKDAAEGIDASNATSDLMARIKSYMAEDSFHDMLFKLEKVIDELGGDMSL >Et_4A_034272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31298723:31301876:-1 gene:Et_4A_034272 transcript:Et_4A_034272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTASGVGSAEEAGNLERFLTSTTPSVPAQYLPKTKLRMWRGGDAMDSRPYFCLGDLWESFREWSAYGAGVPLVLNGSDSVIQYYVPYLSAIQLYADPSRPASRNRRPGDESDVESMDTSSESSIENDFDRLRVSSMEAKHRLENGGLQSDDGEAYASSSSGFPTFEYLEKETPYGREPLTDKASFFVNPRILDVLYMESYILTMHVHQVSILAAKFPALKTLRSCDLLPSSWMSVAWYPIYRIPTGPTLKDLDACFLTFHCLATPCKDHDPSMPACPGFGGINRCSNATGKLSLPIFGLASYKLRTSIWAPDGTQERVASLMQEADSWLRRIQVEHPDFRFFVSHFSTTR >Et_2B_019730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13095724:13098013:-1 gene:Et_2B_019730 transcript:Et_2B_019730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSPLDGDDADEFYFGCDAGYHRSSGGGGGGGKSAKKEKGFLSCLPCFIPCSPGAVDPMAHRRLLSSDSSDSDNAAAMDIAADLARLRARYSRLAAAAGPPVRPRDIPALVARLDDPPLAVAALSWLGGDLRPSCMLLALLPALFASSSLPSHARRALADAARRLSAREAALDGEVAEYQSTYAVKLAAEKTKDGVAETAAAEACKMARAARRADKLRWRAVEAAAREVLSPAQAKEFLRAVEDVAAAAARHGARWQARAGQLAVPVEAFERMRTSARAATDDACYSEDVPVEVSVNRAALLSLPE >Et_1A_006724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26832671:26837361:-1 gene:Et_1A_006724 transcript:Et_1A_006724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEELPGELGGGGDGGDELLQLRGEDGGAAVGKGKGEEEGGVVMRVAVDAKRAAVGVGARMLFYPTLVYNVVRNRFEAHFHWWDQVDEHVLLGAVPFPSDVLRLKELGVSGVVTLNETYERLVPKSLYEAHGIENLVLPTRDYLYAPSIKDLCKAADFIHSYASCGKLIYVHCKAGRGRSTTVVICYLVQYKQMTPIEAYEHVRLRRPRVLLASAQWQAVQEFYHLRVKKIERASYLDKPMKPPLFLAHNLIALDDSTFVMVSESDLEGYNADALAGLWEISLVCRVQVASKAAFSYLWVRCRAHNKEARTENVGRENCSLEAEQSSVGHPYLLQGVMM >Et_9A_061003.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:6162937:6163728:-1 gene:Et_9A_061003 transcript:Et_9A_061003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRERRSTRAASSANQQRRRRPRPAPYPLVGKREAKDDAAARRSIRTRNMRKLSHLHPNHPRRRVHVYCHHWPRIFGPFDPVEYSVTIAAVSGLDPATDLTKHWLPYPAKQGIVNPAFNLTLRVASPSTARGRECVEAGTAVEVSSLLSRVPLATGPVPAFCVEVGEEKEEGSVVAWGHGVRLPSFVFDGLAADMRLGKAEFGVKIIPPLPAYCGGGKSYCSSDVISCWSKIGGDRAPCIVSRETASLPVPRPGRDSTYLPQKE >Et_1A_009343.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:464934:465323:-1 gene:Et_1A_009343 transcript:Et_1A_009343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVPLDSSPAAAAAEVPLAPGFRFHPTDEELVSYYLRRRILGRRLRVDAIAEVDLYRLEPWELPPLARIRSRDAQWYFFAHLDRKITGAGAGAAAGPATGPTAPRRGDTGRPRARTARSSTAARPSA >Et_3B_028838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19732479:19741486:1 gene:Et_3B_028838 transcript:Et_3B_028838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVVAAAAVSASPWSLLLPGLLTLLVAWVAYRAAERCWLRPQRLSRALRAQGLGGTAYRFPAGDLKENARLNNEARSKPMPPCHDVVPRVMPHLLNTIKEHGNICITWFGPIPRVIIGEAELVRDILSNKFGHFEKFTNKRLGKLLALGLASIDGEKWAKHRRILNPAFHLEKLKRMMPAFSTCCTELIDRWENELAGSSGSYELDIWPEFQNLTGDVISRTAFGSSFLEGRRIFQLQGEQAERLIKAFQYMYIPGFLFFPTPNNWRMIEINREIEGILRGMIEKRERAIEKGEASGNDLLGLLLQSNMDSGKGNLRMSTEDVIEECKLFYFAGMETTSVLLTWTLVVLSMHPEWQDRAREEVLSVFGRDKPTFDGLSRLKTASIHNIPSSRILTVPKLDLVFQLTMILYEVLRLYPPAVTLNRRTFKEMQVGAIKYPAGVILELPIILIHHSPDIWGKDVHEFKPERFAEGISKATKDQPAFFPFGWGPRICIGQNFALLEAKMALSMILQRFEFQLSPTYTHAPYTAGRLLNQLWWQPRRLERALRAQGLRGTSYRFLTGDLKDYGRVTKEAWSKPLPLRCHDIAPRVMPFVYKLVQEHGNACISWFGPIPKVTLNDPELIRDVMSNKFGHFEKLKFPALSKLLGEGVANHEGEKWVKHRRILNPAFHLEKLKRMLPAFSACCEDLVSRWMGSLGSDGSCELDVWPELQTLTGDVISRTAFSSSYLEGRRIFQLQAEQAESIMRSVQKIMIPGYLSLPTKSNRKMHRVNKEIESILRGIIGKRIQAMKEGEGTKDDLLGLLLESNMRHTDENGQSSIGMTIEDVIEECKLFYFAGMETASVLLTWTMVVLSMHPEWQDRARDEVLRLFGKNKPEYDGLSRLKIVTMILYEVMRLYPPGIAFIRKTYKQMDIGGITYPAGVILELPVLFIHHDPDIWGSDVHEFRPDRFAEGISKASKDPGAFLPFGWGPRICIGQNFALLEAKMAICMILQKFEFELSPSYTHAPHTVVTMHPMHGAQRAMVLATLFAAEASVLWSLLLGGLLVLVLLGKFIRLVDQLWWTPRRIERTLRAQGLRGTSYRFLIGDLKDYSRLIKEAWSRPLPLRCHDIRPRAAPFSYYLVREHGRASISWLGPYPKVTLMNTDLTREVMCNKFGHFEKLKFPALSKLLGDGVASHEGGKWVKHRRILNPAFHLEKLKHMLPVFSACCEDMVSRWVESLGSDGSCELDVWPELQALSGDVISRAAFSSSYL >Et_10B_003312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18702872:18703263:-1 gene:Et_10B_003312 transcript:Et_10B_003312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYPSITQFVLTLKVARRLSKEAKSSAVSINYRWLGDDGAFRPVSPFRSTVFHAGPRMCLGKEMTYVQMKSIVVSVLEEFVVDVVGKDASGGVPELVLSETLRMKGGLPVQVRKRVAAGTANAE >Et_2B_019798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14043623:14057121:1 gene:Et_2B_019798 transcript:Et_2B_019798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAADEDNQPPPQSTMPHVAREHHGQDAPPGFVPRMSAEDVSAVEAVLGYAFADKSLVEQALTHGSFYYPYRPGETYERLEYLGDGVLTCLMSREVFRTYRTLPPGPLTRLRAANVDKEKLARVAVARGLDRFLRHKAPHLEGQIHVFIEEMCMYPVHSNGLLDPPKVLSDIVESLIGAIYFDSNFNQEEAWRVFRNLADPLISLETLGKHPVSELFEFCQKTRRGVKIVKDEWDKNLKVEVLIDGELVGSAIYAQKKEIAQNRAAKAALDKLKEIMGKCQTESVSADVSEPLDRLDLAGTIKRRLVVALASGCKKILGVPVALPGKRKK >Et_4B_039992.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7302827:7304017:1 gene:Et_4B_039992 transcript:Et_4B_039992.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSQKKSFPLSWPHRRMCFISSSLLRRRFAVIRSLFSPIVAPGTASSSSASSSSPLPAALRADAAASAAIAVVVDPAQRLIGGGLGAAASLIVSQVAPGSAPTNLLMTSAERCTRVPLRACGCVLTFTSTTVPLSTTSTSSSSSSSSSSSSFSSSASTWWPGFLSSSSSATGGAAVSANERSRRPPLRCARNRVGDGTGTAWTTRRPSLRCCLICTAPPTVRRASDGSGGGNALGGGGGAGARAAAATLAPPPSSSSSERSVAPSASEKPSEPVSEPRLSVQISRLFCVSRLDERRTSGCTYGAFALAAAAGGFCCAWIMFHTSSRSGRSPSPPWSVSFSITAAFSAAVAPAAATLSPPPCSTAPWHGWSCSVVSNTVSAMSSPWWFFASDTEFN >Et_3A_027125.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33793244:33794272:-1 gene:Et_3A_027125 transcript:Et_3A_027125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRRRLSVLLVVVLSILCTALASAVRSSTLHLARSRSSVSLDAGAPISKWAATLSAQSLGRRQTLVVAIDPSNDAAWVPCTGCTGCSSAAPSFSPTQSSTVPRVLEARARRSRSTSRTRGPRSRRCSARTPSRSRTYTFGCLHVITGSGSYVPPQGLIGLGRGPLSFLSQTKDVYGSVFSCCLPNYKTSNFSGTLRLGPVGQPKRIKTTPLLYDPHRPSLYYVNMVGIRVRPGERRRRHHHRRRSTPPCATLGGFDTCYNVTQVSVPTVTLAFAGAVAVTLPEENVMIHSSSGGVACLAMAAAPADGVNVLASMQQQNHRVLFDVANGRVGFSRELCSVA >Et_9B_063867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18301810:18302118:1 gene:Et_9B_063867 transcript:Et_9B_063867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFDCVMMHSPASPYGENVFVGSGTDWRPGDAVDKRAQACHPGSVCGHFTQLVWNDTQFVGCGRAECLIGRVFITCSYDPPGNWKDEVPLT >Et_1B_011630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24396259:24399876:1 gene:Et_1B_011630 transcript:Et_1B_011630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRWAWPAAAVVTAAAVTVVVASAIAAELAEERLTVGMTLLPDAASTGAVCLDGSPPAYHLHRGSGAGARSWLLQFEGGGWCNDVPSCAERAGTRRGSTRLMAKVEVFSGILSNNPAMNPDFYSWNRVKLRYCDGGSFTGDSTYRSGSSVLYFRGQSIWDAIITDLLSKGLANAEKVLLSGCSAGGLATFFHCDNLKERLGGAVTVKCLSDAGFFLDLADISGNNTIRQFFSSLVSLQSVQKNLNKDCLNSTDYPYLCFFPQYALPNIRTPYFILNSAYDVYQFHHIFVPPSCDTRGKWSRCKSDPGACSTTQIATLQGMRSSMLTALEQFEADPKVGMFINSCFAHCQSELQDTWFAPNSPMIRNKKIAEVVGDWYFERGAAKAIDCAYPCDFTCHNIIPSDQDGVSGVQSGLRFCVYRQMIVYLYVLLIVSQFI >Et_2B_019502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9452515:9453768:-1 gene:Et_2B_019502 transcript:Et_2B_019502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLKLVLLCALLAVARRGAVVDALIVDGLQVGFYSKTCPEAENVVRDVVNSEAAIDRSIPPGLIRLFFHDCFITGCDASILLDESPAGDVPEKESSANGFTLIGLNTIDTAKSTLESMCPRQVSCADILAFAARDAAVAAGLPSYPVAAGRRDGERSNMDDLPGHFPVPGHRSRGPPDRAVRAARPVAGGPGGPLRRALHRRRALLHVRQPDLRLLPDRRRGPVHGVPAAHAQRRARARAESALRPAVQGQAGQLVLRRAARAARAAHLRQRAGRGPPDEGRGGGVRGRRRAVAAQVRRGHAEGGRARRAPRRGEGTGEDAVPDGEQAGTAADVPVAAAPSFPAASVGRHDQRLLPGVPLMPPQLSHPWSQRSG >Et_8A_058331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5144338:5146432:1 gene:Et_8A_058331 transcript:Et_8A_058331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRLGRVVSDLSHRKAKDHGDGVRHQSTSNNEHSSNAGAKAKQRILLLFTGVSAWRRSDVTGDTGRATKGKKRHQTEENSKRRGLDIGHAVWKYLSMVEQLFTSSSGGGRNRRDMPEPRRRPPTFIVSSRGSSGNNKAPSRRHKGRLSSAPASLRGSPANSGHLSVGESVKMSTSSSELSTMEELQSAIQAAIAHCKSSVAVDAREAPAGGDDRNKSISKGDSLVTENSGAKN >Et_2B_019311.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22967123:22967452:-1 gene:Et_2B_019311 transcript:Et_2B_019311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTTRVRYVPLEQQRRRQGGEYAARRALFLQSYRFSVDAQRRGEDDEEEGLRGRLARRLRAAVARARGWCVSAGMVSRAWRPRADPLLGCFGPGYRHHHHKYYLHDYA >Et_9B_064510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1676811:1680047:1 gene:Et_9B_064510 transcript:Et_9B_064510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGPRKPSSPPPPAATAKDSVAAMASLLLELAAADDLAAFRSAVEDDKAASLDAACQCCVPCPEFRKGGSCRKGDNCEYAHGVFECWLHPAQYRTRLCKDELHALSLKQAGDMPAAYGAMPDTQQLHMPTSPMVSGANTAFGMDHSMAKAIMSSRASAFAKRSQSFIDRGGRAPAARSFMSPAPPPTSSPSMLSDWGSPDGRLDWGVQGDELNKFRKSASFAFRGQSAAPPAAEPDVSWVNSLVRDGHAGDIFAQWPEQEQMHNFFPSQTAIYYTVPGDLEFTSTEGSSEIQSMSSMVVA >Et_4A_033226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20075861:20076547:-1 gene:Et_4A_033226 transcript:Et_4A_033226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRWIRDIRGPRTVQVILDFFAVLAVVSQVALSTTPDQFRWKWTPDGFDTLTVLVIWRLWGERNKRVHEFQALMSVALAQEIIDNAHLWASASFSKLRKLLVPKL >Et_1A_004775.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:40028915:40029535:1 gene:Et_1A_004775 transcript:Et_1A_004775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFHLLCDKNVAVEQSIHAAYVHAIRAAHRFLYVENQYFIGCSYAWPPSYRHAGAGNLVPMEIALKVAAKIRAGQPFAAYVVIPMWPEGNPASGPAQEILFWQRQTMEMMYGVIAREIKASGLAGAHPQDYLNFYCLGNWEPLGDREPEQQQESTLARARRHRRFMVYVHSKGMIVDDDYVVVGSANINQRSLAGSRDTEIAVGA >Et_10A_001055.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20702646:20705388:-1 gene:Et_10A_001055 transcript:Et_10A_001055.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLSKHNSSPAPLPEIASRTDAAGDVPELTVRELREATVYRASLRSGRAATAKRLSLLRSSGSGGWDAAAILRQQVPTLIDQFIVICKSTNSPDAMYGFDGQIAVVSKLRHENGVRLLGYTITGDLGVLFYEFAAMGTLHDAHHGPRGESRAAGAGLAVSLSWAQRVQIALDTARGLAYMHEAVRPRATHGDVRPTNVLLFEGFRAKIGDYNLFRDTQASYNECSIPHTTVFDLHPLVYTAPEYDCHDGQPCDSQGRRVQLRSGASRAAHLKVAVDRQQSSPYEMREI >Et_4A_033826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27101771:27103995:-1 gene:Et_4A_033826 transcript:Et_4A_033826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAGAASRYASYDSPSPSPSPRRAGPPAAAAGTPTHGGSCALVAARSGRDLMGAKPQPQAQHGNLGSVLRRLISMDRKPPSKNHHHLPVPPAAAAAAKNNGGGGEKLPGLSRKLFQTSSKKKPVAALTDVKNGGNSANTRTLAMVLRSERELLAQSKAQEDEIAALRLQLENKDREVERLKDLCLRQREEISALKDAVLFPDAEPAASRRSSPRHVPGHHLDVLCLGDRVKAEKHSSRSCFDDDGYCSSPRTPGFNEETAFSLECSIGAETPNCGSPDEMFSKDLNPCLTPCIAKSKSDVSAQFHSSCHSTKDYQQSMGSHRSASKPRSNHSYRSLGRPMSKSSDNNHKPTSGSNSKRRVNRSDQDKIYQNMF >Et_7A_052720.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14137822:14139824:-1 gene:Et_7A_052720 transcript:Et_7A_052720.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGHHLVAHLLSSAPLTDLLRSVPTLPAVRAAHALALKSPFAVETFLLNTLVSAYARIGSLRDARRVFDEVPRPNTFSYNALLSAYARLGSPEVARALFESIPDPDQCSYNAVVAALARKGRGGDALRFLAAMHADDFVLNAYSFASALSACAAEKDSRAGEQVHGLVVKSPHAKDVHIGSALVDMYAKCERPEEARRVFAAMPERNVVSWNSLITCYEQNGPVGEALVLFVEMMDAGFVPDEVTLASVMSACAGLAAEREGQQVHARVVKCDRFREDMVLNNALVDMYAKCGRTWQARCVFDCMALRDVISETSMLTGYARSAKVEDAQVVFSQMVEKNVIAWNVLMAAYAQNGEDEEALRLFVRLKRESVWPTHYTYGNVLNACGNIANLQLGQQAHVHVLKEGFRFDFGPESDVFVGNSLVDMYLKTGSIEDGAKVFERMVARDNVSWNAMIVGYAQNGRAKEALHLFERMLNSKESPDSVTMIGVLSACGHSGLVEEGQRYFRSMTVDHGIAPSRVHYTCMIDLLGRAGHLKEVEELIINMPMEPDSVLWASLLGACRLHKNVELGEWAAGKLFELDPENSGPYVLLSNMYAEMGKWADVFRVRRSMKKRGVNGDEQDDSRC >Et_6A_046170.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:7215190:7215249:1 gene:Et_6A_046170 transcript:Et_6A_046170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEESLWASALGSFFFRGE >Et_2A_018254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20225839:20227686:-1 gene:Et_2A_018254 transcript:Et_2A_018254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSVVSKDLNLPATGARTPTPMSSPGLLRYRSAPSNLLDQVCAEGFQAAEAGAAGHRPDHAADAALARFLAGHHAEIVDCKPPRPAAAAHHFLDDAASMASQHQHHHQQQQQQQQMAAMEGLYRTLSSGGTEPAAAAVGSGNSSLLRQSSSPAGFLNHLNMDNGYGNMLRAGGMSGGFRNGGDARLKGQLSYPSRQGSVMSQISEMGSEELGGSSPEAGSNGAAARSYSGIPAGYPMGSSGWDVDASPDQGHLSGAKRPRDAASDPAAHAATNGHQLAPQLSLPSDGKASADMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTRISERIRKLQELVPNMEKQTNTADMVDLAVDYIKELQKQVTVLNDSRAKCTCSASKLHNQFTC >Et_3A_024350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19974182:19982555:-1 gene:Et_3A_024350 transcript:Et_3A_024350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEAKASGDGGDIYNVQAAEILANEARLLPINESAPIYEKLLATFPTAAKYWKQYVEAYIAANNDEATKQIFSRCLLNCLHINLWRCYINFIRRVNDKRGSEGLDETKKAFDFMLNYVGNDAASGPVWMEYIAFLKSMPAMTPQEESHRMTTVRKVYQKAILVPTNHVELLWKDYENFENTVSRTLAKGVLSEYQPKFNSAKAVYRERKKYIDDIDWNVLAIPPTGSYKEEQQCMAWKRLLAFEKGNPQRIDAATANRRVTFTYEQCLMYLYHHPDIWYDYAMWHANNGSVDSAAKIFQRALKAIPDSEVLKYAFAEMEEARGAMQVAKTIYERTEGLEAARKYFLDARKSPSCTYHVYVAYATMAFCLDKDAKVAQSVFEAGLKRFMHEPGYILEYADFLCHLNDDRNVRALFERALSLLSPEKSVEVWKRFVQFEQTYGDLSSMLKVEQRRKEALSRTSEDNALSALENTLYDVVSRYSYMDLWPCSSKELDYLARQEWLGKNNVKSVDKLSMRSGSSMLDKGSVGLSASARFLPQSAKVVRPETSQMVIYDPRQTKGPDFSASAPSGYTKEVEEILKMLPPSTMSFIKNLPAIEGPSPDIDVVLSVLLQSTLPAVQNAGKAGATSDLSGVGKSGLNQNGSVHRPPRDGQSSRRKDIERQGGKEEEDAAAAQSRAALPRDIFRLRQIQRSRGGLGAAAASQSAGSSAFSFSGGGSAFSGDQSASTE >Et_9B_064473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15457572:15461386:-1 gene:Et_9B_064473 transcript:Et_9B_064473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEAVRDIGSGNFGVARLMRNRETRGLVAVKLIERGHRIDENVYREIVNHRSLRHPNIIQFIEQICHRDLKLENVLLDGSPAPRLKICDFGYSKSSVLHSRPKSAVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQDDPKNIRKTIQRIAALQYKIPEHIHISADCRQLISRIFVGNPLRRITMREIKNHPWFLKNLPRELTEAAQLVYYRRDNSVPTFSDQSTDEIMKIVKEARTRPKSPSSGYGYGDECSDEEGTAEDKEPREEEEEDECDKRVREVRESGELDMASLRI >Et_2B_020419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:269334:272840:-1 gene:Et_2B_020419 transcript:Et_2B_020419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTAAAVSLSSSSSPCANKTSAPSSSTAVHFSSYYRPPRRCHQALRVQASAASADAVEAKPKKVSKKQDEGVVTNKYKPKEPYVGKCLLNTKITGDNAPGETWHMVFSHEGEIPYREGQSIGIIADGVDKNGKPHKIRLYSIASSALGDLGDSKTVSLCVKRLVYTNDQGEIVKGVCSNFLCDLKPGADVQITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKMFFEKHDDYKFNGLAWLFLGVPTSSSLLYKDEFEKMKGKAPENFRVDYAVSREQTNAQGEKMYIQTRMAEYKEELWELLKKDNTYVYMCGLKGMEKGIDDIMVSLAAKDGIDWIEYKKQLKKGEQWNVEGCRTQIRTKGLQKKLILIPFPTILLFGLESKHFVVTILIFEGHYYPDTPKKVEVLLLQLLELNSLKMLSPAFSSEVAVAAAPCHLQHLWEGSARLRACWPKAEDTDRLLQEPIPARCIVEERIFQCLNSELGGNTFILLLVFPVSVPAKEERLVQDAEVNDRDDDLHDGYEGSDEDRPPLLDAPCQQHERDAASDDALFVEFTSTSSSE >Et_2A_014629.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:30212842:30217624:1 gene:Et_2A_014629 transcript:Et_2A_014629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRLARAHARHRLVLPAARALSTAAPSPAPAAAIPVTPAAPLSDADLELLLRRSHYSPSTRRFHSFLPLLSHPSVLLSSALPLFRRAHPSLPTPPQPPPISLTSAAAALSAPSTHLHLLLPSRIKGQPLPVPTLPLRLAMRSAASALDAVFAPRAATFAYRGRHAAIRYLKTIPTASWYFRVAIPRQRFGPRHVRRLLDAISGKVDDAGFLDFLNELFVSDAVAFDLGGCELGRGLPQESELTATLVNIFLDPVDRELMAIREEVHKKHPRIKDYSVLHKPVRVYAVRYLDEILVVTSGSKMLTIEVRDRIISVLEKDLEVKVDRLGSSIHSAVWEKMDFLGMEFQAVPPSVLHPPMSEKAKRARKKYLKMKAEKAQELKNARETRRKKLGLKILNHLFKRTRRGEEFEFDFRIENEVRQVFKEWADETVDEYFKSQEHCRYWHRLLTSGDFLSLNRVRDQLPPALVDSYDQLQQTLDRLLMPMKGHDIAKEEERLAEEEEEKQYEKNTVEDLTELKMRVNVPIELVRKAVKLAGFTNSMGRPRPIKLLLCLDDADIIKWYAGVGRRWLDFFCCCRNFKMVKTVVSYHLRFSCFLTLAEKHECTKRQAISHYTKDLKVANDDGVAELHFPTEREIKMMGDKNLFNPKPVDGALTMILVRLAVDDASYPCLAHFCAKTDTVLYRIRLLQNRLNVDPLNEKKWVHGLSAIHESLNKKCLPLCSMHASDLLVGSITLQDIDCTQFVDVE >Et_9B_063703.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:20469781:20470488:-1 gene:Et_9B_063703 transcript:Et_9B_063703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPLKRIAPAATPPPPKKRPRAKASAAASHHAIVNNTADQSPPAGNGGGAAPRSCVDGGALTASPPFPWAKKDRVAAHYSLAELAERGIHAVGGEVQCKRCDARTVMSLDVAAKFRELHDFIARNVQAMDDRAPEEWKDPALPDCDRCGQKNSLRPVIAADKDRINWVFLLLGQTLGLCTLEQLKHFCAHTNQHRTGAKDRVLYSTYMELCNQLHPGGPFDMAFERKNRSRPFA >Et_5B_044517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3073365:3077338:1 gene:Et_5B_044517 transcript:Et_5B_044517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPDLSSSSSAAAAAVPDAVPAAAAAAAKKDRHIVSWSAEEDGVLRSQIAIHGTDNWTLIAAQFRDKTARQCRRRWYNYLNSECKKGGWSREEDMLLCEAQKVLGNRWTEIAKVVSGRTDNAVKNRFSTLCKRRAKDEESYSESGTPCSNANAKRVLTQIRCLTPGAAESSLPMKPISSDLKENIIPNMRLFGQEKGAPDARQPLAIISSSNKDNVKIVETQNLVAKNVTKQLTGVKREREGSFLNKDDPKVATLLQQADLLSSLAVKIKTENTSQSMDEAWQQLQHHLVKKDDSEMSESSMSGMASLLDELDDLIVDPYENKKEDEQKQREQNEPINEHDEHCNASSQDSKEVISHMASDEMMDDCPIDVIDHSSLSKNTLSGNVEPCPGTEIPASEKLSEVAEDSMLHCMESTPPVVTDFDDLIVDPYEGTEEDEQKLREESGQIDVHGEHSKGSTQTSMELTPDMAPVLNMEDCPVDNCREDNSLCISMLSGTMESCPDAEHPASEYLCEVAEDCMLQGAESASPVQTNSQSKECAEIPVSENHSEVAEGSRLQGIEFSSPAHMVLQEKAGAFASPKFGEVRKDNKLPSAEFMSPAHTVPTFQPYEADMPTPKFTASERNFLLSVLELTSPGSKPETSQQPSCKRALLNSL >Et_5A_040815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1341913:1345305:1 gene:Et_5A_040815 transcript:Et_5A_040815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHTLDYKENDVLQEFEMLTMNAKAAQEHILKKILEKNKGTEYLSKFMNGSTDISAYSSQVPVVTYDCVHPYIMRIASGEQSSILCGERIVELLRSSGTSRGEPRLMPSISEDLDRRTFLYSLLMPIMNKYMRGLGKGKAMYLLFVKAEALTTSGIPVRSVLTSYYKSPHFLHRKHDLYNSYTSPDEVILCPDSRQSMYCQLLCGLVERQHVLRLGAVFASAFLRSISFLEQHWCDLVNDIRIGQLNSSITNSVGRSAMQGFIAMPNPELADELAVICRSGSWKGILGRLWPNVKYIEAVLTGTMAQYIPMLEFYTGGRIPLVCTMYASSESYFGVNLRPLCSPTDVSYTILPNMAYFEFIPLMNGLKLTDHEEMVENDKLVSLVDVKVGCYYELVVTTFSGLYRYRVGDVLQVTGFYNRAPQFKFICRRNVILSVDSDKTNEEDLHNSITHAKKILENRKYLLLEYTSCTDTSTVPGHYVLFWEIKSTNEGATTCALLDPQLFEGCCIAVEESLDYVYRRCRAHDKSVGPLEIRLVQAGAFDALMDLLVSQGSSINQYKTPRCIESGLALKLLNSKVIACFFSPRDPEWTM >Et_7A_052108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4691875:4695100:-1 gene:Et_7A_052108 transcript:Et_7A_052108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPESQTAVEEDSSKSVDGKEQVVIVALLKDQNIYTAVVQGASSLKSKKGTQEDATFMDEGLEQRWFGYQPNVHSSQPQTFFSGGYWSPLDQWEEYPHFVNVEGLGAASPMIYGAYSPPPTVGNSQPYFYLHYPFSSPYCQPPAFPSIGYSNSSTGMLQFDHMHCYYVPESDELFYPPAPGFYQPFGPFEGAPIQSSDNQGYVWQGNMPLTFEMDQESMYGSRSYKALQQVGKYGGATPRWGAAKNRFSKFKHEKGSPDFLNELNRGPRTTRTKKEEESSSAQGNNKKTIVDSEQYNHPEFVTEYKDAKFFEIKSYTEDHVHKCIKYNVWASTAMGNRKLNAAYREAKKTGDHCPIFLFFSVNGSGQFCGVAEMIGPVDFYKSVDYWQHNRWSGQFPVKWHIVKDVPNNIVRHIILENNENKPVTNSKDTQEVKLEQGLQILAIFKNHEAETTILEDFDFYEQREKAMLDNRMQKLQCPDAKSQEMVEASAPVDLVTHISATFSQDVQLEEAKGNENRLMVDHTVSVGSASAAPVKTEDTPRTEETKTEEDTPRTEEAGILSKEID >Et_3A_024186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18251613:18252596:1 gene:Et_3A_024186 transcript:Et_3A_024186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVTTVPQEQILGVDFSDLYKKSELYQRNKALIQEFSQPAPGSSDLHFPSKYSQSSFTQLEADMSYWRNPPYNTVRFFFTTIIALLIGTIFWDLGGKVNGSPGNHFQASVSAVGCHMFRRAGH >Et_3A_027287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6959356:6961999:1 gene:Et_3A_027287 transcript:Et_3A_027287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSVAATAAATSRTRSMKLLVNTKTQRVLFAEADKDAVDFLFSLLALPIATAAKLIRQESGVGVGNLYASAKKLDSTYVLPGAAKDVILRRTTASASVIASRSLLGLLEPPSSSSSVQPNRLCYSGAKCPLCSMQMTSTAVYYSGQYMVMDDLAIEPMSTISSITLLKTFGVRDLGDLEEETVKLGHKEGMEILKSSLNSKSVLTDVFLVNKASGCRPLGAMHPIEWIGLAQDYQAQPK >Et_10B_002545.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:14982234:14982389:-1 gene:Et_10B_002545 transcript:Et_10B_002545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGAPRRPVRPEPRPVGRGAAAHRTELRQCGERQGAGRRRLRQQHQPHL >Et_1B_013897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22875569:22883147:1 gene:Et_1B_013897 transcript:Et_1B_013897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPSHPPSPFTLATLSLIKNPSNPPTRSNETPNRQSSPNPTQPTAGAAATAKTCPSPPLPPIPEEEQDHAVDYQVGDQVEVLLGIYGPRPWHPATVVAVLPDQRCCVAEYDYEDAARDTVDWAYIRRRPPASDVEDSDDHSDGNEERPPASGGGLFFKAGDRVELLRCHPDYGEAWYPATVEDVVDPESETYSVRRVSGRRCLDEDEEEPEFQEQGLENFRPAVVESECLLIDARCDGVWAIGAVLGAVGELQYRVAIGEQVKVIKEARDLRPRYRWDWDTRKWTVMTSFPPKNCEGWVPKLVQLVGSNRVLRPGAQVEARCDGVWAVGAVLGAVGASQYRVAIGEKVKVIKEVRDLRPRYRWDWENWKWSVVASFPPKIVPWLTRMLPHNSLIQLIIGIRGGMLDQGLNATSNRNEDEVATQLPFIKSLPAWSSFEEMEVFKKMPQQPHFGPLQNEEPLLREGNALGLMGIFANVAESISHSSIEDSSELFQRKDHMLSWMKHFGFNVDKLQTCLNKLIKMKSEYAKCITEKDIVQAQKQSKGDSCSKVNSLREEKVKMLVQLAQELQQLDEEKKAREAEFSELEEAESMIDKACHDIKEQFGDTLAEHLG >Et_1A_006287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2075797:2079335:1 gene:Et_1A_006287 transcript:Et_1A_006287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPPSSPTFAQALPSRTILLVILSSFLVAVIYLVAFPNNFKIQEVFASSCSNDDATMASTSRQLAKQPVDLRVFLGVITRPDFYERRAHLRLAYSLQPRPVRAVIDVRYVFCNLDKEEDRVLVAMEIIAYGDIVVLNCTENMDDGKTYDYFSTIPRMFADEPYDYVGKTDDDTYYRLATLADTLRNKPRDNLYHGFLHPCHVGLAWQYMSGMGYIVSWDIAAWISAREELRHDRGYEDMVFAGWVRKAGKFKNVYGEEPRMYDYWDRETGVGEDVTCFRHELIADTVAVHKLKNKLKWARTPHRGSSPPKCMMLIRHGAVPKLSASSKALILLPLVLLAFIFFFVYPKEFELQAMMSACSSPPGTYAAARLAEPAVTRRPDFRLLIGVLTRADLYERRHLLRMVYGLQLASPNNNLTAHVDVRFVFCRLYKDDQRVLVPLEILAHGDVIVLDGCEENLNGGKTYTFLSAVAEIYADEPYDYVMKADDDIFFRLPQLVESLGAMPREDMYYGATIPCDSMDPFRGYMAGMGYVLSWDLVQWIATSDVARDHSVGTEDMLTGKWLHLGGKGKNRFNAKPAIHDYLNPVPVDQCERPFTPSDIAVHRLKTNPKWAETLKYFNFTAGLKSSKFYKIDS >Et_4A_033989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28818095:28822542:-1 gene:Et_4A_033989 transcript:Et_4A_033989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVQNGGDLGSVGGGQPRGLVCPPSASTLRLVPAQYPAATMAMNQAGHAPLAVYRAPAPPALEVVVAAVPPAPSPAPGAASRWPANAPVPSAAAVAKEVERKLFVSETAPAAAAAALASTHEGKVADAADAPEALLEPVSKKGQAHPNQAGVLTRDNQFLEDIADDSFFHCDVSLNPYSKSRQTSREVHNEGKSPAYHGRKGLYTAGSLPFKPKEFVVTLVDPEKKEKERTEREYKITVQIAGRTGLYHLAQFLRGRQMNMPDEMMQVLDLVLSCTTVSRPLFCTSLGHRRDIGEGLACWRGYYQSLIPTQVRFPLNIVITFLERFLDICDTCRPLSDRDCLKIQDNRNYSHPIESVYIYIFLPRVYISLLSLKLSLDEKAKRKAVVQHFWDNYRLKCASWPCLQPDSYSHPLYWAMELCKIVDGQRYSKKLSDKQATDILRASCKRPREWELSNHNMVLHHKLGQDRFAKKFRIAIPGDIMSVPAGVLSPYLLKYQDSCIAKVCAPIAGLQNMIKNSQRRHRGELDLLEFFMHAFGGGEEILYGFDSYVQGNWNVNAFRIGHYKLGLVVINSQVVNPYPFLDVKSAAPDRMENLLRDVHRMASQVHAKQGITNQLHLLIVILPDGTDSHGEVKRVCETDVKVVSQYCLPKHANSQNKQYMETVALEISMKVSMLCSLCFSFHLFPVLQFWFVLTGM >Et_9B_064284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13589167:13590854:1 gene:Et_9B_064284 transcript:Et_9B_064284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGKRCLAMVVYDPAAEQEQQRAAKRPRASTLEEAGAVVPYDAAAPPVDAQPISAVPWRRPQAQPSPPVVVGKEPPCLRNHILPALRLRSDLPVHFIDAKAVTSTDLDAHQNRFRIPTNGVLRRLRPLLTFEELAFANLLYDPEPRKKSKKKLLLEEAENGVGGEQAAAGKKMKKKKRKGECTAACPLVDLAAGASWELRLSRWESSHGTIVKGEGYLDFIRRCSFKENDVVEIWAFKQHPFRNFGVTMCEGSILHVLIDRYNTTRKQSRSQSESSAAADAGEQKMKKKKRKGRVHGGLPGRLVDLAGGASGVLRLSRWESSHGTIVKGEGYLDFIRRCSFKENDVVEIWAFKQHPFRNLGVTMCEGSILHVFIVKRHNQPEHCRYCHHQ >Et_8A_058200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23836763:23842675:-1 gene:Et_8A_058200 transcript:Et_8A_058200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPSLGPLLEKALMGLKERQRREEGVGHKGIATETRLVALSPPVSKAIKPSPTLLRRSDRRAGSVDEDSTERASRLVAIRNLEVPEGHLCGDLTEELMDENEEDPILLVAVGYGVSDAVSPGGKGDEEQAAEDADAERQDVEHLLRLLCRRRAEAAHDVLVPGGDDPEDGDGERRVHEVDEGQPVPGRVRRRRRCASRGRVVEEPEPPGRGDAVVDAAVGGLERVGEGGEHAGEGQHGQEAPGEHRRRRVAGAVVGEGRSQKVEGQQRPRRQKVRKVRRRRQRLVQRRSCRRRFLLLLGEKLILLLFFCSLDLLLLLRLLNRGGLPLPDGGGSRGRHDRSIDGWALDNESGRTSRAACYGTDRDQLCVEGSDQDQ >Et_10B_002409.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18401859:18402365:-1 gene:Et_10B_002409 transcript:Et_10B_002409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRSAYLWVVRKEGCAKEVDLFLQELEGSNGMVVEWCDQLQVLSHPSVGCFVTHCGWNSTVEAIVTGVPMVAVPSWSDQPLNAHLVETEWRVGIRAERDAEGILTRKELVRCVGLLMGDNEKATKIRENANNLKKEAQDVVGTGRLLEISLRGFIKRTRDLERDLNK >Et_7A_052544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9087348:9096577:1 gene:Et_7A_052544 transcript:Et_7A_052544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRNLSKMPRGRGSSVSTSEKPKIDKVADPNEDNRKHRRGAYLLLGLLIVFLHGSWSVYRIQFGNLPLPLDADRAGKRGFSETSALEHVKYLTSLGPHPVGSDSLDRAVQYVYAVAEKIKKAAHWEVDVQVELFHTDIGANRLAAGLFKGKTLLYSDLKHVLLRVVPKYLPEAEENLILVSSHIDTVSTTEGAGDCSSCVGVMLELARGVAQWAHGFKSGVLFLFNTGEEEGLDGAHSFITQHHWRNSVHFAIDLEAMGISGKSTLFQGTDHWALESFAAVAKYPSAQIASQDVFGSGAIKSATDFQIYHEVAGLPGLDFAYTDTTSVYHTKNDKMQLLQPGSLQHIGDNMLAFLRHAAASPKFLKDAQQQKQENTEHNKAVFFDILGKYMVVYPQRLATMFHNSIIFQSLLIWGTSLLMGGRAGLVCFGISCLTIMLMLIFSISLPVAVAFALPYICSFPMPYVANPWLVVGLFGSPALLGAFIGQHIGFILLKKHLRCAYSSTRPSQARNMMEYVIDLEAERWIFKSGFIQWLIILILGTYLKVGSSYIALIWLVAPAFAYGFLEATLSPVRLPKQLKVVTLVLGLAAPVVSSAGLVFRMADVIIGSVVRVDRNPGGLPDWVGNVIVSVTIAIVVCFTFVYLLSYVHISGDKRTLGLLLCTLFGISLALVSSGIVPAFTEDIARSVNVVHVVDTTGINAANTEPLSYISLFSNTPGKLTNELMHLGDEDFSCGRNMTIDFVTFTMKYGCWSYKESNTGWSKSEVPVLLVESDSVTDGVRQTVISVDTKSSTRWSLGINKQEIDDFTVRVDSEKLVQPGGKTEVDGWHTIQFAGGKNSPTKFQLTLFWSSNAHKSTRESTEAANTPFLVKLRTDVNRVTPKVAKVLEKLPPWCTPFGKSTSPYTLAFLTALRADI >Et_8A_056952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19459288:19464832:1 gene:Et_8A_056952 transcript:Et_8A_056952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPDAADAPPPPSSLGRDMKYLVLQHLREIGYKETAHSLERETGLYLDAKHLQDLVRRGAWDEAERYFGGFTGVEEDPCAAKVVFALRKQKYLEALDRRITAEEGEVLMNDLKALAPYDEEVAKMVAMLENFRLRERLDTASARDAVALEIKQLIEENPLLQDKLEFPSFADSRLRAMVNQSPNKSLSQKELRHYELVLGPFQAVITPSPNAVADCLTNAIPSLPHAVVAEETPSLAQLSSTATFLKYPATNTIVPSIDYAVERIYSGKLDEVVSLLYTKNGISLLALSSDAIHRLWKWQCSDTNPNEKSITSVPQLWQPENGIVMINDTSYGNPEEATACIALSKSGNFVLSASGGKVSVFNMMTFQVVSTLMAPPPAVTFILCHPQDSKIIAFGMEDSTIQIYNYLLGQVTNKLESHNKKITGLSFSQIKNVLVSSGADAQICVWSIDVWRKEYSRYLVQDPNQTVALDGDTTVQFHKDCKNLLVVNKSQLAIYDWRLKFLCSWSPRSEWPRISSAVYSCDGCLVYAGFCDGTINIFQADLKFRVRVRPSDYVRPISSAGSVYPIVITAHPSEPNQIALGMSNGTVILLNSKLTNMDEQLETSPPQDNIAQQSTSVGWPRSFLPVPPKAKHRLCSPTRFPPTEGEIIEISSSPEREPENRTRGDRVTKEVAGTS >Et_1B_010789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13997350:14000672:-1 gene:Et_1B_010789 transcript:Et_1B_010789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAMVCTRVSLLILILSLCSPYKFIQSPMDFGPLNLLPTTTTASSDFGRILFQSPSAVLKPQSSRDISLLLGFLSGSSLSKVTVAARGAGHSIHGQAQALDGIVVEMCSLPSEIEFYKGGEGEISYAEVSGGVMWIELLEQSLKLGLAPRSWTDYLYLTVGGTLSNAGISGQTFKHGPQISNVLQLEVVTGRGEIVACSPSKDADLFNAVLGGLGQFGIITRARILLQEAPQKVKWVRAFYDDFGTFTKDQELLVSMPDLVDYVEGFIVLNEQSLHSSSVAFPANVDFSPDFGTKSSPKIYYCIEFAVHDYQHKNTNVEQVVEAISRQMSHMVSQLYSVEVSYFDFLNRVRMEEMSLRSLGMWEVHHPWLNMFVPKAGISSFRDLLMDNISPDNFDGLILIYPLLRDKWDTNTSVVLPDSRSMDRVMYVVGILRSANPDDGCSHHCLQELLRRHRHIADTAGARIGAKQYLAHHPTPSGWHQHFGRRWERFAELKTRFDPLLILGPGQGIFPRSNNAAYGS >Et_5A_041538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2476707:2478556:-1 gene:Et_5A_041538 transcript:Et_5A_041538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSGSSRIVRGRGRNKRKWTADEDEELVKALCEVSADPRYKVEGGGFKNCYSQGIENILAQKLPGRGIKASPHVDSRLKVMKRKYYSIKDMLASPGFSWDGTRKMIQCEKNRYDEYCRDHPRAKGLYGVPFAYFDTFDAIYDKDRSSREGLEGSEEAIADTENENTNEVGNVEMDDDRMSADPSCHSVSAILSSQNQKKFKNDGKRNRTESNFHSLDMFKDVHGRFQSAIQHVSTMAAAMELFKDVHNHFHSVVQHAGAMAEAMELFKDAHDRFQSVVQHVSTTSAAMDRFKDALDHFQSIAQHGKAMAAVMECDVDVQEKSICEEPQRKAKVTAIAEVQKLGFTGTEVVTAASIFAKEPNQMDMFLALPEIYKKDYILQMLTETVGLLQEHCFLSNHLSSKGGDSVKFEMCAKRLFSAIQRNSKD >Et_3A_024263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19001237:19004769:1 gene:Et_3A_024263 transcript:Et_3A_024263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQREGKRRGEEGKRSGMGKKLAAAADAEEEKEAKLVTPGCWIRFPRFRGCMSSPAKVDSSASGGGGESKRVTNGCQDQSVQPASGSTTTSNTGSVSPSSIVGEELKLAFQLRRFTFNELKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLQHPHLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRKSLPLPWAIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDNTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMTGRRSMDKNRPNGEHNLVEWARPYLGERRRFYKLVDPRLDGNFSIKGAQKTAQLAHACLSRDPKARPLMSQVVEVLKPLQNLKDMASSSYFFQSMQHERGASLASPHGSQSMKVQSSFARNGQQPMRSLSYGPHASPYRQSPRPNGKRQ >Et_10A_001088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2180174:2183609:1 gene:Et_10A_001088 transcript:Et_10A_001088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSSSSRPIASSFLRAKPFKPHRLHLPKPLASSASASALTPPVPRGDSTGDPDPTPLFLRPATHPVPSAALASFRRRASALIPPSAPHLHRHLRWLLADAAAPDPASASDADTTLLRAPLDDLEALWRGHVRGRRPFQYVVGNEHWRDMVVAVREGVLIPRPETEAVVDVVRGLDGFAGGWWADLGTGSGAIAVALARELGDGGRVFATDVSDVAVEVARLNVQRYGVQDKVEIRQGSWFEPLEDVKGKLMGVISNPPYIPTEDLPGLQPEVGWHEPKLALDGGMDGLEHLLHLCEGLSSVLKPGGFFIFETNGNTQSEFLVDLISTKWNSSFHDVKAVLDFADIKRCGALAW >Et_2A_015988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:204092:207636:-1 gene:Et_2A_015988 transcript:Et_2A_015988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSSTHAQAQQQLVPPGFRFHPTDEELVDYYLRKKVASRRIDLNVIKDVDLYKIEPWDLQEKCRLGGPAEEEQNEYYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKPIYANKQRQLVGMRKTLVYYKGRAPNGHKSDWIMHEYRLETNENGPPQASSYYLISFINWLEEGWVVCRVFKKRQPTTRRESDHDAPCWYVDDDGSFMHDLHSPMSGMPTHHSMALQEQHLQMLNNTYKRELKLQFQMPTHHAFSTVPQDLESPSFHSLLVSPDYQTNVHHAHQHVQLIENAVDQVTDWRVLDKFVASQLSHDATKSVNCTEEGDILQVNEKQEVVNDYASTSTSSSQMTVGIEITLYKRDKEKDGEDQRGGMHGRASLHHELDMPEKEN >Et_9B_065269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:289067:296880:-1 gene:Et_9B_065269 transcript:Et_9B_065269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTFRDGAGPGDAPPPTPTPPLSQYLSLDPLAWAADTKYQHSRHAELRRALATDDHPDELRRIRASVSDSTSKAKDKVRSLQEAIQKLDRYKNIVTRKRQRSESGPEKLPSGALRMGAQNNSAVLSKRVRSSLADARVEGRGSVPTRQGPLVGNDKSSPIEKEKSCTRASGTVSGLSDEKLRGLSAGGEGWEKKMKRKRSVGTMINRGSDADREVKPVGQHRAANEVRPRSSDGLAYRHGASAGTLAGNKSDGNSQQNNNVSRSLSKTDVDYIAQPNERRERHAGIEKERAMVKGNKSHTSEDMQSGSLSPLPKAKACRAPRTSSLVMNSSSSFQRSTGGSDEWEETPYTNKASPLGGMANRKRSTHTNASSPPIAWVGQRPQKMSRTRRANVVSPVSNFDEVLSEGSPLDTAARSAPIESGSIFLTKNAPSAAKMDNISSPAGLSESEGSAATESKAKEKAMHSSEVGNEGANATHSAMGLVFSSSKNRIPLKEELEDGGVRRQGRSGRGNMHVKGCSSISKEKLDTADIRKPLKGGRPAPEKHESKVGRPPMKKGSDRKASSWHSQALNSEPMDITVEPEDDREELLAAVNAARNAIVGAYSGPFWKKMEPMLTFISSENLSFLKNQINVVEELEMSMSCISDGDHNVIAPGDYRRLQKMDEHSSQVLGPSNYSGISEQSKTNGVGTKGSDCFPPSEESRNTGPQKVEADKWFNEMAPMAHRLLSALIMEDDLSDSNGVQSDILVEFPNIHMPYTVNRYMENGLQASAVTSNFGLSVDFTHSNTTSVVHQSLCNGFTASSDFIISNIGNSVHSDNLSDGTNFTGCPESGPFHGLMPQISHQCQNPGKTFPLSPYDYQYGHMSVDDKILIELQSIGICPEAVPKLDDGEDEDINKMILELRKRLHDQVAQKKCRLHQLDKAIRDTKDIEERSLEQHAMNKLIERAYRKLKGGRVGSSHKAGVSKSANKAAKQLALAFGKRTLARCQKFDETGKSCFSEPSLWSVLSAPLPSSDAKATEGVERLKHQKLDRTPFDQGGTKWKKSDRERDHNRDASAKGSSLKSGRHSSGSGRSGERKNKTKPKQKLAQLSTSGNVLGRVVEPFSAPAVQEPPEPASVKNTQHPRNTGNAAQRTTDASLPNLPGLDDILDVPGGLDEQGNDISSWFTDGLDDSLQDIDLSGALEIPDDDLTQLGFI >Et_5A_042365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9192304:9212221:1 gene:Et_5A_042365 transcript:Et_5A_042365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDPSPATAPTYARALDAYKKAAATAASVTAYVVLARGMARELLPHDLRNAAAWAASFLRARLEPLPAERRTLVIKRSAGDHHHHFDGENGLLRQEGASGDSMTDVFEGVEFTWASVAAEGRHKYGVEESLELSFDAEHTEMALGRYVPFIAATVEEERLRDRALKIYMNEGSSWCGITHRHPATFDTLAMDPALKQSVVDDLDRFLKRREHYRRIGKAWKRGYLLYGPPGTGKSSLVAAIAIYLRFNLYDLDLSDVHANMSLQRLLIIEDIDCCFSAASREEDKDQNGVGDGDQITDAESDGVSSPAPWNTMPQLKQHKITLSGLLNFIDGLWSTSGEERIVIFTTNYKERLDPALLRPGRMDREIYMGYCGWEAFKTLAHNYFLIDSHPMFPEVHELLEKVEATPAEVSEILLRSEDADAALLGVIEFLREKKQAMLEGGWVGMGVLREGGGGMDPATAAAAALPSSFAKGVETYRKAVGTAASVTAYAVLARGMARELLPNDLRAAATWVASLLRARLEPVPKERRTLVIKRVVGGRHNYDGEAENGLYEEVREYLATRIDPHSMRRLCLSGGGGGSAGGRRVMSMEPGDSMTDVFEGVEFTWASVAGESQGGSGIGNGNGNGNGTESLELSFDAEHTDMALDRYIPFITAAAEEARRQHRALEIFMNESSMWQGITHHHPATFDTLAMDSALKQSVIDDLDRFLKRKDYYTRIGKAWKRGYLLYGPPGTGKSSLVEAIANYLRFNLYDLDISEVRYNNTLQRLLIAMPNKSILVIEDIDCCFTTASREEEQVDDYGGGYSSTPRITLSGLLNFIDGLWSTSGEERIIIFTTNYKDRLDPALLRPGRMDMHIYMGYCCWEAFKTLARNYHLIDDHPRFPEIQELLSGVQVTPAEVLEMLLRSEDIDVALWVLAEFLREKKKQELQEGK >Et_4B_038705.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4160194:4163040:1 gene:Et_4B_038705 transcript:Et_4B_038705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GVKMSASALKDLNISQSAELEKGKDSSVKSCRPVSNGNKCVNKEENAPPACPDAATNGSEAATIDVEYIDSENLIDLPDVDATLSTLVKRLDSKDWVMTCEALNNVRQLAKYHKERLQELLDPLVPLIVKSVKNPRSAVCKTALMTCADIFKAYGDLMVDSIDLLLVQLFLKASQDKRFVCEAAEAALISMTSWISPSLLLPRMQPYLKNRNPRIRAKASVCFSKSVPRLDVESIREFGMDKLIQIAATQLSDQLPESREAARHLALELQVFYEKSQASISGENDGTLSESSDAESWEAFCQSKLSALSAQAILRVTSTPKEGVTASVTSTSKEAVAAVENSLIIGSRVKNLRFIPEPGSYYYLLHITAVCFQLLEFKVHSRAWFVAEKVPINGIDLTNNKEQDKPM >Et_3A_024625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22732204:22732771:-1 gene:Et_3A_024625 transcript:Et_3A_024625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCREWRFRTQTWCTASEFTQDWWTTPPASSHRCSTTTTPLTRRWPTKSVRCAACGHGAAVFFQVRAIPTIAFLQFDSEFSPDKHR >Et_4B_039062.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7416479:7420140:-1 gene:Et_4B_039062 transcript:Et_4B_039062.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQGADAAYAMLLFSVAFFFARLLLDSLVYKPLAVYLFNRLMNDEAKQAKIVKFSESIWKLTYYASVQAWVLSIIKQEPWSLDTMQYFDGWPNQPMTSSLMLFYMCQCGFYIYSIFALVAWETRRKDFAVMMSHHVITSTLIGVSYLTGFFRIGTIILALHDTSDVFLETAKLCKYTEKELGASLFFGLFAISWLLLRLIYFPFWIIRTSSYHSITFLRKQFEFPTSLYYIFNTMLLTLYLFHVYWGKLIFLMIKKQLNNRGQVGDDVRSDSEDEE >Et_10B_003989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:967231:968094:-1 gene:Et_10B_003989 transcript:Et_10B_003989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ESGDSGMDWLSVYVEDCFSSSTSFANPVSGKPAPTMANQSAGNPKLLPPSSSNGRQRKRSLASVISDDDDQQYYIPLFVEPPLLLIDQKHWMAESELILPKKENDQELCQQLEQDHGEESEEEPPTRVCTHCMSSKTPQWRTGPMGPKTLCNACGVRFKSGRLLPEYRPANSPTFVSHIHSNSHKKVLQLRQGDGHM >Et_9A_061672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15122253:15129863:1 gene:Et_9A_061672 transcript:Et_9A_061672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGMMMTYVNIFNDISVSSIIQSKESFEEKMKTLEQLKINGLNVQILKHGLTKSYQIKDVATRQQPALFPGAEIEVCLNQDAFRARHDLGNTLLNLNSLTRTHSSQVYSQHVRRRAPSSCTTSSRLHRSGWWSGVIFRPPTDITTTITVAFPITHEVVDFRPSSVRHRRDYVAGEWVPSPAAISVHPEVVVKAYEAGESMSDRELYGPSWFPATVTKVVDKLSYIVEYVVEGRWKKTEPMNHLSPPPESFLKPGATVEAYCDGAWSPGVVRTVVKDGVEYEITFEGNKGKHLVIKAVGMLKPQYKWDGQRWMIVDPPKRQDKRRQFTSSANLHTIPETALAGHNQLNTPVCGRSADEAHDMFSITEPPQQNMASFLENQQIQESTLSVKAFNVEKDVSKNNVGIAHPIQAIQGEKDASDDLQVHLKENSNFASMEINFTSPECQTTSTLTRQKNKSHPQLQLDGTSEGNSNGNEKLTATANCSSPIVENPTLSNDSGDSHLHQLPFVKRSAAWSLFDASNPFKKVPQRPHFLQVQQCAPSMREGMAVGMMVTYENMWNDISVLSIAETKESFGEKMKTLEQLETNGFDVQILKHGLTKLYKIKDVYVNCLTDRNRLKAQISGKTTSLSGMDASIQKMEKDISDLEERLEHVRREKQQTIKNKEHEKAELSRLKSADDSIVQACNDAKIQFRRILAELFEGNCQGDHTASQKRKRSSTSNEHAAANTSMRIIHASNLGVAFGSELMLDVTVVDHLRKPCHDSG >Et_3B_031725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:964525:965755:-1 gene:Et_3B_031725 transcript:Et_3B_031725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTPQPVCAQEALELLNCAAASPYNRDKCLALLDALRDCIAQKKVKKFSLAEPSSAPPAEASKGESKP >Et_10A_002284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7040625:7043173:-1 gene:Et_10A_002284 transcript:Et_10A_002284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYACAYVGFVYPTVTRKQMACQIERIPFPFHPWLSSRLTPLTQSTPLARTTAFEASNPSVAMQPPAAAAIPSADRQTWRRRIGRLPASLPLPFQPPGSSSGPSPTAAAVSGGQRAFSLHVPPTAPSSPTSAAQLVRRFADPDADVMARGSTARAFLAAVEEAHASAVAALRASLTCGCVPPPPSSKAGVGVANLSPAEFLAELRDAALPGSSVGLVGRARLKGWVRAFGEGWGRAGPAHYPRLTVEDLVVDNIDLNVAPGQDREDDEWLTDDKDEEGALLLPQKTSAQKKRGAPARMDEVMVAVGNEKKNDSGTGATTSGKRQRNTLCYHSANEHGGATKSEAIVDVPMISSAIVDLPANNVCIDVPAQSVGTGDAPVLQSIGADVHVQSVGADVRASELCAPSPSRSLASRTPLMDAKKHLEEMILSTDSSLKNATLTYGQKAFKGKLLADMQGILAHPKFVAALKD >Et_6B_049051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17011598:17021460:-1 gene:Et_6B_049051 transcript:Et_6B_049051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGSATDALLARRAAPRRNPFAFFCSTNEQVEVLPGSMNLCMLFSILAAGWAADLAGRRATLVLANAFLMARALVMSLGVSYAAFMAARFATSVGVGFAVVVAPVYATEIAPASAHGFLSHLHGGHLHHGGHPPQLRLQPTTRNALAGLPPHLGWLAKRGRRDDEAHAVLARTSRDRLEDIRNAVAGQVGGAGVWRELAGPSSLAVRRILVNVLALFAFQQASGIDAIVLYSPLVRASPPTPRAALAATVAMGVVKTLAILVDTFLSDRVHGRTLLLASAAGIIGSLTVLGAALCIHPTTSATSAACVERCWHSSRRSRPGSACWRRRTAWGSCHCGCAPVLAPLSSARRRSQAMAHGNATDATEAPLLSSPATPRRNTFAFFCSTLASMTTILMGYNLALMSGAQLFMREDLGLTDEQVEVLSGSMNLFMLFSILAAGWAADLAGRRATLLLANAFLMVGALAMSLGGSFAALMAARFVTSVGVGFSVVVAPVYAAEIAPASARGFLTSMVDIFITAGILLSYVSNYALAGLPLHLGWRVMFALGVAPPVLLAAGVLAMPESPRWLAMRGRDGEAHAVLARTSDTAAEADDRLEEIRNAVAAEVGGAGVWRELLLAGTGSSSSSPVVRRILVNVLALFAFQQASGIDAIVLYSPLVFKQAGISFDRAALAATVAMGVVKTLAIFVAMFLSDRVGRRPLLLASAAAIAASLTVLGAALCVHPTTPASSATCVAAVLAFVTAFSVGLGPLAPAYGAEILPLRLRAQGTSLGIAANRLTCGILSMTFISLANTISMAGCFFLYASTAVAAWVFVYVRLPETKGRTLEGMEVLFAKELWAIRSVNKNECLTLSANCVTIVGRINNSNVSIAGRINNSNRISL >Et_3B_029085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2280884:2283998:1 gene:Et_3B_029085 transcript:Et_3B_029085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRQTAGPGAAAAAAVPVRAPEQKKGGEFPFERDPCSWWIGRLVWFRDAASMVVIERGYELISGIRVLDCSYDAPKLIRRPTATVSQPTSRRVLGDVGNMITGRAALANRKKPVVVAAQNCGKAVPQAAAKSRLALIDASNLINGRPALVNRQKAVAVPGDRRGKEIKLKESNKPKREVIVISSDSEKEKKASGGQRVSRRAPIQTLTSILTKCSRASDGVISSPNKMQSYDIDAPDAFNELAVVEYVDDIYSFYKRTESTCLPLSNYMSFQTEINERMRAILVDWIIEVQHRLMLMPESLFLTVYIIDQYLSNADVPKKELQLVGVSAMLIACKYEEIWAPMVKDLLCISDNSFSREQVLRTEKAILNQLQWNLTVPTMYMFIPRYLKAAMGSKELEHMAFFYAELALVQYSMLVYSPSLTAAASVYAARCTLDIHPLWSDILEYHTGLTESELQGCARRLVSLHCTAPESKQKGVYRKYSNPKLGAVSLYSPAKKLLSAAATLG >Et_5A_042836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5227038:5228667:-1 gene:Et_5A_042836 transcript:Et_5A_042836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVKQHRCTHSASCVCTKGHLSEDALYLVFRHMNWNPRQIAILSCVCKWFDEVAKQVLWKEFCHARAPKMMLDLHSGGSHIVDGNWKALGKLLIYCNGCTKGGLFNNIHVPGHFVFRTRFSRTAGKSFLPLPCKSDVLYVSDPCEHLDQGEEGDLGFFRGIFKSFATSKVKKILIEKRVRFHPRELCPYCKAKLWNMFQANMVPRSASARLGAYEDSVEYFVCLNGHVIGISTLLPLSDSEEAAEESINFQTRHLGPRSIETVHPGSIARFWFWKNV >Et_2A_015921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19124601:19126281:1 gene:Et_2A_015921 transcript:Et_2A_015921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSDLSPSAPAYVPQASILRPSTVPMLPCYLPPPVEQLPPSCLPAGPFPGPAPPPPLFAGRFQPPPPPPVFACRILQGPPSPQMFAGPFQGPPPPPVFSVLNSFTGLPPAPMLTPRGQMALPAAPVPMQEPPLARLAEKPKDGGDKAPSASVRTWRLASGRRRPKPLLPPRKHVPVCGEAAGGHGGAKEEPANEPSPRSVLAEAGTSPPDSPPVVLPTEFPYQAPTPPPAPPTAVTRRAVAKEPRYRRVPASRSKPVQPRSPQQRAPPGHGARGRDRMPRLLFNPACSLTTLMIRNIPNSFSRKKLMNILDQHCAVENEKIASGGGGGGGGVSSKYDFLYVPIDFRTEANKGYAFVNVTSPEAASRLWVYLHGYKWKTKDSHKTCEVDYATIQGREALVDHFSGSYFECGTPEFLPVWFAPPRDGARTDDGQHVVGRLVRRSS >Et_4B_039763.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28910320:28913913:1 gene:Et_4B_039763 transcript:Et_4B_039763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVRAALSLEDDDDAVTSSSLSSGASSPPLSSSPPPSVPSSPQRERAAIIGAPRIAAQLSSSVEDDSSFDDSSSYGEDGELAEEVSNGIFFSVARVPPPPPPEDPSPVPGDVPAWYAAASESWNLGAADRSLEDSFVSTQSIFQSFDVAGLRSELEGDNGVIGNDKSMGFEDSLDGSFLSSRSIIEASDDSEAAVSGHSGDFVSVSDGSVLMDDKQGDQDVGSEAVNDVAPQSVVADAGVVDGGDMHIVDAVEGKDAAAEHQFVELPPVVDVADEEVSSESHNADSDAKESTPKHDVVTGVEDASPEYVATGGGTPEFVEVCDNVDGQRSVADGGRHKVEIDGDKVGSTHEFEESDFDREASSDDPSSVPTSISGDVVELLGKESDDDLPVSKGARFGLDDSDEVEIDGDDEGEVDGKEFEHFDYAALAELLRAANISPGQGKSKVFPFESSEPRHLPSTVVSIPQADMKSSAVLEAAADPENGMTDDEKKLYRKVCTARIKYLRLIHRLGYDTDHQVPTQVLYRLDVSEGFRRIRIANHSSELENAWKRASQLEAEGIEDLEFSCNILVLGKTGVGKSATINSIFGEDKSTTNAFLPATKSVKEITGVVDGVKFRVIDTPGLGTSAMDQKSNKKVLNSVKKYLKRCPPDIILYVDRIDIQREDTNSLPLLRGITSVLGLSIWSKTIITLTHSAAALPEGPSGSAMNYETVVTHRTNSIQQSIRQATNDPRIENPVALVENHHLCRRNMEGEKVLPNGLIWRRLLLLLCYSLKMVAEIDSFSTRRAPSASIFDRYLRVPPLPHFLSSLLQSREHPTRANDLNLESAISDVDLDELLNWGQEDEEDDYDQLPPFKPLSKSELVKLSKEQQELYFDEYDYRTKLLQKKQLKEQLRRKKEMKKREGNNNDVSVDDGPDDEYDTDRSPMPDWTLPSSFDSDDPAYRYRCLAPSPNLLVRAVPNPDGWDHDCGFDGVSIQHSRDVVDKFPASVWVQVNKDKEEFTVHLDSSMSAKHGDYGSTLAGFDIQTIMDQVAYTLRGETKFKNFKKNITTGGLSMTFLGNTMVTGAKFEDKLSVGNRLTLAANSAAVSMRGDTAYGVNVEATMREKSYPIGQGLATLGASLVSWRKEWTMAANLDSQFSVGRTSNMAVHVDVNNKLTGRVSIKASTSEQLKIALFGVCSAIMYLWNKMHSGSDPDS >Et_7A_052192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5472883:5478707:1 gene:Et_7A_052192 transcript:Et_7A_052192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFLGKRKGETVEQEKPAAALSSPNGNKRRKISGTNDDDAPGAGVCDDVLLNIFARLPARAVVACMALSKHHRRLICSPEFRSLHYRLGAPLPHPHIAYVVTKPIKRRPKHKDRPISMFHGFHVAGAGLIGNAMMRAIAGEMYLDTAYVNTCNGIVLLARQEFSDTCRCILWNPAITDLVEEVTIPNPLKRWKYLMLGLAYNRRSQTYKLLLCRKEKDLIDDDDDDDDNPCKYCLVIYELGDVNKQPTVLTEGQYEGVGQNSLYMDGTIYLCDTTNSVILALDVDHETVTSISMPIPLDIQGKRIVSKLMELSGQPCVAINNGDNRALWMLTRDHQWNQRCLIAELQNDSLSNSILGIWEHGNVLVLYVENIWYGNGYLLLYNVTTDYKLRADLPCDIVPDWLEEYAFCWGYRPTLVSPMSIVGKLKQGKESSRERPLNIMEALVPINEQYKKKRRKATLDVVCFMDFLVRIMQKLPHDMQDVALLHNIFARLPARAVVSCMALSKHHYNLICSQEFRSLHCRLGPPLPRPHIAYIVTAPVRRRPEQEDPVGMFLGFHVAGARLSDHAPTRTIAGSRYLGKKFVNNCNGIILLADEEFSETCSCILWNPAVPDVAKEVTIPDPSDPESEESEYRVLGLGFGLRSKTYKMLLCRKHTRWICNREHPNRKGRCTCGDSVRIEHSLATYTVADKDNQPQLRTVLVSDNMDEGISHESLYMDGTSYLLHVEKLLILAVHVDDETVTTIDLPGEPKSARTLLMEYGRPCLFMYDGTRYTLWLLSLDHQWEQRFVTDDNSCASVAGIWDCSGLLVIYLNDDWYKSKICLYNVATEKMLEAKLPSDMELERSDYVICWGFRPTLVSPESIVGVVNQSNKEWRPDRSAGILRKLKPIREQDKRKGQEATLNAVCLMEFLVGIMQKLPDDLQDVVEMSLMDAEAAKKKKDGAGTIVGASGAGICDDVLSNILALLPARTAVASMALSKHHLALICSPEFRSLHFRLGPPLPRPHIAFIATAPIKRRSDREYPVSMFHGFHVAGAGIVGNAPMRAIAGARYLDTKYVNTCNGIVLLARKRFSRGQCRCALWNPAVADHAEEVAVPDSSLKSELRVLALGYGRRSETYKILLCRKEHTRRYHYPSGLGCCANRECLVKYQLLIYELGNTVKEPLLLATVSMGLEEEIDDMSLYMDGTIYLHFDKSVILAFDVDNETVSTIDLPG >Et_10B_003909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7430194:7430861:-1 gene:Et_10B_003909 transcript:Et_10B_003909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NFLKQNSCSYIKPVFGSAKAILPPSLPPVLSATSTNAKMYLVMNISGALGLMAVALVLQGTWPVVLSILERRGRPPQHTFLDFSVANFLVAVLIALTVGQIGADTPETPNFLTQLTQDNWFSIMFAMAGGVALSLGTLATNMVGPSSGCQSLR >Et_2B_020858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24293749:24296921:1 gene:Et_2B_020858 transcript:Et_2B_020858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLRSSSRLVIAALLCILLSSDVGVLRCATALGINYGQVGNNLPSPPQVVQLLSSLRIGKVRIYDVNPQVMSAFANTGIELVVTVPDDLVPSMAASASQALQWVTASVRPYFPATRVTGIAVGNEVFTGDDAQLKASLVPAMRNLHAALAQLGMDAYVRVSTANSLAVLATSYPPSQGVFTQDAAGYMAQLLRFLAETNAPFWINAYPYFAYKDDPTRVSLDYALSNPAHVGAVDPYTRLQYTSMLYAQVDAVTFAAARLGYANVPVHVSETGWPSKGDPNEAGATVENARAYNRNLLLRQVAGEGTPLRPKLRLEVYLFALFNENMKPGPASERNYGLYQPDGTMVYNVGLVQQATSAASLSLATSPATRTDVRKDFGGLCLFTSFTILLITQAFRL >Et_8B_059450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17998845:18000696:1 gene:Et_8B_059450 transcript:Et_8B_059450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGVFQYSQLLDGNLVSKLSDFGMSVISACHGFSSSQAPQTTGSGTFFTSHPWDTPGYMDPTPPVSSHRGPIDTPLGSPSYECSLPTAKVVRDAGGWPVALDTQFICTASTQMCCADMTREMRPDMAGEVWSVVKNLALAPRIH >Et_10A_001174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21921846:21924742:1 gene:Et_10A_001174 transcript:Et_10A_001174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVEQILSKEQIQEFREAFSLFDKDGDGTITSKELGTVMRSLGQSPTEAELQDMVDEVDADGSGAIDFHEFLTLLARKMRDAGADDELREAFRVFDQDQNGFISRDELSHVLQNLGERLSDDELAEMLREADVDGDGQINYNEFAKVMIAKRRNQMMEDEGVPVPCHTVIGFGSRILVNNLLAGNDVQGGN >Et_4A_034614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4896211:4898022:1 gene:Et_4A_034614 transcript:Et_4A_034614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGSPAASGGGSSSSEDDGDAAWKAAIDSVAAVGFGLSASNGSAKAASGGSGEANHGVELEEPLEGKQRAPGLKLYQIKVRNMLDDMLEKNLEIIKAPPDPTETETEPTETGGGIKLFRKAPPGIKMDANDKLHVQLKRPRIVPGEEVDEKSKKFRHMLRSVVVDGNDILVSAKKASERSLARLEASEAAAKAKAKREEERVQELRKVRGEKWLPSIARQLKEEKAWEQRQR >Et_1A_008204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5145238:5147123:1 gene:Et_1A_008204 transcript:Et_1A_008204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVGLTGGIASGKSTVSNLFKDAGVPIVDADIVARDVVRKGTGGWKKIIKSFGNDILLENGEINRARLGQIVFSDPEKRQLLNRLLAPHISSAIFWEIAKLWMKGTKVVILDIPLLFETKMDQWTHPVIVVWVDPQIQIERLISRDGCSEEQAHNRINAQLALDWKESEADIVINNSGSLDGTKEQFQEVLRKVSEPLTWKERLRSRDGLFSVVLCTAVGVLLAQKNLS >Et_2B_019716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1321949:1324316:1 gene:Et_2B_019716 transcript:Et_2B_019716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCFWLIVCWPQHDLRVNLRSRYTFTEKLHESHKDKHNISRQGGLLELGVQQLVFGRLQALLLVAPQRRTTRVANWIVRKDDSGFRFDCASARVTRTSAGEAGLESARRRGAGMACCWSWVWESGVAEAAAGGKASQTRSSWSNRKRAENKKLKDVEISFPIVYGTISFWLGKKASEYNSHKWTVYVRSANNEDLSVIVKRVVFQLHPSFQNPTRVVDQPPFELSESGWGEFEIAITLYFHSDVCEKRLDLFHQLKLYPEEDAGPQTTKKPVVVETYDEIVFPEPSEAFFQRVQNHPAATVPRLPPGITLPPPGGMEIVPYEKKRGDTKDHPLSQWFSNFSEADELLKLAAARQQVQAHIAKLRRQLSMIEGMPQQSKPLSVPGQQFGHI >Et_3A_027185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4142584:4145534:1 gene:Et_3A_027185 transcript:Et_3A_027185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCPFAGAATGSGGVCPVKSDKSNTGVCPVKSDRNSGGVCPITGKNGGGGNKESSGHVEEKNLDPRMVPAKCPFGYDSNTFKLGPLSCIICQALLHESSKCKPCSHKFCKACISRFKDCPLCGADIEGVEPDAELQALVDRFIDGHARIKRSHAAGDSEAEDGKKKVIYEDVSMERGAFLVQQAMRAFRAQNIGSAKSRLMMCADDIREELKSSEDSLDLCSQLGAVLGMLGDCCRTLGDAPSAITYYEESAEFLSKLPKKDLELVHTLSVSLNKIGDLRYYDGDLQSARSYYARSLDVRRNAVKEHSSVASQVIDLATSLAKVADVDRNLGNESSAVEGFEEAIHCLEKLKLDSDQASLEQRRLSVLDFLQKQLADK >Et_9B_066024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20880469:20883068:-1 gene:Et_9B_066024 transcript:Et_9B_066024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPAGPGLYSEIGKKARDLLYKDYHTDQKFTLTTYTANGTAITAVGTRKDEAIFSEIQSQLKKENVTVDVKATSESNASSNVITTFTVHDLGTPGLKAILCIPFPYQKSAKAELQYLHHHAGITAAVGLNASPAINLSGAFGTKAIAVGADAAYDTSTGEFTKYNAGLSYTSGDDFIAAVNLNNKGDSLAASYFHKVNPSTSVGGELAHSFSSNENTLTFGSQHALDPLTTVKARLNNYGMASALVQHEWRPKSFVTLSSEVDTKAIEKSAKVGLSLVLKP >Et_10B_002376.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:15282371:15282667:-1 gene:Et_10B_002376 transcript:Et_10B_002376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEAGELQRREESAGAKLERRLNRFVRVVAFGEWAGNAFGALAFLWATGVLLGGFCTSLKPKDFWFATVVIFIEAFRYAHTILLLWKKHTHFDLSKS >Et_10A_001542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4814324:4816534:-1 gene:Et_10A_001542 transcript:Et_10A_001542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEVIKEVVTSSPAPATPRSEDQLMSAPDTVDGNSVPPDIKRKEKTVPHYLRASTRSCHDNCKFGTHHSPESKKPWPVLRAQLRSARTGIQETGRIEIILPKTFGPGKDQKLKNSHVKAGNATAPAKPEPTNFKEPQEIVHDHSESIPCIEDLPAEASEPGNQESVAECFVISHDDVADFGDGELSDGPESIELQMPLAIQDSDDSEEQIEDAIPPSQDVCEAEKGSPGNDVYDQTANECVISEKMTPKEHERGDPETKSKRSGTKPVKPMVKGTSSFARNSASRQRSERTAHPKASGATVEISTEPKPMSARTDTNVTTTATKFSRQKKFNPTVTSAVPKLKEIKVSSQSSATDLSAKPARLAKPKISTAKNVLTPSLSSEKQTDRKITVKAVSKNAQVLQKQREEKVTPRPLKLSRSLNMPAKSISSVKVRAVKREKIAPPMKTSKKVSGAENGAANAKEKFVKTASPKVQKPELINKGSRPGKEKSDTPTPRTRTITRGSKLAAITATTQSPRKLTFRRGKVLNPDEGSSSTTPRRLRFRPAMAAADAAVRSRGGRATGKGAGRGATGARDAGTSRAEVVVLRRRQGGVTGGEGKRQEQVLLNNVIEATASRLVAEARKSKVKALVGAFETVISLQEGGRAGPPAAASAAVAQ >Et_6A_047676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9497097:9498304:1 gene:Et_6A_047676 transcript:Et_6A_047676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAADEETCLQALQLVSSFALPLTLRSCIELGMLETLAAAGGKALTADEVAAKLPSKAQNNPAAAAMVDRLLRLLASYNVLTCAVEEDGEDLTRRYAAAPVLKWLTPNDDGVSLAPFLLLANDKLFMHAWSFMTEAVLEGGSPFNRAFDTASWFDHAGTDPRFNGVFNKAMDEHSVILTQKLLEHYKGFDGVGTLVDAPAYTDVQVRHVGGDMFEEIPSGADAILMKWMLNCHGDDACAKVLKNCYDALPPNGKLINVECVLPVRLEATDRVQGLVAVDVSLLCYSPNGKERYEREFVKLAKDAGFTSVKSTYIYANFWALEYTK >Et_4A_032598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11751733:11756541:-1 gene:Et_4A_032598 transcript:Et_4A_032598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGRPAASSPDRPPPPPQPAAAAAAVKESAGKAEQPAAASAEKPAAAAEKPARRERRSRSSRPAPGPSSGSFANRARGEQVAAGWPAWLSAVAGEAINGWTPRRADSFEKIDKIGQGTYSNVYKARDTVSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVVKLDGLVTSRMSCSLYLVFEYMEHDLAGLAASPDIKFTEPQVKCYMHQLLSGLEHCHDRGVLHRDIKGSNLLLDNNGMLKIADFGLASFFDPDRKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRIRETFKDFPQTALQLIETLLAIDPADRLTATCALRSDFFTTEPFACEPSSLPKYPPSKEIDAKRRDEEARRLRAAGGRANGDGAKKTRTRDRPKAVPAPEANAELQVNIDKRRLVTHATAKSKSEKFPPPHQDGAVGVPLDSSNHMDPLYEPPDPSSFSTVFAYEKGAVPTWSGPLVDPSAVANQKRKHKSGRLSKQPATARQYGATLH >Et_2A_014736.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:10973761:10974297:1 gene:Et_2A_014736 transcript:Et_2A_014736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRPLPEEEYWYYFKALAFGGADPQDHQRIAAVGREISRHLRSSFLDARWLGDLLRLANFNLRFWRRVLAAVVKSHHQYQLVYPDVLRDLLSIKGRSPSKVLGNPPKTILSLQLHDVVRAVTTSSSGSGLGGGDTVEAVRIRCKKGRDWNVSHDFIFDKGWVPPASSVRKGRNPERK >Et_5A_042322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8702827:8704048:-1 gene:Et_5A_042322 transcript:Et_5A_042322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSSSSLLPALLLLVLAAPICLFSTPAAAEGARAAAVIVFGDSTVDAGNNNFWPTTAKSNFPPYGRDFPGGRATGRFSNGRVSPDFYPAALGLGRGFVPAYLDPSYTIQDFATGVNFASAGSGMDDTTSLALNVITLSKQLELFRQYKSRLVQQLGADEAEKVLNGAVYVISIGSNDFMANYFAMTSPGRHVEYPTASLYTAYLVGLAQRFITDIHALGARKIGFEEIFPLGCLPAARAALLGLCNEVPNAAARGFNDAMRAMVAGLKLPGADVRVAGLYGFMDALLHNPAQYGFDRADLACCGTAGLGCIPWGPTCPDAGKFVYWDNAHTTDRAHGLIAAYLFNYTYGAFAAAA >Et_8A_056784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16724720:16729880:1 gene:Et_8A_056784 transcript:Et_8A_056784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGTGAGPPVVNVYHEKSRILPDVSRVLACLYEKNVKFETIKASYKDLLSLQASRSVPVPFYDGPVFLQDSRAICRYIAETYEHQGYPFLLGKDVLERASIEQWLRHEEHAFDPPSRALFCHIAFPPQHEDDDNEGINRETRKLEEVLEVYEQRLSETEFLAGNKFTLADLVHLPGTYHVITSKRFAYLYDSRKNVQRWWHEISARESWQNVLRDMKIVEEEHELERQRELEEQQKQQWLTEPSPTFGGRDVRIDPRIQEGTKSQTVLVPPPSTGTISASIIPPAPQDHRTTSDQKPSSPNQRKEGGFFTAPEKPPPPSGETDSTTRKSPSDTPAPIPTTAKTQHQKTDTEKFAHKGAPSPNEKPSPPSRQSDLSTQKTPSSVNGTESTFFAPSSTPSSRTMHQETDAEKPSYIDDSSPSKKSHKSPKEAPSKPHVSDFFQASGHKDEAGCLAKPSTQDSTKIPRTSEIGDTVFTDKDSHKNGPHPSETKRKPTGVSPQVDKPVPLTNTTPDTQTPQTPYVKPPEQRGTNTSIGSETGQKLKSDVHTTGTPSNGDTHVINDVDRFSTKRLRAVFNPDIEDSQYPAKQEEAPVVPKNRSEINVREKKTTTIPDSGESGGSPSTDEQLPYAPSTEQEKRDTLPPKGGMVTKGPEKSPSIQQLPPGAPSSGKLAKTATANTGAPQGAAPRTPTDARSGSTVVHRAEPSTIVTSDEQTDKNSKMGQRKLEATPSKTPPADSHGTSAPVQEGTRDARVKQEPGGLREILDVQNTGDGDTSKKDAVEKRGAEPTLNSQQTTEPIKGVSPTLQGITGDDSTKAPPPAPVQAPASSGQNASVPPEGTLDTNGKGEVKPSPVDPSGTPTTTTGRLAPIPDSQRPTASGKMSAWPSELPLSDTRNEKTGIAETSQTSTAAPNEQLRNMSMKLHEYVDEAYEEQVSAQQLPSIQSGAKLTENRTREGDDAAQNAKTGKPEEEDHIPNANENNNSKAQEATTDGPSKLQIQSGENESKTSKDRGTGTNETANSHSLETSREVLPSTPAKSVEQQQLQGNKFGTSAQNNVKQSSEATTVGSGTEQLNKTVIPTKDDKNNGKTPGEVRHEEKNSSDTQQVKDKTSNSKSDSSSKTEGNEDNLPGSQRSGS >Et_4A_035506.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:26989757:26990068:-1 gene:Et_4A_035506 transcript:Et_4A_035506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTKKVMMVSQKEIDMILARPPRRRLTRPTAEQVLEATDPAYPESLEIAAELLELFDSGEALMKACHDEEDSILHQFRTKGYAEIEVTDDEDDGEVGEARV >Et_10A_000515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1206215:1209486:1 gene:Et_10A_000515 transcript:Et_10A_000515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASPAIAKDVTELIGNTPLVYLNKVTDGCYARVAAKLESMEPCSSVKDRIGYSMITDAEEKGLITPGKSVLIEPTSGNTGIGLAFMAAAKGYRLMLTMPASMSMERRIILKAFGAELVLTDPLLGMKGAVQKAEEIAAKTPNSYILQQFENPANPKIHYETTGPEIWKATAGKVDGLVSGIGTGGTITGTGRYLKEQNPDVKLYGVEPVESAVLSGGKPGPHKIQGIGAGFIPGVLAVDLIDETVQVSSDESIEMAKALALKEGLLVGISSGAAAAAAIRLAQRPENEGKLFVVVFPSFGERYLSSVLFQSIKKEAESMVVES >Et_1B_009990.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:17019090:17019263:1 gene:Et_1B_009990 transcript:Et_1B_009990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLERTKQESFLASCVEAITCVDPYQGGNEAERNSIWRTSDRSARRLSPRVNDVLD >Et_3A_025924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34044977:34046019:1 gene:Et_3A_025924 transcript:Et_3A_025924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAATPLALFSPAALIATATRTPTSRRRTVRASAAAAEPAGEAKPAAAAAGDGAAAAPKKVLKKKPVFSMKKGQIVRVDKEKYLNSINYLSVGHPPFFKGLDYIYQDRGEVLDIREFETGEYALIAWVGIPTPPAWLPTYMLIKSDKLDYERI >Et_4B_037546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2177732:2181055:1 gene:Et_4B_037546 transcript:Et_4B_037546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATIPAPSLLVTPRLPHHHRPRLPSLAATPFSRHICRGRRPRTRLRVAAPPSIPGKAEQTEPSTSASDSGEKFSWRDHWYPVSLVEDLDPSRPTPFQLLNRDLVIWKDPKSGEWVALDDRCPHRLAPLSEGRIDETGCLQCSYHGWSFDGSGACTKIPQAAPEGPEARAVRSPRACAIKFPTLVSQGLLFVWPDENGWEKANATKPPMLPKEFDDPAYSTVTIQRDLFYGYDTLMENVSDPSHIEFAHHKVTGRRDRARPLPFKIESSGAWGYSGANSGNPRITARFEAPCYALNKIEIDTKLPIVGDQKWVIWICSFNIPMAPGKTRSIVCSARNFFQFTMPGKAWWQLVPRWYEHWTSNLVYDGDMIVLQGQEKIFLAASKESSADVNQQYTKITFTPTQADRFVLAFRSWLRKFGNSQPEWFGNPSQEALPSTVLSKREMLDRYEQHTLKCSSCKGAYSAFQTLQKVFMGATVVCCATAGIPAEFHLRVLIGAAAIVSAAVAYAFHELQKNFVFVDYVHADID >Et_1A_006303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20296209:20300086:1 gene:Et_1A_006303 transcript:Et_1A_006303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSVQPDHHRGGATGNPRSVKNRRPLLGHLNVQVPAVGNGGIFHPSPKSTAHADRPASPSLLRSPSAWIRAAKSHGFGSGKHTPRPPKNFCYDARSYAQNFDEGGGDEDAIKYRCFSPRFPASPQPASAATVLVATSGSDGNGNEPTGSEAADHHRSTGDTGNPRDAKPRRHHLDHLEVQVPTVVPHNAAGCFIGCFRPSPTSTTPSSPSPAAHAVHGHGHTDRPASPSLIRSPTAWIRAFGSGNKHTRRRSRDFQYDATSYARNFDEGDGDGEEEAGLATSDAHKYRMFSSRLPTSPPAMSPSGLGPGKGMIEPSPRETGRDRPE >Et_6A_045844.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:19151419:19152516:1 gene:Et_6A_045844 transcript:Et_6A_045844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSRAPLTMTAISSMLIPFFFVFLLAGGGLAPLAHAQQPYGSAIADCGNQHNDTGLLGYFCDSRSGGAPSSCATYLTLNARPPYSDLASIAALLGVDAAALAAANSELGDAPAPGTKVLVPATCSCTSTPEGRFYQRNATYVARSGDTLLIIANNTFQGLSTCQAVQAQGLGGAPATSLDAGQPLAVPLRCACPTAAQAAAGARFLVSYLVVEFDDVSAVAARFGVAEQSVIAANGLTPPYTIYPFTTLLVPVQAPPNVSQIQSPPAPPPPPPPSPGPAKKSGSHAGVYIGVGVAVAAVAVIAAAGAFLAVKATRRRRRRAGAIIAAAATTTTSSPANKKKEGTNNNKGHDTSASSAFTT >Et_4B_036657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10139302:10141482:1 gene:Et_4B_036657 transcript:Et_4B_036657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDWAGARPGQTGSEIFLQFCDNSQIFGLWRRGRPQPSQRSLPLSPAPTATAMAMGLPGLRCCDLGSLATSLRPLGPALPRRPTPRASALRYSSFQAPAEDSIGKEVLRMFHEERQLHGDFVTKISDMVWRRNGANVDSLEATGGLETAADAVGTEDVGEDATGEGLLRLAATRDWISGESDLPVTKRLSAKERQNERDKRKELNLLKYEALKDELLILTIGIGSACSVYCLLVFSFEAAVSYAVGVACRIGITSEDLKNTIEKTLGGTSVALSSPRLVIPAMIFGLSALSDHFQNNIFSFELVPGMMGFLAYKAAALVQVYRDNEDLRLILPEEDTDSS >Et_2A_015939.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19428706:19430684:1 gene:Et_2A_015939 transcript:Et_2A_015939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AHHHLRSNPPPQASHRAARRGGPDPTAAASAVLLLLLYKSITPPTGSAASLGFAFPTSNPHHGLTSAERVELEERRLPPFASCAILVTMDSDYVTSLLMGACAPGLDFGALDGGFLDTLCGAAGGGGLFGAPGVVPPGGGADSREGSSVSDPAWARSRDGGNARKRKAPAASGGGGEGKEACLGKVGEAKGGPDSKKCKVGGGDSPVKPKVEEATASDGSVVDKGTKQGKGKSSKPAVEPPKDYVHVRARRGQATDSHSLAERVRREKISQRMKFLQDLVPGCNKVVGKALMLDEIINYVQSLQQQVEFLSMKLATVNPQLDFSNLPTLLHKDMYQACGPSQSSVFPLESAGAAFPFCHQPDLFQSFGAGAMEDQCSLSLLDTALPHTTNPQFAFQKQQRDFWEDGLQNALPMGNEQIQEDGVSAPNFDGQLQVDDTKIEF >Et_3B_029345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24252084:24258222:1 gene:Et_3B_029345 transcript:Et_3B_029345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPQSSTAVRGSGDGGDGRFRNALGGVLCAFALLLIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLSKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWLLNSLNIPLSVETVCVFTAPIFSANASWATYLLTKEAKGPGAGLMAAAILAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVVLGTLLAALVPVVGFNSVLTSEHFASFLVFIILHVVALVYYIKGLLTPRLFKVAMTFVITVGLAFCFAVAAIFVALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFLPLSDASSFLVLYLVTSVYFSGVMVRLMLVLAPAACILSGIALSEAFKVLTRSMKFQRPSSDDGTSTAGDETSSAPANSTKNQSKTSVKSETVTKEKPSKKTRKKDQDSEGSSPVDPPREQKLLVLPCGPSAVGIMSLIVLCGFYVVHCVWAAAEAYSAPSIVLTSRSHDGLHVFDDFREAYAWLRHNTEVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKESWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEADYLRDGNYRVDAHGTPTMLNCLMYKLCYYRFVETDGKGFDRVRRYEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPQKNRVRGKLKLKSGDAMPCFWSDRGKFW >Et_7B_055126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7493131:7498172:-1 gene:Et_7B_055126 transcript:Et_7B_055126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGDGGGEDEEEVFYESRDRVLSSSCSSTSASDDDDHPRRRRDGAAAAAAAAAALDVWTSEPAPVQERRRRLLQMMGLDGDPTLARLEMGRSASYDGPVRAAAVSPISRSRSDGAAPASATKPSLGCRSRQASSGSSEATPEGEEEADPRCLIRNLDDGTEFVVKEEFELREVGTGRQLTIDEFDLCVGRSPIVQELMRRQNVASSGSNLDSSNSIQRSNSDSTNGVARHRRRSSWLRSLRNVAGSMVVTSRDRRSSDEKDTSSEKGRRRSSSATDDSQDSAGAAHHGPERVKVRQYGKSYKELSSLFMNQKIQAHTGSIWSIKFSPDGRYLASAGEDCVIHVWEVSELERRREENGVSNPLVAMLCNGSPEPTLALACVDGSHLEKKRRARFLEGRRSASSDRLMLPQHVFALSEKPIRTFEGHSEDVLDLCWSKSQYLLSASMDKTVKLWHISSVSCLKTFSHSDYVTCIQFNPVDDKYFISGSLDEKVRIWNIQNREIVDWNDLHEMVTAACYAPDGKFIPGSTSKVLITCADSRIRVVDGVNLVHKYKGFRNTSSQISASLAANRRYVISASEDSHVYVWRNDDTPEQGRNKGNVTVTSSYEHFHCQDVTVAIALPFTGSAVVSRTNSRQHEELDCVYEHPLRAVPEKLQGSSDLQPQGANILSTSSNHSGDRATWPEELMTPTNQSPRSSSSLPIGAGQAPSLSAWGMVIVTAGRGGQIRTYQNFGFPARLERTQMPILLEVDVRPLRQNQSPDELKFGARGPRSIGDLGSDRDGYLRSR >Et_2A_014666.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33362541:33365768:1 gene:Et_2A_014666 transcript:Et_2A_014666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHSNSRNQRNRGSRIKTVLQATLLLGVVLWLLYQVKHSYAKKNEYLDDTEDQLAHSDRSMFQGRKEKAGSYNDNKVGMDGENSDVIAKPEEGDVNHQSDTSDHNDEKGGETVFDKDSTDMHEDDKRNTEWSEAEEGQVNNSDGNTESQNDNNEDEKAGHSEEDKRDTESNSDAESKSEAHSTADGVTQNNQTQEENTDETNGTSQGEESTNADQANASGSGSDGEVGEKKELMDTQNGSESLPGDANAETNGGHDAGSLPDETGNVPSVHTDKSQNDASGNQGDAASTTSDSSEHGITEAVRIETGLEDIATTSSETTSSDDKGNSVESDSSDRTNAEEKTGTASGDDEKSAETGTSSEANSDEGSAMTEASNAQTANTETGNSQGDSSGDAVTSSSEEAKPVSNQSDGATETSNNGEQVDTKIETITSTNDEHKESQGGDGSSGSNDSNGSHPEQTGNNESQ >Et_1B_010363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:103676:107022:1 gene:Et_1B_010363 transcript:Et_1B_010363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADQPLGFFSGVWSRLRAAASGWCRRDDPADEIEEEEATARSRLARRRLGRKLAFVSFNLEVLVFVYAFWRTRRRNFSWRQPTQALPMLVIPTLATLIYAAFIRFTRTLDLKDKKLIERLQEKKQASDCVLRELDQDEQERSEKYDLTDDPSNFSTAAASAETSEFGTSQKPIINLRNDGGGDISWDHSKDFQPTCTDALRRRGLSTEKAYMTSSNAVLQPIGWSTEHLADDPEELDYKQLNVGHHCYLGNEVAKNSMVYSRAETGTCLPDCSIVDINSNGMNHAECSPPPSSLKFNGGLAEENPLQKGLIRPKSDLSAFGELLDEGLQENKSSKFHVSEEDGVPSVSKKEHFMDSYAVENKEQHLETSSGLALCSQDTDKEDVAGGVCFVNVSPESTFLSSTELVVECSKDASNKESCELNTQDENDVAVNSEEEALLGPNVVSTSENCCESPEFFLCGQDSNKMEIPAVVNIVAVSTKPNYPEPVESRTHALDHEGSTGDETSNVHLPGQMSQEALVDPLVVDSFVDLFATSKLLSHSEAFKMTEVPGEDLSEILDEGASNHQNDGTIFSEYGGNTDNIIGDSMSVQLSAESNILEAHQGGQEAWSDPIHDSSSHSAGIFLSSSEVSDDEAFSSNSNSIFVCADSMEDKAPLSVQGGPSESKDEMNFAFLDTPISLNEVTSAESWTDNAECSQYIRDNAITKSLHDGNQVPLKTSEISNFGLEESLVSSEEGIKSEIFSLYSRSSSCVSEVNIIETLSGVAFPEPKNDNHFKSDQQNPTMALNMFHSDSCTKSAEFIADIDMVEALSGGNYSRSSLSMLDENLIEILGAVQEASFELNHEYPSSFEETSLYGNPSYNPMPLSHASMK >Et_2A_014870.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:19678303:19678512:-1 gene:Et_2A_014870 transcript:Et_2A_014870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRRKLLMMPSASYVPHSLKTDSMSYSGVPSCRHSGTPSTLSRCPTCLRARHGACDGRRRCRRYTETH >Et_2B_019036.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26362495:26363037:1 gene:Et_2B_019036 transcript:Et_2B_019036.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPMCFSDPDITSPMMIKYTTRTPALLMMTHNCATTLAGRPSALCTTSPYDSEREYAENRTSSSMVTSDRIPMITIEISPTATPSTFMVAGSAIMPAPMIEVARLNTAADTPDPSGGPSGPSLMSGSSSFSPPPPSSTSPKKESCLEILAWPLPKPLTAALDLAPLDWSSMEGEVNGSDE >Et_1B_013620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:128105:129296:1 gene:Et_1B_013620 transcript:Et_1B_013620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVLSGHHQNVKLQVRDTSLALRGRSCRVQYPYALDGAGTLADPRRRLRPFVAVRAVSGSGESSSGEDDDQDKPSKKEEGSSGSGGLSREDLERLVGTDDDAKFSGLDLANLIRKKYGRSYDVTLIKKEFMGRNLLAMNVMWKYREQRSFPLSEEEYLLRLDDVANTLKCWGAVAHVRNTLEKLKERPRIGKVRMSSQDKSTIDDGIYMSIVLLTPPRHCIALQAVSIFIDMDQTGERSNEWIYK >Et_2A_015696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1773903:1778659:-1 gene:Et_2A_015696 transcript:Et_2A_015696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIEETVGEGAEMEAPVFSTVAVAVSGSRSSRHALKWALDKFVPEGRVLFRILHVRPAITMVPTPMGNFIPISQVREDVASAYRKEAEWQASNTLLPYKAMCTQRKVEAEAVLLESDDVAAAISEEIAKFNIGKLVLGSSSRNIFRRKLKGSKTATKISECIPSFCTAYVVSKGKLSFVHSATTDTRETPKSISSSTVSSPSARSLSSSVPSEWEDTYGTPKVFFHQPSLPLQRDQALAIINKLPNRRASPSGSAASEISYNDDSALISSRSIEHETQFSSSSSGNSNYKSFQRDNLQDNSDQGSVLCISTNVIFSHDQDDLRLQIEKLRVKLQHLYKDYDAAQHESCDATQKTNNLGTLSIDDGIKLKEIELTENMVRRLVRKQEMEEYEAAQEAEFKQKSDETELIRSSCDQESNENETVKRISVRCFDEYNRYTWEEIQASTSSFSADLTIGKGSYGTVYKAKFHHTIAAVKILNSLDGCGTQQLQQELEVLRKIRHPHLLLMLGACPEHGCLVYEYMDNGSLDDMLQRRNNTPPLTWFDRFRIAWEVATALMFLHSSKPEPIIHRDLKPANILLDRNLVSKIGDVGLSTLLPSMGQDLSTMIKNTAPVGTFCYIDPEYQRTGVLSMKSDVYALGIVILQLLTARSPMGLAHIVETALEDGCFVDILDATAGQWPLNETQELAVLALRCSEMRRKDRPDLNEHVLPTLERLKDFASKVRESTLQRPSAPPSHFICPILQEVMVDPCVASDGYTYDRKAIEMWLSMKDKSPMTNLHLLNKSLIPNHSLRSAIMDWRSKSN >Et_4A_032157.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21540022:21540198:-1 gene:Et_4A_032157 transcript:Et_4A_032157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLAINNILASVRKWWKKAIKACARRQRKETRRLIIYTCWTWKGTKQETLPTQSTQC >Et_8A_057172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2266464:2270618:1 gene:Et_8A_057172 transcript:Et_8A_057172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERGAAALWGHGHLPLLARAGSKESVEYILQALWRTRRTGLDAADRAVARDILQLSDDADLDPLLVCLRILIRRCVNENVAKEDIPKLFPKEVSPELQKLLTLLLQKFQPEWQEDTKKDQASAPPPHSETTDGQLNQNGDASEQPAAATAQFQNGTTHTKDSAEPREREVKKFPLAKDSLDKMLKDLFPVKGQTPNAVAPQSHGIPSPASDRCWTFAAESTCAPEDAFLDSSIQRKNLAFFLDYVDSVQPFDGACANNARHNNTEWDLLLPVHKLLQQVTANGKQPKPKHIGIS >Et_4B_038031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25614070:25619661:1 gene:Et_4B_038031 transcript:Et_4B_038031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASENFSFPVMKAADIAEALHSYGLAPNANLRAEDIAKPAPDLLPAVLSLYLVNIAGDELDQQLGFEALAALDNPELLYEGIQVRRLFQRARQFLDSIQFQGFTLRDLLRPDPRRVVQVLSAVINYLHFRQEKIGLLQPIVDEFPDSDESRLELKTKIAEHQKAIADHELKEQLDELVVQQLEAEVNGLKQKIQEFNKQQLALRAKAKAIDEDKEGIISKVMSQADFELMKQKQENTKLLSKVVQSPEKLQRALEVKKAARAELKNQEKILAQNIQEKNNNIETYTKACEKLLKHSSKISALLELTAAVKTAEKEVKALKAKIDDQNLEIATLGPKIVEWQRKALETEERLKVKEKERDQRVADNSRKMAALKSEMDRKHQDLEDRQKKVEEKISKASDLCSQGDSMGAAAAKKQEEIYTKFELVCKAANHLIDNLDRSLEEAE >Et_1A_006501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23293673:23294410:1 gene:Et_1A_006501 transcript:Et_1A_006501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGYLVFFFLLAVVAFKVADAADGDASSVVIGQAKCMDCTRKNMKAEAVFKDLQVVIKCNNSHGEFEHTAVGSLDSSGAFSIPLAADVVEGSDCFAQLNNAEGTPCYGQEPSWIHPFSKSTYVAYAGKVHSASAQCSAVLWGWLKQHFHNHKQHFHNHKQHFYNHFLNGGSPSPAPAN >Et_3B_031542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:395532:398440:-1 gene:Et_3B_031542 transcript:Et_3B_031542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLVLGDSLFQLCSVSIKAARHLWKQKQNRQQGTLSNGDGGNEEVEQSSSSYDDRRRNQIFEGDYIPMHFALAGYAIFGTISTIFVPRVFPQIRYHHVALCYALAPLLAFCNAYAAGLTDWSLGTIYGKLAIFIFGAWVGKAAGGEIAGLAACGVVVVVIGNSAELMQDFRTGYLTLTSPISMFASQVIGTTLGCLVNPFIFAGFQKIIGKEHLGEAGTPYAAPMAVAFRGIASLSVEGIKTLPKHSIQLCVVCFFVAICVDCFTAVAKARKWRVRGYIPNVMAMTIPFFLGPTFAIDITVGSLVLILWRRADKQAATMLSVVVASAEASAGYGEHGLHPCSGSGAVKRQQGLDAETRLDGRPAQ >Et_5A_040175.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:19177001:19178075:1 gene:Et_5A_040175 transcript:Et_5A_040175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKVNLQWIANDTSRRAVFNKRSQMLKKKTSELVTLCGVKACVVIYDENGEHPEVWPSVAEAREMLTSYRDMPDVPQWKTKKSQEEYLQKCIDTLQKQVTRSEEENKDHEAHILFHEALAGRRPGLVGVTSDELTRLSKIVEDKKKKVLERMQQLGIGPPEQPQPQPQQQMQQHPPTQPACVFNDMHRQASVQESQQHNMLLVPSPATNVGYGAFTGNSSGAVPSAGNTSLGYYGTFAGSSTGTTSLGYYGTFAGNSSGACPSTGNTSLGYYNAIAGNSSGAGPSTANTSLGYNGVFAGYNGGAGPSNANISRDEFDSA >Et_4A_035001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8770808:8773452:1 gene:Et_4A_035001 transcript:Et_4A_035001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQAVDAAAPSSATEAPGEALPSAASSTRAQNPTAAAAAAAGSTDLEKKMRRAERFGTQVVMSEEEKRSSRAERFGTGSSNIKEEEKKKSRAERFGIAAASSADEEAKKKARLERFGQGTNVEKAEEEKRKARSQRFAEPASGSPKGNGKDNSKPDAATVTGTA >Et_7B_055490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1250745:1255865:1 gene:Et_7B_055490 transcript:Et_7B_055490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLDSAIKTQVPALLLRRLFGAGRRDEAKQLPRPAAPPPAGRRRVFVQTETGCVLGMDLDRGDNAHTVKRRLQLALNVPTGETSLTFGDRVLENDLSFIRPDSPLLLTRNSINRSCSTPCLCPVSKDFEQKDCSGLVEILGCSSSCVRVKCLVDDVVNAIRSGVDPVRIESGLGGSYYFRNVAGDKVAIVKPTDEEPFAPNNPKGFVGRALGQPGLKKSVRVGETGFREVAAYLLDHENFANVPATALVKITHSIFNINCPVNGGNPAPAHDQNQQVNSKIASFQQFIAHDFDANDYGTSSFPVAAVHRIGILDIRIFNTDRHAGNVLVRKLNSGTGRFGCQTELFPIDHGLCLPENLEDPYFEWMHWAQASIPFSEEELEYIRNLDPMKDVDMLRRELPMMREACLRVLVLCTIFLKEAAAFGLCLAEIGEMMTREFRGMEEEPSQLEVVCMEARKIVAEWEPFSAAAEQGEDTDFQFSMDMLGEYSDVIRSPRFTGSGMKGSSFRSPLSKLVESVHEGNDDHEDRYESDRVLSDGLNTVIVPNKHTGQNGNATRSATNRSADEQLPSSMCFVRLSDMSVDEWSLFLEKFKELLKEALHERARQVPTAGVAKDFQRHDSRILVAQANYWKYLGDQAIIIAALGTSGIHDSIDNHTRHLDTGGRSI >Et_1B_012488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32463994:32470168:1 gene:Et_1B_012488 transcript:Et_1B_012488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLREPNAAPDPSRRRADAVGWLRALFPDQQLPPEATDDDLRAALASGRLLCALLRRLCPGALMDDAATDNAGRFRAAIQRMGVPTFSAYDLERGEVSTVITCILALKDRFGSEDRSSTFLTRSDSEGTRRYMEAKMQRVLTSPVMSEPSSPSLGSDPYSPSRVFQLKQGYTDLPGCKISDLMKSTSLENAPTQALLGVTNSILDESIERKNGQIPYRIACLLRKVIVEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEALADGARGQTNVEKDKFEGKGHFGEDDMARLMKYEEDLVKLMKEKQDMVRLLQEKEDMVRLLKEKEDMVRLLKVKEDIVDLNHDKNDNTQRTIDENKERLLKEKDETVVRLTKDKEEMARLLKEKDDIIRLMKVTKDMVDLKEATVGDTQKTTDDNKDRLLKEKDDIIVRLTKEKGDMDRLLREKDDIIRQMKEKENMVNLDCENTDDRKQAIADDRDRLIEENNDVVVRLTMEKEKISKLLKEKEDLIRLMKEKEDRTDLKKGNAENREQSTGEDADWSMKEKGDIIKLMKEKEDYSNVIVKLKQELESLRSSYEESCKLLESKKEDIAKLLTDKGMNDSIILQLRQELEATKKMHETRCQQLDTRAAQVNKESEQRIKEIKLMLEDSTKRRRELEETSESRIQFWKQKEIVVNQFVGLQTKNAQDLRLSSVSVRHEILNCQKRWFEELSGLGENLKMVTNAAEKYHAALADNRKLFNEIQELKGNIRVYCRIRPFRPTEDEKSTSVEYIGENGELVLSNPTKKGKEGGKNFTFNKVFGPTTTQDMVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPDNATEKEWGVNYRALNDLFSISHDRRGTIKYELGVQMVEIYNEQIRDLLGGGIQNTTQPNGLAVPDATMCPVTSTPHVIELMQTGHNNRAMSSTAMNERSSRSHSVVTIHVQGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNSHVPYRNSKLTQVLQTSLGGHAKTLMFVQVNPDVLSYTETLSTLKFAERVSGVELGVARTNKEGKDVRELMDQLSLLKDTISKKDEEIDRLQVLNASTSRLKSTKADSLLKHSLSDISDGGGAEIDSSLNSVTDQEQEKAPSAAKERLTKAVNRVQKLTLPKVGQASSLRPKPRDPSAPKSSGNVPKLSFCYNLIFPKNTKPEELMSERNL >Et_4A_033908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27925428:27927554:-1 gene:Et_4A_033908 transcript:Et_4A_033908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVSSLAAALSHLSLPSTSTSKPHLAALLRLQPTSTSRRAASLALRASAAEAAEPVETEADLPAEEVVAVEEEEAEDQLSGIALRKYVKQRLPGGFAAQRITATGRRKTAIARVVLQEGTGKVFINFRDAKEYLQANPMWMEYCKVPLVTLGFENNYDIFVKVHGGGLSGQAQAICLGVARALVKISTANKVPLRSEGLLTRDTRVVERKKAGLKKARKRPQFSKR >Et_4A_034783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6542672:6545147:1 gene:Et_4A_034783 transcript:Et_4A_034783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRCPVATDGCHVSCLSLPRPPAAAHDDNDDCYVMKVYPDRSWVVVDVVSCRASAGCYLKCGYGKGPPAADADDGTTPAAPRDSRFLLPNALPPFERCGDQTTVVPGVMALHVSISISVSVLALFAAAATAGANAAAANATSTLPAAAAATDGSLQYTCYLCQMRNTKMRRRCPVATDDCHVACLSLPTPSTPPAAADAGCGGGGSTDGAALLRPEGVSRPQLGCGGRGQLPVGRKVLPQVRLRPRATSRGRGRHHACRAEGRHVSAERPSALREVRHVSVCKERSGDATLSEALALARDLTKSISRWIGPWAAYSVSPSVMPLSAASVLHATSLALLLLLFLLGATANGDDVSTPTSATNATVTPGGDTERYICYLCAGRNPMLMRYCPIYWDECHLNCYDPVATSAAAALPSPVSADPRADAVYGNNECYVMKLYSDGSYVIVDRLDCSRVTWCLLTCGGGELDGRKALGGMTPVRPAPALRGVLPADFERCGTQVADQAAPARFPGGARR >Et_10A_001477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3805689:3808313:-1 gene:Et_10A_001477 transcript:Et_10A_001477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLQESYLEIAGSYLAVSMDLAPFKLDIDELLVDYAQENYMSFADFKRVWMAKKFSYIYEGRPKTNSGVFMQSLFLHCIGHMTSETSLPQRLAGLYCLYCLYECQPYKPQFKIYLSLEECKQLKDFVAMAKQNGATVVPVLVKRMLDRGMFLFGFINLLGDNGEKQVEELTAAQNKRIKFASDKLFANTQVESYMHMDLGAEFELDSIKKLSREYAEAKELALGEASQIIDVEDARHILQHDNLLGDRVDEVVKEWDAQKEEFYEKTGLSRGNELAVLDNDESGVLPHEDESGILRHEDDGFDEITQLLLD >Et_7A_051709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:23411467:23419836:1 gene:Et_7A_051709 transcript:Et_7A_051709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYTHHVIPDLPVSVDGDLCILFDADGGGVDRLSRLPDSLLGNIVSRLPIKDAARTAALSRRWRPIWFSAPLVLVDAHLLPAAEDQIPKHVERAESSAVAAAVSRILAAHPGPIRFVHITCCYLNEFPGQFTRWLKHLAVKGVQELFLINRPWPLKSLAKHMPAAIFSMATLTRLYLGFWRFPDTASLPRGAAFPYLRELGLCSVVIEPRDIDFVLARSPVLDTLCIHGHLFPSLRLRLVSQSLRCVQLLAVDVESIAVVDAPRLERLIVSKRVESSYKINIGRAPSLRLFGFFELGNDVLQVGNTIIKAGTPVKPSVMVLSVETLALQVRFGICNDVKMLPNFLRCFPNLKTLHIHSKEATESTGRLGLKFWQESGAVECVQSRITMLGFHVFRGGRSEPAFLKFFVESSQKLKVLVVAFANGYVGSMDEAKSQVKAYSLGKRPLNVVQWWSARMSFREVVPCGPSREALKCLTHLGCSSAVQNEEPLIHIDPATEAQMQRNGADPHALELATKTVLLYLYRSLPDTPVKAAAFLSALPGPDPSGEDGISALPFAILRNIASRLPIKDAARTAVLSRRWRPVWRCSPLAFADAHLVEGFLDGSRQILRADTPNLAAAISRVLTAHPGPFRAVHLVCCYMGAYPRGLQRWVQTLADKEVQELVLVNRPWPRDMPLPAEILRAATLTSLYLGFWKFPDTSALPRGGTAAAFPNLRELVLLSMDIDSRDLDFILAGSPVLEKLGLQGINNKGIRLRLVGQCLRCVQVLVCTVESITVRLFLSGSLTGDGSFTRVKIGNAPKLRLLGYMEPGTHMLEIGNSVINFQCVIIFLLLGELREFQAGTSASLSSMAPSVKILGLHVRFGVRNDVKMLPAFLRCFPNVETLHIMSQKSEETSGKLNLKFWQEAGPIESLQSHIKTLTFREFRGDRSESAFLKFILQTAQVLKEAVIVGAKGSFTSIPEVIRKVQTLNPENWGSNCTAEMRRSGADPHELEMATKYVVLYLYKSLPDPPVNAAAFLSALPGGDPSGDDRISALPFTLLRDIVSRLPIKDAARTVVLSRRWRPVWRATPLAFADAPLIPGILNGTRADTPELAATISRVLAAHPGPFRAVHLLCCYMGAYPSRLQRWVQTLVDKEVQELVLVNRPWPRDMPLPAEILAAATLTRLFLGFWKFPDTSALPRGGGAPAFPNLRELVLSSMDIESRDLDSLLTESPALEKLGIQSRIYNKGIRLRLVGQCLRCVQVHVSAVESITVVGAPCLERLFLSGSRTQDGSFVRLKIGNAPKLRLFGYLEP >Et_3B_030857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8010709:8016591:1 gene:Et_3B_030857 transcript:Et_3B_030857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGEGCIDVGVWHGRGELEQCRVGRGRGRLTSVVRCGTENNNTVTTLVPMQNPGQFKVHSGGLAWKRQGGGKTIEIDKADVTSVIWMKVPRAYQLGVRIKDGLFYRFIGFREQDVSNLSSFIQKNMGISPDEKQLSVSGHNWGGIDIDGSMLSFMVGSKQAFEVSLADVAQTQMQGKTDVLLEFHVDDTTGASEKDSLMDMSFHVPTSNTQFVGDENRPPAHILWETILKFADVGSSEEPVVTFEGIAILTPRGRYNVELHQSFLRLQGQANDFKIQYSSIVRLFLLPKFETEAVVERDLALTREVLAEKYKDRLEESYKGLIHEVFTKVLRGLSGAKVTRPGSFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEFVEFERHGAGGANMSSHYFDLLVKLKSDQEHLFRNIQRNEYHNLFNFINGKNMKIMNLGDGQETTGGVTDVLRDTDDDAVDPHLERIKNQAGDEESDEEDEDFVADKDDSGSPTDDSGDEESDASDSGGEKEKSSKKEASSSKPPQKRKPKGKDEEGSEKKKARKKKDPNAPKRAMTPFMYFSMAERANMKSSNPDLATTEIAKKLGEMWQKMSSDDKQPYIQQAQVDKKRYEKETAVYRGAAPVDVDSGNESD >Et_9B_066150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4958379:4962619:-1 gene:Et_9B_066150 transcript:Et_9B_066150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKFTNVSLSDCQKLTNSEKKRKLNQFATIGELEKSTHCRHEWLVGCGFLGIFMNHGLVIVTFGNW >Et_6B_050039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4420310:4423759:1 gene:Et_6B_050039 transcript:Et_6B_050039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKEMSSSESENGSDTSSSDDDDVNASDLQKQHCPTKDPPSCSNYIRLPALVSADSGTSAAPAYGEHHVKEEEALSSANSSALPGHPLPNAGPTSPPTSLDCAPGDGKEDRGRRGLDGEEEVRGGALASSKICAPEWPRRRSVPSRPRLRGRSRRRGAVAPPLRQFDGDAVGRGGGQTCGGAVERVGGARAAPSNAA >Et_2B_019840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14474866:14480101:1 gene:Et_2B_019840 transcript:Et_2B_019840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAAPMETSAPARRSAAPDPKKPRLAQPQPREPRSYAAASNGASQAAAAAELAMADELLAQYRTALGELTFNSKPIITNLTIIAGENLQAAKPIAGLICTNILEVPSDQKLPSLYLLDSIVKNIGKVYVKHFSAKLPEVFCKAYKQVDPSIHHSMRHLFGTWKGVFPLAPLQMIEKELGFQSSANGSSGAASSRPESQSQRPSSSIHVNPKYLEARQQLNQHTKGLLGSGGKAPLTADAGDDIERANRISAERNAGRRLDALNARTSIQRTQRDPFNNPIHEKQAGRDVRGLGFSNTQQQAVVGAGQVHSKAKVQDGIVGPYHTAGVGSSEQRLDRRSNLYANKDVRPAVRLDGALLPTPSVGSDIIGRASSNKSWKLSEEEEYVWDDVHSQAADYGSTNTVRKGEWMADEGSAKFTSLNRPKWTDVGAVEHLDSHKLDNLPRFGHVTVQDRRIPAYMDQEEYLHGKHEMESRIDREMMPDGQIFPPPRGSSLWMSREKTIPDIGLDPRVSRFSNQPAGQYTGRSSQDVTSVPTRSTETFGQQKQRYWSPSPPPAQSPSSAAPFGRQRSPSPAETDFYPSRSLSQLGQNPQEEYRDWRALPQLAKDPHVLDQNALPTISPDRTSASNLLAGLLKSGFKPNNTSDIASLRAQPLVPAGPSPNTLTSSLAADPSLQSSAIENTTLQAQEPNISRPPLPSGLPPPPSVVSSTQLADKAAPLSSLLSSLVKKGLISSPATDSSVAISSQQSKPSSMNTTDAIASASLPIIKPSVGKETSTPDSSAPKTVEIKTRDLIGLEFKPEKLRKYNEHVISSLFDEQSHQCKTCGERFRIEEELRLHTTCRGSRESESMYAGISPKRWYPNKNSYIDGSLEMENSTEVSADADLGSAEEVCEFMVPADESQILCALCGDPFDDIYSIEKANWMFKDAVFLDYPKGEDSCGNNVEGENECVPIVHARCVPRSSNDGMEED >Et_4B_037960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25088653:25091242:1 gene:Et_4B_037960 transcript:Et_4B_037960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAAKVLKCGKGKVWLDPNEVSEISMANSRQNIRKLVKDGFIIRKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKVLWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNAFKNKRVLMESIHRSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPRDTAPAAAAPAPATAVD >Et_2B_022569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26012756:26014707:-1 gene:Et_2B_022569 transcript:Et_2B_022569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSLRGVPCGLRGPPAEIHRTAAAAAAAPTTGDVFLHLLDANFNKPTKQPPAKRLTENASPTFVSSGDPCLDFFFHVVPGTPAASVTTLLANAWAADPVTALRLACNLRGVRGTGKSDREGFYAAALWMHGCHPNTLALNARPIAEFGYLKDLPELLHRIIHGGVSTRKPGKKARLAASGRAGFVVHGPAAAAASSASTMRACLATRGRSHAASARIAADMERNRKISANAAVERRKRRAEAAARAVERYSHDPTYKFLHDRTAEVFADLLAEDMKKLADGKVNNLSLAAKWCPSLNSCYDRSTLLCEAIARRLFPKGSAPDLSQDLEDEYYAYRVRERLRKALPPLRRALKLPEVFISAQAWGDVVYPRVASVAMKNYKELFLKHDAERFGLYLADVESGKAKIAAGALLPHEILESAGDGVADLQWERMVNDLKALGKLNNCLAVCDVSGSMSGLPMDVYVALVLLLSELCDEPWHHRVITFSAHPQLHVIAGKTLTEKAQFIRHMDWGCNTDFQAVFDKLLGVAVAGKLPPERMVKKVFVFSDMEFDEASSRPWETDHEAITRKFTEAGYGDAVPEIVFWNLRDSQSVPVTAEQKGVALLSGFSKNMVKLFLDGEGIASPRAVMEKAISGPEYQALAVFD >Et_3B_029416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24882531:24886108:-1 gene:Et_3B_029416 transcript:Et_3B_029416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSRPRTQDFFPAPALSLSLAGAFAGNAPAEADGDEVEEGDEESGGAIIRGDLRLGDAADISSENTGPPGSPSGGGSGDEGGHGDGGDQKRRKSYHRHTAEQIGVMEAMFKESPHPDEKQRQQLSKQLGLSARQVKFWFQNRRTQIKAIQERQENSLLKSEMEKLQEENRAMRELIKKPSHCPSCGVAAATEDHLLRLENARLKTEIERLRASTRGGRAADAVASTTSPPRSPSAVQINSSISFDDYVAGGLLGQDKARILELAGRALDELKTMCSAGEPLWVRSVETGRDVLNYDEYVRLFPRDDDCGGDRRAGWSVEASRAAGVVYLETTQLVRAFMDVNQWKELFPSMISNASTLSVVQTGEDGDQDGVVQLMFAELQMLAPVVPTREVCFLRYCKKLGAEKWATVDVSFDKAEPDAQKSAMTVCKCVKKPSGCIIEEQTNGHCKVTWVEHTTCRDATVPSMYRAATASGLAFGARRWVAALQLQCERRVFSVATNIPTRDSIGVATMAGRRSVLKLAHRMTSSLCRGIGASRGTTWSKAPNGGGVRVTSRTNTGDPGEPQGMIACAVLSTWLPVSPTALLDFLRDETRRHEWDVMLPGGSVQSCVNVTKGKDRGNCVTIYAAKSPAGEQDGKWILQDSCVGPCESIVAYAPVDAAALRPVVDGHDSSGVAVLPCGFAVTPDGLDSKPAVITSSKDDDGAAGSLVTLAVQVLATTASPTDDALPADSVETVTGMVACTLGNIKKALRCEDC >Et_9A_061699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15403338:15404726:-1 gene:Et_9A_061699 transcript:Et_9A_061699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGKRYCLPNGSLWDDPVPSPFPIPKCECNITAVVTQSSHPLTATRAYFCCGNKGNKRGCDFREFIHRPRSHYPDPDSLLDDVLYGENLPYWYPPPLLCQCGVPARQGVVPSELGYGHYCGNTVGEDDEWDTRRCDWETFEGKDQFLMRKSKIRHKYLTVLPSFIYSTICSELKLKREGPFWEGPEADVVIPYWRRNRDKYPAKSSWELPPPYGLQFNSAKECMDWAMAKMKQLCDPVYVAEKRKKEEEERKKRAQEELEARQKDPKC >Et_1B_013934.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:24531219:24531617:1 gene:Et_1B_013934 transcript:Et_1B_013934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPEFYKPAAPVFSPCSSPLLMHGAGAGAGVLGGGAEEGAATVWEEELRCRTPTSRESQVKPPATCPPAPRKPRAPAAPAPCRKRLFEVEVFSLRLEELERLFWRPHPAQPAEKKRRRRVACPEPKKSKQ >Et_3A_025772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32768614:32774153:-1 gene:Et_3A_025772 transcript:Et_3A_025772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQGFDINKLQQEVKTRWLSPKEVLQILQNYEWFTISQKPAQKPPSGSWFLYNRRVLRLFRNDGYTWQKKKNGKSTNEAHERLKVDNVEVLSCYYSRGDQNPTFRRRIYWMLDPAYDHIGSISLSLRNDASTSNQNGSAGRAEVHSSPGWTSGLTAPCSNSCSPGSVEEVSSRTMTINNDTNNTSPSDRLQEKAALRKLKMQLSLEDKEDYDVNTKEVLPNNEPILVHGIQVGEPEDCTNLDDIFNVLEFSEDHTKEAGTRPFPSAIDVLKSSDTWLEEDQIEAILHSASMTVTENQWFHIDEVSPEWGFASESTKVIIVGDFLCSTSESCAMMFGDVKVPVETVQQGVVRCHTPCLGHGKVRMYMVDVNGKPCSEAREFKFLERPTKSMIDGNAKPCNEARDFVSDHKPTRSSDELLLLLNYVQMLFDGHGCEQLPKASLQLSNLDCSFKVNLIKEADEQLDRESTINNVMEVLLTDKFEQWLLSKVEQSSDGDHLLPKQYHCVIHMIAALGYEWALKPLLSSGVPVNYRDANGWTALHWAARFGREGTVAALLAAGAAAGALSHPTSEDPAAKTPASIASAYGFSGLSAFLSEAQLLTHLDSLESKQKGNCNDGASGGGILCSVDRIWDKCTYVHGGTDDQLALKDSLGAIRNAVQAAGRIQAAFRVFSFRKKQEMAHQNRNSCSLSIHETVAVSHSIVEKAALSIQKNFRCWKRRNEFVRMRKNVIKIQARVRAHQERKKYRELLRSVGVLEKLMLRWYKKGVGLRGFNSGAMPIDEEMEGDIVKLFRKQRVETAINEAVTRVSSIIDSPAARQQYRRMLEIYQQAKVNVNS >Et_8A_058490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9244266:9247025:-1 gene:Et_8A_058490 transcript:Et_8A_058490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTAKDIDGLIPTSSYVWCILRLGPMFSIFGFSCIERLLVLFPKRCYWKGMSMIWEKIIPMLENWKVLWPANKLDMMCQLIAGLKEMAKRPGRITMGQALISSDG >Et_3A_025162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27396316:27398599:-1 gene:Et_3A_025162 transcript:Et_3A_025162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFRGDLGCMLYTGDFRWEVGCEKARRAKQALLDALGEDTVDVLYLDNTYCHPSLNFPPRPVVAEQMVDIIRAHPDHEVIIGVDTLGKEDLLLHISRALQMKIWVWPQRLQTIHLLGVDENQEIFTTQTNLTRVRAVPRYSFTIENLEALNTVCPTIGIMPSGIPWLWKSCEDRADSKCRSPTKSVRFKGRNGGMVGMDYDPLSPPKLFEKDAYTLPYSEHACFLELKDFMQTVRPSTVIGIVSSSFCYVNPRHHFRHLCADNDVQAERSPAKNKGGDADNLTPKRRPDNLMPKRRPNSSTSPKESKFRISSSSLYRSKVAVKRKECCGARIVDTEEVISVA >Et_1A_008321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6409675:6412276:1 gene:Et_1A_008321 transcript:Et_1A_008321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFESEETMMATDENAVGDRAGGDNAVDHGNNPPGPGGMDLSGDEHVPKARKPYTITKQREKWTEDEHKRFLEALQLHGRAWRRIQEHIGTKTAVQIRSHAQKFFTKTSSRFAVHGAHTHTIPPDVLYCSALQVVRGSSGSSSGSVAAPAIQIPPPRPKRKPAHPYPRKVDGAARKHAPALKQLEKPPALRMQSLREQDDGSPTSVLTAAQTVLRADALDGAFSKSSSGGGRSQAQSVAGSDEHGNGGGSSVDREYGCLSPSIPAAELVSRAPNTTAFGDAKDCSASEASEFKLFVKKVAVKDSYEHLKNRRDLKTDASPARVDQASRNATPFAAANSWNPWPSNMQQLMYFVPHPDGFPAQSVVPWVGYNGSLPCSLFYPQSVASNQKRHQPSESPDQREGSLTGSNSGSSAAPASAARNSDAAESHAGQGNASESGKAPAVPRLSKCLSSASTNRRGFMPYKRCAAESEAPRSAAPGEEADGELTRLCL >Et_6A_046544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16830468:16849119:-1 gene:Et_6A_046544 transcript:Et_6A_046544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVEFTPAYVFDPQVKEVRVEQLKEYDEGYMTLLVKLSLLLARKDFPLEVQGHGAKMIEHLLKFRHEEISSADLLLLVVELEPIGFPNEAKMLSRSENSKEKKKHTKNLHIFSQETGGVQNENEGPPRQSISVLSFDEQVNYDESEHEVQRFYGKKYGHQIKGCLLLAEHNILCQAFVLIACLRKPEHKNVLHYILSLLNTIWNQSEWDTTFLCNGFGLSCLFCDNHFLKMVHNMVKLLGNELKASIEGRSGHDYFISFLHWIIPLLLQLLRCIHSLWKDQIAGNLFQELERAKTQTSEDLETTKLLEEIREIGYNMLGLCMSLEGAFTILLNSSSFIIAFTDFESMEFRHLSKVICAVFVPLVRYCPCEVWKEWMLDLLRPLLTHCEQLSHCAWFSLLHEGRAKVPYYFGKISGSADNIEALERSALFEFTRAVCQLLANISSPESSSQKIITQHDPEYMASNSLLRYLLGHGCFWSLRVSLFGYWVDGEAAREGIHFCRALIRLAGVTNDERFKFFLMDELLPSLIKCIDDELPCAFRKSIHMLNSSVSIDVEKDLIILCRELYDYLSSNFDAQIQDILEEDEDIHSAADNFACWLTKQKKYLRAKANDAPPKEFNVWKSEWHWEFEDEFRRYLPLYIDMLKEVDAIEGSSKVDYSDWEILEKLNPEFRSKNAINSVEHPHFLTISRMRRRKFYSKNQVGNHTKMFALISKLITHEPYIKGSDRFDSVVDRLEQNPEIQSMFDSCDLAEAVHVLLDLLLYIWEPQFHPIIRKGNKELLVWIIEQLIKGKEFEDFQPLGPDPEDFPSHLKPYAMSYIMTRLNTSMYAKAEKQLQMHQDCDNILASGKLDDYICQCLPLELKYRLTNLMSELEVEGFFDVDNGQVNWEKKRFTELVDRFKDEVFNGCSLPRHYVIRGIIDYRTILLQKDPWGAFEKVVGEAHGRLSAYLPQFWRDTRHYKHYFYDIAAEPLEKKYDWKMEMSTSQLRMNKRSLSYIYDTCVSEGHWYGEQLAIALQPRLRRRMSLLELAAVGRVGPVPVRRLPPASNDRGTRKPRVDAWTALEKRLSNR >Et_3A_025664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31770471:31772137:-1 gene:Et_3A_025664 transcript:Et_3A_025664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLRRPHTWSWDPQPRRARRLLPPARFQSRDYLWREREGNATQRLGGSGKGQAKRRAQPRENPIPTPSPSPPPSLLVPFRTISTWLQAALLACFVPLLPVRRRRNQEEARRMSDRELRPLRSIRITGDGRCLFRSVAYGACLRRGKQSPSESLQKELADELRAKVADEFVKRRGDTEWFLEGDFERYVSQMRKPHVWGGEPELLMCSHVLRMPITVYMYTDSSDSPRIIAEYGQEYGKDNPVRVLYDGYGHYDALQSSLVRTQSRL >Et_8A_057589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4799023:4802601:-1 gene:Et_8A_057589 transcript:Et_8A_057589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRLAVSHRPALPVPAPHNHLRRRSLHLHPSPNSLSLSVPISPRLGPTSRRHLPPILASASAASPSATPSPKPAAAAGGGGAKPLPLLISLAAGLAVRFLVPRPAEVTPQAWQLLSIFLTTIAGLVLGPLPVGAWAFLGLTATVATRTLPFTAAFGAFTNEVIWLIVISFFFARGFVKTGLGDRVATYFVKWLGRSTLGLSYGLAISEAFIAPAMPSTTARAGGVFLPILKSLSLSSGSKPNDPSAKKLGSYLIQSQLQASGNSSALFLTAAAQNLLCLKLAEEIGVKIANPWLTWLKVASLPAIVGLLVTPYLLYKIFPPEIKDTPEAPALAAQKLKNMGPVTRNEWVMIATMLLAVSLWIFGEAIGVSSVVAAMIGLSILLLLGVLNWEDCLNEKSAWDTLAWFAILVGLAGQLTNLGIVSWMSNCVAKVLQSFSLSWPAAFGVLQASYFFIHYLFASQTAHVGALYSAFLAMHLAAGVPAVLSALALTYNANLFGALTHYSSGQSAVYYGAGYVDLPDVFKLGFTTAAINAVIWGVVGSFWWKFLGLY >Et_5A_042848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5552472:5557864:-1 gene:Et_5A_042848 transcript:Et_5A_042848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGEIASALLAVVVAELLLSTAVGAEDGGGLPRRSLHQPFFPIDSPPPPASDEGSLVPPPPPPAAVAAAKGGGRSGSSLTNAIAIALAAGLVALAVAGYSCFLLLRRRPDVAGDGSRGRKSARAVDARVASDLGSSARHHRSSPPPSSTASDAIYLDPLTATMVEHRPRPESPDLRPLALVKQPSPDLRPLPPLKRQAQQPPPPPPASTPPMTGTADSSDEEYQDTFYTARKTAASSFSRSTSQRSTLEQTAPQPPAPAPAPTRPPPPPPPQENSLRPPRPPPPPPLPRQRLLRPMPEESPPPPALANLGLTNSSEPSVQDRGGENPDGHSVGARPQMPPSLKPLHWDKLRAISGRNTVWDQVNNSDSFRCAPLSAPPRVFTFQSIPSLMLGHEPVDEAAMESLFTNKAGGGTGNSGQAARRGGAGKQESRLLDPKRLQNVAIMLKALNVTSGDVIGALVHGNGDMGPEFYEALAKMAPTKEEELKLKDYSGDLSKIDPAERFLKHVLDVPFAFKRVDAMLYRSNFDTELTYLRKSFGTLEAACADLRSSKLFLKLLDAVLKTGNHMNDGTNRGEARAFKLDTLLKLADIKSTDGKTTVLHFVVQELIRSEGLGSSQTVGNFGGASKEQFKKDGLKVLAGLSSELSNVKRAATLEMDTLIGNVSRLETDLEKVKQVLELKETCPDQDSSDNFFDAMDAFLRRAQAEIESVKVAGESALRHVKDTAEYFHGDATKEEPHHLRIFMVVSDFLATLDRVCRDVGRTPERVMMGSGKSFRVTASTSLPPRPYEHRRELSSSDEDSSSS >Et_4A_033011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1765577:1767579:1 gene:Et_4A_033011 transcript:Et_4A_033011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAARLAVPAAAALLVFFAASASAANFTCAAPGTTCRSAIGYAVPNATTYGELVARFKTTTLRDLLGANGLPDDTAATAPVPAKTTVAIPFRCRCFGNGVGQSDHAPVYVVQPQDGLDAIARNVFDAFVTYQEIATSNNITNVNLIDVGQKLWIPLPCSCDQSDGADVMHFAYSVAGGDSTSAIAAKYGVSESTLLSLNGITDPKTLQKGQILDVPLPADHDLRIPNGSYAFTAQDCIQCSCSANTYQLDCIPTQGKGCPEVPTCNGLKLGLTNGTGCGSEMCAYSGYSNSTSLSIHTTLVANQTTACQSKGRSCEIGVCRIDVENVCHLFPHDADTDLLPLILELRTL >Et_4B_036611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:60103:64959:1 gene:Et_4B_036611 transcript:Et_4B_036611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFEEQVVADLVEDPNGGLVVLSSGLPLASIAAALLLHLKQLEEGGCILLLSAPDPLKARIRRRLLGLVDLHDVAPDLPAQQRASLYSSGATLFLSPRALAADLLTSRLHPSRVRALVLLSAHRSSDTSSDAFIARLLRSRHLLPVYAFSDRPHAMVAGFAKAERAMKSLYVRRLHLWPRFHVLAAADLERAPPDVVDVRVPMTPPMRGIQAAVLAAMDACLKELRRTNKVDVDDLTVDKGLFKSFDEIVRRQLDPIWHTLGKKTKQLVADLRTLRKLLDYLVRYDAVTYLKYLDTLRVSEGVRSVWILADSSHKIFELAKRRVYQVARADSTKISSDIKGTPTKKRKMIHNCTNNKGKETVYDDSTTEKAGIQNANADPGIVLEEVLEEAPKWRLLRELLQEIAEEQVKGDGENAKYEDRNDVSGTVLVTCKDERSCLHLQECIAKGPHKVMREEWEKYLLGKADLHGLRKNNKNKSQHPKGFGVLDGEVQMGPSDISGPISISKLETNALLAAASEIRTSTKEADIKNDSNVSCSKRGSKGKRKLKKTTANRKASNRNKNRCGEIDNDQGTDLEAQDQSGKTDEHADTDAFKVSADDAFSSASIAVDGCNYSSAFGELANGKLPPVQFYALDSEQHVLDMWKPSVIIVYHPDITFVREIEVYKAENPSRKLKVYFLFYEDSTEVQKFESSICRENEAFESLIRQKSLMMIPVDQDGRCIGPTLANDQEPLLSQNSVTRKAGGRKAPDKEMQVIVDMREFMSSLPNVLHQKGIQIVPVTLEVGDYVLSPLICVERKSIADLFQSFASGRLYNQVETMVRYYKIPVLLIEFSQDKSFSFQSASEIGDDVSPTNIISKLSLLVLHFPRLRIVWSRSLHATADIFISLKTNQDEPDENKAMRVGVPSEDGTVENDVRAENYNTSAIEFLRRLPGVTDSNYRAIMDGCSSLAQLALLPVERLAELMGSQKGACTLKEFLDAKCPTML >Et_2A_018497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3044643:3047674:-1 gene:Et_2A_018497 transcript:Et_2A_018497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALGIMAADGVVLVGEKKVTSKLLQTSRSAEKMYKIDSHLACAVAGIMSDANILINTARLHAQRYALSYQEPIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKHHGFQLYMSDPSGNYGGWKAAAVGANSQAAQSMLKQDYRDGMTREEAVALALKVLSKTMDSTSLTAEKLELAEVFLQPGTGEVQYQVCSPEALGKLLTKAGLTQPSTSEAFLPYSRV >Et_1A_006389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21859086:21860108:1 gene:Et_1A_006389 transcript:Et_1A_006389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEELYWRASMVPQVRRTPYHRVPKVAFLFLTGGDLPMRPLWEKFFAGHEGLYSIYVHTSPSYAGSPPKNSVFYGRMIPSQITRWGDITLVDAARRLLGNALLDQNNERFALLSDSSIPVYNFSTVHGYLTGSNTSFVDSFANRDSVVRYNPFFGDRSNITLEQWRKGVDWFEMDRALAVRVVSDDDYLPAFREFCGRRRNCLMDEHYLPTLLSVVGWRRNANRTLTYEDWRRGGSHPRTHRGRDVTEALVREIREGNGGNCTYNGRPGGTWPVCYLFARKFSPDALEPLLALAPKLMGYGLKIDLSAN >Et_3A_024010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15993616:15995292:1 gene:Et_3A_024010 transcript:Et_3A_024010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRAFIDGRRLLRADLLPLRLDGFFCLADPGDLDALQDDEGHDTHNPWVCDHCNGLVLLFSGVVVNPATGQSVRLPPFPAETPRGMGRCYEHEYLAYDPVASPHYEVVLIPELADNKESEWPPSPFRTHVFSSRKWRWEERSFHREGDQASGTIADTKSSGCKRTRNQAVYLRGALYVLYPNNSVLRITLWNDKYQIIKLPDQVSEQSYAYLGKSQKGVYCASLSNGWTRFQAWLLDESRGKMEWVLKSDINLEGMVETSPSYDHADRYNTPWILNYEKDVSEALTEDDSEWDFESGIVLDETKDKVTTRYKEIFFLGFHPYKEIAFFLVSFWRVVSYHLNSSKIQELGILSKSILESFPYTPCWVELFENN >Et_1A_006677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25994082:25997838:-1 gene:Et_1A_006677 transcript:Et_1A_006677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSKAAGALPPKDAATLPADNPADPEAANGGADGAADGDDKDAAKRAVPAFREFGLAELRAATKGFSADLIVSESGEKAPNVVYRGRLDGGRLIAVKRFSRLSWPDPQQFLAEATGVGKVRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRLRVAYYIAQALDHCNAENRKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIAESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNILLLMDSSLEGQYANEDASKLVDLASKCLQFEARDRPNIKYLLSSVGPLQKQKEVASHVLMGITKATSVLPTILSPLGKACAGMDLTAVHDILLKTGYKDDEGAENELSFQEWTQQVQEMLNTKKFGDIAFRDKDFKTAIDYYSKLVSMMSAPSATVYARRSFSYLMNEQAELALRDAMQAQVCMPEWPTAFYLQALALSKLGMETDAQDMLNDGATFEAKKQNSWRG >Et_2B_021625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3784172:3786269:1 gene:Et_2B_021625 transcript:Et_2B_021625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IASHVFLGASIQFSAIVFGRKQLSDKNILCIHRISVTFVNKDGSEQTISVPVGMNMLEAAHENDIELEGACEGSLACSTCHVIVMDVNYYNKLEEPTDEENDMLDLAFGLTETSRLGCQVIAKPELDGIRLALPAATRNFAVDGYVPKPH >Et_1A_007805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38470544:38481422:1 gene:Et_1A_007805 transcript:Et_1A_007805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAYYAARAAVLLLALGCVLATTSAQLEWLRAHATFYGGADASGTMGGACGYGNLFAINGHDYFNLVLVTNVAGPGSIKAMDVKGSQQQGNWMPMARNWGANWHSLTYLNGQGLSFRVTVTDGQTLVFASLVPPTWRFGQSFASNLHFGCHVARGWAMAAVAADVATNGSSAPAPAAGWLRAHATFYGGADASGTMGGACGYGNLYSAGHGTRTAALSTALFNDGASCGQVPCVRRGGVRFTIHGHDYFNLVLVTNVGAAGSIKSMDVKGADSSNWMPMARNWGAQWHSLANLTGQKLSFRVTITDGQTLVFTNVVPQGWKFGQTFATNLQFKTGMAPSRVFVLLLLAICWELAAAADDVATNAPASSPAPVGWLKAHATFYGGADASGTMGGACGYGNLYSAGYGTRTAALSTALFNDGASCGQVPCVRKGGVRFTINGHDYFNLVLVANVAGGGSIKSMGVKSANSPDWLPMVRNWGAQWHSLAYLTGQMLSFKVTTTDDQTLEFTNMVPQGWKFGQTFASKLQFKTQHKQSVSSQLKLFCLKFQGTKAKDVDMAPARVFAALLLLASIGWELAAAADEVATNAPAPVGWLKAHATFYGGADASGTMGGACGYGNLFSQGYGTRTAALSTALFNDGASCGQVPCVRKGGVRFTINGHDYFNLVLVANVAGGGSIKSMGVKSANSSDWLPMVRNWGAQWHSLAYLTGQMLSFKVTTTDDQTLEFTNVVPQGWKFGQTFASKLQFK >Et_1A_004953.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:18789332:18789442:1 gene:Et_1A_004953 transcript:Et_1A_004953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGSRYPQVPWTSVTNCDSSVGARMDAPKSETLAV >Et_1A_008968.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22080513:22081571:1 gene:Et_1A_008968 transcript:Et_1A_008968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDKLTYFSQFFWLCVLFFTFYILFLNNNNGILGISRILKLRNQLISNRGSKIQSKDPKNLEDISRKGFSTGLSYMYSSLSEVSQWCKTVDYLGKSSPITLISDLGGISGSRGMERQIPYLISNSSYNTFSSLITCWINIMLTHGPHGLLLSITNERNMEPDPSNQGPYSPRPLGPLSIPRRGFANGAAGGADDGNAPQPPESVAECDATSAAAEVEPTSSTRSDATAPLFYEEAPLHHPNQEMEVEVEGVPRDTTGNPASVHTAIIEAPIDVGEDAVYPLLKENNRKAEEGPSALSKEIKRTEETGPSAVKESSPPSKKEEDSLAQSNQEPDISEPDISDGGGFDFPDF >Et_1B_010292.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:35250724:35252772:-1 gene:Et_1B_010292 transcript:Et_1B_010292.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IIASLFLAQDLHNPRFITVLGCYGGGAACEKDEKLLVATSEHKVYAYTPNTGHVKTVFSAEDTAIGLHKEAAAGLRLGVYEDTLVRIEGERCRREREVSSAVREILLRLPLKSIAQSMLVCREWCTLIESDGFVATHMSMKRSESILLMATDGRARRAFFDFAPLENWIDQASSHALAGSLINGKIICSKPCNGLNLISTSSDDYLCNPYTGAVQCLGIRGRSFFNPRFSNRQQLGGHAFTVGRNIGFGFDHSTGEHVAVEIGQLHGALACMVKTSESDAWTCVGTPPMSVTDMPPAHVDGILYWMGEQMQTCINIVVFDITKRAFSVLPCEQPVLLLNKYHGAFLVELNGKLSLVLADHEAEEMKIWTMHERGSWVSGHTLCLRGQPDFSPSITVVMPLEINSKDGRILLNTGRALGYYDTKTGVLDNIYSLDNMQLPASNLAFPMLCQGSLVRVQDDFDLRVAPPPVTSGHISRRRSCEHLEHAGVVDDGAEIARPIFQGRQKPGCLSSYVDYYSNCCKRVMCRKCIPRCLEHYQGLHVSLGNFSCCEEVMNEIQRHGIPLVHPFVPDPDYYSYYYSSKSGDVVRHVFVAIRDVAGGKQPRHLTECAYRMDEHGAVRETWVRRCLKIDFWLGSWTVTPSNSHQYCNFSRVNCLLVEDYDLDPSLKSRTKPIRTLLCRRML >Et_3A_024777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24101628:24102707:1 gene:Et_3A_024777 transcript:Et_3A_024777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPDSLVWELVRKNNSFLIKQFGNGNAKVQFSKEPNNLYNVHSYKYSGIANKKTVTLQPASGKEMAVVLATTKTKKQNKPASLYHKSVMRKEFCKMAKAVKNQVSDNYYRPDLTKPALARLSAVYRSLRVAKSGVKKKNRQA >Et_4A_032981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16316368:16324345:-1 gene:Et_4A_032981 transcript:Et_4A_032981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLTSQLFQRVAATDRFSHRNKLRSPETRSSLPLSSTSFLSVAGRQDCCGHNVLESNYRPMLYTPHRYRSSCFRSFALPVSLREIPLVKSTSLALTRSCDTLLANPATSFVVPAIGIIVFALWGFLPLMRDIRSRFDHGGNWKKSHTYLISTSYLQPLLLWTGATLICRGLDPVVFPSAASQAVKVQKFLVDMRNPNDTRNMGLDFITKALYTGVWIAAVSLFMELLGFNTQKWITAGGFGTVLLTLAGREIFTNFLSSVMINATRPFVVNEWINAKIDGVEVSGIVEHVGWWSPTIIRGDDREAIYIPNHKFTVSILRNNTQRTHWRIKTYLAISHMDAGKIGIIVADMRKVLAKNPHIEQQKLHRRVFFEKIDPKNQALMIYISCFVKTSRFEEYLNVQEAVMLDLLRIVGHHKARLATQIRTVQKSYGNADFDNIPFGEDMYSRVRGRPLLIDTSARVTDDKSKPRTSSSHEEHKVKASGSVETKSMSPESTTLSNSEKQEHKKLVPEDGRIKNNKNGNVPPVAPSSDSITSTSKTGKTNEPENTERQVDGSVSVANPKKESRPAFEDNIVLGVALEGSKRTLPIDEGSNPYISLSETEQDTVEAASSLKDKKGQSSKVSGQEKADQRNVDR >Et_1B_014387.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7403336:7403590:1 gene:Et_1B_014387 transcript:Et_1B_014387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVAAVEAGVVAVASGRSSRLVRSLYWRLRALLRRPRSERARRGRRGFSFHYDALSYALNFDDGCASAAADVVVLVSSDALR >Et_2A_017578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3874114:3875803:1 gene:Et_2A_017578 transcript:Et_2A_017578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSAAPAAPRRRRRSARAILFLHRVPLRLLRGTAPKPARRRLTAKSTREVARPKEPKRAEAAEEPQEKAVEARAPGAAADAAAAVAGKYWSHRHSLFSLYDRGVRMDAEGWYSATPEAIAASQASRAVPGDLVVDAFAGCGGNSIQGCYVIAVEIDPHKVELAAHNARVYGVEDRIEFVVGDFFRLAPFLRADVVFLSPPWGGPSYIQAPVYTLDMLKPKDGHATFQAAQRISPNIIMFLPRTLDIDQLEELSWLSSPPLDFETEENYVQHRFKGVTAYFGKTARSPSTLSNWGSRTVH >Et_2A_016801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28234438:28237653:-1 gene:Et_2A_016801 transcript:Et_2A_016801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASWLRREVFVGLALGQFVSLLITSTGFSSSELARRGVNAPTSQSLLNYILLALVYGGVLIHKKRHLTIKWYYYLILGIIDVEANYIVVKAYQYTSLTSVMLIDCWAIPCVILLTWVFLKTKYGLRKFIGVGVCVAGIVLVVFSDVHASERAKGPNPLKGDLLVVAGATLYAVSNVTEEYFVKKSSRVEVMAMLGVFGAIISGIQIKNFLDSATEAFLSDKNSDQSTGMLILPFIGFALAMFLFYSTVPIILKICGATMLNLSLLTSDMWAVDWMYFVAFAGTAAGLVIYSYKGSKETAEETAQVTGAMDEEAATANHTELVPGVGEVRPVSNKDFMSAATASNY >Et_1A_005987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16458540:16460812:1 gene:Et_1A_005987 transcript:Et_1A_005987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGGGVVDISSDEEDFPAGKKLPVDPFGWASGLFDVDGQDDAARDDFDDLMVMSEWSSPPVLQKTTKPDDLVVMNELSSPAVHQKKVKPGGRHDEENNDGFNDLTDDDDCVVLDGDPDKAVTVGEEEGSAGDSSSDELQIVAEKGQVACRDFPHSRHLCSNFPFNGTSHVKYCNMCHCFVCDSPAPCKFWGDGRSVTDHCHATDKEGQWKELRQAYKCSSLPASGPEKHQTTACSAMLSPRQQNMQCQFAVPQSIPSLASNMGHHSLANQSPLPYDVSQNQQRNPSVRVSLCLARTVSTPRAGRGTGNPHIPQNTHSHAIFKRVGSFPPVHTTTNANRFGSAATPDNSLMHQALPNVCRPDQVAPITNAFTATSQNSAPMRSFSAPIAFQAQQGQPAAYGQVAPNGVNVTGPQLSRCASLTAQRTQCVEEPVIDVSSKSWKDILACVASDLGVPDYNISTAESQHVTTNSGPVHSTASQGLCLQHEPVEAMDNLTSSHVHDVLNNTTGDNVQVDGPLQTAESMQHLNCQSGMVPNKAHVNNFASGPADDLAIEAARQLEISALESNIMFEFGWD >Et_2B_022845.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6656941:6659790:1 gene:Et_2B_022845 transcript:Et_2B_022845.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWSSPKDPALEAALRRNRRWVVNNQIKRILLRFPSRTAPVRFLQSRFKTLDLMGRAANWLRKYPSCFEIFSADAETGGGEQEPHFGFTKRMAALVESEEAAVAASEPAMADSLARVLMLARGRRLQVSKLNALRSPLGLPDDYLLRLLPAHTDLFRLTNPYPHRRNASELELIRWAPSLAVSAVEAAAAATGSAPRFTCSLPASWTMSHAKMEEFNSTPYISPYSEVWDVPGTDAEAEKRAVAVVHELLSLTLWKKMSILKLEHFRREFGLPEDTARMLLRHPCLFYISNRYKIHTVVLREGYEGSELKVKDPVVMAKDMLGELMQEGLHEYNRRKQAANLEKKRRRGEIEVKKEEEELEDEEKARLVSAEKREERRRFYKGNDLSFVEYFSQQNLQPKKPSFSENEGAIPLAILNPRFSSSLYSWHNAQVSQQKWTQQSRQGDSCKLYCALIEERLLQVYNHWHPTDNSNTYRVPFMQQAGDNKQGGDSVKEIASFCACIKETREEYIHVEPLSTI >Et_2B_020293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18700565:18704695:-1 gene:Et_2B_020293 transcript:Et_2B_020293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASPIKLLLFLTSANANSLIARSSLSRLPTRPSSATSCADRRVPAVATTLRPRGAHMAQPLRDRKLIPPLAATSSSAATPAEGMSDPELRLVLELAADEELIELEEILYGTSYFSPLLKSVAKRPKSDSAVILDDIEERDMFISKLESRFLYLAADARSVIRGWRPSYRDVLLGVRKKLGVQCSSKLCTADLEAEIFLHLLNEYSSHNQKGTISFPWGKQKSSKENSSLGANKWKAVTDAARKIGAKGLESALLKGGSALTVKTIYESLAKRLSGKMLMEAANYEIKKEIVKQGGRLAAVNLESRAGLLAARQGLARAASRYVGLRSIMTFLGPIMWGTLLADIVIQMLGTDYARIVQAIYAFTQTEGVLVKRDGYNSFHEAYLEGSYAVERLSGIH >Et_8A_058500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9711672:9712584:-1 gene:Et_8A_058500 transcript:Et_8A_058500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWYSTLADGRHDCATVVSKIVAGGMDQFLMGPLINDIRLEGAQLQEQAVKKVERSQDKIVQHFLRREHGCRKVHDGALGSL >Et_1B_011276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19945676:19959518:1 gene:Et_1B_011276 transcript:Et_1B_011276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAPSSTALRALLPLLASPPRFLHLPPRPSARHLGRSGSGGGLLLRPPPCRRQEPGGARTRRSPTCCCSLTSGGAADVLVGGGSLVAAAALLAALQVLWLRWRGDSSEVHLEDDKTIVNNAQGTTEAVYESNCWPQTTPYNGFSELSVSGRVSVDEIDSKACNFLRVNTVHKETQNYPVVTPFTSLDILVHIPGPEEVRCPSPMAKTSYIEKVTGVPARPVSVSEGQDESKCFSTRSGQVVGLPYQFLSISGQKENGQGHIDKPLDSEGAHLFGSHQVNQMEHLDVASLSSFKRIAENHRNFVPHASSSSLFQPRKAIEFRKSYTGFSYLPAGLFSPVACLREGPLSEQKKAVKGHENATVNGWNISKILAKDNLDNFAPPKRGGLKGMNDTLDYLRIYNSFLMDGRLKDCVDLLESMEQKGLLDMKKIHHASFFDMCKRKRAVSEALHFCRLIDSPKMSTFNMLLSVCAHSQDFDGALQVMVLLKDAGLKPDCKLYTTLISTCAKCGKVDAMFEVFHEMVSAGIEPNLNTYSALIDGCARAGQVAKAFGAYGIMRSKKVKPDRVVFNALISACGESGAVDRAFDVLSEMTSESSESKGTGQILPDHVTVGALMKTCIQAGQADRAREVYKMLQEYDIKGTPEAYTIALRSCSLTGDLGFALKIYEDMNKIGVKPDEMFLSALVDVAGHARRADAAFEIMKDARAKELQVGTVAYSSLMGACCNAKDWKKALLLYEEIKSIKLIPTVSMMNALITSLCDGDQVSKAVDVLNEMNRFGLRPNEITYSVLFVACERNCEAQHGLDLLEQLKPNGIGLNPTIVGCLTGLCLQMFDNDLSLGNIIVKFNLGKPQVDNKWTSAAIRVYREAISAGLLPSSDVLSQVLGCLRLPHDSSLKNTFIENMGISCDVPQHPNVNSLFEGFGEYDIRAFSILEEAASLGAVESISMKESRIVIDARKSKMYTAEVSLLTTLRSLKHRLAAGARLPNVTILLPTEKKQVDLDEREKTLKLAGRIGQAVGALLRRLGISYQGEESHGRMKINGLTLRRWFNPKLQRTPPTAAPSDLLPLQSRLAKGIADQQREIRNLSLE >Et_8A_058010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:12320999:12333655:1 gene:Et_8A_058010 transcript:Et_8A_058010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METEGSVVSDFEHLRRLGALPGSRPAPARAASKQPLNRNLWGLAVQFVNLPFEPLKALNNRTDVVDTESLYCRMIVRNWFRFSWRWRVPVQKLLLEGRDSTLLCLVSDIFDCEVRGPVFHLSLSPLLE >Et_1A_008833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15174499:15175465:1 gene:Et_1A_008833 transcript:Et_1A_008833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAEGDATAGRRRGRRQRGWAAVLCFGSHGARSEEASTPWARRKRTVPVDGEQQVARPDDRVAWRGADDEEDGEEAGKGSRARGCCFLPPARVPAGRKDGNMEFDGSGGSETNHKHERKRKQARPHDDQKIQNQPSKAPDARQVQTRVAPATAGAADARAPTRLPTAPRDAAT >Et_1B_013731.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:14667081:14667308:-1 gene:Et_1B_013731 transcript:Et_1B_013731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEGLRNVAGEVVPLQPDMDQLCAVFKAWWQFATKVVVIYRDVLQRESVTEMLRQLAGEAVVCEAHISQGLHLA >Et_9A_062351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22093772:22096368:1 gene:Et_9A_062351 transcript:Et_9A_062351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISMRLAFPAPPTAAPAAPTRLRVRAASLPVARVAALRTETDALRGCASLPLKPQPLGVGAGASQQCQRRGSAAVCHSSAYLSAQTMQWISAGASAVLLLAKGTAIHKSFLVPFFALQAPSSIISWIKSDYGQWTAFLALLVRLFFFIPGELELPLSTMLLVSVAPYQLMNLRGTQGGAILSLAIAGYLAFQHFTRVGGLGKAFEQGSIIATLAIICITVIPLFMLL >Et_6A_046923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22309674:22319262:-1 gene:Et_6A_046923 transcript:Et_6A_046923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLIGFLTWHAFHQGKLYAYDYFNGLCAIDIRVSQSTGDPWISQIRQIISNLHGQLYYLQGVVRRKIYLVESCGALLIVRQTIHNIQKRTVNIAAEQNEFDVFKADFGLTTWIMLATIGDSQVFASEHEMPGDCIIFMRNDDDEDHDRYDEESSSSCSVYNMTDGNVSTLLPTVTWKARLAEANLPPPFPLLMIPNGTVYSLPRSKPFRMSSCSGYKGVCGKWLVFSSEDGDFLRDPLSNITVKLPSTSRVRARQVNDNDLMDGMSLPKMLIIKLIFGSSSLIVAFVAFGQSLRIAVCKPGAASWWSVYMGNMFEDMAFHQGKLYALGIRDKGLFSIDMKVDRDTGDPWVSQIQHVINGTPTCPLRYGDNPMSVIRMKEYLVESCGKLLMVRKSVMSLESIATATEHGNAKVEAFEAILEQSQWNKLTSIGEDQVLFLRESYCRSVCISRYNMLGDQIVFFENNGEASFKFANQRQSCCTVGSTDGGDKAVSAQPMAETTRSPLLLSWSDIPLELASLVLRRLPAHVDRVCFAAVCPQWRSAARQGPLPPPLPLLALQDGTVCSLPRSAPFRFPGCAGYLDASGNWLLFSGEDGYYLRDPFSNATLTLPAMSRARLKYADDEASRPAGINAFGEAPAMCKVMFCSPHLIAAFICLQHNPRIAVCKPGVASWWSLYMEHRFPWFSGIALHQEKLCL >Et_4B_037503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20655231:20660809:-1 gene:Et_4B_037503 transcript:Et_4B_037503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LFSLLQAGIPTRLNQWWSSIPFITSGVVLICGAIYLLCLLVGYDSYAEICFLPSAIASRFQVYRFYTAVLFHGSLLHVLFNMLALVPLGTELERIMGSVRLLFLMFLLATTNAILHLTIAFLVAYNPLYPVPYLVHECAIGFSGVIFSMIVIETSLSGVQSRRYAWILLILFQFLASNVSLLGHLCDTHGLFNYLLPGPSFYSSIEGSSMLSFCVRRPGFILCTGGTTYGQLPTYSNTALINGNFLRNISSWMPSRQTSTTQEQEDTRFPGRARTLGSAGGEPTAREANANLHARHTAANPVRVDATVTPDQADTFDEELKKLVGMGFEKTQAEVALAAADGNPNVAIEILMSQQVWLMCAC >Et_7B_054721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3786089:3794161:-1 gene:Et_7B_054721 transcript:Et_7B_054721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPFRADVLKGKAALVTGGGSGIGFEIATQLARHGAQVAIMGRRREVIDKAVAVLRSQGLRAAGFDGDVRKQEDAARVLAATVEHFGKLDILVNGAAGNFLASPEDLTPKGFRTVLEIDTVGTYLMCHEALKYLKKGGPGRGPSTGGLIINISATQQYTAAWYQIHMSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIKDTPGMRKLAPEEMNEKLEELMPLFKYGEKWDIAMAAIYLASSAGKYVNGATMIVDGGLWLSHPRYVPKEEVKALSKVVEKKHELDGRRVVVCVDDAHAGVLAPELVDLAPQQGVVHPRQRVEALDAAAPWPVVRLRPVVRVAEATMVVDGSFWLCRPGRASKEEVKALSKLSPFRPDVLKGKAALVTGGGSGICFEIAAQLARHGAQVAIMGRRREVLDKAVAVLRSHGLRAVGFDGDVRKQEDAARVLAATVEHFGKLDILVNGAAGNFLASPEDLTPKGFRTVLEIDTLGTYTMCHEALKYLKKGGPGKGPSTGGLIINISATLHYTASWYQIHVSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIQDTPGLRKLAPEEMGKGRREMMPLFKFGEKWDIAMAALYLASDAGKYVNGTTMVVDGGLWLSHPRHVPKEEVKALSRIVEKKVRASGVGVPSSKL >Et_2B_021916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6898779:6902438:1 gene:Et_2B_021916 transcript:Et_2B_021916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KAITEGYKIPIFVISLSFPLLGFPHKLQKENKSTCRNSSIVFRPGSLCQPTLGSGVRRVRSLPSSSLLCFCVLPERKHLPFVSFASDRAPGPVVCASLRHLGYASRSLRVLGREKAALPLHAFLGLTLVSCLLSAPHTQHGSPGTPLSPLSPHFRALLGVASPSAMEAPPSSSPPMLLLLLLLVSSPSTSSAALSPKGVNYEVQALMAIKDLLKDPRGVFKAWDKDSVDPCSWAMVTCSPDFLVTGLEAPSKNLSGTLSPSIGNLTNIQTVLLQNNDITGPIPVEIGKLAKLKTLDLSSNHLYGEIPTSVGHLQSLQYLRLNNNTLSGPFPSASANLSQLVFLDLSYNNLSGPIPGSLARTFNIVGNPLICGTKTEKDCYGTAPMPMSYNLNSSQGAVPPAKSKSHKFAVAFGSAIGCMTFLFLASAFVLWWRNRRNRQILFHVDDQHMENVSLGNVKRFQFRELQSATDNFSSKNILGKGGFGNVYRGQLLDGTLVAVKRLKDGNAAGGEAQFQTEVEMISLALHRNLLRVYGFCMTATERLLVYPYMSNGSVASRLKGKPPLDWVTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDEYCEAIVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKAANQKGAMLDWVKKMHQEKKLDVLVDKGLKGGYDRIELEEMVQVALLCTQYLPVHRPKMSEVVRMLEGDGLAERWEASQRADSHKFKVPDFTFSRCYSDLTDDSSLLVQAVELSGPR >Et_2A_017362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33580317:33588872:1 gene:Et_2A_017362 transcript:Et_2A_017362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQTIVLCTAPPSVLQIASLATPAMAVFTRSTAFLVLAVVTAVLSCASAQQLSPNFYSKSCPRLATIVRSGMAVAVRREKRMGASILRMFFHDCFVNGCDGSILLDDTPTFTGEKNAGPNANSVRGYEVIDAIKAQVEASCKATVSCSDILALAARDGVNLLGGPTWTVPLGRKDSRTASQNAANTNLPGPGSSLATLIRMFGNKGLSARDMTALSGAHTIGRSQCQFFRGRIYTERNINATFARLRQRTCPRSGGDANLAPFDVQTADGFDNAYYKNLVARQGLLHSDQELFNGGSQDALVRQYSANAAQFNADFATAMIKMGNLRPAAGTPTELSPKFYAKSCPNVATIVRSVMAQAVAKEPRMGASIIRLFFHDCFVNGCDASILLDDTPTFTGEKNAGANANSVRGYEVIDAIKTQVEAACKATVSCADIIALASRDAVNLLGGPSWNVPLGRKDSRTASQSAANANLPGPGSSVASLTSAFAAKGLSARDMTALSGAHTVGRARCVFFRGRIYGEPNINATFAAARQQTCPQSGGDGNLAPFDDQTPDAFDNAYYRNLVAQRGLLHSDQELFNGGPQDALVRKYSGNGGIFFGDFAKAMVRMGGLAPAAGTPTEVRLNCRK >Et_2A_018023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9091360:9096519:1 gene:Et_2A_018023 transcript:Et_2A_018023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSDDVRTCRSIGVSNRMLRFVGIGQQGSDWHVSVWTLWPDGLGCTGHWRKLVSAPLIDIAGDISYKRKGLPAFVPSVEFLHPKFPGVVYCSQNEHLFSVDVCGRKVVDHVLRSQLDSSLLSWVQSSGSAEDAISCLSLMLDNYKSVLSLSELKIEAIMLVVDSHGGMLSRVAKERLIDFLDSVRVIETSLDSLLDVFPIQQIATHDSLMDEIQFSCGPISGDDLISEMRNIIKHDIFRRLPSIIRNVRASKDNNLDECSQYLKKIYARTSTSTTSDDVVKAVMDEMVSSRMVSKTGFAMLECNMKESLMSFRANNEAWISLETVLDTISLIVSNEGQREPCLSSFWVKEQALKIISSRKAMKSVSQAQARIILDTLKVMLSVDEALKSLEDVKSGGASNTSNEDDKNDVKVALLYQDVSNDLIGEIYDRLKSEIATPLPDLMSKIESDMTLNIMQFKVDLEVLYASSNIDEPTDVTIFDRVMRDGHTNGLGADIGRMFRLFNESQNNLKLTKQALQVVCDLIEKPEITYEKRERLQVSHLQSAKTIAALLKTIHEDAHDDGWVKSMLRRPPAHMPQMRSTCPLFFTAIGFTSVDGTANGRFPAVSPPLPPSRPAGLAPGEWEASDPGCLRMLAPYLTRLFLVTISDGGHLHHASRGDLILIHKGDTSDFLSILTEKGFSLAGCLCCSIFKLPLGVPFHCASTGWRISYGDKKSLTLGPDDHLHLEGFALNVFAKADKQDRAGRADVNTAKTFYAVSIFFEILKQFGELQPDIVCDLIFLNYCERFNMSICLHGLLQQIEQKQKYDIWKAAKIRKTRTEA >Et_1A_008226.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5411696:5413567:1 gene:Et_1A_008226 transcript:Et_1A_008226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFIEAQKPLLSRLMKMAGLRPIEIELEPGTTMHIWAPKHHVGKKGITPLEPAKPVEGTSKKKKGPESRPNVLLIHGFAAEGNVTWQFNFGVLVSRYNLYIPDLMFFGKSSTTSTDRSPDFQASCVASAMARLGVARCDVVGFSYGGMVAFKLAEARPELVRSLCISGSVVAMTDAVNQEAMERLGARSSAELLMPETLKGLKALLSISMYKKMWFPDRFYKDYLKVMFTNRKERMELLQGLITSNMDAKIPTFQQKIMLIWGEEDKVFNIELAKKMKEQLGENCFLYGIRKAGHLLHVERPCAYNRQLQRWLAHINSQKEADQAS >Et_1A_005457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10543105:10546738:-1 gene:Et_1A_005457 transcript:Et_1A_005457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QLKLGRASEHGQHRHAVRREAARRVHAVPGAGARHPDDEDGQGPAPQGLPRHVRQHRVQPPSPRPVPWPGAVAGLPDFRFATIPDGLPPSDAASTQDAAATCVSTMTTCLPHFKALLHGLNGAAGVPPVTCVVADASLTFSVIDAEQLTNGFLDTPVGWGRRMSKHMRLKDFPSFLRTTDRDDPMLNFTMHEVEHSDDATAIIYNTFDALEQPALDALRAAHPPTAYAVGPLNLLAERLVPAGGPLDALGSNLWKEDGACLAWLDGKPPGSVVYVNYGSIAVMSNEQLVEFAWGLANSGHAFLWIVRPDLVNGDASAAAALPPEFLEATRGRGLLASWCPQEEVLRHGAVGLFLKHSGWNSRLESLSAGVPMLSWPFFAEQQTNSLYKCMEWGVAMEVGDDARREVVESRIREAMAGEKGSEMRKRAT >Et_10A_001877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9489617:9492705:-1 gene:Et_10A_001877 transcript:Et_10A_001877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAHQKAAAAAAVPAPAEEAAAEHGPFPIEQLQIRLCPLLLSRRARRQEPPVLGWLRRFLVDVGGPWNRLLTLASGIAALDVKKLKDAGLCTVESVAYSPRKDLLHIKGITSKLVPLGFTSANQLHAQRLEIIQVTTGSRELDQILEGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPVDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRRVHLFLYFCRFALMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAMFAGPQIKPIGGNIMAHASTTRLFLRKGRGEERICKVVSSPCLAEAEARFQVSSEGVTDVKD >Et_10B_003120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16922664:16923530:1 gene:Et_10B_003120 transcript:Et_10B_003120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRYLVLPEIPQQGDTNSSSPDRPQWNTRYRRACLVPAGAGEEEAYSTSFKVLYMQEWSPEPPFAFVFSSATGQWSRLAMDGIPPGTMPPLYAMHKPAPDVRFSFFPDVDRLLVHDTRSMAFSTVDLPPPGPGHAFCDHVIVEAADQGGGIGMFTLHKSVSDSLDSFLEYTTRGVDDGEWRPRRTVALRLPPQHTYNLIGATDRCLLLHGAPTVGLMQSPSWDKSNMSRTSDVDFSFFTVEFGSMQIKRLCRSLQGEGVPYTGFPPSLSLPSV >Et_3A_023405.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:25986249:25986260:1 gene:Et_3A_023405 transcript:Et_3A_023405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGG >Et_6A_046818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2132729:2138161:1 gene:Et_6A_046818 transcript:Et_6A_046818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIALDADLSSSSSSSGATYAGAPPLVFRQEGKIENLVRSVYAEVDEKDVNLDAAFSVHAGIAHTRWATHGVPAPRNSHPQSSGARDEFLVVHNGIITNYEVLKETLTRHGFTFESDTDTEVIPKLAKFVFDKAHDEKGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSGQNSGKKFHDVKALTTNGKPKELFFSSDLCAIVEHTKNYLAIEDDEIVHIKDGSVSVLKFDQDKEKPASVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRGRLKEGGILLGGLKEHLKTIRRSRRVVFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALDYALANGALCVGVTNTVGSTLSRRTHCGVHINAGCEIGVASTKAYTSQIVAMAMMALAIGSDQISTQVRRDAIISGLSSLPKNVSEVLKLDAEMKELASSLNDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLIVMCSKGDASAVCPSGSCRVIEVPEVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKTRHRPVFSDDNHLVG >Et_4A_033822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:27167367:27171293:1 gene:Et_4A_033822 transcript:Et_4A_033822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREKRRQAAALDSDEKRLRRKQEEAALLLRKIRGLVRWVVEEVAAGRSPSVALHRYQNYCSAADTSSPSPCYDAPVGTDVLSLLHKEFHTSRLSVLLRVLLVVQQLLQQNKHCSKRDIYYMYPSFFGEQATVDRAINDICILFKCSRHNLNVVPVVKGLVMGWIRFMEGEKKVYCITNVNAVFSIPVNIEAIKDLVSVAEYILVVEKETVDSDPYGFDILATYKFGSLKLAYDANLLRVPNIRWLGVFTSDLEEYCLPDCCRLHLSPEDRRKAEGILTRCYLNREAPEWRSELEAMLQKGVKFEIEALRIHPPEDQTRKAFIRLNITVL >Et_4A_034152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30320188:30325676:1 gene:Et_4A_034152 transcript:Et_4A_034152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCGYHSPRFSEEIIWLPQWLQPHRPPTVDEHQKDETDGPSPCCENCMFIRGPSQEHQNCQNAMLSAGGYSGFTLHLSGDEETVASTPISSIVQPFSLHLSSESAAELSPVEGNDNPQILNSGTCKIPLRSFCADDEEHVRPNHFGAKDLQNDLPDVCKVASKEFNKPIDANRHSNVSRGKAHVRKLRNADVNDAIELSVAASEAMVIAEMMIDDTQSDKLAEAAVEAALHVKEARKQFVEELEHACGSFENYLDETDWLEELDEDEMVDVFQDVGLSLFNINITCSSQDLCTGDLRIQNSQPSCPPCVTDTHILGNCSSEKQNNRWSSQNEDSNDHVSDSLAISRSANALPNEPSLCFNSVKQGAAVETISCSGHKKTDLQVFVQNRAALHETLAARVTNHSIQKGGGRAAAQMSVGTKVVKGLFQYETSFIPESNSSDECRSTSGAASMEIIASSRASVCCKTEGFHEENQDAESLDPLCSFVPCSVSCDEGHTSQVPVYKQSEGNNEYGINQPPECEQSKGKEKEFKFQDPDGEAGPSSVALVKSQEFNVTSRRRQYSSLRPFSTVAPKSNILEATSTHNADVEVCRTEIFTPITLNKCIQRVQAAKQSIENNVEAQTLQVFSKFQKKLYYSQDSSERQITEQEIPREVCQQAANLNVGKQCLKRKRVQFAEAKLSSRRAKNSRKMLTKSRFSRSDGRIGETVENSEYISDKEAIFQGVEFLLTGFQRQNEKEIESLIHKFGGHVLHKVPIFPPDKRKSMSEFPSWEPPIVLSPKKVSTAKFLYGCAIDAWTLHPNWLFGSVQAGILLPPGKYVIRQRKALKHCSAFEQLLHPKCNALIFDGVGFLIHGKINFCSKFSNIIKHGGGQVFMSLQGLVESLKDGSTSHGIILVANEARAPRHLSHCGLEHDIKTEPANWIIDSLYSGKLIPLKKDRCASFRRIKMPSFQQQHVFVMSQEI >Et_8A_058039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1493805:1497675:1 gene:Et_8A_058039 transcript:Et_8A_058039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDVKVAGEHRSSLLQVISIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPDEQHDLILSDTIQLGQFIHVDRFEAATPVPILRGVRPVPGRHACVGNPEDLVVTSSSNFLGSKKAQPAVNGSKDLSLEKEQSKLEKLNASVKNIGTESKKPQLTKSNSSLSKEALSSLNIKKEIVTSKAKSTTARSTPSSPTSVHSLPGSFDRFSNDMKQRTKTKGSEKSSPSSRLSLLEKAASVLKATTAGRRSAGGNSLNNTVMSIESGPKALRRSWEGNADAKGKGNSDSKPAKVERKSENRSCSTPRRKSVAEEKPVHKDDSKIQTPPRKSSASAPSDDSDRVVNKHSSPIRRTSGVLSNPNITNLVKIASNNKKLTDANTSWTALPPSLAKLGKELLKYRDAAQMAAVEAMQEASAAESLLRCLSSYAEVSSSAEEQNPQPAVEHFLALHGALSRATVVAESLTKATATAAAASTATSPDRSAAGDVFTADEETLAVAAERRRRAASWVGAGLATDLSAFSLYNLKPAPANTASPLAVVLVDESAKPAAAAKASPPAKSRLSPAKGKVRSSSSIAAAAAAVAPPPPPEWERGGGAEERGELARRLGEEARGWFLSFVERFLDADVAAAAPWDRDRAARMLPQLKRVNDWLSEIGKPAEAPPSSESDGEAAVPSANGRGVPEETIERLRKKIYEYLLTNVDSAAAVLGGGGEVAPAANGRKG >Et_2B_018969.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:2079793:2080047:-1 gene:Et_2B_018969 transcript:Et_2B_018969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAIAALPAAFGYKRHHAAATGWAQCAICLGLVRVGEAARRMPACGHLFHAGCIDKWLREHATCPLCRAAVSGAVVPELPAA >Et_9A_062510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23593498:23594816:-1 gene:Et_9A_062510 transcript:Et_9A_062510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPRTARLAALSAAPRAYFSSTTAAASSTTRPAPYGGAPPPAPMSKAAEFVVSKVDDLMNWARRGSIWPMTFGLACCAVEMMHTGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWTNAIPISTRSLAGKL >Et_1B_013513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9129094:9131860:1 gene:Et_1B_013513 transcript:Et_1B_013513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFWLTNGRGIAKKIRYAADCANRQISELGAEAQRECPNCKHYIDNSDVAMQWPGFPAGVKFDPSDLQLLEHLETKIGLGGSEPHMFIDEFIATVENDEGICYSHPENLPGMKKDGSVVHFFHTTSKAYGCGQRKRRRVITCSDHTVSDEHLRWHMTGKPKPVYDNGVKKGWKKIMVLYKTLRGGKPERAPWVMHQYHLGEDKDEKDGELVVSKIFYQSLAKHMENAETEADDEEPDAFAAGIGPKTPKTNTPQPRRANNSPCETEPNASILLDQDEELTIPIAGDDDAGSLVWCAEEREQQAVGEASGAQAVGEPSLLCLEDPASLNDVTLLPMDYPILSQCRNEMLDSNLNAFYGLADLSNVDLGTPPDIQLSDIQFGSQESLGSWLDRI >Et_10B_003722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5263015:5268503:1 gene:Et_10B_003722 transcript:Et_10B_003722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMFKNLFMLRYRDVDHGIRMSCIKSFGIWVVSYPSLFLGNSSNNLRFLGWTLNDNNAGVRKASVLALQSLYEAGNNIPSLGQFTDTYYRRMIQLADDVDISVAVSAIGLIKQLLRRQLMSDDDLGPLYDMLVDVPPMIRRAIGELVYDHLIAQNIKTSETRATGEENGSFEVHIDDLVLSSYIIDNIWDEMKAMKDWTCMISMLLDVNPAIELTDLDGTNLVRMLRASVKKAVGERIVPATDNRKLYYNKTQKEVLKNSKGEITNALLTRYPQLLRKYISDKAKISLLVDMMVLLKLEMYETQEKSFKAAIDLIFDAFFKHGEKDTLRSCIKAITFCCNDCHVDHQDYAKNKLKNLEDELVLKLKTAIKETEADDDEYSLLLSKPIKNAGLFEDMHRILSHPRDMNNELKSFLLLNMYLEIVWCLHAIDDEDPSEASIDALLSKQRSIFEQLYYYLVVLPTCQKEGRSTNVLSCRVCIITAEMRCLFKKSKYSSSRVESLGYVPKTSGNSVNNAMAFANHRPSVSEETEYEDANDEYTDVTNGDAVVIATSKLVLADAVSKDYLGPEIVSHYVSHGESTTDIIAHLIASLRKNGILDMGALFFEALRRAYDRYITRACDEENTLNVKSYSECQDLASRLAGSYAGAAYNKNKSEVLKIIHDGLSFAFADLPKHLSFLEAALLPFVSILPLLDIPDTLADVEKRTGDANMNGDQSAWAPYFAFVKELRDRLKDDGFQEEEGKPVRRWGRPRKVRNAPAKKLLDRHNSCDEESMSTSI >Et_6B_048345.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:14370134:14370307:-1 gene:Et_6B_048345 transcript:Et_6B_048345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAIHWTLWLPFSVCLLSVCLRNHLNEFSYRFEIEFRAISRVLLVVISLFYRVFVK >Et_5A_041308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2105228:2112436:-1 gene:Et_5A_041308 transcript:Et_5A_041308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAPGSAERQARGGAASSSGSAVSARFLALCVLEFRLAFGFRCLCFGVRIGASLFWWWNLFALELRRAMARLSSAAWVDVVSIMCHGWRKGHRCCCCCWIWVRVAGIGWKSWKKRWFILTRTSLVFFRSDPSTLPQRSGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGCDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNRIFCNETTDVYEGATPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKHGIKVEGILRKSADVDEVDKRLQEYEQGRTEFAPDEDAHVVGDCVKRVLRELPSSPVPASCCTALLEAFRLEIKESRINSMRAAVSETFPEPNRRLLQRILRMMHAIASHTAENRMTPSAVAVCMAPLLLRPLLAGECEMEDDFDINGDSSAQLIAAANAATSAQGIVTILLEEYDSIFDDEHLRCSLSLNSQTGDSGSQESTDDETLDVKDNGFHDAENDVDQELDGTECILSGKLSESSACTGGDLYDCKVIHGADSDDEPSVGDNALEAKMNLNDALTNQLTENGSVSAQQSINEKDPSNLVSSHGTPLSMGDILSSLDAGISVDRHSSKPNGTQQHIKRSNFWGRNSARKSQQSELLDSPGEEELAIQRLEVTKNDLQIRITKEARGNAILQASLERRKQALHERRVALEQDVSRLQEQLQAERDLRAALEVGLSMSSSQCSSSRSMDSKTKAELEEIALAEADVARLKQKVAELHLQLNQQRQHQDESFIDANDRSQHLPNHPSQTFVQPGFDMNVAFCNQEKKQRNEEGLTGASHWRSLKQHVLTHGSSMPFSGKHSLNASSGDAREATTSMPTDSGLVSMNIPRVPEGIDYGRQPSVPSSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSAPHGFPYKSSSPWNGPR >Et_9B_063980.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:8892895:8893083:1 gene:Et_9B_063980 transcript:Et_9B_063980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVTFFFWKTRVVFFYSVNWSLSQPGPAIHNIEIIRGRGGQLARAAGAVVKLIAKEGKLAT >Et_5A_041894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:414350:415922:1 gene:Et_5A_041894 transcript:Et_5A_041894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHRLDTSEYVWNKVESIGDRAIFVGDNCVVLSSASRAGIQPGYVYLLYDGCLDGIILYTIRLDDRTMTCTLLPSNSSDHLYWTNKKSKLIFDEDAPLEESPWSRLPVEMVEELVSRISFSDYLNVREVCKGWSSIVKPFQFAERYPIYPMLMSICSSTTGVFTMFDPIVEWEYTVKNSSLEPCTGYQMLLFAKHGWVLVMRGQNHMYAANPFSGERVDLPEIPWPGNQFDGISFSSTPNSPDCTVCCIHKKRTQGRTDSLYVMVWRVGDEHWTNEVIGDETQFRTAYNNPVFYHGEFYCLGTRGQLGVFNPDNMTWRVLDKPEPVIDDDPMPGDRYCHLLEFRDDLIAIFRQHNGRPIDLYRLDKSHMAWTKVEKLDGEVIFVDNWNAIMVPAPRGACCNKIYMPKQGRYVESGAEPKSTFYDIKSRRYYPDYYGLTERMNSIWVEPKFKHK >Et_4B_037040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13895858:13899826:-1 gene:Et_4B_037040 transcript:Et_4B_037040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFGVYGRPGPDMGQQAGSLKYRTSQQNSGRKQKAHEPILLRRRRRPHQCPPRRPPPVHPDPLCCIRTAVRTGVLSRRWHRVWTQIREVAFVDRADRPHFTPATLDAIGAALPRGDDLDRLDIDVAYGGDCDNNNNNNNKPPSRRYAPYPASRLAPLLRFPSRRLAGELRVEVRPDAAALVPFDLIQQELPLPACARATAVRVHVAHYNLKPSFPLGGGAFAALRVLTVACYAFGKLDVGRLVSTPQCCPRLQELTLAGLLGSAGVRDLAIRSGSLERLELHNVLDGKLTVEAPRLVRLLVSDCQYKDDDDGVDIALCHDEYRRFKNVARIVAPRLAGDAQRAVLYMSTKPVDGQDSLAQPQVIFSTSARHLPATDFLSRAVDNRHIACGYQSPTAYQLVRFPARRIVVNLCLLGDKVMKPADLRRCGWRHRCPPVLKSSLAAPVHGNVKRSQRVHINLGAVVVR >Et_1A_007841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38755660:38762898:-1 gene:Et_1A_007841 transcript:Et_1A_007841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGFEDIRRHLYVIALSRDFLQLQLDEAACLYSEFTQRSSDETTKLQILLKETEESKLAVSEELHQCKHELSEVKTAKGELKLIISSLKEEISASNVKCAHLEIELHSSEENTRKIQSELTDSRSLLEALQKENLELSANLALEKEAKKEVEEHLDHLSSDNKKLLSKLSDLELSLASVKGEMDAGSSRCKVLECDLRSNNENMEHMLTELTNCRALLETLQKENSELSVSFASEKETNKKLEEDNVYLRNEKDRLSSDLSELNDKLHLSYAEHEQLESHVKDMETHLEQLTEQLIEESLFRSSSTDIYQSVIKDMDAKYNLVLGQFQNVMHQENELHLDSSEVSTENAERAVTSAGVIDHVSGQCSLHSVSENDSCNSVALKSLKGHLVMAKGDFHDLDKLLERISSRSDGRVLVSKLIKSFESKGNEDDTGTSEGEHDDLQKLTREMIHRLGKTLRAMSLDVTKAEEYVAELCNRIDLSTKSAVQDDSDGQHIVLLEAKISELTGKLSIYKDTIDNLHNQLDIMQQDANSNAERLIDQAELLQKDTAEKIGMLEKDKMSLSDLLSEITYKLSSLRGVVLPDDFSESEDLSFRALNCLGLVATSYQRLQENLEAAHVDNAQLSSSLLKLKKASSAAQERSEQASETVKKLYNSLQELLRHSLDSDEFVVGYNAEEPIENQYGRLIEHLKNLLHDHQSVLSTNADLELRLLSKCEEVEELNLRCSSLTNNLNDICVLNEELKSASLSTNVAQDELHSRCLAIAEKLVSHSVNHSSMMPALMSDSDAEGFSKDHHILTTLLPCIEEGVTFCIEKFENTFKEIRLSKSCLQELIIFDQISVDKWSLPLPTLIKEEIVPKLCDLQHRIEQLNALNIELETEVSVLRDGLKKLDEALRTSRSKLEKKHSELEQLDQKLSSAKEKLSIAVAKGKGLIVQRDNLKQSLLEKSAELEKLTQELHSKDELLKELEAKLKSYTEADRIEALESELSYIRNSATALRDSFLLKDSVLQRIEEVLEDLELPEQFHSRDIVEKIELLSKMAVGASVTQPDGDKIFSIDGHSNAVVAADGINYEQNSNSNNLSDEANNKYDELHRRFYELAEHNNMLEQSLVERNSLIQKWEEVLGQISIPPQFRMLEAEDKISWLGNRILEVEQERDSLQLKIEHLEDSSEMLITDLEESHKRISELSAEVVAIKAEKDFFSKSLEKLRFEFLGLSEKAVQDEFVRDNLRKDLSELHEKLAEKTEESKHYHDVDIEIQRLLNLVHGALQDGSNSDIPSGATSAVLCLGELLRKLLDDYGTLLSKSTVDAVAEREIRLEETKSSNNTSTSETGTDNKMELNTLNNELNHARDSLALVEQQRDEAMEKVQSLMLEIETLHAEINNLQESGVEQTQRYQSLLLEIESAAKQRGDLQEQLNREEQKCASLREKLNVAVRKGKGLVQHRDSLKQTMEEMNVVIEKLKDERKEHIASLEAEKSSSTGRLAENEKILHDTNQHLSGLLNALNEVDVAREFDMDPIIKIKQIEKFCLDLEATVVSSQNEVKKSKRATELLLAELNEAHERADNLQEELIKAEVALSESSKQYNLMESARDDAVRQLEHFMYVQSQTRQKQVDHLMELKSRSSQLREACFELSHCLVSAFSKDVDLICHMESFMKSSSKWMDGGNTVDIPIASKHVLSSSINRKKAHIPNAPLEIKMADTDERQILHYLAVACRALSDCIKDCNDIKRSIDEHGFSVEQKATELFDVMTNLQNRFTSQHNEVESLREKLSGLQSEMKERDEEIVSMHRNMSLLYEACTSSVAEIEGMFDIYPGDRSYGNEHSAEECIKSIVGQLVASVKTSLNSNDGSTKELKAIVLELQQELQAKDVQISTISSELSTQIRGAESYAKQLSIELDDARTKVRDLEKQVDELHAQKKALETQVNDLKDMESVASEQHGRIKELTDELGRKDQEIEGLMQALDEEEKELEVLENKTNQLEQLLQEKEFALKSLEVSRTKAMAKLATTVDKFDELHSLSESLLVEVENLQTQLQERDSEISFLRQEVTRSTNELLNTEESNKKYSSQINVFIKWLETTLLQFGVHCESIDDCDCTQVPVYMDMLDKRIGSLIAESDDLRVIAQNKDSLLQVERTKMEELLRKSEALEASLSQKDSQIGLLRRDRTSSQPSRSIYLPGTSEIEQVNDKVSPAAAVTQIRGARKVNNDQVAIDVEMEKDKPLDEDDDKGETDALHALL >Et_4A_034772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6462325:6463631:1 gene:Et_4A_034772 transcript:Et_4A_034772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPWMPTLAVVALCALLGLAALGGARAQELSPAYYDGSCPNLYDTARRVIQEARTADPRIPASLLRLHFHDCFGCDGSLLLDETPTMASEKGATPNKNSARGFPVVDDIKAALENACPGVVSCADILAIAAEISVELAGGPYWRVMLGRRDGMTANFNGADNLPSPTERLDELKQKFADVGLDDTDFVALQGAHTIGRAQCSFDNSYYANIRCNRGLLRSDQAMLSATEEGAASTAPIVGRFAASQADFFQSFATAMIKMGNIAPLTGGMGEVRRNCRVVNGN >Et_3A_025011.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26042153:26043338:-1 gene:Et_3A_025011 transcript:Et_3A_025011.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAGKRKPVFVKVDQLKPGTSGHTLVAKVLSSTTVLQKGRPGAAAGPAARPTRIAECLIGDETGCILFTARNEQVDLLKPDNTVIIRNAKIDMFKGSMRLAVDKWGRIEVTEPASFNVKEDNNLSLVEYELVNVSEE >Et_1A_006018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16691045:16693761:-1 gene:Et_1A_006018 transcript:Et_1A_006018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFAALGPGSATKLALPKETTQRRKSMRIPQTSDRKEDLFELEDVQLAIGSCKIDTGNASDGERPGQAPAQFLRRSSLGRPLRQARERVATYKEVPLNIKLRREPEFLNTTEHQSITVYPQ >Et_10A_001346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:23623214:23625586:1 gene:Et_10A_001346 transcript:Et_10A_001346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRNRPVTLSKTKKKPGLERKGKVVTEIKDAIDHYTSVYVFTYDNMRNQKLKDLREQLKSSSRIFLAGKKVMQIALGRSAADEAKTGLHKLSKEHDFARTGSTATETVELKEGPNEHEQFTHEMEPFLRKQGLPVRLNKGVVELVADHVVCEEGKPLSPEAAQTLRLLGIKMATFRLYLLCRWSSDDFEVYKEGLAQLRADDSS >Et_5A_040938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15282758:15284126:1 gene:Et_5A_040938 transcript:Et_5A_040938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRSFAAHRAVLASRSPVFAAALFGGMKEEAAPARSWRSRTWTRRPLNPCSVSSTPKRRRNWISTTTGRTPSRPWRSTFSPARRGQLICEGKLSDRVTIGMAATALALEEQHGCVRLEDNGIDFIAGHLDAVLETEGYKHLERQATPREGDNPRPPRGVALLPEHSAPSRHPSPVPERRVLSRPPSAVPERRAPSPPTSPSEITARPAFFPVSDRGAPPPPSPSPVAALRRTRRYNSSSWCQGSAQLTSRTATCSPSATSASAPPKTAPSKAAPGPGKQQQHQADEKQQGIKYLSMERRMGKFMCRFPLPESADMDSVRAEYKDGVLTVTIDQKLLAFL >Et_5A_041759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26786633:26793834:1 gene:Et_5A_041759 transcript:Et_5A_041759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRFVAIHPDRNVVFIAQISERKLIAYNMDRKEVSVIGTLRNLNRKTHIVPYVPYFSKSPAFKNPELVGPARPTPRETKPLSDIDDQISVCHNPTLSPIPCGMMAAAGLPDDPLVEILCRLPVKPLHRSKCVARSWRDLINDPSLRKKLAQTLEGFFVMELEDGRHFGFVNLLARSVPLDIDPSFSFLTSLPLIETLSFLDSCNGLLLFEHHRKSEPHDVLGYIVCNPATKEWGAVPTCDGPPLGPTYRRSSYLVFDPAVSPHFHLVLFRGEPQQTTTPGALAHIVVMPHAYSSETGTWSHIPIDWNVEEEPGHLEGWRYCGRQPQNSLRRAFVNGMLHLLVLHPDSAVAVDAEGKTRRIIPVPNVASWDSGYLAQSQGCLHYIRQSFHGDTLSIWVLRDYGTQEWVLLDTLNLLELFGTTTHSHGKMELSFVTIHPDRNMVFLAQASERRLMSYDMDSKEVSVIGTLGNLNCLTHIVPYVPYFSKLPVFKCMP >Et_4B_040032.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:836587:837030:-1 gene:Et_4B_040032 transcript:Et_4B_040032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPATSGHPRAPPSLSSEETKRPMKQARIETHVDHAAEQSRAKRRIKIKRYPEYEDLPTTTDHHRAAPSPSSEEKRHIKRVARFVARDSDGDLTEKLLLRLLSITRNRRRWGFLAPDHPFHPYYVKYKLYESCRLLRPEPAAAAIR >Et_8A_058121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20763860:20765357:-1 gene:Et_8A_058121 transcript:Et_8A_058121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLTSFAVRRRDPELVGPATPTPRETKRLSDIDDQIGLRWHMPLVFFYRGRGGDDHDDPVRVVRRALGEALVPYYPLAGRLREELEEDGSNKKLVVDCTGEGVVFVEADAAVRLAELEAAGLLRPPFPRMDQLLFADADGCDGAVLGTALLVVQVTRLLCGGFVLALRLNHTLCDAAGIAQFLSAVGELAHGVPGAPAVAPVWRRELLDARAPPRPSFPHPEYDVLAAESPPSPPPEETDMVMRTFTFAPTDIAGIKQRLPRRLEATTTFEAVTAALWRARTAALDLPPGDATRLIVVAGVRGVRELGIPAGYYGNACVFPAAVAAAGELRSRSLADAVELVREAKNAAASAEYVRSSVDALVLRGRPRPPLANLLLVADNRRAGYHRADFGWGRPVYAGPAAASFVSSYLVAVGNGDVSVAVVLPRRAMERFASELELLLVKGHQSRL >Et_2A_014652.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32273201:32273578:1 gene:Et_2A_014652 transcript:Et_2A_014652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSSGARVSEGGGVVVVGESALPTYDPLSAAGRREAARARALGRAVHCIPVVLLLCALVLWLSASTGSPHAHLGKVICLSNFQLRLKPAANFPQMKSFMWLSKRNSCYIPASRSSKMQEENFS >Et_4A_034792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6651065:6656437:1 gene:Et_4A_034792 transcript:Et_4A_034792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVIPSAAGSVAAAAEPAPWLMDERDGFISWLRGEFAAANAIIDLLLVQLRSVGDPGEYDLVAAAVQQRRHHWAPVIHMQQFFPVTDVAFALQQTGWRRRAPPQQALGAGAPSPPPPPPRRPAFSPSHHSHHRHGGHHHRADPTRGGSAFAVAGSEKDGRAVHDKEGKVLKAVENAGDTKSLRLDSPMTDEAEKISKLQGVSEGSSKVVPTSPVEHSTNEIIDGKPVNSVEGLKVYEGLVNEVEKNKILSLINETKASCRRGGLEAGQTVIIGKRPAKGHGKEVVQLGVPVIDGPPDDENQREARVEAIPGILNDLFDRLFQKEIIPYKPDYCVVDFFNEGEYSHPYQSPSWYGRPLCTLCLTDCDMVFGRTIFVGERGDHRGPLKLSLTTGSLLLVQGKSADIAKRAIPGIHKQRILLNFGKSVARKNIPAESASRFTPPLTPPPMPWGPPSRPGSISRHPGSPKHFGYAPASSILPAPVVGSHQIPPSDGMQPLFVAPAPVAPAAIPFPPAVPLPNSTAAWIPEANPRPAPPRFPGPGTGVFLPPGANHHPLPHNMIPASHNHEPNSPQGSAAHLHNKSTGTEMTNGNLSPKSSLSKRSGAAEKPGCNGTSNGGGSFVDGKPAVVKEQQNGGLKNAGNSKVQPNASR >Et_1A_006966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29630964:29635676:-1 gene:Et_1A_006966 transcript:Et_1A_006966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLCNQYAALLSSSAAGGRSGAHVAGAVHCLILRTFPYPPETYLLNQLLTAYGKAGRVTRARRLFDAIPNPNLFTYNALLSSLAHARLFSDMETLFASMPERDVVSYNALIAGFSGGGSHVRAARAYRTLLREDNVRPSRITMSAMVMVASALGDRALGRQVHCQILRLGFGAYAFVGSPLVDMYAKMGLIGDAKRVFCQLEVKNVVMYNTMITGLLRCKMVEEARDLFEAMMERDSITWTTMVTGLTQNGLELEALGVFRRMRAGGVGIDQYTFGSTLTACGALSALEQGKQIHAYIIRTWYDDNVFVGSALVDMYSKCRTIRSAETVFRRMTCRNIISWTAMIVGYGQNGFSEEAVRVFSEMQRDGIKPDDFTLGSVISSCANLASLEEGAQFHCLALVSGLVPYITVSNALVTLYGKCGSIEDAHRLFDEMSSHDQVSWTALVSGYAQFGKAKETIDLFEKMLAKGVKPDGVTFIGVLSACSRAGFVEKGRSYFKTMQKDHGIVPIDDHYTCMIDLYSRSGRLKEAEEFIKQMPMPPDGIGWGTLLSACRLRGNMEIGKWAAENLLEIDPQNPASYVLLCSMHAAKGQWSEVAQLRRGMRERQVKKEPGCSWIKYKNKVHIFSADDQSHPLSKGIYEKLEWLNSKMVEEGYKPDVSSVLHDVADSDKVHMVSHHSEKLAIAFGLMFVPHEMPIRVVKNLRVCVDCHNATKYISKITGHDILVRDAVRFHKFSNGVCSCGDFWSIWLLRNDHVFNKQVWRDLKSILWIALKLARTWMPIYKDSIIDDGEVVYFSRTADASSTDDPTPSISRRSALDAPVGYGESGGGSDHTLLAVI >Et_3B_030263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31864934:31868801:-1 gene:Et_3B_030263 transcript:Et_3B_030263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRGACGRHQPPSLVALVLLLVSPAACLAGGPIKTVVVVVMENRSFDHMLGWMKRLNPEIDGVTGAEWNPTNTTDPAAPRVYFGEGAQYVDPDPGHSYQEIRQQIFGSDDASGLPKMDGFVQQARSLGGNMTDAVMHGFAPDSVAVYRELVSQFAVCDRWFASVPSSTQPNRLFVHSGTSGGATSNNPQYVPPFPSPPLHSLTLFTELLAKGYPQRTIFDNIHDAGLSFGVYFQDVPAVLFYRNLRKLKYLTKFHPFHPTFRDHARKGSLPNYVVIEQHYFDSKLDPANDDHPSHDVYQGQMFVKEIYETLRASPQWNQTLMVLTYDEHGGFFDHVPTPVQDVPSPDGIVGPPPYNFTFDRLGVRVPAILISPWIEKGTVVHGPNGPTPTSQYEHSSIPATVKKLFDLPQDFLTKRDAWAGTFESVVQTRTEPRTDCPEQLPMPTGIRQTEANEEAKLSEFQQEIIQLASVLNGDHLLTSLQDRIRDKMNVREGISYMKSSVKRFFEAGMSAKRMGVDDEQIVKMRPSLTTRIERP >Et_10A_000230.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18818781:18818933:-1 gene:Et_10A_000230 transcript:Et_10A_000230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLETDSKALVDLRSEIATILADIQELCVNFSSFSIEFVKRDAN >Et_6B_049654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:848574:852930:1 gene:Et_6B_049654 transcript:Et_6B_049654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHYQQHSSPLLQPKRRCSGLAAAVPALVVCSILLPLVFLLGLHRPGYGSEESAAVVISTELGFGARKHLDGGTMKHKLLKDVSKKKLHSSNGILGQKSSKIKSKNLAVKSKANLKVSFSLTDLKNDTFGNNGPHVPKRYQLKDLSLGSKDATVKGKENHDQETVHEENPKSCELEYGSYCLWCVEHREVIKDDIVKRLKDQLFMARAHYPSIAKLKNQERFTRELKQNIQEHERMLSDTIADADLPLFFAKKQEKMEHTIERAKSCDVGCSSVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTMPKTHHCLHMRLTVEYFKSTSMHMDQLNKQKLENPTFHHYAIFSRNVLAASTTINSTVMNSKDSGSIVFHLFTDAQNFYAMKHWFNRNSYLEATVHVTNIEDHHKFSKDVDSLEMQQLWSADEFRVTIRNHSEHSQGKMKTEYISVFGHSHFLLPNLLPSLNRVVVLDDDLIVQKDLSSLWNLNMGGKVIGAVQFCGVKLGQLRAYIAEHSFNINSCVWMSGLNVVELGKWRDLRITSLYDQLLQKLQKDSLTSQQLRSLPAILVAFEDLIYPLEDSWIQSGLGHDYGISPVEIENAATLHYNGVMKPWLDLGIHDYKSYWRNYMNTRERFMTECNIH >Et_1B_013886.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:22361363:22362727:-1 gene:Et_1B_013886 transcript:Et_1B_013886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPRRSRRSTRSYSSLAAEEPCSSRGWLSARRSRPSKRARPIDDGTPLSDEILVGIFADLPDLADLVRCAGTCRRWRRLVSAEAAFICRGRRRTTRSFLRGLALGFFHTHRRGAAPRFAPTASASRRLGLRHPSSLNAVVDGLDDGLFDSSRLVASRNGLLVVELRHNRHVLKLCVCNPMTGEVTVLPPLTGKDSLGDYACTVLTADDNDATTDQTHSWYRLLIVYRRQTFVACRSYSSDDGGAWGPEAMVIMGSVPTANQMTAMTGTGVAVGNTVYWHTKNQVFVLCLDLDTPMAYFRDMPRSKHDPVGNAMLGVSPDGRRLRALQVMVQYTFPSITVTERRAAISAATWDAADSKWEPDQEVIPVAQWLPAEATHVRLRWVCEKSGVVFFSAGCDDRTSDMYALNLDKKKVEMVASHQHGGRDPSLWCNLHGYEMDLTLYLSSLGLDEDYS >Et_1B_014230.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:3959778:3960152:1 gene:Et_1B_014230 transcript:Et_1B_014230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPVKATAARTPPRPGKAETKPTTLLDVHEVEWITRELERLLAREQSGGGADAGADGGGRRRRKMADKASPAPKKGGFLAELLGRHAASICSGDAVDRVSAAAGVDRRRRPRRRGSFREVEKV >Et_10B_002403.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17891911:17893086:-1 gene:Et_10B_002403 transcript:Et_10B_002403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGLRNNGAFRFPVYHRNHTILNSWIQTANIPEVRVIADDSMLKDEFFMAISLGTPAVLNFVLIDTGSSLSWVQCQHCDIQCYEQVERAGPIFNPFSSSTYRDVSCSAQVCTEITSGFGCYEEKDTCLYRMGYAMGEYSVGYLGKDKLTLDENYTIDDFIFGCGAENVYSGHSAGIFGFSDERYSFFNQVAQHANYKAFSYCFPSKLENEGFLSVGSYVRDESLKTSEFVPNTALHAIALSVSDIKVNGIRLEIEEETYERALTVVDSGTPESLVLSPIFYALDKAATRAMIPKGYARANEAQICFVTTGDQIDWNDFPTVEMTFDRSTLMLQKENLFYVNSVGHACMTFLPNNITTIGYQILGNRALRSFEVVYDVQERIFGFRADAC >Et_6B_049397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4327502:4330810:1 gene:Et_6B_049397 transcript:Et_6B_049397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLTAWIHFRFGSVEQPLLSGSVAVVRSFVSTALGPLARAPAGSPPRGLYLSTHYVRFHAVCKPWRDALPPESRRPVFLPWLSTPPDAAGGHRTALCVFSSNSTTRRRRWVVRADSEPRTAKGDHAIGAVAGDGTVVRCFFRQIHKRRFNVSLLLQRPGGDAPCSSTLVKTNLTTTSRKKGRCSGKVVLCHGLGAWCIANN >Et_4A_035192.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:11195616:11196530:-1 gene:Et_4A_035192 transcript:Et_4A_035192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSWFQKLRRRRKKAKQQQSPCRGQGGGSPAGGTARTSASSASNWPSRQCQKPPVASPEQPAAASPNRASYYVPSADRARQDGALLRRVVSRRDGDDDDDAAALDVRVDVVHRRAGERRRRRLGGIDAPPGTPELKLRRIVARHDAASDVDGVSSATTSAATTPSTRARGFHVRSPRRRRRRQPDHDGGGARTKKDQKALAAAADAVSASPSVRGGARRRRWLYESLVVVKASSEPERELAESMAEMVAANGIRASEDLEELLACYLALNAAEHHRAVVAAFRRVWFHLARHTLHHADRRLA >Et_1A_008339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6697196:6699684:-1 gene:Et_1A_008339 transcript:Et_1A_008339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSEICFGHQILLPRSDTVYEEEEEEDEEDEEEEEFEGEEEMYEGEEVVIGVPTDVRHVSHVTFDRFSGFLGLPADLEPEVPCPVPSASVSVFGVSPTSMQCSYDRRGNSVPTILLTMQRKLYSLGGLQAEGIFRINADNSQELYVRDQLNRGVVPEGVDLHCLAGLIKAWFRELPSGVLDPLTPEQVMHCNTEEECGRLASMLPPVEAALLDWAINLMADVVVNENFNKMNARNIAMVFAPNMTKMADPLTALIHAVQVMNFLKTLILKTVNEREEATAAARALQSDSDSPSDKDEPHTIEHLDVPFICSSQRNAESPVIDGAKLDQFLFRIEEGLHHDMQGGTGGPQNYDSIRGYEKSNGEVSSLDTDLGTQIHSSANEFSNDNEDGLFDKFKFRKGVGRLCRHPVLQLSRSMKKSDETGQACI >Et_3B_027902.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:25692453:25692677:-1 gene:Et_3B_027902 transcript:Et_3B_027902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHPGLPPLPPGCAVVRRRDPQAGAVASPPAAALARPTAPAQQHYHCVCSPTAHRGSFRCRWHRSGYEWGRRRT >Et_4A_033355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21893095:21894359:1 gene:Et_4A_033355 transcript:Et_4A_033355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIKRYCLPYKSMWDAPVPSPYPIPKCECNMTAVVTQSSYPLTAARAYFVLEMPPPGLKYTPFFRCSIALSGNKRGCEFQELVHRPKSHYPEPDSLPDDVLHGEELPCGYVAPLLCQCRVPAREGVVPSELGYGHYCGNTVGENDEWDTRRCDWETFEEKEEFLLKAKKRGPEYFKKALATRRSNMRHKYLTMPPSFIYNTICSELKINRECPFWEGAEVDVVIHHWRRNRDKYPPKSCWTLPMDCSSTLQKNAWNGL >Et_9B_064475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15491980:15508602:1 gene:Et_9B_064475 transcript:Et_9B_064475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQWDKTTIIVTSVVGSLGVLSAIFGFSAEGTKLTLYNIFVFGDACVYPSNPAQALAICAIVFLLVAQISISAVGGCCKAPGQIPSETKRIVGIVCAVVSWIAAAIAWWLLVDGAVWNAPNVVRFGTAPFCYYLPDGILAGGAVLCLVATALGIASFILLRQGTTTSRDLPIVSGASTSDATGGASRKIAGTEVPAEVATGQPIFPPAVAPNVQPQQVPLPTVNTVVQPQPQLVYVMPSPALQPQYATVMPQSPQGLGISAAVFILVSQIAISAASGCCGCCTSRAITSQTKYIVGVVVSAIFSWILAVIAFVLFLVGPDQPTGTFAAGGVLALAATALGLTSYIMLRTQPAGTTTVSRDIQPPPVVIMVSQPPQTPRGSEAARGSQSKPGEEQPPAAAGVADPLSSPAAKNARNGYDGDHLVRGGRVSRVVGRHLRIRSRGAKSQVPLLGWGSAPRFSCWGARSPFQPLVAVSAAASPAPSLPRPSGSSASCAASSPGEPPGIMTAAVTIVAAVALVLFLGVGIFAAGTFASGGVLTLISTALCITSFIMLRSEPVVLDATIKVQHRDVVPQQQPAMVFMTTGQPQMPTTDGCCGCCKSRAVPSETKRIVGIICGVGSW >Et_3A_026091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4122601:4126284:-1 gene:Et_3A_026091 transcript:Et_3A_026091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHGHPYAPAGLELPGFVPLKLSQAQIVAPYLGTSLIVVLAVWFLSGRCRRLSKVDRLLMCWWAFTGLTHILIEGTFVFRPDFFKRENPDYFDELWKEYSKGDSRYAARDTATVTVEGITAVLEGPASLLALELPGFVPLQLSQGQIVAPYLGGSLFVFLAVWLLSVKEYSKGDSRYAARDTATVTVEGITAVLAGPASLLAVYAIASRKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWASPFHFWAYFIGANSSWVVIPTLIAIRCWKKICLAIGAEKMKTR >Et_9B_064906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19715330:19717717:-1 gene:Et_9B_064906 transcript:Et_9B_064906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDARHDHGGHHQRHLSGADFQFHEEDLASLFAQRPDAGTPMQQPWFTPLDYDSFAGEFDVPAMEEVKRELLVDTGAAASGGGIPGGGAASSAPLTPNSMSLSSTSSEACGAGGAGGEECKKEEGEDSKEGSAAKADGDMDGKNKKGAGKGKGKGEKRPRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTTQKCPVKKRVERSFQDAAVVITTYEGKHTHPIPATLRGSAHLLAAAHHPHFRIPPPPPPHMVGAAGYAAYGRPGAGAAFDALGFLQAQQQQQQGQHHAMQQQQLMSGGGATTGAQQAVNAAMASSHAAAPDHQHAGQLAAIAGTASATAAASAPLRMQHFTAQDYAGLLQDMLPSFIHNEDGNNHRNS >Et_8B_059222.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15558803:15561208:1 gene:Et_8B_059222 transcript:Et_8B_059222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCKAETEEGKHVFQILTTVSTGPGHGRRRIHQVRFYPDGFAESNKNYISAYPIGQGHQGSSILRPNASRPGHRLFSFRAWLSSPMPADMPTPTTISTCTAETEKGKHVFDVFDYSQHRGIGIGEVIRSGTFSVGGHGWAIRFYPDGFSESSRNYISVYHELLDKDTKVRASCDLMLIDQATGLSTSVSKTELRMFNSDDLTRFAPQTGLFIQRSQFEASPYLQDDHFTIQCIVTVKKRPQVSTKSANNMEVPSSNIAEHFGALLDAEEGADVTFTVEGEKFTGHRIVLAARSPVFKAELFGRMREAKLDHISIEDIQPADICIDFITSSNVMDALAKTSGYKDLKRTCPSALAEAFEKKMSRKI >Et_10A_002327.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:9054661:9055710:-1 gene:Et_10A_002327 transcript:Et_10A_002327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSCTTTTLTEPTRSGRLLKIDGCPPHQCFGSSNINKYTASRWEVDGYEWEIRFYPMDVGVDGTYDMRLELVFLSEARANKVTAYLSCRLVDPTSSGLQPSDEEISSPPKSFQHRSDSSGKFSIMSRINAHNWGYLKDGSVALECTVTVFKDEEVYPLPSSNLQKDLGELWCSEAGADVTFIVSGESLAAHKILLAARSPVFKAEFFGQMKETTSKCIEIKEMEAAVFKAMLQFIYTDKVPELDEKETATVMAQHLLVAADRYGLDLLKVICESKIALGSDADTVAATLILDERHGCSWLKAQCIEFILGASSDVLGAVLATDGFKSLEASSPLVMELFKAAHGRIQK >Et_2A_018045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9353496:9359476:-1 gene:Et_2A_018045 transcript:Et_2A_018045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQFPTALLLTSRQLLHPSSCPRHRLFSPSSPRALRLPPAPRGPTRRPIRRSPLLRTMASVAGEAPAASPAAAAAAGEAPRRLALEELPWDHTFVRELPGDPRSDTIPREVLHACYSKVAPSAPVDNPKLVVWSDSVANLLDLDHKEFERPDFPQFFSGATPLVGSLPYAQCYGGHQFGVWAGQLGDGRAITLGEVVNSRGERWELQLKGSGKTPYSRFADGLAVLRSSIREFICSEAMHSLGIPTTRALCLVETGKSVVRDMFYDGNAKEEPGAIVCRVAPSFLRFGSYQIHSIRGKEDLEIVRRLADYTIRHHYPHLENMKKSEAWAVEVAERTAYLIARWQGVGFTHGVLNTDNMSVLGLTIDYGPFGFLDAFDPSFTPNTTDLPGKRYCFANQPDVGLWNIAQFTGPLSSAQLISQDEANYVMERYGTKFMDEYQSIMTRKLGLTKYNKQLISKLLNNLAVDKVDYTNFFRLLSNVKADRDIPENELLVPLKAALLDIGKERKDAWISWVQTYIEELVESGVPDEERKTAMNSVNPKYIPRNYLCQSAIDAAEQGDYEEVRRLLKVMQHPYDEQPGMEKYARLPPAWAYRPGDDCGQIGPVTIV >Et_4A_032441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10019466:10022642:-1 gene:Et_4A_032441 transcript:Et_4A_032441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVALLAASAALFAAAAAHEHHGEAPTCAGGGGRVLAEFRPGEITLDGHSDDWDGVEASEFSLLPALDPDDDKAYSGGKVVVKAVHDGVNVFFMLQVDGAYAYTKGESQKCPSVALMFQVGEEATYYNMGGCKDLPGSCTSKSCRGHEVDIMHFSVGNAIPGRLYGGNHIDNSVGNGGDRFGHLVDVYAWNPHCRYLDGIGPKENNSNAQNDWHGAWWHSSLTIHSGFVDDDSPYGKQDEKGTYYFEFSRPLRTMDQFQQDAQFTIGQPSKMAVAFWYPTDGKAWSNSDHYSASCDWLALDIQPSFEAAHYCPAPNRSWDAATAFALLLSVVAIGLSIFVGYLVNKNKNNVQFTPLQPI >Et_10B_003174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17303143:17307863:1 gene:Et_10B_003174 transcript:Et_10B_003174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTSGADSSRLSISSAPSSSSQGSGTDDIESLGDVYVWGEVWTDVAPSDGQAGASCSKIDVLIPKPLESDVVLDVNQIVCGSRHVALTTRQGEVFTWGEESGGRLGHGTDADISRPKLVESISVTIVDFISCGEFHTCAISASGDLFNWGDGCYNAGLLGYDTGGCHWLPKRVSGPLEGLQILSVACGSWHSALITSSGKLYTFGDGTFGVLGHGNRESVAYPKEVEALNGYRTVKVACGVWHSAAIVEATGQTGMNVVSKKLYTWGDGDKNRLGHGNKEARMIPTCVQSLVDYNFHQLACGQNITVALTTSGHVYTMGSADNGQLGNPKSDGKQPCLVKDRLASELVEEISCGASHVAVLTSRSEVYTWGMGANGRLGHGDLNDKKTPTLVDALKDRHVKSISCGSNFTTCICIHKWVSGADQSVCTGCRQAFGFTRKRHNCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFLKLKSAETNNNNSNKRNVVTRRSTDSRDKSDRPEIRPSRLAATPSTEPVKYTEVKPAARSDMKAADQVMRASQVSSLLSSQFGGLSPMGMSPALAMSPAMPFSLAPASPYTKKTKSPPAAPIPQLSKNDAENKSNELLMNQELLKLQSQVDNLKQKCEAQHEQLQKSDKKAKTAASMAAEESSRRNAVVEFVKFLDNEFKGVVDKLPSDAADSIRALQIQTQSLLREHGGLSGYDMANHKSGSAGYLVMSQDGSASSGSAISITSESPSHRIMESHPKAQTDFAPKQGTHGEVQLIEQFEPGNQAEEWWRENQERVFKKYNHPTNQVSHASSHEEEHHS >Et_1A_007435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34526709:34527025:1 gene:Et_1A_007435 transcript:Et_1A_007435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDSVVDPLREFAKDSVRLVKRCHKPDRKEFTKVATRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >Et_2B_019783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1402354:1403365:1 gene:Et_2B_019783 transcript:Et_2B_019783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPQSFFSPALPDVGASYPDRALLTVRGYIADCRNATTASSYTSEGYPIQVTFCAAEPPALSHICVHCSDAPSFFVEPQVLASQGNLVLLLVSIGSDPVESSCDYFVYRAADGHGPSLDLLPDPEHNITRANVGLGGGYTVVTLTSADLGSKRFTLWLYREKTPVVPRKPSPAESSLCASGNGKNGQMKLLPFSPTKVTALPGGSTVAWVDLRRAVVLANGKDAAAEYIPLPEPLSDGNREILESIGDPAFLRDAIGQNDGSIRFVEMEYRYDQPGFVPNGWRAVTRTWTAGGGEGRWRTDSVVDFADDVLRNTAAGMLSPLCRRRHPRGF >Et_9A_062758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4163593:4167328:-1 gene:Et_9A_062758 transcript:Et_9A_062758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNERADARKSNYKVAVDAAEARRRREDQMVEIRRKTRDESLQKKRRDGFAAAAAAGGAAPQMTHSSALQQKLDGLPAMVQAVRSDDPTVQLEATTQFRKLLSIERSPPIEEVISTGVVPRFIEFLVREDHPQLQFEAAWALTNIASGTSENTKVVVECGAVPIFVKLLSSPSEDAVWALGNVAGDSPKCRDLVLGSGGLFPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPNFEQVKPALPALQRLIHSQDEEVLTDACWALSYLSDGTNDKIQAVIDSGVFPRLVELLMHPSASVLIPALRTVGNIVTGDDLQTQCVIDNQALPCLLNLLTTNHKKSIKKEACWTISNITAGNREQIQAVINANIIGPLVHLLQTAEFDIKKEAAWAISNATSGGTHDQIKYLVAQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGAGDINIYAQMIDDAEGLDKIENLQSHDNTEIYEKAVKMLESYWLEEEDDAMPSGDNPQNGFNFGNQQPSVPSGGFNFG >Et_10B_003818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6474371:6477323:1 gene:Et_10B_003818 transcript:Et_10B_003818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSKVVRPEEVLESLKNDGTIDALRMKIIAQLKANEDMKKTTMMMVEQSKVLNTPGAEKKTKRELFDALRQELETPVLEKASKAVWDLILDNGGLGKEITGTVEKVFYRLSGVDMMPPPPPCQEKDDMAEGEKSNVSDPSSSRKRPFSDMSRKGVGAVTNGGGPDQPELSEDGMDIEYGRPRLWIRALT >Et_3B_028719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18476254:18481274:-1 gene:Et_3B_028719 transcript:Et_3B_028719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLEDPPVHSRVGPPRPRGYSITLSRRASTTIVHGSVIGIIVFQIIFRFLYLLHGFQHDRYLYYDRELFYFWLVKHGSLPIQIVAYPAIAFLYISTSSRREEMIISAAFLAILSVIVILISVSVGALHVSIMFLANIISILPYLIWKFYPVLEAMKSFPVVEARLKWLPVSAIAPWRQQQEEEKGPQKQTPFRIQELPREFSFGEIRAMTQDFGNMVGQGGSAHVFRGLLDDGTAVAVKRITITSDGHSVDVGEAEFLKEISIIANAHHRSLVRLLGYCRVPEGVGWYLVYPFLENGSLDRWLFHGEERRRLLPWPARRRVAVDVARALAYLHHECWRQILHLDIKPGNVLLDGDLRAHVSDFGISVSVARDLMTSAAIVDTCGRGTFGYMAPEMLVSAVSAKSDVFSYGMMLLELVDGRRNFKPWSSTSETPDFTRDYYPCIVREKMAQGELMDVVDAAMPLVDEAEVEAVVKVALCCIQRYRDMRPSMLTVVDMLEGRVTADLPPESRPPSVVNSSEPQYYLQNMVDLEDPAGHTRVGRPRGYSFKLSRRASTILHGSIVGIIFFQIIFGYLYCFHWIKNSDSSLTYWYSFDPRCGCFRIGPLTKIYIAVVRNVSLPIQIVAYPIIAFMYISTTSRSEEKIVSGAFLGVLALLVVIISVSDGALHVSVMFLANTVALLGYFSWKFYPRVLARLKCLHLSTLSPWREEETGLLTSFRIQELPREFSFGEIRAMTQDFGNMVGQGGSAQVFRGLLDDGTAAAVKQITITSHRYSVDVGEAEFLKEISIIANAHHRSLVRLLGYCRVPDGGGWYLVYPFLQNGSLDRWLFHGEEQRRLLPWPARRRIAVDVARALAYLHHECRRQILHLDIKPGNVLLDGDLRAHVSDFGISVSVARDLMSTTTTASAAVVDTRGRGTFGYMAPEMLVNAVSAKSDVFSYGMMLLELVGGRRNFELSSDESSMTPDFTRDYYPCIVREKMAGGELMEVVDAAMPLVDAAEVEVVVKVALCCIQRHRDMRPTMLTVVDMLEGRVTADLPPESRPPSVVNSSEPSSSTLSSKDR >Et_1A_008108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4401520:4404361:-1 gene:Et_1A_008108 transcript:Et_1A_008108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREALAMRPSVSPTALLPAPSCSSRLPPLRHFVGLRWSAPRFQVRERADAGVGVAKGAPGSFVKLGLPASNIYQSRQRAAVIRNEHSQNADFPKKYSKREKKPFPIPVLELRRRAKARMKAAQGKPKRPMPPPKNGMLVNRLIPVAYKVYNARILLINNLKRLMKVVPVKGCKYCSEIHVGSDGHPFRTCRGMLSDKRRGEHDWGSTLVEAVFLPVEAYHLEDRLGKRIPHDQRFAVPRIPALVELCIQAGVDLPEYPTKRRRKPIIKTGRKEFVDANEDDLPDPEPDEKFKQPLLQELQYDEVTAPSSPEEIAALAEETLEAWETVRDGALKLMKAYAVRVCGYCPEVHIGSTGHKARNCGAFKHQQRNGQHGWQAAVLDDLIPPRYVWHMPESGEELQRELGIFYGQAPAVVEICIQAGAKVPEKYKATMRLDVGIPSSLREAEMVV >Et_2A_016852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28810604:28812551:-1 gene:Et_2A_016852 transcript:Et_2A_016852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLSLRKSGSFKKLLLSIAHRSPATKPPARDADAQEPAPESPQKPAWRCFSYEEINAATNAFDRGNLVGRGGSSEVYRGELPDGRAVAVKRLTGAPACERRERDFLAELGTVGHARHPNVCALLGCCVDRDLYLVFGFSRRGSVSANLHDEASPAMGWAARHGIAVGTARGLEYLHKGCQRRIIHRDIKSSNVLLTDDFQPQISDFGLAKWLPSEWTYRAIAPIEGTFGCLAPEYYTHGIVDEKTDVFAFGVFLLELITGRKPVDGSHRSLLSWARPLLSDGKIEALVDPRLGGEYDGEQARRVAFVASLCIRASATWRPSMTEVLELLEGVEIRQDRWAMPEAAADDEVSWLDDLDEDEDEDDDEHDEEEFNTASSPSSSSSTISN >Et_1A_007804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38466475:38469154:1 gene:Et_1A_007804 transcript:Et_1A_007804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVHFFPATSQTPCPTRLLKPSPKPPLPSPTLSTASFHCGGTCNAWRRPQLRLRRRVRSPAAPEDAPPVGPDGGGGGGSSGGGGGGEDEEEEGWKEEKKNGPLPEWMNVTTEDAKTVLAAVAISLAFRSFVAEPRFIPSLSMFPTFDVGDRIVAEKVTYYFRKPCVNDIIIFKSPPVLQEVGYTDNDVFIKRVVAREGDVVEVHEGKLVVNGEARNEEFILEPPSYDMNPVQVPENSVFVMGDNRNNSYDSHVWGPLPAKNILGRSIFRYWPPGRIGSTAKSCLIPETKPDAIIDVKLTK >Et_1A_009237.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:34908682:34908990:1 gene:Et_1A_009237 transcript:Et_1A_009237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAPPPCSETSAPGRYCLCAPTTHPGSFRCRLHRSPVAAKVTAPPPSGSEAVKEAAAAAAARALLARVARKPRQLGASGIIRLGPSRLGVRDEQADGEDL >Et_2A_018815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8159925:8160603:1 gene:Et_2A_018815 transcript:Et_2A_018815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNRNWAVGLPEDMVRYIADRLADPVDLISFYVVCPHWRNAVKDGRFHLWILKSGESGVDGNVMFYCLGSEKFIRINVLALEGRRLAGFGAGHLIAIDTDPNMIGDKDIFVVSTDLPRRDVAPWQRRRRRLAMGLELLEDDDDAGNDGGVPWVPGGVDTHILEHEGVVRFLSPRREETRWGSFPWPWVSFVLKEIVMAAHNVVDWAD >Et_3B_028137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10818409:10820759:1 gene:Et_3B_028137 transcript:Et_3B_028137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGGSGKAVGSLRLQHYLVMAGVAAAVLVACLRYMPPAAAAGYGFSVARAAAEARVGAVDVATAVTNGAGAGRAAPAKPSVVIFNFGDSNSDTGGMAAVNGMNIELPQGRTFFRRPTGRLTDGRLVIDFICESLHTPYLSPYLKSLGADFSNGVNFAIGGSTATPGGSPFSLDVQLHQFLYFRARSMELINLGQKVPIDRDGFRRAVYTIDIGQNDLSASMHLPYDQVLARIPGVVAQIKYTIEALYSHGARKFWIHGTGALGCLPQKLAIPRDDDSDLDAHGCLKTYNAVARRFNALLAEACNQLRQRMVDAALVFVDMFAIKYDLVANHTAHGIEKPLMACCGHGGPPYNYNHFKACMSAEMQLCDVDARFISWDGVHLTEAANAIVAAKVLTGDYSTPRMTIASFVNSTQANDG >Et_2A_016657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2699801:2706035:-1 gene:Et_2A_016657 transcript:Et_2A_016657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPAVGAACLLAPGHPGRKADRSRASASASARLPRRVVRGRCVAELSREGPAPRPPAPAQLAPPVVPGVLAPPRTEPSRKPASTPPPIPDDLGLQPEGIVEDPISSTVVVASEDEAENLVVEEQTKAEVFVDHPSYHRPGNLYGDKFGAFGDNQFRYTLLCYAACEAPLILELGGYIYGQNCMFVVNDWHASLVPVLLAAKYRPYGVYKDARSILVIHNLAHQGVEPASTYPDLGLPPEWYGALEWVFPEWARRHALDKGEAVNLLKGAVVTADRIVTVSKGYSWEVTTAEGGQGLDELLSSRKSVLNGIVNGIDINDWNPATDKFIPCHYSVDDLSGKAECKAALQKELGLPIRPDVPLIGFIGRLDYQKGIDLIKLIIPDLMQDDVQFVMLGSGDPDFEAWMRSTESYFRDKFRGWVGFSVPVSHRITAGCDILLMPSRFEPCGLNQLYAMHYGTVPVVHGTGGLRDTVENFNPFGDKGEQGTGWAFAPLTTENMLWTLRTAISTYREHKSSWEGLMKRGMTKDFTWDHAAEQYEQIFQWAFIDKPYVK >Et_3A_024191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18188676:18192057:1 gene:Et_3A_024191 transcript:Et_3A_024191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVWCDLDLQLPANGVAAEDALHGPFWPAFADCTASFLAGDNSFGVDMTGSADTKAAEQMAVDSMDASCCFMADPQEPLMSTQQHEATTYSSSSLSSKRSLSIDSGGTTSSPFSLALDGAAAPLHDPFAGDDAAIMRAMMAVLSSASPSSSESSSPPQSQGESSSVQQSSTAAVYPRGGNVVVRSATVAPERPASLTSNNAGAGGKQQQDGGNNSSQMYHMMSERKRREKLNDSFHTLRTLLPPCSKKDKTTVLVNAASYLKKLETEVSELEEKNAKLERHVPREDGGAATSTAARAAAHRRAKVQVSRAGSDADQVNLTVMVMVECDIVDLVLHVLEQLRWMAGVSVLSVDADTYSPQVLLKAIASIKLQITDGDCWNEASFHEAMTKAVHDATSSPSSCASASAAPLVVAA >Et_1B_010692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13049272:13054184:-1 gene:Et_1B_010692 transcript:Et_1B_010692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PLSRPHKSSAHYTARQTFLPVLPFAIPLPVQIPPPFLIFSCSASPPHPWLLLDLVFPKSNATAETLAMPPRCSSIFALVFLLAVHLPPAAAAKLRPASTSPSYLRISWANNLTLLGSASLLPGGAAVALTTPSRDGIGAGRALFSEPVRLFLPSSTAPASFSTRFTFRITPAPTYGDGLAFLLTSSRTFLGASNGFLGLFPSSSASDEGEADLHSVSTIAVEFDTHRDIALRDPDGNHVALDAGSIFSVASASPGVDLRSGVPITAWVEYRSPRRRLSVWLSYSASRRPDKPALSADVDLSGLLRSYMYAGFSASNGNGAALHVVERWTFRTYGFTNSSQSQAPPPSEPPVPPNKPLALTGGNYYPHRRHFLLFKVLGGVIVGVILLVLVVGASGVCLYKPIRHTNQEPTVPCEDQESEDKPHGTLTMDVVRTATKDFSSGNVIGVGGSGATVYEGVLPSGSRVAVKRFETNWPCTKAFLNELTATMSCHQHPNLVKFSGWCCSKDDFVLVYEFMPNGNLDRALHTIGGATLPWEARFKSVLGVASALVYLHHDCDPQIVHRDVKSSNVLLDGEFNARLDCRKRPGMRRVFRMLEGSAPLTLVPDKKPPVMLQTQGNQTSSMNTVDTRPYVQFELDKLVASAAQISEQSGVLRYSLYGNLMSLIARKLKFGSMVVRVPGLPGLKIFHASAVGLALGLRGLGESGTSVDDDDTADSVDVGLAARKSSAGRVSRRLAPPVSSSNPNPSSKEPRSSSRSSSVRHRADDDLTWWCSSSRTGLLPSPFRCPAPATDTMLLRLSICRRRFSHLISLVVSFMESCFVRSRLLLLPMPAVAVTGRWLHDPASRASSSSQSLPSDDEESTSTRSLFIITRRSSSVVSGFDPCLLLS >Et_3B_028448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15552866:15558088:1 gene:Et_3B_028448 transcript:Et_3B_028448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVLLRATALGVAAAAAGSLHALSGWTPSWYVSPVTHRVKRVLTESALGLQASLLGGARPLAGAHLADVRARAERDLARALSEPSDEGGCDPAEAADLRLLLALLAARDGRADEALRIYEDAAREAPFDPRPRALAFHLCLYAGRTGGELARWADAYHRLVPRAAGRGPLPGLERYETRELVRELAIAATLGGVFRLDRPGKRTFLMTTACGTVDEGLLVALQDEALSTAERLQLQALLVFLHAKVRQLVNKEEEEVRQLVKKKEEEEVVQEEEDRDDGDEVDVVTTSVPVLLKTLIELLWRPGPQALQCCQSRAETPLARAIPGVATAPPAIIQAPPTKPTVALAHLRLHGRENIKQPTRKANLSL >Et_4A_032863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14861858:14863295:1 gene:Et_4A_032863 transcript:Et_4A_032863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSIVLINEAFPFPNGELLSRTSHIGRGASGRAKGSVIIGLIPNTTHRGMISDESTLKRV >Et_3B_031623.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6208456:6209587:-1 gene:Et_3B_031623 transcript:Et_3B_031623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGSCGCGSGCKCGSGCGGCKMYPEMAEEVTTTQTVIMGVAPSKGHAEDGFEAGAENDGCKCGANCTCNPCKCGK >Et_4A_033927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28030665:28035229:-1 gene:Et_4A_033927 transcript:Et_4A_033927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YVTVSRSFFSTTFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIKFVEEFLNIRDTSRPLSHRDRVKIKKALRGVCIETSHQQDQIRRYKITGITPIPMSQLIFPVDEQGTRKTVVQYFWDKYDYRLKYASWPCLQAGSDSRPVYLPMEVCKIVEGQRYSKKLNDKQVTNILRATYKCPQETEQSIRDMVLLNKYAEDRFAQEFGIQVCNDLASVPARVLPPPLLKYHEPGREKVCAPSVGQWNMIKKKMINGGTVNNWTCLNFSRMHPEEVKRFCVDLIHMCNATGMIQLLIVILPDVSGSYGKIKRVCETDIGIVSQCCLPKHASRPNKQYLENVALKINVKVGGRNTVLERAFVRNGIPFVSEVPTIIFGADVTPPPPPPPGEDSASSIAAVVASMDWPEITKYRGLVSAQPLRQEIIEDLFTVSKDPQKGHNVNGGMIRELLIAFRRKTNRRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEFHGRRDMTDRSGNILPGTVVDQKICHPTEFDFYLCSHAGIQGTSLPTHYHVLYDENHFTTDALKSLTNNTRAVSVDHKIEQLNIMEEGNNEKENISSGKDVVEVRVEFNGKYTRMMVPIGTPVRVPVEAVMANKSTLTPDEYYLINEN >Et_3A_024785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24146921:24148114:-1 gene:Et_3A_024785 transcript:Et_3A_024785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATVLTAAGPEIGPKHYTESGPSRFGAIDYDAIEIFSVKLIEIKEGLKWPLCVFGLVAVRDSMDNYQTIIAEDCSLELIGPSRAIALIDPARLEVELSVIGTSPSETRIESRKRSTVEITFSHLSVPLEATTEIHHSGGSSDFHREFFAHVKYMGEEKVVPNVFGEADTWLKAWQGNNYENAIVSCAGFPTKLHSKSDGEFNFPFCKMLVSVF >Et_10A_002147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2433911:2438325:-1 gene:Et_10A_002147 transcript:Et_10A_002147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVATCLCSGEDDGLMELLWCNGPVVLQNQAPRKPPPRSDKEAATAVEVAPAAAWLQYPAAVEEPLERDLFSQLFGEAPAACDPGRAACKEEDERAATAARRSRMMPPPRPMEKACRLEDVGVVSDCEAGKTDDGGEGAAAEAATEAGESSMLTIGSSFCGSNHVQTARPPPRTRARDAATVTSSSMQPRSSCAGKAGPPGAAAAAHRSGKRKQRDAAETEVRSRVLVAARHYDTALSTDDTAAAMQDAEFESAAVTCEPAQKLTTAKRRRAAEVHNLSERRRRDRINEKMKALQELIPYCNKTDKASMLDEAIEYLKSLQLQLQVMWMGGGMAAAAAATTPVMLPAGVHHQYMQQMVAPPQVASVSRMPFLAAAPPAQSSPVGHVAVADPYARCLAVDRLQTPPPMHYLQGMSFYQQQSSAPSPPQSVVPGGSLPAATARTLPSDSTLQSAQKTRSAYQANEEPVPQLTSFVVPVKDKRTRKLLARQKGQQQREEDACISQKRRQACHLSQKRRQACHLPAFLVQPAKDHTIGTGLYDGY >Et_9A_061174.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20307005:20307625:-1 gene:Et_9A_061174 transcript:Et_9A_061174.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSFDEFLNSATTTCFHTHSCNPPGTSAAAHTHTCLHTHTQVFAGGGEDDDAREDSTRPRKPLGNREAVRKYREKKKAHAAFLEEEVKKLRATNQQLLRRLQSHAALEAEVVRLRSLLLDVRGKIDAEVAAFPFPKQCSVGSVVSADQTLCFNGNSEVGGGAWDESCRPEAADFGIEAVHSMDAVAGFVTSD >Et_8A_057890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8981969:8983930:1 gene:Et_8A_057890 transcript:Et_8A_057890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPQEPKSLSEQARQPWTEPGAQTSDVIATVIAAGEKGVRGDVAVGDAANDNGAPRVTIDVAEDGCAAEAAATEQLQDGVCRICQLGDGDDDGALPEHVSGRLVKLSCSCRGELAVAQRRCAAAWITFRGDRICEICGKNMVDIHDGVGMQKFMQQWHDGRDSSKTCGFFKRQSCCSVLTTCFMIILIFPWLQRNHII >Et_8B_060412.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1466631:1467635:-1 gene:Et_8B_060412 transcript:Et_8B_060412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNNDPPAATGEAPAEETSTIVGNVTGDYESRSTGVSSAHAEKPKDDDDADGELMPSSIQRRLDESCTVEVEDGEDAGNDGEADEMAARMQRRLSALPGKAHESEPFTIFRVAGPMRERNRHLYEPQMVSIGPFHRGSAASNRLAAMEAHKWRYLRDLLARNPDALLLSYARAARAMEPAARRRYAEPAESLDSREFAEMLLLDGCFLVEFFLKGEEGADDALVDASWAMQNVYNDLFLLENQLPFFVVERFYTLATGGLGGAATSSSPTSSSSTSPSTWAPARTPAPGAHPMARSITCSTSTTTGSSPRTTNRASAAAQAQARTRRRRRSRS >Et_8A_057084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2069714:2073211:1 gene:Et_8A_057084 transcript:Et_8A_057084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGARRAPPPWADLPADALSEVSFYLRDATDQVRFHAVCRPWRDAPPPPPPRAPRLLSWLIAKPRGMFTSGLHIRSPFSGKSLLLPRAPDLRFRNLECSDAANGRVLAVGVYSDHPDAALVNPLATRDDDATNFVLPPLPSHAHTRDVKSHTAILFHLLGPNNQLFPDERERRVAALCASGVLRGGARATEKLPLKDPNLRRYVLEFQGELLCVDVDVTTPWAQPLPPEKVVSVRAMELGDDGQTLWRDQTRADGHVCFFLGRESSFAVDAREFACAEVTGGCAYFVGMLQDCTLQNPVYGVYRYSFKDNMATVVSELPASFGKRSMWFVPLPRLRPPVRSQCGHLGSPMHIAQWVHDSMLRLQNQEHHTTAIAQGGKEDTIVMIKCAEEAGSNNLFPDERAVRRHCARPASSTEARAPR >Et_2A_016330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23474450:23477331:1 gene:Et_2A_016330 transcript:Et_2A_016330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSPSLPPARGPAAVVAAAVVILPAIFPGLFSPLGRAFPSLFSEWNAPKPMHPSLLNKALHWAIPDDQKRDLWSPLPYQGWKPCLKTSVSHALPLEPSGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLELNPVWKDSSSFEDIFDVDHFINTLKDEVSIVKVLPKEFSWSTREYYGTGIRATRIKTAPVHASANWYLENVNPILQSYGIAAIAPFSHRLAFDELPTDIQRLRCKVNFQALVFLPHIISLGESLVKRLWSPMKGHSNEVVEESTNHAGKYAVLHLRFDKDMAAHSACDFGGGRAEQLALAKYRQVIWQGRVLNSQMTDEELRNTGRCPLTPEEIGLLLVALGFDSKTRLYLASHKVYGGEARISSLRKVFPLMEDKRSLASEHELANVEGKASVLAALDYYISMHSDIFISASPGNMHNALLAHRTYENLKTIRPNMALLGRIFVNKSMEWSVFQQAVQAGHKGRYGQIRLRKPKQSIYTYPAPDCMCQG >Et_9A_062480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23362294:23365946:-1 gene:Et_9A_062480 transcript:Et_9A_062480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEKSLQSPVSCEQGVVTSNEHGEKLVGLLHQTSSNKLVILCHGFQATKATKSVTFEHCNLQDDSILVGLAAALTRERINAFRFDFAGNGESEGEFQYGNYRKEAADLRSVVSYFSKQKYDIIALVGHSKGGNAVLLYASMYHDVPIVVNISGRFALERGIDGRLGKNFMQRIKKDGYIDVKNRKGEFEYRVTKASLEDRLSTDTLLSSRAISKGCRVLTIHGAKDEIVPAADARMFAANVPNHELRIIADADHRYTGHEKELNSLVLDFVRSHPQSTSPLRPKL >Et_8B_058731.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:19279605:19279967:-1 gene:Et_8B_058731 transcript:Et_8B_058731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGRGAALATVVALLVVLEAVVRPANGAVTCADVNSSLRPCIGYLTGKEAAPPAECCAGVKSLRTLPAGTAERRQACECVKQAAARFQGLNADAVRDLPGKCGSPLPFPISLSFDCTT >Et_5A_040809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12932236:12938100:1 gene:Et_5A_040809 transcript:Et_5A_040809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAFSSSATPAKPGGPAPSRSLRLFAALVALLDFLERIHRAGRLEGTSFVLAGVYLARFCNSKTAIEAGLKLEPATAHRLAAVAVFVGAKFGGHPHKRWTPVFEVCSGRAIRADEMAALERRFLRAIDYRLYVRSEEYDSFVRILVAGRRELLRREEEGGRGGGRAPPRPTLPADSARHRCYLGSEFPILLQFSVDLVVFVQLRLLRRNNDCWPSPRGEDCWIWEDGGRRGSFSMEDLQIIG >Et_2B_019482.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:6824198:6824371:-1 gene:Et_2B_019482 transcript:Et_2B_019482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMVGILEMIMGILITLTKAFLFLFISFTIRWNLPRMLIGYFGLTLLHAQKEGNYV >Et_1B_014103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30793919:30796033:-1 gene:Et_1B_014103 transcript:Et_1B_014103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIENGSTSDAQYTSHKALQDETTPLLPIKAEEEDMIHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGLIPGILMIILVALLTEASIDMLVRCSHQGKITSYGWLMGETFGDWGRIALQASVVINNIGVLIVYMIIIGDVLSGTSTTGVHHSGIFEGWFGPHLWNSRPIVLLATTLLVFAPLVSFKRLDSLSYTSALSVALAVVFVVITAGVAIVRLIEGSVEMPKLFPEIDGINSVWKLFTAVPVLVTAYICHYNVHSIDNELEDRTQIKPIVQTSLGLCSSVYIATSFFAYLLFGEATLADVLANFDSDLRIPFSSVFNDIVRVSYVVHVMLVFPIVFFALRLNLDGLLFPTSRHISRDNRRFTIITISLISVIYLAAIFIPSIWDAFQFTGATAAVLIGFIFPAMIILRDPYDIATKRDKVLSVTMIVLAVVSNAVALYSDALNIFHRKQEA >Et_6B_049149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:18768492:18772058:1 gene:Et_6B_049149 transcript:Et_6B_049149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQRTILERKLPAILDIIQDAEEKGTFRPGVQAWLKDLKKVSYQANDVFDEFKYEALRREAEKKGHYSMKTLTRFPARNTIVFRYRMGKKLQKIVQTIEVLVAEMNTFGFTHMQQAPPSKHWRKTDPSRAFRLQRPNNHDLDGIVDKIVDRCAGSPLAAKAFGSMLSTKTNMNEWEDILAKKCVTLVDITRMKKLLPNPTLHFFSSYRGILLNGFLKRQGPTLRTLFCEDSAVPYRHISKYTSLRAVHLPVFSARQVYLQGQIQHLRYLNLSGNWELKQLPEDISIMYNLQTLDISHCYSLRQLPKDMKYMASLRHFYTNECKTLRCMPPGLGQITSLQTLTYFVVGASSGCGTIGELENLSLGGELELSCLENSTEAHAKAASLENKKKLTHLSLGWNSGGQEEPDQDCQKKVLYALKPHAGVEMLRIVNYKGTILPAWITDLSTLTELHLLGCVQCEEFPQFHHFKALRVLHLKKLDKLLSLCSDVGSMTFPALKEFKLIGLKSLERWVASEGKEEELTFPVLEKLKIKNCPKLTSLPRAPNLKDIVVAEDKALLSLAILKSKHVYSLSKLDLSTRDTEATPPQIDENHESSVSEIRLKGGFYFFFSSNPPQQTFGAWKWFGKLITLDIFYCDALIYWPEDVFQSLVSLKNLSIHSCDKLKGRTQVKGGEPIETADQVLPHLNLIYISNCASLTELFILPTSLRTIKIFECPRLESVWGKEEHPETNTDIQLEYSRDLASISVPDQPPSPANRRPCLETLYVSKCDNLATLPPLPPSLKRLSIDGCGMLCSVTGHLAALESLYITACNKLQSLDSMGDLPSLERMNLDGCRCLASLPGVLGSYLALRKLKVKYCPAIDLKPLYKRHQQCLDNLEEKDISHAHSSIPYE >Et_3B_028574.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1748103:1749459:1 gene:Et_3B_028574 transcript:Et_3B_028574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAESNHSPTPGDTAPHLDDCVVSEILYRLPSKEAYRLTAVCRRWRAIVSEPAFLCRHLSPRPLPLLDDGPYAVMLQPRRKVGYTHLTVVTTVPGGGGGEGEAPPTVPHLDDGVVAEMLHRLPTKDAYRCTAVCPSWRAIVSEPAFLCRHLSPRPLPLLDDGPYAIIVQPRRKVRYTHLALVPTNPDDRVVLNPPLDPKYTSKPVKSSEDATPSPVEVEDYVAFFERTVPMVDMSVVAAHARLLLCRSRRRFYVCDPAANRFVALPPSTFPPTHDAARGLHYDAATTGWLSFTVVLLVLVDTFSSATGRWDTKVIAALSRNRVTRDTPRHDVAGGRASVLREPPEAEGSDARMGRSLGTASGRLRVCAFDIRDEKSRSMMPHQGIVGTHGVWVVDDAAATGAWQRVHEAVVDDIRSYYFLGDLCFAPQYNHTCT >Et_8B_059993.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4902802:4906963:1 gene:Et_8B_059993 transcript:Et_8B_059993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSVAATGPGTDAEPVSSTFFASRYVREPLPRSRRFPSRSLRPPRHAAVHRARLPLLVARRRARRNPLPHQSTITTQTEPRGRNRGPGFLCDAGQRTPSHAAAMVLSHASSSRGDAVACTFASRYVREQLPRYRMPERSIPREAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMDSINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIKEDETAVGVGTVGSSEAIMLAGLAFKRKWQNKRKEQGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPVKAVEMVDENTICVAAILGSTLTGEFEDVKKLNDLLVEKNKETGWDVPIHVDAASGGFIAPFLYPDLEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRSKEDLPEELIFHINYLGTDQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKSIMENCMENTVILRDGLEKTGRFEILSKDSGVPLVAFSLKDNSTFSVFDISENLRRFGWIVPAYTMPPNAEHVAVLRVVIREDFSRSLAERLVLDILKVLHELDERATHAVKVASVAAAQTNDGVVAKKSVLEIQREIASRWRKEVDKKKTGPC >Et_1A_004718.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:33879145:33879690:1 gene:Et_1A_004718 transcript:Et_1A_004718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASASDPADEAPHKRVHRHVSHREDDERVDLPGRLLSPAGAGGVRAQEAEQVEHDGGVGHHEPPPVLLQLLRQQQEDDGDGRHGGGGVAVEKERGHQPAAAVVLQDGVAGRAGSRPRSRPACSARTRTYVTLPANVTSRISTLRGRSPSPSRSSLCFFVGADASTVWIARRLASTSNEL >Et_3A_026786.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:20609997:20611292:-1 gene:Et_3A_026786 transcript:Et_3A_026786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAEPPHVVLLASPAGAGHVLPMAEFARRLADYHGFTATIITYSNMSLPPLPDLPATVTTAALPAVPLDDLPADARIKTCMLTVVSRALPHLRALLASIVRERGGRSNNNVVAFLADMFCAQALPLASELGVPPYVVFLTNLMFLALLLRLPGLGAGTTCEYRDLPDQPLRLLPGCVPLRGADLLDTIQDRSNPAYGLMVQMTRDHHLADGFVVNTFDAMEHETLNAFRELSEQGVCPPVYAVGPFLRPWSAAAAQHSCLRWLDEQPDGSVLYVCYGSGGTLSVEQTAELAAGLEACGQRFLWVVHFPSNKDGSATYFGTEGQGDDPLTFLPEGFIERTKGVGLCVPLWAPQVEVLRHRAVGGFLSHCGWNSTLESVDAGVPMVAWPLFAEQRMNAVMLEEKVGMALRPAVLSEDGRVVPRKDVVAVVR >Et_3A_024066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1781647:1783902:1 gene:Et_3A_024066 transcript:Et_3A_024066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSVLWVSQSHYDACNTTQPILRLNGGDSHFVLNISSSPYYFISADARRCRDGGERLIAIVRNSGRDFVVIRGRDGWSTDPDEPVSKFEINDTLVFRHGEEGAVLWVSQSHFDTCNTTRPWKRLDGGESRFVLNIPGSIYYFISAIARRCRDDGERLIANVAVAVSPATASASACICVASATTASTSVCAPSATAAASACFASPATAYRYGKRVIGMAGTSRAKDERGLATTVAVVRGRVECWCSGVPCSLWGSNSSLIYTVDI >Et_8B_060357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1008593:1009652:1 gene:Et_8B_060357 transcript:Et_8B_060357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEFMRYRAEVQEPAAATVRDRKALSRPSNAVAKVAVAFSDDTDDEFPAFYREALDGIEPELDVVEEAPAASSISLRVSWAPNFMLRSYPCPGFAFGCHHDLLALYVGQYCPCHSSPGFYMVYDTRANSVAVIPKLPWLTVAVSHGSIGTTGPAVLRHGTAPDDDGYVLAGKTTSTRPRETTRPPPPTSCCGGRRPKPVTLLFRAFRADAVLAAGRRRLCWVDLLKGVLVCDDVLEEDDALPDGCHRKTTSVQGRGIPEEHRSACCVDDDRGGQVLSTTLKFVTMDDSRLGSGVILTTWSRSLDDDEGSPWVRGLTVSIEELLLDNPTYSEEFVGLKPLMPIISVVHR >Et_5A_042187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7196007:7198425:1 gene:Et_5A_042187 transcript:Et_5A_042187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRGASGRPSGTDGSDFSYRMVVDSRYQRVADGRSRLARLILVQALHQVAGGLLLLLSLSKGAQPNKFAVLSVAAGLLAILVGELGRRRTMAALLRMYTSLSSIAVAFSVACIIRSELFLKVMEQNMTAITSYELIDVVRVALGVLLQLVVIATTTRLLQNMSSPKRAS >Et_5A_042074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:624854:632655:-1 gene:Et_5A_042074 transcript:Et_5A_042074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAPVAAAAASHRLDSVEHDRAEAVPERLAVVTGGNKGVGLEVCRQLALQGVTVILTARDEKRGQDAVEFLRRESDLSNVIFHQLDVRDDDSVTSLARYIKGRYGKLDILVNNAAVLGVAADEEGLKAHNIDAETWVSGRAASLLKEVFQNTVDEAMNCFNTNYYGCKRVTEALLPLLKLSTSGARIVNASSLASELKRMPNEKLRNDLSNIEMWDEERIDSVVNTFLEDLKNGQLKEAGWPAWLPAYSVSKMVINLYTRVIARRHPDMRINCVRPGFVKTDLVWNMGLLTPEQGARGLVMLALLPVDGPSGCYFDQTEMMNMLSRAGDVYMCRLAVVTGSNKGIGLEVCRQLALQGVTVILTAMDADEGEEAAGSIRHESNLSNMIFRQLDIRDTEGANSLAKFIQSTYGKLDILVKIEMVSANSYIHVSLIISVRYMDASGPSKITWAITMQVNNAAVTGLVANEEGLRALNIDGDTWISGRAASMIQDVLLQTYEEAVNCINTNYYGYKQVTEALLPLLKQSTSGARIVNVTSIASELTRLPNEKLRNDLRDAESWDEHRIDAMLDAFLEDLKKGRLEQAGWSMMLPAYSISKMAVNQYTRILARRHPEMLVNCVHPGFVRTEMNWNMGTLSPAEGARGPVMLALLPDDDGITGCYFDETTLAKAWHEAVSHDHETVPERLAVVTGGNRGIGLEVCRQLALQGVTVILTARNENRGKASVESLCHGSNISNIIFHQLDILDKDSLSALAQHIESRYGKLDILVNNAGVGGVVVDQDGLRALNIDPNTWLSGKAANLLEHVIVQTYDEAVKCLDTNYYGSKWATEALLPLLKRSSSGARIVNTTSLRSELKRMPNEKLREELRDADAWDERRIETMLDAFLDALKEGRLEEEGWPTMLPAYTMSKMAVNQYTRVVARRHPEMRVNCVHPGFVKTEINWNTGVIPPAEGAMGAVKLALLPDDGPTGCYFDQTELGVAW >Et_5A_041998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:552401:554756:1 gene:Et_5A_041998 transcript:Et_5A_041998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRTDTSTVLDDNDKNQRMEHGQSESAMPSNSSDRSDRSDKPLDQKTLRRLAQNREAARKSRLRKKAYVQQLESSKLKLAQLEQELQKARQQGIFISSSGDQTHAMSGNGALTFDIEYARWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFKVKGVASKADVFHILSGMWKTPAERCFLWLGGFRPSELLKLLANHLEPLTEQQLLGLTNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNADNLRQQTLHQMQRILTIRQASRALLAIHDYFSRLRALSSLWLARPRE >Et_10B_003974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8719850:8720963:-1 gene:Et_10B_003974 transcript:Et_10B_003974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIMTFLAPIPTFYRICKSKSTEGFQSLPYVVALFSSMLWIYYAMIKSNEILLITVNAAGCVIETVYIGMYLAYAPRKGKVLTATIFLVLNVGVFGLILLLTLLSASEKRVVSVGWVCVAFSISVFVAPLSIIWRVIRTSSVKYMPFFLSLSLTLSAVVWFIYGLLIKDKYVMLPNILGFTFGVIQMGLYVFYFCRKKMLVLAEGKEAGKLQETAEEHVPKKSCDVHPAPDMAATARPAAAETKAAADVVSHGIEIV >Et_1B_010707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13244752:13245112:1 gene:Et_1B_010707 transcript:Et_1B_010707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSELCISLKLPLFEEILPHNITVCVRKTRRSAQCREDQLPGFKHYNLNLLDVGGFEVDHKLMKYTRFVMECAKGLRMVVCLHDKEPCDDCARSPGTFRLHEVLIFRLMRVIKV >Et_9B_066213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7290164:7291920:-1 gene:Et_9B_066213 transcript:Et_9B_066213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTDENDDIIEVIQKLNHREILFLLLYMSLVVASTINYLKNLSGQTPRPQKIVKKCQSSLTYICDFLQNPHIN >Et_9A_062218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20824293:20825366:1 gene:Et_9A_062218 transcript:Et_9A_062218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSHGLALLLLGRKGEDKRDKASPCSHYRLVGQEAEHDCQAPSTKTDVPSKCASYICFGCTPARLVGASPPKLSSSNTPGTSSEQSSSSTNETATSNGSTNGTGIKGCLKNNLQRDSSERSIPSFSEEPRESLEEVQTLKSGMERRKVQWTDSCGKELFEIREFEVSDDGLSEDDLENEGFRKCECVIQ >Et_10B_002899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13232406:13235808:1 gene:Et_10B_002899 transcript:Et_10B_002899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLVTGSMGSLISKLGELLREEYNLQNGVQEQIESLSRELESADAALRKLGDVPPDQVDEQARIWAREVREASYNMEDVLDAFLVGLEAGHENTDHASLFQRLQVMVAGLFTMMGSLFKRRKIVGAISDIRKKLQEATDRGERFKVDGIAAKYAVTSTIDPRLAAMYKDGTQLVGIDKSSSELLSMMMSKGDEASNNKMTIVSIVGAGGLGKTTLAKVVYDKLKSQFSGGAFVPVGRNPDLKKVFRDILIDLDKKTYMDLKFTKLDERQLINELQEFLRIKRYFIVVDDIWEMKSWETIKLALVDNNSGSRIITTTRKVQATTGEIYNLQPLSYDNSKRLFYAKVFGGEGKYPDNQLDEVSKKILKKCDGVPLAIITVASLLVGKSREEWYEVYSTIGLGHKHNEQVDTTMKILSLSYYDLPSHLKTCLLYLCAFPEDYFIEKGSLIEKWIAEGFVTKKHGLGLLEVGEGYLHDLINRCMIQMVELDYGCLHDLINRRMIQADESEYDTLFYFPTYGCRVHDMVLDLIRSLSHEENFVTISDNRKGTLSGNNARRLALHDTTMEYTHKDNHMDTPQLRSIIACWCDIHVRVLLSRFKFLRVLALEHCRHNEGQYHLEHLGMLLHLRYLGLRHTPVLELPEDIGRLKFLQTLDLDGTGIEQLPSSVGLLTQLICLCARETRMPNGVIEKLTSLEQLQIKPVDVDKSKDPFVKELGNLSELRVLRINNSNEPNVKRSMQSDLLQSVGNLNKLLILILDGWFIRPEQQSDKDAWDTAVLPRHLRHLILPSVWFCSLPSCIKASSLACLSHLFLNVYDMDQEALRILGGLPELRYLYLTTVSTVTLTSDNVADCNFPKLRPCLFDNSTVQIVLHEDTSSVSLCICNRSDYVTLSSGSIKDGLIVAAPAVMPNLEVLDFKVQVMKGLMHNNRICDNLGLEHLTSLKKVKVRLWCDGSFADDAEKEKAALSHAVEAHSNKPTLEINVWNEDRIKRCVPAHMDIRDNFIEFIKYPLICFTNRICNSLILR >Et_1A_009539.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:7704016:7704885:1 gene:Et_1A_009539 transcript:Et_1A_009539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNPASEMAPTLDTIRHHLLDEPAAQPRPVYCRSTSFGSLVADQWSESLPFRPDDAEDMVVFDALRDAFSRGWLPDGSFAAVKPEPVSSPDSYDGSCCIGSLLSSSSSEEGEEPETPTPRREDAAASSTASRGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYDNAEDAAVAYDRAAYRMRGSRALLNFPLRIGSEIAAAAAAAATATAVGDKRPCPEPASFDSSSPSSSSSSSGSPKRRKRGEAAAASMAMALIPPATQAQTPVQLNLPAHPWFAAGPVQQLVS >Et_2B_021568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3097587:3098589:1 gene:Et_2B_021568 transcript:Et_2B_021568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQAAGGVSGTSLVGEFARDVVLWRRGQAAVSACLLAGTVSSWLLFFGSGSNYTLLSLVSSVLLLLLTVLFLWAKAARLLNRPEPPIPKMRVSQQVVDQVAAQLHSGLNTVFSAFHDIALGRDSVLFCQVFLSLWIISILGSLADFPTVCYACVVAVLTIPALYQKYQECVDRYMRFAYLNLHMYEMVYERFSMKCFVRVRDWGIELLKDP >Et_6A_047446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:598488:599409:-1 gene:Et_6A_047446 transcript:Et_6A_047446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGVAAETGEAHMNLKIIVNVGSYFALPDGGPKTLCKRRKLSPLFVDVHNLSLLQLVNHIAETCTWGSKQYIALWRSDEEACVEIKSDENLREWATGSASEHVGGRPPSGGRGRGRGRGVR >Et_3A_025975.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34343085:34345955:1 gene:Et_3A_025975 transcript:Et_3A_025975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDIDTRPTSAGSRGRALEDDRDREEGEIADDDSNSHAPPPPAKHPLEHAWTFWFDNPQGKSKQAAWGSSIRPIHTFSTVEDFWGLYNNIHRPSKLVVGADFHCFKDKIEPKWEDPICANGGKWTISCGRGKSDTMWLHTLLAMIGEQFDHGDEICGAVVSVRGKQERIAIWTKDAANEAAQVSIGKQWKEFLDYKDSIGFIVHDDAKKADKGPKNRYTV >Et_2B_020962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25225704:25230499:-1 gene:Et_2B_020962 transcript:Et_2B_020962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDDCGGGRTGSSGLDDTRFDASQYAFFGNNVTEEFELGGLQDDNDSNSAFVGLDDVERPQENALEVDDLANTFSKLRRDVSGPQHMGTTNGDSFSRESLAASDWDSEFKSPCWPDQYALGVKQGQDGNGWWPQYHSSSFADSRLQRTSSVPQQQEQHNPNEHVLTQRPSPLQRTLSYPQKEPQYNPTSAIPAPATYVSHTPPGGPPNSLPGQTHQMNMPPFNEFQMHMSAQSDISFSQFPVGRTPPEPLFSGKLGHVISADFSTNNNGQQTHLLNNGNFPGEAANSTPNLMQNHLQRPNGFVPPQMLPMRQQHGMLPVQQSPQHLPRMQAHMLGHQHPPQMLNRFDNFGRPEFRDPRARSSMHHGMQGHRFPLQGSGYGNMRMDNGRPRFRSRYMSTEELENISRIQHAATHINDPYIDDYYHQACLARRSVSGRLKHHFFPTLIRDPSSQARSRDEPHAYLKVDALGRLPFSSIRRPRPLLDVEPASTTDDNTLEKSVSKPLDQEPMLAARITIEDGLCLLLDIDDIDRLLQFSQQQDGGMQLRNRRQSLLGRLAESLQLVDPLGPNKTTNLSPNDDWVFLRIVSLPKGRKLLSRYLNLMVPGSDLARIACMAVFRHLRFVFGNLPSNYGSAETVTKLASAVSACTRRMDLSSLSACLAAVVCSSEHPPLRPLEHAAGDGATVIIKSVLDRATDLLTKELAASNNSIPNRALWQASFSAFFGLLTKYCISKFESLIHTMHKHPAAATVLSRELPIELLRASLPHTDEQQRRILLEFAQRTVPVSGNSSHGAAGGNISSETIPS >Et_2A_015269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10044584:10055043:-1 gene:Et_2A_015269 transcript:Et_2A_015269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHRFRAYALSQILRRSQPSFSASHDPPVVSLNRLLCSAAAATASSAASPRSFAVEDYLVSRCGLTPAQAHKAAKRVSRLRSRTNPDAVLAFLGGTLGLPTAGIAAAVVMDPTILCSDVERTLAPRIPDLSDLGLSLDEIARLLPLVPNAFRSRFLRRNLDFWLNELGSFDKVLLAVRMNSALLSVDPDNAAKPNLALLQQCGLNASDLITSNIYSTRLFTKNPKYLREAVEQVEELGVQRGTRTFPRALLLISLMSKEAYARRVQLLQKFGFSQDDVREILRKAPGVLGFSDQKIQRNMDFLMKDVGLEVPYIVQRPALITYSVERRLVPRHWLLKILREKGLLNVEYDYYVTAMMAERDFVQKFLLPYKDVVPGLAEDYASKCSVKATDRVALQQGMLSRVECSFYRSLGSHRTMYGTEIEWKAPPVLVLSDQKVQGNVDFLMKGPDVPYIARRPVLLFCSVDRRLLPRHWLLKVLKEKGLLIFEFGYSATALMGEKLFFFSVSHASPVVSLHRLLCSAAAAASSASSSRSFAVEDYLVSRCGVTRAQALKAAKKIPYLSSHSKPDAVLAFLGGTLGVPAGDIAAAVAIDPRFLAADVERTIVPRIEELSNLGLSRDQIARLSPLAPCFFHSRFLSRNLEFWLNELGSFDKILQALRMNSSMLAVDLDRIAKPNMAFLRQCGLNASDLLASNIYSPRLFTMNPEHLREGVKRVEDLGVERGTRMFSRTLVLICLRSKEAVTSRMQLLQKFGFSQDDVRQIVRKAPPVLGLSDQKVQGNVDFLMKGVGLDAPYIARRPVLILYSVERRLLPRHWLLKVLKEKGLLIFEFDYYATASMGEKLFLQKFVLPYKDVVPGLAEDYASKCSVKAVHRISVFSYKDQTDVDAVTHCFKGPNCPHKLHLSHNEGHQQSLHTFPSPQTSLLHLAARMAQTVSNGGDPNWTLKRVNGYKVQKDESPTEVLNCISRRGPTLIFSATGLPRHQSPSRPPRLCSAPRADGPEAPRAPAPEGGGEGGRGAGGGGRIGGAAEVPGGGKGTNRRGGRRVASSSHRDLPVPHGAHLRDDVLDGALEPSPPALDMAGPELGRPFEDEVAGGLRPEMLLAGAERRVHGEEPGRVVLAFRVVAVPLRPCGSCCGDEEEGKMCWRKNEEGDGCCGWMAPLRGGAEAQNASLDWSIRWRVFLCTLQPILSITEEMGCPWETA >Et_2B_021750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5064465:5067225:1 gene:Et_2B_021750 transcript:Et_2B_021750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKVPATVPAVADDCDQLRKAFQGWGTNEQLIISILGHRDAAQRRAIRRAYAETYGEELLRSITDEISGDFERAVILWTLDPAERDAVLANEAARKWQPENRVLVEIACARSSAQLFAVRQAYHDRFKRSLEEDVAAHATGDFRKLLVPLLSAYRYEGPEVNTRLAHSEAKILHEKIHHKKYSDDEIIRILTTRSKAQLLATFNHYNDEFGHRINKDLKADPKDEFLKTLRAVIRCFTCPDRYFEKVIRLAIAGMGTDENALTRIITTRAEVDLKLIAEAYQKRNSVPLERAVAGDTSGDYELMLLALLGHE >Et_1A_007937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39644047:39647273:1 gene:Et_1A_007937 transcript:Et_1A_007937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCVEPPPPIKLPESKDAELTDDDNHDSSKIEKRTRMHHIERHRSCVVTLSDIELNDLPSKSPGGAGTQCSPREETPTDTNASQRHAITDVAWEALKKSMVYFRGQPIGTVAAIDKSQGAALNYDQVFMRDFIPSALAFLMKGEHLIVKNFLVETARLQSREKMVDLFKLGQGVMPASFKVHHHNPTQKTESLLADFGETAIGRVAPVDSGLWWIILLRAYTKWTGDNSLAESPNCQGAMRLILRLCLSEGCDTSPALLCADGCSMIDRRMGIYGYPIEIHITKRIQALSYHLHSYYWLDFQRLNDIYRYKTEEYSQTALNKFNVIPESIPDWIFDFMPSRGGYFIGNVSPARMDFRWFCLGNFIAILSSLATGEQAEALLDLVEELWEELIGEMPLKICYPAMENQEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLVAVSVKLGRRHLARRAVELMDRRLVKDDFPEYYDGKAGRYIGKQARKFQTWSVAGYLVAKMLLDDPSHLRIIALEDDGHSRSGMPFLKRSNSCP >Et_2B_022865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6908746:6909547:1 gene:Et_2B_022865 transcript:Et_2B_022865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADMINHSIMAHAGANNLFSDSSLQMPLHFSTMEREARVLRYREKKKNRKFDKTIRYATRKTYAEARPRIKGRFAKRSEMEAEVDQMFSTAALSDETEDYYIKLE >Et_8A_057658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5725965:5730853:-1 gene:Et_8A_057658 transcript:Et_8A_057658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRGNCKHGLSSPNPICGQEKETSNKYGKETEPSRIVCSYAVIFSTTSDGRSLLNSAKSTACKPGLWLLYTAITVAVALPRLYWKWTRPCGNTNSSPLPTVCTYTLLVAPLTNPADTVPSMTKRSSEPAGCVCSGTMPPGWMSTRVAPRPSPFRPGKWWTKAVARPVLNGSRTGPAAARPLLWKSAAVTEASGLHGKPTGVSLIVRSATQKSWVMVAWEAKGCSESIDTTIAKRRSTALLAMVTRASLRASLAAASEHGLELLHLGVVQEGHLLHDGRREVVGEEREVGDLQAWVGAAECDERHGWAGAGVVLVVEQALWEHHDVAGVERLGVDGVGAGGDEAGGDGALGDEEELGAGRVCVDGHDAAHGDVEPGRGDAEPVHARELAHERRGHGGLDDVGRVAGGGEAVVGEVAGGGAGLARVPGRRVAAGQVGDAEVLRQRQGGEGKEEEGEEHGEQHHLGHGNDDLPS >Et_9B_065324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3789141:3792896:-1 gene:Et_9B_065324 transcript:Et_9B_065324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPAGVGAHLGAREQRSSWERWTGRADLGAREQRSCWERRTGGAEDERLAVMPGCACASANIVNMSQGTSALPKDKGPTPTADPGKAVVTKRQHSDTPSSPGYHNVYVRRKVESEHNKVNSSQELKGNGRDKTKEQEAQQDVQHEAQQDVQHEAQKDVEHEAQQGVQHEAQKGVQHEAQQGVHHEIQKDVQHEAHQDVQHDEVNKPQVASPVAKSMEPASSITSGKPNAETQQDVQHDEVNKPQVASPLRSLSAAGRSMHAIELEKRAIHLLVEEGKELQRMKALNVLGKVSPNASSKQTPLQRQFQK >Et_3B_028148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10982757:10986716:1 gene:Et_3B_028148 transcript:Et_3B_028148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEGYRQRQRRHQCVVSLLRVLFLLLFRCRRSASAGSEQDGDRVAFLPGQPRSPPVSQFSGYVTVNQRNGRALFYWFFEAQSSPANKPLLLWLNGGPGCSSVGYGAASELGPLRVTRQGAGLEFNKFAWNKEANLLFLESPVGVGFSYTNTSSDLTKLDDTFVAEDAYNFLVNWFKRFPQYKDRAFYISGESYAGHYVPQLAELVYDKNKDKTNTYINLKGFMVGNPLTDDYYDSKGLAEYAWSHAVVSDEVYERIKKVCNFKVSNWTDDCDKAMSIVFGQYHEIDIYNIYAPRCNLAQSAVAPSVDQVLATNDQEHFRRRIRMFSGYDACYSSYAEKYFNRADVQRAFHANVRGVLHQKWEVCSGDADGRVPVIGSRYCVEALGLPIKTQWQPWYLNKQVAGRFVEYQGMTMVTIRGAGHLVPLNKPEEGLALIDMFLLGKQLPTRR >Et_3A_026552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9644315:9650031:1 gene:Et_3A_026552 transcript:Et_3A_026552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGFLWKENGNRTDAAGMSGGHNNRSVEWDLNDWRWDTNLFLATPSSAAPSERGGRDTIRSQGEIDFGVVDKRRRVSPEDDSAECSNSVVANRENHGVVVQRGRSSEEERPRKGARSSSSPSCQVDGCQADLSGSRDYHKRHKVCEAHTRSRVVRIKGIEHRFCQQCSRFHLLQEFDEGKKSCRSRLAQHNGRRRKVQPHATTDGNSVVDNQSLRSTLFLLLKQLSGLESGSSSERIDHPNYLINILKNFASIAGTQAYQEILKNANSTSISSNAGNHVANGFSMHDQNRPPLRVVTESSAEEHPVKRRVQNFDLNDACVEDAESRTDKIVFKLFGKEPKDFPVNLREQILNWLSHYPSEMESYIRPGCVILTIYLRLPNWIWDEFDDDPAPWIEKLISMSNDGFWETGWVYARVQNWLTLICNGSLVLASPWQSLIGDKHQRLYVTPIAVACSSTAKFSVKGFNIVQPTTKLLCIFGEKHLIQEETQMLLEDTTIQQDPQCLSFSCSFPSTSGRGLIEIEDYDQSSLSVPFVVTDEDICLEIRMLEQELNLISDCETLEHIDDSMASHNRALYFLQEFGWLLQRSHMRAMSDQAQYCTEGFPVGRFRWLLSFAVDHEWCAVVKKLLNIMFQGNLDVPSPVEFVQGLNLLFIAVNKRSKLLVECLLRYTTANYAPVDTGATTPVQFLFTPQMTGPSNITPLHTAATISDASGVLDALTNDPQQMGIKVWKNARDATGFTPEDYARKKGFISYIQLVQEKIDRKIPKEHISIAIASEPSTTNVVGKHNSRMNFADKTTFDVEKSHSSIKQPVSCNRCVQQLTYRPKTSRFLSTRPAVLSLVAIAAVCVCVGLLMKSPPHVGCMRPFIWEHIKWGPTIWTIWYD >Et_5B_043428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10191798:10195581:-1 gene:Et_5B_043428 transcript:Et_5B_043428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMIATAALPAAARSVAALLADGGGVPADELRRLERKLFAARGLAANAEAKEGRDAGARAWLRDLRDALYEFGDAVDDSRRAAAEAARRQREGRRSHIQSSCEQFRQWFPLPSNTDRIRYKTLKNSINSLNEKMDSILQKASELGLVSVNQEGQNVRPEFSWEVVPDDHTLGGIKNEKNKLVDLLTDRKSANKVVTVVGGSGMGKTTLAWKVHEDHRIRNAFGNVVWVSIFSDFNDIGLLSAIVKAAGGKPRGEENRVRLESMLAALLKGRRFLLVIDNVCSHQICENSLEAHWHICGHGSRILITTRDESVATKVKDAYIYRIKEWTFQDCWSLLCRNACLDENVCENTLRNIGIMIIQKCNKLPMAVKIIGAVLRTKEQTQEAWKRVSESEGWSFQDLRDDVHGLNGAVYLGYHDLPLHLKQCLIYLSLFPEGSVIRQQFVSQLWISEGLIEERDDCSLEKTAEEYYRELLSRNLLQHEIGNDDITRCTVHDHIRYFLQFFTGDKILAGDLNPSSDGTSIEGLRHVWISSGKPMTTTEEIANVASMKTVILYKNPVGNHSLDKLFKGLKHLQVLDLGGTEIKYIPRTLESLIHLRLLNLSLTRIKELPESIECLRNLQFLGLRFCNWLHTLPNGIGKLPNLRTLDLRGTSLHQVLPSLVDLKQLSTLHGFVVNSTPNREDDPTGWPLEDLESLDALRSLQILKLERISRCSRMQGHMLEAKSHLKELELCCSNDDRQSEVQEKDARTLKDIFDKLSPPGCLKSLKIVSYYGKLFPDWIPNLSSLQRLVLIDCKFCEHLPNLGQLTELKFLTIIGCSKLVTIKQEQANLVHQAFPKLQKLHLRDMPNLESWTGFVSGDMPLLVKFRLENCSKLRYLPSGLKYSKALTSMQIHHVNSLQVIENLPVLKELVLQACNELERISNIPLLEVLIVNGCSQLKDINEVHLLRHVRIVDRELRELPSWFATHASMLQTLSIVGTAEILERLFPNREDWEIIRHVSKVYANLPDESPFFTYTKSSADFHVDQRTGERGSPSVLSPAALAHEALSISLDSTFERTTRIAVRRLPVIRASTVKRAMRRYLVPYLITVIIVMQLLSYLLQNRTYKEIWLVQTLFIFFTTVFLLLLVFLD >Et_1B_010938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15710017:15713091:1 gene:Et_1B_010938 transcript:Et_1B_010938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQESAATTTTTNGAGARPHAVVMPYPLQGHVIPAVHLALRLAERGFSITFVNTESVHHQIGAGDDIFAGARASASSGQAKELDVRYELVSDGFPLSFDRSLNHDQFMEGVLHVLPAHVEELLRRVVTDPATTCLVIDTFYVWPATLARKLGVPYVSFWTEPALIFNLYYHMDLLTQNGHFKCKEPRKDTITYIPGVPSIEPSELMSYLQDADATSVVHRIIYKAFEEARGADMVVCNTVEELEPSTIAALRAERPFYAVGPILPAGFARSAVATSMWAESDCSRWLDAQPPGSVLYISFGSYAHVTRHELHEIARGVLASGARFLWVLRPDVVSSDDPDPLPEGFASGRGLVVPWCCQVEVLSHAAVGGFLTHCGWNSILESVWAGVPMLCFPLLTDQLTNRRLVAREWRAGASVGDRGAVQADEVRARIEGLMMGGEEGAGLREQVKKLRATLQAAVAPGGSSRRNFDEFVDDLKRRCGGAGGR >Et_9A_062621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24501032:24504943:-1 gene:Et_9A_062621 transcript:Et_9A_062621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRAVLLHRALVLSSLLLLASGEAFFEERFDDGWESRWVKSDWKRSQGKAGTFRHTAGTYSGDPDDKGIQTTTDARHFAISAKFPEFSNKNRTLVIQYSIKIEQDIECGGAYIKLMSGYVNQKKFGGDTPYSFMFGPDICGDQTKKLHLILSYQGQNYPIKKDLKCETDKLTHFYTFILRPDASYSLLVDNREREFGSMYTDWDILPPRKIKDTNAKKPKDWDDKEYIEDPDQVKPEGYDSIPKDIPDPNDKKPESWDDDDDGIWKPRMIPNPEYRGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPLQYVGIEVWQVKAGSVFDNILICDDPDYARHVVDEFFGANKEAEKEAFEGAERRRKAREEEEARRAREEGEKRRRERDRNRGRDRYRDKYRDRYRRYDYHDEL >Et_8B_059101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1419805:1423659:1 gene:Et_8B_059101 transcript:Et_8B_059101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKMQGRTQFSDSNRRIMEADVPPRRVYQAWKGSNIFFLGGRLIFGPDVRSLVITVGLIVIPVVFFAAAVCPQLGHEYHSQIGGWVASVAVIFTAYILVILLLTSGRDPGIVPRNAHPPEPEDIGESNLSDWPGGQHGSTGLPLTKDVLVNGVLVKVKYCHTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTILCIYVFAFCWVNIRKIMEMHECKFGRALLKSPISGLLILYTFVAVWFVGGLTSFHLYLISTNQTTYENFRYRHDRKTNPHNRGLGQNFLEILFSKVPSSKHNFRAKVKEDSATFTSSLNMGRVLSPPKMSVDLEMGMKRQAVAADELEDLHSQIGSAMGLERCGTEPPHFVGRKGCSEIASDIEAFAEEFGIENGFTERKKIERHTNDGYWKEMTKHSAWKF >Et_9B_066224.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:7666817:7669426:1 gene:Et_9B_066224 transcript:Et_9B_066224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGILLAAIFVVGVVPWAEAETYKPTESILVNCGSAKEGQDADGRKWQSDQDSKWLVDGGKSSIMADADVQDPSLPSPVPYMSGRVFTKEAVYNFSVDAKDRHWVRLHFYPAAYHGLPADHFFFSVTTSTGVTLLRNFSVYITAKALSQAYIIREFTLPPSDGGSLSLTFTPTAMNNASYAFVNGIEIISMPNIFADPATMVGFADQTVDTAAGSMQTMYRLNVGGSYIAPANDSGLSRDWYADTPYIYGAAVGVTYQADDKVEIKFPSPEAEYAAPASLYRNSRTMGPDPKVNQHYNLTWVFEVDGNFTYVVRLHFCELLNTKVNQRAFDIYINNKTAQADADVIGWTSEKEVPVYKDYATFMPDDPGSRILWVALHPSMSMKPEFYDAILNGLEVFKMSDSSGNLAGPNPDPSKMLEEAELAVTQGKFKEKPSHVKAAVIGGAAGGAAAFGIVAAICIVVHHSKKRRALGTSVSHSSWLPVYGGNSQTNTTSKSSGGRSAALDPNITAMCRHFSFHDIKSATKNFDESLVIGVGGFGKVYRGVVDGDTKVAIKRSNPSSEQGVLEFQTEIEMLSKLRHKHLVSLIGCCEDDGEMILVYDYMAHGTLREHLYKSGKPPLSWRQRLEITIGAARGLHYLHTGAQYTIIHRDVKTTNILVDEKWVAKVSDFGLSKTGPTVKNQTHVSTMVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPSLPREQVSLADHALSCQRKGLLQDIIDPLLKGKIAPDCLKKYSETAEKCLADHGTDRPSMGDVLWNLEFALQMQDTFENGGKPEGEDSASASSTVSAADSMAASAAALELISEDMDEEDIANSVVFSQLVHPTGR >Et_8A_058000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11648060:11649534:-1 gene:Et_8A_058000 transcript:Et_8A_058000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKYGYIHRPVATASFKGTSSIAGKTTTTRDHVAVKPKRRRMCSSQDHIPPPWADLQPELLGMVLLRLPTRADRAFFPMVCRKWASAAQQEALPPPSPMPWLVLPGGSAISFPHGETFHLPEGTCYHNSYGEWLLL >Et_7B_053912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13202247:13205864:-1 gene:Et_7B_053912 transcript:Et_7B_053912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLRGRLLNPEGDGDCYLIGCLSPPSPFEFHPSSAPAPAPAPRRSSKDPCPPVFAPSPVPVHGAPGGRRDQGGVHGYGSPPAAAGGGGGDSHRRMVTYALIAAAAIAFVSLILLGVSVAVRRRQVRRRRQALLAAPTAAAADAPTDSDDGNDPEGGGGGVVHHVWYIRTVGLDEAAIHSIAATRYRAGAGLLGAADCTVCLGEFQDGELVRLLPKCGHAFHVPCIDTWLRAHVNCPLCRSDVLDPAVTADAGGGGGDAESVASTPDDPVANDNAAAEEEADATSDAMAEQEQEESNNDRGASPAEEEDQHEQPSVPEPPPPQRPCPRPQNVRRAASMDAAIVSAAALERLPEAAPEEEQSGRRKRGPSGAKESGSGQRSNLSIDRPAAVGIPRSFFSRHYRARSSATAKPHACSASDCVREAACFGALAIVDPSFPVPSPRCVALYSPPGNSSTGS >Et_5B_045620.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5625758:5626453:1 gene:Et_5B_045620 transcript:Et_5B_045620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTTSFSVAVVGVLALLAIAGEAATFTVVNQCPYTVWAASVPVGGGRQLNRGESWSINVPAGTTAARIWARTGCQFDGSGRGSCRTGDCGGVLRCTGYGRAPNTLAEFALNQFNNLDFFDISLIDGFNVPMSFLPDGGSGCGRGPRCAVDITAQCPAELRQDGVCNNACPVFKRDEYCCVGSAANTCGPTNYSRFFKGLCPDAYSYPKDDATSTFTCPGGTNYRVVFCP >Et_3A_024945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25578490:25579317:-1 gene:Et_3A_024945 transcript:Et_3A_024945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSECKGQLLQLHAAEQQQEQPFVAKTFHMVSDPATDAVVRWGVASNTFLVLDPAAFSEYLPSYLKHRNFASFIRQLNTYGFRKVDTDRWEFAHQLFLRGQTQLLPLIVRKKKPGCRDLCEEGEEVRGTIRAVQRLREEQRGMEEELQAMDQRLQAAESRPGQMLAFLAKLADEPGVVLRAMLGKKEELAAAGGGMIKGSPAAVEAPDRGRGLRRRGGRRRRGDAGQGGGAVQFPFSVLGQVFYY >Et_3B_028197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11586395:11598135:-1 gene:Et_3B_028197 transcript:Et_3B_028197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPVLVDDLRPSKPPPASFYDDMEPESVGFDDLFPGLGGSTKSTEPVREAAEPDQEAVEFDDDFIAGFGGSTKPATPVEQEAVGFDDGAISGLGGSTNRHDSARDDPITRQESESISFKKMSVSTPEDPFVILGAMPKSEYSSFGLFSDHLDNISMPPKSENTKVDAPVNTTVQSSDIFAGFPKAMPSFSFPSEKSNHVLHGKSVQRASEEATVSILPEKNVSEPSVIHEVHVTTGFHSSNPFVMDDGQSEENQYADDVWLTVSDIVLVTQPTSAPPPSRPPPPLAAKQPSMESVTSKSFAHHHNQGYHHSVDSENTYKTSQIDELEDFFMAKPAKLGDGHPQVLNHEGLEQYSSTGTARFMGLADLRHWMDQGAFGLDEKANLSAHEMEKTDEERLENERKQREHEERQQRVEREREEELERERENVRQREQEEQQRAQREREEELEREREKVRQREQEERKRRDKEREARQAVEKAIREARERAATEARVRAEREAHQRAERAAVQKAAAEARERAAKAAAEAKERAEQEARERAAEESRERAARAAAEAREKAAAESLEKVAAEARAKAERAAVEKAAAEARRRAERAAFERVAAEARQRAANEARERAAAEARARENQQRTATAQPDLESFFGMPSRSSSVPRSQTATTNPFSAQHQGNSGPGTVRRTSSAPSSSAVFQELDGESEERRKARLERHQRTMERAAKALAEKNERDLQVQREQEERHRIGETLDFEIKRWAAGKEGNLRALLSTLQYILWPECGWRPISLTDLITAASVKKEYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKNHAGQRVRNLNAPH >Et_2B_022539.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25492217:25492900:-1 gene:Et_2B_022539 transcript:Et_2B_022539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDTGSSFTQWANLYDPEPSPEPGAGFASAADGNVDSATIAAAASPTSAGSGGSPTKAGVAASRQPGIEGPRVGKPARRRSRASRRAPVTLLNTDTANFRAMVQQFTGIPAPPAAAFGGPVINFAGNYGFPPPAGVMSFDHHLHHRSQHHQAPAPLHDHLRRQQQQQHQQPQQYTGAAFGNYNSLLHGGGDMFASAEDRLLLQSIQAAQMPPAPVANNSSLGFFA >Et_9B_064085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11065272:11068327:1 gene:Et_9B_064085 transcript:Et_9B_064085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHIAAAASASRRIDWVLVITHAVAGRLSNGVPASGDTRNSVKIEASLGLARPPLPSTLFVHSSDMNPFVPPNIVCMVDDLLLRVNMGKGPFSLSPDDCDYFIYRAHADHPSLQRLQRPHPFFHDSDVGLLPRPDGQYMVAALIATSTAHEYTLYLFDSAIQKWRCSTVFVKEPQREFPVNIPVDSARLHHHVTTTVITIGGDGGTMGWVDLWRGILFPSLRGVPLPLPLKELSYNDGMGMKLGSPGQRRGIAFIREESCLKFVHLEVRDVRLRAKADKTGAPYFRTDNWVVTTWTKSKMTNTFDDWHPDSEVEASDITIDDPAVSQALDSVLLRRPQGNGDAVEKLALNNLSMSQPTVSVSSNHVFLAARQKYMDPQAWILAVDVKNHKLEAVVNLGDQCHYGAAVLYFPSRIPRHLNPMEFFDRATTPAFRSAVSVFSGMPLGHGAMLLGKMGRTRAAWGGERHGRLPHES >Et_3B_029047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21650783:21654305:-1 gene:Et_3B_029047 transcript:Et_3B_029047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSVAVHAIGTDVASLSPLRLRAPLALDMMRYQRLSPDCLPLGNGGGGGGGGSVPRKPASRTSYKDDDTPYVASDGSRLASYLAASPVESKPLRTRAPPPPPSSAGRSPARDHANHHPSDSSDTASPSSTSGGGVGDVLLQWGHNKRSRCRRDSAAAAPSAQRRQPGVGVGAKIQRRASAPPEKLMPPPPPAAASYTRGSNLRSTSSFPSRSSTTAAGDVRHDGHHPPHHHHHHRSVEERSGGGLKRSSPDKAHKSAMEAVLHMEPKNHHNHHHDSPLKANGAVAGEKLGVERFELPRIYISLSRKEKEDDFLAMKGTKLPQRPKKRAKIVDKGLQFVFPGMWLSDLTKGRYEVREKKCVKKV >Et_1B_012591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33520027:33524460:1 gene:Et_1B_012591 transcript:Et_1B_012591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRKDSQPKKKGVSQFIMKFERLNITKTVAHEPPGGHDAEYYGLLAMTDVYGHELKRGQWTSTAIWVSHDGDGSKSSFNSIHVGWQVFPARYGDSRTHFYTYWTRDGSQKTGCFNMECPGFVRADGAIIAPGAVIDPVSDANNLQNVTLKVFKHSESSRLLPPRTLFTSMEDNANNIAFGALAVASETLPTPPMGSGALPNGGQSRAASFSYVSLVDRDGKSIPITGDWPSNADKGQCYSITPLASAKCFYGGPGGCM >Et_9A_061937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18000192:18003045:-1 gene:Et_9A_061937 transcript:Et_9A_061937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLVFSNASSCRWSSGMWPNNVGKTPLSDSAGKFQRKKLFSGGSYRSSRFHCSANSRRPAPRRTKDTLFDLHPEISLLYGEDDGAATVSSKEQDIDKTAERLLDTPATYRYNEPRIKVIGVGGGGSNAVNRMIESSMKGVEFWIVNTDFQAMRMSPIDPENRLQIGQELTRGLGAGGNPEIGMNAAKESQELVEQAVSGADMVFVTAGMGGGTGTGGAPIIAGIAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIASLRSNVDTLIVIPNDKLLTAVSPNTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSVMSDAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLTEVNAAAEVIYDLVDPGANLIFGSVIDPSYTGQVSITLIATGFKRQEEAESRSAQAGGDSNRSRSSWFSPATQEEGPALQIPEFLQRRGRSGFPRV >Et_4B_036015.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:13626601:13626921:1 gene:Et_4B_036015 transcript:Et_4B_036015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLSYLRVEVQQEATSSLTNTSTNAIVDNSSLDESKLHIVLCAAVRYCKHNCICCSYFEPERCYDSWDECRANCPACDPLCRQILTNRTFTKEPRLYELSYRGGDHA >Et_1B_011924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27223409:27227668:1 gene:Et_1B_011924 transcript:Et_1B_011924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRRRRGSAVSSASTTWRFPSDILLEITARSDATPIIRFAAACKSLRREILRPVPAIAQHGEDSTAAVPDDALERIFSGFLDGPGPLSFGAPSCAGTGLASSPVARSLSRAMKPLGRRLPDLAVGFFYQENDGPRARNCIRSLPWFLSRCRRNARRRPVAGGAQHDENGTAAILDDDAIGRVFAGFLDGASVVRCAVTCRHWARVVASDAAVISRALKPPGRRLPDLALGFFYQENDGPRSRTRIRSRTAATTVLEPSFVPTASCRRRFFGVVAPCALGSLMNRLPCAGILDHARPVASRNGRLVLELRRDSHADGVRLCVCNPMAGDMEAALPELSGDDMPGYYACALLTGDDDLTQHRPTFFRLLLVYNRRGFTAHRCYSSDDGRWGPEVRKAGDKIPGEKLRRLGPAVVVRGVAYWALSNAVFGVRLTTRELDSSAVRFLGCPRDPYTDTRLLTVSPEEGRLSFISTRPCGGVFCMQAYVFRGGDDDDGDTAGEWEHCGPPKVCFPQLHVTDPLDIKMRFFCEKSGVVFFALGRCSRTPGTYALSLETNEVEKLVDGEGFSWKGLCGYEIDHAAYLTLLRCAHGRATKRCRHANEAAHRRPAAAAPSPMWTVPSDILLEIAAQTDTATLIRCAAACKTLRREILRPDFIRRVCNEPDGIVPPRLTLGFLAESTFHLLHPATPATVSLAADHLAPFLTRTAAGLLEQYRPLTSRGGLVLLERRCVNMRRWSERRSDMCVYDPMTNSRAFFPFPSEVRSGRYEHRGHVDHLIKYVLLTAADDGVGCCSFFLVAADIMSCSNDRVPLRVQTLSSSDDGGKWGPVANVYNPARPGSIPLQHDNAAIVVEGNHVLTYNISTATAGLVRLPVDTNYCVSEYCLGSSPDGKLCLFTMDGFKLSIWLLSRSPAGAWRRRAVVDMKVTLRSLMIRKEYWGDHAIEFESSGDQRSGAVLLRLSGPGGRNDELLVLDMETMNTRWVGHTSGLPFEVNLTSRVTAMKAFLD >Et_1B_014443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8887266:8890832:1 gene:Et_1B_014443 transcript:Et_1B_014443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNGEQISDPLAPGHMTPTVLMEFGHQRPMKRAYDEMAFRGVAVATPRGYTETVGESEGAPGSPVRSEDSAAPKRKCISLNSDGFDVKREIFVPSKMSSSERRYLRKRFRVELDSVRELLKKPEFSIPAPVSRAPALSSSAAPKQKKVHRGSHVVRGAKGRFLPTKPRPEPSVAAALSDTAVFKQCEAILKKLMTQKCSHIFNEPVDVQKLNIPDYVDIIKHPMDLGTIKKKLDSGSYSSPLDFAADVRLTFNNAMTYNPRGHAVHDMAIHLNKMFESRWRTIEKKLASAATAAHVEVDRADSKRRNIPPVECSEVSTGCLRQAEPVKPKMTYDEKEDLGNLLTSLSEGDQEMPAHIIDLLQQCIDNSAGQAGDGEIEIDIHAVRDDLLFELKKHVDKYLQEKDQKQLAKSEPSENDAVNVSGLSHSSTNPCKGSEPVEEDVDICGNASPILIEKDAQIRSSKFGSPSSSSSDSESSSSDSDSGSDSESESEKVGSPGKLAKETKKSDQMEQEKSDVISPADANRPADDMELCEQDSESKPAPEGENSEPDRQVSPDKLLRAALLRSRYADVIVKARGILSQGGDKQEELEKLQKEEKARLLAEGNAAMEARRAEAEAEAKRKRDVEREKARQALQEASENCILLEGKIPDFLLLINYMERTVEINDNLHPKDLEMLGTATAEHIVSSVDETSPERSQDGMPGFLPGPVNPLEQLGLFMKVDDEEDEEEPSCPSSVPSSKDAEEGEIN >Et_3B_031004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9925400:9931393:-1 gene:Et_3B_031004 transcript:Et_3B_031004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRRDMFCATVLLLVACNAAAAGTKNGHQCDVFRGRWVRDASYPLYDSSRCPFVRKEFDCRKAGRPDTGYLKYRWRPNPPCSLPRFDGLKLLRLWRGKKVVFVGDSLVMNQYESLLCMLHAAAPAGARTTTSWASGDSPSKTVRFVDYSVTLVYYSSHYLVDIVNEKAGRALNLDAVDNGHNWPGADVLVFGSWRWWANKKSEWDYVKDGNTIMQDMDRTQAFSKALQTWARWVDANLGQTSTKVFFQGFSPSHRNGLEWGAPWGKTCVGETQPLNNTAAYHGQVNPQDAVLRRVLSGMTKPVYLLDITFMSQLRKDGHTTKYNGDSNGADCTHWFDGLKLLRLWRGKKVVFVGDSLVVNQFESLLCMLHAAAPGARTTASWASGDSPSITVRFVKRLGLRQGWQHNYKGHGPDPGLLQGAANLGQMGRCQPRPDQNQSFLSGLLSLPPQ >Et_3B_030713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6691034:6695497:1 gene:Et_3B_030713 transcript:Et_3B_030713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQAPAAVAEHGVESVKRQLCRMVASSLSATATGVDVEPMLEVSKPGFGDYQCNNAMSLFARTRGTGSGTTYRNPVAVGQEIANNLPPSDIVESTSVAGPGYVNIVISSDWIAERIQNMLIHGIKTWAPKLPVKRALLDFSSPNIAKEMHVGHIRSTIIGDTLARMFEFANVEVLRRNHVGDWGTQFGMLIEFLFEKFPNWEEVGNQAIGDLQSFYKASKTKFDEDEDFKGRAQQAVVRLQQGQDRYRAAWKNICQISRNEFDLVYKRLGVELEEKGESFYNPYIPPVLEELTAKGLITENEGARVIFIEGQNVPLIVVKKDGGFNYASTDLAALWYRLNMEKAEWIIYVTDVGQQQHFHKFLSAASMAGWLPDQNGKRYPRMSHVGFGLVLGPDGKRFRTRASEVVRLVDLLDEAESRCKAELKKRLTENGKIADWTDYEIEKTSKIIGLGAVKYADLKNNRLTDYTFSFEQMLSDKGNTAVYLQYAHARICSIIRKAGKDIEKLKMSGCITCIHSDERTLGLHLIRFQEVVEQACTDLFPHYLCDYLYSLSEAFSKFYTNCPVIGSPEETSRLLLCEATAVVMRQCFYMLGITPVHKL >Et_7A_052137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:550972:552062:-1 gene:Et_7A_052137 transcript:Et_7A_052137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLLRPLEVLTGVVVRTDGHHRGDGKPFTEISNGGRVPMDLHFDHVDTILKLLRCFIHIGDNTLRLRLPCALNRITLCGCCMLSAGSKDGGNLNGVRVRPHRKSMILSRCCHIEGSWLVEGREGGRGRQRRGASSGGGGGEIREMGGKMRDELVLTGRMLLAGNVFISDSDSLHRLTSTSLQQPEEIGKFVDPEPTNVRTEDLAVVCSVVCRCIDPDPSKLEAVDADHHRRATAGQRDRLVRGRHPEHGLSSPWRCNILCKFIGTRTNINHGCRLTYRGLRRTSRQLLCFVHDTDCFD >Et_7B_054354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20273920:20274978:-1 gene:Et_7B_054354 transcript:Et_7B_054354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDGYGNPPGMQMPPPNPHPGQFGNAFNGPSSGLIRTGLEAYGGKFLDSSSEFMQSNINRYFSNPQYYFHVNDQYVRNKLKIILFPFFHRGHWTRISEPVGGRLSYKPPIYDINAPDLYIPFMAFGSFIILAGFTLGFMGKFTPEAINLHFTRALIGWALQLVILKGLLYSMGGGEVPLLDLVAYGGYLFAGLSLAVVARLIWAYSYYIVMPWMSLCMGVFLVRTMKRVLFTEMRSSERHSSRQHYFLLFMAIAQFPLFFWLGSIGA >Et_1A_004927.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:17271709:17271723:1 gene:Et_1A_004927 transcript:Et_1A_004927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVG >Et_10B_003770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5768619:5775441:1 gene:Et_10B_003770 transcript:Et_10B_003770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLDTIDDSRWDEVMYINGYAVFMGYLMMGVRGLGVLVITWTTVVLLGGFVSLLSKKDFWCLAGITLVQAAGTKRGELHGPHCGQNRPLAKWAVPCRHDRLALRPKHSSSRALGRRNPPMVQARQGRCQTVFNFLLAETLSDFVRSWWGLVGTAVAMVTKPLTKDYGGAFTSRIVMALVLSAIQALGLVIILCPVAALYMSGMYISAGVALWRLIEHDFDNADGGANLKLALEVLYSLAVAQGALFGYRTVHGIGAKLGLAEFVAAEIGRYPEDRCRVDKRLISDYLESTVAGCEKDPSIATRRNLVTYGMDLMVEAKTNDGFIAEIRVLGTVTDGGNFSSGQKVLAKHLLTRLVSWGHTIERLLETLGPRSPYRREIREHAARIVALVAGGIRLKKFHRGMECISSLLDTFETPVVYRGPRMPIEYERPWLLEEYERHHLLSTDSAVGESPGRVSNPLHACERLVFEGVSIIRQLADDEDNRRVMRNTDGLLFKIVMVPLLLDKLHRADHDAWEKKVKETRELIRRLTFAPAEVGTGPRGDISCNSEAIFSNLMSIVECVWCKVSMKIEAIDILLDPSVDTCSIMASGRSRRMFSWMLLLVFLVADEDICSFTTWRKKSSDIRRLAGEKLLGMVSSDQGLSAMLPLQSVRIVVDDLTGAFLDAGNISNRVQAADILRNLCGHYIKDDEYCEELKKAVVDVMPQVLKELFCFRSTRAAVPAVTEENSVQSSSEGNIDMESGEGNGQENTFSYQQQKGEQPEDNRPWKALVYLCWTVQRRWYEKDPGLAHRLDDITKKVCSEQGKHIKDFGELVDEACELLKKLMKEE >Et_4A_034361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3247216:3250125:-1 gene:Et_4A_034361 transcript:Et_4A_034361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSCDDVLAQDGKLWWVSLVFGLLGCEPFNDEPELRHIGLPGEKVLMPQLPIQSCRMVRVIQGKVRFVDVSRAAELTSADTLPPPISWRHLHAWELSPTLIYDSVGLSNNSVAIVRHECWSQFEVNFNMYTTTGKPLKETKIKDYEVSMIECYEMKGNLCTRRRTHLKNNTEFQLLQWRRSKSMSSIVGDQKNVQEPSNSSLIGAIRELSANGKEVRVPVIVTK >Et_8B_058867.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10226191:10233924:1 gene:Et_8B_058867 transcript:Et_8B_058867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSRLRLLSLFLLDVRLQRRPARRQTTAAHRGELHRGSATSRRRRAGLGRLDGARCPAPSALPHLLMPTRCRHRQFPTEPRVQRKLPNEEEDNDDDEVPSSDRTQPGELITPSNTSGILVNANGGYQMAGVTASALIGVMNPLLGRLCTLLEGECAKIKCVHPQIVFLRDELSSMRTALEMVSESDEAKPQVKEWMSQLRELSYDIEDCIEIFMHQLVHADTCDGFIQKIINKVTTLRAHYHIGNQINELKERALEITDRRKRYKLDTSSSSPKSLVIDPRLPALFEEADRLVGVDTQIDKLVQWLINGIDLHPQRKVVSIAGLGGLGKTTLANQVFHKIRSQFDCTAFVSVTQSPNVNKVLADTLLQFLKSSSPLRADQNEDTARMKEDLYLKALEYPQLVNMNRDYLQNKRYLVIIDDIWTKQDWKEVQCAFPHNNYASRIMTTTRIKDVARFCCFPEKENVYQMRPLNNDDSKSLFLKRIFDRKDDCPPELEEVTGDILRKCQGLPLAIVNIASLLATKPTLKQEWERVRNSLGSAHQKDHELEVVKMILSLSYYDLPHYLKICFLDLSKFPEDHVIGRLCLIRRWIAEGLIVEQQGQHVEHTAENYFSELINRNMIEPVDTDYSGRPRACRVHDIMLDLIISLSIKENFVTIVGDRNLTHSTNKIRRLSIQGNMEDQSLCLGSNSLSHVRSLSVFGDVKKMPSLVDFQVLRVLDIQYCSSLEDGDIGNIGRLVHLRYLSLYSSNISKIPRQIGSLKHLQTLDLRATRIKEVPETIPQLHQLLRLYVPNGVRLPNGIGSMALEELLMMDASKNSPQVVQELGNLTKLKVLGIKWCADGGLNDERSFKKSLVSSFCNLGDKNLRSLRIETTERCSMDFLFDSLCPPPCHTKKSMMTLVFEEGAMPRLKRLWFRFAVHDTLSAHGVGFDFGISLLSTLKRLWVSINCRGSRAWEVEAAKETIKNAAALLPNRPRYEIHIFGDEEMVNDDER >Et_4B_038034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25653332:25653780:1 gene:Et_4B_038034 transcript:Et_4B_038034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMDRAAVPAKRVWLGIAARLGLRRKTGLRKLRKEVRTCEYQDVHLMWQMLRTADAPVPLAEKEAAAAAAVAAAAGARKRKKAWRRFIYYCCAF >Et_4A_031767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12483917:12484873:-1 gene:Et_4A_031767 transcript:Et_4A_031767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGCNPNTIVYQRIIHHLFAQGKGGEALRVFDEIKRRGYDINRVTYTTVIDGLIKLHRFGDAQMIWNEMVDKGIEPNEYAYCTLADSYFEAGDFERAHEETTVSCNILVKGFCVHGRVDDAFRVFDEMVRKGVERDVITYNTLIQGLCKVGKLAQAIEMYEQLLASGLEPIVSTFTPLIDAMCNVGQMDAAVDLFKLMQAKGLEPLVRSNESIIDGFCKIDRADDGMAWLAGMLKNNIKPRERTFNYLVESLSTSGRLDDALLVLNIMFKVGFEQSSFACTILVEKLCTGDVSYSHKLDDILVNE >Et_3B_031203.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20673994:20677507:1 gene:Et_3B_031203 transcript:Et_3B_031203.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDALHAELADLAGSELIARLRISTRRVDFEAVARVLDARDGRLAAAEAAVAEANKLLEARDGRLAAAEAAVAEANKLLDGRDGRLAAAEAAVAEANKLLDARDRERTQLQEALAKVEALRKKHDARGGQRSGDERGRPRSKDARGEPRSEDARPGDAIKAPAPEQRAEVPEEKRPDVIDLCSSGDEEEEREECRAGDSAVSRKRKASAPEVNGEGPRRRVSWKGNAAASAPLSDDEDDSLTLSQLMKKRGGAQPGADGEPKSTDSVRGLGDCLPQTSLVIKQEIVETTVGGSQEPNEATFVQGRGDVNSGEHGGMSRAMPSPPALSFALVNGTLKNPSWMNSAVPLGNHLPETPVIRECPEARVVQSVEPKEPTFVERMENGKSAEDVGVNRATLSPTPTGFAARTGSQKIISNGDHVEVTIAGKEGSLENDISKTPSVIMERPEARVGGSEEPKVAAFVQGRVKIKSEDDEGVSMTMLSPPPGFPARTGSHKNLSKADHGVQRIAPKIVESARADAELPKGHTVSALGDSVVLGLETNRNAMPVEGLLPSAVTRNWECDADVFISCLENKELCIQAACALYRQKKLIFQPPEGGQSGNTGFSKLDANRVAKLVEFLSDGNVYGPLKRTTEEVMKHDSTGPSFLEEVECELELEFIWRRAF >Et_1B_011948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27436225:27436805:-1 gene:Et_1B_011948 transcript:Et_1B_011948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTRARACEWPRSKDGVRSKSLSTARDLARPPFFNCVIKETLRLYSPTPLLLPHETVGDYTILHGTMLLINSFSIQMNLFLTGNKLFSLNFTSFSLGKKITKERATYIPFGMGKRACPGDNLGMQMVALILGTMIQWEEPVGTEEGSDLTMPKLVPLKAMYKPRS >Et_9A_061785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16361736:16364463:-1 gene:Et_9A_061785 transcript:Et_9A_061785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSALLLAALLAAAHYVLRLLHSFLWVPYRMERRLRRQGIRGPPRSLLTGNAADYRALLAAVRSAPLASFHHCIVGRATPQYTLVISDPELVKAVMTDSTGAFDKTGGGVNNPLARQLIGEGLVGLTGEKWARHRRVIAPAFNMERVKVTMVIKETLRLYPPALFVNRTTTRDNLAMVEAKVALAMTLQQFAFTVSSSYVHAPMLSLTLEPQYGAQVIIHKI >Et_1A_007695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37362293:37365420:1 gene:Et_1A_007695 transcript:Et_1A_007695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPKSAPGGKRNGSSVRIAGAKSSSSRREDDKGKGAKLGGWNKGDKREEHKGRQGSMGTRGRRSDGIPRVGVKKSVSATSDPERRKRKISDDSWDDDGGGKSSAKQGFTRKSASTITRGKYSARKGDRLKSQNLDGDDSYSGRSNNKSSGTSGGVKGRSVHGKNVEVTRGKKFGESTEFRHKKGGTKDVGLDEQAVDGKNSDDSGSITEEKKPRPRLTRVLDRTGKKVKPAKKDAVPDSEEPTPKKKRKRMKLDPYDTSNKRIDEFPPKQDVSNAEKVLEKCAPEETEMSINAKFRAIQPSPSILSYVEDNLLGRRRLNEIKNAGYNVKISAPLDNVPFSTSTERERIEENVFRNKLEFFAAAKISSSFPPPTIPEIAFAGVSNVGKSSLLNALTRQWGIVRTSDKPGLTQSINFFRLASKLCLVDLPGYGFAYAKEEVKESWQELVKEYVSTRVGLERVCLLVHTKRGMKPLDYELVDLMEKHKTPYQIVLTKTDLVFPIDVARRAVEIQESLRKNKSVVNPVMMVSSKTGAGIRNLRGVLGKLARFIKP >Et_6B_048732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12987019:12992509:-1 gene:Et_6B_048732 transcript:Et_6B_048732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTKSVDEFHGTNGGGSAPDSNNTEHGHGDLEAGRGGRETSSPTPTLTPTRSGRRLVSLDVFRGITVLLMILVDDVGGIVPAISHSPWDGVMLADFVFPFFLFIVGVSLAFAYKRVPNKMMATKKAVLRAARLFLVGLLLQGGFFHSIHDLTYGIDIRKIRLMGILQRIAIAYLVVAVCEIWLRGTGAGDVGTGGYELIKRYRHQLFVGLVLTISYTALLYGMYVPDWEYEVTPPDSTLKHFLVKCGIRGDTSPGCNAVGMIDQRILGIQHLYAHPVYLKTAQCSINSPRNGPLPSDAPSWCQAPFDPEGLLSSLMAIVTCLSGLQIGHVVVHFKVDMYGYKKMFFPMEWVGKHALMIFVLVACNIAPILVHGFYWRDPQNNLLKFIGIGGGRSQI >Et_3A_026339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6980776:7004218:1 gene:Et_3A_026339 transcript:Et_3A_026339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKKRMATPTSTTAAAAANTSKTLSMKLLIDTKTQRVLFAEASKDVVDFLFSLLSLPIATAAKLIGPESVAGSVGNLYASVDKLDSCYFMPGAAKDALLGPTAASHAVATSRSLLRLPEPSSSSPPVEPKTMYKCIICYLFVADLIGTQCPGCGHRMTTAMFYVGDQNVRSTTAMDVGAAKGLVKYVRCTVTDDLHVTLMSTISAGFTLLNTFAVRDLTDIQEKTVEIGYDEGVEILKASLQSKTVLTDVFLGKKAPGDACLLINTKTQRVLFAEAGKDVVDFLFSLLSLPISTAAKLIGPESAASSVGNLYASVDKLDSTYVLPEAAKDAFLQPTIASAAMTNGSSLLRLPEASPPRTVQPKNFYRCRYSHTSCHLYVAGVSGTKCPSCGHQMLTVMYYTGQAVQNTAVDATGSGAKGLVQGFVTYTVTDGLAVTPMSTISGITLLNTFGVRDLSDLQEKTVQIGYDEGVEILKASLHSQTVLTDVFLRKKAPDPRMATANTTSLSMELTIDVANQQVLFAEASRDVVDYLHSLLSGMPEPDAFAENATLDGCVENILDSVEDLDAFELDLRARRSPPPSSSSSAKRFFECGYRRGAGCAGYVAEARGARCPSCGWEMAAEVPPDSPAAGGSGEAVACLLNDDLTVRPVAAARLEHARRMALMMMGVVVSATELEKRTVPLGYTEVISICSLLLGLAILETSLQSDTVLTDVFLGNKDFPSMATSETTSLSMDLIIDTARQRVLFAEASRDVVDYLHSLLDDMPDAFAESATVDGCVDNIVESVVDLDVAELETRAPCSSAKAKRFFECGYKRGAGCGGYVAVARGARCPSCGLEMAAEVPRDSPGAGGSGEVVMCLLHDDLTVTPVVASRLRRMALKMMRGVVTATELETRIVQLGYTEVTTAASLSSFDVYLGLAILETSLQSDTVLTDVFLGNKDFPSMATALTMELVIDTANHRLLFGEATKGAVDYLHSLLASPDMSLAFENATAGGCVGNLYDSVELLDAVGALLPPPAPSSSAGQQPAKRFFVCGDKLGVNCARYVAEARGASCPSCGRKMKAEVLPQLSSPGAGCSGAGNAAAATGGGADESVMCLLKDDLTVMPVPASKLAQARNLALCVLSNAFSVSVATLERRTVPLGYTEVITSLQLPPSFHAYRQTCRTTVKLTFTDLLQGLAILGASLRSSTVLTDQWQPRTPQKR >Et_2A_015543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14653400:14663374:-1 gene:Et_2A_015543 transcript:Et_2A_015543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVDGGGFVHEEEEGDRPARYLPIGHVYSASAPAPSPRPPLPKPSVIDKPPVKVYYQRRRKKPRVEDTPPLPATARPAPAERDDEEEPGPSRRKSSLKYELLNLGSAPPALRVDGDADGEEPGRRRGRSRNGGGVGKTVSFSESERRRPGRPKGSVGRRWVELEIEGADPNAFVGLACKVFWPLDNDWYKGSVTGYSEATKKHSVKYEDGESEDLSLADEKIRFSISSEEMKSLNLKFGISNQDKKGHDELVALALGVHDYQSRDPGDLVWAKITGHAMWPAVVVDELDVPANRALKPVRSDQSILVQFFGTHDFARVKLKLVEPFLNGLIYSSLHLKCKQAAFERSLEEAKEFLRTHQLPEIMLQLRKSARHEGSDVKSSEDGTESCGTYSEDRATENGEDYEEMTEIDLGSLRVSNLGRIVSDSDHFHNKKHIWPEGYTAFRNFMSIKDPHSVTSYKMEVLRSSDTKARPLFRVTSDDGVQIDGATPNACWKEIYGRLKEKQDNVVTESGSCMFGFSNPQIRQLIQELPNARSCLKYFENSGDTILGYRAVNVNWKDLDYCNVCDMDEEYEDNLFLQCDKCRMMVHARCYGELEPPDGALWLCNLCRPGAPRVSPRCCLCPVTGGAMKPTTDGRWAHLACAIWIPETCLKDVKRMEPIDGLSRINKDRWKLICSICGVSYGVCIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHLMSIDEVEDPCIRLLSYCKKHRQPSAERPSLERNPVEPSQVVQRDLASPSGCARTEPYDFHRRRGQKQPQVMATASLKRLYVENRPHIVSGCCQSRVGNHTYSEPIQPVGLSDTVQQDSFGNVSSMVEKYTSMKATFKKRLTFGKSRIHGYGVFAKFAHKAGDMMIEYIGEIVRPPISDIRERQIYNSLVGAGTYMFRIDDDRVVDATRAGSIAHLINHSCEPNCYSRAITVLGDVHIIIFAKRDINPWEELTYDYRFSSIDQRLPCHCGSSKCRGVVNDVEAEIQSAKLRVTRSELFQRRED >Et_3B_027404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12913672:12914104:1 gene:Et_3B_027404 transcript:Et_3B_027404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQDSAPPSYAAGVQRRWQKLLMRSLHRKSVTPSLPVDLSQSLHAGWHPRDDTSLQKQSGGNFLLQTPQSSQHGHEVGFGRPAWEGRSKNSHPCFPRWAELRGRQQQPTRVAASGAS >Et_6A_047168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26212543:26213950:1 gene:Et_6A_047168 transcript:Et_6A_047168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILDSLIGSCAKKLQDVITEEAILILGVKEDLKELQRTIKQIQCFLSDAEKRRTEDSSVTNWLDELKDAMYEADDIIDMARLEERKLLGDGPLSPRRSAACTSISIFSCLPYIRRRHDIAVRIRNFKAELDKISKLGQIFNLQIVQPEVNASPGPHMKSCPLMEPNLVGKETALSCTRLVELMLAHKEKKAYKIGIVGTGGVGKTTLAQKIYNDHRLKGTFNKKAWICISQQYSEVALLKEFLRNIGVHYGQDETIGELSRTLATSIENESFFIVLDDVWQHEVWTNLLRTPLATAATGILLVTTRNDTVARAIGVEAMHRVELMSEEVGWELLWKSMNIKIEVEVHNLKVIGIEFVRMCGGLPLAIKVTASVLATKEKTENEWRKLKNITMN >Et_5A_040615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10207512:10211700:-1 gene:Et_5A_040615 transcript:Et_5A_040615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESMNVSKTRLCGKILRKLMDHKGCWLFNKPVDPVLYGIPDYFDVIRNPMDLGTVKKKLTSNQYVSPCEFAADVRLTFSNAMKYNPPGNDVHTIAEQLNRTFESEWKSVERKWDHRNPVQEQQPMKAIKDRAAINSKSMIARELIAKSNSLATEPLINAISSKVKIKFSVRGSKITSSKDMHFHAADSSNCSLNNSVPCTKGHAKISGIQPNDNSFELNGEEDSLHLEPLSPSKALRIAMLKRRFAGTIVKAQQNALLDHGKEIDPAKLQLEKERLEKKQQEEKARIEAQVKAAEAAAQLKLEEETRMKREQERKAARVALHMMKKTVDIDNSDFLKDLDNFCQKWQSNPPCKLIMEFVNGIEFPTDLGSPLEALGLFMKKDLEEEVEHEMEHNISPSLNADVEEGEISCCQ >Et_9A_061155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19228634:19230151:-1 gene:Et_9A_061155 transcript:Et_9A_061155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLHGLSAAISSHLRRGLSTAASHPAWDFMDLSTTAPVVATHRAPPQERRITGYDMEPDVTRFVCNPVTGQLSRLPDIDGTKKTMYCHNLGLLTASAHGNGNGPPDRYAVAWIGEDGGEWEKLERLPSPLPLARPLGIHTHHEVLAFAGRIWWIDLSWGAVSADPFSDRPELRFVGLPGRLLPLPSSAAEITGYLAAQGMYRRMGVSEGRLRYVEISPVPPFVFRNFALDDDGCSWTLEYQNALGRLPSNHPLHEEIPWIGAIDPLNARIVYFIIGDYLISVDMHKGAFGHSHLGEHENQQRTVHTTCLLPCVLPPWLGSSWIPSTGMFNVSVLLSNLFAVNTCANMSERHPIVVSLNLLCWCVHSLCLSQKFAVLVTFQHYLHVQYFLSQKDILLC >Et_3A_025314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28900611:28902968:1 gene:Et_3A_025314 transcript:Et_3A_025314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTPLLLPFVPFLVLLCFSPACASGRVSVSVYYETLCPFCSAFVVNDLARIFHDGVSSIVDLRLVPFGNGRVSVDGSITCQHGEDECQLNAIEACVIRLWPDAEQHFPFIHCVEHLALTRKWDAWQSCFQETGLASQPVIDCYNSGYGRQLELQYAAETNALKPPHQFVPWVVINGKPLVDDYMNFEAYICSAYDGELPEACKGKHLAIAEHTRASRGAKVCTASKNIS >Et_4B_038176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2707379:2708163:1 gene:Et_4B_038176 transcript:Et_4B_038176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKHLYFSEGRPKSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAERKQREEANEDANKKKIASLMRHARPKEKECARELVVLGKQVLMHSERGNIPVAPNRDLVVFMKFVFVRRVGVSLLKSTTLFSCTVGYYFSIFFDIISIILVT >Et_2B_022929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8553837:8559696:-1 gene:Et_2B_022929 transcript:Et_2B_022929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDGHGGGAAGEEEERGEDEDDVEVDAAEPERQRQRRPRQGVVRAPEHPRPAAAGVPVDLLGLPRSGGRRAAAHPSVRAHLGGRRRSGRVSPPPGDKKRQDGVSLLLASCSCPLAGRWGGLGLAFASTAPRARVGRRIRRWPGSAAACVYQGPRCRVRARRRDVAAGAAPQRRQRVVCSARGVRLGQGSDRASPPSPSLSIPPGTHAGGGVADNTMLRVSSSFLAQGPIYPVQPTVQEMH >Et_5A_040467.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24493649:24493819:-1 gene:Et_5A_040467 transcript:Et_5A_040467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFDPWPVFFRREWSRNWPFLTGFAITGYLIVKMTANFTEEDLKNSKFVQEHKKH >Et_5B_043235.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:19200160:19200510:-1 gene:Et_5B_043235 transcript:Et_5B_043235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLGIAYGIYTDPDLAIGSPWSLLPIILWGVYLALVSVVFSYMDLYLPHAPVTVREAVVEVGWCYVGLPLAFIATSVPCLHLAWTAVIVVCLLLVGVIFGAVAFWVRLVRTYRK >Et_7A_051182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15197153:15198422:1 gene:Et_7A_051182 transcript:Et_7A_051182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GYSVRLSARNLERWLWKKREAHPTDENTAKAIDKQEVFDILLGCTIHGMKTIQVLISSPALCVVSITLNTHSLEELNVVTEDSIEIYDGLNHVMYVHISLNLIATIRHSQWNFTFAFAFASLQRYFHPYCGALQYGNCDWPLHTCEIVEESLPAYYKVDVRVAPGSHASEANVNKRLNDKERVAAALENADPDLLDKIEECLRPE >Et_8B_059543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1912296:1916357:-1 gene:Et_8B_059543 transcript:Et_8B_059543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVKSGPSALGAGAMPGGSSGGDGEVEAGFAKLQGEDFEYYMQTYSIMLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALDVIGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKKFYFLLPSRSIFASAAAARHAPIIPPQLPPPSYTRPGRPRLSDFHDRSFEGDYGQDIDEIGNGMSEISVRGKLIKRNKKASGDLDIYGGHRINVEAIGTLGEDSRSEIRSRGDRDVDNQQILQAEEKDVVSSVATVLSDLCGPGEWMPMAKLHTELLEQFGNVWHPSRVRKYLTPDEWSPTETKGRPWYGLLALLRKYPEHFVINTRSKGRVTSEFVSLVSLLS >Et_2A_015071.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28636311:28636454:-1 gene:Et_2A_015071 transcript:Et_2A_015071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRGSYRRAGTCSTSIASSSGCAPTRRAPSAGATRGKSRWRSSCA >Et_1B_013526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9258224:9262148:1 gene:Et_1B_013526 transcript:Et_1B_013526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQTGKSGGSGGGTPAKRGRPFGSATGAGAATAAAAAAVGDPGAPAALVGPSLQVLSALSDQNNKRIVLALQSGLKSEILWALNALTVLSFKEKDDFRRDTTSLAKVPGLLDALLQVIDDWRDIAMPKDHMKPARERTLGVNVTLSGFGQENMEKVYSDTGTTSNDQTKTEDSTVTKKRSASFLFDEDGLFNNDDEGRTERQQCAVAASNIIRNFSFMPENETVMVQHRHCLETVFQCLEDQNREDDELVTNMLETLVNLAPVLDMRIFSSTKPSFIKMTEKRAVNAILGMLASPIKPWHCAAAELIGRLIINPDNESFLLPVILQIYKRLVDLLSVPAYDAQAAAVSALYNVAEVNMDCRLKLASERWAVDRLLKIVKAPHPVPEICRKTSMILESLVSEPQNRMHLLVHENTFAEILTTDGKYSDTFARILYELTARPSNKVTSGHAIWGNIN >Et_1A_004850.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:12059299:12059445:-1 gene:Et_1A_004850 transcript:Et_1A_004850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRWGSISRVVFCRWWLVIYQHPCNGIPFHVKKKALQGVHPYYVTHCL >Et_10B_003545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:343603:345564:1 gene:Et_10B_003545 transcript:Et_10B_003545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQQSGRKRVPALGWWLMLVGSLRLASVWFGFFDIWALRLAVFSQVQMTDVHGRTFGVWTLLTCTLCFLCALNLENRPLYLATFLSFIYALGHFLSEYLIYNTMAAANLGTVGFFAGTSIVWMLLQWNAHGNPRRSHAVKQS >Et_3A_024251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1871365:1881060:1 gene:Et_3A_024251 transcript:Et_3A_024251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYATLRDRARSPEMEPSLDVESLPLETGCPPFPLRQHGGFWLPEITLKIGIPAVHARFTPRPSDVILASFPKSGTTWLKALAFAALNREAQPPSSDDHPLRRSNPHDVVRFLEIEFAIAGDVDALGDELEALPSPRLLATHLPYSLLPERVKETCRVVCVCRDPKDALVSWWMFIKKEAPGLGGDTAELTFQEVFDLFCDGRCVCGPQWRHVLEFWEESVRRPDKVLFLRYEEMLLDPRGNLKKLAKFMGCEFSEEEEEQGVVDAIVELCSLDTMKNMEVNKNGRRRGKLPVKNDNFFRKGVAGDWSNHMTPEMAQRLDKISHTCPSDELEVLPCPRLLATHLPYSLLPERVTETCRVVCVCRDPKDALVSSWLFTRKTAPAFGGDAAAFMFQDAFDLFCDGRCLCGPQWRHVLEYWEEGVRRPDKVLFLRYEEMLLDPRGNLKKLAKFMGRDISEEEEERGVVDAIVELCSLDTLRNMDVNQNGRGDKLPVENESFFRKGVAGDWRNHMTQEMAQRLDKIVEDALQGSGFSFIHSACNMNELERERRASLATNENNPAIARGEEEHKTLIEIDRAGAMDSRTVSSLDVESLPLETRSPPFPLRQYGGFWLPEITLRAGVPAFHARFTPRPSDVILASFPKSGTTWLKALAFAALNRAAHTPSSDDHPLRRSIPHDIVRCLEIDFAFAENVDALGDELEALPSPRLLATHLPYSLLPERVTETCRVVCVCRDPKDALVSSWLFARKVTKAFGGDAAAFTFREAFDLFCDGRSVCGPQWRHVLEYWEQSVRWPDKVLFLRYEEMLREPQINLKKLAKFMGCDFSEKEEDQGVGDAIVELCSLDTLKNMDVNRNGRGDKLPVGNESFFRKGVAGDWRNHMTQEMAHRVDKIVEDALQGSGFTFNQSP >Et_1A_009165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31453700:31456025:1 gene:Et_1A_009165 transcript:Et_1A_009165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHCDTSSAIVAEAVSGSHILKIDGYSRIKELLQNGTYVKSVTSSIGGHNWTILYYLNGCKKEDTDFISLYVDLVSSVAKDVKAKFRFTLLDKDDEPVSSYNVISPMHTFSDKGCAWGYARFIKKEDLEGSAHLRDDCFTVRCVISVMKEIRSEETKRKQFVQVPPSNLHQHLDDLLNSMDGTTDVTFKVGEDVFSAHRCVLAARSAVFKAELFGQMVENTKRLIQIDDMEAHVFKHLLHFIYTDALPDMALEDRSDAVAMAQHLLVAADRYNVERLKLICEEKLCRHMNSNMVATSLALAEQHNCRGLKEACFEFLSSPSNLEAMLASNSYEHLKSNCPSVLKDLIANLIDELKAAKDVCNRNKALETLDDIFSWRQEKMAPEPTVWQLFNCKTTSLLLPGLSLPPFSARQQRPSTTRRRARLRRVLSTLTDLRKAAQYNAFVRLLKDTEVTSQVASLLGGGGRNADGVMVLAPTDAAFAGTRPGTLNRMDAQAQSQLVLVHILPRYYSFVTVKG >Et_9A_061606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14425561:14428436:1 gene:Et_9A_061606 transcript:Et_9A_061606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQINAVRGISREYAGLENVCLYGHIDGHWEVTDYESEREDLSRLPAGTAVGLNLVQDNLGPVLWRREIAIECDAWLIRMSFFVGAKLLNANGRGMLYNYISNMQTVHEAFLDSDTYRRLSREAEERSGVAAAVNQEEG >Et_1B_009710.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:19110943:19111659:-1 gene:Et_1B_009710 transcript:Et_1B_009710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DGEEQHCPSFLYSLPTLHKPYAHGTCSTTHAPIGHFWRTEDEAALSFLDAQPQGSVVYVAFGSLTVMSSELLEQLALGLEAFGHPYLWVGRPGLSGKLPTSFTDIVAANGRGKVVKWAPQEKVLAHPAVGCFVTHCGWNSTLESICNGVPMLCWPYFTDQFTNQTYICDIWKVGLRVAPTDGEETVNMKIIEERLGTLLGDRGIKERLERLKDVAEKSTSGEGQSLKNLNTLMESLRK >Et_3A_024852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24869310:24870907:-1 gene:Et_3A_024852 transcript:Et_3A_024852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFNCQHPSVTMPMLSLLLIILLLNSHMALCSCYKRIFSFGDSIIDSGNYVHLVGNSSSKYKEPPYGMTFFKHATGRMCDGRVLIDFYAQALQLPLIPPNLPENASGQFPHGANFAVFGATALPPSFYKVLNHSVSTPWCLGVQYSWFDDMLTRIAPEDGARRRLLEESLIVFGEIGSSDYISWFSAGRSREKAKELIPMVVSAISTFLEWIVSWGAKVAVIPNSFPIGCLPLFLNKFHSHEPKDYDEHGCLRWFNDFTLRHNNVLFDEVNRLQGQYPDVKLIYADYYNATMELIKNPGRFGIDDPLVACCGGDGPYHANAYCNNKAKVWGDPGRFASWDGMHMTEKAYNVIAEGVLRGPFAKPPLLRNCSS >Et_7A_051337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17039551:17047270:-1 gene:Et_7A_051337 transcript:Et_7A_051337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWDVFIKHGLFLKTEREKKREKYLGAASFVLSCVLSLAFASSSWFGVIFWHPTASPTSWKPRVRTKATIAGAPRTLRLEFFPTEVEVSGCVVDWLIPAAIGAWGVKDLEVVVARRHSPLHDDDEPTGGAYTFPHHRLDDALHRPRLCRLTATCRRPRLSPRALFAARSSLHCRCTGSEPRLVIRVAAPGARRRRLACLTNTVEIRYGAVPRLKHVNLAFFSEKNASGHDELGRFLDGALAAKSLVTLHIHVAQKKPQPLGRVISWNLLPSRRFRHRRVKEVVVAAWPGSAARGARSSSSGTWRGRLQRVFLLSNWRVVQEKGLWDWDLKQQDKCPWSEEDSRSNMARHGAGLSSKYVSHPHSALCQAHGGGMNLVLRSGELEYQTLSPGR >Et_10A_002215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4630124:4632069:-1 gene:Et_10A_002215 transcript:Et_10A_002215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVHDDILGAILERIDSQVSLLRAASTCKRWRRIIADPAFLRRFRSLHAPGVAGDYYSYYPLPRFPDGEVPKTRDPVFFMPSSPSIKAGHYSLDFLPINSDWKLVDSRGSLLLMHGSSNGASIRFRDLLIVCEPSTRRYVRIPLPQELKDAASTGYFRKFYRIGGAGLSNFRVTCEYYKDRSMHAMVFNPGDGKDSSAWKKMDIGVNPAGLSRTSSLGRAAGSWYFGDLNRNNMLIVLDGRTGEISTSMLPASENWEDKRSYNYCITEGRDGKPRVCSVVAGSMKVFVMRDEGEWALEKRLLLDEAIRGLPGYEPGFNRRLRILTRGPGFVILSLTPSEKWAVSVNLETMEVNPGVDYMGEMVYRCELPWPPALNACVH >Et_3A_024378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20267558:20275899:1 gene:Et_3A_024378 transcript:Et_3A_024378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLMDGSSEQIPFSWGKRRGIGGAKKDTQFYGSFTLDNVKYSLFDCVYLFKTGDPEPYVGKIVKIWEQKEAKKVKILWFFLPDEIQKYLRGPVMEKEIFLASGDGPGLADINPLEAIAGKCTVICISKDERNRQPSPRELAMADYIFYRFFDVEKCTLSDLLPDEIAGLKVDFLLNPKDELITSSEANVEGGVVATVPPPQSVVKEEDEIPVAAVPLSQSALKEENENLAAAVSPLQSVVKEDQKPAAAIPVSPSAVKKEHDKPVVAVPRFQSAVNEDEKPIASAPPPQRENTPKHTQNPSSGERPPKKLKLSQEVTVQNMAPAVPDKKPFELTSRQASWDERLRIADEQGTLVYIQNLDIQFGAADIEELIREALQLICTAKPINHPTYDDPNNGKAYAIFKNKSAADIAISKINSGLVVGGRPLYCSKGLLKVPKPTGTLVGHLSLYSIKIGQKQREEQKKAVSTSHCSQPNTIEYDLALDWMLIREKQEKKFRILHKRHEDDRKSFANMGSKTGKDPKLLGARNILALCRLVTAW >Et_3B_031114.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:16389844:16391325:-1 gene:Et_3B_031114 transcript:Et_3B_031114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVARDRYDHSSRCQCRDERNELNLCNKQGTGLLAVSTEVKQLRPAPSEPATGVQMGVLYVCLYLIALGTGGLKSSVSGFGTDQFDERDARERAAMGLFFNRFFFFISVGTLLAVTVLVYVQDHVGRGWAYGICAGAMLAAIAVFLSGTRRYRYRRSAGSPVVHILQVLVAAARKRRLQLKHPAEALYEDRPEHARIPRTAQFACLDKAAVIAGGDEVGRAAPNPWKLCSVSRVEEVKMVARLMPVWATTILFWTIYAQMITFSVEQATTMDRRVGGGGGFEIPAASLTVFFVGAIMLTLAVYDRVFVPFCRSLASTGSGRQGLTNLEKIGVGLVLSVAGMAAAAVCEKKRLAVAAAATSSGAAAPLPISVFMLTPQFLLVGAGEAFIYTGQLDFFITRSPKGMKTMSTGLFLTTLSLGFFLSSALVSLVKACTPWLGDTINHSRLDYFYWLLAVLSVVNLVAYLLCAIWATPPTASQLAEQPQPATADEKC >Et_2B_021619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3628897:3630921:-1 gene:Et_2B_021619 transcript:Et_2B_021619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLKYEARCELLCRHGNRSTSKWNSGGACERWTGAEGALDGGGEDQRMRAWATTARNGLPAMGSSGSCYATTAGLGPYSTSIKKAEKEVKEMAKKINALITDVVIGLLLVFQFILKTSAPILNKIINWQLWQQLQLFFWRIKESDTGLAPPSQWDLVADRKMMQEEQPLHVAICTKIIILVSFLELLKPICNELKCADPELVDRTKYQIQIPLPPKIDPTVTMMTVEEKPDVTYNVGGCKDQIEKMREVVELPLLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVREIFQMARSNKACIVFFDEVDAIGGARFDNGVGGDNEVQRTMLEIVNQLDGFDARGNMKVLMATNRQDTLDPALLRPGRLDRKVEFGLPDLEGRAQIFKIHTRAMNCERGVRFELLARLCPNCTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVVKGYQKFSATPKYMVYN >Et_8A_057404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2766149:2766409:-1 gene:Et_8A_057404 transcript:Et_8A_057404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSGFLEFKLEYPERKGPCHRRCRPPSPTISSPNGTSGRSNATLGGQRSVPWRHVRHAAINVNAIFDADLMGRDGAP >Et_7B_054693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3591045:3592533:1 gene:Et_7B_054693 transcript:Et_7B_054693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAFAALLTVLALVCFASVPRALATDPTQLQDICVADTKNMAIFVNGFVCKNPKLATANDFFFHIVPATPNAQGVGVAAVDVNVLPGLNTLGISLARLDFIPGGQNPPHTHPRATEILVVVQGQLLVGFVGSNQLNNTLFTKQLMPGDVFVFPQGLIHFQLNNGKTPALAFAGLSSQFPGVITIANSVFGTKPPISDEILAKAFMIEKDQVDWIQSQFAMPPPAGGGGMAGGGGYPGNATGGGYPGNGTGGGYPGNGTGGGYPGYP >Et_1A_006088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17588653:17591020:-1 gene:Et_1A_006088 transcript:Et_1A_006088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRGMAMGSGRELAVSAAFTALLVASILLLPSLLLTGTGSLSSKSWPFLAASSGGGEGQPPRYPVSFAYLISASSGDASRAARLLAALYHPGNSYLLHLDQEAPAEEHRRLAELVSGRAVYARAGNVWIVGRPNLVTYRGPTMLSTTLHAVAVLLRLRRRWDWFINLSASDYPLVTQDDMIEAFSGVPRNLNFIQHTSHLGWKIKKRVRPVILDTALYEAGAAELIRPENLTTNLRKMPTAFKVFTGSAWTMLSRPFAEYVTMGWEDNLPRTLLLYYTNVISSPEFYFQTVACNSRRFRNATVNHDLHYIKWDNPPKQHPLYLAAGDLRRMVLSGRPFARKFRADDPEPGRTGALKAGAGARRLKALLSKTLSPRNMKRQQCR >Et_3B_030083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30377450:30380571:1 gene:Et_3B_030083 transcript:Et_3B_030083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPASHTKDAANETFEKAASFGVAANLTMYLVKRFDIGQLKAASITNIFYATLNVTPLIGAFISDSYLGRFKTLAYGCFASLLGMLGLTLSASPPVLKPPTCNQVSQLDGHCSNPSTLQLSVLYLSLGLLTIGVDQFDTTDEENRKSLNSYYNWYYGTSTAALVFSTTILIYIQNNFSWAIGFGIPTVFMFLAIILYFAATRLYVHVPPEGSIFSGIAQVLVASFKKRRLKLPCPHDINQQELMLYNPPTRGNHIFRLPLTSQFLNKGAIMRDRDINNDGSARNSWELSSIQQIEEVKCLIRIVPICFSGILCFIAMAQQFTFIILQAFTIDCHLGPHFEIPAGTVTSISLIALTVFIIIYDQIMVPFARQFTGLEGGITLLQRQGIGLAFASISMVVAGLVERKRRNSALSNGGISPITVLWLAPQLVLMGIAEAFNTVGQIKFYNKQFPEHMQTLAGSLLFCSAAGANYFSTALATITRKVTARHGHTSWLSDNINNGKLDYYYYLIAILGVFNLFYFLICSRYYRYKAMSPHADKSIKTNEKDDGATEITYASRK >Et_3A_027211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:543392:546438:1 gene:Et_3A_027211 transcript:Et_3A_027211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGVLLLHPFNAYLEQELDRRFRLIRFWDSPPESRDDFLRAHAGSIRAVVGNANYGADAKLIDALPALEIVASFSVGIDRVDLAKCRERGIRVTNTPDVLTDDVADLAVGLAIAVLRRIPQADRYVRSGQWRAKGDYTLTTRFSGKRVAILGLGRIGLAVAKRAEAFDCPISYHSRSEKPFPNYKFYANVVDLAANCDVLVVACSLNEQTYHIVNREVIDALGPEGVLINVGRGAHVDEPELVSALLEKRLGGAGLDVYEHEPFAPEKIFCLDNVVLVPHVGSDTEETCRAMADLFSGKKVGIIGLGRIGLAIARRVEAFDCPVNYYQRTKQAYPNYTYYPSVVELAANSDILVVACPLNEQTRHIVNREVIEALGPKGVLVNIGRGPHVDEPELVSALVDGRLGGAGLDVFEDEPNVPEALFALDNVVVVPHVGSATHETRTAMADLVLGNLEAHVLSKPLLTPVV >Et_2B_022887.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:7266360:7266758:-1 gene:Et_2B_022887 transcript:Et_2B_022887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADREEEDRGPTKIRYVRLPVKAVPPRDDEDYDDEYEEQPSWLYYRTIGAADPDADHVDHVDLDLDDHRRSANGVVKERVLDCEDFWSLVACKGLSRDVYLTCPVVSSENPDVVCFIVCEGESLWTVELRST >Et_10A_002197.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:392706:393209:1 gene:Et_10A_002197 transcript:Et_10A_002197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSDPALEAFLADIGFGLVVPEDHQSEPSPPSSTTPPAEDQETYSASAGADEERRRRLRRKISNRESARRSRARKQRHLEELRGRAAVLRAGNRDLAARLRGLQARTALVRLANDQMRAEGTALARRLAAARRALALRQLYAAASSSSSGAGSFELQMNLASLIA >Et_2B_022366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19320334:19320958:-1 gene:Et_2B_022366 transcript:Et_2B_022366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGAAHQLVGGGALSSPSPFFRLIFLALLCLAAGARRVAGANGGEQTAATGVGARRVDVGVILDTTTWLGNISWASMELALDDFYADVRHASYSTRVRLHLRSTGPDVVDAASAALIRTLFRKLGSIS >Et_2B_019723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12992436:12996741:1 gene:Et_2B_019723 transcript:Et_2B_019723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMSHAAHVVFLLFVLVVQGHSQGCDSKDIVVEQANIGRSNEMDFTFAVLIHNNCTCSISNLRVKTNGFSSSTPVDPSAFRLDGKTYLVNGGNQISSKSSFAFLYVFDHAFDLTPASWNATMKTPLNSRSIVVVLRHQVTVHIQTVGRGRKVMSWKMGSTPSPKAVFVLLLLVAQGYGLDCDPRDIVVDQSIEGKKGMDYSMSVLILNHCRCAISNLHVRANGFSSSEPVDPSVFRRDGDTYLVNDGRPIKSNGYFGFYYLFDHAFNLTPAYWNIDC >Et_3B_027451.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:18696256:18698702:1 gene:Et_3B_027451 transcript:Et_3B_027451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPLPLPAIMNPHPSKPHEVQAAPRPAGASLHAAVAFLSQHCHEGGLREAFALVARAEGQSPPAAVGPEVYASLLQCCVSAESLQAGRQVHAAAIKRGPYYWRNAYVGTKLTVFYARCGALDDAERSFAALPNKNAFAWAAVIGLWARAGLHARALAGFADMLAAGVPADNFVVPCVLKACAGLGLARPGRAVHGYAWKAGVAECVYVMSSLVDFYGKCGQVEDARRAFDAMPERTVVSWNSMLMAYIHNGRIDDAVELFYEMRVEGVLPTRVSVVSFLSASADLEAVDGGRQGHAMAVSSGLEMDVILGSSMINFYCKVGLVEAAEAVFEQMAGRDVVTWNLMIAGYLQEGHIDKAFDTCRRMLDSNLKFDCVTLVSIIMTCVKSCSMVPGTAAHGYAVRNSLDSEVTVACALMELYASSGRIEHARRVFSSMSRRGMVLWNMMISAYADCGMSSEALKLLNQMQLEGMSPNAACWDSVISAFIRNNQFEVALDIFHEMLLTKTRPNLRTWRLLISGLSQNGMHQEVKNLCCKMQEIELAPSPTIYSAVLLAIKTAASVHYGKAVHASIVKKGLLMSKSVIQSLLNMYSSFDDRGTMESLLRLLAECSK >Et_6B_048261.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:2582001:2583670:1 gene:Et_6B_048261 transcript:Et_6B_048261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQHCRHPPWTQPASARSWSRKPRTRLQLSLRASLTSPQPAAPREARAHPQQDSPLVEELVAAAAGEATWWWAWKPVCGKVRGRRGRAPAQELASPGESSPRRSRRRGGRPRSRRARMAARAAGGAGRAPQVAAGRPTRRGGGPGRRRGACRRASGRRGRRRRRPSAARRRAPRRRRGSEGARAPGGRSRRARRRRGRRAGAGWRRARGCGSAPARRTPTRTGWGRRPMQKRSRTARRGPRRQGTGTESWPAAARRRSRDEISLSRLWKGMGTLLKPETWRQV >Et_10B_004330.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4030155:4031424:-1 gene:Et_10B_004330 transcript:Et_10B_004330.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQEKRRRSTAASSIPDDLLISEVLVRLPVKSLAHPSAAPGAPGSRIVRRHRDLSSRAAAARWPPSVLAIPHEVDPDEEQPTSGDISFHRLLLQPGGGGHATAAAAETVFEKAWPDGITRLIFPTHCDGLVAVATATDQVFVCNPATREFAALPPGSHNAQLDHCDLLVPPVAIGRDPWRDAYVVARCFYRRYGRMRFDEATGTYAQDDYDIGHEVLSLAGAGGEHRWRWEATQDPPHAVDGVHRPICTRRAFYWHGGVARPRLMRFSLRHRTFDVVPRPPATGYDTADDMASLMDGTKLCYVHAAAPASFRVWLADDGPNLQWSLLCRIDLPADPLRPNLSYAVAPLMMMTHGAGHEVLLLLAINGSKICRYDVRNKALEQVMDTQHELPRTDTAPFFWERYVVPYAETLVSPTACNY >Et_1A_006437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22170599:22175282:-1 gene:Et_1A_006437 transcript:Et_1A_006437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQPPLPAPPPPPPQQHHHDRRRNFAAAGAAAGAFVVVALALAAVLWWLRRRRRRKRAAGEDGAGALQRLSYRRLRRATGKFAAANKLGQGGFGPVFRGALPVKKGGGGGGGGGEGRPVAVKVMDAAGSLQGEREFHNEIAVASHLLASAASEPADKARDSILLPFACSMSERGRARPRRMMLVYDLMPNGSLQDALLGRRRPELVAEWSRRLSVARDVAAALHYLHSVVKPPVVHGDVKPSNVLLDADLRARLADFGLAHVRSDPDPDGKQESGAIAEGDVNAEGGDVNGHADGGCDDDVSVVAESTVTTTVNGEGNVAPKSPEDDDGFTSASPAEAASTSGFDRTSVDSGVNSRSCNGGSRTGGATASGTGSDWWWRQDNGTASNGVKDYVMEWIRSEIKKERPKNDWIAGAAATNPVADKKKQKQKQKRRAREWWREDYVDELAKKQKRRALAKSKSEQAGLQWWERDLDDDLDEKGTSKWNLVKSWSRRSSNSTSNGNSSINWWVNGARSSRDWASGEFVPKSGGTVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSYGVLLLVLISGRRPLQVTASPMSEFEKASLISWAKHLARANRLLDLVDPTMRDVNRDEALLCITVALLCIQRSPARRPSSEEVLGMLSCAGELPQLPLEFSPSPPGGFPFKSRKKVRKKTKAKKPKRKAKKGAKTKHSDLLLHYAPKKINIQSLANL >Et_8A_056932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19090329:19097372:1 gene:Et_8A_056932 transcript:Et_8A_056932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RFLKWIDVTEEEVTVVERQVNESIPREKYSLQMRDELIPENQADCKQARLTWDERPSWRKRLADGAGPAKEMEVNSSGEETMIKVRKPYTITKQRERWTEAEHKRFLEALKLYGRQWQRIEEHVGTKTALEKEAMNNGTSPGQAHDIDIPPPRPKRKPNCPYPRKSGLSSEISNKEIPNDKSTKSNMRPSNSSVEMASDSSLQKLQRKEVSEKGSCSEVLNLFRDVPSASFSSVNKSSSNHGAARGTEPTKNEIKGMATMENNSLSINMHEDEKQINDQEMERLNGIHISSKCDRFDEDYLDSSTQQMKLKPMSMEAANVDRQTSRASHCVTERNEAVSIPVMGTEVSHPDQISDQEGANGSMNPCIHPTLSVDAKFNINATPLPCPHNFAAFPPLMQCNCNQDAYRSFVNMSSTFSSMLVSTLLSNPAIHAAARLTASYWPAAESNTSADPNQDNPVEGVQGSNIGSPTMASMVAATVAAASAWWATQGLLPFFPPPMAFPFVTAPSAAFPTTDVQGASEKVRDCQVENAQKECQEAQNQGQSEALRVAAPSGSDLTGKGDLSLHTELKISPGQNADATPTAVGDTSDVFRNKKKQDRSSCGSNTPSSSDVEADNVPEKQQEKADDNAKQASCSNSSAGDTNHRRFRSSGSTSDSWKEVSEEGRLAFDALFSREKLPQSFSPPQAEESKLISKEEEDEATTVTVDLNKNVTAIDHDLDKMDESRASFPSELSQLKLKSRRTGFKPYKRCSVEAKDNRVQSNEDVGTKRIRLESEAST >Et_3A_026958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28036329:28038798:-1 gene:Et_3A_026958 transcript:Et_3A_026958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLISTLPAFTLLLVAPPASRPCNKLARELGLLALLLARELLRHATAAFAFAFSLGRRNKERGFTMVHQPASKAKPAALVAAAAPPGQDDLAAGLPLLDLPELALDRVLEELSPASLAAMACVCAALRDRCSADALWDRHLRRKWGRVLGAAARKEWEAAAGDGDAATRHAAAAPPPGGRRRSWVDSLACAWPFSWIGCRWLKCDVAPATSPAAVAAEPVLAAPPLPVADTVAAWYRALECGEFCFPAQVYNREDGHVGFVLSCYDAHLRYDRRTDTFTARYPPHGRKPAKEEDGVQWNRIRAPPVSTPPHDLHASDCLEDLRPGDHFEIQWRKNKDFPYGWWYGVVGHQESCNANERLCRCHEDEMIVLEFQHYAPGSRWRQTIVSRKDHREKGDETDGFYGGIRKLQSKDEISTWRRFWPVDVLS >Et_3A_023580.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:6432813:6432923:-1 gene:Et_3A_023580 transcript:Et_3A_023580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGENRTLLHMSLHNCRSAQCTRQCGVHKFPVVLSN >Et_2B_022737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:462732:464942:-1 gene:Et_2B_022737 transcript:Et_2B_022737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPWWAAIRDMALTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKVEGNLPLYGYHDPASFVNSIQKPRVVIMLVKAGAPVDQTIATLAAHLEEGDCIIDGGNEWYENTERREKEMEARGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYVGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNSELQQVFSEWNKGELLSFLIEITADIFGIKDEQGDGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVEASKIFQGDYSTGLPVDKAQLIEDVRQALYASKICSYAQGMNIIKAKSAEKGWELNLGELARIWKGGCIIRAIFLDRIKKAYDRNPSLANLLVDPEFAQEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDMPGSFHTEWFKTARNNLN >Et_10B_003655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4475776:4479300:-1 gene:Et_10B_003655 transcript:Et_10B_003655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVDEQRLVLYPPIHDVIDDWGDLVHRVVLRDQNVVYDLGDELPREEAHRRVRELLLMGLRDREYVRSDFYPRGSSSRSRRRGRQQALLPYYYQQAGVEQDEAAAYGTTPASGEADVAALPETTSVKDQEDGECCSVCLEEYKAGDALRMMPCCHSFHEACIFAWLRRSRACPLCRSCIIPPICLKNVEDQRLVLYPPIHDVIDQWGDLVHRVELRDRDDIFDLGDELPLEEAHRRVRELLLMGLRNRRYVRGDFYPRGAGSLRDAASHASVGGHVLEEEAYGHSCFGGTPASGEAILALPETSVKEGECSVCLEDLKTGKVLRMMPCSHSFHEECIFNWLRRSHVCPLCRFPLPVQ >Et_4A_034520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4000739:4005197:1 gene:Et_4A_034520 transcript:Et_4A_034520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGCLSSMNITGASQARAFSGQLPTQRCFSSGHHMSFAVKSLVLRNKGKRLHRRLGAFQIVCQDFPRPPLENTINYLESGQLSSFFRSSERPSKPLQVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKIAAWKDKDGDWYETGLHIFFGAYPNIQNLFGELGIEDRLQWKEHSMIFAMPNKPGEFSRFDFPETLPAPLNGIWAILKNNEMLTWPEKVKFAIGLLPAMAGGQPYVEAQDGLTVSEWMKKQGVPDRVNDEVFIAMSKALNFINPDELSMQLCMPIVDHIRSRGGEVRLNSRIKKIELNPDGTVKHFVLTDGTQITGDAYVVAAPVDIFKLLVPQEWSDISYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSSLLSVYADMSVTCKEYYDPNRSMLELVFAPAEEWIGRTDAEIIDATMEELAKLFPDEIAADQSKAKILKYHVVKTPRSVYKTVPNCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQSIVQDYKRLALRSQKSLQSEVPVAS >Et_4A_034426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32442504:32442807:-1 gene:Et_4A_034426 transcript:Et_4A_034426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIVRFVHKGRRRYSTSSSISRDMYQGLVSPRDQPYFLHTRYTAIVERSRKRVSKLLHLGFDTFLVSVVGGFGKSATRFEIQALLIIDEASF >Et_4B_036868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12119456:12132395:-1 gene:Et_4B_036868 transcript:Et_4B_036868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRVAEDVESGVVVGAGGGGAGAAAAEVTEPLLRQCRRKEGDEAAAAESKIRDEEAAADCGDADGGGSLRMVLLSTAVAVCGSFEFGTCVGYSAPTQSGIVDDVGLSISESAIMLYFGRILLGFSTGVLSYVLLICSGSSATYIIGALVAWRNLVLVGLVPCVLLLAGLFFIPESPRWLANVGREKEFHTALQKLRGESADISEEAIEIKEYIESLRSFPKARLQDLILSKNIYAVIVGVGLMIFQQLGGINGVGFYASYIFTSAGFSGKLGTILIGIIQIPITLFGAILMDRSGRRVLLMVSASGTFLGCFLTGISFYLKVYIGAYSIGMGPVPWVVMSEIFSINMKAIGGSLVTLVSWLGSFAISYSFSFLMDWSSAGTFFMFSTASLVTILFVARLHWRRAAARRDDRGAQLGERWLPPAAADGGKRASGAAASAAAAGEAPYGGSKGHGSLRMVLLATAVAVCGSFEFGTCVGYSAPAQAGIMGDIGLSNSQYGVFASVLTIGAMMGAVTSGRLADTLGRKMTMRLAAVIGIFGWLSVYLAKDAMMLYAGRVLLGYCTGVLSYAVPVFISEIAPKDVRGGLATSNQLFICSGCSAAYIIGAFLSWRSLVLVGLVPCVILLTGLFIVPESPRWLANMGREIEFQVSLQKLRGEDSDIFGEATEIKDYIESIRSLPKASIQDLFQSKNIYAVTVGVGLMIFQQLGGINALGFYTSYIFSSAGFSGKLGTILIGIVQIPITLLGALLMDRSGRRALLLVSSSGTFLGCFLTGLSFYFKAQGLYLQLVPALALCGILVYYAAYSVGMGPVPWVIMSEIFSIDMKAIAGSLVTLVSWIGSFGISYSFNFLMNWNPAGTFFLFSAASFVTVLFVDRLVPETKGRTLEEIQELLKAST >Et_10B_003050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15968049:15968753:-1 gene:Et_10B_003050 transcript:Et_10B_003050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPPDITRADGEPSTMGVSMAPPIIPTPSVVKFPAAAANSSSNPSVMSDLPGVREIDKRAESSDHVESFTRSETRCQCPARMNISLRDGFYYIYEFVADHNCNIPKF >Et_9A_061844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17052987:17055069:1 gene:Et_9A_061844 transcript:Et_9A_061844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSEAPPGNPKAGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTAGYSYSAANKNMAVIWEENTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKEATSS >Et_10B_003734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5300895:5302450:-1 gene:Et_10B_003734 transcript:Et_10B_003734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKRVILGNPVFLPAIRTFGVGEAQPRQHINRSKASKASSTSSSRSVARPTYARTHREQWRRPSPGDLPAPGPPRSAAAGGAGDHPPDAYIQKGVLLPKPKLERDQHHPPALVDRLASAFARALGVFHPFAGRLVRQRAADTVSLRCTGEGAEFVHAAAPGVSAADLAAGAPLRVSRELVSALFPLKTGLLGVDAVSTADGERAAPLLAAQVTELADGAVFVAVSLNHAIGDGTTFWHFPTDGGGDGACREQPLPPVLERWFLDTCPVPVPLQLAKVEDAVRRRGHPPPLEQCFFHFSAESVKKLKARANGEAHSLSDATVVISSLLGHLWRSLCRARRLGPSQETTYVLIIGCRRRVKGVPLSGYAGNAVVSCPVTATAGEVLDKGLGWTARLLNRAVASFDDEPANLGSFLERWPLEQRFVPTGAAELIGAAVVTMGSPRFDVYGNDFGWGKPLAVRSYPGQQLDGKCSVFEGRGGGGAMALEVCLAPDAMARLLEDQEFMDAVTAP >Et_3B_028290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1306047:1307675:1 gene:Et_3B_028290 transcript:Et_3B_028290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRSGEASERPPPLLRQPGFGRAAARSCVRLGPLGGRRRRGLAVMASGDPRVGHIASSIRVMPDFPKPGINDITTLLLDPKAFRDTIDLFVERYKDKGITVVAGVEARGFVFGAPIALAIGAKFVLLRKPKKLPGPVISEEYSLEYGTDKIEMHVGAVDPNDRALVIDDIIATGGTLCAAVNLLERVGAKVIECACVIELTELK >Et_10B_004046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9718055:9720659:-1 gene:Et_10B_004046 transcript:Et_10B_004046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAGVGATMVGKAGEVVGEAGGREAGAAMAGEEGVVMELARRDEETHRGDVPCARRVRKRIRQRPCVSGRKKREDLTCQEYSSTCSPAARSYRSLALWLAKGKPYVVYVPHVEVPGKAKLALLRMAFPKEQHNQNAGADLPMPPVHTMPYGSGGDVSDETPPAFGKAGFLSRLTFAWMDSLLRLGSSKPLDSGDIPPLDADDSAEAASRTFLEEWHRRRRRTTAHGTTTTSNLVFWVLAGCYKKDLLLTLPVLAAPGVVHAGAGAIALLFWAAGAGAAAGLAPLAVCGALNVPLAKLLQRYQSRFMAAQDARQRATAEALGAMKVVKLQSWEDKFRETLQRLRDVEVRWLAETQVKKGSALFWMSPTIISAVIFAGTAALRSAPLDYLMVFTVLATLRVVSEPMRMLPEVLSVMIQVKVSLDRIGVFLNEEEFQDDAVDRDKLLGGMMPAADISVAVHHGVFSWDPSKAIAILKSVSVIARQGEKIAVCGPVGAGKSSLLCAMLGEIPRMSGSVAVAGSVAYVAQTSWIQSGTVRDNVLFGK >Et_5B_043401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1026310:1027618:-1 gene:Et_5B_043401 transcript:Et_5B_043401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASVCLLLLCMAAVASAQLSSTFYDTSCPNALSTIKSAVTAAVNKENRMGASLLRLHFHDCFVQQGAFPNVGSLRGFDVIANIKSQVEAICKQTVSCADILAVAARDSVVALGGPSWTVPLGRKDSTTASLSLANSDLPPPFFDLLNLTTAFGNKGFTVTDMVALSGTAIFHIHNFPLTFVKFLP >Et_7B_055665.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:20418515:20419504:-1 gene:Et_7B_055665 transcript:Et_7B_055665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVHREDEAGTPPVLVAPAVVVKGKRSKRQRVHAPPVVLTAPEWSSSAASAPGEEDSGSTSRSADEAASATGCVTEEEEDMALCLMLLSRGEPAAAKAVQDGEAAAAAVVKEARFRSRRPAAAGEFVYECKTCNKCFPSFQALGGHRTSHKKPRLQLPPSEADQNPPAAATVETATTSPPSMPPPSPAAQESTTADATVLAIPVAVVPKQEPVAIVAAAAATTSSSSKHPRVHECSICGAEFASGQALGGHMRRHRPLVPAAVAVVREDDGAPRKEKSLLELDLNMPAPCDEPDTATSPRFAFAERQPASALLFPAAAASALVDCHY >Et_3B_030526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4759844:4763273:1 gene:Et_3B_030526 transcript:Et_3B_030526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRWPPEEPEIFPTRMVAAGVWGTIGAGGPPAPPGEMASDDDRSVAADSWSIKSDYGSTLDDDQRYADTAEVLLSSASTSSAAAPSASVAVNPSPDFSFDKDVPDSSDVEPPMLGLQNFQDGGYAEDVANFHERSHVDDWFGTEVMDVRVGWTKNLCSSNDLPSCSVLDIGTGSGRLLQQLAKQGFSDLTGIDYSEGAIELARNLAIRDGFEHINFLVDDVLESKLERRFELVMDEGTLDAIGHHPDGPVKRMMYWQSVARLVSPGGILVITSCSRTKDELVQEVDNFNQRKLGAMGSEGLPASDAVVFSYLDHVRSYPSVDSSCITTVAFLHKIDNCVLPLFFLFLFLFPFLAF >Et_7A_051053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1421095:1422837:1 gene:Et_7A_051053 transcript:Et_7A_051053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSDPSPSSSSSPAAASPLAMLRAHPHSHHHHPHMTPPSPASAPPPPPPPSPARDYRKGNWTLHETLILITAKRLDDDRRAGGGVGGGVVMGSPTTPRSAEQRWKWVENYCWNHGCLRSQNQCNDKWDNLLRDYKKVRDYESRANPVVSGAGAADHPSYWAMERHERKDKNLPTNLAPEVYDALSDVLSRRAARRGGAAIAGVPPPPLALPPPPPPPPPSPPKPLLAQTRAPPPPQQQHHLPLPAAMAPPATTSVSAEELSGSSESGDDEGSDDDGEPEPKRRRLNRLGSSVVRSATVLARTMVACEEKRERRHREMLELEERRLRLEEERTEVRRQGFAGLISAVNSLSSAIHALVSDHRSGDSSR >Et_8B_058987.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1249661:1253368:1 gene:Et_8B_058987 transcript:Et_8B_058987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLLKLLQHMNSDVKVAGEHRSSLLQVISIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPDEQHDLILSDTIQLGQFIHVDRFEAATPVPILRGVRPVPGRHACVGNPEDLVVTSSSNFLGSKKAQPAVNGSKDLSLEKEQSKLEKLNASVKNIGSESKKPQLTKSNSSLSKEVLSSLNIKKEIVTSQAKSTTARSTPSSPTSVHSLPGSFDRFSNDMKQRAKTKGSEKSSPSSRLSLLEKAASVLKATTAGRRSSGGNSLSNTMMSIESGPKALRRSWEGNADAKGKGNSDSKPAKVERKSENRSCSTPRRKSVAEEKPVHKDDSKIQTPPRKSSASAPSDDSDRVVNKHSSPIRRTSGVLGNPNITNLVKIASNNKKLTDANTSWTALPPSLAKLGKELLKYRDAAQMAAVEAMQEASAAESLLRCLSSYAEVSSSAEEQNPQPAVEHFLALHGALSRATVVADSLTKATATATAAASTVTSPDRSAAGDASTADEETLAVAAERRRRAASWVGAGLATDLSAFSLYNLKPAPANTATPLAVVLVDESAKPAAAAKASPPAKSRLSPAKGKVRSSSSIAAASAAAAVPPPPPEWERGGGAEERGELARRLGEEARGWFLSFVERFLDADMAAAAPWDRDRAARMLPQLKRVNDWLSEIGKPAEAPSSSESDGEAAVPAANGRGVQEETIERLRKKIYEYLLTNVDSAAAVLGGGGEVAPAANGRKG >Et_3A_023561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3923211:3923636:-1 gene:Et_3A_023561 transcript:Et_3A_023561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNARDQYVSLMQRRFGRLKQKESINFSCGWRFSRSSRNGGMIGCLNILQDRRGTKQQPLCTPHGTFEKREIEEYFSR >Et_3A_025340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29044972:29048008:1 gene:Et_3A_025340 transcript:Et_3A_025340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCSVVMQDWPEPVVRVQALAESGLAAIPSRYIKPPCERPYTKRQQQQEEELSEMSIPVIDLGDLLLAEDGGAATEAVAAACREWGFFQVVNHGVRPELMRAAREAWRGFFRRPLAEKQRYANSPRTYEGYGSRLGVQKGAVLDWGDYYFLHLAPEAAKSSHKYWPANPADCKEVSEEYGREVVRLCEVLMRVLSASLGLDESHFQRAFGGAECGATLRANYYPRCPQPDLTLGLSPHSDPGVLTVLLPDEHVRGLQVRSSAGEWVTVQPVRDAFIVNVGDQIQILSNSIYKSVEHRVIVNAKEERISLALFYNPRGDIPIAPAPELVRAADRPALYPPMTYDEYRLFVRTKGVKGKAQIEALKGQASPAS >Et_9B_065444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5038760:5046001:-1 gene:Et_9B_065444 transcript:Et_9B_065444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEMAEEPTAGRAGGGPLAAVAEEGEAEAVPAAVGSSKTMERVAAAKKFIEDHYRAQMKNIQERKERRLILEQQLASSQVPREEQMNLIKELERKETEYMRLKRHRICVDDFELLTMIGRGAFGEVRLCREKSSGNIYAMKKLKKSEMVVRGQVEHVRAERNLLAEVGSHCIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLMREDTLTEDVARFYIAETVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEDEPMTDENLRESMDIDRSFSDTANGRRWRSPNEQLQHWQKNRRKLAFSTVGTPDYIAPEVLLKKGYGVECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRSYLKFPDNSRLSFEAKDLICRLLCDVDHRIGSSGADQIKAHPWFKGVEWNKLYEMEAAFKPQVNDELDTQNFMKFEEADPAPARTGSGPSRKMMLNSKDLSFVGYTYKNFEAVKGLHQSADTTDMDSSMEPNGRDAHMRTVSSDDPMVP >Et_7A_050525.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:22029434:22029871:1 gene:Et_7A_050525 transcript:Et_7A_050525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTINYIKHIATSEKERSPGHFDRNKTEITETGPERLHSSPVLPVCSKTHIFESFRAGTIELHQINRNKPTRFDRNSTEISETGPEQPCLARLQQNSHFLEFAWEPSSYIKHIATSEKERSPGRFDRNNTEITETAPKQPCLARL >Et_5A_042639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23387804:23391565:-1 gene:Et_5A_042639 transcript:Et_5A_042639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDRQQPGKAAAFDIAEAGFGDRPDLDDDGRERRTGTLWTASAHIITAVIGSGVLSLAWAIAQLGWVIGPVVLVAFSVITWFCSSLLADCYRAPDPVHGKRNYTYGQAVRAYLGVSQYRLCAVAQYVNLVGVTIGYTITTAISMGAISRSNCFHRNGHDAECKANDHLNMVIFASIQILLSQLPNFHKIWWLSIVAAVMSFAYSSIGLGLSIATIAGRAHVKTSLTGATVGVDVSASEKIWKTFQSIGDIAFAYTYSNVLIEIQDTLRSSPPENVVMKKASFIGVSTTTMFYMLCGVLGYAAFGNKAPGNFLTGFGFYEPFWLIDVGNVCIAVHLVGAYQVFCQPIFAFAETWARDRWPDNGFVNAEAVLRLPLVGDLPVSPLRLTWRTAYVVVTTAVAYKFPFFNDFLGLIGAVSFWPLTIYFPVQMYMAQAKTRRYSPTWTWMNVLLGACLVVSLLAVAGAVQGLATDLMKKH >Et_4B_037865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24188833:24203476:1 gene:Et_4B_037865 transcript:Et_4B_037865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNFSLAVAVLLSGLLILGTTEPSEAVCPYACVQGTYITCKNKAGNLTGCACQCAPASGQGCLVHSANGTTLERKLNKEMASTKLLTCLLLLSGLVVLGEIAATEAACAVQCIQGGYITCDNPPYRYQKLEGCDCQCAPKDGKNCVLHLQHGPPFNCPPPEQASLNLSLAAAVLLSGLLILGAVEHSGAVVACSTYMCPQASYMTCANMPNQYFNGCECRCAPLGCTGCVVQPGAHVGATARGAYFLNAASGGQVDTAPEANIDTPPGTAVNLAPGAYALNTAPGANVNTVPALKTAPGADVNTAPAAFALNAASAPGTDLNLYNRQPGAAVDTAPEADLDFVGVLILGAVVEPTEAVCTIACVKDAYITCRNYPGKQLYGCACPCAPPDGKRCVLEYIVTKGLFFVSCELGLLILGAVVEPTAAVCNVACVRKGHITCRNYPGQKIPGCDCMCAPPDGRHCFFHMSNGMARRCWRGRKMASMKLSFAFILLTGTPAQTKLSRASSPVLLQYTDMVLVSRDRTLTFNFLVCPELGLMLCVVLGAGLVVFGEIGVTAAAKACGVQCIQGGYITCDNHPKQLEGCACQCAPKNGKNCVLHLQSGSTARRVRRDRRCQGGGLLDDPVLPGRKLDGCACICAPEDGKHCVLHLQDGTTNKCGKTY >Et_4B_038354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28399856:28401154:1 gene:Et_4B_038354 transcript:Et_4B_038354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLQAAPPPHPSFPLPASRRRFAPPPPPPFSSHRRAVDSTCPSYSVLLSCRGPAHRLRRANVKVRAGAGGRRRESPYEVLGVSPSAAPDEIKRAYRRLALKYHPDVNKEANAQEKFLRIKHAYNTLMNSDSRSKYANSNSESSWTSSSRESKSNAAEEQFYADFLKDLQTEFQNWEAGLNSDQKPKSLWEELAAIGEEFVEFLENELKIDESNSEDDTGNDQYAQFGGQAKNAKDDKTETNSFDDGISEIEAALEKLKKELGLG >Et_2B_021018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25670900:25674401:-1 gene:Et_2B_021018 transcript:Et_2B_021018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTWRKAYGALKDSTKVGLANFNSEYKDLDIAIVKATNHVECPPKERHLRSESWVLILAEIRTVSVSNPQVSADAAAVSVSLLPAGILFATSASRPRADVAYTICTLARRLAKTKNWIVALKTLIVIHRLLREGDGTFKEDFLSYSYRGTILQIPQFKDDSSPLAWDCSAWVRTYALYLDERVECFRVLKYDVEADRLLKLPQASGKAHSRTRTLPCEDLLDQLPALQKLLLRLISCQPDGAACTNYLVQYALALVLKESFKIYCSINDGIINLVDMYFDMPKYDAIKALEIYKRAGQQAEKLSAFYNHCKHLELARTFQFPTLRQEYEEQNQPSDNEEEFPQETDKPVEEEKQEHVEPDEEPEPVAEPIEPVVEPQPPMTTGDLLNLDEEVNPMIADLEERNALALAIVAPGSENKASTSRDLFALDKAGWELALVTAPSNHTNQSVDNQLAGGFDKLLLDSLYEDEARRQQIASVTYTGSLGTNPFNPNDPFAMSNSFAPPSNVQLAIMSEQQQYYQAQQQQYFQVQHQQQMVMTPPQAYQQQSQYSASSSQAGLSNPFGDPFSSLVVMANPPKQSNSNLV >Et_3B_030475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4056434:4058121:1 gene:Et_3B_030475 transcript:Et_3B_030475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMETDINAPPPPAPAGEGSSTAGPSSSRKPNKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >Et_10A_001128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21421250:21426057:1 gene:Et_10A_001128 transcript:Et_10A_001128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLLSRLLLRRSSPLPNHHLPLLRALSSAPSPISSDAELRKYAGYALLLLGCGAATYYSFPFPADALHKKAVPFKYAPLPEDLHGVSNWSGTHEVHTRVLLQPDSVEALEGALAAAHKERRKLRPLGSGLSPNGLALTRAGMVNLALMDKVLDVDVKRKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVSGFTQVGAHGTGARLPPVDEQVISMKLVTPAKGTIELSREKDPELFYLARCGLGGLGVVAEVTLQCVERHQLVEHTFVSNADEVKKNHKKWLSENKHIKYLWIPYTDAVVVVKCNPPSKWRTPKFTSKYGKDEALQHVRDLYRESLKKYRTEAESNDPEIYTLSFTELRDKLLALDPLDKEHVVRINKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSENCFPTGTLGNPSMKDLDFIENLLQLIEKEDIPAPAPIEQRWTARSKSPMSPASSSEEDAIFSWVGIIMYLPTSDARQRKEITEEFFNYRSLTQTSLWNDYSAYEHWAKIEVPKDKDELAELQARLRKRFPVDAYNKARMELDPNKVLSNAKLEKLFPVLQPVHQAK >Et_3B_031122.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:1695867:1697396:-1 gene:Et_3B_031122 transcript:Et_3B_031122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVASNGSREAAKKPALLLGRYEVGKLLGQGNFAKVYHARNVRTGQEVAIKVMEKEKIFRNGLTAHIKREIAVLRRVRHPHIVQLYEVMATKLRIYFVMEYVRGGELFARVAQGRLREDDARRYFQQLVSAVAFCHARGVYHRDIKPENLLVDDAGDLKVSDFGLSAVAEQMRHDGLFHTFCGTPAYVAPEVLSRKGYDAAKADLWSCGVVLYVLMAGYLPFQDRNLVGMYRKIHRGEFRCPKWFSKELTLLLRRVLDTNPQRRATADEIMDNEWFKIGFRRFSFRIEDDRSFTCFDLDEDDASSTCSPPDPDTPRQDVSKKPRKQLTSCASSPSLLNLEAGPNGLSRRRSSLNAFDIISFSRGFDLSGLFEDGEVDSGGREKQEPPRHHPAAAARFVSAAPVEQILAALEGAAAAAGMAVREMDDGSISIEGTREGEHGALAVAAEIYELTPELLVVEVRRKSGGAAEYDDFFRAQLTPSLRDLVSDVGSSPSPRLASTDELSRSL >Et_2B_020694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22860842:22863504:1 gene:Et_2B_020694 transcript:Et_2B_020694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALCDRLFADDVIDGELQHPSDAEDLFGILEAWEDCVSGGSTPRGGVKALLSADAVTPKSAAAAAVNGKRPQASGCDSGDDGVAVHEPTKRQRCSSVPVVVTTTAGGEGAAKQTTTSHIAVERNRRKQMNDHLAVLRSLMPCFYVKRGDQASIIGGVVDYIKELQQLLQSLEAKKQRKAYTEHQAVLSPRPPPACCSPRPPLSPRPPLPSSLKSTPPMSPRPTVLPISPRTPPTPSSPYSKLGRQPSLPPLSLPLSIPLPPPPAAGSSYASPAMTPTATTQESYQLPSMDAIAAELCAYAANNKQQPTVPEVKVEFSGANLVVKTVSPRAPGQAVKVIAALEGRALEILHAKISTVDETAVNSFTIKIGIECDLSAEELVQEIQQAFS >Et_3B_029671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2743261:2744424:-1 gene:Et_3B_029671 transcript:Et_3B_029671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQMAALFGTFPPVPVLTEFQVEHQQQVVAEDVDDVEDGGAGHGHGGAGGAGGGQGKLCARGHWRPNEDAKLKELVAQYGPQNWNIIAEKLDGRSGKSCRLRWFNQLDPRINRRAFSDEEEERLLAAHRAYGNKWALIARLFPGRTDNAVKNHWHVLTARKQRELSGSLRRRKPASSSSTPPGAAPPHFAPVVVLHHHHHYGSGSPPPPPFHAGQEEAAMRAYSGGAESDESTCTTDLSLGSAGAAAVPCFYQSYDVVPRATAPAPAAFAPSARSAFSAPSPARHREAASRDAGDKPALPFFDFLGVGAA >Et_7A_050327.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:26323820:26324074:-1 gene:Et_7A_050327 transcript:Et_7A_050327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVEAKKQSLFSEGAVEGDEIPLSNDHRAAAAMETAMPSWVPRGTRAADKLVGGSSTEAGEDPCKITVIDEEDLVRLEVRST >Et_3B_028643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17645655:17647248:-1 gene:Et_3B_028643 transcript:Et_3B_028643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGASGGGGFVSYSDGEECAGLEPFFFDEAVAEQEEALEKERRTQKAIAYQSVLDKITEYDPKLGCEYITRFDLDEESPLGPMRHIKTQTEKSTGEYGTICRQGRKWSPPEMSASHFMFMVLSLPESLTGNVFISSTVVEILANSSTLRTIS >Et_3B_030295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32015475:32018338:-1 gene:Et_3B_030295 transcript:Et_3B_030295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARLTSFPREQATERVCMVSSFATELLEMRSKEPSLHVLLIPGNPGIAAFYKDFIEALYENLGGQASVTAIGHISHSQKDAEHGRIFSLHEQINHKVDFIEQELEHSEQPIVLVGHSIGAHIGLEIFKRLQTKIFFFVGLYPFLTLNKNSVKQSAIGYIARSSLLSKGVSSFVSLLGSLDASVTRGIVRRLVGYSWSVTAVDAACNHLLRYHTMSNVLFMAMTEFQKLTEEPDWAFIRARQNEIALLFGVDDHWGPLFHLEEVSKRAPGVALSVETEGHTHSYCCTEAGSFWVADYVANLIKTQVLTRNN >Et_2A_016602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26500895:26512782:1 gene:Et_2A_016602 transcript:Et_2A_016602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSGEPEPDDAVIFVGVSLVLGIASRHLLRGTRVPYTVALLVVGVALGSLGANINPDLLLAVFLPALLFESAFSMEIHQIKRCMAQMMLLAGPGVVLSTVLLGTAVKLAFPYNWNWKTSLLLGGLLSATDPVAVVALLKELGASQKLSTIIEGESLMNDGTAIVVYQLFYRMVLGSTFNTGSVIKFLTKVSLGAVALGLAFGVVSVLWLGFIFNDTIIEIALTVAVSYIAFFTAQDSLEISGVLTVMTLGMFYAAFAKTAFKGESQQSLHHFWEMVAYIANTLIFILSGVVIADGVLQNKVHFERHGTSWAFLLLLYLFVQLSRTIVVGVLYPLLRHFGYGLDLKEATILVWSGLRGAVALSLSMSVKRTSDAAQPYLKPEDGTMFLFFTGGIVFLTLTFNGSTTQFLLHLLGLDKLSAAKIRILNYTRYEMQNKALEAFGELREDEELGPADWVTVKKYITCLDFDDEPVHPHQVSEKDGHMHTRNLKDIRVRLLNGVQAAYWGMLEEGRINQATANTLMRSVDEAMDLVSTQPLCDWKGLQSSVQFPSYYRLLQMSRLPRKLVTNFTVERLESGCYICAAFLRAHRIARRQLHDFLGDSEVARIVIDESNSEGEEARKFLEDVRVTFPQVLLVLKTRQVTYSVLTHLSEYVQNLQRTGLLEEKEMTHLDDSLQRDLKKLKRNPPSVKMPRFSELLNNHPLVGALPPGVRDPLLSNIKETVRAHRTILYKEGSRPTGIWLVSLGVVKWTSHRLSSRHSLDPILSHGSTLGLYEVLVGKPYICEMMTDSVVHCFFVEAEKIEQLRQSDPSIEFFLWQESALVIARLLLPQIFEKMSMHEIRVLVAERSTMNIYIKGEDIEIEQNYVGILLEGYLRSNDNTLITPPGVLLPTNSDWNLFGLESSAMNHVDYCYTAPSYQVDARARILIFEVVRTETEADLQRTISLLSQTHETPRTPFKEHSALLSWPESFPRRESLPRSPRRPNLSLSEIRNHPGSYSSKALQLSMYGGMIDDMFADHRRQRNHTQAMNQTRSTSYPRLPSTMPATNNTRPLLSVRSEGFNMKRMAARNEASGATATATGIRGSPGTSQKDDNFIDEAVRDEAVVRIDSPSMLSVPHSSNMFHSLQDN >Et_3B_029832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28352921:28357078:-1 gene:Et_3B_029832 transcript:Et_3B_029832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVAVGRQGGKRTDGARRKLNNSYLEFIAPMSGAPNKDTKNSPGSGNGFLEDIACSDVDVVMQEASGSTLKQDPPNNLDKLSSVMSLIQEHCLEDQLVVFRDQMQNSSSTRNEEYHFQVELAGQVLGRGVGSDRDIAKLLAAEEALRTLKSTTDPQIKKYLRPVRFSTAAILQNMNSDNYS >Et_7A_052200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5544834:5549247:-1 gene:Et_7A_052200 transcript:Et_7A_052200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSPSTPADAPLDSSAPLLGGAGGGGRRRGGALRRPSLRGAARLLRRGGRRAMREPSVLVREAAAEHLEERQADWAYSRPVVALDLLWNLAFITVAAVVLVLSRNEDSPMPLRTWVVGYALQCVVHMVCVAIEFRMRHGQRRGASSAPIDEERGSDDSSSSSDDDVGEHDRRGRRTDYVSIAKHLESANTMFSFIWWIIGFYWISAGGEEVIRDAPQLYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQIPRYKFRRTDEPEKQAVDPMGPFGGIMTECGTNQPIEKVLAAEDAECCICLSAYDDGAELRELPCGHHFHCTCIDKWLHINATCPLCKYNIRKSSSSSGSEEVNILPYQHFKVRQQFDWSAAE >Et_5B_043309.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:23889369:23889527:-1 gene:Et_5B_043309 transcript:Et_5B_043309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTEGFEADDVEDCWTFGFGLGRADSLLTRTDAGTCNQTSFNTVLSGKHKT >Et_8B_059664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20483823:20485791:1 gene:Et_8B_059664 transcript:Et_8B_059664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEPNQEQRDGSSGPDLPTDIWWRIHSLLPLIDAARVACVSHDFVWSWRLCPNLTLTPWTLNLYPMPGEVGEIRYFINVVNSILKNHSGVGIRVKDDSCYFDHVDNWLQIAIKQGIEDLRASLALSLILTANSAVYNFPCTLLSGGSGASLRSLDVRFCKFKPRVGFCLRSLTSIQLLGVHMEDDDLSSLLSSVPSMESLVLVSSHRIMCTRIPCLHKLRYLFVSFRRKIQLIESQAPNLSSMYFAGKLGVPFSRGSSSQQMETLHLVSDDTISYAYTELPSIMPNLRTLTIDSFRESNVTPLVFAGGQFSVTMPTKFVHLKFLSIRTLCGPDYDLFSLIYFMLACPSLETFVLNVSLRPSCTNIVNGSAGTVSSTLVVHHLSGRLNTHPSVFSDPSGLRTMPKPLDTMTSSSLSALKLSILPRCLLS >Et_9B_065310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3588534:3594916:1 gene:Et_9B_065310 transcript:Et_9B_065310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGRGGAARIAAAFLVLLHLVAAIAGKSYYDVLQVPKGASEDQIKRSYRKLALKYHPDKNPDNEEANKRFAEINNAYEVLTDQEKRKIYDRYGEEGLKQFQGGRGGGGGMNFQDIFSSFFGGGGGMEEEEEQIIKGNEVIVELEASLEDLYMGGSLKVWREKNVIKPAAGKRKCNCRNEVYHRQIGPGMYQQMTQEVCDQCPNVKYVREGDFLTVDIEKGMQEGQEVLFFEEGEPKIDGEPGDLKFRIRTAPHERFRREGNDLHTTVAISLVQALVGFEKTIKHLDNHLVEIGTKGITKPKEIRKYKGEGMPLYQSNKKGDLYVTFEVLFPKTLTDDQKAKLKEVLATLL >Et_2A_015140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32052612:32053628:-1 gene:Et_2A_015140 transcript:Et_2A_015140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSASSPLLNCARALAEHGGAGAGHLAVLSPSSAGTTRQGTAALCRAMSDGDLAAAGPLVPAARSASSSASFSVEEEEDEGEYEDDGAAGAPAPVPLRRLLTSTGLESSAAAAAAAVALVEDDVAGGGGGGRKAHAGGGGQDGGDSRAAADAHYRRMIEADPGNSLLLVNYARFLSEVEGDAARAQEYCERAILASPGDAEALSLYAGLVWETSRDADRADAYYTRAVQAAPDDCYVLGSYAGFLWDAEEEDDEESGNAELMPPPPSPFLDAAQPPPIAAAS >Et_4A_035399.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2364745:2365374:-1 gene:Et_4A_035399 transcript:Et_4A_035399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETEQEMEERVLDPELGSGHLDCGMKDHGSGGRRSGWRPIYLQITPWFGSRLRGVCVNNWIATNTRDPNKGVVCKVVGPGLAPLASSPPPAATSAPRLPLFDSTLPISRLCRIRYPQEVALRRDRARWAVTVSDATPAAPSSDESPRQPSALTVVAQSGGVEWIGNGSRPQGPRQGDHGRRSARRVGTEDEDDDERLFAGTVDGVVFM >Et_1A_009623.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:9739707:9740093:-1 gene:Et_1A_009623 transcript:Et_1A_009623.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALRYRLGGPTANTFVDHFTSRHSSAGEEDLAEELRRVARLVADVSLFDYSCLKLLPSAVAAAAIFLARLLMTPPCDGEQVRRWGRELQEMTGYKPADVRDGVESLHRLIMPSPGFVLLPMFFADI >Et_8A_057892.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:893419:894504:1 gene:Et_8A_057892 transcript:Et_8A_057892.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATNANSLSFSPARKGNAFLRMQPVPMRLAVSCAAQKATVDKVCQIVRKQLALTDDATISGSSKFSELGADSLDTVEIVMGLEEEFDISVEESSAQTIATVEDAAELIDKLVEKKPASS >Et_1A_008121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4582076:4583388:1 gene:Et_1A_008121 transcript:Et_1A_008121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNAGNSQAVRRAASTTGLIVILLLVVFTASNYSSLNIDRFINVPASVSTSRVTTCDVAKGEWVPDAAAPYYTNATCPFIDSRQDCMKYGKPEIESILRWRWQPDGCDLPRFDPAAFLRLVRHKSMAFVGDSVARNHMQSLMCLLSKVEHPQEIEPKDCIHCTRSYLYREHNFTVTVFWTPFLVRWNLTRDGALQFMDPHNVFLDEADPEWTPRVAGYDFVVLNAAKWFTRPVVLHERGAAVGCSDCGAATPHNATYLPPHRAVRAAFRTALRALRELPGFRGKVVVRTVAPPHYENGKWYDGGNCLRTRPVRSDEASLPETEAAFHAAQVEEFRAVAEDGRFVLMDVSRMMQMRGDGHPGQYGHWPHEKVGFGIDCVHWCLPGPVDAWSELLLHLLTS >Et_4B_036839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11890936:11893634:-1 gene:Et_4B_036839 transcript:Et_4B_036839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAASIPVPAAGGRFFTGFTKLCKGLAVVLFLGHILVQVFPSAADYLALIPARTIPFAWNLVTAGYIEQTIPGVIVSIAGLLLFGKVLEPLWGAKELLKFIFIVNLSTSVCVFITAIVLYYTTQQESYLYTPLSGFYGILSGLLVGIKQILPDQELNLFVLKIKAKWIPSIVAFSSVAVSFFLNESVSYLPIILFGIYMSWIYLRYFQRRLEAGLKGDPSDEFSFSSFFPGFLRPILDPIASIFDKLLCGRTQKPEATGKTLDGSQFPGSGSIEANRRRERGQRALEQRLAEKLAAVRSSEGSPQPQQQQQQPQLEEDDASDKV >Et_8A_057130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21481920:21484787:1 gene:Et_8A_057130 transcript:Et_8A_057130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGQAADGQPPPSSSCSSSSSSSSPSTPSAGRLNRVKRRPDILNILRPPYSDRGNGTGTNAACLNSSSADTGRGRSKLSSNKVMHGFHLVEGRSGHDMEDYHVAEYRYEKDHELGLFAVYDGHLGDSVASYLKANLFNNILKEPLFWSDPHEAIKNAYSSTNKFILENSKQLGPGGSTAVTAIVVDGVDMWIANIGDSRAVVCEKGAAHQLTVDHEPHTTNERKRIEKHGGFVSTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDIKHIQINSNIEFIILASDGLWKVMKNQEAVDLVKSTKDPQTAAKRLTSAALAKMSKDDISCIVIRFRC >Et_4B_036606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9877065:9878953:1 gene:Et_4B_036606 transcript:Et_4B_036606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding STRSSWPRASSRTPSPPAASSSSPPRRPPRASSRARSSTRSACSASCGNPTRSHGQPHLCLPIYASMPAAPDAYTHPLLAAACAARGDAGEGRQVHAQAVRHGFGDNLYVVNSLTHMYSACGCVADARRVFDAGPVRDAVSWNTILAAYVRDGDVDQAVEVFARMPERDTVTWSTMISGCVQNNQSLEALTLFDSMRAQGIKPDEVTLVSVISACTNLSALEKGKSVHQYIRQYQYNITVVLGTSLIDMYMKCGCLDAALKVFDMMEEKGAPCWNAVIVGLAMNGLVTKSLDVFSEMEASGTTVPNEITFTGVLSACRHAGLVEEGRHFFKLMQNKYQIVPNIRHYGCMVDLLGRAGYVREAEDLIESMPMSPDVPAWGALLSACWKHGDSEVGERVGRKLVDMDPHHDGFQTMLSNIYASEGMWQCVKDVRGSMKQHHTSKVAGYSVIESSHAS >Et_5B_045445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22626209:22637466:-1 gene:Et_5B_045445 transcript:Et_5B_045445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDSFAAYHVVEDARMEATPLPDVEPTEETSTATSAPSSPLPSTPTPKPKAKPAKPGLWDALAFSGPAPERINGRLAMVGFVSALAVEASSGGGLLTQAGSGSGLAWFAVSAAVLSAASLAPLLQGESAEARSKGFWSADAELWNGRFAMLGLVALAVTEYITGAPFVNV >Et_8B_058941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11194970:11198781:-1 gene:Et_8B_058941 transcript:Et_8B_058941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYEVRRRSSSMEGLLTLDERKERRSDVDNSEDERRRLSIGSLKKKALNASNKLTHSLKKRGKRKVEHRASNFTIEDVRDEAEERAVFTFQQELLNRNLLPDRHNDYHLLLRFLKARKFDTEKAIQMWAEMLQWRKEFGTDTILEDFNFEELDEVLCYYPQGYHGVDRQGRPVYIERLGKVEPNKLMHITTVDRYMKYHVQEFERAFRDRFPACSIAAKRHIDSTTTILDVDGVGFKNFSKTAREMLSRMQKIDSDYYPEGRNSDTSTVESGSDVDDLGSPMMRNTVERSTVGCSRLAPVREEMRARDSAAYYSCDDHFVVVDKTVDYGRGGSMSDRGSASEIRAESRPLHTGRAPHMPSSSSSRRGTVMPKEVLEEGKIYRFARLLLALIVKVFAFLHIAYGQLETRAINPAPPAEPEPSSDDHPAVETFNVDHISPVIERLQRLEGKVDELDSKPPEIPLEKERSLLESWDRIKSIESDLDRTKKVLQATVMKQLEIAESLEEMIRSKLRVNINYFLFCFRTWILLPLYYWSHNSFLASFRDGDFVPNKQLRLLNRQHTSSRG >Et_3A_026125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4631881:4637270:1 gene:Et_3A_026125 transcript:Et_3A_026125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPSSSSAARPAPAASGSGSVGAAASPESYIGSLISLTSKSEIRYEGVLYNINTEESSIGLRNVRSFGTEGRKKDGMQIPASDKVYEYILFRGSDIKDLQVKSSPPPPQPASLHNDPAIIQISLGLLGSHNSAMQSHYSQPASTSSSLPTAGGAVLPDLSSQAAQYGIQRPSFQSNLPLYQPGSAPWGSPAAPPGGNASTLSAPSMYWQGYYPPSSGLPPHMQPPPFLQPPSGLSVPQNLQYPGLAPLPGLQKLSELQSSLMQPPVSSQGPSSGILPASTAPASAALLAPENSKPMLPNMGPLFTPPVTSLGAASPFASHPTSMAETSATASQNFTSLGNSKAPALPGSALAYQTVSQSVSSTVPASSSAQVELPVPLLSQSGQLLQNPASMLSSSLSIEAPLQMGSKEVKPLEPKAKVTEPLLPDPLLPDPPSRALPDNKEPILPLPKQTPQKYNGPGPHNHHSFRGRGRGRGSAFSQSVTSFTEEFDFTAMNEKFNKDEVWGHLGKKSQSRDKDGELGDDVFDEDLEVEETGNPELAAKPVYVKDDFFDSLSSGTFGRGGPNGRGRFSERRRVDTETFGDFPRHRQPYRGGARGYRGGGRSRGSYYGGRGYGNMGMGAPGNAYPHRGSPISILVRVAGPNSVTFLFQSWQLFGRRRDVVEFGLITGVRVPALPYSFGLPLDKATGILGR >Et_7A_051266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16270955:16275216:-1 gene:Et_7A_051266 transcript:Et_7A_051266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSERIRHGDQKRKRFITSSSSSSEARKKSRKRERRHCRRSPEARAPCRDQLTARGLAVSAGLGVLFCLISLKLGLTTGIVPSLNIAAALLGYFLVRTWTAALQRFGVVSRPFTRQENTVIQTCVVACSGLTGSGGFGSYMLAMNHKTYAAIGPDFPGNRAVDVKDPSLGWIIGFMFVVSFFGLFSLVALRKVMVIDYKLPYPSGTATATLINSLHTTAGAQLADKQVNCLGKYFGISFLWNCFKWFFSGVGNSCGFDNFPSLGLAAFKNIPTYIGCGLLTPHIANCSMLLGAIISWGFLWPYISTKAGDWYPANLGSNDFKGLYGYKVFISVSVILGDGLYNLMKIIYATIKEIMIARSKQGRLPLVRMEEDEDVLRNRIFINDSIPLWLAGSGYVGLAAISTATVPMIFPQLKWYLVLSAYLVAPLLAFCNSYGAGLTDWNLASTYGKIGLFIFASWVGQNGGVIAGLAACGVMMSIVSTAADLMQDFRTGYLTLSSPRSMFVLQLIGTALGCVIAPLSFWLYWTAFDVGNPDGMFKAPYAVIFREMSILAVEGLSALPQHCLAICSAFFVAALLINLLRDVTPANVSRFIPVPMAMAIPFYLGAYFAIDMFVGTVILFIWERVNEEECRDFKDAVASGLICGDGIWSVPSALLSILRIDPPICMYFKPSVGS >Et_1B_011195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18589062:18592044:-1 gene:Et_1B_011195 transcript:Et_1B_011195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPGFFSNIGKRAKDLLTKGYIRGQKLTISTVTASGLEAKYMHPQEVAPRVLTSAAEKKKGLYTHDISSVYKHKNTVINVTVDAESNIFTSLTVLEALPSTNLLICVELPDNKGGQLELHYCHFHKNASFATVIGMKPYPVLKISGAVGAPGVALGAEVKYDTAKGEFTRYNAAIGVTKQDYHAAFILSNKGDRIKVSGLYHFDEKHKASVAAEFTKKLSCKKNTLTVGGMYSIDRRTTVKARVTSEGRLAALLEHRIKSKSLLTISGEFNTKALDKRPKIGLALCYSPRPHRSSKCHHHATESAALVPEVIYKTLPVW >Et_5B_043951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18262454:18266346:1 gene:Et_5B_043951 transcript:Et_5B_043951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWSGKRMLLRVLCLCSALAVARPANVTIGALFTFDSVIGRSARPAIELAVADVNADPTVLRGTNLSVLMQDTKCSGFVGTIQALELMEKEVVAVVGPQSSSIAHVVSHVVTELRVPLVSFAATDPSLASSQYPYFVRAAHDDAFQMRAVADVVAHFGWREVTAVYVDDDYGRGGVDALGDALQAATRSRVAYKAAFPPGAGRAALGDVLVRANMMESRVFVVHAGPDSGPDVFAVAHSLGMMAGGYVWIATDWLAAAIDDAGAASSRPAALLGLVQGVVTLRPYTPDSAAKRSLVSRFLAGGKGNRTAPAAMNAYGLFAYDAVWAAARAVDAFLRAGGNVTFSADPRLADAANGSALRLSALRVFDQGDQLLQQVTLANFTGITGRVTFVGGDRLLADPAYEVLNVGGTGVRRVGYWTNATGLSVAAPSDAGNASRSQNQQELYSVIWPGETTAPPRGWVFPNNGKPLRIGVPYRTTYRQFVSKDAGPDGVSGYCVDVFKAAVALLPYPVPVSFVLFGDGVKNPSYSDLVQKVADNYFDAAVGDISIVTNRTRIVDFTQPYVESGLVILSSVRTKNSNEWAFLKPFTLEMWAVTGAFFLFVGAVVWILEHRFNPEFRGSPRKQIVTIFWFSFSTMFFAHRENTVSTLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLSTGIQGLDSLLSSDQPIGYQVGSFAKSYMMQELGVPESRLRELAISDYTASLQRGPGNGGVAAIVDELPYVELFLSTNCQFRTVGQEFTKGGWGFAFQRDSPLAVDLSTAILTLSENGDLQRIHDKWLSPGTCASQGADVGADRLNLGSFWGLFLICGVACFVALLIYFARILCQFGEYHRDAGGGGGDDPSFPGGAERSVRRPARIKSIRELITFVDMKEKEVKMAIRSKSGERRLDRSIGSSVSEGPSSSLSRPSSLSPA >Et_5A_042772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4072105:4074404:1 gene:Et_5A_042772 transcript:Et_5A_042772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRDGPCRRASAALMCLAGDGGGKGSRSRRLAGVVGAEPAPCGAGDLRGDAAEEPKRWCAGLGLGVENGKKGEDAQCCFFAGVAAAELAPVPGGGVAGAACGGESSGSWVSGFAWHPPMSWRAREDRDAEAARAGSGGRARGAGWELGGWGGHGGERERERERERERVASGDCGGVRRIETGKSFRHGVHAISTETASSPVVAI >Et_5A_041334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21315057:21320405:1 gene:Et_5A_041334 transcript:Et_5A_041334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSKTARERNAEKNKPAKGSQLEANKKAMNIQTFICTTSEAKCKEHAEARHPKNDLGQLEDLNNALLIIVNIDALKDFTVFSSSNFPDNFIVILLTDQRDIV >Et_4B_038555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29800115:29809809:-1 gene:Et_4B_038555 transcript:Et_4B_038555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKLRDLSQPIDVPLLDATVAAFYGTGSKEERNAADQILRDLQNNPDMWLQVVHILQNSQNLNTKFFALQVLESVIKYRWNALPVEQRDGIKNYISDVIVQLSSNEVSFRQERLYVNKLNIILVQLLSEEIFDFSRGEMTQQKIKELKSSLNSEFRLIHELCLYVLSATQRPELIRATLATLHAFLSWIPVGFIFESPLLETLLKFFPVAAYRNLTLQCLTEVAALQFGDFYNMQYVKMYTIFMMQLQSILPAESIPDAYANGSSEEQAFIQNLALFFTSFFKNHMRILEITPENRAALLLGLEYLIGISYVDDTEVFKVCLDYWNVFVLELFEAHNQMEPAAAVSMMGLQAQMVPGIVDGTGSAVQQRRQLYSGSLSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIVQKCKRKFVTQQVGENEPFVSELLTNLATTIADLEPHQIHTFYESVGHMIQAESDNVKRDEYLKRLMSLPNQKWAEIIGQASQSIDVLKNQDVIRSVLNILQTNTSVASSLGPHFFPQISLIFLDMLTVYRMYSELVSSTIAEGGPFASRTSFVKLLRSVKRETLKLIETFVDKAEDLPHMGKQFVPPMMDPVLGDYARNVPDARESEVLSLYATIINKYKSEMLEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSPQLKLVIDSINWAFRHTERNIAETGLSLLLEILKNFQASGFQNQFYKTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCVVDGLTEPLWDASSAPYQFTDNAMFVRDYTIKLLGTSFPNMTTAEVTKFVDGLLSSKHDLPSFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQKERERQRMLAIPGLIAPSELQDEMVDS >Et_5A_040173.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:19050942:19051454:-1 gene:Et_5A_040173 transcript:Et_5A_040173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCGALDVVNVVHLSGHVDEFAVPLTAADVLTALPNHALTATGSAGGPRKIAIVAPDSELKRGSIYFLILAACSAPAAKMKRRKHVHRSAAARGGCRRGGQRAGGGGKLEASARGAGAAGAHGGVVTCAWGAGRRQGRGGFGATHGGGRVRGEEEREKEERERRNKILQ >Et_2A_016855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28871556:28872874:-1 gene:Et_2A_016855 transcript:Et_2A_016855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRQQKMHPAIREGSQAKRVTSTAVPKSVEKRQQKVHPIFRERNQARCAASTTVRRSLLPSFSDESLDHESYEDRRRKTR >Et_10B_003480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19993288:19995865:1 gene:Et_10B_003480 transcript:Et_10B_003480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAAAASLRLPFPLLYAPAASSTLRFPLHRRRAPRSLAIAAFKKLSEASPLPIPQEPSEPLADDEALPPKPGVYGVYDPSGELQFVGISRNVRASVEGHRRKVPADLCASVKVAIADEETPDRTALTNAWKSWMEEHIEATGKAPPGNVAGNYTWVGAPQRPPDLRLTPGRHVQLTVPLEQLIDRLVKENKVVAFIKGSRSAPQCGFSQRVVGILEAHGVDFVTVDVLDEEHNPGLRETLKTYSNWPTFPQIFVGGELVGGCDIVSSMAEKGELAALLQK >Et_8B_059230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15649278:15654718:-1 gene:Et_8B_059230 transcript:Et_8B_059230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FLAFKRKSMDEQKSSMHAAAVTIPPMQTSESNKISIIISPRTASSKIMPFELVNAGSVSSRPHADPAESSGAHATHHQRWNQGSPKINAVPLIKKVIAEFLGTFILIFTVLSTIIMNEQHDGVESLLGIATSAGLAVTVLVLSLIHISGCHLNPAVSIAMAVFGHLPLAHLLPYITAQVLGSTAASFIAKGIFHPVNPGIATVPKVGTVEAFFIEFITTFILLFIITALATDPHAVKELIAVAVGATILMNALVAGPSTGASMNPARTIGPAIATGRYTQIWIYIVATPLGAIAGTGAYPKSMDAALVSVPPMESNKISIIISPRAASSKIMPFELVSAGSVSS >Et_2A_015106.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:30026200:30026787:1 gene:Et_2A_015106 transcript:Et_2A_015106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHTPASLSSRRRGRAGARLSVGEALASRLARADEDPSQPASTSIRRGNLSPNAATELSTLPDFLLSAPLQKQGLLCRSRDTRQRRAGFSTEQENSLSVSQHCNSTLPEKMKKCASELQLEAFIREDDRNEPSRRPQAGGLDEPGGGRNSSGVFDRLPGIGFGDQVSTANHEPCHVPTVSYSHVRILCCDICLQ >Et_4B_037249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17167616:17170391:1 gene:Et_4B_037249 transcript:Et_4B_037249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLLSFRIPFFAKPGALRFANCRCVGFMASNTGKKLIQIDLSSDTVCPWCFIGKKNLEKAMEQTKDKFDFEVRWHPFFLDPDAPKEGIKKSDLHKMKLGPVQFERATSHMKEIFRGFGLEYDMTGLIGNTMDSHRLITLAGHQGYDKQNALVDELFLNYFCQGKYIGDKQVLLDAARKVGIEGAKELLEDPSKGVDEVREELNKYSSGISGVPHYVINEKYQLSGGQPPNIFIRAFEAAAKDGDQL >Et_5B_045782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9750491:9754296:1 gene:Et_5B_045782 transcript:Et_5B_045782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAERRQAELIEQFSAQAAALSSAPQLAALVLEATSHPALFAFSELFAHPALSKVRPLVSPTARPCPRGGLGLIPPHGIHDEWVGFPDRSDASRGPILAGTQYESSLEVLRLFAYGTLKDYKSKSGSLPALLPDQVRKLKQLSVLTLAESTKLLPYDQLMQELDVSNVRELEDFLINECMYSGIVRGKLDQLRRCFEVQFAAGRDLTADQLNNMIDTLSGWLETSDSLLHQIQDKIKWADTMSEVNKKHQKEFEDRVEEAKKSIKADIDLRGHDDFLSEPGGIMDFEEDRIRPKRRRQPMA >Et_10A_000371.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:6044113:6044292:1 gene:Et_10A_000371 transcript:Et_10A_000371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IGLKINSYRQDFPFIFLLIFGFRFQIKSSCSLKSPLESSASVPTLTHLSGIDPHYGPLF >Et_4B_036822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11810131:11811063:1 gene:Et_4B_036822 transcript:Et_4B_036822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPALMDDLVGEILLRFPPDEPELLVRAALVSKRWCRLVSDPGFRRRFREYHRTPPMLGCFFIRGSATEFAPASSFRLPRAVRGDWCAIDARDGLVLLHCEAPWDDELLIRDLVVCDPVTGEQRVVPPPPTPPDHAFPFYCTWTAAVLCATAGGGCNNIDCRHASFLVVFVCTGSRETFTCVYSSDSGAWSVPTSVQLRYASETSLIGLPVTPYKHIVVTAMVDGRLGFAAVHESKIYLWSREAGRKAYAGWTQSHVLESTHCLGRLVLFRTTVT >Et_5A_042006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5054915:5056135:-1 gene:Et_5A_042006 transcript:Et_5A_042006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPRRFLNLIMEGLNPGAKMLRCIDLDRHKFFNTRKPAQAATSAAAATASKKIPMIHLPRPSVKFRAGATDYSWAMSCFPLAGRTVLCADQCGRAFLFDLDTRMMGTLPDLHRPKSPSSAFCVFVPGADGGSLYVMEGSGSGTGSHICVSVDGFGTYSLDTANHVWSQVGDWTLPFQGKVEYVPELKLWFGLSAKDGYLAAADLSTILSTVDSQPQLVGPWKELVEPPRHWRDVQRPQLVHLGCGRFCIARSFHIWMPTEGYSYDPLTGCYFTVLTGADVVPCVRDGNGGSADANCSNGSGSANGGNVQVELEMIRHESRLHMSYGRDGTIMGVF >Et_2A_018630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3674731:3676988:-1 gene:Et_2A_018630 transcript:Et_2A_018630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATHLGVDMGPQDPDVMRFVCNPLSGEMFRLPDAGGTKKDPTLDSHGLITHSARGHGPPDRYAIAELRVTADFRGESLIYTIRRFLSQTGEWDKLVGKILRGLGLPLGRRMVLDHAVLAFAGRLWWVDVSWGAISIDPFGDRPDFHFVGLPRGSVTDPVEILQGLGRYRRMGVSEGRLRYAEVNREEPFVLSSFTLDNDTGCWTLDHRMALSSLWLRGGSPWQEDAPRIAVVDPLNASVMHITIGNVPFAIDMDKEKVLGCSTSPIGFGDGRPLLCKPCVLPPWIESSQIPSAGSSGKANVKSNTLADVLVRVDRREQYSTALIEANYQVLANNAGNDIGLHNGQKLLVFEC >Et_2B_021364.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2957839:2961609:-1 gene:Et_2B_021364 transcript:Et_2B_021364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRARPNHIPLLPRPFSSSSSSSPPFPPSPSAPAPSSADPTPPSLFADLRDRLKSANRTPSSPYPRGSSSDDIRRSLSLFRNPRPAASSSSTTSSPTPSFLDIFANNKDKPSSASTAIPSPPSSSPFPFAPSATASASRALRSPDPSLSTGRPSSRRPAAALGRDPKETAGSASLAFLQHYTYEEMGKRLAALRSSQAGKDGKEWFSLEELSARLGRLREMDKEKEKEKEKKEKEKKGPLSVLDTGLLREALQNMSIFMGLSGQAIPGILLGKPQEELVEKYFHPDHMSSAEKMKLELQRVRDEFKMSENDCGSARVQVAQLTTKIKHLSATLHKKDKHSRKGLQEMVQRRKKYLKYLRRTDWDSYCLVLSKLGLRDVPEFKPPDYKSKSSTKTKSKRKSKRKMKA >Et_3A_024214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18438983:18440131:-1 gene:Et_3A_024214 transcript:Et_3A_024214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FIGEAEGGLHAAVCYSHSRRRRSKTKTYDAAIARRGNLPHCEDDVKQQFQVILFDQCNMPGAGSLGLEVFSSNSGEWTPLRVHYPADLDLFGEPSPCFTPPFLAQSGTTAYWLVPWSAHAFAYSNVQDHSIRLILVPHHGGVTGDDLNSRCIGERRRGGGLRYAHFDGPNFEGWDAPPAVPGDNGPPPQWMLTHRAAVNSVVRRSTRAAGATRIVFALLGFDPADEDVFLFQAPHVGRLAAYSMKQHKLSFRAQLPPGVTFAPFDMIPYVRQARGGAVEVPGMQSLDA >Et_5B_044956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7704552:7707988:1 gene:Et_5B_044956 transcript:Et_5B_044956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPLLGSVEKTTGVGKGLVVTEVRKQLYLAGPLIAAWILQNLVQMISVMFVGHLGELALSSASIATSFAGVTGFSLLAGMASSLDTLCGQAFGAKQHYLLGIYKQRAILVLTLVSIVVAVIWFYTGQILLLFGQDPEIAAGAGSYIRWMIPALFVYGPLQCFVRFLQTQNIVLPVMLSSGVAALNHVLVCWLLVYKIGLGNKGAALANAISYLTNVSILALYVRLAPACKNTWRGLSKEAFHEIPEFLKLGVPSALMVCLEWWSFELLVLLSGLLPNPKLETSVLSICLNSGSLAFMIPFGLSAAISTRVSNELGAGRPQAAKLATRVVMVLALMVGVFIGLVMILVRNLWGYAYSNEKEVVEYIARMMPILAVSFVFDDVQCVLSGVARGCGWQKIGACVNLGAYYLVGVPAAYCLAFVYHLGGMGLWLGIMCALVVQMLLLLLITLCSNWEKEALKAKDRVFSSSLPALAPTRSCCRRRHDHDAHLHGCTTLYSRPAASKPRPVTRQESDRPSVSCDGGRYRTPPVVGFDTEYAAAAAQYLLTRMTPRHDFAPMNRGQRKHLSG >Et_6A_047009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23980066:23993559:-1 gene:Et_6A_047009 transcript:Et_6A_047009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TAATQAASDVEASSRSAAATPSTRRRRDAGSSVRRILVNILALFAFQQASGIDAIVLYSPLVFKQAGISSDRAALAATVAMGRKGGRGKEKAVSATCVAVVLAFVTAFSVGLGPLAPAYGTEILSLRLRAQGTSLGIAANQLTCRILSMTFISLANSISMAGCFFLYASTAVAAWVFVLPETKGRNLEGMEVLFANRAMAHVRATYATEAPLLSSPDEPCRNTFAFFCFTLLMGYSTSCTDEPREALHAGGPGSNGRAGRGAVRVHEPVHAVLHPCRRLGRGPRRTLIAAVHRILVNVHALFAFQQTSNIDAIVLYSSLVSEQAGISSDRAALAATVAMGVVKTLAVFVAMFLSDRVRHRPLLLASVVGTAASLTALGAALCVRPTMPASVRHGVLGRDRPSTILLLRLRAQGTSLGIAANRLTCGVLSMMFISLANSISMAVCFFLYASTAVAAWVFVYAARDQGPDLGGNGDALCQKPHPATHATLVLANTFLIVGALAMSLGSSFAALMAARFVSSVGSRWLSPVYAAEIVPASARGFLTSMVDIFIMAGILLSYVSNYTLAGLPPHLGWRVMFVLCVAPPPVLVAAGVLAMPESPQWLAMHGRDAVADAVLACTSDTPTEAGDQLEEIRNAVAAQVGGAGVWRELAGPSSPAVRRILVNVLVLFAFQQASGIDAIVLYSPLVFKQAGISSNGAALAATVTMGVVKTLAILVATFLSDRVGRRPLLLASEAGIAASLTALGAALCVQPATPASSAAGVAAVLAFVTAFSVGLGPLASAYGAEILPLQLRAQVTSLGICANRLTRGILSMTFISLANSISMAGCFFLYASTAVASWVFVYVRLPETKGRILEGMEPHPAIRATLVLANTFVMVGVLAMSLNGSFAALMAARFVTSVGVRFSVVRRCAGLQCRDRVGTRVPDVHGGHLHHDGDPP >Et_2B_020380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19572287:19574576:1 gene:Et_2B_020380 transcript:Et_2B_020380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALQRDMILRQLGETERAMVASVGHPVHWPLQPLPPSQEDYWLHRRPPSLTPIPWDEVPPAVTEHPPGFRGSSAAVRPPPVYPHVERSPSPPPSDNGDQQQECSSPGAAVRPMLSGDLEGCRSPSKRTLPEAAALAPWLSASNANVGAGMMLSASFTKEVTPGDRRVIVAGEPKHDVDEGHGVQTFYGSGNQSSEQRETVESTMKNQSDELVVRQRQCGPASEENGAYGEQRIEFRECIAATCRPSGAMTLPKGALVAAAANAGAQMMMSALVAEEVTPGDLWAAGREEHKHGVEDGHGVQPLRGSGNQCTEQTKIMESTIKCQSDELLARPCQYRPASEEKGASSEQKGIAFREVSSHILSVLVYTRNLSAIWGGSVSNDCPKELWWQLRPILALD >Et_9A_062418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22725522:22728398:1 gene:Et_9A_062418 transcript:Et_9A_062418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRKINNTHSTSVNFQLPREAIEKMPSQSHVLLMSFPLQGHVNPLVRLGRRLAAKGLLVTFTTFRHAGLRALPDDDGSCVGHGGRLRFEYLRSHDDLSRTDPTDMLRHVVDVGPAALAGLLRRQAGAGRPVACVVNNCFVPWALDVARGMGVPYCATLWIQSCAVLSLYYHFYSFPEAGQGFPSDADPNRAVALPGLPTVAADELPLMVRPEYASNLWGDMLRAQLGQIDKGVVSWVLVNTFEGLERSVIDALRAHTSVTPVGPLLEQDGHDGDDNKLVVAADDDNDGCMAWLDAQQPRSVVYVAFGSLVDIGHDETLAVAEGLLRNGRPFLWVVRDDSRELFLGDDQLAACRGRGGKGKIVAWCPQGRVLAHGAVGCFVTHCGWNSAAEALAAGVPVVGYPWWSDQFTNAKFLVEEYRVGVRLPAPVTGDALRACVEEVMSGPEAAAFRMRATAWKEEAEAAIADGGSSDRNLDDFVAYMALALVAAATRQPGEEVN >Et_7A_052713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13959798:13962425:-1 gene:Et_7A_052713 transcript:Et_7A_052713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASAMRKASSHASLADPDDFDLTRLLNHRPRINVDRQRSFDDRSLSELSGTGGGGRGGGGWAMESYESMYSPGGGLRSLCGTPASSARLSFEPHPLVSEAWDALRRSLVSFRGQPLGTIAAVDHSAGEVLNYDQVFVRDFVPSALAFLMNGEPDIVRNFLLKTLLLQGWEKRIDRFKLGEGAMPASFKVLKDPKRGVDKLVADFGESAIGRVAPVDSGFWWIIILRAYTKSTGDGVYGYPIEIQALFFMALRCALLLLKPDAEGKEMMERIVTRLTALSYHMRSYFWLDFQQLNDIYRFKTEEYSHTAVNKFNVNPESIPDWLFDFMPTRGGYFVGNVSPARMDFRWFALGNCVAILASLATPDQAAAIMDLIEERWEDLIGEMPLKICYPAIEGYEWQTVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRLKIARRAIELAEARLARDGWPEYYDGKLGRYIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMTKPVLRRSASWTV >Et_1A_005459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10610786:10614951:1 gene:Et_1A_005459 transcript:Et_1A_005459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVGRWWVVVVAVALLVGPGRVVANTEGDALYSLRQSLRDANNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAQLSGVLVPQLGQLKNLQYLELYSNNISGTIPPELGNLTNLVSLDLYMNNFSGSIPDSLGNLLKLRFLRLNNNSLSGQIPMSLTNITTLQVLDLSNNNLSGAVPSTGSFSLFTPISFANNPLLCGPGTTKPCPGAPPFSPPPPYNPPSPPAQSTGASSTGAIAGGVAAGAALVFAVPAIAFAMYRRRKPEEHFFDVPAEEDPEVHLGQLKKFSLRELQVATDNFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERQPSEPPLDWDTRRRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKVEMLVDPDLQNNYQETEVENLIQVALLCTQGSPLDRPKMSEVVRMLEGDGLAERWDEWQKVEVVRQEAELAPLRNDWIVDSTYNLRAVELSGPR >Et_3B_030415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3685256:3687830:1 gene:Et_3B_030415 transcript:Et_3B_030415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFDGGDYGAFMERFQLLPPQSQQHQQLPLHGLTFAIKDIFDISGRVTGFGNPDWARTHAPAGATSPVVLATLAAGATSVGKTVMDEMAYSINGENAHYGTPTNPCAPDRVPGGSSSGSAVAVAAKLVDFALGTDTGGSVRVPAAYCGIFGLRPSHGLVSVENVIPMSQMFDTVGWFARDLSTLRSVSNVLLPLPADDAMKQPTQFIIPTDCFQTLGSPNDKTYQILNGSVAKKFGSHAVDNRNLGEFVSNNVPTVGKFISDFSKSEAPSIPALSVISYVMRSLQRSEFKANHGEWVNTVKPNLGPGIRERVHWAITSEDGPVEDFLALRTEFKAALADLVKDDAVLAIPTVPGSPPKLRMEASALENFRARAFSLLSIAGVSGFCQLSMPLGVREGIPVSVSLVARHGADRFLLNVAQELYDTLKEEAKKAWGSGASSL >Et_5A_041647.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25464316:25466456:1 gene:Et_5A_041647 transcript:Et_5A_041647.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAPFTSLVAGEDFQHILRLLNTNVDGKQKIMFAMTSIKGIGRRFSNIVCKKADIDMNKRAGELTPEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >Et_1B_011679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24940750:24944302:-1 gene:Et_1B_011679 transcript:Et_1B_011679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFNLQSEPLIEGLIVAMSLIGATVITTFSGAVADSVGRRPMLIASAVLYFISGLVMLWAPTVYVLLLARLIDGFGIGLAVTLVPLYISETAPTDIRGLLNTLPQFSGSGGMFLSYCMVFGMSLMPKPDWRIMLGVLSIPSLIFFALTVFFLPESPRWLVSKGRMAEAKRVLQRLRGREDVSGEMALLVEGLGVGKDTRIEEYIIGPDDELADEGLVPDPEKIKLYGPEEGLSWVARPVRGQSALGSAMGLISRHGSMVSQGKPLVDPVVTLFGSVHEKMPEIMGSMRSTLFPNFGSMFSVAEQQQSKADWDAESQREGDDYASDHGGDDIEDNLESPLISRQATSVEGKEIAGPHGSIMGSVGRSGSMQGGEAVSSMGIGGGWQLAWKWTEREGADGQKEGGFQRIYLHEEGVQGNRGSILSLPGGDVPPGGEFVQAAALVSQPALYSKDLLEQRAAGPAMLHPSEAVAKGPRWADLFEPGVKHALFVGIGIQILQQFAGINGVLYYTPQILEQAGVGVLLSNIGLSSSSASILISALTTLLMLPSIGIAMRLMDMSGRRFLLLATIPILIVALVILVIVNIVEVGTMVHAALSTISVIIYFCFFVMGFGPIPNILCAEIFPTTVRGICIAICALTFWIGDIIVTYTLPVMLNAIGLAGVFGIYAVVCILALVFVFIKVPETKGMPLEVITEFFSVGAKQAKEPRDD >Et_2A_017261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32576808:32580109:-1 gene:Et_2A_017261 transcript:Et_2A_017261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDQSAGGGSAARASKLRYPLRSASKGKVTAPTVADAPPTISAPRRAKPTSDVSKSMCVLDLSGKDKSAKPPRRHSIQTKPGASPRPTPSGTVTPLSGFRSRRSENKGRLGTPTSEVSMSTTRRKFSTLSSISYWMTQIRLAEAASKHSVSLGFFKLALESECEPVDRMREELKAYVVRHGLATELEDPVKDILQVYDIVEDFEKLNISADPSQQPKKSDKVARAATSVSPNGNLKPRSLNSDATQNKQAVKKENIQKMKPDAKVRGSFGKNTTAKEVVAKNAGKKTKKQAKGQQDVCSGDSEALAANPEQEPADLAKDITHEDKENLGRKILT >Et_1A_008971.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:22714216:22714803:-1 gene:Et_1A_008971 transcript:Et_1A_008971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTKAVKAATATCMPRLVRGGGHGGCRASTARPAASVSLLERIRDVVLRLIMLSAVSRASVQRTTSGSGGRAKAASSSSSSPRAGRRRDSDASTAAAACRRDDSIRNEAVEDCIEFLKRSSAEGDAAKLSSATAAEADAAVQAKTASSSVAGASAKLSSSATGGEDINETAVFVVVNVEARGARGAAGIAGRLM >Et_6A_047925.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24106572:24107994:1 gene:Et_6A_047925 transcript:Et_6A_047925.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGKAVSLLPSSSPELHNGADTPMTWLFPRELNVATSLYDLSTDVFRHVFSLLPSVADRIHLSQVCRDLCTAVRHEWCPRPSTTAYLAIPNGTVFDYPDYHTKSRRLTDAADYRGAAADGGWLLFYEDDEGLGLLRLFSLFTGKTMLLPSLLDIRACHEPVDMDASLSRGSEQWWDEAETMAVQKLVVCPDDGNGVVVAALVGRDGRSKLALCSLESFSWQFSARDRWRRYEDLAFFRGRLYALTSGEDLIAFDYAVDRSGAGEPPRVTRVELVVSGVHSIPHDAVDVVTVHYLVASARAGGDEGLLMVRRVFPPARREQQRFAVFRTRLAEWPAARWVEQRDLGGDTLFVGRQCSRAVAPGPPPAGVRGDEVFFLGDDCLGMAIWADRGWSRPLPSQYLTSVYDMRTRTVTNLQLRDLSRDGPAPPTWIFFPDNQE >Et_7A_051204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15507336:15509399:-1 gene:Et_7A_051204 transcript:Et_7A_051204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSDETERARPVVLVTGCSEGGIGHAIARAFAAAGCAVVATARSRASLRGLEGCLLLELDVRSEESARAAVADALREHGRIDVLINNAGIHLVAPLAEVPMDSFHQVFDTNVYGAMRLIQAVIPHMIERRTGTIVNVGSITALAPGPWAGAYSASKAALHALSDTLRVELKSFGINVMIVAPGGTKSNIGSSSAAKYDQIHDWKYYKKYEASLRARTNVSQGPGCTPAEELADKVVASVLKKHPPAWLAYGQFSAILNMLYYAPLWFRDYFYKAVMKC >Et_3A_026739.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:1791669:1792316:1 gene:Et_3A_026739 transcript:Et_3A_026739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGATSSRAPAPPSPVAALPMPLPQPSADPSNPFPTTFVQADSTSFKQVVQILTGTPETAAAAAAGGAQPSPPAPPQKPAPALPGPKKPAFKLYERRSSMKSLKMLCPLLPAAAAFAAGGSAAGGFSPRGFSPRGLEVLSPSMLDFPSLALGSPVTPLPPLPGSAEASAAEDRAIAEKGFYLHPSPRGNAGSGGDLQPPPRLLPLFPVQSPTRP >Et_3A_023525.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32494870:32495106:-1 gene:Et_3A_023525 transcript:Et_3A_023525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKSSELRMALLGLALVGLLLLSHQAAPVDAANNAGTGKRMNSFSFNSAGERQLKSFSMNHDGGEGESHGGKGGRGV >Et_9A_061143.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:18572286:18572447:-1 gene:Et_9A_061143 transcript:Et_9A_061143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKQYAIKKEFETYVEHSDRTRYRAKCLDPDCDWKIYAKKLHGCLTFMVITL >Et_1B_009688.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:15142846:15143289:1 gene:Et_1B_009688 transcript:Et_1B_009688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSWSSPSSRSSTPSTTTASSSPCWRCRPRSSTTASSWGSPTTTRSPTAPPSGTSSTRGPLAAAVILLPRLCCSIGRPMEDEARPPRQLRSRAPTSRASQRRGRRRRRRHCASGCCTSRRRPWMRSRSGRGGSSWPPATWPARRP >Et_10A_002091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22031462:22035032:1 gene:Et_10A_002091 transcript:Et_10A_002091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALSRRFAHSSGIVTRHLNGSHRLTIGGYNLSRTIPKNHHETSQTFEVAGYSWRILYSPHGYSNWWSGEDDCISLFLELVHGDYTGPPLNFSFTLLDQAGNPVPRYSRSKEGCTFKEKESNFKTMKCIGFHDFMRHKDLEESGCLKDDRFTVKCDISIIGYSNSTENVVVHATTSPVVVPPSDLHTHLNNLLWEKQGTDITFDVHGETFHAHRWLLAARSPVFKAVVASNMKDDKSSNLCSMKIDGIEPKVFKAMLHFMAKAEGGEPDDLIISKLRLSLFQLFTDKSRALGSPALTTISTASSHCPLSRKLASSDHTAEVTSMDDCGTLGLP >Et_6B_048721.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1288341:1305590:-1 gene:Et_6B_048721 transcript:Et_6B_048721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREQDQSPTDAAQRRLPAVSAHLQPPVPSAGGGSLASNPTAGEYAHVQGYSVVLPEKLQTGKWNVYRSAHSPLRLINRFPGNPDIGTLHDNFAHAVETFRDCRYLGSRIGANATVGNYKWMTYGEASTSRTAIGSGLVYHGIPEGARIGLYFINRPEWIIVDHACAAYSYISVPLYDTLGPDAVQFIVNHAAVEVIFCVPQTLSTLLGFIKQMPCVRLIVVVGGDDANMPSSLAASGPEVITFSRLHNEGVVLSHESLIANVAGASLSIKFYPSDVYISYLPLAHIYERANQVALLHYGVAIGFYQGDNLKLMDDLACLRPTVFASVPRITNAVKESGGLKEKLFHTAYNAKRQAIINGINPSPMWDKLVFNKIKARLGGRVRLMTSGASPLSPDVMEFLRICFGGEILEGYGMTETSCVISTMDVGDKLIGHVGSPNPSCEVKLVDVPEMNYTSEDQPYPRGEICVRGPIIFRGYYQDEVQTREVIDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSFNSSLVAIVAVEPEVLKAWAASEGIQYEDLRQLCADPRAKAAVLADMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKVKRPQAKAYFAKEISDMYAQLRETESPRQKFAVSAHLQPPAPTGGSGLSADPTAGEYAHVQGYSVVLPEKLQTGKWNVYRSAQSPLRLIHRFPGNPEIGTLHDNFMHSVEMFGDCNYLGTRISADGTVGDYKWITYGQVSTSRTAIGSGLMYHGILEGACIGLYFINRPEWIIVDHACASYSYVSVPLYDTIGPDAVQFIVNHARVEVIFCVPQTLSIILSFIAQMTCVRLIVVVDGDDANMPPAQVGSGVEIITYSRLLIQGKTNPQPFRPPKPEGVATICYTSGTTGTPKGVVLSHDNLIANAAGSSLNVKFYSSDVYISYLPLAHIYERVNQVSLLHCGAAIGFYQGDNLKLMDDLAALKPTLFGSVPRLYNRIYAAITNAVKEAGGLKEKLFHSAYNAKRQAIAKGRNPSPVWDKLVFNKIKARLGGQVRLMSSGASPLSPSVMEFLRVCFGCEVLEGYGMTETSCTITAMDIGDKLIGHVGSPNPSCEIKLVDVPEMNYNSEDQPYPRGEICVRGPIIFRGYYKDKVQTREVLDEEGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSLNSSLVAIVAVEPEVLKAWAASEGIQYEDLRQLCADPRARAAVLTDMDSIGKEAQLRGFEFAKAVTLAAEPFTLENGLLTPTFKVKRPQAKAYFAKEISDMYAKLRETESPRQKL >Et_3B_028759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18911467:18913907:-1 gene:Et_3B_028759 transcript:Et_3B_028759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPEPQRMNCDAGGGEPSAAETVPLLLHPPLPEPSPASRGSPEISDEEVEAASAASCRICLESETEPGDELISPCMCKGTQQFVHRSCLDHWRSVKAIGAIGVMAFLFDKDGKFRNSFTDDWERFLSKHPVPFYYCVGVVVFFVLVGFFGLMVHCSSFNNADPCLAGCRNCCYGWGLLDCLPASMEACFAFVVIFVIIFAILGIAYEDLAATLSHTCQEGANKGNRSFFVFDDAQEYVVEDLQGVYTPPKMDPEHEKRLKMLQLL >Et_4A_035459.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25490713:25491108:-1 gene:Et_4A_035459 transcript:Et_4A_035459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDPMGLPPLPEDVLADVLRRLPPRSLALSRCVCKAWRAVVDGRRLLRADLLPHSVRGIFLMYHDLEFPAFLSSPSTQPGQGPGSPQRPPPLSRPAGDARGQPSHAAAGTVAHAAAFRGHLFLVRGESSV >Et_4B_039217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8893820:8896331:1 gene:Et_4B_039217 transcript:Et_4B_039217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNRHQPRSPPFLPVHLLLLLLAVAPMPQPAAAVGVTWGFASSHPLPAAQVVRGILLPNSVPRVRLAAASSDALSALAGTGVAVTVGVPDALLRPLAGSRKAAAAWVHNNITRYASSVRFDFRPDVNETMVELLSFLANNTSPFMVELNPFLSFQQNKNLSLDYYLFQLMTHPVKDGQNKYDNYFDASIDALVTALTRAGFGDMGIVVGRAGWPTDGAVNATPAVAQSFMTGLVNHLAKKSGTPLRPKVPPVETYLLSLLDEDQHSIARGDSERHYGIFTFDGQAKYYVNIGQGPKALKNAPDVEYLPSKWCVVDNNKELSNVFSSFAAACSNGDCTALSPGGSCSGLGWPGNVSYAFNSYYQQHDQSEESCNFNGLGLITTVDPSVDNCLFPLAIRTSAAVSLHPTLVITLLPLL >Et_9B_064101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11220610:11240452:1 gene:Et_9B_064101 transcript:Et_9B_064101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRSGRRLDPPPPPPLGLPGCPEAAGGDGVGEADRISRLPEDVLLGILSRLGCAKEAVRTSVLAKWWRGLWTRLPELTFLNVRLRSLEGLLAQVTRPALHLHRMDTRDELVMTEDVSSLLRAAARFAPESLSFKLWSFRTGENNVVELPCFDQTTSIRLELPFTLAPPPPPTGEFTALQSLSLAPFVIDLSSQLPMCPSLRSLRLEGSLAFDVVPIHSSSIQELTVSSMNDEGVYHIDVMAPLLREAEFCILTRERVRMSFSAPIVEKCVYRTHVGFNYMRLYRLGYNLSHGGVRKLSLEIWSSDYCWPDVLGHGIMKQIELLPFSQFSILELELKSNGHAFGQFILDLLQFRPVRTLIADLSRNKLPCYGCGLILIFFQTSCPADCPCDPHPNWRNESICLANLEVVTLDGFHGHDDEVDFLKVLLRCATDLKHMTPEDTKKYAASASITPKGPRRPEDDGGGDGAGEDDRISSLPEDVLLDMLSHLGCAREAARTSVLARRWRGLWTRLPKLTFLSDVPFDSLEGLLAQVTIRTAPAPHGDESRDGDAVMVEDIASLLRAAARLAAKNLSFKLWSFRVGDNKVVELPCFDRTTSIRFELPFTLDPCPPPAGEFTAVQSLVLISCNIEPGSLLPMCPSLCSLSFEGSLAFDVVKFHSTSLEELTRLAPKHLIVSLVGIYVTVELPCLDRTTTLDLHLPQISLTSPPAGEFTALQNLSLDTWTMELGSLLPMCPSLLSLSLSLSLSLSLIWGCISKQRSTLLDHWCCICFRFDPYRRSMSNYIVNASSLKVSCPLDCPCDQHTNWRNESIALTELEAVTIQGLKGKDDEADFLKVIFRCATVLKSMKVYVAAGRYDKVYGICEQYPHLPKLKFFDVTVEGLLAQVTRPVLNLLYIERIRAEASFRVSSLLRATERLAPKNLTIVVEAEDGGAEVEVPCFDRTYLDLFFAGNHRPASSPRCGVCASTRAASNSAPCCPCMHVPVPSLTPL >Et_6A_046933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22534801:22539094:-1 gene:Et_6A_046933 transcript:Et_6A_046933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVFGLAKSAVTETVNIAKSAIEEEKKLKKSVKRDLMLISDEFEMMNSFLNVANHDHASDEMSKTCVRQVRDMALDVEDCIETVVQLDNKSRWWRRALPCCKPLHAPAAALDDAVEAIELLKARVEALGKRNMRYSKISDSGSKPVEQMDEQPVANATALDILVQARNARKKQSSPVDLVELINKKQSAFQVISVWGTSTKDGITSITKEAYENKEICEGFRYRAWVKLMHPFNPHEFMQSLLIQFYTNYQTQANSEDVLKPMEDFTKKVCNQRYLIVLEDVSTMVSWDTIRAYLPDNNNGSCIVVLTQQLEISSLCIGHSSRVLELKQFSDDHSVCVFFKEVAARADLAACGPCDVD >Et_7A_051140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14876552:14878535:-1 gene:Et_7A_051140 transcript:Et_7A_051140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGAAATPAKATPKKANLLDPHSIKHLLDETISDVVKSKGYAEDTRLSNWKLGIGAAVIAVALLAQFYPKKFPQNREWVDTYVVLNVVLLILSYTKEKDAIIFTHPPAGAFNSTGLVISSKLPRFSDMYTLIIASADPQSISANKPVQFTKSVTKWFTKEGVLVEGLFWKDVEKLIDDYNSERKSK >Et_9A_062128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:191237:193780:1 gene:Et_9A_062128 transcript:Et_9A_062128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSFATLAIARPAGQRALLASKAPSPFISFGGARLPSQALSFSGGLAPSPSHSRARFVTSASAETKEAEVQSKVTNKVYFDISIGNPVGKNVGRIVIGLYGDDVPQTAENFRALCTGEKGFGYKGSSFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLVHAGPGVVSMANAGPNTNGSQFFICTVQTPWLDGRHVVFGQVLEGMDIVRMIESQETDRGDRPKKKVVISECGELPVV >Et_2B_021172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27117267:27119250:1 gene:Et_2B_021172 transcript:Et_2B_021172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATRELISPAALPVLVLAASLIAVLYALRRLRAGGPRLPPSPFALPFVGHLHLLAPLPHQALHRLAARHGPLLYLRLGSVPAVAACSPDAAREVLKTREAAFLDRPKPSAVHRLTYGGQDFSFSPYGPYWRFMKRACVHELLAGRTLDRLRHVRREETARLVASLSSAAEEGAQVDVDAALMGLTGDIVSRMVMSRRWTGDDNDTEEMRSVVAQTAELTGTFNLQDYIGMFKHWDVQGLGKRVDQVHRKFDVMMERILTARDAERRQRRKEEGGGGEEGDKDVLDMLFDMHEDEGAEMRLTRDNIKAFMMDIFAAGTDTTTITLEWALSELINNPSVLRKAQAELDAVVGTSRLADESDIPRLPYLQAITKETLRLHPTGPLVVRRSLEATSVGGYDVPAGATVFVNVWAIGRDPASWAPDPLAFRPERFMEEEGGGENAGTDVRGQHFHLLPFGSGRRICPGASLALLVVQAALAVMVQCFEWSPVGGAPVDMEEGPGLTLPRKTPLVCTVKPRIHPLPVAAVVDDVDAAAVPAEA >Et_8B_060654.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:4084822:4085784:1 gene:Et_8B_060654 transcript:Et_8B_060654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHLVLLILLAAALSGAGAATPRQLFLVSPAPVTLTNHHGQLLTGNHSVNLLWYGRFTPAQRAVVADFLLSLSSAPAPAAASSSSSAPSVAQWWATTSRYHPGAARLTLGRQVLDASLSLGRRLSEASLASLASRLSPHRGSVAVVVTAPDVLVDGFCHSRCGLHASAPSAPSAPHAATAARGRGRFAYAWVGNAAEQCPGECAWPFHQPAHGPQAPPLVSPNADVGMDGVVINLATLLAGAVTNPYGGGYFQGPAEAPLEAVTACTGVFGAGAYPGYPGQLPVDAATGASYNAVGVAGRRFLLPAMWDPKTSQCSTLV >Et_3B_030585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5082252:5084270:-1 gene:Et_3B_030585 transcript:Et_3B_030585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATATFLLLLPLLLSAFPAPSHGWGGRLSDAAAAAVKDLLPSYAGGNLSSLCSWADDVKFRYHWSSALHYIDTPDGLCTYSYDRDCKDEEGVMGRCVAGAINNYTSQLLSYGKSAFPKYNLTQALLFLSHFVGDIHQPLHVGFTSDRGGNTIDVHWYRRKTVLHHVWDASIIQTAEDDFYGDDAAGFIDTLTKNITGEWSEQVPSWEECGKNQTACPDIYATESITAACNWAYKGVQEDSTLQDAYFSSRLPVVNLRLAQGGVRLAAILNRIFR >Et_1A_004772.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:39623203:39623760:1 gene:Et_1A_004772 transcript:Et_1A_004772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGSSAADTTAVSSAGCQQSTTEWRPPIFPLQLDFVVPRKKRSRPANRRTNRSWSPHFLLDAARNNNPFSSTSEGEHTGAGGVVRRRPVPRPRNRQARRVCSHCERSDTPQWRAGPDGPGTLCNACGIRHAMGKLLDEYRPSTSPSFQSDKHSNRHRKVIKLREQKAKKEAAPKLMDAPTTSDR >Et_5A_042755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3736429:3737977:-1 gene:Et_5A_042755 transcript:Et_5A_042755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEITMIWHIDIRGDKFAMMTLVEAVEQAKVELSSQCEVTVSIPYLTASAEGAVDLHVSISRPEFEKLVHNLVEIIEDECQSILKEANFSRKDINEVVLVGGMTKIPKIRRMISRVFGKHLSTRVDPEEAVVIGSAIQAALIVEDQREISEDTIPLSIGFETAKGVFSTVIPRHTRVPTKRIVRMPVWYSCGESLRIGIFLGEHGSVDIELTFEVDENFVVKVTARNAGDHLEGTDDVRKELNVLSVSVHKEVMCKQRILEAVKDALLDWPMHVIRIHARLRNQARYLINSLGDVLSVRKDDLPADLHDDAIKAMDELQIALEGDVNVLKDKILHATSVQTTVMHWRPPSEYLLTDDSCYEN >Et_6A_046099.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:24203273:24203374:-1 gene:Et_6A_046099 transcript:Et_6A_046099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKPKVLCYEKIKDCRDACDVCQPNCSPPPST >Et_1A_006020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16735896:16736926:-1 gene:Et_1A_006020 transcript:Et_1A_006020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAPIVFPDDILREILLRLPVRSVCRLPAPWRALATEPAFLRAHARRAASSPVALICTVTERRVNNGIATDLHLSVSHDPAAAAARDNKDNRELVVSLPGRCWHPVESIRSWDGILCVELDSVIGPPLNSYALLNPISRDCAVVTAPCPRFPDRKVPGVASGCRGYIAGASSHPVTGAFHLLHCSSYQDSSADDKDAACFQLLRVDGSPASAAWREIPASTDVGTATLRSVVGGSWCVSTATVRGILHWRPTLGEQKDLLVYDTATEEFGRMRLPELPGTRSKYDVVRSISTLSGKLCLLAEVATNTIEVWVLEGGDDSQDWRLRQRIDVALNPSF >Et_10B_004066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1064564:1065165:-1 gene:Et_10B_004066 transcript:Et_10B_004066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHKRSRGALVAADPATLPAGLLREIVARSSLRRDIRRPAFIRRVFNDAVVPRRLLGFLCLGHESIIGPPRRPPPASFSDADRLAGYEPLSSRDGLVLLRRASCRNGSKRRADRRPLDVCVYDPMAGNGGRCTFVPWADDLSQDHDHLRDCKNAMLTAADGVTGDSSSFLLVAADFLDFSKLGRLARRQDP >Et_3B_028497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16148261:16152934:-1 gene:Et_3B_028497 transcript:Et_3B_028497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPNGAVPMIGILRKIEDVTRMTKMIRNEETIHPNEIKGKKISLKLLRSTTQQDNPMRRTRVHSPFDLDGLSPPSSRRRPGMDDLISGLPDELLLIIILRLHSTRAAAQTSVLSRRWRHILTNLREILSSTPRSRRRRSCTPSTPPSPPAPLQQLIASTSPSTARGFESVRAKSNRASTEAVAAFDTEV >Et_10A_000719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1668615:1678744:1 gene:Et_10A_000719 transcript:Et_10A_000719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGKKEKGEGLGRALIRQRNKAAAAAKERGEALAFARRRAAPLESVIDVSDIDAVLQRAAEADQLAETASVSSSDLIIDLDATGETAEERRRLRKEQEALYAGSLQVPRRPPWSTEMTVEELDANERRSFLVWRRNLARLEENDKLVLTPFEKNIDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEVYAKEIDEHKRTMLLVNKADLLPLNIRKKWAEYFKAHDILHVFWSAKAATATLEGKKLSGYSEEESASLDLDTKIYGRDELLMRLQAEAESIVAQRRTSTSEDDRDLSSYDSVSSMAKHVVVGFVGYPNVGKSSTINALVGEKRTGVTHTPGKTKHFQTLIISEELMLCDCPGLVFPSFSSSRHEMVACGVLPIDRMTKHREAIQVVADRVPRSVLEQIYKITLPKAKPYESQSRPPTAAELLRAYCASRGHVSHAGLPDETRASRQILKDYIDGKIPHFELPPGVTDAETEHEEVVGSGGPTTSAANESDADDSNDDDDDTVDPAEQDMRHALSDLESFDLANEGSKAAGKKKKEASHKQHKKPQRKKDRTWRVGNDGGDGTSIVRVFQKPAVNLSAVSASGRHVVVGFVGYPNVGKSSTINALVRDKRISVTDRADSGRKVETLLISDVLSLCCRPGVFLPWFSRSRHESFTEDLTSVQQLAIHNLCKKVDEGLISTQVNREDNIKKLQALADDIRIWLYSATTSDVK >Et_5B_044599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:417027:419005:1 gene:Et_5B_044599 transcript:Et_5B_044599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQERIKFPHLIRSQEREVDGDQVEVNEGMVDLIPIGPCRILEAYGCLGLKVVAAEDEVSCIDWWDVNEDDEVEEYTQTISGGLGRKLEVTYLVMPDAIETHVKVSLNLKDLGSRKRVVYGSIKASATDYGGKSVHLFSRERGTSLYLPCGSTCSLPLTPYIIAVDLRVITTFDSREEDKSLKFCLDFTRGITSQERQVDGDRVGSEHHL >Et_6A_047977.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:26892854:26893417:1 gene:Et_6A_047977 transcript:Et_6A_047977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYRTPVFVGLVCVMCFAVLLLLHHCVLVACRRRNNNNQRRRRHRQPTSAGAGGEQEEGEEAMEEEEESVDMSSSRVHLVKAVVRPYGRKDEDQSAEEEKWSEPTCAVCLADFADGEPVRVLPECMHYFHGDCIDTWLRGSTSCPMCRAETTPTPTPTPTPTHSSSAELHNQFSSVSLEEILVRT >Et_1B_010499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10984979:11006072:-1 gene:Et_1B_010499 transcript:Et_1B_010499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAARHMGGVASCKVQAGACPIVAGVAATQSQPTPPSAIPGPPNPPTHRAPAARNSPVATAAAPPTPHAITPTPTPRATALPSYGRDMAAAAGGHDDPAVVTGRDAEIDRVARILLCHPTKNSAVLVGPRGVGKAAIARGLARRVAASAAVIDLGAMVTGTKFRGMFEERMKKVITEAEDADGKVVLFIDEMHMLLGAGGVRDSAMGMDAANLLKPALARGRIRCIGATTFDEYRKYVENDAALERRFQKVLVDEPSVNATIAILRGLKQRYEEHHGLKILDAAIVAAAQLAGRYITGRQFPDKAIDLIDEACATARMKIDNRREGNAARMNSFNQLGGKQADNTVGLDHIAQVVSRWIGIPVTTLDQEEKEKLIHLADRLHERVVGQSEAVDLVAQAVMRSRAGLDMRGQPIGSFLFLGSTDVRKIELAEALAEQLFDSEKMLVRFDMSEYVGTGSVFRLIGAPPSYPGHNDGGQLTEKVRRRPYSVILFDEVEKADPGVFNVFLQVLDDGLLTDGKGRTVDFKNTIIIMTSNLGAEHLAEAMNGEKTMEAARGLVMEAVQKHFKPEFLNRLSKTVIFEPLSRHKLKEVVRIQMKSIVAGVADKGISLSASDAALDVILSESYNPMYGARPIRRWVQKNVMTKLSEMLIKGEVDAGCTISIDTTVDKEQQQEEAQPQQRPPVVDLLSDSDDDEGGELGSSWQVSELPPAHDASTALDRKNFFPNATPAAGAAAPVPNEEPALSMYGRDMTAAAGKTNPVIGRDAEIDRVVCTLCRRTKNSAVLVGAPGVGKTAVAEGLAQRVAAGAVPALLAGARVVELDLAALVAGTTLRGMFEERLKKVIQEAEDAVDGKVILFIDEVHMLAGAGRGKGCTDGANLLKPALARGSIRCIGATTFDEYRKYMRRTPRWSAGSRRCSLRSQATIAILQGLKQRYEQHHGLEIQDAAIVAAAKLAGRYITGRQFPDKAIDLMDEACATVRMQIDSQGNAGWMQIYNKMERKEATVGIDHIAQVVSRWTGIPVTTLDQGEKEKLMHLADRLRERVVGQNEAVNLVAQAVLRSRAGLHKRGKPIGSFLFLGSTGVGKTELAKALAEQLFDSENMLVRFDMSEYVSAGCALRLTGAPPSFHGYDDGGQLTEKILRHPYSVILFDEVEKAHPTIFNVLLKLLDDGLLTDGKGRTVDFKNTIIILTSNLGAEHLATAMKGKKAMKGKKKKQ >Et_6A_046788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20578367:20579975:-1 gene:Et_6A_046788 transcript:Et_6A_046788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFGLPYYYYYVVILCLALVVHVITTKLNGRKKVRSRMPPGPWQLPVIGSVHHLLRGLPHHTMRDLSQRHGPLMQLKICEHVAVVVSSAAAAREIFRGHAFEQRPSSPGIDEVYSGHSRLGVLFAPYGKHWRLLRRILVNELLSDRRVQMLQRTRQEEAARLISSLRSTPPDQLVNVDELLAGFIADTSVRAIFGDRLPDRAAFLKMMKHGTDISSLFDLRDLFPSSRLVRMLPRSRNKERHRKEVSRLIDDILRNHEERRAAGDVGDGEQKQDMIDVLLRIQKEGSTQLSLTPGLINALAMINWFAQEVFGAAVDTSMCTLQWAMSELIANPRVMDKAQHEIRRVLAGQESVDEAALRNMHYLKAVVRETLRLHPPGPFIPRVCLDDQKIQGYDVPKGTVVIINAWAMSRDPKYWEDSESFMPERFEGEHALDFRGLDFEFTPFGAGRRICAGITLAQANIETALATLLYHFDWELPSEVKNEGIDMTEMFGATVKRKSELLLHPIPRMPLV >Et_1B_010014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18139586:18140495:-1 gene:Et_1B_010014 transcript:Et_1B_010014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQESFAPRRGDVILASAPKCGTTWLKALAFATMARGAHPPADAEHPLLRLNPHECVPFMEMLFAAGLGSKMDAMPSPRLMATHMPHALLPDSVTRNSDCKIIYVCRDPKDMVVSMWHYGRRIQPDASLSDVGPIWDHVLGYWNASRTSPETVLFLRYEEMLRDPVENVRKIAQFVGQPFSQDEEETGMIMDIVRICSFEKFANDGYFRKGEAGDWANHMTPEMARRLDAVVEEKLFGSGFSFV >Et_6A_047563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7405332:7412579:1 gene:Et_6A_047563 transcript:Et_6A_047563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGMIASGNDCGENTAVAATGMQFCQEREKGCYYIVEHKGETNRENALKLRAHWCSGREPIKVSASLPHAGFIPNLWPWTLHTAPPPPPPPQPLAQPLPPRTGTPSCTAFPMAAITTASATSSSTLLHRGPAARARYPLVAAVALRAPSQHSHPRIACRAAEVSGAEPSAPPQAGGGGGASWVPVVPLAALPRGERRVIVQDGEEILLLWYKDQVFAVENRSPAEGAYTEGLLNAKLTQDGCIVCPSTDSTFDLRTGEIKEWYPKNPVLRALTPALRKLFVYRVKTDDENIYISISGAASGGSAEIVFSGKAQPGVTSSDVNVQEVRMIVDEDVGGFGFTSTNELINGKAAIIGFLLLIDFELLTGKGFLKGTGCGNVNVWWRKKADEKEDCPA >Et_4A_032770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13611921:13618986:-1 gene:Et_4A_032770 transcript:Et_4A_032770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFGGGRPSGSVRCRYCSTSLVVTPGERAIQCTQCSGVTRIRRSHRPHMPAPPPMCFPRTRGNKRAVLIGITYAGMRRGCGQLSGPINDVKCMRQLLCQRYGFPGECIIMLTDDQRDPFRLPTKDNIRMAMHWLVQGCSYGDSLVFHFSGMGAQVCDDDGDEVDGYDEAICPMDSFQKGPILDDEINEAIVRPLVPGVRLHAIVDACHSATLRHELPRPLKDVEHEHPLQALQREPGGEPRLLHRPLHAMQRRDARPALHLPDLLIGITYAGTRRGCGGQQLSGPINDVKCMRQLLCQKFAFPSECIITLSDDQKDPFRLPTKDNIRMAMHWLVQNNCHGDSLVFHFSGMGAQVADCDGDEADGYDEAICPMDSFQRGPILDDEINEAIVRPLVPGAKLHAVVDACHSAGVLDLPFQCRVSRTGNWQWQDQRGPNGACKGTSGGQAVLLSGFSDGKTKLSVMPDAYASVGAMTHSFIKAVECEPRGVTYGRLLTTMKTIMVNGGGTCNMPCGGAPITKIANFSGVQEPQLSSSEMFDIHRRPFCL >Et_8B_060275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8523886:8525847:-1 gene:Et_8B_060275 transcript:Et_8B_060275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRAANRCLVQEDRRRAPKLACCPSASEQHHVGTHVEKGTDVFESPWMVSASLTKYSSERSLEELKIVDGLSQEPLKCRGTIRNCFYEDNEVLNFKSSDPPPLKNQQKANSDMDAPWKKIGKTQPWWQITDGNELVSLVAERAIQHIENCDLPRPTQTVCVHGTESQSHGDVTHSVGPSSPAGRVSHPGFSGQQEHIDCSYSSESTDGSSLSNNRFWQQHDRNFTYSPAPDFSNISSTESESKQTFPHASERDQILEALRHSQTRAREAEMAAKKASNEKDDVINLLLKQASHLFACNQWLKILQLENIGLQLKHKEDQIATMIPELPWMSSKENTTPDQEQKDWSRRKGRRQKKGGSFFDAILFAVGLGLAGAGFLLGWTLGWLLPKL >Et_1B_009782.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26635179:26636192:1 gene:Et_1B_009782 transcript:Et_1B_009782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSVKDAGGVGIILLGSKKSGHITLAEPHVLPASHVNYQDADVIRQYIKSSDNLTASIIFNGTLLGTKPAPVVAYFSSRGPSIASPGILKPDIIGPGVNVIAAWPFKVGPDTDGQHEKTFNSISGTSMSTPHISGIAALIKSVHQDWSPAAIKSAIMTTAYVMDDNKEPILDENLNPAGHFSIGAGHVNPSKVINPGLVYDIDEDEYTLYLCGLGYSDDDVEKITRQKGVCGKGGKKAEAELNYPSIALRADAGKYVVNRTVTNVGDAMSSYTIEIDMPKEVKVRISPAKLEFTKANEKKTFTVSLSWDTSKTKHAEGSFRWISGEHVVRSSIVIF >Et_2A_017311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33039727:33046327:-1 gene:Et_2A_017311 transcript:Et_2A_017311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQASDDAQAAAQPQQPLEWRFAQVFGERAAGEDVQEVDIISAIEFDRSGDHLATGDKGGRVVLFERTDARDFDYLKSLEIEEKINKIKWCQTANNALSLLSTNDKTIKYWKVQDKKVKRVSVMNLDTSQSVGSSAAANASTSSSQAPLPNGGCSEKLTCLSTDFSFPPGGYPSLRLPVVTSLETNPVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEINSQSFNIVDVKPSNMEDLTEVITCAEFHPNHCNMLAYSSSKGTIRLIDLRQSALCDNHSKIFEEHEAPGTRSFFTEIIASISDVKFSRDGRYILSRDYMTLKLWDLNMDSGPVSTFQVHEHLRPRLCDLYENDSIFDKFECCLSGDGLRVATGSYGNVFRVFGCTPGSTEATTLEASRNPMRRQITNPTRPTRTLTSFTRGVRRGGENSGVDANGNSFDFSTKLLHLAWHPTENSIACAAANSLYMYYA >Et_7A_050788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1139033:1140386:-1 gene:Et_7A_050788 transcript:Et_7A_050788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSTTGSTLAPPPRSPLSPARGPRLPATLRLSPAHAASARCCVAPAAPGQQLARPRARVRCCAAVKFIGQSEFAAEVLESDLPVLVDFVADWCGPCRLIAPVVEWASQEYEGRLKIVKIDHDANPQLIEEYKVFGLPTLILFKNGQEVPGSRREGAIIKDKFKQYLEPLLTASTVS >Et_7A_050610.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:6204353:6204424:1 gene:Et_7A_050610 transcript:Et_7A_050610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCGPCIIAGASISRLSVALKR >Et_9B_063988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:77146:78046:-1 gene:Et_9B_063988 transcript:Et_9B_063988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSARRQPTFTKVDQLRPGTHGHNLIVKVVDSKMVLQRGGGPQGRQQMRIAECLVGDETGIIVFTARNDQVDVMKPGATVELRNAKIDMYKGSMRLAVDKWGIVKAAESPAEFTVKEDNNLSLIEFELVTVVE >Et_10A_001733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7557036:7559857:1 gene:Et_10A_001733 transcript:Et_10A_001733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLAAASASFSPVAARRQPSVQAKGVNTAYFKVTNVISSRSSVSFQSQRMNFVSIRSRPSSLRLRICCSAKQETVDKVCSIVKNQLALPEGTVVTGESKFSELGADSLDTVEIVMGLEEVFNITVDETSAQDIATVQDAANLIEKLVQNRQDYKQNGKNIPSL >Et_5A_042910.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6806577:6808548:-1 gene:Et_5A_042910 transcript:Et_5A_042910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLHYVTISATPVPKTKNHADHISTYIVHADHLAKPSQFTAHEDWYTSLVVTHSPRAAASSSSRLLYTYDTVMHGFAVRLTGDEARRMSNAAGVTGVHEDRDLQFLTTRSPGFLGLDPGFGAWKDSDFGDGVIIGFVDSGIWPENPSFNDSSLGPVRASWRGGCVDALDFNASLCNNKLVGAKAFDAAAAAMAGRNRDNGVPSPRDTDGHGTHVSSTAAGSEVRDAGMGIFARGTARGVAPKARIAMYKVNDSSKPTSNLVAAIDAAVKDGVDILSMSLGFKNAPFHDDLLAIAAFGAERKGIFVVLAGGNDGPNSSTVSNVAPWMTTVGASTIDRLFPANLNLGDGTVLTGLSLYPTKTDGGNMAPLVSDSCHDEDPTADKVKGKIVVLVCANEGGGDDELFRAAGAAGLVVVDSSTWFRDGMDAAAKEAFTLPALTISYTAGEKLRAYINSVPHPVASLSFACDTVIGESRAPMVVDYSSRGPNPVVPELLKPDIIAPGQSILAALAGDATTDKYIMDSGTSMACPHVAGAAALIKKKHPNWTPAMIRSALMTTAAILDNMQREILDKVSKEAETYNVTVVAPRHVKTIVTPATLEFKEQYGKRTYTIQFRNDAGGDAKAGWEFGHIIWENAKHQVRSPVAFMWEN >Et_2A_014805.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:16201219:16201359:1 gene:Et_2A_014805 transcript:Et_2A_014805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LNIGNHGLSLCGSRGKSDVFLILFRAQNSITTLSNPTPKPPCGGAP >Et_7B_055178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8034045:8035425:1 gene:Et_7B_055178 transcript:Et_7B_055178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLKKGPWTPEEDKILVAHIQRFGHGNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFSKEEEDSIIQLHELLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLEPSKQGHDVAAGAANNKKQKKPAAPKRGGGARKVPEAPAAEPVSPERSASSSVTESSTTTEQGNTGSSSGFVKEESFTSSDDQEFQIDESFWSETLSMPLDSFDVPMEPADAFGAAPAASSSGGADDMDYWLRVFMENDDMQELPQM >Et_2A_016331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23407653:23410381:-1 gene:Et_2A_016331 transcript:Et_2A_016331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLWLQAAALAMLLLSLLAPASTKEQQPPPPRPENCRDRCGNTAVPYPFGIGAGCFRDGLEVVCDDETTGRSPPLLRIASLYLAIDGLSVATGEARLHTNASRSCHNATWGSTSANSTFAPLALGSGSPYRFSAARNRLVALGCPNLSYFVNGQAEYASGCVSVCSSLSGRDVDATQHEEQQCTGVGCCQVGIPRDTYHFEPYLYRDFAHQQGLNASGGTACRYVFLAEDDWWSDQRQSYRAYLSRTDDFAVPVVLDWAIRNVANCSAAVSNETADYACRSANSRCVDSTNGPGYRCECFDGYICECPLGTNGNASMPNGCRAKDKFTPALKVVAGASVGVFLPAFMCFWIYLGLQKRKLIKTKQRFFEENGGVLLQRQMRSYGGGSASGFKLFSEEELKKATDNFAADRVLGRGGHGIVYRGVLEDKSTVVAIKKSKVMEAAQTKEFAQEMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKKKDLEFDADITLDTRLRIAAESAEALAYMHSSASPPIIHGDVKTANILLDDKLTAKVSDFGASKLAPTGEFEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVLLELLTRKKALYFEGHEEDRSLVSCFMTAAKAGRHGDLLDSQTRNEVRGEVLDEIAHLVLQCVSMTSEERPTMKAVAERLEMLRRYQQHP >Et_2A_014776.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:14149326:14149511:1 gene:Et_2A_014776 transcript:Et_2A_014776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVDLGIWLIPLTLVLVPCRRMVILLSRLEELRRSMPGPRRTTADMWSRFATLNSMAFMV >Et_1A_005694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12973063:12976608:1 gene:Et_1A_005694 transcript:Et_1A_005694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAASPVIGLTQPNAVELPQVTFAAKNIGFSDWKGDVLAVAVTERDLSKDSDSKFENAVLKKLDGQLGGLLSAAAAEEDFAGRAGQSVVLRLQGQGFKRVALIGHDGHNTVSLQGLGESVAWIAKAAQARSAAVVLASPSVIQEELKLNAAAAIASGTVLGLYEDCRYKSEWKNVHLKQVHLIGLGFGPEMDQKLEYASHRSSGVVFGRDLVNSPANVLTPAVLAEEASKIASTYSDVLTAKILDAEKCRELKMGAYLAVAAASANPPRFIHLCYKPIGGNVKRKLAVIGKGLTFDSGGYNIKTGPIARIELMKWDMAGSAAVFGAAKALGQIKPPGVEVHFIAATCENMISGTGMRPGDIVTASNGKTIEVDNTDAEGRLTLADALVYACNQGVDKIIDLATLTGACRVALGPSVAGLLTPSDELAQDFMAASEVSGEKFWRLPIEESYWESMKSGVADMLNTGPMYPKGGVITAALFLKQFVDDKVQWMHIDIAGPVWCHKKRSATGFGVATLVEWVLQNSS >Et_4A_035265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15582898:15586619:1 gene:Et_4A_035265 transcript:Et_4A_035265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFASNAWASGLGKKSPPNCNLSNAACSDDEASSCTSREEGLECPICWESFNIVENVPYVLWCGHTMCKNCILGLQWAVIKAPTVPIQLPFFVCCPWCNLLSLRILYKGNLTFPRKNYFLLWMVEGMNGERVRSRSAIHTEPQTTWISSGSRANGSASYSNPVRRHLPPQVDTASSRANHATNGVPLLNAERVQASLRKSLSFLVHLTAKFPLVFIFLLILMNSAATKHKSSSAGLSVRGERAESSPCPEAKPQTHALVNKVSSLVAVLLVHFPSAVPAPSSDPQPDLHRFQPLLNLSSLLDWWRALCFLQFFNKNGKRIS >Et_2A_017957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8291484:8293950:1 gene:Et_2A_017957 transcript:Et_2A_017957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEATTPQKDDHVAGAGIAEDGGGQLPSDNNNNGGGAGTWEIEDGGGGGAASTADDVYVAVGKGGSSMAALSWALRRLTKPGTFVYLVHVFPVVTSIPTPLGMMLKSSASPEQIETYLNQERSKRREMLQKFLDQCRNFQVNVDVYLIESDQIANAITELIPVMHIKQLVLGVSKSNVRKLKRGSTIAGQVQKSAPLYCEVKIICDGKEVTAVATADPTPPLSPSPVNNSTRSNNLTPPSSTPKHDKAETNGDKNNSEPRERNKIAKFLRCLSF >Et_3B_031171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19831361:19835793:-1 gene:Et_3B_031171 transcript:Et_3B_031171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLIKSIAAQKTGLPVGRADYKREVEQHPHERDAGVQRRGEDVVVALPPLLAVPEHEEVEDDADEQPRVVVDGRRRRHRGRGADEHGQVDHGYPGLARERPVERVLDERARRAGEEEPVERAVVAQRAEDAARAHEAPDDGRVEEDAVARARPRAVGGEERVVADVGDGAQQPVGHAEVDRAGDDGPDELHQEHGLGRYLHVVPQLEVLEEGHGLRHAHVAVHLEADVGDGLAREYEPDDVLGDDVEPRRLVGGCRDDADGQREHERQAAGEEEAPPRELHLVLEDRAEDERDGHGQRQQRVEPPAGRVLVVLLHQPGVDVGLVLPRRAEAVVDLLAVEQGHVHDGGGDRREAEPVGEREEGAHVDPPQPGVRVHVDLEPVVDDGRDVVALAVEHEEVRREDGEPAGVVEAEPPAAGTITYMKSTKPARMLAVAKKGDTSGLKRNDDTAVQSRVKAPRPRPFMPEPSCCAVTDLEKSQQTQDTDVSVGNRKLQASATRKNLARDVDRRGEPAWPSSSSSSSFSCSARKRETCTSVPGHHMCAGSTTYLRISPAQPYPSTCVVAMSSHAAKKEMERR >Et_8B_059739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2172851:2197341:1 gene:Et_8B_059739 transcript:Et_8B_059739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSCFTQYKLHYSEKKNLAVGDVIYSENISVGGHLWRINCYPHGFREEDNGEYLSLYLQLMSDSKNVKAIFEAFLMDKNGKPSSSHAIRALRLCDSNRPWGSTHQFVKRDYLAPLYVVDGWVTIMWGVIVLRDDPLAIPPSDIGSHFGNLLDSTDGSEVSFVTFPAHRAVLAARSPVFKAQLFGSMADAKMSSITLHDIAATTFKIMLRFMYTDELPVKDELGDSPILPLTRKDLMILLCLQDLLAVADRYALDRLKLFCASKLWENVTVDDVAAALSCAETYNCPELKKKCMAFVAEEESVKKFLLTDGYIQLAQKFPSILAELREKPAAKMLDSGFAAVKIDYTQIKDLAIGARQISEPISVGGHPWRIFCYPRGRSKELSNNGEYLSIYLQLVSKDAKNVKAIFEAFLMDKTGSPSTIAQRFTRRHRRQYPTQCGDGTSLRNQATSSTRSNLRNGVVTITWGVIVARDGVDAPIAVPPSDIGGHLGSLLDRGDGSDVSFVVGEETFPAHRAVLAARSPVFRAQLHGSMADATMPSITLHDMNAATFKAMLRFIYVVADRYALDRLKLLCASRIWENVSVDTVGNTLCLAETYNCPELKNKCIAFLAQEGNFQKAALTDGFLELVQKLPSVLAELRQKFGAYKQGHPPLMERRTIDSSFQKIRINYEQTKHLPIGKAIFSDAFSAGGHMWRIKYFPRGAKHAGEGNYCANPSVNAIFEAFLVGKDGHPSSTNAKRTIHLFQSDEDDWGWLEFVSHTDVIADYVIDGHFTVMCAIIVISDRSISVPPSDIGKHLGTLLDSPDGTDVSFIVDNETFHAHRAVLAARSPVFRAELLGSMAEAAMSTITLHDIAPATFRTDVFPGDKELGESPSEMMQHLLAAADRYALDRLKLMCAQKLWSDVSVHTVASTLACAEMYNCLELKKNCIDFFAVEKNFKKAVLTPVGKRQRRTIGLEEQSLNSALRESRVNYELFKYRAIGKSICSEPFSTGRHMWRVNCYPSGRDGSHGGEYVSIFFELVSKSKSVHAIYEAFLIDKDRQPCHEVAYRSNAHLFETGSERGWSQFVARTELEEVYLTEGHFTFVCAIMVIRDSSIPVPPLDIGEQFSNLLDSSDGADISFVVDGETFHAHRAVLAARSPVFRAELLGSMAESTMSSITLHEITPATFRIMLQFVYTDALPGDDELWDSSTEMMQNLLAAADRYALDRLKLMCAQKLWDDVSVDTVTDTLTCAEMYSCSELKSYCPELKNKCLDFFAEEENFRKVVLSDGFVHLLLNSCLVEFELDYEHNKHLPICKALHSDAFSACRHMWRLMWYPVGMSWPLMSKSSVEATFTGCLAGNDQPSSSIVKLTGHNKFSSSAINWGWHQFVSHVDAEAKHVRNGCIRFSFTIIVVDDYIPVSPSDIGEHFGALLDSMDGTDVSFTVDSQTFRAHRAVLAARSLVFKAELLGSMAEASMSSITLHEITPSTFKLMLRFMYTDSFPGDDELGQPQTEKLYALLAAADRYALNRLKLLCAQKLYENLSVDTVEATLSYAEKNSCPELKTKCVDFIAMEQNFRKVVLSDGFMQFAQQHPSILSELREVVNKTDKMALKTHLCIVIPSDGQITFMCCIMVLDDNSIPVPPSEIGENLGTLRDSTDGADVSFTVDGETFHAHRAVLGLI >Et_4A_034229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31001039:31008674:1 gene:Et_4A_034229 transcript:Et_4A_034229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKLSVCWWPPHFKSPMLENGAAEDDGTGVPVFAEYSLDELRAATDGFAPDRIVSEHGEKAPNVVYRGTLFSSGRTVAIKRFGRSAWPDSRQFLEEARAVGQLRSGRLANLIGCCSESGERLLVAEFMPHETLAKHLFHWENNPLNWAMRMRAALYVAQALEYCSSKGRALYHDLHAYRVVFDVDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEVSPESVVYSFGTILLDLLSGKHIPPSHALDLVKGKNFLVLMDSCLEGHVSNSDGTDLMRLASRCLQYEARDRPNLKAVVSGLASLQKDASTPSRTLLGIQHDNEVSDQLALSAIGKAFAQADLNTVHEILQDDGYNEDDMASSEISLQSWTGQLSESFVVKRNADSAFESKNFETALECYSRFLDTGALVSPTMLARRCFAYMVAGKLQEALEDAKKAEDIAPGWPIGHYLQAMALLGLGREAESHEALRKATALEAERNSRARTVMMITGLLATLVISGKKERITHLLKRSTLYSISFSAIPNICPMEGAHNPQHEQHIQKQTPKKGIKVNDMPAPSPSWSARPSPPLLHLNCKEQNNK >Et_7A_052632.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:69772:70317:-1 gene:Et_7A_052632 transcript:Et_7A_052632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPWLLAGVLLLVAVQMQLILHPGGVARGLPHPDVVQSTCNSTAFYDLCVAALAADPNSSTADVPGLCAIAVSAAAANASATASFLTGAANASSILRTCAAKYANASEALLAARVSLAEEAYDYAMVHVSAAAEYPAVCRTLFRRRRYPPELATREEGLRRLCTIALDIISLLQTTHGH >Et_10A_001848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9020826:9026883:-1 gene:Et_10A_001848 transcript:Et_10A_001848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PYGDKRSICRKRPRRTARIPCQFARPDPSSIRASTINITCSTLTEPSVRLLLLTIDGCPPYPSSFKLDHNKYITSRWEVDGYEWEIRFYPYQFDIELELGFVSEARGNEVTANLSCRLVDPTGIRQPSSVKISPSKSFQCPSDSSGKFSIMTRAEAHLSGYLTTNGSVYVECTVTVFKDPGALTVPPPNLQQDLGELLCSEAGADVTFIVSGESLPAHKNILAARSPVFKVELFAEMREKTSRCIEMKEMETAVFRAMLGFIYTDTVPELDEKHGTATALAQHLLVAADRYGLDRLKAMSERRLALGIDAGMVATTLILAEKHGCSQLKAKCIEFIAGASPEILGSILETEGFKSLEATSPLLVVTRSVRVLKIDGCLSYGCLYRPSQHNIYIPSRWEIDGCEWEIRFYPTQIRGDSNDDMAMELVFLRGYNKVTANLSCRLVDPSGVRKPSAEKSSLSKSFQHPSDSSGKFLVTTRYYAYRRGYLKNGSVTLECTVTMVKDPEATPVPASDLQKDLGKLLRREAGADVTFLVSGESIPAHKNILAARSPVFMAEFFGEMKEKSSRCIEIKEIEAAVFKAMLGFIYTDIVPELDGNQASATAMAHHLLVAADRYGLKRLKVMSEARLALGIDVGTVATTLALAEQHGCSQLKAKCIEFNVGASPETLGAVLATEGFKSLEANNPSAVRSVCLLKIDGCPPFSSQYATSRWEVDGYEWDIRFYPALLGTDRCNYDMSLELVFLGEARRNKVKANLSGRLVDPSGIRPPSSEKTSLPKSFEHPSDSS >Et_7B_055528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13137694:13142152:1 gene:Et_7B_055528 transcript:Et_7B_055528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAATTTDSQAAVPPHHPHPHPPPQHAHPHHHMPQPRWVVIPYPPPPPMVAAPPPPPQFAKHFAAGPPPPHPAANGRRTPTPPAAGSGGNGCEENKTIWVGDLQYWMDENYLHSCFGPSGEVVTIKVIRNRQTGQSEGYGFVEFYSHASAEKALQNFTGHAMPNTDRAFKLNWASYSMGEKRNEVVSDHSIFVGDLAADVTDEMLMELFAGKYGSVKGAKVIIDANTGRSRGYGFVRFGDDNDKSHAMTEMNGVYCSTRPIRIGPATPRRSGDSGSSTPGHSDGDSSNRTSRGDSGNRRNNMYYGTPFYGGYGYASPVPHPNMYAAAYGGYPMYGNQQLVS >Et_6A_046902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22023348:22026985:1 gene:Et_6A_046902 transcript:Et_6A_046902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQRSKACCLLLALLLSLQLTSGLPFPRRKTSVPAAGAGDITVYWGRNKDEGSLREACDTGLYKTVIISFLSAFGHGQYKLDLTGHPDPPYYIGNDIKYCKSKGIVVLLAIGGQGGEYWLPSSQAATQVADYLWNAYLGGSRAGVVRPFGNAVVDGIDFFIDQGAKEHYDELARLLRRRGVSILTASPRCGYPDHRLEAALDTWLFDRIHVRLYGEDRNCFRTPVESWKKWAAAYPRSKVFVGVEASQEAYRDTYIPPWDLYYRVLLSAKTAPNYGGVVIWNSYYIKHVLICMFYIYTCRFFTIFKSPPNHQSMDISTIAFGRRPACLLLMALLSLQLTAGLAAYSGGDVAVYWGRNKDEGTLRETCDTGSYTTVIISFLVAFGHGQYKLDLSGHDIGGVGDDINYCKSKGIMVLLSIGGPGGEYSLPSSQAASDLSEYLWNAFIHGSGAGMDRPFGAAAVDGIDFFIDQGATERYDELARNLYAYNKYYKGGGLTLTATPRCAYPDQRLTAALATGLFNRIHVRLFGDDRQCVSSPWESWLSWEKWAAAYPNGRVFVGVVAAPEAAPAGYMSQRSLSVAVLRFAEKVPNYGGIMVWDRYYDKKDHYISST >Et_8A_057349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23889437:23892120:-1 gene:Et_8A_057349 transcript:Et_8A_057349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALSSLRAPALSLRLAPAPAAPATVALPATAMPRGARLRAQATYNVKLITPEGEVELQVPDDVYILDQAEEEGIDLPYSCRAGSCSSCAAKIVSGSVDQSDQSFLDDDQVSSGWVLTCHAYPTSDVQAMATVLSSLRAPSTLSLRLAPAAPAAPATVSLPATAMPRGARLRAQATYNVKLITPDGEVELQVPDDVYILDQAEEEGVDLPYSCRAGSCSSCAGKVVSGSVDQSDQSFLDDDQVASGWVLTCAAYPTSDLVIETHKEEELTS >Et_6B_050002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:331451:337132:-1 gene:Et_6B_050002 transcript:Et_6B_050002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVVVNPYILVSFNQQWDTYAFDTNSCEWHKVDDSRLPFIGCATPVGSIFVGLSKKDGPISAYCIHVANSDSGRALKLSITVLPLKYMEHEVNPGPCFSSLDDGRFCSLSFSLDSGSVTLHPKRDKLYPKAAHVNLRTYQIENPSLLEDAEVLLASKPEIVSKRAVKLLALRRLRQMDPSLMEQKLSFPRGQKSGQGILSPTAATGNSWIDTNGVLIAAIGVDGETLIDMWNTESVPLLDTG >Et_1B_013050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5065521:5069753:1 gene:Et_1B_013050 transcript:Et_1B_013050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FKAPEIKIGKSRCGWITETTGGWVHHSMCAELTMMLDKISSILPSIEAARPGCKAGIQELCNLYNVVEKGKLIVLHCIECSKLYLAITGEAIVARCERIRDSLRRSLFLIQNMVPPALANQIADVHNDLRDVKFVLDPKEEEAGKAILQMLRQSDATEELELETFLQAASKLNMTSPKAILIERRAIKKLLDKITGTDPKKEGVLKFFLYLIKKYGKSIRADSGEQNENMQSESQSLTSSTTSGDGITPVKCHTPAGFQRYEDHSSVSGEATPPAEFCCPLSAKLMYDPVIIASGQTYERECIERWFNEGYDTCPRTQVKLDNFSMIPNTCMRDLIWNWCKDHGFTVSDVIAPGKSAYSYFPEQHGYSMSSLHDVSVPLIAGKARDFVIDHSDSSVALSDASYISDAPHARDMGETKCTISVFSWNTDYQKCMSFHDFSQDMFVKFFHELSMLPLELQADSIKDLKNILDDDNEVSWAMVENGFVEAFLEFLGDDSGIHSVEAQKAGFQFFLAFLSNSRTKVPPVNEEALRLFTTFLDSELKNEALLILHEFIHHSSCLKSHSVASVVTPPLFKILSSEDTEGLELSLKIICELSSDTHTKSYLISMGIISKLVPIFSEGSSVECCLKILRNLCDMEEAAVLITRTDRCLGSIAEYLDTGSPTEREHAVVILLAICSRSVEDCLLVMKEGVIPALVDLSVNGIDEAKNCSIKLLHLLRDMRRSDQFSNSCSQEVGGPDVVEDAPDSPIRKQPISKSSRFFQRKLNIFSKPRPFPGRGADLPLKPIPVTLTWELHLRSNLQLTVRSLVPPPAE >Et_9A_061569.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13917710:13918996:1 gene:Et_9A_061569 transcript:Et_9A_061569.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLWLQALITSCFFGSAPAPAPAPALENDDILREILILLPPLPSTLFHASLVCKRWFRLLSDPGFLRRYRTHHRTPPLLGFFSNGLGGPDFTPTLSPPDRIPSERLSLQQGRNDPARLFLVGFRHGLALLINRLRLEAVVWDPVTGCQHTHTVPYAPEFRVGGGASCIHGAVRSSAGADGDGHGGGCLSPFKVVLIRTETSNYDGGRTSVFMAVYESATGEWSRTSSIVIPFPSWIVLPDVLVRNALCGFFHWRDGILEFDLDTNSVGVIRKPKKIPYNDDSSFRVVRAQDQGLGLTVLTPATIKVRARRAGSSDATIMGWVLEKTVELGRLVYPQFPTQEMDDTIEIVGFDEDNNTIHVAANYAVFTIQLDSLQFTKLFDCDYRMDSYYPYASFYTLGT >Et_9B_066092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3065745:3067130:1 gene:Et_9B_066092 transcript:Et_9B_066092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALRSHHKYLQVKWSGGDRKVSAAVMSTSTQEEAELDRMMAAAPVSRAPPSSRYKGVVPQPNGRWGAQIYERHARVWLGTFADEETAARAYDVAALRFRGRDAAVNFPGQPAAADELAFLAARPKAEVVDMLRKHTYDDELRQGLRSFAGGGGHAAAPVPRVPLFEKAVTPSDVGRLNRLVVPKVHAEKHFPPLGDDDDAAAPVLLAFEDGDGGGKVWRFRYSYWGSSQSYVLTRGWSRFVRGKGLAAGDTVTFSQAATATTASAAGEISDKRRMFIECRKRKRTTKDGGGGMVVDDCPQHGGERVVRLFGANIAAAIGVHTSFDRHTINLGA >Et_1A_008607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9174401:9176535:1 gene:Et_1A_008607 transcript:Et_1A_008607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTERAFLKQPKVFLCPKKITKGNKPGKGGNRFWKSVGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSKSGAVKKAFTGA >Et_1A_004968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19889475:19890995:1 gene:Et_1A_004968 transcript:Et_1A_004968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGDSVHVLLVSYPAQGHINPLLQFGKRLAAAAVHRGAAVRCTLAVTRSALVSGEPHPSGEVHVAAFSDGCDSRGYDEVGDVQAYLDRLQTAGTRTLDELLRAESAEGRAPRVARRHGASCAAFFTQACAVNVAYAHAWAGKVALPLAEVPAELPGLPKGLEPGDFSTFLTEKPTGGSSYLELLLQQCQGLEVADHALINSFYELQTKEADYLASRWGAKTVGPTLPSAYLDNRVPDDSSYNYHVHSPMTAECKAWLDNRPAHSVVYVSFGSLVALSSDQMVELAEGLYNVGKAFLWLEVLAHPAIGCFMTHCGWNSTMEGLAIGVPMVAMPQWSDQPMNAKYIEDVWRVGVRVRLDVYGVVRKEEVERCVRAVMEGEVSEEYRKNAMRWKQKAKTALNEGGSSDRNIMEFLGEFGLKC >Et_2A_016231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22218763:22222697:-1 gene:Et_2A_016231 transcript:Et_2A_016231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVSSPRAAAAAVAAAAAGAAAIVSSPRRGSGAFQHHRRWPPAAVAPPYRACLVMIWVLGFALVFLWQSTSVGNVRLYTRPPMPLPKRPAASMGQWVASPPVYDLREFGGVGDGRTVNTAAFEAAVAAIAERGGGRLTVPAGRWLTAPFNLTSRMTLFLASGAEILGIQDERYWPLMSPLPSYGYGREHKGPRYGSLIHGQDLKDVTITGHNGTINGQGQSWWIKFRMKLLNHTRGPLVQLMRSSNIVISNITLQNSPFWTLHTYDCTNVTISESTILAPTTGAPNTDGIDPDSCQNVVIKNCYISVGDDGIAIKSGWDQYGIAYGRPSANISIQNVVIRSMVSAGVSIGSEMSGGVLNILVENVHIWDSRRGVRIKTAPGRGAYVSNIVYRNITFDKLRVGIVIKTDYNEHPDEGFDPKAVPTIENISYTSIHGQGVRVPVRIQGSAEIPVKNVTFHDMSVGIVDKKYHVFQCSFVQGQVIGSVFPMPCKNLDLYNERRELVKQSTLQNISDIDYSF >Et_9B_063695.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19630825:19631148:1 gene:Et_9B_063695 transcript:Et_9B_063695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCIFPSPMTLERELTTSQSCSWLVRAHRAWEFAFCPGQEHLICHHLTYTKAAVSSSYELCGTFVSIRCIGAASCHLDSSALIWLSGDLTTESYLHKAVLKMQDSL >Et_8B_059218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15509151:15516218:1 gene:Et_8B_059218 transcript:Et_8B_059218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ELEKDGRPTPATAREERRCWWPNRKYPTEFRTNHAIEAGYWKATVKDRKIYHGASSVPVLLSMKKTLVFYKGRAPKGVKTNWVMHEYRLGGKGRVPYPASSITKSMKPCSSYKVEQWVVCRVFDKSLGIRKEPELAPVSAPPHRTTTMSDGEVDLMSMSFPMSTQFHVETHDLTTNSNEFRGSGGARGGAPRGGALGKAAAAVAVDEGAEGLEAARRAVAAEPNHTGETPLATAVERGHLEVVVELLRHLDDEGLTMKKGRGYDALHVAAREGRRCHRPWRRWRPQARDDGNHRSSSYSDGDGEAEVDPHVLPEDDNPAATPAPYEEDEEGEDVFNDNYLEAAEVELDARDVKAGAASDWKLPRMLDDLDPMRGGSYSRAGLEYGGSSREPKIQINEASVEDYFVAVGNVHAADAAVLSTDFQ >Et_2A_015856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18424050:18447130:1 gene:Et_2A_015856 transcript:Et_2A_015856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASQGSVIRPSLMKPNSSWDIRKNSAKTGVPRYSSGTSNRLPSASSKEEVEAAMISAKRLVQMAKKWQRKAALARKRLTASTLRKETDGSSCSTSSVASKGHYVVYSADGRRFEVPLVYLGTTVFGELLTMWQEEFGYASDDSKITLPCDAMVMEYILCLVRREASEEVEKAFLSSMIRPCHYGTSLRQSIGLSQPIAECLLHLNRGTSSEQAHGVVHDCCITRKHDPPVAHEAKLLVGHSQELCENRRAEVHQWHLEPLPVGSVDRTVALVCNRVHQQTKHYKQAFLTFQPSKEEVEAVMISAKRLVHMAKKWQRKAALARKRLTASTPKLTGHRAALRRWLARATYCVVYSADGRRFEVPLVYLGRTAFVELLTMSQEEFGYASDDGKTTLACDAMVIEYILCLLRREASEEVERAFLSSMIRPCHYGNSLRQTIGFSHQIAECLLHLSRGTSSEQAHGVVHDCCITRKHDPPVAHEAKLLLGHSQELCENRRAEVHQWHLEPPPVGSVDRTVALVCNRGAPSKEEVQAAMISAKRLVHMAKKWQRKAALARKRLMALTPVKESDGSSCSTSSVASKGHCVVEASEEVERAFLSSVIRPCHYGNSLRQSIGFSHQIAVSSF >Et_9A_061109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16323736:16324350:1 gene:Et_9A_061109 transcript:Et_9A_061109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVNPITGEQVALPSVITMEHVNPVFNKYGVLAFVFPETRKGSGYIVVLIHDPICQLSFARVGDDKWTWLPPHTDYEDCFYKDGLLYAVTKAREIHVFDLGGSVITMKVIMEMDRYAECENMYIVQDPWGDLLNVWRGCDMVRDTDSATLVQNTGEIKIYRVDTMEKNSWR >Et_8A_056141.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:3217796:3219097:1 gene:Et_8A_056141 transcript:Et_8A_056141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHDRFARWWSLTVEEVGEAPVGDVVVDEQLLLLAVVVGDEREEVGVAEPAEPAHVLLEVLPPDPVHLLEPLHHDGGAAGERGAVAHAQVRGAQHLRRRLEQVLQLEEAAAAVVAHVSQPTLLHRLPRRAAGATRAAAAARVLVASDAGAAAAWRDRAAAGVVVRRRRRRVRLYLGRRSRRRRRAEVVLGAVLAPPLQRHERDGHDGQRAEERAEHAGRRRALGGRLCRAFREVVGRLLVRVVWFRRRRRGRLLRGRLAFGVKWRAAELLVACVRRAYSTKVIIIIQRVHARGPCAQNSIFLTREHGAFDGFEARRDGALELVVGEIDFLQLLLRQVRDPALELVVVELELEQLRDVGERRRDGPGEAVLGEDHLLERPHPGERVGGDGAGEVVPGQEDGPEAPEVLHVRHRAGELVVVEAQRAEAADALERA >Et_9A_063108.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:10412411:10412938:1 gene:Et_9A_063108 transcript:Et_9A_063108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSWFSYHSDHPDHGGAPTPFDERDHSAVVIITFVVFFFFVVLYLVAGVVWASVATACAVALAIFYLKARRRRRLRLRRGGGAAAALRVVRSNVVVSAVPAFAYKREGDGATGWAQCVICLGMVQVGEMVRRLPACKHLFHVECIDMWLRTHSTCPICRAVVDPSTGRWEPPPI >Et_1B_009831.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30678912:30679454:1 gene:Et_1B_009831 transcript:Et_1B_009831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKYTGRATRVKNGRVNATGRKSKGARFDSQSKGGCSLTRSNLPPHLSTALSSTPSVCARTFKVLAAHVSRVAHSHHLSLLLLLSSLPGQGHPLLANLHLAISRNLQPATSSFVSFRSILLLGDRQAAQSSSSSLSSAIKVVDGSSDVSAGCPFGGCDGVLASLRLCSKHSGVRKNFAA >Et_6A_047389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:489150:490041:1 gene:Et_6A_047389 transcript:Et_6A_047389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALVQELEAEVRRRDSDIALMKRELEGLQRENARLNKQIKSEKPSFQQHYDKGFTAPKKELATTTEVVELFKAAAISAHEFAGLIASSSLNPSDGYARNRGPAAEQSCTKRYLLEAHLWRTMIGTSRRKGEEFDAAHHFDRIMRSCDPLDVLMQCPSSSFSRFCQTKYLAAVPSEMEAAMFRNLEQRVFVSRGGHPRTWFYRAFATMARSAWAPRVAMAKYGATAERQDVLREKRESKYEDEFMESVNEPTAGRREDHISVAYSVTSGLKIGDTVVPCRVFSCHSEHRTPIFSD >Et_2B_020121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17034349:17040411:1 gene:Et_2B_020121 transcript:Et_2B_020121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINKLTRPQNKTTLWEGRIGYQRSKQQPAGIPTERVRARNLRFRSPFLLPTGGSLGSAAAQVCPSAHYDLRRCSLSSPPWDVDTFPKYKTGSKDYVIVSSKDKMGLLELFITACMPVLNMLLVTGVGSFLASDFAGVLTKEARKHLNNLVFYVFNPSLIASYLAKTITLESLAKQWFMPVNIFLTHIFGLIFGWIVIQVIRAPAKLRGLILGCCSAGNMGNVFLIVIPALCKEKGSPFGAPDTCQTYGLAYSSLSLAIGAVFLWSIVYNIICATSKLPEGSSDAQTNQAEVLNSGIATGPISEGNCSISDTKECAFPLISSDIPPRKFKVPFSERARLFWSSIFERVEFKRLLAPPCALNHCSGTSVPYVVVRKRKVAVQILVLMTMHEKWSEYYSDMGNWGDIVGLVIGGTSLIRNALIGENAPLRALQGSVELIGGAAIPSVTLIMGGNLLNGVRGGARVVEPSVIAGVVVVRYILLPLVGIGLVKGAVRVGLVHPDPLYQFILLLQYAFPPAMNIGTMTQLFGVGASECSVIFVWAYALASVAVTFWSSAFMWTLSS >Et_10B_004025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9434981:9440550:1 gene:Et_10B_004025 transcript:Et_10B_004025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GKTDRQIRSFKRSPPQFAILPSSTTTSSSSFLETLREATGRALSAMADEQRPAPEPECHKHEDCVEDPEEQAGNGISSLEQPLLKRSTTLTASHLALVGAKVSHIESLDYEIIENDLFKHDWRSRSNVEVLQYIFLKWAMAFLVGLLTGVIASLINLAIENISGLKMLHMVNLVRERRYWAGFLYFAGVNFALTFVAAVLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGFPQLMVKIIGSIGAVSSGLDLGKEGPLVHIGACLANLLSQGGEGRWRLKWRWLRYFNNDRDRRDLITCGASSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTATVVVVLRGFIEVCRAGRCGMFGEGGLILFDVSDVTVRYHAGDLLPVTIVGVLGGVLGALYNHVLHKVLRLYNLINARGRLAKLALALAVCVFTSAGLYILPFAVPCTPCDPAFGEACPSTGKSGNFKQFNCPPGYYNDLASLLHATNVDATRNIFSTGTSGEFRLDSLFIFLAIYVVLGLFTFGIAVPSGLFLPIILMGSAYGRITALLLRRWARIDHGLYAVLGAAALMSGSMRMTVSLCVIFLELTNNLLLLPITMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLEPKPEPWMKDLAVGELAAAKPRAVTLQVVEKVSTVVETLRNTCHNGFPVLDRPRPGVSELHGLVLRSHLVAVLRKRWFLPHKRRTEEWEARERFSSTDLAEKAGTIDDVELTPEEMDMYIDLHPFTNTTPYTVVETMSVAKAVVLFRTCALRHMLIIPKFQGPEIPPIMGILTRQDLRAHNILVCLLLQWLRLESK >Et_6B_050160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7922854:7928083:-1 gene:Et_6B_050160 transcript:Et_6B_050160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGQVAQKAAPASADDLLGTLPDALLQHVPSFLPAQQAVRTCILARRWQHLWEDMTGLRITAANSPDVPCAPDDLALMSKIREFVDHLLLLRGRASIDRCEFMFNVPADCDDDVPHVNLWIRHVIRCNVRLLQLSISREDHDSGLYFFVDNLPIVSRHLTRLELTDTGLNDSFLDFSGCPLLEDLVIYNGNFVHVKKIQSKSLKNLSLLDCTNNNQLRTYIDVPSLLSLRLEEPSERTPVLGSMPSLVTAFVRYSVQTWPDDRCYNSELGDCGDDSCEACNGVKDDTERNKSVLLKGLSEAECLVLIDCCQTGPEYKVKIKGIYNTIEGSAAISENLKTVEVKCDMVDDRRHQLPLITMATTPEEFFVNCLMEQTPPSPSLFPDHPPLPHGCGEGQLAPNDMMLPYISRMLMEDDVEDKLCDHSALLQVQEPFAEILFAPSFGVNKGNHVNRVNTEEAKDLLQDGNCDQSTIESFLKGADAVGSFLKGMEEAKKFLPKDDSFKRNEQVKQRFGANSNHSRDEHLEEARIAEKIVAMMEPEMVDASKVLDEVMVHGYEKCISDMKKLRIMIANEVKKNSRKSGVNPAKHVVDLPTLLIYCAQAVAANNHTNTWELLKQIRQHASATGNATQRLAHCFAKGLEARLVGTGNKLWELLMVERPSVMEFLEAYQLYVAACSFDNIALSFSVMTIMDKMVGKSKLHVVDYGLHYGFQWAGLLRLLAERDGVPPEVKITAIGHLNPSSYAADDQIEETGHRLYRLALELGLPSFKFHVIRTKWEDICNKDLNTDNNEVLVVNDHFNLSTLMDESVCFDDPNPRDTVLGNIRKMRPDVFVQSIVNCTYGSSFLSRFKGALFHYMALFDMLDATIPRESKPRMVLEQGLFGRYAMNVIACEGVDLVHYPEKYTQWQARNQRAGLTQMPLRPYIVTELEDKAKKHHKDFLFSEDGHWIVQGWMGRILFAHSAWVAE >Et_1B_009748.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:23280753:23281088:-1 gene:Et_1B_009748 transcript:Et_1B_009748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSLYILLSNLCLESESIVSGSKAVFHWTPINVQHHLLNGH >Et_5B_043888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17099676:17100444:-1 gene:Et_5B_043888 transcript:Et_5B_043888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSKQEGPKMFSSRILSRDRSNVTNASFRVYYSLGAGTVPFVWESKPGTPKSTATPASATNTMPPISPPPSYQSKSHLSKIRKGDRKAASWPAGGWISWLSLNIRRRSPQSVTDHRQRWLASDPGVDDEQQRRRRSTSIHMHPNRNNQIDMSMPI >Et_4A_035267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15678280:15680138:-1 gene:Et_4A_035267 transcript:Et_4A_035267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEKANAGYLTNFEVLDFLRSRGAKTYPMGCLGAVAASECKVYEYLLKTPACNQTRESIYEFVKRSEGFRLAEADKLNVINWRPSSAADAYAMIEECGRRFSRDERGEECDEDERVQELLDLVKEVLPPPPPKAEAMQELSIFCQYCAAICLNVA >Et_1B_011004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16369780:16374103:-1 gene:Et_1B_011004 transcript:Et_1B_011004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRPAVHPVEAPPPAPPTPAQAEGQEQADGGDVVTQPRGVRMKDPPGAPGTPAGLGLRLAQAFFAAAALAIMAATNDFPSVSAFSYLVAAAILQCLWSLSLAIVDIYALLVKRSLRNARAVCIFTIGDGITGTLTLGAACASAGITVLIGNDLNICAENHCASFETATAMAFISWFALAPSCILNFWSMASR >Et_4A_035755.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:5150184:5151764:1 gene:Et_4A_035755 transcript:Et_4A_035755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPAPRLFQYISNTKSRKKPPPPPSAAPESFPAAAPVAVASDADADAVYRIVTSAATPSAMESALEASSVPLSSPLLDLVLRRFRFAHGDPLRALSLLTLAADRGGVAPSPFALDTALYVLGRSRRFTDMWELLRSIRRRCPDAVTPRTAMVVLGRVAKVCSVRETVESFRRLERMVRAREDADPAGLFNALLRTLCQEKSMSDARNVYHALKYEFPVNLQTFNILLSGWKSAEDAEAFVAEMRELGVEPDLVTYNSLIDCHCKNRGVEKAYELLDEMRKKDISPDVITYTSLIGGLGLIGQPDKAKGLLKEMHELGCYPDVPAYNAAIRNFVIAKRLGDAFALMDEMASKGLMPNPTTYNMFFRLYYWAYDIGSAWQLYQKMRSEGCFPNTQSCMFIIRLCHRHGMVAQALELWSDMVGNGFGSFTLVSDVLFDLLCDEGKLEEAERCFHQMVELGQKPSNVAFRRIKILMQLAKQEESIARLTEKMARFGRLAPEDCQRVRHSAETRLSNGDGADAHMMRVP >Et_9A_062684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3090401:3096681:1 gene:Et_9A_062684 transcript:Et_9A_062684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAARESMAALLDAGLFGSAQTMVRTAILASFSPFLHPTLGLSRVFPRISRCPRTFTVLACWSEGCFLVSSAGASNEAAMSVKVESLVLHGDALYGEKEFRRALSAYKQAMQYSRSIPRQAASNTRSSVSTTGRSPSPNSSNLLSFNENEVKFKIALCHSALCEHREALQEMEGIPSKVRTLKMNLMLGKLYRISRNSRSAAVCYKECLRQCPYVFEAITALAEMGLSLKEFSLLFSQAPNRGGKPLAPGDSADAQRWWNRYVEAQCCIASHDYRGGLDIYLELMQRFPNNVHILLEIAKVEAIIGRNDESIMNFEKVRLIDPNIMTYMDEYAILLKSKSDYTKLNKLVHDMLHIDPARPETCVALAAMWERKDEKKALTYAEKSLRVDDRHITGYIMKGNLHLSLNRPDLAVTDFRGAQELRPDLRSYQGLVRAYLALSKCKEALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVSEGRNKEAVMLLEKYLQKWADDSLHIKLAQVFAATNMLSDALSHYQSALRINPHNEAAKKGLERLEKQMKGVDPDAPEEDEENEADDIDADQDDPELL >Et_5A_040717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11491895:11493960:-1 gene:Et_5A_040717 transcript:Et_5A_040717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYTNCSDYAHDDPVEELKEMGLPLKLMPLHANGWLNDMKVSSPTAIRVNIGNPGAFDPIYRAWTKKYPSALLAFNQIVANGKGKKIALFLDYDGTLSQIVDEPDNAIMSDQMREVVRNAASHLPTAIISGRSCDKVFDFVKLPELYYAGSHGMDIRGPVGKSGCSTNHRTCTNSSHKQSKDVKIFQPASEFLPMINEVFRLLVEKTMGINGALIENNKFCVSVHYRNVVEKDWPLVAQYTNDVLKAYPHLRLSHGRKVLEVRPVIDWNKGKAVEFLLDSLDLDDCERVLPIYIGDDRTDEDAFKVLREDKRGFGIVVSSVPKESYALYSLVDPSEVMDFLKRLVKWKEEEASRATIK >Et_3A_023484.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30355949:30356215:1 gene:Et_3A_023484 transcript:Et_3A_023484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNRRQEPEEHSSREAPEADQEPDESELARWKKMFRATTRSLSTIDEGTEKGTTPITTPAFCTPPASPDRRYARAVDMASVAMQLKT >Et_3B_031021.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:10230232:10231230:1 gene:Et_3B_031021 transcript:Et_3B_031021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPVAEADERSPFGRLTPEEFYARHGVAHSTSSFVNPRGLRIFTQRWIPLNTPVLGAVAVVHGFTGESSWMVQLTAVHLAAAGFAVAALDHQGHGFSEGLQGHIPDITPVLDDCDVAFASFRADYPPPLPCFLYGESLGGAIALLLHLRGKDNGTNLWRDGAVLNGAMCGVSPRFKPPWPLEHLLGAAAAVAPTWRVAFTRGNIPERSFKVEWKRRLALASPRRTTAPPRAATALELLRVCRELQARFEEVDLPLLLVHGGDDTVCDPACVEELHRRAGSGDKTLRIYPGMWHQIIGEPEENVEKVFDEIVAWLKDRAAKAKANAGEQQQQ >Et_2A_015633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:16225767:16231945:-1 gene:Et_2A_015633 transcript:Et_2A_015633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPAASAPPAAGDEQAADLAAAAAQQQQSAADAEDPFASNYGDVPVEEIQSKVISGRVWSKVGDLDAAAAGRSVLTRGFAQALRPVSKKMAFVVLRQSMSTVQCVLVASADAGVSTQMVKFATTLSKESIVDVEGVVSLPKEPLKSTTQQVEIQVRKIYCINRAIPILPINLEDASRSEAEFEKAEQAGEKLARVGQDTRLNYRSIDLRTAAKQAIFHIQCQVENKFREFLLLKDFVGIHSPKLIGGSSEGGAAVFKLMYNGQPACLAQSPQLYKQMAICGGFERVFEVGPVFRAENSNTHRHLCEFIGLDAEMEIKEHYFEVCDIIDGLFVSIFKHLTENCKKELETINSQYPFEPLKYLDKTLKLTYEEGIQMLKEAGTEIEPMGDLNTEAEKKLGRLVREKYGTDFFILYRYPLAVRPFYTMPCEEIISGAQRVHTPELLAKRATECGIDVNTISSYIESFSYGAPPHGGFGVGLERVVMLFCALNNIRKTSLFPRDPQRLSP >Et_4B_037994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25280258:25301684:-1 gene:Et_4B_037994 transcript:Et_4B_037994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVLQRIENKISRQVTFAKRRNGLLKKAYELSILCDAEVALVLFSHAGRLYQFSSSSNLLRTLERYQRYIYASADAAVPSSDEMQNNYQEYVKLKTRVEVLQHSQRNLLGEDLTPLSTSELDQLESQVDKTLKKIRSRKTQVLLDELCDLKRKEQMLQDANRKLKRKLNEVDAEVAPSPLLPWQGAGAAIALLPGAGGQHITATCISNHGHQRATDAGTGQLLLSCVDKMGRGKVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVGLIIFSTKGKLYEYATDHCMDKILERYERYSYAEKVLISAESETQGNWCHEYRKLKAKVETIQKCQKHLMGEELETLNLKELQQLEQQLESSLKHIRSRKSQLMLESISELQRKERSLQEENKVLQKELTEKQKVHRQQVQWEHTQQPQTSSSSSPFMMREAPPAVNVSNYPAATGVRVDGPAEQPQVRIGLPPWMLSHLSS >Et_7A_052767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15803508:15806181:1 gene:Et_7A_052767 transcript:Et_7A_052767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALRSLPRRPPAAESPQAAAPPWYAAPQPSAAESPQPAPSPWYAAPPTPPAPTTEADPILVAASEVALTLPLHPAPLPSAAPAPLLRLLPAFTSAHFLSLLRSNPLALAPLPLLSLFRLLLASPPGLFRHTPSSFLSMSHHLLAHRLPHHALPLLRLLVSRLGRDSPSRLLPQLLSAASPGDPAPLVSELAKAYDDEGLLADACSLLVLALRRGVRVPVPAWSGLMSRLPTAPEAYTFYLQLLDAGAPPETRLFNILMRDMVRSGELASARNVFDEMRRRGVRPTVVTFNTLISGTCKAGDLDGANALRGLMLGAGVAPDVYTYGALIQGLCRAGRLQDAVEMFEEMRETTVNPNAVVFTTLIDSHCKVGNVATGLELYREMEGKGVRPDLVAYNALVSGLCRARDLKAANGIVEKMRDSGLKPDKVTYTTLIDGCCKEGELEMAMQLKREMLDEGIALDEVTYTALISGLGKSGLSVEAESVLCEMVEAGLEPDNTTYTMVIDAFCRNGDVKTGFKLLKEMQVKGRKPGVVTYNVLLTGLCRLGQMKNADMLLNAMLNIGVSPDDITYNILLDGHCKHGKMKDPDELKSAKGMVSDFGVYTSLISEIVKKKPTNYHYTETECLKPPLAI >Et_4A_032490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10659867:10664790:-1 gene:Et_4A_032490 transcript:Et_4A_032490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDHGSTSRHMSASQKELGDEDARVVRVGDAARTNERLEFAGNAVRTAKYSPLTFLPRNLFEQFHRLAYVYFLVIAVLNQLPQLAVFGRGASVMPLAFVLIVTAVKDAYEDWRRHRSDRAENGRLAAVLAPGPGAQFVPTEWKHVRVGDVVRVVSDESLPADMVLLATSEPTGVAYVQTLNLDGESNLKTRYAKQETLSTPPDQLAGAVIRCERPSRNIYGFQANLELEGETRRIPLGPSNIVLRGCELKNTAWAVGVVVYAGRETKAMLNNAGAPKKRSRLETHMNRETLFLSAILIVLCAVVAALSGVWLHVHREELELAQFFHKKDYLSKEKNDNYNYYGIAAQIVFIYLMAVIVFQIMIPISLYISMELVRLGQAYFMIRDARLYDASSNTRFQCRALNINEDLGQVKCIFSDKTGTLTQNKMEFRCASIDGVDYSDVARQQPVGDSGLGIDSFWFVAEGGRIWAPKISVNTDTELVKLIRDGGDTEQGKYTREFFLALATCNTIVPMIVDGPDPTRKVIDYQGESPDEQALVAAAAAYGFVLVERTSGHIVIDVLGEKQRYDVLGLHEFDSDRKRMSVIIGCPDKTVKLFVKGADNSMFGVTDKTLNSDVVQATEKHLHSYSSLGLRTLVIGVRELTQEEFQEWQMSYEKASTALLGRGNLLRGVAANIERNLRLLGASGIEDKLQDGVPEAIEKLRQAGIKVWVLTGDKQETAISIGYSCKLLTSEMTQIVVNSHSRESCRKSLDDAVSMVNKLRSFSTDPQARVPLALIIDAPLQKAGIVDLIKKRTSDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNATFVFVLFWYVLYTGFTLTTAITEWSSVLYSVIYTAVPTIVVAILDKDLSRRTLLKYPQLYGAGQREENYNLRLFIFIMLDSVWQSLAVFFIPYLAYRKSVIDGSSLGDLWTLSVVILVNIHLAMDVIRWNWITHAAIWGSIVATWICVMIIDSLPFMPGFWAIYKVMGTALFWTLLLAVTVVGMIPHFAAKAFREYFTPSDIQIAREMEKSQDFQDATHPEVQMSTIEFSLD >Et_10B_003965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8571275:8582432:-1 gene:Et_10B_003965 transcript:Et_10B_003965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGSNSSRRPVTAARILGRPSASELEPNARRAAVRRRSRCDHGPLRLMDLSPRALMLLGIASVALVSVAIVAYTDGSSIPVAAARSQGRPSDSELEPDAFGSWQEAEGEGSETLRTVTPLPAPRMMDLPQFQGNHKENLYWGTYRPNAYLGIRARTPLSLIAGLMWIGWKNGQYFLRHVCQDSDELSTYGWTAHNGRDYGRQVLIDHGLFLTTSFLKEKGEGSGFGGDWAVRLDTNNERSSMSDAQESTTHLFFYIADESGKSITMGSDEASSRGPVHLASGSHEEIGDWELYLSSEDNFEIYRAGFKSNSMHNLSDLVQQAVATNAMQTGNLNLPDVTEDSSNIVVYQVSVKYAAKIDIVFLSGAASKNPMIEERISKLTGTMLSARLEAKQKDFEERYDQIFNVNDKIDSRDISVGRAAVSNLVGGVGYFFGQSKIALPKGFSQKNGDKYILYWPAALYTAVSSRSVFPRGFLWDEGFHQLVIGRWDVHISMDIIGHWLDLLNLDGWIPREQILGAEALSKVPEEYVLQYPSNGNPPTLFLAIRDFASGILANKFSDEEAEKISTFLERAYVRLNSWFQWFNSTQSGELSCSTFNIFTPLTMACRGNSFVAMDYIWHLNVWP >Et_2A_015865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18598834:18600432:1 gene:Et_2A_015865 transcript:Et_2A_015865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLKPKHHLAAAGRRLLLRCLCDGAPAPPPETETDIAPPPLTRAETKLLDALHEALLDHHRDHTAAEVPSSPPLDPIPSLSEAISGLLPSPPPAYLPLHLFRRLLALRRGVPINEAVALFHHLIPSLPADTLPDLYAAIIDLLAKHHHFPLARNMLDEMRERAVPISSQLILALIRRYVRAGMSSEASELFQRMEEYGAGAPEPAALATLLGALGKKRLARRLDEAERVFAEMQQSGIMPNVYTYTSVIDAMYRARQVPRAQELLCQMIDSGCPPNTATFNAIMRAHVKAGRSEQVLQVHNQMRQLGCEPDIITYNFLIETHCGKGQGNLDAALKVLAKMVAKGCVPDCHTFNPMFRLVLVLGNIDAARKLYEKMRELQCKPNVVTYNLLIRLFTMEKSMDMVLRMKKDMDTEGVEPNRNTYAALIEAFCGRGNWKRAHATLREMVKDKSFKPSKQVYDMVLVLLRKSGQLMKHEELVELMADRGFINRPADDALWSAC >Et_7B_054639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2980296:3005553:-1 gene:Et_7B_054639 transcript:Et_7B_054639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGDTMKTACVTGGSGYIASALVKMLLETGYAVKTTVRNPDDMEKNSHLKDLQALGPLTVLRADLDEEGSFDDAVAGCDYVFLVAAPVNLAAEDQEKELIEPAVRGTLNVLRSCAKAGTVRRVILTSSAAGVYIRPELQGDGHVLDEDSWSDVAYLTAEKPPTWGYCVSKVLLEKAASRFAEEHGISLVTICPVITIGAAPARKVGTSVIDSLSLLSGSEAGLGVLKGIEKTSGAVQLVHVNDLCRAELFVAEEEAAAGRYVCCSLNTTVVELARFLAEKYPQYNNPHLKELQVLGPLEVLRADLYEEGSFDDAVAGCDYAFLVAAPADLNAKDPENELIEPAVRGTLNVLRSCAKAGTVKRVVLTSSAAGVYIRPDLQGDGHVLDEKSWSDVEYLTAEKPPTWGYCVSKVLVEKAACRFAEKHAISLVTICPVITIGAAPARKNELIEPAVRGTLNVLRSCVKAGTVKRVVLTSSAAAVSSRPLQGDGHVLDETSWSDVEYLRAHKSGPWAYPVSKVLLEKEACEFADEHGISLVTVCPVVTVGAAPARDIHTSVPASLSLLSGHEAAFRMLRGVEMDTGCVPMVHVADLCRAELFVAEEAAAAGRYVCCAVNTTIAEIARVLGDKYPQYPKKTACVTGGNGYIASALVKMLLEKGYAVKTTKELIEPAVRGTLNVLRSCVKAGTVKRVVLTSSAAAVSRTRLQGDGHVLDEESWPDVEFLRANKPPMWVRDRTRMLVSPSPAPAPALRCCTAILIPVSDDALPASQGYPVSKVLLEKEASRFAEEHGISLVTLCPVITVGAAPAPNAVTSVPNCLSLLSGDEAEFAVLRGIEWASGTVALVHVDDVCRAELFLAEEEAAAGRYLCCSLNTTVVQLARFLTDKYPRYPMKTNLLSGDLLEKPRVCLSSAKLVREGFEYKYKTLGEIYDDVVEYGKSLPCVYITTGWQPRTAPPQRSNPLHLKTHQSWFLLAEMAAAGGDRKKTACVTGGNGYIASALIKMLLEKGYVVKTTVRHPGLLLHCSLYIYGASFQDKEKNSHLEELKELGTLEVFRAELEEEGSFDDAIAGCDYAFLLAAPVNYTAPNPEKELIEPAVQGTLNVLRSCVKAGTVKRVVLTSSTAAVSSRPLEGDGNVLDEDSWSDVEFLTAKRTGLWAYPVSKVLLEKAASKFAEENGVNLVTLCPSVTVGEAPDRKVYTTVPAILSLLSGDEAELRVLKGIEKASGSVPLVHVDDVCRAEVFVAETEELPAGRYICNGLDTTVGETAKFLAEKYPEYNVNTNLSGEVLEKPIALLPSTKLIGQGFQFKYGTLAEIYNDMERKTACVTGGNGYIASALIKMLMEKGYAVKTTVRNPDDMEKNSHLKDLQALGPLDVLRADLDEDGSFDDAIAGCNYAFLVAAPVNLTSEDPVKDQIEPSLRGILNVMRSCVKAATVRRVILTSSASSVCIRPLEGDGHVLDEESWSDLEYVTADKPPSWGYVVSKVLSEKQACRFAQENGISLVTVCPVLTVGASPVSKVYTSVPAALSMLSGDEAAFGMLKGIEKTFGGVPVVSLDDLCRAEAFLAETEAASGRYICCSFNTTIVEIARFLAEKDPQYNLKHAETEGSGTARIILRCGSGDEVLEKPRVSLSSEKLVREGFEFRCKTLDETYDGLVEYGKALGILRH >Et_3B_028928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20543955:20548877:1 gene:Et_3B_028928 transcript:Et_3B_028928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLQSPSRFLLQILQDRVLSGEKGVDIDCHTVEFDDVRYHIQFSMRNPKVMVLSVALPLPPPEAILYDGLPLGAIEALKSAYGPVVQILDPPKDGFDLTMKINLTKLPPDEEQRNALLTQIASVREVVLGAPLKLLLKHLASKTVAPNVDKLVALVHRPNESFFLAPQADKVTVVYPMRFQDSIDIVLATSFLQEFVEARRTAALNNAPSCMWSPAPPLELKGVPVDALNANAGFVTFVIFPRHVEGKRLDKTVWSLLTFHAYCSEGFMHTRMRRRVESLIQALDRAKSDAEKLKKLVHGASLKRLVGTLCRMVAFVHTPFLLLLIHVATFAEPERMKGTRIYEGYNSNILADDVELSMMIWLCCLVDDIYLAVEGTGTTQVKQNWNRTVLMYFLRIALFMVETIDNKKVRNGLYM >Et_2A_018554.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32598994:32600145:1 gene:Et_2A_018554 transcript:Et_2A_018554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGLREAFDRVVEKRAVSSAKAQEAVDQILIELEQTIVKMQMMNTDYMGSVDHSAILAEFKAKMNEMVPLNQLEVCQKGLNVALSKYLKLLEKSFSPDISKACRNVDFEVHTINNIIANHFYHQGLFDLGDLFIRECGESDGASLKLAFQEMYAILEAMKVRNLEPALSWASKNRDQLLQNCSMLELMLHELQWVEMLRNKAATLKTIEYAKADLDPFAEEHKGHIAKLTACILWAGQLEQSPYSELISPEHWEKMAEELTRQFCSLLGQSRECCSISWFSRTSNPAEADDSHGRK >Et_9A_062078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19502470:19504140:-1 gene:Et_9A_062078 transcript:Et_9A_062078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EKQELPMASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYESTARSWTQKYAMG >Et_4B_036425.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:23396196:23396558:1 gene:Et_4B_036425 transcript:Et_4B_036425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSTAFAATLLAVALVFSAGVDVVGAQSRGNPCPTKALADLKVCADVLVLLKLKINVPASQQCCPLLGNLVNVDVAACLCAAIRLSVLGIPINLPLDVPLVLNYCGRNATAPGAICS >Et_3B_027407.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:12999074:12999469:-1 gene:Et_3B_027407 transcript:Et_3B_027407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGKLSAGHDAACAEAQACLAVLQAMVQNGISSIQLETDSTNLVKALQSEEFDRSMGGLFYKEARAIIQSQFSSVVVMHAPRSCNVCAHELASSSLRWDTDQSRVWLDPLPVFVTNLLVRDVAEPNSHE >Et_1A_008917.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:1985113:1985556:1 gene:Et_1A_008917 transcript:Et_1A_008917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAKVVTPASVDEDSIDARAKLVAPASMYDVVVPDSEGADDDDVWLCKFCGEVHGVKDIDECRRIRREARWCSRCHLVHKDYDFFSQKIHHFDNFCCEFYIPDVDALEMDGDTIILPEYIERRIDEHIANVKKKKKKLDANNKADN >Et_9A_063185.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14457704:14461656:-1 gene:Et_9A_063185 transcript:Et_9A_063185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLAPLLEIAEVESSEAFNEYEASPLSRGYRYLDMVRSIPIPAGKACRLLRSTRSSTRSSSDSSISSIASSGMSSRATNSKLEREALVVRELAFRMVRDGFTQALIVAFGRNPSVLERWFSELDIDHALRIVIQDDGTLPSLQDSDGHQVRSSMWRWTRALILMTEAISATQRHLHGERLTADGGGRDGEAAVKILISDTNKVTTAPDDWLQGLQLARFVVASMSKMLSFANALATMELQFFCPIAEKLWMLLNLHACVSGASETLVPSLIEESHRLLAWREMRRLISLQEESRWVAASREMRSLVDRMESDFSMRKDKLGEVILSMMQDANACILSKDSWVPMPDNGKVHKTTKSTLEYVNVLWVNNSVLNSIVWRFFESWHHTTTTNLIAAMIRDLELRLEEESKSLQNPSLRYIFLLNNLDYIKEEINKFQFHSPSGHVLCRDSKLKSYIKSYLYVSWDPVVSCLQSNKATIPCLFPDNRFPLEKFQSKLKATCNTQKFWKVPNPKLRKRLREAISNKVIGAYKDFVEDHPEQKNHCGLGWGDTGVGNDGAPHDVILGHPAEAPLDELFVNDVTAAHRDGERLGLLHLRELQLRRLHRDRHPLRRVHLRGVAGPRRPDVGHRPGHRERLGLQRHEDGRVVELRLRDVADLRARQAAGVVVNVAGDGLERRLAEEGAYVAGVVADAKVVDEAGVHGVGRDDVARAERVVAVRCSSSTIGRPSLPTAADVVMMADLTAAGDQSGCSFLTSATTPVTCGVAMDVPETTLYLTPPFSAAMGTVDGHAARMLSPGAMTSGLGTPGLAALGPRDENAATVGAGVTPSSVLLNTIVAVRLALLFEDEPLMA >Et_4A_031926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3016398:3017374:-1 gene:Et_4A_031926 transcript:Et_4A_031926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLGVAGELTGGGFSRRRRRRRRRGHLAIVGGEPLGAHVLGLTPWRQVEAALLEAGARGVGGSGHEEAQAVLLPDEHRRQPLQHGAVRLGGARDLERGAEVHVDEDALGLAAVGGCGQEDVQVRVLPGGVGGGLVPAGEAERGVVARGAEGLGEEAEEVALAGARRAVALDEGGDVGEEVALPDARAVDEALHKSEMVSSSLTVSAMSVAAAASAAASSSSEASPAWKQLQHREAAPFSTPPPSSRKLRTMPSRHRELGSNNSSFSPATGTDGSRSAGETRSTCRSNSRLRRDLGGAAGDGSICAAAL >Et_2A_015897.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18916575:18932048:1 gene:Et_2A_015897 transcript:Et_2A_015897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVEWNGTILDGLIQYGMVLVELVQCTSKRILTNRVTKQVYQQRDLCFLYQLLHPMQLIPEIGIESHEPGSDLTLLSILQRPRIRLVVLKLRRIHLRLLFVVVLELLLVIAPLGAIGILSLGITISHRVLVVVDAEVVLQLLFVVLLALLVILVAPSLRATVIAAHAAAAVHLKHHVRPLLHRSEPQDAGEGKVGLLLGEGRERTPPRRSRGGGRGVAVVPGLRGRWGRIRVRVWLGGGLLAPAAAAPLRILHGGERD >Et_2A_017125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31172642:31176078:1 gene:Et_2A_017125 transcript:Et_2A_017125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHSGDGPPRKPSPVKASGTKRLAAGAAVLEGMGQGGWAYPGMCSLGPTHAGPNSGLAWKSHKLRDIDDQCPPSPHPLGMCKARVAAYGYPCEEYTVTTEDGYILSIKRIPYGLKDADNSIRNSTGNPRPPVLLFHGLMVDGFSWVLSTPKQSLGFILADGGFDVWIANNRGTNSSRGHTSLSTKDPAYWDWTWDEIAEHDLPAVLQFVYDNTGGQRVHYIGHSLGTLIILASFSEHKLLHLVRSAVLLCPIAYLNRMRSKLVRLAAHVFLAETVRMLGYHEFNPLGSIARDLLSQVCTDPEVDCYDLFAAVGGPDCCLNTSTTCTFLQHGPQSTSVKTLIHLSQMVRKEGVRRYDYGNEKENMKHYNQSRPPLYKLSDIPNHVPLFLTHGGEDFLGDLPDTRHLLRTLVRQHDSDNIEVLYMPDFAHGDFVMGYNAPELIYKPMVEFFKRH >Et_3A_023990.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15747202:15750391:-1 gene:Et_3A_023990 transcript:Et_3A_023990.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKAYVLLFTAFFSGLMQLSMAQDKPADKPTAPARAIDAKSIDQAIAYLLMFAALFITYFAR >Et_6A_047423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5559769:5562575:1 gene:Et_6A_047423 transcript:Et_6A_047423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVVECMAVLVALLLTMAPCLSTVFGDSVLGRKAGIADEEATYSLAAEKKSTGRYAVIFDGGSTGSRVHVFKFNKRMDLVKIGDQIEFLEKVKPGLSEYAGKPQEAAKSIYPLLEKAKAVVPKWLQKRTPLKLGATAGLRLIGEEKSEEILEAVRDLVHSNSRFQYNPKWITVLEGSKEGSYLWIALNYLLGKLGGDYSKTVGVVDLGGGSVQMAYAISDAAAANAPAVRDPYVTKEYLSGKQYNLYVHSYLRYGLFAARAEILKVEKGPFSDCILRGFSGIYTYNGQNYSATASPDGAVYDRCRDDATAALNLGVRCEAKNCTFNGVWNGGGGAGQASLYIASYFYDRASQVGIVDADAPNGKSTPAAFRDAALKICPLSVQEAKAAYPNAWGTEYLCMDLVYQYTLLVDGFGLEPTREITLVTKVKYGEFYVDAAWPLGHAIETLSSQKLNQLE >Et_4B_037204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16469811:16475028:1 gene:Et_4B_037204 transcript:Et_4B_037204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKRQKGSDNKRDFANILDVLVNLEDADGQPLLSIDEIKAQTVELMFASVVYPSNTVEWALAEMINKPEVMQKAVDELDTVVGKERLVQESDICKLNYLKACIREAFRLHPYHVINPPRVAMEDTTLGGYKIPKDSHVIISRIGLGKNSNIWPEPLEFRPERHLIGEVVHLTEPDLRFITFSTGRRGCPGVSLGTSLTMVLFARLLQGFSWTKPPNVDKIDLKESPTSLALADPLVLQAKSRLTTDLYMYTSKADLWLEYSNLSQAGVRRAQIEIYEDLLLRKLPSRRRAVSPSSEFGHLKLFSCSSYQSWWCHRRALRTQNQVRKISTMQLLANGKIRSYSQAR >Et_7A_053013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4879884:4880947:-1 gene:Et_7A_053013 transcript:Et_7A_053013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDETDKVLLDDAFPAAPAGVPWCCGMPRGWLALSDHENAPTRVVLWDPSSGAEIALPPLRSVLQVFLSEDPLAAPPRHHGWMAFASQRRGCVAQKMHFWRPRDDAWSLMMLGRYDSTVRVDSVAFHDGKVFFTDYNHVLVIYDIINAAAPPTLVRELNLQHVVNPLCRCPNFHIARATHVVACCDDGDLLLVVIHGRDHPCFAEIYRPADWTAKRLELGDRVTDLGGHALFLGRGDAFALRAEDFPWIRRNCVYFLPHGQLAQLYWLLVFDLESGVLERHPCPQKHKEEGRKEMVALFLVLPQEALLLQGVIYCLHTQSRLEFSTKHVVRIPMKGALMCV >Et_9A_062102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19727510:19730229:-1 gene:Et_9A_062102 transcript:Et_9A_062102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAALTRYWCHECEQAIEEAMVEEIKCPFCDGGFIEEMIGQEFEGIQDDLRADRERDNSILINAFNQALSPQGSVLDPDEAQGDQGGSNNDDGLLEEYVLGAGLSLLLQHLAENDPNRHGTPPAKKEVVEALPTVQMEEVVSCSVCLDDLELGSQAKQMPCEHKFHSPCILPWLELHSSCPVCRFELPSEETKDSNEPTNIDRIESSQEEVRADGPGNSSESSNRSWALVPWFNGLFSTPEPQATRGSFADQQTSSASGANPNAGENVNEILMPL >Et_3B_030246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31763839:31767667:1 gene:Et_3B_030246 transcript:Et_3B_030246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLATFLLASFAVLLALAAPSLAGDPDMLQDTCVADYKSLQGPLRLNGFPCKRPENVTADDFSSNVLASPGNTGNAVGSAVTAANVEKLPGLNTLGVSMSRIDFAPWGVNPPHTHPRATEMILVVEGSLDVGFVTTANKLVARTVCKGEAFVFPRGLVHYQRNNANSPAVVISAFNSQLPGTQSVAETLFGASPAVPSDVLARSFQIDGGLVEGIKSKFPPKLMSRTVSKGEVFVFPRGLVHYQRSVGEAAAVAVSAFNSQLPGTQAVAAALFGAAPAVPSDVLARAFQVDGGVVESIKAKFPPK >Et_8A_058031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1508536:1512900:1 gene:Et_8A_058031 transcript:Et_8A_058031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIVVAELKMVAVSKDTPEQKAAEITILRFGEWSVKKPSISGIDNEGWDLPSSWCTDTVITVSDRLLCWVDLCRGLLFFDIVDDSSGLQYLSLPEEPCFGRQERNRNVCVTAGGVLKFVNIFPRCCCGSAGATGCRHSSGAYIIRTWTLNLDDMAWVMDSMVDSTELQTAFDGYKDLPSIQLVYPVVSLDEPHVICFLLCEAFHVNHGDDTLWMIMFDMKSKMVESVSLYAGGRWQLRGEILRPSRVSCYLESYSNKSLATNRASRPRFRPPPVASAVAVALDRRTKRTAENPDANPSNINKCGTKIDLRKIIEIGSRKARIIQSKHLEHRKHIHSMQPI >Et_5A_041717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26204619:26206077:-1 gene:Et_5A_041717 transcript:Et_5A_041717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGTSKGVLEILKFGVYVSVPVALTYLVATDSKTLKKLMGLVRPPPLSLEIALRIDCGCCLLTVVLGFLAPRFQNLGEKIALYHQHRVEMSVYPPEGPRPPPPEELRERAREIARKRQQS >Et_2A_017493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34924883:34928069:1 gene:Et_2A_017493 transcript:Et_2A_017493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRTSWKLPGPTLPSISLSAALRGEGKRSEESNHRGERRTMDAAWACAVDRAAGIADSAKRFFLSFHRPPPSHPGPNPIDILKRLQREAFHDIMQLRERQEKVEKVLSLFKATKSGPFAEESTRVKGVINVAGSLSRDSSEADSGISSRFVFQTTVRKKDSLFAELIADHSYISQDNDHIGSPLVLSKVMYLSNISDSFSVAAVPVGARCDDFSTDPNLREEHWLSSLRSSLRPPLLIKSHGHGAGLILRSKDFAASLAELISGAGKPVDVGEASRVFTGFGQMSYQMADDIKMTMSAAWHGPSLVPRTRKPTSGGCLDFELKVDEDSRIGAWIEVNKKSNSRSLRWALTLSDTPEDDLGWGVSLQRGTEAKTQRFQIEGFLNMHLGKKAAMQPGVVFNLEGGICSPALVFRSIGEREEFESVSELMHHIAKADLITRREKIDLIEPEAAE >Et_4A_032502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10926179:10931560:1 gene:Et_4A_032502 transcript:Et_4A_032502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFNLMQSQNSSVFGAMSSSVPILPNTMKESFPRPRNLQHIPMSRQLPDDSIPLRHGTVQSGTLHPRAGFIGSSYSGYCASPHDSVSNLERQSIVAPFISQSSNVEVFQSLSNNTPGSQTEAAWFPSSVDVLPGYINNMSAPDNQIQNGSSAVASDEVANQNEWWADIMNDDWKDILDATATDSQSKNMVQPSNSAASQPAVNQSASTLSGEICPVASPPNSSNTSAAKQRMRWTPELHECFVDAVNQLGGSEKATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYKPDLSEGTSEKTTTTEELSLDLKTSMDLTEALRLQMEVQKRLHEQLEIQRKLQLRIEEQGKYLQMMFEKQCNSSTVKVQDPSSDTAPDLSHSADKDSDAAVDQNRAGDKHDIAEQDKSLAHIGVKQKLAETDSDSQAAATHGSKIAQEKRRKLQDNQAVMRWG >Et_3B_027628.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6325616:6325915:-1 gene:Et_3B_027628 transcript:Et_3B_027628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREGLSLAVALGCDKAILEIDNISLVNSLRSGTPDRSAIRGLCQKIQEHSRSFSSFAISFVRQKANSAAHCCAKIPTATNRVVSCVVYTPNWLMGVVT >Et_8B_059361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17075838:17078209:-1 gene:Et_8B_059361 transcript:Et_8B_059361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLTIPRIKLLRNRRELQLRQMRRDIAKLLEAGQEATARIRVEHIIREENMMAAQEILELFCELVAVRLPIIETQKECPIDLKEAISSICFAAPRCADLPELMQVQMMFANKYGKEFVAAASELMPDCGVNRQIIELLSIRPPPVEAKMKLLKEIAEEHEVDWDPSETETEFLKPHEDLLNGPTYFNGSTLPLPKEKHEETAASAAPQPDEDYESDTGLDSLDLPEVPKAAIRPPSDAPPTPDIGPHFQSSQSVPHEFPNPTDLEENPTADGNFRIQMQSMEHLISAPSTQSDIADLPNETRQFIPFASPPPSAATSMEKNESVPSPPPSPPVKPTEPEIFTKKIDEVTPPPVRPTDYMFSGQSEQVHTISHTESGTSIDLDDFLSAAQTAADSAERAAAAARAAANLAQLRIADLKKNTKVYDKYSDGVQKETHHQTEGTQKPGFDHQDSFTNDTQDYMPSHVPQRSPSLEDDPYFSYPNLFSSSKP >Et_2B_021273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28091812:28092954:-1 gene:Et_2B_021273 transcript:Et_2B_021273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAAAPSSARALLAPVAKALEWSSASLFLATAVAVYGVVAISMDERIRKNERKKKENFAQTPFRNVQAFSMDEFMLSSCIEDSRLDNPALQCGLPSLLNRAQMPHPPSTASRCATSSNTRRRLADAVGRRRRA >Et_5A_041697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2669137:2673367:-1 gene:Et_5A_041697 transcript:Et_5A_041697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTRASTVDFGRKKPREVNWSGPLRPANIVRNKFPTFKNGSNGIVIKLADGPEMPLLKEVVAKETADLLDRRQRLSVRELTMKFEKGFNTATLLSNEVKSRHAALLERDILLKNLKSVLESLRGRVGGKNKDEIDESLSMVDILAVQLSKREDELLQQKAEVTKRASSLKLDMDELKETVQEARRVKMLHCPSKAMDIESEIQVLRDQLYEKSANSLQLLKELKLHQRFEENDMPLYELEGLESLGSVLRIVAQNKEFVDFSKRSIQWFRIQPDKGSKKEIISGATKPVYAPEPHDVGRYIQAEIEYCGRISIARSAGPVDPGLADYVEALLRNPETEYNVVVLQVNGIPRPDDSLHVLCIGRLRMRLAKGKTVVAKEFYSSAMQLCGVRGGGDAAPQAIFWQPRKDLSCVLAFETIRERNSALMLARRFAADCNIILAGPGDKTTW >Et_5B_043846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16738160:16751488:-1 gene:Et_5B_043846 transcript:Et_5B_043846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PSPRRKQTLGHHHLGGKSCRGSPNFPPKLPEQKGKRAREAPGTETRSPGRDASAPRRAPPSGRRRPAQAQPRQIGAPRVAAPPVPALSESRLCHRRGAVRAGTVVPAAASQELNFFCCKIEQARVDINLPHLRFLEMDSVDVSPQGQHDGPPFGYITIDAPELEEFDMIFQPGSTRGFKSFTLRAPKLRLLCWQNQFAERVHIDVGRPGSVKVGNIEFMSVYFRKMEYYREQMMRMLRGSFRMCHQRLREQCRHSEVRNRAHGSTELLTFSFHFSTAYELCGSLVLLCDRSMQCRPYMTLEECPDSDDDDDTVEEKLTCNLSALMSHLMRMIGRKKPQVAPSDGTDPFTTLPLELRARVASLLPFREVVQLSSLSWPRRDIHIHHYTSVVELNLDEFLVLEQYNFDSEHSLPGIVDDNAILGLRVALFRRARDPAASKVDTLILHYDLGDPRMARHAHRIISLADARKVRITIPYDLWNPSRPRQVAWTLDLPPALRRLHIAGKHDVFHLAPAIVGPGVAALQKLCLHRVGIRDWPPCLPSLRSLTLKYAAIEAPFKPGEWCPLLEDLCISSSNIEHTCVDIRLPLLKSLDMDDVDVSQRSEFFDSYGDITIDAPNMETMAVNCTVEATVDYLSFTLLAPRLENLYWRNQYVQQRVRIKVGRPGSVIAGSIVFEANAEIEYPEIRYHKVLMMRMLEQLLPQLSLENVANAVRPYMTLEKYTVKGYASGEMIPEERLTCSLEALIRRDYTFWREELQRIFCFPSKTAGTRRKAGEGGGNRDEMGRRRGTKRTKASPAAGDRITDLPLELRARIVSFLHYGQVVQLSALSRPWRHIHHHTPVVKIHLGPGLLDEEGSILAVRVALARRAQDASASKVDTLKLSYFADDLRMRRHAARIITLADAREIHINSPYAGHEVQHDAWTVHLPPATLSLMLYAPHQFVSIAGPGAVALRELTLNRGVLREWSHLPSLRSLTLMSVAVEAPFAPGQWCPLLQELGIIICKIEHARVDICLPHLRLLVMSSVDVSPQGHHDGRHLDTSPSTPRS >Et_8B_059097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13924848:13926533:-1 gene:Et_8B_059097 transcript:Et_8B_059097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWSIIAAQLPGRTDNDVKNYWNTKLKKRLLGRRKQDRDTHHHRPGAAAAAAANETSGENMNDGGERALSASAMERIQLCMQLQELQNPLSAAIHHNPLVWPTCRGTTQSNSFNSNNSNVTVAEQGQSSSMNEHLNAQLDSAAMDGIASPSSAENSNVINIEAELQELLYGEGNQGSVDGGVQQEGAVEWWSYDQGRPVNCWDFTPEPNSVFQDYASSIKVFKVPFDPYSIGNTRRYIEKWLPRPDVR >Et_3B_031037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11075796:11079805:-1 gene:Et_3B_031037 transcript:Et_3B_031037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKVNLQWICNKATRRATLKKRQASLMKKTSDLVSLCGIKACVVVYGEGEPQPKVWPSILEATQLLNNFKVVPDNLGNYKKTLSQEDFLRSRISRLHDMLVKSDRETRERDSMFLLQETMSGNHSCLIGRTNEELTNLNSLVERKIKNMEQRFHQVIIGHGQPLQASSLQPTPFLSQQQAPNNDTELQSLMPTEEPQPKEHDLLMKLTQNRGEQGAMVFNAFSGSNNVGDHNQQWTALHQLVAKLAFNLAIDAESRRSLQCSASATEDMVLVRSQPSSPWQDDAFHREEGRGCCVRNKAARRFKINADGVFSPELRKAEMGVVIRDEHGVVGHFSGVICLWMKGKALHVLRGSF >Et_2A_017746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5800760:5819636:-1 gene:Et_2A_017746 transcript:Et_2A_017746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWHALRCFINISKESVTAKMELLKKIFRCSEPEVITVLSKTPTLLTHSEDRLCRVTGFLFSEAGLDPEYVAGKPALMTYSLEGRLMPRFYVEMGLLGHRRSYYSAVVAKEKDFVERYIQPYMKAAPHLAEDYTAACRGQDRLVPRFYVVKFLLKEKGLLGRHQSCYAAVLLKEKDFEESRLIPRLYVIKFPQANGLLKHERDYFAFLIRKSRPNSLKTMLMLVEEKCPLNSDRRNRKLDLQIYTPRVVPHLPRSPPRSSPPAPAAMLLVQKQQPPISFPPRATVAAFVSLRHHQCRLPTIRLAAAAAASANRTPFFVEDYLVASCHLTPEQALKASKVLSHLKSPTRPDAVLAFLSGLGLSDADIAATVAYDPKLLCSEVERTLAPRLPELRDLGLSPSQIARLVLVDPARFRRPTVVTKLRFYVPLFGSFENLLQALKSNSYLLSSDLEGVVKPNVAFLMECGLDACEIAKLSIPVPRLLTTKPERVREMVLRAEAVGVPRGSGMFRHALLAVAFLSKEKIAEKVEFLKKTFRWSDAEVGIAVSKLPLLLKHSKDRLCRMAEFLIIQVGLEPEYIAHRPALLTYSLERRLRPRQYVVRFLKANGLLEHDRSYYSAVQVSENIFMEKFIRPFMEAAPGLDQDYAAACRGENSRTKCILSHLLSPSPPSTPAVSPLFSLHRLLSATASSFAAEDYLVANCGLSPAQALKASKKLSHLKCPSKPDAVLVFLTGLGLSRADIATIVYKDPQFLCADVEKTLAPRVIELTDLGLSRAEIARLVLTTQIHFRTALLRPNLEFWLKVFGSFDKLLPVIKMNNALLGLDLEKVAKPNIELLQQCGVSISDVPHTYLSRMVSRRTQHLQEALVRTNEFGIEQTSWVFIHALARFAILSREKLNRNTQLFEKLGWSRNDISSAVRRAPDILCLTEERVHRSLEFLMRDVRLEIPYIAQRPKLMLYSIERRLMPRHCLINLLNARGLLIAQLSFYSIALMGEEKFLHKFVHPYEDSVPGLAAAYASSCAGENQWELLSRIVSQVSLLLLLLAVLETTYGSSSDMTQGKRKRILSRLLDSPCPCPAPASRIPPLFSSQHLLSTTASTISPKPFAVEDLPASLTPFSPSSPTSASPAPALVARDPQILCASVEKTLAPRVTELGDLGLSRAQITQLVPLAPCTFRLSSLSRKVSFWLSVFNGSFELLRRAQCLNSAILACNIEKVAIPNLAFMKRCGISASDVPFMNIFAPRMFTFKPKSLHEAAERVEELGIKLGSRMFRHALASVAFMRKEDCVSKIGLLQKIGFSQDDVSVILRRAPLVLRLSEERIRQAMHFLTRDVGLDAPYIAQRPALFMYSLERRLLPRYFLLKVLREKGLLNVEHSFYYTAALAEKLFIERFVLPYKDHVPGLVDDYASRCAGRAASVSASAMIHQLRAHALSFLFQTPSHLPGSRISPHRLFSTAAPASPKLFAVEDYLVASCGLT >Et_7B_054806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4566689:4579020:-1 gene:Et_7B_054806 transcript:Et_7B_054806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDRDGDGDRRMAERDLGDIVLSWSVRDIMNDDLYSGQLGLSMMSVRAKRARALMSSICGKYADEALVVVYYYKYCWWMRDRSRPLGCSASENVEKIPLSFMSLDHYLKSYVAPLIEETRSDLCSCLELITEAPSSKILSMEVAGKSGTYFMDVDFWDNGAGFSTETYTARNGDIFILSSMKPETAEDFNRYGLTYCLAMVTEVSMDDEYQKGFRVKVAKDIGSEEDLSKLRHAIFLNNIMTHIRIWKALSFDNSMNNNFTVIKLLLAPRTKDDDGCSVCVKQEGDRLASFAGKLLSINLNQSQLDATESIISAVKCRHLNLIKLIWGPPGTGKTKTVSALLWALACLKSRTLTCAPTNVAVVGVCTRFLQNLKDVNRDTDGYGLPLSLGDVLLLGNKYRMDITEELQEVFLDYRSEELVECFSSLSGWRYRIASMVSFFEDCSSRYDMLLEDDGSSGAVCFLDFLKKQFNVAATAVKKCIISMWSHLPRRCFSCDSVSNISTLLDLLEKIDALLCDQNLTDDDVKRAFGFLSAENVNPMSTNEKELDEASSYRLHNAEIAPLDVLIVDEAAQVRECELLIPMRLRWLKHVVLVGDDCQLRPMVCKESGFGISLFERLVLLDFEKHLLNIQYRMNPSISLFPNARFYERKILDGPNVLSPCYNKDYMSLPFGSYTFINITDGREEKEGAGNSWRNLVEVAVVLHLIQTIFKFWKRTGRGLSIGVVSPYSSQVRVKSVDGFQGEEDDIIILSTVRSNGRGVVGFLADNQRTNVALTRARHCLWIIGNANTLYKSETVWKDLVADAQRRNCIFNATNDTAICKLVLHVKNELDELDDLLNADSAVFSNTRWKVILSDNFRKSFTKLKSLQLRREVLQKLVKLGGGWRSLVKNFDVADAFKLAKVYRIRDLYLVWSTDLEKNERYYQIIRIWDVLSHQHVSRTIQHLENLFSMYTDDYLDHCRSVRSEGKLEVPIIWDNDHDIIRYKKDSKVADQENHDHVDTSCALENTKVSESFLLMKFYSLSSGVAKHLLTATDGTKIDIPFELTDEEEAIIRFPLTSFILGRSGTGKTTVLTMKLIQIEQQSLIASQGVDLGEVDLSGPENKSIVPMKDTSKHEIFVKQVFITVSPKLCSAIKNQICRLRRFGSGDVLDQPGTLHMHDIIDDLEEFSDVPDNFSDLPREHYPLTITFRKFLMMLDGTFQSSFFDTFCGEFKSAIERGHSKSRAFQAFIELKEVTFDKFAASYWPHFNAELTKKLDASTVFTEIMSHIKGGYQAKSPLSDKLERLDYMMLSDKRFSSLNSELRDRIYDIFLDYEKMKCTAREFDLSDFVNSLHSRMLSEGYNGDLVDFIYIDEVQDLTMTQIALLKYVCRNFKEGFVFAGDTAQTIARGIDFRFEDIRSLFYTSFLSEIDGCNEGTKHGKQVHLTDMFQLTQNFRTHCGILRMAQSIMSLLYYFFPSCVDKLSPETGLVYGEAPVLLESDNDENAIMTIFGESKSEHHNQHGFGAEQVILVRDDATKKQIVDLVGKQALVLTIVECKGLEFQDVLLYNFFSQSPLRNKWRVVYEYMKIKDVIASSEEVSHPDFDRNKHYLLCSELKQLYVAITRTRQRLWICENADEYCRPMFDYWKKLCIVEVRLLDSSLIEAMQSGSSTDDWRVRGTKLFNEGQFEMATMCFEKAGDSYREKWARAAGLLATADRVISTNLDMGQASLQKASELYESIGMHEKAATCYMKLGMVYMEKCGTSRLEDAGDCFSVTECWSQAAEVYFKAKCYAKCFSSCSKGKLFSLGLEFLQQLDKESLSENLNPLEVAAVAKTYLENCALHYFECGDVKHMMPFVKAFNSMDDVRAFLKSRDLVDELLSMEIDTGNFLEAAGIAKHKGDILLENENSGYKFEIEPFSEDEMRCHDMLACNLISPETLVCVWNTWKSIIVKVLLNFQQSEVPKSNHSAAICQDLCDMYFGLRKVEDNRYMVLNMDSSWLSNMGRSSLQQDGNIFLLDSFQFQSCAHDFLINELSSVGLSVLKKLQSFVETCLRKASCPYVHWRTITRIYEIAKFLEESEFAMPKYSIKLRDFFILCEHHLFKLLSLTSRDETINILLCILDSPTAFSLIVDSLGSYLRPVNKLIHGHLGRITMILLCTGRLDGMVISKLMQYLDRDSEWARFFQSLKTFLDSGAGTSSLIMNLKLALEFTFNANWRAEPDYMSPLCFVDLLESLGFLASSYLVLNGCVFCTKSVLIKMLKSRACKDYLSTCLVPSAEYLELDRMAFSSRRFIVESIRSLLVNKFMIQDWVRKTSTPTSSYVPVLMRLFGDCYEITNFLKNVRVFEDLPVEFSEKIVPALNMKSRTRSNFTGIFADALAAIGNQMVVLGSPKGRSFSRDINACIISCEDLRDAKKLRELLFLEEPRITSGSNRNCNDIPGNFPAASAQDDNMESRRVVHLSDENIPFWEKFESFQVYMHGGLKGARIIIQFLRSVLSWLEQRVALENMDAQLFEEARHICNQFHREEERSCLTVDDLYSMWQDGKDKMQKIISFLRSEKASLQDSDRKAEAAPPGQFHDDRDDEWNEFSDDEPGTGGRDVEPDPIKEEATDVCSTLKTKAQKQKSKKKSKKSKRKGKK >Et_1B_013467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8691883:8698424:-1 gene:Et_1B_013467 transcript:Et_1B_013467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRFMPGGGEPSPSSSSGGQHGERAGVDRAAAAGLRYGGGDISLGHPQLGVGGGEGAERQDGSMDMLARHSSSPAGFFSNLMTMENGYPSSKAGGSGAEPHHSSTASGNASRKMKPSSQLNFNRAQQQGAAGHLSQISEDGGFPPGLLGGDRAGRSSGESSGGAAASRSFSGGFSIVGPWEESRDIIATLGAYDPQFNGAMTSSALEMAGMDRYLQLQQDQVPFKVRAKRGCATHPRSIAERERRTRISEKLRKLQELVPNMDKQTSTADMLDLAVEHIKGLQSELQFLRGKCSCVEEPSFDRKAQQGMQTRDAVSK >Et_10B_004296.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:3154647:3156158:-1 gene:Et_10B_004296 transcript:Et_10B_004296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHKKLLQFLRPDPAPAAKSSPSTSSDSDDDGGGGGGYDDDAYSPPTTSSPSTSASAATSAAASPYRMSPWTRLPGLGFGADDEHAVAKQQTGLLGSLVKEDGHVYSLAAAGDLLYTGTDSRNVRVWRDRRECGGFRSGSGLVKAIVVAADGRIFTGHQDGKVRVWRRRAGNDDDGDAAAVVEHRRVGTLPRLRDVVASSLLPSRYVRTTRRRRRSELWLRHFDAVSCLSLDADAGLLYSGSWDKTVKVWRVADSRCLESVPAHDDAVNAVAAAGFDALLFTGSADGTVKVWRREETVENNKKASRRTKHSMERVLRSGDGAVTAIAVAAEARVVYVGSSDGAVTHWQFRRGGSSARNGGALRGHKMAVLCLAVAAGRVVVSGSADRTICVWRREEGGAAHGRLAVLSGHAGPVKCVAMDEEVEEDADADGARRWVVYSGSLDGSVKVWRVSDDARGGSGTMMTPARTPARVWKGAAAAPPSPLSAWTPYAAAPETKRMAAA >Et_1B_011301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2013599:2015937:-1 gene:Et_1B_011301 transcript:Et_1B_011301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAGVSCILLAAVAVAAAAVKESEAEAMSSYIVHVAHEHAPRSSRPRLLARAYNSFLRDNLPESIATPEPRMFYSYARAATGFAARLTARQAAHLESLGSVLSVVPDLTYEPHTTLTPSFLDLTESFGLLRESKGATDVVIGVIDSGIYPIDRASFAADPSFPPPPSKFRGGCVSTSSFNASAYCNNKLVGAKFFYAGYEAKYGKINETEESKSPLDTNGHGTHTASTAAGSAVEDASFYDYAKGKAAGMAPGARIAAYKVLWKNRGTGSDILMAFEEAIADGVDVISISIGPSSKIPNFYEDTKAMGRSAPSARASSSLPLLGTPAPASPLSSTPRPTVVLGNDDFFVGTSLYAGKPLGVSKMRLVYGGDVGSKECEAGKLNAGMVAGKIVLCEPGVNGPAEKGRAVKLAGGVGAIVVSTKEYGEQALTIPHILPAAGVTFADAEKIKKYMKTNAQPFATIRFHGTVVGSKPSSPRTASFSSRGPNLLAPEILKPDITAPGVDILAAWTGESSPSGLKSDTRRVSYNIISGTSMACPHVSGIAAMLRQVWPDWSPAAIKSALMTTASDSDSDGLDIKDMATGEISNPFAYGAGRVAPNNALEPGLVYDAEADDYDTFLCALGYSAKQIALFTRDGSVTNCSTRTSSVGDHNYPAFSVLFKSDKDVVTQRRVVRNVGNNLGGTYTPRVTSPPGVHVTVKPRELKFSGAAQEAREYEVTFRARRTESVTKKHTFGSIVWTEGLHRVASPIAVTWPDSPVAVM >Et_4B_038337.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28273262:28276367:1 gene:Et_4B_038337 transcript:Et_4B_038337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESDAVWTVVCAVQANAASSVAVSEDCTARFQELRTARAHRFVVFKVDDSLQQVVVDKANSASGVAVNDECMLKFGELQSKRLHRFITFKMDDKFKEIIVDQVGDRTTSYEDFTNTLPENDCRYAIYDFDFVTAEDVQKSRIFYILWSPSSAKVRSKMLYASSNQKFKSGLNGIQVELQATDASEISLDEIKDRAR >Et_1B_013877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2267236:2269178:1 gene:Et_1B_013877 transcript:Et_1B_013877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRSISAVNPAHPLLPRSQKLQLKPQLRPAAAGEISPMTTSGAPTPPRFKRSSPRKKQQLRSRRLAAEAAEAEATALVRQPIPATLVSDAPQSIGSALPREFFEVDALDLAPRLLGKLLRRDEVVLRVTEVESSLVEAYRPNDSACHGRFGITARTAPVFGPGGHAYVYLCYGLHMMLNVVADKEGVGAAVLIRACAPVSGLKTIQQRRGQQTDKPILLSGPGKVGQALGLTTDWSNHPLYTPGGLEVLDGPEPEEILVGPRVGIEYALPEHVTAPWRFAIAGTPWISAPKNTLRPR >Et_2B_019323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23746854:23747636:1 gene:Et_2B_019323 transcript:Et_2B_019323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKTIIMTFTNQAESFRIGVRTAPLLKHLVIVTADANAFARCEQVHPTLCYAFPEGGANFAAEQRFMAKDYLDMMWRRNRFQARVLSLGYSFVFTDVDIVWLRNPLLRIPVAVDFAMSADSFYGDNPYDLDKRANGGFVYAKASARTVAFYESWYEARKRFPGKNEQDLFDKLKRELAAAHGVTAQFVDTAYLGGFCERRKKVMDFNKLCTFHANCLVGLKMKLEKLRGVLDQWKKFKASNTTVLTD >Et_8B_058510.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:10416288:10417244:1 gene:Et_8B_058510 transcript:Et_8B_058510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVLENYFCTNLDQSPSLVYLVTCTTEMAGDSHVVDIPPSRQLRNLMDAADSSATQLVVGCPTIIGKVSKKSRDVLPSAYSPQHVSIGPYHWTWHPNLARDDEKNEYLHAILPAASTVEVCLGELARLEDQARSCYSHTVKMSSSQFVRSLLLDGCYLLACFKNDDGSGSGAAAGANGHVPLVPATDGRDTLEDVAVMSDVFFLAENQIPFFVVDKIHQLTSGSGGAPTANPVVRYAQKWLEGRKYSVAKPAVGGACGPGNLVHLLHMHL >Et_3A_025252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28232704:28235277:-1 gene:Et_3A_025252 transcript:Et_3A_025252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAADWLQSAAVTVSGRPVLSAGEVERNLLPLVDLESEENQRLAPLRGCLLALTSHRLVFLHEPSRSARALPLASVVHAYPPHRRHTNNPLRSIFSSSSSSSHHRIRLQISLPPARSEVVAIVVTCKADVDVFFGRLLEEIRARAWEVAPAAAPTSGAPVAEGAAPAAEDIAIRMPVVGVSGILRMEQEAWESAGQNLQDAFQDLNALMSKAKEMMQLAEKMRLKLLTNSSTQSNSNDEEMGSKQDMQDWLLSVGIVSPVTKETAGALYHQQLSLQLADFVRIPLEKAGGMIALVDVYCLFNRARGTELISPEDLLQACSLWEKVDVPVMLRKFDSGVKVIQTKTHSDEEVFARISSLAQKPDALQKGISPSDAAFTLGIAPALAKEHLQNAENKGLLCRDDSPDGLRFFINLFNEIDPQNIYLQKPYGLYHDWNSVAMASH >Et_2A_014724.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:10037753:10037953:-1 gene:Et_2A_014724 transcript:Et_2A_014724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVALYDEGNPIMEWLSNSMSGSTPTLDEYDDDDEDWTSPGSFLIEELEMEEEEVVAKRALRKER >Et_6B_049101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17835524:17838138:-1 gene:Et_6B_049101 transcript:Et_6B_049101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLAVLDVCAATNSCCGHGNGVLQVVLALVLAAALGSVRSDELRLRVQAPPGSRPHSVTITEFGAVGDGKTLNTVPFQNAVFYARSFADKGGAQLYVPKGRWLTGSFNLTSHLTLYLEKGAVIIGTKDSSQWPIVEPLPSYGQGLDLPGPRHRSLINGYNLTDVVITGNNGVIDGQGSVWWDWVRSHELNHSRPHLVEFLHSEEIVVSNLTFLNSPAWSIHPVYCSNVKVHNVTIHTALDAPLTDGIVPDSCSNVCIEDSTISVSHEAIALKSGWDKYGISFGRPTSDIHISRVNLQSSSGAALAFGSEMSGGISDIHANHLHIHDSYKGISFKTSPGRGGYIKEVIISDVQMHDVHVGIEFTGNCSTHPDGHFDPSELPKIEHITLKNVVGTNLSIAGVLSGIDNDPFTAICLSNLNFSMADSAPSSSWSCSNVSGYSEAVFPEPCSELRNSSSSSSICFSLASYSALAVA >Et_8B_058850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:997967:1000426:1 gene:Et_8B_058850 transcript:Et_8B_058850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGQGKGPGYPQYAASYGGGGVAADEERRWWPWLVPAVLVTCIAVFVAEMFVNDCPRHGSVLGGNASCIAAGFLRRFAFQPLRENPLLGPSSATLDKMGALNWAKVVHGHQGWRLISCIWLHAGLVHLVVNMLSLLFIGIRLEQQFGFVRIGVIYLVSGFGGSVMSALFLRSTYISVGASGALFGLLGSMLSELFMNWTIYANKAAAIITLLFIIAINLAIGILPHADNFAHIGGFASGFLLGFVLLARPQFGWMERHELPQTNQPPKYKLYQYVLWVAALALLIVGFVIILVMLFKGKNGNDSCHWCQYLNCVPTSRWKCNT >Et_3A_025435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29969275:29969942:1 gene:Et_3A_025435 transcript:Et_3A_025435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALPVSAIRNIQHKGGGANTTAKALGFGVFAAFTNAYGEYGEAMRAAKQRDAVLDALQWITDFLVTAHPSDDVLYIQVLDLIHDSVHLSPSIMRIWMLNRERHGKFRWVTPKQTTSAGRERPETMVEKRPRAKITTKSPGSDVAAASLVYTHQRDVLFDPPVDHAERLFAFADKYRGAYTQTFPELSAYYNSTSSCGRRAGCITPPATTAT >Et_6A_046894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2180043:2189095:-1 gene:Et_6A_046894 transcript:Et_6A_046894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLSFSGCRGNLNARSLRLHILTEAFQYGPFNRSVQRRWKKPVDLARTRLEGRTRDHRLDKLMVQLRNLRLALALHEVISQQRNGYASFQLLSNWRYEIGLNIEIGIYMHPVKKNPCCKITQKMADLIAEDDAVNRENDTDIVQRLKKLLMFSRNGTLNVHALWLIRRELGLPDDYRSSILPNHQRDLSLDSPDTLSLVSWDEELAVAKVEEWREKEYTEKWLAESETKYAFPINFPTGFKIEKGFREKLKNWQRLPYTKPYEKDGLHPIHNIERLEKRVVGILHEFLSLTVEKMIPLERFSHFRRVFTMEVNLRELLLKHPGIFYISTKGCLIESNPVYNVRRKMLDLILSG >Et_8A_056629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1431085:1432576:1 gene:Et_8A_056629 transcript:Et_8A_056629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRRIAGRGKAKPLAAIQNDKDESIIFFRELYKREKDRDVNLLEPMYSVEFDAIQGGHVRKVPSGKRDFLIPLDEKHDYDWLKSTPAGPLFPSLEMEATSSMQHQKEPPIPPREVKPSASKVSGKPEATKTSARPASPKEKFSSKKTFVKGAPTITKVENHSQTVAKRSSHKVPMNGQQKATAAAVSAPRSSGATKKHSDRCYASQIGSTSADKGVTEQDFLFKTPKNLITTGSIFRRHIPSPSAEKARTKDPGLGAGVKKENGKARRQSCPPAATRGTQEQQFEGRQNVLPPTGRSMFAASKGTRTTYRNKEQRPELGTQAKK >Et_10A_000229.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18818963:18819028:-1 gene:Et_10A_000229 transcript:Et_10A_000229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGCPLSTLRSLQKLRHGRA >Et_5B_045079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9235474:9240050:-1 gene:Et_5B_045079 transcript:Et_5B_045079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIVGPLVGKLQELALNETKALVAVNGDIRSLRERLMWMQAFLRHADPRRRDTSNELIRVWIKQTRDAAFDAEDAIDHYYLKVDLSRYPGWTQSIIKFLAGFTTQVSIRHELSRKIAEINIRLEDIINNKEKYKIDDAASSSAIVQWKPSTNISSAAINLDDVYIPVVKRKKEEDLEKAFHVTQKGQVVIFVKGESGVGKTTLVRQVYEKSTTKAHFRQQVWASFPPHLSSSNIIQIIYQKLQRKKTLSKKKDEDVEMSLKRMINGENFLLVIDGEVSNTDLRAILAALQDEKDSKLPEEKDSKAEVNLTEEKDSKDEVNLTEEKGSKVVVICIMDGSQSIGNITKHHIKLRRFDKPATTMDLFTKMMQKGEKDEDQILTTEIPLDNIKIDDILVENKLVIEETDKKLGLADVIHYITRGLPLAIVLLSGLVKTKEYPNEWKAVFKHLMSKQSKRLDSILTMCFDDLPHDLKSCFLYFAALPVNTLIETRKLLCMWMAEGFLTQKDGRTMERVGRIYLKELIARNLVKLVKNDDTNGNDEFVTVHHKVHEFLQVEAQEANFVDIYNGDSNHSFTTARRLSLQNYTDKLAPLKNSLPKLRSILSNFQKEEESDSEGEYDEDEYEYGDEDEDEDEDEDEDEDGDEENDNIEEDRLRRVGDQEYEDVKEEEQKNREDEDGADETIEDEGEQDNEQECSDEEEKKNEEGMQGSSDEKEKDIVNPTIMPWSGLSRCWGQGVTTQDNNKNNEEGVRHASHEEEKDIVKPTIMGWSGFSRCLGKGVITKDNNKSYIRRMLHYSQFLRVINLQGIDIGKDLPATIKNVAHLQYLGVTACLLEHIPSTVGKLQNLQTLDVRNTSVTQLPIEFWKIRTLRHVFGDCLILPKRVGDLKNLQTLETIQPDENNGWDSKTFEKMKNLRTLRIWDFSDDDSANANALPVVMEGSNVLQYLETMELYSYAYSIPLKVFTSNQRRLSSLTLDGKLDILPKEFKFRVPNLTFLWLENTMVTQDFIDKLSKLPLTHLILDTKSYVDDQNQIVFRDGGFRSLTKLKLSDLADLTKVEITKFALQELKNLEIVWYPKELKILVHGEHEFVKKIQDEDLFNHITIASKKTGQVLTSRSMKLVENKS >Et_4B_037996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25325299:25327298:-1 gene:Et_4B_037996 transcript:Et_4B_037996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNYQQFRHIGAPGWQLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGNTPHCCKRDPTIVDLLPGTPYNMQIANCCKAGVINTFNQDPQNAAASFQISVGLAGTTNKTVKVPKNFTLKTPGPGYTCGRAIVGRPTKFFTQDGRRATQALMTWNVTCTYSQFLAQKTPSCCVSLSSFYNDTIVNCPTCSCGCRNPNGTNCMNEDSPMLQSAIDGPGKWTGQPLVQCTSHMCPIRIHWHVKLNYKEYWRVKITITNFNFRMNYTQWNLVAQHPNFDNITQLFSFNYKPLTPYGGGINDTAMFWGVKFYNDLLMQAGKLGNVQSEILLRKDSRTFTFDKGWAFPRRVYFNGDNCVMPPPDAYPWLPNASPLTKQPLTFSFLVFWVVLATLLAYA >Et_3A_023963.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:15152301:15201199:-1 gene:Et_3A_023963 transcript:Et_3A_023963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKEMAPSWLELLLVTQFFSTCTNHLRSSRNECNLFCKSRHHSTHRVIQIRRSSYHDVVRVSEVEDIIDICNVQTYVINGAKVVFLNERRQVRGGGASIGKALPSPPHKCETCCRALLDEFRFCSLGCNLRGMRKDTEMPILCDNGPSDQAETEDVNGSGNTISNAKSKKENSSDNNEEEPPAKKVARRHQREFLSVHHSSESKLMSTIKGGNEVEKSWCMDKIVYIGQYCGKPLEHGYHCGSYTVPALGQKKMAPSWLELLLVTQFFSTRTNHLRLSRNECNLFCIDSEVQPIAFCYYCKSRHHSTHCLIQIRSSYHDVVRVSKVEDILDIRNVHTYVINGTKVVFLNERPQVRDCGVSFGKALSSSSHKCGTCFRALLDEFRFCSLGCNISRYAFFSSNFQFHHCLYILFTLHIWNSFVLQLRAMRKDIRRSSYHDVMRVLEVEDILDISNVQTYVINGAKALSSSSHKCETCFRALLDEFRFCSLGCNFRAMRKDVELPNIRKETSRDRRDCCWRLGNRRGRAKREKKEGREKRKERSGGSYEGDGVHVDPLAVIRDQVSKPSSVDDVGRRWRRRQSNQNGENDLAGSWLEIIERLKDQVPYKNPKSKTGVSEYRRIVSRRIRYEYGGLRD >Et_3A_024914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25298193:25305391:1 gene:Et_3A_024914 transcript:Et_3A_024914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAASSSSSHQRWGGSAGTTPRSLSTGSSPRSSDDGEELVEVTLDLQDDDTIVLRSELKAEAMSIARQFSQDLTKRFGRTHSRADGQQPQTGIESALAARAARRQRAQLDRTRSGAHKALRGLRFISTNKANNAWMEVQANFDRLACDGFLARADFAECIGMTESKEFALELFDTLSRRLRMQTDKINKEELREIWQQITDNSFDSRLQIFFDMVDKNADGRIGEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEGLGYIELWQLETLLLQKDTYVNYSQALSYTSQALSQNLAGLRKKSPIRKLSTSLTYYLEDNWKRLWVLALWIGIMAGLFTWKFMQYRNRYVFNVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRNTRAARALPFDDNINFHKTIAAAIVVGIILHAGNHLVCDFPRLIRSSNEMYAPLGQYFGETKPTYFTLVKGVEGITGVIMVVCMIIAFTLATRWFRRSLVKLPKPFDKLTGFNAFWYSHHLFIIVYIALIVHGECLYLIHVWYRKTTWMYLAVPVCLYLGERVLRFFRSGSYSVRLLKVAIYPGNVLTLQMSRPLNFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFAAACEPPVGGKSGLLRADETTKKTLPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKMEEEEEASTDLYPPVGRNKPHIDLNTLMTITSKPKRVLRTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNWKKVLSKIASKHPYAKIGVFYCGAPVLAQELNKLCHEFNGKSTTKFEFHKEHF >Et_9A_062357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22183518:22184663:1 gene:Et_9A_062357 transcript:Et_9A_062357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLRELKDHTDANIVIMLVGNKADLRHLRAVPTEDAKAFAEKENTFFMETSALEAMNVEDAFTEVLTNIYRVVSKKALDIGDDPAAPPKGQTINVGGKDDVSAVKKSACCSS >Et_8B_059443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1837604:1841658:-1 gene:Et_8B_059443 transcript:Et_8B_059443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRMTGKAAGAALPESSCAYLLQELKMIWDEVGQDENERERILEELEQECQEVYRRKVNSANMSRIQLHQALAESEAEFTNLLLSLGERSFPGRPEKMTGTLKEQLNAITPALQEMQMRKEARVKHFMEVQTEIQRIASEIAGHTGNEAVIVNEEDLSLKKLEEYQSELQRLKREKSDRLCKVEEYKVLIHNFAKVMGMDPSKILANVHPRLLDGPNEQQTKNISDDILKKLNMTVQHLKEEKNSRRDKLDSLVKALTNLWNILDTNMEERQPFGQIKIFATTSANSMLEPGSLTLETIQQVESEVNRLDQLKASKMKDLYLKKRAEVDETCKKSHMDLPYQTEMDTILDLIMSGDVDHDELLKTMNEYVYKAKEEAASRKDIMEKVEKWISSCDEERWLEEYSRDERRYSISRGAHKHLKRAERARIIVNKIPGMVEQLVAKTQFWEQERNKTFYYDELPLLAILKDYMLTLKEKEEEKYRQRENKKIQNKLVKRHADSVMLRPNTSFSRPSSRCLNTSPGTTSIWSSRVSTKVQQHGSENSSAEKNVHARKIRSRDMQTTLGNSRNCSIFHEDKSSASTIKQDISPI >Et_7B_054742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:428509:442733:1 gene:Et_7B_054742 transcript:Et_7B_054742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRADRKKAKSDLRPDRKQFKKHRKEVAAEQGGDGEEQPQPGSAALLAAVADDGDFPRGGRSLLSKDEVAEARAEAEADFDKEGRKGKRKRKGGDSSGFHADDDLGTLFGGATTGKLPRFANRITLKNISPNMKLWGVVVEVNQKDVVVSLPGGMRGFVRSEDVCDIALNANRKDSENSICAEVVHVGQLVPCIVLRVDDDKKEGKVNRRIWLSLRLSLLHKGLSLDVLQEGMVLAAQVKSIEDHGYILHFGVSSFSGFMQKSDKENAKVESKQLVQCVVKAIDKTRAIVHLSSDEDLVSKSIIKDLKGLSIDHLIPGMMISARVHSVLENGVMLSFLTYFSGTVDIFNLSNFFPSGSWKDDYSKNKKVNARILFVDPSTRAVGLTLNKHLLCLKMPPINVKAGDIYDNSKVLRIDKRAGLFIEIPSPTPSPGFVSIHDVSDKDVKNLERKFKEGSALRIRVLGVRHLEGVAIGTIKDSAFEGSVFTHADVKPGMLVRAKVVTVEPFGAIVQFSSGVKALCPLPHMSELEHVVKPPKKFKIGAELLFRVLGCKSKRITVTFKKSLVKSKLDVLASYADAKIGLVTHGWITKIEKHGCFVKFYNGVQGFVSRSELGLEAGTEAESVYHLGQVVKCRIVGVDPSSRKINVSFLISPNRFIQADTPKLGSIVSGVVERLTPAAVVVSVNGFSKGTIVNEHLADHHGQAALLKNLLKPGHEFNQLLVIDIEGPNLILSAKHSLINKADDIPSDILQMHAGSVVHGYICNIIEAGCFVRFLGHLTGFSPKDKAVDRLIGKLSDAFYVGQSVQSHILNVNAESARVKLSLQRSMCSSSDSSFIQGYFLLDQKISALKYSSNDWANTFGIGSLVKGEVGAIEEYGIILNFKDHPDVVGLIEHHQLSDSTIEVGSSVKGLVLDLSDGVVNLSLKPELVSSIKSRATKKKRHRAAVADLELHEEVNAIVETVKESYMILSIPEYNYAIGFAPLMDYNSQLLPHQNYDNGQRISVVVGSVPSSDPSGRLILHPKTSAKESVSSSKKAKGKSDYKVGSLVEAEIIDIKPLELLLKFGVNQGKVHITEVLEEDSDEHPFRKFKIGQMLSARIVAEAEPSGKGGKNFKWELSIRPSMLKREVEELCARKEELNHSINDIVRAYVVKMDKEWVWLTVSTTVMAHLFILDSSSEPSELKEFQQRYSIGQTMKGRVIGVNKEKRLLRLKALDNQGLLENVDTTQQSVSPTAEHTKQGDIIGGRIKKILPNVGGLIVQVGPHLHGRVHYTEIVDSWVPKPLSGFHEGQFVKCKVLAVNRSSEGSLRVDLSLRQSNLRTDSNCSRLVDDSETEAPRIENVKDICPGTEGYVKNVNPKGCFIMLSRLVDARITLSNLSDEYVENPQKDFPVGKLVHGRVLSTDPSSGKVDVSLKKRTCSKTENLDAVSYGDLHVGDIVDGQVKRVESYGLFVTIQNSELVGLCHISELSDDLVLDINSCYKAGDVVKAKILKIDETRHRISLGMKKSYFDSDMTDGTTDDGECEIIPMDISHTPHNSEVLPKSEPRPSVLPLQVSLDDSDGSDQENDKQGHENVHVTEENSKKSEKRLKEKARKQREMEISAFEERALQQDIPRTPDEFEKLVRSSPNSSFLWIKYMACLLDLADIEKARAVAERALKTISVREEEEKLNVWVAYLNLENEYGSPREDAVKKVFQRALQYCDRKKVHLALLAMYERTEQYELADELLDRMTKRFKTSCKIWLCRIQLALKQGKDVEYIKSIVNRALLCLPQRKRIKFLSQTAILEFKCGVPEEGRSRFELILREYPKRTDLWSVYLDQEIRLGDPEIVRALFERATCLTLPPKKMQFLFTKYLKYEQSQGDMEREAYVKQKAMEYVQTSLPSQSSP >Et_3B_030621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5571364:5572764:1 gene:Et_3B_030621 transcript:Et_3B_030621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMHVHRAPKLFGRERPLHAALGGRRAADIILWRDTKVSASILVGATATWFLFEVAEYHFFSLVCYASMIGMLVFFIWTNASAFFNLPVPRIPETLLSERTTRQVIQSLHRRITMMAYKLYDIACGKDLITFILTVLALYIASVIADCFSSLTLLYLVVLGTMTLPALYERYESEIDHLVARGVHDLRSHFAEMDSGVLRKIPRGTGAAAKHY >Et_9B_064639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17222111:17227169:-1 gene:Et_9B_064639 transcript:Et_9B_064639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALWRQDSGLRRFFCELLRTILTMWHLKLIAFLSFSFVFTHLPCARGADLRSDKQALLAFAASIPHGRKLNWTRTTPICTSWVGITCTRDGKRVREVRLPAIGLFGPIPGGILGKLDALEVLSLRSNRLTVSLPVDVASIPSLHSLYVQHNNLSGIIPSSLSSNLTFLDLSYNSFMGEIPLEVQNITELTALLLQNNSLSGPIPDLQLPKLRHLNLSNNNLSGPIPPSLQKFPASSFLGNAFLCGLPLEPCPGNSPSPSPISPPPQNTRNFWNKLSLGVKIAIIAGGGVALLILIIILFLCIFRRMGAESDAAASSSKGKAAAGGRAEKSKGEYSSGIQEAERNKLFFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKATLEDGTTVVVKRLKEVVAGKRDFEQQMELIGKVGQHQNVVPLRAYYYSKDEKLLVYDYVQLGSLSSALHGNKAAGRTPLDWETRVKIALGAARGMAYLHAEGGGKFIHGNIKSNNILISQELSACVMEFGLAQLMAPPHVHPRLIGYRAPEVLETKKPTQKSDVYSFGVLLLEMLTGKAPLRSPGREDYIEHLPRWVQSVVREEWTSEVFDVDLLRHPNVEDEMVQMLQVAMACVAVLPDQRPQMEEVVSRIEEIRNSYTETRTSPEDKPKEGLF >Et_2B_021545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30454482:30456730:-1 gene:Et_2B_021545 transcript:Et_2B_021545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATNSTATASLLLPSSRRRIILSPRASAMVGRRRLIGQTAAVACPLVLLPGPPTARAAEVGGLSEWERVPLPIDPGVVLLDIAFVPDDPSHGFLLGTRQTILETKDGGNTWFPRSIPSAEDEDFNYRFNSVSFQGKEGWIIGKPAILLHTSDAGDSWERIPLSAQLPGNMVYIKATGEQSAEMVTDEGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPYWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLFLSKGTGITEDFEEVPVQSRGFGILDVGYRSQDEAWAAGGSGVLLKTTNGGKTWVRDKAADNIPGNLYSVKFLDDRNGFVLGNDGVLLRYVG >Et_7B_054341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20059731:20062826:1 gene:Et_7B_054341 transcript:Et_7B_054341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAQGAGPAAAAAAAAAAADAGGDWRSHLQPEARSRIVNKIMETLKKHLPVTVPEGLSELRKIAVRFEDKIYTAATNQSDYLRKISLKMLSMESHGKANAQQNPQNVQVVQNQRPCSLAPSGSTSRTSCPSAGSLKEDLYQMNKALKAQYLEGLSDLYEISTKLPANNHKTPQETTNQQEEVKGFKSMLERSLQSLEIKKSCVQPSVEESIPVYKRQINSILNSEEWTPEKKFQQSAGQAPSTSSSGTQHAVGTLPPERSDVKRLRPSQKDDTHS >Et_4B_036093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22894541:22895308:-1 gene:Et_4B_036093 transcript:Et_4B_036093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTDGEWFRLWPVVLGRSLAMFAAAASTSIADASFTHRIRCFSLVRSRALSLALARVSLSRTGCAFANFLGGFAALAAFLALAGGFFPSTPQPLPLRSSSERAAGLSLPSTERLLEPPSSTHSDEAASSAMISWMAALDVLSSHCTVLLALSKPSMSCSAKNLRATSSDSRIRRSRIPPAVHILLCRSFLAADAASRPPLPPPCCALPPASAGFGAAGGCSIDGGSSSGESITAGAAGVASWW >Et_1B_010294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35193974:35195221:1 gene:Et_1B_010294 transcript:Et_1B_010294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSSSGAGAGHHQQEIRSITVVQQQQEEEELLAAGITLPAVVVATDDAELSPPRCEWDFRLAATVPSPALPGASDAIGSIDFDPTGRLLATGGIARKIRFYSVASLLDQDRGNLGPAACICVPAKLSSVRWRPGASGTVVGCGDYDGVVTEYDVDRGVAAWERDEHSGRRVWALDYAPAAAMAASGSDDRTAHVWDPRAPPSAGWATARAGGAVLCVEFEPSGRPQLAVGSADRRAAVYDVRALGRGPVARMDGHARAVTYVRWAGRRVVTSAADGTHRLWELSETEDASSAREVRSYSGHASARSFVGMGVWRRPGLVASGSESNHVFVYDLRWAKPIWVHPFFSSSSPPGAVAWRQGTSTYDLDAGALVAGGSDGALKMFTCQRRKEVEEAAGELDH >Et_4B_039858.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:4237086:4238318:-1 gene:Et_4B_039858 transcript:Et_4B_039858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTRCRRTMLAIVGLLLLVVVGARAAAAGAGRCTTSTPVRTYDKCIALPTQGATLAWTYDARNATLDAAFTGSFISPSGWVAWGVNPDAPAMTGARVVAAFSDPTTGALLALPFVLSPDVKLQATPLVSRPLDIPLLASSASLLSPARTVRDGASVTIAATIRLSPNRTRLHFVWNRGLYVQGYSPTIHPTDASDLASHATVDILTTATEASPTASATLQWLHGSLNALSWGLLLPVGAAVARYLRPCASTGPAWFYAHAAVQATGYTMGAAGFALGLVMGAASPGVTYKLHRGLGVAAAVAGSLQTLAVFFRPKTTNRYRKYWKSYHHLLGYGCVVVGVVNVFQGFEVMGLGATYWKLGYCLALATLVGACVALEVNAWVVFCRRQQEDKLMRREVEDVVVKDRAAAF >Et_1B_012989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4626819:4628171:1 gene:Et_1B_012989 transcript:Et_1B_012989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VPCKFFLHGACFKGDHCEFSHDCNDQPDNVCTFYQKGACSYGSHCRYEHIEASRNHPQPSTTAAARAASSSSELVSSSGRPHCRECQTVACNQVQKICKPATALSSRRPAWKVDCHKHNSPEDRNTNPSDQTVQNQTSQCPAHLPICSFAAAGTCPYGKDCSQMHGDLCTFCEKQCLHPYRPNESGAHIKLCKKNSRRLEALRKSGEIECGVCLDRVLSKPTAAERRFGLLSDCDHSFCISCIRNWRSTSPTSGMDVNTTLRACPVCRKLSYYVVPSVTWYFSKEEKQEIIEGYKAKLKSIACKYFDFGKGTCPFGSICFYQV >Et_6B_048981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16266015:16270541:-1 gene:Et_6B_048981 transcript:Et_6B_048981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTNKTDEIMVRLCPIAPKPTLMPVSPPLPPPAAIGYTGSSSEDKCGRQEDYCILPPYHLSAPDTSKKTAKEVEVEVEQDTNPAIVSDYYNRVFLMNDAYKMMVGQPVCPWLEALPGGSALRRINGEVVLKIQMFRPASQLPSVGGAFPCTATITLEHDGIKASLTMPCAVERVTGNSGNYCSIWRFNSARASIDCLA >Et_8A_057616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5155690:5156505:-1 gene:Et_8A_057616 transcript:Et_8A_057616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRIFSPSMAANQEYMIRFDGQFDDPSPSSASAEPPPPVPPFAARPITPEQEHAVIVAALLHVVSGYTTPPPEIFPAAARCGVCGMERCLGCEFFAVGDAAAVVAFDGAAAEKAAPVAAAATAGGPQRRRRKKKNKYRGVRQRPWGKWAAEIRDPRRAVRKWLGTFDTAEEAARAYDRAAVEFRGPRAKLNFPFPEQQLPAHEDDDASAAAAANEERGQQEWANGGAETGEQLWDDLQDLMKLDDGELWFPPSSTSWN >Et_1A_005692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12950864:12952141:1 gene:Et_1A_005692 transcript:Et_1A_005692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRVSAPTSRNRKPFAPPREGHRPITHSLPPQKREIFESLDSWAADNILVLLKPVEQSWQPQDYLPDAAAEGFDDEVRELRARARELPDDCLVCLVGDMVTEEALPTYQTMLNTLDGGVRDETGASPTSWAVWTRAWAAEENRHGDLMNKYLFLTGRVDMRQVEKTIQYLIGSGMDPKTESNPYMGFIYTSFQERATFISHGNTARHAKRHGDAKLAQICGTIAADEKRHENAYARVVAKLFEVDPDYTLRAFADMMRKKVAMPAHLMYDGDDDHLFARFSAVAQRIGVYTARDYADILEFLVRRWGVAELVGLSGEGRRAQEFVCSLGPRFRKLEERAAEAAKAKEPQFAPFSWIYGRQVQL >Et_4A_035634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31785074:31787940:-1 gene:Et_4A_035634 transcript:Et_4A_035634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLMPQSSTPVVAPRTPTPTGCTTEFEPITIIFKFFNLKNHYFLYLIPDENLRQLLRMAQIDLLPSFKWDLMLMAPSIWNFLDINIIFNDYNCIKLSKIMTGLCIAKIATNDPALTFAPELVTPSWSAIPQALEDVAVLFYDEDMNEIYTGNKHGFMVGALMNIAYSILG >Et_3A_024093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17278325:17281240:-1 gene:Et_3A_024093 transcript:Et_3A_024093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQAVPLASPSWPQQLHPAAVYCAGFSRALAAVGAQEMLVAGGCDLGATQRGCRWSRARELALREKASELERKVEELRRLRAEDARANEKVAGIFASHEQRWLAERKALRRQVHAVVAAARAREAKREEEAEAQRRAAEDKEESLAREAARREAAEERLREAERDAEELRERGAEHAAELRQHKAAFVELASAQRQLEADLARAARLADTAEAELRASLERRDEAAAAAAELSAEAARLRRDAEHKDKILSAMLRKSKIDMEDREMLVREVKMCKARRKQAELEAERWRKMCESSRAGRRGSSRSSARAADHPGCSDKLDIEAVVRASDTKILFVDHVEGDGKKAPAAKEVTTVECVDRYPSHVEDKPAVEEYQGLQEWFQLETEKYAAMIKHRHSAEIEAFMEQLRLKDEKLEAFRWRAVTMDVEATRLRCRVQELEAALAQKEQHGAGLEARLLDRENENTELKEQLEKIRAQALGAAEDMDDCTGDRCIPCSPVKKNERTETIEVEILSSGARHQDGTEVAKSHDAEELKLDEPVSSLGDQMVDKAFDVEATAACGVLVPVPDHAITPMEPEPYDVPARHSFRSEIEEEKEVYTDPGDAHLQRTSSTSSSQEATSSHLALVVVAPPRPPAQKAASACKTDIHALAVSYKIKRLKQQLAVLEKLAQEDAAATATAASGSEASGGSSSSSRQQPRSRYQTMMSFLSKHVKRYQSLDDKIDDLCARMEESKRSGGPRERRRGAGEQSAALGQFLEETFQLQRLMVATGQKLLETQSRIAPGLARRGDDGVDMRRLMDVAGALLRDVQRGLEVRIARIIGDLEGTLTFHGILHTTR >Et_3A_025499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30312788:30317418:1 gene:Et_3A_025499 transcript:Et_3A_025499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITRLSSSSCSSTVPQRAYTGKCPSHSAGCWKLREKLPSFRARRAAKPCASPWKGGIVPADDDDGVSLGTVKLPGNIDIPRFETLLFQWGNSLCQGANLPLPVPLKVDKIEGGIRLGFIAIDDGATQTLVYIDCVVFPAPDGSGPVFRAIRNGPMKDQEPPGEPRIMRSLLQALEKSIQIARDWTPVPILTGSVTEIDRNGAPAAAPAGDRGLCDGLLSCESPHRQIQCAN >Et_9B_065116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21315842:21319746:-1 gene:Et_9B_065116 transcript:Et_9B_065116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAGNHLRSLKHHGATRFASTSVVNQSSEPAKTKITTLPNGVKIASETSPSPSASVGLYIDCGSIYETPASSGASHLLERMAFKSTTNRSHLRLVREVQAIGGNVTASASREQMSYTYDALKSYAPEMVEVLIDSVRNPAFLDWEVKEQLQKIKSEIAEVSANPQGLLLEALHSAGYSGALAKPLMASESAVNKLDVKILEEFVAENYTAPRMVLAASGVEHDELVSIVEPLLSDLPSVKRPEEPKSMYVGGDYRCQADSLNTHITLAFEVPGGWNQEKSAMIVTVLQMLMGGGGSFSAGGPGKGMHSRLYLRILNKYEQIESFSAFNSVYNNSGLFGIYAVTTPDFSSKAVDLAAGELLEIATPGKASEDIGRQVLTYGERKPIDYFLKTVEEITLDDIYSIAKKIISSPLTMASWGEVIHVPSYESVSRKFHSNC >Et_6A_046887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2245293:2249215:1 gene:Et_6A_046887 transcript:Et_6A_046887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RNLPPSKRAPVRPSNTPPRSGSDPRTGTAAHERRDEPLPSSRPRFLPSPPSPRTEFAASASSCSRHADEGGQLQLMEPERIEEEEDCFESIDKLISQGINAGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVEKICEAAEKLLSQGFMTGSDLMIKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPIHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIEDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRVTTGGIMDSKD >Et_5B_045228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1360232:1366703:1 gene:Et_5B_045228 transcript:Et_5B_045228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHWCPRPPAPSAPLPGSRLLPGLRMQKGPARARLVVANCAGNQPRDDPSPEEEEEEEDPLDPEIAERYRRRSERLDKFTKEYRAQLRAQYGSSSQEEKVAELELTLFRDEKEKARTYVRKIVSNRCFLYKEGGRRVALQIIRTYVSSFLKLAEDVWNRKVENDSRIISFHGALSGLAAISHIMLEDALAAVNTSKESLSNYIPKQDVEALNHELQHKMKNLERKIVVASKTSDTKLLAPTLNEATDHVLGLLRSPEISLSPAASGGKRWAGAMRATA >Et_7A_051264.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16230162:16235190:-1 gene:Et_7A_051264 transcript:Et_7A_051264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAVEALPERPSEEEFCAALRNGLVLCNVLNRVNPGAVPKVVENPVVTVQTFDGPAQYAIQYFENMRNFLVAVSTMNLLTFETSDIEKAYHEWKLSGGIGIWRYGGIVKIASSNKRPASHLSRSAGSDQQMLEFVHLLSEVSLEESRVAESQHSLFQHFVLRVVRAFLLEWSEAEDAPLDDMVIETILEQACKEFTILLASHRNQVRSLLRKMMKDDNGVLSKLDLVEAISKCLKENSECLFSSLRLSRGSHDLLDNGGVLESQQKDLEKLKMSFNEMKLQVESTRADWENDLKRLESYFEAQNHNAYHKLLEENRKLYNQVQDLKGSIRVYCRVKPFPKAQSDQTSTVDHIGENGDIIFANPQKQGKDGRKIFTFNKIFGPTASQSEVFADTQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPDVTAEETWGVNYRSLNDLFEISQTRADSFKYDVKVQMIEIYNEQIRNNSHVNGLNIPDANIVPVKCAQDVLDLMKVGHRNRAVGSTALNERSSRSHSVLTVHVQGKEMISGSTLRGCLHLVDLAGSERVDKSEAIGERLTEAKHINKSLSALGDVIAALAQKSSHVPYRNSKLTQVLQDALGGQAKTLMFVHVNPETEAFNETMSTLKFAERVATIELGAARVNKEVGQVKDLKEEIAKLKLALDDKEREAAQFKDLANRTASEMRNARTRSPLTTNMSLKHEPGQESSVDTSTSEIRSTSSGKQRRFRSPLSMRELEEKTPVTSRELYLSARKFKTPPPPVRSSLSAERGSFNRSAENTGSIDCTPVSKVEVPAKALNSSSRNTPSSVLTAQNLRKFRDSEENRSKIPSVRQSMTKNRSDSTPRAQKEEQSANRNSGTKLRSETKYTRDSSEIENEFASDEPTFHFNRKAKKLPTQATRQSQNIDLRSSVREIEPLTEGRQRRNWSKPPYAERTNIPVPDIRRSASLPRGKMALV >Et_4B_039852.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:4079956:4080789:1 gene:Et_4B_039852 transcript:Et_4B_039852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRAPVPPPASPDPASSSGAVSTAFGSPLEPVAAPPPVPHDTYVVKVQKDQIYRVPPPENAYLAERYRTERAAKGSAASSSSCTPCVLRTLGALLAAAVLVAAAVAISVVVLRPGLPSFIVDGLSVSVHNAAPSPGQQRVDYDVFLTAVNPNKMSALWYRNGGGTARLLHRGAVLAKGVLGDPADGGEDATDFTVALRGPLQHGVTPRAVEKALHGASRDAVALQLTVEATVQVHIGALGFGQRRLAVDCHITAPGLRKDVHVSSQECKSTFVKN >Et_7B_054740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:405923:415253:1 gene:Et_7B_054740 transcript:Et_7B_054740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NRRDATVGPPPPSTLSSLSLAQPNRRNLNCSPIPRSSPLFSSSIWIIDSRRVELAAAAPAFGRAGQMESLAQRAALLRESLDKSKQVTDAVVSILGSFDSRLSALDSAMRPIQVRTHAVRTAHENIDRTLRSADVILTQFDRTREAEREIQKGPHENLQGFLDAVDRLRSIERFFSSNRSYRSSDGVLNHVNALLSKALVKMEDEFQKQLSQRSKPMEPDRLFDCLPSTLRPSSESQPEGGRHPSGGSENQEAAVYSPPALIEPKFVPLLAKLAQQLVQAGCQQQCSEIYSEARASALESSLKNLGVEKLSKDEVQKMPWEILESKIGNWIHFMRIAVKLLFAGERQLCDQVFECSQSLRDKCFAAITKNSLATLLSFGEAIAMSKRSPEKLFVLLDMYEIMCELQTEIDTIFVGESCSQMRDSSVSLTKCLAQTAQKTFSDFEEAVEKDATKNIHTDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFKKEDGTGSELANVTMSIMQALQNNLDAKAKQYKDPALMHIFLMNNIHYIVKSVRRSEAKDLLGDDWIQRHRRIVQQNANQYRRIAWSKVLQCLSGQGLTSSGGSGQVGSDGGNSSGASRAAVKERFRSFNILFEEIYQKQCGWSVPDTELRESLRLAVAEILLPAYRSFLKRFGPLIENSKAPGKYVRHTPEQLELLLGNLFEGKQERFGFFTSFFQSINWRDVRREMEVTAMEEEVLREKAKLLRDSIRRSQTIHREASAALTSIAGHMAAIDDAVLPAQARTNDASRVHDNVRRSLRTVGAMMSRLDLVQEAERVILNKPRKGLSAYLDAVDKLRSVEYCINLKSSYTTSNNVLKHVDELLSKATQELENDFQCFYVYKSLMEDLTYYLNPFFMIDYSKSLELECLFNSLPSLEQWLPLENISAGSINASSKESCGSLIDVNSAYTLPTLINPRYIPLLTKLFQKSVQLGCHQQFLKIYREFRGSTLELSLKCLGVEYVTTQEMQNAQAESLDAKIAQWTQFYRIVVKLLFAAERKLCDQIFEGKHTLKDNCFAGVTAKSLSTLLSFGEAVAKSQASPEKLFMLLDMYEATLELQSEVEVVFQGYACSKHRTSALNLTTCLASTIKRTFNDFKQNILKDSPNSTTADAAVHPLTSYVINYTKFLFDYQSSLKKIFEEFATGSGTNSDLVCQIMDVVHALETNLGSKSKQYKDHCLRHLFLMNNIHYIVRCIGSSEVNDLFGDDWVQKRRRIVQQHATQFRRVSWGKALEFLSAQGLTSSLGLTRERNQRSGPIIGYYSSITSRSVIKERPLIGNSDSSSKYIKYTPEALEDALVNLFAKKRS >Et_5B_044970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7908590:7912194:1 gene:Et_5B_044970 transcript:Et_5B_044970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRAPELWPAGWFHILLPLHRPRRQSWQCSFDMSSVHCTSPYTVCLVSVSQLWPVRYLAILLVMVSVSLIISFETR >Et_1B_011296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:236483:236977:-1 gene:Et_1B_011296 transcript:Et_1B_011296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SEEECKHIISSLPWYSELSECARRCLAIKPRMGDALLFWSMKPDATLDPLSLHGCHVIRGNNWVSTKWISQGPPFSLAFYSVFVHNQPSYVLRKKI >Et_4A_031974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8531729:8532213:-1 gene:Et_4A_031974 transcript:Et_4A_031974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GELGDGLGALGDGVLSELAGEDEAYGALDLAGGDGGLLVVARELGRLAGELLEDRLEDVDLVSLHALLGLLLALLVADLLAGGLGRRQPLLSLRLLPGRGLLRLLGRGLLLRGLLRRRLLLCLGRH >Et_5A_040398.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:19373440:19373736:1 gene:Et_5A_040398 transcript:Et_5A_040398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSKKTRWSSAGASRLAALPAPAIGGGGGRDSSYLTGATVGAGIPRASSPTACTNCASPAASVTTWFHVSPMTKPPQANSVTCTSSSGSPRLHLSAG >Et_9B_065551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6732623:6735294:-1 gene:Et_9B_065551 transcript:Et_9B_065551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLLLLLPSPSRTFSKPISTPSIFSLPPRRLLSVSAARKVVAAGASARRDLLRCGMKRLDLVGELEIAKDKHQPSRRPNGIFWILLLNFALYVADHVFKTWQIKALYLYHASPTWYQFVTSTFCHANWNHLSSNLFFVYIFGKLVEEEEGNFALWMSYILTGAGANLISWLVLPTSSVSLGASGAVFGLFTISVLVKMSWDWRKIIEVLILGQFVVDKVMEAARATTIAGQSFQVNNIAHVSGALIGAALVFLVSRIPFSSNDDSPNTTKDRK >Et_8B_058771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3251362:3251728:1 gene:Et_8B_058771 transcript:Et_8B_058771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKWELYGKICIYGAVQRILLSVRRRGNMEIKSGRKAKILRMALVEPKDGCCSYKTRSKLLRL >Et_5B_043094.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:23730901:23733980:1 gene:Et_5B_043094 transcript:Et_5B_043094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMALQASTPSAFRAVPANAQASCRRQVRIVDTLVLYISPEPIDRTADAWFYMMIYVQFHQVRAASSGGGSSDGKNKVMIRKEPGSGAWKIDYSSEKPATPLLDTVNYPIHMKNLSTPELEQLAAELRAEIVHTVSKTGGHLSSSLGVVELAVALHHVFDTPEDKIIWDVGHQAYPHKILTGRRSRMHTIRQTSGLAGFPKRDESAHDAFGAGHSSTSISAALGMAVARDLLGRKNHVVSVIGDGAMTAGQAYEAMNNSGYLDANMIVVLNDNKQVSLPTATLDGPSKPVGALSRALTRLQSSTKFRRLREAAKTMTKQMIGGQVHDVAAKVDEYARGMMSASGSSLFEELGLYYIGPVDGHNVEDLVTIFEKVKAMPAPGPVLIHIVTEKGKGYPPAEAAADRMHGVVSFDPTTGKQNKKPAKTLSYTQYFAESLIREAEADDKVVAIHAAMGGGTGLNYFHKRFPERCFDVGIAEQHAVTFAAGLAAEGLKPFCAIYSSFLQRGYDQVVHDVDLQRLPVRFALDRAGLVGADGPTHCGAFDVAYMACLPNMVVMAPADEAELMHMVATAAAIDDRPSCFRFPRGNGVGAVLPLDNKGVPLEVGKGRVLVGGTRVALLGYGTMVQACLKAAQALKEHDVYVTVADARFCKPLDTELIRQLAAEHEVIITAEEGSIGGFGSHVAHYLSLTGLLDGPLKVN >Et_7B_053267.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:18677530:18677790:1 gene:Et_7B_053267 transcript:Et_7B_053267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKIIVVVVIGIMAISSCAFVQDKTEWDRMYKDLHGKEIRKLINTDGRTAPSGDKSIDHVCPLGSYPCRVMIQSSQGTAEGVGGN >Et_4B_038981.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6615219:6619625:1 gene:Et_4B_038981 transcript:Et_4B_038981.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRPPHGPAQSPWPRRGRLGGATAPRCVGVAAEADASERVASPPRVSFPILVNGCTGKMGVAVAEAAASRGLHLVPVSFSSRENLDRTIQIGHTDVRIYGPSAREDVLSSVIDEFPDVIVVDYTTPDSVNLNADLYCKFGLPFVMGTTGGDKQLLYKSVQDSKNYALISPQMGKQVVAFITMMRIMAEQFPGSFSSYNLEVLESHQAGKLDTSGTAKDVITCFEKLGVPYDMTRIVKIRNPEQQLEMVGVPEEYIDGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGTVDAAIFLHKKVQSKDSKRIYDMVDVLCEGNMR >Et_2B_021932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:752253:753262:-1 gene:Et_2B_021932 transcript:Et_2B_021932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLVGSERRVLISGGYGLPPAPPPESLLCRLDQIDLRLRQLEEQRRPAAVAHADDCDVAVRRAPAAQHHHTKSLPSALQLRGTLMDRLNMLESRIRQLSCELDLDPGNNKVAAMGLGTSSSSVAPPAEDRAWSESAPVMEPCRDMVPPMMRAPDKSAAPAADGTWSAVEILQRGTRQLNRNKPNPSNKVKSLKEAKCACQKEKKKAERKRTGRRWFGVGC >Et_9A_060986.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:24079753:24080046:-1 gene:Et_9A_060986 transcript:Et_9A_060986.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLSGMAAAGRAPEPRAVPAPRPRQRRPRRPASLARTAPATVAREQEHLQPQQGARPLHLQVRRRQLRQELRRRPLAAALRRRPRAVAAGEPAAGW >Et_7A_051859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25631594:25633856:-1 gene:Et_7A_051859 transcript:Et_7A_051859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNVCVYRASNLTKAISSVVLAIHTPINQSPLYCFPAMPSSPQNGTFNPRLRSYPPASSNITTQINIPDDYTIIFGVKIDMDPLHNFSLAENRYKVRIHYDYITSLISIYVEGDGISEPVNDTTTIHTGTRMGVMSMSVGHFSTMGQQVILENWSYKIDDVMGQDNFSSPPQQGSSLPAILSSVFGSAAAIAIIATILYFYFNSKYRRWKNEQDKLAKIMQGLPGVPTKIDFTAIKKATKNFHEIMKLGKGGFGAVYRCTLPPAASRTGQGMEVAVKKFLQEVEDRRYDDFLAEVSIINRLRHKNIVPLVGWSYNKGVPLLVYEFMTNGSLDQHLFQGGGNRHHIRDTAIFLWDTRYCIVRDIATGLHYVHHEHEPMVLHRDIKSSNIMLDSTFRARLGDFGIACTVAANKSSVTGIAGTFGYIAPDYAMSHKATRQTDIYAFGVLVLEVVTGKKNGDIATDDDHITEWVWRLHREGKLLEAVDSVLITGDNEQDAIIDEVKRLLLLGLACTNPNPSIRPSMVEVVQIITKLAPPPEVPLERPTFVWPPEDWRTRNSVYSTAMNNLDKLASTTSQMVQFSQEFSSSASTGHRTFVYSRAYRDPNREQIVSHGKETKERPNC >Et_7B_054367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20441347:20449123:1 gene:Et_7B_054367 transcript:Et_7B_054367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILVSVSTGVMSSLLRKLATIMSDEYRLLKDVRNDIKFLNDELEAMHAFLLEMADVEEPDELAKLRVRVVRELSYDIEDNIDRFMILVDREPCSKNHGFKKLIDKSKNLINEMKNRYQIAKEVKSIKNQVKLVGERYARYKIDERLSMPRNVLIDPRILAGFKDTSELVGIDGPREELVKLLKVNVEGESSCQQKVVSIVGFGGLGKTTLAKQVYETLQASFDCYAFVSISRNPDMANILNSILSQIRNKESSSIGSVDQHLTISKIREFLRDKRYFIIVDDIWDVPTWRILECAFVKNNYGSTIMTTTRINGVAESCCSSNENLIYRIKPLNIADSKKLFFKRIFGCEERCPSNLREASEEILKRCGGLPLAISAISTLLATRQTEDQWNIVRRSICFSFDKNSEVDGMRRILSLSYFELPHCLRSCLLYLILFLEDSVIERESLIHRWIAEGFIHDEDGQDLVQLGETCFHELVNRSLIQPVDIGFDGKARACRVHDTIHDFLTHKSSEDNFCYFVNNPSSTTRLPVAKVRRLSIMVNDEGDLNISPKLNASHIRTLCVFRAKRLPSLLDFSALRVLILSGSHELGNQDVINIGSLFQLRYLDISNTGISELPCEIGGLQYLGLLNTTSSCLYKLPETCTLLKRLARLFVFYGTKLPDGIGNMENLQELEWINVFQYSLNFLQELGELTNLRKLSIIWRTADIEGDKASYREKLVASLCKLDACSLHTLRMHFYFREDEDLAGDPFCPALNSIRQIFLHSPSSCRVSTWLVTLVNLEYLDITVKGVGRRDLELIGNIVSLLEFRISLTGSSHQEPIIISKGFQQLQKFRFDSLSPGKGLIIEAGAMPSLKSLGLRIQLDPFNSSVVFENTFESTVDDFEFGIQHLSCLASFSLEVNCDGMWPAYVEAVLGAFKSMVETHPNHPTLDITSTFNDTDVDTATNNRIVQVKVPLLHRTFQVEVKSLSRHPAS >Et_7B_053886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13004917:13010353:1 gene:Et_7B_053886 transcript:Et_7B_053886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARLLLLAAMVIAASCDEIGALAAATTPPRLKGLRVHLTHVDAHGNYSRLQLLQRAARRSRHRMSRLVARATGAPSASKAVAPGGSLQVPVHAGNGEFLMDLAIGTPALSYSAIVDTGSDLVWTQCKPCVECFNQSTPVFDPSSSSTYAAVPCSSSLCSDLPTSSCVSSSGSSKCGYTYTYGDASSTQGVLATETFTLAKETLPGVAFGCGDTNEGDGFSQGAGLVGLGRGPLSLVSQLGLDKFSYCLTSLDDAGGKSPLLLGSVADIAESAATSPVQSTPLVKNPSQPSFYYVTLTGLTVGATRITLPSSAFAIQDDGTGGVIVDSGTSITYLEVQGYRALKKAFVAQMSLPTTDGSEIGLDLCFRAPAKGVDQVEVPKLVFHFDGGADLDLPAENYMVLDSGSGALCLTVMASRGLSIIGNFQQQNFQFVYDVANEKLSFALVQCAKFRRASSVPRHPTPAAMSAILLLLFLALPVVPARCSSPPPLPPPPSWPFQLELARVDALPGANLTDHELLRRAVQRSLERAGGVAAGGADGGRKASVEAPLGAGGGEYLVKLGVGTPQHFVSAAIDTATDLVWMQCQPCVSCYRQFDPVFNPRLSSSFAVVPCGSDTCDQLDEHRCRDADDDNACQYTYKYSGNAVTKGTLAMDKLAIGGNVFHAVVFGCSDSSAGGPPPQASGLLGLGRGPLSLVSQLSVRRFMYCLPPPLSRTPGRLVLGVDADAVRNASDRVAVTMASNPRYPSYYYLNLDGLAVGDRTPRRLLTTNRSNATSKTATVAAPGGDGDRAHAHGMIVDIASTITFLEASLYEELVDDLEEEIRLPRGAASRLGLDLCFILPEGVGMDRVYVPSVSLSFDGRWLELERDRLFVEDRTGRLMCLMIAKTNGVSILGNFQQQNMQVLYNLRRGKITFAKASCDSLS >Et_10B_004364.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4877272:4878000:-1 gene:Et_10B_004364 transcript:Et_10B_004364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILSQVNHRNIVKLYGCCLEVEVPILVYQFISNGTLYQLLHGDAVPSFAVRVKIAHEAAEALAYLHSTASPPIIHGHVKSPNILLDGDYTAKVSDFGASVLAPTDEAHLVTLVQGTCGYLDPEYMQTCRLTDRSDVYSFGVVLLELLTRRKALALAACFLAAARDGRLDALVDERIKGEVSGEVHETVAALAKRCLEMSGERRPSMREVAEEIDRVRKLMCKRAACLRDVAHTSILVSDDR >Et_6B_048566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10950249:10952810:1 gene:Et_6B_048566 transcript:Et_6B_048566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLWTILTHLHSLAGPTVMLLYPLYASVQAMESPSKLDDEQWLAYWILYSFITLMEMVLESLIYWIPIWYELKLLFIAWLVLPNFRGAAFIYDKFVREQLRKHGLSAGGAKKSDKSSSPSSKDKDKPKSKFLALVTPKKTYGHSALPLVMECSSKLDGEQWLAYWILYSFIALMEMVLQSLICWIPIWYDLKLMFMAWLVLPNFRGAEFIYDKFVRGQVMKHNGVVAANTINNDMSKLPSPSLREKKTKRKLLSFVVLKKLSF >Et_1B_010116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24601761:24602243:1 gene:Et_1B_010116 transcript:Et_1B_010116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSGGKKLPFFGSSSSFSSKRSRSARRLPALPKPPAAASGGLVSGKVGKKKAGARTWMRLDRWGASEIVELDKASIIRRAGLPPRDLRILGPVFSHSSNILGERTPPFQYSVAFVGERNKKAQALVS >Et_6B_048512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:10038725:10039776:1 gene:Et_6B_048512 transcript:Et_6B_048512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAMTKRKVDAISSAISSGHERSQNWHEDQTKYMLEWHIDYLKKQHTGFKFRKPHHMLCADALNKKFAMGVTVGQYIATALSKGGNSFDNTRCLVIISESEKSTLCDRARRLLNKPIKFNEMQELFTGSSADGSFAADQNTCMGGSDSSDSDDSRDLIDLSCYTQPEDPLGEDSDTLLTPTRHANVDNNSSSTSRGNSKRPKGKKTPPTEKPQNNSRLAESIEEITATMKSLREALAATAPPQMPQVIDPHATLWQKLETIPMTSDQRVLVGEHLSSKENKGKRSWLCIASAETLHAWVFKFLCEKEGINL >Et_1B_012307.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30688576:30690321:-1 gene:Et_1B_012307 transcript:Et_1B_012307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATTSLLSTLLQLPLAPFSGKTSPPSVVHVARRAPTAVVAAKGYNVQILVDENEGEESIFRRFRREVMRAGVLQEIKRRRRYESKKDEKKRKQREAGRRNRRRRMMDEPRFPEEDAGAARGRDEDDDNWEITGILNLEALHTQAKPSGYTDQRQLPVQK >Et_1A_007286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33000481:33000770:-1 gene:Et_1A_007286 transcript:Et_1A_007286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVFDPKLLLLNGPTVEASPCQTSGLYIIDEDAEGYVFSSTEGNDWHLFEHGAVNGLLRQFNHGACRRPGRQDDESMFLGL >Et_3A_025265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28338089:28354584:-1 gene:Et_3A_025265 transcript:Et_3A_025265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEDEKLLKEAKKLPWDERLQHKNWKVRNDANIDLAALCDSITDPKDARLREFGPLFKKTVADSNAPVQEKALDALLAFQRAADADSSRYAKEVCDAIVAKCLTGRPKTVEKAQAAFLLWVELEAAEVFLEAMEKAIKNKVAKAVVPAIDVMFQALSEFGAKVVPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKDPVKSILFEKMRDTMKKELEAELANVSGIAKPTRKIRSEQEKELEEEAEPETTGASTSEEAVQEAPVEIDEYELVDPVDILTPLEKSGFWDGVKANKWSERRDAVAELTKLASTKKIAPGDFHEVSRTLKKLITDVNLAVSVEATQAIGNLARGLRTHFSGNARMLLPVLLEKLKEKKPTMTEALSQTLQAMHKSGCFSLMDVIEDVKVAVKNKVPLVRSLTLNWVAFCIETSNKPTVLKLHKDYVPICMECLNDGTPEVRDASFSVLTAIAKMVGMKPLERSLEKLDDVRKKKLSDMIGSATDTVLSSGTVSTSTSGVVATSARGATDSLSMKRSAASMLSGKKPVSAPAATKKSGPAKSSGAKKTDGGAQSKAAAAPEVEDVEPGEMSLEEIEERLSSAVKAETITQLKSTVWKERLEAIGNLKQEVETLSELDKTAELLIRLLCAVPGWNEKNVQVQQQVIEVITYIVSTVKKFPKKCVVLCLLGISERVADIKTRAHAMKCLTAFCEAVGPGFVFDRLYKIMKEHKNPKVLSEGVLWMVSAVEDFGISTLKLKDMIDFCKDIGLQSSAAATRNATIKLIGMLHKFVGPALLSALDAEYEKNPFEGAAAAPKRTVRVLDAASSISAGSSDGLPREDISAKITPALLKNLGSPDWKVRLESIESVNKIVEEAHKRIQPTGTVELFTALRGRLYDSNKNLVMSTLSAIGSLASAMGPSVEKSSKGILADVLKCLGDNKKHMRECTLTALDSWVAAAQLDKMVPYVIVTLGDQKTGSEGRKDLFDWLSKHVPKMSDPAEALPLMKPSASSLMDKSSEVRKAAEAFMNEVLRICGQEVVAKNLKDLPSPTLAIVAERLKLTNVHEGFSDSVKMVTTNISLPSKPGLKSSKHGPNDRGTNLGKAGSQRGLPARASVSLISTQDPAQSQALFNIKDSNKEERERRILVRKFKFEEPRREQIDELKIDLFKHFREDVSLRLWNSDFKRQIDGIELLQKALPSNGKEVIELLDVLLRWFVLRFCESNTTCLLKVLDFLPELFDLLKDQSYMLTEAEAAIFFPCLVEKCGHNIEKVRKEMGELIKQMVTVYSLPKLLPYILEGLRSKNNRTRIECVDIIGYFIDHHGTEVSGLMKNLPSVAALTAERDGEIRKAALNTLATAYKNLEMDKRREGRPGDARAALRRSVRENGSDIAEQSGEVVSRSVTGSMISRDNFGYTDAHMERHLVPRQMAAATPGPADWREALDIVALGLPDQSVEGMKVICHELTQATDPESTMLEELIKEADRLVSCLAVMVPKTFNFSLSGASSRSCKYVLNTLMQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPSRWPSPTASESLAVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHVYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGPMGQTHWGDAASNSPNPSTHSADAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFSQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIASIPSPKFAPSPVHTKSIDGRTDSNDDPSTETLPARGQAEPDYRLHSTDQQTDRYQTSGTLDALRERMKSIQAAAVGHFDGTQARPLASMNGNILHGSTRLDGEPQHQSNIPPMDERALSGLQARMERLKSGSMEPL >Et_8B_059942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4225642:4227166:-1 gene:Et_8B_059942 transcript:Et_8B_059942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVSSEGLFGCWSMAASGGWSWGHGHGGAMEIEEGLVDSAAAASTWDAALMQGAVEEPAGSSAAPPPAHGSENAAAAGAELAREGGAAAAPMPAARRKRSRARIVKNKEEVESQRMTHIAVERNRRKQMNEYLAALRSLMPPSYVQRGDQASIIGGAINYVKEMEQLVQSLEARKHIIARNTLQSPGATADDAAAMPPPPCFAGFFTFPQYSMSAGASPATATTATADAADDGNTNAAGDEADAAAGSRTSSVADIEVTIVESHANLKLLSRRRPRQLLRLVAGLQGHRLTVLHLNVTSAGAMALYSLSLKVEDDCRLASVNDIAAAVHRIVEAIEREEKEQQTAGELGSSETERGSSRM >Et_4B_036390.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21465910:21466056:-1 gene:Et_4B_036390 transcript:Et_4B_036390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQRSYVLEEPAGTIASVKSASEPNYRHAAYWREKLYVHCVGNFVLR >Et_1B_013996.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26968418:26969480:-1 gene:Et_1B_013996 transcript:Et_1B_013996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCDSASADVRGDEGRILLSIDRYWDRKEEFPTGNCMESPPIKVGGCSWRFCFYPNGASSSSSDYISIYLALDGRVARPVRARATFTLFDRAGKPVPGHSVDTGVREYSRVGFGYGCDEFARKDFLEASESERIPDGYVLIMCDVSVVDRPPAPRFCLHDRFGLRRDVTLLVGGETFTAHRHVLATRSPAFVAEIFGGDATTGDFLRVDGMSAQVFEAFLHFVYGDSLPEMSEQEEPEMAENLLAAADRFDMQELKLICEEILIGYIDENTAARMLELSLQHRCQTLNEACIEFLENHPALDAVMATDDGLVEHVAKSCPALLKDLCAGWLEDDESFQDDLVMGV >Et_8B_060605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3124055:3126208:1 gene:Et_8B_060605 transcript:Et_8B_060605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSRLRAASRVRGGGEGAGRWTTPGHEERPKGYLFNRPPPPPGESRKWEDWELPCYITSFLTIVILGVGLNAKPDLTLETWAHEKALERLQQQELAAAGAGDTDAETNSKMLNVKFTHVDRPVYLKCKF >Et_4B_039597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23465124:23466615:-1 gene:Et_4B_039597 transcript:Et_4B_039597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCCRGEEETYSAPPVGYPAAPPPRAPAQPRGPNAPRHGAGSSAAKVLPIDVPAISLSELNRLTGNFGDRALVGEGSYGRVYRATLSSGETVAVKMFDNGSTSGQSESEFCEQLSAASRLRCDHFTQLLGYCLELNNRIAVYQYASLGSLYDILHGKKGVHGAEPSGPALTWAQRARIALGAARGLEYLHEKARPPVVHRDVRSSNVLVFDGHEAKIGDFNLTNQSPDSAARLHSTKVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNDNYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLVGARPGGDHHQ >Et_3B_030732.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6846201:6856893:-1 gene:Et_3B_030732 transcript:Et_3B_030732.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAARSKLLEGDGLAGATVDSGKGGLFSTGLGRLVAVSEGGLKRARALVGEEGEEATNNRKESFGSGICADREPSKTNVPLRGGVVRDNLSPMFQTGSGNAVSLGEGSVQKAVALLEGNNENDAGPRQPMFRTGMGRSVPVSRGSIEKARAVLEGQTVENEGDIGRVDGMEQVPLFKTGSGRAVPVNMASIKKAKAVLEGDNINEGNVEGFGGPDRSLMFQNCSKRPDLINERSAKRSKAMIMYENAEINGKIIQVGYLFLESKFDQGIKMVTSMCEGQWDIGCHLPIFQTGLGNPVAIKQSSIQKAKTVLEQDHKRSGDGDTSVCALSFQTETPKSVLMSSSLIMNDGTVTPKGNTAVQVEENDKLDDHLPLFQTGSGRLVAVSKSSIRRASAVLEPRNIAKELEDEAHLNDGCASSIFKTGSGRSILASKNSREKAHSVLEAEEGAKIENPIAESGVQRFILESGSSSHKASIHLEQEKFANKGHEDCGIPFPMFQTGSGKSVLVSERSVQKARSVLEEEGDANRDNHKLVNAEKKFAIFASPLKTSSAKTVNISSAGVSRAATLLGLEDNTLSTQFFGHVGEKLGTKITVERESPECRLDVARENAISLDPRKGFCQSENRIFMDAHEQMRFSKSTASDSGEHSIRFSTAGGQSMAISSDALQRAKSLLGESEDPQNDSIGCSLASASKEKLPHSTIYPKDGPDLSHLSKANGYASPDIPAIKRNANRLHVGREYHPVNEIPKIPKPPSRSLTEDDNASDVKYNARRHHMSAGALVDITNCMDPHFGSTDHFANGKRILGGRNSISPFKRPRSSRWVVNHYKWIVWKLASLERCYPTRANGKFLTVANVFEELKYRYDREVNHGHRSALKKILEGNASPSLMMVLCISAIYSTPDPNNKKLEVDKVDADEDSNQNNNLSAANKSAKIELTDGWYSLDASLDVALSEQLEKRKLFLGQKLRIWGASLCGWAGPVSFTEASGTVLTVHINGTYRARWNESLGFCKHIGLPLAFKCIKAGGGRVPRTLVGLTRIYPVLYRERLSDGRFIVRSEKMERKALHLYQQRVSKIAEDIMFEQQENCGSVDDDDEGAKICKMLERAAEPEAIMAGMTSEQLMHFSSYKERQKVVMQNKVVKKVEQALEVADLSSRDVTPFLKVRVVGLVSKGAASKESNKEGLITIWNPTEMQKADLVEGQIYSVTGLVPSNYCTEILHLNTRGSSTMWKPLASSQTTYFKPFFTPRKAIELSKFDEVPLSSEFDIAGVILYVGNIYLCSNQKRQWLFLTDGSKFISGHKSEDQDCLLAISISSPTTGEDSALFSDALDGNIVGFSNLVKRQKDQMRQIWVAEATETCTYTLSQEIPRKSHLKEAATSAERWASKSYPKIQELKERVLCIVGDSGLVVSGKRDRQT >Et_7B_054231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1866005:1866611:1 gene:Et_7B_054231 transcript:Et_7B_054231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLQKFRGVRQRHWGSWVSEIRHPLLKTRIWLGTYETAEDAARAYDEAARIMSGPAARTNFPSTGGGPGGGVLSPTLRAKLEKCCTASSAPVQDGANASGAAAERDRGRDDDDEANGDRGGDGEEYIEEMIKELTHYGTFEIKHPHTAACSSSIRG >Et_9A_062172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20288273:20295186:1 gene:Et_9A_062172 transcript:Et_9A_062172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAVLSDDEEDEIEVDEEDPRPSRRGRDERDDRDDDDDEEDEDEGEDEYEKDGFIVDDEDEEEEEEGEEEEQNDERRKKKKKKKRESEDFMLDEDDYMLLQDNNITGISRPKLGNKFKRLKKAGRESEMDDRSGFSDDDGTGKKRTGKERVEYSLFGGDAPVEDDIIDDEEQQADEDGEFGEEDDEMAGFIVDEEEMDEHGQFVRKKKVKRKVPRQAAGVSSSALQEAHDIFGDVDELLERRKMELEREAASSAELRGKRLEDEFEPFILAEKYMTTKDEQIKENDVPERMQLSEELTGYPPTDDGMIEEESVWIHNQLTGDGCVSFFSNEHTNKNIDQKDIASVLSMLHANKFEIPFIAMYRKDNCPSLLEEEDCYYGDMKNKEDDKEPPPPPTTRFHKLLWAVQTLDRKWLLLQKRKVALEMYYEKRFDDEKRRIDDVTRQELNMQLYNSIIMALKDAKSEKEVEDVDAKFNLHFPPGEVEEEGQFKRPKRKSLYSICHKAGLWEVANQFGRSAEQLGHHLTLTKIPEAGELDSGKDSPEEVAANFTCAMFETPQDVLRGARHMAAVEIGCEPIVKKHIRSIFINKAVVSTSPTPEGNTIIDPYHPLSGVKWLREKPLNKFVDAQWLLIQKGEEDKLLKVTIKLPDDAKKGLMSEARENYLSDCVSKSAQLWDDQRKMILDDAFLNFLLPSMEKEARSLLTVKAKNWLHMEYGQQLWKKVTVAPWKKKDADKKDVDIDLDDESELRVMACCWGPGKPATTFVMLDSSGELVDVLYAGSISNRSQGVAEQQRKKNDQQRLLKFMMDHQPHVVCVGASNYNCRQLKDDIYEVIFKIVEDHPRDVNPHMENFSIVYGDESVPRLYENSRISSDQLPGQSGIVKRAVALGRYLQNPLAMIATLCGPGKEILSWKLHALEHFLTPDEKYEVVEQVMVDATNQIGFDVNLAASHEWHFSALQFVAGLGPRKASALQKDLVREGSIFSRKELVKPLGRKVFMNASGFLRVRRSGAAAASAQIIDLLEDTRIHPESYVLAKNLAKEVYLEMMQQEAHELDDDEQEMAVEHVKGSSHIKSLDITEYKKSLPEEFRKEYTLKDIQRELYGGFSDWRTTYTEPSPDEEFWMLSGETEDTISEGRIVQVTVRNVQESKIICTFDSGLKAIVMADNYSDEGFDPESSQLHEGDVLTGKIRNVNKNRFMVYLTCKASEMRRKPFSKGDRDPYYHEEAMISQSDQDKARKQKELAKKHFKPRMIVHPHFQNLTAEEAMQFLSDKEPGEKVIRPSSRGPSFLTLTLKIYDGVYAHKEITEGGKDHKDITSLLRLGKTLTIDNETFEDLDEVIDRYVDPLVGNLKSMLSYRKFRKGLKNEVDEMLRAEKAENPMRIVYCFGISHEHPGTFILSYIRSANPHHEYVGLYPKGFRFRKRDFDNIDRLVSYFQKNIDKPPPDAGPSMRNVAAMVPMKNTAWGSGGGGTNDGWRGDGNNDRDRPFSGRSGGRFDSRNSSGGRGRGRGRGRGNFGNDDNAGGWSGNGGSSSGGWTDNIGSGGGGWGTGGSGGGGWGAAGTGGESGGGESGWGGAGSDINRGTSGGGGGWGAAAGGVDAAGGSGGGWGAAAGGSKDDSGWGSAKKAVPAQDGGSSWGSGGGW >Et_2A_015785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17948958:17953320:1 gene:Et_2A_015785 transcript:Et_2A_015785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYFNKLDELGIRFLDVMGANDIHVWKTFEEKYFKSFLCVATSENPSDRMQVLTERLPVKTIQQPSDQYFDAFGDILCREIDVEPISNGATSDSSDWYNLLGEDTLDSVLGPSVHVPLNQPSKQQTVKTAGDRVAIKKPRRKSIVRRQKWSTEEHRQFLHGIECFGRGKWKAISMYAVPSRTPAQLASHAHKYFKRIEKNEQTDKRQSYIINDAKLVNHDLNNIPRSGAEPEKVKPTESSIPPAMPTEDMNFLDDLTFLDDMNFRDDLTFLDDLTFLDDMNFLDDLVQGMPTFGQASNSATNVARQMTLNNDTSGSLQCETLSTYPTTEQGSILLDQTEGISAETRTGPSYGQILVTGNQIRNDNIALPGVFTAQTPPEVLHYGQGGYNATNLPSEQPVQSVPNDATTILMHGESSVAF >Et_5B_044626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4083732:4100779:1 gene:Et_5B_044626 transcript:Et_5B_044626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQDSISSHFSHPEHELVKRHYTGPFLCSICCEHMSGPGYGCRAGCEFGFHDSCAGHPPTLSSPAHHAHQLALVRTREDIAYRCDVCAGRCEPGCSLYRCRPCGFDMHPRCAQLHPAVRSARHVEHDLALVVGEGRCAACHRCTRRGWFYRCTVCRDLDFHVSCAAAWPGQDAAGAREEGDGHYGDGVAGSVDLEGELLRNRIVAQSHMATAISMANLGFSFTSKYCFYPPLTSCCGQSLLEFLTSPSLQCFLSKIWAVLGTRASQDTISHFSHPGHDLVKCYYIGSFRCDMCCEDVVGAAFGCAAGCRDFAIHESCAAHPQTLSSPALHAHQLVLLKTRHDAAIACDVCKGGCAPGSFLYRCPPCGFDAHPICTALPLAGMRSSRHPEHDLALVVTEGSCAACHLGAGRPWYSYRCTACNIDLHVSCAAGGGEDRNAGNAHVPVVATQVAANDAEEALRRARIRQADLAIARANASLNRMSCEARACEAALCRALPLHYAGLDFAIRRSTTPHPTRRTRSSLTGGRVRHLRGPLRPGRLPLYCCPPCGFSTCTRAARAIPRTTSRSSSPMATAPRAISVRAWNYRCLACNVDLHASCAAGGDNNTGARHDGNSHVPAGDMQVHAAERDIEACACSSKDISKHGEEKPLLTCVIALTKSDALRATILSCTVDL >Et_4B_037617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21870567:21879712:-1 gene:Et_4B_037617 transcript:Et_4B_037617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPPAGNRQVSLRGSSAREITRDALLQKVSEERQLRNHLRRAAAAALSIQRVWRRYNVIRMVSEQLHEDWKLSINQPNINLTNQWISSNMLRPFLFFTAQPSSWYKAQQRKTLKSIITCFKIVLNSLNSVDANKNFCSFAVGMPEERSIWLYQAKKLISLCSCILARCEYSCCKDETMVEVTAIAMRLAVSFTDCKTWKNLKRENASDADVSVEALIQFIGTRHSGTYTCVRKYIKCLGLHAASDKKKSATATDDQFLITASAITVALRPFHSKIAKRVSDMNGVSKEYFTLILTIPYLCKRIPPLLLPALKHVSVLQPCLGIVLISKDKIFEEIIKLEKSEVSTVGASIIPCSAWALGNIISLATEHDELSNSGHFIQGLDFCQYVDVINCISQTLLQTFEERTGLSVSVGDAEFHADTSLAEGDSNDNCSVISLLTDLVKPIYQQWHLRKLLMLAKEEVSCGMETNNDPPTQKQIHFRSLKLFDVVCFYYHMLRIFSALNQSIGALPIFNMLAFTPGFLVSLWDELEISIFGEAQKFQESGHEKQLATSTSGEQISSMRQKRNAKDTANKWANVLHKITGKSNDSEGVNLIDSTLNSEQSSDDALILWDIEAMRQVSEGIGKDLMCMLYLFCAIYGHLLLVLDDIEFYEKQVPFTLEKQRKITSALNTFVYNSFIQNGGSYSKSLIDVAVRCLNLLYERDSRHKFCPLSLWLAPARNGRIPIAAAARAHEAAFGNFPGSNSSGIPNRSSVLTTLPHVYPFEERVQMFREFVESDKAARKVAGEISGPGPGSIEIVIRRGHVVEDGYRQLNCLRSKLKSCIHVSFVSECGLPEAGLDYGGLSKEFLTDLSKAAFSPEYGLFSQTSASDTSLIPSNSAKLLDNGIDMIEFLGRVVGKALYEGILLDYSFSPVFVQKLLGRYSFLDELSTLDPELYRNLMQLKHYEGDVEDLCLDFTVTEELGGKRLVHELRPGGKNISVTNENKLHYVHAIADFKLNRQIFPFANAFYRGLSDLISPSWLSLFNANEFNQLLSGGLQDFDVDDLRNNTKYTGGYTESSRTVKLFWEVIKGFKPTERCMLLKFVTSCSRAPLLGFKYLQPCFTIHKVPCDVTLWASIGGQDVDRLPSASTCYNTLKLPTYRRSNTLRSKLLYAISSNTGFELS >Et_1B_013251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6782829:6784981:-1 gene:Et_1B_013251 transcript:Et_1B_013251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLVDSSSASWCDATAATRRRVGRSGARCLSCACSSRACKQPLLIPVLPNADDMATRAWASYSVSRMLRTAKSVARTNGWNGNGRHMWTTALEDDSSILEACEDEYGGMIVDADRLPSDTAKFGRSLAASLSNWKSAGKKGVWLKLPLDRSEYIPIAVKEGFRYHHAEDSYLMLTYWIPDEPCLLPANASHQVGVGGFVINDQMEVLVVQEKYSSSTLLDAWKLPTGFIHASEEIFTGAVREVKEETGIDTEFVELIAFRHAHNVAFQKSDLFFICMLRPVSSDIKIDELEIQAAKWMPLAEFIKQPFVQDDHMFRKIAGICVQRLRKRYCGLTAHNVVSKFDGGTSTLYYNAAEPDVGIDDA >Et_5A_040874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13797320:13801540:1 gene:Et_5A_040874 transcript:Et_5A_040874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPPRRPSAEMRSIRRELQRRRPKPLAPLKPAAAKKPSAPPHGGTSDKVRDPRQEFPPSTTHAQPPPPPRRPQPSSTASAPPSPQSGSVSVSASHARAATPDAGEHLKPGAAVLVRTRTQMVIDGKTLVLWLAATVVSSATDGGYEVVYEGNLPRRENPLSTVRVPLHHVRPRLVKLSPPTSQPPSTAARPTTAGKSLRLLPKLESEMQAAPRPTTAGKSIHVVRKILSEMEFQARTMPKSLAPKRPPAKKAPAPPLSSIGGAGVKDRRPRALLPCKNPSSITCKPSISAGTVYTEPLHPALPCDSSAVPPLTPPPCRSAASSVVSASEEIPVAAARLKPGTSVRVRTRIMVKMARKSEPIWLWLPAIVVSAAADGSYEVVYKGKLPPGDPFATVHVSSDHVLPEKQPPPPPPLPSHSDESKNSSIQPQPRPTTAGKSMRLVNKLASETQSTPRPTTAGKSIHVFRKILLEMGFVPADGSSRDKVPTQKPPRAASSCPSADTTLAGAPKTWKIQSAPRPTTAGKSLRLLPKLASEMQTEPRPTIAGRCIRVVPKIASSMEFQAQAMLPGY >Et_7A_050829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11329208:11331747:-1 gene:Et_7A_050829 transcript:Et_7A_050829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPQTHPILSYVLSRIPTLSKLKPSAGGGGDFDIEQPPVHTPSPRTPSTAGEFELVERMPGLRHPSVLRAMTRAVADVSAARAALQVLGPRPDHELVDSSRAIVAAAQAGDAGIPEADLEGCRAVVRLDETHDAYEALLQDAEGRLEKVYRSAMEGTDLDDEAEGEGKGDAPEAGGAEGGDAAVHEEVVAVLKQAEEGKPVESVRLVDRQLRQLPEAFGRIQGLRVLDVSRNQLEIIPDAIGGLDHLEELRLSANALVALPDSIGLLTNLKILNVANNRLRALPDSIAKCRSLVELDASYNGLTYLPTNIGYELVNLQKLWVHMNKLRSLPSSVCEMTSLYLLDAHFNELCGLPSAFGKLSSLEILNLSSNFSDLKELPASFGDLLNLRELDLSNNQIHALPDSFGRLDKLEKLNLGQNPLGVPPVDVVNKGVDAVKEYMSKRWLDILLEEEQKRIAAETPQASSTPKAWLERSVSWVSGVSGSLVGYLSGNEKSEKDAYLNQQL >Et_4A_035931.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8723016:8723342:1 gene:Et_4A_035931 transcript:Et_4A_035931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISREAARRRLAVAGDAGPGHCHRLYMEDEYDGFDEHTVPAIRRDGALFKLALMLKRHAADGIPGFELLEPSALPELKDVVGQLVATGYLDKLGKLTEKGEREGYDED >Et_2A_014856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18882890:18883599:1 gene:Et_2A_014856 transcript:Et_2A_014856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRWDILKKKYGQWKTLNLRATGLGRDPVTGCIMADDQWWEEQNAAMPGCKCFKDAPIENEDQMRIMFDAVLVTNETSYVPTSGADDAEHGEDPTHDTTNGEIGGTPQAPASGKKKKTFRDHCMKRLVDAYEAKAQSSSATSQVCWLRLSMMGQRKGAMNTSMPHNFFRRKRTVMCSLH >Et_5B_044868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6708533:6730659:1 gene:Et_5B_044868 transcript:Et_5B_044868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTVVLYPGFLASHFVPMMQLVDPLLEEGYAVAVALIDITMDHDAALAAAVARVASTAKPSVTFHRLPRIQDAPAFPNDVRGLLWYFEIIRRYNEKFHEFLRSLQQPRGSVHAVVVDGPSSNALDVTGKLGIPAYIFFTVNASAVSAFRQLPWIRKEGDPSFRELGDARLDIRGVPPMPASHLMAEYLEDPDSEVYKAVMDMTRWSPEPDGILVNTFPSLEPRAVAALSDPGLLPAGAWERTPPPPVYCVGPLVVAPGHGDGDGIRKHECLAWLDAQPERSVVFLCFGILGAVRHTAEQLREIAVGLERSGHRFLWVVRAPISEGPDPKADPDLDALLPDGFLERTSCRGLVVKLWAPQVEVLHHRATGAFVTHCGWNSAMEAITAGVPMICWPLYAEQKMNKVFMVEEARIGVEVAGWQKGLVKAEELEAKVRLIMESDEGEQLRARVTKHKDAAAIAWKHGGSSRAAFGKFLSDAGNLIRTPQHSQTSQREASMKKTVVLYPGFFASHFVPMMQLADALLEEGYAVEVPLIDITMDHDAALAAAVRRVAAAKPSVTFHRLPRIENPPAITNDAQVLVGYFETIRRYNDELREFLCSLQRRASVHAVIVDGPSVDALDVTRELGVPAYTFFATNASAVAAFLQLPWIRKEGDPSFRELGDAPLDIRGVPPMPASHLMPEYLEDPESEVYQAMMHVTRRNPEPDGILVNTFPSLEPRAVAALGDPGLLPGHGVGYGTPPVYCVGPLVVAPGHGDGDAKGKQHECLAWLDEQPERSVVFLCFGILGAVRHTAEQLREIAVGLERSGHRFLWVVRAPISDDTEGAFDPNAGPDLDALLPDGFLERTSGRGLVVKLWAPQVDVLHHRATGAFVTHCGWNSAMEAITAGVPMICWPLYSEQKMNKVFMVEEARIGVEVDGWQQGLVKAEEVEAKVRLVMESDEGEQLRARVTQHRDAAAIAWKHGGSSRAAFAKFLSDAGNLSPEQTLQYEHVCIVKTLPPERLMDFCKQSYIFEDYVDMLSSCAEDNLPLLSGPDWLDEDAYIKFNRASPQPPNSIDGQDSNRIHNKHGIHHMKTRSISDTKGTLIFRSQYHLYDEISASYECESDSKDLPVRWRRLLALVGPSVVVLTEADCWECAARAAPFLPITAAAPEVVGQWRWRVTTSGRSLTATAAGRRRWRRGFITFGVGVRLGVFIIAAGPGGFLFALAVGVGDILFALAVGLLRFGRRISDLGIRIGFALGARLLGFRFILGFRGVSSGLRRGGCHISLRLRLVGFRFSFRIRLLRSVLPGFLLGLRIHVLGVAPGFLLLAVDALGSLLLGLGVVRLNLGLDGFLYGLSLGGFRPRLAPPPSPPAARRHGGGGRARVPVTGGGGMGIAGSKWGAVRVLARRERHRRGAGVGLRRTRGAGVAWGPFPDSVCGISLDANALMGGYSADSKVAGSYSKIVEDPLGAVLPLDRP >Et_3A_023672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10738133:10741765:1 gene:Et_3A_023672 transcript:Et_3A_023672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTRPASAAAAAALCCPHTSSATTLPSPPPLSSSGGGRVPFQPLRRGRAAQEQRRGSQVARASLGGLLGGVFGGGGRDDGEATRKKYADTVARINAIEPEVSALSDADLRARTAALQDRARSGQSLDSILPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMKTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLQVGLIQQNMTPEQRRENYSCDITYVTNSELGFDYLRDNLAMTVDELVLRNFNYCVIDEVDSILIDEARTPLIISGLAEKPSDRYYKAAKIAEAFERDIHYTVDEKQRNVLLTEQGYADAEEILDINDLYDPREQWASYVLNAIKAKELFLKDVNYIVRSKEVLIVDEFTGRVMAGRRWSDGLHQAIEAKEGFPKLCGMTGTAATETQEFESIYKLKVTVVPTNKPMIRKDDSDVVFRATNGKWRAVLVEISRMNKVGRPVLVGTTSVEQSESLSEQLREAGISHEVFLLITLTPTVPSSSSSIL >Et_4A_032706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12776858:12797204:1 gene:Et_4A_032706 transcript:Et_4A_032706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVPLFVVALLVVATTWLWDYTIMRLIWRPHTTSKEFRKQGIHGPPYKFIKGCREDIKIMKEEADSLVLDVHNHNYLPRIAAHYLKWRSQYGEPFLYWFGPKPRICIFDYELARQILSSKSGHFVKNDPPLTLVAAVGKGLALLDGIDWVRHRRVINPAFAMDKLKMMTTTMSACAQSMIKELENQVSGSKNGVIEVDISKEFRELSADVISHTTFGSSYKLGKEVFQTQHELVAINMASFLDVQIPGLKYLPTERNRRKWMLEKKLRSSLLQIIQPRLASTSSDYGNDLLGLMLQTSMASKQGGKEGSPSLSIDEIIHECKMFFFAGHDTTALLLTWTAFLLSVYPEWQERLRKEMTMVLLEALRLYCPALFMQRKPVTDITVGGTKLPQGVAVVIPIPIMHRDKEVWGDDADEFNPLRFENGVTKAGKIPHALLAFALGPRSCIGQNFAMLEAKSLAVLLALPLALWHLVWRPRAVERSFARQGIRGPAYTFLAGSMPEAKRLVAAGRIGVPPLDAGCHDISPLVLPQFHKWVAQYGKTFLYWIGPIPAILSLDLELIKQVLADRTGLFPKDFMIPVLKILFGNGVILINGDDWKRHRKVVLPAFNHEKLKSMTVVTAEVTEQVIQRWRNQIRQSDGRHQTAEIDVNLAINDLTEEIIGRVAFGTSQNHPDAREVVLAMREMQKLGTRAMMDPPILWYLPTRRNMRVKSLDKLLRTKIMSIMQARVAAKSSGRGGYGDDLVGLLLEAWSPEQQGKGETLTTQEVIDEWKTFFAAGQETTATLLVWAMFLLSVHPEWQDKVREEVLRECGNGGDDGEVSNTSRLYPPIVYIQRRAALDVRLKDISVPKGTVISIPIGMLHRDKEVWGPDADEFNPMRFQNGISRAAKDPKALLSFSQGPRACTGQSFGLMEAQVVMALILSKFSFSLSPKYVHKPKYLISLTPKLAALLALLVIAVLWRLAWRPRAVARSFARQGITGPPYAFLTGSFLELKRLASAGRVGVPPLDAGSNDTMPLVLPAFHRWTTDHGRTFLYWIGPTPAICSTDLQLIKQVLADRTGLFQKDFMIPVLKFLLGNGLILINGEDWKRHRKVIRPAFSHEKLKVTIQTIMLLTGYMSVPALSMSAVAKEVAEQTTQRWREQILQSGDKRAAEIDVDRAVFELSKEIICRVAFGTDHREADDVTFLLQELQKHASATMVEPPILWHLPTRRNRQVRHVDKLLSTKIMEMMQARVAAKDDKFGYGDDLLGMVLEAWSPDGRGSDVTLTTQEVISEFKTFFGAGLETTATLLVWTMFLLSTHPQWQQKVREEVLREFADGGEVLNTENLFSKLKLLHMVLLETLRLYPPIVYIQRTAAADVVLGGIKVPQGTVISIPIGMLHRDKEVWGPDADKFNPMRFENGISKAAKDPNALLSFSLGPRACIGQSSGIIEAQIVMAMILRKFTFSLSPKYVHKPKYLLSLTPKCGMPLGTGHTFTAAKRRTNDRHSISEKKHGEGMVISPPNNDRQARPQQAGGHIELDGGDQVKLEGGDRVDLVSRGRVDLNSGGPVDLDGGGSDGDEMLL >Et_9A_061105.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16093568:16093804:-1 gene:Et_9A_061105 transcript:Et_9A_061105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEMQDGYKRWRLMAEFWTETILYIAPSDNAKAHMECLAKGGEFLTHIWALLTHAGILTRPPSEMPTPSHDPEEGTA >Et_1B_013487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:951220:955412:1 gene:Et_1B_013487 transcript:Et_1B_013487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTPSPRPGPPPTPQGGVATPLRTPAAKHRLHFPATTPKNTHHSGGPGGAATEHPVEVIGRIRNLSAGAAGASALEVAAGGTAVRVRGDAGGCRDFTLDGVSVSDEEDLEGFYRRFVRSRIEGVRVGAKCTVMVYGPTGSGKSHTMFGCPKQPGIVYRALRDILEGGGGGGGEEAGAGEDDAGFGVGLFVQVAVLEIYNEEIYDLLVGNGANVKGNAPKARLEIMGKKAKNATYICGNEAAKISREVAKVEKRRTVKSTLCNERSSRSHCMIILDVPSVGGRLMLVDMAGSENIEAAGQTGFEAKMQTAKINQGNTALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSRILMILCASPDPKELHKTVSTLEYGAKAKCIIRAAHVATPRDKMSSEESSTTLNSRIVAMNQFIYKLQKENKQREKERNEAQNLLRLKEEELAQLRAKIKVIDVQGAAAKEETQALKSELMKKEDVMIRQQQELAALKQRLQEVEREKDARQPVQQDLVGGRLLARLSEMPAGVDQSMSLVMSMDLDMGDQPAMQDVKVIKEDTRQQAQVWNHTITQSCAGAVDQDDDVRLSGYPEKVVLSTVFEEGDEDDGEKDNGLEEEVCKEVVEETFKVGITQHALAEPEDPATRQHRIENIFRLCGNYRELAKKPKVQSPAKQAFGDENKCPAKEMFGDEKKETAKQMFGDENKEPLAWGVIEPPMCDVKVTDSPVSSQLSPIVCQVVDEPLSEQLKFEESDQNKENSSAVQKEQEGLLEVYIKWESGNLIKGLKLLKNAHLSDLRKLIEAHFEEAGSKQHQFTFLLLGDPSGAPVSREKEASVQISKLPHWNNQQNSYLACLRAAKKPAVDQIPFSPLDSKLNSVVTDAHRAGVRSPKVNQMSPNYIRELRA >Et_2A_018615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35076178:35079207:-1 gene:Et_2A_018615 transcript:Et_2A_018615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSSASVSSSAAAAAAAYPRSLLPLWRRPGILALLLILLCFISFQVVIHVPSARSSVSRWLFANHQTKDDVSANCTGCGDLQDVSDADKTIAYTDQHGRIKLFKVTAREFVSSSIWKKPSLPRDSHQQKAAQELLLGSEATNLSNANTLVTNSIDPIKLKRQVFRRKRKEQRTQELLQMDKEIELQMRAAATNSSRNFDNKVRDSYNIWRQEFHHINTDSTLRLMIDQIIMAKIYATIALSQKESDLYASLMKCIKESQTAIGDAHMDNELDSSALERAKAMGHLLSSARDVLYSSDEVSRKLRAMLQSTETNIDAVKKQNTFLVQHAAKTVPMPLHCLHMQLTTDYYFRDGLIKEHFHDASLKEEDQQPKLEDRSLYHYAIFSDNVLAASVVVRSTVANANEPEKHVFHIVTDRLNFAAMKMWFISHPPRLATVHVENIENFKWLNSSYCSVCRQLESARLKEYYFKAHDPSALSDGNENLKYRNPKYLSMLNHLRFYMPEIHPKLDKILFLDDDVVVQKDLTPLWDIDLKGMVNGAVETCKESFHRFDTYLNFSHPKISENFDPRACGWAFGMNIFDLEEWKKQNITGIYHYWQDLNEDRKLWKLGTLPPGLITFYNLTYPLNRTWHVLGLGYDPAVDLTEIENAAVVHYNGNYKPWLDLAISKYKPYWSKFSVVKI >Et_8B_058664.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:1565006:1565125:1 gene:Et_8B_058664 transcript:Et_8B_058664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNACPVKTNRRKDVVKLKVSCSKYLHPFYVSSTLKRQQS >Et_1B_010327.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:8646823:8647023:1 gene:Et_1B_010327 transcript:Et_1B_010327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPEIDPATWPQDCTIDQWFDGLTNSGSNDRIKGIRTLIVIVIWAIWREGTLGCLRTKRKKRQES >Et_7A_050666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1022768:1023494:1 gene:Et_7A_050666 transcript:Et_7A_050666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAASILAATSGAAAASKRPAASDADQLVVDSSALTHGDDAARKSEQPKQQQQLECPRCRSTNTKFCYYNNYSTAQPRHFCRACRRYWTHGGTLRDVPVGGATRRSKRRRVSAEPSSSPTPQRVEDACTADLLSAATAFPFLSDGSFLLPQFDFGAVAPAAAFSSWPSAAVPDFFDGIEPWDDGTGGAGAWGGIAGVELTWPPLGN >Et_4A_035733.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:4581235:4582212:-1 gene:Et_4A_035733 transcript:Et_4A_035733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVDKWHPTHAGRLSNSSIYRYPPDRTTTDQRPPVAAVSPAVASRNGNGGRCAPRDDDEVWHELRAEAQADAESEPLLRKFYADLVLSRPSLESALAAHLAAKLCVPGALPQDALRDLIAGALAAHPEAGRAARADLLAARDRDPACDKMVHCFLYYKGFLALQAHRAAHGLWSDGRAAPALLLQSRASEVFGVDIHPGARIGCGVLFDHATGVVIGETAVVGDDVSILHGVTLGGTGKESGDRHPKVGDGVLIGAGASVLGNVRVGAGAKIGAGAVVLRDVPEGTTAVGNPAKAIGKKEAPQRRPEEQPGVTMEQRWSDYVI >Et_2B_022597.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27285331:27286734:-1 gene:Et_2B_022597 transcript:Et_2B_022597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHATLPFLLIPLMLLLATSLHRRLRRPVNKDDKLHQLEKAAPAMLGSLHHHHSSSDTDNDNNNNNGNNNINTVGGGVLAPSARDLVLACADLLHRGDLPGARRAAGVLLSAASPRADAADRLAYHFARALALRVDARLASPPGDMLASSSSSSGAYLAFNQIAPFLRFAHLTANQAILDAVVDGARRVHILDLDAAHGVQWPPLLQAIADRADPNAGPPEVRITGAGADRDTLLRTGARLRAFARSIRLPFHFTPLLLSTTASHQQATAGAGERSATAASGSATTTTLELHPDETLAVNCVMFLHKLGGPDELAAFLKWVKAMSPAVVTVAEREPTGGGGWEDRADELPRRVEAAMDHYSAVFEALEATVPPGSRERLLVEQEVLGREIDAALAPSGGRWWRGLERWGAAARAAGFAPRPLSAFALSQARLLLRLHYPSEGYLVQEARGACFLGWQTRPLLSVSSWH >Et_1B_012355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31150883:31155413:1 gene:Et_1B_012355 transcript:Et_1B_012355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTVMTADEQFLTLDVDPDESVENLKALLEVETNVPLQRQQLQFNGKEMQNAEKLSAIGVRDGDLVTMVPSNDRPSQDILRVNPDGSAVNPQAFQQHIRGDSQLMAQLLQNDPALAQAILGDDINELQNTLRSRHQQRMELNRKKEEELALLYADPFDVEAQKKIEAAIRQKGIDENWEAALEHNPEAFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDQRYRGIAVGVGQSEILGRIHVAPIKIGHLFYPCSFTVLDAPNMEFLFGLDMLRKHQCMIDLKDNVLRVGGGEVSVPFLQEKDIPSHIRDEEKLSQLASLGQASGESSKAREGKPDVPQRAPSTGAPAANPPQGGDFEAKVTKLVELGFDRPSVVQALKLFNGNEEQAAAFLFGG >Et_1B_010256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32971007:32973280:-1 gene:Et_1B_010256 transcript:Et_1B_010256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTFSATVTYQAGLSPPGGFWVENDYLSGSSPPYKNRPATSVLHSHYPHRYNIFISCNTTSFVASLVTIILLLSPTLSKHGIKSKAIVVCVLVDLCCLIGAYAAGCCRDAATSFYVMAIIIIVLISIAFLVEIFAYRPVAEKLQKMKSYTTEHHNACSQQISSTSQGESSGECPHVNEEQATNAAAVSDNASNSSQTTQQQIEKHLKKTRTSTVTYQAGMNPPGGVWSDDGVSGIPGYPILQDTHPVRYDVFYYSNSVSFVSAVVITILLLNKESFEHGIKFYALHICLVMDDEGHVAGNPLLQDTYHRRYMVFFSFNAFSFMASIAVIMLLLSRSFRDKGVKLEVLLLIMILDLLALMTAFAAGSCRKVTTSIYIFLLFAGVVIYLVTVICLSKRVGKYLRKRESIVHLPVRNAATE >Et_10A_001607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5939279:5941456:-1 gene:Et_10A_001607 transcript:Et_10A_001607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGYVVGVIGGAILAHAAYATIQYRAVLKITEEEFSSPPMDVMMQLLFGLALCMWAGLAVPAKFLSVLPQSEENRIVSLPANLDFMIFNHRGSALPSDVDLKLKT >Et_2A_017312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33088702:33092828:1 gene:Et_2A_017312 transcript:Et_2A_017312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVCEIFVENSYDELGNRIQDAIFAVSFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNSQKPSLKHQRKWKSDPNYNKSWYDRGTKVFQANKYRNCACENCGAITHDKKSCVERPRVVGAKWTNMQIAPDEKVESFELDYDGKRDRWNGYDTSSYTCVIAEYEAREEARKKFLKEQQLKKLEEKNGNQDENDAGSDEDVEDGLKIDEAKVDESNQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDMDPNEKFYVGDNQNRLSGQALEFKQLNIHAWEAFDKGQDIHMQAAPSQAELLYRSFKIKKEKLKSETKEKVMEKYGNAASEEPLPRELLFGQSEREIEYDRTGRIVKGQDTSIPRSKYEEDVYINNHTSVWGSWWKDHQWGYKCCKQTIKNSYCTGLAGIEAAEASADLMKANMARKENAEEEPVQHEEKQLATWGTDIPDDLVLDKKKLAESLKKEDARRREERDERKRKYYVKWNDEVTAEDMEAYRMKKIHHDDPMKDFLH >Et_1B_011905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27025252:27027773:-1 gene:Et_1B_011905 transcript:Et_1B_011905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSATKNNSLKHQQQQVVVVAGMGMGMAAPCLVRTKLLLYAAVGFALGVVVTASLLGSSSYATSTVAVLRGAGALGLFFPPPSAAAVNLSFSTAGIIVQVDQQPPPPPPTRRSPSPPQARPAPATSRSQQPTADTGANATAAAAVTSPPAPPTTDGGGEGAGPAGTGAPRGGSFIIADDDVLLARAASAPRTPAAGGAAPKVAFLFLTRWDLPMAPLWEKFFEGHAGLYNVYVHTDPAFNGTDPPEGSAFFRRTIPSKEVKWGHVSMVEAERRLLAHALLDDAANARFLLLSESHVPLFDLPTVHSYLVNSAQVFLESYDQPGATGRGRYHRGMRPVVSPDQWRKGSQWFEMDRALAADVVADRVYFPVFKRFCKRNCYADEHYLPTLLHIRRPHGAGANRSLTWVDWSHGGPHPARFTRMEVTVDFLRWLRGGSTCTYNGRTTTVCFLFARKFLPNSLTRFLRFAPRVMGFG >Et_10B_002608.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18027975:18028391:1 gene:Et_10B_002608 transcript:Et_10B_002608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKICGRRMSAPNLETVKIRGCWGLRSLPAVRNRSSGAPPPKVDCEKDWWDGLEWDGEESGHHPSLYKPSYSKYHKKILLRRTVLRLLSSFQLIDPISIIYRLASLILYSCVSDMHPSLAVYWVGFVFPPAGKIQLL >Et_5B_044604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:451959:452912:1 gene:Et_5B_044604 transcript:Et_5B_044604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSFPCPYACENETDLRLYLLQAYKWTVGQAKIRSEFLDGTFSQTGVNDWTIVDTPVLTAKVIGRAQGVHILSDMARVGWFVSFNLVFQGDSRFNGSTLQVMGVLPPEGEWSIVGGTGELAMARGNIKHTVAKPEPPAGSPFVFRQLRYSCTRSQQVHQMLWCRDCSIRLRQMRP >Et_9A_061882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17410606:17415021:-1 gene:Et_9A_061882 transcript:Et_9A_061882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSFESTGSAGSSGSSGSGSGSFNLRNLSKVILPPLGVSSGHSQCHGGTDEWVISPLDSGYRCWETFMVLLVAYSAWVYPFEVAFMNAVPKGGLEVADIVVDLFFAVDIVLTFFLAYIDTRTQLLVRDRKRIAFRYLSTFFIMDVASTIPFQGLAYLATGQAREGAAFSLLGILRLWRLRKVKQFFTRLEKDIRFSYFWIRSARLIAVTLFLVHCAGCLYYLIADRYPNRDKTWIGAAIPNFRQASLRIRYISAVYWSITTMTTVGYGDLHAQNTVEMLFNIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIRAASSFVGRNHLPPRLKQQILAYMCLKFRAESLNQQQLMDQLPKSICKSICEHLFLPVVKDVYLFRGVSKEVLLCLVTKMKPEYIPPREDVIVQNEAPEDVYVVVSGEVEVIFFDGNGERVVATLGTGEIFGEVSALSDRAQSFTFRTRTLSQLLRLKQATLKEAMQGRPEDSVVIIRNFLKHQIEMHDMKVEDLMGENAGEHDDSNLLTVAAMGNSGFLEDLLRAGMDPDVGDAKGRTALHIAASKGYEDCVLVLLKHACNVNIKGDHCSVICNSTHLELLSSRNANLFCALPNDRPTPLLAADAQGNTALWNAIVARHHKIFNILYHFARVSAPRAGGDLLCLAARRGDVDALRELLKHGLDVDAEDHDGATALRAAMSAGRADAARFLIMNGASVDKAGLDDGDGAALSPDELRELVRKREVGHQITIHDAPAPAVVRDGGSSGNGRQGSRFVGAKSDGARCLRVSIYKGHPYLRNHSSEAGKLINLPGTMQEFKAIIGEKLKVDAENAQIVNDEGAEIDTIDVIRDNDKLFVVTEENLRRLASMESVASS >Et_4B_036876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12213610:12220094:1 gene:Et_4B_036876 transcript:Et_4B_036876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLARGVLDGPIGLAKCRSKYWHTRSGGAPCSRLFSFGDSYTDAGNLVILSPDVSVLTPPYGETFFGQPSGRFSDGRLIVDFIAEALRLPFSPPSLVGKTADDFHPGANFAVAGSSALNLSFFRDLGLDPSSISPYSLDVQVEWFKRVLQLLGPHTEQERDHVMSSSLFLLGEVGLVDYDFLLSQNLPVETEIKPLVVPKVIQQIENAIKVLIGLGAKRIVVPGSFAMGCLPTYLAMFQSNSSAGDYDSSGCLKWLNDFAEHHNRELKRMLHRVIPHDDPTVTVVYGDYYGAMLEIVRKPLKHASGTRSPTPATSLSPNVSVLTPPYGETFFGRPSGRFSDGRLIVDFIERKDVMSSSLFLLGEVGANDYTHLFFQNRPFETQMKPLVPKVIQQIETAIKVLIGLGAKRIVVPGTVPIGCIPRFLAMFRTNSSAGDYDAAGCLRWLRGGHHNRELKRMLHRITPHDDPTVTIIYGDYYGAMLEILRNPLRHGFKKDGALNACCGDGGPYNSGSLNSCNATSMLCPDPSKHVSWDGIHLTEAAYRFVARGVREGPYAEPSVLSQCGC >Et_5A_040762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12001439:12002632:1 gene:Et_5A_040762 transcript:Et_5A_040762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRLLGFLGWARQGDRVDRISALPDVVLLQLLARLGCAREVVRTSVLSSRWRGLWTRVPELAFRGVDPDTIEAVLAQLSTPNCTASSSRSAWRPKRPPGVDPRFSLEPETFSATLHCRVEGAASSDDSDSDNDLLGPPLSSIELPCFDYAESLVLNAHRITLTPPPTGLFSRLRSLCLASCNTDPGALLLLCPCLRVLELHVALVLEVVTVHSATLEELLLSNTYMSCVSRIDIDAPELKKVMLNVNMAPEFSVSFSAHKVEEVDWDLMCEWQNVGLPLVRFFQLSYRVNHGVRTLWLDTSSSIFHPPVATRSFVQEIEQLPSSDFSRFGPGIQNIWTFFWTIAATFASNSTTDK >Et_8A_056492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10954807:10962477:1 gene:Et_8A_056492 transcript:Et_8A_056492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVHSSFEHFSNVIGHHHAHHLRLIGLRLDFCNGGARDRVYTVDTIRGAPLTVPEGSCYGDSTPVSAQWLADEDARHAAQGDRAEALQEASAAGDYAEDGGEETAIRWDIIPVVTVISFEPNAKKNPNIYKFSSVKRAKRRVKSDRNSGHGRFCFVFCIADCEEAGPCSFRVVLSSHRQLRLGYQKPCPMAMIKSPANWTTSPNSNSAGSIYALEGPWVSSTLGPSSYWSKSSYRATRARTKGGLGRGAEEKRSEEGGGTPGEEKGVGAVAERIRGSEICRGGEEEELEAAG >Et_10A_002041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20111586:20116274:1 gene:Et_10A_002041 transcript:Et_10A_002041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADITLIFCFLIICICYQKICLSLILIHVSFLKALITLKKGSKLIKYSRKGKPKIRAFRLSSDETALIWYSHKKEKFLRLSSVSKVIPGQRTAVFRRFLRPEKDYLSFSLVYKNGQRSLDLICKDQAEVEVWFSSLEWLISSCRKSSLIDEHKDRVSFSDDVSYHQDRHSYDSTVDIASSISRTFNTARSDVGSDRANMIRTSGADSSRLSISSAPSSSSQGSGTDDIESLGDVYVWGEVWTDVAPSDGQTGASCSKIDVLIPKPLESDVVLDVNQIVCGSRHVALTTRQGEVFTWGEESGGRLGHGTDADISRPKLVESISVTIVDFISCGEFHTCAISASGDLFNWGDGCYNAGLLGYDTGGCHWLPKRVSGPLEGLQILSVACGSWHSALITSSGKLYTFGDGTFGVLGHGNRESVAYPKEVEALNGYRTVKVACGVWHSAAIVEATGQTGMNVVSKKLYTWGDGDKNRLGHGNKEARMIPTCVQSLVDYNFHQLACGQNITVALTTSGHVYTMGSADNGQLGNPKSDGKQPCLVKDRLASELVEEISCGTSHVAVLTSRSEVYTWGMGANGRLGHGDLNDKKTPTLVDALKDRHVKSISCGSNFTTCICIHKWVSGADQSVCTGCRQAFGFTRKRHNCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFLKLKSAETNNNNSNKRNVVTRRSTESRDKSDRPEIRPSRLAATPSTEPVKYTEVKPARSDMKAADQVMRASQVSSLLSSQFGGLSPMGMSPALAMSPAMPFSLAPASPYTKKTKSPPAAPIPQLSKNDADNKSNELLMNQELLKLQSQVDNLKQKCEAQHEQLQKSDKKAKTAASMAAEESSRRNAVVEFVKFLDNEFKGVVDKLPSDAADSIRALQIQTQSLLREQLSHSSEFINPMERDHLHLSSGGLSGYDMANHKSGSAGYLVMSQDGSASSGSAISITSESPSHRIMESHAKAQTDFAPKQGTHGEVQLIEQFEPGVYVTLIQLKDGTKVFKRVRFSKRRFAENQAEEWWRENQERVFKKYNHPTNQVSHASSHEEEHHS >Et_10A_001872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9422822:9426425:-1 gene:Et_10A_001872 transcript:Et_10A_001872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKFPVVVVAEQRVKTSAFFLADNICMELNKLEHIVDGNDKARSMSAALLNLITDNFSDELRIGRGGSADVYMGILPNGTVAVKKLLSGYAYTHDESFCEEVNILMMVTHSKIVRFLGFCCDTNEEVINISEKTVVAELRERFICLEYLENGSLEKYISDELRGLEWQKRYQIIIGICEGLHVLHNQRFIHLDLKPSNILLDEHMVPKITDFGSPRMDGYLKSAECLVSRGYSAPEYMDNGIFSFKSDIYSLGVVILELVTGSKEKPSINKVLRRWSHRWNNELNEVDCLYLDMIGIEPLEIHLPFQRNKQMSSSIKLTNDTDDYFAFRISTRSGRQYHINPNKDLVPPRSKYSVTITMQALEEAPPYCRDEFTVQSTRVDGSLTAMDITEDMFIERSGKVVDDVDVLFVVDTFSLPSEL >Et_5A_041481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23483350:23484155:-1 gene:Et_5A_041481 transcript:Et_5A_041481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIHVFKTRRKWKKPSVDYLKINVDGSYSEGVGGWGFIIRDHEGSVVGSGAGRIEHCSFFFTPHPPLLHAGEFADVAPPPPPSSTGSLEKP >Et_3B_031682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7764157:7765088:-1 gene:Et_3B_031682 transcript:Et_3B_031682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMEEERTAPAPAAEPHFLIVTYPAQGHINPARHLARRLLRATGARVTVSTAVSAFRKMFPGASSGCTRCSSPGWSRNSSSENDLFKQDGKGYLEWLDAQAEGSVVYISFGSLSVMSERQIQEVARAMEDSARPFLWVLRKDNRTSICGGEDVHLGGERGVVVEWCDQVAVLSHPAVGCFVTHCGWNSTLEAVACGVPVVAAPQWTDQGTNAWLVERIGAGVRADVAEKQGVIEAGELRRCVDFATSEMVRAKAALWRDKARAAAAEGGSSEKNLRAFVAEHLAGGTN >Et_7A_051932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:311771:316966:1 gene:Et_7A_051932 transcript:Et_7A_051932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLNVDSIILCDYETPKSKKRCPLGKRIHIKGLFPGAISTAVAAAVGCLPLFKQPWTARTAATTEKQTWPKSVSVPERIKHSRQARHSLRQHSSPRSPSPTAGQDLEPNILRAVRSPGMWRMSEEGKAVASAAAEDQPKRTPKLNERILSSLSRRSVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRNYSDISELSPHRLQEIKRFFEDYKKNENKEVAVDAFLPATTAREAIQYSMDLYAQYILQSLRQ >Et_9B_066124.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:4385728:4388625:1 gene:Et_9B_066124 transcript:Et_9B_066124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHCSFGLLVLALSVFLCILVAPLCLATPATAPATLLQVKSSLTDPEGVLSGWSPEADVCSWHGITCLPGQGIVTGLNLSGYGLSGVISPAIGGLVYVEVIDLSSNSLTGPIPPELGMLENLRTLLLFSNSLTGTVPPELGLLKNLRVLRVGDNRLHGEIPPQLGNCTELETLGLAFGQLNGTIPADLGNLRRLKQLVLDNNTLTGGIPEELAGCASLQVLSVADNRMQGSIPSFIGSLSDLQSLNLANNQFSSAIPAEIGDLSSLTYLNLLGNRLTDAVPQELNRLSQLQVLDLSGNNISGKISISASRLKNLKFLVLSENLLEGAIPDDLCAEESSLENLFLSGNNLGGSIEALLKCGALQSVDVSNNSFIGEIPPGIDRLSGLVNLALHNNSLTGVLPPQIGNLSNLEILSLYHNGLTGTIPPEIGRLQKLKLLFLYENQMSGEIPDEITNCTSLEEVDFFGNHFHGAIPEKIGNRKNLAVLQLRQNDMSGPIPASLGDCKRLQALALADNRLSGALPDTFGQLAELSVVTLYNNSLEGPLPESLFGLKNLTVINFSHNRFSGSVVPLLGSSSLSVLALTGNSFSGVIPTAVARSTGMVRLQLGGNRFAGAIPAELGNLTRLHMLDLSSNNLTGDIPLELSNCAELTHLKLDGNSLTGSVPAWLGGLRSLGELDLSSNAFTGGIPAELGNCSGLLKLSLSDNHLSGSIPPEIGRLTSLNVLNLDKNSLTGAIPPALRQCNKLYELRLSENSLEGPIPPELGQLSELQVILDLSRNRLSGEIPESLGDLVKLERLNLSSNQLHGTIPSSLLQLTSLHRLNLSDNLLSGSVPAALSSFPAASFIGNELCGAPLPRCGGSSSGRLSGTEVAAIVASIAVVSAVVCVAMLYTMLRVWSNWRTVSVSSSDGEEEAHGRRGGKWGAADGKYWKVGSPVSSTEEKHSSASESSVLHGNSSEAGAVKS >Et_6B_049694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:968804:973114:1 gene:Et_6B_049694 transcript:Et_6B_049694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPKVRFVRCPKCYQLLVEYPSIPVYKCGGCNTVLRAKNRAVPPAQNNSEYDEQNKFPSSLKSTPLDNKSICSDEQKIASSVDQPREAAANAIEERAVSAVDHQKEETCSSIDDNTPNPGVTVKEMHEKGLGADFGSNSIEELENLDTSQTASRGKVESVQMTETSTMCEKSEVAQREERLHTNNDMHVESHEALIEELERSLSLGSDDEYFSDEAETSGLSDALRHQMVSRRFMLEGRTDSASRSDPHGRLIEELEMSFSDAEEPMEQHPAVIDRIHEIKHDKHPRTLDAEKNISSLDSGHFELEQTLHQVNRPVENDNQGKENIEDDNKAANNVHGKEHDKRPQTLGGPESAHPCEESIHSLDNGYLESEQSFHEENRLTDNGNEGKEDIEDDNYIANDAHGNEHIIAPNEVGEERFHEKEHDKGWHPADSEITHSCEDIEDDNDIANGVRGNEHIVAPNEAGEERFHEKEHNKDWQSGDSEITHPCDVSSIDDSDIKSIPSLDNGYLESEQIFHEENRLIDDGSEGKEDIEDDNDIANDVHGNEHIVTPNEVGEERFREKEHDNDWQPADSEIRHPCDVSSIDDSDIKLKQTIQPNGPIADATQEKEEDCIDDVKMRNYIDGNENPVFTDEDIAVKVRSNEVDRYERLKKMDELRDQLSRLSSQKGMEKKYQKRGLEYLHQSNSYDVEQHLQSVDVDSYALESYYGRERQPRYQAPKPFSPTQTYPHCHFGHAQKSIPYNYDMWEFNSYYQSSSYAESTVLDHDSLIASYKEPKRMVRKHILRPLSGASPFSICSSCFNLVQMPSDMYISKAKIGKMQCGKCSKVLVLSFPVACHGEGKISKDDTQQTNQPAGSMVAKNKDATSHSAECLRGSVSLNKECGASFTRSFSTQARTSLAATQSSKKISDSALHRLMGYDSASQLLRHSRALEDGYDSFESMVPVSTRISRRKNMLLGTPSEEQWPRVSALRDWHEFPQ >Et_1B_009778.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26315620:26316170:-1 gene:Et_1B_009778 transcript:Et_1B_009778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISMVRIDNAPKGLNPPHIHPRATEVLTVLEGSLYVGFITSIPGSRLISKVLKKGDVFVFPKALVHFQFNHGTENAVAIAALSSQNPGVITVANAVFGSNPLISDDIVSKAFQVDKKTVDWIQAQFN >Et_8A_058378.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:6102232:6104616:-1 gene:Et_8A_058378 transcript:Et_8A_058378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTLVVAVAVLALAAAAGVTGDGGGEGGGEERTYIVRVDADAKPSVYPTHAHWYEAAVLAAAGDGAGWPEGGPLIHTYSAAFHGFSARMTPAAADALAAAPGVAAVLPERVRRLATTRSPRFLGLLSSPPSALLADSDFGADLVIAVLDTGISPTHRSFHDRGLGPVPPRWRGFCASGPGFPPSSCNRKLVGARFFSKGYEATSGRMNETAEVRSPLDTDGHGTHTASIAAGRYVFPASTLGYARGVAAGMAPKARLAAYKVCWAGGCFDSDILAAFDAAVADGVDVVSLSVGGVVVPYHLDAIAIGAFGATEAGIVVSASAGNGGPGGLTVTNVAPWMATVGAGSMDRAFPANVRLGDGQVLDGVSVYGGPALEPSKMYELVYGGASSAAADGYSASMCLDGSLDAAAVRGKIVVCDRGVNSRAAKGDVVRRAGGVGMVLANGAFDGEGLVADCHVLPATAVGAAAGDKLRKYIASSSSITKQRPATGTIVFEGTRLGVRPAPVVAAFSARGPNPQSPEILKPDLIAPGLNILAAWPDGVGPAGIPSDGRRTEFNILSGTSMACPHVSGLAALLKAAHPTWSPAAIKSALMTTAYVRDNSNGTMVDESTGAAADVFDFGAGHVDPMRAMDPGLVYDIAPGDYVSFLCNLNYTEQNICAVTRRQADCRGARRAGHAGNLNYPSMSATFVAGERSAMRTHFIRTATNVGGGRAVYRAEVRAPDGCNVTVRPSQLAFRRDGQKLSFTVRVEAAVGDAGKMEPGSSQVRSGALTWTDGRHAVRSPIVVTVQAPLQ >Et_7A_051877.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25948846:25949972:-1 gene:Et_7A_051877 transcript:Et_7A_051877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGVTTSPVGDQIFLWCQTPCFVSDVVSGDFPGVRTRRGLRLTRRQQWRPPLLVNLQQQQQQRSVAEELELLRVVVIVVDGELMACRPGSMIEQDGVGVGGAARRSGLEHVVPELTACPWPVTWRNHGGGAGATA >Et_6A_046375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13899175:13903326:-1 gene:Et_6A_046375 transcript:Et_6A_046375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTRASGRVVFFPFPYQGHFNPMLRLAGALHARGLAVTVFHTEFRAPDPADFPADYRFVPVPVHVSPEIAGSEDIAKLVMELNANSKATFKERLATLLAEGEEGGGVRCVITDVIWYSAQAAARELGVPAMAMMTSCASSFRTFMAYPILIEKGYLPVQEAHKDDPVDVLPPFRVRDLQRIETSSLSDFATLLFDTVNGARQSSGLIINTSKAIEAADLDEICKGMAIPVFAVGLLNKLSPAAKTSLYELKEDRQCLDWLDKQAPGSVIYVSFGSLAAMDPHEFMELAWGLANSKRPFLWVVRPSLIRGYESGELPFELQHEICNRGRIVDWAPQDEVLAHPAIGAFLTHNGWNSTIEAISEGVPMISRPFLGDQFGNGRYVCDVWKVGIEVKVEAQLERGKINAAIEKLMDSEEGKDVRERIKNMKGTVDEGIKEGGSSHSAIINLVDTIIQQQKSTVEIAAMASRNGAVGRVVFFPFPYQGHFNPVLRLAGALHARGLAVTVFHTELRAPDPADFPADYCFVPVPVDIPPEVVASEDIASQVIELNASCKAPFKDRLAALLADGEEGGRVLCVVTDVIWHSAQAVARELGVPAMGMMTSCASSFRTFMAYPILIEKGYLPVKEEHKDDPVDVLPPFRVRDLERIETSSLSDFATLVLDRIAAARQSKGLIINTSEDLHKIREEMAIPVFAVGPLNKLSPAAKTSLYQLQQDRRCLDWLDTQVPGSVIYVSFGSLAAMDPHEFLELAWGLANSKRPFLWVVRPSLIRGYESGELPYELQMEIWNRGKIVEWAPQDEVLAHPAVSAFLTHNGWNSTFEAISEGVPMISRPFLGDQFGNARFVCDVWKVGIEVKMEIQLEREQINAAISKLMDSEEGKEVRERMKKLKKTVDEGIKEGGPSHSAFVNLIDTIMSF >Et_8B_060759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6317824:6319234:1 gene:Et_8B_060759 transcript:Et_8B_060759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQGRVGHMAECARQAARAMREAVAKGGNEVEVGAHMARLAGDIVARTEFGTSYDVGKRIFDLIDHLQRLTARSSRYLWVPGSQYFPSKYKREIKRLNGELERLLHDSIQRSREIADEGRTPSSSCGMGLLGMLLAETDKKNKKSSEELGYDARMMIDECKTFFFAGHETSALLLTWAIMLLATNPAWQEKARAEVAAVCGDAPPAADHLPNLTVLQMVINETLRLYPPATLLPRMAFEDITLGSGSGNGGSGQLRVPKGASVWIPVLAIHHDEAAWGADAHEFRPDRFARRRPPAGCFLPFASGPRNCVGQAYAMVEAKVVLAVMLASFRFGISDEYRHAPVNVLTLRPRHGVPVRLLPLR >Et_3B_028379.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14404466:14405427:-1 gene:Et_3B_028379 transcript:Et_3B_028379.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKEMAPSWLELFFSTCTNHLRSSRNGCNLFCIDCEEQPIAFCYYRKSRYHSTRCVIQIRRLSYHDVVRVSDLEDILDISNVQTYVINSTKALSSSTHKYETCFRALLDEFQFYSLGCNLRAMRKDVELPNISDYGADCVEMEDLVAEKLVLRARTKTLVTITRKNHQQKGLLVVTVEREFLSVLHSSESELMLLKKM >Et_7B_053521.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5439003:5439068:1 gene:Et_7B_053521 transcript:Et_7B_053521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGINPLAMPAAGIRGHWTM >Et_2B_021012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25669720:25670426:1 gene:Et_2B_021012 transcript:Et_2B_021012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLSRPAGLARRLGAQAQPQLGGILGRRHSHTRRRVAEDVEAEAAGPSTAADGAAAADGSAMSRRMEEAIDGAMARMAEPDWAPFLPGTSYFAPPRPAGAARGILALLSNGGGMDLAPLRLGLSVDEVRAVASASRGYPCSTYFIDGHFPDEGESSKLDADQTQEE >Et_6A_046116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2591562:2591993:1 gene:Et_6A_046116 transcript:Et_6A_046116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIVSENDATAASNGQKQATAAAMVDDDDLFELDIASLSRHRSSHCAVVPDDDDGGGHALLANCLLPVSSVSNAVPVTASSIASTSYPYSGYHSSRRLFTGGGRRFLGRSARFCFSSRGFENMGNYFQRY >Et_1A_007102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30903121:30905703:-1 gene:Et_1A_007102 transcript:Et_1A_007102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATKNNSLKQPHVVVVAGSMGMAAPCLVRSKLLLYAAVGFALGVVVTASLLGSSSYATSTVAVFRGGALGLFFPPSAAGVQQPPPPQVVQVKQQAPSPPTQRPPPEARPAPATTGRSQQPPPDTAANATAAVTSPPAPPTIDGGGGSFRIADDDVLLSLAASAPRTPAAGGASPKVAFLFLTRWDLPMAPLWEKFFEGHAGLYNVYVHTDPAFNGTDPPEGSAFFRRTIPSKEVKWGHVSMVEAERRLLAHALLDDAANARFVLLSESHVPLFDLPTVHSYLVNSAQVFLESYDQPGPTGRGRYHRGMRPVVSPGQWRKGSQWFEMDRALAADVVADRVYFPVFKRFCKRNCYADEHYLPTLLHVLRPHGAAGANRSLTWVDWSHGGPHPARFTRMEVTVDFLRWLRGGSTCTYNGRTTTVCFLFARKFLPNSLTRFLRFAPKVMGFG >Et_5A_040633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10666338:10678476:-1 gene:Et_5A_040633 transcript:Et_5A_040633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWKENASPSPLHQRGASNPTPLLPCKRPAQSPSPCPPPRRPLADVSGNALEQRSGGERCGYGYRTPLQKAPRVCGFLVEEDDDMDEAFLQEVDAICEEHARSTASKENKAAEGDRETVGGSFAASTIDNARPEVATIQDAFWEEVNAACEERDAHCAVKGQDGLKEAEEEEDEEEEEEEEESLELWDDDFLPPAISITEDGGEFEDMFWNINPIREEHDTKSPAKCLEVTNELEGNDGLVASCGGADSSVVSTAKEGRELVDENFGDVDTAIHEGHAALSTTKDQEELQDTEHDIKGEEGCAPSKYYEYLHSLNDRQREAACSDVNIPLMIVAGPGSGKTSTMVGRVLTLLKEGIPPPNILAMTFTTAAASEMRDRIGAVVGKAVAKEIPISTFHSFCLQLCRTHAEKLGRTSEFVIYGHGQQRRAVIEAERLLEIGENNGLGDTSKQHDGDIKNSYKDKAKKWLKFITQAKASGRTPEEYEKKGDLTGASVLLHYNEILRSCNALDYHDFINSSITLLTDFPEVYNECQDMWQAIVVDEFQDTSAMQYCLLKILASHSRITIVGDEDQSIFSFNGANASGFDSFRRDFPNHKEIRLSKNYRSTRAIVEAATSVIHNNTKRHHHKLVETDNPSGSKITVKECYSEDSQCAFVIDKIIETTSSSAEGCHLGNVAVLYRRQITGKAFQVSFRNRKIPFNVHGVAFYRKKVIKAIMAILRTTLPGCDDGPWRQAFKALLSSDKEEKKKILDHIEKISLARKFSFISAANDIFSAKVSGTFKRAQLTQGRKVLSTLDGLSKLVEREQSISVIISSAGDMLPQKYLLEKRAVVDVDNGKLLNEDNDIRSVLQFLMDDVSDFLSTHFSSSIERSKSEEKGCASTLKAFIDYISLRETENFRSRKEENKNSITLTTIHQSKGLEWDVVFIVQANDSEIPLLHQFNGTVKEAGSTLELLQPSRFLREIPVHLLDAQGEETLGKIPQQPPGDIPFDDPEGDTSFEKPNSLQTETLPYPELAHGCLANDFLKRFDIDDRSVVSHIFHQWAKKAAFQNPKRLLDKISFVTDERIRGKGYKRKDVLRKLKAFLNGDEAMGYAQYDHFQKQRIENSMGSSEPTVKQISYLRNLGCTITPTSRLHASHLIEKYKSL >Et_2A_014578.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24638126:24639022:1 gene:Et_2A_014578 transcript:Et_2A_014578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMHRFLPVLLSLSLLVTTTNGDEHIYIDDCPGNATYEPGSAFQANLDALLSSLPGAAAASSGFAKNSTGAAPDQAYGLAQCRGDVNASACRSCLDASVRDVTRGGCRGQKSAMVIYDACQLRYSNASFFGVSDDKAFTVYQCSSQNATQPEQLMQFVAQVGDLLVNLTGKAAYRSPRMFAAGSVQVTPSVKLYGMVQCTRDLAAADCNRCLSSVVPFMPFDCEGRQSIRMFRRSCSARLEVFPFYNAQVVDAAMSPAPAPEGGPVNCGGRHANHSGAMIEFARCMLSMFLAIILAVF >Et_9A_063259.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17823257:17824915:-1 gene:Et_9A_063259 transcript:Et_9A_063259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSAAARQLEDAAVARLRACATFRDVLRAHGHAVRLGVSRSSFVATQIVHLCNAHGRPGHAARVFAHVPDPNLHLHNALIKAYAQNHLHRDAVEVYVRMLRCPPPVVVPAPPSGGDRFTYPFLLKACGGLAALELGRQAHAHVVRSGCEAHAIVQNSLIEMYTRCGDLPLARRVFDGMRDKDAVSWNTLISAHARLGQMRTARALFNSMPDKTVVSWTAMVSCYTAVGDFAGAVEAFRLMQMEGFEPDDVSIVAVLPACAQLGALELGRWIYAYCDKRGMLRKTYVCNALMEMYAKCGCIDQAMQLFRGVPEKDVISWSTVIAGLAAHGRAHEAVALFAEMDREGRVRPNGVTFVGLLSACSHAGLLDEGLRYFDRMEEAYSVEPGVEHYGCVVDLLGRSGRIQRALDTIRGMPFPADAKIWGSLLSACRSHGDVDTAVVAAERLVELEPGDVGNLVMLANVYAAAGRWGDVASTRKEIRSRSMRKTPGCSMIEVDNVVREFVAGEELGPELGGVAAVLDVLASQLADDVEFVDTDCLVDENLISSDD >Et_1B_012780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34990216:34991968:-1 gene:Et_1B_012780 transcript:Et_1B_012780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRSAAAPERMTTVSRHYFGGSASEGDHDLRVDIIENIEEDYGMFVWPCSVILAEYVWQQRSRFSGSRIVELGAGTSLPGLVAAKIGADVTLTDIAHNTEVLKNIRKICALNDANCTVLGLTWGDWDEPIFDLHPDIILGADVLYDSAKFDNLFATVSFLLENSPGSVFITTYHNRSGHHLIEFLMVKWGLKCLKLLDGFSFLPSCKAVSLQGNIQLVEIGLDKEKHE >Et_3B_030967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9429840:9449638:-1 gene:Et_3B_030967 transcript:Et_3B_030967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAKTGRSLPVPNVQALAQTWNGSGEQVPERFVRTEEVCAEEVVAGCALPVVDLGRLLDPQSSEEELSNLGSACQHWGFFQLVNHGVPEELIQDVKRDIAEFFKLPLEAKKVYAQLPESGLEGYGQAFVFSETQKLDWSDMIYLMLRPTESRGMRFWPEQPPSFRSTVDRYSAESARVVSCLLRFMAADMGLEQPKSLLEVFRGEPQTMKVTYYPPCAQAGKVLGLSPHTDACGLTLLLHVNDVQGLQMRMDDGKWLAVEPLDGSFIVNIGDILEILSNGRYRSVEHRVLVNPNKERIAAAMFHQVCPDTTVGPLPELVKGDAGPRFKSVSHADFMKRFFSTKLDGRRSHLDHYRIYCPSQASCVQIINHGVPDEVIQDMRRDITEFFKLPLEAKEVYAQLPDSLEGIEGYGQAFVLSETEKLDWADMIYLMLRPTESRDMRFWPGQPPSLRNSIDRYSTETANVVSSLVRFMAADMGLKQPERLLEMFAGQPQAMRVSYYPPCRQAGKVIGLSPHTDACALTLLLHINDVQGLQIRRDDGKWLAVDPLDGALIVSIGDTFEILSNGRYRSVEHRAMVDPDKERISAAMFHLPCPTITVGPLPELVEGGAQARYKSAGYAEFVERFFATKPDGRRSNLEKEKNQILSMAQAKGAGHLTVPNVQALALTWNESGEQVPEQYVRTEEAGEEEVVASAVIPVVDLGRLLDPRSSEEELRNLGSACQLGFFQHLSHIQPSCVQIINHGVPEEVIRDMRRDITEFFKLPLETKKVHAQLPGSVEGYGQAFVLSETQKLDWADMIYLKVRPAESRNMRFWPAQPPSLRDSVDRYSTATADVVSCLLRFMAADMGVEPERLQEMFTGQPQNMRVSYYPPCRQAGKVIGLSPHTDAGAMTLLLHVNDVQGLQIRSDDGKWHAVDPLDGALIHWRYIRGNLLLPYVIQFYFFWYYYLLPSRRRTRTSMAHAKTGRSLPVPNVQALAQTWNGSTEEVPERFVRTEEVCAEEVVAGCALPVVSISALAQTWNGSGEQVPDRFVRTEEVCAEEVVAGCALPVVDLGRLLDPRTSEEELANLGSVCQQWGFFQLINHGVPDDVIQDVTRDIADFFKLPLEARKAYAQLPESGLEGYGQVFVLSENQKLDWSDMIYLMLRPTESRDMRFWPEQPPSFRSSVDRYSAEAARVVSCLLRFMAADMGLEQPERLFQVFRGEPQTMKVTYYPPCAQAGKVIGLSPHTDACGLTLLLHVNGVQGLQIGTDDGKWLAVEPLDRAFIVNIGDILEILSNGRYRSVEHRVVVHPNRERIAAAMFHQVCPDTTVGPLPELVKGDAGPRFKSASHTDFMKRFFSTKLDGRRSHLDHYRIYDLGLNAKQGSTTLQIKKRTDM >Et_7B_054325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:244205:245296:-1 gene:Et_7B_054325 transcript:Et_7B_054325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAKTDSEVTSLAPSSPPRSPPRAGGRPVYYVQSPSRDSHDGEKTATSVHSTPAFSPIGSPRHSVGRDSDSSRFSGHPKRKVGDKSGGGGRKGAPAGKGWQEIGVIEEEGLLDDEEHTRIVPKRCYYFLVFVLGFVALFSFFALVLWGASRSQKPQIAMKSITFQSFIIQAGTDASLVPTDMATTNSTVKFSYKNKGTFFGIHVTADPFELSYSQLKLASGDLKKFYQARSSRRTVSVSVTGNKVPLYGGGPTLTAAPAAGGKQAAAAVAPVPMVLRTTLHSRAYVLGALVKPRFTRTIECKVVMNPAKLNKPISLEKACQYS >Et_10B_003778.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5837794:5844253:-1 gene:Et_10B_003778 transcript:Et_10B_003778.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TPHLPITGSHIIVGSGENCDICLDDKSVSSILCVVQRLTVSFMLLCVVKEVILLCFLAPCTNIVCFLSQEGGLCQLEVIGNKGEVSVNGGSISIGTKVTLVGGDEVVFGRCGKHAYYPLSDKVTKAVPISTLALLETPVTNMKTLHLENRTGDDSAEAGPTILSSVPLRDQLAIPAASSGENTQRVVESALENQNDCIRFLNPSATLSPSGCQNFKDVLKQGIVCPNDIEVTLDNFPYYLSENTKKVLLSSAFIHMEKKEFANRFPEILSVNQRILLSGPPGSEIYQETLIKALAKHFDSRLLIVDSLLLCGPIPDGNDLGGLCDKKHGFFCHAGDLRLDSSVCEVDSLSLGMLIEVVSEEVKSSNLMVLLKDVEKSFTEYTESHALLRNELPPGVLIIGSYTEAAESRKGQSHPGGFPLPKLSSGSQALLNIFSNEAQLSHLKKQLEHDTQTLRAEANVLNIRKFLTRRGMECKDLEELSIRDQLLTSKNVDKIVGYAVGYHLRQNKPNLPKDANLLLPVECLKHGFSVVQNTHSGNKSSKNAIKDVVTENEFEKNLLSNVIPPEDIGVTFEDIGALDNVKDTLKELVMVPLQRPELFCKGQLRKPLKGILLFGPPGTGKTMLAKAVATEAGANFINVSMSTITSKWLGEGEKYVKAIFSLASKLSPAVIFVDEVDSLLGRRESSGEHEAMRKMKNEFMINWDGLRTKEHERVLVLGATNRPFDLDEAVIRRFPRRLMVGLPDASNREKILRVILSKEMLSSEVDLETLSNMTDGYSGSDLKNLCATAAMCPIREVIETEKKEKSLAIAEGRPEPRLYGSDAIRALRMDDLKFAHDQVCASFSSDSRNMTELIQWNDLYGEGGSRKKEKLSYFM >Et_9A_062146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2056583:2062379:-1 gene:Et_9A_062146 transcript:Et_9A_062146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRNPRRRDPASPQTPDSFKPGDPVEVLPDEPGLRGAHFAAVVVKSNPKPRTYTIDYDALVDDEDSARPLRETVPARCVRPRPPPLRAPAPAPARPPRSTPPSTRSSTTPGGSGSRSAPERAGMGREVMEFDAANVRPHLEWVAGEWLSPDSMEIPKTMPYTKGTQIEVAKMVDSSVVAWLPAVVTKAIWKNNLLVEYTVSKSDGTALSEEIVDVKHVRPCPPHASAIIFCINDEVEALQEDGWWLGMITEVHPELRYTFKSAHSGEEVQLEQKLLRLQYEWVDGQWKQESQNVSKAKFLKGVKVEVSSDDEGFRGAWFEGTVLKSAGSKFLVEYATLKADDETSPLTEPVEVRHIRPAPPHIPVTKGFKLLDEVDAFCNDGWWVGVVSRVISDRRYTVYFRPWKEEMEFEHEQLRLHCDWMGGRWMRASPVRLT >Et_3A_023087.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26273885:26274401:1 gene:Et_3A_023087 transcript:Et_3A_023087.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLVVCPGLKVETIVAGACKMFKAVDKLHRNFSYMHCWMILKDQPKWIGRHKHMSTSKPVAKKQKTTANSSPSSAPLSITAGNVGDGQPAEGAQELEFVKIVSACPRDII >Et_1A_005822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14402310:14409275:1 gene:Et_1A_005822 transcript:Et_1A_005822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSTVLGFFGFGVGVTLGLVIGYYLFIYFQPTDVKHPEIRPLVELDTNSLEGMLPEIPHWIKNPDFDRIDWLNKFLETMWPYLDKAICKTAKEIAKPIIAENTAKYKIDSVEFETLTLGSLPPTFQGMKVYTTEEAELIMEPSLKWAGNPNITVVVKAFGLKATAQVIDLQVFAVPRITLKPLVPSFPCFAKILVSLMEKPHVDFGLKLLGADLMAIPGLYAFVQETIKTQVANMYLWPKVLEVQVMDPTSAQKKPVGILHVKVVRAVKLTKKDLMGKSDPYVKLKLTEDKLPSKKTSVKRSNLNPEWNEEFKLVVKDAESQALELTVYDWEQVGKHDRIGMNVIPLKDLTADETKSITLNLLKTMNANDPANEKFRGQLTVDVTYKPFKEGDSDFDASDESGVIEKAPDDTPEGGGLLVVIVHEAQDVVGKHHTNPYVRILFKGEEKKTKHIKKNRDPRWEEEFQFMCEEPPINEKMQVEVISRPSSLGIHSKENLGYVVIGLADVINNKRINEKYHLIDSKNGRIQLELQWRTS >Et_1A_007016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30060493:30062033:1 gene:Et_1A_007016 transcript:Et_1A_007016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRWSSADFGSAPDNDFLDKLAEKYRDNCTRSTKDCKEKWICPSCRGDFPPVRNLLVDLPAYWCPECKVMNPASYNWSITKMKLNGIPLDFIVYDQCRTPYCSIFAVIAALDCARRVNGALHRLIESAPLDFQEMKNMYERETNCEPGSEKKKFSFARLHMMLVSMRIYPDFFRVKASNIPHVTRLIASGIPLVTFVRVGSSFTYMIGNQVYSAPATWDDANATHAVALVGSGRAQKSSGQVGTYYVARNSHGESAHSCYHTKGFSGDFLVWAEDAIFIWGFNLQDQCPLEEELNL >Et_4A_033376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22042251:22043873:1 gene:Et_4A_033376 transcript:Et_4A_033376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQGGAPAPAAELGLVTLREFTASDADAEALMSWASDPRVARFQRRDAYTSLDQARRYIADHVLPHPWYRAICVAGRPVGSISVKPAAPAETDGDGGLPFIRASVGYRVAHEYWGRGVATRAVRAAADAAFAAWPWLRRLEAVADVENPASQRVLEKAGFVREGVLRRYILLKGRPRDMVMFSIVDTDPTDRAMEPAADGDAQGQRRRVEEPAPLVSLRPLGLDDTDDFMAWASDDRVMRFLKRPLCATREQAVAQIRDTVLGHPWFRAVCVDGRPVGQVSVWPYADDGGRRANLGYALAHDHWGRGVAAAAIKMVVGRVFDELPGLERLEAVTDVENVRSQRVLEKAGFHKEGILRSYIAGRAGGKAKDAVIYSFLSSDRAS >Et_8A_058501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9872464:9874987:-1 gene:Et_8A_058501 transcript:Et_8A_058501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGRCFPCLLVTLAGLILLPLAHGSPNQQNEDRIRLLPGQPNSVAFDMYGGYITVDEQAGRALYYWFQEADRGTADPATAPLVLWLNGGPGCSSIGGGALEELGAFRVHTDGETLLLNEYAWNKAANILFLESPAGVGFSYSNTSSDLVVGDNRTAHDSYTFLVKWFEIFEQYKYRDFYIAGESYAGHYVPQLSQLVYRRNLGVEKPEINFKGFLVGNGVTDDRIDTIGMFESWWHHGLISDETLESGLKVCPETSLTHPSPECQKVMDKATEEQGNIDVYSIYTPPCEKGTPYERSLSLTRSRRRRLMLPAYDPCTTFYSTHYLNIPEVQEAMHANVSGIIKEPWVMCSNPIYDNWTTDVVSMLPIYEELIGAGLKVWVFSGDTDAAVPLSSTRRSLAALNLPVKTSWHPWYIVSTEVGGWSMEYEGLTFVTVRGAGHEVPLHRPEQALFLFQHFLQEEPMPAEANVSFATSNEIAHKN >Et_10A_000580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13033461:13036856:-1 gene:Et_10A_000580 transcript:Et_10A_000580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEKQIEHSHIPIRGLNLHVAQAGKGKRGTVVFLHGFPEIWYSWRHQMVAVAAAGYRAVAPDWRGYGLSDQPPEPEAASYDDLVEDLLAILDALSIPKAFLVAKDFGAIPVYDFALRHPSRTCGVMCLGVPFTHGGSSFTTLPEGFYILRWREPGRAEADFGRYDVKRVVRTIYVLFSRSEIPIANEDQEIMDLADLSTPLPEWFTQDDLAVYASLYEKSGFRYPLEMPYRSLHKRQPIEDPKFQVPVFVVMGEKDYVFKFPGVESVLKDGIMEKFALDLKITFVPEGSHFIQEQFPDKVNKLLLSFLRDHPIATLSTGGGGRCSLLKVITFRAFQKFRNSAVSTEEVVVVLWELRGGVDLLQNLHYGRSTALAEQHQRAEAEAASSKLYLC >Et_10B_003612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3797389:3807223:-1 gene:Et_10B_003612 transcript:Et_10B_003612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENERRRRRLIAAGRECIVRRGATRASVPTGVTNIDDLADELLELVLLRLTLSLVRAAAACRPWRRMIAADGFLRRFRSLHGPFVLGHFYAGADTAFVPAPAPSGEATAAGGVSSRFPIINDNGVYLAAFLSGPNMSKVCVGRAKGSLFWSLRGRYVIHVNESTDAFSSFLIPFPPGRSDFNWDTYDRRKLRVVHNDAREMHVVRIVKKTFRCSGSHVRSRGVSRVEEIQLVPAVRCRGRVRSVLAFE >Et_9B_065321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3854916:3867683:1 gene:Et_9B_065321 transcript:Et_9B_065321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSGDPSARKRVDLGGRSNKERDRKVLLDQTREERRRRQALRLQNSSATKIQKFFRGKKALELARSEIRKNFFSTYGEHCERVDWNTFGTNSDFLRQLLFFFNANEDNDIAILCQVCNLLLQYVKRGGDIITLFAGANDSSLQPLVAHRVKKLALICVQAVYQKRHDWSSQLLTASGSTSLPFISLLETVACLINPKLPWNCKVVGYLQRQKIYCLFRGIIISVPQNARNLWHADSASALEQVLMLVASHVGHHPCCCPIVDPRWSFFSQLLSIPFLWHRLPQLKKVFSVNGLSKYYIHQIASCLPSLTDVLPNDISANYPGYTCVLANVLEAATWILSDAKFASDTAADIIAVSTTLLDALPSITSPTERVDDDEDDMPMDIDAKNGLDVDLERQITSAIDSKLLQHLVNALFRGTLSTNHSDLAGPSDAEVEAVGSICAFLHVTLNTFPLERIMTVLAYRTDIVPALWSFIKRCHDNRRWPHFPKFLSSLPADAPGWILPVSVFCPIYRHMLKIIDNGEFYEQEKPLSLKDLKSLVLILKQALWQLLWVIPPSSTQKTLPNPSGLKKLSVENVKTKARVGLSELLTQLQDWNNRLPFTSASDFYSQEATSENFVSQAILGNTRASEIIKLAPFLAPFTSRVKIFTSQLTNSRQSTAHSSLTRNRFKIRRSRLLEDAFDQLSLLSEEDLKGPIRVAFINEHGEEEAGIDGGGIFKDFMENITRAAFDIQYGLFKETSDHLLYPNPGSGLVHEQHLQYFHFLGSLLGKAMYEGILVDLPFATFFLSKLKQKYNFLNDLPSLDPELYRHLLFLKHYSGDISELELYFVIVNNEYGEQCEEELLPGGREMRVTNDNVITFIHLVANHRLNYQIRAQSTHFLRGFQQLIPKDWIDMFSEHEIQLLISGSLESLDIDDLRSNTNYSGGYHPDHELIEMFWEVLKSFSSDNQKKFLKAGVPGMEEHSDRLPTSATCMNLLKLPPYKTKEQLQTKLLYAINSEAGFDLS >Et_7A_052480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8473598:8474782:-1 gene:Et_7A_052480 transcript:Et_7A_052480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNDEQNEFHLMAKALLEKFEEKWLHFLPKVESEEKRQKEEAKDVAPINTSREESIAKLAKDTDEELNAVNKQLEELRKMVVQRCRKMATDEKRKLGAGLCHLSPDDLNKALEMVAQENPSFQTKAEEVDLDMDAQSETTLWRLTFFVREAMERQANVASGKMDENAKRKREICNALAKTASKRIKRQP >Et_6A_047359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4446406:4455402:-1 gene:Et_6A_047359 transcript:Et_6A_047359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAENAGKRKLSDSRDERQQLQQTPPAVAPPQEDGEPAAKRRNLSRSCIHEVAVPKGYEAAKDEAVHGTLSDPAFHGEMAKQYPFQLDPFQSVSIACLERNESVLVSAHTSAGKTAIAEYAIAMAFRDKQKVIYTSPLKALSNQKYRELSQEFSDVGLMTGDVTLQPNASCLVMTTEILRAMLYRGSEVIKEVGWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDENGQFREDNFGKLQDSFAKQNVQLDGRKGGGPKASGRIAKGGSASGTSDIYRIVKMIMERKFQPVIIFSFSRRECEHHAMSMSKLDFNTDEEKDCIEQVFNNAIHLLKDEDKTLPAIELMLPLLKRGIAVHHSGLLPIIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDTNRYIGSGEYIQMSGRAGRRGKDERGICVIMIDEKMEMSVIKEMVLGKPAPLISTFRLSYYTILNLMSRVEGQFTAEHVIRNSFHQFQYEKALPEVVQKITRLENEATLLDSSGETDLAEYHKLELDISELEKKIMSEMIRPERALLYLVPGRLVKVRDGSTDWGWGVVVNVVKKPPASGTLLPALSASRSNNYIVDTLLHCSSSSTDNVPRSKPCPPRSGEKGEMHVVPVPLPLVSGLSSVRINIPPDLRPSEARQNILFAVQELGKRYPQGLPKLHPIKDMGIQEPELVDLVHKLEDLEQKQCSHPLYKSGQSEQEMSWYHRKAELNHEIQQLKSKMRDSQLQKFRDELKNRSRVLKVLGHIDADGVLQIKGRAACLIDTGDELLITELMFNGTFNDLDPHQIASLASCFVPCEKSSEQIRLRSELSRPMMQLQEAARKIAEVQRECKLEVNVEEYVESACRPYLMDVIYCWSKGATFSEVIEMTDIFEGSIIRLARRLDEFLKQLEAAAKAVGELDLAKKFEEASNSLNRGIMFANSFSAGSIYQLIASSGAESPAAAALVATNSVAQVSTAKLAAEELILHRAQAAVEPVFEIHQEHQPSQMRHVLLA >Et_8B_059779.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2765965:2770054:1 gene:Et_8B_059779 transcript:Et_8B_059779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETGYYDVLGVSPSATESEIKKAYYMKARQVHPDKNPNDPQAAEKFQELGEAYQVLSDPAQRQAYDSYGKSGISTEGIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDNFGEDENIDARKLHEKMQAVQKEREDKLAEILKNRLHIYVQGNKEEFVQLAEAEVSRLSNAAYGVVMLNTIGYVYSRQAAKELGKKAIFLGVPFVAEWFRDKGHFIKSQVTAAAGAIALMQLQEDLKKHMSAEGQYTEEELEIYMQNHKQVMVDSLWKLNVADIEATLSRVCQMVLHDGSARREDLRARAKGLKTLGKIFQRVKLNPTEGETSEVKNINSMDDNDGSSPDSSPKRESQFTPNPPHAPSPYVEAPQFNGTYCSFNFPMPTAPPGAQRDPMP >Et_7A_050503.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:20118035:20118349:-1 gene:Et_7A_050503 transcript:Et_7A_050503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRADSDPPSNNGGRGGTGKVLVHVPSGEVVSSYEVLERRLRELGWEPYLYDPCLLQFHQRSTVHLISVPRDFARLKLVHMYDVVVKTRNVFEVRDAPAPAAS >Et_4B_036380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20914455:20914898:1 gene:Et_4B_036380 transcript:Et_4B_036380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASLLYLATPRLSLRLRHPSAPLPRRPPPRAYKVTIEHGGESRVVEVEADETILSRALDEGLDVPHDCKLGVCMTCPARLVAGEVDQSDGMLSDDVVAQGYALLCAAYPRSDCTIRVIPEDELLQVQLATADD >Et_5A_042512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17753877:17758032:-1 gene:Et_5A_042512 transcript:Et_5A_042512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSVVFAIIVFFSGAIGVSSHPHPLDPLTAAEIAAVGAAVHASPLLISARPITFHYVGLDEPDKADVLSHLPRSLPRRALAIARAGGQSHELRVDVSNVSSPAVLSHAVHHGAGFPRFTAEDQAAAAALPCKYPPFVESVRRRGVDVDDVGCGVLAMGWFGGESEEARWGGKRVAKMQCLVTGGATANFYARPLEGVTMVVDLDTMAIVGYRDRVAFPVPKAEGTEYRAEKVGPPFTGPSAAPGVVVQPEGRGFRIDGHVVRWANWDFHLGFDMRAGTVISQASIYDADAGLQRRVLYRGFVSEVFVPYMDPEEEWYFHTFMDAADYGLGTLAFPLQPGGDCPANAVYFDGYYADGNGKPVKVDNVICMFELYAGDVAWRHTEAALPGQMFTEVRPDVTLVVRMVVTVGNYDYALDWEFKMSGSIKPKVSLSGILEMKATSYTHTDQIKEDTHGTLVAENTIGVYHDHFITYHLDLDVDGTKNSFVKNIITSKRNTGNPATGGADTPRRSYWTVRREVAETEADGQVSLNDSPADLLFVNPSKRTKLGNEVGYRLVPAGATATSLMADDDYPQRRASYTKKQVWVTPYNRSEKWASGLYAVQGTGDDSLAAWSKRNRRIKDEDIVLWYTLGLHHVPCQDDFPVMPTLSGSFELRPFNFFERNPLIRSRPASHFSPNCSCGAQVM >Et_2B_021832.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5977888:5985194:-1 gene:Et_2B_021832 transcript:Et_2B_021832.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKKFVEAVILTAIVMAFLVASSSARPLGGDGWVTGEAVLSGEHILQLLRRSCKQDQEHRVRRTAPTVDAQHPRPANQQIYMRPAGTPTCIQLVFVKDIFIRAAHKLSKVVVPLLLILFVFLSCSWTSQPAAATRPLADDGRWGEQEQAGVGSVIVLPSTWRLRHKLPPLEMKQGPSCSTWDPNNPCPTQARPIAAVPVILLVLLAFFAISGAARPLRGEVWAPAREAVSSDGVVQLLRQMYLQQLGAGPSCGTNSSNVGHDNLEEVRAGSDADGNRHGLRRCVELGATAGR >Et_4B_036795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11574343:11577665:-1 gene:Et_4B_036795 transcript:Et_4B_036795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAGDQPDSAGGGGSGGGGGDGESSGEEGRGRRRWWRCAVAVLLGAAVVLSALFWLPPFATRRRRQEEAARADPWGGADVVASFRMQKMVSDLSENKSKLEYDIFEEIGVSNSTVSVISLDPVAESNLSTITFGFWPYPSNYTISPTELSILRSSLVALVTHQSILQLTPSLFGNSSSFEILRFPGGITIIPPQNAFVPQKPDGLFNFSLNFPINVVQVKLNELKAQMKSGLFLDEHEIMYVTLTNLYGSTIVPPTVVQTYVLLAVGANNRPPSSQRLKQLAQTLRNSSSGNLGLNHTVFGRVKQISLGPLPHYLVPAPSPNNYEGPHANPPSFHATIPLSPLPVVVFHAVPPSISRSSNKFISTSPAASICELYST >Et_3B_031044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1233017:1240382:1 gene:Et_3B_031044 transcript:Et_3B_031044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGLALLLVAAAAEVACAAGGHDYGAALSKSILYFEAQRSGRLPGSQRITWRANSGLLDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMAWSVVEYGEQMAAAGELGNAVEAVKWGTDYFIKAHPEPNVLYGEVGDGDSDHNCWQRPEDMTTSRQAYRLDPQHPGSDLAGETAAALAAASLVFRRSNPGYANQLVQHSKQLFEFADTYRGRYDSSITVARNYYGSHSGYGSWSHIGFGLWVQDELLWAAAWLYQATNERLYLDYLANNADELGGTGWAINQFGWDVKYPGVQVLAAKILLLQDKSGGAHADVLRRYQQKADLFACACLGKSGGNNDVRRTPGGMLYHQSWNNIQFVTSASFLLAAYADALAAARRAVACPSGGGAAQPSELVALAKAQVDYILGSNPRGTSYMVGYGATFPRQPHHRGASIVSVRDNPAFVSCHDGYSTWYGRHGSNPNLLHGAIVGGPDEYDNFADERNNWEQTEATTYNNAPLMGVLARLAAGHGSRFGQSLADETAIKGDNQTSEPFPSHATEHASPIEIEQNATTSWTQRGKTYHRYAVTVTNKSGKTVHELHIGIAKLYGPVWGLDKARYGYVFPSWRPSLPAGKSAEAEERSSSYPKRSYEIDERQRANMEVIEVVVIICPRFKADGKQRQIPPLSAGYKSGYDADVGATALVALVQDNYMVFANCGFSKAVLCRGGGPMVLIPENENVQGNVSDYEQEEEEAESKLLRAKETARVFGSFCYKGDKQMAPPEVVAVNRNVGDEFLILETDGLWESLSPKDACFFVRQKLVNKPQEQDAKGSPAKLAKELAERAISLGSKDNISVVIIIFRNFWKGNTRY >Et_3B_029368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24403309:24405764:1 gene:Et_3B_029368 transcript:Et_3B_029368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTIRSISITVSDDDGAGAAAAAAHRRSRAGRRKAARGLGERAVRLVARWWPILLLLPAVALLLFEASRLRASPTPAPPVSSLDRLDPTTRLVHGVREPCLKLLSPKSLANLVFPEVTRLDSVVKKIIYKSDDDDYDTYHSGANSSYLLQHAEATRFNLFTGFQTLLEREDSFKVNGTVNVHCGFYSDNGGFKISDEDRRYMRACKVVVSTCAFGGGDDLYQPIGMANSSIGRVCYVAFWDEVTLATQEAEGKVIGDNGMIGRWRIIVVKGLPFVDQRLNGKIPKMLTHRLFPEARYSIWVDSKYQFRRDPIGVLEGLLWRTNSTFAISEHGARSNIYDEGKAIVQKHKATPEEVEVQLTQYRQDGMPDEKRLHGLKALAEASVIVRELSPRTNHFMCAWFNEVVRFTSRDQLSFPYVLWRLNMPGISMFPVCTRRDLVNSLGHTRKVKPLTQINADTSTT >Et_6A_047159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26039361:26042137:-1 gene:Et_6A_047159 transcript:Et_6A_047159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAGEPSPSFAAPITTPDGWHPRTAERRLLHLLHHSAAARRRPLELLAFAVRRALPCCPPSPHQHSLAALLLLSTPPPPALPLLRLLPPDPPPPLPLLNAAVKALSDSSPPLAFRVLSSLRRIHAPDRLSFLPLLSCAASLPLLSALHCLLLRLGFLSHHAISLALLKPYPLPYVRVLFDEMPQRNRCTVSYNTLITAHLNGKDIRAARHLFDEMQRYKRSRRSVVSWNTMIAGCARCGRDDMAVWFFEKMVEDGEVAPDDGTLAAVLPACGRTGNVSAGRWAHEYAWKTGLLDMAVHIANALVDMYCKCGDVDSARAVFDGMQQRSVVSWNSMIAGFSLNGHGIEGIELFQEMRKHGSEPNAVTFLGVLGCCAHAGAVDVGREIFHGMQLDHGIEPVIEHYGCMVDLLGRSGLLEEAHTLIRGMPMKPNAAIWGALLSACRAHAGLGMAEVALKELISLEPWNSGNYVQLANLYAETERWEEAGEVRKLMRMMSANKAPGQSLIEDIDFQFTNGFWWSLTCALDCLSLQLTVTLSGLLWGTKWGPEANQPEDELCIQNEI >Et_10B_003424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19750257:19750583:1 gene:Et_10B_003424 transcript:Et_10B_003424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKWLIAVTSGGVLGNQESNERGSSLLQMLNQVQLSSNEDGIQYALSTSNKFTTWGVENRIAMKLWKSNVPLKAQNKLQTRQQLK >Et_4B_039621.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24032522:24032962:-1 gene:Et_4B_039621 transcript:Et_4B_039621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSLAAVPAVVMVVMLSLSSCCCVPAAARAAGSGRGSGGDQPLHPVILIPGAGGNQLEARLTDEYSPSSLACRVWPLVRGRGGWFRLWFDPSVLLAPFTRCFAERMMLYYDAAADDYRNAPGVETRVSDFGSTSTLRYLDPNLK >Et_7A_051800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24880699:24881961:-1 gene:Et_7A_051800 transcript:Et_7A_051800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKDFCVGVHRPEQALPRRRVDGWAVGYGHRLVLPVLTMLALGGVCPGTPAMRWSQRICGNKCLPSLHGTDDVFLSGAIGGLVEKGFFGDPLQGGLQRGSWCSSFDGGAVAVGGLAADRPAATELGTAKKKNNDDDDPSISSWVLLDRTAYIADRRNATTATAFTSYGHAIQATFCAARPPRVSHLCVHCPLLTPSDFGTEPLVLATSDDGLIFFRAAICNSYDCEEQAMQDFFIYQPDVGLLRTHGGTAQDQQHYVIVLLNQADCAEEEGGYYRCELHRYRSKDGEWTTKVLRLGPMAPSPGLSFFNQQAASWAGWTCARASCSATCSPTTPCSATSPCRHSC >Et_9B_064809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18824541:18829369:-1 gene:Et_9B_064809 transcript:Et_9B_064809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKEDVMCAKEAEGGAGDAVQEEMAAVVPQQPVAAVVRLRALAEALLYELHLVTALKRKRKKRKQWEWMGVASARATWTAEYYDALIESKKQDGIRVTNSCKHGKGVCANRDFDEGDLVLKYQMLVGAQHSLNKIQIGRRLYGQSIGTGNDATFERHCYGSYAGSSTGCSSATNGNAHTVPQEVIMSLMAGDMQLPFTDQFALPSVVACPGGCKGELYCSQSCADSDWDSYHSLLCTGSKTKPLRRSALQKFVEHANGTNDIFLLAAKAITYTMLRYKNIKRQHASENQTDESSFLFLMEAWKPLSMGFKKRWWECVALPEDVDSSEEDSFRQYIREMTLTLLHRLVEDYFIYIDDLPDNEKEEAEKVTGPFLNALGEDYSVPCKGMALFPLQSCINYSSCPNSKAFKRDEDKDGHAVIIALKPISKDDEITISYIDEDIPYEERQAQLADYDFSEKQWHNLEQQQQQQQTPQLSNQSGVAGPRLVKVEESIMALERYNLSLLKSITILLDLANLQMKDVMSEATTELPKSELELES >Et_5B_043091.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22994458:22994933:-1 gene:Et_5B_043091 transcript:Et_5B_043091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVPEMIGSLVGVYNGKTFKQVEIKPEMIGHYLAEFSLSYKPVKHGRPGIGATHSSRFIPLK >Et_9B_064295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13690807:13706087:1 gene:Et_9B_064295 transcript:Et_9B_064295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAGLIAGRLGGLVWDEATLLWTFKDDVDGMKETMEKLQAWMHDADKQSSQAKGERARVWMKKFKAFAYNVEDLLDMFEAFQLMKQSQPKTKLFFSSNNPLLVRFVIAHKMKKVKEALDKIEQEGHHLNLVSHNTPMWDMGSTNQPTIASTDEAIGIGMVGRDTEKEHIMKLLLRSEVEEAISIIPIVGLGGLGKTTLAQAVFSDKRAKIFDIRVWVYVSKKFDLPRIGQIIISQMNNSIDSGRSERYVPQNGDLQTILEQLKDMLSTRRYLIVLDDVWEEDVDNLEKLMHMLRYGAKGSKIILTTRMQRVVEKLDVGILANQGIIRPVQKSDQINLNFLSLDDCWNVIRKTTFRQDDDLGGLEEIGRQIAMKCMGLPLLARSLGFLMSQDKSRHTWEDIRDRKINLDMKEDQETLQSLMLSYYYMPFKLKLCFTYCAVLPKGFVIASDHLIWQWRALGYIQPMDGQHCINYLLGMSFLLISRSSQSTTEHASEKLTMHDLVHDLVRAILENELTVLDASERMTWSRHEKNYSRHMQLINYPKKSKAPKEFPGRIRSLHFTECSRMQIQQKSFSKSRYLRVLDLSGCSTQEQSAPSKILLPSSIHQLMLLRYLDASGLPIAALPKSLDKLQNMQTLILSNCTLESLPDNIDSLLQLCYLDLSGNSSLSKLPISLGKLSALSFLNLSGCLKLEELPESIHKLESLLHLDISGCCALQKLPDKFGSLPKLLFLNMSDCHNIEQLPECFGSLLELQYLNLTSCSKLQSLPESFSGLSKLRTLNLSYCVRIAKLPSSFGNLKKLQVLDTSGCYNLSYLPYSIKKMIGLNQLRIRSTKFDCFLRGDPDTLEQILLHVTQYSVPEMDIGACSSIGELGNVACYNLQVNHLEKVKHPEDARRANLRNNPELWRLELNWKHEGSIMEKETDAEVLSNLVPPRTLEVFVLEGYRSKEFPNWMLDISSYLPYLTSIQLFHLSVCDSLPPFGQLPNLRSLNLSNIPNIRNIGKEFYGEEGTCKKLRVIVLDSLENLDGWWTTRSNNEDDEFLIPNLHRLHVWECPKLKFLPYPPKSMYWNLHGSDEVLPGGGFGRLLSSTLPFHCQIWSTNFSANKWSRLQQLAPTLEILELYGDSIVRTLLEVTPFFPSLRILELWSMHLEESLPECLGQFISVEELRIHYCHNLTSLPESIKNLTALKTLHIFDCPKLAKSCRGTDAYKISHIPKVIIDCGTYVQTPIGRLKDCHGEWLQRT >Et_3B_028090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10003912:10004707:1 gene:Et_3B_028090 transcript:Et_3B_028090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIYQAKPRLKTACPGFLNMSAAQVKLPFFVLHGEADSVLDPAGDEMRALSMWHGLIAGEPDENVRPSSAALSPDSQRAQLDDGGPLQEASSWASSAHARASSAGLPAGRITMQICEHRALMIFAKLLANQVQAGGKHANRSTRAEAEIICRNTTRRGRTQEACSAAARWPAQARVDLDAAAGKRRLGGLRTPERVFSFDLDSREMPSPHATVEEAVTWSLSTRFS >Et_4B_039964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6799333:6800791:1 gene:Et_4B_039964 transcript:Et_4B_039964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRCTLLVLLLAVSAPGLSRADDSGGGKEEPERRSLSDRNLPPVPARRRGGCDAGQGFPASSCNRKLVGARFFSQGHDAHYGSNAVASNGSVEFMSPRDADGHGSHTATTAAGSVAYAASMDGYASGVAKGVAPKARVAAYKVCWKGAGCLDSDILAGFDRAVADGADVVSVSIGGGSGVTAPFYLDPIAIGAYGAVARGEFVAVSAGNEGPTAMSVTNLAPWLATVGAGTIDRNFPAEIVIGDGTRLSGVSLYSGKTLAANSSLPLYYPGRTGGLSASLCMENSIDPSLVKGKIVVRDRGSSPRVAKGMVVKEAGGAAMVLTNGEANGEGLVGDAHVLPALAVGEKEGDALKAYAGNASNPTATIQVITHKPVSCPAATSNNNKKKPSGSDLNHPSVSVVLYGGNHRTVLRTFTNVGADASATWRAPAAKKQSFAVTVTAASSSPSSAPVYGYLVWSDGAGHDVRSPIVVTWLQPM >Et_1A_007140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31208067:31209160:1 gene:Et_1A_007140 transcript:Et_1A_007140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FVDEAEQDALGAFVSSCCPRLRKLDVAWPKWLTRLVLRTEALEELRLTGARDLETLDVTAPNLRVLSLHECFHVVDIRGGDLRGVRRLSGVRLGMHGRHCDDRRRGLWLLENCPSAEHVELWLDEPDPDCYGDDGVDGHEPVDFTAEGAAPFASVRSLVVTTRRLYGRHLLATLSSLLVRFPCLRSLCISISGPESGDNRIGCLCDIDELDDHPNISLRSLEDVKISGFMGAEEEVGLLNLLFESSSSLKSMTVTAATATERMVVGDNSNEDEFQERIDREIAKVSCTNLGRCHSEGNVYTWTCCPTQNVK >Et_1A_007006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3013584:3022052:1 gene:Et_1A_007006 transcript:Et_1A_007006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSKCNRIGSDSEEEEEGIVAVSSDTDESESEVERGAGADDDDDEYVGESSDAGGGDDVEEGGSSDSGEGGDVNGQGDGGRPLRGGRRVVAAPDKERKSQNVDALVRGNLVVRRQPLIPRILSVSDAAAIARKPFKPPCQNGYSENNEQLARRLSARKRFVPWGSVQAFSVTHTIPQSPTIVSDNSLQKEEPLPPGVEPLILWQHDEFDKENSNFAAIEVDHLLVRYLRPHQREGVQFMFDCVSGSLSDDGISGCILADDMGLGKTLQSITLLYTLLCQGFDGKPMVKRAVIVTPTSLVSNWESEISKWLKGKVQLLALCESTRADVLSGIESFLKPLSRLQNDLEEFFSMVNFTNPGVLGDASYFRRYYEAPIICGREPNASAEEKKLGSERSAELSAKFILRRTNALLSNHLPPKIVEVVCCKLTPLQTTLYNHFIHSKNVKRLISEEAKQSKILAYITALKKLCNHPKLIYDTIKSNSSNGSGFDDCLRFFPPELFSGRSGSWTGGGGMWVELSGKMHVLARLLGHLRQKTDDRIVLVSNYTQTLDLFVQLCRERRYPYVRLDGATSISKRQKLVNQFNDLSRDEFVFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQADNKMQVQGSSLSTEDLRDLFTFHEQVRSEIHENLKCSRCKMDGNLVLDGNCLDSAAIEHNASVPRMEDYTDIGGFGEISGCLQKMNRSQHQIGRPSEEDLGSWGHHCDPSTVPDSILQSSAGEEISFVFTNQVDGKLVPIESVPRSATHQPNGIAATGEKGWRTVSPNCLRGLQKNSPSLDHQPQAKRLHVASDMSDDDF >Et_8A_058192.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23272891:23273769:-1 gene:Et_8A_058192 transcript:Et_8A_058192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYGMSCPFTEWIVRGVVSDALMKDPTLAAGLLRLHFHDCFVQGCDASVLIDSTDDNTAEKDALANKSLRGYEVIDKIKEILEAQCPGVVSCADILALAARDAVFMAGGPYYGVPSGRRDGTRSNAADTLTALPAPVLNATTLIALFASHGFTVQDMVALSGGHTLGVAHCAAFKNRLQTEAATLDASLAAKLGATCGGANGDSATAPFDRTSNAFDGVYFKELQARRGLLTSDQTLFESRETKALVNRFASSPDFFFYAFTQGMLKMGQLDLKVGDQGEIRKTCRVVNSW >Et_4A_035963.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9580930:9581427:-1 gene:Et_4A_035963 transcript:Et_4A_035963.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAARDGKVVPVLPLATLIGRELRGDGSERPLVRYGHSGFAKRGEDYFLVKPDCLRVPDDPSSAFSVFAVRLVVPFCLIAFLASFGFGGVMACFGGLWIYGWQVFDGHNGVSAAVFSKEHLLEHVMSAVPQGISREDWLQALPRALVAGFVKTDIDFQRKGETE >Et_7B_054604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2729574:2733240:-1 gene:Et_7B_054604 transcript:Et_7B_054604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFKNFLDASLCPPAHDHEQHGASQSWAMLDVKAYIADCRNATTAYSRTSNNVKIQVTFCTAPPPAVSYFCVWCPEPSRVAEIAAEPEIMAAEADVVVLGLSHSSRSTFDHGNRDIFIYRPSIGGKRPSLHRIVYHCDNFELCYNVGILRHRNDSTPNDDHYCIVGLNPSKLPWQFKLHLYDSKTKRWSYRRISVDRQHQHSQFSHTTSKIIMLGEGNLMGFVDPWRGILKLCLDPVIARDVVAVQGRFKVVERFRGSVSRVWKAAVWTRGTSSNEDWNRDYYTVEIPDVLVDSNTLHLELLPKMRNGNDGTSRQTLEGFHITHPTIMAKIDAWDKKAWVLAVDMRNKKLLDVGAFRAERYDGIPLSYIHSRITKYFTAGTLPRVGLACKWGYNRVHEGETFSAVIGKQHRHQLIFRRLLLVNFPDASSFDFYLSRLLN >Et_7B_054813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4661024:4665187:1 gene:Et_7B_054813 transcript:Et_7B_054813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEMVRPTARAAPQRRVVGNGPNPHQPLQSRRHPSLHAHVKSRAAPSNASEKKAEKENCLNWRRPESKPPPAAPAARRTPHPPLPVLLRSGAERPKAASMHHDPNPFDEGDADENPLSNGGGGRQQYGFRPTEPVGFGGAGRGDAVDVPLDNMGDSKSKARELSSWESDLKRREADIKRREEALKNAGVPMEEKNWPPFFPIIHHDIANEIPANVQKLQYLAFASWLGIVLCLSWNFIAVIVCWIKEGDSKLFFLATIYALLGIPLSYLIWYRPLYRAMRTNSAFSFGWFFLCYLIHIVFCILAAIAPPIVFHGKSLTGILAAIDTFSEHVIIGVSWIFPCSVYSLELLLINMNAEDTMPVSDLLLYGVRSVLFGDTAEHWGSSVLSATGHRHRAT >Et_1A_008186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:544610:547275:-1 gene:Et_1A_008186 transcript:Et_1A_008186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITNMKRKSEELLCGATVKSKKGSQLQLLDLPKDILSDIISRLLLRDAIRTSVLSSQWKYVWCDHTKLTFSRYTLKRDCDNPSPYGCRPIGEQEFIARVDAVLQQHRGAGVDHMEVRRALHGKHADHIDRWVKFAIVSKTKELVLHLTERTRSSLSCNMQFELRADTAEPYKCPCHLFCGSNGSYMRASVSLNVSSDFNGFVKLQRLSMVDVNITEEHVEYFLSKCNLLEFLEIACCRKLTSIRAVHPMNQLKHLQVENCNILKRIEMNCGLATFEYSGPIVPFEFATTSRLRNVLINKCLTLDTALDYITTGFPNTLLKMRRMRGLWHDYYNYLLYLCELPFWRAILPVRPLRYIYLGQLSLELTISGDKQRKTDVVDYAHLLDAAPFMEKLELHMWMDCHHQPYLARHGELRNLPSQSHQHTYLKSVYITGFFGHKDQVELALNILHRSAVLKEMKIDPRVKILPGGPYAVPATYKLHNYMDGYMIAAKYVRGTNHNNLVEIVGVLLFFGDKPVKQERCQELLEQDFIMVSWQREVGRLLFVPG >Et_3B_029146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22406939:22417753:-1 gene:Et_3B_029146 transcript:Et_3B_029146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLHCSDKLPSFMDVETILHMKEGLGETSYAQNSSLQKRGMDTLKSLITNCATDVYISQMPERFTVADLGCSSGPNALCLVEDIVRSIGRVCGRSSQPPPEFSVMLNDLPTNDFNTIFFSLPEFTDRLKAAAKTDEWGRPLVFLSGVPGSFYGRLFPRKSVHFICSCSSLHWLSQVPPGLFDEMNRPINKGKMYISSTSPLAVPLAYLRQFQRDFSLFLKSRAAEVVPGGRMVLAMLGRQTTDGGYIDRRTTFLWELLSESFAALVSQGLVEKEKVDAYNVPFYAPSIAEVEEEVRREGSFRLDYVQTYEINLSSSGDAKEDGRTVSMAIRAIQESMLSHHFGPDIVDALFHRYTELVTESMEREEVKSVQIGVVLTSLHWLSGSQVMTATDPLITMGKRIKLLHFQAVPSGLIDEMNRPINKGRCTYISSIKPSCRAPGLPEATTFLWELLSKSFAAPVSQGQVDAYNVPFYAPSIPEVEEEVRREGSFCLDYVQTYARDQPEQQRRHKGGRQGRRSGSSRSGCSATTSGRTSWTPCSTEMVTESMEREEARAHRDRGRAHEVVHDPVLSILTCCVAFNIV >Et_3A_025006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25987686:25994219:-1 gene:Et_3A_025006 transcript:Et_3A_025006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRWIAASWRRGTPPAWTRPRFLSCGRVVDAAARDGELRVFVVAGEVSGDSLASRLMASVKEISPVPVRFSGVGGELMRKEGLESLFPMEEIAIMGLWELLPHICSIKRKIANTVEAAALFQPHVVVTVDSKGFSFRFLRQLKSRYNQKVQSPLHIHYVAPSFWAWKDGESRLSKLQNFVDHILCILPFEEEICRLNGLPATYVGHPLLDDAIALNMEVVRMLPIFLHAVQHLKHAFNELSLVIPVAPHRDVRTYVEKLVQSVPNRVVLIPGESLKERYDAFSASRAALCTSGTAVMELMLAKLPCVVAYQAHFLTELLIHMRKKINFISLPNILLNSPVVPEILFRACTSNNLSKKLSEVISNDEVRQLQVESSDKVFQILYEPMKQKGSLCVNELCSSRLPSNVYSPGMIAALTVLYTDKHQQTVYRV >Et_4A_033101.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18526917:18527650:1 gene:Et_4A_033101 transcript:Et_4A_033101.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVATKDEVNAAAKTNAKQDLGKKESAAVTKLTTVPEVVKDKILTKIRDHPNGELSNRKVTNARTKPLDKDSFNSTTRTKVKPDIANDELISKVIDNHRRGELRLLTVADLKCFLSARKAKVGGTKEVLIQRVTELLA >Et_4A_035559.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29290409:29290924:-1 gene:Et_4A_035559 transcript:Et_4A_035559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQKRKSPDQETATADLCANGCGFFGTAANDGMCSKCYRERHPADAVANPTAAFQEITMADRPASVFTIPPPQKKIKLSVPAVVSSAAAAAGAAAVDTQQKQEEAAANRCATCRKKVGLTGFRCRCGGTFCGSHRYTDAHACGFDYKAAGREQIAKQNPLVVAAKIAKI >Et_3A_024154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17984298:17986365:1 gene:Et_3A_024154 transcript:Et_3A_024154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKTLARAGSSLLGRLLAAPSTSPSVLRAGLPLARLQAHVPPPPPTVAGVDAYDAYAVARLSSLPGEISFPCGLPSLRFLIDDGKDPVENEPLELLPKRTYQPSTIKRKRTHGFLTRKSTKGGRKVIARRIAKGRHRISV >Et_7B_053980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1412809:1414696:1 gene:Et_7B_053980 transcript:Et_7B_053980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHLFYQYNPHGALWDTGNLSWGHSVSGDLVNWAALDNALDPSAHFDSRGCASGSVTILPDGVPVILYSGIDADRRQVQNIAFPKNPRDPLLREWTKPSYNPVVPLPADVSANDFRDPTMAWLGRDGLWRFAVSAVADGVGATLVFRSADFRRWERNAEPLHASRDAVMAECPDLFPVVARGGAEEGLDMSPAASGAPDVKHVLKVSMPDTLEDYYAVGRYDDAADTFVPEDGGAHGDYRGWRRLDRGHLYATKTFFDARKNRRVMWAWVNESDSEADDVARGWSGLQSFPRALWLDGGSGKQLVQWPVEEIETLRTKRAAPMRSAEVESGAVREVDGIVSSQADVDVVFEVPSLEAAEGMDPKWLLDPDALCREKGTALQGGVGPFGLLVMASGDLREHTAVFFRVFRLHRGHVVLMCSDLTRSSTKAGVYKPTHGGFVSVDIEKDKSISLRTLIDHSIVESFGGGGLTCLTVRVYPEHVATSTTHLYLFNNGSDTVKVSKLEAWELATASVNAVGEEGFVASGNMCQSEAYSQ >Et_1B_010277.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:34273450:34273764:-1 gene:Et_1B_010277 transcript:Et_1B_010277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPETSMRSPSLKSSAKSSSWPGSNGSTAVSLNSCRCRSGGAPALPRCPSSGRVSFSSRTPWYPTCTASYPSVAAVFTCVTTFPPSRNPTTVTGTGLPVSGSK >Et_4A_031831.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:20483489:20484054:1 gene:Et_4A_031831 transcript:Et_4A_031831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TAPAPGPSYSAAASFLHACCAAVDHADACYNLLLPYAGSFHGSLARVARTSAGLAITRQQGLTDEIGRLNHRGNGAGRMADMVLADCFNTVSTEAQGANVTLARLDHLVAGVKSQKDFASEKLLLKIGFTPRLRPCWSA >Et_9A_063178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1476116:1479854:-1 gene:Et_9A_063178 transcript:Et_9A_063178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAISNPAAAEDWTVVRRRGRRRGDEPRTATHPDAPVPLPENPVPWSPSDPSVDPAGASRIVARARAAMSRVAASRPYRGLLLPDSPLRRRLALLGPTRLSLLGVGSFESSPVARLQLALAALLRSDLLPGFAAADLFDPVLSAAECAAASALGFSVPSLDDGGRRRVEEPTLFYMPHCEASLYDALLAANWESPAQLRRLCVLGNSFQRYAIQADENRSGPAAKATHVLAADRFAWEEPVAEEGDLDEDDWFAQAFNETSWHFFEVEDDTGTRTFVLQIICIKGQEGGSILQHLDIAREQMLEMYSLIRVEYWHGGT >Et_2B_019407.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27868162:27868629:1 gene:Et_2B_019407 transcript:Et_2B_019407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGRLSGNITQDWEPVVVRRTKPKAADLKSTKVVNQALRSGAPVETVRKAAAGTNKHAAAAPAPARKLDEMTEPAAVERVAVEVRAAIQKARVAKGWSQAELAKRINERAQVVQEYESGKAAPVQAVLAKMERALEVKLRGKGVGAPLAPASGK >Et_8A_056056.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:13794105:13796327:1 gene:Et_8A_056056 transcript:Et_8A_056056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAPAPLPRLLAAISAAASSPADLRRLSHLLLSPSAPLPPIRCLNTLLMALARHRMLPDMESLAFRMPARNLRTYTTLINAYCLAGDLPAAKRHLSALLSAGLEPDSHAYTSFVLGYCRAGLLAHACRVFVLMPLRGCARTAFTYTALLQGLCGAGMLREALAVFNGMRADGCEPDSHVYSTIVHGLCGAGRTGEADALLEEAMEDGFKPNVVVYNALIDGYCSTGDLNLAIEVFERMGSKGCSPNVRTYTELICGFCKSGKVERAMVLYSRMIEAGLSPNVVTYTALIQGQCNEGHLECAFRLLDSMDADGLVPNEWTCSVLIDALCKKGKIEEAQLFLSSFVQKGIKMNEIVYTSMIDGLCKAEKFSDAHDLMQKMVSEGLVPDVYLYSSLIDGLCGEKKLSEAMLLLDDMMQNGVQANAVTYTILIDNLLREFGPEGPKKILDKMVLEGIKPDVFTYTIFIRSYCQEGRMEDAESMIVQMIDHGVCPSLVTYNTLIKGYANLGQVTQAFTSFKLMVDNGCKPNEESYTVLLKLLLNKNASHNIVADSAHIWKIADMNVLQELMEEVIKLQRTPDTVYDCFIRCLCRFDRFEEAKTVFIRMQSGNLVPSEDVYTYMIECSCRLKLLKEALTFLDSMAKSGYLPHLESYRFIICALCEEGSLHTAKQIFGGILSKGYNCDEIVGKVLIDGLLQKGNNADCSSLLSFMEEQNCRPSATIYARLPCQITVASEVHEIAK >Et_4B_039750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28439470:28442627:-1 gene:Et_4B_039750 transcript:Et_4B_039750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFENIQRWLRELRDHADSNIVIMMVGNKSDLNHLRSVPEEDGQALAEKEGLSFLETSALEALNVEKAFQTILSDIHQIISKKALAAQEAAGSGPPTQGTTINVTDASASTKKEICRIIAANLKNEPKIWLSQCRLLVKCHCTPFQLVISIAATKNMFCSREMWV >Et_1B_010678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12961465:12962982:-1 gene:Et_1B_010678 transcript:Et_1B_010678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGVRRDDSGEFRPQLVPGQALQAGVGYAAASPGERLPTEEEAWAAGGSSGSDNESARAAATSAWRHGTSHVPWELRGSKYGGAVGSGVSFLLRSRRLRGTEVEWVVPAVTAPRSGERMGEEASTVAKGGIGRRPHAGTGDRSPEMLVHSLSTSSEAITQRLRGFCFASKKKAETHPNAPSSHRHQPTMAQRQAAPSTGSSILDTVPLFVVVLLAVHVLALVYWMYKLASDKPPPRRKTQ >Et_4A_033538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24226488:24238912:1 gene:Et_4A_033538 transcript:Et_4A_033538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAQAAADAAAVLGADPAALTALLADLTSPANEARSRAERQFHALRGSHPDALALSLAHLLLSPAHPSAPIAAVLLRRLIAPSSQSFVYPALSPASQSSLRALLLSAASAPALPRSVTKKLSDAVAELGSFLLPKNAWPDLLTFLYKSIASPSSPPALLESALNILARLASHLAAGFPNLHALLLSALSHPSSADVRVAGLNAAISLIQSLPSAADRDQFQDLLPAMMRALAESLNSGNEGSAQEALEMMIELAGAEPRFLRRQLADVVASMLQIAEAPGLEDGTRHLAVEFVVTLAEARDRAPGMMRKLPRYVGRLFAVLMTMLLDVHDEPAWHAAISEEEDAGETGSYVFAQECLDRLAIAVGGNTILPVAAEFLPSFFSSEEWKRRHAALVTIAQIAEGSAKVMIKNLEQVVGMVLNSFQDPHPRVRWAAINAVGQLSTDLGPELQNQLHHVVLPALASAMDDFQNPRAHAASAILNFSENCRPDILTPYLDGIVGKLLSLLQTGNQMVQEAALTALASAADSSQEHFQKYYDAVMPYLKTILMNATDKSNRMLRAKSMECISLVGMAVGKQKFKDDAKQVMEVLMTLQGSQMEADDPITSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVSVTSAGPEDENGESDDEGVETITLGDKRIGIRTSLLEEKATACNMLCCYADELKEGFFPWIDQVATTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAIQKGQAQGRDASYLKQLSDYIVPALVEAMHKEPEPQICTSILESLNESIQVSGTLLEEGQVKSIVEEIKEVLVASTNRRMERAERAKAEDYDSEEEEMVREENEQEDEIFDQVGDCLGTLVKTFKTYFLPFFDELSIYLTPMLGKDKTSEERRVAICIFDDVAEHCRETAVRYYDAYLPSLLEACTSENPDVRQAAVYGIGICAEFGGSAFRSHTGEALSRLHNVIKHPNALDLDNAMAYDNAVSALGKICHFHRDSIDASQVIPAWLSCLPIKNDLIEAKLVHEQLCVMLEKSERELLGQNNQYLPKIVSVFAEVPFIFIYCFSVSVAFMHYSTCAFSLFVCRAKARTAYLFALCNVTDVIPTIYMDSQDERLDVAEEVVGLALPDLRRVDVRQRGGVLEHVVQAAEARGVVLAEESGRLPQQAQAPDDLLPRERLRLIVLAVLRPRRVGRGGGGRGGIGRRGGLVGAQAPELLLEEELALGLADALDLAGLDLGAADELAAAEVRVEELALPLQLALQHVHGSPEVATADARVIGWLLSSSCGVEKARVCVGSQDDFPFVLRSRKPECLENGGVRVLARTFWILSGDRWSDSLVCGVVLRFEDIESMG >Et_9A_062932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:748692:750994:1 gene:Et_9A_062932 transcript:Et_9A_062932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPQRLGAPYAMRTGDSSSTNTSLNATTANKTSPADETKICVIFCAQDNCGFGVCYCCITKQRCYNTLDNCTANCPACNPD >Et_4A_035669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3441996:3443825:-1 gene:Et_4A_035669 transcript:Et_4A_035669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPAFGDWDMKNGALPDYSMDFSKIREMRKQNKKELSRASLGGDDDLASAQQRSQAPAKAPTTLGRPIDDRRRRSHDNQPTGGKRFLSYFQCCIKA >Et_8B_058691.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:16730505:16730816:1 gene:Et_8B_058691 transcript:Et_8B_058691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYVFRIEVGEHWTNMADGHTNAEKLEVTVPSQAPARSRLNDSQAGDPEIETGEDRMWLMRCPHKLRDDEVNLRDQEELKTKMARAQEAGTTIIADEEKIWLW >Et_3B_030320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32203393:32204032:1 gene:Et_3B_030320 transcript:Et_3B_030320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DVPGDSNQDVLTELSDEVASELSKSVVPIALSNGDKVLFACSGIAIERRSRITMFLTSASLVRALNGRKNGRGNLKIEVVHLEGNEVRKGFLAHFYSDKNFAVVNVMTSLDVHAVLLEHAEEILPHSNVVVPNNSLLWFQKLPTHNGRTVPDQHVHRS >Et_8B_060399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13345940:13354617:-1 gene:Et_8B_060399 transcript:Et_8B_060399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAISLQPVNGPDILLQTRSWFPVSRALAAVSAFRLARLHLARGKQSAAAAAASLDAIGDDPLAASSGQLVVGVESQYRVVYRLVNSIYVLGVTTASDHATAAVHAFAVADAVNQAVSVVVAACRGVDVTPEKVHRKYPEVYLALDLVLHGVGSVRLSQILATIHGDNLARMVNSSPDAEAKARGADSWPVVEHLAHDRHAARDGFSGASFELPQETLAAGDEFSSNIAPATTVATGDEAPPEEAPPVEKDPFAASDMINNKPEEALVGGFKKNKETALVVADPAAALAGLEVTTLPPAEATKPTFIGVEGFEGDYGGIEFGNEEASLAEAFEGLNAPFGGGLDASEFVTTKKKDHKDRTITGLELLVTSGKPPNAAAGTPLENLLVTKKTEMTAPELFIAEEINAEFQGSCLSRVGLKGTVFLRTLPLNKSAGKETEFSFRLEGTSGMKRAALQTNVLSNLQNGLFHVRTTSKEEPIPLMKYSFLPKHSPLPLRMRLVKRHIGTLLSVMIQYASNPMLPQPLSNVTFIVKLPVDPTLLNVSPKAVLNRAEREIRWHIPDIPLKGPAGRLRARMPVDQDSKDECAEHSVVTT >Et_1B_010729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13420227:13426107:1 gene:Et_1B_010729 transcript:Et_1B_010729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRLARPCESSPQFCLAQGFEGPSSHDERKERKSDVDNSEEDRRTRMGSLKKKAINASNKFRHSLKKKNRRKSDIRGNSISIEDVRDFEEVQTVDAFRQSLILDELLPAKHDDYHMLLRFLKARKFDIERAKRMWADMLQWRRDFGADTIIEDFEYTELDEVLQYYPHGYHGVDKEGRPVYIERLGKVDPNKLMHVTTMERYVRYHVKEFEKSFLIKFPACTIAAKRHIDSSTTILDVQGVSLKNFSKTARDLMTRLQKIDNDNYPETLHRMFIVNAGPGFRMLWSTVKSFLDPKTTSKIQVLGAKYQAKILEIIDTNQLPEFLGGSCTCSELGGCLKGEKGPWMDPNILKMVISGKAHCKRQIVTISNDDEKIIAYEKPKYPYKMRSDTSTAESGSEADDITSPKEIRTYSTNSILTPVREEAKLLKAANMSTGHSEYDVAVPMIDKVVDGSWKKETSTNLHLRSKGPSFSDTTESSESVHTRVIAWLMTYIMIFVTLCHAFFSRISKNTLDKSSEVDDNHSIHSLDYSTTKEEFRPPSPAPGFTEADMLSSVLKRLSELEEKIDVLQSKPSEMPSEKEELLNAAVRRVDALEAELIVTKKALYDALVKQEELLAYIDRREFAKFEKKKKFCF >Et_6B_048616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11628255:11629132:-1 gene:Et_6B_048616 transcript:Et_6B_048616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFGNGLNGSIPTTLGKLSNLINLDLQDNLLSGTIPASLGAIKTLKNMLHGNNLTGSIPSSLGNLTNLVNLELQKNLLSGPIPASLGNIKTLRSLNLNGNMLTGTVPQEILSLVSDGSLSEL >Et_4B_037799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23507467:23509405:1 gene:Et_4B_037799 transcript:Et_4B_037799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIFGPMEIISIFLFLQTCIANTKMMDYICLSLTNVEDNPPPRALGYALHDSWNIGGVEVVVRMLEILTFGCVKIAVHTTLTAKVNLQSGEASQPQSVRPGAVSHRRGARITCARRRGRAVVR >Et_2A_018467.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:2863441:2865372:-1 gene:Et_2A_018467 transcript:Et_2A_018467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPFWCRPTAPSHVASTRWPPTPSPSPSDSPGRPARPLPGQPTVTPVNGKGSKLTFRKNGVLELIDYDGSTAWSTNTTATQANRVMLLNSGNLVVMDSKGHHLWRSFDSPTDTLLPCQPMTRNTKVVSAISKGLLYSGSYTFYFNSDNVLTLIYNGPEISSIYWPDPFYKSWEIGRTTYNSSQYGVLDQKGHFVSSDQFEFEASDFGTMVMRRLTLDCDGNLRLYSLNAIDGSWLVSWMAFSRVCDIHGVCGINSICTCMPKLECSCLEGFEVIEPSDWSKGCRRKVNMTANRRWSKDKTDSTINQVFSFRPFRGTDFWGYDFNYTSSVPLWMCRKMCLDKKECQAFGYRKGTGECYPKVLLCNGRNFPDPYNDIYLQVTKAMSSTPTIPSTDCKVTEKEAYPSSQMLESGTSKFNFGYFLSSALTLLLIEVVLIVVGCWVLYKWERKPEVADEGYMIISSQFCRFSYKELHKATKCFQEKLGSGSSGVVYKGVLDDERKVAVKRLNDVIQGEQEFRSELSVIGRIYHMNLARIWGFCAEEKHRILVSEFVENGSLDKVLFDTQRSSPLLQWGQRCNIALGVAKGLAYLHHECLEWIVHCDIKPENILLDKDFEPKIADFWIGETTKSRSWSTNAVKSAWD >Et_9A_062999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:880743:889004:1 gene:Et_9A_062999 transcript:Et_9A_062999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSGAGLKRTGKSCRLRWVNYLHPGLRRGRITADEERLILELHGKWGSRWSRIARSLPGRTDNEIKNFWRTRTRKQKALKDNKTAAAASPSSSSASATTTTTSSSFSGSPNTPSSTVTESSSSAQQEHDDAELDEASTTTATPASHPQQEGYTMDQLWSEIAAAEAAASYMDSWGIVGHAAAPAEPASMPSPVWEFCADYSLWRIDDEEYYKKMLHASHAMLICSHSGLVDHLKRNMWIPRLVEGVNESLTKRIWEKPVMDLKLAVPAQETPVDKFLTASGTFKDGELRLNQRGLRLISEESGDENQSTNLKVEDVQLSMDDLEMIQVIGKGSGGIVQLVRHKWVGTLYALKGIQMNIQEAMRKQIVQELKINQATQSPHIVMCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTILEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNRKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCSFISSCIQKDPAERMSASELLNHPFIKKFEDKDLDLRILVESLEPPMNIPERTGKSCRLRWVNYLHPGLRRGRITADEERLILELHAKWGSRWSRIARSLPGRTDNEIKNFWRTRTRKMALEQRRHGHGDGKQTASPSSSSVSSGSSGSRNSSGSSSPQVAESSALQERSGDDDAELELEEATTTTAASQQYQYQEHGYTMDQLWNEIAAAEAAAAASYVVDSWGAGGHGSAAVELPSSMPSPVWEFCSDYSLWRIDDDEYYKTLEAS >Et_9B_065678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9072143:9072530:1 gene:Et_9B_065678 transcript:Et_9B_065678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTAPLIRLPGCLPITTRELPPSMLADQSSDMTPGSCPWPRRSVDDARCRGHCECLEDAGPPGRAIGLEQTGRHAVLTGTLTWQQTTELALGLAYIHLGCQEAT >Et_8A_057995.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:11429724:11431016:1 gene:Et_8A_057995 transcript:Et_8A_057995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAGQLIQELAAAASTSPLHTRGCPIIIGEVGSLTRNVDPAEYEPHHVSIGPYNRIKNPELARDDEKIRCLSAVLSAASAGGGTRLEGYLDELARFEDEARRCYAHLFPMDREVFVRMLLLDACYLLVRFGGVAGTNGGSDKLEAVAVVRDVFYLAENQIPFFVVEKVHQLTFLDGSISAAETIGSYVRDLLSKRHQYSVATPPLSAPGNLLHLVHMHLKPILPSGGKTTSKRPVDRWRTATEYYFAGVKFKRRHEGASCILDVRLNSGGTVLEVPRLNIDAETWRLLRNLMALEQHNPVTTGNHVTSYCVFMSQVACTAADVELLSRCGVIAHGLGNNAEVARCFADLCKGVVFTVNDHHCNYLKATCQALEKRYRSQHGRWMAWLRQKYFRNPWLAVGLAAAVVGLVCTVVQAVYSVLSYNQGGAR >Et_7A_051671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22145577:22171190:-1 gene:Et_7A_051671 transcript:Et_7A_051671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLLSALLLLPFFAGVTGRSDTALLTPSLEFHHRFSAPVRRWAEARGSPLPGGWLAPGTAAYVAALAGHDSHRAMLAGDEAPPLTFVEGNATLKVSNLGFLYYALVTVGTSGQTFMVALDTGSDLFWLPCQCDGCTPPATAASGSVTSCGETQTGSFLDAAAPNGLFGLGIDEVSVPSILAQKGLVSNSFSMCFGHDGIGRISFGDQGSSDQEETPLDINPQHPTYTITITGITVGNNPADIEFSAIFDTGTSFTYLADPAYTYIIQNFHAQVQANRQVADSRIPFEYCYDLSSTEAKTIGPDISLRTVSGSLFPVIDAGQVISIKEHEYVYCLAIVKSMKLNIIGQNFMTGLRMVVDRERKILGWKEFNCYDSDRSNPLSTNSGNSSGFSPKNYSPEETKNRAGVTQLRPLNSTSSQTLYDSLFLMLLLVYGALMI >Et_8B_060338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9829194:9836058:-1 gene:Et_8B_060338 transcript:Et_8B_060338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEKGALDLVLVPCGLVIMFSYHLFLLYRILRHPSSTVIGYENHNKLAWVRRMVQTSPDETGLALSVISSNISASTNLASLSIALGSLIGAWVSSTTKVFMTELVFGDRSQSTATVKYISLLICFLASFTCFIHSARYYVQASFLITTLDSDVPASYVQHAVIRGGNFWSMGLRALYFATTLLMWIFGPIPMFACSVLMVIILHMLDSNSLPLHQHQFTVRKRQEQRTLTPTIVARQPSPQNPILSNPILSPVTLPRALLLPSEPTPTISQSTASAFVKLTQPQPTKSKTKQLKQAIPSIWLRRHCHLVSSEVGSSLTVTSPSAGGDRSAALGGGGGSSRFFRLPRWVAAGRWGSLAWSCAQLGFRAEGPAGFVLGFRFRFGLRSELGSAAVAGGVEDRGGAAMERGLRRGRRSAYLVEDLKHQLLPGTVAAAVMLCSGKEILSKGV >Et_5B_044434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:24026971:24041463:-1 gene:Et_5B_044434 transcript:Et_5B_044434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTAGDASASSSSGPSTSAAAADAGATHYLAKRVLRGSAVLHVAEGCFRSPDSADVVLGKETSLELVTVGNDGALQSICEQDTFGIIKDIGLLEWHDRNFPGISEIERKDLLVVLSDSGKLSLLYFCSEMHRFFAIANIELSEPGNLRHQLGRILAIDRESSFVAVSAYEEKFALIRVSVCQSPHASGRSTISEKKYFYPPENEEDARIVSGASKPSIRGTIWTMCFISTSVNGEYYPVLAMIIHRKGSDVNDLSLFGRDSSSGAVNHISSYSETGPLALDISEIPEMFGFALLFRVGDVLLLDITNPLNVSCIRRINLTTSLFGDPVNIEDSCPGLLDVDDDVAACALLELRDSGNNITKDEGYMDIDGVDSRGNVKSRIVCSWSWEPPDPVRRGWARLLFCLDDGEFHTLEFAMDCEGVKLCMFEYVERSLPCKPLLWMKNRMIIGFVEMGDGMIFKLGHRRLLHKSTIQNVAPILDLAIADYHGEKQDQMFACCGMCPEGSVRVLRNGVNVEKLLSTEAIYQGVTGLWTLKMKIADVFHSFLVLSFVEETRILSVGLSFNDISDAVGFQPDVCTLACGLVADGLLVQIHSKGVKLCLPTIYAHPEGPPLTSPVCNNWYPDVSISVGAVGRNIVIVATSNPCCLYILGIRSSSSFQYELYETQHVQLQYEISCISIPQGGCRSDNVASNCAEGIDCADKVDAHKFAVIGTHKPSVEIISLEPGEGFRLLATGPISVNNALGAPISGCIPENVRFVAAENFYILAGLRNGMLLRFESEVIGHYFPGSLYKDFSIPSVNTCLQLISIRRIGITPVVLVPMHDSANADIVVLSDRPWLLHSARHSLAYSSISFLPASHVTPVSSVDCPNGLLFVAENCLHLVEMVQGKRLNAQKFSIGGTPRKVLYHSESRTLLVLRTGLSEASCSSDVVQVDPHNGVLLSRFKCEPGETAKCMQITKIGSEQVLIVGTSKSAGRPMMPNGEAESIKGRLIILSLEAVESPRESSSFIPTSSFNPSSHAGSPFHEIVGYTTEEFSSNSLCSSPDEFCCNHIQAEEMAGHLRSLTHATLSGAVLAVYPYLDRYVLAAAGNSLYVFNFANESPHRMKKCAVGQARFTITCLKTFASRIAVGDCRDGVLFYSYNEGLRKLELIYSDPAQRLVGDVALLNCETAVVSDRRGSISVLSCARLEVSESPQKNLAAAFRYRLPVDDDTDPVIESAYDCIVASTLLGSVFVMIPLTSEEHQLLQDVQERLSVHPLTAPVLGNDHAEFRRRGIPSEVPPILDGDMLVQFLELTGEQQQAILTHPSSGKGQPRPVSVFQVLRTLERVHYALN >Et_7B_054084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15124669:15126849:-1 gene:Et_7B_054084 transcript:Et_7B_054084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPLLGSVEKTTGVGKGLVVTEVRKQLYLAGPLIAAWILQNLVQMISVMFVGHLGELALSSASIATSFAGVTGFSLLAGMASSLDTLCGQAFGAKQHYLLGIYKQRAILVLTLVSIVVAVIWFYTGQILLLFGQDPEIAAGAGSYIRWMIPALFVYGPLQCFVRFLQTQNIVLPVMLSSGVAALNHVLVCWLLVYKIGLGNKGAALANAISYLTNVSILALYVRLAPACKNTWRGLSKEAFHEIPEFLKLGVPSALMVCLEWWSFELLVLLSGLLPNPKLETSVLSICLNSGSLAFMIPFGLSAAISTRVSNELGAGRPQAAKLATRVVMVLALMVGVFIGLVMILVRNLWGYAYSNEKEVVEYIARMMPILAVSFVFDDVQCVLSGVARGCGWQKIGACVNLGAYYLVGVPAAYCLAFVYHLGGMGLWLGIMCALVVQMLLLLLITLCSNWEKEALKAKDRVFSSSLPVDMTT >Et_5A_040627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10504033:10505996:-1 gene:Et_5A_040627 transcript:Et_5A_040627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLLGLLKVRVVRGVNLAICDPLTHSSDPYVVLRLGSQEVFDKDTFTKDDSMGDAEFCILDFVENAKQDLSHVSDGTVMKTIHPDKGNCLATESHITWKDGKVSQDIVLKLRNTETGELVLHLQWVSIPGIAR >Et_10A_000532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12228356:12229421:-1 gene:Et_10A_000532 transcript:Et_10A_000532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSSRGAWLPIDAKLCPWTVLHRRARWKVGLGTKGALLRIVGCNPLDDPEFCGGTDNSWTPTASLLGFLCIGTLTRPICGCICTISGCVSCETCCRTDAAFEVPRGETASVITGHRTF >Et_3B_030377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3346477:3354959:1 gene:Et_3B_030377 transcript:Et_3B_030377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AAALPPCASPRFKKGKQKHWRRRRESVRQPKTKEAEKKACRRRLRRRFASGGALACGHGGAGGEWRGGEAGGRGAAAGAARPPRPPLAVEALRDKIVEKVKANRVTLIVGDTGCGKSSLVPQYLLEENMEPVLCTQPRRFAVVAIARTIAECRNWELGEEIGYHIGHSNVSDLNDKRSKIVFKTAGVVLEQMCDRGVAALSKYKVIILDEVHERSVESDLILAGIKQFLMKRNDLRLVLMSATVDITRYKEYFRDIGRGERVEVIAIPCSPCTSIFQRKVLYLEQIADILNINSQSLSTKYCNGPNASADADLNCDVYELIHKLLLHIHQSEKDISKSILVFLPTYYALEQQWIRLLPFSSTFKVHILHRSIDTDEALQTMKVSKSLQVYWDPIRKTDSAGLVWISQSQAEQRKGRTGRTCEGQIYRLVTGKFYGSLNDHEYPAILRLSLREQVLMVCCAEPRSLNNPSVLLQKVLSPPGPDAIEDALESLVQIHALNKTVSGCYEPTFYGCLLNSLPLSFDSSVLVLKFGELGLLHEGILIGIMLDIQPLPILLPFGYQALCQKYRDNYFKESDGVQIGKKEATTIGNLCAFQFWEHTFKDKHRLECLKEVAKTEEPKETHTYIAKPEEEWCATHNLVPAALKTFLIYPSEFNHTCSHHEILKQEEDKDTLSLEAGNSHCNSQNKCAATPYVSQIDFGISTIVETLKTLVKEPAFETERCVFFANGSCIKGSLCHFSHSFSAPKPVCKFFLTLQGCRNGNSCPYSHGCGSLISSSITSGISLQEGRATSLCCTKLLPADGNGHILDLKILHDLADPSLLIIGREHRLPVAWTKVQRVIWFADFDNDESSSERVLLQKFFEHMAIKILSEPFSDLQVILIMKNTKYIFLQVERMARECFFFLGESFMFDEATLGWFSDILRYPRGVQISAPVVYVFTMHRPSTIQFGDYPAELRKALRRD >Et_2B_022820.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:608143:611418:-1 gene:Et_2B_022820 transcript:Et_2B_022820.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRFSALLLLLVPLAAAAAAASEEATEAAAPAGAAVVEAGLLERQAAQLARLEELTESLARSVHALESALARSADPDPPPPVAVGDRRAPQGVAVTKRRPVWSERFHFAAAARLGDGAHAAAATALPYEDADGLTKYFAVGDSRGRVFVFSAAGDALLELEAGSSGESQVTALLAYLSPRRTDCLLFAGHADGSIAAHRLIESSPHGDDWLTLAAASSRLLVRGLDAAPVTHLEAHHAGRARYVLSCDAGGRIRVFTENGTLYGTAIASSTPLAFVKQRLLFLTESGAASLDLRSMSVRETPCEGLMEALNGTRVKAYSFDPSERFKAYGFTDAGDLVHILLLGDVASLKCRVRAIKNSEIDSPVAIQTIKGYLLVASQDKILVYNTSTQYYGRVGAPRPLFATTIKDIKSVFGRSGAVLPAAPAGKPVIAADREKLVILGLGDGNIAIYRSNFPVYKPESNAVVWSGPALLFLLFLIGIWQVYVKKKDSLGWTPEETFNTSATAATGSLLNHSTSDRAFPDSTTRTGDRGYVDGAARASDRSYVDSTTRTTDRGYAEASRGVDLRAGALRSAPRRYVSPTRYSGTAGMQYRPSSAEPGLRGTPELKYRGPDRQMLELPLRPGISVRDAGAQ >Et_1A_004929.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:17294766:17294807:-1 gene:Et_1A_004929 transcript:Et_1A_004929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRVGTCGFQS >Et_2A_018285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21485537:21489262:-1 gene:Et_2A_018285 transcript:Et_2A_018285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPANPGPLLSSRPKPSLPFSRRPRRSHPPPAPAANTTGAVPSPEWFRPRRQPDTEQSTSGGRVAARDPGVRVNAKEGADGKKKEEGRRRRWWELWSGEKESYLVDDVEPLPLPMTVPGAEPMSPEELDRRLSCNVEIDVSAAAVPETVSYEWTGKCRSCQGTGLVSYFRKKGKETICKCVPCAGIDQPSLPPKGWILLEHQINNKMSHLSILSFKYNLAKLRFKSGRPTGRLLSGGRLLSARDRQYSDLGTYKPDDDEMKKVFNMIASQPGRISKKDLQVILERFGKADAANEARRMICVTDHNKNGYMDLDEFMEVHKHGVQLGDIRRAFFVFDRDEDGRISAEEVMAVLHKLGDSCSLEDCQKMVKEIDRNKNGFVDMDDFMAMMTRPRRKP >Et_4A_032359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31664737:31665670:1 gene:Et_4A_032359 transcript:Et_4A_032359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGSENMGFISLFVVRRDADAVDDEAVVAEAAFSLLDRDGKPVPTYSRNLGKMNFLKREGFGYYNFVKREDLEQSPSKLFIKDDCIAFTDKYNIQRLKLICEDRLLRSYIDTASVSTLLALAEKHSCPALKEACFDFLGSKEGLFAAIEIREYEQLARSYPAMTTELICNVLNREKANTADWSQEVQVSVIKV >Et_7A_051560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20297242:20311430:-1 gene:Et_7A_051560 transcript:Et_7A_051560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAYRRLFLLRHLPQKLHPAAATHHLSATAAAALSVPSRPFGCSRATERVERKLGVFRPGVLFGSGLISDNNFNYSWHYKMGSTVGAVLIGQAAFIIGLSGGSALAQEDSIGIATTSEQAGGNATGLQRIEDGSVISNEHTVKWRIFTDKARDYFQKRQLEEAEKLFQAALHEAKEGFGLRDPHVASALNNLAEFYRLRKEYEKAELLYLEAIEILEESFGCDDIRVATALHSLGICYHLQHKFSQAQACYEIEGRVMGLGHPEYASTMYLLAKVLNLQGKRKDAESLTAESIRILEEAGLGETPICIQRMWYLSMGWHSLDTASAAGRLSLTLEAMDKLKESEELLERRGEILIKVASTLVQLARLTLHKFARDILNINDDVAIQYLDKAKQLIDDSIRITDQILNSSSSSATDMNKIEATYVLLQALDVIGFLEIGRKRMLTPGLVLLIRLPLERMKHGSFKIDVVSISDPCRLFIWPDNNLLDVRHTTLRIHFKNNFGKPRTRSLVLSKILKQEYLTCLRSLTWTVENNYVISQTTKLQDLLGEAQQIMKELEEQNNRK >Et_7B_055065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:700868:702233:1 gene:Et_7B_055065 transcript:Et_7B_055065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPGSACMAERLLQTHDVSDLCIGKPPLRWLPPSSTVADAIAELEGGAARGPDAAVAVWDGKPDGEVAGRVCMADVLLFLCADGNLASPAAALQATLSDLLAAGAPPVRRIEPDASVLEAADAFLDGAHSLVVPIRDRQRRRSGSSSTGELCWLTVEDLVRFFLSSIALFAPTASRSVSDLGAVRPAARAVVAAGDAAVSAVPLLRAALASHAAVAVVSGELNFPRRLVGDISPSTLCSSADVSVAAAIAALSAGDLVAFIDWGGAPPDATLHAAIVCHRGSSLVAVMVQAMAHRATQVWVVEENRELVGVVGLLDVLRVLRHHLHQPTPL >Et_8A_057340.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23809691:23812986:-1 gene:Et_8A_057340 transcript:Et_8A_057340.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVVFRPPPSLGSPVVGAGSIARRNSQSTRRRPPFIVSMSQTYNHHKDNKAPRFSSLRAEHTPAPTPVLGGFLSSTTNAWTGQKKKNNARDLVVPRAQLNSGFMDIVALAQDAFRGSSSGVQKSIITRASFDRLVEQVMDVVALAQYEIQRLGYLTFGSRDYMLSMVSQFVSYFLLELIYREALTIIHSGVWVGTLKRCGTDLTKLAKEGKLDPVVGRHDQIEQVVQILSRRSKNNPCLIGDPGVGKTAIVEGLAQLIASGNVPQTLKGKRVIVIDMAGLLHGTVYRGQFETRIKNLLREVKRSGNVILFIDEVHTIVGAGRVSESAIDVANIFKPALARGELQCIGATTTDEHKKHIEKDPALERRFGPVKIPEPTVEETTGILKGLRERYEKHHKVQYSDDSLRAAAELSDKYISDRFLPDKAIDLIDQAGSLVSLRHAQQKPAMNVEDLEAELNSHKGDGRRRPRGELQKGNDTSMDSYHLMTNVLWEQAKELRDRESLIHKSKTEMSKDEVNNPAGAVVTEEDIRHIVSTWTGVPVQKLSTDETNKLLKMEETLHRRVIGQEAAVTAISRAIRRARAGLNEIGSFIFAGPTGVGKTELAKALAAFYYGSEDAMVRFDMSEFMDKHTVSRLIGPPPGYREHEEGGQLTEAVRRRPHTVILFDEIEKAHPDVFNVMLQVLDDGRLTDGKGRTVNFTNTLIIMTSNIGSGVVVNNGHGSGISDDRIKDLVGEEMKRHFRPEFLNRLDEVIVFKQLTKVEIKKIASIMLNHVADRVRNKGIELQVMEDFKDLVVEKGFDPSYGVRPLKRAILRLLEDALADKMLAGEIKAGDSVTVDVDSTGNVVLKHHEDQE >Et_7B_055290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9080625:9087976:1 gene:Et_7B_055290 transcript:Et_7B_055290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHVDPGVRWIFYINASRKPCTQHGELIDDSEDGPAGSWAGAPLRRRGANVESVVSPSFFNGIKSQAPNSCEGKNFYTRDAFLAAARKYSGFAHGGSEVEGKREIAAFFANVAHETGHLCYINEINGASMNYCDSSSTQWPCVPGKKYYGRGPLQLSWNFNYGPAGKDIGFDGLGNPDKVAQDPVVSFKTALWFWMQNVHKVMPQGFGTTIRAINGALECNGKNTAQMQDRVSLYKKYCQQLGYGYCGTTTAYCGDGCQSGPCISGGGGGSNVGSVVTQSFFDGIKSQASSSCEGNNFYTRDAFLNAANKYSGFAQDASGDDGKREIAAFFANVAHETGHLCYINEINGALSWNFNYGPAGKDIGFDGLGNPDMVAQDPVVSFKMALWFWMQNVHNVVPQGFGATIRAINGAIECNGGNTAEMQDRVNLYTQYCQQLGVDPGGNLTC >Et_4A_032403.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9202784:9202834:1 gene:Et_4A_032403 transcript:Et_4A_032403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGEHKANYSFAFY >Et_7A_050372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10442770:10443611:1 gene:Et_7A_050372 transcript:Et_7A_050372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQCDACGGAAATVVCCADEAALCARCDVEIHAANKLAGKHQRLPLADNRDSAALPRCDVCQERPAFVFCVEDRALLCRDCDEPIHVPGTLSGNHQRYLATGIRVGFSSVCSATSADSLPPPKGSSKPAKATAPAPAPAPAPAAQEVPSSGWAVEDLLQLSDYESSDKKDSPLGFKELEWFTDIDLFHGDAPPGSTAPEVPQLFASPQPASNAGLYKMSGARQSKKPRLEVVPDDDEDYFIVPDLG >Et_1B_012827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35394045:35397599:-1 gene:Et_1B_012827 transcript:Et_1B_012827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACDHILCVVFNYTQTFGDSVDDDGMRLNKPGSLGLRITRPFGSWPIVTLVLLCCPNSNSPSVVPISVQPPDAVFLRLCTPESSRRRSTNPSPPLQQQALSAVEMISSCPICNVQVLTAELERHANSHFEDDELQRDIELAHQMALAESSIDIMSGPERCVGSFTSDSNAQGTSSSHSGYSSHYGELLGEQISCLVGAQIRSKVQEIDGGIMSLLSSCLESEGGSSTSIISGHIDHHQSLSSEDKGWGCGWRNIQMLSSHLLKQRPEAREVLFGGSGFVPDIPSLQRWLEIAWDKNFDTIGSSHFHNKVYGAKKWIGTTECATLFRSFGLRARIVDFDSTESLGLQNKNGKCARSQVQGPMDKYVIKNNHAKPSSGLSQEDAQSMRGRQVLVDWVWNYFASGRSDRLGMQRVIVSDKTTIVGIQKKKGHHGSPDQYTLLILDPGHRTADLERSLRSKRGWQSLVKRGVHTLKKPQYQLCYVDPGIANSGEMEQLKTIDKNRMIWMRIARGTLPFRRNKDKYIVERSVQ >Et_4B_036387.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:21259753:21259896:-1 gene:Et_4B_036387 transcript:Et_4B_036387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVLFSDNILVHDTILQALLAICCYYLTWYSSSRRPLYFEAEKAG >Et_1B_010166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27233427:27234412:-1 gene:Et_1B_010166 transcript:Et_1B_010166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPKRALRPAPEEACPSAAAAEKLARYNFSDIEDYEMLQELGEGAFGVVAKARHRLTGETVAVKWIRGAGDGNVTSSHDAVVREGDCLGKCHGHPSIVQVKDAATDKASGDLFLIMEFVDGPSLRKWLTSRPVSEHLAREFMGQLLGAAARPLGKPYPERRVGTLQYRSPEQAMGIRFYGTAVDMWALGCVMAELLVGLNAFDGLVELSPAGRELLAGLLSVNPGERLTATEALKHRWFTEETEAPALAKAEFPGFVPMFAEA >Et_10B_003690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4985415:4987891:-1 gene:Et_10B_003690 transcript:Et_10B_003690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGYVVGVLGGAILAHAAYATIQYRAVLKITEEEFSSPPMDVMMQLLLGLALCMWAGLAVPAKFLSVLPQSEENRIVSFPANLDFMIFNHRGSALPSDADLKLKT >Et_1A_008395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7239267:7239626:-1 gene:Et_1A_008395 transcript:Et_1A_008395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFRLIKVELETHASNLKVALTSDTYDLSRNGILSRDIKYMMYSEFLDLRSRINRSR >Et_9A_062756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4146332:4149196:-1 gene:Et_9A_062756 transcript:Et_9A_062756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCDCIEPLWQADDLLIEYQYISDFFIALAYFSIPLELIYFVHKSAFFPYRWVLIQFGAFIVLCGATHLINMWTFTTYTRTIAVVLTVAKVATAVVSCITALMLVHIIPDLLSVKLRERFLKAKAEELDREMGIIRTQEETGRHVHMLTHEIRSTLDRHTILRTTLVEMGRTLGLAECALWMPSRSGTTLQLSHTLHSNAPLGSLVPINLPIVTKIFNSNRAERIPYTCPLASIKTQKSRYVPPEVVAIRVPLLQLTNFQINDWPELSAKSFAVMVLMLPPDSARKWRPHELELVEVVADQVAVALSHAAILEESMRARDMLMEQNIALDKARREAEMAICARNDFLAVMNHEMQTPMRAIVSLSSLLLETKLTAEQRMMVETILKSSDLLATLSNDVLDISKLGDGSLELDIAPFNLQATFTDVVSLIKPVAAFKRLSVMVHLAPELPTGAVGDQKRLMQIMLNVVGNSVKFTKEGHISITASVARPDSLMDPYAPDFHPVLSDGSFYLAVQVKDTGCGISPQDMPHAFTKFAHSENAASKWHSSNGLGLALSRRFVTLMQGNIWLESEGVGKGCTTTFFVKLGVPDKHNANLRRIAPPVKPNQGAGSSDTSMINGNMVALPICYQSSV >Et_9A_062562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24060780:24067384:1 gene:Et_9A_062562 transcript:Et_9A_062562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYYQGHGGGGGSSMEVVSTPNQELALTNCAYVSPADLRRFPNALAVVADAWRRQAKVSAGDSVTVNSFAPPDDFKLALLTLELEYAKARSNRNDELDAVVLAQQLRKRFLDQVMTTGQRVPFEFYGTNYVFTVNQALLEGQESSTPFDRGFLSSDTYIIFEAAPNSGIKVINQKEAASTKLFKHKEFNLEKLGIGGLGAEFTDIFRRAFASRVFPPHVVSKLGIHHVKGILLYGPPGTGKTLMARQIGKLLNGKDPKIVNGPEVLSKFVGETEKNVRDLFADAENEQKTRGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLRPGRLEVHIEINLPDENGRLQILQIHTNKMKENSFLSPDINLQELAARTKNYSGAELEGVVKSAVSYALNRQISMDDLTKPLDEESIKVTMDDFVNALHEITPAFGASTDNLERCRLRGIVDCGKAHKHIYQRAMLLVEQVKVSKGSPLVTCLLEGSAGSGKSAMAATVGIDSDFAYVKIISAETMIGFSESSKCAQICKVFEDAYKSQFSIIILDDIERLLEYVAIGPRFSNLISQTLLVLLKRVPPKGKNLLVIGTTSEVGFLESIGMCDVFSVTYHVPKLKKEDAKKVLQHLNVFDEGDLDAAAEALDDMPIKKLYTLVEMAAQGPTGGRAEAIYSGEEKIDINHFFSILSDSIRY >Et_3B_030196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31208215:31209597:-1 gene:Et_3B_030196 transcript:Et_3B_030196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPIECQVLLLRVSIHCEGCKKKVKKVLQSVHGVLRCDVDARSNKAVVTASTKLDPNILVAKLRKSGKQAELWPEQPTPQQPPAESQSEEAKNQNDEPSKPAEKPGPENAEASAAEPSNPQPSPEPKQSNAGEAPKPAPESKEASNSNTDANAGDEVTAAAGQQQQPNEAKGKAKQQPEERHPVHARVTMEYDRGGDSYMPAPQPVPIMSYNMARPSASASYYAAPPASAPGPMPMPMARPGPSQGYIDEHYTPSYYGRSSSPYEPYYYPPQPTPYRYQYQPGVSTEDYYYSAPPQRSAFSPPRDGYGDMFNDENANSCTVM >Et_1B_013956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:25332815:25333635:-1 gene:Et_1B_013956 transcript:Et_1B_013956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGDELKLLGMFASPFALRAKLALSFKGLSFEYLEEKDLQNNKSELLLKSNPVHKKVPVLIHNGKPICESMIIVQHIDEAFAGVGPSLLPTDPHERAVARFWASYIDEKLFSSWMMVFRGKTDEEKAKGTKQSFAVAATLEGALRECSKGKPFFGGDNAGYVDIALGGFVAWVHAIEKLYGLKLFDATKTPLLAAWLERFGALDAAKAVMPDVEMLVELAKMRQAQAAATAAAQGN >Et_6A_047474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6249954:6250709:1 gene:Et_6A_047474 transcript:Et_6A_047474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTDGGRGHGPQLAPSPWHYIAPTSPEFLCPKWRKFAKKTAWSMSKVPIELRGAFYLSYHDLPRHLK >Et_3B_031215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21321603:21322222:-1 gene:Et_3B_031215 transcript:Et_3B_031215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCSAMNGTVTIRFVLHRFILSEVSDTKLVYRNVVDHAAGEFTQVLYDDVASDPTPLRTKSVRCTACGHGEAVFFQVRAIATTAFFQFDSEFSPEKHH >Et_4B_039266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9321306:9331290:1 gene:Et_4B_039266 transcript:Et_4B_039266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDVEPTVSGFYSSYSVSGRKRLKLLADESSDIEPIACSVSVCDDSKGSFLERCAERHRSVSPSGDQTQYTGLYAAMHENVCSINSKSWINPQSGLGYSADQNGTQSAYAQHQPLEGCMYMNEHGQMCGPYPPEQLYEGMSTGFLPQNLAIYAISGGKMADPVPLSFLKQFLAQWNVGVAVSAPNESTETKKVAPNDKMVLPNALSSEESCWMFEDAEGCRHGPHSLAELSYWHHSSYIQDHSMIYHIDGKFGPFTLASLIGWWSGGHAEVSEALAHDSASINGLMCDVVDEISQQLHSGIMKSARRILIDEIFSHVLPDLIASKKTEKQLAAKLKNQATKPDIVSNKKVSTLKAKVDTRSTVPQKGNSSHDMAPDSSVVIPDNTVVLSAVRQTIYYESMKSIWDGVFSDPVMEYCDVWLQKKCLLNPPSTIISGTPDDVEAQGSDEISQKDLDTPECDMDFPPGFGPDKIDGKSELSTKLFSGPLAGVQKMLANELYVASKQSLFHYFEEVIAEEITNCLCFGLENNIDQERVGTPIHAPESSMSTGMSTHKGLGPVEMVLDEELKSVEMALDEELKPIEEAPGEELKAIKEAMATMTSATEMATDETLKVETTDSTMDSHAEHISLSISYASIFQKMDICKTAELGESFDEIPPGMEAGLVPLALVNRRRYNPSKSINPIPVISRYISMALCRQTLHENVMKEWTSLFSGTISKCLDSWHTRQNAIPVDGSSKLKEYTYYRKRKFKKTCQASTSKEPVAISMDEQLSKPLCDLVERKVYVKTIKESRKTVTSKRAAAVDKPSKKGAKTVANDAYDSSIQQDLKLLSSEVPKKNRTSRSTKKHVTASKAPKANNSMLTKPVKKKKARNTSIESSQKVKPMISCPESDGCARASINGWEWRNWARNATPSERARVRGYRVRTILSVSDKNASDKNGWKPQGKGPSARTNRVKLRNLLAAAEGSELLKITQMKARKKRLRFQRSKIHEWGLVALELIDAEDFVIEYVGELIRRRVSDIREFQYEKSGIGSSYLFRLDDDFVVDATKRGGLARFINHSCEPNCYTKVITVEGQKKIFIYAKRRIYAGEELTYNYKFPLEEKKIPCHCGSQSLVNNGKPVFPSAEWSWAQAAQLRARATSVVRTGVGET >Et_9B_064050.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10705180:10705762:-1 gene:Et_9B_064050 transcript:Et_9B_064050.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSGGGGLNPWAEPFVPSGVRYLQTAAPEPRQQEEVEDFSPEWWRLVAASPAFRDRWLRDYEALSLLDGDDLNDGDNEEVVDSFLPDDLVSPPAPRQEDGAASKTGSGGGGGTGLEVAAWGIDKWWRAHGAPPEVPRYAEKAPRKIAGGARVNPRPIQQPR >Et_9A_063296.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19266424:19266918:1 gene:Et_9A_063296 transcript:Et_9A_063296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACMLPCGALDVVRVVHLSGHVDEFAVPLTAADVLAAHPNHALTAAGSAGGPRKIAIVAPDSELKRGRIYFLIPSACSAPAAEMKRRKHHRSGGGGGRRKKHGGAAVAAASTAEQDNYLRELLSEKREASHRRRRSGARAGVWRPRLESIAEEPSE >Et_8B_059368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17103960:17108531:-1 gene:Et_8B_059368 transcript:Et_8B_059368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLTANANPNKSFEILPNPTDSVSSLSFSPKSNLLVATSWDNQVRCWEIVGGNSQPKASITHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQPQQVAQHDAPVKEIAWIPQMSLLVSGSWDKTLRYWDLRQSNPVHVQQLPERCYALTVNYPLMIVGTADRNLVVFNLQNPQTEFKRIQSPLKYQTRCLAAFPDQQGFLVGSIEGRVGVHHIDDSQQSKNFTFKCHREGNDIFSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAFSRCPLPIPCSAFNSDGSIFAYAVCYDWSRGAENHNPATAKTSIYLHSPQESEVKGKPRLATGRK >Et_2B_021762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5237115:5237472:1 gene:Et_2B_021762 transcript:Et_2B_021762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRGGVSEEEINELISRLQTLLPSARRRGGSQASTTKLLKETCSYIKSLHREVDDLSDRLSDLMATMDHNSPGAEIIRSLLR >Et_6B_048480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7310:17113:1 gene:Et_6B_048480 transcript:Et_6B_048480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPIAMREALTLTSLGIAPQFVTFTHVTMESEKYICVRETSPQNSVVIIDMAMPMQPLRRPITADSALMNPNARILALKAQIPGTTQDHLQIFNIEAKTKIKSHQMPEQPVKMFDRTANLANNQIINYRCDPSEKWLVLIGIAPGAPERPQLVKGNMQLFSVEQQRSQALEAHAASFATFKVPGNENPSTLICFASKSTNAGQITSKLHVIELGAQPGKPSFSKKQADLFFPPDFQDDFPVAMQISQKYGLIFVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSTTGGFYAINRRGQLNNLELAVNLAKRANLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGLLRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAYESLELSRLVVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAFLQTKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHNSAFSGNFNLQNLLILTAIKADPSRVMDYVNRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVDVLLDNIRSIERAEEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDATHFLDVIRAAEEANVYDDLVKYLLMVRQKAREPKVDGELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVCVKVANVELYYKAVHFYLQEHPDLINDMLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNEALNELYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRPDVALELAWMNNMMDFAFPYLLQFIREYSGKVDDLVKDKIESQKEEKAKEKEEKELVAQQNMYAQLLPLALPAPPMPGMGGPPPPMGGMGMPPMGGMGMPPMGPGPMPSFGMPPMGSY >Et_5A_042693.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:2653596:2653883:-1 gene:Et_5A_042693 transcript:Et_5A_042693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSGASSMVALSLLAVLVSAAAMDVAAAAEETTAPAPTKAARRTMMYYYGGISCRVLGNCPTTPPGPPQQANNYTRGCNPMYQCRGGSDAAAN >Et_3B_028409.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14910410:14918333:-1 gene:Et_3B_028409 transcript:Et_3B_028409.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHRRTSASISGSLRARKRGDAGGSYSRADVSSNTGGRGGGIIAVEHAVDTLDLIDRLLCREDEEEASSAAALMEQLSCGGGDVYKHRLKVFRADSFDYHAIANDVFCMFNTPNDQAQCDAGIGGPLVDLDGNVIGMNFYDTRIGTPFLLWSSICEILASFETKSWPVPKPSWCHPEDVESDDDDKLAFDHMGRLQYSYILGQKIKLRSLTIPISVPIIEAKSTDEPAVDPFTQRKQKMVEKQGKNRLDNLKKAAKVGALPSHLTALQYIVVSHIQLVAKSLPITGTEADLLKKTRKEDLENGAGLGSATTIGGKFDEKLSGKKPQKHPGKHRQFLPVAEGKEMGNLGKKQNDKILKSLLARNSEQLDVGKASSRIQSSTLHCVLLTVIGTACSAITMYKVKKEKQRRKDREMSSESVKLKPQKKPFKKSSKKKA >Et_7B_053773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12062539:12065740:1 gene:Et_7B_053773 transcript:Et_7B_053773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQHGGSRSRMAPFARGGGGAYNRGQKQFYPPPPPPLPAVGLPPPPPLNKYEVLMEAGRLAAEYLVAKGVLPPGSLPVRGVAAGGWGQLPPPPPPLTAAQESPIRSFDDEDEDGAPGFRKDRRGSAVIDEVGSSVSGVAGEGPASKAEAVGESELEDTGSKVSFENNIQKNANAKQEEKDENEANKMQEDKVSDSEVVEQVSNGKGGNKNNTSSDVVQEPEPKHSPVPSDENVLDERHKDSIPLNEKAAGDEALDKKDEDELSSDEKLSLSEDSSRDDSMNLLDYCSFARVPKRPRSVLAHRNTGPAQREIAIAEQVDLISSEEVCPAANDEGANGNFVADIQADSNNDLDCQERYNPSTACNQVAESVTLHEKETQVEMGEMVEHINKTQCFGDQENKEHSELSPALPPCQNNLMWQVEKGIQIYNVDTPPQDEELIDSSDKGKTVVPELLTNIGAEPVVAMEEENLGQSGSFKIRDLNLIGSPDEIRNDPRLGQCSTTGCSMELQGMQQINFATTIDDNACDANSYALLANKEVIDIEDDIEDELPIAADACDTSKVKTEVIYPSMENMMNPPANTNVLHGVQDGYNIAIPDYLGTDMPCYQSMQTDLQAGMGLNDSESITVMEDPIYGSLGDIDALYCHLAGTCVIFACLICGFASVLWRFGTSHLKTMKSSSDVAPTCVAE >Et_6A_048070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:570208:573904:-1 gene:Et_6A_048070 transcript:Et_6A_048070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRPAGTMLRLLVCAVFLLGCCHGRFVVEKNSLRVTAPEDLKGTYECAIGNFGVPQYGGTMVGFVAYPKANKKACKDFDDFDISYKSKPGAFPTFLLVDRGDCYFTKKAWNAQKAGAAAILVADDKDEPLITMDTPEESGRAEYLENITIPSALITKSFGDRLKKAIDNGDMVNVNLDWREALPHPDERVEYEFWTNSNDECGPKCDSQIEFVKSFKGAAQVLEKKGYTEFTPHYITWYCPEAFTLSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVFKVAKEHKKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKSLGLDHKAIDKCIGNPDADEENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKALCAGFRETTEPAVCLSEDIQTNECLDNNGGCWQDKAANITACKDTFRGRVCECPVVKGVKFVGDGYTHCEASGSGRCEINNGGCWKETRHGRTYSACTDDGCKCPDGFKGDGIHKCEDIDECKERTACQCKECKCKNTWGSYECGCSGGLLYMKEHDTCISKNAATEVGWSFLWVIFFGLVAAGIAGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGDVPNHSHHIEM >Et_8B_060071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5709939:5712870:1 gene:Et_8B_060071 transcript:Et_8B_060071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSRTTQPASPYTLVSKLIAMFCCRPKYLILLIVIFISSGRGACLCRIDQSAALLRLKSSFRFDLAASNLSSWKANSDCCTWEGIRCDGKSGRVTALDLSGINISGNLSSEIFNLTSLRFLNLAHNYFDGSPWPSPGFKQLMDLEYLDLSYSGLSGNVLVGKGQLANLVTLDLSGLDLKILSLDTLIDSLGSLQKLYLNNVNISIGPIPTGQTSTNSPNSTPVLQELSMQWCTITGRIDTALTKLQFLSNLTLDYSIFGGAIHVLDPLSNPRPWSFLDFQDAVLDLSWNHNLYGELPEFKQGSALQHLILRGTKFSGRIPESIGKLQNLSTLDLSSCQFHGPIPSFAQCFKITEIVLGANNLTGTLSSDGFLAQNNLTYIDLQNNSISGDIPASLFSHPSLHNLYLSQNKFTGNFLLYPNVSSKLRWIDISNNNLQGPVPMLLSKVSALEMLDLSSNNLSGTMNLSLINNWVNITSLSLSNNRLSVVEEDGNHSYVRCPHLGRLGLASCNLTSVPKFSTKRKDNSYLDPSNNEIYVFDLDLSSNKISGHIPDWIWGIETDVLKINLSHNSFTSVQTNLSNMSTIGLILDLHSNKLQGALPLPPQGTFLFDCSNNHFNSYVMNEFWSRISSCWVLSLSNNSLTGELTTSVDRYHPVCWNTQI >Et_5A_040788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12544733:12545216:1 gene:Et_5A_040788 transcript:Et_5A_040788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPAEKETSKMSNAQQVHPRTSDLDLSDDGQSPLKHALQIDGGSNPCKLKFEVADEMGKSGGDGGASMQRWFSKSPPPGLNVEEKEEENNAMQVTLLGKENDNSCMEVDGGGDLEDHAKLQYAFVSRVSVEKRTKEPVIIGEME >Et_3A_024193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18249137:18250726:1 gene:Et_3A_024193 transcript:Et_3A_024193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFFRTKMKHGLVTYWGIYMGALFFGLLMIMFNSFSELALTVFKLPVFFKQRDLLFFPAWAYIIPSWILQIPVTFVEVGRYVFLTYYAIGFDPNVSSITSIGSLSDGSVTFLVHWWGNMIVANAFASFMLLVVVALGGFIFVRGKKSPLSLSLCYSLQFSFFINLNFFVSDLTKSRNGGFGDWISPMMYAQNAISVNEMFGQDKMLNSTASNETLVPWGIPGAKWYWIGFGAMIGFTLLFNTLFTLALTYLRRDIWQLPSISIEEELKVKHDNIAGEVLNGNHLASASIHRSTGINTETRTVDDGGMILPFVPLSLTFDNVRYSVDMPTETKAQGVVEDCLEHLKGVSGSFRPGVLTALIGNTTLMDVLAGRKTGGYIEGNISISGYLKKQETFARV >Et_3B_029785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27950317:27952241:-1 gene:Et_3B_029785 transcript:Et_3B_029785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELAGRPGTWGGLALRAGQFIFAAACICVMSSAPGFANYTAFCYLIASMGLQALWSLGLACLDFHALILKKDLQQAFLLSLFVVGDWVTTVLSFAAASSAGGVVVLFDRDVHFCSRDPQLPCGRFEVATAFAFLSCAFCATSAIVMFCLLASL >Et_2B_019898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1569400:1573959:1 gene:Et_2B_019898 transcript:Et_2B_019898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALHLRLLPSPPAGVQPQQRHLRSRVQRQGGLPRRFSKVVSYYGLTTPPYKLDALEPYMSRRTVELHWGKHHQDNVDGLNKQLATSPLYGHTLEELIKEAYNNGNPLPEYNNAAQVWNHHFFWESMQPEGGGAPEGGVLQQIEKDFGSFTNFREEFIRSALQLLGSGWVWLVLKRNERKLAVVHTRNAISPLAFGDIPIISLDLWEHAYYLDYKDDRRTYVTNFIDHLVSWHTVTLRMMRAECFVNLGEPNIPVNLLDLPQWQRRSGATAAVHVDAAEDNSDKEKS >Et_2A_018533.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3229164:3229466:1 gene:Et_2A_018533 transcript:Et_2A_018533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAANYASFSLDHARSLQDELAKAKAELAEAKQATAAEVERAKAEAVKEFLGSKEHERRLVEEALKGYERGMEDMKRVALRLHPDIDEARLFVPPVGFRQ >Et_10A_002273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6780998:6783210:1 gene:Et_10A_002273 transcript:Et_10A_002273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAKSNDGFIAGIRVLGMAIDNGGSTDYRFTRGQKVLAKHLLTNSASFTHTIQRLLETLGPRSPYSRETRGHAARIVALAAGCIRLKKFHRGIECVSCLLDTFEEPEPPSTSYEDHMLMERQNEYERPWLLEEYERDYLLYVRESTDSSFWRTCLRMKFTDSAAGKSPERDSNPLHAYERMILAGVTIIRKLADDEDNRRVITNTEGLLFKVAMVPLLLDKLHRDDHSGWTKKAQESWVAMRLLKVAHAIVGPGPRGEMSSISEAIVSSFMNIVECDDCGMSIKIRATGILLALSVDVPYFVTSGRRGTIFIWMLLQASTKITHDETIYSSTHWIKSSDIRRLAGEKLSDMFLQSRENITSMFVPDLTEALLDAGNNSNRVVAAKILRYLCAFEPDPYREQLKKAMVDVISQVLKELLGYVSKREAVSTVREANNVLSPLQYFKDLERGEGNALENTSSSQQQKGEQLEDIKVREALISLCDTIVGCTRIWGHQQREIAEKLHPNSLVWQLFLAGEEK >Et_1B_010943.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15773559:15776160:-1 gene:Et_1B_010943 transcript:Et_1B_010943.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQSVSYTVDDALLSSARMEAYCSSGKHDYKCCFCRNAYRSLFVGRGFLFTAIVTSGAGFLSSFAPNYISLISLRFLVGIGLGGGPVLGAWFLEFVPAPTRGTWMVIFSAFWTVGTIFEASIAWIVMPKFGWRWLLALSAIPSFLLLLFYVITPESPRFLCMKGRTTEAVEILEKMARLNNVPLPSGRLVSDKNIELDEVSRSSESTTLLSSTDEEIENVSKDEVSDFGGIKSVGKLLAPKLIRATLLLWMAFFGNAFAYYGIVLLTSELNNGNRICAKEEVESVHSNKSSLYKNVFISSFAEIPGALLSAMVVDRFGRRLSMASMLFTSCAFLFPLVFSRTEMLTRISLFGARLCISASFTIIYIYAPEIYPTAVRSTGIGIASSVGRIGGILCPLVAVALVHSCHQTTAILLFELVVFLSGLAVSFFPFETKGCRLNDTEVDMS >Et_2A_015869.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18637572:18640964:1 gene:Et_2A_015869 transcript:Et_2A_015869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTMPALARALLVAAVAAAAADALSLDVHHRYSAAVRERTGYSAPPAGTAEYYAALAGHDLGRRSLAAAAGGEVAFADGNDTYRLNDFGFLHYAVVALGTPNVTFLVALDTGSDLFWVPCDCVKCAPLTSPNYGDLKFDVYSPQKSTTSRKVDCSSNLCDLQSACRSASNSCPYSIEYLSDNTSSSGVLVEDVLYMITESTQQKIVTVPVTFGCGQIQTGSFLGSAAPNGLLGLGMDSKSVPSMLASKGVAANSFSMCFGEDGHGRINFGDMGSSDQQETPLNIYKQNPFYNISITGAMVGSKSISTMFSAIVDSGTSFTALSDPMYTEITNSFNSQVKDKPTQLDSSLPFEYCYVVSAKGPVNPPNISLTTKGGSIFPVNDPIITITNTSSNPIGYCLAIMKSEGVNLIGENFMSGLKVVFDRERMVLGWKNFDCYSMDSSSNLPVNPNPSVPPKPALGPNSYTPEAAKGASPNGTQVNVLQPSGSFSQQEHFSANLFVAAALLFLAII >Et_6A_046814.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2103024:2112412:1 gene:Et_6A_046814 transcript:Et_6A_046814.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGATRFLFVLLVAVAASSLLTLTTAASATPPGASDDGGVKLRVDRRQVLVDNGVVQVNMSRPQGHITGVRYNGERNLLHYTGGSNSGGYWDVVWNYPGSGQPRGMINSLDGTEFSVVSSSDEQVELSSITCKQHCTSNKLGDLFLPNQTTTEICDYNCFRLVMLKGSAGFYCYSIYEHASNWPALNISETRIAFKLNTGRFNYMAVSDDIQRYMPSDADRNPPRAQPLAYKEAVLLVDPKEAQFKGQVDDKYAYTLDNEDNIVHGWISSNHPNPMGFWIITPSNEFKSGGPTKRELTSHCGPTSLAVFFGTHYMGKDMVLNIKDGEYWKKVMGPVFIYLNKGPNKGDVRALWDDAKAQAHAEVSKWPYSFPMSKDFAKATERGSVTGRLMVRDRYMANYDMPAVNAYVGLAAPGEPGSWATDSKGYQFWTRATSSGSFTIGNVRPGVYNLYAWVPGFLGDYMHTSVTVTPGCAINFGDLVFQPPRSGPTLWDIGVPDRSAAEFFVPDADPKYASKLFLNKDKYRQYGLWERCPQNDIVFTVGESDPSKDWFFAHVTRKVGNNLAPTTRHIRFNLDHVVPDGVYTLRIALAAAHMSRLQVRVNGGARRGGVFTSPEFGDGNAIARHGIHGLQWDLEFPIRGYMLNQGENSISITQTRAFSIFFGVMSPFIITILLAAAVGASSSSLPTLAAAGTATPPGGGHGAAVTLHVDGRQVLVDNGLVQVTLSRPQGHITGVRYNGEHNLLQYTGDENTGGYWDVVWNYPGSGHPAGMIDMLDSTEFKVVSSSDEQVELSFRSTYNPSRPNSVRLNIDKRLVMLKGSSGFYCYAIFEHASNWPALNITEARIAFKLNTGKFNYMAVSDDIQRYMPSAADRDAPHGLPLAYKEAVLLVNPKEPQFKGEVDDKYEYSLDNKDNVVHGWISSTHPNPMGFWIITPSNEFKNGGPLKRELTSHVGPTSLVMFLGTHYIGNEIVLNLGDGEYWKKVLGPVFIYLNKCPNRGDLRALWDDAKVQAQAEVSKWPHSFPKSQDFAKAGERGSVSGRLMVRDRFMNNSDMTAGNAYIGLAAPGQPGSWATESKSYQFWTRATSCGSFTIGKVRAGVYNLYAWVPGFLGDYMYTSSVTVTPAALTSTAIGSIGTGCAINLGDLVFEPPRSGPTLWEIGVADRSAAEFFIPDADPKYTNRLFLNEEKLALDPRYMVHLYLTQTYVFGYRQYGLWERYADLYPEDDIVFTVGESDPSKDWFFAHVTRKVGNNTMPTKRQIRFNLDHVVPDGTYTLRISLAAAHMSVLKVCVNGGTRRGGVFTSQEFGDGNAIARHGIHGVQWDLEFRIKGYLLNEGENNITITQTRAFSIFVGVMYDFIRLEEPAGSWRDPTQRA >Et_5A_040533.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6232747:6232875:1 gene:Et_5A_040533 transcript:Et_5A_040533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYASLSFADKVACGLALYMLESYQGNSRYYLSLAHQYRRKLT >Et_7B_053345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12141576:12142199:1 gene:Et_7B_053345 transcript:Et_7B_053345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPYTTPSPPAAPSATRHRRRRHTAARTSSPSAMSSSAASSPASSAGISLFSFSRSPSPFHRLLLSPLHYASSAVPFAWEHRPGVPKTPARQSTPRANSKRAALPLPPALLISGTGSGSKVGAAADDCFVVSEEWLARERRRRRARRRQPALAAATLADWLAVLSLCQSCTMSSRACLAGTPPPRSRAMKKAGWSG >Et_7B_053800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12293324:12294121:1 gene:Et_7B_053800 transcript:Et_7B_053800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSNLPPGFHFSPSDEELVIHFLRRKASHHPCQPDVVPIVLLNHYDPWELNGKALEAGNQWYFFSHATESRVTPNGYWNPICAGEMISSGGCNVGLKKTLVFSIGQPSEGIETSWVMHEFHLLDGSSKGSGGNTSISSKRLHKKKGHSKTECHNWVICRVFDSSCGSQVSFHEEGMELSCLDEVFLSLDDYDEVSLPNK >Et_9B_065888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16781372:16781665:1 gene:Et_9B_065888 transcript:Et_9B_065888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYQTKLVTSPARRVSLELAEPPSASHLVVPAHLVDPQQSIDPDSGTEWRRTPHLISLINDNGGPKLAQYILQRILNTKDNELYYMDQNI >Et_2A_017734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5637262:5642673:-1 gene:Et_2A_017734 transcript:Et_2A_017734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDPPTLRGKSMPVSRRNSERKTIPISPAPCPAATLESQRGKTIQTLHIRMEIVRSHRQLAAAAAGGGGGGGAGGLPTYRAAPQLEVRLEEFELFAIDRLRVLKGISDGLSRGKRPEEMEKLVSELWKAHMRHQDPAETLNKDIISHFVLRLVYCRTEELRKWFLSMETTLFRYRFRLENPESQRMLMSEFQLPYKALPHSEFEVVKDKLSQVARSIGQSANVESVFFKVPFEEVPDLVASRRVFISRGYAYVAMSQVVSLVVTQFRCNISKALVLTNRKWTATIKEQEKDRLTPIVEALSNAYFGPDYSQPKDAVEISLKDIDRLAQTSFPLCMRHMLDKLRENHHLKHGGRMQFGLFLKGAGLKLEDALAFWRAEFSQKVGSERFDKEYAYSIRHNYGKEGKRTDYTPYSCQKIISATPGVGDHHGCPYRHFGEENLRAALNKMGVSGRPLEDIMDKVKNRHYQLACTMTFEATHGVSCDTGINHPNQYFSESQKVFREKANVQSRSSLVGSNFGGHRLLTFDECN >Et_7A_053143.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:8168941:8169279:1 gene:Et_7A_053143 transcript:Et_7A_053143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWTRNGMLNFSQPSSPSPFGGFYGPGQGASSSSRRSHGCRGQDKEQRNLERNLTRVRKEWMQVKEEMGYARLLSEHLTETVTEADRKVAAMLEELDRTDKYMQDILSQQK >Et_1B_012547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32978593:32995725:1 gene:Et_1B_012547 transcript:Et_1B_012547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLALAHWCWPPPPPLPPRTSAAPPPAPRRAKEGWSSRSSRWARRAGRSATAGVVAGDAGPHAAAAAQQFDSEKIPQTLVSEVRPFLRVANKVEAESPRVAYLCRFHAFEKAHMMDPRSTGRGVRQFKTALLQRLEQDENSTKSKRTQKSDAREIKSFYEKKKQANDQELMPVLSEVLKALLIGTGLERFVTTEDYADKSGLFIYNILPLHPRSSQKPIMLLPEIRVAVSAVFNVRGLPSANNNLKDEKTITDILRWLQSWFGFQKGNIANQREHLILLLANIHARLNSKSSAAPMLDDRAVDELLAKTFENYLTWCKFLGRKSNIWLPSVKQEIQQHKLLYIALYLLIWGEASNLRLMPECLCYIFHHMSYELYGVLSGAVSLITGKKVQPAYGGDAESFLNNVVTPLYNVIYEEAQKNKDGVSDHSTWRNYDDLNEFFWSTDCFKIGWPMRLNNDFFFTSSAKKNSQILCGSRLPRSLPDSATTVPLVSGDTSQQAEQPFPQMTQESSLTEILVNSETTEQTQQTTTHASQQRWLGKTNFVEVRSFWHLFRSFDRMWTLLMLGLQILIIMAWHGLENPLQLLDPIIFEDVLSIFITNAVLRVIQVILDIAFSWRTKRTMRFNRMLRFLVKLSLAVSWAIILPIVYVSSKKYKACSAGRSKNFLGMFCLSQYMVVIALYLASNVIGMALFFVPAVTSYIETSTWRVCNILSWWCQIKPLVEPTKEIMRINVNKYEWHEFFPQVKSNAGAILAVWAPIILVYFMDTQIWYSVFCTIFGGMCGIIHHLGEIRTMGMVRSRFSTLPEAFNTSLVPRSMPKEKKGILPSFLEKKIFKKLGKDERHDPTKFALVWNQIINSFRSEDLISNRELDLMTMPMSLEHSSGSIRWPLFLLAKKFSEAVDMAANFKGKGADLIGKIKKDSYMFCAINDFYELTKSIFKFLIIGEPEKRVIAAIFEKIEMSIQNSSLLIDFRMDHLPSLLNKFDRLAELLYTNKQELRYEVTILLQDIVDILIQDMIVDAQSILDVINSSERRFSDSDGAFEYYEPELFASVSSMPNIRIPFPDSGPLREQVKRLYLLLNTKEKVVEIPSNLEARRRISFFATSLFMDMPAAPKVRNMLSFSIITPYFMEEVKFSDEELYSNQDESSILSYMQKIYPDEWKNFLERLGPKLTNEEIRYWASFRGQTLSRTVRGMMYYRKALRLQAFLDRTNDQELYKGPIVTERGQNKRSIHPSLSSELDALADMKFSYVISCQKFGEQKIKGDPHAQDIIDLMTRYPALRVAYIEEKEVIVNNRTCKVYSSVLIKGENNFDQEIYRIKLPGPPIIGEGKPENQNHAIIFTRGEALQAIDMNQDNYLEEAYKMRNVLQEFVRHPKDQAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRIFHLTRGGVSKASKTINLSEDVFGGYNSILRRGHITYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIYRLGRRFDFFRMLSCYFTTVGFYFNSLLSIVGVYVFLYGQLYLVLSGLQRALLNDARTQNIKSLETALASQSFLQLGLLTGLPMVMELGLEKGFRAALSDFILMQLQLASVFFTFSLGTKAHYYGRTILHGGAKYRPTGRKFVVFHASFTENYQLYSRSHFVKGFELIFLLIVYHIFRRSYVSTVVHVMITYSTWFMAVTWLFAPFLFNPAGFAWQKIVDDWFGWNQWMRNQGGIGVQPEKSWESRRLSSKHQLVFRLIKLLIFLAVVTSLILLSCLCRLSIMDLIICCLAFIPTGWGILLIVQVLRPKIEYYAIWEPIQVIAYAYDYGMGTLLFSPIAILAWMPVISAIQTR >Et_3A_023068.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:23742927:23743495:1 gene:Et_3A_023068 transcript:Et_3A_023068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSAGATDSGDDEIVFDLGYFRLYKDGRVHRAKSADATAPAGFDAATGVTSRDVVIDGATGGVVEARLYMPAAIQLSNANAATKAKLPILVFFHGGAFVFGSPRHPKSHRYANRLVAAARVVAVSVRYRLAPEHPLPAAYDDA >Et_3A_024809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24442280:24444387:-1 gene:Et_3A_024809 transcript:Et_3A_024809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHAPRPRLLLATRRLRACAAVPCTRRAPVPPQAARPRRVFLGLGAAFVDQVARMASGGASSRSFVAGARPQQGVSPVEQILKNVEWPDEFPFKPEDFSRFDESSDTLFYSAPRFVTHIDDQAIKALTEYYSEVLPPSNTPGVAILDMCSSWVSHYPPGYKQQKIVGMGMNEDELKRNPVLTEYVVQDLNVNTKIPFEDNTFDVITNVVSVDYLTKPIDVFKEMRRILKPAGLAIMSFSNRCFWTKAISIWTSTGDADHAWIVGAYFHYAGGFDPPQAVDISPNPGRTDPMYVVYSRKKT >Et_2B_021728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4892639:4904824:-1 gene:Et_2B_021728 transcript:Et_2B_021728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDPKFANEVYYSPRESLDFLDAAAEQAQRDMISELGIRKVHVRIDVSGSPLEFPEASPSIGKVRVKHMRTLITLKGTVIRSGGVKMIEYEREYVCRKCRHSFKRSPELEAGNRINLPASCPSESSKGCRGGSFQLIDDSIVCRDYQEIKIQENVQLLGVGSIPRSIPVILMDDLVDTVKAGDDVIVTGTLSAKWSPDIKDVRSNLDPMLIANYVRRTNELKYDIDIPEETIEEFEHFWENEHKDTPLEGRNLILKAICPQIFGLFTVKLAVALTLIGGVQHLDASGTKVRGEPHMLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRTTIHEAMEQQTISIAKAGLVTTLSTRTTVFGATNPKGQYDPNECSLSVNTTLSGPLLSRFDIVLVLLDGQNPKWDAIVASHILNENFDENKDNKVRWTLPMLRRYINYVKKRFKPVLTLEAERVISSYYQLQRQSGTYNAAHARLMFRNEVKQLDAIAAILCIESSMTTSAKIDGDGDALHSEFTDNPDEECILMISVAYLLENIKILNNLKLKMDSVSLFFLFFTLVILGQQGYTLEANIATSPARLSPAQAAALSPVASEVDCLAFGATSVAAGVLVVSPELDGDDGVLDGVAGVVSGASGVSGVSAAGVGAGAAGAGAGAAAAATSTTSFMPPLQWPVTPQMK >Et_3B_031034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10922919:10925595:1 gene:Et_3B_031034 transcript:Et_3B_031034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSTSIHPWRRNLRICLTKTTTSSDACRRGASPRPSGAPASAARWYWGLPYRPLLPHRVYGLVINYTDHRRPHLFSRPPLRSPSPNSRGPKIDGLLGFLPTHQTSDSFWSVLDHCNGLLLCAIDWDCKLCVCNPATQRWTVLPPRRLAPGGGRDHAGAYLVFDPVVSPHYEVVLISILPDAPSSSKLDPWMVDEKKAAADDDDDDDDDDDDDDDPCRLMEWPPSTWRMNVFSSRTGQWEDRAFVRKGEPAGVVQDMRLDPFKPYWSAPRQLYAVYWKGVLTVHCRGYFILRLVLSKGKYQVLKTPVNYIKGVNPFQGRVKKIKTPTTEMKGAKPYLGRLKNSVCYGIVYDSELRIWMLTESSGQWVLKYEIDIGVYADEVGSPLDKNGRKIYGSWMVEEDNRNEDDISEKHDKGIEWDSDNDDIFTPKVGDKVGYGGRPYILGFHPSKKVRHNGPN >Et_7A_050654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:126245:128715:1 gene:Et_7A_050654 transcript:Et_7A_050654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGKPGGLGTLRAVLAILQWWGFNVTVIIMNKWIFQKLDFKFPLTVSCVHFICSSIGAYIAIHVVKAKPLIEVESEDRWKRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWSKQFEWRIWASLVPIVGGILLTSITELSFNVFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILALPAMLLEGGGVINWFYTHDSIVSALIIILGSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISAMNAIGCGITLVGCTFYGYVRHLISQRQAAAPGTVRVNSPGTQTEMLPLVDDKQEKV >Et_2A_014823.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1757088:1757339:-1 gene:Et_2A_014823 transcript:Et_2A_014823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVVSGAGAGDGATALSALRSASSFPRRRFSSASCSHQRLRYSQSTSVCLSLVRARRFWNHTSTCRGRRPRLFANATFCFCN >Et_3B_030667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6017528:6017872:-1 gene:Et_3B_030667 transcript:Et_3B_030667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNTTYPAALGTRICGTWSEKAAAERDEEFTASCTASCVFSTADAKDPAGGMSRLRLPPLVGWALSGERRRVERGTPQVLDLEDRKGSRRTLGDVTY >Et_6A_047179.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26422761:26425105:1 gene:Et_6A_047179 transcript:Et_6A_047179.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKKLKLLAHRLFSAMLSLLLHPRRTKAGAVAQSDASPPDQLPAQRDGCPPAPAPETTTAATLVVDVDTALLLRAGSAGAGDLFPYFMLVALEAGGFLRGMVLLLLYPLLRVMNHGAAVKAMAAVAFCGLRAARFRAGRAVLPKWFMEDVAAEAFHSAFDASAAGKRRKVVCVTTGMPRVMVEGFLREYLGADAVVGREMKTLWGFYTGLMEDQDDQAADRQIVSTEEEDVEGFSGSPEFLSHPLARRCKEVYVVSPEEKRRWRPLPRAKYPKPMVFHDGRLAFLPTAANTAAMFAWLPLGVVLGAGRLAVALTVPYKYSTPILAATGMSWRLKGTLPPPSSGSSSSTTRGQLFVCNHRTLIDPVYVSVALDRPVRAVSYSLSRVSELISPIGRTVRLTRDRRSDGAAMARLLAGGDLVVVCPEGTTCREPYLLRFSPLFAELADDVVPVGIAVETSMFYATTAGGLKCFDPLYYMVNPRMCYTVQFLDRVSTATVRSGGEPSTDVANLVQRRMGDALGYGCTMLTRKDKYLMLAGNDGVVRRNDGDSKSSSMAPSAAVVGKKDS >Et_1A_009182.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:3270834:3271775:-1 gene:Et_1A_009182 transcript:Et_1A_009182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSAASVAATLLSFALLFHTCLAARKLTALVQEPAITMKYHKGALLSGRIAVNFIWYGKFTAPQRAVITDFVSSLSAAPAQPEPSVATWFKTARKYYANSTKARFPSLHVGAHVLDESYSLGKRLTDRDLLKLAARGAPSRAINLVLTADDVAVDGFCMSRCGTHGASPRSRSGRFAYVWVGNPATQCAGQCAWPFHQPAYGPQTPPLTPPNGDVGVDGMVISLASMIAGTVTNPFGNGFFQEGSADAPLEAATACAGVYGKGAYPGYTGSLLVDQASGASYNANGAHGRRYLVPALVDPDTSSCATLG >Et_9B_066117.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:4181847:4182494:-1 gene:Et_9B_066117 transcript:Et_9B_066117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEEADLAGLSVTTKQGSNRLRSKMLSKEAAAQLAVPSFRVYYSVASAGAVPFLWESQPGTPKNDSPSAAALPPLTPPPSYYASGKVAGAGGRSSRKRRGGAGAIFAFLRGPGRATTPCASASSSSSWSTSSSSSSAMSPVFAVARSPAAAGARGGHRRTFSACEDDADAEAPCFGMEPECCERGMVKGCSVVGAVRNALAAVVGGKPAGRRA >Et_8A_056866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17999797:18001659:1 gene:Et_8A_056866 transcript:Et_8A_056866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCQSIICKNLDVENISTTLALAYHHNHEKLRNICYDFINSPSVMDAVVKTQGYKNFKTSCPSAIIDVYEKTMWFHKTEAARLNYPSQAEASCTGLPIRCIWWSFVYCSSNTKLEKQGKLVFGGFLAYFIAYKKAQAEATSAKWTLDGHQLDPFANCLRKNYAPSC >Et_9B_064321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1448085:1451289:-1 gene:Et_9B_064321 transcript:Et_9B_064321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRPRLPPHLAHLLTARRLTTAKDVLSLPEVELMAVLDAGLPTARAAVAHVSEVACPPCQTALALLEERARLGGGGRLATTLVGLDEALGGGIPLGKLTEVVGPSGIGKTQFCLKLALLAALPECYGGLNGRVVYIDTESKFSSRRMIEIGQSSFPQIFRQEGLAQKVYSRVLKNSSCSYKPIDGKDDTNCAERFDSHLVAALGVQWAHAVTIRLVFESHSGHRFIKVAKSPMSPAVAFPFNVESSGITLLSDEGIDVTGPDIASIRCQGKNILAR >Et_2A_018231.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:19259677:19262769:-1 gene:Et_2A_018231 transcript:Et_2A_018231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRKRKGMESQEDLGPCHNRRRWKSVVPGDIMKRSKRGNERDSAAVPGDIRKRSKWGNERDSAAIPGDIRKHSKRGNERDSAAVDSRRPPKRTHPSSSRAPAPAAEESEDTMSMLTPEQHHQICDFGFEDFMKLTTDGLGSRELLVWLMDRTDPVDMCINLEGGRKLYFKPRDVHLVLGLPLGGDLATLRSEDEINAQMEVLRAHFNIPDKSVKVRGVYVKKKGDISVECLQAEILKKKLDALTWRCFFMILSARFLFPTGKYNVGQRDIEFAMEPTMLGRVDVSNVVWLFLQIALKEWHNRDRRTQNPGIQGCGLFLIIFYLDNLHHPITQASPHEFPRTKYYTTNMMQTIIKEARFIDMSGNVQFTKLPLSANSDELPQLGRNHDFVPGDLVSVLKVTLPDKVNWKKLKVILMPVFHHEHYSLYAVNYRQHRIDVIDSINYEDRGSKFEDHHDPIGQKLMLRMQEALDEVSNGACKTFVNLRRVRFPCPTMVKPSDCSFLTMRFIEYYTADDGCLENVVNPFKSLELRGDYLHYLLFHEKNGAVLPDEFKEYIVPGVPY >Et_9A_062193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20618398:20623610:1 gene:Et_9A_062193 transcript:Et_9A_062193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKSWGSGCLLAVIAVLLVASPCSGAGDLSVSLSEAPRRVSKSASAVFAFRILQSSGVPCVDCGITCKLDGGRESECGSSGNGNGTGTVSYAGLKDGNHSFSVCAGRRGGGAAGICATYTWDVDTVPPTASVTAGSAFTSASNVSALISFSEPCPGAGGFTCNQTYCNLIVYGPGRVEPSTLEVLRPGLRYSVVVTISPDEQYGRLILVMGRAFCTDAAGHRFTRTSNSSFTLRFDRRSNYMNVTTSIPEKLLQIQGAMRLVEATNDAKDLRIYLTFAQPVLNSSAQILSVLKATDAVLTPTNRSTLGNRRFGYLVNKVSDTSIVTVSCDTSSIISRQGTPVYSAEPFAFLYDTQRPSVKLGTSTWRTSSHAIQVLIKFAKPVFNFSSSAVQLSGGNIFHEASKSIYTLQIQAVDKLVSVQVAENAAQDVAGNPNLASDRLEVRHYSVPTSSSSIATLTTVVFVVTAGVATLLTVSTSSLLASGAISRPSSYMTSEPSRNLLRMACHIQIFALSRWLSVNLPIEYYEFAKGIEWTIPYMHLPWEGPAADPFLGYSTMPAIAYSELLDRSAVGADISYPPRAQGQPVMPTQMPILPTEIPPGDGKPMMPRQIPGDGKPVMPMQIPLDGKPLTAMEYRSFFENPDMKPEAQIIMKLQDLDGWKYFGRNMFWLGVIGGGMILAHALTILYLKLRYKDKEQGKGYGALVLPRFEIMVVILAMPCISQAAAVLFRGGTTGGLVVGMVLAGVLTTLLVGLLLFLSLGVAMGRLAQYKEVHREGREYHWYEAVVRGTLGPVSRGQWTWKKAGGGSQLAKLGPLFEDLRGPPKYMVTQIGGGPSTATNKGRREEEVIMASEDETAEAEAARAQRLLGALRVHFTVLEWAKRVAVGVAAGAGARAHASSSSSSSSSSPWPVAVVLAVAAFQLVFMLLAKPFVRKRVQLAELLSVAVEVFVFAACLVLVVGKGNSAAEGQSGVGVAMLAAFLLGFAAQACNEWGALVRQVRLLSSDRSSFVDGAKAASAGLLMLLREMAKASFTKEEEDQEGADRREASSSSGIHRKKSGEWKARSRALYNDLESIFSYR >Et_5B_043343.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5768985:5769158:-1 gene:Et_5B_043343 transcript:Et_5B_043343.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRMKFVAVAAMAAALVASAAAAEAPAPAPTSDAAAAVPLAAASLAAAAFGYLFC >Et_7B_054611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2825974:2838389:1 gene:Et_7B_054611 transcript:Et_7B_054611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSISSHALAPFVISLSLFLLHLGTPATGISDIFDGSRNISDGETLVSADGSFTLGFFTPGATTTRRYLGIWFSVSETAVCWVANRERPLNDTSGVLTLDDAGSLLLRDGAGQVLWSSNSPGAAASAAVARLLESGNLVVQPDRTSSAVLWQSFDHPSNTLLPGMKTGKNLWTGAEWYLTSWRSASDPAPGPYRRGTAATNGLPENVVWRDGGAKTYHRTGPWNGLWFNGVPEMPSYADMFEYQVTSSPGEVTYGYRARAGAPFSRVVVTEAGAMQRLVWDAATREWKTFYSAPRDVCDAYARCGPFGVCDVGAASTSFCGCARGFSPASPEAWRMREASGGCRRDVPLDCAGNGTTTTDGFVAPRHALRVGGYERHGGGVQGEVPRQLLVLGLSRRGHQRRWWRCGCIIWTQDVVDVRYLDKGQDLYLRLAKSELEKRSICEISSDYYTRRISAGIIAAICVVGAIAAIFLVLCFIIWRNKRSKMWCGRDPRAAQNRGATYIEVGKPLNATDVISIDLATVDKATRSFSKRNVIGEGAFGVIYEGQLPNDHPLGDGLPGRKVAVKRLKLSSLPNNRILNDFTREVEVMSKLRHDNLVRLLAYCDEGNERLLVYEYMQNRSLNLYIFGTYCISTCAFLRARLAKQTFSCLYAINIITWRLELAGKPNIRASLNWPKRLEVVHGIARGVCYLHEGRGEIVVIHRDLKPSNVLLDHQWKPKIADFSTAKMFFADQTGTQTVVVSPGYASPEYVNGDMTLKCDVFSFGVVLLEVLSGRRNSAEPSLLSHAWKLWEEHRIMDLLDLAVPRPRSDPDLLSELRRCIQIGLLCLQQTPSDRPAMSAILAMLTSKSSRLDQPRTPMAERGAMSRLADDEANGGAHCHLLNFVLFWFLLLPPRALAADMLGQGTNITAGQTLISAGGTFTLGFFSLGASPTKRYYVGIWFTSNADPLTLGVRTLILSIGAGGHNGTKIRTTHGRTIMALARSFWSSNTTTASPAAEAQLLDNGNLVVHDRGSSSVLWQSFDHPSNTLLSGMKVGRNLWTGAEWYLTSSQPYAPAPWRQSSFIRWPLFSGRTGGAESGVTQCVAPVEAGKPLNSTTIFSIGLATIQKATRNFSKSNIAVKRLKLSSLPNRVLNDFTREVEVMSKLHHDNLVRLLAYCSEGNERILVYEYMRNKSLNLHIFVLQKESYFTLHCLEMKMTGNPSVLASLNWPKRLEIIQGIA >Et_6B_049086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17652573:17658447:-1 gene:Et_6B_049086 transcript:Et_6B_049086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGGRSKEERWSLAGATALVTGGSKGIGHAIVEELAGFGARVHTCARNAAELEACRRRWAEKGFIVTVSVCDVAVRADRENLMDTVKDTFGGRLDILVNNAGQSMFKAASECTGEDYARIMATNLESCFHLTQLAHPLLAGATVAGGGSVVHISSIAGFIGLPALSVYSMTKGAMNQLTRSLATEWARDGIRVNCVAPGGVKTDISADLTDGCIEQKAIDPELVKKEMARLPMGRIAEPEEVASMVAFLCMPAASYMTGQRWTLAGSTALVTGGSKGIGRSIVEDLAGFGARVHTCARNAAELEACRRQWTEQGMQVTVSVCDVSVRADREALIATVKATFNGKLDILVNNAGQLLVKPAAECSSEDYANVMTTNVESCFHLSQLAHPLLAGATVAGGGSVVHVSSIAGVVGVPGLAVYSASKGALNQLTRSLAAEWAADGIRVNCVAPGGVNTGITHATIDPELVKKEMLRRPMGRKGEPEEVASMVTFLCMPAASYVTGQGRHRCKQYICVRTWLLTSEHAGQRNKHTNIYI >Et_1A_006716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26681147:26682349:-1 gene:Et_1A_006716 transcript:Et_1A_006716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRFLATAEDQTTMLPFTFRRCRSLPTIGDGDEMTSNQVLNGESSAERLFSEPPSSSTARGFYLSVPFLFLMLVLLCCFVQQLTMSVATSISVFLSVYLSFWIVSLRETTTGTLSLHLVMRLSYIPLLASFLGYTWRPFAGVVVMYLNTIVAAGSCGYALANHRQRAGTENSADIAALCLPLHQKTPDQKPPNLAGAAFFPCLASLAFAVWTVWVSCTYNPGDVAGAVMELSTWLAVCRFAWAFFVDACLMDCALFSTDTLQGLFIIGVMIFLNLGAVFGVIFADFGFATITWLTAMAWAGLFGYTLAVCHQYSSRRFSRQACEVDEEKGLSRRSGPAPWERSTFLKVVTHCLGLPTGTIFSIQQ >Et_1A_006608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25221024:25225822:1 gene:Et_1A_006608 transcript:Et_1A_006608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKILGLVLYGPNVNVGQNLHYLDVPWSSKSSKAGRTRRRCCGSPLRRASEKRTTAARSSAASGMGDGRAGGGSNRPAWLQQYELVGKIGEGTYGLVFLARLKPSHPAPGRRGPPIAIKKFKQSKEGDGVSPTAIREIMLLREINHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHREKLSAPINPYTIKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEHGIIKIADFGLARIYQAPLKPLSDNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGVESKATPNPFQLDQLDKIFKVLGHPTVEKWPTLANLPCWQNNQQHIQEHKYENPGLHNIVHLLQKSPAFDLLSKMLEYDPRKRITAAQALEHEYFRMDPLPGRNALLSSQPGDKIVQYPVRPVDTTTDFEGTTSLQPNQPPSGNAPPGSQSVPRPMPRQMQPPMVGMPRMPAGANMAAFNAASQAGMGGLNPGNIPMQRGAGGQSHPHQLRRKADQGMGMQNPGYPQQKRRF >Et_3A_024419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20594586:20596622:-1 gene:Et_3A_024419 transcript:Et_3A_024419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding REAKGSASTNYCLARLACSFSLPSHFSINKESASKLRALSMASHLRSSSLPSSPLLSEADVERQLQSLEATISSSSATIDTMGAGFKRLGNIYSCIEEMMCKPSNQVSLCQAQQWKALEEELARSLILLDICNAMQENFIELKMAVQELLMVLKRGGSAATQFKAYIQLTKKAHKHLKKVCKKVTSDDKDCRLVKLLAEARLITTLLLESTTCLLTKQAVSCLQNTHKEKVGCNEERLQALECSIRDLETGVELLFRRMIQSRGHIEEIIHLSSNQVCSIQKRKMLESEMESSLKLIDLCNAMQQNFVEVKTDIQDLQVVLRRDINTSKSRRLARDYLQ >Et_7B_054862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5018376:5021103:1 gene:Et_7B_054862 transcript:Et_7B_054862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGLNLVSAALGFGMTAAFVAFVCARFICCRPRQAPPEPSDFPADRDHPEKEILRIIPTCLHNFHLACLDLWLQKQTTCPICRISLKELQAAMPSAQNIQQLSTVPENSVNPTHQWFLPVHQDHRNQNSSPQTQEVEVVIEFCQ >Et_9B_064592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16796571:16801641:1 gene:Et_9B_064592 transcript:Et_9B_064592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETVPALVTGQASTNCVTDQITNVYIWDMDETLILLKSLLDGSYAGSFDGLKDRDKSIEIGKRWENLILEVCDEHFFYEQIENYNEPFLNSLNEYDDGKDLTSYDFEADCFSSPYDDVNKRKLAYRHRAIGEKYAKGLEKFLDHHMVEVWNDLYGLTDQYTDGWLSSAHKLLEEAIGKSSASPNAYSSSINCIVTSGSLIPSLAKCLLYRLDDVVSSENVYSSWEVRKLQCFKWIKERFDGPNVRFCVIGDGPEECSAAQVMKWPFIKIEFHPDGPHRFPGLDMPTIQNYIDAKMVDQSGFSSSIQFKETVNQHHVMLVPVSLPPTSNLRKLYAKRCDGKHVIVLTVEN >Et_3B_028945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20737698:20741537:-1 gene:Et_3B_028945 transcript:Et_3B_028945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITDSLVAAAGIVSQEVTGDVVDPVEELDLGFSLYYQMTDESLKPHKDDKVRNEYLINVTDLPESFDFSPEVAAALRITDGALVVVHGMEGLCPHVETMLGQALGERIRPILAVDKMERCLFDLQIDGEEAYQNLSEVIGNVNASMAIYEDTLGDVLVYPDKRTVVFSASLHGWAFTLSSFAKMYASKFGVDESKMMERLWGENFFDPGTKEWTSYQTGASSCKRGFVQFCYEVIREIISTCMNGEKDKLWSILQNLNVTIKPDDKELMGEALMKRVMQTWLPASTALLETIIFQLPSPAKAQQYRVQNLYDGPQDDRYASSIRNCDPDGSLMLYISKMVPASDKGKFFAFGRVFSGRVVTGMKVRIIGLNCVPDNKNSCMDSVQHTVIWVGKRLESVGDVPCANIAAIFSFDQLMANNATVTNEEEVDACSIGALQVSSYSTVSDQVEKDVELDRFKRLLQEAEVKNEQLVRRLKSARKQLKKIKSENHEVHHELERSEQLKLAHSNPESSNRSAKVLRMLANCHDIILCANEVGATELLHHSNEPDAAILCVIEIPGASKDPVWDFDILEKLTPLGKAVILGMIDDIYSLHIARLSLCGEFTLEDFYWTVNQKVKLSPRIKNIAVKRNRTKMNMDYNRLPKLIREILARSEIPIPGDLERLLKLLESADPCMYRALIRYNFCLMDDIAKRCYAITMFTRMVQLDLKDADVFGSKAHEKYRSATAMSPISFSESLFTNMCSNRFIEKVYAKWSSDSGNKFKGLEGVRRFVEFVRHCLVHLPDIAYEHGRICYTDANEWQSDTINVDLMSSGGSKIEDLGLMFPSVRWAVPCCGKGRVDRLPGTGVWFTGR >Et_2B_019824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14316535:14319621:1 gene:Et_2B_019824 transcript:Et_2B_019824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACGLPLLECVYCLACARWAWKRCLHSGEADSATWGLAAAADFEPVPRMCRLIMANYEPDLAAPLFAPPGGYGLDPACVLRRTTYADTRGRVTPYLVYLDHDHADIVLALRGLNLVKESDYALLLDNRLGKRRFDGGYVHNGLLRAAAWVLDAECDLLRDLLERYPDYTLTFTGHSLGAGVAAMLTMVVVLNLDKLGIVERTRTRCYAMAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSILCLPCLLCLRCLRDTCIPEDAMLKDPRRLYAPGRIYHIVERKMCRCGRYPPVVKTAVPVDGRFEHIVLSCNATMDHAIIWIEREAQKALDLMLEDEDTMEVPSEQRMERNQTLQREHDEEHKAALRRAVTLSVPDARAPSPYGTFDRDEHRRHYPERSESFPPVGARQRMNWNDLIEQVFDRDDDGQIVLRGSSGSPS >Et_3B_027717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15051287:15053197:-1 gene:Et_3B_027717 transcript:Et_3B_027717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSSGNFMLFDQNNSSVWQSFDHPTDTLVMGQSLCRGMSLSAKPSNSKWSSSRVYLSADYNGLRYSFKPAAYTQLFQALKPSTSTSFCYGFDNGSLGFPDTIFSLPSTTSLQFMRLESDGHLRLYDMGGQSSARMLFDVLSTVMNFCDYPLACGDYGVCSNGQCSCPSLTNFMFQNERLPGDGCIPLSSTTCDHAQGHKMIPLNNVSYFSYSVFMSSAISGSSETVCMQYCLKDCSCKVVVFQKYGPGGYAGYCLILTEENLILFKEESTDQFSSFIKIQDSSSDKRRVWRKCMKDEKKLIDGIPGTPKHFSYGELKVATRNFSVKLGGGGFGSVFKGTIGNEIIAVKRLEGVEQGTEEFLAEVKTIGRIYHLNLVRLIGFCAEKSHKLLVYEYLSNGSLDKWIFHTSPVFTLSWKTRQKADIYSFGIVTIEIICGRENLDESQPEESIHLISLLQEKARSGQLCDLVDRSSSDMQLHMEEVMQTMKLAMWCLQVDSSRRPLMSTVARCWKVRRAWRPHLTALLYLVLLQAILM >Et_4A_032106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18071724:18072170:-1 gene:Et_4A_032106 transcript:Et_4A_032106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSTKSRTPDSPHPPTKKRATGRKQAKKKSKNGVEPFKEALQELVAFKKKELELEKERWRWTKEIEERKLSIMQRRLPDTRTRVLAMRAQIAASAVAALNGANGGGGGGFGCDFGIGSGSFGSGDNFSQI >Et_1A_007215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32161624:32162020:1 gene:Et_1A_007215 transcript:Et_1A_007215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRPAPPLQPRGGSPPPPPSPSPSPPLHDKMLALSLASPSPSRTAPLRVLQGAARPHALPPGPPLARDDATAPLLAPDDFTAMREPEAAADGLLLLLLELNLSL >Et_4A_033953.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28357712:28358145:1 gene:Et_4A_033953 transcript:Et_4A_033953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ATPLVAGLSVAAAALGSRYMVQAWQAFRARAAVPRMRRFYPGGFQSEMNRREAALILGVRERATMDKIKEAHKRVMVANHPDAGGSHYVASKINEAKDILMGKGKSGASVF >Et_2A_015099.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:29883105:29883284:1 gene:Et_2A_015099 transcript:Et_2A_015099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEG >Et_2A_015288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10516501:10526979:1 gene:Et_2A_015288 transcript:Et_2A_015288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPPGNLLGVEARAEEKERADQLWAEAELRQLRDHTLLKKKLHTEKSIKSGLAGRLPDRGKKLRASFDAIRRELSHRKLLREAGAEAEKEKEIRADAVARQLPDDALPLLEKEARAEKAIKAGLPDGGKKLHGSLDAIRREPEAGAEEDEVREKKLRDENAIEAGLAGRLPDGGKRLRAMLDTNSPELARRKLLSEAPHPSGGPGARAQHDKGCRILVQTRCPESSGTGPRAQMLMVEEVGVEEDKENERADDEVHQLPDHVLREKKWRTEKLIKAGLIDRLPDGGKKLYASLDAICRELTRRKPRSEAPHSHGCSGGSDTAPMAQPQNLPGVEAGGEEDEEMERADAEVRQFPDDVLRDKMLRRENAIKTGLPSRL >Et_5B_045012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8391164:8400513:1 gene:Et_5B_045012 transcript:Et_5B_045012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWGLGWKRSSEIFHLTLDYGDSVDDHHQQQRQPSSPPPPGTPTAAAAAAAAAQSSSPTATCNGDLGFRIELDWSAGDDEDQVALRLQSQLMVALPPPHDAVSVDLTPKGDAEEVGVEMRVVRRREALRSVRVARAPGSALGSGDGAGVLARLIRSNLAPAPAVDGPTATAGVPVLADHWRSVSMLSLGNCGLLVLPVELTRLPLLEKLYLDNNKLSVLPPEVGALRNMKVLSVDNNMLISVPVELRQCVLLEELSLEHNKLVRPLLDFRSMPKLRVLRLFGNPLEFLPEILPLHNLRHLTLANIRIEALESLKSVTVQIETENGSYFIAARHKLSAFFSLVFRFSSCHHPLLASALAKIMEDRSNQVAISKEENAVRQLISMISSDNRHVVEQACLALSSLASDITSAMQLIKCDIMKPIEAVLKSFDDEEIVSVLQVVVSLTFVSDHVAQKMLTKDVQRLSLFAVGNLAFCLETRRTLMHSDSLRDLLIRLTVSQERRVSKAAARALAILGENENLRRAIRGRPVAKKGLRILSMDGGGMKGLATVQMLKQIEQGTGKRIHEMFDLICGTSTGGMLAMALGIKRMTLDQCEEIYTKLGKLVFAEPFPKDEAATWKEKIDQLFKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKGVPKVFAVSTLVSVMPAQPYIFRNYQYPPGTLEVSPGLTESPSIGAIGTAVSGAPVGMKRGAFMGSCKHQVWQAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAIREAQLLWPDTRIDCLVSIGCGSVPTKSRRGGWRYLDTGQVLIESSCSVERVEETLDTLIPMLPEMQYFRFNPVDERCGMELDETDPAVWLKLEAATEEYIQKNFLAFKNLCELLVPRYQEEEVSSNITKSLSFSTFVSSNSGFSESNPTLGWRRVVLLVEASFSPDSVKKINHARSLETFCSQNGIRLALMNSASGFGKPATTLPTPITSPLFTGSFPSSPLLYSPEGTQRIGRIDLVPPLSLDGHPNTKSSPPTSPLRSRQPTAHVRSLYDKLQNMPQVGVIHLALQNDSTGSVLSWQNDVFVVAEPGELADRFLQSVKTSLSTLLRGRKRKGTYSLSKISCLSELVADWPSFEIGGIHHRYIGRQTQVMEDNQEIGAYMFRRTVPAVHMTPEDVRWMVGAWRERIIICSGRYGLAHGLIKAFVDSGAKAVISSSIEPPDSQAVAFHGMDVNGSLENGKFVIGDDEADESEPEPVSPISDWEDSDAEKSGNNDVDDEEYLAQFICLLYDKLFREGVTVDTALQQALRSHPKLKFSCHLPNAEVTECSPSESFGSNAVVKIDILRPSSNQSQTGDGMTIQAFFTANPTMNSLMSSVARSSAVLPSTSLTSNLAPARSSSSILGLSPSEAASMRRMSTGWMSWFSSASILS >Et_3A_023568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:562479:562805:-1 gene:Et_3A_023568 transcript:Et_3A_023568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNIVLRLLVLVLVFNILTTPQAWTEQECYNDKELIKVQCRKTIAVMGPYVPPTPSCTSAEELHISIPKFLRLAHSCHKPVPSPGEKCGSK >Et_2B_022391.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1995772:1999818:-1 gene:Et_2B_022391 transcript:Et_2B_022391.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRPPPPLEDRDLAAEVLYLHSLWRRGPPAPAPVAVPAPTAKLSRSARHTANRRKRRLLERCGAAETEDARSEWPLAPSSPASADASPAEPTPPSPGSPAAEETISNGDSVRSGSSRRRGNRRKRRRQERCPAEAQGAGSPWPLAPSPSASSEARPSASPRAWPDTAASPAKPPPQPPSPGSLAQREALRAAAEFFSKDGSDDEGSESEGDEEEAAGFFTGMFERDAALREHYERGWEERQFACMACAGRKARRRRGRRFRGCVGLVQHAREACRNGRTGAHRALAAVVCRVLGWDIERLPSIVIDPRGTLGQALASRAQSDAHEAKMDVETEKKDSSTDVDGAVNELVTKESAEMEDAVKTRMEEPIISTDGEKEITELRGGKESSEKDNANKDDSLSQDNNGVVHEQDVAKEITEKENMNDICSKDTCDNEKHCIKASVQEELNATVSEPEHAKTADDIGDTVVTRLENSPTEKNVDENKEHANSIS >Et_5A_040853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13451898:13453368:1 gene:Et_5A_040853 transcript:Et_5A_040853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITPLLRLLPTLLLLLHPSLREYLTLTVSRGPNGGGVDVGVGELHPVVLLAGLGCSDIEARLTEAYRPSIPRCGAMKGKGWFSLWENASDLVTHDYVQCFEEQMRLVYDPAINDYRNLPGVETRVPKFGSARGFHDKNPLHPKRCLDHVREALEALGYRDNDTLFGAPYDWRHAPPVPGQTSRAYTRYFQQFKALVEAASKKHDKKVIVFGHSYGGMVALEFVRGMPLAWRDTHVKHLVLSAPTLSAGFTHQVHSLASAGSLQVIYVPTTAASSLTTLWRSFETAIADLPSPAVFGRDDPVVVTARRNYTAGDMEDLLAAMGLGDGGVEPFRRRMVPKMRCSAPPMVPLTCINGVRNRTPRQLVFWDGDYDVAPDIVYGDGDGFVNLISMDAFEADMCQNPRQKARFKSVWVPKVGHSELVTDERAVKKVVEEILEANRV >Et_4B_039856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4260888:4264384:1 gene:Et_4B_039856 transcript:Et_4B_039856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADMISKLLEKAVVPLDVAPHAKTGALRTSVFRNPNMEKLQKGYLFPEISIKHEAHMKKYPDAKVISLGIGDTTEPIPSVITSAMAERSIGTEVAKYLAFHPHWESTIAYALALSTPEGYQGYGPEQGQKSLRKAIAEQMYPNMGIKESEVFISDGAQCDIARLQMLFGSGVTIAVQDPTFPGYVDNGVIMGQTGHADESGKYAGIAYMRCAPENSFFPDLSSVPRTDVIFFCSPNNPTGHVASRAQLRELVDFARRNGSIIVFDAAYAWYVSDDKPRSIYEVPGAREVAIEISSFSKFAGFTGVRLGWAVVPDELRYADGSAVARDFDRVVCTCFNGASSVAQAGGLACVASEEGRDAVRRVVAVYKENARVLVDTFAALGKEVYGGADSPYVWVRFPGRRSWDVFAEILEKTHVITVPGSGFGPGGEGFIRVSAFNSRDRVTEAANRLKKFLA >Et_2A_014975.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:24296504:24296692:-1 gene:Et_2A_014975 transcript:Et_2A_014975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PESIHPGFNKFLILVAWRIWKERNNRRALQPFALSQEIIDETNLWATVGFSELRKLLLPRHA >Et_6B_048946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1665294:1667751:1 gene:Et_6B_048946 transcript:Et_6B_048946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPEWATKEPCMMGIDEAGRGPVLGPMVYGCMYCARSYHGTLATLEFADSKTLKEEQREELFESLKANSSIGWEVDVICPKELSAKMLKRSKVSLNEISHNSAMGLVKKLLDMGVLLAEVYIDTVGDPEKYRVKLTEKFPGIKFVVAKKADSLYPVVSGASIVAKVTRDRALRNWVFDETALDMHMNTGSGYPGDPGTKQWLEDHKHPVFGFPTLVRFSWGTCTPFFKDAVEVTWESDELDEDATGNGSAKRQVKLSSLGFTGFKRKTEEIESSGKGRCKFFQTRKLELVRKF >Et_3B_030237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31629915:31632356:-1 gene:Et_3B_030237 transcript:Et_3B_030237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTLKSLSMDYLNLLINGQAFSDVTFNVEGRLVHAHRCILAARSLFFRKFFCGAAAAAADQSAPALLDHLSPRSPSGSSPRGGAGSSAAAAPPGAVIPVNSVSYEVFLLLLQFLYSGQVSLVPQKGEPRPGCGERGCWHTHCAAAVDLALDTLAAARSFGVEELALLTQKQLAGMVEKASIEDVMKVLMASRKQDLHQLWTTCSHLVAKSGLPPEVLAKHLPLDVVAKIDELRLKSSLSRRSPFLAHHHHIGGEGASSGGAAPDQLDDHHKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNHPAGPAGKTPLHVAAEMVCPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLAHIEPNKLRLCLELVQSAAMVMSREDAHTAAAAVNAAPMYSSEPPPAGGGHVYNASGTNTSMVNLSLDNRMVYLNLGMDAQFGKMSDGGDGDDGGSRGQGGPSSLFSPHGYH >Et_9A_062961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7564448:7566606:-1 gene:Et_9A_062961 transcript:Et_9A_062961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IQEKSNRNQDTKNRRRAAGIVKMPEVRVATRSVLSDHSGGGFFIRRVVSPGAVVVKGGVKPLARRALTQSSNKENVPPAGAVRAAPKKRSPLPDWYPRTPLRDITSIAKALERRRSRLEDAAALQQIHAPLTHETLVVVTSGPGSTQVVANPTTLSAEGKLNASSSPSDCSLQTSPLKPNDPALADLEKELSGSIEQIEKIVSRNLKKAPKAAQPSKMTAQRRTLMSMR >Et_4B_038945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6150083:6173949:-1 gene:Et_4B_038945 transcript:Et_4B_038945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVELMRGRLRHILQKMVAANAVARWKPGLAVETPLLSQDTNLVSSTCMKERRHGLHRSHLQESFTERKLLQENATEYTDDGSICIRGHPASKKHTGRWKACSFALVCSFCSYLAYSSIEKNLVSYLTKVLHETNVAAARNVSTWQGTSYLTPLVGAFIADSYLGKYWTALISFTILITGKMILVLSVTLQLITTTPNLLPAWTDTGSFQHIIFFVGLYMVGIGYGLQNPCVTSFGADQFDDTDGEEKTKKSSFFNWHHFTVNAGALISGTILVWIQDHKGWLWGFVISTLFVALGLVSFLLGSSVYRFQKPGGSPIARVCQVFVAATRNFNTHLPHDSSLLYEIPGQVSAIEGSRKLEHTTGFEFFDKAAIMRSSNCESADLLNTWRICTVTQVEELKILIRMLPIWATMVLLATVLTQMFSTFIEQGMVMDKNIGSFEIPPASFQSIDVITVLALVPIYERILVPILRKFTGMENGITPLQRMGIGLFFSSLSMVSAALVESNRLQIAQAKGLVHKDVAVPMSILWQGPQYFLAGASEVFALIGLNEFFYEESPDAMRSLGVAFSQANLSAGGYLSSFIVSLVPLSLEEEQRRSLLVRATESEDLDEYTGDGSVDFRGYPILKRNTGNWRACSLILGTEVCERLAYYGISKSLVTYLSTRLHEGNVSAARNFTTWQGTCYLTPLIGATLADSYWGKYRTIAVFSTIYFLGMAALTFSSSVPYFKPPQCIGSICPEPALPQYLIYFVGLYMIALGAGGIKPCVSSFGADQFDDTDLVEKIKKGAFFNWFYFSINIGSLISGTVLIWVQENYGYGIGFGIPSLFIALAIGSFFIGSEMYRFQIPGGSPLTRACQVLVAATRKRKSDLPVDSSLLYEIDGKTSAIEGSRKLEHTSEFSFLDKAAVILWNEYGSHNPWRLCTVTQIEELKILMRMFPIWATGIIFFTVGAQNSSMFIEQGMALNNQIGSFKIPPATLSSLDVISIVVWVPIYERLVVPIASRFTGKERGFSELQRMGVGLFVSTIAMAVAALVEIKRLQIAREEDLIHQKVPIPMSILWQAPQYLLIGVAEVFTSIGQAEFFYNQSPDAMRSLCSAFALVTVSLGSYLSSFILTLVSFLTTQNGKSGWIPDNLNEGHLDRFFWLIAGLSFLNLLAFIYYAQQYRYIVNPVGGSSGMDIDIKEIRQHTESWRSCSYILVTQCFEELAYFAIQFNLVTFLKTVLHENNVTAVRKYTNWQGTCYIAPLVGAIIADSYLGRYLTTLAFFRVYLVGMAAMSISASFSACAGPDCLQKGLPKSVVFFLGLYMMAIGAGGIKPCVSYFGADQFDDSIPAERLKKDSFFNWFFFATYIGSFLAGAVVVWVQDHCGWVVGLWIPTLFIALAVASFLLGSGSYRVQKPTGSPILKVFQVVVAAIRKWNVALPQDDCLLYESPEKASVADGNILQHTPVLRFLDKAAVISSPEELSSPNPWRLCTVTQVEELKVIIGMLPIWAAGIPYFAVLAQFSSTFLEQGRTMDRHVGNKLTIPPASLASFDAVSVLICVPLYDRLLVPAARRLTGNGRGLSELQRFGAGLFLSVLVMTTAALVETRRLAAAAAAGGEEAVPTMSILWQVPQYFLVGASVVFACVGQSEFFYNEAPASMRSLSSALALLTVALGSYLSSLVVTVVTCLTARGGQPAWIPDDLDKGHLDRFFWLLAALSALNLAVFVCCARRQQKGADCCREKREGITNRSSFLRCFKISDIAFGQNAGIYTGDGSVDIKDRPASKRTTGNWRACFFILGDEFCERLAYYGIAKNLVTYLKVKLHLGNLEAARTVTTWQGTCYLTPLIGAILADSHWGKYWTIAVFASVYFIVSTKILPPKAPPTCLGTVCPEASLLQYGIFFVGLYMIALGTGGIKPCVSSFGADQFDDTDPTERVKKGSFFNWFYFCINMGSFISGTFIVWIQDNSGWGIGFAIPTISMALAIACFFAASNMYRFQKPGGSPLTRMCQVVVAAFRNRHAQLPHDMSLLYEVDGQTSAVEGSRKLEHTNELEFFDRAAIISSVDVKSKSTDPWRLCTITQVEELKILIKMFPIWTTTIIFSAVYAQNSSMFVEQGMVLDKRIGSFNIPPASLSTFDVISVIIWVPLYDRILVPIARKFTGKEKGFSELQRIGIGLFLSILAMADVPMSILWQIPQYFLVGAAEVFAAIGQLEFFYDQGPDAMRSLCSALALVTVALGSYISSIILTLVSYITTQGGDPGWIPDNLNEGHLDRFFWLIAGISFLNLMVFIGCASRYKYKKAQ >Et_7B_055357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9671262:9672792:1 gene:Et_7B_055357 transcript:Et_7B_055357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRATEGPSASAGIPGHKRDRKGSDEPPGHDQLATAPQRECLVYLSLEHVLASASARALLGLDLALLFDRSYLPAGVAAGLLGPALELREHRDVLLRHAEAALGGGWWAAHRSWPRVGTTPLTLAFVLATGFWLFVHVHLRTLHAGASVKPQSSWSRFVWPSFYCPCI >Et_10B_002629.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19019123:19019269:1 gene:Et_10B_002629 transcript:Et_10B_002629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVCWDKCITGSIGSSFSRSEVSCLSNCAKRYAELKMLTMQKLSSGH >Et_8B_060537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20258449:20260492:1 gene:Et_8B_060537 transcript:Et_8B_060537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLLLLLLVLGLAGGSPPPEPVSCTRGTSDCTVTNVYGSFPDRTICRAANATFPRTEQELVAAVAAAAAAKRKVKVATKHSHSFPKLACPGGRDGTIISTERLNRTVSVDAGGERRLLTVESGMLLKDLIRVAGDAGLALPHSPYWYGITIGGLLATGAHGSSLWGKGGAVHEYVVGMRIVTPAPASQGFAVVRELGVGDPDLNAAKVSLGVLGVISQVTLELQPMFKRSVKFVTRDDTDMAQKLVPWGRLHEFGDVAWLPRQGKAIYREDDRVDVSTPGNGLNDYLGFRASPTLGLLTARAAEEHLEKDGGDVARCLWARLPAALFELQAYGFTNDGAFFTGYPVVGFQHRIQASGTCIASAEDNLLSACTWDPRIRGPFFYNSGFSVALSKVPAFVADLQRLRDLNPRAFCGLDAKLGVLMRYVKASSAYLGKAEDSLDFDVTYYRSYTEGEPRAHADVVDELEQMALRKYGALPHWGKNRNFAFDGVVAKYPNAGEFIKVKDKYDPDGIFSSEWSDQLLGIRGSSTNVVGKGCAIEGLCVCSDDSHCAPEKGLFCRPGKVYTEARVCAPGGSTTTTRLNDEL >Et_3B_030909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8723765:8729840:1 gene:Et_3B_030909 transcript:Et_3B_030909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPETLVLAALIVLYFVLSAAELYLRIRRARSFRQWRRQQHLQQRQEEEEEEEEEEEEEEEEKVIPPPPEPAASLETHAPEPAAAEEEGADEGGRETQPQQQRRRRRARKKRQQKEGAEGEGGGGNGDAAAASAKKAGKGEPLLPQRPQFPLASVAGALQQRIHERYDDLVRASEARCLTIEQVNEFVNCLIEARNELLQRYENVQRSFKIKKAMLSNHRNYRSSYGRLFEQVCRLEIERDNLKKDADIYNCLQERLQASAPYKLIMDLSAMELEAPEMSFEELLAKEKEDTAFWQPNGKMRSVSSNK >Et_5B_043280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21696201:21697298:1 gene:Et_5B_043280 transcript:Et_5B_043280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEAMKQSGCSWNKERCMIEGGPHLWANLKVTFPKIKKFQNPKASFPLFDYLGELYDGHLAEGNYNITSAEPEEEEPLMPIPKAEDDIDKELQLVYDLEDEEVEEETEVRAREDDAPSREEEAPPRNRIPEKTEPKKSTKSNVEGLFERYLEMRTKQGENEVARLARENEAAMLARENQPTQATDFSITRCIKVLNTMEVTKAERVKAFSVFRNIDNREIFLSSAEEDKENALLWLRSEM >Et_7B_055746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3307293:3310646:1 gene:Et_7B_055746 transcript:Et_7B_055746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRQPRSPSTAAGGDHLRFLRPGALARLRDARLRRRSRSSRLPPPSSPVQEPTSPPAGTGGDGDGSMAVPYFAPASRLLAPRCPQRKKLTAAKSVVLFSPPLPSSDLPIEAVIEFLNQPDMYFRAWR >Et_1B_010460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10795726:10798538:1 gene:Et_1B_010460 transcript:Et_1B_010460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKAKAKRPVPPPPRAGGRRRFFAVGGLGLAAAAYVGVDYLRHLSPAWHGRLQPALWAALALATAARAPFYRRWDAELRAAPRFLAALAFMLAAFLCEAISVRFVSTVLGLQWHRSTAPLPDTGQWVLLALNEKLPQTMVDLLRAHIINLHHYLMLFIMLGFSALFGCIKGPGLGIASRYMFTMAVGRLLRTITFLATILPSARPWCAEARYQIPDHPHPRAQKYYAPYASGADVIRRVVKEDMPYAAVQDYPAEYRPEWGQMSFLVDILRPTSGEGPSWYHLLKRASGGCSDLMYSGHMLVAVLTAMAWAEAYGGWVSVAIWLLVVHSAQREIRERHHYSVDCIVAIYVGILLWRMTGFLWSLLKGVELAAEEKKSFSQRVILSFAAAMIVFTLLCVLLAFTLTSDG >Et_1A_005903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15363149:15371352:1 gene:Et_1A_005903 transcript:Et_1A_005903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVYRIASPSEYLAITGYGIDDVKLAKKAWVAPGQRCTRFDISPVNYTFEVQAMSAEKLPFILPAVFTIGPRADDDACLLRYAKLISPHDKLSHHVNELVKGVIEGETRVLAASMTMEEIFRGTKSFKQAVFENVQLELNQFGLIIYNANVKQLVDVPGHEYFSYLGQKTQQEAVNQAKVDVAEARMKGEVGAKERDGTTRQNAAKVDAETKVFTVKRQGEGAKEEARVKAEVRVFENEREAEVAEANAELAMKKAGWERQAKVAQVEASKAVAIRDAELQVEVERRNAARQTEKLKAEHLSKAVVDYEMKVQQANWELYNRQKAAEALLFEQEKEADARRAAAEAAFFARQREAEAELYAKQKEAEGLAAMGQAQSAYLSAMLGALGGSYGALRDYLMISSGVYQEMARINADAVRGLEPKISVWSNGGGAGDGDATSSGGGAMKEIAGVYRMLPPLLTTVNEQTGMLPPAWLAASIRSTRDQRAEGQRQRESEAELYPKQEAKGRGHLLFRHSSSSLLISLSIPDFPPTPAAAPQAPRPPRRAAPGHRAPGPAPAVPTTTSAAAPPSTEAAAPQPRVYARAADDPGRRAPGPTPPSIQAAAPPPHPRRRRRPRPPRTWTRATPSPSPPPALRHGVTCSGLTAVRRRARTSPRAMLGALRNYLMISSGVCHQRVGDQDQHVEQQRRCRRRRCQRWWWCDERDGRGVQDVAAVADDGARADRKCWR >Et_5B_045321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18227119:18228509:1 gene:Et_5B_045321 transcript:Et_5B_045321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPLSWADAPPYHYHGPPLPLPKTAPPEEAAEGGEGGGGPEVARSLWIGGLLHWMNEDYLYGCFNRVPEVMPPHLPSSLDRSTHSLLPLIRVLDSALLLVSLQIKQSKYTGKFDDFGFLHFADHTTADHVRRSYNGQKMPNADRDFRLNWPAFAQQPTEKHADQHVKVNCGTHQQDAPQGHTDVYSDHSIYVGSLAYDVTSFMLQHLFKSRYPSVKSATVICDKDTGRSRGYGFVGFGDVNEQRQAMTE >Et_10A_001425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:372483:375920:-1 gene:Et_10A_001425 transcript:Et_10A_001425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIINYLLLKTNVCVVGVARTPMGGFLGALSSLPATKLGSVAIEAAMFAAQSIQLGVNDIVVAGGMESMSNAPKYVAEARKGSRFGHDTLFDAMLKDGLWDVYNDCAMGVCAELCADNHTLTREDQDAFAIQSNERGIAARDSGAFSWEIVPIEVPAGRGKPPVVVDKDESLAKFDPVKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVLARIKGYADAAQAPELFTTTPALAIPKAIANAGLESSHVDFYEINEAFAAVALANQKLLGIPSEKINVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVLELA >Et_10A_002149.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:2453293:2455407:-1 gene:Et_10A_002149 transcript:Et_10A_002149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGKATAAAAATAACSEIFSSNQELTRLARSGQLATARRLFDGMPRRNTVTYNAMLSALARHGRLDEARRLFDGMPRRNTVSWNAMIAACSDHGRVADARQLFDAMPSRDDFSWTLMVSCYARAGELELARDVLDRMPGEKCTACYNAMISGYAKNGRFDDAVRLLREMPARDLVSWNSVLAGITQRGEMVRAVQFFDEMVEKDAVSSNLMLEGFVRAGNLDSASSFFSKIESPNVISWVTLLNGYCRAGRIGDGRELFDRMPERNTVSWNAMLDGYVRLSHMEEAYKLFTEIPNKNSISWTTIISGLARAGKLKEAKDLLNRMPFNSVPAKTALMHGYLQSKMVDEARQIFDGMEVPDTVCWNTMISGYVQCGRLDEASLLFQKMPNKDIVSWNTMISGCAQYGQMRTAVGIFRNMNRRNTVSWNSIISGFVQNGLFVDALHHFMFMRRETVRPDWSTYASCLSACASLAALHVGRQFHSLLVRRGHISDSFAGNALISSYAKCGKIFEARQVFDEIICQDIVSWNALIDGYASNGYGTEAISVFREMEANNVKPDEVTFIGVLSACSHAGLIDEGLEFFNILTKEHSLKAVAEHYACMVDLLGRAGRLNEAFELVQGMQIKPNAGVWGALLGACQLHKNHELARLAAEKLFELEPRKTSNYVLLSNISAEAGKWEEAEKMRLPIQEKGVHKPPGLAGST >Et_1A_004760.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:38662574:38663615:-1 gene:Et_1A_004760 transcript:Et_1A_004760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSKAPTSALTLLPWRLARNLVHASSITSLLRATSRRRGISDSPRASIAVFTRLPWNLAIASFFASAASSSSSRFFIASFTTTTNLSAMSASATASGSGTAPFFGSSSFSLTSSAAAAAPPFSFCSTAFSHASASFTTGSWWCATCMIFSGTGTASTCCCCGSTTSSCILSTAAPLLPSLLPCSASMDPPLATSEALPGITGTPGPGSSPFILRRDLLLLLMRNARAARCMSRLGDQSDANDDIALLLASLGVAGGVSVGVAVMQPKDNAAAAEAAGVAGVETGWWAGFELNGDSASARALALRSSDRSGVAMACSGIHKQRTMEFY >Et_1B_010115.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:24649556:24649747:-1 gene:Et_1B_010115 transcript:Et_1B_010115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLEEELHHLMVRHAIPIDPTGLFFSLRHLSLESMDDLDDSRPALPGEFFLFLIFIFVFFFP >Et_3A_027108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33087423:33090118:-1 gene:Et_3A_027108 transcript:Et_3A_027108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAVIAAEGCIAALPEDILVEVFSRVRDVKALFRCAVTCRRWLRFFTDPDFLRQIWPQQDLLLGFFLNSGPTKKRGPSRQHKHASSSSLTFVPSPMSPIIGSMDRVRFSLLVPDDSIICYSVVHVASRSGILLVESVPCQFVASGSGRRTRFLFGVCDPITGAHDVLPHLECAGHGKLENGYAILTAADVGIGRGDHSSFSQLLLIDRHDHDGHWHMHTYSAATRSWSITAMFPQAHQVWLTGDRSAVVHRGAAHWLCIGEAAETETTRRDNRDLYVLSVEAGGGRASSLTKLPMRSRGLPFFFVGRDGRFSVACVQAFSVDIWTRQGDLDSAAWLRSQVIQMPASMTWWRRNIRAMLAMYSADDNNVFALDLKTGAMEVVMDLSQCPLRNEHYRCLPYKRDLSDFFENFSLKILSIVPQKGGRTIMASTAAGRCMDDGAAGLPEDVLFEIFSRLQNARDIFRCALACKPWLLLLTDRNFLRRLWPNHGRGHPSRLLGFFFQKHAGRQSYPVSAPTFLPTPMSPLGPGERALSAFVSDNDGTFNYAQPLASRHGVVLMRLIPRTYERMKGGLVVGVCNPVTGERHVAPPLECACLGRYVNGYAILTAADDGGDLGSPSAGRSAFSRLHITGHHRQDNDLRLYVHSYSAATRSWSEPTVCLRRSDLFQQEGTKAAVVHRGVAHWLYTTRQSLYYILSLEGAPARVSFTKLPISDDSRSSFLCVTGDGRLSIASQDGDRATWLRTQVIRIPPQPPRLDWDYDYDSRFLERRVWFDFDRGALIALYKGGGLFVINFEREATEIVVGACPSCLFYNGYRSCVPYEMELVGVLCAQAWCSISSLITS >Et_6A_047481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6291253:6293860:-1 gene:Et_6A_047481 transcript:Et_6A_047481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRIGEVPTVVLSSLEAAREVMKTHDTAFASRPLSVTLDAITNGGRDIIFAPYSEHWRQLRKIAVTELLSTRRVLSFRRIREEEVAAMLRGVATATAATSRPVQMRTLLTALLSDITVRAVVGDRRWNERDLFLRKVERVNELAVGFNLADLWPSSRIVRRLSSAARHAEEVRATVSRILDVIIKVHLERLDGAGAGGEEQDLLDVLLRIQRDGDLPIPLDMDVIKSVIFEMFAAGSETSATAIEWALAELVKNPKAMKRATAEVCNILSSHSTVTEQALGELRYLQLVIRETFRLHPPIPLLLPRLAREPCQVLGYDMPQGTTVIVNVWSLGRDERYWSGDPEDFRPERFEEEASEVDFKGSDFEFLPFGAGRRMCAGMMFGLANVELPLASLLFHFDWEAPGLADPAEFDMTEMFGATVGRKGGLLLRPIIRVPVPGA >Et_10B_002369.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:14406929:14407885:1 gene:Et_10B_002369 transcript:Et_10B_002369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSDGCYLLLLYVEYVSSDRDTPGDLELPTAVNRNTVVRDTLFLLENQIPFFVLQRLHELVTGGTSSVVGFIAEPVQDLLQKMLFISKKPRPAPSSCCHLLHLVHTYFRPTVLPAENNTVRCRRRRRPTGRWRRATEYRRYANVRFKPWEFEDHVESSVLDVQLQRGTLWIPRLRVESNTWTILRNLMAYEEQKKRRPVTAYCLFMSQVACTVEDVEMLRQAGIVDHYMSNDEQVAQGFADLWRGVVMDVDDLDRNYLKPMWHELEDRCHSRAQRLMGWFHHGQNVVIAAAFLVAFILVVCQVMQTFYAAAARGRQP >Et_3B_029327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24038379:24041617:1 gene:Et_3B_029327 transcript:Et_3B_029327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRRRARARAHVLPLLLLVLLPCCGLLRRAAAQPQQPAADEATLLLQIKRAWGDPPVLAAWDASSAGALCSSWPYVRCDAATGRVASLSLASTNVTGPFPADAVGNLTGLTHLDVSNNSINDAFPTSLYRCGSLQYLNLSQNYFGGALPADIGAGLAASLTTLDLNGNSFNGTIPASLSRLRNLQFLSLAANPFDAGNKLPASFRNLTKLRTLVASMTNLVGDFPGFVQEMPELELLYLSNNSLTGSIPAGVWSLKKLQVLKAFSNSLSGNLAVDGFAAASLRELDLSDNKLSGTIPEAFGGLQNLTILYLFGNNFSGEIPASIALSPSLYILRLSNNWRLNGTLPPELGKNSPSLAYFEADNNELTGAIPEGLCKGGQLVSFTASGNRLSGSIPAGLANCATLQRLLLENNQLSGQVPEALWTSTQLEKVLLGSNQLTGSLPPTLVSTLAFISIENNQFGGSIPAAAAALQVFTAGNNRFSGEIPASLGDGMPLLQTLNLEAAILRGLTEDNLVGRGGSGRVYRVAYTNRRTGSAGVVAVKKIRGAGAPDEKLEREFESEASILGNVRHNNIVRLLCCLSGAEAKLLVYEYMDNGSLDKWLHGDDVLGAGGRAMARARSTARRAQLDWPTRVRVAVGAAQGLCYMHHECTPPIVHRDVKSSNILLDAEFRAKVADFGLARMLLRAGAPETMSAVAGSVGYMAPECAYSKKVNEKVDVYSFGVVLLELTTGREANDGGEHGCLADWARRHYQSGGSITDATDKSIGYAGYSDEIEVVFRLGVMCTSSSPSSRPTMKDVLQMLLDCSQKTEQKCKTGHSTTPEYEEAPFLVPQRGSRRKQLSNGSAIGMDEKSDFDSIV >Et_8B_058835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:44710:46803:-1 gene:Et_8B_058835 transcript:Et_8B_058835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQFNEAGVEVVVKASLNIFSIHITYLYDVISTDMDNGNFKMQSRDGKGTAAHFTICSANMTVENLSQIIERLLNFYRSRVISSFVSEHWNQKVFQRVASEQKTIGYVSSGTISESFNTIKLTTLRHGSVDCWMMLRRLNWNLNWVVRCSSKVEYAAFGMN >Et_2B_021522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30265511:30268598:-1 gene:Et_2B_021522 transcript:Et_2B_021522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VAGGGSPLDGFLGPMLFLDLLCSLNSGKDIRSSSAMENRMHGVGSENNHQGVAMEGIRYASEMAATNRRVLGDIKNIIGGPRHPFAVSKRGLSEKPAAAVDPKDQAVFAGRRPITRPQLESERKKRSADATFHTPTDMESVKMSDDMPLPMLPEMDGVMSSELKEIEMEDIEEVAPDIDSCDAGNSLAVTEYIDEIYSFYRKNEGSSCVSPNYMSIQTDINEKMRGILIDWLIEVHYKLELLEETLFLTVNIIDRFLACENVVRKKLQLVGVTAMLLACKYEEVSVPIVEDLILICDRAYTRADILEMERMIVNTLKFNMSVPTPYCFMRRFLKAAHSDKKLELLSFFLIELSLVEYEMLKFPPSMLAAAAIYTAQCTMNGFTSWNKCCELHTKYNEEQLMECSRMIVELHQRAPQGKLTGVHRKYSTYKYGCAAKSDPATFLLDAII >Et_2A_015767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17722760:17727467:1 gene:Et_2A_015767 transcript:Et_2A_015767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTEKASADGENDRVRFGVSSMQGWRTTMEDAHAAFPNLDDCTSFFGVYDGHGGKAVSKFCAKYLHKQVLINEANSSDDLSTSVHKAFLRMDEMMKGQRGWRELNEMGEKGNGHKITGMLEGIIWSPKGTDSDKLEDKWESEEGPNSHFRGPTSGSTACVAVIRNDELIVANAGDSRCVISRKGQALNMSTDHKPDLEGEKERILNAGGFVVAGRVNGSLNLARAIGDMELKQNELLPAERQIVTAEPELKTVKLSEDDEFIVLACDGIWDCMSSQQVVDFIHKHMKTEEKLSAVCEKLIDHCLAPVSGGDGCDNMTVIVVQLKKLVSSVATSSAEQSAVTSEEMRPNELDGPDDPSK >Et_8B_059103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1431056:1436891:1 gene:Et_8B_059103 transcript:Et_8B_059103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAKPSSSRSPVTDGGSGDAAAAATMEGRFADLCKSKLGLDDSMTRQAMQLFKETKDILASSMSSLITGSPEEMERSWSSCVLYCVSRLSKAGRSKEGGVVSLQHILRASKLTIHAFLREMPQFCQKAKHILAGLYGSDCEERLELEELKANIVHLGLLGRHYKRVYQELFLSNDAKSSEDSSESKTQLVSDYYRFGWLLFLILRIQTSSRFKDLVTSTTLLSSAVLIIHIPARLRNFDIKDSSCFGKKSVKGVNLITSLSEKFQTSEDETSKELEKANTLIMDILKMKPRSASECQLDNLSFVDPEGLIVFEDLLQEDSFRSSLLALEKEYVTLINTNGEIDERMFANGEDSLLGSESLSGGAINLPGTKRKYDVMASPAKSIMSPSPMSPPRFCLSPKSNSFCNSKMAPTTPVSTAMTTAKWLRCTISPLPSKPSGELLRFFSACDKDLTDYITRRAGIILGAIFTSSSFGERISTNVRSTNRMDAIWTEQRKMEALKLYYRVLESMCRSESQVLSGNNLTSLLSNERFHRCMLACSAELVLATHKSVTMMFPAVLDKTGITAFDLSKVIEGFVRHEDTLPRELKRHLNSLEERLLESMAWEKGSSMYNSLIVAKPALAAEINRLCLLAEPMPSLDAIAAPHNISLGGLPPLPFQKQEQSPDKDELRSPKRACTEKRNVLVDNNSFRSPVKDILKLKLLPPLQSAFASPTRPNPAAGGETCAESGIGVFFSKIAKLAAIRIRSLCERLQLSQQLLEQVYSLVQQILSQQTALFFNRHIDQIILCSIYGISKITQLELTFKEIIYGYRKQPQCKPQVFRSVYVRWPPRNRRGKTGEEHIDIITFYNEVFIPAIKPLLAEVVSGASPKKKDEEKGHVDGQFPESPRLARFPNLPDMSPKKVSATHNIYVSPLRPSKMDTLLSPSSKSYYACVGESTYAFQSPSKDLKAINSHLNSVSSVNGKKKLNFDLVSDLIVASSLNGNQNTGPAAMEVAPVTAPVKCESPES >Et_2A_017143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31329127:31337827:1 gene:Et_2A_017143 transcript:Et_2A_017143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPCPPVAAAAASAPPRVAPRHPFAAAAALRRPCVSALRCTNRRAVSPRSRKQVRGLGAAALAASSFGSFDAERDGCLSCFPKSRRRGRSGLARFAPCALPHASGLSFRNRLSGAKVRPSHILRAAGPDEPHVASPTWSETSLDSLDQTISQEELEGLLNTPLPSHPKLVRGQLKNGLRYLILPNKVPANRFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTKTKEYGEDLLPSVLDALNEIAFHPKFSSSRVEKERRAILSELQMMNTIEYRLLQHLHSENKLSNRFPIGLEEQIHKWDPDKIRRFHERWYYPANATLYLVGEIDDIPRAVREIEAVFEHTLSENETSSISNASPFGAMASLFAPKLPGGFASNLTGEKTPAMDKIKPIKRERQAIRPPVEHKWSLPGVAQDAKPPAIFQHELIQSFSINMFCKIPVNQVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPQNWRSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALGHTVMDQLQGHESLLAVAETVTLEEVNTVGAEVLEFVSDFGKPNAPLPAAIVACVPKKVHVDGVGETYFEIYPEEITDAIKAGLEEPIYPEPELEVPKELIMQSQLDELKLQHKPSFVPLTEGQEVVKLFDSETGITQRRLSNGISVNYKITQNEARVGVMRLIVGGGRATEDSESKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRATQLYLSYYRSIPKSLERSTAHKLMLAMLNNDERFVEPSPHSLQKLTLQSVKDAVMNQFVGGNMEVSIVGDFTEEEVESCVLDYLGTVRGTISPTREEHIEKISFRPFPSDLHFQQVYIKDTDERACAYIAGPAPNRWGYAAEGKDLFNAIRSSGDETSEKIDSDPTGKRRIDVRSHPLFFGITLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLDLGWYVIAVTSTPSKVHKAVDACKGVLRGLHSSRIVERELERAKRTLLMKHEAETKTNAYWLGLLAHLQSSSVPRKDVSCIKELTTLYESATIEDLYLAYQHLKVDESSLFACIGIAGADSGEDTNDDEPDMDLNGVIPMGGRGLSTMTRPTT >Et_3B_028808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19305516:19312444:-1 gene:Et_3B_028808 transcript:Et_3B_028808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALQASLLLRPLPSPLPPRRRLPVPTASSVHFPRTPAHRRAPPCLRALAPDAPQPAPEPPAGSAAELEPEAEPVTTAAAETSPAAGRVEKGELEDLVEKGRAWVLAVAAAVVAAARRFVDWIVSGDWMSWWPFWKPDRRLRRLIEEADANPKDAAKQSALLHELNKFSPEEVIKRFEQRSHAVDSRGVAEYLRALILTNGIADYLPDEQSGRSASLPALLQELKQRVSGNEDKPFVNPGISEKQPLHVVMVDPKATGRSTRFAQEIFSTILFTIAVGVMWVMGAAALQKYIGSLGGIGAPGVGSSSSYSPKELNKDIMPEKNVKTFKDVKGCDDAKKELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIVFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIIVMAATNLPDILDPALTRPGRFDRHIVVPSPDVRGRQDILELYLQDKPVANDVDINAIARSTPGFNGADLANLVNIAAIKAAVEGADKLNAAQLEFAKDRIIMGTERKSMFISGESRKLTAYHESGHAIVALNTQGAHPIHKATILPRGSALGMVTQLPSQDETSISKKQLLARLDVCMGGRVAEELIFGEDNVTTGARNDLQTATELAQYMVSNCGMSDAIGPVHVKERPSVEMQSRIDAEVVKLLREAYERVKRLLKKHEKQLHALANALLEHETLTADEIGKVVHPYQEEPQFSFQDEEFAFT >Et_1A_009449.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5829904:5830611:-1 gene:Et_1A_009449 transcript:Et_1A_009449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPARTSRKAEAGPLQAAVSTVATAQILRASGYSAAEPAALRALSDIAGRYIASLGRAAAALAEARGRTEPNVVDVVLALEDHAPGGFPGASDPARPALCSGALAELAGFVTAVREVPFAKPLPRREAGGGKRWESFAVAGEEPPLRHVPRWLPRFPEGWEDRRGEAVAKSEEQDTGEVVTVMLANGNGGMARRGAVPEKREKVSFRVAVKKRRRVGPDKCDVGGLERFAKNFD >Et_1B_014240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4015086:4018943:-1 gene:Et_1B_014240 transcript:Et_1B_014240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFKSSRSVLSRLLPRRVTIVSPARQLPAQAPPPRYYYASRLPRDNAASRPPPPVPSRRCFFTSPRCETSRPRRGVSRSYHHPRLRKIAAAAVIVVGGAAAIYFHNLEAVPYTNRTRFIIISRKLELLIGEAAFAFLKMDMEPEILPPLRPESVRVRRITSEIVRAVDSGLAGRPRREARAIMLGGSRRKDGGAAATATAKPGDEERRNHGDELGAQPRTSSLLDGWDVIVVRSKKVNAMCVPGGKIIVYTGLLDILREDAEVATVLGHEVGHSIARHTAEHITKILWHLILHIVTLKFIYRPDVEREIWLPFKRSLETEADHLGLLLLAAAGYDPRVAPLVYEKLGKNGRPALEKYLSIHPSGKERAQHLLQDEVMNEALEIYREVHSGQSHGTGTNVFAVAFAVVLPVKDAETIVYLAMSRTKEATETYSRIVEAN >Et_7A_050626.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:828095:828411:-1 gene:Et_7A_050626 transcript:Et_7A_050626.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEAQRNFQRHFFMEMTIIFLDKVLKAKKLANFENLTPSFHAWKRSFKDECRLQGHRFKEIDVNTFRAWLHSLL >Et_9B_065749.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10032084:10039286:-1 gene:Et_9B_065749 transcript:Et_9B_065749.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGDDVDFYRTWKDDSPYLYDYGASYGFTFGKDKNVTITYTPNVPNYIAPVDVYATTRSMGTNATFNLITNLTWLLPVDAGFYYLVRLHFCEIEYPMAQINQRSFFIYINNQTAQEEMDVLVASGGIGTTVFRDYVTVTTGSGQMDLVVALHPDTLTRAEYHDAILNALEIFKLQSGDNIFAPLTAPLQPSTNVEQHETSGRRTSNGAACEAIGGAISGFVVLIIAFISVCIICQRKKKLTENH >Et_7A_052542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9035610:9037488:-1 gene:Et_7A_052542 transcript:Et_7A_052542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEAAAAPDHGGEYTAKDYTDPPPAPLIDAEELTKWSLYRAVIAEFVATLLFLYITVATVIGYKHQTDSAASGPDAACGGVGVLGIAWAFGGMIFILVYCTAGVSGGHINPAVTFGLFLARKVSLVRALLYIVAQCLGAICGVGLVKGFQSAYFVRYGGGANELADGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSIGAAVIYNNDKAWDDHWIFWVGPFIGAAIAAAYHQYVLRASATKMGSSASFRN >Et_10A_000946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19483941:19487359:1 gene:Et_10A_000946 transcript:Et_10A_000946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGKGDKDAFFHCLDRVPSGLHLDADFASDDDDDDDVRVSFASAMGDHQNQSFRRYQAAVLEEEEDDEQEAEEDPSKYDMWMSDDMSIQERRRRLHQGLGMASSRDLALRRHSMKKRSADVPRSASRTQRPPSPSPAPPPVTVAAEAPSTAAPAVAAPLQPAKAITRRRSDSALAVRDGGASGSGKPPPSQLLRRARSLPARHAAGDGATVVKFLGVATRDLSVVPLPASSADKSECDGKDGGDGIKDTAAVVAASKDAPANAQTGVPGLDDFEKFIGNTPIVKHLVRRGTSQHQHAPPPAGGAPPKAEKSAGKKKGGWLKNIKSVAIGFIQDKDATAAKSSAAAAAASTTLTAAAPSKSATATASAAAPGASSSERLKVHQYGKSIKELTGLYMCQAMEQEIQAHEGSIWSIKFGADGRRLASAGEDHVVRVWQVVETSAPPSFLQAQDGSGSGAAPPGDGSSAAPALGQLSKKSVKGKSGRDTLPEHLVVPDKMFALAEQPACVLEGHKDDVLDLTWSKSDQLLSSSMDKTVRLWDTESKVCLKTFTHSDYVTCIQFNPVDDRYFISGSLDAKVRLWSIPDRQVVDWTDLNEMVTAASYTPDGQGAIIGSHQGSCRFYKTTDCKLSPEAQIDIQTKKRKSQAKKITGFQFAPGNSSEVLVTSADSQIRVFDSVTMVQKFRGYKNTSSQIAAAYTSDGRYAVCASEDSHVYLWRTTRVAPASGAMGIGMKPKTWCTIRSYENFFCKDVSAAVPWPHTPSPPGSPSSSQQQQGMSCNEESCSMASHGATSGKPEAGRRRHRQGVGVGGGDGELGRGDQGVPELWDAVQDQRPGQSLPLIIQLEKLVAGRSQWSNANQRTDT >Et_5A_041510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23871235:23879538:-1 gene:Et_5A_041510 transcript:Et_5A_041510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSPPPAPPLADAADDYISQKKKFRCDAQQRVQNHDRISALPDDMLIKILSLMTVREAAVTDCLLNRWRHLWENTDHLVLDWDAFGMHLSEKPDSSNLEATEFVNKTNLPNHNGTRIRKFEVNFPLSSAHASELDRWVEFAAASGSEELNFILSGSDGMVPTKPAEPYSFPLKYFVDLSGCRLRRLLLFACRLEMAPAYLSGFSYLEALRLNCVSVVDSVVQNMMSCCCALRHLSLRRCHQLINVRTCHAKLVHLEFLLCKRLVSISIHAEKLRSFSYEGRKINIDYECALVNECPLYFIGALPKLKTLILQFPSPVQVPCVLQHSAGFATLKEIMLCLLTPWEKSIRSVVYLLKAAPRVEVLKLEVYGNSLQPLTELKIKWPKKCTLKRLHAIRIGGFSGEPELMELLVFLLGRSPVLKTLLIDTHRSYYKACCNKWKREVSQDDTRCNYARELALSHLAPIVPTTVKFNNHDRISALPDDILIKILSLLSLRDAAVTQSFHQMETPLAERRQSEP >Et_1A_006948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29324071:29329353:-1 gene:Et_1A_006948 transcript:Et_1A_006948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRALRAAAAMASAGRALSSAGAASLRPRHCPRAQGWGAAAAAGARWLCAGREAMSYDVVIVGAGPAGLAAAIRLKQLCRAADADLSVCVLEKGSEVGAHVLSGNVFEPRALDELIPKWRQEDAPIRVPVSSDKFWLLTKNQAWTLPSPFENIGNYVISLSQLVRWMATKAEELGVEVYPGFAASEILYDENQIVTGVATNDVGIAKDGTKRETFQPGRITLLAEGCRGSLSEKIIRNHKLRESGQGQHQTYALGIKEVWEIEEGKHDPGSVIHTVGWPLDSKTYGGSFLYHLDDRQLAIGLVVALNYRNPFLSPYDEFQKFKQHPAVRKLLEGGTVIQYGARTLNEGGFQSIPYPVFPGGAIIGCSAGFLNVPKIKGTHTAMKSGMLAAEATFKALVEGSSMELYWENLKNSWIWEELHKARNYRPAFEYGFIPGIALSALECYIFKGKSPYTLKHGRPDHEATDMANLHTPIEYPKPDGQITFDVPTSLYRSNTNHEHDQPPHLRLRDPTIPESVNLPLYAGPESRYCPARVYEYVSDDNGGPKLHINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYTVM >Et_2A_018413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27148428:27151314:-1 gene:Et_2A_018413 transcript:Et_2A_018413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCYSAIAVTKLKMLRASRARGAAAVLPITNGDDPCCCRSPDNDNNNNTTATKNDEEATGAAKKKGRKDRKHVSILGPGAADPDFARRYRLGAELGRGEFGVTRRCEDAATGEALACKTIRRRRLLLHRVGPDADDVRREVEITGRMSELGGAGGPVVQLREACEDADGVHLVMELCEGGELFDRIFTRGHYSERAAAKLALTIVNVVQLCHENGVMHRDLKPENFLFVNKSEDSPLKAIDFGLSVFFNPGDRFTEVVGSGCYMAPEVLNRNYGPEIDVWSAGVILHILLCGFPPFWGDSDEKIAQSILRGGINLQRDPWHNVSQTAKDLVRKMLDPNPSTRLTAKEVLEHPWLKNADKAPNVSLGEVIRSRLKQFSAMNKFKKKALGVVAMNLPAEEIDKYTQMFQMMDKDKNGNLTLEELKEGLQINGHPVPEAEIKMLLEAGDIDGTGTLDCEEFVTILLHIKKMSNEEYLPKAFKFFDKDGNGFIDMEELMEALGDDELGPNEQVVHDIVRDIDRDKDGRISYPEFELMMKAGSDWRNASRRYSRENFSSLSRKLCKGT >Et_3A_026032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3656903:3660412:-1 gene:Et_3A_026032 transcript:Et_3A_026032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASRGLLARLRGLSLSGPRVLVPPSRLFSAEPLVSDPYDDDAGGSEGGGGGRIIEARPGVMGPSSVRTGMIGVKCGMSAMWDKWGAKVPITVLWVDDNIVCQVKTPEKEGICALQLGAGQKKEKHLTKPEVGHFRAQGVPLKRKLREFPVTEDALLPVGTTISVRHFVPGQYVDVTGISKGKGFAGGMKRHGMSGMPASHGASLSHRSIGSTGQRDAPGRVFKNRKMPGRMGGVQRTVKNVWVYQIDPARNLLYLRGQVPGPQGSFIFVKDSNFKKPDPNLLPFPTYFAQDGESEVLEPLVADLGDVDPFMAAD >Et_3B_029767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27753936:27759033:-1 gene:Et_3B_029767 transcript:Et_3B_029767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PPSRRRRRFRLPLLPLLRVPAEARSRGRGPSRTLDCGLKPRRRAVTVAPEASMQKHAYSRLGSFGGGAALPSPRAWGRRTSLKGGAHARTGAGAGWAGGAARRAARAVLAALLRRQAVFLFAPLLYVAAMLLYMGSISLDSVPRIISRPAPGSMYRSPQLYARLRADMDADNTTDALATVWRHAYKGGVWRPCISNNTNGLPESNGYIYVEANGGLNQQRTSICNAVAVAGFLNATLVIPNFHYHSIWRDPSKFSDIYDEDHFIQRLKNDVRVVDKVPEFIMERFGHNLSNVFNFKIKAWSSIQFYEDVVLPKLIEERLIRISPFANRLSFDAPPAVQRLRCLANFEALKFSKPITSLADTLVSRMREKSAENNGKYVAVHLRFEEDMVAFSCCVFDGGDNEKKELDAARERGWRGKFTRPGRVIRPGAIRMNGKCPLTPLEVGLMLRGMGFSNNTAIYLASGRIYKSEKNMAPLLEMFPLLQTKETLASDEELAPFKNFSSRMAAIDYNVCVHSEVFVTTQGGNFPHFLIGHRRYLYGGHAKTIKPDKRRLAILFDSPRIGWKALKRQLVNMRVHSDAKGVETKRANESVYTFPCPDCMCRSNKSEHPKSIQAR >Et_5B_045373.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:2064008:2065708:-1 gene:Et_5B_045373 transcript:Et_5B_045373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPSEVVNRAKVEYQTKRIKLHRETVTIDNDDFTSLICNLQGGAEGIINMDSSDDATGASTMQMQTYESSSFDWEANQRRVAELLDKWGATTNYAGEVEVVLGPAIVEARPPGTRIVKVQTGPRSRSDRRAAAPRPRPVFVAPSPLETAVLDAIHGHIIRALARLPRSAVREHGRGVVLAGHCYGCLGDPASNVVANAIWHDAALFPPRHDARPLDMAGAAALYRCARRSLDGLVAFMRSYCPEVPRDEALRCLHATGADLGAAVRMATGGEDAFFRVGGAVASPRVRDAFEHAATAARHPDPAAYARFSESLLGPEPEAATVRSEMLAAASTGTLSPAAIGTISAALRRLHGVDHHAPPPPPAQQLSRGAQRAVAHVTSTFMQTQAYTAANVVELALQRLAAQTGEELSLHFICGKHKFYKDSAIHHHINFLAKRTAAGEAATPALFFAEVDALARDVSDVTLCCPVPVAQGPGGCEACECGGTRLVHPAGGVEFQGRVGFTDGDDPAMVKLFAKHPEQEISVPLEEDHVFFDAGIHPEMARYLENRYSAMDDDHVDLSFIQLV >Et_10A_000490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11281776:11283890:1 gene:Et_10A_000490 transcript:Et_10A_000490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLVSSSTGVMKSLLCKLGTLLGDEYKLLKGVRKEIGTLRDELSSMNSLLQKLAEAGELDVQKKEWRNKVRELAYDIEDCINIFMHQLCHSNGKAGFICKAIGMIRKLRARHQIAVQIQELKSRVMEESERRDRYKLDFPSSLRHVDIDPLLPALYTEAKSLVGIDSPREEIIQWLTNRNWKCCLVEQVNVVSIVGFGGVGKTTLVNQNPEMSKVLRDILSQIGSGRMSFCQYNDQQKLIEKIRERLLKKRYLIVVDDIWTIQVWDIIKCAFIHNNNGSRVITTTRIEQVAAACCSYCYNHIYKMKPLCDHDSRRLFFHWIFGSEDACPEQYKNVSQRILKKCGGVPLMITSVASLLASQECMHKWESIQKYLAFELETSPTFGWMRHILNLSYGDLSHSLKTCFLYLGAYPEDYKIEKVNLLRRWIAEGFVDYKHGLSPEEVAESFFNELINSLIQPAGYEYGELTHCQVHDIMLDFILSKSTEENFIT >Et_2A_016348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:23643433:23651781:-1 gene:Et_2A_016348 transcript:Et_2A_016348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLSNGRSPLVRKQSQITAFFSTSPSPSPSPSGVDNKASKPSPSPSPLNPNAKRKPTPAAASSPSPLKPPRHRRRRSRCRKRRGKRVEQDAAAAVAVAAPAAEAVGRQLRVYWPLDDAWYKGRVDSYDAGSCKHRVKYEDGDEEEVDLGKEKFEWAASEESTPPSQPARKLRRLRRMSDTAVAKSPAVIEDEEDDSGDSTEDEDWKKDAVAEDDSEEVELDDEDDDDEVVPVRSRKGNPRTSLPMLGSAQSTPGSGLTSASGSTMSKKRKTVDVGSLGCAKKFSFEAFINNGKVESEVPMSCDRSKQTTEKGPAALTGEAAERFGQRDAEKFKFLGEGRKDAKGRRPGNPDYDPRTLLLPSQFLKNLTGGQRQWWEFKAQHMDKVLFFKGEQPHCGFPEKNLSVNLEKLAKKGYRVLVVEQTETPGQLELRRKEMGIKDKVVRREICAVVTKGTLTEGESLLTNPDLSYLLSVTECYQHCSTKNQEACTIGVCIVDVSTSKFIVGQFQDDPERHALCSLLSEMRPVEIIKPAKMLSPETEKALKNNTRGPLINELLPSLEFWDAEKTIHEINQYYNSSDKLNSVEDSVSCLPNLLNELIGAGDKAYALSALGGSLFYLRQTLLDEKILPCAEFEPLACPGLTNNIQKHMIFDAAALENLEILENMRTGGLSGTLFGQLNHCVTGFGKRLLKRWIARPLYDRKAIIQRQNAIATFKGVGNDASVQFRKDLSRLPDMERLLARLFSSCDENRRSSSVVLYEDASKRLLQQFTAALRGCQQMFQACSSCLLITADGSRLNELLSPGTALPNVSSILEYFRDAFDWSEADRNGRIIPREGCDPEYDATCAAVEEIESSLKEYLKEQRKLLRYASANYVNVGKDTYLIEVPESLGGSVPEDYELQSTKKGFYRYWTPELKELISELSKAEAEKESILKGILQKLIQRFVEHHSEWRQLVSVVAELDVLISLAIASDYFEGPSCRPTIKESNGPDDNPTFHARNLGHPILRSDSLGKGSFVPNDVKIGGPGNASFIVLTGPNMGGKSTLLRQVCLTIILAQIGADVPAESLELSLVDRIFVRMGARDHIMAGQSTFLVELMETASVLSSATKNSLVALDELGRGTSTSDGQAIAASVLEYLVHHVQCLGLFSTHYHRLAVEHKDTKVSLCHMACEVGVGEGGLEEVTFLYRLTPGACPKSYGVNVARLAGIPASVLQRANEKSIDFEAYYGKRHRLTKDKQVTAESEDNFSAIRDLFRIVKAWRPHEGAASLSMLREVQERAKVQAVEG >Et_3A_026784.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:20424821:20425861:-1 gene:Et_3A_026784 transcript:Et_3A_026784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDRSSRFASSESCRSRASPYFSSDGRTSGSRRSEESSVTAVANISSHGRSKGSRRSEESSAAASAVAAKEAAEWEEVRCPVCMDHPHNAVLLICSSHDKGCRPFLCDTSSRHSNCLDQYRKASKDSSKDSGAAAPECSECQQHGNLSCPLCRGPVSHWIKDYDARRHMNCKVRSCTMESCEFKGAYSELREHARAEHPAARPMEVDPVRQRDWRRMEQQRDIGDLLSMLRSGFSSSLDDAGIGASEEGEEDIAERTLHSHGHGHSITMIFIMRSTNSIQQYLSSRSRLFVVSRSVDESHTARASGNADATGPDSEEGDDPVPSTEASQRDAEEEGEEADGNPAQ >Et_1A_005065.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:24907941:24908135:1 gene:Et_1A_005065 transcript:Et_1A_005065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKEVFVLVDELREVEFNHCFREQNKVAHDLAKNSCNKTSTAVWLRRASDFVANSWQLIVTLL >Et_4B_040057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8680055:8684111:-1 gene:Et_4B_040057 transcript:Et_4B_040057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVTGTILSSDPCSVKMAGAILSRFAESTTSHLPSSDYATYLRTAADAAKEHHRFVRFLAANCQQGAAYLHADGYEGPVQGEREPGGGEENWGAEVPAGGPHISAEEVKVAVAADEKKSKKRKLKEDPHEDKAVAGVAPHASVEIASEHRKKKEKHSNKELPSLMIVKQEPDLVVEEELVGERKKKKQKHSHKELTSSVKQEPDLVVEEELGSEKKKGKKKKEKGHVKSEEDVVEVQGQIVDNGVAEQGILDEGKKRKKKRHSEEKGESKGVKEEEIMSTAIVLYREKKQKKRDATLGHAPSLEEQKAIFVDRGVGSPKFARPTNETISMSNLKLECEAKIQWRALDKINAGVCDGMTYDEIKKNKPEEYEKGPGDIDPDHHRSTIP >Et_4A_034484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3717246:3720090:1 gene:Et_4A_034484 transcript:Et_4A_034484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGAVTPPQPTLAAARRGLRGRGVLHRCLAASPMKDESVVSTNGGNEEMVTDTLNVARGVSHPGLSSSLCNQPSVVPTPLLPADPSDLRFNRLRPSIDESDCKYKRFFGCYVAREAIIDEEYWIAAWLRAEDHFEDQSGDRYVESFKRKFASQEFHALKKRCSKQQGEKYICFVAVKNDDFRRTVLNSVVGTLDVCVRHPLHGEKFPAEPGKSSLHHRIYQPDRPKFGYVTNVCVAKYARRQGIASNMLLLAIDAAKLNGAENIYIHVHKDNLPAWRLYDQIGFKMVDLDGPSHSSDLCLLSFSS >Et_3B_029874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28710332:28713458:-1 gene:Et_3B_029874 transcript:Et_3B_029874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKESTSIPLSQAAEAVDPEDPAKSPPRPSSPTTSTRQACCAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPLYAQLGINIFGLGFLTSLVFIFLVGIFVSSWVGSTIFWVGEWFIKKMPFVRHIYSASKQVSTAISPDQNTTAFKEVAIIRHPRIGEYAFGFITSTVVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSIREGIEIIVSGGMTMPQVIASLEPMPRINQSIRLNRMS >Et_1B_011890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2692516:2695790:1 gene:Et_1B_011890 transcript:Et_1B_011890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPGGSGRRPQQQQLDEHLPPMTPLPLARQGSVYSLTFDEFQSALGGPNKDFGSMNMDELLRSIWTAEESQAVGAASASAAADQAARAAIQRQGSLTLPRTLSQKTVDEVWRDMMCFGGPSAAPAAAAEPVPPTQRQQTLGEITLEEFLVRAGVVREDMTAGPSVSPVQAAAPPPPPPPSQPQMLFPQGNVFAPLVPPLSLGNGLVQGPVGQGGGGGAASAVSPVTSNGFGKMEGGDLSSLSPSPVPFVFNGGLRGRKASAIEKVAERRQRRMIKNRESAARSRQRKQAYMMELEAEIAKLKELNEELQKKQSKCWRCKRMRSWRELRSKLDPQPREFAYGGH >Et_9B_064054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10849234:10851088:1 gene:Et_9B_064054 transcript:Et_9B_064054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVLNKVGSYWFSKRANKEIDSIGNDISSMSTSIGEGAKWMVNKLKGKMQKALPELLKEYDMPAGLFPRDATNYEFNEETKKLTVYIPSACDVGYKDSSVLRFFTCVTGYLEKGKFSDIEGMKTKVLVWTKVTAVKTEGSKVHFTAGVKKTRSRDAYEVVRDGITIDKF >Et_7B_054127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15859158:15862455:1 gene:Et_7B_054127 transcript:Et_7B_054127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAQGRALPGLLLLVAAAASPEDFLRLPSSSAPRQLAPRFPRTDAVDLIRALNLHPSDASPRRPSAGDAAGTLVERPLRLASLAGPSVGDLGHRAGYYRLPNTHDARMFYFFFESRGRSKEDPVVIWLTGGPGCGSEIALFYENGPFHIADNMSLVWNDLGWDQASNLIYVDQPTGTGFSYSSDSRDTRHDEASISNDLYAFLQAFFTEHPEYAKKDFYITGESYAGHYIPAFASRVYRGNKNSEGIHINLKGFAIGNGLTDPAIQYKAYPDYALDMELITKSQYSKISKIVPTCEFAVKLCGKSCFLMCTPLSFIVNLTSALQTYQHSHMFVQLLVHISFTYLLMKPVPSFRAGTSGTVSCLAAYFVCSTIFNSIRTIIGSKNYYDIRKPCVGSLCYDFSNLEKFLNLKAVR >Et_4A_034433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32571072:32571993:1 gene:Et_4A_034433 transcript:Et_4A_034433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGRTMIAPLLVLNLIMYIVVIGFASWNLNHFINGQTNYPGVAGNGATFYFLVFAILAGVVGAASKLAGIHHVRSWRGDSLATSAASALIAWAITALAFGLACKEIHIGGHRGWRLRVLEAFVIILGVTQLLYVLMLHTGLFGNQFPHGHGAGHYPAADYAGEPKGTARV >Et_2B_019737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13326667:13333844:1 gene:Et_2B_019737 transcript:Et_2B_019737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAALARAATRFLRGGLQPFAPAAAAVPDLSPRITRQFCDVPAAKEPSLSREDESGTEAEAEILDDVEPFIDRVKDILHSRRYRNGAVLGPDDEKFVVEKLLSNHPRAEDKIGCGVDAILVDRHPDHKSRCLFIVRTNGDLEDFSYRKCLRAYIEGKYPSHADRFLAKHVFHRRPQKISVIP >Et_9A_063216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1652517:1654553:1 gene:Et_9A_063216 transcript:Et_9A_063216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPRFALHPHVVTQPVVEGAGSHGLLSAACGHACEGGNPPSSSAAKHGTMALLPVRKLLNTTVENEAAAASTTEERRRRRRRVAAPAISECSEHAVVVSQDGGGLTPESIALYSVTITNTCMSCTVRNVHVACGEFASTELVNPSEFRRLAAGDCLVRDGGAMGPGDTISFEYTNSFKYDMDVASVSCG >Et_6B_050136.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:711586:711888:-1 gene:Et_6B_050136 transcript:Et_6B_050136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEVAAACESIAVPSINRTHPAAPPDASAGTSQPPCTSSTAQDPSNGATSASPTLPSPSSGQPQRRRGWTYGAMLTWAEPESGDEFALCFLVKSGDER >Et_5A_042789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4390658:4396738:-1 gene:Et_5A_042789 transcript:Et_5A_042789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHYCGNAGPELFDLAPMLVNSLSGSMTLLQVYAAIIRIFAESSMFEDALLTYIEAKKVGVEVDKAIEVFYEMMKNGGHKYLKEALGVFELMLENGVSPNIVTCTILVDSVSKEGLIGEAFLLLDKVHQLGIAPNLCTYKVIINGLCKLNKSSDVWEIFADMIKRGYVPDVVLYSIIIDGFVKALKLNEALRLYKCSMAVMSSHSKLPTAKNNTLEAFHILAMRKKMMALLESIGSGSRSESDEDDDDFVPMETGEQHMHADARTA >Et_8A_056590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1315385:1319162:-1 gene:Et_8A_056590 transcript:Et_8A_056590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHGDPARHHLSPQLGGAPPVPRFPTPLDLASAAGAGSSYRRLSPSLRPPAHPQVRLPSPYGQIPTPGAGGGGHHARSLSQPLFFSLDSLPPPPPYADLAAAPPHAIPPSPPSSTSDHAPPSLGLPPRKGGHRRSHSDIPFAFRAQLSPPLPPPAPGCRSDGDDAALYDLVNAYMDLDGLDPLNSSEDRHDDRDSRASGTRAGSAAESSENEAESHSSSAERKDGGKSRHCRSLSMDSFMGKLNLAADDESPKIPLPSPSGGLARSGSGSLEGGAVALFDMEFANGEFSEAEKKKIMANERLAEIALTDPKRVKRILANRQSAARSKERKMRYIQELEHKVQVLQTEATTLSAQLTMLQRDSTGLATQNNELKIRLQAMEQQAQLRDGKLIETLVSIGEKPCVSEKEWCPHTIFLEYSLFIFIIADMTASVISMKIGSWSMLSYRIVHEYLATCSIACGVVVASVGWFLNILSEVLLYFVQEG >Et_5B_044030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:19223640:19234998:1 gene:Et_5B_044030 transcript:Et_5B_044030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFQLTCHFSAEWGLSGAVWRSASRAVQCLLGCGEPTQTLISAAAAANSMMAPPPELMDDILEDIFLRLPADDPARLVHAALVCKYWCRLIADHEFRRRYSNLHGAAAPLLGLLCNTGNPDGVLAPKARFIPTSSFRPPSADGLLRDWDAVDARHDRVLLHRGEVMHHVFMVWDPATDERRKLPGLSKCYSWNATVLCATTTGACDHLNCRRGDFLVVFVASTTRFDQFFVHVYSSQDGSWSEPTFSPRSLCDYNGSLKNVPGVLVGNALYFEFSHNRSLLKYNLSTEEMSAVQLPHFCYSISLMTREDGGLGLAIVEGSKLHLWSIEDGHDEYAGWVHSRAIDLKKLLPVNAFSSTNIFQTFPHVAGAAGAIGVILLRTRDGLFTIDVKSDCAVNICLHEVLHSRYYVSSLSLTRNIFQWLWFCTVQPIKGSSMVPPLTDELLEEILFRLAADDPTRLVHAALVCKRWRRLVSDPEFHRRFRAFRRKPNILGILCNIESSNVSSSRSRRMSTARFVPAVRKDWAAIDARHGRVLLHKPVYAERRDAILHHDFEVWDPITDERTEIPRLHAPCLCWNAAVLCASGTSGGCDHIDCHLGPFIIVFVASTEDDDKNFVRLYSSEEDGSWSEPAYNAGPDDVQYRRNNESSSALVGNTLYFVISGSKFIVSYNLGTREMSMIRRPTLCFYRRIALMVEEDGGLGMAMIMNFRLYIWSREDGHDADDGWALGRVIELTNLLPERAFPTDSNVIGGPTEVFGFADHIGVLLLWSRGDGLFIIDLKSGQASKIYQDNNLLSGVIAYMSFYTPSTMQTNKALLEKRMGVTENHQA >Et_2A_016914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29323380:29327441:-1 gene:Et_2A_016914 transcript:Et_2A_016914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRGGNGSDVGVARRWVLLLCVGSFCLGLLFTGRQKEAEVAAEDCTLTKVQGKHDYSEILQMSDTHHDAQTLDKTIANLETELSAARTLQESFLNGSPVSEEYKSSEPTGRRKYLMVIGINTAFSSRKRRDSIRNTWMPRGEKRRKLEEEKGIIIRFVIGHSAISGGIVDRAIEAEDRKHGDFMRLDHVEGYLELSGKTKTYFATAVALWDANFYVKVDDDVHVNIATLGNILSKHILKQRIYIGCMKSGPVLSDKDVRYYEPEHWKFGDAGNKYFRHATGQLYAISKDLATYISINKHVLHKYINEDVSLGAWLIGLDVEHIDDRRLCCGTPPDCEWKAQAGNTCAASFDWKCSGICNSVQNIWGVHNKCSEGEKALLTASF >Et_1A_008140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4708063:4714056:1 gene:Et_1A_008140 transcript:Et_1A_008140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKAKRGKKLCCTKGARGEKRLADHEASDVEAEHDATAAGNNGLPMANLVRLMRQVIPTQVKISTRAKQLTHDCAVEFVGFVGGEASECAVEQHRRTIAPEDFTWAFERLGLDDYVDPMTTYISRYRETQNVLGGASCSFAPAPAAAGGPCSFTVEEMQFLRSVVPPPHGGYDGAASSSEQPAPPLAERSYDGRGKGAAAGVEGRRQEGQNAQPAQVPMSTVVRMMRRVLAAHAKISYPAKNLATSCAAEFLGAVVREADKLCGQEERTVLTPDDMAVSLRNLGLDRYVEPMGIYLRRYITAALLSTYMHLRVQTVLMKHYLRTTLMTPTRNEADTGGSG >Et_1A_008980.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23085635:23097276:-1 gene:Et_1A_008980 transcript:Et_1A_008980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNASDVRFGRVGVDAVHPRVASVAGGGGVGAHGRHVAERLPDRAVALEPGAEADLPDAVSPADAALGLGVGELVPERAAGGVAEAVQRALAGLHVGVAQAQAALHLLQHGAAARVHAEVLERAPEVRDVRPDPTLAEDERLEELELLREREDERPQRGDVGLERVPRDGHEPPRQGHAHPALLVLALVHAPEAPVGGAPVCAHRVEQRVLGPRRVRPFVGQQRGGPAHAEDAVGQQHGAVVAQVPVERHVLGAHHHGERVAPVRRPEQVLGEVDGDQARAAPHPAEVERPHVLPHFVAVHHHGRQRRGRVEQAAVHDEHPHVAARVHARGREQRVQAAEHDGLRLGAGLRHAQPRRARLDARREVGAVPEPRPRRDAGLEVQRLRVEEPRARRHVQEPGLGDGVLVARPVARELHQVDWAAGAPQVVRGEQQRRRAGEHVERAETVHAADVDAGERVGRRGEERRRERQREPGRRRREAAGEQAETDVLEVHAAREVRQPAADLHHEAARLRRGLLGDVHLRRQALALHHRRGLHRMEPRCGFFGVFCSTSLRARDFVQVWLELGTKMDALGDSIYRRVLRTKTRDAPATKETNVEVKLEKESKKELTF >Et_6B_048336.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:13393623:13394159:1 gene:Et_6B_048336 transcript:Et_6B_048336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFKEVPQRPHFLPLREHPLAFREGMALGLMFTLATLVEKIKKSSIGDSMASFEKNIEALNHLEKNGFSVQSLRSSLNKLLEIKSAYEKHLKEKKDLDAQILKKVTCLSQIKLLLDENEKAMEELRCKGQEIAMKMEHEDAELSQLKAADMSINEACGGAEFEFRSVLTELQQKTLT >Et_4A_035251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14702225:14703726:-1 gene:Et_4A_035251 transcript:Et_4A_035251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLPRDVLADVLALLPPRTLAACRCVCKAWRAVVDAGRLLRSDLLPLSVAGIFLTISDETDPFFLRRPSSKHDDDRTISDELDDYAPAMDEDLRPQPTGLLQRPPPPPGQLRREPGHQAMGAHPAMPEVAHQGEDDDGRVFSSRAGQWEERELVREGDAVCTAVELLKDLDQYLDGVYWREAMIQLSESKYQVIKTPAGVDVIRHMVDLRIGKSKDGLYCASVSEWGLRIWLLCESGGKMEWILMHHTNIYALNNHLWRWDRYGPKTKKNEPWVWTCYDYMRERQEKTEWDSDDDNVLDGIEGMGQNSCHEIRTRLYLGSILSNRSSSCMLTLNMKLHTIGKAARFNTWAAIHMQIIIKFHQMYNHLSYTHHAGRETYQMVINRISLLYWVTGQHANVI >Et_6B_048229.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:14091293:14093640:-1 gene:Et_6B_048229 transcript:Et_6B_048229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGDPRPKFFTTENLFVELSVPYNARLRELKRWVNGTRAHRKLRRALSKMFDRLYTWYNARRSHITGTNSRWKKCLVICKSSRGMAVYLLFGAIALFHKSHRSEGAYKDTDAKITYILLCCTTALEYSAIAIKPIFELYEIITSGRPWPDLVSQYSLIGYLVRNKKHGMVGGLASRLGCKDLLDHELWCMAPCKSSSDITMLVHDHVMEGWNNYITDVPSYHRFNDSRGQWTLQRNQCDGKNIKSSLGRPFDESVLLWHLATDLCFYHQLTSRTCTVNASRLSREISNYMAYLLFVNPNMLVPGARPSLFTDAYKQLEGMPLDDGEAPQKNTPLRKENLAWQIIQKLKKNTPLRKEELAKQIINKLKKDPEAPEGSDFIHDAWALARELMEIRKLEDGEAGGEEMWKVIQGVWVEMLCFSAARCRGYLHAKSMCRGGEYLTYVWLLLLSMGMEPLAERTQRTETEDQVWHRLHRRRTF >Et_7B_055102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7290507:7291120:1 gene:Et_7B_055102 transcript:Et_7B_055102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ADPGNLLCLSFFLLDGAAGTVQVRCFHFLELFRDRILNCWIVYWQQKVVLKISSMSDEKVKQKAMETVADIYGIDSIAADHKDQKMTVIGDMDTVAIAKKLKKFGRVDVLSVGPAKEEKKDDKKGAKK >Et_3B_029118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22242827:22250047:1 gene:Et_3B_029118 transcript:Et_3B_029118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARDDGTSRGEDDGDGETTTTQQQGGASSGGAGVAAEVDKVPFLGMFRYADRVDVVLMAVGTVAAVANGMAEPLMTVVFSAVIESFGAGDDSTVLHRVSKVVMYYIYLGIGTAVASFLQVSCWTVTGERQSTRLRSLYLDAVLRQDIAFFDVEMTTAEAASRMSADTVLIQDALGEKVGKYIQLLTTFVGGFIIGFVRGWMLALVMLACMPPSILSFATVSRLRAQISGKRQASYGDAGNVVEQTVGAIRTVASFNGENKAIAKYNTLVKRAYNATMMEGLIVGLGIGCIFFVVFGSYSLAFWYGAKLIISKGYTGGQVINVVFAILTGSMAIGNASPSISAIAEGQSAAQKLFKVINRKPHIDITDASGIVFEDIKGDVELKDVSFRYPARPEQLILDGLSLQVLSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGVNIKNLNLQWIRGKISLVSQEPFLFMTSIRDNITYGKEDATLEEIKRAAELANAANFIEKLPNAYETMVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERVVQEALNRIMVGRTTLIVAHRLSTIRNADCIAVIHQGKVVERGAHEELIKDPDGAYSQLIRLQQAHNEERLEVSKTEVSRSLYKSKSLSLEQPTARDSPRTGRKHSFTKSIGLSVSNELHGHAITESLEQEESGNSKAPKKAPMGRLFKLNKPEAPVILLAAIAAFVHGLLFPSFSIMMSGGIRTFYYPPHQLRKDSRFWALMCLLFAVISLISIQLEYFLFGVAGGKLIQRVRSLTFQSIVHQEVAWFDDPSNSSGALGARLYIDALNIRRLVGDNLAIIVQCIVTLMAGFSIAFASDWKLTLIIICVIPLIGSQNYFQVKFLKGFSEDAKVMYENASQVVTEAIGSIRTVASFCAEKRVIESYSQKCQASMKQSIRSGVIGGLGFSFSYLVSYLGYALCFYVGAQFVHEGKSTFKDVFRVYFALVFTAFGISQTSGMASDSTKAQESAASILAILDRKSKIDSTSDEGLVLDKVDGNIDFEHVNFKYPFRPDVQVLSDFTLAIPARKTLALVGESGSGKSTIIALLERFYDPDSGTISLDGVELNKLKLSWLRDQMGLVSQEPVLFSDTIHANIAYGKQEVTEEEIITAAKAANAHEFISSLPQGYSTPVGERGTQLSGGQKQRVAIARAILKDPKILLFDEATSALDAESERIVQDALDQVMVSRTTIIVAHRLSTIKGVDMIAVIKDGKVAEKGKHESLMAIKGGAYASLVELHSKSA >Et_2B_021291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28381289:28382902:1 gene:Et_2B_021291 transcript:Et_2B_021291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELEAAAGGGRTAVSLSGEAPAADLGRGDLVHGGWTGDRTVSRRHVSLCLLDGGGEPGVAFKVVGRNPVVVRRSSNGGGASISSVFSRGETGELRPGDALSLSLKAPLFWAVRRKDGDGEGKVEASVLDAVARRERRTRERKERERLAAEETTEVKEEQEGEAGSEAEGLEIDLASIDPVKEFGFLSMGHEFDSYPKGRIRPPKDWNWFLEEIKRSSDDEDDEVSSRRDRSKGRGGNKKKKDGEGEDEDWTDESEDEKESLSRGPSVKRKKYVTRSKDPKKPRNDNSKVKSGGKNEDEDVEEEEDEEDETLGGFIVKEEDEAMDELSEEEEEEEFDDEDDDD >Et_7B_055139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7677090:7678340:-1 gene:Et_7B_055139 transcript:Et_7B_055139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCCSSGRRARVEQDRTSARDFLGSREGTLGEATHRVLHDDDGHRADDVPGVLGLFISVVEVVFRAGLNRCGKSCRLRWTNYLRPDIKRGPFTTEEHNTILQLHGIVGNKWSMIAAQLPGRTDNEIKNYWNTHLKKQLSQE >Et_6A_046257.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11498415:11500303:-1 gene:Et_6A_046257 transcript:Et_6A_046257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALYDVSLDPKWKAEGGFKNGYLFELEARLAEKLPNAKISALPHIESRLRYFRTKYGALEQMLNKSGFNWDANRMMLQCEKQQYDTHCKNHVDAKGLYGVAFPYYDTLSAVYAKDIATGEGAEGFTDAVSNMELELVAEHCNDQEEEEERTSRETPRRSFDSTSSSSKRQKKERKGKESVSSNPLLDMFNEVSGDLKFVTKNVGKMAEAMEREAAIQEKSMHEDPQQKLREKAVNELRRLEFTGGELIQAASVFAKTPDQMGMLFVLPEALRREYIMKRRGERRGWVAQDRTAWDLSVGL >Et_2B_020072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16571062:16577408:-1 gene:Et_2B_020072 transcript:Et_2B_020072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDKEALREVAAAVARAPGNPRRGISYSQPLSRDAASARRAALRNHSLDDEHILPASHSLSYTHHDPSAGVGAGAGAGGYHPPLPPQHHHQPSASYSSGAANANASRRSSGGASEGSMTLERAMSEYGGGAGTLPEFVGAGGGKGIFRVPLRAAMHPGRPPPLEVRPHPLRETQAGSFLRTLAAEPQRRHLWAGAESGIRVWALDEVFAGWGAGARRGDEESAPFREGVPAPPALCVAVDRANRLLWTGHKDGRIRSWRMDLDTAATAPAPQAAVFREALTWQAYGRTPVLSMVVTSYGEIWSGSEGGVIKAWPYDAIAKSLSLSPEERHMAALLVERAYIDLRNHCTVGNVCSLPASDVKHMLADHSRAKVWTVTSMTFALWDARTRELLKVFGMDGQVESAKLETPVMPEQPVEEEVKVKHSKKDKSQGSLNFFQKSRNALIGAADAVRRVATKGTFVEDNRRTGAVAQSMDGTIWSGCTNGSIIQWDGNGNRVQEFQHHTSSVQCIKALGDRVWVGYASGMIQVMDSEGNILAGWTGHSCPVIRMAIGGSYIYTLAHHGGIRGWPLTSPGPLDDIIRTELSNKELSYTRMEKINIMVGSWNVAQGKATAESLRTWLGSVSSDVGLVVVGLQEVEMGAGFLAISAAKETVGLEGSANGQWWIDNIGKALDEGTSFHRVGSRQLAALLIAAWARKSLKPYVGDVDAAAVPCGLGRAIGNKGGVGLRIRVYDRKMCFVSNHFAAHLEAVSRRNADFDHIYRTMAFNKPHGSTASATSVQLHRTVNVNGNQVEEVRPDLAEADMVVFLGDFNYRLYGISYDEARDMVSQRSFDWLREKDQLRAEMKAGKVFQGMREGLIKFPPTYKFQKHAPGLGGYDSGEKKRIPAWCDRVLYRDSRSVSVAECSLECPVVASITSYVACMEVTESDHKPVRCTFSVDIARVDELTRRQEYGEIIESNEKVRSLLQESCFVPDTTVSTGEITLEKQENIVFQITNKCETSKASFEILCDGQSTKKEDGTKSELVPRASFGFPLWLEVQPAVGLIKPGETVEITVHHEDFYTQEEFVDGIPQNWWCEDTRDKEAVLRIIISGSTSTETKTHTINVRHRCPAASTPPPIINPPAAAVPPSNVLSTEAHSKRSSKKSQSRHQQQQQQDYAQFSSSEILGPEFSIM >Et_5B_044706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4930381:4936791:1 gene:Et_5B_044706 transcript:Et_5B_044706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGRLRLGLFEAVAAVLLLAAAASASAAAGSPDRPAPGPPLVLPLTRSYPNVSRLAASRRRVLGDGTRPNARMRLHDDLLTNGYYTTRLYIGTPPQEFALIVDSGSTVTYVPCASCEQCGNHQDPRFQPDLSSSYSPVKCNVDCTCDNDKNQCTYERQYAEMSSSSGVLGEDIVSFGKESELKPQRAVFGCENSETGDLFSQHADGIMGLGRGQLSIMDQLVDKGVISDSFSLCYGGMDIGGGAMVLGGMPAPPGMVFSRSDPVRSPYYNIELKEIHVAGKALRLDPRIFDSKHGTVLDSGTTYAYLPEQAFVAFKDAVTSKVHPLKKIRGPDPNYKDICFAGAGRNVSQLSEVFPDVDMVFGNGQKLSLSPENYLFRHSKVEGAYCLGVFQNGKDPTTLLGGIVVRNTLVTYDRHNKKIGFWKTNCSELWDRLHISGGPSPAPSSDTGSQADMSPAPAPSGLPEFDVGLITVDMSINVTYPNLKPHLHELAELIAKELDIDTRQVRVMNVTSLGNSTLIRWGIFPAGSDNAMSNIRAMGIISRLTQHNVKLPENLGSYQLLEWNVQPLSRRSWFQEHVVSILIGILLHYLSGERNLEAKLHIDLLIQRLLSKNSNRYDPKLHGE >Et_1B_012537.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3292807:3295168:1 gene:Et_1B_012537 transcript:Et_1B_012537.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVAKVPAVLWLLLGLALTCGVAVSPAQASRANHYDFFIKETNVTRLCHEKTVLTVNGQFPGPTIYARKGDVVVVNVYNQGDKNITIHWHGVDQPRNPWFDGPEYITQCPIQPGANFTYRIIFSEEEGTLWWHAHSDFDRATVHGAIVIHPMRGAHYPYKKPHKEIPIILGEWWNEDVNHLLEEAKRTGGDFKPSEANTINGQPGDRFPCSKKDAFKMAVEHGKTYLLRIINAGLTNDMFFSVAGHRLTVVGTDGRYLKPFTVESIMIAPGQTMNALLVADRTTDGSCNSRYYMAARTFASNTAIPFNNSTATAIVEYSDAPPFAGPPDLPNLPAVEDIGAATAYTAQLRSLVTAEHPVDVPAHVDEHMLVAIAVNVVPCPPNQKCDGPLNQSLAASLNNVSFANPTVDVLDAYYRSMPGVFEADFPNKPPVAFNFTNEDVPPEFWFTKKGTKVKVLEYGTVVEVVFQDTGILGAESHPMHLHGFSFYVVGRGFGNFDGNKDPASYNLVDPPYQNTVSVPKAGWAAIRFRAANPGVWFMHCHFDRHTVWGMDTVFIVKDGKDPKAKMMPRPPTMP >Et_1B_010578.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11805121:11808644:-1 gene:Et_1B_010578 transcript:Et_1B_010578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCFDSPADEQLNPKLGGGAGAGGGYGGSSSAAAAYGASAGGRHGDRGYPDLQQAPMVAPRVEKLSAAAEKARVKSNALAREASAPKDANGNVISAQTFTFRELATATRNFRPECFLGEGGFGRVYKGRLESTGQVVAIKQLNRDGLQGNREFLVEVLMLNLPPDKEALDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLAEGFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRRAIDSTRPHGEQNLVSWARPLFNDRRKLPKMADPRLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQSYDPNAARKPVGDQRSKAGENGRAVSRNDETGSSGHKSPGKEREDSPRAKMWGDRERMVAEAKMWGENWRDKRRAVENGQGSLDSPTETG >Et_2B_020557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21287807:21292115:-1 gene:Et_2B_020557 transcript:Et_2B_020557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQKGSDPAAAADPNKRRRVGFSGLGTRLDPLPLCRLIRVPGGESDAGVEANECMKVFLVRNPDEVGSVDRNSIQPFDLNHFFGEDGKIYGYKNLKINVWISALSFHGYAEIVFEETCDGGKGITDLKPVLQNIFGENLVEKEEFLQSFSKECQYISNVVTNGNAIKHDVSNESDPAVEIVRVELQGAAAFLYSRLVPLVLLLVEGSTPIDIGEHGWELLLVVKKSQESSTSKFELLGFAAVYKFYRYPESTRLRISQILVLPPYQGEGHGLRLLEAINSIAQSENIYDVTIEDPSDYLQYVRSSIDCLRLLTFDPIKPVLSAMVSSLKMTNLSKRTCSLRLVPPADLTETVRQKLKINKKQFLRCWEILLYLNLDAEDRKSMDNFRACIYDRIKGEILGGATGTNGKHLLQMPSSFNEEASFAVYWTQEGGDADDQTVEQQPEDLKTQEQQLNELVDNQVEEIAEVAKNVTSRGKDKLRDLAV >Et_1A_006442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22218571:22228380:-1 gene:Et_1A_006442 transcript:Et_1A_006442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVLTLTRACRLLRPSSHPARVLPAAAAIHLLPFSSSPSATPASPPMASSTEWPASQVRETFIKFFESKSHTRWPSSPVVPVDDPTLLFANAGMNQFKPVFLGTAAPDSPLGKLRRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKEEAIGWAWELLTTVYKLPTDRIYATYFGGDEKSGLAPDIESKNIWLKYLPKDKVLPFGCKDNFWEMGDTGPCGPCTEIHFDRLGNRDAASLVNNDDPTCIEIWNLVFIQFNREANGTLRPLPAKHVDTGMGFERLTSILQNKMSNYDTDVFMPLFDAIHKLAGDGIQPYSGKVGSDDVGKVDMAYRVVADHIRTLSFAIADGSQPGNEGREYVLRRILRRAVHFGHQKLKTKQNLVNVFVQLMGDVFPELKKNEKKIHAIIRDEEESFENTLAKGYEKFKKAADAVKDNGGTVLSGQDAFVLWDTYGYPIDLTEVMAVDYGLTVDKEGFNVSMEEARQKARNARNKSGGKTIAMDANATAQLRNQGLASTDDSPKFMWHKEHGSVVKAIYSGTEYMATASGDEDVGLVLESTSFYAEQGGQIYDTGIIEGSFGTFNVSNVQVFAGYVLHIGSFAEGSKALSVGDSVICKVDYGRRTLIAPNHTCTHMLNFALREVLGEHVDQKGSIVLPEKLRFDFSHGKPVQPEDLRKIESIVNQQIKDELDVYASEIKLADAKRINGLRAVFGEIYPDPVRVVSVGRKVEDLLANPESKEWLSISTELCGGTHISNTRDAKAFALLSEEGIAKGVRRITAVTAGCASQAMELASSIDVDISGALQMEGVLLEKKIASIKSQLDAAAIPAATKADLRGKVSKLEDQLRKAKKKMGEQNIQKAVKAALDAAEAALSEKKPYCVTQVDVGLDTTAVREAVLKVMEQKGLPIMLFSTDDASNKAVIYAGVPPNAPNGFKVLDWLTPSIAPLKGRGGGGKNGVAQGQGSDASQLKEAMELANNIASMKLN >Et_7B_054053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14722322:14722933:-1 gene:Et_7B_054053 transcript:Et_7B_054053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPNVNARTLENREDFFVLINILLIVPGSKDESFFEARPWLDSDSDDDFYSVRGDFTPSRGSTPNHQRQTTFISQGMPVDITKPSLVQKKQRLIELLQAKQHYDDEDDCATDVSSYLEDSAVHAEEHLKPSRKGGKVKKSSKSGCFPRSIWKHSFKMCMDTRKEQVHK >Et_7A_052372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7375945:7377224:1 gene:Et_7A_052372 transcript:Et_7A_052372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSQVVLVGGSDNAAADKEAEDARQFILQVKRTVERCLAQGMDKAQMFRAIREEGLHPGVAFAVYKELRRQNRGFFREYYCMIDLKAQRERLDRLVQAYRAGGGRNAVEDGVRVPPETATTLTATEETDVPAWLSEGGGLPLAAPAAWPQQPAQLPAGEQVPNLGGQPVANAVAPWPQQGLLHLPAWEQHVANGAFQEPAWPSQPFQLQVAQNLHNHDETAANGGFRGLGWPQPEVQAEQQMLHNHLPRANSEFQGFTWPHQPVHLPVAQLHYHHEQATAVASSLPTLRSSAGLLNNDAMMDPWPLSHGGLGSWQSQQPEFMRQWWDGASDDPSSSSSPSIPPENQNY >Et_9A_061803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16649621:16656196:-1 gene:Et_9A_061803 transcript:Et_9A_061803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTPAVDRNGDGEQKKRKQGGFRTMPFILANDICDRFATAGFSANLITYLTQQLHLPLVEATNTLTNFGGTSSLTPILGALAADAYAGRFWTIIAGSVFYQIGMIGLVVSAILPSLRPPPCSPPTIPCRRATGWQLAVLYLSLLCTSLGSGGIRPCVVAFGADQFEQQQEQQKQQKHEGGGEEKIIAEAERKRRYFNLYFFTMGFAVLLALTVVVYIQENVGWDWGFGIPAIAMFVSIFVFLVGYPLYVKLKPGGSPFTRLAQVAAAAFKKRKAPLPEDPGMLYQDKELDALISTNGRLLHTNQLTFFDRAAILTPGDITSSGQPDLWRVSTVHRVEELKSIVRLLPIWSAGIMLATAGSHNYTFTIMQARTMDRHVAGHFDIPPATLSIFSTLAMLSSLAIYDRVFVPLARRVTGLQSGITFFQRIGIGLAISVVSVATAAIVETKRRGVAAEHGLADNPAAVVPLSVFWLVPQFAIHGIADAFSSVGQMEFLYDQAPESMRSSAAALFWLAASLGNYMGTVLVTVVQRATRGRGDWLQDNINRGRIDNYYWLVTCIMVLNFGYYLLCFHFYTMKPLELADGHEDPDKECELASGQQNGTHSTPGGIKRRKQGGFRTMPFILANEICDRFATAGFNANMITYLTQQLHLPLVEASNTLTNFSGTSSLTPILGALAADAVAGRFWTIIAGSVFYQIGMLGLVVSALSRPLRPPPCTPPATTPPCRRATGWQLAVLYLSLLCTSLGSGGIRPCVVAFGADQLEQQQQQQSSVEAAEAVAGRKRRYFNLYFFTMGLAALLALTVVVYIQDNVGWGWGFGIPAMGMFVSIVVFVVGYPLYVRLKPGGSPFTRLAQVVAAAVRKRNAAVPEDPTMLYEDKELDALISTTGRLLHTNQLTFFDRAAIVTPGDITSSGKPDLWRLSTVHRVEELKSIVRLLPIWSAGIMLAAAGSHNHTFTIMQARTMDRHVAGHFDIPPATLSIFSTATMLVALALYDRAFVPLARRVTGLRSGITYFQRMGIGLAVSILSVGTAALVETKRRGTAAEHGLTDNPAAVVPLSVFWLVPQFAIHGIAEAFSSVAHMEFLYDQAPESMRSSAAALFWLSSSLGSYMGTVLVTAVQRATKGRGEWLQDNINRGRIDNYYWLVTCIMVLNLGYYLLCFHFYTMKPLEVAQEHGDHDKECELPSVHKTGDGSTVVGTV >Et_4A_035023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8918008:8921901:1 gene:Et_4A_035023 transcript:Et_4A_035023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSVDHGGRGKKPGGSQLWKKALLHSSLCFVMGFFTGFAPSSVSDWTSAAAATAGGLGSSHVVRALGGAAAAANRSLLAHGGGLAGLADDTAATPRPLLVVVTTTESASAASGERAAALTRMAHALRLAAPPLLWVVVEAAPDVPATARLLRGTGLMYRHLTYKDNFTAADAAAGKERHHQRNVALGHVEHHRLAGVVLFAGLGDVFDLRFFDQLREISAFGAWPVATMSRDERKVVVRGPACSSSAVTGWFSQDFSNGTAATEASTARPREVDVHGFAFNSSVLWDPERWGRYPTSEPDKSQDSMRFVQQVALEDFSKVKGIPSDCSEIMVWHVDTTVPSSSSQPSTGNNRR >Et_10B_004064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:137446:138463:-1 gene:Et_10B_004064 transcript:Et_10B_004064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEHLGDDELYVPGHGLAFRWLDAWPVAAEHPGDVADGSSSHPVRRGGLHRRGVFSSVSPYVTVDVDERDADTFGRSEAYRAAESYLSATCAGGERVSLAVGDHEEVVDEFRGSKTSHYAFFWDPREEERRAYHLSFHRRHRGLVRNNAGGGGGRADQVDSLWSHVKLEHPSSFAKLAMDPARKREVVEDLEMFRDGREYYASVGKAWERGHAHRDAFKVLAKNYLGIDGHELFGDNRRLLLEEVDMTPADVAENLMPRSRKRDVQASLGKLVAALNKAKKEAALAKARPAAAGSDKELMKKRTAPTMMTGPANKMVTTSSYKRVTKRDNY >Et_3B_027698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13272948:13275110:-1 gene:Et_3B_027698 transcript:Et_3B_027698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGCARGLGAAAAEAQFVARLTASTAAGDLLSGAALHARYAKAHVPPTTFLANHLLLFYSRLALPALARRLFDEMPHPNVFSHNALLAAHARNPRRAADACKAFSEVQKPDLVLWNTLISGYSLHEEFSEEALLCFRAMQRSGYCPDDCSFVSVISACSNMSSPSQGQQLHALVVKSDIQSNYISVQNAMITLYSRCGKVLEARKLFDRMVERNTVSYNSIIAGLAQHGHAIEALRLFEDMLNSAYTPTDITFISVLSACAHTGKVDEGWDYFDSMKQKYGVDHREEHYSCMIDLLSRAKKFEDAEKMITEMPFSLSSVGWTSLLGACRTHGNMDLAAKAAKEILHLSPFNASAPVVLSNMYASAGKWEEAAKVRKLMRDRGIRKKPGCSWIELGRIVHKMRLAGYVPDMRWALAKDQAAEGETRLRHHSEKLAVAFGLINTKEGEPILVMKNLRICGDCHNAIKIISALTRREITVRDAHRFHCFTDGSCSCGDYW >Et_2B_019369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25766493:25767926:1 gene:Et_2B_019369 transcript:Et_2B_019369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIEVRDRIISVLEKDLEVKVDRLGSSIHSAVWEKMDFLGMEFQAVPPSVLHPPMSEKAKRARKKYLKMKAEKAQELKNARETRRKKLGLKILNHLFKRVRRGEEFEFDFRIENEVRQVFKEWADETVAEYFKSQEHCRYWHRLLTSGDFLSLNRVRDQLPPALVDSYDQLQQTLDRLLMPMKGHDIAKEEERLAEEEEKQYEKSTVEDLTELKMRVNVPIELVRKDLKVANDDGVAELHFPTEREIKMMGDKNLFDPKPVDGALTMILVRLAVDDTSYPCLAHFCAKTDTVLYRIRLLQNRLNVDPLNEKKWVHGLSAIHESLNKKCLPLCSMHASDLLVGSITLQDIDCTQFVDVEWKKKKESYSYSTSCRFQPMHHCALQVLPISLTG >Et_2B_021048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25995372:25997643:1 gene:Et_2B_021048 transcript:Et_2B_021048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTVTTAAVAAAITTLEPRRGSRCCGHGHGHAGGDDVVASAREEEEIEVVAAAEGNKAEALPPLVTFDELPDYLRDNEFIRGHYRCEWSVRDALRSVFAWHNETLNVWSHLGGFVLFLWLAIAGEAEKAVAAGAKVAPGIVTFVLASANESWATGSNSTLASKDFSILGSVNVVPRWPRTVFLLGAMICLAVSATAHLFACHSRRFNRIFWQLDYAGIAVMIVASFFPPVYYAFIGRAATQLAYLGAITAQGLLVVGMLLAPARSSPRLRHLRAALFVSMGLSGVVPALHALWLHWGDRACHLALALELVMGLVYATGAGFYVSRVPERWSPGKFDCVGHSHQIFHVLVLLGALTHYAATAILISWRDGLAAALL >Et_9A_061737.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15978572:15980929:-1 gene:Et_9A_061737 transcript:Et_9A_061737.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMLEDDVFFTELSKWISLLITDDDDADFAAAQFIPAAAAAPLPGFAHVTPQQRGASMLAPPAYTLFHHAASYGGSGGDSVAMAWQQQQQCGSKGTGVFIPRSTPGAAHHKKKGKGRGAAAANKARQAAQAGGAPTKKRSA >Et_9B_066146.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:4837200:4838441:-1 gene:Et_9B_066146 transcript:Et_9B_066146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAVALLVFLLPLCCFLAAGEAVAVGEREAAAELAVVVDPSWRFPNQRLRDAYVALQTWKQQAIFSDPNNLTADWVGPGVCNYTGVYCAPLPRGVPGAGELSVAGVDLNHGDIAGWLPPELGLLADLALLHLNSNRFCGLVPDTLRRLRLLAELDLSNNRFVGLFPRVVLDLPALKFLDLRFNEFEGAVPPELFDRPLDAIFLNHNRLRSPLPDNFGNSPASVIVLADNRLGGCLPASLGNMSDTLNEILLINNGLDSCVPPEVGLLREVTVFDVSFNKLAGPLPSEVAGMRSVEQLDVAHNRLSGTVPQAVCALPRLQNLTIAYNFFTGEPPSCARVVPADGDRRNCLPNRPAQRPPQQCAAFYSRPPVDCAAFQCKPFHPPPPPAPVYPGPLPPVYPMPYASPPPPPRYR >Et_2A_018658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4299076:4301577:1 gene:Et_2A_018658 transcript:Et_2A_018658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSGGPSSPVGSAAIRGALGGSGGSRADECSPISSPVVPAENTNTADVIEEFSDVDSDEGKKAGRKVWSKDDNKRLIQAWLSNSVDPIDGNSKKGVNYWKDVAAEYNMSAPKGHKRTATQLKNHWNIHSTIVAKFHGAWTTMKNTYASGQSDKQLMDKAQAEYMAIMQTDKPFKFDYWWEAVKDEPKWLNRDVFSDMNKRNKVFASGEYTSSSNRDTDEGSVADRPRPPGQKQAKAQKKGKGKGKSTLTDENIGQFNVLQERKSEAIETMAAAAREHAQAIASKAAAKKERPVVFRENCKKEHDATHSTGDEQIKKRNTATPELS >Et_2A_014839.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18071992:18072693:-1 gene:Et_2A_014839 transcript:Et_2A_014839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLIHLGFRFTPTPREAITYHLPRLIADEPVHPAVRPFIHDADVYACEPGVLAARFRATSSKARGERFFFFTTRKSSSEAVRAAGPGSWHCARGNATDVEDGAGVKVGEVRRLRYKKGGAFTDWLMDEYSCFLEPDAVGGDSQRVLCKIYVSPRAGPDSAARQESSAAVFAPPAPEDPIAARTRPAQPIAEQARRAVMSITPEVMQAPMPCRHTPQSSLHGGAGSLIAGCST >Et_6B_048773.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:13579047:13579628:1 gene:Et_6B_048773 transcript:Et_6B_048773.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHNRHFVVKSLEKLEPTAPQGTKEHKSSCASYQPALAPSLVSKSSRIKIRMRICLVKVHARVHGIRRL >Et_9B_065301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3445792:3450759:1 gene:Et_9B_065301 transcript:Et_9B_065301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVVAIAGDGDDSERRPFLASTNEIHPYPESPSLEHPPPDAVAAAAQPEQERKSQRVASLDVFRGLTVAMMILVDDAGGAWPGINHAPWFGVTVADFVMPAFLFIIGVSVALVFKKMPNKKAATKKAAVRAIKLFVLGVILQGGYVHGRHKLTYGVDLDHIRWLGVLQRIAIGYFLAAVSEIWLVNNNFVDSPVSFLRKYFMEWIMAILITLLYVGLVFGLYVSNWEFKVQTTNSTFSVPSNKLEIKMIQCGVRGSLGPPCNAVGFVDRVLLGENHLYKNPVYKRTKVDVVNIKKPFVLFQWMGMNALVVYILAACELFPALIQGFYWRSPENNLVDMTEYLLQTIFHSKRWGTLAFVLLEIIFWCLAAGFLHMKGIYLKL >Et_1B_010130.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25373242:25373595:-1 gene:Et_1B_010130 transcript:Et_1B_010130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARQSSRDAAAADAASCCCVLTLLLVLTVGSLAGAGEERGDGALVVRGPRLLATRPCEEIYVVGEGETLHSISARCGDPDILERNPHVHDSDDVFPGLVIRITPRPAGKHPDEHH >Et_4B_036256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13415979:13416491:-1 gene:Et_4B_036256 transcript:Et_4B_036256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVVTGAAVTVAACATAAVLALASSSSSSSDEGMISPGATVASPSPVTSSQECAVCLSELSPPAAGEEPAGVLRTLPGCGHGFHAECIGRWLPLRPECPLCRRPVQLEDGVDAACAPAWARPARIACGFGDGRVVWTRSPSV >Et_3A_026350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7127641:7132302:-1 gene:Et_3A_026350 transcript:Et_3A_026350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFKEALAEVRFCSRMEELLLKKKTINTGDSLEIHSQKVDKLKVLATSLANSSSKAEKRILDHRRQKEEALNFRAKKENEVTAVEKELTAEISELEKQRNELEAQLKKVNISLNAAVGRLKQTREESDQFHEASNQMVISLQAKENELSKSIESCNVEAGVVKTWVNFLEDTWQLQSSYHEQKENKTNDELERCTSSFLKVTKYHLSKFKEVLSPSIERIRTYVDNLAVLNSREESTELGDDEVSEKTSPQKSLEEEYLETEKKIVIALSIVDRMKTMFYSEQGANSRRDDPEIRNLFSEIEKLKEAFESVERPTLDIEVRKAKVPTKERSESSPSPVQAPSSPKDVSIDAPKSPTKPEQTLDPDSELAKLELEFGKVNKNPDETSGWDFDELEEELRADISK >Et_2A_014880.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:20009082:20009243:1 gene:Et_2A_014880 transcript:Et_2A_014880.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDGFMDGSEMFLKAAVLCQHRPTRVQFLDLKDRDARIEFINFVWSNRDARI >Et_1B_012518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32764245:32768581:1 gene:Et_1B_012518 transcript:Et_1B_012518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSRSASAAFRRPLPPSLQQPARQMASLFGHVEPAPKDPILGVTEAFLADTSPDKINVGVGAYRDDNGKPLVLECVREAERRIAGNLNMEYLPMGGSIKTIEESLKLAYGENSEFIKDKKIAAVQALSGTGACRLFADFQKRFLPDSQIYIPTPTWANHHNIWRDAQVPQNKFTYYHAESRGLDFAGLMSDIKKAPNGSFFLLHACAHNPTGVDPTEEQWREISQLFKEKKHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRVGCLSILCEDEKQAVAVKSQLQQIARPMYSNPPVHGALVVSIILNDPDLKSMWLKEVKGMADRIIGMRKALKENLEKLGSPLSWEHVTNQIGMFCYSGMTPEQVDRLTNEFHIYMTRNGRISMAGVTSGNVAYLANAIHEVTKPK >Et_10A_001870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9483960:9487373:1 gene:Et_10A_001870 transcript:Et_10A_001870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAAVNPNPNTGAPPISAYYQTRAEHHAVVSSDWLAHAAAAAATPDGADAAAAAADTLPSPGSGGGGVIEEFNLWRRKPDAAEAVAAIMALAAVIRSSRATTMMELEIELKKASDKLKSWDATSISLSAACDLFMRFVTRTSHLEHEKFDAAKSRLIERGEKFGEISLKARKTIAMLSQDFISDGCTMLVHGYSRVVLEVLKLAASNHKLFRFARLYPLDQKDMTPAHRPIDFGVPVPSGVEVETSARDYTPPQYLTLLLTDLGVLTPSVVSDELIQLYL >Et_4A_032793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1477643:1481015:1 gene:Et_4A_032793 transcript:Et_4A_032793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PRFYRNLDGAVVAAAIASIGAMYYIKKDFNLQSAPLMEGSIMAIGLVSATIVTTISGVLADKLGRRWMLFASATSFFISAILNAFAWNVYMLLFIRVITGVSISVAVTIVPLYISEIAPARKRGLLNTFPQFSGSGGMFLSYCVVFGISLMPNASWRIMLMIQSVPSAIYLILILLYLPESPKWLVSRGRVVESIKALQILRMTENVAVETALLIEGMGGSQTPHMEEYFIGDVGTTIDHKSVSNEESIKLYGLEEDSSCIAYPINPQSTHQNIIRLSSSHAMLPLDPIIDLIGSVNQESSIFNDVEDNEVIVGDEENQIESKDHAINCNEDDVEDSLYNPLINRSTEGSDLASKHGSGMGMRGKSTVLSIGGGWQLAWKLPSDHYSNGKVQDGMQRMYIHEEVLQSLHDSDLDVSLGDKVIKAAALVNNSVLPRDQIEKYNCDLAKFDQPDETHVETTWTNLLKPGVKRALIVAIGIQLLQQFAGINGVLYYTPQILDQAGVGVLLSNIGLSSSSASILISAATSLVMLPFIGISMWLIDRTGRRKLLLLTIPILLVALVILVVVNIVTLSHELHAVLSTISVATYLCVFVMGFGPIPNILCAEIFPTNARAICSTIYGLTFWICDIIVTYSLPMLMTSIGLAGVFGICAIVCGFALIFVLCKVPETKGAPVEVIVEFFSLGKSISDIIEREKDQTGSKEN >Et_7A_051096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14399464:14411131:1 gene:Et_7A_051096 transcript:Et_7A_051096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVPAEGNLRRCFNNRSVSTLLQQPTRMLQQPMLQQQIVLSVHFTVTASKKHSALSRRWRHLWRAVASCTCVDIDQSEFSEAVTSKEFHDDAADSALDAMTKKIDRQMEQWEKLEDLADTLTMMQEAPPPLERFRLRVSYAHFLDARRWIRRGLQRRPASLSLSCDNESVGAYGSDKWPNFPFPSRFLNPHAARRLRTLHLSGLTVSSGLVDAVTAADSSVLEDLHLQDCVCEYDLCRLASRSLKKLVIDNCRYEYGVHTLVLAVPRVASLRISGRRSLPVTSEEEMPSLVAASLRRSSAGILCSLRHARSLDLSGFSAKALLVDVPAFRNLRTLVLNACELGIDCQVLRRFLCSTPSLETITLRDCMLSSGASGSNQKASSEDRRGQAAYYPCKNLRSIELESQQGHQVVPVVANVLRDISKQMVDPLEWPVQEGKLRFKLSFMPSLVSVSLTNTAGDLGVLCSLRHARNLDLSGFSAMGAPVADRLSDLSDCVLEDILSRVTSRQAARTSALSRRWRHLSRAVTRTHIDLDQSEPSETSAPAVAFDDATADFQASLDDLYRRIKERHDFQDFADEVTLPSSPPLDTFRLRVSSADFVAAHRWIWRALERRPAAFHLCCYKDDDDPLADDDRKSSPCFFPDVILHRHAGAYTRRLRTLFLAGLSLTSEFMDAVTADSPVLEDVHLRHCSYGFSRIASRSLKKLSMNDCRRIYGEEYGQLVLAVPRIALLHINGRHTPPVIAASEMRSLVSASLAHRAGDHGVLCSLRHATRLDLSGFSTAALLVKDPEPGDTLLFVNLRTLVLKSCELGAECQVVRRSLRNSPRLETLTLQDCTLSRGSWSKGRKKTSSKPGHLVDEVAKVLGDISTEATAVCYQIVGCSQDGRNGSAYFGALVGRVANRIAKGRFVLDGITYHLYINDGNNTLHGGHSGFSKVIWTWTVEEYVPSGDSPYITFYYHSFDGEQGSSPARPGAAEGDGFRREAYVRDPALGRAFELWADQPGVQLYTGKLAQERKGQGRGGHSALCLETQGFPDAVIHHPNFPSVIVRPGGACTSPTSLLFKIVDVSHVAVPADATLPPEPIKLNAMEAQWVARPLLQHLLFFDRDQLPPFDTVVHSLKSSLTATLATYGPLAGKLVHLADTGDVAISCSASDGVRFVVAESDAAVRRLAGDGEEHDVHTFERLVPEVDMSVLPASVLAVQATRLEGGGLALGITVHHGVADGRSLWRFVEAWAAACRGDTPPPAPVFDRSRVRLPGGEELARTVLRKCAPSLPVASTVVIKDRLNFIRRAFTLDAGHIQRLKQRIVRLSEAGGGGGSPLLLRPPSTFVAAAALIWTCSVRCRPFPVDDEDVFLFFFADVRGHLDPPAGADYFGACLSGCLARLPARELHSEPHALAAAASAVQGAMREMAEDPVAGWDIMKIVSGVPRDRFFNVSGSSSFRAYEVADFGWGRPRRVEPVRMNKDGQVALVRASDGEGVQVTVALLERAHMDAFNSEFLQRIAL >Et_10B_002947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14358403:14359055:-1 gene:Et_10B_002947 transcript:Et_10B_002947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPADGAHNRSRKRKRRSQREAQEQPLPLDLVLEIAARNHPATLVRCAATCKDARRRVADPAFHAASASATPTAHATKLPIATPSPALFHDVLAARDGLLLILTTTGGEDDELLHVFCPASGRRMHIVPDPPFDGQYDLLVGGDGNDGGGDGTFSRFRVVKVKSTSWNGKRHDIQFQTFSSEHGGWGQSVK >Et_8A_056384.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:6420808:6420975:1 gene:Et_8A_056384 transcript:Et_8A_056384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTKIVYKSRSCNSVAHALAALGSTCGDGVDPLMDSLPDCIRLMVASDSAAPPG >Et_7B_053731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11609691:11614819:-1 gene:Et_7B_053731 transcript:Et_7B_053731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDREEDEELQMALRMSLQGSPPAQPEPKRSKPPPSPAPESPEAEARRKQRELMAAAAEKRLRSGAAAEPAPVPVVTEEEEKADPEPTEVPMEEAKEEVKEVEVEEEEGGDEGEELPLDVAENLWAMVFGNGVSKAVLAQWSNQGIRFSSDPETNMGLVQHEGGPCGVLATVQAYVLKYLLFFSDDLSNPEVSDPLYILGQRRFYQSSFAAGDDFSSLTDERKTRALVHAMVEILFLCGTGKRAVVASIACVNRRNMDAVLEGLSVESATDLQKVLRTSTVTSRKDAFNILLSNIPLFESRLGAMLFLISALFSRGLEDIQADRDDPSQPLVTAPFGHASQEIVNLLLCGEAVPNVFDGKMDLGGGMSLKGIPNNVEVGFLTLLESLNLCKVGQYLKSPKWPIWVVGSESHYTVLFALNPNVQEENELEERESKIRRAFDAQDQSGGGGFISVEGFQQVLRDTNINFPSDKLEDLCNAGIIVWSEFWQALLQLDKRAGGMKDPTGLMGKKQFTIYHFNGIAKSVLNGNANIGGSSPIQRPRLCKLNVTVPPRWTQDEYLADVVSASTSGSKDGSVLSLAPPVQTSQHAPLVDCIRTRWPRAVCTWVGDVPSIV >Et_9A_063440.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:24451183:24451893:-1 gene:Et_9A_063440 transcript:Et_9A_063440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWEMAQQQHQAVVIVGEDHCAGEDRELTVRKTTLFTPGDGLEAYDHRTGALAFRVETYGRRGVCGGGAAAGDLALLGPEGEPVLTVRRRRPSLHHRWDGFLGDGAAHGQKPVFSARRSSILGAGTGAAAVLVDLLGAQAEEFRVDGSFPKRSCRVVASSKDDEDVVVAEVRRKVDEGAHIVMGRDVFVLWVRAGFDAAFAMGIVLVLDRITGDDGDLTDDLPIHEADSNSPPPV >Et_1A_005515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10994674:10995164:1 gene:Et_1A_005515 transcript:Et_1A_005515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SEVPFIYLEMHPHLTLHRHPMCAEIIEEFQKCHLDHPVKKFFGECTDLKIKLDRCFRQEKAVKRKANFEASKKFKEQLDAYKREMAEKTEE >Et_1A_006826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2787897:2790412:1 gene:Et_1A_006826 transcript:Et_1A_006826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAPIAGGASSSYGSASRRSRLNKGVHLRPRRRRVVFKGGGGNKGAGEGDLQDLALPLGMSFAAVLAQIYGDRFESFMRNFEKSFGSTLRTLHLINETPVYQQDIPQCSYRDANPVPEIKLSGDDSQGRIHDVQKDISLNSTDNQIILHAGVNQQLVHLHRSRSTPEIDQHILSVFEKSLNEQTRSNELKELEIGLTMRKLQLKKSQLDLSSYSHMLEKIKLSMGFEKASFKKEKLKTQMEDSRHAELIRKLIDMHLTAVVLMSVCFGYGTYTYSYQRITAVTAACTAASRESKLWWMPSSVSAFNSGLLFFRCHLIATTRISFGILMLLLIAWLIFQRSAMTGPNMPITFNVMLLGVLCGSVGRFCVDTLGGDGNVWLIFWEALCSIHLLGNICPSLLHRLLYGPISVTHSTKALGLPYWSRRYIFFVLVSLILPCMAGLLPFASLSDWKEDAIQYVKSSLTRSDIEDDY >Et_5B_043734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1518177:1529810:1 gene:Et_5B_043734 transcript:Et_5B_043734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQLINPNRSTRRHFDGCPRPWPGAAAATGDSEGNVMLGNCFCLFVYNVRTNTVRILKAKARSNVVVSRHERRWVRRHSVITLTTIVAVLGSPLGWETLTAASNGKGDIVLGKAGSLMVYRVKTNTVRAVGSVGESWNMAGARHVFSNRESLSSSSAFPTPPFSAPASSAKRGAASPPAHFLAARARRNPVSILLYTLRHLDAGSSLDLALEALPVSCDEAGQRRVVHYPRSSAAKPSGCLFLASCDGVLLLSKKEGPYYYLLVPIKLIYSPKRYLLCNPVTRQWAELPRLPYGYSGNAEYAFYFHQPSGEFRLLCRSTYRIWFIVSTGDDAGPRHVNLDAEAAVLARSVPSLNDTVATPGSMVAFNTECETFHRMLGPRTTPARRNKAKLFAMDGLLVAADFGEATKRKQYVDLFFLEDYVAGRWEHRHRVAVPWQPGRSLMTTAAAGDDEDNIVVLGDGHALAVYDVRRKTVRAIDTSMETNNMIVTRHAFKGSLVRHACFDARTCALPHLPEGAITEILLRLPVKSVIRFRTVCTAWRRITTEPHFLAAHSRLRPAEVLLYTYLEPREISLAGSSVVDIALDTLSDDASQRRRLIRYSRRYPSKRGSCLLLSSCNGVLLFKDDEGSYLLCNPATRQWAELPCLSQGNYHSSCFRAYAFYFHQPSSEYRLWCKRDSSISGTWFVLSTGATKPRQVHVPGKDARAITDLLVTTPVDLHGRLHWLPCPTSDTGQMKEIVVLEMLWETFRVMAGPPIATMRSMKLFDMEGLLAVADLREMMCIDLWFLEDYEAGRWECRHWVATPWHDHWNLLRVVMMGDSEGNVILGHYHHLLMYDVRTKTLCIVNSVATPELDVMVSRHVFRENILQLPYFHDRSSNDLRLSTDKKMGCVGTKRTRSESTVSTDAQQPSQLAEDTVEEILLRLPAKSVLRCRAVCRAWRRLASDPRFLAAHARLRPAEPVLCTYLDAPRCDNRPRGDDAVDIALDVLPVHGEEACRRLIRYPKLWKTTTTTTTRSIAAADEEGWQLRSIDMALHCLLLASCDGVLLFKKARGLYLLCNPVTRQWAELPRLLDLHKFDAGHDVEFAFYFHQPSGEYRLLCNHRTVSTTMAWSILSTGAAEPRRVDTHAEGDGFVVVPTCLRTAASAPAALHGRLHWAPQRDFNGDETCTKVVTFDTLSETFQTMTGPPTKTSTLLKLFVTDGIIVVADFEKTTHVDLWFLEDYGVERWERRHQVAMPRGIDRVLMGLDAFRGNFRSPEVAQGDDQGNVVLRGHGGLVVYNVRMKKTVRADKGSEVLMSRHGFRESLVQHPCFVDVPVTDNFPLIHFWMEETPVYFPEETITNILLYLPTDSIHRSRIVCKAWHHITTDPGFLATHARRRCSPEVILYTYRRDVEPCTDDLTNHASTDIVLDSLPISSNEVSSRRCLIRYQKTYPKTGSCLLISSCNGVLLFKRSEGFHILCNPATREWAELPRLATSTTTGYAFYFHHSSSEYRLLCNDGSFHPMWYILATGAPEPRYLQMRDGMAPIANRITDLLATTPVDLKGRIHWPPQRTSNTIEATEMVVFETLSETFHVIAGPPATTTTQLVKLFCMNDLLFAADFGPKSHIELWVLKDNVDRRWECHHKVVMPEQLIKPNRSRRHIDRCPRPWHGGAAAAGDSEGNVMLGNCSCLFVYNVRTKTERTVKAKARSNVVVSHHEFRENLMPHPHFRASSSADL >Et_8B_059881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3779154:3779463:1 gene:Et_8B_059881 transcript:Et_8B_059881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMIYIQLATSICSNTLITSPHLTHGESYRRSQPGCYTGTRWETNLTGKDGQKRQLQLSKCAELIMRAEDNNGSLNTFAYVETLEPLPSPNTLG >Et_4B_036246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12926200:12926559:-1 gene:Et_4B_036246 transcript:Et_4B_036246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRMNRDSRRRCTGAILYAWWNIWKERNCRKLAILYHVALLAKEEFNMYLMAWGNLEATAPCLEGVKGHLGQQ >Et_9B_063755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11787653:11788738:1 gene:Et_9B_063755 transcript:Et_9B_063755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEGSARRGKRAEAASPLSALADDVLLQILGRLEGDPRDWARASCASPRLAALLGAACLPPRLTRALPAELLPAPPPDGAPAAWAALQKLSVCCPGLRRAGVLLEPSDDFGLEIDIGPDVPFRPAPDEARPTRDAAPAAAEADVAAAPDAAWSLYDDLYLDAGPDPAIRDDEDEAAAATDAAVARRGVVAGSRRRARRWVGPVGAHLASGSWTLSREQGNKLLASRFRGDRLYLCDWPGCVHDEERHKYMVFRGVFHDFAGSRVRAALRAARRPTVAVECAFCGCKETWDLYSAFCLRSFYGYHDDGEPVVRAYVCENGHVAGAWTERPLYS >Et_2B_020381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19574579:19575608:1 gene:Et_2B_020381 transcript:Et_2B_020381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVAEEVTPGDRWAAGREEHKHGVEDGHSVQQLHGSRNQCTEQTKIMESTMKYQSNELLAQPCQYRPTSVENRASNEQKRIAFTEWTCRLCNSWCDSASVLQGHLGGKKHRANTEAILALFRSSYTTLKNDDGEPGKNEHYCKACDVRCTGDMMMAAHLVERKHRLDWREV >Et_5B_044205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21512057:21513279:1 gene:Et_5B_044205 transcript:Et_5B_044205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPAAPPRRVVICGGGVVGACTAYFLSTHAAAPTVPTLFEKCAPACAASGKAGGFLALDWCDSDPSLSALARASFALHRRLAATLDGAEAYGFRPVHTLSICVPTVSKPTSPPHPRLPAWVDPEASAARPRELGTPDTTAQVHPELFTKAVLAASGAEVVIGEVERVVVRDGRVAGVTVKGRDDVVDADAVVLALGPWTGRLEVARALGVPGLKGHSVVLRPRQPEKITPHVLCLTYQPEPGAETLAPYVFPRPTGEVYVGGLSKYEDALDDPAAITAESNLIAVLHKVARKVSSHLKTEKGAEVVAEQACYRPWTADGLPIIGEMPGVKGCYVATGPGHWGILNATATGAALAELILDGEAKTVDLAPFSPARFLNR >Et_2A_017258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32514767:32524906:-1 gene:Et_2A_017258 transcript:Et_2A_017258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RDPSAGDFNSTDWQQQRRLAMAAATRSTTTTVVNSAGDGAPKAGRDHVVIFPFMAKGHTLPLLHFATALSVHHRSLRITLVTTPGNAAFARSRVPASVDLVELPFPSHPPLPAGVESTDALPCPSLYPPFFHATALLQEPFAEFMASLPSPPLVLVSDFFLGFTHRVATDAGVRRVVFHGMSCFSMAICKAIIASPPPAGVEPGALFHVTGMPEHVEITTEEIPDVVAKFADPDDPMARFLIDKVGDSEARSWGTLVNSFNSLDEEYVAPLETFYRPGARAWLVGPLFLAAGDMSELLDDEEDTEGCLSWLDERASQPASVVYVSFGTQTHISDAQLDEIAHGLNKFGHPFLWVVRSDTWSPPVDVGPNGRIVRGWVPQRSVLSHEAVGGFVSHCGWNSVMESLAAGKPLLAWPMIAEQHLNAKHIADIVGAGVRVHTKAGDIVGRKEVEEKVKTLMDVDSEVGKRMRAKVTWAQQAAKSAVSEGGASRVALQELVDELQRTYDETVSGRNHIVIFPFMAKGHMLPLFHFATALCAHHSRLRVTVVTTPGNAAFARSRVPASVDLVELPFPSLPPLPSGVESTDAVPCPSLNLTFLHATALLRAPFAEYLASLPSPPLALVSDFFLGFTRAVAANAGVRRVVFNGMSCFSSAICKALSASPPAVGGSGADPGALFHVPGMPDHVVVAAEEVPYGVMKRADPDNPVTRFFVDVIGDSDVRSWGVLVNSFAALDADYVPALESFYEPGARAWLVGPMFLAAGEPDGEQGPEHDPEGCLPWLAARRPGSVIFLSFGTQARITDAQLDEILHGLVRSGHPFLWAVRSDTWSPPADAAGPDGMIVRGWVPQRSVLAHEAVGGFMSHCGWNSVMESLAAGKPVLAWPMIAEQHLNARHVANVLGVGVRMNVKENMENVVGRGEVEEKVRELMDADGKDGKRMRERAAWAQQAARSAVSAGGTSAMALDKLLEELQRTYSDVASNGDLSSASFNHTTQHPTPCAMTTNGGPESGRDHVVIFPFMAKGHTLPLLHFATALSVHHKNLRVTMLTTPANRAFARSRLPAKVDLVELPFPAYPPLPAGVESTDALPCRSLYPTFLHATALVREPFAEFLASLPSPPLAVVSDFFLGFTHGVAADAGVRRVVFHGMSCFSMVMCKAIITSPPAGVETGALFNVPGMPDHVAITSEEIPDTLVKFADPEDPVTRFFFDKVGDSDLRSWGVLVNSFDALEQDYVELLESSYQPGARAWFVGPLALAACNVAELDEEEDPEGCLSWLDQRASNPGSVVYVSFGSEAPLSDAQLDQLAYGLVQSGHPFLWVVRSHTWTSPLDVDPNGRIVRGWVPQRSVLAHKAVGGLVCHCGWNSVLESLAAGKPMLAWPMIAENHLNAKNVVDIIGAGIRMDMKKGDVVERTEIEAKVNMLMDIDAEVGKRVRVRAAWAQQMATSAVSDGGTSRLTLQKLVEELQRTYDGGEAKVSTSTNNKREADVNEDDQTSV >Et_3A_027268.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:6510366:6510713:1 gene:Et_3A_027268 transcript:Et_3A_027268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAACGPAVEAGGGAPKLRRVAACSEAGRLGLAAASTAITLAVSVSPPPGLDTNAYFLALSGVFFAGVTQVAASVWAADDAGRLATTRKLVRASLVVVPLVVAIGISVASLLH >Et_8A_056130.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:23729907:23730923:1 gene:Et_8A_056130 transcript:Et_8A_056130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GFQPQSSRRLGLPLLSSQPKFPSQPLFPSKPVFPNNTTAATSPTTISATLSSPTTATACSATAHSTPATASCPATAHSTSATTSCSPTAHSTTTAPCSPPPPPRRAPPPPSSPPPPPRRAPPPPSPPIRPPPPPTPRPRAPPPPRPLAPPPPHINPPTPMPPPPSPPHHIVIIVVFVSLGGLLLLGCLAALFCWHKKRGKKTERKAEILNYSDHVHVHKDTMSGPEGTKVVKLTVDEDIKFQEAVKRQDTIGESSSTGAAGKASHHSSWHWHKKHGGREEKKAELINVTEHKHVEEKIVPGPHGDKIEVLSEDEDIRVEAAGRKEEVSEKSKAHIFKS >Et_2A_015149.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:32971675:32971770:1 gene:Et_2A_015149 transcript:Et_2A_015149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQGSGVIACREMMHPLLMPGAPFFFQSCK >Et_3B_030189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31197540:31198404:1 gene:Et_3B_030189 transcript:Et_3B_030189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNKKIALVVFPLAFLLMAYCTEALRMCSERSVNFHGSCASNRNCASVCHLENHFVGGGHCARLQVRKCIPTDYDADDGADLNKRRRCRWTWSRPCLCDKPCDLPPIADPPPAPEVEPSPGENQPSSAKTGTAKVLY >Et_5B_045205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11784102:11807841:1 gene:Et_5B_045205 transcript:Et_5B_045205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHCRQESTVEVKLLRVSSLSYKNLLQELAQKERFSFPLYNTTSDVPNHPGAYKSTVQVQGLIFQGDPGNSKKQAETNAAKLAFQHFNDSNENDMQSYGLTTHHYGNGNMEFNDLFCNVL >Et_4A_034951.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8070112:8071461:-1 gene:Et_4A_034951 transcript:Et_4A_034951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKGNGFRNERSGCRTAPGQQASMFHLQEMASGMSEVAVALHQVNKRALSEFSASYMDLAGSRQVAELFESVAYIVTRFLTSIP >Et_7A_052233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5908813:5909200:1 gene:Et_7A_052233 transcript:Et_7A_052233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SAQYNQMRGAARSLAQSAAKRMKPADAQARMMTVTPQRHEKDNSSSESAITKDENVEPLVAFSRPPPLPPVLGPLVAFSFFQMLSGDDDKK >Et_7B_055454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11012032:11014337:1 gene:Et_7B_055454 transcript:Et_7B_055454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLVSCFSEHAVRISDVACSGGANAAAEAGGPGRAAAVSAVTTVYRSRLAASGKDLLIDVTWSRSPDGPALAVAVHEPATASRHRGAPAAAATRHLHKKKGSGTFTAGSCVVGVFWDFAAARYHGGAGPEPASGFYVAVVADAEFVLLLGDLSRGYVERLHGGIPIAGSRVARRRERFVGCGCWSTRARFSESGAEHEIGVALDGDAEGWVTVDGRKVVQLRRLRWNFRGSHTIFVDGGAPVDMTWDLHGWLFHHQAGGEAPHASSSSCAVFTFQARGVSETRLWTEDEDDYTDGEQEKPAASSRRQKPGGASGQGSNGCKCGFRCPGIPNKLQLQMHALNKAVNPAHEGYLDTMCSI >Et_2A_014951.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23107872:23107889:1 gene:Et_2A_014951 transcript:Et_2A_014951.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREM >Et_5B_044898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7011166:7014148:-1 gene:Et_5B_044898 transcript:Et_5B_044898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVPGAGDDDANLREPLLPNGGDAGFQTGALATVVVANAHGGGGGGGVKVKKGGSVKAKDKYWEDVGEPADAVSSAGDLESGGGRPLLFSEKKVKASLLYPYRVLILVRLVAVALFIGWRIKHNNSDIMWFWAASVVGDLWFAFSWLLYQLPKYRPIKRIPDLAALRRHFDDDDDLVLSGGGGSILPGIDIFVTTADPVSEPVLYTMNCVLSILAVDYPVDRYTCYLADDSGALILYEALVETAKFAALWAPFCRKHSVEPRAPESYFRREGTIYAGRSPAEFMSDYSHVRREYEEFKMRLETMPDTIKERSDVYNSSIGAKDGGVKATWTAKGVQWPGTWVEPAENHRKGHHAGIVQIVQGHPSCKPEQQQASNVSPLNLGGVDARLPMLVYVSREKHPRHEHNKKAGALNAQLRASALLSNAPLVINFDCDHYINNSHALRASVCFFLDSRDGDGTAFVQSPQRFENVDPTDRYGNHNRVFFDGVMYALNGLQGPTYLGTGCMFRRLALYGVDPPQWRPDDEIAVDSNRFGDSVPFLSSVVAALKQERRIVPPEIDEAFLAEMRNVVSSAYDQGTDWGRGTGYIYNIATEDIVTGYRIHGQGWRSVYCTMGTDANDDDAFRGTAPINLTERLYQIVRWSGGSLEVFFSPYNPLFSGRRLGLVQRAVYLNFTIYPVTSLFILLYAFCPAMWMVPDEVLIQRPFTRYVVYLVAVVALIHAIGALEIRWAGVAWRDWWRNEQFFMVASLTAYPTALLHMVVKPLTGKGIHFRVTSKQTISTASEDDDDDEYADMYEMRWVPMLIPAAVVLGSNVMAFGVAAGKACLYAGVWSPAQRRHAALGLLFNAWMMAMLYPFALAVMGRWSKRPAVLLVLLPVAFAAVALAYVGIHCFLVKFLPFMVI >Et_4A_033418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22523027:22526683:1 gene:Et_4A_033418 transcript:Et_4A_033418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQIGFLCLRLGPFQPTPFRSMSKKTELLATEIPRAPQALVASFSRQSKSLGSSGNRRSPEVTQHRMPRKAKSSVQVPPASATPSTGVQYWLLKTEPGEWSWSDQARAPGGTGPWDGVRNRQAMNYLRAMRPGDQCLFYHSGAGAASRRVVGVVEVARPWYEGEDEKAAAAGGAVDVRAVGEFRRPVALGEIKKAADEVEGMRDFALLRQPRLSVMPVPAKVWDWICEMGGGFVEAGEDWSDVWECYIWITASEVLGREAATGGSKGQTNWFHLTYCPGLLLYHHQRCRGKRNPPCSLFCWFLKTEPREWSWSGLTRRAPRAAPRRGTASATSVPCVPGTGAALRRVVGLVEVARPWYEAEGEEAKAAAAGGAVDVRAVAEFRRHIALGEIKKAADEVEGMRDFALLRQPRLSVMPVPDKVWDTGFARWEGALCRTARSRKTRIDAVIFYGNEGIAGTKEHSHFVTSY >Et_2A_018225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1900698:1903752:1 gene:Et_2A_018225 transcript:Et_2A_018225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWVARAASHRAGPFAITSVARVSSASDAITSANRLMGQHLRAGRLDAARVVFDAMPQRDVVSWNTLMAAHAREGAHEKAADAFAELRRRGFRPDHTSLSTVLSACARMEALGLGRCVHGIALKTGSSRNVFVGSSLITMYASCGVCGCLERVFEGVDSPNVALWNALVSGLVMNHRVADARRVFDRMPESNVVSWTAMIKGYVTACEMGRALELFNLMPARNSVSWCVMIGGFVSHEQFREAVELFRTLMRNGEEVTCAIVVKIVNGYASLKSIGGGRCIHGFAVKSGFVLHQIIEASLVSMYCKSLDADKAWLEFNKMDRKHVGSWNAVISGYLQEDRIDEARKLFDSMVDRDKISWNLMVNGYIKDGRIADATELYSKMPEKSMEAATALMAYFIDNGMLDKACDVFYSMPQVDVMSCTTLLFGYVKGGHLDDAAALFRRMHKRTLVTYNVMIAGLLHNGKVAEAYKLFNESPARDLVTWSCLITGLAQIGLNREALERYKEMLKLNIRPTHSILSSLMGCFSHRSVMVQGQQFHAASIRLGFESHLLIQNSLISIYCKCGEMNISQAIFYRMARRDVVTWNAMIHGYALNSLGQMAIETFENMKAQVDPDDITFLGRGMLKQAEELLKSMPFEPDSAIWTSLLSSSRVYRAWSMAAHPLKKLLKSEYMLPLCKLAHNQRLADGYKIHRISNFSMRLPEFDPLEQYLAGKSKSSF >Et_1A_006180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18912117:18927160:1 gene:Et_1A_006180 transcript:Et_1A_006180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGAAFGVDRLQGGAGFGVPAKDYGKCIAAKVPEIEHNMCAKEFLALRACMQTVVQGGLGTPGALSHAYVQHPPLRCDIPDIRGLFYDDANKFLIAPTADRILYWKIAPSTPAGPPNSDPVNEGPVLSVRYSLDQKAIGIQRSRHEIEFRNRETGETCIKKSRGDSETILGFFWTDCPTCDVIFVKTSGLDLLAYEPQSNAFRMVDSKKFNVSWYLYTHESRMILLASGMQCTLFTGYQFSAGGIVKLPKFEMLMSKSEANNKPVLAADDVHIVTVYGRIYCLQLDRINMSLNLYRFYRDAVVQQCTLPTYSSRIAVSAVDNIIMVHQIDAKVVILYDVFLDSYAPVSAPLPLLVRGLPSNSRQATQTADNQSSSAYGGTIYGEGWNFLVPDLICDTENGLLWKLQLDLEAIAASSSDAPSILEFLQRRKFDPSMVKTLCLAIVRTIILERRPLITVAKAMDVVLDSYSRLMKVGGGPPGVRRTHEQNSGQPAEGSHMVHQEPNPATMFSPVANPDQASGVASRSVLSNSGVEHAIARTILNTCSDSDEITNEPEATSEARSGYEASEAVNRRQVEGEDSRPLSSGTSMQHGAHVASVAISPAEMFQSVFALVEDEMMGDPAYLIAVIMEFLRSASKAGLKAPPNLYVMMATLLARSNRYAEIALFVSNKILEPSKELAMQLMELGQQHSSTRKLGVDMLRERGLHHDYVTALLQDGYFLEALRYARKYKVITVHPALFLEKAVAKNSAQSLAAVLGFFSEFTPNFRTTSDFGRYRYILSEMA >Et_10A_001447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3407343:3409551:-1 gene:Et_10A_001447 transcript:Et_10A_001447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVAMGVLDSVVGKLTDLLGDHFKLARDVERGIRFLRDELSSMNASLLRLADIDDDQIDVQTKEWRNKVRELSYDIEDSVDRFIHLHSTRKAKVNFVQSIVRKIKQLWEDRQIAKEIQELKTLVLEEKERRDRYILDQYLAMTQPVLLDPRAPIMYEEARDLVGIDGPREEIIRWLKDEERKLKVVSIFGIGGQGKTTLAMEVYNKIDEQFDCRASVTVSRTLDTKKLLKDLLFQVNKSEHNKSEMWEIEQLLPTLREQLMNKRYLIVIDNIWSTAAWEHVKSALPANEKRSRIITTTRIRDVAKSCCTGIDGHMYEAKPLSKDDSLKLLFRRIFSSNEDCPDALKEVANDIVKKCGGLPLAIISMAGLLANRQPNVAAWTKILSSISCAMEKDSPTDKMKTILFLSYFDLPHYLKTCLLYLSIFPEDSSIRARDLIWRWVAEGLIPGHNRESMELLVESYLNELINRSMIQPTKMQKDEKTVKAFRVHDIVLEFIVSQAGKDNFVTLLNGKDSYGNYSTKIRRLSIQANNFGAKEMSEAVNNVSHLRSVNIFRSDLELGNQVPDFLNSQVYE >Et_2B_021804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5626717:5627738:-1 gene:Et_2B_021804 transcript:Et_2B_021804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRHGNRDAAVDLIRQATTSEPSVEVKTRVAAADEPAVQIKLHRSLKLWRFYADLMETHGDLDATCAVYERMHDLGLATPLLVLNHAALLQAHGRFEDAFRVYQRGVRSFKYPHAEPVWSAYLTKFVERYGTSKPERVRDLFEDAVRQAPLEMKKAVFLRYAKFEEDFGLAGRAMKVYEDAAKRCSQLRQAQRLRRVHRTGRCSVRRSQDEGAISSGGLPDKDARAICIRFADLEIGLGEVHRARALYASLIRALTLNSGGDGTTLRCFTARESTFREMLRLKRTMTVPAHTIDHAQPAETGTLKKRLWAGQQVDDCGMLEPKSKRIRAV >Et_5A_042013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5204559:5210883:1 gene:Et_5A_042013 transcript:Et_5A_042013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDHADVDDLGSGWLEVKKKHRSSSKFTLQRSPGGSSHRIPNSSSRPQTNNDSSRWSDKKQCPSQSIKANFSSEELDRRETTNVRADECVYVCANDLKGGLNASTLEYGSKRPEELLLAEDTSEPPSTGQADQVDPSMPHESSNCSDGPAKSADCSDHVKYSPKTKSIGVLSNTPVKFGDFDEVLDLSLPSDACKDNSSSRQYMHDKDAPQLENEPKDANEHEAEMNLCTQVDETLPAMVSGAQISNDDKRELLDNNDIPDSTLDVSSSTTSTDSVSQSCSNNDLEVPVTSSSVASQESSTLFQGHAPVSADFGAETAESKERFRKRLWCFLFENLNRAVDELYLLCELECDMEQINESILVLEEAISDFQELKSRAEHFDNTKKSPGVPKEGIPMTVKADHRRPHALSWEIRRMTSSPHRQEILSSSLEAFQRIQLELACKQAGITAERFTSSSSGEVLDTSSKLTTASATVGNISLKVESQVKLSDSTEKKIAGEKQSRDAFKSGKSHPQSMASNSSRSRRSALEPISEIQKTTFKKDKELPESKPDRLKSTDVKKSMVHLEKEKPNTAPWKSMDAWKEKRNWEDILKSPVRNFRVSYSPGVGRKVTDRARVLHDKLMSPEKKKRNALDMKREAEEKHARALRIRSQLESERVQRLQRTSEKLNRVNEWQAVRSSKLREVMNARHQRGESRHEAYLAQVVKRAGDESTKVSEVRFITSLNEENKKFLLRQKLHDSEMRRAEKLQVIKTKQKEDNAREEAVFERRRFLEAEKMQRLAEIQRKKEEAIIRREEERKASSAAREARAAEQQRRKEIRAKAQQEEAELLAQKLAEKLRESEQRRKYYLEQIRERASMDFRDQPSPFQRRFPSKDSQNRSTSANSGEDSQIAGNSSNADSMVKPSNNAQMKRRIKKIRQRLMALKHEFIESPIGENTGITHRTALGAAKAKLSRWLQELQRLRQARKEGAASIGLIVGDIIKYLEGKDLELHASRQVGLLDFIASALPASHTSKPGACQVTVYLLRLLRVLLSLPANRTYFLVQNLLPPIIPMLSASLENYIKVAASNSGSSNPLSSKPSTENTESIGEVLDGFLWTVTVIVGHVHLDDEQLQMQGGLIELIVAYQIIHRLRDLFALYDRPQVEGSPLPSSILFGLNLLAVLTSKPGNFSTIDWESCRCRTLGGLVQEHEYLSSQDSMGNQFMTPDQSEDAKLYSEVTEENKSSEQHELSSPGDRKLVDEARKDLALSDDMNNSIMESPDIGVISEPHSEIPSQGDDNNTMDGFLEGRKVNNVSSFSNDNPGKGNETNLKQPVVLVLSAMAETGLVSLPSLLTAVLLQANNRSSSEQTSVILPSNFEEVATGVLKVLNNMACLDITLLQCMLARSDLKMEFFHLISFLLSHCMKKWRVPNDQVGVLLLESLLLLGYFSLFHAGNQAVLRWGKSPTILHKVCDLPFVFFSDPELMPILAAALIAVCYGCDQNRSVVQQEISTDMLRSLLKSCRTSGLTTSDSIGVDGAGTNNLSDNTHMSLDIRNAQGEIPIRSNRKGGRAVGKGVSGVIRSSRSKVQKDVRGTRAIDDGPLKQRAGEASSTFMLHRKIPASFLDRAEDFFCSEI >Et_2A_015550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14834018:14839128:1 gene:Et_2A_015550 transcript:Et_2A_015550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFTTAKFLAPVAARSGGDRARPLPSTGAASARPRRGAQKTRLCTALAVSSDVLAGNKVAQAAASHLAVTREEALELYEDMVLGRVFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLNQADCVVSTYRDHVHALSKGVPARSVMAELFGKATGCCRGQGGSMHMFSAPHNLLGGFAFIGEGIPVATGAAFAAKYRHEVLKQSSPEGLDVTLAFFGDGTCNNGQFFECLNMAQLWKLPVVFVVENNLWAIGMSHLRATSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAEAIERARRGEGPTLVECETYRFRGHSLADPDELRRPDEKSHYAARDPITALKNYIIEQNLATESELKNIEKKIEDVVEEAVEFADASPHPPRSQLLENVFADPKGFGIGPDGKYRCEDPKFTQGTAQKRMIWKKSLKTQAI >Et_2A_016265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22768117:22771868:-1 gene:Et_2A_016265 transcript:Et_2A_016265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRAVCVDVFMESFKCCVVCGGTKPILSPAEAKEQPLAMASRFWGQGDSDSEEEEIESEEGSDIEENRGRDDEKRTGVTSRYLKDDDDDSDESDSGHRVVRSLRDKRNEEMKSIVDQMRNAMKINDWVSLQESFEKLNKHLEKVARVNESTEVPKMYIKTLLKEIVASRGKKGTGRIERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVKVWKKCVDNMLLVLDILQQYPNIVVDTTVEPDEKETQKGADYNGTIHVTGDLVAFLERLDSEFFKTLQCTDPYTKDYVLRLREEPLFLVVAQNVQDYLERVGNLKAAAKVALRRVELVYYKPQEVYDAMRKLAEQTEDSMEDGDDEAGDEPQAADDHGGPPPFVVIPEVVPRKPTFPESGRSLMDGLMSLIYKFGDERTKARAMLCDIYHHAISDEFSVARDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLCAFKAGLITEAHSCLTDLYSTGRVKELLAQGERLERRRQMPYHMHINLELLEATHLICAMLIEVPIMAASTYDKRRPMSKTFRRLLEVSERQTFIGPPENVRDHVMAATRALNKGDYQKVFSVISSLEIWKLLRDKEQVLEMLKLKVKEEALRTYLFSYSSCYESLSLDQLTTMFDLTEPHAHSIVSKMMMQEELHASWDQPTKCIVFHSVDQTRLQGLLFQMADKLSVLVESNERAYEARTGGTLEGLPPRRRGDGQDSSNMGRWQDNFVSSQGRQGGNKFGYAGGRGGGQGGGYQKDRGGQGSRGGHGGSRFQDGRGRNQYGSTARGGDGSARMVSLNRAGRV >Et_1B_013821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18392595:18395160:-1 gene:Et_1B_013821 transcript:Et_1B_013821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSRCLGAFLGYRYNFGAMSSFTVTCVLADHAAGMEAGASVVTARVYRHDAPPNAPSSANNGWDTPSTQEEEEAEAAIHLRGAESARYAKRGAPGGRSSGAWRTTAPFSHFRLPEHVRESHRQSTFRFVEDAHEYSMSRLVSLFGDELRVFAKRPRDDGSTEWRHEKSLRLPEATRRLPGHKECFFGTTAKIVTASKGYVALTPAEETWMFSVEIRTMQDPEQRERSPVTGGADCDVKRNEASASLVDRDGDIKGKEASASPEGHNLLRNPSKTELRLKSASEEVLPDGSGKKKKMKLVKNKVTQALVDHMIANPFTPISEIPEERLAKRSPEFRQGYAERKGRADMILPTTRASSSSTWKGATSRKSLRSLTRRIRAARGFGLFSYCWSKLKCIGVCQCSVPANQFGLTITTARSNATEKQDLLCLLAIYPVVLQYGRRVS >Et_7B_053387.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:14719088:14719330:1 gene:Et_7B_053387 transcript:Et_7B_053387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYKETCSHIITKTTKALYSTNQNHSAAFHYRMTFDRAKTTTTEKSKLKNLISVPFKPVSQAIPTGDGYHEPFCLDLHHS >Et_8A_057151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21621225:21623811:1 gene:Et_8A_057151 transcript:Et_8A_057151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VCGLVTIHVGINRELFSRIDQINKKHGTCLAVSIVSYAQCFASDNNLDLLLHQSSMAATGDLKIEGADMAVKQSMDTFSSRGDNTARSTMNISGGLEKMPISAINISNEYPMALQYMSHIQYPLNHKPKRQHPWSLINASNVFLLLGHVPLESKLWLLGSVQSKLQLVGSVPLESKLQLLQNERFSLTAETLSTWKAFQEFRLVPYSNQYIMELSIVAIHRELYSHVDNIYLLSIVSYGAHYRWLAATADRKIEGTDLAVNRRMDGTPVHDVTAAKDWHFFYVLKTRSPEPADGIFIDDISGGLEKMPIYAINTMSSEYPMALQYMSQIQYPLKYPPDLSLGCVCVGGCSLS >Et_9B_065299.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3415079:3417266:1 gene:Et_9B_065299 transcript:Et_9B_065299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLVRRTKSNFNAVILKRLFMSKTNRPPISLRRLTKFMAGKEEKNIAVIVGTVTDDKRIQEIPAMKVTALRFTETARARIVKAGGECLTFDQLALRAPLGENTILLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFK >Et_7B_054038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14562809:14568003:-1 gene:Et_7B_054038 transcript:Et_7B_054038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQWRKFEFFEEKATGRGGGGAGPAVPSEIAGRVTCCSGGRGRVAVGCEDGTVGLLDRGFRLSYGFQAYASSVLFLQQLKQRNVLVTVGDDDQSSSQSSGVCLKVFDLDKVHDEGSSTTVPFCVQILRIFTDQFPLAKITSFTVLEEAPPILLIAIGLDNGFIYCIKGDIARERITRFKLQVEAGSDGGTSLPITGLGFRVEGQAHQLFAVTPSSVSLFSLHIQPPRRQTLDQIGCQTNAVAMSDRMDLIIGRPEAVYFYEVDGRGPCWAFDGEKKFVGWFRGYLVCIIEDQRTHKNTLNVYDLKNRLIAHSMPVGDVLHLVTEWGYIILIMSDKKILCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADPASTAEVLRKYGDHLYGKQEYDEAMSQYINTIGHLEPSYVIQKFLDAKRIYNLTNYLEKLHDRGLASKDHTTLLLNCYTKLKDVEKLNQFIKDEDGIGEIKFDVETAIRVCRAAGYHEHAMFVAKKAGRHELYLKILLEDLGRYDEGLQYISGLEANQAGLTVKEYGKILVEHRPAETVEILLRLCTDIGDPMTRRGSNRMHLLTIPSPMDFVNIFVHSPQYLMEFLENYITLVKDSPAQTEIHNTLLELYISNDLSFPSMSQENGFENHNIKETKGKENANGHKLGSREKANLGKEDSKMAKNIADRRRKGLELLKSTWTSEMEDPLYDVDLALILCNTNAFKDGLLFLYEKLKLYKEVISCYKQAHDHEGLIACCKKLGDSSQGGDPSLWGDLLKYFGELGEDCSKEVKEVLTYIEKEDVVPPIVVLQTLSKNPCLTLSVVKDYIARKLEQESKLIEDDRKSIDKYQEETELMKREIEDLKTNAKVFQLSKCTACTFTLDLPAVHFMCMHSFHLRCLGDNEKECPECAPEYRSVMEAKQKLEQNARDHDLFFRQLRGSKDGFSVVADYFSKGIVSKTTIPPENGR >Et_5B_044383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23619196:23624517:1 gene:Et_5B_044383 transcript:Et_5B_044383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLLPSLSQRWAIPLASAEAGGRRAAPRRRPAFACRCCADAAPAGTRRWFASLAAAAAVAGVGVVGGGEEVGAVSTSRRALRASKIPESEFTTLPNGLKYYDIKVGSGAEAVKGSRVAVHYVAKWKGITFMTSRQGMGVGGGTPYGFDVGNSERGNVLKGLDLGVEGMKVGGQRLLIVPPELAYGKKGVQEIPPNATIELDVELLSIKQSPFGKKAEDIKNLLLKIVLPLAFPLAGSFISDLIRNRANSHSYRDSSDSSVPFDPSYRSTTCQEEEDQGMESTRRASRKLTQSENACSTAGRLLIGEFSRQASNAAELMAAQASESPAEVAISEVHQDDPTMADELANLKHMVRGLEERACSIEAQFHDYCDMKEQESAYQKMQIMCLGMKLELLESQHQRLEAAAAEIRAAAEEFAAMRGKLDRLQSKLKKIVKRSKQESDAVDGKILALDAKQAQMGRKCEEFELCMEEMKQLTLQLQEQKGANNENVEVAVERSLRKLSSGRDLVDCLEALRDRWAAGMEEMIYLGWITAWLQHDILLCGGDDDVFGTVVVDDGDEDDHEGGGLPPEEEHKKKGETVVAEVAPSNEVQLCKAASASSSSSTTMSGPPRRSVDGEPSCMGFAGGRDGGGWGIGRPRLLRKLRGWAGGKGRCKRPCRIAGPCCPK >Et_9A_061375.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10719185:10728017:-1 gene:Et_9A_061375 transcript:Et_9A_061375.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLILLCFASLLSSSFSSPLPIDASNAAVQDHAHVVEDVARSVNVSLGRRMLGSCVTGNPVDDCWRCDPNWADHRQRLADCAIGFGRGAVGGKNGRVYVVTDAGDDDPANPRPGTLRHAVVQAEPLWITFARDMTIRPRQDLRVASYKTIDGRGAAVVVGDGGACFVVQGSSHVIVHGVTVRGCRPAARSSSDGDGVTVRRSSDVWVDHCTLEDCADGLVDVTEGSTRVTLSNNLLRNHNKAVLLGHSDDFYPDKNMQVTVAFNRFGPGLVQRMPRCRHGLFHVINNDYVDWKMYAIGGSASPTILSQGNRFFAGKAKEVTKHEYAPESEWSKWSWTSQGDMMLNGAFFRSSGSSRFAIKTPSFAKSAYFVPSMTASAGALSCKNWSRGAVGGKHGKLYVVTDAGDDPTNPAPGTLRHGVAREEPLWITFAGDMTIRPKQDLLVRSHKTVDGRGAAVVVGDGGACLMVHNATNVIVHGITVRGCKPSRLVTSPASATDMSDGDGVAVLARSSDVWVDHCTLEACADGLVDVTDGSTDVTISNNLFMNHNKTILLGHSDDAPDDKDVRVTVAFNRFGPGLVQRMPRCRFGLFHVINNDYVKWKMYAIGGSASPTILSKGNRFLAGEAKEVTKREEVAESEWSEWNWISEDDMMLNGAFFRSSGRSRPEIKAPSFAKPVSSVPSMTASAGALSCKKGSLC >Et_6B_049536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6172350:6175622:-1 gene:Et_6B_049536 transcript:Et_6B_049536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLVSFETPPLGRQERVHATTTMTTAIRPKEASSSGNCFHGHLDLSLGMSLSRGGSGCDAIECRGAKASDGNCGDNRSSSEVGCLSSGITPTATASKLSAGHDHDSDLTASVGWTSAFMPCPTSFMHPWSLAARQQKAAAEQDRMPTVAYVPSETHAIPLPSAVGWPPVHTSRRNLITAMHTTKSDGTVTIEEKKGMTVPAGNEKNAVASKNSIDLVETRAPVNMFAKVHMEGYAVARKINLRAHGTYESLSRVLTKMTINFFCPADCSSINVGEEKAFSSDKFIFLYEDFEGDRMLVGDVPWE >Et_5B_043697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14177332:14180679:-1 gene:Et_5B_043697 transcript:Et_5B_043697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTHTYSSEDALPEGPESDLFVYYCKHCASHVLITDTQLQKMPKRKTDRAHVLDKAKHLSRLNVKESGKIMLKRGEGKLEKQFRMSCVGCDLFVCYRSEEDLELAPFIYVVDGALSSVAAETNPHDAPVPPCITQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANSELLEFMGKVLSLRLTQMTLQRGWNNKSKLLIVEDLSARQVYEKLLEAVQP >Et_7A_053080.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:6166269:6168396:-1 gene:Et_7A_053080 transcript:Et_7A_053080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQEFEVMNDQRTAGGDVGREDHLNLPAEERGPKARKPYTISKQREKWTEDEHKLFLESLQLHGRAWRRIQEHIGSKTAVQIRSHAQKFFSKVTRESSGDANNAAAPPRIRIPPPRPKRKPTHPYPRKLGNAPSKDASVLNQLQVQSLYEQENASPKSVLTAAQLGSETLAPDSGRSPASSLDVEERCPTPRIEVAAQLSPYKVANSDAFSKHVKHTIPESSILTLFGKRVLVNDLNQQPNLDTGNQQNAVDMELDASAETPTSETGKLSSNDAAEATTWSPCLTETEQFVYYLPQGEVFVVPSDCQFFSYYNGSISCALLSPKANKQHQPSQAEAADSILSNGEGSCTDSITTSSSFPETLTQNSDSEEFVQVNNRDDEVIPVPGSRKCVNPAPNCLRGFVPYKKCTAESKMLQSQTPGEEADREMTRLCL >Et_8A_057428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2985569:2986802:-1 gene:Et_8A_057428 transcript:Et_8A_057428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSRLSSPPFHSPQSSKATPRTVHSSFLASSSALRCPAHAQPPRRRATAAPRLRATASDAGDTAALMVARAEAGDFEEARSAAPRLPAAAPRLLPAYARLGRFDEILLAVRELSARDPAAARVLYPLAVSCLGAAGELARMEDAVLEMGRRGLRVDHATGDAFLRAYAAAGTMPQMESAYRRHKRTGLLISPGAIRAVASAYIAGQKYYKLGAFALDAGLPGRRDAGNLLWNLYLLSFAANFKMKSLQRAFLEMVAAGFRPDLTTFNIRAAAFSKMCMFWDLHLTADHMRRDGVAPDLVTHGCFVDAYLERRLARNLTFAFERLDGQAEPVVATDGIIFEAFGKGGFHASSEALLEAAGGKRRWTYYKLLGVYLRKQHRRNQVFWNY >Et_4A_035873.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7705563:7708097:1 gene:Et_4A_035873 transcript:Et_4A_035873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASAASAGRGSRAEKVRRIFERFDVNGDGGLDREEMAALVVAVNPRVKFSEDQISAILDEVFRTYAEFILPGGRGLSLPGLLRTYDDGAGDVDRDFLALSLPAVDSDASSPEIAAGDAPDSPPPSGAAAAASLLDDHAKPLGAAGAAPSASSRAAAAAAPAWASSPNHGISFDSSWSLLDDLEILVKRLRSKQQRKGSIDGSVGGGTGNSTFDSFSEAGWSRDISGPADSASNAVQWDETSRDYLTFVKELAVLRTRADAARSREEAFDNHMVIGRALSEHRLFRDALASFRRACELQPTDVRPHFRAGNCLYALGRHAEAKEEYLLALEAAEAGGSQSADILPQIHVNLGIAMEAEGMVLGACEHYREAAILSPSHARALKLLGSALFGVGEYRAAEKALEEAIFLKPDYADAHCDLGSALHAVGDDERAVQEFQKAIDLKPGHVDALYNLGGLNMDAGRYVRAAEMYTRVLSIRPNHWRAQLNKAVALLGQGESEEAKKALKEAFKMTQRVEVYDAISHLKTLQKKKPKPSKGKDDQGEQAYVVVEASKFKRVGKKTTLRQDLANALDIRAFERTTKLGRCDVELLRKEMTETDVPVSYSGTGIPEKSIRKAALEVILRRLLSFLKPDTFQGAIKAINEKILSVLDAPGSGRVDLGMFFAIIAPICSGPVDRRKRVAFDALLWRPASEGSNGQIRRSDALTYIKLLRAVYIPTHGASDMLEMHGESDPTMVSYTEFLEMFNDPDWGFGIMSTLVKLEESDHIRHGRHTCSICRYPIIGSRFKETKHSFSLCNRCYSEGKVPSAFKLEEYRFKEYGNESEALIDKCMCFNLHSKKLETDA >Et_3A_023628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:989826:992129:-1 gene:Et_3A_023628 transcript:Et_3A_023628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPATCAGTGDAGVEGARHKMQSLLRSVCRAGSRGASAKKLLECAVTTPAVVQPATNQASANLVRPLIRPFGSHVPYHGGFCVKALSLRGLSTAGNAEVALEEDDSTSPAADHPPRIKFKRPDKTARHIMNILNKEAVEKVRSEREIPDVQPGCIIQMRLQVPENKRRESTLKGIVLGRRNAGINTTFRLRRLVAGVGVESVFPLYSPNIKEIKILDRKKVRRAKLYYLRDRMNALRK >Et_1A_008387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7117277:7120320:-1 gene:Et_1A_008387 transcript:Et_1A_008387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDFAGLGHLFVVSFLFTFSSFMVIPAITDITMEAVCPGRDECSVAIYLSGFQNAVTGLGALVVTPIVGNLSDRYGRKALMSLPVTVAIMPLFVLACNRSEVYFYVYYVAKIVAGIFCEGSVHCLCLAYVADHVGPRRRAAAFGLLSGVSTAGFVSGTLTARFLPTSSTFQVAAAVAAAAALYLRVFLPDSNGASCCGDEACDPLLQDSASCSSSTSSSASSSDEELSPRLPPRKGGLPSLPDMAALLTSSVALSGAATITFFYSLGEHGLQTALLYYLKAQFGYNKDEFANLLLIAGAAGMLSQLTVMPILAPILGEEMLLIVGLLGGCTHVFLYGIAWSYWVPYFAAAFVILSAFVHPSIRSKVSKNVGSTEQGIAQGCISGISSFASILGPLIFTPLTAWFLSETEPFDFKGFSIMCAGFCTLISFIISLRMREGLSSACNKL >Et_8A_058135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2169155:2171471:1 gene:Et_8A_058135 transcript:Et_8A_058135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDAVSKAFVEHYYRTFDTNRAALLGLYKENSMLTFEGEKIGGNTNIATKLNSLAFQQCQHTITTVDCQPSGPAGGVLVFVSGTIRTAPTDEHPLRFSQVFHLMPTPEGSFFVLNDMFRLNYVKPCSTNRKQEYQ >Et_10A_000636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14124007:14143509:-1 gene:Et_10A_000636 transcript:Et_10A_000636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSRPQIDQFFPAKKRRPPSRKEGRSSGSQNWSPGGVKGSLEPYLVRSPSNVAAAAAAPAGSPRGGDGGARRCLSAAMDVDIASSAPAAAATTADDIVDLEFKRLTGFLSHSFSAATKPLMVDSRDGDQLEKKQKLSTSQPFLVPCGNASVKRQRVTHCSSLDGLKESGDNAAFKKQRMNHYGGSEDLEELVEGAKVIGEGSMALQRCNFTPNTAQKKTGFSLAVGETSKSVSRNSLTSPGEDFWNAAIEFAEGISAQADKARGRPEFDAAEDKSSCAVAVSSKTLPRSGKGEIGSPNAVGSSDTHQMDKSSYKESLAANSQSIVGSPLPVRHFDFCHDDDNQVSSSKGAENGSSDAGSVHMDHIQSKNNDFRAVENLTHPVDAMKKITFDLHVGSAAMIPSQGPTRSKIEDRVHSIQDADKNSHLSKRDLNQLIHIEDKSLGDYSNSSKPNKNSKSKLVSNEMEASTPTSSLPLKDYSKLSSWLPPELCAVYYKKGISELYPWQVQCLLVEGVLEKRNLVYCASTSAGKSFVAEVLMLRRILSSGNMAILVLPYVSICAEKAEHLEQLLEPLGRHVRSFYGNQGGGSLPKDTAVAVCTIEKANSLVNKLLEEGRLSELGVIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGETSGSSSGKIATQGLQIIGMSATMPNVAAVADWLQAALYQTDFRPVPLEEFIKVGSQILDKDLNVVRVLPKAADLGGKDPDHIVELCNEIVLQGHSVLLFCSSRKGCESTARHVAKFLKLASVGSSSVGSEFSDAASAIEALRRCPAGLDPVLEETLPFGVAYHHAGLTVEEREIVESCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYRQMAGRAGRTGIDTKGESILVCRPEELKRITGIIRSNCPPLESCLSEDKNGMTHAIMEVVAGGIVQTANDIHRYVRCTLLNSTKPFEDVVKSAQDSLRWLCHKKFVEWNNETKIYTTTPLGRAAFGSSLNPEESLVVLDDLSRAREGFVLASDLHLVYLVTPINVGVEPDWELYYERFMQLSSLEQSVGNRVGVIEPFLMHMAHGATMPVRGRPQGNTSTHNKPPAQGSGGNSLINEQTLREVPVADVCEAFKVARGMIQALQENAGRFASMVSAFCQRLGWHDLEGLVAKFQNRVSFGVRAEIAELTSIPFVKGSRARALYKSGLRTPVAIAEASIPEIAQALFDSSTWSEQDDSGLRRMQFGIAKKIKNGARKIVLEEAEAARLAAFSAFKSLGVEVPQFTAPPLPTIEDSPTRDAMVFPSGDQANYNKVPLVAHGGDDKNKCSDYAASQRASKYSFREDNRPDSLIQINYNTGIPINANISTQEAASPLSTQITDKSSSRNVADKGPVNACYFPGGFDCFLDQWSAVSEFTFDLHFVKKSTKLSSTLFEVIGLAVCWENSPVYYCNFPKDLATIGNNDSSEVWVNFLRRWNKIGEIMQQKSVKKMTWNLKIQIQALKSAYFSCQRLARFHLDHKTLNNIEVRDNSYVLLPAISVYNGLDICLVAWVLWPDEESKTVPNLDKLVKRRLHSEATAAANRDGRWRSQMHKAAHNGCCRRAALTRALFSVLKKLLVSQNLNGLVETIEGPLVNILADMEIWGIGADMDACLNARHIIIKKLKELEKEAYKLAGKSFSLNATADIADILYTHLKLPVPKGCEKGKLHPSTDKQSLDHLRDQHPIVSIIKEHRTLAKLLNGTLGSICSRAQLCTQSQRYIIHGNWLQTSTATGRLSMEEPNLQCVEHSVEFSTEKNGDKSNASVVEHHQINAREFFIPTKENWLLVTADYSQIELRLMAHFSKDPTLIELLSKPDGDVFTMIASRWACKEEALISPKERESTKRLIYGILYGMGANTLAEQLECSTDDAAQKIQSFKRSFPGVSSWLQEAVASCRQKGYVETLMGRRRFLAKIMAGNNKEKAKAQRQAVNSICQGSAADIIKVAMIKVHSVITNSSTTIASTNEIMRNLSEIRGHCHLILQVHDELVLEVDPSMVAQAGRLLQICMENAASLLVPLRTKIKVGKTWGSLEPFYPEPFNYRTLSKASSFTAHEAAQPCPPCSLI >Et_3B_028677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1771284:1782683:1 gene:Et_3B_028677 transcript:Et_3B_028677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPFLLAMLQAQPPEVGWPVTPVPDAAAPARWTNSPTCLEHAVDFTDGSAVRVLVLNNLYDVYQGPSFAAGFYCAPPCDAFLFAVYIVYAESNGVVPHDGMAQVIWAANRGRPVRENATLSLTVAGGLVLRDADGSLVWSAGSSSKAAAGLTITRSGNLVLLDGNNVSVWESFHHPTDSIVPGQILASGMVLTPSMSITNFSADGQLRFTVQGSSLYAIAESQVYYPNRVNTIIFSDANLRNDERSPDVVLFLNGSISAISSGNYNRLNPQGRHNSNSPHSLDPQQGPRILISLALPRSLQYMRFESDGHLRLYEWHDWQSGWVVVQDVLRLDACSYPTICGQYGLCDKGQCSCLLSERNTNLAYFRPIDNQKTNLGCMPLVPISCQSVQDHRLVAISNVSYFDFIWRTLAEPIVDEGSCKQACLHNCSCKAALFYYTKNTSAGSCFLLSQHFSFEFEVLQQDSLYFKFSAYIKVQLTHSPSGAPTPIGPSSGGNTVRVKKSSASIMIGVILGSVGGFIVLLLFITLLCKRRKRYEEIDEEGDLGELPGMPTRFTFEQLKVATEHFREKLGHGGFGSVYMGQLREERVAVKCLDRAGQGKREFLAEVQTIGNIHHINLVRLVGFCAEKSQRLLVYEYMSKGSLDRWIYYRHDNATLDWNTRRRIIADIAKGLCYLHEECRQRIAHLDVKPQNILLDDNFNAKLSDFGLAKLIDRDNSQVITKMRGTPGYLAPEWLTSQITEKVDIYSFGVVVMEVISGRKNLDSSEPEESVHLIILLQEKARSDQLVELIDKNSADMQMHRQEAVGMIKLAMWCLQIDYNKRPRMSVVVHVLEGSIEVETNIDYTFIATVPVTLGNAGKTGSSAPHVASQLSGMAAFCFHLIFFLTSACLALQTFCQVDYLGYPAITTNLSTVWKSSGPGPAAGGSPDQNAVIPFLLRPLAAPEHGGLIFGAGFYCVFPCNTFVFGVYAVSAMNSLDASYTIYDYADSVMLVWSANRDRQVQANATLSFTGDGALILRDADGRLVWSSGTSGRSVMGLNMTESGNLVLFDHHNAPVWQSFDHPVDTLLPGQCLIEGKSLTPNMSSTNLATSNQFYLTVRPDGLYLYALVGYQVPRLYYRITIDQFVVMSGREDRLKYINLRNGSLAGGLSSSTEEVIFFLLRDAEWLQFMRFDSDGHLRLYQWSSQWTIQDILELDKCEYPFVCGDYGICSGGQCSCPIPISSSNGSYFKQLDDKKPDRGCILDTPVSCQQSLEDHQIILVPNVSNFNYIDQNTGLFTDGESCKQACLGNCSCKAALFQYDNDVSNGSCLLVSETLSLVGSSPGSAFLKVHITYPQRSVNKRSILSYTLGGAAAALVLLAIVGSIIRCRQNEEEADNDELSELPGMPARFTFESLKVATNEFSCKIGEGGSSSVFKGELGDQLVAVKHLHRAAQGKKDFLAEVQTIGSLHHINLVRLIGFCTTKSHRLLVYEYVSRGSLDKWIYYADNKSPLDWHTRCKIITHIAKGLSYLHEDCRQRIAHLDIKPQNILLDDNFDAKVADFGLCKLIDRGQSRVVTRMRGTPGYLAPEWLTSQITEKVDVYSFGVMVMEITCGRKNLDYSQPEESVHLISILQEKARNDRLADLIDKNGDEMQMHKEDAIQMMKLAMWCLQIDYNKRPQMSVVVKVLEGTVNMETNIEYHFVAMVPANMGNEGKLASSAPLLAWHLSGPSHASTCADRPRACGHPFPNASICQPLHPLDH >Et_1A_006294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20025428:20032747:1 gene:Et_1A_006294 transcript:Et_1A_006294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRKNLLIRYNASCETQGTSRSSILGEVDVNLAEFAEALKPTSIALPLRGCDYGTFLHHSSHNHKLDLYHVVKVTAQLLTTKTGFREFEQQRETGARSSQQLLNQRSHDPAEVAAASSDIYTDKVNARIKLKETSVGFPVVEDSAGSTEDYENSSHTSDGIFAEKNDPYGGHEVSSLRSTLSGDLPLCSTSQSPTPEKGACWSKHLSPQGSNDWTHGWSPDYSADKDAAAARDDNNRLRTRLEVAESAFSQLKTEAISLECVTDKLGTETKGLAQQLAVELMSRNQLTTEVSLLRTECSSLKRELEEIKSSRVLQKRSHGDASVNDLQTEWLQGLQLLESKLQQTRNNALHGLQASDLDFLLADLGSLQRVIENLKQGVQPEQFKENCYVENLAPPSNAAHQMTTGRDHDTHKKSPGGSTTTIEEKMCELLQKLEDSKTEKENLLEKMSQMERYYESFIHKIEESQKQTAIELENLRKEHNSCFYTVSVLQAQKQKMHEEMNDQLMRFVEDRTALESQNKELERRAVATETALKRVRFNYSAAVERLQKDLELLSFQVLSMYESNETLAKQTFLEDYENLPEEHSALQDLCGNKELGQYKPDVKQIRSQVFLAENGTLDKMDGQRNHLGALRIEELRARSEFQVVSNADSQGNHYNMEQPKRPSSTLEAELLEMSIANIEWQIFSDVLRESHYGALDIIKCIQGRLSKLEKQLHDSNDDRQSLVLKLNSALDQVKSVKESEAGCILRCDDLTVKNQILEAKLHDITVENALCMEKLTECKRLVQEHETCESKYKACAEERSRFENLLMKESLQTDKLKGELRSIRENFEAMKDELDKQSSLNNELQIVSTSFQDQLGDLCSKMVCFNKELNISGLDEAFLLHEVESKNYTALVGSLEFFQQQICKNVLQLREEKEVVEKMCDALQRKSDTAESELLDMKRKFVCDLDATKEKLNFSEQLVEKLQQELQDMAHKLKICSDSQEQYSITNSDLTSKLSQIEAELQHVTSENEALVEKLKEHGATVEELEIAKVSIAEHEEDTRTLTLSLQSKDESLMHMEREIRRLQDALRFTDESLLREKNIKGELESALAGLTSQLGEKDQVLHSFDEHKTELIHMRDQISVMEKANSLMQDALSQSEKIQRDLNYKNCALHSQLSNVENQLGIVREACVQFDLLQNDLEKLKLKNNDADELLRTYMSTEAELTERNATLQAAVRSLEIDLSSVIKDKEGLEEYIKGNMEALTLVHNNKSCDISVAVDASENVLKHEDEISELRALLTDLEEQVEDVRSSKDEVEILNLILRSKLEEQHTVISPLLHNQGCELKHLKEQNNDLSQKLAEQSLKAEEFKNLSIHLRELKEKAEAGRKEKEGSLFAMQDSLRIAFMKEQYESKVQELKNQVLFSKKHTEDMLLKLQSALDEVETGRKNEIALAKRIEELSMKLSEMEAEMQDLSTDKRDLSNAYDSMMTELECTRLNLDCCKEEKHKFEVSLLECSEERDRIRVEIDLVKSLLENMALTNNNTSHNNSGSSHVEHIPVESASKVTPNTTEMASGLQEGEIQSTSLSSNMSQGIESVGEFNELECINSMAHKNLENCQMEYESTIANHLNATSSIEDISKQHKKLASDLNHFQKELERLKNDNVSPLLPLDINLIDPSLSGLERTLSQLEMANEHLQSVFPSFKELPGSGNALERVLALELELAEALQAKKKTDILFQSSFLKQHNDEAAVFQSFRDINELIQDTIELKRRQVAVENELKEMQGRYSELSVQFAEVEGERQRLEINLKNRTP >Et_1B_013313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7240017:7249464:-1 gene:Et_1B_013313 transcript:Et_1B_013313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEDLRTFVDGYMGSLQQNYEMAKECLDINFDGTKNVIDCLMPLLLLSSSGRVINLSSQVGQLKFMSNEGVVKALSDIDNLSEEKLNDFATVFLTDFKEGNLAARGWLPVASAYAASKALLNAYSRLLAKRHPSLVVCCVTPGFVKTDMNYGMGLVSAEEAAKSAVVLALRDDRGDSGLSFEMGNWNLEKALNLFYAGGGVGTSDAAPSTKPVEENKEAAEEDEVRAPIPSRVERIYGDSSYYGRRRRSRAARAFVPSIWDAPSPKPVPAVLVQGPYLNEIQVSGWGADGTDNGGGGNYEVERVKEVVEVPVSVEANPGIGGGNGRDAEEDRFNGSQEADDVVQEDDDNGSVGDYAYGMGSDDEQDGGHREDEEEQVDSTVINCKDDGQEHYNGEYEDKQDNADEHGGEEQYTYRDEQHNSECGEEEYAYNASDNEGEIEDYGHEMDEDDGYYHDSMMEEEEPSWSDSDAAERPGLQAAPQSLAEMYRLPYELMYRGPSFHFAKVEAARRDRFLLVNIQSQSDFASSLQNRDLWKNTVVSQVVRDNFVFFFLYKGTGKDDEALAKRKKGKDDEGLKVCNYYGLEDDDQLPAVLVLDPITGEKLAVHAGAIRPDDFMMFIDKFMTSKPSERSRPKVVVQKTAEVPAEGSEATGAVGGEKEPEAPESSTASAGADGEKELEPAVPMVEEAPADTVESDDDPEEGEKMYKMRVRFPDGSVVAKEFGCKRRVSKLFAFCRSAVHDAGKTEQVAFRIMRLAGRAFEELQNSGATVALVTGGNRGMGLEICRQLASSGLTVVLTARDEKRGNEAVDKLCGLGLSDVVFHQLEITDPASAARLADFVKNKFGKLDVLVNNAAILGVTMDVGGQAAAREAVAGKDVDETAEWLRQRTTQTTKDAEECLKINYHGTKNVTEALLPLVQSSSDGRIVNVTSGFGLLRYFSGEELRQELSRIATLTTPRLDELSAQFLEDFRSGELEPRGWPTDRVYSAYMVSKALVCAYTRILARENPALRVNCVHPGYVETEMNCNTGNLTAAEGASVSVAIALAEQGGVTGAYFDRTEVASVAVVTGGNRGIGLEICRQLASNGVTVVLTARDEKRGVEAVNALKTQGLSNIVFHQLEVGDRSSAASLAGFIRQKFGKLDILVNNAGIVGTVTEVSDPESFQRELAELGGMEKLEWIRKHTKEPYEKAEECLRTNYHGTKNVTEELLPLLQFSRHGRIVNISSYFGLLRFFSGMDLKQELDIIDNLSKERLDDLSELFLKDLRNGQLETHGWPAEGGIPAYKASKALANAYSRVIAKEHPTLCVNCVHPGYVSTDVNFHSGDLTVEEGARGALMLALMPKGGMTGIYLDCTEVASFVGRMGMVQFLFGWLLVLFDLCRLRGRLLLCCRRIGRWLRCSRRSLVFLRLSCLDEMVVAALLLEQLREVDLAVEDSVERSVGGRRQDAAAVRALEAALMNVNRPGRSCLKMRHLKQNGKDQMFEKVPVSLKSCSKWVLQYRTPSMEE >Et_5B_045122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9782476:9785627:-1 gene:Et_5B_045122 transcript:Et_5B_045122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVLFVSPFISFTVTTPSLMAELCIAIVAGLVAPSRTASASTKRTGERRIVCVFGGERAGGNVERMEEADGKIRRRAALAFLLASPALSVAFSANGKTKSMNPYDERRLLEQNKKIQAANRFQVKVVTSDSYITRDSGLMYEDIKVGTGDSPKDGFEEGIRDMKPGGKRRIIIPPELGPPVGPSTFFSAKQFEVFDVELLAVQDCQRRTIAFYSDVVCS >Et_8A_058306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4588793:4596879:1 gene:Et_8A_058306 transcript:Et_8A_058306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVLDYSLSSDYPSKKIIEGRSLSCKRVFVQTDDGSVLGIELEPGENAHTIKKKLQTALNVSTEGSSLTFGDQVLNNDLSFIRNDSPLLLTKNHMHRSCSTPCLSPKGKDVKQHDRSRVIEILGCSSPSTTMKQLVNDITEGIKNGVAPIAVSGGMGGAYYFMDMWGQCVAIVKPTDEEPFAPNNPKGFVGKSLGQPGLKRSVRVGETGFREVAAYLLDHKNFANVPPTMLVKITHNAFHVNEGIECKSKVNKNRSDAHNKIASSQQFIPHDYDASDHGTSCFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLDNGAGRFEAQTELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSEEELEYIANLDPVKDAEMLRMELPMIHEASLRVLILSTTFLKEAAAYGLCLSEIGEMMSRQFTGKEEEPSELEVLCLEARTLVEERGLILQDADTEEDEEEDVEFTQFDLDSEDDSALFKASVLNKFESMGASCRETLKKLAKGRENEDEAEEGKNEVSQDDFSSFTDSVPKCTSSTSKLSVSLKGLCFSGSSNCHSGVAIHRVSNKADKSFSAFSSEHQSGGRSANEMLPPSSSFVELSDLSAKEWSAFLEKFQELLPSMFHDRKQNAARGPWLTQRLGTSCQTVEVAFIFRKQRGKLIVWAKALSKAYTLWEAETVNKVIDDHASGLHEGVDDDGTDEAETSPDKVLAYCLRLGCPKWDVLVVLILAHHWSVVHMPPHSNSSSPPSTSWSLTLSSSLLLSRDTSTSPQGLNVPPYSSGTFGSQLELELLSEESLEFCERERDDNVRLELLLWMPRGCEVTRVTTYK >Et_9A_061667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15054344:15057837:1 gene:Et_9A_061667 transcript:Et_9A_061667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSKDVPKKPRDPLLTPPSKLRVAGFLDEARPGNRGGAAMSPAPASVPNYMRGTSSSDAKGGRRRARLAATVAAPASASPARRRTAAVRVVTRGKVLFPEAPSPISVSGPGLSRATCSSTLKEAKFPDALDLAPGATVAEGPAAMRVCPYTYCSLNGHAHSPAVPLRSFLASRRRLIKTQQSMKLKGVSAFRKKSGDQNGAAAAAAAKIAPLIDEEAVGDFFVEVYAGPRVSSDMSCSDMSLDEMDATVRKMEFVVFDRCGADEEINKGKDPSLCSDGERNGRLEEKHSAYMDNSSVCSDAVISGDFIEELPWMRYNCYEYDSLDDGVSEEQKMRDDEVDGSQISKEQEVNDGEGISGRVGDECEQKAAQEHEVNDEENISNLVRGSEIDADQSVVSRMDACQQLDVRDEDNILDTVCHEEPSAGTAGLAEEKFSDCVNDLEIPDQEVAGRADSILEKSCMEETSSDQEAKDDEFVEPDVESEVTEEQDMKEEECKADDDSEMEISEDTISDDQCGEDFSEEVTSRAVLADNVFEHYDHITDDSTVDDVSEQNDSPANAQIDAQKELGVTRSKFGDASEESDTVQKTNLFNTLDVMQDEQKEPEITKCKLEDACEESSTVQESHLDGISTFFDDSAQMEPVIGIFKSNDASEESLVSPDGGLNDNEENVTGGTDMEQDIARCKLEDASEGTGVDQETVENDDSACVSNEDQNDLDMTKESVTTQEADQSDSFANGSFDAPETVEDGDSARAIDGAQSVLEITKCKSEDASSEHVALQEAGESDNPSNISFGAQNESEITASEFEVIAIHGDTENESELTTCKTEYVCGESSIGQDVSPAYATDDGTPKDFEVTTFESEGAQGESDVVKDHENEAKTADAKEADIKASESGGASEKSAMPQETDGHVNSIDASVCAQEDSSMPRVDVCEDILVTEETNQSINVQIPEQKYDCTAECHAKVQNLSAEFTDTKEVSIDDICDAFSGMNLKGAGYCDPTELATCSRNKLITSRRRRTPEEEEYLRGFNPRAPNFLPLELDPDAEKVDLKHQMVDERKNAEEWMIDYALRRAVTNLAPARKKKVELLVQAFETVLPHDEDDKKSITPTRHVQACN >Et_5A_040998.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16295518:16297578:-1 gene:Et_5A_040998 transcript:Et_5A_040998.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLDVVMVAPELKRFTLRSECRGLTISRSAPKLEDFLFEYTFLDPRVGFGDKWALHRHPAVRAHVLTLTIYPDHGHSTAVQSIAEEIARLPVTNFSVLRLHLQRGHVYGVIVVQLLRIGSYILLLFHSQAFSGNCDVCTCSENCDCDQDGNWRNEQISLTRLEDVEIQGFNAADHEVDFLEFLFRSAPMLKRMNIKLSDKVSRNKEGQLRLHGIFEANSSMECSNHLFTHAGKLITLELPRCSIRHMTPNC >Et_7B_054261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18788256:18789019:1 gene:Et_7B_054261 transcript:Et_7B_054261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWHGGGTELQLQFTLKHFAGMRGCRLRELYLCRCSLETVPAKLNGFSCLANLFLIRVQVVDEVLLNITSSFCALSCLRLKRCNTLVFMDVYHCWGLFSITIHAKKFEYLSYEGNVC >Et_1B_011571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23713037:23715836:-1 gene:Et_1B_011571 transcript:Et_1B_011571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QVHVPGANTHPSPSAPRAASRSRKRLKARQPSKRIREGRGKTQPTTKESNSNRPSKLLGHAATKGEASQASPDMPPLLTSPVASSTPLLLASRLGALHRAAVPHHRAAVRFLAPAKSQPASGSLTAMSWLGKLGLGGLGGSPRASEASAALAQGPDEDQPAPGNEFAQFGAGCFWGVELAFQRVPGVTRTEVGYSQGNVHDPSYEDVCTGATNHNEVVRVQYDPAACKYDDLLDVFWARHDPTTLNRQGNDVGTQYRSGIYFYTPEQEKAARESLEKQQKLLNRKIVTEIVPAKRFYRAEEYHQQYLAKGGRFGFRQSAEKGCNDPIRCYG >Et_6A_046563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17055594:17060480:1 gene:Et_6A_046563 transcript:Et_6A_046563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EEGSSGDGRQRAVAVGSGGERKCFCPEKCFSAQTSILCRLEGKIALVTGGASGLGKASAQEFIQEGAQAVIIADINSKLGQEVADELGPKAQFVHCDVAAEDSVAAAVDAAVARHGRLDVMLNSAGVVGPLSAGTSELAELDLAAFDAVMSVNVRGTLAGIKHATRAMETEAAAGGTGAGGSIICMASVSGILGGLGTYPYSVSKFAIVGIVKAAAAELCRRGVRVNCISPYAVPTPMVVGQFSAMLQGAADEEQVASIVRGLGELKGATCEAVDVARAAVYLASDDAKYVSGHNLVVDGGFTSYKRMNLPFPTGPQDGILGGLGTYPYSVSKFAIAGIVKAAAAELCRRGVRVNCISPYAVPTPMGAADEPQVAAIVRGLGELKVATCEAIDVAKAAVYLASDDAKYVLAGSPAASASG >Et_7A_052852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:193062:194242:1 gene:Et_7A_052852 transcript:Et_7A_052852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESCCVPPGFRFHPTEEELVGYYLARKVASQKIDLDIIQEVDLYRIEPWDLQERCKQGVTGGEEQTTEWYFFSYKDRKYPSGTRTNRATAAGFWKATGRDKPVLSSSSGGGCSSRVVIGMRKTLVFYKGRAPNGRKTDWIMHEYRIQSNEHAPPQASSPKVLSPDRWCRYQSISDDALNIAHQEEGWVVCRAFQKPVPNQRPCLYPTPGYYDNILGGSSYYSAAGAGAADAAVGNIFRPQQLPNFAVVDDDLFESKKHHLFSIPPLQSPTAISDGYQLTQRCNTVGADDHELLMIHQHGGQPAAAIDWNFLDSLLQSTASQLHDPTSSLPRADY >Et_5A_040227.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:24617133:24620138:-1 gene:Et_5A_040227 transcript:Et_5A_040227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGALLLLLLSLVAAAAAAEVEQRPALPSQSTGGASSGSSSSSTGVSSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGRGNVTIFAPRNEALERDLDPEFRRFLLEPRNLKSLQTLLLFHVLPSRLHAAALPTSSAAGEAQPHHRTTLAGERLDLADAAVTRPDAVVRPDGVIHGVDRLLVPRSVQEAFNRRRSLAAISAVLPTGAPEVDPRTHRLKKPAPPVLPGAPPVLPVWDAMAPGPSIAPAPAPGPGSGKHHFDGHSQVKDFIQTLLLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPENILYYHMVPEYQTEESMYNAVRRFGKVRYDTLRLPHKVTAREADGSVKFGHGEGSAYLFDPDIYTDGRISVQGIDAVLFPPQEDAAGGGGTSPAAAPARKAPAVTGKTAKPKLRRGQYTNQPAFHHRLLFAFFWHYC >Et_2B_021199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27349541:27352568:-1 gene:Et_2B_021199 transcript:Et_2B_021199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWFSSGSGPSTSSAASPQPSLLAEWNSYAAARSTEDDAGDGFGIDIEAAVRSANDRVAGTFGVVSKGVKGRLKSTTSSVQSGSLMYFGLFLASGIFLVFIAFTIFLPVMVIMPQKFAICFTVGCAFIIGSFFALKGPKNQLYHMISKERLPFTVGFVGSMVATIYVSMVLHSYVLSVFFSVLQILALAYYAISYFPGGSAGMKFLSSALVSSTVELTQNNGAMFDAAWAAALLSEV >Et_3B_029229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23225663:23226992:1 gene:Et_3B_029229 transcript:Et_3B_029229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQKDLFDVSGPTNCPHHRRSVMASLVQGVYVLERDRQWNRQGPDARAPAWWRFFHFELRHALVDAADGSVFGAVYAFQPPAHLLLDPAAAAAASAPHYVVAFRGTVAKKGSRKRDLELDLQLVRNGLEGTSRFRAGMQAIRDAVAAAAAWGKQRHDRVWLAGHSLGSAIATLGAKAMARAGAALPTFLFNAPFVSAPVERIGDPRVRQGVRIANSFVTAGVAAVLLQRKGKNDAAASFAALAAWVPNVFVNPADPISAEYVGYFDHRRKMEQIGAGAVGRLATRHSVKDLLLGIGKGGCEPLHLFPSAVLTVNRGPSQDFKAAHGIHQWWRPDLRLECTAHYYS >Et_3A_027039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30318007:30320769:1 gene:Et_3A_027039 transcript:Et_3A_027039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARHRSSLSSERLLGSFLPSAAAGDQPASAAFELDEDDIFASGSASPESPQQPARRPLILSAVRTANPSPLPRLRRPPEGILDALPEHRRSAPLSSSSTSSSSASPAPAPPRMIPVAPRPAQTPHIPQSAPVNVPAARLRKPPVAAAMGEAFDEEDEEMLPPHEMVARARARESPMTTFSMLEGAGRTLKGRDLRQHKAPQNN >Et_8B_059906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:408617:414375:1 gene:Et_8B_059906 transcript:Et_8B_059906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQDKEKAVNVQVLLRCRPFSDDEIRSNAPQVVTCNDYQREVAVTQTIAGKQFDRVFTFDKVFGPTAKQKDLYDQAIIPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGDGPKGQLPADAGVIPRAVKQIFDTLERQNTEYSVKVTFLELYNEEITDLLAPEEISKAVLEERQKKTLPLMEDGKGGVLVRGLEEEIVTNASEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKSIKNRPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYIPKDRYQQEENERKAMADQIEKMTASLEADQKQISELQQKYNSELQHSAELSKKLEVTEKCLDHTSNLLSTTKEDLKQAQYNLTVKDYIISEQKKSENALTHQACVLRADLEKFSRDNASLYSKISRGEKLSATNRSVVNTFQTDLASKLDILSSTLNASIDQQNKHLKTVEDLCKSCVDSHDKATSELKKKILASKSVYMSHMEAFQNLLACVEGEAQNIFSDIQKLLTTHRSEMTHFTQELRESFRISLDRTKDMSTFIIGLFDKYLEETSRLHSHSSNNHEAQMKSIEDFQKAYKEQSQSEEQKLLADISSLVSKHITRQRELVDVRLNSLGDAARGNKAFLDEHTSAMDCVTKDAKRKWETFAEQAENDSKVGSTFSAAKHCRMETMVQECACTVDSAVEQWKKSHAAVNDLSKKHVAEVEALTNEQHEADVASCRAMAEEHASNSSKDITQDVENLLEEARDSSSRVVSTVEAHLGELQQLQENHSGQAAGINTHADEAFQRSYKDYQPTGETPVRSEPNVPSKGAIESLRAMPMETLMNEFRENHPYESSKEPKPSLIPRSPLATIN >Et_8B_060367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10372338:10376729:1 gene:Et_8B_060367 transcript:Et_8B_060367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVYPPSTTMKAASQVRQMGRLNKSLREKRAKLYIIRRCVVMLLRWKDVAKSHNTLSTRSSSVLEDPEKLEVSSLNET >Et_3A_024572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22135336:22142187:-1 gene:Et_3A_024572 transcript:Et_3A_024572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVAAAGTAAAARPLGGGSGADMLRPAAARLQPLAAGAARAAWSGAVRGRRDSQVASVISRAPRSDAEVLPVTPDDDLSVKEEANFQHLKAIQQLATAANGVWSKPNVRRKTKIVCTIGPSTNTREMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNASHGDNVIAIMLDTKGPEVRSGDLPQPIFLESGQEFTFTIKRGVGTDTCVSVNYDDFVNDVEEGDMLLVDGGMMSFLVKSKTEDSVKCEVIDGGELKSRRHLNVRGKSATLPSITDKDWDDIKFGVENQVDFYAVSFVKDAQVVHELKDYLRSCKADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRMCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVALRTEATIPGGETPADLGQVFKNHMSEMFAYHATMMSNTLGTSIVVFTRTGFMAILLSHYRPSGTIFAFTDEERVRQRLALYQGVCPVQMEFSDDAEKTFGNALSYLLKHGMVKEGEEVALVQSGKQPIWRSQSTHNIQDAACIE >Et_7B_053573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1049385:1052996:1 gene:Et_7B_053573 transcript:Et_7B_053573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSDVGMGGGGVTGGMVMAPRSVSGTSSTAGPFLSSNTGVISNSSGVGPSRSSSGDVFGSSGTPKYKFVTGSPSDWSDRELSILKEGLVRYAREPNIMKYIKIAAMLPNRTIRDVALRCWWSTGKDRRKKPDGFYSGKKMREMKPMQEKMVTSSLVTNFHMAPANNVTPFSISMQHQNQQCQVPKEAPIVDSATQHLLEENNQLLNQIATNIGTLKAEDNTNLFLRTSNNIKTILNRMSETPGIMGQMPPLPVPVNEDHLNSLLQLNRMVASYGTTRTSHPTKQEPRS >Et_8A_056945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19293547:19297167:-1 gene:Et_8A_056945 transcript:Et_8A_056945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNAIHIIPDAAGPDAWANAAPPAGGDAAIWATEDDYRQWNADPVSGGGGYGDMNPSSRAGSEQPPPGKKPRGGGGSSGGGGGDGSGGGTSKSRAIGKMFFKTKLCCKFRAGTCPYVTNCNFAHGMEELRKPPPNWQEIVAAHEEATEQREEHQIPIMTSASVVAGDGGGSSQAGRAYKGRHCKKFYTEEGCPYGDACTFLHDEQSKARESVAISLSPSVGGGGYNAASANGVQVPKPSNWKTRICNKWEMTGYCPFGSKCHFAHGAAELHKYGGGLVDLDNNAASTPDSKQAGGSAKVPADATAASNAIPPHADVYHLGIQSQRSTIGSQRSGQVQRPIQKWKGPDKISRIYGDWIDENE >Et_5B_045387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20603734:20604377:1 gene:Et_5B_045387 transcript:Et_5B_045387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLQCSSGHMPLGPLFFSSLLLLTSSMETTFSEARRLPLRRLLEIDAATKGQEAAAETMGEEIEGRALIGSRPPRCERVCMSCGHCEAVQVPIVPQVERHKRAHQEQVLSADAVGAAMFSYRVNGITNYKPLSWKCRCGGAILDP >Et_1B_012197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29803284:29808986:-1 gene:Et_1B_012197 transcript:Et_1B_012197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVLVVSLCGVCVTLGIVVTDRNNKSLVKMPISFKYWDDCLDPEDMRLMWQDPNVNKEWTDAGEEEGRKVHLSRDPDGEAYLTQTEMMVSGSVKFDSNISRTTVAVITVHRHFETQLDPYMLGALAEIASGRRLFVDTYDRKTKETKAGMMQVTPEVAQWLFREMGYKNYHIEDNINLLYWPFVNVYFGAAYAKWLFSCDDKQRTEEFVVRAYKGGKKKATHKSTAPIFQRAQFIYWDSKVSEGDMDAMWKHPDVVKEWTKSGERRGNVRFSQDAKKRPYLSRVEVKAVAEITISRHLSSRGVKPRYPVCALSMEDCGYRAYTVISVDDLYNPFASMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPENVNLQETGPFWNQFLEALKHYQDPKKYTSAIMTTFYVHRCKEIQIIFKIFNKLIRTIQAV >Et_4A_032762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13575888:13584622:1 gene:Et_4A_032762 transcript:Et_4A_032762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLIRSSSFRKFWTRIEVVGMPDWRVGEASYWIGRLIVLVQLEGKFKDEFTRSNNDEHECGIGNHGITSSKKLKHTVDSEKSTQGGISAANNSDSPKCNSEHNQSANGESQEINSITDCIGDCKDGSNAFPLREENAGAETRCGTDNWNTAQFALSNGSPILNNHNAQQGGLSYGDNDLNYIDWPGIDNFEDVDTLFRTCDSTYGQEQLDNTNELSWIPSSDAIYSSDVALQQGFDPSYSDYGILDDLSAFTCPEDKSLSSVDPSAALCDKQFGDNYSFSEQKNMISYGEQASLSGGCDGVIGSQYSSENPIQGIEDQKFSIPSASQLSSSQTLLKQRHQLDSSSPSNITSESYPERNCQFSPSGTSFAQRKGNTQAQFQIEYVAVLMLHFTIAVPKKSVNIHSRQPITDNAVNGHPQALTRRASYPCENFGTEKKGLGKRTLGDPQGTMGTSMAVDGSLVSSVSSDNSVEESSFRQLQDAVSQLDIQTKLCIRDGLYRLARSAQHRQVFPNVVNSSGDSQDVEDVQNAEASRKFVDPRSIETQTNPIDRSIALLLFHQSTDQVTGAVDDASSLKSHKKQHQGPAKNEGIQAAPSVYSPRGHGLREHGAQSSLGLLGAASREGTLRGEELLLRLGLLLTGSRRQMKLLLPK >Et_7A_052144.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5057831:5060083:1 gene:Et_7A_052144 transcript:Et_7A_052144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAGGAGGRDERVPQWGVQETRELIVARGELEREAVAARRSAKTMWEAVAARLRERRYRRTADQCKCKWKNLVNRYKGKETSDPDNGRQCPFFDELHAVFTERARNMQRQLLESESGTYVKRKLKRPSGNRSSEESDDEEDCGEETEDDKPMHNRKRRAEDKKQQPRRMLEKSRIGTSSIHELLQDFLVQQQRIDIQWRETMEKRAQERLAYEQEWRQSMQKLERERLMLEQSWMEREEQRRMNEEARAEKRDALLTTLLNKLLQEDL >Et_4A_035627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31500129:31503053:1 gene:Et_4A_035627 transcript:Et_4A_035627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALAVTDEVALPIRAVGDLAAAAEVPREEVAVITQCEALGGKLPYDDASVGAVLAVIKNVESLRDQLVSEISRVLKAGGRVLVQNSSPSSSLMIKAKKASWSLGSSFPLKKATKALPKIQIDDDSELIDEDSLLTEEDLKKPQLPVLGDCVVRATRKACKNCVCGRAEAEQKVEKLELTAEQINNPQSACGSVSLSSNFLAADI >Et_4A_035228.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:13350815:13352227:-1 gene:Et_4A_035228 transcript:Et_4A_035228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAALLVLILVVVMASLAMAIASRRRRSRCYLLDYVCYKPPDDQKLSTETMSAVTGRSERLSHPARSFLLRVLLRSGLGEHTYALRTILHGRDDSPTHQDAIDEMDAFFHGAVAELFARTGLRGRDVDVLVVNVSGFYPAPSLASRLVRAYGMRDDVAAYNLAGMGCSAVLAAVDVARNALLVRGPALALVVSSECIAAHWYVGEDRSMLLGNCLFRCGGAAALLTSDPALRARAKMELRCLVRSNIAADDDAHACAVLREDADDRVGISLSKALPTAAARAFAVNLLALAPRVLPVAELARFAAATAWQKLRRRRGRDAGAGGATTAKINFKAGADHFCFHTGGVAVIDAVKRSLGLDDGDVEPSRMTLHRWGNTSASSVWYVLSYMEAKGRLKRGDRVLMLTFGSGFKCNSCVWEVTGDMADKGIWADCIDGYPPESLVNPYIDKYASHNHVVHGDKASDIQLAGA >Et_9A_062348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22053692:22056086:-1 gene:Et_9A_062348 transcript:Et_9A_062348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSYVAAAAAAAGLKRKNSSGGAAEPERSPSPARAFPPVVLGYDAAGAEDRRVVDEMDFFKTEKRERKEAAERAAAAGGGGSPDDLRIMKDDLTINVGVGLHVGRRKSGSEDSFVDDGTSSDEEHRRGTKAELALTKAKLERMNEENQQLRTLLNDMSVRYQSLQTHLATLMQQRNLRGGLGAPSHELNVDPERKDQQQERSLLPRQFISLGTAPDETSRSVMAVDVDPRGSDCSPSSSKLVADTAGSTPMDYCPGTGNGGMLPLPTFDHHHRRSPEEAQGWLPNKVPKFLPAKGPEPVPEAATMRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDRTVLITTYEGNHNHPLPPAAMAMASTTAAAASMLLSGSMPSADGSLMAGSNFLARAVLPCSSSVATISASAPFPTVTLDLTQTAPAATAASSSSSAQPQRPEPAQLQAALAEAARPVHLPQLFGQKLYDHSKLSAVHTAAGTKATDGGALADTVSAAAAITSDPNFTAVLAAAITSYIGSSSGGGGGGSSGTVQPLVSGGGDDGCSRDEKKGEQIEQLA >Et_9B_064777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18574780:18576402:1 gene:Et_9B_064777 transcript:Et_9B_064777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRLIACCDGLLLYEYCPGGFPHYLVCNPVTRQWTAVPVPAPSSDKLTLPCGFYLHEPTGEHRILFLTNDVESGGIGYAASHFVRSLESAETRRLGPASKALHVMDPLFMDEGCYYRRTFHCLNHPEAKEDAGDVVLAFDTVSETFRRMRRPTAARKWDHLFLMEMDGMLAMTAASRGVMDLWVLEDYSKDDTWTYRLQIDLPQWRTQKSFYINGLFIQLFNKKKTKSIDFRNRSLGLYHLTEKRVLKEIQLRAGARLVFRDSLQRHAFF >Et_1A_004543.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14825299:14825547:1 gene:Et_1A_004543 transcript:Et_1A_004543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLHPRRESHSSTTMASTVRPFCTLVTRIILWHRAPLAYHRVDSAARCRLSLWYWPSHSDGPPPSSPFSNPFTVITAGVSPL >Et_5B_043709.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14389435:14390368:-1 gene:Et_5B_043709 transcript:Et_5B_043709.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGHEIKQAAYTDSVLRGHKGVSLPLSLFRFSPTLRVLCICSEYFVLELPARLESKPQFPNIKQLTLMLRNLSMAYCPDALFLRAWCWTGTPVAVVHVSSLSLRSLKVSNGERASDGKLEEPVVVDAPLLERLIPRIPTCSLGIRKMVHVSLSTMMRSVNILALLTALKPASCYWLAKMLSMCGEVYIVVKLNLFFIKLICATTFYLFYYIVQWGI >Et_2A_015522.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:14451235:14451457:-1 gene:Et_2A_015522 transcript:Et_2A_015522.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIATSEKEHSPGRFDRNNTEITETGPEQLENCPSSATLIFFRVFAREASNYIKHIATSEKERSPGRFD >Et_1B_009859.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:34475161:34475871:-1 gene:Et_1B_009859 transcript:Et_1B_009859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QLAEREHLRAGSEEVVQGGGAVEGEEGVSGGGDGDGGGGGVGVHAGDDVVERLEARGELGGLRAEDGVLGVDGEEALRGEAERGGDVRVLPPELRRLRREVVEVALLPHPRPPRRLAVREHPLRATLLHERPQLLLRARRGGERRRRRRERARASPAPARGSHLDDLLLLLLAASFLPRTFLSCKNGAEQIPLLLLDLFLFHNTTQLDTTPAKKSELFFAARFLSCSQLNTTKDRY >Et_1B_011547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:23528805:23529854:1 gene:Et_1B_011547 transcript:Et_1B_011547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATALMVVLAVLGLAASGSAQLQYGFYKGKCNGSDVEAVVQSIVKARFYREAPIVAYLLRLQFHECAVNGCDGGLLIDGPGTEKTASPNLSVKGYDLIAAIKTELEKRCPRVVSCSDIEILATRDAVALAGGPAYTVRTGRRDRRRSLASDVKLPGSEYSSAQAVAYYARLGFTAQETVLLLGAHTVGATHCSLIKNSRLYGYGGKVNSTDPAMDPSLASTYKKYVCPNVPSSDGVTVFLDDQWSALKVDNNYYKNLQRGRGVLLVDQNLYRDASTRGIVDQLAGNNGLFQSLFAKVLVKLSEVGVLTGTQGEIRKVCNKFN >Et_9B_064952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2051059:2051889:1 gene:Et_9B_064952 transcript:Et_9B_064952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VQVVDGTSTLLWCDNWINGRSIKVIAPNLFEALRPQARNRMSVREALTNRAWAFESLVLLVIWEIWRQRNDRVFRNRANQPAQVAQAIVEELELWCRAGFVERSRLHQL >Et_5B_044767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5637874:5643344:1 gene:Et_5B_044767 transcript:Et_5B_044767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDYVAGATGGVKKRRVDAHEAQGEEAASLPVVRISALPDELRQRILTHLSLKEAIRTGALALGWRDLWKSRWSNHSSMEIHLRSRNDLQKELDALPRPCRRLDCFSLIVDICKLKSTELRRFLDYAAECCVEVLHVETRKSTAARALNFHLPLSSPLLVHLSLSRISVAQMYYKGVQPFHALEVIRLHFVSLTQAAFGKMMGLCPNLRTLDLRGCDCSRLTSLPANLRSVTIVECKGTSYLNWAYAPRLQSFLYSGSLDSGSLYSFLEAPIILPGDATLSDLYICLGYSISGCYLNKQFNKSLPNDLSGLTVLTICSNALPAASPLPDDEATDQSPKLSSLHNLRELQLLMLEMMSDNLADIYVFFKTCQCANLERLFVHLPKSNYESMEASLDEVEEEPPEIDLDNLKMIKIMNFKWHHTEVLLVSFLLKKAISIQQLVLVSPNLAPLDAPGVDEADYLLLKEALAYGKIMLSESDNSATQPYHSEVFIEFPCILGPVGPSPTNSFSTSFASRSRVERGRILATRLISRPMSYSSLGPHPRSYPSHAGSATAPAPRYSADTTSHRPAVSITPPLAQSFRMPKPSLASPCSTVPARGDAYATGLPFPSPPYSSCDASTQAPALCCERYNRTSSPEKLLTRSVTCSQSPTCSPILPSGIVRGPTLARAGPLNGSVAVPPSIGFACTYAVAPARSPLLTLSFWFPSDGRSSQYAPSLPPPCGRFDPSAATGVGAPPPFWYSSAPFSKNHGTDDGTHAKVEGLAGVTLLERAGVHAAGVDAARRRPQGGNTEAVVLATAPHGLLVLARVGEVGADAPDLVERGLRRRGRDHRANGPVAVGRRGQPEEAGAVRAGPDQRVVERRGEDRRSGTCVGAGSVSADEHAAEERPVVGLRVVAEHGEAGGHRRRLEEGGAEAVRAALRGGPDLGECGHLAHL >Et_9A_062267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21294548:21296233:1 gene:Et_9A_062267 transcript:Et_9A_062267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPAAAAAAAGEGGLHGDPTAGFFNPFDHHDDVDSFFLRRSPGIPGEGGDGLAAYSSSITDYLQGFLQDDDGVVKQEMVVQAAVSGHDDRLPGASATPNSSSSGAVCGEETRRRCKKGTPEEEEEENDDEDEEGSAADRSCKKRSKGEKKKKERLPRVAFMTKSEVDHLEDGYRWRKYGQKAVKNSSYPRSYYRCTAARCGVKKLVERSQQDPSSVITTYEGQHTHPSPVGLGGRAASVRAFMQPPPPQLDFPPSPMMQQRHHGFMPSSLLPSGNGIPPPMMHLLQQERRTSSPLVAAYGGAPGLVVPSAAIGDVKAHKHR >Et_9A_061758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16126512:16128396:1 gene:Et_9A_061758 transcript:Et_9A_061758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGGISVSSAPPGTEFEAKITTTMVISCVVAATGGLMYGYDIGVSGGVTTMRGFLHEFFPSVLKHDGNKQSNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLMAGSFFIIGVIVNGAAQSLAMLIVGRILLGCGVGFANQVVPLFLSEIAPTRIRGGLNILFQLNISTGILFANLVNYGANKINPWGWRLSLSLAGVPGALFTIGALVLVDTPNSLIQRGRLEEGRAVLVKIRGTNNVEAEFNEILRASRIAQEVKHPFRNLLHRRNRPQLVIAVLLQTFQELSGINAIMFYAPVLLTVLGLTSDASLYSSVIIGGLTYVLSTLVSVYTVDRVGRRMLLLEGGVYMFLSQVAVAVVFRIKVTDGSDDLGHGWAVLAVAMVCVFVSAFAWSWGPICWLIPSETFPLETRSAGQSVSVCANLLMNFVIAQAFLTMMCRLKHAVFAFFSAWVLVMTLFVLFFVPETKNVPIEEMTERVWKKHWFWKRFVNEEDNHLIGNRYGNN >Et_6A_046476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15738804:15742789:1 gene:Et_6A_046476 transcript:Et_6A_046476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPAVTIRVVILVAVVSSAASAPAGNVTASPHKNTTAPLPPFGTNHAVGEGTGWFFDWKANASATNYTSWASNRTFYLGDYLSFKTDTGNTVVHTTNATVYKDCVAGGGASGSSGWKPEVAFLAVMLTAEGPNYFFSDAWDGEHCRKGTRFEVAVAHGRGLPSVPPSYYEPLSGAPVGTSDGGAVAVWIATVAATALVFVLQIV >Et_4A_035889.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:801384:801986:1 gene:Et_4A_035889 transcript:Et_4A_035889.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRAYLPTDTCPKPHPIPLTHGVAWRGVLVPAHSPCATLRRACPLYKPPPPILRKRTTPTLAPNNRSHKKPSLRSDCISSSSMARRSLKGLPWVAAAADAASWCFALSVVALLLVCSLGAGGVGEQPHRQIGGDGAVVRGAALSARPCEEIYVVAEGETLHSISDKCGDPYILEQNPHVHDPDDVFPGLVIKITPSKPR >Et_1B_011156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18104774:18109147:1 gene:Et_1B_011156 transcript:Et_1B_011156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQKLKAPFLAHRTNPSISVTEQSSGSIAVRGSLLALRESEEYKIRPRTPVLDVAKGGMSAQASNHHPYKCSDDSQMAYYNNSMPSGENGRFYAMQNNLDHHYSSSDDGSQRINSSNPQVFDAQYCTLESSSGNGVYPAQSSTSSHSISPISGSPLSQHDSHSDHTYNSPPSASCLTEVADLQVKLKELENAILGPELDITSDSPESFLQTNVPLRPDNWKQLLGIDTGDLKQVIIACGKAVAENDLFSTELLISELGQLVSVSGDPMQRLGAYMLEGIVARLSSSGSMLYKSLKCKEPTSSELMSYMGLLYEICPFFKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWITLIQALAARPGGPPCIRITGIDDSNSAYARGGGLDIVGMRLYNVAQSCGLPFEFNAVPAASHEVQLEHLDIRPREVIAVNFAYQLHHVPDESVSMENHRDRIIRMIKSINPRMVTLVEQESNTNTAPFFPRYMETLNYYTAMFESIDVALPRDDRRRMSTEQHCVARDIVNLIACEGAERVERHELFGKWKARFAMAGFRPYPLSSVVNNTISTLLRSYNSGYRLEETDGVLYLGWKNRVLVVSSAWC >Et_3A_026338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7004221:7008432:1 gene:Et_3A_026338 transcript:Et_3A_026338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHIDTATRRVVFAETTKAAVDYLHSILESPHQVVSLDDDATVSAGCVRNLHTSVKELAAEAASAAPLRPPPKKRRRCSPSPVVAQAKRVFECGFKRGPACGGFVTEKSGVACPCCGRPMATAVPDGEPGAGCSAAAGESAPGPPPVRCLLMDDLSVTPMDWFGDTMSTMFLGSIAAVREITVQLGYSEPSSNHPPPLSMRLVVDSAAQRVVFAEAGKDVADFLFSLLALPLARVAKLVADTGGGGGDGDGELGAVGNLRRSAVAMDPAHVQPGAARESLLHPVVLAPPAHTAHSFFPLKRKLYTRRGIYSAGCGAFFSDASGAACPSCGGAMTTVTRYVPPGWHWQQATRGGVAPAAGARRGQGGGGESGDGGFVRGGAATYVVKDDLTILPAPASAVSSVELLTSSLDTHAVGRKAELQVQSVMFGRAESKEILKAALHISRPCSPMSSFAGCKFR >Et_4A_034003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2958669:2963709:-1 gene:Et_4A_034003 transcript:Et_4A_034003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDLKVHEIAVWKCLIPSYVLIPIVARRHQAMDCPDPNQSAVAGLPNDLFLEILSLVALDHPRFKCVAKAWCRLIDELLHHKEHPRTLRGFFFMDEAIWNWDGGGSDGGIRGFLELLPSVPLSIDPTFTFLTKLPWIHSLDYMTTCNGLLLFEHTRGGTDDFLLHYIVCNPATEQLEAVHPYRYRPQPIALSRSTYLKQLGAFVNSMLHVIVNVDGEDRVFAVDVQGKIHKIIPLPVPTKQDCGTCIPDRIIANSKGSLYFVDKGSDAQLSIWVLEDYEAEKWVLKDTVTYLDLFGNDGCKPLDFNLVTVHQDCSIAFFRYNKHLISYDMDRKAVRVAGTFENFFLHMPIIPYVPYFGWLFGWLAGSLIDGIHKSKAAELGLSKTWHKLNGSRSLGCEDAIKQ >Et_10A_001388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2520630:2527246:-1 gene:Et_10A_001388 transcript:Et_10A_001388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPARSIEAPSSPARNLKPSKAMDLVREGVEQEVQISSRYLLVAVMDAISSINAPVFTCSMVPDGSGYNAIVRIDVSTTQDKSDPERKLHKPGASNKQCSESLTEALIYLATKRFVRVKDHSNKVAKRYPDREKWGSVNSVSSALEKAFQCFNTTQVEVSVVLDCVVPVPVLLLVPPVATEDKSSGGGGVKVATGILGGRLGSGASDRSTFTACLIDGLMLRMRAPEPDDHHRLHLRRVELSVQPRVGGVKDGAPAVGLPHPLHEVDDHVSPLRHHRLPAAGDLEEHDAEAVHVRLGVGPAGDHALRVHVPHGACEHCRVRDPAVVDQPGEAEVAELGVEGRVEHDVARLDVPVHHALLPLLVQVQDCRCNAQHYLVPARPWQRQSTDAVEVRVEAAIGHELVDEEQFVAAVVPAYKLDQVSVPDPADDAHLRGGNWRHGRREARQRRVEPQHVDGVPDGWPKAPVRVCAPEPHRHHTLHLLTLVISGEPGVRRVEKLPGAVELPHPLHQVDGAPVLQRHDGTPAAGDLQEHDAEAVDVGLHARPAGGHALRVHVPRRAFQRRCPRPPSVIDHPREPEVAELGVKGRVQHHVARLDVPVHHALLRFVVQVYKRGAEPEHNLVPNRS >Et_4B_036577.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:4962690:4963034:-1 gene:Et_4B_036577 transcript:Et_4B_036577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTFLFLAIAAIVSTVAAIPGGWGPIPAADINDPHIQELGSWAVAEHLKVANERLRFVRVVRGEAQVVSGMNYRLVIDALNLLAGKDFMYNTVVYEQSWTNTRMLVNFTQATF >Et_4B_037677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22440368:22442943:1 gene:Et_4B_037677 transcript:Et_4B_037677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGSSPADPPHPEPDPAAIAALRLPADLLRAVLQRLPPADLARAACVCRAWHAVASDRAMIEAAFRAPWGVRRVVGEPATRAFWRAASLERFALSHAVRRGDTVPGVALKYSIQVTDIKRFNNMMSDHGIYSRERLLIPISDPKILLGSTCYIEMDHNAKREVAVFYPEGRPGGKAEPSSRTVSADRRSQRILESVRRSLRVDDGTALYYLSITEGDPRAAMMEFSEDLTWEQQQAGH >Et_2B_022842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6538347:6542622:1 gene:Et_2B_022842 transcript:Et_2B_022842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAISFIDPQKYCTWEAARQEAELVVFSAIDDLFAKTNTDPNAIDILVVNCSGFSPTPGFPDMIVKKYKMRSDIRNIHLSGMGCSAGLISVELAKNLLQAMPQGARALVVSTETLTPNYYSGNVRAMLLPFCLFRMGGAAVLLSTSPSKARFRLKFITRTLTAANDKSYNCIQQQEDDKGNKGVDLSIDLVNVAARALKSNITTMAPLVLPPSEKLLFALSFISQKLLKRRVKLYVPDFLTAFEHFCIHAGGRAVIDVIQTSLGLSDEHVEPSRMTLHRFGNTSSSSTWYELAYVEAKGRMHRGDRVWMIGFGSGFKCNSAHPPARFVSSCHDALKLWSDTCPLRPQSFPQESSETDELTFFIFLDLVHTTPNHYYRTPEDRRVREI >Et_6B_049501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5781411:5782718:-1 gene:Et_6B_049501 transcript:Et_6B_049501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRRRKSAGNGLTALALGLSKHLGSDDGNLVFSPLSVYAALALVAAGAGGDTRRELLAALGARNRGELAEFVSGVADRALTDRSSSDGPAVAFASGVWHDAAWALLPEYRDEAAESYKAVARGVDFKQPDKAIKEINSWVASATNNFIPSIVNETSVGPDTNLVLANAIYFKGRWETPFLKAHTTVDKFYRLDGGAVDAPFMSSGRRQFIAVRNGFKVLKLPYKSSSSSSGTDDELPRYSMYVFLPDARDGLPALVDKIASSGGIRRDHLPEKKVAVGDFQLPKFKLSFSDSLRRVLTDHLGIKAAFDPEHADLSGMASCDDAKGLPLYVSDVLHKAVLEVNEEGTEAAAATAVIHCYTSSGMSPPRTVDFVADHPFVFFLIEEVSGAIVFAGHVLDPTTLGLQ >Et_8A_058477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8922803:8924713:-1 gene:Et_8A_058477 transcript:Et_8A_058477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPTKDPHPEILNHPDYKGSPRKLVEDKTNQVPKVDCEGCFMSVWKKDEHITKYYHVCCAVEPVYQPSLKHPEFGDLSFCTQPPTDKTKGVKPATKPLKRLCNVCKKPVNGFVYHGEKARFGIHPCCVFKESPVKCSDSQKEDMYASFLSSTFPRRFSTSASHVPYVKSKSN >Et_8B_058574.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:2085377:2085661:-1 gene:Et_8B_058574 transcript:Et_8B_058574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding INGTHCCFFPLVFFSAGNLAGEFQSCCPEPALGEPGFTGDSLSFEDRRLNDSTLSLVLGFFSLVSTFLSARRTFKETWLLSESTITSLSAISSF >Et_7B_053676.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1162251:1166212:1 gene:Et_7B_053676 transcript:Et_7B_053676.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVAAPSCSTARGAAAASAATAGVPTPRRIRRRMELTGAGASVKPGRGRERESSRELGEEARARANAVLGHAAAAMWLWCGLNVLITKLEDDATVAPCLSSHHDTIQYTRRHFKPWAGKDDDRVNDKAPPLRPHRSSPSLPAPPLHSPLRGRASRVRTARFRCSSSFGFPSARVPASEEAVSEMSLQPSERAELRRSGFKASACAGEPGRLRREHITVEIRKANRNNTLLKRRRPAGGAEAASQAPVALERMLEALPLLVQGLYSDDSSTRLEAAMEFRKLLSIENPPIEEVISTGMVPCFVQLLDREDCPELQFEAVCALTNIVLGTSENVKVVVDHNAVPIFAVLALGKVAGASPLFRDIVLAHGTLFPLLQRLNGRTKLSILRKATWALGNFCRALSTANFEHLKPALPMLRRLIHSQDLEILNDACWALFYLSSDSEENIQAVIESGVCPRLVELLTHSSPSVPIAALHVIGNIARGNYVHIQCIIDHQALPCLLNLLTTNQSKCIKREVCLIISDIMAGTKDQIQAVINENIIIGPLVQLMQTAEFDVKKKAACGISNATAGGTRDQIKYLVSQGCVMAFCNLLGCADTCILIVCLKGLENILKVGEAEEHHSELYGVNMYAQMIDDADGLEKIENLQIHDNNAISGTAVRLLMSYWLEGDNDVPCFDPFLPGLDEALPFGIDVGLSALPGAFDSG >Et_4B_040105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9862878:9865327:-1 gene:Et_4B_040105 transcript:Et_4B_040105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAAPAAAPLTAARPSLAETEVSSTTSKRLTFRFRSVPMATTAAAAPAPARAVLRSLGAGEEAGRREDGRGGETLALFWKGRSIYIWEFAAQGRQDSLRDVVALCAISTDIMSPSEWGGQQVKRVVRGNSDTSQVPCLATHEMRPEAEVEHVTPPIASHRPVQTKLSNQPNKRTTSSSRATQHAAPQPGSHRDRRRRALRPRRRGKETSYRAELAAADGRRLAGGPMGGSLVSMLRWPPDLGLPSLAALLPSPPALLRLQGWWQQQQLPRPEQLSAAARRWPELVRDVPLLLDAALWGVVTAVESVALVSMMCCFFLFCGCTL >Et_3B_030923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8929847:8932184:-1 gene:Et_3B_030923 transcript:Et_3B_030923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVCATLCAWCRSDGNEFAELLWENGQAVVHGRRKQPQTAFPPFTCGSSSSSKVQERHPGSGDPVALLKTGGVYGAGGMAPSAHDFCSGLDAARGNGDLDDTVPWIHYPIMEDDDGAAPALAGSYSPDFFSELQAAAAANLTSLPPMHQTANNNRSNGVATSTREQEPSKESHRMPAPATGAEPRAEFTAAKQPRLGGSGEALMNFSLFSRPAAMARASLQQSVQRPPPPLGTDKASNVTVTGSTRVESTVVQASSGPRTAPVSADQRTAWPHAKEVRFACAAAPTTTAGVQQQELPRDRLGNNMALQRKVEARKAPEAAVAASSVCSGNGAGIGNDESWRQQKRKSQTECSASQDDDLDDESGGLRRSGSRGTKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLDEAIEYLKTLQLQVQMMSMGGGLCVPPMLLPPTMQHLQMPPMAHFPHLGMGLGYGMGVFDMNSTATVPLPPMPGAHFPCSMIPGAAPQGLGIPGRNTLPMFGVPGQAIHPSVPSVQPFPSLASLPVRPNLNPQVSATMANMVQEQQQVANQQQQSLNNEGTQGANTGDPQLQTILQVENQHFSVPSSTQSESSQFLDGGSNRTNTAERTGAES >Et_2A_015584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15423788:15430484:1 gene:Et_2A_015584 transcript:Et_2A_015584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTLVTVAMYVFWKSWAWWPNKEATLWKTTLLDIVPGILKCFEKPWALKNASITSLTAMSRTIENDGGINSLDEYVEAAIGWQGPLLQYDVDRRPYAILVDIVYSYDDRLRNLNYMLRNNMDRNEVYELVQSGLSSAFDRLYTKERVFRFTPNWCGPPRFVVDRARLGTMLRIIVTCMLVQAIKVFDTGNYRSYNNNDVMVTYILLGGTTALEYLIANVKPFLECCGIVFQLPWPNQVAQHNLIGYMVRNRRHRVLRKLASLLGCKGTLDRLGSMKSCMQGWEQHILDRDTYISFNNSRGQRTLEGHPELFVYINRPFDETVLLWHLATDFCFYSMDDTDEIREMSRSSRQISNYLTYLPFVNPEMLMTGTRSSLFKTAYGDLKRLIRLDATLDEREIRERIVEVCRGYLHAKSLGKGGEYLSNVWLLLSYMGMETLTEAAEDGAACRVGRRVMM >Et_4A_031914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2975622:2976090:1 gene:Et_4A_031914 transcript:Et_4A_031914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCTGRHGWQPPHRLRHVGGDEPGPTSPRRAAAVDEAGSQLVYPSSGATPLLPRLMTLLADVQCPAERERQKVHALAKTRKSRSAAVIPRRRPPPLRLLGQP >Et_7A_051620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:21462763:21477381:1 gene:Et_7A_051620 transcript:Et_7A_051620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGSENSSLKMEMKEKVVAVECGPAAQQVAANQSHQCGLLDGKVAIIMGAAIKNGRKIAETTNDGRVNIFYHHAESNLVSSGSGCRILEATMETNVRVVLDRIDHVGNLMRATGGCILFGSSTMGLLDEVMSSAYSISQATCMSVIRRKAAKSRSTGSVDQHVLSTIFPNATGVGKQQLNLMADNYMAKEATKEEVAEVALFLASNYGKSVTGHNLVLSGKFTTRPNGFGLSVVTMNVTPMESPTPPPPSMATATTTPTSGQPLTFAPFAYPFLRPNTPFHQSSPNAAATAPCSLCLSGRIWLLPASWSSRHKDT >Et_4B_038772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4788122:4788816:-1 gene:Et_4B_038772 transcript:Et_4B_038772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFTDRGGYSKFRTPSLLVHPVHSHRLRPPRGATVIQLNAADAALLYRSRSRTWTDIDDVLANSLSRGTFLAIVDEGGYDSWRGVERFLLSPPASWAVASAWDCGGASRLRRGAKWLRVPSVPNLFRPFAGWFVYGLGGDGKEAALAAEALFASVVNAARGRAAVVAVEVAACDPLRRRIPHWRRLSCAEDLWGMKRLGGAGNQVNGWDWSRSAPGNSIFVDPREL >Et_4B_037759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23150940:23153035:1 gene:Et_4B_037759 transcript:Et_4B_037759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEEEEKATVVVDKKRVEALQERRCDSRGRGGVYDPVLGICCHFCRQKKLCGEEDCKRCGEGDLKQRCLASLIMASIMINLDRQDGVLILPFFKWNTLPCLPQKMEEVRKNKNWMCPHCIEEKGIKKFWICNSSFCLKKRKIAPTGIAIYDGNSGSVLFNTHTRIASSHSPEFLLLSQSHFYLRAHHIFIIKTFSISKNKATLSCKTFMVTSEREQILPTFLLKGYKSMGQTNTPDWAGQ >Et_9A_062121.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19857868:19862848:-1 gene:Et_9A_062121 transcript:Et_9A_062121.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGACFLLDREVESSDPRKEKGKQRSHDHDQCQETHPDGEEVAKNGGCGKEAAHDAASQRKRRPIDFGSQQGPLCGPPNTSLHPQRNNPSQEQFSVSDLKQKEATMISAKRIAQLAKKWQRMAALRRKQLAMKSKEGEDCSIAVAGKGHCVMYTADGRRFEVPLVYLGTTVFTELLTMSQEEFGFSGDDGKITLPCDATVMEYVLRLLSRNVSTEVQNALLSSIAMPWHFTGCVAPSLGIVSHQFAICSS >Et_7B_054322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:247756:252107:-1 gene:Et_7B_054322 transcript:Et_7B_054322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLCRRSSPISFLLVLLSLSFPLFFSPFPAATAVGGCPLDFSWANFTLASAACSDPTQRAACCRYINAFVAISIARYANATGRLGVPPAFADMCLRSVSDTFKLQGITTDADVFCGLGPKIRVSYQCAGRDTVLEMMQSPNFNDVIGSCRGPLSLDITCKTCLNYGIVYLHRLIGSDDNVGLSVCRNAVFVTLATQEGILSYEDIVKCFFGVQGITTFPGPSSVTSTPASSPNVTVDSPAPRITSVPQKHQQHYQITVVPGIGIGVILLAVLLQLVLVVLIRRKSRELKNADFATQNPENTFHQSQSWRCPEGQSPMFQRYSYKETLKATNNFSTVIGKGGFGTVYKAQFSDGSMAAVKRMDKVSRQAEEEFCREMELLARLHHRHLVTLKGFCIERKERFLVYEYMANGSLKDHLHSSGRKELSWQTRLQIAIDVANALEYLHFFCNPPLCHRDIKSSNILLDEHFVAKVADFGLAHASRTGTISFEAVNTDIRGTPGYMDPEYVVTQELTEKSDIYSYGVLLLELVTGRRAIQDKKNLVEWAQMYLSSGVISLEMVDPRIRDLVDIDQLHLVIGIVQWCTQREGKQRPSIRQLLRMLSERLDPGNGSFGEGMEDAEGGFYPRSSKSGVHRNEMIPHSGDMRSLHSSSSTTRSYCSRSMLLESGQAQSPPETL >Et_5A_042329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8837496:8839537:1 gene:Et_5A_042329 transcript:Et_5A_042329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNPQTAVFAAKREAARKRGGKKPTSVLLLPSINSPPFSPLFIGGIQAKKREENQGLPAPDQLVTLTTQVFMSDLDVQIPTAFDPFAEANAGDSGAAAGSKDYVHVRIQQRNGRKSLTTVQGLKKEFSYSKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSNFLVQAGIVKKEHIKIHGF >Et_1A_004674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:367204:367749:1 gene:Et_1A_004674 transcript:Et_1A_004674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLDGNIGDLKEFHLLVLTSLQQRRTMKAQANQRPLLIPMLLRQEIRKWSNACIVSALVVQDQCHN >Et_1B_012301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30627328:30630166:-1 gene:Et_1B_012301 transcript:Et_1B_012301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVARHFGFPPPALPPTSRSRRRAAFLAGAARGRIKRSSLCNLSCLVSRTAKASVSSTGPSAGGEDVNEIIDAVEIKSTTTGASFLAKVAVAIGIATAVTVILLYMKQPSSGPSFSLPQIVDTQSDNAAATIGYTFSLFGKKVIIPEYTPGWVYFLLLMAAGFGLFISEEALNVWVGISLGRTLCLDGTWQSFVNSFSANASYIISTVLWVYWGVCLSDMIPFCLGKLFRQTGASENISSKIGIGKEQALSITRGVQKHGNLIGFVERFSIGVRNPTAFLAGALGISADCFFAGVCCGCLFTLPVQLAVGFVLRERPMVALASVAAAVGIWNMFPYAAAACTALFLYLRQNKSST >Et_6A_048025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3942556:3947382:-1 gene:Et_6A_048025 transcript:Et_6A_048025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSPSPAGAAPASPSGSASASASDPTPSWWESVSQARSRILALSSILPPPAAHDVAALADSDRPARALLRSPAAYAALSDALRSGGGADDPACHWLYDTLLSADPDLRLAALAFLPLLAALYLRRLPPELPSSLSGFEAVLLAVYSSEAKSRQGKPVLVQVPDLSVPSLYHTPASTPTTKSPRRPQPPPIPPPAENPVVGVLSPPLEPQAAVKSTKRAGIIGVAFEAYYSKISQMPAASKVDACNAVSAWAGQYCQCRFELDDKDLEEEDGDSLGSVSPMSSETENGKQLEEELAGMRVNGDSSGRNCNNEEEVKEARVPLPWELLQPVMRVLGHCLLAPLNPVEVRDAAAEAVRVVYARACHDLVPQAILASRSLIELDKSARKAAKAAAAAASGTIVVAGTAGSTASSSRPSSKPNTPSKQRKPDMLLTIATKLTRLMKLICNIGVPLFSMDFEPQRKQLY >Et_7B_055984.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:8816921:8817178:1 gene:Et_7B_055984 transcript:Et_7B_055984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFRLRRTLVAAAASSSLLRPAASPPLSQRLPLASIVPLLLPGAAGGFQSRAAAVRARGYSGEAEDNEIPLPMGATTNIGSSR >Et_6B_048923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:15592033:15592832:1 gene:Et_6B_048923 transcript:Et_6B_048923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKMLPIAAALLFYASMALSRAAAAVVEHTFVVKQVYMRHLCNDTLVTVVNGQFPGPPVEATEGDTVVVHLVNQSPFGITIHWYV >Et_7A_052361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7181156:7187177:-1 gene:Et_7A_052361 transcript:Et_7A_052361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GHPPPPRQVSLPIPGRRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKALEEKCTPKEICDKYHKIHDEVYKWFDIKFDKFGRTSSPEQTEVCHAIFHKLMENNWLTENTMQQLYCDTCQKFLADRLVEGICPNKTCNAPARGDQCEICSTMLNPTELIEPKCKVCKNTPRIRDTDHLFLELPQLRDKLVNYINETSVSGMWSQNAIQATNAWLKEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYVSITAGYTPDWEQWWKNPDNVELFQFMGKDNVPFHTIMFPSTLLGTGEKWTMMKTISVTEYLNYEAGKFSKSKGIGVFGNDAKDTNIPPEVWRYYLLMNRPEVSDTLFTWADLQAKLNSELLNNLGNFINRVLSFVAKPPVSGYDSIVPDAPNADSHPLTMTLVDKTSKLVEQYLDAMEKVKLKQGLKCAMALSGEGNAYLQESQFWKLFKEDPASCAIVMKTSVGLVYLLACLLEPFMPSFSYEVLRQLNMPTEDLSLSEEKGEIAKAKSPWDFVPAGHKIGKPAPLFKELKDDEVGAHRDKYAGSQAERSSKAAADAEANKLAKQLKGSKLADGVPKKEQKKQSGGSKSKTADADVSIAKLDIRVGLIKKAEKHPDADSLYVEEIDVGEEAPRTVVSGLVKFIPLEEMQNRKVCVLCNLKPVAMRGIKSHAMVLAASNEDHTKVELVEPPESAAVGERVSFAGFSGEPGASLSGKSKTWEKLAADLHSNGELVACYKDVPFTTSAGVCKVKTIANGEIR >Et_10A_001253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22613784:22623334:-1 gene:Et_10A_001253 transcript:Et_10A_001253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFFSFAYYQQDATVKGKANHDQETVHEENPKSCELECGSYCLWSVEDREVIKDDVVKRLKDQLFMARAHYPSIAKLKNQERFTRELKQNIQEHERMLSDTIADADLPLFFAKKQEKMEHTIERARSCEVGCSSVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTMPKTHHCLHMTLTVEYFKSTSIHMDQLNKQKLESPTFHHYAIFSRNVLEASTTINSTVMNSKDSGSIVFHLFTDAHNFYAMKHWFNRNSYLEATVHVTNIEDHHKFSEDVDSLEMQQLWSAEEFRVTIRNHSEHSQRKMKTEYISVFGHSHFLLPNLLPSLNRVVVLDDDLIVQKDLSSLWNLNMGGKVIGAVQFCGVKLGQLRAYIAEHSFNINSCVWMSGLNVVELEKWRDLRITSLYGQLLQKKDSLTSQQLRSLPASLLAFEDLIYPLEDSWIQSGLGHDYGISLAKIEKAATLHYNGVMKPWLDLGIHDYKSYWTNYMTTRERFMTECNIH >Et_9B_065602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7846317:7862463:1 gene:Et_9B_065602 transcript:Et_9B_065602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMLKRAAYQSFLFDEVTSLFALIQQFVVTNSCCYLLRLFALSTTVCCCVLLVLFTGIAPFYVGGGGSVRFVGVQLIGADAHLEYMDGGGFDLVVFSDKRPGAVQSFLKRVPLLLHSSQKSSSPATGGAAAEPRPGLLRPVIMHLSLLSRINGLSVGCVRQQTLLTQIIQLADWSPLMVKACTYFKKAVSFVIASDQICWLLVAGAFDATDACRDLIAGPLEVHGNIIPNSIPLWRRSYGPLKRSILLCWSAMLIYFTIEPMISILRCFY >Et_3B_030462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:395321:395873:1 gene:Et_3B_030462 transcript:Et_3B_030462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAARSLLRSSGSLLRGAPARSASSSATRTSLKRALAASPRLLRSPVEASFCVESLLPLHSATAAARMKSMLAVPGRGLGWLTEGEQKLLSYLPLTKIQRLQCMSICPTQTMPSIGLYFSCVDIDPMSIATLLINQYPRVTTSSNLDAMLNSL >Et_2A_018421.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27463122:27470433:-1 gene:Et_2A_018421 transcript:Et_2A_018421.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLLAALAPSPPPSPPRRESRRQPSAVRLASGVALAAAAVTAAAAPPALAALSEPANALSLPTWAVHVSSVAEWYRLCLCFLMVTSKILVRFLLLRMVTAMWLVWDYGERTGLKGWKGLSWGMVPLLGGAMCACTWHFFYNSESLDVLVALQGALTVIGNITMCIAAYRIFKASKEGSQKRSRIRMKPPLGQIWIE >Et_3B_029917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29057802:29066033:1 gene:Et_3B_029917 transcript:Et_3B_029917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVGGVASRSPVSDRRMVLYDRPNALAPSGAPGEPLDDMVCTYHCRQMVKSEFMVALNTAEKQVQEYQAKLGALEEQLSKSEDERMQFQDKLNYVEQELAATKGRESALQERLLKELGDYQERYRDQVKKINELEAQLNKETESRISAESSASSAKESIKDLERNLQQLSESSEREKKSLKKEFSYLKDDLTLSVSKLNAELERMRLRAENSESEAKLLNEQLVDLKKQLAECLREKNEMEHKLLNSSASSVLRAPTDDQKLIKLLQEELRNFENEVCEARRLKSSHTNAVLLKEKLLEEQGRRERAELELSKLQEIEAKAHKLELELASYTALLSSIPDVSSIGDIPQKIADFQKEALTNLNKLGEVTSRLKELEVALEFANLSKQHAEGEATLAKERAESATREVKRLEHMLAAVSEERDRLRKDQTKQKPRDGDDTPFKNTDSDQSGMEKLIKELESTIHDQKEVINHKHAELNIMNERLNLEARKVKSLEREGDQLRSQVALLESKLGHGDYSASSTKVLRMVNTLAVDGEAKQTIEALQTELKKTKERLQAVEELKGQADAGTVVDANIAEKLAQLKNQIATLEKREERYKAVFAERISVFRKACCSLFGYKIVMNDQQQSNGIPVTRFILQSVYAQSDDEKLEFDYESGSTNIVVNDYTSQQEIAQQVEIFIRKMNSIPAFTANLTMESFNKRIAPYPVRQRLQ >Et_5A_040956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15621970:15626497:-1 gene:Et_5A_040956 transcript:Et_5A_040956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGGSRRPRPNVLMTGTPGTGKTTTCSLLADSAGLRHVNIGDLVREKSLHDGWDEELQCHVINEDLVCDELEDMMEEGGILVDYHGCDFFPERWFDLVVVLQTDNSILHDRLTSRGYMGAKLTNNIECEIFQVLLEEARESYKEEIVMPLRSDNVEDISRNDAHVKREGFTIVTPQQKPPLGGSDF >Et_8A_056227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16826623:16828065:1 gene:Et_8A_056227 transcript:Et_8A_056227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCLGAGYVGGPTMAVIALKCPDIEVVVVDISKPRIEAWNSDTLPIYEPGLDDVVKQCRGRNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGKKAVQALKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVASKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAQISIYDPQVTEDQIQRDLAPTSPTAIKQVSVVWDAYEATKGAHGLCILTEWNEFKTLDYQKIFDNMQKPAFVFDGRNIVDPEKLREIGFIVYSIGKPLDAWLKDMPAVA >Et_3A_025363.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29219162:29231830:1 gene:Et_3A_025363 transcript:Et_3A_025363.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTNKGYMLTLTHLNNQCGTIPTTSLHFWASWAETTTTRLQAGWGVTTPYSTSVQHGFNALRYPSHYYKHPQTTNDSSVRQGVEQRSGAAYQPLTSFQNSGSYVDPTRHTYYNAGAHQTAPGYATCNHYQHQNYAWVGGSSVINHAQSYQSYTPSDSNAAQSYSSLPINSFHYQPYSYDQSAVISGIWASKTSIVGSDYVHPSNQPPPPATTSWKNDFGTSSASPQQAPDIQGFQNQYASQAPVAPGFPNQYVNNAAGIPLFQSQHASQAPAYQHGSVNYNKLPLCNQADQQQAFHVQGSKSNVSSANHVSEKSHPTWQDSVTSDVHRVDKIQIQTNPQIVPGCRTGMPKVEKSNVEADPSPKPAYRSASMSKTDVKSMQGASEAVMQARGSFPVSLRSYVERNLACCKDDTQRTASRSILKEIITKATTDGTLHTKNWDTEPLIPLPENATDTNMTSIVKDSSPFSIPSSGRNPSRRTRSRWEPVAEERITNNVDVPKESAKNNIFTSLEAAKRMDNSWDLNKFVQAHQAPLSPCGQRAAKKHRAGDNANLTENGDVSSDSDKEPDLTKYYASSIALANSPEETKRWDSRSKCFEGSQASSKSRSIIPGKDATTNTYARGAMSPLLNRSNGDGVVLAVEDLDWDALTIKGTCQEIEKGYLRLTSAPDPDTVRPEEVLEKALHMVETSQKELSL >Et_1A_006685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26329778:26331876:-1 gene:Et_1A_006685 transcript:Et_1A_006685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACRGFFECLLKLLNFFLTCAGLAMVGYGIYLLVEWMKLSGGGANSPAGVLVFGRPLLTAVPLGDAGDIFDHLPKAWFIYLFIGVGAIIFLVSLFGCIGAGTRNTCCLCFYAFLVILLILAEAGAAAFIFFDHSWKDVIPVDKTHNFNVMYDFLKKNWEIARWVALGVVVFEALLFLLALVVRAMNKPAEYDSDDELIMGRNTGRSTSIRQPLVHHSQNVPATGVPVPQLDQRASRNDAWSQRMREKYGLDTSQFTYNPSDTSRYQQNGAALAEERSRCTIM >Et_5A_040472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24672543:24673976:1 gene:Et_5A_040472 transcript:Et_5A_040472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAELGGSLYSALKRMSDATVVDDKAFDDCLNDISRALLQADVSFNAVRELLSNVKSHVRLDDLPPGANKRRVIQQAVAAELCRVLDPGTPPPFAPAKGTPCVVMLVGLQGSGKTTTCAKYADHHRRRGFSPALVCADTFRAGAFDQLKQNAAKAKIPFYGSYSETDPVRVAVDGVETFRREKQCDVIVVDTSGRHKQEAALFEEMRQVAEAVKPDLVVLVMDASIGQAAFDQARAFRRSVPVGAVIVTKMDGHAKGGGALSAVAATKAPVIFVGTGEHIGQLEPFQAKPFVGRLLGLGDLSGLMNKIKDAVPEPEELAQKLVAGGGAFTLRTMHELLQSLLDVGPLGQAKIKRYMTIMDSMTPAELDATDPKLMNASRILRIARGSGRQVKDVKELLEEQKRMAKMMKKIPLGKLNKSRDANQVINKLPQLRQLVGGPTGWQNLIKQTLNSKG >Et_5A_042444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1187963:1188597:-1 gene:Et_5A_042444 transcript:Et_5A_042444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSLHVPDLLVPVTLCFLLVLAAQGREHNVTVTIQGQAKCKNNPSAVLSHTALHLMINGETVPGAGTTTSTGKIAMRVNLTTMQQVVSMMSNESQVLITAPPHACGAPSIPNGTVVGAKVHIKALILRNDGDAGRPQPTIAASDGLVSTIGRILATAEPLLCVVPFIRFHL >Et_2B_021719.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4834614:4835168:1 gene:Et_2B_021719 transcript:Et_2B_021719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSSAALVAAALVAMLVLVPEASRAERFIVGDAARWTWGYNYTDWVIKKGPFFQNDTLVFMYDPPNATVHAHSVYMMRNAADYQSCNLKAAKLVAGVMQGAGSGFEFVLKKRKPHYFVCGERGGIHCTMGQMKFIVKPKSSACRD >Et_3A_025107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26973279:26976451:1 gene:Et_3A_025107 transcript:Et_3A_025107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TCHGNKIDEGLKPEEALSTAKEPSWKSQAWSSTVSFSDVDEKNDTDVESGDDFTGPRKNWGREFRGVHRAIICGKVGQAPVQKILRNGHTVTVFTVGTGGMWDQRIEVADLPMPAQWHRIAVHNDQLGAFAVQKLVKNASVYVEGDIETRVYNDSVNDQVKNIPEICVRRDGKINLIQSGETNVSQSLDELNIQFLVSLINSVDFLSVLLYFSNSMILYISDKSEPTTSTTAFSRSTTRPWGSCEK >Et_8B_060704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5199758:5201818:-1 gene:Et_8B_060704 transcript:Et_8B_060704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHVRQTSQLAPGVGVIAAYNNLPPLLTVLLTVIAAAILRRLKGKSHRVYNLPPGPRPWPIIGNFNLIGALPRRSLHELSKKYGPLMHLRFGSFSVVVGSSVDATKFFFTTHDKLFDPRPPPDGHRQAHHLRLRRHPVVAQRRVLAPSAPHLRRRAAQSPCSYKSWSSYSPGSS >Et_9A_063192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14829713:14830742:-1 gene:Et_9A_063192 transcript:Et_9A_063192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVNFTTWDDGAEAIGVCYGMSANNLPAPSTVINMYKSYGISSMRLYAPDQGALQAVGGTGIRVVVGAPNDVLSNLAASPAAAASWVRNNIQAYPSVSFRYVSVGNEVAGGAAQNLAPAMENVHAALAAAGLGHIKVTTSVSQAILGVYSPPSSAEFTGEAAGFMGPVLAFLARTGAPLMANIYPYLAWAYNPSAMDMSYALFTSSGTVVNDQGVGYQNLFDTTVDAFYFAMGKHGGSGVPLVVSESGWPSGGGVQANPSNARIYNQYLINHVGRGTPRHPGAIETYLFSMFNENQKDSGVEQNWGLFYPNMQHVYPISFN >Et_3B_028791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19112711:19119146:-1 gene:Et_3B_028791 transcript:Et_3B_028791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPSVTKSPPSLVPPAGPTPGGSLPLSSIDKTAAVRVSVDFIQVFPVAGKDGSAVATMREGFAKALVPYYPIAGRIAEPVPGEPEIECTGEGVWFVEAEASCTLEEARNLERPLCIPKEELIPRPPSEVRVEDTVLLAQVTKFTCGGLAVGICFSHLVFDGQGAAQFLKAVGEMARGMPEPSIKPVWARDAIPNPPKPPLGPPPSFTAFNFEKSVVEISLDSIKRVKDQVASETNQKCSTFDVVTAIIFKCRALAIDFASDAEVRLGFAASTRHLLNNALPSVEGYYGNCVYPGGLTKTSQEVKEASLVEIVTAIRDAKDALSTRFLDWLSGGAKENHYNVSLDYGMLVVTDWSHVGFNEVDYGFGEPSYVFTLNDDVNIVPSVVAWSHSILLSDAAMAAAAPTVSKSPPALIPPAGPTPGGTLPLSSIDKTAAVRVSVDFIQVFPPAAGSDQDAAVAAMRDGFAKALVPYYPVAGRIAEPTPGDPVVDCTGEGVWFVEAAASCALADVNYLERPLLIPKEELLARPPPEEKLEDLILTAQVTKFTCGGFAVGICFSHLVFDGQGAAQFLKAAGEMARGQPAPSVAPVWDRDAIPDPPKLPRGPPPSFTAFSFVTQVAEISPESIARIKDEFKDATGQTCSTFDAVTAVVFKCRALAAGLPDDAEVRLGFAASTRHLLQGVLPSVDGYYGNCVYPVGITRTSKVMREASLPEVVAVMREAKEALTVRFTDWMRGGAGEDHYNVPLDYGTVTVSDWSRVGFNEVDYGFGEPGYVFTLNDDVNIVASVIYLKPPAPKRGIRLMLRCVEEPHAAAFADELAKFA >Et_10A_001553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:545369:546374:1 gene:Et_10A_001553 transcript:Et_10A_001553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGALPDDVLRHDILSRLRDARDVAACSGVCQRWRHRCVPYLPALFFPDDAFGFDEASTERANATINRMLGAAEQIQDLTIHCPFAPGRLSHWVALRCSTLRALDLRVTRATTAILERIQNAAILEELRLWGPSLATVLARGGMERLRVLHIGRAVLQDVKLINKIGEACPNLNHLTLENSICYEAGEAAVSLSRLKECKIQLHGLGTRSLKLTTSCIESLNVRGFTSFFLQGGTDCLRDLTISNWYVYHVDIGELPGLEHLFLEAVQWKWEATAACCPGEAPGNGCILHLVEEVTIGGTNTNHRSQN >Et_4B_036271.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:14110323:14110604:1 gene:Et_4B_036271 transcript:Et_4B_036271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTLNKDDSICLTHWMLWPLLYNFRSNNDGIAFTVHKIRQQNNIIAHNLAQEAIGMNPSLNCQFSCSHLAHQNQCPIREAFQPVSFPLIETS >Et_3A_026377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7464150:7465378:1 gene:Et_3A_026377 transcript:Et_3A_026377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLCEQYSTEALLYLKQNETQIEILSILHHACANVAPFKQQCITLVDYYIPIFFLEVSAVSPEKFCESVHLCKKGTAIRLPTREDACGLCHHVLVEVLMMLKNPNTQIEVIDLLLKSCSKAKNYEQQCKRLVVEYIPLILVKGQKFLETMDVCSAIHACKTGTQASMGTVLLSASS >Et_1A_007656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36962252:36970074:-1 gene:Et_1A_007656 transcript:Et_1A_007656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGIPPADETLRHGRILSSRLYFDASGSKVPVVYSLDYDITVNGLEKQHPFDSSKWGRVCNFLVEAGLLQKDRVVEPLEASEDDLLVVHSETYLNSLKSSEKVTRIIEVPIVALLPISLVQQKLLYPFRKQVGGSVLSAKLALERGWAINIGGGFHHCSAEEGGGFCSYADISLCIHFAFVRLNISRVMIIDLDAHHGNGHEKDFGSDGRVYILDMYNPGIYPFDYVTRQYIDQKVELNSGTKTEDYLENLDKALKVAETRFQPQLILYNAGTDILDGDPLGQLKVSPEGVAKRDEKVFRFAKERNVPLLMLTSGGYMKSSARVIADSIINLSRKNLVEIGTFTIPLEAWWCKWNDGCLDRTESGNLDATS >Et_8B_060385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:12165013:12171207:-1 gene:Et_8B_060385 transcript:Et_8B_060385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSVYWGLLLACALAFAFTLPIGVITATTNMSPGLNIITELIIGYLYPGKPLANVVFKTYGFISMGQVLTFLSDFKLGHYMKIPPRSMFFAQLAGTLVASTVHFGTAWWLLTTRAYPGSAVLRNVNLLLILAGASGLLPARTVNFVM >Et_7B_055119.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7459524:7462140:-1 gene:Et_7B_055119 transcript:Et_7B_055119.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGMEAGEEGVMATDFFWSYTDEPHASRRREILAKYPQIKELFGPDPLAFLKIALVVSLQLWTATFLRDASWLKILTVAYFFGSFLNHNLFLAIHELSHNLAFTTPSLNRWLGIFANLPIGVPMSITFQKYHLEHHRFQGVDGIDMDIPSQAEAHVVKNTISKCVWVMLQLFFYALRPLFLKPKPPGLWEFTNLAIQVALDAGLVYLYGWKSLAYLILSTFVGGGMHPMAGHFISEHYVFSPEQETYSYYGPLNLMTWHVGYHNEHHDFPRIPGTRLHKVKEIAPEYYDSLKSYKSWSQVIYMYIMDQTVGPFSRMKRKAPKKDL >Et_3B_028837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19741532:19747999:1 gene:Et_3B_028837 transcript:Et_3B_028837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIQKIVIPGYMFLPTRSNRKMPQVNKEIKSIMQGIIGKRIQEMKEVDSTKNDLLGLLLESNMRYNDENGRSRTGMTIEDIIEECKLIYFAGLHTTSALLTWTMVLLSMHPEWQDRARQEVLSSVGKNNFDYGGLSRLKIVSLFLLLELNQASTIYVTMILYEVLRLYTPAIAFMRKTSKEIDIGGITYPAGVIIELPMLLIHHNPDIWGSDVHEFRPDRFAEGISKASNDPGAFFPFGCGPRICIGQNFALLEAKMAICMILQNFEFELAPTYTHEPHLLITLQPMHGAYVKQYGSSNVACRCRLDATELPCLRPPGPCAPGGRLLDRLWWRPRRLERSLRAQGLRGSSYRFLTGDLKKFSRLKDEAWSKPLPLGCHDIVPRVTPFIHKNVRENGKTCFSWFGPIPAVTVTDPALVKDVLSNKFGHFEKPQFPALSKLLADGLTSHEGEKWVKHRRILNPAFHLEKLKLMLPAFSACCEDLVSRWMQSLGSDGSCELDVWPELQGLTGDVISRTAFGSSYHEGRRVFELQAEQAEHFIHALMKIVIPGYLYLPTKNNRRMSQISKEVNSILRGLIGKRIEAMKKGESTKDDLLGLLLESNMKHTDENGQSSLGMTIQDVIEECKLFYFAGMETTSVLLTWTMVLLSMHPEWQDRAREEVIGLFGKSKPGYDGLNRLKTVTMILYEVLRLYPPAIVFSQKTYKEMKIGDVTYPAGVMVEVPVLFIHHDPDTWGSDVNDFKPERFSEGIFKASKDPGAFLPFGWGPRICIGQNFALLEAKMAVCLILQQFEFELSASYTHAPHTVMTLHPMHGAQIKLRAI >Et_5A_041941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4536509:4540587:1 gene:Et_5A_041941 transcript:Et_5A_041941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMATTPRGDRLSALGDATLTRVLSHLPTNEAVRTSILSRRWRNLHAAVPVVDFIDPKRGDTWGHHRNHQPMCFEQMVTCALLTRDPTAPIRALRLDSCHPTVTVLVQCILVALRSGAEERDLKIRCQEASRLRLCPFGHYEGCSADFNEGDLGRYVRTPAAIFRSATLRHLTLSRWTLEFPQDVSFMALETLVLHRIMGSGEELRRLVSSCSQLTHLTLEECPGATTITVTSTRLRRFALVCCHNARHVTLNSQRLRSVRYKGGLPPVGSFFWIANYTTVRALTIDICEEIDGKTPRQIAPFAELIGRCTNLDFLHLALRPAMACYSSLFTRVLRLLPRLRHLELKGCLHNEHTVASVSALLQNTPNLEVLSLFPLLPDPPQKKKSYLYDFEGMDIDQNKDDGDDKEVRYGSYVRVPRGVWTAPVRCFNHRLRRINLVDYRGRPFERMLAKFLLSKASALEELSVSIAPRCSAHRDEIGKELTYWRFNRRTRVRVEQPAVAINQITTIEIRNHNKRTLGLVNNVNIDQQNLTVNSVKRPEE >Et_8A_056527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11483803:11485675:1 gene:Et_8A_056527 transcript:Et_8A_056527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGEDGDMDRISGLPDELLFSILLRVPHIADADIRYDNVHRILHCGLPHAADAARTSVLSRRWRRVWAHLPELHLLYDDASDATLNRINGALGAYAAPSLNHLRIEFTQKDPPLSTLTLDLPLPLHDVRPPRVSGHRISGWLRFASQHLTGSLRIEVPTWATCNTDDKEEHQVLLPLSVKITSITLSLMYHMLRVQRPLSRECTALVYLHIKDTHMDSDELEDVVSSRCPRLKVLALVCVAFHGTHQRLKLRPDSLKELCINLCERPECKLIHVTAPALRTLYTRIYVADAYISAPMLSEVHWYSHKYNPSRHQIAEAESRLHRLEINSSCEGEVMALMQRFHTVHELILKLSIWQGAKAYKRFLSGISKLSRCEVLVVKVEFSFSVQHAFKPTLLSLLRKCPGVRKIVLHVDEYKNDFECGTSGCPCGFSENSKTDDIMLGSLEEVELNSLSGGDGKIELVKLLYKLSGTSRERVVINVSRGSASAYMRDKIQSICPPNNKVKVECQE >Et_3A_024076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17080785:17085428:1 gene:Et_3A_024076 transcript:Et_3A_024076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVMEAGGTGGRNKDLRQARLGPHGHSAHARTAHNVSSSSLRKKSSVSLVTKVPVAPLRPVIANLQEVLLGTKLAILFPAVPLAIAAQCANFGQVWVFALSMIGLVPLAERVSFLTEQIALYTGPTVGGLLNATCGNATELIIALFALMQGKIEVVKCSLLGSVLSNLLLVLGTSLFCGGVVNLGSHQPYDRSQADVSTGLLILGVLCQSLPLMLRYAVGAGEHSVAADTTGLDLSRACSVVMLLAYVAYLFFQLKTHTQLFEPQEVEGDVEIEETPVLGFASGFFWLAFMTVLISILSEYVVGTIEATSQSWGLSVSFISIILLPIVGNAAEHAGAIIFALKNKLDITLGVALGSATQISMFVVPLSVIVAWIAGIQMDLDFKLLETGCLFVSVLVTAFTLQDGSSHYLKGILLLLCYIVIGACFFVTRQPTNNANDNSVQLAVPTETPSSLVLQMPTDLQDCDMPSDDCEHAGEASSFVNK >Et_4A_035107.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9560480:9562600:1 gene:Et_4A_035107 transcript:Et_4A_035107.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELGILSPARPSCISSDRGDDRGFGSLTRASPLKTLMDSPSSCVSDIHGGDSGSRFSPPTGASSLETQLKSPSSCISDSRGGGNGSGFSSTTRASPLERRPSSPSSCVSDVHSGGNSSSLRVSKEREREVQEAEKLLYAITERYNDCFLRLRDATAELVELRHERVRLRAENLQLSLLLAELEAEQSKQASAVALTPPPKPVHSLQTEAAFECAPKSISIRSKGFLSQQQPQGESKEQRLRVRTSLAVEEAGEKGKEDGQVEFEAYRQGALKTELCNKWERGACPYAGRCRFAHGVQELRPVIRHPRYKTLLCQMFISPSGCPYGHRCHFRHSLPPTAESC >Et_4B_037051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1464491:1469052:-1 gene:Et_4B_037051 transcript:Et_4B_037051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFRPYKLRLPHPARLRAPQNEDDGLLGCGAMEEVVVGDMDLMDELFLAAPGFDFSDFSHPGAAGASPAAFSPLFDICSTTTTATPPPQAGEDEPEKVGRADATPPLRAWLFQPRQEVDATVKERLRRALERIASLSQSQPGELLAQVWVPTVIGDRQVLTTCGQPFWLDRRNERLASYRTVSMKYQFSADESSRAELGLPGRVFVGRVPEWTPDVRYFSTEEYPRVRHAQYFDIRGSVALPIFEPRSRACLGVVELVMTTQKINYNAEIENICSALEEVDLRTTDVSSDPLGKAVDTSYRAILPEIVDVLRTVCERHALPLAQAWIPCICQAKRGSRHSDEKIKYCVSTVDEACYVRDPAVRGFHQACSEHHLFRGEGVVGRAFGTNEPCFSPDITAYSKVQYPLSHHAKLFNLKAAVAIRLRSVRTGSLDFVLEFFLPVDCVESEDQRAMLNSLSVTIQQTCYTLRVVSLKELVNEGSIETSLVTPPEFYAKSMHENLDEICSGVDVPARTTSLETSEEVSSWIASLVDAQNKGVKEMDGDLPFVFSKQEDEGFSVTTGWNTSPVLGPEGSIFSGFKHHEDYDVKEATCSSRPSSLNSEKTAEKRRTKTEKTVSLEELRKHFAGSLKEAAKNLGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQMVIDSVHGAEGTVQLSSLYENFTKTSWSEKELQGDATYPLSEQKAHLEPSVPDRQCEGRFTSHTSGSNSLSPSGSQSSNSSHGCSIGSKTQQQVSAPELAIKQEVFMEENQSSTVLKAASHAELHMLTEERPVTLSRSQSQMLLSEQKQIEDISGMQKSKPDALKIKAMYGEERCVFRLQPSWGFDKLKEEIVRRFSIAKENYVDLKYLDDESEWVLLTCDADLLECIDVYKSSSAQTVKILVNPNVQPVLNPSFGQTELYLSEVPGPMARQ >Et_6A_048118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:692359:696409:1 gene:Et_6A_048118 transcript:Et_6A_048118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNMLKDELNLDKAIPFPSSFLVLSNVEQPPISRTVTWQDLTPELLNLVFLHLPTCADRARFPAVCRQWLSSIQQCQQPPLSPMPWIVHPGGNIIRFPHGKIFHLPENTRYHNSCGQWLLSSRSDDSCFLMNPFTKSTMPLPSLSSYIVYDERVEIVNDHIIPDDEIGTWMDIKDLTEVSVITLIVCSTNLIAAIVAICGLGTIVLCRPGASAWSVSAHDRYRCLSDMVFFQGKLYAVDARTEDLFSIDIVDELGNGVPRMCQIERIIEGAPTPRNLLNQTNYLLESHGTILMLKVEQQSEAPSAVFTLQNASTRPPSPLDKDSVEGLPRSSPTTSSWRNYGEDLIPWLRRCRRQNTKPRKTH >Et_1B_009680.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:14056741:14057781:1 gene:Et_1B_009680 transcript:Et_1B_009680.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEKQPPRPKPKPKIGPEQKPVPPKPRPEPVRDGPPSGLPFLPQPFTCEAYRLCPPPPASPATVRLRSGRLRTPLVALSSSLLAVSALLLLALFVYRLVRRRRQGRNAPHDEESVQGVAGELGDSDGGVHHAWYIQTKGLDERAIAAIAAVVYDAAKMKERGADGGGGCAVCLAEFRDGETLRLLPRCGHAFHVACIDTWLRARVNCPLCRAPVQVAAAATRPRVEAAEANPSAVGGAQTEETARGVLPPERAVRRAASMVALPRRAWPDVSLGCPASTSGREEEMTGLGDIRRFLKLSDAPVMAGTGVARSVSFGAGSCQLSQTRSGPSTAAGVNGDEIELSR >Et_1B_010078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22640106:22641314:1 gene:Et_1B_010078 transcript:Et_1B_010078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTAVTSAATGTGAGGWPCGGGGGLNVRLNVLLLLSVVATNLVSLYHLSLRAATAPPLLPSLQREQQQQVNQDDEELIRQLNHLRSSSPPPPPPPPELLLYSRLAPVASACSAHPDLLHRYMSYTPFGPCPDDALSVAEPLLLRGCHPLPRRRCFSPTPASSASLPADPFAPLPDAAVRWPKDGKCRSFSCLPPSLGFDVARTEAARFLRARGGLDLTAPQLLRLASLSRARPIRLGLDVGGGTGTLAARLKKLANATVLTTTMNLGAPYSEAAAARGVVPLHAPLQQRFPVGDGTMDVVRAGHAVNRWIPEAALEFLWYDADRALRPGGLLWVDHFWCRKNDLEGLYASMLRRLGYKTVKWAVGDKTVAAGGNSSKDEVYLTALLQKPFS >Et_10B_002477.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:10373829:10374050:1 gene:Et_10B_002477 transcript:Et_10B_002477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGEDEKKPVGDGGAHINLKVKSQVRTPPVPLPPSPPPPRNSAPIVLVAGSSYYFDPGTVLGLARFGFRRD >Et_4A_035444.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:2513693:2514118:-1 gene:Et_4A_035444 transcript:Et_4A_035444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSSSGGGSNGKKSKPYHECPICKNGFTSAQALGGHMNLHNRGRDRSSSRRSSRDTSAGTASPAYPPAPATGGGSFATYYAAPSDAMNQRELNLFGEAAIQDHDLRLGLGVPGEWAPPAGEAERSLDLELRLGRHPGWQ >Et_2A_014752.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:11957415:11957879:-1 gene:Et_2A_014752 transcript:Et_2A_014752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGKSASALESAKEAAANVGASAWAGKEKTKAVVEETVAKARAHDPAEKAAADARKQERVREVEAVKQDAMRANAAAKESATAAEHHPTVPGTVDRGVPPPPTPTDGGAAGGHLVGASGAPPATGGHVTGAAPTATGGHAAGAPETTDAVVNP >Et_1B_011915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27118696:27122267:-1 gene:Et_1B_011915 transcript:Et_1B_011915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISSLGNHVGRVPDLSSLAAARADNFYYPPEWSPKKGGLNKFHGQHALRERARKLDQGILIIRFEMPFNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKSPCCKHEIVIQTDPKNTEYVIISGAQKKTEDFDVEDAETLLLPADEDRDKLADPMYRLEHQEEDLKKKKEAEPVLVRLQQLSDSRHSDDYALNRALRDRLRSQKKRVAEEKKSARKMGLGVRLLPPSAEDATAASSVKFASKFEKSRRDKRAAIKAASIFPESSTSASKGKLDLALKRRNIKPGVATALMAGRVKPSSWQSTSSSSSRSQMPVLATRK >Et_1B_012597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33513525:33517312:-1 gene:Et_1B_012597 transcript:Et_1B_012597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSQNGRPRPAKPETIHGLVRAGDLAGVQRKLRDNPDLLNDKNPVMCQTPLHVAAGYNNTEIVRFLLNWQGIETVALEAKNMYGETPLHMAVKNSSCESTKLLLVRGAQIEAKANNGMTPLHLAVWHALQAGDCSTVSVLLSYNADCFAKDDEGKIPLNHVPVGAGSEKLLKLLCRQMEEQRKWKALMSCREGKAMAEFEEAISQIVGLQELKMQLRRWARGMLFDEKRRAMGLGIASRRAPHMAFLGNPGTGKTMVARILGKLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIQDAEGGILFVDEAYRLIPMQKTDDKDYGLEALEEIMSVMDSAKLVVIFAGYCEPMKRVIASNDGFCRRVTKFFYFDDFSTTELAEILHMKMNSPSDSSLLYGFKLHPSCSIDVIADLIAKETSEERRKQMNGGLVDTLLVNARENLDLRLDFNCDDVDTMITITLEDLEAGLRQISRQRQLQ >Et_5A_041817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3087244:3088690:1 gene:Et_5A_041817 transcript:Et_5A_041817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRNRKPRNFATFRLCPRPGAADASDRVFVRVDDNPYTVPGFADDEGPSHGVGPSSSIAPEGGDDAPSSSLVEDGGDAFPDHVRREILELGLPDDGYDYLAHLRELHPSLQSTGGGASAVFLQSRRPARHGMSSDVKAYDLSGVTIGSFEVEETAVAVTRIEEAIDLDVAKQLEESDLPASGDEDLEDDFVVVANHPDEDNDGERSPQEQFHSLALEEDAVGEDKQIGESSNAILDCAGCNVRYSEEQREEERVVLVPESSVGPAIRCGAATVSKSYFDTQPGRPLAPENVKMKLPRFSPGETSMKKVIINKGIEKLPAEYLPQRRTSSGETLQKPYKEPGDETKGT >Et_8A_056272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19473319:19474755:-1 gene:Et_8A_056272 transcript:Et_8A_056272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDEAAEGSHSRRRMDLSMYLALPPLPRAPGGLDAALDCPVSLPNSARPAPVAPITDEPVELLTTVAAYSPSNALSTPEEQSMLDPFVYAWLDGHNTDGEEGTDAAEPTVVLGASVDGAIASSLPSAVAAGGGVEMVSTTSMLSQSTEGAVDIEARTPELRLQRLIRISQQHSIVRSGLANHSQRARKGAVNKDGCCACNSSFECNICLDPAKEPVVTPCGHLFCWPCLYSWLHAHSVHSECPVCKGEVLEVNVTPIYGRGEDERDAPILDMPPRPRANRMDSLRQQLQMADGRGIATVVRQLISNQGIVRGQPSPVAVPVVPANRPRARARRLQRQDAISAMILTVSAAPGQSSSQEQTSASSTAGVIVGEPWSSRRSRTSESPNIRRTRRRQPHMGP >Et_7A_050412.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:13590850:13591101:-1 gene:Et_7A_050412 transcript:Et_7A_050412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMKTEKQNLFSEYRMERDEIPPPKDHRATAAMKTVMPSWISGRTRAADNLVGGSRAKTGETLCKMKVIEEDDLTRLEVTGV >Et_6B_048733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12997098:13000016:-1 gene:Et_6B_048733 transcript:Et_6B_048733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVEDMEQQLDGAELPSTMEKWAKHCIFSVPLRLRKVRTRGDTFRPQTVALGPFHHDDRALRPMEEHKRRAVAHLLRRAGRTLGQVAAAVEEVAGDLEDAYAGLDDKWRGRNREKFLEMMVADGCFLLEDYPYAPSDPIFSPHALKHIAAFIQRDMLMIENQLPLILLKRIVTAERDEAPRDSWINALVLKFLCVDEDCVAEAGPALGLHPLDLYRRSLLRQSSRRAQEVSNNQDNHIKICCLPTTTSRREIRLMQYLPNIRENQQVTCCNREKKKKPPAAPRSAQKLWEAGIRFKRSETNFLDDVSFNGCSRRLRMPRVMLDDSTEHKYRNVMAFEALYEVAGDGGNDVTAYVMFLKDIVDSAGDVELLRWNGVLAHDLASDWAVLRLLNRLTKDVAKINKSRLCDVHKKVENYCDHSLRVFIFRSWAKLKTTYLSSPWAFIGLVVGIFLLGTDIIQTSYAVMTYELEKR >Et_9A_063507.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5193990:5195347:-1 gene:Et_9A_063507 transcript:Et_9A_063507.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHLAVVVAAVLLISCAAPSAHGQAASAPSPAPAPAKSITAVLTKAGQFTKFLQLLQSTQEAGQITNQLKSKSFTGGFTVFAPPDNAFAALPAGTLNALSDQQKTSLVQFHVVSQLLPAAQLDTVSNPLRTQAGDVGRGKYPLNVTSDGGQQVNVSTGVVNATVDSTVYAGDRLVVYQVSKVLLPWALYGPPVPAPAPAPVESGKKKKKAAGPEAVADAPVADTEEGSTASAATRGVRGDGFGVAAVVVAAIWWGIGRA >Et_9B_065731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9832960:9834037:-1 gene:Et_9B_065731 transcript:Et_9B_065731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARACVLILAAVVAVCAAAASVAAQPPGQRPLPSNYHVINPGKFKRDQQLTCNDPKDNKPACNAKCDKRCPNQCIVLCPGCKTYCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDQDFCIVSDSNLHINAHFIGKRNPAMSRDFTWIQALGIRFADHRLFMGARKTAKWNNDVDRLELAFDGAPVEIGAEAGAVWEAAAVPGLTIMRTAATNAVRVQLKGVFDIMANVVPISEEDSRIHNYGVTEDDSLAHFDLGFKFFDLTDNVHGVLGQTYRTDYVNQLSVSSKMPVMGGTPKYVSSDIFATDCAVARFGASGEGISMVTARAY >Et_4A_033965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28494976:28497391:-1 gene:Et_4A_033965 transcript:Et_4A_033965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPNQESNAMPSRGGAGVHMLKRPCKHWNSFYKSLPPFSSSSRARSSYAAAAAAAGEMDSRGYDSAGRVFSSATEMWAAELGSAATAPSAAEAEATPAAAAAADSVAAGEEAVGEEKRKEWYSKAIAYWQSVEASTEGVLGGYGCVNDADVKGSDAFLRPLLAERFGNEKRHLVALDCGSGIGRVTKNLLLRHFNEVDLVEPVSHFLEAARENLTGGMDLGEDSHKAANFYCVPLQDFTPEEGRYDVIWVQWCIGQLPDDDFISFFNRAKVGLKPDGFFVLKENIAKNGFVLDKEDNSVTRSDSYFRELFKKCGLYIHSVKDQKELPKELFAVKMYALVTNQPKIQNKGKRRRPKNSPHMIRS >Et_5B_045376.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:19995882:19996148:-1 gene:Et_5B_045376 transcript:Et_5B_045376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDGGCALEKTLLLGEAIRGFTWFNQQCLRILKRGPRFIVLSLSCFEEWTVSVDLETMEVDMEPMIYRCELPWPPVLNACVDIEDRR >Et_4B_038738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4528608:4532053:1 gene:Et_4B_038738 transcript:Et_4B_038738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHIRLRRSGSEREAMILLCAASLLCCTGGARAAYGDSTLTWCTGSLRDPGAGYSKFAYTADLAINATVAASDRSAVAGTGAQVKLGAGPDVTAEQCSRCLRVHRDGLVDANGYVCGTGGARDGVCLLRYDVEEFGGVFDPDERMGTVYGAARAQTAGLTVDVVRSLLDQVAPKANLTLASSASNGSRTVAGIADYRPDGTVYGLAQCIPQLSAADCNRCLRDALFRLSEQFNSSAGMQVLRPSCMLQYNSSLFFNASLLPVIHVSIPDAAASSPSPSSSQAPSSKHGSGNFRYEALVAATSNFSEENKLGSGGCGVVYKGVLENGQEIAVKKLTNKGLRESEREVSLVAQLQHENLVKFLGHCFQEGGMFLIYEYLTNKDLGSYFKHSNDRKKLEWPKWFNIIEGIARGLTYLHRDSGKNIIHRDLKPCNILLDSNFAAKIADFDLAREYNRDKSHESTQKTAGTFGYIAPECILGQQFSTKSDVYSYGVVVLSIIVGNSVHAFETESSTNLVEYVWLRWDGGRVQEVLDRDHLGIVTDEQMQQALRCVHVALLCVQKAKQSRPAMEDVVRCLNAETRLADRPSAPGFFSPDDHGGMGYSVNGLTISSQEPRS >Et_9A_062018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18914748:18918006:1 gene:Et_9A_062018 transcript:Et_9A_062018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAQLLLLGLPALLFISDLTHIFAPPPPHLRHPPHHPPHHHPHPPHHHHLHPPHHHQPDPAAAVIQEPRVDGAGYGATVELQFCASCSYRGTAMTMKRMLETSFPGIHVILHNYPPPFPKRVLAKVVPILQVGAFATIMAGDQIFPRLGMVPPPWYYTLRANKFGSMATIWLFGNFAQSFLRSSGAFEVYCNGDLVFSKLTEQRFPSEFELRDLIASRLPESLFGRNMGKSLT >Et_4A_031809.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:17515385:17516002:1 gene:Et_4A_031809 transcript:Et_4A_031809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLLGLKHMHEKGIIHHDIKPSNILIDSDSDCVVGKICDFGLATYYDEAITTWCGIPHGTYGYMAPEVYELKSSCTFESDMWSLGAVMYEFIIGCPLISGRDSTGTITRMHSLFGSLGKGADLPDC >Et_3A_026450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8280312:8284970:1 gene:Et_3A_026450 transcript:Et_3A_026450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGIVVSSIGIFVQVIFDKYLSSKLEKWAARANLGSEFQNLRDQLEMAKAILATLKGSTTVEGICQLAQELKSSAYDAEDIVDGLSYDWYSVSCEMKSIADRLQRITAQIERVAQLKKLVAFGSQQLKLPNSRQTSSLLTEPEVYGREEEKTAITKMLLERNRQDNYKSFSVLPVVGIGGVGKTTLVQYVYNDPNVMNSFEIRAWACVSDFLDIKQVTMDILQSMDEEGYHQFISSRSLENIQKILVKKLKRRKFLIVLDDVWSCSNWELMCAPFSCGIPGSKIIVTTRHHDIANTVGTIPSVTLRGLEDDPFWSLFKQKAFGGAKIVDNLASIGRKIANKLNGIPLAAKTTGKLLHKQLTSEHWISILDSNLWELRQGPEDIMPALLLSYQHLPPNIQRCFAFCSAFSKDYSFTEEDLVFSWMAHGFILPVRDRTLEDTAREYLNELASASFFEVSTNGNLYRMHGLLHDLACSVAQDECLSANHNFSRGIPHSVRHLYLLYPDQAKSFCLNFSLVEPTSQSDGGLPERRLPGGSMELKKLRSIWFKNAPTVVSSDDEFWKLPIFYSRIVNLRMLCLHHIKNESLLATIGDLIHLRYLDLRFSDISELPESVCKLYHLQVLDIRYCKNLVRLPTGLNNLISIRHLLLDDWNKFLAGYAGIPYIGMLTNLQHLNWFNVSKDEAFNIEQLKELRELGRSLSIGHLENVASKEEASNSQLKEKYRLNELNLSWSENPEDIACDAKINILEDLQPHPNLKHLRITHYRGTVSPTWLANDLDIKLLESLHLQDCSGWEVLPPLGKLPFLKKLHFIGMEAIPCIGSEFYGSDSEGGFPSLEELHFEKMPEWHSWRGVEDTCFFPKLLALTIMDCTSLQLLPVAQWYDQVKYRWFPRLGTLNIEKCPKLDQLPPLPHTSTLTRISMKNVGMISSMELNDQDFVICGNSNLMTHRQYSLQFHNMRRVKSFSISNCENFTVLSWKLQGEHDISEASTIMHDVVCSLSIINELKICGSGISEDILHEILTDAGFLDCLSLKCCPQITSLELNPMTRLDYLIIEDCRQLRPHKCMQTLIHLRELTVLRSPKFIEGWKNLIERTEGSCQEITASLKRLHIDDLSFLTMPICRTLGHLQYLMIESDQQNVFLTQDQEQALVKLTSLQTLAFNDCPNLRALPESLHKISSLKRLDLLSCESLHSLPHQGLPELERLFIVGCNLSIENCTNVGIDQNKITHIT >Et_3B_029822.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28234942:28238868:1 gene:Et_3B_029822 transcript:Et_3B_029822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPSNSPLHPHQHQHPLPPNPHPQFQTPPPSMPPPPPAAPPKALDLELTVVSGKHLKNVNWRRGDLRAYVVAYVDPSRRSATRPDDAGGCKPTWNERLVLPLPPHLSPHDPSLLLSLDVFHSKPSDSPKPLVGSARAPVRELLFPTKPNPNHDSTDSPIVTLPLLRPSGRPQGKLRIRVAIRERSPPPPEPQYPPPSSSPYYFPPPPPPAYSAPPQYGPEQYYRPPNPSGYYSAPPPPPQYEYTGGPSAPVEYSRPYEQRGRSGVGSGASGRYGVGTGLAVGAATGALVGLAIDEGVKYKEEKAAERVEEKVVPAGRDDYSEYRECWSDVSTP >Et_9B_064114.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11312369:11314007:-1 gene:Et_9B_064114 transcript:Et_9B_064114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSQRITMIFLSLYFLTRNANSDLESIQTEHGQIFECVNANSQQSLQNSFLKSHIVQMKPVTPLNGLDPDLKSKFLHQEATISGVACPWGRVPILRNYGRASVSRSFRMLNGYEDPNTLHAVMVATLPATVYGFKAAVTVYEPKVGTGNPPRYSGTVVRIQNGILPNISRIYAGWMVDPQLYGDNHAHFEFAWADNGKGCANLLCKGFVQLSSRIAPGSIISPVSTINGAQFVIIVSVFQDANNGHWWLTYGDDGHVVGYWPKELFTYMADSAKEVGFFGAAGAAHGEPGGSGEWASESPGKAAYFEKVKIVDERRKMVVPNPKDCVVKVTDPGCYQAGTVFTEDDGLYFYFGGAGCPAIS >Et_4B_036905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12563740:12567655:1 gene:Et_4B_036905 transcript:Et_4B_036905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETSRPSEFAKIVQSKQTKQGQLLSSLAGSDDAEVMLTIPNEQLEHIAEFQEEADLWVIAHVARFLPSTRITHVLAGDDVPAASPGAAYFLVPAMLRLRSSLAAAGLDGRVRVTTALSGDALAAPAWSDVAAHLLRFLKATGAPLFLKSERASSDAEVDAAMRALGVPVVVVAGEVVGAGGEVATTLYSYGLEGGKRRSLATGTFCVALQNADPTALQAGLNWACGPGHADCSAIQPGGPCYKQNDLAALASYAYNDYYQKQSSTGATCSFNGTATTTTNDPSSGSCVFSGSSTAGGSNSTTPVGASPPTSLAPPSGFTPPFGSSPPPSDFSPPAFGTTPPTGGFTPPSGGGFGPPAGGFGSPPSAFGPPGTLNGSGSFGPSGTLNPYGAGCRGAVSSAGLTALSAVAVAVLLVSVDDPLVIRLALAVAGARVNTALLRTIWLLLWLRQASSSGSNQTNPADDDFGPPGTLNGSGSFSPSGTLNPYGARKPYN >Et_5B_043688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1447331:1450132:-1 gene:Et_5B_043688 transcript:Et_5B_043688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPLLLLLVVVSGGGPTPTSAGGGPPPLYRDCPNTPGGTYAANSSYRANLAALAAALIKNATAFGSGAGTAGAAPDAVYGVALCRGDSKGALCAGRLADAFAAAMNESDSPVCELHRNVTLYYDRHQLRFADADFLSGDGNAPEWAENNTNFVNASADAPFRERVAWLLNATAAAAAGRSDRYAAGEAWFEEGGRPVYALAQCTRDMAPERCGACLRGVISEMPRKLNASQIGGRLIGVRCVVRYEMDPFFQMDDATLHLGMPNQRARSELRRLSVAIQNVITLWRLEEGNSGFSLYDFSEIKTATGNFASENMLGQGGFGSGELPNGLVIAVKRLAAYSGQGLLEFKNEIQLVAKLQHRNLVKLLGCCIQGDEEKILVYEFMPNKSLDMFIFDNVKREVLNWPKRLHIIDGISQGLLYLHEHSTVCVVHRDLKASNILLDREMNARISDFGIARIFCSNATQSSTTRIVGTIGYIAPEYALDGVCSIKSDVFSFGVLVLEIISGKRTGGSYRHNGKLYCLIAYAWQLWRDGRWHELIDPCLRDDAHHVTLKRCMQVALLCVQEDAGDRPSMDDVVKLMSSDSDSLPEPKQSAYFNVRPSGMADDTASPSGNISISMITLPR >Et_3A_024805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24455795:24459050:1 gene:Et_3A_024805 transcript:Et_3A_024805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARISKPPASNSSSSSSGGDSRPRRVTRKPRSVRRGPPRGGARRPSAPLPLNELDLNTAALDPEQYAATLRVILQKELRNSDVSQLGRIVLPKKEAEAYLPYLISKDGKFLLMRDLVNAHLWTFKYRYWPNNKSRMYVLENTGDYVRAHDLQQGDFIVIYKDDENNRFVIGAKKAGDGQTATVPQLHEHISATSPASQVIHDYAAPVAPEAGILAFMPQADENYEIFDGILNSLPEIPVANVRYSDFFDPFGDCMDMANPLNASHSVNLATHFHDGSSSLLPNPKSGPLI >Et_8A_058109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20236409:20237123:-1 gene:Et_8A_058109 transcript:Et_8A_058109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQAVDVKTRDNTTAGVWRELPASPDCSSSTTRRSGIEAIVLYTSGLSPSGVVDQDDFHPRLHPLLRLRPLAGARSSLPARPATPSPLLRVRGRAMTACVVSVIAFVAAVSVGLALTYRGEHAAATAPCLNIIIINRMLVSMPTCETRLADL >Et_10A_000888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18808380:18811314:1 gene:Et_10A_000888 transcript:Et_10A_000888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAASESKPRFWGRFGRMEREKGPLAASRRQTRPRLRPHRQRLRLVPCCCCIRQGAMEHGSVENSSASTFSIMDEDHTLANSVRFVLNQDSRVAFCGYSIPHPAENKVNIRVQSTGDPAKDVLKDSLQNLMVMCQHVRGTFDTSVADFRNNIPPKAMDIDLTKK >Et_5A_040433.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:21831131:21831712:-1 gene:Et_5A_040433 transcript:Et_5A_040433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITSRSNEIRKFGTTAALVLNFLPPEAYWYFFKFLAFGSVDSSEHPKLEAIAMEMARCMKGSFIAANIISGFLRNTTNARHWSLCLAHFRANIQRNVSLFGEGPEDLIRKNKYAFFFLNRDETLVHSSYRSCLAKDNVPSLTINNVINRSVKHGERFDLLVWKSHIPPYNYYTASCMIEKTIEGALSSAMAN >Et_1B_010092.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:23550541:23550570:1 gene:Et_1B_010092 transcript:Et_1B_010092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGPEVTS >Et_3B_027441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17688266:17689262:-1 gene:Et_3B_027441 transcript:Et_3B_027441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRGFAELRRLCLHCVQIIGNLGDLLLSCFALEDLELIACSGVTNLNIPHRLDKLRHLLVSGTRIQMVDFHVPALTHFEFQGNAIPIALHGCSNMEKASLMFKTAFKRDKKALGHAFTAIPCISAVKMLNVYVDMEAREPVWAPQVHKLMASPTCMFMFLRHLTCEIRVFTNGPNSHDGILQLAHYLEFAPQLEVLQLHMFYYTLEDSWHGKVKWVGGSCARSLDHLKSVYMSGFRCYRAQVEILCGILEKGAALEHVTIEPKVIIKCVS >Et_4A_034646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5147440:5148694:1 gene:Et_4A_034646 transcript:Et_4A_034646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSLPVVVVAMVISFSVIAIPTMALPGGFQPIDNINDRHIQELGAWAVSVYDSKANAGLRFNRVIGGQYQIVSGTRYHLIIEASSPDGKYMADVGEQEWTNTRAFFSLNPACKSFSTKGNKKIPTMRTALFFLVSAMVIYYAAATPFMELEGTFNPVEDVKDPYIQELGAWAVAEYDKRANVGLKFNRVVSAKTQAVIGIRYHLIIDASEPHGQYVADLGQPDESDTRILFSFRPVT >Et_7A_051794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24897536:24899107:1 gene:Et_7A_051794 transcript:Et_7A_051794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLMLAAWFSSNIFANFRFGSLCMYQTGNAAIIVDPSKYTCSLNESTQKDGKLFPSSSYLSKCNTLSMEVSCMNPLEWTKQRTSGLKPLPGFRWHPLRHAAIVAIENAAERDRMPFTTSSTVTKPISNGHLENYSDNEPAKPKDRCKNGACCSDLSEATRPYLSTGFDIYLAWEPCAMCAMALVHQKFKRVFYAFPNPVTRAPGGVYRLHGEKILNHHYS >Et_3A_027166.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3788214:3789620:1 gene:Et_3A_027166 transcript:Et_3A_027166.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHENDLSRFRWPSGEMNCYRRLALAVAAVTAAAIASVAAASSVPCMYVFGDSLVDNGNNNGMLSLARANYRPYGIDFHEGPPGRFTNGRTMVDFLSDMLGLRPPLLPPYAAAAPADLARGVNFASGASGIRPETGNNLGGHYPLSEQVDHFRTAVSDMASGTARFRGNATKVAAYLARCVFFVGMGSNDYLNNYFMSDYYTTAQEYDPPAYASLLLRDYAAQLADLYALGARKFVVAGVGNIGCIPYELARMDDDEQPSDPSTPSSDDGDDISISIGGGAGRASTRSDASNGGGNQKPAAAAGTCNDTINGAVAIYNKGLLSMVKRLNKSPQTRGARFVFLDTVQIGRDLAANAAAHGFTVLDRGCCGVGRNNGQITCLPLQRPCDDRSQYVFWDAFHPTEAANRIYAAKAFNSTSTADAYPINVSQLAAL >Et_4B_037268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17477418:17477881:-1 gene:Et_4B_037268 transcript:Et_4B_037268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FSSSSPSATYTLLAYPRTLDSFSPVACAMEAAAHRQPSLPSLVTLQGQARLIETHAAQNWVCTHSACVLCLGRQGGHENTRYIVPFATSHCLAKCHPRNHQLRRTRYHLSPYKLYNQRWPELCKVCMLELFSKQRALSLWPIRG >Et_5B_044996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8056799:8058537:-1 gene:Et_5B_044996 transcript:Et_5B_044996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRRPLLEMSAVLAVVAVASCVPGAWSAGEAAAAPVNATTSPTFGDNFEITGAEDHVKTSADGQTWYLYLDQKTGVGFQTKQRYLFGWFSMKLKLVGNDSAGVVTAYYMCSDVDAAPQRDELDFEFLGNRTGQPYIIQTNVYHNGVGGREMRHSLWFDPTADFHSYSILWNAKNIVFFVDKVPIRVYPNDAKKPVNGFFPISKPMYIFSSIWNADEWATRGGLEKTDWTKAPFVSSYRDFAADACEWPAANATSPSPPPCAAATGASWWDQPPAWALDDAQRQDAAWVGRNLLIYDYCRDRERFPAPPEECALRGAAA >Et_10B_003504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2223242:2224435:1 gene:Et_10B_003504 transcript:Et_10B_003504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGGGGATAEPQTPAAEETQHDLPAAEGGVNPVELLEGHKHADVFRWVYNLDDTTTETSLEPMKLWHETEYGGAAACSQKVGCPMLQIFSLKLTSLPPSSADSPVQLYGFMAVRDPLDPLRNYVFNRSRDDPFVIHDLQSDPFIYLSGPKRGVFMQRYVLLEYDMKVKRGEQHAEHDDLPLVHGVAIFSLPMRSDRAVSNRITGCHGAALEISRSLIANAAQATVQVWVTDLNGGNGRIDLSITASISKVAGEIKVFRGVVDRPCELNRFVFAVGSGCCLFLKFQVPGGSDNYLNLFAFGASAHGFIRDRRELDFATVDVKVTWSSLYDR >Et_5A_041130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18615105:18615813:1 gene:Et_5A_041130 transcript:Et_5A_041130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTSTASLQLHAELLPDCQKGDLRMATMFFSAILSELTSRSISYMIDKSLTPSTVLTVEEARSSL >Et_1B_011440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22269821:22276427:1 gene:Et_1B_011440 transcript:Et_1B_011440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHGELSDNAEAAAAGEPVALSSPPALMQERLVVGYALTKKKVKSFLQPKLLALARKKGIHFVSIDETRPLSEQGPFDIILHKLTSKEWQQVLEDYREAHPEVTVLDPPNAIKHLHNRQSMLQEVADLNLSNGYGEVCTPRQLVIMKDPSSIPDAVAKAGLTLPLVAKPLVVDGTSKSHELSLAYVEASLPLLDPPLVLQEFVNHGGILFKVYVVGETIRVVRRFSLRDVNTYDMGNNDGIFRFPRVSCATNNAEDADVDPCIAGYGKMPGYEHIFTDFLLSLVQSKYRRRLGGS >Et_7A_051324.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1756680:1758166:1 gene:Et_7A_051324 transcript:Et_7A_051324.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSSAVPFLPTVSKEATLRPSFVREEDERPKVAHDSFSDAVPVVSLRGIDGARREEIRARVAAACEDWGIFQVVDHGVDAALVADMTRLAREFFALPPEDKLRFDMSGGKKGGFIVSSHLQGEAVQDWREIVTYFSYPVKARDYSRWPDKPAAWRAVVEQYSEQLMGLSCTLLGVLSEAMGLETAALTDACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDLVGGLQATRDGGRTWITVQPVEGAFVVNLGDHGHYLSNGRFKNADHQAVVNSECSRLSIATFQNPAPDATVYPLAVREGEAPVLDEPITFAEMYRRKMARDLEIAKLKKKAKAEKMLQMQQQSAKDFAVPKAKSLDEILA >Et_5B_044682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4637077:4641000:1 gene:Et_5B_044682 transcript:Et_5B_044682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGASDMSHQVRVRFITKLPPPLRAPTAAIAVPADLSRMGLSEIVNSLLAADEPDHQPQPFDFLVDGELVRMPLQQFLNAKGISAERVLELEYVKAVAPRKQEEPCPHDDWVSAVDGSNRSFILTGCYDGLARIWKDGACTHILEGHGGAITSTRFINKGVETEGTLHAVTGSKDRSLRLFKSETSISKDYPKRIGAYKILRGHTSSVQSVAVDPSKEMLCSGSWDSTIKLWAIEGSEEDGDAVSLKKRRTDSSGAEESQLEGLATSTLLGHTQCVTAVAWPDQQTIYSASWDHSIRQWDVQTVKETWNMFCGKALNCLDCGGEGSSLIAAGGSDPVLRVWDPRKPGTLAPVFQFSSHSSWITACKWHPSSWFHLVSSSFDGKVMLWDLRTAWPLASVDSHTDKVLCADWWKGDSVISGGADSKLCILSGIEILKELDVHMAYRMV >Et_3A_025401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29513108:29515569:-1 gene:Et_3A_025401 transcript:Et_3A_025401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHEGRVEMMGDQASDGAAVWPGELDEQLISELLDDDSLLGALQVSGDTGPDATPAQCNSGGAAAERELPQPAAVSRALCSVYSGPTIQDIEKALSSRPRHWSHRRYSSIGRFGATSRAPESKYTTKVRSCAGKTPSDGFKWRKYGQKSIKNNPYPRSYYKCTSSRCGAKKHVEKSVDDPEMLIVTYEGPHLHGPQPPFPRRQWASSADFLSGAAARRAKAPSPPAAANADQHKCDAAEARGGGGVVAGETRMGQDARAEDVAAATRSCDDGGSSASVAAAPDATALLCDSPPTTWSCPDFYYNSWSPETLLP >Et_4A_035844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7155199:7156440:-1 gene:Et_4A_035844 transcript:Et_4A_035844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSIACTVLLVLVLAASAQALLPSPPPPQGSCPAGFKDTFDLTNFAKQTGRYAILFAAPDALSTVRTIVTAIPHTGLILCVCYKSTDDVNLPYEYLSADCTPGAQPCNIFWLAKIVRGNLTLSLGMQDPQNT >Et_8B_060444.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:16089229:16089747:-1 gene:Et_8B_060444 transcript:Et_8B_060444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVPQLLAGGIGQLSRSASGTLVKAATGFHVLRVDGYSWTKTLAAGERISSLQFLVGGRYWLMDYYPNGADGSKSKVSDYISLRLRLRNGSSRRDSDVYHRQAEYKFSILDRAGNAAYELPAETSTFTYPGPGTPYEVSGCGPDEFIAREELERRCETLLNYLLMFVTAF >Et_10B_003847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6821896:6823071:1 gene:Et_10B_003847 transcript:Et_10B_003847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCPCFGSAQAAERERAAEADRMEAQEARAKAAEAAQRRQEEYEKSAAGRAAKAQMKAMKESKTANQGEPVLK >Et_4B_039599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:23513201:23515762:1 gene:Et_4B_039599 transcript:Et_4B_039599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRGHWRPSEDEKLKELVALYGPHNWNAIAEKLQGRSAQAMEPQEHNVIRELITENDEFVSGKSCRLRWFNQLDPRINRSPFSEEEEELLLASHRVHGNRWAVIARLFPGRTDNAVKNHWHVIMARRCRERMRMSNRCGAAADGGAAGTVENENPRNAKKSRPDANSMASLLEKYRSEFAGPFALSHDNTEGYRSTTNEEMDKSVEFYDFLQVNASSSDTKCGSSIEEQDESRDDQAEGQVPFIDFMKRKR >Et_4A_031857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24085835:24086232:-1 gene:Et_4A_031857 transcript:Et_4A_031857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTTKIFVVVALLALSAIAANATTCPQSFPSMMGMDMMSPCMQSCMMQQPMRH >Et_8A_058414.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6978822:6984511:1 gene:Et_8A_058414 transcript:Et_8A_058414.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPAPFSLSASTVPARLRAAAVAPLPAGPRRRGRMVVRAKIREIFMPALSSTMTEGKIVSWNASEGDRVSKGDPVVVVESDKADMDVETFHDGIVAAVLVPAGESAPVGAPIALLAESEEEVAAAVAKAQELAKGGQQQAPPPSADAAATPPPAAEAPVAAPAPVAAGTKGIATPQAKKLAKQHRVDLAKVTGTGPYGRITPEDVEAAAGIQPKPKVTPATAPPPSPAAPAVGKVPQAAELPPVPGATVVPFTSMQAAVSKNMVESLAVPAFRVGYPILTDKLDALYEKVLTLEDSENALRFLGLLPDDWINLVKPKGATMTVLLAKAAAMALTQHPVVNASCRDGKSFTYNSNINIAVAVAIDGGLITPVLQDADKDLVKKARAKQLQPNEYSSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVTDKDGFFSVKSKMQVNVTADHRIVYGADLAAFLQTFAKIIEDPESLTLGDSIMEPLDELEQGIEWKESASSDCKLMLVFTVQSLMVDSLLFERVNLLDLLKETAAMITPRTSRHAPITARAIMAPLGSLG >Et_6A_047864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21958055:21959372:1 gene:Et_6A_047864 transcript:Et_6A_047864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQAALDNEQISGSFNMDEMAVQSPGLRQGVVMEFRVHVGGNFDGSASGYSGGRVSSMTFMEPDGVDWFIIQENLVYHGYKGNVKLYYLKPGYMAPEGLVLMLGLEQFRQLMKDHEGRKLCNLYIVKQAKSARSSAESDINQDTYDGAYSSDNSDDSAEDETYRYNPLESYDEEEEVRNNDKGKGKKKNVSKGTAPEAEDEDMYAWEGEEGDDELYVTKATNDGQKNHMDQDVKQFREALKNLIICEGRDVSRPKNDPVQVSAKCNTADCPSMPPDSLMGVPSR >Et_1B_012440.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3270177:3272996:-1 gene:Et_1B_012440 transcript:Et_1B_012440.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYPSTSTPWPQRHPGGAAAPRHVRPAAAAAARNKRRGAGATEEGVDEAAEATELVRSLLRRTGGGKERLVAVLDRHVRVVRTEHCFLLFEELGRRDGWLQCLEVFRWMQKQRWYVADNGIYSKLISVMGRKGQIRMAMWLFSQMRNSGCKPDASVYNSLIGAHLHSRDKSKALAKALGYFEKMKCIERCQPTIVTYNILLRAFAQAGDTKQVDLLFKDLDESIVTPDIYTYNGVIDAYGKNGMIKEMESVLQRMKSKQCRPDVITFNILIDSYGRKQLFEKMEQVFKSLQRSKERPTHPTFNSMITNYGKARLRDKAESVLDKMQELGFKPNYVTQECLIMMYAHCDCVSRARQVFDELVSSQNNVNLSSLHAMLEAYCMNGLHIEADRLLDTAIQKGVLPSGSTYKLLYKAYTKVNNKDLVQKLLKRMDKQGIVPNKKFFLDALEAFGTSERKSRTLRSANSASKPSTDSAANSETTTSSMPQASISQLASHSLQLSTSDAFYLHSTCSSKLLKNNAPVGFVIELVDNSSIVYASN >Et_2A_015247.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:131369:133087:1 gene:Et_2A_015247 transcript:Et_2A_015247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRLALVLVVALLITGELQRARASATPAADVPATEPQEPPPADDDPEPPQAPAPAAPPRRRHGRAAPPPPPPPEQQGQDPAPPRLVVPPQEPGGTPGAGRAGGGVINRTLGCSTLLVFGDSTVDPGNNNHLQTTARANFLPYGLSFFGRRPTGRFTNGRLATDMLAERLGIGRTVPGFLDPNLRLVQLRRGVSFASGGSGYDDNTANRLNAMSFSAQLRNLFRYRLLIRTLLGPRRAERLVNRATFVISTGTNDMLSAYLASNRSSGVSTTVYENYLIARVANYTQVMSMLGGRRFVFVGLPPMGCLPIVRTLVGTGSERCDARLNQLALSFNSKLVQLLNILNYQNQIKTSYIDAYAIMNDATAEPNKFGLSEVSRGCCGSGVIEIGQTCRGRRTCGDPSKYLYWDAIHPTERANQLVADVMMDSIRQLYA >Et_9A_061259.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:375888:376046:1 gene:Et_9A_061259 transcript:Et_9A_061259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVTAGSELSVADATVADVNLRLTWYIFKLNVILKTTKRKTNISNEGSVSN >Et_3A_026598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:10064440:10065962:-1 gene:Et_3A_026598 transcript:Et_3A_026598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEKTGRSLLVPNVQALAQTWNGSTEEVPERFVRTEEVCAEDVVSGCALPVVDLSRLLDPRTSEEELANLGSACQRWGFFQLINHGVPDELIQDVKRVIAEFFKLPLEAKKAYAQLPDSGLEGYGQAFVFSEAQKLDWSDMIYLMLRPTESRDMRFWPAQPPSFRSTVDRYSAESARVVSCLLRFMAADMGLEQPERLFQVFRGEPQTMKVTYYPPCAQAGEVLGLSPHTDACGLTLLLHVNDVQGLQMRMDDGRWLAVEPLDGAFIVNIGDILEILSNGRYMSVEHRVLVNPNKERIAAAMFHQVCPDTTIGPLPELVKGDAGPQFKSVSHADFMKRFFSTKLDGRRSHLDHYRIS >Et_2A_018083.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:126524:127954:1 gene:Et_2A_018083 transcript:Et_2A_018083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASAVRVVSRRTVKPPPRPRERIPLTSWDVAMLSAEYIQKGLLFEAAPFSSGEEGKKKPLVDHLADALAEALATYYPVAGRFATEQHRDGCSVSIDCDGQGVDVIHAVADGVAIADVIPPGDTNDVPRVVQSFFPLDGAVNYDAHELPLFAVQVTELRDGVFVGFGYNHALSDGTAFWDLVNFWAQIARGGVPKANPLFRRWSPDGGETPAQPVVLPYADAAELVERPSPSPPALRERMLHFSAESLASLKERARQELVEAGDASGAAGVTRFQALSSLLWRSITRARRRMAPDAHTVCRVAINNRARLRPPLPHDYFGNSIYAISTEPVRAQDLLLKDRSHGWAAAAVGRAVAAHTDADIRARVQAWTANPVVYTQRFFDPNGVMVGSSPRFDMYGCDFGWGKPLAARSGRANKFDGKTSLYPGREGGGSIDAEVVLSPEHMELLDQDQEFWAAQKGLIIDQTFSTTAHLFSS >Et_5B_045447.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:22895529:22896450:-1 gene:Et_5B_045447 transcript:Et_5B_045447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAAATLLALVAVLAAVLAVPAMATKTGQVTVFWGRNKDEGSLREACDTGLYNMVIMSFLNVYGHGKYNLDLSGHPIAGIGDDIKHCQYIGVPVSLSIGGFGSGYSLPSQKAALDLFDYLWNAYFGGSKPGVHRPFGDAWLDGVDLFLEHGTAADRYDVLALELAKHNIRGGPGKPLHLTATPRCTFPPASYLKRAVDTGIFERIHIRIYDDGDCEAYWHLNWDKWTAAYPATKFYVGMTASMMTNGWIHPKNVYYDTAPFSQKAANYGGFMIWNRYYDKLSNYTSDVKGYA >Et_3A_023751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11885011:11887646:-1 gene:Et_3A_023751 transcript:Et_3A_023751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMDLPRWWMRDQFHGMPHTLRGSCDTCCCWCRLSAPSAGAEEVLVAADGLLGAGVDGDGGATCGGCGRGRAAARPALEPAPRPARGLGLQRVLRRELVEQGLEPVAAAAAGSLVGIGGLWLGGDPVWSSSERPTDTLLPGQELRLGVPLTANEALLQWRAQRRRRWRRRGRGLRRRHISYAPFSDGLEFGAWPRTAAEKALCRRASAKSLSAVMRPTCVVSTFSLPAIDVALELGIPFAFCSKIGELGLTCSTKLFIASGFYTMILEKDGEIISAALLRFHGTKFAEMPFAGTLPVYQRQGMMRRLLKGIEQVLASVQVEKLLVPAIADRVDTWTRSFSFRPVEPQLREEIKKLNLVVITSTTLLPWLRAARRRVGKGERGGGAGSRGAAGRQRLRGRGSQEGREGQARVAHQRMEAAASRKRSRRRRGGRWRG >Et_10B_003607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3674732:3675079:1 gene:Et_10B_003607 transcript:Et_10B_003607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVADSWDDENSPVLQSSATSGLPCFEFLSLSAFEKWTVSVDLETMEVAPGVEDMGRMVYPCELPWPPTFRACVDKWI >Et_5A_040539.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:6853359:6853535:-1 gene:Et_5A_040539 transcript:Et_5A_040539.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKTRQPKVPKLSIECRIKHHVAGFDITVHNTLLPLLVEVQEGGRNASGNLEPQWPY >Et_4B_036532.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28579773:28581392:-1 gene:Et_4B_036532 transcript:Et_4B_036532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSRRRPSEASFYPPAMPEPADADASLQRPWVLLDKKAYIAKCENATTARAPSSGGHKVQVSFCLADPPALSYLCVHCPGPEGHRRDGGFSSEPLVAAAEGAFVLISVRFNFGPRPFCYDSGTREYFVYRAGPGKPWLGSLPQYIESAIKPCQFGILPIGSDDGKRGFVVAALVPLLKPGHVPVPGKPLELVRFSSAMRRWYTMAPRLDPSCGNNNKVWSHETAKVIVLGGGFLGWVDLWRGILVCNVLDEHPVVSFIPLPKTTVPKVWKSYPWLIRDVTSCKNLLSFVEIQIPKTRYDDLPSSYWEYQLISDSDSDSDSDSPSDLDTTGSSSISHGWRATKYERTLFTPSSCWRRDCNIGSADILHGEPSCYLMLPQLRDAKTGKLTLDNLNSSAPVLTMHDDGVVYMMSTTKNTNVQESWVAAFDLRKNALKKLAPCYARRTFSVHATYSPCSFSKYFDRVPGKHYETLEELYGFVLFCMRCCKTCAALEFPLLNYLLTNRKKTLVIIKYLHVCDFGMANLGLGSCERSLSTSLL >Et_1B_014242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4168868:4172498:1 gene:Et_1B_014242 transcript:Et_1B_014242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPAASSFPPNPRPSSSVCPRCRAAPSGDAALRAFRAHHRAGRALDANPAFIPALAACARLRSAAAETEQLHALLAKSGVPRAVSDMHASTSLVRAYARLGRVGDARKVFDEMPERTVVSWNVLLDGFVRAGDLDAAWEVFVEMPERNVVSWNTVIAGFGRHGWAQEAVDLFVEMTVIHGLAPDEATMVGFISAVRDIGLLGLGRSAHGYVIRRQFSMDGALGVALIIMYTRCGSMAAAHNCFSSVSNKNVEHWTSVIGGFAAHGHPEMALRLFDEMRQLGIEPTGVTFVAVLNACSHGGLVEEGFKYFNLMRSMGIRPTIQHYGCLVDLLGRAGLLKQAFNIASNLPENPGFVIWSSLLAACRSHGNVEMAELAARKLADAKPSHGSSYVLLSNTYAGAKQWDDLKRTRRSMEEHGVTKKPGLSWIEVDGNVQSFGTADKLHTESENGTEAPSAMPTKFDVLRLELWLYFADSSSISGRTDNTPCISVS >Et_6A_046532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16681078:16684994:-1 gene:Et_6A_046532 transcript:Et_6A_046532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYTTALTTLFSYGLLFAFGQLRDFFRKFIDWFKAKNVKMADSGVGSMQGYAPICLGLEDFYVRRLYLRIQDCFGRPIASAPDSWFDVVERYSNDNNKTLQRTSNTTRCLNLGSYNYLGFAAADEYCTPRVIESLKKYSPSTCSVRVDGGTTKLHMELEELAARFVGKPAAILFGMGYVTNSAIIPCLIGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNSPAHLEDVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAVGQSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIQHLKLSCPAHLYATSMSPPAVQQVISAIKVILGEDGSNRGAQKLARIRENSNFFRSELKKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQKVAVVTVAFPATPLLLARARICISASHSREDLLKALDVISRVGDLVGIKYFPAEPPKIAEAGHGKLELCKPARQGWLH >Et_9A_063180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14026921:14036341:1 gene:Et_9A_063180 transcript:Et_9A_063180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDYHEEYVTNSRGLQLFTCGWKPVSSSPKALVFLCHGYGAECSVFMRACGIKLATAGYGVFGIDYEGHGKSMGARCYIQKFDNLVADCDRFFKSICDLEEYRNKSRFLYGESMGGAVALLLHRKDPTFWDGAVLVAPMCKISEKVKPHPVVVSLLTQVEEIIPKWKIVPTKDIRKNKLMYQDKPRLKTALEMLRTSMDVEDSLSKVTMPFFILHGEADIVTDPEVSLALYERAASTDKNIKLYPGMWHGLTAGEPDENVELVFSDIVAWLDKRSLHWKPEERARAPPAAEKHHQAATMKIAPVSSSNGGTESPDQPQRRGSFLCGLGGRPNQQQCRM >Et_9A_061570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13953237:13953671:1 gene:Et_9A_061570 transcript:Et_9A_061570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYHVAQRIGFIWKLRKGERRNAGR >Et_1B_011308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2061758:2064485:-1 gene:Et_1B_011308 transcript:Et_1B_011308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASARLASSAASRRVIGSVSITTTRSCYRASRGKAHAAPLSSQEPPPKGQKRISKQERRVMIEEYVEKYRTSNEGKFPSITHVRQQIGGGHYTVREILQELEYNHANPSVASVKKAQGTTKHSRSADESKAAQVQGTVPSFPENSWPKDDSGKNPGNLEACKSDQQDDGLLSLKDATAGTATIEKTETGIPMGSDHAVETEAVKSDFNISDSIKNGDDPTLSEQTESDNMKDLRNEPAVSLGVEAKSDPGKQERKTEANKIDLNSRINSLNTSKSTVSDQSGSDKVIKGNMSDSEHGPKHELKESTETGLLGSLKSFASGIRNFWKSL >Et_7A_050280.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:19015580:19015912:-1 gene:Et_7A_050280 transcript:Et_7A_050280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLILLSTTSRRTLSPTSIATPHSMHLYREFICCLAKKGHDSMGTPVESSTRCTCMRNSPTASCRSTSSCGNQLAKRPLPRVASRNSGGSAAAEASPFTRSGRTIHRNA >Et_2B_020194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17856841:17860425:-1 gene:Et_2B_020194 transcript:Et_2B_020194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPWLAAAGVAPTPPLEEAAAAAAAATSAAGSAPTSEHHPVKEGGIAATAAIPLQEEAKPQLPRDDDSWVKVAVPLAYECLVMCDNALMTIEAEIQEHGQKINRYQAILAARLKTKFFSKKAFDGGIHALFNFLQYLESRVSRWPCTRSFANPELFSRDKNSHEKGTPSSAADFSAKNNSPPLAGEASPKNNVGTLAKENNLTPGKRQQSKKT >Et_1B_009864.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:34950049:34950546:-1 gene:Et_1B_009864 transcript:Et_1B_009864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRVFFDMTVGGQPAGRIVMELYANEVPRTAENFRALCTGEKGTGKSGKPLHYKGSTFHRVIPDFMCQGGDFTRGNGTGGESIYGEKFPDEKFVRKHTGPGVLSMANAGPNTNGSQFFICTVPTPWLDGKHVVFGQVVEGMDVVKAIEKVGS >Et_9B_064198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:12529277:12539020:-1 gene:Et_9B_064198 transcript:Et_9B_064198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEEGELQLEECEAAFGGGAGYGLRCRGGGAGWVVDLDALTYIDEKLQKLLGCFQKKFEGEISAENLGSQYGGYGSFLPTYPQSPLVMSESRSPAVPPHHNSASRSPYVPMETAQKNYFVKTALDSSRRNFHYQISNESNGNPSQQMLDKAANGPEQKAPKIRIKVNSSRSLARNTADIYSGLGLDISPSSSVEGSPEGSARTPVPDVVPDESPCTIFQIMTSFSVPGGHLLSPLSENVLVLREKPKIVIERREASSELHDGKAKLHREGSYTTSATLNNKEQMVKEKTDEMQDNIPDFKRSKHRLKNPPAVNKGIKPKLPDISDDTDSMVLPGTMKTEHLVDSATFMREISDQLKETKNGPPKGHIVDKNRESKKVPSLDHAFSGKTKYDSDEHNSRSFASSSHLQNVPNETTSLERDKGTTVHIEAEHCQYKSKEVGNLSSAESVDIATKTVDRNSSGMIKANKTTSSSQPALSRRKIRVKAHKQLNDDRTRKSCDQDENYALDRRIDSTNAYPQDKKSKLDVETVSSGEIDNRSCGGNGVEHKINSLVMEKSDSMPSASKNENAESSTAPTAAAPVVINEEWVCCDECETWRLLPYGMNPDTLPKEWQCNMQYWLPGMNSCGISEAETSRALRALYMVPASENNIRDCCRDNATSGIGTATAPAFEGNIQSTSASGKRKGCRDGANVANNLDLNDMSKPSKKLHADSSRNSDGVDRFPKHKGKRNHIESSNKGENAAKDRTPPMRSSLGIDQDNLRASKKIKKEFNEPATYHSPEFAVSKSSPINGTQKIMRKHIGNSPAMRKYGSSSGNHFHGEDKCLSDEVIIKISGTGKSDFPDLSTKNKKSKHGLSSQRDPDPLPSSTAKGITKQSESNAVKENPRSELKLSKADRAAAHGRGGIAGVDVVNTHAEKEFLSEQRQEKIHVQHSFPFQSSTRRNFSHTQTTAATSSSSKVSNSHKDKADFQETRASPVESVSSSPLRTSDKNPLDQHKRHSRAVTENILSQESGKKGLSCSKKKYDFGSDSDKAKARVSGSSNGNMDHHVLKDEALLTDDQDLKNACLNNKDSVHGTKNGQVHSVALSLHDNRSHKQRILRENGKTPPHFSSDQSDHANLSSGKVKPDKGNIQYKDLRNPATVKGSNQYPPLTNAANGDATCKAKQSEKVKIENLETRKQVTLSEGAMNPANASVLLKEARDLKHLSKRLKEKGDDFESTSMCFEAALKFLHVASLWEAPSTDSSKQVDSIQAMKLYSETGNLCGFCAHEFERLKKMANAALAYKCVEVAYMKAAFFKHPSAIKDRHALQTASLMAPPAESPSSSASDVDNLNNQNTVAKAVSVRGVYSPQMASNPISRNNHHLMGLLAYTEDVNNGFEGTRKSQNSFSAYLSGIGKNQVDGVALLREVLDFSFHNVKGLLQLIRQSLESINHETVKANSTINDDGTPDLPT >Et_3B_030591.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5124881:5127587:-1 gene:Et_3B_030591 transcript:Et_3B_030591.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNASGSSWCSRVAVLQQVLGSQAASHGFAGLRLLPVPGMEGDDEVTPPMLFRAFASLNLHLLLAYLLLFLLAKIFARLHARAASNNSGSALHTSSEIFDMCMCVFYRVELTFFYFCFPCARSYGCAERTEITETEDIAAADVVGRQRSDTLFWFDEAVFEESTLLGDEGKDHLYAPTTATARCLQVESTFHAGESACISPPSQDHHIDAEKEQELDEAKDIAVDVPAVHVPDQRDVPVVTHVSSIFCLTLDITIWLVAAKVECSREAEDVARCGDDADRDEHATETEAESVSEGHDVKLFVNSRAVADTRKLCVLEGVVAGRGGGAKLQEKEREDRDSSRFGASTLTSESTSKSSVEWRSSTVTKDSETEYPFSSSSRRSSARWESYALFRKYDEDMVYFHRSFRSIKYQPRSMSERIVHKLTPKPSAPIGLRDPYPDLERAYVAQVCLTWEALNWNYTGFRRHNGVDGNIAARCCPARVAQEFQQFQVLLHRFIENEPYEYGRRPEVYARMKNSTPKLLLVPEFREEEDEKDDLISAVQFLHILEDSIRTFMNFLRADKRSHYEMFREMVKRRSSAEDQSLVLTLKKTNKKKKSRLKDVTRPRRCLKRTKLREEEELSILLGLIDLKVVARVLRMPDLTDQQLHWCEEKMNRVSVDQEGRMHRDPSLLFFPAH >Et_1B_011151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18021816:18022742:-1 gene:Et_1B_011151 transcript:Et_1B_011151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQWHRLHAAEAATTSKSLGSPLPLGAVEYRALIHALAAPAQHQAAAAPVMPFMADSNEKSPPAMVVGAASYLITPARSAAAAAYCYRVGPAPRAMKRYGGGCSYGHLVPASSAAKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTYDTAEEAALAYDGAAFRLRGDAARLNFPELRRGGHHHAPPLHPVVDAKLHAATTAAPPAAAAAAAAAADSSSPAPPPENADDVNNSEVSTTTTAAADGLVAAPPPPEMQQQHPDVSAAAPTWHEAADDGALRKYPSLEIDWDAILS >Et_9B_064566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16519879:16524063:-1 gene:Et_9B_064566 transcript:Et_9B_064566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQQKERGYPEIEVYKHILELRFEDHVRSPNGPYQQGPGGLNSIGFAVIRKGCIKLRCYATGDGLGDPGYLDDPFKESSNGSVFQGLHESGASVRTVGAEITQETGGFFVSDAEGDPDKPTDGFSSIDQAITALREGKFVIAVDDENGDNEGDLVMAATLVNSESIAFMIRNGSGIISVGMKEEDLARLMIPMMSPITEIEDISSAASTVTVDARLGISTGVSAADRAKTILTLASPDSKPSDIRRPGHIFPLKYRNGGVLKRAGHTEASVDLVSLAGLRPVSVLSTVLDPKEGSMAGITVLQQMALEHDIPIISIADLIRYRRKREKLVELIAVSRLPTKWGLFRAYCYQSKLDGTEHIAVVKGDIGDGEDVLVRVHSECLTGDILGSARCDCGEQLDLALRLIEKAGRGVVVYLRGHEGRGIGLGQKLRAYNLQDEGADTVEANIELGLAVDAREYGIGAQILRDIGVRTMRLMTNNPAKFIGLKGYGLAVVGRVPVISPITKENEKYLETKRTKMGHAAACRKNSSIQRTPTTSKTDTRSTIIFLNENCRYLGALGDGVLGELAGEDEAHGGLDLAGGDGGLLVVARELGGLAGELLEDVVDEGVHDGHGLGGDADVRVHLLEHLEDVDFVRLHALLGLLLALLVAALLGRRQPLLRLGLLLRLGSLFRLLLCGLLLGRLLLRLRRHHERIGARTARG >Et_9B_064515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16042079:16043800:1 gene:Et_9B_064515 transcript:Et_9B_064515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIAAYLLAVLGGNTSPTADDVKNILESVGAEADEEKLEFLLTELKDKDITEVIAAGREKFASVPSGGGGVAVGAPAAAGGGGAAPAEEAKKEEKVEEKEESDDVSIFLLAVLLFHFVLCLHKFFKQDCPFRQLLDSSADSLFFRCSFHYKHDMGFSLFD >Et_6B_049899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17081457:17082217:1 gene:Et_6B_049899 transcript:Et_6B_049899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRQRRRARTHEDTPAFRFPAAARYHGSCDDWLLFRHRDDGRYTLLNPFSGETMRLSSFRYPEVRGHDEASTFDNDIHDGLVLRKVLMCPGQVVVALVADDRQRSKVAVWLPAGTDDDDDRWLLSAHDPWRALRDLAFYDGKLHAVDAYGDLYARAGWWTRPTAAKRTTTAIPWSASRYLIASGGRLLMVHRVPRDGDEDASEFEVFAADLAASRWAKTSAATPRCSSGSGAPSRGAWPGTVGCPGT >Et_1A_009169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:31612499:31613366:1 gene:Et_1A_009169 transcript:Et_1A_009169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVAEEESSVLSEVKKQLRLAGPLAVGCLLQKIILTISLMFVGHPGEIALASASLATSFAGATGFYLMTGMACSLDTLCGQAFGAGQHHLVGVYKQRAMLVLALVSVPVAVVWAFTGEILVWFRQDPEIAAAAGSYIQRMILALLLFGQLQCHVLFLQAQNLVAPVVLSSGVAAAVHVAVCWLLVRRLGLGADGAALAIAVSYCFYLSLLALYVRLAPSCKATWTGFSREAFRGIPGFFKLAVPSALMIW >Et_3A_025454.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:291744:292594:-1 gene:Et_3A_025454 transcript:Et_3A_025454.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLWLPPLPTRSSLFLLPNNRSSSAGPPLCNKQAGRGNLLLCSSSGVSSSSVVTKEQEEAATAPSEEVSEPALLTYKDDPSFRGCKGCGRDEVERGCNGEGRIQGGIAAVPGFGWWPIKAYRPCPGFVASGGRYRRQGQSMDDVASGRGKKVSSGKKNR >Et_4A_034722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5862772:5865889:1 gene:Et_4A_034722 transcript:Et_4A_034722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPAPAVGLAILVLLAALPGYLSDDLNSDAQALQGLRSAVGRSVLTSWNSTTPTCQWQGVTCENGRVVELRLPGAGLMGNLPSDVLGNLTALRTLSLRYNALTGPIPDDLSRLAELRAVYLQHNSFSGELPASLFGLKNLVRVDLAGNKFTGEISPDFNKLIRLGTLHLENNNLMGEIPKLDLPALEQFNVSYNQVNGSIPTKLRKMPKDSFLGTGLCGGPLGLCPGETAETPAGSPEAQPLAGGGADGSGGKKKKLSGGAIAGIAIGCVFGVLLLLALLFFLCRKKSSAAPRSAPAVEKGRDLGVTPMDAEPKGQNGSAAGNGGAAAAVAVPAAATAVAAAAKTGGSTGSKKLIFFGPMAAAPPFDLEDLLRASAEVLGKGAFGTAYKAVMESGAAVAVKRLKDVDLPEPEFRERIAAIGAVQHELVVPLRAYYFSKDEKLLVYDYMANGSLSALLHGNRASGRTPLDWETRSAIALAAARGVAYIHSTGPTASHGNIKSSNVLLTKNYEARVSDHGLPTLVGPSFSPTRVSGYRAPEVTDIRRVSQKADVYSFGVLLLELLTGKAPTHAVVNEEGLDLPRWVQSVVREEWTAEVFDQELLRYQNVEEEMVQLLQLAIDCSAQHPDRRPTMSEVAARIDEIRRSSLGGDRQPVDGAVDNNEGDEPSL >Et_9A_063293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19135849:19138059:1 gene:Et_9A_063293 transcript:Et_9A_063293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METALVGNPVNGAAKPTCNGVGALPLANSHAVISAPPAAAPPSAACATLGRHLARRLVQIGATDVFAVPGDFNLTLLDYLIAEPGLNLVGCCNELNAGYAADGENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQAITCYQAVVNNLDDAHEQIDTAIATALRESKPVYISVSCNLAGLSHPTFSRDPVPMFIAPRVSNKANLEYAVEAAAEFLNKAVKPVMVGGPKIRVAKAKKAFAGLVPEHHPRFIGTYWGAVSTTFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKREKAVIVQPDRVVVGDGPAFGCILMADFLRALAKRLRRNTTAHDNYRRIFVPDREPPAGKPDEPLRVNVLFKHVKAMLSGETAVVAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQMTAQDVSTMLRCGQNSVIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLVDAIHNSHGNCWTKKVRTEEELKEAIATATGAKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >Et_2A_016047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20490585:20494282:-1 gene:Et_2A_016047 transcript:Et_2A_016047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTRRRHAVSHADEQEISLCDPNSEDDRRRRKIGSLRRKAFHALRKKRARRRVDFRFPADIPIEDVRDAEEERAVAAFRDRIATNRLLPDKHDDYHMMLRFLKARKFESEKAMQMWAEMLRWRKEFGADTILEEFEFNELHDVLRYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITTVERYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVHGVGLKNFSKTARELVQRMQRIDSDYYPETLHQMYVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGSNYQSRLAEVIEPSELPDFLGGSCTCSDSGGCLGSNKGPWNDPVILKDMLSDISNAESESDVDDVGPSVPRKSTDYSVLTPVREEVKVPDSSIFCSSDTKKLLEMMPGSSQSAQELERVPLQLTSQKHVFSLGWLHCLGNISLSLHGASAGRTLENFVRGLVTVLIKIASFFHFFVCRQECTLENVHPYTATEQPKAQCIREEDMSACIQRLEKLESLCNHLMCKPPDMPKEKELVLLQSLDRIKSLEAELESTKRELQAAEVKQMELVETVEALKRRSSSVRLAEDYCPRNPDQ >Et_7A_050755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10793109:10794904:1 gene:Et_7A_050755 transcript:Et_7A_050755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGDDGGVPENANEHCPGTQSETAGNAEACAGCPNQQICSTAPKGPDPDLLAIIERMNTVKHKILVLSGKGGVGKSTFSAQISFALAEMDYQVGLDIDICGPSIPKMLGLEGQGIHQSNLGWQPVYVESNLGVMSIGFMLPNQDDAVIWRGPRKNGLIKQFLKEGDIDYLVVDAPPGTSDEHISIVQYLQIAGIDGAIIVTTPQQVSLIDVRKEINFCKKVGVPVLGVVENMSGLRQAISELRFVKPSESGETDATEWALSYIRNNAPELLSVVACSEVFDSSKGGAEKMCQEMGVPFLGKVPMDPQLCKAAEEGRSCFTDQRCGASALALKEIINKLIKTQ >Et_3A_026218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5676565:5682905:-1 gene:Et_3A_026218 transcript:Et_3A_026218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTLLVILVLQAVLVMGIFAAVAKENAVGESKAIDINPGQLKCCTNCNFSFSGLYTCDDIVAKKCDPVCKKCAVMKSSTLLVILVLQAVMVMGIFAAVAKENAAVGENKGNRETNSGQLKCCTNCNYSFSGLYTCDDIVKKCDPVCMVCSAVKTPAGKRFQCTDTFLGVCGPQCKKN >Et_2B_021077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26243070:26244802:-1 gene:Et_2B_021077 transcript:Et_2B_021077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSLSLARLSLPRAAPLAAVSAGARTQPVASLAFPAKSFFGAPLAVRAHVSASPLARKPATSRPLAVVAAGKKGYKMKTHKASAKRFRVTGRGKIVRRCAGKQHLLGKKNTKRKKRLSKMVQVNKSDYDNVTGALPYLKVNRKAE >Et_4A_032003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11532970:11533296:-1 gene:Et_4A_032003 transcript:Et_4A_032003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEWAWSAASSDDETCWGSPEECPVVYDLDAADGGDGAAGVGRRRLQYYYDHYISYSVLTRDAVPCSVPGQSYYNCEPGAEANPYSRGCSAITQCRD >Et_2B_021215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27568291:27571510:1 gene:Et_2B_021215 transcript:Et_2B_021215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRPAPPPVEPSPASKLHSEVHSKEPASGAPALKTPKISPEILRCLPGQDRKVYELIFEAGGKGMWMLDVRNKTGMAPPTASKVLRGLVAKGLLKEVSDVRHRSKKIFMATEFEPSTEITGGTWYHDGRLDTDAVAAARRSCLAQVQKLGVATVEMIHNGIEKDEPRAGYAMDKIRDILQTMVLDKVLEEVKSNGEGEFAAIRSGRMCYRVAGAAQGGMMEGIPCGVCPRIDECSPDGVISPNTCVYFKKWLQMDLACDLVLPSACRFLARFCGEVCCCKDKEDVLSQVVTLPGCCPAA >Et_7B_053481.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:22355121:22355150:-1 gene:Et_7B_053481 transcript:Et_7B_053481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADCRRTE >Et_10B_004178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17613585:17618415:-1 gene:Et_10B_004178 transcript:Et_10B_004178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDALRRLCEEVGWSYAVFWKAIGAADPVHLVWEDGYCGHASCSAGSETSEVGCEQGSSVCTLVRKVMASQVHVVGEGTVGRAAVTGNHLWIVHDGANDHKLRSEVAAEMNHQFLAGIQTIAIVPVLPRGVLQLGSTSVVMENTNLVLQYKKLCSQLNNRSSTASSSSVKNDMNQKVQSRPSNTPPTPGPYEQCYGLDATTVSNSTLSNTARNASMLMVAQRNGQAVKEHILYAPDLRFRQQTTYCDTRPESNTQSSVVSSGFFSSVSTGMEKHPLMRSNSGRLEQGNMEDISDPRNVLLKSLACRDPLVHENANTALLHSRSQAPDFLNGRGNFDFLPGGSRVVKGNLYASAENQMLGHRCNSISGMTGYRPTISYKIPQSAQLVMKMESPKRETVQDSVALPGSDIKVSCGLRTGVSQENQMSSSDPVCQKMVNEGNDPADVIVQVVKNMDSRKLPDTSDERAASLLVDPTTENDLFDMFGTEFHQLYSSVDNDLTWKTAKPESSTRDAPESSVHHYTSRAYDSVGEEFPYSGTFSLTDTDQLLDAVISNVNPGGKQISGDSASCKTSLTDIPSSSYCRSKETKHCESSGAPPLPMKNEFAVSNFVKQPCFLEKAEEGCLSQNNGMQKSQIRLWIESGQNMKCESVSASNSKGVDTSSKSSRKRSRPGENPKPRPKDRQLIQDRIKELRELVPNGAKCSIDALLEKTIKHMLFLQSVTKHADNLKDSNESKILGGENGPLKDCFEGGATWAFDVGSQSMTCPIIVEDLERPRQMLVEMLCEDRGIFLEIADFIKGLGLTILRGVMEARKNKIWARFTVEANRDVTRMEIFLSLMRLLEPSCDGGGPGENPNNVKMPLGVVQYPVIPATGHLR >Et_1A_005045.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23285467:23285736:1 gene:Et_1A_005045 transcript:Et_1A_005045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVCKRTPRWTPLYIGWVKCNTDGAYIDDTRKGATGAAGTFLGTQALQYNDCMNALTMEVLACRDYARSRGAHLEADCQECTGQVMRDA >Et_3A_023609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:150119:152793:1 gene:Et_3A_023609 transcript:Et_3A_023609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALGTLSAGAAGVAGLLSLRRRAAPAPAPALPTPAPPAIKCAAVPDAGQLVWGRQLRPSLLLPAAPLSARKQTIRPPAAAAADEAKPAGFAEKYPALVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSAIHLGVGVIYCLGSWSVGLPKRAPINSNLLKLLFPVALCHALGHVTSNVSFATVAVSFAHTIKALEPFFNAAATQFILGQQVPLSLWLSLAPVVIGVSMASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALIVCIPPALIIEGPQLMQHGFKDAIAKVGLQKFISDLFFVGLFYHLYNQIATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNRISTQTGIGTTIAIAGVALYSFIKARIEEEKRVSL >Et_1A_005130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28432611:28433779:-1 gene:Et_1A_005130 transcript:Et_1A_005130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSSEPAAAGVKRPRERIRIAFTHDYEETSPLGSGGFGVVVKARHRVSGEAVAIKRVRYSDERSKEEAQAEVKREAQFLDACKGLPFVVGYHGLARDLANSELCLLMEFVAGPSLHAYLTDDGTPPLPERTVRSLMWQLLTGARNMHQRRVVHRDIKPGNILLAGDKSVVKICDLGLAISTKLPPPAPEMLLGKTDYDERVDAWSDAVRRRRRQRRGGGQRREEEVGQLAAIFDVLGVPDGRTWRGFKSLPLADEVTKKMKKKQIRRRNRLRDIFPEETLSKQGFDVLSGLLTSNPAKRLTAAAALKMPWFNTVQALSLRHKDVEAVPAVHKRKRVVIVPPQATPKRKRVVIIPPAMPNVLLAAA >Et_8B_060823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8439740:8443218:-1 gene:Et_8B_060823 transcript:Et_8B_060823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSAGTAASHAPHHLHISIATPAASPSSNSNHHSSRSPGGSPSQSQNQACAACKYQRRKCNADCPLAPYFPADQQRRFLHAHRLFGVSNILKTLRRIRPDLCADAMATLIFQAEMRAQDPVGGCYRLVLALERQLDALRAEIAAVHHHLALCRQAAAVPPLQADIDDVAAASDNHQPPLLLNADQEVVDQELYANPDTRTILHADDHSPEQGHHGGEEQQLFDYFYYDDTTGAGDDASSKPVVTTLDINVDDTIQQFDYDADRHKVVDMAPAVHEEMPIGQQQQQLDINCNIDHNNEDYELKAGLLVDVFDMQLQAVDVNADDDDIDIKAVGVNAGIDVNTVGMNAHVDLNEELHELENTKNNIAVSEAPQTAVEPSQCRLGNESLRRSIMEKE >Et_7A_051085.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14216312:14219161:-1 gene:Et_7A_051085 transcript:Et_7A_051085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERERDEGEAARAVTGGPLQQEGLVLDKAEGERDEKGGEDEKGSEPVDEEKEEEEEVQDEWSKLRLAIAELSTAKLKHGGVKPAADSPPPTLPFLGLSHLILRVLDKIGPTMAVLRLDVQRNIERLQELYLLDPCKYSTLTEIVEKEVKDGTARKVDSCSRAVLWLTRSMDFTIALLQRLGDDSNQQSLAQHVEAAYNVTLKPWHGWIASAAYKIATKLIPETKVFTSMLVGMDQDCAVPKDEIEKLALLLQPLLDDIHSMMAKFRLDRLKST >Et_3B_028097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10111203:10112450:-1 gene:Et_3B_028097 transcript:Et_3B_028097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPAAPAASCGFDFDVIVVGAGIMGSCAAHAAASRGARALLLERFDLLHSLGSSHGASRTIRDAYPKPQYRPMVRLARRLWADAEAESGYRVLTPAPQLSMGPRSNAALMAAVESSGAAEVDGLSRRWGGAIRAPVDGWVAAVSENGGGPLHTMVLYWRAKPGRERDLTAEAGGFPTFSSYGDPHVYGTPSLELPGLIKINYDGGPACDPDGRDWADGGGDVAGQVARWIEEFMPDHVDAAAGPVVRQPCMYSMTPDKDFVIDFLGGEFGRDVVVGAGFSGHGFKMGPAVGSILAEMAMYGEARTAAEAGIELGHFRINRFDGNPMGNAKDK >Et_8B_059099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1375266:1387643:1 gene:Et_8B_059099 transcript:Et_8B_059099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVQNIVRQLFVDSLNEAVKTQEKSSPGLHDLRRMGTMMEADLIGAFKEYVNEKSFLIVLCNISSNEEWDQIKTCFPNNKKGSPLIVCTEKIEVASLCVWKETEIPEHKQLSTDPALLAFYEKDSKDGTYSMESEPTSNLEITDNNGSADERRFTRMATMVSAFKGSQLIGRINEKSEIIKLVSDNSRQEFQVISICGMGGLGKTTLVRDVYQSQELCNMFEKRACVTVKRPFNPNELLNSLLTQLIDNKEEAGGNREKTGNQPSLTDTLDGKKYMVVLDDLSSTAEWDAIYHYFPTTEAGSRIIVTTRVKNIAKHCSKEEKNIHKLQFLQEKDACNLFTEKVFGKTINLEEQYPDLAEAAKLILRKCNGLPLAIVTIGGFSSKLPKTPNEWRKLNDHIGVELEMNQELRTIKDILVKSYDGLPYHLKSCFLYTSIFPEDHNISRRRLVNRWIAEGYSSGLHGKSVKDTADNYFKELIDRSMILPFRESVGCRSGIDYCQVHDLMREISISKSMEENLVFRMEEGCGSYTSAIARHLSISSNWEGDQREFESLVDLSRVRSFTVFGRWKPFFISDKMRLLRVLDLEGTSNLFDHHLMQIGMLIHLKYFSIRGCGSIYHLPDSLGNLKQLQTLDTKYTGVVKLPRCIIKLRKLQYINAGGSDLIDIYEGFAAGEDLPKLLSNKLCICASYSIRKSVACFNYVDRKMILDDEEGSSNRRDVCTWYCHAVLPVLARLADPEGVVVPRGLWKLKVLHKLGIVNIARGMSILQEIKYLTQLRKLAVVGINRKNCQKFCSILADLNSLETLSVTSYEEHGFQGFLDDVPSPPKSLHSLKLMDWAAPESCELRLWNTKLSEVDGTLQVLDKLPNLAILRLWDGSFDQHGTVLRLTFRQGTLRSLMVLEIRMYFAYLKFEEGATPKLELLQFDWATVVTRLISGLASLPSLKEVMLKGHCRNYYVLKSMQAQLADNKNGALPQCIINLRKLQYIRAGGNGILVADSYEELTEEIDIIPEILRNKLCICTVISAITAVACCRRAIREDIFLDGEGESNIHDVE >Et_3A_023334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21289823:21291193:1 gene:Et_3A_023334 transcript:Et_3A_023334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFAARGVKCTILTTPVNAAVIRSAVDRANDGADAPPIDLAVVPFPDVGLPPGVESGPALNTQEDRDKFFHAARQLREPFDRFLEASRPDAVVADSFFDWSAEAAAMFARACNDSMLRHDPVGSAPDDPDAVVALPGLPHRVELRRSQMMDPKKRPEHWAFFQRMNAADQRSFGELFNSFHELEPDKDVAIRGAEELSPDADGCLGWLDAKPVGSVVYVSFGTLASFSPAELRRGRIIRGWAPQMLILNHPAVGGFVTHCGWNSTLEAVSASVPMVTWPRYADQFFNEKLVVELLKVGVGVGSADYASKVETRRVIAGEVVAEAIGKVMGDGEEAEAIREKARELGEKARGAVEKGGSSYDDVGRLVDELMARRSSVNV >Et_9A_062905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6476307:6477336:-1 gene:Et_9A_062905 transcript:Et_9A_062905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPEVVVEGVVFPPVVCPPGSGRSHFLAGAGVRGIEIEGNFIKFASIGVYLEDAAAAALSGKWSGKAADELAGDPAFFRDIYTGEFEKFTRVAFIGRPVNGEEFIAKVMESRVAYLKSAGTYTDAEAAAVDEFKAAFKQQTFPPGASVLFTHSPAGVITVAFDEDSSAPDMVVAAVENKALCEAVLESIIGERSVSPATKQSIASRVPELLKSPA >Et_5B_045577.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4837289:4841427:1 gene:Et_5B_045577 transcript:Et_5B_045577.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVESKNVVSVTPAADCSGALRDRSHPREPEPVALPVPPVPVSVSVSSLRSSSSAARRLEKVRDEAEEQGKAAVAVAVPAASRSFRLRSLRRSLEGEQVAAGWPPWLSAVAAEAIQGWIPLKADSFEKLEKVGQGTYSSVFRARDLETGKIVALKKVRFDNFEPESVRFMAREIQILRRLDHPNVMKLEGLITSRLSCSLYLIFEYMEHDLAGLCSSPDIKFSEAQLKCYMNQLLSGLEHCHSRRIVHRDIKGANLLVNNEGVLKIADFGLANYFDPSKNHPLTSRVVTLWYRPPELLLGSTNYDAAVDLWSAGCVFAEMFRGKPILQGRTEVEQLHKIFKLCGSPTDEYWKKSKLPHATVFKPNHPYPSTLRDCFKEVPENALRLLETLLSVEPYRRGTASGALTSEFFKTKPYACEPSSLPKYAPNKEMDAKLREDLLRRKARAHGLDASKKSSRLGRAAREPTSIAKQTNNTEDSKPKVDGTKGGTIQERTKVSGDIRLFADIQAVSAAQVKERARHTKNESREEIPYSGPLIVSSSSGFAWAKKPQEDRSFARLRNRSCSRSQFTGEIDQDNNSQAKENFGLREQPNRDVHIARTNSKVQEANDMAKRAVLKKWSQLERPDSFDSCDTYHSQNFSKALYLGETLSSKNSFKGDHDQGEKVEYSGPLLSQTHKVDELLQKHERHIRQVVRKSWFRRGRKQDK >Et_6B_049218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:264778:265009:-1 gene:Et_6B_049218 transcript:Et_6B_049218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYPSITDRFCKKRSKEAKPSAVFINYRWLGDDGAFRPVSPFRYTVFHAGSRMCLGKEMAYVQMEVHCRERA >Et_1A_004752.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:37968110:37968484:1 gene:Et_1A_004752 transcript:Et_1A_004752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIICSFLLFLLFVELSSSVQLSDRCPAQRRGPEDAAAIVVGPVSNSAASKSTSVAANVLPQEVSSEAYTRARRGSALGDLTLSITKIDWSLSIANVLISTTLLVSEIVNSMSQMRDFFFLFY >Et_5A_041829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3188415:3193097:1 gene:Et_5A_041829 transcript:Et_5A_041829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGEDAAAAGGGSGGGSSGGGGAGSSGSGGGARRRFDDKGLVARTSLILWHTHQNDVNAVRKLLEEDASLVNARDYDSRTPLHVAALHGWHDVAECLIANGADVNAQDRWQNTPLADAEGAKRQAMIELLKEHGGLTYGKTGSHFEPKTIPPPLTNKADWEINPLELDFTKAVIIGKGSFGEILKANWRGTPIAVKRILPSLSDDRLVIQDFKHEVNLLIKLRHPNIVQFLGAVTETKPLMLITEFLRGGDLHQYLKEKGALSPLTAVNFALDIARGMAYLHNEPNVVIHRDLKPRNILLVNSAANHLKVGDFGLSKIIKAQHANDVYKMTGETGSYRYMAPEVFKHRKYDKKVDIFSFAMILYEMLEGDPPFSNYEPYEAAKYVADGHRPTFRKGYSNDLKELIELCWSADINLRPSFLEILKKLDKMKENLSHDSHWHLFQ >Et_1B_012942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4275115:4276716:1 gene:Et_1B_012942 transcript:Et_1B_012942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTETEKKKAPVALAPIAKPLAGKKLCKRTLKLVRRASEAKCLKRGVKEVVKSIRRGNKGLCVIAGNISPIDVITHVPILCEEANIPYIYVPSKEDLATAGTTKRPTCCVLVLTKPAKGEISEEVKEKLKSDYDQVVTEVAEATSAMF >Et_4A_032413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:157728:166267:1 gene:Et_4A_032413 transcript:Et_4A_032413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAYERRLLAAADLALSADDRDQGAPSPSDLGVTADLKPHQLHGVDWLIRRYRLGVNMGLGKTLQAISLLSYLKIRCIAPGPFLVLCPLSVTDGWLSEFSKLCPSLRVMHYVGDKMHRRDLRRIMYEDVQKPSATSDSDELSFDVLMTTYDIALMDQDFLSQIPWHYAVIDEAQRLKNPSSVLYNVLEQRFIMPRRLLLTGTPIQNNLSELWALMHFCLPSIFGKLDEFLSTFKEAGGSLAGAEANRANREFKILKHILRAFMLRRTKALLIEGGILALPPLTELTVVVPLTQLQKKLYLSVLRKELQTLLSLTGGSSRNQSLQNIVIQLRKACSHPYLFSGIEPEPYVEGEHLVQASGKLIVLDLVLKKLHELGHRVLLFAQMTQTLDILQDFLELRNYTYERLDGSVRAEERFAAIRKFSSQSTKGLMRDDNQSGAFVFMISTRAGGVGLNLIGADTVIFYEQDWNPQADKQALQRAHRIGQLNHVLSINLVSERTIEEVIMRRAERKLKLSHNIIGEDDATVGKGEDLGNEANDMRSIMFGLHLFDPIDTAAETINGDSSAETISLETLDKLKTMSEKIVMMRSHVTSDKDERTFEINSNLIDSDGTRMRRASDILTVDPGLSEAAYLSWVKKFKEASHSIEDTTVQLGRQRAAPEEKFLKREANKKKAEEKRIAKWEALGYQTLAVKDPDIIAGQNFPDSGSVQLVYGDCTDPSKVCPGKPAIILSCMDDSGTWGHGGMFNALTSLSTCIPDAYHRASEFDDLHVGDLHLIQLDEANCSRSLDAALWVALAIVQSYNPRRKVPRSEISMPDLELCLSKAAFTAAQHSASIHMPRIGYQGGSQRSEWYTIERLLRKYSSLHGINIFVYYFQRSSRQQSDSN >Et_8B_060695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5020986:5026161:1 gene:Et_8B_060695 transcript:Et_8B_060695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPPQLRPRLPPPDAEEEEAVLEPLPPELLDGILSLLPLRDAVRTSALARAWRRRWESVPSLKFHWGEDADPGAITDVLQRYSCPVSEFRHRRVGKDSFRHSKRWIRLLARKAVQHLSLVFELSPELIHTLSRSIFSCRELTFLSIDNCIVPAMPPGSAGFPHLISLRLSCVGFPESARDLELLIASSPLLENLCLQQLQAPYSDDMHDPWVIQAPKLRRLVIIGFFDHGWQISDLPSLELARINCESGRDFAKLMTGVARASDLDIAIPVRSAPKLEDLTIELSDGDSDDDEVGNDFLNAQWTDDLFSNLMHARRLQKFHVYLDKDCPKSNEDAVTELVKYRRASPRAKSKIHDGSNHAHREI >Et_10A_000969.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19744613:19750205:-1 gene:Et_10A_000969 transcript:Et_10A_000969.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAASMRGGAGGKAARRPGRGGVRRRGAVVLLLALAYAAGLLMFVLGGKVSPPSPGVEVRGPRRRSAEEAAPPRPGSVYRSHLVFDRLWPAMRDDATHAASASSLSSAASWRRSMLMTSRYQNPVEPWTPCVNSRLIRSELPPSNGYLMIEANGGLNQQRLSICDAVAVASLLNATLVIPTFHLNSVWRDRSKFGDIFDEDHFIETLKEHVRVVKKLPEDVLLRFNYNISSIPNMRTKAYSSPNHYVQKVLPRLLELGVVRIAPFSNRLAQSVPSNMQALRCLVNYHALRFAEPIRNIAEGLVGRLIKKCSLTGGNYVSVHLRFEEDMVAFSCCTYDGGWKEKTEMDNARERSWRGKFRRHGRVINPEANRRDGKCPLTPLEVGMMLRGMGFDNTTTLYVASGKIYNSEKYMAPLRQMFPLLMTKETLALPEELAQFEGHSSRLAALDYTVCVQSEVFVTTQGGNFPHFVMGHRRYLYGGSAKIIKPDKRKLVLSFDDPNISLDRFKHHMQEILQHSDMRSIAFRKPNDSVYTFPMPDCMCQQDGMAEDGSERALYMQLQPSVASSSKNF >Et_1A_009534.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:7435812:7437920:-1 gene:Et_1A_009534 transcript:Et_1A_009534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALFGSERNGAADLVGGGKALFWQEGKNALVEPRSVLDCTRSPSPNNSTSTLSSSLSSGAADPTGVATVSDNSAAAAAAAAEATKWGAPGAHGGGEKEDWAGECDLPPIPGGLDMGLIGGESWDAVLGNAAAAGQDQTFLNWIIGAAGDLDQPGHQPPLLDNAGFGFPATDPLGFSLDPHLGGVASDLSSLGAVSHTSNSKGTSPFGLFSPDSSSLQPPLPPMLFHEGIDTKPHLPAAQAPGLNQYQHQPPPPASTFFMPLPSFTDHNQQSPLLQPPPKRHQSIADGIYLARNRLPSAGATQGLPFPPIHGPAPFQLQPSPPPPRGAMKTTAAEAAQQQLLDELAAAAKAAEAGNSIGAREILARLNHQLPPLGKPFLRSASYLKEALLLALAEGHRGASSLASPLDVALKLAAYKSFSELSPVLQFTNFTATQALLDEIAGSTASCIHVIDFDLGVGGQWASFLQELAHRRGAGGAALPFVKLSAFISAASHHPLELHLARDNISQFAADLGIPFEFNALSADTFNPAELISPTGDEVIAVSLPVGCSARAPPLPAILRLVKQLNPKIVVAIDHGGDRGDLLFSQYYRNCFQSCVFLLDSLDAAGIDADSACKIEKFLIQPRIEDAVLGRFKSDRPMAWRSMFAAAGFAPVPPSILAEAQADCLLKRVQVRGFQVEKCGVGLTLYWQCGELVTVSAWRC >Et_4A_032906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15481993:15483553:-1 gene:Et_4A_032906 transcript:Et_4A_032906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGHDVAGRVEDDAIAAITQVWESGGSWQQAGQHLPRSLVTSPARVDMLVSTSEVVCETCGSGSAPHLIANCARCNAYQHCYCMQVLTFVIPLVWFCYRCQRKANRADRS >Et_2A_017843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:704314:706608:-1 gene:Et_2A_017843 transcript:Et_2A_017843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSVASLAELEALIREQPGVEDLEVVSLPMRDAEAWKILMGGMLPAWIWLTTLREWIASSSSWRRRTSPLCVNNRSEDNLRLLITRVEEAIILAQEIGGQLVEQIRALCEIIKSLLVNDDCDEDVQRVRDAVDDLRSMRYNSVLATSIFAHTNPSLNQPIEPSVMSTMAATSSSTFTAAVFLFTVAFLAAHHVADGRLAAGMADNNNNNRHDDLVAKACTNASWYYSEQHMAQEYCDSTLRSDKRSTEAKVPGDLALVALDLLEHVAADAAAKVAGQSKVAAIYRGYCRVDYSILAQTIPLCRSLVQEYRDGKGDQGSGYFYFGCADKLRNAANDCWEFISMVMNTEITEDVGELVVEVTNRATLAKAMVEQMVGEIDDPWLEK >Et_2B_021882.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6408964:6416594:-1 gene:Et_2B_021882 transcript:Et_2B_021882.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPIARKSDSWVAELAMANCGGGESCGLGVRPINGGRGGAVEQREAGQDGHPVSSPERVCTPPTLRVGGWRRLSSPGPVLCSTPRSAGYEDGEDPERYFSPQSEISQDTSDTDSMSTSISRVYTFRLGTSSPLDSPMKQLEGNTTPLSRRSVHSGQYSPSYPWDSGRASDDVDSSFMNSSPRDDQQNKDAVHQIDFESNRHIWYPPPPQDEGDDFENGFFEYDEDDYNDVSDGKVFGHVNLDHCDDDLGIKGKQNIAHKEFLRNSLHGHFRALVSQLLQGHGIDPVDGWSDIVSSLAWQAATFVRPDTNEGGSMDPTDYIKVKCVASGNPNDSAFIRGVVCSKNVKHKRMVSKHENPRLLLLGGALEHQKVTNKLASINSIIEQEKEYLKNAVAKIEAQRPHVLLVEKSVPLYAQQLLAKDISLVLNVKRSLLERISRCTGAQIASSIENITSTRLGQCQTFWIERVTECSSPKISNKKTPRTLMFFDGCPRRLGCTVLLRGRSYEELKKIKLAVQFAVFAAYHLSLETSYLADEGATLPKVPSDLQLEKQNLSSSYCPQNMNEFRIIDERASGNECIMPCLDGSSANQSHSRADTTPEDCVKSYSRTNSSQEEYVDGAMDEYPNSTKASIQHVGIDAHTSRSLLTRMPTDVYISHNGHRDEMICGMVKVESDLDNGWHHTSDEDRAAVHDYSENQNEYFPISENPQSILVSLSISCPLRGIVCKQSQLFRIKFYGTFDKPLGRYFREDLFVQTSCCESCKEPAESHVRCYTHQQGSLTISVRTLASVKLPGEHDEKIWMWHRCLRCKPNDGMPPATQRVVMSDAARGLSFGKFLELSFSNHATANRIACCGHSLQRDCLRFYGLGSMVAVFRYSPVDILSVNLPSSVLDFAYPTTQDWVIKETADVASKKEHLYMEIIDKLDCIEKIVQSQNVSMTSGLYKHVTDLKELIKVEWKKYDVLLGFSNTDDLQTLETTIDVLELNRLRRELVLDAHIWDRRLYMMHSLTRENCRTVPTDAQCSEKLTGSFTEESNDVICNKHTNIENSLEHAQSSTLEVDTDSGRPSPTKEQENTSVSHLALKTNTINDVKGEGVLAEELNSQKTLLKSESSASNLSERIDLAWTGSGQFVYDPSQCGMEALQATPASLKDDPAYQKVVAPIRIKSFDSAVSSRNRLLPVEDPNASIRRSYSQRPPKSIDRTGRAQSPTFTNKLSLSGIVDSEGRLLISQSTSDVVIPIFDEELSSMIAHAMTVPQYQNFLLPRLDQHTESSVLNCVAHESAYKTCSDGSMRSNGSDQPQPINGIGSKDIHLTVSFEGEDSNSVDKAKFSVTCYFAKQFDAIRKKCCPDELDYIRSLSRCKRWSAQGGKSNAYFAKTLDDRFVIKQVTRTELDSFEDYAAEYFKYLTETVSSGSPTCLTKILGLYQVIAKNLRGGKEVKMDVMVMENLFFKRKVSRIYDLKGSLRSRYNPDTSGNNKVLLDLNLLETLHTKPIFLGSKAKRRLERAVWNDTSFLASVDVMDYSLLVGIDEEKKELVMGIIDYLRQYTWDKQLETWVKASGFLGGSKDVLPTIISPDQYKKRFRKAMSKYFLTLPDQWVELPGEDCADGCPSWPESRDQYLYIHYT >Et_3B_031117.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16565249:16569779:-1 gene:Et_3B_031117 transcript:Et_3B_031117.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGSVRPAPRYAPEDPSLPKPWRGLVDGTTGYLYYWNPETNVTQYERPLPPEDQLPPPPPLPPPPPHHRSRDRRDRSRSRSRSRTPPRRDHRDRDRDRDRRHDDYASSKSASSHHHPLPVASVAPDDPSTEAYRRRHEITVTGDNVPAPITSFEAGGFPPEILKEIQRAGFASPTPIQAQSWPIAMQSQDVVAIAKTGSGKTLGYLLPGFMHIKRLQNSTRSGPTVLVLAPTRELATQILDEAVKFGRSARISCTCLYGGAPKGPQLRDLDRGVDVVVATPGRLNDILEMRRVSLKQVSYLVLDEADRMLDMGFEPQIRKIVKEIPHRRQTLMYTATWPKEVRRIADDLLVHPVQVTIGSVDELVANSAITQNIEVITPSEKLRRLEQILRSQDSGAKVLIFCTTKRMCDQLARTLTRQFGASAIHGDKSQSEREKVLNHFRSGRSPILVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGVAYTFFCEQDSKYASDLIKILEGANQKVPRDLLDMASRGGGRGRKRNRWGRSDRGSRSELDSRYSGRTESSRVQMMILTVCDADRYDDEADGRSRRSARGRSRSHSRSDSDRYSRSPKRTKSRSRSRSRSRSRSRSRSYSRNRHASRSRSRSPVASRRYEKTDAVSGPARHDMVHQEHKSSPRAHSANDHVDHSRKEDHHLEDGKMERVDLDCSPSPPDDKSAPYSPVYNGKAGRPMSPNGQPEGDAKADEPSKKPDAASPVRSRKKREDDEEGVIDEDGEIAEDDPRASAAVQNGDDN >Et_9A_061049.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:12494318:12494563:1 gene:Et_9A_061049 transcript:Et_9A_061049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAPSSAPASQRIKRFTSAERRAAKGLHSGGDRRPEGLHGHDGTGCGGAVPQGRVAPQVPTGQGARWTRTRQDHDGNTPA >Et_7A_050307.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:23547000:23547297:-1 gene:Et_7A_050307 transcript:Et_7A_050307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHVNDHFMSTLETDVKGFKNLKGLLYWMQSYLETCKDSLKPCIFYLPVFPTDHIIRRRRLLRRWIAEGYSRDTPSGTAEENGERLFSELVDL >Et_4B_037173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15808837:15811816:-1 gene:Et_4B_037173 transcript:Et_4B_037173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATTAMAMATASPTAPAVTTPFSSFPLGFRYRPQSFLCSSGRRLLPVPKSSSWEEAKAEETEESASGEEEDEEDEKPRPEPVASSQFQFAAPPEGYVEPASFDELPPESPEDVAAAYESLYGPAFSGETVMGNNVYEVKVVDPIDMDREQRPNDDFSERVVQVNRVTKVVKGGRQLSYRAIVVVGDMKGHVGVGVGKAKEVSEAITKAAMNGRRNLVTVPLTKYCTFPHRADADFGAARVMLRPACPGSGVIAGGAVRVVLEMAGVENALGKQLRSKNPLNNARATIKATQMMKQFKDVAEERGIPMEELWK >Et_4A_034852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7120049:7123462:-1 gene:Et_4A_034852 transcript:Et_4A_034852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGFLSRVLLLAFGYAYPAYECYKTVELNKPEIEQLIFWCQYWILVALLTVLERFGDFTISWLPFYSEAKLMFFIYLWYPKTKGTSYIYGTFFKPYISQHENDIDRNLLELRARATDMVVVYFQKAASMGQNTFFDVLKYVASQSSSQKSRQRSPQEPQQPKQQQPQVQLQQQQQQQQQQPQKQAPPVMRRAASIAARQAAMIQQSQESKSAPSSPKIKRQASAKAGSLGSTKSTAVASTMKPDESTKKKSTEELDPELEVEETPMEETIRFTRAKLRRRTAAEDPAGN >Et_5A_040159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17203740:17204216:-1 gene:Et_5A_040159 transcript:Et_5A_040159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMQINYTLCNSFNYVKAYRPLNCWPTCHTKDDVLKTPVLHVFIDQNSFTSFCAKSH >Et_4B_037076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14371971:14376135:1 gene:Et_4B_037076 transcript:Et_4B_037076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKILDFLNFAKIKKRLLYFPHLESAARLPAGLKFFQHRNCSMAARRHVRYSPLSTEERDDNNLSDGDVDRRFTYTPKSLRKIPWKSIALAIFLLLLGTSLLFLSYFIFTGHMEGDNSQAYGLLFLGFLAFLPGFYETRVAYYSWRGAPGYTFASIPDY >Et_10B_004463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8364440:8365932:-1 gene:Et_10B_004463 transcript:Et_10B_004463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELAGLTEDLVDEILLRLPPRDPASLVRAALVRKRWRHHISAADFRGRFRQFHRLSPHMIGFLRNQTNQGASAVDEAENRFVSCFVPTPSFASSCPYITTRRFVPRSSGSWRRLVGWRTIDARHGRVLLHTYPWGLIQGMIVWNPITDVQEELPMLPQDPDPYHWGWNAAVICAISDEDCDHLECHQGPFHVVFVGCSPGIGIFTRVYSSESGAWSELASSERYIYRCLSLEPCVLVRNTLYFKFLISRHQILTRPEEICAHPSEGFGLGLARVEHSKLHLWSKEAGQDGRWARSRVIELETLLPVDALSTKPRVLGFAEVIGDIILRAGNGIFSINPESGQVMKIEDGHEEGGTIHNVVPYEQNT >Et_7A_050825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11364103:11366719:1 gene:Et_7A_050825 transcript:Et_7A_050825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGHTVIDIDSDDPVAKVAPPVPYQLSFADLSYGVKKGGALGCLPSLCVSNRLAPSPGNTKTLLDGISGECREGQLFAVMGASGSGKSTLVDALAGRIARESLRGGVTLNGEPLHGRRLRAISAYVMQDDLLYPMLTVRETLRYAAEFRLPRALSPEKKRARVDALIDQLGLARAADTIIGDESHRGVSGGERRRVSIGADIVHDPILLFLDEPTSGLDSASAFMVVQVLSRIAQSGSVVVMTIHQPSARILGVLDRLLLLSRGRAVFAGAPAELKPFFSEFGAPVPDNENPAEFALDTIRELERQPDGAAALAEFNAKWQVAFFADKDKKLIVRDTMPLELAIAESVSRGKLVAGSGGGGAVTVPTFANPAWTEAWVLIKRSFTNTRRMPELFVMRLGTIMVTGFIFATIFWRLDDSPKGVQERLGFFAMAMSTMFYVCADALPVFVQERHIYLRETAHNAYRRISYVVANAVVAFPPLVLLSLAFAATTFFAVGLAGGGASFAFYVAIVLASFWAGSGFVTFFSAVVPQVMLGYTVVVAMLAYFLLFSGFFITRDRIPSYWAWFHYLSLVKYPYQAVLQNEFGGGVSRCFSRGVQMFDGSPIGNLPEAVKLRVLGAISSVLGSNITAQTCVATGADILAQQAVMDIGKWTCLLITVAWGFFFRFLFYVVLLVGSKNKRRSKRDGGNGGGAYRGRRPDRVMKPARGQVPAAPLLTCHASRLAIAPVRDEEGQADGCAMV >Et_3B_031365.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:2706540:2706890:1 gene:Et_3B_031365 transcript:Et_3B_031365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFVSLSIKEALGWDKIPVPMKDFLENCLSITNANYNLGVFGFACISWALWKERNAKAVQKKFTKKGPDSPHNLLLYAEMEDSPIFKRQKLYGDKDGIDESVVPVLYASKKTKAN >Et_2B_018862.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:10389543:10390091:-1 gene:Et_2B_018862 transcript:Et_2B_018862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLARLGVVAYDVDEVLNLEHLGHIPNLAKLHLQGKLRGGVAPSILHSFTKVRDLHMGWSGLQMDPLPSFSHMLNLTRLRLYRAYDGQILTFKAGWFPKLKELRLADMEQLRFIEVEAGTMQNLNLLLIRGLRDMLVVPSGFRYLTTVQKMLVWDMPQGFVERAQGDDFVLLKHINDIRYR >Et_7A_052158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5107449:5110521:1 gene:Et_7A_052158 transcript:Et_7A_052158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAPSPRSSAGEGLDPAARSRVQPRSAVRGALGVAFPIAASFLFSFLVGLAGLALGGLSSTTSVSMPSTCRILSTGVDLRSSKVCELGLLNYRAKHVFYPSTSNRKFRCHDDYYWASVFQVEYTEYFSGQTSYALAESPKEALPHSCRPDFGAAWSTTLKFKVNESYSCRYTLGSNKADIHSDKLFNCIAEEPSTREMLKRIFILFSESFMSEDFSSQQILGHVAAGVVLGMLSSVLITILLRSLYGLFLAAARWAARKHSIRVLAGRLKRACLLVAYVSAVCWFTLQYSKFIGLKELMSDSELLERFF >Et_2A_018313.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:22640348:22640731:1 gene:Et_2A_018313 transcript:Et_2A_018313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGGEGDELDLNLSLQPSLAPEPEPPGYFTCTYCDKKFYSSQALGGHQNAHKFERSVAKRARELAAARRQHSAGQGGERGEEPWRGKEMGIAARGSGASSSQQIRPAPEASGRDLTDEIDLSLKL >Et_3B_027669.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11571677:11571715:-1 gene:Et_3B_027669 transcript:Et_3B_027669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWPSASGGLG >Et_1A_006486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23097664:23103724:1 gene:Et_1A_006486 transcript:Et_1A_006486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAATPTSAAAATATATAPAVATTTTTPISAAAATGTTKTVSASLWWDSFVALSDDLDRAAAGSIPDALAKRITTHHAWLCGSVSMFGKPNEVSSSALDASEVSVGEHRLPIKPELKEAALNNLDEVQSYILVKRSSEVHDADAQEFLRLVSVQYYMERQCLLKCIRRIFVHANDGCDATDAIREEASLLINEEIERKLISIIEDLFSTAFSVKAEAAFTVSYLEETLIEINLILDILFLAFYDNFTRCNGGLWISLCSIFKDVLCGSYDVGKFAVSVEAKNSFHYAKAQLLLVLIETLDFENLLRMIRDEVPFSGGCSAFSIGDILEMDVEISKLPEFLMVESGPLILAWAIFLCLVQSLPGRNANLEIDHTSYAQRAFEFAPFNYLLGVLSSSIFRESDVSVL >Et_7A_051189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15304151:15306965:1 gene:Et_7A_051189 transcript:Et_7A_051189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFCCFGAGCSELLPKLGAPAVEIYIVLPIHPYYLLLLNVLHHASTASGKGKGCQGQIKVCYGFNLVKGNTNHPMEDYHVAELVEAKGNELGLFAIFDGHLGDTVPAYLQKNLFPNILNEEEFWTHPDRAITKAYEKTDQAILSHTPDLGQGGSTAVTAILVNGRKLWVANVGDSRAVLLKGGQAIAMSIDHDPNVERSVIENRGGFVSNMPGDVPRVCGQLAVSRAFGDRNLKSLLRSEPDIRVEDIDYTAELLILASDGLWKVMNNQEAVDLAKRFKDPHAAAKQLTAEALKRESKDDISCIVIRFEV >Et_4A_034840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:726537:729006:-1 gene:Et_4A_034840 transcript:Et_4A_034840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PSKGPNEADSTVASNQGSACKPSGFGPLTGEDKIAQRSGKHKRENEAHRHNTCSSPVQSGSLPAEVMVSLYHPFQFRTCGKIATRNATKVFCSMEMPPPSQSTTTKVVIIGATKEIGRAAILAVSKARGMELTGAIDSQCIGEDAGEISGMEEPLEIPVLNDLTMVLGSIAQSRVTGVVVDFSEPSTVYDNVKQAAAFGLSSIVYVPKLELDTVAQLSAFCEKATVGCLVAPTLSIGSVLLQQAAIQASFHYSNVEIVESRPNPLDLPSQDAIQIANNISDLGQLYNREDLESDSPARGQVLGEDGVRVHSMVLPGLTSSTSINFSGPGEIYTIRHDVTNVQCLMPGLILAIRKVVRLKNLVYGLEKFL >Et_2B_020500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20849394:20852025:-1 gene:Et_2B_020500 transcript:Et_2B_020500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVESSRGTKRRLAAADEDDDGRERKPRFPKGKKAKYRDPTAEGASNASFDIDSVMNPELAAERRARQRHQREKDDSQSTAEVKVFEVRYDDGVNFVDDGIRIEPFNLEQEREEGYFDENGNFVEYAKGNELKDAWLDSVQVDPTFAAKMQNKGKEKEEDFQDLSSDDIGKIKRRIANMLEPGETVLQALKRLKNTSTDKRGKMAEGTKRIFDELTEAAMKLMDNGEYDVYSDDRETFEREAAGYERLARARLGLPEVEEDVVAATAEDNQTTSSILEMDPGPAAANTSTTSTSVNDDDSNFDMFGDDDITDAKGSSDANAVDASGTSGAEGKFLVRAISFLWKAVVEDGSYLQ >Et_7B_054039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14610540:14614397:1 gene:Et_7B_054039 transcript:Et_7B_054039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRSPEAEIGHRVEDLWEVAEPQLSPSEKLNSCFEDIPVASFPSTHPSQVIEIPSDASLAETVEILSKNKILSAPVRNVEAPEEASWMDKYIGIVEFAGIAMWLLSQSDVAANGKAGSPAGSPVANLAARLGSFTFRRTSSGRVETTDAESDEAASVGGSFFETLTSSELYKNTKVGDISGSFRWAPFLALQTSDTFLTMLLLLSKYRMKSLPVVEVGGDKIENIITQSSVVHMLAECVGLPWFESWGTKKLSELGLPIMKPSKLVKVNEDQPVLKAFQLMREKGVGGLPVMDTSGAKAIGNTSIRDVQYLLTAPKIYKEHRSITTKDFLVAVRNLLQEQHEPSPLLHDVITCKKDDTVKDIILKLDSAKISRIYVVDDKGSTEGVITLRDIISKLVHEPRHYFGDFFDGVVPLPQNSTV >Et_2A_016078.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20897743:20899486:1 gene:Et_2A_016078 transcript:Et_2A_016078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNNARNIVIHAPRLENLEISSDRPLRVSVKKAPRLDTVELYLDYSWPEGAWSIHDTQDSDGDYSFSEVEEMFDFKKMAEREHKKTDEIGNMVTFLSGLGCAKKLRLSFSTKYAKVLNKAKVSMPKKLPSKSLLLGLKTLTLDLDHSHGVLATLDDIDSKRKPGDPQPLSAEFWNEQISAQCIHKHLSSVNYYIDSLAEGHPGGLCQYLVMKARVLKRLSVQYRRLNKSKPEDAGHAAMAQSVRCELHRWPRASPEALLEVRPVDHYPRY >Et_4B_038039.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25677121:25679978:-1 gene:Et_4B_038039 transcript:Et_4B_038039.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEGSSSVVNQQGKGRTGGSIASHPKRKSSLCQRDDCQGGDTEIHSGPSLPEDVWCHIHSLMPMRGAARAACVSRAFLRSWRCHPNLTFSNKIFRLDKSACGSDEIARYFCSKVDCILNKHSGIGLKTLKIHMLKDFSANDSCYLDSWLHIGVTPGIEQLTLILPMGAKYNFPCSILSNGSGDSIRHIHLAGCSFRPTAEFCLLRRLTRLHLQCVRISGYALGCLLSNSFVLERLELWYCWIVCMKIPCMLQRLGYLKVIGCSGLKVIESNAPNISSLYFSGDHRVELSLGETLQMKSLHMSFSGAVRYVRVALPSTMPNLETATIYSSIEVSQRFMEHVSIFSDPSDLRLIREQHHDKVKSVRILGFTSAKSLVELTCHVVQNITSLECLTLETHQSSFRCSLPDHNSSKCSPLPMGVLMEARQALLAISTYIVPKVPSKVNLHVVETCSRCHHY >Et_8B_059675.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20580054:20582815:1 gene:Et_8B_059675 transcript:Et_8B_059675.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAFACMPRKEHRGPAAVSRSKRMGSARSARGAPKLTPAEEELLHRQALAMAIHQHLDAGGSMSRRIDAGASMSRRMAPGSTSSRRRGDLPESVTNAKPAQIVLENLETKKIVLVHGEGFGAWCWYKTISHLEEAGLDPVALDLTGSGIDHTDTNSIATLADYSKPLLNYLDKLPENEKVILVGHSCGGASVSYALEHFPKKISKAVFLTATMVKDGQRPFDVFSEELRSADVFLQESQFLIYGNGKDKAPTGLMFDKQQIKGLYFNQTLSKDMALAAVSMRPIPLAPIMEKLSLTPENYGSVRRYFIQTLDDHMLSPDAQEKLVRENPPDGIFKIKGGDHCPFFSKPQSLNKILLEIAQIQAPATLLPSKASTEASPGNTGVEEIAVKS >Et_2B_019538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:998637:1000792:1 gene:Et_2B_019538 transcript:Et_2B_019538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHCFLPLELQYDKWNIIVARESLQDQTTLGESFFLAACASLSERSLFFDGFIYTCSDGGLAAFELVEGDSYHIGDGIYLKFSWKRYWERHRMCFEYIGKDTNSGAIISSPFFFCTKCISNAITFSSVLYYLTSIHGYPENTRPVCVTTVQVKPNGHLKPKAIGHVNIGTTCVEWGETSRSNMSFFCVSTTREYEHHENAEPQRGNAAAAPSMLPEAMEVPVTCNVLEPSTMIGCCREFLNGTSFDSAVVLEPSAIQINKALYIVCQADDYSAVYKFNILDGILTCHDKILSPHCTLATHTGYDEDDMVNRPRPLPWHFVCDPSYIHAAGHTDHEFYSCSLQEGNLLHVTSTRPVGVEFHLVLRVGDKIVALTDNLECVYHLSCTTGKWMRRETSVPPPHLGRKVDLSGYVVLGVESFMVFDVESHCFLLLDLQYDKWNMIVARESLQVRTTLGESFFPAGRASLSERSLFVDGFIYTCSDGGLAAFELVEEGDSHYIGDGIYLKFLWKRYWERHRMCFEYIGKDTNSGAIIIHGYPENTRPVCITTVQVKTEGMPNGHLKPKAIGHVDIGTTCVEWGESVIWTRNCFAAAPF >Et_7A_051960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3242046:3243777:1 gene:Et_7A_051960 transcript:Et_7A_051960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCVGEEAGRKETALDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAIEFEREQDDPLKLSGKTRQLGLIVCRGTAVMLVSPTDGTDEIANPFLADGA >Et_8B_059746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2351379:2361021:1 gene:Et_8B_059746 transcript:Et_8B_059746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGVSGLAAAHELARSGNARVTVYEKEDYLGGHARTVAVDDAAAGGGRGTVHLDLGFMVFNRLARSGGDDVRVTVYEKEECLGGHARTVAVDDNAGGGTVHLDLGFMVFNRVTYPNMMEWFEELGVEMEISDMSFSVSAQVGVSGGRCEWGSRNGIAGLFAQKSNALSPSFWRMIREILKFKDDTIKYLEDRENNPDMDRNETLGQFIQSHGYSQMFQEAYLIPICACIWSCPSQGVLGFSAFFVLSFCRNHHLLQLFGRPQWLTVKGRSHWYVSKVREELEGMGCQIKTSCEITSVSRVEGEGYRVTTFDGSEEIYDRVMFGVHAPDALKLLGAEATHEELRVLGAFQYVYSDIYLHCDKSMMPQSSSAWSAWNFLGTTSKGVCVTYWLNLLQNIESMGRPFLVTLNPPHVPDNVLLKWCTSHPVPSVAAAKASLELHHIQGSRGIWFCGAYQGYGFHEDGLKAGKAAAQCLLGKESSLLVNPKQMVPSWTEAGARLLVSRFLNQYVSVGNLVMLEEGGTMFSFGEVGKKCHAKSVLRVHDPLFYWKVATEADLGLADAYINGYFSFVDKRDGLLNLFLILIANRDAQKSSSSSGNTRGWWTPLLLTAGVASAKYFLRHISRKNTVTQTRQNISQHYDLSNEFFSLFLDPSMTYSCAIFKTEDESLEAAQLRKVRLLIDKAKVERDHHVLEIGSGWGSLAIQVVKQTGCKYTGITLSVEQLKYAQRKVKEAGLEDHITFMLCDYRQIPSNRKYDRIISCEMIEGVGHEFMDDFFGCCESLLAQDGLFVLQFISIPEERYEEYRRSSDFIKEYIFPGGCLPSLARITSAMSASSRLCIEHLENIGYHYYTTLIRWRDNFMANKDEILALGFDEKFIRIWEYYFIYCAAGFKSRTLGNYQIVFSRPGNDKLSSDNPYASFPAANQTD >Et_3B_028961.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20969779:20972054:1 gene:Et_3B_028961 transcript:Et_3B_028961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FKFRSVLHPVGEEVKPGVAVSCEAGDGFVIHLSQAALGETKKGSENVVVYVKVGDKKLVIATLSADKHPQMSCDLIFDDAFELSHSSKTTSVFLCGYKSVMPDMFEYPYRCAFFVLTFYSNLFEIYHLLENDEIPLKNNENGKSAAKLPAKDGNKDKEDDDEESDDSDSDSDDLSSDSDSEDDSMSEDDSSDDSEEDEDEETPVKPVVGKKRAAETSLKTPASDKKAKLTTPKKETGDKKGVHVATPHPAKQASKTPTDSKQKGPKTPADSKPKEKAPKTPADSKAKEKSPKSGSHSCKSCSKTFNSAVALESHQKAKKHDA >Et_1A_009216.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:33821467:33822786:1 gene:Et_1A_009216 transcript:Et_1A_009216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVASSMPAGPPGAGAMSFGWLGPRLSFGGRDAAAAAASVEVEEPVLSSPSKADPAISKEFIDFEFSLGGSATMLPADELFADGKLLPLRPALAAKATEQARERRDAALVDVPATPERVKALHPAAAEAALDPYVFSPKAPTCSSRWRELLRLRKVQTPQKPSASPSASPSPSPAATAATPSRASNSSAARSLKLLLLQRNGGRASAAASSDLSAAPLLRDSSDSEASISLASSRFSMSSSSSSSGHEHDDLPRYSLDSVDPTPRPRLRLVRSHPQAPQPPVAASAPARAAHSPARSRTGNSPARARTGHSPARRRPAMPPPPPSVASVDSPRMNASGKIVFQGLERSSSSPAGSVHTMRSRSRVMDRSYSAGVRATPVVLNVPVCSRPVFGFFKDKKDAGAKDASSGRPRSALGRRTTTPAAPGVSWRDLVNGNGN >Et_1A_006336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20909120:20911818:-1 gene:Et_1A_006336 transcript:Et_1A_006336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPICGPCEKLAALDICIRDSWSDGELVRFLAERKAEDPLPLDVMMGVDCSVLDPRCFTGCTEATVWHLKVSGDQEIYDGAENGIRNAKNGYWKPLYSSRIPMNTAITGFKIVFEFYEGQAPCGKRTEWMMHEYQVEQNDEANLPQDYKSLCKVFPQSDKNTESGQNSLNANEPHDTLESYLQYLARIEEPKDTVSANEDDSSSTGQHEQKTAADDVIARGDYIELNDLIGPCIEQHEQNTENAADDVGVHDDIATDDYIELNDLLNSEATSSTSASTSKRSMISEEYFDSDAFLREILEDSNIPDGHNRDNKFSIAAPTKSANVVVGPTEQGLVHIHGDNATVAGTSRQKQVPGGDADQHSSKECQQQSSSISSCFPTRHVKRSHSNSSDSSQNSEKSRKMRPNSKFGKIGK >Et_6A_046022.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:19097346:19097678:1 gene:Et_6A_046022 transcript:Et_6A_046022.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVSAIVSAIFKVLQEIARAERSARRNRARCRDLAQRAKAVSGVLSDSQAGARADATTRRRMILCRLREALHDALKLDKSCAEHDGRLFRLVFGAPGEPLPRCPVRRRG >Et_3B_030912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8751950:8755097:-1 gene:Et_3B_030912 transcript:Et_3B_030912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERIVDAMRGLELSRPPAAGHGFEADDLLIGILSRLDLPDLVCSGAACTLWRVSYLAVRRFRLCSPNQSPYLVYSSGDSDSSTATLHNLSTNKPYHFSLPDPPFRSRYIVGSSQGWLVTADEQSNLHLLNPVTGAQVSLPPAQSIKGVSLSLTSEGELHGHNTDQLHVKYRSVTGIINFYPPAETRQFLYKKVIMSSDPSGGDCVVLLKHGLWNHLSFARIGDTEWTWLDTMDRCDQYDDFFYDADEGLFYAVRCDAEIHTIDLKGPSPMVKVILKASTAFGCPIHYILRAPWGDLFLISRIYAPETDDEEECPPEGRLTVCRVELAQQKFTEITNLQGHVLFVGFNDTFMVHAGGFPGLSPNHVFMSDDYTEYIMCSPFSGRRLTCLNLEDATLTDLSFSDSLLDWPPLVCNVLDNLDIWVIPNFVPCIGIHAVLFLFPPSTVIPPAYFSYSSFYLLADGKVYNTNQFYFIGRYSLEHLCFAMVNLFNFFF >Et_4B_036357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19667769:19668548:-1 gene:Et_4B_036357 transcript:Et_4B_036357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTRMSSTPAARGNSCLLWSTCVMAAAVGNSIAASTPPAPAPAATSKNSATRASGSPACFRSPASSRASAAAARSTSSAAAPQPSMDSTRTRPPPRNSFAGVPASDGQPPRVPKRNLFSSREKIS >Et_2A_016971.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29976808:29980493:-1 gene:Et_2A_016971 transcript:Et_2A_016971.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLMVYFLLGPAEAAEEERPADLLGSPDKEGEDQENAKKASDEMTNKDCDNGGSVIEGAVSSEDLNDGHGSDSNGECAESGGTCNEVPEMGSEINTENNMPEMGSRSSNDDNSGCTDEMPEMSSKSSNDDNSECADRSSPCAVLDISVSGSIDSDDSSSVEQSTESNHNVRWRNLIRGLILRRKKSMDRAVTFPQRSKSRGLKGYLERMRSGKNQMECSAIAPEILPEIGKWRPSWRNFEYDELCAATDRFSSENLIGKGGHAEVYRGQLADGQFVAVKRLTKGSNKEDRVSDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASVLHGTKEPLKWKVRFNIALGIAEGLLYLHEGCHRRIIHRDIKASNILLTEDYQPQISDFGLAKWLPDKLTHHVVFPIEGTFGYMAPEYFMHGIINEKTDVFAYGVLLLELITGRKAVDSCRRSLVIWAKPLLDSNNVKELVDPSLGNEYDPEELVYILAVASMCIHHSSNSRPSMKSVVCFLKGDRESLEVTRKPKIVKPLMFDSCDSEDYTRSSYLNDLDRHKQLALEQ >Et_2A_016211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22039643:22042012:1 gene:Et_2A_016211 transcript:Et_2A_016211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNAWVMLLILTCLWICPPRTSGFSWNIFSSSSSSSSARTGSERAPPMMELDGAVADFTIDGANDPRGVKLLENARNRLVGPKNCWQEAYRKLFASCGEIMADKEKQSRLAWYLSSCFQEDSGRTPFPSCAKGSKMVHCRKRLGQSEDQVFLEFFLETNTLCHQLQAEAFKHNTERLVNDLTRTSKSAEEKLEVIEERSGEIIKESTKVQETLLSIEMQAGHLAEASKNVGVQINDVLEHSKVISEQSKEIATSQAELREGQTQMREKMEAGMERIQESYESLGNGMDKLKEEAVSIQEEIRTVGDSMSTKMQDLQSTASDIGSVANKSLENQRQLLDGQSLAMEGLNDLYSFQAKALEESRETVQKLAQFGQRQQEELLARQEQIRAAHDHLIQNSHSILEAQEEFRAKQANIFAALDKLYILHNAILAESRFIKAFFFYCCVVFLLYMLTSAKQTFSIRGRLYFGLCIMLALEMGLIKIGADDFDKQLWVMSKVFLVRMVFLGLATVQILQSIFTYRDYEMLNHRLLQTLVEKVRALEENAGGRRALSYGSEESERSLMDYSWVFDELAEEVDSKMDPSYALPPETPRRRCTDHVLKEGVGENSITTSVSRKYNLRPRK >Et_10B_003146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17010229:17017972:1 gene:Et_10B_003146 transcript:Et_10B_003146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKIPSLFLYISQESGQKSLDQLIKRVACDPIKSTEKERAAVGINYRHKASKSNHASRYTSIVHHASKGRKDQSSLDFNEERA >Et_8A_057700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6193457:6197791:1 gene:Et_8A_057700 transcript:Et_8A_057700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPEQRTETIFRSTLPDIAIPDHLPLHDYIFERLSTRRDRACLIDGATGESLTFGDVDRLSRRVAAGLRAAPLGVRRGGVVMLLLPNSVEFALAFLACSRLGAAATTANPLHTPAEIAKQAAASGAAVVVTEPAFVAKVRGLAGVAVVATGDGADGCVSFADLAATDDADADDQDSSPPIDVANDVVALPYSSGTTGLPKGVMLSHRGLVTSVSQLVDGENPNLHIRESDVVLCVLPMFHVYSLHSILLCGMRAGAALVLMKRFDTARMLELVERHGVTVAPLVPPIVVEMAKSGDALDRYDLSSVRMVISGAAPMGKELQDILHAKLPRAVFGQGYGMTEAGPVLSMCMAFAKEPRPVKSGACGTVVRNAELKIIDPDTGLSLQRNQPGEICIRGKQIMKGYLNNPEATAETIDGEGWLHTGDIGYVDDDDEIFIVDRLKELIKYKGYQVAPAELEAMLIAHAGVADAAVVPMKDGSCGEVPVAFVVRSDGFEITQDEVKQYVAKQVVFYKRLHKIFFIEAIPKAPSGKILRKDLRAKLASGFSNGNASYGKIAKTADIPDFGCDDEADEKERDAGGDGAEGALLGALPDGCHTGPN >Et_2B_020142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17230707:17231460:-1 gene:Et_2B_020142 transcript:Et_2B_020142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLTPPLTYCSPLPANKFSLPPCGPRRKAEATRWPLRVRATEAGGSTPAATEEQKERKRCLRCGGMYLDEENNPNACAFHGHVTGEKGLFSLSPPHQGIDGEWSDKSGVIVYRWNDQGSRPNTGRDNWKKRWSCCQERDEHAPPCQRGWHVSYDDGYTLF >Et_1A_008601.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9055171:9064108:-1 gene:Et_1A_008601 transcript:Et_1A_008601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILFTLVLLCYGVGNVCSKVHENSTDLHALLDFKMGVTIDPSGALNSWNTSIHYCKWKYVTCTQERPWRVSGLDLNGLSLQGQISSSLGNLSFLNCLDLSNNSFVGPFPILNRLHQLQRLYLNNNFLRGNIPVELTNCTSLTNLDLSVNKLHGAIPMNISLLSNLIGLSLFTNNLTGTIPPGLGHITTLQGLYLDENKLEGRIPNEIWQLPNIVELLLGQNQLSDGIPQNLPIMFNLTKLGLEFNRLGNTLPLNIGDALPNIQLLTMNSNMFNGHIPTSIGNALGLQRLDLSSNSFTGQVPTSLGNLSNLTFLNLEGNNLEANDSESWEFVNALGTCHSLQVFSLADNQLQGAVPNSIGRLPNSLQQLKLGGNKLSGMFPSSIRNLQGLIALALDGNNFNGTIGEWVDKLTNLQHLNLEANKFTGIIPPSIGNLKKLTELSLGQNEFIGVVPPSLGNLSPLLNLNLSYNNFQEGIPSELGNLEQLTALDLSSNKFSGEIPETLSQCQNLAILRLDQNILTGNISTTFGNLKGLMVLNLSNNYLSGTIPASLSDLKLLTTLDLSYNRLHGDVPRDGVFENGTIVSLGGNSGLCGGAMDLHMPSCHDDSRRVESTLVRVLIPIFGFMSLILLVYPLFIMRRQQKKAYLSLDSFGENFPKVSYADLAQATKNFSEANLIGRGSCGSVYKGTLKETKMEVAVKVFNLEMRGAERSFMSECEALRSIQHRNLLPIITACSTVDNNGDVFKALIYEFMPNGNLDTWLHHKGDGKHPKPLSLNQRISIAVNIADALDYIHHDCGRPTVHCDLKPSNILLDDDMNALIGDFGISCFYPDSWSVSTGSTTSVGVKGTIGYIAPEYGGGGHPSTSGDVYSFGIMLLEIMTGKRPTDDNFKDGLHIVNFVEDKFPDQIYNVIDDHLMVECTNIDQEKGVQEKGEVYQCVVSLLQIALSCTCPLPHERLNMKKVASKMHAIKASYLLEKDKVVARRIMSPFSPYLSLLLLYIAAVVGAGSAQSHTASQNSVAYYPQPRDFPNERLYQACLVIQRFKSTITSDPKNITATWTGSDICGDTTYLGFYCGAPSGRAKEVSITTVILNGFNLHAPKLQGFIDQLPDLAVFHAASNDFGGDIPQLTNLHYLFELNVGDDPPVQPTAERVGGSAQVGGSAKLRCISADIYLKFHIGVGSTNKGGGTPFATSAKALLLNSNSLSGPLPQNIGFSKLSYLALANNKLTGPIPPSIGHLQDSLLEVLLLNNQLSGCLAHELGTLHKAAVIDAGKNQLTGPIPSSFSCLSSVEQLNLAENRLYGAVPDALCKLASPAGRLANLTLSGNYFTSVGPSCTALIKDGVLDVKNNCIPGLANQRRPAECAAFQSHPKTACPAATTQVTCPAAAATNAASPAERKAKEYSSYVTYATLHE >Et_2B_020367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19424961:19441128:1 gene:Et_2B_020367 transcript:Et_2B_020367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVCGPHANLFGPSMMLREITKRLHQSPCHCHLTSLTIYKLAVSVSHANCVRSSSSGSGKSTEPSQPGSGFAAAMEGYKPRKMKEMMCPGVVGFSLIHSGSFFTNSLLRVDRATVNQCMYYQGVNLGSSSMATAMTNLIPAITFVMAASVGLEKVDVRRPRSLAKIFGTVVCVGGAMAMAFFKGPKLLSDMNVILHSSAGSRWVMGALFLVGSSSCWSLWLILQVPICKSYVDPLTLSAWMCFLSALQSAALVPFLVADPNAWKIHSLFELSSCVFAGAIGSGVTFYLQSWCISVRGPLYSAMFNPLCTVITTVVAAVVLGEELHAYMPSAAMLATQCIYSTVALWAKAVFTGGMSPMIFVVYRQAVATIVLVPIVAVGNFESEYVLPRGASGIVIVGNCHVEFDTGHYLRHGRISRVPICKWYMDPLTLSALMCLLSTLQTAALVPFLLPDTSAWKIPSLFELSCCIFAGSVGSGVTFYLQSWCISVRGPLYSATFSPLATVITTAFSAIALGEDLRYKPCAAMVATQCIFAAMTLWIKAAFGRGMSPMVFVVYRQAVATLVLAPIALVANRTRLKEMRLEPRGFFLVFVAALFGATVNQNLCYQGLHLGSSSLATTMTNLIPAITFVMAVAVGQERVNIGEVSSIAKISGTVVCVAGAATLAFLKGPKLLNNSLADPSMLLHTLGSKWVMGALLLMVSSSCWSFWLILQGPICKSYMDPLTLSAWTCFLSTLQSAPVAFFLLPDQSAWRIHSLFELSCYIFAGVFGSGVNFYLQSWCISVRGPLYSAMFTPLCTVLTTVLAAVLLHEELHVGSGGGGVARRLEWAARYGPCVAMLLVQLFYALVDVAQKTANALGMRPIVFVAYRQAVAAVTLLLASLVAGRCSLRQMAVGPRAFALVFAASLATAMGQFFYFQGLHLASPSMARATTNLSPGITFAIAAVIGIERVDPRSVRTAAKIVGTVICLAGAAVMAFYKGPKLLGAVLLSAAGDWVKGGIYLVGNAACVSVWYILQMLVCKSYLDPLSLATWMCILATLQCVVMALFIEPNFMAIWKLTSFWEFPCILYGGVFASGANFFLLSWCISVKGPLYSAIFTPLSAVITAVLSVTFMNEELHVGSILGAFAIIAGLYMVLWGKADDAKTISRDSKGTVDTDYIGVTVECRTNLSEPLLSENADENTQT >Et_3B_030583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5064922:5066202:-1 gene:Et_3B_030583 transcript:Et_3B_030583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRKGTMAVTSKQQQQEEMELRRGPWTLEEDNLLMNYIACHGEGRWNLLARCSGLKRTGKSCRLRWLNYLKPDIKRGNLTPEEQLLILELHSNPVFRDAVRCYWMPRLLEKMAAASTAAHHDHHTAAPQLHPPPASIMDMASSSPAHGGGQSHHDANALAASATGYQQRYHMDPSPSSSTSGSGASTAAALPPVPCFSDQLSWLDQYGAGLDNGVAGVFDSAALGSLGLDGLDLGPADCDAAYSDTTLLDYLNSTCTGSAMMNLAGSGNFHSSCDDAMGDGGGWTTWRTTTDELCQAAARKLGDHQWGGGI >Et_2A_017841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:752504:770478:1 gene:Et_2A_017841 transcript:Et_2A_017841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFLHRIPMPGLPTRPFPPPDAPLFPPPPALGFRLHEPPAGSPTFLTGAPARDPSAPHQKLEGSLSTRADASPLLASGFWPASTYRLLLGSGIAPPPTPPNRERHLPLIIQNNKKEVTNHLSVGCIAKSVMASMEGCIAQSTMGPAEPWAFGWEPWTPPTLEDLLPELSCEERLRLQGHLREHERILKRPNKISPPLPSLHSEEERDAFIIPHVRHALRHYNTRHPDDEFDAVKPLMEASARFRGQIWYHVNFWARSRKSNKIKRFFAEVHYKPPTLSSACLDRTEVDRTSGSSVTQFPAPIPFVEACTIIEEPLNKYRKSCAFCTGNLDILHPVGSRKFVCGNDKDRFAQQFKPCKERGWLAMPFISLPGSASNRPEEETKESTCAIISSTSCVEIQHRVLYEREHGDGTFPYIAAAPRKLRSACRRRGIWTCQYGAYVGKVLGMMQIMGGARTTNAPTPAPCFLPLRSFERRSWPTAKQIAYLIDQQGPLIGTVWVNPWYHAFDSSKKNDWVYRSGCARNSLQQRSSEATFGLWFEIGFHSVLCFAYRVCDGDFHVHIWDNYTRTGPKRWVHYKELEFVYTVCVERMHPPFHLDGRQVVLQECDNCITDVARHAIRHYNARHPGDEFDAVKSLMESTVRFRGELWYHINFWARSHSSNKIKRFFAEVHYKQTTSSSVRSNPESEVHDRRSAGSFAFSDQPVAVPEAEILNRPLTSSSVRSNPPVLIPESVRKRLSARRSVYSEQPVQVPEAEVHDRQSASSFACSDHPVPVPEAEMLNRPPASSSNRIPIIEKSRLDATEGAVHSVVATWTFFTPRGAVSLFVETTRIGWCNNSSVGAIMGVGSHANDATCTVHIDITQVVRHNTFEGCLGVPLQQTVPETCAIVASTVCIEAHHRWKYEMVHGPRTFPCIAAAPRKLRNVCYRKHIWDPDKGAVVEHVLDKVMDLGGVRTTNAPPPAPSFLPLRSWQLYIWEDGLTADAVANLLDSNGPFIANIWTCPWYDRFDSDKDDDLVYWSGCARNVDRKFQSIDVFGEAKVGYHSIVCFEYRFCDAWSARTHGTTGHSNTQFPAPITIVEACAIIGVSICVCNRFCTSNLDILHPTGSRKFVCGNDKDRFQQQLKPCKERGCLGMPFTSRPSPVSPNSPDSRKRVNSELGPWMPCRAVSACLNFLST >Et_8A_056802.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1774383:1778589:-1 gene:Et_8A_056802 transcript:Et_8A_056802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMRFRPQLIFSSLSRSPKPRTSPPPAATLAFAAAARRRHSTNTAVSMAAPSEDALRRALAERQAAVDAQAEAVRALKAGGGASKADVDAAVEALKALKVEAGAAARRLQQSVGAGPGGAAREEMRQAVVNTLERKLFYIPSFKIYRGVAGLYDYGPPGCRVKANVLSFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDFCKEKLEKDLNLPQEKADEFKRVLALLDDFSAEELGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPTGLSVGYMRPETAQGIFVNFKDLYYYNGQKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFGDVADLEFLMFPRELQLSGDSAKLMKLGEAVSKEKSGVPLVAHEKFSKPREVEKLVIVPSKKDLGLAFKGNQKMVVEALEAMSEKEAMEMKAALESKGETNFKVCTLGKDVVITKKMVSISMEKKMEHQRVFTPSVIEPSFGIGRIIYCLFEHSFYTRPSKSEEEQLNVFRFPPLVAPIKCTVFPLVKNQEFDDAAKVIAKALTTAGISHIIDTTAISIGRRYARTDEIGVPFAVTVDSPTSVTIRERDSKEQIRVGIDEIASVVKQLTEGQSTWADVSKTYPAHVGPQGDQE >Et_4B_038002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25416857:25417332:1 gene:Et_4B_038002 transcript:Et_4B_038002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSWCPQQKVLAHRAVACFVSHCGWNSTMEGARNGVPFVCWPYFCDQHLDRSYICDVWRTGLAVSHGEDGVVTKEEVCSKVEQVIGASVRGAPHMRISIGLSIFYVSDLHFPS >Et_9A_063538.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:6594657:6594878:1 gene:Et_9A_063538 transcript:Et_9A_063538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGKKSRNPNKTSVDHRSDRKSATGMNGDPKKGGRGGKFTWEGADGYTDEDVDLVSKNNSGRNAKKTSDDDE >Et_7B_053894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13061331:13062623:-1 gene:Et_7B_053894 transcript:Et_7B_053894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDVGLTVPLGRVRAMQAATGGRARGKLLNVLQIPMSEVTDQAMEKHAECLPALRVLDISNCLKITSRGIEAIGRHCKLLVQLKRNMPPPKPHRATIQQLRWCRKRP >Et_6B_049510.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:598079:601240:1 gene:Et_6B_049510 transcript:Et_6B_049510.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPGPSSAATDPSPADEEGDRWVLLPASEVEGADAPEVFHWEDLQQELARLWSLSAALQAARDRKANLSARLESALEARRAFLQQDNELAEMRQKLQEHSDHMGDLKMRTKKMSEDVENQREQLCVKIRTLSVASKTSCAASSKLEEANKLLSGENGHGRLKNLEQKLRMRQQHMITQVAHIYPVRPLDEQSSVVKRGLISNIVKTSGAESVLPNDSQNRPLAIFGLQLSKPVKKTGYFSDKTEFQKSSTVLGYAAHAVFLIASYLNVPLRYPLRFGGSRSYVLDHAPSVELSSITAAASSVPPSTGLRTMEFPLFFDGPETTRSAYAIFLLNKDIEQLLNYIGAESLGPRHVLANLKQLTTIIRSQQYISS >Et_8A_058211.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:24033566:24034207:-1 gene:Et_8A_058211 transcript:Et_8A_058211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGEPATVVHMDDGKSAPAYAAGTSATAPRKTAGLPLLLRSGADGFRRCLAVIDFLLRVAAFGPTLAAAISTGTSDERLSVFTQFFQFHARFDDFPAFTFFMVANAIAAGYLVLSLPFSAVCILRPKAGGVRLFLLVCDVVMMLLLTAGGAAAAAIVYVAHWGNLRANWVPICMQFHGFCQRTSGAVVASFLAVVVFVVLILMAACAIRRR >Et_1A_007249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32615397:32616476:1 gene:Et_1A_007249 transcript:Et_1A_007249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWKSKVLPKMRLIFVKAGGKKAAAATELVKSFDESKGEINSEFEEKKADLQPKVVEIYESVTAPVKVLIKERKKVSAIKKNSAAITKLFEDLANIEFPGAKQVSEGISKVGPALLSGPIFATFEKISTLLPVVVEETPKEAPAAAEEKKEEPAAAEEEKKEEAADEEKKEEAVEEEKKEEAPAAAEEAAPAAAAAELAAEETSAAPSEAAAPEAAAPAETTESAPATTEETPKAA >Et_7B_053738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11752793:11758983:1 gene:Et_7B_053738 transcript:Et_7B_053738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKSGGGGAAAASSSAAATMERFLKIILSWDYLRLLAESQDYKQAKELKHVKDTYGSVAEYLGIFEPLLFEEVKAQIVQGRSSDEEEEVTMDWQKGAVAASTESEGFHKVSVAVMDHFRESLRDNDLLLLSKEKFEEGVTPTAYTFALVEQRGSRETISLRTFVQGEVKNFNVAKPVTSSRLQRIASVFATTQSFLWMLKVCSLSTIMREYTAMHSIASLPFKDLILSAAEKHKDGDDQSRAWNVPEPLMDYLKTNLNDSQLDAINAGLSRRSFVLIQGPPGTGKTQTILGLLSAVLHSAPARMQTKGGFDVQKHGPELDMKGKREHWMKASPWLLGQNPRDLIMPVNGDDGFYPTGNELKPEVVSSNRKYRAHVLVCAPSNSALDEIVLRVLQSGIRDENSNTYNPKIVRIGLKAHHSVKAVSVDHLVQQKRSGVSTLDGGRRGAGEDERLKAAICDEAAIVFSTLSFSGSSLFSKMTRAFDVVIIDEAAQAVEPATLVPLIHGCRQVFLVGDPVQLPATVVSKTAQKLGYGTSLFKRFQGAGFPVQMLKIQYRMHPEISIFPSKEFYEGALQDGEGLGKKRPWHSYSCFGPFCFFDVDGIESQPSGSGSWLNQDEVEFITLVYHQLALRYPELKSSSQVAVISPYKNQVKLLKDHFRSTFGDQSKEVIDVNTVDGFQGREKEIVIFSCVRCNKEQKIGFVDDFRRMNVAITRARSAVLVVGSASTFKQDKHWNNLVESAKERGRYFKVSKPFTAFFAEDNLKTMKVERLPPPELRDTLEAINEVAVRQELMNVDAAADQAGGDDDDDDDAMDADDGGGDD >Et_4B_039102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7864093:7870387:1 gene:Et_4B_039102 transcript:Et_4B_039102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSYTRRGRRLSLVSRLLQIPFPQLRQCPERPAAVLRAGGGSSSSAMDEEAKVGRDADANNDATTEREAMEEEEKEEEEVGEVLERLVELVEEIAAISDFRNAYRRQFCNLSRRIRLLAPMLEEAKEAPRPLPASSEAALRRLREALRGARELLRLGSSGSKIFLVLSREKISKTFQDITERLEQALAGISFEELNISDEVREQVELVHTQFKRAKERSDTSDDDIFDDLMSVYNSSSNANVDPEILRRLSEKLQLVTISDLNQESLALHEMASDGDPGAVVEKMSMLLKKIKDFVQTQDPGIGAPGSTANISPKDNSGCPVIPDDFRCPISLDLMKDPVIVSTGQTYERGFIERWLDAGHNTCPKTQQKLPNKSLTPNYVLRSLITQWCEANGMEPPKRPAQPSNAPVSCTAAEHGKVVELLQKLSSQNLEDQRGAAGMLRQLAKRSAENRACIGDAGAIPILVSLLSTTDVSTQEHVVTALLNLSIYEENKARIITSGAVPGIVHVLKRGSMEARENSAATLFSLSLVDENKVTIGASGAIPALVHLLSNGSQRGKKDAATALFNLCIYQGNKGKAVRAGLVPILVDLLKETETGMVDEALAILAMLSGHHEGKSAIGAASAIPILVEVIRNGSARNKENAAAVMVHLCNGEQQQQHLAEAQEQGIISLLEELAESGTDRGKRKAIQLLERMNRFLKQQSQAQAEAEAIQQALARAQTRAHAPVQAEAQADMQVERSPLLPLSSNFPDR >Et_3B_029637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26690807:26694695:1 gene:Et_3B_029637 transcript:Et_3B_029637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASKLLSSLLLTSSPFRLRPSAASAAALFLSPPAVASRRRLLISSPSPLRTLSTASSAAAPLPHGSSSSSASPAPPPRAQFPEWSRLVDRLVAAGYASHAPCPADELEHASGYDLSDGAEAAIFSCLAFGRDRPDLLRSLPRKDVEVLVAKAAPALFKDGEASVRRLQRYLAGEESDVIVSERAETVDIVRYLLSYAYCSSDNYSEDKELTDTAVRNIFSVLESFSGHSQQSSSVESTLRQTSSSQHEQYSRSPRQNIEMKRGDWICTRCRFMNFARNARCLECNEQRPKKMLTGGEWECPKCDFYNYGKNMSCLRCDCKRPATIPPNPASAGTGLSGVARLLNVGNVDESEMERKLAENDEKAERWLSKVSQLDDSADLSSLAEDEDFPEIMPMRKGVNKFVVSTRKTPLERRLANAQYNSNTIPQEAPSDSKISQTLDRILGRSTSTAAPNNQSGTGGANASAPNKTGYLGGIDPVPFVPLSPDLFAKPQSSNEQRNRNDTDANSSMTNNIAPLPDRKDYKESLETAEKWSKKVTELDNVKDSPRVIPDEDFPEIMPLRKGENRFVVSKKKDRSLTSPQYKRRNVLEHADNSNFVPFVPFPPDYFAKKDKPVESTSDTGTVSEGSPATEEHPKTNASLGNSSNTSHVVGSQPKGNMNNENWNKDYPQQNFSPSSYAYDQRNNNQHQPQSQGHSWSSGSSSTGTWSTEYSQRTFNEWRGSAVKDPDPLDMSEEAKAERWFRRAAQIKDISELANIPDEDFPEIMPMRKGVNRFVVSKRKTPLERRLTSPQYRRNLPIVNSEPDKDAS >Et_1B_013625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1021210:1030394:1 gene:Et_1B_013625 transcript:Et_1B_013625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTCLRTQAIAFVVLFSRLAPASAQVPPPAPGVARPGCRDRCGNISIPYPFGIGAGCYRDDGMQGFELLCNDTSSPPRLSIFGYDHQLTGLSLAAGEATAYHNASRQCFNATGGFVDGTNAYMSLGTSSPYLFSPAKNRLVALGCPNLGYFVDGAGYYVSGCMSVCRPSKYAIPGECTGVGCCQSDIPEGVNLFEPHQLNFQGGQGDPSFSSNLTACHYVFLVETEWFGLRYSERAYVNRTGDFAVPVVLDWAVRNVGNCSTAMRNMTDYACRSVNSECVNSSNGAGYRCNCSEGYEGNPYLDGGCRGVSVGVILSVFMCFWLYLGLQKRKLIRTKQRFFEQNGGVLLQQQMRSYSSAGGGAGGFKLFSKEELEKATNNFADDRVLGRGGHGIVYKGILEDKTVVAIKKSKMMEEAQTKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKDLNAEITFDTRLRIAAESAEALAYMHSSASPPILHGDVKTANILLDDKLTAKVSDFGASKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVLLELLTRKKALYFEGPEEDRSLVRNEIRAEVLEEIAHLVMRCISMSGEERPTMKEAAERLEMLRRYNQHPWAQANGNAEERQNLLGMEQQNLPYKFKQQDVLDLEEGSTIPSRKINIFGGIPKFTIQSMRNNQYLIPRKKKEEASAVVISPEMAIVSIRRSNSQKLDDCAASKRKPLLYGHKPLLVKVQDICKRQIISLIYHQITE >Et_6B_049677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8522816:8530430:1 gene:Et_6B_049677 transcript:Et_6B_049677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEDGGEVGPTEDASPAEGEAEPAPPAKAAAPAPAAEGGELVKVGDGTAPASSSHPEGLSLNYEEARALLGRLEFQKGNVEAALRVFNGIDLQAAIQQFQPSLSDKTPSKKGRTKSELPASVPQNTQNPVCLVLEAIYLKALSLQKLGKSTEAAHQCKSVLESVESMFQNGTPDIEQKLQDTVSKSVELLPEAWKQAGSYDEALASYRRALLGQWNLDDECCTRIQKRFSVFLLYSCAEWSPPSLASQAEGSFVPKNNVEEAILLLMILLKKWYQGKTHWDPSVMEHLTYALSLCGESLVLAKHLEEVLPGIYPRTERWCTLALCYYAAGQKDTALNFVRKSLNKLENPNDILALLLAAKICSKKSHHASEGVEYAKRVVANAESSNAHLKSVGLHFLGSCLGKKSKTVSSDYQRSLLQTETMKSLTESITLNRYNADLIFNMGVEYAEQRNMNAALRCAKEFIEATGGSVSKGWRFLALILSAQRRYSEAEVATDAALDETSKWDQGSLLKIKAKLKVAQSSPMEAVEAYRALLALVQAQKNSSDSCNTAAEGDDGNVNEFEIWEGLANLYSSLSYWRDAEICLQKARALKSYSAATLHAEGYMHQGREQTRDALASYVNALSTDLEHVPSKVAIGALLSKQGPSYLPAARCFLSDALRVEPTNRMAWHYLGKVHKSDGRIADAADCFQAAVMLEESDPVESFSSLS >Et_7B_055164.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7967748:7968530:-1 gene:Et_7B_055164 transcript:Et_7B_055164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGASKRRFVRSFLRAWKRLAAAQQAAAGGWAPLDGGEAIPGDVPRGHTVVYVGEALRRYVVRVSCLDHPLFRELLDRARDEYDFAGADARLCLPCDEDIFLGVLCHVHAEREWRLAFQWLFLQELRYASKLVNTTRRVKEDARDALSSI >Et_5A_041726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26383188:26385131:-1 gene:Et_5A_041726 transcript:Et_5A_041726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGALQQVQQSNGDGPSFSDPGYIEVDPTGRYGRYNEVLGKGSSKTVYRAFDEHLGMEVAWNQVQLHDFLRSPGDLERLYGEIHLLKSLRHRGVMRLHASWVDAPRRAVNFVTELFTSGTLRQYRLRHRRVSAAAVKSWCRQVLDGLAYLHARGVIHRDLKCDNIFVNGSQGQVKIGDLGLAVVRGGASRCVVGTPEFMAPEVYAEAYDELADVYSFGMCVLEMVTLEYPYAECAHPVQIYKKVTSGIKPAALYKVSDPAVRRFIERCLAPATRRPSAIELLNDPFLQMEDDGFGFYGEGDYNAMYNYLHQPALVDERHAGSNGSSSATNDDDRWAGCEEDEDDDDDDSKFQGIAMLFDEHEDDEHVAGVDISIKGKRVEDGSIFLRLRITDKSNPGLVRNIYFPFHTESDTALSVAAEMVGELDITDHEVTHIAEMIDGQVAALLPHWRPGPGMSDDDDEEEAARTCQNCRSSASSGGSLDDYMSAAALRGGCRCAELHGRFEEITVQADEERVQCESSGCSSDD >Et_5A_041907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3994649:3999582:-1 gene:Et_5A_041907 transcript:Et_5A_041907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRWRPRSRPSGDPASPDGSGEKASAAEAAAPYRKGRDEGNEGKQEQKDGGDVSPTHSEGISVRPKQRRRKEKQRLSEPGPVQQVWVKSVVVGEQHQHRFSSRSSNLPLSTSRSGVSQEVGDASADPLTARKKKVKRVIQHMKSLSSDPTGKSNVRSGKVWRPKQQQLLKHSSGGDAQVAGETSAAPPSEEESIEHVPLCEREPSPVPKLLMDQNSSHASHSSIQSVGAREQTSFGEQKTSTRLKAEKKQPVPSSSGDGDFRKIAQDEDERCDKKPSSKDLSEIIASHEDERRSDKIGVEFIASNEDEQRSDKIGVEDIASNEDEQRSDSNLFDAIEDHRQEQGGAGGHVQSLLDMDDDTLLEQIDYYFDLLKYDPPDNDNWLEYTPQQLTELYQRLAFYRIRGYELSVDRQLPQLDDAYLEERFSSEYLLNRGYFKCYEEDLEWYFDPELCWNTCYDDYQRLTLCDYGEYRDWDHYHLFRNTYEQDLAYVKYCEEIANETKWEKIENVALLQALKVQASVHNVPLSLLCSGLREQLWSIKFDHFNYRGMDSVYFEIWKRVSKQKVSISACKSEHLMLLLVLFVPCFMCLLNTSFHLNFFQMDFTNALSEIHRENMFPSRNHEIEYELKGKIHRFSMKEDYNAHVACIDETSPKSKTYVEYARKKLGIAKDIGLVPKGAILEVRPQYRGDNGEAKLAVDS >Et_2A_016029.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20290326:20293842:-1 gene:Et_2A_016029 transcript:Et_2A_016029.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPYTSFFKNHHHHHRYYYHAVPPTVPAPTPPAAAYSLQFFPTPPAAPTAPPYAPPSPPLREALPLLSLAPASREEEQRGHRAEADSDEDGDDEEEEEAGPASRSNHGHGHGQRAGGFFADLNAKAAGDAMDMESASGGGGLAAGDVTVALRIGLPTTIAGAADLVSGLSSSRPRPPQDDSVDEEDGRDDMENGAGGEEGEEEEEEEEAAAAPLGFTSTPIGRLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCAPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGLKPFLCRRCGKAFAVKGDWRTHEKNCGRLWYCLCGSEFKHKRSLKDHARAFGHGHGAVGGAGAGCGGLDDDDDGAVSDVEHEGGAAARSL >Et_1A_006447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22297298:22298602:-1 gene:Et_1A_006447 transcript:Et_1A_006447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRAPPRTPAAVDRVPGRLLLHHRRDGAYFHHQIPGLPHEKVTCLGATDDWLALDCTDATRKRTSLLDRILSEDSSWEPNRDIKHKHTYLLHNPFSGKTVPLPELDSIVGYVAESFEIRKVLLRSPTPDNDDVLLAVTTNNWNYPVILCSPGKGSSVLPYVRVFDVAFLGESRLYGITPDEDLVAFDLAKDCNDGRVRAEVQGEGEESPDEPKDYIETARHLVESRSSEEWIMVRHHVRSTPSGSHTLKVELFKADVNAGKWDPVTHDGLPKGEALFLSRSVCMFTPAYGDIHEGFVYFAEGVDDVFDTRSWICRAYSLPWQRKLASKGLLTWLFQPDFVLGVEPCNAC >Et_9B_065631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8454632:8455424:1 gene:Et_9B_065631 transcript:Et_9B_065631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCYTCCLGSNLRRCCAWSCQHLAMYAWLCVEFWTSLSLTVLFLWVLYRPDHFHPRVDSAVLTAFHLTSPPAPAVANNATDTGRPISFLQYSLATDLSFRNSHRRLSVRYLDVGATAFYNGTRLGPADDSLPAPFRQGPKNTTVLHPTFRGTVAVDAGVAAEVERERAAATVHLRLSVTLTLLYKVWFAQQVFFYKYDCWLWFPPPGNATPGVFNAGTQCWAAK >Et_5A_040144.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:15053015:15053952:-1 gene:Et_5A_040144 transcript:Et_5A_040144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCRVGQPDLARRVFDGMAQRNVVSWTAMVGGYAENGMYNDAVDAFREMWATDGVLPNKIALISVLSAVEALSGLAEGKQVHGFAVRMGMSREVSLNNALIDTYAKCGALNYARRVFDDGSWRKDVISWGAMILGYGIHGMGAEAVALFDQMLTSGVQPDSIVGLGVLSACCRAGLVLKGLEIYDSMVKDHGVHPTEEMCACMVDLLGRSGHVDHALDFIKSMTVEPGPSIWGALLDAATTHGNKEIQDLASMCLLRLDQRKLSNLVAVSNLNASSEKWIIVEQVRRRINNGSLKKKPGRTWVNPT >Et_3A_024788.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24171677:24175120:-1 gene:Et_3A_024788 transcript:Et_3A_024788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESVALTLAGTAGNNIGKVLQKKGTLILPPLSLKLKVIRAYAFNQLWISGFLLDMCGAALMLTALSQAPVSVVQPIAGCGLAILCVFSHFYLKEVMNGLDWVAITLAGLGTIGVGVGGEEQKVDKIPLIKIPWLVLSIAILFVLLNTWLHMYKKQRREQELTGPEVIEEIIFGLESGILFGISSVVSKMGFVMSEMGFPKIVVPTAISCSVCCSALGFVYQTRGLKHGRAIVVSTCTSVASIVSGVIAGMVALDEHLPTAPTSRLFLLLGWFFIITGVILLVSSTRLIARLPRSVQKILKSNMERNHSMRRPGSARGKDPIPSTTIHASSLHLLTSPAKEKA >Et_1B_013837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:193531:196444:1 gene:Et_1B_013837 transcript:Et_1B_013837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFNSKPNDAGAIRRRPGSIGEVAVFIPGLRVPENLEPPQPLGDGLPTRRLTERLTGLRSRIVVMSIHEALSVMRPRKRTFTQHGGSTSADLLQVLEDYLPVLLGLVKEGSDLEDKMQFSWMNQEDDAEETALPSAWYEVLSVLHMMAMLRLSQANSLLLPKTSLDGYHAKVSEENKRASVEIFLEASGYLECAIQHVLPRISPENRKGLPVDLAEGVLKAICMQALGQAIDVQLGLAIDSPKATLAVKRRLACEMVKCWKQAHEIMEDLPLIDGWGEKHKLFVKWKYIEAKAAAYYYHGLILDEGNTEKSHRTAVAALQSAEEFLRESKAVCEAFHAASPVSRSPPVCGSMKYLHEKIQKDSSCKVRINKDLYSNDIIQEAVPALPDFAVALKPEEYRLPLTDATNDSRDQ >Et_5A_042960.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:821853:824579:1 gene:Et_5A_042960 transcript:Et_5A_042960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGFLLIQDHGEKEMIRLAFGPEALLHTVMAKARKELGLLPASGPGTPTSVTAAAAAAHSPFMLSRQNSGRCGTAPSPLSVSSPSSWAPPPVFSRSNNGGGANGAAEEMAGHGEELMSPANGNGPPSPFFGAPNAGDPLLDELQLQDQLAFLNDGGGHQMPLFDGSECRSPGAADGGFLPYGLGWANGGRAHRRSSSVSELCLGGGAEGLGWKPCLYYARGYCKNGSACRFVHGGLPEDASGKMDAAALEQQCQDILLRSKSQRLAAAFPYSPTGSLPGSPSAAGKCLSLLLQQQNENQRAAAAAAAAALMLGSDEAHKFMGRPRLDRADLASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKKQQLSGERLDFSNGLDARDPFDLHQLDSWIIMPPGARMLQHSNSANEMLLRRKLEEQQQAAELQQALELQSRRLMSLQLLDLKSRSPAPPSPIGVPFSPSRAVGSPPVESPPDSGEQGKGASFLLSQRRPVNGADKDESGGDASPNTDSDQSAEHNLPDSPFASPTKSAAFAHDPFAPTELDISAVSSGCNASYVGINNGGSLTNHLRPSALDIPSPKTYFFPMSRLSSDHGAGAIGM >Et_10A_001612.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6052707:6053063:-1 gene:Et_10A_001612 transcript:Et_10A_001612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LDLDLRKQLELSKVNVNWPSKGYSPVSFEKTNLEFRRRQAPKKATPPKESYSLSGLHSLFFIGTALGRRSIGLPVTRKGWFFPYNPYPSKAVLFIGKSECLDPS >Et_1B_014396.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7723695:7724204:1 gene:Et_1B_014396 transcript:Et_1B_014396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTRSAATERAYLQFAPPPRRGAAGSAPASEEFDESDIWGAFSAAAPDAEPPRARPAPAARKAKAPAVAVVAAGGGRAAAHGSLPVNIPDWSKILGDEYRGHHGTAAGDWELDDADDEDAADAVVPPHELAWRRRAASLSVHEGAGVVGRTLKVRDAVWKRTTGFQD >Et_6A_047433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:5870097:5874092:-1 gene:Et_6A_047433 transcript:Et_6A_047433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQEEARRQAAPEGDVVAQRLLPPCEAKAAPASPAPAASGSGRTVVVGIRRDAASRELLTWALVKVANAGDRVVALHVAALHVAAAADGLLMGVDERSRAVDSLSSVLAVYDGFCNLKQINLELKVCGGSSVRKALVKEAASCGAAHLILGVAKNSRSFGYLITLCTTYFQLNPAFLFPDISFAVTPNIRSSSTSVAKYCAKRVPTGCSVLAVNNGKVVYHRDAGHATHHELYQGISTIQYAQVCNGNAVPETPRRSYRKLLSSMIGEKFWDEYGKGNQSPCRSITMPTRSPVPPKEVSLALVPVKACQRESPEAATGWPLLRKKFLPDRKASLPDKSKMSVVQWAMRLPSRSSVVIEELEKETPEELILLKEKFSSIYSSFSYSELAKITSDFSPECIVGRGGTSQVYRGCLADGKELAVKILKYSDEILKEFISEIEIVSSLSHKNVISLIGFCFKNDDLLLVYEYLQRGSLEEMLHGKKGCQSAFGWAERFNVAVGVACALNYLHNNDNSRLVIHRDVKSSNILISESFEPKLSDFGLAVWAADVTSQMTCNDVAGTFGYLAPEYFMHGKVNNKIDVYAFGVVLLELVSGRKPLCTGCPKGQESLVMWANSIVQGGKLTQLVDPNLPTEGHTDEVERMTLAASLCIRQAPQNRPHIDVVLKLLEGDLDVLKWARSEAGLAYENDGDECVMTPPAPGSNTNIQSYINLAFDVDDDAASVGSNDFITANTSLEEYLRGRWSRSSSFD >Et_6A_046481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15802678:15811841:-1 gene:Et_6A_046481 transcript:Et_6A_046481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFLRSLPLLLLLLPTSLREYYLPTATNNDAVVGEEPRHPIVLVPGASCSELEARLTDAYQPSKPQCGAIKGKGWFELWKNCSDLPARHYVPCFMEQMRLVYNSTANEYGNFPGVEIRVPNFGSFTGFLRHPEHPDWCLHALRHELLPLGYRDGDALFGAPYDFRHAPPIPGQPSQVYAEYFRQLTTLVEDACRKQQGRKAILFGHSFGGTVVLEFARAAPPAWRDRYIKRLVLVGPLPAAGFVQSIKNFASGSVVPYIPKTTPLSLRPMWRTLEAAIAAFPSPAVFGDDTPLVVTRRRNYTARDMADLLADVGATDAVEPFRRRSIPRMSCFEAPMVPVTCINGVGADTREQLMYWDGDFDKDPQVLYGDGDETINLASMLAFDEEMRRQPGQREQYKSIKLPGATHSDIVTQEWSLKLIIQEMLDELEAQLTDAYHPSKPQCGAMKGKGWFGLWRNYHDMPAYVQCFMEQMRLVYDPTTNEYENLPGVEVCVPNFGSSTGFLWHPEHPYVLFFSKKSRTDGLMCCTLGCRDWCLHPLRHELECLGHHNCVTLFGAPYDIRHAPPIPNQPSRVYARYFQ >Et_2A_018103.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:10989269:10990570:-1 gene:Et_2A_018103 transcript:Et_2A_018103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCTEAPIIPAAPGTHGSVYLPSACWLPTPARASLSPQTVSGHRHMFPSLASPDEEVVDEAHGVLHAPYSEGYDNGFDPRAHDVRLYRARTRDAGRASLAAVVARLAEKRPVTRVVYTFLVAWALDVARNAGVPAALYWIQPATIFAVYHRCFVGGHGDALAAAASGSVELPGLPALGADALPSIVSAASPEHPMHGAFQAFRDLFADVDEHRPMVLVNTFEALESEALRAVPELEVVAVGPAVPDEASLSPRTTDDTTEGYMAWLDSKAARSVVYVSFGSFVPMSARQEMEMRRGLEAAGRPYLWARTGAGATEQDDAEVEKEEQVGMVVSWCEQVCVLSHPAVGCFVTHCGWNSALESVACRVPVVALPQWTDQPTVAWLLAERAGVAVRARPAAAGGDGVVEAAELRRCVEAVMSDGQRAGEIRARVDK >Et_3A_024204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18389404:18390323:1 gene:Et_3A_024204 transcript:Et_3A_024204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EAANALHILPSSKRTMPVLHDVSGTIKPCRSASRSPRWCRMTLLLGPPGSGKTTLLLALARRLDKDLKVKGKVTYNGHEMTEFVLERTAARHQILNSLRQSIHILGGTAFSLLQPAPETYNLFDDIILLSDGQIVYQDPRENVLETFESMRFRCPERKGVADFLQEVHTVHCNSM >Et_6A_046635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18436145:18441406:-1 gene:Et_6A_046635 transcript:Et_6A_046635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRATARRLLPCRPLSSPRTRWLSSDAAPEPRRRVAALWGNGDYGRLGLGALESQWSPTACPFFLARSDDPPASLACGGAHTLFLTQSGRVFATGLNDFGQLGIGSSVTHTLEPIEVSGIQEKVIEIAAGNHHSCAVTEDGKLFVWGRNSSGQLGLGKGAGKVVSTPTKVDYLADFRVKVAALGSEHSIVVTEEGEVLSWGAAGAGRLGHGHKSGFLGFSLTSSEYTPRLIKNLDGIKIKRIAAGMLQSACIDEKGTLFIFGQKTEKVGFGRSSEAFGPIIVEELPFSEEVSCGGYHTCVVTDSGDLYSWGTNENGCLGLGGTDMVRSPEILKSSLFKLPVSKVSCGWKHTAVISGDDIYTWGWGGANGTFFEDGHSSGGQLGHGNDVDYFEPMMVTVGKNAKAVHVSCGFNHTGAIYEFTQN >Et_8A_057582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4776327:4778568:-1 gene:Et_8A_057582 transcript:Et_8A_057582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTQPPSSPDDPEPSAGRDMDDEDLVEELLAIVNSARAFAEFRRTQRRECANLLRWLQLVLPLLEELRDSAPRLNDNAYRRLALLGRAFAAARRLLRTCHDGSKIFLALESEAVLTKFRNVYEKMHGALDGMPYAELAISDEVMEQVELMNAQLMRCKKRTDTQDIELSMDLMVILENKEDERNADRAILERLAKKLELQTLAELRAETMAIKKLINERGGQHADSTKQIIELLNKFKRIAGVDETNVLGDVSMPKSLGKCPSLMIPNDFLCPITLEIMTDPVIIATGQSYERRSIQRWIDRGERTCPKTREPLAHLSLAPNYALKNLILQWCEKHMVELQKREPEPAAERGDQRPKEEEIPSLVEDLSSIHPEVQRKAAKRIRMLSKESPENRRLIADNGGIPALIGLLAYPDKKVQENTVTTLLNLSIDDNNKHLITRGGAIPLVVEILRSGSAEAQENSAAALFSLSMVDENKAAIGRLGGIAPLVELLRGGTPRGKKDAATAIFNLVLSPQNKDRATQAGIVPALLAVIDDGPALGMVDEALSIFLLLSSHAACRAEVGTTSFVEKLVRLIKDGTPKNKECALSVLLELGSNSKPLLVHALRFGLHEDLSKIAKNGTSRAQRKANSLIQLARKCY >Et_4B_037066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14184711:14186700:1 gene:Et_4B_037066 transcript:Et_4B_037066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPNSATDIGVMSGAALFIKEDLKISDVEVEVLLGILNLYSLIGSFAAGRTSDWIGRRLTIILAAVIFLVGALMMGFSVNYAMLMSGRFVAGIGVGYALMIAPVYTAEVSPASSCGFLTSFPEVFINVGILLGYVSNYAFARLSLRLGWRLMLGVGAAPSVVLALMVLGMPESPRWLVMKGRLGDARAVLDKTSNSPEEAALRLAEIKAAAGVPADLDADVVAVVKTRTGGGGEEERRVWRELILSPTRGVRRVLLSALGIHLFQQASGIDSVVLYSPRVFQSAGIADKNKLLGTTCAVGAAKTVFILVATFTLDRFGRRPLLLASTAGMVACLVGLGAGLTVVGHHQPAGTTTIPWAIGLCIASILGVVAFFSIGLGPITWVYSSEIFPLHLRSLGCALGVGLNRVTSGVISMTFLSLSKGITIGGSFFLYAGIGAVAWVFFFTYLPETRGRTLEQMGELFGIPNMASDGYRQSPEEEKNNVEMSSTSTAANSSHQQQ >Et_4B_038636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3536443:3539932:-1 gene:Et_4B_038636 transcript:Et_4B_038636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRQRVLLLLGCRPTSFVPVSLPPPQPSADMVLRKQQRLPRVSRQRRAPAAGDRSFVRRPRGGRLDGVNPGSAYCPPTMAHGPSPVRRRRRGFVSKTRPVAQAERTVAHAPPTDLPPPLRSAAYSSMLRAHLLPRRVVGPARGLDAGRGREIPVLCASGMLDGRSPEQECLLGCTLIAPAPMLDHLAAVPREIFAILNGLRYNTMTYSSHAVLMVRRRPPAVNGGGFVVADQEQRIIFSVDGCGIIGASGQLIVRDGDGNAILFIHKKEGVVQALSVNNRWRGYLTDFGEPSKLVFSLQDPKPVLCMKGDVQVTIEPKGRKRHWDYEVTGSFVQRSCAIKSRAGHVAAQIGAKGMMAGKDFYQVVVQPGYDQAFVVGVIAILDNIHGESTRC >Et_6B_049430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4814839:4820029:1 gene:Et_6B_049430 transcript:Et_6B_049430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLCAVTERSSCALAARISPAPWSSPSPRSPAAQVLVAPLARLQLPRHVATLQLLDVPGNPIHARRTQVRLAGIRPSCCPPSRAFAAVRAQKIQAPKKKRRLDEVCLERFQQYSRTYIQSWILQGKVHVDGRVVNKAGTQVSDKSVIEIKAEIPKYVCRAGHKLEAAIKEFCIDCDGKIALDSGLSTGGFTDCLLQHGASHVYGVDVGYGQVAEKIRIHERVSVIERTNLRYLTQLPELVDLVTLDLSFISILLVMPAVIKVMKTDSILITLIKPQFEARRSQVGGGGIVRDPLVHKEVLDRIISGVEEFGFCNKGWIESPIKGAEGNKEFLACFHRIPTPEPRPEGEVDLAAT >Et_3A_027120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33526410:33528869:-1 gene:Et_3A_027120 transcript:Et_3A_027120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTLKSLSMDYLNLLINGQAFSDVTFNVEGRLVHAHRCILAARSLFFRKFFCGGAAAAAAADQSSPALLDHLSPRSPSGSSPRGGSAAAAPPGAVIPVNSVSYEVFLLLLQFLYSGQVSLVPQKGEPRPGCGERGCWHTHCAAAVDLALDTLAAARSFGVEELALLTQQRWPVVVVTHSSSPSPPHACLLSFSLSPSIHIFLSVRPSSAAAAAAAAYILSFTLQLLSPLALPLRKQLAGMVEKASIEDVMKVLMASRKQDLHQLWTTCSHLVAKSGLPPEVLAKHLPLDVVAKIDELRLKSSLSRRSPFLAHHHHHHIGGEGAGASGGAPDQLDDHHKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNHPAGPAGKTPLHVAAEMVCPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLAHIEPNKLRLCLELVQSAAMVMSREDAHTAAAAVNAAPAMYSSEPPAAAAGHVYNAGGTNLSLDNRMVYLNLGMDAQFGKMSDGGDDGGSRGQGGHSSLFSPHGYH >Et_7A_050499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20002731:20003411:1 gene:Et_7A_050499 transcript:Et_7A_050499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPPPPPVIGKSGNLTVFITPPSPAAAQSPEPDSPGSEFSTPPTSPRSIDSPDSPMPDEQPAATPPQVRAAAASPPPLPEDTPPPPPPVQVPPPQYEKAPAGTDGSVATFFWDAVARVQEAHATLDEYISNWFGLDQSKYQWALNDYYERTGQVSTHPKLQFPLL >Et_8B_059964.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4528964:4531465:1 gene:Et_8B_059964 transcript:Et_8B_059964.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVSGSTSSRGIAAVVGVGPRLGSAVARKFASEGYTVAILSRDLEKLSQLAEEIAQEAKAQVFALRVDCADARSVREAFEGVLSLGPVEVLVYNAGEPHADGPTPFLAVSPDAFHRALAVSAVGAFHCAQQVIPGMVDRGRGTIIFTGSSASVTGFGGYADLSCGKFALRGLSQSLAKEFHPAGVHIAHVIIDGVIGERRSPRGSRAAAAAGADPDAVAQSYWHVHAQDKSAWTQEMDIRSPSFM >Et_4A_032091.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:16833198:16833530:-1 gene:Et_4A_032091 transcript:Et_4A_032091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSADAAFGGRGAKVGGWEPIPDVHDKHIQELGGWALGQAKQQRLAGDGLRFRRVVRGEQQVVSGMNYRLMVDAADPSGRSAPYVAVVYEQVWTSTRELTSFKPAARAH >Et_3A_025916.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3408895:3415393:-1 gene:Et_3A_025916 transcript:Et_3A_025916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CFLLVHSNPDMSRMVIKVFQVLFEGTRIVLKRRYRFCICARIPLTLMVTSRNIVEAEETDMICTCRNIAPADEVLISVIKFVQLAHDCHNPLPTGTKCGSFTAHIVLGEKDCSKEKLLVQHFCHDSIKIKGGYIAPTADCRNIVEAEETDMLCICGNIAPTDEVFMSVIKFVQLARDCNKPLPAGTKCGSWTVRPSPPRAY >Et_4B_039250.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9137719:9139760:1 gene:Et_4B_039250 transcript:Et_4B_039250.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSSCVSDIHGGGSRSRFSPPTGASSLETQLESPSSCISDSRGGDNGSGFISTARAFPLERRPSSPSSCVSDVRSGGNSSSLRVSKEREREVQEAERLLYAITERYNDCFLRLRDATAELIDLRHERVRLRAENLQLSLLLAELEAEQSKQESAVALTPPPKPVQSLQTEAAFGCAPKSISIRSKGFLSQQQPQGESREQRLRVRASLEVEEAGEKGEDGQVEFEAYRQGALKTELCNKWERGACPYAGRCRFAHGVQELRPVIRHPRYKTLLCQMFISPSGCPYGHRCHFRHSLPPTAESC >Et_3A_023503.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:31327721:31328065:1 gene:Et_3A_023503 transcript:Et_3A_023503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRAARRGAPHHRKGAAMHGEHKQQQRRPPVTTGTSQRGQGSPSTPPGYFSVELVLVFLCVTASLVFLPLLLPPLSPPPFLLLLVPVCLLAVLVALAFVPLDAQSNLVGSSRL >Et_8B_059444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1843529:1847267:-1 gene:Et_8B_059444 transcript:Et_8B_059444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRDAATAAALAVLLAAVATLLPAAAASGSPAEGFQPLSKIAIHKTTVEMQPSAYVEATKPLLGEQGEDTEWVTVKYGRTNQSVDDWIAVFSPSNFISGACPNPTRYPGEPLLCTAPIKYQYANYSANYIYWGKGSIRFQLINQREDFSFALFTGGLENPTLIAVSEPIAFKNPKAPVYPRLAQGKSHDEMTVTWTSGYDISEAYPFVEWGLVGGAQSTRTPAGTLTFNRGSMCGEPARTIGWRDPGFIHTAFMRDLWPNKEYYYKIGHELLDGSVVWGKPYTFRAPPFPGQNSLQRVIVFGDMGKAERDGSNEFANYQPGSLNTTDTLVEDLDNYDIVFHIGDLPYANGYISQWDQFTAQVAPITAKKPYMIASGNHERDCPNTGGFFDVNDSGGECGVPAETYYYYPAENRANFWYKVDYGMFRFCVADSEHDWREGTAQYKFIEHCLSTVDRKHQPWLIFAAHRVLGYSSNSWYAMEGSFEEPEGRESLQKLWQKYRVDIAFFGHVHNYERTCPMYQSQCMTAEKSRYAGTMNGTIFVVAGGGGSHLSEYTTAIPKWSVFRDRDFGFVKLTAFNHSSLLFEYKKSSDGKVYDSFTIDRDYRDVLSCVHDSCWPTTLAT >Et_3B_027660.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11324984:11326072:1 gene:Et_3B_027660 transcript:Et_3B_027660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPVLLAVTLEKVDLKSEGNGLVRDSISPIAALTLEAGLLPFLCLCLSKLLTKKFAGRLFRSSKVLVHICALLLMFLAYGILLLISMENKYYFAVLVPLVPFTLWRCYWSIWNSHDHDAVVYQGCDGKLETSLDFSAAVTTLLFLGLEGLALEGQKTGTQGLERLLTTSLGAAFVTCVLGVIIMLVGTVPPLITDHGDSQTMCDVIEVLNVVLAIAIAVIVFLITFAPLGEVAWLLFLPPLLSFIVWMYKALDDDTDAMMLAPEEEGFKPASLELTKVTFTGFLAVSVPTFSNSSISNYTHVFILLTAAAVVSGLGWRLLTHRMAPSRARVAAANVASLCTHLSVAAAVIPFATMAVRSVN >Et_7A_052798.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16643174:16644055:-1 gene:Et_7A_052798 transcript:Et_7A_052798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSLLRNWTVEEVKEKSREDNWINKKLSTALANTEASLQTATKELERERKSKGRVEKICSELIRGIDEDKAEVEALKKETVKAQEELHKGHEMLQLADEWREQRVQMKLLEARLQFEEKKNAAVNLLCDELQACLDTKKGQEPMNDRMQSSHASENGVAAHDVVANRNRNGECSDDSEEGASEGSEMHSIELNFDGNSETFTWSYTPSSKGWQRHESFSDRGMDGADSCRFEQNFQGMDEELEGEWAEGWSNGMLNFDHDEERYQAIKNLREQMLAGSGFVLSQGRENAES >Et_4A_031913.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28955722:28957031:-1 gene:Et_4A_031913 transcript:Et_4A_031913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPSDGRDGGRTAELRRPHLYLVFDDWSRGYSVRKVDLSGSDSGDGGDRPLQQAVLSGEVTKPRLVFRLEAPHGLPHCFASAFGTSIMNLHPRKPITDQRHKMPEGLYPILDVHSRGIFFGPRPESDSVCPIYIPSGDMLFALASGSLEMLSSPPEPIGHNCLWSWCKLENPPFERQEVTSYAVHPGGPTILVSTVTGDTEVTYALDMKKVPCMWTSLGNWRLPFTGFGHFDVRLNAYVGLSNDPNTLGHLCSCDALSSDVVDGRCLAPAQKLSKERLFSVNDPAEVHMGATLVYLGRRRFCLVQCIGLDDDFDQLQEKE >Et_2A_017345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33469001:33471022:1 gene:Et_2A_017345 transcript:Et_2A_017345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVSLSQASEKKQSNPMREIKVQKLVLNISVGESGDRLTRAAKVGFVPVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQANA >Et_2B_021407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29454210:29457327:-1 gene:Et_2B_021407 transcript:Et_2B_021407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRKRGPRELREGRRSRGGGGGGSGSHGTLPFGRGSSRVEECVLNQPAGSAGCQAACEVTGEFLTLPPVTCAFCTRESIQKSFASPSRWATGQAGEDAKSYDRQAHLTGVGERGSVVRVVAWLGRTECMTERSATPEMDGIRADLVRLCGGHGCELANCDTQANEPWAVSDPVRSKHNSTTIPKGTQNLEAHAELLLPCCLLDLRRVPLLVGGEVSDEPVPVRQAPFDGLVGGVVLGPTAQGRELAVRHQAHSGDLLQHVQPAATSTRPTASPAKNLFPSSCASRIARLSFISFANRSCTSPSVHSDIHSGPKHCASKYVQDSCICVVDEYLVVDEVSPEAGGGAVVGVRRKEARRRAVAEGLVDVLDDDEGLADCRAVAVEEDGDGLVDGVVRQQQLALVRQVLHDQLVFHPFQRERHLGAVRERAANVLINFTDILIKTNGSDCFFSNVRPIETERLVWLTDKQTGMSPNNLDFQKSFEEES >Et_7A_051291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16762531:16772230:1 gene:Et_7A_051291 transcript:Et_7A_051291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSAAAAEAAPAETVGQAVIPLVNSLQDIIARLDGDDAAGLELPQVAAIGGQSSGKSSVLEALVGRDFLPRGPEICTRRPLVLQLVRHSAAEEWGEFLHIPGRRFHDFEQIKREIQSETDKEAGDNKGVSEKQIRLKIFSPNVLDITLVDLPGITRVPVGDQPSDIESKIRTMIMQYIKHPSCIILAVSPANADLANSDALQLARLADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKLGYVGVVNRSQEDINFSRSVKDALSFEEKFFSTLPAYNGLSHCCGVPQLAKKLNTILLKHITTLLPGLKTRINAQLVAVAKEHAVYGDTVESTAGQGVKLLNILRKYCEAFSSMVEGKNRVSTDKLSGGARIHYIFQSIFVKSLEEIDPCKSITDEDIRTAIQNSGGPKGAMFLPEVPFEILVRKQIVRLLDPSLQCAQFIYDELIKISHGCLTNELQKFPILKRRMTEVMDYINTSHPSFVGGVKVVELAKHEALPLKTSTSLSVRKDVIPIGSDTQLTAERSQKLRAVFPRDGARGATPEQPPITLKPSENHPEQDATEIAIVKLLIKSYYDIVRKNIEDAIPKAVMHFLVNHTKRELHNFLIRKLYRDNLLNELMRETDEVIIRRQRIQETLEVLEQAHRTLEEFPLEAEKIEKGYNLDEHDTGLPRIHGLSDDGPYGIFTSSPNRYEPHQASHIAI >Et_10A_000398.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:8865033:8865113:-1 gene:Et_10A_000398 transcript:Et_10A_000398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFYGVADYHVPMWGSQMRGVPFFL >Et_5B_045660.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6394529:6394864:1 gene:Et_5B_045660 transcript:Et_5B_045660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNASHALLLLAVLAAACLAQLGAAARPGPVQQAAAEDVKRPDTFQEGTVLIPGIGRYEIGSHYMPDIGGLDHSIPAAVNGQYLPGADDTWVPNPGFEVPNPFRPGSATP >Et_7B_055348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9613219:9615316:1 gene:Et_7B_055348 transcript:Et_7B_055348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPMGGTESKAAAVIDFHKTLNEISSHSSPSSDGSLTTSFLRRRNHRAVPSHCKPVHSPERALLRSLTQRSGCSGGKYTQLTIRFSLSESLTTLMRHQMLFQATCKFFRNPANFPPDTALPLPELAAYDMCRQRAMCKPMKDEEMEALKRRCGGSWKLVLGYVLVGEKNYRREKPHVVAGSGHSIVVTANGEVYSFGANSLGQLGLGDTEDQHKPCLIRSLQGIRMTQAAAGARRTMLVSDKGSVYTFGHDILGWEDTTKSASVSHNSSPKLVESLNGVFVVQASIGGYFSAVLSREGHVYTFSWGRDNKRLGHNSDPVDVEPRLLSGPHGDAPVAQIAAGNCYLLMLVYQPTGMSVYSLGCGMGGKLGHGNKVNEGIPKLIEYFQAPDRRPASISAGAFHAAVLGRDERVYTWGWSTFGCLGHHHDPNDEAEDEDEECVTAPMAVPGLFSVKAVHVSAGRYTTFVVADNGDVYSFGWGTSLNLGFQDNTGDVLAPKVVTSLAGLDEKVVQISATHSYDWHNEGAGLAHSHTMVLTESGKLYTFGGASKGQLGLSLKLAEGQTAVALPSRVAVDLV >Et_2B_019782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1399416:1401725:1 gene:Et_2B_019782 transcript:Et_2B_019782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAMDRIATRLSAVEGLYFPSSFLAPAASSCVDDAQSHPPPPPSPPRRREELRALLARDAPLFLERYGASLSAEELAVFDALSPDYEVDWHLRRLRAAAAGQPRPAWQVRNRRRAYLDRLVREGDYFSEEAMREREPYLHHEYLGRFQDPLGRAMARPGERWSETLMRRAEEAVIVEKIRGEQIRRGVDPSEWVGGGADEPMQEQEEEEEEEEEEEEEEEEDDVMKEKKADADKANATDTEVVADEDVPRESNNVGGTLPGTFTQTLSSEEMQDQLEQFTYVMQEKFLSGQDTEHMDYSQIDNDEMLDDHWSREANYDAEEKYFEED >Et_1B_011143.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1837377:1847955:-1 gene:Et_1B_011143 transcript:Et_1B_011143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGVGRSKKEGDEELRAAKRSFNEARTEGNREEEARWANVIGDIHKRRAEYIEALRWLRIDYEVSVKHLPQRHLLASCQSLGEVYLRLCRFSEALTYQKKHLQLAKESDDLVEQQRAMTQLGRTYHEILQRDESDYNAMRNAKKYFKSSMKLARMLKEKSPSEKSSYLKELIDAYNNMGMLEYELENYEEAEKLLLQGLKICDDEEVNAYDDARTRLHHNLGNVYTKLRNWNKAKGHIEKDIGICRKIRHPQGEAKGFINLGELHYQVQKYEDAKLCYKKALQIAKCLEDEDQLIQQIEKNIEVVEKAAKLLEGLKEDEQKLKKLVRDTSNARGTSKERKLLLEQYAWLDGLIVKSDLIFAWQKHKEFSKGKKRVANELRDKEKLSDSLLIIGESYQKLRNFSKARKWYMRSWNMYRSIGNLEGQALTKVNIGNVLDSYGDWAGAIAVEGDLPKAQLDALENMHYIHMIRFDNIEEANKLQQKIDNLKQTLSQHAARDSVINYCPETDTEGGDASDNMLHPEDGNEQVASKYSVEHDDDDDDLTLASLVHKTRSSSKIKTPKIRNRSRIVDELCDMAEGSGTVSSRPCTNHTVGRKRVRVVLSDDEAEESPETIQSKRTSTSLADSVSISDHGANINRNKDALHPNETKDAQCPAEESICSFKSNSPIGQALEGKDLGVSNVGKVSASISAASGSKFCIPTLNSQHESQNAVGLHSTDVDHQFWAFRIGEHLVYLDARACVHEGAFSVESLKVEVACIYYLQIPDDKRAKGLLPIIRELNYRGKALDDTSSIDYIDQLASEEKCFDVVIDDWVPKRLMKLYVEFCTKLSEAPNKKLLTKLYNLEVSEDEVIVSDCGLQDLSVTPFLDALRSHKTIAVLDLSHNYLGNQTIERLQHILASSSQTYGGLTLDLHCNRFGPTALFQICECAVMSERLEVLNLSGNRLTDACSSYLFTILQKCKALYSLNVEQCSITSRTVQKMADALHEGSVLSHLSLGKNNPISVNTMLNLLSKLASLTRFSELSLTGIKLNKLIVDKLCVLAQSPCLSGFLLGGTSIGPVGAIRLTEALSSASQELLWLELANCGLTAPAFTQICTNLSRINILDLNIGGNSINVEGCDAIHAMLVNPHCSIKSLTLDKCNLGLNGIIRIIQALSDNDQLEELRVAGNMNLAQGAMQYDEDMQEVSTTELGKQCNSSGVANDIAPQSIDFENMLVADSEDEADNENHRAVVGPRGNCASSSQRSTCQDIQEFVHALVSAKQLKLLDLSQNGLSEGSLQALYSAWASGPRGDGTARKHVNKEVVHFSVDGMRCCGLKPCCRRDLQM >Et_2A_015224.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:9123520:9123705:1 gene:Et_2A_015224 transcript:Et_2A_015224.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFQVTKYLKRILAVPCYMEITVIMFWSIWTRNDWIFNQIDPTVDNCLRKFFSELRLVIH >Et_3A_024496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21375455:21377939:1 gene:Et_3A_024496 transcript:Et_3A_024496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTRIHSPPAARGLLRASPPRILPVERATRRFGPGVRAVSGGPGPGGSPVPRRPPAPGDAAAVAPPSAPSSASSAIDFLTLCHRLKTTKRKGWINHSIKGPESIADHMYRMALMALIAGDLPAVDRERCIKIAIVHDLAEAIVGDITPSDGIPKAEKSRREQAALDEMCEVLGGGPIADEIKELWEEYENNSSIEANLVKDFDKVEMILQALEYEKEHGKVLDEFFLSTAGKFQTEIGKSWAAEVNARRKEHCGKQK >Et_1B_010636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12368411:12379335:1 gene:Et_1B_010636 transcript:Et_1B_010636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQVQRTFVIPPPPSAPEEEVPFTVFDLLAPPYHYTAIYAFSPPNPTNDALLAGLAAVLPRFPLLTARLDRDAATGRPFFVTGKGGAGALVVEAAVAGAALADHLPLAPWPERVRLHPPLHEEPEEEGSSPPQHMLHVQVNRFACGGLVVGLSTHHYAADGYSTITFLRAWADTVRAGGAPPLDRPPPYGPRGLVPRSPPRCEFQHRGAEFLPMLSDTAANGGGEQQPPPVVVPASEIANVVLHFSGEFVERLKAAAGHEYTRFETVSAHVWRKITAARGRVHDDGGRTAIHVTVNGRPRLGPESISKGFFGNVVLTAHAGASAREIAGAGGLGLADVAAMVRGGIRAVDGAYFQSFIDFGALHGGEELEPVSLGEDNELSPDVTVDSWMHLEMHRLDFGAGGRQAGILPTHVPGDGVVLLIPSFREEGGIDVFVALWERHAEVERTFVIPPSSEPETVPFTVFDLVAKPSHMTVLYAFSAPNPTNEALLAALAAVLPRFPLLSARIDRDPVTDRPFFVTGKGSGGGAGVLVKEATVAGAALADHLPLLPSPELARLHVPLGDGAEHMLKVQVNRFAACGGVVIAPSAHHQAADGPSMRTFLQAWVDAVRAGPGGAPPPRGLSSPPPYGPPQGGKYTTFETLSAHVWKKITAARGLLDAAPATPTSIYVAVNGRWRVPDSDAIPRDFFGNAILAASSTTTARDLVGGGGLADAAAMVRAAARAVDERYLRSFVDFGAVHAGESLEPAVPDEDNLLSPDVDVNSWLHLGLHTLDFGCGGKLVGILPAKIQLEGEVYLMPSLRKEGGVDVLVALWDKHAPRLQSIAYTMD >Et_10B_003225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1812723:1818560:1 gene:Et_10B_003225 transcript:Et_10B_003225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRASLVTAPPLRLPQARVTSAAMAAAVASRERRLSPGAGAPQVDTGKYVRYTPEQVEALERVYSECPKPTSLRRQQIIRECPILSNIEPKQIKVWFQNRRCREKQRKESSRLQTVNRKLSAMNKLLMEENDRLQKQVSRLVNDNGYMRNRLDKPSVATTDTSCESVVTGGQQHMQHPVVPQSLQRDANNPAGLLAIAEETLAEFMSKATGTAVNWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRTSWYRDCRRVDVLHVIPTGNGGTIELIYMQTYAPTTMAQPRDFWTLRYTSGLDDGSLVICERSLTKSTGGPCGPNSPNFTRAELLPSGYLIRPCEGGGSMIYIVDHVDLDALSVPEVLRPLYESPKILAQKMTVAAMRHIRQIAHESSGEIPYIAGRQPALFRTFSQRLSRGLNDAVNGFPDDGWSLLSSDGSEDIKISVNSSANKLVGFHVSSSPFFSAIGVGIMCAKASMLLQDVPPALLVRFLKDHRSQWADPAVDAYSAATLRTNPYAVSGLRSGGFMGNQPILLAETFDHEESLEIVRSEGQALSHDEALLSRDMLLLQLCNGVDENAPGACAQLVFAPIDESFTDDAPLLPSGFRVIQLDGKADAPSSTRTLDLASALDVGSVGELRASKDVPGARNVKSVLTIAFQFPFENHLCDSVAAMARQYVRSVLATVQKVAMVLSSSRPGLQIEMKHPPGSPEAHTLARWIIKSYRAHIGVDIRWSDTEDVESPLKLLWKHSDAILCCSLKASPMLMFANSAGLDILETTLINIQDMPLETVLGDEGQKALFLELAKIMQQGFAYLPGGVCKSSMGRQASYEQAVAWKVVGDDGVPHCLALMLVNWTFI >Et_10A_000401.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:9705555:9705911:1 gene:Et_10A_000401 transcript:Et_10A_000401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSKPVISACADMMKCDQRQMIYKLKKKYFNNVPVNEVSVKSPVLSMNDDQWDALLKLWSSPQCSVTANRRIESEDQSKKTTIHRSLSRDSSPHHNPDDANSVGNHSSSSQWTDQP >Et_4A_033069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1869238:1871364:-1 gene:Et_4A_033069 transcript:Et_4A_033069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGQEDDIDHYEVLCLPSGEEGAALTIEQIEKAYRTQSRLRHPDKRPDDPNATADFQLLSSSYKLLRDESLRRQFDARLRARREAAARAAASGVKRRKAVSDLEERERAAAAGQPLDPSEAAKREDKRKAADVKRELDEFFAAKQASASGTASTSTHGDKKGGTAQDGVKTDKSKILKVSWDGRPDYYTAAKLEEFFKKFGKVEDVVIKTRKSRSKGSAIVVMGSKEAAQSAVKDHYIYNDSLVPLTVAPVQELGGLPARPAESYESKLSSNIDGTGFSDLEASVFQKLQEAQKRKQCG >Et_3A_026208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5511751:5515863:1 gene:Et_3A_026208 transcript:Et_3A_026208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPRAQLVLPLVLLLLASVSPPGADAWGKEGHIMVCQIAEKYLSEKAAAAVQDLLPPSAGGELSEVCPWADQARWHYHWSSPLHYANTPEVCGFKYSRDCHNSRGQQGMCVVGAINNYTDQLYSYGHSKSSYNLTESLMFLAHFVGDVHQPLHVGYEEDEGGNTINVHWYRRKANLHHVWDVSIIDTAIKDFYNKSMDNMVETLEMNLTDGWSDDITHWENCENKRATCANDYAIESIHLSCNYAYKDVEEGITLGDDYFFSRYPVVQKRLAQAGIRLALILNRIFDGDETDVKPVQTPYDKRIEVAASIID >Et_2B_021327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28694442:28703912:1 gene:Et_2B_021327 transcript:Et_2B_021327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVWESGRRMSRSISRGMGMEAWGVDDAFMPGSRARASRRVDDDEEALRWAAIERLPTYSRVRTAILSSSEEGAATKPQYKEVDVRRLGDGDRQEFIERVFRVAEEDNQRFLQKLRNRIDRVGIELPTVEVRFEQLNVQAKCHVGSRALPTLVNTARNIAEGALGMCGLRMGRQATVTILKDVSGVVRPSRMTLLLGPPSSGKTTLLLALAGKLDPTLRCTGEITYNGFALDEFVPQKTAAYISQTDVHVGEMTVKETLDFSARCQGVGTKYDLMTELTRREKEAGIRPEPEVDLFMKATSMEGVESSLQTDYTLRILGLDICADTIVGDQMQRGISGGQKKRVTTGEMIVGPTKVLFMDEISTGLDSSTTFQIVKCLQQIVHLGEATILMSLLQPAPETFDLFDDIILLSEGQIVYQGPREYVLEFFESCGFRCPERKGTADFLQEVTSKKDQEQYWADKQRPYRYISVPEFAQRFKRFHVGLQLENHLSLPFDKSRCHQAALVFSRNSVSTKELLKASFDKEWLLIKRNSFVYVFKTIQLIIVALVASTVFLRTHMHTRNLDDAFVFIGALLFSLIVNMFNGFAELSLTITRLPVFYKHRDLLFYPAWVFTLPNVILRIPFSIIESIVWVLVTYYTIGFAPEAERFFKHLLLVFLIQQMAGGLFRATAALCRSMIIANTGGALSLLIFFVLGGFLLPKAFIPKWWIWGYWISPLTYGYNALAVNEFYAPRWMNKFTVDNNGVTKRLGMALLEGANIFPDKSWYWIGAAALLGFTIFFNVLFTLSLMYLNPLGKPQAVISEETAEEVEGNGHTSETVRNGSTKSKDGGYNKEMKEMRLSARLSNCSSNGVARVMSVGSNGPAPTRGMVLPFSPLAMSFDNVNYYVDMPAEMKQQGVQDDRLQLLREVTGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRIAGYPKNQATFARISGYCEQNDIHSPQVTIRESLIYSAFLRLPKKIGDQEITDDIKIQFVDEVMELVELDNLKDALVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGQLGRNSQKMVDYFEAIPGVPKIKDKYNPATWMLEVSSVAAEVRLNMDFAEYYKTSDLYKQNKVLVNQLSQPEPGTFDLHFPTKYSQSTIGQFKACLWKQWLTYWRSPDYNLVRLFFTLFTALLLGSIFWRIGTKMGDANTLRMVIGAMYTAVMFVGINNCSTVQPIVSIERTVFYRERAAGMYSAMPYAIAQVVIEIPYVFIQATYYTLIVYAMMSFQWTAAKFFWFFFVSYFSFLYFTYYGMMTVSISPNHEVAAIFAAAFYSLFNLFSGFFIPRPRIPRWWIWYYWICPLAWTVYGLIVTQYGDMEEVITVPGESKQTISYYVTHHFGYHRSFMPVVAPVLVLFAVFFAFMYAVCIKKLNFQQR >Et_4A_031811.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:17598922:17600018:-1 gene:Et_4A_031811 transcript:Et_4A_031811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYPATSVCGPDPENCGYHMSCEMVQVFSLKLAKTPVRSGDSVQLYGYIAARDDVDGMLNYVFHRTRDDPITVCQDSLIEMTGPKRGIAVIWDVLFEFDMRIKNGEREEDDLQLIDGATEFLEMHMPWTPFTVRFNGEYGAVDMCLVNVFNGVEATVELIVSEVQDGFDLSINSAVSTLEVSKEFQLFCGTIDRPCGLRRFIIAVLLDTELHLKFKLDQKGSNVAEYFVPEAKLHGSASHQIKLELASILVKVTWSTLIE >Et_8A_057302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:23296611:23304040:-1 gene:Et_8A_057302 transcript:Et_8A_057302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRKELLSSAPWRTGDAAEDEEAARLSREGKVSVTNNPGETATMNVPRSRRPDLDLTVDDFEEEEIDPELRYSFQRNSRFLKRVFSVDTLVKPLPPVMAYSVSRNINFFFRIFTQFWDEEGIANAQKSLGLGNEDGSRRMR >Et_3B_030789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7350307:7354384:-1 gene:Et_3B_030789 transcript:Et_3B_030789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMGSVIGGLPVFVAAFLAFAPPCTLASSRKFDLSVAKSNAVNSTDGSFSTSLQFAFDPSKSKRLSWHPRVFLYEGFLSDLECDHLISMARDKKKSSLVIGDGGRNSSQNNTDSSIEVYLADSKDTVVSKIEDRLSIWSFLPKEYGENMQILKYEMNKSNYNKHEPQSSSGHDRLVTFLLYLSGVKLGGQTVFPRSELKGTQGEEGTPSECVGYAVKPVKGNAILLFNLKPDGVKDNDSQYKVCSILEGEEWLAIKHIRVRKIDTPKSSLASEDECTDEDDRCVGWAAGGECDKNPVFMIGSPDYYGTCRKSCRIYLDKEMAWTY >Et_7A_050840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11545576:11547232:1 gene:Et_7A_050840 transcript:Et_7A_050840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding APPDRLRSPVRQTRNSNSNFPFTLSGDSPKVVEADIYLMCKGTTYSDNLDYIYSKLPAALPHLQKLSLTSMLYIFDECQGFVKTSARFINLRHLTLYLPMYGDTRSIGAILRLAYLLELFYWYGVSFSAQKLKGNMIPYRHDKLKRVFMTGACSWVGIIELAYHILRSARRLESMIMDPVGRIQGASAADVWMLSKCRRMAKRLLERDEFQGILTIL >Et_2B_019300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22218894:22219520:1 gene:Et_2B_019300 transcript:Et_2B_019300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLAAVAVAAALVAAVAGATESYYAAVENRLPSSGGMDLVCHAVGGNGILTDFSVVPRGHLPRGDAGRRVVELIAEEEDKDVAFVRCNWAYAGNYLAGITLLDSRWPEAKKCQDPGGAAAAGMCRLVFGDGEALRLETPDGGVRVLGDLPVKRCRRSWLLFSSECAYPDHPHPYAGRRLGNAFQFFAV >Et_2B_022657.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29588284:29588493:-1 gene:Et_2B_022657 transcript:Et_2B_022657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSTEKTASVASPLTGLNLLLRLSPSLIMNRTFPRRVELAMLRRSDSGGARGSLHTMWTASTPAQAA >Et_2A_018184.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17080507:17082460:1 gene:Et_2A_018184 transcript:Et_2A_018184.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQSPGLQVLGLTTIFGNCTTERATRNALILASCKMTSALCEKAGHPEVPVAEGSHEPLKGGQPHVADFVHGPDGLGNIDLPDPTSKKIEQSAAEFLVDKVSRFPGEVSVLALGPLTNVALAIKKDPSFASKVKKIVVLGGSFFAAGNATPSAEANIHSDPEAADIVFTSGADIYVVGLNITTQLRTDRLISCFKLLADEDLLELRNSKGKHAQFLCDICKFYRDWHVKSYGAPVVFLHDPVSFAVLVRPEYFTFKKGVVRVETQGICTGHTSMDMGLKKWNSENPWTGYSPISVAWTVDVPKMVEFVKELVTKQ >Et_1A_009501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6807122:6810409:1 gene:Et_1A_009501 transcript:Et_1A_009501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGAATKLHISPSAAAAGRRPAFLPLVAVLLLCSASYLLGVWHHGGFSSGPSRRSPSVSIATAVSCTTTSTDSPALDFSPHHTAADELSTAAASGSSSSSASPRRFPACDVKYSEYTPCEDVARSLRYPRDRLVYRERHCPAGREERLRCLVPAPAGYRSPFPWPRSRDVAWFANVPHKELTVEKAVQNWIRVDGDKLRFPGGGTMFPHGADAYIDDIGKLIPLHDGSIRTALDTGCGVASWGAYLLSRDILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARAFDMAHCSRCLIPWHLYDGLFLIEVDRVLRPGGYWILSGPPINWKKYWKGWERTKEDLNAEQQAIEAVARSLCWTKVKEAGDIAVWQKPANHVKCKASRKAAVTKSPPFCSNKSPDAAWYDKMEACIAPLPEVASANDVAGGAVKKWPQRLTAVPPRVASGGVGGVTAKSFAQDTELWRKRVRHYKSVVNQLEQKGRYRNVLDMNARLGGFAAALAGDPVWVMNMVPTVGGNTSTLGAIYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYKNRCEMESILLEMDRILRPEGTVIIRDDVDMLVKIKSLADGMRWDSQIVDHEDGPLVREKLLLVVKTYWTAQDQDQ >Et_3B_028636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17666112:17669658:1 gene:Et_3B_028636 transcript:Et_3B_028636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQREGKRPGEEGKRRGEEGKRSGMGKKQAAAAAAEEEKKAKPVTPGCWIRFPRFRGCMSSPAKVESSASGGGGDAISTFAESKRVTNGSQDQSVQPASGSTTTSNTGSVSPSSIVGEELKLAFQLRRFTFNELKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLQHPHLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRKSLPLPWAIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDNTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPYLGERRRFYKLVDPRLDGNFSIKGAQKTAQLAHACLSRDPRRGL >Et_1A_008103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4405706:4407369:1 gene:Et_1A_008103 transcript:Et_1A_008103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVVLATAVLTHSVLCGAVAAADTTAAAKRNFTIPSPSPSTKSQGPVTYVFGDSMSDVGNNNYFQMSLAKSNYPWYGIDYPNGQATGRFTNGKTIGDYMAEKFGVPPPPPFLSLSLYGKDVLGGVNFASGGAGILNETGVYFVQYLSFDEQISCFETVKKAMIAKIGKEAAEAVVNAALFQIGLGSNDYINNFLQPFMADGKTYTHDQFRLYGLGARKVAFNGLAPLGCIPSQRVRSADGQCLRQVNEYALQFNAAAKKLLDGLNAKLPGAQMGLADTYSVVMELIQHPEKHGFTTAHTSCCNVDTEVGGLCLPNTRPCRDRSAFVFWDAYHTSDAANKVIADRLWADMMTASAAPIAGAPSPAMAPAPAPSWSN >Et_2A_015312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10910258:10913213:-1 gene:Et_2A_015312 transcript:Et_2A_015312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTDTFETRSLMSPSVRWCQKIECNEAVEDHAVFCKSHTVGQRCHMLGCPHIVPDGLALCMSHGGGHPRDDTGCSKRAQGNKMFCKVHSGVSKRCMVQGCTKGAHGGTSLCISHGGGKRCVVAGCHNAACGSSQGRTDCCVRHGGGRRCNYDSCRKGAQGNTDFCIAHGGGRRCKYEGCGKSAQGRTDYCIKHGGGKRCKYQGCSSSAKWGKDFCCVHRKSLSRSNLVNEVPLAPAKAKRRAKKAKITAETIGSSREVITVTGLPVNGIVHVAAAGSEHDKLPESLTMKHATVVHQQPLQSSTESSPLGFHLHGFNRQ >Et_10A_000768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16966825:16971859:-1 gene:Et_10A_000768 transcript:Et_10A_000768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISPAAAAAAAVAGAHRRLLLPSSPRRLPRPRPRLRLAACHADTLLPSSSPSARAPPTPAPRPSAAADATADGFVEWLRSNGLPQGKVAIRERPVPCSREGKDLPLRHVAAGEDLQAGDVAFEVPMSLVVTLERVLGDESVAELLTTNKLSELACLALYLMYEKKQGKDSFWYPYIKELDRQRGRGQLAVESPLLWTESELDYLIGSPMREEVVARDEGIRREYNELDTLWFMAGSLFQQYPFDIPTEAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLTYKSNCKAMLTANGASVRLVVDRAYKAGEPLIVWCGPQPNSRLLLNYGFVDEDNPFDRIMIEASLNTEDPQYQEKRMVAQRNGKLAIQNFHVYVGKERETVAEMLPYLRLGYILDPDEMQSILSSEGDTCPVSPCTERAVLDQLVGYLKSRLAGYPTTLDEDEAMLEDGNLEPKKEVATRLVRLEKKMLHACLQAANEFINDLPDHTVSPCPAPYAPELK >Et_9A_061751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16030840:16034818:1 gene:Et_9A_061751 transcript:Et_9A_061751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFSPPAPSPIAADDLADARLAPWPPFSPWPAPRRQDDGGGGDGRAASPLFTILPVSAFGIGLVLLVAVAVVLVVTRRGKLPRTADAGESCSGGKPPAAPPSSCGSHNTQRCYSAAGVGCIYGAGRLGLGGFSGQQQQQRSRGAQVFTYRELERATDGFSEANVVGQGGCGVVFRGRLDDGTPAAIKRLRLDHRRQGEREFRIEVDLLSRMDSPYLVGLLGYCADQSHRLLVFEFMPNGSLKSHLHPSPSSSSPAPLDWQTRLGIALDCARALEFLHEHTSPAVIHRDFKCGNVLLDHNFRARVADFGAAKVGSNKADGQVVTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELLTGRVPVDTQRPPGQHVLVSWALPRLTNRQKLVEMVDPALKGQFALKDLIQVAAIAAMCIQTKAEYRPLMTDVVQSLIPIAKTTPAMSCSSTPIRPLHHVIYMSPHCANKT >Et_4A_032081.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:16046963:16047844:1 gene:Et_4A_032081 transcript:Et_4A_032081.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIGKKIPRDLAATLLAVLALAAVARGDVAAPPTTPTPCDKSDTAALLAVKSSLGNPPALSGWNSTASCCAWKGVTCNATTGRVTELTVFALDVSSPFPAALSNLTALQSLNLAYNRLYGAIPAFLGPPALPSLTFVRLDGNRLSGTVPPSLAGALDLSLVGNLLAGPLPPAFAAARFNSLDLAAKKLNALRLSRNRFRFDLGRVELPEALDVFVIDHNLVFGSVPPAAAAKTRKWLAFDVSFNQLCGPIPQGRYTRRFGPRHFAGNKCLCGAPLPPCS >Et_1A_004911.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:15995158:15995379:1 gene:Et_1A_004911 transcript:Et_1A_004911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVHRSRPWPPPRAAVTGSWPGTSRTSSSRPARSSASGTGRRPARGRAGPRPRGTRPWPCWCSAAGPACPGW >Et_7A_051153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1586007:1588723:1 gene:Et_7A_051153 transcript:Et_7A_051153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAEAGAAAAAAARTLRWAGRAGHLAGLPRAAVFAAVGAFAKAYASTLNTTTVHNADALLRLVSSRPPGTPLLTVSNHMSTVDDPLMWGFKGFPTTDAKLGRWVLTAEDICFKNVVMSYMFRLGKCIPITRGAGIYQDYMNEALELHSFPEGKIAQDHQPIRRIKWGTASLIVRAPVTPIVLPIVHSGFEKVMPEKSFFGRRPPVPLCNKEIGIIVGEPIEFDLAGLKQEATMVPHDSSSERKGWPTITPDGLDEAAQRWLYQKMSDKIQSVMESLRKTLVNLKQH >Et_6A_047937.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24658383:24659506:-1 gene:Et_6A_047937 transcript:Et_6A_047937.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKGLEFLARLPELRYLVLCTKSTLTMSNISAGNGYFQKLRFCKMPLSMIQFQCNEEDSSVSFHLWNGVDAMPFGSGKHDCSVPPFAVMPKLEVLRSLIFVRALKVGDGDCASIGLQYLSSLQKASVRLDCSGASAVEVEEAEAALRKATKVHPNRPTLAMWRVEDEMISAVQIEKASPGYCNLQSPKMEVIVVLKLFGRLRLQKNNCEHSSCCKNTIAAAEEIVAAAKQSLQSSQTNRVNII >Et_8A_057023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20247519:20249162:-1 gene:Et_8A_057023 transcript:Et_8A_057023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRAGWLCAGDTPRLARTSESELGRPLHRRDEAASHRQVKTPDDNTAGAWRNKLLVRPSPSARRVLACMRRRAAVLPSCTPRVGHRGRRACCLASSYSGKSPAWPPTPPCWAQPSPSVTASFILVAAVSSDRVGRRRRRAGLARPGSSRPRHGGAYVHVIVSVLAFVAAFSVGLGPLVSTYNIVPLQQRVSLIMAVNQVKCGVESMTFVRFRTGSPCPGASLSTPTPRWSCVCVCVCVCVHTGATNERGQTLENMDENNRKLGHIGVEEIIPDMVESVHQVSDDGSVTLHHMFDALRRHLLLQPACRSSVVKKMNRHVVGGLTALRHGEF >Et_2A_015303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10819741:10824630:1 gene:Et_2A_015303 transcript:Et_2A_015303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LAGCWPFVIHGKEMEHSNSYIRTIHGLGSEYQNEKKKFIKEVHSSMCSDLSMMLDKVSYVLPLIEAAQPGCKAGIEELCNLYNIVDKGKLIIQNCMECSSLYLAITCEANLMRCERIKNALRRSLFLIQNMVEPLLANQVADVHNDLGGVKFIVDPAEEEAGKALLQMLRRSEATQKLELQTFLLAASKLKLTSPKAILTERRAIKILLDKISGTDPKKEAILKYFLYLVRKYGKGIKQDNGAKLSQTVNASNESLGEGLDVSGNGRCEDVNGTNTPQRTLSHTDSGNVRNKSQNNLLGAAIPPLELCCPMSMTLMHDPVIIATGQTYERENIERWFNEGNDTCPSTQLKLQSFTVTPNTCMKTVICSWLKEHGLECNYLPEKYQSCSVSSLNNVSAPLITKKNRDYMVDYSSSSFGLSGVCYASSLMREAEKSKTSFDRFYSNANYQLFISFRNFDKTMFLDFFHDLSELPKELQSKAIKDLKNFLNCENQIWHSMVSNGFLGAFHEFMKNDSGIYTVQGLKAGLQFLLAFLSSGRTGIPFVNEDMVRLIASFLYSELKTEALLILHELFHRTICGNYTAMASAVVPTVLGTLETGDTKCQDLELALKIICKISFDNNIKSHLVSAGVISKLSPHLADGIFTECSLNILRNLSEVKEASELIIRTDQCLSFISDHLDTGSYNEKEHAAVILLAVCSHSVGVCSLVMKEGVIPALVDLSVNGTKVAKDCASKLLQLLRDFRRYDQLRSSCSQDVATNVTDNPSDGSICKHPVSKSARYISRKLNMFSKPRSLTFMPGKLKVVPIIHCKYILNYFAKLEAHFSLHDIRVAQRRINRCNKLEVLN >Et_2B_021895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6632093:6634073:-1 gene:Et_2B_021895 transcript:Et_2B_021895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AEHGAGDGYHHHHHVGDGAIPPDLPRSPNPSSKSSSNLTAATFAQPLAAAYGGIAASLGMAAPGMATSTEVGRFCQPWAQFENWGDSGGIVVTSPLAETTSTDVDDSGDKQHNAQMGGGGGCAQRVDPSVVKGDHKIQRRLAQNREAARKSRIRKKVAYIEQLEVSRAKLAQLEEELKRARQQGMFIASGCSGGEHGHSTSAFDAEYARWLDEHQRHINDLRAALSASGDGGATAELRAAVDVVMSHHEHLAYRLKSAATRADVFHVLSGMWLSPAERLFMWVGGHRPSEVLKAVVGGGGGGMLELTERQLASVCGLQQSSMEAEDALSQGMDALQRGLAELLANAGGGGGADSVTNYVGQMAMAMAKLGTLEHFVRQGDLLRQQTLQEMRRTLNTRQTARALLIVNDYFSRLRALSSLWLARPRDV >Et_1B_010946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15817361:15819012:1 gene:Et_1B_010946 transcript:Et_1B_010946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNKVLVAVAAGVLLIVLAKLKSLFAAKPKLRLPPGPWTLPVIGSIHHLVTSPPLLYRTLRVLSRKHGPLMMLRVGEVPMLVVSSAEAAEAVLKTHDTSFADRFASATLAAITYDQTDLGLAPYGERWRQLRKICVLELLSAARVQTFRSIREEEVALFMDSLASSASASSGAAVVDLSQGIKRLINDAFMRACVGSRCKYQDEYLHAFHEAVRLTSGLTLADLFPSSRLMQAIGTAPRRALALREKMQRILEEIMRETKAAMERGDKVARDSFLGVLLTLQKQGTTSVDLNNETISSLLFDLFGAGSDTSSSVMIWCMTELIRNPAVMAKVQAEVREAFKGKTRITEDDLTKVDLSYLKLVLKESMRLHTHLPFLLPRQCRETCQVMGYDIPKGTAVFVNAWAIARDPKYWEDGDEFKPERFLNKDLDFKGTNYEFIPFGSGRRMCPGANLGLANINLALVSLLYHFDWKLPDGMEPKDVDTCEAVGLIAVKKTSLMLHPVTRIAPANDG >Et_2B_021473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:354243:358773:-1 gene:Et_2B_021473 transcript:Et_2B_021473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGVAVVWTAAAVVVGAVVLVLDGVVRWVHGLYREAPLGAERRAQLPPGEMGWPVVGGMWSFLRAFKSGKPDTFIASYIRRFGRTGVYRAFMFSSPTILVSTPEACKQILMDDEGFVNGWPKATVALIGPKSFVAMPFEDHRRLRKLTAAPINGFDALTSYLTFIDRTVTASLRSWADRCAAGEQIAFLTELRRMTFKIIVQIFLGGADDATMRALERSYTDLNYGMRAMAINLPGFAYHRALKARAKLVAVLQGVLDDRRAAKGSRSGAVDMMDRLLAVEDEHGRRLDDDEIIDILIMYLNAGHESSGHITMWATVFLQENPHIFAKAKAEQEAIMKSIPPGQKNLTLRDFRKMEYLSQVVDETLRFVNISFVSFRQATKDVLVNGYLIPKGWKVQLWYRSVHMDNEVYPDPKKFNPERWEGLTPRAGTFLPFGLGARLCPGNDLAKLEISVFLHHFLLGYKLTRANPNCRVRYLPHPRPVDNCLAKITKLDHVLDEATPIVGVLCLFPNRLLGRIICTEVPDVVPALSGRIRQLLGAVNGVQVMPVVAAGLLDLAATKRGDACHKVQVEMAGLKDDRHGLVTTVLLLDDNGVHLRGAALEDVDGDER >Et_4B_039698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2733754:2734208:-1 gene:Et_4B_039698 transcript:Et_4B_039698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPSRRGGFGRRRSLAAALLLFLVVFAGHCTSATRVMRRGEVAPEEGYASWDPASSTAGARRGLVGPGSSPPTCRSRCGGCHPCRPVHVAIQPGRSFLLEYYPEAWRCKCGNKLFMP >Et_10A_000758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16766770:16767679:1 gene:Et_10A_000758 transcript:Et_10A_000758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYAETPVQSELKMTLYNKEVYAGADINGVTITDRQPMGTTWVFSWPVTDGPAPNANIVGHLHGTGVLVAKNPNYVWHYSLGLVFADKRFNGSTLQISGTSQINGEWSIVGGTGELAMAKGTVKRTEISYTGHTRISELNVHVVYTPRNQTSNFTFHNIL >Et_1A_008922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19213037:19215171:-1 gene:Et_1A_008922 transcript:Et_1A_008922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLQKQVMESLPEWRDKFLAYKRLKKLVRLVSASKSSPRRAAAEAAFVRLLDGEVDRFNAFFLEQEEEFVIRHRVRPLHRSPDKNVMRLRARCCEGHGVMQELQEMVKKVATGGAGPCGAAEIRRVRKEIVDLHGEMVLLLNYSAINYTGLAKIVKKYDKRTGRLLRLPFIEKVLGQPFFATELISRLVRECEATMEAVFEAQRHHAGARTMPVASELQGIFRNTVAALVTMGELRSGSSTYGYFSLPPMAAPDSPQSDVRRYIQVADPVPI >Et_7A_052629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:84057:84756:1 gene:Et_7A_052629 transcript:Et_7A_052629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPELLWRASMVPRVEEYPFQRVPKVAFLFLTRGPLPFAPLWERFFRGHEGLFSVYVHALPGYKPPPPNLLFKGRHIPNRDVSWGSITLVDAEKRLLANALLDWSNQRFVLVSESCVPVFNFRTVEYLVNAARSHVESYNLDVPQCAGRYNPRMAPDVAEDQWRKGSEWFELSRDLAVDVVLPRRALHPDAAARARPRRAQRQPHPHPRVHRRHPQQRNAIPFFNSASY >Et_3B_029125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22236418:22239075:-1 gene:Et_3B_029125 transcript:Et_3B_029125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PSIYRRHPLMRPTPVLAAGEKTVTKLLSSTEWMLPSPATQVHTISVLPSNSPSPRHEFAFSNLTTSLRSHGGGKWSGERGSASFQVVRDDLLHPLANGNKARKLDALLPLLRRRGATDIVTCGGCQSAHAAAVAVHCTEWGMRPHILLRGEQLDVPTGYNLISLMFGDVTNVSRSVYAQRDEMLYEHAIKVAGTNGTVMWADDIIEEDSAVDRNNVDSSRRVVIVKEGAGTVQALLGVMRLVEYLSDLSLHGKDEKVHIVVDAGTGTTAVGLALGAVCLGLHWRVTAVMLADTLEKYKEREKSFISDFKGLCHELYGGGVEDDTHDGLVQWVKRVSPRRFGKVLDGEIALCRQIAQQTGILLDPIYTLAAWEQAVDLCRGDNEAKVVMIHTGGTLGLFGLAQRYSSQFATQDQSSADEA >Et_4B_036499.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27195462:27196157:-1 gene:Et_4B_036499 transcript:Et_4B_036499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTASWSRYGAVPTSPPPPSPPLKPEGEVVAVTDGAGDAGASSSSATTAAEAGVAFFSRARAVAGAAAGRPRAWREVLDTTAFSRPDSCGEARARARRNLAYFRANYALVALVLVFVGLVYRPVSMLVFLALFVAWLGLYFGRGEGEPLVCLRREVDDRVVLAVLSAATVLAVALTRAGLNLLVSLVVASAIIGVHAAFRMNYYIDERDAFDGAGASFTDSGYGYTLPR >Et_9B_064469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15437852:15441323:-1 gene:Et_9B_064469 transcript:Et_9B_064469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLTTPSSSPSFTVPTKSSATSSVSFPRAWESRRGKRAMQSRTVVVRAEAVDTSISPRVSALRPSKTMAITDQATALRLAGVPVIGLAAGEPDFDTPAPIAEAGMNAIRDGSTRYTPNAGTMELRKAICNKLQEENGLSYTPDQVLVSNGAKQCITQAVLAVCSPGDEVLIPAPYWVSYPEMARLAGASPVILPTSISDNYLLKPESLASVINEKSRLLILCSPSNPTGSVYPKEQLEEIADIVRKHPRLLVLSDEIYEHIIYQPAKHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYLAAPKHFVSACGKIQSQFTSGASSISQKAGLAALNLGYAGGEAVSTMVKAFQERRDYLISSFRELPGVKISEPQGAFYLFIDFSSYYGSEVEGFGTINDSESLCMFLLEKAQVALVPGDAFGDDKGVRISYAAALSTLQTAMGKIKEAMALLRPPVAAK >Et_1A_006145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18515243:18518898:1 gene:Et_1A_006145 transcript:Et_1A_006145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEAAAAAGVEPFPSVDKCDASGRGPHAVAADLDGTLLRSRSAFPYYALVAFEAGGVPRLLLLLLLAPLAAALRLLLGPDSPAATCVLVFAATAGARLADVESAARAVLPRFYAADVHPGAWRVFSACARRRIVLTSAPRVMAEPFLRDCLGADAVAGTELRAWRGRATGLVGGGGVLVGARKAQALREMFGGDGGDAPDVGLGDSRSDYAFMSICKEAYIVPRAPVEPLGMDQLPRPVIFHDGRLVRRPTPLAALLVVLWFPVGFALACLRIAAGALLPMPLVYWAFWALGVRVLVRGAPPPPRAERAAGRSGVLFACSHRTLLDPIFLSAALGRPVAAVTYSLSRLSEFLSPIRTVRLSRDRATDAAMIRELLAEGDLVICPEGTTCREPFLLRFSALFAELTDEVVPVAMENRMGMFHGTTARGWKGMDPFYFFMNPSPAYVVTFLNKLPPELTCAGGKTSHEVANYIQRLLAATLSYECTNLTRKDKYRALAGNDGVVAAKPPLPAALKKANGCH >Et_6A_047004.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2427985:2432358:-1 gene:Et_6A_047004 transcript:Et_6A_047004.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEEVGNKMQSQMRLDAAAEEEDLPLPALFDKASRLHSIASSFSLDQEGIRKGVDLLRRCDEMVSKLGLFSSNETKEDVSTANLKYLLVPYYLGQMTEQIVQEDRIPILKATQDHLKEFISICEALELIPEDELEWYRQKQPDTATNIRAQKIARFSRQKAAQTKLQEIKERKERRGRSLRAAALSAPTEAGEEDVLEDDGEEEREAWLATISLALCKAFDLLDMLKKEEEMLVTVKEMQKKDGNAFAREMLDERTQKAEAWHHNAASRAPYSKPADPITCATFAQDVIEGRASVSQAHEHKHQPLIFGPASLVGGGLTTERERMAARVFQPSYRMPTMSIEEAGLQEMKMMEQWQERTAKMIQEANSSWHKDGTSPAQEDEDAEEEKARAWDDWKDDNPRGAGNKKLTPCG >Et_5A_040360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16800770:16802328:-1 gene:Et_5A_040360 transcript:Et_5A_040360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNDEHSRRGVESHGHDLISLLPDAILGRIVSLLPTKDGARTQVLSSRWHPLWRSAPLNLDTRGTNIFDGTISRILSVHRGPARRFIVSHRFFSYDTLDGWLRSPALDDLQEIDFCYNLESAPSLQMPLSTLRFSPTLRVAKFSCCHFRDMTVNQAQFPNLQHLELQKVKISEDSMHAMIASSPTLKIMILKYSTGFPHLKISSPSLECITVLFDIPSTTILQELIIESAPCLERLLNHGPFVQTLCLSIVSAPKLKMLGRLTGQISRLELGATVFQVSPAQGWHALRMTTEIRSVRVLALKIRKLSLDVIIDFMKCFPCMEKLYIETVTVSMERSSCYKDLETNECLDLHLKRLVLSYYRGNKSYVDFAKFFISKASVLESMKLDVEPANAGNKEWIENQCRQLQLQSSRGSVRASIDFTSLKYFKLNEVLELSNPFEYRWLE >Et_2A_018102.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11045989:11049773:-1 gene:Et_2A_018102 transcript:Et_2A_018102.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPESPTPVPSDDTTIAFGDEPPGSPSSLSISIGSPSPSSSSSFVVPDLPDSEPEVTNKPRRGLRLWPAADEIALLEAAVAHKEQHGRVPSRSELAATLRGILSEDRDAEQISKRFYSLRTRYYATLRRLSLGTVPVKDDDVRIYRLSKRIWDPNHTPRRLKKTRAADARQDPRDFSELADLYPCLAKQVEEIDASCGFPAGLLKRWFCRIGDDRAARLEAKVKRQQLVEARVRAKLDNLTAEVATTLLEFINVEKLIEMKSG >Et_5B_044433.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23992772:23996721:-1 gene:Et_5B_044433 transcript:Et_5B_044433.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGIPEAVDMDVIRGALVLLLINSAFFLASGVQSGGAVDSDGDGKKGSRLIDTTRYGQVYIVFTERQPQGAWESDDIVGASTTTIESFHHSLLSDALDSSSSAPERIVYHYTRSLHGFAARLTEQEKKNLAGKEGILSIHERVVYRPQTTRSWDFLGLPLLQEEDELPDSKMIEQDVIIGMIDTGISAESASFSDEGLAAPPAKWKGRCSKYVECNNKIIGAWAYYGGGGYPDGQVKPVDTQGHGTHAASIAAGRAVRNASLYGVASGVARGEAGHLQGDILAAIDDAIADGVDVLSLSITASSVLEYSDDALAIAAFHAVTKGVLTSVAAGANCGPTLGTVTNVAPWMISVAATNTDRKIFSKVMLGNGKHFMANSINTFRDTTVKRALIVDPGNWGNLQGSRYKGAILLCPSEQSFDDHQLFMTGAAGVIFPAGDTTTAISYSIPAAVVTLAQFHEILHYYNTTRSICLSIYLVSYLYIYTRMVRHPVVSIWNSQTVFDAEAPVAVPAFSSRGPNLLTPGILKPDISAPGVEILAAWSPRNVVSMSADDDRQVPYNIVSGSKLPTQTGRRRPSSPPWSPQLENSGPLTNVCIPFICMVIYAATPILGSNDISEAEFAYGAGMVNPAGAVNSGLVYDASEADYISFLCAQGYNATQMTTITGKTSTACSSSSSQAQLATISGISSSSLLNYPSISVPVPNYGVSFRTVIPRAATNVGPVDSVYRIKISSAPGISISVEPKELVFSAAKKKISFTVTVSGTLSQGVGDRLGASASIVWSDGKHQQVRSPVYVFPQQLSSYTSTDLCRCKPRCMLSGLE >Et_6B_048637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1219746:1221317:1 gene:Et_6B_048637 transcript:Et_6B_048637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAVRMAAADAVVTFLWVLCVSTLGASTAAVTSFLRVQGFHYALLITVSLLSVLLFAFNLLCAALGGASFNPTGVAAFYAAGLTNPSLFAVALRFPAQAAGAVGGALAISELMPAQYKHMLGGPSLKVDPHTGAVAEGVLTFVITMAVLWIIVKGPRNPILKTWMLSISTVSLVLSGAAYTGPSMNPANAFGWAYVNNRHNTWEQFYVYWICPFIGAILAAWTFRAFFLPPATKPKAKKA >Et_3A_026124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4621894:4623515:1 gene:Et_3A_026124 transcript:Et_3A_026124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRARGDQLHSAAALLLLLMAVSLPAAALADADFLAATCNNTSNPENCLSLLGRDPRSVNATTVLALANIGLDVAAAYARGSAGAVNQLSEQEYEGVTEGEALLQCTQVYGNAVEDLDEARDPLNSGDLDEAAWLVSAAEDAGDACEGAFADRGVGSVVAGVDRRMKEQCGVVGDLIDLLSGEFAIAYS >Et_9A_061613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14487456:14487699:-1 gene:Et_9A_061613 transcript:Et_9A_061613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFGLLATPEAVKGVKAGQRAPRERLGVLPEKVQQAPNNYGGVMIWDCYRNKLSYLSSFVKQMGLEIHRSSSTEDFANN >Et_3B_030021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29955059:29958216:-1 gene:Et_3B_030021 transcript:Et_3B_030021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVEAEEGPFLANDAKLHAGMCRAFLPAVSKLSAIFPFIEASRPRSKSGIQALCSLHVALDKAKGLLQHCSDCSRLYLAITAETVHLKFEKARSQLQESLRRVESIVTEDISCKIVEIVGELEEIVFTLDQSEKEAGDEVINLLQRNNKINGSSDSGELEVFHMAALKLGITSSRAALTERRALKKLIEKARSDEDKRKEFVVSYLYNLMRKYSKFFRSEAGDDTDSQGSSPCSPTVLGMDDMYGPYTNGRSFSRQLSNIQSFNSRFGSFNSRLGSFNCRRGGPRSENMSVPPEELRCPISLQLMYDPVIISSGQTYERICIEKWFNDGHSTCPKTQQQLAHLSLTPNYCVKALIASWCEQNDFPVPDGPPGSFDVNWRLAFSDSVATGCVSMESFDSTNVKSVVVPMDNARKEEPANSESGTLDDGSCYDFDINEGYGNLLLLLHEKSNMLKQCRLVEQIRYLLKDDEEARIQLGSNGFAEALVEFLRNAVNEGNEKAQEVGAMALFNLAVNNNRNKGLLLAAGVVNLLEQMISNPRLSGPATALYLNLSCLPDAKEVIGSSQAVSFLVDRLCSDDASDTKSSSCKHDALYTLYNLSNHQASVPALLSAGIVDALHCLLTESPVSEGLGWTEKALAVLISLAATQAGRKEIMSTPGLVSSLATLLDTGEPTEQEQAVSCLLVMCSADDKCIAPVLQEGVVPSLVSISAAGTGRGREKAQKLLKLFREQRQRDAPPQQPQQQHQMAETGNGAIVCHRESKPLCKSKSRKLGRTLSSLWRIRSFSLYQC >Et_1A_007917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39401566:39402470:-1 gene:Et_1A_007917 transcript:Et_1A_007917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHNLIHLCARSRTSRRSKVATWMGLEDRLNTPLYSWSIPVSASIRRLIVKQVVNRQSDARLHTVMARGRNCTGGWAENIKLDESILSWHIATEIYLCWFKEQPQVTATGNYTLFLRKQLYEYDRAKEVKDLSNYMLFLLATREYMLSPTARRVAYVEACYALTALEYSSAEELVRFLRRWGDSLNRNNGTEVDFPFTTNTTGNRRLALIHSTTLRTGAQLGAKLIEGGLQESPAANSLVLLTDVWLEMLFYAACECSGYSHAKQLSYGGELITIASILVKYTALHIKISLNSLSVD >Et_5A_040412.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20433840:20434022:-1 gene:Et_5A_040412 transcript:Et_5A_040412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMATSWIIWTHRNSIIFENKAVSFVRWKRELREEHNLTLLKVKTSIKAAFSDWVENLQL >Et_1A_009472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6260449:6261718:-1 gene:Et_1A_009472 transcript:Et_1A_009472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSVNNLYCTYGGVLTYHLLKWESGHFLIVLFKARCTDPSLSPAKNPSVRGFEIIDEAKAIEEEHCPRTVSCADILAFAARDGAYLAGGIDYAVPSGRRDGHESIMDEVLLHVPFPEFTVAQLVDNFKRKGLSADEMVTLSGAHSIGRSHCSSFTQRLYNFSGELGRTDPAFDPADLKRRCPQLTYDMSDRTTVPIDPKTPDVLDNQYYRNVLAHKVPFTSDQTLLDSPWTAGLVAFHAAVGKPWEAKFAAAMVKLGAIDVLTGDEGEIREKCSVVNHY >Et_10B_004389.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5716529:5723038:1 gene:Et_10B_004389 transcript:Et_10B_004389.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSATASLHRSLAGFLAHEDTESILSLFASKARQHGGLGSGDLASALRACRGRGKRWPLVPRIHATAIVRGHGGDRIVGNLLIDLYAKSGHVRQARRVFQMLSARDNVSWVAMMSGYAQNGLGEEAVRLYSQMHQSGVVPTPYVLSSVLSACTKAELFKQGGLIHAHLYKQGFCSETVVGNALIALYIRRASFWLAEKVFSDMLICDRVTFNTLISGHAQRGHDERALEIFDEMQLTGLRPDCVTVASLLAACASIGDLQKGKQLHSYLWKAGMSSDYMIEGSLLDLYVKFGDIETALEIFSLSDHTNVVIWNLMLVAFGQMGQAGARYNIFTFVSAISASANLADINQGKQIHARVIKSGHTSETAVANALISLYGKCGSIEDAKMEFSEMSMRNEVSWNTIITSFSQHGRGLEALDHFNKMKKEGIKPNDVTFIGVLAACSHVGLVEEGLNYFKAMSNEYGISAKPDHYACVVDILGRAGQLDRARKFVEDMPIVADAMVWRTLLSACKVHKNIEIGELAADHLLELEPHDSASYVLLSNAYAVTGKWSNRDQGSPKEIIKMETTMGIKWQPYQQWVMWKSDDYTPPDKIPARLSRSTHKPAYHSQRGLDNIPMAET >Et_4A_033460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2387777:2389490:-1 gene:Et_4A_033460 transcript:Et_4A_033460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRNIAVGNTQEVYHPGALKAALAEFISTLIFVFAGQGSGVAFSKLSGGVSSPAGLISAAIAHAFALFVAVSVGANISGGHVNPAVTFGAFLGGNITLFRGILYWIAQLLGSTVACLLLRFSTGGLPTGTFGLTGVSVWEAVVLEIVMTFGLVYTVYATAVDPKKGSLGTIAPIAIGFIVGANILVGGAFDGASMNPAVSFGPALVSWSWTHQWVYWVGPLIGGGLAGIIYDVLFINGHTHEQLPTTDY >Et_7B_055492.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:1219967:1220629:-1 gene:Et_7B_055492 transcript:Et_7B_055492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYQGKGRRVHPAPADAAAAAAVVLPAAVLALASALTAEDQEVVAYLLSCGGAGAAAGDRPRRRRGAHAPEMGCGCFGCYKSFWARWDASPNRHLIHRIIDAVEEGAGGAASRRPSRRRRRGGRRCSDVDEDAVAAGAMEEAGDGVERPLPDCCDEDDGARDGEYEGDGDDEDEEGVSSMDGDGDDESVTGDSDCNTEKSTVGRLVRFIGEKVWGAWN >Et_4A_034870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7366756:7379009:-1 gene:Et_4A_034870 transcript:Et_4A_034870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPGVASSERGFILILLRHDFLKASSSQSAESSAQCKICRCGEGDPNYQITKTTEAGDSPPATCPNCQILKSGSLLLSSKGIGWTVWKKRWFILTRASLVFFRSDPTAPLPRGSEPIVTLGGIDLNNTGRVVVKEERKLLTVLFSDGHDGRILTLKAPSILNTTGPSPTFSTEATEPVQAEAEQLEDHFVIGRPAEFALVNADGSPSFLEKALKFVEGYGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPAPCCTALVRAYRTDKTRRLDAMNRVIYEVFQEPNRRLLQRVLKMMQIVGSHKAVNRMSQSALAACMAPLLLRPLLLGQCEIDNDFSMAGDGSFQLLQAAAAANNAQAIVIIMLEEYDQIFDDVEDGSYSSEAYTESDSGSCESGEDDIEEGLDDNVKHSSGGGKCISNIRIGDTDTCDKVKETVLDSARDENNAVGQPSLRAASIESGVSKEDSNQVSSVPQVTGTSQLESNNPVSKQGSCEPNGPADHIQKSNAHSSSSRSTLLEKSGESTSESESALLGCISVKENVILQASLERRKEALHERRLALEKEVENLRDQLQNERNLRASLESGLMNMRRGQVSLPSKIDSKTKADLEEVATAETDIVNLKQKISDLRGQLNSQVHLSFTSLCESCNKRLNTDKLVKDEQNASHCSDVISSVEAPPIASPSSRPGMPRSINKRAQKMLSSKGEILKDSLDGSVTSMWNFAQRQYSSSQLVISKLQSNAYSSTKTEESGVAPSTLAKLTNRLNFLKERRALLASEMQNLDLTRSQEPTAPKRDSS >Et_2B_019125.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:12017020:12017094:1 gene:Et_2B_019125 transcript:Et_2B_019125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLTTSISPGRIGKENSKKRWP >Et_7A_052563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9321366:9323421:-1 gene:Et_7A_052563 transcript:Et_7A_052563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLREIESALPPGFRFYPSDEELVCHYLYKKVDLHAREPWELPDAAKLTANEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRSPRTRAVVGMRKTLVFYQGRAPNGVKSCWVMHEFRLDSPHSPPKEDWVLCRVFEKRKDGEQDNSSSSSPPFAGSPHQAVVVPDQPMMDAYPDHTGSSIGFVPPVQDNIGGFNPFLNTATWQYSSVLDQYPQEVSSSSMTELGSTGGGDGYGFFYDTGFEDVANIAGMGFPQGWMG >Et_9A_061477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12439848:12441411:1 gene:Et_9A_061477 transcript:Et_9A_061477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVDSHIDRVRHAAAAVNVNGKPEVELVVGSSERRSNNAAAVTPVAEEEEQEEAKGKPDDNEAPATTTSGGWRRRPGGAGRARRLVVGRLRPASVARACVRWLKHPAHLALAAWAACVAASGSMLGLLLTGALDGAFPRRAARNRWVEVNNQVLNALFTLMSIYQHPALFHHAALLLRWRPDDDDAKVIGKAYCRRKGGGGGGVRAHASVVVALLHVGCFAQYALCGLYWGYTRKARPDAAETALVVVGTAAPALAGLYMYFSPLGRKRPSGARQEEPDDDDDDDDGAGEVVILAAAVGRRAAGRGRRPDGVLALLRLHVLRVRRLGLGNARLHAVTFALLCVAPLWVLNVAALNIRDDDVGGAVAAAGVVLCALGLVYGGFWRARLRRRYALPGDGCGGDYARWVFCWGCALAQEVRTGNQLLLDVEAGGGVASRREAGDGDADAGQALRPLPRENGVKLPRQARASELATVVIADASLLLQQEQSSSSPVAEMRPPVPPSMPERNTSASSVTE >Et_10A_002070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21305582:21308077:1 gene:Et_10A_002070 transcript:Et_10A_002070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDPFPSDDDEAAAAAAPPGRSICHAGCGRPSPVCLCPYLPPSPLPTSTTVVILHHPHALRRNPLSTLPLLARSLSNLHLLPGRRLLPSSTPLLPPPSPNPVLLLYPSPTAADLGSWCRSTPPSARASPTLVLLDGTWKQAKEMHAASLPFLSSFVIPVSLPVDCGVDGDSMFESELVVKKEPHKGCISTMEAVARALRLLEPEGRGKEIEDAMLGVLRAMVAFQAEHLQQKTVKPRVKMRKKKEIKREEEIRKNADTTIGHELCPIDC >Et_10A_001666.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6574949:6580483:-1 gene:Et_10A_001666 transcript:Et_10A_001666.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSLTYTSVCNNSVYEHTWSFVDRKTIFQHASSFWHDFSCDEKLRTVNNEFRNYLMGSKWLQSRTSSLFSVMFELYGSRAIDFISCAQNLGKLASLHHQLLKMLNMQDRRSMVSHTHDSLEVAQLLIGQYAFLTKSELQQLQMLCLSTSPIHLYQDCRYMVLGHLTSSPMSIMYHRRKLVERVKLALDEAEGVLRDSTMVGDLEIRLHKIGILEDLASILLSYYTSASNHSIYELTMSFVDPKTIFHHASSFWYDFNWGHLTSSPLPICILSEFLTMRSLSVSLSDLYKFSDHTRGENWLREGSDPLACLLHSILGINIIAGSVTYTIEYQGLQVFELKPITVPFTLYIRTSKHFFFFENANVPGTLTRKLMYHLRDLHVAYDGTVRNSYEQHIMQFSYDIADGMFCDRGPVYELGSPIGSWAAYSISEAAYGAQ >Et_5A_040136.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:14680506:14680864:-1 gene:Et_5A_040136 transcript:Et_5A_040136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRIFHRDLKPSNVLIDSNSSNAVGKICDFGLATYYDQAVATLCRIPRGTYGYMAPEVHKARSSCTFESDMWSLGAIMYEVITGSRLIKGRDPADMTTCMRSLFGT >Et_3B_027959.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28409890:28410105:-1 gene:Et_3B_027959 transcript:Et_3B_027959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFIRCSRKDCGSILFQGSRGWQSMSLLQCAACSPVWCSPWSRTHGAATSFHQTDPCVHPHSEFSAKATC >Et_7A_052013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3703417:3706055:-1 gene:Et_7A_052013 transcript:Et_7A_052013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREERPLDRDPRQKTVGKAVCSPLFLAFLDGPPGTRIKYKLSLLDCDRVEEGKTGERRIIRVKCGTHTFGSAGNAVGSKAFVKKSKLREFPRVSFIIRCILTVYKTYTDDTFAIMVPRSKLSKYYFAHILEDMEDADLTVIVGGELIHAHKHILAARSEVFRAQLFGNNGEELHTRSIKIDDMEPSVFEGLRHFIYTDSLPESYHGDETVAVQKLLVAADRYGLKRLRLMCEQQLCSWIDVQSCAATLNLAEQYRSGPLKEACMRFIACQDVLGAVMETEGFKQLVASYPLVMKEILDRKDM >Et_1B_011273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19874651:19876211:-1 gene:Et_1B_011273 transcript:Et_1B_011273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ALSGLTAGLISTAPCAYEVPEPYPPLSPLSLKPAVCHRLSLLPTGITCSTSFGGAAGELKPHLSMAGGKIQKKRHGAGAGGGGARLQGGIPFEKSKGQHILRNPALVDSIVTKAGLKPTDTVLEIGPGTGNLTKRLLEAGVKAVVAVELDPRMVLELNRRFQGHPLSSRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPMFRCAVIMFQREFAMRLVAQPGDSLYCRLSVNVQLLARVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKEWDGLVRICFNRKNKTLGSLFKQKRVLELLEKNYKTMQSLQLTQDAEMGKEKMSADDVALLANMVEDLSMETGEEKEDDEMEMDDTDMGGDGGTSFKEKIMGILQQGDFAEKRGSKLSQVDFLYLLSLFNKAGIHFS >Et_1B_010206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29939359:29940024:1 gene:Et_1B_010206 transcript:Et_1B_010206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWAGLYGGGGPPTAAEATVVTAAGTVSSPTSGGSGGSPSRPSGGVGVEGGRVGKPARRRSRASRRAPVTLLNTDTANFRAMVQQFTGIPSGPFGAEYGGGAIPVRPSPTSAVMSFDHHQQPSSLQGQLFRPQPQQQQQYGYDGGVHHGGGGDMSPFLHGFESSSAEDRMLLQSIQAAQMMPRPSALTNNTNGYNFG >Et_2B_021605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3516337:3518036:1 gene:Et_2B_021605 transcript:Et_2B_021605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSAAPAAPRRRRRPARAIPFLHRVPLLCLRLLRGTAAKPARRRRLTAKSTREVARPKEPERAEAADELQEKAVEARAADAAPAVAGKYWSHRHSLFSLYNRGVRMDAEGWYSATPEAIAASQAARAAPGDLVVDAFAGCGGNSIQGCYVIAVEIDPHKVELAAHNARVYGVEDRIEFVVGDFFRLAPFLKADVVFLSPPWGGPSYIQAPVYTLDMLKPKDGYATFQAAQRISPNIIMFLPRTLDIDQLEELSWLSCPPLDFETEENYVHNRFKGVTAYFGKTARSPSTLSNWGSRTIH >Et_1A_007266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32933707:32942395:1 gene:Et_1A_007266 transcript:Et_1A_007266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAAANNTRAKKTRRTEESRRRPEPPPAGSGGEREEGVNLFSRLPDDLLCEIISFLPTKDGARTRILASRWRHLWISAPLNLDQGSLLPRENALVAALFSRILAAHGGPVRRLSIHTVLFVSLLFFQSSGSDERNFWRRKHWNLIKSLDIRLKTIVLTNYRGIMSQVNFATFFVLNAKLLEVMRFEGGANNDDEMFIAKQQRLLQLDKRASRDARFHFIATAKCGKDHINVENTWTGDDVVKKNSHKLNDKATEGHNNKSKVLCMRICLE >Et_4B_036237.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12520148:12521380:1 gene:Et_4B_036237 transcript:Et_4B_036237.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHAPTLPDDVLVNILLRLPARSVGRFRAVCKAWRAATTLPSFDRAHAARPAAVAKVASGYGYVLYRSEIPVVVGFEFYGGRWRREGGPFARAISFVRTQISTCRVLGSWDGVLCLQPYTAFLWPNHPEEPARDDELLLWNPLTNAFTTVLAPAAHGRVIGGYAHPVTGRFHLLHSEEATLSDHQDLRAPITFQILRVGDANWREVPWEDRLNIFMKSDGDRSTERVMVLMFDMAREEFRFMEGPARPGMALQTTRTVLSNSKLCILTLAKAPSPCPMEMWVLNDHSDPQTWQLKEKIRMVRFDGTDLSPWFTCAAAKVEVVEDGNDGEEILLRLEDRIDAYNFQEKVWRKMSITKGCCVVMHRESVLQPEISFGEQARVLRTQRDIFGRICYCV >Et_3A_027386.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:9925898:9926806:1 gene:Et_3A_027386 transcript:Et_3A_027386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQCDDDWSSPPTAMTDDDAAAELRRGPWTAGEDEALARYVAAHGEGRWNELAVAAGLRRTGKSCRLRWLNYLRPGVRRGGFTPREQLLILDLHARWGNRWSRIAAHLPGRTDNEVKNYWRTRVQKHARQLGCDVASARFHDVVRTLWVPRLVERIHADAAAAEAAVGDVTKTTAAAPPFLPPPAYQIQSNCASPDASSVTTGPSSSSESSNVAPFQTSSPIMASSSLAGWSSSSTTEECQYGSSSATSGGDMFDGSWSDLLARATSQDDADSTGGLHDFGLGETVDNFWSLDDIWRQQLC >Et_2A_018556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32731694:32736883:1 gene:Et_2A_018556 transcript:Et_2A_018556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTVTGQRTGCTFHSSMRIAFACSQSAFTSASGRNLHSRSCEICRSRSACDGIAADARRYPPSPYLVPSATEAAAAATASVAAKADEEQGFRQAGQRRWGRAARCSRGSEAEVERDEEELMPPFFALASNRAALSYDVQWAIFSRLPHVDVLRSAGFVCHAWRRLAFHKPALWRHIDVAADADNERGDVPAARLAMARAAVDRSAGQCESLRGPADCHFLIYLATRYVQRSGQIGSMDG >Et_5B_044191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21189013:21192963:-1 gene:Et_5B_044191 transcript:Et_5B_044191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSAGAGEHRVQILQARDAGHQGKGTKPEKQLNCFVRALALIERLGNALGTLAFTWATVILLGGYPTVLRPENDFWFATIIVFLEAARMFSRNNRMDYQLFFHTKSAVRPLGWNGLIVIVYLSNILSYLVMLVRLIQLPVAFRVLILLLILVVPSILGILQSSRALELLSKPLRDAISHFSPLFATILLVPLIAKFTPRDTMAKWIILFTLLFLAVLLLTINKFRFERNIKLSTERARINQQTFKHRLILNLCMFAELVMLVAMPGNSNIRTVMFTYEACALVLLSFGNLQIPAAMIRVVLSLMRLIPQDYHGDDKDNTAKKNLTPSLNIFYVMVLGQGILYCVACLLGTFSFIPRRALARRGGFKGQKAVEFVNLYYAYAWDKCMESDALVRKKISLSSFAVDSINSDSPTRQLHGVQLMHSLLQMEPSRTRLISKLNASTVTMERLIRMLDWRSTEDAAIRLFAAKVIVELAKSLRVVTVPGMLQLVSALLDTDRKPKRASALLDTYDEQEGELVTGATKIQEEKHDDFITSAHCNRRQRQDHKVWDTSTLDETQACSNKQVCTDDQTFCFLECWKWISRIWSSPREEPPMDHDNLPALGLSILDSLASYDYHNCVEISRAADLIPKIIEFTYCKNYIENINEVQQKILIGSSLKLLRRLSNTDGEIGITLRHKISEHPTLLRNLAGILLDDIVASLELRKLAAEILRNLGIDGNTNKEIGRIKVIITRLVDAFLSKGATSSTKSNHFLQKVAGQALAILTMESANNCAAILMEPKKFIEESTRMIYQNKHKYVAARLLHNLFLHIQIELSNSELRKLCETLGKVLETIMDPKADEVELEVLIGLSSQICRIIPEDFARELEKNGHGREIFVKRLVDVLKANMNPVIHSPGIRRVIIEQAIYLMRHSSYYAIQFNQHGMMETLLMVQQTPSRVERYRIFMGNVGYMEHKEPITNLVAVAKELMTM >Et_10A_001927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11478754:11479255:-1 gene:Et_10A_001927 transcript:Et_10A_001927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSVDNQVEEEVEDQAAWQLQLPPGFRYHPGDDEIISQYLTSKAIDRLSISGVIGEVDLGNAEPPLGPASSQKSKMREEWSFFSNKDRKYLTRTRTNRATATASRTRRATRLGCWKGYQALHR >Et_1B_014302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5514471:5516366:-1 gene:Et_1B_014302 transcript:Et_1B_014302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGHRGGAANRGTGRGNGQSGMIGRGGFQQGNFHPGYGGYGGRGGGRYNDNRHRFGGRNNGNRNRGGYGGRNNGRGGRHGNVEMPTGSNPHVSVGASAEQAAHAAVLLQQAFAAIQGGVGQLSQDGAGTAAPVEVTRSTSKVEKIEKMTKVSPSADKPESSAQARQVLAGKTAELSHPHVELTQARLQQAARESACKGRQDDGDAADKEMEMMEAGPEVDFDTEGMDADVVASPAALAAIPEAAGTELRRSKRRAADGDDELMDTATKLKAGRNMEKLHAMKGDKKTNV >Et_7B_053447.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20125337:20125888:1 gene:Et_7B_053447 transcript:Et_7B_053447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDHGRGLKQQQAPWTPGHNFSLAGSCFSWPSQRSPPSSSSSSAYTCGYCRREFRSAQALGGHMNVHRRDRARLRQCCSAYAAAAASLQGHTPSQLIAAHHHRAAASPLPNLNLLPPHRAGATAAAAAAMKVAAVEVNLDLGIGGGVCSRGGAAAEEGGLDLELRLGCSWE >Et_5B_045547.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:4013061:4014716:-1 gene:Et_5B_045547 transcript:Et_5B_045547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEREDEEPTAGTSSSAPDWLDDPIAFLASDLDLVGFYHYGWLPASTAPEQHDAGSMVVETLPCQSTLTQPGPGPVASCAAPSPVASPEDLAQPKKRKSPQHHTGPPGQSSRRRQVGSEPPGKAGASCGTRKPSKKGSAKGGALGLDRDEARWAEQLLNPCAAAIEAGNLPRAQHLLYVLGELASFSGADANHRLAAHGLRALALRLPAAVGEAAAASVKMPSLESPAPASFAFVEPRLFRAALIRFHEASPWFTLPNALANAAIIAQVAAAATTARGGAAASKVHVVDVGVSHGVQWPTLLDALARVPRGATPPSVRLTVAGPAATPRPAPFSASPPGYDCSPQLLRYARSINLDLAIDHAPSLDTLHLHGVTATAPGEALVVCVQFRLSHATADEQASVLQKVRSLNPDLVVLAELDGGGDVSSTMSGEFAARLELLWSFLDSTAAAFKGRDADERRVMEAEAGTALLTTAARRVHGREAWRARMAAAGFAEAAFGGEAVETAKALLRKYDGGWELVPPSSPAAGGAAVGLRWKGRPVSFCSLWRPV >Et_3A_026532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9303787:9304644:-1 gene:Et_3A_026532 transcript:Et_3A_026532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGYVPQPYGIEFWYLAFYTHIWPDLFGFSYFVSRACNEFSACQLCFSEISPLKCFTEQVVPGDCKHRWAYLCNTKYLRESCSLCKLTNKEEKNFKFRELYQEYKRILYDAEEFEESPKNRFDLFHEACAIYQVVYERAKPCNEVSKCGFAWKVAGRALCQFYVLQHGGDTVLCSLRAVADAFRKYRA >Et_6A_046792.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20683054:20685011:1 gene:Et_6A_046792 transcript:Et_6A_046792.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFGLPYYYYGLSLFLTFIFHAILTKLEASSKKLRPRMPPGPWQLPIIGSIHHLVRGLPHHTMRDLSLRHGPLMLLRFCEHEAVVVSSAEAVREIFKGGDIAFEQRPSTPGMDEVYSGHGRKGVIFAPYGEHWRQLRRILVTELLGARRVQAFRRIRQEEAARLVSSLASSPPGQLVNVDELLAGFIADSSVRVIFGDTLPDRAAFLKMMKHSTDISSLFDLRDLFPSSRLVRMLPRSHKKERHQQELFRLIDDILRNHEERRTAGDGEQEQDMIDVLLKLQKEGSMGLSLTPGVINALAMEVFGAAVDTSISTLQWAMAELMANPRVMEKAQLEIRRVLAGQKRLREEHLREVHYLKAIIKETLRLHPPGPFIPRVCVEDKKIQGYDVPQGTIIIINAWAISRDPKYWEDSESFMPERFQGERALDYRGLDFEFTPFGAGRRSCPGITFSQANIEISLASLLYHFDWELPKNKEIDLTEAFGATVKKKAELELYPIPRIPPKLGFKLRCETCKETGWHGGWLTG >Et_2B_020242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18280286:18281375:1 gene:Et_2B_020242 transcript:Et_2B_020242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYDAIAATNNTLNALARAGDKRDDAVHELRGFRALRLLEEEHVPLPFRLDPRCAPAPGTGGQGRVVRPVPRRRPVLRTSAHEHPLAPEVLQPRRARRHRVHPRVIRAARRARAGELPDHGHLLGLHLLLPLHEPVPPKPRVQEYRAFDSRRRIGGRQLDQDVVHHVAAGAVAGKEETAEVAVFGEPLVLRRRGPLERGPGVVVGGGDRVLGREAVLHGDREDAGRGGEGRHIAVVQRGNGRLDQKRASVVEDENGELLAGGREARGEVREEEARGEAGAGVEDDVFGRDAGGRVAAGMHAHRAVEAVHLTAPVDTKGVAAKDYLVGVGIHGRARLRRWLVKFVVAKQQESCS >Et_4A_031879.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:26094760:26095086:1 gene:Et_4A_031879 transcript:Et_4A_031879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSGGQFVKGLARWTPSVQSALIAEAEAFRAGLQMIADGSEANIILETDSKILVDLWNSRNYELSEVATILKDIQELCANFSSFSLVFVKRSANWAASSLSSAGIAL >Et_2B_019697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12506000:12507057:-1 gene:Et_2B_019697 transcript:Et_2B_019697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPERLHYCLLLTFVHFCLIAPGTASAGKVSAIIAFGDSTVDSGNNNNIPTPIKSNFPPYGRDFDGGVATGRFSNGRLVTDFISESFGLPPSVPAFLDKHRDIDQLALGVSFASGGAGLDPITSKVTEKLKLAKGKSLSNDIINRALYYFSIGNNDLSGALSTVRRSTPHTLPAVQAQQFVRPTTTALASSSSIVSLLPVGCLPGRRAVNHAGPGKCNEKYNNLAMMFNAEFQVAMRKLNG >Et_5B_043681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1452351:1453638:1 gene:Et_5B_043681 transcript:Et_5B_043681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAAVVLVALFAVVARGKAVDEFGSGASFIFGDSLVDAGNNNFIPTLSRANMTPNGIDFAASGGMPTGRFTNGRTIADIIGEMLGQADYSPPFLGPNTTGGAILNGVNYASGGAGILNATGKVFVNRIGMDLQVDYFNITRKQLDDLLGRDRAREFLRKKAIFSVTIGSNDFLNNYLMPVLSVGTRVSESPDGFIHDLISHLRDQLTRLHTLDARKFVVANVGPLGCIPYQKTINRVDEHECVKLPNQLAQQYNARLRDMLSELNAELPGARFCLANVYDLVLELITNYPKYGFQTAAMACCGNGGAYDGLVPCGPTSSMCDARDKYVFWDPYHPSEAANVLLAKYIVDGDSKYISPMNLRKLYSL >Et_9B_066132.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:4501888:4503966:-1 gene:Et_9B_066132 transcript:Et_9B_066132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARILLHGSLHVTILEAEGLSSSGSRPSSQAPQFLRKLVEGIEETVGVGKGSGKLYATIGLGKARVGRTRTLDDSSSGTPPRWDESFHVYCAHLASDVVFTVKAKSSIAGASTVGVARLPVRDLLAGGEVDRWLPLRDDDDGGGEEHGGKVHVKLQYFDISKDHGWGRGVRSGKYPGVPYTFFSQRQGCRVTLYQDAHVPDGFVPRIPLGDAGRHYYEAHRCWEDIFDAISGARHLIYITGWSVHTEITLVRDSSKSNRPSISLGELLKKKAGEGVRVLMLVWDDRTSVGVLKKDGLMATHDEETANYFQGSDVHCVLCPRDPDDSGSIVQDLQISTMFTHHQKIVVVDHDMPVASSSHQQQRRRRRIVSFVGGLDMCDGRYDTPSHPLFRTLDGAHRDDFHQPNFATASITKGGPREPWHDIHCRLEGPVAWDVLYNFEQRWRKQGGKDLLLGLRGTDLADAIIPPSPVAYPDDPESWNVQLFRSIDGGAAFGFPDTPDDATRAGLVSGKDQIIDRSIQDAYIHAIRRARDFIYIENQYFLGSSYAWRKSDDDGLDPDDVGALHLIPKELALKVVSKIEAGERFAVYVVVPMWPEGIPESGSVQAILDWQRRTMDMMYTDIAQAIQAKGIRADPKDYLTFFCLGNREARRPGEYQPTEEAEPDTGYIRAQHNRRFMIYVHTKMMIGTLVID >Et_5A_042586.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:21214259:21216325:1 gene:Et_5A_042586 transcript:Et_5A_042586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVRFRGTPYSITITAAAALFVLHLLTATVSSASPPQEQDRWALLALRNGLTSVSGEPLRHWPPESGANHCSWPGVTCDARSGRVVVLSLPARPGRRLAGELSPAVARLTELKFLSFPSRGIRGEVPQEVWRLQHLEVLNLAGNSFRGSLPATFPEGLKILDLSGNRLSGSIPPALGRCAALRRLRLSSNLLGGAIPPQIGRLANLQVLDLSGNKLTGGVPPELRHCRDLVKMDLSGNFLRGQVPSGLTELKNLEFLSLAGNNFSGEVPSGLGHLRSLKFLNLSGNTLLRDVLIDLAALQNLTVLLLDTNKLSEEVPVTVTAAAVSSVIVGNFSPVTDATIDVNPLAEHAELFTVSSTLTSRRVLAEANSTSSGGGLGTTEIAAIASASALLVILLVAVTLCICLRKCTLKPSRGSFRRREVKIFDNVDIGAPLTYETVVRATGNFTSSNCIGSGGFGSTYRAEIAPGVIVAIKRLTIGKQHGDKEFQAEVRILGQCRHPNLVTLLGYHISDAEMFLIYNYLPGGNLERFIQERTKRPISWRKIHKIAMDIARALSYMHDECVPRILHRDVKPNNILLDNECNAYLSDFGLARLLRNSETHATTDVAGTFGYVAPEYAMTCRVSDKAGVYSFGVVLLELISDKKALDPSFSPYGNGFNIVTWAVRLIQRSRVREFFIEGLWDKAPQ >Et_3A_024881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25007039:25010481:1 gene:Et_3A_024881 transcript:Et_3A_024881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKVVAVAAGEAHTLALTGDGEVYSWGRGMFGRLGTGREGDELVPTAVAPVAAGRPRPRFVDVAAGAYHSLALDGSCSVAASPVRITGMKVLSGPGVTTSVSFTPLDLGYGEENSLFPCLVERFQDLGSPELEDGAQSTSTQTSLKLSSVKAGGMMSFAIDSLGALWMWGNCPQQTDAGEFCVASSSVPLPVWDFHGHSVVKVACGNEHVVAAVSAGETYTGGDLVCYSWGNNNHGQLGLGDKENRSRPVLISAFREESSWEAYEIACGAWHTAVLTNKKTFDQDLESRCWTFGIGDNGQLGHGTTATICSPQPVDGLPTGSFLISLDCGLFHTTVVSSDGEVWCWGMERGLGLCPDASFSGVDAGDALYPIRVQSPETNGFKFLGPVQITCGAAHTVLVAGEGYRMWAWGRGRSGVLGRGQAADSYIPCVVMWPPLDENFQEVHEDRAEASTSRASDRTSTELEQKLTAATEELQFLRSKMTLMERYANILHISIFRKPLDEQTLPRSLQESHVFDIRKEFENILDAADTDELHRLEMFYRSMLSGVKDKLLKRRVQEMVQECIISLSAGRQTQQGKEDRLKSETKRHKVPI >Et_1A_008302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6099116:6101865:-1 gene:Et_1A_008302 transcript:Et_1A_008302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVDTLCAPSSDAALIYDTFHAASFLFDNAAAAFCDAGIVVRPAPVQHVAEAPAAAAAAAATLGDVDATSSAPRRKRRRRARSCKSKEEAESQRMTHIAVERNRRRQMNEYLAMLRSLMPEAYVHRVRTLALHAPAAAARLSQLIECSLSGTGSLPSPNPPDKINAPTKIPQGDQASIVGGAIEFVKELEQQLQSLEAQKRTLAHQNKARSEATMPMHTSCPNAAAAATTTPTCVDSSATTTTTSNCSSSVTEDASDSAPPFAGFFTYPQYVWCHSPRDSTAATMMLPAEGGGGRSGVADVEVSLVETHASVRVMTRRRPAQLLRMVTGLQALRLTVLHLTVTTLDALALYSLSVKVEDECGLTTVEDIAAAVHHVLCIIDAEATAQQLLAVGQ >Et_10B_004008.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9109716:9111553:-1 gene:Et_10B_004008 transcript:Et_10B_004008.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLINGLLNWVGTPTMLASLLLFYPPYYLFKTCYSFLSWIFPEDLSRKVVLITGASSGIGEQLAYQYAMKGAALVLVARREWSLRQVADKAFELGARDVIILRGDVANPDDCKRVVQTTISHYDRLDHLVCNAGIASVGAFEEIPDVTNYSSQLDVNFWGSVQITFAALPHLKSSRGRIVVTASATGWNPVPRMSFYNAANAALINFFETLRTELGSEVGITIVTPGWIESEMSKGKFLKEHGQVEVDQEMRDAQIGLFPVEYAKNCAKAMVQAARQGEHYLTVPSWFSAMYLWRVFAPEVVEICYRLLYMHGRGASQTDAPSRTMAEAGGKKLLYPTSLRSDEIKSD >Et_10A_000260.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:20445222:20445380:-1 gene:Et_10A_000260 transcript:Et_10A_000260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KSLRVSIHRLERGGISSERTYFCTQSGFVNKINRKFKIGSILCNGPHSNEGS >Et_5A_040341.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15569048:15569848:-1 gene:Et_5A_040341 transcript:Et_5A_040341.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HTVAVSGAAAVKFTFRNNCPETVWPATLTAAGRPAFPTTGFALAPGASVSFPGVGATWSGRVWARYRCAESGDCGTGRLACNGAGGAPPATLAEFTLGGGAGQDTDFYDVSNVDGFNLPVEIEPATAGRCPTASCPADINRVCPSDLAAPGAAAAVVGCKSACLAFNTDEYCCRGRFASPATCRPSGYSRLFKAQCPQAYSYAYDDGSSTFTCNATAADYQITFCPGAGGPAQGGAVPLPTSGSNF >Et_3B_028598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17126994:17131257:-1 gene:Et_3B_028598 transcript:Et_3B_028598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKRTKQEKKVAYDKKLCQLLDEYTKVLVAFADNVGSKQLQEVRKGLRGDSVVLMGKNTLIRRCMKAHAEKTGNDFTNLSNLLVGNVGLIFTKGDLKEVREEIAKYKIGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEITTSVDLIKKGDKVGSSEAALLSKLGIRPFSYGLVITSVYDNGSVFSPEILDLTDEDLVEKFAIGASMVASLSLALSYPTAAAAPHMFLNGYKNVLAVAVETDFSYPHADEIKEYLKDPSKFVVAAPVAAVAASAIAPDEEKKDEPAEESEAEDGLFVSNQNITNAAADKILSLSLPL >Et_3A_025994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34393689:34396936:-1 gene:Et_3A_025994 transcript:Et_3A_025994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDSEEKGDEEEDEMVADFYAFQASKFRDSWDSQWLGYFGSFEDTTRIPCMRFTEKKPEPQHSAFLNTTLQIFSLKVAGIRGSLQWPLHVFGTVFLRDSLDHNRNVIFDRRRDNCQILTQEAPCLTLTGPTRAVVLLDPVIFEVDLKAKGATESEDKHLSFLGRRPQSKCELHMGRGQMVFVPGLLPVPPTRKSCYSILEKIKVHVDGDGWITLSRQVVSVEVDGKLKVAVKACLGDQIILIKEKGFKPKKAGTSGGTLNIGFCQMDITVAWSLI >Et_8A_056194.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:14257644:14257730:-1 gene:Et_8A_056194 transcript:Et_8A_056194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLGRFGRNNTEITEAGPEQLQNNPI >Et_4A_031871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25495013:25496141:1 gene:Et_4A_031871 transcript:Et_4A_031871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GAAPQSFIGINYGDVADNLPPPSSTARLIKSTTISKVRLYGTDPAVVSAFAGTGISLLLGAANGDIPNLASSPAAAAAWVAAHVPSGPSAPAITAVSVGNEVLFADDATLAAQLVPAMQNLYDALPPNSSVKVSTVNAMDVLASSDPPSSGAFKPDLSAALDPLLAFLSKTGSPRARPGARTPGRGSPTPTCSTRCWTPCARRWTARGTRTWRSWWPRPGGRTRATPTSPAPRRRTRGHTCRASWRTSGRWPGRRACQASPWTRTCSPCTTRTSSPERGPRSTSGCSRRGSPRRTRRGCSGTARPGWGRTWRRRPRRRHPCARRRRRQPRRHRYCHVTTCCLLLFSLVYV >Et_5A_040747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1202592:1205546:1 gene:Et_5A_040747 transcript:Et_5A_040747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVRRTTLEKQGTCMHTFSSHLHGLESKNCIARGLLLHQGLPHFATELQASTHPRALHQQGMQQVEFMFEYKSTMILCQMAILHCTCFANLLIEIHDFICAAMDSCHSPVRYRISKCQHMTQTGVHAEKEYIRLSRLHASVLKCES >Et_10A_001883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9823002:9825798:-1 gene:Et_10A_001883 transcript:Et_10A_001883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPPPRRPPQRPPPAPPPAAATPRARTKNARRKNAGGCAAAAAATSPKKCGAAAAGESLAGLRGFMYHVARDQHGCRFLQQRLDDGKREVDHIFAGVARHAAQLMVDPFGNYLMQKLLALCDAGQRMALVLALTADALVLVRISLNVHGTRAVQKLIESLRTKEEIRLVMEALRPGFLELIKDPNGNHVVQKCLQSFEADDNKAIFDAAALHCLDIGMQCHGCCVLQRCIARSRGEHRDKLVAAIACNGFELAQDAYGNYVVQYVMDLKIANANSSLAQQFEGKYIQLSMQKFSSNVVEKCLKVFKEADKAKIILELLATPHLEQLLQHPYANYVIYSALQNSKTANANSSLAQQFERKYIQLSMQKFSSNVVEKCLKVFKEAYKET >Et_5B_044831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6358921:6362131:1 gene:Et_5B_044831 transcript:Et_5B_044831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVASGGTTVMAAGAGGEDGRRRGRRWKAPREDQLGSVPGRIFSNDGRSRTAAVYTQQGRKGINQDAMLVWDGFGGEEDVVLCGVFDGHGPHGHLVARRVRDAMPLRLMSAVRASKAGMDMTAAAWRKAFARAYKAMDKDLRSHPTLDCFCSGSTAVTVLKLGSDLYMANIGDSRAVLGTRDYAGGGMLAVQLTVDLKPDVWDVLSNQEAVDIVSASPSRSKAAKSVVEAANREWKTKYPTSKIDDCAVVCLYLDGKMDHERDSTASMDNISIDEGSVADPNEAQEEPTLTRNFTVRTVAGSAHEKALSGAADAMVAGAAHDQNWSGLDGVTRVNSLVQLPRFSEEKAIG >Et_9B_065648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8695149:8696806:-1 gene:Et_9B_065648 transcript:Et_9B_065648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPSLITFPVRRRERELVAPARPTPYEFKMLSDIDDQDILRFYRSGIFFCRGNASKAAGLDPVKVIRAALSEALVYYYPLAGRFRELQPTRKLVVECTGEGVVFVEADADVRMDELGPSLAPPVPCYDKLLCEPERPTADVVDRPLLYVQVTRMTCGGFIFGFQICHCMADVVGVLQFLTAVTEFARDVPGAPTVRPVWERELLTSPWPPAITHDHREYAPLPNPDKDAISPTTDAFATHAFFFGPGEIATLRSQAPPSLRATSSRFDLVGAFMWRCRTAALRFDAGDLVRLHVFVNARGRSRRPVVPRGYYGNAVGQYALRLLLEAKARASEEGYVQSVAAFNAAHRRPPFPKARTYLISDVTNAGVMAVDFGWGEPVYGGPATTMLATFHLEGRNEAGEAGILVPMRLPAPAMERLKLLVAKELISADDDVDGDRKNLVADPVFAKL >Et_1B_010534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11293999:11294576:-1 gene:Et_1B_010534 transcript:Et_1B_010534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESGVGERARRERQRWRLIGDDTTNPTRFRAEGERRVPFWGARERVPPLPQINYDVPSPTWGDGSPAHPPIAMWATDLGHGPS >Et_4B_039692.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26775764:26776252:1 gene:Et_4B_039692 transcript:Et_4B_039692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAMPSSEASSSPSKRGSLRGPRPQPLKVSSSSSSSSRGSSPKASKPPTRKAGASPVIVYEHTPKVVHARPQEFMTVVQRLTGRPSPAPAPSATTSSMTYAPAAWGEEGGDPLLLTLGQRQAAPAAPAIPSPMAAGMLMSPSFIFSPNTMQSIQELSPLL >Et_8B_059494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18469118:18469949:-1 gene:Et_8B_059494 transcript:Et_8B_059494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKEKDAATVACQAGQSALAARLLKRVSAVTEDKGANLVFSPLSIHVALALMSTAAAGDTLAALAAFVVDGVLADQSGVGGPSVSFACGAWTEKLRPSYVDAIEGTFKGSTWPVDFRREKSVKGSIYRRHLAMKKISTILLRPTSYVEVDVYPEEAEEGTPYRHRNHKFVAEQWIDEDFSAVRDDCHTHAATA >Et_7A_051498.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19661318:19665612:-1 gene:Et_7A_051498 transcript:Et_7A_051498.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPLRRRRNPSGFSPSSTAEEVTAGIDGSGLVAIITGASSGIGTETCRVLALRGVHVVIGVRNPSAGAHVREEIVKQVPTAKIEVLELDLSSFSSVRRFVDNFNKLNLPLNILINNAGVAFTPFTLSEDGIELQFATNHLGHFLLTDLLLEKIKVTAKENGIEGRVAIVASESHKHPYGEGIRFAKINDRSGYNSINAYGQSKLANILHSNELSSRLKDQDEKVIVNSLHPGAVVTNIARHWGFVQGILSTVGKFVVKGVEQGAATVCYLALHPQVAGVTGNYFVDCNAVELKSHANDKELAKRLWDFSAGLIH >Et_4B_039118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:849534:850682:-1 gene:Et_4B_039118 transcript:Et_4B_039118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSSLSSFLLCCFYPSGSHRHGHRSGAYYYSTHPTGTSTLYYHDGGLGGRRMGRSKPLSLQTVELKVRMCCSGCERVVKHALTKLRGVDSVEVDVEMEKVTVTGYVERHRVLKEVRRAGKKAEFWPNPDLPLHFTSAKDYFHDEESYRRTYNYYRHGYNGDKHGHLPEPQRGADPVANMFNDDDVNACSIM >Et_4B_036718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1094326:1098183:1 gene:Et_4B_036718 transcript:Et_4B_036718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEAPTCYVGIARESAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKNKQDTLEVEIENDSPVNTPKKEDKPAKDEVTKITRPQGRYKKRERGKSVSGYSAIDLQGILVRKTEDNCQVDQKVEPICLDEPDAIICEDAVSQAEDVNWWGHKNGFVSGGFLGATSRKNKRKDPANVRQTFGEEDQENLYNLVQDKATSGKQGLGIKGLPLKIAGHRWKGNKTSFGDSDEENSDQSNEYSEIEDNVDEVEPVNNAESISTVKKTEQESRVDVRPKTKVKKLCKRILRQTPSQSMKLKDLKVAVEEHSNSVFSSFSCRREAMLFLKTKLQGSKKFNVEGKKVRLVS >Et_7A_052736.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:14825750:14826409:1 gene:Et_7A_052736 transcript:Et_7A_052736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLRRASVVLALVALTAALADGALIPWFGSGRRGGGRDDATAAAAMSPLSDLGLLADPFRILEHVPFGFDRDDVAMVTMARVDWRETPDAHEIVVDVPGMRREDLKIEVEENRMLRISGERRREEEQKGDHWHREERSYGRFWRQFRLPDNADLDTVAASLDHGVLTVRFRKLAPDQIKGPRVVGIAGGDGEGAEKKSIGAGAGSGSGGEAQTKKVEL >Et_3A_025504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30313905:30317544:-1 gene:Et_3A_025504 transcript:Et_3A_025504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPERSPSQSPRSPAGAAAGAPFLSISVTDPVKMGTGVQSYISYRVITKTNLPEFEGPEKIVIRRYSDFEWLHDRLAEKYKGIFIPPLPEKNAVEKFRFSKEFIELRRQALDLFINRIASHPELKQSEDLRTFLQADEERMDRARSYETGIFKKPADFIQMFKSKVSDVVLGKEKPVEESTPEYEKLKNYIFELENHLAEAQKQAFRLVKRHREFGQSLADFGKAIKLLGACEGDSLEKVFSEVGSRSEMLSIKLQREADNLLFNFEEPLKDYVRAVQSIKATMVDRANAFRQHFDLDQERKYKELNLYLKIDSEEATKQFENIVRVMNEELERFQEQKTADIGLAFHEFAKGQGKLAKDVADAWRSVLPKLEACSTS >Et_5B_045596.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:5158881:5159276:1 gene:Et_5B_045596 transcript:Et_5B_045596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVIDALRKSHERSTYRSVSSDGSSRGGGSRRHLIHYTELPEAAAADDGASYRRARPELVRRGGGGGGGGTGSGVTDAWPPMAQGHRSVRLTAPWGGGRRAGGPRQGHVPACLPLHPLKIGGAQLL >Et_4B_038546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29752088:29754013:-1 gene:Et_4B_038546 transcript:Et_4B_038546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYISAAAARSAVLSYAPARRVQGMDAVSFRISASFSRQRASFPSLRLQRIPKRFQVSCSAKQETIEKVCQIVKNQLALAEGTTVTGETKFVDIGADSLDTVEIVMGLEEAFNITVDESSAQEIQTVDDAAALIDKLVSEKDA >Et_2B_019965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15691588:15694752:1 gene:Et_2B_019965 transcript:Et_2B_019965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMMDAGESRSPARALRRLAGAAVSAVLLRRSFSPSKCKTEARMATARMKLLRNRREAQVRQMRRDIATLLRDRQEDTARIRVEHVIREQNIMAANEIIELFCELIVTRLPIIAKQKECPADLKEGICSLIFAAPRCSELPELGRMRDLFEKKYGKDFVSAAVDLRPDAAVNNLLIEKLSVQKPSGQTKLKILKEIAKEHQIDWDTTESEQELLKPPQELIQGPNSFVEAANMPVKTTLKPHVVQPDPAKFCSGYSDDESDNGDAASAARAAAESAARAASAAKAAADFVNKTSHTFDEAEDCKVSAFQSTQPRNRQSMSNSSRSSKKEDVDAPDELKYHGRKDSSTGSFSGLNHVEDKDTYPADLDTRKMRQRNSRSTRKVHSEIKFDDSEGLCSESEDENDVEIQSLERPAPPTREPFSENRHSEEDEPYHDFPELPKANLHSRVHPNMPLDYETLTARFEALKSSKLP >Et_9A_061774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16271317:16275040:-1 gene:Et_9A_061774 transcript:Et_9A_061774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDWVKDLHKEKEELCQKLLEKEDELKNSQTNFLEQIRAEKTDKSNSTTADSPNSTPEIILKNPTPAPPAKKTPQSNSRAKRARVSEEAIVPNSSSPEEEAREVHFFPRNPTRNGANESSTAHMFHMLLESLVCMKISLNKETEEFSVSASHEASGYCFTLTWLEPRNEWWYKVSSLGNLEKVAWDWMSQDIKFHMPMCRMFFQKISDCPMCIRLVYGFQWLPSAVSSRVSDINRQRQHWLTGGQCITMK >Et_2B_020095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16797571:16798823:-1 gene:Et_2B_020095 transcript:Et_2B_020095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTRNKIILWALSLTAAAVLVGGTIALVLTAGTWKAKVKESHEKICNKGWECSGSKYCCNDTITDFFKVYQFENLFSKRNTPVAKAVGFWDYQSFILAAAQYEPLGFCTTGGKQMQMLELCAFLGHVGTATSCGYGVATGGPLAWGLCYNREMSPTKDYCDKYNTQYPCVDGVEYYGRGALTVYWNYNYGAIGDGIKQDLLHHPEYLEQNATLAWEAAMWRWMNPIKKKQPSAHDVFVGNWKPTQNDTLSKRFPGFGATMNVLYGDTKCGQGFIDDMNILISHYQYYLDLMGVGREHSGANLDCAEQVPFNPSYKDPKEQQQSG >Et_4B_037418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19650613:19654599:-1 gene:Et_4B_037418 transcript:Et_4B_037418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTGEEHLVQIQGRGAGTTVDEAATPTPERHLNSASCARSRWVGNALGTLAFTWATVVLLGGYPTVLRPTNDFWFSPPPSMFSRNNRLDYRVFFHTRGAVRPLGWNGLLVVVCLSDVLPLRRATSLWSPLVAVLLLLPVMPYRKDDNSIEEWIWMVFLVLFLAVLLATVSRLRYPRIIKLFDSAFGGKLVPWRRHILNLCMFAALLMAVFAQDDPICAGLMTGYELYALVVVSFGNLQIPMAIGRVVLALLRLVPHDYYACMLEIFSFIPRKSLARCVGFRGQWGVECINLYYAYAFDKYIRGDVLAPNKISLISFSMDSLNSDSHQMQLHGVRIMHGLLQRDPARTHIFSKLITSTETMARLIKMLDWASPEHSTVRIFAAMVTSELSKSLRVMAIPGTVQIVSALLNYGNQHKRENPLLDTDGEQEDVHDSILNAADKEEEGRNAVPCSGNSQVQTQEDLTDQIESWIDRCWQRISKLWAIPQEEPLTEHGLLLPALGMTIIHNLFSYDHDNCLEFSRAGGLIEKIIGFTGYCRCTGTRPKVLLSSSLKLLHRLTSIDGEIGITLRHNISMHYFLFRNLAEILGDSTSSQELRILVAGILRNLAVDMKTRQAIGRIQVIVSWLMQTFLMPDGPSTTNGDPLLRKVAGQALAMLAMDSNDNCLAMLRETGYLFIKELTSTIHVDRYRCVSASLLRSICLHAPHELQGSELKELSQAVREVLERIFASDGAELEILIGLSSQICKAVPDDFARELEDGHIKETFVKWLVNALNVNVGLCDGFPGIISLILEQAINMMEYDSSYAICFNDHNMSNALSMVDETSSGSFLIEEAGEPLPSLVARAKQLLASQQT >Et_8B_060650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:424956:427054:-1 gene:Et_8B_060650 transcript:Et_8B_060650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQTEVLKDGISTVVKGAKEKNRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEGLKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPYRLF >Et_3A_025940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34173007:34174850:1 gene:Et_3A_025940 transcript:Et_3A_025940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VHEAHYEATTWVGLRVHHVIFVPPTHRGKREAGEADKGKPANHTAAAADRNPRAPARGLMAAFTATAPAALASPSPCRPTSVSSRSLAPRWTPLRCSPPALGLRSGSTRPRRGATLLVEAKKQTFSSFDELLEKSEKPVLVDFYATWCGPCQYMVPILQEVSEKLSDKIQVVKIDTEKYASIASRYRIEALPTFIIFKDGKPCYRFEGALPANQMIEQIEQCLQTC >Et_2B_020347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19266037:19269923:1 gene:Et_2B_020347 transcript:Et_2B_020347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPSSGSYLLLAPLALLLIVFALPSLRSSRVGSDGGLGALCARRFIAGDVVAAAAAPPPPAAVAEQPELSLLVGVLTMPKLRERRDIVRLAYALQPPAPRARVDVRFVFCNVTDPVDATLLAVEIQRHGDVLVLDCAENMNDGKTYAYLSSVPRLFAGDPYDYVMKTDDDTYLRVAALVDELRGKPRDDVYLGYGYPMGGQPMPFMHGMGYVVSWDVASWISTAGEILERNDTMGPEDLMVGKWINLAGKGRNRYDLKPRMYDLNWYMDNFRPDTIAVHTLKTNRRWAATFRYFNVTAGLTPSNLYHLPSLDFSLLVGVLTVPNRRERRDIVRMAYALQPPAPRARVDVRFVFCNVTDPVDAALLSVEARLHGDVLALNCTENMNDGKTHEYLSSVPRVFASDPYDYVMKTDDDTYLRVAALAEELRGKPRDDVYLGHGFAVGDDPMPFMHGMGYVVSWDVAEWVSGNQEILRHNDTHGPEDLLVGKWLGIGGRGKNRYNLKPRMYDLGWYMDNFRPDTIAVHMLKDNKRWAATFRYFNVTAGIKPSDLYHLP >Et_1B_010249.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:32076891:32077007:1 gene:Et_1B_010249 transcript:Et_1B_010249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSSKMMSLSCQCFSAALLMTLNYGLTGQTKTARRIR >Et_4B_039586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2291409:2294838:-1 gene:Et_4B_039586 transcript:Et_4B_039586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFGSPCGACKFLRRKCVRGCVFAPYFCHEQGAAHFAAIHKVFGASNVSKLLAHLPLADRPEAAVTISYEAQARLRDPIYGCVAHIFALQQQVMTLQAQLASLKAQAAQGQGVHEDAKGYMGAAAEQLGYGGGYPWCGSNGGGGAVGAPASQYGNGGGHESISALLQSDYMQQSLYHAFEQDDEDGRQAAAETSSSFGAEDSGGWRSSSGYQDCEDLQSVAYAYLNHRSSLPNRSSLKIRIRT >Et_2B_018908.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:15695862:15697840:1 gene:Et_2B_018908 transcript:Et_2B_018908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLQKHLSISFRHQWLLCFNRFATTAASKASPHSAPFAVEDYLVGTCGLTRDKAAKASKKLTLLKSPSNPDAVLSFLSGFGLSPSDIASAIGREPRLLRIDVKEVLAPRLAVVQENYGLSASQIARFVLADPSWFSRPAIISKLQFYVPFFGSFDNLLLALKKSPYLLGVSLERVVKPNVSLLREYGLGPPDISKACLRVPKLLWFRSAKMQEMAACLEEIGIPRGTPMFRVGLQCLASLTKECIASKMELLKKTLQCSDAEVMTALSKAPNLLTISHDKIRRISRFLISEAGLDPKYVASNPALMTYSLEGRLMPRFYVMKFLEEKGLLGSQRSYYAAVVPKEKVFVERFIHPHKGAAPHLAEDYAAACRGQVPSRFSSQEPRTGLASD >Et_1B_013997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2716041:2717521:1 gene:Et_1B_013997 transcript:Et_1B_013997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLLSLAVLIVFLGLSSNLASGQILFQGFNWESWKQNGGWYNLLMTKVEDIADAGITHVWLPPASHSVAEQGYMPGRLYDLDASKYGNEAQLKSLIEAFHNKGVKVIADIVINHRTAEHQDGRGIYCMFEGGTPDTRLDWGPHMICRDDRPYADGTGNPDTGADFGGAPDVDHLNPRVQQELIGWLNWLKTEIGFDAWRLDFAKGYSADVAKIYIDNTEPSFAVAEIWTSLEYGGDGKPNYDQSAHRQELVNWVDRVGRSGPATTFDFTTKGILNVAVEGELWRLHGADGKAPGMIGWWPAKAVTFIDNHDTGSTQHMWPFPSDRVMQGYAYILTHPGNPCIFYDHFFDWGLKNEITHLVSIRNRHGIHPESELRIIESDADLYLAEIDGKVIVKLGTRYDIEHLIPEGFQVVTHGDGYAVWEKI >Et_2A_018432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27995410:27997013:1 gene:Et_2A_018432 transcript:Et_2A_018432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVICDFFGYTPLLEELKAKRNELQLPRAILKTVQSLLISPCLPVFTPTLPSLTMAALNASKKRVWFLAKPFTAGRDAASA >Et_5B_044606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:392047:396336:-1 gene:Et_5B_044606 transcript:Et_5B_044606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFFFGSSAVNAEEGNKTPSDDNRSKHKKKLEDRDGYESSGSCGTRTSRSRSRRGKLNDEELSKPKQLRRSMSFSSPARKSCLDDRTFSFSGDVPCSVYDESDAPQHTRDVDCYMWSQGNRVSREDATKVPKAYSAMEYDSPDSRCFSCSTGHSPVSSPIALRCRPTRLSNLLNKNDVVDRYIDAGQEDTMLNEKQKQHSIYMVPNLGRPPRPQSAVPSGLKPVKDVPETYPDVGSNDVCIQQLIQEVAGDTCNITTLSDASRDHLSVPDAFENFSHSEDHRSESVTSVEDIYEDLQDVRPPDVICPSTCPVSGGQETDDKLLQRVKEVESRFLLSSGNKYEVRKLRDKQLSSHDMFQVIQHLTEDRKQLARELSTQIKARVTERFAAREQYKQSKKALDTRTRRLEKEKSEVQINLEREMDRRSQGWSDRILKFQSEEERLHERVRELAEQNISFQREVTFLEANKADASAKVASLELQNKKLNDELEKLRCKHDDLHNSSADLHAHFTEVTGERDHLRGYLKEKDDENRALHKVIARLQMTCNEQERTITSLRQGYRSELDKSLECGSDKMNKLQMELIRLTGVEQKLRGEVRSCHLEVESLRHENIALLSRLQSAGNGSSISMVRLDQELQAIVDKLQMEGLSLFDKISQLCTKLMDLIKHKHQENESPSYIDVLTVTDYTLEYQSIKGGIDGLRRSLQTISSVLSEKQSIKEKPGGTAASDGPSGEQKDNVGLKLKEEALLSRVLKEAVLSKELEIEQLQSDLASSLRIQDVMRNEIQRVHDEHSCITHKAKQLELQVSKKAEAINQIQQDFQESAKELTALRGTLKMVTEERDLSWHEAKQLRRNVSIMQNEVVALKKKIESLDEDILVKEGQISILQDAMNKPFDIICSPRSMREFDME >Et_7B_053848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12782272:12785265:-1 gene:Et_7B_053848 transcript:Et_7B_053848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEATIEETPTWIVASVCSVIVLISFVFERALHLLGKTLERRRRVTLYEALLKLKEELMLLGFISLLLVVFQNSIQRICIDESLMEHWLPCHGRGANATASSVEHYGVAATFGAGGRRLMSGGAASGRCTEGKVPLLSLHALEQIHIFIFVLAITHVVLSAVTVLLGLLQMRKWMHWEKSIREGGGSAPKMITRVQKIKFIQNQCKGYEKATRIIIFMRSFFKQFYGSVTMDDYVAMRLGFVMEHFRWHPKFNFYDYMIRALEKDFKRVVGIKWYYWIFVMIFLLLNIAGWHSYFWISLVPLALLLLIGTKLQHIINKLAYEVATKHATVEEGNLVMNPSNKLFWFGSPRLVLIVIHFILFQNAFEFAFFIWTLAMFGVKSCMMDGLGYSIARIVICVFVQVLCSYSTLPLYAIVTHMGSSFKSAVFADDVAENLRGWADAARRRNRLAAAAAAAEVGCLGAAVAAKPNVGGAANHLRCTSFP >Et_8A_057764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:689495:693610:1 gene:Et_8A_057764 transcript:Et_8A_057764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVVVSRSDRIVRRTAMVGAVTAAYFLLTADYGPNYPNPMYYKHAPKTCRNFVELARRGYYDNVVFHRIIKDFIIQGGDPTGTGRGGESIYGAKFEDEIKPELKHTGAGILSMANAGPNTNGSQFFITLAPCQSLDGKHTIFGRVCRGMEIVKRLGSVQTDKNDRPIHEVKILRAIVKD >Et_8A_058118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20754057:20755382:1 gene:Et_8A_058118 transcript:Et_8A_058118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMACVVVARAAGRCEAYRGYLGYEDMPYLVERAPSLKTLDIEDISNYEGTEELIAALEKLPLLEDFQIHFKHSIEGGKGLKGILDNCPVLESLHITGYFNKREMNEELRLKCARVKNLTLPTTLNPEDRRYSEFLGYSDLEEESE >Et_5B_043276.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:21559180:21559383:-1 gene:Et_5B_043276 transcript:Et_5B_043276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSCLYPHDIDEVLKIRLSNTTEEDIIAWHYEKSGLFSVRSAYRLALQLDQAEQRQEGSSTHADGS >Et_1A_007946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39724346:39727309:1 gene:Et_1A_007946 transcript:Et_1A_007946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPQPGPAASLADHLESANFTPPQPAPPPPSPSTILSAWSRLRDTSSSPADAVAALETLHLHRRSLRLSSAHASLLLPLLPLHPRLVAPLLAASPHLLPASLPASLPLYARLLLLGARAFANSSKDPPSSASSGSSAAKKLASGESGSGHDDDPAAAVSRILEDMEQGDQNIDDLDHLALAGIGYALAAADEGQFRSILASLFRISGRTANLAVGVRVLKLVEWLVMGFIESRKMRKVQVLFEMISPEKCNYVLFPVVMAACGGLRALRVASARYRLDFDPRLKEAPERTIRFAADRAVLEGRRAHDQRILVQCVALGLTRCGQVAFHETVLQSVCMGLLKELLPLPDMIRMSLETAERKSAEAVKARLNQHLDSVLFKEAGPVTGVLCSQYSYANVKTKQFVETCVWEYAQELYSHLRAAVLLHRGKQDDLLARVDKIAEAAFLMVVVFAAEVAKHRLNAKSSEGFQPEVAARILVAFSCVEHLRRMRLPEYTEAIRRAVLTNQENAASSTLFIESMPSYAELTSKPDLLNLDGERYMWHTDEVQTSRLLFYLRVVPTGVSLIPAHIIRDKKKCSLARTRYLHHPNEKVTSATHSVMVSFLSSGNDTDQDDRTSLKEQLSFYYIKRTLEAYPGLTPFDGLASGVAALARHLPSGSPAILFCIHSLVVKAKDLCNTAAVQDKSLWRSWEESTEPCKKMLDLLLRLIFLVDIQSFPYLLKELAEFVVLLPKEGQDVILDDMHAHVAESDDVTRKPVLVSWLQSLSYISSQSSCNESSDKAAIASHVGSEELSLNRTMARL >Et_4B_038311.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28027679:28028080:1 gene:Et_4B_038311 transcript:Et_4B_038311.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNQLALRPIATNKDGSLDGKSAAARERSSLANASFRVYYSLRAGAVPFLWESAPGTPKSGAMACAAAELLPPISPPPSHQSSELKTRRRCRARSSWPAAAAGGVLRALLGVLGLRKKSHGL >Et_9A_061359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10500967:10504568:-1 gene:Et_9A_061359 transcript:Et_9A_061359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNHEPGAISMDGFKVVVPAHPLISHWVSVLRDRSTPTHAFRSAMGELGRLLVYEATRDWLPTVTQEIQSPMGAATVESINQMEPIMIVPILRAGLALADLATSILPSTKTFHLGMARDEITLQPSVYLNKLPDRFPKGCHILLVDPMLATGGTVSAAVDLLKERGAEINQIRIISAVAAPPALEKLNQRFPGICVYTGAMDQIVNEKGIHVEFDGSL >Et_8B_059422.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17823589:17826267:-1 gene:Et_8B_059422 transcript:Et_8B_059422.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSSPRRRLDDRAMHPEAFESERVPVAMPRLPPPAVFARKLGRAPRLAPPNPSPPEPQSRLPTLPPASAFSSVAARRHRGRNSGAPTAAGEARRDWAALPADAVAAVLRRLDHVEILMGPGQVCRSWRRAARDNPALWRRIDMCGHADLKYRVDLCAMARVAIRRAKGQCEAFWAEHAADDDVLRFLGNQAPSLKSLRLISCQDIFEFNKEIKKFPLLEELEISLFTNVGGKNVFQEVGKACPELKHFRFNQYRFINLRDSKASKDDDSEIVSSKDDDAMGIASMHGLSSLQLFGNKFSNKGLTAILDNCPLLESLDIRHCFNIIMDDALHAKFARIKTLRLPNDSTDDYQYPVCSPLGLLDIEYESDDYSENYSDDYSDDDDDYSDYILDSDEYDDYCDPFRYLDGVYESELSAEDWMFLKGMRMLMKDSDDDDDY >Et_4B_036758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11273079:11277432:-1 gene:Et_4B_036758 transcript:Et_4B_036758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAADGYLLNHRRKRHLEASPLPRHFHGPQRHSRIRLSFCPGASFPLLPPEARSLAFDMGNYVSSLLGGRPRDDGLGLYKGWVGTSRDLTVATTEETGTTAHLVSRKFGDPRKAALEAVPRPREKRKPHYKEALEATKKYDRRLEELGFEVKFQEEKFAELRKLDQAPKEDLSELFKPLTAEEENEVQDCLYGHGPSSKVLVSHESSNIEISKEKFRCLRPGGWLNDEVINLYLELLKEREKRKPKKFLKCHFFNTFFYKKLACGKNGYDYKSVKRWTTQRKLGYELIECDKIFVPVHKDIHWCLAIINMKENTFQYLDSLGGMDRNVLRVLARYIAEEVRDKSNKVIDTSSWHEEAVDYIPLQQNGWDCGVFMLKYIDFHSRGLSLSFSQEHMEYFRKRTVKEILRLRAD >Et_8A_056455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10378864:10379199:1 gene:Et_8A_056455 transcript:Et_8A_056455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAEPVNEDSMNPTQLNAPSVGTNGDKPTEDESQIMKEAIDMAIKHLLIECTNNVIAEDAVAMGEGTNTPSLSTTENGKVLLENYVPMPVAENSPLGTGSRTSM >Et_1B_009899.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:9769189:9769869:-1 gene:Et_1B_009899 transcript:Et_1B_009899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFFELAGVIVVSIFTPLLFRTVGFASQKAILGSIVTDVVSLAATALAVDRVGRRSLLVLGGAVLIVSQVAMAWIFGARLGTDGGGKEAMPRGYAAAVVALVCVYVAGFCASWGAVQWVVTSEIFPLEVRPAALGLGGAISGALTFAQPQSFLEMLCRLKYGAFVFYAGWVVVMTAFVAAFLPESKGVPIESMADVWERHWYWKRFVRPKPDKQADGPVRTQDSI >Et_4B_037103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14748083:14759889:-1 gene:Et_4B_037103 transcript:Et_4B_037103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HQVQHGDAFFWIGACPEASPTRTSPFEEQGKGQKQANLDHTPKKNLVTLSSGSDASPGNSPSRAGEADHETHLDLPGEKNDQQAKGKKPKVAESIAAQDQPGDTLEHQEVVAEEDMQDEHTVSKQLFFDLYVLLNVRYLRDADECDGDSIYLSGDVSCWEASTLNGFTFDSDEECDKLPEPYSSQMIRIMKVKINLSRKPNKKLTSQWFVILPLLSFDMLLFREGDAKGCRKGSLKGCKESETTIQTR >Et_2A_015270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10144227:10147917:-1 gene:Et_2A_015270 transcript:Et_2A_015270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NLLPLPRRRSPFRRRLNAVAVHHLRSRTLFLILRSTPRLDAVHASPLFTLHRLLSSAPAAAPSASSRRSFAAEDYLVSRCGLTPAQANKAAKKISHLTSDSKPDAVLAFLGGTLGAPAADIAAAVAMDPTILCSDVERSLAPRIPDLSGLGLSLDEIARLLPLAPQSFKNRTLGRNLEFWLKELRSFDKVLQVVRWNSGLLTICPDKVAKPNLALLQRCGLSASDVALFSMYSSRLLTANPKKLREAVERVEELGVKPGTRMFARTLVVIIFRSREAVARRIQLLQKFGFSQDDVRELLRKAPGVLGSSDQKVQRNVELLLKDVGLDVPYIARRSVLLMYSVERRLLPRHWLLDVLKEKGLRKAFDFYAAASMGEKHFVQKFVLPYNDLVPGLADGYASKCSGKAHNFSSLHASPVDSLHRLLCSVAATAASASSPRSFSVEDYLVSRCGLTPAQALHAAKKIPHLSSRSNPDAVLAFLGGTLGVPAADIAAAVVMDPKILCSDVERTLAPRIPDLSDLGLSLDEIARLVPLVPNAFRGRVLRRKIEFWLKELRSFDMILRVLRVNSGILSVDLDKVAKPNLALLQQWGMNASDIACIVCTHPGCSP >Et_4A_032434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10046332:10048155:1 gene:Et_4A_032434 transcript:Et_4A_032434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPLERRPMLRLASRFALVACLAVLASTCVAGAGMPPMFIFGDSLVDAGNNNYIVSLSKANYPPNGIDFAGHQATGRYTNGRTIVDILGQEMGLEGLVPPYLAPETTSDALLKGVNYASGGGGILNQTGSIFGGRLNFDAQIDNYANTRHDLIARHGEVAAVSLLRGSIFAVTMGSNDFINNYLTPILSAPERAVTPPAAFVSAMLAKYRQQLARLYLLDARKIVVANVGPIGCIPYQRETNPSAGTSCAELPNQMAQSFNRRLKALVRELGAGLPGSRFVYADVYHIFSDIIANYRSHGFEVADSACCYVSGRFGGLVPCGPTSHYCADRSKYVFWDPYHPSEAVNALIARRILDGGADDISPVNVRQLMTTT >Et_1B_014038.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:28260344:28261327:-1 gene:Et_1B_014038 transcript:Et_1B_014038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAEILYDTPPGFHFKPFDDELVGLYLLPRVRGQPDLFPGLIVDDDAAANTHPCELFQRHGRSDAVPAFFFVRTNGAARPDRRCHGGGTWKSQKCEREDPSHEMVLDDGEKIKWKRHNLNPHMVTGKNGGGSSGWVMHEYSITTHPSIKICRISFSGFGQKRKRVPDGYEDSEPVTQRARVAADGNGSATSGSGKMTFDQGFSTAHASADRGLLHDSSDAAMLAEMTNWEFQAEQVQTMNISAPQEPPPPPCSTTTSPSQESGLTQDGADLEPLELLSDDDITEVLDLVAEPLAMDQSSCGVPSIGDTDIVRWEGIDFDLSFSDS >Et_3B_030086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30327407:30331749:-1 gene:Et_3B_030086 transcript:Et_3B_030086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAPMLLTVAPGSTATLILRRAPLRVPRKGRSLRPARIRAAAAIGGEFGGLGRRRVVAGEFIERLRNVLPGGSWWRLEDGEEAGDGGGRAEGSGTTALSALRRMWVLVAADRWVVYAGFVSLVGAALAEIAIPHLLAASIFSAQNGGAVFYRNAKLLVVLCLISGVLSGLRSCCFGVANMILVKRMREMLFDSILSQDISFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQGVGALIYLLVLSWPLGLCTMLICATLSTIMLVHGRFQKRAAKFAQEFTASANNVAQEVITLVRTVRWLDKLYDVSFRQTVAYGGWSLSLNYLYHSTQVIAVVIGGLAIMSGKLTAEQLTKFILYAEWLILSTWWIGDNWSSLMQSVGARLKLENLEGRIQYADVSFSYPSRPTVPILGRLNLTLNPNEVVAIVGLSGSGKSTIVNLLLRLYEPTNGQILIDGVPLTELDIRWFRERIGFVGQEPRLFRMDVSSNIKYGCPREVSQEEVIWAAKQAYAHDFIMSLPDGYNTIVDDALLSGGQKQRVAIARALLRNPSILLLDEATSALDAESEHYVKSVITKVSSDSKAKRTVIIIAHRLSTIQAADRIIVMKNGNIVEDGKHSELIKKNGLYSRLARRQNDDLK >Et_1A_007093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30791688:30794192:1 gene:Et_1A_007093 transcript:Et_1A_007093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLDSAVPQMTDMDIKKNWSHHHTTTTEKLEFDYLRKITENFSKTQIIGTGGFGTVYKGKKDNGEFVAVKKLKNMTGLAMDDKLFLNEVKHMMDISHPNIVRLQRYCYHVDRELITHEGKELFVDHVHRMLCFEYMPNGSLEEYLKDKSRIPDWNERYKIIMGICKGLLYLHEDRGGAHILHLDLKPANVLLDKDMIPKIADFGQSRLYCLGEGNTHTKHIAGTPGYMAPEYVDSGKFRKEVDVYSLGIMIMEIVAGEMKYPRNDPLHVENGREGWRQHQEVLKNTNK >Et_8B_058836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:47366:51195:-1 gene:Et_8B_058836 transcript:Et_8B_058836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGEPLSTVAKLEIAQGGTTSVGKMTEEKMRSKVLAKWLKERISPLIHQNGAAMLHKGGYSSTGICGSIVRVTGSSGNLARPCLKELFDIDLKNLRVIVKYLQKMNPKSSNGAKRDRVCIYDDCASVLYQEIDYTTEAFNTNFFLLKISSVLIVPEITGTVPHLRFLLHQKFQNKSTSKATMDVQLGRYAVESYLEHILFNGFFDADPNIAIEDANGGMLIFYDFEMMESINQNIQEGLLEASYGVYEKDPDNAYGLVNNLSIAVLVTGEFGISAGA >Et_4B_039028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7094963:7099346:-1 gene:Et_4B_039028 transcript:Et_4B_039028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPNGLARIETNGKKKHENGVCHDDSAAPVRAQTIDELHSLQRKRSAPTTPIKEGAASAFAAALSEEQRQKQQLQSISASLASLTRETGPKVVKGDPARKGEAAAKGAPTPQAHHHHHHPAAPTIAVSDSSLKFTHVLYNLSPGELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRVVKDEAAAQELWWGKGSPNIEMDEHTFLTNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIISARAYHSLFMRNMCIRPTDEELESFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDINLARREMVILGTQYAGEMKKGLFGVMHYLMPKRHILSLHSGCNMGKEGDVALFFGLSGTGKTTLSTDHNRLLIGDDEHCWSDNGVSNIEGGCYAKCIDLSQEKEPDIWNAIKFGTVLENVVFDEHTREVDYTDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQKYGATGWLVNTGWSGGRYGVGNRIKLPYTRKIIDAIHSGELLTANYKKTEVFGLEIPTEIDGVPSEILDPINTWTDKVAYKETLLKLAGLFKNNFEVFASYKIGDDSSLTDEILAAGPNF >Et_1B_013137.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5835139:5840884:-1 gene:Et_1B_013137 transcript:Et_1B_013137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDFDGRSSATRGVEQAIVALKKGAHILKCGKRGKPKFCTVRLSYDEKTLIWYSKEREKRLSLSSVSSVVIGQKTTKLLHLHWPEKESQSLSVIYKNGEASLDLVCKDRDQAECWYVGLTALISAPYTPLLLVDSTSNRQINSRRIKSCTNSPPSYIQQRSRLFAVHDSRALTKVHSLYGSPRLIQNKFVLGNLDFSDSFFSPRQKAWSEVDSYLEKISPEGVNRVRNNFRDIKVAEKNKEQSITQMPKLKPSEGDAETDSKDIFVWGGVLGTMLDHGHVSRGNISLPRLLKSAQILDVQSISCGEKHAAIVTKQGQVFSWGVENGGILGHKTSDSISHPKIIESLASTPVKAIAFGAKHTCAVSISGELYEWGEGTHSLGLWNNLCSKSQWFPHKLIGPSDGIFVSKIACGQWHTAIICSSGHLFTYGDGTFGALGHGDTQSIAQPKEVESLRGLRAKCVACGPWHTAAIVETLGTAKSYAPGGKLFTWGDADRWKLGHTDKKAKLVSCGMSLTVALTITGVVFTIGSKEHGQLGSSQSKDTSICMVEGPLKTEFVKEISCGTSHVAVLTMSGKVFTWGKGTEGQLGLGDYVDKSSPTLVEALEDKQVDSIVCCSNITVAICLYSPISSKDHSVCSNCRSAFGFTRKKHTCHNCGSMFCNSCSSNKVISASLAPDKSNRRRVCDACFSELNKNAEPGKVNSGPKIQREETSSAEIRTYTPKLPRIFKEANFIMEKMALAQSPTQRNKELTARDQVRRQRWGQVDCPDQFKGALDSVPYWFTSKKQTVNISRVERMNDPVPQKVVTSLPHVSNDRREELELMEQILLEEVKQLQAQVITLEEECRHRSLQVQLYKRKVEETWLTVRDEVTKCKAAQDIIKVLTNQRNALSKKLSDGHELEDFRIKPSCINTKPVKAELPDPPDKSYVTGKFPHITGTRNQNNDGKMDMQSDSNSNAFVADESAVHQNCRRMCNGSSDYDRGTDTISAPSDSNGVIEQVERGVYITVVTSPSGKKGIKRIRFSRKHFGEKEAQKWWEENESRVFAKYNFMEHLAA >Et_1B_012535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32938794:32940790:-1 gene:Et_1B_012535 transcript:Et_1B_012535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAAFEERVRQMEDIRNQRLALLHAEKELQAAKSRLLAAKVAAARRLERRRLLLERRAADLASRSLAARANIDTSRARRLVVTRDLSSVKSEIEEVERREEDWDRFYEAKSKEMEEFQAVSRRFEAATREEVQRLRDLVSQLKSTLEELQASEMYSNNAEIAAAEARRSDLTAKKAKMDESLASARQFRALLQQQLQKAFRSQVGDQETAQTAVLS >Et_3B_031197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20336793:20337654:-1 gene:Et_3B_031197 transcript:Et_3B_031197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVAVALLLSLSLAVTSTAEDFDFFYLVQQWPGSFCDTKQGCCFPSTGKPAADFGIHGLWPNYAACRPVDAFDIVASQKKCWPQYCNDLDSLSLLEITDLLKTLDRDWPSLSCKNGGRGMDFWSYEWRKHGTCAGLSQHDYFEAALALKARHNLTAILAGAGIVPSHSETYFLSSIRDAITEATGFAVNLECNRGVSGEAQLFQVYQCVDRDGKKLVDCTLPMNNSKCTDRVKLPAF >Et_1A_006922.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29013743:29019705:1 gene:Et_1A_006922 transcript:Et_1A_006922.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARAPPVTLAAATVWNSERKHRFKRRPRGMVGLPTHPLLTHVLVLPLALLLIRAATTAMASPTAAADDGSDALLGLLQRVQAEAQRVTPPDAFDPKRYVDLPLLAGDLRAAEAALPRPAASLAEMEAYLRRYFGDAGSDLVPAEPRDFRADPPGFLPRVESREARAWALEVHALWKNLTRRVATDVAAHPERHTLLPLPGAVVIPGSRFREVYYWDTYWVVRGLLVSKMYDTAKEVTLNLVYLVEKYGFVLNGARSYYTNRSQPPLLSSMILDIYKATGDLDFVRRTFSSLLKEHRFWMSEVHNVVIMDEHGQVHNLCRYQAMWNKPRPESATIDEHLASNLTSAAAKEKLYHQVATTAESGCDFSSRWMRNSPDMTTLATTFIIPVDLNTFVFKMERDIAFFAKLIGENATSDKFLEASKARHIAIDSILWNSEMEQWLDYWIPTDGNSEGVYQWKPDSHNRNIFASNFIPLWLNAHNSEPVQFADEAKSVRVMRSLQRSGLLRAAGIATSLSNTSQQWDFPNGWPPVQHLIAEGLLNSGSEEAKIFAEDIATRWVRTNYVAYKSTDAMHEKYDVEICGKAGGGGEYSRQTGFGWSNGVVLSFLEEFGWPQDKEIGCS >Et_2B_022152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9888856:9889512:-1 gene:Et_2B_022152 transcript:Et_2B_022152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NLACTLLPDNFVLALSVPCRIGLTARWLHFPPSPIRLCRADVAFIGLNPPWLHLPREDDVAAVDHKASHVALRDILVRRRPQLFALAGEKEAPATVEVHNHEVRALEPFQRVHGLVHVAEGDGEVWTGAGACIPRHSEVMEVAGEVKQAKERACAGVTAAKVAEERLRFADSEPRLAHRCGDGEARLRRKAGQDLADSAFIKIVE >Et_4A_034826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6968940:6969746:-1 gene:Et_4A_034826 transcript:Et_4A_034826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTQLSAQNTVILFEALHTVATHAHKINSDHDLRSKLQELGSMTQMQDPPLLRLENESYQLCLTILQNIFLDRSPDEGSVEVVEGHLIGLCKEVLEVYLSTARPAQLSSGTQPLGHWLIPVGSSKRRELAARAPLVVSTLQAISGLGDSSFEKNLGQFFPLLAGLISCEHGSGEVQVALSDMFSTWVGPLWEECMFLED >Et_6B_050078.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:5416936:5417217:-1 gene:Et_6B_050078 transcript:Et_6B_050078.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILASITEPSSKAASAIVDKVTRTFTAERRREAAETAWKLARSTGKAAWIAGTTFLLLGLPLIYVMDKEMAVDDMIRNEEEGMRALLGTTS >Et_2A_016819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28571342:28573462:-1 gene:Et_2A_016819 transcript:Et_2A_016819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMAMMATASLLVCALVASTLEASSAWQTGEVVAAAQALATFSGRRNSSKLFALGQGLPTSHAWPTQMTEKDVCPQAQTHYTTRVHLIDLDRRPLLITEREIQKESSNSLLVQPAWQGRSQVQDNLCSGPPLRALAKAEHYMKLKYS >Et_4B_037398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19465857:19477423:1 gene:Et_4B_037398 transcript:Et_4B_037398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGKFQAFILVYAGMGWVVEAMQLMLLSFLGSLVQEEWKISSQDVSLLSSAVFAGMLIGSSTWGFVSDKYGRRTVLLFSTLLTTGMGFLSALSPNYLCLLALRFLSGLGVSGSHVFSSWFLEFIPAQNRGAWMIMFSLFWTIGTILEAALAWVVLSASSWRWLLALTTLPCFLLLPFFRIIPESPRYLCVQNRISDAMLVLERIANANQASLPPGVLVHHQQSIDGHGTLTSENEHLLPVREKECMSSKSGGINALRELVSCKLLRSTLLLWFVSFANSFAYYGLVLLASQLSDANRSCTSGLMLEMHQKDSSFYKDTFITSLAEIPGLIFSAVLVDWFGRKVTMWCMLFACCAFLGPLVVHQNELLTTTLLFGARAGGMASSTVLCLYSPEVYPTSVRSTGVGISSTIARIGGIICPLVAVGMLQSCHQMEAVLVFELVLCAAGVSSGAANMETYTIDDALTAMGFGKFQALVLAYAGMGWVAEAMELMLLSFLGPQVREEWNVSPENESLLSSVVFAGMLIGACTWGFVSDKYGRRTGLLFSTLFTTGVGCLSALSPNYLCLVTLRFLVGVGVGGSHVFISWFLEFVPAKNRGTWMIIFSGFWTLGTVLEASLAWVVLSALNWRWLLAFTALPCFLLLPFFGATSESPRYLCVQNRISDATIVLERIATANQSSLPPGVLTYHCETENDHKPLASENEFLLDAREKECTIDNAASSKSGSIEALRKLLSRRLLKSTLLIWFVFYANSFAYYGLVLLTSELSDANRSCQSGLKFERQQKETNLYKDTFITSLAEVPGLIFSAILVDWFGRKASMWSMMFACCAFLCPLVLSQNELLTTILLFGARACAMGSFTVLCLYAPEVYPTSVRSTGVGIATAIGRIGGVVCPVVAVAMLRGCHQMEAILVFN >Et_3A_026650.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:1268203:1268736:1 gene:Et_3A_026650 transcript:Et_3A_026650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPNSWLFSDNARYSTRARLLFMGLSFAIGILTFLLYLCIWHVCTRRRRSAAASSGDVEAPFPAADTGMSAAAIAALPTFAYEGGADEPAPECAVCLGQVVAGEKVRRLPKCAHSFHAECVDAWLRAHSTCPMCRAPVGAAAAATPTKIPVAEAVNVTTPTPAVVVPAAAEALPPV >Et_4A_035916.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8531764:8532207:1 gene:Et_4A_035916 transcript:Et_4A_035916.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPATEKAEKTPAGKKPKAEKRLPASKSAGKEVGDKKGKKKAKKSVETYKIYIFKSLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEATKLARYNKKPTITSREIQSSVRLVLPGELAKHAVSEGTKAVT >Et_4B_040056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8667432:8670892:-1 gene:Et_4B_040056 transcript:Et_4B_040056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAALGCADWSQVTRARVLACSRRLKHRGPDWSGLYQHEGNFLAQQRLAVVSPLSGDQPLFNEDRTVVVVANGEIYNHKKIRKQFVGKHTFTTGSDCEVIIPLYEEYGENFVDMLDGVFAFVLYDTRTQTYMAARDAIGVNPLYIGWGGDGSVWMSSEMKALNEDCVRFEIFPPGHLYSSAAGGGGFRRWYNPHWFLEQVPATPYQPLVLREAFEKAVIKRLMTDVPFGVLLSGGLDSSLVASVTKRHLIETEAAEKFGTELHSFVVGLEGSPDLKAAREVADYLGTIHHEFHFTVQDGIDAIEEVIYHDETYDVTTIRASTPMFLMARKIKSLGVKMVLSGEGSDELLGGYLYFHFAPNKEEFHKETCRKVKALHQYDCLRANKATSAWGLEVRVPFLDKEFIDVAMSMDPEWKLYDPKLGRMEKWVMRKAFDDEEQPYLPKHILYRQKEQFSDGVGYSWIDGLKAFTDQQVTDEMMKNAAQAFPHNTPVNKEAYYYRMVFERLFPQDSARETVPWGPSIACSTPAAIEWVAQWKASNDPSGRLISSHNDSTSNHGNANANGNGVAVAANGHGAAANGKVVNNGAEVAVAVAV >Et_5B_043566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1220148:1223202:1 gene:Et_5B_043566 transcript:Et_5B_043566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKILKKYNKAWQSSALVVRRLLRWCRRLKYRCAPVAKSPSKDIGILCFEFRLNREIPHILRSIDPSPVGYQAIVAGVLPQQPRDVVANAYHGELPAAATC >Et_5A_041157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18908022:18911335:1 gene:Et_5A_041157 transcript:Et_5A_041157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAPPVSSTPRSVEEIYKDFTARRTGLVRALTADVDEFYGFCDPGESRSDLSPERFAFGGASIGKVLIGRRLVCWVAEKENLCLYGLPNGRWEVTLPAEEVPPEMPEPALGINFARDGMNRRDWLSLVAVHSDAWLFSVAFFYASRLNANDRKRLFSLMNEHPSVYEAMVDRKQRENKSGVNNSGKSRHSTKRTNDGQTKNSRPAVVDDGYGDDEEHGETLCGSCRGLYNATEFWIGCDICEQWFHGKCVKITPAKAEHIKHYKCPDCSSKKSRQ >Et_10A_001472.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3783786:3788334:-1 gene:Et_10A_001472 transcript:Et_10A_001472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGSFGFRRRLLARPAPCPLALSPGIWTRTYLSLPRWLAFTSPLSLSCGRGLTRSVKDILGSQTFGGVSTLRKILGRFGTRLEYGRELPPLVASQPEFDENCIIVLLNQSIQRIPGPENRVSRGHYDGSWHDDHSSDHTKDAYDESDNEDIDRAIALSLAEAEEDHNKGKAIGLVLDANTRLVMDVFLVVWVLFGILSASGVLLAISPYLSMSLLCTKISRTTGPAIKSFFIQSIPTNRNGLIEYRAHPFWMQKYCPSHEDDGTPRCCSCERMEPTDIKYITLDDGRKLCLECLNSSIMDTPECQHLYMDIQEFFEGLNMKVEQQVPLLLVERQALNEAMEAEKNGHHLPETRGLCLSEEQIVRTILRRPSIGPGNRIIDMITGPYKLSRRCEVTAILILYGLPRLQTGSILAHEMMHAYLRLKGYRNLSIEVEEGICQVLSHMWLESEIITGSSDNVASSSAASSSSSSPYSTPTSSKKGAKTEVEKKLCAFIKRQIETDPSEAYGDGFRAGNQAVERYGLRRTLDHIKMTGSFPY >Et_8A_057238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22600422:22605156:1 gene:Et_8A_057238 transcript:Et_8A_057238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKTHRFSKGHPLGFVPDYRHGLETVGESKGLGSPARIDSGSSCAPSKRKCTSLKTEEGEGAFGLNVPREVFSLPRMTAVDRKDLEMRLREELAEVRALQNRLVSRGAAASMNGGATSAPGGDVHLLKKKADKLKRSNSVQSGRAVPPLLDPPVTSSTNYAASFKQCANLLKNLMTHTWAGPFLEPVDIVKFNIPDYFDHVKEPMDLGTVQKKLNAGMYPTPQDFAADVRLTFSNAMAYNPVNNDFHVMAKKLSKDFETRWRLIEKKLPQPDEKPSAREPTKKNATKRDTNEKEDPTGKKPSKKVASKKDIFQKEDLLDNPVLLPKKRKASPVQDAPLAVDVLPTGKEIMTDEQKVDLSTRLESYGALIPEHIVEFIRSHAPPDCDAGDEEMELDMGSLTDDVLFELQKLVHDYDKVNQSTGVTKEDPHEAESRSQYEPFNSSGHHEEDNELVDEDIDIGENDLPVSTLPPVVFEDETAERSSKHSTSSSSSSDSESSSSGSDSSSSSGSDLDVKAPQQNQDPKKLLIHAFDSYSLNTLNPPEQSTDPVTIPADGEGGNVSEKQDSPEKEIRRALMRGRFADTILKAREKTLDQVTKNDPEKLRREREELERLQREERARLQAEAKAAEDARKRAEAAAAAEAAAEAKRQREIEREAARKALQEMEKTVDINEGSLFLKDLEMLGNATGEQLPSSVGEMSPTHMPEALGFELGGNALAKLGLFMKNDEDEDEEGDSSDGPAVDVEEGEID >Et_1A_004669.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:29657228:29657551:-1 gene:Et_1A_004669 transcript:Et_1A_004669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSTWTKRSTRQGMAMAPWCRKPYSRSASSSSAPKSGCLRWPSGTAKRRCSSPSSPTRTVTHPLGTICCGCCCCCCLCPCMVDTGRSLPGFLVGCSRRGESKEETS >Et_10A_001258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22734640:22736887:1 gene:Et_10A_001258 transcript:Et_10A_001258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNQGSPPWSNLPSELLGMVFLRLPARADRAFFSAVCRQWNSAAQDCLLPLPSPMPWVVLPTGSVVSFPHGETFHLPEGTRYHNSCGEWIMLSREDGSCFLMNPFTKATMPLPSLSSYNHYEEPVKVDDDCIIPDHETRGPWMYNMDSEEISVLTLTVCSTRLIAAIVSVGQLVSAYEECRWLTHMVWFHGKLCAIDVDTEDLLAFHIVDEHDSDEPRISRVERIIEGDPPLPEHYVTQMQYLLESHGTLLMIRRNLSYSFLVAGTSDFEVFEADFDSYLWAEVKSLGNDHTLFVGRGCSRAVCVSPYDLSHDSIFFIDDYTDWLWKKTTTTYGVYDMKDGKVYSPSPMVSWKSGNVPATWLFSQVSTLVLKTQTCNGALLEKLMTFDND >Et_10A_002046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20364121:20371659:1 gene:Et_10A_002046 transcript:Et_10A_002046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVYPFTSQSWADAEPVDPKNHLEERCKPQCVKSLFDYERCIKRVEKDETGQKHCTGQYFEYWSCVDKCSPPLTWKGSVLSAAEEWDEPDHEPCAQKEPAKSVTRDASGLDQDDPLTHPSPVHLQNNDYSNQATCIPEPISLILQNLTSWGPVVASKRRHDH >Et_7A_052403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7697332:7703542:1 gene:Et_7A_052403 transcript:Et_7A_052403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLRALLLTTVLLVAVAPASAKDYTVGDSSGWTTGVDYTAWAKGKTFHVGDTLCKDTYTNAVTSNVRSSASAFKYNAIHSVAEVSAADHGACSASNPLRSLKDQSTTIALTNPGTRYFICGTTGHCAAGMKLAVTVSSSSVPSTSNSTVRSAKPTKPAGNGESSSESDTASAADATAAPGSSSSKLSSKTSGAAGSRVRIAIVLLLVAMGLPGVDYSAWAKGKTFNVGDSISFQYNAAHSVAEVSEADYKSCSASNALGSYTDQSTSIPLTKPGTRYFICGAAGHCAAGMKLAITVSDGSSAPATATASPTARSTNPAGSDTSGATGSAAWLATGLLLFWARACLSSMAPPARFVALALLVVHVVARGGDAASYNVGNSAGWDISADLPSWISGKTFYVGDDLVFSYSKYHTLAEVDEAGFKNCSTANAVLSRSDGNTTVPLTAPGDRYFICGNKLHCLGGMRLHVPVTQPPSPAGAPAVAPPQADPGAGLGPSTDDDAGVPRLFLGGSHRAAVGPLLMLTWLCAVAALLVS >Et_10B_004294.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:3090458:3091258:-1 gene:Et_10B_004294 transcript:Et_10B_004294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGEVSHFSHQQHRLRLEHLDTPFRCDGCREVGIGARFRCPARGCDHDLHRQCAFPLHPSSPPPPLRHPFYPRCAFVFLPRPPPGPPGSSRYCNACGRDVAGYVYHCRACGFDLHPCCAALPHALDAGGGGVRLYLCPDSRGAAACHRCGHRGRSWSYRSQCGSYSLHVACVVDMLVESWHGVGRHKSGAGDVFGGGYRVPVIRGAARSSHASRGGSSSYWGRRKKGKVKRCCEIAGFASQVVISAVLGDPSALIAGVIGSLIAL >Et_4B_038723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4294567:4298129:1 gene:Et_4B_038723 transcript:Et_4B_038723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTVSDGGDDADIGSRTSSHRRMDSTQAHHGYTVGAPPKKNVFAEFSDAIKETFFADDPLRQYKDQPRSKKIWLGLQQVFPVLDWGRGYNLGKFKGDLIAGLTIASLCIPQDIAYSKLAGLPPQYGLYSSFVPPLIYALMGSSRDIAIGPVAVVSLLLGTLLQAEVDPVKNAEEYRRLAFTATFFAGVTEAALGFLRLGFIIEFLSHAAIIGFMAGAAITIALQQLKGFLGIVNFTKKTDIVSVMESVWGSVHHGWNWQTILIGASFLTFLLVAKYIGKKNKKLFWVAAIAPLTSVIISTFFVYITRADKQGVAIVKNIHKGVNPPSASLIYFSGPNLMKGFRIGVIAGMIGLTEAIAIGRTFAAMKDYQIDGNKEMVALGTMNIVGSMTSCYIATGSFSRSAVNYMAGCKTAVSNVVMSIVVLLTLLLITPLFKYTPNAILSAIIISAVIGLIDYESAYLIWKVDKLDFLACLGAFFGVVFASVEYGLLVAVIISLAKILLQVTRPRTALLGNLPRTTIYRNVEQYPDATKVPGVLIVRVDSAIYFTNSNYVKERILRWLRDEEDQLQEQKLPKIEFLIVELSPVIDIDTSGIHALEELLKALEKRKIQLVLANPGPVVMQKLRASKFTDLIGEDKIFLTVGDAVKKFAPKALDSV >Et_1A_008182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:503332:505789:-1 gene:Et_1A_008182 transcript:Et_1A_008182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGRGGGRGFGGRSDGGGRGGGRGFGGRGGGRGFGDRGGRGGGGRTPRGRGGGRGRGGGGMKGGSKAVVVPHKHAGIFISKSKEDALCTKNMVPGESVYGEKRVSVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIHIGPGKRVLYLGAASGTTVSHVSDVVGPARILALNASYFLKNGGHFVISIKANCIDSTMPAEAVFAAEVEKLKADQFKPSEQVTLEPFERDHACVVGGYRMPKKQKA >Et_8A_056966.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19581750:19587219:1 gene:Et_8A_056966 transcript:Et_8A_056966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPHQLEHDEQQTTGGGGTPSSTSATMTTTSTTTNPSGAVVHPTTSSPPSAADAVRLRPAAANSFPLVLKFEEVVYKVKLGPPAAGWCDKLSSAASMAIRGRVGGSKSKKTPSAAAGSPSSPSAREKTIISGMSGVVRPGEMLAMLGPSGSGKTTLLTALGGRHGGRNSVLSGKITYNGHPFSGAVKRRTGFVTQHDVLYPHLTVAETLWYTALLRLPRALSAGEKRAQAEGVARELGLAKVAHTAVGGVRGVRGLSGGERKRVSIGLEMLTDPSLLLLDEPTSGLDSTTAARIVGTLRRTAAEGRRTVVVTIHQPSSRLYHMFDKVLLLSADGRPIYYGRAADALAYFASVGFASPLSVNPADLMLDLANGIAPQTTAANGGDEARTAVAGGGSESEHKEVRAKLAAAYERHIAPAVKRDICAREPALPPPPPQMSTRSSPSEWTTGWWTQFLVLLRRGLKERRHESFNKLRIFQVLSVATLAGLLWWRTPASHLQDRTALIFFFSVFWGFFPLYNAVFTFPLERPMLLKERASGMYRLSSYFASRAAADLPMELGLPTAFVLILYWMGGLDPRPGPFLLSLAVVLYSVLVAQSLGLAVGAVLMDVKQGTTLASVITMVFLIAGGYYVQHIPPFVAWLRWLNYSFYCYRLLLGIQFPNGGGFYVCDDGAGKLCPVAEFPAIKAVGLNNHWIDVCVMALLLVGYRVVAYVALDRLKPSHHHGLPHRRRGLRAAHLALRRVAQVAQLQLLLLPTPPRRRWILRVRRRRKLCLVVEFPGIKAVGLKNHGIDVVCVMALLLVGYRVIAYVSLDRLKPR >Et_10B_003768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5724166:5724926:1 gene:Et_10B_003768 transcript:Et_10B_003768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVKQKVRRQYVIKTNPAVWTRVITVRHQKSFFCNMVTKPPRRTTVVHETTIKARPLPAIDKYPMNAA >Et_9A_063124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1077728:1097474:-1 gene:Et_9A_063124 transcript:Et_9A_063124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWERIYYRGLPGGRRIDNWGVPEPACLRRREGEHQRQQNQQSRGSKLRGAGSLIEMTGPKRGIVMISDVLFEFDMKVRIGEKEEDDIQLIDGVILRTILMATHPVTHRISGNCGGAVDISLALVESGVEAIIEVIISEVQSAFDLSISSFLSEWEFKEFQLFNGTVGEICAGTENCYA >Et_3B_027516.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:24449222:24449946:1 gene:Et_3B_027516 transcript:Et_3B_027516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGLRGSRQQPREARQPRQDQEQQESKGKNKVVFSSFSPLAWLSRLTAKNSGAAAAKPEQTTPASAKSTSQAAASFPPHFHKPASTSPSSASQSSPAASSSSRASAALGGTVPRRDGAEAVASDIAPRRRSVGNDDTTMSDAAAEARRLCRRRHYSVGGDRDLLPPLGHLVSFSRSASSPKPPPTPAPVRTLTPTTLPPLLSEDTDEDEKRPR >Et_4A_033803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2729399:2732046:-1 gene:Et_4A_033803 transcript:Et_4A_033803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLISTPVSSSPPPSQTRIRLPGGSPFLPARRVAAAAGGLAPWPRLRWQSPRAGAVALASPLRHGGLSAAPEDAPPKEPTAAARPPWKLLGTLLPKASTAALFLLMTLISGTLHSTVLQPAYASVQPAAKTGGSLLTNELLSSGWAGFFAGCLHTLSGPDHLVALAPLSIGRTALEGGLVGALWGCGHDAGQVIFGLLFLLLKERLHIEVFRAWGTRVVGLTLLIIGVMGIREASEVQESLVLEGINSGMTGSEPLQAPSTPRKKKVGFATFATGVVHGLQPDALLIVLPALALPSRIAGAAFLGMFLVGTVFSMGTYTAFVGSCSEALKEKVPRITEKLTWAASLVAIGMGLALLVGQFFGFSLY >Et_1A_004787.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5005715:5006005:-1 gene:Et_1A_004787 transcript:Et_1A_004787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLILILDNLGSQTFETFWWDLSNCDQRVELLLSVFLVVSLAGNSDTDSPWHTPDTTAPDVLVELHINPDISCAHGLLSKLPDLLDGVWCLLLEGAA >Et_7A_052025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3883914:3885697:-1 gene:Et_7A_052025 transcript:Et_7A_052025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VVLQPDRFLSELTSMYERSTEKGSVWVTMKRSPLKGKAQLQKMEKKGHEVEYRCLVRASDSKKSISTSVSLKEYLKFQASYATVLKAHMHALKKRERKDRKKAAEAEKVPENAPKKQKKTFSKKSSGSKS >Et_2A_018558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32793493:32798296:-1 gene:Et_2A_018558 transcript:Et_2A_018558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWLPLLRHLLASPAANAAAFSSSPSSSDCPISPPSAVALLRLLLSPALTLPASEPGDANPSIVFQILPPLLQSQALSFLSSSASLLDRHLLRALAARILSASSGRYDFWVRRGARHLLDRLPVEEGVRSVASEEFFDGFHEPPPWLKEAAARARPVLPWLPLNCRSTMTSGIYGGSCLGCEDDFGGLGLENMGLDQDEDSEMQEAECVPPPPAPPLGDSVVQRVLALRKEIVTVESILDSQRVAKDLQDICVESRNAEAVLSLVQPWEADDDTLRVLLSNLVLKEDGVNGNGPVLVLCSVVLPKLLELQRPASSVLISSVLDRCKRHPTAAMEAVLFPLVLRKGGLNAPQCDVLTHVVQDCMHPLHVTAFCHRLLSGEELERRPICMPQHYENIDSHLVWTESLFALFYNILNQDICLTPSTVGELLSVIDERASEFSSPITDVVAGLSAFVRPIHSRIHSPMEEEKSPSPIPKPFEEPVVEEMLPELEEEPQYAVEEEDEPETQTTADLLGLHEVNPAVAALEENNALN >Et_9B_065009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:20383070:20391312:-1 gene:Et_9B_065009 transcript:Et_9B_065009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMAGDASTSIAKAVPRSPPPTGGSAAAEAPVLIFVYFHKAIRAELERLHAAAVRLATDRAGVVAALESRCRFLFSVYRHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGENDLFVHLFSLLQQDVQNDAGIRRELASCTGAIQTSLSQHMSKEEEQVFPLLINKFSHKEQAALVWQFLCSIPVNMMAEFLPWLSGSLSPDEHQDIVDCLCKVVPEEDLLQEIVFAWVGGKTLRKAAQDFVAPTPKCSSRCQDYSNQTDKHSSSPEHSKVGKRKCTESSHSHLVAHPIDEIMHWHNAIRGELGDIAEETKKIQQSGDFSDIAAFNIRLRFIADVCIFHSIAEDQVIFPAVDGDFSFVKEHAEEERRLNKFRCLIEQIQISGAKSTAVDFYSELCSQADQIMQKIERHFEDEETMVLPKARINFTEEKQRELLYRSLCVMPLKLLEQVIPWFVAKLNNGEAASFLQNMQLAAPSSEIALVTLLSGWACKGRLEDTPNSGKFACLKSSLDGDEVIKCQASCPSSVGNEDGSRPAKRLGHAEPSADTKVYPQNADIEASPCSSRSCCIPGLRVENSDLGVNSFATTKSLHSRPYSYFAPSFSSSLFSSEADAEFSGPGNISRPIDTIFKFHKAIRKDLEYLDVESGRLIDGDESCLRRFIGRFRLLWGLYRAHSNAEDMIVFPALESKETLHNVSHSYTLDHKQEEELFKNISTVLSDLSLLHEDLSYPLGAADKAATSHIYSNNENDWARKHNELLTKLQGMCKSIRVTLANHVYREELELWPLFDKHFSIEEQDKIIGRIIGTTGAEVLQSMLPWVTSALSLEEQNRMLDTWRQATKNTMFDEWLNEWWNGPTIESNPSNKTSTPEESHCQENFEHSDEMFKPGWKDIFRMNQSELEAEIRKVSRDSTLDPRRKAYLIQNLMTSRWIAAQQKSPQSSAEDRENCTGIPGCTPSYRDADKQIFGCEHYKRNCKLVAACCNKLFTCRFCHDKVSDHTMERKATTEMMCMVCLKVQTVGPNCQSPSCNGLSMAKYYCSICKFFDDERTIYHCPFCNLCRLGNGLGTDFFHCMKCNCCLGMKLMEHKCRENLLEMNCPICCDSIFTSSAAVRGLPCGHFMHSACFQAYTCTHYTCPICCKSLGDMTVYFGMLDGLLAAEQLPEEYRDRCQDILCNDCEKKGRSRFHWLYHKCGFCGSYNTRVIKTDTVECSTSN >Et_5B_044323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22575350:22576082:-1 gene:Et_5B_044323 transcript:Et_5B_044323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEPVRYSVKASATRPSMAKRPFQSSASALQNPLLLASALSPCSSGASDAADSTAAETANQASPDPLPACVRRPSPRVDASTASAETKPTMARRPLMRSGAGPLKASASHRPGLLDLGLGVGTTCHGELSTVLWALTISARRRGASATAGDRARMLPAATRELIIVEVITVVAIGDLRARRASEAEVESQQEQRRCQLP >Et_5B_045457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23660520:23663207:-1 gene:Et_5B_045457 transcript:Et_5B_045457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPPKRTMTAAGLPDDPLVEILSRLPVKPLHRSKCVARSWRDLIDDPSNRKKLAQTLEGFFLMELEDGDDDERHFSFVNLPLRSVPCLDIDPSLSFLTSLPGIETLNSLDSCNGLLLFEHRRKRKTRDVLGYIVCNPATKEWGTVPTCDCPPPKFGYYKSCTYLVFDPAVSPHFHLVQFREESVTPHAYSSETGTWGHIQIGRNVQQQEQGQLEAWSYQGLTTNRNPWRAFVNGMLHFIVNFPANVVAVDVQGKTQRIIPVPKVAGWNPGYVAQSQGRLHYIKEFAHFEALSIWVLKDYGTHEWVLLDTLNFLELFGTMSYIVGGKMELRFVAIHPDRNVVFLAQISKGQLMSYDMDSKQIMRKSRLEKFKPSIRLRFFIMQLS >Et_5B_044828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6318557:6319948:1 gene:Et_5B_044828 transcript:Et_5B_044828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLPLAARPPVPSHDAFCSCFPIARGKGAWCTGRLRQERSGRAGPFAVAAAAGTGRAVAPFLAAALLLAAAPSAFAQPASEGAALFRKACIGCHDMGGNILQPTEAGRRICCRNGVATEEELYNITYYGKGRMPGFGEKCTPRGQCTFGPRLSEDDIKLLATFVKSQAENGWPKIEGGED >Et_7A_052611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9810201:9811313:-1 gene:Et_7A_052611 transcript:Et_7A_052611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQWSHRSCALPLLVAVFLLLLTFRCSLRHAGQPRSSHAAAAPGEDGDVAGSYDYDEATLMELAAADPAASAVLRAAERLLEGNLSRSPPEHRDAAVRGLRDWLAKQRRFEPGVMAELVDLIKRPIDIGILLAREHGALIDGHELVVRLNNAPAGGTGRLARHVGARTGLAFLNSNVLSRCAARSGCQYCRAAYGGRVPILTYMCNAAHFVEHAVCSADESSSAPVIVTDPRLDALCARLVKYYSLRRFVRETGRPAAEWARRHEEGMFHYSSGMQAVVAAAGVCDRVSLFGFGKDPAALHHYHTLQRGELDLHDYEAEYDFYRDLQARPDAIPFLRDSGFKLPPVVVYR >Et_7A_051825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25245723:25249529:-1 gene:Et_7A_051825 transcript:Et_7A_051825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAAVGWVMSALGWIVSPVTTRLLNDGFALLDFDEPEKLRDLEARLLPQLALILERADKIPLGPQRARVELWAARLRAAFYDAEDILDLAEYHRLREQVLCQSGNKSALDRAKCMFSGKTRKLKKVLKKLEKLIEQGSQFVPPLTSTISSGNGTSNPSNDVSGIVTTSTVVPRIIFGRDRERDEITRLLHETAGDFEPSSSDSKCYSVIGVYGIAGSGKTTLAQYVCTYERMGTYFSPVMWVDVSQNFSVAKIYQEMLEAASYGESSREFSNLDTLQMKLEEQLRCKRFFLVLDDVWAANDANVQYKLNQLLSPLKVGKKGSMIVKKLKRSPLAGRVIGARLRQDLRPTFWRRFADQDVLPDTIGVLWWSYQQLGEQVRRCFTYCSMFPQGYMFSRDELVDLWIAEGFIKATNLNEQMEIVGQNYLNELVFCSFLETKNYVYGRKDEWFTIHDLLHELAMKVAGNDCFRIEGGEMKESPPDVRHLFVCTKDQMKITEQICKLEKLRTLIVITNIGGLGITVEELEGILKNLKKLRVVHVDIEGYMAAIPACICELKHLRFLRIRNPLSMKVHLPENLGTIYHLQILELCGSGVLEFSKVKKMSHLTSLRCIRYSGFSFDNSDVSGFPGLGELRSLRELSDFRVRKEKGYELQQLKGINNLSGNLRISGLDCVESKEIALEAKLTEKTHLTALSLEWSPCSPQQHSLSRELQVEILEGLCPPSQLTELHIWRYGGWKCPSWLSQDQNGLISRLQHLELSQCYNLEALPEMGDLFLCLSHLKLVSLPKLRKLPDALPKSLEIQRCGALVITCGEDVNMIRKLFIERASQIELSLNTPVHPEEIDIFADEQPDRFTTILCDIFGRCGGLPARLIRGHITEEDYSRFMLPASLNRIIISYCAITDTVLHNSLRDSTSLDSLNLRGLPFLTAIPSEVMRCLPKICDLSIDECVSFTLLQGLNHLTRLQHLSITKCPNLGTPEDKVCVLHGVAVDDISLVPQLLSREGCSSLWSLRIDESEVLREEEKEILQQFHSLTSLNFSCCSWNSLPENLATLTSLEHLHLDYCRNIRSLPTLPASLQSFELTDCDPSFMKSCQKAGDPNWQKIAHIPVKRFSP >Et_7B_053807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12350415:12353274:-1 gene:Et_7B_053807 transcript:Et_7B_053807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERERDEGEAAKAVTGGPLQQQGLVLDKAEGEKDEKGGGDEHGGELVDEEKEEQEEEGHEQWSEIRLAIAELSPAKRKHGGVKPAADSPPPTLQFLGLSHLLLRVLDKIGPTMAVLRLDVQRNIERLQESYLLDPCKYSTLTEIVEKEVKDGTARKVDSCSRAVLWLTRSMDFTIALLQRLGDDSNQQSLAQHVEAAYNVTLKPWHGWIASAAYKIATKLIPDTKAFTSMLVGMDQDCAVPKDEVAKLALLLQPLLDDIHSMMAKFRLDRLKST >Et_4B_037128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1504512:1508602:-1 gene:Et_4B_037128 transcript:Et_4B_037128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVGKAKQYASVLDKPLSRGRQEVSLSAYAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHREKGNRRETRLLGILSFIHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGAFNCGAFVAGIVKGVLDNSGFPAVVTAHFVPIEGQHRPRTTILIKFAEESTAGRPFQSHLRSLSDTEVAACHWEK >Et_9A_062246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21070821:21080025:1 gene:Et_9A_062246 transcript:Et_9A_062246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIDYRSAAACKNRMIQEMAGERLCDDAVVEILVRLPSESVLRCRAVCKRWRRITTDRSFLAAHAARRPREMLIRTQSQTLSTMPMSLNPRPPDGKGRRGYLCDTIVRNENGITIGSFGLVASLDGLLVLRQGRGLYIICNPTTRQATTLPALVPAPCVSASACGFYFHASSGEYRLLCHGVDGEDWKDYYYVLSAGATKPRRLMRAPFEYGTGNPYERGYGSPVAHRGVLYWLCLHPKATREMLAYDTASETFRLMPRPPCDGLAALLELDGELCAAAAVHRDTMLHIWVMEDYEAGSWALRHQVVLPPSTKYFRAGDVGVSMAVSAGDGAILIGHPRLPPVVKLCDLRAKRMRREVEFLRLTPTMLLFSESLVPHAFFQSSSASRRSRCSAAPDAPPYSTKYDHPVALRGTLHWFSKHPEADRTGKMLAFDTASETFRLMSRPPERAGGDTSRGTLFELDGELGVVAVQRNETSLDIWVLRDFEAERWTLHHRSRCRRRYAAMPSG >Et_1A_005583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11691115:11693931:-1 gene:Et_1A_005583 transcript:Et_1A_005583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGWNHAAGLAGNGGDHAPAVAVHAANGVAENGGGQAPAAANGDVENGGVPDLAPAVAAANGGNAALDQAAGAANGGNAALDQAAGAVNGGNAALDQAAGAVNGGNAALAQAAAAANGGNAALAQEAAEENEAEGNGGAVVLDLSVVPEAALHELLVRLPAAMVARGRAVCRLPSSASTTSAAPSAPGRSSSTACHQLVPLDDRVRVHLRAADIRRSESYPVFRFAHLDPALPFVDPRVFRIEGSCDGILLLSYDDRLYACNPCTRRWARLPPLHRLGDIVGFYARDLCDGREYRVLYHSGRDEGDCRYWILSFPEHAVRHIGRPTNLQAIDLVLTGGICPSFEMPPVMVRECLHWRPQLYQDNSYLMVFDTVAELFTWMRPPRVLEDNRWLQVEGEQLLEINGRLAMIVVAPTVVGVWVLQDYVGQVWVYEYQIALPVAAIQAHHGYDYEEAAISAAVFAVSEDRNVLVQCTHAMLQGDVIGTVMQIYQLAGNCTILSGYMLQESLLLHAFLPLRHTDAHDECDEKGLSQEEEVVGNSNLNFFVHGNVVYAADLQGHRHALGDPGGLYLKKKN >Et_3A_024946.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25585931:25595350:1 gene:Et_3A_024946 transcript:Et_3A_024946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQDDGRGSERAPLPPDPAPRRAPARLHPLPLLVAAAFAASYHFLLAAPAPSYYQSLFLSLGSNDTAAAHLRALTARPHLAGTEANALAAEHVAAALSSLSFPTRLTPYYVLLSYPVHRSLSLSAPGRDAVAFALKQETYPGDPYAGVSDEAVPTFLAYSASGSVAAEVVYANYGRAEDYAYLAARGVNVTGKVAIARFGKVYRGDIVKNARAAGAAAAVIFTDAKDYKPGKAFPDGPGMPPTGVQVGSTFKGVGDPTTPMWASSEGCERLSVAEAMASDDMPGIPALPVSGRDGEAILQLVGGEVAPEDWQGGDGAPVYRLGPGPAVLNLTYTGNETIATIQNVISVIEGKEEPDRYVILGNHRDAWTFGAVDPNSGTAALLELAQRFHALQKKGWKPRRTIILCNWDAEEYGLTGSTEWVEENRAMLSSRTIAYLNVDCAVAGPGFYASGTPQLDDLLKDASKQVPNPDNGTQSVYDLWLASNSSPLIGRLGGGGSDYSAFVQHIGVPSVDIAMGPGYAVYHSLYDDFIWMEKFGDPLFQRHVAVASIWGLLALKLSDEEILPFNYSCYARELENGAMDINERVLGMPVSLSPLHESIKEFKRAVLKVDSELKIYGPSLHNDYGAEVYPGVDDSIQTAKRINTSESWQSVQHEIHRISRVINQAALVLSGGLTVFEPV >Et_8B_059856.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3393693:3399335:1 gene:Et_8B_059856 transcript:Et_8B_059856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAPSGAAVGSAMEEEQQAAGLGILLHISMLVLAFVLGHVLRRRRLYYIPEASASLLIGLIVGGFANISNAQKSTSLAPKPFFSNFGAIVTFAIMGTFMATVITGLLVYLSGLIYLVYRLPLVECMMFGALVSATDPVTVLAIFQELGTDVNLYALVFGESVLNDADYVIFEGPCFCPELFYGGFEYATLGIENLHNLESCLFVLFPYFSYMLAEGFGLSGIISILFTGIVMKRYTFSNLSEDSQRFTTAFFHLLSSLAETFVFIYMGFDIAMERQSWSHIGFIFFSIVSFTWPGAANVFSCSYLLNLVRPPHRKITKQYQLALWYSGAMAFALALQSVHDLPEGHGQTILTATISIVVLSVLLIGGSTSTMLEALHVVGDSNSDNHHPLEFSKVVNGKLLLGLLLLPLKDNVAYLRDSFGGNNVGDIGLHDEEGSTTASRLKLKLKEIQRSAPSFTALDKNYLTPIFTSQNDDSDDEDEDPS >Et_10B_003861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6897676:6908560:-1 gene:Et_10B_003861 transcript:Et_10B_003861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKEKDEDILIYFLWTGWKRSLFTGAGDASPGPLTLASALFPSDADASGGGGNSSSSGAGATSFTQLLTGSYAPQQQHEAERGRGGGVARAGPALSVAPPASSASGASVFTVPPGLSPSGLLDSPGLLFSPAMGGFGMSHQQALAQVTAQASHSPLRMFDNMEQPSFSAAATSSEAQQHMNSAADMAGVSDMRMVTSKNDNASFQSAEASQRYQVNAPVDKPADDGYNWRKYGQKVVKGSECPRSYYKCTHPNCPVKKKVEHAEDGQISEIIYKGKHNHQRPPNKRAKDGNSSTAEQMEQSNDNTSGLSGAKRDQEAIYGQSEQVSGLSDGDDMDDGESRPHEVDDGDSENKRRNIQISSQRTLSEPKIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTFASCNVRKHIERASSDPKAVITTYEGKHNHEPPVGRGSNQNAGMSQQKAQNNQASLSRTDFSNANQRQLGQMGILQFKREEYRSCSLPNSTSIVALGICIGQRKKKEERSDSHVLLAFFQLHLHELTRTAGLAGLIHHAGDDGVKHADHGVDVGDEEPLEDHRRGDLAAAAGGGRVVVRPHHPELEQRLGGGGGEAGGHGDGGGGVTGAEQHEDRLEHGARAAAALGGGHHRGQVAHRGGVGERDRTITPAAESASTALPPEPETETEAEAGGPGPSRCSASAALNAASSAANTAALASSSARQLTSCVRAIAGYDDRTISRTTAIAGARRCRCDRRCDGDNGGEQQKCTAQALARRRKESEKGRAARNAQARWERRWLRRKRSCDAGGKPAATRTRRRRRERRPDGASSAGWDRERSAAAEKGSARSDAAEEAEW >Et_1A_006592.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2558819:2568685:1 gene:Et_1A_006592 transcript:Et_1A_006592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPASRHRKAASPSAAAAMAAGAKGSHQQQHPQQSGSPTSTTTTPTSSSSRLTPELSLDGPASPLFAGLDEESVPKENVTVTVRFRPLSPREIRQGEEVAWYADGDTVVRSEQNPSVAYAYDRVFAPTTTTRHVYDVAAQHVVSGAMEGVNGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDPQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPGGESNEEEAVTFSQLNLIDLAGSESSRAETTGLRRKEGSYINKSLLTLGTVISKLTDGKATHVPYRDSKLTRLLQSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKRIEIQASQNKIIDEKSLIKKYQTEIRRLKEELEQLKMGIITGTPSKDTGEDNIILWKQKLEDGNVKLQSRLEQEEEAKAALLARIQRLTKLILVSTKATQTSRFSPHPGPRRRHSFGEKELAYLPYRRRDIVLDNESNELLLPTEGFGLSLEDSSKEEKKNRKGLLNWFKLRKRDGGASILTSSEGDKSSLTKSTAPSTPIGDSVNFHTEPRISNSFVGEASADLFSIGHGEFPSGSIPGEETPLASGKTMDHVDLLREQLKILSGEVALQTSVLKRLTEEAGRSPKSEKIQMEMKKISDEIKGKRHQIASLEREVADATLGSQVKVDKLELSPPYADLLAQLNEKSFELEVKAADNRVIQDQLNDKISECMELQAEVTHLKEQLLQALEAKDLLSNGIIQNNIGVNHEVENHADQEKAVPREVSTEPLPKQQQSVEIDELKKKVCELTDVKAQLEARNQKLLEESTYAKGLASAAGVELKALSEEVTKLMNQNEKLAGELASLRSPTPRRVNNAPRGRRDSMSRRHEPASRRETIANHEREKALETMLMEKEQKEAELQRKIEEAKQKEAFLESELANMWVLVAKLKKPQDYGHESSDANQT >Et_3B_028075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26777:30416:-1 gene:Et_3B_028075 transcript:Et_3B_028075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAVLWFLRTTLLLITSSHLAAAAVSNKDPAVPPFSKLGCPDRCGNVVIPYPFGIGDGCFADWPESGSFSITCNQSFSPPKPFSGNIELINITVETGEMRVVSPVSYQCYNSSNTTESDVTGWSIDLGPSFLIAKRRNKFTAIGCNTVAFLEGTEVLTGCISSCNSLDAVSDGEDCAGLGCCQMGVPRNLSSIEVSWGDSNNIYNPAWEYSPCSYAFVAEENRYRFSRDNLRNKSFITSNVSTTLPLVLDWAIKSDGSCRVGSGTSAINACASENSICVNATQGNGYLCNCSEGYQGNPYVPGGCADINECKLNRPCFKHSTCQNTPGNYTCKCKFWYRGDATFVIVALAYVAIVLFERRKQRKCFSKNGGEILKALGIKTFTEREVKNITNSYTKNIGGGNFGKVYKGTINGSQQVAVKRPHEKRKAPPLEEFKNEIIFQFQIKHDNVVRLLGCCLETDVPILVFEYIPKGSLHEVLHGSAGKPPYALSVMERLDIAIGSAGALAHMHSHREHKHVHGDVKPANILLDDDLKPKVSDFGSSRLLSADKYAENVAADGTYVDPVYYKTGRFTVKSDVYSFGVVLVELITRRKPRVGDSNVTIEFKKSYRNKGNGREMYDPEINSESHSYMECLDMVGALAIQCLKEEDVDERPAMDEVLKKLEQAKSIACGGPRSEAS >Et_1B_010012.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:1831891:1832067:-1 gene:Et_1B_010012 transcript:Et_1B_010012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCWKLIHCWFSNPSPWRMLISRYLVLGCDSGVEENSILNVLPSCIQVIVADDLLAHE >Et_6A_046201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:155:2473:1 gene:Et_6A_046201 transcript:Et_6A_046201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFVPFGGISGNPCEANSLTANSCPQALRCQQCNDRYEQEVAAIIKGSGIMFEDNHQGGLPSLLQNGSMMGPNSGFDALKVRDDQMVLNSKILNLQNKWNEYCLRLHQGCKGMNRDPYQLFPHYVGVPAGRKREANASQGLGATAPLREVIRPSVVSISDTNTTAKSISSPSMSNQRNDDLVLKLQVRQSKSDEHLQDRGVQSQHRNSSNCDNHGASPSSIEPVATDLVLGTPRECSSKVLNSAWCKNVKDAERSVNLMPRKADDLNLKPAQPFVPPYTCSRSLMNSGQTSPGALHSAASGGNSAFGQWQRPSPLATRCSDISNYKGLVERLFKAVGRQEEALSAICQSIVRRQSMDRCHGASKRNDIWLSFHGPDSIAKRRVAAALAELVHGSSDNLIYMDLSHQDWGDSNFRGKTGTDCIVEELRKKRRSVIFLDNIDKADCLVQDSLSRAIEIGRFDWKGRMVDLNDSIVVLSTRMTGKCKNASLGMEEDHAFSEEKVMAARGHQLKILVEPDAGSITGGLGGKAVVCSGHSVTRILCSGSVSKRKLNTSDGHEMIQESPSTSKRLNRTSSVPFDLNLPVDEDDAHDANDDSSSNENSSGNPDGSVENLLHSVDESIDFKPFDFGKLCEDMLQDFSSIMSKILGSDCRLEIHVSAMEQILAASWASDSEERPVRTWLEQVFARSLEELKLKCKNKINSTLRLVACEDALLKDDGFGALLPSRIILDC >Et_4B_036514.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27712303:27712530:1 gene:Et_4B_036514 transcript:Et_4B_036514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNVANPIFIDQNYCDKIIPCEEQESAVEVSNVVFRNIMGTSVTKNAIKMNCSKNVQCHDITLQNIDLKMEGGQE >Et_6A_047590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7856622:7861215:1 gene:Et_6A_047590 transcript:Et_6A_047590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESRQGSKGDSMAATAVASEVSVDWIGRPCDPRRHGGMKAAVFVLGIQAFEMMAIAAVGNNLITYVFGEMHFPLSRSANIVTNFIGTVFLLSLLGGFLSDSYLGSFWTMLIFGFVELSGFILLAVQAHLPQLRPPPCDMMAAAAAGQACEEAGGVKAGIFFAALYLVALGSGCLKPNIIAHGADQFRRGGAGDARRLSSYFNAAYFSFCVGELVALTVLVWVQTRSGMDVGFGVSAGAMGIGLVSLVTGAAFYRNKPPQGSIFTPIAKVFIAAITKRKQVCPSTPPLQGTSNAATYEMSVNATNIRRINKFRFLDKACMKAQDGASSGKESPWRLCTPAEVEQVKLLLCVVPIFACTIVFNTILAQLQTFSVQQGSAMDTRLAASFHVPPASLQAIPYLMLVALVPAYEAVFVPAMRRLTGVATGITPLQRIGVGLFAVTFSMVAAALVESRRRAVAGDGDGDRLLSIFWIAPQFLVFGLSEMFTAVGLIEFFYKQSLAGMQAFLTSMTYCSYSFGFYLSSVLVSLVNRVTSRGSAGGWLANNDLNKDRLDLFYWLLAALSLLNFFNYLFWARWYSKSVETVQVAGAGDHDDEKDTTDQC >Et_5B_044463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2629566:2640451:-1 gene:Et_5B_044463 transcript:Et_5B_044463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVLTVLALLGSVSCQSSYGGYGGGNPAPTPTSPPPAAYPPTSPSPPTPSPPPAAGLRVGYYNDKCPGAEAIVRDAVLVADAGIKAGLVRLFFHDCFVRGCDASVLLKPTDANPQPEMLGIPNLSLRGFEVIDAAKAALEAKCPGVVSCADIVAFAGRDASYFLSSSAIDFKMPAGRYDGTVSLASETLPNLPPPFANVQRLKDMFAAKGLDTVDMVVLSGAHSIGRAHCSSFSGRLPPSNTSDMDPTLAAKLKADCASGNPVVQDYRTPDELDNQYYLNVINHKVLFESDAALIKSSDTMRLVWAAAASQKLWQYKFGEAMVKMGGIEVKTAANGEIRKVCGFVNKPYSVLPVQDVRGHVILIHEGVTVPVALGPELGGQGRVHVREAVGEELALAVADGVRAGERDHLVGAEALGPEAVSERREVGEGPGELVGLVGEGLAAVEAARRRRDVDAAVAEDAGRVAGRERDDVGARDDARAGRLDRRLGLVDHLEPAQALLVGRAFPLRVAERCVRLEEHLVVEHVLVVLLVEVVGGLVILHHRVVVAGRRRAVVLQLGGERGVHVGGVAGREAAGEGRAVRAADAVRAGEDDHVDGVEILGSEEGLELLHVGERRGKVGERLAGEGHGPVVAAGRHVEVDLAVAEEEGGVAAGEGDDVGARDDARALLLQLVLGRVDHLEAAQAREVGNATLLRLRVGTGRLQQNGGVTTLNKSTCRSICQTCNHVMATKVAVAIALLALVAPVPSHGLLTVCFNGWQLPTIVLGFAVCPGGSRPTDPPPSPSPGPPSGLGLSYDYYNNKNYNDSYCPQVESLVEEVVKEEIGKDRTIGAGLIRLFFHDCFVRGCDASVLLTTTGSPDQTESEGPPNKDSLRGFEVIEKAKAAVEAQCAGKVSCADILAFAARDAAYNLSYGRINIPTLSGRRDGKVSLASETDQLPGPFSNLAQLQASFLRKGLTSDEMVTLSGAHSIGKARCTFFSRRLPPNPSTMDPAYAADLKIECKTGETRVNQDNQTADVLDNLYYDNVIKGKALFDSDDALKSVYQVKQNAYDPLIWERKFEKAMENMGKIIDIRSRTNGEIRKTCSRVNGY >Et_10B_004481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8960638:8964203:-1 gene:Et_10B_004481 transcript:Et_10B_004481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLCTAFVFFLPYTLLPTGCQVKNRRLKRKMGKSNAAADDMSLDSDKTAAVIGRSSMPNSTFLLRVLLLVATAATADAQGLTVTPGCQGNCGGVDIHYPFGIGSGCFRKGFEISCIISGTAGEMPVLATTNQTIRVLDLSVAPPKARVMLPRDHHRISNSSNELYILGCNTMACTNSGVRGRFRYTYYTGCITVSNDSSLPRDGACAGVGCCHVDIPPGLTDNKMRMGSGSEWSHKNQEFCPCDYAEKGNCTFRASDLTSMPLNTTMPLSLDWAIRDSTSLSCAQAVNRPDYACVSLHSQCADSTNGPVLCSKKKKKTNGPGYFCNCKNGYHGNPYAVVNGCQDIALIPAIGFDQYCGLAPKHPDHFWAPRTTSAGSMADS >Et_3B_027965.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28592021:28592467:1 gene:Et_3B_027965 transcript:Et_3B_027965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVAFTAALAVLFLLLLAVLLLRLCLRRRDAVASTGGGFVLFDVCFHDNRRRQAARPSVGRNRRQEPGEHSFLQAQEADQEPDESELARWKKMFRATTRSLSTIDEGTEKGTTPITTPAFCTPPPSPDRRYARAVDMASVAMQLKT >Et_10B_003828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6523089:6530467:1 gene:Et_10B_003828 transcript:Et_10B_003828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVACPITWRVCDCELGFGAAASAAGARKAGAPAAAVWADAAAALEGFLADPWLLRPAGDAGGGAVVVEVPPLEPTPEEGEDEARRAAAQRGAAAAEDLARRLEGGGFGSPDAEGDEEDWDGEDQGNAAVKVMCRLCFSGENEGSTKAAKMLPCKLCNKRYHRNCLKNWGEHRDLFHLSSWVCPSCRSCEVCRRPGDPNKLMFCKRCDGAYHCYCQQPSHKNVTNGPYLCPKHTRCHSCGSGVPGSGHSTRWFLGYTCCDACGRLFVKGNYCPICLKVYRDSEVIPMVCCDVCEKWVHIECDGISEEKYQQFQADTNLQYTCAACRGECSQIRDTEDAIRELWKRRDTVDHDVMVSLRAAAALPSLEDVSPSRPNSDDERLGAYVLKNEGRNTLKFSLKSNSGKPPSETPEQEKIVLKSPVSSKKHSKKKGSQNNKTVDGQDEIFLERRHEARYSNSRLGDQNVDVDRDLSSFNTDSNAYVSSSTRISEKNLKSSSVKAVVDKTDMIPKVKIKGSKVPSLHFKDYGEENSPKSDTGKGTKLVIHLTSRHKSRSGSPKSELSNSQREQEHGSTHGGKVDVTSQPKSSRSEIKERSLMKLVRETGTQQRNSLLGDLGTSKKHFTGKRSIAMVSGTENENESSTRNKAGQKQSLVSQLNENQGTPSSFSSESPDKLKPSLLKLKFKRPHFEQPNTQTSQPEGLTMWASQQEEQLNIAKGQRSKRKRPSMDKLDAMDGKSAKRHQQGTDDEVMDANWILRKLGKDAIGKRIEVHLASDGKWHQGVVSNVISGMLYVQLDDGRSENVELGKQAIRLIAQRSKGGKR >Et_6B_050005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:364128:364964:-1 gene:Et_6B_050005 transcript:Et_6B_050005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSFSSRATPRKDDRFFFTTVQRQKKSSKAGKGYVRVAGPEGSWSFQKGEAVFMDDGKSVKVGEVTKLRYKFKNGKYADWLMEEYSSATRSACSVGSTSPLTPVPTPRRAKNPPRMKQQQ >Et_1B_012349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31053867:31061293:-1 gene:Et_1B_012349 transcript:Et_1B_012349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRDARFVSSGVKLPPAASAPSPDPAPAPALLSAALPFAHIGRAIDAAVGRGRDVLASSGMKLPSAASTQSPFPALPFADDGGGAEERVLISEVAVRGKDGEPLEQPELEAAAAAALRACRPNAALTVREVQEDVHRVVESGLFRSCMPVAVDTRDGIRLVFEVEPNQDFHGLVCEGANMLPSKFLEDAFRDRHGKIINIRHLDQVIKSVNGWYQERGLTGLVSYAEILSGGILRLQVSEAEVNNINIRFLDRKTGEPTIGKTQPETILRQLTTKKGQAYNRAQVKRDVETILTMGIMEDVTIIPQPVGDTNKVDLVMNLVERTSGGFSAGGGISSGITNGPLSGLIGSFAYSHRNVFGRNKKLNLSLERGQIDSIFRLNYTDPWIDGDNKRTSRTIMVQNSRTPGTLVHGGDHPDHGPLTIGRVTAGVEYSRPFRPKWSGTLGLIFQHAGARDDKGKPVIRDFYNSQLTASGNAYDDTLLAKFESIYTDSGDHSSTMFVFNVEQGLPVLPEWLSFNRVTARMRQGYEVGPARLLLSASGGHVEGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEVSCRLVIPLEREESLVVAMAMVLASVWTLHWDRCDLSMP >Et_7A_051234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15937555:15940455:-1 gene:Et_7A_051234 transcript:Et_7A_051234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGWAAGWEGELQELDHNLARLQLDLDDRSTVNPEGRSLRKSLVLRRKQLLARRESLVELMEKEVRWKICSEDKGKVICDAEKIERELETSGEQKRKEKKKKRHRKRKGKGKEVCDVEKIERVSEDYERKKGEEDEVCDWNAEKFKDRLAFDYMSYKQFWEDLYAGVHGSFEDTTPIPCMSLTNKPLPYDATPFKTLEVFSVKVAKISESLQWPLDVFGVVALRDSADHNRNVIFSRKRDSCQTLTDKELRMDGFCPLEVAVAWSLFSFLPASMI >Et_2A_017655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:4747880:4766598:1 gene:Et_2A_017655 transcript:Et_2A_017655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGDGRRRRQSGGGGGGGGGGAGGGGWRRNGSAAAKEQRLRLGKEELLESRLGFAPYTDGERRLGWLLTFAPSSWEDEDTGKIYSCVDLYFVSQDGSTFKVKYKFRPYFYAATKDKMELEVDAYLRRRYEGEISDIEIIEKEDLDLKNHLSGLKRKYLKLQFDTVQQLMRVRNDLLHVVEKNEEERDAAEAFETIRGVKRVERTQDYINCIIDLREYDVPYHVRFAIDNDVRCGQWYNASVSGSEVLLQRRDDLLQRAEVHVCAFDIETTKLPLKFPDAEYDSVMMISYMIDGQGYLIINRECVGEDIEDLEYTPKPEFEGYFRVKNVPTELDLLKAWFSHMQEVKPGIYVTYNGDFFDWPFLEKRAAHHGIKMSEEIGFQCDANQGECRAKFSCHLDCFAWVKRDSYLPQGSHGLKAVTKAKLGYNPLEVNPEDMVRFAMEQPQTMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAFKAHIICPNKHRADLEKFYNNRLLESETYIGGHVECLETGVFRSDLPTKFQLEPSAYEQLIGNLDRDLQYAIAVEGKLDVDSVINYDEVKDAIREKLVSLRNNPIREERPLIYHLDVAAMYPNIILTNRLQPPSIVTDVDCTACDFNRPGKNCLRKLEWVWRGETYMAKKNDYYHIKRQIESELIQSGGTTSSKPFLDLTKPEHLLKLKDRLKKYCQKAHKRVVDKPITEVREAGICMRENSFYVDTDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGRPLELDTDGIWCVLPGSFPENFTFKTKAGKKLTISYPCVMLNVDVARNNTNDQYQTLKDPVNKLYTTHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNEDGTLAELKGFEIKRRGELKLIKVFQAEVFDKFLHGSTLEECYAAVASVANRWLDLLDNQGIDIADSELLDFISESSTMSKSLVDYGEQKSCAVTTAKRLAEFLGDSMVKDKGLHCQYVVAREPQGTPVSERAVPVAIFETDPEVAKHYLRKWCRISSDASIRSIVDWSYYKQRLSSAIQKIITIPAAMQKISNPVPRVLHPDWLHKKVREKDDRFRQRKLRDIFSPLAKDDGRQNLNVTGDMEDFLGSKKDLRKNPASLVLNVDKENNPNGQPMEAGSNHSKNKQQSTGSNVLLSSQIPNDATDETVDKSADYQGWLDAKKRKWKYVREQKKRRRLGAAATFDGPTSGPLRNLTSNSRNREEQFRAEGKKLAAHLAEPDVEGIYETKIPPEFNAVLQIGCVCKVDKSAKKRSIQDGWDLAELQMKTTAECSYLEQTVSFFYLYHSFSEGRGVYVFYFPTSFRIHAVVVNPFRNKELSPSFLEKQFQDACQALGSVPDNLTFNQREILCRQQHPGPVISIIECPKLQDIKAAVRALDDFPCVTIPCNARDNNYQALGWQATAGRTSMQRCAASTQWFNERISLSRYAHVPLGNFELDWLLFTADVFFSRALRDQQQVLWISDDGIPDLGGTYEGDTCFADEVIQPALTYPGAYRRVSVELKIHHLAVNSLLKSSQVDEMDGGSIGTFGNDMTTGPHATETDFDDPSLCLPAFQVLKQLIQRCISDAVSSGNVFADAILQHLYRWLCSPQSKLHDPALHRLLHNVMKKVFALILSEFRKLGANIIFANFSKIIIDTGKVDLLSARAYCDSLLKTLQTRDLFEWIELEPLHYWHSLLFMDQYNYGGIQAKTQDADCSDGDNDIDIVSSWNIAECLPKATQDHFVLIVSEFLYIPWKYMKEEVAKRATIRDDTSCTPSITVMAAENLEGQVIGYLCDQISTYFADKLLKIVSDILHHFKGKNKFESDEPNRESDPHTHKGDAALEFIKHICAVLALDQNVQHDILRMRKNLLKLVRVKEFAPEAQFQDTCASFTLPNVICSYCNDCRDLDLCRDSTLQGQEWRCAVPQCGQPYHREQMENALLQVVRQRERLYHLQDLVCLRCRQVKAAHLSEQCSCGGSFRCKEESSYFLSKMRVFLNIAVSQKFQLLQDCVQWILEVR >Et_2B_020494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20772056:20779754:-1 gene:Et_2B_020494 transcript:Et_2B_020494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHHSPRSFLLALLLPPLVLLSAAAAAASYETKHIDPGLAVMTLPAPVSGPESLAFDGRGQGPYSGVSDGRILRWHGGRQGWADFAYNYKHNVASQLFLQLINHGRVDLNECARFHTICNMARGWVACRSVAVCAPEKKLVVPENVCGRPLGLQFHRESGDLYVADAYLGLLRVPARGGLAEVVAAEAGGVPFNFLNGLDVDQRTGDVYFTDSSTTYRRSDYLLVVALGDETGRLLRYDRRARRVAVLHSDLSYPNGVAVSADGTHVVVAHTALSELRRYWVRGPRAGRSEPFAELPGYPDNVHADGRGGYWVALAPGASFGGGAADVAPPVVAVRVSGDGKVVEALDGFSFVSVSEVAERNGTLWFGSVDTPCHEEMKSIYVGQQVLPMRIGRPAFGPESLAFDHRGGGPYTGVSNGRVLRWRGARRGWAEFAHNYKHATVAECAAKKKLVVPESACGRPLGLQFHRASGDLYFADAYLGLMRVGRRGGLAEAVATEAGGAPLNFVNGVDVDQETGHVYFTDSSAEYQRSDYMMIILTGDATGRLLRYDPSTGNATVLASGLAFPNGVALSADGSHVVVAETASCRLLRHWLRGPRAGRTERFADLPGYPDNVRRDDGRDGYWVALNRDKSWAADGTTPRSVAAVRVRAEDGAAAEALRGLGNATVSEVLEREGALWLGSVDTSYVGLFRISEL >Et_10B_004489.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9129106:9136229:-1 gene:Et_10B_004489 transcript:Et_10B_004489.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAMNTGKGTTTNRGNGNGGGNRGRDNGQSSMIGRGGLQSQPFHPGYGGGQGRGYGGRGGGRYGHRARGQGRGNTGGRRGGNGGRGSRGRGNRQDLQHGHADANLRDNQNAGGQPEAPLPLNAEAVAQAAALLQQAFANAQAGKLPQQEPVKEVAKAGANTSDTCMVVPPDMQDAAPETLLTSLSAVPLFGMESQVQVRTQGPRPAAPVVHLAVPLAAAPTDPAASTGDKILDEGSSMVTVPANMQTELDMGEPDSPDYGAMLSPKTKAELAEFEEQVLGKPSGPALGDIPEALMGDSLRRSKRRAASADDVSMDRAGMLKAARNLDGEFAKGCCWGQ >Et_4A_032436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10052895:10061455:1 gene:Et_4A_032436 transcript:Et_4A_032436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPIILRLLLVLAVAAAASAAAHREAFRRDPGHPQWHHGAFHDVEDSVRADVRRMLHTRAEVPFQVPLEVNVVLIGFNGDGGYRYPLDGHKFEEFLKTSFPFHRPSCFETGEQIDIEHHIMYNVIAAGQPELISLEKSLKESMQPAGTARESEYGREFPLFEVEATTVEPIFQRLYSFIFDMEPGYSANEMERPVPIAIFVVNFDKVIATIITNNVPKGTCCSKCSFNTGYFHWATWRFETVDMTVRLLVPIIVLQNHNRYNILQAGHNYSIDVQAIEREVKKMVHPGQEVIIISGSHALHQHEQLAIAVSKAMRSHSLHETKADGRFHVRTKTYLDGAILKEEMERSADVLSAGLLEVANPSLSSRYFLKQHWNDEQDGVEESIKHKPLWESYMPRNKKEKRGTGKKKHGSLYRTYGTRVIPVFVLSLADVDAELLMEEESLVWTSKDVVIVLEHNNDKIPLSYVSETTRQFAVPSLAQRHILAGLASAVGGLSAPYERASHIHERPIVNWLLAAGCHPFGPFSNTSQISQILQDVALRTTIYARVDAALRKIRDTSESVQSFASEHLKTPLGELVKGNKNKSSTELWVEKFYKKVTTLPEPFPHGLVERLEEYLDRLEGQLVDLSSLLYDHRLKDAYQNSSDILQSTIFTQQYVERVLYAERDKMKCCSIEYSHPKQSSQAFVYGGILLAGFLVYSLVIFFSSPVR >Et_2B_020217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18035317:18037889:1 gene:Et_2B_020217 transcript:Et_2B_020217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETLPAQHRSQQQQPCKEDGDAAQLGGQISAVTRPLRNPLLIVNFILMVIGTAGGPLCLRAYFLYGGARKWFSSFVQTAGFPLLLVPLYFSFRRRRRDSVDDGASSTTKPPVTRFFLMSPRLVASSAAIGVLMGLDDLLYAYGLAYLPVSTSSILISTQLAFTAGFALLLVRQRFTAFSVNALVLLSVGAAMLGMNAGGDRPAGVSRAQYGAGFAMTLGAAALFGLMLPAMELCQARHAARAGAAAVDYPLVIEMQLVIGLGATAFSVVGMIVNNDFHAIPREAREFGLGQSAYYLLLGGSIVVYQFFFLGTIGAIYFGSALLAGVIMTVLIPITEVLAVMLFHEPFNGAKGVALALALWGFVSYFYGEVQTSKAQRHQSEKAPDAAHLDP >Et_8B_059575.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19397306:19398468:1 gene:Et_8B_059575 transcript:Et_8B_059575.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQLYCNTFTSVNPSAGKMSELVCNGCPTILVYNRGATNIRCPNCRTLNSTRSGANQMGQLQCGRCPTTLAYQLGASTVGCPRCRHVNHVRDARPQTVLVENPKTLDDKGKLVSNVAVGVASWKR >Et_4B_038282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27847394:27851173:-1 gene:Et_4B_038282 transcript:Et_4B_038282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHQQRYEDGRRLVAGCIPFRYRYNNDETSSDGHKKLVEVLMINSQSGPGLLFPKGGWENDETVEEAAAREAVEEAGVRGDIVHHLGFYDFKSKTHQDACCPEGMCRAAVFALHEALLTGFTDWHDKWSKGGGGTNCDPA >Et_8B_058817.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:8661174:8661542:1 gene:Et_8B_058817 transcript:Et_8B_058817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDGLLSGYHVMAFVIMALVRCVHISQNSWITSFWVASRVTKYGSGCFVAPSQHLAPRAEGTFVQWWPKSRKMVSKARKQSFDSVVSFDSVVILVLRRIWNERNARVFEDAHLWDRAGLLV >Et_4B_039988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7218402:7218873:1 gene:Et_4B_039988 transcript:Et_4B_039988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSATRGLALGGLLAVCLLLGAADIAAAATHNVDWTFNADSWPQGKNFRAGDVLVFKYDPALHNVVTVGAGGYTGCRPSGTSYGSGNDRITLGPGTNYFICSLNGHCGMGMKMAVTAH >Et_10B_002854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12487814:12491173:-1 gene:Et_10B_002854 transcript:Et_10B_002854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKARPPPTDAEKTEIGEIDTRAPFESVKAAVSLFGEVRFSSDKSAARKPKAPQAERVLAKETELHLAQKELNKYKEQLSNAETTRVQALSELEKAKKTVEELTVKLDAINKSKELAVQATEDAKARTKQLEGGSSSEGLGTDGPLKQELETAREQYTVALADLDAAKQELRKLKKDFETSLDMRLSAAQQEEESLHSTEANKEKANQLRNEIAEIQESLMHVKVATEQAHQEESQILAEKDVARTTYKQALEETQKKLSSLRNDFDPAAYNSLKEKLDQTNSEIASMQKKIEDARARDLEAVAAVSTELDDAKEMLQKVAEEESSLRSLVESLKVELEAVKQEHNQLKEKDTETESIVADLHVKLQKCKSELEAAVAAESKATSASDDLMLALQQLSSESKNALQEAEMMQKSAAELRDEAEKARVELAEAEQKLQLALKEAEEAKAAEAKALDQIKQLSDRASAARASTSESGANITISKEEFDSLSRKVEESQKLSEMKVAAAMAQVEAVRASENEAIKKLEAARKEMEDMELATEEALKRAEMAESAKKAVEGELKRWREKEQKKATEAPPSSEAQAQEHGTGSPPMQKASGGKAAEKNEGHQRNSRTLLRKSFMLPNITSMFHKKKSHGDNSSPSYLPGEKSV >Et_4B_037861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24115638:24121228:-1 gene:Et_4B_037861 transcript:Et_4B_037861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILQVDRRYNHYCDQMQMVVNFFDSVMGFGAATPYTALAQKAMSRHFRCLKDAIAAQLRHTCELLGEKDAGTSSGITKGETPRLRAIDQSLRQQRAFHHMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMIEEMYQQECRELEGSSAGGGGGESAGNDPSGAGDAHSPTTTGAAAQLQQQQSAMQHGGGGGRHMQEHGTPPGMMAHKPDPGAAGPSAAEAAFVGIDPVELLGGDAHVGGGDAHVGGGADDLYGRFEPGVRMRYGPAATGAAAGDVSLTLGLQHAGAGNAGPDGTGRFSLRDYSGC >Et_8A_058476.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8907252:8907737:-1 gene:Et_8A_058476 transcript:Et_8A_058476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGGTTPRETITGHPAHDKRHVFTLVTDDVQPFTCYGCGLSGLAGSFRYRCSVQHCDFKLLHRCCAEKPKSVDDHQQFRGKTFSFYLQHPAGSNGRKCDVCGKSVPGFVYHCPDNGADLHPCCALKRECKCLCSNPNAVGSSSSYAKHKTPVGLIRGAW >Et_5B_045091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9491328:9492062:1 gene:Et_5B_045091 transcript:Et_5B_045091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRNSRLVADALRGRRWIRDIRGPRTVQVIVDFFAVLAIVSQVALSTTADQFRWKWTPDALMPVALAQEIIDDAHLWASVRFSKLRKLLVPRL >Et_9B_065772.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:10962384:10963379:1 gene:Et_9B_065772 transcript:Et_9B_065772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVVAGNNAGASRSGPGAGRVKGSWTAEEDELLRGAVARHGARNWSVIGGEVPGRSGKSCRLRWCNQLSPGVERRAFTPEEDALIVAAHARYGNRWATIARLLQGRTDNSVKNHWNSTLRRQRRAAAAAANNGAADVPILSTMVDLCKEPPASSVETNPAAVRGDENDDVDYEEEEEDEDGSSSEDSVLAPPPKKRPCVGNHHHHHVPLPLPLLAAAPTKKTPPEQATAPSPETLVTSLSLSLPGGGGGVPAAAGAVAPTEDGPAKTRARVEEDPWFLPVMRRMIVEEVHRVMGLMQPPPGVAFSFLAPPRSNAGGATDGRAAANGRHHD >Et_1B_013410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8118515:8122514:1 gene:Et_1B_013410 transcript:Et_1B_013410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLQRRKPSSRPHSASLKPPRPPRGPTFQPPQPSRPLPEPSSPDGRLRKKVRFANEAGSHQIGERQVASIRESAKSKVQVCDAKTAEFKFFKKLCEQSGHRSHSYKHSHISIEPNVSKQKQELHNAPLLRKFSVRGSTVCCDDPPATPSKNEEIPTEQVNVQSSNSAYDEKDTPHLNSYGYRPHFDVVTPIAQTSFEVTGISGRDPVNGLIFSEKRSKLLKLAAKTVSMGSDELLQRRSEYVGDILQRLGANNIIRKCNGSRRHMKIECRQDPTISDGRVDNLLDYKHSDFNSITALKNQGQKPSCYARDESFGFMALPWGYNQSLLWKNDLPHGGTEARECMALPWVCTKDISSSDQNRGIVHNQVSNLLLEDVEPCILGRPASGNELSLNVQTTSYDQYGWSPFLSVPLAESFRDRLSFPCQIEEQHAVPYAISNASWQPDLFSSMGRCVSSSVGLDKVDPKEAGWCDNSDASFSARFDELLAKSTSSHFLGSGNEILGHNGFRCIPNFHASQSNSMEMSSNTSRQSLLRSTPGHPYELGSMSFHDSAIGASCSGLKEKHSGVVELSDKSDGLLRVLDQLPVLSSSPNNEPEHPCKQDWNSFYDFSTELWSSVQQLQSHTNLGAVFDLMSNGSSYSDSEGHHSLMLVQGNLNNDILGTTDLSYFGSFSAMDNIREVPVLSSDGITW >Et_1B_012283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30457604:30460466:-1 gene:Et_1B_012283 transcript:Et_1B_012283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMPPPLLLLCSPVFLLLLLCRPLVANGRSILLEASPSSSPGPSPGPVNGSATSSNVGAAVLPAVAAPPPLVIIVVEEHHHLRRELIAAIVLSSVAGVTVILAAVYACILWRRSREPVDFKDTQSSDTARIAFVPMLNSFNSFKSSRKGAPAMVDYTSLEAATGKFSESNVLGVGGFGCVYKANFDGGAVGAVKRLGGGGQECEKEFENELDLLGRIRHPNIVSLTGFCIHEEDRFIVYDLMENGSLEAQLHGPSHGSALSWYIRMKIALDTARGLEYLHEHCTPPIIHRDLKSSNILLDSDFNAKISDFGLAVASGNHNKGNLKLSGTLGKLTEKSDVYAFGVVLLELLLGRKPVEKTTESQCQSIVTWVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPMELGGTLRVGPESPYATQKHSPC >Et_4B_039267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9337191:9342397:1 gene:Et_4B_039267 transcript:Et_4B_039267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGAGALPVPRRGLEILINYAVAIQVVDLAAREGLRIQDTSYLNRMLRLGLYLLCNRFAYELMQDHTVPKSEKQRARGYATKEVKASTLGESGREIFEGGQGVLMGKATKDVTESNPTRRAIEETTDTIPIDECVPNAIILRKSSHRDGIIYKERLHWKKNYFVDVTNRNETRVDPMRYSVTTKCNPDPENCGYHMSCEMVQVFSLKLAKSPKNSASVQLYGYLAARDDVDSMLNFVFHRTRDDPIIVHQDSLIEVTGPKRGIALISDVLFEFDMRIKHGEQEEDDLQLIDGVMEFHEIQMPWTPFTVRINGEYGAVDMCLVNVFDGVEATVEVVVSEVQDGFDLSLSSEVSMLEVSKEFQLFCGTIYRPCGLRRFVIAVLLDTVLHLKFKAHKKDANIAEYCCSFEAKLHGSASRQIKLERASILVKVTWSTLIE >Et_9B_066221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7549944:7557110:-1 gene:Et_9B_066221 transcript:Et_9B_066221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITSLGAAASGGSPRSTLLAPPGCCADGALDSRNQEKAANSECLGVPRRQESEAPVVALILPPPPPLRIQEPVKRKRRHGANSVDVIWLCKKQRSAVAVATGLGNANRALAAVTPSSPIVQEQCGNMGAVDGIGKKDHGALGRSSCEVVDLKKGQKIRSCEFATTGSGMDVLRQDSEKPVKHDHHQNGEECWLIKKRCHADMVAGYIPGNGPVVQVNSASPSTPSHQEIQEPVSRCSSIQKGKAAARRKATKRSDSNITRQPFVSLSSGLRCLGVTNVTLVLAKILTPTDSSLNQARLQHGTGVAYRLRGEWTKFLTHSGVRDGDLVEVGAFRVDGRLMLTLLNYSLDGWIPEEMEAANVLSMLSDFKDGTKSHRTVISYLILSLVL >Et_5A_040780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12358592:12362177:1 gene:Et_5A_040780 transcript:Et_5A_040780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCDRCRQEQMRATCCRGGLLRRSRRLRHRPEAVDLIGALPDDLLSQILVRLRCTRAAARTSVLSRRWRGLWTRLPELIFHKFCPGPYHYHPFAVPPPNTHLQQSQAGTGSRLFVDPGCRAAGAGDAQVRGSGSPWRTSISGCQRLEISRCWRVETLSLDSSQIDLADLMIPRCPRLRKLCISSSVLQSVTVHAPLLEQLNVYTGGRIQRIDISAPLLKKLRLHAGGGLITPSPSNEFSLSYSPPMVEQLSWRCDKFSNVGFGQIWRLGRLSLGTQEIPVRNSNNHLTNNREISCHHHQQRPRVNILSLYIGTSDVLSEPSQSITQAISKFLVTGFSIVELKITRPGHIYGPMVLHVLGLSNFVHSLKVEVCKVSEHETCDITCPCDHPNNWRSQSISLTYLKEVEIKGFRGENHEVDLLKLILRSATMLGRMALFFSSEVQPSRNNCMEVYHASKAYPSVACNIYNSASEQQPASLVFPAQLALQFQEQVQLRFLVNNEFLELRNILTMRISIFPKARLQFDTKTEMLFREEMTSSLHTRTPRQGSRRQAKHLSSLQPSVLAVVPDEDRLRLRTTRTPEFLRPSDAGVHERTWKIRCHF >Et_9A_061176.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20389634:20390203:-1 gene:Et_9A_061176 transcript:Et_9A_061176.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRETLSPLPPPPPPRRHKMDNGGEPPASGVVVFKCTCFNLPCRSKKKPAPPPPLVTTKLIGSAGRRSSSDAPVASAAAQSSPRVTFLASASLSTWWPASPSGGGGGGNVGVAAPRRASTSSSAAPRNGGLPRASSSSFSYWRRSLSSRVMPHGAASSSPASASSSCMSTPKIPHGCQQ >Et_2B_020125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17069269:17071933:1 gene:Et_2B_020125 transcript:Et_2B_020125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSDGTDVSPESEAAAEKRGEIWGTLEELLLAYAVTRHGTSSWDSVAMEVQTRSPLAVRPGLTPHSCRLRFRHLHRRFSSAGSGGEEEAEEGDGEDPDASAAEGWVDELRRLRVAELRRDVERCDLSIGTLQSKVKRLREERERSVSGDAKPDEASGNDRLSGEEPGRSCRESNSTDLKPPEHPGGKGDVKEEEVAKQEASGESAAASKESSDVRSSASLCRRRGGKTSRAEEEETASARRPPPVQSLPLAALLDDVAAKLGAVMERLQEHESEEGAAYRGTIRRHVDLETVRGKLEASAASRADDDDVYPAPEFYRDLLLLCTNVVVFFPRSGPEHAAAVEARALVSEHASAVLREPKQEHVVAVPAAALEPAPPAGTDIVGPLIEKGKPLIVCRKRSSIAKAAAMKKQESAEKSEAEKEQQESEEDEKEAVAAVTKDKAWGLRTKRGGAVKRLASTKLADDTATEEAANKAGKKGVAGAGEGGLAKKRNAVDFLKRLNSSPTKKRGSPLGTTRRRKATAEMAEQPQTRKRGTGRKDGIGRGGSKRGGKASVAKRGVGRPLKRGPAPATPPPSKRAKTNRSEKPPSTGRRGGRRSVG >Et_4B_038089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26153999:26156643:-1 gene:Et_4B_038089 transcript:Et_4B_038089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GGDISDKDDSNSRMSRGLSFSSDCNKKRKRSGSLISQPPTPAAEEHVEEPSLREIIDTMLVESGEKEKLMGLLRKRLEECGWREEMEECGRDYARKKGKNNVTRDDIIYDITKKGRGKRCIVGQTDSCMICSKFQYAGTFQFAMRQCVYPCDDVKSDRVILNGTQRDTTVIVLWLQSVAACYNIIWDTSIN >Et_1A_007491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35110135:35112840:-1 gene:Et_1A_007491 transcript:Et_1A_007491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVARHFGFPPPAPPPTSRSRRRAAFVAGTARGRIKRSSLCNVSCLVSRTAKASVSSTGPSAGGEDVNEIIDAVETKSTTTGASFLAKVAVAIGITTAVTVILLYMKQPSSGPSFSLPQIVDAQSDTAAATIGYTFSLFGKKVIIPEYTPGWVYFLLLMAAGFGLFISEEALNVWVGISLGRTLCLDGTWQSFVNSFSANASYIISTVLWVYWGVCLSDMIPFCLGKLFRQTGASENISSKIGIGKEQALSITRGVQKHGNLIGFVERFSIGVRNPTAFLAGALGISADCFFAGVCCGCLFTLPVQLAVGFVLRERPVVALASVAAAVGIWNMFPYAAAACTALFLYLRQNKSST >Et_10B_004253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2153309:2158251:1 gene:Et_10B_004253 transcript:Et_10B_004253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPPPGATGAQYAHQFLNTALSQRGPSALPYAEDVKWLIRNHLVALAEAFPSLHPKAALFTHNDGRAAHLLQADGTIPIHHGGATYNLPAVIWLPEPYPRSPPLVFLSPTRDMVVKPNHPLVDRSGLVANAPYLRSWVFPSSNLVDLVRSLSHLFGLDPPLFTRNAAAPPPINPTPPPRIIPTPTPTHSPSPSSYRLGGSFPASPQLASRPPPTEDPAEVFKRNAVAKLVDMAYADVAALRPAREAEVDALFAIQAELRHRGEVVADGVRRMGEEKETLERRLQDVMMGTDLLESWVMENNRRGDTHAADDAIQPADVLSRQMIECTAADLALEDTVYALDKAIQEGSVPFDGYLKSVRALAREQFFHRALSAKVHSAQQQAKEASGAAVCQICDDGIGTSAEDVVFATAAAVQCAALLHLTLKGDGEWTRAEKRTFLRQCAEARLVALLLENQEYTETLTLLSVLFKEARMLDDKLLLVDIDLLESKLHFSLRNLLKAKASLTAARTAANAIHVPPSQQGTIDLQSLIHHAEEKDYKTAYSYFFEALDALVHWTIRRL >Et_9A_063221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16071338:16073266:-1 gene:Et_9A_063221 transcript:Et_9A_063221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGGISVSSAPPGTEFEAKITTTVVISCVVAATGGLMFGYDIGVSGGVTTMRAFLHEFFPSVLKHDGGNKQSNYCKYDDQGLQLFTSSLYLAGLSAAFFASYTTRRLGRRLTMLMAGSFFIIGVIVNGAAQSLAMLIVGRILLGCGVGFANQVVPLFLSEIAPTRIRGGLNILFQLNITTGILFASLVNYGTNKINPWGWRLSLVLAGVPGALFTIGTLVLVDTPNSLIQRGRLEEGRAVLTKIRGTNNVEAEFNEILRASRIAQEVRHPFRNLLHRRNRPQLVIAVLLQTFQQLAGINAIMFYAPVLLTVLGLTSDASLYSSVIIGGLNVLSTLVSVYTVDRVGRRMLLLEGSVYMFLSQVAVAVVFRIKVTDGSDDLGHGWAVLAVAMVCVFVSAFAWSWGPICWLVPSETFPLETRSAGQSVSVCVNLLMTFVVAQAYLTMLCRLKYAIFAFFSAWVVVMTLFVLFFLPETKNVPIEEMTERVWKKHWFWKRFMNDEDNRLIVNR >Et_8A_056857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1805010:1812816:1 gene:Et_8A_056857 transcript:Et_8A_056857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFAKLDDSPMFRTQVRIRPLDLGFRGVSRGHDARASSACSWVRGRLLRAVRNSPRVPDSVAVGGFDPRIQGLEESAELLRERCLKFHKGCRKYTEGLGEAYDGDIAFASALETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLNFVDIDLHDVKVREKYLSLKKGTRADITTAIEDELHSARSSFEQARFNLVTALSHVEAKKRFEFLEAVSATMDSHLRYFKQGYELLHQMEPYINQVLAYAQQSRERANKEQASLVERMHEYKRQIDRESRSSANGLNDYHNGDGIQTIGRSSHKMIEAVMQSATKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQITRPAGGGSSQRSVNHPEHGSGLLSRLFSSHYHGIDEKSVARHTVNLLTSTIKVDAEQSDLRFCFRIISPTKVYTLQAESAVDQMDWIEKITGVIASLLTSQSPEQFLMSSPKGTGHDRSSESSSFTSSVDYETSINDDLVLEKNSGNGHHDVRSTHHHRSGIKPEKPIDLLRKVDGNNMCADCGTSEPDWASLNLGALLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNMFVNSIWEEMLPSSNSFCSDYSLSDDGSSDTTPYLPVGKPKQKDAFSTKEKFIHAKYVDKEFIRNHSMDSVQLAQQMWDSVSANDKKAAYSLVVRSHANVNFVYGEMPSSSCLTLGKALQQEQPASPSDGSPRFFDCNSHDKVSPREPLSPASTSSRIDDIEEICEGFSLLHLACRVADVGMVELLLQYGANVNMTDSRGRTPLHHCILKGRALHVKLLLSRGADSQATDQDGRTALQYAIDGGTSDEEILVLLDDHSR >Et_2A_014982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:24453735:24454124:1 gene:Et_2A_014982 transcript:Et_2A_014982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRLNFPELRRGGGHHAPRLHPAVDAKLHAATTNADDINDSEVSTTTTAAADGGTEVSSTGSSSTSGLVAAAPPTEMQQQPDFSADVDGVGALRKYPSLEIDWDAILS >Et_1A_006789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27621858:27624026:1 gene:Et_1A_006789 transcript:Et_1A_006789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWFYRRGPSGFSAASTAEEVTAGVDGRGLVAIITGASSGIGLETARVLALRGVHVVMAVRNVSAGHAAKEAILAKITGAKVDVLELDLSSMASVRTFASKFESLNLPLNMLINNAGVMTRNCARSCDGLELHFATNHIGHFLLTNLLLDNMKSACRDSGVEGRIVNLSSSAHAMTYREGICFNKIHNPSGLNDFIAYGQSKLANILHTKELSRILKEEGVNISANAVHPGVIATSLFRTRAIVNALLNSIGRILCRTVEQGAATTCYVAMHPRVQGISGKYFANCNLANPSSKASDAELAKKLWQFSLQTVSS >Et_4A_032185.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:22718827:22719066:1 gene:Et_4A_032185 transcript:Et_4A_032185.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVLQQLIKEEAELQKKLKAGIREGEKLKAKEKNCDEKVMRMLNCDCEGPELEDLKSFHDKLAEAFSRTGPHKCLVST >Et_3A_023021.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:18480573:18481031:-1 gene:Et_3A_023021 transcript:Et_3A_023021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWGKSATILFDGWRTSSWTGYLLSLLALLLAAAFYQYLEAFRIRVKLAAGGGTKPVSIPPPASSDPARAPLLAPAFAAAGRWPARVAVAALFGINSGLGYLLMLAVMSFNGGVFIAVIVGLAAGYLAFRSDDGEDLVVVDNPCACA >Et_3B_030291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32053529:32054607:1 gene:Et_3B_030291 transcript:Et_3B_030291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVATPRALFSPAALIATARQASTSRRRTVRASAAAAEPAGEAKPAAAAPAGDGAAAAPKKVLKKKPVFSMKKGQIVRVDKEKYLNSINYLSVGHPPFFKGLDYIYQDRGEVLDIREFETGEYALIAWVGIPTPPAWLPTYMLIKSDKLDYERI >Et_2A_017272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32685031:32686586:1 gene:Et_2A_017272 transcript:Et_2A_017272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPCGSRSTVFLRICFTSIDVGADSWRILGADFLFFLADSWQEDGAREVDGRWEGYVDWRNRPATRGRHGGMLAASFVLGKHAKFLLKPANNTRMRC >Et_1B_011843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26476565:26478883:-1 gene:Et_1B_011843 transcript:Et_1B_011843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLAFHPPGPWILANLHSGVIQMWDYRMGTLLDRFDEHDGPVRDVHSTPHSRSSSPEIKVWNYKTHRYLFTLHGHLDYIRTVHFHDEYPWIVSASDDQTIRIWNWQSRTCVAEDLVVSASLDQTVRVWDIGALRKKSVSLADDIMRLTQMNTDLFGGIDAVVNPEGDLKFSYVAIGHFSLCNWSSIPDIATRVAPLAPAT >Et_4B_038480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29320421:29322672:-1 gene:Et_4B_038480 transcript:Et_4B_038480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSRLLLVLLAMAVSTVAAMAVAAPAAASPFRTVYAFGDSFTDTGNTHSTTGPYSFGYVSSPPYGATFFHRSTNRYSDGRLVVDFLAQRLALPSFLPPYLGSNATASADNVGVNFAVAGSTAIEHDFFAKNNLSMDITPQSIMTQLAWFDAHLRRAGAGKKSKIGANDYAYTVMAPPSIRPKLIRTMAVQRVTTFIEGLLDRGAKYMIVQGLPLTGCLPLAMSLARAEDRDNVSCVASVNGQSYAHNRLLLANLRRLRQKHPDAVIAYADYYGAHLAVMRNPGRYGFTEPFRTCCGSGGGAYNFDLFATCGSPEVTTACAQPEKYVNWDGVHMTEAMYKVVAGMFFQDGDAYCRPAFSAVLAARKAKLGK >Et_1B_011487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22852854:22855653:-1 gene:Et_1B_011487 transcript:Et_1B_011487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPLPLLLLVCIVLVDHLPEEVGEDSFVARHLGVSREVVDVNDEVPVAGTDVPDHVEVEELQTQRAAEATRDLVNEGGGRRDSVLQAHVLVVFLCGALGGEVGDLGEGYGALGGGVVLGGDVGEAAALDACDVAADDVDLEDDAAVVDELLEHERRAELAEPVAVVDEGHLVGLGGAVGDEGLGDEGEPEGGEEAGVGEGVGVVDDDLAGDAEGAVVVEGRVLELGDDLEHGDAVVEAVNGVGRVDDGDGRVARGEGLELGAPVVAVELVDEEVEGALLGQGPRGVDGDEVHAARRRLRDEGGLDEALLLLGRERVADGVAPAVGIAGGGLGGGGGHRIRIFHLVKFQHIPLGRVAETKKALIRLVSPLRSVVLVQQHKQERVDWIST >Et_1B_013844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2058319:2060892:1 gene:Et_1B_013844 transcript:Et_1B_013844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILFGCFGLGGEPVAEGGSGSESEGKKKAAMRRSATGRLRSLSLDDLSRTLASSGLHAFTLPELRAATRGFSNSHFIGEGGFGPVYKGFLDDRLRPGEIAPQHVAVKYLDADGPQGHREWLAEVVYLGMLSHPHLVKLIGYCCQDEQRMLVYEYMARGSLEHHLFKNLLSSLPWSTRLKIAVGAAKGLAFLHEAETPVIYRDFKASNILLDSDYTAKLSDFGLAKEGPVGDDTHVTTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLSGRRSVDKRRRGREQHLVEWARPYLRRPERLHRVMDPSLDGQYSGKAAHKAAMVAYHCLHSVPKSRPTMRDVVDALEPLLGMCSDVPAGPFVYTAPAEVDAKAEKRHVASAVHAEGELRRGNNNQRYASSVAGHKSSSPRQSRDRGA >Et_7A_050584.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:26249555:26249800:-1 gene:Et_7A_050584 transcript:Et_7A_050584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSNCYDICATCDRYVNFEIPSECTKNCHGTCTPNACKRCRENIKQKCSDGCLDYCHQRRSQPHSSPVSATYFTGVITII >Et_9B_064620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17063663:17066357:1 gene:Et_9B_064620 transcript:Et_9B_064620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQVEYATKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMMLEGSNRRIHSVHRHSGLAVAGLAADGRQIVSRAKSEAASYEKVYGEAISVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGVSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKAFELELSWICDESNRQHQKVPNDLLEQAKAAAQAALEEMDAD >Et_5A_041970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4735607:4747654:-1 gene:Et_5A_041970 transcript:Et_5A_041970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTEAERETLGNKLDRLKEQMKGAVSSHPAAVRAAAAVIGAVGIYFLWPVAAPAVAMMKAPGAGGVLISRAVFLAKKDLYFKLLRTAGAAAAVAAMAPRLRPPGCRWFLSGLWLLVKAKAAEAAAYLATLLAALARNADELLPPETRSETLRIWLHVAVTVLLPAALCLLVLYCLARCCARAAGRRRSMAAPGRGGARMPRDVFEDDPRRYFRDLRARKPLVQNCALCSSSCFVPSKEPKPSPNTSSEGEEKAEAMAIPQALVTAAGVAAVGALCAFFLWPSAPAVAMMKAPGAGGALISRAAFLANPKLYFHLLRHAGGMGGAEAVALLAVGAIVSYFLWPVAGPVAAVAMMKAPGAGGLLISRAAFLANPKLYFALLRTAGAAAAAAAASGGWRGRGVRSRTGGGGAGGGWRGRGVRLRTGGGGWRGRGVRRLARACCGAGGGGADERAGCRRVCPLPGGLREKPAALLRAASPLRRQGSGGSLQSRENRSMALAHVVVLGVAVGAACYLLLPAAAPVAMMKAPGAAGFLISRVAFEANPLYYFELLRNAGAAAAAAAFAA >Et_4B_038596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3158796:3161410:-1 gene:Et_4B_038596 transcript:Et_4B_038596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDTTARVDHSMNNAACTILFPYDSFFRIRQERPDPSKVTSKTSLSSVPSTIKTNSSRSTLTVMSMKDRSELPTPRTEGEILSSSNLKAFAFSDLKNATKNFRPDSLLGEGGFGHKLKPEGFQGHKEWLTEVDYLGQLHHRNLVKLIGYCSDGDNRLLVYEFMPKGSLENHLFRRGADPLSWAIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDKTHVSTQVMGTHGYAAPEYIATGRLSAKADVYSFGVVLLELLTGRRALDKSKPGIEQNLVDWAKPHLGDKRRLYRIMDTKLGGQYPKKGAHAIANIALQCICTDAKLRPRMSEVLVELEQLQDSKHGSSSPQVEVRRASQTVPKSPLRVQPSPRR >Et_9A_062596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24294409:24297727:-1 gene:Et_9A_062596 transcript:Et_9A_062596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTKFIKCVTVGDGAVGKTCMLISYTSNRFPTDYIPTVFDNFSANVSVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADVFVLAFSLISRASYENVLKKWMPELRRFAPNVPVVLVGTKLDLRDHRAYRADHPGASTISTAEGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRREPVTARKKNRRSSGCSIIFSLAATSSAAIPTSLAECPASGTTCAKLYEDPKRTS >Et_4A_033994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28892486:28894353:1 gene:Et_4A_033994 transcript:Et_4A_033994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEEVIETRNHMFNLFLAVSPEIFLINATFILLIHGVVFSTSKKDNYPPLVRNLSMGGRPNPFSAARLANRTQQEQGNSPGSGRHMSPNAIMNVRPTLHYVGTCACRRDNFTYFCQILLLLSTAGTISMCFDSFEKERFDASEFIVLIPLPTCSMLLMIPAHDLIAMYLAIELQSLCFYVIATSKRKSEFSTEAGSKYLILGAFPSEILLFGCDRTTIDQFLETSL >Et_2A_014688.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:35138160:35138471:1 gene:Et_2A_014688 transcript:Et_2A_014688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNYHRSTWCGPAAASMQNKKEIEACALVVATLRQKRPWRGSVVGHRSKRRDRISGDIRLTNDYFVERPLFNPDCATSSNQCIYLASLRNIFQQCIFYASST >Et_8B_059457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18031049:18032492:-1 gene:Et_8B_059457 transcript:Et_8B_059457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIVLLAACFVLVLSGALVEGGRRLDRSHRRHRSYRMFVFGDSFVDVGNVPSSWNNLTSRGWNYPYGSSDSAHNNRATGRLSDGMVQSDFVANILGLDESPPAGAIEKGDLNYSIALISTSAGKDSGRISGGEHHRRRESVTDGIKDVVKRLQNMGVSKVLVNSLPPMGCTPWEAVHNNYTHCESRGNMVADVHNTVLRQKLDAELEDGGDILLLDLNYIIKGIVQDGGVGQGQFTSKFSSCCRGVVSGTYSGQVDSMGRPQYFVCGDRDRSFYWDYKHPTQAAWNAIMRPLDEHASGVS >Et_2A_015715.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17120589:17123167:-1 gene:Et_2A_015715 transcript:Et_2A_015715.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAARTLSPAAAARPPVPRRLRPARCSGGAAETATAAGPVRVTTVSNSGDSLPICRVLNGMWQTSGGWGPIDRDYAVDAMLAYADAGLSTFDMADHYGPAEDLYGMFVNRVRRERPPELLEEIKGLTKWVPPPVKMTRKYVEDNINRSRKRMDVAALDMLQFHWWDYSNPGYLDALKHITDLKEEGKIKTVALTNFDTERLQIILENRIPVVSNQVQHSIVDMRPQQRMAELCQLTGVKLITYGTVMGGLLSEKFLDTNVSIPFAGPPLNTPSLQKYKRMVDAWGGWSLFQALLQTLKKVSLKHGVSIATVAVRYILNQTSVAGSMVGVRLGLSEHIKDTNAIFSLKLDEDDMNSITEASKKGRNLMDIIGDCGDEYRA >Et_9A_060909.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:16094042:16094570:-1 gene:Et_9A_060909 transcript:Et_9A_060909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLACNHITGNSPKLIPFRLRKTFGFLSRYALFSYWQNKIGQYSVIEGSRFFYSSMGLHFVELPDMLKHGIISALKSNRDGHLTNGQASLQSNEVFERFSWTLNNETHTVNILIWHIATECCRITLYDEPEAFAVDTEEGEIQISSHQ >Et_9B_065927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1816050:1823438:1 gene:Et_9B_065927 transcript:Et_9B_065927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNKCRLPLQLLLWRRRRQFSTAADSIYPKRIHGETRRWEPRPPPAPDTGDGARAHEAAVKRLAAAGDVDGVQYALQDMRLHGVACPEGALIAAIDAFARDGAADRALKTFYRARDLGCSAPTARLYNHLIDALLRENMVAAVAPVYENMKRDGVEPNVFTYNLLVKALCQNDRVDAARKMLDEMTRKGCPPDEVSHATIVSSLCKLGRVGEAREILAATPPVCASYNAVVLSLCREFRMQDAFSVVDDMVQRGLQPDVITYTIIVDAFCKARELRLACAILARMVITGCSPNVPTFTALVKGMFEDGRLHDALGIWKWMLDEGWTPSTISYNVLIRGLCSVGDLKGALSVLNGMGQHGCFPNVRTYSTLIDGFSKLGDLDGAVSIWNDMTSASCKPNVVVYTNMVDVFCKKLMFDQAENLIDKMLLENCPPNTVTFNTLIKGLCDCGRVGRALGIFHAMRRHGCPPNDRTFNELLNGLFRDGNREGALQMVIEMMNHDIVLNLVTYNTIVSGLCQMKMSKQAMLFLGKMMVQGIQPDAFTFNAMIHAYCKEGKVRMAASILGGMNMVNCPRNIVAYTVLMTELCNQHKLENAMVYLLKMLYEVTKSLSMSKGLGSNATPLMVSANYASAKNRRKSISHSSSEIEKGPGYRDDNTEVFNINEKPEGSSGGNPSLASELRNTGPTDGWPSFPGFPSSTLIVKMFFGFASISQSGP >Et_7A_052560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9344695:9347038:1 gene:Et_7A_052560 transcript:Et_7A_052560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTPPKSGDALFASVDRVNAELFTLTYGAMVRQLLTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSRCVDFKETADIIAKVFAFLALGFKMFLGVTATATNWDAEGTTCSFILEDNPLVDFVELPDTCQGLQYCNLLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLIKQVPEEYPYKDDD >Et_4A_032671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12485852:12489493:1 gene:Et_4A_032671 transcript:Et_4A_032671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRSRSRSYSPQRRRYSRSPPRRKRYDDPRDRYRGSGGGGFGARRGYGRPSAPTGLLVRNISLTARELRGFGFVKFRDPEDAAVAKQEMNHQVICGQEISIFYAEENRKTPQEMRIRTRTSGRYMDGNYTRRSLSRSPRPRYHWAGIIVTIIHLRIHTLHILETKIAVL >Et_7B_055979.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8574510:8577088:1 gene:Et_7B_055979 transcript:Et_7B_055979.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVARGGKAENKVAVDVAAWVFNIVTSVGIIMVNKALMATHGFGFGVAVCTVTDVSVNTKGLIAAAVAVCSTAFQQHYIHYLQKKYSLSAFNLLGHTAPAQAASLLIFGPFVDFWLTNNRVDTYNYNNMVMFLIALSCVIAIGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFILFGTEGLNVHVAFGMLLAIVGMIWYQYASSRPGGKERQNYHEPVEEDIEQGILSSQSKPDGKF >Et_2B_019248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20042701:20043543:-1 gene:Et_2B_019248 transcript:Et_2B_019248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYPNPHHFGIPQEPPHPNPTTAGFPTMAVHPDQQQHCDHFFPGHGQYFNSETLEAVLIRPPRAAPDCREEAAAVTQQQGPRIINGVAPVVAGGGGQGAGHARARKRPFRTDRHSKIRTAQGVRDRRMRLSLDVARDFFALQDRLGFDKASKTVDWLLTQSKPAIDRLSAEPSSHRGGGDAELANKETVVGSGKWGGGGGGVEKAAGINGASAFMDHGSCGELDRLVAAAPVLGEYYYEFGEMMSANGGEGDDDGEYDEDGDFLDGMQY >Et_4A_032407.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9762906:9762914:-1 gene:Et_4A_032407 transcript:Et_4A_032407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MS >Et_1A_008744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11444445:11446313:-1 gene:Et_1A_008744 transcript:Et_1A_008744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRILIGLTRQIRGQYERPGAIAEQAVSSTRTVYSFVAEKSTMARFSAALEESVQLGLKQALAKGVAVGSNGITFAIWAFNLWYGSRLVMYHGYPGGTVHAVSSIIVQGGLALGSALSNMKYLSEASSAAERISEVIHRVPKIDSASDAGQELVNVTGEVEFKNVDFSYPSRPENHILVGFSLHVPAGHTVALVGSSGSGKSTVIALLERFDDPSSGLLTLDGVDIRQLRLKWLRAQMGLVSQEPALFATSIRENILLGKEDATDEEVVAAAKAANAHSFISQLPQGYATQMWRDSELRYPSAQGPPRTACPNHRGPAPPSLPPTTARSGSPGRPACPPPGPAPSSAPSPSPSVPFPRAGIAFFVSPDRATLGGTGGYLGLAAAGIDLRSGNLTTAGIDYRAADRRLKVFLSSAVSRRARHCPSPKLQGMASWNCRNFSIAAMSAEALPSLGRLSCGASWCSFVAAWAAGSEAAPRGRFTPDRWPWSGDASSQPDEPIGYPAGRRGRAERGSRRGEGGRRRAGDGDRRGGIEMETETREKSKK >Et_7A_050637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9244202:9244406:-1 gene:Et_7A_050637 transcript:Et_7A_050637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISLSFFSVAERRRGKTQERICRASVLESVAGCLPVYAECLLVQPSIRSLIQV >Et_9B_063995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:178672:181586:1 gene:Et_9B_063995 transcript:Et_9B_063995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPRNASLLLLLVLLFPILAAAVAQRPSRPSSARGGTEGFQPLLDSPPPSRPPHRHHRSPASPRIPPPPPSPVAVPPAQAPPPVTTTTSPPTPKFPSSSHEPYPFTNYPFFPAAASPPPPAQQQQTSTGGLPTFPANISNLVAPTNRGGASGSHHFPVLQALLLSLLSLCLLLLSALLSIHLVRRLRHRSAAGASNEDNDGDDEEEGRSLKPPPMPTSSTNPSTEFLYLGTLATPPGPSSHLRPGSPELRPLPPLPRVGPPSAEFASRSSASDPSTLPPAAAADASSSSLSPSSPSASSPTLGSSPVHLRPPTIPHPRGRAPNPSSDHAWNPFVPPVADEGGDSDDVSIRKTRPLHSDKLKPGSLHMKDEVIQQYLNNPAAVAMPRQVCLLGAPRCHGVGMILGALGVSKDQLRDALLEGNAHGLGVDALRMLTQIVLNNEEELKLKYFKDDSKSRLCPVDDFLKAILDVPFAFKRVDAMLYIATFYLEVNQLRMSYATLEAACQEMRSSRLFHKVLEAVLNFGNFMSINAGSPSSQALEPNTLLKIVDVKGADGKASLLQFVVQEILKPEGYNIAHPGSATCKMNTSTVQGGVECRKHGLQVVSKLAAELTNTKKASSIDINRLSRAVSDLGVGLGKVHDVLRLNSMVTSVESARRFHNSMSTFLRQAEEEILKIQSQESTCLSSVKEMAEYFHGDSANDEAHMFRIFASVREFLAMLDRICKEAAVMDSQCAGVNWMATPMGMTTP >Et_5B_043933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1820177:1833647:1 gene:Et_5B_043933 transcript:Et_5B_043933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSNSGSRADSGGYNLNEKAEDEGAYESVRDDAADLNSRQWNLNEKADDAYHNEEQYETGEPGLYSSGNTSEQLAGRGGPWGTNFLKDSGSKQTAEVPLNSDRGRDDGSAASSHDDMDGSGEDDELRGNGEVPAEEMLSDDYYEQDGEEQSESLLREDDPDDVDFEPDSETDKAANKEKFVESENSDDDGNDELELSDDDDDDFVENRRQPKRLKIGGPKPSKGRKLPIQAQRKRGMSLTDDEDSSGKDSDVHSDADFSHKSKRTDRLPQKTAGRNDANPINSHNELRTSGRRRTVKKISYAESEESDDSEEKLAKQQKPLKEEPEEEDGETIERILWHQPKGVAEEAMRNDQSIQPTVASLTLDFDQQWDDVEFYIKWKGQSYLHCQWKTLSELQSVSGFKKVLNYMKRVAEEQRYKRSLSREEVEVHDVGKEMELDLIKKYSQVERIFADRMSKVDGDDLVPEYLVKWQGLPYAESTWEKDTDIEFAQDAIDEYKAREAATAILGKTVDFQRKKSKASLRRLDDQPEWLKGGKLRDYQLEGLNFLVNGWRNDTNVILADEMGLGKTIQSVSMLGFLHNAQEINGPFLVVVPLSTLSNWAKEFRKWLPNMNVVVYVGNRASREMCQQHEFFSDKKGGRHVKFHSLITTYEVILKDKDVLSKIRWNYLMVDEAHRLKNCEASLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPVKFNSKDSFVERYKNLSSFNETELANLHKELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFQNLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSIGDRNKVERIIMSSGKLVLLDKLLVRLRETNHRVLIFSQMVRMLDILAEYLSLRGFQFQRLDGSTRADLRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQETVNIYRFVTCKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKESKKGGSMFDKNELSAILRFGAEELFKEDKTDEETKRNLESLDIDEILERAEKVETKGGEEEAGNELLSAFKVANFSSGEDDATFWSRLIQPDPADMVQETLAPRAARSNKSYVEDTQLNKNNNRKRRAVEAPEKPRRRSARTVDPVVSLPMVDGAVAQVREWSFGNMPKKDASRFVRAVKKFGNPSQINLIVEDVGGVLAKAPHEAQIELFDLLIDGCQEAVKENTDLKGTVLDFFGVAVKAYEMLARVEELQFLAKRIARYKDPIRQYRIQLPYKKPQWSASCGWTETDDARLMVGIHWYGYGNWEKIRLDPKLGLTTKIAPATLGERETFLPRAPNLDNRASALLQKEYAKFSGKSSKAKGNARQTVNNDGNSGARALKGRQKDAKGKDDNKLNRDEIQKRKIVEVEAREEGEISESEAETKYRLDKEEKWLEWCSEVLDEEQETLKRLDRLQNTSVNLPKEKVLSRIRKYLQIIGDKIVEVVRQHSESYKQSRSSNQLHPSRNQRSTRSLHYTSDSFNNNENSGSSEAWKRRRRADPDNQFDTQPLYQPPPAIANGNRLQESSSSAGILGWGPVEMRRYGNDRPKRGVHPSHFPAGHGPLL >Et_3B_031306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25220784:25223884:1 gene:Et_3B_031306 transcript:Et_3B_031306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLARPLCTPFCSGSGGIRARREPRYSVSLIRCQAEAAPPVGMTKATGPYTGRDPEVKKPVWLRQRAAQGENYTRLRESLGELKLNTVCVEAQCPNIGECWNGGGGAGGEGDGIATATIMVLGDTCTRGCRFCAVKTSSKPPPPDPLEPLHTALAVASWGVDYVVLTSVDRDDLPDGGSGHFAETVRALKELKPGILVECLTSDFRGDLEAVSSLVNSGLDVFAHNIETVRSLQRIVRDPRAGYDQSLAVLKHAKSSKEGMITKSSIMLGLGETDEEVKQAMMDLRAIGVDILTLGQYLQPTERHLTVREYVTPEKFQFWKEYGESLGFSYVASGPLVRSSYRAGELFVQNLVRNNKTKVSASS >Et_5B_044268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22065200:22067601:-1 gene:Et_5B_044268 transcript:Et_5B_044268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEQTLPMWLTPPGRGTIANEDLTPIVWVLPVVGGLAFLVQICTQFPLVYLKYIVVAFAVLWGLGSVVLSFRIFERFRGQNTLGHHAARLAFLCFTLLGLYALYVVTAVVATSMDKDVHPSTRSKRSELCFSNLLLFHCSFSFPILNPASLFRKF >Et_7A_052648.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:10419366:10419668:1 gene:Et_7A_052648 transcript:Et_7A_052648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQSRQRSARSSTQKTKAGLSWQTSQRGRAAESRLSASGRRWCLPASLLAAWISTSQRAHSAASSAQHTTVAAAPPHASHWILMALSASGLSAPASLA >Et_9A_062338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2218059:2220564:-1 gene:Et_9A_062338 transcript:Et_9A_062338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAMGAMGTLAPKLADLLQDELVAQMGLRREVESLCRELLMMDAALVEVSQVPPGQLSETDKLWAEQVRELSYDMEDAVDAFMVRVDRREPSNATDANIFKRIIHKTKATLKKVKDRHQISDKIKDIKGLSKELSELRAKYTFSCSAHAVKTVDIDPRVVNLYENKGKELVGIEKASEELLQMLTNPDGQKSLKIVSIVGSGGVGKTTLAELVHDHLKAQPFDCCAYVTVGRNPNIKNNFREMLEKLGKMCSTDMTSWSVERFCEVLHEFLHGKRYNIVVDDVWEEKVWKAISCALPESNRGSIVIMTTRNSLVSTKTNVVYNIKPLPHDKSKELFCKRTSSKNEDNQLVDKIIDKCDGIPLTIIAIASLLVNRRLEDWQAVYDSIISGFEGDNTRTILLYSYYDLPSNLKPCLLYLNMYPEDIFIKKYTLIWRWIAEGFVHLQKDGHRSLFEIGERYFNELLNRSMIQPAEDKRVGIIDGCRVHDILLDLICDLSTKENFVIILDGEQLVSSESVTRKKQVGLPQKNKIKKQVGLHGLERKVRRLLIQSSRIVNITDTAETVRSFHSINCWFKFPMLSSF >Et_1B_012282.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30444290:30446670:-1 gene:Et_1B_012282 transcript:Et_1B_012282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTVATDFKRVFKIHDKLYIGLSGLGTDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSALLYEKRFGPYFCQPVIAGLGENNEPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPDMEPDELFETISQALRASVDRDCLSGWGGYVLIVTPTEVRESVIKGRMD >Et_5A_040191.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20733369:20734317:1 gene:Et_5A_040191 transcript:Et_5A_040191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTNLYENGNLANNKKEMYLSISLLQNLHRSGLELLGDAGEVLPLVRGQGELGLAGRPAAVGAGERACAVGAAALDLVHAEHAGAEGVAHGHEHHAVVRELRDGGERRGLLAAALRAGGEEEAGGLSGEGLRLPQAAGGVEERLHLRRHHAEPRREAEEHAVRLRQLRRRDHRVLLPLRRRVHLPQHLLRQRLRHPPNRGFHAVNGIGALLDLLGQRRHVAPGRVVPEIGIEASIISA >Et_2B_022913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7973823:7978419:1 gene:Et_2B_022913 transcript:Et_2B_022913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSVSYVEHCPQMLDGGSSPAAAQLVEGYYSKTCPNVEAIVRKEMEKIIAAAPSLAGPLLRLHFHDCFVRGCDASVLLNATGGMLAEKDAKPNHSLRGFGSVDRVKAKIEAACPNTVSCADVLTLMARDAVVLAKGPFWPVALGRRDGTVSSATEAAKELPPAFGDIPLLTKIFASKGLDLKDLVVLYSREL >Et_8A_056965.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19588597:19590403:1 gene:Et_8A_056965 transcript:Et_8A_056965.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLKAFMLISIALPQAGRADRRSHLDLSSMHYAGSGKLEASGTTSIPTHVADAIEPEQIRGPEIKCYIAFKLQVYYSFPCYRLLLGIQFPADGGFFECVGPAAATRCARSESPSSRRSRLLGSTTTGSTSASWLCCSSATALSPTSPWTASSQGESEAFYTSTLRQLWCHEPMLASINAEERLIISGRRGFNYNMS >Et_1A_004788.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5058548:5059795:-1 gene:Et_1A_004788 transcript:Et_1A_004788.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QLELVVVPEDALAVLGLDVDALLGLTPCRLGNGRGVELVVVAEDGPDRLGGLHGVVVRHGGEEVVRHVRVRDVVEHAVEDAVVAVHGGQRPAQPVPLARVVVRQRRVGVLQVGDEHQPRVHDQQRHGVDAHHPPEPERRRELVQRERHRDDADVGDEDLRALPVVVDGAVGVEVAGKPRVRPARGVRRQVQRPPEGELHHDVHQPADGVVVHVRGVPRHRFLLLGDEHLVALQGAGVGVVATVAVLPREVRHQQPRVQHQANNVVEELVVREGMVAALVRDHPHAGAHAALSNPVERPGRELERGREGRDDDQREVQERRNNDEVMDHVREGLEHRALEAVRRDGLLDLPHRERRLVHWCALHGRAAARAAEQLLLLPLLLSHPAAGTHVAVDTKHRHDQSTSSCHKCDPRKYYK >Et_1B_010695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13086618:13098213:1 gene:Et_1B_010695 transcript:Et_1B_010695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSFAAAIGAAVALIADDDEDQEATAPVQQPEEDYAKYSEDKSDVDEPPLPFQKPTGRQWIRMVERADQLKKEVSKKIASSSNCILYERMLIIDVLERLCLDHLFEDEISVALAQINDADVRGCDLQTVALWFYLLRKHGYHVSPDVLAKFCDRRGIFAMKNPSDLLNLYNAAYFRTNGEIILDEAISFTKRSLQSIVPHIEGPLAREIKCALEIPLPRRVRIYESKYHISTYGKETKGGDDEIMELAKLNSNIMQLQHQEELKTITRWDPMVTHGLPEKMKFIFRKILDTCQSIEEELEPGEKYRIPYLKNFVRMAERADKLKDEVAAMIDRSSTCSLLERLHLIHVLQLLCLDHLFEDAINDLLTQIRHADLSGCDLQTVALWFYLLRYHGYRVSPDVFIKFKDGVERFASNNPRDLLNLYNAAFLMTPGEIILDESMSYSRKWWKNLRLQSNLSFSRDRIVECYFWMLGAYFEPRYSRARILLTMVMAIITIMDDTYDVYGTSEECELLTKCIESWNPKVAQNLPENLKIILQNILHSYEIIEHELEPGCKYRLSYLKNVTIDWVRAYNTEVEWRDKRYIPATVEEHLKNSVRSGACHLLSCASFIGMDDIATKESFDWVANEEWKKLNGEWLKSNKDQPKELLERIFNLARTMEFFYERDDAYTNSYIVKDIINSTFVDSFT >Et_1B_010544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11487836:11490777:-1 gene:Et_1B_010544 transcript:Et_1B_010544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTSEEESLNNFQQQAKLEACEIGSSKADTVMPVVKKRRGHPGNLDPDVEVVALSPKTLLATNRYICEVCHKGFQRDQNLQLHRRGHNLPWKLKQRSSTEAKKKVYVCPEVTCPHHEASRALGDLTGIKKHYSRKHGEKKWKCDRCSKKYAVQSDWKAHTKICGTKEYRCDCGTIFSRKDSFITHRAFCDALAEDNSRVNHTLATMVGSLHGQQQDIFSHGVPSFTASPTDVISNLSTNDHNSDSHLRTLSPYALITRNTALFSNQISQKDSGFPLDGSASSFPYMSMNSPYMSATALLQKAAEMGAKTSHDPISPLLLKSFPSNVTTPRDHMDISSGSQGDSLGNSAANSVGIKTADDDGSYMSGRSNILMNSPWASSCMRPTTVPLIGLMNNPFVMRAEKESPSIFSESQTQHNRQGNISGVGDAGLTQDFLGLGGNGNLDISSETYNADVTELSYSDEQQKSQEHIYSYHQSSLDSAALEKPIWES >Et_2A_014699.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:6914722:6915672:-1 gene:Et_2A_014699 transcript:Et_2A_014699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LHCRLSSIATLDFWLNYYMRFTFLMKSPAPAKNVQCSDSCAATICSASNRELSRMRLRYLLDLCQDKRRRGAGAGFGI >Et_3B_031134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17470962:17474544:-1 gene:Et_3B_031134 transcript:Et_3B_031134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQWQPAIRVRASDLFSRHLSEARVAAVLVCRGQSWTTSFCGDLKVKKLDAAWRGFAIDNELRVEDTGVFELVGAKTEGDKKMVVFRVQVLHGDMPEELTSKGATSDEPLVISRRRGGTWRGATRKDDEHVVKVPCGWLDTSNPAQPITTHAISEETPCLRFPRQPPEGGRPPASALYLPGREDSRALSGCRRSAFSLSPAELKRYRSRYSQQNFYEKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIIAKISKDYLRLLKYGDSLYRCKCLKVAALGRMCTVIKRISPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFMNKVTRAYVDVQPYAFTTKSLFVGHTDYKYLCYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYNIAQQAALFHSIKSLLMNKPLVIVCNKTDLQPLEGLSEEDMKLVMEMKAQAMKTIAQAGDANEEGVLLTMSILTDDGVMAVKNAACERLLEQRVDIKMKSKKMVDCLNRFHVAMPKPRDNKERHVSIPTAVLEARANAAAKEKKLKKDLENDNGGAGVYSASLRKHYILANDEWKEDILPEILDGHNVADFLDSDILERCEELEREEGLRHEEQAAQDAFEIDGHELTEEQSEILAPIRKKKALLIQEHRMKKRTRSRHIVPRMFDKDRKFTTDRMGRQLSSMGYDPSPALERARSHSRGRKRERSLRRAAGDGDDMEIDGQQSNKKLRARSRSRSKSRAPEEAIPGEGFRDSDQKKKAIKKAKDSTKKRNKDARCGEADRVIPTLKPKHLFSGKRSIGKTSRR >Et_7A_051583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20676923:20678362:-1 gene:Et_7A_051583 transcript:Et_7A_051583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTHSDRLSSLPDAALTRVLSHLSTDEAVRTSVLSRRWRRLFKAVPVVDLVDPNVGHSCGFDTPPICFDQKATCALPIRAFRLNAYNPNRTLLCQWIVTALTSVAEELDVKLRQQESSRLRLCPFIPYEEASADFDQGDQGSATLRRLSLSRWTLDLPRHVSSLPLETLVLRRIMAIEEDALQRLISSCPRLVNLSLEQCPGVTGVRLQTFAMVCCHKARSVVLESRRLRSLRYKGGLPCDRSFLSVANHAGLEAVTIDICEDMEPKTPRDVAPLTDLISMCRNLTFLHLALRPAMAYYSGLFTSVLRCLPRLRHLELKGHLHSDHSVASVAALLQCTPNLEALSLFPLLPDPPKKNKYYFFEGLDNEQGDDKDDKNVSYRSGQVQVPQGLWMLPVGCLKHRLKRITLLRYRGRPFERMLAKFLLSKAAALDELSVSVEPGLSKHRDKMARDLASWRFDRRTRVTFV >Et_4A_032097.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:16898588:16898788:-1 gene:Et_4A_032097 transcript:Et_4A_032097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAGVTEGRRGVPLIGQRSTSHILCCGNNVETILFGLPPDLGTRLLLSKSTWNGFIKCRECISDRL >Et_7A_050368.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:10093262:10093543:-1 gene:Et_7A_050368 transcript:Et_7A_050368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGLCPVNFEGLPGIRTVVNSCRPPQPSEQRCCGAFKSFACPYLDLINDNANNGCAGEMFFEIIVRGRLSPGLFSYLCHDGAVGLNCGPAPA >Et_3B_031544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:465310:466867:1 gene:Et_3B_031544 transcript:Et_3B_031544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRGKMQQIRVVLPCCSYLPANAAESPADREPGAEEDAEEHEIRDDNHPELTSPCPGRCAPAAVPLGRPPRGELSVPPGGLLRLGLCGGDPLGLASERPLVVGGQRQAQRESRHLVVHPGLGETSQASEEEQELRSGQLRRERDRGERERRGKEQRVRAAASGMGEVAVVRRGAGHEVCGERRQVGAVGGGAGELGDEGGVAEDAGVEQGMRGREHPRQVGKMACFAATAMARLRAVALLRLPGECGMLLVLAESGGPCWHGMSAVYKSNPNRRGSEFATGIHVLLFASQRWGRARQMRPWAFVYRVCGMPMAT >Et_7A_050706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10196894:10198717:-1 gene:Et_7A_050706 transcript:Et_7A_050706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGAVPTLLGLRPPHPCCSGLLVLRPTAGSPFRAKTASFAPSSPARRHSAAAAAIHASASDSSFPASPTPPAPPLRPSAPEPPSTVAHAGRSKKGKKNPQGGGGGRIEGGGDVRRQAKAKARIRSRRLGENAFYRRKRRAAAPGQADAFTDAELEMIGMGYDRAVRFMDGPDDPRLRHPHDWYKYGRYGPYHWRGIVVGPPIRGRFSDDRVSLMSEVDDHEEWDRIEQFEMSNQFSNRLNEIGDAVGFRYYWVFVRHPKWRPDEKPWDQWTLSAEVAVEASRDQWLDKWSLMGRFGNPTRELITRCAAWTRPDIIYVKRPLYQSRFEPQEDFFTKLRPLVDPATENDFLFDLELDGQVIRTTYFGGLCRLVKASPKAYVDDVVNAFSRLSDADKSRCLDSDKMEKLVKTGIEASNAYEKRQMQLQKEMEQKMSSANAMVMEQEQADEDEDHETESSRSAEDDDEKQSNRNPGGFLRAAVRPFTYRNLVKEIVLMRHHIIDGEI >Et_10A_001200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22038384:22049379:-1 gene:Et_10A_001200 transcript:Et_10A_001200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPKKFGLKYVAIENKNFENDLHQGLTAEFELNQTGEVHVDASWRRARKIPQTLFGVFFEEINHAGAGGIWAELVNNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFTRNIIALRMEVLCDDCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKAPETTDLTMSLTSSDGQQNLVSATITVGGTSNWTKLEEKLVAKGTNRTSRLQIKTKKKGIVWFDQVSLMPAGTYKGHGFRTELISMLLDLKPRFLRFPGGCFVEGDWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYELLQLAEDLGADPVWVINAGISHKDYVNTTSITPFVKDALDGIQFAIGDVGSRWGSIRAAMGHPKKFGLKYIAIGNENCENHLYQGNYIKFYNAIREAYPDIRMISNCNGLSSSLGHPADLYDVHVYKTADDIFFKKNMFDKTSRIGPKAFISEYAVIDAKDAGKGSLLASLAEAAFLTGLERNSDIVQMACYAPLFVNDNDRCWNPDAIVFNSWQQYGTPSYWMQTFFRESSGALVHPITIISRYSDLMAASAITWKGTDNSFLRVKIINFGASAVNLTLSATGLQVGINTVKSRVTVLTSNNVMDENSFNRPNNVVPVTRELPAAGKEMQVLLGPYSFSSFDLALDQ >Et_5A_042276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8197353:8198020:-1 gene:Et_5A_042276 transcript:Et_5A_042276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGSGAAKKAMSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGTVTIASGGVMPNIHNLLLPKKAGGSAKAAAADED >Et_1A_006458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22638762:22640257:-1 gene:Et_1A_006458 transcript:Et_1A_006458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPVPSVQAIVAATGGAEVPSRYHRPEASQDPVSNDIEAEIPVIDFQKLLDPDASPEDSARLHRACQLPRLGVLPGNGWFQLINHGVPSDVIEGMKASTEGFFQLPLETKKEVAKERGQLDGYGHLFVVSEDQKLDWSDVLYLNTRPPHRKNLRFWPDKPDNFRLTLEKYSAATMNIPEALTDKFVEGIQSIRMNYYPACVKAENVVGLAPHSDAGFLTLVLQVNQVQGLQIRRNGTWVLVKPLDSALVVNLGDTFEIFTNGRYKRIEHRAVVDTEKERLSIAAFHGPNMHTKIGPLKEIVAHEVEAYKTVDHENYRRIFLSGKLVGKSFLEQMKL >Et_5A_041338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21334264:21336134:-1 gene:Et_5A_041338 transcript:Et_5A_041338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQPPQLGKVAPFDAVEAGFGDRPDPDLDDDGREKRTGTLVTASAHIITAVIGSGVLSLAWAVAQLGWVGGPLVLAAFAVITWFCSGLLADCYRGPDRRRNYSYGQVVKAYLGDSTYRLCAATQYINLFGACIGYTITTAISLGAIKRSNCFHRHGHGAVCKAGTNTSMMAFAGIQILLSQLHSFHKIWWLSIAAAAMSFTYSSIGLGLSIAKVASDGVHGARTSLTGTTVGVDLSGSEKVWKTFQSLGEIAFAYTYSNVLVEIQDTLRPSPPENVVMKKASFIGVSTTTAFYMLCGVLGYAAFGNDAPGNFLTGFGFYEPFWLIDLGNACVALHLVGAYQVYCQPIYQFVEGWARARWPGSAFLAGNRPFRLAWRTAYVVLTTLIAMAFPFFNDFLGLIGAMAFWPLTVYFPVQMYMVQAKTRRFSPRWAWMNVLSLACLVVSLLAAVGTIKGLVTDVKGYKLFKFS >Et_5A_042475.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1397992:1400250:1 gene:Et_5A_042475 transcript:Et_5A_042475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRLLPRPARATCSSNALSTLAAHHPFGETPHTLAARATPLAALRAQLLAGYLVSPFAFSAVVASACGNSLPALHGLAVASGLDAFAVVTNSLAARYVKAGGSFPSAARVFATARARDASSYNTILSSIPEPDEALAFAARMLRSGDVRPDAVTFTVALSLAAGRGEVGLVRQLHALASRAGIAAADVFVGNALVTAYSRAGSLAAAWKVFEEMPARDRVSWNALVCGLAQDGDCPAEVIRVFLRMLKDGGVRPDRISVCSVIPACGGDGKLELGRQIHGFAVKLGVDGHVSIGNVLVAMYYKCGTPSCARKLFELMDERDVISWTTVISMDGEDSIALFNGMRRDGVAPNEVTFVAMLSALPEDRPTREGQMIHSVCLKTGLSDKVVLANSLITMYAKLQCMDDARMVFDLMPHPEIIAWNALISGYAQNERCEDALEVFSSMVKFMKPNETTFASVLSAVTAVETVSLAYGQMYHCQTLKLGLGASEYVSGTLIDMYAKRGNLEESQKAFGETVHRSLIAWTAIISANSKHGSYDAVVSLFNDMGRSGLAPDGVVLLSVLTACRYSGFVSLGREIFDSMAAEHGAELWPEHYACVVDMLGRAGRLEEAEELMLQMPSGPSVSALQSLLGACRIHGNTDVGERVAGVLMETEPTESGAYVLLSNIYADKGDWAGVAKVRRHMRERGVKKEIGFSWVDAGGVGESLHLHRFSSDDTTHPMTEEIYRVAEGLGWEMKFLKNCLQVEIEGLI >Et_4A_035300.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:18343648:18344151:-1 gene:Et_4A_035300 transcript:Et_4A_035300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRQEVLTDDLLADILKRVALRHLAVSRCVCKAWRALIDDRRLLRADLRPCSLAGLFINYIAPELNFTELFSRPSKSTTELTTNYPPHMVVQDHCNGLLLLGHSVLNPATGRRVPLPDRPLPPDDGTTGRSPTGVRMAFVAVHPERLLLGHGALAKAIVSPGSRW >Et_9A_062972.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7590016:7593278:-1 gene:Et_9A_062972 transcript:Et_9A_062972.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSGPLPYSMRDVDAGAYNNAKFRHRSRLKIFIQTLATNSGKYQCGKFTVGKFLSLVMVFGLIYLFLHKSPDGFVSGQIHDKEVQNKHVRKAPNIRTFWRKPPRLPPRLPPNEIYKNNSLLQQSPPSEWALRQKKVKEAFEHAWSGYRNYAMGYDELMPLSHRGVDGLGGLGATVVDSLDTAIIMGADDAVSEASKWIEDNLMKRISEKGQVNLFETTIRVLGGLLSAYHLSGGDHAGPADSGIPETYKKANPERLLEVSKDLADRLLLAFTSSPTAIPFSDVILRDRTAHAAPDGLSSTSEASTLQLEFSYLSKISGDPKYDTEAMKVLEHMRTLPTVEGLVPIYINPHSGQFSGENIRLGSRGDSYYEYLLKVWVQQEEHRDTSLKYLFEMYTKAMKGVKQLLVRKTVPKGLVFVGELPYGRNGGFSPKMDHLVCFLPGTLALGATKGITKKKALENKMLTDEDVENLQLAEDLAKTCVEMYFVTATGLAPEIAYFHIEGNSEEGPDGGNKSSEYINDIIIKPLDRHNLLRPETVESLFVLHRITEDPKYREWGWQIFQAFEKYTKVDSGGYTSLDDVTSLPPHRRDKMETFFLGETLKYLYLLFDESNTLPLDKYVFNTEAHPLPIMRSVKQVSQSV >Et_2A_018309.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:22395490:22404290:-1 gene:Et_2A_018309 transcript:Et_2A_018309.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLSRVGLHALNRARADMDYQIESFQGRNFFCSSSNTKAADKKNEHEAKISVTFIDKDGEEKLVKVPIGMSMLEAAHENDIELEGACEGSLACSTCHVIVTDVDYYNKLEDPADEENDMLDLAFGLTETSRLGCQVIASPELDGIRLALPAATRNFAVDGQLPTVRSITYRDVRDSELFGTTLVLPISVNKGKDSLGDY >Et_6B_049467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5151372:5151979:-1 gene:Et_6B_049467 transcript:Et_6B_049467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSALGPLVIVSHAALPDRWGHEHGLRQLSPHVGRLVGQLVGAKQDVPRHVRAFSTATAAAARLLHYEVRHEPDLRRGLERRRTDVVGLRGDRAAEVVDELGEVEPGIAPAQPKLGDVVRHGGHLRRLEAAEPDARLLAGLPDLRHPLSPLALPHASVLSGHISGRDAGGIV >Et_6A_047669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:9284455:9292296:-1 gene:Et_6A_047669 transcript:Et_6A_047669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTHHHYALVSPQAAKCWTRRTSIRSAAAASPAWNRVNSVTTACPSTKHAKLLHLGAGAFTRRRNILVANGVSLPGYSSAPDDSDDGLKPRDNISVGAVKESVIEINSRPDSVGVRSELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSIFNIVSKIFNIPLLSIATSFVAEDISKNASKHSSSGKLELSSVSSALILAAGIGIIEALALFLGSGLFLKLMGVSPASPMYRPAKLFLSLRALGAPANVIMLAIQGIFRGFKDTKTPLLYIGLGNLSAVVLLPLLIYVFQLGITGAAISTVLSQYIIAVLLLQSLSKRAVLLPPRMEQLEFSGYLKSGGMLLGRTLSILLTMTIGTSMAARQGPTAMAAHQICLQVWLAVSLLADALAVSAQALIASSYGILDYKKVQKITMFSLQIGVVSGLALAVGLYGSFGNIARLFTSDPEVLLVVKSCALFVCASQPVNALAFIFDGLHYGVSDFDYVGQATIVVGIVSSLVLLYAPSVFGLAGVWAGLTTLMGLRMVSGILRTPTHLLFQH >Et_2A_015172.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34296122:34296481:-1 gene:Et_2A_015172 transcript:Et_2A_015172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WQGRRRRGWQRRRRWRRREGRWRWRREGRWRWRQERWWFGRSGLRQVQRRQLCRARWWLRRGREVELRRWCRQWWDDEGARQRWSVHLPPWVRVQPAGVLSGPPWRQQVRGLNVPLLAR >Et_5B_044597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:404511:405080:1 gene:Et_5B_044597 transcript:Et_5B_044597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISCLAPQSSLLAASFGDAALARALQFSAMSTDSASSSDYSTLCAAYMSPPVTCESVLVSPRRSSPQGLEQQQPCFPTLDSWNVSCSVYERNGLLF >Et_3A_027032.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30070078:30071493:-1 gene:Et_3A_027032 transcript:Et_3A_027032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPELSPVSPVRPGDKPCAPSSSSSPSPVLRVQDASAAEKYEQYFRLPELSRLWQAGCFPEWPGEGLVKPALQALEITFRFASVALSDPRLYASRRELARRLEALAAREVELVAALCEGDRCAPLAELSASGGVLPRERSASEVWQLPGSAAAVVCQASEASLLPRLAAWDKSETLAAKIMYAIESQMQGCAFTLGLGEPNLAGKPVLEYDRVVRPHELHALKPKPAPEPKSGYRNREGEALFTIHHILESWLCAATQLLARLNDRIEAKNWEAAASDCWVLERVWKLLADVEDLHMLMDPDDFLRLKSQLAIRAAQGSDTSFCFRSRALLHVANTTRDLKKRVPWVLGVEVDPNGGPRVQEAAMRLYHSRRRGEGEDAGKVELLQAFQAVEAAVRRFFFAYRQLVAAVMGTAEASCSRALFVPAEGMDPLAHMFLEPPYYPSLDAAKTFLADYWVQQMAGASASSSRRT >Et_5A_041172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1948516:1953244:1 gene:Et_5A_041172 transcript:Et_5A_041172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRPAAAAARDPPQQPAAAAAAPAETDKELVLRPPNLGVVAAAMVLFLAPFSYLAFVHYPLGSDLRKSILICGGLSLVGFFIALGMIPVAARYLLRRRMFGYDINKRGLPTGQIQVPESLGIVVGIVYLVIAILFQQFNFAADSMWLVESNAALASVCFMILLGFVDDVLDIPWRVKLLLPTIAALPLLMAYAGGTSIIIPKPIAPYVGVEVLELGSLYKLFMLLLAVFCTNSINIHAGINGLEVGQTVVISAAVLIHNVMRIGSSKDVDIQQAHEFSIYLVLPFLTTSLALLAFNWYPSSVFVGDTYTYFAGMALAVVGILGHFSETLLLFFLPQVLNFLCSVPQLFHFVPCPRHRLPRFDPQTGLLTGTKDGNLVNIFLRLFGTCSEKALCIRLLIFQALSCIFCFWLRYMLTGWYK >Et_4B_038685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:460626:469362:1 gene:Et_4B_038685 transcript:Et_4B_038685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATAGAELLPSAEADWPPELRLPAPPPPPPAEPPPAGMDDSQFLGSIMGEPARQGPAEAPPPQQAPLVPKKRGRPPKKKDGAVVVAAPKPAKRREDEEEVVCFICFDGGNLVVCDRRGCPKVYHPACIKREESFFNSRTKWNCGWHICSSCEKAVHYMCYTCTYSLCKVCIRQGKFFSVRGPKGFCDTCFGTILLIESKDEAATKLSLTLEELTSAKSRWTVPNTSVRKEKEESSDDLYDINDDDAGSDCSSRKRRRTNSSRKKGRKRQKTLLLEYIKKNNLRDPQRKSQIICDARLHRLFSKTRVAHFEMLKLLEMHFPVNETSIVNDNGQAAIDLNSAHMDTNGYSAMAAKSSPEKRRRMHRKMEREQQVDPEAYAAIDMHNINLIYMRRSLMEDLIDDAAFSDKIHGGFVRIKISGVGQKQDMYRLVKVVGALKVPEKYSIGKKTTNLALEILNLNKKEIITMDTISNQDFTEGAIQEKAKWLENERQRLGHLRDRASETGRKKEYPCYLIMICVLNSSEERTRRINEVLEVHIDSHMDPNYESAEEMDDKKSVGTNLSRIRADTTKSRRKSKYRNSMQNHTQKIDDANHHPKNLSTESTIRGSKTGRKLENFHPTNGTDIPKPSTVYEAGSLSSSVLTVSSETEPEKVWHYKDPSGNVQGPFTLLQLSKWTTYFPRDLRVWLTFESEERSLLLTEVLSKQQTVFTQTASVSTSIKATSAGIELNINSPNVDQKNALSPSGYSMLNSSVITGQTNKYSVPERGSVNSPDDCLSLSTPSVPPKDVQTLNSQVQFQTKHSVSVHSPGSPYGQTDLQQDGVQGGCSGESNHRHSSGALRSSVPAQMNYSGNSNVASHHHNQRTLWLQSQHDPRNSSQGVSVKDLSNNLPTQRVGKDVPNPVIAWSPSESRTASSQHDGSCLSSTTNPSFLDDHHSSIASEKPKNCAPATPIEDRGSSSPSGMLSHSERVPVCSPQSAPSASPDMCKIEEIMNQQGTLEADTSNASVNQSPESKSFPISSPDNQDIEREFPSPTPRPENKEPVVDNSGLTPASPEKLANTHSPGSDPCKMEAIVNRQKLPGAGTSNSSDNHYPQSSNKDLEGEYLSPIARSDNKEPAVDNSLPTLPATENLRMNSASDADTCKMEVTVNQQKPLEMDALNASLNQSSGSNIFPVSSTDNQGIERACPTPTPGSGNKVPSLDNSGSAAPENFTTATASASDACKMEEILDKKTTLETDASNGSVAPLQSKVSVVTSPDNLDIERECPSPAHRSENKEPLADDSVLMSAAPENAPTTASAFNGCKMEETLNKKTVFETDVSNCPVSPSPQSKEFTASPDNKDIQQQYSNPIPENKEPVVDNTGLILTSPENFTTKVPGDSKDAFASPKSDPPTGKLNATVSDFKGVEEIIQNELYSESIVVTRENMVIDPSCGAESIDVSDVLESLMEQNGTAALEDLLAASAEEEPQCSSPIVLSPWGEPSYYQGDAVDSTLWGVQDDSVNDMWSLLPPTPALQPSSDLGTEANETLHINKVAASHGVIEFLQRGGTPAEENVNQALSGAATDWVLPEQVKSTPNDVSTHESTGIVGWQPSADQRLSAVTALGTSQSLYLPGCEKAATSSKSSLEASRKQESTDSCVSSSGEAIGNITKGLNPPSVNANRGRQQNHRRGRYSEISESWLLSSNNSRSRSDRFGNGGSSRSTLNGQNRGVCKFHEGGYCRKGASCSYLHP >Et_9A_061766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16184733:16185814:-1 gene:Et_9A_061766 transcript:Et_9A_061766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLSPSTLFTPLRGSKKPSPVSASSSSSRSVVSCALKKLQQPALVSASHGDAGARKNWVSFLHHGLAAAALSLAISLAPAPAPAAASEFDVLNDGPPVDSYVVDDAGVLSRVTKSDVKRLARDLESRKNVRLNFITVRKLTSKADAFEYADQVLEKWYPTIEEGSNKGIVVLVTSQKEGAITGGPDFVKAVGDQILDATVSENLPVLATDEKYNEAIFSTAKRLAAAIDGLPDPGGPSFKENKRESNFKTKEETESKRGQFTLVVGGLLVIAFVVPMAQYYAYISKK >Et_3A_025024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26183161:26186305:-1 gene:Et_3A_025024 transcript:Et_3A_025024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLRNYWSPTFTSGRQGAAFGHPQKFNSVVVCGARGPRPRYPRVWKTRKKIGTISKSQKLVECIKELSNVKEEVYGTLDSFVAWELEFPLIVVKKALKTLEDEKEWKRIIQVIKWMFNKGQGKTMGSYYTLFNALIEDGRVEEAEELFQKIFSRYLEGLPRTFFMRMISLYYNLGAYDKMFEVFADMEELGVRPEGSIIRMLGDVFQKLGMMDKYEKLKKKYRPPKWELRYIKGKRIRMRVYPDNKTEEVTKDPDAAELEEAESMHSGNELEEAASAGLERNILDDAASRATANLAVVQQLEVWG >Et_1A_006983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29902984:29903686:1 gene:Et_1A_006983 transcript:Et_1A_006983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPCAAEDSNPHPPRDNLPRSRPPPPLVRPTSVTGDSRIPSTPNSPPSSPQILSCQPGTRPRSPLLASSFLQLPPHSFSSTHHTASIYTPTTLLASFTHKTTMPSFRLSSLPRLLLLSLVLGIVFHGDGAVAARPLLGIAESPTPGAAAGPEAAAQPGGGGRHDRSEAGAEVILAGFAAAVMVVIFCYIRVTRKNNGNNSSSSSVGPDGKPERLGGF >Et_6A_047182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26466278:26467638:1 gene:Et_6A_047182 transcript:Et_6A_047182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLAMLIYRIIGNMKKQHLGNEASSIILLHQLRHLWKRPRGTVLRIEALALVAIALSFLAAAFGSCRRWSNHWIVQKGFLAANVLAVSLGTYSIGLMQSSSVKSEMYPIWSVSLFTLLPCIDPVTSYVGLDYKSPFSKMVFQICLYFGYVLLMSISTTSTDIGNKAICILCAITFIKGFHRSLALCCQAD >Et_7A_051692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2350358:2371322:-1 gene:Et_7A_051692 transcript:Et_7A_051692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEGLSDEALRKRKAQSAIGGPKVIAKLISKKTKKKEASTRFNGSWSGLSATGPFMVSSADNWFVALGCNIAADLIPFGSVGYNSPCTASCLNVQQNRTGPSCTAVGSCQTSIGWGTHSYDIRVRHVTDTKSSSMWSHKVVFVVDNDWFSIKKYEMLINFSYPHQRVMVDSVPTVLEWWLDLISDADMLPMSPKSSQFRCLSSNSFTYYFGENYDQRRCNCSRGYEGNPYISDGCQDIDECLQSDVYPCHHGDCVNMPGTYRCSSKTSIRSLPVLITIISISVGFGLLSSLLGAAKITKKLKQRRAKKLRQKFFKRNHGLILEQLISSNKDIAESLKIFSLDELDQATNKFDQNRILGGGGHGTVYKGILTDQRVVAIKKSKIVVQRETDQFINEVVILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLTYHLHGQSENPLPWKDRLRIALEISRAIAYLHSAASISVFHRDIKSANILLTETLTAKVSDFGASRSIAIDETGVLTAIQGTHGYLDPEYYYTSRLTEKSDVYSFGVILAELLTRVTPVFPSASSEVTSLASYFVSQIRDNRLSDILDSQIVEEEGAEDAEVVARLTEACLRLKGEQRPTMRQVETTLEDLLGSKVSSRSTRTSQNAPNDVPYTGALLLLPLKLIVITVAAAFPVALPGCPDACGTITVPYPFGIGQGCFRPGFNLTCDETHQPPRLLVGHNVPVLDISLPDGTMRIHSRALNASSLQFNGSWSAGLMDAGPLQVSTIYNVFVAVGCNLLARLAPNNDSFIPSGFVSICAAMCVNHDPLGQYSMETSTPCSGVACCQTPITQGSPSYGVQLETLDQSDDTGYGAAFIVDGKWFSRNEALMVNDTLRFLLSLTPGNGSGTVDGPALIVPTVLDWFLNSELDNDMFFFDPLIPGLRCISLNSTAVYLGQSNQLQCNCLDGFQGNPYIINGCQDINECQQPDIYPCHGTCINLPGKYQCSSNRNSRKLPELITIIAVSAGFGLLFSILGVTKVAKKLEQQRAMKQREMFFKRNHGLLLQQLISSNKDIAEGMKIFSLEELEQATNGFDQNRILGGGGHGTVYKGILADQRVVAIKKSKIIVQREIDEFINEVVILSQTNHRNVVKLFGCCLETEVPLLVYEFISNGTLAHHVHGQSENPLSWQDRLRIALETSRAIAYLHSAASISVFHRDIKCANILLTDTLTAKVSDFGASRSISIDETGILTAIQGTHGYLDPEYYYTSRLTEKSDVYSFGVILAELLTKIKPLIPSHSSEVTSLASYFVSLLRDNRLLDILDPQIVEEGGTEEAKVVAMLAEVCLSLKGEERPTMRQVETTLEDVQGSKVNSKITRSRQNAPSDQSYKGSKSEEGTRQYSLEKEGAH >Et_7B_054034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14505086:14505576:1 gene:Et_7B_054034 transcript:Et_7B_054034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSEGGINVDNKEVSPAELKSGKKKKIGQIASYFSCVVPRPRPLPFEGCPPGRFIDSMDKEFLATLPQDIVEDVRRQYLEKGYVEYEVTDDEDEEARSPAAPAPPAPGRRRYRPGVARKSGSSKRIN >Et_1B_012622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33865583:33866426:-1 gene:Et_1B_012622 transcript:Et_1B_012622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTTAGSSMEECSVEEFDTRLSLEVGGTSSRPPPQRQTVQLFGELISPQDSDHREPRAPAGEKKRAESGVVTFAAVAVSGGSRHNKKARTSQQAEEDGDRRSSPSDVGSGSRKKLRLTSAQATMLEDSFRAHNILSHAQKHELARRVGLSARQVEVWFQNRRARTKLKQTEVDCELLRRWCDSLTDENARLRRDLAELRAAAAVSVVCPSCCDKKIAIVAGNVA >Et_1A_009597.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:896430:897266:-1 gene:Et_1A_009597 transcript:Et_1A_009597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGGHGDGCSTSPPRSPSPFHLLEVTVISAQDLHRRRLGRRVRAYAVAWADHRQAHKLRTDVDRAGGAAPTWNDRFLFRVDDAFLRSETAAVTVEVRSGARSLLVGADPVLGVARIVVSTFLQPPSAAAGHGRGRQVAALMLRRPRSLRPQGVVNVAVSLLDDTRAARTVPLYDAPDSPDAFAVKDLAAHRPASSSSKITVQDEPDDDDRRPPPHFVDHSGRLDPRSAAVEQRKLVQTLEKWKADLSPSHREDGRRGAWRSFRRISCFGGSGHWDR >Et_3B_028850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19875068:19879639:1 gene:Et_3B_028850 transcript:Et_3B_028850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPTVLPKVAAQWLFTFYRAARKLRRQLYYLNRAASSSSVSQQAAAAGVSSKSAVPDAALADKSVVCDLHGALLRSTELFPYFMLVAFEGGSLLRALLLLLAFPLVWALGEHHGGVRVMAFVAFAGLRPRDADLVARAVLPKHYMEALNSVVYARLWTPARRKVVVTAAPRVMCEWFLREYLAADAVIGTELQVVDLGRGRRYFTGLLAGPAPGPELRHGAMREAFGGGMADVGVVRSSNPLDHLFIPYCKEVYVVSRESAKSAKLPRDKYPKPLIFHDGRLAFLPTPAAMLSFFLFLPLGVILSVIRINIGILLPYEMNFVAAAIFGVRFRTSGLRAGGGKRRGVMYVCTHRTLVDPIMLTSALQKPVPAVTYSLSRLSETIAPIKTVRLTRDRARDAETMSRLLEQGDLAVCPEGTTCREPYLLRFSPLFAELADDMEPVALDAQVTTLYGTTASGHKWLDPVAFFANPVPSYRVEFLGPVPREWTRAGGRTGAEVANWVQRRLGEALGFECTGLTRRDKYMMLAGNDGIVAK >Et_10B_003077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16252639:16256612:1 gene:Et_10B_003077 transcript:Et_10B_003077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVIDINTNEMINTKEIINDLELCCSHCGVVAAGVQQITDNCIHFVKSVCHNLKSVCLFYYLSLKEKALMIIASNCLELQNLMMFQSSISDDGLSPVAKQCNNLKSLHIKRSSYVTEASLRALVPDDKRDLTRASIAPVI >Et_1A_005100.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:27037247:27037459:1 gene:Et_1A_005100 transcript:Et_1A_005100.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LVHCCLHWCANCQEHRERKGRLADQRVVPETIVNPPPVQEMSMAENRPSTVALENEAPKTEHDNVAAITQ >Et_6B_048871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14788979:14790233:-1 gene:Et_6B_048871 transcript:Et_6B_048871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSNENILRFWALLQRDETKKKLRSASLSMEIIAIEFFMRNGWKFNNRLSRFFITRPTSCNQKLASRGLTVSCPVTSPWPSVSSWLNTKGSSPSPPKEHQSRILTVACAPVAAFVTRRHRPQEASPCSPAGPQNAAQP >Et_10A_001795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8233443:8235766:1 gene:Et_10A_001795 transcript:Et_10A_001795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSDIWKAHAGSSQSEGSVLDMERNGCNHNSCPSPLQPIASAGQHSESSAAYFSWPTSTLMHGSAEGRANYFGNLQKGVLPGHLGRLPKGQQATTLLDLMIIRAFHSKILRRFSLGTAIGFRIRKGTLTDIPAILVFVARKVHRKWLSTTQCLPAALEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYDELVDGLRGSDPNIGSGSQVASLETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDISSVSTLVKGVGVIGDVKAIDLQSPIGSLIGRQVVKVGRSSGMTTGTVVAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILTGKDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTNEGLQEALEEQRITLAAAAAVANSTAAESSPVAAPQENEKVDKIYEPLGINIQQLPRDKRQFIPNLIGMSPMRDGQESNGEVNNLTDLENSPDDICVSLHLGEREPKRLRADSTLDIDLQK >Et_3A_026607.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:10463816:10464238:1 gene:Et_3A_026607 transcript:Et_3A_026607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPAVRDLSAGLPADVLAGVLAQLEIPDIFSSGAVCRSWNAACLAGRHRGLFSRRQQSPCLLYFSIDHGPDVATLQRLTTGSKPYHVALSPADSNDATASFVRPRHVVGCSHGWLVAADLLCELHLENPVTRRRRPTPW >Et_1A_005092.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:26468537:26468662:1 gene:Et_1A_005092 transcript:Et_1A_005092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQKGSRVPSSPTGRVGPDDRRRTFVGVREVLQASEAPSA >Et_1B_013235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6643376:6643938:1 gene:Et_1B_013235 transcript:Et_1B_013235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSYTLLLGALVVLALLVSPIAGSRKLAKTHRHRPTHKPAARAHRNHTVHAATPSAMAAYGSGGWMDAGATYYGAPNGYGSDGGACGYQTAVEQAPFNKMIAAGSPSLYKGGKGCGACYEVSTMAFPQSSCGHTTACTAYWLCLEM >Et_1A_005449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10547866:10553001:1 gene:Et_1A_005449 transcript:Et_1A_005449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHTRLPPLGPSPPSSTGDGGGGVEFRCKLHFISAELHLDPFPLLATHPALRSAPLPLLRDSLGLLTSHGLSARDASRVFSAFPSLLTSPPVEPIRFLSADAPLPPRLLRAAVVRSPRLLAASVPDTLGPALRFLRRRVSLRREPLPLAAALLLAFSVDRTLLPKLLFIRESTGLPDPAVCAVLRRAPAILSYGIETNLTPKLQFLTECMGRDPAAELTEFPHYFAFSLEGRIRPRYEALRERGIEMSLKDMLTSNDDEFRDRLVDGTLSGTKARFIWDKNFLLRLKSYGYIQSRGWKMDQRPTKNRTATTPWRTASYCIHVLRITILRLKLFAVENMPIRRSTSFPSGIGDDFSISTLEFSKSSDVGKPLAIALMSLKSPEILTSGQRSSEPQPLLAVKSKYEDRLETVMD >Et_6B_050118.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:6726123:6726368:1 gene:Et_6B_050118 transcript:Et_6B_050118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRQRGVESCFGANPPTEGKETSTPAAKQPGRQDEPRKQQAAREPEASSQQTMEDEKVGKKKRAPIVVHHFPFHSGPGLL >Et_2A_018451.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28567481:28570720:1 gene:Et_2A_018451 transcript:Et_2A_018451.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRCPKFVASNMRMVAIALLLVCALLVSMSQAAVAGTGNANVLRREQLESVGRRPKRASFTRANAGGAGKRLVPGGPDGQHHKSQGPPTPAGFS >Et_2B_020782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23504155:23506074:-1 gene:Et_2B_020782 transcript:Et_2B_020782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGEAEANGGGGGRGARRSVLVTGGAGFIGTHTVLRLLEQGYGVTAVDNFHNSVPEALDRVRRIAGPALSARLDFILGDLRSVDDLEKVFAAKRYDAVIHFAGLKAVGESVAHPDMYYENNLVGTINLYSAMKKHGCKRMVFSSSATVYGWPEEIPCVEDSKLQAANPYGRTKLILEDMARDYHRADPDWSIVLLRYFNPIGAHSSGEIGEDPKGIPNNLLPYIQQVAVGRLPELNVYGHDYPTRDGTAIRDYIHVVDLADGHIAALNKLFDTPDIGCVAYNLGTGRGTSVLEMVAAFEKASGKKIPTKLCPRRPGDATEVYASTEKAEKELGWRAQYGIEEMCRDQWNWAKKNPYGYCGIADNK >Et_3A_025740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32380121:32385332:1 gene:Et_3A_025740 transcript:Et_3A_025740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IMAAFNKLGSLLKHTALSSGSSVGSSPAVFNAARLMSTKLFVGGLSWGTNDQSLKEAFSGFGEVTEARVITDRESGRSRGFGFVSFTNDVDAKSAITAMDGQIMAAFNKLGSLLRHTALTSGSSAGSSPAMFNAARLMSTKLFVGGLSWGTDDQSLKEAFSGFGEVTEARVITDRESGRSRGFGFVSFTNDVDAKSAITAMDGQ >Et_8B_059400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17599966:17601561:-1 gene:Et_8B_059400 transcript:Et_8B_059400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQPGMAPPQPHPGMAPPPQAHGGPGAPPPWGGVPLPMAPPPQQAPQYAPPPQQYQAPPPPQIWGQAPPQPHQASYGQAPPPMAPPPQPAAYYGAPPPAQAPAPAAAPAGPNEVRTLWIGDLQYWMDENYVYSCFASTGEVQSVKLIRDKNTGQLQGYGFVEFITRAAAERVLQTYNGTMMPNVEMPFRLNWASAGEKRDDTPDYTIFVGDLAADVTDYILQETFRVHYPSVKGAKVVTDKLTMRPKGYGFVKFGDPNEQARAMTEMNGMLCSTRPMRIGPAANKKATGVQEK >Et_1B_011406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21813899:21815576:-1 gene:Et_1B_011406 transcript:Et_1B_011406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFYYAATAAALLLLFFLHRLLTGRKKKQQRRLPPAPRFAFPVLGHLPILLKKPLQTSLADLAARHGPVCFSGDHDVALANRPRLPSFREFSFDYTALSLAGYGAHWRTMRCVATVHLLSARRVNLASDDVIAGEVLAMVRRLAGASSSFRVELKRRLFDLSHGVLMAMLARSTRRNAYEDDDDGMSKEAREMKGIYQEIVPLVGVANLSDYVPLLRWLDVCGVRRKLADAEEHDWHNAGAAGDTAGRLHGYLHRRSSCILKRAQEEIDARAGGGGRLLDKNDLPHLPYLHCIITETLRLYPATPILLPHEASTDCKIHGYDVPAGSMLLVNVHAIHRDPTVWEKPEEFRPERFEHGKAAGKFMIPFGMGRRRCPGENLAMRTIGLVLGALLQCFEWSRVGDGEVDMTPGYGAVMFKVVPLEALCKPRANMSALLQKVVKVQNGTRAETIAN >Et_3A_023437.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:27653997:27654332:1 gene:Et_3A_023437 transcript:Et_3A_023437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFKLQRSASWADGHEWEEEVVVEKAGKGKPAAAEKMERVEVKIRVTKRQLQELLEKAGGRDGKVRQVEKVLAELMTSGVVCYQRPQEEMMRGHWRPSLYSIPEAAEES >Et_7A_052722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14193144:14196943:-1 gene:Et_7A_052722 transcript:Et_7A_052722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVARTVLHGGLPCSISIKPSPRLSLLLGSSPVATASASFPGRLCSAIVRRNAPAVVPFAKKKKKGYSDEPPDEEPADDVIDEMEDYEELEGEDFGEDNDDDVMDDDEGDFDDDYSFEDDFETDDEQDLYVGDGGAGGGISLAGTWWDKEALALAEQVSASFDDDLKIYAFKTAANLTIRVRIEKMSTRYGSPTIDDIEAYTIAYRAKLDDAESAGKIPKNVSLEVSSPGVERLVRVPEELERFKERAMYVRYTTTSDETATPQEGDGVLKLISYDMDLRECTWGIADVKINRQQAGKGRPLSKKQREWRLQTPFQSLKLERTPSPLRSNLQIMALHSSKPSCACGAPSWLSIRFRLSGVMYCSPAAASDVVAPAYIPNATLRHLDLQSSSGACSASRASLRNSSWSSRPSPSASAAATMAAASSSPTPSAAAMPPRSSAADTLPSPSLSKAANSDVATMAAISS >Et_10B_002349.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:10464786:10465103:1 gene:Et_10B_002349 transcript:Et_10B_002349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KFYAISYLKFNFIFQIRRSLYQNAVRVSEVEDILDISNAQNYVINSAKVVFLNGPNNCSGSGASIGKALSS >Et_3B_027846.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:22570749:22571423:1 gene:Et_3B_027846 transcript:Et_3B_027846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPSVALPAVLLAVAFVAAMAPRAFSDATPLQDFCVADLKAATSLDGFPCKPPSSVVDDDFFSRAIVGAASTGNPFGANSTRATVSTFPGLNTLGLSITRVDLAPGGLNPPHSHPRASELVMVLKGEVTVGFTTSANQLFSKAVRENELFVVPRGLQHFQLNTGAGDAVFVAMFDSQSPGAVTPTFSLFATKPAMPMEVLTKTFLTGEEEVGAMKSKFAGF >Et_2A_016130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21302927:21313445:1 gene:Et_2A_016130 transcript:Et_2A_016130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTTTVLLVLAMLLAASLADASTQEDQLSKFMATRAMKSLTSRHDTDVPAESDPWADPASSFAHLPERGKIPASGSKEANWVPALPGQPPGVNFHQYAGYVMVNEENGRELFYYFAESAHDASSKPLILWLNGGPGCSSLGFGALTELGPFRVNPDGKTLSRNKHSWNNLANVISLESPAGVGFSFSRNTTDYYTPGDQRTADDTYVFLVKWLERFPEYKGREFYIAGESYGGHYVPELAIVITLKSRFPGQQTPINLQGIFVGNPYLDTFMNEKGYLEFLWSHGVISDEVWATMKANCRFAASDSDNPACVMAKSSFQVWSIDRYNIYAPVCLQSSNGTYYSSSELPGYDPCIYTYLAPYLNNLEVQKALHVRINSTWSGCSDLYLYWKDIPESVVPIIKILVDHGLRAWIYSGDFDSICSLTATRYSVKDLNRTVTTKWRPWYTPNREVGGYVQQYQGGFTLASVRAAGHMVPTFQPERSLVLLYAFLKNMLPPAFMRRSTTTVFLLSLAVLLGTSLADASQEAQLRKFMASRAMKRLANRNATNVPAEKDPWADPATSFAHLPDRCKIPASGSKEADRVAALPGQPPDVNFAQYAGYVRVDEEHRRELFYYFVESAHDAASKPLLLWLNGGPGCSSLGFGAMMELGPFRVNPDGKTLSRNKHAWNNLANVIFLESPAGVGFSVSWNMEDYINVGDQRTAEDTYVFLVKWLERCPEYKGRAFYVAGESYGGHYVPELATVITAMNRVPGQQTPINLRGIFVGNPLLDDQLNDKGSLEFLWNHCVISDEVWEKILASCSFNASDGSQCDAAERSFDDGDIDRYNIYAPICLESRSGTPYSSSQLPGYDPCSRKHLHPYLNNIEVQKALHASINTTWSGCSAFDWKDAPDSMAPIIGRLVDHGLRVWIYSGDFDSMCPLTATRYSVKDLNLTVLKKWRPWYTPNREVGGYVQQYQGGFTLASVRGAGHTVPTFQPERSLSSSNEQSVQDPGFRVTSSLGAEYCGTDQSALKAADKITSLPGQPEGVDFNQYSGYVTVDEKNGRALFYYFAEATHDAGEATPPVAQRSTKTRTSRNMHACPGCSSVGYGAMIELGPFRINSDNKTLSRNENAWNNVANVLFLESPAGVGFSYSNTSSDYNKTGDRRTADDAFVFLINWFERFPEYKGRAFYISGESYAGHYVPQLAATILSHKIIKSSVINLQAILVGNAYLDYYKNIKGKIDYLWNHGVISDEVWVNITKNCKFSPLDGNECSDAMEAYDSGSIDGYDIYGPICINEPNGKYYSSRYVPGVDPCSNYYIHAYLNDPIVQKAFHARMTKWSGCTDLHWKDAPVSMLPTIKWLLRHRLPVWLYSGDFDAICPLTATRYSIQDLDLSVVEPWRPWTANKEVGGYVQQYTGGLVLLSVRGSGHQVPYFQPERALILVSSFLKGKLPAYVMD >Et_3A_023374.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2488320:2488751:-1 gene:Et_3A_023374 transcript:Et_3A_023374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQVSGSTPIAHPVGAASAAAMSSAGSSEREASQGSLASVSIRSFALDLAAARDLGVRAAAAGAGARRKRQWRGRKAGEKKAAAAADQCGAERRRAAARSAFMGSWLVAGVAAAADGAPAAAAAAAIIRKGREGFTCSAAGG >Et_1B_014086.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:30082626:30083006:-1 gene:Et_1B_014086 transcript:Et_1B_014086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELELELLSGQLPPIRTAAPATKRPDVDDEAVVVDGCVTPTSAASVLRAPLVCPPAPRKPRPTTKRELHHCRRRRRRCGSAAPQRAPVRLFVAVPRDLSAVFVARPGSACRPPAVKKIRVHVAG >Et_1A_006170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18744684:18749538:-1 gene:Et_1A_006170 transcript:Et_1A_006170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDMEISVAGGGGGSRKPPQMSIAGLFMACMVAGGVQYGWALQLSLLTPYVQTLGIPHALVAVMWLCGPIAGLVVQPCVGLYSDKCTFKLGRRRPFILTGCIIICLSVIVIGFSSDIGYALGDTTEDCKVYKGRRLRAALFFVMGFWLLDFSNNTVQGPARALMADLAGRHGPSAANAIFVSWMAIGNILGYSSGSTDKWHQWFPFLQTRACCEACANLKAAFLIAVVFLGLSTVVTMIVAKEVPLEPSSAAHSDGEPSGPFAVFSGMKNLPAGMPQVLVVTGLTWLSWFPFILFDTDWMGREMYHGRPDGSPAEVAAFQEGVRQGAFGLLLNSVVLGVSSFLIAPMCQKLTAKVVWVMSCVMVCVVMALVTVLSAWSLGDIDGSVQDAAATEKGLRGAALALFVFLGFPFAVLCSVPFAVTAQLAESKGGGQGLCTGVLNISIVVPQMIIAVGSGPWDELFAKGNIPAFGAASVFAFAAAVAGMVMLPKMPKTSFRSVSMGGGH >Et_8A_057629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5410784:5416371:1 gene:Et_8A_057629 transcript:Et_8A_057629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIPASLSTSRSLQVLILDGCEGLENVVVPGGLPSSLRSFSFDGYGPMDHWTSSFKLPLESSRPKPPSHEDKRDIKTSKISLQGCTQLENLFVRGLPNLVEIDLSWSAIKLLDLKTMVNVPELKRIFLLGCEHLRAIKWDPLVRSMLTLELVCIDTRPGRRAHGFTRPPLAQHKSFSLHGGVEFKAGGTKITEPNNQQHCVQASRYGDVFKEIGNASFPMLDFPKPPTEQLDRHIEIGDGEPLLGEDALINLDTVFPSGAIDVGNQLQTIWVSDLLKTRCIWSKGSRVPLTSFKNLQHLHLSSCPSLRFALPVWVLSFPSLKTLHIIHCSDLRHVFELHGRYREEIAEDGVSFPKLAAIHLYDLPKLEQIMCGVEMFAPALVTIRIRGCFGLRRLPTMGRRQPSAKKPAVEMEKDVWDAGHHPDLFDPLVHSRHYRRRRLLRGTVLRYVRACPACTYVLSFCCMCH >Et_7A_050376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10667976:10670897:-1 gene:Et_7A_050376 transcript:Et_7A_050376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRRAAAVATLRASLRRACSSHAADSDDPLAGLVDAPETHLRSRLSTKDFPFLQEPTPPLPAAALQPPEAVLISKAIRAYGADFNGKAERFLRRHREFLTDAVVVTVLRSVRVPELCVRFFLWAEGQVGYSHTGSCYDALAEVLEFDDRAKAADRLLREIGEDDREVLSRLLNVLVRRCCRRGVWGEALEELGRLKDFRYRPSSATYNALVQVLATAGQVDMGFRVQREMSESGFCMDRFTVGCFAQALCKEGRSTDALDMIQREDCKLDTVLCTQMISGLMEASLFDEAISFLHRMRCNLCVPNVITYRTLLSGFLEKKQLGWCKRIINMMMKEGCNPNPSLFNSLVHSYCNAGDYPYAYKLLQRMTACGCPPGYVVYNIFIGSICGGDELPGPDLLGLAEKVYEEMLASSCVLNKVNTANFARCLCGVGKFDKAFQSIKVMMKKGFVPDTSTYSKAQSWFDEMRSAGCSPNVVTYTALLHAYLKAKQLSQASAIFHRMVDVGCYPNTITYSALIDGLCKAGEIQKACEIYARLKGTSDNAESDFYFEGDGADTVTPNVVTYGALIDGLCKAHKVADAHQLLDAMLSTGCEPNHIIYDALIDGFCKVGKIDDAQEVFLRMSKCGYLPSVHTYTALIDAMFKDRRLDLAMKVLSQMLKGSCTPNVVTYTAMIDGLCRIGESEKALKLLSMMEKKGCSPNVVTYTALINGLGKAAHSLLSEMKQTYWPKYLQGYHCAVQGYSKKFIASLGLLEEVESHGTAAIAPVYGMLIDNLSKAGRLEKALELHKEMMEVSSSLSLASKDTYASLIQALCLASKVDEAFGLYSEMTRNGIVPELSVFVWLIMGLIEVNKWNEALQLCCSICHEVSVTKPLSIILRQSSILSP >Et_9A_062640.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2699088:2700970:1 gene:Et_9A_062640 transcript:Et_9A_062640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWDDDESASAAAEAATTDVELLKRAWRNEKAAPEILRFDKDLVIRVREQIQLLEDTLDDFSDSGVDDLVVSLYQMDLDRTLFLLRSYLRLRLQKIEKYAMHIFPRSKDLLSPQEEKFAESCKEIMKKHLEQSVLSKLPVGYDSVTQQSLSSTEQDMIPEPQLDTFVFCKTKSDVGAFQLDDIGEEVVDLVADDLYVLRYKSIKGLVEGGRIDLI >Et_8A_058459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:8274435:8278047:1 gene:Et_8A_058459 transcript:Et_8A_058459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRQRGRGGRRPSSSRAALRRKVRELRRLVPGGEEAPAGSLLLRTADYIARLRARVELLRALTQLCSAPLQIRTAADDENHKVDEWQQKYLLRPLSRCKLNMARQISEARPEKIAGVTGQAYSMFTVVNKQNVVAIIN >Et_2B_021334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28781560:28788539:-1 gene:Et_2B_021334 transcript:Et_2B_021334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLLLLFLLITVSSAKLANPDANKSVIVNAELGSMWKNNPSLLHNGCPDDNFSMRLILPHHHGTTTGSSFDNVPSFACGFFCAGPAASCASYIFSIFFFMDAVLYLQSPEVVWSANRDRPVRENATVQLTELGDLVLYDADGTMVWSTDTADKSVVGMNLTEHGNLVLLDHTNTEVWRSFDHPTDTLVIGQMLQVGQKLMASSSEANWAAGKVYLTVFPGGTYAFAEIDTPLPCYRSPIYGSAITNFSAYIALKYGSLEVFTSFRVTEAPDYHIQLPTNYYGPEFVRLDWNGHLMLYQWENNSWVSSDVFDITDPCSYPLACGEYAVSSDGQCSCPDADLRQSGLFELIDSRELNRGRFLADSLSCSTSQNATFFALHNTTHFNIIYNWTTDEERCKLSCFNDCTCKAALFLHMGSSSGFCCLASDIFSMIRLPTRFSFESLKSTTGDFSWKIGEGGSGSVSEGHIGDMQVAVKRLDGISQGEREFLAEVQTIGSINHIHLVSLIGFCSEKSHRLLVYEYMPNGSLDQWIFAKHQSVPLDWKTRLKIITDVARGLAYLHSDCRQTIAHLDIKPQNILLDGMFNAKISDFGLAKLIDREQSSVMTRLRGTPGYLAPEWLTSVITEKADVYSFGIVVMEILCGRKNLDYSQPEESRHLVSMLQEKVKNDQLVDLIDPRSTDMELHLGEVFRVMNLAMWCLQVDSNRRPSMSMVVRILEGAMDVETELDF >Et_3A_027015.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:29552892:29554235:-1 gene:Et_3A_027015 transcript:Et_3A_027015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLVCLLALLLAAPRPWSVAAAAAAHAARPPPAKPRVFPLRARQVPAGALPRPPSKLRFHHNVSLTVSLAVGTPPQNVTMVLDTGSELSWLLCAPGRQGSAPAAGDARSFRPRASASFASVPCGSKQCSSRDLPAPPSCDAASRQCRVSLSYADGSTSDGALATDVFRVGDAQPPLRSAFGCMASAYDSSPDAVATAGLLGMNRGALSFVSQASTRRFSYCISDRDDAGVLLLGHSDLPSFLPLNYTPLYQPTLPLPYFDRVAYSVQLLGIRVGAKPLPIPASVLAPDHTGAGQTMVDSGTQFTFLLGDAYSALKAEFLKQTRPVLPALNDPNFSFQEAFDTCFRVPAGRPPPTARLPAVTLLFKGAEMAVSGDRLLYKVPGERRGGDGVWCLTFGNADMVPLTAYVIGHHHQMNVWVEYDLERGRVGLASVRCDVASERLGLML >Et_7B_055079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7016794:7017707:-1 gene:Et_7B_055079 transcript:Et_7B_055079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPDHHNQFFMPPQSLQQQQQQLCVPMMDEHSPPSFLAGRGGGGAAPGTGRGERKRRFTEEQIRSLESMFHAHHAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDYATLRAKFDALRDRVESLKQEKQALASQSTECDDGHHLDYDDGFLPDSFCAATPELWEPWPLVEWNAVA >Et_8A_057209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22286476:22291815:-1 gene:Et_8A_057209 transcript:Et_8A_057209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQASGWALLRRLRRHRDPAAALLRRRLLSSPASPSPGAHPGLHQLGGYRGSTGISAWVGSHPRPRLPRRQHAAATATRAAHQAASSSEGTVATASSEAGTVASHSEAVRFIKSAFGKLEGDHHCWLNATNGTWKTLNGEGIYLILLYRCCDTLDSNNKCSAAFEKLKYLQRRYPRLNALAVQHGSDTSSLDAESQAVHSVMNEFITFPILKTDKDFSNMTGACYLLLEGSKDPLLFSKWHEEPEVMIKAIEELNVLKEEPSENVLSRVLWQKEEVAKEPFVGSFRNLLLYHPACISVDEDGDRIFISDSNHHRVIISNSNGMIMDCIGSCPGFEDGEFESAKFLRPAASFYHASEDCLYIVDSENHSVRKADLGRRILETVYPVFNKSSGVLSWIMDTLGLRKEVAPTVQDFDADSVALPWHLIQISEDDLLVADRNFEVPWILRISTGERQDIGRGRAEIMESYQQTVNERCALLKDIHTSWSSRAREHSDSLEKISNKELVSSVSRFQNYVVFCDTDGQRVLKHNLDTKRISNIHFSNCEFLGLPYWFVCNLERVSTWGHSTGQFQEHIRQVDVLPGKCNITVCVDIPADTELAAPLAENCIWRQVRGSGAEIFGSDGPETKTEKVGIAQQWYDELDNLAFSEVAEEPTAHKGDDKPADESYQDQRTVHFPCTVNVSPGTCELVASAALYLKIARTKADHRDQKALVKRIIGCQHREEHAGVELLMGRSCADARDLVLMKPMHLRLRLQCADHPAGATNKETINTESSLKIKVSLD >Et_10A_000941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19432947:19436913:-1 gene:Et_10A_000941 transcript:Et_10A_000941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FRGPPSAFALAFLHAETLPPLLRAPAAAAAAAKMIIPVRCFTCGKVNPSPHHLLSPPAFVVVAPPVPVRLRSLHSSRVWLAYPAVAARRRLTDPLVLYRPTDAHREFRVIGNKWDLYLDLLQADYTEGDALDALNLVRYCCRRMLMTHVDLIEKLLNYNKVHYIIICIFIWSYGVICRSSKLDDRLHLPFMYSSSDMFAPRKSTLPTDPASSSSSARLMYDWMSFLSGEAAAPQSSWSSPDTPTSDFAHLTVSPSPASSFRT >Et_2A_017465.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34624779:34629111:-1 gene:Et_2A_017465 transcript:Et_2A_017465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQRSPAMMGGGGAAAAVAPYVGVPSQATVPVRRRCEGTAMGAITLDLRPGLGVGPFTLGMPISDAFAQIEHQPNIYDVVHVKYFDEEPLKLDFVISFPDHGFHLRFDPWSQRLRLIEIYDVKRLQLRYATSLIGGPSTLATFAAVYALFGPTFPGIYDKERGIYTLFYPGLSFAFPIPSQYTDLFTNGEVADLPLEFPDGTTPVTCRVSIYDSSTDSKVGVGSLMNKAIVPALPTGSLYMEEVHAKLGEELWFTIGGQHIPFGASPQDVWTDLGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFSRGIDVLFDGQTHRIKKFVLHTNFPGHSDFNSYKKCNFVIYDAEAEGTYQPGNISKNCITPRTKWEQVKEILGDCGRAAIQTQGSMNNPFGSTFVYGYQNIAFENILKFLIYWRDN >Et_5B_043093.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:23583924:23584298:1 gene:Et_5B_043093 transcript:Et_5B_043093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVLPVFVGQFQGKLCIIVENSDELSICILEAYDKEEWSLKQSVSFLKLFGQVTSVHVKDYNVVTIHPDRNLVFFADHRNGKLISYDMDSKEVHALCSLELNHIPIIPYIPYCSELPVLVNKH >Et_2A_018560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32880260:32882056:-1 gene:Et_2A_018560 transcript:Et_2A_018560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIPATQHQQWQLYAHLDLIAAVPLVAFLLLLFWSWRWSRIRSALNLPPGPQKLPLLGNLHQIGALPHRSLWELARRHGPVMMLRLGSVPTVVVSSPEAAREVMKTHDAHCCSRPAMPGPRQLTYGFKDVAFAPYGDHVREMRKLFILELLSMRRVQAAWDAREAQVNKLIEDLTRAGPNPVALNEHIFSVVDGIIGTVVFGRIYGTEQFKMPFLNMFREAMDMLGSVCAEDFLPNIAGRLIDRLTGLVARRDRIFKRLDAFFDAVIDDHLNLARSNVQEEERRSDLVQALIEMWKENGNAATFTRDHVKAMLLDTFVGGINTSAVTMVWAMSEMIRHPKVLKNVQDEIRAVVGKKERVVPDDVPKLKNLKMVLKETLRMHPPLTLLLPRETTQKVNLTGYDVPANTRVIVNAWAIGRDPNVWHDPEEFKPERFMGSEIDYNGTNFEFIPFGSGRRICPGMAMAVTNMEFTLGNLLCYFDWELPQGVRREDISMQEAGSLAFHKKTPLILVPRRYHNTYS >Et_1A_007740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37749212:37751259:-1 gene:Et_1A_007740 transcript:Et_1A_007740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAAFEERVRQMEDARNQRLALLHAEKELQAAKSRFLTAKVAAARRLERRRLLLERRAADLASRSLAARANIDTSRARRLAVTRDLSSVKSEIEEVERREEDWDRFYEAKSKEMEEFQAVSRRFEAATREEVQRLRDLVSQLKSTLEELQTSEMYSNNAEIAAAEARRSDLTAKKAKMDKSLASARQFRALLQQQLQKAFRSQVGDQETAQTALLS >Et_3A_023335.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21293412:21293945:1 gene:Et_3A_023335 transcript:Et_3A_023335.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRPYRFPALPEEEEEEEKATRCTTQSCGTCSASALASCVALCCCPCAVVSCLTLTLVKAPYVAGRRCLVRLARTRLRKPRRVRNVDDDERLQGELIKAAAALPRRSREWGELARAAAVGADGRARTVWMEMYQVGLWGFGRLSLSPPTAWGGDSETDGANAAR >Et_1A_006227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19390106:19395038:1 gene:Et_1A_006227 transcript:Et_1A_006227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFGSKAIRPKANRQNDLLDGGDDGLCKKRGRKDKGEKPRKGGSGSSKHPSAEKPMHGQRKQKKGDDRRKGKGRGEERRSESSGSLANRNVLPSSETSKPVQNVLRKRVDPETAKYFTEISNLFDNKEIDLDERSAICANALEETKGKELELATDAVISHILQTLIEGCELEQLCTFLRNCIDSFHVIAMDKSGSHVAEAALKSLATHLEDETSRSMIEDILSRLCKVVAADATNVMSSCYGSHVLRTLLCLCKGVPLESLQNFHTTKRSAVLAERLSCGLNQSGGHDPHKFENGFSDLFKSFVRQMLQSAKSDITSLCVDKNSSLVLQTALKLSSDDDSELHHMISILLGYDEDDSIHKNSFNEKKSEIVSLLEETAYSHLLEVIVEVAPEELRNGMLAGTLRGDLFAISSHPCGNYVVQALISSAKTSDQIKQIWEELGPKFKELLELGKAGVVASILAACQRLETNRLEASQALAAALSSDSESPDSIVAHILFLEDFLRERSYWKWPLGAKMSFLGCLMLQSIFQYPHQYIRQYVASLLAMEDDRILQISKDSGGSRVLEAFLCSSATAKRKFNVFAKLQGHYGEIAMNPSGSFLVEKCFAASNFSHKEAIVVELLAVQTELSKTKHGYHLLRKLDVERYARRPDQWKASQTSKETTQRKFQAEFGSSSKPMGQNIEDQFSSQTPAKKRKQKEKTDKNTDDSNTNKTDLSQKGKNKRLKPAQATSEDDSSSKKHANGGASTSASVAFLKDSGKRKSPGFLSDKPSLKKQKHHRPAPGKPDSNKFVRDSTSVPFVKNTGKQKRSIAELADLAGKEKLTAAEVRKLLKTETSGKT >Et_5B_045758.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8899202:8900783:-1 gene:Et_5B_045758 transcript:Et_5B_045758.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGVSSAAGGGGGSGSGEPYVGVTIGTAVTNLLSPTDLAAFLRAQHITRVRLYDADPRILSALASSGSGVRAIVGVPNDELLALGSSPATASAWVARRVLPFAGGANSSGPSLISAIAVGDEVPTALPSALPVLLPAIQSLAAALAAANLSSPIPVSTPLPFSVVLDPFPPSQAYFNQTLAKSFLLPLLAHLANTSAPLMLNLYPYYALMQGKGVVPLDNALFRPLPPTQEMVDPNTLLHYTNVFDAMLDAVHVAVKNLVGNATGAVPPVLVTETGWPSYGDRRAEPYATKDNAEAYNSGLIKHVMEDKPGTPMRPGAAAQASAYVYELFNEDLRPGPVSEANWGLFYGNGTPVYLLHVSGAGGFLGNDTTDRTFCVAADDADEKAVQAAMDWACGPGRADCTAIQPGQGCFQPNDVRSHASYAFDAYYELQGRAAGSCYFQGAGMVTTTDPMRGDGDCLSEARVV >Et_2A_017259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32532298:32534181:-1 gene:Et_2A_017259 transcript:Et_2A_017259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRLDGKNCLVTGANSGIGFATAEGLAKHGATVYMLCRNKERGEVALNQIRSKTGNENVHLEICDLSSINDVKSFASKFSSMDKPLHVLVNNAGLLEHKRVTTEEGLELNFAVNVAATYTLTELVMPLLEKGAPDARVITVASGGMYTEPLNKDLQFSEDNFDGTRQYARNKRVQVALTEWWAEKYRDKGVGFYSMHPGWADTPGVAKSLPGLSEKLSGNLRSNDEGADTVIWLALQPKEKLSTGAFYFDRAEAPKHLKFAGTAASHTQISSIVDNIRSICGSPLPAK >Et_10B_003439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19850190:19865311:-1 gene:Et_10B_003439 transcript:Et_10B_003439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSAGSISLLLLLLVVVSLALLCSVTVAKQQYHEFVIQEASVTRLCNSRKVMTVNGQFPGPALEVNEGDCLAVKVTNKGAYNVTVHWHGVRQMRTGWSDGPEFVTQCPIRPGGSYTYRFTVAGQEGTLWWHAHSSWLRATVHGALIIRPRAKVPYPFNNGKPPAREIPIILGEWWDMNPIDVVRIATRTGAAPNISDAITVNGQPGDLYKCSSKDTTTFPVKSGETNLLRFINAAMNTELFVSLAGHTMTVVGADASYTKPYATSVLMIAPGQTTDVLVTFDQPVPAGRYYLAASAYASAQGVPFDNTTTTAIFDYGGNGAASTPAMPTLPAYNDTATATSFTTSLRGLRKAELPSHIDENLFFTVGVGLFNCSGNQQCGGPNNTRFTASINNVSFVLPSTVSILQAHYHATPGAVFTADFPANPPVQFDYTAQNVSRALWQPVPGTKVVLQGTNIFAAENHPIHLHGYDFYILAEGFGNFDAATDTAKFNLDDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHIAWGLAMAFLVEDGVGFPSKKPPTTAIFDYDASSTPAMPTFPAYNDTATATTFMTSLQGGAALAHRRERLLQRGRGPTAASMNNVSFVLPSTVSILQAYYHGAATPADLPGFGNFDAATDTAKFNLDDLPMRNTVGVPVNSWAVIRFVTVDNPAGGCG >Et_7A_053158.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8500090:8501930:-1 gene:Et_7A_053158 transcript:Et_7A_053158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTISNFSNTRIAVVTGGNKGIGLEVCRQLALNGVTVVLTARDETRGAAAVDKLKEQGLSDVIFHQLEITDASSIARLADFLNTRFGKIDILVNNAAIGGVELINDPSFGPRPSGEQFSGMDWHERIGWMWKNCRETYNTAKQSLQTNYYGTKNVTQALLPLLQSSSDGRIVNVSSGFGLLRYFVSEELKQELNDADNLTDERLDELLQTFLEDFEADALEARGWPREFSSYKVAKAAMNAYSRVLARTHPLLRINCAHPGYVKTDMTRNSGLLTPQEGASNVVKVALLPTGGPTDNLTLTG >Et_7A_052377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7411077:7412178:1 gene:Et_7A_052377 transcript:Et_7A_052377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTATVCSMCGDVGFPEKLFRCARCRHRFQHSYCTNYYGDGAPASAGSDTCDWCLSDVSGKARWSPSAAGKQHASGGSQESTSTTSSGSGKGKAASSGDQETGRRATRPAGRRYKLLKDVLLSARSYYMFSMLSYYM >Et_3B_030636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5740311:5744844:-1 gene:Et_3B_030636 transcript:Et_3B_030636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPPSHAAAAAAYGCCQRLLLASTTVRPSRSGRASLALALRSLPLVGHSRSRRRFACRATNETEPEHNEDDEEKEKLGDDASSTAVDNVAEGNGSAESDSNIDNKKDETTNAEPLSSSNTVQNPDIDSTPTSDTQENVEVVDVASGSPLPGMKQQLDDSIRIPKATIDILKDQVFGFDTFFVTSQEPYEGGVLFKGNLRGKPAKSYEKITNRLQNKFGDEYKLFLLVNPEDEKPVAVVIPKQTLQPETTAVPEWFAAAAFGLVTIFTLLLRNVPVLQENLLSTFDNLELLKDGLSGALVTGLIIGVHEIGHIFVARDSGIKLGVPYFVPSWQIGSFGAITRIVNIVRNREDLLKLAAAGPLAGFSLGFVLLLLGFILPPSDGLGLVIDPSVFHESFLVGGLAKLLLGDALKERTQLSVNPLVLWAWAGLLINAINSIPAGELDGGRIAFAMWGRKISYRLSSVAIGLLGISALFNDVAFYWVVLIFFLQRGPIAPLSDEITDPENNYITIGVAVLLLGLLICLPYPFPFDPSQLSDLDFDL >Et_3B_029809.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28178142:28181689:1 gene:Et_3B_029809 transcript:Et_3B_029809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METVAAASYAGRAGATRSPAYCAAMSFSQSYRPKASRPPTSFYGESMRVNTARPIAGRQTKAASRAALSPRAEIGDSLEEFLTKATPDKNLIRLLTCMGEAMRTIAFKVRTASCGGTACVNSFGDEQLAVDMLANKLLFDALEYSHVCKYACSEEVPELQDMGGPVDGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGGDQVAAAMGIYGPRTTYVIALKDCPGTHEFLLLDEGKWQHVKDTTSIGEGKMFSPGNLRATFDNPEYDKLINYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPSAKAKLRLLFEVAPLGLLIEKAGGYSSDGKQSVLDRVINELDERTQVAYGSKNEIIRFEETLYGSSRLTAGATVGAAA >Et_3A_023198.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:69765:70166:1 gene:Et_3A_023198 transcript:Et_3A_023198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMCGGEEEEEDDDIARLMALLGLSPPRDNKIHGGDDDSAGCDCSGADGFLGKVVGVVGPKCQREKTRLDAWIRHFYDSRREPARLAHLLLANKASSSSSSSHAFAFPPTVKDFLDRDAPPPPRCSREPPQD >Et_3B_030900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8508304:8511574:-1 gene:Et_3B_030900 transcript:Et_3B_030900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARKSPAGARRCGVRVGPARLEGLPAAWPGAAAVKVKWPAPGGALSHMLTGKWARGVTAVQPVAADGTVRWEASRDGNRFRLDVEPAGARGRPERGGVSFAILYGFQEQGRGKDPVKLEEIGTAMISLEECCWEMQLQRQQQHLVVVPIRVRKDGWASDAMLYVNVELVNANAPSDIERTVSYREKPRANLPPTSRSHRKSMEEVPYNDVLDLKQLLDLAEKERRAAVFGSKRNSDSSSVSSISSSISSSSSTISLSSASSSGGASPEPGSTSKRRFLPWMRRSRDFDRRSTESLSQELPIKCMDDDPAGCWETREFTSRDAETKLRTAVFFASIDQRDDSAGGESACTALVAVLAASLHATHPTMPTRPELDALIRDGSSEWRKLCDDELHMAQFPNRHFDLETVLAAGTRPIAVQHDKAFVGFFQPESFASLSGAMSFDDIWREISGGACDAGRADVYIVSWNDHFFVLKVESDCYYVIDTLGERLFEGCDKAYMLRFDATSEMATADKDEAIVTGKECCREFIKRFLAAIPLREELEIEKNGVGGAPHQRLQIEFHFTVLKQDQER >Et_5B_043661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13808262:13808882:1 gene:Et_5B_043661 transcript:Et_5B_043661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIKELWEIHYPNSRKKIQNYDIEGIKVVKIDCGFHMLMNTEHWDGRNILSFRESDMPNIRKLMTYKWITHERND >Et_1B_011614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24171234:24177861:1 gene:Et_1B_011614 transcript:Et_1B_011614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPIRAKWYGGSAKKQPQKMAAITFKSAAIAALLAVLVVHGARAQSSNNGTDSSDGGYYDDGSDGYNNGTERRSLYSTSWLPARATWYGKPNGAGPDNGGGACSYSHTNLPPFNSMTSCGNIPIFKDGKGCGACYQVRCLSSKNRACSGQPQTITITDVNTNPRISKYYFDMSGTSFGSMAKPGMSNKLRSAGILDIQFRRVACNYKGMNVNFHVDRGSNPNYFAVRVEYADGDGSIVQVDLQEANCANWRPLRQSWGAMWRLDTGRPLKAPFSLRIKGDSGRTLIARNVIPANWKGNRDYRSVHTRMASLSTKAVVAVALVAVLSMCVRAENYNTSVATSYNSGWLPAKATWYGAPNGAGPDDNGGACGFKNTNQYPFSSMTSCGNEPIFKDGKGCGACYQIRCTKKNHPACSGKPQTVMITDMNYYPVARYHFDLSGTAFGSMADWGLNDKLRHAGIIDMQFRRVLCNFPGLTINFHIEHGSNPNYLAVLVEYANKDGTIVQVDMMENNSGYWVPLRESWGDIWRIDRAQPLVGPFSMRVRSDSGKTLVANNIIPRGWQPNSDYRSYVQFN >Et_8A_058407.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:6723723:6724406:-1 gene:Et_8A_058407 transcript:Et_8A_058407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQEVSSGSAAPMCANGCGFFGSAATKNFCSKCYKDLINKAVVEAPEEKAAIAIKPDTNKTAAETSAAAAGEATPAVTAEKDEAPVAIQCAGGCGFFGSSATNNMCSKCYVDSLKTVDAAPALLEMIKADKAALAPDQPASSAAATAEPAVEEAAPGAAKAPPNRCAECRKKVGLLGFPCRCGGTFCSVHRYAEKHACDFDFKTADREQIAKNNPLVVAPKINKI >Et_7A_050983.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13238173:13239970:1 gene:Et_7A_050983 transcript:Et_7A_050983.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILVFKENGAQGMTNASKPEQDQPSVGIVTMGRLNAPAGPKKERKMDGTSDMCHQCQRKDKEVIRCLGCINYRRRYCVPCIKRWYPHLSEDDFKKRCPFCRDICNCKACLRSKTTKKVDKWSVSVDNKVKFSVRIAHFLLPWLEKFHKEQMQEKDIEASAQGIAIAINRCKTSIVDFHRSCKNCSYDLCLSCCHELRQHPNPVCGVTSLHKLGGKGGLKQRNSHDQVARCDAV >Et_5A_040355.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:16315001:16315099:1 gene:Et_5A_040355 transcript:Et_5A_040355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFKEDLFTMEDTEHESIWLGKRSCYWMHFS >Et_1A_009411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:518036:519732:1 gene:Et_1A_009411 transcript:Et_1A_009411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSRERKDEGGREGTPSSGDQLAQDKESRDDHDDVDEQGTRQRYKCTFCRRGFPTAQALGGHMNVHRKHRGRSGAAPTAAVQLGRSYDVYDQHQYSSLVTFAQTTHPASETTASGEEGSMSSFHAAAAERVAEPKELRLFCGAGRGEGAPDLHEDRDHSKDDHGGQGEELDLELRLGGAAEEK >Et_5A_041350.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:21711971:21718158:1 gene:Et_5A_041350 transcript:Et_5A_041350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPPPPRLSPAALMDEIVEEVFLRFPPDDPKCLLRAALVCKRWSRIASDPVFRRRFRDLHRAAPMLGFFHNFDIFSFFTPTCSFRPPCRNGTVIDSRHGRILLHLRSRDYSKPQLDNAFVVWDPMTNCRRKLPLLPRSVRPGNWNAAVLCAAAPSGACNHLDCCGGPFLVVLMATDRGRMFSYLYSSEAGAWSEQASAPLPNYSIDLLPSALVGNALYFLSRHWKAILKCDLGTRKLSVIEMPPSYHFGALMTTEHGELGFATVVNSKLYLWSRVAGSEENVGWMESRVIELKTLFPAAAFTLFHGAVPSGSLYVVGFAEGADVIFVRRDSELFTLDLNSARVTKVSNMTSYTLCPIFPYVSFYTPPALPSRSNPNQILAGSNPIHLRGMAAPPRSPEPLLDELVEEVLLRVPPNDPKSLLRAALVCKRWCRIVSDPGFRRRFRELHGTPPLLGFVFGFSSSSRFIPTCSFRPPPFINGPAIDARHGRVLLHNVLGWSKPLEILDSPFEIWNPITGSRKILPLLPRSPNPKPIVSWNAAILRRGGHSLVVFVGTDSYEMFSYVYSSESSAWSERVSSPGPADVLGYCFGIASRPSTLVENALYFVIRHKRCILKYDLGTREISLVDQPWSCAAGLLMTTERGGLGLAYVWNCKLFQWSRQDGPDGHAGWAVSRSIELKTLLPHDAVSTQLRVVGFAEDVSVIFLGRDREIFTIDLKSIQLTKVSDGIWLPKDIPYILPYVNFYTPVHIEIYLSITLVTELSPKFWLITYWECPLRLRDQEQGLVADGMFGLWDAGTKGTGRVLAWWILGMTILNFVGLLSCG >Et_4B_037890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24473985:24474583:1 gene:Et_4B_037890 transcript:Et_4B_037890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ASKFVASGFVQCRDRYNCSDGVTDNGGAAATSIISVARAREELVQVLGCKQDIEESEYLTLGSSTIVKETFRLHPPAPFLLPHLAETTTQVLGYTVPKGAGILVNVCAIGHDAKVWPEPEKLMPERFLLADDKLQELDFRGRDFELLPFGSGRGCARGCRWPPAWLISCSRHCCIASNGAFPWMSTCREERLSRHD >Et_2A_017400.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3471627:3476574:1 gene:Et_2A_017400 transcript:Et_2A_017400.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGGLSAAASSTAGVADPSSPSARGWGDDDAGAGKVKLMCSFGGRIAPRPGDGALRYVGGQTRLISVPRTASFGELLRKVEAVDEATAAAGGGVLVRYQLPGEDLDALISVSGPEDYDNMREEYDKLAAAAPDGSAKLRVFLFPASGGSEGSGAHGSGSGSGSHFAATAAVDEPGQRYIDAINCVSAEAVAAAMRRKDSVASAASSAHNSEASEYSGLAEGMSPRSGPPQPSSAPSEFSYSGGAQYHNAFPESVGFSAVAMSSAPAMGIPTQNPILMSQYVPSIPVTNSTSVAQVSTLRPVSGGVEPVLDNMHFTRPVQTQVDQNYRVLQAPMSQLPPMPSMHLQASDAQRYGVQPMVTSTISTPVVTNSGAIPVVVSSATAPSLRYDDCTMCQKALPHAHSDNMIQDQGIPRAISNPEAAPIFYSLHQDSTSSNPSPSASSGTPANYVADPRAENTVGMAQFEPTLPARQPVVQATASPDAGMLVQPAMVTLPVSTAPAPNVVFVGHPPQTRAEDPFRYQQQPYSYGMQPSQGIDASAYQNSNHQVAEPLKEYSRDLPLDYTRAIDARMQAVHLGPIAPPESGVQGKPSSPHGAIDDGKVEKQPVNIDGIYKSQAGGYHMGITNAFIAPALIQEDSLAGHNEQPPSALDIGTRNVHPEISQHPLNVPVPNNLKVPVEPPISNEMFPVRPPYPGAQFPAGPPPQHPSEMLNHLVSAPPNDSSKFPLQATTGIDRVEATREPAYTGSLFSNQDPWTAVGNASVVPPRPNKLVKEPVLDGHVADLNSSNAAALLEEGNLSHIRGPSFKDIHTVKPNKGFGEENIKQQLQAVAEGVAASVLQSPFPEKPAAFSGDSHGALMDAKVQDEGKNQFDKSSQGVQVLDDFENLQIIKNNDLEELRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKASEQERMRTDFWNEAGKLASLHHPNVVAFYGVVVDGPGGSVATVTEYMANGSLRQALQRNEKYNTPFSAKSGCLSYGVDFILMCSISRIFDRRRRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPQRPICKVDVFSFGIVMWELLTGEEPYAELHYGAIIGGIVNNTLRPPVPESCDPQWRSLMEQCWSSEPSERPSFTEIGQRLRAMAASPTKAQQQK >Et_9A_062933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:739910:747559:1 gene:Et_9A_062933 transcript:Et_9A_062933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RKEINKVRRDPSDASAILKLRWRKYIQVLLLPAPELGESNWIGYVAVATDRGVAALGRRDVVVAWRGTLTDLEWVNDFDFVPASAAAAANRLTLGPEKGSSDLLEVPELPLAVISVLDHAPVLNHPPVGRHRVLVLEKVSALVHQREVSLHLHLEPSSAALLPCQAVQVQLQVVPRVRQQRLDEVRRMVGVDGQRRVHVHVRKRGNLRHDVAEVHHVQRLEVLAERAVGRLEEDVPNMRACEHERRHRARRLGGVRRRDVHALGDQVDGVEGCERRAEAVAGDGDALLLVLVQLHQPPHLLKNLQNNMILALLLAWSLALVLLNLDSALLDVYKDKNPLCTRASGFLDAASPSTGAADAGAKSKSGPYRPAPRDDDVAPAERGGALVRGDGDVADEVGLPAPDVLERLRRQEQERRRQLQARRRVDELGHLVVPRRGDAGFHEEVVVAVHARAGVRRAPLLVEAVVGGLRELAVGDDGSPEVDVQRVQEARRRALVGGDGDVADEVGLPGPHVSLEREQQERLRQWHARRGALVLSDPPIWRHRVLVLENIDALVDERQVAVHLKLEPSGAALLPGDAVQVQLQVVQRLRRDFEVRRAAGVDGQRHVHVHVRLRRHQGHHVAQVLDVEGLEVTERVVGSLEEVVPHVRASQDHGRHRARWLGGVGRRVHAAGDHVDRVQRGERRAEAVACNRDAGVLVLEAIVHQGERVRGRGGAEHRCRRRCRDVEVTRPLQGPHRPAPRHHDVAPAQRRRALVGGDGDVADEVGLPGPHVLERRQRQQQERLRQWQARRRVDELGHLVVVELGDARLLEEVVVAVHARAAVRRALLRVEGVEGGLRELAVADDGAPEVDVERVKEAVPRVLALKLAPPLGS >Et_3B_031397.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28174132:28175286:-1 gene:Et_3B_031397 transcript:Et_3B_031397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRNLLVSSLRAGAAHPLPTSSPLRRLFLCTDTSPAAQFVSQSYLISTCGLTPAQALTASRAIPNVTTTDQADAVRAFLAGELGLSESDVISTIARKARLLCCDVDKTLAPCVSQLREIGLSTPEIARLVSVYPLILVSPKHVSRLAFYVSFLGSFGKVQKAVARNKSLLGSSIEDVVEPNMARLRQCGLTVRDIAKVLVLVPRLLAGSPERLAVAILRAEQLGVPQGTPMFRYALVFAYAVKPETAAAKMELLRSLGLSSGQVAMAVAKLPSVLASGEDRLRRAMDFLTKEAGMDMVTIARAPSLLKFSIEERLAPRLKVMKLLKENELPGGNRSFYNMACMSEEKFIDKFVRLHEKSVPDIAAVYAAARVGKAPAGAVAS >Et_4B_038131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26580936:26586368:-1 gene:Et_4B_038131 transcript:Et_4B_038131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGEDEEAVDVRLQFHRRDGTVAGPYRLVPRWESCPQATGGSAAAVVGSETVSGGAVLPVAPPPESVDLIVVRQLDSVEGKVYLNIEVNPINFCAVSGSTRSSKMSLGNLLSFYSIDLPSPKSNPLGLFGNGRQRAFGPRNNKKQRTWEGCSVADAFVPFASFPGKSPPRHVQAKQEQRRLRIWVLGAGVVPRPRREERPERVGERDRGRWCLGTARLGWEIRVEERVLWPPFWAGLLGQDSYTVIPHPERHRTTPTARSSPGGAPSSLLPPPCAAPRALLLPPSSACAGAARAPPCRHRPLAPHAVARLLRRLAIEVMGEASEGEGSCCVSCGRRVKTLFVQYSPGNIRLMKCESCKAVADPYIECEFMIILIDLILHKTKAYRHLLFNKLSMGSSVDKVVGNALLGNMIFMTMLFLGVRFILKLSFNITRYKKVLFAIMISSYFKWFLLTMMVWEFPSSVIFIVEMFVLSSNVVALRVVTQFPKFHCFVVCFVAQAAKYLTERWILGTSRA >Et_2A_016795.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28223443:28224909:1 gene:Et_2A_016795 transcript:Et_2A_016795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRYDDRYNGGRSVAHRSAADAVGYVEQEWRRSGSCPAGTVPIRRLPKIDGMSAPRAMPSLSRDQGGRNNVVLDDADAARDEFAAALALDGPYHGASALLPSWKPSNIEAGDSSITNLVIGGTVNRTWVAHPFPGELPPDITNQIAVGLMASPSAFGDDNPNLYVYYTVCNPNFIIVCISNYDRYDYQGLCMQNDGGASHRCVNLGCPGFVQTSNEIALGMSFINGGSSVTYDNVPYVAVNIHRPSGQEQWWVSVNDTPIGYFPHTLFPTFFPESFVHQLGGSVHDSRPGGAHTDTAMGNGRAPDGGGGGGAAMVKAYMAVDADGADVKDMPVKDVVTAPKCYNARVLGENRDVPGYDVAYGGPGGSGCDK >Et_4A_033481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23546259:23549216:1 gene:Et_4A_033481 transcript:Et_4A_033481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMLLHGTLDATIFEAKFNVQVSKFLDGLIPHVEGRPTGLPQLYATVDLSRARVGRTRVVDDNPANPRWNESFRIYCAHATTDVVFSVKVRLPIDAALIGRAYIPVQDLLKAAKGGEAVDRWLDILDEGRKPLPNGPKIHVQVRFAAVGDDPQYGGGVGGAQFPGVPKTFFKQRQGCRLTLYQDAHVADAFKPAIQLAGGRPYEPRRCWEELYDAVSDARHVVYVTGWSVFPEITLARDGGRAHPGGGVTLGELLKRKAKEGVRVLMLVWDDPTSVLNLGVLQGQLGTNDANTFKYFRGSGVHCTPCPRDPDDAASFKQGLQSFAFSHHQKSVIVDAKDDAGGDGQGRRRIVSFIGGLDLTNGRYDTQDHSLFRTLNTAHSNDFYQGNIPGADLGSGGPREPWHDIHCKIEGPAAWDVLHNFEQRWRKQGGKDDLVHNVLTFPWKKDKDVLIDLRGMEDVIVPQSSPAVPAGDQDAWNVQVFRSTDSSACDGFAKSPAEAANLGLVSGKNHVIERSIQDAYIHAIRRAKRFIYIENQYFLGSSFAWKPDDVTPEDINALHLIPRELSLKVVSKIEAGEPFHVYVVVPMWPEGDPSKWSMQAMLHWQKKTMEMMYGDIAAALKAKGVDADPKDYLSFFCLGNREVKQEVPREYEPKGHPPRDSNYDRAQKARRSMIYVHSKLMIVDDEYIIIGSANINQRSMDGGRDSEIAMGAYQPSHLNANGQVARGQVHGFRMSLWYEHLAELKDEFKDPGSLDCVRTVNKMASEFWQRYISDQVSDLHGHLLSYPVDVKRDGTVAELNGMKFFPDTTARVLGSLNLLVSVGSPLTNFILTT >Et_8A_058249.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:3445707:3446189:1 gene:Et_8A_058249 transcript:Et_8A_058249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAILETIKPRRSASREELPVTTAGGEDHLAGLRRRMSATFSARIQPLSSSDALRRATSMPSVKALAAAGALRRWWEWGLDWVMARRPPFARGLEMSDDEAAALGGCHNRGTWRHVIHKLRAGARRLLGRDGRPQLAAQDFRYDSVSYAQNFDDGDAA >Et_5B_043633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13197848:13201804:1 gene:Et_5B_043633 transcript:Et_5B_043633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLYALDFDGVLCDSCGESSLSAVKAAKVRWPWVFEKVDAAMEEWIVEQMYTLRPVVETGYENLLLVRLLVEIRIPSARKSSVADGLSVQEILENWLKLKPTIMAEWQEDRDSLVELFGRVRDDWIQNDFSGWIGANRFYPGTADALKFSSSEVYIVTTKQSRFAEALLKELAGINFPSERIYGLGTGPKVKVLQQLQQMAQHQGLTFHFVEDRVATLKNVINEPALDKWNLYLVTWGYNTQREREEAEGISRIQLVDLPDFSKKLK >Et_8B_059618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:224710:227522:1 gene:Et_8B_059618 transcript:Et_8B_059618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPHLCLGVQFSPAPVPKSKPSPGFRVDELYTLDLDALSNLQPIYGLIVLYKWRPPEKDERPVIKEPIPNLFFANQIINNACATQAIISVLLNSPGITLNDELKKLKEFAKDLPPELKGLSIVNCESIRMCSNSFARSEVSEEQKSSSKDDDVYHFISYVPVDGVLYELDGLKEGPINLGKCPVGEVGWLRMVQPVIQERIDRFSQNEIRFSVMAIMKNRKEIYTAELKELQRKREALLTQMADPSASRMVPSVEQSLAEVAAQIEAVTEKIIMEEEKTKKWKTENIRRKHNYVPFLFNFLKILEEKKQLKPLIEKAKAKQKSQSPNPR >Et_1B_014152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32958817:32965990:1 gene:Et_1B_014152 transcript:Et_1B_014152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTPAAIRDMQKDLEVQANALSKIQKGKHALSTTKPLPHISKNHQVRKQYTIQVGENELVLKARTRDPSLSSLPLRTVGCFAELELLSDGANVYKLIGPVLVKQDLAEAKANVKKRIEYISAELKRMDRALKDLEDKQNSKKESIFKLQQRMQAIQAGQAKA >Et_1A_009149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3141077:3145817:-1 gene:Et_1A_009149 transcript:Et_1A_009149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFVATTASARATTPFFAPLRRGGSPSVAVPLRRRLLARGWRSASAAVPDPVPSEEPASASSTVAVTDKPDLPADEKVGEEESSASSSSVEAAVVAPASSGASGEEGGSGGLDDILSKLDIEVTPTLILTGSGAFVALLVLSSVVSAIDSVPLDCSELLKEKFGNQNMNITSQDLSSRSKRTDTNQRQTDIAGPDRLLRRIKIDFQYLVVILQSKIAPVARDISFLKLQSTRNGKREFNYL >Et_3B_030799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7536415:7543882:1 gene:Et_3B_030799 transcript:Et_3B_030799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRSHLHKVRIPEPTNHIHKDECCVSFDTPRSEGGLYVDMSSFLGFGREHVEWNYEKTGNPVYLHIVQRRKPEADEADRPLKKPTLLAIGVEGGFSDQEPEYDETLEIVILPEFISLPFPSVDLPEKVRLAVDKVILAESADRKQQLAAWVADKKNISAYAMDLQQLDNGVIVPPTGWKCTKCDKTENLWLNLTDGMILCGRKLWDGSGGNNHAIEHYQETKYPLAVKLGTITADLEAADVFSYPEDDSVEDPLLAQHLSHFGIDFSSLQKTEMTTAERELDCNTNYDWNRIQESGKDVELLFGPGYTGLVNLGNSCYMASIMQVMFSTHPFISRYFEKQSLKAAFATAPAEPTLDLNMQMTKLGHGLLSGKYSTPTKEGQEGIRPRMFKTVIAANHSEFSSMRQQDALDFFLHLIDRVEQANPGNHELNPCTGFKFIVEERVQCPSGKVSYNKRSDYMLSLGIPLHEAINKEEREAFNAKKLQWIWMERKSTEEIVRPRVPLEACLASFSGPEEIPDFYSTALNSKTDCNQFVMEAGWVPKKLDVYIDVPDTIDISHMRSKGVQHGEEMLPEGASGNNNADPAFPVANEDIISQLASMGFNYLHCQKAAINTSNTGVEEAMNWLLSHMDDPDIDDPISKDSRASEQSVDESSVQTLVSFGFQEDGGNIEKATDWIFSNPEASSSASADSSTSNVKADDEGIPDGSGKYKLMAFVSHMGTSTHCGHYVAHVLKDGRWAIFNDNKVAASVDLPKDMGYLYFFQRI >Et_4A_033319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21444713:21445018:1 gene:Et_4A_033319 transcript:Et_4A_033319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSCTRNSSRSPHCGFRSRGRVAVRRRWPSKSTTAFRALHTSTTTRRRAPSATIAGAAGELEKGYYSIVGSFCYGMRFAACVCRKA >Et_2A_018106.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:11258980:11260470:-1 gene:Et_2A_018106 transcript:Et_2A_018106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSPTQTPPPVPVPNPRHTRRRLLLAPPLLAVAVAILLGASSSPLPRRFIRLLVRPKPFLLRPAPPRLEPDASPGAGRSPCVLWMAPFTSGGGYCSEAWSYVSALDAHAAEEDKNLTLAIAHHGDLESPEFWLGLPERSKNLAYRLATARCELDRAVVICHSEPGAWYPPMYETLPCPPTGYDDPAVVIGRTMFETDRVSPEHVRRCNQMDAVWVPTDFHVSTFVKSGVDPAKVVKVVQAVDVAFFDPAKHEALPLPIGVSVMMPEGLMAEHGNSKDNRFVFLSVFKWEQRKGWDVLLKAFLQEFSGADDVVLYLLVNAYHSDTNFSGKIHRFVKESSIEEPADGWAEIRIIDEHVPQSALPRLYKAADVFVLPTRGEGWGRPVVEAMAMELPVIVTNWSGPTEYLTEENGYPLDVDRLTEVTEGPFKGHLCAEPSVDHLRALMRHVVGDREEARSKGRKARGDMIERFAPEIVARIVANQIQQALVNAEKRDN >Et_10A_001037.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:20418724:20421322:1 gene:Et_10A_001037 transcript:Et_10A_001037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVEAEEQQTEPPDEDDEEEGFVEKDPTGRFIRYDEVVGFGAVKIVYKAFDKLEGVEVAWSQSQINDSVMGCSTKMEQLNTEIQLLRTLRHKNIVKLFASWVDEDKGIVNIVTEYFTSGSLRQYRKKHKKVDMKAMRRWAVQILTGLEYLHSQKPTIIHRDLKCDNIFINGNHGKVKIGDFGLATFMQQQKTQSIRGTLEFMAPELYTGNYNELVDIYAFGMCMLEMVTCEYPYSECQGMGHIFKNVSQGKKPAALNKVKDVEVRSFIESCLAPASERLPACELLKIALVEIEDVTKDGDRSDSFVFRKGEFLLKGHMEVTNPVVLSLRFPDPYGGFKTAEFPLDVAKDTGLSVAMEMAEQFELPQGSIEIITELIGAFLLVLIQYWRRSCVSMP >Et_4A_031902.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:27965975:27967773:1 gene:Et_4A_031902 transcript:Et_4A_031902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVAFSRRRRRWLLLAAAGAVTAVGAYKIYHHPAVAARRRRLVRLAAAVAAFADAAASSADAAALVASELADFVRSDSDEVPRSVAQLAKLAAAPQVSASVSALSEAVTAGVLRGAGASDSSPGSVGGVALSERVVDKLFSESGERLASAVAGSFARHLVLAYYSVPSPPGETSSPTMWVNVVTSGKCRKAISNWVEVFVGAAVREFIDKTIHINTYEQLFEGLTNPKHDAKVKELFVCVCNGAVETLVKTAHHVMYSTNNNLDGSGSGSNSGNINGGAGEGWVETVSTTLAVPSNRKFVLDVTGRVTFETVRSFLEFVLWKLQDGARKGGDSVVDSGLRVVRYMSDKSMVIATICITLCLHVLNGTRLLITA >Et_1B_010197.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:29214263:29214556:-1 gene:Et_1B_010197 transcript:Et_1B_010197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDEQFHCLGLAAVCWSIWTTRNNIAFDSFKLNDPVIIFYKVCSFLFYRAGLQSTEEEKEMIKQRVKHMQKRAREMESQYGGGSLVLVTTSEEIST >Et_2B_018869.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:10695082:10695633:1 gene:Et_2B_018869 transcript:Et_2B_018869.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARVLSEKGKLRRAVTLYFHNFSRFDGIILLRHLALYLPDDLTIKPVVRNSRIYEIAVYLLNPLKNRLILKIRDSCLLLPGSLADLADSFCPKAGGKGENDHVNVTVDKLGINRKKYLDYLDQDILLLGRVVQKAQKIYWDEYLIDIFSEMTISSLAMTIFRMKYYDDVHPLMNNGFSFIQL >Et_1A_007985.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39967579:39971031:-1 gene:Et_1A_007985 transcript:Et_1A_007985.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNICGGAGKAHVAEDFRPSSPGTTMTPKTSGSITTSNNTTGKLSSVDSSFMASTGSRSTSGGFDEGGKSPLGQILEAPNLRTFTFMELRTATKNFRPDSVLGEGGFGRVYKGWVDEKTMNPTKSGTGMVVAVKKLNSESLQGYEEWQSEINFLGRLSHPNLVKLLGYCLEDKELLLVYEFMAKGSLENHLFRRGCAPLSWELRLKIAIGAARGLAFLHASEKQVIYRDFKASNILLDANYNAKLSDFGLAKLGPTGSNSHITTRVMGTYGYAAPEYVATDPNRPGGQLNLVDWAKPYLADRRKLARLMDPRFEGQYNSKQALQAAQLTLNCLAGEPRSRPSMKEVVETLEQIELMKSRAREARGSGPARDRQHGRNSTVHQRSSPRSEPRRGPRANGHATKAR >Et_3B_030232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31602030:31604018:1 gene:Et_3B_030232 transcript:Et_3B_030232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASGSGGSGGDFSVLVLGSDFATDAGAALLTSADRDEWHDCLPDLSAPADANDFTDLEELQVVRVQGTDRAGRTVVRVVGKFFPAPVIDGERLKRYVFHKLQTELPEGPFCILYMHTTVQSDDNNPGMSILRTIYEDLPPEYKERLQVFYFLHPGLRSRLAIATLGRLFLSGGLYWKIKYVSRLEYLWADIRKGEVEIPDFVIEHDKVLEHRPLTDYGIEPDPLHLADVPAMGCSLGRYEDKWSPEDRFYSRNYM >Et_7B_054899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5390850:5391185:1 gene:Et_7B_054899 transcript:Et_7B_054899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKYSAIAMAMAISILAAAVAGVAASSAPDPRSYLTSWGGPGCTTASGWISSVGTGHEFNFRGETATLYTLSGCAGTPYQLFENTRACGDFGWRSIHIDC >Et_3A_025371.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29255475:29256381:-1 gene:Et_3A_025371 transcript:Et_3A_025371.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLQMAPQLLTLNIEGISQCLGRITAVGSKKDKKIQSGVLFSFWWQIWKERNRRLFEHKQCSSEQVAYITVDAIRLHRVANESWPTNSTINVDALK >Et_9A_062942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:7132999:7139652:1 gene:Et_9A_062942 transcript:Et_9A_062942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNCNLLVLQGHGDGTGSERSYGSAKVNEEKVHRQLKQERLTIYMMMDAEEDGYAETVPTSSSSTFRSISGSTTTITSFHQPAEVSSYHGLYFDNLDTIVNLDNLMTQEAVQEEGQRKNSLERRGAFKPYVRQLSPRKKPKPGCGQRAIKTAMSALERMHMARLVGMAPPPSIGNNCGDQLQHVLKERKRREKLKDNFKALRTVLPPGFKKDRSSTLIGARDYVNTLKSRVRCSHSDTDCQKQDYPDDLTEVGISRSVAEETSHEFRLNTVGQDDMTCMDALITILQSLHDIEDVGLMAMDTTDTTGGLPSGKNFRIIYLMMETEEHGYTSSSTFPSLSGSSDDNSSLMLTGSTATTTSFHLPAEASSLKILPSPIAYDDDHHGLFFENLEMIVNLDHLMTQEPHQEQGQSKKDSNFCGAFRPYFPYLSPRKKPKPGACSQRAIKAAMSALERMHMARLANWQSYQMGMSAPPPSVDSSGDQLHHVLSERKRREKLKDSFKALRNVLPPGSKKDRASTLIRARDYVNTLKSRVSELEEKSRMPVETQVHGDQAAQQDDYSTEQVEVYISRPIAEETSQEFSLKIVLRSGCSAIDALVTILQCLKEIEDVRLVAMDTHTESTTCHPPGKDFQTMTITVQVKSGRYDDKFLKESVIKIVKDAMKSERVMT >Et_8B_060627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3666854:3669594:-1 gene:Et_8B_060627 transcript:Et_8B_060627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKSNTPPPPPPVMGAPVAYPPAAYPAPGAVAYPPAAAYPGAGAVAYPPAVGQTATQQGTATQQQLQMFWAEQYREIEATTDFKNHNLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRGWAHAEENKRRTLQKSDIAAAVARTEVFDFLVDIVPRDEAKDAEAAAAAVGAGIPHPAAGMPATDPMAYYYVPYLAPQNLMMHYWEILLSNEIDVTHKQRNH >Et_2A_015458.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13186720:13191448:1 gene:Et_2A_015458 transcript:Et_2A_015458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDRSSRRARDDDGHHRTRDRDDDRHRHHRKRDSPDDDDDRRRRRHRDDDDRHHKRDGGDDEDRRRRRHHRDDDDDRRRTSRRSPSPSESPPPSAKRDRSSSRPRESVERRDAADREPPQSSSRKRKGHEGGGGGDEADREAGKRARASVDPPPPKEERPRRERRKFEDVDANGDEKGIPSREHKNGTLAVNGDSQSGAIPKAGYQQPLHAAPVVVVPSSVPVSSKVSSITTNNENEGVSIRSDEVTGKSSTDGSATSATGKSSNLSLDALAKAKKALQLKKELSEKLKKLPELKNKLGTDALVSKKEGKSSVSVSEAQPASKGEDKTSSVSGTQVTAGAIGIPGLNIPNLDAVKRAQELAARMGFRQDPQFAPAINLFPGTSTEPTVPQRPAKAPVLRLDAQGREIDEHGNVINLTKPTNLSTLKVNINKQKKEAFQIIKPDLDSLAKSSAHFDERMGINEKKLFRPKRPGFQFVEEGRLSRQAELQRIKNQFGEAQAKELKVKQAQLAKAKAEVDMNPNLIEVAPGGRVPKQKQKEVIPDIEPWDAKILETATYEDISIEKLNMNRITIYVEHPEPYEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAKEKDRQEMIRQGLLEPPKPKVKMSNLMKVLGSEAVQDPTRLELEIRTAAAEREQAHVDRNIARKLTPSERREKKERKLFEDPTVDTIVCVYKIRDLSHPQTRFKVDVNAQENRLTGTAVITDTISVVVVEGGKKSIKRYNKLMLNRIDWAAAVGTEDDADEEPEKPVNSCALVWQGSVAKSAFHRFTVHNCRSEAAAKKVFIDASVPHYWDLAVNFSEDSSMRKHEASEYCGHCALYQAYLE >Et_10B_004156.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:16341415:16341753:1 gene:Et_10B_004156 transcript:Et_10B_004156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATIAEETKRMATLLGLLRVTTSDEKVSASGTSEDKLDWLRSQLIRKDVEFDTPFSRRVLTCADLNGVRPSPAYIEDYLVKPSVELRGRAAADPGEVGGLLGPYEHHSNL >Et_5B_044110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20085397:20085883:1 gene:Et_5B_044110 transcript:Et_5B_044110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEDRKTNRNTASLAAPDTNICGMREHSGGERRTGHLADVPKSVETFIFRSVVTTKVELLQK >Et_1B_013540.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9335388:9339997:-1 gene:Et_1B_013540 transcript:Et_1B_013540.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSSACSVLRSPLLLLLLSLGCAAAQKGSTWKTLSGLKPNIRVIVAGKAPAIIATGGFSGLFPDSSDIAYQTVQLTSSPDTALYCNVWLTKDNAGICLPNINMDNCTTIASVLPKGKKTYNVNGVSTTGWFSVDFKFTDLDKLDSFYSQFNLSMRSYILSTSKQFVPDYISSPEVNFLTSISGRVNKKTKLVFRFLDERSIEPSTNQTYGSMLKNLTFVKTFASGILVPKNYIWPVTPDNYLLPYTSVVSDAHKAGLEIYAAGFANDNILSYNYSYDPLTEVLSFIDNGVFAVDGVLSDFPITPSEAIGCFTNLNNSKTDHAKPLVISHNGASGDYPDCTDEAYKKAVDDGADVIDCPVQVTKDGIPVCMGSIDLMDDTTVATSQFATPAVTITDLKDVPGVFTFNLTWDDITKNLKPMISNPQSKYNLVRNPRNKNAGSFMRLSDFLDFAKSKDLAGIMITVENAAFMAEKLGFGVVDAVIKALDDSGYSKQTAQKVMIQSTNSSVLVKFKQETKYDLVYKIEEAVRDAAPSSIADIKKFASAVSVGTSSVFPTAKLFLTNQTNNLVQSLQTAGLPVYVYLLMNEFVSQPYDFFSDPTSQINAYVQSAKVDGVITDFPGTAHRYKLNSCSSMGKNTPIFMQPPQPGGLLAILPQSAQPPAAAPMPLLTESDVAEPPLPPVRNTTTAHSPSHAGLRMRSDVSILVTLLMLCASLLI >Et_9A_060952.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:20922414:20923612:1 gene:Et_9A_060952 transcript:Et_9A_060952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVARGCSRCGNCGHTSTACLREIEHSVMRCGGGGAAAGLRLFGVQLHAAAAAAGGASSPALQLQKSFSMGCLNMQGSSPSYAVVPGPLFSPSSALLLSIDEGGERRAAADGSGYLSDDGARGGAALRERKKGVPWSEEEHRLFLVGLEKLGRGDWRGISRGFVTTRTPTQVASHAQKFFLRLNSAGKKNSSKRRSSLFDMVRYSESKTACVRLNLSSFS >Et_8B_060025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:5168978:5176205:1 gene:Et_8B_060025 transcript:Et_8B_060025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRITYSPHVRLEIREPSDHRSNPPVHQHHPLLSPPLRRAPSPSAQAKPPLHLAGSLLPRPASPNLKTLRPRTPSRTATVRFGKLLEGSGRHGGGRGAVSAILKGTLAAGVWPWLQVVSLSVRTRGEGNKYGMLLSDGDHFMASTLAASLDDLVQDGRIRPGTVVRLKEFRIVTPPGESRGIEVKQLGVLQTNCERIGNPSAYRSCSHLELKRDKLKVDSVASPVKLNCGAYSGGQGLNWCLTRGAVAVQGPELQRPVMQVVGVSQMRFELNLYHFILSDGVHTLNAMLSPVLNHLVEDTRLRKGTIVRLLMFSYNTVRIHRLVVFMLYASCFGMVVVGNLEVLQTECDLIGSPKAYELCCIEKPSGLKSKCGEPYSKSAANYAQPNNVPYSSCQDLKWYLTHGAVAAIMKGEMAVEQRPVMQVVDFSLTSKNGFSFYLLLLSDGVHQMYASLFPHLSHLVVDNYLLKGSRVRLLKFIRDINRDQNYRITIAVELEVLNKELGLIGSPTFYKLGNKEQELDAGHAAYISLDDPLQSVGGSHPAGQSLEGHLTRGIVAMMQQPVMQVITVYLTIPVFKKHGMYSLMLSDGVHAYDAILFSKLNHLVKNNHIRSGTIVRLLEVVFYSVQGPRILLNELEVLQTECELIGSPEAYDLCRIKKPHAPRCKCEESYPRSVASYAQPLDKPRLNGQSYKGHLTRGVVAAMFEGEITVQKQPLMQVVSFPSEDQIELSDGVHKAYGFLLPHLRHLVSDNRLRKGTIVRIPKIVFKERYFSIEELEVLQTEHKMLGHPTFYEPHLHGPSYKGHLTPGAVAAIFEGKILVEQQQPVKQVVDFPRFGCKIELSDGVHKAHAFLLPHLRHLVSDNRLINGTIVRVLKIVVNDWYVTLPIFLNEITCQFSSCYSLTRINDSKGLPAFQKDS >Et_8A_057206.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22366621:22370717:1 gene:Et_8A_057206 transcript:Et_8A_057206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLKLGSRADVFRKQGQEWYCTSGLPSDITVVVGDQSFHLHKFPLLSKSGLLERIIREKIDKDEDSSLIDLSDIPGGAKVFELAAKFCYGVKFEMTSSNVVHLRCAADYLEMTEEISEGNLIAQTENFLTQTVLRSWKDSIKALQTCDDVLDIAERLQIVKRCVDSLATKSCSDPDLFGWPVVQYGGPMQSPGGSLLWNGISTGARPRNSSPDWWYDDVSCLSLPLYKRLISAMEYRGISQDIIVGSLNHYAKRRLPGLNRRKSISDVSNCLSVTTLTSIPSEEEQKYLLEEIDRLLPFQRGVTSCKLLFGLLRTAIILKANPSCLSNLERRIGMQLDKATLEDLLIPNISDSVETLYDVDCIQRIVDHFLAMDQEAGGASPGLGEDGQILASPSLMPITLVAKLIDGYLAEVAPDANLKLPKFHSLAAAIPDYARPIDDGLYRAIDIYLKAHPHLSESEKEELCRVMDCQKLSLEACTHAAQNERLPLRVIVQVLFFEQLQLRSSIAECLMVSEPLEGGSRQLGLPISGEHHRAGWPLPTRENQTLREGMDTMKQRVAELEKECSAMREDIERLGRSRSAGKSRFPFSLAVKPQICSTKEAAPAASKTAKASEDKLAVVKSGTSGGGTPQLKLGRHKKNLS >Et_5B_044446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2576056:2577925:1 gene:Et_5B_044446 transcript:Et_5B_044446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLTRLAGRVATWSSLRRRAFQGARMESGGGKSGRGALVVLEGLDRSGKSSQCARLLSFLEGQGHRAEGWRFPDRGTSVGQMISAYLANESQLDDRTIHLLFSANRWEKRGLMESKLLSGTTLVVDRYSYSGVAFSAAKGLDIEWCKAPEVGLIAPDLVIYLDVQPEKAAERGGYGGERYERIEFQKKVADHYHSLRDPTWQIVDGSLPMETVEQQLRELATNCIQECNGKPLTSLAW >Et_7A_052870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2100383:2101465:-1 gene:Et_7A_052870 transcript:Et_7A_052870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALALAGIVVGGIAIILGLKLLTRCVELKREWRIQQARLEAMRIREAAASAPAPTVRTANGTYDVSAPAHPGSHVVVEMGAVNRFLDDILREKPARFTPENLRDFTRNYAERLGSGGFGVVYRGAFPNGVQVAVKVLNSTLDRRAEEQFMAEVGTAGRTYHINLVRLYGFCFDATTKALDSDNDALRLRFDTLHGVVVGTARGVRYLHEECQHRIIHYDIKPGNVLLTGDYTPKVADFGLARLCNRDNTHLTMTGARGTPGYAAPELWMPLPVTHKCDVYSFGMLVFEILGRRRNLEFQHPAVSQEWYPKWV >Et_5B_045182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11080337:11087351:-1 gene:Et_5B_045182 transcript:Et_5B_045182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGLGGLVGGDGYSSSVLVIQDKRMLAEIARTAAHEFGTLATPMRNGSKSLTTVQGMKKKFSYNEILKDLKKEFCSNNVEILIFFFPVCRSLSLKAKIGRRRS >Et_1A_005696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12910775:12915534:-1 gene:Et_1A_005696 transcript:Et_1A_005696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRRDTSDQHSCWLLRFAGVDPESRSTPLNPSPVSSTGQRRRRSRASSGGGEEEAMEEEQLRALLRDLDALKQRPNDPASIERMRERVVSMMKPADAAAAARSKIKDMSAEVVDSNPYSRLMALQRMGVVDNYERIRDYSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPEQVGMTKTDAAVQTLSGINPDVVLESYSLNITTVNGFETFLASLKAQSSHDRSTGVDLVLSCVDNYEARMVVNQACNELGQTWMESGVSEDAVSGHIQLLVPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNALKYLLKFGQVSPYLGYNSLKDFFPTMEMRPNPQCSNPACVQRQKEYMESKPARDAAAKAKMEAEASAADEGPIHQDNEWNISVLDDDDTATSSIKSSADILPEGLIRELPDADSYPEPPATVSSGAIDDDLEELQRQLDALNSS >Et_7A_051506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19716274:19717791:-1 gene:Et_7A_051506 transcript:Et_7A_051506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTQDTHQVNMSPFSYLAIFTLALMASASPPRASAAAEDGIYVAGPPNFVTPRGPRLQFLEAHNAARAAAGVPPLSWNATLALDAQRYVNELRHRCNARSLVAWGTDGVYGRNLYKGVGMRSADEAVASWVGEGRWYHNRGGAIGECGAPEGETCAHYTQVVWRATTQVGCRRRNCYRGDDTVAVCEYYPPAGNVKGQRPY >Et_10B_004329.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4024668:4025144:1 gene:Et_10B_004329 transcript:Et_10B_004329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQEKRRRISTAAASIPDDLLNSEVLARLPVKSLARFRSVCRSWRAGIAYPAFVRRHRDLSSRAAARCAPSVLAIPREVDPDEEQPTSGDISFHRLLLLPGTGGHATAAPEMVFEKAWPDGITRLIFPTHCDGLVAVATATDQVFVCNPATREFAAL >Et_10B_004089.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:1222365:1222814:-1 gene:Et_10B_004089 transcript:Et_10B_004089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEVAYAVVSVLAVVTMAYLLSMCSRSAAPATAAVAAASSAPPREEDVDVEAGLDEAAIKALPKVVYGEEPAAGKTTTATKTASCAVCLGEYAGGDVLRVLPDCAHAFHQLCVDRWLRLRPTCPVCRSPPAVPSPVATPLAEPSSQA >Et_9A_063103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10194782:10204018:1 gene:Et_9A_063103 transcript:Et_9A_063103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFTVFLMIALAIVVVAPAVTGLRARRSRFLANSLPTYSCSKKSAAICLSPGSPGPTCCDGQCVDTVASADHCGGCNKVCKLGRVCCGGRCVDLSSDKDNCGRCSNQCNKKCSYGTN >Et_3A_023423.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:26626246:26626353:1 gene:Et_3A_023423 transcript:Et_3A_023423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding AVEARFLRASFSAFVDLTTLVTKLVEEFRGKEGRS >Et_4A_035193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11281908:11283063:-1 gene:Et_4A_035193 transcript:Et_4A_035193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRERKKAAAALQEKLKILRSITHSHALSDTSIIMDASEYIRELKQKVVRLNQEIACAQNALRQASYPTVTVETLGGHGGFLINVFSDKSCPGLLVSVLEAFDELGLSVVEATASCAESFRLEAVGGENVAENVNEHVVKQAVLQAIRNCSESSSEHDEE >Et_10B_003616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:3779357:3785813:-1 gene:Et_10B_003616 transcript:Et_10B_003616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLDEILRLIPERIDSPVSLVRAASTCKRWCRIIACPGFLRRFRSAYAPTLVAGDYFNDSTLLSTLKAPKATRTRDTTSSSIDARQTRDRPSFVPAPSSSIDAGKFSLDFLPNDDGNVRFPRAWTVSDSRGSLLLVSRTSCEQFTDGAFPDMLVCEPATRRYKRILLQPDFPRIWDLDNGYFEEGGSDLEVLKLAHGCGQCVVERRVSLNQLSGMDTRLAPCRCCSWRVLDKAGVATGGHVALLQDQEGCGLMFFLDVEAMVLKRQQKIDCLANRVFSYEMPWPPTLNECVPHMSRFGLLCVRKVNCFGNKR >Et_4A_034978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8452475:8456503:-1 gene:Et_4A_034978 transcript:Et_4A_034978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLLFLHARAPLQPRPFRMSSPPAPCRVVVCSAASAEGVIPAAPILLPEGPWKKVEGGVTAAKGFKAAGIYGGLRAKGEKPDLALVACDVDATVAGTFTTNIVAAAPVIYCKRVLNTSKTARAVLVNAGQANAATGDAGYQDAVDSADAVAKEALLNSLPRLVDSLSPSIQGANSAAVAITTTDLVSKSIAVQTEIGGVPIRIGGMAKGSGMIHPNMATMLGVLTTDAQVSSDVWREMVRTSVRRSFNQITVDGDSSTNDCVIALASGLSGLSSILSHDSTEARQFQACLDAVMQGLAKSIAWDGEGATCLIEITVTGANSEADAAKIARSVASSSLVKAAVFGRDPNWGRIACSVGYSGIQFDADQLDISLGAIPLMKNGQPLPFDRSAASRYLKDAGDVHGTVYIDISVGSGAGIGKAWGCDLSYKYVEINAEYTT >Et_6B_050020.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:3638728:3639537:-1 gene:Et_6B_050020 transcript:Et_6B_050020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSDIAASMMLHGRVAIVTGGAGGIGSAVSKHLASLGARVAVGYIGDPTPARNLVSRINSEHGSKEEGETPRAIAVEADVSDAAQVRALFDAAAAAFGGELHILVTAAAVLDPSFPSLADTSDAVFDATFGANARGTFLCCREAARRLARGCGRGRVVTFSSSGVASLRPGHAVYTASKAAVEAMTKVLARELRGTGITANAVAPGSTGTPMFYNGRTEEEMERYIAEAPLGRLGMPEDIAPLVGFLASDAGHWVNAQVIRCNGGTI >Et_8A_058483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:931572:933768:-1 gene:Et_8A_058483 transcript:Et_8A_058483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTVVLGVEKKSTPKLQDSRSVRKIAKLDDHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPHTEKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETTGKETIKLAIRALLEVVESGGKNIEIAVMTHKDGLRELEEAEIDEYVAEIEAEKAAAEAAKKGAAKET >Et_3B_029187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22864518:22867598:1 gene:Et_3B_029187 transcript:Et_3B_029187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGGEALDLAAIDAAELTSEQKAALVLSLKDKLLALAGQHTDVLESLAPKVRRRVEVLQEIQSQHDELEAKFVEERAELEAKYQKLYEPLYSKRCEIVTGVVEVEGITEGGDETPGEQKENGVPDFWLNAMKNNEVLADEIQKRDEEALKYLMDIKWCRTDDPKGFKIEFFFKANPFFKNSVLTKTYHMIDEDDQILEKATGTEIEWYPGKCLTQKVLQKKSKKGSKSTKPITKIEDCESFFTFFSPPQVPDDDEGIDEDTIEQLQDQMEQDYDIGSTIRDKIIPHAVSWFTGEAQDDDNSILDDIEIEDDENENGDEDDEKDGGAQHGKQSADCKQQ >Et_7B_054883.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5198909:5200551:1 gene:Et_7B_054883 transcript:Et_7B_054883.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLSGAAMTSFVAKNPLLAAAARRRAGPPLAGRALAFSPLATRPAPRRRGLGTVTCFVPQDTEQPAAPAPAPPVPEPAAALDEEARAVAARRIAERKARKQSERRTYLVAAVMSSLGVTSMAVAAVYYRFSWQMEGGEVPVTEMLGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPREGPFELNDVFAIINAVPAIALLNYGFFHRGFVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHKIHHMDKYEGVPYGLFMGPKELEEVGGVEDLEKELARIGRSL >Et_4A_034567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4357244:4361239:-1 gene:Et_4A_034567 transcript:Et_4A_034567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMGSRAAAAAAGDVRTEEKEMDLLLSEIPHVTSPQGQQRAATAGSGVIGHANGGVHGGARGGRGFAPQRYGGEDAYFAVAVNRRDDGGQVQGGGGGGFHAPVSVGAGSAPLPASSPFVGGAPSQLAHAAEDALLANQLRGLHLTDAPAVHAQAALQRQGPQVKNAAPEDFPAAHNGYYGYNFGAPGTSVHHEHSFIDQAKAMGYVATRPQRFVSDVGLDGYGGFARGMDAGIGGVVYNRVGNGIGFGWGQGLVHPDLAESYVRSGQAGAEHNWEFFSPSPIALDARGGPKRHYAYGGFSPFETFRCENSLMLDGKKNINFLDRGRDRKQQQYVNNRAFDLGNSKTLRYENMVGVKGYIYFMAKDQNGCRFLQQKFEEGKDHVDAIFEGIIDHIAELMTNSFANYLVQKMLDVCDEEQRLRIIAVLTEDPVKLLRISLNTHGTRAVQKLIETVRSRKQIPLLISALQPGFMHLVNDLNGNHVIQKCLTNFGAEENKFIFEAAATHCFEMAIHRHGCCVLQRCISSALGGYQAKLIVEVCAHGFELAQDPFGNYVVQYVLELKIPSANTHMASQFKGRYVYLSKQKVSSNVVEKCLKFFSDNDKAVIVHELIKGSHFEQLLQDPYANYVIYTALLHTRGPLNTDLVEAIRPHEEALRTSPCCKRISRALSRR >Et_3B_028718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18432042:18438143:-1 gene:Et_3B_028718 transcript:Et_3B_028718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANWSSLPRDLVFSISELLLADDDLDYYMNFRAVCGHWRQSTLDPKEHITADPRFQLKKWAVLKDSGYNHDIKMVNLSTCRVVRKNVPQLYHYYFYAADGGLLVLGEQSPLPEGRVWVLNPFTGSVICFTVPEPIEEVRAVTVTSSPMRLFVSNLWNTVRWTEPNAKEVHEHRVRLPNYIISMAQFAGDVYVADRYGSVISTADEGIAVTQTVGAGEDGPSYYLVESSGELLLVTRRGHNADVRKVDTVRKVLEPVTSIGRRAIFISQVKSFVVDAFPTIEAGSIYFVDADLDLEGVARHRIIASSFRLQDQTEEDIMESGKMGRRCGPPTILDVLIDYCRSTPTYEYELAYSELLGWIYFIKYLVFSIGDLLLAAADVDYYINFRAVCSHWRQSSLDPKEHNSDPRFQLKKWAVIKDYGYNQDIKMVNLRTCRVVRKNIPQIFKHYAVDGGLLVLGKESLPDGSVWVLNPFTGSMVAFAMPLPSEEVRAVAVTSSPMRLFVSNLWNSVRWAEPNTEEVQQHRVQLPNYLVSMAQFAGDVYVADRYGSIVSTADEGNVMTQRIAAAANGPVTYLVESAGELLLVARRVNVGLVDVHKVDTAGKVLEPVTSISGRAIFISQVRSFVVDAFPTIEAGCIYFVDADLDLDMELDLDCIARHRIIASSFRLEDQTQQDIMESGKMGRRCGPPPLLEVFI >Et_6A_046288.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12097270:12102130:1 gene:Et_6A_046288 transcript:Et_6A_046288.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPVVSMEVTDEMLKSMEVGLAFRDYNGRISSMDFHSKATNYLVTASDDESIRLYDVQNAVCLKTINSKKYGVELVCFTDNPTLVLYSSKNGWDESLRLLSLNDNRFVRYFKGHLDRVVSISLCSEKDNFLSASLDRTVLSWDLRADKAQGLLRVQGRPAVSYDDQGLVFAVAYGGHIRMFDARKFEKGPFEIFSVGNDDSEAHVIKFSSDGRRVLLTTKAGRVHVLDSFHGNSIATYNVKPVVTNSTLEASFSPDGNHIISGSGDGSVYAWNVRSGKVARWGSTDNEPPLVRWAPGSLMFLTGSSELSCWVPDLSKLGSVTISK >Et_4A_032453.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10213753:10217887:1 gene:Et_4A_032453 transcript:Et_4A_032453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVEISHLTFTYPGIDGRPPPGAPPLIEDVCFSLDAGQRCLLLGSNGAGKTTILKILGGKHMVDPSMVRVLGRSAFHDTALISSGDLCYLGGEILDIDLSWRMHKASDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARANLLTYLKKECEERGATIIYATHIFDGLDDWPTHIVYIAHGKLQLALPLEKVKERSQLSLMRTVEAWLRKERDEDRRRRKERKEKGLPEFDKVVEGSRVTGDPAKSAARVVNNGWAAGRLTSTIAGEENFILSSNSVLRQ >Et_5B_044399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23845991:23847081:1 gene:Et_5B_044399 transcript:Et_5B_044399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YSASSSSSATATSSSATTSATSAARDASRQRKLFTNISGDSWDADGFWSDRVWSHVVFEHPKTLAMDPAKKKEVMADLDAFRNGKDYYARVGKAWKRGYLLYGPPGTGNPSSSSRTSTAHSISPANAKRRRTTRPTRTPSKRTSRRTATRNKTKDKDDDKSGTSKVTLSGVLNFIDGLWSACGGERIILFTTNHVDKLDPALIRRGRMDKHIEMSYSCFEAFKFLAKIYLDVDAHHLFDAVQALLQEVNMTPADVAENLTPKGPEEDADSCLAALVEALEKARQEALAKKEAPDDE >Et_3A_023025.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:19122760:19125409:-1 gene:Et_3A_023025 transcript:Et_3A_023025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CWRQTPLNLSPQYSRGRTTTPSTIVGRRRRRRLKMALRTLAFAKAVQEVPHRSRLAWKTIEVTEAGKAGQAEKALDLFEAMPVKNQVAWNAALAALVDAGRTEWALSFFREMPKRNATSYTTMIGGLSRAGATARARCLFEELPFDQHNVFTWTAMVSCHVRNGEHDIAIELFMALYGEFFERKMLPNKHTFSSLLKACVGLQSLCMALQLHAVIFKLLDEGGRDCFVWNTLIDAHTKLGLLSDAEKVFYRMQYRDICTWNIMMDAYSQHKKVDRALDLFRMMRNKDAFSWNTIIHCLLENRRGEDALHLFIDMVRLTGHYGGNTKPNASIYTTALNICSVLALLELGRQIHARIVKDGFYQGNVFVCNSLISMYSSSGVVFDLEQVFNEMTVRDIVSWNTVIQGLGQNGLGRQAMTVAECALAQKMYNSNTFIAILTSCSHAGLVTEGLSYFDAMTEKHGVERTLDHHISAIDLLGRAGRLEEAYGLLRNMPFAPNTVAWSTLLHSCLAHKNSVLGSIAAQELRSLQPGSGGNYERLVQNYEGCSEVGVMPFGSEKDANHIPGCSWVT >Et_4B_036069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20511953:20514841:-1 gene:Et_4B_036069 transcript:Et_4B_036069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALARRGRSGGSSVPAPAAGVTLGTEDERVRRRGSNHWLLPVACRSGGGGTAKRSRGTADAAAAS >Et_2B_021189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27304838:27305698:1 gene:Et_2B_021189 transcript:Et_2B_021189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQAQAVKLISAFGSPFGHRVEVALALKGVPYELVLEDLDNKSELLLRHNPVHKTVPVLLHGEDRAVCESFVIVEYVDEAFDGPHIQPADPYDRAMARFWAHFIENKLTMPFWMSFWTEGEVRDGFVKEARENLAVLEAQLDRKRFFGGESLGFLDIAACALATWLDFPALRRWAKEYTAHEAVKKCLPDRDRLLAYFCYQRGKVQGESQGSAAAVMMIWCARLLLCVCLNSLKNK >Et_9A_061823.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16901899:16902460:1 gene:Et_9A_061823 transcript:Et_9A_061823.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSGTSSPSSASSDHPPSSASAKTGFLKTCFNGVNALSGIGLLFIPYALSQGGWLSLAMFLAIAIIFYYTGLLLRRCMDASPLVRSYPDIGALAFGRPGRLAVSAFMYLELFLVAVVLNFLLPSPRRT >Et_4A_035135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9915610:9917394:1 gene:Et_4A_035135 transcript:Et_4A_035135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGTGCCRRQRGPDGKERRGGRGCGAFLAVLALAAAAAVAFLEGTASGVSYTGDGWFHECAKWDADGARFLASNAFGAGVAEVRTAGGDERVVVADPDVAGRAACGLVVDAPRRRVLVVYAGRPPRFGYAALGAYELGSWRRLFLTRLDAPGESTFADDVASDEDGNAYVTDAKGNKIWKVSPDGEPLGIIKNATFVQRTGLLSNFVGLNGIVYHPNGYLLVVHTSGGDLFKVDPKTGSVRVVDVRGSLKQGDGLELLSPTRLVVAGMPSRLVESSDDWETASVTGRYVGPIHRVGSSATVKDGDVYINHIFGLWLGKKKTHVIARAVFAPLAAAS >Et_3B_031152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18577223:18581064:1 gene:Et_3B_031152 transcript:Et_3B_031152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSGGGGDLSAESERRLKKAMDKLYHFPKPKPSSASSKPSSSSALAPRFCPTLARASSSLCLWFRFGLDLIWFGSSGRPAAELARRFRLVRGSRLPPQVTAMSAISPPPPCRPWDRADLMRRLASFKAMTWFAKPKVISPVNCARRGWTNIEPDVITCEACGARLLFSTPYSWTTQQVEKAAAVFSLKLDTGHKLLCPWIDNICDESLALFPPTPPPVLVENYYECFSSLLRLSALPRISCSSLEIMKKRTPQLEQFLLEPFSSSVVLKGGFVLTEDSTIRDLDDAFEDANTYYQALKIISLCGWEPRVLSYAIDCGTKSHSDANSASNLVRPEQMSKSVEDRIILYSRNDANERPLQLFKLVSNSRKDDQDNGHASLLSGVEPSKSANIGFNFTIAGGPPPTRQSFRPKVSLPVVSRHLKADLNLSGICHSSESDSHMAPVASHTSGSLKRKRSMDQPHMLEGDNTTSTNVDTSTKGTQPDQPRDNSVKSTTNLEVSIGEKQDGAHSDAVKDTNVYEASNEEGTTDSVATNSISRTDVAHGQHGSEPKSPGQGVMAETSGVENLTETHAYNPMTTEVGAITKSSVDWEKVTYQPSEKQGLYDRLNEFDPIKQHRTFCPWIASDDGESLPGWRLTLSALLAQDKRDDGSSRVEVQTSLLDEEDDPITSVRKLFMSPPPKRLRLHQPEKS >Et_2B_020837.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24016474:24022733:-1 gene:Et_2B_020837 transcript:Et_2B_020837.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGLGWESLILFDMQSLEEGAELLRERCLKLHKGCRKYTEGLGEAYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDKLVQFVDIDLHEMKDARKRFDKASLLYDQAREKYLSLKKGTRADVATAVEDELHSARSSFEQARFNLVTALSNIEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLAYAQQSRERSNYEQAALVERMQEFKRQIDRESRWSPNGMHDSPNGDGIQAIGRSSHKMIEAVMQSASKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQNSRPSSGYSNQRSSTPAEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESAMDQMDWIEKITGVIASLLSFQSPERRLLQSPKGSSHHRTASESSSFSSSTELEHSISEDCMLEKNSGSGYFEHSARATQHHRTSMMKPDKPIDLLRKVVGNNNCADCGAAEPDWASLNLGVLLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNTFANTVWEEMLTSSICADHGDISRADGLENTSHNSAVSKPKQSDPIAVKEKFIHAKYAEKDFVRKHNADEIQLAQQMWDNVSSNNKKGIYSLIVASNADVNLTYEHTSFNSALTLGKALLLQEQPCSPSNGSSRCFDRNPLEKGSPGDSISPASTSARIDGLDDSVEGLSLLHLACRVADIGMVELLLQYGANVNSVDSRGRTPLHHSIMKGRHVFAKLLLSRGADSQVTDRDGRTALQYAIDGGTIDDEEILVLLEDPSR >Et_9A_061589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14141004:14152323:1 gene:Et_9A_061589 transcript:Et_9A_061589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQIQQLSFSSWLLLLLLLLCIEAVSALKFTRGDFPEKFAFGAGTAAYQYEGAAAEDGRSPSIWDTYAHSDVKLMSDMGMEAYRFTISWSRLIPGGRGAVNPKGLQFYNNVINELINVVLYHMDLPQILEDEYGGWVSPRIIDDFIAYADVCFREFGDRVAHWTTMLEPNIMAQGCYDNGGLPPNRCSYPYGTNCTVGNSTTEPYLFVHHSLLAHSSIVKLYREKYQTTQKGIIGLNMYSMWVYPFTGSAEDVDATERAKSFLHVYGDYPETMRKAAGSRLPSFSNNESELVINAFDFIGLNHYSSIYVSNNADAVEGPLQDFTADMATLFGGPCLFFLGKWWILRVWSIYLDTYGNLSFYIQENGYGGADGKLNDVERIDYLEKYMASTLKAIRNGADVRGYSVWSFMDLYEIFGGYKSYYGLVAVDFNTTVRIRQLRHSAQWYSDFLKNNATIEVEGDFALRFTVDDFPDGFAFGAGTAAFQYEGAVAEDGKSQSIWDTFAHSARNPNERIGDIASGGYHKYKEAVKLIKDMGLKAYRFSISWTRLIPNGRGALNPKGLQFYNNMINELVKEGIQIQAVIYHLDLPQILEDEYGGWLSPRIVDDFAAYADVCFREFGDRVTHWTTILEPNIIAQGSYDIGIMAPSRCSSPFGHNCIAGNSSVEPYLFLHHNLLAHSSAVRLYREKYQAAQKGAVGINLYSLAIYPLTGSPEDIKATERANDFFILHPLLFGDYPESMKKAAGARLPSFSNYESKLVTDAFDFIGLNHYSSVYASNNPDMSKMAVRDQAADVGALFRGSNACANSACTSVHASECFGKIAHSYEYAYESFADTRNGPTSVQYPAGRMIDPQGLEHVLRYLRGKYGNISIYIQENGCGETDDSLMDEERIDFLKKYMASTLKSIRDGANVKGYSVWSFMDLYELFGGLQGAFWSHPRGFQGPETSAAAE >Et_10B_004041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9604018:9609096:1 gene:Et_10B_004041 transcript:Et_10B_004041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDLIASCQDSIRQLGDEISESILYIDAGTLEAFQFIGAFPLLLELGARAVCSLENASPLDAAADWNSSFLHPTSKIVVITSCLLSDAHRYILRCLGNHVSVSHCTVLTAVSEVGHSAYVDSPLGPDAFHEYETLLIQDHEELFKKHEKLGKNKDNHDMETDFTSDIDTKLGSGVHYGTNSESSPTKRNLFDDDLGQVEGRERSDEVPPGVTLTAQFLYHLANKMDLKLDIFSFGDTSKVIGKLMMDMSSLYDVGRNKRSAGLLIIDRTVDLLTPCIHGDSFLDMMLSSLPRKERMSSYCATKNPQTPSKHAQATIKRSPLDFKIPFRTVFNNEETKSRTNMLSESMMSFISGWNVGEVDSEASWLPDYADNADDDKLGSQPGTINGSFLSNSAGVRYLEALLDRGAKDGLMLIKKWLVEALQHEKLSFASKGRQGVASVSELCSMVQMLSRDQLSLLKNRAVIQLALAAEMAFQEPQSSRWDAFTSAERILSVTAAETTQSLASELRDFINTSTSVESHKLASAVESSQGLLSFQDVLLLTVIGYILAGENFPTSIAGGPFSWEDERSLKDVVVDSILERPSSVKLRFLDGLDNELVAKVGSNDSYRNTKDSTEPDDFDDEWGNWDDDDNIDQKDDAYGNMQLKLEVRDRVDQLFKFFHKLSSMRLRNQTLGEGLAALSRFETDSYSRKGLLYKLLLALLSRLDVPGLEYHSSAVGRLFKSGLGRFGLGQSKPSFGDQSVLIVFVVGGINTLEVREVMNAISESSRPDVELILGGTTLVTPDDMFQLMLGSSDFS >Et_8B_059399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17621328:17623638:1 gene:Et_8B_059399 transcript:Et_8B_059399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLARVLMWELPGCLLLPQLVVSYFAVAFAGITAVDLLGVNWHIPRHLQAQLLRKDPLGHHHHQKTEIASPNSVLEPCSGELHKVQLHLASGMENATKWDPISIKAIEPDVAYVIAGELNLTEEQQGESYVASLQMELQQARDRVTKLETERASAKKQLDHLFKKLVEEKAAWRNREHKKVKAILEDMKADLDHEKKNRRQLETINLKLVDELKEVKMAANQLLQEYDNERKTRELTEEVCNKLVREIEEHKSEIETLKQDSLKLRGEVDEDRKLLQMAEVWREERVQMKLVDAKLTLEAKYEQLSKLQQDVEAFISTFSSSQGDSTLVQAAGDIIHAIGSVRTQDIEFTNEPPRASEDILSIFEELRPKEESQTNGDPETKETEPCHYAACESEMQDATPLTDIFLENRARLFPDGSPCDESETEDGSSWETMSHEEMQGSSRSPYGSEPSINKIFDRISWTSGYDSECGRKNKLCDDLSNMCLTDVKQPKKKESAISKLWKSSPLKNCEFRTKDSADIMKGRASDASLPNGMYSNAKAMNQEMGLTSPSTAQWSSPDSMNSQLNRGFRGCMELVQRQSLKAKLLEARMETQKIQLRHVLNQKT >Et_5B_044899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7092849:7097810:1 gene:Et_5B_044899 transcript:Et_5B_044899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKELGEAGARCRRSMAAPSSPQLLFLLLAFAFALLCSAAAAADTDTLRLGDQLSPGATLVSSPLRVFELGFYAPDPKQPSRLYLCIWYRGISPRTVVWVANRAKPATSAAPSLALTDHGELQVLDGPENATAAPAPQVLWSSNITASRANYTAVIDDTGSLKVHGGGGGGGDGDDVLWDSFAHPADTMLPGMKISIRTPGRGPKEQMLFTSWASETDPSPGQYALGVDPAGSGQAYIWKDGNVKFWRSGQWNGVNFIGIPWRPLYMSGFKPSVDPLLGGTFYSYTATNTSLQRFVVLPNGIDICYMAKKASQEWETVWMQPSNECEHYAICGANAKCTAAQDGKAKCDCLKGFQPKISDQWKAGNWSQGCIRGPPLGCQVNQSGDGFLEIGNIKWPDFSYWVDTVSDETGCRNACLNNCSCSAYVYTTYTGCMAWGSELIDLYELPTGTYTLHVKLPASELPKHHPVWKIVTIASAVVLFVLLACLLLWWKRGRNIKGAINRSWRSSARSQQNSAMLDISQSIRFDDDVEDGKSHELKVYSLDRIKAATCNFSDANKLGAGGFGPVYLGKLPGGEEVAVKRLCRNSGQGLEEFKNEVILIAKLQHRNLVRLLGCCIQGEEKILVYEYMPNKSLDAFLFSPEKQRLLDWRIRFDIIEGIARGLLYLHRDSRLRVVHRDLKASNILLDADMNPKISDFGMARIFGGDDNQFNTNRVVGTFGYMSPEYAMEGIFSVKSDVYSFGVLVLEIITGKRAVSFHGHQDSLNIAGYAWRQWNEDKASDLIDPSMRESCSGRQVLRCIHIALLCVQDHADERPDIPTVILYLSSDSLSLPNPRPPTLMLRGRDPETSKSSENDQSHSVGTVSMTQIYGR >Et_8B_059387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17402723:17403283:-1 gene:Et_8B_059387 transcript:Et_8B_059387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSPTTPLSRAAFAAALTALLRRNLAVVGLAMASSAVQAMLACQSHIQPVVPAHSTHGQLSRASTDTGPTDQRPRPHQWALGAVVFSRPTALNILRQHFSLYISNVPGFPSFERLDLLSAPGLRCGKRSIP >Et_4A_034811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6809195:6812633:1 gene:Et_4A_034811 transcript:Et_4A_034811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ETSNGLQNKDDFNISRKALRGFNLLECQSFPISQLINLLGNSVNVNDLECQKDVYVCYKLPGEGSSKLNLVFRREDSLDLNDIEASNRYDIDTTGLVCCWPSEEVLAYYCINNSDMFRSKKVLELGSGYGLAGLVIAASTNASEVVISDGNPQISRRTYASMQKILVKRSTFFKQFHQSLAHVVKSLLKHSATSQAIFLSPKRGDSLDKFLEIIKQNGMCFELIENYDPTVWNLHKKYATVVDRAWPNYDEEHCYPLLLHGC >Et_5A_041804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:333679:334384:-1 gene:Et_5A_041804 transcript:Et_5A_041804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQASSDEDCRAAKRATGTEGKKHLYVVLDDRHKAYTVHKLRTEDDATSSSMASPPFLRVEVPRDRDSCQFAALGHKIIALHSSRPLIHDTQTAALAIGSRLPSALSTHGCYLTVAAAGRPYALPGVSARTAGDMRTGPHRRLGFALAYMAMAGGDATFCCVVERALRPGVATRHASLGAGDKCVLHVTTFCVRYGKDGELTTTARRDAGSYAVSRHHHFFRMSAFYV >Et_2B_021994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7829594:7832572:-1 gene:Et_2B_021994 transcript:Et_2B_021994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAAQIRGSAAPATARRWSAPPGARLLRFAPLATATVPGPLRRGAFGGLAAGVASKSWTTMCVKADYTIPVDPMTSAEQTSNEVQEPAIVAATNEETSIEQEVAPQQKSAIIHDFCLGIPFGGFLFSMGLIGFLFWRNPVSLTFGVAPGLAILALGVLSLKVWRSGKSSLPFILAQAALAAAVAWKHSQAYIITKKLLPWGFYAALSAAMICFYSYVLLAGGNPPPKKAVAA >Et_2A_018750.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6669731:6685286:-1 gene:Et_2A_018750 transcript:Et_2A_018750.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKIVVVMILTTMIMAFLITSSSSRPVRGDGRGAGKGVVSGEYILQVLQQQYLQVLGAGPSCQTNSPNGDGIPCFTMIEWEA >Et_10A_000736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16160734:16161091:1 gene:Et_10A_000736 transcript:Et_10A_000736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEKERFPVYAWEPSNYIKHIATSEKERSSGRFDRNNTEISKTAPEQLQNCLFGPPIAKLTLSRVFAWEPSNYLKHIATSEK >Et_6B_048443.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:550963:551427:-1 gene:Et_6B_048443 transcript:Et_6B_048443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPSKHTWLWKCPFYPFFFSPHRLGTGIDRFKLVLFRRHRLGSRSGAATPTATATSPSSPSTCSPPSGDATMQQCLVSCQALYRGMAERQPACADAVKNGKFDDAKSSLEESASAAKECEAAFGKRNVASPLTVEDDDAFQLAKLAFALLRWAN >Et_4B_039045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7325531:7328529:1 gene:Et_4B_039045 transcript:Et_4B_039045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSDGGGDQRRLLSIPKEGERIIAPTRRPDGTLRKAIRIRAGYVPQEEVAIYQSKGAQMRKSGPDVPPGYDPALAADAKPKTKAAKRNERRKEKRQQATSSSDKGKSLDIEEAAAGETDKQRNSVDSVTKQIGGIAISDSPITATPSTNATDNSQTESSAPDIDKKIRALKKKIRLAEAQLQGDPGKSKPETMEKMKKIEGWHEELKLLEDKRAFAAS >Et_4B_037049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1434427:1438001:-1 gene:Et_4B_037049 transcript:Et_4B_037049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYLDFSHASTSRKWGHKRQGDGFEAPRNSMEFTSEASHSYGVFQEDVPYSCNTRQYPKSGLNHSSAPIKKLIHEDISFRTNEIHKRPGVIARLMGMDSPPQTATTESSSHSEERRHEIVSRPVSRRDQSEMVSTKHVSFVQHKDSIKHAPKQEIRAYDDERDLFVQPSKKNNEWSKPQPREHPQEEELQKFKKEFEAWQTSRRWEQSRSQETESHLDDDECTDIVPYRYQLHQHKGNDARNGSSKHTHAHDDVHRRRSKDSSTSISGSRTFSLTSADACSSKLPLSRFYHEEEEEERPSSPTRIVILKPCPELSTDDIEESSLGSPELVKKENNMEAFLEEVKKRLKIELEGRMASGDTAADRWAGADIPTDPKQIARNIANQIRENVTRDMHPALVRSESTRSYRSDVQLSGQSPMMDFIGRDAKRQLSDRLRNVLRREPDAQAPPFSSSSHRRRASSTSFDEEPRPAKPRRDVASRKGKIRSKEEKKRAIESDVIRSPRHGSYKTSSPVDSEPASPRNLIRSLSAPVSGTMFVKLLTEEPRVMTGARLQRKQEGHGSRPLPEERKGRKDAFNIKGRVSNLRQNLGLRAKLFGKKFYPADESFPDDLPPIGTLVTAPSVLIHPGVLQENSTEVPPSPASWCSSPPDEMIRGGYPSPVSPLEASFSEHRSPLRTAAKDTSSLACEPLAEQTGNLPEQAIKTEEVAETSPVHDDDTDEIDDPTKSFVRAVLVAAGLYGRQTQNPANFSSDCEGKLIPKWVLEEVDSTSAPSSDGGEAAVDHRLLFDLINEALPGTVWAATTLCTFDKWHAAALRRTPSGKKLLDALWKSVQPLLEPPCDTTSSSSVDGLIGRDLSASPWNGVFRDDVDALSLEVQAEILEELVDETVWDVLLNVGD >Et_3A_027293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7055477:7056096:-1 gene:Et_3A_027293 transcript:Et_3A_027293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSDASTPTLRMKLLVDTKAGRVLYAEARKDVVDFLFSLLTLPVGPVVKILSKDSMVGSIGNLYGSVEELDETYVRFDHSKDALLAPAGGFNGGKLLQLPALEDPEPKEFYRCCMVFNTFGITDVGMLQEKTVQLGYAERVPVLSNRIYSGTFPRDG >Et_3A_024450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20982912:20987214:1 gene:Et_3A_024450 transcript:Et_3A_024450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPYLPRVPPKELDGEETPTEIRHHGWGATFSRDEVHVYRKVIAQTRRIEGSCSSPSSLQGEVLANADTRTPTKREKCQCILGCLGDPLREPFRFELIHITSPDIGIVVNEQQGKFHHHTGRVSDTANLHFLVCFSGERNGRRVQAKNLVKNHCYLHHRSAKNHNFCCV >Et_3B_029058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21797767:21802609:1 gene:Et_3B_029058 transcript:Et_3B_029058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPLAGLQDHLKLARDYALEGLYDTSIIFFDGAIAQINKHLTTLDDALIRTKWMNCKKAISEEVEIVKQLDAQLKSLKEAPGTRRSSSPPIRSNKSFVFQPLDEYPTASPSPFDDPDVWAPPPRDTPNRRSARGQSSARKSSQDGAWARGPSKTGTPSRSAKPNGSKGNSGPRSSTASSTGGRKGKSVSSKADSASSDAEEGKTKKGQYEGPDMDLAAMLERDVLDSTPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCTSRGASGEHESSRRVKSELLVQIDGVNNSSTTEDGQPKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPDFESRKALININLRTVQIAADVNIDEVARKTEGYSGDDLTNVCRDASMNGMRRKIAGKTRDEIKNMSKDDIAKDPVAMCDFVEALGKVQKSVSPADIEKHEKWMAEFGSA >Et_8B_059074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13187763:13192791:1 gene:Et_8B_059074 transcript:Et_8B_059074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTASTGAMELVAALLRGVPPELRVEGAEGRALLATLAAAVLGAALFVLWRRSAGGKKGRKEAGAAAEAATLVKSREAKGADEAADDGRKRVAIFFGTQTGTAEGFAKALAEEAKARYDKAIFKVVDLDDYAAEDEEYEDKLKKEKLALFFVATYGDGEPTDNAARFYKWFTEGNERGVWLNDLEYAVFGLGNRQYEHFNKVAKVVDDLLTEQGGKRLVPVGLGDDDQCIEDDFNAWKEALWPELDRLLRDENDVSTGTTYTAAIPEYRVEFVKPEEAAHLERNFSLANGYAVHDAQHPCRANVAVRRELHTPASDRSCTHLEFDIAGTGLTYETGDHVGVYTENCPEVVHEAERLLGYSPETFFTIHADKEDGTPLGGGSLAPPFPSPITVRNALARYADLLNSPKKTALLALATYASDPAEADRLRFLASPAGKDEYAQWVVASQRSLLEVMAEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPSMSPTRIHVTCALVHETTPAGRIHKGICSTWIKNAVPSEESKDCSWAPIFVRQSNFKLPADPSVPIIMIGPGTGLAPFRGFLQERLAQKESGAELGRSVFFFGCRNSKMDFIYEDELNNFLEQGALSELVLAFSRQGPAKEYVQHKMAQKASEIWDMISQGAYIYVCGDAKGMARDVHRVLHTIIQEQGSLDSSKAESFVKNLQTEGRYLRDVWGPSDYR >Et_3B_028948.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20839502:20840669:1 gene:Et_3B_028948 transcript:Et_3B_028948.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGRERRRRAAWAEAPEDVLGLVIGRLPTLADRFRLGAVCRPWHGAERQLPRPKQLPWLALSRSRFLPDRSRTALYSLSDKAAYKLELPFHAGSKRGNFLCNPFTGATLPLPHQCTITRSRSSYLFQEGRRAEWVQYYPRRHEPEPCIRKAVLSCAPSVEDDPGKCVVAAIVNSGELFFARPGQRCWRRPRTRDGSRFRDKVDDAVFHNGMLYAVATLTFTATPCECSPSTKMPTSSPRPGSDVPQQYEPGRERRYFVESRRRLLMVERYYFRYRNDVRRTHLFKVFRRTPMMVEPYRSPIEPWMPLEGLDGEVMFLGGSGARSFASSEFGGDDADCIFFTDDHYPGEEYDMLENLIRMPCHESMTLEGSA >Et_6A_046230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1059299:1062469:-1 gene:Et_6A_046230 transcript:Et_6A_046230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGRTLLLPLAAATVLVASTIFLFAAAGSRWRPADSGLPLPTDAATSTDFSTTPVPETVTSSSDSSSGKGLSFLDENGQPDDPGSGSGSTSVAGRCDPRAASIRVFMYDMPPEFHFGLLGWSPPAGAGDVWPDLTSGAAPPPRYPGGLNQQHSVAYWLTLDLLSSSSPPCGAAVRVADSRDADLVFVPFFASLSYNRHSRAVPPEKVSRDKALQEKLVRYLMAQPEWKRSGGADHVIIAHHPNSLLHARAALSPAVFVLSDFGRYHHRVASLEKDVIAPYKHMAKTFVNDSAGFDDRPTLLYFRGAIYRKEGGSIRQELYYMLKDEKDVYFSFGSVQDHGASKASQGMHLSKFCLNIAGDTPSSNRLFDAIVTHCVPIIISDDIELPYEDVLEYSKFSIFVRSSDAVRKGYLMGLIRDVSKEQWTRMWKRLKEVDKHYEYQYPSQKDDAVQMIWQALARKVPAIRLKVHRSSRFSRSDRGGEKAGRGVNIAVTDSLNLNLGAGTSKNNEHSKGLSSPRNLEF >Et_4A_034313.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31670161:31670677:-1 gene:Et_4A_034313 transcript:Et_4A_034313.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LPAAAASEAVRFFAGRAESGMRRRGGHDEAAGRRRPRRGRPLAAAAHRQPPRQWAAWAADVRAPWRRPRCRGLLERSQLHRRVARQLHHRRPAAHSLFAPAGGEGGGAGAPGGGEGGGQRARHRAGPGVHGGREHAVGLALLRRPRLGPGVPRAQVHDQGL >Et_2B_018891.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1460444:1461102:-1 gene:Et_2B_018891 transcript:Et_2B_018891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGKIPHDKSRYERLLAGLQMFKEKGVLSHGKDMQRVKRRISGYQSQSNLRFKQIQKLIKEGRPLVGTVRVDEAFHSLKPGEIYEYCPTRAVMAKTEPEVHVSHAVVFVGCGVRAGRAYLVFINSFGTKFCEKGFGRVYLGHVRKLHTIDF >Et_5A_041546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24073276:24076976:-1 gene:Et_5A_041546 transcript:Et_5A_041546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSFITSLLTSFVIFVALVLVFTWLSRRPGNAPVYYPSLLLRGLDPWEGRGRGTRSPVGWIRGALAASESDVVAAGGVDAAVYLVFLSTVLSILVLSGIVLLPVLLPVAGTGHALEKSAGFDNGKTPKNFSQIERLALGNVENKSKRLWAFVLSVYWVSFVTYFVLWKSYKHVSNLRAAARSTPDIKPEEFAVLVREVPRPPPDETIKDSVDSYFRALHPNTFYRSLVVTDHTKADKIYQEIEGHKKKLARAEVIYANSKTESRPEGTKPTHKTGFLGLIGKKVDTIEYCSEQIKELLPKLETEQKITLREKQQRAAIVFFNSRSAAASASQTLHAQVFDKWTVMEAPEARDIIWPNLSRNIYERQIRQIIVYAIVFLTVVFYTVPLTAISAVTTLENLREKLPFLKVVVDQKIIKTVLQAYLPQLALIVFLALLPSLLLFLSKTEGIPSQSHVVRAASGKYFYFIVFNVFIGYTIGSTLFSSLTTIINNPPQIVQMLGNNLPKGATFFLTFVALKFFVGYGLELSRLVPLIIFHLKRKYLCKTEDEVRAAWAPGDLGYNTRVPNDMLIATVVLCYSVMAPLIIPFGVVYFALGWLIAKNQVLRVYVPSYESSGRMWPHMHTRIIAALLVYQITMIGVISLKEFIYSSVLVPLIPISFIFAYITQMRFYPSFTKTPLEVAQHQLKETPNMEAIYSAYIPPCLKPDKLEDLDVFEDAQSHTTSRAPSI >Et_2A_016473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25002776:25010332:-1 gene:Et_2A_016473 transcript:Et_2A_016473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTVTLEVESSDTVANVKAKIQDKEGIPPDQQRLIFAGKQLEDERTLADYNIQKESTLHLVLRLRGGGGKGGSYPKIDLNLLQLALKYRQTKLICRKCYARNSVRAENCRKKKCGHSSEIFVKTLTGKTVTLEVESSDTIANVKAKIQDKEGIPPDQQRLIFAGKQLEDERTLADYSIQKESTLHLVLRLRGGGGQGKGGTYPNIDPGLLQLALKFRQFKMICRECYARNPIRSKNCRKKKCGHSNELRKKKKMIHELLMGLLSTSSILLLMKSGLWALTRVSFGHGDASILSKNRAGDQEIDTQ >Et_4A_034095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:321587:322245:1 gene:Et_4A_034095 transcript:Et_4A_034095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAVALLAQSYDCCLIISPGPFVTFTFISSQMGIGCFKAGRIWFYNLIMLFIHVLIYRIWRHTNIICKRLLIICMHSAIIRIIFLQTNLNVAIKRG >Et_2B_022801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:5503664:5508531:-1 gene:Et_2B_022801 transcript:Et_2B_022801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGACPPPGLGFGGEFYSVVDGVCTRAGSYFGGKPVLDQAVGYAVVLGFGAFFALFTSFLVWLEKRYVGSQHTSEWFNTAGRSVKTGLIASVIVSQARACILALLSWTWAATILQSSNVAWQYGVSGPFWYASGATIQVLLFGVMAIEIKRKAPNAHTVCEIVRARWGRAAHVVFLVFCLTTNVIVTAMLLLGGSAVANALTGINMYAASFLIPLGVVVYTLAGGLKATFLASYIHSVVVHVVLVVFVFLVYTSSKSLGSPRVVHDHLTVVASAARSCAAPLSHPDQACGPVHGNFKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWMSAIAARPSSTHKGYLLGGLIWFAVPFSLATSLGLGALALDLPITAEEAAKGLVPPATATALMGKSGSVLLLTMLFMAVTSAGSAELVAVSSLCTYDIYRIYINPDATGKQILRVSRAVIFVFGCLMGVLAVILNLVGVSLGWMYLAMGVIIGSAVIPIALLLLWSKANAFGAILGSVTGCVLGVTVWLTVAKVQYGRVDLDSTGRNAPMLAGNLVSILVGGAVHAACSLAAPQGYDWESSRQITTVESAGAVDDDTVAEELKEEHLVRAKRWIVRWGVAFTGVIVVLWPVLSLPAGRYSVGYFTLWAAIAIAWGTVGSAVIIFLPLVESWDTICMVCAGMFTNDAVYERLDEVNLRLKAVMGTMPEAEKRYEEMRKELGAVEMMTHAAAPAGTHSATVADGDDDGHLHA >Et_3B_029834.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28368397:28372105:-1 gene:Et_3B_029834 transcript:Et_3B_029834.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPARAPHPAARGGRALYELYRAASRAAAPAVLLWRRLRGSEHPSRWPERLGRPSAARPRPGSPLVWFHAVSLGEGMAALPVVRHCVRLHPGLPVLVTTTTLSSFEVIKDLLPEGVIYQFAPLDCPEAIDSFIGHWKPSLVLLMESELWPNLIMSAAEKGIAVALLNARMSLKSFNHWSAPLGLPLVSLMLSKLSLVVPLSTIQAVRFQLLHTPPGIIHFAGDLKYAAADVDTRKNEVNSIGDLQQQFSNRPVWMAASIHRGEEEVILRVHDELIKFYPTLLLIIVPRHPQDSKKFSLALKKRKVNFMLRSTREVLSSNTSIYIFDTLGELKMFYRITPIAVIGGSFLSGLAGHNISEAAAAGCAVVTGPHVGHFYHMLVEMWQINPLAAKQVTGEFELLEMLKELLGDSKALGERQRAAKDAFSIMSDGVVNRVWNLVRRFTIDLQTDTWNC >Et_10B_004258.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:2204884:2205417:1 gene:Et_10B_004258 transcript:Et_10B_004258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAVSADSPGLDQESSGPACHGSFDVATVKEAIIAYSADHIELFFGLGGKEKAWPKKQFVLFAEASLMKMLRFPTDVVVVAALKRSPEKILCMIDMYSVVSDALSDLLPLLPGGKRNPVSHRIRGVLWDMSEEASEILDNLKGLVREEDSCWKVPGSDDIHPVNKYVLSYINMLLE >Et_3B_030239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31665470:31668348:-1 gene:Et_3B_030239 transcript:Et_3B_030239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKSRKASHTENLLGWKWKGPSRVRVAFPLARSPCSRHPSLPSSHTSLAHSRRCIISLEAKMSGGCGGVPGAGCSYQKFVHFALEQTRLRTSLTPHPSQEKFKFIRTNEDNTVFSALSFSAPKIRLLRSLTIEQKNSVQVLDFAAFSEPEYDLPIFCANAFTSPARSIVVLDLNPLYDTGTNKDYREKYYRNLMPLAHKYNELLPWGGKITSESLKFFSPIVIWTILEPTETNHRVLYSALTDYYKAWLELMDEAVREISKEAIDRNREAQHKYLTWRAEKDPGYPLLKKLIGENLAKDLVTEFLFEGVNSLGTKSFLDYFPEYARDDGTVNKKRSMMGKSFETRPWDAHGEFVGFADER >Et_3B_027695.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:1368271:1368501:1 gene:Et_3B_027695 transcript:Et_3B_027695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KIEAGRLHLLEHGVLNTSHRSTALDESKVALKFCLSVNIGGVDSYCCINEKPVPHCYDTWDICKQMCPDCTPKCPP >Et_3A_023319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20558580:20560067:-1 gene:Et_3A_023319 transcript:Et_3A_023319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVHAHSPPHVVVLTSPGTGHIVPVAELAARLAAHHGVTATVVTFANLSSPAHSSPLASLPRGVRTVELPEVPLDDLPADAHLVTRIVTVVRRTLPHLRDLLRSLVDAGGGRRGVPDRHALPRGARRRGGARRAALRVLHLQPHVAGVPAPQPGDRPDHRLRVPRPPGARPPAGLSAPARRRPGRPRPGPCQPRVRPRDWPGHRLPPRRGVHRQHVRRHGARDPGGVQRAVFRHGRVPSGPRGRPVRPAVLRRGGRAVRVHAVAGRAAGRVGPVRVLRQRRHAVHAAERRARRRAGGERAEVPVGGAAPQRQGLQRELLRHGGETVRRRRRPAELLAGRVRREDQQIGRGALRAAVGAAGGGPEPQRRGRVLVAQRVELDAGGRVRRRADGGVAAVRRAEDERREDLVVVGAGRRRRGPARGGGHAGEGAHGRGEGCRGADEGARAARGGQEGVGAGGASAPGARGRCPQVDWRPCSGAWS >Et_3B_029804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28051152:28053968:-1 gene:Et_3B_029804 transcript:Et_3B_029804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDEEREARSEAAFTDSADGSSSSSDAASTDEWPPVVAPPPPAARAKGCCASDTEAAKQQHRHKRRAASGEQPRFVPASSTSVARQRSDASAHLCLSRLAEMEMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATIFGQLWRLEPLPPEKKAMWRREMDWLLCVSDHIVELVPTWQSFPDGTRLEIMTSRPRSDLYINLPALRKLDHMLLEILDSFTDPEFWYVDQGISAPDCDGSASFRAAFHRRDEKWWLPVPRVPPGGLHDKARKHLQHKRDCANQILKAAMAINSDALAEMEVPESFLDSLPKNGRATLGDIIYRYITSDQFSPECLLDCLDLSTEYQALEIANRVEAAVYVWRRRVAAKPVNGLGRSSSARSSWGMVKDMMIDTEKRELLAERAEGLLICLKQRFPGLTQTSLDMSKIQYNKDVGKSILESYSRVLESLASNIVTRIDDLLNIDELNRHTEHFSSPVDADCKIACSQTMVPSFPVPASGTPFVTAFATPSFSPAQLSSPVKKERSSLTPGRRSQHSRGAGTKKALTDHVGTEVKGMIVNRDAMIDVSTTTEL >Et_2B_022072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8723348:8729432:-1 gene:Et_2B_022072 transcript:Et_2B_022072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAYTLRLSPPPRHGSPLLPRLRPHLRRRAAAKVAASWAPAGGDSDDGFGGWGLPEPPPYERERKTGIGRAIAVGLAASAAIGLAGLQLINSSLHYVQEKMSASESQETAKEDASERESDETEASSTVLDEKAEAITDESRQNHAGGTHVLFSVPVDPVHEEAFSILKKLQIIENDVISNDFCTRREFTRWFVKLCSKFERKRMQRIIPDKLTAGSGQTAFDDVNIDDPDFLYIQSLGESGIVPSKLSNSIGISTSGSSSCSGNSKFLPDSPDAESKCAHFGFEYLPKRVFILAHGVDDWRKQHCEQRNTRRLQPQKPVTIAQAAAALTSGRMEEAIRDELNRLEVENQAHLSAIAEIMEELTNKGDIPKYWEGKIKNEQERALEADKDLQDALHELANEKTHTENELADLSKERAALEHQNQELVSLRSEIDGMYDRLATESAEVLAEQQTLENLLSDVSSKHQAVNETKSQLGAEKEALTMLRSWVEDEAARVHERAETLEKALRRWRIPVD >Et_4A_033742.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26291063:26292651:-1 gene:Et_4A_033742 transcript:Et_4A_033742.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAMQAIRSHGNVLKSAVLRHISAPRSAMQPAAFSRFMSVSSASLEEGGFESATVADVLKSKGKGADGSWLWCTTEDSVYDAVKSMTQHNVGALVVLKPGQEKSIAGIVTERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVKPDTRVLQAMQLMTEKRIRHIPVVDGTGMVGMVSIGDVVQTVVSEHREELNRLNAYIQGGY >Et_8A_056803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:17058441:17075240:-1 gene:Et_8A_056803 transcript:Et_8A_056803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSALENYTIGKTIHVGSLGKVKVATNNLTDEEVAIKIIKQDQAKSMGMEEKVNKEIEMLRLLSNCSNIVKLHDVIETLDTLYIVMECCKNGELFDHVILKGRLSEGEARRIFQQIISGVEYCHRNMVVHCDLTVGNLLLDSDYNVKLANFEFCNIMQDGQFPNASGRSTIYAAPEVLSGARYIGGEVDIWSCGVVLYALLCGTLPFDSENTTKLHQDIKDCAYTVPEHLTTLARDLIRRMLLADPKIRITISEVGRHAWLQGGGGGGGLKVVPGGGGGGGAEDLNEHDAGAGGGGGAAGRTGNEVEANGGGGGAAGVMGDEVEASGGCIGGAGGLPGEHLDGGGGGGAGGDPDSLTGSEAGAGGGSGGGA >Et_4A_032033.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:12806686:12806898:1 gene:Et_4A_032033 transcript:Et_4A_032033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLVGDADDGNKVDGCRTPGRGQQQTDGSSCPAAPRKRKADPGVVSQQRRRDYYNGDDVESFFAAHNL >Et_1A_007321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33260392:33264043:-1 gene:Et_1A_007321 transcript:Et_1A_007321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIEPRTAAESAERKTEDGDAAGRSVDLPLDIIEKILHCFSPLESARLAIVCKSWAAIVSERMANPLVPHLFVTETVPRRPDDSDGDFHLRGYMVYVPLDGSASLPSPAIIPARKHLEHQISRKVIFINPVTDACKTVNGVGAPRFTRLAAGSGDTVFSSDDEFTPHERFTARLYWRKQQGSDQEEWSRRVVSNAARCDCTGVSSLVNRGGVVLVLYEGGRMSQIDTNAAPPLHISDFRHFSGSWRTEDGDPLASKEYLLDSDGELLFVRQLLAQKARTCPDVDYHRLYETVGFEVHRLDEISRRWVKLEKLDGDRALFVSLKSSFSVRASETAGCRSNCIYFAGESQHCRSCREGCMSTWGVYSMAQGKVLFEHTIVATEGRTVARWFRPNAAAEDQSTSLSTSPRGSSAASARWSRRASPPCASHGRPPSPRASRARSPRTYLFLHTPPEHNNSDRSGIVVPVPLDGGATLARRPPPPAAMIAARVRKSVTVGLVCVGATPSGRVAFLPCMSRPVVFVVNPVTGASRRISLGTKTGPKQVLVAPAAAAGDVVDALLSIYDDRHGVVLSWRRGAGGGCGDDDDGVWSSAKVKTGPWLMPEDVLSVARHDRFFYVLHDMGCLSVIDAAAPQPLRMEPVVLLPRGGDQQQQFATPLTDLSDSRLIESGGEILLVRRLVAFQELALPTCEHNRMDLVVLGFEVYRLDVEHQRWTQVEELPGDQAIFVSPESSFAVRASETAGCRSNCIYYARKKRYCSLCHTDRGNACWGVYSMEQQEVLFEHQLVGTGICAAAMWFVPSVV >Et_4A_034394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32225157:32228167:1 gene:Et_4A_034394 transcript:Et_4A_034394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKPTPVKPVPPSAQRTGSAASSPKQTTKASAAGAKARSPAKAPKVSKEKPAAAKKKRDKAEPEAGAKRKKPQASGEVGAPKKKPGKKGGEPEIKPQKEATSTKKQQSSGKSENPAPTPTKKQKATSRSENRAPTPTKKQQESVKSPKSAKKQQSSGKGEKPASAATTPTKKQQKEAKREKQQAPGGKGKSTPTKRKRDDAEPQNEVRSPKRASSEGEAGTPTPVKKKRKDNKAAVPDMGTCSFPMARVRQLMRAEDDTIHASNDAVFLINKASELFLAKFAENAYRNALKDRKKSIIYDNLCYFAV >Et_8A_058116.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:20748512:20750268:1 gene:Et_8A_058116 transcript:Et_8A_058116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGADKVCTAWRRAAAGEPELWRRVDLTTVWEWKARAHAAVDRAAGQCEAYKGPCDDGFLRYLVERDTTPCTL >Et_9B_064490.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15643589:15645622:-1 gene:Et_9B_064490 transcript:Et_9B_064490.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEDMAVKEPLDLIRLSLDERIYVKLRSERELRGKLHAYDQHLNMILGDVEEVVTTVEIDDETYEEIVRTSKRNIPFLFVRGDGVILVSPPLRTA >Et_5A_041038.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17001420:17004461:-1 gene:Et_5A_041038 transcript:Et_5A_041038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKEHAQVTKRLWEAMDVSATLGWRIRQGFELPSKHGLPYLPAVRLRWRILPGHEPRDLLTNPEAHLAQSGIKFELVDVRPRCCYRHINFTAKSSKEGSQEKLLFVVLYHCGIRRGIYLTARSRKEGFKGTMFLDWLKGFAKGLLFGQKFLKPTDFTAGRTSHPKGKNIILGIPTFHTFTIASATRHKDLESDATSMVRKNANSEP >Et_7A_051780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:24546784:24557470:-1 gene:Et_7A_051780 transcript:Et_7A_051780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHASPAFAVSNPPRVAAATSSPRRSGREQASPAASRSWRAAVPWTRGVLAVSPRPPMATGEQVSTNATDDVAVASEGFLSNSRAYWVTRSLIAWDVSDQETSLCLYASRSGSMSMSGGVVEGYDFKVELQPEHAGLPTSVSQKFPFISCYRAFSVPSNVDVASLVKCQLAVASFDAHGKMQHVTGLQLPGVLDDIFAYTGPLGAVFSEDAVSLYLWAPTAQDVSICFYDGPVGPLMETVQLNESNGVWSVTGPKDWEHRYYLYEVTVYHPATSHVEKSSAVDPYARGLSANATWSWLVDINSETLKPASWDELADEKPKLDSFSDISIYELHIRDFSAYDSTVDENSRGGFLAFTCQDSAGIHHLRKLSDAGLTHVHLLPSYQFGGVDDIKTNWKCVDEVELSKLPPGSDMQQAAVTAIQEEDPYNWGYNPVVWGVPKGSYATNPDGPSRIIEYRQMVQALNRIGLRVVMDVVYNHLYSSGPFSITSVVPGYYLRRDTNGQIENSAAVNNTASEHFMVDRLIVDDLLNWAVNYKVDGFRFDLMGHIMKHTMMRAKSALQSLTKDEHGVDGSKIYLFNDRMRDAINGGNPFGNPLQQGFSTGLFLEPNGYYQGSETDTRLALATYADHIQIGLAGNLSDYVLISHSGETKKGSEICTFDGSPVGYTTSPIETINYASAHDNETLFDIISLKAPMSLSADERRRIKNIASSIIALSQGVPFFHAGDEILRSKSLDRDSYNSGDWFNKIDFTYETNNWGVGLPPREKNEENWPLMKPRLENPAFRPSKSDILAALDTFVDILKIRYSSPLFRLRTASDIERRVRFHNTGPSLVPGVIVMSIKDAQNDGHEMAQLDKNFSYVVTVFNVCPHDISIEIPDLASMRLQLHPVQVNSSDALVRQSEYEAATGRFEVARRTTAVFVEPRL >Et_2B_019403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27521237:27521812:-1 gene:Et_2B_019403 transcript:Et_2B_019403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PTPPTTSSSPEHSSRVPCSAPATARRRPPLRFRSANAGYDVFVVVFVVGGGGVRAGGHGHGALRHARPLLVLPRQAAPPRRRCRHRGTPAPPVPLLLRNSPSCCVLQRSGSGRRRGAGARSGCASRTTSSIMASSRRRRLS >Et_8A_057625.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5366345:5367828:-1 gene:Et_8A_057625 transcript:Et_8A_057625.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGTTGKLTRTPSSLLRSPTVRGAAASFHAFEDPEPDDKKSQAPPQLGRAHRRLRPGHAAQPALLLLALLLALAVLLLLGGGGGGGHLALLAGAAVAALAAAAAVARLRRRPSPAFAASVQWFIGEDSGEEKQQRKQNKKGRAAEELEVREGVEFYSNGDCYEGEFHGGRCSGSGVYNFFGKGKYEGDWVDGKYDGCGVESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGIGAQTCSDGSSYAGEFKGGVKHGLGCYHFRNGDRYAGEYFADKIHGFGVYSFANGHSYEGSWHEGKKQGFGMYAFRNGDERSGEWDSGILKNTLPLSDPAVQRALQAARRAADCAVHLPRVDEQVNRAVMAANRAATAARVAAIKAVQNRIEGKICFIDV >Et_2A_014913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21603634:21604157:1 gene:Et_2A_014913 transcript:Et_2A_014913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENERLRRSNTALLQELAHMRKLYNDIIYFVQNHVRPVAPSPAAAAFLQGLGLQAARRQQVERRGGRQQQLRRRAAHHAVRRAPERRSTQRRGDQEAAFPGRAGAVVASACHEAAPGARERRPKPIRGTVRRIVSS >Et_1B_010268.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:33418217:33418708:1 gene:Et_1B_010268 transcript:Et_1B_010268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRLLASATASAVASAAPSSSPAPLFTKTHPFLQQPLPPPRWAPAGGAEGAGEGAGRRRRARAAARMASLRRSWRSQIRSSAAPASAASHRIGPGLTGHGLGGVGREGRRREEGKGNGGGGRGLEEGMGVCDGGTIEAGGFWSRRISGVFVGQRRGSAWKCW >Et_5B_044840.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6429093:6433461:1 gene:Et_5B_044840 transcript:Et_5B_044840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLHLLVLCVIIVFLVYNMANYQHRQTTLEAKSRPFDTMTVSDSAAVKVSEKAVDRIGYLPHGIVEPYSDMELKPLWLTGSAQSQRKFKKKDRSLIAIAAGINQKKTVDAIMKKFLPENFTAILFHYDGNVNEWNDLPWSKSVIHIAASNQTKWWFAKRFLHPAVVSVYQYIFLWDEDLEVDNFNPRRYLSIVKSEGLEISQPGLDSKLSEVHHRITVRRKNVTFHRWVEGMAPVFSKAAWKCLWHLIQNDLIHGWGIDYKFGYCAQGDRTKNIGVVDSEFIVHRGVQTLGASTRTKDVTRSKNTHPLLQKTSQLQKTRVRAAGLDMRTKVRRKSRSELQDFQKRWDRAAREDRTWVDPFSHSRRKRRNRIQR >Et_1B_010477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10909721:10916520:-1 gene:Et_1B_010477 transcript:Et_1B_010477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDSTVYFDVRCVSKNSPDMDIHKPRALPPSAGPHVSRTPRGRLRRTEGATKSLEDSVKRKMEQFYEGVDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDEFGVQKIIPDTTFIKKWSHKIEAVIITHGHEDHIGALPWVIPALDSTTPIYASSFTMELIKKRLKEFGIFLSSRLKTFRVKKRFQAGPFEVEPIRVTHSIPDCCGLVLRCDDGIIFHTGDWKIDESPVDGKIFDRQALEELSKEGVTLMMSDSTNVLSPGRSISESVVAGSLLRHVSEAKGRVITTQFASNIHRIGSIKAAADLTGRKLVFVGMSLRTYLEAAFKDGKAPLDPSTLVKAEDMDAYAPKDLLVVTTGSQGEPRAALNLASYGGSHALKLTKEDVLLYSAKVIPGNETRVMKMMNRLTDLGPKIEEVLQIVKPQHFLPVHGELLFLKEHELLGRSTGIRHTTVIKNGEMLGVSHLRNRRVLSNGFVSLGKQDFQLMYSDGDKAFGTSTDLCVDERLRIASDGIIFVSMEIFRPHREHASAQSGLKGKFKITTRCLWLDNGRLLDALYKAAHAALSSCPVNCPLSHMERMVSEILRKMVRKYSGKRPDVIAVATENTTVGFAEHLESKSSGNIGPSSATSHLSRSPAQSLEGSHKTRPDDSDMETEETLPEVERTSPDDATTSSNGEVFFSSDLHKPKTLEHFWESFKSPTAVKIARIVNGQGNRPKVSKIGIMGKDSTQSALAPVSSPKKNKWKPEEIKSLIQMRGEMNERFQSVKGRMVLWEEISGSLLNQGISRTPAQCKSLWTSLVQKYEESKKDEESKKTWPYFSSMDRILSCEGEMAAK >Et_2A_016580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26264344:26265640:-1 gene:Et_2A_016580 transcript:Et_2A_016580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYANIGNPKSDLVGEAESRVSRGRSHSHNRNQHSYNPTKEAFIQTLGFPESIRLPFTPRNRNNETSSSRLGCGADRADVLEGRVHEDLENVLAWHFDTKGVFTVKSAYKLCRADWLQKNGLESSS >Et_6B_049253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2352083:2357957:1 gene:Et_6B_049253 transcript:Et_6B_049253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVSVAGSPRFRVYDLDMGFGRPVKAEIVSVARTGALAMTMGAAAPEQLRQPAAGGGATSASSSSPAAVRVLDTALVHPSSPGGPAPPETSLPLTFFDALWLPSPPVERVFLYRLAADADAAVDNLRDSLSHAVRAFFPLAGRLRLTPGAANRYELHYQRAKSRGDSGNGSSDRTILLIPVDHRSRLEPPLPDEYFGNCIGPALAIASGSELLAEEAGASGLLAACTAIAVGIAEALSGVATENMGERKARLRELAATMAVLSVAGSPRLRVYDLDFGFGRPAKVDILSVAKTGAIAVAESRAGDGGIEVGVCLPPEGMDAFRKIMAAATGKLLHGPAGVGGGAATSTTSSPPPSSIRVLDTALVRPSLSAPQEETSLPLTFFDVMWLHLPPVQTIYLYRLAPDADVAAVLANLEDSLSHALGAFYPLAGRLRLVPGGTAANRYEHHYRPGDAAVVFTVAEYDDDHDLDSLAADEPRKVSKLAPLAPPLPAGGAVLALQATLLPARAGRGLALGVTVHHAAADGAASAHFLHTWAAAAACSRSPPPPVIDGNLISDPRGLYDVLCPAAATEEEKRLPSDLLFATFTLSRDQLQLVKDAAAFPRCTSLVAALGLVWSSYERAKPPPRGGDRTSCLVLAVDHRSRLKPPLPNEYFGNCVGSAIAAAPSSELAGTGGILAACTAIAAGIADALSGDATETAGEMDGRFRELAATTTAVLLTVAWSPRFRVYELDFGFGRPAKVDVVSVARTGAVAVAESRAGDGGIEVGLALQPEAMHAFRKCFADAVAGLELAH >Et_9A_061955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18359612:18361385:1 gene:Et_9A_061955 transcript:Et_9A_061955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGSDGVRKIGVAMDYSPSSKKALDWAIDNLLRRGDTLVVLHVLHHGGEESKHALWDKSGSPLIPLSEFRDPAVMKQYGVNCDAEVLDMIDTAARQKELKVVAKLYWGDAREKLCDAVEENKIDTLVMGSRGLGPIQRILLGSVTNYVLSNASCPVTVVKGK >Et_7B_054215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:17592338:17595499:1 gene:Et_7B_054215 transcript:Et_7B_054215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSEERPPHAVCVAHPAQGHITPIFKLAKLLHARGFHVTFVNTEFNHRRLLKSQGAATLESVPGFRFAAIPDGLPPSDADATQDIPALCYSTMTTCLPHLLRLLGKLNDDEAAAPVTCLVYDVSMSFGYDAAREMGVPGVALWTASCCGFMGYRNYRKLLELGLVPFKDAAQLGDDAHLATVVRGVRGMCDGVQLRDFPSFIRTTDRDDIMLNFLMRETERASLHDAVLVNSFDDLEGASLDAMRAILPPPLFPVGPLLLLERRLVPPSSPLGRLGSSLWKVQGGLLEWLDGRAPRSVVFVNYGSITVMTNEQLLEFAWGLAGSGYAFVWNIRPDLLKGDAAELPPEFMAAIHGRALLTTWCPQEDVLAHEAVGVFLTHSGWNSTLESICAGVPMLSWPFFSEQQTNCRYKRTEWGVAMEIGGKVRRDELAALIREAMEGDKGREMRRRAAEFKEKAVKATQPGGPAEANLDRLIHEVLLANRNKGHAQSVVFSHSND >Et_4B_037171.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15832006:15832649:1 gene:Et_4B_037171 transcript:Et_4B_037171.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKLWDDVVAGPHPETGLEKLRKATTSRPLVINKGPAAGSYKRAQSMPTTPTTPVTPSSSSSTPRGGNNVWRSVFHPGSNLATKGLGANIFDRPQPNSPTVYDWYVCCLPHLHLPGHAKR >Et_1A_007973.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39859157:39861218:-1 gene:Et_1A_007973 transcript:Et_1A_007973.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRERDSEAVAMEGAPSGGRSAAAPARMTTVSRHYFGGSASEGDHDLRVDIIENIEEDYGMFVWPCSIILAEYVWQQRSRFSGSRVVELGAGTSLPGLVAAKIGADVTLTDIAHNTEVLNNIRKICALNDANCTVLGLTWGDWDEPIFDLHPDIILGADVLYDSANFDNLFATVSFLLENSPGSVFITTYHNRSGHHLIEFLMVKWGLKCLKLLDGFSFLPSCKATSLQGNIQLVEIGLDKEKHE >Et_6A_047900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:23370766:23373309:1 gene:Et_6A_047900 transcript:Et_6A_047900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGKSRSVAGRALAGADVTFSASSAGDGSDYSTTTTVTAGADTAAETHDALATTGDFTTGPDELEAAAGGDHVESSVAAAIGETVTGSHVLRIDGFSATKDLAVGDCVESGAFRVGGHAWSVLCYPCGDEEESAGWVCLFLQLVDDDLAAGAMASHGHVKAECVFTLMDFAAAAAGEPAPAIRIKPHVFSAADKSRGCDFIERKELEMAGATPLVNDCLRIRCDVILVNDDDGEKFPSPPMELHRHLGKLLASQVGTDVTFEVAGELVTAHRCVLAARSPVFMAVLFGPDEKEEKEDAAGRHVVQVVGGMEPEVFKALLHFVYTDSLPEIDDDGDGGNKVKMVQRLMVAADRYDMKRMKVICEDILCEHIDASTAVTTLLLAAKHGCHRLKAACVAFLKDLIASVDAPGDQTCSRHPSPLHKPLPLAPKSPRIDVLDAMYTRTAQITPNPDPMQGMDLFCIHWEYTSRCNNLTNRND >Et_10B_003739.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5462109:5474068:1 gene:Et_10B_003739 transcript:Et_10B_003739.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGPPAKQRKMTPSVGGEGPSAAGEPDAAPDCTRDALLGASGRAQHGCGDSQLVPNRQHRNKLENVQGQIEGLRHEVRQLTRLRSNRWADQPIRLYDDVYRLEKISKNGDYHKRLQEAQIYTVEDFLKALNKDPDNLCEAEIVACLQGAQAAADLGAQKVIIETDALLVRQAVTTDEYDVSSAGGLVKELKYVLRMNFVEYFVNFSPRECNSVAHALAAWGCQCSVVDNPVVDVLPTCICDLVAADLVFQRYMEQTFQKYHQMISRVEPTQEHDTANVSNAKIQLCFLNRLKPPVYTDKDITDGNNAAIKVAVFEGGNQIVTGPLSKAQIEILVLHGGFYNKCRDNWTEEEFDRHIVQGRDEQALVLGTAWLNKGEVELSHIRFKEGSSRKKFVMAARVCKTEQTAGHVLQAIMEPVEVKDRRNEPNEKRHPPRLGDEVYRLEKIAKDGPYFTRLLESGISTVQGLLKAFNKDPVKLRKILNMENKNSSWSKLIGHAQKCVLEDRPELKRYQSEDGKVVLFFNCVHDVVGAAFPRDYVALKGFDSFQKALVKKYKEHAYKSLEGIPFDHVMKGNVPERISAGTDVAAGPSVPAVGTSSQPISSYEHLAAYRGKGAAENLPHNEMNLITEPIHTNGNYVPMDTHDQDQGTAPLGQQRIVPPSTETNWQQNFHGPIHSPDQIEVIIHK >Et_6A_048182.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:8919966:8920490:1 gene:Et_6A_048182 transcript:Et_6A_048182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLLALVSLAALAGGAAAAKTSTNITFYMHDTLMSTPGHPATGARMTTGTTPVPADPRYRFGDMYAIDDPLTAGPDAASPAVGRAHGFYIFASQAEIALMFCFNVVFTSGPHNGSTVAVLARNLFAAEVRELPVVGGTGAFRGVTGYGLLRTQDYNVTAYSAVLKIDMYLTH >Et_6A_047263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3013512:3028066:-1 gene:Et_6A_047263 transcript:Et_6A_047263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQAESSGEVGGKLVHFDEPLAFTADALLGATWVILGKSTYGTVYKVTLKDGSLVAVKVLRPKITKGDKMFEPAAAVLDNVRHPNLLALRAYNLEPKPEGEKVLIFDYKCPRAAFLHARAPNAPCAVGRVRSGVPHAGAVDGVEGEHEDGRLQPGRHHPGAPDGKPASYRTEGMDLKECVARNFKMFDPELMEYAASSTIKDELRATMKLAMRCVDPSPSDRPQARVVLRQLEQISPVLSDAGVRQKEKQLEQISPVSDAGVRQKGKQIGQGSRPRKSSKPRSASTYGSSDATLKQLDLANVSGVLSTALQVTIASRNPSSHVGVYYDRLDVYASSLPAVYQGQCDVDVWSPVLSGPNVPFAPYLADALAMDVANGYLIMEVKIDGGARPVEGRQLDIRTLPHLRHMPGLSSSPAAATACKAPAGSGSRRPPTATSSLCDLLERMLIDEMAEPEMLPLALLESITNNFSDDLKVGEGGLAVVYKGVLENGSVAVKKLRNMDEKKFVQEVNDLLRVRHKNIVRFMGYCSVTQGEVLNLPGNKFVLAETRQRLLCFEFMPKGSLDSYISDAARGLEWMTRYQIIKGICEGLHHLHQQKILHLDLKPANILLDHYMVPKIADFGISRCFDEKKTQDVTLNSFLTEGYVAPESDRGLITIKSDIYSLGTVITQILTGHKGYHPIENILEAWSARFEKSQGDAQLESVRLCAEIGRDCRDFIPDRRPDTWYIIERLAEMEHKYGFAKTDPFTSTATHVSTDGTYLSHLLLQFCG >Et_8A_056677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14911287:14911534:-1 gene:Et_8A_056677 transcript:Et_8A_056677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYVMSKFETGSQESAATRSWPPRTVTTVTSIKPPHILSKPTALHYLTSPGLQSRSRAILQC >Et_4B_036212.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:10949715:10949978:-1 gene:Et_4B_036212 transcript:Et_4B_036212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHVGAKDGYYGNCITSQVILPTSGQASGERRRPRRREAGPACQAADTGPVQRRKPPPDRWRRRYGSAGPRRGDLKTCISMYCRTL >Et_1A_006365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21326100:21329656:-1 gene:Et_1A_006365 transcript:Et_1A_006365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLQKVGNLVKRSTGASSSLCQAVRCMSSSKLFVGARVIMDRETGRSRGFGFVTFTSAEEASSAITGMDGKWWIRWGFIWWWRRLQERWSGGGYTGGGNIGSGYNTGGNYGVSEGGRGGYGGDTGYTGAYNVSPGNYGGGSFNQEGGTPDAYDGAKYGSTSNNYASNASDNASAGKLDDLLSDLKVDSPGEAEAEAETGSEVVNGFADDDIKEDGQDDFLQDDTKEDESDDYANKRS >Et_8B_059249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15786767:15787409:-1 gene:Et_8B_059249 transcript:Et_8B_059249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPPRWLAMRGRHAVVAEPTTKAIIDGVLICVVGVPFFFQQASGIDRRPLVFRTYGRRSVGVSKTCFVLVATCSCPTASAAGLLGTMHALIFRWHRQCPRRPRRPASRQAFTEAFSIGRVRAHGRHVQHGDHAAAGARSGREPGDGAMAVNRLMCGLTGSPCPAASSFTPGWLPVTAFVFVYKRFPETKGRSLEDMGVLFAAM >Et_5B_044130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20339158:20341954:-1 gene:Et_5B_044130 transcript:Et_5B_044130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSGFWGGGGRAAVLAGGTPVVVKMENPNWSISEIDADDEEEFLPGAAAGRRRRKRKGKNAKQITWVLLLKAHRAAGCLAWLGGAARRRVAAGRTDSDAADEEEESHAPASAAPPPRSRFYALIRAFLALSLLLLAVELAAYVNGRRFPAAVSFAALHASWVRFRAAYVAPPLQLLADACVALFLVQSADRLVQSLGCFYIRLRRIKPAPVSPAASPDAEDPGAGYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNFLVQVLDDSDDVITQALIKEEVEKWQQTGARIVYRHRVLREGYKAGNLKSAMSCSYVKDYEFVAIFDADFQPFPDFLKRTVPHFKDNEGLGLVQARWSFVNKDENLLTRLQNINLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHMKGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRCKIALWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSFLNILPAPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSENDLILLSPKDRKQNKAFSTPVLAAATIKEQPTQGKESGKKYNRIYKKELTLSLLLLTAAARSLLSKQGMHFYFLLFQGISFLMVGLDLIGEDVK >Et_4B_036057.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18260696:18263047:1 gene:Et_4B_036057 transcript:Et_4B_036057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVEKLKNLWDSQVNDEEQWALNYKLIKAAGLFAGSIFFMRNFGDLMAI >Et_3A_024508.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21413386:21414946:-1 gene:Et_3A_024508 transcript:Et_3A_024508.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSASFLVRRLLPRPFLSSTIRPFSTTTTSSSTFSASHAGSDAEGDQPSADQDQEAANRPRPPNTTRPLESGLDPGIYKAILVGKVGQEPMQKRLRSGRTVVLFSLGTGGIRNNRRPLDHEEPHQYADRSSVQWHRVCVYPDRLSSLALKHVKTGSVLYLEGNLETKVFSDPITGLVRRIREIAVRSNGMLLTLFI >Et_5B_045642.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6150054:6151133:1 gene:Et_5B_045642 transcript:Et_5B_045642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSVLASPSYGKAAETYKKAVTVAATAAAYTMVARSMSREFLPDELRAAARWAAAFVRDRLLPAANQPRTKTIFISASDDYSLYYNRFYPAARAYLATRIDPSATSRLCHAVRDGDDGQILSMVPGDSTADVFEGVEFTWTLDLRRRGDDDEDMLDDDGVSGRQGSSGASPLLVLSFEAEHTDLALGMYVPFIMATTEEARRQRRTLQICMNEGSSWRAINLNHPAMFDTLAMDPALKQSVIADLDRFLKRKDYYRRIGKAWKRGYLLCGPPGTGKSSLVAAMANYLRFDLYDLDLSEVYHNSTLSGLLKGIASRSILVIEDIDCCNSAASREDDGDGSSPSQTRSSSDKVVNKEKN >Et_8A_057527.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:402499:405797:-1 gene:Et_8A_057527 transcript:Et_8A_057527.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQEPVANVEQKIVLAEKPKDQLVLNKDEKATVPPISVDSNAIDLPSEGQAQAGTSNIDGDHNAAYPHNFYASQAQPFYYQGYENPPHEWDAYPPYVSAEGLEMGSTVVYNEDPSLMFHGGYGYDPYAPYSPITTPVPGAVSGDGQLYSPQQFSFSAPYYQQSVPPGMPYLSSPTPISQGETMMPIDPTQGGFIADTLSPNSFLFGPRPEWFRSSEGTGSFPSPAASPQPAGGVSGAFGQSNFPMASGMASPHQKPFYGFGSPNDSYGRGFSHGGIFPQASNYRGPFPSFGLNGRSSISIDKGRRRGRGNALICSCNGPLDFLNEQSRGPRTTRPKKQPDGDSKDEKPSNGPNRELYNRPDFVTEYKHARFFIIKSYSEDNVHKSIKYGVWASTTNGNKKLDSAYCEARDKEEHSPIFLLFSVNASAQFCGVAEMIGPVDFEKSVDYWQQDKWTGQFPVKWHIVKDVPNNLFRHIILENNDNKPVTNSRDTQEVKLEQGLEMLNIFKSHDDEASILDDFDFYEEREKALLESKARLHQQQHLPSSTVVEPKKPLNMPTDLMGHITKSFAQAVRLGEAAKTVSPSAEKGSAGDSSIPVKPVEVKEISLS >Et_4A_033753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26553947:26555578:1 gene:Et_4A_033753 transcript:Et_4A_033753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYFYQSLLLSVLAVALLQLVKLALRPRARLPPGPWKLPVIGSMHHLVNVLPHRALMDLARAHGPLMMLQLGETPLVVASSRETARAVLKTHDTNFATRPKLLAGEIVGYEWADILFSPSGDYWRKLRQLCAAEILSPKRVLSFRRIREDEVALRVEEVRGAASASAPVNLSVMFHALTNSIVARAAFGKKRDNAAQFMAAIKAGVGLSSGFCVPDLFPTWTALLAKVTGMKRSLQDIHETVDSILQEIIDERKAIRDEKISSGAENVDENLVDVLVGLQDKGGFGFHLNNSRIKAIILDMFAGGTGTSASAMEWAMSELMRNPAVMKKLQGQIREAFHGKTQVTEGDLQASNLRYLKLVIKEALRLHPPAPLLVPRESIEACELEGYTIPAKSRVIVNAFAIGRDPRYWDDAEEFKPERFEEGGVDFNGGSYEFLPFGSGRRMCPGFNYGLASMELALVGMLYHFDWSLPEGVEEVDMEEAPGLGVRRRSPLMLCATPFVPVVTSAN >Et_6A_047760.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:14670069:14670338:1 gene:Et_6A_047760 transcript:Et_6A_047760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKYQCDRLSHGFAIQLMTCGSSCIRGRKKFSLSCRDGKGQMRARRNATLMALSMLMVAGRLGWCYVIIMELSWAVRRIGMSIVKMR >Et_4B_036137.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:27202166:27203570:-1 gene:Et_4B_036137 transcript:Et_4B_036137.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRWLLMELKKDVAADEVHTELLSKLKLITSLAPPPPRDAGTGTSMWNCSALGAWSNRKLFCAFACCCCCCCCGWNWMCVVVAQGFAAETAATTFALFFCNATALGERTLQEFKSSSGVSRNMFLSNLAGANVTLARGVTTAGAASASWLLISVMLLIVVVDVTGGGGGAGAVTRRSMLEVWHGAFNGRSMR >Et_7B_054857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:521527:526145:-1 gene:Et_7B_054857 transcript:Et_7B_054857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRWDGAAPCLFPVLLALQCGVVFLQCSSAAPVVDDVSALMAFRRAIIEDPQSVLSDWTDADGNACDWHGVICSSPQGSVISLKLSNSSLKGFIAPALGRLSFLQELYLDHNLLFGTIPKQIGSLRNLRVLDLSSNRLSGPIPPELSGLNSVSIINFHSNGLTGNIPPELGKLRNLVELRLDRNKLKGSIPGSVTAGFSPAANSGSTAHDGLCPSPRLYVGDFSYNFLVGKIPPCLKYLPRSSFQGNCFQDEYSIHNRALQICLSGPMSGQQGGSHGFKHPGSGNKHERMQQPTWLLVLEIATGILLVVFVITGVVTASRSCKLKPSIRISSWNRSKSWSDEITVLIDSDMLKSLPKLSRQELEVACEDFSNIIGSSPETVVYKGTMKDGREVSVISLCAFEGHWTSHHELFYQNKVIDLARLNHENIAKFLGYCRESDPFSRMLVFEYASNGTLYEHLHYGEGAQLSWLRRMKIAIGIARGLRYLHTELQPPFAISELNSNSVYVTEDFTPKLVDFECWKMMFSKREKAAGYFNSRTSFPGNGDSSEDKNADIQGNTFAFGVILLEIISGRLPYCKDKGYLVDWAAKYLQQPDEIGKLVDPELTNVRTEDLAVVCSVVSRCIDPDPSKRPSMQIITGVLENGIDLSAAAILKESSLAWAELALAL >Et_2B_019270.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20762015:20763619:1 gene:Et_2B_019270 transcript:Et_2B_019270.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAARSLASATNRRLLPRGLCSAAPEKPADPRPDPDADPQLVGALCRVLSDFRGPRHDLRAALRGFEARLTPAAAAAVLRRCRNLPVPSLRFFLYAAALPGFTHLSESLLILAGSLASARLFPLLRSLLSDLPPSALSRDLFPLLFRAYARAALPDDAIRAFSSMERFGFPPTLADLHSLLFTLSHNGLVEHAEALFRELGTQFDVSAKTYTILISGWAVATKPENARKLFDEMVERGATCEVLRDRLLRLGLSDDLEMLTDRMRRSTSCTIQDLASFMCSKRGEQINSPNTDPEVSGLDIDESEWRGKWKIGDEQ >Et_1B_010151.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:26371249:26371470:-1 gene:Et_1B_010151 transcript:Et_1B_010151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYAIWVATGVASAFFTSLERCSCIHLHTAEDDGDDVDLEEARDRPLMFSRPEALPEYYYDRSASSASFAKM >Et_3B_030046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30076563:30078476:1 gene:Et_3B_030046 transcript:Et_3B_030046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPVQHKADAIGPGGEGILPGGDGFLRPPAHEGCPGAAVRAVLFPSRSIHARPPVAPPLFSGAANWSSRSERQVASRALRRLRLCVLASMASAMSAVIRRLHAAASSQTPRLTKLALHPPKSVEVEFADGSSFHLSAEFLRVYSPAADSKIRSVGGEKVIFGRRHVGIMSAESVGNYGVRILFDDLHKTGIFTWDYLHHLGSNKFSLMRNYIRTLRKHGLSRDPQKRK >Et_2A_017180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31862470:31862762:1 gene:Et_2A_017180 transcript:Et_2A_017180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTTNSRRGESRMASEPGTSEMSSSQHGNGGRRASPNCSRRTSASASTNSTPSPVQSTASLRPSSSLSRPAHG >Et_6A_047565.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7389482:7392815:-1 gene:Et_6A_047565 transcript:Et_6A_047565.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSSSTEEVQSDKHEIQGTALGTQSYWDTDDDNDHGPRPHELYGTFTWMVNNFSKLKTETRSDPVDIGGCKWCLLLYPQGLHASDNLSVFLCIANHDKLLPGWSHYAQFTLTLLNSDSTKSKLSDTLHRFWMKEPDWGWKKYIELSKLPNGFVNDDILTIRAQIQVIRELTDRPFRCLDGLYRRELTRVYLSNVEQIARRVTEETERKLRKLLDDKTTWLSLTSFWQDTDQSMRRNLTRENTGIILRVLVKCFFVEKEVTSTLMMDSLYAGLKVLKQQGRNKEGMAGAKLLGTTPMVIFDQDEDMFTLADNGIFFLENTALFNLESHQPILPWKNDTNSERYSKDGSISEQGDRRLVELGWKALEVFIVRHFFSQIEVAHQEASDLKLKDEHITGDQQL >Et_9A_062642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2746452:2751003:1 gene:Et_9A_062642 transcript:Et_9A_062642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVRRSTRGESSRKASRDCEFERFTISGEVSHLTKARSQPCHRTRGAAHAGRRKPLSTFELLSARESGRTGCAGFSAADRAYVCRKHLPAKGPWRVDDMDSEAYVSQFSGDGSLLVAGFRGSRIRIYDTEKGWKVRKDISCRSLRWTVSDIALSPDQRFLAYSSLSPIIHIVNVQSAGKESHANITEIHEGLDFADDDYEVDFGIFSVKFSKDGREIVVGNNENSIYVYDLGQNKVSVRINAHTADVNAVTFADETGNVLYSGSDDSLCKVWDRRCLSGEKPAGILTGHLDGITFIDSRGDGRYFISNCKDQTIKLWDIRKMSSSTRVRPLRIVDWDYRWMSFPSEAHHFRHPDDQSLATYRGHSVLRTLIRCYFSPTHSTGQRYIYTGSSDKCVYIYDVITGKTVEKLSWHGSIIRDCTWHPYYPTLITSSWDGYLARWEASGEDDDPSMLAAEEQRPSPYLTAYGDSFLLFSLLVLPSILLTTVAAATPLLGDVIPEDSSLICNDETAWDAMKPGQVTDGWDVP >Et_10B_003999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9051547:9054174:1 gene:Et_10B_003999 transcript:Et_10B_003999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTARDWASLPRDVLCSVFLSLGHREVLQAAGLACAAWWRFARHDPALWRCIDLTTLGDFDVEDDYDDDEELSDDSLSFDLFGHKELSDDCVSFNNLFQDNDDKELSDDDEERSDDCLSVINLFEDDDNTIASQQVHDNRRKEEDEERSNDDNLFDDDDDEELSDDCLSFGNGDNRRKEQDEERPKHRGDAKGWWKAITLAVVDRSAGQCEAFRGRADDEVLLYLAERASSLKRLSVTSLYDVSSEAFSGLITKFPLLEEIELVLKSDKGNYSAKRLQPDANSWAELLQSASKACRYLQCFTVRHVGSEWHADSYYQRDPKSEISFSIPSMCGLRSLERFGDSFTKAMVLHIVDKCPCLERLDISNVPHLYPHIKELRKKCSRIKDLSLPAYDSDSDDGGCCCCVLVTSSSPLATLLPPPPAVIGWACLPCDILLDIFLRVGHQDVLQSAGVVCAAWWRLARDEPALWRRIDLTMPDDAAVLSDDACHLPY >Et_7A_050756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10813085:10815779:1 gene:Et_7A_050756 transcript:Et_7A_050756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPKKPGKGGDSRDAVAGNGNEPSNSYSVARSVEPGNKRAGNGDYSVPASSSPNPAMNGAVVYHSNEPLPAFKDVPVSEKQNLFVKKVNLCCAMYDFTDPTKNLKEKEVKRQTLMELVDYVTSANGKFSEVVMLEITKMVSINLFRSSNPTPRENKAIEGVDLEEDEPLMDPAWSHLQVVYEVFLRFVASQETDAKLAKRYIDHSFILRLLDLFDSEDPRERDYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQLAEFQRCMVPLFRQIARSMNSSHFQVKISLGLSLKLVMHFLQTCLLVAERALFLWNNDHIENLIKQNYKVLLPIIYPALERNARDHWNQAVQSLTLNVRKIFSDHDSAFFGECARKFNDDERKQEESNSKREALWKRLEEMVASKPDENNPSGTPNGKSSQAAG >Et_2B_019235.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:19234657:19235064:-1 gene:Et_2B_019235 transcript:Et_2B_019235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAHPLPVPAEQLPQQYYTSSPATAPPNPFHPSQSSNFPNLGVPAAIPSPSFGCLAFNNEPGQPSSSNMLSFSGQPPTTLSFSAGDDWPVQQASESRSRPHVSAQEHVIAERRRRERMQQQFVELATIVPDLTKI >Et_5B_043407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10042649:10046474:1 gene:Et_5B_043407 transcript:Et_5B_043407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPHRRPSAEMRSIRRELERRRPKPLAPVKPAASKKPSAPPRGGASEKGREPLARTSSITSQSLSGSATAQAPQPPRLPRPSSTASAPPAPPSPQSGSVSVSVGHACAATSSSAGGHLKPGTEVLVRTRTQMVIDGKTLVLWLAATVVSSATDGEYEEVVYEGNLPRDNPFSTVRVPLHHVRPRQVQVKPLLPTLPPPSQPPSSAAARPTTAGKSLRLLPKLESEMQAAPRPTTAGKSIHVVRKILSEMKFQARTSIHRELERRRPKSLAPKRPPAKKSPAPPPSSIGGAGDKVRRTRALLHCKNPSSITCKSSISASTVDTQPLHLAPPCTPFATAPLPPPPSRSAASSFGSASETIPLASARLRPGTTRIMVNMARKSEPIWLWLPAIVVSAAADGSYEVVYKGKLPPGDPFTTVHVASDHVVPEKQLPPTPLLSRSAINIQADESKNSSIQPQPRPTTAGKSMRLVNKLATETQSTPRPTTAGKSIHAVQKILSEMEFVPADGSSRDKVPIQKPPRTASSCPSADTTLAAAPKSSKIQSAPRPTTAGKSLHLLPKLAPEMQDAPRPTIAGKCIRVVPKIMSSMEFQAQAMLPGY >Et_8B_060273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:8471176:8473672:1 gene:Et_8B_060273 transcript:Et_8B_060273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASREAGGKFWAEDSESESDVEDLGVADPIPIQAEPVVRPASLSASTEPGWTTVSGKNRRKKEARLRPFGITWPWSKKQSKPWRGPLPKARVSPKVTIGDLVRPALQRQAGVSPLTANQSSNPDPKKALIRFQNSNRLNAPVVHGPAGPRQSPVLKGRQCLGLLSIRSRLPPPRILGANPDPRRGQPTYLQAAMAGGGVRGAAAGGAGGGGGGEKQRSYGPHGFRGKFKAGRGRGDRSPSPPNKEADPGGRGRSAERGGRGSSWGLGRGRGSREAGSGAAVAGGAHDRAVLPRADRAGRDGRKEELQQQNAGKHRGPEPFGNEERGEDHVMDEAEPNAEDTVNPGQIHTSASKANGSAPTTEESRIIEEAIGLAVEQLMIERANKVIAEDAIVLGKGMVTYAGPSATIPVAEGDPALSEGGNTVATSRVLGLMAIDSTMKGRVQDMPAAAGGVGAAMSSFLTETEVVPLTLAKVGEVMVAAPSLVVAETEDCPSSPTVADKELGVASAEKGAPADPAGDIVMQVAEVAVVGALHGGGQGHEPLNFGTGCQAPGDIATPAMLGRLKDLRLAGDRAPPTAMW >Et_5B_044926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7393714:7396176:1 gene:Et_5B_044926 transcript:Et_5B_044926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRHLLTTAAATVVAAVAALLFPGAASYPWPICGADNFRANSRYQANLNLLASTLPGNASRSPSKLFATASAGAGRDRVWAAGLCRGDVNATDCFACLTQAFQDLPNDCSYNKEATIYYDPCVLRYSSARVLSAADADDSGQTQYVTIPPNVTANQAQFNRAVAALINATADHAARNSTRRFATGEAAFDEEVPTVYAAAQCTPDQTPTQCRRCLAGIIRDNIAYFENAVSGRLLWLSCNFRYDNKPFFDGPAMVRLASPFPAAPAPAPAPAVQPTVQPPSSVLAGVDDLKGRKYSVPVLVPAILLPVLAALNLAICLCFWRRRRQRRSVAEAKKPYATDEADDGEMVESMMIDFATLRAATGDFDESNKLGEGGFGAAYKGVLPDGEEVAVKRLSSSSTQGVEQLKNELALVAKLKHKNLVRLVGVCLEQQERLLVYEYVPNGSLDIVLFGAENRNRAQLDWEQRYRIINGIARGLQYLHEDSQLKVVHRDLKASNILLDANMNPKISDFGLARIFSRDQTQAITKSVVGTYGYMAPEYVMRGNYSVKSDAFSFGVMVLEIVTGRTNNDSYNSKQSADLLNTVWEHWEAGNVMELVDPSMNGGFPEGDVLRCIHIGLLCVQGDPAARPVMSSVVIMLGSETVALRAPSKPAFIARKGDANSVSTVSLQG >Et_3A_023217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11818187:11820922:1 gene:Et_3A_023217 transcript:Et_3A_023217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDLSANTLSGTIPSSIHLLHNLRVLNVGKNRLDGSIPPSIGNLSMLNTLDVNTNNLTNKIPEELGRLNHIQYIQLSLNNLKGLVPQQLYNLSTLTFFAFAKNDLYGEIPSDIGFRLPNLRVYHICFNKFTGPIPPSLHNITKIQSIRMSNNLLSGPVPQGLSELHNLIMYNIGFNRISDSTRIITDLTNCPKLQYIALDENLIEGSFPASIGNLSSSLQNLYLGGNRISGQIPPSIGRLTSLTLLNLTSNQLSGPIPVEIGYLTALTKLELSKNELIGRIPEKLGLLQRILSLDISSNNLHGDIPASLFALRSLSSILNLSHNSLTGALTENIGQLKNSNQLTGGIPASLAGLKDIQLLNLSLNDLSGFVPNSGIFERNHPVVYLDGNPKLCYPSMTCYHLKYPSHRRILVIAIAAASSFAINSIVVLLLVMFMSRRHLANTKTKAVDDYDELCRVTNTFDQTNLIGAGSFGSVYKATLHDGAPVAIKVFDLHKMGAPKSWIADALEYMHNDCGGQVVHCDIKPSNVLLDEDMVAKVGDFGLARLLVPVQPEHQSISSIHGLKGSIGYIPPEYGYGSQPSTRGDVYSYGVMLIEMITGKSPLEQSFGADMNLTKWVRDNFPHRSHEVIDKRLVSATIDACFEGVHNSCTVQLVQDHLLVPMMDVALSCVVESPEERSTMHDSLLRLKKAKETFSHNRSIMHGSLRG >Et_8B_059381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17284907:17286075:-1 gene:Et_8B_059381 transcript:Et_8B_059381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKLAGEMSAKAAGARKAYVTLLAGEDGESFKFKAVVRLAEGLRKVGAAYPLVVAVLPEVPESHRDMLVFMGCVVREVDPVYPPGNQFAMASRYSKLRVWEFVGYERMVYLDAGIHVLENIDELFEFELEKGQFYAAELDPPYFNSGMFVHEPSAVTATAMLDALRVTPPTSFPEQDFLNMFFKDQIKPIPLDHNLVQAMFLGHTEGLQLEKSTSFWGEKLPQFGRWLTSKAVAFARQNPSAMATLAASSAAVGVINSAANPAICFGFYTVFIAAMAAITIKLRGM >Et_4A_032418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:97040:98582:-1 gene:Et_4A_032418 transcript:Et_4A_032418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPASWSSSSASTSSAKEPSSNHTTGASSSSSEVYLNIYDISPLNHYLYWVGLGIFHSGIEVHGIEYGFGAHEYPTSGVFEVEPKSCPGFIFRRSVCVGSTDMSRSQVRTAVEDLAEDYHGDTYHLIIKNCNHFTADVCKRLTGKPVPGWVNRLARLGTYFNCVLPEGIKVSAVRDATAHADFSDDGLGSNASIIDGSDEDDLDHLLTTPNSDVIPSRDRTLTPARDSF >Et_2A_017456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34513183:34522836:-1 gene:Et_2A_017456 transcript:Et_2A_017456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VQMAARKENHVLMDCQAPTGRVTRAQAAANRRFGGPSIPLPAKTEQKQAAKGKAKRVNSDQSTTVTGPQPKRRTVLRDVTNTSRANSIRKCTAPSKLQTRPSQKGAQVASKYRQCVKKVPKIPPPAVSGSSFVNDSKVAEDTQVNFLAPKEDPPVMLENVGSLSLQNIDRNRDSMCHEAFFEERNVRDIPEPAESKTGESPAVDILDIDKDTGNPQMCASYVVEIYSNLMASELMRRPSANYMDTIQRDITKGMRAILIDWLVEVSEEYKLVPDTLYLTVYLIDRFLSRNYMERQRLQLLGITSMLVASKYEEICAPRVEEFCFITDNTYSKAEVLKMECQVLNDLGFHLSVPTTKTFLRRFLRAAQASRKPSFALGFLANYLAELTLTDYGFLRFLPSVVAAAAVFLARWTLDQSDLPWNPMLEHYTSYKSADIQTCVNALRELQHNTSNCPLNAIREKYRQQKMAARKENHVLMDCQAPTGRVTRAQAAANRRFGGPSIPLPAKTEQKQAAKGKAKRVNSDQSTTVTGPQPKRRTVLRDVTNTSRANSIRKCTAPSKLQTRPSQKGAQVASKYRQCVKKVPKIPPPAVSGSSFVNDSKVAEDTQVNFLAPKEDPPVMLENVGSLSLQNIDRNRDSMCHEAFFEERNVRDIPEPAESKTGESPAVDILDIDKDTGNPQMCASYVVEIYSNLMASELMRRPSANYMDTIQRDITKGMRAILIDWLVEVSEEYKLVPDTLYLTVYLIDRFLSRNYMERQRLQLLGITSMLVASKYEEICAPRVEEFCFITDNTYSKAEVLKMECQVLNDLGFHLSVPTTKTFLRRFLRAAQASRKPSFALGFLANYLAELTLTDYGFLRFLPSVVAAAAVFLARWTLDQSDLPWNPMLEHYTSYKSADIQTCVNALRELQHNTSNCPLNAIREKYRQQKGWLLMAQERESVPKRMCGCDGLCLIARPLSSALLVLVVG >Et_7A_051977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3337132:3361276:1 gene:Et_7A_051977 transcript:Et_7A_051977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSHFLSVLQLVLYFSPFLLAVTAPAARAASSDILSRDRNVTDGNTLVSAGGSFTLGFFSPGASTKRYLGIWLSVGGRRVPGSQPRPPSRRHFWCPGDHRRGEPSAARRLRPGRVVVEHDRRHRASHGASARVREPRRGGRRPERQRRGAVAVVRPPVGHLAPGHEDRQEPVDRGLVVPLVVALRGGPFPGPLPVHVPENVLWDGDAERYRTGPWNGVRFSGVPEMTAYADMFAYVLTRSAGEVTYGYAAKAGTPFSRLILTDAGVVQRLVWDAGTRAWCTFFQGPRDVCDAYGECGAFGVCDAAAAATSFCGCAVGFGPASPAEWRMREASGGCCSNATLDCAGAGAGNGTTATTDGFLLLRGVKLPDTRDASVVDEAVTLEECSARCLANCSCVAYAPADIRGGGVGSGCVIWTSGLVDLRYVDGGQDLYLRGYTAPEYAMQGYLTLKCDVYSFGVVILEILSGQRNRATPTLLSDVSTLPYSSRCFVAGSLQHLQYFSYFFCSCYSVSAAATMFAVIFLQPQPHLHPTEQAAWESWNQHKIKDLLDSAVAQPAHELLSKLQRCLQIGLLCVQQSPEDRPAMSAVVTMLSNSSEISSPKRPIVNSRAGSLFCEVDLSTEEASGTVDLTARTNATMVRSMPTRTLTLGGFQGLRQTNFLDTRSIIKRDFGTIVASQIARPRGRGSRAVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVIRMVGESTEAVGAGVGGGTSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNEDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDESIQILRGLRERYELHHKLRYTDDALIAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKELRQITKQKNEAVRGQDFEKAGELRDREMELKAQITAIIDKSKEMIKAETESGEVGPLVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALASYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKEIDLQVTERFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLNGGSAPTKFHNLHALIFSMLILFLIASAAGTVTDTLSNAGNITDGETLVSSGGTFTLGFFSPTGVPAKIHLGIWFTASPDAVCWVANRDAPLNTTTGVLAISSAGILRVLDGSGRTAWSSNTTTGASASAVAQLLDSGNLVVVDDPSSSAVLWQSFDHPSNTLLAGMRLGKDPQTGAEWSLTSWRAPNDPTPGDCRRAMDVRGLPDCVSWQGGVKKYRTGPWNGLWFSGVPEMSSYSEMFSNRVVVRPDEVAYVFDAAAGAPLSRLVLNEVGVLQRLAWDPASRVWNVFAQAPRDVCDDYAMCGAFGLCNVNTASTLFCSCLEGFSPVSPSQWAMRESSGGCRRNVALECAGGNGTTLTTDGFVAVRGVKLPDTDNATVDMSATLEQCRERCRANCSCVAYTAADIRGGGSGCVMWTTDIVDVRYVDKGQDLYLRLAKSELAKEKRSNVVKIAFPVAASLLALTAAGIYFVWLCRVRVKRRNQEDQKKMMLGYLSGSEFGDENLELPFFSFGDIVTATNNFSDDNMLGQGGFGKVYKGMLEKKEVAIKRLGQDSGQGVEEFRNEVVLIAKLQHRNLVRLLGCCIHGDEKLLIYEYLPNKSLDFFIFDATSKKVLDWPARFKIIKGISRGLLYLHRDSRLTIVHRDLKPSNILLDAKMGPKISDFGMARIFGGTEQEANTNRVVGTYGYMAPEYAMDGAFSVKSDTYSFGVILLEIISGLKISLTHRKDFPNLLAYAWSLWKERKTLDLLDSSLVESCSSVEALRCIHLGLLCVQDNPNSRPLMSSVVFMLENESIELSVPKQPVYFSQRYSEAQESGENTNMVYFPVFIFLLLICSCKPEDQLTHERPLSPGDILVSSNGVFAPGFFSPASSNTSLYVGIWFHNLSDSDSSRTIVWVANRDSPATSASSPTLAIGNSSDLVLSDSNGRSLWAALNNVTVAGSGGATAMLLDTGNFVLRSPNGTVIWQSFDHPTNTILPGMRLLLIHQSRVAGHLVAWRGPDDPSSGEFSFGLDPFYNLQLVI >Et_1A_005001.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21984672:21984764:-1 gene:Et_1A_005001 transcript:Et_1A_005001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLPAAGLSLYCLIRSLTKEKESFYLLAY >Et_9B_064524.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16103470:16104315:-1 gene:Et_9B_064524 transcript:Et_9B_064524.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HLAAQRDAHHSLIEHGAVVAHEEDPRAAALLVGRRRRAAAHRQLDAHELVGVEHGALREVPGEEHAEEREREAERHPQEGEQRVDVRRAPDEAAVVEDDAALQLLPGHRAVLLSRHDVRFLHAGGTIVSVRYVPSVFGKQLRLYWKTDQCQQSDPMMEQCGIQFAQIQSADPHTTGCNLVGLNWDQKYVLGEQLDHIAKSETKFSRIFRLEKLQREN >Et_9B_064240.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13120765:13127299:-1 gene:Et_9B_064240 transcript:Et_9B_064240.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGVAYMFASMTLLFGLFASVLQSAESIGVSYGMSGDNLPAASDVISLYKSNGISDMRMYYPDQAALQAVGGTGIRVVVGAPNDVLSVLAASPVAAASWVRNNIEAYPTVSFKCVCVGNEVDGSATQNLVPAMENVRAALDASGLDNIRVTTSVSQAILGGYKPPSEADFTSDAQGFMGPVLDFLARTGAPLMASVYPYFTYATNPSAMDVSYALFTAPGTVVQDGDYGYQNLFDATVDSFYVAMGKHGGSRVPLVVAESGWPSAGGVAASPENAAVYNQNLINHVGRGTPRHPGAIETYLFSIFNENLKESGVEQNWGLFYPNMKREQKQMASQGGASVLAAALLLGVFASVPQSVESIGVTYGMSGDNLPAASDVVGLYKSNGISAMRMYYPDQAALQAVGGTGIRAVVGAPNDVLSNLAASPVAAASWVRNNIEAYPTVSFKCVCVGNEVDGSATQNMVPAMENVRAALDAAGLDNIRVTTSVSQAILGGYKPPSEADFTGEAQVFMRDVLRFLARTGAPLMASVYPYFTYATNPSAMDVSYALFTAPDAVVVQDGDYGYQNLFDATVDSFYVAMGNHGGAGVPLVVAESGWPSAGGVAASPENAAVYNQYLINHVGKGTPRHPSAIETYLFSMFNENLKESGVEQNRGLFYPNMKRVYPISFN >Et_4A_033060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17921777:17925696:1 gene:Et_4A_033060 transcript:Et_4A_033060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDHTSKVLFCPSAPKARTNLRMVHSKFICCKLYISESRNAMALDAIDRAARTDPQVVVLTKFEDSIYNRVRYTLVSYIVNDSSTGEVIYSPIRKALLRMMEAAFSNIELKSHSGTHPRMGVNDDLSFHPFGETTMEDAACLAKLVASDIGNDLQVPVFLYAAAHPTGKSVGAIRRELGYYRPNYMENQWAGSMLPDVLPIKPDLGPTNVAPDRGAITVGATPFLEGYNVPVLSKDIATVRRITRRLTGRGGGLPTVQAMALLHDDCTEIACLLDPDHVRAFHVQTAVEQAAAEQGLEVENGYFTDVTKDRILDKLTSSILITTCGKRQDNAVDSHSSHVSRDDRRTDDPVRKKPHLQKRPYQSPTFHWLCSENSNIEFLR >Et_5A_040852.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:13449126:13450456:1 gene:Et_5A_040852 transcript:Et_5A_040852.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASFDSVAAVLGDEDAAPPEPFDLDVPEQPGMRRGHRFATSYSSFGTAVSEDDLAGDAYGMPPDSGGGAGHVLGAEDVMDGAADGGMGDGGFFGGGGGGLDDAVFGGVAADDGPVLPPPEAMREEGILRREWRRQNRLMLEEKERKERERRSEIIAEADEFKKSFLEKRKSNREAKRTQNNDREKLFLANQEKFHKEADRQYWKAIAELVPHEMIPGLEKRGKRKEQDIRKPAIAVVQGPKPGKATDLSRMRQVLMNLKQKPPQHMVPPPPPSAKEEEEKKHGDKDAKKEGKDASKDDKKEGKDASKEDKKDDKQADGEAAKKTASSGNAAAAAPLEATEAPADKAPEQPAKK >Et_7A_051145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14985598:14987131:1 gene:Et_7A_051145 transcript:Et_7A_051145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAPSPSPAAAGRGETEAVSTPLLRRRGSYARSMSHARDELRSFRSCLRWMCVVDRSDAAAGDAASWLVFALLAVAVPAAAWLALPRRAYDAQILRMAGFAREFGQCTDVAAVLKQHRRIRIQLRRISHRYRKFILSCLILVTASQFSALLAATRAHALVNIATAGELALCSMSLVTGLLICLHSAAKITHKTQAITSVAAAWHADATINCTDRDQENPRTPSKAYQLQPQAAPTSPYPAEGMSSGEESDDDESRSEDSVDTTRFTSFHVTNISFQKRQALVTYLENNRAGITVFGFVVDRTWLHALFMIEFSLVMWLLGKTVGIS >Et_9B_065334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:3924072:3924879:-1 gene:Et_9B_065334 transcript:Et_9B_065334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRCISLASVLAALLSVTVVGACLDVGFYDTTCPTAETIVQQTVAAAFRNDSGVAPAIIRLHFHDCFVRGCDGSVLIDSTANNTAEKDAPPNNPSLRFFDVVDRAKASLESVCPGIVSCADVLAFAARDSVALSGGAGYEVPAGRRVSRAADALSDLPPPTSTAAELRLQEPHRRGRRRPLRRTHHRRLTLQQLPRTPLQLHQHHRGSKQKQSLHTLNMDIYANIYTSI >Et_10A_000630.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13994437:14007056:1 gene:Et_10A_000630 transcript:Et_10A_000630.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRRGSSRSAPPELRLAYGARARPLGRAVLSLLPPPPPPGESCPACRSSAGCLACRRWAHLLREGDPIAYRRLVTRAICVVAPAAAAVHAGERRPLAGQGFARRFSLSSRLRPLPCFLVRETIKSIMTDRSGTTNNILCIGCREGGQSRCVGDLVSSSSWDILLHRIGDLLMCYILRYSSIFLSVKKNDYIQVAGRPLNVVLQKPIFASSLARNQQPHSKKEKCSTCYMWGNTKTAPNLSGDNCVYDSNVGFYSLDATSKFDALQSSENYRSARTTKPNCSTKGCNYSKSHSISKSTICSSLCSQNPSKRKRLYSWQRYNKQRKVCCEDRPAIEWSKINSSDFGASAKVSDEVHYLEPALDTNALTMSSDDHYSQIKEPYGVAVSSSEMPPSSVFDIRPSQGPCGCSTPRCQPACLQVGPPSFLHMNSSPICFNCLILISSKYLSVDSLISRHTIFYNRRTSYNVFHGKHILNRRKRPDALSLIKHIFGIKGCCVKFLKFISHETTTTNYNCSCFGLLTLMKNLIRNSRRCQYKKLFLKHCTVKSKVSTNVVNNDTKAKHSTGGKSSYFDQFAQLEAYSTHQQVVSFVWAVLTRIVPDPLLGNSNSKRSLRIIIWKFIELRRFETFHLFDCIRELKVSDYSWISNIRASCFCSALLAREISLPNGSDEQKHMNLLRSWINWLFSDIVIPLVQAYFYVTERESKRYDIFYYPKPVWRDLTSNAIASLNGQNFKILRGASRRAIKNLCTSSRVRFVPKARDMRPLVNLKAQSKDGLLNKCHLIIKKLRDENPEMFGSSVFDYNNVHQNLSNFISSVRSQLKKRNKIYVVVADVSKAFDCISHDMVLKVMDNVLKCDDYVFRKCTKVVCNRSKNAIYRFDSNVCISNGDNTGDFSIQLSSGGGILVDQEVGIAQGNKLSPNLCSLYYGHLENSALSKFLHDGKINSGEIVSAPKSLLMRFIDDFLFVSFSKKHALDFFNRMRRGFVYYNCYMNDHKYGFNFEVANSEHCCNRLYRGDDGFSFIPWSGLLINCESLEIQADYTRYLDIVISSTITVKIYSSTKYLQKKLCHYMRPKCHPIFYDSMINSPSTVKLNLYQAFLLCAMKFHCYVRSMPDLDITKPEVLHIIKRTFRYMHSLIIRRTQDVELLYSVWPVLKLKRKETMWLGLSAYLRVLQKKQSRYKDLLALLTAEIRKYGHLDSNSDSLRYAVDDSHSSMFWKFKF >Et_6B_049040.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16961056:16972952:-1 gene:Et_6B_049040 transcript:Et_6B_049040.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYDERYEGNGDHAVAAEAADDYGTAPAGGSPPAGGKPTGFSDHADGRSAHPQETQSHDSGSSKSREKDREREKGKDRERDRDRGRDREKDRDKERHRDRGERGEGTVIGMVTVGIGLVPVPLLGVVTVIAGLDLVQRGMRVSGFDQAPPQQGMPIVAPGVTPGQLPGVPPAIPGMFPNMLNFTGGQFNPLVIQPQAMTQQATRHARRVYVGGLPPSANEQTVAIFFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPNPNLNLAADLTVTDIACAALNGIKMGDKTLTVRRANQGASQPRPEQESILLQAQQQVQMQKLVLQVGGALPTKVVCLTQVVTADELRDDEEYEDIVEDMREEGRKYGNLVKVVIPRPDPSGAPVAGVGKVFLEYADVDGSTRAKTGMHGRKFGGNQFNPFVIQPQAMATRHARRVYVGGLPPNATEQTVAAFFSPVMTAIGGNTAGPGDAVLNVYINHDKNFAFVEMRSVEEASNAMALDGIMFEGAPLKVRRPPDYNPSQAAALGPSMPNPNLNVAAVGLTPVCAGGLEGPDRIFVGGLPHYFTDAQVQELLESFGPLRGFDIVKDRETGNSKGCAFCVYEDRNVTDIACAALNGIRMGGNTLTVRRASQGASQRRPEQESILSQAQQQLQMQFLTEEIFIISNSWHKLEEHCPQGGAPVTGVGKVFFEYADVDGSTRARIGMHGRWFGGNQVVAMFYPENKFAAEYD >Et_2B_020513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20903319:20904401:-1 gene:Et_2B_020513 transcript:Et_2B_020513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding QQQAGIWSGASGSLTGAAGPSSSSSPRVAGAADQGGARQQAGTSATTGQASAPASAPPPPRGSRKRTRASRRAPTTVLTTDTSNFRAMVQEFTGIPSPPFAAGVGGPLRTRFDHIFPPPSSLRAAAPGAGDAAASLPPYLLRPYLTFQNLLDSQSASQSIFGAAPGGFAQAPRMHEPSPSEFLASVSGGSLGLTHGHGGLMGSEGMQLHSRSDVQHHGHGGDELSGLVAGGASGGSCKLNYSTHAGASTSSSAAASGEKPPEGGGGGGRAGRGQGLDPWICTSE >Et_1B_013072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5199172:5201679:-1 gene:Et_1B_013072 transcript:Et_1B_013072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGYLYSWCSAFVWALAFAGHGVGGTLVDITYVNGAVAKGAVCLDGSAPAYHLARGFGSGVNSWLVHFEGGGWCNNVTTCLERKRTRLGSSKKMAKQIAFSGILSDAPQYNPDFYNWNKVKVRYCDGSSFTGDVDEVNPSTKLHYRGARIWEAVMEDLLAKGMSNAEHALISGCSAGGLTSILHCDRFHDLLPMGAKVKCLSDAGFFINVKDVVGEGYIATFFDNVVATHGSAKNLPSSCTSMFPPDMCFFPENEVKQIRAPLFILNAAYDSWQVRNILIPGVADRQGKWLSCKHDIGQCSAEQLQILQGFRDDFLKAVEEQRNSASRGLFINSCFVHCQSEIQELWFASDSPMLGNTTIASAVGDWFFDRSPFQKVDCTYPCDSTCHNRIYEDSSEA >Et_2A_015399.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1223461:1229207:1 gene:Et_2A_015399 transcript:Et_2A_015399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTNPERPRRRPPPDPVAVLRGHRAAVNDACFHPSLPLLFSGAADGELRAWDTTSHRTISSVWAHGGSAGVYSVAASAGLGNKIISQGRDGTCKCWVIEEAGLSRRPIFTVKTSAYHFCKMSLVKPRSLSHAPQSSSSCSTSDVEPQRAIIEENTEAHDVNSTESLKGHEQGIISNGQNLMAIAGQESSQIELWDITSARKMLCLPQTCNANMTDHPTKQKGLCMAVQAFIPCESAGYINILSSYEDGSTLWWDVRKPGLPLSSVKYHTESALSIAIDGLCNGGISGGADNKIVMFTLNHSKGTFTLRKEIELERPGVADTAIRLDNKIAATAGWDHRIRVYNYNKGNALAVLKYHSDSCNAVTFASDCNLMASCSADTTVALWELYPPKAPSKADITTRDELSC >Et_10A_001263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22784017:22785186:1 gene:Et_10A_001263 transcript:Et_10A_001263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPDDREGAAREVAKAYERIKTHQPLLFLHQNCCHHGDELTKLAQNLLSEALRALNIALSSMKQQQESSSTTASPLAVKAEPQLSSSSPASPAADSKGATSTSPRRSGKRRRSVIEGKNSSWGMSTTVPYEDGYEWRKYGEKRINGSQFTRSYFRCTYKDDRGCLATKQIQQKDNSDPPMFQVTYNNEHTCNRTSAAKKNNSGNNLPSQSYCNSCGAIDPPDGVHSMVKKELLVSPPLVEVSAVPSCEEPFPISNLIYGGVREYDAGIPSATNTESSCMGGVRSDECLNMELTMMEPAGDDALRALELFLMYDTFK >Et_2A_017829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6870646:6878235:-1 gene:Et_2A_017829 transcript:Et_2A_017829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPPAPQSDRAALLKAFDESRTGVRGLVESGVSSVPDLFVHPDPYASAPLAPPGLSIPVVDLSLPAPVAAAAAAEAARDWGFFHLVNYHQALAVPEDYPARALDAVRAFNELPAADRAAHYGRSMPGGVSYSSNVDLFRSPAASWRDTIQIGFGPQRADPARIPVVCRNEVLEWDAHATAVGRALMGLLSEGLGLAPAALEEASCLEGKVMVCHYYPVCPEPERTMGVVAHTDPGVLTVLAQDSIGGLQVKHTDEEGRSHWVDVDPVPGALVINVGDLLQIMSNDKYTSVEHRAIMNTREEARVSIAIFFNPGKRGDNVFYGPLSELVSSENPPKYRTFTMSEFFGTFFKRDLASKALIDHFKLQLTVLAQDGVGGLQVKQTGEEGRSHLVDVKPVLFRFQSFSILGREGTPWIITGASFVREPGQVQEFYHVGVVRPASCSSTSMASDRAALLKAFEESRTGVRGLVESGVSSVPELFVHPDPYASAPLAPPGVSIPVVDLSLPTPAAVAAAAEAARRWGFFHLVNHHQALAGVPDDYPARALAAVRAFNELPAADRAAHYGRAGGVSYYSNLDLFRSPVTSWRDSIKVELDGQPVRMPHACLADVPEWDAHATTVARAVLRLLSEGLGLVPPALEETTSLEGKVMICHYYPACPEPERTMGIVVHTDPGVLTVLAQDAVGGLQVKHTDEQGRTQWVDVKPVPGALVINVGDLLQIMSNDKYTSVEHRVIMNTCKEARVSIATFFTPAKNRDSVFHGPLPELVSSENPPKYRTFTMSDFLGTFYKQDLASKALIDHFKLRSTLMMDRV >Et_7B_053230.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:13567844:13568716:1 gene:Et_7B_053230 transcript:Et_7B_053230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEGRSGRDVDDGKQKKMAACLPAPGPDQNEEETPEARYRRKAMLRAQDGVLRHMLKMMEACNARGFVYGVVDESGVPVSGSSDSLRGWWKDDVGFDRAGPMALLPDGTGPPGSPTASSSSFLHGLHDIQDSTLGSLLSALIQHCEPPQRSFPLDRGQAPPWWPTGREPWWGAQGEAPAHQGAPPYRKPHDLKKAWKVSLLSAVIKHLSPRFDQMRKLVWQSKRLQHKMSARDADTWARVIAREEALDRHVQRALTVTPLDDQDEATADTPREEAAHLDKRKRGVV >Et_5B_044210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21526019:21527781:-1 gene:Et_5B_044210 transcript:Et_5B_044210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAARTQALRALLVRCSTKWQPRACSSTANAASFSTLHGASPASRCGRLLPMRRAAEAALVPTRSLASGTARGCAAGPGAVDSDNEEEEGEVQEWAVEWEDSEDEGTEPEIGDGGDGGGVVLRDVKWGERALAAAKEVLAEHFSEDFAMFAFKLSPKGYVYVRLDKLTNMYGCPGVSSPGAERLLKVPDDLDRFKDMAMRVQYHVEDDNDLVPKQNLLKDGIFLLESVDVQAEHCTWKLADVKENRAEAGKGRPMNRKQKDWRLQTSFNAVKKATLYLDSN >Et_1B_010021.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:18651826:18651957:-1 gene:Et_1B_010021 transcript:Et_1B_010021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGVWISLLADNVQSEAKSQARHEDMACFLVKLFSFYFVHKH >Et_6B_048669.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12295413:12296552:1 gene:Et_6B_048669 transcript:Et_6B_048669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEPAACVATPGDGKRTSSPEEPGAAVPLGDVFRSAQFNDSVFLDPRRSDSDDGDAVLGDDEDEAEFSDDDEIYDTDSDDSEIEFEEDDGDDPDLELEEEEEEGICSTDVTVVPVEFLGTKARFASVGNTAGFMLLGAFPADQSGHLLHHEIAVHYRYARFTTSDDSGGGVELCGGGGKLHTVRFLVPSCSHAAFAFADPASSLRLAGAALADMAYPRGFTAQLQKLWSNLVAAAPVRVPPWAARLEVTVSAGILLIRPRDRTPERMASMRAALAAEPRVRPSLRGVGVVVSSLPAPVMSRADDEDDVALERGLVAWPRCAHVFHGACLERLLVRGDQRCPLCRTELNGAPGQRVNAC >Et_4B_040010.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7707617:7708184:1 gene:Et_4B_040010 transcript:Et_4B_040010.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSLSLLPLLAPGRPVLLRHAAPCDASNVARGEVRGTRPLRLARLRWRRGRVAAAAVAGDAEAPLVDAEAAMRVAADDDSITATVVSVLLTLAFVGLSLLTIGVIYLAVQDFLQKREKEKFEREEAERQKEEARKKRAKARKKRKNY >Et_2A_018286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21489906:21492186:-1 gene:Et_2A_018286 transcript:Et_2A_018286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFPLFTGALVFLVAAADALSGYQINCGAKSEKQVAGGVTWVSDAPFINVGNVSELTSPGMMPMLASLRYFPDTSASKYCYVVPAQQHARYLVRTTYYYGGFDGGEAPPVFDQIIEGTRWSQVDTAADYARGRATYYEAVVAAAGREVSVCLARNADTKSGSSPFISALEVVQLEDSVYSATNFTAYALSTIARHSFGSSNGSTISYPDDRFNRYWEPYNGGDGGGQAVESETAVATESFWNKPPQAVFRRGLTAGRDKSLDLQWPPAPLPNASYYLALYFQDNRAAGALSWRVFDVAVNGKPFFVGLNVSTAGSMVYGAEWPLSGPTTITLTPAPGSTVGPVINAAEIMMVVPLGGRTHPRDVIGMEALAKGFAKPPSDWRGDPCLPKGNSWTGVTCSQDSLPRVIALHLENNALTGPLPESLGSLPRLQELFVQNNNLQGTIPNSIRNRNDIKFQ >Et_2A_016175.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21765907:21768049:-1 gene:Et_2A_016175 transcript:Et_2A_016175.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCAATICRPRTKPTMPDSSTAPPGASDWAALPRDILFRVFLKLGPREIMLAAELVCAAWRRFALEEPALWRRIGWEDTDELMMRLGVDVEAMERVALARSAGQCEAFRGYLDYDDLLYLVERAPSLTTLDTRQFNNYNGTKELIVALEKLPLLENLQISFTCILECGGEMLRSVCQACPNLKKLVLIYADVSEPDYWNAEDFCMEPMDGEIPLMHELHTLELYECDLSATGLKGILDNCPVLESLHITGCFNKHDVDKELQAKCARVKNLSLPTNLNPSDRRYYHIFGDPEDSSDEFFDDSNDSHEDEEE >Et_6A_046764.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:20335642:20336223:1 gene:Et_6A_046764 transcript:Et_6A_046764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAPSLVRLYEQMPEPKYVIAMGACTITGRMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDALTKLRKKISREIVEDRTLSQKRNRCFTTSHKLYVRRSTHTGTYEQELLYQSPSTLDISSETFKNPKVQYLPTNF >Et_7B_055019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6554581:6555062:1 gene:Et_7B_055019 transcript:Et_7B_055019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTATATVCSMCGDVGFPEKLFRCARCRHRFQHSYCTNYYGDGAPASAGSDTCDWCLSDVSGKTRWSPSAAGKQHASGGSQESTSATSSGSGKGKAASGGDQETGRRATRPAGRRYKLLKDVLC >Et_2B_022448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22220811:22225962:1 gene:Et_2B_022448 transcript:Et_2B_022448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAAIGLVRPCAARPLAGNPRRGAGACTALPPRGLGISAAALPRAGLSAVGRRVGLVPASPLEEKRAARLVAPAAAAKAEGAAAGGEEGGSGLLKTLQLGALFGLWYLFNIYFNIYNKQVLKALPYPINITTVQFAVGSVIALFMWITGILKRPKISGAQLLAILPLAIVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSALFLGELPTPWVVLSLLPIVGGVGLASLTEASFNWAGFWSAMASNVTFQSRNVLSKKLMVKKEGLNLQQVSYMILARVSPVTHSVGNCVKRVVVPGLLLPDLVLGLAVASHLDVSPTASVVLGDKALPVPVRLPYICHVLDGHALGLRQEERDEERHDDDPRGEEEEEPEPESAEHGQERLRDDEGHEHVDCDGDALPRGSHLEGHHLAGDQPAERAPRPGEARHVGAHDDDDGGGVTRRDGGDAAPPELGADEGPHGHLARQHLGPALEEERAAAHAVDGEDGDEGGREVDEARDDGGHERRAAAEAERLEQHGGVEHDDVDAGQLLQQRDGHGHDELRAVAAAKDVAPRARHGAGHLAGGDEVAELGGDVVDAADAAEHGAGGLRVAPLQEGVGRVREEERAHGHDGGGDGGEPEAEAPAPSAGDPGGAVVDEVGEEDADGDHELEAVVEHAAHARGRHLREVHGHALVGESDAEAEEDAPHDEHRHVDRAGVDRAAGEEGDPAGEHGPLAAEGAGHRRREDGGHQRRHVERGAEGGQQLAVELAVLVPAAAVRRVRRLLPVH >Et_3B_031584.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:5434235:5434726:-1 gene:Et_3B_031584 transcript:Et_3B_031584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTPQIKASHRSMSLVRRSSVFDPFADFWEPFDVFRSVVPATALSERDTAAFANARIDWKETPEAHVIRADIPGVKKEEVKVEVEDGNVLVISGERSKEKEDKNDKWHRIERSSGKFMRRFRLPKNAKVDEMKAGLENGVLTVTVPKAEVKKPEVKAIEISG >Et_2A_015349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11454283:11455131:-1 gene:Et_2A_015349 transcript:Et_2A_015349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLSLEGADPIFQSMQTNNDYWAPKPHPYLYRLWAKHFEPVGNPSITVTIPKRWASFFTKQLLSHVRFDWAKGFLLSQAWQKLGDEGQDKMQFSLPNACPEDTPPTYIGLQELISEDPYSENQTEPNEDETPPSTPTKKCQHKLTAKRKLRTTVAKKSTSKTVTVEADKVQRTKKAVAKKVVKTSSKEDPNDDKKKKKPK >Et_2B_020775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23529581:23540215:1 gene:Et_2B_020775 transcript:Et_2B_020775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGVAGLQNAAATRDQFRLVGENVAKVRTDVMKEQLATFRSQLEEFARKHKSDIRKNPIFRQQFHEMCAKVGVDPLASNKGVWAELLGIGDFYYELGVQIVDICIATRSHNGGLIDLLDLRKQLCQKRKATLESLSEDDCLRAISKLKVLGSGFEVISVGRRKLVRSVPTELNKDHSGILGLAQAEGYVTVEQVEKEFSWSTGRAIDALETLLKDGLAMIDDGHRDGKRRYWFPCVTLSSDTTEMATEKRRRHRSPPAATPGASSSSTPDAAAAVAHSSSPPVDLVPDIACRLTSLEDFFALRATCRAYRALLPPSRAILASQPPLLLVALFPSFSEALFHLTLRRLHRFRLPWAHHLPPSRHTLLFAHGFLVTATTASAHYPPRLLLLHLFSGEQLRLPKVPAPFTRVILSDDLAAILFLPGRATVQHCHQGDALWQVAFADPPHIFEDMLFVDGTLYALVNNLRLARVELSDSSLELSFLGEELDEESRPAGERFMLGECGGEVLLISEDHSEMMLFRVFRWMAEEGKWVMITSLAGRTLFLGFHGFAACIGPDCPGIRGDCVYAAGPRLGEWREYSLADGTCDVRYAEYPGAPPLNNNSPVRPPAFQFAATGEELKLHTSKGVARMWEVSFWVRLESGWNGRESTPPCAFGLRAAAAAPAVEKAAEGRAEPSGPSTASSQSRASPTSESETSSTSESDQSSSGLSSTSAIVDDRIFSGGRPCFASRGRRR >Et_9A_061096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15753433:15753772:1 gene:Et_9A_061096 transcript:Et_9A_061096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKQASVCCLLLVLVLDAVPASNADAAGCAYRAPYVPFCKEWMCKVECWTEAKVYGAKVAEHKCMRGGIKGWCSCKFCH >Et_6A_048066.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:535157:537932:1 gene:Et_6A_048066 transcript:Et_6A_048066.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHESYTPPAVLRILRRLPRRSAAGHQFHALLAKLGLLHDPAFFPALLSRLPTACTSSLSLLLAAPPGVLSPSLFCPVIAAFSTSPVPSCSLVIFNHVSSLSLPTPLPAFPALLKSCARAFKLSSRASVAAAAFAAKGAELHCLILKLGCEQDRYVQNALVSMYGKFGLLEDARRVFDEMPAKNAVSWNALMSAHGAAGDLQGADRVSRVMPKRNISWWNAEIMRSVRLGDMTNAARIFREMPERDTVSWNSLISGYANLRMYIQALDIFREMKHYGVEPTELTIVPTLGACADMSKLELGRGIHNYILSKGIAADGYVGNALVDMYAKCGNLQLAQQVFDSMSIRDVTCWNAMIVELSVHGYSCVALEIFNSMNVEPDHATFVGVLTACSHGGLVDEGRAYFNSMIEYYKILPNVKHYGCMVDMLCRCGKVHEAYQMIKDMPVKANSVLWKMVMAACRVHGHFDLANKAYRELRQLMPLDNGHVITVSNVYAEAERWDDVEHLRTKEYYSYIGLIDEIEEAKLGVFTVSSKWNKDYDYSCC >Et_4B_039162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8354804:8358432:-1 gene:Et_4B_039162 transcript:Et_4B_039162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSAESDGSERERKEPTETSQVAPADAAVPAASEMAESAVTEEAAHADFISNHEAAKSEVMEGAAHAKLISNQGPEKHIRCKVMTYEELYSATDGFRADLFLGEGGFGPVYKGFLDTINQEVAIKSLNLQGSQGDKEFLTEVLILGKLHHPNLVKLLGCCADRGQRLLVYEYMPLGSLLNHIHGPTGDDTHISTRVMGTAGYCAPEYLLSGKLTMKSDIYSFGVVMLEVLTGRKAKDENLPAPEQNLAVWAVNLIKRKDFARLPDPALQGQYHATSLYRALLVAALCVSETPSKRPPMADVVFALKTISEMKSKHMKRLAPQSEAPSTPTRTGSDGNQAQNQEQGS >Et_10A_001819.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8841771:8846823:-1 gene:Et_10A_001819 transcript:Et_10A_001819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYLKCSPTHKGTSIVLMLVSLFFVGTFPALLTLLERRGRLPQHTFLDYSITNLLTAIVIAFPFGQAGEIKPGIPTFLTQLTEIHDNWPSVLFAMASGLVLGLGNLIAQYAWAFSGLTVTSIICSSMTVVLGTTINYFLDGRINRAEILFPGVACFLNRGVEFRSEFTSRDTVLHDPEALNKNGNTLGQAKPGTAEFIIEVEKRRSIKVFGSTKLFGLVLIILGGVCFSLFAPAINIATNDQWHNLKKGTPHLVVYTAFFYFSLSCFALGVSLNIWFLYHPVAGVPASTVRANARDWNGRHWALLAGLLCGFGNSFQFIAGQAAGYAAADAVQALPLVSTFWAVILFGDYRKSSRKTYLLLAGMLLMFVIA >Et_2A_016077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20851596:20853301:1 gene:Et_2A_016077 transcript:Et_2A_016077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPTGPTQRTRTRGGLVSSAPSSRRLSSISFTPTPNQTKKVPDPKVARTMRTTPAKKRPQVDQAQKRREELADLQEKLSGLQKKLLEKDEALRSAENLIGRISAANEAVEELRGQLSEKESLIESTGSELHGAKCQHERNNPLQMEVCGNNGSGVEIV >Et_3A_024099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17300187:17303311:-1 gene:Et_3A_024099 transcript:Et_3A_024099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGARALITAGATAVCCIACAFWAFRSPSSSSSSSSSSPLSKKQQHCCGCASCGCRARFTNGEMAVGGENKKKAQDPAPESDGGVSMMEQLVPEITTHALSYLDYTSLCRLSMTNSAMRRAANDDGAWKALYHKDFTVEQHNITPPNGWKAYYAATRAIMNVNAEFYNIIREGSLPAMSHFWLNADYVKCIHATGEFFTGYNAVMEGWSLLFNWGQDGGQGITFNVRDVRVRVLGEVAWVNMKANVDVDPVLFHVTNVYEFRNGKWYMVHHHSSLMADPAPHNPFG >Et_9A_062888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:6253383:6257794:-1 gene:Et_9A_062888 transcript:Et_9A_062888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHHLLLSPPALPPPILLPSRRLRGDGIGRVPTAVCASSNAARCTAVSAEVATRRRAVLLVGVSVLPLLRLRDAAAQAARAQPSTADLVTDRMEVLESEGTQPEELEDKPHLPDVEGSPSRNPLVGLLNAIAIVASGVFAGLLGTSQQEKKALQSTISSMEVKLVENESAMSMLRENYEKRILDEQAELKKQARKFQEEEASLLDQLVSSRRTVTSLTGEVQKEKELVEQLKLEIDELKLSLVEAEEDRHLFEGKLNEKMEMLDIMHDKVNLLSQEVNGKEQYTKELSSSLSAKEDDYQNLNMIYHQTKENLEQVTSRIKQLEKDVLTDKNELKSKITLIDSLNEEVKKLCAEKGEAEEKLSALMTEYSDLKTASEERASRDSELLLEKDAQLNHLEENLSAVLTDSSKQRCTIAELNNELDTTRTMLGNEVVARKDLSDLVTSTEEALRDSRNEVFKLSEELNEVKRSNQDLITQISKFTDEAIELKQALANKIAEAESVSATLSDELASVREVLKGSQEELEVTSKQLISVTEAHGDLNKELLDAYKKLESLTNDLVKERKINATLNRELEALVKQSLIESEARRALEVDLDEATRSLNEVNESTLSLSEQLENTNSRISAIKEEKEMLSKALEEQKKSTVEAQENMKDAQNIIERLGSEREDFEMRSKKLEDELATAKGEILRLRRQMSASGSRNAEVILETSAAPNASQPLKGQHVNDRVQITNSADAGAPRSSKRVYRRRKSGPAT >Et_2A_015707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17065159:17066426:-1 gene:Et_2A_015707 transcript:Et_2A_015707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPPPPPPRLRHGVLSAAGRFPGIPGCRAPWGSLRSSTGGGRVARAYCLFSGGGNSKKQDEARKALESALGQKKTDFEKWGLEMERRRQRVRPGGPASGGGGWSGGGGWFRWFTSGGFWDAAKQTVLTILGIIAAFFLIANFNAIISAIVNSLLVVLRQIRRLLSFIAQCVLQSASAPRSGLESSNLDSGNLAGVAVKSRVGMSAKERVVRKWGMD >Et_5B_043397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1026383:1027541:1 gene:Et_5B_043397 transcript:Et_5B_043397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTVKPLLPKAVVRLRRSKKGGGRSLLARDKLAVVESLRPRGTVHEGPPSCTRSKKTFRQNRGQSMLATKSSSTREYSLPAYRNDGVAGGDGEDVGAGDGLLADGLDLRLDVGDDVEAPKRPHVGKRPLLSGEAAVTALLMVDSALGQDVS >Et_3A_026957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28028614:28031009:-1 gene:Et_3A_026957 transcript:Et_3A_026957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCTSSSSSYSPSSSAVASWYCWYSDTRSFMLLSASVNSISSMPSPVYQCKKAFLLNMAVNCSLTLRNISWMDVELPMKVDAMDRPLGGIFLPHNIQDRINELSTLGVVSLGPVVTGTGLPKHKVVRAEDLPIGPSADTVHCPWLKVHEDSTGHKAATRGLIVVDIDTLQLQVRGASVPPGRVNAVLITHHLPELGTDLVATLPALDVQDLSHLRPGNAEE >Et_4B_036056.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:17906105:17907318:-1 gene:Et_4B_036056 transcript:Et_4B_036056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRSVVFFLLLLLLLSLHGVLCLPMDINVDDVLEAGSSCHFPVTAPVVPESAEERREHYRALEAKDLLRHRQMITTATERRGSRTRRQARESSKLPEVMSDTSMFELPMRSAINIAHVGMYLVSVRFGTPALPYNLVLDTATDLTWINCRLRRRGGKHYGRPHVARPATVNVLSLDGEGGPPVKVAKNWYRPARSTSWRRFRCAQRACAALPYNTCEAPSRSASCSYAQTVQDGTTTSGIYGQEKATVSLSGGRMAKLPGLILGCSTFEAGGSVDSHDGVLAMGNSDVSFGVHAARRFGARFSFCLLGTHSG >Et_3A_026877.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:24781842:24782924:-1 gene:Et_3A_026877 transcript:Et_3A_026877.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTAYLTESIGASRLFHHASIRVTQVEPHRSPQPPATQTQTQAPPPTTMPAGQPLHADSPASSQQQHHHHQPPRRVGSMPSFPPPESDGAESWVWSQIKAEARRDADAEPALASFLYATVISHPSLDRSLAFHLANKLCSSTLLSTLLYDLFLASLSAHPSTLRAAAVADLVAARARDPACAGFAHCLLNYKGFLAVQAHRVAHVLWAQSRRALALALQSRVAEVFAVDIHPAAAIGKGVLLDHATGVVIGETAVVGDNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVRIGAGAKVGAGSVVLIDVPPRCTAVGNPARLIGGKKGEDVVMPGESMDHTSFIQQWSDYTI >Et_2A_015449.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13077114:13090427:-1 gene:Et_2A_015449 transcript:Et_2A_015449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVNKSVGKSSIRSASLTSKLFAFSQGWGGKEGGLGLIPCCLPSVSDPVSTEIGSTLHFEFYRSADKSQSLDKEQRLEVIHLSNVNTYKETDLEILDKLVKDYNVPPALRFPLLTRLRFARAFDSLTCRRQYICIRLYAFIVLVQAGHDSEGLSAFLNNEPEFIDELLSLLSYEDEIPEKIRILGILSLVALCQDRSHQPTVLSSVTSGGHRGILPSLMQKAVDSIISGSMKWSIIFAEALLSLVSMLVSSTPGSLALQEAGFIPTVLPLLKDTDAQHLHLVSTAVHVIESFLDYHNPSSALFRELGGLDDTIARLKIEVSLVEADSKKSEESQCISKGKEVESCSPVLDMQPSCSDALVSYNRKNLMKVLLRTISLATYVPGSSARVDGAEENVLPACLCTIFRRAKDFGGGVFSLAANVMSDLIHKDPTCYAVLDAAGLPQAFLDAIMGGVLYNSDAVSCIPQCLDALCLNNSGLQLVKDSNALRCFVKIFTSKSYLKALSGDTTRALSSGLDELMRHASSLRSCGVDMFIEILNSISKVGYGGDSSLYTETKKSSAAVPMDTDVEGATSSNGGVYSEAESSERVVDAPRDVTPSSSIESFLPECICNVARLLETVLQNTDTCRLFIEKKGIEAVLQLFKLPSIPPSVSIGQSISVAFKNFSPQHSVSLARAVCSFFRDHLKWTNELLSSISGTKLVDNEHAKQSTLLKSLSTLEGILSLANFLLKGTTIMVSELAFADADILKELGKVYVEVTWQLSLLSDSKVDKQEEDQDDVPGDASVSNASERDSDDDTNTASATRYMNPVSARSSLSPWSMEQDFVSAVRSAANLHRHGRHSLSRIRGRLSAALDAAHTDMEGPFSPAESSRSHDTLKKSPDVVVSELLTKLGYTMRSSFSTLVKGLPARRRVDSNLSPASRSLVTALAQLFLCALGYSGHSTAGFDMSLSVKCRYLGKVVEDIAALTFDSRRRSCSSALVNSFYVNGTFKEVLTTFEATSQLLWTLPFATPASGTDQVSSISDKMSHNSWLLDTLQSYCKLLEYYVNSAFILSPSSSHNQLLVQPVVSELSINLFPVPSEPESFVRMLQSHVLEAVLPVWNHTMFPECSPALISSLVSIMNHISSGVGDLKQSRSNAGAANPRVTTPPLDESAIATIVEMGFSRVRAEEALRSVRTNSVEMATDWLFSHPEEFVQEDVQLAQALALSLGSSTEAPKEDGSNKNDSAVAEEKGAVVLPLDDILTVSTNLFCSTDSMAFPLTDLLVTLCNRNKGENRQRVLLYLFEQLKQFSTDSSADMGALYSVTRLLALLLSEDSAIREIGAESGVVPHVLIILENLKSKTDQTDLTWNSVSALLLVLDSMLQFNPKLSMEAADGTSKSASDASSADSKTNPEPTAEKKTETVDSANDANANVFENILGKSTGYLTDKESQKALTFCCEFIKQHVPATVMQAVLQLCARLTKAHALAAQFFENGSLASLLNLPRSCMFPGFETLASAIVRHLIEDPQTLQSAMELEIRQSLSNRGSRTPRSFLTNMAPLISRDPVIFMRAVTSVCQLDSSGGRINVVLLKDKEKDREKNKVASTESGVPCNEPVRLTADKSADAPNRYSRNHKKVPASLSQVIDQLLEIIMSYPSPNKEQGLHGSSLAPMDVDEPNTKGKTKVDDGQEVDVNALSERSALLSKLAFVLKLMSEILLMFVHAVGIILRRDSEISQSQSCGQGTGHGGLLHHIFHLLLPLSSCKTADVSDDLFGKLSERASCFLVALCCRSAEGRRRVISEIVKAFCSFIDSASSTSRGSLVPDKKVLAFSELVNSILSRNSQSNLPVLGGSSDIAKPMIDGGMVQSLSGLLKVIDLDHPDAPKVVNLILKALDSLTRTAYASDQVLKSERYNKNRLPGSHEQTHEADETVIREQHRDTGHHQTDDTILSTSQQAQELSHFDGSENTNQDQPVEQERRADLADDSSGGNHQMNDVEFMHEETMEGNVMGASNDVGLAFPVQHQVDDEMGEDEDLGEEGEDEEDEDEDDEEIADEGAGLMSIADTDIEDQENTAIGDDYNDDLMDEEDDDFLENRVIEVRWRESLTGMDHQLRFSRGRADSNGFIDISSESFQGVGTDDPFHMHRPFGLERRRQSGGRSFLDRPRSDGNAFLHPLLVRPAQSREGSGSAWPSGGTSSRDFHTLSFGNPDIPLYMLDAGFPPDTAPAVFGERAVSTAPPPLIDFSLGMDSLQIRRGPGENLWTDDGQPQAGNHAAAVAQALEDQFVSQLTVANNSNNAPQMQLGDDLNPQLPSSDTNKAETVAASSPTQPVGSHQQICTVNQEPAPSNDDPCTTNVQEPVDAVHDNHVEELAQQTAIADPNTVQSDGIIYISDGQLDGRPARDSLSGNQSSDHIMHNDIEVPQQVQLSNDFREAPSDLESSCQALLTSASAAPELSDAHVDSATINADVEMNSVAIAENEVENSAPGSGGNNLSSRRDEEALQEPSQTEQLNANNEASSANEIDPTFLEALPEDLRAEVLASQQNRSAPTASYAPPAAEEIDPEFLAALPPDIQAEVLAQQRAQRIAHSQSVAQPVDMDNASIIATFPPDLREEVLLTSSEAVLSALPSALLAEAQMLRDRELSRYRARGSLFGGSYIIGGRRLPADNQTVIDRAVGVTVGRRVISAASGNSKVKDVEGTPLLDSDSLQALIRLLQLAPPLSKGLLQRLLFNLCAHSVTRITLVGHLLNMIKPETVGVSKSECMPTYRLHGCQSNIVYAQPHCMNGLPPLVTRRLLEILTYLSSSHPSVADMLVHFNPSSCSNCLTSKENPALDIQPSLESYTPILLFLKLLNKPLFLRSRVYLEQLMCLLEVVVNNAASKVDYPPQPAQIANNSDVELVNGAPSEAQAEPSTLEQLHIQDNNQNRDVQVPVSDARQDAKVHDILTQLPDVELQNLCNILALEGYTSFFGPGGYVRSPFKLQIFLRFSPVLQYTYLQFLFVETTSVPDKVYSLAAEVVKKLASVAASHRKFFSIELAGVAQRLSSSAIEELVTLKNTQMLGLNTCSMAGAAILRVLQVLSTLTRDVIDTGHEQDAGQEEQSVLWDLNVGLEPLWQQLSDCISATEAKLVHNSTFTSPAPLVDALEVGASSSTSPPLPPGTQHLLPFIESFFVLCEKIHTNQAAVQSDNNVTATEVKEFSASSSSPSQKTGGICNVTFMRVAEKHRRLLNVFIRQNPSLLEKSLSMMLKVPRLIDFDNKRAYFRSCIRQQHDQHLSAPLRISVRRAYVLEDSYNQLRLRRSQDLKGRLTVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTIGNNATFQPNPNSVYQTEHLSYFKFVGRVLVLWVSALVYPISFGLLYFAVILIAEYCIYVFISEAELFAQVAKAVFDGQLLDVHFTRSFYKHILGAKVTYHDIEAIDPDYYKNLKWMLENDVSDIPDLTFSMDPDEEKHILYEKTEVTDYELKPGGRNIRVTEETKQEYVDLVAEHILTTAIRPQINAFLEGFTELVPRELISLFHDKELELLISGLPEIDLNDLKANAEYIGYSAASPVIQWFWEVVKAFSKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPDRLPSAHTCFNQLDLPEYTSKEQLEERLLLAIHEASE >Et_7B_053796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12262154:12263642:-1 gene:Et_7B_053796 transcript:Et_7B_053796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYHHPYSLDSQKVRIALEEKGIDYTSYHVNPLTGKNMSVEFFRTNPSAKLPVFQNGSHVIFRAIDIIQYLDRLAVHLSGEIAHENTEVHQWMRKVDAWNPKMFTLTHTPVKYRTFVSKFIRRVLIARMAEAPDLASMYHVKLREAYEAEDKAKDPDIMKQSEEELSKLLDDVEAQLNKTKYIAGDEFTPADSMFVPILARITLLDLDEEYISCRPRILEYYAMVKQRPSYKAAIGKYFNGWKKYRTLFKTSFFLCVRTLFRKQAEDLILHVLFIGNTG >Et_3A_025776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32791097:32801116:-1 gene:Et_3A_025776 transcript:Et_3A_025776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGRVELRRIEDKASRQVRFSKRRSGLFKKAFELALLCDAEVALLVFSPAGKLYEYSSTSIESTYDRYQQFAGAGRNVNDAGRSNDNQDEASDLQSRLREITTWSAQNNAEETDASELEKLERLLTNALRDTKTKKLRFVKDAAVTGEGKINNRT >Et_4B_039327.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:167979:168626:-1 gene:Et_4B_039327 transcript:Et_4B_039327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELLLVQCMDMVHAWAGSGALESGWDAAAAVVDEEAEFDGQVEVDAQHVGPERGAEAGGGLEVGEALDEGAAGRLGRLPQGDVQQPVEHLGANTKLQRVDRATTSSADADDASSSSSSTSSAADDASSSSSSSAADDASSSSSSSAADDASSSSSSSSAASSSTSAACSSSSSASASASASARTGAAGAVGEAEAEQVQSQERGHHRNLLRHDC >Et_2B_021086.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26329778:26339501:-1 gene:Et_2B_021086 transcript:Et_2B_021086.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVCEGKDYSFPAQELWVLDLWKKLDAFQEQLRRTEGGEEFVFYDGPPFATGLPHYGHILAGTIKDVVTRFRSMLGYHVARRFGWDCHGLPVEFEIDKALGITNRQQVLDLGIAKYNETCRSIVTKYVSEWEAVITRSGRWIDFKNDYKTMDISFMESIWWVFAQLWEKNLVYKGFKVMPYSTGCKTALSNFEAAMDYRTVPDPAVMVSFPIVGDPDNAALVAWTTTPWTLPSNLALCVNANLVYAKVKDKSSGAVYVVAESRLSQLPVKAKASGKKQQSSKGSNTEAVPDGLDKESYDLLAKSPGSSLVGLKYTPLFDFFLDFQDTAFRVIADNYVTDDSGTGVVHCAPAFGEDDHRVCLAAGIIEATRLVVAVDDDGCFTEKVSEFKGRHVKEADKDIISADKGRLVSKGSIEHSYPFCWRSGTPLIYRAVPSWFVKVEKIKDQLLECNKETYWVPDYVKEKRFHNWLEGARDWAISRSRFWGTPLPLWISQDGEEIVVMDSIEKLEKLSGVKVTDLHRHYVDDITIPSRRGPEYGVLKRVEDVFDCWFESGSMPYAYIHYPFENRELFEKNFPGNFVAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKKNYPSPTELLDEYGADALRLYLINSPVVRAESLRFKRSGVYGVVKDVFLPWYNAYRFLVQNAKRLEVEGLAVFSPIDQAALLKSSNVLDHWINSATESLVSFVSQEMDAYRLYTVVPYLVKYIDNLTNIYVRFNRKRLKGRTGEEDCRISLSTLYHALVTTCVTMAPFTPFFTEVLYQNLRKVSSNPEDSIHFCKFPSTTGERDERVEQSVNRMMTIIDLARNIRERHNKALKTPLKEMVVVHPDNEFLEDITGKLKEYVMEEMNVKTVTPCNDPLIYASLRAEPNFSVLGKRLGKDMGKVSSEVKKMTQEQILAFEKSGEVSFFGHCLKLDDIKVVRQFKRPENVSEKEIDAAGDGDVLVILDLRADQSLIEAGVAREVVNRIQKLRKTAQLEPTDPVDVYYKSLDDNKDTLQEILKSQDQYIRNSMGSSLVPKEMASPDVVVICEESHSVNDMSFVIYIARSSPVLSSDILPYASGSSDHVEALRVYLMSRSISRLKAEFQAGNGMITVDCIEGYPPISLQLGKHVFLSAGDFYLARQS >Et_4A_035295.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:1857983:1859557:-1 gene:Et_4A_035295 transcript:Et_4A_035295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEPMHVLSALDGAKTQWYHFTAIIVSGMGFFTDAYDLFCISLVTKLIGRIYYTVDGSPNPGSLPPNVSAAVNGVAFVGTLSGQLFFGWLGDKVGRKSVYGMTLLMMILCSVASGLSFGHSPTCVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILAGGAVAIGVTALFKHKFPAPPYAVDPAASTPPEADLVWRVILMFGAVPAALTFYWRMKMPETARYTALVAKNAERAAADMSKVLQVEIAKEQAEIASQSQCKQQSSFGLFSYEFVRRHGVHLLGTTSTWLLLDIAYYSQNLFQKDIFSAIGWIPAARTMSALDELFHIVRAQTLIALCGTVPGYWFTVAFIDVFGRFKIQLVGFLMMTAFMLGLAFPYEHWKDASNHTWFVVMYGFTFFFANFGPNATTFIVPAEIYPARLRATCHGISAASGKVGAIIGSFGFLYLAQSEDPAKTAPGYRPGIGVRNSLFALATCSFMGFMLTFLVPEPKGKSLEEMSRETEDAKP >Et_9A_063167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13257310:13262811:1 gene:Et_9A_063167 transcript:Et_9A_063167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKDKVVMIEDVSSLLRATARFVPRSLSFKLWSFGMGENRVVELPCFDQTTSIKLELPFTLAPPPTGKFTALQSLVLAPFVIDLSSLLPMCSYLRSLRLEGSLAFDVVAIHSSSLEELTVSTMNDEGIYHIDVMAPLLKEAEFCVLTRERVSMSFSAPMVEKVSWQLGYDLSHGVPKLCLEIWSLDYCWQDDLDNGIMEEIELLPFSQFSILELELESNGHAIGQFILDLLQFRPVRTLIADLYGNTAMQLRSGRQARHLGLDRISSLPDDLLLDILNRLGSTHEAAHTSVLGCRWRGLWTQLPELTFGNDVPLLSLEGLLTQVTRPALNLLSINACSDDEVCPIYFSSILRAAERLAPKHLIVNLIEIYDTIELPCLDRTATLDLHLPEISLTPPPADEFTALQSLSLNTWSIELCSLLPMCPSLRDLSLLCWNSDVVMKLIS >Et_2B_021294.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28308364:28313888:-1 gene:Et_2B_021294 transcript:Et_2B_021294.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLLVAVCCTVWACVVRPHCAEAKARHFKWEVSNMFWSPDCEEKVLIGINGQFPGPTIRARAGDTIHVELKNALHTEGVVIHWHGIRQIGTPWADGTAAISQCAINPEETFTYRFVVDKPGTYFYHGHYGMQRAAGLYGSLIVDVAEGEEEPFKYDGELNLLLSDWYHESIHTQMVALSSKPFRWIGEPQSLLINGRGQFNCSLAAAHTSGAKQCGAVNRQCAPVVLPVQPNKTYRLRVASTTSLASLNLAVGNHKLTVVEADGNYVDPFVVDDIDIYSGDSYSVLLTTDQDPSSNYWVSVGVRGRQPKTAPALAVLNYRPNRASKLPALAPPVTPAWNDYAHSKNFTYRIRARAGTLPPPATADRRIELLNTQNRMDGHIKWSINNVSMVLPATPYLGSLKLGLKSTLTAARPAETFGRAYDVTRPPANPNTTVGDNVYVLRHNTTVDVVLQNANALAHNVSEVHPWHLHGHDFWVLGYGDGAYRGDAADMARLNLRDPPLRNTAVIFPYGWTVLRFVADNPGVWAFHCHIEPHLHMGMGVIFAEAVDRVGKVPKEAVSCGATANALMAGAHLTSC >Et_8B_059485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18382164:18384875:-1 gene:Et_8B_059485 transcript:Et_8B_059485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAAAEATKQHTGKAVGLAARDASGHLAPLTITRRSTGDDDVAIKILYCGICHSDLHSIKNEWKNTTYPIVPGHEIAGVVTEVGKNVTKFKAGDRVGVGCMVNSCRSCDRCAEGFENHCPGVIFTYNSVDPIDGTVTYGGYSSAVVVNQRFVVRFPDAVPLDRGAPLLCAGITVYSPMKYHGLNVPGKHVGVLGLGGLGHVAVKFAKAFGMKVTVISSSPGKREEALERLGADAFVVSKNADEMKAAVATLDGIINTVSANIPLAPLMGLLKPNGKMIMVGLPEKPLEIPPFDLIMWNKTLAGSCIGGMRDTQEMLDLAAKHGVTADIEVVGADYVNTAMERLAKADVRYRFVIDIGNTLKDTTTASA >Et_10A_002090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22029112:22031098:1 gene:Et_10A_002090 transcript:Et_10A_002090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMAGVEIIAGKKYSEKLWAWKLMKMPPSDRGRRSGRRRRRPSMTARHALHTPSAMAWSHGARRRRMPATKSSGRNSIVIDPAPRASRRIGMWTAMWGMMAGEELRDVSAGFECLLPNAPWKRNARSHVFKVAIALMTKDDKPSSICRMKIDGIEPKVMLHFMYTDSITA >Et_1A_006280.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:225458:230110:-1 gene:Et_1A_006280 transcript:Et_1A_006280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLEAALAAARPFLRGEEEQVDPALPQLAAVLRDAGAGECWHKHGTFLAHLMDTYRILRLWGMTDAVARCGLYHSSYSNSYVNLAIFDPGTGRDRVRAIIGAPAERLVHLFCIVPRQQLIHDDLLFHYTDAELVADLRSSEESLRGGDLQSSSPPGWRRKIQRLLPADGIRVKHIRTGEDVVLSRRVAAAFLLMTMADFSDQLFDWQDRLFDNADGRLDFRGNTWTSLWPGTGKPGLWLSSISRMGALYNLIAREEKIHHTREDDEDIELVIPPVFDGCTKVLDADDQKAARDLYWEAICSDDNNQKDAELLLRESVVKNPFVGEPHLVLAQVLLNAGRYADAQAQAEQGLKLLLQWGSSWDKRMPWEAWVSWGRAMLIKAQQNDWPHTSFGIISLGLLLNHSFRDEFEKLDPKLPSFLSILRSAGAGERHHKNGAFLAHLLNFHRIIQLWGAPRDITRCGLFHSAYANSYVNVSVFDSNATREHVQSLIGAPVERLVFLFCGVPGHKLIHEQLHFQYTDAELTDHLAASDVSIKTARETGTFDVSEPWRKKLCSLLSPKGIEVRHFKTGEPISLSRRIMALFILMTIIDICDQYIDYQDKLYDNENGRLEFRGDSWTALWPGSCKPGLWMNATSRLAVLYNLILREEELYVLERSKLGEPVRLDRDEEIELVIPPIFNNCTKVLDPMEQIAARDLYREAICSDDWKDRDSQRVENILLESVKKNPFVGEPHLVLAQVYLNMGKYEEAKKEAEEGLKLLLEWGISWDKRMTWEACVSWGRVMLDKAKENEWPQNILRLWGMTDAVARCGLFHSSYSNSYVNLAIFHPDTGRDFVLITIADFSDQDKLFQNDDGRLEFRGDNWAALWPGLWVSAMSRLAALYKLMATDDQILGAGAAGGSDDHAMVIAGDLLIIPPVFDGCTKVLDADDQKAARDLYWEAIYSDDHHKPNKKKDAAMVEQLLRESIVKNPNPFVGEQVRRRPGAMSWEGWVSWARVMRDKAKARQWPRSKWGIINLGLVHGASSSTPTHHEDPRRQPRPLTSLLMIDQCFPSIRPL >Et_8A_056600.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13149803:13158632:-1 gene:Et_8A_056600 transcript:Et_8A_056600.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKEDDSSVATPKGKYWGIFICWLLGNGCLFGFNSMLTTLDYYMYLFPNNHPARIIFLTYQPFVLGTTAMFTYYEAKVNTRRRVLVGYMLFFLSSLAVILLDVATSGRGGIAPFVGTCIIAASFGVANGHVQGGMSGDLSLMCPEFIQSFYAGIAASGVLTSALRFITKAALENSRDGLRKGAMLFSAISCFFGLLCVLSIVNFYRSQAASDGSLTVTADLAAAGIKSNPNLVSLESPTCVERFSTKELLLQNKDYAVDMFLLYVLTCGIIPGFLAEDTGSHSMGSWYGIVLIACTFTWDLIASYIPLIEQLKLTSRKWIIIAVVSRFLLIPAFYYTVKHGDQGWMILLTSFLGLSHGYLSVTVMTEAPKGYKGPEKNALGNLLVFSLLGGIFFGAILDWLWLIATSGRGGIAPFAGTCVIAASFGVANGHVQGGMSGDLSLMCPEFIQSLESPTCVDRLSTKESLLQNKDYAVDMFLIYLLTFAILPGFLAEDTGSHSMGSWDQNKMLWETFLRFPFWEASSLAPFLIGCGSLVKGGEL >Et_1B_014112.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:31148048:31148314:-1 gene:Et_1B_014112 transcript:Et_1B_014112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSGLVFEPHDGAAAAVGGGDVVFCVAILCLSVLSMIIVAASAWGDGEEKPRLRRRRSNGPVFVGGKGCGCGACRAGDGVCGTYLS >Et_5B_044315.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22546381:22552266:-1 gene:Et_5B_044315 transcript:Et_5B_044315.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYQKNGDLESGATSAGPGVLYPGMQESPEMRWALIRKIYVILSIQLLLTAAVAAVVVKVRAIPHFFTTTNAGLGLYIFLIILPFIVLCPLYFYHEKHPVNLILLGLFTVAISFAVGMTCAFTSGKVILLSAILTTVVVFSLTAYTFWAARRGQDFAFLGPFLFASLIVLIVFAFIQILFPLGKISHMIYGALASLIFSGYIVYDTDNIIKRYTYDQYVWAAVSLYLDAMAMGKGNHHLYDVEAGGFPPAGAGAGAGPCPYMIESPQLRWAFIRKVYVIVAMQMLTTVAVAAAVYFVPAIRRFFFARTPAALAAFVLIIISPIIVMLPMLFLRKRHPINMFLLALFTVCMSFSVGLGCLSAKGIIIIEAASLTFVVVFGLTLYTFWAAKRGHDFSFLGPFLVAATLILMLYGLVQFLLPMGKVATTVYGCVAALVFSGFIIYDTDNLIKRHSYDEYVTAAISLYLDIINIFMSIVTCLSSSDS >Et_1A_004567.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:18460907:18461251:1 gene:Et_1A_004567 transcript:Et_1A_004567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFTASTALLATMSLVLLASVHGCEPNCSSPAPPPPPTSTITTCRYSTLELNVCVSLALITPTSQCCTLLHDLTDLEASVCACLCVNGLTVTADALLNKCGRSCPANFTCPI >Et_4B_036280.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:14702683:14702748:1 gene:Et_4B_036280 transcript:Et_4B_036280.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRTRSFRSALLMLVRAAKK >Et_4B_038413.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28859085:28859717:-1 gene:Et_4B_038413 transcript:Et_4B_038413.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVEKQGSGSLDPDERPAAGEPKACTECHTTKTPLWRGGPCGPMSLCNACGIRYRKKRREALGLDANKSGADQQQQQQRKKTKREKEREDEGEVTVELRTVGFGKEVVLKQRRRMRRRRRLGEEERAAILLMALSSSVVYA >Et_3B_027980.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:29568810:29568974:-1 gene:Et_3B_027980 transcript:Et_3B_027980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVVPDAPGARRPRGRAQEQAEGSRGRRDRTGRQRRGGARRRQQEGARVRQVR >Et_1A_004538.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:14121087:14122473:1 gene:Et_1A_004538 transcript:Et_1A_004538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVDDDRRVMMKKLRVEVDSSSSDGSDWEEDDAREAPPPGSWSHRPVTATAGIGSSNRRRLLTKQLSMKETTREIKWEKRRRQILRRSSMVSVAGAGHLNGEGKSPVLDDEHHQVRSSSARARCLTDEDLDELRGSFELGFGFDEETGGANLRDTLPALDFYFAVNRQLSEPELKTLAASPTSTLSAVSSSSTLVPDSPIPRSPNASSTADAWKIFSPGKLCTPTLIEPTISSLSNQLYLVTHYLCR >Et_2B_021538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30458876:30461277:1 gene:Et_2B_021538 transcript:Et_2B_021538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEARKADESSSSWSSRRREEIACFAWLALHFCKQRHARPLPPPTATATTISFFPPEICRSIPLPLFSSTRIAMGISHPLSDEYDALRGAVLSPERTPPSSPPPSCCAAAHDYLEHQVSRMDTLAGIAIKYGVEISDIKRANGLVSDSQMFGLKTLLIPLPGRPIPSSVRLNGSGQRTKRAWAPNHQQSRDGFGLPDSPKAGRQETSPAMSTLQRYYGLTSQRGNSMDCSTEMSVYHKGSFQSMVSEKLLDSSAAPGTHSTDGSWDCDDPVNGFSATNGAIGANKPKQDVSMRRRQKVEADRLSNTADAQGDFLADPIKAIKSLLPRPISSIRLNMDTSSTDSSTQKSNISFLNGLRSVRKSPSTPNLADAENGTSMWSSSTWTFNHESFTRPLLDGLPKPVSTRRTKAAMD >Et_5B_044312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22536145:22545324:1 gene:Et_5B_044312 transcript:Et_5B_044312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVPPSEWVPHVEAYVDVSQPAAQHSASVDALAALVNKDKLTLFDLVSKMEMYLTTTDHIVRSRGILLLGEVLCQMSCKWLDVNTIATLSDFFISRLADWHAIRGGLVGCLALLQRKQSVGRTLIADVKRLVESFLENVQVQSLAAADRKLCFEILSCILDQYPEAVKTMDGDVLLYGILEAVDEEKDPECLKLSFHVVDVVMKLFPDPSGLAEKSASDVFEILSKYFPVYFTHGEGDELDATRDELSRTLMHAFCSTPYFEPFVIPLLLDKLSSSLPLAKLESLKYLDNCIRCYGVDRMVRHASAIWFKLKEVIFSLSPAQLLLTSGSPKDAEKNKNQIVSEALNCLKTAVVYISSSDKDLFINLILLDKDIVNNIRSMSSAEKSILTSSEDLIHLHALGSVISILAESSTYFCTRVLQEHFTHLVDILGASAGCESQQLNTCSGSSFTSINFGALYLSVQMLSSCREVALASNEDYSSVKSEKESWWLILEKKMVQLIHLLGSFVTIASQSAESILRQDYVSCAVKGLLILATFPEHCSPLPENAYEDVLSMLLSVITHKYENANLWRLSLETLTSIGSSIIELHASQKELIYNRTVVDKIVSLVESCDTSMPLNLRLEASYVVGTAGLKYMIRVARSLEANERTDCAEHVAHILECYSNRVLPWMLTSGGANELPLSFAMCLWDEIKDFATLDRISSQGLLDSLMTGMKLLVGACTGEQQLLILQKAYGIVSSMLSLPLKATTHRNLDVDELVPSHSVHGTALVGMLSSVIIGLRPQTHTPDMMVMIDIFTAFLLKGQMPAAYALASIFNKYLHNPEFSHVKQLDKILEDILERGDEKMKYVSMFLLKCLCSDETSASVLSHQGESYGNNSSYATLAASAADAFHVMMSDSEVCLNKKFHARIKPLYKQRFFSILMPILLSKIKESTGMKTKLALYRAFGHIISNTPVQAVISEAHQILLVMVDSLAKLSLDIHDKDLVYSLLLVLSGMLMDEKGKEHILDNIHITISVLTQLISYPHMMVVRETALQCLVAISTFPHSRIYPMRLQVLQTAVKALDDSKRAVRHEAVRCRQAWCVNFAGHKTSS >Et_10B_003092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16393568:16394425:-1 gene:Et_10B_003092 transcript:Et_10B_003092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIIAATFPANGVEGSLTLSTRPPQVTQHNFPSTNISFRGSKTKPSPPHSLLPTRNDEQYTHSPRTHQQEDMAGVNSSVVGLKPAAAVPQSAAASPVKRVQVAPEGRRAALLGLAAVFAVTATTGAAKAGVIDEYLEKSKANKELNDKKRLATSGANFARAYTVEFGSCQFPYNFTGCQDLAKQKKVPFISDDLEIECEGKEKYKCGSNVFWKW >Et_3B_030942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9094717:9099425:-1 gene:Et_3B_030942 transcript:Et_3B_030942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHQSPGFIVNGNGGEAIKNKLVYRIPEHLVLLPDIVNLVRDPHVPGHLIAVGVLVHLLLLVLPSRVVEYGHLHLELVPQHGPHSHGVIVALDAEAAGQPNHGHAPAHFRRRAEERAPRLGEHHGHLDDPVVVPGADPLDAALEPAHELDGVGHDELGAVVAHPDPGALHGQAVAVLAGHDGVVELRRDGVVLADVEERHGGRAEEPLVEVARVEVGADGAHVDARDLAGGVRGVDEHLVDPFLAADGDELRHGDEHAGHGGDVVDDGESDASPAAVPGPGQRGAERGDDGGGGGDGEREGHLDDGRAGAGHVRVDRLLDGAVGVVQHQHRVAARERALREAPRHVLHHDGRRRGDVVHERDLGGVHAADGVRDGGAGAGEPGLEVVEVEGVRLREEQALPPRPRGEDGGRAAPEAAVVEAGHGAVVVRELGAELGRRDRRRAGAGLRGGRRGVVRVGAGRSRRCHRGSSSLE >Et_8A_056442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10046009:10052322:1 gene:Et_8A_056442 transcript:Et_8A_056442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSSSLVEEEEDRRRRDVATALGGGRWSGGEESSLERARLSEGGPHTGPQWVGLHRVKRREWPDGPTFPFVCCLGQPMPPATNWTNPCVVYFGTSCLHGFKGFSKIQNRCLRITTKKPFGNAPTGRLRSPARLRHHSHRRVFQKLPEPKPPPRRRLLEGSKRRRGDLGGGCGGHGGPVLTAERDGAPLRRIRERVGANLEIPMQIREGLRRPAPASGALRSPMSAMMLAMFATMASFYVAGRLWQDAQNRVYLIKELDRRTGQGQSAISVDDTLKVVACRQQGKRLASLEMELAAAKHEGFVGKYSSETNTTDSKTKPLIVIGIMTSFGRKNYRDAVRKSWLPTGSMLKKLEEEKGIVVRFIVGRSANRGDTFDREIDDENRSTKDFLILDDHTESDEELPTKTKSFFANAAETFDAAFYAKVNDDIYINVDTLSAMLKTHWDKPRVYVGCMKSGEVFSESTHKWYEPDWWKFGDGKSYFRHASGEMFVISRAIAQFISINRSVLRTYAHDDVSVGSWMIGLAVKHENEAKLCCSSWPSGLKPPFCDNHISV >Et_1B_012194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29841514:29857363:1 gene:Et_1B_012194 transcript:Et_1B_012194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKIAATALVLLLVTIGVEAELCSSRSRTYIGRCSHNMNCAAVCVTEGDTGGYCKGTFITECMCTFECGGDTPPSGGGGKLPPGGGGVSTPPGGGRVLPPPGAGQVPPSGGVLTPPGGAIMPPPVGVLLPATGCRVMPPSVAGGVFPAPGASQIPPGGGCGTGAEAMLCSGRSRTFKGWCTHSTNCAAVCMTEDYDSGHCEGVVIRKCMCTKNCKDKPSGGKKGKPAPGGGGVKPPPGGGGVKPPPSGGGAKAPPSPRIEMSARALRGGKIASTTTALLLILLALGAEAELCKERSRRFEGECYHSMDCAAVCVTEDYTSGFCEGMWPGRKCMCTKDEGPPGAGDGLATGPCATGRVDDGQGPKGRRPRAEADICKEHSKTFKGWCHHDMNCAAVCVTEGCGGGFCQGAIPRECMCTKECEPAGGGGGGGGGGGDDPPPGTGGPPAELMARARRAGGHV >Et_1B_012044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28423793:28432384:1 gene:Et_1B_012044 transcript:Et_1B_012044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEALLSATATTEKHGGGEEEGLVVREVKKQLYLAGPLVVGCLLQNAVQMISLMFVGHLGELALSSASMATSFAGVTGFSLLAGMACSLDTLCGQAFGAGQHHQLGVYKQRAMLVLSLVSVAVAAVWTCTGEILAWCGQDPEIAAGAGSYIRRLIPALFVYGALQCHVRFLQTQNLVVPVMMSSGATALFHPAVCWLMVRRLGLGANGAALANTVSYLCNLSILAAYVRLSPSCKRSWTGFSSEAFRGVPDFLKLAVPSALMVCMEWWSFELLVLLSGLLPNPKLETAVLSIGLNTSSLAFMTPLGLGAAISASVATSFAGVTGFSLMAGMSSSLETLCGQAYGAGQHHMLGVYKQRAMLVLALVSVAVAAVWTCTGEILVWCGQDPEIAAGAGSYIRRLIPALFVYGALQCRVRFLQTQNLVVPVMMSSGATAMCHPAVCWLLVRRLGLGANGAALANAVTYLAYLSILAVYVRLSPSCKRSWTGFSGEALRGIPDFLKLAVPSALMVCMEWWSFELLVLLSGLLPNPKLETAVLSICLNTSSFAFMTPLGLGGAISTRVSNELGAGRPQAARLATRVVMLLALTAGVSEGLVIVLVRNLWGHAYSDEEEVTRYIARICPYLQCPFCLTANSIVRGCGRQKTGAFINLAAFYLVGIPMASVFAFVYHLRGMEALLVADDTRAGKKDGAVGDKEEESLVVHEVKKQLYLAGPLIAGFLLQNVTQMVSVMFVGHLGELALSGASVATSFAGVTGFSLLAGMACSLDTLCGQAYGAGQHHMLGVYKQRAMLVLALVSVPVAAVWFYTGEILRWCGQDPEISAGAGIYIRRLIPALFVYGALQCHGRFLQTQNLVFPTMLSSGATALLHPAVCWLMVHRLGLGANAAALANTVSYLVNLSILAVYVRLSPSCARSWTGFSREAFRGVADFLRLAVPSAVMVCMKWWSFELLVLLSGLLPNPKLETAVLCICLNTSSMAFMVPLGLSAAISTRVSNELGAGRPDAARLATRVVLLLALTVGASEGLLMVLVRNFWGYAYSNEEEVARYIAKMMPVLAVSILLDCQQGVLSGVVRGCGRQKMGAYINLAAYYLVGNPTAFLFAFVCHIGGMGLWFGILSGLLVQVLLLLSITLCTNWNKEALMAKDRVFSSALPEDTNT >Et_5A_042067.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:5964553:5973142:-1 gene:Et_5A_042067 transcript:Et_5A_042067.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSADKFYGDAQARIGGKTENFSVPPIEGVAGGGTSYGWVDGGLRGSSLGSSAIDPTKVHSEDLLHVWSMPSTANVSPQEAPRPLDKVNLLAARNERESFQIALRPKVSWATSGTAGSVQVQCTDLCSSAGDRLVVGQSITLRRVVPILGVPDALVPIDPLSPQISLQPGETTAVWVSLNVPSGQPPGLYEGEIFITAVKAEADSRTEALQKSERYRLYRELRSCLDITEPRDYSSSEEMVQRLASASTSLRKMLDIPAFQECQESNGLGDMMDEDVMNNVSVRLKLSLTVWDFTLPVTPSLPAVFGISETVIEDRFCLEHGTEEWYDALDRHFRWLLQYRISPFFCRWGDSMRILAYTCPWPADHPKANDYYADPRLAAYAVPYAPILSCTDAAKNSLRREVEILKTKPHWSKAYFYLWDEPLNVEQYDTICSISNELRSYAHDVRILTTYYCGPSGAELAPSTFEAFVKVPKFLRPHTQIFCTSEWVLGTREDLVKDIVSQLRPDLGEEWWTYVCLGPSDPQPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAMIPSAEDIEYLKLYSSRYGREEGLALLERTGVYLAPDRYTLDHGPVDVMRGEVYRTCRS >Et_3B_030798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7527449:7535209:1 gene:Et_3B_030798 transcript:Et_3B_030798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNVWEADKMLDSYIYDYLLKRNLQNTAKAFEAEANVSSAPVSIDAPGGFLFEWWSVFWDIFIARTNDKHSDVAASYLESIKARERTQLPQQQMQMQQLLQKRAQQQHHQNMQQQLLHHQQQEHLQQHRRQQKQQQHGENAQFSTSDRDILLAADSPGIQNSAPADSLSSKIYEERMKVPAQREALDEAANKQTFTESSGQLLDSNLASMLKSASVSTQASGQIVHGPVSGISGSLQQAQARNQRLQGSMQDTKAETNVALNLRSMGDGSIIGVGVSGSNQAGSNLTLKGWPLTGVEHLRSGFLQHKSFMQSPQQLQHLQFLTLQQQQLLLQTQQSMTGSHGDMDNRRVRMLYGTRNLVPGRDSQSNSFAEIIPSSGPSLQNIGSPMRCLESDMLMKKIAALQQHQQTSNQQQLHHPLLTPLAQNLNDHTGEQEKMAAVTVAVHGNLSNSFHRNEQVLKNRSGQKRKQRNTPSGPADSSGTANTTGPSPSSSPSTPSAHSPEDTVSTPSLHHNASLSKALVVYGADAPGSIGSPTSQLVHMVDDDCLKDNMFLPHDEVDAIDAGGHCINSDKGSILREISSVRASTSSVLCCHFSSDGKLLATGGHDKKVVLWDTETLKQKSTLEEHSALISDVRFSPRIPRIATSSFDKTIRVWDADKPDYSVRTFTGHFASVMSLDFHPIKDDLICSCDGNNEMRFWSINDGRVVQIVEGGSSQLRFQPHFGGYLATASDNVVSVLDVETQACVRRFEGQAKHVDALCWDPSGECVVSVSEDAVNIWSLKMGNEERPVHELKCTGSKFSSCAFHPTYPSLLIIGENRTMTISAHDGLVTSLASSSGMVASTGHDKYVKLWGSPVPIAACSNR >Et_10A_001195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22001949:22003520:1 gene:Et_10A_001195 transcript:Et_10A_001195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGPSWSLRPAGLVLLFSSLLLNVLFLAHHLLAPSRLGDDGGGHHQLSWALQAAVEAEAAAATDCSGHGRVYLDGVPGEDGRPACDCNRCFVGPDCSRRTPNCTADAESADQMFMEPYWMRHAADSAVVVSGWHRMSYFATDDGAYQSAELERHIRMLHKAVGNAVVDDKHVVFGTGSMNLLNALVHALSSTPDGSDATAPAASVVATAPYYPSYRTQTVMFNGRDYKWAGTTANASSSSTPRTNKTSFVEFVTSPNNPDFLLRKQVLSSNSKVIADHVYYWPHFTPIPAPADEDVMLFSASKLSGHAGSRFGWALIRDAAVADRVKSYLEESSMGDSRDTQLRVLRVLKLVLANLHDRHGDKDDDMFAFANGVMAARWRRLDAVLARGRGVLSVRKIPPRYCTYFKRVRDPAPAFAWVKCEREQDHDCYEALLKAGIVTQSGVDSEDSARYTRVSLVKTQDDFDVLLERLTDFVTTDEKHYSAPSSTSASF >Et_4A_032648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12166336:12169128:-1 gene:Et_4A_032648 transcript:Et_4A_032648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARAIGSLPVANVQELAEGFNRSDDQVPARYLVKEASTDDELVAGDDSSLAIPVIDLAKLLDPLSSEAECAKLGSACHDWGFFQLINHGVPGEVAGNLMNDVAEFLKQPLEAKKACSQQPGSLEGYGQAFVMSEEQKLDWGDMLYLQVQPAASRDLRFWPTHPASFRCSVDVYSSEITAKLSCRLLEFMAKGVGAEPASLLGVFEGQPVGMRVNYYPPCRQADRVLGLSPHTDGFAVNDDVQGLQINKDGRWFAVNVLDGAFVVNVGDALEVLSNGKFKSVEHRAVIHPNKKRISVAMFHFPCQDLVMGPLPEFVAEGERVRYKSTSYQDFLTQYFAAKLDGRSHVERLKLE >Et_8A_057644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5630649:5631146:-1 gene:Et_8A_057644 transcript:Et_8A_057644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLPSATPWHPVGTSAGTHSANPMTTNQRPWNSTAADRTTATAKLLGATALAAAAAAHAATASCISHPAWSAEWNCSRKSSCPNTDAASAATTSANASRRGGDGERRSAASATDAYTARWRYMVACSRRSGWRDSARKGKSTSWLAMASTEKESSR >Et_1B_013616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:40995:43330:-1 gene:Et_1B_013616 transcript:Et_1B_013616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAATPTPSFPSTRGKPLPSLVSLRARAFTPAKVAASDPAPAFKSVTAFAPATVANLGPGFDFLGCAVADASLSLGDTVTATIDPSLPPATVSIASVTSPARPHLADRLSKDPLRNCAGVAAIAALRALGVRSHAVSIRLAKGLPLGSGLGSSAASAAAAAKAVDALFGSRLHRDDLVLAGLESEKAVSGFHADNIAPAILGGFVLVRSYDPFHLVPLASPPALRLHFVLVTPDFEAPTSKMRAALPKQVDVQHHVRNSSQAAALVAAVLQGDAALIGSAMSSDAIVEPTRAPLIPGMAAVKAAALQAGALGCTISGAGPTAVAVIEGDEKGDEVARRMVDAFWTAGNLKATATVAQLDRLGARVGELKPSCDARNPSI >Et_1B_011173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18302871:18306630:-1 gene:Et_1B_011173 transcript:Et_1B_011173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRPLPLPHFTLPPLAGEDHLFVAALRSHLSASPRPAAASLSRFLPGLSPLRLSHLFLLAGPALAHGVPHDLLAALLPSPPPPLPFAILLHSLPPRRCRELLATALPSVSPQAFPDLLNHVLLTARIAAGWRPAAAAAVPALDVLFSVCARDKKLSRATLAFRAMRSHGLLPAVQSCNVFISAALQLRRPEIAVSFFREMRRCRISPNIYTANMVMRAHCDLGQLADAVQVLDEMSDWGVGRTVASFNTLIAAYCRDIGGMQPALRLKEKMEREGLMPNEVTYNTIVHGLCKEGRMRQAYRMVNEMRVKRVTPNTVTYNTLIYGYVMQGDNDSATRVHQEMMKAGVGVDMVTYNALILAHCNQGKTKKAGHLVQELCSAKLEPNASTFSALITGQCRKQNSERALDLLNAMKKGGFHPNYDIYKSVVSTFCKNKDFEGAVDVMRDMLERCIPSDKDLLHEFFKGLSEAKKLHLAEHIRSAVNGARLIPDVYYTAEYMNKDNEQKPHVNMRSGLVFGISALSFTIILVAAVVIYKCCNTATVKKGDRDKGKISVNYKETICKVEGAMRHGLAHVLTGVQILKIKT >Et_3A_024220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18547517:18551135:1 gene:Et_3A_024220 transcript:Et_3A_024220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGATISAPLSCRGMPRGAVGGGGGKAGRAEAERWRRAGRRSGGGTVRCVATEKHDEKAGVAVGVEFADEEDYRKGGGGELLYVQMQATKPMESQSKIASKLLPISDEILDLIIIGCGPAGLSLASESAKKGLNVGLIGPDLPFTNNYGVWEDEFKDLGLESCIEHVWKDTVVYLDGNKPILIGRSYGRVHRDLLHEELLRRCCDAGVTYLNSKVDKIIESPDGHRVVCCERGREIFCRLAIVASGAASGRLLEYEVGGPPVCVQTAYGVEVEVENNPYDPGLMVFMDYRDCFKEKFSHSEQENPTFLYAMPMSSTRVFFEETCLASKDAMPFDLLKKRLMYRLDEMGIRILKVYEEEWSYIPVGGSLPNTDQKNLAFGAAASMVHPATGYSVVRSLSEAPKYASVISDILRNQTSAQYLPGGSPNYSPSMLAWRTLWPQERKRQRSFFLFGLALIIQLNNEGIQTFFDAFFRVPKWMWRGFLGSTLSSTDLILFSFYMFAIAPNKLRMNLVRHLLSDPTGSAMIKTYMTL >Et_4B_036146.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:28457862:28458146:1 gene:Et_4B_036146 transcript:Et_4B_036146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VPPCTPPAEIVSAKDRRELTDSATDYQFSLSHIHKMFLSEMNIRVELDGQCRIDITKGEQDAAAIQPKSCPNTSKSDKKARVIQLSKIHENEAR >Et_7A_050909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12253611:12261961:-1 gene:Et_7A_050909 transcript:Et_7A_050909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFTLKALKALALARLEASHLGHNVVGTEHFLLGLICVGTGIPARVLKSNQVRIGDVRQEVKRIKGRAIGIINANLKAIKEDPIQVENITGGLDSGFEDVEIPLTPGARRIIRMLGESNEVVDAGVSEGSDDQKMSTLDEYGTNLTKLAEEVFLLSPLCCSIAYVTSKDKRNSRHLVGQGKLDPVVSRHDQIERVIQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRITNGDVPESFEGKKVISLDVGVLVAGTKNHGDFEERLKKLMGETKQNNGIILFIDEVHTLMGSGASNGAIDAANILKPALARGELQCMGATTLDEYRKHIEKNPALERRFQPVKVPEPTVDETIQILRGIRERYELHHKLRYTNDALIAAAQLSYQYISDRFLPDKAIDLIDEAGSRVMLRYAQQLPDEAKELDKELRQITKKKNEAVRGQDFKKAGEFREREMELKAQITAIIDTRKKMKVQTKSLEVGPLVTKADIQHIVSSWTGIPLEKVSSDESDRLLKMEETLHRRIVGQDEAVKAICRAIRRVRVGLKNPNRPIGSFIFSGPTGVGKSELAKALAASYFGSEDAMIRLDMSEFMERHAVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNLMLQIFEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEMAGCESRFFLDYEEKDSYNRIKSLVTEELKQYFLPEFLNRLDEMIVFRQLTKLEVKEIAEIMLKEAIDRLKAKEINLQVTERFRDKVVDEGYNPSYGARPLRRAITRLLEDILAEKMLAGEVNEGDSAIVDVDSEGKVAILHGRIRNLESCILHLKAT >Et_4B_036035.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:15170049:15171542:-1 gene:Et_4B_036035 transcript:Et_4B_036035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYEFGRQAHARIVVTKSEIDSILGCALIDMYCKCGDLDSARYVHDRLKRVDDFSLSSLIYGYAARGKWDEALCLFDKVENLSVVLWNSFISGCVSACHGDGAFVLFVRMMRSNVLPDYSTYASILSVCGFLSMLKPGQQVHGCALKSGVVSDLVAASALIDFYSKCGLWEDACRAFSELRFHDTIVLNSMITVYSNCGQIDEARRVFGMITSKSVISWNYMIVGFSQNGHALDAMELFCEMHRLGLQLDKVSVASALSASSSICSISFGEQIFSLATALGLQSDQVVASSLIDLYCKCGNLANGYRIFDGIAKPDEVLWNSMLLGYASNGYGHEALELLKLMQNRGIKPSERTFVAVLSACCHSGLVEEGLRWFHRMQEDFGVSPSAEHYSCVIDLLVRAGRLDEAVDFIENMPFKADTLSWTSVVGGCKAHGNEALLQKVARKAINMELSPHSSLYVQLSSMLAAQGDWVKSAEIRGMMHERRISKNPGYSWVDC >Et_8A_057529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:450865:452773:-1 gene:Et_8A_057529 transcript:Et_8A_057529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVTSLRSLAMAMADASLPPAHKHLPAVSLPLLSSRAAPLLLRASRRLPLAPFVASSDAVEAGVEWTESGDEEEAGEVFDEEAGEEEGEVVASGEEDAEGEGEYAAVEPPEEAKIYVGNLPYDVDSEGLAQLFDQAGVVEVAEVIYNRETGQSRGFGFVTMSTVEEADKAIEMFNRYDISGRFLNVNRASPRGARAERPPRQYASAFRAYVGNLPWQADDSRLTQLFSEHGEVVNATVVYDRETGRSRGFGFVTMASKEELEDAISALDGQEMDGRPLRVNVAAERPPRGF >Et_7B_053657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10887734:10891208:1 gene:Et_7B_053657 transcript:Et_7B_053657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLARGLPLRFHTSQKKQLFRSVLCASSRVFVLSLDGPDGEFTHHAPYRIIHLVFEVSDERFEEEAARNGGIIKHGREILFQAYNWESHKHNWWNNLEDKVPDLAKSGFTSAWLPPPTQSLSPEGYLPQNLYCLDSCYGSLDELKALLQKMNDHNVRAIADVVINHRIGTTKGSNGMYNRYDGIPISWDEHAVTSCSGGMGNKATGDNFDGVPNIDHSQSFVRKDIIEWLIWLRKTVGFQDFRFDFTKGYAGKFVKEYIEESKPLFAVGEYWDSCEYSSPASHLNYNQNNHRQRIINWIDSTGGLCAAFDFTTKGILQEAVKGELWRLRDPEGKPPGVMGWWPSRAVTFIENHDTGSTQGHWPFPSDHLMEGYAYILTHPGIPTVFYDHFYDQGVSLHDEIAKLMEIRKQQDIHSRSAVKILEARSDLYSAIIDDKLCMKIGDGSWCPSDPQWRLAASGDRYAVWNK >Et_10B_002895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13088759:13093942:-1 gene:Et_10B_002895 transcript:Et_10B_002895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIIGPLVGKLQELAISEARAMVAVNDDVRSLRDKLMWMQAFLRDADPRRRVAPDEASRVWRQQTRDAAFDAEDAVDQYFLLVDLSRYPSWTRTIIRFFTGFTTQISVRRELSSKIEVINLRLENIIQNKDKYKQNEESTDKMVVPWRASTTISVTPTKLDNLIHPPLVSREDKHKELDSALRDSTACPKVISLTGPSGVGKSTLVREVCESLAIKNHFDKHALATFPSYFSASDILKQIIKVLTEDDFTLSKNEVYKKLDQELKNKKYLLVIDGEVSTKKWKRILAALPYEEGSRIVRMSKERPAQPPGNYNNLVIHLDRLDKDGTTELFQKRVCREESSPKYNEVVQGGVQKDYQQDIFDATEGLPLAIVLLSGLLRTKEFPVEWKAVFDHLKYNQSKRLDSILSLCFDDLPYDLKSCFLYFAALPTNMLIEAQDLVCMWMAEGFLRSKEGMTMEKVGYRYLKELIARHLINIEPMHENSPEEELVYIQSKVHAFLQIEAQEVNFVEIHSSDDIPALSTARRLSLQNRMDKYAALTNHMPKLRSILSNFEKKEKSMEDESSDEVESDMQQSNSTCLQCTQHGTKRREEDFKSYIRQLLQGSKFLRVINLRGLEVGDKLPNEIGDVMNLQYLAVTSCSLREIPPLVGRLTGLQTLDVRDTAVEKLPESFWKIKSLRHVFGHRLILPKHVGVLKNLQTLDTVKLDNYGWDRNTLAKLVNLRSLFIWELSIDHVKALSTALRKLRHLVTLTIHGDGIPSSVFTSSSLRRLEILELDGMLDMPSEPEDIKSCLPNLLLLSLEKTKVSQDFINKLAELPFLAGLTLDLGSYEDEQLVFSGSGFHSLKRLTINLVGLKILEIHESTLPRLVDLDILQYSDDLEIRIIGKFNIVDKLLGEDQNLHKKIKRAPHSKQEGIE >Et_7A_051811.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2568586:2572700:-1 gene:Et_7A_051811 transcript:Et_7A_051811.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASAQLLLTTATQTIKFGACIAYATPNAVHAKTATVAETTGTKNIRDATATDQLISCCRTLDRIPLTMQGRRNTVEHFTDVFGLDIRSSSGNPVMDQQAYWNNVLGSVESQNLHGYQMNHSDATITYGNDAQQDGTFRGFWESGEASSSGSALNYGGSNNVKTDHLNINGGLRIGERRPVADTNLSLDVDINLNGNVNELCGQSSSVNRASQGLGHYGGCDRNGTNPQPTDLRLHPYGTFLFGSEETDSFGSLNPNENPLGDFSLMPEGIAPRSGSSLDGRRLACKRKNIEGANGQSSAGASTSVSHRNDFSFPTIASSSYTAAPIRNSSSPSCLLIPSSIEDQPPPYVTDAGLAPGSYDPSAGISNAGNSQRSFRPRTTTAQQITPSGVWPSSNTIRFSNSWNLQPPHMPCTFDESQEVIPVVNSLNLHQHPLNVPGVPQAANHFTGHRASSSRAGSLENRILGSEEVTRRHVVPTSFSDLLPPASVEMRRLLQEPSNWSSDARGTAVSGNVPLVSRANTNSTANAPVGFTQQNLQRRHRNLSEEIGRLSGALHAHQSPRLRSGYLLEREGEGVWGAPLSMRSREGRRLMEIRNALQMIQRGENVRLESIFYGGIDIQDRHRDMRLDIDNMSYEELLALEERIGNVNTGLGEEAVAKLLKQRKFSSWRLKASSDPEPCCICQEEYVDGDDLGRLDCGHDFHAGCIKQWLVMKNLCPICKNTALKT >Et_2A_018204.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:1864195:1865592:-1 gene:Et_2A_018204 transcript:Et_2A_018204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKKAKILAGVAAAVLAVTELSLFLCFRLSRPFFLSTAVILSVVLAGTVAALLCHALKSPRGRAELMARRPALDDEAVEVRVEYSFFRKVAGLPSRFSLASLASATDEFRYVVGRGSSGTVFRGILDDGTAVAVKRIEASEHVEKEFRAEVAAIGSVQHVGLARLLGFCLVPHGGPRFLVYEFMEHGSLDKWIFPPASSSSGNGRWLSWAQRWRVATDVAKALAYLHHDCRAKVVHLDVKPENILLDDGFRGVLSDFGLSTLMGKEQSRVVTTVRGTTGYLAPEWLLGAGVTEKSDVYSFGMVLLEMLGGRRNLQAEEDPDPAGSTQRRWSYFPKIVADKAREGRVMEVVDRRLVASGEAPADEERVRRMAHVALWCAQEKPSARPDMARVLEMLEARAGGGEVELPPPSEMILVDVLALDPAQVHHVGPFGLPMLPAGSGRTAASSAMSVSESFAMSYLSGR >Et_2B_022934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8705638:8710502:1 gene:Et_2B_022934 transcript:Et_2B_022934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPASHLPLRRFIRRSSIAAARRYHSSPSVSSSSSDDESPLAAELFPAAGAPTLLSVARSLAIASPSPSAASVLAFLHRLPHDASPHIFPHLVAALARSASPLLALRLFLSPPTAAVTTHHSFNSALLRFPLPPHLLPAFFAHSLRRFPGLAPTLLSFNLLLKCVCSSLAPRNPGLYLAIALRILHDVIPARNLAPDKFTYSTVVSALSDAGRVEDAVALVHEMVVDGVVAAEAFNPVLKMMLRTGDVTGAVKLFRFMQLKGCTLTAATYNVLLHGLLLCGEARAATSVMRRMEREGIEPGLMTYGAVVDGLVKCGRVEDAWKVAEEMGSKGLSPSEFVYSAVISGYCKSGEVDKALKVWEAMLACRIRPNIVLYSAMIDGLASSGRMADAEMLFWEMIDAKCMPNIMTFSSMIRGYFCIGDPSRALSIWEEMVKAGCSPNAISYSILIDGLCNVGRLKDAMMVWKHMLARGCAPDTIAYTSMIRGLCMSGMVDGALRLFYDMLAKRDAKPDAISYNVLLDGLIQSKELARAMDLLNQMLDQRCDPDTVTCNIFLREIGVAEEKGREFLEGLVMRLCNRERRSSLPSVSEMDFLYNIDLDRRKQSKGVQASKHAVSPAVA >Et_8B_059354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1718864:1721155:-1 gene:Et_8B_059354 transcript:Et_8B_059354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GVNMSESALKDLNISHSADLETGKDSSEKSCINKPVSNGNECVNKKENAPPACPDAGTNGSETGNIDVEYIDSENLIDLPDVDATLSTLVKRLDSKDWVMTCEALNNVRQLAKYHKERLQELLEPLVPLIVKSIKNPRSAVCKTALMTCADIFKAYGDLMADSIDLLLVQLFLKASQDKRFVCEAAETALISMTSWISPLLLLPRMQPYLKNRNPRIRAKASVCFSKSVPRLDVEGIKEYGMDKLIQVAATQLSDQLPESREAARKLALELQVFYEKSQVSTSGENDDTLSDSRNAESWEGFCQSKLSALSAQAILRVTSTPKEGVTAGVTSTPKEGVTAGVTSGPEEANMRFIPEPGNY >Et_2A_018707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5569446:5571973:1 gene:Et_2A_018707 transcript:Et_2A_018707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAETVRRPFPAAIGVEEVSVSRQLAPVFLATDMDRPVDSMIWCDEERMKRELVAWAKAVASMAADGMCTSSPSSSARTRGYLNSSIEPSDNHSTIEDKQVGGSDEHSAPPTAKSAVEYAKPEYGGTL >Et_1B_010180.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:28257519:28257725:1 gene:Et_1B_010180 transcript:Et_1B_010180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDSGACIPNLFS >Et_9B_065619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8088663:8100064:1 gene:Et_9B_065619 transcript:Et_9B_065619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADGSTDLDMADGRTATGDKEPLFPSPALASASTLASLRSDPGANEAENPAPVAAMPEDGADEYMVGSDADHPGADGDEEDSVVREIDVYYTPKTCDDHTKLYVMEYPLRPSWRPLKLHETCKEIRLKPLTSEVEVDLHIDTQSENYDQEATAPLRLRKQTLSSSKLADVADYAVGLIKGNSGNEGLKDSERAEKVEFAFATSYAWAVITVLHCAYSVWHIMHFDLLLFALCDYCMTARNHVKVILFCVLNICLYCKLQNVSVILFCFQPWTSLTYHQVGSDVASSYIDRMVSNESGPIEFTMTNLYARAMISLPLEERLRRWFTEVSEVNRFDALMHLAPNYSEGEILNLLPDYASLVQGLWVCKSTLLFNDTYASKRDTILLEFTKGEAVQARVIDRVIRIDDPKRKRILLPLCKRRRSLGDFKFISEADQSFLRRYPHVFTEQCSYWSSVKAAIEKPQRHSIPALKASTDSAQNSYKIHSVLSAVFADRKVCSMKSIVKDIKILAGHPTMFDAANYCYSMSEDELNVHLLLYAVSVHGVYVATQSGPSLRNLLIKIFRNKEPNATVTMQEIFCQAKADKIDITDFDKILYEICEDAGDNSVRLQSGKRRSDPTRTCLGRCGIIPAYRVLYIEHTIVVDFITHWGGLSMTLFVHCSSMNTVGCRFMTS >Et_5B_044568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3601905:3604996:1 gene:Et_5B_044568 transcript:Et_5B_044568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IRKTLSWYTARVRGQGRNPDRTRAVNGQESPRTHHSLSLPVSPVPRSIASPRRLRLRSAKRGEQAQLCARSPGEPTAMETPPPFQESAHCDVCNCTFSTFRRRHHCRSCGRTLCHEHSSYHMALPQYGIYTDVRVCHDCFNKSSSRGRADNAGSSGNISSATKSFSGLNLGNEDATSPTKNSLVQSAPAPIECKCGMPLCICEAPKPEPVPVKQNISVVSSSAPSNPRPKKPAPSQQKASTTSGSNSSSFFNLGLTSNESNNKGVSDYEVSGEGLREAIKSGHVNSVKKLLSQAALFNQTEIALVLMDHGANVQSKNGQGETPLDCAPAMLQYKMRRKMEELVASQPSE >Et_1B_010865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14894695:14896403:1 gene:Et_1B_010865 transcript:Et_1B_010865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVATIGKFFCFVNQSTVGIKEQFGKFEEVLNPGCHFVPWITGKRVTGQLTLRLRQLDVRCETKTKIICEIILVYVAHDNVFVTVVASIQYRAMEDKASDAYYKLSNPKAQIQSYVFDVIRASVPKLELDDAFEQKNEIAKAVEEELEKAMFAYGYEIVQTLIVDIEPDEKVKRAMNEINAAARLRVAANEKAEAEKIVQIKRAEGDAEDTYLSGLGIARQRQAIVDGLRDSVLGFSGNVPGTSAKDVMDLVLVTQYFDIMKEIGAASKSSAVFLPHGPGAVADIASQIRDGFLQASTQQA >Et_3A_026417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7840015:7842290:-1 gene:Et_3A_026417 transcript:Et_3A_026417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEITEGVQNLTVAGEAAASGGEGQRRGGGGNSNRIQVSNTKKPLFFYVNLAKRYMQQHGDVDLSALGMAISTVVTVAEILKNNGFAVEKKIRTSTVEINDESRGRPFQKAKIEIVLGKSEKFDELMAAAAEEKEAEDSEEQA >Et_7A_051690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2328739:2330331:-1 gene:Et_7A_051690 transcript:Et_7A_051690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSTATAAAPWADLLPELCGIVADRLDAMSVLTFPAVCAPWSTAYKQQAPRLRPGAPTMATSGMDADGHGCTLYDYESGVFALHDVATGKTFRAEAEGLKGRCWVGGKDDWHVTVDETCGVQLLNPVTGARARLPSFDTIPGVEVDGGGEFKVRVEREEYSQSLRRVALCRTPVHREGYLAVAMFHPNLLAFTRFGEDSWTALKNPAFPDGGVAWYADTVAHEGKVFAVSRTGGVYSWEMSGGETADPAVVRRPDPNYPMEERFNLAMSSGGGRILLIRMAGNRVSLGSRNRQVRRMIYDGNRYFHAYDIALHELDASDGTWRRVADIGGDHALFLGANYPFYVSVPRGSKELKGNCIYVADTSDSDAAVIDLEGGSECRFGRLIYPGENDIRVERQPTKALYTFAIVLHQLDDDTWRRVSDIGGHHPLFIGANYMFYVRKAYKS >Et_8A_056760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16413424:16422264:1 gene:Et_8A_056760 transcript:Et_8A_056760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGDKPPSLDDDIDIEMADAAALDAPATSSAAATRFAPKAKAGAGAGDGGGGGGPRAAAAAAGGLR >Et_4B_036037.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:15691510:15691821:1 gene:Et_4B_036037 transcript:Et_4B_036037.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIWVLEEYGNEQWILNHNVDITGMLQNLNWQFWRAYRVIAIHPERDVIFFQSGPDKKLCSYDLVSKEVHVICSLGHDCESPVLAYVPLFSELMGDGTKMVTY >Et_4B_037403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19428194:19432040:-1 gene:Et_4B_037403 transcript:Et_4B_037403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMELIAAVRNPFIVEYKDSWVDKGCYVCIVIGYCEGGDMAEAIKRVNGAYFSEEKLCQWLVQLLMALDYLHANHILHRDVKCSNIFLTRDQNIRLGDFGLAKILTPDDLASSVVGTPSHMCPELLADIPYGTKSDIWSLGCCMYEMAALKPAFKAFDMQALINKITKSIVSPLPSRYSGAFRGLVRSMLRRSPEHRPSAAELLKHPYLQPYVLQVHLKSSPSRSRIPVHQSPTEKRMTFPAESFSGSKGRRSSLGNERIVTFSKPSPVRNSLSSIPTIKDYTTTQSIKELSIDNSQVHEVSGKTVASRTSGIMKTPMITPFKNITTPDNRLVPPQASYDRSNHSEVSGTPVNRSSRSARRVSLPLPAFETPKRSISILEQLTSPDVSVNSPRIDRIAEFPLASSDEPLFSIGNSKASSARRSLSTTPPSINRSLTKDKCTVQTLRAADSDSRSDGSSGRNATAASSRGSSDSRQRRFDTTSYQQRAEALEGLLEFSAQLLQQERYEELGILLKPFGPEKVSPRETAIWLTKSFKEAGL >Et_7A_052947.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:3166779:3166970:1 gene:Et_7A_052947 transcript:Et_7A_052947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYTMGHGSGSATEHLKLTMTRLHGEHAPHACVQSGRLDPVARTSSCSIGEPPASTSPVVPC >Et_9B_064714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1783295:1790500:-1 gene:Et_9B_064714 transcript:Et_9B_064714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EYKARLNGASGASTRNIGDPYSYMKYPGSLNDERMPDAASEKEQGNEYFKQKKYAEAVDCYSRSIGLSPTAVAFANRAMAYIKLRRQEAEDDCTEALNLDDRYIKAYSRRITARKELGKLKEAMDDAEFAISLDPNNPELRKQYSEIKALHMEKAAQKASVSAKRAVSEFDKTADKKDRTSHPPTISRKACLDSLMDVDSPVRPAVEIRESADSRSKGGSGGKISESIMQPRYAKPKAGSKDSVQELASRAASLYMASTVKSVKTPKTAYDFEVSWRALSDDTAQQIQLLKSIPPTSLPEIFKNSLSAAFLIDIVKCAASIFRDDAALAVSILENLTKVPRFDLIIMCLSSMHKSEMRKIWDQLFLENASADQIEALRQLRGKYIQGGWQDNMLT >Et_7A_051346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17109233:17111478:-1 gene:Et_7A_051346 transcript:Et_7A_051346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVLPPLPDEVAEDIFVRLPVKSLAASRCVSPSWNGLISSLTFARRHREAALARAGVAPRFVSVPIDRDAHTHLRVASPQPSPCTGCPRVFSGAGGACHGAILVGRPCEGELFVCNPSTGGELRLPPRRPPWYFHSAGLGFDDAERHKAVLLERDADHPPPRGWVPRLQCSVFTIDGGQWRWRAPRGRRTPIIFHDAIVSANMDPVFADGRLHWMLVSREEENGLRAIQIGVLSFELGSESFRRLPLPPFTDENLPWPEYATMAEVDGRLCLLRDLRRPLRAVSVFEVWMLRDYNALSWSLHRRIDLTPHIGMELTRPWDGDFFVVCYACGQSSGESRKILLATTAAVQRAYLYQPDTEELRALVRRNDYGVQRHLRLVLYQESLFQVDGMKYGNKDIKFLFTKQGEERRISASRYVSLFKGFTATALQLLQIVLKAKVAMDPKPKPSMICFCVNSVAAAASVSIAPLTRRSGVVNPSLEANGELLDVKLLEMSVGWVRQMKLRTSP >Et_2A_014516.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:18466833:18467094:-1 gene:Et_2A_014516 transcript:Et_2A_014516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQVVRTLNYDKSPMCMLVIELKSLLASDFISGSLIARSRSCNYVAHALAA >Et_2B_022725.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:3788392:3789039:-1 gene:Et_2B_022725 transcript:Et_2B_022725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLEHPLEAVAFRLYSLPAEASAATGAAAWTCLAAVLAAAAAAGLWRLRSSTPAASTAALKPLELEPSLKKEVPPEATSSSARSSDSAASTTTALSPKERYTAYYRDSCRVGCCVVDDDEGDNEKEEADEQDEDDGGGVYQYQSVETTTHPLGWEVVRSLPLSPTTAAEVGRYRSPPALSSSVVRLWDEVADGGVAAVASPRRRSRLVGAVSAF >Et_6A_046205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:41642:52018:1 gene:Et_6A_046205 transcript:Et_6A_046205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPIAMREALTLTSLGIAPQFVTFTHVTMESEKYICVRETSPQNSVVIIDMAMPMQPLRRPITADSALMNPNARILALKAQIPGTTQDHLQIFNIEAKTKIKSHQMPEQVVFWKWITPKLVGDSEPAKMFDRTANLANNQIINYRCDPSEKWLVLIGIAPGAPERPQLVKGNMQLFSVEQQRSQALEAHAASFATFKVPGNENPSTLICFASKSTNAGQITSKLHVIELGAQPGKPSFSKKQADLFFPPDFQDDFPVAMQISQKYGLIFVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSTTGGFYAINRRGQVLHATVNDATVVPFVSGQLNNLELAVNLAKRANLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGLLRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAFLQTKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHNSAFSGNFNLQNLLILTAIKADPSRVMDYVNRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVDVLLDNIRSIERAEEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDATHFLDVIRAAEEANVYDDLVKYLLMVRQKAREPKVDGELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFSELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVCVKVANVELYYKAVHFYLQEHPDLINDMLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNEALNELYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRPDVALELAWMNNMMDFAFPYLLQFIREYSGKVDDLVKDKIESQKEEKAKEKEEKELVAQQNMYAQLLPLALPAPPMPGMGGPPPMGGMGMPPMGGMGMPPMGPGLMPSFGMPPMGSY >Et_3B_031144.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:1836827:1838350:1 gene:Et_3B_031144 transcript:Et_3B_031144.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQVAAPHHHQKPAPNRARSFAKLLRRKPRADAASPEEPEAPTSVPGDGFEEPRQPPPTVPSLSKLKLSGNLAAAYSLDAFFRNAAEKKAAAAAGAGGGGGARPVTEVPPDAAADALLANLFAGVSAVKAAYAQLQLAQFPYDAEAIQAADAAVVAELTRLSDTKRRYLRDPAAAAKGAAAAGHTPLAAHAEEQRHLLKTYQITARKLESELRSKEAEADRIRSSLTAELRAERALEARLHPGRTLASLDDLHLSGLNPTHFLTALRHTVKSIRSFSKSMLNSMQSAGWDLAAAAAAVHPGVPLRRSGDTKFVFESYVAMKMFANFHLRDFNFSFLDERDFYDRRRFFEEFTELKAAPASDFLDVRKTRWGGFGKFLRAKYLSLVHARMETAFFGRLEQRGIVSAGPGFPESSWFADFAEMARRVWLLHCLFYAFDGGAEGDGASIFQVRTGARFSEVYMESVNDGRADENVAEDQVVGFTVVPGFRVGRTLIQCRVYLSRPARRP >Et_9A_063446.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2524495:2528474:-1 gene:Et_9A_063446 transcript:Et_9A_063446.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYSFVLLYLITGTSSTAAHTPELAQDINKGNLKCLCHISGNIMLLRGLLILKPRSTYPFHVELALRLNHVIIE >Et_4A_033351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21862477:21865830:1 gene:Et_4A_033351 transcript:Et_4A_033351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEVAVMVACGGRAASASPPASAAAPCAACKLLRRRCAAGCVFAPYFPPAEPHKFANVHKVFGASNVSKLLQSLFLAMTETAAAAVADGARSGVHGAGGGRAATPCAACKMYRRRCPEDCVFAPYFTSPKAARDFADLHRVFGAGHVRKLLQEIPVQHRGDAVSSLVYEANARVRDPVYGCVAAISSLQQQVETLQAQLALAQAEMVRLNMNNAYMAHRLKAARGCGGGGSSTNTGSPSSMSSPKTEQPEAHCKATPELLDMVVDQPYSLDDSQLWSY >Et_4B_037135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15133020:15133661:1 gene:Et_4B_037135 transcript:Et_4B_037135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYLKQYEKEQMKMAMLRQEETFKQQVQELHRLYQVQKLLMTDAANTLPAMRLNLEDERRTAEKDAGSSKSWDAYSEQRKIAPVALQESELELTLALGCSGTTGKKETSSSVDSRTSNSTSSTESGSPDCRVLSPPSLIGSAVPARSSSHLGEHLEQEGLQQPPWLHKCLNLAR >Et_1A_008053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40463104:40468077:1 gene:Et_1A_008053 transcript:Et_1A_008053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEAMDGSTWEDMMRRILPPGTPIPEAPPNLDYSIALEYDGPPVPYELPRVDPVELPGIPTAEPVSGPQTLGNGGGPPVAPVVEPIRLPVSRIARCADPVSTQVGGSSESVDSVLQNEEFDDEDDSRSQSHGSAQSSPGPQNRAEMQEGRRAPVVTFGFTPDSKYNSKEFEEMSEQYVAVTKKEKRRRACYRCGKRKWESKETCLVCDARYCSYCVLRMMGSMPEGRKCVTCIGQPIDESKRSKLGKNSRTLSRLLSPLEVRQILKAEKECQANQLRPEQLIVNGCPLRPEELTELLSCTRPPQKLKPGKYWYDKESGLWGKEGEKPDRIISSNLNFSGKLQANASNGNTQVFMNGREITKIELKILKVANVQCPRDTHFWVYDDGRYEEEGQNNIKGKIWESALTRFACALFSLPVPPGNSNGTRDEAPYSARTVPDYLEQKRIQKLLLLGPPGAGTSTIFKQAKYLYGTRFTLDELEGIKLMIQSNMFKYLGILLEGRERFEEEALSRLCHTSSEVEETQQDETKANGSNSCVYSINARLKKFSDWLLDIIAMGDLDAFFPAATREYAPFVDEMWKDPAIQVTYKRKEELHFLPDVAEYFLSRAMEVSSNEYEPSEKDVIFAEGVTQGNGLAFIEFSLDDRSPMSEPYIDNPEAHSQPLTKYQLIRVSAKGMNDGCKWVEMFEDVRMVIFCIALSDYDQLAPPVNGSSRPLLNKMMQSKELFEATIRQPCFCDTPFVLVLNKYDLFEEKINRAPLSTCEWFNDFCPVRTHHNNQSLAHQAYYYIAMKFKDLYYAHTNRKLFVWQARARDRQTVDEAFKYIREVLKWEEEKDENYYQEESFYSTTEMSSSPFIRAE >Et_7A_052800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16748513:16750493:1 gene:Et_7A_052800 transcript:Et_7A_052800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKKTRLQNRHYGSLVCDPRLFPGTPAVPQRHDGAGIWLPEEYKQTPRSALATPQGSCVGSDDDAALFVAEHLLGIARFDFALGSPEIDHQLSRSVDPPLLRDDSVTTYYVPPRQQRDAAVVSPARKQLPLQQQDRLQALFESASTVKLHGGEPGTFSISPHVAGSTLPPTVEAPSVQNTTENPIPRSCNIGAPAKHTGKAPGQSAPSKTRMRVRWTEDLHKRFVDSVYRLGGADRATPKEILKLMNPDGVTIYQIKSHLQKYRLTKHMPSSSEGKKVKRAAGTDLQNLDPNTYGTQIKEALRLQLDVQKRLYEQIEIQRILQLRIEAQGRKLKKMLEDQLKSSGSMLEPW >Et_6A_046068.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21569186:21569356:1 gene:Et_6A_046068 transcript:Et_6A_046068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVICHLGILEMSKHQTRQHGRPLWSYWKTLEMQRRQQLVMESSPPSGMTCGMAPNV >Et_9A_061167.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19926295:19926807:-1 gene:Et_9A_061167 transcript:Et_9A_061167.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRHATKGNGHRGAAAAAGAGSSGKRKGKGGGGGGGGGKKPIKVVYIGNPMRVTTSAEGFRALVQELTGRHADPSKYSGGGGGSPAIDVDDYSSGGSLQGGSTEASSDVAADGGQVPVQAPGYDDDEECFMPQLIDNSYSVFSPPTFLYGHHGDLM >Et_8A_057132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21416580:21417590:-1 gene:Et_8A_057132 transcript:Et_8A_057132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQTTIRHCTPSTSSLGYHDPRPRLLRKRQPRWVQPFKVRAACVHNEPCWTKLAPDSICPAADWHVSYVDVEARLPRGRATKGTKRQVQPYKSWGLLSFVLRRSQRLDEWFLGRDQQVSTYGQESRL >Et_3B_030804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7647274:7649043:1 gene:Et_3B_030804 transcript:Et_3B_030804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRGGVWIRAAVAVAAGGAIAARAVRRKSVDSSAVFVGVPAMVAHTVAGYRFAGLLLVFFFTASRVTRVGEARKRALDPEFKEGGQRNWKQVLSNSGIASILVFLIALFTGGTDRCLDSRNSTVVTALIGGVIGHYACCNGDTWSSELGILSKAEPRIITTFKRVRKGTNGGVTIDGLLAAAAAGFSIGLAFVLIGFLTTQCAPDIFWRQLLVIPLATTAGLCGSLIDSFLGATVQYSGYCSVRKKVVGVDGPSVTRISGMNILDNNGVNVVSVFLTTLLTALACTYIF >Et_3A_024580.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22172141:22189896:-1 gene:Et_3A_024580 transcript:Et_3A_024580.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIDKPRKVTMSQWDAPHLSPEQVDYACIDAFLSYEIGRVLLSRQFSSSPYSLHSINHGAKRNLLIPRTKPIHHPAVLLRGEESNPPPTSASMEPTTYTTDVLMVDGTTINTTVTTSGAAVESFLREIGHNQWPLLVGFDTEWRIVVNTERYFHSNKTAVLQLCVGRRCLVFQICNADYAALRDFFASPDHRFAGFSVHHDVERMAEDYGMAVANPVELSKLAKEVLQRQDVGCFGLKDLTYDVMGVRINKPKKVAMSNWDAPSLVAGAGRLRLHRRVRVLRDCPDTALRTVRRPPCPPAMAAATYDTNVVMGDGAVIRTTVTSSYDDVHRFLMEFGAYNNLIVGLDAEWRPNFQPGGGENQIAVLQLCIGHRCLVYQIIHDVGISSILKSFLAHPGHSFVGVGVAKDAQKLLNEYGLRVANPVDPRFVAAQRLSRPDPMQAGLKAVARAVMGANIDKPQQVTLSAWDAQVLTAAQVKYATMDAYPMEPPISYTTDVFMVNGTTIQTTVTSSGAAVENFLHEIRGNSSWGSTPSGASSSTRITALCVGPRCLVFQIFHADYVPAAALRDFFACPDHRFAGVSVDCDAKRLAEDYGMEIASTVELKNLAAEVLRRPKLKEKGLKALTLEVMGVNIDKPKNVTMSQWDARRLSPEQVDYACIDAFTSYEVGRLLLSGQFAGDAAPAATIPSPSVPSAAVHAY >Et_1A_009332.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39254332:39257558:1 gene:Et_1A_009332 transcript:Et_1A_009332.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEDGAGDRFRSWYLSREEIERGSPSRRDGVCAAKEAELRSTYCRFIREVCFRLQLPQITTATAILLCHRFYLRQSHARNEWQTIATTCVFLASKIEDTQCTLKRVVIVAYELMYKKDSDAAKRIQQNEVLEKQKDLILVGETLLLSTIGFDFNIQHPYEPLKLALKSLEITQKEVSQFAVNLINETLPTPLALQFKPDYIAAGSFFYAAKFHNIKLSETREIWWQKFEVVPKQLQVVVQQMRELLNKRNPCSMGPAIKPISISTPMDKQQMGSTRSSAIKPIPIPTPMDKQQMKSIRSPAIKPIPTLTPTLMDREQMTSSQDLAPRHAQSSRRRISNHDTIASRCLHADSSSYNKSIRSSGRNEQNQSLRMHINNRNIAVDHRSEEQFSRETLKADHTYLVSTGPKDMNVTSIRDLTRQKRRIQELEVGGYPAGNDVSDNVAWMGKQVRSVIVVETDSSWKRQKVERGCASSAACIEMSLDDVHPNPIFVVHMDEDDYA >Et_4A_035867.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:7567072:7568841:-1 gene:Et_4A_035867 transcript:Et_4A_035867.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRLDKPLSSAMMKHRGSLPDCAGRHHHLVLLFLLLAFCAVGIAPASGAGGSWSLLQRSIGVSAMHMQLLHNDRVVIFDRTDFGPSNLSLPEGRCRRNPQDKALQVDCTAHSAEYDVASNAFRPLSVFTDTWCSSGTVAPDGTLVQTGGWNDGYRNARVMRACGGDDSECDWKETQDALAANRWYATNQILPDGRAFIIGGRRQFNYEFYPNYPGPSDTAVFQMPFLVQTRDPEENNLYPFVHLNIDGNLFIFAKNRAVLLDYKRNRVARTYPVLGSGGDPRNYPSSGSSVLLPLKPNPTEAEVLVCGGAPAGSYNSAKNNGTFVPALATCGRIRITDAAAPAWVVETMPSPRVMGDMILLPNGAEVAILNGAADGTAGWEYARTPAYAPVVYRPDHAPGDRFEAQTATGVARMYHSSAVLLRDGRVLVGGSNPHVYYNFSNVRFPTELSLQAFSPEYLDPANDAFRPTILDPAPASVGYGKTMTLQFAVPAAARRGGRRRGGGGGGGGLGVVSVTMVAPSFTTHSFAMNQRLLMLEVTGTAPLPNRAGAFSSTVTMPASAVLAPPGYYMVFVVNGHIPSEGVWVHIQ >Et_2A_016724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27601049:27615116:-1 gene:Et_2A_016724 transcript:Et_2A_016724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPFLSPLSPAGCSALPLPLDGLLSLPALCTLLLPPPPINTRLQIRHVHRKSNSRHKSHNSSSNTASKPSIQSRPADRKKMCGPIKKEMSCESSSPCSSASTSSDHNTVWTSPPKRPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTFDTAEAAARAHDAAMLAIAGAGACLNFADSAWLLTVPASYHSLDEVRHAVADAVEEFQRREALAEEDAGSAASSAPSSPATDGEDTTDSEESSLAAEDATFELDVFSDMSWDVYYASLAQGMLVEPPSLATAFVDDNAVDVHPQHQSSIKGIQIKTPGFRNRELILNKMCPLKEEMSVESSSPCSSTSSEHQTVWTSPPKKPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTFDTAEGAARAHDAAMLAIAGSGACLNFADSAWLLAVPASYHSLDDVRHAVADAVEEFQRREAHAEDDARSATSSTPSSPATDGEDTTDGEESYPSMEDSTFELDVFNDMSWDLYYASLAQGMLMEPPCLATAFGDDTSTHTQLNSSSTKGNQIIRGFRNRELIQNKMCPINPIKMEMSSDSSSPCSWTSSEHQTVWTSPPKKPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRIPGRRGCRLWLGTFDTAEAAARAHDAAMLAIAGAGACLNFADSAWLLTVPASYHSLDEVRHAVADAVEEFQRREALAEEDVRSATPSSSSANSSDEDATDGEESSPAAEDVTFELDVFNDMSWDLYYASLAQGMLVEPPCAITAFGDDGEANIADIPLASSSKQLTPLSPQHSTESPGDDTSEPAAAASAAMDMGLHEHSSSSSSSGSSSSENKAASWSSTSSPQPAKKRPAGRTKFRETRHPVFRGVRRRGAAGRWVCEVRVPGRRGCRLWLGTYVTAESAARAHDAAMLALGGRGAAEAAASLNFPDSAWLLAVPRLPAAADLVDVRRAAVEAVAEFQRREATSGAAGAVDEVTSGVSEPPSLPGISASCSPATAWAEDHVLPMKADEPVAMDGGMYEPDWFGDMDLDTYYASLAQALLLDPPPPAAAWEHGDCGDGGTDGALWITTNDHTQIPIYAFPPPSSAPTQLQAPPPQLEQSQHSPLLHSIGS >Et_8A_058265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3832421:3835021:-1 gene:Et_8A_058265 transcript:Et_8A_058265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFAPLVAPAAKRQTAPRTRTVLLRMDISSTSGPLVSLPRKSLGGWCARSQVTLNPGRWQPSVVPNGYCTSMAPHLLSPPAKAMFAADDDDNVMAAVASCVSSRGTMRKLCTVLRCCYSCPLKLIWDIMAPSCLQKQGHGENLGAGARARQLTLEEILYPPPSWEMEAVGSTPARRNSPKVCPMNLNFSDDDEGHSPAVDEQSVSISRASMRSQSSVSRRVSFRSPDESDIFIIPARSSDSDDDVSSDGGD >Et_1B_009909.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:10498616:10498765:1 gene:Et_1B_009909 transcript:Et_1B_009909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPTRHPAGTGAGAKVHPRVRVRVPYFTRDIFLHGQTFASPDPNPTRCHP >Et_7B_053618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10375316:10379574:1 gene:Et_7B_053618 transcript:Et_7B_053618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDDKSKIKKEIDGSLGKLKFAPKVPPKKAAKVVPKTEPIEDSKDDIVDKELLMKLKTSQITDPFARKIKTEDKPKIRTQVAFGQGNSSYARSFPTPRSESAAKLPKEYSDPWDYDNTDYPVTLPLRRPYSGDPEILDEEEFGESSGSRARDTELTAAEQLGLMDQSDTPQLLFFQMPSSLPITLQPQSVAERDKGLDDNAEPANTITKERRQPHSVHGMKLKDLPGGFMGKMLVYKSGKVKMKIGDTVYDVSGGSNCMFVQEVAAMNIREKHCCTLGEVSKRAVVTPDIDYLLGSVDKMNEYFRGCNLTRGFWARWV >Et_7A_050432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14946322:14947147:-1 gene:Et_7A_050432 transcript:Et_7A_050432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSMAAAKELGVPYVQFWTASAVRFLCFRLLTSRGGLAPRKDVKQLTSGSLDTPVEDDVLRNIRIPSFIHAAMDPNDYMVRHVLQETGRTARASALIINTFDELEGEALAAMVALGLAPKVFALGPLPLVARELEDAPTPTPRSSISLSLWKEEREEALAWLAGREPGSVVYVNFGSVAVMSREQLLELVRRDAVASLVAEVMDGERGKEMRRKAREWRDKALRAAEPRGPSHRSFDELLRNVLLPKK >Et_4B_036637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1058951:1060130:1 gene:Et_4B_036637 transcript:Et_4B_036637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGGGVDRHHKADGVIVMHSGAGKVPKSKTSFFLYTLLPVLVLYVVALAVSPFYSHPCPPERSAVVGRLGAAADGEKQNINGSISSSTALSTVSPPVVARPKRKHLAVEEPAGVHQGVVASACFKVFVWLDKPVEELYSRNGSAGLPGIKISGDTSRFPYTHVLGLLGAHPVAPLVTLHHLDFLQPVFPTVRSRTAALRRLFEGPWRSSRVCYDGDKEWTVSVSWGFAVVVIRGGDGDAHADVSQLYRRADYTAYAFNTRPVARQPCQKPQVYYMRQSRMDARRNTTVTEYESDTGCRTRPLCSIASSCSRSQTPTYGNGYEHARVNSVMCHALLMFLKKKNTRIMQSPRRNCCRVLSSPAKGKNRTMTIDVGVCRDGEFARV >Et_10B_003013.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15360433:15362188:1 gene:Et_10B_003013 transcript:Et_10B_003013.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVLTRHCVQLLSQLRRSKSDKDVLLELKDFLEGHNHINGGVYQGWSESDASPCLWDGVRCDSAGHVYSLDLSNSNISGPSFGNFSQLTRLIHLDLSANSISGKLHPDFKKCRSLHYLNISNNLIGGNLDMSTLTNLRTLDVSQNRFEQRIDVNFPAICGNLTVFIVASNNLTGRIDGLFDSCSKLRVLDISWNSFTGTVWRGIAMLKQLKINNNITGRVSSSIFTTGCKLQSLNFATNFLHGRFPSSIANCTGLKFPSVWNNNFQGPIPAGIGSIAGLEELVLASNRFDDKIPLELKNCTSLKYLDLCDNNFVGDIQPIFGKLKNLRNLLLQENKYTGGIISSGILQLPQLSMLDLGYNKLSGELPIEISRMTNLKVLILAVIFSGSIPMEYGYKYWTCHTTAFLVGFHHKYGNLTSLLLLMLARNQLSGRIPKEIGNCTSLLWLNIVANKLSGNIPPEMANIGRNPSATFMENRKNNDELEPITNKCQAVERWVPANYPEFNYVRSMMLSQKNCLTIWTRFAMGYEPLPMSSPLRTALGYVQLSGNLLSGEVPPAIGTMGNFSLLLLDDNRLSGHLP >Et_5B_044760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5543456:5544246:1 gene:Et_5B_044760 transcript:Et_5B_044760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEAAAAMRAPKRQQQSTHVGTSAVKEQAPAILAGALAVAAETMLCVVAVYQAVELKVLTINPSSQRHTSLQTLVYQIPW >Et_1B_011412.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21910591:21911135:1 gene:Et_1B_011412 transcript:Et_1B_011412.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPPPVLPINIKLLELALQFRQQRRLTLTQLIEATKGLFGARFGEVFKATLRDGSCVMVKTLGKIKHRNQSFRCTAKGDVYSLGVVLLELLTGRRPTDKEDFGDTNLVGWVKMKARDPELVAAAVDGEETEIARFLELALQCVDDFPVQAPQHAA >Et_2A_018831.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8621519:8626953:1 gene:Et_2A_018831 transcript:Et_2A_018831.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFKSLIALVVATTVVALAAVISAQNTAQDIVNMHNKARAAVGVGPVVWDPAVAQYAQAYASTRANDCLLQYSDGPYGENLLRAAAGQAWTVVDAVRWWAALKVYYHHDTNSCDSGKMDECTAYTNVVQRRTTRIGCGSVPSPREDQEPLKESQGSYH >Et_6B_049535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6167188:6168831:-1 gene:Et_6B_049535 transcript:Et_6B_049535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YRSMDEMKGANVSMEPEAEANPGFSVSRFVKVFMQGELVGRKINLTTHSSYASLSFTLKRLGNNFSMPSCELNGIVHNEEDGALDDNNFVIFYDNVAGDRFFFGEVPWEIFTISVRRIYIVRIQENGRHYNHSPYIQFPPIETKIKIIDYILFQKKKFSFYIVIPIFGKCYLVFSDEFMTSLDIADNGEEEEGESPDVPLDGYDAPDNDNGVVDDGDVAATASAEDEDAAGAGSFDDDDTAAAASGNDNGTAEE >Et_7A_052769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15831059:15832616:1 gene:Et_7A_052769 transcript:Et_7A_052769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYNTARFQCTVDGQMANRAKWVMKYEKGLVDILHENNVSHYRTPTGWRTDGWRKIVSDFNQKFPEAKFSKMQIQEHETQLKKDYKLIKSILQRDGVSWDQSSSMIKTTDEIWDEIIEENPKARKYQRASFPLLDSLELLFDGPIREGSASSIPQHVGNVDEGGNDMSTPPGMSARPFGMVNASTDDGWNNIGILQRTALEPQGIDDLDVMQNHTEEVLERPQHGVEPRPQRGDEPAQSSSCIEPQKDKRKKRKVPDIQQTMEAYLEFRMKQARMKEQRMKEHRVKEQQTRVADQFSISSCIKSLHDLPGVSDQVKVLASDVFKDPENREIFLSYEPKLRALWLRREVDRLLS >Et_3A_023312.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:19951812:19952171:-1 gene:Et_3A_023312 transcript:Et_3A_023312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGVGLQTPRGSGTSGHVQASKFLAKPRPSPSAASGGSTLHRPRHRRRDSEAEQGHPRARPEAAGGAAAARAEGRARGAGLHGGRDRGARRGGGQGHRGRGRGGGGRHVVSLGRRVWTM >Et_10A_000899.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18932113:18933271:1 gene:Et_10A_000899 transcript:Et_10A_000899.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFLATPVSNAFQCLFSSSTLQCSNYSKLLISWVLIGGCRITSDCLYMALFFFETWPVVVTRLFNDVFGIQARGGCACAGPYGHTLLKIENELSLSLSLSLSLSLSLSGSGLPYLSTSTNMTCSLQQYWYSRLKPGWTRLIHSATKPKIRNVVLTTILWNIWKCRSAKIFRHEDESNSSVVARCIEDLTYGQMDVANNLSIFIASYGHRFLPLYQFDWITSNWTFCKQAIKYHLMKELALDTGLDILIKNNLSKDEYKLEKRPGANQKFESYLESA >Et_1B_009905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10017873:10019471:1 gene:Et_1B_009905 transcript:Et_1B_009905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPAPPLSSLQSLSSQHFLPPGGGGGRPLRRGDLVIRMGGGPRTFPGGVSKWQWKRMQAKKARQLLKARLARERQLFEMRKRAELRDAVAHLERPWDAGSAAAVDAAPNLLSVAADDQLKALADRFHRPGGVDLWNDRDGPRVFESPATGASSARFFPKNAVHSLQPYALRGALGEAAAPGDGAQGARENAAEEEAYGNGDRVPAVEFTERDGTWEPLHGLGDGDDSIAADWSSDDDDDAVTYESEATGDVGSWRESQSVVSRSGRNAVVRLKTSDSMAVGRDNDRGWSGDSSFSDAGGARKGHLEQRWEQRSRGARNHAGRRWNALNTAVSSSTSDRDRAAAGSISDSEMIRRGSEPKWRTRNKYDTRNGAGRRNVPREDWIDDGFNSNSDNARGSKLEPKWGAQNGRGNFRGRMKPKYNGETNDGEAPRRHMRANNGDEHGSANNGFAEDLEVPTWKPRRMNRARSSNGGREDKLGGTFRKGDNGAARRLQENPRNTNKERGSDEL >Et_1A_004622.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:23569973:23571062:-1 gene:Et_1A_004622 transcript:Et_1A_004622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDDVLIDIFLRLPCQPTCIVRASLVCKHWHSLINDHQFLHCLLALNGIPVLGVYTNSTSIPRFLPIGDPPNRVPDVAFSLPDLQWHVLGCRNSRVLLVGSCWKELLVWNPMNGNKHFIPSPPDADPRFNYGCVPESNAALLCAAGHADNGCCLSCPFVIVWVFTSVRYAYATRYSSEEGRWEMMACTSMPSDVDCRPSILIRNILYWPLKSKYILAFEVGTRNLYHRVSTRNT >Et_1B_013697.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:1301323:1301859:1 gene:Et_1B_013697 transcript:Et_1B_013697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAATTRRPSGPVLSAAHYRSASPTRVKLAGGNSGRSVSVSSSSSSAGGARSRRSCMCSPTNHPGSFRCSLHKERKAPDAHKPTSPTSPPSPGSSSRSGPNNSRLGAAGRRMGSALVRIGAVEGGEWARRALAATVRPSPAAQQSQHRRRVGGLRPRPSRLSAVSMAGDRAGDNEQ >Et_5B_043803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16315767:16324972:1 gene:Et_5B_043803 transcript:Et_5B_043803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTGAAASSSSSSRGLGGVGVDRFYSPPHVRRQQQEERLKGQRPASPAAVVTPRAAAARQKPPPPTQTHPSAAETAAPSAKEAERRPEAPASKSSASSVAKAAAVVDAAPAAVDEAGNLERFLSSTTPSVPVQYLPKTSVRGWRSGDAMNSPPYFCLGDLWDSFKEWSFYGAGVPLVLNGSDSVIQYYVPYLSAIQLYADPSRLPARSRHPWEESDGESMDTSSEGSSETDVDHLRRSSVEAACRLEGGFQIDDCEMHSPSTRPIYEYLETDTPFSREPLTDKAMTIYGLPPDALLLISLQVSILASKFPDLKTFRSCDLLPTSWMSVAWYPIYRIPTGPTLKDLDACFLTFHYLSTPSKGKYNLIRVSIESLFLIKVSISLSLSLVHLITRCFPADTDLSTPACPSLGGTNCSMNTAGKLTLPVFGMASYKLRSSIWSSDRPEEEQLAASLTQMADDWLRHRQVYHPDFRGEGPQSVGRS >Et_8B_060752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6089410:6092768:-1 gene:Et_8B_060752 transcript:Et_8B_060752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFVGNLDYDTRHSELDRLFYRYGRIERIDMKSGFAFVYFEDERDGDDAIRALDGYPFGPGRRRLSVEWSRGDRAARRDGGKPVANTKPTKTLFVINFDPTCTREVDIERHFGLFGRIANIRIRRNFAFVQFETLEEATKALEGTHGTMLLDRLISVEYAFRDDGEQSDRYESPRRGGGGGYGRRGDSPYRRSPSPVYRSRPSPDYGRPTSPVYASYDRSRSPITRPAIKIPAFPQKSL >Et_10B_003135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1738433:1739460:1 gene:Et_10B_003135 transcript:Et_10B_003135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDHGLRSTALATVGHLHHHACNFANGKAKTSSAHVVAADCPAHDTLPGVRGAWTRAEVVRYGELAMAAFDGDEWSPYYGTCRHGLRRMVPGHGYVATAFIYATVDVLPEWVEPILNAEEWDDSAHWIGYVAVAGDDEAKCAGVRDVAVVWRGTSARVEWAMDVMTSLVPFGDKKAKVAKGFHGLYTDNNDKKLDEKDRPGSEFGRVSAQERVAGELSDERGPRDLGGALALLAALLAAAAHPGLPVRAVTFGGPRVGNRAFRDGLELDGGVAVLRVVVAGDPVPWLPAANLVALPLTLLPLGKHVHGLARRLAPSWAYLHAAVGQFGRSLHLHGVQT >Et_1A_006027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16874259:16879469:1 gene:Et_1A_006027 transcript:Et_1A_006027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDESREGEKAIFNSPAHIKLGKSAVRRRRSPDASPLRFVSSHLVLSSTMIDLPIANSSLIANQEAETMLPPKYQSDAKKRKKRKQQNLLNMSNTKLVTLSLFVLLSIGLTNAIRVTRYASSQGTGSGGGHGGGSVNGAGSGSGSGSGVGESGNNGAYASAGGGGSGGGWSQYGGSGFGGGSGTGSSLSQFSQGSSNGYGGSTSAGGRGNGGGGGQALGSEGSSGYGAGGGTGSGSSEAAGGAGEGYNPYMPTFANANASGNGGGTGNAHNGGSGGGEGSGSGEHINGMT >Et_1B_011838.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26427981:26429999:-1 gene:Et_1B_011838 transcript:Et_1B_011838.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMISIRTAQCRSDGRTVTASALLAAVVVVAAAMSAIGGASAGGCDPGPLAACLPALTKGDKPTAECCSNLQAQQGCLCQYAKDPDYSKYVNGPNARNTLTSCGMAIPTC >Et_1A_008415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7492933:7494876:1 gene:Et_1A_008415 transcript:Et_1A_008415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSSSSPVITDPVAISPPLLGGMSSNLMPFSVMSGGYSGPSMSVSVSRRQIEEVIVNGLLDAMKSSSPRKKLNLAFDQNNSPDDDPAYSAWMSKCPSALNSFKQIVANAQGRKIAVFLDYDGTLSPIVDDPDKAFMSPTMRAAVRNVAKYFPTAIVSGRSRKKVFDFVKLKELYYAGSHGMDIVTSVSNSEHGTEKRKEANFFQPAREFLPMIDEVTKSLLEVTSGIEGATVENNKFCVSVHYRNVAQKDWKTVAKLVKKVLEDFPRLKVTNGRMVLEVRPVIDWDKGKAVEFLLQSLGLNDTENVIPIYIGDDRTDEDAFKVAKVLRQRNCGYGILVSQVPKETDAFYSLRDPSEVMGFLNSLVRWKKHYLR >Et_4A_033392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22244527:22249973:1 gene:Et_4A_033392 transcript:Et_4A_033392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAELKEKHAAATASVNSLRERLRQKRERLLDTDVARYSKTQGRAAVSFNPTDLVCCRTLQGHSGKVYSLDWTPEKNWIVSASQDGRLIVWNALTSQKTHAIKLHCPWVMTCAFSPNGQSVACGGLDSACSIFNLNSQPDRDGNMPVSRILTGHKGYVSSCQYVPDQETRLITSSGDQTCVLWDVTTGQRISIFGGEFPSGHTADVLSVSINSSNSNMFVSGSCDATVRLWDIRIASRAVRTYHGHEGDINSVKFFPDGQRFGTGSDDGTCRLFDMRTGHQLQVYSWEQDRNDTELSTVTSIAFSISGRLLFAGYSNGDCYVWDTLLAEVVLNLGNLQNSHDGRISCLGLSSDGSALCTGSWDKNLKVALGLCPAPRRPQSP >Et_4B_036353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:19591109:19591373:1 gene:Et_4B_036353 transcript:Et_4B_036353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIVSLNVRKSVDVSTKRNVSKERRRNEDANKKNIASLMRHARPKEKECARELVVLGKQVLMH >Et_9B_065689.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9179396:9184274:-1 gene:Et_9B_065689 transcript:Et_9B_065689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTFPTSTPFFAHNGRRGRPAASVVGIDLGTTNSAVAAMEGGKPTIVTNAEGARTTPSVVAYTKTGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMNEVDEESKQVSYRVIRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAADFKNSEGIDLLKDKQALQRLTEAAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLKTPVDNSLRDAKLSFKDIDEVILVGGSTRIPAVQELVKKMTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSIGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPKDEVERMVSEAERFAKEDKEKRDAIDTKNQAESVIYQTEKQLKELGEKVPGDVKGKVETKLKELKDAVAEGSTQTIKDALAALNQEVMQLGQSLYSQQGAPGAGPTPGADAGAGPAGASEKPADDGDVIDADFTDSK >Et_4A_034688.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5449083:5456935:1 gene:Et_4A_034688 transcript:Et_4A_034688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVARRAPGPSVAVSRPAPAPPPPTPAARRPDSPRRGAQVTGRISGSVTHCQEASKHMQNELYRAKEMSSSKSISRPDSSDKHRIPKSTGGSYSKPDARVKLIPAEEITFVQHRRPNCRTVGSAGLQKRQRRRSITPPPSSRKVSVVPMPPAASHTLSLSPNRLETAENGHSLIGEYITSSATNHIASLKNPALPSCKSSQASSTSLNTDAFARDSGRMDITEEFSRYYGSHILHSQGQSSKWPASLTKEAAINSDSLIPKQVIAPQSSEDHLGTKAGPSSKAVCAPESGKLYGRTCNLAPRSTGPPVLQPPIEESALLSPTSVVRQQSTVVYPERIRKPAPHLRKHVLPIPSIEVYPNSNAGPKDAAINTVSSSPKRVFGPPRSSDLHLAAKDGPPSKSVCTPENGNPCATSGKIAARITAAPICQSSVVESASLSPAPVLGERSTELYPDATRTAAAPIQKKSILKNVFQQKPILKHVLPSPTSSLSERSTELPPSSKAGLKDAVINPVSPGPQQVLEPCSSEDHLGTKPGPPSKSLSTPENGELCGTNCNLGTRNIAEPVLQSPVLESSSLSPIPVLGETSTKVYPDRVSIAVPTQLAPVAKHILPSQTSLLSERSTEVHSNSSTKLYSSNNLLNGKCNLVPLQHKRIPQTHSPQPTAPAQCSASIISDASSECHRKGVEETGAPTILHTKLHRKHYQSEAPWKGNFHVTGELKHICDGLEAQFPFQIFLRVYEASKKMPENLKLEAVALSQLWPKKFQMKPPDGHDIGLCFMSSHQRPHRSYDHLLEKISSHIGLWINIGDTELSIFSSKLLSPDYQRKDGKLYFWGVFGKRLRKNQRHCGNHITSIKTRNLSQPEDSHDKSEETGQKLDGTGAKETQSSQPENGMTVDERGGKDRDMVKSKEIGSTIDATGDKVIVTDNYEIAKVLDLTRGNKTDRVNECALVLGTPDSDAASSLAATAATLRTECCSHDTVNKSTSSLADSPCQLAKISLAGSDLMLDTRNGCDVALGTPNSNPASSCTGSAAPLCSSFGRDWSKSTFSLSDSLLQPANMSSAGSDLTLDAHPGVSLNAPPGFTKAQCLTEASDVSYDDAPPSAGVPNNIPPGFTEGHRRLPTITPTEPETGVSTPVTEKKPIIRFSLNVPRLVKKEMLPGFTTSNAVKKEPESPAVDKATEKLRPSPLAHGASPVRKITVNEVRVDDDENSEEREVPKIRRLSELYGRPRSCTQVSRSVCSNLADKFQATEQQEKQKHHGKRAMQGPSEPSLADTAKRLKVNGRVALSSGTDSQTLNSNQDQENGKG >Et_5B_043912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:17606233:17613679:-1 gene:Et_5B_043912 transcript:Et_5B_043912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLALLAAAIAALVVLVFFVFALRRWWRRRSRRRLAPEAAAVVPAPVAVQNKDLNMPLLSETLDNHSGQKNSFLANALGESSKFQTNRSSTSPRSHATADSGKIYSSKSCATQGETHVINVEGDTSEDFQLGSTLKRTTPTNLPTPDQKHKRRISGEDNRNGSILKDNVYESSLDLEVIAGPSHGISCSRQSTSTSMLPITLGRVPPSDLVLKDSEVSGKHAGINWNAKTLKWELVDLGSLNGTFLNSHAIHHPDVGSRHWGEPAELAHGDIITLGTTSKLSVQISVKNQNQRLPAGVGMASDPMVARRSGKELPMEDISFCQFPLKGVGQFGLFGIFDGHGGDAAAKAVSKILPQNVANILTHPETKERVLSCSDASDVLRYAFTLTEAAIDHQYEGCTGTALLVWFDQNKDCFAQCANLGDSACVMSINGKTIDMTEDHRVVSTTERARIARMGKTLKDGEKRLNGLNLARMFGDKFLKEQDSRFSSEPYVSEAVHITKACSAFAIIASDGLWDVINTKRAVQLVVEGKERNAGGSNSADKVANRVLNEARNLRTKDNTSVIFVDFDILRTDPCIAK >Et_5B_045768.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:9169341:9170798:1 gene:Et_5B_045768 transcript:Et_5B_045768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNVLLLPEPGSGHLMSLIEAGKRLLGSHGSGDDEHGEPSPITVTVLVPRPATAESAAEVDANVRRVEASGVCGIRFHRLPPVDPPTGCAGLQEFKSRYMELQKPHVAAAARELGAAAIVVDFFATTAVDVARELGVPAYVYFTSTAALLALMLRLPALDEEVAVDFEELDGAVDVPGMLPVPARCIPGLLARKDSPNYTWFVYHGRRFMDFDGIVVNTVAELEPGLLDAIFEGRVVPGRQAPPVYPIGPVVDLGVAKEKEESDEQQCVRWLDAQPPASVVFLCFGSLGYFDAAKAREVATGLERSGHRFLWVLRGPPAPGSLHPTEADLDALLPDGFVERTKDRGLVWPRWAPQKAVLAHAAVGGFVTHCGWNSTLESLWHGVPLAPWPRYAEQHLNAFELVSVAGVAVPMEVDRKRDNHVEAAELERAVRSLMGGASEEGRKAREKAREMKDACRKAVEEGGSSHASLQRLRDAIRRGASA >Et_1B_012347.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31017378:31019638:-1 gene:Et_1B_012347 transcript:Et_1B_012347.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNIGLVHYVLDHIYGTLLHRTKLGTPFFSKGWGGTKLDLLERMVKQLFPEAQCQNWPPPAVQPMWKTIWETQNSCLREGVFRTTCDEQLIDALPPESHNARVAFLTPKCVTPEKMACVVHLAGTGDHTFERRLRLGGPLLKHNIATMVLESPYYGHRRPSMQRGSKLQCVSDLLLLGKATIDEARSLLYWLQNEAGYGKMGICGLSMGGVHAAMVGSLHPTPIATLPFLAPHSAVVPFCEGVYKYATAWDALREDATAWKALREDAEALTRDVTSLAEDAAQKTGITIEQVRDRLRSVLSLTDVTRFPVPKNPQAVIFVGATDDGYIPRHSVMELQKAWPGSEVRWVTGGHVSSFFLHNDAFRKAIVDALDRL >Et_2B_022961.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:9686936:9688348:1 gene:Et_2B_022961 transcript:Et_2B_022961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDNNHSGVPQAVPGGYGFPLLGNLRDRHDFYYKQGQDKYFASRIERYGSTVVRINVPRGPFFSIDPRVVALLDAKSFATLFDVSKVEKKDVLVGYHPPLSLTGGHRILSYLDPSEPKHGKLKQLMFTLLHSRADAVVPAFRSSFSALLDAVDGHLSGPAGPVDFNKLNDVAAFQFLGDAFFGEKPAPELAKSAGKWLLLMLSPLFTLGLPWIVEEPLLHSVPLPTFLVGKDYRAFYDYVFASAGKALDAAEQLGGFSREEACHNLVFAMGLNSYAAFKLMLPTILGSVAGAKPLHARLAAEVRAANSVTLAAVERMELVKSVVWEAVRLDPPVKYQYGHAKADMAVESHDGKLYQVKKGEMLMGYQPCATRDPRVFGPTAAEFVGDRFVGEEGRKLLKYVYWSNGRETEEPTLGNKQCPGKDFVMLVGRLFVVELFLRYDTFSVQFTRGPGGNKVAFTDVTKAPAA >Et_6A_046606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:17995257:18003765:-1 gene:Et_6A_046606 transcript:Et_6A_046606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTPPKPPTVEARLADLCKELGVGEGVAGEAAVLLEDGKGALLALPSFASKSQDDAVRLFLAFVLYCAEKLKGRKEGSGVKLCEILKGCKLKYDDFFKEAQQLGLKTEKLLESRYGRDWEGRLEIKQLESLVNLLTDASRFYRKAYNELFSSASTNQDSRSSASTPDYILFGWLLFLMLRSRSPELFKDLVSCIHGLVAVLAILLIHVPAKFRSFTIEGSSHLIKQTEKGVDLIASLCHNYHTSEDRLREMMSKSHKAIEDFFDIKALNGLMYFRGLLDKECFDSNLKKLELLCNSNTWEQELDLKLFLTNNVSSAENTSVGSTSLGCSKRVFETLASPTKTIKSMLTVPSSPSSPGNVGSVRIVQMTPVTSAMTTAKWLREVISSLPQKPSSKLQQFLSSCDRDLTNDVTKRVNIVLEAIFPTKSSADWGGSIGLNCANAFDIPWAEARKMEASKLYYRVLEAICRAEAQNNSVQNLTPLLSNERFHRCLIACSAELVLATHKTVMMMFPAVLESTGLTAFDLSKIIENFVRHEETLPRELKRHLNSLEEQLLESMAWEKGSSLYNSLVVARPSLASEINRLGLLAEPMPSLDDLVARQNIHVETMPATPSKKRAADPVGNMEPQSPKRLCNESKNTIVERNVQTPPPPKHNLKAKCQPLQSTFASPTVTNRGNEKCADLTVQIFFTKILKLAAIRIRNLCERLQHVEETEHVYNVFKQILDQRTSLFFNRHIDQLILCCLYGFAKVTQLPLSFREILHNYKKEPQCKPEVFLSVYVGTRNCNGSLGSRHIDIITFYNEVFVPAAKPFLVVLAPSGTRTEDKKNTNSQIPGSPKPSPFSNLPDMSPKKVSSSHNIYVSPLRQTKMDALLSPSSRSFYACIGEGTHAYQSPSKDLAAINSRLNYNSSSRKINFDMVSDSVVAGSLGQRNGHTLVMPQGSDAATLSAGDIISCWQFPLE >Et_3A_023091.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:26813047:26813462:1 gene:Et_3A_023091 transcript:Et_3A_023091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHEVPRSVPPPPPRNSRVSGRCSALPSQSTTTISSSVAAGEAAQVKGTTLMPAVSASPRAPTTLLDAGKCAKWRGLCQCVRPGRMRLRTSLRAAANASG >Et_1B_011251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:19380248:19390904:1 gene:Et_1B_011251 transcript:Et_1B_011251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGHQFVMPRFAGVFEGDGSQGLEGNEEPTDLDWMGNGTVPALRRRVVPPTSLDGRPSQPVFPDAAQVDDDEESGDHVCVIAHQKTTSVCVVIARHRRPRRRIVAELDGGAASTDAITSFWITTIRSRRSSRVPAGRLQPMSRTAAATPLDIAEVPFSDLILLLSPEAPDDDRLQRVLAIVGTELGRGGSGLLAIADVPRAGALRRRLLPLARRLALIDHPTRTLLLKKHGLGSDVSLKKLDRSVSSFAKLLRHSGKLQLLESLNSTESMNEGPFHREMAHAIDESEGSKGDDDMENLGELVEELGVYMMELGILVARACDIVIGGCQLEQSIADFGTAKARLIHYHSQFDNIIIKERSTNRKGSANKVSVNPCHSWSQRSSVSPGSACVKLEDDAAEMANIDNGSKDTPPQDHASAISLLNLWQEWHYDYGIFTVLTAPLFFCASVGEECLVGQECHPPDGHTNLQLCNRRKLFSVRCSPDSFIVQVGEAADILSRGKLRSTLHAVSRPSTFTDISRETFVVFLQPSWDQTFTISDYSTDPEDGSKDDKEMPIRHNRAEGSFHEDVFMQEILKKIPPLSTRLKEGMTFAEFSRQTTKQYYGGGGI >Et_7A_050716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10340142:10367879:-1 gene:Et_7A_050716 transcript:Et_7A_050716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTAVTGEYAIPNWKITGNVEYVTSGQQQGDIVLTVPEGAHAVRLGNNASIEQQMGVTMGMYYSLSFSAARTCGQSQKLQVTITPGSQTGEFPTQTVYSSSGWDTYSWAFQAECNTVTFKIHNPGQEDEPTCGPLIDSVAIKTMYPPQATQSNLLKNGNFEEGPYVGQDNEWGVLVPPMDEDDVSPLPGWMIISSSKGVKYIDSAHSAVPQGRCAVELVSGVETALAQDVDTVPGGKYRLEFSAGDAADGCDSPMLVQAFAADGSTDVTVFSPGPGGFTRGAFEFTATENRTRVVFASPGYYMRSDGSGTLCGPVVDDASLVCVSQPPARRSEMGTDKWSARCTGLFVLVCAAAAARAVSAVGDGPLLNGNFESPPDKYQMNGSVVTGTNAIPYWTISGHIEYIESGQKQGDMILPVPEGSHAVRLGNEASIQQQISVTQGNYYSITFSASRTCAQDESLTAEQLNLTVSVESDVLPIQTVYTSSGWDCYSWAFKPRRSAVKLIVHNPGVTEDPACGPLIDSFAIKTLHPPRRTKNNMLRNGDFEEGPYIFPGTPWGVLVPPMDEDDYSPLSPWMILSSTKSIKYNDAAHYAVPRGRYAVELVSGTETALAQDVRTVPGRPYRLEFSAGDAGDGCVGSMVVQAYAALGSVKDIPSWEITGFVEYIESGHQETDMILPVPEGARAVRLGNDASIRQKLSLSRRTYYSVTFCAARTCAQEEKLSVSVGQDSGVLPIQTVYTSSGWDCYSWAFRAKHSTAWLSIRDPEDTDDPACGPLIDSVAIKTLHHPPHNKGTGGHKRAKLEFEAVENVTRVVFQSNNHHMKHDGTLCGPVVDDVTLVSVRKHAARRLLFVAVRAVFAVTDGLLPNGDFEQGPDKSQLNGTRVMGPHAIPHWEISGFVEFIESGQKLDDMMLPVPKGERAVRLGNDATIRQKLSVTRYTYYSISFGAARTCAQAEKLSVSAPPDSGVLPIQTVYTSTGWDTYSWAFKATHSTVWLSIHNPGQEEDPACGPIIDHIAIKALRPPHHTKGKIES >Et_4B_038567.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:319438:324579:1 gene:Et_4B_038567 transcript:Et_4B_038567.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding CRSVTEIQNGERGISTLHYRLPSGCCSNRKEENYTQKLLQKPNQSVAISFSFAVVLCCPYALNEETARAPRPAGSRPSARPSPVALPAAPAAPRATSPLAATPSPSAYARLPRAHRTCSLPRAAALRLTARRDAQPRLCAPRSACARLGCYPCCAPPPLSQPRGETEEEERRYGTEPNRTGEGNLARGSPDGNGADYMLDLSGDVAMEKTMGIAMDGVFSLKASWKKIFCCGFSPDGDLLASAGDENKVFIWNLRNNLEKHTWEAHSSFITDLRFGPNKTMLGTASSDKTVRLWDSSQGGHCIQTFVGHSSLVRSVDFHPRADTSLLCSCDDGGKVLYWTIDQPKPRISEATGRGKVRFDPLGCCLASVIGNTVNLTNVETDKRMNCLQGNADNKTVRSICWSEHFRCLACVSDDSVRVWSPQGGRHVRELNGTNPSYFRSCSFHPKYPNTLVIGGYQTITLWNFAEDKVVSVQPHDCYVADLAGCHATGLLASASHDGYVKVWS >Et_6A_046441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14993565:14995330:1 gene:Et_6A_046441 transcript:Et_6A_046441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRATLVVVVAVLLVVLYVSSDADLAKQRSTTAEVCEQEMTSIKNLKVRGNSTTRCPPSSGAASQDAITGVPSSYSSSTSTTSSSMRRRPRVTVILNHFKRRTLCAQLEQLRRQTLPFHRVWLLSFGSPNEASLRRVVESYSRNDSRIGFVSSDYNFKYDGAAVGRRGLRLRPGRHDPGSRMLEILCHVAGTEKYANAALGSIGRILPFRRDRSRDLTFPRYREFRSREAGLYLPDRDYGLAVDRVYMGAGSFVLPVDAADKATWGDTDHRLAYAGLIRAVTESWYPSTDHDYGLLLEDDVEVSPYYYLRVKYALLAYRYDPTAASSLSELSSISLYTPRLVEVVRERPGWNATAFFEELGMHPNTPYLHQLPCSWGAVFFPKHWRELHSYMAVRFAAEDAKQSPMAGYLIDMMYLRGYVTLYPNFPNQSSFSTNHMEPGVHIGARDNVPLVDHDFATLLPMGKLPPVSELPVLNLFNQAAETMKGLKAAGAKLGQDILSCGSDEIIAVNHITGLPTNCTVF >Et_1B_013735.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:14724293:14724478:-1 gene:Et_1B_013735 transcript:Et_1B_013735.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLGPYSSRRSGGATTLRQLLRRLRSTWRRRRRRRRRAAVRFGYDPQSYAQNFDDGALR >Et_7A_052857.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:20380025:20381501:1 gene:Et_7A_052857 transcript:Et_7A_052857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDESMHIVLFPFPAQGHLAAFLSLAGHLHRARPSAAVTLVSTPGNVAALRASASASSSLPFLRFHALPFVPEEHGLPAGAESMDALPVRHFMDLFHATESPALCAAFDEFVSAAAAAADGRAVVIVDPFLAWTAGVARRRGARHAIFVSCGAFGSAVFHSLWNHLPHLRAPDDAFRLPDHPEVTVRRSQLAMPFLVADGTDPYSALRRRQIAHGRDTDALLVNTTEEFEPAGLRMLRRTMDGAPVWPVGPLVRLPTQDCSGGDGVVRWLDAKEASSVLYISFGSQNSLRREQMIELVAALELAGRPFVWAIRPPVGSDDDNVGRWMPEGFEERVRGNNTGILIPGWAPQVRILAHASTGAFISHCGWNSVLESVTHGVPIIGWPLAGEQFFNAKMLDEEWGVCVEVARGNDAIGSSVVDRAWIAEAVETVMGHSAQATEIQRRAKDVQDLVLGAQRDGGSSMEALEEFFSSTLRGISANNS >Et_2A_018138.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1289111:1293400:1 gene:Et_2A_018138 transcript:Et_2A_018138.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSPPAAAALGVLALLLAAAMMPPAAAEIRETVIRADPRSIIPLDEFGFTHSGVLELNVSGIAFDPPASAELDLSQLGFFLSTLDAWVHVLRQLQDLDVTCALQSDLVKLAFSFDRLRPPSNPAGIAVARSSSFSIASRVSDPGQYTLVFANCLGGGLKVSMDVTSAMYNVDPATGERAYLSAGASVLPSFYFLFCLAYAGLAAAWVSILLRKRAAVFRIHYFMLAVLVLKAFNLLAEAEDKSYIERTGTAHGWDVLFYIFSFLKGISLFTLIVLIGTGWSFLKPYLADKEKKVLMVVIPLQVVANIAQVVIDESGPYARDWVTWKQIFLLVDVVCCCAVLFPIVWSIKNLREAARSDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALQTITSYRYLWTSVFAGELATLAFYVFTGYRFRPEVHNPYFAIDDEEEEAAAEALKIVTGHNRLSQGRIACIFMNGFGDGSQSDSRMVLA >Et_1B_013502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9023914:9029756:1 gene:Et_1B_013502 transcript:Et_1B_013502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIFVKKPKITDVDRAILTLKTQRRKLAQFQQQLEKVIEAEKEAARQLVQQKKRDRALIALKKKKAQEELLKQVDTWQMNVEQQLADIELASKQKAVFDSLKAGNAALKSIQNEINIDDVQKLMDDTAEAKAYQDEINAVLGEQLSAEDEEAVMAEFENLEAQLAVESLPDAPVTEVRPEEEVQVPTNAETAEDIDEVIELPDVPTKAPARPEAPEKTKGYTSVAMEPSVVEALGKHVAKRNQVKLLCNLGL >Et_3B_031738.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:9313218:9313541:-1 gene:Et_3B_031738 transcript:Et_3B_031738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQWQSILCLGVLATSVAASVGAIIWETRRPAASSPPASSDPWRSCWSRVRPAWLLAFRATAMVALAAVLLWDLRTYDASIMMYYTEYVRTSLAFSLEAFLSLSSNR >Et_1B_010323.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7695027:7699138:-1 gene:Et_1B_010323 transcript:Et_1B_010323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVFLLLVLVATGGAEMGTATAGDSGYCVSQSHKFKGMCWSDNNCEKVCATENFPAGECKMETATRKCFCKKPC >Et_7A_052681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12033799:12034640:-1 gene:Et_7A_052681 transcript:Et_7A_052681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVVGASAVSALTEEEVSMTLYITQRYGGTDPERNQAVVLRPGEAGYFGTTAISDWTVTESPSRGATVVARAKGMHFLTSKTDSNSWVTFMIIEFEGTRLKGSTIQVMGFIPLEGQWSIIGGTGELTMARGVVNHKIYQHVGEARTYQLNIRVFYTPLNDFSVSK >Et_10A_000615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13774139:13784393:-1 gene:Et_10A_000615 transcript:Et_10A_000615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARRRHVPAFGEWNSYSSSSSPDEPEPPSAGHGAAVDWWYAPEPEACSDVWFRYSPPARKPAPKKARRPAAGSGAAAPGEKTYCVDGGKQKAARARVAERCAVAAKGCGARGTVRPVDEDLYQVPPPDFTSRRPRRTKKAMEKARRRRHVPAFGEWNYYSSSSSPDEPQLPPSGEAAAAAAGWSWYAPEPEACSDVWFRYSPPPRRPAPKKARRPAVAPDQKMVYYSGGKGCVAPAAAAPRTRAPGGAAARGARRVVRPVDEDLYQVPPPDSGRSRRKQARRRRRVPAFGEWNYYYYSGEISTPAPAAAEWYAPASEADACSSDVWFKYSPPSRKPPPTTSRKKQARRQPGTMDKSCSGGGKRRVQAAATPARASDAGGARKAARVVRRSVDADLYQVPPPEFEPDDQPRRRVRTCAKVNSRTQPEGGVQEERVDGLLRVQLLRRLNKLKP >Et_3B_027962.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:28503599:28503973:-1 gene:Et_3B_027962 transcript:Et_3B_027962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGDGEAAPPQQETAPSEVGTGGGGVIAKLEKQWRKTKEHAETYPYVWGSYILVYGGLGAYLTWRWRKLRRTEDRVRGLQERLRKLAAAEESQAASASTSTAPTPPPPQQPAAGPGKPVSGP >Et_9B_064124.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11475619:11492556:-1 gene:Et_9B_064124 transcript:Et_9B_064124.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTSLQSLTDAAPGLATVHLDSVLFRVPVDSTPEHRMATTDYDSSQSSPKHHMATREETPTLCLRCLAATTLVLALCGLAVGVDIWAIEIEAPRLRYFRYKGRTRPFLLRTPALDMLHVDLHFIPDDDPHTYDQNNFSSAKILKMKVKHLEHIAAVGKARRTEHLCLLPNVERLELEGVHDPASKKAAVAIANLLRCCPALRDLQLRLTTVASDSEKDYRYVESVLQRQDRLDYEKSVDHFIRRRSSKPTIYLDDQCDEVSDIPGLRGRSFTCLRTSLRRVGLQFRLDGSNCFGVRLIRFFAENAMVVEEIAVDSSTRRLLEHMNLDIERWIAPNSSNASLKCKNIEESSWEFSRSATMDRSQPQRSGGGVDRLSQLEDHMLGHILSFLPAKAVARRLRRCPHRVHGGAREAPPNRRRAPLAICRLLLLRVPARPAPPSSAATAAARPPAAAGLALDVNLRLCCRLDICNRPYALGCGGQAVKDEEDDQLGLQAICIPVRNPVRNADAMPDYSDEEESRPPLRSVSDMGPDAEDGSFVASEDEERAARDRDSWPRTSEVYMVSRVLFSYAALLRSLSLSSCLLAAATTVRLPSLVTRPCSSPACTMRVITGCPRLADLTLEACEAVTALSILGGGPRLRRLALRTSGAVSPNTRKPSALHGRTHKIKYCKVDICGMKVTSKEELINFRELLQPFDNAQNLHLDSARLGSGIEKGVFLTFPTRPRLHHLELRGSLPDDVNAVVAAVSSILGHTPNLEVLSLAFHPEKHENVRPVGSHFMEVDLLDAHYLRYNPHCADPSPEELREGDRPCALPRGAQRAQAKFLLCNAPAVEELWCDFADGPLWIQTELMREIKGWDRLSALGDDILRRILYFTPAKEAVSTSVLSRRWGSLWRSSGAINLAVRVKSDESQFPYTDQRRKDYEEAFFSHRDAFVRAAEAALAASDVPISRLTLNLEADGVDDRCVKFLHRKMDWNEKRDVVGALLWHPAAHRVEELRLTVHYPSDERRRDSEAYLNREVYALGSLPSSETLRELDLTRCWKLTLPEPVGFPRLAKLQLLFCNMDITSLQSFIDAAPGLATVHFDSVIFRVPDDSLESSSQRPHMATTGYHSSWSSTQHHMQTREEEAPTLRLRCSAATTLVLALCSLQVGKRCCGSWAIEIEASRLRYFRYKGMTRPFVLKTPAPDTFHVDLHFIPNDDPLRYDQINVDTTRGDFWQFLHNFSYTEILKIKVLQLEHIAAIGKAKRKEHLCLFPNVKRLELEGLYDPASKKAAVAIANLLRCCPALRDLQLRLSTQASYCQKDSSYVRSVMERKDRLNYEKSVDQFMHRRSSKPVIFLNDQYDEISDIPGLRGRLFTCLQTSLRRVALKFQLDESNCLGIRLIRFFAENAMVLEQMALDSGTRRQTEHMNLNIERWIASDSSKVCLKRKNIAESSWECSRNPKRPTGSATDPKMSTSGFTVIPLRSVLGRILSFLPSNEAARAALLSSRWRHVFAAVDTVDLEEPERPIRERDDYNCGSPSCQPRPDPNRPAPFSSTVSAALLARYRRAGAPPLRKLRVAMEDYLRRDSNTFDQWVSYAVQQAAPDGFHLDLRLRCRRVLCPRPYTLRRAGQGDRDQNPAASASEALYGHGGVPDEAVVSNDEAAIGEVEDPPKMASCAEAGSGADTDDDSSVLSDDDGGKKDRRFDYPRWWEVPEFTYTMTRTIFSCAELRSLSLSFCQLAPPRNVSMPSLEALLLSHVSDTGSDIERLVSGSPRLTDLTLEACDAVTALSVHGGVRLRRLAIRCCHNLATIAVDSSEMQAFEYRGAVPDDTFLTLHGGSPRVVYCKVDICGEEVSSEDDAIKLWRLLQQFAGAQYLHLESARLGMGLENDVPMRFPNFASLRHLELRGRLPDDDTAIIAAVSRILDHAPNLRALSLFFHPEKDDRSNHDYRPFKEGDILDAHHLSYNPHSRLDVPCSVIPCLRRHVKEINLVHYQGGRAQRTLAKFLLSNAPVVDELFCEIAVGPLWTQTQLMREIKGWVEEDRLSALGDDILRRILHFTPAKEAASTSVLSRRWGSLWRSSGAVNFAVHALIPTSRHWNDHQGSKEAEEAFFTHRDAFVRAAEAALAASDVPISRLTLHVEAVHERFFHGTKDHRSEDLDLVDVVISHPAACQVGELRIPVRDPSDDLHSSDDEEICYIRWTYTLDSLPSEALRVLDLTRCRDLALPDESVRLPRLATLRLRSCSVVTKDLQALIDAAPQLTTVHLDSVLFKEPEIPQFVKNYMYRLQQHQDPQGWNQYPVFQHQYQDEQFLQHQYPELLRHHIAKMEEAPFLRLRCLGATSLVLASCSVESRANHADNWPVEIEAPRLRSFVYKGRIRPFMLTSPVPDVGHVDLLFFHYNDRNNDQDTRRLLFWQFVHNFRNAKLLKMKVNYLEDIAAVGKARRAELLCSFPNVDRLELEGAHDPTSKKSAVAIANLLRCCPALCDIRLKLSTVPPYSTKD >Et_5B_044919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7312334:7314331:1 gene:Et_5B_044919 transcript:Et_5B_044919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQSSLRSTDPTMAINQFLGQDGVGGGEADRISALPDELLHDILIHLRDTTEAARSSVLSRRWRRVWSGLPELCFLNNTVPAPAAAAHDCVDAALAACSAPTIRRLEIEMLCPGVPSGHVSGWLRFASQRLSGELRLSLPFEPPGRKKDVVLLPPCERVTALTLVLVRVLRFQLPPAGGTFTALIDVRIGTTYVIRGELERFVSTCCPRLKVLVLDCIIIKDEDNVVLSIRSSSLERLETNIFFDRAGRLHVDAPELQAFRQGAPCDFYITSPKLSEVIWSDSCYDPNLHNFAAAGRHLRLLETDVGPSALPLMRRFDTVDELFLEVNVDEGAEEYMIFLDNISKLPKCDVLVIRSLLIDHALNTTMLHLIRNCVGIRKLVLLPNWTKDDFQCKSPGCPCSLPEQTSNDDVVLHSLEEVVVRNYGEADQTVELVKLLCDCTAMFLKRVAITISKCGQSSDMREKIHSIIHPQNSKVEINL >Et_10A_000557.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12698103:12701708:-1 gene:Et_10A_000557 transcript:Et_10A_000557.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAPEHSNMASPQPQRRRRRRRPSPVKTQGLTHTTTIHDLKDDLILEILLRLPSLSSLIRAALSCRAFLAAVRSSPAFRRRFRELHPPPLLGFFFESIGTDMPSFSSIRRRSDPDLAAAVRGADVCLTRLPYDDEASTGWKIAECSGGYLLLLNGDTEEIAAYNPLTGALDLLPFPPDEIFDGHSGKFDVHEYFLLCSDEAPRSFRVICLCHDKSRVRAAVFSSGNKEWQILPWSAPAPGQKSRKNWLLAGAQVAGNLYWAHARKACQVVLDTQTLQFSFIDLPDHLKGQGHLYTTGGTKNGKLCMVSVIGFTLYIWFRSADSDGVEKWVVDNVISLDHEVLQATETSPDDDDYLKVWVVLDGVVFCSPVRFNYPSSPTWFLSFCLETRKLEKLFYRTFDNCIYPYIMAWPPSLRYSVSVVTTVFSSMYSPSSPVAAASSASMAQGRRKPTSAATSVTAHNQPGGGTDVRMSWRAWRPATPFRKRRGLMRGEHVGRIAVRGGSLTTSELYYDLIFKSKASIIDFS >Et_5A_042000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:535527:543256:-1 gene:Et_5A_042000 transcript:Et_5A_042000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKLMEQDKKARVLCLHGFRTSGSFLKKQISKWHPSIFQHFDMVFPDGIFPAGGKSEIEGIFPPPYFEWFQFNKEFTEYTNLDECISYLCEYMVKNGPFDGLLGFSQGATLSALLIGYQLQGKVLSDHPPIKFMVSVSGSKFRDPSICDIAYKDPITVKSVHFIGEKDWLKIPSEDLASAFVDPVIMRHPQGHTVPRLDDASVKQLSEWSSSILEDLKIVVKALNSEQSSDKETTSAEPAETLTPEANENSRGYM >Et_9A_063598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:967451:968715:-1 gene:Et_9A_063598 transcript:Et_9A_063598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKISLKLLVDTKSNKVLFAEAGKEFVDFVFSLLTLPIGAVNMQGSIGRLRQSVDHIGASYFLPGTNKSGLLRPKSRAATASPRRANKSSTPAPPGTARATATVETNATCPHCWQPMSTEVACFSLLMSSPAGAGRQGGVKELVTFMVTDGLEVMPMAATSSITLINKLSVGGMELAEKNVSLDVDKGQDLLKAALHSDTVLTDVFLVRNKHSLSERRKL >Et_8B_058745.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20100034:20100654:-1 gene:Et_8B_058745 transcript:Et_8B_058745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGATSTSRIHSSNSCGSSFSPMEVRTWRRSATETVPEASLSRTRKASRSGRSKGSGRRWARMSSRKRGKSKGAVSFSCAAMSRSCACVGLPPRERMRTPSSDGAMRPSPSVSKREKASRMEAIWSSLSSLPAIVAGEGWSGCRIGGGGDLGCGSLGVWLPLSRLRLIGWCCGVAQVRGEEENDDAVAGVGLLGSVTRRCSRAG >Et_3A_025397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29542156:29546327:1 gene:Et_3A_025397 transcript:Et_3A_025397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAALVSLTSSPAPAKQLAEEEEATGATSITSKALANLLFHRRKIKVIASPGARFMLLLACTVNPELRACAKLNMVDTKDLTGDGGVLKTVITKAKADAASPSDSLPLVDVHYEGMLAESGKIFDTTHEDNSVFSFEIGEGTVIKAWDIVVRTMKVGEVAKVTCKPEYAYGAAGSPPEIPPDATLNFEVELVACRPRKGSSLESVSEEKARLEHGNGAHEEAYNGSTSGNTSNHHPEMIMTLKL >Et_6B_050046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4672390:4673547:-1 gene:Et_6B_050046 transcript:Et_6B_050046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGCVAAALLLVALAMAACGAASAAVSPRKPRGPPKMSTPGDPKKLPPNAKYITVKPNRFRNRNYEITCVTDWGASCLIKCPARCPNKCLAYCAYCLTFCLCDFIPGTSCGDPRFTGGDGNTFYFHGKKDESFCLVSDPNLHINARFIGNHNADSGRDFTWVQALGVSFGDHRLYIGARKAAEWDEDEDHIAITLDGEPVELEAAKNARWASAAVPGLSVTRTDDANAVAVELDGVFAVTTNAVPITDEDSRVHSYGKTRNDTLVHLDVGYKFHGLTRDVDGVLGQTYRPNYVTKVDVTAAMPLMGGAEKYRSSSLFATDCAVSRFHAAAPGAAGRTAAITAFAS >Et_4A_033752.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26546416:26548284:1 gene:Et_4A_033752 transcript:Et_4A_033752.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEEANRAAVQSCHRVLALLSNPRGQLVPNKDLVAATGEAVSKFGSLTSKLANGNARQGHARVRRIKKPLPIIDSNLFLESSAVAAAATAAKTPSPITSLQLFPRYQQGEGSSSKDPVRIPPQFPKRLLLENPVVDLEGPSQTPPIQLVQPVSVAPPAGTPHPALPAAHLHFIQQHQSYQRFQFMQQMKIQSEMMKRSSLGDQGQGGSNSAGGKGVNLKFDSSNCTASSSRSFLSSLSMEGSLASLDGSRASRPFQLLSGSQTSSTPELGGQRRRCTGKEDGSGRCATRCHCAKKRKLRIRRSIKVPAISNKVADIPADEFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPSMLIVTYEGDHNHNRVLAQPA >Et_3B_030643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5969559:5983100:1 gene:Et_3B_030643 transcript:Et_3B_030643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPTKLDRLVLLPLPRPPPHLLVQRQHADQVDGVVSVAVHHFRLRVEVAVPIRQPLRRVDADAVDARDGHHGRLEVARPGKLPPPERLDGARGADVREEVRHVARGVVPAPREHQGSGLASPNTAGDGKLTMEDSKLRHMGRSDSAVVVGT >Et_2A_018549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32399149:32400560:-1 gene:Et_2A_018549 transcript:Et_2A_018549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAVTRVAQRVVAPSAPTPRGELPLSWLDRYPTQRALIESLHVFKGRAGADEAPARAVERALATALVSYYPIAGRLAVDGAGDLVVDCTGEGVWFVEAEASCTLEEVDYLEYPLMMPKDELLPHPTYPASDPLPEDSLILLVQVTQFACGGFVVGFRFSHAVADGPGAAQFMTAVGDIARGHAAPLLAPAWGRDAIPNPPGAAVGPLPVPTELRLQYLAMDISTDYIEHFKARFLEQTGHRCSAFEVLIAKAWQSRTRAARFAPGSPVHVCFAMNARPALRRALPDGFYGNCYYIMRVSAPAERVSDAPVYDVVRLIREGKKRLPAEFARWSAGETEAGEDPYRITSDYRTLLVSDWSRLGFAEVDYGWGCPVHVVPLTNLDYIATCILVRPSAHKPGARLITQCVAADAVDAFHKDMMRLD >Et_3A_023826.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1299397:1304677:1 gene:Et_3A_023826 transcript:Et_3A_023826.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARLSELRQRAAGNGTPSPPSPSTLKGSLLPGYAALTSPRRAAGTTRATGRCSREGVGFRMYVEARVLPNGASVQIAIARRQNKAQRLQHADSDDDASLKASLASWCDDGFSKTTSTAARHVHGADEVVTVAVEAATAEGKGKEKETGEGEEEWGAGAGVVGALRRPDFEKSRFYLRAQKLFDVWMRKLIWFPTSPEVASSPYSICGLIWSLRLGMARTKFVPRKFEEIFKKHAKTRPDTLTAELQELLEANREPKDSKDGCGASRSEKCCTPSAKTRMCFFTRTLSGLCMMAACLIGWSKRGNDGNITKSSAVWKNCELIPCFSWT >Et_4B_037945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2527322:2528169:-1 gene:Et_4B_037945 transcript:Et_4B_037945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNARTYVDFAPQHSLQEEPDKVVLNEQLRVQIDNFGRLRISGERPLRADSNRWRRFGKDFQVPDGCDAGAIRARLEKAGLLLITMPRLSPAPAQEEPKPTPTAAGANADAGTGAQDKQKGDASLQQEKAAAAEAEEENEDAGDDASMERRDHDEQRATDDAGAAPSAGLQKYGLAMKPKRKVWTILAVVLALVGAGLCAKYMLMDSSAETAPAGNPIAIISGSLPWRNTEDA >Et_9B_065495.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5882339:5886299:-1 gene:Et_9B_065495 transcript:Et_9B_065495.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDGGVGDEYRREESVALLVIVSLAAVSLLSLIAAFAYYCYITRKGKESPSSNSASGGAAAATAVVVGGERGVQVFSYRQLHAATGGFGRAHMVGQGSFGAVYRGALTDGRKVAVKLMDRPGKQGEQEFEMEVELLTRLRSPYLLSLIGHCSEGGHRLLVYEFMANGSLQEHLYPYRGSCGGISKLDWDTRMRIALEAAKGLEYLHERVNPPVIHRDFKSSNILLDKDFHARVSDFGLAKLGSDRAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRPPGEGVLVNWALPMLTDREKVVRILDPALEGQYSLKDAVQVAAIAAMCVQPEADYRPLMADVVQSLVPLVKSRST >Et_2A_016786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28100571:28105662:-1 gene:Et_2A_016786 transcript:Et_2A_016786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATGALPAVVPRPRALILRTSPPRHGQPPCRAPATRCRASAAPGPRKLASSSLDATTIRRGGIKEYVEAAREMARRKDGGPARWFTPLECGGAGGRVHGAPTLLYLPGIDGVGLGLIRHHERLAKMFELWCLHIPVEDRTPFEGLVEYVERTVKSETSRAPDRPVYLVGESIGACIALAVAARNPDIDLVLILVNPGTAFHNSQLQSLSGFLDLVPEPFHLITPQLLNFLTGNFMKMPSTFVGGGLSLGEAGQTLSEITPNLLPSLMLLVDILPKESIAWKLKMLRTASSYVNSRLHAVKAQTLVLASGNDELLPSREEAERLRSTLVKCRTRHFRDSGHKILMEPELDLATTIKGSGYYRRSWKTDFVSDYLPPNRDELAKVIDRDRILHFVTNPVMLSTLPDGKIVRGLAGLPKQGPAVLVGYHMLLGFELGPLVTGVLNSSGIHIRGLAHPFMFDKNTEQLMPDSAHFDAYRIMGAVPVTGANFYKLLAEKQFVLLYPGGAREALHRKGEDYKLFWPEQSEFVRMASRFGATIIPFGVVGEDDICDLLLDYDDLMKLPFYDILDKMINKDGPKLRTDSTGELKNQEMHPIVAAPKLPGRFYFIFGKPIETRGREKDLRDKEKAQSLYLHVKSEVESCINYLKEKREEDPYRNILPRLLYQATHGLNAEIPTFEP >Et_6A_047199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26727711:26737170:1 gene:Et_6A_047199 transcript:Et_6A_047199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLHHEHYEVVLHCDLKPSNVLFDENMTVHVSDFGIAKLLLGDNNSITTASMSGTLGYMAPEYGCFGKASRKSDVFSYGIMLLEVFTGKRPTDPMFVGEMSIRQWVYQAFPTELTSVQDEQLLQDSSSSIFKLNNILAPVFELGLLCSGDSANQRMSMRDVVVALKKIKRNYGQSTMQHADRKAFPTKLTSVLDEHLEQDTTSSIIGLNDFLPPVFELGLLCSSDSPDQRIHAPMATARNLFALAWLAISLPFLVAGAASSSSPSLSPTIVNGSDIDLDALLAFKGQLSDPLGILASSWTTNVSFCRWVGISCSPLQQRITALSLPDVPLQGEFSPHLGNLSFLNKLNLTNTGLTGTIPAELGRMGWLLYLNLFGNGLSGSIPSAIGNLSQLEVLNLGRNSLTQKIPPGLLLYMDSLHIFSLENNDFSGHIPPFLFNNTNSLTQLSLANNSLSGPIPHGVASLPMLKTLFLHNNQLSGTVPPKIYNMSRLQVMALGNNNLNGTIPSNQSFNLPALQKLYLYRNNFMGSIPLGLAACQHLQILALYKNSFASFVPTWLAQLPHLTILSLGGNPLIGSVPVVLSNLTSLTWLDLSFCNLTGRIPTEIGLIKKLSVLFLGVNLFNSIFSWKFINLSFLDLRVNPLSGSVPAELGNLQGLNRLDLSGDNLDGGPDFFSSLSNCKNLQILHIQGNSLSGGVPDCVGNLSTQLLELVANHNNLTGGLPSTLSNLSNLNWIGFSNNLLTGTIPESITVMKNLVLLDFSSNAISGLIPSRLSNLRSLQRLYLFISKLSGSIPNSIGNLTSIPESFGQTVMLAFLNLSHNSLEDSIPDSFQELTSLESLDLSYNNLSGTIPKFLASFADLKTLNLSFNRLEGNIPEGGVFSNITLLLLIGNAGLCGALHLGFPPCLDSHSTSNRHFLKKLFPAVVMAFGSIVLCIYLTIKRKLKNNRAVQESFTDPGDVLRHRLISFRELVLATDHFSENNLLGSGGFGKVFKGQLSTGLVVAIKVIDMQLEHATMSFDAECHVLHMARHRNLIKILNTCSNPDFRALVLPYMPNGSLECSCTLVEVEANWGSSRGWTSC >Et_2B_022253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15483187:15486244:1 gene:Et_2B_022253 transcript:Et_2B_022253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALPLASSPLATLLRPSFTSAHGTTTYPRSQWCGLPIEKPRPTTARPLLQRCLGPIPPTSSCQTPTAVFFGQPNITGGTSSAAAATGLAAVLLNTGNLVIRYPDDTVLWQSFEHAVDTWLPGMKIGIRYRTRIGDRLVSWTSPGDPSPGPFSLRGDQDTFLQSFIWNGTRRMSRSSPWTGYMVNGQFAVNTSFIYYLTVVNTEEEIYLTYSLSDGAAATRYVLTYSGEFQLEIWRPPSGWAIVTKSPAIKCNLYGYCGPYGYCDNTVADPTCKCLDGFEQMNLEDWNRGNFSQGCRRKEALQCSDGFLALPGMKAPDKFVHIMNRTSQECEAECTRNCSCVAYAYANLSTSRTKGDGTRCLVWTGELIDTEKGGDSIATETLYIRIAGLDYRGIKEKTSALKIVLPTAIIGGKEVAVKRLSKDSRQGKEEFRNEENPDDRPLMSSVVFALENGSNTLPTPNQPAYFAQRSNGMVQLGENIQNSMNTFTMTAIEGR >Et_9A_061853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:17093764:17095793:-1 gene:Et_9A_061853 transcript:Et_9A_061853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTSTYTSLVSVENTLNLLTTMESKVLLAVSVSTVLVVLLSKLLIKSLLVAEKPKLNLPPGPWTLPVIGSLHHLLAGGLPHHAMRRLAHKYGPVMMLRLGEVQALVLSSPETAREALKTQDLAFADRNVNPTLKALTFDGNDMALAPYGERWRQLRKICVTELLSSTRVQSFQRIREQEVARLVRDVGASAATGSAVDLTQMVAKFINDTFVLESVGNRCKYQHDFLDAFSTGLRETFSMTVADLFPSSKVLQFFAMAPRKVLTCRKRMQRVLEQVIQEKAEAMDGGDEATEGFLGVLLKLQKEHTALLDHASLIGLLFDMFAAGSETSSATLIWCMTALMRNPAAMAKAQAEVRDAFKGRDKATEQDLGSLSYLKLVIKETLRLHTPGPVLIPRVCRETCQIMGYDIPKGTVVFVNVWAICRDPKYWDEPTEFRPERFQSNNIDYKGTNFEYLPFGAGRRMCPGITLGVANIELALASLLYHFDWKLPDGMEPKDVDVSEVSGLAAAKKTSLIVYPVTHVPLAKA >Et_2B_019570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10240172:10243190:-1 gene:Et_2B_019570 transcript:Et_2B_019570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDHPEPLELEAAAPPAAAKSSGKMQRLLRSAFKRGDSGEDADRLSRSGSGSSSSVASSGRPPSWRRSSGRRGGDGSVDGDRSSRESFELDGSKNAKLLAALRDAKIGHAYEPFPWEKKMRELLPVPAASCFLSMLLLPKATDASHTRYSSLEDTLARADAWLLSSQASGVPIVFMSVQTEALLTKISGETALSTVNMGSLSDLASMASASLYGFEDYHGVDIGVVRAVRLWFTPAAGEAALELRLRPGDTRLGFAISRTEEGFIYVSSVADEGTPGVASARSGLLELYRAARAVSRLLVLSRVGGEKVLPWMASSAGDVKCFDTVSLSQKLSLHRHALRPITLHFLMWDRELAAALPAARDADVEKPPPVLLLPPPDKAAELEHDDEGPVMTGKDSRDSSFRFQNIDLPDSWL >Et_4B_037322.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18305981:18310836:1 gene:Et_4B_037322 transcript:Et_4B_037322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQALSVLRHLAMTGFSCSAIFSSLLLTVSRCDCTNRGVFDLLVQAYLKERKVVDAALTVFIMDDHGYKPSAVSCNTILNFLVEKGELEHVWLFLKESLARKFPLDVTTCNILLNSMCIQGKFGEAEEMLQKMKICHLSNSVTYNTILHRYVKKGRYKSALRVLEDMERNSIDKDVYTYNIMIDKLCKIKRSARAFLLLKRMRENNLTPDECTYNTLINGFFGEGKMSLAISIFNHMLRQSLKPSVSTYTTLIDGCCRNGRTDEALGVLHEMQIAGVKPNELTYSVLLNGYCKLSMLGPALDLMEGLKLRGITINKTMYTILIDGLCQLGEVSKAKQILKSMLEDGVDPDIITYSALVNGLCKMDKMHETKEILARMQKTGVLPNDVLYTILIFYCCKAGYVREALKHFVDVYRRGLVANPSIHNTLLSAFYREGMIAEAEDFKQYMSRMNILFDEASFNSMIYSYCRRGNVLDALSVYDNIIGSRSNSSVCAYESLLRGLCKGGYLVQAKEFMIYLLNMPFAIEQKTLNALLLGICKYGTMDEALDLCEKMVTSNFLPDIHTYTILLKGFCQKGKILPAVVVLQMMLEKGLVPDTVAYTCLLNGLIDEGLVKAASYLFQEVICKEGLYADCIAYNSLMKGCLKSGNINKLERIVSDMHGNEVYPNCASYNILMHGYIKKGLYLRSFYLYKAMLKKGIKPNSVTYRLLILGLSESGVIDVAVKFLEKMVLEGIFPDKLAFEILIASLSEKSEMHNALQLLNCMKWLNMSPDSKTYNAVINGLIRKNCLDQSHKVVHEMLQSGFQPRHTHYIALINAKCRIGDIDGAFRLKDEMKTLGIIDDALHLKRLMEQCGLKADVVTYNVLITGLCNNKSISDALDLYEEMKSKGLWPNITTYATLTGAMHTTQQIQEGEKLLKDIEDRGLIPSNNHYESLERRMADAIKRLNMIRNCRKGCKNNAEILPVDQESISSLYHLFGWQAKKKTWVMKLARVKVRSNLSGAAPLVG >Et_7B_055879.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:6616635:6617666:-1 gene:Et_7B_055879 transcript:Et_7B_055879.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTKPHLSNSFLSSARRSNPAPRSSHVKPLPVSAAPRRGLRISATSAEAPAAPPAAAASAALSRVDVLSEALPFIQRFKGKTVVVKYGGAAMKSPELQSSVIRDLVLLSCVGLRPVLVHGGGPEINSWLLRVGVEPQFRNGLRVTDALTMEVVEMVLVGKVNKHLVSLINLAGGTAVGLCGKDARLITARPSPNAAALGFVGEVARVDATVLHPIIASGHIPVIATVAADETGQAYNINADTAAGEIAAAVGAEKLLLLTDVSGILADRNDPGSLVKEIDIGGVRQMVADGKVAGGMIPKVECCVRALAQGVHTASIIDGRVPHSLLLEILTDEGTGTMITG >Et_10A_002112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22964128:22965627:-1 gene:Et_10A_002112 transcript:Et_10A_002112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCICSPMASKYRLPRNTVCAPCHEGAKAIIAFLDKDEHQDDGGHDSLESRGSTTPNRSPKASLPDNNSGLRDAWEQLKEMRDRVREDATNQRAAYLEHGFALAWKEGIHTDIVVKPGRGPPIPAHKAILAARSEVLRHVLSDDEEGCKAPAGDSISLPELSHEELSCFLDFLYTGALLLISKEADDESEQLLHALLVAGDKYDVPFLRRACEARLASRVEPRNVLRTLEVAELSGSAALKERAMATLVEHAQQLVFSPEYHDFAIRNASLCVDITRALLDHTKNNSTRTKQ >Et_4A_033799.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2751382:2755366:1 gene:Et_4A_033799 transcript:Et_4A_033799.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPEPSSSPVLLNIGGKKYATTVETLTQREPNSMLAAMFSGRHTLPHHPTTGMVFVDRDGKHFRHVLNWLRDGAIPVLSESEYQQLLREAEYYQMLGLVDYINERLGWKKVENLEAELTRKDVIKSIQSQRVRFRGVNLSGLDLSKLDLSEVDFSYACIKNTDFTCANLYKAKFAVEASSSSFRNANLRECEFIGANLQEAILDGANLRSANLQDACLTQCSVIETDLHSAHLQTANLAGANLKGANLEAANLKGAKLAGTNLQGANLQRAYLREVDLRDTQLTGAKLGGANLLGAIR >Et_9B_064862.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19362419:19365792:1 gene:Et_9B_064862 transcript:Et_9B_064862.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLLKSASDVLRRRSYSSAAGPERKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIAGTPGVAADVSHINSSALVKGFMGDDQLGEALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKALCTAIAKHCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRARTFYAGKGGVPVNDVDVPVVGGHAGITILPLFSQATPASNSLSPEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACLKGLNGVPDIVECSYVQSTVTELPFFASKVKLGKNGVEEVLGLGELSAFEKEGLEKLKGELKSSIEKGVKFAQSK >Et_8B_060342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9885809:9891907:1 gene:Et_8B_060342 transcript:Et_8B_060342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKTENGTAAAAAAAAAGRTVLVTGGAGYIGSHAVLQLLLAGFRAVVVDNLHNSTELAVRRVAALAGDHARNLSFHKVDLRDKRALELVFASTRFDAVVHFAGLKAVGESVQKPLLYYDNNVIGTINLLDVMSAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPNNPYGKTKLVVEDICRDIYRSDPEWNIILLRYFNPVGAHPSGYLGEDPCGIPNNLMPYVQQVAVGRRPALTVLGNDYATRDGTGIRDYIHVVDLADGHIAALQKLFENPSIGCEAYNLGTGRGTSVLEIVAAFEKASGKKIPLIIGPRRPGDAEILFSTTTKAERELNWKAKYGIEEMCRDQWNWASKNPYGYGSPDSTRQNGHHTNGSADSLKQNGHYTYGSANSTRQNGHGFGSSNPTTQTGNGHLR >Et_1A_008583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:918053:921379:1 gene:Et_1A_008583 transcript:Et_1A_008583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGPLSRWPWQDLGNYKYALLAPWAVRSTYRFLTSGSEERDLLSFFVLPVLLFRLLYSQAWISVSRHQTARSKHRIVNKSLDFDQVDRERNWDDQIVLTALLFYVVPVAQGMPWWNSKGLVMSVLLHAGPVEFLYYWFHRALHHHYLYSRYHSHHHASIVTEPITSVIHPFAEELVYFTLFAIPLLTLVGTGTGSVVVANGYLIYIDFMNYLGHCNFELVPKFLFDFFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPLYDYLYGTLDKSSDDLYERTLHGREEAPDVVHLTHLTTPASILHLRLGFASLASAPLATSLRIFSRAAYPLAALASRIGKTFRAEANRMDKLNIETWVVPRFTSQVLLYLSKDGAYAVSRLIEKAVSDAEASGARVLTLGLLNQAYEMNRNGELYVIRKPSLKIKIVDGASLAVAAVLHMIPDGTKDVLLLGSASKMSFVLTLALCEREMQVQMVDEYLYDCLKQELRPEMHKHLVLSRSYSSKVWLVGDGLTDQEQRRAKEGVHFVPYSQFPPKAIRDDCVYHSTPALTVPDSFENLHACENWLPRRVMSAWRAAGIVHALEKWDKHECGATVTGVDKAWSAALAHGFRPFDHCTVAK >Et_2B_019219.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:1792599:1792988:1 gene:Et_2B_019219 transcript:Et_2B_019219.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRDGVLAKEANGSVRRIRLSGYEWKDGIDFDEVANIIRQGKPLVGSVLIDSNFAALRGREIYNYNPNLAKKTMGGAPVAHALLFIGFGVRGGRTYLVFLNSHGKKFGEKGIGRVYFYHVKNLNTMEF >Et_8B_059149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14432860:14439703:1 gene:Et_8B_059149 transcript:Et_8B_059149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYYDIDDILMEEEPISVVFQVTANGVGLLDPGAESNCVEKGAKVDLPFWLAHGLLSLDQAVSINVPPCFTQKTRKEIQADAACVDLRVRCPYFYELGCKIVPLVTDKSIGMFLRYAFTSRYKEILSKSHSSSVMTVPKFVPRLTREEMFESARESMTSFKKWRVGGVRMQKASILGRKRKTKLPDGPSTP >Et_1A_008866.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16578168:16582519:-1 gene:Et_1A_008866 transcript:Et_1A_008866.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAASLASKARQASSSSAARQVGSRLSWSRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRNVVIEQSFGAPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFTEGCKSVAAGMNAMDLRRGISMAVDAVVANLKGMARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVVEGMKLDRGYISPYFITNQKTQKCELDDPLILIHDKKISNMHAVVKVLEMALKKQRPLLIVAEDLESEALGTLIINKLRAGIKVCAVKAPGFGENRKANLQDLAILTGGEVITEELGMNLDNVEAHMLGTCKKVTVSKDDTVILDGAGDKKFIEERAEQIRSAIEQSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGERKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLQTANFDQKIGVQIIQNALKTPVHTIATNAGVEGAVVVGKLLEQDSSDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTESIIVEAPKEEKEAAPAMGGMGGMDY >Et_1A_008077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4145289:4149551:-1 gene:Et_1A_008077 transcript:Et_1A_008077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTNGPSPGRLASVYSEVQKSRLHHALPLPSVLCSSFSLVDGPPSSATGNPDEIAKLFPNLFGQPSAALVPAKEATEAKPLKVGVVLSGGQAPGGHNDRAKSSTVYGFKGGPAGLMKCKYVELNTDFVYPYRNQGGFDMICSGRDKIETPEQFKQAEDTANKLGLDGLVVIGGDDSNTNACLIAEYFRAKNLKTRVIGCPKTIDGDLKCEEVPTSFGFDTACKIYSEMIGNVMTDARSSGKYYHFVRLMGRAASHITLECALQTHPNIALIGEEVQKLIAELNEILAHDAVDEAGVWKNKLQPESKELFELLPPSIQEQLMLERDPHGNVQVAKIETEKMLIDMVETELGKRKSEGKYKGNFIGQSNFFGYEGRCGLPTNFDASYCYALGYGAGALLQFGKTGLISSVGNLAAPVAEWSVGGTALTSLMDVERRHGKFKPVIKKAMVELDGAPFKKFASLRDEWAIKNRYISPGPIQFIGSGSDAVNHTLLLELGVQA >Et_5B_043467.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10961886:10969705:1 gene:Et_5B_043467 transcript:Et_5B_043467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQVKGSLQGPAGLLSARRKPRNADFLRVHPRPQRVVFPSVACSTDHNRCRRTLQAQESDRFMQSGKMVADFVDPLAGTNYMGNFNTSRRRSPLLSVKEVVGFSKDAHGFISSYNRDELLNGLQKIEDELQMEDLRNKDVRSNIVQALVDRVGEPARKLDATLCQRVQKLTILRLWFYESADKIITQIKLLQVELVMLALRNLGFVLPHILGRANCILLGDLVLSKVEQLENDASQLRSCRDNIDSMLLIRVQLDSTCGSVNRLSEDGSHRLIQNAVIDFASMITGDIAINLSSLEEEMRTWMSFQFLTPNDKVTESVSVLRKHIMDLDKLTANQSDSLTSNIQDVSQRFLDVYVIIEQMLKVAADLGKHASFDHAKVQSSLPTGRVKTSDKEKDQSDEKKKDENERAKAMQDFKSRGDVTMKRLLDWLVNHQTRENMLKKNSPLPYL >Et_3A_025805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32991095:32997189:-1 gene:Et_3A_025805 transcript:Et_3A_025805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRAGSPVYGRQRSGSSTGSSSPGGVSPSHHRSSSTSSAASAAGISNVRRTQNVAARAAAARLAQVMASQSAAAAAGDDDEEDDYGADHPPPPPARFGSGRPAHGSNGVSLLGRTARSPSPALGRNIVEPPPTVRSTSAGRPAVVSRPTTTVVPPIKTNTTLRTPSPIPPVAVEPPVDRSRPKRFDPGLLNSRESGLKRESSTLQDELDMLQEENESVLEKLRLAEERCEEAEARAKELEKQVAALGDGVSLEARLLSRKEAALKQREAALKAARESKDGRDGEVSTLKQELESAKEEVASAMDQLKEAESETKALRSMTQRMVLTQEEMEEVVLKRCWLARYWGLAVQYGVYPEVAVSKHEHWSSLAPLPLEVVLSAGQKAKDEPRKQGEDEAQRRNKLVREMSDIMGEGNIESMLSVEMGLRELSSLKVEDAVVVALGQHRRPSIVRQFTSDFKSPGEPKYLEAFDLSPEEAEDVSFKQAWLIYFWRRAKTHGIEEDIADDRLQFWIGRNALAPNSHDAIDVERGLTELRKLGVEQQLWEGSRAEIDQASLAVENH >Et_8A_056670.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14874858:14875192:-1 gene:Et_8A_056670 transcript:Et_8A_056670.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHYHAHAVVQQQLPLVRGGRADVCVQFEQMAIDVVFAGNDSTDTKCTPVDNRHAPVYITVGDDSNVEGLANELKWSQPAYCRFREYSFLHKPETG >Et_4B_039499.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:19384517:19386088:-1 gene:Et_4B_039499 transcript:Et_4B_039499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHARACSSPIPTSTTAPLLPSSASISAFIASDQALTLLHTRCASMAHLRQLHAALVKSGLARDPIAASRAVAFCAGPGRDVAYAERIVRHHPRPNSFMWNTVIRALSDGAAPEAAVALFVDMLGSPVPPDRRTFPSLFAAYARLGAGDGAALHGMSLKLGLAGDAYVRNATIAMYASRGAADEAMALFDRCAEFDAVACNSAIVALARAGRVDEARAVFDGMPDRTVATWSAMVSAYARASRCGEALALFAEMQADGFVAPNANVLVSVLGCCAGLGALEQGAWVHAYIDCHGVAVNALVVTALVDMYCKCGSVHKAREVFETARSNGLAKLSSWNAMMQGLAVHGQWREAVALFSELESHGLSPDNVTFIAVLTAYGHSGMPDEAKAAFASMASEHNVVPGIEHYGCLVDALARAGRLQEAEDVIRAMPMAPDAAVWGALLSGCRLHGDAELGARAAREAVRCDPRDSGAYVLAASVLAREGDTGGVAGVRGQMREAGVGKVPGCSMIEVNGVVHEFVS >Et_5B_043562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11897717:11900415:-1 gene:Et_5B_043562 transcript:Et_5B_043562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDDVIESGAGGGEFAAKDYTDPPPAPLIDAAELGSWSLYRAVIAEFIATLLFLYITVATVIGYKHQTDATASGPDAACGGVGVLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRALLYMVAQCLGAMCGVGLVKAFQSAYFVRYGGGANELAAGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNKDKAWDDQWIFWVGPLVGAAIAAFYHQYILRAGAIKALGSFRSNA >Et_1B_012665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34200625:34201319:-1 gene:Et_1B_012665 transcript:Et_1B_012665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPTKPGAPRPASPANKGGHKLGSGIVKLLQGPAVVALAAALAVSSPAPAPTPTPGSEPLCNLPPELSGEQDGLQGEKNKIRHPKSVQAARCTSKCVSTCVLGGYGAPGVGGPFNVRRPLVVFKDTFRSRQYCLVECSDVCNLIKDGEDDQ >Et_1B_013662.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11294643:11296202:-1 gene:Et_1B_013662 transcript:Et_1B_013662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTRRSHQLVLALCVAAAALPASFVGAAAGDATVAQELRRGFSAAHDPSYSQFQPVLGDPTGAFALGFLRVNSTMLDLAVLHVPSSFPLWRAIPDRPASWSAAAALSFDGGLVLTDKAANKVLWSTAASAPAGGDRAVLLDTSNLQVIQSGGGVVWQSFDYPSETIVQGQNLTSAAALHSFDRRFSMRLGTNYFALYIEPPPSASPRGVATAAAMYWRHTALEAKAQIVAGGGPTYARVEPDGFLAMYQKEGPPADVLSFDTFNRGVRAPRRVTLEPDGNLRAYYWDGARWALDYTAITEPCELPTTCGAYSVCAPPSGRCACLLDNATDGAGLGCAAAAAAGDGGLCGATGGEVGGLYSAVRRRGVEPANKELLDFEHAASAADCEARCERNCTCWGAVYSNGTGYCYLMDYPARMLVASDERKVGYFKVRSPEGEEDDDARGRGASRAKAALLGVGVAAVVAVAAFGAYRLWESRRRTATDSRRQMGAADDGLSPGPYKNLGSFSSVELTNSFRR >Et_3B_028734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18576027:18576582:-1 gene:Et_3B_028734 transcript:Et_3B_028734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRLKSNRQIPEPARHELQRRSRGPQVSERVSTVAAKGKGPGENYAVRTPPDRCSKIPPHGFETRRRASAVRPDLTVAPPPRHPRRTSDERRTLPSSLPPSHPDQETPAPSSPEIWRNSRLFAALQLGILRFGAAASSVLLKSDTDLPRKSVSWIFGGAVQGSMLRQSIL >Et_9A_061817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16787838:16791406:-1 gene:Et_9A_061817 transcript:Et_9A_061817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVFIHWVWPAVTAAVKQTRAKENAARQKCANRSPWNGISPFMARRTSGTSSLCGRTYTSRNFSMVQTSSRGSRYSVCCFPALFSTSSTAHLREWDSRHRTIKVGYTTMSSRRGALHLAEGAEYMEEHGVAGRDARYPSGLHDFIDAIWCLQDDDRMFRDELGDVVGGESVDVAEQRDDAEEGTLRLASDGVRMLGERGDDAGIGAEDLAQGGEERFQVVMDAAKEDDGANQDEPLQERRLNANGGEEVKLGLERLGWIGGKQGG >Et_5A_042645.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23885878:23889998:-1 gene:Et_5A_042645 transcript:Et_5A_042645.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSHHQLDDPPPPADQSSAAPTAANAQPNPVALSFQVPVKPKMIIKGVLGRYERWNPVHPTAGAFWGMGLGLGCGVGWGPGFGPEVIGYVGAGCGVGFSVGFTIAGVGIGLPQHVLVKNYDNSGFPSNVSLESARSYTMTIIKGLVGDAISYASQVGAVRKGVSKFQDSSQSLQNDHKD >Et_1B_011159.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18144508:18151448:1 gene:Et_1B_011159 transcript:Et_1B_011159.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDSVNVLLLSYPAQGHINPLLQFGKRLAAGRRGVWCTLAVTRSALVSGEPEPSAVHVAAFSDGCDRSGYDEVGDVQAYLDLLETAGSRTVDELLRAESSEGRPVRVVVYDAFLLWAPRVARRHGDYLASRWGAITVCPTVPSAYLDNRVPDDSSYNYHVHSPMTAECKAWLDNRPAHSVVYVSFGSTVTLSQNQMNAKYIEDVWRVGVRVRLDADGMVRKEEVERCVRAVMEGEMSEEYRKNAMGWKEKSKMALSEGGSSDRNIIDFLPSGDSVHVLLISYPAQGHINPLLQFGKRLAAAVHHRGAVRCTLAVARSSLVSGEPQPSAAVHVATFSDGCDASGYDEVGDVQVYLDRLESAGTRTLDELLRAECVEGRPVRVVVYDAFLLWAPRVERRHGASCAAFFTQACAVNVAYAHAWAGKVELPLSQVPADLPGLPKWLEPADFSTFLTEQPTGGSSYLELLLQQCQGLEVADHALINSFYELQTEEADYLASRLGAKTVGPTLPSAYLDDRVPDDSSYNYHVHSPMTAECKAWLDNRPAHFVVYVSFGSLVSLSSDQMVELAEGLYNSGKAFLWVVRNSEISKLPESFVNKAKDRGLIVSWSQQLEVLAHPAIGCFMTHCGWNSTMEGLGIGVPMVAMPQWSDQPMNAKYIEDVWRVGVRVRLDVDGVARKEEVESCVRAVMEGEMSEEYRKNAMGWKEKAKRALSKGGSSDRNIMEFLGNFVNHDSTTNIWQNAGILSAERATDACTEPASLPATSTISPSWFMDASSALTGVPNPKSTPYAGNHGDPAMTMARPRGISANLVTHRLQSARGSRFTSATASRTAAHARAHPSAPVSNAPLSATAHRATQLPT >Et_1A_008871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16983182:16987230:-1 gene:Et_1A_008871 transcript:Et_1A_008871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFKGPAESIYQGGVWKVRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFEYCEKYAKPEDAGITPEDKSSDEEDYRFKEYTSLSHLNGIDWLPGRLSADV >Et_2B_019924.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15271537:15273839:1 gene:Et_2B_019924 transcript:Et_2B_019924.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTSRSANYSPACARHGRRSSDGTTAFLAKTSRGRRAGFLRCSVRAAGGSNTIGCLEAGGAPSTLALPGLQVAAPAPGDVVPSEQRVHEVVLKQAARPRTARAATEPVAGGMEAAFHRCGEVCKEYAKTFYLATQLMTPERRRAIWAIYVWCRRTDELVDGPNASHISALALDRWESRLEDIFAGRPYDMLDAALADTVANFPPFRDMIEGMRMDLKKSRYRTFDELYLYCYYVAGTVGLMSVPVMGISPESKAKTETVYKGALALGLANQLTNILRDVGEDARRGRIYLPLDELEMAGLSEEDIFNGCVTDEWRTFMRGQIARARSFFREAEEGATELNQESRWPVWASLLLYRQILDEIEANDYNNFTKRAYVPKAKKLMALPKAYLRSLMLPSSQMQSQRQYSSLP >Et_4A_034360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3223207:3226231:-1 gene:Et_4A_034360 transcript:Et_4A_034360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAGEPFPSVDKLDASRLGARSSVVADLDGTLLRSRSAFPYYALVAFETGGVARLLLLLLLAPLAFALRHAVSESSAVRVLVFAATAGARVSGVESAARAVLPRFYAGDVRPDAWRVFASCGGRRLVVTATPRVMAEPFAREQLGADAVAGTELATWRGRATGLVDARRGVLVGERKAEALREMVGDGGVPDVGLGDRRSDYAFMSLCKVLLRTVLQPTGAFTLEALKDLPEAYLVPPTRVEPVRPDKLRKRVIFHDGRLVQRPTPLVALLTVLWFPVGLALSCVRVAVGALLPMPWLRVAFPALGVRVVVRGAPPPPPRATGRTGTGTGVLFACCHRTLLDAIFLSVALGRPVAAVTYSLSRLSELLSPIPTVRLTRDRAADAATIRSVLAGVGDLAICPEGTTCREPFLLRFSALFAELTDDVVPVAVECRMSMFHGTTARGWKGLDPFYFFMNPSPVYTVTFLDKLPPERTCGRGGKSSHEVANYVQKLIASTLSYECTGFTRKDKYQALAGNDGVI >Et_3B_030769.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7202873:7213193:1 gene:Et_3B_030769 transcript:Et_3B_030769.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAADGRSIGGGGRIHRLEVENFKSYKGTQTIGPFFDFTAIIGPNGAGKSNLMDAISFVLGVRSAHLRGAQLKDLIYALDDKDKEAKGRRASVSLVYQMGGDELRFTRTITGAGGSEYRVDGRVVNWEDYNSKLRSLGILVKARNFLVFQGDVESIASKNPKELTALLEQISGSDELRREYDELEEQKARAQENSALVYQEKRTIVMERKQKKAQKEEAEKHVKLQDELKLLKTEHSLWQLYTIKKDIEKMEADLAEDRQSLQQVQEDNQSADYELTAKKKEQSTYLKKITLCEKSMAKKKLELDKKQPELLKLKEQISRLKSKIKSCKKEIEKKKDDNKKHLEEMKRLESALVDVTSAIEELNEQGQDETGKLQLADDQLQEYHRIKEDAGMRTAKLKDEKEVIDKKLNADVEAKRNLEENMQQLRSREDEISSQERELNTRLNKVLQSIPKHEKELANLREEHNRIAKERQSSGSKYQTLKQKVDEIDTQLRELKADKHESERDARLKETVGSLKRLFPGVHGRMHELCRPSQKKYNLAVTVAMGKFMDAVVVEDENTGKECIKYLKEQRLPPQTFIPLQSVRVKPIIEKLRTLGGSAQLKAVLYAVGNTLVCDRLDEAKTLSWTGERYKVVTVDGILLTKSGTMTGGISGGMEARSNKWDDTLKNKKNKLESEMSELGSPRELQRKELAISEKITGLEKKLHYLNVEQNNLREKLHKLASERNNIQKEIDRLEPGKEELETRIAEKEVEVRKLEKKINEIVDKVYRDFSISVGVKNIREYEEKQLKDAQALQERKLSLSNQMLEYEQKRDMQAPIVKLKDTLESLEKELRGLQERESGAKAEAEQITNQMDELKADAEDWKSKSDEFDKVIDELKEQNASVAAALAKLDRQVKSKEGQLMQLMSRRREILEKCELEQLELPTVNDPMDTGSSSQEPVLDYSQLSEIYLQDMRPSDRDKHEAEFKQKIGSYVAEIERTAPNLKALDQYDALQRKEKEVTEKFEAARKDEREISDKYNAVKQKRYELFMEAFDHISKGIDKIYKQLTKSHTHPLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFAIHSFRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCERGADEQGSDGGCGFQSIVISLKDSFYDKAEALVGVYRDSERSCSRTLTFDLTKYREA >Et_7A_052238.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:593348:600072:1 gene:Et_7A_052238 transcript:Et_7A_052238.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSVTMAGGKGSKIEGCVRSNFKATKSKDLQLHHLPPDILRGILSRLTQKEAVRMSILSRKWRRLWKCYPKVVFTRATVRNTDAIRGHQTPMRTRFISGVNSVLRQLRSSVLQKFVIKFGLRKRHTRHIDRWIHFSAASKTKHIVLDLSPGPKGSRDTDGLYSFPMEIFNASGGSYVKSLHLGFVLLASPSDFCGFKNLKKLSLHNVCVTGELEHMLLQCAVLEWLSLKCCKLVGLSISHELGRLLFLRVQYCKLQKLNIKAPNHTTLEFTDEIIPIVLGESINISEATINFISSPDCFGYVFSDLVKIFSNVQSLSVNFKIRTEVQGFVKNPSRLTCLRHVVLKIDIGGFSENVAGILRLAYLLELAPVLEELVLHMRCFQSASCHFEPSEDVLPPCPHTHLKTVLVTGFYGFRGQFELILHILRNATCLERMTIDPVVRNNTFIPSPKLAETDVKRGRRLAMENLWRKGFGKLITGELECLLAECAVLEWLSITFCKLVRLSIYKPATGSYCKLQKLNIQAPNVTTLEFADETIPIVIGESVRISEARIDFFSSMDSFDYVFSELVKSQSLSINFRVETEVQGFVQNPSRLTCLRHVVLKIDISVNLHKPLREFFAWLAFWS >Et_1A_005058.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24140220:24140969:-1 gene:Et_1A_005058 transcript:Et_1A_005058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTAPSFLRPAPLRHHPHPRVRLPPPSASFRVSEILGGRGLCNGEVGVRKELSSSPSPATTTTTTTSPPPAAESSPPPAVDPDKFEKEMMGLTGGFPGGEVGLKDFVAKNPPPPRRKKGAKSRQQGGLAVAGPPRPPELPLFLPGMVVLVTNPNNAYHNYCGIVQRVTDGKVGVLFEGGNWDRLITFNLDELEGRETGPPMVNPKSVVLEALVAELEDDGEKEAKEEEKKEGAAAAV >Et_2B_020664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22514676:22515893:-1 gene:Et_2B_020664 transcript:Et_2B_020664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAAALIAVFALVAVLEPGLVGANFLDTCNITWQPQNAKFDEGGDHLTLSLVSNTSGCMLRTYKQFIFGSVSTLIKLVKGNSAGTVTTYYTSSIGDNHDEIDFEFLGNETGQPYTFHTNVFADGVGQREMQFRPWFDPTADFHNYTIFWNPCMIVWFVDSIPIRVFRNHEKEGVPFPTHRPMYAFSSIWSAEDWATQGGRVKTDWSKAPFKAEYRGISLRVCECSSEGCSAGCAAAGNWYAAPDLCKLTDRQLHEMRSVQLGYTIYDYCEHPEKYNGTVPAECGMPQY >Et_10A_000114.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5617287:5617784:-1 gene:Et_10A_000114 transcript:Et_10A_000114.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSSTWWQLPPGTASLKQTPALRHPTAGQCPLRWPCGVTETRTGVCWSTGAMYQAPPSGPMWNSAVGASGACSRPVQMQTGNSAWVPWRSRYPETGKKSGLFARDSRCRSRSRPDRFWIVTFRPHPPSASAAGIAAAAASATTSSATDTLDIAILTISVTCYY >Et_8B_058724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18966492:18967577:1 gene:Et_8B_058724 transcript:Et_8B_058724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWPDDFRCPISLEVMTDPVILPSGHTDEAAARALLLLSLDGDDARVGLVADGAVDALSAAALTSLATVDVNKCTIGAHPTAISALSGLLRRGGGAQERREAATALFELCKLPENRRRSARAVEVLGLLVKCREGRQELCRIPGIVSVLAGALFVLRWICSESNELALEAIKLGAFQLCEDLVNDDNCKIAKNAVELARTLEKA >Et_5B_045513.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:3450541:3450861:1 gene:Et_5B_045513 transcript:Et_5B_045513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPRRRGCWAQRGARRCKDQGSDDDGVDRISGLPNDLLLLVLSRFRSAHDAARTSVLSCRWSDLWRHLPDLYFPNVSPNILQDTLAKVAAPKLFVLDISFYQGL >Et_5A_041199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:19359491:19360284:1 gene:Et_5A_041199 transcript:Et_5A_041199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMTARSLTQLKIRPAAASGLSTVAASMVERSWTWEMLVSCIPFSGIGTGRFHTILGGD >Et_3A_024173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18090606:18105263:1 gene:Et_3A_024173 transcript:Et_3A_024173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLCDTLVVEEILPRLPPESLARLGAASRRYDALVHDPDFAARYWQRAGVFFYSHPWHRPRFFPGRHETGSMPGSSAHLSFLPGPSAREKAHLRRRRGVDHPDSAVAIMHSAAGGLLLCSRGRLTPVHFYVCNPVTRQWVALPELPWQPREWQSGLLTLHTDDDDDRGEKKKKNKPKRFRVVLFNHLMHWHKPGGRIDLRLFASDTGQWKATQLQPHGLDTNSCVYGHSLGPRGTSYWIAMKDKAFAYDSVCHTVRVIPLPRSVHDGEWNCVVWERHDGARGLLRYAHANSSVLEVWDSQREEGENGGGMWLLVHRVGITELLERNPEAAAADFRFTTTRHSRDVIPVGFHPTDEDVVFLSMPDAMFAYSMENGTMSFQFTHASTGYYSYPDGMFPFVHPPYHEILPRMHPISILCLAAASRRYNALVRDPAFAARTWPRAGIFFQRSSWPAARRPLFLTGDYYDEDWPEPMFGEDLAFLLGPTAREKAYLRSVGSPESAGRVVSIMHSAGGLLLCSRGTTLPRHFYVCNPVTCQWVALPELPWLPRQLRSGLLTLDTDDDAAISRCPKRFQVVLFNHPMHWQKPGGCIDLSLFSSDTGWKVMQFQPPIHIDEEPYVWLPKLTQSGTAYWIMHKAKDKAIAYNSVNHSVHFIPLPRCIANATMNRIIGERHGGGLRYAHGNSSGFEVWDSTTTRDGNIMWTLVYSIGFTELLEWNPEAADYLLQSPFIQPVGFHPTDDDVVFLGMPGGVAAYSMEYGTISIQCTHVSSVAYEYPNGMFPFVHPAHPEILPRMHPISILRLAAASRRYNALVRDPGFAALYWQHAGIFFQRCSWPEARRPRFLTGDDYEDDDDDWPEPATEYMFGEDLAFLPGPSAAEKAYMRSVDSADSAGNIVTIMHSAAGLRLCSRGITLPMHFYVCNPVTCQWVALPELPLLPIQWRSGLLTVDTDEDAATSNPKRFQVVLFNHPMHWQKPGGCVDLRLFSSDTGQWKVMQLQRPIHIEEPPSSWSPILVQSGTAYRIMLKAKDRAVAHTIVLTTRFNSSGFLAAKMNRVVGERHGGGLRYAHANSSVFEVWDTQTKSDGNIRWKLVHRIGVTQLLEWNPEAASFLIESSIIEPVGFHPPDDDVVFLGMPGAVAAYSMEYGTMSIQCTHHSSVSYEYPNGMFPFVHPAHPVAIPAIKNSIQITVHGQEARVTVVIGRRRQLRERNALPSHRVAHAPDQVSGADGVTYWCGCPEAACAEAVSPSARSAPPLRPSLGLWPGAVATHIVLALRPQRRHPLRLPLTSAPLPLPSPISLMDFALGDGVVGSAGMIIWIHVSKIHG >Et_7B_055884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:6688196:6690123:1 gene:Et_7B_055884 transcript:Et_7B_055884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTLINAILFPALAVVAALAVLYCVRRCRRRRRGGLLPSHGRADRLQAGGSSGYVPGGEEALVRFPGGEALTVSAILEAPGEVVAKSAHSTLYRAGLSAGESVALLRFVRPACAAGAEEAVAAARVLGAARHANLVPIRALYVGPRGEKLLVHPFYAAGSLRRFLQGLCSSTSLAFDPKGINDSQRWEIICKLSIGIAKGLDHLHTASQKPIIHGNLKTNNIMLDADFQPRVSDFGLYLLLNPAAAQEMLETSAVQGYKAPELIKMRDVTRESDIYSLGVIMLEMLAQNEVPNDQPPNARDIHLPASFKDLVLERKIADAFGSELIQQSKNSGKEENLNAYFELATACCNPSPSLRPDTKKILKRLEDIAR >Et_3A_023521.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:32185504:32185572:-1 gene:Et_3A_023521 transcript:Et_3A_023521.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLMERVSIGFARTFSLAIL >Et_6B_049753.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:80981:84682:-1 gene:Et_6B_049753 transcript:Et_6B_049753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATETPFHVLAVDDSVLDRKLIERLLKTSSFHVTTVDSGSKALEFLGLLPDEDSPVSVQADQLESSSLRDIPVVIMSSENIPSRINRMVLTPTIITTRIHPKPYSRDNPT >Et_9B_064089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11117018:11122943:1 gene:Et_9B_064089 transcript:Et_9B_064089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PILLGTEAKAENAQQNYVNTLRKRKCNPWRRKPRAAAAPSVCAGASPSFLILSPELLESGAIPDGSPLALFDRAHQGDWDRSGETRMQRQMAATVEEQMMVKAIREESVWEELPKRIQAAVVTKEDWHRRIVDYCISKRLPWNSCFARSVCKEGEYYEDLMHYLRKNLALYPYHLADHICRVMRISPFKYYCDVLFEAMKNEQPYDSIPNFSAADALRITGVGRNEFIDIMNKCRSKIMWKLNKSIAKELLPAQPADLAIEPWWGVRFVNFTLEEFKKLSEEATSAIDKICKEEVNSYVLFDPEVICGLYRKGLVYFDVPVYPEDRFRVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSENATVAELAATLQADLFQLQAAASFACRLGWAVKLLDTDSVLRYSSSSALPSNLLSDDDDGSRTSITSEKSGHELLINDSDGHRKISGASYVGFIVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIADLCKDLESLEGKKFEGVLQEFANHAFSLRCFLECLLSGGTSPNETNGKTSETENQECSFHDASNTPSTNETIKDGGRVSQQEHRTGDSDAEGSTSSPSSIVSEKMESILEHDFDNVHTTDLGGSTGNSPSSESRRKYRVNILRCESLASLAPSTLERLLLRDYDIMVSMIPFPSSSVLPSSAGLVHFGPPSYSSMTPWMKLALYTSGSCGPISAVFMKGQRLRLLPEPLARCEKALIWSWDQCVVGGLGGNFEGNLVKGSLLLHYLNSMTKYSAVIVQPLSMEDLDETGNIITMDVPLPLKNADGSIASTIAGSNLPEEQVKNLILLLEDLSSKVELSTVGYLRLVRLHRVSESSDLPEDECYAWIPLSLEFGIPLFNPKLCERICERVVESHILQKDDITEHYETMQIVKKQLRELCTEYQATGPTARLFNQRGGSKNTSPRKLFNIASGRWSPFHDPSMHTNGGSPHEHERAKPPKKQRCFTEVLSFDGNILRSYALTPVYEAATRSVSEDQPSPVPKPDHEDANSKDVALPGVNMIFDGAELHPFDIVACLQARQPLSLISEASAGSLATK >Et_8A_057430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:279297:281893:1 gene:Et_8A_057430 transcript:Et_8A_057430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHMRSVSLPSSPRSNDTSIEEELQSLKAAISSPSATIEIMVDGLTKLGSIFSRIDEHISLPSSQRQQRKAVEEELECSLVLLDLCNAMQESFAELKENVMETQLALKRGDHAAAQAKVQSCTRLAKKAQKQFKKVNSKAASGIEGCKVVKLLAEAREIVVSILGSTLDLLLKKVAMPSSSKWSLVSKAFQKKRVLCDEEQLQALELDISELESGVETLFRRLIQSRVSLLNTLSFCFNLFIIYGRSQNQKTPLQQLAFYYTSS >Et_4A_033632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25212698:25214196:1 gene:Et_4A_033632 transcript:Et_4A_033632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGIIGNYHHSVVASRVAMLAHVLFLTTAVLMLVWLLHFRGGINIQSQDPDQIFNVHPFVMSWGFILLIGEAILAYSTIPMDHRTQKMAHMLIHLVGLILAIFGVYAAFKFHDAAVTPDLTSLHSWLGIATVSLFALQWLFGFATFWLASGAAHEHTRAAAAPVHVMAGLAIFLLAVCTAQTGLVEKSASAGSVGEARLINVTGIFTLLYGVAVGSTVALRRAFL >Et_4B_036122.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:26087243:26089489:-1 gene:Et_4B_036122 transcript:Et_4B_036122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWPRTTYATMPLLVLIVLLLTRVQHAASSGDAQFVYNGFAGARLLLDGAARVAPNGLLVLTNGTVAMTGHALHPSPFRFRDPAAANGTAAVRSFSASFAFAIVSPHLHLSSHGMAFFVSRSRSLSSTTMPYQYLGLLNTTDGAGAATNHVLAVELDTVLNYEFGDINNNHVGIDVDSLRSVAAAPAGYYQDDGAGGDQPVFRDLSLFSREAMQVWVDYDGATTVLDVTLAPLGAPKPKRPLLSRTVDLDAAVPAGDEAYVGFSSSTGVMACSHYVLGWSFSLDGGAAPPLDASRLPDFPRPSDSSSNSPSRRRKVVLATVLPVAATAAVVAVAAGVLVLLRRKMEYAELREDWEAQFGAHRFAYKDLFRATEGFTSKNLIGKGGSGEVYRGTLPRCKTEVAVKRVSGDGSNRGTKEFVAEVASMGRLRHRNLVPLLGYCRRKGELLLVYRYMPNGSLEKLLYDQDSTTLDWDQRFRVIKDIASGLLYLHEEWEQVIVHRDIKPSNVLLDDEMVGRLGDFGLARLYDQAGTNSYTTRVVGTTGYLAPELVGTGKASPATDVFAFGAFVLEVVCGRGPVEQGVDQGETFSLVEHVLGHWHSGSFVEAVDSKLRDKFDVEEVCLALKVGLLCMHPLPSARPSVRQVLQYLDASAALPELVPMNV >Et_2B_022046.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:8452411:8452768:-1 gene:Et_2B_022046 transcript:Et_2B_022046.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMKAKAEDAASSAKAGVEKAKAAAGEKVEKATTRDPMKKRDAEERKEDRKLEIESDERMEKYDHGPERKVTHTAGSGGGTD >Et_9B_064759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18332291:18337663:-1 gene:Et_9B_064759 transcript:Et_9B_064759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIADIGVSAGINVLTAIAFLLAFAFLRLQPINDRVYFPKWYLRGMRDSPIFSGAAVQKFVNLDAKSYLRFLNWMPAALKMPQDELINHAGLDSVVYLRIYLTGLKIFVPITILAFAVLVPVNWTNDTLESMKIVHSEIDKLSISNIPNGSKRFIAHLTVAYAITFWTCYVLLREYEIIAKLRLRFLASEKRRPDQFTVLVRNIPPDPDESVSELVEHFFLVNHPDHYLRHQVVYNANKLADLVEKKKKLQNWLDFYRLKYERNPSERPTTKTGFLGCFGSKVDAIDYYKSEIEKIVKEEADERKKVMKDPKSVVPAAFVSFRSRWGAAVCAQTQQTRNPTVWLTEWAPEPRDVYWNNLSIPFVSLTVRRLIIAVAFFFLNFFYVIPIAMVQSLANLEGIEKAVPFLKPLIEVKPVKSFIQGFLPGIALKIFLIVLPMILMFMSKFEGLISQSSLERRSASKYYIFLFFNVFLGSVITGSALEQLKAYLHMSANEIPKIIGVSVPMKATFFITYVMVDGWTGIAGEILRLKPLIFFHLKNFFLVKTEKDREEAMDPGSICFDSCEPRIQLYFLLGLVYAAVTPFLLPFILVFFGFAYVVYRHQIINVYNQQYESGAQFWPSVHARIIFALVVSQLLLLGLLSTKDFEESTPALLVLPVLTFWFYKYCKHRYEPAFVRNPLQEAMRKDTLERAREPNFDLKAYLANSYLHPVFKGGDDDDKYSVVDDDAWMDEEVIVPTKRHSRRTTPAQSKQDVSETLSLPPDTVHEQR >Et_1B_011346.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20851418:20852173:1 gene:Et_1B_011346 transcript:Et_1B_011346.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLMASYTTAMSRLPVLPASSVVQARGSWRPSVASKPPYAIKCRRPLTVTCALPDKEQWPTAFSIPPTALLCPVPPPDGKERWDIKEDDERVTLWLQVPGVSANDIEVTTSEDVLVIKRKVAPSTGRGQEAAADVHGVGAFHIRLLMTKEYVGNNVTANLKEGMLEVTVPKDLNRKGTPVKLGAPPRGKNDASSKVSDAKPDQTNNNNNKMRQPQV >Et_7A_051890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25985264:25992221:1 gene:Et_7A_051890 transcript:Et_7A_051890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIVMELGGTPLEDYIRAGHAHGRALSEDDVRVIMKSLLVGLKNMHEKGVIHRDLKPSNSLIGSNGRHVEGKICDFGLAIYYDQAVATSSRTPRGTCGYMAPEVHKAKSSCTFESDMWSLGAVMYEVITGSPPIKGRDPAGMITCMRSLFGTLSNEASTSLEAADRPQADPKWATHGALIRRQFSSQSLEVLHGLLKLDPSERLSVADALEMDCFGSVVKGIHRSTGILARSNHRFSMLGFLWNCVIFGVAHDRNRNTLYMVMELGGTSLEDAIRTGHAHGKAPLEYDVCVIMKSLLLGLKHMHEKGIIHRGIKPSNILVDSDSDCVVGKICDFVLATYYDEAITTWCGIPHGTYGYMWSLGAVMCEFITGCPLISGRDSTGTITRMHNLFGSLGKGADLETTAHDEPNPADEEKNLVQTTVDSSDEETKTHADREREIRHAIFHCRFSIQCSELIVGLLRFDPFERLSASEALDIDWFANHRIA >Et_7A_051836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:25418073:25420393:1 gene:Et_7A_051836 transcript:Et_7A_051836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGGASKVVMMGRAEIDTRAPFRSVKEAVALFGEKVLAGELYAGRRVTVNENRAATTWPNHHHATAAVPTAAVVSVQQHQVPAPVMTSTAELEEAKQKLEKEREEKQRMAGCIQTLQEELSHAMRELKRLKARDDDEVDAKVIDLQVDEDDDVLKFVEDGKQHPPPDHNSSAGEVQKKRYVTFADPPTAAECRAPPVADVVMHRAPSKQPHYYREPRFQRQMSAGHEPAVKAGAGEEGKTKKKKKPLIPLVGALFMRRKKSSSSCHDDSSF >Et_2B_022458.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22758401:22758835:1 gene:Et_2B_022458 transcript:Et_2B_022458.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFLALYAGSLLLAYLAGKEAAESERRRGGAKQRPEASAANESLRHHVMFTRDFMEAGGCFQEFREAGRRCDEAADAGDGDKVGECVRATAALRRCMAAKEAFFGHYVQMMDEGIRENERSGYGKRTAAEWAKWRWMYNMRNM >Et_2A_016571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26152955:26155051:1 gene:Et_2A_016571 transcript:Et_2A_016571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVVGSPGTRGGLALRVAQCLFSGACLAMAATDGLAPGPMMTTPIDLRRAVAPRDRAASDEAEAASPASGAFARCSDLAWHLLGCVGHSQIATTEELGFWTMLMGTLLLYGFILSCYDAYALAKNEDLHSFLFISKILVGDWVMAVLCFAAATGATGMYAFVAHDAHLCHGSDRQLPCNHYLLSVILGFMVWSSIAASALSVLWVFASLPEVE >Et_1B_012635.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3440442:3443119:1 gene:Et_1B_012635 transcript:Et_1B_012635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATALPHVHAAARRLSLAAAAAPAIAQARLPRRRPGRYASLRAVASAAATEAGAPAKEGGEELGFQEMASGTRRKYYMLGGKGGVGKTSCAASLAVRFANNGHPTLVVSTDPAHSLSDSFAQDLSGGALVPVEGPDAPLFALEINPEKAREEFRTASQKNGGTGVKDFMDSMGLGALSEQIGELKLGELLDSPPPGLDEAIAISKGHTLRLLSLPDFLDASIGKILKLRNKIASATSAIKSVFGQEVQQQDAANKLEQLRERMVKVRELFRDTESTEFIIVTIPTVMAISESSRLRSSLKKESVPVKRLIVNQVLPPSTSDCKFCAIKRKDQTRALDIIKNDPELMGLNIIQAPLVDMEIRGVPALKFLGDIVWK >Et_1A_006310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:20352060:20380593:-1 gene:Et_1A_006310 transcript:Et_1A_006310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGDRDAGSEWIWVRHPPEAEAVAAAAGWPTVDDEARPLKVVFASPARYFTDAAPIGNGRLGAMVWGGVESERLQLNHDTLWTGGPGNYTNPKAPAVLSKVRNLVDNGQYAEATTVAYNLSGEQTQVYQPLGDINLAFGKHIKYTNYKRELDLHTATVNVTYTVGDVVHSREYFSSNPHQVIATKISADKPGNVSFTVSLAAPLDHQIHVTDANEIIMEGACPGERPHEGENASDHPTGIKFSSGWVSHQVTDLWAKTSPDAGDPVWALWPMGGPWLATHLWEHYSFTMDKQFLEKTAYPLLEGSASFLLDWLIEGRGGLLETNPSTSPEHYFIAPDGKKACVSYSTTMDMSIMREVFSAVLLATDILGKPETDVVQGIKKALPRLRPIQIAKDGTIMEWAQEFKDPEVHHRHVSHLFGLYPGHTMSLEQTPDLCKSVANTLYKRAVLSLQMGGIGAKAAVLNGQNLRINNADWVVLLVTASSAFDGPFVNPSDSKVDPVSAARRTLKTATEVTFSQLKAAHMKDYQDLFHRVTIQLSQLSTYEKTSLKEGEAVKTTAERIDSFRSDEDPSLVELLFQYGRYLLISCSRPGTQVSNLQGIWNQDISPAWEAAPTLNINLQMNYWPTLSCNLSECQEPLFDFMASLAANGNKLPSKRLGYSPQIRHLGKIIGISRKSAVGCMANGGRLALYASLGTLSAFMGQREDGPGWSLTWKMALWARLMNSENAYRLIVKLINLVPPGEKFGINGGLYSNLWTAHPPFQIDANFGYTNSDAPKALAVVRKLVDEGQFVDATKAASGLFGGATQVNYQANGWVAHHDTDIWAKSSAYYEDAVYAVWPMGGAWLCTHLWEHYQYTSDKKFLENTAYPLLEGCAFFLVDWLIKGPRGYLETNPSTSPEHYFIAPGTDGQLASVSYSTTMDVSIIRDVFVAAISSCEILGKSDTAFVKRIKEVSSRLPPITISKDGTIMEWAQDFDDPEVHHRHLSHLFGLYPGHTITMEKNSEICKAIANSLYKRGKNGPGWSTGWKMALWARLLDSENAYHMVIELITLVPPGVKVNYKASGWVTHHVSDVWAKSSAFIKNPKHAVWPMGGAWLCTHLWEHYQFSLDNEFLEDTAYPLLEGCATFLVDWLIDGPGGYLETNPSTSPEHAFIAPDGQPASVSYSTTMDISIIREVFSAVLMSAEILGKSDTDLVEKIKKALPRLPPIQIARDHTVLEWAQDFQDPEIQHRHLSHLFGLYPGHSITMDKDPDVCEAVSNSLYKRGEDGPGWSTTWKMSLWAHLFNSENAYRMVQKLITLVPPGGEVKFEGGLYSNLWTAHPPFQIDANFGFTAAIAEMLLQSTMSDLFLLPALPRDKWPRGCVKGLRARGDVTANICWEEGKLQEAMLWSHSGNSLTRLHYGGRLTTFRFCGGIVYRFNRGLQCLDKWPIRK >Et_7A_051338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17069216:17070729:-1 gene:Et_7A_051338 transcript:Et_7A_051338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELREKNKAELQGQLKELKAELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKAALREAYKKKNLLPLDLRPKKTRAIRRRLTKNQLSLKTEREKKREKYFPTRKYAIKA >Et_3A_026543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9457905:9461687:-1 gene:Et_3A_026543 transcript:Et_3A_026543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSSCVRALTSILLGPRRARRPIPARVAAQLHARVLVCPHPTLLTQLVSLYAAAGRLADALAAFRAHLPSASLRTYAAIVSALARPHPGLAFTLFSCARRGFRPGPHVISAVLAACSGLPLLCGRQVHACAAKAVPPADVFVYTGLVDVYAKGGDMAASRKVFDEMPSRGVASWNALLVGYARNGMCLDALSVFKDLAAQGPEVPLDQVSVSSALSACTGAGALDFGRQVHAYAAKVGLELTVLCVSNALLDMYTRGGCSREALALFDAVDCRDVVTWNIVIRGFIHGNRFKEACMQFRSMVRDGVLPDDVSFATALQASACMSAWVLGASIHASVVKTGFLRSRGLASSLITMYSKCGRLDDARRAFEVAEDHLCVLTWTAMITALQQHGHGMHAIDVFETMLQKGISPDHITFVSVLSSCSQSGLIEQGRKYFNLMTQVYKITPWSEHYACMVDMFGRAGLLGEAKQFIDQMQIKPDASVLGALLAGCMNCKDLDMGEEVAKRLFEIEPGNTGNYILLANIYTSHGRLDEANELRKRMMSHELRKAKGCTFVSIENQTTVASSQSEVYDVSGTTEELVGKKSLLSNSKRRNILPWYIANHHPVSVLIFVGDGGLKHVAEFGEEAFIPIQQKQVELTAYWNTFHIHLHEQCSPSA >Et_3A_027128.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33855321:33856787:-1 gene:Et_3A_027128 transcript:Et_3A_027128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKLQDLKNAAMEEVNNKKGNVGLVHLFFLLSVVLCVVLYAPRVFVVVPYRADFFAAVDSNRSAPMGVLRHADGNAEDGGVVVHLDNQVRSPCSAMRNHSICCDRSDFNTDVCFMSGDVRTDAASLSLLLFTPKNITATAEEEEERVRPYTRKWERLIMGTVQEVRLRRVEEKEADDGAHRCDVVHEAPLLVMTAGGYTGNFFHAFSDGFLPAWLTVQHLRRRVVLGVLAYNPWWAGTFREIISGLSGYPVVDLLNDKRAHCFPGAIVGTRFHGILSVDPARLRDNKTVADFHHFLAAAYEVKQQEEKRERRRPRLGIVSRKGTRVIENQAAVARLASSVGFDVSILETANGMPLSAVYAAVSACDALVGVHGADLTKFLFLRPGKASLAQIAPIGISPIARDCFGKPAKRMGLLYEQYEVAGRESSLSRKYALHDVVLTDPETAVRRNGGWKFVANVYLRGQNVTLDLDRFRDTLLRMHSHALLR >Et_8A_058399.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:6656776:6657468:1 gene:Et_8A_058399 transcript:Et_8A_058399.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQETTSGGSAAPMCANGCGFFGSAATKNLCSKCYKDLISKAVDEVTEEMAATTIKADSNSKNANAPPVTAAETSASGEATKVVTKEKEEGPAAILCAGGCGFFGSAATKNMCSKCYVDNLKTVDAAPALLEKIKAGKAALAPDQPVHAPEEATASVEAPSVKAAPNRCAECRKKVGLLGFACRCGGTFCSVHRYAEKHACGFDFKTADREQIAKNNPLVVVPKINKI >Et_10A_000038.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18173582:18174233:-1 gene:Et_10A_000038 transcript:Et_10A_000038.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTCMLILLAGLATVLSAASLVTAAASICPWRTVSNIDYKYVQNFGRWAVDQQNAHLSFDKVVSATAQAVGDCLNDMNRNYALNILASRRNGAGDDKFKAVVYVEREIVPVQLISFKSADERVIE >Et_7A_050828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:11377841:11381379:1 gene:Et_7A_050828 transcript:Et_7A_050828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWRRTIGSPRLDPLRSRLLVAAVVLHRPLHLSNPQLVVVHLPHHQVGGAWAERDAAEAGGALVEPVLLHAPRRQLATVLVVRELGPERVDLPVVAGVPLVVAAAPAPPPACRHFPDVFAGVARRRVLGPRGEEVAPDRMVAVAVDVAVAVASRERERLRPRGAHVEAVGHAILDEVVRDAAMKRLRDESMSSRDLGRKTQPAGIIAKQSRGLPGAGRLAVGDPGGCAMDGWTDGTGLAWIRNFGMRMKVSTNSMLSCCPSSGDRDNVSRSDLLEIVEVVVSVVLHAWFLLGNVRLVVDLQYHQVLGVWVERDVTEGWRSLVQVELLHPPRRQLAIAFSRRLVERELVPKRVDLPVLADVRLLVAAPPAAPTRRHVPSVAAGTVSSTASRVESHRRRCRPFREEVAPDHGVELGRAGFSTMAVRERERLWPHGVDVDALRDGVSNVAIIGEAAVEHLGDEVVVDEAATIGIEDHAGREHVRRVLNGIQVEHRVREVLRRLRHGD >Et_5B_045681.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:7133150:7133356:1 gene:Et_5B_045681 transcript:Et_5B_045681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIALDRRNDSSVKRTLFTSWRSPGGPATGDFTLGHDPLGSGQLYVWVSQNGTNTTYWQSGQWANLLR >Et_7A_051931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:306321:309475:1 gene:Et_7A_051931 transcript:Et_7A_051931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPSNRTTGERDRGTGSTKVLAAGGVERRTPLPLPGKDADLRDARCLKIPFRFDGSGSGKRKPPPLLIQVASGCLWARSGDAGQRGTPATRFASLGPTGGGDGFGGTNTMQAAAPWMMIKTLPVLWRNQLFDPTRLAAASSFHSTPLSSEKWKNKWDCPKSEKGARKASRNYERYVVRQKRAEGKKALKDYLLYGKSSPHLQDGSTGSFANSHHFPRFKAFRKGHHPHWSSKSRQGVHNHRKKKKDEARFCNFFHEDQYVHPDDLFEAIFGAHRGFTWSHISWEGFRFRDKSFRYRWTHESNKETISSDSEDESEDDTRETTSIGSHAHRTILGLPACGPLTLDDVKSAFRASALRWHPDRHPGSSQAMAEEKFKLCVNAYNSLCSVLKAT >Et_8A_057756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6859756:6861798:-1 gene:Et_8A_057756 transcript:Et_8A_057756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFVGNLDYDTRHSELDRLFYRYGRIERIDMKSGFAFVYFEDERDGDDAIRALDGYPFGPGKRRLSVEWSRGDRAARRDGGKPMANTKPTKTLFVINFDPTCTREVDIERHFGPFGRIANIRIRRNFAFVQFETLEEATKALEGTHATMLLDRLISVEYAFRDDGERSDSRRGDSPYRRSPSPVYRSRPSPDYGRPASPVYASYDRSRSPVRDRYRR >Et_4A_035405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:23554602:23560992:-1 gene:Et_4A_035405 transcript:Et_4A_035405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEASTSVVDARMKITCSHGGRLVPCGPDGALRYVGGETRVLVVPRSASFRDLAARPSTVMAGGAEVRAVMHRLADAGLEDVIVTVTSDEELAHMRDEYDRLCATRPAARFRLRPSAATTTGVRAPTFGPPKMRRVQSEQALAARAQLQRRRPAYPAPPIRRVQSAQFFYDHQYRRLPPSFYRRDTRAPVAPAPAPARPTNTARYYMSKNASGVRSGSQEHAPASKTAGPVLTVAVPFHTLGNPCLHHLVSPPSESCPQAPHTLWLRYCILPRTPQLSRQEAASRPAEQIQRFYVSAPELGTSPADPSVTQQHLHHQSQPPGTPSTTSANPHFLAQDEPFASVLLKAAISPSLLVVSDSMVDLASSNSETTFPYMWRSSDISASTRFLLPSSSANAWLHISSLDLKSSATLVFESNSVSRAPIRSTRVDLSVPHSLLAALKSSCTFLSASSRCLNCSAFHLSLPSTAAFSSSSFTSMSSLIITSLGARALCSASLHRLSFSDRSPLSRATSSSRARRAASCSCRLTSESSSAARSSLFPASSPSVRSRSVPLSASCAAQSCRSACSFSASLAVSCPHCSLAAAASSPLAPASRACRSASARASRSLAAWSAAARLSPSARSDLARSSAVRASTSARRSSSSLRISSISPAHEMETNRQFKTQRKPNLAPEARRSGRRAAAAPFWEAQVGKKERDMGGRARDAAWTGGERGGG >Et_3A_025927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34062085:34068255:-1 gene:Et_3A_025927 transcript:Et_3A_025927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLRPPPQPATAAAASLASFLPSPSFRRLLPLPSWSPPPRRRLSTTVASSPNGSGSRLHSAEERYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKIADRCYLQKRVLNSSKGPAVHALRAQTDKREYAIEMKNIVESTENLFIREAMATEVLIGKNDSVEGVRTFFGMDFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQHFGFETDRLKTGTPPRIDRRTVDFSGLEAQHGDEEVGWFSFDPEVHIEREQMCCYLTRTTKETHEIVRDNLDETPTYGGWVEAKGPRYCPAIEDKIVRFKDKESHQVFLEPEGRNVPELYLQGFSTGLPERLQLSLVRTLPGLENCLMLRPAYAVEYDYLPAYQCSRSLMTKKFEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKSLIILERESSYIGTLIDDLVTKDLREPYRMLTSQSKPALSKKKNGGEFAAEVTAVSNQPVKDSSTLEAILKKPHVQYKLLDKHGYGNENLSRIEKECVEIDIKYEGFIARQQSQLQQIVNQEHRKLPEDLDYHSMTNLSLEAREKLSKVRPQTVGQASRIGGVSPADMTVLLIWMESNRRMANHRRQQGQLRPAAGNADGSSEEVVHASAA >Et_3B_031703.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8228701:8230476:1 gene:Et_3B_031703 transcript:Et_3B_031703.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAARWFRSLLGGGKKEQQQGKDQRRASAPAAAAVPPPADRKRWSFARSSRDSVDSAAAAGGNSAAIARAAEAAWLKSVYGGGGGENEREQSKHAIAVAAATAAAADAAVAAAQAAVEVVRLTSRGPVFAGLDPRGRAGAAVKIQTAFRGFLAKKALRALKALVKLQALVRGYLVRKQAAATLQSMQALVRAQAAVRAARCRAAVPALPPLHHPPVVRPRFSLQERYVDDTRSEHGGVPAYSRRLSASIETASYGGGYDRSPKIVEMDTGSRCSVKSRASASLRTSSPAPDAFAGAGGDEWWYAQSSVSSPLLPCHLLPARIAVPTSRHFPDCDWCPPEKPRPATAQCTPRLCAPATPTKSACGGGNCPPGYMSSTQSSEAKTSTRSQSAPKQRPDSGVGGARKRVPLSEVVLEARASLSGVGMQRSCNRVQEAFDFRKAVVSRFERASDERDRDLFLQRRW >Et_10A_000126.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:8337247:8339464:1 gene:Et_10A_000126 transcript:Et_10A_000126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQLAVKSSKSNGRTTPPRHIGDAKAVPNYLKPSTGSCHHACKYGGIHTFEEKEAHKAQPKSRKQPPVSDHQKFQKRTLIKVRLVPRNLFGDFGRGAKVEKAAADKEKKAENVEWKDIVAYETMPAYGSLPELDKLPAQVMGSGDVKKKDVMRGKKSYEKAKITEQVDGVKSQNESLDKKMVKSAGSKMTSKVSIEPTHKNVNTDTKSVRPPKGKKPTALAVKKKAVDQESVDGYQPLSPSLLQRRTSLLRDLEEEMVHEATNVNEVKTTYSLDQEEYAAAEESRPIPAHRRVKSMSLSSRSVRFPFARQESKNSAAFKLRSKSSKGPILPSEEEKPARVRSRRGSVGGDIRSTGRGIQLRIRSLRRRGVGGSSGANTGFVVPEVSLRHQKTLEKKKSQRLYNNMIEETASKLVKTRKSRVKALVGAFESVISKIAK >Et_1A_007886.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39216819:39218973:1 gene:Et_1A_007886 transcript:Et_1A_007886.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVQASPSPSPHHSPPRASEGGGHVAAVDPPPPPVSPPRDEWPVPEKPPSAPVSPPPPLPSSGAAVVVSSQSHVAAAQYVPPRAASRADPDPGRGEWYSWNGRNSNRAPRSRPAPPPRRYRAEEMPPPPPPPAPAPAPHPAPAPRPAPAPRPAPAPRAAPPPPPPAARPVEQVVPDIMSRKRRAAALQRAALAARAAAAGLCLAALAVLAADTRKGWALDSYTHYSQLQYSEAVNVIGFVYSVFQFAMLVNLMRKNKHLIPHRTRDLIDFTMDQVLAYLLISSSSSATARVGDWIDNWGSDPFPNMANGSIVISFLAFVVFAISALISAYNLFRRDL >Et_8A_057554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4328921:4333089:-1 gene:Et_8A_057554 transcript:Et_8A_057554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAGEHSSSHGEGGGVEVERVFIGAGCNRVVNNVSWGACGLVAFGAQNAVALFSPLRGEIVTTLPGHKAAVNCTLWLPTKKDVLQARGRETHYLLSGSADGAIMVWKIGSGKGEWAHALHLPAMHKKGVTCLAGRMVSDTASIFASTSSDGSVVIWEMTTEPTTGGSCQVSCLHSLNVGSKPMVSLSLAVLPEQGKHLILAMGGLDHKIHIYCGDKSGKFIKACELKGHSDWIRSLDFSLPVVMSSEKHNLFLVSSSQDRTIRIWKMTSGAVSSGPAMQLVNENIEMTSYIEGPLFVAGNTSYQVSLESLLVGHEDWVYSVEWQPPTLLPGDETQQPMSILSASMDKMMMIWRPERNTGLWINSVTVGELSHSALGFYGGHWQPDGKSILAHGYGGSFHMWRDVGLDSENWKPQIVPSGHFAPVSDLTWARSGQYLLSTTRIFAPWRSHVCPGDTVYWREIARPQIHGHDINCVAFIQGSGNHRFVSGADEKVSRVFEAPLSFLKTLQQATLLKPDISEDFDNVQVLGANMSALGLSQKPIYTHGTKDSPSSISNDGPESIETIPDAVPTVFTEPPVEDQLAWNTLWPESHKLYGHGNELFSICCDYEGKLVASSCKAQSAPVAEIWLWEVGTWKAVGRLQSHNLTVTQMEFSQDNAFLLSVSRDRHLSIFSIKKTKEGVEHRLVTKHEAHKRIIWACSWNPFGYEFATGSRDKTVKVWCVKDASSVKLLATFPQFRDSVTALAWMGRDRASNAGIIAVGMDNGLIELWSISGGRASAGGSTDSAPISATCLLQFDPLLCHVSTVRRLRWRTPDSSEKKSAHELASSGADHCVRVFEIRDR >Et_5B_044757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:5433370:5434941:-1 gene:Et_5B_044757 transcript:Et_5B_044757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTTIQVFSAKILGLCGGLQFPLDVYGSIAARDSVDRRRNIIFSRDRDNCQTVTEQDPYLVLTGPSRAIVLLDPVIIEVMLKVKGAVECEDKILNFQASELIWSDTWVSRMMREPYTRKLSTLDLALGSIVSSVEATVFIRVTNVLLPAVFFVTARTSKNTDSEKILLLDSRHKSQPVAPDGQINLSRSVVSARVTGYLVICMEEPVEHSNEHKVFVEKHFPANKKALMRLPPIRYGSGAIELTVAWSLISRGH >Et_7B_053221.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12655568:12656739:-1 gene:Et_7B_053221 transcript:Et_7B_053221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEGMSMEKMAATDMFQLLPPTITGQPQQQQQYRGVRKRKWGKWVSEIRQPGTKTRIWLGSFESAEMAAVAHDVAALRLRGRDAQLNFPGSVGWLPRPASTHPADVRAAAAEAADRVRREPALVAARCDDGDGEELYRWAAPGRAGELAGSDEEFDLDLGSPRLWAEMAEAMLLDPPRWDTGVSDADVAQCWSHGSLWDAC >Et_3A_025488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30172705:30181938:-1 gene:Et_3A_025488 transcript:Et_3A_025488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPGATEAPSPRGAGEDANEGVEVCLFDESADGFSRTVRAISELTTGEPELDFPEAEVERIASSVTFLREWRHFSYDPKGVSFTDATKSASSRNDMHNITLPQFSSASLPQITQQEDRKDNTVSFDFILFAGGNIWALDWRPRLSDKPGSSINCEYLAVAAHPPGSSYHKLGMPLTGRGIVQVWCLVAPFEDAHACQSFDACNKMRPQKRPIDLSEPVPKRPRGRPRKHPVPVAKVEDSSLNSSSQDIVLFDPLSTTITPDDLPLACIMPPVKSMKSTPGRGRGRPRKNPIDKVTGSSGTVQENVCTVPSPTTAACTEPKRKRGRPRKNPVPNNSGSISATAIESVKDTTCQPVDQTACTVSDANLSIVATDATLPITSSSTATCDGMSKGERVPVTSDLCSAVLSCEDNVHKCVLADDSVRPNQISSGKRGRGRPRKKPVSAATRFSVVSGANSTKTASGQTNSDNHTDLDESHGKVIASNLSSISLCGCNIEKCSVHLSAVSPDAASPAHGFNAVCKEESRSKSGRGRSRKTHVSTQHGSSMDLNDGEPRTLTTPKSNDHVTLVENCVEGSHPRFYTRKGRGRHKKPSASNENSSTSIGVEAHMVSSTPMTMQTFRSDNMADEAANQVAPICKNNGIDVVEVAEPIPIEESREDGNKLSSIENSNPSPIPKDIALPRVVLCLAHNGKVAWDIKWKPLMPNQPEQKSCLGFLAVLLGNGSLEVIPLTVDWTPSPPHDMILAGCHDGTVALWKFSVNFSLQDSKPFMCVTADSVPIRALSWAPYISDENMNTFVTAGEDGLKFWDLRDPYRPLWELTTAPRAVLSLHWLKDARGIIISLEDGTLKFLSLPRIANDVPVTGKPFVGTKTQGVSTYQLSEYLIWSVHASEITGYAAYCGADGTAVHFQLTSRFWEKEPGRNRAPYFLCGSLSEEGENISISSTSHKSPLSNVPLGAKKGPKSCQDIVQVQDVDKEKLQNITESGDFLPLAASCVNPELGDSQEDGHSEEQGMGAIVLAGPTEQENNDIWNSKASESPKDFEVFPPKSVALHRVRWNVNKGSERWLCYGGAAGIIRKPMPLVVILLESFSTVNKGMCLKELNLYHKLFLDLRENSY >Et_8A_056420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:70676:73818:-1 gene:Et_8A_056420 transcript:Et_8A_056420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVTERAKKAIEAMKPLGFSGKQIKPALKQLLKIFGNNWELIEDEGYRALIDAICEGQEPKSTAGSQQGIDRGAPSGTTDALPLKDPKPEPEIDVPQGSVCRDVLAGPDSRQLNTGSSGTQDASFVELDVASSTMGEVNMSLRCNLDPSKFSVSMEEVFKMVEEKCLHSYKMLPPGFSIGKLMNEVCQSVVQLGTMHSGTSSNGDSLEKGADAPFVKPISYRTVVDGNGNAAGGSSVLESSELSLQNSVVAWKPEMALCKRRATHDVADISKGEERVRISIVNDFGSETCPPSFYYIPRNVVFQNAYVNISIARIGDEDCCADCSGNCLSASLPCACARATGGEFAYTPEGLVRTAFLDECISVNHFPEKHHRFYCKACPLERSKDQASSGPCKGHLARKFIKECWSKCGCVMQCGNRVIQHGITCKLQVFFTNQGKGWGLRTLEDLPKGAFVCEYAGEVLTSAELYERTVQSARNGKHMHQVLLDADWATKGMLRDEEALSLDATFYGNAGRFINHRCYDANLIQIPVEVETPDHHYYHLAFFTTKKVEAFEELTWDYGIDFDDVDNPNKAFRCMCGSRYCRDPKNPGRKGKAAARRN >Et_6A_047259.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:388531:388982:-1 gene:Et_6A_047259 transcript:Et_6A_047259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKMERMSSSVQAWVEEHKLAAIGGVWATAVGASVAYSRKRTPQRATGMRLIHARIHAQALTLAVLGGAALAHHCYNGKNKKSKEDLDYDFYSQLPSATDADGNENERWSW >Et_7A_052891.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:23767806:23768213:1 gene:Et_7A_052891 transcript:Et_7A_052891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMGYFRTPRRLHGSKQERERYALLDDDAGEAAAAAGAVPKGYFAVYVGEEARRFVVPTSYLRQPAFRKLMELAAEEFGFGQAGGLRIPCREEDFQAVVAALEAESRRGGPFRARGAAPGTARWSRLAGSSRCS >Et_4A_034716.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:5751084:5757120:-1 gene:Et_4A_034716 transcript:Et_4A_034716.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLTVLQIWPGLPRGVEFNPSDCDLVWHLAAEIGNYLAHRHPFISEFIVPVNDDGGFSCTHPQDIPGVRRDGRASYFFHKRFDVLCNNKNGKNISWQKVGTPKSIILDETLQGCKEEFVLYAYNVSDNSHEQTDWRLHQYHISGNVKDDGELMVSKIFHQPQNNLCELVEKLPAEPELPCKDILNHAETDDLDHMSLKERHIILLADKILCPATTSAEKSAAAPKRKFLLRLLLWRVWMMTMARGCLVNIFQMLKCHLRNVLLEIIRMKDLAVQVILSCQHLNQLALVKTSNWLDSIEKALDEDAPGLLQILMDKGITAEEIKLYGAGEDDEMLPDSTENNFEDLENVITKLFPQRTSLLKLSVARHEKGEKAIYCLSCLLSLIEQSRYLQFRDCPVEWGWCRDLQSFIFVFRSHNRIVLERPEYGYATYFFEVVQSLPIEWQVRRLVIAMKLSGCGRTALIENKQLLVGEDLTEGEAQVLEEYGWIRNCGLGTMLNYRDRVVHDRWTERSVTDWRTKIGKLLMAGYSEGQTITTHVPKKLGDLLEDTGDIEIDVKLEDPF >Et_8A_058256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3618189:3620273:-1 gene:Et_8A_058256 transcript:Et_8A_058256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVVLVLAALAAPAAALNTDGLALLALKFAVSDDPGGALGSWRDADADPCNWLGVSCADGGGGRVAAVELGNASLAGYLPSELSLLSELQTLSLPYNRLSGQIPVAVSALQKLTTLDLAHNTLSGPVPAGIGRLLSLSRLDLSSNQLNGTLPQAIAGLPRLSGVLNLSYNGFTGGIPPEFGGIPVAVSLDLRGNDLEGEIPQVGSLINQGPTAFDGNPRLCGFPLKVECAGEKDEPRMPESNNNPGLSDPGAAAEVAGRRPPKGRSSSSPTVPILAVVVVVAIVAGVVLQWQCRRRCAATARDEEKEASAAKEKGGGAVTLAGSEDRRSGGGEEGEVFVAVDDGFGMELEELLRASAYVVGKSRGGIVYRVVPMRGAAVAVRRLSEPDDGDGSDSGWRRRRAFEAEAAAIGRARHPNVARLRAYYYAPDEKLLIYDYLSNGSLHSALHGGPTASPTPLPWSVRLSIVQGAARGLAYLHECSPRRYVHGCIKSSKILLDEELRAHVSGFGLARLVAGAHKTAASKKQLGSAACALPRAGGAAVSYVAPELRAPGGAAAAATQKGDVFAFGVVLLEAVTGREPTEGEGGMELEAWVRRAFKEERPLSEVVDPTLLGEVHAKKQVLAVFHVALGCTEADPEMRPRMRAVAESLDRISG >Et_4A_034558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4354672:4356166:1 gene:Et_4A_034558 transcript:Et_4A_034558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSALAKYKLVFLGDQAVGKTAIITRFMYDKFDDTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVTDRQSFLNTSKWIEEVHTQRGGDVLIFLVGNKTDLVDKRKVSTEEGEAKAQEHGATFIETSAKAGFNIKPLFRKIATSLPGLDALSSAKQEEMVDINLRPATGPARAQPPEQKSSGCYC >Et_8A_058217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2599030:2616618:-1 gene:Et_8A_058217 transcript:Et_8A_058217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAILPTSSRSLCARTSIASPPRVSLANSNSTRSIGVVSPPSAATTSRSSSSPATSVLEPIQRVPVSDDEEVVQGVHRGELVITGRQIIGVDEPEHGLERRGVDVVQCDARHGGVRHGPVELRPEHRRPGGEHGPVRRERLLADDERDVGAVAAVRQAPEVTADVGGRHGDRCVAVARDDDAPGYGDRAIRHVERIEEVA >Et_8A_056898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18755716:18758279:1 gene:Et_8A_056898 transcript:Et_8A_056898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSDESSDLSDTDIDDYAEKSYLDFKAGKRVARLDTDRFRCPFCPGKKKQDYRYNELLQHAVGVGASNRAAKVKANHQALAKLLKEDHADAAGTLPPRQAAALSNPPKPVKDQEIFVWPWMGIITNVTAEQTERGGASLMRRLAEFKPVQFNAVHCADGYTGIVRFSKDWIGFKNALAFQNHFKSQRVGKMDWKESTRKGKHIFGWVAQEEDYKSGDPVGLFLAENGDLKTVADLELEMSRKTERVIANLAHQITAKSEYLQELETKFNQTNLSLQMAMEDNDLLDKRHNEEMRNMQSSAREHTQRVIQETDQLRKQLVQKESYIQRRSRQLSELVAQTDMERRKLENERKKNADQNNSLNMARMEQQKADEKVLKLLEKQKKEKEAALKKILQLERQLDEKQKLELDIQQLKGKLEVVKHMEGEGVDVKKRSEELTAELNEKIEEMEDLETLNQTLVIKERMTNDELQDAKKELILGMAELLGPRSNIGIKRMGELDVKPFLVACKQRFGDDAEVRAALLTSEWQENLKDANWHPFKIVTTGSTTEQIIDERDEKLVGLKEQLGQEVYQAVTTALLEINEYNPSGSYVVSELWNNKENRKASITEAIQHVLKQWKLKKRR >Et_9A_060960.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21717087:21717426:1 gene:Et_9A_060960 transcript:Et_9A_060960.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRAPATRTSAATSVATVRWPTPACPGTASPADPASFGFVQFHGPSDGGRALADPHHAINGRQVPNFTGARFCLKETA >Et_5A_041404.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:22155953:22165270:-1 gene:Et_5A_041404 transcript:Et_5A_041404.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GRVMPSKGRSLKNMAQHGSKDGGGRHPQSQGLADVDGEHGSTEPINLGGTKRAKWSHQAKLCLIELLKDHDVPGFRTNNAWSKEAWNNIVSRLNAKLGTSYTLYQAKQKEQDLKKDFRAVKDLLDQSGFGWDNERNMVHAPESVWASFAARKDNEAALSWRTKSFPYYNDLFKLYDGRYAEGRTRHGMDHYASKSKNASVPSAQTASVPDTPSPTLNGLDEPALQFSFDEEVEEGNLEFSQRSAGTHVHQMEVPPNSTQRPLEVPESRRGKKQKGKSASPDDGFHERYLKLKKEEIDRFAAIEERKLEDPYSINKCITVLEDMNSLQLGDLLMASDIFESKDKREFFLSFKSDALRLAWVTKEIDLFWYFGKVMSCKDSKHASGLASVPVSAGCFPIDFDVVVAVEVGETTVVEGIVVASFLDVGGSKAGEQTEGQVGGRSHMGRDSDMD >Et_3A_026361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7245146:7247828:-1 gene:Et_3A_026361 transcript:Et_3A_026361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KVLKKPKIHMMDFNVTVLATYLYQFLQATPLIAGLAVAAAALAGRYSIQAWHAYKLRPVVPRMRKFYEGGFQPTMNRREAALILGVRESANAEKVKEAHKKVMVANHPDAGGSHYLASKINEAKDVMLGKTKGSGSAF >Et_2A_017209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3210287:3210926:-1 gene:Et_2A_017209 transcript:Et_2A_017209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAPATRCRGPWPSACKSRSAPCARRRGAPGRRPSRVLAAAAAGMRFPLHGFFCEALAYFGVAPSQLALNWWRATAGFVVLCHFAGVQPPSLAAFRPFLLRAFNHAPFTLTRKTCTVLVASRPAKWPYVFLLSVLGTCCSKENGEIASTPSQSVSVLEPAPMHGGRAVSTAMSGVGDAPSRARYEVRVPPHLPTSTVYRRRTAE >Et_10A_000027.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:16487799:16488401:-1 gene:Et_10A_000027 transcript:Et_10A_000027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLGFRFNPTPQEAVMYTLPRLIAGETLHPAIRSYILYTDIYACEPASSPPSSSPRPGRLAHGRVLLLLRGRRRRRQAARAVQDVRLAKGGSGLRARQEDAAAAVFAPSAPEKPVAAHKRPASSIAEPPCPKRPRREVVSTPPPCAPRPGMAPLSATSAATLSPASTLAPVHVPTRGQPSFQAAMVAARDPFCAK >Et_4A_032122.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:19150136:19150405:-1 gene:Et_4A_032122 transcript:Et_4A_032122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAASSSTRSLATTCLFALLLAGFLAADARRLLDDMAMPPALAAASPAAAPGPESGADHARRILFEGRGLLDGGLRLAGRLLLGLGL >Et_9A_061559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13689396:13690363:1 gene:Et_9A_061559 transcript:Et_9A_061559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTACPPSPRTFSGESSTSSPPRAASTSVLSRRWGNLWRSCGAVNLVARVHDDSKPYRGCFLLLPRDAFFAYEEAALAHVDAPVTKLTIHVEIKQDEFVLEKFLHRDSDWDMTRDAVGSLVSHRAARRVEELRVTATAIAIAGWHWYARGGGYKLASLPSSETLRLLDLTHCNGFSAAAAFPLLATLRLRLCTVPAKDLQALLDAAPVLATVNLESVSFNSEPFAVPSQPRLGERRYYAARGNGLHQISSTAHSHALSMQINVALAQGRSTLMLRARHRSPATGRAHQRQQRRRHWRGGSRRLCP >Et_2A_017225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:32117863:32118515:-1 gene:Et_2A_017225 transcript:Et_2A_017225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ACVPRALLPAQCTVPLSHPTQRPQRPPPPRQNTRVESPGLLRPPLVQAAVQTQDMMSSSSSSSSAAAGYALAATAMALSGTLVLFSFCRAKPDDAAATEAPRLRPCLSSSEKRKREKARRGSKKRVRFADDVVDNGVPATAPSPARRRVPVESAEPSWGSVAAAMPANREALYRGMLRDRSILRVACSY >Et_8B_058654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13868224:13868607:1 gene:Et_8B_058654 transcript:Et_8B_058654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLIALALLILACSYWKLNTLLGSGDADADASTGANGDAASPAAFADLVAVVMAGEKTPTFLAAPIVRRVSDDTAAAVAAGEASTETEEEKNGGKPGEGESADNSERSDRQTDHV >Et_1A_006581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24891436:24892638:1 gene:Et_1A_006581 transcript:Et_1A_006581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHACASATGTLPTELLLEIAARCKDVATLFRCAAACKLLRREIASPDFLRRICKTPGDMMPPRFLGFLDREFSLVHPTTSPAAESFAANHLTPYVSRSAGDLLKHYEAVTSRGGLVLVKRGDMDWDQCSERKSDMCVYDPMTGDRTFFPLPPDIDQNNGYKPPYNVRTVSSSDASGQWSPVSHAGDPASPASASLMFCIDPAVVVGSVVHFLILGYVAYVLTYDVGKAMLGTIGLPRDCDVEDDYAHIGSTPDGNLRLFAPIHGLATLSFWWWRDEEEDESEEDDEDDDFEEFFSSWYSDCVRVEGIGDQKSGVVFLRLPNSKILLDMDTADVRRMTKRIGLYGVPYMVDLSSRLSTMKMF >Et_9A_063355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21093865:21096554:-1 gene:Et_9A_063355 transcript:Et_9A_063355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGTPFLLSPVTGRAVTPSSAVCDVEATAGAAHRPAGKPPAADAGAAFVLESKGTWWHAGFHLTTAIVGPTVLTLPYALRGMGWVPGLAALTAIAAVTFYAYFLVSRVLDHCEANGRRHIRFRELAADVLGTQTNTAPRCFLPCHGELPTFAMCSAHSIMFERNRSGWIFYLVVTVQTAINAGVTTGSILIAGNCLQIMYASLVPNGPLKLYHFIIIVAVVLAFLSQLPSFHSLRHINLGSLILSFAYTILTNIRATRSPTGVASDAPVKDYSLSPSKSEKTFDAFLSISILAAVFGNGILPEIQATLAPPAAGKMTKALVLCYAVVFFTFYFPAITGYWTFGNQVQSNVLQSLMPDSGPSLAPTWLLGLVVVLVLLQLLAIALVYSQVAYEIMEKNSADAAHGRFSKRNLVPRVALRTAYVVACAFVAAMLPFFGDIVGVVGAVGFIPLDFVLPVVMYNIALAPPRRSLVYLANVAIMVVFTGVGVIGAVASVRKLVLDAGKFKLFSDTVVD >Et_9A_062033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18995622:19005647:1 gene:Et_9A_062033 transcript:Et_9A_062033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEGEGGRERETGVVEAVHAFWVEFVLIYYCNRLQHFQQWIRRRLSIVFIGHLDSGKSTILVHLLYKLGDIDKHVIDGVENAGFEVGKRSIKYAWVLDKLQAERERGITIDISLRTFETQKYHCTAIDAPGHRDFIKNMITGTSQTDCAVLIIDSTTGSFEAGISKGGQTREHAILAYTLGVRQIICCCNKMDATTPKYSKERYDLIVNRTSCFLQRVNYKPEFIKFVHISGFDGDNLAERSTNLDWYKGPILIEAIDQFNELERPLSNHLRLPIRNMHYIEGMGAVPVGRVMSGALRPGMKIKFGPTGFTTVTKMVKIPKDSHEDFHAEAIQEAHPGDFVGFTVGLGGENICQGDVASCFDGDTAMEALSFRSHIIVLNNPGPGHIRVGHKSVLSCHTSHNSLIFSKLLLKIDKRSGTVTQKNPEFLEKGDAAIVELNPTEPMVVEAYKKYRSLGCFVLHDKDQTIAVGIVQDVTTEPWSDCPHDIVELVSYLHGNSLRRQMAFTCKEWRYSVLSAPRPALLDSDMGISGGCGIDSSWKWEIADIRAHAAVFISWNEPFVGLGWSPTCFTGLEAGYVYCSEGRLRDDPRNQLRAFVPGRAYIVGTDVKLDRSTTLAEALIPGRVWSHFGSKEYTPVAGFLEQFDKVSCQSN >Et_3A_024469.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21055717:21056998:1 gene:Et_3A_024469 transcript:Et_3A_024469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DTRWHDSVGSDGVEEIDVWAEFQNLTGDVISRAAFGSSFSEGRKIFQLQSEQAQNAVKMVNAMHIPGYRFLPTRLNKRMKENANEVEELLKGVIKKRERAMEDGLSNVKESKESGSSKPMMTTQDIIGELKLFYFAGMETTAVLLTWTMVVLSMHPEWQDRAREEVLHIFGKNQPDSEGINQLKIVTMILHEVLRLYPPILLLGRETYQETELGGVAFFPFGWGPRICVGQNFALLEAKIGLAMILQHFMFELSPSYSHAPCPISTLQPQYGAQIKLKKL >Et_7B_054021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14310236:14312687:1 gene:Et_7B_054021 transcript:Et_7B_054021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAARQRQELAAEGQRHLEETIAAAFQILASMNDELCNPALWSSASTAAAGSQGQHPSHHSAATPPLHSADSDAADPAGGGSGPAPGSGGSLDEARHRYKSAVAALRASIAAVSSCAQDMGSTEVKADQTEIERLEDHATSLRKEIESKNKQVKLLMDQLRDLITDISMWQSPCSV >Et_2A_015112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30356987:30357430:-1 gene:Et_2A_015112 transcript:Et_2A_015112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLIAFALLILACSYWKLSGDGSGADGDQKGTAAGTARPAAGFQEHVVVIMAGEEMPTFLATPVASRAVVEIGATATAVPDSCGCSSSGEEKKVEDNNDNASSQPRLEAEASVASIQSHESSSSSSSTTSLQESSQ >Et_5B_044810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:6019912:6022452:-1 gene:Et_5B_044810 transcript:Et_5B_044810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAAQQQAAPPPPYRPYRQVRAATPHSRAVSCVRFSPCGRLLATASLDGTVALLSPSTLAVIATLRGHAAGVSDLSWSTESFYLCSASDDRTLRIWDIRPVLAGATPGGQPDPGADRCVRVLKGHTNFVFSANFNPQTSSQVASGGFDCTVRIWDVKSGRCTRAIDAHSDPVTSVHFIRDGSIIVSGSHDGSCKIWDAKTGACIKTVIDDKKPAVSFSMFSPNGKFILVAMLDDSLKLCNFATGKFLKVYTGHVNSVYCIQSAFSVTNGKYIVSGSEDNCVYIWDLQGRNILQKLEGHTDTVVSVSCHPTENKIASGGLDNDRTVRLWVQDN >Et_4B_036354.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:19605668:19606069:1 gene:Et_4B_036354 transcript:Et_4B_036354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPGPSSSAALSFLRASCALTLDRAADCYNLLLPYADSFHGSLARVARTSGVLAAARQHDFTTELARLKLRGTGAGSVADETLDGCLDTISSDDTGANVTLTRLDRLVAGIKNEKEFKSERSLAQYWLY >Et_1B_013950.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25287145:25287546:1 gene:Et_1B_013950 transcript:Et_1B_013950.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSYLVIDVRGPEASLRAVVRVGREQARAAILEELVDVLHNVPRLAYGPAVVDEHGHLPVNRVGAEEEVALVAEEILLLDVAVGDALEVEREARPHREWARPPAQKRQSFFFFFFFPEGGDSRFTLCHCCVE >Et_1B_012929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4149834:4151354:-1 gene:Et_1B_012929 transcript:Et_1B_012929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYALPCSASTCSIYRGGSARQAAGVARDGGGLRRPVLRAGPVTDVRARLHRAGQVRPAVVRRRPARTGAQLHVASHDAAHVLHLRLLYAELPGFAAVQSRWARGAARLSGFLSVSLPGSSGGGGAEKDGRQQPGSPELVNVDSGVFAGGPPVPVGAQKMLRFVDTSQVTMGPQGSPGYWLVTGARLDVDKGRISLHVKTSSSPCSLFLTAFFQPELYSLLLLKIKLTTTPTLILGIPVLQCKAYKRTVSKDHQTTILLQPTYFAASTAIPILFLSCSAKRELLRCFCHCLSLSSSIPPGFLIFS >Et_2B_022798.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5436866:5437492:1 gene:Et_2B_022798 transcript:Et_2B_022798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLKPSANLFALLDSDDPGDTAKDDAAASAPVNINRKQQPEPSIWKLKAIEANAAKKKNNKKKQQPSASKAKRNIAPAGAKRPPPQQQPAASKAYNYTSNAATNKPQTAAPVSLTGELFGRAYPSARYLIYQNRKPQNVPENAKGAPADSKKGGQDGAKQKGGHTNGVAVKSVPAPVQEEPAAPVKPLAPPPPPPDVEDLKQFPQLK >Et_7A_050738.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10652796:10658662:1 gene:Et_7A_050738 transcript:Et_7A_050738.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSKWLLNKGPLAPLWVAAFRRVDALTRDQVASTDIVASVDKILEDVEAPQRILALLLLGIVKIYSMKAEYLYLDYNKLFETICCTEPSTSTGRSMQQVQKQVKKAVRAGRRQDTTKVKQSFHAVRTTEISGPISSEGFSLRTETEIIVQTSVVVREARVAVDVPAFTRPERFELDSFDLGIAEDIDDGEDHHQSARQDISLEDDRHHTPFFYESYQRATCSYPVDAACIMPEYIALPPEVIGAINEVNNILELSTQCHEPDRENQNADSVWFTPVKDVLPPERMEIVAEANMDKDAGITSSFLLPESQEQQISENVLENMTSASLAANYPTTTEESEFGLFLGKSNTGSPVGGFQEHEIEENEFLGKSDTGPPVEGFEEHEAEEHEALERPVLSCKTQSINDLSPSTPEPLPEGIPGPPLSPMVRTPANIEKRQGTKKRKRGFFNKDDFLPTERKVKRPRRRVVFPTSDEVLVLSNSSIKEAISDTSDLTRRRKKAPHTYLDTWKMAKIDQTSVRLASITTVEAPESSCGEPVKARRRLAYKFSESRHSCMDAGATERENILDEPRIRKLGEPIDFESPVGCYTESGHLQDDACGRNDGIPGNRDESSSAVPSDDTAKEKGIPVNRDESSSVVPSDDTVKEKGLPVNRDESSSVVPSDDTAKENGVPVNRDESVNHIPLHTEALYVALDNIDEDTPMDEENTINEGLLSSARTRKVAGFLQLFQDLNNKQGTNSLSLNHALEGKEKRTSALFFYETLVLKSRGLIDVNQEKPYADIMLSPTPQFEAALQRPSSGN >Et_7A_050336.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:4517992:4518800:1 gene:Et_7A_050336 transcript:Et_7A_050336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRQNLNPPSMHAAVMIHTVCSSMQTELGSICNYEGHWKLAGTFLWHSLTSTSMAMGSTMSMPSALALMVVQRQSAASRSAIPCSSGQHFSAGLAPTTACTSPLSASTHASSFSVLIGHLPDGGDGDGVYGGVGTGVDGGGGHGTGVGFGVGQVGQAAAGASCATRTDTTTSRTGAMRWLLATTAMVVNCLPNADELFLA >Et_7A_051664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22080916:22086299:1 gene:Et_7A_051664 transcript:Et_7A_051664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSCMRSPAGAAATAAVSTRRAAGPPAAVAFARCGFGKSAAAARWRIDAVAPQGANSEWFMCWKLWHTMTFFSLNLSFMSSSVKLPMDAAVENAVTPTTSSKAENGAPAEITLDEFEDLSVLSKNDDSTHFTIFGYARSKMTDAELRHMVSKTLTCRIDKRENCSEKMEEFLKRCFYHSGQYDSEEHFMDLDKKLKQHEGSRVSNRLFYLSIPPNIFLDVVKCASKSASSVNGWTRVIVEKPFGRDSESSAALTRGLKQYLVEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDGYGIIRDIMQNHLLQILALFAMETPISLEAEDIRNEKVKVLRSMKPLQLEDVVIGQYKSHTKGGTAYPGYTDDKTVPKDSVTPTFAAAALFINNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLYKGSFGTDLDRATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPLLKELEEKRIAPELYPYGSRGPVGAHYLAAKYNVRWGDLSAEHYKA >Et_2A_017308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33077003:33087316:1 gene:Et_2A_017308 transcript:Et_2A_017308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPKGSNHYGQQPPYGGQQAYGQISGSSGISAPTAAGGGADGGRFGARAGQGAAGQYGGPYASVYGAQQVGGLGAKGPASSTLPSLPTRPTSLSESSKFSSAPVGSSLARPNDDYLAVRGYAQKLDQYGTDYTLERRIYGEHSANLGRRDSLGDLDRRYPDHIPAGHQIHDHLEQGSSMRHQPLLKAQLQPGSDTRQADYFAGRSAPVHQASQDIGAYGRVEADRGNVSILGSVPYGRQQTASLLEGAPRSNIDNLVYGQGSSSGGYGAGLPPGRDYAAGKGLLHPSSDPDYRDSILPRAHPGISMVDERRADRIGYRRELDLRDEERRRDLLMEREKELEWERERELRDLRDRERERERERDRERQREREREREREREREQRERERLRERREKERERDRKHGADPRREHTPPRVPGDRRRSSSVRSEKPLRRISPRRDAVHRHRSPVKEIKREYVCKVFPFRLVDGERDYLSLTKRYPRLAVFPDFSKIVLNWTKENLDIPLYTPVSLEHDIHEVDESADERSLISSEKTSSTNTPATIWNAKVLLMSGMSRGAFADITSLKTTEERLVHMNNILKFAVFKKDRSLFAIGGPWNAVIDGGDPSIDCSCLIRTAIRHAKESVQVDLSNCTQWNRFIEVHYNRIGKDGLFNHKEITVLFVPNLSECLPSVDVWKNNWITYRKAKAEREQLALKKEKSPGDSKDQKQGEPNQGKSTDAGRLTEDDAGCSDIKHENVDASMDQLGKGEDKVDKVEEPAEKMGVDVEGKTTGDTSSDQVVEDKKPTKKKVIKKVMKVVRKKATAGASADKTSQEGKNVVAATGSETAEVPSQQKSEDTGKEQEVAGTNQQPEAKKTGKKKIIRRIVKRKISASGSELAATAVPAETDKQEAQVEPEKTVESSTDGKSSQTKLEEGLKASAEDISNEKKEEKKEEKENTMSENQSLNMDVVDQKEIMEQKDTKKDVKNVKKEQAKDDKEKRSRDLKIDPKQKPLNDTKEKKKSDEPPKYPGFILQAKRSKESKLRSASLSLDGLLDYTAKDIEESVFELSLFAESFSEMLQYRMGCVILSFLEKLYRRYVMKRNQRKRQREEELKKEEKKSSEKRSKTTHETIAENTVNPGGKNKITKEGEEKMITDDSGASHDELTKECQGKLNTGHSTSNHDEPVKEFAEKITDNSEAAPNVAEADTQMDDEDPEYEEDPEEIEMYEEDEDMDDAPAEDLVEKKNEDNKETKPEVTAEDGGNKKTVKEPELENVSNKAASAEKQSISEKGDLVEGGEKTVSREVKTAKDEVVDKDLLQAFRYFDQNRAGFLKVDDLRCILHNLGKFLSNKDVKDLVQIALVESNSARDNRIIYPKLVKIVDL >Et_1B_013608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9916857:9919266:-1 gene:Et_1B_013608 transcript:Et_1B_013608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAKRRRGERAGSRAAKRQDRTTNPQEQRLYLIFDDWPWGYSIREVNLSPPNFPPSLPPQTKALCGACQRLSSAWKRRGSMPCTSPPLGPGSFGDELDPDGFVPIVDVPSRGVTFGPGQLYPDDPIFLPVGAGLYALDTCRFSMLSLEPLWPPRLENPFCSSDSWLWGELPKPPFDRVAITSYAVDADEQTILISTDSSYLLHNDQSTEGAATDERTAESDAAVATFTFNTKERFWKLLSKWTLPFHGRAHFVHCLKAFVGLSKDPATQGHLCACEVTATNLPPAWKLSKEKLFSEEPAETHVGATLVYMGGSKFCLVQSVSIVDSIGDDNSDDLENLEEEGDGIADGQEQLEEEQLEEGDGCADGQEQLEEGDGTADQEQLEEGDDTAEQEQLEEGGEVPRCTSSYIYRLTTFSLGYDDNGDLTTGESCRVQSYKVPEETTEGFFQTDPVAFWLKDAKRDSVHALFMIEFSLVMWLLGKTVGIS >Et_6B_048604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11305404:11306047:1 gene:Et_6B_048604 transcript:Et_6B_048604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKRIHFSEGRPKSPSSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEVEERREEERKKMKQKEEERRRQYEAERKQREEAERRRKQEEDRLAHEAREAERERMRERARRAREAGPDAFRKGKYPRCTQ >Et_8B_059988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4791425:4793832:1 gene:Et_8B_059988 transcript:Et_8B_059988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVVVDDDILWLFVAYDVSFTCLAGAVACPCVDKQIFATDVDRAGKFSVVPARPRSSTLMVGMDLGQPRSFDPFYKDFSQSKLKKLPQNYTMTQ >Et_2B_019258.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20612502:20614115:-1 gene:Et_2B_019258 transcript:Et_2B_019258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVRVVNVTRVHPAAQTGDPSPPCHYGEYKLSFLDFVHLAKRPIQRLLFFDGPDLPPFPSIVRTLQSSLAATLAVFLPLAGKLAFRAPDDGDDVVVIDCSPDAVSSGVRFVEAEFFDGDMRRLARDAEHDTDAFEQLVPDLDAARLPAPVLAVQVTGGDGGTVALGVAIHHAVADGHAVWQFMRAWSTRAREGSLAGLPTPTFDRAGVRHPKGGDLTRAVLRLFAPELPLSSAAPTHDTAQQSRRTFVLRAKDIQTLKQRILLQQQIGALTGRSEEAPPKPPSTYVAVTSLVWTSLDEDDCYFMVSADCRRRLSPPLGDGFFGNCVTAFYAVARRGDLRERGAAASLARAAKAIGDAVREHLEEDLDPLAGFERFLAAYRAVPRERVSVVGSSHRFAAYETDFGWGAPTRVELVSLFARELVTLVSVALDRAVMEAFAACFVVPAPNSSDGE >Et_3B_030096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30395106:30396366:-1 gene:Et_3B_030096 transcript:Et_3B_030096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKEKEKEKEEKKHDGTDPVEEEEEDEEGNKRTVVLGPQVPLKEQLELDKDDESLRRWKEQLLGQVDTEKLGETAEPEVKVLNLTILSPGRPDLVLPIPFQADEKGYAFALKDGSPYSFRFSFIVSNNIVSGLKYTNTVWKTGVRVENQKMMLGTFSPQPEPYVYEGEEETTPAGIFARGSYSAKLKFVDDDGKVYLEMSYYFEIRKEWPATTQ >Et_8A_056654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14503831:14504565:1 gene:Et_8A_056654 transcript:Et_8A_056654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLAQTLTLARPAPTSLRGLATKVEVIEIDLSEEDPAAAGPSSNPSSVEVVGIRRLEEAIHGVMVRRATPDWLPFVPGGSFWVPPVRRPHGVAELMGRIAAAGGAEGVVGAIGGSAVEMVELDAPMTEEEALSFSTARGWPSASYFVEASLALSIGCGLLDISTHQCLVYTSTQ >Et_5B_045419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21773771:21776661:-1 gene:Et_5B_045419 transcript:Et_5B_045419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGKGKEVESRCAACQHFQRPYCVPGCVFALYFPPGDERFAAVSGAFDLAELTEFLRGKEEKHPDEATRAQVAQNLVDKARRRLAALAEQQQPSAAAGGAVVPPPPWGGVREPRGALCLPGDDSARFAAVHHAQQQPSAAAGGAPGAIMQAPPPQPPPRGNQPCLACSHAHHGCGPGCLLAPYFPPGSDSARFEAVRKVYGIKNFTAMLRNVSPEQRGDAAATLIYEAQIRSLDPVRGITGNISALAEELRQVLQTSAAAKLLAERYRMEAESTARFFAELRLQQQLPDAGDSMAGIANFGTPMDMDQQWAYGVIGPFLEQPAAADVPAFLMQQPPPQAPTAAADVPGSSTGVTAAFPEPAATAPAFLMQQPPPPAPTASADVKDTGLPDLNSSAGLLNISVNRKLEFIPCGPGLFDCQSDLLMVGRFQKEEASKAMSSSGKGKEVDSRCAACRNLQRPYCVPGCVFALYFPPGDERFAAVREAFDIDALARYLYDQNPEEWADVAHAIVKEAIRRLARRLAAGVQHLAAAGGWRLAESAAAGGAVVPRPPWWRVREPSTAQFLPRDDSARFTYVARTEAVLHALQRLAASARLISERRLPH >Et_3A_024836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24732561:24734496:1 gene:Et_3A_024836 transcript:Et_3A_024836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLGLDRVLDCFSLSLCTNACVCIHSTEEEDEDEENERRALVSRQLQELVKLKDFVDGAAKTLAFHLEPKTVELKVSMHCYGCAKKVQKHIAKMDGVTSFEVDLEKKKVVVIGDVTPYEVLESVSKVMKFAELWVAPNPKQQQQQADR >Et_6A_046803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20845401:20852364:-1 gene:Et_6A_046803 transcript:Et_6A_046803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGLSVGKSVLNGAVSYAKSAFAEEVALQLGIQRDHAFVADELQMMRSFMMVVHEERDDNKVVKTWVKQARDTAYDVEDTLQDFAVRLKKPSRWRILRALLERRRIAKQMKELRAKVEDVSQRSLRYRLTNGSGSKAAATAAEQSSVIAAAVFGVDDARRAAKQDNQRVDLVKLISEEGEEPKVIAVWGNKRAEYENVDVQRKFPVRAWVRVMHPFSPKGFVQSLMNQFRAADGAEELFEEEKIEQDLTHKFKEHVKENRCLIVLNDLSTIEEWDQIKKCFRNNRKGSRIVVSTAQVEVASLCAGQESQVSELKQLSADQTLYAFYVKGSQNEEDSKKQVSSSDGATTSIIDYTVASDEIVKDQSKDTAELHKMVKKTLSRMRTRAGALEESQLIGREKEISYLNKLISNSDEHQVQVISVYGMGGIGKTTLVDSVYQMQKLGERFQKCAFVTIARPFQLVELLQSLAARLHKAFSKEEFVDRGKSCLIILDDLSSITEWDQISPLFHQMDKTSQIFVTTREENIAKHCSGQQGKIHNLQVLEQEEARHLFSKKVFGNAADLAEKNPELIDEANQILKKCGGLPLAIVTIGGFLANQPKAQMEWRKLNENISAELETNQELGKIRTILEKSYDGLPYHLKSCFLYLAIFPEDYTISRRRLVRRWVAETHGKPANEIADSYFKELKNRSMILPSQQSVLSRNSIDCCKVHDLIRDIAISKSMEENLVFRLEEGCNVSTHGAIRHLSISSNWKGDQNEFESIVDLSRIRSLSVFGKWRPFYISDKMRFLRVLDLEGKGGPLFPNLDHIGKLLHLRYLSLRGCVGIVLLPDSLGNLRQLQTLDIRDSDILILPKTMISLQKLHIFEIGRFDTPDFQTLVRSMTLAFVMRALKGDGGVMVPRGIRKLRDLHTLRDVHIGRGNAVLQDIERLTGLRKLGVTGINKKSGPAFCSAISSLSRLESLSLGSSAGMCGCLDTMSSPPANLQSLKLYGNLETFPEWIKELQHLVKLKLVGTRLSENDSALKYLGRLPKLDILVLSGQSFEGDALHFQSPQTDNAFRNLRVLRLAYGRMRSSEFRMKSVKFDVGVMPKLELLQLLQLSWVTNEFDISGLEVLPNINEVQLTVLFDIEKKKQRELRAASSRQDRDKIWEEIDQRQKRKEGEYKNKIREQLDKNPNRPVLTWY >Et_4B_038054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25797915:25802752:-1 gene:Et_4B_038054 transcript:Et_4B_038054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLDWDLNLEPDQQPLARRLSFSDADSAEPLRASLLLQMNRRPPPAAPKRPVLPSITENAADEAAAAETPVRPPQRAAPPSARVTSSDAPYSVQGGMPHPTSAPVATLYPALGGMPHATSAPVATPLVFAPPAPFQSQQQLQEAAAAAARSEYQRQLQAATQQQKQGFPLALAPRLRLLTVDKRPAGYETKWSELHPLCQRFLLCIEYGSIRILSLPLCFSSEIVERGASLLRFVIRVGVFYDNEPIVTSSFRKILMEHKYQSQLLDQCSWLHDPSLYNKSFELDASQITQEIGSTSTIMDREKVSIKGLAAVVKEMMWNTDIALCSLKKLRSRFVQRSAAGAAGYANRPGSFGGYTEFNQRLTKAPTFCCYSGAPRRPSPFIQLCVARLEERIGECLKWVEELEQLVATKNDKSFLESLESLSKVMSNVHDYFIHVASKVEDIHQAVEAMKIQYLNDRRCTGDWNDPFAEADRRESAKQEAAVRTIHPTLCLSPPSQPMPPVTASVMASQLQQNSPAVGTFPSSGPTLPLPLLLPTSSTQPRPAPVTNSFSSSSITSSTTSLFATPPGGTFPSAGPTLPQPLLLPASSTQPRPAPVANLFSSSSITSSSTSLSTPPGGGTTASGFNRFLDHVRNALLWLVFIVASAATT >Et_9A_062370.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22285005:22288125:1 gene:Et_9A_062370 transcript:Et_9A_062370.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICAPPSDSLGSGARGWVVHGLALGAAAAAAAAAAYLYRRPAGFRSRAVGIIPARTWERVMLASSLDHVVVATDDERIAECCRGFGADVIMTAESCKNGSERCCEALSKLEKHYDIVVNIQGDEPLIEPEIIDGVVMALQRAPDAVFSTAVTSLKPKDAFDTNRVKCVIDNQGYAIYFSRGLIPFNKSGEVNPNFPYHLHLGIAGFDSKFLKIYPELPPTPLQLEEDLEQLKVLENGYRMKKPGCISNDDD >Et_2B_021695.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:4528814:4532884:-1 gene:Et_2B_021695 transcript:Et_2B_021695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGTGGARRRRRRTLRLYWCYVCNRAVRAVSSPSTDVFCPRCFGRFLHEIDLPAPRPLLPPADRFFQPPFLPHDGPRRWIIYTGDVDEPFRRRRVPSPPPPATPHRSDGSDDDAPPLPPPPPPVGWDEFLVGPNLDALIERLTQDDRPGPPPAPEPAIESLPTVRVSPAHLADGSQCPVCKEEFELGEAARELPCRHAYHSDCIVPWLRLHNSCPVCRQELPQAAADASSPLPDGGSGEGSGETASPAGAAQVVMAGWGPLAWLAWPRGPDADGLGRNEEEDDDAAGGGTSAPAILQSFVLVAACVFFLSFFPPYWVLYPGPAFDSDSDDDASILLRLPPHRRRRNARTGIVGPSLDALDRRPRAATRAGAGHRVAAYGARLAGALSDGSQCPVCKEEFKIGEAARELPFGGSASTAPAPSAGRSCRRRRTSLLTAALKGAARRSRRLMVWRGLRRMPRTPPAVVLVPLLSYSLLCSSLLVFSSSPLFELWNV >Et_4B_039334.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:1004749:1005126:-1 gene:Et_4B_039334 transcript:Et_4B_039334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQSALSQALPVSTEAYGHSITTPADAGDSSSSSPAVAKLRKLLFRRMLIGVKDGRYFLGLFHCIDKQGNIILQDAVEYRSARASQPPTEQRCLGLILIPAACRSSCQVDCSVEEKMSLLCLDE >Et_4A_033701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2664780:2674883:1 gene:Et_4A_033701 transcript:Et_4A_033701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAARSVDRHGATRGGGARSKSVAPGLRRPAPSPARARPPANHDGSSDSCRVRVAIRLRPKNAEELAHGDDFDSCVELQPESKRLKLKKNNWSCESYKFDDVFSENASQKRIYEVVAQPVSVLEGYNGTVMAYGQTGTGKTYTVGRLGKDDPSERGIMVRSLEHILSSMSFETDRVAISYLQLYLESVQDLLAPEKTNIPIVEDPKTGEVSLPGAAIVEIKDLEHAFQILEIGEANRHAANTKMNTESSRSHAILTIHLQRSSRIKEESSSSISNDAGDIFPDELPLVLKSKLLIVDLAGSERIDKSGSEGHMIEEAKFINLSLTSLGKCINALAENSPHIPTRDSKLTRMLRDSFGGTARTSLIVTIGPSARHYSETSSTIMFGQRAMKVVNTIKLKEEVDFEILYKKMEREVDQLTSEMERQQKLRQSEKMLWDKKMEECEMSFRDLKKTSNIQIETLENEKHQLESAVRKLAHDLAEEKGQKTLLSKKVTHVEKLLNETKQQQLENITKTKILDDPAKQHEKETGELLRQLEEERRCSASYKDRLSVLEHQLCDAQSSFQELIYDELKSTQEKVQQEIRQRQDLEDQILRLKQSGPDYCAEESKTSCGMVRSGSGLGNASYLSKSGKLREVPSSQRGTISKIFEEVGLPNVLALLKSEDLDVQIHAVKVVANLAAEDINQERIVEEGGLDALLSLLETSENTTIHRVTAGAIANLAMNGSNQGMIMNKGGARLLANVASKTDDPQTLRMVAGAIANLCGNEKLHFMLKQDGGIKALLGMFRTGHNDVIAQIARGIANFAKCESRVISQGHRKGKSLLIEDGVLTWMVANSNRFSASTRRHIELAFCHLAQNESNTCDIIASGGIKELLRISRESPREDTRNLAKKALDSNPAFLKEVL >Et_9A_062411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22617623:22619531:-1 gene:Et_9A_062411 transcript:Et_9A_062411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGGGAKKKTAGGGELSRFLESHLQTINDTFQMMAEASPGGGLERTEWSEVLKLGDQVSRQATVAGMLWSGDLPDAATLKENIEAYFNILQGFLLVCHGSTVGAGPTLHKAICSSAKNVIESSFSLFKQAVSAYESRSADRKIIIPQVTGTVWEACAGLKKVPTTNCIAIGRAMTQVGVYLKDVLREMNELPIGISGDDTAEKSTNGTADTASCSDRDEMSFDLDLDDDFTEEEIAVAKLVITVVSDSLAVLKEAIRFITGLLKGSGNQSGANEDKVDTMEKLLICCKEIADQVNDLGAAVYPPQDSADMKLTVKRLYDGISGMRKEIGHLGGSPAGAFAALDGLEKCLGALEAELEDDVTSEMENLTISQ >Et_5A_040798.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12775451:12779586:1 gene:Et_5A_040798 transcript:Et_5A_040798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVSSLSPSLSGDEEVEEEEEDEGVDGYRKGGYHAVRPGDRFAAGRYVAQRKLGWGNFSTVWLAFDVESEKYVALKIQKSAPEFAQAALHEIEFLSEITKRDPSNCKCIIQLIDHFKHTGPNGQHICLVFEFLGDSLLKLVQYNRYKGIGLDRVRQICKSILVGLDYLHSELGIIHSDLKLENVLLLSTIDPSKDPIRSGLKPNLERPEGNPNGEVGLNPIEKKLQMRARRVLAKLAERRKSVIESSRAERSLDGIELTCKIVDFGNACWADKQYTDFIQTRQYRAPEIILGAGYSFSVDMWSFACIAFELATGEMLFTPKEGHGYSEDEDHLALMMELLGKMPKKIATMGTKSKEYFDRHGDLKRIRRLKFSSVERILVDKYGVPESDAREFADFLCPLLDFAPEKRPTAAECLQHPWLQYNDDKSLTDTCDKNVDAKCNTTSVAKNASTNADVQSSMGSIANRHAMNADGQPKIGSIVNKLANNADINLNIGSVVNRDAKTSDVKPHTVSINGSNVKPDTGNIPNLNCKTDDIKPNSGSITSRDEMNKDVNDTGSVGNKDVKRSIRSIVNSYIKNFDAKSNTGSITNDAKKVDNTPRARSVTNVDDTNSASVKPNSRSVQNNDAISSDVKSNSAIVANSDAKNVDVQSNIENVVSSEDNSVDSKPNIGRVAASIKRLENSMSKVQIGRYR >Et_2A_015173.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:34330850:34331230:-1 gene:Et_2A_015173 transcript:Et_2A_015173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding YLEAFYGTSKYNTTDNTREVQAFHLLRYHRNPTAHGLEEAVPQGPVHASTVPGTSLPAASLPPKFKPRVAAKPGDVVVVPQFNRPDISYMQYAVESLFLHSLQVAMHTIGELEGQSLQYLFAYPSY >Et_3A_023331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21143831:21144761:1 gene:Et_3A_023331 transcript:Et_3A_023331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASADPDTAPGSPSPAFPSLAAGTGGAGGGGGGGGSWCVASPSASPTALQVALDYACGYGADCSPIQQGGSCFNPDTVKDHASYAFNSYYQKNPVQTSCDFGGTATLTTTDPSSGSCQYPSSSGGGQVTVTPTAPMTPTPTVPMTPTTPDTTTPTTGTPIYGMTPPDYGGSMSPPTFGSSSPPDYNDVGAATVTGQQGRGAVALLCVLVATICLLHVSK >Et_3B_028479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1610751:1619047:1 gene:Et_3B_028479 transcript:Et_3B_028479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGESPMENEASTRSPAAAGDATTGHGHGIVGVAPGQKITPEERMAALRFSKAAHEDALRYADMTEEEEMEEYRRAGKLHKYDPDKEWQKRAARLAKAYLPPRLLAHPRIQELLTYLDDDDEDDQAPGSVDGAAKTSGVHEQTAELESIPAGVVTRGKMTPEERAAALKFAEAAYAEALRYHAMSEEDVVEEYRRAGKLHRYDPDKEWQKRYARAALRQSPSLHTSTNTSSTSRKTKPTRLLPHQPPMENEARSKIPAAQTAAGDATHHGEKAETEANPSLGTVSSSVGLKKKKKFTPDEKRAARTFLDAAMKIVEQYNVMTEVEDEYRRAGILHKYDPDTELEEKRIARVARKYPPPAGLMPEIEDCLKILDDYEKKYTR >Et_9B_064606.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16887370:16892541:-1 gene:Et_9B_064606 transcript:Et_9B_064606.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRKRLPIVWFLFTFLMAFQLCASLNQEGAALLRMKEAIDADPYGALLDWYEGDVSPCAWFGVECSYDGRVMALNLSNLGLKGILAPEIGNLMHMRSLILHNNSFYGMIPKEIGDLQGLKALDLGYNSFIGSIPAELRNLLSLEFLLLKGNKLSGGLPVELHEVTTMNKRSVATRRLLESKQKVSQKNKILGSGTPTFVPSVSNAEEMAISFQPTTVTPQRVSTPLNPPPPPSPSEPIPPPPVSSVVEQTTPQENKSSRASIMYALIGAAIGFVVVALLAAYTFCYCRRKTSTVVPLSATSSIQLQTTAMQGITLFRLSQLEKACEGFSNIIGTLPGCKLYKGTLPGGAEIAVVSTSVAYAGGWSATAEAHFKNKVEVLSMMNHKNLMNLVGYCEDEEPFTRMMVFEYVSNGSLFEHLHVKEAEHLNWQARIRMAMGVIYCLEYLHLQNPPITLSNLDSSSIYLTEDNAAKVSDISFYTGKKEGKGEFDVSDEEDTVYKFALLLLETISGRRPYSDDDGLLVLWAHRYLTGVHPVMGMVDPTLSSVPEEQVRALAELIRLCISEDPRQRPTIAEVTKKMQDITGITEDKAIPRSNALWWAELEIITELSAFRSVQAMIAHTDQKSRHLLLLAALLRRARLSHDSDGGDSGGILPDMERSAACLPPPLRSLRGLGPSPPPSVAERASLPSAFLAASAQYLLLVPRSAVPHRRLDGPSLSLSLLSSSRSLRSFSRTSPSVMLR >Et_6A_046502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:16233390:16236529:1 gene:Et_6A_046502 transcript:Et_6A_046502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTVSAFLFPVLVLLWSPYATCLVMHGNETDCMALLDFKLSCSDPHGSLTSWNTSRHFCSWKGISCSQKHLHRVMLLDLTNQGLIGYIPPSLGNLTYLRTLRLSNNSFTGEIPVSFGQLRRLEEISMSNNSFQGWIPDELCNCSNLQILSLYSNHLKGRIPLNIGSLRNLVILNLSANNLTGSIPPSIGNITGLNVISLSENYLQGSIPEEFGMLSETSYLSLGANSLSGTVPLTLFNMSSLSLLGLELNHLHKAVLPSDFGSHLPNLLHLGLDSNNFEGPIPASLANASRLIDIGLSRNNFSSKVPSSLGTLHDLTFLNLESNHLETSDRESWEFIDSLVNCSKLQTLALSMNNLGGFVPNSIGNLSSQLNILYLGTNQLSGTLPSGIANLRSLIALSLENNQYNGAIPEWIGNLGNLQVLYLEGNSLSGPIPLSIGNLSQLSYLYLQDNKINGILPPSLGNMKNLLRLNFTNNSLQGSVPAEIFSLSSLISCELSFNKIDGTLPSEIGNAKQLMVLELSSNKLSGEIPHTIGDCRDLEIIELAQNSLTGKIPVSFGLLQSLKGLNLSHNNLSGTIPKSLAGLKFLNRIDLSYNHLVGEVPEKGVFLNASALVLVANSGLCGGVSELHIPACPVASSDPIRRRRSISIKTIMAVATTVVSLLLIVIVLTFLLHKNKHKEVSTSLPSFGTKFLTITFKDLAEATDQFSESNLIGRGRYGCVYKARLHGETDFVAVKIFNMEIRGANRSFMAECEALRCLRHRNLVPILTACSSIDSRGNDFKALVYELMPKGSLDLWLYPKEDGAQSYLSLAQRLTISLDIANALEYLHHGSQRPIVHSDLKPGNILLSSDMTARISDFGLARFFDNASTTTTVAVKGTIGYIAPEYAAGGQVMAPGDVYAFGVILLEMFTGKRPTDDMFKDGLSIVSFVEESFPDDILEIVDAQLKEEIDEFGDKERMETVVDSVRSVLRIGLSCTCPLPNQRMNMREVALKLQAIGESYEALQLIC >Et_6A_048135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:7296572:7298224:1 gene:Et_6A_048135 transcript:Et_6A_048135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLASLLFLPSSGAMWKQQRATIGARLSSGVQGLNLTRHIQEYHARHHAEHFRVCSGRPVTSLVTKFFRDKCLIDNHFHCSLVMLPGIFLTASNTSRITVEWTMVLLLKHPYKMKKVCSELAASLGSKDFVQESDLSKLTYLLDVVKETLRMEPHAPLIPRMVVADGVSLGGFTVPVRTYALVNLSSIGRDPKVWPDHEEFKPERFLGAKHTKKTSNQ >Et_2B_022131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9581449:9592740:1 gene:Et_2B_022131 transcript:Et_2B_022131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKLVAGVLALEALIAGFHVVSRAALDMGVSKMAFLVYRNVSALAVVAPFAYFIEKITFTQGFYILGLYYLSPTYVAVIQNSIPAITFMMASALRLEQVNLKGGYWLAKVVGTMLTIAGATIITLYKEISLTIHLAGSHKLRATEIISNTDFSWIVGCLLILANCLGLSGWMVLQVPVLKKYPAKLSLFAIILALGLIQLLAVAPFFENDFEMWKVHSGGELLTILYAGVVATGLAWSLKIWCINKGGPLFVAVFQPLQTVMVAIMAAIFLGDQLYTGGVIGAMIIVFGLYCVLWAKSMEKKSVGDQETEASMTSHLLHEEYTSKQSNM >Et_5A_041859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3525049:3529525:-1 gene:Et_5A_041859 transcript:Et_5A_041859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGEDIVICVAEPINEIVATTEVNQEVVIGTAEASQRTLDAVTEVESSLDDMAATNKHLCDSNVVSLEPALSGVTIVKEANSNSDCHNDIIEKTSEDTSTKMIVDDVPSDVTDIGIKLGVDIDRKMLEVNEEAGTIEASQRTSDAVTEVVSSLVDTPTDENHSETYGAGLEPASSSVTIVKEANSNSDYHNDSIEKSLEDDTSTKMVVDDVPPDVTNNGIKLGVDVDKKMLEVSQEAGTAEACLRTFDAIAITDEIHGEIHGETDGIGLEFASIGNTKVKEVNPRSEIHDETIEKYPKDNTSKKMVVDDVPFDIVNINTKLAIDDKLFGVIHANINLCADIECKIEQEKVLIANVDCNIEQEKVPTPPYAIELCADPNGADVAVTSESMILKNSFSENALICGKDYEYPRCTNGDCRLILCGPKDQVSEINPDPESQIKCVCIFHDSVSTNENNNVEGNKNADNSEMELEAKQEMVKTSSTIPSIGDCLQALDNDKEPHKNETMEELGFTGIMESDTKEDNPIGSSPEKLNLDQSSGDESMDEDVTNTKCDDLGGKAIVTSQNVFKEAMPIDTIVEGSAVDMKEVVAGEKPSKRAEKRKPEDHKIAANDEQIKRRRRWDVNTINICDQQASELIGTGTPKVFQSSFKLSFGRINSTANGDSPKERIVPPPQKPSTTSLRIDRFVRPFTLKAAQEFLGKTGSISSFWMDHIKTHCFVTYSSVEEAVATRNVVYNLQWPPNNGSYLVAEFVDPQEVKFKLESPSPSPLAYYPLQPLLQSCHQPLTLDQQGRVFSHVQRIWNLPLLDDLFKKTHAYPRIYYMPLSEEEVSAKLATCESNRRRRSYAKVIKGPSSRT >Et_10A_000151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12943696:12944928:-1 gene:Et_10A_000151 transcript:Et_10A_000151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLANAACVDTRWYGVASDPAVFRRFDALRRPPLLGFILTDRGDEFFPRRCLELAFIRATRGYPHLASATAKADFFFRDLPVDPDAVDDEWRLRGCSGGRLLLSRGQLGLVLAVYDPIARTAIFLHPCNIKVFRYSIHMVRYAIDVDEADGSFLVIGVVDCSAAVFSSRSGKWVVFKGDAFLKRTKKSLHEQFMAAGRFAYWRSDTKKIRGCDLVERILVLDTTTMKWSVITAPVPPGESYCVADMPENGGLCLISSKEQSLQLWIRNSTGEWVLKEELSLMDERMKKLRRDEWMKRVRILAARAGYVYMEFWSIRKSHSYLLVLNLRTKKMDMFRNNSDKPYRGPAFLFFMRLAPLLGPHDD >Et_7A_051686.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22942774:22945949:-1 gene:Et_7A_051686 transcript:Et_7A_051686.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLFCLAHGAARSLPVSALFGYHQFKERCMIEAGPHLWANLKVPEEEEPLMPIPEAEDDIDKELQLVYDLEDEEVEEETEV >Et_2B_019472.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:5829136:5829309:1 gene:Et_2B_019472 transcript:Et_2B_019472.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHVIGLCFFGTILPFFCCNVCNMIVIPMINEMELLILKKKNERKQAASAPGAPTQP >Et_2B_019368.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:25710297:25710536:1 gene:Et_2B_019368 transcript:Et_2B_019368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPAPATGATLCGKEEKVLGVQKAPGSCPYCGGGVAATDVEAKWVLCFLPLCLKTKRRFACTACARRLVSYPAILHD >Et_1A_007030.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30248512:30249844:1 gene:Et_1A_007030 transcript:Et_1A_007030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLQEVSAWRALGLVMYLLYAGFLAYSQKHPSCARRLEQQSDGGSAPLTIEGRHTASCSGLQSAADAVPALIITTTTRAATATTRIDTAFVMILDLVVNNVS >Et_6A_046552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:1695972:1700117:1 gene:Et_6A_046552 transcript:Et_6A_046552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFHVRVFFDPKNSTPVRSRYRDWQRRYTKAEEKRINVKWMNGFLNLCEQHTEMCDDILSNGDDNATLPPGPLKVLPVTTYSCITGGNCYHREYMTNNTSQSECRWVPTFPDSVTCFFSFETKTSQRLGYSRPKHMLQVFSLQLSHSESCPISVYGIFAIRDDLEPLRNYVFKRPRDDPVTVQQPLLWNVLDRALLEVDLWIKKEGDGSTDELLLSVYVEIDIGFSFDEKFTGRIHSDCCMLDMGYMFLSQSVEAVIEVVALVDNPNHVKFVAFSSCFDDEIMLFEGRHVEMGELARHVVAVKANGKLGVRLELKDSLFEWIFQDGTVVPSSSPDDSILNQFLVRVIFAPKKAHRPSRY >Et_2B_020868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24466693:24467554:1 gene:Et_2B_020868 transcript:Et_2B_020868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPASFQCFLTGRPVCTLPLPKPARRPSRISCKAAADEQDKLPSRRNDLGVKLGRLAMVALAAGVLALGPVDDAMAAKSGGRVGGQAFRSAPRSSGPRINNSRTNIYVNPPVAPPLGGYGYGGYGSPFFGGWGWSPFTFFAPGPSVAIGVGGGFDTLFLFLVLGAVVGAIRRFFDRNNDDYDDY >Et_10B_003710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5135272:5141674:1 gene:Et_10B_003710 transcript:Et_10B_003710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALPRALSLLAPSPPPLQLRVSSWCLAAGTGVRRRRAVAVGAKKRRGRGEHGEEEERADTHSFAPKAGEATGTFPEAVLLRKKTVREDGQVSPEFANADEEKLYEFLNIQLESDLNLKRMRHYEVVYLIHEDRVEEVEEVVSKVQDFVRQKKGRIWRLNNWGLRRLAYKIKKATHANYMLMNFEIESRYINDFKTLLDKDERIIRHLVMKRDEAITEDCPPPPEFHALRAQQNELESGDFNDDDVEGGDEPEIIFVDEANQGKYEDTRRRNRKLKAKKYAVEKC >Et_2A_016804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28285369:28288830:-1 gene:Et_2A_016804 transcript:Et_2A_016804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAATLRGLVGIAAAGGHRVRACGQQWSGSGGRGKAAPGSSSTSSSAPRQAQVRRGASAAAGNRAGWVSGGFEREDGKLSCGYSSYIGMRPNMEDCYDIKLTEIDGQRVNLFGVFDGHGGNFAAEYLKENLFKNLMKHPEFLKNTKIAIRKTYLETNKEILEILSNSYRDDGSTALTAVLMDQRLYVANVGDSRAIAIKAGKAFALSKDHKPNSKEERKRIEDAGGFVSQDDTWRVGGILAMSRAFGNRLLKKYVKPEPDIQELEVNSDLKYLVLATDGLWDAMRNQDAISILKSEDKPQAAAVKLTEVAYARKSTDNITCIVVQFHHDK >Et_5B_045227.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:1355540:1356451:1 gene:Et_5B_045227 transcript:Et_5B_045227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRGSSSGSNQTTLMAFSEPKPAGQASPPSSPSERPPAAPRGRRRAQEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGAQARTNFVYAHTAAAYNYPPFLAPFHHAQGPSSYHAPSSAMHYGGGQHGHGGGGIGSSYHHYHAPAGAASSAGERSMAPTAMVERADGALLDGGRDFFFSSADDNSGYLSSVVPESCLRPRSSAAAAEDMRRYSDADAYGMGMGLREDVDDLAQMVAGFWGGAADAEQLGACGFPAGGGHGGDMVASSQGSDAYSPFSFLSH >Et_2B_019927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15282434:15282735:-1 gene:Et_2B_019927 transcript:Et_2B_019927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQRLQVAESRSRSRSSMRLFGKHVFPRQIVLFAAGLVFFGATTYDVHRSIKNNEQPPTNEQMEALQDYINSKKN >Et_1A_006550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:24269923:24270774:-1 gene:Et_1A_006550 transcript:Et_1A_006550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIIFSKLALKDILRTSAVSRKWRYLWQVSPKLSFDGISMRGKHIYWRKKYIQKFINNVYAVLIQCRGRLVEELVIKFDFEAMLVGHLNNWVTFAVSSRTKFLAFDLIISRLQKIQLSFVSLQPPTQFNGFPNLQKLDLNLVHVNGKDLPKMLSNCRKLEWLGLVKCHLRDELKVNSPLACLVYLNVTHCRITNIALQAVKLATFEYKGPPVPIDLSKSSELETADIHFSREILELPMNKYQHTC >Et_1A_007048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30383647:30388669:-1 gene:Et_1A_007048 transcript:Et_1A_007048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYAGAQPVSPAAAGHQTPAAAAAGQQNHSLAFRVMRLSRPSLQPDPAALLRFDPRDVFLPEDALTSPDPSAAADFLHRLLHPADSATAVPGDFSFRDRFLLRDPADALALPGLLVLPQSFGAIYLGETFCSYISINNSSSFEARDVVIKAEIQTERQRLLLLDTSKSPVESIRSGGRYDFIVEHDVKELGAHTLVCTALYNDGDGERKYLPQFFKFTVSNPLSVRTKDITYLEACIENHTKSNLYMDQVDFEPAQQWRATRLEADEHPSAVKSAIGDLCKQPVLIRAGGGIYNYLYQLRSSSDESGQSKSEGSSILGKFQITWRTNLGEPGRLQTQNIHSTPIASKDVDLRAMKVPPIIYVERPFMVNLCLTNQTDKTVGPFEVFLAPGMSNGERSVQINGLQKLVLPLVEAFESIKFDMSLVATQLGVQKISGITMYAVQEKKYYEPLPDIEVFVDAE >Et_6A_046287.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:12085309:12092850:1 gene:Et_6A_046287 transcript:Et_6A_046287.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VYHDQYFRYPTMDETNDTCPSPKLHTRLRLWEFADRYIFEPVDGLADLFLSLNRTNGSMNLVEELPSRPPSANPKVQIVFGVIGVLKLAFGTYFIVITDRDCVGSHLGHAIFKVTGLKVLPCNNSQSTSSAEQKKMETEFSELLDAAERTIGLYFSYDANLTVTSQRLHELGDEFKSLPLWRQSEPRFLWNGFLLEPLIEHKIIHAEVKSEKVNVTLIARRCTRRIGTRMWRRGADPKGYAANFVESEQIMQSKGFTASYVQIRGSMPFLWEQIVDLTYKPSFDIVRVDEAPRILERHFHDLQKKYGAVVAVDLVNTHGGEGRLYERYAKSIEPILSEDIRFIHFDFHKICGHIHFERLSQLYDQLEDYLKKHRYYLLSDKGEKMEQQTGTVRTNCVDCLDRTNVTQSMVGRKLLESQLQRVGVFGANETISNYPDFDANYKVLWANHGDAISTQYSGTPALKGDFVRYGKRTTQGILNDLWNALARYYLNNFADGTKQDAMDLLQGHYISTVSQDMAAPNKGLLENYASFRLVSAIILGALMFMVMSLKQARNDARHLVLSLMWAGLCIGLTRYVKTNGKAFTNRPRFYQSRH >Et_7B_054149.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16103461:16108301:1 gene:Et_7B_054149 transcript:Et_7B_054149.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAHGWRFTPDPAEPSSPRNDLYNALRAVASLLEHEPVPSHHEVTRELSARHMEGMPASHSTLDSPAVVCLFVPVPAPEHDAAVVLRPLHDGQHLGCQRRRHHVAPSRERRSRQPQVHGAPGKATRPLVPSVGERRLVACPVAADWVVVELDVHPVEEPGPERLPQHPVWERALRRRCDPHLLLPLVAVRLEVPGQVVVVFVAPVLDVEVDAVQHGVAERPGLGGAAEVEVPEVVGDSLGVRLGGKGVLAEATTDGEEDQDFLGLAELDVGANGAP >Et_9A_061784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:16344574:16355527:-1 gene:Et_9A_061784 transcript:Et_9A_061784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSNAVYVQRPVANGRKSRAAASGRRSVAAAPSTRLAKACVIRSEAVSGRSQHVAPANAVADAAPITATKRVYVFGKGKSEGDKTMKQLLGGKGANLAEMASIGLSVPPGFTVSTEACEQYQIAGKSLPPGLWEEILDGLQWVEEYMGAKLGDPEKPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVAAGLAAKSGERFAYDSYRRFLDMFGNVVLDIPHSLFEEKLEHMKEAKGVKNDTDLTAADLKELVKQYQEVYIEAKGEPFPSDPKKQLELAVRAVFNSWDSPRANKYRAINQIIGLKGTAVNVQTMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEYLVNAQGEDVVAGIRTPEDLDTMKDQLPQAYEELVENCKILENHYKDMQDIEFTVQENRLWMLQCRSGKRTGQGAVKIAVDMVNEGFVDRNTAIKMVEPGHLDQLLHPQFEDPSAYKDKVIATGLPASPGAAVGQIVFTAEDAEAWHAQGKDAILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCSTVRVNDAEKSVAIGETVLHEGDWLSLNGSTGEVILGKQPLAPPALSGDLGTFMSWVDEVRQLKVMANADTPEDALAARNNGAQGIGLCRTEHMFFASDERIKTVRQMIMAPNLELRQNALDRLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNIEDIVQELCAETGADQEEALARIEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAIAMTNQGVQVFPEIMELGNQVTLIRETADKVFTAAGKTIDYKIGTMIEIPRAALVADEIAEYAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLGQGILQHDPFEVLDQRGVGELIKFATERGRKTRPTLKVGICGEHGGEPSSVAFFAKAGLNYVSCSPFRVPIARLAAAQVLV >Et_9B_064120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11470548:11472568:1 gene:Et_9B_064120 transcript:Et_9B_064120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRKGQMYNVRVIDREFSGRRAALIRVITTGLEPMCLYGHVNGSWEVRESKLLGAPGLPEPMRGINLFRGNMEHVQWLQEIAKRCDSWLISISFFVGANILNATGRSLLFNHINSLETVHEAFLQSETYLRLHHEEKE >Et_6A_046460.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15535068:15538777:1 gene:Et_6A_046460 transcript:Et_6A_046460.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGGGEGMMTREQLLRLFSRFSFLISLPEVKQRIADAVRDKQEAVAVTTEIQEEILREMDIDPSFGISCLGKVNVVYENDMDLMIKFYQFVAKEEMAIDEAELEPREFAEKLLSQQILQEQQLNMLVEMRKYSPDSQSVILGTLRQQLEKANFDTTASLLSPEQIREIGQKDAISNRRVPL >Et_3A_026868.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24386035:24386894:1 gene:Et_3A_026868 transcript:Et_3A_026868.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSAPHPLQLLMSDTWTKPYTREYAAFPAAWLRGAKFWPTTCRVDNVYGDRNLICTLQQASQVAEEAAAATA >Et_7B_054632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:374198:375750:1 gene:Et_7B_054632 transcript:Et_7B_054632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVLGIVVSNKMQKSVVVAVDRLFHHKMYNRYVKRTSKFMAHDEADECNIGDRVRLDPSRPLSKHKNWVVAEIVRRAKVYVTPSVMPSSEQNIKTGVGS >Et_3B_029660.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:26874069:26875358:-1 gene:Et_3B_029660 transcript:Et_3B_029660.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTQKGKPSDHGLVSTANASGSQISASPSVTQDTQSATVAYAPSTSSSFDQAAPASARSSVDGWGELEDANDHEENGSDKDGWDDVDPFEDKPSPSLLSNIQAAQKRPVVQPKQAVANASKSHPLKASKPEDDPLWGPIAAAPPKISAKSSDIKPSTSHNDVDDLWGDIAAAPPKSSGKPLKPAAANTDDLWGEIAARPPATKARPLASSRGRGTKPAPKLGAQRIGRTSSTG >Et_3B_030684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6327525:6331568:1 gene:Et_3B_030684 transcript:Et_3B_030684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSLITGKAGASGFGSSSTAEQVTDGVDASRLTVIVTGGASGIGLETSRVFAMRGAHVIIAARNTEAASEARKSIMETNPTAHIDVLKLDLSSLKSVRAFADQFKSMNLPLNILINNAGVMFCPFQLSEDGVEMQFATNHLGHFLLTNLLLDNMKATAKSTGIEGRIVNLSSVAHFHTYPKGIEFDKINDEKVYNDKMAYGQSKLANLLHAKELSRRLKEEGANITVNSVHPGLIMTNLMRHSFVLMKALQVVTYILWKNVPQGAATTCYVGLNPQVKGVTGRYFADCNVEKTSKLAKSKELGRQLWDFSEKLVKSAK >Et_6A_046718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19775760:19813251:-1 gene:Et_6A_046718 transcript:Et_6A_046718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHQQFYLAIQYLHILFLLITHEFIAHCFVPPKSKMAEGPHRTSPDKVKLVTVLSIDGGGIRGIIPATILAFLEEKLQELDGPDARIADYFDVVAGTSTGGLLTAMLTAPDQNRRPLFAAKDLVQFYIDHSPKIFPQKNWILSKTAGALRMVNGPKYNGKYLHALLRRYLGDVRLDRTLTNVVIPAFDIAYLQPTIFSSFELKHRPAKNALLSDIAISTSAAPTFFPAHYFKTKDENGRKREFNLVDGGLAANNPTLCAMSQVSQDIILGNDDFFPVTPADYGKFMVISIGCGSNRNRRYCAKAAAKWGIFNWLIKDGTAPIVDMFNSASADMVDIYLCVLFRALRCSQNYLRIQYDQLTGNAGSIDNSSKENMDNLVRIGKELLTKHVSRVDLETGRFVEVPGEAMSPVHVPELATNGSGAAGLTLNPAQRLLSRGGSLLSTPKTPSPAYGTCVTVLSIDGGGVRGIIPGTILAFLEEKLQEIDGPDARIADYFDVIAGTSTGGLVTAMLTAPNEKGRPLFAAKDINNFYLEHCPRIFPSVSGGPLGLMKSMAGPKYDGKYLHSIVQKLLGETRVSQALQNIVIPTFDIKLLQPTVFSRYDAMNDVSKDALMSDVCISTSAAPTYLPGHQFETKDKDGNTRAFNLIDGGVAANNPALLAMTHVSKQILLGNQDFFPIKPADYGKFLVLSLGTGTAKIEEKYDAVQSGKWGVLGWLYNKGNTPLIDSFSHASSDLVDIHISVLFQALHSDKGYLRIQDDELKGDTASVDVSTKDNLNRLVEAGKGLLKKPVCKVNLETGKNEPDPRRGTNEEELIHFAQNHVARAQLDTKGSGLILNPAQRLLSRKGSLLSTPKTPSPAYGNIVTVLSIDGGGVRGIIPGTILAFLEEKLQELDGPEARIADYFDVVAGTSTGGLVTAMLTAPNEKGRPLFAAKDINNFYLEHCPRIFPSFSMVLDGARAHTHTKEKKRKQMECVHVSASTQTNLYMLCSGGPLRLMKSVAGPKYDGKYLHSIVQKLLGETRVSQALQNIVIPTFDIKLLQPTVISRYDFETKDKDGNTRAFNLIDGGVAANNPALLAMTHVSKQILLNDQQDFFPIKAADYGKFLSGKWGVLGWLYNKGNTPLIDSFSHASSDLVDIHISVLFQALHSDKGYLRIQDDELKGDTASVDVSTKDNLNRLVEAGVNLETGKNEPDPSRGTNEEELVHFAQMLSDEPMPPVHVPELDTNGSGLILNPAQRLLSRKGSLLSTPKTPSPAYGSVVTVLSIDGGGVRGIIPGTILAFLEEKLQEIDGPDARIADYFDVVSGTSTGGLVTAMLTAPNEKGRPLFAAKDINNFYLEHCPKIFPSHSGGPLGLVKSMMAGPKYDGEYLHSIVRKLLGETRVSQALQNIVIPTFDIKLLQPTVFSRYDAKTDVSKDALLSDVCISTSAAPTYLPGHQFETKYKDGSTRAFNLIDGGVAANNPALLAMTHVSKQILLGNKDFFPIKPADYGKFLVLSLGTGTAKVEEKYDAVQSGKWGVFGWLYNKGNTPLIDSFSQASSDLVDIHISVLFQLTGETASVDVSTEENLNRLVGVGKALLKKPACKVNVETGKNEPDVHRGTNEDELTRFAEMLSRERRARLQKQGQNMISHVARAQLDTKGSGLILNPAQRLLSRKGSLLSTPKTPSPAYGNIVTVLSIDGGGVRGIIPGTILAFLEEKLQEIDGPDARIADYFDVVAGTSTGGLVTAMLTAPNEKGRPLFAAKDINKFYLEHCPKIFPSHSGGPLGLIKSMMTGPKYDGEHLHSVVRELLGETKVSQALRNIVIPTFDIKLLQPTVFSKYDVRPLDAYMIHTYIYCANVNFVSRTCRPRPMYPRTLFCQTKYKDGSTRAFNLIDGVVAANNPALLAMTHVSKQILLGNKDFFPIKPADYGKFLVLSLGTGTAKVEEKYDAVQSGKWGVLGWLYNQGNTPLIDSFSQASSDLVDIHISVLFQALHCDKGYLRIQDDELTGETASVDVSTEENLNRLVGVGKALLKKPACKVNVETGKNEPDVHRGTNEDELTCFAEMLSRERRARLQKQGQNLL >Et_3B_028140.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10790368:10797411:-1 gene:Et_3B_028140 transcript:Et_3B_028140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSQRPPSAAPGAPHTRRTPCLVFHSAGDVPAASQTTATLRSLAADGGGDDRRVHCRVILPDPPFATRHVVGSSHGWLAPADERSDDLLLVNPVTLAQVRLPPVRTTNPDEDDHLQSFRPDKDVHDRRFSSYHKVVWSSDPTSGNCVVMTIHSPDSTISFATVGDAGWSQLLYEEEFCRDYDDLFYSTEDCLLYAIRKNSEVHAIDLHAPFPTVKVVFRPKPTMPPVEDHKYIVRAPWGGVLRVSWCHDYDKDGNARTFKFVVYKIDCALQRVRFKKIADISTGQRITDWVPIGYPITDRLLGDMLCEDRNAWSKSIWKTEASMTYGLLPICGAIGHLRADPHLDAAPLFRSPCLVFHAGGNHGGDLASQPVTLRSLAAGGGRRVHYRVSLPDPPFPTRYVMGSSHGWLATADQRSDLLLVNPVTRAQVRLPPIGTLRNVTCRVRQGVLQSYRVHNINFYFSVRRRHVRKNRFQSLKLDEGRFSFYDKVVWSSDPTSGNCIVMIMHAPNNIISYARVWDARWSLLHAEGFCQHYDDFFYNGQDDLFYAIRVNNEIHTIDLNGPFPMVKVIFKATAPPQNDYRYIVCAPWGDIMQVSLYDVSTYKFAAYKMDCGAQKINEVKDLRGYALFIGFNSSFFFLPTEDCFVIKQNRVYHADDLIDDDYTRKIHAPRRMVEVNLEDGSINDVWPSPNSWSSWPPPFSTVVDVKERLRARVLSINLPRRKHEVRQRRKRSLCLPVPALAGHGLDYTTKTETKSAGQAVFNVTCHGMDQSSVPDAPLLGLHLVVNTLSLNCSAAASATVGICAFMEQGETDCRHRDLSLLPPDLTARILTTLELHDQLAVCRSWRAAYKADPWLDAAPLFRAPCLVFHAGDDPESQTATLRSLADGDGGGRRVHYRVILPDPAFLTRHVVGSSHGWLATVDERHPPRQSRHARPGRDVIRNHINPVEDHFPSPRFTPDERRWSLHRTVVWSSDPTSGNCIVMTIHHPPNNIISFATVGDTSWSLLKEEDFCRDYDDFFYSGEDSLLYAIRANDDVHAPFPTVKVIFKPKQTTPP >Et_8A_056894.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18664590:18666731:-1 gene:Et_8A_056894 transcript:Et_8A_056894.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNTFSSAAASQLPLSPADGFLCVKDGVDGMIKYVANEPSVGLYFVQQHARASMPILLDVKDKLVEKTNEVTLHTEDMEDSICAVRSMTEFGFPLADDMIKEINHSLQIMSKAQPKRGLIQNPSWGFQSGKSSGTWDDLGTANGGSSRNYLSSMFNTAKQKASSLRWPQPDFTMKDDISENSASSIVPESSQAGGKGASSPDTERDELPVSSRLSDGTAATNQSMPATDISETVETYNKFKEEQELKLQEWLRQAEETKEDNKD >Et_3B_030056.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30130098:30131283:1 gene:Et_3B_030056 transcript:Et_3B_030056.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTDSQQEKQIILHVEALKRLTGSSASLVGTEGSLRVGLTPDKEDRPGLLNILLLTSPGLEGAGLKGTAEREGQSPRLLGAELVHGVEVQGGLLLALSTREEDDGRHGSRDSPLEGTDGVLGNHLGGNLLGLRAGGDHVGLEEGALKEDMVLIESLVAGSKDHLRDVSTALNVVRSINKDLRLNNRHQTVLLADDGIASQALSVQVNGKLGWLVRANLEDSTPLGEAGTSLVVLGAALAKVIVALGGGLLVSASNLDGTLVDLDAGEDTTLLEDINEGLAILGLLVEGLLKEDHTAEVLEATRGAEEELTKGTAVLLDILNIDAGKALANGASGLISSKDTLARGANVGSVLDQFLCKIRAQMIRSNESHVSHTKYTKLNQILRHVMISG >Et_9A_062009.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18813146:18820594:-1 gene:Et_9A_062009 transcript:Et_9A_062009.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSVPMDRCRKRPDSDPEGSGEREPPADKRPCTAEPSTSSAAAAAAVPPPSPPARADGDGYGDGDGDGDGGSSCESDGDGSSRACGSRRGKFQQMVEAVAADGAGEDALVAALTELCEALSFCAEDAGGYFPTEPAARALVRLAGAGDGVGASPDVMLLSLRAITYLCDSMPRASDAVVRHGLLPVLCSRLLSIEYLDVAEQCLQAFEKISRRQPTQCLQAGMITAVLAYIDFFSSSIQRVAVSAVANACVKVPADCSKFVMDSLPMLCNLLQSEDKLVVEKVAACLVSIVDSFGNSVDLLDQICYQGMIEKVLPLISTGGHTSLSPSTSSNLIGLLAKLACTSLVAVKSLFEQNVGSTIKGILVTSDLSHGMSYLPLEKQNNKVNEALKLANQLVPSAARDIENTQIILAKEKIITDEPRFLCQFSRDILPVLIKAVNSGANSSICYGCASIVNNICYFCKPEMLQELLKETNISSFLAGLLSRKDHHVLTLSLKIIEILMQKLPDAYLGSFIKEGVVYAVEALLTQEDCSKSVHPSDDVHPSETKPVIRNKSTCFCYAFDSRRSDAAETRSCRIGKDSLVTFARHVKNTYFTKEVVSSEVGLTELLQKLKTCCAVLNETADKSSDHDNLQNEENLSTILSEVMMELHGGETMTTFEFLESGLVKSLSNYLSNGKYLQVDENKNCSDDHFLAVVKRFQSFARMSFSRMDQTQGDMLLTLLVRKLQSALTSLDNFPVIMSHNFKPRSYISDVPARHSTIIPCIRVRFKKDESETNLASYDNAVNVEVSSSLQTIETFLWSKVRIDINGQKAESSPSGTALESRHDDLQERDSTPESSSSEGVLIHENQNSSVEPFSEQGTSSSGRAERNTSISSDHALQPKLIFSLKGKELDQSVTLYQSILQDQINAGSDIILDTQFWHNVHDITFRTAVNPEANDSPKYSSTAITSTNDSTTDFTWQMLPFFSSLLLGKLPCKLDRSSPSYDILFMLNILEGLNRYFFHLVSDERNNSFAHGRITNLDDLKTEVSSIPQQEFVSAKLTDKLEQQMQHPLVFKSCCLPLWCTELMSACPFLFSFEARRKYFQLTAFGSLTTHYAHMIDPSVNSIPERGSPHSRKKFIVDRDDILVSAAKMMKSHARSNALLEVEYKEEVGTGLGPTMEFYTLISHEFQKSGLGMWRGELSSEAGADESHVSRFVVAPNGLFPRPWSSSSDCASFQELNKRFYLLGQVVAKAIKDNRILDIPFSKAFYKLILGQELNIYDIQSFDPELATTLMEFQALARRRIYAASNMRRDCQIISDLSYRGCRVEDLAIDFVLPGYPEYVLSSGSSSDSLCLENLEEYVHCVVEASVKSGIARQMEAFKSGFNEVFPLNNLQVFSEDELERLLYGEQDTWDFAKLVDHIKFDHGYTCSSPPVINFSLANMLNVKPMLKMLMVCSLNPKHMAVVGDHTRVRMRSTQSFLTIYNRLTSPSARWLGCTKSEVHSCPKVFFFTSIANTLQCNKQHNSNEADHDLPSVMTCANYLKLPPYSSKERMREKLLYAISEGQGSFHLS >Et_2B_019684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:12207571:12217433:-1 gene:Et_2B_019684 transcript:Et_2B_019684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVDGGGFVHEEEEGDRPARYLPIGRVYSASAPAPAPAPSPRPPLPMNPSVVDKPPVKVYYQRRRKKPRVEDTPPSPATARPAPPERDDEEEAGPSRRKSSLKYELLNLGSAPPALRVDGDADGEEPGRRRGRSRNGGGVGKTVSFSESERRRPGRPKGSVGRRWVELEIEGADPNAFVGLACKIFWPLDNDWYKGSVTGYNEATKKHSVKYDDGESEDLSLADERIRFSISSEEMKSLNLKFGISNQDKKGHDELVALALSVHDYQSRDPGDLVWAKITGHAMWPAVVVDELDVPANRALKPVRSDQSILVQFFGTHDFARVKLKQVEPFLNGLISSSLHLKCKQAAFERSLEEAKEFLRAHQLPEIMLQLRKSARHEASDVKSSEDGTESCGTYSEDRATENGEDYEEMTEIDLGSLRVSSLGRIVSDSDHFHNKKHIWPEGYTAFRNFMSIKDPHSVTSYKMEVLRSSDTKARPLFRVTSDDGVQIDGSTPNACWKEIYSRIKEKQDNVVTESGSCMFGFSNPQIRQLIQELPNARSCLKYFENSGDTILGYRAVNVNWKDLDYCNVCDMDEEYEDNLFLQCDKCRMMVHAKCYGELEPPDEALWLCNLCRPGAPRVSPRCCLCPVTGGAMKPTTDGRWAHLACAIWIPETCLKDVKRMEPIDGLSRINKDRWKLICSICGVSYGVCIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHLMSIDDVEDPCIRLLSYCKKHRQPSAERPSLERNLVEPSQVIQRDLASPSGCARTEPYDFHRRRGQKQPQVMATASLKRLYVENRPHIVSGCCQSRVGNHTYSEPIQPVGSSDTVQQDSFGNVSSMAEKYTSMKATFKKRLTFGKSRIHGYGVFAKFAHKAGDMMIEYIGEIVRPPISDIRERQIYNSLVGAGTYMFRIDDDRVVDATRAGSIAHLINHSCEPNCYSRAITVLGDVHIIIFAKRDINPWEELTYDYRFSSIDQRLPCHCGSSKCRGVVNDVEAEMQSARLRVKRSELFQRRED >Et_2B_021245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27871724:27873836:-1 gene:Et_2B_021245 transcript:Et_2B_021245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PVFYKLSGHWIPVSSSAFSGRTFETRDPRTGDVIATVAEGDKEDVELAVRAARKAFDHGEWPRMSGSERGRIMTRLADLVEHADELAALESLDAGKHPAVTKAVDIGNAVKSLRYFAGAADKIHGETLKMQGQFQAYTLREPLGVAGFIIPWNFPSTMFAIKVAPALAAGCTMVVKPAEQTPLSALYLANLAKEAGIPDGVINVVTGFGPTAGAALASHMEVDMISFTGSTEVGRLIMEASARSNLKPVSLELGGKSPLIIFDDADLDMAVEVAISANLFNKGEACIAASRVYVQEGIYDKFKNKLAERMKSWIVGDPFSNPSVDKTQYERVLSYIDHGKKEGATVLTGGKPLVQKGYYIEPTIFTDVKEDMTIAKEEIFGPVMCLMKFKTVEEAIERANDTKYGLGAGVVTRDLDVANQVVRSVRAGVVWVNCYFAMSSDVPFGGRKMSGFGKDEGMHALDKFLALKSVVTPLRGSPWM >Et_5B_044271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22096220:22097052:1 gene:Et_5B_044271 transcript:Et_5B_044271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNREQRRVTVTAAGAPPKFSIETTTRIRVTPSPERHCINASLPFLSSWSSSAPRGPWSAGEYPSHLVSSLSVHASRWRVKAERLRHFRKRVWGLWRGRLRVRSWVLRKNAAASADDHRHGAEPERHERAGARREVVEGTVRAGAGRPWPRPPREVGLAVMSWPAKVYVAGIPNLNPHLQRAINYIAAVSSMLLCSSSPKRPLAGRPGVWRQTRRLSDIINDRSRPRHVLTILFDISTAAAAELMRIRLVEAQN >Et_3B_030554.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4874197:4890616:-1 gene:Et_3B_030554 transcript:Et_3B_030554.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQHKRPSPTPSDESSSSKKVKALPAPPRSPFSGVVVKQEPVEGQVVQGCGMDSLARAAAGGPVNPAVERPRLNVSLEVQLFHCAVADCYGPLKPPVYKCEAGHRLYHNCRREGQCRNCNCATTFVHCPDADDFIGGARVPCPYEDSGCDRSVVYHEIQEHRDACAYAPCRCLVPGCGFEATPPALRDHLAAEHGWAVHRLSAYAKAHTLRVPGAEESQRLLVVEGDAPRVFLLSLRRRGAAVAVSMSCVRASAAAGPHYKCCLWAAAPTPPDAPRPMERRVMLETDVASCAVAGGPAVEAGLWLYVMPEMLHGPSKIRIEEIRPAPASAARSAKSKPKILDNKRAKALLLPASPCSPMPGVVVKKEPGEGRVAMGGRGSSLARAAAEQQGDSAVVVEQPRFNPSIEIQLFHCAVAGCFNPLTPPVYKCEAGHRLCHNCRREGQCRNCNRATTFVHCPDVDEFIGATRVPCPYESSGCGFSVLYHEILEHRDACAYAPCRCLVPDCAFEASPPALRDHLAGEHGWAVDRFAAYAKAHTLRVPAAEPHRLVVVDGDEPRLFLLSLRRRGVALAVSVACVRKSDAAGPDYKCCLWACAPTPPDARRQMERRVMMETDVESCAAPGGPALDNGMWMDVMPEMLLGPSREVQLRIRIDEIRPASASARSANAPPGFRSMHWCGFLCAPRTLLAHLAGEHAWPVNDVAYSTRAVRRPGAQGRRVRVRDARGRAAASPVLVRANAPAHPCSSAPCTFYNVPASGPEAAGLDGGCFFATFPVRRTALDDGDGVAPEKGVYFFVPRKLMYEGEASKELLVSVRIDCASGPEPQLAAAEAVKTRDSPSTMKDAARGGSKRAREPLQLFGSPLIKDEEDQESEAASEGAVVPSETPSPAAAAAAAATESVRVGKAMLRCSLCSHTLKKPIYQGGGYFFATVPVRSTALTDGDGAAPEKGLYFAVPGEMLRKGENPGSWSSPSASTGRSDLRLVDTTITDHRPQ >Et_9A_062587.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24239073:24243236:-1 gene:Et_9A_062587 transcript:Et_9A_062587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIDLNAVEANHQAVCAELWHACAGAGVALPRRGSSLVYLPQAHLAAGAERPASAVRVPPHVLCRVVDVDLCADAATDEVYARLALVAQGKMSGKTIHEIETKERNSEMEDADGEQKPRTPHMFCKTLTASDTRTHGGFSVPRRAAEDCFPPLDYEQLRPSQELIAKDIHGTKWKFRHIYRGSDGELRLGVRRAIQLKNEALLEAVSKFIVPYNKFFKSFNYPFSVGTRFKVCFENEDANERSSGLVSDISEVDPTGWPGSKWRCLLVRWDGATNLSHINRVSPWEIERVGGTSSVTHCLSSSSSKRTKLCLPQGDLDTSHIGGNRRPDSMATESFHRVLQGQELMCSRPHGVACSHSPDVPKFQTSDHRRFSADTRNCLFSDAESGLRYQKSTEFAYQPLGFSESVRFPEVLQGQEMSRVVPLYHENTSDACTHNGRVGSSDYIHRPAATQGHSRPWFAPSAAEVRSPSSVLMFNQNMVPQPEFEGTLNLKDAYGSWCVPMEEMQRKTEDWPFVQHQNHV >Et_9B_064044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10617091:10619629:1 gene:Et_9B_064044 transcript:Et_9B_064044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAGAAAPPQPRVPVASAVVPVDFTVVKKGPEMALHDATGRLAFRVAPAAGGVGRALLDGAGGVLVTVRSSSEGEWRAFSGSSWEPRDIIFTAKVISASSTRKEVHVFIPPRSTFEDPKPSYRLIGSTFRRACTIINGNSIVAQTNLLYKLKKVIYSRRKFRVTIYPGNDHIIVMAMIMTFFY >Et_10A_001544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4873221:4877265:-1 gene:Et_10A_001544 transcript:Et_10A_001544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GKLGFWVETTVWTFAAVVRGISADLYAVQNNRSRYEHRCMISDGQKWISVQAVIGSGMRVVNMGACVSSSKKRRSQRLCCIYRRYRGKILSNVPIVRTSDVQNLTASGEVVHVGTSAAARRRSSGSNVTFHLTQLQWHHSELDTENGSVVCQEEAWFDSLSILGSDSDEDFSSVNGDLPVMSNSVGAQLMQCEDASSIADAIQKFEKIFDSSSVAQAVGQYLKDANKADRSMQADMQDSERPKIANPEPCDVSNGMVEEAKTRNEGVRILTKLRRGDDACNTLKSVKDGEKVHESIFKSLTPVCTPRHANKVQPLAVASPRGQKKKSTVVRLSYKRQSFDGEQTTEICSSRRYLIRPRAGLLVPQATHKISEGCWSLLEPSTFKLRGESFFKDKKKSPAPDSCPYTPIGVDIFMSPRKIHHIAQHIELPSVKPNESIPSLLVVNIQMPTYPAAMFLGDSDGEGINLVLYFKLNDNFEKNISPQFHDSVKRLVNDEIEKVKGFPLDSTVPFRERLKILAGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHNFYVGSNYLEIDLDVHRFSFISRKGLEAFRERLKHGVIDLGLTIQAQKQEELPEHVLCSVRLNKIDFVDNGQIPTLLPCDDD >Et_1B_010904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15205178:15206799:-1 gene:Et_1B_010904 transcript:Et_1B_010904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVEAVGGVLAKKKKEKKKEEEAVGGGVNGRRRGQVEKVIFHGKLGHVSNVQSGSRSWLQGHNKCATLHKESLVPHDVIFGAAPPARDIAFSTCPGNGVQTETRVKCLEKLQKQSAPPPASNGGHAAAACCRSAARPRAPDVCPTRDCRLPHARRPHFLVPTRELWPHSNGGLAAAGCRRSGARPRAPDRPPTRDAPLVSSPPRRTPSTSPGRLDEMGVNQEALTSGTQIKPSELR >Et_7A_052595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9658752:9666434:-1 gene:Et_7A_052595 transcript:Et_7A_052595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAPAIACRVAPQRATAPFASAHSLAGRSAVVWRCWGARRGRRRRWAGLRSRNGSGGGQSPAVRTDSESPGEGLVAAEDGPRRPPFDLNLAVVLAGFAFEAYTSPPENVGWRETDAAECQTVFLSDVFLREVYDGQLVVKLKKGRNLPALDPWGTSDPYVILQLNGQTAKSNIKWATKEPTWNENFTFNIRKSQENLLQVAAWDANLVTPHKRMGNAGLYLESLCDGNNHDVTVDLEGLGGGGTIELEVKYKSYDDIDREKQWWRIPFVSDFLVKSSLGSALRTILGSESVNASQFVKSAFGQLSSFTYTYLPKPSASDGSEVSKSIEESPNNSIGSNELQKQKFDSEDTSDSQNEARSPSAIVNSEGNVSSDVKESDEYFWSALNNVLNQNVLQNFGFSLPEIKKLDGFDLLSSLGLKSREIAEQKYLESGLAMADTLTSDGSETSEDAVGVDNENGTLTAKEEVQASFPDISRVSRDVLSQTENILGALMIVSKNFSQENKESVTMNEADRKDENIEGQEGAAAADSGDEDGTVASTEKSIDAQKAEDMRRLFASAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQVAIWRDSSRRRLVVAFRGTEQSRWKDLRTDLMLVPAGLNPERLGGDFKQEVQVHSGFLGAYDSVRNRIMALIKCAIGYHLGGALATLLALELSSSQMAKNGAIFVTMYNFGSPRVGNRKFAEVYNAKVKDSWRIVNHRDIVPTVPRLMGYCHVEAPVYLKFGDVKDALVNNEILDDEDQGDAIGEYTPDVLVTEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLENVRSRYQVVDNANDEYNQLTA >Et_4B_038797.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4963076:4964336:-1 gene:Et_4B_038797 transcript:Et_4B_038797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILLLLLAIAPVVSTVAAIPGGWGPISDINDPHIQELGSWAVIDYMGRVNDLLKFVKVVSGEEQVVSGMNYRLVINVLDVAGGKDEMYNAVVYEQSWTKTRKLI >Et_4B_038513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29537215:29539591:-1 gene:Et_4B_038513 transcript:Et_4B_038513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEQLNGVGDGDAAEWKQVAELRAVAEAQDPACKEEDDHMLRRFLRARDHNVGKACAMLLKYLTWKRTAKPNGFISDEEVAGELGKDRLYLQGYDKQGRPMIYGFGAKHFPNKRDLDDFKRYVIYVLDKTVSRLPADRKEEKFAAVADLKGWGYSNCDIRAYLAALEIMQNYYPERLGRVFLIHVPYVFMAAWKIVYPFIDDNTKKKFVFVSDKDLDKTLREAIDESQLPEEYGGKLKLVSSSAVAK >Et_2B_021228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27647716:27649320:-1 gene:Et_2B_021228 transcript:Et_2B_021228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQTTTSSLPSSSESSQPHSCMGGVGMESDDEIRRVPEFGVEQQAGTSTSGKETAAGTAAAVASSSAAQAPATARRSGRSSADKEHRRLKRLLRNRVSAQQARERKKAYLSELEVRVKDLEKRNSELDERLSTLQNENQMLRQVLKNTTVNRRGPGGSGAGGDSK >Et_3B_028955.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20889381:20892078:1 gene:Et_3B_028955 transcript:Et_3B_028955.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPIRPPPPSPPPPPVEALGPPSPTSQHPTVDPSRDGSLSPENPTPAPPLPPHRSGDSSPASPPHAPAPPPPPPPAGDPSPPLLPEDQTPPPAADPSPPLLPEHQTPPPRPPPPPVPASASPPSPPPPATASSGARSEHEEAESASEAGSMTLALALTQTEESMPPTPPKPSSAEASPVGSPHKESAVTIAKLLSGEDPAAAEAKPAAEKVTPPRDNGALPAAAVTTGGGGSVGSKRWLLGGGVPEKVRRRELRRAELGFRVSAAVFCLISLSVMAADTTPGWSGDSFRRYDEYRYVLAASVIAFTYSGFQLVAEVHYLVTRRHIIQDPLRSYFNLAMDQILAYLLLSASSAALSRNDVWISRFGGDQFTKLVNASASMAFLAFIALGLSSIISTYCVFSSMS >Et_5A_040328.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:14265687:14265821:1 gene:Et_5A_040328 transcript:Et_5A_040328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGRVIVKHVEILQSPYITLLLNARLHIDSGKQLRILLGRSCH >Et_6B_049918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17964718:17968121:1 gene:Et_6B_049918 transcript:Et_6B_049918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAGLKSVEGAHDESVWAAAWAPAADHRPTALLLTGALDETVRVWRPDDLAAVGTPASGHALGVVSLAAHPAGALAAAVSLDSYIRVFDVDSGASVATLEAPPSEVWGVQFHPKGSALAAAGGGSGSVKVWDTEKWQPVTSLAVPRPEGARPDKTGSGKFVLSVAWSPDGKLLACGSMDGTIAVYDAVRMKFLHHLEGHHMPVRSMVFSPVDPHVLFTACDDCHIHIYDAKEKSLIGAMSGHASWVLSIDVSPDGMAVATGSSDRTVRLWDINTRALVQTMSNHSDQVWAVAFRPPGGAGVRAGRLASVSDDKSISLYDYS >Et_3A_025016.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26113655:26116124:1 gene:Et_3A_025016 transcript:Et_3A_025016.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPRVPTAVHHWPEGGQHGASSAWADDFAEFAATRRGAHRRSLSDSVAFVEVAPADGAPGEFDRLDDDQLMSMFPDEGGSSSTPASENGFSGSGSDDSDGDKQRATAAAGKEMFDDERNGGDAAAKDQSAQVQDGAAAAATAAATELIRDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQNEVSVLSPRVAFLDQQRTILTVGNSHLKQRIAALAQDKIFKDAHQEALRKEIERLRQVYEQQNLKMSAGAGASEHGPPPPVRAEKELMS >Et_8B_059492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18430397:18434629:-1 gene:Et_8B_059492 transcript:Et_8B_059492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTNSKNGALSNPAATPEASSWRRLLRLCHKASPPPPGTTRDWANLPRDVLWSIFSRLPHADILRGAGLVCASWRRCFVDEPTLWRHIEVPCSKRDGDYGGLTAARLAMARAAVDRSAGRCESFRGPADSEFLAYLADRHNITTNQLISEFVGACSAPSLRVLHVTSRATELKEFTDRAVTFPRLERLKLSRGFFPVPLGLAFVDHCPRLEVLVAGCVYFKFKDCDSSVIVARLTRTINMINLNRNNESPTSFATPGWCARRGGAARWTSPRYGATSTSPPIETRRQGGGGAAVDRSAGLCESFRGPVDCDFLAYLADSAPSLRSLHVTSRASELKEFTGRAVTFPRLERLELSCACFSTALRLLFAFVDRCPRLEHTTLAILKRTKNGALSNPAATPEASSWRRLLRLCHKASPPPPGTTRDWANLPRDVLWSIFSRLPHADILRGAGLVCASWRRCFVDEPTLWRHIEVPCSKRDGDYGGLTAARLAMARAAVDRSAGRCESFRGPADSEFLAYLADSAPSLRVLHVTSRATELKEFTDRAVTFPRLERLKLSRGFFPVPLGLAFVDHCPRLEVLVAGCVYFKFKDCDSSVIVARLTRTINMINLNRNNEFNSGTSSSSPSADILRDTGLVCASWRRCSVDEPTLWRHIDIASNRDGDYRDDKAAAARQSTVAPACASPFAAPSTATSWRPNSCAQDNIQPVRLAAKQPAPSLRSLHVTSRASELKEFTGRAVTFPRLERLELSCACFSTALRLLFAFVDRCPRLEVLVAGYCYFNLKDYNTSILRLRY >Et_8B_059648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20185808:20190522:1 gene:Et_8B_059648 transcript:Et_8B_059648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVETSADHAAPRAEEPGSGSDAGEREMRDLEDLLSKLNPMAEEFVPPSLASPVAAAGVAAAAGAGFAAPAPLSPAAFGYYPANGGFAVPSPAGHRGVVGFPAAGDGPAGRGGRKKGGAGFGGQGHPGRRRNNSRTTMAQRDEVIRRTVYVSDIDHQVTEENLAALFINCGQVVDCRMCGDPNSVLRFAFIEFTDEEGARAALNLSGTVLGYYPVRVLPSKTAIAPVNPTFLPRSDDEREMCARTIYCTNIDKKVTQADLKLFFESICGEVFRLRLLGDYQHSTRIAFVEFVMAESATAALNCSGVILGSLPIRVSPSKTPVRPRAPRQLMH >Et_7B_054884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:5203110:5203488:1 gene:Et_7B_054884 transcript:Et_7B_054884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAARSLAQSVTKRMKPVDAQARMMTVTPQRHERDNSSSESAITKDENVEPLVAFSRPPPLPPVLGPLVAFSFFQMLSGDDDKK >Et_6A_046911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22263845:22269811:1 gene:Et_6A_046911 transcript:Et_6A_046911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDLLSVKLLRSVRLHSAPLPSPPIPSGVRLENISKSYKGVTVLKDVSWEVQRGEKVGLVGVNGAGKTTQLRIIAGLEEPDAGTVVKAKDNMKIAFLSQEFEVSASRTVREEFFSAFQEEMEVKRRLDQVQAALEGATEDMDLMGRLLDELDLLQRRSQDVDLDMVDVKVQKLMPDLGFAPEDADRLDPDLLLLDEPTNHVDLDTVEWLESYLKTQDVPMVIISHDRAFLDQLCTKIVETEFGVSKTYKGNYSEYILAKEIWVQTQFAAWEKQQKEIEQTKELINRLGAGVNAGRASSEQKKLEKIEKEGLIEKPFQRKQLKIRFPERGRSGRTVLAIKNLQFGFGDKTLFNNANLLVERGEKIAIIGPNGCGKSTLLKLILGMEKPQGGEVILGDHNVLPNYFEQNQAEALDLEKTVLDTVAEAAEDWKLDQIKGLLGRCNFRDDMLDRKVQFLSGGEKARLAFCKFMVTPSTLLILDEPTNHLDIPSKEMLEEAISEYTGTVITVSHDRYFIKQIVNRVIEVKDQTIQDYQGDYNYYLERNLEARERELAREEELEEKAPKVKAKSKMSKAEKIARKKQKMQAFQQSKQKSKGLKNSKSVAIQFDVGQFKITANVPGQVPTIVPFFPDEDDTAVDDGGLYGPSSPALPLGTPTFTGDGTSAATGIGADGEATVTGIGADATAAALRAALADANAELNTAAARTGFCPSNAAACAGFRAYASRSPTPAATTASSTGCSSGACAAAAGRGGGGGGGGAEAAEPAAADTGALPRRAGSLASWQRSARPSNTRYGSSTAFAAPAAARYTNSAYPRDRPVPASKPNGPPSSSPNRAKNARSRSAQASGATLVTYTRLPAPPPPPPDDESGVGPAEANCAETGQPATRTPGMPRSARRAAAREAKVTKPYPLHRPPEARSATAWHSARAALPKPENASASAAASARGGRPCTKSRRWEGDASAAARRRARSAGSAAAASARMETMRGLE >Et_2B_022387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19920148:19922883:-1 gene:Et_2B_022387 transcript:Et_2B_022387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLLAPASAQQQQPRPPWVRPENCRERCGNITVPYPFGIGDGCYREGLEVVCDETRSPPLLRIALFYFPIDGLSVATGEARLHNNASRSCYNATMAAIPSSTWPSDSANSTFAPIALGSGSPYRFSASKNRLVALGCPNLSYFVNGQAEYASGCVSVCSLSGQDDAVHEQQCTGVGCCQVGIPPDIYHFEPYLYRDFMYQQGFNANGSPCRYVFLADAEWWSGQSYRAYLSRTDDFDVPVVLDWAIRNVANCGAALSNKTADYACRSNTSQCVDSKNGPGYRCECLDGYEGNPYLEGEGGCTDIDECNPNYKLPCFGICTNTPGSYVCECPPGTNGNASMPNSCRPKDKFTLALKVVTGTQNHRHDANIALPNFSANSYRKTNENEMQHSGGSVGVFLLAFMCFWLYLGLQKRKLIKTKQRFFEENGGVLLQQQMRSYGGAGAGSGSGFKLFSEEELKKATNNFAADRVLGRGGHGIVYRGVLEDRSTVVAIKKSKVMEAAQTKEFAQEMFILSQINHRNVVKLLGCCLEVEVPMLVYEFISNGTLYHYIHGSKEDLDANISFDARLRIAAESAEALAYMHSSASPPIIHGDVKTANILLDDKLTAKVSDFGASKLAPTDEFEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVLLELLTRKKALYFDGPEENRSLVACFTSAAKAGRHGELLDSQVRNEVRAEVLDEIAHLVLQCVSMTGEERPAMKAVAERLETLRRYQQHPWAQADDDDLERQGLLGIEQNDSLPYKFHSY >Et_4B_037531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20911035:20911955:-1 gene:Et_4B_037531 transcript:Et_4B_037531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMMYQEEHEGILKRKAEPESDVTWEEYKSMKYTSCYSRSAQDPKHRSGRVQKSKTGCYTIPEGSKIMICPSAAHLNTKVYEDPTVFNPWRWKGTPEPVGGSKDFMAFGGGLRLCVGADFAKLQMATFLHCLVTKYRWKAISGGRMVFYPGLRFPEGFHIQLLPKD >Et_9A_062785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:485714:492150:1 gene:Et_9A_062785 transcript:Et_9A_062785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAVSLLSRGQVPRLRCSLLKDFDEHKSHVLKDELAAMEPFTEEAKEKEEKRMQHLRKMAHYAKLRQRQSELEDRIRDYDPKQEGQYYTACPPSTSQYSTSTRSVTPLGPMRFTDAVYKDHCDYKLCEAINIFSVKLSCSDVGFPFHVYGTVIARDSLDNECICLFRRDQDHCQIINSEDESLVLTGPNRGLVLICNNYIETDLKNKDDQGQVREFSKGIMTIRGVARRSLKKCEVESESLATRLSTVDVTYGLLTKAVEGTIGIEVIQGDFDGRITACTTSIPNEGTSAMTGDDKGAIQLMRPVVCVSLKDMLDIVAMTRDGMSERTIRFTPMVNGAEDNEIRFGAIGMRVKHLLHTNSNDGSQQLDAFVIVTTSHDTSKFAIVKHETERCPSPRGIAAMSARAETTAAERWAAIEAHLERCRAVRDEDFSGMTEPQRAAEAEKRREQVLEEARETERKARMELSPEAASRMMHRVKWHQGRARILDFDPKQEGGVYYNRLYFIDHATFDLVEESPIAPMRYTNRVSQPGQPPFTPCAGLNIFSARISTSDVGFPIHVYGTVIARDSIDKKCVYLFRRDRDHCQLINSEHESLMLTGPKRGIALIDDSYVETDLKIKGHGGQDRELSKGILAIRGRRYLDTCQVQRKSLATRLSTVDVLYSVVKDAVEATIIIEVVQGVFCGEITAHTTSVQTGFRYIIVNWVVASVLALMRLSSLCGLSYRNGDDEDDIIIGATEMHVK >Et_2A_015380.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:11804980:11807892:-1 gene:Et_2A_015380 transcript:Et_2A_015380.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDHPEPLELEAAAPPQAAAAAAAKSSGKMQRLIRSAFKRGDSGEDADRLSRSGSGSSSSVASSGRPPSWRRSSGRRGGDGSVDGDRSSRESFELDGSKNAKVLAALRDAKIGHAYEPFPWEKKMRELLPVRDASCFLSMLLLPKATDASHTRYSPLEDTLARADAWLLSSQASGVPIVFMSVQTEALLTKISGETALSTVNMGSLSDLAAMASASLYGFEDYHGVDIGVVRAVRLWFTPAAGEAALELRLRPGDTRLGFAISRTEEGFIFVSSVAEEGTPGVASARSGLLELHRAARAASRLLVLSRVGGEKVLPWMASSAGDVKCFDTVSLSQKLSLHRHALRPITLHFLMWDRDLAAALPGRYDADDVDKPPPVLLLADKASELDDDGSVVTGKDSRDSSFRFQNIDLPDSWL >Et_9B_065847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1566614:1571128:1 gene:Et_9B_065847 transcript:Et_9B_065847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCFKPEKKISSKRAEPRQVTAVKTDSQCEPSPKEPESNKTPSASSKNKPSSEKAASPELPQGSSSVAKTAKAFTFRELATATKNFRSDCLLGEGGFGRVYKGQLENGKLVAVKQLDLNGFQGNREFLVEVLMLSLLHHPNLVSLVGYCADGDQRLLVYEYMALGSLADHLLDNTPDQVPLSWHIRMKIAHGTAKGLEYLHEKANPPVIYRDLKSPNILIDEDYNPKLSDFGLAKLGPVGGKTHISTRVMGTYGYCAPEYIRTGQLTVKTDVYSFGVFLLELITGRRAVDTSRPPSEQILFNWAKPVLRDRKRYHELVDPLLRGDYPEKDLSQAVGVAAMCLQEEASVRPYMSDAVVALGFLAEAPAGYEKNPGTVPQKKQVQDPSLTSSSKQDQSTYDRQKAVAEAIEWGSLRQKQKVQSQGIVSPPEGNRLAEKCR >Et_3A_026202.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5414518:5416257:1 gene:Et_3A_026202 transcript:Et_3A_026202.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVVNLTPATEQHVENRDKLFVWPWVGVLVNVFLWNRKRGKWRQVGESGNRLKEQLSHISTILFCTCMLHNCLVERLYLFRRLYTTTGHLEVSYAIKGFHELRTSIGVVYLLLPSVCGKINWCNIKFASSPAYDEATNKNMSTPMLVNMPIYCTQKLIFSAHASYKIVLYILLMTKCSIILVSTFHGTASFASGTRPQGEASSAFLHGHHYIYMTRNDWNFNHRIDPMVDNCVRKFFRNLVVHRVKARHIQPRQEWIQSWD >Et_1A_009417.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:5000988:5001578:1 gene:Et_1A_009417 transcript:Et_1A_009417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYTQEHVYRHPWDRVTAAAWRKFTDPASRSALSHVADVHTLHRRLEPAEGRLHAARSITVRSPPLPFILRRLLPAAAASPSGAALCHCVETSLVDAPRRAMDVVVRNVSLRGIIEVEERASYRPHPERPNEWTQFRQETTIRCRPLAALAAVAEKVEHRCAEKFLQNSAKGREVVERICRYLEAEAAGAAPSAA >Et_8A_056974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19703146:19708010:1 gene:Et_8A_056974 transcript:Et_8A_056974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTPSWSARPRSPATAVWFLPAPALLLVVLLLRWPHMGSSPHSAASVSARRAELYAKMARDLDERGAAFLKGGETSQSLTLSDLFDTADGAVVPRLKIEAEVEAVKRVTETVCPLKIVLDRVVLTSTGVLLGLWQVESGTDPAEIRSRLREALPRAPQKQLYDPVLLHTSFARILGHPKLPQEQSASSFDHVKFFHELVAQVNGKIHGFQATVSELWYVEEYDVLALALNGKMKVRRLRLGCKGGQHDS >Et_3A_026178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5093884:5095952:-1 gene:Et_3A_026178 transcript:Et_3A_026178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLALLVDRLLTESTLEAAIGKQMVDLQPETVAVEYCRGGADGGGAASKVVECRICQEEDWDTSMEAPCSCCGSLKYAHRKCIQRWCNEKGDTICEICLQQFRPGYTAPQQLFHYGSIPMNFRGNWEIARQDLDDARIITMVPTERDFIDGYEDYLPIRTRSSTLCCRTIAVIFMSLLILRHTLPLMIGGHGEFSLALFSLFVLRTAGILFPILVMVRALASFHRRRRQQENQETYVSSSESEEGEEEEQGEDAVINSARSNYAQPRSIPVY >Et_6A_046019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18686002:18687759:-1 gene:Et_6A_046019 transcript:Et_6A_046019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSALLPELLKKAGESLSTELSIMWGTEPRREKLHTLLLIINQVIIDAEEQAYKKPAVKSWMVKLKLAACVAEDALDELHYEALRSAALRSGHKINSGIGKRLEQIVEHLDDLVRHMNLFGFMKEQPMPMNERKFTHSYIDEQDVIGREGDRVNIVHMLLGAKSEKISVFSIVGIGGLGKTTLARLIFNDAKIKNGFQKHMWVCVSEEINVPDILKKVIVASSGSDPGFKNDNMELLQQMLQKELRRQKCLLVLDDVWNKDAEKWDALRTLFSSCGVGSALVVTTRDMEVASIMGTIETFHLDPLSPEDSWTLFSRRAFGAGLCESPELVNIGKKIVSKCHGVPLAVKSMGSLMSTKQAIRDWLNILESSTCSEDTKILPALMVSYNHLPSYMKCCFTFCAVFPKDHEIDKMNLIHYWIANQFIPSKMASEPEATANDIFSQLVWRLFFEEVETTSSQWGKYGYHDATTFKLHNLIHDLAVDISGKECFCLHKVSEVQQIPQDICHLACPHPQKIESIMQRYEKIRSVFAGTRIRDLSRVLISQNPLCVYSEFTFSVSRSFLLSWHS >Et_9A_061383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10815845:10818473:-1 gene:Et_9A_061383 transcript:Et_9A_061383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWEVTKAVLERVHALDPENASKIVGMLLIHDKSEKEMIRFAFGPDQLLHSFVDRARAELAAKPSSPPSQTGRPWGWPSPRGEHAPFAGGEALCRSFSLSDAEVAAWRPCMYFSRGFCKNGSSCRFLHGLPEDDATAAEREMAAMAARPPQFAFPFSPSPKGPNLLLQQQSDLQRSAAMLLGSGEDLSRFSVRSPRMMDRSVDFMTNASRQIYLTFPADSTFSEEDVSNYFSMYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILSKGNPHFVCGARVLVKPYKEKGKPPHHAEFAGCMTPTALHNPKDPFDLQQPQIGPRMMLADIASREAFLRKKLEEQQQAVELQRAIELQGRRFMGLQLLDLKNRGHHLGSPMSFGQTDGKGNVNGNGDAVHLEDVTIQDNKLNSSRLAMSAPAFSALSEFAAEGKHEEQQKEEDGDGTPKQAVNPGEEKKRESGPVTATPDVACGFQESGVDHNLHEGLLEWIDSDSTGVIAQTDNISPHHVDSSLSPPISSPDLPPYSSCFFQVPRFTSGHEAIEL >Et_8B_060677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4608116:4608758:-1 gene:Et_8B_060677 transcript:Et_8B_060677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPEQQQQPPPAQQLMAPRMSFSSDFALEPPPARAAPGDADFEFTPVGSRPMMAADQLFSKGRILPLREARPVTLRDELRAPDAADRGRRAPRWKELLGLKRSNKHKAGAAAASATADAHHHVHVLRTLQDLVGDHGGTGE >Et_6A_046473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15710672:15719750:1 gene:Et_6A_046473 transcript:Et_6A_046473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAAAVAFPPTASSFAPVKPTSRGGRRSWSRPAARVSAEYSQLEVRKVTYRPPGTEQNLLNEISLSLPEKSFGLIFGRSGSGKTTLLQLLAGLSQPTSGSICIQKYNDNGIPSGLPELLTSERVGIVFQFPERYFLADTVLEEVTFGWPRQKVDLIFKEQLALNLQNAFNSVGLRTISLDEDPQSLSGGFKRRLALAIQLVQTPDLLLLDEPLAGLDWKARVDVVNLLRDLKKHHTILVGVVSTSGSLVENGNGRKLEGGSFVSVKMHLLGRLVHLKLLSGRLQEADKLGKIWLASSMTKDFLSALRIWHLRPTRSASAFWLYVRSCVRKSGRYYSICPYNYCTRFNIDGVQVATTGAEWFDIMDEASA >Et_1B_013223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6562000:6567540:1 gene:Et_1B_013223 transcript:Et_1B_013223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSTGWAQHAVLIPLPSSLSIPPSMCSSVTFPCLLRDHNREPHNLSMFSLSSSLALKGQLKLNTTTAIFLVVFLLTLNNTSCEARHLRVRGKHYSSKLPSLPPKDVKEVDATKDNMMRLSMVNRVWSNYDASMSNEVAAVEMKMKAVASSGFCDSFLPIMSIPVVIRKSIWTTLNLEPTLHIITDELIYHARSRIRERSVLGADTKSEQQLVPNATTAYTAETLVAMDYLEAHPAPAVHNNQQHLQQLGCLPKKGWIYLNIIANFKDYSWVQGMNKKMKFYTSLPKKQNVTESTNSMRANHSKQYRSKLTTHNLTRLRSQNVRRNARMEMQRNSPYHDDALTELGDRVCAEETAALLKGAVVVVRRDAPEGEIAHAALGQNGREPRLSGPRVGLAVRDG >Et_1A_005191.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31609634:31609768:-1 gene:Et_1A_005191 transcript:Et_1A_005191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVYRAGYFLLYRADLMTGLTKDDVVRGVEGLLQAVAQLNVP >Et_1A_006051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17155013:17156287:-1 gene:Et_1A_006051 transcript:Et_1A_006051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIKLLLTEPNNVGYDLNESMVHLISIRFFLAMGHSATRHKRNTQEKTIMEDILQLSHRTSLYIINTLYGKTIVISKEKSAISLLHNILVQVEVEKITITGRMTK >Et_1A_008712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10183227:10187823:-1 gene:Et_1A_008712 transcript:Et_1A_008712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSRACSVLRSPLLLLLLSLGCAAAQKGSTWKTLSGTLDSLRLSSFLDKFPSKAPAIIAKGGFSGLFPDSSDIAYQIVQLASSPDTALYCNVWLTKDNAGICLPNINMDNCTTIANVLPKGKKTYNVNGVSTTGWFSVDFKFTDLDKLDSFYSQFNLSMRSYILSTSKQFVPDYISSPEVNFLTSISGRVNKKTKLVFRFLDERSIEPSTNQTYGSMLKNLTFVKTFASGILVPKNYIWPVTPDNYLLPYTSVVNDAHKAGLEIYAAGFANDNILSYNYSYDPLTEVLSFIDNGVFAVDGVLSDFPITPSEAIGCFTNLNNSKTDHAKPLVISHNGASGDYPDCTDEAYKKAVDDGADVIDCPVQVTKDGIPVCMGSIDLMDDTTVATSQFATPAVTITDLKDVPGVFTFNLTWDDITKNLKPMISNPQSKYGLVRNPRNKNAGNFMRLSDFLDFAKSKDLAGIMITVENAAFMAEKLGFGVVDAVIKALDDSGYSKQTAQKVMIQSTNSSVLVKFKQETKYDLVYKIEEDVRDAAPSSIADIKKFASAVSVGTSSVFPTAKLFLTNQTNNLVQSLQTAGLPVYVYLLMNEFVSQPYDFFSDATSQINAYVQSAKVDGVITDFPGTAHRYKLNSCSNMGKNTPNFMQPPQPGGLLAILPPSAQPPAAAPMPLLTESDVAEPPLPPVSNTTTTHSPSHAGLRMRSDVSILVTLLMLCASLLI >Et_1A_005706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1380033:1384730:1 gene:Et_1A_005706 transcript:Et_1A_005706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALRRSLPRRGSLRRALLSNPPPSASAAAGDTFRRSFQSGEHPHRLLRSSSDFRNDESMEEFEQRLFGKTGPNEGPLYNKLDRVGNAGRRYGMGSGMGAFGNMSSSETMGGFDSLNDGLSGMLGDAARNFQADDDEDEEDDEDFEFRPDVTFRRGSMYNTRDLDLTRPAAAKNPPRPQFETTTKEVLRKADFRNVRFLSNFLTEAGIIIKRSQTRISAKAQRKIAREIKTARAFGLMPFTTMGRRPFIFGRSAEEHHSEEEYGYDFVEKEGGPDEDNEDTAPAVEPV >Et_4B_039177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8511945:8516309:1 gene:Et_4B_039177 transcript:Et_4B_039177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSERERLGAERDRAARERKRLREEIDRRALELPSAKAKAASGLDRTDGAFLGDEFVDPSSYIIMNRSHPIQQSNNKDAPHLNDVAMAVFIAGHYMNSASYYGIQVTSDVYTFSINQDEKSGIFIQINNAGDSDSNLNGISVGWHVHPAIYGDSNTHLFVLWTRDGYQKTGCYNLECPGFVPEANVPFVPGVTINAVSDPDGVKRSIIFKVFKDSVGDWLVHIGFDSEPYLIGRFPKSIFTSLGDKASDIRIYGAVRTRTTYMTPMGSGFMSNSNKAASFSDIKLIDQNGHMSLVTQGAPDFVDDQAIYSVSPISPEVRFTYGGPSV >Et_4A_034338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31972318:31976711:1 gene:Et_4A_034338 transcript:Et_4A_034338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKTARPDAQAQLASPLLDKEKQKEKKHKKDKKDKEKKEGKEKKDKERSKDKHKDKKDRKEKHKDKKKDKGKDKSRESAEGTERHGEALQDQKFGESSRKSEEIKDPKFREDLVRKVQDEKGTAVRPIENFTVSNDRSRGGFSSSPAVENDRTAVNKMHINSSIAPRKNEGLGPQSVNINQQKNGTSIRRGENFASSAQRTPDGFMPASTAEKERVKATRPPSNTESVPRKQGLGQRISNISILVQKRTESPNKEIVKKEVGTTSPLLPNPANAMHKGNGKVGRPMDYTPISTQRFGSPSTSGATGGIDRGIPRSTIPSPSITIRRPNGMVRPPENRSISDRKPDTGGLSPAMGKEQAGRMQQSQQTVSIDQKLVFSKPPTVEKASDVRSERVEKVRDGVPDDAKKEDRKSDRHEKKKRKEKDKHKDKKKEKEAKKEKAEHTKKEHDKIRENSIDCPIDSLQVKPSAPPADVVKAILPDENLKKRKNHEMNGFLQNQHDMRPTKLPRPALPNNLVENGTASHVAAPLSSVKPDAMNIKKAERLHKKEEKINGNQETQRSSVDSGPRDPVKAYENGTPSRKSPHPDCKYLSQIYSIPEAPQMTEWPEHDGEDWLFDQGSTQSRKPNSEPEADGGLQVWSQALKIDPADVIALPY >Et_5A_041496.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23617921:23621363:1 gene:Et_5A_041496 transcript:Et_5A_041496.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNESSTVVGEMQSSLERVRQQLSSTSTRQLLQGPLLKRSDTLRKWNERWVILDPTTGKIEYKVRRSDPDVRGVIVFDSTSTVTLSPMNFQHWDSTEKGVLSLCRNTQRCKSMGIYFTAHKEAVNSLSGNGSAKLGTVATVVAVANATAIEATKEIEAAMKISLREALGSTANKLTKGQLDGLTVMMEALRVKDDELHQLLQDIRARDSTISEIADKLHETAEAAETAASAAHLIDEERRHLSTELERLKHDHEKEVALCLLRLSETEDKAKHLAEERDHLLTERDSALQEVQMWRSELGKARGNAVILEAAVIRAEEKARVSAANADKRVKEAVSRLESATKEKDDLLALVDALRLQIKRQETSTIQVYEESSELCSTASKHEEDCNVDKACLSDTNPIPITENIVELDDGVDIRTVGDTEWENPHSSEVSDVREVTTEPEDNSLDIPVDT >Et_2A_018393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:26305399:26311909:1 gene:Et_2A_018393 transcript:Et_2A_018393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEARPEKIRPYLEQQGLGSRLASSGQLLRSLRPPVATDRTVSPLPVLILCIPMPCRASGTADGCGHLDQLSMPNVGDPPVRDNAVEEASPPAPILSTCTDWLRLLYNSRIWSSYLIDNPKLLADTTDIHMTTAYISLTGPNANQAKTKAQKPKAPSSPFESTHQHHKMKMRMGAHDLKLKGLKKAMKQQKARLYIIRRCVAMLVTWHD >Et_4B_037638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2262588:2264907:-1 gene:Et_4B_037638 transcript:Et_4B_037638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVARRATLVAAVLLCAAASVALGAKRVSIPDDLRDVVDDEEDDEWRHWGAATGPRDDRPPPDLSRMDPAAVQAELLRAHTGPSFGFVKLRPGVRRSQEDVMGIATRWSNVLRTGSVETKFVAVDFGTLMFTMERGRDVLELKEFILSQEEAYEFKIGDQIFRRPGDPPLDQDESNVQQDNCM >Et_3A_023297.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:18983541:18983675:1 gene:Et_3A_023297 transcript:Et_3A_023297.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIHRLVRGAPRPVCADSAHRPSRCCPDFEEPKGRTPASRERVH >Et_1B_010515.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11180544:11182588:-1 gene:Et_1B_010515 transcript:Et_1B_010515.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GVRFGYSGSTGPKHWGSLSPNFTLCSKGIYQSPINIVKDDAVYNPKLGPVERDYTATNATIVDNVFNIALRYNDTSETVTVGGTKYKLKQLHWHSPSEHTINGQRFAVELHMVHYTEDGNITVVAILYRYGKPDPFLFQIKDKLAELHAEGCKAEKGDPLPVGSVDMTELRQGADIYFRYTGSLTAPPCTENVIWNILGEVREMSKEQVADLMAPLEGSYRHNCRPTQPLNGRAVWLYDRSMKIRKML >Et_4A_033724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:26197234:26199544:1 gene:Et_4A_033724 transcript:Et_4A_033724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLHLRRLLGAPRPALSSIAFSTAVSPTPRVSALVDEICGLTLIEASSLADALRGRLGVDQLPPLAILTGGAAPLAGGAAPGAAGEEAKAKEEKMAFDVKLEGFDAAAKLKIIKELRGFTNLGLKEAKELVEKAPAVLKAGVPKEEAESIAEKMRAVGAKIVLDLFKGSYHFSVTRMAYGSGR >Et_7A_051418.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18197760:18230166:1 gene:Et_7A_051418 transcript:Et_7A_051418.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGHRAAAAAASARKQLRVLVPFARDRLVIKVWSVVVARDGAGAFLGRGWPEFADVCGAGEGWLLVLRHRGRGVLTVKAFDASCCLSELGAPPPAGKNSAFSFRGVLFLNKMSSPLIPAKFVQQCMPSDHLNNSMAIILGPHGKVYNIKLEMVRSDTFFTGGWSQFVEFHGITKNDSLLLRYESNMVFTIKVFGPDGCQKESKHEETRVQEKTAKQLEASPGSIWKRKQNDMESFQRNCFYKIVPPSWIRKKINTSTLETHLALTTTFCDAIGLRKPRMITLKTSMDSTVSWLVQGLPCKTGSYLLVQGWKRFCQENHLKEGDICTFNIIKTTLWHVVITRRKENMNQLCYETPESHSGRSCSEGLKRPKGSMTCLNKNRTKCVFEIGPPAWIQKEINATTIQKQLNLPHAFCEAIGLRQHCTITLKTSMSSPRSWLARLRPYNPCSHLIGHGWMDFCHENGIRVGDICTFTIVETNLWHVAIAPRKDLRVLLPFSFDKLRIPDELAAEIGAGNGEALVIGPSGDEVEVWKVEVRRDGDGAVLGHGWPEFAAACGAGAGWFLVLRHRGRGVLTVKAFDASGSIREIGAPAPPGAEATVRSKATFKPQFIRVLPQDFIEKMLIPAKFVQHYILKEHLDKSKAVIFVPLGKIYQIELKMNQSGVFFAGGWPQFLSFHNITGPNTLLLRYEGNMVFTVKVFEANGCLRVANHKDIRMQQKSEQLQETPPSGQGEKRPNSSLTYLDHVKTECVYEIGPPAWVKEALPAVFCNAVGLQESCLITLKTSLCGVRSWQLAITPRRRSSHRVTGGWKRFCQDNELKHLRVLLPFSRKSLVRAKPSAAVAPRKKSPARGTNLPCISQRILDELAEDIGAAEAHVEIPFGKGKVRRHVEVGRDGDGAFLGRGWKEFAAACGVGAGWLLVLRHCGGGVLTVKAFDDRGCLRELAAQPPAVEAIASSDGASRRPQFIIVFPQDFMEKMQIPAAFVQSYIPKEDMNNPMAVVYAPLGKTGHIEFEMNRSDVFFAGGWSKFLSNNSITAANCLLLRYEGTMVFTLKVFQPNGFQRVSKRSDNIFQQNDQNMVTERKHETLSASLQKCNSNSNSLGSEGQNKAKISKTYLGKESFPRYSFYKIEPPSWIQKQINTNSLKKTLALARVFCDAIGLRESCMITLKIPMDSNASWQVHGLPSKNSSYMLMGGWKRFCKENRIKEGDISTFNIVEPTLWNVITRYNEKQFSLVLPSIKTLKCSKKPQEVACASNTEIKSKNDRRCCKKQMGPEGSMTSLTKASSMAKCFFDIGPPAWITKEINASTIENQFNLPLTFCEKIGLRRHCTITLKTSMSSHGWHVFDRTSLAAICLGLVGRVSVMTIASGWVMSAPLPSLKPTFGMSLSCIDKPWRRRPQEPAGATAFLLRQSGKSSDFAAASRFCTPKPQPFRIPDQLAPEIGAGEALVIGPSGGEVKVWKVEVRRDGAGAVLGRGWPEFAAACGAGAGWFLVLRHRGHGVLTVKTFDASYCLRELGAPVPPAAEATVSSKERFKPQFIHVLPQDFMENMLIPAKFVQHYILKEHLDNSKAVIFVPLGKICQVELKMNQSGIFFAGEWSQFLSFHNITGPNTLLLRYEGNMVFTVKVFEPNGCLRGPKHKDIRMQLISTLAESEQLQETSPLSIQKHERQNDWPSNEGEGQKKPTGSMTILKKRSKIHCVYDIGPPAWMKKKVDITMTESRHFTLPRPFCNAIGFQEPCMITLQDSMDSTKLWQVQGHTYTTGSCQLGSGWKIFCQDTRLREGDVLTINVIKTTLWHVVITRGKENLNQAYHLDTHPPVSLVYYYESTLIYTEKQQETQSSSHGVKRPIGSLAYLGKARTKCSFDIGPPEWVKKKINTRTIEDHLALPAVFCDAIGLQETCLVTLKSSLCGARSWLVCLKPNKKSSHRVKSGWKRFCKENKLKVGDVCTFNIVETRLWHRIPDELAEDIGAAEAHVVIPFGKGKVRRVEVGRDGDGAFLGRGWPEFADACGVGAGWLLVLRHHGAGVLTVKVVPAKFVQDYIPKGHLEYKMAIISVPRGKKVQIELEMNRSGMFFAAGWSQFLAFHGISEANCLLLRYEGNMMFTVKVFEPEGCQRDSTHNCTGMQQISTLPVFEKKNVKPSASIEMSKNDWLSSGQKKQKAFTSSLKKTSLQKRSSYEIGPSSWIKKVISAGALEDHLVSRTISLLVSIKSYVSQEYERKVWVLHTSKALFVRSDKWWVIGHKLKLVTLQLLQFLARRFCDAIGLLKHCKIMLKTSMDSTRSWQVQCTRYNNNSYMLHRGWGRFCQENSLKEGDVCTFNIIETALWHVVIMHCKEKINQFFNVSYDELVPLLSSFLRDTQNYLFEPEGRHGWGSISEEPRETKGSMTTVNKASPARKRVFDLGPPAWIKKTINTSTMEHQFSLPLSFCVAIGLREPCIITLKTSMSSSMSWQACVFPYMYNNHIRGSGWKRFCQENGLKEGDICTFNVIETTLWHRIPDELATEIGAAEARIRSGGKAWPVEIGQDGDGAFLGRGWRAFADACGVGGGWVLVLRHRGGGVLTVKAFVDSACIRELGAPTTPSGDKDSPFSPKKETKVWIELRSSKGAIRKPQFIRVLSKYFMEKMLIPAKFVQRYLPKEHLSSCMATVLGPRGKVCSIELEMDRLDLFFAGCWPQFVALNGIREGDSLLLRYEGNVAFSVKLFGPDGCRRECELRVQQTSTFLETGKQQEAPSAFIWKHMCKNKASSRKNTTYKIGPWSWIRKKINTDTLERHLYSMYPLWSNCQLFTETNEVRNYFRLWRQLSPMPLDCGEPCTITLKTSMDNTESWPVHGLPWKKRSFLIVQGWKRFCQENSLKEGDLCIFNVIKTTLWHVVIKHCKEQVNHFCYVNQILKNFMNINKIQKQQETPPASKYDCSDNEGGKRPKGSMTVRNKASSQKNGIFDIGPPAWIQKEMSSSTIKNRLYLSPIFCEAIGIREACSHAQDVNDQHQAHVLPYDYCSHHIVGPGWTSFCRENRIKVGDVCTAPSTSLNLLSLSRHG >Et_8B_058914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1106183:1107584:1 gene:Et_8B_058914 transcript:Et_8B_058914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGARINAAGPGTGQPGPHHDLVGRWPRASWSHRQVTPPVKSRSGPATRRRQRSERAKVERASTKLSAPNQSDLPIRAMEAQAQEDGVARQHAAAAAAAAGGMAIPMHGFIVPKPEPVEYFGGMAMVRKPPPRNRDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQQSEPAIIAATGTGTVPAIATTVDGVLRIPTQSSSASSPSSLAAVDGGGGGDESSAKRRRKLQPTRAAAGASPLATASPAAAYYPVIADPLLQGTGGAAISVPSGLAPMTASGPPQGLVPVFAVPAAGSPGAAGGNRMIPQATAVWMVPQPGAAAGAGNQPAQFWAIQSAPQFVNLAGAQTIPAGAMFQTSLNVADFQQQQQISTMSHNNSNSAQQHPGSGGSHDQQQQRGGSGGVDHPEEDDDDDDDEEPVSDSSPEE >Et_6A_047763.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14936419:14938495:1 gene:Et_6A_047763 transcript:Et_6A_047763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAYGIVIVGGGICGLATALALHRKGIASIVLEKSETLRADGAALTVYVNGWRALEHLGVAAELRKTAHAITSQHIVWENGNKSTKVPVRKEHRCLKRKDLIETLAKNIPEGSIRFGCHIIGVHEDPSTHGVVLTLVDGSTIRTKVLIGCDGTNSVVAKYLELSPPKTAALTILRGFTSYQHGQPFGTEFLRLRGDGFFLGRLPITDNVVHFFVAIPNDTIAVSATTNKESAVKDLALKKLKEFPAEVADVVRDSEPGSLNLVTRIWFRPPWQVAFGTFQKGTVTVAGDAMHAMGPFIGQGGASGLEDAVVLARALSRAAAVKSCGDDEKKMVEVAISEYVRERRPRLVMLSLECFVMEILSRAKSIVKKLACMAMLFFLGSKSQRHMNYDCGCL >Et_4A_035365.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21694010:21695683:1 gene:Et_4A_035365 transcript:Et_4A_035365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVIPEPSSEVVVRVEPGRQARGELTLRNAMHTMPVAFRLQPAVRGRFAVRPHTGILAPLAAVTVEVVYLAPAPPEGPTGGGGGGSRGEDAFLLHSVVAPGTAVKEPVTALDSVNPEWFSARKKQVFVDSGIRASFVGASVAARLVEAGAVEALREVLDRSEPEWRAADAADESGRTLLDLAVGLGRADIVQVLLEYGADADKPSRGRTPLESAAAAGECLIAELLLANGATPAGSDALHVAAAAGHDDVLRLLLGKPAASPAATASSSSASFASSSTSVDAAGRDGKTPLRLAAEAGRRDAVRALLAAGARAEARCGADGATALHAAARRGDEAVARLLLSHGAAGTAAVRDASGKTAFEVAAEEGHAGRIMDLLGLGEAVLAAARRGEARAVRRAADGGASVEGRDAHGWTPLMRAAFKGRADTARDLVGRGADVDAADAEGYTALHCAAEAGRADVVDLLLKNGANAKATTAKGRTAAEIAAAAGKSKVVRLLERAGALGRKDVGEKVSPAVAKGGSMDRRRRARKGSSGAIRFGAGKEGFEAAAVTVGWSH >Et_1A_008001.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4045791:4049206:-1 gene:Et_1A_008001 transcript:Et_1A_008001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKFGSFKAEKGDSAAAASAATQRRDPYEVLGVGKNATEQEIKSAFRRMALKYHPDKNADDPVASEKFQEATFSYNILSDPDKRRQYDSSGFEAIEADSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNASVEISQLELGKSVCRKVEKQSAHFYSVDITDKEAKMGLVCRVHSTTKSKFKLLYFEPEENGGLSLALQEDSAKTGKVTSAGMFFLGFPVYRFEQNSSAATAKDPDSAFFKRLDGFQPCEVNELKGGTHYFAVYGDNFFKSASYTIEVVCAEPFSAEKERLRTVESKILAKRSELSKFESEYREVLAKFTEMTSRYAQEMETIDELLKERNAIHASYTSNPTLQRSSSSGKGKSSSKGSKSDGDQTVKKEKKSKSQPVEGSQSDEESSTNKKEKKSKDRTRRKKWFNIPLKVDKRRPC >Et_6A_046348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:13536388:13537925:1 gene:Et_6A_046348 transcript:Et_6A_046348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKKVEEEAKVEDQKKEEAPAAAEAEKAAPAAEGEEKKAEEPPPRPPPVILGINLHCTGCVNKIKRCVLRCKGVEGVEVDMAQNQVTVKGIVDPQGICDRLRKRTMRNAVVISPPPPPPPAEGDAAAKDDPPPPPPPVVHSQVSEVRTVELLVNMHCEACAQQLQKKIVKMRGVQSADANSGAGKLTVTGTMSADKVVQYIHRRTGKLATVVPPPPPPEPPKEEEPPNPEDGGGDKKPEEPPADGEKKPDEATPEDAGAQKDGEGEKKEDDAGKPDDGGGGDEKVVAVDGFPPEEMMKRMMSYWPYSHKHFYNPAQAEEEAAAMMAKRMAAMAHPYAMPMMHHHPQYYSPPPPPPPPPQPVAPPPMMYPSYYNYGMGMVERPAMAPQYFSDENPNACVIS >Et_1A_009200.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:3332418:3332825:-1 gene:Et_1A_009200 transcript:Et_1A_009200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSSAGGSPAHAPAGAGPGGVGGAPYGSHHRSRFGDTTLTKVFVGGLAWETPSEGLRDHFQGYGEILEAVVITDRETGRSKGYGFVSPLPFSLLMIIDLARVPAGGRSVASPPRVHICVSQIGALMLGTR >Et_8B_058855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1084784:1088055:1 gene:Et_8B_058855 transcript:Et_8B_058855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLKQEPRSIESTSSSSSSTSSSPAQRSIPAKKRPAGRTKFRETRHPVFRGVRRRGRAGRWRWVCEVRVPGRRACRLWLGTFQDADAAARAHDAAMLALLGGAAACLNFPDSGGLLDVPPPAALRAAGDVQRAVATAMEGFLRMRHSPAQPVAAEDAMSATSEPSPDDDGDAATETEASSSEVADSCVGDDVEEDSPFEMDVLSDMGCDLYYASMAEALLMDPPASDDASCSEEDGDCNVADSMDHQGTESSGGPSPPKRPAGRTKFQETRHPVFRGVRRRGRAGRWVCEVRVPGSRGDRLWVGTFDTAEDAARAHDAAMIALCGDAASLNFPAAATTLPPPDVQRAAAEAVAEFMRRRGGHGSATSTPASPASTGNGGTPPMSGCTGAALCNGTLDMDMFGGMDAGSYYASLAQGLLIEPPPACPEDEECGGAGELRLWS >Et_5B_045548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4154158:4154828:-1 gene:Et_5B_045548 transcript:Et_5B_045548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQLKHFADPLHPLTKTIYNRKSTHTCDICLTQLAGLLGCCCKECDIRVHKACADYFKEHVSFFGHQHTLRLKHIPNGHSGHTCNIYALRFVPRGASCTAAPSTVPSPLHQGHVLCMVSSPSGYCSVCSQGLPNWHYVCSCSLNLHIACATAKPTGPAKGGYGGAVGQNGYGGLEQSRSVQGGYYDPAVPGSSYGGYQYGAVVPGGY >Et_7A_052859.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:20413499:20414991:1 gene:Et_7A_052859 transcript:Et_7A_052859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDESMHIVLFPFPAQGHFAAFLSLAGRLHRARPSAAVTLVSTPGNVAALRASASASSSLPFLRFHALPFVPEEHGLPAGAESTDALPIRHFLDLFHATESPSLRATFDDFVSSAAATADGRVVLLIADPLLSWTTGVARRRGAGHALFVSCGAFGSAVYYSLLNHLPYQLAPADGDDAYFRLPDHPEVTVHRSQLFAPLRVADGTDPWSAFNRRQIAHARDTDALLVNTTEELEPAGLRMLRATMGGAPVWPVGPLVQERSGSDGDGVVRWLDAKKEAMSVLYISFGSQNSLRPEQMMELAAALELAGRPFVWAIRPPVGSDDDKVGRWLPEGFEERVRGNNTGLLIRGWAPQVRILAHASTGAFLSHCGWNSVLESVTHGVPVIGWPLAGEQFFNAKMLAEEWGVCVEVARGNDAVRSSVVDRARIAEAVETVMGDSADATEMRRRAKEVQDLVLGAQSVGGSSTEALEEFFTTMRRGVSDSANNS >Et_5A_040366.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17588086:17588503:1 gene:Et_5A_040366 transcript:Et_5A_040366.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LGPLTSPTRSGCPLPDSILRTNILDAAQHQATPSPGADTQIAREGAAHWAWMAATTEKIRAMQSSERNWNWWELHVDALMMELLVFFKKALEMLRDEVQNCVPAMASSRFLLSWKEKRQQ >Et_1A_004779.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:40297904:40298612:-1 gene:Et_1A_004779 transcript:Et_1A_004779.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGARLGGEALEEIQELQLRILRSITSFPVFAFFPSVTMRLFRGRWESYVAVRRRQDDIFVPLIHARLRVADEGGRALTDSEMVSLCSEFLNGGTDSTVTLLEWIMAELVNHPDIQAKLKAGPHRTRHRGDAVPQGRRHGGPASPPARRASRSRDRRLHGAQGRGGQLPGGGDGPRRHRLDGAHGVQAGALPPRQRGVRRRPHGKQRDQDDALRRRAAHVSRLHAGIAQR >Et_8A_056793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1686995:1691894:1 gene:Et_8A_056793 transcript:Et_8A_056793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSVGKSRLAEEVALQLGIQRDHAFIRDELEMMLAFLMAAHDEQDKHQVVKTWVKQIRDVAYDAEDSLQDFAVRLGKPSWWRILRKLFDRHHVAKQMKELRSKLRIQRNQRYQLIKGSGSKAAASAGHSSMVSATMSGTEEAMRQRNNAKVELIRLIYGKKDDELRVISVWGTNNAVGEASIVKKAYDDLKRGNKFECQAWISISHPFNRMESLQNIVRQLFVDSLHEERKTQEKSSPGARDLRRMGTIMDEADLISSFKKYVNEKSFLIVLGNLSSNEEWNQIKACFPDNKKGSRLIVCTEKVEVASLCVGKDTETPEHKQLSTDPALLAFYEKVFGKTNNLDEHYPELVEMAKLILKKCNGLPLAIVTIGGFLSKQPKTPMEWRKLNDHIGAELEMNQELGIKKDILVKSYDGLPYHLKSCFLYTSIFPEDHNISRRRLVRRWIAEGYSSGVRGKSDKDIADSYFMDLIDRSMILPFQESIGSRSGIDSCQVHDIMREISISKSMEENLVFRMEEDCGSNTEGTTRHLSISSNWKGDQTEFESVVDLSRVRSLTVFGMWRPFFISDKMRLLRVLDLEGTSDLFDRHLMQIGMLIHLTYLSLRGHGSIYHLPDSLGNLKQLQTLDIFCTRILKLPQCIINLRKLQYIRAGGNDILGEDSYEELTENQDIPELLRNKLCICTFVSAATALACCCRAIREGAILDGEGDSNMHDVCTWYCHAGLPFLTRFADPGGVVLPKGLRKLKTLHTLGIVNISRGKSILKELKCLTQLRKLAVVGINKKNCQEFCSIIAGLNFLESLSVRSVVEPGLHGYLDGVSSPPKSLQSLKLDGKLVQLPEWIGGLQNLVKLKLERTVLLDVDGSMQVMGKLRNLAILRLRKGSLDGEEHRTRVIFHRGTIPESDGAGSACHM >Et_6B_049074.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:17557804:17560758:1 gene:Et_6B_049074 transcript:Et_6B_049074.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTFQLAPDLLAISRLCFGTMTMGEQNGAASSLRLLDAAFDAGVNFFDSAEMYPVPQRRETHGRSEEFLGRWLRARRAPRDSVVIATKVAGPSGQMTWIRGGPTALDSQNIAGAIDDSLRRLGVDYIDLYQIHWPDRYVPMFGETEYDPSRQYTNETPYGLMKFLQLSNDFQLHSKLLTLQNSYNLLCRNFDSGLAECCHHERVSLLAYSPLAMGILSGKYHSSDDCGPADARMNLFKGRYSEGESRYKLHSPRVKGAVKEYTRIANKHGISPAVLAIDTLLWHPLFSVLLNYGSLMKYFRLLGSISLKKFLPRSMMFMQDTRILAHNICNT >Et_4A_034369.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:32081327:32085440:1 gene:Et_4A_034369 transcript:Et_4A_034369.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALRFPGVVRDSPAALAISSAASKLGREHGFVCASARPGGQCWKRTGLVVRCQTGAVILKKEEAAAAAQHGEKAATGFTVVMKFGGSSLASAERMREVADLILSFPDETPVVVLSAMGKTTNNLLLAGEKAVSCGAPKACEIRELSVIKELHLRTIDELGLDRSLVSGLLDQLEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAAYMNKLGKKARQYDAFDIGIITTDDFTNADILEVTYPTVAKRLHGDWMDDPAIPIVTGFLGKGCKSCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYANAIPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGGIPVRVKNSYNRHAPGTVITKSRDMSKSILTSIVLKSNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKIAVVHLLQQRSIISLIGNVQRSSLILEKAFNVLRRNGVNVQMISQGASKVNISLVVNDSEAKQCVQALHSAFFENGFLSEVEGADVPQNGSLNSNGAACGN >Et_4B_037849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24022816:24024836:1 gene:Et_4B_037849 transcript:Et_4B_037849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVSGPYFEPDFDPVLDRFGTPGVVIDNETREDCTLVKVDSVNRDGVLLEMVQLLTDLDLVISKSYISSDGGWLMDVFHVTDQMGRKLTDPSLPEFIQRALVPFHRAGNGPLPKFTTCLGNVVGPGGPDVSDCAALEFTVHDRPGLLSSITSVLADNGCHVASGQAWTHGGRAAGVLYVTATAGGEAPRPSRWARIERLVNAVVDARENVTGERHWVCMSEPVQGRVHTERRLHQLMHDDRDYESGPAPTPVDEELFSMGDKAATSARRTETRVSIDGWEERGYAVVKMTSRDRPRLLFDTVCALTDMQYVVFHATVGSRGPLAIQEYYIRHKDGRTVDSHAERQKVSRCLRSAVERRATHGVKVEVRANDRSGLLSDFTGVLREHGLSLLRVELKRHKDEAFGIFYLVTDTGGEVPAEAVRAVQDRVQGMDISLDVVKEAPGWPPVRKTSVPASPVAAGQAQEGQRPSLGSLLWSHLGKLSNNFGYISS >Et_4B_038729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:4365175:4369673:-1 gene:Et_4B_038729 transcript:Et_4B_038729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALASAAAIADQRQKIEQYRNILATVLSSSPPDISQAKRFLDHMVSDEVPLVVSRQLLQTFAQDLGKLESDAQKEVAHYALTQIQPRVVSFEEQVVVIREKLAELYESEQQWSKAAQMLSGIDLDSGIRMLDDTNKLSKCVQIARLYLEDDDAVNAEAFINKASFLVTNSQQEVLNLQYKVCYARILDLKRRFLEAALRYYDISQIEQRQIGEEEIDENALEQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFAEELRPHQKALLPDRSTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIDPRKAEKIASRMIYEDRMRGSIDQVEAVIHFDDDTEELQQWDQQIAGLCQALNDILDSMSSKGIAIPV >Et_7A_050941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:12698891:12699751:1 gene:Et_7A_050941 transcript:Et_7A_050941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SVSSVFEDAEGRVDDGESAVDDEVVPADVARVVAGEEEHGGGDVLHLEPHALEVRDGLHGLLERVALEAHVPRGERRGRGGGGDAVDADPVPAQLRRRVLRERHEAALGARVRVRRGPRRRRRQARRADDASTSGASRAAPGRRGRNHGPRRVDRQHAVEVLLGALADAVARVAADAGVVEHHVQGAEPGHGVVHGRGHVGLHGHVAVHVAGGVGAQLGAEAGAQLVLDVGHHHARAVPHEEARRALADPARAARDHRHLTLQPDRAGKCMPNKLNT >Et_1B_009722.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:20767028:20768119:-1 gene:Et_1B_009722 transcript:Et_1B_009722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLGVGRVRVYNPDPATLRAFANTGIELVVGVPDECLAAVSTPSGAADWVRASIQPALPATKIAYLTVGNEILTGANSSSLTRYLLPAMQCLHDALDQAGLGKQVAVTTPHNLGVLAVSFPPSSATFRKDLLPTLCPILDFHARTGSPFFINAYPYFAYAEEPTRVHLEYALLEPGYPGVADPVSGLHYTNMLAAQVDAVYHAIAAANAAAARTVEVRVSETGWPSAGDANETGASPQNAARYNGNVMRIVAQWKGTPLKPAVPLRVYLFALFNENLKPGPTSERNYGLFKPDGTPAYELAYRLPRDNTNSGGGGYNGHGDGSEENGYYSISGAAKDRMVSHALFLSSALLLTISSMLALT >Et_6A_046947.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2289578:2293503:-1 gene:Et_6A_046947 transcript:Et_6A_046947.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVPGDTDAERRRLCTRRTTSTHRPQQQPPRAPPSALRQPPGPMTAGDSSPRTAPPSRVAALLPQIPCEGPPMPCAPAARRRVLAPPPCHRQEAVASAATLQPRKQNRAEAAAARAQHPARDYLAKGPKEGGVLFQEDQDSKNHPLQTGCLDTSNSVETRSLFCQLQQQEVEALQPLQQAHAEGDQAAAGHKRRRIGCQDMPTYVETQSLFCQLQQQEGEALQSLQQAHSEGGQDAMGHKRDIRDGHVSSPKQLHLPNPTDQLIHESLASFSTNNPKDLKIIRNVLMALPKGPRSALHMEESSVDTGDTSAVSVQINAYDVVGPDLARYGCILSNPWRRFWEHQPLVLHDGEILLAARSVRGRVNFAQRITALLQKHPGPVRNFRIDSSLVENAEQLEKWFEILKVKKIQEVVFVNCRWPFDMVDFPINSLDCESLEKIRLCFVKISDTCLNYVNNLTTMDLACCSMTTLDLHALVYQCKSLRELKIGIHEGNVIRIDSASLESLHVWQSTIRKLAVQNAAKLQKILVEADPQKTVVVAAGPKKPSPCVGVWIADAPIFTYACFNISTQPVTINNISTMTDNGPLSSLRKLSLHISLRVKKEKKVLENFMKSCLRLRELTLWREDEVYVDEYSDALNDDWPAKLRNLSSILNLQLLILRDYKGGDTELAIASAVLGYAPSLHQLTLETNGNDEEIFRGAKAKLREVTQASANASVKYLIGLRD >Et_6A_047298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3496529:3506310:-1 gene:Et_6A_047298 transcript:Et_6A_047298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQDDDQDDHVPETGSPPPSLEINDDDDMLTTMPPVQQVSSSTTLRAVASSFRESLSRSLSLSGDQLFEEKDDELELKWAAVVDVRRLGAAERRLVVDALVASTHRDNLRLLRKQRQRMDRVGVRPLTVEVRWRDVCVDAECQVVEGKPLPTVWNAAVSSLSVVSRMLGFNSQQARVRILNNVSGIVRPSRLTLLLGPPGCGKSTLLKALAGKLDASNLKVTGEIEYNGIKLDEFEVIRREKEAGITPHPDIDTYMKAISMEGLERSIQTDYILKIMGLDICADIMVGDAMRRGISGGEKKRLTTGEMIVGPSKALLMDEISTGLDRSTTFQIVSCLQHLAHISEFTILASLLQPSPETYELFDDIILMAEGKIVFHGSKSCIMSFFESCGFKCPQRKGAADFLQEVLSKKDQEQYWSHDRETYNFVSIDELCEKFKASQVGRNLSGELSKPYDKSKEHKNALSCSIYSLSKWELLKACFARELLLMKRSAFIYIAKAVQLGLIAVITGTVFLRTHMGVDRVHANYYMGSLFYALIMVLVLNGFPELAMAVSRLPVFYKQRDYYFYPTWAYAIPAFILKVPVSFAESIAWTSISYFLIGYTLEASRFFRHLLILFLVHTVSLSMFRCVASYCQTMVVGSVGGPTAFLVILLFGGFIIPRPSLPNWLKWGFWLSPLSYGEIALTGNELLAPRWLKSTTLGVSLGRRTLMDRGLDFSNYFYWISVGALIGFILLFNIGFAIGLTIKKRTSRAIISRDKMTTFNGRDQPILMGIEHKPPGTKAPLPLNRTGRMVLPFTPLTISFQDVSYYVDTPAEMREQGYMERKLRLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLSGRKTGGVIEGDIRVGGYPKVQQSFARISGYCEQTDVHSPQITVGESVAYSAWLRLPTEIDSKMKNEFVNQVLETIELDEIRDALVGIPGVNGLSTEQRKRLTIAVELVSNPSIIFLDEPTSGLDARAAAIVMRSVKNVSNMGRTVVCTIHQPSIEIFEAFDELMLMKRGGELIYAGPLGHHSCKVVQYFQAMPGIPKIKENYNPSTWMLEVTSTSMEAQLSIDFAQMYRDSSICKDNDTLVRRLSVPRPGASDLVFPTRYPQGSWEQFKACLWKQSLSYWRTPSYNLVRLVFMTFSYIAFGAIYWQQGNIKHINDQQSLFTILGCMYGTTLFSGINNCQCVMPFVSIERSVVYRERFAGMYSPWAYSFAQVSMEIPYVFVQIAVFMFIAYPMIGYAWTAAKFFWFVYTMFCTLLYFIYLGMMIVSLTPNIQVASIFASIFYMINNLMSGFIVPAPQIPKWWIWMYYISPMSWSLNVFFTTQFGYEDNKKIEVFGETRPVATFVRDYFDFRRELLPLAAVVLLAYPIIFATLFGYNISKLNFQRRQIGK >Et_2A_015443.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1360999:1362886:-1 gene:Et_2A_015443 transcript:Et_2A_015443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADVAYAPPMKAGKAGFEGGMPEVQHRIRITLSSRNVKNLEKVCRDLVTGAKGKKLTLKGPVRMPTKVLNITTRKSPCGEGTNTWDRFEMRVHKRVIDLVSSPDVVKQITSITIEPGVEVEVTISDP >Et_9B_065229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2656233:2662020:1 gene:Et_9B_065229 transcript:Et_9B_065229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPAAAGTIVPAGGGGTVWPDGSPRFGDMVWGKVKSHPWWPGHIYSIALTDNAEVHRGHRDGLILVAFFGDSSYGWFEPHEIVPFEEHFAEKASQGLITRSSFATAVAEAIDEVARRAALGLLCPCRNPRAFRTHPFDSRYFLVDVPGFDSDADYHPDQIAAARDRFVPRKALDYLLDAASGSGSDSFYFSYVKLHAAYDGSCSYTRPLSGKRKLPTEEAAPTPGPGPGRRRGGGGGAAARLMEKIVPGASAMKHKSTKKDQYLLKRRDQPPEPSHRPAPLPDAPPAQEDGPPGFGTDPPTPPHPGTTAEDEDFMLQRRAPPLEAPPAAHVQGSEGAAADAAGPKKAAKPKKARKREREEAAVPGAAADAAAGGEPKKKKKKKKLTDLDGDAAPNAPAAVAGSGKAAAFPGPKVVGDLDALDLKQVMSDLGNLPLAPFHGADRRISDTARSFILAFRSKYFKKSHENDPPEESKKSLSLDKPSAGADGQPPKKKKLAVRPGAGSDPTKAGVKRGPSDRQEEMAVKKKAKLEKIKTLSNEKKAGGLEQKLTGAAAAGGTATGTARPALKADAAARKKEPAPPPRMRTPSPTALMMKFPQKTTLPSVASLKARFARFGPLDIDGIRVYWKSNMCRVIYKFKSDAETALKFTRTNRMFGDVDAQFHIKEVERAGGEPPAPDAPPQRSDLRLMETAPFRPGSSGNGSPLPMSRAALTARPSPGQQPKSILKKNTEDGSAATASAMREVSRVKFMLDGGDSKLEPPALPTNAGGSNGADSGAPIRGAKSVGFAPQPLQPPMRPTQQQQLQPPRAAVTQPLPPPPPLPYQARTSEGVLSPQGQLPYPPRHTDGPLAFSSQPSQPLPYPPRHNDAMSVLPGQPPLPYQPRSTGFPGQQQLYPPRAGDSPTILPGPPQQLPPRTSDADGVPAWKRSEKEFKDEVWRVMTGIAKMVEPLTDKNGFFPYHLFRAT >Et_7A_053044.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:5525562:5526197:1 gene:Et_7A_053044 transcript:Et_7A_053044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSDAAASAAQTEDAVAICAQIAAVFSAPSPHPPPARSVLVSELAAAAARGGRVFVHGVGREGLMMRALCMRLAHLGLPAHCVGDVTAPPAAAGDLLVASAGPGAFSTVDAICGVARGAGARVLLLTARPDGAGFPRRQADVVAHLPAQTMADKEEAVAAMPEGSATAKLPMGSLYEGAMFVLFEMVVLELARVLGQSPAQMRARHTNLE >Et_5B_044239.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21868946:21870098:-1 gene:Et_5B_044239 transcript:Et_5B_044239.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSFLLYPPSALEAAMSAVAVVSLAGLGLSELCGFNMAYSKFWHAMAADGGRGQKWGIAGVLMPSRTGMLVGYAPAALIAVAASFAVPGVVKAMYLTCVLSPGPFPSPIQWEHDTATTLTISSTYLLITGSMIYVQHLSHGLPDPAVNILYPGVLVFVVGITGNFYHHYLLSRLRAGGGDGKGYKIPRGCLFELVTCPHYLFEILGFFGFAMISQTLYALAMALAILAHLTGRSCATRRWYASKFEDFPLKIRALVPYVL >Et_4B_037321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18304356:18305920:1 gene:Et_4B_037321 transcript:Et_4B_037321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSSLACSTYRRRIIECKAAACSSRLPSRARPPARTRIGAALLPANITSAHGVDSSIISLLTMQRWETLNRIAYKFEKPDKAHGRLALKALNSVLQQ >Et_10A_000847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:18138722:18141021:1 gene:Et_10A_000847 transcript:Et_10A_000847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAVGGCVAFKSHDTHKYVCCMRGHGPFSNTLKASGENALTPYTRFYIEPSKEDESLVHIRSCYNNKYWVPSKVNDSWYILVDGKEQEENILNPSCTLIITCSAEFTYGDISIQSTPPHINKVTPEDEGCLVVITDDDRHTNKIRSIPSENMFTVINLDDQKVLPKYVRFKGDNGKYLGIHNGNFLKFGWTDSGDDKVIHSIHTNPDGTMIRIKSEKVGMFWRLGNNCWIAADCDDNTSRNNQDTWFQVVRLGGNMIALKNVGNKKFSKGLTNDDAEECLNAWGDSIAEFARLQLEEAVVTRKIYSVEYDLAAARVYDHKALVMATAHSVNNSSTPTTAKLNLKYSLATTSSWSKSTTESWGVKASINVGLPKLLSSIGVELDINIEGHYDHTYTEEYGGSHTRTEEQSVDYDMTVPPKTKMTLQATATQASCDIPYSYYQKDILTNGDTVVSKLDDGLFHGVNSYEFTYNVTEEKLLDEATQQGTEESRKKRKQGEPEDMSMGDADGFAPKEPAKSK >Et_2A_018447.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28522893:28523144:1 gene:Et_2A_018447 transcript:Et_2A_018447.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAARPSGARKCQGRHDKAAAGPAQLLPTEADELAMEAAVDGVGRLMVRGWLFCKDHPYPIPDVVRRVQLRSPCSASTSGAC >Et_3A_024650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2345192:2346628:1 gene:Et_3A_024650 transcript:Et_3A_024650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAASPEPATEPPTAESVLDILGAEVLAVMCPVSICMALVVLLISLLSPASPGDAAATPAPSPTDSTGQKLVGALLDAAVFVALVAAVTFVLVALYYYRCTGFLKNYMRFSAFFVIFSMGGAIVAAVLRRLDAPLDAPTAFLLLFNASALGVLSVFASAVPILVRQGYMVTLAVIVAAWLSRLPEWTTWIMLVALALYDLVAVLAPRGPLRMLVELASSRDDELPALIYESRPTVGPATASSYASVTGSVEMRTMADSGQAGGSQYDRVEQEEDGSRAVVEMRNLGGSRSGIGENNRSRGSARQMDNLEREVPLSVTRLSSNQGESSQHAVIQIEQPEEEETAPLVSAASTNNAASNEESRESSSSSEPIDFEMFESTRGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIIAGLGCTLILLSICKHALPALPISIMLGVTFYFLTRLLMEPFVVGASTNLVMF >Et_1B_009929.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:11651397:11651558:-1 gene:Et_1B_009929 transcript:Et_1B_009929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMVSSAYAALCSFVNAQLDEAEKQQLAECFPRLRDLAVEEREEFLRWLMKA >Et_1B_013529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9280089:9282905:1 gene:Et_1B_013529 transcript:Et_1B_013529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKRAADAAAEAAAAEKPDDSAPARPERTLFGFKEPPAPAPDDEPASAAVSPAAPPFRNKEKVLVTCSRRITFRYRHLMQDMVSLLPHAKKDSKVESKQSKGAALNELVELRSCSSCLFFECRKQKDLYLWMVKSPGGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSTNFDEQPHWKLVKEMLTQVFATPKDHRKAKPFHDHVFVFSIVDGHVWFRNYQISVPHNEIDKVDKGGLDKMTLIEVGPRFCLNPIKIFAGSFGGPTLYENPYYISPNQIRALEKRKKAGKYAKKVKAKVRRKRHEMENTLEPDEFADMWKGEE >Et_3B_031754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:9841935:9842634:1 gene:Et_3B_031754 transcript:Et_3B_031754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRTPYAAAVLSLFLVAVAADAASSAPGGSAKAPAAAAPSSKLSFQEACKHTAGHYALCVATLSGDASSKSADTAGLAKVAILAAQRNASETATFLSSIYDDTNLENKTAQLQQCLEDCGERSERSTTCLSPRSRYEAAVEQLTDATSALQTGAYSESEALVAASQAEVKLCQRGCQAVPDHRNVLTTRNREVDQLCSIALSITKLIRGPPS >Et_1A_004921.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:16903907:16904488:1 gene:Et_1A_004921 transcript:Et_1A_004921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTKVIALGIIFLLSICGVANAARVVRYSSSEGSGKGEGQGSGYANGAGSGSGSGTGSSTSSANGGSAHGSGGGGGRGGGGGQYGGSGHGAGSGLGIGTSLSGPDPYGGSSSASGSGRGWASGNGGGYYGSSGYGTGVGSGKGFSESNHGDFPGGTYANARAIGSGKAGGNGHNGGSGGGGGDGSANGDAHP >Et_7A_052765.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:15788372:15790405:-1 gene:Et_7A_052765 transcript:Et_7A_052765.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPPPRAMAPALLLLALLAAGAARAANDLASDTAALQAFISPFGSAAVSWNPSTPACSWTGVVCAGGRVTEIHLPGDGLRGALPVGVLGALTKLAVLSLRYNALSGPLPPDLAACVELRVINLQSNLLSGELPASVLALPALTQLNLADNRFSGRIPPAIARSGKLEQLYLDGNRLTGPLPDVTVPASLNSFNVSFNNLTGEVPRGFAGMPAASFLGMSLCGKPLPPCGASPGAQPPPSSSQDPASPPGAGASPDDGRHGGGSRHRLSGGAIAGIVIGCAFGFLLLAAVLLLACGATRRRRGTRATYRSQDAAELALRGKEAMSPNAYTPRVSDARPPPPYVPPAVAVPATVGKKKLFFFGRVPRPYDLEDLLRASAEVLGKGTYGTTYKAAIESGPVMAVKRLKETSLPEREFRDKVSAIGGIDHPNVVPLQAYYFSKDEKLMVYEFVSAGSLSSTLHGNRGSGRTPLGWEPRRRVALAAARGLEYIHATGSMVTHGNIKSSNVLLSRTVDARVADHGLAHLVGPAGAPSSRVAGYRAPEVVADPRRMSQKADVYSFGVLLLELLTGKAPTSAALRDDGVDLPRWARSVVKEEWTSEVFEAELLRHPGAEEEMVEMLRLAMDCTAAAPDHRPAMPEVAARIEELGRAGSARSGRSASMDEADDRPLRPTGSIRQS >Et_2B_021033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25853052:25854529:-1 gene:Et_2B_021033 transcript:Et_2B_021033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGSSVSIISDPPEAPTLTKHIQPSFYGDSKTHFSVRWTCDGLVPVNYAPITPGDSLDGKSKISIKIFKKKDDGDWWLYFGHDDGSITPVGFWPKKLFNSLADHANRISWGGYTGSNTGDSSPPMGNGQWPGKNSATIEDIQFVDIDGHGSVPPPWPAGVYSDVTHKKCYQVSVFSNGMFYYGGPGGCTD >Et_8B_059755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2414775:2419543:1 gene:Et_8B_059755 transcript:Et_8B_059755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERRRFQNLRSVRWRVDLGILPASPEASVEELRRAAADSRRRYVSLRRRLLVDPHLPKEEDRSSSLVVDNPLSQNPDSSWGRFFRGAELEKTVDQDLSRLYPEDGSYFQTPACQAMLRRILLMWCLQHPECGYRQGMHELLAPLVYVLQVDIDKLSEVRKLHEDCFNDDFDGVPFPDTDMVFSYKPRKDLKWGAGGDADNGSVRASKVNTLDELDLDTKDIILLSDAYGAEGELGIVFSERFMEHDAYSMFDGLMDGGNGVVRMAEFFSASKVGSSSSLPPVIEASSSLFHLLSIVEPSLHNHFIELDVEPQYFALRWLRVLFGREFCLNDLLVVWDEVFAYSNDMLLSNDEEYSFRILCSYRGAFIAAMAVSMLLHLRSSLLATEINTSCLQRLLNFPNNVDVQKLIEKAKSLQSIAIDANTSSPSVLLKKDNYEYDRVHSNLATSTPPRTPLHPLSESYWEEQWRNLHKDGTGPKEIEKGNSFSRSLKKSLSQRLGLSRTESDPSPVKAVRLKSDTKNPVRRCLLNTLSDEVIRSREVAGKIQQDDFPIVSTHKEPLLSCSSGENSPVFYAARAGDEHENNHDSHSERSSITSDSCAGDNDRDEIPQDEPSNCNCEDKTVSEAAPSDKNSEPVGPSERTAVSNERKPFISKLQWLLKLGRPSGEGNTDKGTGETSGDKHDVVAPIPSPLDVSSDNSRSSINLAPGDKKVVGTLKNIGQNMLENIQVIESAFQQDRGQPSPMENFSNNILGGKGQVTAMAALTELRKISNLLREIVIGYATAHFLKRSEAK >Et_1B_011028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16807689:16813555:1 gene:Et_1B_011028 transcript:Et_1B_011028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIINLFSRTYIRLHRSTLEENLLRMRIACSTIRAPAELQATFIENRTEISTWPGKPNDLDEYQVVPEAEGTVLQPLIDQVRAMLRSMDDGEISASAYDTAWVALVPKLDGGEGPQFPATVRWIVDNQLPDGSWGDSALFSAYDRMTNTLACVVALTKWSLEPEKCKTGLSFLHGNMWRLAEEEQESMPIGFEIAFPSLLQTARSLGIEFPYDHPALQSIYTNREIKLKRIPKDMMHRVPTSILHSLEGMPELDWGKLLQLQSSNGSFLYSPSATAYALIQTGDKKCFDYIDGIVKKFDGGVPNVYPVDLFEHIWVVDRLERLGISHYFQREIKQCMDYVNRHWTEEGICWARSSNVKDVDDTAMAFRLLRLHGYNVSPGVFKNFEKDGEFFCFVGQSTQAVTGMYNLNRASQISFQGEDILQRARIFSYEFLRQREARGMLRDKWIIAKDLAGEVQYTLDFPWYASLPRVEARTYLDQYGGNDDVWIGKTLYRMPLVNNDVYLELARNDFNRCQVLHQLECQSLQMWCIENGLESFAVTSEDVSRAYFLAAASIFEPNRAAERLAWARAALLANTIAVHLHNNLQNKTRMGRFARCLYEEHDAPWPKRNLKDAILTRALWRLTDLLAQEAQPIHGGQSYIHKLLRLAWTQWMMQKINKEDEKYSESNVKEPQYMVHDGQTCLLLFQIIEICAGRISEASSVINNKDNDRLIQLSCSVCDNINHKVLLSQDAESNEAAINSIDKEIEWEMQELAQSLLRSDEKTSNRLTKETLWNVVRSSYYATHCPSYVMDRHVSKVIYEPV >Et_7B_054262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18789026:18789808:1 gene:Et_7B_054262 transcript:Et_7B_054262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNFRELHALFEVDNQFSVDFMGEFPNPEILTLQFPSLLYVCKNLSLGAVAYVLKALPLVETFKLELYIYLHVHSNLRPLNKLNIRWPRNFIPTRLRTIKIGGFSGESELTQLLLFLLKWSTGFRTLLIDTH >Et_9B_063805.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15356495:15356641:-1 gene:Et_9B_063805 transcript:Et_9B_063805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RTQARRGRGTSAGRRRPPTSIGIVWLSSAIGSCGSIRMRRSSELPPTG >Et_4B_037893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24478507:24478922:-1 gene:Et_4B_037893 transcript:Et_4B_037893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIRIVMKSFVSQSNRVSELMPNAKKIGLPESRALYTVLRSPHIDKKSREQFSMHVKKQFLEQKVEAHELRKKYFWLKRLRAPGAQCEIQISFKTRLDKKSLESKLLDGLKSAAILWLDEEIAMQN >Et_5B_045338.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18863979:18867322:1 gene:Et_5B_045338 transcript:Et_5B_045338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIPIPFANKNEIQISDPCSLNENLITKEPKLLTPSKSANGLGYRAPTEKRNRTGRALRHRKAHLGADAAAAVGAGSHVVALLYRLDFVFERRTPPVNHTSRRHRRRHASTRSVSSCATAAESTTDELRHLGLTEAGATSDEEEAAVAEPEVHLAFLEEPDAPEEPPVPEQGRRLVPGVA >Et_4B_038295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27969144:27974334:-1 gene:Et_4B_038295 transcript:Et_4B_038295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIEKIQEAAADGRTLFSFEFFPPKTDEGVENLFERMDRMVAHGPSFCDITWGAGGSTADLTLEIANRMQNMVCVETMMHLTCTNMPVEKIDHALETIKSNGIQNVLALRGDPPHGQDKFVQVEGGFACALDLVQHIRAKYGDYFGITVAGYPEAHPDAIQGEEGATLEAYSNDLAYLKRKVDAGADLIVTQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFMRMTGFCKTKIPAEITAALDPIKDNEEAVKAYGVHLGTEMCKKILASGIKTLHLYTLNMDKSAIAILMNLGLIEESKVSRPLPWRPSTNVFRVKEDVRPIFWANRPKSYITRTRGWDQYPQGRWGDSRNPSYGALSDHQFARPRGRGKKLQQEWAVPLKSVEDISERFMNFCQGKLTSSPWSELDGLQPETKIIDDQLVKINQKGFLTINSQPAVNGEKSDSPTVGWGGPGGYVYQKAYLEFFCAKEKLDQLVEKSKVFPSLTFIAVNKDGESFSNIPVNAVNAVTWGVFPGKEIIQPTVVDSASFMVWKDEAFEIWTRGWASLFPEGDSSRELLEKVQKSYYLVSLVDNDYIHGDLFAAFKEI >Et_7A_052957.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3288216:3289750:-1 gene:Et_7A_052957 transcript:Et_7A_052957.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIQKKRHGAGAGGGGGARLQGGIPFEKSKGQHILRNPALVDSIVTKAGLKPTDTVLEIGPGTGNLTKRLLEAGVKAVVAVELDPRMVLELNRRFQGHPLSSRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPMFRCAVIMFQREFAMRLVAQPGDSLYCRLSVNVQLLARVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKEWDGLVRICFNRKNKTLGSLFKQKRVLELLEKNYKTMQSLQLTQDAEMGEKMSADDVALLANMVEDLSMETGEEKEEDEMEMDDTDMGGDGRTSFKEKIMSILQQGDFAEKRGSKLSQVDFLYLLSLFNKAGIHFS >Et_5A_042357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:9061939:9068448:-1 gene:Et_5A_042357 transcript:Et_5A_042357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKRGLDWAGRGGYSGERQGGHEDGAVHVEIPETAPTAKNPHKNLASFLLALRPRSPSLLTPATASDLSVAGSHDLLQQAQVRRLLQVPSPIYPVLGAVLRSGVDALRKCLFSVSVHLNYDEVMKIPRDLTEASLSGAGLSIVAALAMMFLFGMELSSYLAVNTTTTVIVDRSPDGEFLRIDFNMSFPALSCEFASVDVSDVLGTNRLNITKTVRKFSIDRNLVPTGSEFHSGPIPTVSKHGDDVEEDHVDGSVALSSHNFDSYSHQYPVLVVNFYAPWCYWSNRLKPSWERTAKIISERYDPEMDGRILLGKVDCTEEADLCRRHHIQGYPSIRVFRKGSDVKENQGHHDHESYYGERDTESLVAAMETYVANIPKEAHVLALEDKSNKTFDHTKRPAPMAGGCRIEGFVRVKRVPGSVVISARSGSHSFDPSQINVSHYVTQFSFGKKLSMKMLYEFKRLAPYIGGHHDRLAGQSYIVKHGDVKANVTIEHYLQLVKTELISLRSSKEMKLLEEYEYTAHSSLVHSFYVPVVKFHYEPSPMQVLVTEVPKSFSHFITNVCAIIGGVFTVAGILDSIFHNTLRLVKKVELGKNI >Et_7B_053846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12707208:12710727:-1 gene:Et_7B_053846 transcript:Et_7B_053846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMGPPSPPLSMKPPTLPFTPKKPQPMPVYKDLHFNRDLSATKKLQAGVDLVARLVGVTLGPKGRNVVLGNKYGPPKIVNDGETVLKEIELEDPLENLGVKLVRQAGARTNDIAGDGCTTSIILARGLIAEGMKVLAAGINPVQVARGIEKTAAALVSELRSMSREIEDHEIAHVAAVSAGNDYTVGNMISDAFQRVGRKGMVRIENGRGTENSLEIVKGMQFERGYLSPYFVTDRANMLVEFTDCKILLVDKKITDASEIIRILDSAVREDYPLLIIAEDVEEKAMADLIKNKLKGTIKVAAVKAFSFGEQKTQFLDDIAVMTGGTVVRDDMGYTLEKAGKEVLGAASKVVIRKDSTLIVTDGSTRHAVEKRVALIKGQIENTTEKYHKKILGERIARLCGGIAIIQIGADIFKKALSYPTSLIASNAGMNGDFVIEKVLQNSDTNYGYNAANNRYEDLMAAGILDPSKVVVRCCIEHAAVVAKSFLTSDVVIVEAKESKPVRIRPPMPPRSLIPPMPASGNSYCFLSQTCIHLEYQTYSLVYYYVFSFRITLEYNKKYLRTKFFCKSGKVIAKKAYKRSPQTSLCTIALRSSVCCRIDKISSKGC >Et_7A_050644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:9864275:9865972:1 gene:Et_7A_050644 transcript:Et_7A_050644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFNKEVLSWYLITLKLKEAVDANLQKSPPPPQWQPRELLPLIANGSASSPPAVQRHVEQQPEERPGGVAVVARPSSRAPSPAHSPKPQDSEWVVTIRGKLAQARAEEAACPWARLSVYRVPKCLRDGDERAYTPQVVSIGPLHRGRRRLREMDRHKWRALHHVLKRTGHDVTAYLGAVRPLEERVRACYEGRASGVPTNDLVECLVLDGTFVLELFRGAADGGKGFVDVLGYSRHDPIFAMRGAMHAVRNDMILLENQVPLFVLDLLLGTQLGNPEQTGAVAALAVRFFDPLMPTDAPLLHKDRLHCLDVFRRSLLWPKKWSSLLRRVADKRRQQFVHCVSELREAGIRCRRRNTDRFWDIRFDNGVLHIPRILIHDGTKSLFLNLIAFEQCHHMDDIAATTPGGNNITSYAIFMDNLINSADDVKYLHDRGIIEHWLGSDAEVADLFNRLCNEVVFDINDSYLSGLSDQVNRYYDYKWSTWIASLQHNYFSNPWAIVSVVAGVFLLLLTMTQTFYSAYSYYRPIR >Et_4A_032417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:92334:96231:-1 gene:Et_4A_032417 transcript:Et_4A_032417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLLALFAAAAAVQASSLPFIVLHGIGDQCANHGVAKFTELLAEWSAADGYCLEVGRGTWDSWVMPLQQQVKEMKELSNGYNIVGLSQGNLIGRAVVEYCDDGPPVKNFISLGGPHAGTASVPLCGVMVITFIDSEFSWNNLRYDELQAHLAPSGYLKIPTDMADYLKSCRFLPKLNNEIPGERNATYKERFSSIENLVLIMFQDDAVLIPRETAWFGYYPDGAFEPVLAPQKTKLYLEDWIGLKTLDEAGRVKFISVPGGHLGISKGDMKKYMVPYLTEKASTIPRSISNVLGAARRALDVQESDAPLLGSSSW >Et_3A_027356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8620453:8624188:-1 gene:Et_3A_027356 transcript:Et_3A_027356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAGRCLLLSRPSPLRLRLLRAALTTAAPTLTTSAPPPPPRHELLLERLRMRHLKDAAPGLPKPTREKGKGGERGSQHLQQGRRVDAVESFEELGLGEEVMAALGEMGISKPTEIQFVGVPAVLDGTSVVLGSHTGSGKTLAYLLPLVQLLRRDEAMLGMSMKPRRPRAVVLCPTRELTEQVYRVAKSISHHARFRSTMVTGGTRTRPQEDSLNMPVDMVVGTPGRILDHIKDGNMVYGDIKYLVLDEADTMFDQGFGPDIRKFLAPLKNRAAKPGDQGFQTVLVTATMTKAVQKLIDEEFEGIVHLRTSTFQKRVSTARHDFIKLSGSENKLEALLQVLEPSLRKGNKVMVFCNTLNSSRAVDHFLTENQISTVNYHGEVPAEERVENLNKFRNEEGDCPTLVCSDLAARGLDLEVDHVIMFDFPSNSIDYLHRTGRTARMGAKGKVTSLVAKKDVLLATRIEEAIKKNESLEALTSSNVRRPTNPQNASTKGRTSSRVVKSSSALKVTEQKGRRGVVLSSKSSRTPKDTTSTRRRSPPKIQPKSKKSAAPTGKAKLVKSTKTSVKVSKKQSKPVGRKGDTLNKIGTKLSVVGFRGRSSGKSAQAS >Et_7A_052956.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:3291926:3292204:1 gene:Et_7A_052956 transcript:Et_7A_052956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGKASSWAAAMSVGTVEALKDQAGLCRWNYAFRSTQQRVARGAAAGNGGGSTCGRSNAPPASSARRKAKQQEEELRTVMYLSNWGPNN >Et_3B_030662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6077750:6084242:1 gene:Et_3B_030662 transcript:Et_3B_030662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGETAVVPIDVDGGGGQGRPKSPRHRSHGPGHHGRNGPQHQHRSRPPPPPTQEFRPFRRWFPFLVPLFVVANVILFVLTMYINDCPAHAQATGAAIGGSVGESATAEGCWLAPELGRFAFQSFKENPLVGPSSATLLRMGALETSKVTKDHEGWRLITCIWLHAGVIHILANMLSLLLIGIRLEKEFGFMRIGTLYVLSGVGGSLMSALFMVSNISVGASGALFGLLGSMLSELITNWTIYENKFAALLTLVMIIVINLAVGILPHVDNFAHLGGFTSGFFLGFLLLMRPQFGYINQKNSPLGFPMGATKQKFKTYQIILWVIATVILISGFTVGLVLLLKGFNASEHCSWCHYLSCVPTSKWSCKAPNNYCMSSQLGNQLNLTCESTGKTATYVLTDPNNAEAIKNLCVGFPIWRTYYYFSVTAGTETHVCKSITFELAVEPAALLLQALHQPTHLITLVFQELGPSHVVANHGAEPGGDSRLVLLHVLHAPCPRAGLPQEPRDGAVADQVFLPGRPAEHAAGVVALAVAVPPPLSTSGAGEVKAERHGVPEARPALAEVDYQEMEVVVRRLLLERGGCDAGEALVSVGGEGARGAGLGAGVGGGRGENAGPRPDEPLAGVVSFGVCH >Et_8A_058260.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:3698066:3698578:-1 gene:Et_8A_058260 transcript:Et_8A_058260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRDKKVEEPTELHAPEITLCANSCGFPGNPATKNLCQNCFLAASSAPVANVLPPSPSSSSSSPAVFDKPRPAVAVAVPAAAPPVSAAVDRPSAAGPVDTKTSRSSVNRCHSCRKRVGLTGFRCRCGELFCGSHRYSDRHECSFDYKSVGRDAIARENPVVRAAKIVRF >Et_6A_047860.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:21509814:21510863:1 gene:Et_6A_047860 transcript:Et_6A_047860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRWKPFLATFDHIDAGGGQGSLFRREEFKRARNQIVETLCGATDDGVAEALCRLLDDAMAESLVTLRTAVHADKEGLDLLASGELVAAVGALARGHESARVRGLARGVVCGWRAAVEEDFATASAAMVVLDRLVSPPPTTPRPHEDTASATGVSGCYRDNKASPDLDTAAAAGTKKQQSAVPVKKQQPRPRPPQRAAAGVAKIAEPSPKKKDAPLVVVRGGGGCSKSTSPDTQASSAKAPAPQQQGKKTVKSSPPLSARSSSGDDRLAALCIAGDKKKKMEATKRKLHERYQEAEDAKRRRTVQVLVVAPEMPRKHKTLHAVKTRGPAFSAAENRSRFSKPALQRI >Et_4A_034906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7734980:7741835:-1 gene:Et_4A_034906 transcript:Et_4A_034906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADMDMDMPDPEELEWMESHGFPPEEEEEAYFDDPEVGFFPLPGDSPQPQEAAPPPPKPAGAAEVLEGGLKRPPPPPPLEQERSKRINLEREDSGEEDWLRYSPPPAPEVVAEKTISRFASEIQGDCVPVTAPNGERAYAKLAVDWLVGGGVRGTRQRGFSNPSPSHKGLLSESFHSLTRRAEQEALAKALQESTDSLDREVCSVTPLVTEQLWVEKYAPNSFTELLSDEHTNREVLLWIKQWDSCVFGSHIRATSDDVLSALRRHSSTTQKNSSNKSFFSKGKGGTVASQDDMPLNGSHGNSEGSVSSFSKRSPMDNTPEQKVLLLCGPPGLGKTTLAHVAAKHCGYHVMEINASDDRSASSIESKILDVVQMNSIMSDSKPKCLIIDEIDGALGDGKGAVDVILKMINAEKNNNADKSTNAEETQVRKASRKGHRSAKLLRPVICICNDLYAPALRQLRQVAKVHIFVQPTISRVVNRLKYICKKEGFKTSAIALSALAEYTECDIRSCLNTLQFLNKKRAALNISAFDSQVIGRKDMSKSILDVWKQILQKRKLKRSEKTDNHLTKDKDIDSLFSLISNRGDYEVTMDGIHENFLRLSYHDPMLKKTVKCMDVLGVSDCLTQYVWRTQHMSLLAYQPLIAINISRIVAQVEKPNIEWPKALQRSRAMLLEKKDMLKTWQNQMSPFVSRHLSVASFVQDTASHFLHILSPLSLRPVALNLLSEREKEELVQLVDTMVSCSVTYRNTKFQPQERANLPIVSHDVPSLSFDPPINDVINFKGYQSEHIGLSMAMKQVLVHEVEKQKIIKGSAGKLLSQTNGVDVSSEALSATRKKAPDDSIAPALDSSKDSFKRSSSTLQAQSKSPTNLSGKDPTPAKKHSGRATNFFERFRKERPVDAKTRNDAGQQGATTQRDSRPLIFKYNEVCSLYINWDFSLWLSTFETISNYSHVFVL >Et_9A_063614.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9887592:9890057:-1 gene:Et_9A_063614 transcript:Et_9A_063614.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAVKPMKATSDGIFQGENPLESALPLAILQICVVVVLTRVLAFLLRPLRQPRVIAEIIGGILLGPSALGRSSAFLNTVFPKQSLTVLDTLANIGLIYFLFLVGLELDLRAIRRTGSTALLIAVAGISLPFILGIGTSVVLQHTVNRGVATGPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAIALSGTGSPLVSLWVLLTGAAFVLAAFFLLRPVLTWMARRSPEGEPVKELYICATLTIVLAAGFATDTIGIHALFGAFIVGIVVPKDGPFAGVLLEKVEDLISGLFLPLYFVSSGLKTNVMSIRGGESWALLALVVGTACIGKIGGTVITSLIVRVPMQEAVTLGFLMNTKGLVELIVLNIGKDRHVLNDETFAILVLMALITTFITTPVVMAIYKPARRGAPYKNRAVQRANPEDELRMMACFHSTRNIPTMINLMESSRGRRKRGIAVYAMHLVELSERSSAISMVHKARRNGMPFWNKRRNGDGDGDQLVVAFETYQQLSRVSIRAMTAISDLHTMHEDIVASAHQKRAALIVLPFHKLHQMDGHMDSLGDHYQHINQRVLHHAPCSVAILVDRGLGGAAQVAASDVSYSIAVIFFGGRDDREALSYAMRMVEHPGITLHVLRFLAPSGSNDRATDDAFLEDFRAMVADGNESVKYEEKAVGGKADVVEAIKAAGPCNLFLVGQGTPCMPLSDRSTDCPELGPVGSYLALPEFSTVASVLVMKQYDPTAKHYDLVEEVAEISVDVDTPGPRGASSRGGD >Et_4B_036967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1314573:1315721:-1 gene:Et_4B_036967 transcript:Et_4B_036967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSTQLLLLSLPDAHHHRALLPPPACSAFAPSSSSSSLPLRRLSRSSARTGLRVISPETSNTVVTEAATIGAQPGNTTWAEFAARVSGEWDGFGAEFTATGDPVELPENVVPEAYREWGVKVFDWQTQCPTLADPSARAPCALHYRLVRLLPTVGCEADAATVHTSHQRHAASAAAFAYAAAGSYVAAWPKGPAPVLEVEHCLVRAPDEDGVARVRVVQTVALGREARLRGVKVFSEQWYGPFRDGEQLGGCAVRETAFAAGEKLDVSEVVGRWESTDAKAARFSGELDPGTVSTAPFESPVQL >Et_4A_035562.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29323325:29324341:-1 gene:Et_4A_035562 transcript:Et_4A_035562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQPSATRIRRFLHLLAQDRKGGSTLHKIDTEPFFAADASCHDHQSPSAGLRPFPLPRPVARFENFSQFFRLGRGGDVEKVVAVDTHRHTIIFDTRTTVVCAGSDLRCSKNLWAAWAEAGGRLYVLDFPRMFDDAPAVLGLGGPGHDPYRGDWFWNSLPSLPTGDSRFMSSFADGASTGAGAIMRVSMAWHGTFAFDVARGSWDMEGDWEMPFRGSAQYVADYGLWFGFSKQAEPDLCSADLNVDADAAEPAHRHVWTDIDGLADQANHFRGSYLSYLGCGRFCVTKFYLKMDADNEFAVVTAVQATRVAETGEIQMVRKGSKCYSLDSFGGLGWAY >Et_9A_063076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9686539:9687328:1 gene:Et_9A_063076 transcript:Et_9A_063076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKIIVNVGSYCRMGGQRHSASVENCLHSLLIDENLREWCELNLEQGDVHIHAHIEDFDGPLQFSPTKRRLHPSVRSRVSEAPQPSPITDLPQVKKRKRGRAHDDDEPVGVDEEGQYSDTESVKALSKGIETAVDNVYPGVEHRECMRHLWKNMKKQHFGPLFSHNMWAAAKT >Et_4B_039578.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:22535085:22535306:1 gene:Et_4B_039578 transcript:Et_4B_039578.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTYTNALARHLLINAGGIFQKTVFPAKCALLPSSGPSPAHAPPPLCAVYILLSTQEERQYATCREKAKRRAA >Et_2A_016535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25766496:25775641:1 gene:Et_2A_016535 transcript:Et_2A_016535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKENRRRRKMAKKRKRNWPPTEPSAKKRKRNWPPTEPSTSVNDLTDALLEVVLLGLNSLVCLVRAASTCKRWRHIEFPSPFSSLHELSGTSTMSPNTRRIPLPVGTLGRKRESPLFTFQCQRPSPMGSTGRDNSRLTSCRARKHHRSSHGSLLLLLKEKLDTEQPMRYCSCCDHLDDNIVPDPVVCEPLTRRYERIDPPSEDHVCIISAFLLDGDKAGRAIGMDNFRVLLVLYTHDRDDWTNRYNDHGYVSASVFSSRRNNGSWRHGRLANGVYLPHVDGVQFAGRTGGRIYWSCRDNKEVLVLDERTLKFCYMALPDQMKWNFDGNILRIFTILNNFRVVAGDVGTMRILRVTDGGGLQIFSQVRGSFSTDQDWVLENSVRLAEATRGLRRRKEEFFAKPPRIITAGAGEEGSVILSPMDKMWLFSVDLDTLELKRERRRNKYAGPAFPCMPPWPPVMQAGVKNGRKRRKKKAVLLETDAATLGAALTSNDLDRSPEGGIIRSVKLFMERNFDVCNVAVCPRLCNRVADCMAAHGARALPEGVRVFWCQSPSFVMNLVSGDMPGAVGESRRRRKNAKKRKRNQAPTTTIDDLTDELLELIFLRLDSPVCLIRAASSCKRWRHLIADANGGGAFLRRFRSVHEPPVIGQYCYPVDTDPPCSFGRNHCFPNKDPVFVPSSAGGRHLMLDFVPSAHVAVRQVVEPRQPAPDPQRHGRFGEA >Et_1A_007654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36949090:36953485:-1 gene:Et_1A_007654 transcript:Et_1A_007654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAKDVAEMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLENSSKHAEGGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDATH >Et_10A_001903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:10631941:10634815:-1 gene:Et_10A_001903 transcript:Et_10A_001903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPASPLESDDLLSEILLGLPPSPSTLPRASLVCKRWRRLVTDPGFRRRFRGRPHRNPPLLGFFFKGERGLSFTPTLDPPDRIPPDCFSLQLDDVGGWEILCCRHGLVLLLHRTRLQVLVWDPVSADLIRIAIPAGLDNTGRNFKGAVLRSSGDVHRLSGDQMSHFQVVLDEQCARAFACVYSSDIGLWGNLISADCPSGSHLRGPSSTFIGDSLYWLIDGDSLGVLVLDLGRRCLAVIDVPSDVEINSGLICWIVPVEGDGLGFLYLSGFNIKLWERKTGSDGIARWVVGGTIELDKLLSLSSVEWFEPMIIGFFEDDNPSSSSHSMSTLQSKLSWCAQSKLGLRVAMDAG >Et_3A_025603.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31099367:31103423:-1 gene:Et_3A_025603 transcript:Et_3A_025603.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRGAKMDGRRQSSSSSSFCTTTTVVVFVALCLVGAWMMTSSTVFPLDMVSNKKSEVRKQAPPLNFGEAASGNAGEGAEKFEDTDNTIPEQPTNKESSEEERFTENTEEKPVEKAEEQEPERPKEKGMFDDANGKSEGRSDDVNNFEDEKKSEEKKDEEEKTEEKKDDETKAEDDGEKKEDQEEKSEGDATQEEQPQIEEKVEESGDKEEGSKSSENGSFSTQAAESKNEKETRASSESSDDTANYSWKLCNSSATTDYIPCLDNEKAIKKLRTTKHYEHRERHCPEEPPTCLVPLPEGYKCPIEWPQSRDKVWYSNVPHTKLAEYKGHQNWVKVSGEYLMFPGGGTQFKNGALHYIDTIQQALPDIAWGKRSRVILDVGCGVASFGGYMFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPSRVFDVIHCARCRVPWHIEGGMLLLELNRLLRPGGYFVWSATPVYQKLPEDVEIWNAMSSLTKSMCWKMVVKTKDKLNQVGMAIYQKPMDNSCYEKRPENNPPLCKETDAADAAWNVSLEACMHKLPVGPSVRGSKWPESWPQRLEKTPFWIDDSKDGVYGKPANEDFEADYAHWKRVVSKSYVNGMGIDWSKVRNVMDMRAVYGGFAAALRDQKVWVMNVVPIDSADTLPIIYERVFAEVDRILRPEGKLIVRDTADTINELESMAKSLKWEVRMTYTKGSEGLLCVEKSMWRPKEVEASV >Et_3A_024830.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24681838:24685689:1 gene:Et_3A_024830 transcript:Et_3A_024830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTAAAGDGDAAWSAAEHKVNLSARLPFSEAARTGLAETFFPDDPFRGFGSLPPRARAWGALKYFVPALEWAPRYGFGKFKYDLLAGVTIASLAIPQGISYAKLANLPPVIGLYSSFVPPLLYAVFGSSNNLAVGTVAAASLLLASIIEMDVPPEDDPQLYLRLFYTAAFFTGIFQAALGVFRLGLIVDFLSRSTITGFMGGTATIIILQQLKGMLGMKHFTPKTDLISVMCSIFRNRHEWKWQSAILGICFLLFLLSSKQLRKKKPDLFWVTAIAPFMVVVIGGIFTFLVKGDEHGIPIVGDLKKGINPLSISQLTFESKHINTVVKAGLLSGILALAEGIAVGRSLALIKNEQIDGNKEMIAFGIMNIAGSFTSCYLTTGPFSKSAVNFHAGCKTPMSNVVMSVCIMLVLLFLAPLFKYTPLVALSAIIIVAMIGLIKVKEFCHLYKVDKFDFFICMVAFVGVVFFTMVIGLSASVGLSVVRALLHVARPKTCKLGSIGGSEIFRDVRHYPHARNIPNVLVLQLGSPIYFVNAGYLRERILRWVEDEENACKLDGHDLQYVVLDLGGVTSIDNTGIGMLEEVHKSLDRKGIRIALTNPRLEVTEKLVLFGFIKDVIGEEWVFLTVKDAITACRYALQRSRSKEDGEV >Et_1A_005982.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16336173:16337684:-1 gene:Et_1A_005982 transcript:Et_1A_005982.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DDISSPIAAHLLDFCDDGSGGDLFAAVNATSDVGCLLLVGHDASGALQPRRQQHFGQLAVALPAENPALQTQMSSTASELMQLAASSRYGDDCYAAAMGGAAGGYVGLDEALCQPAPPPGVFLSAGAADATVQGGCFNFGKEAAPGGFFGDGGMVMPMMGMDEIGEYQRMMEGSGALVSPDAESAAAAQMPFGGSAGEMQMSGGGSPGRRATAVPATETSSLEDPNFKSARISVQERREKIHRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDYGETSAAMQNHEYEQMAGIKGEDMLDTDALQAHFSGISSYIYNHTVESWI >Et_4A_032128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19879746:19881203:1 gene:Et_4A_032128 transcript:Et_4A_032128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHKKLIHFLLADHAAAALSSPRSFSSSSSVSDDDGGSHSSSSSFPPSAASSPSRYSPPSSPWSHLPGVGDAEAGATGLIASLVKGDGGKIYSLAAAGDVLYTGTDSETVRVWRDRRELGGFRTGSGLVKAIVVAGDGRVFTGHQDGKIRVWRADADDPSAAQHRRVGTLPPLGDLLASSVNPASYVGTPIKGRRRRRAVWLRHADAVSCLSLDEGAGLLYSGSWDRTIKVWRLADSRCLESLPAHDDAVNTVAAAGFDGLVFSGAADGAVKVWRRETIGGESSKSTRHVLDRVLRNGAGAGAAVTAIAVSPEARAVYVGSSDGLGMAVMCLAASGSVVVSGSADRTLCVWRRDGADHLRLATLAGHTGPVKCVAVADDEAFGSLDGSVKVWRLSEDPAPPELPAKQQPLLLPTSAPQQPQPWGPLPALPSPVQPWAPELKHVAAA >Et_2A_017691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5091657:5114415:-1 gene:Et_2A_017691 transcript:Et_2A_017691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPNRKSKAVLVTSLQSCYWEHAAATGEAVSVHQPSHEEFQQSGSKSHDTKAWAEIYLLSDRNAGDHRLVDVRVCGAGARRLRPWVMYRPENDIIVPDPPCGQDVSMDPCFHAPPMHDCRLKHWADTGKIVPQVNHCIDMSWGLKLVQSSDSAVRFMEAKKTEKLAEDDKRWSKAVSGGLAAVLMTAPLLLIFLGGRNIGAPGAWIQTAVAGLRQGSNDLSSLHQRRAHNDRLYGDLLIDGFDEESCHSRYQSAMYRRNAGRRASPYLISKLRRHEALQRRCGPGTAAYSNALDQLRSGKSVASSPDECSYIVSISYRGLGNRILAAASAFLYALLTDRVLLVDPSNAMDELFCEPFLGATWLLPPAGFPLANYTNFDMDTAERYGNMVKEKVIGSDDVATAQLPAFGYVHLNYDASLEDKYFFCDDDQRLLRSVQWLVMRTDNYIVPGLFLVPAFREELDKMFPEPDAVFHHLGRYLFHPSNHVWGLVTRYYNTYLAAAQKRVGIQVRIFGALPDSPALLQQITACTQRENLLPEVLATREPPMSPDPGAKSVSVMITSLKSRYYDELKSMYWEHATATGEAVSVHQPSHEEFQRHGATSHDTKAWAEMYLLSLADVLVTSSMSTFGYVAQGLGGVRPWVLYKPANSSAPADPPCGRDASMEPCYFKPLSYDCRRQKQWTDPSKIVPHVQGCRDAGWGVKLIRMFRFATIPVDDMCKQILACSRQEHILPEIEGDQTDTGGNLGTATNGTTTNGTTGSGDGDAAAGSKAILIASLYADYYERIRSMYYEHAAKGGVKVSVFQPSHEQVQATQRRGHNQKALAEIYLLSFSDVLLTSGMSTFGYLSSTLAGVRPTILTTAIGYKVPATPCVRAVSMEPCNLTPPRVTCRGYAEDKEDLARHVMRCEDDKRGINLKCAQLLEQMRLHLATDAGKELTKKVGLVYQLNIAPKKLGVDEEIYVVDLKKGEVTKGPYQGKPDATFSFTDNDFLGIATGKTNPQIAFIRGAIKIKGSISAAQKFTPDIFPKPAKLNAEEREQPSWLGIEEALPFTGKKVTKAAADYKRWSTVVNAMLVILIMTMPPILILFGERLGAPTVWIKSSVASFGTRGRAESKKDTLLGGLLVPGFDEQSCASRYQSVYYRKNMTRQASPYLIKRLREQEALQRRCGPGTEPYIRATERLRSGQEVLDSTDGCGYLVLISYRGLGNRILAMTSALLYAMLTNRVLLVDPGRGNTLPDLFCEPFPGTSWVLPHDFPLTNFQDMNEDAPENYANVVLNKSSSVSGLRFIYAYLDHSSNQASRLVYCDDHREFLHRVQWMVLRTDQYMPPGLFINPVYKPELDLMFPRKDSVFYVLSRYLLHPSNNVWGMVTRFYNSYLKDADERLGIQIRVFDEKPVQNMLDQILACTSQERLLPAVVTTGGGGAPPLPAALGRSKAVLITSLSSWYHDNIRETYWKSATVDGEVVSVHQPSHEGKQQWYHGEHDMKALAEIYLLSLTDKIVTTGWSTFGYVAHGLGGLTPYITFKTGDEMTTVPNPACARTVSMEPCAFTVQHFECTKKDVNQNWMPTTPNIRVCQDFVWGVQLFDTA >Et_9B_064113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11374939:11377965:1 gene:Et_9B_064113 transcript:Et_9B_064113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARASAPTLPRPRPPSAVRSSSNGADRLALLPSPLLVALRLRRPPEPTRRRLMPPPTAATSAAGGSISELTTAADFAAVASPGGRISVVGFGSLLSERSARSTFPELEGFRVAALRGFRRVFAHAAPIFFDRGIAVEATKEFSSLSVEPCEGELIIVTVFEIKEDEVPAFIEREHEFRFLAVVPEGLDGVPFTNPAVVCARYSDEEYFQERCKGSKEIYYQRYGRVLAAKNLGKPAYSNFLDHTYLGDRKTTIREYLATTGAGIMEEEPPESLKSRYGG >Et_9B_066136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4660162:4660961:-1 gene:Et_9B_066136 transcript:Et_9B_066136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFHKDPRLKRLCLSLALYKLLRRRLEDLPITAAEARDCHGVIFRGLCAEEAADGHGEALFQVLSDEVQFLCEYYHAVHPVPLLLRRQLRPLPRGGVGILSSHGDVLYAFHSLKKDKYALMVDDRVPSPSRPPTSSRPSTSSSITVLLLLAFLLSNWFLVSLLCSYTITASRRKQPSSPLLLSGIIIRGILWVRNTLSRPGVCFKQFSVTWLRRCSCRPRPCPEKLRKPSWSVWLSNGRSAVQSDERACLVGVRER >Et_1B_014395.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:7706211:7709363:1 gene:Et_1B_014395 transcript:Et_1B_014395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFLMQRTTTWPLRFFLCSPILLLFVAPANSLTQSSCDQRDLDALKGFSKGLAGGVSGWTYPNSSSDRASCCAWPGVTCDDGGRVIGLDLKGRRLGGELSPSIAQLDQLQWLDLSDNNFHGAIPAPVLQLQRLQRLDLSYNDLTGVFPANISLPLIEVFNISYNFFNGSHPTLRGSPQLEVFDAGYNLFAGQIDTSICESSALIRVMRFTSNLFAGGFPAGFGNCTKLEELCLDINSISGRLPDDLFRMASLKNLSLQENQLSGRMSPRFSNLSSLAKLDISFNSFSGYLPNIFGSLGKLEYFSAQSNFFKGPLPSSLSHSPSLKMLYLRNNSLNGEISLNCSTMTQLMSLDLGTNKFIGTIDVLSDCHHLRSLNLATNNLSGEVPAGFRKLQLLSYISLSNNSFTNVPSALSVLQDCSSLTSLVLTKNFHDGKALPTTGIHGFHNIQVFVIANSHLSGLVPPWLANFTQLKVLDLSWNQLVGNIPPWIGDLEFLFYLDLSNNSLTGEIPNSLSSMKGLMTWNISQQSTETDYFPFFIKRNKTGKGLQYNQVSSFPPSLVLSHNKLTGPILPGFGSLRNLHVLDLSNNNISGTIPSELSGMSSLESLDLSHNNLTGGIPSSLTKLNFLSSFSVAYNNLNGTIPLGGQFSTFTSSAYEGNPKICGIRLGLAQCHSTHAPAIVARNNRKSKGIILGIAIGIALGAALVLSAAVVLVLKGSYGRRDHLVKSVADTKGALELAPASLVLLFQNNDDKALTIGDILKSTNNFDQANIIGCGGFGLVYKATLRDGVTIAIKRLSGDFGQMEREFKAEVETLSKAQHPNLVLLQGYCRVGNDRLLIYSFMENGSLDHWLHENPDGPSKLSWERRLQIAKGAARGLAYLHLSCQPHILHRDIKSSNILLNKNFEAHLADFGLARLICPYATHVTTDLVGTLGYIPPEYGQSSVATFKGDVYSFGIVLLELLTGKRPVDMCKPKGARELVSWVIHMKEQNHEVEVLDRAMYDRKFETQMMQMIDIACLCVSDSPKLRPLTHQLVQWLDDIGASSEAPK >Et_3A_024791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24221218:24224659:1 gene:Et_3A_024791 transcript:Et_3A_024791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPRPPLRLLLLPVSRALLVLLAAWLGGASGSGGDDVLRRAQRPEFAAWMAGVRGAIHERPELAFQEHETSALVRRELDAMGVAYRYPVAGTGVVASVGTGNPPFVALRADMDALPLQEEVEWVHKSKEAKKMHACGHDAHTAMLLGAARILHEHRHELQGTVVLLFQPGEEVGTGAKKMVEAGAVDNVEAIFGFHVTVRFPTGVVGSRAGPILAGCGFFEAVITGAGGHAANPHGSIDPVVAASSVVLSLQTLVSREADPLDSQVVTVTRFQGGGAFNVIPDSVTIGGTFRCFSSEGFLRLKRRIEEVIVAQSGVHRCRAAVDFGARGVGTPLLPPTVNSAYLHERVFMAVARETVGAGAVRGDMEPNMGSEDFSAFGDAVPSAHFYFVGARNEAVGAVHDPHSPHFFVDDAALPYGAAMHANLAAGYLRRRAAASSAPGDDSRDEL >Et_3B_031300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25011567:25012158:-1 gene:Et_3B_031300 transcript:Et_3B_031300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSLLLVTLLFAAAPAPSLAAKFDVIWAPKVNYTTWADQHQFYVGDWLTVFSRADFKYVKGMYDVVQVQNETAYAACDGSAPIVAYDRGTNYAFELNRTGRFYFICSRGYCFDGMKVSVLVHPAAPPPAVAPTTHKSRAFSSRARAEAGVWSAALAASLGAAFLVSLPFRM >Et_3B_028668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17883774:17887009:1 gene:Et_3B_028668 transcript:Et_3B_028668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATARGEEEAEVAQPLLPRTREKEAAASPCWRRWAREAGRLGYLALPMLVANLSQYAVQVSSSMVVGHLPGVLPLSSAAIATSLATVSGFSLLVGMASALETLCGQAYGANHYHKLGVQTYRAMVTLLVVCIPLTVLWAFMGKILVLIGQDPLIAQGAGRYIVWLIPGLFANAVLQPVIKFLQTQSLMFPLLWSSVATLAIHVPLCYVMVFKTGFGYTGAALSISISYWLNVLMLVGYIVLSSSCKETRTPPTTEAFKGIDTFLRLALPSALMICIEWWSFEILILLSGFLPNPELQTSVLSICLTTITLLYTLPYGLGVAGSTRVANELGAGNPERARFSVRVVMSMAALEAVIISGTLLALRHLVGQAYSSEEEVISFVATMVPLISITVITDSLQEVLSGIARGCGWQDLGMYVNIGSFYLLGMPMAILLGFVLNMGGRGLWMGLVCGSLSQTTLLSAITIFTDWPKMAEKARERVFDEKPAEPGSRHLLDTKFVAKRASFSLELGGKSPILVFDDADVDMAVNLVNMATFSNKEGIYDTSLKKAVEFAKKSAVGDPFNPNVQEGLQILKCIDLGKREGATLVTAGGKPCGYKGSMASREMH >Et_7A_050733.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10498063:10505135:-1 gene:Et_7A_050733 transcript:Et_7A_050733.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDDMHDANDSADDDFYSGGEAGLAASDDGDADYDFADHDSDDSGELLSHRLQQNYSILSEADIKQRQEDDINRVSTVLSISKSEACVLLRNYNWSVSKVHDEWFADEEHVRKVVGLPEKHNELPNDREVTCGICFESCPRSAMSSAACGHPFCSTCWRGYISTAINDGPGCLMLRCPDPSCAAAVGQDMINLLADDEDKEKYGRYLRRSYIEDNRKTKWCPAPGCEYAVEFVMGSGSYDVNCNCSYGFCWNCTEEAHRPVDCATVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWSEHGERTGGFYACNRYEAARQEGAYDESERRREMAKNSLERYTHYYERWAANQSSRQKALGDLQSLQNDKLEKLSDIQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGFYLPEHETAKRQFFEYLQGEAESGLERLHQCAEKELQVYLDAESPSKDFNDFRTKLAGLTSVTRNYFENLVRALETGLNDVGPSTSQGTSSKNTTSKSLGGKSKSGKNRASSTSTKSGGSTRGVDDSNVWTCDQCTFANPKSARVCQACDRKR >Et_1A_006075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17480663:17490231:1 gene:Et_1A_006075 transcript:Et_1A_006075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAKSVLFVTALAACLALGAANWSNATATFYGGATGDGTMGGACGYGDLYSAGYGVNNAALSSALFNGGASCGQCYNIIGGVRFVVNGFNYFELVNIQNLAGTGSVASAWIKGSKTGWIQMSRNWGANWQANAALAGQALSFAVTSTGGQYIQFLDVAPQGWQFGQTFNTKLNFQKQLVVWAVLAACVASGAGQWWPATATFYGGPDASGTMGGACGYGNLYDAGYGVNNAALSSVLFSDGASCGQNWGANWQSLAGLAGQELSFAVTSTGGQYIQFWNIAPAWWGSLLVWGVLAACVAAGAADWSLGTATFYGGSDGSGTMGGACGYGNLYNAGYGVNNAALSSALFNDGASCGQCYNIMNWGANWQSNAALVGQALSFAITSTGGQYIQFLDVVPAWWQFGQTFTTDKNFDY >Et_3B_028582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17031795:17035497:1 gene:Et_3B_028582 transcript:Et_3B_028582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLVGYKYRLGRKLGSGSFGEIYHGTHEKSNEEVAIKLESVKTKHPQLVYESKLYRLLQGGTGIPNIKWFGVEGEYNVLVMDLLGPSLEDLFSFCSRKLSLKTVLMLADQMVYMIDFGLAKRYKDTSTRQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLKGSLPWQGLKAGTKKQKYEKISERKISTSPEALCRGYPTEFLSYFHYCRSLCFEDAPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQHSQMSSVPPRAMAAAAGQSSRMPPMTSNNRISATEEGRRSGWSDDPLRRQVPPAGMNAGSLSKQKSPARQELSTSKDALFSSSTALGRSSGSLRHPAISNGRELKASEAEPSRSRTPDASLGPFQRNVPLHRTSRMVDYSDPRHTSSGRHMSNTKNYESTLRGIQGLNVDANDRIHY >Et_2B_022903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7517677:7523031:-1 gene:Et_2B_022903 transcript:Et_2B_022903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPLPRKRPWIWPTLAGWGLGDASPARERPPSCELRFLIETVLLGSDPPSPAKRLALLNSIHSAAPAFRSLVLYPKRKDSDRALVEGKENMEEATGAGLPSSDGSMVEIGGVLVERKCKSSSDYSNAGRKKNFKVPGHLGREECYHCNIVEQNLARKELELATRDMELYAERNLREATESSLMNLEKELLTMKTELTILDECLYSEDGQLNDKEKSETEPLKVSEDIALQKIECTRCSLLEVKLRNKELEIDAEKRLRKASDSCLIHLQEEVVKKQCVIDSLFVIESTSTENHETELINVSEDTEIEKLKCEIELLKRSESILISHNLKLRQAVELRDIHNKELRDRHSADVAVLEDYRIWIEADKVSNSVTAVEIGPEDIDKAIAMGHVIGAGGYGTVYRATLMGESVAVKVSKDNSVQGTREFATEVKILSKISHKNIVRLVGYCKEKNALVYEYLSNGSLEERLSSAHEAQKKFSWQQRLSIAFDICCALIFLHNIQQRPIVHCDLKPENILFSSDDTPKLADFGISYELTGISKSGTREHQSFGEPRGTSGYMDPVFMKTGNQRVSADVYAFGVILLQLVTGKDSMKLVEFVEAKLKDCQKLKGKSVNVQRKAMKAMGVVVDHDVEGWDPETVKQLLELGVYCAHEDRLQRPSLSGYVWHKLFKCTKVGFSIMTGSIYNDPDSHDVRIRT >Et_7B_053665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10931720:10932976:-1 gene:Et_7B_053665 transcript:Et_7B_053665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPAGVVLVATVVVVASLCASGARAQDMDKEWARPPYRGYFGPPGSMLPQSDVDLLEFPLNLEYLETEFFCWSALGYGLDGIDANLTGGGPPSIGGQSASLTPFVRDIATQFCYQEVGHLRAIKQTVRGFPRPLLDISAANFGKIVEQSLNATLDPPFNPYENSVNFLIASYIIPYVGLTGYVGANPKLLTPQARKLLAGLLGVESAQDAVIRTLLYERGMSRVASYGVGVAELTSQISELRNALGRRGVKDEGLVVETALGAEGMTMGNVIAGDHLSLAYDRTPEEILGIVYGTGNPSQHGGFFPQGADGRIARSFVA >Et_9B_064272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13501057:13503370:1 gene:Et_9B_064272 transcript:Et_9B_064272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAHLVTNCSFSPSPAVKAPSGSTSYCRNVVLQSSKSLFSKSCSLKQRKSYVTRASAAVQGQTQTPLTGSQESSGHSSSKAKKVMVIGGDGYCGWATALHLSNKGYEVAIVDNLVRRLFDHQLGLDSLTPITSIQDRIRRWKALTGKTIQLYIGDICDFEFLSEAFKSFEPDAAVHFGEQRSAPYSMIDRSRAVYTQHNNVIGTLNVLFAIKEYCEECHLVKLGTMGEYGTPNIDIEEGFITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGLRTDETAMHEELSNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKQGEFRVFNQFTEQFSVNDLAKLVTAAGAKLGLDVQTKSVPNPRVEAEEHYYNAKHTKLIELGLVPHLLSDSLLDSVLNFAIQYKDRVDTAQIMPSVSWKKIGAKPRTALVTGETSRWLYAGIFV >Et_7A_050574.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:25703871:25703972:1 gene:Et_7A_050574 transcript:Et_7A_050574.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRLNIWSTHAQKVIRIANSMVYMNHSCTHPV >Et_9B_064441.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15206026:15210500:1 gene:Et_9B_064441 transcript:Et_9B_064441.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGVGSSRSVPARAAAPWSPPVSAAAALAALRRRWRWPPSGALLERSARAFLLASAALVLSCALYLYVFRYVGRGPAVAGFVGDAGPALGAGTCDVFDGAWVPDATRPLYSSSECPFAERGFDCLANGRPDTAYLKWRWKPRRCDVPRFAARAALERLRGKRVVFVGDSMSRTQWESFICMLMPGVEDPRMVYEVNGNEITKTIRHLAVRFGSHGLTVEFFRSVFLVQEHPAPRHAPKRVKSTLRLDKMDNLSRKWANSDVLIFNTGHWWTPKKLFDLGCYFQIGRALKLGKTIEGAFRMALETWSSWVEKRVDLNRTHVFFRTYEPSHWSETGNKTCEVTEQPSSEAKGNDKSEFGAILADVVSKMRNPVTVLNVTLMGSFRSDAHVGNWSFPPTVLDCSHWCLPGVPDAWNELVFSYLLTNDHELATLGVAVAGARFVPLFSPQCHSMLSQKQNFSWQAFSLVSVMFWRQWPSMKLAFWACLRSHSSLAFCRDLRRQ >Et_3B_029339.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24118136:24124686:1 gene:Et_3B_029339 transcript:Et_3B_029339.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVDGEYDEFNAANRRAEVIDWLGGLLPDFDLPLDSSDEELREYLIDGTALCYIADKLMPGVQEGMWGGFASDQRSNVKKFLSVVAEMGLPGFSVKDLEEGSVSSVVECLLALKYNVTNGSGQNISNNAVKTPLRRRLELRGDSMSQQRSTPHSGQKVHDVFQAKRGSYTDLSAAKISEMMHSSSLDNAPTQSLLRVVNGILDESIERKRGEIPHCVVYLLRNVIQEIEHRFSIQADHIRNQNNVIKSREDKYRSKIKALETLVNGTNEENEMTINRLELVEVEKSKIDEKRKLGEQDMVRLMREKENAESRIATLQQEIQVISRMHEEYRKKMDKEARQMEEHLANRVKEAEFLLMQSQKKVEEIESASHLKSQLWSRKANVFQSFMDSQKLTIKDIRISSQSIKQEMFALQMKWRDELSNIGHELNGLVNAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDGKATAVDYIGENGEILISNPSKQGKEGHRMFKFNKVFSTRASQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPGTSKEDWGVNYRALNDLFDISLSRRNAFSYEVGVQMVEIYNEQVRDLLSNDIPNGLVVPDASLLPVESTSDVLELMEIGQRNRAVGSTALNERSSRSHSILTVHVRGLDLKNGSMSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFALAQKNSHVPYRNSKLTQVLQSSLGGQAKTLMFVQVNPDVESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVSYLKDTISRKDLEIEQLQLLKDKAKYSSSITERNGSSQQTPQSSGAGGSGEAECEDNLSDDGCSVAGTEYSVGTASEATAERIQKTPSRIGRIFTKNGQPANSKPKPRESSLKPPARTKSAPTQVTGGGSAAKPPKRK >Et_1B_011424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22033328:22035929:1 gene:Et_1B_011424 transcript:Et_1B_011424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEGWNRLSGRQCAGSGEDFIAASCREEPPPATTTPSATTTARCVYSFSVDVSDGTESAVRPMPVTCSDDDRDELYTGDGSIDSRGNRASRKHTGNWRACYSILGGEFCGSLAYYGVGTNLVSYLTKVQKQSNVNAASSIASWQGTCYLTPLLGAFLADSYWGRHRTIVIFLTIFTIGMVLLTVSAMLPARTHTMAISPHDAFPSLGLFLTALGLGGIWPCVPTFGADQFDDTYGSEKAQKELYYNWYFFVVNGGFFFASTLLVWIQENYGWAWGFGIPTLFSAIGIAGFLASRKFYRYQKPGGSALTRICQVVVAAIRKFYVDVPNDSSLLYEIPGKESAIVGSRKLMHTDGLRFFDRAATVTASDEISGEVPNAWKLCTVTQVEELKILARMLPVLVAAIVFNTAEALFPLFIEPGQVMDNRIDRFSIPPASLTTFNCLCILVMAPAYNKVMMPIVSKITGMKRGLSELHRIGVGIFFAMLSLVAAAMVEMVRLDITKKRDRLHSSAPGPMSILWQAPQYFFIGVAKVFSVVGFLEFAYEQSPDAMRSLCQAFSLIMVTLGSYIVSFMLKLVDSVTVETRSIGWIPENLNEGHLDRFFWLMAGLQLLNLLAFVYCAMRYKSKHAT >Et_1B_014317.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5874550:5874753:-1 gene:Et_1B_014317 transcript:Et_1B_014317.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVAIVAELMEEYTVLVARVLEQLLYGAPFPRRMRFLMLRSLPFAGATPLPLPPPPRHALHVATRG >Et_4A_032734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13098539:13104250:-1 gene:Et_4A_032734 transcript:Et_4A_032734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELDLQLSLSHSPLPEEPPGFFVCTYCDRKFYTSQALGGHQNAHKYERTLAKRSREIAAAMCKNGGAAGVAGVETGTERMRQTGAHPGVRNTVESSAELDFGCAISLTYVTMLDEQRGWVIRERPVSDACIYGRERVKVQLVGTLDAVLRRAVPAELLAGKVGTLLHARLRRLSSSSDAGAGPGAVRAHGGGNLPAALGHCALVLVRVLVAAERLRAVELAAAVGAEEEARRLRLRRRGVEEAELKVHLH >Et_2B_019139.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:13533191:13533358:1 gene:Et_2B_019139 transcript:Et_2B_019139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTARMHLLWKLLPLPGRHGVGSLRNEEITHGDGLSRADATLGDQRNATIVDNGL >Et_1B_012572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33305274:33307377:-1 gene:Et_1B_012572 transcript:Et_1B_012572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAGSKRGGGRGRKALVAVLDNEANISAGKADAVHSSAQKAKRAPSRSSKAKAAAAAAEASPVAAPADDMAELQGMLERLRLEKEKVEEMVRERDEVIRKKEEEIETREKEQERLQAELRKVQRAKEFKPTVSIPLVKSLLEKDQEGDDKGKKKKGKGKTGNERKKPCPAYVLWCKDQWNEIKKENPEADFKEVSNALGAKWKALGAEEKKPYEERYRQDKEAYLQVVGQEKREAEAMKLLEEEQMRWTAKELLEQYLKFRQEVEEGGDGKKGKRKNKKDKDPAKPKGPMSAYFLFMQERRADLVAEKKNVTEIGKITGEEWKGMTEAQKAPYEKVAKKQKEEYLKQMEVYKQKKTEEAATLEKEEEEQKKILKQEALQLLKKKEKTDNIIKKTKEQRQKKKQENTDPNRPKKPASSFILFSKEVRKQLHEERPGTNNSTMNALISVKWKELSGEEKQMWNDKAAESMAAYKKELEEYTKAHSSSA >Et_5B_044436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:24014563:24015501:1 gene:Et_5B_044436 transcript:Et_5B_044436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYERFVVPEGTKKVSYERDTKIVNAASFTIEREDHTIGNILRMQLHRDPNVLFAGYKLPHPLQYKIIVRIHTTSQSSPTQAYTQAINDIDKELEYLKQAFEVRF >Et_6A_047012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24026148:24026547:-1 gene:Et_6A_047012 transcript:Et_6A_047012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCPSSPLAGWATCWASVNAFRMAGALAMSFGCSYATLMVAWFVAPLHKAEISLPASTGGVLSTLLDIFINVGTLLGYVSNHAFVGMPVVHVLAGA >Et_5B_043319.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:2876269:2876328:1 gene:Et_5B_043319 transcript:Et_5B_043319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFLEGSLSQRGSQQFID >Et_3A_026172.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5032439:5039445:-1 gene:Et_3A_026172 transcript:Et_3A_026172.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLQWPLQLQLQPRPPALAAVQRRRRGRLPLPVRRAPPLRTRCCAGASAGAEKAQAAARRAYPYDEIEPRWQRYWEEHRTFRTPDIGEGLDTSKPKCYILDMFPYPSGAGLHVGHPLGYTATDILSRFKRMKGFNVLHPMGWDAFGLPAEQYAIQTGTHPKITTERNIDRFRSQLKSLGFSYDWDREISTTEPDYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVVDGVSERGGYPVIRKPMRQWMLRITSYADRLLEDLDELNWPESIKEMQRNWIGRSEGAELEFCAVDKDGHDLGASLLVYTTRPDTIFGATYLVVAPEHVLLSSLTSEEQRVHVEEYKELAGRKSELERTDLQKEKTGVFSGSYAKNPATGEIIPIWVADYVLGGYGTGAIMAVPAHDSRDHEFAMQYELPIIRVVSPPNGTCDPGEAYADEGIMINSSNSSSGLNINGMLSKDAALKVIEWVENNGSGKKQVNYKLRDWLFARQRYWGEPFPVIYLDDTDEMVPLSENELPLMLPELDDFTPTGTGEPPLTKATNWVRAIDSLSGRPARRETSTMPQWAGSCWYYLRFMDPENSSMLVDKAKESYWGPVDIYVGGAEHSVLHLLYARFWHKVLYDIGVVSTKEPFKCLINQGLILGEVEYTAYRDNEGRWVSADSGSSVMDCCREKIPADKVTKVGDHYVLKDDPNIRIDARAYKMSKSRGNVINPDDVVSEYGADSLRLYEMFMGPLRDSKTWSTGGIEGVHRFLGRTWRLIVGPPLSDGSYKDGTTTTEDEPTIDQLRVLHKCIARVSEEIQETRFNTAISAMMEFVNAAYKWETRPKPVIESFILLLSPFAPHLAEELWFRLGHSQSLAHEWFPEAKSEYLEESKIVLPVQINGKTRGSILVDKACSEDDAFQMAVSDEKLSKYIAGKGIKKRIYVPGRIMNVIIDQQKART >Et_1A_004933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17616429:17616803:-1 gene:Et_1A_004933 transcript:Et_1A_004933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRQWLHMEAEERREEERRKMRQEEDERRRKYEAERKAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_3B_028500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:16195528:16196362:1 gene:Et_3B_028500 transcript:Et_3B_028500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKWLKKQIEQSLAEWQDQFLCYKELKRCVKAVPGDLPPTPEEAALFVGPLDAEIEKINAFFLEQEEEFVIHHLELQEEIKRVVARMAAGAQHEAEAAAVRQEIVNFHGKMVLLLNYSNINYTGLVKILKKYDKRTGAGLLLPTILSLQDHDFFKTATVSQMVRGCEAMLEAVPAAAPEGQRRDREALAVAEQSIFRNTVAALLIMQDVRAGSSTRGSHSLPPLSLPDADWLRSFEPPSPIPIFQ >Et_3A_027192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:4542169:4546236:1 gene:Et_3A_027192 transcript:Et_3A_027192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTKQFEGQLVPEWKHAFVDYCLLKKDLKRMQHALLHGRHDHCTAGLILQTTDQQAQRSSLSQWLFDKLPDLFGVNAPRDHGVIQVHRKLTGSGSRGGDVYETELLDQFADDDTDDAAAREFFARLDAQLNKVNQFYKGKEKEFLERGESLRKQMDILAGLKAARDDPSVSSSEDESTGYVTTATDTDQTERETVPIKDPEDQPATTPRPLEGPRTFGMPASELGTSVSSCQRKSLKINIPLTNPSRTISALTDILWDEVVSQNSKKCNPDGSVVKQSINKTKLRHAEKMIKGGFVELYKGLGYLATYRNLNMMAFVKILKKFEKISGKQVLNIYLKVVESSYFNSSGEACALKLMDEVEDIFVHHFAGDNRRKAMKYLKPAQRTESHAVPFFTGLMTGCFAALFAGYCVMAHMAGMYTAAPPPRGGGAAVYMETAYPVLSMFALLFLHLLLYGCNMVAWRRCRVNYGFIFESSPAAGGELKPRDVFLVCAASMAAVAGVMFAHLALVLRGYHASPNVQAIPGILLLVFLLLLFCPFNIFYRSSRFLFLRILRNIVLSPLYKVVMVDFFMADQLCSQVPMLRSLEYLACYYISGSYRTQEYGYCINTKHIRDLAYAVSFLPYYWRAMQCARRWFDEGDTSHLVNLGKYVSAMLAAGAKVAYEKDKSLASLSLLVAVSSTATVYQLYWDFVKDWGLLQPNSKNPWLRNELILKRKSIYYLSMGLNLVLRLAWLQTVIHPNFGSLDSRVTSFFLAALEVVRRGHWNFYRLENEHLNNAGKFRAVKTVPLPFHEVDED >Et_6B_049672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8419986:8420535:-1 gene:Et_6B_049672 transcript:Et_6B_049672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRVDWASVVVLLLLMSAFLVCASGGRELAHQKVHKEHSATASEKEATAAGDMVKTNDYGRYDPAPAFSKPRFKLIPN >Et_2B_018914.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:16337392:16338378:1 gene:Et_2B_018914 transcript:Et_2B_018914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAFHVLVAVAALAAVAAAAAATQARSASATAASGATCRLPRPRRRCCARTGSPRRGSSSRTRPCSRPSPRRGSTSRWACPTRTSPSSPPRAPRARSGGSGPPSWPTRRPPASGTSPSATRCCTTTSSTRRTSCRRCGTCTPRWSRWTSRERSRSPRPTPRRTRPPPAPSTPRRSRCSAPHAAVRGRHRRAIHGERLPVHQPRQRPGQRAARVRAVRAGRRAGVHQPVRRHGGRAGEGGVRDGARGRDGYRVADRGAPGSDAAAYNAKIVERAARGVGTPRRPGVPVETFLFDLYDEDGKPGAEFERHFGIFRADGSKAYDINFA >Et_1B_014266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4650580:4653541:-1 gene:Et_1B_014266 transcript:Et_1B_014266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVVDLAPFLGSAGDATEEDVRALCATVSASLRDTGALLVKDPRCSAADNDRFLDVVERYFARSAEAKRLQERPHLHYQVGVTPEGVEVPRSLVDKEMQEKIKSMPEEFQPATPKGPDPKWRYMWRVGPRPANTRFKELNSEPVIPDGLPEWKETMDSWGYKMISAIEVVAEMAAIGFGLPKDAFTSLMKEGPHLLAPTGSDLERHGSEGTVFAGFHYDLNFLTIHGRSRFPGLNIWLRNGKKMEVKVPVGCLLIQSGKEMEWLTGGECLAGMHEVVVTKRTLDAIALAKEQNRSLWRVSSTTMDASCGVKKLKKMLNWLCIVSARLHYKLLSSRGTKSARGKSGYVQYSDPL >Et_2B_021598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:3392207:3394500:-1 gene:Et_2B_021598 transcript:Et_2B_021598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVDGDDTAAAPGRGQTVCVTGAGGYIGSWIVKLLLERGYTVRGTVRNPDDAKNAHLRALPGAAERLSLCGADLLDAGALRTAIAGCHGVFHTASPVTDDPEEMVEPAVRGTRYVIDAAAESGTVRRVVLTSSIGAVAMDPNRAPDAVVDESCWSDLEFCKKTKNWYCYGKAVAEQAAWEAAAARGVDLVVVNPVLVQGPALQPSVNASLMHVLKYLNGSAKTYANAVQAYVHVRDAADAHVRVFEAPAAAGRYLCADAVLHREDVVRILRKSFPEYPVPERCSDEVNPRKQLYKVSNQRLRELGMEFTPAAQALYETVICFQEKGILPVLPPSSPQKPSP >Et_7A_050467.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:17295136:17295309:-1 gene:Et_7A_050467 transcript:Et_7A_050467.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVVLLLLFVVQILNGLAVAARPLEGAGLTAGNGIGMVTDLLRAAKSGPSSHTHCC >Et_4B_039968.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6972721:6974485:1 gene:Et_4B_039968 transcript:Et_4B_039968.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPEHFDFTNATKVLTIGGPLGSVGWVDLERGILICDLLGSNHLRYISLPSPIVSKPLEGPPSYVRDIIAVEGVIKYFEMNILKNECPYIMGGGETRTMILSKNYSSWEEDCSGKLSMVHLTHPNFAELLKRLQADEDTESVSMGCYVGYPALSFHHDVVYIMNKCQHRCQTAEKAWVVAVDMRRQSRQDVHCFSPGRPLGFYYNFIQSGISEHLDVFASHTPSPSTPADAPSPSTPAAWKEARWHPFLFHSGSVPSSSTPAAPLPFPFLFNA >Et_9A_061679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15201923:15203066:-1 gene:Et_9A_061679 transcript:Et_9A_061679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEVQQLTRAFSGLGGLGVDERTMVSTLAQWRKQPEKRSGFRKGFPGFFKSHGEIDRCEEEFMLHLAAEFARFKNLMVLWAMHPWERDARLAHHVLHQAHPAAIVVEIACTRSADELLGARRAYQALFHHSLEEDVAYRARDKPYCNLLVGLVSAYRYEGPRVNEEVARAEAKALGAAVKSAAGGVAGKLAVDGELVRILTTRSKPHLVETFKYYKEIHGRRIEEDLVDDTLLETVLCLAAPAKYFSRVMEAGLRDGADSHDKDALTRVAVTRSDADMDDIRAAYQEQFGAKLEDVVAAKAHGHYRDALLSLVGK >Et_1A_007083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30735569:30736246:1 gene:Et_1A_007083 transcript:Et_1A_007083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHVVVTLASLLVAMAATAGAVTFDATNTASGTPGGQRFHQAVGLDYATQVLSDASTFIWTRFNQPDPANRKPVDTVRTASRRGHRRRGLHQRRRHPPERPDRGGRRALPRGDARVAVGLQDYAVYTGIFEGIADFVRLKAGYAPWHWMQPGQGDRWDQGYDVTARFLEYCDYLMPGFFTLLNA >Et_2A_016177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21783555:21784096:-1 gene:Et_2A_016177 transcript:Et_2A_016177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLKPGLPVILRELEPSSEMFKQGASLRVTGNLQSYDVDSATAIIQDGSVSLKVDTQHLRDISFRTNSMYQFIGELLIHADNDVQGSPSSSFDQLFDRVEIIILSQSTFLQAILQARIGRNVDGLDLNLYQQSLLIRRQHEAKLRSSRRA >Et_10B_003099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:16523579:16527965:1 gene:Et_10B_003099 transcript:Et_10B_003099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPPEVAGNPYGAELAAAKKAVSLAARLCQRVQRDILQSDVQSKADSTPVTVADYGSQVLVSLVLKMELPSHSFSMVAEEDSKDLRNDGAQEILDHITNLVNETIISDGSYEVSLSKDDVLTVIDGGKSEGGPSGRHWILDPIDGTKGFIRGDQYAVALGLLDEGKVVLGVLGCPNLPLKSSSKNNGCSSGDLVGSLFSATIGCGAEVEALGGSKPEKISVCPIDNPVDASFFESYEASHSKRDLTSSIAEKLGVQAPPVRMDSQAKYGALARGDGAIFLRIPHKGYKETVWDHAAGSIVVTEAGGMVKDASGNDLDFSKGRHLDRDRGIVATNKYLMPLVLKAVQEAIKEEQQAAALVEQYVCYMQRGSRCSGKGHTTGGCGSGRLPQVTVWGSRVRPGYWATIDEIQERWVERDY >Et_5A_042131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:6679711:6681096:1 gene:Et_5A_042131 transcript:Et_5A_042131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVAKGKGPVVVTGASGFIGSWLVMKLLQGGYTVRATNEVIKPTVEGMLSIMRACKDAGTVRRIVFTSSAGAVNIEEQRRPVYDHNNWTDPEFCRRVKMTGWMYFVSKFLAEKAALEYAAEHGLDLISIIPTLVVGPFLSTSMPPSLITALALITGNQPHYSILKQIQFVHIDDLCDAEIYLYEHPDAAGRYLCSSDDTTIHGLAAMLRDRYPEYHIPDKFPGIDDDLPLVHMSSEKLLDLGFRFRYTVEDMFDAAIKTCRDKGLIPLATADGGDKTKGAALGKDNPATTASA >Et_2A_015425.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12508212:12519084:-1 gene:Et_2A_015425 transcript:Et_2A_015425.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGCGVLGHELIMKDELTDRDGTTRSKDCSHTIVAGFINEWIADALAKLEASLGRERFNSSLILLRGKSTNLSLNIIRDTSDLTRCGGEEATARRQGLGRRPEGATALRQGRCGGGRRHGALGTTRVRSPSLRRGRRGGGRRHGTQRAGGGGRICGAQGRGGGGGRGALGMGLRWAASAPKMGRRVAATLNARDGVEGAAAARRGRSLRHSLVERSLSDP >Et_9A_062747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4047804:4055181:-1 gene:Et_9A_062747 transcript:Et_9A_062747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHELLLSLLGFTGDFVLDASPARRRAAPTEAAAGGGDGDGDGEVGPAFRLAPDLTFLQPSERSAIERLISLGFYYRELNRFATESRDLSWIHSSLDLSTPNADKTQKGKVKKGSVYRRAIANGITEILSVYRSAVLQVEQNLLSDPLPILATVTHGLNKFEVLLPPLYELVIEIEHKDIKGGQLLNLLHKRCHCGVPELQNCIQRLLWHGHQVMFNQLTSWMVYGILQDQYSEFFIRRQDDRDGENETSQRDGSDKFMQKLAKDTTLTSWHTGFHVSLDMLPEYIHMRVAESILFAGKAIRVLRNPSPGATLQEPFNQSQNSKGSHRIQSFTGGSGAPKELTNFCNISAEELLPQAEADRIDAMLKELKHSSEFHKRPFESAIGSIRTIAASHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQAALKTIGEEDKYFTRVSLRMSSYGMKSSTSQKDLQKPNASEIPSQGKASSELALDGWDSIALEYSVDWPLQLFFTPDVLSKYRKVFQYLIRLKRTQMELEKSWAAVMNKDHADFSDYCKDRKNGSATQLRRQRSKPLWHVREHMAFLIRNLQFYIQVDVIESQWNVLQSHVQDSHDFTELVSFHQEYLSALISQSFLDIGSVCRILDSIMKLCLQFCWSIEQYETRPNIAEIDHITEEFNKKSNSLYTILRSSRLAGSQRAPFLRQFLMRLNFNSFFETTAKGVLNSGSRLRPSTAGAHL >Et_4A_035368.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:21922542:21923792:1 gene:Et_4A_035368 transcript:Et_4A_035368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPDKDRPSGRLGRLLAALRPSRAGPLPVQTGFPTSLADLVVKNHGRLKKQPSPSSKRRKGGASASPSPSPSLSPPPSSPPPPPPPPAAADSPSDRRSPDLSPARAVRREKSDAFGLGLGFLAISGVVSLALLVIWSKKVVAAVTVASFSLFLLESLRSSSLRRRRQRPRPQPEADERQLYLDGRGRVSPIREVEAETEPSRPSCSDSDRGIEASVLSNEEKSGVLDASSDPKAKTKKRSWKKLIASAKKLHKGRKSKEADGSGSFRSVGDHADAMARSFRSEGDHAHATAVGSFRIEGDQVDATARGNVTATDSSGSRRGVANQADAVEDDIANAPADLIEEVAAAAVDEEEGGKAGFAFPALILVAIILVGLVAGKLPAVAFTMLCAAFFSSVRRLPCRGGGSRWRRLELPVS >Et_9A_063499.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:554877:560430:-1 gene:Et_9A_063499 transcript:Et_9A_063499.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIDERIGLHQGEDALQAAEKPFYDILAQAHPSSLNWLPLHRNNETDSNYPLASTGRPSGFGFPALQLKRGVEETKGFDKLWPSLIQQFAELGGPPKLRISGIDVPRPGFRPLEIIEEAGKRLADYAKMFEVPFEYQGISSRFETIQIEDLNIEKDEVLIVNCMDRMKNLGDETVAMNSARDRVLKIMRRMNPNVFILGIVNGSYSSPFFITRFKEVMFHYSSIFDMLDANVPRGDEARKMLERGKFARDALNIIACEGAERTERPETYKQWQAIFQRVENKQQHAHKPSKFVGCEIQGAEEAKKLVPSVKKLVIDIESNDLFASKTMTGVTTGQQSKHVNRIRSHPNVDLELLEERRSKQSAISTCETIRDETFDRVLLCDWQLRREICHLRKMKAKEPHKCPQSKGYNQGQDKQQKEAVDLRALLIQCAQAIASNDCRFASQLLKKIRNHSCPSGDGFQRMAAYFADGLEARLAGTGSQMCKKLMGTRTSVRDMLKAYRLFIAACPFTRVAYYFSNQTIVDVLEGRPRLHIIDFGIMFGFQWPSLIQRFAKSDGGPPKLRITGIDVPETGFRPGKKIEETGKRLAEYAKMFNVPFQYQGIASRWENISIEDINLDKDEVLIINCLHRMQNLGDEAEDMDSARDKVLRDMRKMNPEVLIIGIMNGSYSSPFFLPRFKEVLFNYSSLFDMLNTTVAKNHEARIMIERDILGADVLNVVACEGAERSERPESYKQWRMRCLKAGLKQLPVNQAILKRSTEGKEELYHEDFIINEDNGWLLQGWKGRILHALSSWKPQ >Et_10A_001401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2726950:2728178:1 gene:Et_10A_001401 transcript:Et_10A_001401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTEPNELCYPRWTACRRHGGCAMLQIFSLKLTNPPPAVATGEPIAVYGFMAVRDLSDSLRNYVFNRSRDDPFVIHDVNSDPFIQLSGPARGHGEGEAADVPLIAGTAVFSNKISGAFIDRITGDHGAAAVEMRWARFPRAAEATVQVRIIEFAKRDGNVNGNGGNGGLDLSITGFVPTTPDEDIMLFRGVVDHAPCELRRFVVAVATDSYLILRLDARRGDDDDDDGEAGSSSWARRVGKFAFRATVHGSITDRHWRSQQQMTWSNIVTYPDDMM >Et_3A_027328.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7779666:7779899:1 gene:Et_3A_027328 transcript:Et_3A_027328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAHKVATHMGKTTGKRPPSVIREIVYGMSLGIFAGYLWKLHHWSNQRRTREFYSLLDQGRITVVVDDPSSGADD >Et_1A_007348.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33627932:33631448:1 gene:Et_1A_007348 transcript:Et_1A_007348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQVRRFHSVGKRNIAMILKPCGGTTQQLLVLSLVYGYGLEHYSSASPEANAINPEAEALLRWKSTLIGLNFLSSWSIANSTCSWYGVTCDTAGHIAELNLSMEGLNGTLDAFYSPEFQNLTRLDLSSNHLGGAIPANISLSLELTVLDLSWNFLAGAIPYQLSALPKITKLNLGWNRLSNPEYARFLPMPNLRFLTLADNDLNGTFLGFIINCTSVRIRSLELSGNKLLGPIPDSLFDLAPRLRYLDLSYNGFSGPIPRSLSRLQFLHYLNLGFNNLTGGIREELWKISGLHHLNLGFNNLTGGIPEELGKISGLQALYLGGNLLHGAIPASLGSLQMLQDLAISGAGLVSSLPSELGNLHNIEYMDLSGNQLFGSLPVSFAKMQQMTEFDMSGNNLNGTIPLEMFTNWTKIESFDLSDNSFGGIIPSQIGKWKGLTPSRIYFSLITMELGNITNIRDLVLSNNNMMGEIHSLCELKQLSMLDLSYNQLSEELPSCIWNLQHLSYMDLSSNALVGEISTMTTSNESDLTYLHLSDNNFMGSFPNVLKNLKSLAILDLGNNKISDTLPPWIQESNPLLEILRLRSNMMYGSIPWQLSQLSHLHLLDIANNNFTGSIPKSFANLSSMWQPLNVQSEDSSNENFTYHRESVGMVWKGNDYNFPSAADHMTSIDLSSNSLSGEIPSELTNLRGLRSLNMSRNYLSGNIPGDIGNLTVLESLDLSHNKLSGPIPSSIVYLLSLTYLNLSKNNLSGEIPTGNQLRTLDDPSIYSNNPGLCGFPLSACTNHSSPTVSPHGKKEHRHDLEILWLYYTTIAGFVFGFWLWFGALFICKSRRFAFFSSIDYMQDKVVQMMTQKHI >Et_4B_039835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3575107:3575549:-1 gene:Et_4B_039835 transcript:Et_4B_039835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDDSITRRLAAACGLLGEYARTAAAPQPAANAKRQLRYGGTVVVLDGCAPEKAAELIGLAAAAARQGTPQLQPAPALVDPQIARSLSLRRFLSKRKDRVPYVCKDDEDEPATKKGKLAVSREEAAASWLSLGSLATMHAR >Et_9B_066160.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5255664:5259024:-1 gene:Et_9B_066160 transcript:Et_9B_066160.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKLILLVALVAASGSSCSGWRLNIRMPTARAVEDGAERLEENVAAPVIHALRPLLGSGRQLSNVAGVPWRLSVEAYNKRDWKTVPANCEGYVGHYMLGGHYRRDSRVVVDEAVAYAESLKLAGNGKEVWVFDIDETSLSNLPYYATHGFGTKPYNATSFNEYVLEGSAPALPETQRLFYKLISLGIKPVFLTGRSEDQRAITVLNLRRQGYSGWEKLLLKPLGFKATAIAYKSGERQKLQDADRDLDVVWEAKQCRPNGDLDVI >Et_1A_007502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:35224035:35226456:-1 gene:Et_1A_007502 transcript:Et_1A_007502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQGQSSSLHRLHGVEKRIVRVLELAGAVMEELGNSQGPRSDAVAGHCRDFMTAFKEIQTTLREEIKSACEYRPFEKCDYSARITNEICVKKLEYVIEKLDAMQQNVERTHDIFLLMKSPRR >Et_3A_025261.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28360368:28379270:1 gene:Et_3A_025261 transcript:Et_3A_025261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLLLLFLVLAAGLQSSSSQTNSEDMSTLQALMKNWKNEPQTWIGSTDPCTSWDGISCSNGRVTSLKLPSMNLQGTLSNAIGQLSALTYLDLSNNPNLGGPITPNIGSLDQLTTLNLLGCSFTGNIPPEIGNLMQLTFLALHSNNLTGEIPPTIGELSNLFLLDLSENQLSGQIPVSTSSTPGLDLLVNTKHFFRIVRILMLKCVNFHWYIHPINSHFAENQLTGPISEDLFNANMTLIHVQFGLPICIVSLLPVIIVRYFSNNKITGPIPESLGLVKTLQSIRLDQNQFRGVVPNSIGNLRDLTELRLASNLLTGRLPDLSNATRLNYVDLSNNNFASSSAPGWLSTLTSLNTLFMDDDGLTGTIPSALFSFPQLQQVSLANNAFSVFNMRSNISSVLRVVNLTNNQIIEANIDLSYNISLILTGNPICLDNPSTCKLKQNQSCGAVSCPGNQSASPAASQKCGCTIRFQGFRLCSSQTNIQDVTALQALMKNWQNEPQSWTGSTDPCTSWDGISCSNGRVTEVRLAGMNLQGTLSNAIDQLSALTYLDLSNNLNLGGPLTPNIGNLKQLTTLALNSNQFTGGIPSTLGRLSNLIWLDLSANQLSGQIPVSPGLNQLVNTQHLIFDNNKFNGPIPKSLGLVPNLTIIRLDHNQFSGPVPNSIGNLRNLMELSLASNLLNGTVPDLTNATQLNYVDLSNNDFASSPAPGWFSTFMDNDRLTGTVPSALFSLPQLQQVSLAKNAFTTLNMGVNISPRLRVVNLTINQIITAHVDPSYNNSLILTENPVCLDDISFCTLKQKQQVPYATSLGPCGAISCPPDQSANPVILQNCACANPFQGLMIFRAPAFSDVTDPTTFQLLESTLAQYLSLAPGSVALSNVELSPGTPLTFTVKIFPVSGTGFNRTDVIRISSALVNQTYKAPRTFGPYSFIASTYFPSPGSKRSLMSKGAIIGIAIAGFVLIVGLVLVAIYALRQKRIAKEAVERTTNPFASWGAGGKDNGDVPQLKGARYFTFDELKKCTNNFSEIHEIGSGGYGKVYKGTLSNGQITAIKRAQQGSMQGAAEFKNEIELLSRVHHKNLVSLVGFCYEQGEQMLVYEYIPNGTLRDNLMGKGGIHLDWKKRLSIAIGSAKGLSYLHELADPPIIHRDIKSTNILLDESLNAKVADFGLSKLVSDTQKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELITARQPIEKGRYIVREIRTSVDQYDQEYYGLKALIDSSIRDSAKLVGFRRFVQLAMECVEESAVDRPTMNDVVKELEIIIQNEEAQFSNSPSLSAGRFGNAKGRDPYAEHLPMKDESSSSTFDYNSVYSYSAVEPKMKAVYKALLLLMILTGLPAGFCDTDSQDVAALQSLMRGWQNFPSSWEVSNDPCGAQWDGVMCSNGRVTSLRLSSINLQGTLSNSIGELSELVYLDLSSNSGLSGPLPTSIGNLKQLTTLILAGCSFTGGIPQELGNLGQLSFLALNSNSFTGRIPPSIGLLTNLFWLDLADNQLSGPIPISSTTSPGLDLLTHTKHLLFDNNQLSGPIPAELGGITTLQILRLDKNKFTEVPVNISNLVNLNGLNLADNQLGGTIPDLSTLTKLNVVDLSNNSFDPSVIPTWLLTIEALTSVAISSGGLYGQVPNRLFTLPGLQQVILSNNAFNGTLEMTGNISQQLQSVNLLNNRIVAASITKNYSRTLVLVGNPVCLDTEFSSSPFCSLQKDKVIPYVTSVTKCGTISCLGDQSIDPSNCGCAYPYTGNMFFRAPLFTDLSNTAHFQQLETSFWTELGLRPGSAFLSDVHFNKDDYLQIQVRLFPLTGTSFNLSELIRIGFALSNQTYKPPQDFGPYYFVADPYVQFAAGSAGSKKSQLSTGAVAGIAIACGLLLIGLTSWAITSLLRKRRTRELSGQTNPFASWGIAQKDSGGAPQLKGARFFTFDELKNCTNNFAENNEIGSGGYGKVYKGILADGTRVAIKRAEYGSKQGGVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYVSNGTLRQNLQARGIYLDWKKRLRIALGSARGLAYLHDLADPPIIHRDVKSTNILLDDNFKAKVADFGLSKLVADTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLEILSGRMPIAKGRYIVRDFRMTIDPNDLEYYGLQGIVDPAIHEAAHTAGFRRFVQLAMECVEESASRRPTMNLVVKEIEAMLHSEGLSSGSSSVTEFEHAGATASHLYSGTVVAARSNSSGSIPEEPPHPETQYREP >Et_4A_035470.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:25917070:25917783:-1 gene:Et_4A_035470 transcript:Et_4A_035470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEYDHIAPWQRTQRCVTSEGSTTDAFWVQVVFSFQGRAFWADLANGVLHCNPLATGGGHSSDHVDLEFIALPPGYRVDDPHHPQILPIEMLRTIGAVGDAIKFVSIDDTGDDIGARTVAVWSLRLDRNRWTRDVAFSVRSLWELEGFKRAGLPETEPKCPALTSDGALCLLLPNLRKRMEDSMADYICSVDMSRKTVLWSGRLDHYNTSQPTILPSSFFKALHPPRPLMGSSCEI >Et_1A_009306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38015275:38017472:1 gene:Et_1A_009306 transcript:Et_1A_009306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYAIATGLGSQCAVQFIFAEKFGEMKSGEMPESGTQQKPEPEVNQNHMENGDSLEQKTDEVPGLRVNLEPLETCQGTVVKTDANPEKQIDEIRRSEVNSEPVEACDETVVQTDVTPEKQVDPKAIPEVDQKPIETSQETVAETEVTPEKQIAHPGVIYRCKRCRQMVATQEYVVTHEVGRGAWKFGTRKKEADEDDKKPECSLCIFVEPMKWMQAVQEGYVSQKLHCMGCKALLGQFDWSGIQCTCGHWVIPGFQLTKSKIDECSM >Et_5B_043707.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14455105:14458273:1 gene:Et_5B_043707 transcript:Et_5B_043707.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFIIETDSSQLVTALTTDEYDQAPGGNLFREAFIRLHSTVRDFAGPLARVPSRRPWRPRRRPPPPRRGNRIESTDKKLLATATATKSSTTSAAFPTRFFAQSSPSSARTQAVDYRLSGQDRKRFLIVPEILADHPGPARRFSVPGIRFRNRYAKIDGWLRSPTLDGLQELEFTCGMEEPGLRPYRLPPSVFRFAPTLCVAKIGYCDFPNEMAPSLNFPCLKQLTLTRVTLSEDALHSLLSGCPVLLSLLLDGNIGIGRLRIISKTLRSISVGGPWDWQTAVEFRELVIQDVHCRVIQIMAQPKFETISLGLTTSMQTVKVLALVSVGPNLTSGIDFLKCFPCLQQRYISSQLQKTMRNVRAYNALDPIECLEHHLKKVVVKNYCGMRPDVDFAKFFVLNAKLLNRMEFECRNYCNDKWMANQQRRLQLENRASQGAEFDFKTGSGAEAVLLPYCHCHELTDAWAAHRPAPPLSDPRGRLPFGRGSHCVLSSQ >Et_1A_006212.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19182797:19203521:-1 gene:Et_1A_006212 transcript:Et_1A_006212.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMRASSIGPSLSGHPQQPVQMNSQLLQPWQNCQAIRPVQVLLKSMAAVLPRLLLVVALALAAMVTAGSSRGLRAHYDRVFSFGDSLTDTGNAAILPATAGGPFTRAPYGETHFHHPSGRASDGRLVIDFIVESLGVPQPTPYLAGDTAADFRHGANFAVGGATALDPAFLESRGVRTFVPVSLANETACCLLLDHRHTPELLALFPGDAGDYYDRASGCITRFNELAELHNRALNRMLRKLDRAHPGVSVLYADIYHPIADAVASPAKYGFGDRPLAAYCGGGGGPYHFDMTAFCGMPGSSEWSDPSSEYLSWDGIHFTEAANRFIAGAMLRGLYNVSTLSNLQNINTVARLLVVLLLALLLVSVKASSYPGPSYEHHGLARYSRIFGFGNSLTDTGNSDIFPATAGGVSTRPPYGQTFFGHPSGRSSDGRLLIDFIVEELKVPQPVPYLAGKTVADFVHGVNFAVSGATTLEPEVLRSMGLMAFIPVSLVNETNWFEHVVQLLNSSVPEQRKITATSFFFVGEMGINDYFASLLGNRTVDQTKSLVPHVIGVIRSAITAVLAAGARTVMVTGMPPLGCEPYLLVSFPGAPGDYDPATGCNTRLNDLAELHNRALKQTLLKLQVTHRGISLIYADVYSPIASIVASPATYGFGDKPLAACCGGGGGPYNFNFTTFCGVPGSTVCSDPSKSVFWDGIHFTEAANKFVALEELKVPQPLPYFAGKTAADFVHGVNFALGGATALEAEFLRSLGLVAFVPASLVNETKWFEHVVQLLNSSVHEQRKITATSFFFVGEMGINDYFASLFNNRTVDQTKSLVPHVVGVIRSAIAVSTAPLLLSQLCIYSTSQFDRCHFCLTFRSVVRTYVQAVLAAGARTVMVTGMPPLGCAPYLLALFPGAPGDYDPATGCNTRLNDLAELHNRALRRTLLKLQVTHRGSSLIYADVYSPIVSIVASPVAHGFGVKPLAACCGGGGGPYNFNFTTFCGVPGSTVCSNPSKSVSWDGIHFTEAANSQMALRFARLLLAVVLVRAAASSSDGVGGHGRQARKSYAGVFSFGDSLTDTGNAPHVGSGPASRPPYGETFFRRPTGRASDGRLVLDFIVEALGVPQPTPYLAGKKTAADFRSGVNFAVGGATALDLQFFKSRGLTPFVPVSLGNQTRWFSNVLQLLGPVDEQSKVAATSLFIVGEIGINDYLVALSGNLTVGEVKTFVPLVVGAIRSLLADVIAAGARTVVVPGMIPLGCEPQLLAQFHQGADRDSAAGDYDPKSGCLASLNDLAELHNRALNRMLSGLRRAHPGTAVVYADLYRAVTDLIVSPRRYGFRDRPLAACCGGRGGAYNIDTAAFCGSPGTAACADPSEYVSWDGVHFTEAANRRVACALLEGSEDGVDVPALPNSWGATEAGRHRIGCV >Et_8B_059362.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17079664:17087368:-1 gene:Et_8B_059362 transcript:Et_8B_059362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAREADGVVDDRELSSAAGFHGGGKTARGQRGENRTTQCWCSVYYVLAHDCLHMSAHYLALRGNSSPLKFVIASQCDCISLKCPPFCSPCCLYVRILYRPCDLCFLTLEMLLVNRQDYSHSSEMGVRESSNFKVGLYANFHVQNIAQEWVEESKRLHFLRTINNVTKSICNGTTPLRAGNMHHEPVDDHWNLNDQSLHNTRDHVSSLSIVNRCNSTELVRHAMVNQPARSVSAPMGVVNNSVKQFNMPSSSKVETHWRDCSVADDHPPATGVALGLDDKAYHGDKVVAKRVVSSLPTTETFSKESANARKELESIYDKVMIVDNIESARNVVQLLTTKYKNFIHACDTEVANINVKEETPVGHGEVICFSIYSENSCAEAADFGNQKTCIWVDVLDGGRDVLMEFAPFFEDPSIKKVWHNYSFDSHVIENHGIKVAGFHADTMHLARLWDSSRRSDGGYSLEGLTNDHRVMNDVPADFYNAGKTSMKTIFGRKKIRKDGSEGTIVSIESVEKLQREDRRLWICYSSLDSIATLRLYGSLKSKLEAKKWVFDGCLKGTMYDFYEEYWRPFGDLLVKMETEGMLVDRAFLSEIEKTAVAERELAADKFRKWAAKYCPDAKYMNVNSDTQIRQLLFGGIENRNKSGETWPQSKTFKVRNEEYEDTDEKKTSKCRTIKLYSIVEDLKIDMFTQSGWPSVSGDALRSLAGKIPTEHIYSIDDNKDDDEGGDGYEDPEQDVRDDFPYGTAYEAFGGGNRGKEACHAIAALCEICSIKSLISNFILPLQGDHIACTEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVASPGNSLIVADYGQLELRILAHLTDCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVEEERVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAVGLSRDWKVSVKEARDTVKLWYRDRKEVLTWQKRQKELAHEKCEVYTLLGRSRRFPKAANVRSSQRGHIERAAINAPVQGSAADVAMCAMLEIERNTRLKELGWRPLLQVHDEVILEGPEESADIAKAIVVECMSKPFYGTNFLKVDLAVDAKCAKSWYAAK >Et_2B_022488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23582422:23584362:1 gene:Et_2B_022488 transcript:Et_2B_022488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSGTMRAVQYDRYGGGAQGLKLVEVPIPSPKKGEVLIRMEATSINQVDWKFQKGVARPVMPRKFPLISVVIVSDQIQLGNMLLAVAGFDLAGEVVQLGSGVSNFKPGDKVIALNFPNGGGLAEYAVASASLTVPRPPEVSAAEGACLPIAEVTALRALETVGISLDPARGDNAARKNVLVTAASGGVGHYAVQLAKLAGQSVTVTCGERNAGLVRGLGADEVLDYKTPEGAALQSPSGRRYDAVVHCATGVPWSVFRPVLAPTPPAARSSTSRPGLIRLVPLIMSPKKEDIALVAGMVKQGRLKTVIDSRDRLSRAREGWAKSMEGHATGKVARLSWK >Et_7B_054381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20639947:20643732:-1 gene:Et_7B_054381 transcript:Et_7B_054381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSIAAVGWGISVAGWFFSPIISECLKKSFSYIGFSSSPRLSKLEKKVLELKLMLEAVEASPHRGRLEQLMKQLKSAFYEAEDILDTIDYHKLGSRIRNQTDDNSAASTHSKSAFSYLRCNRKQDVEAIEGFKIPYESRKKLTTTIDEIEKLIDEGHKLLSSLQLLDKRGNINNTNKSTLGSPTVRPQTTSAAPAVVFGRDGDLEMIRQMLRDTPADDEPSSSRTKCYSVIGIHGIPGSGKTTLAQYVFKHERKDCYFDLVMWIHVSQSFTVETISVEILEVASGRKRDQLSNLDMLQRELEEELSGKRFFLVLDDLWYVKNVSAQQLDLLISPLKAGRKGSKILVTTRFADAARDLGAHTLVPIREIDEEQYGSLFMHYALDGATISDNELLGEHERIGRKIAGKLGGSPLAARTVAGQLRRKPDINFWRRFQNRDDLLNDTMGVLWWSYQQLEESIRQCFIYCSVFPRRYQLDRDELVHLWMAQGFVQTSNQTKDDMEDIGNEYFDVLLSCSFIQSIGNHTTSRGNFRIHDLLHDLAGRVAGSDCLKIDKRMEGQIPRGVRHLFLEFCDRKMFIDQVIKLETLRTLFMSSRSNGMAAEALEDLLKGLKKLRVLHINFKNEENILLPPCVGGMKHLRYISISGNRVRVILPPAFGKLYHLQKFSALNCSFGSSTDKEMSNLVNLRYMDTMNTLKFPNIGRLKLLQTLNTFEVRKEAGYEIQQLEHMNNIRGHLLIYGLEAVQRKEEARKAKLVDKVHILDLELDWCSAQQSSSLIVENQTEGMICDPCRNPPEEILEALCPPSQVTSLSIRNYNGSAYPSWLSGEESTLENLQHLYFSNCNGSIASPKFGESCRFLRKLGISECSWNSLPDNMEHLTSLQELNVMSCKNIQSLPRLPVSLERLSLVDWNGSDAPPKIGECLGNLHRLQISSCSWKYLPEHMEMLIALEELAVDSCENILLLPRLPRSLKKFQLVGCSCDLTESCRTEGHPNWQNIAHIPEEFKLIA >Et_3A_025153.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27363448:27367356:-1 gene:Et_3A_025153 transcript:Et_3A_025153.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAELSASTSSAAASSSSSPHSAAPQRRPGAEQDEEKPARPAPRGGGSRSSNRRRPAWAAALDPRAGRWAREWDRAYLLACAAGLMVDPLFLYAVSLSAPLMCVFLDAWFAAAVTALRCAVDLDNGGGGGAVPARARSSSEKSLFLDVFVILPVMQVVVWVAAPAMIRAGSTTAVMTVLLVSFLFEYLPKIYHSVRFLRRMQDVSGYIFGTIWWGIALNLMAYFVAAHAVGACWYLLGVQRATKCLNEQCADAGGGCAPWTLACAEPLYYGGGAAAADRLAWAGNATARGTCLDSGDNYQYGAYKWTVMLVANPSRIERILLPIFWGLMTLSTFGNLESTTEWLEIVFNIITITGGLILVTMLIGNIKVFLNATTSKKQAMHTRLRSVELWMKRKKLPQSFRHRVRQYERQRWAATRGVDECRIIRDLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLIFPKGEVIVREGDPVQRMLFIVRGHLQSSQELRNGAKSCCMLGPGNFSGDELLTWCLRRPFLERLPASSSTLTTLESTEAFGLDAADVKYVTQHFRYTFTNEKVRRSARYYSPGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTALLTSPKPNQDDLL >Et_3B_030504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4392594:4394084:1 gene:Et_3B_030504 transcript:Et_3B_030504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRVVVVVEDVAAARAALRWAVGNFIRGGDCITLLHVCPPARSRRRRRSLRLGGFQLALAFKDLCCNGIAEAKVEIVVTEGELGETVVATVNKLGATTLVVGLHDKSFLYRAPSPYVRVRSLGCRVLAVRQHATARDGFLNAELTQIETHTSTQDPVPDVHASAGRDLETKIEEEKVTCMEVACKQAWSWINLDSDGVNIQATAD >Et_1A_004684.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30483652:30484260:1 gene:Et_1A_004684 transcript:Et_1A_004684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSEDAQIPSSATRLARCVRLGAVARSPSSANETSSTLSIISPYSSASISVAVGAARASSSSQPSPPRLPPFPRRLSSRRGGGEESGSSSKSHIEEDSCDGAGGRGSGCEADDPSASRSSPSKSNASCAEAVPLPPPPPPPSEKERDLRPYVRTIMAPAELPAARVPCAAREGGRRRIWAWLLRCGRWVWVRGRFASRCG >Et_6A_048186.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:922047:925715:-1 gene:Et_6A_048186 transcript:Et_6A_048186.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTISVHTIKKNINRGRRNGAVQEIWGRLHQFSDDLIREFLIRLPDLPSVASAALVSKRWRCVASDPATLRRFSLSCRPPLLGVIFSDRGDTSFPWRRPNLRFVPSHTGNPRLAAAAEAGDFLFEHLPHGDDGAGAGAARHRDDKWRLRGCNGGLLLLSRGKDSRDLAVYDPFARTAVFFPPPPAALPLRKWWHVASLAIVVDEADAASFRVIAALFWHELEAAVFSSRTRDWVAVPTNGLVFDHRSRCEGGERAGRFAYWRSETKKVPYSIWNDAIYVGGGEEILVLDTATVDWSIIAAPFAVGESYCVADLPEHGGLCLVSGKEQLLQLWVRENDDDEEWVIKKEVSLLKEFGFLRDIRRNEWMKSVRPLAVRGDYVLMEFWSIRKSHSYILLLNLKTMKLDMFRNDATRPHRGPAFPFFMSSESPLLSLDRQTPNVQASKRRKETVYYSYATTKQPYIFIFKKESSGPSRPSENEPLERCIALTDAMDEQCSELLDAHGQLKASRVYKVIKSTNAPDSDFAKFVWKNRAPPPSAILCVAGCARESAMPSQPAREEHH >Et_9A_062082.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19567915:19574461:-1 gene:Et_9A_062082 transcript:Et_9A_062082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFPPLMVAGPFAAAAAVLCISAVFMWLQRPRRVAEMFRRQGIDGPPPSSFLSGNLPEMQARVVAAAAGGGAEAGGRDFEKDGFDDYCKRIFPYFEKWRKAYGETYVYWLRRRPALYVSDPELIREIGSCVSLDMGKPTYLQKGQEPLFGRGVLKANGADWHRQRKLIAPEFYMAKIKGMVDLMVDAAQPLLSSWEAQVAAAPGGVAEIEVDDDLRSFSFDVVSRACFGGDYSRGREIFLRLRALSGLMSETSVIFTIPSLRHLPTAKNRRIWRLTDEIRSLILQLASERRSASGSAATNNDEPADFLGSIIENSRDQPRADDFVVDNCKNIYFAGHETSAVTATWCLMLLAAHPEWQARARAEVLDVCGPNGPNSASSPDFDAIARMKTLHMVVLETLRLFPPSSFVVRETFRDMTLGPRLRRTPKGTYIFVPVSTMHHDAASWGPSARRFDPGRFRDGVSAACKHPQASYMPFGLGARVCLGRNLALVEVKALVAVVLARFDLALSPAYRHAPAFRFIIEPEFGLRLLVRRVGHHHHQDG >Et_10B_002464.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:8935290:8935676:1 gene:Et_10B_002464 transcript:Et_10B_002464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHRLPAAYDDSWAALAWAVSGSGADPWWPATGTSAASSSSASAPAGTPPTTWPSTPASTWPSNSKAWSCSTLPSPASRGWRRRRTRRSGGPTSLFSFTFDENCRGVTQCMPFLINQSVFTRRDFCL >Et_8B_059306.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16447520:16447969:-1 gene:Et_8B_059306 transcript:Et_8B_059306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGKPPRYTVAGLSSKRTRSTERLLGIMPDGRMLRVEADGQTIRAYHGSSNVGGRDIGRCPWTVKLSLVGLHSVTLRWLGEKSGVVIFTAGNFTVPGTHVYTLDVETMDVRMVATGGDSSVGDVCGYEMDRVTLLASLGR >Et_1A_009177.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31903925:31905142:-1 gene:Et_1A_009177 transcript:Et_1A_009177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAETTKPSPSPASNKVLGNDDLLGEILRRVDSATTLVHAALASKRWLRAASGRAFLRRFRERHPPRLLGFYVTGDCMPRPEFVPMPAPPGTDQNGAALRRAGSVFDEFPTFSSGIWHTRNSRVLYDFSRALSVFHPRTFAVRDTLRHPRGAMAELPPPPAERVHAMLLPDDDEHDDATCYCVEVNHAGRIVSAEVSVPRSGAWTVLCSARAELARPPERIPMIALLAGGKVYMVAVAGYLVAVDLAAGSLSAVELQDGAAYEYYGNLVFSQGDDASVLCLVHVAGDRLHVWRRRMDDGAGEWALRDTVSVKETCGHLVERGSDPADGEQLLSVSVVGVGDNAEFAFLELGYDGKFIVVCLHLGSRRAEKVYDRHPDNDEIILVHPLFKVWPPVFPALEITGDKI >Et_7B_054405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2144801:2147566:-1 gene:Et_7B_054405 transcript:Et_7B_054405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNRLMSMQKERKRRRQIQSRYGLRRLAASEAQKDSPRQEDEYSQGGANLPEDILCHIHSLMSLQDAARAACVSHTFLRSWRYYPKLTLTKKTLGLKRNAVKKGDKAKGFASRVDHVLKYHSGIGLKTFRLESHDYCNIEIYLSSWVQVAFRSGIEEVALLLPSCYNFPCSLLFDGHGNSIRDLYLTDCAFRPAIGFDCLRSLTKLHSYRVRITDDELGRLLSSSFALEQLVLRYCSELICLKIPFSLERLSFLKVLTCKMLQMIENRAPNLSTFHFFGDPVPLSFGESSQVKNLTVQFSQEVNSIYYAITKFPCVMPHLETLTISSFCERVNSPMVANRFLHLKYLEIYLSGGCTSVSPTFDYLSLAAFLVASPFLKTFILSVHQDDMKHDSVFEHASHIRHMPEYKHERLKNVQVIGFCSAKSMVELACHILENATSLETLTVDTVYDEEDDEKSGRCSVRRTGKCSPITSYMILEAHKALKAVNRYILGKVPPKVKLNVRGPCSRCHAIE >Et_5B_043139.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:10411382:10411573:1 gene:Et_5B_043139 transcript:Et_5B_043139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTMFYHVITQKTCHKMEVGCGPSIRQTFSFLILARKCRGPTWWGGASSKFGDVCVTVSYFT >Et_2B_019548.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1050066:1052306:-1 gene:Et_2B_019548 transcript:Et_2B_019548.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGVPSFSTATFAPPSSVRSPPIPFAPSSFAQSAVLDPQDPPPICQETAPPRRPKPSIAAAPKRQRPQGPSATASKVRPQPKPNRRPGARWTETNCDLWGSQRSTNASPHDPVPDTGLDLNTQAPMTEGFLELDLYGAYLQSGDDDEILRGRDELHPGRVRGSGLPPYRAPRAGSGDGRAPPYARQLHFGGSSSAPAGRGGGHRGVFPGGSSSGSAGDVRRQPNSAIAPQRTPTARRSAGHGIGSGQRLPRPRAPRAPSSAGRGHASSSGIPFDNADEELEDEIEELASSGGPLVSQSNQAHWNDLYNACLLQLEQCDVGTYNGLQMTAEGYQAIVNGLFARKGLVYSRQQVKNQIVVLKNTHSFWRYMQAHTGLGRNPDGSVDDDSEFWITNTPKKPYLRKLQYGPPANEELLDQLFKGFTLDGSTTFMAGDDYGNNLEEEDEEEYQGTPTSSNSQRIKRNKRASTSTTKSTCTSPIKRSKSPMVKIVKDIATTYRESVAVNTKQLQQRVTEKAAFSVKRCQELAFECGVEKTVDSVYAMSKLFESEYQQEFFCGQLTPDLRLEDHGPMFQEEEDNAGSTSEDEIISMCRNNLVQSENMLLQLIPILGMYSDNHFLKLPKR >Et_7B_055242.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8614791:8616365:-1 gene:Et_7B_055242 transcript:Et_7B_055242.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFVIVSKNDIPIYEAELGSAPKKEDLSYHHQFILHAALDVVQDLAWTTSAMFLKSVDRFNDLVVSVYVTAVTHTRFMLLHDSRSEDGIKSFFQEVHDLYIKIFLNPLYLPGSRIASSHFDTKVRALARKYL >Et_10B_004220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19560785:19563814:-1 gene:Et_10B_004220 transcript:Et_10B_004220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDLFDWLQWGVLMFAFCAMLLAVAMLVLMGVAEAIYRLRRRGKKTPATLSIEQLLERISDVPYRELPGGDAGDREDTCVICQAAYEDGEKCNLLPGCKHMFHKACIATWLRKRITCPLCRAMVVPLPGQTQLNAADNMDLDPTDKGQKTTSHPCFCHFLLFLFPMPCRLVVVHSSPHVISAVATFACFRSGSLANAEYTSKCFDFRPGNGRKTSLVFFNLMSTLSQSLDQMESPRAGRSARMTPTTCNVPELLVGFTKLCKLHKVCAAPELDNDEAATTKSGYDQRLILVRLFEAMATLKPAYIKLQRAHFPYDPAKIAFADEIIMSELDSVTALQCLCSSKSGSIGVLINEQWALVQELEAEVRRRGSDIALMKRELEGLQRDNAKQNKQVQSQKLSVKHYDKGFTAPKQELGTTTPSAVVELFKAASTSVHEFAGLIASSLNSSDGYARNKAIMY >Et_9A_061356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10452074:10463266:-1 gene:Et_9A_061356 transcript:Et_9A_061356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPNLDNAPLNLAALREQSQKDLLGILKSIRGKKCLVIDPKLAGTLSFVVPTSLLKEYGAELRLLSSDPLQTECQKIVYLVRSQLSFMKSIASQIKSDEPKGLQREYFLYLVPRRTVACEKVLEEEKVHQKLTIGEYPLYLVPLDEDVLSFELENSLQECLIEGDTSSIWHVAKAIHKLEFAFGVIPNIRAKGAASTKAAELLNSMQLEDPVNMEDMGIPEINTVILLDREVDMVTPMCSQLTYEGLLDEMLQINNGSVEVDSSIMGTQQDGKKVKVPLNSSDKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVKRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEICFEYIEEMIHKQEAIENVLRLLVLLSLTNAGLPKKNFDYLRREILHSYGFEHIPLLYNLEKAGLLKRQESRGNWAGITRALQLIVDVNDTANPTDISYIFSGYAPLSIRLVQHAVRSGWRSIEELLKLLPGPHVDLKRGGLKVNSSLEALPHSASQQSIDRLGHRSLVLVVFIGGVTFAEIAALRFLSAQEGMGYDFLVATTKVVNGNTILRPIIANSKQGMM >Et_6A_046254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11491875:11495710:1 gene:Et_6A_046254 transcript:Et_6A_046254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPYLFSSAVNLTKSSWDISKFATIALVDMDSEEIQVYIDYFDITLAPATIFFFNAHHMKMDSGTPDHTKWIGSFSSKQDFIDVAIFRGAMKGKLIVSCPLPPERIPRFQLLFKDV >Et_3B_031685.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:7781301:7783857:-1 gene:Et_3B_031685 transcript:Et_3B_031685.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAVFVALLVAAAVCVTAAAAAVDEFHGGEGRPAAVEVDPSWRFPSRRLRDAYVALQTWKLQAIFSDPYNLTADWVGPEVCNYTGVYCGPLPSDPREVAVAGVDLNHGDIAGFLPPELGLLADLALLHLNSNRFCGVLPPTLRRLRLLHELDLSNNRFVGAFPDVVLDLPALRFLDLRFNDFEGAVPAALFDRRLDAIFLNHNRLRFQLPDNLGNSPASVLVLAHNSFGGCLPASIANMSGTLNEILLINNGLKSCFPPEIGRLHEVTVLDVSHNDLTGPLPQELAGIRKVEQLDVAHNLLSGAIPQALLQNNSLNLIGILHPIS >Et_6A_046744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2049977:2050911:-1 gene:Et_6A_046744 transcript:Et_6A_046744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDSASISQRRPCCPGIANWDIRDFLIVCDIGSGSFGSVVKGIHRSTGVCVALKSLHDTSLSRFWHEAIIAFQCSGSSGIVQFFGVAHDRNRNTLYMVMELGGTSLEDAIRTGHAHGKAPLEYDVCVIMKSLLLGLKHMHEKGIIHRDIKPSNILIDSDSDCVVGKICDFGLATYYDEAITTWCGIPHGTYGYMAPEVYELKSSCTFESDMWSLGAVMYEFITGCPLISGRDSTGTITRMRSLFGSPGKGAAHDEPNPADEEKNPVQTTVDSSDEETKTHADREREIRHAIFHRRFSIQCSNLLPDC >Et_2B_021187.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27286747:27289127:-1 gene:Et_2B_021187 transcript:Et_2B_021187.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SCKQGICLTQMHMSLLVGNDGVQVEVMIDFLIWGLRHSIQGCPVSCCLTFTAITLGCCNIPFMLVPDVRLY >Et_10B_003543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:331458:335075:1 gene:Et_10B_003543 transcript:Et_10B_003543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKISALLTSAGINIGFCVLFLSLYSVLRKQPANVRVYFGRTIAEEHDRLRGAFILERFVPSAGWIVKALRCTEEEILAAAGLDAVVFNRILVFSIRIFSLAALLCVFGVLPLNYFGQEIQHLRIPKESLDIFTIGNVEVKSRWLWVHCLALYIISGVACILLYIEYKHIARLRLLHLTSTTPNPRHFAVLVRGIPKAHKEPCSNVVSNYFSKYHASSYLFHQVVYKVGKVQKIMTGAKKAYSKFKDFKDNTVDQGCRSLSYRCCLCGASSKSFELLSTECEQSRGKADSESGLNLQDEECTAAFVFFKTRYAALVASEILQTSNPMKWVTNLAPEPDDVYWSNLWLPYKQLWIRRIATLLGSIVFMFLFLIPVTFIQGLTQLEQLQQKLPFLKGILKKPYISQLVTGYLPSVILQIFLYTVPPIMMLFSTLEGTTSHSERKRSACCKVLYFTVWNVFFVNVLSGTVISQVNVLTNPKDIPLYLARAVPGQATFFITYVLTSGWASLSSELMQLFGLIWNFIRKYILRMREDTEFVPSFPYHTEVPRVMLFGLLGFTCSVLAPLILPFLLVYFFLGYVVYRNQLLNVYRTRYDTGGLYWPIAHNTVIFSLVLTQIICLGVFGLKESPIAAGFTIPLIILTLLFNQYCRNRLLPLFKTFPAQDLIDMDRADEQSGRIDEIHHRLHSAYCQFPCTEDVPLEKIKTVGRDEEGSSSGESSGKETCENPARDLSHPTLKGLPVSRLQNAVRSITFLIRLQKRGLSV >Et_1B_011384.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21333083:21337872:-1 gene:Et_1B_011384 transcript:Et_1B_011384.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAVTKVCGGGGKARAGALHVGGICIETPALLLSTRKGLPAFVSCDLLASLPFPDSLLLHVCPTHFMEGPPSKTISNIGGLHRMVGLPNHILVAAAGDCIESLPSSDATNKFGASFETTSGRRLVKPTDYMEMISCMKPNLWASLADEIPAWSTEKRNKISVDRTLRWLDACLALDTASGTNTLGVVVGGSSIEQRRLCATEVSKRNVTGFWIGGFGLGESNEERCSLLNAVTDCLPSDKPRLVSRLGLPEEVLEGVAAGVDLFDSTYIYQLTMGGFALVFPVDTIEREMQNGVFKDNSGDSTKINLHATIYRKDTSPLVDSCSCFTCQNHTRAYLNHLLNVHEMLAQILLEIHNTHHYLCFFRSIREAIKDGEFDIFRQQFIESRRVHIATAVL >Et_1A_007970.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39886084:39887917:1 gene:Et_1A_007970 transcript:Et_1A_007970.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMSSLSAPALAVAARRRRFSPAAASLRRVASGGGSSWRSERRLMSELERTVTEGAAERVIRSYVGNKSERAALAAFSRLLMDSDPLAIPFYEAVKQARWFKWSSIHAAAVAALLEANGITGESRSLISESISQHLQSTNEVALFYCDLMAAFSSRGLKDRAMDFYKELRSMPLTGRKTYMAMIKSLCLMGLATEAEEALKEMVSLGYQPEAFQFGLVAKCYGKSGSIVEMERVIVSMTDAGIRLGTGAANTVLSCYSSCHDHSKMLVWLKKMRKLRIAPSTKAYNFVLNSCPTLASMVRELGPSLPLSTKGLVKKLKTVSPQAAESELVYELLASTSVLDRAMEWSETEVKLNLHGFSTVAAYVLMLQWVDMMKARTLPLEVYVVCGIGKHSDVRGEPKVRELAQEILNRMESPLRLSTRNKGRLVAKRDKVKQWLTSLPMSEESTDQSPDASNQQPFVFTLFRKLGQFFSALV >Et_3A_026944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27572673:27573300:1 gene:Et_3A_026944 transcript:Et_3A_026944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPGSGGASDYDAVATAFVEFYYHTFDTSRAALAALYGATSMLSFEGQRFAGAEDIGRKLAELPFEQCRHSVSTVDCQPSPSFPGSVLVFVSGNLQLAGEEHQLRFSQMFQLVPTEQGSFFVQNDIFRLNYG >Et_1A_008192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5048907:5051364:1 gene:Et_1A_008192 transcript:Et_1A_008192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDPATVDSKCFNPITEDGSMDRRGNPAIRAKTGRWRSSILLLVNYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANNISKWTGTVYIFSLIGAFLSDSYWGRYITCAIFQIIYVTGLVILSLASWFLLVKPSSCGGVDAHCDEPSSAGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPKEARSKVAFFSYFYMALNVGSIFSNSVLVYYEDLGHWVMGFWVSAASAALALVLFLLGTPNYRHFKPSGNPLTRIAQDYAGAPESVKNPWRLCTVTQVEEVKCILKMLPIWLCTIVYSVVFTQMASLFVEQGATMDTNIFGSFRVPAASMSLFDVLSVLTFIAIYRRVLVPVMARLSGNPQGLTELQRMGVGLVVGMVAMVVAGVVEIERLRRVAAPEQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSALCMASISLGNYVSIMLVSVVTSLTDKDRRPGWIPGNLNSGHLDRFYFLLAALSLVDLAAYVACAMWYKGIKLDSGDDKEKATAVHV >Et_7B_053336.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:118106:118279:-1 gene:Et_7B_053336 transcript:Et_7B_053336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCLNSSEENVIKLCMLFKAGMFGCCGRQSDCGYASVLRSGHSCRRHFFLFFFLEG >Et_3B_030147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30920210:30925729:-1 gene:Et_3B_030147 transcript:Et_3B_030147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGASASAGTGAGGEGPLVYQAWKGSNVFFLQGRFIFGPDARSLFVTMFLIIAPVSIFCAFVAKELMDRFSYGLGLPVMVAAVLFTAYDLSLLLLTSGRDPGIIPRNAHPPEPEGFDGNAEAGANQTPPLRLPRVKDVVVNGITVKTKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFCWVLIVKIRNAEEITIWKAMPKSPTSIALIVYTFIAVWFVGGLSVFHLYLMSTNQTTYENFRYRYDQRANPYNRGVVENIKEIFFTPIPPSKNNFRARVPQEQGLRPRSTNGFMSPNMGRAVGDIEMGRKPVAWDEPRMAAEIGDLGAGLSNLLEDKDGRFRSASPDLSRDALAVGGLEEQGSSVLNPGRTSWGVEADIYTFGYLRNPSIQFLLGIEHPADQPHLLGLHGGDPAAGEREFRGVAVPDDPRQALKRAEVGDEGHVHLLDHERGVLRAVPYVAGRDEVDAAADAGAVHGGDDRLPAPLDRRQRVLHTQDRPAEFLGDGDGVNALGGGGERVPGPAELDAGAEMRARGGEHDGARGGDAVEERHGARDLREGGRAERVALGGAVKPDLVERGGGVRPRHGEGLEAPPELRLGRIGGAAVASGHWVVLCGAVG >Et_4B_039276.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9456302:9458147:1 gene:Et_4B_039276 transcript:Et_4B_039276.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGCCRRQRGPDGKERRGGRGCGSVLAVLALAAAAAVAFLEGTAGGVSYTGDGWFHECAKWDAEGAQFLASTAFGGGLAEVPAAGGEERVVVADPDVAGRAAFGLAVDAPRRRVLVVYADRPPRFGYAALGAYELGSWRRLFLTRLDAPGESTLADDVASDEDGNAYVTDTKGNKIWKVSPDGEPRGVIKNATFVQRTGLLSNFVGLNGIVYHPNGYLLVVHTSGGDLFKVDPKTGSVRVVDVRGSLKQGDGLELLSPTRLVVAGMPSRLVESSDDWETASVTGRYVGPIHRVGSSATVKDGDVYINHIFGLWLGKKKTHVIARAVFAPLAAAS >Et_9B_064271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13491410:13493995:1 gene:Et_9B_064271 transcript:Et_9B_064271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVAAKAKPGEEQDPQKTDKGKTSAKKSDDAHELIDFMRNHYKEKSRGQLQYRIPSRDELRVQYEKAQPWNRKGQKLSQEEFEKIARGILKVNSFTFGKAAVDMLVVLFGLPVVAVVTKRFIPGLKSVSDDIVIPAATSGAVVYLAKTNKL >Et_7A_052644.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10245876:10249522:1 gene:Et_7A_052644 transcript:Et_7A_052644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRSRLGSSSGAPGAADAPEDGMVEWHAARLASLNKSHTLTWEEFKKKQKEEEMKRGEMEADKDKMMREYRAQLDAERAQKLAQGRNHSRSKSKSSSSKKDSSSESSSSDDEERGSRKSRSRSRSRSKRTKKEKKHRSRSKHSGSDNEDEGEDCLEAEEVLGAMYCSLKRMLSKWEFIPYPMRHPLLTGLDGACAFDSA >Et_1A_007246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32564937:32566924:-1 gene:Et_1A_007246 transcript:Et_1A_007246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAEMLYDISPDMHFAPADELLVELYLIRRVRGQPDLFPGLIVDDDAAANTQPWELFDRHGRSYAVPAFFFVHTNGGARPDRRCQGGGTWKSQKHERDDLSHQMVIDGEMVKWSRHNLNLHMVTGKNGGGSSGWVMHEYSITGHPSIKICRISLSGFGQKRKRVPDSPAGEPESQRPRVDSDSCTTMTATFSHETEHVLGAMEQGQLQEETEGFNGAMEQLDVQDCHGGSVAAASALGTPTFDQVSVVHVSSAHQDCSDEFIAQMVEEMTDPVPMMEQQVADAMMPLPTVQELCGMPDNVDHMAAGPLGGVLWEGICWFHSESNFATHDFNPDCQGQVILKF >Et_8B_059439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1857342:1862069:1 gene:Et_8B_059439 transcript:Et_8B_059439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSMPEAAACARAIKANGPDVLIWKPNKKGIWTAQSAYKLLHQDEIEKRARNAHRFQKKEESVLSVIFQASAAQLAQYEAYEIEQISSGHILDNLEPDIAPLYHGQGRGTKAAAFVAGFCHTPEDWVATRINLVNLGAGRFCVARVFEVERFLGDMVDWDIDY >Et_9A_063139.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:11521996:11522220:-1 gene:Et_9A_063139 transcript:Et_9A_063139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMAATRSPPASDVTGAAEESPEQLEQLHRAATAREGGPERHGACHGVLQVEQRRGSTSGSAAGHASTVAVAL >Et_3A_026636.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:1136609:1136851:-1 gene:Et_3A_026636 transcript:Et_3A_026636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGLRAPARLRREAFLAFSPCSERDTPASGTTQEIGHGDIGAGKKLQPLTLRRVPWRKLRADTRDPILLSTLLSADLS >Et_4B_036595.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:8054999:8055790:-1 gene:Et_4B_036595 transcript:Et_4B_036595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPASALPCHTSTSLTALAPRPRPLLPPSRGIHGVANDGCCHHLLLPAPRGAAPEGRRLLILLLLPQLGAAAAAPGAAAPEAAGLGGGRCGGRAAPGAGRADGEGAAVRLQPDAPGDRDRVPAAHGGARQALLHAAGQVHGRARRQDPRRARQRQGRLGGGQAARGAGRRHHEGRPRRDRRCAQQDEEGDHRRAGGQARRRTPPRRSRARRGARQPREPEGGGCQGARRADRFAQRGDRQEGAPVSVRKPRRRVRRRSCPFVAF >Et_1B_012193.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29828707:29829258:1 gene:Et_1B_012193 transcript:Et_1B_012193.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNMSATLLVPLVVAVLFVAGAHADASEFPPPPARRAGPVPTPTPPPPAIMSAGQLPDDPGAGPRRRLPESPGLAGCAAQCIVYKYRGGHCDVLPNGRLGDCTCMNCIGAKQE >Et_4B_039993.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:7386799:7388508:1 gene:Et_4B_039993 transcript:Et_4B_039993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTMDDVAGELEFSGCSSTTTTSSSCSLDDGTGMHYPWSGLSPVADWGPFCSDDGGQDLHGLIESMLCDDTLVGGDDHGSAMFRDEEAYWCYSNGSGSGPSSTTTTNPGTPVYDGGDAGECPEKGLRLLHLLMAAAEALSGPHKSRELARVILVRLKEMTGASANAAASNMERLAAHFTDALQALLDGSHPVKSAAAAASHQNQQHNSSHAGDVLTAFQMLQDMSPYMKFGHFTANQAILEAVSGDRRVHVVDYDIAEGVQWASLMQAMTSRPDGVPPPHLRITAVSRSGGGGGARAVQEAGRRLAAFAASIGQPFSFGQCRLDSEERFRPAAVRMVKGETLVANCVLNQAAATSTVRRPTGSVASFLAGMATLGPKVVTVVEEDQGDAAGKDDEDDASAAGGGGFVARFMEELHRYSAVWDSLEAGFPTQSRVRGLVERVILAPNIAGAVSRAYRAGDGGEARRGGWGEWMRGNGFRAVPLSCFNHSQARLLLGLFNDGYTMEETSPNKIVLGWKARRLLAASVWAPPPTEGVLQPVGMPPVVSGGVGRMEFDYIDSFLVEPAYALV >Et_3A_026903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25596313:25600485:1 gene:Et_3A_026903 transcript:Et_3A_026903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSAVMLQRSSSRAREYLRLQRTAARTVALHLEGRAWWCGHSLLRDPRHNKGLSFTEKERDAHYLRGLLPPVVLSQDLQEKRLLQNVRQFQVPLQRYMALMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISFKEKGRILEVLRNWPEKSIQVIVVTDGERILGLGDLGCQEYSELLDEFMAAIRQNYGQKVLVQGTAAVVLAGLIASLKSVGGTLADHTFLFFGAGEAGTGIAELVALAISRQGLVVRSREETLQPYKKRYAHEHEPVKDLLGAIKAIRPSGLIGSSGVGQSFTKEVIEALSSINEKPIILALSNPTSQSECTAEEAYSWSQANNAYIFPGFGLGVVIAGAIRVTDDMVLAAAEGLAEQVTPEHIDKGLIYPPFSIIRKISANIAARVAAKAYDIGLASQLPRPKDLVKYAESCMYSPVYRSYR >Et_1A_005711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1361679:1362028:-1 gene:Et_1A_005711 transcript:Et_1A_005711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASAELRCSGDLGAALELWWRRRRLLQTVGNHFRESEHTIHLGGGGGGRREERNRGEERRE >Et_1B_009719.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:20328509:20328856:1 gene:Et_1B_009719 transcript:Et_1B_009719.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFFGNVRGCEPSCSSPAPPPPAVPTPTGATCPYNTVDLKVCVNLLNDLVNIVLNPTSKYDCCKLISGLADFDAALCACTTIKLLGLQVPINVNLLLNACGKTCPQGFTCPAY >Et_7B_055629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18249178:18252587:-1 gene:Et_7B_055629 transcript:Et_7B_055629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILVAVKRVVDYAVKVRVKPDRTGVETANVKMSMNPFCEIAVEEALRLREAGAAAEVIAATIGPAQSADTLRTALAMGADRAVHVLHEPDPVRPLLPLAVAKILRAVALQEKPGLVILGKQAIDDDCNQTGQMLAGLLQWPQGTFASKVLLDTEKQKATVAREVDGGIETICLDLPAVITTDLRLNQPRYATLPNIMKAKSKVIKKVTPEDLNVDIRSDLEVIEVTEPPKRKAGGASG >Et_6A_046891.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2280148:2283147:1 gene:Et_6A_046891 transcript:Et_6A_046891.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDSFPPPSDDDEAAAAAAPPGRSICHAGCGRPSRVCLCPYLPPSPLPTSTTVFILHPHHALRRNPLSTLPLLGRSLPNLHLLPGRRLLPSSTPLLPPPSPNPVLLLYPSPAAADLASWCRSTPPSARASTTLVLLDGTWKQAKDMHAASLPFLSSFVTPVSLPVDSGVDGDSMFESELVVKKELHKGCMSTMEAVARALRLLEPEGRGKEIEEAMLGVLRAMVAFQAEHLQQRTVKPRVKMRKKRRRLGGMRDHSGNLHRDKCPVHSGLSIYSV >Et_4A_031975.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:8700329:8701012:-1 gene:Et_4A_031975 transcript:Et_4A_031975.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITTTLPLCAMRCSTLTTMKALVESSPEVGSSRNSTMGSWMMSTPMDTRRRSPPDTPRWPSSPMMVLAALRRPSWSISACTRFFFSLVGRERGRRNSAANMSVSSTVSIGYRRSSCITYAEITLSRLLSMDSPLSVTEPCRLSFTMRLARASISVLLPDPLAPSTAMISPSRASPEMLSSSVFIRGASGSGSPRRRNGRHDPFFRCFTLYVRSVNLSTNGSSV >Et_2A_017494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34950182:34951683:1 gene:Et_2A_017494 transcript:Et_2A_017494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASPPYHTTSSQEATHTAIATDDLPSSSSSLLGEGRGGEVLSVLQGKQEKRGQRQEEMELDEQAFLEEILSLRRDAWECNAMGDFFSPAAAAMDCSFQERHQPPPSVSVLPTFTASYDHHQQPAAPGFDCLSEVYGAAAFAGHNAGAYGGGEMGFLDIIEPKAAPLVDGAGLGVCKVEPGLTDSGGAFSAPPAPVSKKKRVEGMPSKNLMAERRRRKRLNDRLSMLRSVVPKISKMDRTSILGDTIDYMKELLDRIKQLQEEIDQEQQEAPGVLSVFRELNPNEMVARNTPKFDVERKENGETRVEIYCAAKPGLLLSTVSTLDTLGLDIQQAVVSCFNDFGMHASCSEMQRERISADMIKQELFKNAGYGGGCL >Et_1A_007864.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3942545:3948519:-1 gene:Et_1A_007864 transcript:Et_1A_007864.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRCDGGGEGCGRKRLLVRHMWPATRVEAAPPPAQGPATPSSSSVPPNSATSYPPAPTTPPSPAQKQGRLDSPRPVSPDSFVKDGREIRVGDCALFQANDAPPFVGLIRWIEKKEEGYPRLRVSWLYRPADVKLSKGIQLNAAPNEIFYSFHQDETSSVSLLHPCKVAFLRKGVELPAGISSFVCRRVYDIDNKCLWWLTDKDYISERQEEVNRLLHRTRLEMHAAVQSGGRSPKRLNGASVAQQKSSSEGTQNCGPSKGKKRDRADQGNDPAKRDRDRSVKVDDSEPGSLKVENMKSEIAKITEKGGLPHAEAVEKLVHLMQLDRTERKIDFAGRVMLADIIAATESPDCLVRFVQLRGLPVLDDWLQEVHKGKSGEGSSPKETDKPIEDFIFALLRALAKLPINLNALQSCSIGKSVNHLRSHKNMEIQKKAKCLVENWKKRVDAEMKSNDVKAVSGQAAPWPGKTGFSEISNASNRRSGSSEPSTKNQVSQVSLSKGLTAKSGAGDAVVKLTPAISGSSKSQHMQPANVTSNSKDQPCKSAGGTELPTVKEERSSSSSQSLNNSQSCSSDHAKTVGSSWKEDARSSTAASGNASKSSGGSSRSHRRGNNGRLGSGIQKEASDRSSLPEKSAQSGMACEKGGDTPSDHANGHRLIVRFPNPGRSPARSASGGSLEDPSVTGSRVSSPVLADKHEHNDRRVKMKTEHCRPHLPMEAKAESWHSNDVKGAAGSDEGDKSPCAALEDDRSRIAEEAVKDACARASSSYVNEKGACSSETKAKNSFNPMSALIEIKYSEAGPSLQVGDDTAMNLLASVAGEISKSELVSPSTSPNNAPENEDGSERDNTRKLKVQSVGDPSQHPGTSGEVDKVIMEKEKNDACLVAKEEQHQTAAGPSSAPKALESKVQTANHEDEHANKCSSLPASVDSQGGRHQNSDANKTTDIKFNMKSNVNSSAGEHKNACTTHGKVEDGCSSSPDVIDATLGGQCSSIVSNKVSETIRAEESSLSAGKQSQALKSTDQNQLVAVPDRTDATDKCGESTAGNSDLKSSVPLVATEAKKADGSGVGNIVSKEEEKKEQCSSTSADVTKSVVSPASPLGAVKGTNKTKESKDCSSESSSHVNPCGTASLETGHTTRHSSDKSSSDDGGKEDLVSSNEGSSFSAKGKSSAGAKLDFDLNEGIPGDEGHQSEPANSPLISSSVHLPGISPFISPISSGLPAPITVAAPAKGPFVPPENLLRVKSETGWKGSAATSAFRPAEPRKISETPVAASDTTVSGAAKKQSRPALDIDLNVADDQALEEDVPQSSAQTTGSESGNRSRDGPVGIELDLNRSAEVAENGQVLSMFPARPLPGIFSNSDRNSSMDFDLNNGPNLDEAGSEPAPRSASKNSSSIQFMPQVAGIRMNNAEMSNISPWFASGAHGAPVAMQSFLPSRGEQPYPVEAAPGTQRTIASAADSGQFGNDSSRTPVISTSPAMVFHPPAYQYAGFPFPPNVHLQAPGFSIGSTPFVNSAPAGYFPPITPLVGPTGVLPGQHARQYAINLPEGSSGGGHDSNRKWGRQGLDLNSGPGVVEVEGKEERMPLPVRQNLLTPPHALVEDQARSYQMPGVGIKRKEPEGSWDAERSQYKQLSWQ >Et_9B_064320.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1413533:1418594:-1 gene:Et_9B_064320 transcript:Et_9B_064320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAASTAARFLPKPSAPCPRRARVALSPSPLLPWRHLAVTVAAASRRPEGGEGRRRERKQRRARANDQEEGLSVVSSELEMKNSTPHSQTDKGSKPVPESSVNAKSGAIRKVTLVILAAVVFGVSIALKDGADKASEYFAGYLLEQSLSVDNLFVFILVFKYFQVPKKYQNRVLSYGIAGAVVFRAVMIALGVATIQRFEAVNLLLALVLLFTSYKLFAEEDDESDLSDNFIVKTCQKFIPVTDFYDGDRFISNRDGLWKATPLLLTLAVIELSDIAFAVDSIPAVFGVTRDPLIILSSNIFAITGLRSLYVLISESMSELEYLQPAIGIVLGFIGTKMIFDFFGYHLPTEASLAIVTTCLSGGVILSLRKASKEERDNMAHSLFMIRGSRPQGHLQFANTAVPR >Et_10A_000633.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:14076316:14076925:-1 gene:Et_10A_000633 transcript:Et_10A_000633.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVMASSATSVAPFQGLKSTAGLPMSRRSGSTGFRKVSNGGRIRCMQVWPVDNNKKFETLSYLPPLTPEDFLKQVEYLLRNNWIPCLEFSDVGFVFRENSRSPNYYDGRYWTMWKLPMFGCTDATQVLKELEEAKAAYPGSYIRIIGFDNVRQVQCISFIAYKPPGKE >Et_7B_054200.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1718940:1719562:-1 gene:Et_7B_054200 transcript:Et_7B_054200.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGACNNSFFCVTNDHRHHWQYSTMKKLLCVVLVASLLLDTLAGASSSPPLASTLSRHQAQVLGRKGRELCQLAYQHEQNSKEVVMEVKKPAEKKAGWTDQGDDGKEKGLIYNADYEGVAMHAGSPPKHKHPKP >Et_4B_036921.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12613535:12617934:-1 gene:Et_4B_036921 transcript:Et_4B_036921.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQQESYGPFTIPVIIRANNLSKIEKSHTSATTCDPPRPIEPSSPRRRRNPSPSSSRAMATVSLTPQAVFSTDTGGVLASATILRFPPNFVRQLSTKARRNCSNIGVAQIVAAACAIPNAKVAQPSAVTLDERNLLGSDASLAVHAGERLGRRIATDAITTPVVNTSAYWFNNSQELIDFKEGRHSSFEYGRYGNPTTEALEKKMSALEKAESTVFVASGMYASVAMLSALVPAGGHIVTTTDCYRKTRIYMETELPKRGISMTVIKPADMDALQNALDNNNVSLFFTETPTNPFLRCIDIELVSNMCHSKGALLCIDSTFASPINQKALTLGADLVIHSATKYIAGHNDVIGGCISGRDELVSKVRIYHHVVGGVLNPNAAYLILRGMKTLHLRVQCQNNTALRMAQFLEEHPKIARVYYPGLPSHPEHHIAKSQMTGFGGVVSFEVAGDFDATRKFIDSVKIPYHAPSFGGCESIIDQPAIMSYWDSKEQRDIYGIKDNLIRFSIGVEDFEDLKNDLVQALAKI >Et_9A_060934.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:19240241:19242926:-1 gene:Et_9A_060934 transcript:Et_9A_060934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEEKLLATVQHIVQTLGSSDTMTEDILKVFSNYDGRLSLEKLYAARTAAAAAAAGASGGGGGGGGGERSMPASPPLPPPPAAAAAAAVSVPGARPPVTSMERTVRTLDRQISQFVAMDRLIWADSADADAFLEAVDDLIGTVQELDAAGTNRALLDRADELLSRCMARLEDEFRALIERPDDAAPVLPGGFGSDGSDDEEDFGGGDGYGDEPIPIAKPVTDYDVVIDALSPGSIANVHQIARRMVDAGFGRECAEAYAAARRSFVDESVARLGVRPRTAEEVHASTWEELEFDIARWIPAFNMVFRILIPSERRLCDRVFDGLAPFGDLAFIAAVRTQALQLISFGDAISASSRAPERLFRVVDMYEAVRDILPDLDPVFSDPYSAALRAEVSALCNTLGTSIKGIFMELENLIRRDPARVAAPGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDFGANGGAPVAVDPDRPTSSLAVHVAWIMDVLHKNLDTKSKIYRDPSLACVFLMNNGKYIIQKVNDSELGVLLGDEWIKQMTTRVRRWSMDYQRTTWGKVTTVLQTGGPGVGALPAKALLQKLRMFNTYFEEIYAAQSEWVIADDQLRVDIRAQVEDSVMPAYAGLIAKLKSSPETGRDLYIKYTPEDVEARIQHLFEGAAK >Et_7B_055500.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12288077:12288451:-1 gene:Et_7B_055500 transcript:Et_7B_055500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASASAARKTISAVSAHVAAGRLFAALDAISPSLPASVIPSELYALLLQLATSRGSLAAARRVASHLTSSSSPWPQGPSVPTFLLNRTIESLAACGSLADARHLFDVMPRRDGGSWNAII >Et_7B_055353.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9652681:9657381:1 gene:Et_7B_055353 transcript:Et_7B_055353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEIDALFLREGLGGEAEVVICTMGRTILVSGFNLTDSADHVKDLLERVAGTGTIFALKLRPPKKVTATSKAFAIVQFDTEKSASLVESAVQRNVLRNGRFYLKVRPADRDIVPRPKTPMFSLEDAVLHFGCLVKENVLHVLWSAKEVSVKFEFDMKKIQFYLIYDFIKYKLELSYEIIWEMKLHRPPTHRFRTKFLLIQVQAVPKIYEALPCLSTLMYDDPTYNYFREDTDDQWTRTIDFTPSASIGQLSILCLECDLPNIGDYFFHYKKYNHDFECHKGYSYSCCTRIVPVVKSPNNIDVPYEILFKINNMVQIGILSGPTLDADFFRLVSAPQFTPIDHINRALLKMSELKSSCLNPTNWLSAHYSNTWNLRYSFSKSPQISLDDGLVYVHRVQVTSAKVYFYGPEINVSNRVVRKYLPDIDNFLRVSFVDEDCEKLRSVDLSPRSVSGNNSRTALYCRVLSVLSDGITIGDKHFEFLAFSSSQLRDNSTWMFASREGLTASDIREWMGDFHNIRNVAKYAARLGQSFSSSAETLKVQEYEVEKNSRYNKWHEISADFAMEVAMKCKVKRFTPSAFQIRYGGYKGVVAVDPRSNRKLSLRDSMLKFKSENITLDLAIMSFESKQEEAVMQLNRMVTEPQAAIEAIELMPMGEITSVVKELLLCGYQPNYEPYLSMLLQTFRASKLLELKTKSRIFIPSGRAMIGCLDETRTLKYGQVFIQASSSANEHSKFVVTGQVVVAKNPCLHPGDVRILQAVDVPLLHHMPHPNECSGSDLDGDIYFVSWDQSLIPTRMVAPMDYSPAPTETLDHDVMIKEIEEYFTNYIVNESLGIIANAHVVFADKEYLRAESAPSIELAKLFSIAVDFPKTGVPAVIPHELHEYPDFMEKLDKPTYVSKGVIGKLYREIKKHTPHIKYFTEDVARRWL >Et_3A_027177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3998112:4001636:1 gene:Et_3A_027177 transcript:Et_3A_027177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPIWVRQAEEAKLKSEAETAAAAKAAFDATFKALTAASGNGEQEPDPAPPSPAEAASPDSDDDAPVLPGPVDPSKCSAAGPGIAGGSAGSPATFTVVAKDRHSRRITAGGARVRVRVSPAAGVGGDDLEGAVKDNGDGSYSVTYAVPKRGNYMVHIELDGSPVMGSPFPVFFSASTAATSVPFTTGIPAVSSAYPNMVNQTMPNMPNYTGALSGAFPSLLGLMPGSSTGSSGGIVLPGVGASLGEICREHINGRCTKADTECKFTHPPQQLLMSVLAATSSVGALGHAPMAPSAAAMAAAQAIMAAQAMQAHAAQMQASSKATGEGSGSTDKADALKKTVQISNLNPLLTVDQLKQLFGFCGKVVDCIITDSKHMAYVEYSKPEEATAALTLNNLDVGGRPLNVEMAKSLPPKTNMANGNLPMMMQQAVQLQQLQFQQALMMQQSMAAQQAAARAATMKSATEAAAARAAEISRKLKAEGFGGDIVEDKDAKGKSRSPSPSTKRSKSRSRSPIKYRGSRRSRSYSPPIRHSWEHRSPSRSRHSKYGSDRSYRDDRDKYSRSGRRESDRSRDHHSSSSRRNRSRSKSPRHKKSSRTDSQSPKQQREESLSPSKSRRSTRAGSRSPRRHKGSKSSPTRDHSSRRSRHSRSRSPEKKHRHSDKKDSRKSEITDDKRRSHRGSRGEDDERSIKERSHRSSRGDKDERSVKDPVEDKKVDTSVARQRSSTISEDEILNDSSSNDHKKSRHEDGSEYEDTKDAAADLNGQHGPGVDGPIGGTGEPAI >Et_4B_036646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10078667:10081644:1 gene:Et_4B_036646 transcript:Et_4B_036646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLYFNPTNLHKTPPNPFAWRSSLFQFPIPSPSSSPTSYSVAMLLCRRFSCAVARAPFFARGPLPPRAAPATPAASRPPPRRLMSSSSGWQQASRPPPPPPPHPGADKDQLYRGLEAALGTTFSSEPLAPPPQPMILVISGPSGVGKDAVIKRLQEEREGMHFVVTATSRAKRPGEVDGKDYYFITKEEFLTMIEREELLEYALVYGEYKGIPKQQIRDYMAKGCDIVLRVDIQGAATLRQILGDSAVFIFLVAESEEALVKRLIHRKTETSDMLLVRIATAREEVRRMQNFDYVVVNAEGKLEEAVKQVESIIDAEKAKVHKRSPTPTNLL >Et_7B_055994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8919839:8920931:-1 gene:Et_7B_055994 transcript:Et_7B_055994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEKSVAGSYWGLNARPCDACGGEAARLYCRADAAFLCAGCDARAHGAGSRHARVWLCEVCEHAPASVTCRADAAALCASCDADIHSANPLARRHERHPVAPFYGALADAPKPSASAANKAAADDVDRRSNEAEAASWLLPEPDHHGGHNKDGAADAFFADSDTYLDLDFARSMDDIKAIGVQHGPTDQLDLTGAKLFYPDHSMNHSVSSSEAAVVPDAAAAGAAPVVAVVSRGREREARLMRYREKRKSRRFEKTIRYASRKAYAETRPRIKGRFAKRASGGGSSADQDAAMMMEEEHDEEMYSSAAAAVAALMAPGAAGDADYGVDGVVPTF >Et_3B_030723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6775543:6791808:1 gene:Et_3B_030723 transcript:Et_3B_030723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFPSIVCLRLASCGITKFPGILRHLDELHLLDIANNRIDGSIPQWAWEKWTDFDLFFLNFSHNKFTSVGYNTFLPFHIQILDLTFNMGQYPYPEILEPCLITPATCFSSMPFNISTQLQGTAIFKAHRNNLILTSFCSLNLEILDLSYNILSGSIPSCLMDDGDPLKFLNLKGNQLSGELPQNMTDSCTLEVLEISGNRMEGHLPRSLAACKRLEVLDIGNNGISDSFPCWLSTLPRFQVLVLNHNQFFGPVTPYGTEDKINCGFPCVRILDLASNNFSGTLTEEWFSKLMAMMANVNNETLVMEYHGQQGQMYQCINTTISNEGLHHHSKKQSVDIVLFLFAGLGFGVGFAWCSLSSPICGSFSGLHSLAVLDLQFNELSRFVCQLILSEGAPTKSKRVEGCFPLIVLQQKNLVTVDISHNEGISGILPNFSADSLIVLQQKNLVTVDISHNEGISGILPNFSADSGLENFFVGHTNFSGKIPSSVGNLKSLKKNLRGLKKLTLSHCQFYGNIPSFISNLTQLHTLSLDSNNLVGTVDISLFWKLPDMFRLILSNNQPHVVDTKDNSSLVYLEIKYIGLASCRIGKFPKALRHFDKIEGLDLSYNQISGPTSLPQESGHVLDYSNNMFSSIAHNSSTQIQYTMIFRASTNNLSGELNSCEFPSQRILDVVSNNFSGSLQESWFRRLNSMIVKTSNETMAMEHTDDSHASDTSHASGTLEEVYQLATIITYKGFEVSISNILGSLVFIDISNNGFHGSILDAIGELVLLNVLNLSHNTFTGPISSILGHLKLLEALDLSSNDLSGEIPQELALLDFLTTLNLSYNKLVGKIPEPNHFTTFTNSSFLGNVGLCGPPLSKDCINTTTSSVVAHHSKKKFVDIVLFLFVGLGFGVGFVVTVVVTWRIPIRKHCCRWDGVSCGLGNGRVTSVDLGGYLNLAHNDFNALSCSPSSPALTSPPATLQGSIGRLTSLVSFDLSTTYASYDLGYYHVVIPGRRVRFEVQNFKTLIRNLENLKELLLDLADMSSNDSEWCDAIAKYTPNIRVLSLAWCSLSSPICDSFSGLHSLAMLDLQFNELYGPVPYFFSNFSSLRILQLNKNGFEGWFPSIVLQQKKLATFDISYNKGISGILPNFTADSSLENFLVRSTNLSGKIPSSISSLKSLKKLDLAATGFSGKIPSSIGWLKSLDLLAFSGFKLVGSMPSWDCKPNLLDSSSFLTLRPIRINTLFYGNIPSSISKLSQLDTLNLESNNLVGTLDISIFWKLPDLHLLSLSNNKLQVVDAEDNSSLVYPGMSYFGLASGHWALEHFAGRNLLFLNLSHNKFISAGFELFRPLYVMLVDLSFNMFEGPIPLPQQIWIST >Et_10A_001209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22171033:22171350:1 gene:Et_10A_001209 transcript:Et_10A_001209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTDSQFLRTLANDAEGICPSINGSQRSLGTHHRFRRCPRPPDQFCFSFILHSSPWPTASTPCRHRHPGPEL >Et_2A_017211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3267485:3270164:-1 gene:Et_2A_017211 transcript:Et_2A_017211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLRGVRDDLSELGRHLLDIACFLHPLLNPAHTDSPPPTPTHRRRAPRRSPSPAPPSPSLLAGILSDLAEIGGSLRGGFARAAATPPASAAEALLQQAASPPPSPPPAASPGAAQVAEDVVAAARALAARPEAWIDFPVLALDEDSVISDIQRDHMETIEKLVPDLASLRARLCPSYMDEDVFWKIYFRLLESNIIEHSSEEDNQSMPSSVHHGNEIESDSPPHVCEIESVKSNQEGYQSSDDRAFTKTRSEKSIDQWVFAKSKSEDSMDQWSEIPSDVESFREGKRYHSSEELSDADSSNVVVMDKYMDSLLSDRRHLPYASSSVRRDSVRRKPASSSDYSHRPPQPTPPASLSKKESWDVIADSEVEILDS >Et_4A_035099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9466979:9474055:1 gene:Et_4A_035099 transcript:Et_4A_035099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSFLSRNHRRDLGSGESPPEDSPPLTSQGGSGDGARACATRKTMEFEHMLANLEKEGVKIDGKIASIIGDEVAKIKAKAVEHQLAKKERDDVTARYCMCCCRFHHGSGTDLGSGDYPSTDSPPLSSQGGSSNGRQADVGRKMKEEVDHLLAKLEKEGVEIDGKIASIIDDGIARIKAEATRENTNEPKKNDMTLPLLRAIACIAIGFIMGAEYFDHAFRASNAKRRRELD >Et_4B_037974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25181531:25183834:-1 gene:Et_4B_037974 transcript:Et_4B_037974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAGAASRYASYDSPSPSPSPRRAVPPAAAAGTPTHGASRALVAARSGRDLMGAKQQPQAQHGNLGSVLRRLISMDRKPPAKNHHHLPVPPAAAAKNNGGGGEKLPGLSRKLFQTSNKKKPVAALTDVKNGGNSANTRTLAMVLRSERELLAQSKAQEDEIAALRLQLENKDREVERLKDLCLRQREEISALKDAVLASRRSSPRHVPGHHLDVLCLGDRVKAEKHTSRSCFDDDGYCSSPRTPGFNEETAFSLECSIGPETPNCGSPDEMFSKDLNPCLTPCIAKSKSDVSAQFHSSSHSTKVCSFYSPCYLLTNLTSSASQAYLERTVFSFNLDLQDYQQSMGSHRSASKPRSNHSYSSLGRPMSKSSDNNHKPTSGSNSKRRVNRSDQDKIYQN >Et_7B_054355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20278095:20280608:-1 gene:Et_7B_054355 transcript:Et_7B_054355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFGTDQARATRVLETKITAGLLLEFWNQWATQVLVILSLTQQVILLFSGIRRRQGRSAKRVLLWLAYQLADNTATYALGTLSLRSRVPEHQLVAFWAPFLLLHLAGPDNITAYSLEDNKLWKRHFLTLVVQVLGAGYVLYMHIAGSEILFKLVAIFLTAVAAAKYVEKTWALRCASFGIIRGSVEVGAECHGNCHFYLVDKPPKGGFRGKAVDREEFLMLRAHAAFGICKSAMVDSSENPGGYVVGILGHLKENEMGYMWTLMEMELSLMYDIIYTKAALVHTLPGYCIRLLSPVAVFYGKEGRHSTADLVITYVLLGAAFLMEMTALLSAFFSTWTFSFLCATRWSGLRHAALCSGRWHRLRRMVLSLRRLALSTRVADFFRLSRRWSGTMGLFLLWDGGSCLSTKVSKRKLRIKEGLNHQREQYNMLDMCTARPGRLARMLGLNKRAVSVPEGLKDLVVVYIQRMIESGYVNTLGMIRGKWGMEALQRWEKDHGVIIPNHERFLGVEIHEGIIIWHIATDIFLAQRDKSNAKDEQDRVKEVQALSNYTMFLLVKQPYMLPGLAQNKLYQWTKRTLETEWKAMGASTTTRSSWIGNIFNGPTTSGSGLQLSEYLATALYNNPPSQSETEHFRLLKAISLAKILVKMEGSLQLVYEVWCDFLIYAANRCSRESHAKKLNSGGEFTTIVWLMTEHLHKLAKC >Et_1B_011991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27914146:27914900:1 gene:Et_1B_011991 transcript:Et_1B_011991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRIMRTGYVWVRTCCKHVDPAAGRRQHASDLRQCLRVIQYCRAVDDPGAGSQQYAHGGGAVVPGEEEPDASVLQRRRREEDRTSSRVKRAEHGESVVH >Et_5A_042631.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2343509:2345624:-1 gene:Et_5A_042631 transcript:Et_5A_042631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRGQDNENHRNDGDFAGKRSRPARRRKHLYLVLDDWKKGFSVHKIDTDSFDSNSDSDDGQDPRVTVAGRLPEPPASRLEIPGDTDMLFTTTGSKIFAVTDASYGQTPALVYDTETGGLAIGPAVPAHLQCGFSIVVAAGEKLYAFSSPAFNNQQSFEVMSWAVPSLHKQNTPYPSAEGRSWESLQPLPPPYNTYEVVTSYAVHPDGRTIFMTTSYRDRPNLQRSTYSFNTKYRVWRWHTWVLPFRGQGHFDSELDAWVGINIDGNICSCQVPSDIGSETPVELGTMQLDWQMVEDKLFRKQTERHLKSSLTYMGRSKFCLVHSVIRKGAKERVDVVVNDLGVFVVFPFPLNQILILRYMLFGCFCLNFEIGD >Et_6A_047127.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:25774381:25783762:1 gene:Et_6A_047127 transcript:Et_6A_047127.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAKNRSATMLLIVIVTYYVQCLHARRVNATSMEMVGVNAGTRVALILDRALALPTVAIRLAYRKAPRTFTASVTTTLNATALLNALLPSSSETVAYYVRCLHARGVNAISIPTVGVNAGT >Et_10A_000561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:12740639:12741756:-1 gene:Et_10A_000561 transcript:Et_10A_000561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTPSHHRGSGGGRRRRNPRLLPPPPSATSGTTSSSRSSSNSPPSRATSAPRSPAAPSSTPSAPPRPSAAASAPPLLGLFLGRDVTDVSSYAPLRRGSDPDFAAAVRGSDLFLAGVPDEIADAHPVCHLFDSRGGYVLLLLKWKPPRRLAAYNPLTRALDEVFFAPPNEVCHGEFYLNYHILASDEAPGSFRVVFICRNGSRLLAAVFSHQGVADFPWTGHAPTGQWDDHQQLLSTGALVNGSLFVSHLVPDYTMVLNMATLKFSWVHLPDQLKGEGHIYRTGTTKDGRLCLVSVIEFTMSIWLLQRADVAERWMLESVIPLEGESPCTRDDVDVLKEWAILDGIVHLSIKTSGNKEA >Et_1B_014452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9183147:9185415:-1 gene:Et_1B_014452 transcript:Et_1B_014452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPVFRPPFLPSAIVSLQFRSPSTDSGNARPKRANDTDAAGVPPSATPSTMDPTPQSHPILAYVLSRLPSLSARTPRSPASLSSPRSRDLEQPSPRAPSGAAEAELVSLMPGLRHPSVLSAMTRAVADVATARDAIRLLGPRPDHELVDASRAVLAAASADPEGKEGEVEDDEKVAASREVVRLEQEHEAYDAMLRDAEEKLERVYRMAMHRRDIQEAVVGDGEGEEEGSGAVDEEVVRVLREAEEGKPVERVSLADRQLRLLPEPMGRIRGLLALDVSHNQLKVVPDAIGGLEHLEELRLASNALVSLPDSIGLLSNLKILDVSGNRLKSIASPVERETLTVNDRPLGGSRSLVDLDASYNALVYLPTGIGHELAHLQTLRVHLNKLRSLPSSVCEMRSLRLLDAHFNQLHGLPAAIGQLSSLETLDLSSNFSDMRDLPPSIGDIAGLRELDLSNNQIRALPDCFGRLGRLERLRLDQNPLAVPPPEVVSKGVGAVVEYMAKRWAEAVAEEERRRAGAVETPKASTPREWLTRSVSSLSTYVKEKVVGQDKVAEEDEFLQQQF >Et_3B_031080.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:14457819:14458238:1 gene:Et_3B_031080 transcript:Et_3B_031080.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEGEGKKLTVPRPPSPEKTEVDAEDEQVERFYALVANIRAMRAMFKAGSSCDHNADGEVIQRKKRRQVEHQPPWRPAFAIEDFRDTADDSVVDGLNCKKARSGGVTKEEEGTKEEACKEGEAVEAKEVDDVGQSVV >Et_4A_034471.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3497334:3497973:-1 gene:Et_4A_034471 transcript:Et_4A_034471.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRRSRSRQSGSSRITEEQISDLVSKLQDLLPEARLQSNARVPSARVLQETCNYIRSLHREVDGLSERLSELLATSDMSSAQEAIIRSLLM >Et_3A_026553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:9672323:9682773:1 gene:Et_3A_026553 transcript:Et_3A_026553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVICAEKGNMMMTFGTSSFLTKACEIFMDRSLEIRTSSLMPTPKSEKQRIEEFWKKKKLCQLRILVSVQSL >Et_8A_056648.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:14448702:14449660:1 gene:Et_8A_056648 transcript:Et_8A_056648.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIYIVYYSTWGHVARLAEEIKKGADSVEGVEATIWQVAETLPEEVLGKMHAAPKREEHPVIAGRHLADADGVLFGFPARFGMMAAQMKAFLDSTGGLWGEQALAGKPAGFFFALGTQGGGQEETALTAVTQLAHHGMLFVPVGYTFGAGMFRMDEVICCSPYGAGTFAGADGRSRPPSEAELEMAEHQGKYFAAIAKKLKAGATAVV >Et_5A_041068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:17781020:17783099:1 gene:Et_5A_041068 transcript:Et_5A_041068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MREFVRARARSDKDFRAKAHQTSQNNLYAAQGKWKAPPPAASKSCPDALSNQSRQPRRTYIPVNYIQKAVLLPKPSTGSSRIVDDLASSFARALGVFYPLAGRLAVAEVNDGVSGTGLVVSLCCNNKGAEFVHAVAPEVSVSDITAPLYIPSVLQSFFPLNGLLCGDAILECLPVLAAQVTEHSDGIFVALSLNHAVTDGTTFWHFFNTWSEIHRNGGRSSVLSTPPPVLERWFPDTCQVPITLPFSKLEDAIRRAEFPPVQECFFHFSAESVKNLKAKANDEMAGTATSTISSLQAVLAHLWRAVCRARGLSPQRETTCLQPIGCRRRMKGVPEEYMGSAVALGVAKSTVGHVLDMGLGWTAWQLNQAVASFDEARTREMLTSWYQKPSILYLEPSREPADIIIAGSPRFKPVSARSGPGNKMDGVVTVYEGSTGRGSMALEVRLSPKALAKLSGDKEFMDMLST >Et_9A_062468.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23267705:23272727:-1 gene:Et_9A_062468 transcript:Et_9A_062468.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASINHFMDAEDSSFDSGGRDVRSVSTLRLEFFATQSSGCINRLVAKALDSWGSMILSLQDVHNFPSHGLCNDPHKSPLRTLKLAGCVIPALRGFVVVHPTSVHLKSCLCKGAVVVDAPRSGIKQLVIEHCLTTDTKLQALPMLECLAVVDTALSYKLSSFPHLFQLNLTKCYGIKQRLMSYFNLEWDLKLYLGGDPGVISLVICFAGYDRWFRRWSPTLLLPKLRRVLIADVAPCLESLRVHITPWEEEPCDDFSWQPLEFSHNQLKELVMVGFEGTKRQICFVNFVMKVAAALQYVFLYRKGRVQRRGHWDWDIVAEQYQWDNEEKANILDQITANVSCSETLIQFLVIVLEQKSRRCFGDSGI >Et_10B_002689.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:8203177:8203383:1 gene:Et_10B_002689 transcript:Et_10B_002689.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATMNHTRYGLYFIGYIRLGRPFQFLLEFSFYYFLSINILMTMDFQNNERAEIIIRMQIAFYRVLTI >Et_1A_006285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:278562:283788:-1 gene:Et_1A_006285 transcript:Et_1A_006285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPQVELLQGKSTFDDKDNRLAAAIWSSFVGVFLDPDAAEKYDIPSAGKAAYSSGINIESKDIATSTMSCNMNSNATVLLKCELQQSNGLDPTRTGKNLQGRRDLDLRERLERLREPDSLADLRIRSMAAPGASPKLPDASGRLAQIAIEAKWRCLLFQHEMEAGSEFSWAGLASPVWFGWSTPWGRPSRSPGAACGLRFPPGEKHGERLHILHFEAGQKYEPHSTIFSNTTLRMVA >Et_2B_022649.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29110821:29111984:-1 gene:Et_2B_022649 transcript:Et_2B_022649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARRLLLSDYDGAIESPLPSPPPPSSSTPFRPGVAVVVGILTSVFSITFLLLLYAKHCKRSAAESSGPYGSGGSSGGGGAAGDRRNSGVDRAVVESLPVFRFGALRGQKEGLECAVCLGRFEPTEALRLLPKCRHGFHVECVDTWLDAHSTCPLCRSRVDPEDVLLLPEPPKPSTTGPPDPTDTKAAAAAAVKEAPAAPAPTPVPAGRRISGRHSTGSVRAPCRVGPSSRRSVDGGVAVGCFDGAKVRKDRVLLVEPAAVVAEPDPEAFDRRFGHRILVSTAGGCEDETAPAAKQRWSDLRPSDLMFVRSEMLVTEAGRYSCSAAVNSGNTRSIISSIRSLSELAGVSRLAPVRAGDEPRASGARRWPGSSWWARGPPGGNGPST >Et_1A_005590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11864719:11868169:1 gene:Et_1A_005590 transcript:Et_1A_005590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPHLPPRCPPLGPQLTRRDDSLFTQSCRFPSGDPFFSEPPCWFDDLLADSGKSSNLTPLRRACSDSDAILDALSTFQSPIHPIEEGDLFSGAEEDSPDAVKGGEGGSVVEASCVYGPNSPRQKSRLTTSESSMVNAVLENVPGNPLQYLTMDTSSGVNGNMASGNADASDALSHPDQEKSFKRRSGQRSRVRKLQYIAELERTVDSLQGMGADLAVRVASLFQLRNALSMENKQLRLQISSLQHAKLIKDGQTQALKNEAERLKQMSARHRRSRSVTSCYDPSSFSADASAVNWQMLDMAKLSLNGSPVPPRGGYGL >Et_8B_059656.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:20286855:20290050:1 gene:Et_8B_059656 transcript:Et_8B_059656.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIEREEWALTPLAYPVLSAAALAAVLLLPYFSTRTATHATRSSSPFDVGTAPFLRYRRAFLTLFCVASVVEGIHSMFGEDEFARCGLGREQMAARLAAAAAAAFFLGGFSGVISDKMGPRRTCIFYWVLQFAVSAMKSFSGLRCAWINNFILALTSSVFSFCFETLIVVEHEKQDQKQDLLFDTFWLMTFFESVSLVGSQGITNLLVNEDGNGFLLPYAFAASLSIAGIMYIRNASSTTQHASAIGSYQKSFFAHVLRDKRVLILVFAQASIHFAVSAFWFLWAPTIVADGRDAQLSLIYPCVLASRMLASAGFPWFYGAAAPFQNEDSLTIAYISAGLALSIAAYDYQEIGVLVILFCIFHACVGFILPSLARLRTMYLPNELRGGMMSFSLSLANAATFVFLLQGAYHRNVANSTFLGLASCGLLGAGGCIHMLRRWRKHTRQSIRSL >Et_5A_042286.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:8335235:8338624:1 gene:Et_5A_042286 transcript:Et_5A_042286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVHYAVAVVALALLLLRAATAVDPTCDTTTYTANSTFQANLDRLAVVLQVNASASPAGFANATVGVAPEQANGLALCRGDTNASTCNSCVQAAFQDAQQACPLDKGATIFRDVCNLQFADRQLLNFLAPDQWIIQELDPSFDTVPGSVNASDAWFNAAVTGIFTAMINKAVAATNSTRKYFSTAEMAFNPKIYGLAQCAPVLTAEQCRSCLGYIQTETMAQYMDGRPPSNIGAVVWCLLTYSVLSPVYNGRAMLLLAAPPEPPPVATLPKSEASRRKGIAAGVSAGIAGSVVLMLILSIFFYLRFRQKIKATKKDHRPKKIGNPQCTVFDLVTLQEATENFSEENKLGEGGFGTVYKGTLSDGEEVAVKTLSGRTGHALDQLHNEIQVLAKLQHKNLVRLLGYCSHKNETFLVYEYIKNGSLDSFLFDKSTGNALHWEQQYNIILGIAKGILYLHEDSSTRIIHRDLKANNILLDDDMEPKIADFGLARLMGEGHTRTETARVVGTFGYMPPEYAYHGLVSPKVDIFGFGVLVLEIVMRRSNCGSDDYSGVNLLSDVWDHWRKGSISQMLDQSLDGYGRSQALRCIHVGLLCVQVDPDDRPDISAIVFMLTRDGMELQLPEEPAFFFAGGSPSASRSDGQSSLYDRSSLILEQGISVNGLTVTEPYPR >Et_2A_017726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5582442:5583526:1 gene:Et_2A_017726 transcript:Et_2A_017726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCGDETGLKKGTWTPDEDHKLMNYIQNHGHGSWRALPELAAIGKHLPGRTDNEIKNFWNAHLRKKLIQMDIDPMTHRPRTDFFAAPSQLIMLANLRQLSELQPWDGHTTGPQIEAVQADNLQFMQSLLESAASITPSPTTISSLSSLSDLEQISLLFPQHIFSSTRPARQVPHYQLPGTFFDQPVGNVNLSSNNKVISSEQCNVEGDNSRKKTMLLPENSLPPLTGTSASNPNAVSASEYDGNSTSLPS >Et_4A_035032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8927669:8929860:-1 gene:Et_4A_035032 transcript:Et_4A_035032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGAPRRRGRLSIRLLVLTLAVLLCLSVRSGAEVITLTEETFSDKIKEKDTIWFVQFYVPWCKHCKNLGTLWEDLGKVMEGEDEIEIGQVDCGTSKPVCSKVDIHSYPTFKVFYEGEEVAKYKDHLFYEEVADSIVMDWILLGPRDVESLKNFVKNEAEKAVEAKLIESEL >Et_3A_026034.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3727410:3729236:1 gene:Et_3A_026034 transcript:Et_3A_026034.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARSCLSMLLVAVVLVLAAPLALAGDPDYLQDLCVADVKSEKKEGKFGAAKTSGFYMCRPAHHKGEFFCFLTRHTKQSTLSALLQPTTYHVPFLLPLLPWVTNLRASVPSDHAIDQSRAKCTTASRHLSNDDTSPSHCAEVKVNGFQCKANATADDFFTNILAKPGNITSPAGSVVTGANVEKVPGLNTQGVSLARIDYAPGGLNPPHTHPRATEVIFVLYGKLDVGFITTANKLVAKTVAAGEIFAFPRGLVHFQRNAGEEPAAVVSAFNSQLPGTQSIAMTLFGASPEVPDDVLAKAFQIGAEEVDKIKAKFAPKKS >Et_1B_014314.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:5849135:5849446:1 gene:Et_1B_014314 transcript:Et_1B_014314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Et_10B_002449.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:6478151:6480130:-1 gene:Et_10B_002449 transcript:Et_10B_002449.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYNQVSEFCNVDEIRLTARVDVEQLWDEWEIQLLVIVSFALQVFLLLFSGIRKRTTSSLLRGSVWLAYVVADYVAIFVLGHMTLHMNGPRHGLVLFWAPFMLLHLGGQETITAFSMEDNMLWKRHLLSLVTQAGLAAYVVGKQWQGDNKQLLASMVLMFVSGTLKYAGRTSALMHAAKQITPGGGISLFAEAWSNQHGHITKWTWEETKSYRYLVWYASSTVSYCLAFLMDKTPLSPRPENCPFPERFLSDDQRVHISYKLPELQLRLVYDYCYTKIGANIGSHDRLNSCFSQLVTLGSTVASLVLFSQADLLNYSTTDILVSYILLCGAVTLEMVSIFISISSVGRYFMAAQHDHCWGREADMIFPIIRSVHPESRPQWSQKLAQYNLIGGCIKAKR >Et_9A_062275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21471397:21474789:1 gene:Et_9A_062275 transcript:Et_9A_062275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSTVFPLEGKACMSPVRRSSEGSGSERVRIGDSSSLKHERALRRMCFGTRGTANSAQCVLTSDASPDTLVVRTSFRRNYADPNEVAAVILGGGTGTQLFPLTSTRATPAVPIGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHRTYLGGGINFTDGSVEVLAATQMPGEAAGWFQGTADAVRKFIWVLEDYYKHKSIEHILILSGDQLYRMDYMELVQKHVDDNADITLCCAPVGESRASDYGLVKFDSSGRVIQFSEKPKGADLEAMKVDTSFLNFAIDDTTKYPYIASMGVYVFKRDVLLNLLKSRYSELHDFGSEILPRALHEHNVQAYVFTDYWEDIGTIRSFFDANMALCEQPPKFQFYDPKTPFFTSPRYLPPTKSDKCRIKDSIISHGCFLRECTIEHSIVGVRSRLNSGCELKNTMMMGADMYETEDEIARLMSDGKVPIGVGENTKISNCIIDMNARVGRNVSITNSEGVQEADRPEEGYYIRSGIVVILKNATIKDGTVV >Et_5B_045084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:9376225:9388081:-1 gene:Et_5B_045084 transcript:Et_5B_045084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARSTASAIAIGYPDKNLHDLLDQFMLIPSIEAPSCVQHDRLNSDPRSKRHHHPPLRCSSLLCLTAVAAQLIEHEDDRGAAHVPELAQHVPACRQLPHVQPEQPVDVVQDRAPAGVDRVEEVIPFPLGRIDNNAERLERVGQAAFDGAAQQPGHLAGDAEVEATARDLHGDRVLSFWNGYLRRKGHFEQGVFDGNDRVGADHDGAGAVAEDAVAEDGVEGAALILGTIEGDERELGARHEDPRAAVVLGELLGELQRPPAAGAAVQAQYRSVHRGAEAEQRGQAEVGARGAEAGVLKTRWVMSAAGRPHSAMAFDAARAASSGTAAEARSTRASRDGNIRSAKSGCAARSSSSRCMYRFLMPDFLPETTTVSTVSPGPNAIITPHSRSSSSPFSMRRRSSSRMKITVTPNMFPYSPRTRRLAAIFSGLSLRTASTLSRIADEPGCTAQNMSFHSEAAEPTRPSGPSASARHRSTLSPTSTGTSLVAMLPPYPPLVRCIVMASSESGTIACVAAAISNSGRSPPGAVRGSAPTTTAPAPSPKRPWLTSVWKHPSSGPENIRTVSSAQTTSTRAPWVFSARSLARRSAAAPAKQPLRYSMARRTVGRRPRSGTSRRSTPGMCAPELVVTTRCVMSDAGRPHSAMAFRAADAASSGTADLTMSRRASRDGEAWSRNSGCASRSSSVAPLHAELLAWDIRTNKMSRCCCPARGLQGFLKYVRACRYMAGWQKYKIHVTKRNDRACPGQACLQTLLNLSMRPVRCSLLVTRK >Et_8A_057619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5252432:5253976:-1 gene:Et_8A_057619 transcript:Et_8A_057619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHSATLCGVFVAVLCLAPTLAQAQVLFQGFNWESWKKQGGWYNFLREHVEDIASTGVTHVWLPPPSHSVSPQGYMPGRLYDLDASKYGTRAELRSLIESFHDKNIECLADVVINHRCADYKDARGIYCLFEGGTRDARLDWGPDEVCSDDAQYGNGRGHRDTGADFGAAPDVDHLSARVQRELSDWLNWLKRDLGFDGWRLDFAKGYSPAAARVYVQNTSPSLVVGEVWSSLRYNGDGKPDANQDDDRRELVDWVRGVGAPAAAFDFTTKGVLQVAVQGELWRMRDGNGKAPGLLGWMPEKAVTFVDNHDTGSTQSLWPFPSDKVMQGYAYILTHPGIPCIFYDHVFDWNLKQEITILAEIRSRNGIHPGSTLEILKAESDVYVVMIGGTVITKIGPRYDVGSLIPSDFHAVAHGNNYCVWEKSGLRAPAGRRHY >Et_3B_028619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17378593:17379643:-1 gene:Et_3B_028619 transcript:Et_3B_028619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIFSRSYRVDNQSLFGTTRALRWISSYFSRMLWRPQEGDEVVLIMGLWIKLLVEFNLLTNLPLISLCASIVEVALLILLSRRPRRPRQWQPWVLLIVYSVTATYQSFRVCTGMPYSRDTMIQDITAVVAMLLLGLRPRRIASLTSTLSGRKLLSLAKVISAVWLLSGLVLVMVPSIIMPSLTAHYIYPPSISFTIVSTKSAHSPFCRWTDAIMHISFLLLLAFPLFPLYLTVSTRTCCYWPVILDTVNAAANWQLADPSSHCASLLSSVRFHDLLAHGYDYYPGPEGSTDNMVLAATNVFYVLAQCQGSLYIMASILGVFSFFPRRSLVRQSKLCGTQ >Et_2A_017786.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6215836:6222596:1 gene:Et_2A_017786 transcript:Et_2A_017786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHFSAAFMSQCSLSYRGPMTLYLGSKCDGAMVATTTSLSLPPVDLALMSSSVRRITLRYTPRNPMSVLLTSGRLFTPTISPPSQYTAACGNQCPAEITTTSMASRSAVHGSVSASRNTSSELPLACTRNGDQYVDTLTPCGAGNAQRQNLRPRLSSYLCIVSGRATVERKQKRDSAWFRAVESPTNARRLPRTRRRNSAGSSAERLHRAGCQRQCR >Et_7B_053576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1078465:1079033:1 gene:Et_7B_053576 transcript:Et_7B_053576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFEGQEFLSLGIASQGSAFHPVKGQDGIKSVPIPPVHAPGKASMYYRREFKTMHCVCRLCLIVPYQMLIVEVAISFAGRGNSLLIRNEGFDALALSMRPEPKRRESSTADMMPQAQDAATMVLRAPTSITVEPNQVVLSGAQNNVPVPHH >Et_9A_063042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:952857:961938:1 gene:Et_9A_063042 transcript:Et_9A_063042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKISLKLLVETKSKKVLFAEAGKEFVDFVFSLLTLPIGAVAKLVSAGTMHGSVGRLYQSVDNISASYLLPGADKSELLQPGTLHPDARELLLLPQAAGAGDAADEAPPPLPKFKLFTCAGQCVTVTMDRGAACPQCKQAMQTEMTKLSTKLPCHPDQENCICHGPFEMIQILSLRLTKTPTNSGPIQLYGYMAARDDMDGRLNYVFNHTRDDLVIVQQGSLLEMTGPRRGIMMLADLLFEFDMRIKTGDKEEDDIQLIDGVIHNSEPMSPCPTTIRISGDYSGAVDMSYSLLESAVEAVIEVVVSEVQKDFDLSLSSIVSIRKKRREVELFHGKVGEMGVKRSVVAVPWRSTMYLKFKIGQEGPGSDAVPSMSNYWEEGDWETARVALTCMDALIDRSRLIWVMKDMYRNNLMVADPIWHSLILDSSSHRDGAIYQRDWEELYDMDMSDRNESNLAKTPVSSTSVQLYGYMAARDDMDGKLNYVFNHSRDDPLIVQQGSLIEMTGPKRGIVLISNVLFEFDMRIKTGEKEEDDIQLIDGVILRNVAMSTCPVSHRISGNCGGAVDMTLAFVEFGVEAVVEVLISEVQSAFDLSISFFFSEWELEEFQLFHGTVGEVGTKRFVLAVLMATMMHLKFKVGQKGSDSDAVHDCPFNAKVHGCTTQEIKLEEACISVKAMFTEMAFVLPSAAAAAGEEESGGYVKGLVTYMVTDELEVTPMSAISSITLINKFSASKDDVELAEKHVSVGMEEGLALLKAALRSDTVLSDVFLGRN >Et_8A_058323.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:497803:498837:-1 gene:Et_8A_058323 transcript:Et_8A_058323.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARPLRRPATSRKEPPPLFSFGVITDIQYADIPDGRSFLGVPRYYRHSIAVLRRAVQSWNAHGGISFCLNLGDIVDGFCPKDRSLAAVQAVVREFDGFRGGPVYHMLGNHCLYNLPRSDLVSALRMPATCSPERAYYDFSPAPGHRFVVLDAYDFSAVGWPQGHPVSAAARRFLDARNPNDDKNSPSGLEGADRRFVMFNGGVGEAQLRWLDAALRRAARRRERVVVCSHLPVHPGAVSPTGLMWNYEEVRDVIHAAGAGGCVVACLAGHFHTGGYAVDGRGVHHRTLEAALECPPGTDAFGYVEVYPDRLRLVGSGRMKSTEMPLLLLNAPSSSSPSSSHLF >Et_4A_032815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14196740:14200615:1 gene:Et_4A_032815 transcript:Et_4A_032815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAARLNLDSVTPRRSARLKNIHVIYDEDSDRDSLTLKRVKVEVTDPEEILSPSTSAVNLPSVDDKDDEQAFHNISLKDLRARCKTKNRKASKVTSEGTDLGNQTESSNIEDEEAKEELDLDKPLIALKQKRQRTSPSKANKKMDVLPLSRCDVKVEDTSSERNQTPGEISPLEAVMDDSVVKKLDRRPTDLEKSTIAVDCTKEIVLEENCCTEAEDPAGPLVNCENADILDINSEEAGTTCCVTPSCSDWNSSGYSSVESQQVSREDDRCEPQPCIMNQLTKSAHVSDHSCELINSTEVCNFDGVSQKETDVVSSFDLIDEASNHQETPEGITNSDMDKYSMNGFVVCSVNQSCDDYICDDEYWNTGVVERNEPEAVNILEELSPIDESNMDIMSPLVSIQSDLCGSVDMKCTSLEAVVQMQTDSQLDTTVGCNVRPKQILEDIEIKHATADYTFIFDKTRDLVPPANFVTQDGQLESIVYDALHNHIQKTSENISCVGLPDTDVQSPDFTDNCPGDAISPPKYGEWSMKNMNKLNSTTDYGVCKSISNERSGEVQAQCFEASAPLGIQIAEETQEVPGQAPNSSANSLETDNQIVKSDIFIGEESSEEHAPKKLLSKRKIMSPSSQEKLCNALTGIDLCGVEQLKSNLSVEDHEENRVSLPQPALNREQSGFNTNRRLRGRTSVLPTSKGFPKSGGTPTHQQTTCSCKRNSPVVLDTEKAVQFSQRQMQDIENIAAKLIRSLNHMKSIVDETLSSEAYSVVPNFNTAESCHMFDILQHFHAQIRAASEDASEVEKTTRKWLAIMNKDCNRFCKILTLAKKDNVSHDEAPRKQRKIMFADEAGGKLCHVKVFKDKHTSLSECQSD >Et_9B_064474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15474505:15481240:-1 gene:Et_9B_064474 transcript:Et_9B_064474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVGRGGEHRERTSCVAMTPNWSECTSNSCRELSSLENGECKSAIVGTVPSYTSCSGLPTANTMRSLPAQKKDGNVYKRRKMDKDPNTLAAYEEGKETMIQSCTTSMDHSSLLLPVVPSGKMILSSTAGITDRILDCGETAGVSAEPGSDMNDRCLVSSTTPSFMTPEKKDAAECSSSNTCPTEPIAELISARDVTISILKEDLFITESRTRMTKEESTDNVANPLLSCNTCGSEEYLLKMLICDSCEAAFHLSCCNPCIKELPSDDWFCKTCLLKKPKGVYGRLSEGKVKPSRNTNQRPHGMSHIEYMLKDTEQYVSGARIGRDFQADVPEWSGPTSSTDGHFDQPSELDPAELIQSNSWGIGNQNRDLIGNWVQCRETVKFGDSDKVCGKWRRVPLYVVQSDDWDCSCCLLWDPARADCAVPQELKTSEVLKQLKYVNMLKNEATK >Et_10B_002828.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:12054307:12055914:1 gene:Et_10B_002828 transcript:Et_10B_002828.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSVATVVFLSLLSLPILVTLLRPKSTPSSKKRRPPGPWNLPLIGSLLHIIRSRSQPPVALRDLANKYGPVMFLRMGQHDTVVISSAAAAQEVLQEKDVIFSSRPSLVSSEIFCYGNINIGFAPYGPYWRTLRKLCTVELLSGKMVRLLAPVRNEETLSLARKIHAASQCGAPVNLATLLVSCTNAITAKAAFGQVCSGELRDQFLSAIDVGLKISGGFSFGDLFPSLWFVDVLTGVRRKMWRARWQLDAVFEKIIAQCEAQRGDDLVSVLLRIRDEGELEFPIGTDNIKAIILDMFTGGTETTSSSAEWLMSELMRNPEVMAKAQAEVRRVFDNKKEQDHESLLDELPYIKMVIKESMRLNPVVPMLIPHLCRETCDIGGYQVVKGTRIMINAWAMARNPDYWHDAEKFLPERFEDSKIDYKGSRLEYLPFGAGRRRCPGDTFGLAVLELIVARLLYYFDWKLPNGIRPDEVNMDMFVGATTRRKNQLHLLASPYNVVPMQN >Et_2A_017336.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33279936:33291968:-1 gene:Et_2A_017336 transcript:Et_2A_017336.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGTVWTASAHIITAVIGSGVLSLAWSTAQLGWIVGPVTLILFSVITYYTSSLLADCYRTGDQVSGKRNYTYMDAVASYLGGWQVWSCGVFQYVNLVGTAVGYTITASISAAAVQKSNCFHKNGHAADCGVYDTMYMVVFGIVQIFFSQVPNFHDLSWLSILAAVMSFSYSSIAVGLSLARTILGPRGRTTLTGTEVGVDVDSAQKIWLACQALGNIAFAYSYSMILIEIQDTVKSPPAENKTMKKATLVGVTTTTAFYMLSGLLGYSAFGNAAPGNILTGFGFYEPYWLIDFANVCIVVHLVGAYQVFTQPIFAAVESWAAARWPGAKFLTREHQVAAGKFSFNVFRLTWRTAFVMVSTVLAILMPFFNDILGFLGAVGFWPLTVYYPVEMYIRQRQIKKYTTRWVALQVLSFLCFLVSLAAAVGSIEGVTESLKHYPPFGGHGDVDDDGKEKRTGTVWTATAHIITAVIGSGVLSLAWAMAQLGWVVGPLILLLFAAITYYMCGLLADCYRVGDPVTGKRNYTYSEAVEAYLGGSYVWFCCLCQYVNMFGTGIGYTITASVSAAAILKSNCFHWHGHDADCTQNTSAYIISFGVVQMIFSQLPNFHELWWLSVVAAVMSFSYATIAVGLALVQTISGPTGKTTLTGTVIGVDVDASQKIWLTFQALGNIAFAYSYTIILIEIQDTLKAPPAENKTMRQATVMGVATTTSFYMLCGCLGYSALGNSAPGNILTGFYEPYWLVDFANVCIVLHLVGGFQVFLQPLFAAVEAAVAARYPSTRDNGIGGINVFRGGGVFRLVWRTVFVAVITLAAILMPFFNSILGILGSIAFWPLTVFFPVEMYIRQRQVPRFSTKWAALQSLSFVCFLVTAASCAASVQGVLDSLKTYVPFKTRS >Et_4B_039505.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:19667755:19669059:1 gene:Et_4B_039505 transcript:Et_4B_039505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSYDIFSLLENKFLFGTRGGCPSDAGTPAKEFLGGGRVRVLSIDGCGAAAEDVLLAAAALARLEAGLRKQAGDPDARVAEFFDVAAGAGAGGVLAAMLFLRGADGRPRYSAEEALAFVTENVGRKEDWAGGRRTGRWANLFRSGGGDRTLRRVFGDATLRDTVAPLLVPCYDLATAAPFMFSRADAVESDSYDFRLRDVCLATCAAGGAAVRSVDGVTAIAAASAGVAAMGNPTAAAITHVLHNKQEFPLAAGVDDILVLSIGGGASSSAATASGGSNTPMPTRSPSPRELARVTAESVADTVDESVAMAFGHACASNYVRIQAGKAPTPVHADTAAAAAGAMLAQRNVESVLFRGRRLSERTNAEKADALVAELVKEQERRRGSPLPNVAIKQVATSSPRLSSATTASSFTTTPRTVSTMPSPASCNYGR >Et_3B_030128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30717240:30717581:-1 gene:Et_3B_030128 transcript:Et_3B_030128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVPTGEISSSEIEFLLPAPTESSPSPAWLEKEGQSRSTAEELKDGTVSESRRRREACRSSAASGGAPRISRCRNSSSSRAIAACSAASARGSGAPTRQAAAAR >Et_2B_019652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:11867077:11870548:1 gene:Et_2B_019652 transcript:Et_2B_019652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPEGGGSKKPKVAAAAPAVETAGDDVLGEILLRLPDSASLARAALACKRWRRVASDPALLRRFHSLHEPPLLGFLMMGPPPRPEPAGYKGPDHRFLPASSRNPHIAAAAKAAIYYHFEDFAARNPRSGALIDRWVLRGCDGGRLLLSYGDFHREELAVYDPIARTAEFLPRPDDHDIQFEFMTFVSRVHHALVTSEADASFRVFVAQFMGGRINGAVFSSRTGEWALLPSLRASDPWNMRAGMRAGRFVYWKSNTCLKRYFDPNPIEQTAVLDTTTMEWRLIEVPFPSKESYCVADIAEHGGLCLVASKEQCLQLWVCGNDGWVIKKKVFLLKEFASLKLLRRDEWMKRVRVLEVKDAYVYMELWSIRKPNSYLLVLNWQTMKLRVLANDTEEKYRGPAFPFFMTWAPPMLSPAKWMFKSDSRSMQTYVWTY >Et_3B_029553.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2609485:2614016:1 gene:Et_3B_029553 transcript:Et_3B_029553.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVVVSAAGGVLQILLRKLGGVITSRYALVCGVSQEIQELKDDLESINTCLRVLAGSDDQHSEETKTWMKQVRELAFDAEDHIDIFWLHQEGHQDRDTNFIMVSLRGIIRPLTKLVDMYRLAKKIQGLNARAVKVRERRLRYNVEAAGGAATLYAHAACPFPDYSDRDCPSALNIDESQLVQSEGATKFIKDVEEDNSGPLKVFSIVGSGGLGKTTLALTIYKRPAVKGIPARAFVTVSRVYNPHVLLPSLLKQLAENASKGPNRFGNEETTEEFLKDIETWDISKLMRKCNTYLQHKRYFIVLDDVWDPEDWARMKAAFPDNGKHSRILITTRTRNVTQSSLSSTHVHEMEPLPREKSRELFLKRVFRKNYCPPALEEISEDILKKCDDLPLAIVSIGGMLARMENKIPAEWQKVYNRMGDVSETSGTVEKMRRILSLSYNDLPYYLKACFLYFSIFPEDYEIKRGPLIRQWVAEGFISGAHDMEKVAGRYLDEFVNRSIVTPTRVSTTGMVKAFKVHDIMLEVITNKSIQENFISLMGNQQYMATGHDKIRRLSIRTCDNGSTREEDMGTNFSHARSLSILHCKDKPLPVIHFARLKLLRVLDLKGCQWLSTQDLKRICKLSLLRYLCLRGTNLKTLPQEVGKLKRLWTLDVRETSITTLPEAITELGRLKHLLGGRYHLHESASRVKCVVADAALKIPPGLKKMKSLRKIAHIDIGSSSCKLEELSELSQVTKLSVTNKEPGGAKWEPFAASWSKLCSSIRQLSIAHLPNGDEKGLEVFISKVQSTPVFLEKLYLWGRLRALPPWILNHRNLVDLSLRESFLGEEPLRRLGELPSLLSLRLYSQSYTGKKLKFIENQFPNLKHLIIDNLPHLKELSFEGGATNLEKLTLAFFKHPTRGISGIKELKNLKEIEFFGGVIVDSLVREVRAEAMAHPNRPRFYIRGQPTEETSPGRVSSNHGPVDVDCNYGGRPIQCILC >Et_1A_009207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33437903:33440777:1 gene:Et_1A_009207 transcript:Et_1A_009207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPVTSSPECQGLRRPKVSASDLSPLFAAQRRHLDHFFDRLDMSQAAAFAQALLDAPGAVFFTGVGKSGIVARKIAQTLASLGFARAGFLAPVDALHGDIGALFPGDVLVLLSKSGASDELLALVPCARAKGAYLISLTSAASGADCPLAAVCDLNVHLPLQGEVCPFGLAPVTSTAIQMVFGDTVVAAIMEARRLSRDQYASNHPAGKIGKTLIFKVKDVMKKQNELPLCREGDMIMDQLTELTSKGCGCLLVVDDGYHLIGTFTDGDLRRTLKASGPAIFNLTVGEMCNRNPRTITAEAMAVEAMEKMESPPSPVQFLPVVDHNNVVCGIITLHGLVSAGL >Et_6B_049954.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:236158:239330:-1 gene:Et_6B_049954 transcript:Et_6B_049954.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVPVFPWNFELWKMPRETENAASTNSVESDEPEDRLEFDDDEVDEEEEVEYEEIEEEVEEYEEVEEVDEEEEEDPEETEVVHEADDDEKTKHAELLALPPHGSEVYIGGISSNVSSEDLKKLCESVGEVVEVRMRGKGDNRLYAFVNFRTKDLALKAIQKLNNKDLKGKKIKVSSSQAKNKLFIGNVPRNWTHDDFKKAVEEVGPGVIKVDLLKVKSLYVKNLPKTVTQEQLKKLFEHLGEITKVVLPPAKAGHENRYGFVHFKERSMIMKALKNTERYELDGHLLDCSIAKPPADKKDDMVPVSKAQAGPLLHTPLGYGLAGAYPQPGNGLASAYGVLPARPAQPMLYGPGAPQGSTMVPMLLPDGHNNLQGSSPCLWLRLRPSSVDVATVAVAVAAVAGPATVEGGSEEMIVVVTVTTAVGADTARIDVSRWSFLGS >Et_4A_032349.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:31297486:31297707:-1 gene:Et_4A_032349 transcript:Et_4A_032349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLEEFRGGSAPPNSSDGAAGSVSWWSGDPEAKRRRRVAGYKAYAVEARVKASLRKGFRWIKDRCTGLVRRW >Et_5A_041933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4405139:4407452:-1 gene:Et_5A_041933 transcript:Et_5A_041933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRLARLAVALLLSSCAAFLGADASVHEYAGERFTEHGNGFVLHGGSEGVYAAGAFIRFEKVVFRRTPESAAAAESEDGNRTATVTAVIFEAGDRDTVGGGRALCCTADMARLGRCTVGALALRRAPPNGWPKLLAAAFPPGGLEASFPDETVAVSRTGMYTLLFVHCDAGGQAVVVAAEGSKTIWKNSRAGYLPGRMAPLLPFYGALSLAFASLAAYWFAQYARHWRHVAPLQGLATLVIALGMAEAATWYFDLAELGESGVRPRGAALWAATAGALRGAASRVLALLVASGHGVVRPVLPAGTGCLGGARVAGLGAAFFVAAEALEVAENVGAVSDRSTASPARRMFLVVPVAALNAVFVYWIFSSLSKTLSKLKARRMTVKLEMYRKFTNALIIGVALSLGWITFEIHFESTDEYNERWRAAWVIPAGWQLISFSLLCAVCIIWAPSHSSMRYAYSDEEGEEYCDLEDTRPLIRPGPLSYVDSWAISVSQDDTKVILRTDSGGVYAVKAGDGDKRV >Et_8A_057207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22372452:22374475:1 gene:Et_8A_057207 transcript:Et_8A_057207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRTKAEKKIAYDKKLCQLLDEYTKVLVAVADNVGSKQLQDIRRGLRGDSVVLMGKNTLIRRCIKVYAEKTGNTDFDELSKLLVVGAPARVGLVAPCDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDGGSVFSPEVLDLTEEDLIEKFATGVSMDPSKFAVAAPVAAADAGGAAAAPKEEEKKDEPAEESDDDMGFSLFD >Et_4A_031980.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:9555919:9557205:1 gene:Et_4A_031980 transcript:Et_4A_031980.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKSGQLDKAYQIFKRMPVKDLVSWNSMIAGAVKSSHLKDAMNLFSRMVNSGLVPDGFSFSSVLSACARAGARQYGAWVHQLMTELGLEMNHILGSALIDMYAKCGKIGVAMEIFSTVKRNNISVWNTMINGLAAHGLGSDVVTLFCKMKTEGLVPDRVTFVALLTTCSHCGMVEEARQYFKAMTTDYSITPLVEHYGAMVDTLSRAGLLDEAYNLVRSMNVKPDAVIWRALLSACRRYCQTKLGEVSIEHMACQSSGDYTLLSNIYSSANRWNDSEEVWKERKQKKVRKNKGLSWVELGGITHEFKAGDRSHPDTEDIYQLLHGLSKRAKVEGYAPLTEIVTKDVSEEEREENLTFHSEKLAVAYSVLKTGPGTEIMVSKNLQTCSDCHEWMKIISKVLCRVIIMRDRVRFHRFESGCCSCKDYW >Et_2B_021020.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25731610:25741175:1 gene:Et_2B_021020 transcript:Et_2B_021020.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAHGSGWSELPVDVLATIFDGLTIRDLVRARAVCRWWNAASSHVRGLHRVLSRHQTPCLLYKSASSSDAGAATLYSITDRRSYAVPFTGTTTIPGDFWLGASHGWLVTADERAKLRLVNPVTGQRIESLPPVFTIEQVRLVHDDDASGAVVADTYQVYPYDWSLRVDEFINPPFTIDARRLAKYLYLRAFLSSDPSDGDSDCVVVLLHRPDNQLSFARPGDERWTWIRAPPGNTEYCDCAFYGDGRTLYAMRHDGAIHAFDLHGRPALDRKVILRPQVVVKKKTTNYLLHAPWLCSWLQVWRTMEATDPDAVAAADEAYEDVWKTESIKVYQVDLAAQTLVEIKDLGDHALFVGCNYSFSLTANDCPGILPNHVYYTDNEEYYALYMPQCPRDIGVYNVGDGSFHDIQPSCPHTRACGWSELPADVLATVFEGLAIRDLVRAGAVCRWWNASSSYVQGLHRVLSSHRTPCLLYKSTSSSNSGAATLYSITDRRSYPVPFTGTTTIPGDFWLGASHGWLVTADERAKIRLVNPVTGQRINSLPPVSGIEQVRLVHDADSGDVVSDRYLVYPYDCSLQVRALANPAFTVDAGELADYLYLRALISSDPSDDGGDCVIVLIHRPHFQICYARPGDAHWTWIRAPPDNTEYCDCAFDGGTLYAMRHDGAIHAFDLHGRRDPVEREVVLRPQVVVRYTSTNYLLHAPWLRCWLQVWRSMECTDPAAETRPNRRSVRWTTESIKVYRGDLAAQSLVEIKDLGDHALFVGCNYSFSLSAKDCPSILPNHVYYTDNEGEYAFFTPQCPRDIGVYNVGDGSFHDVEPPCPWSNWPLPAWITPSLA >Et_1B_011482.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22831133:22832715:1 gene:Et_1B_011482 transcript:Et_1B_011482.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTATTATAPGLVIAATDPIRSFLSSSAASEDLAADLRGLASALSTEPAVPYRSLRAIWCGASPDARPPLRLLLQGTEFLLPSPKPREKSAELKARLEKLREMQERREYAELVKDVVPPRKDDSPEPFSSYKDQIGFGLHVVLIMFTGYLVGFYAFRALFSNSPVLNAAGGILGLIGGMLVETVLFIIRSSSKELASSVPRSKKVQ >Et_2B_019223.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18374039:18374461:1 gene:Et_2B_019223 transcript:Et_2B_019223.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPEHHQSSSFMAPRMSFSSDFAVEPPPPPSARGAAPGGGHPAAGGHSMIAADQLFSKGRLLPLREAPHGAGGRPVTLRDELRADERHGRVPRAPNIRWKELLGLKKAPKKPPVVDPAAGTSADAHMVS >Et_7B_055726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2777839:2779330:-1 gene:Et_7B_055726 transcript:Et_7B_055726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIQKKRHGAGAGGGGGARLQGGIPFEKSKGQHILRNPALVDSIVTKAGLKPTDTVLEIGPGTGNLTKRLLEAGVKAVVAVELDPRMVLELNRRFQGHPLSSRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPMFRCAVIMFQREFAMRLVAQPGDSLYCRLSVNVQLLARVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKEWDGLVRICFNRKNKTLGSLFKQKRVLELLEKNYKTMQSLQLTQDAEMGEEKMSADDVALLANMVEDLSMETGEEKEDDEMEMDDTDMGGDGRTSFKEKIMGILQQGDFAEKRGSKLSQVDFLYLLSLFNKAGIHFS >Et_10A_000727.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16022816:16026968:-1 gene:Et_10A_000727 transcript:Et_10A_000727.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESRMAQIATTIPVRNVQALAESGAEELTAEAIERYVRPDIDQDAVLVGQGAEVPVIDLSRFLSKESVEEEASKLKFACEEWGFFQLLNHGVPEDIIVNIKRDIQEFFQLPLEVKNAYAQRPGELQGYGQAYVVSNDQKLDWADMFAIMTQPPEARNLKHWPTQPHTFRKSIEEYSSEVANLARAIVTFIGNTLNIDPGLLSDKYAVQALRMNYYPPCNSMPEKVLGFSPHSDGSFLTILLEINSVEGLQIRRHGAWIPVKPRPDALLVNVGDFLEAFYKTVNVNEYFKHHMSNKLDGKRALDHAKAFLI >Et_6B_050084.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5768565:5769986:-1 gene:Et_6B_050084 transcript:Et_6B_050084.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAIIAAAFVASIPVLYCVLFAGAGDKPRSKPLPPGSFGLPVVGQTLSLLRALRANTAEDWLRRRAAAYGPVSRLSFFRRPTAFLVGPAGNKFLFTSAALTTANSEAFSRMVGRRTVRDVAGEHHARVRAMMLQFLSRDAVRRHVGAMDAEVRRHLDARWRCGRAAVAVMPSMKALTFDVMSAVLFGLSGGAARRELSAEFQQLARGIWAVPLDLPFSTFRRCLAASRRGRRAFAAVVDERRARLERGESSPADDVITHMLAGGLPDEEVIDNVVFLMIAAHDTTAALITFLLRHLDANRDAYAKVLQEQVEIARGKTPGDALTWEDLSRMRYTWAAALETLRLVPTAFSILRKAVHDVEHGGYLIPKGWSAMNAMTMTHWDPAVFPDPGAAAAIPPFCFVPFGGGARVFSRFLMPYPSQGLLIDIEAINAINEGQ >Et_1B_012479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32316398:32318146:-1 gene:Et_1B_012479 transcript:Et_1B_012479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRTQLSSLLHESYCWSIHDTMNSDQVYSLSDIQEMCDYKEMAKREHKRADEVRTLVTSFSGVSCTKNLRLSMCTKYSKEYQPPYVDGAHIEFFSQNTTTSKNFRAFLHGEKHEAHGRAMDGLGDIKDFGVDSENQTAGITVPVAEHCSDISAGVVSLTRNASVIRVNASKTPNAKLEN >Et_7A_051536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1996884:1998767:-1 gene:Et_7A_051536 transcript:Et_7A_051536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLDYCFSNDYMVLRPDRAGPVELLHLLFSAKVGRNRAVDCFTSTEIRSFRRRLAIFVNLLLQVILLSFAGPVAALGAAVEFMLNLVDNILHGRTEYPDKSSPRYRSMTGLIDRRVDLDRSIKPTDSRFHAALCVMASKLAYESEAFIRNVVTRHWQMEFVRFYNCWNEFQNAYTAQAFVFCDKPADADLIVVAFRGTSMFDMARWCADLDPSWYKIPRLGRAHAAYTHALGAQRNIGWPKWVEHIKGKPQKVYAYYTIRDTLKDLLEANKNARVLVTGHGSGGALAVLFPAVLAYHKEKAVLDRVAGVYTLGQPRVGDAMLAMFVERNLDRPRKRHFRIAYGDDALPRLPNDSSAVHFLHFGLAVHFDRSYKLKVLRDIPGEAASSSPLHFVTSRINSAWELGRSVHLRFTRGAYFREGWLLLLMRVLALALPGLPFHRVQDYVNAILLGEHIPKDN >Et_1A_007303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33156485:33157614:-1 gene:Et_1A_007303 transcript:Et_1A_007303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRCRAVCRSWRQLTSSDDFLLTHHQRQPSLPLITFHGETSDSGHDFFDTAVNAFDLRGDPAFGKRYPLRFDDYYHRCYFMIHASCDGMLVLSLSNNRFYIYNLTTRQWAALPKQLICGQAAMLYKHSSSGEYRILYWKQRRRRGVTPPVLLHNCLHWVLHLKQENMLLVFYTVLESFRSMSSPATDSLGKWAHVFEMDGMLGISCIRKTHTPVDIWVLEDYRMEFWSSKYQIELPVAELSIGKSKWFQCLTVSEDGDLLVYNGIPNHILFYCDNKGKLLRKFQ >Et_3A_024504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21418778:21422319:1 gene:Et_3A_024504 transcript:Et_3A_024504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLHDLGTVMTAVVPLYVAMILAYVSVRCGVFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNLRFLAADTLQKLIVLAGLAFWSRLPSRGGGGGLAARLDWSITLFSLSTLPNTLVMGIPLLIAMYGPYSGSLMVQVVVLQCIIWYTLLLFLFEYRAARMLIADQFPDTAAAIASLRVDPDVVSLEGGRAETEAEVADDGRLRVTVRRSSASRRSLLMMATPRPSNLTGAEIYSTSSSRRGSCSFNDDHADFFAVVDAGAPPHPTTTPAGAGARGSSFGASDQVVYSVHSSRGPTPRQSNFDEQYSASRLAAVPASHDAKELHMFVWSSSASPVSEVSGLPVFTGGAAVNNGGAKEIRMVVPADTPQDGKEKESNGALAAAECEAFRFIASTKTVEDAEAGEAGPGGLTKLGSSSSTAGLRTKDMDGAADAGGFADAAGSARSHQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLAWSLVAFRWHISMPVIVAKSIAILSDAGLGMAMFSLGLFMALQPRIIACGNLTAFYSMVVRFAAGPAVMAAASFAVGLRGTLLQVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPFTLLYYVLLGIKQ >Et_1A_007051.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30437581:30439683:1 gene:Et_1A_007051 transcript:Et_1A_007051.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATAMLVAAALLVVATMSAGAASAEDCNPERLAPCLPAIIGGLAPPMAACCSNLHAQLGCLCRGRRKLEIELIGIMAKAVAMLVFMAFMVATMSTAESSEKDCHRKQLLVCLPALIHGVAPTPGCCANLRAQQDCFCQYNRDPTFRNYLTGVNGQHTLKSCGLAASYVCPQRAVSRSLL >Et_8B_060486.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:17924290:17924703:1 gene:Et_8B_060486 transcript:Et_8B_060486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFSPNTLPLLLLQLLAAAAVVLAADTLAPSPPSCPSSQCGNISIPYPFGISAGCYRAGFKMMCNETFYPPKLFLDSTGVQVLNISLQDGTMHIDGGIHIQEWTVPLDGSLYAVSGENDVAVLGCRFQIATSTSSD >Et_4A_034106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:374002:375420:-1 gene:Et_4A_034106 transcript:Et_4A_034106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNGVSYVSAAQLVSLSGEPSVAIVDVRDEERSYDGHIAGSHHYASDTFAERMPTLAQATAAKETLVFHCALSKVRGPSCAQMFHDYIKEAKEESGVKNIMVLDRGFNGWELSGRPVCRCKEAPCKADQSGMPVGGSSTMADHSIE >Et_4A_035700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3928843:3929946:-1 gene:Et_4A_035700 transcript:Et_4A_035700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSGILAQLTPARVHRRVTAATLWPAAERKAAADLADDEFEAEFQLFEDGEVEEEEAESPAALSEPGASKPKALSRAGVSVPSASSTNKRRGAAARPGTKKYRGVRYRSSGRWAAEIRDPRQGRRAWLGTYCTPEDAARAYDREARRIRGKSARLNFPLPQHPPPATIDLNLPAVDSDDHDLHGAAGGHETHDMTTMDIDAGAGNVCHAVESTLMRIKELITQSQGPQDERLASVVSELMNGGAIRSEARAAGALLQYAALISECSQRMEQVAALKRDLDRREAQLVARREQLVRLVSLALC >Et_10A_002143.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:2410223:2410783:1 gene:Et_10A_002143 transcript:Et_10A_002143.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPDEILEDIFLRLDEAADLARASAACTSFRRLVSARRFRRLFRSLHRPPILGCLASDNPLFHTVDPPHRSAAASAALAQAADFTFSFINPRNDWQVCDVRDSRVLLSMVYYPPHPFEYLVVCDPFHRRYATIPAIPAHLRPTSRERGLRPMEFEPFLDAVSEKEESIAKKKKKGEEEEKDLPF >Et_7A_051072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14122736:14126913:1 gene:Et_7A_051072 transcript:Et_7A_051072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVMAAPDAPPPAVVFVSAGASHSVALLSGNMLCSWGRGEDGQLGHGDAEDRLVPTVLSGFDAPGITLVICGADHTTAYSEDERQVYSWGWGDFGRLGHGNSSDVFTPQPVKALQGLTIKQIACGDSHCLAVTMDGEVHSWGRNQNGQLGLGTSEDSLLPQKIQAFQGVCVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRNDRPVPEKVSAVGGEKMVLVACGWRHTITISSSGSLYTYGWSKYGQLGHGDFEDHLVPHKVEALKDSTISQISGGWRHTMALTSEGKLYGWGWNKFGQVGVGDNEDHCSPVQVHLPEEQKVSQVACGWRHTLALTEKKNVFSWGRGTSGQLGHGEIVDRNTPMIIDAISPDGPGCKKLESSTAIPFAAKVWVSPSERYALVPDENVPKSGEVPGNGADVNVPENDVKRMRVRS >Et_1A_009259.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:35878659:35879984:-1 gene:Et_1A_009259 transcript:Et_1A_009259.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEACGCGNGDEPVREIPEEITDEILLRLPSRSALARAAAACVDFRALVSSPRFLRRHRTLHPDPGALLGVFTFKLCDHGADRGSAFHPAEPPRPAAAAARAVAAAADFSFGFLPAGGGKDGSGWMVRDQRDGRFLLDRASAAAGDDVLFTELAVCDPLSRRYVLLPPIPNDLDAAVVSPLTVFGGRRRSEPFLAPAAADTDTDADADPSFTVIWTARCPRKVVAFSFSSRDGRWRALPSPDCFVWRRRRSALMCPVDAVWNRRHYAHGRFYWVDCLTNRWLVLDTAAMELSAATIPSPAGYWEEHVAVVEAPDGKVGVFAHDFHPSNGKAKLCYYTIEHDGAAPRWQLQKTIELPWPADHGRPFCVRGAANGCLILEVSDGEPAFMSSHETRDVELFKIDVKSFQLERVCGARCAAGAAGEYCWPYFGFPPSLSLPTV >Et_4B_037905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24686421:24691585:1 gene:Et_4B_037905 transcript:Et_4B_037905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAAASSVDRKIRVLLVEDEAIHRVVARAVLKAVGGVELDEAENGAEAVRRVRERGAGGGAYDLILTDGQMPVMDGHEATRQIRALGVTTPIVGVSSDCLAADVDAFIKAGADDFAPKPLSKEKLDRILAKFGLATRPSTGYVIDVHAADGNALIQAGANDFASKVSDELDMLTNLALVL >Et_6B_049632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7635967:7638310:1 gene:Et_6B_049632 transcript:Et_6B_049632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPEITERLRSKTVLITGATGFIAKLLIEKILRLQPAVKRLYLLVRANDQVSAEKRVRSEILQHQIFQSLQEKYKTQSSSWFWAMVSPVPGDVSLKNLGIGNVDLAEHIAKETDIIIHLAASVNFRERYDTALAINTMGVKHVIEFASSCPKLELVLLVSTAFVNVDKTGILQEKPLHQYRSYDGLSELDISEELAYAEASLKELVRSGASEDVIRNNMKKIGTERACKFGWWNTYTFTKAMGEMLAYEHIARLPIAIVRPSAVISPWKEPLPGWIEGISTLDIWIANYAKGYMKFLVGDVTGPVDIVPADVVVNAMLCTVSRHPQRQLDFIYHVCSSTRNPIKMGEFVRVMYRYFLEKPFVNEEGDVVLAQELNLQPGMVRFYEVMDTHYKMPLQDMLRRGLPTADDQNRYNRLRREYITTMVVSEAYHPVALSTARFDDSNMQYLIATMSEREGELIPCDMKFINIEKYLTEIHIPSVVEFISREAKRARL >Et_2A_016142.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:21416903:21419235:1 gene:Et_2A_016142 transcript:Et_2A_016142.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATATAVLSSCTKRESDIVGAGMTKKTKRGRSPPEEQVEAFFAAAESDLARRFAAKYNYDVVKDAPMDGRYEWVHALDGRERGVALQQLGARVLPNVTLPASAVVPDVVSRRSLDPSIWSTIEDESTNN >Et_2B_019382.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26575344:26575625:-1 gene:Et_2B_019382 transcript:Et_2B_019382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLRARAPGAVALLLATCLVLAATVASARPLKLSSEGDGVGMVESPAGDIQTVVGTTEHDGAGDRGGHNKFMSIDMLGGIKDSGPSPGVGH >Et_1A_006639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25495256:25501911:-1 gene:Et_1A_006639 transcript:Et_1A_006639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGIFVGSKPRIVFMVPAKVPRKMNKIRIKRYEDSPGSSKERKITDVALKWSHDELKQFYKAFHRYGKDWKKISAVVGRKSSDRVEALYNMHRAFLSLPKHEATARGFIALVTGHYNVLDEFTNHRGNDHKVRASVKAKKPLAGNSQSHIVGRRTPRIPVIIAADRAATPENRDTISSTKDGNGVMNSNSANFPTNECSPDGRFLVMETTEATQGQTFLETNRTSDTEICQTQQHLKRRRIEETMSGCRISKVEHETMMVAEEGNKSVGLLDQKQILREFFSADDMLVLDVLQSLLNTPNEISNLKINITPGTLENDSALSCVKDEGHPPLDLSEQEEPEPDKETNAVHAIDITEGSSYSEPAERTRDLMGSTVNISSEMCAYAPREIEPEISMSRRSKMKSEMNRKTKYVSCNGILDNLQASKLLHCLSSELLRRWCTYEWFYSTIDFPWFTNSDLAKYVNHVNLSHVPRLTRSEWITIRSSLGKPRRFSNHFLVTEKESLEDYREKVRKCYAQLSVGLMDYLPSGLALPFSIGQQVVVLHPRSRELCDGKVVMVKPDCCKVQFDNPDLGVELVKDTDCMPANWLDNLPENIRSSSFSCNVQRNVMVTEHIPKLTTSDNQDHTSNDVSISKLPESLHTSNEQLEVDYPVESERRLEKKNLAIYKEIEKHISIITNQTIALVPTIVDDGCCP >Et_4B_036243.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12854274:12856172:1 gene:Et_4B_036243 transcript:Et_4B_036243.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVQGDENSHTIRYFTRRQIECITEDYKTLLGKGAFGKVYQGVMDDESMVAVKRLMHNVTANFHQELTVHIQINHRNVVRLIGYCQEEDELMIVTEYISNGNLSDALHNNNISIPLDIRLRIAMECAEALAYMHSHKNTQVIHGDIKPDNILLDDSLHAKLSDFGVARLIKTDSSTYTDHVIGSRGYMDPLFVRDGRLTVKTDVYSFGVVLLELITRKKATAEPGTGSILYLFTDARTREYRGVRELFDAEIANQSNMKIFDGIAKLAGECLRMERDKRPEMITVAERLRMLSKTLHQGKNTSFLCRRFSIAEMKAATRNFDKSLLVGDSALGRVYHGKLDAGATKVAIKRFNSWLVHYAYEFNAEIQMMSKLRHRHLVSLIGYCVEKDEMLLVYDYIAHGSLHERLKKKDRPLTWKERLNICIDVARGLDYLHTSSEHAIIHGKLKLTNILLGKNLVAMITDVGLSKPGVPIDIRIFWDSHVLNDPEYTRSRPLTVKSDVYSFGIVLFEVLYGRPIKGNVRVENQQNIMSMLLGTKWYRIDQIIDPYLKENVGQQPLDKFSRIAAKCISDQGTHRPSMGDVLSELKDVLRM >Et_7B_054436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:21311122:21317757:-1 gene:Et_7B_054436 transcript:Et_7B_054436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPSPPLADGLPRRQGPKRKAREDAAELSPPPPKRQARESKPSYLPALPPPPRARAAPKPRRKPARKKGQRRSAKPQRKMEASPPLPPPPTPPPRPSLEQEVEAVLSRGAGVHVVPTFAGWFSWKEIHPIEKQTLATFFDGKTEKRTPKTYLGIRNFIMKKFHFNPQVHLESKDLSELSVGEMDARLEVLEFLAYWGLVNFHPFPQDEQECKLVESKNNADAEEKSSRVEKLFQFESVKSYLVPVPNKADIASPAQAVYLLSEPSLAEDVVTSAESSVEYHCNSCSVDCSRKRYHCRTQADFDLCSDCYKEGKFDDGMSQVDFILMDSAEVKGSGGTSWNDQETLLLLEALEIFNGNKWDDIAEHVATKNKAQCMLYLLQVPILDSFLDDDFFNQTSQQIVEQASAENVTKVPEKMEVHDTAEGKQSTSDKISKKANANSEETEMDLSNKNASAKKDTKNSGDYDLVAASIVDELCKSSITDPGNKKSSSDVNVSGEHASNFVINVLRSAFEAVGHFPEKEETHSFTEAGNPVMALAAFLSGLVEHDDAVTSCRTSLRAILDVSPALQLATRHCFVLSDPPSELEIPTFSVRDDFIRTVNDSDKDRSKKVQDAAFTSLEEYQELSQTNGSSVHVPQADAESYSTKDSDNQIDMVDNSDASEKIRAGRNSISHSAGPNDTDRNEARCIASQEASKITTEDSKERNSNTNIASHDYSVRRLQRAACTGISAAAVKAKFLADQEEYHIRQLAALVIQKQLQKVEAKMSFIAEVEHMSMKAREITKAYRNKLLIERNAIIASRRVAAMQSWPNQHWAHGTRLPLGGAANQHLT >Et_2B_019517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:61146:62241:1 gene:Et_2B_019517 transcript:Et_2B_019517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYGCHSCAARAAIVFCPVDAARLCLHCDAAVHGAAALHPRAPLCDSCGAAPAALRCAATAAALCAGCAHRRAPAATTLAGITTYTGCPGPTEMVRLLSVDAPQQPEELDAWLADKLIFHDDDEPDIGIIEKLLLQADHDWAQASSSSSSRQTNPPVVDSAICLLQPPPAGAETMMESALLQSMALQSDTDHLLLDANTTTTNNSDPVSLPEMIIADKPPPQDPTTVTDKRQERDRAKLRYNEKKKNRRFSKQILYASRKERADTRKRVKGRFAKASTSTSHEPSPSLN >Et_5B_043387.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:128870:132674:1 gene:Et_5B_043387 transcript:Et_5B_043387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTYKCCLIFKRRFHSRDAPPPEDVRSLFSVHAGGGPHMGSDGLRRYLDATAGHSEAGALDDAEVELLLDRIRLQGRGAGPRIPRLARPLLALDDFHRFLFSTEINPPMAKPRVYHDMTQPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALERGVRVIELDMWPNSTKDDINILHGRTLTTPVSLLKCLRSIKEYAFVASPYPVIITLEDHLPSDLQEKVAKMVLDVFGSILYYPDTDNLKEFPSPEELKGRVLLSTKPPKEYLETKVGGTMKEGDAEPHLGKGAGDDAAWGKEVPDFQTEIQSAKKHDDDASEHQREDDDDDDEEQKMKPHIAPQYKHLITIRAGKPKGSLADALKSDPDKVRRLSLSEQQLAKAAEDHGTDIVRFTQRNMLRIYPKGTRVTSSNYNPFLGWVHGAQMVAFNMQGYGRSLWLMHGFYKANGGCGYVKKPDFLMQTEPEIFDPRKRQPVKKILKVKVYMGDGWRTDFKQTHFDQYSPPDFYTRVGIAGVPADSVMKKTKAIEDNWVPVWEEEFSFPLTVPEIALLRVEVHEYDMSEKDDFAGQTVLPVSELLPGIRAVPLFDRKGNKLNNVKLLMRFEFE >Et_4B_038218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27242768:27251416:1 gene:Et_4B_038218 transcript:Et_4B_038218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLHPRFPTHPLSAATHHHDATSSGAHLLTQPGHARKRSSFAVVCRAAKVKEAGVSAGAPPPPPQSLAKEAHKYFDHAIVTVRAGDGGHGAVLAMPPAPSADAAKRRGRFDRDKRKSKKPVSLKRNYDGSVALPAGGHGGDVVVYADEAEETLLRFHEKARYCAKRGGNVGAGGGTLSSRMHNGFAGETVRIPVPVGTVVKRKKGAVLADLAHPGDEVLVARGGQGGISLIDVPEHSRRKAMALSPNIMRDVEDKVLTHGQPGEEVSLELILRVVADVGLVGLPNAGKSTLLSAITLARPDIADYPFTTLMPNLGRLGGDPALGALQFSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRVIVHVVDAAADDPVNDYKIVREELRMYNPQYLERPYVVVLNKIDLPKAHDRLSSLVFEISSIGCEEGHDRNASKDNLNGNISEHQVLSEPKAEGSEKELGDYPRPQAVVAASVLKHIGIDEMLKEIRAALRKCFDHKLPEPLSSLAFEISSIGCEESHDRNASKDNLNANISEHQLSYQRLNLKALKRNLGTIRDLKLLLLQAYSYLIDEMLKEIRAALRKCFDHKLPKP >Et_5A_040958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15772432:15778934:1 gene:Et_5A_040958 transcript:Et_5A_040958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCTSSTSSAGHADDGAGKKERKGAAAAGGGRGIVACGKRTDFGYDKDFEARYTLGKLLGHGQFGYTFAAVDRASGERVAVKRIDKNKMVLPVAVEDVKREVKILKALRGHENVVHFYNAFEDENYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVIVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIRPGKQFRDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLKNKPDFRRKPWPNITPSAKDFVQKLLVKDPRARLTAAQALSHDWVREGGKASEIPLDISVLNNMRQFVKYSRFKQFALRALASTLNAEELSDLRDQFNAIDVDKNGTISLEELKQALAKDVPWRLKGPRVMEIIEAIDSNTDGLVDFEEFVAATLHVHQLVEHDTEKWRSLSQAAFDKFDHTGLKGSIDPLLEEADIDKDGKISLDEFRRLLKTASMSARNVQTPRGVRMS >Et_4B_039568.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22256626:22258043:-1 gene:Et_4B_039568 transcript:Et_4B_039568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGKMVDLYVPRKCSATNRIITAKDHASVQINVGHVDENGLYNGQFTTFALSGFVHAQGDADGSLDRLWQKRKAEKLTPNKAPNCIGPMNKEG >Et_3B_028787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19138048:19146984:1 gene:Et_3B_028787 transcript:Et_3B_028787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNMKTLTQALAKASAVIEKTVTTTVQEVTGPRPLQDFELLDQVGSGGPGLAWRIYTARPRDGAPSAPYPVVSVWVLDKRALAEARARAGLSKAAEDAFLDLVRADAARLVRLRHPGVLHVVQALDETKAAMAMATEPVFASVSNTLGCFDNVGKVPKELKGMEMGLLEIKHGLLQVAETLDFLHNNAHLAHRAISPETVFITSSGSWKLGGFGFALSVDQATGGLTSTQQFHYSDYDVEDTALPLQPSLNYTAPELVRSGDSKVGSSCDMFSFGCLAYHLIARRPLLDCHNNVKMYMNALTYLTSEAFSNIPADLVVDLQRMLSVDALSRPSAMAFTGSSFFRDDTRLRALRFLDHLLERDNMQKTEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNMVMQPMILPMVLTIAESQDKGDFELSTLPALVPVFTSASGETLLLLVKHADLIINKAAQEHLISHVLPMLVRAYDDTDPRLQEEVLRRTVPLSRQLDMKLLKQAVLPRVHALALKTTVAAVRVNALRCFGDLVPSLDKEGILQILETIRRCTTVDHSAPTLMCTLGVANAIYKQNGVEFAAEYVIPLIFPLLIAHQLNVQQFAKYMLFVKEVTSKIEEKRGVTVTENGNTEVKASHSVANGIHSAPTIVQIKQPSADPFDFSTQMTQSTTLPFDFSTQSKQPSVVSQATSAIIPPVQPLPSVKSLAPTSGSQTSGSCVPVDFEWPPRSSSSSDFNAPPVNKESDSGMLSDGLDGIDPFANWPPKPSSSAIELPPSTNQSFPGSNTGNMGLGSSNSLGQMKNNQMSWSAKPNTSNLMGLNSTGSFLNQGNSALGFGNPIGGLGTGFSNPSSSSAGQSMMQPKSDFGSLSLSSTHGPPKLAPPPAVGRGRGRNQGQSALSRASRSPHSNSSSGQQPILDLL >Et_6A_046477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:15789633:15794570:1 gene:Et_6A_046477 transcript:Et_6A_046477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVTGAMSTLLPKLAVLLTGEYNLQRNLRSDIRFLTAELESMQAALESVSEAPVTDKLVKIWARDVRELSYDLEDSIDKFMVHIDNDPPREIDGPKGFIKRIAMDTKAIKELVNEVAERRARYNINSLYSVRTGPRTVDPRLVGNYEETKNLVGISGPQNELVELLMECEATMDNCLKVISIVGAGGLGKTTVANAVYHQVRGEFDCHAFVSVSHNPDLNRILSSILRQFSDESYGNTETWPAEEIINKIRIFLADKRYIIILDDIWDNLAWAHIRCALVENKRSSKIITTTRIHDVAVSCCAEVDGAIYKLKHLSHDDSKKLFYKRIFGSDEDDCHSGLKEMSEKILRKCGGVPLAINTIASLLANNPRNIHQWHDVHNSIGSGLEKSQSVETMRNILSISYYGLPSHLKACLLYLSTYPEDYNILRDQLIRRWISEGFIQGDDVVTSYELGDKYFNELINRSLIEPDHIDPNGRITACRVHDLVLDLITSLSYEENFGTVLFDQQSTYLPKKIRRLSLQSSADGHPTLNAENYSHLRSLTVFPRATSLLPSLSTFHLLRVLDFEGCHDLKGYQIDSVVNLFHLRCLILKDTNIANLPKEIGKLGCLQTLDLRNTSVTELPSTIVQLRQLLRLYIDRSVMLPVGCGGMKSLQALSHVCICKSLHFKELGQLTELRILRVSIGEWNTSYEKSLIDSLLNLKKLHELHIIGFFISRLPRWIESSFLFLGSLDIKIGKLQQVDFQNLGALSYLYDLCITVREIVSERLVVGIDHREFQSLVKLSFSSHAMRLIFAQQAMPKLEYLELAFRIQETKDYDIGLENLSSLKHARIRIDCWGSKVNEVELVDAAMWNAACANRNHPKLEVFRHFENKMIRDDDKLQVQDVTEETEEEMIIEKMGPWGGSRGGSWDIKVAPQRLESVTICSGTIIDALAFSYWDRNGRRHATQFWGGTGGNAQTIQLGTSEFLLEVSGTVGPFFSIPDAITSLKFVTNLRSYGPFGLQKGTAFCIQVKKNNSIVGFFGRSGVYLQAIGVYICPI >Et_2A_017432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34259255:34263128:-1 gene:Et_2A_017432 transcript:Et_2A_017432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVAARASGAAAVSTIASFHSHHGAPPSLAVAGTSSSSVGARRYHHGACCFAAKPTQVAADLVDQDGPEPSAASMQEEATPRRKARSRRSRKAKKSAAAMLEEGEEGKDRTAAEEEEEEKKKKEAEESSRPLSSLDDVIVNPVGLGRRSRQVFDEVWRKFSRLGQIASTSSTALAEEEQAVLIRGGPMCEFTVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDPEVIDMLPRSVDIVVGDVGDPSTVKAAVSGCSKVIYCATARSTITGDLNRVDNQGVRNASKAFQDYYNELAQLRAGKSSKSKLLIAKFKSAKSLNGWEMRQGSYFPNTFASSFDEGVDASFEFSENRQAVFSGFVFTRGGYVEISKRLSLPLGSTLDRYDGLLLSVGGNGRSYVVILETGPLADTSQSKKYFARMSTKVGFCRVRVPFSAFRPVNPQDPPLDPFLVHTLTIRFEPKRQRPGDGSQNASDPRNFELILEYIKALPTGQETDFILVSCAGSGIEPNRREQVLKAKKAGEDALRRSGLGYTIIRPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEYVADQGTELYELVAHLPDKANNYLTPALSVLEKNT >Et_7B_055112.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7434053:7437094:1 gene:Et_7B_055112 transcript:Et_7B_055112.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLFLLCLGATGAAAAAPNARPCAPPAANYPFCDESLPVAARARSLVSLLTLDEKIAQLSNTAGGVPRLGIPPYQWWSESLHGLADNGPGVNFSSGPVRAATSFPQVILTTSAFNRSLWRAVAEAVAAEARGMHNAGQAGLTYWAPNINIFRDPRWGRGQETSGEDPAVAAAYSIEYVKGFQGEYGNSGRIRLSACCKHYTAYDLEKWEGFNRYTFNAKVTEQDLEDTYQPPFKSCIQEGRASCLMCAYNQVNGVPMCARKDLLQKTRDEWGFQGYITSDCDAVGIIHENQTYTSSDEDSVAIVLKAGMDVNCGSFMIRHTKSAIEKGKIQEEDIDRAIFNLFSVQLRLGIFDKASDNLLGSNNVCTKEHRDLAAEAVRQGTVLLKNDNSFLPLKKSEVRHIAIIGPAGNDAYAMGGDYTGVSCDPTTFVKGMQAYVTQTTFAAGCRDNPCNSSDGFSEAVEAAKNADIVVLVAGLNLTEETEDHDRVSLLLPGKQRDLIHSTVSVTKKPIVLVLLGGGPVDVSFAKQDPRIASILWMGYPGETGGQVLPEILFGEYNPGGKLPLTWYPESFTAVPMNDMNMRADASRGYPGRTYRFYTGPVVYGFGYGLSYSKYSYSILQAPEKISLSHSSIPDVINVASCDSLMFSVHISVSNDGEMDGSHAVLLFARSKSSVPGFPIKQLVGFERVHTAAGSATNVEIAVDPCKHMSAANPDGRRVLLLGAHVLTVGNEEFELFIDA >Et_7B_055162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7914347:7917424:-1 gene:Et_7B_055162 transcript:Et_7B_055162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKHHMEVKEGEAVEVMDLAPVPSRFRRICVFCGSSHGKKKSYQEAAVELGKELVARNIDLVYGGGSVGLMGLVSQAVHNGGRHVIGVIPKTLMPREITGETVGEVKPVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEEFISPTARHIIVLAPTPKELLQKLEEYSPQHEQIAPKTKWEMEKLGYCKTCEIPGLKEGTGIIQAQRGSML >Et_3B_031364.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26811280:26813100:-1 gene:Et_3B_031364 transcript:Et_3B_031364.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRFPLREIVSRAAPPSARLLRPLHAHLIVSGRLAASPAALTSLVSLYVRGPAALHPAIPLLLPPSAPLPCFNAALSLPHALALQLFRSLRLAHSPDGFSFPPLLTSSPSPPHLLALHALALRCGLAHDLFCASATLRGYLRFGLADHAHRLFDELTDRDVVVWNAMVNGFAKLGCFDRAVECFREMREDGAVEISSFTITGILSVCTATADFWRGAAVHGLLVKSGFDKEQSACNALIDLYSKCHHVDDAAIVFEGMPDKDLYSWNSMLSALQYSADHVGTMKLFARMRRAAVWPDGVTVAAVLPACAQTASLQIGRQVHGYIVTSGLGRDGAVDSFAYNALTDMYAKSGGLDEAHRVFYRMRQRDVASWNIMIDGFASHGRGQEALKLFQQMTEVEGLVPDEITLLGVLSACNHSGLVDEGKGFLKRMKEEFGLEPQLEHYACVTDLLGRAGRLDEARKVIEEAGDVDAGAWRTYLAACRMYGDKERAQEAARMLMSAEESGSGGWVLLANTYGWEGNFEALEDVRGEMRRQGVQKAAPGCSWVEVGGQKGGSGTVMHAFVSGDCGHPEADMIYDMLHALIGWMKDCGHLSNVTPLWPIEYP >Et_2A_018205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18003185:18004971:-1 gene:Et_2A_018205 transcript:Et_2A_018205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGLPPGFRFHPTDEELVNYYLKRKIHGLKIELDIIPEVDLYKCEPWELADKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVLHHGGRAIGMKKTLVYYRGRAPQGVRTDWVMHEYRLDDKDAEDTLPIQDTYALCRVFKKNAIYTEVDDLQLQAQCGMALLEGACQQLLPSGSQEYETPSPDVPVGSTSGGADEDTDKDDSWMQFISDDAWCSSTADGTEESTSCVALAT >Et_8A_056941.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:19200387:19223448:-1 gene:Et_8A_056941 transcript:Et_8A_056941.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFFHNQEDDAAAARFVATASASRRFGLHEPPTLNSLDGADDGMFDSSRVVASRNGLIVVDLRHGKHDGALKLCVCNPMTGDIHFLPPLRGSGQFACTVVTADDTETTSDSPAYFRLVMVYIRRDFTAFRSYSSFDGRWSEEAKVSGVQVGNKQMSLMRNGVVARDGRVVYWAAKGEVFGLRLDTLEVAMAQSTGQGFAFICDNSLLGLTPEGRLCAVQPYLQWTDVETGASPVFIIQILTSGGGGDDDFHKLKKKDGWMRWEKADEIVVGEFLLDRMAQVKLRWFCEKSGVLFFPVSNSWFGDMLICEVYALDLHTKKFVKLTSHVGKGDPWGNLHGYEMDWAAYLASLAEPQGMGDTCGRRSVNGSKMTFTIRLINLRQWWNDILSRVSKKERRDVAAVIIYTVWNLWKERNRRVFRQQSMQPKQVLKLIKDEMKMRALTDSREKIYRSRRLPSWPSSSTAATDHGSALRRPGRATEAAGDDGTPLSDEILLVVFALLPEIADLVRCAATCRRWRRLVSGDAAFICRAPRWPARRFVGAMALGFFHHQEEATAAAARFVATASALRRFGLRQLPSLYSLVQGVDGLLNSYLVMASRNGLVVVDLRRSKTERALKLCVCNPMTGDVHFLPRLSGKDGLGQYYACTVVTADDRGYTKRIASDDPPPRSSSYFRLVMVYRRRDFTAFRSYSSDYGRWSEEAKVRGVHVGKKQMSGMRNGVVARGGRAVYWTANGEMLRLHLDTLEAATSNLPSYGQGVAFENESILLGLTPAGSRLCAVRWRASGTGENPVFKIDFLTYVRKDNILNLTRDRWLRWERTGEILVDEFPIDAKVKLRWFCEKSGMVFFTAGDWSGNKICEVYALDLHTKKVDKLASHVGKGDPWGSLHGYEMGQAACLASFAEPRGMEEDTSRGWLSAKRPLTDEILLAIFALLPDEIADLVRCAATCRRWRRLVSGDAAFICRAPRRPGGSFIGPMALSFVATASASRRFSLRQLPSLNSLADGLFDSSRVVASRNGLVVVDLRRGKKDRALKLCVCNPMTGDTHFLPPLRGKDGLGQNFACALVTADDRDTTTTDPPAAGSSSSYFGCSWRGFTAFRSYSSDDGRWSEEAKVSGVQVGRKQMSLTRNGGAVFWAANGEVLGLRLDTLEAATASFPPWGRGDASGFDKNNNDTLLLGLTPEGRLCAVRLNVLGSVFRIQILTCGDGGVDNFQKLETCGWMMWEKTEEIVVHEFPLDWMAKVKLRWFCEKSGVLFFTAGDLFGELMCEVYALDLHTKKFEKLASHIGKGDPWADMHGYEMDHAAYLASLAEPASMGDTSKGWLSALRRKPTKRPRLQAADIAGDDDGTPLSDEILLVIFVLLSEIADLVSGEAAFICRTPRRTGGKFVGAMALGFFHHQEYAAAARFVPTASASRRFGLRPPPSLTSLVQGLDVADGLLDSSRVMACRNGLVVVDLRRYKHDRTVKVCVWSPVTGDAHFLPRLTGKDGAGQNFACTVLTADDTETATSDDPAASAFFRLVMAVYWATMTRVFGLCLDTLTAATAFWPRSGQSYIYDYCDNNTLLVLTPERRRLCAVELDPEWRWNTVKRKQGLKITIFACGNDQDDDFHKLRTRPWELWEKKDEILVDDFALDNVSTLQPWGVCEKSGIIFFTASNCMSKKSRILPCYYEQIMKMVYVSLLKEKRITILDALYYEQIMLLQRLQVVSSNYIVFIMSKI >Et_4B_038903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5853832:5858542:1 gene:Et_4B_038903 transcript:Et_4B_038903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TKKNPAKQAKTASYAGTAPAASRASSFHKRRKNLEQSSRPTPHRDHPSGFSLLPCVSRSRLRPTHTARIKPLSFSPRCPQTSPHAGSTRAAHRRSQGAARRNPHCGMAAAAVVGPQLVGTAVATLLAAIFLAAVLGRRRRRQAPVVEGKPVPEADCAVAGGEVAAGDAGTDVIIVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVQEIDAQRVLGYALFKDGKNTKLSYPLEKFHSDVAGRSFHNGRFIQRMRQKAASLPNVQLEQGTVTSLLEENGTVKGVQYKTKSGEELKAYAPLTIVCDGCFSNLRRALCSPKVDVPSCFVGLVLENCQLPHPNHGHVILANPSPILFYPISSTEVRCLVDVPGQKVPSIASGEMSNYLKTVVAPQIPPEIYDSFVAAIDKGSIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRNLHDASSLCRYLESFYTLRKPVASTINTLAGALYKVFSASPDQARNEMRQACFDYLSLGGVFSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPLPSPKRMWIGARLISGACGIILPIIKAEGVRQMFFPATVPAYYRAPKDKSAPAALA >Et_9A_062300.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21732517:21732729:1 gene:Et_9A_062300 transcript:Et_9A_062300.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQVEAAAETSVIASSSLSIVSQHKLKGLELQLGYSKWTVIKQLPTSLAVMVSNALLVNRARVT >Et_10B_003230.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1850075:1856749:1 gene:Et_10B_003230 transcript:Et_10B_003230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGQWSGDMTSVFPCVSTTITPSMVQLMVTCSYGGLRPAPLLPDEILEDIFLLLESGADLARASASCRSFRRVATGDRFLRRFLSLHPPPIVGFVHHARGIHTFYPAEPPRQSASAAHAIAQAGDFTFSFLPSGPNPNRRWSVQDVRDGRFLLARRGTVDTTFLELVVCDPLYRRYVLVPSIPGDLVPRMPSKDDMKSEPFLAPASVEEETEEDQDFRVINNFVSTYKIVSFVFLRPPENGLALIPRPKRLVRYYARSCFYWVHQKDKYMLAFDPREMKFSLVELGHYTNLGMHGKNYFVRGIAVMDAAEGRLGLLAVGDCTLDFYSRPCQSIGFGVEEWRHDKCITLPDPNYCCSIIGGGKGYILLYGAEPCNALGAQETKTKRFTVTVNLKTSLVEKLCVLNDNIFSDAHAHSYSSFPPPLSLPRLDLTRFSSLRVRLVGVE >Et_2A_018003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8977670:8986785:1 gene:Et_2A_018003 transcript:Et_2A_018003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATAAAAGVASAPRLCTRFSSPRAVSVSWNNSSLASSRSLSTSSASTSQRRLPIASAAVELRETTSGGGDSVRVTKTLQPGSSVKFSVEVPTSVIDECYQLTLKEYGKRYKVPGFRPGKVVPENILINYVGPEHVQNATIEAILKRTLPQALSSVEDKALEDSVRILTKFDDMRDSFSLDDVFRYDVAVDVSPEVRWLSEDKYKNLKVVVEIDDAVDAEKAADVELKRRHKALGLIKIVADRGLQVGDLVVLDIFAESINSDGSKGEKISSAEATGFHLDTEENDSLVPGFLGSLIGIRPGETRSFPIQFPESYAQESLRGLRAQFTVVCKELFYRQLPEMDDSLAKKLLSGCTTMDEVRERILERCKEVEKTAIEQATDNAILNQLGKLVEVDIPRALFQEQGQQLYGAKLLQLQAERKLDKDQLASLSSQRSVQQYLEDEKENINRIIKQMLAVGEIFKSENLQYSTDQLVKEVENSVAEFKQYNQDYDEDSIKQQVQDILEAAKVLEWLKENCTIEKLVLTSSPTNWREYLDN >Et_7A_051354.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17224990:17241538:-1 gene:Et_7A_051354 transcript:Et_7A_051354.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLCSEEKKNTLFLSVTERWWTRRVRRGTCKTDSQEASGVSAARSALKSLRSIGAKFDDTTAAAAQKNVLITAASGGVGHYAVQLAKLAGFHVTASCGAGNADLVRSLGADEVLDYKTPEGASMKSPSGKRYDGVVHCTGVGIAWSAFRPVLPAKAGKVVDITASTPAMLRSVVQRVTLARKRLVPLFLWPNDADLDLEFLVWLLEEGKLRTGVDSRFALSDVSKAWERSIEGHPTGKIIPEHPMATAATTTPEKMQAVQYDAYGGGAAGLKHVEVPVPSAKKNELLLKLEAAAINPADWKIQQGELRPLLPRKFPFIPVIDVAGVVVDVGPGVNGFQTGDQIVAMLNLQSGGGLAEYTVAPESLTVKRPPQVSAAEGAGLPVAAGTALQALKAIGAKFDGTSEPSLNVLITAASGGVGLYAVQLAKLAGLHVTATCGARNMELVRSLGAEEVLDYKTPDGASLKSPSGKKYDGVVHCTSGVSWSTFEPLLSGAGKVIDITPNLSSVLTTALHMVTFARKRLVPLRHSPNKAELQFLVAMLKDGKVKTLIDSRFPLRDVSKAWEKSMEGHATGKIIHVEVPVPSAKKNELLLKVEAAAINPVDWKLQHGLLRPLLPRKFPFTPGTDVAGVVVDVGPGVNGFKAGDQVIAKLNSLSGGGLAEYAVASESLTVKRPPNVSAAEGAGLPVAAGTALQALKTIGAKFDGTGEPANVLITAASGGVGLYVVQLAKLAGLHVTATCGARNAELVRGLGADEVLDYKTPEGASMRSPSGKKYDYVIHCTVGVSWSAFEPLLSDAGKVVDLTPFLSAYLRSALHRVTFAKKRLVAMLLSLDKAHLEFLVALLGDGKIKTVVDSRFPLGDVAKAWEKSVEGHATGKIIVDMEG >Et_8B_059528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:18907133:18911866:1 gene:Et_8B_059528 transcript:Et_8B_059528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWAAFLLDGASRASGNRGGISNLVLVVAAARCVADPQGPFTRILCFTSSSVFFVWKEGTSSVLVFPCVRVSLLVSFSARCRRRLLCVSRRAAGTKWHPLPLQLPCGGIRRNSSSLFGISRAGIHEGGREGGDVCCLVREQYPPFISDFRSSPDLGLNVRSLISTADSSVQKEQLQHFNCEHLFILSRERLFLRTLVEEKKNPYYFFATYVICKSEVSPVVVDCIAYEYSTVVLGPKKKKSSISQFSGGLVAYADSRSDDVEKPQLPPRKKVVVLGTGWGGTTFLRNLDSTQYDVQVISPRNYFAFTPLLPSVTSGTVEPRSIVEPIRRILSKKGGDIKFWEAECFKIDPSNKKIHCRSNVGTNLDGNGEFLVDYDYLVVAVGARTNTFNTPGVVENCHFLKEVEDAQKIRRSVMDCFERASLPYLNEEERKKNLHFVVVGGGPTGVEFAASLHDFVTEDLSKLYPSVQHLVKISLIEAADHILTMFDKRITNFAEDKFGRDGIDVKTGYKVVKVSKDAITMQNPAVGDISVPYGMAVWSTGIGTRPFIVEFMKQIGQANRRVLATDEWLRVRECDGVYAIGDCATINQRKVMEDISEIFRVADKDKSGTLTVKEVQDILEDIYERYPQVQLYLKSKQMNGIADLLRTAKGDAEKESMELNIEEFKKALSLVDSQVKFLPATAQVASQQGQYLARCFNKMKDAEENPEGPIRIRGEGRHRFHPFRYRHLGQFAPLGGEQTAAQLPGDWISIGHSTQWLWYSVYATKQISWRTRALVISDWTRRFIFGRDSSCI >Et_5B_045258.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:15056821:15057558:1 gene:Et_5B_045258 transcript:Et_5B_045258.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNRDWAAGLLEDMVRYIADRLANPVDLISFRAVCPQWRNAIKDGCFHPWILKSDESGVDGNVVFYNLGSKKFIRIHVPALEGRRLAGFGAGHLIAIDDEEHSGVLVNPLLSTATGTTTLPRLPEWCVGGDTYGFATDPKMTGDKDVFIVIYKWLFNPQTYHVARWRRGSDAGWATMPSERFWTRMPMLRRRLSMGLELLEDDDAGNDGSGVAPWVPHGVDMHVLEHKGRVRFLYRRWDETRWG >Et_2A_016818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28540472:28551041:-1 gene:Et_2A_016818 transcript:Et_2A_016818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLGGRLLPTPAAAMAAFPARRRLLLPMLFAALLFLAAAPGCDAARRSKKTYKAIFSFGDSLSDAGNLIVNGTPKALTTARPPYGMTFFGKPTGRCSNGRLVVDFLAEHFGLPLPPPSQAHNTDFKKGANFAITGATALEYSFFKAHGIDQRIWNTGSINTQIGWLQNMKPSLCKSEKECRDYFSKSLFVVGEFGGNDYNAPLFSGVPFSDVKTYVPLVAKAIANGVEKLVELGATDLLVPGVLPIGCFPLYLTLYNTSKKSDYNARTGCLRRYNRLAYHHNRELKQQLNELQKKYPKTKIMYGDYFKAAMQFVVSPGKFGEFTLLAVYHTTSRIHVDTLLLIDSVVIICRTAFYISDLCFWYERFVRNAGFSTALQACCGAGGEGSYNFNLKKKCGEQGASVCSNPSAYVSWDGIHMTEAAYRMIATGWGRAVFAVLSALLILGAVVPAAEAEAAGRYRAVFNFGDSLVDAGNLVTDGIPDYLATARLPYGQTYFGYPTGRCSDGRLVVDFIAQEFGLPLLPPSKAKNATFSQGANFAITGATALDTEFFQKRGLGKTVWNSGSLFTQIQWLRDLKPSLCYSDQECKEFFGKSLFVVGEFGGNDYNAPLFAGKDLKEAYKLMPHVIQGISDGVEQLIAEGAKDLIVPGVMPSGCFPVYLTMYIDPKEEHSPRTGCLKRFNTFSWVHNAMLKRALEKLRAKHPGVRIMYGDYFTPVIQFILQPEKFGFYKQLPRACCGAPGRGPYNFNLTAKCGEPGATSCADPKTHWSWDGIHLTEAAYRHIARGWLHGPFGDQPINRTRPISRSFAMMERVSQEWVKSLMLKH >Et_2B_020196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17889493:17892388:1 gene:Et_2B_020196 transcript:Et_2B_020196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRGCLPLAAVLLALLCGAEASVRELKVGYYAETCPQAEDVVRATMARAQAREARSVASVMRLQFHDCFVNGCDGSVLMDATPTMRGEKDALSNVNSLRSFDVVDEIKEALEGRCPGVVSCADVIVMAARDAVELTGGPSWEVRLGREDSLSASHQDADDIMPSPRANASALIRLFAGLNLSVTDLVALSGSHSIGKARCFSIVHRLYNQSDSGRPDPNMDAAYRVVLDSLCPPDGDQEVTGGMDATPVVFDNQYFKDLVHLRGFLNSDQTLFSYNARTRRIVKHFSRNQDAFFKAFVEGMVRMGELQNPRKGEVRRNCRVANASPTAPKEVAPYRVLDF >Et_3A_023424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26614154:26614783:-1 gene:Et_3A_023424 transcript:Et_3A_023424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKPSKRLSPKGSFKLSLPAGLFCGQCKATVAGASARSLSSSTSGSASSSGTSRGRDRMAELREIFRHFDRDMDGRISGRELGEFFASMGDDQQLAGDLMLGFDDFVRIVESKGGEEEEREDLRRAFEAFEAVKGSGRITPRGLQRVLSQLGDEPSVAECEAMIRAYDDDGDGELDFHDFRRMMSQD >Et_8B_058740.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19971734:19972345:1 gene:Et_8B_058740 transcript:Et_8B_058740.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIATMAMLKPAKIVARSAPSTSGSGSGSSKKSAAKKAVAASSSPAAAAMAGAFFSALASSDAALAAQQIADVAAAAAPADDNRGKLLLVVVAPAIGWVLYNILQPALNQLNRMRSQAVIAGVGLGAAAAAGLACAPEASAAAQDLAALAAEAPADDNRGLLLLIVVAPAIGWVLFNILQPALNQLNRMRSD >Et_4B_039639.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24881957:24885601:1 gene:Et_4B_039639 transcript:Et_4B_039639.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSQLKIPKKGSSSGGGPAVPNECCVVVVEYQHGGTLKTLLYNHRDKKLPYKKVVQLALDLARGATAAGAMDVAADDCGDGCRLSYLHSQKIMHRDVKAENMLLDRKRTLKIADFGVARVEAGTEMTGQTGTLGYMAPEVLQGQSYDHKCDVYSFGILLWETYCCAMAYPNYSLADISYHVVKLGIRPDIPRCCPRALADIMARCWDGNPDNRPEMSEVVALLERIDTASGKGMTPVADAPHGCSCFGFPRGG >Et_9A_062558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24028403:24030398:1 gene:Et_9A_062558 transcript:Et_9A_062558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRSPAASRRGGGRATRQSPFFRDLASPIPTHRGTSRFASGASPAAATSAAPPPPPIFTLDDRVAAADFSPDPTGSELLPVPSSPSPRAAASRSPSWDRSRGRVSLSAPGSPMDGVVEPARKEVLSLPEPPSPMTPTPVAEAQSPVTPAQEPARTEPVANGGEVDGEEWVTVFGFSLVDTNLVLREFEKCGVILRHHSGPRDGNWIHILYQHSYDARKALQKNGIQLSSGLIVGVKPIDRVHRQQLDESFSRSNQGGFMVSLPSRSFALKSTGASNQLGALPRPYDPKVSTNFNRDAGRRATGSVAAPAKSIVTNVMDLIFGI >Et_1A_007590.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36130717:36134313:-1 gene:Et_1A_007590 transcript:Et_1A_007590.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAMDVSKPPPAASGDEGAASGKGRSGGEGLRQYYLQHIHDLQLQIRQKTHNLNRLEAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGTGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWK >Et_8A_057254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22848490:22852851:-1 gene:Et_8A_057254 transcript:Et_8A_057254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAMMESVEALVAHIQGLSGSPDELAHLHSLLKQADGESLRAHSAAFVPLLAQLQPETHSLGYLYLMEAFATSSANLKDVGGGDFLVTIAGFLLACSADQIRMAPEKFLNVCRVLKDQVMQLNMPIRGIAPLRAAVRKIQTSPEQLTPIHADYLLLCLLAKQYKAGLSVLEDDIFEVDGPKDLFLYCYYGGMIYIGMKMFPKALELLHNAVTAPMSQLNAIAVEAYKKFILVSLIQNGYLPSFPKYTSSSAQRNLKSQAQIYVELCTCYGTGSYAELENFILNNAAQFQSDNNLGLAKQVLSSMYKRNIQRLTQTYLTLSLDDIATSAQIDTPREAEMHVLRMIEDGEIHATINQKDGMVSFHEDPEEYKSVEMVEHIDSSIQRLTALSKKLSSIDENMACDPAYLLKTGRDRGRFDYDDFDPVPPHNEQLERKSYKGHLQDNHPFKL >Et_1B_012858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35653820:35661055:-1 gene:Et_1B_012858 transcript:Et_1B_012858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKELLEHHLQNVMMATDVIESWVMEYLFTCLSRPFRKVLCCLMAISRMLLPLRFDKPKCIAHSNRPRLPGWQHGHCSMHHRGAGAGEAPSTFSKNQNQTKGTIEAKDKELKRKLRKNEKELKASLTSLDATNRPARGAGYQRLLPRATLHPRQPTTALAAPPPPGVVAARRALRSPACNLLRRPAAPRRLAAPPALAAAAPLAPPAANHSGVAPPP >Et_8A_057372.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:24065113:24066367:1 gene:Et_8A_057372 transcript:Et_8A_057372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSKPAARGRKRVEATTVLKRSSDGSAFTRCEACNKDVPIVLIDMHSCSLDSKIRMTLEAQVVEKTVEITRAERKRPAASAAKGSKAAKRSKEDAPKRKRPPTAFFLFMYVFSSLNRIEASTFLLLLHLTPIWIVREDFRKEYKAAHPDNKSVSTVAKEGGEKWRSMTEEEKKPYIDKAAELKAHVDNGEGSGENNVAVEKGEAADDEEQEVDQPADDEDEAGDQEEEKNELDDDI >Et_4A_034859.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7196015:7199758:-1 gene:Et_4A_034859 transcript:Et_4A_034859.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALPVVNATAAALARVSAAFNAPFARAVVFGVHIDGHLVVEGLLIAVIVFQLSRKSYKPPKKPLTEKEIDELCDEWEPEPLCPPIKEGGRIDTPMLESAAGPHTIIDGKEVVNFASANYLGLVGNEKIIDSCVGSLEKYGVGSCGPRGFYGTIDVHLDCEQKIAKFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLHLSRSTVVYFKHNDMASLASTLEKLTRGNKRAEKIRRYIVVESIYQNSGQIAPLDEIVKLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPRLSSAGYVFSASLPPYLATAAVSAVNYLEENPSVLKNLRSNIALLHKELSDTPGLEISSHVLSPIVFLKLKKSTGSPATDLDLLETIAERVLKEDSVFIVTSKKSNLDRCKLPTGIRLFVSAGHTESDILTLSSSLKRVSASVLSDYL >Et_2B_022304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17378081:17379705:-1 gene:Et_2B_022304 transcript:Et_2B_022304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTLSTYGSCLAVLLSLAALTSHSRVGAAAESGGGPRWHVVSVSSLLPSTVCTAAEEAPSSSAIRVVHGLGPCSPLPPRGDPPSPLDILDRDQERVETLHRKITGASAAVSASISTKKGVSLPTRRGLSLGTNNYVISVGLGTPARTFSVELDTGSDLSWVQCKPCRDCYEQQDPLFDPARSSTFSAVPCGAHECRELGSRGGCSSSDNKCRYEFDYADLSRTDGKLVRDTLTLAPSHEVPGFVFGCGDDDNGTFARTDGLFGLSRAKLSLPSQLAASRYRAGGFSYCLPSSESATGYLSLGAAANAPPSNAQFTAMVTRRDAPSLYFLNLIGVEVAGRALAIPPAAFASKGTIIDSGTVFSRLPQPAYDALQSAFTRAMDQLRYKRAPALSFLDTCYDFTGHKRVRIPSVALVFADGTKLSLGPRGLLYVWSVGQTCMAFAPIGNDTVGILGNMQQRTLAVVYDVANQRIGFAAKGCS >Et_3B_028853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19903134:19906178:1 gene:Et_3B_028853 transcript:Et_3B_028853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRTCTTICILLSFLSVSTMLASADNDGLIRIPLKKRPIMESIYGQLMVESTMDNDQPAAWREVDPVRDAIGQARAQQQRIIMEAEAMEQRRKYYWSYRRSRENSSITDYNQDDIVALKNFMNAQYFGQIGVGCPPQNFTVVFDTGSSNLWVPSAKCIFSLACYFHPKYESRRSSTYKENGTPASIHYGTGAIYGYYSEDQVTVGNLVVQNQEFIEATYEPGFTFLTAKFDGILGLGFKEISVEGSTPVWYNMVQQGLVKEPIFSFWLNRNANERDGGEIVFGGADKSHYKGSHTYTRVTRKGYWQFEMGDFLIGGRSTGICVDGCAAIADSGTSLIAGPLVAIAQINERIGAAGVVNQECKQVVAGHGLEMLELLNAKTPPAEVCSKIGLCTYNGTHGVSAGIESVAGSVDGISEATCNACEMAVIWMQSELSQNKTKEGTLEYVDRLCENMPSPVGSHVNCRHIDSLQSVGFSIGGRTFELQPEQYILKVGEGFMTQCISGFTALDIPPPIGPLWILGDVFMGAYHTIFDYGNLRVGFADSA >Et_3A_024398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20436879:20437417:1 gene:Et_3A_024398 transcript:Et_3A_024398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGGGPSAGDRARRQLQAVGRLAAYLGGGFVLLSAASSVAVRSLRALSDANQVRDGNYPLRGAISQSLFRFIAVLLSADSPRLALLLPEEVRDAVRCLRWEGELRVQALQGQHDDRVVPDVRPGVRQPVPLPYLVQRCLNCLGK >Et_6A_047620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8629731:8632147:1 gene:Et_6A_047620 transcript:Et_6A_047620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCAPAYPRLAVGRSRICSALPHAAMPMPPPSRPPTPVAPPSRAQDERLSVTPVILPPPPFTPSPTTSLLNRPTVWLIEVVAAATLCGSVSRAAPPWSPVTHSLSFLPQSGAYAPSHSIPADQMHSASKSLWATRPAHQMLGRQRQYFEQNDVAEGKASHDKELRSLDVLNINNLATSNSRPHNEPAEDGTGHNKCPTFYYADSNICIQKTSYGILFNIEIIASEIELGDMIIENTAAVVPMQPEYTTAIAQGGPGGCVAHKVDGRHLDWQLHEGRRHFGLQASPET >Et_3A_027041.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:30350966:30351637:-1 gene:Et_3A_027041 transcript:Et_3A_027041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGRSSRTRVGGSFTMRQPPVVDIGCNCRRPKLFSIFSSSSSSLFRGGGKPKSPNASSSSTTTAFTATTAGGRSATTATSTDYSWGPASFVATNSLYEEPVAAAPLPEPDARRRRRQQQRRRRRRAPAAAAARREEEEEYGRVARESVPVAVDSAEPYEDFRESMVQMVVEKEIYAWDDLNDLLHQFLSLNSPRHHPLILHAFADLWTRNGVFSPPSPCQFY >Et_10B_004348.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:4634708:4635436:1 gene:Et_10B_004348 transcript:Et_10B_004348.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKSSKSKSKSKSKSSHDAAASKKSKAGAAPASLDAHFTPCADVKGLRFGAQIVTRALTVRRAAPLELPHLLRASPAPSPNAGAGDGGPLSFAPTTTAYIPTNFAILAHHAWHTLTLGLGTRNSKAAVFVFESAAMKAAADAAWPHVVPLGDVGRRLIRAAPGAPEMARFKFRKGCVTFYVYAVRTAGARGFARADELRAVVEAVARLKDFLDHTAMLALPGQKSIDVAAAAAAPLGVVH >Et_3B_028725.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18556974:18559298:1 gene:Et_3B_028725 transcript:Et_3B_028725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAARLNLRMQKEIKLLLKDPPHGVSLNLSDDESALSSLSSIEARIEGPEGTVYSMGVFILKIQIPERYPFQPPNVTFVTPIYHPNIDNGGRICLDILNLPPKGAWQPSINIATVLTSIGLLLSEPNPDDGLMAEISREYKYNRQVFDTNARLWTEKYANPAAVGASGWGSVDVAVMAKNTQIEDTEMIAPLPNASNKDHEGNQRKRPLLGRKLSLKSERSEKNATAENQDPVASHLLPTASSTYPTACFSDASGKQNAISESMSTNAASGVVSNKECEGHRNYLQLSGQSLSVTSVVPSKRSNDTDMLPDHPPASVSNAKDCAMQSSNDVVENNSLNKPLEGNRRNIRTLGLKLSLKSIKPEKKNDEQKENMFPNHKPSHSSSNNLHKRPLEDVSIKNLSESTTLTSQKSSTENQLPKSQLLSNDKCNQGRKKLCLLNKRLSLKAKLPETERTFDKESRLPAYPQGDDRKVANELPLTAPVLKTETVAQTELPLSAPVYKSQTMAVGSQNEGNPSNVSIKQSPAAIKNLVVSDSEDSADECERPPRSRLSLMRRLALRK >Et_4B_036030.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:14636165:14636842:-1 gene:Et_4B_036030 transcript:Et_4B_036030.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCRQWREIAKDDYFWKCICARKWPSICKHPPSDTNYQKLYLTFSKPRKMQHLPVPRLTFSDLVLYIDMWLDESLIFSQAVSGCIFRAGLQRTPSGIPDVLLTHLNSLDCSLMMEVEPKLRIPTGPTITVSVLAHRKDTNKMASIINKSMFDYIDGSAARAMAYEYLRFSPRHPFISDIRAWMSLLFLYKGTNVLEVFGIELDFCDAARSETEILWLLDMLDWK >Et_1A_004988.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21669233:21669424:-1 gene:Et_1A_004988 transcript:Et_1A_004988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAILMCRTIWTTRNVWIFNNVDPTVQGCKEKFHRELKMIVHRAKQHHTEQLEQWIQENLVN >Et_3B_028455.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:15626113:15627645:1 gene:Et_3B_028455 transcript:Et_3B_028455.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTRKISWRHAWFFSMSSFSSTRCSGGRQTIEVLDALPNSIHVVTLVESREAMLMVRSGKWKKLLKRRGHHGWNLKAYMAEFSSSQEEVLGLLSCPTIAGYKKKISENERIMEIT >Et_1A_008073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4110019:4112770:1 gene:Et_1A_008073 transcript:Et_1A_008073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASMVASSSCVAQAAAAAAAAAAMESALPQQERPPAGSGAPKSRHHSYSRKEKSLGLLCSNFVALYDRDNAEPIGLDDAAKSLGVERRRIYDIVNVLESVGILMRKAKNRYTWIGFDGVPMAMRELKERALKEKSGLAPLQMEQQSAANMSDDEDDDKLGDPDGDTDSEKLSQTVSNPSDKPGAPQCRLRSDHRKEKSLGLLTQNFVKLFLTMEVDTISLDQASKLLLAEGHEDTNMRTKVRRLYDIANVLSSLNLIEKVHQENTKKPAFRWLTKGGRPKTEAGVTVDVPLSAKTVSNKRTFGTDLTNTNRSNLDSTIQKKAKMAQSGSDILSSCKLSVQSRLGQGSRSGFVYGPFHPASARKQEPGGANKSGQRERAEDWESLSASFRPQYQNQGLGDLFAHYVEAWKSWYSDLAQGSNIVRQHLGQSAINQFL >Et_3A_026797.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:21177199:21177885:-1 gene:Et_3A_026797 transcript:Et_3A_026797.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAVRLRFAWRVVRAAEVLALAVLLSRSFPRLPCAAAAASSVLRVAAAAILHPRSVFVLANVIVILLLVLSRRDAALVPPSQPSGASPDGDAQDQFLPFPTAPLLLLPPIAEEPAPGEGGEEEAEEAVFEDKQAVHVTVRAPPPRRTRSEKAGARRRAASPELRRAESENGRRRRSASAAAPEEWGAEDEEEEFRHAVETFIAKQQTRFHREESLVAVAGAVKLIE >Et_4A_033531.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24117256:24122869:1 gene:Et_4A_033531 transcript:Et_4A_033531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGIIDGLTGANRNARLKGTVVLMRKNVLDLNDFGATLLDGISEFLGKGVTCQLISSTLVDPNNGNRGRVGAEANLEQWLTSSLPSVTTGESKFGVTFDWEVEKLGVPGAVIVRNNHASEFFLKTITLDNVPGRGTLTFVANSWVYPVGKYRYNRVFFANDAYLPSQMPEALKPYRDDELRNLRGDDQQGPYEEHDRVYRYDVYNDLGSPDNGNPRPVLGGSDEHPYPRRCRTGRKPTKTDPNSESRLSLVEQIYIPRDERFGHLKMSDFLGYSIKAIAKGIVPAVRTYADTTPGEFDSFQDIISNLYEGGLKLPKVPALEEMRRLFPLQLDKNAWRTDEEFAREVLAGVNPMMITRLTEFPPKSTLDPSKYGDQTSTITAAHIEKNLEGLTVQQALDGNRLYILDHHDRFMPFLMDVNNLKGNFIYATRTLFFLRGDGRLTPLAIELSEPQIQGNLTTAQSKVYTPASSGVEAWVWQLAKAYVAVNDSGWHQLVSHWLNTHAVMEPFVIATNRQLSVTHPVHKLLHPHYRDTMNINALARQTLINGGGIFELTVFPGKYALAMSSVVYKSWNFNEQALPADLVKRGVAVEDPSSPYKVRLLIEDYPYATDGLAIWHAIEQWVTEYLAIYYPSDSVLQGDTELQAWWKEVREVGHGDLKDAPWWPKMQTVSELANACTTIIWIASALHAAVNFGQYPMGSNLDHDVTHGS >Et_1B_014268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4765278:4766427:1 gene:Et_1B_014268 transcript:Et_1B_014268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDPGGGGSSRYFHQLLRPQQQQQQPSPLSPTSHVKMEHHKASPDKSPAVGGEGDTGGSAGGDQPSSSAMAPADGGGSGGPTRRPRGRPPGSKNKPKPPIIVTRDSPNALHSHVLEVAAGADVVDCVAEYARRRGRGVCVLSGGGAVVNVALRQPGASPPGSMVATLRGRFEILSLTGTVLPPPAPPGASGLTVFLSGGQGQVIGGSVVGPLVAAGPVVLMAASFANAVYERLPLEGEEEETAPAAGAGGEPQDQVAQSGGPQGQQPTASQSSGVTGGDAGGGGMSLYNLAGNGLTSSPEITTEAGAAAAVESGLNSDPCRLAIQIHIHMKKTYDFAKIQMVKTVLALAIQFLELGANGAKLDCPFIGQTFHLGAMRIL >Et_2B_019599.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10842455:10848025:1 gene:Et_2B_019599 transcript:Et_2B_019599.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMVFAEINASHRQALCSFILSNSWNIRSSPHASNGRLLKEREGARIAVSAFGGHESHFAIGTLRRTTVSAVSPGPKPKRTPQSKPSPVVARRCLAERLRISSRIKRTQALDMLPYSARTWRVARRRASSRPSSASAMLRMAGPPGCATQKILFQSKMPSGLNAVSSRPLMFMPMRSGTLSWRWKVRPSSRRWPRMASSESGTMRPLAGGLVGAGANDYRRRTITEEGLADHGVDVGGAGPAEGDGGDLGADDEHSGVAVVLCKVLGDAEHRAAGEAALVVEHHPVHIGLQAQELGGPKVGAGHVHAGGGAEDEVGDRRPWLAPLGERLLRRLRAELGHLLHQDVVTHVQRRRGVGGHVGVLLQELLCHVHPRELVLEVGEVLALGDPEVVVRSAWYTQFGGVAVPMASTVATPSARCAFLISSAVILAAISQRNRSSTSRYLVAISTYGRRLVCCLWFASVAVCFDESRGYPYI >Et_5A_041589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24692976:24695554:-1 gene:Et_5A_041589 transcript:Et_5A_041589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGGEVAAERADLSSSLADDGQDRLSDLPDEILVLILRRIGTLAAGQTRILSRRWRRVWALLPELCFAFTPQPDLIRDALDAHGGDLRSLYVATRDASPEAVAALLTAAARRLSGRLIYHNVEPRPKAASEDSEGRVQRGGFELPCFESATAVSIQLGFLGLSVPPAGNFGRLTELSLDSVRFDAPCELGDAVSSPRCPRLQKLCVNYAHGLNKLAINSESLLHLDLDGVDGLRQLTIVAPALQCLKVASCFHGQPIACISAPQLGWLEWSDPYDPTTVHLGETDQVQRLQTSHFIVYGPHNFPDNHSCQRLLQHFKLVEILVLTLVYFGELLDYQYFMDDMTMLPGTKSLHLHVIGTRHAFGASLFHVLRMCSGIRKLILNLGRPDMEEQKACPSDCICRQQANWKTEELLLDCLQEVEITDFGVSEHKLKVTFDRTITEREAKEFCNMLLSFSGPEICTEFYICNGVLGMNK >Et_10A_001833.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8931651:8935765:-1 gene:Et_10A_001833 transcript:Et_10A_001833.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLASLVASLPIAAAAAGFRVRRAMASSVSAAAAAPARVGVVQMTSVGDEAAASGVKFLCFPEVFSFIGSKDGESVKLAEPLDGPIMQRYCSLAKESSMWLSLGGFQEKGPDDSHQYNTHVLIDDSGTVRSSYRKIHLFDVDVPGNMVYKESRFTTAGDTVVAVDSPFGRLGLTVCYDLRFPELYQILRFKHQAQVLLVPSAFTKVTGEAHWEILLRARAIETQCYVIAAAQAGKHNEKRESYGDSIIIDPWGTVIARLPDRLSTGFAVAELDLSKVDAVRTRMPISEHRKFDSEWKSSSL >Et_6B_049373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4026214:4028386:1 gene:Et_6B_049373 transcript:Et_6B_049373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSLWRLRRNDYGDADSRNMIAALVIFYRLIILQGLLYTFLIFTHTEGQFTVVPVSDQYGLPREWGPRSVVQYLQDTREKCTRDPTSIHDRTLYKYGLELLDSASGEDYLSGARILDAGIKQGEDVRPLLLPSTQKIQKLMDSLRWRSSPGDMREIRAPAARIVAALAADIHLANFPGAIRCISSLLEEETTQMYCDYKQQSSNNPQPSQLLRQEDPGRLARIRMEESNRETVGRTRDRCNELILQGLTILERLATDHNNCSDIYSATGLLPKIMAPLSSATLTRDIKIGDWANVVTGCFKVVRQLIRAPGWTGRRLRREIAFNKQAVSNLEMVLDQGTKLSQELRMVAGEILTELALDLSVNLPRETKQKLINKQLQIFLSGEEENPALASDEQEEMPAISNQINVRATAGRTLALLSTNSETNSALIVNGQDDVVGCLAKMLDADNSIKCRAIAAVILENLSAHCNLDKKWVKEILLPMVLTEILFSKTRTRQSKQSAPWKDEGNDEENQNPAPGEHKEIKANSSSGDQKSSSNQGNEETSDASALQEAVLSLTLVICHKLITADDFHDAVQKKAHPNIFVAKLKTILQDNCEPTAFSLRTVKLCGQIAVSMMQRNQYIEHFKNQEFMVSLSAATKVMSNLESCILFSEADLGLKKIARPLLSDLEEKASELLP >Et_8B_059135.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14283255:14284877:1 gene:Et_8B_059135 transcript:Et_8B_059135.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMSMLLVTLLACVALFSSAASGAAAPVLKTKTFLSPPFDLRPGDVANKWYYDIDFPRGHIALKSFNGEVVDEAGAPVPLHETYLHHWLVSPYYAAKEGHDDVEDHLPKTNSGPCKDSLGQYFGLGAETRRTATWVPDPYGIKVGNPPEGYEERWYINLHAIDTRGAADGLGCTECRCTLYNLTVDEDGRRIPDGYDGGTHCCYDGARCRLKEGFCGSELTRKLFLRYTVEWLDWSDAVVPVRIYIFDVEYAVEECSSENRARNHCVDVKETKEVVPHGGDIVYAVAHQHRGGIGSSLHGEDGRLLCASAPIYGTGQEVGNEDGYVVGMSTCYPPPGTVTVRNGEALTVVSNYSNERQHTGVMGHFYILVADQVQDKKPSSLCFSLPVSCELSLLPFEY >Et_2A_015794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1870233:1873862:1 gene:Et_2A_015794 transcript:Et_2A_015794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPNGAAAAAGSSGAPVVDKEVDFANYFCTYAYLYHQKEMLCDRVRMDAYHSAVFRNAHHFRGKVVLDVGTGSGILAIWSAQAGARKVYAVEATNMAEHARELARANGVQDIVEVIQGTVEDIELPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPDGVMYPSHARMWLAPIRTGLGDKKMEDLDIAMDDWGQFVGETQAYYGVNMNVLTKAYRAEHEKYYLKSSIWNNLHPNQVIGQAAVIKEMDCLTATVDEIREVRAQVMLPISLDGARLAALAGWFDVHFRGSEQNPAAEEVMLNTAPDENGGTHWGQQVFLLTPSMSVSKGDSVKVTFSMVRAKENHRLMDMEFTYELHEFSGKQHPAITTKISASRCLPRRVNAVAAM >Et_1A_005936.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15840324:15852872:1 gene:Et_1A_005936 transcript:Et_1A_005936.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGEEREKAAAAPAAGGGRRKGHPLLRGWKRDRYTHGMHPAQMEALRAMCGALIPSLPADDVIEGHGRGDPPGGKDLERFYLASAADSIIPDEVMTRSSQSTHVAELMVTRCIREAVALAWVVLWVLSTRVGTLLLCGRLCVTGEFPFVRKFADMPPAHREAALQRWNRVRWLFPLRIAFAIVKILCHYVFYAMVNENSENPYWKAIGYRVEEEPREDQTEAAPSPPRSRPLDVGVVETRLLNDNDLLRSLAGRGVTVKPAASDAYHTVQCDAVIVGSGCGGGVAAAVLASAGYKVVVVEKGDYFTAEDYSSIEGPSMERLFERGGIFCTSNVTTMIFTGATVGGGSAVNWSASIRTPEAVTREWAREHGLAVFGSPGYAQAMDAVCARLGVTDACREEGFQNKVVRRGCEALGLSADAVPRNSSEAHFCGSCNFGCPTGDKRGTDTTWLVDAVVRGAVILTGCKAERFVFEANTTGAGRGRGKKCVGLLASCTSNGITKKLRIEAKVSIAACGALMTPPLLRNSGLKNRHIGRNLHLHPVSMAWGYFPENKQDPPLPGRCYEGGIITSMHRVTERTIVETPALGPGAFAAMVPWESGRDMKERMRRYSRTAHAFALVRDRGDGHVDGEGRVRFTPSREDVDELRHGLRQVLRILVAAGAAEVGTHRSDGLRLRCKGLRDEDLEAFLDEVTIEKGPMHSMTDKWALYCSAHQMGSCRMGASPKDGAVDGSGESWEAEGLYVCDGSLLPTAVGVNPMITIQSISYCLSKGIAERGHPLLRGGKREKYTHGLHPAQMEALRAMCGAVIPSLPVERLHGGGGDDDDGGRGDYQLAGGNKDDLERFYLASAADGTIPDEVAELLTRCVWEAVVLMNVVLWILSTKVGTWALCGRLCVTGKFPYACKFADMPVERREEALKRWNKARWLFPLKIAFVVIKILSHYAFYTMLHTHTLTNSSSQTCR >Et_7A_051388.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17730354:17733276:1 gene:Et_7A_051388 transcript:Et_7A_051388.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAPAEEDAAALRRRLRRSLAAVAAGGAGADAFEEAAAALEALREVELGRGGRKGGAGGREGREEEQKEVEAVPVPELFLCPISSRIMTDPVVVASGQTYDRQFIEEWFGAGNKLCPQTQEALSNTTLIPNNLVRGMISQWCAENGFNLLSVVNQEENQFTNEEQKTFEEIFKKITSSPKSIEMKQAIKDLRLLTKRNSEFRAVLGDRPDSLSRMIFARSTPGLQNDPQVLEDMVTIILNFSLHESNKKIIGEDPEAIPFLVWALKSGDMGSRGNSAAAIFTLSALDSNKEKIGELGAMEPLVDLFDNGTIVAKKDAASAIFNLCLLHENKSRAMKNGIVDVAMRAINDQLLIDAALAILALLSSNYEVVEMITEFDGATSMLRAIRESECSRSKENAVVVLFAICMYNRTKLKEVDADENINGSLALLSRNGTPRARRKAAGILEKMKRTMHNRHSSC >Et_1A_008661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9614351:9615937:-1 gene:Et_1A_008661 transcript:Et_1A_008661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEISEIKKVGIGLVGFGILFSFLGVILFFDRGLLALGNIFFLTGVGLLLGWQSMWQLFTKKANLKGSVPFFIGLFLLFVRWPVLGIIMELYGSFVLFSGYGPPIQAFLYQIPVIGWILQYPFQLFGMLRRKRA >Et_2A_017321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33168641:33169178:-1 gene:Et_2A_017321 transcript:Et_2A_017321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQAVAALFLLLVVGGELGHADAVPLRRALSIGWMNGVRGGSPGGMQSSDTARLSATAAAGEEGNHYTSAEEGKFIHTVPSLVLPPRLPPT >Et_9A_063263.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17900617:17901303:1 gene:Et_9A_063263 transcript:Et_9A_063263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLLPGGRGGGGRFQLPEPPVVVDVGCSCRTPRLLSSLVSSLKSRARSLGSGCKPSKSSPHASSSAWSRSSTTATAAFTPSANSTTTASSLLDHATSSWGLATYAANNGNTFLYEADAAAAAADDAEAWRQERRRRSGCGSSGAFGEATAVAVEVESAAPYEAFRESMVAMVTEKEMYAWEDLNALLHQFLALNSPRHHPLILGAFADLWAPRGGLFCPPSPCLLL >Et_3B_028664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17842928:17843586:-1 gene:Et_3B_028664 transcript:Et_3B_028664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQQERREELDRKAHEGETVVPGGTGGKSLEAQEHLAEGRSKGGQTRSEQLGHEGYSEMGKKGGETRKEQLGEEGYKEMGSKGGQARSEQLGHEGYSEMGKKGGETRKEQLGHEGYSEMGKKGGETRKEQLGEEGYKEMGKKGGLSTKDESGGDRAVREGIDIDESKFTNKQA >Et_2A_015316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1091565:1093962:1 gene:Et_2A_015316 transcript:Et_2A_015316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLRYLAGTAGPSGFGSRTTAEEATAEIGDDLGHVTAIITGATSGIGAETARVLAKRGARVVIPARSLKAAEEARARLLAECPGADVVVLPLDLSSVASVRRFVARFLELGLPLNLLVNNAGKYADRFALSEDGVEMTFATNYLGHFLLTRLLLDKMAETARQTGVEGRIVNVSSTIHSWFSGDDAVAYLDRVTRRKIPYDPTRAYALSKLANVLHTRALAEKLKEMKANVTANCVHPGIVRTRLIRDRDGLVTNTVFFLASKLLKTIPQAAATTCYVAAHPAVAGESGKYFADCNEAAPSRLAASSEEAARLWAFSDAITAEKVQKMGVHVATGFRLQVQSSNADRAMALA >Et_8A_056605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:13419627:13426047:1 gene:Et_8A_056605 transcript:Et_8A_056605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPRLLVVVALLAAAAASAAEAGATSFNAKNYGAKGDGVTDDTKALVTAWKAACAAAGAVTLVVPAGTYHTGPLQFHGPCKASTLTFQLGTLKAASDLKRFGNDWIEFGWVNGLTVTGGTIDGQGAASWPFNKCPVRKDCKVLPTSVLFVNNQNTIVKDLTSVNPKFFHFALLSTKNMRMSGLKISAPATSPNTDGIHIERSVGVSITDTHIATGDDCISIGQGNDNVEVARVQCGPGHGMSVGSLGRYVGEGDVTRVHVRDMTFSATDNGVRIKTWENSPTKSKAAHMVFENLVMKDVMNPILIDQKYCPYYNCEHKGVSGVSINDIQRVREEPHSHWSVVKSVELKDIGFIEQFKITKYSYPIG >Et_9A_063251.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:17715415:17715690:1 gene:Et_9A_063251 transcript:Et_9A_063251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGCWAAAEEDDDRPVLSGEYQALEMSTMVSALAHVVAGADGDYPWAADTAMAAGGGGYVHAPQGSYYSAAAAAPTPDQFAAGEQYKH >Et_10A_001641.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6361974:6364606:1 gene:Et_10A_001641 transcript:Et_10A_001641.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDTLRFCSNSGLSCCDPVNDAALMDLFKEMNISDAACASVVKAKLCQLIGCPWFWTRMYYASDLGVGWVSIFRIPGRRRRAEGVEKRLCCCSDRGSCMAHAPRVSTDTGPCKECKRASSGKTKGEKSPSGSEIERETRCSPSTHAKEIFKPVATMRSLPDHLCTSTPSSSSSSAHHPHQGTSMCLEKIAAGSYLTMATHPDGSGRAFLSTHDGKIWLASISSSGSGHHWDTVRAVHDGDTTPFLDLSDGVHQLKGMAFHPRFGTNGRFFVSYTCDRSASPTCGGTSRCSSSVAAGNGSWPCRYQLVVAEFSAEGGPDYSQAGRANPTEVRRIFTMGLPQLHKPYSYQQHGGQLLFQPNDSGGHHLYLISGYGERDSSMNRRSFLGKIIKLYVDRPTPKKEILAMGVSNPSGCSFDTERPSDLYCADVDQYEYEKVYLISNRFGSNSTGSPAKAVSLVVSHGRPPFGRMPSIVGGIVYRGSKDPSLTGRYLYMYGSAAWAAVERSGSYVAVRIIPGIRCSGNTPSSCRGGISGRVLSLGEDNGKNAFVLTTDGIYLLVRPGLCVAAPLPPQEAPPAQQSPWLLLLGAFAVVSALWQVYMAMFAASGPEMTQHNECCCGSITSITCWCCSSVTHNNYSYNLPEAEHES >Et_3B_029818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28165090:28167996:-1 gene:Et_3B_029818 transcript:Et_3B_029818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAARNQAFTTGHQDFSSFNHEFQSDLGFSVTSTCAPQSRVVNRKVRSSRSFWKAVGAIAGSCKNCFAPRQSRIKEGHGNPQSDVHDVSISAISRISSTSSKSTNTSKQIGDTGQKKSWQEQFTFQDICIATSNFSEENKIGLGNFGTVYKGRLRDGSIIAVKRATKNMFDRGLSAEFRNEIQTLSKVEHLNLVKFLGYVEYEDERLILVEYVSNGTLRQHLDGSRGEPLEFSQRLNIAIDIVHAIAYLHGYTDHPIIHRDIKSSNILLTDHLRAKVADFGFARLAPENPEATHVSTVVKGTAGYVDPEYLRTNHLTDRSDVYSFGVLLVELVTGRRPIERGRGRHQRLTTEWALRKCREGDAVVAMDPRMRRSSAVVAAVEKVMALAAECTAPDRSARPALRRCAEVLWSVRRDFQQEQQRAAAAAAASAGARRHDGSTYVLPSVTSLRGERSRT >Et_3B_029513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25602770:25607471:1 gene:Et_3B_029513 transcript:Et_3B_029513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPEVGEAVAVAVVALLAVAAAAAAAAPLPAALRLERALPHKGVPMDHLMERDRARHARRGLLGGSSAVAGVVDFPVEGSANPYMVGLYFTHVKLGNPARIFFVQIDTGSDILWVTCSPCTGCPTSSGLNIPLDSFNPDASSTSSRITCSDDRCTAALQTGEAVCQTSDSPSSPCGYTFTYGDGSGTSGYYVSDTMYFDTVMGNEQTANSSASIVFGCSNSQSGDLTKADRAVDGIFGFGQHQLSVISQLNSLGVSPKVFSHCLKGSDNGGGILVLGEIVEPGLVYTPLVPSQPHYNLNLESIAVNGQKLPIDDSLFATSNTQGTIVDSGTTLAYLADGAYDPFVSAIAAAVSPSVRSLVSKGNQCFITSSSVDSSFPTVTLYFMGNVAMTVKPENYLLQQASVDNNVLWCIGWQRNQGQEITILGDLVLKDKIFVYDLANMRMGWADYDCSMSVNVTTSSGKNQYVNTGQYDVNGSLQRASYWGLIPTGVAVILVHMLIFGASRR >Et_2B_020546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21247385:21286402:1 gene:Et_2B_020546 transcript:Et_2B_020546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLSMAPPAFLVLLVLGPAIHLVVGQPGFLSIDCGLDDQYSGYKDPDNGIYYVSDGPYVDAGENLKMTPEYQSQWSRRFHTVRNFPLGVRNCYMLPTDAGGKYVVRISAEYGNHDGRNDSSSMEFDLHLGANYWDTVYVADELVYEVLFVAWASWAPVCLLNTGHGAPFISLLELRKLPAALYTPLTATQAMSLYARYNMGGSRFVRFPDDQYDRYWWTGVGPQWSNRSTSQTIQPDPRFVEPQAVLQTALEQTANVTPFNYTWVGRSAYPYMVFLHFTDFQSTQVRQFDIYFNDKRSDKPYSPQYLAASTLSNSAWFRAPDSKYNITLAATATSVLPPMLNALEIYRLVAFDGPTTSPKDFDAIMTIKLEYGVKRNWMGDPCFPSQYIWQGVKCSNTSDNTLRIISLDLSNSSLRGAISKNFSLLTAVQNLDLSYNNLSGSIPDSLISLSSLRFLYDADGYKCNIAPSPPKPPPQITKTAIIAISAVVPVLVVVILLLAYFIWREKRKPNVQQVPIYDPARDLQSENPPRSRKNYTEHLPNRENRRFTYRELEKFTNNLQRPIGKGGFGPVYYGRLEDDTEIAVKLRSESSSHGLDQFLAEVESLTKVHHRNIVSLIGYCWEKDHLALVYEYMSQGSLFDRLRGKNAAAGTLNWGTRVRIVLEAAQGLDYLHKGCSPPIIHRDVKSGNILLGQNLQAKIADLGLSKTYLRDGLSHMSVTAAGTPGFMDPEYYLTGRLTESSDVYSFGIVLLEVATGEPPTVPGYGHIVHRVQQMIATGDISSVADVQLGNAYDISSMWKLVDTAMICTAESASQRPTMATVVAQLKESLALEEAREKDTSTSLSRGSDIPSMASTPTYSFKVFLHFADFQNTQARQFDIYLNGNQIAPGGKPYSPPYLAASSVYNPGWFRATDRNYEVNLVATATSVLPPMLNAIEIYTLLDLDGPATFSKDFDAIMAIKFEYGVKKNWMGDPCFPSKYAWDGVKCSNTSDNTVRITSLDLSNSSLSGTISKNFTLLTALENLDLSYNNLKGSIPDSLTSLSSLRVLNVSGNHLSGDSLCKNYTGSLVFRYDSDGYKCNIAPAGSPNQPLIPRAAIIAVSVVVPVLVVVILLLVCFIWREKRKTNDPQVENAPGSRTSHVDPLSKTENRQFTYKELDKFTNNFERLIGQGGFGPVYYGRLEDNTEVAVKMRSEKSSHGLGQFLAEVESLTKVHHRNIVSLIGYCWEKSHLALVYEYMSQGSLFDHLRGENAMTQTLNWGARVRVVLEAAQGLDYLHKGCSPPIIHRDVKSANILLGKNLQAKIADLGLSKTYLSDAQSHITATAAGTTGYMDPQYYLTGRLTESSDVYSFGIVLLEVATGEPPMVPGYGHIVPRVQQMISTGDIASVADVRLGGAYDISSMWKLVDTAMVCTSESAAQRPTMGAVVAQLKESLALEEAREKGGSSGLSQGSDIPPMGSTGENLRASAEYESQFRRPYQTVRSFPSGVRNCYALPTDAGAKYLVRLSAAYGNHDGKNDSSSMEFDVYLGGNYWDTVYVVNDEVYEALFVAWASWVPVCLLNTGHGAPFLSVLELRKLPDALYPRLTATEAMNMQRRRNMGGTSSIIRFPGDKYDRYWWTTIGQQWANQSTSKTIQADSSFVEPQAVLQTALEPAANVTTFTYTWTGRSANSYMVFLHFADFQNTQVRQFDTYFNDKRSDKPYSPSYLAASSLSNSAWVRTTENKYNITLVATTTSVLPPMLNALEIYSLLALDNPTTFPKDIDAIMTIKLEYGVKKNWMGDPCFPSNAWDGVKCNNTNDNITRITSLDLSNSSLRGAISKNFTLLTALENLDLSYNNLSGSIPDSLTSLSSLRALNLSGNHLSTDSACKNYTGLLIVSILITVTSQNKIFFSLKLNPDLIRLCNFRYDSDGYNCNITPSPPHITKAAIVAISVVVPMLVVVILLLAYFIWRGKRNPNVQQVSTYNPAIDPQAENAQGSRKSHTALLPNTENRRFTYKELEKFTNNFKRFIGQGGFGPVYYGRLEDSTEVAVKLRSESSSHGLDQFFAEVESLTKVHHRNIVYLIGYCWEKDHLALVYEHMSQGSLFDRLRGKNAVAGTLNWGTRVRIVLEAAQGLDYLHKGCSPPIIHRDVKSGNILLGENLQAKIADFGLSKTYLSDNQSHMSVTAAGTSGYMDPQYYLTGRLTESSDVYSFGIVLLEVATGEPPVVPGYGHIVQRVQQMITTGDIGLVADARLGGAYDISSMWKLVDTAMICTTESAAQRPTMAAVVAQLKESLALEEARDNDTRTGLSRGSDIPPMGSTFHVHDSSTGFLSIDCGLDDKYSGYKDPDTGIFYVSDGAYTDAGENHMVAAEYQSDYSRPESTLRSFPSGVQNCYALPTEAGAKYLVRNDSSSMGFDLYLGSNYWDTVDVRDDVTYELVFLAWAGRAPVCLVNTGRGTPFASVVELRKLPGELYPPVTAVAALNMYTRINMGAGTETRFPNDQYDRFWFVPDSQQPQWRNLSSLSTIQPDPSFLEPSSVLQTAVTVAGNNGTRLNAMTWDDSRAYTFMVFLHFADFQSTQLRQFDIFFNGNQLGTGGMPMPYSPTPLAASCVYSSAWYRANDGHYSITLVATAPSALPPMLNALEVYTLVPQNNSMTFSKDFDAIMAIKLEYGVKKNWMGDPCSPPRSTWDGVKCRNTTDNILRITSLDLSNSHLGGVISSNFTLLAALENLDLSYNNLSGSIPDSLTRLSSLQVLNLSGNDLSGDSLCKNYTGSLVFRHDSDGYKCNIAPGQPNSPLLTKAASIAISVVVPVLVLVIFLIACFICRAKRKPNVEKNISKYDPARDPKVENTRGSRKSHVDNLPNNESRRFTYKELEKFTNNFERLIGQGGFGPVYYGRLEDNTEIAVKMRSESASHGLDQFLAEVESLTKVHHRNIVSLIGYCWEEDHLALIYEYMSQGSIFDHLRGKNVVAENMNWGTRVRVALEAAQGLDYLHKGCSPPIIHRDVKSANILLNKNLQAKIADLGLSKTYLYDAQSHISVTAAGTAGYMDPQYYLTGRLTESSDVYSFGIVLLEVATGEPPMVPGYGHIVPRVQQMIATGDISSVADARLGGAYDISSMWKLVDTAMLCTAESAAQRPTMAAVVAQLKESLALEEAREKDTSSGLSRGSDIPSMESTFRPMAR >Et_5B_044152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20868669:20870149:1 gene:Et_5B_044152 transcript:Et_5B_044152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPSSVLSFLFISQLVMAIANASLYCPTPCESQLTMELYLHDIHPPQPNHNLVVSVPFNEPGYHGFGSITVNDWALLDAPSPTAKLIGNAKGTGVNTDPVNGFIYQNSFSILFRDGSFTGSTLQVMGLNIVGEWAIVGGTGQLAFARGTIKYETVLNVPNVEYYLKLNIRALYSPQSFSMNDPGSFWWL >Et_4A_032293.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:28700119:28701093:-1 gene:Et_4A_032293 transcript:Et_4A_032293.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCSFYASTSVPVAKPQQSSPSSKPTSTAAVAAAATTIPARTATTTTTTNLPELPSQVKDKILSLELMGVDYRRALSLNPALRDAAPESIHAVVTFLQSRGLHFKDLGRVFGMCPSVLTASVRAHLRPVFAFLSDDLGLPEPAHRRAIVKCPRLLACSVRDQLRPALIYLRRLGFRDTRALALQDPILLVSSVERTMAPKLEYLAGLGMSRDDAVAMVLRCPALLTFSIERNYTPKFEYLVDAMGGGVEDVKAFPQYFSFSLEKRIVPRHRAATEAGVAMPLPDMLKVTDEEFREMLEKEQKLLEQQTATTD >Et_2B_021497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30069733:30072581:-1 gene:Et_2B_021497 transcript:Et_2B_021497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDLDFSNPETYLCPAIGNDPPSSCSMDSYFDEILKDTEHHACTHTHTCNPPVHDNSHTHTCVHVHTKIVAASPDAAVRKYREKKKAHTASLEEEVVHLRALNQQLMKKLQNHAALEAEVARLRCLLVDIRGRIEGEIGAFPYQRPVKNIDLVSNVDQGSFLGGAQVANSCDFRCSDQMYCNPGMQGTISGQVLGQAACDISNIQCMGNAKSGSTKIPVCGGCICSNSYVCEFVANDASTVPEASQALARRPGVSCDYQTVGTQWIRDV >Et_3A_023372.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:23964281:23964334:-1 gene:Et_3A_023372 transcript:Et_3A_023372.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKHLNLLVVPTVSE >Et_10A_000516.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1217608:1219822:1 gene:Et_10A_000516 transcript:Et_10A_000516.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNLRVGDYAEMEDFVTDPINNKAAFRGLKMYVKDLDSNTLPPFLARVCAPDKPSSYSEEEILCIFETAAEVHGRSIVPHIGQIIARVIRVMASVSRSFHSAGCSKVVCTLSRYCIDPLSREEEKSGILSSLCRPLSDCLMSSDENISSGSALCIAALVQSNNWQFASNELVNDVCLKVSGALEEAHCHTLVHLSLVVALSKYNPLTLEPYGRSLIRSGLQILDDSTKARNSQMIMSSIQMIHSIMESLDVRIISSEITSIIHALEKCHDESVSDIRIAAVQAAETAKVLGRQEGCGDQKKISLFAHSSERRSRKGSNSPIDCVDIRDSGSSGSSCERQSVRSFAGFDSQPAVGQCVSNLGGTRARRRLWSNGSHSSLEMPNYQFFRTAAPDSDDALSVRGHSNSAGLVKSGRRWSGVSRRIVDMCPVCSTPQAANQLSQVSKRQALSGDIRKQSTPRRQLRSFSPCRDSERYDRPSLASPAFRQIQCSGHCSNHALFQKNGEFEERLYCNSVQQGSQSHEQNNDLLTEDNLKFPTNSGRSDSAQQYEERQAEHEKMTERKKSKGKCSSGPLFPFFCLVVIVAFLLACWKQDCNELLYVVPT >Et_10A_000926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19198227:19199467:1 gene:Et_10A_000926 transcript:Et_10A_000926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPPLCEFIEYIDTKQTPEDIAHVYSIAKRARRHWFDMKAEERREKERRKLKRRKSVDVSTKQNVSKERRRNEDTNKRKIASLMRHARPKEKRCARELVVLGKQVLMHLQRGNIPVAPNRHLVLIAHQTGD >Et_1B_013783.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:16140205:16144352:-1 gene:Et_1B_013783 transcript:Et_1B_013783.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGLSGDDFDHFWVASGELGAQRREAASGGRAGANAFEEREACSLSSPQLNFFAGSATAADADADAAAPGFVSVPPSNLHQHLGDLLQSEKGADVVFDVGGQTFAAHRCVLAARSPVFSAELFGAMKESDGGCVVHIDDMEAQVFKALLSFIYTDVLPEVETEEQEEEDELYTDLYVSTVEIILAIAEQHQCDGLKKACLDFLGGPTDQLRAVVATHGQNHLVYVFFRFVPVCVA >Et_1A_005546.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11299053:11301856:1 gene:Et_1A_005546 transcript:Et_1A_005546.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMERTAASAVSRAEVDTSRPFQSVREAVEVFGERYAGGGISSNASSESSVKLSAAPPAASAMMLNCLQKLEADLAEAKDELVQLKQRQSEMEMTVSSLSVQFSKGLAVFSGLNKGKELVVVDAPAIGAEDDDGNCRVRSDRWDESRAEEWMANLEYLPSLSEALSIKMIEDDLGQRKERKVKGKKASRKKHKRSGISLVGGIFSKKTKSRRHVSYKDELEQSIVDTYSLAKLAGNIHSTTELGRSRKSIGNIGWIFRHAGISVKNWRDKDSLVDRVAMAEKD >Et_8B_060610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3235366:3238529:1 gene:Et_8B_060610 transcript:Et_8B_060610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAAAAAASEPDSSAAPPGVVQLLLRNIDSRTTVVRARRADTVDSLLGRLGVAAANRGDLRVVYGGRDLPRQATVGELGLPPDATLHVTSRLLSTPHPDSWNLASELAAAARLAASADQLVRNFFLNVTRDARGRKSSSPLGPVAADQLSIFLRSDAAGVLGQLYLSEHAEGGAGAERAIRRLLPPLFTLPEHGIAKLWTAPVLLEFCRAIAAAGARHDDPLYADLRRALAAILADPRWKRACWLDMPLEFVAKQLTVFVGETASAVTAHIGAGAYGSPAEANKHLAEFKAFSKALRRQLPHEPDSATLVSLLGSVDECMAMFDTSLARGEHAASSSSSSAPLRWTDSAPFVWAVLVELDAWAEIKYAWPELRGALRATLAAHDAATNALVTSVAGTVTEWTRSTGSWIARHRDLLGFEARRHLAVTMLRPKLPAADGGGHTPHNMLIDRARLLSDSFQCVAHATPAKLRAGLSVEFKHEYATGPGVRREWFCMVFQALFDPRQALFSACPSDRRRFFVNPASVVDPLHLPYYQFAGRMIALALMHNIPVGVLFDRTLFLLLAARPVTLDDIADADPLLHANCKKILEMDPSLVDSNVLGLTFVRETELLGSRMVTELISGGKDTIVNSENRSNYIHLLIQDRFVNCTRDQLAYFSFGFNSMFGRWELPKYFFEALDVEDFNQMLGGSKVTIDVKEWRAHTDYNGYRETSRRVKWFWKVVKAMTVEQQRLLLFFWTSVKYLPFDGFSGLSSRLSIFRSPNSCDHLPTSGTCFYKLNLPAYTSFDMMQSRLQMIVQEHVSSSFGKS >Et_10B_003357.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:19032227:19035507:-1 gene:Et_10B_003357 transcript:Et_10B_003357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGDLLTRTRKLVKGLAKPAPRWLKAMEEAPPVTFPRTDGKIKKIELPEDVYVKRFFKKHPDSLYHDAIKISGFDPPPARVFAWRVLELKEEGVSEDDAMAVADMEYRTEKKAKKKAYKELKEIARSEGKRPPPNPYPSAIKEIQAEEKKYVNDRLYNPKIIEIANKMKEERDQLLQDRGAPGYSLLI >Et_2A_014795.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:15367207:15367452:-1 gene:Et_2A_014795 transcript:Et_2A_014795.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTFAVLIHNNCSCSISNLSVKTNGFSSSTPVDPSAFRQDGETYLVNGGNPINSKSSFAFIYVFDHAFDLAPASWSVDHC >Et_2A_018245.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2007376:2008868:-1 gene:Et_2A_018245 transcript:Et_2A_018245.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVRVLNVTHVLPDQDQAATYSPPQPLPDDCIVKLSFMDALFVDRVVPMRRLFFYEGPGVPPFPCVVRAMWSSVAVALAFFSPLAGKLAYRASTGDVVVDCSPDAMAPGVRFVEAEFDGGVEDMRRLAGGDDGSAEALKQLGPELDAGQLPALVLAVQVTKPSVDGGERAVMVGVAVHHAVADGHSVWQFMKAWSAVSRAGLEKALDIVPPMFDRTPILRYPRAEEVARKIFHTVKSASASLPADQRRRTFLLSADEIQSVKQRILSQSKTMSEQPDTHPSTYVAVFSLMWTSISLHRDDDAYFLVAVDWRRRIGPPFDDRYFGNCVIPCVARAAARELCDDGAGLARAAAVILAAIRAQQQGDDPVSGMERWLESWRAVPGERLTVTASSNRFMAYETDFGWGAPSRVELVSLFARELVMLLGGADGGVQVTVTLGAEHMDGFVVNLLRLSGRDNEA >Et_5B_043251.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20152724:20153077:-1 gene:Et_5B_043251 transcript:Et_5B_043251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWFGGGAPGGAAEAGGGGGWGAGGPWYRRGAVVPPSTVCAERGPCHGKRLTCPARCFRSYSYSSKNGGGGGGGGGCTFDCTNRCVASC >Et_9B_065170.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21843052:21846076:1 gene:Et_9B_065170 transcript:Et_9B_065170.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPISTGLLKRVLEQQRKSFDFCRQSRAWTSTVSFSDLDDKDDIGYDDDRTDSKRELEPQTVDPKKGWGFRGVHRAIICGRVGQVPMQKILRSGRTITVFTVGTGGMFDQRVIGPADLPKPAQWHRIAVHNDHLGAYAVQKLVKNAAVYVEGDIETRVYNDSINGQVKNIPEICLRRDGKIRLLKSGDSAASISLDELRYQHRQARLEWLPFPSHLDRRAVSSPAFLDLEKATATCSALHKALADCLNEITRALLQADVRDLQNNIRRRSPPAPTS >Et_9A_062485.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23393932:23410546:-1 gene:Et_9A_062485 transcript:Et_9A_062485.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLVCAAVFDLSHMQAATLKIVIGSHIWLEDKNQAWIDGEVFQIEGQNAHVRTTNGKTVIASISDIHPKDTEVPPEGIDDMTRLSYLHEPGILNNIAIRYSKNIIYTYTGNILIAINPFQRLPHLAEPRTMDKYKGANFGELDPHVFAIADVSYRQMMNEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSKTEGRTVEQQVLESNPVLETFGNAKTEYLATRSAMNTVGITEEEQEATFRVVAAVLHLGNISFVKGREVDSSVIKDEKARFHLNAAAELLMCDRGKLENTLIKRKINTPEGVITTTVDPNSATVSRDGLAKQIYSQLFDWLVNRLNASIGQDASSDRLIGVLDIYGFESFKNNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIEKKLYEKFRNSKRFSKPKLSRTAFTIQHYAGEVTYQSDHFLDKNRDYVVVEHQELLNASKCSFVSGLFPLVLEENTKASKSSIATRFKSQLQELMETLSSTEPHYIRCIKPNNILKPAAFESINVLQQLRCSGVLEAIRISCAGYPTRKIFHDFLCRFRILAPEIFRERNTEKVMCQKILDKMGLHGYQIGRTKVFLRAGQMAELDARRTEVRNKAARAVQSRFRTHVARERFLQLRNTSISFQSFVRVILACKLRIFLRKHAAALQIQKSYRSYVASKSYSRLRSSAITLQTGLRTFGAYNEYIARKQKKASIHIQAQWRCHRDYSNYLKLKRSVLIYQCAWRRRVARGELRKLKMAARDTEALKMEKEKLEERVEELTYRLGLETKLRIDIEKNKAGEISKVQSALREMEQRVVEATEMKEKESAKKAIEEALALERGKITLLNTEVEELKILLLREQEENSGTKSTLLAAQERNDDLNKKIEAADENMEELRDTVKRFEESVTELESSLMIEKQQNKAIRMELGDAQQRVGELTRQVADANGESTELQTAVQRLQESLIEKDSTLLKERQENEATKKAITESHGRIEELLDKIEVTENDIGKFQDNIRRLEETAAALETSLLAEKHQSTVAMSQLAETQQAMELLQKKFADANRTNDMLQDSLKRFEENATTRDALYVAERQEHDQTKQALNKAQERNWELLRKLDDAEKSINKLLENVQRLEKHATARESLLLKTKQNLDSTAKGLAEAQGRNQDLITKFEDSAKKISMLEDSVKRLDEGTAEKESLLAVERQEHKTTKEELAIAQQKINEFEADATSKGTMLLSEKQEHETTKKILTETQRRNEESKKKIQDSDKNILQLRLTVDRLQEKSSSTESLLLREREQNNATMKAHAESQERNLQLLRKFEDVDKKICLLQGTVQRLGDNTAKDTLLLSERCEKDALKKALTDSEHVKEELLMRIEEANKKVENLQNTIISLKENISVTTASLEAERQENETIRRSLVEAQERNDVLYKKVRDSEYRAHQLQDTVQKLEGKAANLESENQMLRQQAIPTPPSTAKSQAAYSKISMIHRSQENGHILNGNVAYAEMKSSVCPTETRPAMHQQPQNDQRLLLKYITQYLGFSGSKPLAASLIYYCLLHWRSFEETKTGVFDSIIQAINSATEVQHDTRSLAYWLSNLSTLSVLLQRTFKITRATVSAPLRRRFSCERIFQANQTSNSGLAYFSAQSVDGTNGLHQIEARYPALLFKQQLVDLIEKVYGMISDKLKKELNPLLELCIQDPRTSFLNQAKASLSPATGSGPQDQLMHWLSIVKILNKYLHLLRANYVPSILVHKLLTQIFSIVNVQLFNRLLLRRECCSFSNGQYIRAGLTQLKHWCDDVTREFADSAWVALRHIRQAVDFLVISLKPIRTWEEIRNDVCPALTLQQLERIFISSMKATMHEESNSVSSFSVLLDDDSSIPFSLEDIARSMPNIEDSADNDLLPFIRENQSFAFLLQ >Et_6B_048967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:16043848:16051058:-1 gene:Et_6B_048967 transcript:Et_6B_048967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSDEEEDTEIAPAASEQQQVTAEGTETNTPAASELAAKVEGKLRQLEDCLERASQQLVAYGPRRDMGDFKQRCLAFTEMELSSVIASFKLIPQPLQLQDELDFDVEGWLHVVDSHSSRMTKSSARIIDDLIAPPSHMLLRSAAMWCFCRRVLTRSLQAFMVFVYFDASQSLTVSRSLLPATSNHVGLAPRESKAAGIPLVAINRPMKKLLRWLVSHEETNRGLRVMWIVGPAGVAKTALAMEIHNRLKCQTSGTPYSFHCNIMVRAPQTTHSHELLLQDILSKISDPATPAPSVDESQTNRMEFLVRCVLKRLKDKRYFICIDDMWEASGWEKIKGAFPDNNLGSRVLITTGDQSTTWSDCSHSDVLVHKMEPLNHVDTERLLWKQFAQIATSAQVDVDDRLMVPNNLWVQLLYMCMFPYGYLFDKDRLISKWFYEGFGCFRYDLQSFSRYPWQRNKFAAHFYFPLLVNNDVITWVAANRKMNPDETEAGHWHVNYLYHQFAVFKSAETGFAFTTATLKLAAAPKIKPRKLALHHPDPQLSSLLEALDLSWTRSLAVSGAVCQIPLDKFVGVVVLDLEGWENLTDEVLLQMCTSNMFFLQYLSIRNTRVSKLPLEIKKLCSLWMLDVSYTQISELPLEVYQLAHLRELDLRGTRIRKLPKQIVGLSLQVLLLGVEGMINSTETATRVPHDIQHLWYLETLATVDLSEEPASLITALGNLKYLQVLAITWSFHQCTDKAYSDALISSIREWKRLEYLTIHCGLGCSMEFLDSLSYPPEYLEKFKVTAGRFANVPKWISKLYHLSFVEITVCKQGREDLDILGGLPKLRCLILGLDFIPTKAVVIESEKFPELETFSVDCPMPRLIFQTGAMQKLTYLQLMFCATPASPNSVPSDIGNLQSLTEVALCYNVRCASSPSVRATVEAVRAQVANHNQIDLFINGIQDCDFQAACEGTENWTGAQSGTGAETKDDVQAVDEKLENAATQIQSTSEIEELRSDALFQEDDHSVSSGARHCFSSGSDNNWMVNSMDLAGASKRKLKSSGPVPSLTVSSAERVIRHAESRDTSQDHTAPLWPR >Et_2A_015488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:13636020:13638701:-1 gene:Et_2A_015488 transcript:Et_2A_015488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPGPHITPRGPPPKKKKTPRGPEPSTGHIKQARVGEAADQGRTEEAQAELRTAKAMAGTWVKFWARALAPDARSCAVEWRGWQRWGGGDFGLKTFIVRYQVLVSAFSIRCLFEHRFLLNSDVKRRLQLKFPLQYLIPMLLKLHVPALKTWYQLPCHESHQFNHLEQKGAGPGARSSHAITLVGDTAYAFGGEFTPRVPVDNTMYAFDLKTRTWSAVDATGDVPPPRVGVTMAAVGSTVLVFGGRDQDHKELNELYAFDTATGAWSLLSSGGDGPPHRSYHSMVADDAGGWVYVFGGCGDAGRLNDLWAYDVAGGGHWEQLPSPGDACRPRGGPGLAFAGGKVWVVYGFGGDKELDDVHCYDPAARTWSVVEAGGGGDKPSPRSVFCAVGVGRHVVVFGGEVDPSDLGHLGAGKFSAEAFALDTETGAWARLDDDDASYESEHHPGPRGWCAFAAGEMDGRRGLLVYGGNSPTNDRLGDIYFFSPVLDQAAN >Et_1B_013096.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5473656:5475464:-1 gene:Et_1B_013096 transcript:Et_1B_013096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAKAGFLVLAAAAWMCLSAVSGSAFDVPSVAFDEGFSPLFGDDNLVRSRDDRSVRLLLDRRSGSGFISSDYYLHGFFSAFIKLPRDYTAGVVVAFYVSVRLSMLLLHYFDLTIKHSYPNQDCLAHNTTLYGREVSEILLLDVQLSNGDVYEKTHDELDFEFLGSRWGGQWRVQTNVYGNGSTDHGREERYLLPFDPTVEVHRYSVLWAPTHIIFYIDDTPIREVIRHPDMGGDFPAKPMAVYATIWDGSTWATDGGKYKVNYKYAPFASEFSDLTLRGCRTDPGLRLARDSAAGGDCAEGGGLLGLVTADYAVMTPQKRAAMRAFRARHMTYTVCYDAMRYAAGPFPECDTSDEERQTFSAWGESKNVIMRQRARGRRRGRRAPAGAGTKGRSDVASS >Et_1B_013534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9286886:9293666:1 gene:Et_1B_013534 transcript:Et_1B_013534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLVAPPPQSGEGLAQPPLRRHQWRRIAVELDGRIDARFQHRESRLLLDSFTEVGAFDHKYYTHSEERCKTYIDRMINASSMHFHPVREGVSAMEFDKKGVYLASVTFSGCLTVHDFETLYCSIYGSSCSLPDDSSNYLLHIPNPTPLNTVRWNPGNQDEVACASSQSEKVFLFDIGYVSSVPTEILHKGKSKFPLLYSESRKSLTDLAFASDDKSRVFASGLDGAVYMWDRRLSKTHCLELAVSSESQFNSVKLNTDNRTVFAASKNGTIYAWDLRRGRASAAFQSHNEVQPLLSVKISTLLEKIASLKEQANIVSSPILSIDFNPSCSYQLAFHLDDGWSGVLNINNLIVSHLHCPPPAWLDNTDLALQKHLSKPTWLPTSSIYAVGSSSNDGIYLLDFHPDTSSACHVDYSEERRGSEDKQLAENKFIPLSQRVISCAAHPLNHTIIAGTQALKQRARYHPYPRVLK >Et_1A_008926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19734808:19737798:1 gene:Et_1A_008926 transcript:Et_1A_008926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRANTTVVRLALLLLVCSSCLLPRSEGRRRLAAAPPGPPIRAVNLGGWLLTEGWILPSLFYGITNNDTLDGTQLQFKSVTQNTYLAADQGGGSTIVADRTQASSWETFRLWRINATTYNFRVFGGQFWGVSSTGAVVATATTPGPSETFQIVRRDGDPTRMRIRAPNGLFLQAKTKQSLTADHGVDTDWSDSDPSVFETTTAGRLQGEYQICNGYGITDATKVLQDHWNTYIVEDDFSFIASSGLNAVRIPVGWWIASDPPPLPFVGGSLKALDNAFDWAEFPLNTKHLLTILSHLLFGCTKYNLGVIVDLHAAPGSQNPWEHSGSRDGTQSWGTSDANIAETVQVIEFLASRYANRASFLAVELLNEPLAPGATLPSLKKYYQDGYNAVRRHTSTAYVIMSNRLGTDDPTELLQFAGGFSGSVLDVHYYNLFNSAFNNLTVQQNIDFVKNNRSSDLAAVTTQNGSPLTFVGEWVAEWNVPGASKADYQKFAQVQEDVYGRATFGWAYWTLKNVNLAWSMEWMIKNGVISLKS >Et_7B_053475.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:21738713:21739099:1 gene:Et_7B_053475 transcript:Et_7B_053475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNNNVVASEVNTAVAKLNDHLTGDATTTIITLAGENNGANIETTAGDVEDLVVVGKPDEQEHEDDHEDPEESVVSAYTNSNYQAVNNSVLVAGSCAVNDPGVHVIVVEHVDEIRDYDEDIDGQEF >Et_10B_004180.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:17772485:17773081:1 gene:Et_10B_004180 transcript:Et_10B_004180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVARVHLAMANAALPGLLPTPPKNAMMPSPAAACIPILLPAKSPSNKAMPGRADAVDRWDAHKTKPGGSASPSSSSSSNSSPRSPASSSPGRASSCDRWDRNKNKCPSSTSQSASSHQRRESNSNASGEKWDSNKLWDVHKKPRSLQQARGRGAMGSDNKETTDKLPKAIYAGPGFLTSPEPCMLPIPAFMVRAR >Et_4A_033267.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20868247:20872502:-1 gene:Et_4A_033267 transcript:Et_4A_033267.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKLGSKPDAFKRQGQACIIYCKLPESVLLETPRFCTTGLPSDVTVEVGDMSFHLHKFPLLSKSALLERLIEESSDQEECIIKLNDIPGGAKSFELVARFCYGVKIELSPANVVYLRCASEHLQMTEEVAEDNLIAQSEMFLNQVVLRNWKDSMTALETCDELLPHAEDLQIVKRCIESLASKATTDPNLFGWPIREHGIMQSPGGSVLWNGISTGARPRNFSADWWYDDASSLTFPMYKRLISTMESRGIRPDIIAGSLMYYAKKYLPGLNRRHSMGAVPVTATLSEVEQKNLLEEIDGLLPVQKGLASTKVLFGLLRTAMILKANPACISNLEKRIGMQLDHATLEDLLLPNYSYTMETLYNVECVHRILDHFLAMDQANGAASPCLDDVMASPSLTPVTTVAKLIDGYLAEIAPDINLKLPKFQSLASAVPEYARPLDDGLYRAIDIYLKAHSWLSETEREQLCRLMDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCLQFSDNLEGSRPLRSGIATSGEAGGWATAVRENQVLKVGMDNMRMRLAELEKECSNMRHEIEKLGRGKSGWTSRVPKRFSLKMKSQMCSAQEGSVSEQQKSMSAKLDKLQAKVSRQKKQLSADS >Et_1A_007416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34253493:34255559:-1 gene:Et_1A_007416 transcript:Et_1A_007416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGPRFHGMIGGGGMQDNDINGFYNMPYYHKIGEGSHMSVDSADGFNLANCAGGSVAMSVDNSSVGSNESRTVILKHPGLRDTPTASYSVGNSVFRPNRVAAHTLNEDALARVLMDPNHPTEILSNYEQWTTDLGRLDMGEPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDPERAHLMEQQFVQEVMMLSTLSHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQHKSVPLKLAVKQALDVARGMAYVHALGFIHRDLKSDNLLIAADRSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNKGARPVIPHDCLPALSHIMTRCWDANPEVRPPFTEIVCMLERAEMDIVSNVRKARFRCCMSEPMTTE >Et_3A_025475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30076063:30079434:1 gene:Et_3A_025475 transcript:Et_3A_025475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRSATANSSPAATPSPAASSTPRSVKRRLTPSRAGQSPDASRHTSSRPSCSRRRRSPPGSGSTVTLSRLRSPNPAQMRAVKEALHVATVPSCGLVCRDDEQNRVLEFCKTCVEQEKAGSLYVCGCPGTGKTLSINKIKDSLLRWADEMGTETPDELAINCTNLGNASDIFGKILAQFQVRKKGSGKLSPLQQLQSMFSNKESAPRRMMMVIVDEMDYLITRDRGVLHDLFMLTTLPFSRCILIGIANAIDLADRFLPKLESLNCKPLVVTFRAYSKDQISDIIKHRLKVLEYDVFEPLALEFCARKVAAASGDMRKALGVCRSAVEVLEAKLKDSDQELGMVTFDHMDVALSKVFKSAVVDSILCLPQHQQMVLCALANTFQHCKKKATNLGELNKSYIEICRSTQVPAVGMLEFSNMCMVLSDQGFMKLGQSKEDKLRRVTLQIDSSDITFAFKGNRFFQKCLGLPR >Et_4B_039049.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7330488:7334235:-1 gene:Et_4B_039049 transcript:Et_4B_039049.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPPASQDASPSPSGSGSGSSRRRFRPRLERRNASKNIGYDATNFCSYPPSSTPASAPASGAASLAGSAACSLDLVTSFRIGGSGDGGGDVALLCQSLGLSGPDDFAIPLADWEAHKAVRLSSASSSPSSARSTPARDAPAQNSPLRREEPAQPADADPEPPAKPRNAPIEAPDRPARVDPPEPPAPVLDVKRVAGEGGIKGVRPPPVLKPPPSMAMPAVCRAGSTWDILASFAPDEEAHAPASRSGGGFGRQEEEDEDEDAAVSLTLEELRLGETSEDFTGTSSLSTTNDDETCSTTTESMFYISPNGRFRRKIRSWNRGVLLGSGSFGTVYEGISDEGVFFAVKEVSLYDQGSNAKQCIFQLEQEIALLSQFEHENIVQYYGTDKEDSKLYIFLELVTQGSLASLYQKYRLRDTHVSAYTRQILHGLIYLHERNIVHRDIKCANILVHANGSVKLADFGLAKEITKFNAVKSCKGTVYWMAPEVVIPRMTYGPAADIWSLGCTVLEMLTCQLPYPDLEWTQALYRIGKGEPPTIPSVLSRDARDFIRQCVKPNPDDRPSASKLLEHPFVNRSMRSVRSMRTSSRSNSSTRGVN >Et_1A_007722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37558941:37559474:-1 gene:Et_1A_007722 transcript:Et_1A_007722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPTSLLDLMSLPASSCDTAPPSPGMVQVVTRDVSDELLGKFEDTGEFGFEYGASGLWSPLVLRPEILMSVQAGEGGVAGAGGGRFSAVGDMQHLCWVGDGLMQFREPALVIITEIMYFSLLNCILLYIFFALLCTGM >Et_2A_015284.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10276402:10286756:-1 gene:Et_2A_015284 transcript:Et_2A_015284.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSEFQFVGVRFVPDFIDQNEEAGTALKYAYESLPEPPVTDDAPLAAGAAAAWSRVPNDGVDRISSLPDQILRNIVSRLPAKDAVRTGALASRWRGLWRSVPLVFTDAGLIPECREDPTWRPDLGDTLGINNAVSSILKTHLGPFRCLQITCCYMDMDKKQIKKWMQLIADKGVQELAFINRPWPLDHPLPATLFSCTSLPSLHIGAWKFPNTSALTDAAAFPHLKELFLSVITMKDRDLAFLIDSSPVLESLTIIASKTDVRISLVSHSLRCLQLGLSSLGDIAVADAPRLERLLLWMTQRRRVGGNKFSRIKIGNAPNLSMLGYWHPGRHELQIGDTIIGAATKLSPSTIIPSVRTLALEVHFEVRNEIKAVPSFLKCFPKVETLHIKSMKVDKPTGKVKLNFWQEACPAECVQHVKTLVIHEFKGNKNEHAFIKFIGERARVLENLAIVPCGESFCKCSESSLDARMRPFLTVKWVSKKMKELHTKFLFTPTTWSFSMGVDFSCADPFYIDCASMDQQPLASVSSRDMQAILQRLDDERNRLWTELGESTHIALFLAHESLPDPPVSRAAPLSFAAAAASPHDDGVDRISLLPDELLKNVVSRLPAKDAARTAALASRWRGLWRSVPLVLVDEHLLDGDAAVRRVAAVADDDASRAVVAAASGALAAHPGPFRCVRLTWSHMASHQAEVARWLQLLAAKGVQELDFINRPWPLDLPLSAALFSLTSLTRLHIGIWRFPDTAALNHAAAFPHLRELFLTLVLMTDQDLAFLLERCPVLEVLTIVSSRTGVRLRLASHSLRCLQLVMSPLANIALVDAPRLERLFLTATMGCGIGGKRCARINIDNAPNLCMLGYWQPGQHQLQIGRADIKAGTKVSSSTNLPSIRILGLEVQFEVRNEVKTVPTFLKCFPNIETLHISSMKVDEPTGKVNLKFWLEAGPVECVQQHVKKLVFHGFQGKRSEVAFLKFVAENAQVLEKMVIVMCSGSFSVENDVNVKLRPLTSAKWACKGVQLMIILKSPVCEEEHPFPWRFRMASEFSCGDPFDLPATTEHQPFMGVSMSEMQATLRQSTGLDHPDLMGLGAAFGLLVLYEGVPDPPVSRAAPLASAVAARPPADGVDRISRLPDQILRNVVARLPAKDAARTGALASRWRGLWRSVPLALVDEHVLPRHARTERMAPVGDDDIWSKLAVASASSALAAHPGPFRCAHLTRGHMASHEAEAKRWLQLLAAKGVQELVFINRPWPLNFPLPAEIFRCVSVTKLHLGIWMLPSTAKLPRSTRFPHLRELVLSFILMRNQDLAFLIERSPVLESLTMISTHPMSDESLRLVSRSLRCLQLSMCGWEHITVVDAPHLERLFLLMSTSDDEPLRIKIGHAPKLRMLGYWQPGDHELEISSTVIKEGTTVSPRTIVPSVQILALEVQFDVCNEVKMVPTFLKRFPNVETLHVYSQDTEEPADKLNLNFWLEAGPIECVQYHVKKFVFQEFRGKISELMFLKFIAERAQFLKKMVVTVSSGCFSSVHAVNAKLKPLTSAKWASEGCKLIVFKGSVSDGDAPAWCFHIASDSSCMDPFDLGTADAELNRDAYEPIIGISMSELQDSMEQTGGRPDAMGLGTAMVLVYLYDHIPDPPVSRAAPLASAVAARAPADGVDRVSRLPDELLKNVVSRLPAKDAARTGALASRWRGLWRSAPLALVDAHILPDGVPAERIAPGGDDVSPWPSSSRRPAPSPRTRAPSAACT >Et_1A_007407.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34248679:34251712:1 gene:Et_1A_007407 transcript:Et_1A_007407.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTPPKPAASPSSPPLLWDWADAAAPASGSSGEAPARRGGKEREGKRAKGEDGGGGEARCQVEGCGLDLGGAKEYHRKHRVCEAHTKCPRVVVAGQERRFCQQCSRFHALSEFDQKKRSCRRRLSDHNARRRKPQPDAFAFASARLPSSLFDDRRQISFVWNKAPLSHVRPFTSPWDSTSDFKLPHAKEIREISAKVGTIAGQVHLDKSHLSSAVPSLSHGKDELLPVKGPNTSVTASKFDGAPDLQRALSLLSAGSCGFPDPVQQASCLIQFSGASENSSDLRSSHGGSSALASCADEQHIAPQSQLVRFTMDTGSNGYDSTFFGLNQIN >Et_4A_035822.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:6779274:6779657:-1 gene:Et_4A_035822 transcript:Et_4A_035822.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKDKEKGDDELMVDDHIDADVEAVDANVEVVLQKLLVAADRYDLQRLKLMCEEKMCGYISATTVASILALADHHSCQGLKDACLDFMNSPEILQQVTEAGGLDHLTSSCPSVLKELITKLASLLV >Et_9B_063798.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14825724:14825912:1 gene:Et_9B_063798 transcript:Et_9B_063798.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKFAVCLGIEPVAAVRPARRRKKKACLPQLGDDAEEVEPSDAAPKDDDESGSSQSPHPDP >Et_10B_003005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15205090:15210560:-1 gene:Et_10B_003005 transcript:Et_10B_003005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKPFSLPLYPLPPASSIAPNYLPPRLLLKPPSPPRARVRHQPAPPGRAVSLARVRGWGIGEAAAAAAWDLSGPRFPGEMKYVLVTGGVVSGLGKGVTASSIGVVLKSCGLRITSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVLEKERKGEYLGKTVQVVPHITNAIQEWIERVAMIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQELEDNVKEKLSQFCHVPAANIVTLYDVSNIWRIPLLLQDQKAHEAILKVLNLESFSREPKLDEWVARASIFDSLTDIVRIAMVGKYTGLSDSYLSVLKALLHASVDCRRKLVIDWVASTDLEDSTAIEAPDAYKAAWNSLKGADGILVPGGFGDRGVQGKILAAKYARETNVPYLGICLGMQIAVVEFARHVMNLSDANSTEFDPDTKTPCVIFMPEGSKTHMGGTMRLGSRRTFFKVADCKSAKLYGNVAYVDERHRHRYEVNPDMVPEFENAGLKFVGRDDTGKRMEIIELPSHRYFVGAQFHPEFKSRPSKPSPLFVGLIAAASGQLDRMLDSYGHVSAKHPLSNGSYTSTVLQNGHAKKLANGLPNGTYYANGNGVHA >Et_2B_019932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15389127:15389770:1 gene:Et_2B_019932 transcript:Et_2B_019932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNDPPHNKETLPLGDGFIAPMCLCGTPCRLVKSLVLGDDYGKRLWMCNNYQYDPSQRLYSSDRRSRSPPPLCEFIEYVDTEQTSEDIAHVYRVAQRARRHWLHIEAEERREEERRKMRQEEDERRRKYEAERKQREEAERRRKQEEDRLAYEAREAERERMRERARRARAAGPDAFRKGKYPRCTQ >Et_2B_019197.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17064945:17065256:1 gene:Et_2B_019197 transcript:Et_2B_019197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTYYQRLSEPEGGGARAAARAWAALRRAAARVARLYAARQRRWAARRLWGGDRRRRVAAARARGYEYDSASYKRNFDDGAWMDEEGVSWHARSSFARVAAV >Et_2A_018856.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:9351182:9351853:-1 gene:Et_2A_018856 transcript:Et_2A_018856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKNNVATAGAVGLSRSTTILMGAVSGHHIFHVKGYSQTKEIGNGQYMTLGAFDVGGHSWSVQFYPDGISYDDRDYISFFLCCDNNLCRSGMPARFRFSLLSPEGKQVPSFSRTSKIHCFNKNSWGFQQFMSRREFEKSRWLKDDSFTIRCDVFVAAAETTEEDSLLDQPPPAVVPPKSDLQKDLANLLKSAHGTDVTIDVGGEMFYAHQWLLGARSAVFQ >Et_7B_055944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7921480:7922787:1 gene:Et_7B_055944 transcript:Et_7B_055944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADENQAGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >Et_2B_019154.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14404571:14405014:-1 gene:Et_2B_019154 transcript:Et_2B_019154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVLFVVLIGCLALPAQRIRSSYRNNHIVAAAAAAAAASTATVNSSAHEDKLRLIFCKEKPFCSQNLCFCCPRPRQCYDTKDECKAKCPACNPKCPSY >Et_1B_013377.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:7920858:7925897:-1 gene:Et_1B_013377 transcript:Et_1B_013377.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAETVFTPSLEGMKHVKSDNGVILTKPFLDVCKQILPVLDKFGAAMAIVKSDIGGNITRLETKYSTDPSKYEHLYSMVQEEVQNKTAKGSSSCTNGLLWLTRAMDFLVELFRNLLEHPDWTMSQACTDSYSKTLKKFHGWLASSSFTVAMKLAPNRDKFMEVISGTGDIKADIEKFCTSFSPLLKENHDFLTNMERTDKDNGSDMADTQTPNMHF >Et_6A_047486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6380045:6381049:-1 gene:Et_6A_047486 transcript:Et_6A_047486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQRKRFRFDRAEADVAAKTPHWTELPPDLLCEVSRRLHTATNYVRFHAVCRPWRDALPPESCRPVFPPVAAGGHRTARCIFSSSRRTWVVREADHAVVVPANEPPATAPSFDASSVYRRHGSLDWFNVSLYLLWTSVTTNLTTTSFEKGRCSVACHGGKVVLCHGLGAWCISGGRSWWKAAPVDRYQQYVSSHVLLESRGELLWVKSHSRLALDYYPDSVSVGGQLASRLSVSAHALREAGAGEEPEFDKRDGLSFADRIMFLGLPRGRGAVRRDRRRLRIFCHQEAHLVQSEFIEQLPGEWDGMACSWLTPRPAFAS >Et_7B_053700.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11191668:11192385:1 gene:Et_7B_053700 transcript:Et_7B_053700.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIRGFPCYVHRHIKALRSKSFPWFCEKVNDQFEVGVSLSGGQFKQVSFVNKHATISGGTHVDYVSNLIVAHAMSFMKDTLQLADIEECDLKRQLMIFIKVQMENPTFSSPTKEALATPQEEFASEFQFSDKFLKSASELLYLKPRNIIKA >Et_1B_012923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4174371:4177363:1 gene:Et_1B_012923 transcript:Et_1B_012923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPPQPPQMHGVVIITLPPPDQPDKGKTITAFTYSDDPAAPPPPPEPVMGYPAVAGVRRSRRALSLRRVGAMALVLGALAVAAYYCFYSDVAVQFLGMEQEEAQRNETRSFLLPLYPKARQGRSLREFGDVKLAARRVDDGGGRKARNKMEVKKAATNSTALLPIKGNVFPDGQYYTSIFVGNPPRPYFLDVDTGSDLTWVQCDAPCTNCAKGPHPLYKPAKEKIVPPKDSLCQELQGNQNYCETCKQCDYEIEYADQSSSMGVLARDDMHLIATNGGREKCAYDQQGQLLSSPAKTDGILGLSSAAISLPSQLANQGLISNVFGHCITREKGGGGYMFLGDDYVPRWGMTWTSIRSGPDNLYHTEVQNVKYGDQQLSAREETGNSVQVIFDSGSSYTYLPNEIYENLIAAIKYAFPGFVEDSSDRTLPLCWKADFHVRYLEDVKQFFKPLNLHFGKKWLVMSKTFTISPDDYLIISDKGNVCLGLLNGSEINLGSTIIIGDVSLRGKLVVYDNQRRQIGWANSDCSKPQTQKAFPFFL >Et_1A_008677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9809707:9812770:1 gene:Et_1A_008677 transcript:Et_1A_008677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIFVKKPKITDVDRAILTLKTQRRKLAQFQQQLEKVIEAEKEAARQLVQQKKRDRALIALKKKKAQEELLKQVDTWQMNVEQQLADIELASKQKAVFDSLKAGNAALKSIQNEINIDDVQKLMDDTAEAKAYQDEINAVLGEQLSAEDEEAVMAEFENLEAQLAVESLPDAPVSEVRPEEKVKAPSDAETAEDIDEVIELPDVPTKAPERPEAPEKTKVLEEPLPA >Et_3B_028079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:998586:1022323:1 gene:Et_3B_028079 transcript:Et_3B_028079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKCVCSPSRRREPALPTLLFLLFGGALLAAPAFARDTILAGDGISGNQTLVSKNGAFELGFFSPGPGIHYFLGVRFKKMPSTSPTFWVGDRIVITDLPGAALEVFGSSLCITEAGASLWCSTVAGDGPAPAAVVALLLDNGNLVVRDKANSSRILWQSFDYPGDSLLPGGRLGFDRDTGNNISLTYKDFSHNGSVCVDRSRRNGFVLTTDGHDLPGTFPDWMVSSKDNGSSLPSYPDEWRLGYFVTGCSRSLPFSCEANGQTEHDDSFIPFDKLQGLPYNSQYDSAENDEDCREACLSKCYCVAYAYDAGCKLWHHNLYNLSLASKPPYSKVYVRLGSKLKAKNGLHTGGVVLLVVGLIAVVSVIGTLVLLWIYRRDMFTCRKFEVEGSLAVYPYAQIKKATSKFSDKLGEGGFGSVFRGTMPGPTVVAVKTLKGLGHADKQFRAEVQTLGVIRHTNLVRLLGFCVKGDIRLLVYEFMPNGSLDSHLFSEKSNLLNWELRYQIALGIAKGLAYLHEGCEDCIIHCDIKPENILLDEEFCAKIADFGMAKLLGREFNSAVTTIRGTMGYLAPEWIYGQPITKKADVYSFGIVLLEIISGRRSTKRLKFGSHRYFPQYAAVQVNEGNVLCLLDERLEGNADVMELDVACRVACWCIQDMEDDRPSMGQVVLMLEDNGSSLVLNYPESPNMTEFLQFQLGQVTLNRWTEDSSANTSGWAPQSDGDCREACLSKCYCVAYAYDYGCKLWYNKLYNVSLASKPPYNKVYVRLGSKLRAKNRLHTRGIVILAVVPIAVVSVLVLLFLLWVYRRDLFTCKKFEVEGSLIVYSYAQIKKGTNKFSDKLGEGGFGSVFKGTMPGPTLVAVKRLKGLGHADKQFRTEVQTLGVIRHTNLVRLLGFCVKGDTRLLVYEFMPNGSLDSHLFSEKSSPLNWELRYQIALGIAKGLAYLHEECEECIIHCDIKPENVLLDDEFCAKIADFGMAKLLGRDFNSVLTTIRGTMGYLAPEWISGHPITKKTDVYSFGIVLLEIISGRRTRTRLQFGSHRYFPFHAAVQVNEGNVLCLLDDRLEGNADVKELDIACRVACWCIQDEEDDRPSMGQVVRMLEGVVKIDNPPIPSSFQNLIEAESDDDCREACLSICYCVAYAYDSGCKLWYHNLYNVSLDSKPPYSKVYVRLGSNPRAKNRLHTKGIVLLVAAFIGLVSVIMILLLLWIYKRDLLTCRKFEVEGSLIVYSYAQIKKGASKFSDKLGEGGFGSVFKGTMPGPTPVAVKRLKGLGHADKQFRTEVQTLGVIRHTNLVRLLGFCVKGDIRLLVYEFMPNGSLDSHLFPEKSSPLNWDLRYQIALGIAKGLAYLHEECEDCIIHCDIKPENILLDDEFCAKIADFGMAKLLGRDFNSVLTTFRGTMGYLAPEWISGHPITKKTDVYSFGIVLLEIISGRRTRTRLQFGSHRYFPFHAAVQVNEGNVLCLLDDRLEGNADVKELDVACRVACWCIQDSEDDRPSMGQVVRMLEGVVNIDNPPIPSSASTITAMPPRLPLFVAALLLSTCLHLASSEPEADKAALLDFLAAVGRGGRARSINWASSPQRACGVWTGVTCSADGSRVVALRLPGLGLSGPVPPRTLGRLTALQVLSLRANNLSGPFPDDVLGLTALTGLHLHHNAFTGALPDGLARMRSLQVLDLSFNGFDGALPGAALSNLTQLVALNLSNNSLSGHVPDLRLPALQFLNLSNNRFDGPVPKSLLRFADAAFAGNNVTRQAAPALPPPAAQSPPATGASPATSERQVRLGQSAILAMSVGGCVLAFAIAAGLLIAFCNRESSDEMGSVVSGKGGDKKGRESPESKAVIGKAGDGNRIVFFEGPSLAFDLEDLLRASAEVLGKGAFGTAYRAVLEDATTVVVKRLKEVSAGRREFEQQMELVGRIRHDNVVELRAYYYSKDEKLLVYDYYISGSVSNMLHGKRGEDRTPLDWETRLKIALGAARGIAYIHTENNGKFVHGNIKASNVFVNKHQYGCISDLGLAALMNPITARSRSLGHCAPEITDTRKASQCSDVYSFGVFILELLTGKSPVQIISGGNEVVHLVRWVQSVVREEWTAEVFDAELLRYPNIEEEMVEMLQIAMACVSRTPERRPKITEVVRMIEDVRRSDTGTRPSTEASTPAVEAHNRGESSSAAASTDDPVR >Et_7B_053450.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:20288333:20288640:-1 gene:Et_7B_053450 transcript:Et_7B_053450.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARNVLVATGLLAFAGAGLAFPFLFVKSKNKPIIDSSKPLPPQATFRGPYVNTGSRDIGPDPTNYPKK >Et_3A_026260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:6017403:6019655:-1 gene:Et_3A_026260 transcript:Et_3A_026260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTSFTNSSPFALESCKSFTATRHPSCQGVLDAIRADAGETQAVVTLDAFPTALHAFGAIPRDHGRRPLQKESSLHARDVVAPIPVRRPKSRHNCEDAARRDCLIDAELPLTAKPDERGVVTRQSSKSRRSLMCRVLTPGKEQYVGVGFADGERRHAATE >Et_8A_057452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:3150925:3157185:-1 gene:Et_8A_057452 transcript:Et_8A_057452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSARPRFGHYSNFARDVRRGRYPVGFPGPGQVKITDEWGCGCSVFANRARVPSSPPRSTQSLAPVVSGVKQAIREEMAPRRRGGDPPPAVRKGPWTKEEDAVLREHVEKHGPKQWSDIQSKGLLQRTGKSCRLRYVNKLRPGLKKGPFSEDEQNTVFNMQSEHGNRWAMIAQNLPGRTDNDVKNFWKAASEELTRAAAAASEEEVRQPAWRQFVSGTSRVAPFVIKLTVSNPARPTMIPFFQETAPDLGQSSKRRTRCAPTSLRSPHPECSVCRPPPSTLPWNAARPPALLPSTSRARVLSPAPCPRRATSARAAAAAARRRSSRPCLRAMLQEIDAENSVCLRALPPPQHQWPRES >Et_6B_048286.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:9873793:9874636:-1 gene:Et_6B_048286 transcript:Et_6B_048286.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TPAIKGNSKDPVQRESNKLHGALNTRGTKRREISEGSLSHNAGSLQADLAPAGGAGGPAPPWERGEVHRPGHGGDGGDGDGLGGSPAAAVGGGQRPAVHQLRRAEERRGAVLQARGAVLQLQDHHHGQPLHQGMREHHQVQGGRRSLDFCWDVLGSCNVWLVQV >Et_7B_053664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:10924564:10924979:-1 gene:Et_7B_053664 transcript:Et_7B_053664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VLMASNYVDTGMEEGRFYSHGHGHNSTTPTGAAASPKTMGRSWSSASSAHGHGHGARKLPAPPHQLPRPPGVPSVPGHHRVLVVVLPHRRGAVTERDRRNSRNQETHTQQEEEGQIKGKKHKQSH >Et_4A_032790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13978580:13985331:1 gene:Et_4A_032790 transcript:Et_4A_032790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHAEMDGSGVPLAVLLKRELHNQKVEKPDILFGEANKTKKGEDFTLLVPKCHRSPAEGGSVGGEIAGDDDGISVFAIFDGHNGSAAAIYTRENLLNNVLAAIPPNLTSEEWTAALPRALVAGFVKTDKDFQTKAARSGTTVTFVIIDGWVVTVASVGDSRCILESAEGTVYFLSADHRLDANEEEVERVTASGGEVGRINIAGGAGIGPLRCWPGGLCLSRSIGDLDVGEFIVPVPHVKQVKLSNAGGRLVIASDGVWDALRFQEALNYTRGLPAEAAANRIVKEAVTSKGLRDDTTCIVVDILPPEKLSPPIKRHGKGGIIALFRRRPSDEMSEDQMDRGCLEPDVVEEIYEEGSAMLARRLNMNYPAGNMFKLHACAVCQLEMKPGEGISVHGNMPKHSRVDPWGGPFLCSSCQVKKVAMEGKLNSTNSQSAVQPVHNSMIRLIFRRIIKGLRRP >Et_1B_014282.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:496232:497587:1 gene:Et_1B_014282 transcript:Et_1B_014282.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVLDRLTVAASPPAPGGVLPLTFFDVPWLFTGPVERVFFYPYPHPIEHFTARLLPNLTSSLSAALHGFYPLLGRVRPCPDGYEFCSTGGDAGGVELTVAESSDDFDDLSSGGARDVSRLYALVPQLPRTEDGSFALAAAQVTVFAGKGLAVGVSIHHVACDDSSYMHFVKTWAGQCRVAAGEDAAAAVPPPPFLDRGVIADPEGRAARTLDEMRQLAANGPPPPPPPGPPLKLVIASYALSRDCIDKLKQRVVATGTGSANGGGRVHCSAFTVACAFAWACLARVDGSCAGKKRAHLLFSVECRRRLTPPVPQEYLGNCLRPCFVDVDMGELLGADGVVTAAAAIGAAIRGLDDGVLDGADGWFHKILSLVPERPMSVGGSPRYGVYDTDFGLGRPSKVELVSIDKTPGTVSLAEGRDAQAGIQIGVVLPEAEMAQFSSCFSDGLKQL >Et_8A_058475.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:8847473:8848222:1 gene:Et_8A_058475 transcript:Et_8A_058475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRSGRAGSAMRRTTSLTEFAPPDVLAALADVAEEEEEDGELQLAAHGAEEEEAERKEDEGPYGWSVGGAAGRADWLAAYRARAAPARLGLRRNSADFSAAETAAFLRACGLCNRRLGPGRDTFMYRLVAALLCSVPFLISLTDPLIRSALIKSIGPQRERSTLIGFFFLLCGGCRGDTAFCSLECRQQHITIEEWKEQCTALATMDTPRQAPSLADPVKPEESEIPNKPGAAAAAGGGGGGMLAAA >Et_2A_018784.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7491594:7496437:-1 gene:Et_2A_018784 transcript:Et_2A_018784.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRTAGLPALLAAALLLNFFCASASAASSYPASELLFFFLLGFQFPVAVQFFAPNAESCRVAGIAGKLVSTTASALAKRLWSLKSAATNKGNGRGPSPAVGVGSLFGSWSVRFPSPGLVFLVSAAGAAVAGRSMVRYEGGYAVDTVFDGSKLGIEPHAVEVTPAGEMLVLDSINSNIYRVQLPLSRCAFKIMPDSHASSEYVSNTVDSRPKLLAGSPEGLSGHVDGRLREARMNHPKGFTVDDRGNIYVADSMNMAIRKISDTVTKYISSSCSLLVIDRGNQAIREIPLNDDDCAYQDEVGCPLGIALLFAAGFFGYMLALLQRRIFGMASATDIRRSNTNFTIPQTPPTPSMASIPAYQKPLRPYVHPPLIPREDEAAKQETDEKFFTSVGKLIGGAKSSVAEMFGSPFSKKKRLSSQYHHQQRRPNHWPVQESYAIPHDETPPPLDSRAPTPQKNYTLMTKEPQTSAFKLGNTYYS >Et_1A_006459.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22725128:22727472:-1 gene:Et_1A_006459 transcript:Et_1A_006459.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVYGAGGAMKSGKLGMEGAVDLQLNRIRITLSSKNVKNLEKVCADLVKGAKAKELRVKGPVRIPTKVLHITTRKSPCGEGTNTWDRFEFRIHKRVIDLISSPDVVKQITSITIEPGVEVEVTIADV >Et_5B_043687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1414876:1417629:-1 gene:Et_5B_043687 transcript:Et_5B_043687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWRRISVLALVLVISASSHGRVSIVNTSTHLAPLKSTTSLQFHIAELQVKNSKQSFVYNHTLAKTLVEYASAVYMTDLTSLYTWTCSRCNDLTRGFEMRSLIVDVENCLQAFVGVAHNLNSIVVAIRGTQENSVQNWIKDLIWKQLDLSYPNMPNAKVHSGFFSSYNNTILRLAITSAVHKARKSYGDINVIVTGHSMGGAMASFCALDLAINLGGDKVQLMTFGQPRVGNAAFAKYFAKYVPNTIRITHGHDIVPHLPPYFSFLPQLTYHHFPREVWVQDSKGNTTEKICDNSGEDPDCCRCLSMFSLSIQDHFTYLGLDMEADDWSTCRIITAQSVKQFQKKLASNILFAHHDIDVSIVEPSEQTDWSSST >Et_9A_062583.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24203279:24205828:-1 gene:Et_9A_062583 transcript:Et_9A_062583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KVVDVSYSVEDSDGILNVEDDDGVLSAEDYSSTDDDDGGSSTDDDDGGSSTDDDDGGSSTDDDDGGLSVERYDCGSSTKDGDGCLSVEAALARDLAYWEAMEYVPEWSKKQNVVEALHIVRCQEFTEIDPKLGFAVPTRFCQFNIALFDFEKESLAGLGEPLSKLTDSEKTLLDASINIISVVVPESDVGYPVSVFGTILARDDVDFKCVYLFRRSRDSPQVITSPNDMLILTGPFRGLSATDNLFFEINLKIKGDDGDKDFSKGVIKHCGTDSCHTKAFVTRQLTSWKSTVQLVYTYVPRALEATIAIKFLKGLHSFNGVVTAWTSGNKNKIILQACQQKSELMDLLIYLAVW >Et_2B_019178.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15802880:15803131:1 gene:Et_2B_019178 transcript:Et_2B_019178.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLNKVKEDRSSLCFIIREQNSIAHELAQLVKRTTHTAVWRGQIPSCIEPMIAQECTFNPE >Et_5B_045406.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21307653:21311001:-1 gene:Et_5B_045406 transcript:Et_5B_045406.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVGKTRVGRYELGRTLGEGTFAKVKFARNVETGENVAIKILDKEKVLRHKMIAQIKREISTMKLIRHPNVIRMHEVMASKTKIYIVMELVTGGELFDKIASRGRLKEDDARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDATGTLKVSDFGLSALSQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLMSLYKKIFKADFSCPSWFSTSAKKLIKKILDPNPSTRITIAELINNEWFKKGYQPPRFETADVTLDDDPAQLVVERREERPSVMNAFELISTSQGLNLGTLFEKQTGSVKRETRFASRLPANEILSKIEAAAGPMGFNVQKRNYKLKLQGENPGRKGQLAIATEVFEVTPSLYMVELRKSNGDTLEFHKFYHNISNGLKDVMWKPESGIVEGDETQHRRSP >Et_2B_020289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18674639:18676098:-1 gene:Et_2B_020289 transcript:Et_2B_020289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAASCRALRPSRGWFLLPSCNPASSCGQRRPPLLKVTMPQTYASVSVKKCAAASDHRRQELRASEYQFDDDEPLWLAVVRDLAAGLRGLLAFLAEQPRQLKYLEWPGFQNTLKTATLTLVLVAVFIVALSTVDAAL >Et_3B_028205.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:11771529:11772171:-1 gene:Et_3B_028205 transcript:Et_3B_028205.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYIVGWGCTSALAWNCKALKLILVPQAWAGHDTGTGSGANLSDPGQRRAGRARLLLDGMPRGTCAPGPPWCVGSRGERPPPPRRGGDVLVDGAAPWRSCVTHDAGALACGKQGPHGRRQRVDRTTPTKCAVGVQGVRGAPGERPVPRRAAVALALMLRRGIQPDGALFLALLPCLLPCREADQAQLLLDTMTRGYRVGSTTLA >Et_7B_055551.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:13991982:13992422:-1 gene:Et_7B_055551 transcript:Et_7B_055551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALAGAHTVGFSHCAEFAGRLYGFRHGAGGEGGGYDPRLNPEFALALRRSCAGYKSDPTVSIFNDVVTPRDFDEAYYKNLPRGLGLLASDAALWEYPPTRVFVQRYADNRTAFFEDFAAAMQRLGAVGVKTGRQGVIRKRCDALD >Et_10B_003207.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:17753443:17757515:-1 gene:Et_10B_003207 transcript:Et_10B_003207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSKQSSLPAPLAESMAEETASRTDAAVGVAELTVREVREATGSFSRARLIGKGKDATVYRASLRSGRAAAAAKRLDLPRSSGGRDAATILLRQHIAVVSKLRHENVVRLLGYTITGDLGVLFYEFAAMGTLHDALHGPRGELRPAGARPAVSLSWAQRVKIALDAARGLAYMHEARATHGDVRPTNVLLFEGFRAKIGDYNLFRDTKVSYDEFSNPHTVFDVHPFVYTAPEIAMTGSHATAKGDVYSFGVVLLELLTGRSRLIDNKVCLLQWAPPLLTEGRIEECIDTKLGDQYCRAGALELGRLATRCVQDRATDRPSMDTVAGELYQQHRSARQAS >Et_4B_037848.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24014097:24017432:1 gene:Et_4B_037848 transcript:Et_4B_037848.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAFSTSFSALLRAPPQRTRRLLLAAAKRAHSAAAGESRARGGLPRFHTPSLPSSKGEVVRVQGDEFWHMTRVLRLGIDDRVELFDGAGGLVEGSIQKVDKSGSDVELLEDARRVAPQGIQWHVFAAFGTLKGGRADWLIEKCTELGACSVTPLLTERCHTIAENRVDRLQRLVMAAVKQCQRIHEMSLKPPIPIGKFLPVVSQSRLAFLASAEAPPLLSVLPKSSTEQNFTEEEVDALKAAGAIPVGLGPCRLRVETATISLLSALMLWSDAKHQDTQEKKNALGMPPHRGRPLDVHGIEVGRKQVEGRDVGQAEPELEWLELRDVLTSAIKWQQPVSGMAPFSDCCVKAACAQQARLQVGKDSYIVDVGRERQPWIHGYKANGEKKLSSSKQVRRRVRFPEEHWDHW >Et_3A_025134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27129628:27132849:-1 gene:Et_3A_025134 transcript:Et_3A_025134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRVGSAALLLLLLLGVECPGARAGQTSKYRQQLGSAVDMPLDADVFRPPPGDNAPEQVHITQGNHDGTAMIISWVTTTEPGSSTVIYGISEDNLNYSANGKHTQYTFYNYTSGYIHHCTIKNLEFDTKYYYAVGIGQTVRKFWFRTPPKSGPDVPYTFGLIGDLGQSFDSNVTLTHYEFNSKAQAVLFVGDLSYADNYPYHDNVRWDTWARFVERNVAYQPWIWTAGNHEIDFAPELGETKPFKPYTNRYPTPYKASGSTAPYWYSIKRASAYIIVLASYSSYGKYTPQYKWLEAEFPKVNRSETPWLIVLMHAPWYNSYNYHYMEGESMRVMYEPWFVKYKVDVVFAGHVHAYERTHRVSNVAYNVVNGLCTPIPDQSAPVYITIGDGGNQEGLATNMSQPQPSYSAFREASFGHAILDIKNRTHAYYTWHRNQDGSAVAADSLWFTNRYWQPTDDSADQ >Et_9B_064764.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18427259:18428519:1 gene:Et_9B_064764 transcript:Et_9B_064764.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGKPKPAGPAPPPPPPLPEAKKTFMRRMFPFLLAANLFVGVYVLVRTYQKDSGKKDAATSIASTAATADKPAEPIPSPRRVLPPIPEDEQRQLYKWMLEEKRKIKPRNAAEKKKLDEEKAFLKEVIRAESLPSL >Et_8A_058007.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:1225282:1237118:-1 gene:Et_8A_058007 transcript:Et_8A_058007.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAFDVVVVVLGHGAAGELARADSLLRPVRSPHGLQHERRADELRREHADPHAGEVEPPWPERQRERRRHADAVERRDVDPRRRPRPRAAAEHAAPCRLRAVPELGGAEDGQRGGGEPEDGGVGGEHPRPHAPHGRRERARDEPQRGAGGEPDAGGAARAVRAARAELVADARGDGAAERVREDVEQRGGLDQDPHGRHRRLRVGEHAAEQHHDLVPPPLQAHRHAALHGQPRQFPPLHHRSTFFISRRRRRASAGTGGGFAVAVAVDIAIVAMQEAGDVDVCHEEEQEVQVGADGGERDAADAEAEAAHEEEVDGDVEQQRGGGGVRERERDGLRAEEDAERVEARLHGEVRERAQDELVRRGGDLGVLASGDEDAAHREPEQRDGDRRHQEQRDGAAERGGEDPRAAGAERLPAHGRQGAAGEGQPAEPAEEEHGHQGPHVHQQTRADHGHGEPHDGRRLGDDGFTSVAAAAATRAVITQLRAGRGFREQGLVVALCRLIGAVAHCNATREDLCSLQITRPMGSKISSAHMGWTVGVVTSEASALMLDRPAHCWSIMALCTVRVIQLGTPNLTVHANPYVCSNERSPSDSFTEPKESFLASSAPYLPAAVAPLVSECLESDRSNNSNGNPRRKKRLTSACRSSNPPVMRRISEI >Et_2A_014535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20565820:20566371:1 gene:Et_2A_014535 transcript:Et_2A_014535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLPPQQSPWSGFASMLQEGILTDIAINTADEKELIAHRFALLGAADKYDVSDLKVACLDSLLQDIGTENLIERLQVAHLYRLPELKRSCIRLLVDFRKLYEIQDDFNEFIRTADNDLVVEILQYVLHCFTCVG >Et_4A_032698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12703940:12714846:1 gene:Et_4A_032698 transcript:Et_4A_032698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFTMAVLYLFSHHPGILALRAQHVISAASEVKSTVRDSTATILAAVTLLLLAAACHASPYYPLQMGYYHDKCPQAESIVKAVMEKAIDQNPGNGAAVIRMLFHDCFVEPDTGEAQPAQQPKPARLRADRRDQGRPQAACPGVVSCADIIAFAARDASYFLSGGKVYFDMPSGRLDGTFSNASEPLKFLAPSTSNLSDLIDSFDVKGLSVDDLVILSGAHTIGRSHCSSFVPDRIAVPSDIDAGFAGFLRSQCPANPTNSDDPTNVLSHTVLFTSDAALLTSPETAKMVVDNANIPGWWEDRFKSAMVKLASIEVKTGYQGQDRHFTTPLSQQSTTTIRSASMARNAAALLSCALLLAAACHPAAAGYYKPPPNPATCGLKVGYYHDKCPHAEDIIKHVVGAAVRQNPGIGAGLIRMLFHDCFVEGCDASVLLDPTPANPQPEKLSPPNNPSLRGFEVIDAAKAAVERACPGVVSCADIIAFAARDASFFLSSGKVYFDMPSGRLDGRVSIDSRALDFLPPPTFSLSQLIDSFAAKGLGVEDMVVLSGAHTVGRSHCSSFVPDRLAVPSNIDPALAASLRGQCPASPSPANDPTVMQDVVTPVRLDNQYYRNVLAKKVLFTSDDALVTSPATAKMVMDNANIPGWWEDRFKAAMVKMASVEVKTGTAGEVRRNCRAVNY >Et_1A_006842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28169693:28173638:1 gene:Et_1A_006842 transcript:Et_1A_006842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPGPKDLEEVNDGGEGLRRSPLTKRPRNKPMDLIEEVQRMLQRHNEKIESMCRENQELREKVSSLTADISRFGGYLQHSPAPRMSSDKNCSLPLRLQFYSTRKIEADDKNLLKVAIYDQNNKIITCEPFSSMRVHIVAIHGDFDDDHKGRWTEDNGETRKRTVAIWEIIFRLQDGVGYLNSARFQDNSSFVPSKRFKLGVMAADERISERIQEGITESFAVKDIRGFSTKKSCNPSPHDAVYKLSRISMNGDKHRSLEQNGIKTVEDLLCSYSKNPDDLRKIMGKISEQDWDKLISHALKCEPRAGAYYSCIQESSVSREHWPFSRRNDSCCPKESSLVEPNSTIQKQLNVQLRHHQIYSTLNGPSSAASSQNVQRNIVLPGNPSAGVTMEGFLSMLQTALLEDEACGDFDFSEISRGSNSNVVEHSGGLSSINEARNMNYGGRSPASEAGSVISGGISPASEVGSINYRKFLPSPIRKAGSKRFREEPRLEPVREADTVSDASTYFRAEDEY >Et_3B_027479.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:20795518:20798807:1 gene:Et_3B_027479 transcript:Et_3B_027479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINNRSMFPPNTYVFTIIMYLYVGNSCSCFLKCASIFPQVIFSTTCCFMTSFMEDHGSWDSLLVDLVRTPTFNPVVVSEVSNGSKEFSISLDNVVPDDILERIFTFLPIASMMRATAVCKRWYGIIYSSRFLWTHMLPQRPWYFMFTSNETAAGYAFDPILRKWYDLELPCIDKSSCFVSSSCGLVCFMDNDNRNIVSVSNPITKDCRRLLEPPGVTLPDYSTIAMKVDRLSHNYTITVAKSKQIPEDFVRWDFSVYKYDSWNGTWVAAVSEVFIGWRGGDDSVICNGVLYCLIHSTGVLGNVNPRHGLIMYDLVAGPSNASLMQTSIPVPCSLTCGRLLNLKEKLVLVGGIAKYNRPDIIKGIGIWELHEKQWHELARMPHKFFQGFGEFDDVFASSGTDDLVYIQSYGATALLVFDMTQRQWRWSVKCPVSKRFPLQLFTGFCFEPRLDITT >Et_7A_052652.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10804438:10808955:-1 gene:Et_7A_052652 transcript:Et_7A_052652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEHYHHAGPSLHWPCATRRRKRDDDDDEPPHMDPPADTEAHHAADKLKAVAQELGHEIRVFSSETFAAIPSKLPGADQEEDDDFYELQPADYFNLISNRMAEQSKMLKTRKMREAELAAQRAKITKAVMRVRFPDGYILEADFLPSERIHSLVDLLVKVIARPDLPFYLYTVPPKKRVQDTSQDFFTVGFVPGANVHFSYDLAEGSSVNTDGVKSGPYLREEIRSLDGLSLLSKPASQPVDSGINSSTHQPNESQSDSAASTNKKPNRPKWFKRNLCDATANVMIVWHDARLLSPDQAFYPQK >Et_10A_000190.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:16089824:16090810:-1 gene:Et_10A_000190 transcript:Et_10A_000190.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPPSLQPSTLPPPVPELYRSTLPWPDLLAGVAAATRRLVHAHSRHFLALTSLLLLPLGLLLIALPTPLLPSISLCSPLPDPRRSPLPAPLPLLYLAAALLYLAAFAAAATSAHAGFFGRPVRLLASLRSVPASLLRLAVTALPSTPLLLLPLLPLPGHLGAGLAVLGFVLLSPFWSLAGAAAVVESTAGLVPLRRSCRLLSGARLAALSAFLVFAAGVGVTLSGFGGVAAGTYDAAAGWAGMAPVVVKAVAGTALLAVLMQYGIVANVVLYMHCRALQGELAGEIYNEFADMYVFLPFDDGKNRHVISVVTVWP >Et_6B_049856.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:15421218:15421775:1 gene:Et_6B_049856 transcript:Et_6B_049856.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRWKPFLGAFDHIDAAIDSASAGGAGPEGVIPREEFKRARARIVETLCGATDDAVAEALCLLLDDAMAESLVTLRMAHADKKKNLDLLASGELVAAVGALARATRRSASASSRVTSFAGGGWPSRPTSPRQGPPWPRWIASPPPPQTTPRAEDTAEQRRPASPDVDAAAATTKKKSSSPRFR >Et_4A_033576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24654246:24660204:-1 gene:Et_4A_033576 transcript:Et_4A_033576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRAHTWAGVGKTAQAAAAHAALFCFTLLLALRVDGRTTYSWWVIFIPLWLFHGIVARGRFSLPAPSLPHGRHWAPCHSVVAAPLLIAFELLLCIYLESIRVRNHPSVDLKIVFLPLLAFEAIILIDNFRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFLIAATTFTLLKLSGDVGALGWWDLFINYGIAECFAFLVCTRWFNPMIHKSPTHGEASSSSSAIRYRDWESGLVLPSLEDHEQEKLCGLPDIGGHIMKVPLVAFQVLLCMRLEGTPPSARYIPIFALFSPLFILQGAGVLFSLVRLVEKAVLLLRNGPVNPNYLTASSKVRDCFAFLHRGSRLLGWWSIDEESKEEQARLFYSESTGYNTFCGYPPEVVRKMPKKDLAEEEKVLCRICYEGEICMVLLPCRHRTLCKSCSDKCKKCPICRVPIEERMPVYDV >Et_2A_018068.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9786860:9790452:1 gene:Et_2A_018068 transcript:Et_2A_018068.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDSPSAAAIPVISGAKTAARTVAQHRHSVVGFLMGFFLVLLLYTTVSSQFGPRAAIGLLQSTEAVRTDQNTRNPLTSPSSTPSNLTGGDVHVVGNVVKQGIVQKTDAANKGKDEHIHHDVKNNKTEAKSGNEQGKTTVQADQTVNEASDRMEEELIRQEIDQGAVKNDTNKKPAGAPRKPICDLSDPRYDICEITGDARTMGANRTVLYVPPAGELGGDIQEWSIRDQSRKHLEYVNKVTVVSLNNASRPAPACTSRHAVPAVVFAMNGLTSNPWHDFSDVLIPLFLTTRAYDGEVQLLVSDLQPWFVDKYALVLKNLSRYELVDFNADGAVRCFPRVTVGLRSHRDLGIDPARAPRNYTLLDFRLYIREVYSLPPAGVDIPYKVAGGEQTRKPKPPRLMLINRGRTRKFVNFPEIAAAAREAGFEVLPVEPRRDLGVEEFARDVDSCDVLMGAHGAGLTNFFFLRTNAVMLQVVPWGNMEQSCMRFYGGPAIDMGLRDVEYSIAAEESTLYDKYGKDHPVVSDPDSIHRKGWQFGMRYYWIEQDIRLNVTRFAPKLQEVLRMLRE >Et_1A_009140.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30750749:30751570:1 gene:Et_1A_009140 transcript:Et_1A_009140.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQRIHPVDLEAGRRPTAPLMPGGSFRSDKGDPAPRAAYQQQQQPRPQGGQLPPPPHRMAPRAPPLPPPKRRRGGRGCCCRFLCCALAAIVILAILAAAAAAALYLAFQPKAPRYTVDRLAVSAFQVDPSLTARARFDVTVTATNPNGRIGIYYEPGSDLGVWYGATRLARGALPAFYQGHRNTTTLALAVGGQVQLGTSVVAALQDAQRTGAVPLLFRADVPVRVELGSFRLWKVTSRVKCDLVVDRLMDVTGSSPIKIKASNCKFSLKL >Et_6A_048177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:8808279:8810985:-1 gene:Et_6A_048177 transcript:Et_6A_048177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEPEPYVVEDCRGVLQLLSDGTVRRSAAEEPAFPVHVRDGDDECGVEWKDVIYDPRHGLTARLYRPAPRLAGGVGGGAAAGARLPVVAYFHGGGFCIGSCRWRVFHAWCLRIAASLPAVVASFDYRLAPEHRIPAAQEDGAAAVAWLLPGGGAADDPWLAAVADLSRVFLAGDSAGGNIAHHAAIASGSGQSTVRGCVLLMPAMAGEARTPSELECPPDAFLSTELANRYLRLALPDGATRDHPAINPVGPEAPALDAAAMPPVLVVAAGRDILRDRNAQYVRRMKEWGKEVEYAEIGGEQHVLFLLDPWSESADEVLRLVRRIAAHLGHIFLGKNNKELDKVAHAIPQET >Et_3A_023759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:1239049:1247109:1 gene:Et_3A_023759 transcript:Et_3A_023759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPGPGAPAYDGGAGNSFTRQQQSYAFSGRVLLTAVVILAILTIVFVLIRLLLFQFVLRGRGGLAAGVRRSFSSFGRSGRHGLDATALAALPVTSYRKDAGDDGGGSTSAGAAGGATECAVCLSELADGEKVRALPSCGHVFHVECVDAWLRSRTTCPVCRAEVAPKGRGGAAAGGTLVVTVEGGAAHTRGASTSVLGSGQTAGAAGVGRLADVRLGRAPTLLAAAATSFFFCLLFVLFLLCLRFVLLHRRSRRSRATVAPCCSARTLLAAAATLLAFRVLLAVVLLCVCFVLLRQLWRRNAGPMQEQPQPEPKHGLDAAAIALLPSFPYRRSVAGAACATSAPVECAVCLSDLDEGQTVRPLPGCKHVFHRECIDVWLASRASCPVCRGNAEETTSAAASTAACIVRALPSCGHVFHRDCVDAWLRSRTTCPVCRAEVAPIGRGDAEVAPTLPVGLGAGDISLVVNFQAGRRTSV >Et_3B_031383.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27709519:27710280:-1 gene:Et_3B_031383 transcript:Et_3B_031383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKVLVLLLATSLLSCGLQLASGDFAPMTLTVVNNCPFPVWPGIQANSGHDVLEGGGFFLPALSHKSFPAPTHAWSGRIWARTGCTGTGATLRCATGDCGGRLQCGGLGGAAPATLAQVSLHHGVGNADQTSYGVSVVDGFNVGLSVTPHEGRGNCPVLACRKNLTETCPGELQLRSPAGSVLACKSGCEAFRTDELCCRNMYNSPRTCRASKYSEFFKRECPQAFTYAHDSPSLTHECAAPRELKVIFCH >Et_9A_062182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20445461:20449616:-1 gene:Et_9A_062182 transcript:Et_9A_062182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGGRVPAMDGIREVEMGIVERPPEEPARVGFKRDRDPLMHASSRHAYSTGFYGLTTSEKKAGIANHVHTNSDGTSAMGGRNLYGSYGERTLPDYNTLRSGISSPCENLGNKTKRLTKICTLYTQGLCNKGNSCTFLHEMEGPGSDHRWNNEEKAGLLTSDAYGNSRGSEEGSQVFKDSDGSKHRYPSLDLSIPSDRLQGHEKLSAYCGTAGNRPNTHNKEHLFSHASYSSYSFPGFKNPGYATSDHSFSSPTLRATSHLGRLPPHLSIPVIENVGLHKYLDTGTGTSRPALLASSSPQPSIVSLGSLSPVKDEVWVTSVPFVPSIDIPDIKSPSKRLYDPFVDYMDSPKDDNKNNLKSSSISSQHTNLHVATPKSLNHGDKLAKNMSAKGSNELAYLIAYDRGHSSSLDDNNRVNVHDRKPDAASTKEKTREFRFRLAEHVKELIKPIWEEGFLSKDAHKLVVKKSIEKILSSIEPHQVPTSEMVISNYIALNKSKIEKLVKVSYNMRSSLLDVESHHHQDG >Et_4A_034550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4266601:4272167:1 gene:Et_4A_034550 transcript:Et_4A_034550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHATALKFTVRRRPAVLVSPARPTPRELKRLSDIDDNDRLRLHIATIQFYRRTESMIGVDPAVVIRDAVAKALVHYYPWAGRLKEIEGRKLAVHCTGEGVLFVEADADVRLEQLGDTLLPPFPCVEELISDVPGSYAILDAPLLLIQVTRLACGGFTLASRMNHTMADGQGLTQFLGAVAELARGAPAPTVRPVWQRELLEARDPPRPSFPHRELHEMPDAKGAGATMPLEDMVCRTFFFGPQEVATLRSQLAPELQKRAARFDIVVGCLWKCRTAALSPDPDQEMWMAFTVDARGRKDGAGVVGIPVGYYGNAFATPVAVSTARELCANPLSHAVELVKKAKDEVTVEYLRSAADMAVLHKPTSPGLPSICMYSVSDTTRARFHDLDFGWGKPVYGGPVQASGVPMLPWLSSFLFPLKNARGEDGIAVPMYLPARVMDKVVEEVGNMLRAPANDAALRRSNVLPVIKSAPMADATALKFTVRRRPAVLVSPTTPTPRELKRLSDIDGNDKLRLHISGIYFYRRRESMIGVDPVVVIREAVAMALVHYYPWAGRLKELDGRKLAVDCTGEGVLFVEADADVRLEQFGDALLPPFPCLEELIFDVPGSASILNSPLLLIQVTRLVCGGFILAVPDAKSIAMPPDEMIRHTFFFGPQEVAALRAQLPPHLQKHAARFDIIVGSLWKCRTAALSPDPDQEMRMAFTVDARGHKDAAGVGIPFGYYGNAFATPVAISTARELCANPLSYAVELVNKAKHEVTMDYMRSMADKVMLVHKQTIDLPSTCVYAVSDITRAKFDDLDF >Et_4B_039679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:26343299:26344873:1 gene:Et_4B_039679 transcript:Et_4B_039679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPQEPFLLEDLLLEIIARADDVDTIIRCAATCKSLRHAIVGDPAFRRRLALRAAANSGFDPALLADVSYRILEEDNRVVINASRRLPFASRYMFHEPACSRDGMLVLWRNWKLEKSCSEYWVHLVVCDVFTGRVTNIPRGKFKSSQHGVYGGMYRPALLTVGDDDGSGRSFELIAMDRGLVIQTFSSRDDGNKGGAFHQVYIPLIICHGQLISKENVCRAPAAVIGRTVHWLCHLTKSRSNVAMNPMIILAVNAETAQAAPIEPPEGCVASVGSWDALQRDPADGGLMLVATEEATRLNLLVAENTAISMWTHAPDEGPSKWSRRVVVRRRELRGQLDSGLDTYRGIRFMGFGERSGTVLFWIRRFGLVQLNLVTKKATLLHGYRQGMRSAAACLHEVIHFIDQQRKPKYIGHEAVIHCYNR >Et_9A_061044.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:12309768:12311099:-1 gene:Et_9A_061044 transcript:Et_9A_061044.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDESSAVAASSESFTKILQGRYELGRVLGRGGSSKVYRARDVRTGAHVAVKAVRKPRHPCSPEAAAAARRSVERELVALRRVRGHPHVARLLDVLASRSAVYLVLDLARGGTVLSAIERGGAADEPGARRLFGQLASALAHAHARGVFHRDVKPDNLLLDERGDLRLADFGLCALAADRHLAPGDDGLAATACGSPAYVAPEILLKRGRYDPRAADVWSSGVVLFVLTAGYLPFNDGNLMGMYRKICAAKFRCPKWCSPVLRGLIARMLDPDPATRIKIGEIIDHPWLQHNGVSFATPELVKWEAELEQTRELNAFDILTFASGCDLTGLIGPLPDRVRFLLPSTTSVDVRSVLDKVAQLGREDGFAVRRKDEAGLGGVLLEAMDGKFVAQIRVHRLHDQIMLVEAERTSVDEAPNFWKGLQSSLNFSTN >Et_6A_047360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4419961:4420837:1 gene:Et_6A_047360 transcript:Et_6A_047360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPGIVGFVQLKWRQRAYWREALYICCSGNFIMRISLSDNTYRVIRLLTEDGEPTEHRRELHLGKSVKGIYFWFLDNQTEWVLKHNGDISPILPNLNEHDMQRNGPWILQKYYYWEDITQHSDEDSAEEDSNEAIAEENFEWDSDNDNVLGPGSRSMGSHAVFLGFHPFKEVVFLSDKFDRVLAYNWSTSKLQDLGKLFPKFYLDSQDGYYHQRGVNDCYPYTPCWSSEFPEKLSLEAQLED >Et_10A_001928.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11564001:11570364:-1 gene:Et_10A_001928 transcript:Et_10A_001928.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCFGEVVDNYKLNTMLRYVGKPKTQEDRAREAMNLVNEDNKNTKAATYVRGVKQWYGNGQSTLCLVYNATGDTVSYVADHDWWGFIGRTPYPTEIGNGQWAAFHHVHKTGDASGSEAAVVYRGKNKDGELRDYLVAWSTPWNFLYRNKAYCEIGGVDSFQNRWNTLYDNVNNAGYSWKSNVSGVGETLQEFAVGEQSVGNGKEPNDVSNVPYLMLLCIKQVPMNPHGLPVTNDTLRQMSRYRGREITQEDRAREAMRLIHAEDKNFSALAHVTDLKSSYGDGVTTLVLVYNATGDVLELVDKQDWLGYVYNQEPPSRFENGQWLAFLHAHPTSQALGCEAARVFRGRNIKGEVRDFLIAWSVPWGTTQNSVRRIDFPAYTPTKAYTEVREKDHFPQYWEYIKGSLLERAGKITKDESDQHCASTVSVGGFTTSEFIAVLQHKIIDSLFFINLYTLEFKLPDNKI >Et_1B_013736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14788387:14790057:-1 gene:Et_1B_013736 transcript:Et_1B_013736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLDSTLSALLEEDEPPVNDVELLLPIEYSYAAAAGGEPQTEQQQLQFGQLAVALPSDNPALQTQMSSTASELMQLAASSGYGDDCYAAAMAGVGGYVGLDEALCQPPPPGVLLSAGVADATVQGGCFFGKEAAPGGFFGAGGMVMPVMGMDEIGEYQRMMEGAGALVSPDAGSGAAQMPFGSNAGEMQMSGSMSPGRQPAAAAATDTSSLEDPNFKSARITVQERREKIHRYIKKRNERNFSKKIKLRPRMFTDGVVKTLADSRPRVRGRFAKNDDYGETSTVMQNHEYEQMAGIKGEDMLDTDALQAHFSGMNSYMYNHTILTNSFDLVP >Et_4B_037906.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24701766:24704924:1 gene:Et_4B_037906 transcript:Et_4B_037906.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAELEAVEGLRWPWHSWPPTPSAAASLVVPTAVLCAPLHAPPAGADLVPVLPYAPLRCGTPSCGAALNPFSRVHHGSARWSCPFCGAGANPFPRHLAPDALPAELFPTHSSVEYALPPDAAGGPGPPAIVFVVDAATAPAELAVLKDEVRRVVQGLPEGVRVALVTFAASVWVHDLGFEGCARVVVMNGERELESNKIQELLGVQRSRYNKLAMPRSTESQRFLLPVSECEFNITSAIEDLSSMSACPRGHRPLRATGAAISTAIALLEGCCSPSAGGRIMVFTSGPATVGPGLVVETDLGKAIRSHRDIFKSNAPLSDKARDFYKKIAKRLIDHALVLDLFACSLDQVGAAELRYPVEVSGGLMVHTESFESEQFKSCLRHVFKRDGIDYLNMNFNATIEIVTSKEVKICGALGPCISLRRKNISVSDKEIGEGGTNYWKTSTLNSKTSIAFFFRVDCSQAEPPTVFFIQFMTRYRHGDGSYRLRVTTVARRWAAPRSPEIAAGFDQEAAAAVMARLAVHRAETYHVRDVIRWLDKMLIRFTAKFGNYVPEDPSTFRLSTNFSLYPQFMYYLRRSQFIDVFNSSPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVSSLSPDVILLFDSYFYIVIHYGSKIAHWRKLGYHKDPNHENLRKLLEAPEVDAEALIIDRFPVPKLIKCDQHGSQARFLLARLNPSVTQKTQLSEGSEVIFTDDVSLQVFIEHLQELAVQE >Et_2A_017027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30255095:30259768:-1 gene:Et_2A_017027 transcript:Et_2A_017027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRLRPSMLAARPGAARPRGHFQPSFCHTQQNGQGRVCFSGHRTQGPTLYNCQKFLSWKSSDCRISHRSINTSVNASGQKLQSEPEAHDSGSIWRAISSSLDAFYRFSRPHTVIGTALSIVSVSLLAVESLADISPLFLTGLLEAVVAALFMNIYIVGLNQLFDIEIDKVNKPTLPLASGEYSPATGVAIVSVFAAMSFGLGWVIGSQPLFWALFISFVLGTAYSINLPYLRWKRFAVVAALCILAVRAVIVQLAFFLHIQTFVFRRPAVFSKPLLFATGFMTFFSVVIALFKDIPDIEGDRIFGIRSFSVRLGQKKVFWICVGLLEMAYSVAVLMGVTSSSLWSKTATIIGHSILAWILWNSARSVDLTSKAAITSFYMFIWKLFYVEYLLIPLVR >Et_3B_030582.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:5018753:5019218:-1 gene:Et_3B_030582 transcript:Et_3B_030582.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIDTHALELPSHLRVPVVLDLLVRPSWKPTGDERPTEYILEIHVSTNTQSILGSHRFPSKACSLMRSSSSSSEKHLFPLSSRTKDRQGNQIMNGQAYGYGSGLIDLVKFTN >Et_6A_047000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2454458:2457303:1 gene:Et_6A_047000 transcript:Et_6A_047000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDIGPPQPSFHHHVARGRLPAASRLLRRSPSPAHFSAAAAYALLRHARPFSPPRPPPPQRPTHSDAELEVTPVEARRLVPLVGVEALKQRLRDGQEDVVWYDELLDACVDAGAARTHAEAEALARAMDDAGVLLLFRDKAYLHPEKVVDLLRRAVPLALESENDPRKEEFKQLQKEEIDKLAHKQVRRILWCGLGFFICQVGLFFRLTFWEFSWDVMEPVAFFTTASGLLVGYAYFLITSRDPTYEDFMERLFSSRQRKLCAMHKFDMERYIELQKKC >Et_1B_013618.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:101980:102753:1 gene:Et_1B_013618 transcript:Et_1B_013618.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQAPPVTPRRLARSSSSLGVWWKLSDAGDASSSEVERRLRGIAEEAAAVKARMERRQAVRRKIAVASMGLEVVALWWARRRAIAGWRSKLLHALAVPAMATILLAAFARFRKILDARDQQKLQRLAAERKANIGSFKGSYHNLQKLLEKYDHDAADSKEVAASKRLKRTHSRLSFHVGDE >Et_2B_020854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24257932:24260654:1 gene:Et_2B_020854 transcript:Et_2B_020854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPPFSSCSPRRPPPCTYPSSLPPPTALSSSSSSSWPPPRRASRRRAVAPAAALHLGPGEIAELARNKVLIAATAASAIGQLSKPFTSGKNGAGGIGLDLKTIFRSGGMPSTHSAGVVAVATSLGLERGLADSVFGMSVVFAAIVMYDAQGVRREVGNHAKVLNRFLALKEKMGENSDLDMTAELVSVPEEAISSSCTNASSSRHSSGTELPRLNALRSSEPDGTELTELKLAFLKKGNRLSENVGHTERQVAVGALLGFVVSFVVYATL >Et_9B_065564.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7066697:7071521:1 gene:Et_9B_065564 transcript:Et_9B_065564.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLELDYIGLSPPAATVAAGAADDLKGTELRLGLPGSESPDRRVPVAAAAATTLDLLPAKGAKRGFSDEVPPPSPAAAAGKGKKVAEEEEEDKKVAATPQPAAKAQVVGWPPIRSYRKNTMATNQLKNTKEDAEAKPGQGFLYVKVSMDGAPYLRKIDLKTYKNYKDLSTALEKMFSGFSTGKDGLSENRKDGEYVLTYEDKDGDWMLVGDVPWQMFAESCRRLRIMKGSDAIGLGKFVVLALVSHCLVVFGWVDVVVWHVMIQLQGQLISPRTATSCRVSGAEFIRHHCGAHRLKATDR >Et_7B_054331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2076279:2077716:1 gene:Et_7B_054331 transcript:Et_7B_054331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKKTLACYAMALLFSTAAVSAQLSTDFYDETCPDALDIIESAVRAAVHKESRMGASLLRLHFHDCFGCDGSVLLDDAPGITSEKNAKPNKNSLRGFEVVDDIKSQLEDACAQTVSCADILAIAARDSVVALGGPTWDVELGRRDGTTASLDDANNDLPAPTSDLGDLIKAFSKKGLDANDMIALSGAHTIGQARCVNFRGRLYNETTTLDASLATSLKPRCPSQAGTGDDNTSPLDPATSYVFDNFYYRNLLRNKGLLHSDQQLFNGGSADAQTKAYAADMAGFFDDFRDAMVKMGGVGVITGSSGQVRVNCRKAN >Et_7A_050592.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:3666721:3666870:1 gene:Et_7A_050592 transcript:Et_7A_050592.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRRVLSPWPFTSESVLPSQCREFWTCSDRLEVRLASPPEINSIFPIL >Et_1A_006428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22038118:22038594:-1 gene:Et_1A_006428 transcript:Et_1A_006428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGWLSNWLVKHEDNPRITSVFWIWRSADFQERESYDMVGISYDNHTRLKRILMPESWIGWPLRKDYITPNFYEIQDAH >Et_1B_010766.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13931610:13938796:1 gene:Et_1B_010766 transcript:Et_1B_010766.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLAAARPCALRLLLLLLLLACASQTPAAASSGAAAGRAEWQVLNRTNFSSQIRLHPHVLLLVTMPWYGESRSLMAEIEQSIATEKQELGLLRLMVVYRNSEKLLTDAIGATEGIKFVYYQRSVPFKYQGKLRAQDILSSVRYIMSLKHELAPFEILRTKEDVEAFMESTDKSVLLYEPCGWFIRLAHGESNQSDETSSNNHTDNVDISGKTLTRDSDGPLELVIEDEGLTFGGGDQLTGSPWKGGFTIANESVSDKIENTNNGNNKLCTVQKFHRFEGFYTRLTAVAREYFLPPEIVRFGLITEISLLLSLDVVNEVNPETWFLSIHYLGCTSCSVVVKEGDDLRSLVHTYHDFNIKEIDLDESSGQVIFPASKPSAILFVDRLSHSSKIRDESKLSLKLLRQYVRNNYPLHVKSRGLSTSRMRSKMAPSLIKTGISDVHSETARLNAWASKLMALGDKMSVMIVNDGQSISYGSSSQGSGGNPLYDILTNLLHKTRPGHRSKKTRISLVSKDAGLKLLSDDSKIEVTESLSVQESDHERADGLFARSDELNDDITEVLVDESKGIGTEYIDDGQAPTILENSPATDPDKHDNDLEPNATEMDDQSKSEASDRSSDIKGVVSHDVYSSSEAGGILYKHKEERTTETLDILEPDERKLHTNQEVSGSSSEQDDGFSVLGKEFRRNEDVIYEDNTVNQDEVSDESDSKCPPHSTCSSSSSLVRDDRAYSEDVTSAIPIDHFAGSFYFSDGGYRLLETLTGGSRIPSLVIIDPVQRKHYVFPEESEFSYASLQNYFNSFVNQSLPSYHRAGLSAIRSKELPRPPFVNHDFHEANSIPLLTTDSFCPLVFGFRGCDSKNVVFSSDTENITPGWNKDVLVLFSNSWCGFCQRAELVVRELHRSFRSFTSYSDSSFADAQDLHLKDRNEEYTMKGFPAIYMIDCTLNECRHLLKSAGMEELYPTLLLFPAENKSAIAYEGGMSVGNLIEFLESHMSNSRHLLEYKGFLWKKRTVTPHDTPQAIQFHIDDKSSMGVGYDLPSHSDIVTGSILTATEKLGTAVPFDNARVLVVLADSQEGFIGLIMNKQLSWGVFKNLDSSMEPIKQAPLFYGGPVVVQGYHLVSLSKVALEGYVEVIPGVFYGNIIATSRVITRIKSGERSADDLWFFLGYSGWGYSQLFDELSDGSWNVSEKPIEHLDWPAI >Et_4A_034762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6323796:6326319:1 gene:Et_4A_034762 transcript:Et_4A_034762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEEEQYLTLPKSWARSRVSSIAGYQEDCSRLGLSFLRLKPYLVSEVKVAGEKDWYSILNVAPTADNETLKQQYTKLVPQLHPDKNISVGAAGAFHMVQEAYTVLSDKNQRAVSDQKRNIGVLQQRTSQSTNSTGASKVTTKRQTTGQATGAVCQSTSQPPTPSAPLHNPPDPCLLHFGPRAIHAKWNYEYLRVYLNHHRCCPSCWCQEVCAQGSGSLFGPEGIAQRVAGEKDWYLILNVAPTADDETLKQQYTKLVPQLHPDKNISVGAVGAFHMVQEASTVLSDKNQRASTNSTGASKVTTKRQTTGQATGAVCQHTSQLPTPSAPLHNPPDPCLLHFGPRAINAKWTYEYLRVYLNYHRCCPSCCEAS >Et_3B_029722.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:27400737:27408770:1 gene:Et_3B_029722 transcript:Et_3B_029722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAVAREDKKPHQPRNGAAGGGGAGGAQKRLTVLGEEGREVVGIDEKYALDRELGRGEFGVTYLCMDRDTKELLACKSISKRKLRTAVDVEDVRREVAIMRHLPKSPSIVSLREACEDEGAVHLVMELCEGGELFDRIVARGHYTERAAANVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPNVSENAKDLVRRMLEPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKEMFKAMDTDNDGIVSYEELKSGIAKFGSHLAESEVQMLIEAVDTNGRGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGFIEPEELREALVDDGGADSMEVVNDILQEVDTDKDGKISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSMKLIKDGSVKLGVE >Et_10A_000072.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21888699:21889348:1 gene:Et_10A_000072 transcript:Et_10A_000072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARAADGDVLFFHYSGHGTLVPPVMPEHRGRRGQDEAIVPCDFNLLTDVDFRAVVDRVPRGASLTMVSDSCHSGGLIDNEKEQIGPSSVADLAASNARTINRARFIPYGALVEHLAGASGVDASQHAADHLVALFGADASAKFHHKHHHAPMPAPRADDSGILLSGCQTDETSADAFLRGKACGAFSNAVQTVLAAHPAPMSN >Et_1A_005855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:14739975:14751225:-1 gene:Et_1A_005855 transcript:Et_1A_005855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVERAKLVRNLRQESRRLRLLVLVIGFFLVTLTFVVVSKPDALLFNLNGRLSVDQAPRSLLIRQRVDADDAASRRSADTLAAAEAEDPKVIDVDSAGAEEASASAKGTSAEEEKRVLTSEAEQGKREEEEATTASEVLGGGGEEGSKGEEVEEEHQHKVTLPTVSNYTIHDATDDNDNVKQEAVDTMAWDKPLCDFSNFRANVCEMRGNIRIHPNASSIMYMEPAGSKRDEQWKLKPYPRKGDELCLGKLTELTVKSSKVAPECTKYHDVPAVIFALTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMAIWWTRKYAVVFEKLTKYPLIDFNKDDQVHCFKHAIVGLHAYMEFTIDPLKAPHNYTMVDFNRFMRRTYSLPRDVVSALGEIPKTKPRLLIISRQKTRMFLNLNEIVAMAEEIGYEVVVEEANVNSNVAQFAKVVNSVDVMMGVHGAGLTNCVFLPHNAILIQIVPWGAIDGICRVDFGNPAEQMGLRYKHYSIGVHESSLREQYPLDHEIFKNPLAFHKNGFEFVRQTFMDTQNVRLDCNRFKHSTRNLSRGEGRRLGNVALIAFMLGSLLLLSVVRARFSPIGKTEEAIKAEEQQAMGKGSVKMETSDEAAASAAEEEEETQPKPTDTSGGGGGSSVAAGNTAGAAQHPTASKPVCYESSRRSDTCEAAGDVRVQGRTQTVYVGPLDHEWKVKPYPRKNDAFALSHVKEWTLRPLPASSDELRCTVNSSAAAFVLSTGGFTGNLFHDYTDVLIPAFVTARRFAGEVQFLVSSHKSWWTTKYIQIFQQLSRHEVVDADADDEVRCYGGGVVVGPTFHRELGVDASKTPGGYSMPDFRAMLRSAFGLERAAAEPSGDRWDIRRRPRLLIISRRGSRRLLNERAMADMASSLGFDVRVGDPEVSTDVSKFARLVNSADVMVGVHGDGLTNMVFLPAGAVLVQVVPYGGLEWLARGTFREPAAGMQLHYLEYNIQLDETTLTEEYGKDDPVLKDPAAIHKRGWNALKDVYLDKQNVRPHLGRLKITFMEALKLLPHGHAN >Et_7B_054718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:3867308:3871087:1 gene:Et_7B_054718 transcript:Et_7B_054718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDLLFNLRNLFYLGAYQAAINNSDVQGLDADAAAERDTIVFRSYIALGSYHLVISEIDSSAATSLQAVKLLALYLSGDKEGAISSLKEWLSDSAIGSNPVLRLIAGIIFMHEQDYNEALKHTHSGGTLDLHALNVQIFIKMHRSDYAEKQLKIMQQIDEDHTLTQLANAWLDIAVGGSKIREAYLIFQDFAEKYPMTGMVLNGKAVCCMHMGSFEEAETLLLEALNKDAKDPETLANLIVCNLHLGKPSSRYLSQLKLSHPDHVLVKTVASSEANFERALQAVA >Et_9B_066073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2599673:2602887:1 gene:Et_9B_066073 transcript:Et_9B_066073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAWSPLLHYAHLSGLLARCGRAGDLRLGAALHAFVAKNPAHFLLCPDRGTSLRHALVAWNALIAMYARCGRRRDAARVFDEMPVRDSVSWNSLLAASSSSSEEALALFRRMLRSASGAGACDHATLTTVLSACTRDGAGVASLRACAAVHGLAISCGLDAGVSVGNALVTAYFDCGSPGSAERVFTAMTERNVITWTAMVSGMARAERYQESLALFRLMRREVDANSATYSSSLLACSGSLAAREGQQIHGLVVKAGFDTDLHVESGLMDVYSKCGFMEDALRVFRSCRNPDEVFLTVILVGFSQNGLEEKAFELFAEMVSAGIYIDANTVSAVLSAFGASAPFALGKQIHTLVIKKCFGGNTYVCNGLINMYSKCGELQESIKVFDDMTVKNSISWNSIIAAFARHGHVSEVFRLFESMKADGATPTYVTFLSLLHGCSHVGSAKKGLEILNSMSSQYGIQPRVEHYACVVDMLGRVGQLDDAKSFIEDGPFKDSALLWQALMGACSFQKNSEVGKYAAEKLLLLDPECTAAYVLLSNIYSSEGRWDDRARVEFQPNLEIFIRIWKLFHAGRRGGERVEVLCGGVWDNRVSSFSIIASAMISYSSSHAGA >Et_2B_022291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:17096178:17098276:1 gene:Et_2B_022291 transcript:Et_2B_022291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTRIVAYMNGGFHQLPEFDQGKRSCRRRLAGHNERRRRPPPGPLTTRYGRLAASFQEPGRFRSFLLDFSYPRVSSTASDAWPAVRPGNRMSSTIQWQGSHELHPHRSAVAGYGDHAYVGPGGSGAMPPAFDLPPGGCVAGVATDSSCALSLLSTQPWDTTQSASHHQSPAMSTASAFEGTPVSPSVMASSYTAASTWTGSRVHEGARNLQHHAPHEDDLHLVHPGSAHHGHFSGELELALQGSGPSNPPQGDHGSGGTYGHSSNAVNWSL >Et_2A_015423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:12496677:12500224:1 gene:Et_2A_015423 transcript:Et_2A_015423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSNSTGSSDDEACMLALSLLGGFTVPMTLKAVIELGIIDQLLAADGRAVTAAELAARLPHPAKAVAMVDRMLRFLASHSVVRCVTEVGPDGNTSRTYTAAPVCKWLAGNGGEGSVVPFGLMILDKTLLESWHHMKAAVLEGKAPFEKAYGMPLYGYLGANESFNTLFNQAMASHSAIITRKLIEFFGSFKDLDVLVDVGGGSGTTLQMITGQYKNLRGINYDLPHVIAQTTPTEGLEHVAGSMFDTIPQGNAVLLKWILHNWGDSECVKILKNCYKALPVNGKVIILEHILPASPEPTLQSQGAFQFDLAMLSLFAHGKERTEREFSELVMEAGFSGDCKSTYIFCNVWALQFTK >Et_2B_019342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24607367:24607954:-1 gene:Et_2B_019342 transcript:Et_2B_019342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAPGHAASIRLPTGWSGRVWGRQGCKFDAAGRGRCATGDCGGTLYCNGAGGAPPATLAEITLAAVPTAQDFYDVSLVDGYNIPIAMTPYHGKGANCVPAGCVSDLNRVCPAGLAVRDGKSVVGCRSACAAYGSPQYCCTGQAYSKLFKSACPKAYSYAYDDPTSILTCSAGASYVVTFCPHHR >Et_1A_005095.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:26699112:26699126:1 gene:Et_1A_005095 transcript:Et_1A_005095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKK >Et_9A_061555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13662591:13667772:-1 gene:Et_9A_061555 transcript:Et_9A_061555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRHEEEEVEAPVLRLRCAAATVFVMVLCGLQVHVDHNRDSHSRNRDKWAIEIDAPRLRSFRYKGQARPFQLRTPAPDMVHADLHLLNDFGSDYQDTVRVLFWQLVRNFTNAKSLKLKVNYLKDVAAVGESRQAELLCPFPNVERLELEGSHDPTCKTAAVAIANLLHCCPVLADLKLKLSTVSLSYHDSQRGSRYGYEFLERKGRSDYHKSVNGFMRRKSNPVTSLRDNCGRKCGKVSDLPSLTGSTFACVQSTLRRVSLQFRLDMSRCFGVRLIKFFSDNANVLEEICVDSGNRKLCEHRNLDIETWVAPDSLKACLKRKNLDESSWEFSKLPRKSLDSTTDFTMNCIAATPTMAGEDRLSTFGDDILHRVLHFTPSKEAASTGVLSRRWRSLWRSSGAVNIAVRALNDGSQHNNSPQRQDEAEDAFFSHRDAFVRAAEAALAGAASPVTRLTFHVEGENDAMVERFLNGGRDWLETDHDVVGAVVTHPTARRVEELVVTAVGSPDNYSLDMHEATESYVQEGYTLRSLPSETLRVLDLTKCTDLSPPESTTFRRLALLRLRLCTLALKKLQALIDAAPELATVHLESVFFTGFEESSSSTSPDHFPQQFRPQHHSAEIEEVELPVLRLRSSVTTVLVMELCGLEVDNKYDHYGCTRWAIEIDTPRLTSFRYKGLARQFQLRSSAPDMVHADLRLLHDFGTEDKDRRRVLFWQFVKNFTDAKSFKLKSRRAELLCPFPNVKRLDLEGVHDPTSKTAVVAIANLLRCCPVLADFKLKLSTVSSDDSQKNSTYGYTFLEKKGRSGYDKSVNGFMRRKSTPMINCGDKCVKVSDIPGLTGRTFACLQSSLRRVSLQFRLDRSTCFGVRLIKFFADNASVLEEICVDSGNRKLCEHMNLDIERWVAPDPLKACLKRKNLGESSWKFAKIPRKCLDSTTDLTRSTTGFRVLPLR >Et_5A_042500.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1606293:1611645:1 gene:Et_5A_042500 transcript:Et_5A_042500.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWKTLQRNANLAIRTGELIAPKVAAVGIDFGCKNSRVAITDSLVPRVVDSEIGGYVPSSVTFAWLKSDAWYAWALQHLDNVGKCVAVGELAKHRMLWSPSNVVFNIKSLIGMQFDDFYIQEMRKKVPFSIVEGPSGEALVEIDGVKFSPVEIASTIFSRLKDVVLMDQFHDKLKVVISVPVFFSVRQREAIKSAGERAGFEVLNIIDEPKAAALSNATIKEGNVVVFGMGSGSYSVSILHVSGTSIETITQIGDRTVGGDLFDDLLVDYFVKKIMELYSVDIRRDKFAMVTLAEAAEKAKVELSSQCEVTVSIPYLTASAEGAVDLHFSISRPEYEKLVHNLVKKIEDKCRCILKEANFNHKDISEVVLVGGMTKVPKIRRMISKVFGKHLSTRVKPEEAVVIGSAI >Et_4A_034909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7786305:7787177:-1 gene:Et_4A_034909 transcript:Et_4A_034909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDRSDARLSPEAEAAREAEVREYFDGAAPKRHSKPSRSEHSAVYADALVPDDAGGNSHPELDRFQELEAHTEKLVYEGGGKVGEEFVETEYYKDLGCVGKQHHTTGTGFIKMDKSHGASFELHEDREGTERHASCKGNPATNEWIPSADTVYPASDKPGRSDS >Et_1A_005620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12000265:12017709:-1 gene:Et_1A_005620 transcript:Et_1A_005620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYSAARLLLAALGLIISGAGATVVTTCRAAANSDARVDYRFCVLELGKHHDSPDADVWGLAKVAALTGINNADDAIYDIKAMVGSKAGATRGALAQCQKLYDAVGFAFAEAVDAINARNYAAGKGKVAEAVSLTRQCDAALAKARAAPSPLAQYSSYSVKIAAVCTAITNLIKPPCSTARLLCVASLAALALIGGAGATVVTTCRAAADSDARVDYGFCVAELGKHRDSPDADAWGLAKVAALTGVVNADDAVYDIKAALGKPGGADAQARAALAQCQKLYDSMGFTFAEADDAINRRAYAAGKGKAAEAAALAHQCDDALAKAGAAMPSPLAQRSSYAVQIATAASGIDARVDFGFCVAELNKHRDSPGANAWGLAKVAANLGVNDAGGAVREADDALASSGAGGDGRTRAALGQCRGLYFDAELAFAGAYDEINGRDYAAGKRMAAEAASLARRCDEVFDEAGLPQSPLARHGEYAARIAAMKPYSTAHLLFAAALAAFVLIGGARAGVATTCRAAADSDARVDCTFCVAELGTHRDSDYADVWGLAKVAALQGINNADHAIHDIKAMLGSKAGATRTALAQCQKLYDAVGFAFAEADDEITARNYAAGKAKVAEAVSLTHQCDGELDKAGVVPSPLAKYSSYSVKIAVICTAITNLINLLFAALGLIGGARATVVTTCRAAANSDARVDYGFCVLELGTHHDSPDADVWGLAKVAADRGINNAGNAIYDIKAMLGSKAGATQAALAQCQKLYNAIGFAFAGAYDDINARNYAAGKAKMMPYSTSRLIFAVVLAAFGLLGGARATVVIPTCRAAADSDARVDYDFCVAQLGTHRDSDYADVWGLAKVAALRGVGNAGDAIYDIKAMVGSKAGDTRAALAQCQKLYDAVGFAFAGADDEITARRYANGKAKVAKAVSLTLQCDAALSKAGAVPSPLAKYSSYSVKIAVVCTAITNLIK >Et_4A_032555.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11311985:11317365:1 gene:Et_4A_032555 transcript:Et_4A_032555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALRRLAGAPGSPPLASSAAAAALLLRHPALTRPISTGFREERDTFGPIRVPNDKLWGAQTQRSLQNFDIGGERERMPVPIIRAFGVLKKCAAKVNMEYGLDPTIGKAIMQAAEEVAEGKLDDHFPLVIWQTGSGTQSNMNANEVIANRAAEILGHKRGGKFVHPNDHVNRSQSSNDTFPTVMHIAAATEINSRFIPSLEQLHKSLHSKSVEFQDIIKIGRTHTQDATPLTLGQEFSGYATQVKYGIDRISCTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETDLPFVTAENKFEALAAHDAFVESSGAVNTISASLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQSLRLLGDASVSFEKNCVSGIQANRERISQLLHESLMLVTALNPKTGYDNAAAIAKKAHKEGTTLKRAALDLGMLTEQEFHELVVPEKMIGPSD >Et_4A_033194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:19948503:19956634:1 gene:Et_4A_033194 transcript:Et_4A_033194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSKIFKGSVNRVSRGHYNGNSHEGYSPQHTKSYGTHGSDDEDMDHAIALSLSEEDQRKKGKAIDIDDRLDEDEQLARALQENNGHQLDEDEQLARALQESMNDGPPPRRNIPIDDGPPRDVPSKDIQSESAPTSILPPYIFPSSGLRVCAGCKTPIGQGRFLSCMDSVWHPQCFRCYACDQPISEYEFAVHDDHAYHRSCYRELFHPKCDVCKNFIPTNKNGLIEYRAHPFWMQKYCPSHENDGTPRCCSCERMEPKDSQYITLDDGRRLCLECLHTAVMDTEECQPLYIDIQEFYEGLNMKVEQQIPLLLVERQALNEAMEAEKIGHHLPETRGLCLSEEQIVRTILRRPIIGPGNKIIEMITGPYRLVRRCEVTAILILYGLPRLLTGSILAHEMMHAYLRLKGYRTLSPDVEEGICQVLAHLWLESEITSGSGSSIATSSASSSSSSAPPSSKKGAKTDFEKKLGEFFKHQIETDPSVAYGDGFRAGIRAVERYGLRSTLDHIKLTDSVEKVPGF >Et_3A_025420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29769865:29770332:-1 gene:Et_3A_025420 transcript:Et_3A_025420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQARCFCVMAMLLVAGLAALETAHGAGECGREPADRVALKLAPCAAATQNPRAPVPATCCAQVRAIGRNPKCLCAVMLSATARNAGVKPAVAMTIPKRCAIANRPIGYKCGPYTLP >Et_8B_058706.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:17457468:17457650:1 gene:Et_8B_058706 transcript:Et_8B_058706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNQLAKSALVFDRVVSGEKELAYSTIYHLVLYASNAKYLTYVFEAEDPESRVLNKFQPI >Et_3A_025310.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28823133:28833106:-1 gene:Et_3A_025310 transcript:Et_3A_025310.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAADSAADPATADEDLETLPLDSSSSVAATAASTDPLLRPPPSPSSTSSSPTAGANHDAFMDEVEEDDVAPAPAPRAAVTKPSQVSPVFAEITVSEPKKHAEPGTGAAGVIPGSASYVSYLVTTKTVDGGEFLVRRRFRDVVALADRLAETHRGLFVPARPDKSIVEGQVMQRHDFVNQRCTAVQRYLRRLAAHPIVGRSTDLHAFLTEPSGIPTSEGESPRWRPAMSAATSTAATAPATPARSGRDLFGMFKDLKQTVTNGWAAARPPPVEEETDTRYLAHKAKLEDLEQHLVTASQQAEALVKAYDDLRTTTGLLGLSFFKLAKFEKDQATCSSQKQRATDISIFANAVIRVSRSQINLNAEIVKHLGIFHEHIETMASVHNAFTDRSSALLRVQNLSAELFFLHNRVGKLESVSSRGMGEDRLRYQKIEELKETIRATEDAKSHALKDLDVIKENNMNEIRRFNKERRQDLVEMLKGFVSEQVACSDHFASVWIKNAPCRTPGTFKFKPHLPTKPPLLTSTSSRGSLCTAAAATRRSLLVLVPSLVAASTVLQSLPLASSAAADDNPAPQPPEPAPAPAAPAPPPAPAAEAEPAALSRVYDATVIGEPLAVGKDARRRVWEKLMAARVVYLGEAELVPDRDDRVLELEIVRKLTARCAETGRSISLALEAFPCDLQEQLNRFMDGSIDGNKLKLYTSHWAPERWQEYEPLLNYCRDNGVKLVACGTPLEVARTVQAEGIRGLSKTQRKLYAPPAGSGFISGFTSISGRSLLDKISSTQGSPFGPSSYLSAQARVVDDYTMSQTIMKEITAGDPSGMLVVVTGASHVMYGPRGIGVPARISKKMQKKNQVVILLDPERQGIRREGEIPIADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRREALPQDLQKGLDLGVVSPEILQNFFDLEKYPFTAELLHRFQGFRERLLADPKFLNRLAIEEGISITTTLLAQYEKRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISLLSVADDGSGESLELLKGLLGSLPDNAFQKGIMGQNWDMNQRFASVVMGGIKLAGVGFISSIGAGVASDVLYGARQFLKPSTSVEVVRKRSPIWKSAAVYSCFLGTSANLRYQVIAGLIEHRLGEYLMAYYNQPLLANLLSFVSRIINSYWGTQQWIDIARLSGVQSTKKELPSPEVLSPSEMPLLECGTTDVQNVDDQNQSNDLT >Et_3B_031444.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:362708:365758:-1 gene:Et_3B_031444 transcript:Et_3B_031444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVFFPILLLLLLLLLVVGAEAVNQEGLHLLEAKRSLSGSSLSDWNPRDATPCNWTGITCDGDGSVTEISIPDANLTGSFPAASLCRLPRLRSINLYMNYIGPELGHGIAGCSKLEHLDLSMNALVGPLPDDLSLPEELLYLDLSSNNFSGPIPESFGRFKKLRSLSLVYNLLGGQVPAFLGGVLTLRELNLSYNPFAPGPLPEELGENLSSLRVLWLAGCNLVGSIPPSLGRLGNLTDLDLSGNRLTGVIPPEIATALRRLVQIELYNNSLSGPIPRGFGGLRELRSLDLAMNRLDGAIPDDLFQGAPRLETVHLYANSLTGPVPSSVAKAPSLVELRLFANKLNGTLPGDLGRNAPLVCVDVSDNRISGSIPPAICERGELEELLMLDNMLSGPIPEALGRCRSLRRVRLANNRLDGDVPRAVWGLPHVSLLELNDNRLTGAISPAIAGAANLSKLVLSRNRLSGTIPSEIGSASKLYELSADGNMLSGPLPGSLAALPELGRLVLRNNSLAGGLLRGFQKLSELDLADNAFTGPIPPELGDLPVLNYLDLSGNRLTSVVPVQLENLKLSLFNLSNNQLTGPLPPQYATEAYRSSFLGNPGLCGGQIPGLCGGQDSADSRTNKNRGLLARSVFVFSSLVLVAGLAWFYCRHRTFNHKRRKLKEEERSKWTLTSFHKLPFSEYEILDCLHDEDNVIGSGASGKVYKAVLSNGEAVAVKKLSGTCDKHESFDAEVRTLGKIRHKNIVKLWCCCTHAANEGGCKLLVYEYMPNGSLGDVLRGGGGNKLKFLDWSRRYKIAVDAAEGLSYLHHDCVPPIVHRDVKSNNILLDADFGARVADFGLAKTLQSVQDSASPTTNQNNSMSVVAGSCGYIAPEYAYTLRVNEKSDIYSFGVVLLELVTGKPPVDQDEFGEKDLVEWVAATMDHKGVEHVLDPTLLLLLLTDTTIATKQEMVKVLHIGLLCASSLPINRPPMRRVVKMLQEVRAPPRLEKLHHSPYYYDDDEDTSDQGSTV >Et_4B_037853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:24000557:24001243:-1 gene:Et_4B_037853 transcript:Et_4B_037853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLDPVYGLKSAQSIRAKNAFGKFTLFFYILG >Et_8B_058940.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11255917:11256651:1 gene:Et_8B_058940 transcript:Et_8B_058940.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVQLEHENVTQLVLVSLRDGVEEGRRLSNEEKDPFERIERGARDGKGDLSVDFSTINPKKPNSALRKVARVRLTSGFEITAYIPGIGHNLQEHSVVLVRGGRVKDLPGVRYRIIRGTLDAVAVKNRQQGRSNPYRILWKAVFDESRMYGLEGDLSYLSRSTLQYGVKKPKK >Et_5A_040145.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:15088251:15088709:-1 gene:Et_5A_040145 transcript:Et_5A_040145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTSAQPMNLDDLEVGANPFSANLERQGPSNGTINLEENEHTTSSCSGQKVAGNGKKRKQSQVAAVLQEFVNFRIKQTKDFIDELNVNTKPNEHYSIKNCIAFLESIDELSEMEKAKAAKIFKCEQNREIFLNLKNPEVRLFWIQNEISSRY >Et_2B_022552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:2610970:2612835:1 gene:Et_2B_022552 transcript:Et_2B_022552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLEPKILKPDDMVITGVFSSFQNFIRKCLIAVLSYGPMPKHIAFIMDGNRRYAKYRSIQEGTGHRVGFSALMASLLYCHEMGVKYITVYAFSIDNFKRDPSEVQSLMELMEEKINELLEHSNVINKVNCKINFWGNLDMLCEPVRLAAQKLMDKTAQNTGIVFSVCMPYNSTSEIVNAVNGVCAEKREMLQKEDASNFNGHAANGGVHSEISVSDLDRHMFTAGCPDPDIMIRTSGMGNGKGAYHR >Et_1A_004558.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:17055378:17055635:-1 gene:Et_1A_004558 transcript:Et_1A_004558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQQQQTAPLFRAPQGSGRRRKIAVARLGKRRFAAGALRRLRLRWVAALYRRALRRLRAAYAAALRDVVEGAAMVGAARLQGEF >Et_3B_030501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4380842:4383668:1 gene:Et_3B_030501 transcript:Et_3B_030501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKNSDEEPQLYLVVCNVGIIEPQVYRFDSPLHEMTNEDPDTLRNALFGPDWVPALVHGKQTAVFLKLLMKGKLVESVELKEEIVGLDMLPNVELTILPDKPAAVLTSYDVKEGNLLLWKATSVYSIVSVLDSKVDRVTGEGKDLNDRFALNLNFSSCAVLRGCITQSTFYPLGIDFKRDFVVRQFLGEGACGNVHKCSRGTKQCAVKKIYQLARDTQCSEVEAMIKLSHTDHIVQIGVTAGAGYVYIAMEFFEKYLLKLRLSQCLNMFKVTLNLDSYLSERTVVDIEKSTYLFHKVMSGVESMHQAGVIHRDLKPINILINTHDQIAITDFGICKFIFVTCNLWYYKLGIADILIKANPLATFPGNEQYGTKYYFDPMLHSYREEHNERVDIYSCGIIYFEMHLPGLTRRRKNVRKFSDKFSCGQRASSKYNVWRSSLLGSWAGDKDILKRMLTRPRSDASFFKDKIRAIVKGTEAEAVNAILKFHTAGCKYASCGQFDTAGCKYASCGQFDTAGCKYAPLAMRIIDD >Et_1B_012967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4392449:4395901:-1 gene:Et_1B_012967 transcript:Et_1B_012967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSVSGGGRPPLFETEKPARMAAYAYRLFASTVFAGVILVWLYRATHVPPRSSARRWAWIGLSTAELWFGFYWVLTLSVRWNPVYRRAFPDRLSRRYKEEQLPGVDIFVCTADPTVEPPMLVISTVLSVMAYDYPAEKLNIYLSDDAGSIVTLYALSEASEFAKHWIPFCKKYKVEPRSPAAYFAKTATPPDTCVPDEWYTVKEMHDDLTHRVNSVVKSGKIPDVSKGKLKGLSQWNENTTSGDHPSVVQILIDGNKLKTTDVNGNALPTLVYMAREKRPREHHHFKAGSLNALIRVSSVISNSPIIMNVDCDMYSNNSGSIRDALCFFLDEEQGQDIGFVQYPQNFENVVHNDIYGNPINTVNELDHPCLDGWGGMCYYGTGCFHRRETLCGQIYSQDYKEDIDELEATANSLATCTYEHNTLWGIEKGVKYGCPLEDVITGLQIQCRGWRSVYYNPARKGFLGMAPTSLGQILVQHKRWTEGFLQISLSKYSPFVLGHKKIRLGLQMGYSVCGFWALNSFPTLYYVTIPSLCFLNGISLFPEISSPWFIPFAYVVTAAYSWSLVESLKCGDTAIEWWNAQRMWLFRRISSYFLAAIDTIRRVLGISESGFALTAKVSDLQTLERYRKGSMEFGSFTAMFVIIATVALLNLTCMVFGAARALLYGGMAGSGALFLQAVLCVLVVAINFPVYDALFLRRDDGRLPSSVSLVSLCIVLPLCILPTNM >Et_10B_002650.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:376434:376607:-1 gene:Et_10B_002650 transcript:Et_10B_002650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGHDGTAAFAVSPKLVVSFDAMLIDSVPHVAGLSFAESGCFANVPCAISRYPDY >Et_5B_043265.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20849306:20850100:1 gene:Et_5B_043265 transcript:Et_5B_043265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LQNDINNRVNPGQQLEVSCHGSLLPLYSLLCNSQINMPLRSLPWVSLQASSQYSAFNKATIHSPSKNLSSIITSITDTSTVNQQAHMMPPLNNEAHQSMHNFPQPQPLSLPLQAELPFNPTAQPDLNHAYTNNFSLNPVAPPHQNHEYTHNFNFNSGAPPVQDHAFHSFNYNPDHANSENLSASQQSASYQFRPVTTSIEPYSDPSLDALSACYFDFADDYGFQALDGGHDVELDPFDLHAQDDDEWSSMNLFGSPFRGAGDGA >Et_8B_059189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1492741:1495677:-1 gene:Et_8B_059189 transcript:Et_8B_059189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATWWAQHRLRVLLPVLFMAPALFLLLSAPSPPPLFTLPASRELSPPGSRIIWEKRRLVEWRPCGWWRKAMPAPSRRNGYIRIDCYGGLNQLRRDLCDGIGVARLLNATMVLPKFEVAAYWNESSGFADVFDVDYFIEQTRGYVEVVKDMPAEIASKEPFKVDCSKRKGHFDYVESVLPALLEHQYISLTPSMNQRRDRNPSYAKASYCQGCYHALRLNKNVESKAIELFQAIPKPFLSLHLRFEPDMVAYSRCAYTGLSSKSLESIEAVRADGRKVLTGDDARLWRNRGKCPLTPSETAFILQALGIPTDTSIYLAAGDGLMELEGFTSIYKNTHTKSSLLAHEDFERMHGNTKAALDYYVSVNSDAYVATFFGNMDKMVTAMRTMQGHQKTLVLSRRAFANYTAAGLAGEQLAAAMWNAHREEYIRGRGSALPEHCFCEFTL >Et_2B_022014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:839849:841567:-1 gene:Et_2B_022014 transcript:Et_2B_022014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKDKGVEPSEFEDSVAQAFFDLENGNAELKSDLKDLYINGAVQMDVTGNRKAVVIHVPYRLRKAFKKIHVRLIRELEKKFSGKDVVVIATRRIVRPPKKGSAVQRPRTRTLTAVHDGVLEDVVYPAEIVGKRVRYRLDGAKIIKIFLDPKERNNTEYKLETFSAVYRKLCGKDVVFEYPTAETA >Et_9B_065168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21807705:21809220:1 gene:Et_9B_065168 transcript:Et_9B_065168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPRLFLGTQALVGTPLLRRNPWLRQPRRPIAAYASSALLAAAASATPHQPALAGVEDAVVGFVTGKRKATEVAHAVWTSIVRKGDTVVDATCGNGNDTLALLKMVADETGQGRVYGMDIQDSAIESTSSRLKMAVDLVHERELVKLFPVCHSRMEEIVPKDSSVRLVAFNLGYLPGGDKTVITVPRTTELALQAASRILSSGGLISVLVYIGHPGGRDELNIVESFASSLPIDTWVSCKLQMINRPIAPILILLNKK >Et_8A_056387.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:7145212:7145598:1 gene:Et_8A_056387 transcript:Et_8A_056387.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVFYIEREGVSHLMFECVVSKACWRLVSEVMDRAVCSNFEHVVALWLDRKKVAAINIVNAAVLWGLWKSRNNLCFRNMQWKGLKQIWGQVLGCLKNRSIFCPESAKVDLQLYQGFRQPLGPGAGGV >Et_1B_011386.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21375068:21384175:-1 gene:Et_1B_011386 transcript:Et_1B_011386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFEYATNGNLNDHLHGDDSTISLYTRLNIAIECAEALACMHSMYNPVLHGDIKPSNILLDEKLHAKISDFGISRLLQGNNTECTINVKGSIGYIDPAFVKNGCLTPKSDVYSFGIVLVELITKTKPTDKEKEIIEIFARFSPKEKAVRELFDPDITSACSLKVLEGIGKIAKKCMKENIDDRPEMNYVAGWLRELRTVLEQGKEKIGVTKFLKINGMTLQNINNIMTFSMMELKKITTNFSMPLSEEFYGEVFLGALADSTAVAVKRPKATTEELKELITNELIIQSTFCHPNITKLFGCCLETDIPILVYEHTPGSLQKYLFGDPYDYLKAKYGRPWISLYPYENTKENAAWLDRNTRYQIALGVARAMVYLHKNRPEWVLHCNINPWSIRLDGHFCPKVSGFELSKMTSKEKMTSNGSRGTIGYTAPEWSVHGGLITAKADVYSYGMMLLEIITDRPNKEVRDSVSMDSWYCPKWVYEKVYEEHRMQDILNEYVVPVEAYIDPQSMAMVERMVKTAISCIQNIAEMRPSMGKSDLPENKLRDFIQDNDTGKWRCNNNHNIKYFTENELKFITRDYRSKLGNGAFGEVFRGVLRDGRPVAVKRYIHANSKEGFAKEVIVHSQINHKNVVRLIGCCIEKNAQMLVFEYVTNGNLNDHLHGDTPISLHTRLNIAIECAEALACMHSMYNPVLHGDIKPSNILLDEKFHAKISDFGISRLLQGDNAECTINVKGSFGYIDPAFLNNGCLTPKSDVYSFGVVLVELITKTKPTDKEKEIIKTFTRFSPKGNAVRALFDPDITSTWSLKVLECIGKIAKECVKENIDDRPEINYVAGWLRELRTVLEQAKEKAGAAKFLKINGVTFQNINKIMTFSMMELKKITKNFSTLLFQELHGEVFLGALADNTTVAVKRQKPITEEVKEMITNELIIQSRFRHRNITKLLGCCMETDIPMLVYEYTPNGSLQKYLFADHYDYGQAKPLGDQYDYAKANADCLDLNTRYKIAFGVARAMAYLHKSRPEWVLHCNINPWNIRLDQHFCPKVSGFELSKMTRKEKMTFNGIRGKMGYTAPEWSVDDELITVKADVYSYGMMLLEIITGRSNKEVRASVLMDNWYCPKWVYEKVYEEHRMQDILDERIVPFEAYPQSMTMVERMVKTAIWCIQSRPVMRPSMDKVIKMLQGAIDITKPPKPYILSP >Et_9B_063767.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:13369744:13370874:-1 gene:Et_9B_063767 transcript:Et_9B_063767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNPLRRLVDDEQWEAEGLAGRLGIVAHAAFLRAGFVPCGDEPSSGFLFHKQVDEIGPSAPSLSRRYTAAQLARRREGDPAPVDVAVLELREWTNGDVAFRAYLLTTDGHRRRVCEAVLDAAALAPLLSGGLDYAARAMETGSAGAWLWKALLYWVFPVLLLELCRRNDLPVTGFASLPDDAKAEILKRLADGKDLARVECTSKQLQHLVAERDGELWKAMYESLDLLSEAEGSADDSEGLGSWKERYVSALRQSRRRRRFASLFEFFFGHRREELEAELPRVDDDWEFGYVPLRRVRVRPYPLMVEPLDFGVYDFPPYMAHDYPPAPPPEPEVVPRRKNAGRHRRKVPRQDFQKKRHGAGATNSPSSRYKWKHR >Et_6B_048643.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1240237:1243725:-1 gene:Et_6B_048643 transcript:Et_6B_048643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGKKMNPLPAPASRLWEASIRKLQTIRRAGSVVPAALPGDAVAAAGGDPVMPGLLSVSSSASNTIYGYDDDEGGGGGGDSDSATEGDDDTDAGEEDDDDGDASATALGEPSHAEQLLPSGEFYQGDLRGDLPHGAGKFLWTDGSMYEGAWRRGRASGRGKFSWPSGATYEGDLAGGYMHGHGTYIGEHGDTFGGTWAHSLRHGRGTQAYANGDVYDGHWREGLQDGHGRYIWRDGHEYIGTWRAGEMHGCGTVIWADGDRYDGAWEDARPKGQGTFRWADGSMYIGAWCLEDGAMLAKGVYYPSSGGPPVPASAREPCDAFTALLKELEVSEGKTASLMPWQKVLTWSGVEGVLKKPVWRPPEVSAAEQGRRSSAHGRSSISSDVEGLVVEGEEGGEETRTDRSCLRTPSCMRTPPHKPGKKQGETISKGHKNYELMLNLQLGIRHAVGRQSAPTSLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCEFRWKDYCPLVFRTLRKLFDVDPADYMISLCGDDALRELSSPGKSGSFFYLTNDDKYMIKTMKKSEVKVLLRMLPAYYKHVRAFENTLVTKFFGLHCVNVKLTGAIQKKVRFVIMGNLFCSNYSIHRRFDLKGSSHGRMTDKPIDQISEHTTLKDLDLNFIFRLEGSWFQEFCRQVDKDCELLEQERIMDYSMLVGIHFKDRCKDADNGTTPTSAEDSEQNRKTSLRLGISMPSRVENVVKNPDSESLLIGEPTGEFQDVILFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIYKAFTEDVQ >Et_10B_003706.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5025899:5032488:-1 gene:Et_10B_003706 transcript:Et_10B_003706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLTTDRRWAAPARKSGMTVLGKVPKPINLPSQRLENHGLDPNLEIVPKGTLTWGKPTQTTPNAWGSSSILSTKTDGSSSSPSHLNGRPSSGGGSRPSTAGSESVGSPNAWGPNSRPSSASGTFPSPHLPISTNRPRSAETRPGSSQLSRFADNASDNVKVSIRTIDRPGSASHGHGFTLSNGDFPTLGSDKCSDSNSQRGHSSKGRPTSSSGKEASQNEQGKSLTTGPGEVISPPSNPTVDILKTDQQNAPFPETGLPNEGQQPQPYPPNFRMPAPQFDSWRAPPGHAPDGMWHRGAAPGGPYRPVGPPGSFPVEPLGYYGQYPPNSEAAARQSSGRGAYHPKSGDAYHPLPPNSYIMNQPVIPVRPVYQGPGPYDGYYGRRANFNNANVRDPHFIGGPHQPGILNQFPNQNDKFHPGHSQDRAGKHDTVSREQLESGRVQMFNRGQPRILHDKPDRVGGTHEVEKNAQPAPPLLPHPDGKRTDLNMRTDTRDTFGDRNRVLMKSVTDQRGPAGIEQPSAFNNAHSVPRDTGDGTLHKKLKEDNSGMHEQQPVIKKNAALIEKIESLNNRARNVDACNVPESASSKEFNRLQKSTVSMADQVMKDTDSVSHVTSVLQRPPNFPTDGTVVGPLHSQLSEFSKAGKLGDSVNDRVHRRGDSSRNNHHGPAKIRSVNKFAGHGRGESSTNDSLPVIDLRNNRHDLPQEGASQHQPVAVTDDMAASPDYESQRVKMRELAAQRAKKLQAEEEERIKNQKAKALAKLEELNRRSAVLQKNSNDTTVEADDGYNKQKAGLDVNAKLATSTAELRDVASPDSLAALQPSNDKRTVVLVQPQSTTLSHAAGAVKEPAAHAASSSAGNTPSNMEHVVQKSITQSNDISVPKPKQGFRKRHVVSEEKIHGEKPSVPVGTGNGKKSFENSLETKTAVTTSQDDPPAPNKKGARHLRNKKKVEDVPVTQHPPVVFNEQNTSKVSSEPKTYTGRVIISSSIVPTEGTIVTVGSITVGGISLPSLKQESVKSPDEAPNTENNRSRPQQAKRSGKHQHAVRPVEKPHGNEGVLWAPVKLAAQNEQSDRAMLNAAVSDPAQLSGKGPNDGENVTRTKRAEMERYDKEAVEKSSDPKIDAATEPKKWEDKKAGKGHGKSHPSWRRRNTHESATVVPNPIEQAENSHESKEVPRSADQNQPIETVQQEVKQLKSQAGTAAENSSATAEIVPLPVSSAKDHNAANRQRRQHVKAQKNEASRYSNENKDREGRNDLIYQSATPAMDSNSSNHKNILRSEGKSTGAVSHSRAHWKPKSNSHSQSNNAIEGQVNSHGDTIEMNSSKRSDSTTHPDSSTKPIQRNDGTGVQDAHCQQENLTREDGKQNNETHTSADQQQVNPPLRRQGHHNGRYNRGGTHRGRGYDAGRPSHGTNAERRRGGSHLEYQPVGSYKPTDFQQNPSVDERTEGPPASRPVFRERGHSRGSRPAGHFVKGNPASTSYQEE >Et_10A_001559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:5059919:5060597:1 gene:Et_10A_001559 transcript:Et_10A_001559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKCVTKFCACKSAVDRQNESGKNEYDTVEDAQKMYEKTEPWQFMHCWKMLRNEAKWNDKMLELRSTPTVAKGATAPASNPEHGNDSAPLERPEGRDSAKRRRANKEDSGSSNAAVGVLQQMHERSINAEGKQDQQMQDILNMKVENFQLFEKMFELQKKDIEFRSKLKQEQLSLTKQDIEVRAKQSEA >Et_7B_053257.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:17044990:17045472:1 gene:Et_7B_053257 transcript:Et_7B_053257.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLAVPHGANGRRGSEQASSRRNCRRRARHGLQLRPVHLADVPGDQRLLRDAHRRGVLPAAQPRRVARRRRGQQPRRRATQTCRRVQGRGPRSGQARVVLRRERGQRLLPRRVLRPGGVGGRPQKDGCHVRRRAQRGWHEPPERAQGTRTERQRLAHEQ >Et_3A_026870.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:24425028:24427798:1 gene:Et_3A_026870 transcript:Et_3A_026870.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPLARLVSNARHALYDVVFIVMLLFPLVYLVLEASSCGETKYTVDLAGFQWLNNATQGRTVSLAFNFTVHVDNRATFRACSLNHGEVVVSYSDVVLAWGRLPSFSMKRRSAASFTVVTWGKGVHFSDDLRGRLSSDWHAGKAKISVDMKLHRYPYYVMAPFRVHFVTGKQTLERNGYTM >Et_8A_056702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:15462469:15462990:-1 gene:Et_8A_056702 transcript:Et_8A_056702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DATDDFEATSCWEIVAFVKEHNHELLKNISLAKRIVLGIPQSEEEQFIRKLHSCTIKTSARAYQIIAQNEGHDKSTFEFHQHPKSEDHHFNNYWIHDFGQVRGEVIMMFTPCGNCISFYTPYCTNKNEMTCAPYIWAIAHSTG >Et_5B_045306.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:17579813:17581510:-1 gene:Et_5B_045306 transcript:Et_5B_045306.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSVGADNISSNSFIEKIIIEDGCVGLEQISFKGCANLKSVLLKGLFAKLDSLDISGTSVTTLDLSEMAAQNFDKLIALGCNKLCAILWPPVGKRKGDLSKLRIDTIECEGSSKATLSSPSSLTVKHGSRAPTEYSWYIFLSDLRLLRSLVDLQQDFNQGSTVHMEIFSPSCLTTDAGDIKDERIIKSGIDNAQLVLQDIRSQQQHPEIDDKVSVYAGVNVTLKDHAQQASAGGDCDAPIIAQIWPCPPTPKPQYGIDRYIYIQDQPLRRSKLPVRVSGEVFSTTTITVEDFICKNTGILHVHDSKSITCVPGPAPPLEGSRWNYLLWCRVERCSNLEYVFACPQIGSEPGGGSSGMRIFPQLETLWSSQLLKARCIWKLSRPSSGILNFHRESFEKLEFMHVDFCPRLTHVLPLSDTLIHGLCLRLLKILEIMWCSDVRTVFPLETDDAENNHGDQKLMQPTTVEFPNLNHVHLHELPMLHSICGSMRIYAPNLETIRIRGCWSLMRLPAVGSNKKVECNCEKEWWDRLNWEGMDANHYWSLYKPIHPRYYKKTLLRGSMLK >Et_7A_050236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:14238208:14239755:-1 gene:Et_7A_050236 transcript:Et_7A_050236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRCLAIALALSFSSCYLSTPSSATTSDHGFLQCLSRKIPSKLIFTPDSSNFNGVLVSTIRNLKFLTNTTVKPICVVTVTDASHVQAAVRCGRMNGVRLRVRSGGHDYEGLSYRSERSDVFGVVDLAKLRAIAVSTDEGVPTAWVDSGATLGELYYTISKNNPEVAFPAGTCPTIGVGGHFRGGGMGMLLRKYGLSSDNVVDAKLVNANGDLLDRMAMGEDLFWAIRGGGGESFGIVVSWKVSLVKVPLKVTVFNIAKTLDQGTIDVLTKWQHVAPILPRDIIVRVIVQGQQAIFQSLFLGTCDKLLPTMTSRLPELNVTKADCSEMSWLESAAFVNYRDLDIGANVVVNDVSTFDSGKVWGKKYFGGNFQRLAAVKRTVDPTDYFRNEQSIP >Et_8B_060475.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:17620546:17621037:1 gene:Et_8B_060475 transcript:Et_8B_060475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSRPTATSSARPLLRPPRTPRRLRRRQLKAAPTATPAAAAAAGGGHRGGPATPHLRWIEQAGEGNAGAEKPRGDPPSSVRRLAAAVWRLRPPEEAPAATAAGEHGSAAPVGLEVGSLLPVTKRFRFLAASASTPPNSCSNSAYGEANPGRPLLHQSALPA >Et_7B_053436.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:19382967:19383131:1 gene:Et_7B_053436 transcript:Et_7B_053436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIICPSAGSTSLNNAWTKVDLPLPVLPTTPIFSPPLMLRLIPLRIRGVFGRYFT >Et_8A_056533.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11779089:11783819:1 gene:Et_8A_056533 transcript:Et_8A_056533.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADFGAPKELAGGLQQRRALYQPRLPPCLQGATVRAEYGDATTTIDPTCANVVAEAFPRTFGQPLVSFLADAEKAAEERAPIRVGVVFSGRQSPGGHNVVWGLNDALKAYNPQSVLYGFVGGTQGLFANKTIEITDDVLASYKNQGGFDLLGRSIDQIRSTKQLNAAMKTCRSLNLDGLVIIGGVTSNSDAAQLAETLVQNNCNTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLVSNVCLDAISAGKYYYFVRLMGRKASHVAFECALQSHPNMLILGEEVALSKLTLMEIISKICDGVQARAELGKYHGVLVIPEGLIESIPEMYALIQEINILHNNNVPVAEMPSQLSPWAAALFQFLPPFIRRELLLHQESDNSAQLSQIDTEQLLAHLVETEMIKRTKEGRYKGRKFSSVCHFFGYQARGSLPSNFDCDYAYTLGRISLHMIAAGLTGYMATVANLKDPIDKWRCAAAPLTAMMSVKRHLRGPGAIPIGKPAIHPSPIDLKGKAYELHGRHRDTERLPQQGEDYTEARLLTGDPQGGNQLYGFSDRCADSDVPPPQC >Et_3B_028770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1973810:1977647:1 gene:Et_3B_028770 transcript:Et_3B_028770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLGAITLSVLLVLLTASGCAGRQFIVASSRDGRWTVPDEPLEHFQIKDTLVFRYDKHVGAVLSVNKSHYDACNTTDPFLRLDLDMIGVVLDTSGYNYFISADAARCQAGERLSIFVNAAPNDNTPSASAHPPPPPKPAPSSSSPPKPVSTTVSSSSAPEPARCLHVSCDLLLEHSFPCAVFRYDKAADSVLLVSQSRYDACNTTQPILRLDGGDSHFVLSIPGSTYYFISADARRCRDGGERLIAIGVITLLLLILTASTCTGRDFIVVRGRDGWLTDPDEPVSNFKINDTLVFRHGEEGAVLWVSQSHFDACNTTQPWKRLDGRETHFVLDIPGSTYYFISAIARRCRDDGERLIANVADAVYPSPVPRPPPPPPRAFALPPPPPPPAARNASAAWPVPVVPNMNGTSPSPPSPSSAVALSAGVLAFLALFGAAILGGFFNRRSWECHTVDKPGPKVRLRRQRQRMHAGRRRGPATRGRTGQANVRSAVFFSGRYGVLASQIPSPVAALHLPFLLLLLLLYLNERRRQGAI >Et_8A_058156.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:22172584:22175529:1 gene:Et_8A_058156 transcript:Et_8A_058156.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQQQQQQNHGHHQQAATSESDARGLRHDELTMESKSGSDNMEGGGGSGSAGEELQEEDLSEQRPRKKRYHRHTQHQIQELEAFFKQHPHPDDKQRKQLSRELGLEPLQIKFWFQNKRTQMKTQQERHENSHLRAENEKLRAENARFRDALANATCPSCGGPATAAIGEMSFDEQQLRLENARLRDEIDRISSIAAKYVGKSAGGSSSISSAGAGLYPPPPISSSLHHNLLPSPELRSEASRETVVVIMNHMSLVEMLMDVNQWWTLFSTIVSRASTLEVLSTGVAGNYNGALQINQTEELSVLQMSAEFQMPSPLVPTRESQFVRYCKQHADGSWAVVDVSLDGLRGGASPPRGRRRPSGCVIRELPNGYSRVTWVEHAEADDGMVHELYRPLASSGLSFGARRWAAALERQCERLASAAMSGVPDGVLTSAEGRRSMLRLAERMVASFCVGVTASTTHQWTTLSGSGAEDVRVTTRKSVDDPGRPPGIILNAATSFWLPPSPSRIFGFLRDDATRSEWDILSNGGDVREMAHIANGRDQGNAVSLLRVNNVNSSQSNMLILQECLTDATGSYVIYAPVDVAAMNVVLSGGDPDYVALLPSGFAILPDAGRGGSLLTVAFQILVDSAPTAKLSLGSVATVNSLIACTVERIKAAVAADKPAGAAPPAAWPIGDQADAS >Et_7A_050226.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:13514192:13514476:1 gene:Et_7A_050226 transcript:Et_7A_050226.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TAKGFKRSTCLNKDCFACAGAPPTLSPSVIKELGATLCKVGPEALSEAALSTRKTRKTAIRKLVIKKGDDNGTSSKDNKDPSNGRKPSQKKGGN >Et_2A_016000.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:2048022:2060783:-1 gene:Et_2A_016000 transcript:Et_2A_016000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHRPHGPKVGLRTGLVAVSYAALLLLFRSLRAYELALPEAAAEKERLRRRVWALCTLLTVLFAWKVAGVVPWPVAVVIWAAAAVTSVGGFVTLFQHRRCLTIHTERTSFAMADHWNRGPTWVTGAGFGVLTLNSGIAIYRAWDDSASVLFVAGSYLLLLLLFGCLRAYERAPPGSPARERARSAVWPLTTLLTVGFAWKVAALMPSAVAVLVWGLAVATSVGGFFALRMDPGRADGRGHHSSLLAKLGVGALTCNSAIAVYRSRGDPGSVAFVAALLLLLRSLREFELARPEDRGRVKAAVWALSTLLTAMFAAKVWALSTAGGGFWAFRRERSSAQAPPLIHAIINTEARYPRKRSPFREAMDFDRHNLLTKLGFTALTCNSALAIYRSRGDSGTVVFVVAAYAAIVLLFYFLRSFERAGPGADRGGTKAAVWVLTTLLTTMFAARVAPLMPTGVDLLVWLTAAATAGGGFWALFLSR >Et_3A_024912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25202903:25204203:-1 gene:Et_3A_024912 transcript:Et_3A_024912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDAVAAEPTAAIASPLAPIATVPTDAVIIDVVERAPRPAERPGAGCRICHLGAEGDGSEAPGSEVIRLGCGCKDELGAAHRQCAEAWFRIKGDRRCEICGSDAKNITGLETKKFMEEWHGLRVASTRTMEERGSHCWRQQPFCNFLLASLLIVFMLPWFLRINMF >Et_7B_053263.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:17919682:17920017:1 gene:Et_7B_053263 transcript:Et_7B_053263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLASWNKILRPLLCFVAIISDHDGGLVKRSGLGFLLLQWQKEEKHKKEDFAQFRLQECCPPFIGRLFSASLLSVCLCSHPYECSYRFRIEFSSYMRSAVVISLFYIVLVT >Et_5A_041491.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:23507067:23511670:-1 gene:Et_5A_041491 transcript:Et_5A_041491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSCVSRGLSGLSRARTSASRLLSTAPSSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLLMDNEGNCQGVIALNMEDGTLHRFRATNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVHIKGDNPDTVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEISKPGDKQKPLGKDAGEKTIAWLDKLRNANGSLPTSNIRLNMQRVMQNNAAVFRTQETLEEGCELISKAWESFHDVKLSDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFTTRDDEKWMKHSLGYWENEKVRLAYRPVHMNTLDDEVESFPPKARVY >Et_4A_032419.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:99368:107458:-1 gene:Et_4A_032419 transcript:Et_4A_032419.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGLAMDAISNETVDLEHIPVEEVFEHLKCTREGLTADAAQQRINIFGYNKLEEKQESKLLKFLGFMWNPLSWVMEAAALMAIALAHGGKDPAGNSALTGESLPVTRNPGDGIYSGSTCKQGEIEAVVIATGIHTFFGKAAHLVESTTHVGHFQKVLTSIGNFCICSIAAGMTIELIVMYAVHEREYRQIVDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKTLIEVFARGIEKDDVVLMAARASRIENQDAIDFAIVSMLPDPKEARAGIQEVHFLPFNPTDKRTALTYLDAGGKMHRVSKGAPEQILNLSSNKSEIERKVHHIISNYAERGLRSLAVAYQEVPEGTKESPGGQWQFIGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGDKKGGDIAVLPMDELIEQADGFAGHKYEIVQRLQGRKHICGMTGDGVNDAPALKIADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLLACFWKFDFPPMMVLVIAILNDGTIMTISKDKVKPSPHPDSWKLAEIFATGVILGAYLAVTTVLFYWAAHKTDFFVHLFKVETLNGDKITDKTNTLLIAENTEKLASAVYLQVSTISQALIFVTRSRGWSFLERPGLLLVGAFVIAQLIATVLAATVSWKVASIRGIGWRWTGAIWVYNIVIYLFLDPIKFAVRYGLTGKAWNLVIDRKVVAFTNRKDFGREAREAAWAREQRTLHGLQSAGPGRERAAPMELSQMAEDARRRAEIARLRELHTLKGKVESVVKLKGLDLEDINNQHYTV >Et_2A_018147.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:14090448:14090909:-1 gene:Et_2A_018147 transcript:Et_2A_018147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDASVSFTIAVVKSTLDVLLFILNKTTPSTWLSDPPNQRFHHLKCLKDDFENMVSHLMDAAEGRGCYQNHVERTWLSHIRNLSYDVEDCFREVAVHLERPSHPSRLPKEKLDANIKDLRERISQTYERAKLFPACPPAGTRNECFDPMQVNI >Et_3A_026430.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:775804:805396:1 gene:Et_3A_026430 transcript:Et_3A_026430.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKCVCSPSRRREPTLPTLLFLLFGGALLAAPAFARDTILAGDGISGNQTLVSKNGAFELGFFSPGPGIHYFLGVRFKKMPNTSPTFWIADRIVITDLPGAALEIFGSSMCIQEAGASLWCSTVAGYGPAPAAAVAVLHDNGNLVVRDKANSSRILWQSFDYPGDSLLPGGRLGFDRDTGNNISLTYRDFSHNGSVCVDESRRNGFVLTTDGHDLPGTFPDWMVSSKDNGSSLVLNFSGSPNATQFLQFRLGQVSLMRWSENSSANTSGWVAHWTFPSDCKSSGFFCGNFGACTNNSKCDCVDGFEPSYPDEWRLGYFVTGCSRSLPFSCEVNGETEHDDSFVPFDKQQGLPYNFQSDSAESDEHCRESCLSKCYCVAYSYDSGCKLWYHNLYNLSLASKPPYSKVYVRLGSKLRVKNGLHTGGVVLLVVGLIAVVSVIVALVLLWIYRRDMFTCRNIEVEGSLAVYSYAQIKKATSKFSDKLGEGGFGSVFRGTMPGPTVVAVKTLKGLGHADKQFRAEVQTLGVIRHTNLVRLLGFCVKGDIRLLVYEYMPNGSLGSHLFSEKSSLLNWELRYQIALGIAKGLAYLHEGCEDCIIHCDIKPENILLDEEFCAKIADFGMAKLLGRDFNSAVTTIRGTMGYLAPEWLYGQPITKKADVYSFGIVLLEIISGRRSTKRLKFGSHRYFPQYAAVQVNEGNVLRLLDERLQGNAHVKELDVACRVACWCIQDMEDDRPSMGQVVLMLEDWMVSSQNNGRSLVLKHPESPNLTEYLRFQLGQVTLKRWSEGSSANTSGWVPLWTFPSDCKSGGFFCGNFGACTTNNKCDCVGGFEPKYPDEWNLGYNVAGCSRSLPLSCETNGHIEHDDSFTQLDKLQGLLYNPQNDSAESDEDCREACLSKCYCVAYAYDSGCKLWYHKLYNVSLASNPPYNKVYVRLGSKLRAENRLHKKGFVILVAVFIAVVFVIGILLFLWIYRRDLFISCRKFEVESSIIVYSYAQIKKGTSKFSDKLGEGGFGSVFRGTMPGPTVVAVKRLKGLGHADKQFRTEVQTLGVIRHTNLVRLLGFCVKGDDIRLLVYEFMPNGSLGAHLFSEKSSLLSWELRYRIALGVAKGLAYLHEGCEDSIIHCDIKPENILLDEEFRTKISDFGMAKLLGRDFDSALTTIRGTVGYLAPEWIYGQPITKKADVYSFGIVLLEIISGRRSTERLKFGSHRYFPQYAAVQVTEGNVLCLLDERLEGNADVKELDVACRVACWCIQDMEDDRPSMGQVVLMLEGVANSSRILWQSFDHPADWLLPGGRLGFDRDTGNNISLTFQDLWYNGSVRVDESRRNGFVLTIDGHHLPGTFPEWMVSSQDNGSSLVLNYPESPNMPEFLQFQLGQVTLNRWSEDSSANTSGWAPQSDGDCREACLSKCYCVAYAYDYGCKLWYNKLYNVSLASKPPCNKVYVRLGSKLRAKNRLHTRGIVILVVVLIAVVSLIVILLFLWIYRRGLFINCMKFEVEGSLIVYSYAQIKKGTNKFSDKLGEGGFGSVFKGTMPGPTLVAVKRLKGLGHEDKQFRAEVQTLGVIRHTNLVRLLGFCVKGDIRLLVYEFMPNGSLESHLFSEKFSPLNWELRYQIALGIAKGLAYLHEECEDCIIHCDIKPENILLNEEFCAKIADFGMAKLLGRDFNSVLTTIRGTMGYLAPEWISGHPISKKADVYSFGIVLLEIISGRRSRTRLQFGSHPYFPFHAAVQVNEGNVLCLLDDRLEGNADVKELDVACRVACWCIQDAEDDRPPMGQVVRMLEGVVNIDNPPIPSSFQNLIEASKPPYNKVYVRLGSNPRVKNRLHTKGIVLVVAFIALVSVIVILLLLWIYKRDLFTCRKFEVEGSVIVYSYAQIKKGTNKFSDKLGEGGFGCVFRGTMPGPTVVAVKRLKGLGHVDKQFRTEVQTLGVIRHTNLVRLLGFCVKGDKRLLVYEFMPNGSLDSHIFQEKSSQLSWEVRYQIALGIAKGLVYLHEECEDCIIHCDIKPENILLDEEFCAKIADFGMAKLLGRDFNSTLTTIRGTMGYLAPEWISGQPITKKADVYSFGIVLLEIISGRRSRKRLNFGSHRYFPFHAAVQVNEGNVLCLLDDRLEGNADVKELDVACRVACWCIQDAEDDRPSMGQVVRMLEGIPPSLTFTSLSLLPSSRSYSLRSKNLSASTITAMPPRLPLFVVAALLLSTCLHLASSEPEADKAALLDFLAAVGRGGRARSINWASSPQRACGVWTGVTCSADGSRVVALRLPGLGLSGPVPPRTLGRLAALQVLSLRANNLSGPFPDDVLGLTALTGLHLHHNAFSGALPDGLARMRSLQVLDLSFNGFDGALPGAALSNLTQLVALNLSNNSLSGHVPDLGLPALQFLNLSNNHFDGPVPKSLLRFADAAFAGNNVTRQAAPVSPALPPPAALSPPATGAPPATSKRQVRLGESAILAMSVGGCVLAFAIAAVLLIAFCNRASSDEMGSAVSGKGGDKKGRESPESKAVIGKAGDGNRIVFFEGPSLAFDLEDLLRASAEVLGKGAFGTAYRAVLEDATTVVVKRLKEVSAGRREFEQQMELVGRIRHDNVVELRAYYYSKDEKLLVYDYYISGSVSNMLHGKRGEDRTPLDWENRLKIALGAARGITYIHTENNGKFVHGNIKASNVFVNKHQYGCISDLGLAALMNPITARSRSLGYCAPEITDTRKASQCSDVYSFGVFILELLTGKSPVQITSGGNEVVHLVRWVQSVVREEWTAEVFDAELLRYPNIEEEMVEMLQIAMACVSRTPERRPKITDVVRMIEEVRRSDTGTRPSTEASTPAVDAHNRGESSSAAP >Et_8A_058268.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:3923115:3923846:1 gene:Et_8A_058268 transcript:Et_8A_058268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHNRNQIPLPHLPEENLSTYCTCLLSEYPDRPQSTSSFLVLLVETDSPVIWYCRLDDNEWVRHEYDIGTLPLPDLGEGCSEKIVISPITACQGKFYFNGSFKELGVLEFCPGPVFRFIKIHDAVTRPKGLRKVFMVESEQELYMVCLVSVHDVMVHKMDFCKQQWHQVNDIGDRAFLLSSWYFGASRSAKECGLEPNCVYMVYPWNKRLMIFNVGDGTSKVENIEQAPASEQELRMISTHP >Et_9B_064718.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1831889:1837657:-1 gene:Et_9B_064718 transcript:Et_9B_064718.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRNPRRRDPASPQTPADGFKPGDPVEVLPDEPGLRGAHFAAVVVKSNPKTRSYTIDYDALVDDEDSDRPLRETVPARCVRPRPPPLRAPAPAPGAPPAEHAAVDALIDDAWWLGVALGARAGGDGKVPVCFPETREVMEFDAANIRPHLEWVAGEWLSPDSMEIPKTMPYTKGTEIEVAKMVDNSVVAWLPAVVTKAIWKNNLLVEYTVSKSYGTALSEEIVDVKHVRPCPPHASAIIFCINDEVEALQEDGWWLGMITEVHPELRYTFKSAHSGEEVQLDQKLLRLQYEWVDGQWKQQSQNVSKAKFLKGVKIEVSSDDEGFRGAWFEGTVLKSVGSKFLVEYATLKADDETSPLTEPVEARHIRPSPPHIPVTNGFKLLDEVDAFCNDGWWVGVVSKVISDRRYTVYFRPWKEEMEFEHEQLRLHCDWMGGRWMLASPVRFT >Et_2A_015327.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:10990588:10991515:-1 gene:Et_2A_015327 transcript:Et_2A_015327.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVLGSAHVTVQYGDLEQQIQRPRNVFGAAKNFAKRLEREERELEQERKMNQCDADREAETAAQVEARRRAGQELRWKPLFDAWNGLFSWRKPGTDQ >Et_2B_021860.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6134573:6157331:-1 gene:Et_2B_021860 transcript:Et_2B_021860.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPSDTGINDNGKEASPTSSMADVYRGELTPLQRHVAFFDRNKDGIIYPSETYQGFRAIGAGVPLSAVAAAFINGLLGPKTIPENEKVPAFKFPIYVKNIHKGRHGSDSGVYDSHGRFVPEKFEEIFKKHAKTRPDALTANELQELLQANREPKDFKGWLGGFTEWKVLYALCKDKNGFLHKETGLQTAASLPLLPVTALLFLWVFGWCHAEAVVSNMTELQKHVAFFDRNNDGIITTSEMFEGYIAIGCDAAFSRATASAISAGVGPKTSPDDAPSPHSSVYVEYIHRAMHGSDTGAYDAKGRFVPEKFEEIFSKHAKIRPDALTSTEIEEMILANRDPLDPQSWSAPEREWGLIYKLASDKEGFLHRDSVRGIYDGSVFFKLEKQRTSFRSDMGPADFGCGTLWQKHDGSTAPRFIAIGFEPTFATASATATHAAFGPLTTPPGKLPSTNIHISHIHRAIHGSDSGAYDKKGTFVPENFEKIFKKHAHIKPDCLTWLEIRELLLANRDLLNPISWAPAEVEWELIYQLGKDKRGYLHKETLRGVYDGTVFYEFANRTDITLRSDV >Et_10B_003714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5132141:5135011:-1 gene:Et_10B_003714 transcript:Et_10B_003714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPQQQRGGGSGNEDRYGVLLYYKYAEVPDAAALAAFYEAHCSELALVGRVRVGPDGVNATLGGRMAALEKHIAEMSSNSLFEGTDFKLASCEDPVDERVARECGFTSLSVRVVKELVTLCCNPTASPPEISRAGRHLSAAEFHSVLQNVAQSNCDDESPVEKNEVVVLDARNVYETRIGKFNVPNVETLDPEIRQYSDLPSWIDEHTEKLRGKSILMYCTGGIRCEMASAYIRSKGEGFENVFQLYGGIQRYLEQYPDGGYFDGKNFVFDHRISVGSRKENILGTCLICNSSYDDYSFRCRCNHCRMLVLVCPTCQDSSKEYTCEICQKNGKQSCQMSPSQDSEKNLGLTESSGFEKLSIKNHFATSELPRSNGNEQLKKLKILCLHGFRQNASNFKGRTSALAKKLKHIAELVFIDAPHELSFVYQPIAVQGSDTPSPPPVTAKRKLAWLIAPNSGCNTKEDWKAVDGPFDPLQYQQQTEGFEESYAYIENAISQMGSFDGILGFSQGAAMAALFCRQQQKTYVTPKFRFGVFCSGYLAPVGDFDREPIKLPSLHCFGNGEGHDRQIANRASIELVDLFAQDCCSIVEHDMGHIIPTRSPYIDQIKNFLSNFQ >Et_8A_057416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:2855289:2865953:-1 gene:Et_8A_057416 transcript:Et_8A_057416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNWRSNIREMVYDIEDCIDDFMYHLGDADPKKGFIKKTARRLKTLWRRHQISHQIDELKARVLEASERRARYRLDELICNSETVAIDPRVKALYTDTTKLVGINGPRENLVKSLLDVEQQTKVMSIVGFGGLGKTTLAKDVYHSIEEHFECKAFVSVSERPNITRLLNGIQSKFGIVGSSGACEVPEIIDRIRGYLKHKRYFIVVDDLWSADNWDIISCAFPENGKGSRVIVTTRNKDVASRACQHRHECIYKMKPLDRQDARRLFFKRIFGSEDGCPSQFEEVSAEILKRCSGLPLAIISIASLLSNRPARVSQEWENIKNSIDTQFGLNPTLEGMWQILELSYKDLPSPLKTWLLYLGLYPEDHVIKRDDLVRQWIAEGFVISNLHGRDLEDVGRSHFNELVNRSLIQPESTEYGEVISCKVHDMMLDVILRRCALENFSSVAYSSGEMANLQNRKVRRLSLDLRVGNAPNDLMSGTTNTSLSQVRSLAWFGSLVIVPPLFLEIAKIHSGISVSSGTRIFGRLMFFAIRAASDSSASISPASLDNPLFCRHLRVLILKYHRTEGGSTCNLTAVSQLFQLRYLKVTIDHHLLFPRKALELPSEIQGLRYLQTLEIDWCTRVRIPSDVVRLPCLVHLILPHAITLDGIGNMKSLHTLETFRMTNTKDIKAIGELTSLRNLMLCFRQDLKATYEEIDALVTSLVKLQDLRCLDVVPSLRFPIINDEKNQLGSLYSPPRQIERLKLRAFGIPKWINSGLQNLCHLELSVLTTSTEEVRALGELPSLIHLHLYVECGPSKGSIIFSKAGSFPDLEYLGLSCGGDAMSYLGFETAVMPKPQAPKALPGSQQFGMGRDCTGRHGAPPEPPKNRGKYTLRRCLSA >Et_7A_053045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:5526826:5527993:1 gene:Et_7A_053045 transcript:Et_7A_053045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTPPFLTKTYAMVEDPSTDDTISWNDTGTAFVVWRPAEFARDLLPKHFKHSNFSSFVRQLNTYGFKKVMADRWEFANDCFRRGEKHLLGGIQRRKGTGGAAAAPGIPTAIPISSPPTSSGGEPAVSSSPPRGALAAAASAAGVSGAVAELEEENARLRRENARLTRELARARRLCDGVRRLVSQVCLAKPKLTHDLP >Et_5B_043261.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:20777096:20777524:1 gene:Et_5B_043261 transcript:Et_5B_043261.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIGTLGMVDGIGGSVTLGMPAGTAGIGGSVTLGTAGTTTAGMGGNAAPPGTVGTAGTVTAGTVGTAAGAAASVVLSASRRAAWQVLLPSTSMSAMAMAIVGRLEVDAIGELWLGGRVELAEWLSENTSGDGDWFAMERRE >Et_2B_019776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13951794:13958826:1 gene:Et_2B_019776 transcript:Et_2B_019776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSDGRKKNAREMDFFTGYGDVNRYEILEVIGKGSYGLVCSANDTHTGEKVAIKKIHNIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSKRDFKDIFVVFELMESDLHQVIKANDDLTREHYQFFLYQMLRALKYIHTANVYHRDLKPKNVLANANCKLKICDFGLARVAFNDAPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLITDLLGTPPLDAISKALSDPYFNGLAKMEREPSCQPIPKVEFEFERRRVTKDDIKELIFREILEYHPQILKEYTSATQRPDLLYLSAADQFREQFTELEENGGRSGLLVPLQRKHASLPRSTVVHSASIPSMDHRYVASSSTKHVVDGSWNTHIHGAMNDRRSLAPAHPWQPNILHSQNQAHFQKQPLRGSLLDATGPAQYLPVAIPSVDSRPGHVYLYLHQPVTAEAVPSDRTPAQAISASHSPVPAVPYSMQGMYRT >Et_3A_023206.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:10893073:10893522:1 gene:Et_3A_023206 transcript:Et_3A_023206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLQIRQQEPVPDVKGKGSLFRSEKSSVPRDNVVDKRWLPPDETVLQIINDDGAFVEQQVQLLLGLSSETVQDRRCCRHGMFSFTEGMQRRLRLQHAYQESNLQLDGKIGTSCWRRIPGGSSGKAKQKRRITTAAEPGGEEGRERAE >Et_10A_002199.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4003383:4017245:-1 gene:Et_10A_002199 transcript:Et_10A_002199.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPLSHCAAVLLAKNNRRRGGGGGGRGGHGSNGHRDDPSSFLRQLRDALDAASEDGSLCPPPDTAGADADAAVSRSRSLARLRAQRDFLRATALAAAAGPFRSLSDLPLLPHAIATFLAMYPDYASTSDVDRLRVDHYSHLDAPGAGRVCLDYCGFGLFDSSWDSSSGSFTLHELNANLSNHALYGGAEPCTVENDIKERILEYLNVPANEYALVFTVSRGSAFRLLAECYPFESNRRLLTMFDHESQSVNWMAQSARAKGAKTRTAWFRWPTLKLCSTELRKEIVGKRKGRRRDAAVGLFVFPAQSRVTGAKYSYQWMALAQQNGWHVMLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVIGSLQGRNGCNASGMVKIVPVFPQYLSDSVDGFDAYDGLEDDSSIHKDEKPASNGQNGSQLPAFSGVYTSAQVRETFESDPGRDSSSDRDGASTIFEETESISMGEIMRSPAFSEDCSSENSFWVDVGQSPLGSEKSGQFKKGKLGSPLPSSWFNGRKNNKRMSPNLTSRISRSPLYDGHVISFDAAVLSVSQDTDCLKEDPEEETFENGRKNHFRQVSEIQEEPEVEKVACQHGVNGAVDQKESAIRRETEGEFRLLGGRDGNSRLTGGRLFGVDEIDGALSMGRRVSFSTEANIIADRLNRASDAAEASGYTFCDDDGCASDGYDDAQDWGRREPEIICRHIDHVDMMGLNRTTLRLRYLINWLVTSLLQLKLPDSKGGDGISLVHIYGPKIKYERGAAVAFNVKQSDGTFVNAEVVQKIAEKNGISVGIGFLSHIKVDMNQKLSNGALDIPEASFYKNGRRDNKKVTVRVEVVTASLGFLTNFEDVYKMWAFVAKFLDPTFLERASLVELLEKDIPKKFATNVRGHIAEEVKLEVPNGKTYYVKIARKQNDLVFGSGWSTFASAYDLEPGDFLTFAYDGHSRFKTTLLAFNEDEDFPSSERSQEPMNSGGFQKSTKCCFVLPAGYNMTNEQNNQVHALVGKIRPRIPLYVTAMDKTTVASGFLAMDKDYALKYLSEENGAITLSLPDGSETWVISLDINTDGRYTLSTGWLNFIHDNRLQEGDICVFQPSEGDKNTALTFHPLEGSFRTEGKGYAPSTKSPRHIVAKPLYMAPRFTILNDQQERKVIKKVQKINSKIPIYVAVIQNSNINSCILEQHVLLNLLSAWPYVTCDFLNIRQVLNSKKNKGSRASEWVASFRLLTADQSGVISCSSSCQILHAFGLMASRDHEYDGGSGHKISSGSCCRRCRHCYEHNYWDHLDNRQKHFFKVMVGDFQQEMTVPEKFANNFRGQVGEIVKLEAPDGNMYNVHVSKDQNKLVFRSGWGTFSSAYELKQGDLLVFRYSGDSNFKVLIFDPSGCEKVFFRVVMNSCRFVEQRGIHHEKLPSREGFVQDQTGGSSHSRKASKMSPSDSPSQRSSFAVAEDVPSPEDIQDPSNSGERQTSTESHFILAEGCNLTREQRDSIDTLEKKIRPETPLYVTHLNKTSMSDTFLFILDNKVQEGDAFAFEASKIETRLSVTVHPLQGSYQELGTSSPNRVVLPAQYMVTKQTKLTPEQKKKVEEKLQEIQPATPVFLSIKRRCDIRMGFSRDFAEEYLPRASRTVRLRRPELSCSWEVELKIHEVHRLCRGWKQFVDDNALRQGDMCIFQPLDGGSGGEEAEVNMHVHILNAFDLMASRDHEYDGGSGHKISSGSCCRRCRHCYEHNYWDHLDNRQKHFFKVMVGDFQQEMTVPEKFANNFRGQISEIVKLEAPDGNMYNVHVSKDQNKLILKSGWGTFSSAYELKQGDLLVFRYTGDS >Et_2B_021520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30245425:30248759:-1 gene:Et_2B_021520 transcript:Et_2B_021520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSALSSSHLLITASLPKPKPSSLRPPRLPQSKPLPAALIALTTASALPALAADAPAPPPAPAPAPELQAEAPTPTANPFSNSLLTAPKPSSAADLPEGAQWRYSEFLNAVKRGKVERVRFSKDGGLLQLTAVDGRRATVVVPNDPDLIDILATNGVDISVSEGEAAGPGGFVAFVGNLLFPFIAFAGLFFLFRRAQGGPGAGPGGLGGPMDFGRSKSKFQEVPETGVTFLDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFAGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILEVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSEEKKRLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGPGGNPFLGQQMSSQKDYSMATADVVDAEVRELVERAYSRATQIITTHIDILHKLAQLLIEKETVDGEEFMSLFIDGQAELFVA >Et_7A_050296.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:2140596:2142977:1 gene:Et_7A_050296 transcript:Et_7A_050296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRVSHRTPIVRREELLQNGVHAGPPVGIFIDARERQLQHHRGLQHAEVAAQPRIQELLHPRRRLLRLTPELLPEPRDQPLEVHARVVLAVHHPVADALPAEQLEEHDAEAVHVGFPRDGQVPGPLRRDVPTGSPHLRRHQQAAARVAAEQLDQPEVGDLGLQVGAQQDVLGLHVAVQDPLEALVVEVGQALGDADGDLVPRTPAQHAHADLLALSRTVAVVLLLEEGLGERAVLHVLGDEELVRVLGAVSPHPDEVHVVDPADDAQLRPEHLVGLRLLLQALDGDDAAVVQEALVHGPRRALPELVAERARGVGELLVTEPPEVAEQQGVAFLVADPRGGRVRAEDEEVPDGHEDGFHDEHHREEPVEVGEVDLVSLDSQVGRTHVGFPFLHGAGVAMGARHGGIQDRGPRRHLEVDGLPGFRSLAVPQGDLGVALPGALLVPERLAVAVVDANLAAPLEGEPRGVVEVEAVEVDGRHLVEPDLVAAPELELPPAPFAPVAGVAGHVAVGARARQRRLVRADAVAAAHAVHVALARHGHPRDAPAAVVVEAVHPEVAVVVQRQAPRDDGLVAHVGGVEHRVVGGHEDARPVEAPVPAAVVRRPVVLPPLVVRRRPVVAADLRPVVHQVQDVVAVEVEVVEAGVREAPGGGRHQTLVPGDGLPREERVRRPLEALPQLASAGVGDHEVARVEELHAVGPRPARVGAPRHLPVEVLNHQGPVLAELGAGAPAVHGGVAAGRPHHRGVGRPGEPDGERVGVGGHLVEAAREVAARRHQDVAGSVLHGDGLARP >Et_1B_011378.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21354035:21360856:1 gene:Et_1B_011378 transcript:Et_1B_011378.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLDNSELALNGDYPPPRLDAQADVLGYICGTMTQVNQENTVGVIAMAGKDARVLVTPTTDIDWILISIHTNVTASLQIAQLALKHRHNKKQQQRIIVFVGSPVSCEKSVLEGIGKKLKKNNVSIAVVDFGESDDVKTEKLEALVAAANSGANSHIVHVPPGETTLSNVFISSPILTRDEGENASVSAASEESGFEFGVDPNVDPELALELRISMEEERARNEAAAKKHTEDSSNHDNKDGNSEEARAADAEMIDSAGHDPEMALALQMSVQEGKAGSQSDSSAVFEDQSFSTSVLSSLPGVDPNDASVNDFLALLNSLRELEKKENKPEDYKNQ >Et_2A_015602.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15941152:15948514:1 gene:Et_2A_015602 transcript:Et_2A_015602.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSREGKDVAEERVRSEEEEEEEEEEEEGWDDWCSDGDDAAAAGGGLLCLFCSSRFDTESLLFSHCAAEHRFNFYGIVKELRLDFYGCIKLINFVRSKVAENGCWSCSQSFSCNSELCGHLHPAEKYQIEGKVPWEDDVYLKPFMEDDSLLHSLSIDDDDEEDCGTSIGGQCSTGNGGFAEPCKNKLSSTPKGNGSDISARFEQVCIIVGAEAGSSETLAQEQNDTQLKVARASVNAKAMKTVDDNYFGSYSSFGIHREMLGDKIRTEAYRDALLCNPSLMNGATVLDVAKAGASRVVAVDGSAKMVSVATQVAKNNSLLCDENKEVEKKQSSKVVSVVHTKAEELDHKVRIPPNGFDVLVSEWMGYCLLYESMLSSVLYARDHFLKPGGAILPDTATILGAGFGKGGTSLPFWENVYGFDMSCIGKEVTSNSARFPVVDIVASQDIVTETAVLHSFDLATMKENEMDFTASFELRLSESGAVVPEVTWCYGIVLWFDTGFTDRFCKEKPVVLSTSPFSTPTHWSQTIFTFEEPIVMAKEEYLLGPSGSAGTEVCPAVMIRSRISIVRASEHRSIDISVETTAISSDGRKRSWPIPSTTATASYKAMVRRARHQLLDFRLSPRELNPRTHTA >Et_2B_020209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1819708:1822741:1 gene:Et_2B_020209 transcript:Et_2B_020209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHPQADAEALPGFGAEPWVIRFRGTGKLINPFDGNSRDVRLPTAVGKTTMCLGCFGDWSVMLDEETKACFLLDLATLSTVPLPPLLEPLPAWPGPGCALSSPATAPDCTVAVVVSDGAEFLLHCRPGDKAWSKFFIGKQDKILRPMHGSHGRIYVTSSVNWELLVINMGDDDSASGVHVEKPADDADEDNYVPGSSSAETSSCCDSTCTGYDGMGLRDWDIHRLDTSNSKLCLELVESIGDRTIFSGMEPVAVSPASEAGTQPDCIHLLYPFPSDGLRLYTIRLSDRTVSFKLVPTDSTQPLHWAIPRSQSFVLHDNNTKDNAIPLSTLIDYTKLHVPELSLTKYLNLRFVCCSLNNITQMIQDAKQYPMLMSLRSNLGDKYHLFDPMTAEEYGLDIGKELFFGDKLLVLFSKHGWVLITEGNSSVTALNPFTKEALDLPPLDLSLFNGISFSTAPTSPDSVFFVFDEWSGVVEVLVWRPGDEDWTSSSYFPDTKFKVTYNNPVFFDVFVPQDCAQIEMFKLNMSIMVWTKLERLDNATMFVDNWGAIIVPQPESSLCNRIYLPKFGFEEDGVTKVSAYYDIETKIYHPTFYGLMEPMKSIWIQPNFTACSSSLE >Et_1A_009318.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38646300:38651012:-1 gene:Et_1A_009318 transcript:Et_1A_009318.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSDSDGGGDEEVEETVPGDAVPGFSLAIEGVLGSCGMVVSDALEPDFPIIYVNRGFEDSTGYRAEEVLGRNWTRGPFAQRRHPLVDAAVVTGIRRCLEEGIEFQGNLLNFRKDGSPYMARLQLTPIYGDDEIITHYMGIQFFDESNVDLGPPLGSVTKEPARSTWIAPDNIPRPNSVGKGNSWEHSNLFLLSEEVLCQKILSRLSPRDIASVNSVCKRLYHITKNEDLWRMVCQNAWGCEATRALETVAGSRSLAWGRLARELTTLEAVAWRKLTVGGAVEPSRSNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLSASKPEWRHINVSGAPPGRWGHTLSYLNGSQLVLFGGCGGQGLLNDVFILDLDAQHPTWREIPGLAPPVPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTHLLDVAMEKPIWREIPASWTPPSRLGHSLSVYDGKKILMFGGFAKSGPLRLRSNDVFTLDLSEDKPCWRCITGSGMPGAGNPAGVGPPPRLDHVAVSVPGGRIVIFGGSVAGLHSASQLYLLDPTEQKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWMLTEIYELSLTGSLFPLTLFCIKPKALATISLFGMDSILLS >Et_9B_066196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6744739:6745780:-1 gene:Et_9B_066196 transcript:Et_9B_066196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLQKHVGAEDTVGRLGLLMHAAFLAVGFHPYGATPASGHLLTTTSAGGEAGSSRRLSRYYTVPELARRREGADAAVLLLCARDGGGDTGGGDVALLAFLTRRRHLDVSTVSPLLSRALRDAEPWASRVCWALAGGVCWGLRVELCRRNGLPPPTGLMSLPDDMKAEILKRLGDGADLARVECTCAQLRRLVAERDAELWKPLYEAVRLRRRLRRASRWLRLWFLPDSSSSDDDDDESEPPEEEEEEVVVSWKRKYVQARPRPSWPGIWHRRWLSYSFWDVDCHLGWLQDPPEDDEETVSTRAVGAGAHRRKVPRNEFKKKQRHGSGAIHAPSSRYRWKHR >Et_1A_004597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22014351:22015001:-1 gene:Et_1A_004597 transcript:Et_1A_004597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGVPKVPYRIPGDEEATWVDLYNVMYRERTLFLGQEIRCEITNHITGLMVYLTASMASFILLGGEPTKRIAFPHARIMLHQPASAYYRARTPEFLLEVEELHKVREMITRVYALRTGKPFWVVSEDMERDVFMSADEAKAYGLVDIVGDEMIDEHCDTDPVWFPEMFKDW >Et_9A_062717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3672265:3685028:1 gene:Et_9A_062717 transcript:Et_9A_062717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVDEPEQRAEDEEDEDAELARPPSASALSFVDMTLKHTGVLDCDICFLALKPPIFQCNVGHAVCSSCRGKLLTVGARRGRCPQCRETTRFRRCYIMEQMVDSLLIPCPHAAQGCAAKPEYHSRHAHAEVCAYAPYRCPADTCSFVGPTPALLDHFADVHGWPCTAEASADGSFDVVLRDGFNFLTAVRDQGTAATQHVFLLNVVLAPFGRSVSVVCLRPRSGAATGTTTKRHLYLSYSCYKPDSRCRRLKRSIHSQVSRFQVPCVDPSDGLPDPNVCFQFFIPKYIPGHDDDPCKLRNGETVPKPEPPSVPPMTVPKQEPTTATSKTAGTRKKASKRTSTTAVDDEEDIKPAKVPASASRARPNNRAAARRDVTLEDTDAFDCGICFLPLKPPIFQCDVGHVLCSPCLNKLKSRSKCHVCGTTSGFRRCHAMERVVESIHIPCPNSTSGCTAKPAYHEQQSHQQTCMMLPRLRCPDKACGFVGSMKTLLDHFAGVHHWPCTTKMREARYEACEVSLRNGFNFLCTNLPSATATTSTQFLFLLNLERQLDGAAISVLCIQSHPAVGGNGHGHSLKGLKCDLRYSKHTWASDQARNGDFIEYYQSSKFRVACTDFLEGLPNPDGRFKFVVPNGALKNGSAGTVEIDFRFDTT >Et_9A_063356.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21358766:21359716:1 gene:Et_9A_063356 transcript:Et_9A_063356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLLLPISTAPAGSSSSISISDDAVEQTAASSSSSPPAASSSPSTQSIVFRVVAVLAVACASLFAQHEASKGFVIDVVNGGGARPGRDDAAGRRFHLLFVSNGRAERVLHYASRGVERALFPDASFPRKRVARVTVRMAGHNLTGDAATVDAAAGADRGEYVISLSPGLVMSGADDAVAAAVRRAVARMWLWDGRGAAPARVTESMVDYLAASVAAADEGAKVAAAAAPRPEQEDGQCMSPPFLRHLEQRRRGFVARLNRAMRDRWSDAAVDAALGAPARPACAAYLAAAKVYPAATQLAGSTSDVTSGSSVAM >Et_7A_051917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2768553:2771966:-1 gene:Et_7A_051917 transcript:Et_7A_051917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASGLAPPRAAAIFPATRGAPRPGFLRFAPPVAPPPQQLRCCASTVDDGVVSAEASKPRLPRVVGMGSKLVGCGSAIPTLSVSNDDLSKIVETSDDWIAARTGIRNRRVLSGDETLRGLSVKAAQRALEMAQVKPEDVDLVLLCTSTPDDLFGGAAQVSTEVGCTKAFGFDITAACSGFIVGLITATRFIKGGGLKNVLVVGADALSKFVDWTDRGTCILFGDAAGAVLVQACSADEDGLLGFCIQSDGNGQKHLNARTLNDDSILSKTNGAPGFPPRKATYSCIEMNGKEVFRFAVRCVPQSIEKALEEAGLPASSIDWLLLHQANQRIIDAAASRLDIPSDKVISNLANYGNTSAASIPLALDEAVRSGKVKTGDIIAVSGFGAGLTWGSAIVKWG >Et_4B_036194.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:163280:163504:-1 gene:Et_4B_036194 transcript:Et_4B_036194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMLALMAVQRQTAASRSTSGSSSGQQSSLPPMTPGMLSFRRLSTLAHTRSFRASMGHDLVGGGTTGGVGVGQ >Et_1A_008214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5258628:5260881:-1 gene:Et_1A_008214 transcript:Et_1A_008214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLGERMAASPYRLRHRRLMDTASAPTSTPGHSSSNNGMTIMVSILVVVIVCSLCYCVYCWRWRKRNAVRRAQIERLRPLSNSDLPLMDLTSIHAATNSFSKENKLGEGGFGPVYRGVLGGGAEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGCCVEKDEKMLIYEYLPNRSLDAFLFDTRKSGQLDWKMRQSIILGIARGMLYLHEDSCLKVIHRDLKASNVLLDNKMNPKISDFGMAKIFEEESNEVNTGRVVGTYGYMAPEYAMEGVFSVKSDVFSFGVLVLEILSGQRNGAMYLQEHQQTLIQDAWKLWNEDKAAEFMDAALAGSYSREEAWRCFHVGLLCVQENPELRPTMSNVVLMLISGDQTQMPSPAAPPLFTRLKTAVSVSEFSLGMKTDTTKTQSVNEVSISMIEPR >Et_7B_054019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14209626:14214576:-1 gene:Et_7B_054019 transcript:Et_7B_054019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAAAAAPPATGATASVRVSNIPPSAIASELLAFFDSAVAAAGATFACKIESAHRGWLSRGYGAVQFDSSAAAALAVDLASSGGLPLFLGYRLSVSPAYVDLLPRAPDASLRIAAASLVLGNRVAAGELEVVDAWDGVRAEVIPAKRRVDIYLEHDSEKHKLEVFFDDIRESFGCSVDNTSAILLQLTYAPRIHTAISGPAVNSKFTDERFSACKEEAKSVWVRTLDFTRNNSFGKCSNLLLKLHEGARVSDILDSLPFSGELGELVFSSVDVLGPSSKIVPLVDCPTGFSMPYETLFRLNSLVQMGKLVARHVNADLFKILQKLSVDTSRRIFEKMSKLKCTCYEPLQFIKQEAHTMKISKNALLSNKGKGKGKLMNCYRVHITPSKIYCLGPEEEVSNYVVEHHSEYAADFVRVTFVDEDWSKLPANALSARTERGFFSAPLKTGLYQRILSILKEGFCIGPNKYEFLAFSASQLRGNSVWMFASNKSLTAECIRGWMGQFQDIRSVSKCAARMGQLFSSSRPTFEISSYDVEVIPDIEVTTDGTKHIFSDGIGKISLKFARRVAAVLGLDPANPPSAFQIRYGGYKGVIAVDPTSFFRLSLRPSMKKFESKSSMLNITSWSKSQPSYLNRELISLLSTLGIRDEIFESMQQDDMGEANEMLDNKEAALSALGKMGGAETKTAAKMLLQGYEPSSEPYLSMVLKAHRANRLTDIRNKCKIHVPKGRVLIGCLDEIGRLEYGQVYIRVTKNQKEQKVNEQPFFHFDDGKTAVVVGKVAVSKNPCLHPGDTRVLEAVCDDGLDARGLVDCVIFPQRGERPHPNECSGGDLDGDLFFVTWDDQLIPEKADAPMDYAAARPRIMDHVVTLEEIQKHFVDYMINDTLGAISTAHLVHADRNPLKARSPECLQLAALHSMAVDFAKNGAPAEMPNALRPREFPDFMERWEKPMYISNGPMGKLYRAALRHAENSEALLLPECPPSCAYDPDLEVPGFHEFLDAAEERYELYAEKLGTLMNYYSAEAEDEILTGNIRNKLVYLKRDNKRYFEMKDRIVAAVDALHEEVRGWLKECHEDDKARMASAWYHVTYHPDRRGRKRLWSFPWIACDNLLAIKAARRQVDDGAVPMDWGA >Et_9B_065483.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5713469:5715371:-1 gene:Et_9B_065483 transcript:Et_9B_065483.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGKLVNNMYDPLQQWDASPIYQDPPAPATHPLSLTANQNCSVKEPYNIKLRRYIIEFLQEKWDMLNKMEREEWAVLHERGLEVGQGWANNNIKRANSMWRLKIP >Et_8B_059835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3151950:3153265:-1 gene:Et_8B_059835 transcript:Et_8B_059835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKGKGGAGRKEEVITREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTNDVRVDVKLNKHIWSSGIRSVPRRVRVRIARRRNDEEDAKEELYSLVTVAEIPAEGLKGLGTKVVDEAD >Et_6B_049382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4166293:4170927:1 gene:Et_6B_049382 transcript:Et_6B_049382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFGDVQELSMAWLPLGVGKLSLSNMSGMEVALASGLLKVAGGKLVSLITSEFASITGVRKDLSEMQDIHGEITSWLSAFRDSAVESDPSLRWMMKLRNVAYDIYDLLDEVQLEAEKHDVKNFGVKKDIAEYLHAKSKSFQFRCKVARRIKAIKVAFAAIVKQRNDANAILNNLAAHHRDVGTRNVTTMELSLLGNVEESRIPLRDVEKDDIVSKLLESDEGDNCLIVSNVGLGGSGKTTMAKHICHDRKIKEHFKDTIFWVHVSQEFYIEKLIGRLFEAICKQKYLSLTSWTHDIDRSLFGKVRALYFSGCGPSFDKPLKKSRCVHSVILKYNTYAFPSFILKFEYIGYLEIHGLSCTELPDAISDCWNLRSLHLIYCSGFVKLPESIGKLKKLRTLQLVGTDLDYLPQSIGDCQDLRCLQLNSCMNLSEIPISIGKPENLRVLHIVYCHKIQKLPAGSIGEFSKIQELTFAVCFSFQDLPSTLVSCTLRSLNLSQATITTLLPWIGLIHTLECLNLEFCSELLELPRGIVNLKRLEVLSIYGCSKLHCMPSGFGKLTRLTRLGLFAVGCGEDDARISELESINTISDGMRITNLQHVEDPTDAENACLKQKNNIQSLELSWFTNEMEEELVSNIEQDLAVLNALEPPLEVKRLTIEGYRGPFLPHWMGDESDSYLEGITLKQSSLCKFHCLADLMLTNFPNLKHLRGLTELTSLVTLHLDGMPKLEELWTMSIEIGKEELGAQYCFPFLSTLEIKNCPKLNVKPDFPPSLEFLELERSNKQLLSPTNFTHLLPLSVDGASSSSSSVHSTVRRIKKLELRRMTGSASDWQFLQHLTGLETLNIYDCDDLMELPECIGCLTSLCSLCIHKCFNFSELPESLGELCSL >Et_1B_009803.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28010455:28015954:-1 gene:Et_1B_009803 transcript:Et_1B_009803.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLRPRPRLVIRTPRPVLDGRSRIKWRRSSLPNTDAIADAGGGEGLAGSVLFLFAS >Et_2A_017561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3623619:3639086:-1 gene:Et_2A_017561 transcript:Et_2A_017561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSERRPLYTLDESDDDLPPRDGSGKGKDRQNDGPVERIEREDTKEDACQRCGKTDNLVACTTCTYAFHRKCLVPCLNITSDKWSCPECVSPLTEMEKILDCEEKPVTSEDTNSSESGSKTVKQYLIKWKGLVSEKEYSDAAKIHTRLNTRLKNFHKQFELMDKSDDECVAIRPEWTTVDRILATRKSSTGEREYYVKWKELSYDECTWEYESDISVFRTEIERFNEIQSRGKSSGDRGKAPREPRKFKESPKFLSGGTLHPYQLEGLNFLRYSWHTDKRVILGDEMGLGKTIQSIAFLASLFEDKFGPHLVVAPLSTLRNWEREFATWAPQMNVIMYFGSAASRDNIRKYEFYYPKGTSKKLKKKKSSPSHEEKKQSRIKFDVLLTSYEMINMDSAVLKNIEWECLIVDEGHRLKNKDSKLFGQLKDFNTRHRVLLTGTPVQNNLDELFMLMHFLEGESFGSISDLQEEFKDINQDSQIEKLHGMLKPHLLRRFKKDVMKELPPKKELILRVELTSKQKEYYKAILTKNYEVLSRRSGGQVSLINVVMELRKLCCHAFMTDEPDSEPANPEEGLRRLLDSSGKMQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYLSYRKWSYERIDGKIAGAERQIRIDRFNNKSSTRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLVSRGTIEERMMQLTKKKMILEHLVVGRLTKASNVNQEELDDIIRYGSKELFDDENDEAGKSRQIHYDDAAIERLLDRDQADEEECLEEDDDDDFMKGFKVANCEYIDEEAAKEEARRKAAAEAANSERASYWDELLKDRYDVQKVEEHTTMGKGKRSRKQMATADEDDIHDLSSEDEDYSYEDDVSDNDTTLPGSISGRRGQYSKRKSRNVDMIPLMEGEGRSMKILGFNQAQRALFLQTLNRYGFQNYDWKEYLPRLKGKSVEEIQRYAELVMLHLVDETNDADHFSDGVPREGLRTDETLVRIANISLIEAKVAAMEQGKITTLIPNYLLQEFQSLTSGIIWKAEHDLLLLKGIIKHGYARWQYISDDRENGLFEAARRELKLPSVNEIIGSHTNNEPNGNLEGTQEGQDRQVNATSSAHYKDYQRRVVEFIRKRYHILERCLNLEYAVIKTNTPVPDDLTEQSVPAGHVPSFPDVSEMSRELPNLEPISTNEVASDGTADQSQVPHLYNKMCFVLEDGGVPALNSFFGDKAASSSLSNSLHRLETVCEDVVRILEVQENGTAIKEEAVDPNSKEANSPPPDSGPVAANGEPSAVKSAGKMEIDG >Et_7A_051368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:17522506:17523874:1 gene:Et_7A_051368 transcript:Et_7A_051368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRREKEADGGEITRGNGGGYDDCSIMCLPEACLAHVISLLTPTDACCSSAVSSTFQAAASSDTVWKCFLPPGYPSILARADHPVDFTSTKKELFLSLAQDHILLDQGTKSFWLERTSGAKCYMLSSRSLTITWAENPVYWRRIYLPDSRFEEATELLAVCWVDVFGSIECKELSPNTKYAAYLVFNLAEETCGIGYCPTQEAFIYMGDQIVSAKRVVSFYPPTATQGGDEGGGGQAEEETVPVSYPSDRDDGWMEVELGEFYNHHGDISEMVNIGLIEHVQLHWKKGLVLEGMEIRQKN >Et_3B_031321.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:25825140:25825546:-1 gene:Et_3B_031321 transcript:Et_3B_031321.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQHGAALLMIASLLVAASLADARFTVRRDDFGAYVAAVPAALSCSQVHAVQKGETCFSVAQSAGLTLEQFLGFNPNINCLRVFVGQWVCLAATSA >Et_5A_041563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24446497:24448611:-1 gene:Et_5A_041563 transcript:Et_5A_041563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKKSNKSLFAAADDDVASPSVKLGRASINDSGAAAAKGTKSKQKASLSATTSDDYEDSLSTSSSSDEEASVSAKLSRAYINKAAVAANSSINPWNGRPYSARYQKLLKRRRNLPVWQKKEEFLRALRDNQTLILVGETGSGKTTQIPQFVFEVEGLGSDTLVACTQPWKVAAMSVSRRVAEEMDVALGEEVGYSVRFDHCCSHKTVLKYLTDGILLREAMKDPLLTKYKVIILDEVHERTLATDVLCGLLKEVLKMRPDLKLVVMSSTLEAEKFQSYFSGAPLMKVPGRLHPVEIYYTQEPEKNYLEAAIRTVVQIHMSEPAGDILVFLTGKEEIEDACQKIEKEIKNLGRRAGTLQVLPLYSTLPLAMQLKIFEPGPAPLKEGGPPRRRVVVATNIAETSLTLDGIVYVIDPGFSKQKFYNPKIRVEVLLTSPISRANAHQRAGRAGRTQPGKCFRLFTEKSFNEDLKQHSYPEILRSNLSYMVLILKKHGFDCLLHFDFMDPPAPECLMRAMEVLNYLGHLIMKAT >Et_4B_039019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:706048:714883:-1 gene:Et_4B_039019 transcript:Et_4B_039019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSSLGAKRENAGTKKSPLQIQMLEKFYTEVQYPKPEDMVGYATTVGLTYNQVRIWFKERRRKERREMEATGARIERQLSSRSSGPRSSSNTSSCSQAPLSESSSISIIGEEHTVRQQVLFHKDYILRKIFRKDGPPLGNEFDPLPQSAHGNIRDAMCYDSSQSRRAVNKRKIMEYTDQRSTVPHEDTVPVRKHGIGKGLMTVWHAMYSHNVECQGGSSFIDETGCLRRVIVPKEHSRMNCHLSVDKSELSERQTELVALVDDEELELSELQAGPNPLSCSAHLSSSGRHGCPLCKDLLAKFPPQSVKMKQPFSMKPWDSSPEMVKKLFQVARFVYTHFGSIDVHPFTFDEFVQAFHDKDSLLLGEVHISLLKLLLFKSEGGCAGVFVPRSSTDCRFLSFLNFVREQEFDVNFWIKSLNSLTWVEILRQVLVASGFGSKQHMNRDFYDKEKNQMVKYGLCPRTLKGELFTLLSKKGSSGLKVSELAKSPEIVDLNIPGTSELEQLICSTLSSDITLFEKIASSAYRLRVDPRIKGKEDTRSDSEECGSVDDDEDASSSDDEPDGLQKINFPEHESSITRRKKQKIIHESPNKCCEIDESCPGERWLLGLMEGEYSDLSIDEKLDCLVALIDVVSGACSVPRLEGPPKVSQDAPKVQPRPSGGKIKKSTKNLYQSSDESLNGPGSQRSQGHTVDSRRNDLSGIAHEPQVVLLGSDRRYNSYWLILGPCRADDPGHRRVYFESSEDGHWEVVDSPQELLSLLSILDRRGTREAHLLASLEKRQACLFEAMKKYLEDGNAVGLAVSSDSCHSETSGDGASPQTSSVDGASPVSEIENTSVPTGHAVNNSDSSSAIVIEIGRSDDEKILMWERLQAFDKWIWASFYSILTAVKCGKKSFKESLVRCESCHDLYWRDEKHCRICHSTFEVGFDLEERYAVHVATCREPEDMSEVPNHKVLPSQLQALKASIHVIEASMPEVAFVGSWKKSAHKLWVKRLRRTSSLPELLQVLVDFVGAMDEDWLYKCSSSESFSSYLDDIVVYFQTMPQTTSAVALWVVKLDALIAPYLDR >Et_3B_027840.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:21830381:21830431:-1 gene:Et_3B_027840 transcript:Et_3B_027840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRGEHKANYSFAFY >Et_10B_004131.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1526686:1540065:1 gene:Et_10B_004131 transcript:Et_10B_004131.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANGPSLGTTTPTTNPPNSAWMPIASVRNPAARTSASVAHTYASRTGSPSRHRRAIHASAGRSSTNAAATNPAAVRSTQSETIGDESVPDSATASASRIQAITSSTAAADSAVRPRSVVRSLSSVRMRASTGNAVMESATPMKTRNGARGASFTMVARKAKEVPMPSAKGSAMPEREMARAFRPVRRMEERSSSRPTRKRQNRRPRFASVSSTVMLSGGNTVCRNLVLLRPSADGPRRIPPCRTHHACHTLDGSIFHRAADVVCLERLDGGLVGVALGVVAGVRVMKKKVGAEPGERDGDAGVLRHAGREGERLPVVGAPEEEGRDGEGDALGGHLRVAAEPWSTSTAAEQGASRSTRLFTARYAEPREVKEPSWLRALWYGRTTSAARLATALSMMSRWMVSRSEMAVMARTRSALSRSNASNATTTFWPSSRSPALLLKKGMPLRRARWTMVIADERSESSTRCIAYMASRRRPVPRDASTRLSSVGMFRLEWKQASTRSSHSTVRRLYGADGRAGL >Et_4A_033139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:1986164:1986694:1 gene:Et_4A_033139 transcript:Et_4A_033139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMLLRSRMAREAPVQQQEQPTAGEAAGSSGPQQWRSQKTQRSSLVSCDTMEPPWLRPWTTGCSKPVDVWDSLGVE >Et_3A_026934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2759132:2763321:-1 gene:Et_3A_026934 transcript:Et_3A_026934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPSTTTTKKKHHARLNNPFPRAVPASAIRSGDAAPRLSFAPTSKLAHAHDFPVGTRFRLRWDPSRGGAVSLSTVSSSGGAGDYAGRRRRTMWETVPGVAFLSAASAATEADECRGSFALRDGRARLVPDRQHVDKIKAFYRCDAGEAAVANELLRGAAFRPSDATRFPVLVIAGVVYARKARASRSPFCCCGRRKSSARPTLSARYWILLEEKSDTQVAFSVKIGDYQWTCAHAADPAKPPPPPATTSPRPHHRPGGLRLRVAAARVQQAAGSRKTTTMRRISSSREEVAPLLPPPAPEREEEEFNRVFLTYASSGDERFYGFGEQFSRMEFKGKRVPVLVQEQGIGRGDQPITFAANLVSYRCFNVVFLVVRRKLEYHVCAISLLHDLKDEGLIYGNSVQGRILDGDSPTELLTSYTESTGRPPVLPRWITSGAVVGMQGGTDTVRRVWKQLQDYDVPVSAFWLQDWVGQRKTAIGSQLWWNWEVDDAHYNGWKDLVSDLRQHGVRTMTYCNPCLVPMDQKPNTRRHMFEEAKKLGILVRDQAGEPYMMPNTAFDVAMLDFTNPAAHAWFKQILQGMVDDGVSGWMADFGEGLPLDARLHSGEDPVAAHNRYPELWARVNREFADEWRRAGRATVAPDAGDGDEDGLVFFVRSGFRESSRWAMLFWEGDQMVSWQANDGIKSSVVGLLSGGLSGFPLNHSDAGGYCTVDLPPLLRYRRSEELLLRWTELNAFTVVFRTHEGNKPGSNCQFYSNSRTLAHFARCAKMYKAWEFYRLQLVREAAATGLPVARHLFLHYPDDERVQALTHEQFLVGTEMLVVPVLDKGRGAVTAYFPAGAGKWRHVWTGDEYGGGGSGGGGKVKERRTVHGGFEAEVEAPVGYPAVFVRAGSAIGERFVSNLRDLKLLCTETSKM >Et_7A_050640.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:9378446:9378670:-1 gene:Et_7A_050640 transcript:Et_7A_050640.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSYFMLISVCSAISVAAFAYLHPWKTASTIERNQLGFLISALGFDLSYLLVFTPMTVEVILFSMHCIFIMHK >Et_6A_047723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11608313:11609149:1 gene:Et_6A_047723 transcript:Et_6A_047723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQPELSLAMSPGSGIAKSPRSSSSDSDRSSGGAKKRKHFVWDEAVSHASGLELQLGDPLPLDWEQCLDLHSGRMYYLNRKTMKKSWERPKSKEEKGTLNLELNISTTPSRTDVSKASPFSYAEDTKSRVNSISSGDHMVAVPCVKCHLLVMLCKSSPACPNCKFVQPSVPVMPQTPPRRLDTVKPLETLSLLH >Et_4B_038659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3759669:3765072:-1 gene:Et_4B_038659 transcript:Et_4B_038659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGGRAAAAASSGSEDEEEAGFSRSYFLAKEKEPSSGKKRARAAAGKLSDLNLVDEQVLRASLAEIPPKHEEEVEALTRSYKEQYRNWLFELRCGFGLLMYGFGSKKQLLEDFASTTLTDYTVIVINGYLPSINLKQVIAAIAEIFWDQIKAKRKRQPGTRSQLSQPFPSQSTDDIISFLKSQTSDDVDDHVCLLIHNIDGPALRDPESQQWLAQISCCPHVHVVASIDHVNAPLLWDKKMVHTQFKWSWYHVPTFAPYKVECVFYPLILASGGHAQTTKTALVVLQSLTPNAQSVFKVLAEYQLANEKEEGMPVSSLYTKCRERFLVSSQVTLNSHLTEFKDHDLVKVRKHSDGQDCLRIPLVSDALEKLKTEGSGSHGSTYAATWNTASQPSKAAARESASVTSP >Et_1B_013763.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:15724563:15726659:-1 gene:Et_1B_013763 transcript:Et_1B_013763.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLLPPPLLLVAVVVAAVQAAGGAAVEFAYNGFTGAGLSLDGMATVLPSGLLLLTNDTTVSKAKGHAFHPEPVTFRRQAAGTTTAMPSFSTTFVFAIVSPFLDLSTSGLAFLVSPTTDFSTATHQQYLGMFNGSDNGDPRNRVFAVELDTVRNPEFADIDNNHVGVDVNSLNSTAAAPAGYYLDDGAFRNLSLISREPMQVWVDYDAAARRVAVAMAPLRTPRPKTPLLTADVDLSTVLSDTAYLGFTSASSIVLVKHYVLGWSFALGGAAAPALDYSKLPKLPRIGPKPRSKALTVALPIATTAAVLAAVSVGFFLLRRRLRYAELREDWETEFGPHRFAYRDLYDATGGFKDKRLLGAGGFGRVYKGVLPGSGTVIAVKRVSHESRQGMKEFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYVPNGSLDKHLHGGGDNRPVLDWAQRFRVIKGVAAGLLYMHEDWEKVVIHRDIKASNVLLDADMEGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPELVRTGRATTRSDVFAFGAFLLEVACGRRPIEEEDVVDGGNYSHAVSVDVDHQFVLVDWVLGHWRKGAVTGAVDARLGSAFDAAEADLVLRLGLACLHPSPPARPSMRQVVQYLDGSAAMPELPETYVTFNTPAGMESYKPLLLDSWSVWRSTSTATSVATMSDIGISGGR >Et_2B_022225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14400624:14403992:1 gene:Et_2B_022225 transcript:Et_2B_022225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPTPVAYKLRRGAAAGLLARGMNFAVGGSGVLDTGYLQRNISAQIDLFQGQRAAADKANRGCDVAVVVVSGNDYAYAADKDNSTNAAIAYIPTVVRGLREQLRRLRDEVGMRKVVVTNLHPMGCTPLFTRPLNYTGCDALANAGAAQHNAALQSVLATLDPANATFLLLDLNAPFAAHVSVSDSGAGEASRFAEPRRPCCESFTADGYCGQQDNVGKRMYALCADPAQHFYWDDVHPTQAAWAAVAESFRPKIQEFLSA >Et_9A_062571.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24090793:24092978:1 gene:Et_9A_062571 transcript:Et_9A_062571.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTLLKVIILGDSGYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPDNFPFVLLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKDGTNVEDAFQCIVKNALKNEPEEELYVPDTVDVVGGNRAQRSSGCC >Et_9A_062043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19098261:19099548:-1 gene:Et_9A_062043 transcript:Et_9A_062043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGIATVAIFLAAAVLLLSSPLAGAARPSPSPKQDIPAVFAFGDSTLDPGNNNRLTTLVRADHAPYGRDFPGGAATGRFSDGKLITDYIVESLGIKELLPAYHDPSLTEAEAATGVSFASGGSGLDDLTTHTAMVSTFASQIDDFKELVGRIGSPKAAEIAGKALYVLSAGTNDVTMYTVLPIQTRNYPSFEEYSDFLIDNFQSHIKSLYSLGARNFMVAGLPPVGCLPVQKTLHALLPPLTFGGCSDRPNADAQMYNTKLQQMLTKLEANSTGSTFAYVDVYTPLLDMATNPRKYGFSQTALGCCGTGLVEMGELCTNLLPLCQSPGAYMFFDSVHPTQATYKQLADHIVQSNIPKFKK >Et_3A_023893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:14108621:14113521:1 gene:Et_3A_023893 transcript:Et_3A_023893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWDYFFGPTTTPPPTLEQPADESWMERREKEAMAEVRPPMTKPVVSEPAATEERPPQTAAEKEKAIEEMVANLPQSKPIVRKPPKAPGPPPAVHYHTASMSAVETRKGKMVASGTASLLQIVSQLDDHFLRASESHIDHSTKIMHVITWNRSFKNLPDHDDLSDNFKIDERFETHAAVLDRMLAWEKKLYDEVKAGELMKIDYQKKVALLHKQKKRGVKLETLEKTKAAVSHLHTRYIVDMQSMDSTVSEINRLRDDQLFPKLLDLVDGMAKMWSSMHRHHKGQFIIITSIRSFEVPPVPRETTDSHHHQTCELRDIIREWHMQFEKLMDNQKSYIRALNAWLKLNLIPIESNLKEKVSSPPRQVDPPIKHLLYAWHDQLERLPIELAKTAIKSFAEVISNIVTLQEEEVNLRRRCEETRRDLIRKKMQFEDWHQKYIERRAALGEDANPEAAEAQNPDPVEERRNAIEEIEIRLREEEGHHLRLAKQVREKSLANLRTHLPELFRNMADFAFFCHDMYNNLRKTAQTAAKPKNEVQG >Et_5B_045535.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3932831:3937675:-1 gene:Et_5B_045535 transcript:Et_5B_045535.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHYCGNAGPELFDLAPTLVSSLSGSMTLLQVYAAIIRIFAESSMYEDALLTYIEAKKVGVEGNQITYARSIFDDMKSSGPLPNVYSYSVLMSMYTHGERLCLEEAHELLREMETNGVRPNAATYGTYLYGLCRAKQVAPAWEFLREKHKYLKEALGVFELMLENGISPNIVTCTILVDSFSKEGLFGEAFLLLDKVHQLGIAPNLCTYKVIINGLCKLNKSSNVWEIFADMIKRGFVPDVVLYSIIIDGFVKALKLQEAISKVLAMDGARLMMEEMQEMDIAPTVKMMALLESTGSSSRSESDKDDDDDFMPMENELAIVAAHQHEAVHYADAGHTGEELVCLLQNILGGPTLGNAETLKKASVENYGKGAASVITSIDLSSFKIQTSESSIIEPPYLLVDPGVGSGRSWETALWLSMRTPDRERRRLDSLVGKTSQSRTAGWVGGDGDK >Et_3A_023395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25265443:25267434:1 gene:Et_3A_023395 transcript:Et_3A_023395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSGMLGFLLVASVGSHAARAPEQYWKSALPNTPMPSSLSQLLTTPAGGTTVTVGPGGVHVDAGHGKPGGTTVDVGKGGVGVNVNPGSGYGKPGGTTVGVGKGGGVGVNVKPGSGKPGGTTVGVGKGGVGVNVNPGYGKPGGTTVGVGKGGVGVNVNPGYGKPGGTTVGVGKGGVGVNVNPGYGKPGGTTVGVGGGGVGVNVKPGYGKPGGTTVGVGKGGVGVNVKPGYGKPGGTTVGVGKGGVGVHVDPRKKKPVYVPVGPFQYAYAASETQLHDDPSVALFFQEEDLHAGNKMTLQFTNTTGAGAKFLPRTEADAIPFSSEKVPEILTRFSVSPDSVEAARMTQTLRDCEAPAASGERKACATSLESMVDFATSSLGTSHVRAVSTVVAREGSPRQEYTMTAVKPAAASGSLVACHAEPYAYAVFACHMTRATRAYSVSMLGKDGNAVEAVAVCHADTAGWNPKHLAFQVLKVKPGTVPVCHFLPQDHVVWTRSG >Et_5B_043556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11874481:11876868:1 gene:Et_5B_043556 transcript:Et_5B_043556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDPLVNVPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKRCKHFEIGGDKKGKGTSLF >Et_4A_034846.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7055417:7060137:-1 gene:Et_4A_034846 transcript:Et_4A_034846.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNLLLYAPYVILVVSFLYILRSFFAGGRHNLPPGPRPLPLIGNLLDLGAQPHRSLARLAQRHGPVMALRLGAVTTVVASSADAARDVLQRHDAVFAAHYVPDASRACAHDRHSMGWLPPSSARHRALRKVCAGELFAPRVLDAQQRQSLRLQKVRQLVSHVARLAAREDVVDVGRVTFTTVLNLLSCAVFSVDLDDYERGATAPGKLKAVIKEFTTATGVPNVSDFFPVLAPLDPQRLRRRVARVFQRMHALFDEQIERRLRGRAAGEQPRNDFLDALLDYRGAEEGRGFDRQTLLSLLTDLFSAGTDTTAITVEWAMAELLQNPSSMAKARDELAQVIGSKPEIEESDIGELKYLQAIVKETFRLHPAAPLMLPHQAETAAEIGGYTVPKGTRIVVNVWAIGRDSKVWPEPDKFMPERFLEKEVDFRGRDFELLPFGSGRRICPGMPLAVRTVHLMLASLLHRFEWRLPAVVEKNGLDMAEKFGVVLTAPELTMEILLYAPYVILVVLSLYILRAFAGGRRNLPPGPRPLPLIGNLLDLGAHPHRSLARLAERHGPVMSLRLGAVTAVVASSADAARDVLQRHDAAFAARSVPDAARACDHDRRSMGWLPPSSPRWRALRKVCAGELFAPRVLDAPQRQSLRREKARQLESRVARLAAREGVINVGRVAFATVLSLLSCAVFSADLAGGLDDDDRGELKDVITEFTVAVGVPNVSDFFPAVAPLDPQRLRRRVARVFRRMYAMFDEQIERRLRERDAGEPPKNDFLDVLLDYRGAEDGRGFDRQTLLSLLTDLFSAGSDTSASTVEWAMAELLQNPSSMSKARDELSQVIGSKPEIEESDIAKLKYLQAIVKETFRLHPPAPLLLPHQAETSTAEIGGYTVPKGARVLVNVWAIGRDPKVWPEPEKFMPERFLEKEIDFRGRDFEFLPFGSGRRMCPGMPLAVRMVHLMLASLLHRFEWRLPADVERNGLDMAQKFGVILSLATPLQAIAKPV >Et_7A_051426.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:18288867:18294700:-1 gene:Et_7A_051426 transcript:Et_7A_051426.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTEIKKEPDASSSSTGKRKNTNKRPRVAEKKRPRRSAAPSNKAPSAVSKCTYEIGPPAWIRKEIKAYALKNLVKVPLRRGRWPAASSVLAPALSLGRAGGPSAGTTDSMKATFAPSTSSMPRCGVLTLSVDPKLCIFNVFSRTCDASSAAFLRTCDAS >Et_6A_047477.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6214775:6217418:-1 gene:Et_6A_047477 transcript:Et_6A_047477.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRMRCLNGDDEAKTSSSMENKVLRPLLCLVVVLHDHGYGLVRFWFPITSIWMLVGFMFSHDEFDEEGKDEFNDGLNNDNFIVFTCGRLVVATKLLKMAAFIVNRDLELCPTFPKLKTLLFSEWCPSIAADLNTLACFLRQSPILDKLTLQICKEPKVRVQRERRFQPFDEQSFACNLLKKVEIKCEGDGRLNELALSILKSCKKNVVEQQSRTK >Et_4B_038974.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6542900:6552046:1 gene:Et_4B_038974 transcript:Et_4B_038974.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEETKWRELRTIDLPSLRITRITSSLEKAIHRKGHAVYQSPQQRGPRRGADSDRARPLPRLRCNPYLVVAYPKRHKRAPSNPSHPRRSPPPSPAEAEAKMSGGFFRGTSTDQDTRFSNKQAKLLKSQKFPPELDHLVDTSKVKMDMMKPWIAKRVTELLGYEDEVLINFICALLEEKEADGKKIQIQLTEFMKKNTVKFMKELWSLLLSAQQNASGVPQQFLDAKEAEIQQRKAEDDRIAQETQQKHEKDGRDSGLEKRKMMDGDAGSSRSHGVGLALNNANVNAEEEKEVEFIRSSRTKNRKKKVKVSFTQKTTLPVTTTLSIVKFDGEGQRDSSIIGCFRRQSQAGVLVRHCGSAGRASSSGNNFSATWVAEVT >Et_10A_001800.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:8268888:8269836:-1 gene:Et_10A_001800 transcript:Et_10A_001800.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPECATGWSSINTFCEEAEMIAHLQSVFWGNSDLEVDPNFCSSNSCSLVEQDSYSTGAFLNITTNVGFDRQLVDNKRKIQLDELMIHCEKDCAVPEATRARKKSEKDSQSQYAKKRRERINERLRILQELIPNGTKVTSSCYQLKLISTMLEEAVEYVKFLHLQIKLLSSDELWMYAPLAYRGVNIGMHLNGLSAQER >Et_7B_054253.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18406292:18408679:-1 gene:Et_7B_054253 transcript:Et_7B_054253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGQLRVLHALDVARTQLYHFTAIVIAGMGFFTDAYDFFSISLVTDLLGHIYYGGQPPPGVVAAINGIALCGAVPGQLVFGWFGDKMGRKRVYGIVLVLMVACSIASGLSFSKRKGKNVVTVLCFFRFWLGVSIGGDYPLSATIMSEYANKRTRGGGGGGFIAAVFAMQPISTSDVCCYVSYVSLGFGNLAAGIVGMVVSAAFASSSPSNDDFVWRIVLMFGAIPAALTYYWRMKMPETARYTALIEKNAKKAASDMSSVLHMDIVPEEEAVNELAQQDQYGLFSVEFFLRHGIHLLGTTVCWLVLDITFYSLNLFMKDIFTLVKLLPDPSAGNALKRMTKLNAVHTAIALCGTLPGYFVTVAFVDRISRVRIQLLGFSMMSVFLRSTCHGIAGAFGKIDAIIGVFGFMHREKHIRCLLFVLVGGNLVGLMFTLLLPESKGMSLEDITGEIVRQEPEDDPAAVAAAEYIHT >Et_3A_027105.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:33045629:33047096:-1 gene:Et_3A_027105 transcript:Et_3A_027105.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKEAARERREQRRREVTHLRTVPYEPHQRWWDHLERSPARAVAVVTGANRGIGFEAARQLALHGIHVVVASRDVERGQAAAESIRTEAPDEAGVSVEWRQLDVADAASVQAFAAWAAQTHGGIHVLVNNAGVNFNKGADNSVEFADQVVQTNYYGTKRMIDAMIPLMKPSPFGGRIVNVSSRLGRVNGRRNRIGDVSLRDRLLNDDCLSEQLIDEMIAKFLEEVKQGTWSSNQWPQMYTDYSVSKLAVNAYTRLMARRLSDRSEGQKIYINCFCPGWVKTAMTCWEGNISAEEGADTGVWLALLPQEQATNGKFFAERREISF >Et_6A_047872.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:22055065:22055949:1 gene:Et_6A_047872 transcript:Et_6A_047872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQRSEACCLLLALLISLHLTAGAYVAGHQNEVAVYWGRNKDEGTLRDACDTGKYTTVIISFLVAFGHGNKYTLDLSGHDIAGIGDDIDYCKYTKGVTVLLSIGGHGGEYSLPSSQAAADLADYLWNAFILGSDAGVHRPFGDASVDGVDFFIDQGATEHYDELAQRLYNYTEGFRGWGVTLTATPRCGFPDQRLAAALDTGLISRIHVRLYGEDRRCAQTPRESWEKWAAAYPQSRVLVGVVASPEADAAAYLSPKDLHRRVLRYAEKRNNFGGIMIWNRYYDKKTNYTGGL >Et_9A_061997.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:18728566:18732461:1 gene:Et_9A_061997 transcript:Et_9A_061997.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARARGGGEGEEMLLRAVEDGDGGSLCVGERSWRLNFDGFRRPEAEQEKPGRGLQDCLGVLAQGPGDVVAEYYQQQLEMLEGFNEMDTLTDRGFLPGMSKEERERVARSETLAIRLSNIANMVLFSAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYRYPIGKRRMQPLGILVFASVMATLGLQIILESTRSLVSDGGEFRLTKEQEKWVIDIMLSVTLVKLLLVIYCRTFTNEIVKAYAQDHLFDVVTNIIGLLAALLANYVQGWIDPVGAIILAIYTIRTWSMTVLENVHSLVGQSAPPEFLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPCDMPLQEAHDIGEALQEKLERLPEIERAFVHLDYEFTHQPEHARSHDT >Et_7A_052794.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16527477:16529669:-1 gene:Et_7A_052794 transcript:Et_7A_052794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAETLARSPSRDPSSDPPPRDASSEPLRESSSEPHRNGDGAGGGTGDSSSRRRRRSRWEQSNDESAANSGGEGGAGGRKRKSRWAEEEPRPAIALPDFMKDFAAEMDPEVHALNARLLEISRLLQSGLPLDDRPEGARSPSPEPVYDNLGIRINTREYRARERLNRERQEIISQLIRRNPAFKPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGKLLQKRDLKPDPSENEDLHVLVEAETQEALDAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRTCGEPGHRQYACPNKGSTFKSDVQCKICGDGGHPTIDCPVKGNAGKKMDDEYQNFLAELGGGSAPESMNKSSGPMLALTGSGGSGGGGTAGLGSNPPWSSGAGGLATGANGIKKEFDETNLYIGYLPPTMDDDGLVSLFSHFGEIVMAKVIKDRNTGQSKGYGFVKYQDVSQANAAIAAMNGHHLEGRTIAVRVAGKPPQPTPPGPPPPASSYPPASGGYPPQHYMGAPPPPPPGSYAPVPWGQPPPYASYPPPPPGSSMYNPPPPVPGQTPPPPYGGVQYPPPPPPAAPTPPPGTAPSNDGAQYYPPGVTPPSSGAPSQPVPAPVYASSGAPNVPPMYPPQPYSYAPYYHPVQPSPPPPPASVDASQSIATAPWATHSAPPPPPPSTTTSDQHTASYGADAEYDKFMSEMK >Et_1B_013887.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:22309061:22309480:1 gene:Et_1B_013887 transcript:Et_1B_013887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDRDIILLVNADLLSVAGAPHLKHGVRISSRFVPANGSDGSAAAARVLAELHQGPWRPGQQWWRLRADEVLRAVPAAARELGRLADFFFAFFCLPHHASSGRGRTGRRRQDDGRGGSRQEVVPESIAVASARKMALR >Et_1B_009914.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10814717:10815632:1 gene:Et_1B_009914 transcript:Et_1B_009914.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCFQLLHQSQAFKLRVGYGEREEKVPLAVIVPDPTPQLSSPSPLAAPPPLSGGGDDDMRPRLPTERWRRGHGEARRAAAPVAHKAAAPTTAAAWSPGPAAEAEAPAPDSGSGAALIKSSPAVPVPRGVTDTATILPMPAPGEKRQELGAAASVGAGMVPLLLMLGLAMMASFGL >Et_5B_044249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2226079:2227840:1 gene:Et_5B_044249 transcript:Et_5B_044249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLVSSAYHPRTREQAATAPLWQWHTYKNGNNKNTSALRAKPVARRPRAMEMPSARRTTRAKPIGDHVVLWGACVLLSSLSLLVAAVSSGFGAATSLTGEVTALVRATTTSSTSGAAVVRGYCGDDGEDDLGSMSVDGEWVRDNDAERYPLYQPGQCPFVDPGFRCSENGRPDGEYARWRWRPRRCTLPRFNATKLLETLRNRRLVFVGDSIGRNQWESMLCMLYSAVADEGAVYEENGNPITKHEGFLSFRFRDHNCSVEHYRSPYLVRRGRPPRRAPKRVASTVQVSAMDPRAPRWKDADVLVFNSGHWWNQERLRQQGCYFQDGKKLRLNMSVEDAYQRAMNTLQKWIQKEVNTSKTLVVLRTYSPAHIRATNSGAGCATETSPELNTSRISLHQWPGMLNPALETSSRIQVLNVTLMTAHRRDGHPSVYNVGPSSRLPAGQRADCSHWCLPGVPDAWNELLYAMIVKRLS >Et_1A_009337.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:39752681:39753085:1 gene:Et_1A_009337 transcript:Et_1A_009337.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQRTGAGAEEEAYEDLLPVMAGRLGTAGLLSELRAGFRLLADPARGAITAESLRRGAAAALGVEGMTAEEADAMVREGDSDGDGALSEAEFCVLMVRLSPGIMEDAEAWLEEAIADELAAPSTPAPPQQAA >Et_10B_003549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:2989179:2993456:1 gene:Et_10B_003549 transcript:Et_10B_003549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPHVSCLLLLACLVAASAAAGHDDAAARRTMEAFAGFPSTDDGESPFSVDAEGLQRQIDELASFSDSPAPSVTRVLYSDKDVQARRYIKGIMNQLGLSVREDAVGNIFGRWEGSEAGLGAVATGSHVDAIPFSGKYDGVVGVLGALEAISVLKRSGFQPKRSLEVIMFTSEEPTRFGISCLGSRLMAGIEELAQSLIKVVDNQNVSFLEAASSAGYKMHPEDLHSVFLKKDGYSAFIELHIEQGPILEKEGIPIGIVTAIAAPASIKVEFEGNGGHAGAVLMPARNDAGLAAAELALAVEKNVLDSGSIDTVGTVGILQLHPGAINSIPSKSHIEIDVRDIDEKRRNDVIEKVRQSAAEISKKRGVVLSEFQIINQDPPAQSDKSVIDAMEFAAKQLNLEYKLMISRAYHDSLFMARISPMGMIFIPCYKGYSHKPEEYASPEDMANGVKVLALAMAKLSLE >Et_2A_016668.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27017130:27021147:-1 gene:Et_2A_016668 transcript:Et_2A_016668.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYSGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRMKYESTARSWTQKYAMVTDDRIVGSEELVRRGGIQWVDKNFYNLKSLSTKQNSQHPSNASEDDQLPGIMHSVEATQGNTRTTKERKVQANSGSDD >Et_2A_014669.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:33554213:33554665:1 gene:Et_2A_014669 transcript:Et_2A_014669.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RRSSSPRSPTSCPSRPPSRTAATRRARCSRRARRPRRTRPACRTESSEARVHGRGIVCRGWVPQVRFLAHGSIGAFLTHAGWNSVTEGLAHGVRLVLLPLMFVRSGPQRQASGGKEGRCRGAPGRGGWLVHTRRHCGCAEEGHGGRGLWG >Et_9A_062627.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2522707:2523065:1 gene:Et_9A_062627 transcript:Et_9A_062627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQTPTHSRKQPWRAKPPFAQPPPPPLKEGAKGLSCKEKERSAPQLAQFSSQPQPNRSPVYKSLQPLHFPPLQPSVLEEDLYYRRRCLLCTTEGNIHSNPPAL >Et_6B_049770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1117558:1119228:1 gene:Et_6B_049770 transcript:Et_6B_049770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGKIPIMLAAAWGPRKLVEILFPWTKPIPSLPEWNVDAVIRTMKLKANDEISVDLEEYQRNWKSKGKEAFGRGDYLAAAYFYGLAMKIDPLDAALFANRSVSYLRMGEGQLALADAQRCTMMRPRWTKAWYRQGAALSLLKSYKEAVHAFEEALKLDSASDEIKNALRQMLSFPRNFDVIKDQQRQPKTMRTYTAQLQPNKSLAADQRQQFCSMFPCGGKQDNVSRSLISPRGLTVTPLHRRDSCRKSMVNIASA >Et_7A_052505.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8694388:8696736:1 gene:Et_7A_052505 transcript:Et_7A_052505.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGKPLGDSAFAGHAAAGAAAISAATVSVHPLDTLSASGKKEKMGLRQVMDRLMATSGPAGLYGGIGWSVLAKLPGLGSRFGTYELLTAFYKDGREDDYVYYSEAMLAGIAAGAVEAVFCTPFELFKLRNQVSSVIPSKAVGPSSITQESFPLLSKLLPGYVPDVRVWNNTLSLLSDLSPKHPDMLGALKQHPWMLTGSGKPPLPSDVQLPSRVISLEGWGALWRGLRPGIARDCVFGGIFFSTWQFIHTAMLTWKAVNMNPEPRKLEEAGPVHPLASSVAAGLSGVVAAAASHTFDTAKSRSECNVVPKYIAMERKFLKWTAPGTWIERKTGISPADRNVLFRGIGLRMARSGISSFVLVWSYYLAVDYIS >Et_3B_028890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20050536:20056014:-1 gene:Et_3B_028890 transcript:Et_3B_028890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTQSLQAEVDELRASLLAAGWRRSAGHAGAKRAPGAEPGGAAARTVCVTGGISFVGFAVVDRLLRHGYTVRLALETQEDMDKLREMEMFGEDGRDGVWTVMANVMDPESLHRAFDGCAGVFHTSGFVDPGGMSGYTKHMASLEAQAAERVIEACVRTESVRKCVFTSSLLACVWRQNYPHDRRFPTIIDENCWSDESFCRDNKLWFALGKTAAEKAAWRAARGRDLKLVTICPALVTGPGFRRRNSTASIAYLKGARTMLADGLLATANVETVAEAHVRAFEAMGDNTAGGRYICYDHVIQRPEEFTELERQLGLPSRAVATQGTDDRPARFELCKRKLARLMSSRRRCTYDTYYSVAFD >Et_1A_006076.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17492177:17497336:1 gene:Et_1A_006076 transcript:Et_1A_006076.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAKRGGDHLTRSFRKIRRASKNESLVSGRFLGDSNQGVRSGLSDGLISKFSKVVASITLYNGDTILFSCSGIAMERQGYLKRFLTSASLVRALNGTHKDHDDLKLEVRHEGSEVYMGVVSEFDLDHNFAIVNVHGSLDVQVGSFQCAPEILPHGEILVIIGRGVSGEIMTKNVEVDGDSRVSEDDQDLDCKISEAWEGGPLLSVDGKVVGMNLFLTTRRAFFLPWGTILKHLEHYWTSKQRKIGLAWLKTLKVSRFGTRDDTSNSHPEDGEFLNQELLDLDSMGYPKLPSSMLGAGMVLVNTFEQTFGDIHGEGVWREFSKTASSNINRSVVALASFNGERRFFACTGFFIEWNGCTIILTSASLIRNSGYENKIVENLRIEVLLNNQCREGMLQHYSLHYNVALVSVTGYRALRPSKTLLDCTNSFKVAAVGRCFKSGALMATSGDLVSWTGTLDCDFLSRSTCKITKAGIGGPLVTPDGDVIGMNFYDKRIGTPFLLLGDIYEILASFETKRSLLQHNLFIGMFDSKLGEVGHDSDPSGAPFWKMDNDDKTKLNRWPVPMPCWRNPDYADEDKSDDDELDFDPKSGFRHRPKYTYCKGMKLMLF >Et_10A_001445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3375541:3388740:-1 gene:Et_10A_001445 transcript:Et_10A_001445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASSFGKSSLRPQLDLQVSPSLTNSDDEQELAVADLLIAAAEGDVPSAKKFAQKLVLAGIGVDEAVAAVDDPGSKRRGPLYLAAAAGNAEVCALLVEEFHADVHTADVEGATPLIFAVQGMGSTAVVGLLLGYGADADKADNGGIVPHHIVAERGSYEPAELLLSKGAEVDPICEKVGAPVHIAAENGNARILKLLLQHDADTGADVNSGSPETPLMIAAGKGSIDCIKCLLKAGADANIPDENGKVPVEIAALKGQEECVEILFPVTTPLAQYADWSIDGIVRHGKIVSSDPQTGTNAFHFFLSKHREQIFKCLCMCGLAYKIKAEGDAAFKKKDYLLASSRYTQALDMDPADSTLYAKRSLCFQQMNDKEKALADVNTFRQMQPDLPESPEEEGAAMKLVEEHCQGIETLMSGLNISSRSITDPMAPSASEVLLGAATDGNIRLLKKMARKVNLREATGFKGRNALHRAAAAGRLEICRFLIEEEGFDANSPSGEGETPMLLAVEYEEGKGNVHVMRYLLDRGADPARPDARGYTPLHNAAEFGHCEAARLLLSKGVPLEPINHRGTPLHLAAANGHDQVVKILLEHGADPNRAIKNVLLPLVMACYGQFLKCMKLLVQAGADVNAKSPTGQPVLMSAIDEGFTDIAIFLLETGADPNIDDGDGRFPIMAAADHEQRELVEILFPRTKQIPSLPDWSVDGIIRSMKYKSSEEDCATYWKMRGNKQFVKGNYVAALHMYSMAITKDPLDATFSANRSLCWLRKREGEQALIDAQRCRTLRPDWSKAWYREGAALSILRKYKGAVDAFTEALKLEPGCDETRKALRQAL >Et_8B_059115.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13984431:13991290:-1 gene:Et_8B_059115 transcript:Et_8B_059115.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEGASPLLEKVYVPGCPGCDYDRKKNLHQGLPYREFLYVWMICLATALPVSSLFPFLYFMIRDLHVAKRTEDIGFYAGFVGASFMFGRFLTSTVWGIAADRIGRKPVVIVGTFSVVIFNTLFGLSTSYWMAIATRFLLGALNGLLGPIKAYSIEVCRPEHEALGLSLVSTAWGIGLIIGPALGGYLALPAEKFPDVFSHDSFFGRFPYSLPCMCTSVFAVAVLVSCIWMPETLHKHKVRKSGYQRVESLEDHTMIDPEEKVEQSASLHDKKSLFKNWPLMSSLIIYCVFSFHDMAYTELFSLWAESDKKYGGLGLSSENVGQVLAVTGVSLLVFQLCIYPRINKVLGPVKSTRVAAVLCIPILFAYPYMTYLSPPGLSIILNIASVLKATIITSIFILQNNAVPQDQRGAANGLSMTAMSLFKAVAPAVAGMMFSWAQKRQQSFFFPGDQMVFFLLNVVEFLGLVLTFEPLMAVSEPHDTS >Et_9A_061544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13467511:13471127:1 gene:Et_9A_061544 transcript:Et_9A_061544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRTNLVAFVSTLSLLLSCALGARVVCEQLPSELCAFAVSSASRRCVLENTQRAGRPTEYECRTSEVAVEDAGLAGRVETDGCVRACGVDRATAGISSDSLLDARVAGALCSPACFQGCPNIVDLYSNLAAAEGVVFSELCEAHRSNPRRAMVQLQSSGEANGAPATGHLGVAPAPSPAIGPSKNIEPIRHRNIISAAHPFAVAVSRVNCRRRR >Et_8A_057163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21867689:21868482:-1 gene:Et_8A_057163 transcript:Et_8A_057163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMTPDCHDDEPPAFVDTLVDLRVPQAIDGGGASRTAISWVSTTASVCRSHWRAGVVPGRPGSDVEGVSLLAAAGSAGEIRMMPFGAGRRMCPGMGVAVLHLGYFVASLAREFQWAEVDGGELAVDLRPHVGFFAVMKRPLRARLTPRRRRRREVMPPSC >Et_4A_032246.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:26122933:26123199:1 gene:Et_4A_032246 transcript:Et_4A_032246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLKAEARMKLLIILVLLISASDGSDAARPLKGEAGAVATPGAGTRAQSSTNWFLVALNRVVVVSKSSAGPSGCTYDPNTSGRHCP >Et_2A_015905.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1934296:1942348:1 gene:Et_2A_015905 transcript:Et_2A_015905.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSELVRPRIIPNPFGSLSRAQFAVYPPRSDQCCFLPVFPAAAPVGDQGSSRNGRSGSSRILSSLYSGSAMVKSFEVSELPVRSAKFVSRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTTKIWSLGSPDPNFTLDGHQKGVNCVDYFTGGDRPYLITGSDDSTAKVWDYQTKSCVQTLEGHTHNISAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVAYMKGSRRMVIGYDEGTIMIKMGREVPVASMDTSGKIIWAKHNEIQTVNIKTVSAGFEMTDGERLPLAVKELGSCDLYPQNLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSEGEYAIRESTSRIKIFNKSFQEKKTVRPTFSAERIFGGLLLAMCSSDFICFYDWAECRVIRRIDVNVKNVYWADSGDLVAIASDTSFYILKYNRDVVASYLEGGNPVDEEGVEDAFELLHEVNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKAQYNSVAHFLESRGMLEEALEIATDADYKFDLAVQLGKLEVAKAIAIEAQSESKWKQLGELAMSTGKLEMAEECLLQANDLSGLLLLYSSLGDAEGIEKLASLAKGHGKNNVAFLCLFMLGKLEDCLQLLVDSNRIPEAALMARSYLPSKVSEIVAIWRNDLSKVNPKAAESLADPAEYPNLFEDWQVALNVEQSVTSQWGLYPPAHEYLNHAEKSDATLVEAFKRMQVIEDEEPVDVVDEDGEPVDAVDEDGEPVDAALGENKTEENVDEAVPVDVDEPDETVLVNRNDGEEQWGTKNEGTTSA >Et_4B_037189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:16176456:16183950:-1 gene:Et_4B_037189 transcript:Et_4B_037189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCGGGSSSIPNVLVLGGLHALQCCVPEHKSSRTAGLRMAWQGAGDAAEHTLKCPTFLAPVWVDEFCKAIGGLRSLKGMAVDESLINYDVLLHVHQCCPDFMELKVSALYVDEEMASVICISLPHLKKLEIPCLDMSSAAIIKFLDFLEELEYLDISGYETSAISCTVLEKSSRLKVFLWNSKFELGEFTDCTKLRRAQH >Et_9B_064246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13198498:13200921:-1 gene:Et_9B_064246 transcript:Et_9B_064246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAARRALLAHLRVPVMRPASAAAVSVPAARRLLSTTEETKGSFLDKGEVADRVVSVVKNFQKVEPAKVTPTAHFQKDLGLDSLDTVEVVMAFEEEFGFEIPDNEAEKIDSIKTAVDFIASHPQAK >Et_3B_028092.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10037083:10045843:-1 gene:Et_3B_028092 transcript:Et_3B_028092.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGGGESMESGPYPERPGEADCIYYLRTGLCRFGMTCKFNHPPNRNLIVAAERIKGDYPHRAGQPECQYYLRTGTCKFGAACKFHHPREKAAVTTHVQLNVLGYPLRPNEKECAYYVRTGQCKFAKTCKFHHPEPSNTVAAVHGHIYSPGQSATSPGQHTYPGVVTDPEVSRSTSFIANPPWPGHSVYPHVGQGLVQAHGWNPYAGKPICTYYCCYGKCKFGPNCKFDHPIGSLYGTATSPTGDAPMRYGQLAPSPGESERLLDGGSGMSHRISQSDSSKYPPAMKVLKERGIMNALQSFHTASHP >Et_1A_008464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7929887:7932183:-1 gene:Et_1A_008464 transcript:Et_1A_008464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIRLAVSVLLILAAAELAAATRSPTAFVQNAIYSNRITIFSKTYCPYSIRAKRIFRDLKEDPYVVELDLREDGREIQSVLLDLVGRHTVPQVFVNGQHVGGSDDTANAQSNGQLQKLLGKTQSQ >Et_7A_053060.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:5985093:5986157:-1 gene:Et_7A_053060 transcript:Et_7A_053060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFTRPTKMSPCCPCLAPPLLFPAAMTTSVRREALLVLLLLLLLLLRAAAVPVPQQEQLQLQDTVLLDDVVQEAAEEWYHGRHRKTGVAYPLALPGSLSGVEATVSRFRSGSLRRYGARRFGEFALPPGLAVRGRADAAHLIAVRVNLGNLSSIYDAHAAGAGYRLASPVLGLMFYGLQARRNGSSAALEVDVTGAAIRVNFSVAVPALRPGAAALCMAVGLNGTVSVTDVEPGTNVCHAADQGHFALVVGGAGGDEDGGGGGGEAEEMGEVSKWKLALFGAALGAGGTVLLGMVAVAVVTVQRRKSEMADMERRAYEEEALRVSMVGHVRAPSAAGSRTTPDELESEYCATL >Et_9A_063469.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:3528213:3528752:1 gene:Et_9A_063469 transcript:Et_9A_063469.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSKASDASKSAAVVQKKKGCSVPAAMVAEEDTDALCFLPLKPPIFQCEVGHVVCAPCRDGLAVGGKCHVCSVTTGYRRCHAVERLVESVRVPCPNATYGCTVTRAYYDRHGHRLTCAHAPCHCPDEACGFTGCMSELRDHFSGVHGWSRSTHNAHDRVLQRSPPRRLQRPRRHRRQR >Et_2B_021493.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30015125:30017272:-1 gene:Et_2B_021493 transcript:Et_2B_021493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCCGGSVRCCCWLLVLTLIALAVTAAVVFVRNKNGGQIFPLPGVPDRKYSEALAVALQFFQVQKSGKLVNNEIPWRGDSALDDGKDAGLDLSKGMYDAGDHMKFGFTMAFTGTMLSWSVLEYGEAMRAAKQRDAALDALQWITDFLLNAHPSDDVLYIQVGDPKADHKCWERPETMTEKRPLTKITTKSPGSDVAAETAAAMAAASLVYKPINGTYSSTLLDHAERLFAFADRYRGAYTQTFPELGAYYNSTTYQDELLWAASWLYHATGNRSYLSYATGNNGKDYGDLGNPRYFSWDDKRAGTQVLLSRVSFFASKGSDAGKDRGLGLYKETAEAVMCILLPDSDTAAFRTEGGLLYVAEWNSLQHPVASAFLAAVYSDYMMTSGKPELSCSGKSFTAVDLRKFAKSQADYVLGNNPMKLSYLVGYGNSYPQRVHHRGASIPADVDTGCDGQEWLKTSKPNPNVATGALVGGPFKNDSFVDDRENVRQNEATTYNSALIVGLLSSLISSSNVAESLS >Et_2B_021198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27336589:27339921:-1 gene:Et_2B_021198 transcript:Et_2B_021198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSGSAAAGSTRFTTGATASAFFLLVAVCSLLQSPAALAGPSSAYIVYLGGHPRRDGVSTEEASRRATESHYDLLGAVLGDREKAREAIFYSYTTSINGFAANMEPAQAAEIAKLPGVLSVFPNRGRKLQTTRSWQFMGMERNSGEIPQWSAWEVGRYGEDTIIANLDSGVWPESKSFDEGEMGPIPDDWKGICQNEHDPKFQCNSKLIGARYFNKGYASASGAPLDPGLMTPRDENGHGTHTLATAGGAAVRGASAFGYGLGTARGGSPRARVAAYRVCFRPVNGSECFDADVLAGFEAAIRDGVHVISASVGGDAYDYLEDAVAIGAIHAVKRGVTVVCSASNAGPEPGTVSNVAPWILTVAASSIDREFTAYAVFNRTRIEGRSLSERWLHSKGFYPIITGYEAVAPGSMLNSARACVMGSLDPEKVKGKIVVCVRGVTARVEKGEAVRHAGGAGMIVVNDEVAGNDIHADPHVIPAVHISYADGQQLLAYLNSTKDPSGFVIKGKTIIGKRPAPVMAAFSSQGPNAVNPEILKPDITAPGTNIIAAWSGAMPPSGWAFDKRRMPFNVLSGTSMSCPHVSGIVGLIKTLHPNWSPSAIKSAIMTSATEMDTERHPILNSSLLPATPFSYGSGHVFPSRALDPGLVYDMGIADYLNFLCALGYNASAMEVFNEAPYRCPAVAVGLHNLNYPSITVHGLPGGGLPTVRRRVKNVGDPGTYTVAVVQEPEGVQVVVTPSTLVFRAAGEVKDFEVSFVVRNPAAATADYAFGAIVWSDGVHQVRTPLVVKTRE >Et_1A_007024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:30089710:30096503:-1 gene:Et_1A_007024 transcript:Et_1A_007024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLNFTVRRQPAVLVPPASPTPRELKRLSDIDDQDGLRFHIPVIQFYRRSALMGARRDPAPLIRDAVARALVHYYPFAGRLRELEGRKLAVECTGEGVLFIEADADVRLDHFGDALQPPFPCLDELIFDVPGSSEVLGAPLLLFQVTRLACGGFILGVRLHHTMADAQGLVQFLGAVAELARGGASASPSVHPVWGRSLLQARDPPRPAFAHREYDEVPDTKGTIVPLDDMSHRSFFFGRREVAAVRAHLPPGLRSRATTFDLLTGLLWKCRTVALAPDADEVMRMICIVNARGGKSGVAIPEGYYGNAFAFPVAVATAGELAANPLGFAVELVKRAKGEVDVEYMRSVADLMVLRGRPHFTVVRAYLVSDVTRAGFGDLDFGWGKPAYGGPAKGGVGAIPGVASFLIPFKNAKGEDGVVVPMCLPGPAMDKFVEEMEKLLAPPAQEQHEAFPELMAGSAPTLKFTVRRQPAVLVPPAAPTPRELKRLSDIDDQDGLRFHIPVIQFYRRSEVMGARDPVPVLRDAVAKALVHYYPFAGRLRELEGRKLAVECTGEGVLFIEADADVRLEQFGDALQPPFPCLDELIFDVPGSSEIVGSPLLLIQVTRLACGGFILALRQSHTMADAQGLVQFLGAVAELARGAASPSVRPVWERELLEAREPPRPAFAHPEYDEPPAITTTGPAVPPDDMVHRSFFFGRREVAAIRARLRSRATAFDAIAGCLWKCRTAALACPADAGAVMRMICISSARRRNSKPAPGAKASAANAAAIPEGYYGNAFALPAGVATAGELRANPLGFAVGLVRQAKRGVDAEYLRSVADLMALRGRPHFTVARAFLLSDVTKAGFGGLDFGWGRPAYGGPAKGSVGPVPGMASFLIATKGADGEDGVVVPMCLPGPAMDRFAEEMDKMLRAPACRSAL >Et_2A_016723.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27587718:27591851:-1 gene:Et_2A_016723 transcript:Et_2A_016723.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVWILDKIELFLPGHTLGRKMVNFSKKLTTDQIPGWEEYYFNYNLLRRRVKQYTEQTKEGNHDRRRVLKDFSKLLDDEIEKIVLFMIEQQGLIAARLEDLGKDKARLQDLPLLQEIAELRQAYREVGLDLVKLLKFVDLNANAVRKILKKFDERLGYKFTDYYVKSRSNHPYSQLQQVFKHVGIGAVVGALSRNLSDLEEREGSYLNIYDQNPQAILKDPIIDLIKTTADKLTNSTNFLRFLGQHALIAEEDTTTSQVQHIEEDKYHFISLVLNLVNTFLYMVNTYIIVPTADDYATSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNRSYFRPLLFSSVVLLLGNVLYALAYDLNSLTILLVGRLLCGLGSARAVNRRYISDCVPPRIRMQASAAFVSASALGMACGPALAGLLQTKTKFYIFTINQDTLPGWVMAVGWLAYLIWLWISFKEPALDPDVEVYREGSSTSSRFVEEEVEKGLAEQLLLPKDEDEQGELGSPAASTLRPASSVASAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVVTSYYFGWNTSTIAVFLAILGLTVLPVNAVVGTYISNMFEDRQILLASEFVLLVGILLSFRLTGTTYTVAQYVCSALLTFVSAEVLEGVNLSLLSQVMSSRLSRGTYNGGLLSTEAGTLARVVADATITLAGFLGQGALLNATLLPSLLICVASIAATMSTYNSLFY >Et_3B_027403.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:12791519:12791782:-1 gene:Et_3B_027403 transcript:Et_3B_027403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGKNEKKTNAAFIIYTIWNIWKERNRSVFHHQEMSSQQVFGLVKEEMKHRDLAYGQHQAEQFLVPQQADRVVAFVIYVANNHVIN >Et_4A_032812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14131013:14132434:-1 gene:Et_4A_032812 transcript:Et_4A_032812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFRHGASSVLCTYEIKRTCKSLIRVVRLLLQRSLLRSWTRCRAAPTTGPSSPAAVPVAASGGLVLYRAPDTGALTVANPLTGASRALPSSPAAHGDASQLQAIAMYGAPYRVALFTGDLPDLSMTVFDSANNSWESPVSLTRTSSPDAPAAQDTGDDEGTVYFLSKSGDVVSTNMQRSASKQYSAAAVPSGKAATTSSAAAATVYFLSPSGTVVACDTARGCFAELPRLLPVYLEYSVDVVACGGAAYAVVLSDLLDTASLRVWAFHDGAWRQVAAMPPAMSHGFRGKKADVNCVGDGDRLVVCVSSADGEASGCFMCHVSTNRWEELPEHVNGDGEATEFLAAFSFEPRVEIAV >Et_2A_015588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:15522576:15530589:1 gene:Et_2A_015588 transcript:Et_2A_015588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGGDRSGGHSGGDRSGGRFQRGPSRWSSGGGGGGGGSPPNRYSSRGGGDGGGGGGGGRFHPYRGSSDYSGGGGGGGGGGGGGDDFGEPRNRYGGGGGRGGGRGGFSDNDSKTSAVKLFVGSVPRTAVEDDVRPLFEEHGNVIEVALIKDRKTGEQQGCCFVKYATSEEAERAIRALHNQYTLPGAMGPIQVRYADGERERHGAIEHKLFVASLNRQATPKEIEEIFAPYGHVDDVYIMRDGMRQSRGCGFVKFSSRESAVAAMNALNGTYIMRGCEQPLVIRFADPKRPRPGESRGGPAFGGPGFSPRSDAALVIRPTANLDEPRGRHMPPDPWRPSSPRSMAANQYNNFGSDNSVALNGGTVTSSADNAAFRPPMFAGNGSLQSQTAVPTSSHMGINNPMVQGHHLAGQQIPSLQKPPGPPQNFPVQMQNTQQGQPMQGTIPHIGQLQVPPSSGPVSFGQNMPPLQLPGQPSVSQPLMQQNSSLGALQAPSSVQSNPMQTVPGQQQLPTSVASQMLQQQIPSQAPQLLLQQQAALQSSYQSSQQAIFQLQQQLQLMQQQSNLNQQHPGQVPNQQSGQPVQSSNNPGAPGAIIQTNINAIPQQVNSPAVSLSCNWTEHTSPEGFKYYYNSITRESKWEKPEEYVLYEQQQQQKMLLLQQHQQKIAVQQLQSPSQGQSLPSMQSIQQLSQAQQGQPQMQMKQQVNICSFCHTFRLLVVCFNFFQLP >Et_5A_041298.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20864045:20866135:1 gene:Et_5A_041298 transcript:Et_5A_041298.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKAAAAAVSKATIDEDEDESKKIRSSRSKRHHARRSSTESESPPRKRSKKSSKRTADKKSKRSKVSSSSSRRRRRSPSPSPSLSSSSPSSASRSYSHRNCSTCSSASERSVSPPPRSRSRDVKKRKGRGRDRERDRKRRKARRSTSCSSSSDSSRSRSKNRKRGTKDGTTKDRTEKDYDNGHASRSEKNLSANVCRDENALVIAKEGDNEIEGYGKSVRFDRIENPPSKDADETPDVVPADGGSPVPVAEDLELILRQKALENFRKFRAAAKARKTDSGATGKETLTGSLQNGGTKAAEARSAAVASFQRQSSSLGGRHPTGSPRSEDCGNGTSRSWKQESSADMSRRVRSPGILEDGDTGGPTQQEGSTIEATHSTLPSMSPQDGRNTRSVMQRLVSTPGSSSSVNQRFGSSAGVSHVNGAPRIRSVVSIPGREGPDNSSFTTPQRHCGSSAAGEINSEIEHNPTDINRTDIGHTNGDDRKTSEASASNGSILSPAEGKSQATEARVEDRDGSQFQKKSFSRMHDGETVEVSYKVYIPKKTPALARRKLQR >Et_2B_020333.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19056234:19057555:-1 gene:Et_2B_020333 transcript:Et_2B_020333.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAASSFAACSLQPSRAARIRTSHHAPRPTPQGPSAASSSTRAVRRANATKGVSAVCEPLGPDRPIWFPGSTPPPWLDGSLPGDFGFDPLGFGSDPDSLRWFAQAELMHGRWAMLAAVGILVPDLLSRWGFIDAGFSWFDAGSREYFADTWTLFISQMALMGWAEGRRWADYLHPGCVDIEPRFPNRKNPTPDVGYPGGLWFDWANWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFWFQAVYTGQGPIDNLLAHLADPGHSNIFSAFTSH >Et_5A_040745.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:11935366:11937350:-1 gene:Et_5A_040745 transcript:Et_5A_040745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVEALAAFELWVDDKGEAKGPTSNISHPRQQAGTTLSEARSNLAYFRRILEEEAAEADDEAQADEETMESDEEARIARLFHDDWMAVWSRHHAKLRPMRFTFRPPQEYSAFPMSTLQFFSIKVAGIKRGSGLQWPLDVFGMVAVRDSIDYNRNVIFSRTRNRCQTVTKEDPYLVLAGPTRAVVWQDFLAVEVMLKVKGTTESEDKELSFLAVPLACSHASYPYGFQCYKTSQRSTLRFALGHIVRSAEATIFVRIAEGSWPDGLCGQFDAFTTGIRDETVTGIDHEKITLLDSKAEKVPVNCDGEIMLSRRVVSVETTGKLKILVKAFQASESGENSAEDELVMIPQEANISFANLNVGFCKMGVTVAWSLISYHEYHE >Et_5A_040984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:15997966:16014063:-1 gene:Et_5A_040984 transcript:Et_5A_040984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPSLLIAARLGLDSAAAGKKQRRRLEDKAPFSVPAVACRRKGAAVRCQRAVGAGIVEKKDASVAAARKGLADRGAEPELTVVMKFGGSSVASADRMREVVDLILSFPEERPVVVLSAMGKTTNKLLMAGEKAVSCGVTNVLELDELTFIKELHLGTVDELGVDRSIVCGLLDELEQLLNGIAMMKELTLRTRDYLVSFGECMSTRIFAALLNKVGVNARQYDAFDIGFITTDDFTNADILEATYPAGWKSGAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIHPKAVPVPYLTFDEAAELAYFGSQVLHPQSMRPAREGDIPVRVKNSYNRRAPGTLITNSRDMSKTVLTSIVLKSNVKMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWSHELIQPANELDDLIEELEKIAVVRLLQHRSIISLIGNVKRSSLILEKAFNVLRRNGVNVQMISQGASKVNISLVVHDSEAKQCVQALHSAFFENGFLSEVDEMDILHDISTMISNGTIYSHES >Et_7B_055816.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5280884:5281885:-1 gene:Et_7B_055816 transcript:Et_7B_055816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSARLEAGLVLLLLLLLRAAAVPVPPQQQQQQQQQQLQQQLQLQDTVLLDDVVQEAAEEWYHGRHRKTGVPYPLALPGSLSGVEATVSRFRSGSLRRHGARRFGEFALPPGLAVRGRAAAAHLIAVRVNLGNLSSIYDGYANGAGYRLASPVLGLMFYGLARRNGSAALEVDVTGAAIRVNFSVAVPALRPGAAALCMAVGLNGTVAVTDVEPGSNVCHAADQGHFALVVGGASGDDGGGAGGEAEMGEVSKWKLALFGAALGAGGTVLLGMVAVAVVTVQRRKSEMADMERRAYEEEALRVSMVGHVRAPSAAGSRTTPDELESEYCATL >Et_3A_027253.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:5895116:5895583:1 gene:Et_3A_027253 transcript:Et_3A_027253.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRFLDTLALDTWIRNPFSIFGTTVAADAWLASDTSAFANTYIESRDTAAAYVFSAALPPGVKKEEVTVEVDEGNVLVIAGERSVRREERSDKWHHIERSFATFLGRFHLPEDAAVDDVKAAMDGGVLTVTVPKVGAAVEKAPEAKAIEASPC >Et_5B_043408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:10005904:10011982:-1 gene:Et_5B_043408 transcript:Et_5B_043408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAALLAGAGEAAAGANPSAAAGAAVMGVFKYNFAAQFLSRVLPFLFNIWFVRQLGTDDSAVSAYALQLPLLINSILFLSREGFRRACLRNDSQSGDVLTDEAVLKIAWMVIPFGILVTSVGCLFVLGVKKLKLSDPYAKATLIIGFACILELLAEPLYILSQKKKYYNIRVYTEPAANLLRCLMTFILVKGHTKVEKLVAFSLSQVAYGACIFFGYWTYFLIFADIHTSDLLPLRLSNLMGYDKQLLHMCMLFTGQTFRKLILQEGEKFILVLFDTPYNQAAYGSLVVRIVFLPFEESSYATFAQLAGLVVISFGPSYSYTLLKLLYGERHSDGEASVVLRYYCFYLISLAMNGTSEAFLHAVANENQLKKSNDMLLIFSVIYIILNGSFSFRRCLPVGWGILLISGLTTAFSERMFLNRERFKQTLPIHMAIGIMCLSVSALEIYRGEKQFLRQIFGTLKGHDKLQ >Et_1B_010851.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:14827745:14830008:1 gene:Et_1B_010851 transcript:Et_1B_010851.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGGVVEISSDEEDFPAGNKLPVDPYGWASGFLDVDGQDDAARDDFDDLMVMSEWSSPPVLQKMTKPDDLVVMNELSSPAVHQTKMKPGGRHDEENNYGFNDLTDDDDCVVLDGDPDKAVTVDEEGSAGDSSSDELQIVACRDFPHSRHLCSTFPFNGTSHVKYCNMCHCFVCDAPAPCKFWGDGRSVTDHCHATDKEGQWKELRQAYKCSSLPASGPEKHQTAACSTMLSPRQQNMQCQFAVPQSIPSLASNMGHHSLANQSPLPYDVSQNQQRNPSVRVSLCLARTVSTPRAGRGTGNPHIPQNTHSHAIFKRVGSFPPVHTTTNANRFGSAATPDNSLMHQALPNVSQPNQVAPITNAFTATAQNSAPMRSFSAPTAFQAQQGQPAAYGQVAPNGVNVRGPQLSRCASLTAQRTQCVEEPAIDVSSKSWKDILACVASDLGVPDYNISTAESQHVTANSGPVHSTASQGLGLQHEPVEAMDNLTSSPVHDVLNNTTGGNVQVDGPQQTAESMHHLNCQSSMVPNEAHVNNFASGPADDLAIEAARQLEISALESNIMFEFGWG >Et_8A_056995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:217802:219526:1 gene:Et_8A_056995 transcript:Et_8A_056995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRGLQVDELYTLDLDALSNLQPIYGLIVLYKWRPPEKDERPVIKEPIPNLFFANQIINNACATQAIISVLLNSPGITLNDELKKLKEFAKDLPPELKGLSIVNCESIRMCSNSFARSEVSEEQKSSNKDDDVYHFISYVPVDGVLYELDGLKEGPINLGKCPVGVGEVGWLRMVQPVIQERIDRFSQNEIRFSVMAIMKNRKEIYTAELKELQRKRETLLAQMADPAASRMVPSVEQSLAEVAAQIEAVTEKIIMEEEKTKKWKTENIRRKHNYVPFLFNFLKILEEKKQLKPLIEKAKAKQKSQSPNPR >Et_1A_006484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23075483:23076225:1 gene:Et_1A_006484 transcript:Et_1A_006484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLMASYTTAMSRLPVLPAPSVVQSRGSWRPSVASKPPYALKCRRPLTVTCALPEKEQRPTAFSIPPTALLCPVPPPDGKERWDIKEEDERVTLWLQVPGVSANDIEVTTSEDVLVIKRKVAPSTGRGQDAAADVHGVGAFHIRLLMTKEYDGNNVTANLKEGMLEVTVPKDLNRKGTPVKLGAPPRGKDDASSKASDAKPDQNNKMRQSQV >Et_5B_044529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3178668:3182093:-1 gene:Et_5B_044529 transcript:Et_5B_044529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRASSCALCEGSNLPSCCSTCVNGRLIEYHTKLRMMRSLRDSLQSRIAARLESQSKADEQRTWRVRKAQDIKELKDRLSALKKKTAIQRTKVEQASSDLKAQKASLNLAFVTLKKRRGDVTTMHTNAMKLAQMNLMAATSERLKMQSKAVKQLCRLFPMRRVIKEGEKKGDYSGPYDSICGARLPRGLDLHSVPSEELSASLGYMLQLVNIAIRILSAPALHVSGFGASCSHIWQRNSYWSTRQSQSKIYPLFIPRRNVCTGGEENSMTGSGSGNFGVDSMDSVKKPSLDSKRSNSFNFSATSSHSTERHQDLQRGISLLKTSVISITTYYYNSLGLDVPSNLSTFEAFTKLLHMLSSSKALRTAFESNIASRSAEQAQHLNRSIWKASSAISSESSIMDSMHTIIMPSSLDNLLLNSNGSFLYTGQAAKHGGVPDSIFDGWDLVEREVLPPPPSQVEDVAQWERAMSAGSKRK >Et_6A_048096.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:6229085:6229474:-1 gene:Et_6A_048096 transcript:Et_6A_048096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRKRTRVSRDADLISALPDVLLHQILGLLQAREAVQTCVLGRRWRNLWKSIPDLRVTGASPVELLQEFMDHLLLLRDPSGSDVCIFEFGKYLGVHVSHVHLWIRHALLCHVRELDVAVFGSGRIVNC >Et_10B_002812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:11838564:11839644:-1 gene:Et_10B_002812 transcript:Et_10B_002812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMIHLVVAITALLSIHSASADWSSAVATWYGDRHGAGTDGGACGYQGAVHEAPFSAMITAGGPSIFQDGKECGACYQVKCSGHASCSDSPVTVVVTDSCPNCVDEPVRFDLSGTAFGAMAAPGQADQLLNAGRLQIQYTRVSCNWGNGLDIAFRVDGGSNANYIAVAIEYEDGDGDLSGVELMQSGAAWQPMQRSWGAVWQYNSGATLQGPLSIRLTSGSGKTLEATNVIPGGWMAGATYRSIVNYNPN >Et_3B_029071.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:21926999:21929720:1 gene:Et_3B_029071 transcript:Et_3B_029071.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCVLVVPEELMNAGTGGPLRPRPPEAGFPVDENSPQWDGLYNQVHNTFHASNGPDLRTSVLLTPENPNPASRRPSIKAIAFFFACLRISCAAAAATTRPPSRTLTLLATMAGQETLVLAGVMRGHNDIVTAIAAPIDNSPFIVSSSRDKSLLVWDLTNPVQVTQDNTATDYGVPFRRLTGHSHFVQDVVLSSDGQFALSGSWDSELRLWDLSTGVTTRRFVGHEKDVLSVAFSVDNRQIVSASRDRTIKLWNTLGECKYTIGGELGASEGHNGWVSCVRFSPNTFQPMIVSGSWDCNVKVWNLTNCKLRSTLSGHGGYVSAVAVSPDGSLCASGGKDGYTLLWDLAEGKRLYSLDAGAIIHSLCFSPNRYWLCAATQDSIKIWDLESKHIVQDLKPEVPIAKNEVRLSTMLHCTCLSWSADGSTLYAGYTDGTI >Et_7A_052786.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:16246559:16247041:-1 gene:Et_7A_052786 transcript:Et_7A_052786.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRGKDLLLVVGDVDELALMKRLKDEVGKAEIVDLRSLPPATDFVVTQSPYQQWRPGPSVPGVGGGIEYPAVSAAGYYYPRTTPSPLHQQHHHYPSPVAGQQAGYGFAGSSSYALAAARSHPAHYSPMIARHDVHAVGRAPAPDGREHAGGGPSCCSIL >Et_9B_065933.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18066866:18068350:1 gene:Et_9B_065933 transcript:Et_9B_065933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAADEQSKAMQILLVPFFATSHIGPFADLAVRLAAARPGAAEPTIAVTPANVPVARSALARHGPAASGLVKIATYPFPVVDGLAPGVENLSAAGADAWRIDAAAVNEALTRPAQEALLRERHPDAVVTDFHFFWNSAVADELGAPCVMFSVIGAFASLAMRLLGGAVRDGREVAVPGFRAPEIRIPVAELPEFLRLRPGNLDVDTGFNPQRAAMARCFGVAVNTFLDMEPQYCEMYARAAYAKRCYFLGPVSLPLPPPAGKDGTRDSESPPCLEWLAAKPSCSVVYVCFGTYAAISAEQLRELALGLEASGKPFLWAARADDGWAPPEGWAERVGEKGMLARGWVPQTAVLAHPSVGAFLTHCGSSSLLEAAAAGVPMLTWPLVFDQFIEERLVTEVLKIGERVWSGARSTRYEERELVPAAAVARAVAAFMEPGGAGEAARARARELAVKAHAAVAEGGSSYRDLHRLIDDLIEARSAAGQAASPSAAPVK >Et_4A_034169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:30422680:30424694:-1 gene:Et_4A_034169 transcript:Et_4A_034169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNPSLQELAAAAEAEAEATASEPPRARVVRILVHDADATDSSSSEDEAPLPPPRQLRRGSSSSSAAVKRRVMEAAGTRSAVRFRGVRRRPWGRWAAEIRDPHNRRRLWLGTFNTAEEAAAAYDSANIRLRGAGATTNFPSARYSPPPEPAKPIISLTPAPGKPITLPPVAVKPTVPLQVKKEDGSCDGQVEVGGTSQVQVPVHQPIPFWEMIAGKRKKRSGCGSGTHVRAIHAASVCVDEISLAVTQ >Et_7B_054610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2791611:2805278:1 gene:Et_7B_054610 transcript:Et_7B_054610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFCVFGFLKRHIIKQIGIRRLQNSPKTAGEARATSAADENMYENDSVKGAILSSPLVEFSTVYSATNNFNDKLGEGGFGPVYKGILPDGQEIAIKRLSKSSGQGLEEFKNEVMVLSKLQHRNLVKLFGCCIHGEEKMLLYEYMPNKSLDSFIFNESKRLVLGWKLRYNIIQGIGRELLYLHQDSRLKIIHRDLKASNVLLDDDFNPKISDFGMARIFGEHQLQDLTHRIVGTYGYISPEYAMEGKFSEKSDVFSFGVLILEIVSGRRNSSFVDDEWSMNLLGYAWTMWKEGSVSELIDPLMGTTYAYDEICRCIQVGLLCVQELPADRPTMSLTLRMLSGDVSIPSPKQAAFFVGRAPLDDNDTRSANHLTCTDLQSSFRIGIMHFCVFGFLKRHIIKQIGIRRLQNSPKTAGEARATSAADENMYENDSVKGAILSSPLVEFSTVYSATNNFNDKLGEGGFGPVYKGILPDGQEIAIKRLSKSSGQGLEEFKNEVMVLSKLQHRNLVKLFGCCIHGEEKMLLYEYMPNKSLDSFIFNESKRLVLGWKLRYNIIQGIGRELLYLHQDSRLKIIHRDLKASNVLLDDDFNPKISDFGMARIFGEHQLQDLTHRIVGTYGYISPEYAMEGKFSEKSDVFSFGVLILEIVSGRRNSSFVDDEWSMNLLGYAWTMWKEGSVSELIDPLMGTTYAYDEICRCIQVGLLCVQELPADRPTMSLTLRMLSGDVSIPSPKQAAFFVGRAPLDDNDTRSANHLTCTDLQSR >Et_1A_005147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29373320:29373940:-1 gene:Et_1A_005147 transcript:Et_1A_005147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAASPSSADAAPPAAAPRVRCIARIRLPRAWTAEEDARLERLAKENGFRHWHRVARRIPGRSAGLCRDRWRHHLARDLYHRPFTARDDDELRRLVARFGSRGRWKDVGRAVYGRTSRVMKRRWKEIQEIMDDDDDQPTESGSTSYADDVLASSFVSCSLTACDDDAMDPRAGSLALGFACMTV >Et_2B_022264.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:16003240:16003641:-1 gene:Et_2B_022264 transcript:Et_2B_022264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVNAWAIGRDPETWESLEEFRPERLVGSVVDFLGQHFQLMPFGAGRRMCPEIDLSMSVVELALANLVGRFDWALLEGEGELDMEHAPGCTSRKSSAPFLGHESLQRSVHQVRAIALGNQGVEALPCWVEAS >Et_4B_036730.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1181958:1186248:-1 gene:Et_4B_036730 transcript:Et_4B_036730.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGRKTRVGPYELGKTIGEGSFAKVKLARDSRTGGVCAIKVLDRNHVLRHKMVEQIKREISTMKLIKHPNVVQLHEVMASKSKIYMVLEFVDGGELFDKIVNSGRLGEDEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDSHGSLKVSDFGLSAFAPQTKEDGLLHTACGTPNYVAPEVLADKGYDGMTADVWSCGIILFVLMAGYLPFDDANLMKLYKMICKANVSFPPWLSSGAKKLIKRILDPNPDTRIKIAEILEDEWFKKGYKPPQFEQGEDVCLDDVDAAFNDSEVHLVAEKREKPESMNAFALISRSQGFNLGNLFEKEMMGMVKRETSFTSQCTPQEIMSKIEEACGPLGFNVRKQNYKMKLKGDKSGRKGHLSVATEVFEVAPTLHMVELRKTGGDTLEFHNFYKSFSSELKDIVWKSESDASRKQTSIDFEAQKNKIVRSSDRCTPNFSTPDHG >Et_1B_013958.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:25389910:25390542:-1 gene:Et_1B_013958 transcript:Et_1B_013958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRQEERIRAAASALGRRARAETRHPVYRGVRFRAGKWVSEIRELRKPSRIWLGTYPTPEMAAAAYDAAALALRGAGTALNFPDEARSRPAPASASAEDVRAAASAAAAALAASAMDGAGCRSSDRHDELKGRGNNGGADERRDDDGAGAMAEDGVVDEDDVFEMPRLMVSMAEGLMMSPPVLGPPAAADGAASSLEDEGGVSLWDHS >Et_2A_017139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31200910:31205951:-1 gene:Et_2A_017139 transcript:Et_2A_017139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLNCISFLLGLAILLATLGPFVTIAHRELLTVTGSKRGVEIKLELSVEKTSTGEEIRSNVMTWRKLAFGNAVKGQKDAKQSESKTSSGEIKNYSAISLMPSNLKDSSSSRMQAGPSMDRAKLEGSTSKLALNIPNPQHIRTFSSKHSSRNSNAGSTNEDWKQKMLEASDEILKFLNKDYNSHPHKRTPDRREHSFLRKPMPLGRNQLSGYIVINLFVHRDGEAPVWNPDFVSYEPES >Et_4B_037597.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:21665918:21666586:1 gene:Et_4B_037597 transcript:Et_4B_037597.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMKRSVASADVDRDRVMSEIDDTPSPLPWMFDAINVRSEEREQRIGPLHDAASKGAMDTCKHLVENLGFD >Et_7A_052060.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4269591:4271421:1 gene:Et_7A_052060 transcript:Et_7A_052060.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGAAAVERAHELYRGGHHREALELYSAALAAARGPGQRIALHSNRAACYLKLHDFHKAAEECTSVLELDREHAGALMLRAQTLVTLKDYQSALFDVNRLIEINPASEVYRNLHARLKTQLSLAPIPECEEESMYLEEDQEELPPKQDRKIETSFAKLDQPATEPDVDSKPSNGPIIERKPVVVPQKVEVPSILPSKPQGWETIPKPKGHSGLDYSKWDKVEDDSSDDDEDDEEELPQYKFKVRTVGVRSVK >Et_3B_028113.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10457827:10463137:1 gene:Et_3B_028113 transcript:Et_3B_028113.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPTAAPATLKDHLSAPTGPLHLKVWEVICIALGAFMVVVFFATVWLTIKSRKRVRRASANIPITQIPAISKEIKEVRVEQVPASEYVSHDGVLMTIQDKSSDRDSDKVMVHLGVSKSRRGDESHSGSFRYMDKDGGFQSADEGGSGTFRQASAHAITAPSPLVGLPEFSYLGWGHWFTLRDLELATNRFSKDNIIGEGGYGVVYHGQLINGSPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLEQWLHGAMSHRGSLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFESKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVVLLEAITGRDPVNLVDWLKMMVASRRSEEVVDPTIETRPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLESDDPMPRGDRRSRHNRGGSTEMDSQKDNSDTEKSDNPDSKPSRSKASSSKLRRHTGGNVQGSKREGRRQGASSSQSQQSNSKHMEVDGAAQTSELGHHQVVLDS >Et_6A_048191.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:9239377:9240306:-1 gene:Et_6A_048191 transcript:Et_6A_048191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQHTSSSSATSYYYYYPAAAAPPDASFPFDTVHNDDMLLQLESFLLDIDTDVSAEACSDLSSPSSSASSEAGAGTTMGLANTHNHQHRLPEAGSATPSGQQKRPALIGVRKRPWGKFAAEIRDSTRKGARVWLGTFDTPEAAALAYDQAAFSARGAAAVLNFPVERVQESLGAMALAAGNGSPVLALKRRHSKRTRRRKPISPISNHNMNKMTTHQQPAPQCSDDTSSVSVPTMAVLPRQQETTAAQCSDTSAVSSATVQVPRQQQVAATSCKFDSTINAVELEDLGADYLDEILWASSGLEYWDE >Et_9B_064090.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:11123797:11133011:1 gene:Et_9B_064090 transcript:Et_9B_064090.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKPIPTPAAAAVLHGDHQVAAALLAAAGALRGEGDAVPVPGSLPHGPHRHLHRTQRPLPPLLPHPSCSHRRPQPLPAPHLTAGSEPQACGAADALLRFRPGRCLSTSAVSGDEEEGSSPEQEAGASSDQEHVGRVCAAIADVVAAGTDANLEAALSVLSLPLSEALVLAVLHRFKHAHRPSHRFFRWAAASGGFTHTTITYCKMVQILGKARQFESMVALIQEMGKAGALSMDAFKISIQSYAAAGEIKNAVGVFEMMRKHGFDNGVESFNCLLVALAQEGLGREARQVFDKMHNRYTPDLRSYTALLLAWCNAKNLVEAGHVWNEMLEKGMKPDVVAHNTMIEGLLRGQRRPEAVKMFELMKAKGPRPNVWTYTMLIRDHCKRGKMDIAMWCFEDMHESGLQPDVATYTCLLVGYGNAKRMDRVTAVLEEMTQKGCPPDSRAYNALIKLLTNRKMPDDAARIYKKMIKKGLEPTIHTYNMMMKSYFLGGRNYAMGCAVWEEMHRKGICPDVNSYTVFINGHIRHGRPEEAYKYIEEMINKGMQAPQIDYNKFAADFSKAGKPDILYDLAQKVKFAGKFDASNVFHRWAERMKSRVKRTVTNQTDDRMTTLLTNAEAHSSQLGKVCYDLHVAAKKAGQKAYSLCPSLSGPVLLRTRVPIGASTPRPPMRCSICFAVNARRLHCDVCDATVVQPNKSGQVTDPAYVLDSEIP >Et_4B_039316.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9892894:9899714:-1 gene:Et_4B_039316 transcript:Et_4B_039316.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAISHLRRGAQRHALLCLARRRFSSSAAAPLAAAARRLLSTTADSTTSSLGDHYKPPPFDPFRAATLSPSAPAPPLESPPLEEPPSTPPPPEEAPASEAAHQQATLACQEVELEGLKAGVEAVKSREESPEEKEAWWLLSRAVVNYCGSPVGTVAANDPSTSQMLNYDQVFIRDFVPSAIAFLLKGESEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRSVPLDGNSEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYSLQERVDVQTGIRLILNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCAREVIGVNDGSKNLIRAINNRLSALSFHIREYYWVDMRKINEIYRYKTEEYSHDAINKFNIYPEQIPSWLADWVPEKGGYLIGNLQPAHMDFRFFALGNLWAIVSSLATQRQAEGILNLIEAKWDDIVANMPLKICYPALEYEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRRDLARRAVEVAEKRLSNDKWPEYYDTRTGRFIGKQSRLYQTWTIAGYLSSKMLLDCPEMASILICEEDFELLEGCACSVNKSARIKCSRRAAKSQRTSGPADWTMVTTEVCLSTF >Et_2A_016714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27461527:27461954:-1 gene:Et_2A_016714 transcript:Et_2A_016714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGSWNSKKQQPFLVLKQQKPHVSVAIRRKRLRLRWRAGTETMEMVNLKLYLENRCIIAENEKLRERVSALRRENLVLRENLSKTVAAELPAAGARAA >Et_2A_018249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20151862:20154134:1 gene:Et_2A_018249 transcript:Et_2A_018249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGIGMAKQILSALLLAALVCNAADAAFNRYSFPKGFVFGTGSAAYQYEGAYKEGGKGVSIWDTFSHIPGKIKNNDTGDVADDFYHRYKEDVQLLKDMNMDAFRFSISWTRILPNGSLSGGVNKEGVAFYNNLINEIIAKGMKPFVTIFHWDTPQALESKYGGFLSEKIIQDYVDFAEVCFREFGDRVKFWTTFNEPWTYAVNGYATGGLAPGRCSPYVSESCLGGDSAREPYIVTHNIILAHGEAVRLYRAKYKPTQRGQIGITVVSNWYVPNSDTAADRGAVQRSLDFMLGWYLDPIVHGEYPGTMRGFLGVRLPRFTAEQVALIKGSYDFLGVNYYTAYFAVSVPPPNGLEQSYNGDIRANSSGYRNGVPIGEPEFVPIFFVYPEGLRELLLYTNRRYNSPIIYVTENGIAEENNASIPLKQALNDRHRISFHSKHLQFLRHAIRNGVDVRGYFTWTFMDCFEWGDGYLDRFGLIFIDRLHGLKRYRKQSSYWIEKFLKR >Et_7B_055393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9976195:9976805:1 gene:Et_7B_055393 transcript:Et_7B_055393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILPSTSLKMCKPKSFNTTSLCNEINDVGVDEVNNDRLSLQEALKDDLRIEYHRNHLLSLLSAIRDGANVKGYFAWPLLDNFEWVNGYSVRFGINFVDYNDGLKRYPKNSTHWFKEFLQK >Et_8B_059989.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4806104:4809702:1 gene:Et_8B_059989 transcript:Et_8B_059989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDSTRDSGRYYLPVARYGYRHNGKWKLLVKCLGGAGVRRHNLTIDTDLQQLTLRGACPAVHDSTVSTTLLYYVARSRNKGQEAVRDVVEHVQILLLVVADDGAAAAVGRRHRQAEVPRGAGDEHVVLRRQRHVGAVEVEHELRHRHGRVRRRRPREARVEPERRVVRRRQRDGGHQLVPQRLVRVLVQVRDGRAGVDDDAARAVVVDGEHERVDGQPRGPQADPLQREVVHVGQHRVDDERRGLHRLAGAGVGAVEVGERAEVEPAGRRREVGGEAVGEPGRAELAHQRQRAAAEAHHAGAVGERAGVDVAAPERDAGHRDGLGQVVVVAPRAAAERERLVGEDARRGRVVAVVVLVHAHLLEAGRVGELPAAGRPAREEAVRGGPGRLEVGRGLVRAEQARRALRPDQVAAGVHDGREVERRRADGEVDQVLGLAGAHQDARRRDRVDHGRRRRGHRLQRRHAGLAPGHGAAHEL >Et_9B_064532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16209299:16214319:-1 gene:Et_9B_064532 transcript:Et_9B_064532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIAREASKVWRKVTAEISAELPLLREKWRLLLAGVICQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGQDKGYLSESIFSSIFISFVLASQFLRIITFYSTQLPGPNYHCREGSKLATLPPPNSVLDVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSKRFIKLVAWIMAIIQSLLIIASRKHYTVDVIVAWYTVNLVVFFVDNKLPEMPDRTSGSPLLPLSAKERDTRLKEDRDSKLKDEFHKLLNGNHGDPTDRRQRAQMNGRHDEDINHALSEATANGT >Et_4A_035895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8001454:8002021:1 gene:Et_4A_035895 transcript:Et_4A_035895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSLSLLPLLAPRRPVLLRHAAVCDARNVARGEVRGTRPLRLARLRWRRGRVAAGAVAGDAEAPLVDAEAAMRVAADDDSITATVVSVLLTLAFVGLSLLTIGVIYLAVQDFLQKREKEKFEREEAERQKEEARKKRAKTRKKRRNY >Et_7A_052428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7943188:7944125:-1 gene:Et_7A_052428 transcript:Et_7A_052428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRADHHNQFFMPPPSLPQQQQLCVPMMEEHSPPSFLAGRGSGGGGAAPGRGERKRRFTEEQIRSLESMFHAHHAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDYASLRAKFDALRDRVESLKQEKLALASQLHELSERLREREDRGRNGGGGATTTTTEASSSSCNNDGGEDQDDKRHVDLAAGCVDMEPPEMSCVLGGGACATPADVSVESTECDDHHLDYDDGGFLPDPDSFCAATPELWEPWPLVEWNAVA >Et_9B_065157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21672554:21675919:-1 gene:Et_9B_065157 transcript:Et_9B_065157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQASAGEAFAAQINAMSRSEMYEMMSQMKKLIDHDQETVRRMLVDNPDVTRALFRAQVVLGMVKTPKTAQSSEMTQPTAASTAPSSAKASAQDRVSLRQPPLPSYQQGVAQHSGPLPSAPSNVASTMDLPSMPANPPQPAQAKGYPVHQMPAASVLQSSQHPNMALPHAPPQYSNLPSHMPIVHSQPQQPLQNPGIYNQQLQPPLPPMPRPSSMQTFAHQMHPQVSNSFGLAHANAPQHILQQSMFHPGGNPPQSSFLPGQPPLPNQPPPQLYQAPSHYTSQSTTPMQVDRSTPWGRGPEASTAGSHFPAQLPGLPGQMAQGIGGIQAGQAPLTPEMEKMLVQQVLGMSPEQINMLPPEQRQQVLQLRDMLRQ >Et_5A_041598.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24860636:24861778:1 gene:Et_5A_041598 transcript:Et_5A_041598.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDKESTKLKEEEKKKDEVHLKMKSKEKSAGDEDEKKEVEIEIEAKFVEKEKGKETKKDKEKKEKKKEDEDGDDEKAVKKDKKKEKSDAKDDGKKKEKDGEEDADGKKKDKEKKEKKDKSDVKEDGKKKEKDGEEDADGKKKDKEKKEKKKDKSDVKEDGKKKEKDEEEDIKGKNDKEKKEKKKDKSDTKERKIEDANEDDEGKKKDEGKKEKDKKNEKNGEEVESKKKDKDKKEKKKDKDTKEKTTDPAKLKAKLEKIDTKLQDLQAKKEDILRQLKELEESGKGNTSEEKPSQILKELELEDSGKRETTEGHPQTLEGSGKGEPIEEKPAQVLEQGGEHKATKENPVAEA >Et_2B_019493.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:7776238:7776492:-1 gene:Et_2B_019493 transcript:Et_2B_019493.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITAAVNAICAVFAGHPLSLGHTALLLLWVIWKSRNRKVIDDASLDTNSILAIVKEHASLWTRRAPRRLDTSPLISWCNYLFDVP >Et_3B_028405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14759204:14766555:-1 gene:Et_3B_028405 transcript:Et_3B_028405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPTTTSTASSPSSATPPPPASSPSATRRAHGDSSSRRMSWGGARDGALQLKFPRRPPPAGALPRPRAHSASEGLGSSASEERRRGHDSDGATTGLGCCGSDVHGELGLDGEGRGGRDAERERRRGVLEQRGRGGWRSSYGDARRGGGSGCPSSKRQRLTRFWSAVGDGQEGESEKNQNGGRRRPSRFVFSPDTPLPIRLRVTQNLNLRIPTRAAPPRARLRRCRLSHSPPTPATAPDPDPADPLRVAFACGAPGGRVYSAIALADELHASLPGSRSLILGAPAPSLESAAAAAASYPFAPVPRCLPRAVLAAALHLRRFDPHVLVATGGAASLPACLAALLLGLPFVIQDQDAAPAPATRLLAPLALRVFLAFNAPVRQLPKRKCAVYGNPVRMAIRKCRVSKADALARFFPRAAALLPEEGAQVVLVIGGAEGSPEMNVAVLNMYYEMLRTRKDRYIIWQTGTESFSEMESLVRGHRRLFLTPFLHELEIAYAAADVVVSRAGAMTCTEILVTGKPSILIPLPTVVDDHQTKNAYIMADVMGAKVITEDELDSSSLTSTIDEVFSDEQLMADMSQKAITASRPNSSADIIRHICTLIEYSLRS >Et_4A_033608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2532978:2536212:-1 gene:Et_4A_033608 transcript:Et_4A_033608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSFVGRVLFVSVFLLSAYQEYVLLTLRLPLRTTSLPSCLLGSSMSGFGRSGAACAESEWELGARTPASLDLEVATSICRIRGSEGLRFSEFGTDGGPAAKALQPKFNVFVKNISSHLGVAVPHVELKHVIAATIGLKGLGSLLFILSSSLGAYFLLINLALVTPVVHDFYNYDMEKAEFAQLFAKFTQELALFGALLFFLGMKNSIPKRQAKKKAPKAKTN >Et_3B_030398.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3524508:3530012:1 gene:Et_3B_030398 transcript:Et_3B_030398.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPASQRRRSRLLSWPLLFVAILAVHSLGVYLFTRGFLLTRTELDVHSSRDDRPQDVSPGCASWPQPAVDRLVIVVLDALRFDFVAPSTFFQEKQPWMDKLQVLQELAADEKTSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMYQLVKNGKRVVMMGDDTWIQLYPEHFNKSFPYPSFNVKDLDTVDNGVIEHLLPSLHTNDWDVLVAHFLGVDHAGHIFGVESTPMIHKLEQYNKILEGVIDTLRSLSKPGGPHENTLLLVMGDHGQTLNGDHGGGTAEEVETSLFAWSSKAPPDAVLSVFGESSCNVDLHGKEMCISTMQQLDFAATISALLGIPFPFGSIGRVNPDLYALSAGTWDSQRMGTNGCTSQNDVEAWMRRYTEVLCVNSWQVKRYIDVYSATSVIGFPSEDLQSVADQYSKAQTNWSASLKATCSSETDGKEEYIERKSLVLRRQIDAYTNFLQSFAKLARNAWTEFDLWFMGIGLLLMVLSVIIQACALVRLNTIYQSSDQESTSSRVLVRFSFAFIFVIIRAASFLSNSYILAEGRVANFLLATSCIASVWYSAAKGEFNREDFVFLLLNIFTRFGIEVGMSKQLTGPSISKDHPMSIICKNIGASSCNVLLELLPIMSLALVAYVVLKCISSAICQRFLKYFVFSGTILSYISIAFHWASESALFSQTESIRELGRSLAPRVVYGIGSLSLAISALYRMLGRSDYLKMNQRITNLSAAMLCSWSPTILILLGRQGPFVALICMTGVWCITKLSQKHQKESKPDILCVAHPVSVVQWSLLAVCLFYLTGHWCTFDGLRYGAAFIGFDHFHIIRQGLLLSIDTFGVSHILPILSLPFIALVSCRTASKDNKVKGVIINNLTQVLLMYGLVTAITATLTIICVTIQRRHLMVWGLFAPKYVFDAIGLLLTDVLIFFASLYYS >Et_2B_021269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28094207:28097083:1 gene:Et_2B_021269 transcript:Et_2B_021269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IMGSRKEIMEYRKEKIRKFMQMEEEADDEFIFVIIPAILEMLNDEKRPVHTSEYTGAIKMREILEGHEKWCLSEFRMEPQIFKAISNYLRREGLLRDTRGVAVEEQLGMFMFMISHNASNDRLQKAFQHSGETIHMHIRAVFDIIPTLTYRFLKLPSSNETHPKITSDPRFWPFFKNNPNMKKFRKKSFPLYYSIEKLYEGSIATGELNFTSTEAPDLMPPTSAQPINLDDLERQGPTTGTINLEEDEHTTSSCSGQKVAGNGKKRKQSQVAAVLQDFVNFRVKQTKDFMDELNMNTKPNEDYSIKNCLAVLESIDDLSEMEKAKATKIFKCEQNREIFLNLKNPEVRLFWIQDEISP >Et_3B_030073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30211659:30214357:-1 gene:Et_3B_030073 transcript:Et_3B_030073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPRSLPPPAAAEFEISRQSRIFAALLLGYLPNDRSLWEEELAKKRSQYAAFKDEFLRNPAETARQVESQDNRTENAEHVHNGLLQRSEVTQEEHPLSLGKASAWNQFFEYSEIMEQIDRDVKRTHPDMHFFCGDSSFAKSNQESLKNVLLIFAKLNAGIRYVQGMNEILAPLFFIFRSDPDDKNANFAEADSFFCFVELLSGFRDNFCQKLDNSAVGIKGTLSKLSQLLAKYDVELQQHLEVTTEVNPQFYAFRWITLLLTQEFNFADTIHIWDTLLSDPDGPQETLLRICCAMLILVRKRLLAGDFTSNLKLLQNYPPTNISHLLYVANKLH >Et_10B_002952.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14564487:14565672:-1 gene:Et_10B_002952 transcript:Et_10B_002952.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATAAVTPSKRPRGGGQHHRTPSPLPLDILVEIALLSDPTTLVRIAATCKDLRHHIADDGAASLRRRLRHADGRFVRSLVSGLLVRERKNQYRLLQASCWRSPSPPLGPFTLTLHEPSRAARDGLLLVRVAGKETPAAAELRVYTCATGSCETLPSRHVTLHGKFVLLVCRSSFKVLNAKLVLSNHHPCLRIQIFSSDLGAWGRPTRIPIPFILWSTSLRLLRRPLVFKDAVHWLCSTITSYYILTLHVGIAQVTMTKLPDDFPWSVPSQLLLATSSLGGSPIVLVADGTKISVTGMWKKRPQLVIKNDAIILQINNKRGVVRLEWSGERSGVVLISLPNNDGFGWLDLQTKEIINCVIDPRTTYDKFYFPYEDLSTWTPAF >Et_9B_063728.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:5819218:5819514:1 gene:Et_9B_063728 transcript:Et_9B_063728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRMTMAIFFIVLTAISPSLVRARNVPSDDHQAQVNDKTSSTTSHAASSTTTSSSSSPRNVIQGLAAPSPPATEIDYPESNGYMPQGSVPSPGVGHH >Et_2B_020595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21742396:21744892:1 gene:Et_2B_020595 transcript:Et_2B_020595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTSCDGVCEHVINVAHGETASTSTSHQDMYSDSDEPHQEDRPSTSTRSPSSQSSASTSPTAYSTRNLSFPRRDSIYGQGRSLWNSGLWISFEIVVYVAQVIAAITILMFSRDEHPHAPLFAWIIGYTIGCIASIPLIYWRYVHRNRPLDQESQQPPTTYPTLTPSQSSEGRSHRTSGTIFHLGCITLTCPRLSILAYHFKTAVDCFFAVWFVVGNVWIFGGRSISSDAEDAPNMYRLCLAFLALSCVGYAIPFIMCAAICCCFPCLISVLRLQEDLGQSRGATQELIDALPSYKFKPKRNKNWGIDHASSSENLDEGGILGPGTKKERVVSAEDAVCCICLTKYGDDDELRELPCTHFFHVQCVDKWLKINAVCPLCKTEIGGVVRSLFGLPFGRRRVDRMAGRGAANSRFNIKELCSRGCEMVFAEGNNKLISSCLTLRQWRQLEAWDVNKNTEFTSQ >Et_6A_046629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18476219:18482968:1 gene:Et_6A_046629 transcript:Et_6A_046629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISVAVRFRPPSPAAADSSSFPGWGDREWRIDNGSRVSLLHRAAGPVPGASFAFDHVFDGAATNERVYAALVKALIHSAVDGFNGTAFAYGQTSSGKTFTMNGSDADPGIIPRAVREVFDTARQAEDREFLIRVSYMEIYNEEINDLLALDGQKLRIHESLERGVYVAGLREEIVNSAEQVFELLQLGEANRHFGETNMNVRSSRSHTIFRMVIESSAKNQVDSGDAIRVSVLNLVDLAGSERIIKTGAEGVRLNEGKHINKSLMILGNVINKLSENGKQRGHIPYRDSKLTRILQPALGGNAKTAIICTAAPEEIHIDETRGTLQFASRAKCVSNCAQVNEILTDAALLKRQKLEIEELRKKLQGSHSEGLEQVVLKLRNDMHKSELERDRLAMELEEERKIRETLEYRLAEQQKKLEDIDSTSISADKFTDATQLDALKTPDSKYAPDGFVACRLRYSNDVEFSPVPETLDNFADEDLWARLNKGCVTDLDMLEMTPGLKPEESVLQDTEPAVPLEEPTEEKCQRLEKDCTSDRQQLKYLKERCMALEKERDLLKEENSSLQQELCKEGQLTSLYSKSKAIVEHCKASQRVGNSRELARRAGSDSSEVSVAAIKMARTQP >Et_3A_023305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19733115:19734971:1 gene:Et_3A_023305 transcript:Et_3A_023305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPSCSKSKLQYLDLSFTNLTGQIPNWINHWSNLSDLFLSSNRLQGSIPREIGKLANLKRLHLGNNQFTGSISEEHLANLANLVELDLSYNLQYIYFARCKMGPHFPLWLKEQTSVTYLDISDANIVDHLPSWFWMFSQAHYLNISSNKIKGRLPATLDLTGLLPKLPKSLVSLDISSNYLSGPLPRKFGAPMLGDLVLSANRINGTIPTYICQLQHLQMLDLSKKPFMSVLILYNNNLSGKFPEFLKHSRQLTILDLARNTFAGERCNMFSGSIPIELTGLRKLQILDLAHNRISGTVPRALANLKAMAHNLVGEIPGELASLVELINLNMSHNQLSGEIPEKIGQLRALESLDLSFNELSGEIPRSLSEITSLSHLNLSYNNLSGRIPLGNQLQTLNDPASMYIGNNYLCGPPLSRNCSEPDTTEDYPDGTVQNDTAFHLGLVVGYVMGLWMVFVIFLFLKTWRIAYFQILDYLQGKIQTSMK >Et_6A_047181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:26456513:26461395:1 gene:Et_6A_047181 transcript:Et_6A_047181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPSAAAAAAAAAADGGGDASRVELVRAEVSIESPYSAGVRSPRCRETRVSRRFDLPARERFERRRDTPQFVAVPLGLGVGWESGDVLVCGLTWMLSTAVGDTHSRDDGLAKSKDRDRDREKDKDRDRRRDRDRDRGRDRDRDRDRDRDRDSDRHSRHHRERKEHRDRPDDHDRHRSRDSERIRDRERDGHRRHRSRSRSRDRDSRSSSHSRSRSKRVSGFDAPPVQPLASPFTVVPTPSQMPGSSMPNLFPNMLPLGVGQYNPLVLQPQAMTQQATRHARRVYVGGLPPNANEQTVALYFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPSPNLNLAAVGLTPGGGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDINVTDIACAALNGIKMGDKTLTVRRANQGASQPRPEQESILLQAQQQVQLQKLVYQVGSLPTKVVCLTQVVSADELKDDEEYEDIMEDMRLEAGKYGTLVKVIIPRPDPSGQPVAGVGKVFLEYADIDGAAKAKTAMHGRKFGGNPVVAVCYPEDKFANEEYDA >Et_5A_040299.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1249571:1249720:1 gene:Et_5A_040299 transcript:Et_5A_040299.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASRVVFEVLRSASRDAFQVAFSFAARPPVSTMIKPVITRSLHQDQKK >Et_7B_055120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:7463386:7467072:-1 gene:Et_7B_055120 transcript:Et_7B_055120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRSLALFLLICILLPPAPPISAALLFGGAKSAAAAGKADMDMEWRPATATWYGEAEGDGSDGGACGYGTLVDVVPMKARVGSVSPVLFKGGEGCGACYKVKCLDHGICSRRAVTVIVTDECPGGVCSGGRTHFDLSGAAFSRMAVAGAGGRLRDRGELSVVYRSYDTNQLLQSRGRKLTAALSFARTRTPCKYGGKNIAFHVNEGSTNFWLSLLVEFEDGEGDIGSMQIKQANSVQWLDMKHVWGATWCLVQGPLVGPFSVRLTTLSGKKTLTARDVIPRNWTPKATYTSRLNFDVSL >Et_10A_002018.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18888535:18894465:1 gene:Et_10A_002018 transcript:Et_10A_002018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESLIGLSSMENDMKMLSSEKKIIENEGHNFKISIADMEKEREVHNNELNDTIDNCTILSSEFEKAMLTEDEVHTLLAEKQKLEAQLQNLDMECCKLRETIVDTKAENESLIKEKHMVESKVQQLKMDLNGLSLEKEKLVDNMNRKLSIVAQEKEILASEKNVVVTTFLNTESKLKEKAQQISNLQATINDLEAAKIDLHNEVMVYQEEKTITLAQLQQVEASLKNLENQLEQQVKKNSEIQKTNEDLVLENSKLQNEVLEMQREKSEALTSIVDLESKLVEVKRILQTKIVALHEEKDATLLELHASQASLRNFQSVVKKQNESISSLQQANDELQKTITILTEESEKVKVKMQEEIEAMDKQLTILRKSFHAKIAALYEEKDAALLELQASQTSVKNFESLKEKQNVEISYLHRANEDLQKTIGTMTEETEKAKAKLQEEIKSTDKQLVEMRRSLHAKIATLHEERDATLLELQASQTSLKNIESVVEKKNEDILSLRQANDDLQNTISTLAVETEKAKAQLQEEVKDMEKQLVEMRRSLHAKIAALHKEKDAALLDLQASQAYVRNIDSVVDKHNKRISSQKQANDELHKAICILTEKSERAKAQFQEELVEVRRGLHAKVAALHEEKDATQLKLHVAQASIKNYESVVEEHNKRISSLQQANDQLQRAIYTLTEHSAHTKTKLQEEVKAMEMQLVETRRSLHVKIAALHEEKDATQIELQKSKTSVRDIESVIEKQNDTISSLQQANDELQKTITILTKESEKAKVEMQEEIEAMDKQLTIMKKSLHGKIAALHEEKDAAVFELQASHTSIRNFESLVEKQNEDISHLHRANNDLQKTICTMTEETEKAKAKFQEEIKARDKQLVEMRRSLHAKIAALHEEKDATLLDLHASQAYMRNIDSMLEKHNKSISSQKQVNDELQKAICILTEESERAKAQFQDELMAVRRGLHAKVAVLHEEKDATLQAAQASIRNYESVVEEQNKRISSLQQANDQLQGAIYTMTEQSVQAKAKLQEEVKAMEMQLVEMRRSLHVKIVALHDQKDAAQLELQTSQASVRDIKSVVKKQNDTISSLQQANDELHKTITTLTKESEKAKVKMQDEIEAMDKQLTVMRKSLHAKITALHEEKDATLLELQASQASVSHFESLVEKQNEDISYLRRANDDLQKTICTMTEETEKAKAKWQEEIKATDKQLVEMRRSLHAKIATLHEERDATLLELQASQTSLKNFEGVVEKQNEDILSLRQANDDLQNTISTLVVETEKAKAQLQKDVKGMEKQLVEMRRSLHAKIATLHEEKDAAMLDLQASQAYVRNIDSVVDKHNKSISSQKQANDELQKTICILTEKSEQAKAQFQEELVEVRRGLHAKVAALHEEKDAAQLELQKSKASVRDIESVMEKQNDTISSFQQANDELQKAITTLTEESEKVKVKMQEEIEAMDKQLSVMRKSLHAKIAALHEEKDAALLELQTSQASVRNFESLVEMKNEDISYLHRANDDLQKTILTMAEEMEKAKAKLQEEIKAMDKQLVEMRRSFHAKIAALHEERDATLLKLQASQASVRNFESVVEKQNGYISSLQQAYDVLEKEIGTLTEQSQQANGKLQEEVKAAQEEKHMVILQLKQSEAFIKNLENEVACLRKELSVQLEKNSTLDKRLEKFQAEMASNIVDLSLNTKALENTINVLSSEKTKVQEDLKILVQACSGNMSSMKEFEETVKQRITDDVTKLGPLYQSLGEVLSSYRKLQYAYDEMSARASQVVVLKENQMEQIDKINRLKEEKVNTFMENAKLHKNVQYLEFQLQHVKQKLMENKWKEDRFATTLVTSQAEIQNLEQLVSLLEETFKEVKEHAELGGLSLAEQLDKLESSFNQGFPRFVYRSSTCSEDIKVLRKKLHDHLDQQKELLKKKEELAISLRNKEKVLSELVKNDVEARNLEKEVDEKESGGDITNNTVTTSKRWPSLRRRRWRRNSYSC >Et_6B_050094.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6058806:6061751:-1 gene:Et_6B_050094 transcript:Et_6B_050094.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASSNRTVVEEVTGWLRIYSDGTVERLTPPGGEPFTAIVPPYAAPRDGVTVHDIPTGRGVDVRLYLPESTAAHRRRPVLVHLHGGGFCISRPSWALYHNFYAPLAAKLDVAIVAVYLPLAPEHRLPAAIDAGHDALLWLRDVACGQATYGAGGEHAAAVGRLRSIGDFSRVFLIGDSSGGNLVHLVAARSGEDSPGTLRPVSLAGGVLLHPGFAREKKSRSELENPPSLFMTQEMIDKLLILGLPLGANKDSPYTSPELAAKAVAYLRMPPMLLMVADQDLLHDPEVDYGKAMVSEGKGVETVVSRGNVSHIFYLNFFAVKSDNVTAERTAELVETISLVMANQWHGPAGSSTAHLHYPGVLVLGPLSISPAWPITSCGVPFQPTDQA >Et_6B_049262.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2447082:2452461:1 gene:Et_6B_049262 transcript:Et_6B_049262.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNVTVRLSDKTFDTHNDQCSTGRLFVFLINNAATGERTGTRSLRQRLLSAIAIAEAQQEQHKRNLRAQRDDRRSSHQSGRFSLSLNRLNSIRIHTPARGSDDGASQAGEGRGAARRPRTPHGAGSSTGSGAPGWNLLSGNVLLEHALRRCVRVAGGRGQGDAAAAAATSAGGAKLHAVAAASEPPAAGVGERGRGRAAGRGGEVRGGGGGGGAADGGAGDRGRGEVVTGVVAAVREPATARLAATGLLEEGNREAAVEAGAASAAVEAVRAGGRHAGARWPR >Et_6B_050128.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6980256:6980672:1 gene:Et_6B_050128 transcript:Et_6B_050128.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHELQLGCKLAEQHWIKYSPYQSSCLLVQVQQTPDAWCMLVRPQRSDAHFVEPCNVRQDNGKAGSSVRGMMIGCALWRDGAWIKINVGGAFHAKTGVAVRDAAGRILRCS >Et_6A_047873.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:22046153:22047034:-1 gene:Et_6A_047873 transcript:Et_6A_047873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHRSQACSLLLALLISLQLTAGLAAYRRADVAVYWGRNKDEGTLREACDTGEYTTVIISFLVAFGHGKYTLDLSGHDIAGVGDDINYCKSNGIMVLLSIGGPGSEYSLPSSQAAVDLADYLWNAFILGSGAGVHRPFGDASVDGVDFFIDQGATEHYAYGKLASRLYNYTKGFRGWGVTLTATPKCGFPDQRLAAALDSGLFNRIHVRLYGEDRGCALTPMQSWEKWAVAYPESRVFVGVVASPEADAAAYMSPRDLRTRVLQFAEKRAGFGGIMIWNRYYDKKTGYSGRL >Et_9B_064063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10909640:10912117:1 gene:Et_9B_064063 transcript:Et_9B_064063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHPNTARALGQRSGDIPALAPRHPNPVGLGDALAAAHRALYPPSRLAASSDPAPRHHLPPALLSTPLRRGLVSVRPLVPALLMAPEQIPSPTRAASPKHAPEIPSPTRAASPKHAPSAPPSGRAASPEYTPYYYIPSTPDEYTPSTPEEEYTPATPSSRAASPDYTPATPSSRAASPDYTPTTPPPLSPKVADAEKSRSSRCSSSSRGRRCMHTKTYLAFFRTRKRAAAGGAAAGGKKRKRSAAPGLKKRVPRERGTHERCFCWWCGTTPASSADRGAPAAADPVPCRAASPKHIPESTRAASPGHSPSTPSGRAACPEYTPSTPRGGAASPDYTPATPEEYTPSTPSSRAASPNYTPSTSPKVADAESRTGRISRRGRRCLHTKTYLAFFSPPSMPML >Et_1A_005794.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1472206:1476543:-1 gene:Et_1A_005794 transcript:Et_1A_005794.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTRRVEIISARSTSTERLLSLGPSPSVVNWSVPRYPLPISRSDPMAALCSASPAISTAAAALVVPARRASSLFRLRLGAAARSYSAAAQRAAAAPGALSWRARRRFAASAASTTEEGSDVDTMIPPDNRIPATIITGFLGSGKTTLLNHILTAQHGKRIAVIENEFGEVDIDGSLVAAQTAGAEDIMMLNNGCLCCTVRGDLVRMIGELVNRKKGKFDHIVIETTGLANPAPIIQTFYAEDVVFNDVKLDGVVTLVDAKHAKLHLDEVKPKGIVNEAVQQIAYADRIIVNKTDLVNETEVSSLVERIRGINRMANLKRAEYDHHAHDHTHDPGVSSVSIVCEGEMDLEKADMFLGNLLLEHSEDIYRMKGLLSVSGMPQRFVFQGVHDIFQGSPERMWEPNEPRINKIVFIGRNLNREELEKGFKDCLLKK >Et_3A_025091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:26848391:26852142:-1 gene:Et_3A_025091 transcript:Et_3A_025091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAAPVAATLGRRALATSPSPSAAASTSAATAAASSSAVNSILLRSLKDHYLEVSKMKPPPKISPPKPYTIVKGALDQPSGPVLRREYGETGEEISISVARLSNFLPPDAVADSDSDGADGMSGSIGQLFLHVDISRPGSGKSLQFLCGLYPDAVGIHSVCLRSKSAESWDGDMASKGGGEYRGRLFQELDEKVRDALHLYIEARGITEKLFPFLQAWLYVKDHRNLIRWFKSVGSFISEPKPDVLTEFGWWMREVDA >Et_1A_005724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13091435:13096777:1 gene:Et_1A_005724 transcript:Et_1A_005724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPAAAPEALPSAQVVGNAFVQQYYLVLHQSPDLVYRFYQDASRLARPDREAASAGGMESVTTMEVSGPALLDLGASSSISCQFRLGRDAERFLGVFVSLLLAPQEINKKIMEMDVAKAEIRTVDSQESLGGGVTVFVTGHLTGRNGVRREFSQSFFLAPQEKGYFVLNDMFRFVADGPPPATVEVHPEAVAAHPPVAAPLANGTAAPAVEPAVPEHVPAPQQEQHVAESAPLQPEEEEEVYNPPVEEVVEEEQPVPEVINEVPNNVAPVKPTTAAPVLQEEAPKKSYASIVKVMKEVPLPAPAPPARPAPPKPEKQSPAPAPVSDAPAFTSNPENNNTQEPEVDAHAIYVRNLPLNATETQLEDEFKKFGTIKQNGIQVRSNKIQGFCYGFVEFEDATAVQSAIEASPVTIGGRQCYIEEKRTPGARGSSRGGGRFAPGRGNNFRTEGTRGRGNYSGGRGYGRGEFGYRSDYGGRGGGRAARGADVGYQRVDHGAGYAGNRGGRTSAAAGAPAKF >Et_2B_020702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:22877223:22880252:-1 gene:Et_2B_020702 transcript:Et_2B_020702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIVAPNWARPTATVLLSEWTGRSAETSGQPPLPTTPAFPDRARAQAPELGREVEGKVGRGSRARAAETAITRRPASDGAMGGCYSAIAVTKLKMLRASRARGAATVLPITNGDEPCCCRSPENNNKNDDEATGAAKKKGRKDRKHVSILGPGAADPDFARRYRLGAELGRGEFGVTRRCEDAATGEALACKTIRRRRLLLRRVGPDAEDVRREVEITRRMSELGGAGGPVVQLREACEDADGVHLVMELCEGGELFDRIFTRGHYSERAAAKLALTIVNVVQLCHENGVMHRDLKPENFLFVNKSEDSPLKAIDFGLSVFFNPGDRFTEVVGSGCYMAPEVLNRNYGPEIDVWSAGVILHILLCGFPPFWGDSDEKIAQAILRGGINLQRDPWHKVSQTAKDLVRKMLDPNPSTRLTAKEVLEHPWLKNADKAPNVSLGEVVRSRLKQFSAMNKFKKKALGVVAMNLPAEEIDKYTQMFQMMDKDKNGNLTLEELKEGLQINGHPVPEAEIKMLLEAGDIDGTGTLDCEEFVTILLHIKKMSNEEYLPKAFKFFDKDGNGFIDMEELMEALGDDELGPNEQVVHDIVRDVDKDKT >Et_1B_012401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:31636975:31643007:1 gene:Et_1B_012401 transcript:Et_1B_012401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANCFAQTNALFRKNLVIQRRACKTNCCLICFPFLICLLLGAGQIAVTAFYLRSVGANGPKMDCGYCAASTNASFIKDTLGGLDCPSICPLPFAPRFPPLLQLPPGNSELGRDDGLLQSTNLQGSSIRRLASSAATLLVTGSNQSFAESVMSNMFPTLDSPNFTADISTLADFALGTNAPRFESLGAEELSSDIYGFGQLYFLQGSCMANSTLSFPVQEGSSNFTKVMNSELYRGYYQENNETNKIASAYDFTSSDFNKFNMIVSYNPIYEGPDHIPILLIPLPSILLRLPRLLNLVSNAYLQLRGNDTKMRFEFVKDMPRAAQPMPVPDISFILGKLPFVWIIMLLFPVILGNLVYEKQQKLRTMMKMHGLGDTSYWTITYCYFLLLSLLYVLFLVLFGSFVGLKLFRLNNYRVQFTVYFAYINMQISFAFLMATFFSNVRTAAGHWTTLMEFFPPFSLYRIIYEFSPPPSVVYRSDFSGIQWKDLSNRENGMIDILIIMVLEWAAFLLLTLYLDEYGSLRKGSCRSCLKGSAQAAQGQTLQLQEFKASVETGSTDVFREREIVEQLLQESDSSYSVICDNLMKVYHGKDGNAAKIAVRRLSLYTQRGRCLGILGPNGAGKTSLIGMLTGFTKPTSGTAYIDGMDICLDMDKIYTGIGVCPQFDLLWDTLTGREHLMFYGRLKNLRGAELAEAVEQSLKSVHLFDGGVADKHVAKYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRKALWNAVKSAKQNRAIMLTTHSMEEAEALCDRIAIIANGSLQCIGNSKELKARYGGTYVLTVTGAEGEEEAVEQLVRSISPAVNRTYRISGTQKFEMPKQGVRISELFQAMEHAKSWLNIHAWGLSDATLEDVFIKVAEESDISAA >Et_9B_064829.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1986108:1988901:1 gene:Et_9B_064829 transcript:Et_9B_064829.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLQAQLGVRCGRVGSATPANNPFPPPLAPSPSLPPRPPDRIGPETPLRAAATAADAAGEPARSPSSSLPSPAPPSPEPGGSALGSGGFHSLSLAVGFLRFVMVVVVKAAPDPLLAMVAPPECLSTLMRSEEMAGLDTFLFTSESVNEGHPDKLCDQVSDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRETCRNIGFVSNDVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYRNEGGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYVARQAAKSIVANGLARRAIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKIVKENFDFRPGMIIINLDLKKGGNGRYLKTAAYGHFGRDDADFTWEVVKPLKWEKPSA >Et_6B_048717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1361886:1365683:1 gene:Et_6B_048717 transcript:Et_6B_048717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVFPPPPAPFLDDDFDFGDFTFASAPAPAAPLADPRPATFAAFDDDWGDFVASPLGSNPDASSAPPTPPTATSTASWEKPRGPLPLSLFGADEQEEEDRREEEGPAAPPTTATAHQRDTSFTSGVSRPADLKDLIAGLYGSQPASAASGADAAVREEAEDDDGFEDDGWEFKAATPEPAGLVGGAQGDGIEKVEDISKSLSSDQEDWSSFTSVNGELNHNSSFETDENHSIRSTSDSILIEFYHRLREESLEVISQHVKDLKEAQRSSTLSDENSKALAIGKEIQEIYGKLEESSLPKGFGADEHPSRDVSITELLNSIKEEHLKDFEREYHLAEKIAQVTKNASVAVELYKHSVSTIQALELASKEEQCIYVSAWYSMLLSCAQEMQHSAALWQESCRTNVCEQVISEGGHWFVALGEIYRVAQILSFSLQYFKPWVLADPGMLTKMLASLESCNNAWSGGLQMALKLVAESTRLDASVAKGLMESIKEVNELEVSNLQNHLSNNDKNCRLTLLPASLIRGMKVIVWNGDHYFVKVANFWANRVSSDPPKVSQTTVSFRNIPAC >Et_9B_065589.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7523061:7527368:-1 gene:Et_9B_065589 transcript:Et_9B_065589.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRSPVRTGRRWTGTPGRSRTPPQPPPASSSPGPAPLPPGAEVEVRVDDDAFHGSWFEASILDFLPARGCRSPARYTVSYSHLAADDGGALVEQFAPSCVRPRPPPPPVGPGPSPPRFLLHDIIEAFLRGGWWSGIVVAAADSVTVAFLASSAPAATSSTAIGCPRGPRLPSGLSPLVYEVRDKVEVLQEREVGGGYSWFPATVVKVVDSLSYIVGYLDQDKGNGGEKETEYLHWRFIRPAVEHSPGESGFQFRSGAAVEAYCDGAWWPGVVRRVGGEGEYGIRLNGKGAKQLVTKEMELLRPQYTWDGNHWRIVTAKRRANLRWQSANEKRPISHVEVAFCDDEQNSYGPESSTTKRSRKEPDMPDAILSNASERASVIEMNTYLSSSCKSPENDNSLNCCSQLSVNNSLQVLSHKIVSACSVAVSRIPFASLGHSTPSCQLVPNVGETSTNHEVVSIVVLPENKEGQTHHLLALHGKSDSSNNLKGIKSQTQQQLERPLEDTLNINKVNNQELLPLVPLDIEPLHNEKGIDIHASLLEEEPPAMINSSIHQENRNVDVPIDSAASQVAKSNRLTEKSTLSIDRLFQLDGGIVDERSILNARSSGCTTDILRSCSVARCSTPLHFTTSQNTDQQVPFTKSSPMWPVIEAWDVFKEFPQRPHFLPLQEFSPALREGMALGLMATFDRLVENLRKASIGDSLASFKENIKILCHLKKNGFNVQSLQCYLIKLFQIKSDHVKRVEEKNKVKVQMLKKKTTMSRINSLFDANDRAIAEQEQALAKLYWKRKEIEKVKENEDMELLRLKAADRSIEDACGDAEQDFRNILDKLRRKTLT >Et_10A_001734.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7560112:7561400:1 gene:Et_10A_001734 transcript:Et_10A_001734.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSVAAPLTLRHGCCRSPATTHAQSFLAFPIHSSRFPPPAPVQASSPPQRLLVAAAASSGERDNRVQELRVPDSWLTPAGAAQESEWLRETLHKWLDDEYCPEPANVDISSTAARSYLESLTAGQSDVGEILMKMIGDLEKLSYRESFHGAFSAANAAVRLITQRMESLPEDGV >Et_6A_045897.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:346159:346452:1 gene:Et_6A_045897 transcript:Et_6A_045897.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHEAVEATIQVDTSQVNAVGSGFSLLLNCFVSGQEEEIQLFRGGICQLPGRFVVAVVLGTLDAFEVQVWC >Et_1B_009753.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:24036303:24036584:-1 gene:Et_1B_009753 transcript:Et_1B_009753.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVVQSEGSIQSASKPAVHSCRRNISDRTSFVSDLRDHVHEFIHASMDEHRTCLTTTIKKMFGMSKAVAERSAEAQQAGAESVLPLQTSVSR >Et_1B_011435.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22079560:22083101:-1 gene:Et_1B_011435 transcript:Et_1B_011435.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSLEKLLTDNGITSAPPVRRNTPVTPTPMAESSGDARMVAVAGVPGAACRINELPSDVLLRALSHLNALQVVQTSVLSQRWRDLWRSVPRIKATFLEFEGMADTEEKRDVLFKVFVNRLLMLRNPVALDKFRLEYHIANDSADAYADSEDANLWIRHALQCNARSVKVYGGMDDLHLQSAVFASNCLLTRLLLSGVFLGRGLFRGLQGCTVLEHLTLRSCTIYDPEISSQTLKVLTIDGFCACTYEGHASISIPSLICLEYSAQGRVPLLNNMESLETATLVPLDIHQFLRSLSGVTDLEFYYDGTALAVNPISYLNHMKLVQLMLEQNLQWCPNFNNLMTLTLGGWFLHAHFNALIVFLQNSPNLVALTLELKQQGYQNTEENFLGELEGRSFNAKHLQTVCLDGSKNDPMVNILKKFFLDNGMTSKQIDIIN >Et_2B_019558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:10122964:10131456:1 gene:Et_2B_019558 transcript:Et_2B_019558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSFRPRPLDIHKKLPILKSVREFEDDDPGYAPASAARVGVLLRHSGAELTASAANNTADGEGNQAPNKKNIQEIPTPQFDAVDTYERDYTRTFAQPTCYIRGRGARAEIGEFVEYDLDNEDEDWLEDFNNERKNLNPEKLEVLLFKLEILDHKARERAGAITPTFIGPVPVLLQLDAAMEALQYLSVRYAVFQAVYNYWKAKRDRWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNIQSFEKLRLVRRNLEQAKALMEALIKREETKREAMECQVNLQRVQMKYKHEAQLVDDGATFSGFQQTSSRFASSDDDYADSDDTTEQTYMRPAVLHRFSDTKQSVPPLRIKRERELKRRPQQNGWVFKRDPEEPVFLFARPLDPEKLVAAGIKPPPDPPVENGATGPPFRCRGRIGRGGRIIFDRWNPLLRTPMGQETSYYVPYGHRPPSPEGQIGPMDHTICNLRIASSMDLMGRPFGLLDKQKPQWTLDFWAIFG >Et_2A_014812.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:16543142:16543249:-1 gene:Et_2A_014812 transcript:Et_2A_014812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNPAWLRSDTTYVRVKANSGRPVSTIRSAVELR >Et_2A_018006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:8936306:8938885:-1 gene:Et_2A_018006 transcript:Et_2A_018006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENECALEECKEKEEHRIIVLLKSESYSTSASVCPKSDSTTAGVEQHLECALIHIAEGTWSVVRSRHREGEAAIQHDPVGDVEVDRGTTAEYEGNLRHDDVSLTCEVVAGVEPTVRKQRVPRHGASERRSQRLVRRGLEPRDDGAGVHDHAATERLRLDVQPLPADGDAGERHRVKRRVRWDAAHRSELERRRVVPPGAEGEEPGPAVHSREAVREGAAVLRGERLRQAAESEEAVVLARHRALVVAAAEMEALDRDPLCQRQRLLAVHASRAGAVSVLDAEPALVAVDAAAALVIGGELLALAGAAGDGSVRRGAGRIEQGILLRGALAGVVRRALDP >Et_3A_025780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32877429:32882816:-1 gene:Et_3A_025780 transcript:Et_3A_025780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCILGKLSTAPGSSLFFPAAGAATNAAGGGGGQEAVQLNAPQPEQIASVKKDASGWPLWLSDAAGDALRGWAPRGADAFQKLEKIGSGTYSNVYKAIEVDSGRVVALKKVRVDGVGEAESARFMAREITLLRRLGEHPNVVRLDGLVTSRLNTAPSLYLVFEYMDHDLTGLTAAASASGNRLTLPQVKCYMKQLLSGIEHCHNKGVLHRDIKSSNLLVSNDGILKIADFGLATSYDPDNTRPMTSQVITLWYRPPELLLGATHYGVGVDLWSVGCILAELLLGEPIFPARTEVEQLHKIFKLCGTPSDDYWEKMKFPQPTFKPYERCIAEKFEDVPPSILSLLETLLSIDPDMRGTATDALNSEFFRTEPFACEPSSLPRYPPCKERDVKLKYEKHKRKSRVNGSVERHTTRKHTSQNPGRRVYTPDVNNKPQPNPKVPRLVTSTSTTKLERFPPPHLDASIGYSLDSSADRNTEEFFTSSVAELKKMPSKIYDHMKTYLNSPKKGLHKAKPGLNMAPSTVLIGAFRPYSLGHPMEVRRKNREQFRGKGRNAVGAGLERGWENETLLPPQKEASELRRLNKLYHAAATIAKPLNRSHLVTCLGLDTCMIQVTRRQSIMNGGTTSTILFFVVSSTETGSSLVVGSWDVSAEIVQFRHESNTKTKSSELQLKGDCSCRSQGRRVSEEPVGTRDSDGPFAITSFRFGHQRVNGRRLLAVVPEAGRARHRGLRRCGVLLLVGLRRDLAAPPLPGQRLLILT >Et_9A_062610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24402892:24408388:-1 gene:Et_9A_062610 transcript:Et_9A_062610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFQKFQPRDKSKTPAVPASHGKDPGKPPMDDAPSSATKQRVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLQDAEVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRICREKTSKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAYIVKLYYSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETVLAIESIHKHSYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSNFPNLNDPAYSSGKGAKPLPDNTSRLGNPVAPRRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAQEIKAHPWFRGVQWEKLYQMKAAFIPEVNGELDTQNFEKFEETGPQIQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIAELKKKSSKPKRPTIKTLFESMDEEEPVQGSFINMLPQKEGQPSSHSSIPPEQYQPRRK >Et_2B_022381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19617589:19620715:1 gene:Et_2B_022381 transcript:Et_2B_022381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGDGNDTSTPGMASQQEDAAPGDIVYLHGVLELTVFEAEHLHNAVHGRIMEATEKMEQSMGVHCLRHSKLYVDIDVGAARVARTREVEFQPTAPAWNQSFRLHCAYPAAAVTFTVKNQHLIGAGVLGVASVPAARVASGQPLECWLDLRGAAHAAHETHAPSLRVRLLFLDVERDPCWDAGVGFPGFAGVEPAFFPERTNCNVTLYQNAHLTDAFDPRVRLAGGVAYRPARLWEDLYAAIGDARRFVYVAGWSVSTGITLVRDAGRNNKVPGAEAGVTLGELLKRKADEGVAVLVMPWQDQTSISFLGNEGLMKTHDEETRRYFEGTNVRCFLCPRDADPALTVVQHVEVTAQFTHHQKTVTLDAARPGDDDDRRHVVSFIGGIDLCNGRFLNLLQQSRSVSAELTRCWARYDDENHTLFRDLDTTYRHDFMQNNFKHASLRHGGPREPWHDVHCRIEGPAAWDVLTNFEQRWWKQAPGHMRRCLLDLTPAAFPDPAKYDDDADPWNVQVFRSIDDASVIGFPYDPAEAAEAGLTSGKDVTVDQSIQVGYVEAIRRARRFIYIENQYFLGGCASWSEDRDAGCLNLVPVEIALKVAAKIRRGERFAAYVVTPMWPEGEPGGEAVQAILRWNRLTVEMMYRVVAKAIDDAGMRGQAHPCDYLNFFCLGNREAPRPGEYKPPKAPEEGTDYWRAQVNRRGPIYVHAKLMIVDDEYVMVGSANLNERSLAGNRDTEIAQGSYQPAHLNGPSGRARGQVHGFRMSLWHEHFMGRQDDGADDASVFLEPESVECVRAVRRAADRLWDAYTRDRVEEDLPGHLLPFPITVSEFGEVGDLPADGCFPDTKAPVRGRKSVKLPAILTT >Et_5B_044934.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7433058:7436207:-1 gene:Et_5B_044934 transcript:Et_5B_044934.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGAVRGPVLLLLLTALVSFVPFAAAQPWELCDSTSGNYSKGSSYEDNVFQLIYNLQNNASNSPSLFAAGSVGTGANAVYGVLICGGDISASYCFDCGTFARNDVQRMCNRTRDAVLVYNQCYVRVSNSDFLASANNSGELGLISGANVSAGVDVAAYDRAVTALLNATARYAVEASPKMFATGQLVDLDPKHPNIWSMAQCAADLSPAQCRRCLNDLLSKWFSIFDPNGTGARIAGSRCTLRSELGGEKFYTGNPMVKLQRNGQPALPPAQAPSTDVLPGTVKVEDFESIKSTLLSLSSLQVATNNFDESNKLGEGGFGAVYKGDLSGLEVAVKRLSKNSNQGLEELRNELVLVAKLHHKNLVRLEGFCLEEGERLLVYEYMPNKSLDTILFDPEEKRRLDWRKRFNIIEGVARGLQYLHEDSQKKIVHRDMKASNVLLDADMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMSPEYVMRGQYSTKSDVFSFGILVIEIITGQRNNGQYIYDQNEDIISIVWRHWSEGTIAEIIDDSLGRNYSETEVLKCINIGLMCLQQNPVDRPTMSEITLMLDGDATSSLPPVGRPTFFWDGSLSSGYSGGTVSVSHLSAR >Et_4A_033075.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:18012836:18015277:1 gene:Et_4A_033075 transcript:Et_4A_033075.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVATVASPSPASRRLLSRASTSSSSAAPSLLLRLPRRSARRLRLVLAGAAGDEADVLPGPGGEAEVAVAGRLEDQGDAPLGGSQLDIGGLAFQGDMGGGFAGGGAAGGAGSSGGGDGNKMLDRGINTAIVLGASTYALTKLLTVDQDYWHGWTIFEILRYMPEHNWSAYEEALKANPVLAKMMISGVVYSLGDWIAQCYEGKPIFEFDRARMFRSGLVGFTLHGSLSHYYYHFCEALFPFKDWWVVPAKVAFDQTIWSAIWNSIYFVVLGFLRLESPTTIFSELKSTFFPMLTAGWKLWPFAHLITYGVVPVEQRLLWVDCVELIWVTILSTYSNEKSEARNSDGTSALADSKVLCQVQIWVDSTLPSLFCHFNTTLLISLQDNSR >Et_6A_047235.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:27035123:27037063:-1 gene:Et_6A_047235 transcript:Et_6A_047235.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDQPSWRGGAMEEEDNPTTSSLVDLYCHEPPLVSTTPPAAAAAAAAAASSVAVDVAGVVDDQQLQDQEGLHELIEEYMARQRCYAPSRDYLDLLMLSASIPDGVSTARSRGVHYIIYAFGRLGLTATTAFNAVNYLDRFLSINCHLRWETWMVELVSVACLSIASKLDEVNVPSLHHLQMEEVMSHSFRPATIRDMELTLLKALQWRLACVTPFSFLQLLLPPCGTPAVAASRCTCLLIHSLSECPLLRFDPSVIASSALRCVALKMQNHHQADPTCHIHRLIRPEECPLDQYTDECFNMMKARYASLDWSRHQMFSDQHGSPISVIPPETDGTVNRSAVSRRLFGRSIPQGGTEDEDTIPPDTQGMK >Et_10B_002428.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19602060:19602332:1 gene:Et_10B_002428 transcript:Et_10B_002428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITISNETIYGIVRRVKRRSSPFLTETQVELRLGTVVGLGVKHPEVGASRWACAELATLLFRLSSSASPSLKPWRTESHPRICPADEL >Et_8A_056780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16631645:16633096:-1 gene:Et_8A_056780 transcript:Et_8A_056780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIWGRAWRSMASSTAHEVGDDGGLLQPTAGGERGSTTSGTNYSTTTTHTTISERSLASHPFTVLPTAPAVEENADELGEEERVKKLEHIKSLVVLFRQDNNSAPERWLSELGVIWVLHLAHVNESGRSFISGQLKYLAGSWIEALFEITKYISVYFGGHLGKEKATDDVGNDFLRFVQATLFKMLPFVDAIVAVRISGRKGAGEPADEKLQALILVREALSMAPAQILPSFSSSPSCQDYLSADLLAKLDKAIWDTMEETMFSSYSRGTLSVINCINVLWANYGSVNRILHDAFLRGEFLPENENVSHLINLTIQTAHSLEEMVTRNSQSFPNQSLKLLFLINNFYFILQHLHTHCPLVFPIREHLGKIDDYIHSYIQVSWAPVSECLRNLTPRCFMRRSLLPRFESKFQQTYMGQRLWKVPAPEMRKRLRNAIVEEVIPIFTQFLKDNSNSTPRVAPQEMTKLLNGLFEG >Et_6A_046699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:19629011:19632204:1 gene:Et_6A_046699 transcript:Et_6A_046699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSAAPAQRRPTVALLLGLALAFCLAVLSIQSSFFAAPGAPGRKLDLDADDVRELAGFQSRVQQCVANRGLGLTADIIDHCKLVLKFPEGTNSTWYNAQFKIFEPLEYKYDVCETILLWEQYRNMTTVLTREYLDVRPDGWLDYAAKRIAQLGADKCYNRTLCEELLSILLPAKPPFHPRQFATCAVVGNSGDLLKTEFGQEIDAHDAVFRDNEAPVNEKYAKHVGLKRDFRLVVRGAARNMAPILKGSSDEALIIKSLTHKEINAVIKELPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIVDIYGFTVDPGYTEWTRYFSSPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAQRVEDWSDIPSKEDIRRAHAAAFRLKRHETGKLDDLSPFSDCKVWGMVDPDYGPVSGTSDMSKTRKNSNYSKWERLPFERLREEAQEHHIQMGGVSLYKMDGNKLDDLVCVRHDRSSS >Et_5B_043698.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:14183982:14191317:1 gene:Et_5B_043698 transcript:Et_5B_043698.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LIQCRPRKISKLGSSSPRFPLPPPPPAPSPPKRTLNPTRTLAAGDPRHHVRDTYILPPLRDPILHARLRDPLLHPRIRHALLHTRIRRAVVHPDIRHPVVGARVWDAVHSLAVRNPVVGARVRHPVLDPGVRGLVVGARLRDPVLDRAPDIRDPFLDSGFRLAATPSPSPFGLGGGQITTQMAPVAPLPMAPSDRDIQAIMEAYKEDPGNPRYAFRHLLFSVTEPSQRVKPVAASDIMWAEAMGKLECMDSNDRERLWPQLVQGFKDLSSRLKLQDEVLASDTGRLSMTHSNVKKLQRHFQADTHPWIQRLKQQELVIQRRLLRFVRIVEALENRGYRIPLTKEEADLYERLVAILKRLKGPNADLSKRVNTLVSTSRLLASTGGAGGQVYIPNSAKVDERSVTELLEVLQQQTEAVAKLGNVLKRDIRDLEIMQSEDTDMAEDNVGRRTLRISSAPNECSLTQSQCMHLPGTLHENPCAFPAAARARYVFQSSSTFLRSKSLLCSPTQQLACMVATLPRSLPCWQKPPKTAVSRNCTRAPTCGGTPSLTIRSNTYCSCFPGNRARDACQCS >Et_2B_021854.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6047659:6054108:-1 gene:Et_2B_021854 transcript:Et_2B_021854.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGLGSKRRVAEGDEEPEEEEEEEYEVDVVRDKIASSRGSRLALFGSDLRLGRFRPGRRRRRRTLAAGTDAEGFFHGLVIHPDNKWYRLWTRFILCWAVYSSFFTPLEFGFFRGLPRKLFFLDIAGQMAFLIDIVVKFFVAYRDPDTYRMIYDPNAIALRYCKSSFIFDLLGCFPWDAIYKACGSKEEVRYLLWIRLTRALKVTDFFWQLEKDIRVNYLFTRIVKLIVVELYCTHTAACIFYYLATTLPESMEGYTWIGSLQLGDYSYKNFRDIDLANRYITSLYFAIVTMATVGYGDIHAVNVREMIFVMIYVSFDMILGAYLIGNMTALIVKGSRTERFRDKMKEVIRYMNRNKLGKEIREQIKGHLRLQYESSYTEASVLQDIPISIRAKISQTLYKPYIESIPLFKGCSAEFIQQIVIRLQEEFFLPGEVILEQGSAVDQLYFVCHGVLEGVGIGEDGQEETLLMLEPGRSFGEIAILCNIPQPYTVRVCELCRLLRLDKQSFTNILEIYFVDGRRILSNLTENTEYGGRVKQLESDITFHIGKQEAELTLRVNSAAFYGDLQQLKSLIRAGADPRNTDYDGRTPLHLAASRGYEDVVQFLINEGVDINLTDQFGNTPLLEAVKRGHERVASLLYSKGAQLSLTNAGSHLCSAVAKGDSDFIRRALAYGADPNCRDYDHRTPLHIAAAEGLYLIAKILVDAGASVFATDRWGTTPLDEGRKCGGRTLAALLDQAKADELARFPERGEEMHPRRCSVFPYHPWQLAAGGGGEARRKEGVVLWIPHTIESLVASAQEKLGVPGPASKLRLLCEDGARVLDVDMVNDGQKLYLVGGEDDDDDDEKDGE >Et_4A_035397.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2349811:2359890:1 gene:Et_4A_035397 transcript:Et_4A_035397.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGKKFGGGRVPTGTPSLAWSSVVIVVSLLAGASIVHNIYKPDLVSPPPPFHPSRVRSMAEAAKVRRAELYPEYDWKPVVRSNCSLGLLSPPPPPPPIGPHFHARCICCRKRWKLPVRTCRACCLTCDSGDDDVDVPENIGDGGADHTRGLGSSLDGDPGPGVAIRFSRSSGPRARCSDGDVSLPASTFPGSSRISETDGDSSPSIAARPDSEADGDP >Et_3B_030003.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29808337:29810152:1 gene:Et_3B_030003 transcript:Et_3B_030003.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVLSNRVDQQQVKAGDHIYSWRTAYIYAHHGIYVGDGMVIHFTRAAGHEIGTGTFLDSFLFSSSSPASTTDGPPCQKCGHLTRREGVIMSCLDCFLDGGSLYLFDYAVSPAFFLAKARGGTCTLAASDPCDAVIHRARYLLDNGFGMYCLFKNNCEDFAIYCKTGLLVETAFSVGRSGQLASLTAAFSAVASSPLRFLTTSADIGVRRDVVKVPVERLAEHIVVASVAPPQGQATETDVAAAVQGGATKESRVDRMELSYP >Et_4A_031917.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29491175:29491519:-1 gene:Et_4A_031917 transcript:Et_4A_031917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGWTRSTEPRWRCSCRIRKGSWFPASSRCSRSRGSAWTPSAGQAASSAALSPSRRASLLPPATTWPRAPWWPWRTRSASRLSSSTGGHAALGGRREGRSGARRKTMDYFFIF >Et_9A_061285.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:6470868:6470933:-1 gene:Et_9A_061285 transcript:Et_9A_061285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKKDLLLLRGLNSLLFYQR >Et_5B_045679.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:762778:763508:-1 gene:Et_5B_045679 transcript:Et_5B_045679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADAAELIRFSGGGGGGGGYTVVGFKVVPTVQRGPRRGGVQGRGDRCTTRWSARACGRTSRWCGVHLRAQVVVAVYLDMDSGAKLHWFSILNSIVVVAFLAAIIVLLRTYEELGGGGVESGEAAADKLAAAAGWNLVAGDVFREPIHPVFLCVMVGDGVRFQGMGVVTILFAMSRHAPRAATAVVTVRPYVAVVHALHRVPRPRPRQCAGAGGRCHCSYLTTKKPSHSVRGDLQRTR >Et_5B_043506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11255138:11256607:-1 gene:Et_5B_043506 transcript:Et_5B_043506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VIAPILYWVSTTFSRPAIALICFGAIALFPSVLLPSSPFMWIAGMTFGYGYGFLVITSAMSIGMSLPYFIGSVFYCRIHRWLEKWPKKAAFVRLAGEGDWFHQFRAVALLRISPFPYIVFNYASVATNVKYCPYIAGSMAGTIHETFLAIYSGKLLQSLAVATSKGSFLSMDQIIYNGIGFTVAAVSTAAITIYAKKALQKLQAEDELC >Et_3A_026047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:3824766:3825727:1 gene:Et_3A_026047 transcript:Et_3A_026047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYALETHGSRLSLTCAPCSGCSIGAANGACLYDGESSSSNLRRIMASPILASSIAKFWPMQVRGPHPKGKNAGPAPSREDALAAPSANLSGLNSPASGPHTSLSWCTHSICSASVTPAGYMTPPSSIGLYVRRCTAGSGEYSRSTSCSTMVTCKGGSGDPVTAADGTVTRCDAYGFESAQAVVVRRPVGTEDAEHLLPGAALPVRVHAERHQRPREQDGRGLHPGEVEHLALPDHVVGGHAGASAAAVLLHVRLQHQAKKIVAPPLQLAASSHGALPFRDHAFKDPLNLCSDLPHPPVDSGR >Et_8B_059228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15658566:15661714:1 gene:Et_8B_059228 transcript:Et_8B_059228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPSPDAAPGASSSSGAAPAAAASVEALAAGVATLSLEERFAILRGIGEECIQEDELMRLLQNKPVPICYDGFEPSGRMHIAQGIVKTINVNKMIKAGCKVKIWIADWFAQLNNKMGGDLKKIQTVGRYMIEIWKAAGMNLDGVEFLWSSEEINKRANEYWPLVMDIARKNNVKRITRCCTIMGRSDQEELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNMLAREYCDDIKRKNKPIILSHHMLPGFKEGQEKMSKSDPTSAIFMEDDEAQVNVKIKQAFCPPKIVEGNPCLEYIKYIVFPWFGKFEVARKESNGGNKTFANMDELVADYESGALHPADVKPALAKSINEILQPVRDHFNNNNEAKVLLNTVKVLPPPPKL >Et_10B_002917.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:13916631:13918601:-1 gene:Et_10B_002917 transcript:Et_10B_002917.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGHNNQRPFVQPDGSIDILGMLGLTQADLLNSPGTNGLLDFPGPSDAASMQNVVADSCGDDFFDWTGMPGGCNSSVVVSSAPASIVAPSSSSHHHQDAGTSTSSSAHPITLDCSGCQILRQVVHSNGLDTAKLCIHGVNGLFYHATVDEYPSNSESMVPAPTHKFYIDFMGRDYAWVKQYLVDYAQLRASRGYVVVHDSVSDFHDVLCTSMTVGGHADGSVKIVAAAPAPAEAGNGVNDQEILAGAGDDDVQPMLEQDDKFAASGWTYGPSEGNDAVDGLDQERQEVRPAIRSRLSIQRKRISKLELRDISRYFHITLKAACKELDISETALKNVCRNLHIKRWPYRNINRKSNH >Et_3B_030853.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:869057:872308:-1 gene:Et_3B_030853 transcript:Et_3B_030853.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DIQSTTEMKSSAQGNNEVQSQQPNQMSSDAPAGDSGSLSVASNDNKKVSREDIELVQNLIERCLQLYMNKGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKRQIILFNHLLQHQYNLMKYPAPPNAPMAPMQNGIHPLPVTNFPMGYPVLQQPIMPAPGQPHIDPMTCGLSSGHVANGIPASGGYHPIRMNSGNDMIVDNGAPEAPTAGATCSAMSSEMAVSPSSAASSNHAPFTPSEIPGMAMDASALDSAFGSDVGNDGSLQLRPDGSSRDSIRSLGHLWNFSLSDLTADLTSLGDLEALENYNGTPFLPSDSDILLDSPDHDDIVEYFADAINGSQSDEEKS >Et_9B_065912.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:17330329:17331003:1 gene:Et_9B_065912 transcript:Et_9B_065912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVHPNVAVPSLGQPAASPADEEPVTLTVWRKSLLFNCRGFTVFDARGNLVYRVDSYASDSRAEVVLMDAAGRPVLTVRRRRLIGLGADQWLVHPGEETRAPPLYAVKRRAPAQYMRAGSGGHGGGAKSMAHVVPCSGAGAAATKAAGAGGYEVEGSYLRRSCTVYDERRRAVAEVRPKEAVGSDVFRLVVQPGMEVSLAMAVVLALDQMFAKPSLLRSWSS >Et_9A_061247.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:24253417:24253665:-1 gene:Et_9A_061247 transcript:Et_9A_061247.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTGRELLCAVLLLSAVLVQRSCSARPLQLQHQAGAEEAIGLLHPAVLHEDAGVPAFGDGSVAPYEDKRTSPGGPDPQHH >Et_4B_039724.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27917528:27921520:1 gene:Et_4B_039724 transcript:Et_4B_039724.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLGRRNNTRLPPEVNRVLYVRNLPFNISSEEMYDIFGKYGAIRQIRLGNARDTRGTAYVVYEDIYDAKNAVDHLSGFNVANRYLIVLYYQPTKMSKKSDIKKKEDEINNLREKYGVGTPGPEEIEGREGSSYSANAAAETEPVAGGGGGGRWRHEAVASEMVEYPWRCLCLALALQWIKTMPRRFTTLQKEHSRFTDARTFIFLPRWLALLALPRGFLFVESGSLVRVC >Et_1A_006876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28567184:28569248:1 gene:Et_1A_006876 transcript:Et_1A_006876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVTLDLSKKEQELRAKEAKLNKLETVELKQEEGGGEVMDPTGVGTTENLIVKDEMNEDGGYFDALKRVSLKLLSKEHNLAMKRTAADLVAQVAWDHGVPLLKELVPYLISLSEEGACESKSVLALCLVMPLG >Et_4B_038988.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:6731436:6732268:1 gene:Et_4B_038988 transcript:Et_4B_038988.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQRNKYFLFDDCGAHAAVGKSCMLLRFMGKKFRPELDPTISIDADQRIVSIHDKPTKFQIWDMDGDESRRSFTRALYRGAAAAILVYDITSRETFDHIGRWLKEAEELAPPNLTIILVGNKCDLSHERAVSYEEGQEFAEKHGLIFMESSAKNNQNVEEVTCTVRSSLETKRPHHVVMQAFFTAATTVSKKSEDGVLHPSAE >Et_2A_014725.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:10012728:10013258:1 gene:Et_2A_014725 transcript:Et_2A_014725.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LAPLICDEPTATGSSSSSTGSEQVAGWPAPPSSATIRAWITAVAASRYRVAFRCSSHVRPMIWQSSRACCFAFADVDVDVAFFLKPSCNARDKKNKYSNFRNKLAAIVYLQRFRWRKRTQEEGPWLPLILAQHSWVGARDMMSPAYHISRNPQSSCDACREHCHKKAKHILSLTRA >Et_9A_062181.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20459906:20467020:1 gene:Et_9A_062181 transcript:Et_9A_062181.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLVRAGRAPLRRLLYSSSPKTLRPRVPCATSSSGGRDQPPLLLPLYARPSPYRASESGPRRGMSVYARAVDVEGEASSSSAAAGYDLSAPYLSVRIRCRKQDTEVLSEALLCFGACSVTVDDIADAGNLDDIYITSIYADGEDVDSSLSNVASAAGLGYTPVFETSVGKQGDWVATVQETYESTEVADGLWVVPKWRTPPDPQATNIIINPGLAFGTGEHPTTKLCLLLLREVIKGGEHVLDYGTGTGVLGIAALKMGASLSTGIDIDPQAVTSASENLLLNGLCSNQMPVYLVSTDMEPSCFPSAIGKSEGQGNKPSNNFDLMSSRGTYDIVAANILLNPLLELIEDIVGYAKPGGIVAVSGILEEQVPKVEEAYSRYLDSILVSAMDGWACLKGTRRESHWEMEMKLVLAPTLMRALHWGDSGYQPSAMSFSQCLEEGNLQPHQLIYCLKNRCGKPKRASNSAAKRPQLCSCNAVTNLAWVVALLRDQERLDERGGGQSDVPLQVPVCRADMVKQRRRLGQGAPRQEQGRRRERGGPRPGTRVNTESRDRLDEISLIGMKERGGDTHWWRWKAWQRIFTALGSWTRSQEERNTEVSDRNRGACADESEEDEKAGRD >Et_3A_024874.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2526324:2529525:-1 gene:Et_3A_024874 transcript:Et_3A_024874.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSSVVVMDNGGGLLKAGFGGDKDPIAVVPNCMAKAPGGNSKKWLVADQLQAEDVDVTGMTLKRPIDRGYLINTEVQREVWERVLRSILQVDPTNSSLLLVEPLFNPPALQHATDELVFEEFGFKSLCVADAPSLVHLYEASRQPTLFRAQCSLVVDCGFSFTHASPVLQNFTLNYGVRRMDLGGKVLTNYLKELISYRSLNVMDETLLIDDAKEKLCFVSLDIPRDLRLARQSFKDNPFRCSYILPDGITHKKGFVKDIDEARRYCSLPVDGESERKDHDMDANKSEDRKKPELSQNEFVLTNERFLVPEMLFHPIDLGMNQAGLAECLVRAVQSCHPYIQPVLFERERELRPLVPDDYQVKIIRQENPILGVWRGGSILASSPDFESMCVTKSEYEEMGSTRCRRRFFH >Et_7A_050386.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:11947133:11948035:1 gene:Et_7A_050386 transcript:Et_7A_050386.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAADLLSVLPDTVLVRVLCFLPAGDAARTSALSRRWRGLWLQADAVNLDVRSYWRHDDHDGGVAGRLLFRDAVAAVTAAGGRRCPVRKLSVDVVSSNHMDYCEAVMASSPRMDAVLAAPATRELEELRLVLRAEFDCECDYELPMSPRRLPCAASLRVLELAGCGLGWSSPGAGAVVFGRLDTLSLTLCHLSPENLQAVLDAAPNLAGLRLEAFSFTAADAEELGGRGDWYEVMRRRRLLLRCPKAMSSVTLLHCHATVGRPVPPLQGVPRALPVHRLGVGLGNAGEPGARRARLLRG >Et_8A_056145.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:4649117:4650360:1 gene:Et_8A_056145 transcript:Et_8A_056145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHNFHGEVASLRFLSPPNASFAAHHHMNMALPPQAYFPPPCFEPTSPFQEDAAAAALLIGGDMANNSFELDTVVREAAHFAGGNGSPSSGSGSDGGGYPLSGAAAASAEEERRRRRMVSNRESARRSRMRKQRQLSELWAQISHLRGANRVLLDKLNRELRSCADARRESARLKDEKAELAKKLEQLLQAAEKSGAGAGAGASSSNHSCSSEEPCNNTSSDTSDE >Et_10A_002032.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:19720066:19720584:-1 gene:Et_10A_002032 transcript:Et_10A_002032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLQILSLVLLLAGVFSPAANAKETHIKVFWHDVVSGPNPTSVRVAEAAITNSSKTLFGLEVVIDDPLTEGPDLNASKPVGRAQGSYVSAGKDTPLVIMNMVFVFQGGKYNGSTVAIFGRNEVLNAVREMPIVGGTGVFRLARGYVEARTHTLNAKTGDATVQYDLFITH >Et_10B_004448.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:7654277:7656395:-1 gene:Et_10B_004448 transcript:Et_10B_004448.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSYLDSSDGVLRIIVLFDDNSAKLFSRLDGGECAPERRVMLSVVTRGMSRYQPNSLFNHHLYIATKGPGFVFLMAQPAVLVLFFSINLETVKVALTTSDMGHIKLNTYLPPKHRSELHLNAASTPISVNYSGSDGPAYVATAYEQQDI >Et_1A_004568.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:18478965:18479516:1 gene:Et_1A_004568 transcript:Et_1A_004568.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIDLSNNSICDEIPSEITNLQGLRFLNLSKNQISGTIPQDIGNLKLLESLDLSWNDLSGPIPLGISGLVSLSSLNLSNNMLSGEIATGNQLQTLADPSIYSNNYGLCGFPLSMACSNGSSIQTMDGKKEFEAVYVFYWTIAGYIFGLWLWFGSQFFFVPWRTIVFSHVDLIQRKFMKRHVY >Et_3B_029667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2712843:2719923:1 gene:Et_3B_029667 transcript:Et_3B_029667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAAVEEEVIIVGAGQSGLAAAACLSLRGVRSLVLERDDCVGSLWRKRSYERVRLHLAKQYCALPHAPHPDDAPTYLPRDDFIRYLDAYASRFGVRTRLRREVRSARYDAGRRRWEVEAVDLGSGETERYAARFLVVAAGENDEKFVPEVPGLDSFPGKVMHAAEYKSPEGMRGKSVLVVGCGNSGMEIAYDLADAGAVTSILVRGEVSTFDSLFVTCVCVQLHLVSKEIWNVAMAVHTYLPWWLIDKLVLLMCAVVFGDTSKHGLRRPAVGPFTMKCTTPVYPVVDVGTYAKIKSGEVRVLNAAVKSVRGNVVEFADGRQHPFDAIVFATGYRSTVRRWLKSDDGLIGDDGMAARSYPEHWKGENGLYCAGMNMAAAEDAAAPEAIIVGARGRGVRGLVLERDDCVGSLWRKRAYDRLTLHLPKSASALPHAPHPDDAPAYLPRDHFIRYLDAYASRFGVRPRLRREVRSARYVDDAGGAAGRWEVEAVDLGTGDAERYAARFLVVATGTYDEKVVPKLPGLESFPGKVMHSSEYKSAKGMEGKSVLVVGCGNSGMEIALDLAEAGAVTSIVVRGEVTINLSGLSTVNDSQLHILTKGILNLGVRLGMHLPVWLLDKLALFLCYLVFGDTSKHGLRRPAMGPFARTQQTSVLPVIDVGTYNKIKSGEIQVLPAIKSVHGNAVEFVNGRQYPFDAIVFATGYRSAMERWLQIRFRAAGRIIKSIRASSLSRGRKTSQVDDAELIGDDGNVRQRRPKAKNGLYFAGMSGRGIYGSGADAEFIADDISKLLRPQAEHDH >Et_10A_002325.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:937755:938514:-1 gene:Et_10A_002325 transcript:Et_10A_002325.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKLKKLDDARRRAAANFGDDVLYEILLRLPAKGLCRLRLVCRRWRSLTSDPLFAKSHQSRHRHLVAVLHRNEVSGPKHEEERRRDH >Et_3A_023445.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27904220:27905128:1 gene:Et_3A_023445 transcript:Et_3A_023445.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGTRKFRGASSSGAAGSGIGSPSFRDGSGGIGVARTSGDVNTGILDEHVLTLVLRSINFDPKAVCTVARVSRRLRAVAERVLWRELCISRAGVAAAGPGAPPGRIVGGWPALAKLLFFCCGAASASASVPGHFTTVSRFSKTSGRSFLSRRCRGDVLYVSDPCEHAVPGADDDVGAYRGVFRGFMRSRTRACLVGRQAPLDTRVRCPYCGARVWSMVAAGMVPRTAWRRLGAYEGRLQYYVCVSGHLHGNCWLARLTDSEGEQEGAESDSGDDHDHDDGHASTGGGRVAM >Et_2B_020991.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25577161:25579854:1 gene:Et_2B_020991 transcript:Et_2B_020991.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQGDRSNPTALHHTGTHRITPGQAIPLAELSPNPKQHGAKPIPTATMRGIGAAARRGAHLPSSYAAAFSSFSGIGGGGGGAGRGRGSGAPPFGQPRAPGRPIPDDEAADPFSAPASVGRGRGRGEPVAPSSSTIPSFSAFSGVGRGRGSPLPPPPAPEDAPKQPTFTQSDDASPASDPERPSPDAFSSAQPRSIPSAGAGRGVPRLQQPPADKAPEENRFIRRREAQKAAASSSAPSTQPKLSAADAVKRALELLGGGEGAGEGGRGGGGRGGRGGRGFRGRAGRGRGRSDRGRDEVDDRHMVYLGDNADGEKLEKRLGADKMKIVEKAFEEAADNVLPHPMEGAYMDACHTNNMIEFEPEYHVNFDNPDVDEKPPMSLEEMLQKIKPFIVAYEGIQNQEEWEEAVKDVMARAPHMKELIDMYSGPDVVTAKQQEEELQRVASTLPENIPSSVNWFTDKILLSLKNNPGWGFDKKCQFMDKFAREVSEIYK >Et_4A_034754.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6126521:6134570:1 gene:Et_4A_034754 transcript:Et_4A_034754.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLRSMEAAPRGGAVTHRVEVPATDTLANVRALLAANLSGPQPVPAESVRFSLNRSEELVSPDPAATLPDLGLASGDLVYFTLSPLWAPTPPAQAPAPAPNPSRGSPSIAKAADRGKSTEQSGARGSSSQAHAMDANPSAPMASDPVDVVMVEAMDPAKTWSSFVLRDLKKEMENVGGAGGTVVGRLVAALHAALLDVGFRAANPMGCHLSLPQDWPSGASAPLIIKYTVPEFAAMVPVAEEGKVATLNFSLMGNFITVYGYVPGAQSEMPRLCLELPDLEPLLYLDSDQLCQMRERMILKLWKDLKNKMCLPLMISLCQLNNLRLPPCLMALPADLKTKILEFVPGEDLAKVECTCKEMRILAADGNLWKKFTSKAQFAEVWTAKKRRQKRPFRNYGWGNPPFVPHRFPVIGGDSDRLPFDGNHGYLGRTFGNQRRNMSPNCNLNGSRRSFFAPYRLHPHYYCSTIILAAETVMYSSPCTDPGRDEEQCFAMGANLLASLDHAMDFEEPIVFPMDNVGRQDEIVSASDTQLSRNMSTGKCLKGGKRKGSGEDSTLYSQEETAAGSQQEVSMERADEKAGTGDTNKEDYVHVRAKRGQATNSHSLAERFRREKINERMKLLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLSAINPELNCNINLQDILCSRDAICAFPGYGPQMSNVHLSLYRASQQGFSPGIIPNPANVHVMRTAQLSAFPQRGVWDEDLRNMAPEPFASDAGAGSIESSRTFLAFLHCHSRCPCTFLMPLF >Et_3A_024651.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2363445:2367199:1 gene:Et_3A_024651 transcript:Et_3A_024651.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSASLAAAPPSPQPAAAYAPPPPPPFDAAAPPKPQEEAGKPAAEEKVDYMNLPCPIPYEEIQREAFMALKPEIFEGLRIDLTKMLNQSFALSHSVSMGSIEIPAQGNDVIKVPNGSYEFGANFLDPKLMLIGRVSHDGRVNARVKCDITDNLALKINAQMMSEPGYSQGMFNFDYKGKDYRSQFQIGNNEFFGGNYIQVATGQIATTGMVALSYVQKVSEKVSLASDFMYNQMSKDVTASFGYDYMLRQCRLRGKLDTNGVVSALLEERLAPGLTFLLSAEVSLRCYILYTIVWFFCFTAAPSSSIYLIGW >Et_9B_064233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:13042326:13046790:1 gene:Et_9B_064233 transcript:Et_9B_064233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILVSASTGAMSSLLRKLAAMLSDEYKMLKDVRDDIKFLKDELEAMHAFLIRMADVEEPDEQAKLRVRMVRELSHDIEDNIDKFMLLVLRESSCKTHGFKKLIDKSKNLINDIKTRHHVAKEVKDIKKQVKEVGERYARYKIDESTSKPRNAVIDARVHAIFKDASDLVGIEGPRDEIVNWLTKDDGASTHKLKVVSIVGFGGLGKTTLANQVFHKLRASFDCQAFVSISRNPDMTKVLSSILSQISNQENVNVGAGYQELIIKIREFLEDKRFINLYHKYFIIIDDIWDVSAWQILECAFVKNSSGSRVMTTTRIYGIARSCCSSHDLVYQIKPLSAVDSKKLFFKRLFPCEERFPSDFSEASQEILKKCGGLPLAIIAVSGMLATRQTKDQWYRVGRSIGFVFDENSEVEGMRRILSLSYFELPHHLRSCLLYLALFPEDYEIQRQRLVNRWISEGFIQCEVSQDLVELGEIYFHDLINRSLIQPVDIGYDGKARACRVHDTILDFLIYKSKEENFCTLLSNHSLHTHLLDTKARRLSLMSNVDIKLNVSHIRSLSVFGCVEQLPSLSNFKALRILDLHGYRNLENHYLSNVRRLSQLRYLNISDTTINEFPRDIGDLQNLETLDAKLIYFGRLPQTITRLQRLVRLSVYSVTKLPDGIGNMRNLEDLGCINVFKHSLNFLEDLGKLTDLRKLSILWDTDNIEGDKAIHKEKLVTSLCKLDTCNLDNLRIIFFLREKDGLVGDIFCPALNSIRYISLQSAEGCRVTKWLVSLVNLEYLYIDVNETMVEDLLLIGSMPNLLEFRMKFTGTYKIEPIIFSKGFQRLQKFWFNSDCMGFRVEAGAMPMLKGLEFRIRPDQFKSSLGGFGFGIQHLSCLAWIRVELYCNGVMVADMEAMENSLKNMIETHPNRPTLDIKRIRTGRIIQNGERNIELEEYNSYPDNIDRRFRVSKRAAVCGAPRYFSGTGTAPEFSAVCQPFFNLHLLLPLALAHPSPHASPPDNCTPATSHPAIIVHATALPGPNHHHPPPAPAASPPSPPPLSVPPPLPVQPASPLF >Et_6A_046427.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:14915358:14916449:1 gene:Et_6A_046427 transcript:Et_6A_046427.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSGWGQMLASCVVDDMLTMAVVRDGPHVDQPEEFIAERFEKEVVSVPGSDLHLAPFGAGHPTCPGKMLARAHHDPTSGSPSSCTSSALPPASTSPSTSPCRSRWPRRSSARPARASQLDPHARVLYSSALSSLGSDLLRLYVVATQQMIYKWHYSPSARQLTIPHLLLHTSHKSPTPRRELRSANQDDSIKASTMV >Et_7A_050704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10270432:10273252:1 gene:Et_7A_050704 transcript:Et_7A_050704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPEPTFTFVPLPIGCSIELERRVIPGPQQFRTMGCVRGAIKFVALDGFNEHLPCEIILIRTWTLSPDLKEWMEGTPLRVGDLWASESFLQSSLPRLTPSYPAISFDEANVVYFVLDEIDRVDTADRFGKVRGEIVRKARYVLALEMVQHKVLCHTKAITDNSAPVFPNLIASEFSAYLQGSKDHQRSVRFTVPEGAAATGGKSKDEARSTHRVGNQLAAIAGTRPWRVPISTGEMEKAMTANLEAMKPDSKVSEPPRSKPLVHG >Et_10A_000232.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:18923311:18923547:1 gene:Et_10A_000232 transcript:Et_10A_000232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLACFGVPERKELSCKQQEAGDTKKLKEAAEEESSKASEKKEVKAAEMERIKKGKDRGAPLVVSHFPQRSTPGLL >Et_2A_018091.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:10155456:10155743:-1 gene:Et_2A_018091 transcript:Et_2A_018091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLDFYTAQVEEFARAKAEAEAGGSPAARRLALMDTTPAMLLRPDGHPSRYGHWPQANVTLYNDCVHWCLPGPIDAWNEMLFQMLLRADKLI >Et_10A_000083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:22652714:22653925:-1 gene:Et_10A_000083 transcript:Et_10A_000083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRTVTWQDLTPELLNLVFLHLPTRADRARFPAVCRQWLSSIQQCQQPPLSPMPWIVHPGGNIIRFPHGKIFHLPENTRYHNSCGQWLLSSRSDDSCFLMNPFTKSTMPLPSLSSYIVYDERVEIVNDHIIPDDEIGTWMDIKDLTEVSVITLIVCSTNLIAAIVAICGLVSAHDRYRCLSDMVFFQGKHYAVDARTEDLFSIDIVDELGNGVPRMCQIERIIEGAPTPCNLLNQTNYLLESHGTILMVCRTMSSKVDTVCMSGSIDNYMPHLAGSSEFNVFVADLKCFLWADVSSLGNEVALFIGRGCSTAVRVSPYDLSRDCIFFLDDYIDLRLNKTTTYCGLYDMKDGKIYSPLWMVSLKGRMVPATWLFSPGMHVLHVLATSILLN >Et_10B_003629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:420314:420930:-1 gene:Et_10B_003629 transcript:Et_10B_003629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDVEYRCFVGGLAWATDDNSLHAAFSPFGEVLESKIINDRETGRSRGFGFVTFASEQAMRDAIEGMNGKQLDGRSITVNEAQSRGGGGRSGGGGGYGGGGGGGGYGGRREGGYGGGRGGYGGGGGGGYGGNRGGGGGYGNSEGNWRN >Et_2B_022207.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:13827340:13827942:-1 gene:Et_2B_022207 transcript:Et_2B_022207.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEANAANHNVKASVGQFDSSVAGSRGTELTKEESRIIKEAIDLAVENFLIECANNVIEEDAVFIGEGMAVFAGDTQEPVPEQAELVPTMATPGYGCDGGDLPAIEPLVMVEVENLPSPLSVADKGVQVGDDAVGQVGAAQEDLVLVALDGLGAEDLTGVGDVATVPKLMVGDLDGTAGQGADRATNVGPEPEDLAGSAL >Et_5B_043636.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13237425:13239584:-1 gene:Et_5B_043636 transcript:Et_5B_043636.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRPRRAGGHRVRGRRLLLPLALLLFVLPPLAAILLRRANSLGRRCLPPASDRLPLLPPDLISPRLSVAIVTLSDEGEGAAASPGRTFRGVMAASARNKRAYAAAHGYDLHVLPVAAIDTHRPPSWSKILALRVHLHRHHWLFWNDAECSLFGGQNGLKVSWIDGGTIHHLYNLVPQKALNYLIENLSPEEMQAHVRIAKMQCLFNSYPWRPTWSQCYGVYSDEDFMIHFAGVDDKQGWTNKILQRYKLKDELCRSLCS >Et_4A_032456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10284881:10289126:1 gene:Et_4A_032456 transcript:Et_4A_032456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELDESGSVISSGTSTCSDLAGTSVLRPSLLAKLVALLLVALALSCGNATAATVRMQLMHVDAGRGLSPRELMHRMAQRSMARAARFLSEAETAPVTPGQINDGFPDTEYLVHFAIGTPAQRVQLTLDSGSDLVWTQCRPCVTCFNQSLPLFDASRSSTFLQLSCGTAACQALPATSCRTGSSSLDNQTCGYGYGYADGSLTFGSLAADMFSFAKGAAVRGAFGCGLNNTGIFKSNETGIAGFGRGPLSLPSQLKVGNFSYCFTTITGSKPSTVLLGLPANLYSSARGAVQTTPLIQNPAASPSFYYLSLKGITVGSTRLPVPASTFALTSNGTGGAIIDSGTGMTTFPTDVYNLVHDAFINQTSLTVANSTATPLCFASSPGKTPSVPKLILHFDGATLDLPPENYMFDIEDDAGASFICLAVFPGGDTTIIGNFQQQNMHVLYDLANNMLSFAPAQCDTL >Et_1B_012746.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34820743:34824570:-1 gene:Et_1B_012746 transcript:Et_1B_012746.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTSWPELVGSPGEYAHDTIKKDRPDVVEIPVLLVGTQVPPGYDDKRVRLFVHPDYNHKVALTPDELKTSWPELVGVAGLVAAIRIRNDRPDVHIEGHYVGEGVPPGYDDKRVRLFVNHDALITVAQTPVTMSSPKTSWPEVVGWPATAAVMQINSDRPDVAIEVIPDGTTKRVRVFFDAGNSRGPVVYTPVVG >Et_4B_039123.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8022494:8024617:1 gene:Et_4B_039123 transcript:Et_4B_039123.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYEPKNILITGAAGFIASHVANRLVRNYPHYKVVVLDKLDYCSNLKNLNPSRPSPNFKFVKGDIASADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGLPLPIHGDGSNVRSYLYCEDVAEAFEVVLHRGEVGHVYNIGTVKERRVIDVAKDICKLFGLDTEKVIRFVENRPFNDQRYFLDDQKLKRLGWAERTPWEEGLKKTIEWYTNNPDYWGDVTGALLPHPRMLMTPGVERHNWTEEIKSLAPSPAEANESGATAPATTANSTCAPQKPSHKFLIYGRTGWIGGLLGKICEKQGIPYEYGKGRLEERSQLKEDIRNVKPTHVFNAAGVTGRPNVDWCESHKQDTIRTNVVGTLNLADVCREEGLLMINYATGCIFEYDAKHPEGSGIGFKEEDTPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLSNPRNFITKIARYDKVVNIPNSMTILDELLPISIEMAKRDCRGIWNFTNPGVVSHNEILEMYKKYINPDFKWTNFTLEEQAKVIVAPRSNNEMDASKLKGEFPDLLSIKDSLIKYVFEPNKKVPAN >Et_2A_018381.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25654825:25657445:1 gene:Et_2A_018381 transcript:Et_2A_018381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLGQPRWRRIVADDCFLRNFRALRVPSLVAGRYVVCERYNGLRPAGYDPVFFPSPSSLLRHDVDEGLGHFSLDFLPKRGGEPWEIADRRGFLYLLLNDGTDESTTSTFPDFVICEPFTGKHCAIPPLVGFHGCRCIGAFLLDGEEAHGRVALSGFRVLCTLYRYGAATACVFSSVSRSWTVARFTAADCGMERLPGPYRMFFAGQCPRFAQWMTVDDTLLVLNKYTVKFSSFPLPVRMGRMNYHSIRVVRREDSTARIVCLKTDKLKVFRQEVDTGRWVLEARVHLSKATGALAGYNKQCPHRRWKIVSETDGRVVMLTPLMKVWLISLDLETMEFKLADDRDKGHRLAHRLEGDFNSMNIRVKV >Et_7B_054177.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16589706:16595259:1 gene:Et_7B_054177 transcript:Et_7B_054177.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGAALLLALSAVLLLMISSPGAAATGVFQVRRKFATGGGANISHLRAHDSGRHGRLLGAVDLPLGGLGLPTDSGLYYTEIMLGTPPKRYYVQVDTGSDILWVNCISCDRCPRKSGLGLDLTLYDPKGSSSGAPVACDQPFCAATYGGKLPGCTANLPCEYSVMYGDGSSTTGFFVTDALEYDQSNTSMLSQLAAAGKVKKIFSHCLDTINGGGIFAIGDVVQPKVKTTPLITHMPHYNVNLKSIDVDGTTLQLPAHVFETGEKKGTIIDSGTTLTYLPEIVFKSVMLAIFKKHQDITLHNVQDFWCFQYSQSVDDGFPTITFHFEDDLPLHVYPHEYFFENGKDLYCVGFQNGGLQSKDGKDMVLLGDLVLSNKLVVYDLENELIGWTDYNCSSSIKIKDDKTGATYTVNSHNISSGWRFHWHKSLILLLVTMVCICVIF >Et_6A_046054.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20903962:20904520:1 gene:Et_6A_046054 transcript:Et_6A_046054.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding WSARNKANAGEKKWTVEEVYLVVLYYVAEFQQLVKVNKKTISGCGNLSCVSSPLHAEALAALHSLERVAHLGMSRIILETDATMREIMDSGFDSCEVSKCSGSCNKVADGLAMYGASVV >Et_9A_063110.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:10440984:10441761:-1 gene:Et_9A_063110 transcript:Et_9A_063110.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNAAKVAKKKAASNSSEYMSKMYDLSVQKIELFKETEVERKARLDEMVALEKVKWKHIASIEILRQVLLKPSTK >Et_7B_054173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:16571730:16582619:1 gene:Et_7B_054173 transcript:Et_7B_054173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSLAVVLRAALSHAPEERNAAEASLNQLQYTPQHLVRLLQIIVDGNCDMAVRQVASIHFKNFVSKNWSPADEEGHKIPESDKSMIRENILGFVTQLPPLLRAQLGESIKTLILADYPEQWPKLLHWVTHNLESQDHIFGALYVLRILTRKYEFKSEEERIPLFQIVEECFPRLLAIFSRLVQIANPPIEVADLIKLTCKIFWSSIYLEIPKQLFDPNVFNAWMVLFLNLLERPVPVEGQPLDPDARKSWGWWKVKKWIAHILNRLYTRIPKNSMYQLMQPQMDIILFEIIFPLLCFNDNDQMLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVNELVRKRGKGNLQKFIQFIVGIFMRYNEASIELKPYRQKDGALLAIGTLCDRLKQTDPYKGELERMLVQHVFPEFSSHAAWVAGQYAHIDFSDQNNFRKAMHCVISGMRDAELPVRVDSILMKSGQYFLNFLMLMSEVENEDLVFTLETIVDKFGEEMAPYALGLCQSLAAAFWRCMASSEADEEAEDTGALAAVGCLRAISTILESISSLPHLYIQIEPTLLPILRKMLTSDGQDVYEEVLEIVSYMTFYSPTISLDMWSLWPLIMEALNDWAIDFFENILVPLDNYISRGTDHFLTCKDPDYQHSLWKALSTILTDQNMEDSDVVPAPKLIEVFFQNCKGQVDHWVELYLRLAIDRLRRTEKPYLKCLLLQVIANALYYNPSLALEKLHALGVATEIFNLWFVMLQQVRKSGQRANFRREYAKKVCCLGLTSLISLPASHIPGDALERIFKSTLELLVAYKDQVAESKRQNEADTDELDGFGADEEDDEEVESDKEMGLDDEEADGVNNFDPKKFAEVRGFHHEDSDDDSDDDFSDDEELQTPLDEVDPFIFFVETIQAMQASDPARFQNLMQTLDFHYQALANGVAQHAEERRIEIAKEKLEKANAQ >Et_6B_049588.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:714159:714748:1 gene:Et_6B_049588 transcript:Et_6B_049588.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSPSTSHKRGQIAEKSNSDETHCHIEIGICIRSQPSANMPMQPLCFLQYCGLCNRALGSDEDIYIYKGDSAFCSIECREKVMRTDHAWRDN >Et_9B_064563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16514950:16517348:1 gene:Et_9B_064563 transcript:Et_9B_064563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTASQASLLLQKQLKDLAKNPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMTFPQNYPNSPPSVRFTSEMWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKDWRERRDDFKKKVRACVRKSQEMF >Et_2A_015556.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1537902:1538911:1 gene:Et_2A_015556 transcript:Et_2A_015556.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLRRRRRPWASPIVEATAKALVACTPLQDSTTVTLGNGKLTSFWHDLWHPQGRLSETHAALFSHATKENISVRQVKEEGLGAFLVPRLTRIAQAESHESNTSAMTLWELPRPSSVPPQHYHSFLHLCCWQIWKHRNEVAFQLQEPSLTRLLAACKEEAFLWRCRLPPVDSHVSDA >Et_7A_052097.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4638879:4661606:1 gene:Et_7A_052097 transcript:Et_7A_052097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPAASAAAEMEPLEVRCVGCGETLEVDRGLTEFICPDCATPQSLPPELMPKPPRRKALPLPRGAADTQIERPSRFSTEPAESSQPYHAIDEGEVDGVNRVTGRYKEQQSIYPGRPGIASAEKRQGQPLNQHQAQDIRSGYSGRTKQAHQGHMDHVIEPPQDSVNSALLRESGCRDHINGTSAYIDGTAGRSIHSRAVNVEDRHMQTPIQIMQKSQKQHSCYVISPEHAQAESASGAIHVQEKQQVPLNEANHREDVRVQLVSETIAGDNDRRGTRQVISLNNVGAEERHGRIRNEATQQVQKDQSGSVARRELDNQVTHVEKEQPGCHRVRNRKRGGLIAALNPGLQLRRSKRLAKDVSAVIDKLPAIDTDLTHREIVVRQASSPNGHMPGAITDDGLTESEPDGQHAASPALSDPPDMDTMISNLCTSPSPRHEIPQTSSNDLENSNLATPPSTTNPGMSDPEHFARNYIPPEVRRALAKVSSQSPAYWMMSQLRSDDSDEAYLQDIMDSEGQELPPASKKNEEERLADRNPRVLEEQWRFLVAYWSTEKAQALSAKNKANTANITTFSTSGTKSFARLLEEEVRCAGCRGVLAVGPGMTEFSCPKCHMAQRLPPQLMPKPAASSSSSPPPKSPAKPAAAAPQQPRKGAPQAQGVDPTKIQLPCARCQAVLNVPHGLARFRCPQCGVDLAVDHAKLQNFLSSSNNAAAAPATQAQAVPFLPTLPPGVTQPLQLVAGGTIPMVLPASQPPEEINEVAIDVEREEDEGGTVGETFTEYRPPKLSLGQSHPDPVVETSSLSAVQPPEPTYNMKIMDELDETKALSCLQIETIVYACQRHLHHLPTGDRAGFFVGDGAGVGKGRTIAGLIWENWQQGRHKAVWVSVGSDLKYDARRDLDDVGATCVQVHPLNKLPYSKLDSKAIGIKNGCHKAKNLIPDAGSQPTRTGKAVLEIQEKLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQNFSQFLGADFDIVEAPLEERMMIMYRKATEFWAELRLELLSACELSAEEKSNSSQIWRLYWASHQRFFRHMCMSAKVPAVVRLAKEALAENKCVVIGLQSTGEARTEEAVTKYGVEMEDFVSGPRELLLKLVEENYPLPPKPDSFQQGEETVTEIQRKRHSALDVSFKGRVRKVAKMVDVSDDDTDDYSPSDSEHESTESDEEKRVCCSIVLVVLDTFTLIV >Et_2B_020442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:20107943:20111309:-1 gene:Et_2B_020442 transcript:Et_2B_020442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSVKTLKGSSFEIDVEPTNKVADVKKVIENVQGQSVYPADQQLLIHQGKILNDDTTLDENKVLENNFLVIMLKQNKGSSSAAGATSKAPSNQAPPTQTVSASPTSQAPAAPAPTVPVSAPAPTATASPAPAVAVSTEADSYGQAASNLVAGSNLEATIQSILEMGGGTWDRDTVLRALRAAFNNPERAVEYLYSGIPEQMEVPVPPPSSQPANPAQASQPAQAAVPSSGPNASPLDLFPQALPNASPNAGAGNLDVLRNNTQFQSLLALVQANPTILQPLLQELGKQNPQVMQLIQENQEEFLRLINEPVDADEENEMNLLDQIADGVQAPTIEITPEEDEAILRLQAMGFDRAVVLEVFFACNKNEQLAANYLLDHMNDFDDEQ >Et_4A_033654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25463721:25468687:1 gene:Et_4A_033654 transcript:Et_4A_033654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPSPAAHGDAPAEAPRRRGGGGKRKSSGSSFTPSKRQAKERNASYHVPPHLLHSGPLTRAARQSPHKLASTPPESGTASGSAAGEGAGGGQGEGDAIRPEGEETPTEELPLVDEVFEAVRSRGAGVHVVPTFAGWFSWKEIHSVEKQTLPSFFNGKSEKRTPEVYLRIRNSIMMKFHGNPQLQLESKDLAELSTGEIDARQEVFDFLDNWGLINFHPFPPAGQEESKLEENQNNPHDEEKVSPIEQLFKFEPVQSYMIPLPKKEDMEAPAPLPSLFPGPTLVEDVVTAAEPSVDYHCNSCSVDCSRKRYHCRTQADFDLCCECYNDGKFDTGMAKTDFILMDSSEVSGGASGTSWTDEETLLLLEGLEIFGGKWAEIAEHVATKTKVQCMLHFLQMQIEDRFREGEDNHQNIPGSTEQASTEKGTAQTPEKMEVEDKAEGKDNVDEKPSETTEGNGEEAKPSEDTNAEENKDTKNSGGKDSVASPNTEEPKQSSNAHPSADVDTSGESLSNIAIDILKSAFEAIGQSPEHEGSFADVGNPVMALAAFLSGLVEDDNATTSCRSSLKAISEVSPSLQLATRHCFILEDPPNDLKDTCDIVSNKNTDGDQTKDEDKIQTSTDTEEKNINEKEDSALSVDKQNNSTVSPNDHQESENKNTSSVDCPLVDSKTNNVQESGDPPSVVDKSASNDTKGSLNSMKDSVIPQNNVNECCLLASEEVIAGSNTVASNLKVGTDKPSAEVEVSDDASLKGKRELDKTEDAVSTPATADEQKQRRLFENGKMEELNNTESLVALEEKSSIATADKSDAITRLKRSAATAISAAAVKAKFLAEHEEFQIRRLTALMIEKLFQKIEVKMSLFSEIEQVVLRTREYTEKTRKKLLMERNAIIAARMGALPSRPNQPGVAGNRLPPGYGNHVVRPPNPRPSG >Et_5B_043607.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:12502396:12508757:1 gene:Et_5B_043607 transcript:Et_5B_043607.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKPYVVAIIIQVIYTGMFVIIKAALNQGFNTFVFIFYSQAAAFLLLLPIAVLRERKNVWSIPFRLLWKLFLCALIGNTFSINLLNVALRYTSATVQSAISNSKPVVTFCLAILLRMEVVKLRSSYGIAKVTGIVLCLAGVFVIAFFVGPPLSPVNHHRAFHTTHASSDATGHVTWVKGTFFKLLGDMTWSLWIVFQAALLKEYPNKMLVTASQSVFSTVQTFLVAAVAERNMSRWKLKLDITLVAVLYHGFVVTGALNYLQVWCMEIRGPVFLAIWFPLCFVFTIFASSFFLGEIIHLGSILGGILLVGGLYSVLWAKSKESMIEPSGEVNALEDAQNNNDQTKLQGTKMGTEEHDEETP >Et_4A_035165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10371983:10373539:1 gene:Et_4A_035165 transcript:Et_4A_035165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPPPVPVQPESVHRRGASTAPRRSIGCMAGLLRLFSPYHRSHHRKRLTAKNATKASPAAPPPSSPPSKKRAEASSPSPFPSPVKPTQQQPAAVRRRRSCDAPRSPTIAPEYRRSSCDSPRPPPPAIVARLMGLEESAPPSPRPVLPTRPPPPPPSPPETAAEKRRKLLGALEKCDEDLKTLRRIIAAVRAAEMRAAAAASSDVAPAAAAGTTTPRKGGGGAKWTSTLGSRVDEHSQSPSPSPPPPQFPSPDSVLDAISSPRFPCRKRPSPCSSTDHDAGCGSNGAAAAAPTAGAKIVKPSRTFVFSGDYYCKINKADTSERITKPPHAGVAHRDVPHPPVAAAATTDHQACTLLPARLPPRAESWRHHRRRWELEAAAESVHGEAAWGQHGEQRRRECGVVAAALERAVLQDLVGDVVSELLALSGHAQGHGGGCRKRLCF >Et_6A_047047.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:24590971:24593405:-1 gene:Et_6A_047047 transcript:Et_6A_047047.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVDRLSALPDDVLRRVLYFAPAKEGASTAVLSRRWRSLWRTSGAVNNDWRSHHRAHGSDEEEPTFQSLVKVFLPAVNAALDAAEAPLTRLTFHVEAECHVFYLLMLPGTFDRNLIDHALDHPAARRLEELRVAAVNYRQEEAGNQFHYWIHLASLPCLQDTINAAPQLVTLHLESSKITGKITPPVDGVQVQRHHRLLCPVVTALVFADCTWPCEEDELAAGLELDLPRVTYFRYKGHLLHNRLLLRSHEPSSSIVRADLHFTGYKHGELGTNISAHFWQFVTQNFHMVKVLKLRLDFTIHLIAVVHKKEQDDLIAKSLFYNVKRLELEGRYKPGNKMSGFAIGNLLQCCPVVCDLTLKLTAIASAESSFQSSLGTQQAQLDFEKSYNHFRQRKRQPISSGRDDDDNTYDDEVNVPGLSKRSFNCLQSCLRRMSLQFRMDVPNCFGVQLAKFFAENTQVLEELHVDDGSHKMCDHMNWKVGKWIANSCKRKTPPTVVTFEPCPMKRQRIESQS >Et_8A_058210.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:24014834:24015580:-1 gene:Et_8A_058210 transcript:Et_8A_058210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVKKQQQQLVGGLSSLFSSKKDGVGAAAAVLPCPSTSSSSSSAWQWPSCGQPRTLSFRHEEVHGRHHDQQMTMKQAYKTMNSAYSVDSLSTASMEEEAAAADGSCLFSAEEAAVIRALRSDRLFFDASSSCVINHKLQASTSDDKKSEVAFGGATAMSVESRNPYRDFRESMEAVVMSHGGSVKDWRWLEEMLGWYLRANGKTTHGLIVGAFVDLLVSLSNTSPSSSSPATPTTNNASACSSSSSL >Et_1B_010272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33972294:33973175:1 gene:Et_1B_010272 transcript:Et_1B_010272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVLPLAELARRLHDAHGFTATVLTFASSDSAAQRGFLASLPPAVAAASLPAVPLDDLPAGAAIETLLSVEAERSIPALTAVLRGLKATTNLVVFVADLFGADTLRAARLSLMLHLPRLDAELISGGEFRDLAEPVRLPGCFPVPGADILQPLQDRASDAYRWMVHHGGKYRDAAGILVNTFDTAEPGAAAVLRRPEPWRPPVDPVGPVIRRAAADDDGVDPTSCVAWLDAQPDRSVLLVSFGSGGALATAQMDELARGLELSREIVFISNTPTIK >Et_9A_062710.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3542743:3545232:-1 gene:Et_9A_062710 transcript:Et_9A_062710.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWMQGRLATSASATVVQQDGNELLVSLEVQQDVDEVASELVSDNTAGAPLPVLLDDLAHKRLHLLDQPVAAAAHALEVEVLDQRNVVGPVKGAEEVVALGDHLLQHLRVWALEVVVPLHEDGGHDRRRVTSPPSRIMWPATSATMFSPMASRWRPNMWLAARRRSPRQCASPDGAKPMARWNRRWRAASLMGRSPNAGLARISRAVSGWLATTSRVAPTENDISALPPSTARDSAASVRCAKGLHSAVSTPGGPDGGRRLRDRAHAGPPGVSHRRTAPRTNKARMDGSGEANGRRKRSDSSTPVVTERPGNHRFPFPVLLDDLAHKCLHLLGQPVGTAAHALEVEVPDQRQVAGQVEGAEEVIPLSHHLLQCLSVSTLEVVVPLAEDGSHDVVERCNVQGATQGDLAALAGHAAGDVGDHVLPDDLTVAAEHVVGGE >Et_9B_064751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:18355709:18359379:1 gene:Et_9B_064751 transcript:Et_9B_064751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPATESHALSSSLPYWGGGAVAGDERRWRMDPAAAGPLLESGAGAGAKEMAVRSLGRTAHNLSSSSLRKKSDVALVRKVPCAALRRFLANLQEVLLGTKLVLLFPAVFLALAARFFSFGQEWVFVLSLIGLIPLAERLSFLTEQVAFYTGPTVGGLLNATFGNVTEVIIALFALHEGKVVVVKCSLLGSILSNLLLVLGTSLFFGGLANLGTEQPFDRMQADVSTGLLILGVLCHSLPLMLRYAVNAQEHAINSWDAGLDLSRACSIVMLLAYVAYLFFQLKTHRQLFEPQEDEDDGDDSVAQDEAVLGFSSAMIWLGIMTLMTAVLSEFVVSTIEAASKSWELSVSFISIILIPIVGNAAEHAGAVIFAFKNKLDITLGVSLGSATQISMFVVPFSVLVAWIMGVPMDLDFHLLETGSLFLAILVTAFTLQDGSSHYLKGLLLLFCYIVIGIVFFVLRRRGSGNDGVHLGEASNTWRI >Et_1A_008283.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:596479:601301:-1 gene:Et_1A_008283 transcript:Et_1A_008283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAAHLLLLLAAAARAIDAPRLSFAQTSNDYDDGTGGTGDKGAQAIAGSPIVAGVMNERLKALTSSFAKAIRKQLDYCIKDTDMEWNAAFDFSKDTTFLTNCMKETEGDFQQRVCTAAEMKFYFNSLLDSGENGEKNYVRPNKNCNLSSWIDGCEPGWACSVGKEQRINLQDDKEIPYRALKCQTCCPGFFCPHGLTCMIPCPLGAYCPLSSLNKTTGICDPYNYQPPPGNPKHTCGAADNWADVITTDDIFCPAGFYCPSTIQKLPCSSGFYCRKGSTSQTRCYKKSSCPPNSATQDITIFGALLVVASCLVLLIIYNFSGQILTNREKKQAKSREAAARHARETAQARERWKSAKDVAKKAGVGLQSQLSRTFSRKKPSTQAGPSKVGAGMSQDMGGKKNNLTDMVRSLEENPDNEEGFNMEIGDKALKKPRGKQMHTRSQIFKYAYGQIEKEKAMQQENHNMTFSGVISMAKDHDESSRPVIEIAFKDLTLTLKGSKKKLLRSVTGKLSPGRVAAVMGPSGAGKTTLLSAIAGKATGCETSGLVLINGKIEPIRGYKKIIGFVPQDDIVHGNLTVEENLWFNARCRLSANMSKADKVLVVERVIESLGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGMTVYHGPVKKVEEYFTGLGIVVPERVNPPDYYIDILEGIVKPSLSAGVTVKDLPLRWMLHNGYDVPRDMLQSSSESESSFRRSTDHSISGDDAGPSIASVLWGNVKDILGQKKDEYDYNKTSEDLSNRNTPGILGQYRYFLGRCGKQRLREARIQGVDYLILCLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFALDKIYYWRERASGMSSLAYFLSKDTIDHFNTIVKPIVYLSMFYFFNNPRSSIWENYVVLVALVYCVTGIGYTFAIFFQPSSAQLWSALLPVVLTLVATQQKNTIFADLCYTKWALEAFVIANAQNYSGVWLITRCGSLVRSGYNIEHKTLCVVVLIANGVISRCIAFFCMVTFQKH >Et_9A_063268.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:18183689:18185203:-1 gene:Et_9A_063268 transcript:Et_9A_063268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTSADAAMVEAVSAIPAAPAVAPTKMACTDVPAPAAITVISKQIVQPASASAVGDLKLSVSDMPMLSCHYIQKGLFFPPPGVPTATLVSSLAASLSRALAVFPALAGRLVTLHDDSIVIRCGDDGGADGVEFRHAVAPALSLGDFLVPGADVPTALTKGLFSMDRTVSYDGHARPLASFQVTVLGGDGAVFVGVVANHAVVDGTSFWHFFNTWAGLCRGGNAVRDPDFRRSFFGDSTAVLRFPGGTGPAVTFDADAPLRERVLHFSAAAIRELKAAANRRKRTGGQDAAFEAEGKLAHDSKVLHGGGRGGEISSFQSLCAHIWRAVTRSRRMLAADATATFRMAVNCRHRLRPAISPLYFGNAIQSVATTATVAELASRDLGWAAAKLHATVAAHEDGAIRRAAAEWQAAPRCFPLGNPDGAALTMGSSPRFLMYDGNDFGWGRAVAVRSGRANKFDGKMSAFPGQAGDGSVDVEVCLAPDTMACLLRDDEFLQYVSSPAP >Et_4A_031923.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:29958431:29959585:1 gene:Et_4A_031923 transcript:Et_4A_031923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKPSPSSLLILLLLSATLVATCRGDPEPVQDFCVAAPHGGEEGAAAFPGGLPCKPASSVVSDDFFFAAHARAASTDNPMGSGVTPGNVEAFPGLNTLGVSINRVDLAPGGVNPLHSHPRAAELVHVEAGEMLVGFVSTEGKFYSKVVGEGESFVIPRGLMHFQYNVGKGAARAMTVFNSQLPGVVLAAPALFGAEPEIPDAVLAKSFQVDAEIIKLLKAKNRRG >Et_6B_049604.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:7049449:7054862:-1 gene:Et_6B_049604 transcript:Et_6B_049604.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGCLLHRRILRLAVAVAACACFSASVSGIRKDIGLVSPMMCRSTIQGRHLISDDNGYVCSALAFDPWSHCCPITGGRFSCQGCKLDLQCCNSYEYCVSCCLNPSKTKKEDVLKLKVAKPLTAGTYMSVFDFCVGRCRHSSTSVVHENAYSSDFHHCFSVRQNSTGRGNPAAWFAKQEGSHVLQAGFLRLTNKYMRCKSGCFRSLGPDQPAEVVDEAPTSLNPGACLYMQMDERLTCDGSHQHTKRLCPCRVHEYVSQELCPPEGIGERQVAFWCVILHLPDELIGEGPLLHPAYLDEESSEVTSCLLPQPLLYAVPKLAIAVLPPELVNGAEVLCRDELDLREEDIPPTPCGFTRKINEERPGGLVGLTVISCR >Et_3A_025693.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31964388:31969802:-1 gene:Et_3A_025693 transcript:Et_3A_025693.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTHPPPPRPVMLADLNFEPTESDGEDHPPTPRSNPAAAVPVAAAAPAVAPESCTRSGNEDGALAKHAIAVKDADTVECEDADQHCQGASVPREEKVSNLKAALVHVARKMPKNAHAHFMLGLMYQRLGQPQKAILAYEKSSEILLHDEEEVRRPDLLSSVRIHYAQCILQTSMGDSFDEELETGELDDIHVKMKNSVESDPRQAAVWNILGLVLLRSGQLQSAISVLSYLTSIAPDYLDSLANLGVAYFQSANLELSAKCFQELLLKDQNHPAALVNYAALLLCKYGSVAAGCKSSAGPYLHQKEALAVAKECLLAAAKADPKAASVWVNLANAYYMAGEHKSSKRCLEQAAKLEPNHMPARYAIAVHRIRDAVRSQCSDDQLLWAANEMATVLKEGDPSAVDAPIAWAGLAMAHRAQHEISAAYDTEHTNLSDAEERALYTLKQAIQEDPDDAVQWHQLGLYNICMTRFSRSVDFLKAALARSPDCSYAWSNLGIALHLSDDPSSETVYKRALVLSSGQQLHAIFSNLGILYRQHRMYEFARKMLLKSLELCPGYAPANNNLGLLFVAEGRWEDSIICFEKALQSDPLLDAAKSNLAKVLASSKKQ >Et_3A_026688.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:14036269:14038743:1 gene:Et_3A_026688 transcript:Et_3A_026688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTLLAILAFCCASATGAAAAVGDTVSARQPLRGNETVVSAQGKFELGIFSPNGTRRSFYLGIWYKNIPVQTVIWIGNRARPLSDVRSAELRVSPDDGNLELVGLGESSATPRVVWSSNMSSSLSRSSSSPASNVAVIRDNGNLVLVDGGNASNVLWQSFDHPTDTLVPEAWLGEDKLTGEYQTLTSWRNAEDPAPGTFSAAVDPNGSSEFFYLWNGTQPYWRSGVWTGRFFANIPEAVNNVLFNQTYVETASYRRVTSVLYDNATITRMVLDFTGQTKQYIWVPYSQSWNFFWAAPTVQCDVYALCGGFGVCDQRSLTPCQCPPGFAPASQREWGLSDWSGGCRRNAPLRCAAPGNGSSSTDGFMEMRDMKLPNDSVPIGAAQSRAQCESACLNNCSCQAYTFFSGDGGGGGCAVWHGGFRNLQQLYTGNTSSSLYLRLSESELRHLRGGNKKKTRSAWLAVGVVVAGAAALGASALLAWAVMSRRRRLAEQANQKGSSLHVYSYGDLRAATKNFSERLGGGGFGSVYRGVVNNDSTEVAVKKLEGLRQGDKQFRTEVTTLGLIQHVNLVRLLGFCSSGNEKMLVYEYMPNGSLDAFLFGRKNSSVPSWRDRYGIMLGIARGLAYLHEGCRECIIHCDIKPENILLDKDLTPKIADFGMAKLVGRDFSRVLTTMRGTIGYLAPEWISGTPISVKADVYSFGMVLFELVSGRRNTDNYSSGHAPAGDDNADEEAVQRRTSTFFPVWAAARVAEGDVASVADARLRGDVGEDELERACRVACWCIQDLEAHRPTMAQVVQVLEGVVDVEVPPVPRALQQLAALA >Et_6A_047374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4713201:4730559:-1 gene:Et_6A_047374 transcript:Et_6A_047374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFFTGPGLLATRMFLLHGRPFDPAVPAVCWIAIGAGVYGWIVIAILVAVFFGEVAVKVFLWIVVMGLAGLLGYGQGVRGRYEQLVAIKSRVEHEYERGLVHFFVFAAVSLVAMGSIVMLLDAWLGPEERVTPPGKGNLGMLVFFTGPGLLATRMFLLHGRPFDPAVPAVCWIAIGAGVYGWIVIAILVAVFFGEVAVKVFLWIVVMGLAGLLGYGQGGRGRYEQLMAIKRSQPRLAQDSSGLLVGRDRDDASRALRRPGATNEEEAALSLSTVLWRLSPLICSYLFLWTVALREARRAVLAHVSFLLLLADAAARHVGPHAGAAAMFAATAYSAAALGRAIADRRLCFGTDQSAATAAAAARYQSRAEDEHDRCSRFGVVLSMALVAATVVLVMWWLAPTNETEALRVGKVHLSTLVVFSGPGLLAARMLLLHGGPFDPDVPESCWTDIVAGVSGWVVVAAFLGVFFGEVAVMVFLWVVAMAMAGLLGYGQGVRARYEQLVAIQRSQPRTAQDASGLLMGRDREDAYQINLMDDSCDQWMWQSSVSWMLSNGCGKVQLETLNHLFFECQFSAACWNVTGFTWNMNLDVSERVDLLKRNTNLTLFKEEVMAICWLIWTHMNSIIYDNQSICMVRWKRTKYKFPKTMKLWKNCGFSFEKSAMKVLIETGLKA >Et_4B_036096.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:23196166:23197845:1 gene:Et_4B_036096 transcript:Et_4B_036096.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGLPSNVRFYNICIHAFGKWRQLDMSLMLFAAMKAASPPVVPDICTYNSVIRALVVGGRVTDALVAFEDMKNAGIEPDVFTYRAVMNGCCKSFRIDDALRVFQEMRGSSGVRGDVVVYNSLLDGLFKAKKLDEALGFFETLVADGIQCSASTHNTVIDGLFKNGRAEAACRLFYELRRKGQLLDGIAYSIMVREFCKEGVGDQVAEAVELVKEMEARGFAVDLVTITSLLIGFNKSRRWDLEEQIVKFIRDGSVLPDAIRWKSNMMAALKGPQDKGKDGTSLFPFDGKMDDVMSLVNPLGRTSTDEETPGNDQKDDWSLSPHLDHLAKNADSLNGSAVFTMQRGQRVQGMGAKTFDADMVNTYLSIFLAKGKLSVACKLFEIFTSLGRKGTSYTYNSLMTSFVKKGYLKQVWGILHERGGQVCPNDIATYNLIIQGLGQMGKPEVASSIMDQLSKKGVYMDIVMYNTLINQLGKVGKVEEASCLFEQIIRSGMKPDVVTFNTLININAKAGRLKEADKYLRRMIAEGIAPNRATETTMIFLAKEIEKKRQQPRFVY >Et_1B_013209.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:6405096:6408644:-1 gene:Et_1B_013209 transcript:Et_1B_013209.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVWALSLAVASLAIGMLGVLGVWLCYLFEAVARGHAPPAPPPPLAEEEEEADDAKNGLSEAELTRLGGVSVPESASGEGEEDETLCPICLDAMEPGRPVRVLPGCNRAFHRDCVDRWLAISPRCPVCNLWAVPPSPSTSPPPPKTGWARDNRFKRQGLMMINLEHVQVLGG >Et_1B_012475.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32372718:32373603:1 gene:Et_1B_012475 transcript:Et_1B_012475.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PAIDGDASTAAAAALFWCSSAAGGSKPDRKTVERNRRNQMNALYFRLDELVRAGSTPSAAAAERGGPLATTRPDRLEAAAEYIRQTADRVEMLRERKRELTARTSAASSLQGSSSAAAAEVEVQHLGSGLHVILVTGAPPSDGASFHRAVRAVEEAGGEVQNAHFSVVGARAIYTIHTLVSGQQGSIERVVQRLKAALRGDA >Et_7A_052999.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4346000:4350515:-1 gene:Et_7A_052999 transcript:Et_7A_052999.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRGGSPFFGKMRPSDDRAQLSGFAQSEESSLEEGHCHHQQSFPCSPSMQPIASGCTHTENSAAYFLWPTSNLQHCAAEGRANYFGNLSKGLLPKSGRLPKGQQANSLLDLMTIRAFHSKILRRFSLGTAVGFRIRKGVLTDIPAILVFVARKVHKKWLIPVTQCLPAIVEGPGGVWCDVDVVEFSYYGAPAQTPKEQMFSELVDRLCGSDECIGSGSQVASQDTFGTMGAVVKRRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDISTVTTTVRGVGDIGDVKFIDLQCPLKSLIGRQVCKVGRSSGHTTGTVVAYALEYNDEKGISFFTDLLVVGENRQTFDLEGDSGSLIILTGQDGEKPRPIGIIWGGTANRGRLKLTSDHGPENWTSGVDLGRLLDRLELDLIITDESLKANSAVGESSTAAVTVPEEKVEEVFEPLGIKIEQLPRPDIPASGTEGEDAAVINVEEHQFISNFGGMSPVRDEQDAPRHIANLNNPSEEELAMSLHLGDREPKRLRTDPESEFDLEN >Et_1A_004987.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:21427435:21427896:1 gene:Et_1A_004987 transcript:Et_1A_004987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLTFRPIIPATAAALARRRHGRLIVTCKSEPSGGNSTLELAAGAAGLASSATVAWSLYTLNATGCGLPPGPGGALGAAEGVSYLAVAALVGWSLTTKARTGSGLPAGPYGLLGAAEGVAYLTIAAIALVFGLQFFQQGSIPGPLPSEQCFG >Et_10A_000357.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5091898:5092257:1 gene:Et_10A_000357 transcript:Et_10A_000357.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSLLAIAATTAALFYIALGSGGPQVSWPRIQDINDPHIQDLGRSAVAWDNEVRPTDNLTFDRVTHGEKRMVTGGVQYHLWVFATGSDGYIDDYDTLVTEDPSTNTRTVSFFRRWMF >Et_2A_018530.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:31880582:31882021:-1 gene:Et_2A_018530 transcript:Et_2A_018530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHATLPLLLLIPLMLLLATSLHRRLRRPVTEDKLHPLEKAALPAMLGGSLHHHHHHSSSSSDTDNDNNKNGSNNNNINTGGVLAPSARDLVLACADLLHRGDLPGARRAAGVLLSASSPRADAADRLAYHFGRALALRVDARLAPPGLLLASDVARPASSSSSGAYLAFNQIAPFLRFAHLTANQAILDAVDGARRVHILDLDAAHGVQWPPLLQAIADRADPDAGPPEVRITGAGADRDTLLRTGARLRAFARSIRLPFHFTPLLLSTTASNSHQDHHAAGASATAAASGSAATTTTTLELHPDETLAVNCVMFLHKLGWPDELAAFLKWVKAMSPAVVTVAEREPTGGGSWDDRADELPRRVAAAMDHYSAVFEALEATVPPGSRERMLVEQEVLGREIDAALAPSGGRWWRGLERWGAAARAAGFAPRPLSAFAVSQARLLLRLHYPSEGYLVQEARGACFLGWQTRPLLSVSSWH >Et_3A_026198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5356199:5358731:1 gene:Et_3A_026198 transcript:Et_3A_026198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDEEFKLVKIQNHVLRVNIHCDGCKHKVKKLLHKIEGVYSVVIDFSELSSEDDDLYDDEDDEFDDYEEELRYLGDKMSQLGFHSNSHQNKNGNNANVNNNHNNANGKKANAAGGGGANHHQNQKNANVINMAAANAKMGSGVQNQKNANVMNMAAANAKMDNGAQRNAGALNGMLGQSHGLGAGNAAPGFQGYTGFNHPSYAAAGYGGLQQHHLPQQQNNSLMASMQGYHHHPAATAAMMNNFRGLNSNMMMMHQPQQSQMMYHSPPQISPYTGYYNPYNYYYHPGSGGYPPASNGDVETMFSDENTKGCVVMWKIYKENREI >Et_5A_040638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10770332:10774251:1 gene:Et_5A_040638 transcript:Et_5A_040638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFLAAPAWLVVAAMVMALLSCVATVAADDGDVLLEVKAAFDGDVLPDWSVSGPSPAFCSWTGVSCDDAGLRVVGLNLSGSSLSGRVPRALARLDALVSLDLSNNELTGPVPASLGALRRLEQLMLFSNRLTGEIPASLGELAALRVLRLGDNPALSGPVPAELGSLTNLTVLGLASCNLSGAIPRSLGALASLSALNLQQNALSGPIPPEIVDGGMAGLQVLALAGNRLTGRIPPEIGRLSALQKLNLGNNSLEGVVPPELGALGELEYLNLMNNRLSGRVPRALAGLARVRTIDLSGNLLSGELPAELGRLPELNFLVLSDNRLTGAVPGDLCGGDESDSSSGSSSLEHLMLSTNNFSGEIPAGLSRCQALTQLDLANNSLSGPIPASLGELGNLTDLLLNNNTLSGELPPELFDLAELQTLALYHNQLTGALPDAVGRLASLEVLYLYENQLTGSIPDSIGRCASLQMVDFFGNKFSGSIPASIGNLSSLVFLDLRQNELSGPIPPELGECAQLEVLDLADNALSGPIPATFGKLRSLEQFMLYNNSLSGPVPDAMFACRNITRVNIAHNRLSGSLLPLCGTARLLSFDATNNSFDGSVPAQLGRSPSLQRVRLGSNRLTGPIPPSLGGVAALTLLDVSGNALTGGIPDTLARCERLSLVVLSRNRLSGPVPDWLGALPQLGELTLSDNGFTGTIPVRLSNCSKLLKLLLDGNQINGTVPSELGGLVSLNVLNLARNQLSGPIPTAIAKLSNLYELNLSQNYLSGTIPPDIGKLQELQSLLDLSGNNLSGHIPASLGSLSKLEDLNLSHNALVGEVPSQLAGMSSLVQLDLSSNQLDGRLGPEFARWPPAAFAGNAELCGSPLRGCGGGAGGNGRSALHSASIALVSAAVTLAVVLLIIVLALMAARRRGRRSREVNCTAFSTSSGGSNRQLVVKGSARREFRWEAIMEATANLSDQFAIGSGGSGTVYRAELPTGETVAVKRIASHVDSDALLHDKSFAREVRILGRVRHRHLVKLLGFVSSAGGGGGSMLVYEFMENGSLYDWLHGGGGGGERAKRVLSWDARLKVAAGLAQGVEYLHHDCVPRVVHRDIKSSNVLLDADLEAHLGDFGLAKAVAENRGKDCTESASCFAGSYGYIAPECAYSLKATEKSDVYSMGIVLMELVTGLLPTDKTFGGDMDMVRWVQSRIDAPQPVRDQVFDPALKPLAPREESSMTEMLDVALRCTRTAAGERPTARQVSDLLLHVSLDYYRAGEHK >Et_1A_007849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38817005:38822477:-1 gene:Et_1A_007849 transcript:Et_1A_007849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTPALLTGERLVVFLFAARVALAAPAYLAAPLMALAAAALAVELAVDGSAPASSPLRRFKTRPGASSGILLGATTLPSVMLSRLIQLSRILSDPSRHEELAYLEMQYWAASISCLSVLAFFIWHLRQSPSNGISKYLKYGLWLVVLYLMTSFLSFVLKTDEGLMGTTNVLYLLCHGVAAVILIKHILETFPSCSSFGEALLVSSGLVLYFGDMMAHTLSKGILLGLFLLPLIYRSSLQVWEYCRKHWNQRAQAVEEHKRKRIGSAVFYSLLFVVLLFLVPSWTRLVQDVEVHPFVWIVNYMFTDSHERLALCAYWICVIYASVRRFYSISKQSKTERILLRKYYHLVAVLIFTPAVIFQPAFLDLAFGAAFSVFLILEMIRIWDIYPLGRVVHQFMNAFTDHRDSEILIVSHFSLLLGCALPKWMSSGLNDRPLAPFAGILSLGIGDTMASMIGYKYGVLRWSKTGKKTIEGTAAGITSVLAACSILVSLLASSGYILSQNWLSLLIAVTLSGLLEAYTAQLDNAFIPLVFYSLLCL >Et_8B_058774.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3207285:3207434:-1 gene:Et_8B_058774 transcript:Et_8B_058774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVWAWTIWCHRNSIILMEHLYRCVAKKILLKMKLLRLFLEQTKRPSDF >Et_4A_034031.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:29283563:29289939:1 gene:Et_4A_034031 transcript:Et_4A_034031.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRRHCTNQAKTRSLTFSWLRLRVVAVFKYSNPKHTVVEIGGADFAACSKPADAAVMRSGEDRVTLDAAGRRWFVCSVGKHCQNGMKLKITVVDAAPAPAPWSPFMAPPPAFNPADDVEGGGRGGDIDTLAPGSASASASRARAAASCASAAASLRRSSAAARPASGGRLPSHIRRRRRRVGGPGLMLLVVAAALAVAYLPALAAGTEHWVGDDQGWTLGFDYAAWAETKQFKVGDTIVFKYTNPKHTVVEVSGADFKACNKPADATVMGTGEDRVTLDDAGRRWFVCGVGEHCNNGMKLKINVLAADAALAPGSPSTPPPPASSPAGKVQASSFVQAVVALAVVIAANSTLLVMAAALAVAFLPAFAAATEHTVGDGAGWTLGFDYAAWAATKQFKVGDTIVFKYSNPKHTVVEVGGADFAACSKPADAAVMRSGEDRVTLDAAGRRWFVCSVGKHCQNGMKLKITVVDAAPAPWSPFTAPSPAFNPADDVEGGGRGGGIFAALAPGSPSPASSPAAGVEGRSPCFGRWRCGFGRRAGIVGLF >Et_2B_021147.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:26941484:26943090:-1 gene:Et_2B_021147 transcript:Et_2B_021147.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADLFADFDFDALLASFSGEPAAVSDLIIPSPPPPPPTARDAEAGSPDSVTSRPSPPAEALSEIERFLMQEGDAEVGGAVDGVSVEEFFDALYDGGEGEEKGKENSAMKSRERKKTYIKELETKSKYLEAECRRLSYALQCYAAENMALRQSVLKDRPVGAPTAMQESAVLMETLPLVSLLWLVSIVCLFLMPGLPNRSPAAPRSSGRDLGTVAGKTSSEHLETLDLILHGRRCKGTRARIKLSTLPFHAVAAC >Et_1A_009416.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4996879:5000542:-1 gene:Et_1A_009416 transcript:Et_1A_009416.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLLSRRLLSPTATAAMHLPKPFACSRDPFILLHPGRRFFSTSPDPSPNPAASAPSDTSPGAASAQETLDSMKHQEIEGPTVERDTSPLADETRRELDVLRRTVQRLSGSLALLGGTHLAAGAWIAYGAPPVGVESAAAVQGVVAFAFPFTAALVLRRAIKPIAFFQKMEANARLQVLTLCLQVTKNVSLMLLRTRVVAIACALGVSVGSVAVILLRGGACVVPWMCFVVVKPESARVIRG >Et_1A_008909.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18024732:18029368:-1 gene:Et_1A_008909 transcript:Et_1A_008909.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVGIYGACLSTITETILVQARDPSQLALEIENAIDQQRFDDAWRAYEKHIHMDGLPRKSVLSKLITGLGESYDHHWLNQSYNVVSHAFEEKYELLEREPLIYLSLTLARCALPNLAINVMRKLIKMEAYPPVAAWSAIVAHMCQNNNGAFLASDLVMEIGYLFQNNRVDPRKKSNRPLLSMKPNSFTFNIVLTASLMFGITRKAEQLLELMPRIGVKPEGNLLIVMARIYEKNGRKDEIQKLKRHVDEACGLSESEFRQFYDCLLSCHLKFGDLDSAADMVLDMLRKGKNAKQSLEAAKAVLEAVENRKIYLPCEKTGAENSCSSEKSISYRQMLKYAPFFKDTSFARIEFEARQLLKLISDKLHEQIGLVKSEHGILHPTETVYAKIVKAFLEADKISALASFLVKASKEDSPVSVESSFVVQVINACISLGLLEQAHDLLDEMRFSGIRVGSAVYSSLLKSYCKEGQHEDDITALLKDAQQAGIQLDASCYEDLIQSRVHHSDTTGALHLFKELKNLNVFKYGHKEFQMLAQGRYNNEADLPTRLVEEVRSGHMVDHAVHDWNNVIHFFCKKRLMHDAHRALNKMRALGHVPNAQTFHSLVTAYAAIGGKYVEVTDLWGEMKVLANSRSMKFDQELLDSLLYCFVRGGFFLRAMEVIEMMEKSEMFIDKYKYKSLWLKYHRTMYKESLRFAESREIDTIQKIDMPIASPGVARMFSGYTYPLKLVITAVTFNLPHDFGGYIYHNFS >Et_10A_001938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1380964:1382988:-1 gene:Et_10A_001938 transcript:Et_10A_001938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAWEKNQRCVVCPLRCGVCASHCITSKSKYNKVSHQSPETVSGVQPFTGHSIATDAFITSHCYRPLDCYRFTPLLPRTGRRNSARTASRTRSCSLYHATKGFKSKQVLGTGGFGMVYKGVLPKSNLEIAVKRVSHDSKQGMKEFVAEVVSIGHLRHRNLVPLLGYCRRMGELLLVYEYMPNGSLDKYLFDNTKGVVFGWEQRFRIVKGVASGLLYLHEDWDKVVLHRDVKASNVLLDRDMNARLGDFGLARLYDHGVDHLQTRPTHVVGTMGYLSPELVRTGKATPATDVFAFGVFVLEVACGRRPLGRRNVLLLDWRSQCWRVVVRVRALPRRPEGGIHRCRPILFSFNGLSWLPWRPKEKDERKKMTQPELGLCDGLSCACGLLGLKKQFGERRSPEFL >Et_4B_038265.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27697213:27700922:1 gene:Et_4B_038265 transcript:Et_4B_038265.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRKLQLLSLLALLFLGRSLASSSSQNVFNLDNYGARGDGKHDDTPALAKAWKGACATSRPAVVLVPKGKRYLLKRVSLSGPCKSSVVVAVQGTLVASPNRSDWSDKKRRHWIVLRDVDKLTINGGGAIDGNGETWWQHSCKINKALPCKEAPTALSFHYCTNMRVQDLKIMNSQQIHMSVEDCTSVQITRLSITAPGTSPNTDGIHITRSKDVQVTNCKIKTGDDCMSIENGTHNLYVSKVVCGPGHGISIGSLGDDNSRAEVSSITIDTVQSYGTTNGARIKTYQGGSGYAKDITFQNIIMDNVQNPIIIDQNYCDKAKPCKAQASAVEISNVVFKNIRGTTVTKDAIKMHCSKNIPCHGITLQNIDLKMEGDKTATESTCQNAKWRKSGTPELVAPLLALLFLVRATEAADTSNVVSAAPIAVSSQSVFSLDRYGALGDGKNDDTQALANAWRAACSSPQPAIVLVPGGKRYLLKPITLSGPCNSGVVVTVKGTLVASSNRSDWSDEDRRHWIAFRRVNTLTVNGGGAIDGNGDTWWKYSCKVNRNLPCMEAPTAFSFHECTNLRVEDLQIVNSQKIHMSVANCTNVELARLSITAPDTSPNTDGIHITRSKNVKVTNCKIQTGDDCISIKKGTHNLYVSKVVCGPGHGISIGSLGEDNSRDEVSGITIDTVELYGTTNGARIKTYQGGSGYVKDIESCLEEG >Et_4B_039913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5759492:5760161:-1 gene:Et_4B_039913 transcript:Et_4B_039913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFNGVGLALVEPAIYSLVADYSDDATRGSAFGWVQMAQSLGPVVGGSLGVLLAPTTFLGWRLAFYLVALISVALAALTWLLAADPRPSVTKTKALTATLSEIALEAIVAQGMAGSLPWSALNFAAISSGSRTGHWATTLITNLYHLANGLGALFAGLVEDLMAPRFPDTGRIPLAQVCTASPVLLLAFPEDPLGRGGLCHRVLYLRLRHALVPRRH >Et_9A_062573.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24134648:24145046:1 gene:Et_9A_062573 transcript:Et_9A_062573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPADQSPEEVYSVWALPPEPVRGRLRRVMEGLRAAHGGPAFEPHATVVGAIRLRRSQAVEMLRTAAAGVSPYTARVTGVARGDFFYQCVYLLLEPTPEVVGASDHCSGHFGYQRPTPYMPHVSLLYGDLTDEEKEEARKKVEELDKYICGLQFEISELALYRTDTEDKSLESWELVEVGHLEKKGIGAVQSDAIGRRRQTKSKSVKGGFPDVDAKFDDILLASAPGKCQCYRRGNPANGRLCHVPSSPLHA >Et_7A_050601.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:5581463:5581657:1 gene:Et_7A_050601 transcript:Et_7A_050601.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKWWSIRRKPKTHAKAWSDQSKDSLGSHAFRHLGDPESHPQQPYPGQKSFLVERRNRRISSPA >Et_9B_065584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:7457285:7463312:-1 gene:Et_9B_065584 transcript:Et_9B_065584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHHGSSLGGGLHALDKTFSVGLENETHIACNLNNYTHQSNRRRGRNGTNQFAPPIKYFHIHERGRRIFVSYSTEPPPPLFFPICAAVFLSPALAVAILPVAAATPAVVPCSCSSHKIAREKGRRKEKKEGEEGEIRGIGQFLCKSEFLATRPTTICIKTSKDVRDRETSAPAEVSHHTMSLFDVLSPLYLADEGRRRSHFRKCLLKEPP >Et_4A_035263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:15423192:15425053:-1 gene:Et_4A_035263 transcript:Et_4A_035263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIKMSRGGSAGGGQSSLGYLFGGGEAPAPKPAAAAPAPAAAPAATAPPAEKPAPKADVAKQIPAGVASQTNNYHRADGQNTGNFLTDRPSTKVHAAPGGGSSLGYLFGGN >Et_10B_002706.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:9645359:9645457:-1 gene:Et_10B_002706 transcript:Et_10B_002706.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTLEANNPRSSLGKIASLEQSRNRCDVQIK >Et_4A_033025.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17115976:17117024:1 gene:Et_4A_033025 transcript:Et_4A_033025.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKLWDDVVAGPHPETGLEKLRKATTSRPLVINKDVDGGPVAGSYKRAQSMPTTPTTPVTPSSSSSTPRGGNNVWRSVFHPGSNLATKGLGANIFDRPQPNSPTVYDWLYSDETRSNHR >Et_4B_037368.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1967611:1973763:-1 gene:Et_4B_037368 transcript:Et_4B_037368.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSFPSLPLPEAAAAAAHASLLALAALLLLLRAARALASRCASCLKPPRRPAVVAAADADGRSAGAGAGAWYRAALACCAFALLAQVAALSYEVAVAGSRVSAGALLLPAVQAVAWAALLALALQARALGWVRFPVLVRVWWAVSFALCVGIAYDDSRRLMGDEAGAVDYAHMVANLASMPALGFLCLVAVRGSTGLEFEFTDADGVHEPLLLGGQRREAEEEPGCLRVTPYSDAGIISLATLSWLSPLLSVGAQRPLELSDIPLLAKKDRAKSCYKAMSSHYERQQMEHPGREPSLAWAILKSFWREAAINGAFAAVNTVVSYVGPYLISYFVDYLSGKVIFKHEGYILASIFFVAKLVETITARQWYLGVDVMGIHVKSGLTAMVYRKGLRLSNASRQSHTSGEIVNYMAVDVQRVGDYAWYFHDIWMLPLQIILALAILYKNVGIAMVSTLIATALSIAASVPVAKLQEHYQDKLMATKDERMRKTAECLKNMRILKLQAWEDRYRLQLEEMRNVECKWLRWALYSQAAVTFVFWSSPIFVAVITFGTCILLGGQLTAGGVLSALATFRILQEPLRNFPDLISMIAQTRVSLDRLAHFLEQEELPDDATINVPQCSTKNAIDITDGTFSWNPYSPTPTLSGIHLCVERGMRVAVCGVIGSGKSSLLSSILGEIPKLCGQVRISGTAAYVSQTAWIQSGNIEENILFGSPMDKQRYKRVIEACCLKKDLKLLQYGDQTVIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYILSALASKTVIYVTHQVEFLPAADLILVLKDGHITQAGKYDDLLQAGTDFNALVSAHKEAIETMDIFEDSDGDTEKKKKEKKKRTVQEEERERGRVGLDVYLSYMGEAYKGTLIPLIILAQTMFQLLQIASNWWMAWANPQTEGDAPKTDSVVLLVVYMSLAFGSSVFVFVRSLLVATFGLAAAQKLFVKMLRCVFRAPMSFFDTTPCGRILNRVSVDQSVVDLDIAFRLGGFASTTIQLLGIVGVMSKVTWQVLILIVPMAIACMWMQRYYIASSRELTRILSVQKSPVIHLFSESIAGAATIRGFGQEKRFMKRNLYLLDCFARPLFSSLAAIEWLCLRMELLSTFVFAFCMAILVSFPPGTIEPSMAGLAVTYGLNLNARMSRWILSFCKLENRIISVERIYQYCKIPCEAPLIVEDCRPPSSWPENGNIELIDLKVRYKEDLPLVLHGVSCMFPGGKKIGIVGRTGSGKSTLIQALFRLIEPVGGKITIDNIDISSIGLHDLRSRLSIIPQDPTLFEGTIRMNLDPLEERADQEIWEALEKCQLGEVIRSKEEKLDTPVLENGDNWSVGQRQLIALGRALLKQAKILVLDEATASVDTATDNLIQKIIRSEFKDCTVCTIAHRIPTVIDSDLVLVLSDGKIAEFDTPQRLLEDKSSMFMQLVSEYSTRSSCI >Et_10A_001497.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4042380:4046670:1 gene:Et_10A_001497 transcript:Et_10A_001497.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMEAALVSGILKVVGTKLAALVTKEFSAIAGVNKELEDLQELVDWINDWLEKVGDKAISNQKSSNWLKWLKDAAYDAEDLVYEFHMEAEKLSENAIGVNSVVMKYLWTKPKSVVLKYKIAHKIKEIKKIFDAIVKGRSDYSTITNSMSVNHHTRFISKTIGEVPSLTNVDEASIVGRDQLKHRLISDLTETNDLQKIKIVAVIGLGGSGKTTIAKLIYNDNDIIKKHFEVILWVHVSREFAVEKLVEKLFEAIDGDKADHHASQRMSRTISNKLAGKKFLLVMDDVWTEDHIQWEQFMVNIMGGASGSSILLTTRSRKVAEAVDSTCTCDLPFLSEEDSWKVFQGSFGMTMEGLETEFQQVGKEIVKKCGGVPLAIKFNSKLYDKVHALYISWGNLTFDKTINKRSCVRTIILDHINVASLPLYISKFGYLGYLQISRVNCEALPEAISDCWNLQAIHIINCRRLAALPESIGKLKKLRTLELKGSLNVSILPQPIDGCSNLQNLYLHDCGLEDIPDSVANIDKLRVLSIVDCCKFHLQQLLSFEVFGKLRNLQTITLSGCMALQHLPHSITLLSHLEYIDLGSCNHLLELPEGMRNLKRLQVLNLYGCDYLCGLPEGCGQLTCLQKLVLFVIGVSTKHAKISEIENLDKLNGELHITNINNVKDPCDAEKVRLKKKIGIRDLSLDWYRRDSYWLPLWMTKPSDSCYLDDMRIPKQSSPPQFSHLTKLVLENLSNLEHLWGLVDLPGIKILEMRKMPKLVELLTTRTSLACREEEVDEQYCFPDLSDLVISDCPKLIVKPCFPMSLKRLTLEGSNEQLLSSGSFFNPGHADGNVPTSSSCTVDVKTPHLIKLKLGRLIESSSGWDVLPHLIGLEHLEIDKCQDLRQLPESMRSLRRLLRLDVFSCNNLCMLPEWLGELQPLQYLHISDLPKMRVLPQSIQHLTSLRSLAIERLTSLRRLSLSDCDALTQLPESLGELSAHRRFWIYCPCPVPCNALLL >Et_9A_063079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:9751049:9765346:1 gene:Et_9A_063079 transcript:Et_9A_063079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDTSAGIMRMRNYLDGSPPLGRFRIPVEAERLRDNDIVLEQYLLANTPGIAGFRLDFFNVIIPRVTHSPSSSELNMREFSLTRMEDRFVNPATLYIQVTVVKESGKLVLEEYRLPEVKANTPLYFDFPDLREDVRCVIFRLHGDVTAFVDDISELDGLNLRNLPVASGLSLSHKIKLYYYADTYGRPRAACGLPATPALPLQTVT >Et_8A_057609.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5069829:5072591:1 gene:Et_8A_057609 transcript:Et_8A_057609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVSGSTSSRGIAAVVGVGPRLGSAVARKFASEGYTVAILSRDLEKLSQLAEEIAQEAKAQVFALRVDCADARSVREAFEGVLSLGPVEVLVYNACEPTASADGPTPFLAVSPDAFHRALAVSAVGAFHCAQQVIPGMVERGRGTIIFTGSSASVAGFGGYADLSCGKFALRGLSQSLAKEFHPAGVHIAHVIIDGVIGERRSPRGSRAAAADDGGGEAAGADPDAVAQSYWHVHAQDKSAWTQEMDIRSPSFM >Et_9B_065993.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:19601708:19603261:1 gene:Et_9B_065993 transcript:Et_9B_065993.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGAASPAAAATTPPHRRLPDFLQSVNLKYVKLGYHYLITHLLTLTLLPLMAVILLEAGRTDPDDLRQLWVHLQYNLVSVLVLSAVLVFGVTVYVLTRPRPVYLVDFACYKPPDHLKVPFEEFMSHSRLCGFSDDALEFQRKILERSGLSEETYVPEAMHCIPPQPTMANARAEAETVMFGALDSLFKSTGVKPKDVGILVVNCSLFNPTPSLSAMIVNKYKLRGNIRSFNLGGMGCSAGVIAVDLARDMLQVHRNTYAVVVSTENITQNWYFGNRKSMLIPNCLFRVGGAAVLLSNRGADRRRAKYSLRHVVRTHKGADDKAFNCVYQEQDGEGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPVSEQLLFFATLVAKKLFNAKIKPYIPDFKLAFEHFCIHAGGRAVIDELEKNLQLQPVHVEASRMTLHRFGNTSSSSIWYELAYMEAKGRVRRGNRIWQIAFGSGFKCNSAVWHALRNVKPSPNSPWEDCIDRYPVELIDGMPLHKPQQ >Et_2B_020079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16687785:16690018:1 gene:Et_2B_020079 transcript:Et_2B_020079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGGGGGGSTKKEESLPPGFRFHPTDEELITYYLRQKLADGSFTARAIAEVDLNKCEPWELPDKAKLGEKEWYFFSLRDRKYPTGMRTNRATNAGYWKTTGKDKEIYTGQQTATPELVGMKKTLVFYKGRAPRGEKTNWVMHEYRVHSKSAPKSNKDEWVVCRVFAKSAGVKKYPSNNAHSRSHHHHHPYTLDMVPPLLPTLFQHDPFARHHHHPYMTPADLAELARFARGTPGLHPHIQPHPGGGGFINHAAAAAAGAPFALPGLNLNLGASPAVPSPPQPPHQVLHAMTSMAPGGHGAGQVMGSDQQQQQQQQMAPGLGGCVIAPPGADGGFGGGGTDGVRYQNLDVEQLVERYWPAAGYQV >Et_1B_012216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:324621:326783:-1 gene:Et_1B_012216 transcript:Et_1B_012216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSAENGGGDSMSPAEARLAMMELANMISVPMALTAVIRLGVPQAIWAAGANAPLSAAELLPAGHPDPTVLERLLRLLASRGVFSEHGDSGSPRRFALTAVGRTLVPGPSGASYADYVLQHHQDALVVSWLRLHDAVLDPAGPEPFARAHAGVPAYAYYGKDRDANDAMLRAMTGVSEPFMDALLDGYAAGFDGVVTLVDVGGSSGACLEMIMRRVGTITEGINFDLPDVVAAAPPIAGVRHVGGDMFKSIPSGDAIFMKWVLTTWTNDECTAILKNCYNALPDAGKLIACEPVVPDKTDSSVRTRALLENDIFVMTTYRTQGRERSEEEFRQLGLAAGFTGFQAIYLDPFYAVLEYKK >Et_1B_010376.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:995613:1001579:1 gene:Et_1B_010376 transcript:Et_1B_010376.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGRMDAAAPSSSHTPPADAHALYFVIHLRAIIFLGVLIKVSSFDAVMMGTECIMTTLSEDPDLSFPPGFGPFASLSLRGIQNDVKPVENHSSSVQVLQNIDKDVQISETSSAHCRSGTLCSTSGSNTCRKSLRNRPPIDYSLFDLMWDDDSDVEVAEKGATSVRRRQQLPKGVLRGCAECADCQKVVARWNPSGARRPVLDEAPVFYPSEEEFKDTLKYIESIRPTAEPYGICRIVPPPSWKPPCLLKEKNIWECSKFSTRVQKVDKLQNRRSSKKSRRGGTMKKRRKLSEPEENCNINHSQAVMQQNQERFGFEPGPAFTLQTFKKYADDFSDQYFRKDAYEHSPPSVEDIEGEYWRIVERPTEEIEVIYGADLETGTFGSGFPKSSSGVKSDVEQKYAQSGWNLNNLPRLQGSVLSFEGGDISGVLVPWVYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGKDAVNLEAAMRKHLPDLFEEQPDLLHNLVTQFSPSLLKSEGVPVYRCVQHEGEFVLTFPRSYHAGFNCGFNCAEAVNVAPIDWLPIGQDAVEVYREQARKITISHDKLLIGAAREATRAQWDILFLRRNTADNLRWKNICGPDSTICKSLKARIEMELKQRQNICTSSQSRKMDAEYDSTDRECALCYYDLYLSASGCLCCPEKYTCLAHAKQLCSCGWDRRIFLFRYDVNELNILADALGGKLSAIHRWGVSDLGLSLSSCVKREKVQDSTNARRSTDGPRRSYMSQASTISLVPSLVCNEQENNRNKILNSASPEMNNACLSPEQIKSRNISPSKEPCLKNEVLYSQNDGIIQSPTSPFNASGGSTLVDHGTNTKPTLESPNNSYRLMTSNCNAASCHPYKDQVLIKPEVHTSVMTGKDNSRSCTSSVQQFAKTFSRAQNSSKEAPVSIFTSKPFVDPSLLKNTYTGFSLGGGHLRHQNLARFIFVNAGFRSRVKYFSIVDPLQMAYYVSEILDAGLHGPLFMVTLENCPGEVFINVSPTKCWNMVRERLNMEIRRQHCMGRANLPTPQPPGSVDGLEMFGLLSPAIVQAIEARDRDHICTEYWRSRPHGIVDDRDYCRMPTQGPPHIALRGLFQRANCDELQALRSLLTNTSSLDNSTRHQAFQILDEEIAKRWC >Et_4B_036863.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:12145812:12154144:1 gene:Et_4B_036863 transcript:Et_4B_036863.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPWLLVVHGLVTVLVVVSFLCGQWPIFEGTFIQSINHFITFGAYHHILQLVQKVCGNGARDLVLGVERYCCDRPNPILQVFYVAIIGVTYFIIVQTSFEYIPGYYVSGVHRYLSVVAVVVGSILFVLTSFSDPGTVTNENVSQYVSFYPYDNIIFVEKECSTCKITRPARAKHCRICDRCVARFDHHCGWMNNCIGEKNTRYFVAFLVWHFLLCVYGAVVLGFILAGELKERKVIYILTAYYGVDNSFSGLFPHVTQWLLAVHNTQILLSVFLAIIALLLGGFCAYHLHLCLANTTTNENLKAVAIYMQAAVCLCATTEMIRNALQKLLATTEMSLRNGYEMQVTSTTGIVVPMKNNRLVTEGASFKCENHVQTVHEGDKAMHFRYDIYQLT >Et_3A_025308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28855687:28856078:1 gene:Et_3A_025308 transcript:Et_3A_025308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSQAHDQLWVRFVRKNKGRILHVTGLTKPNFDLGQSAGLLRLHVHVCLGLTIAPGLLPSNYDGRLSLNKLYATRAAAAAAAAVGGATERSMPASPLMPPPPAAPSVAGGVPPATSLDRQIS >Et_2B_021027.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25712853:25715860:-1 gene:Et_2B_021027 transcript:Et_2B_021027.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGRDIYDVLAAIVPLYVAMFLAYGSVRWWGIFTPDQCSGINRFVAVFAVPLLSFHFISSNDPYAMHYRFLAADSLQKLVILAALAIWHNVLSRYRRGAGAASLDWTITLFSLSTLPNTLVMGIPLLRAMYGDFSGSLMVQIVVLQSVIWYTLMLFLFEYRGAKALISEQFPPDVGASIASFRVDSDVVSLNGREALQADAEVGHDGRVHVVIRRSASASTTGHGAARSGVSLHRPYGSSAMTPRASNLTGVEIYSLQTSREPTPRGSSFNQSDFYAMFNGSKMASPLAQPGARAQGLDEQVANKFASGKGSDATAYPAPNPGMMPPPRKKQLGGSNSNSNKELHMFVWSSSASPVSEANLRNAVNHAASTDFAAAPPPVAPVDGATPKGVSGSVTPVKKQVDPAAPNDLEIEDGLKSPATGLAAKFPVSGSPYVAPRKKGADVPGLAEAAHPMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLVSFRWNIQMPSIIKGSISILSDAGLGMAMFSLGLFMALQPNIISCGKRVATFAMAVRFLTGPAVIAATSIAIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNCHPQILSTAVIFGMLIALPITILYYVLLGI >Et_9B_063705.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:20960970:20965609:-1 gene:Et_9B_063705 transcript:Et_9B_063705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VKRGFVPDVFVSNVLLDSYTKGGSLAAGRQLFDETPQRDVVSWCTIIAAHASRSLFVEAFGLFKKLLSSDQVKPNRFVISSVLNACARSGVMGLGLMVHGLVVKSGLGVDRFVEVGFVDMYAKCGNVGDAVRLFNEIPVKSSVAWNAMLSGFSENGCFMEAAELCQDMHRVGMAMDVVTLRVVAGVAATLGMFEFASNIHVYALKVGLEMDCFVVSELIKSAGRIGETQYVGKLVAAVKRRDASLYSVAISSYHSNGCQVEAVRLAEDLLSSGLSLREGGMVTVVGICHTKTEVQQIHAFTLKTGRFSYTNVCNSLISVYSGLGSLIHAESIFRTTKSPDIVSWAAVMAGCVKNLQYEQTYDYFRELSNTGAQPDQHCVATIISACTGLQDLDNGRQIHALALKLGILLVDFISASLVNMYAKCHHIEGAAELFSHASFPRNIVVTNAMLSGYCWNSLPEKALQLFCREYHFGLRPDQFTFSTVLSACAEIGAKHAGEQIHGYLVKIGSEYLDVIVGNALIDLYVKCGCIASACRFFHSMRSWNMNSYAMLMLGYIQSSCNDEALQLFSKMQHSGLRANHVTFARILRGCADVCAVDLGRQLHASIIKMGLISDVYVANALVGMYRRSSAWAESRRNSKEPLPRNGQEQNSKETCYSEQMYASSTLEEIGLFTLDQKKDHDTISDAWKIYSSAASQFYGSPIPIHLVGHEFDGINTLIGEGRSVKCNEGRRLLNDKNTIYQRKGCGSVKLFSLLQEGSTKSDQLVLVVFTDNRYSKMKDPGFVELVGRSDMPALCFPP >Et_8B_059093.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:13892852:13896122:1 gene:Et_8B_059093 transcript:Et_8B_059093.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIEECGGDGGRHLGLIGSRILVGVPDNSRGCSELLSWATGAVAKANDSVVAVHVLGGRGRKRRLQKANAFVIHMLGEFVEACEAKQVNLEAKVVCSSNIGRALTQEATLTDANLLIVGRSRTGYHRSHFEIANYCFMHAPRNCSVIAVGREGLPQRGTLLKSRSFDADSNTSTSSTWSRRFPPLQKLLRSNSTRKLARSANDGIEDKSSPRSVIGGPEEAEHQVTEECYSTSSNEVSRRGHHGLWRRLSDMKLWLPFLRTIGDDSARGSDVCSTNAEDHKPAFRCFSFQELSVATNDFHPDNMAGRGGYAEVYKGILSDGQCIAVKRLAEGKPSEQKEKEFLAELGIQGHVCHPNTAYLLGCCVENGLYLIFEFCANGTLASALHGKSGKILEWHLRYKIAVGVARGLQYLHMFCRHRIIHRDIKASNVLLGDDFEPQISDFGLAKWLPKQWTHHSVLPIEGTFGYLAPEYFMHGIVDEKTDIFAFGVLLLEIVTGRRPIDCSKQSLLQWAKPLLEAGQATELADPNLGNDYDKDQLKRMVAVASRCIMRPAMWRPSMAEVLHFLSSDDYLEEPEKWNIPEDEVNDMDDCSLFSESLSP >Et_4A_032605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:11847653:11858572:1 gene:Et_4A_032605 transcript:Et_4A_032605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRPPPRGGGANGGGGGGLSYSTLFNLEPLLNFRVPGPGDLARYGNSSPNGSASSEGQGSLLDQYNGTNDVSQGQHRKRKRHLDGASDEDDAGAYSNQITEEQYRTMLSEHVQKYKRSKSKESVFGSDPSRAAIPQAKHKNSGKSTTKHTSDFMNVATLDEVEASHDYNGTECIRTYGGFNKIVASLDSTYLDMGNNIRYLVPEGYDKLALSLNLPISSNIRVEEHFLKGMLDLRTLAAMLGTDQKFEATNRGGLAEPLPQYESLQERVKIHKFSLQVTEDPFAIPEGAAGRIRRLIMSEAGSLQVHYVKVLEKGDTYEIIERSLPKKQITKKEPSLIVKEESEKTYKLWQSIATKSIPRHHRNFNAFLKKRQIDAKRFSDSCQREVKLKVSRSLKLMRCAAVRTRKLARDMLIFWKRVDKEQYELRKKEEKEAAEALKREEELREAKRQQQRLNFLLSQTELYSHFMQNKAGDSAQPAEGSASEEDEEEDPEEAELKREAMKAAQHAVTQQKRMTNAFDSEVVRLRQTSESGIPVDDSSTMDPSKIDLLHPSTMPETSSVRTPELFKGVLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTVQAMAFLSHLAEDKNIWGPFLVVAPASVVNNWAEELIRFCPDLKILPYWGPERIILRKNINPKRLYRRDASFHILITNYQILVNEEKLLRRVKWQYMVLDEAQAIKSSSSQRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIEGHAEHGGALNEHQLSRLHAILKPFMLRRVKIDVIAEMTKKKEEIVPCKLSSRQQVFYQAIKNKISLNELLDGSRGNLNDKKLLSLMNIVMQLRKVCNHPELFERNEGSSYFYFADIPNALLSPPFGELQDVHYAGKRNPIKFEIPKLVYEGIIRNMEILGNGCGFRNGYLNRLFNIFLPINIHHSAFPEDNSSDESVVLSGAFGFTRVTNLSPVEASFLATCSLLERLVFSAIQWNKTDSDESMNSFLYSEGTDDQFTQNDVTKVRAVARLLLSPTKAKSSLLRTKIGTGPSDSPYEALLLSHHDRLVSNIRLLRSAYAFIPPARAPPVDIRCADRNFAYKYTDEMHDPWAKKLFLGFARTSEFNGPRQPVGLHPLIQEMHTDLPIPEPMLQLPYRIFGSSPPMSNFDPAKMLTDSGKLHTLDMLLRRLRAEGHRVLLFAQMTKMLDILEDYMNFRKFKYFRLDGSSAISDRRDMVRDFQNRNDIFVFLLSTRAGGLGINLTAADTVIFYEIDWNPTQDQQAMDRTHRLGQTKEVTVYRLICKDTIEEKILQRARQKNAVQELVMKGKHVQDDHLMRQEDVVSLLIDDAQIAHKLKEITMQAKDRLKKRRAKAIKVDKEGDLLLEDLDETNAEGAEQANTGNKKKKSTHKKAVKSHDNDNPDKNAEAPTGADNPGSGNTENEQNAEPRPKRSKRLMKSSGDDKESVAATDHEKLKDEAENHTAHDHDDTEEVQDKTTGYSLIHRLKDDKPRMRNS >Et_2A_016942.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29714512:29715581:-1 gene:Et_2A_016942 transcript:Et_2A_016942.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNTSLAAVVLLCGLLILGAIEHVGATVACGTNACEKGSYMTCANMRAQYFNGCDCRCAPLGCKGCVVQPSAKLAPGTNTNTAPGANVNTAPGADVNAAHGALWLHTAPGADVNTNTNTAPGADVNTNTNTAPGANVNTAPGADVNAAHGALWLHTAPGADVNTNTNTVPGANANTAPGADVNAHGALWLHTAPGADVNTDTNTAPGANVNTAPGADVNAHGALWLHTAPGADVNTNTNTAPGANVNTVPGANMNFGSDVNTAPGADVNTAPGADVNTAPGANMNFGSDVNTSPGANVNAALGAP >Et_2A_015562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:1545388:1549966:-1 gene:Et_2A_015562 transcript:Et_2A_015562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEKKRKQPPAEVAPSTGDDGERKERRKDKKPKKDKAEAILPSQIKNKEKRSELHAKLKREKKAQKRKLARERGQAAQRAPPERQVPRTIENTREPDETVCRPDDQELFAGNDADEFNAVLKQHITPKVLITTCRFNSGRGPAFIEELMQVIPNSHYVKRGTYELKKIVEYAKNRDFTSLIVVHTNRREPDALMIIGLPDGPTAHFKLSKLVLRKDIKNHGNPTSHKPELVLNNFTTRLGHRIGRMIQSLFPQDPNFRGRRVVTFHNQRDYIFFRHHRYIFDTKESKVASKDKKDKKSESKSQTEKQVICRLQECGPRFTLKLLTLQHGTFDTKSGEYEWVHKPDMDTSRRRFFL >Et_7A_051978.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:3369189:3369628:1 gene:Et_7A_051978 transcript:Et_7A_051978.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGKNVEFPFVRYGDIVDATNNFSDSNMLGREGFGKVYKGKLEGGQEVAVKRLSQDSGQGIDEFRNEVVLLVKLQHRNLVRLLGCCIHEDEKLLIYEYLPNKSLDSYIFGMH >Et_8B_058694.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:16883486:16884094:-1 gene:Et_8B_058694 transcript:Et_8B_058694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAASLSLAEALYVAGAPLRHVVQQSVGGPARLRVRARQDNIAGVKLPRFEHFLSTEGSPATSLAGLAAGGQQVAACRAAYARAVEALVELASLQTSFLTLDAAIKVTNRRVNALENVVKPRLDNTIAYIKGELDEQEREEFFRLKKIQGYKQRELEQQMESAQRYAEEKVAGEVALKRGVSMGTAANMLENGDRDDEDIIF >Et_5B_043492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11172087:11178407:1 gene:Et_5B_043492 transcript:Et_5B_043492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAHLAGAAPAPAAVCGHTDRVFRALALASLYILVRRWRAGGAGLSERPAPAEIAAAAALCASVAWLYALPAFGIRRSSSRRQHQDYLMTWKMHDCRASGQLTPHKKPDSCSTKPQRMLVRQHVRLARECERAIAFEYTITVGCHRKKHDTCGNAVEYTIRLGSTTSQDDRPRYVLTQNGFTSGEKWSSAKRGHCPL >Et_1B_009796.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27363866:27365036:-1 gene:Et_1B_009796 transcript:Et_1B_009796.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPKCSHQSPLNILNDILDCPFAGSNESPGVIGASFTGTKPVQSRLKHPVER >Et_3A_024646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22970930:22976621:-1 gene:Et_3A_024646 transcript:Et_3A_024646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVKGTLQGRAGCPQTFLSARKPRSANSVRFHPLQQRGGVFQSLAWSADGDRGCRRLKTQEPDRMPLSRKPFFSNDNFIDPQAPTSYIDVLNTLRPRHPLSQLQEITCEKPEQDENVLQQCARNFVDGIIQFISSEKEYYKFIIIACKENINMIMELGFISCYNRDDILRGLERIEDDIAKERFEWRENKDVRSNIVEALVERVGEPARKLDATISQYVQKLTILRLWFHDSVDTIATQIEQLQVELVLLALRNWRFVVPLLFRCTDWILLGELILSKVEMLERDVSLLRSCKNKIDSTLFIALHLCSADGCLDRSSNDGSHLLQNAVINFGNMIIGDIAIDISTIKRDISYWTAFRFLTPNDRVSESFSLLDKHISDLSKLTTIRRDPFTCYSIQDPCQRFFAVYVIVQQLLKAASDFAKNASFDNKRFQSFPSFRYSNTGSSRTHSVEVNSEDESEKATQEFKSRSDEVMKTLVDWLAKHQTVENPLKFSQFDVE >Et_3A_024944.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:25551790:25554640:-1 gene:Et_3A_024944 transcript:Et_3A_024944.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASRARFLAAACCIAVLLLAPPRDVAAQHSRGLRLPGAGPLPANATRAEMIERQFLEWVRFMGGLRHSTFQHALARAFPSYSLVVDKNPAFGDFTTIQDAVDSLPLINLIRVVIRVNAGTYTEKVTVSPMRAFITLEGAGADKTIVQWGDTADTPSGSSGRPLGTYNSATFAVNAQYFLARNITFKNTSPVPKPGASGKQAVALRVSADNAAFVGCRMLGAQDTLYDHSGRHYYKECYIEGSVDFIFGNALSLYEDCHVHAIARDYGALTAQNRQSMLEDTGFSFVNCRVTGSGALYLGRAWGTFSRVVFAYTYMDNIIIPRGWYNWDDPNRELTVFYGQYKCSGPGANFAGRVAWSRELTDEEAKPFISLSFIDGTEWVRL >Et_3A_022989.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:13540650:13544351:1 gene:Et_3A_022989 transcript:Et_3A_022989.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGCARGLGAAAAEAQFVARLTASMAAGDLLSGAALHARYAKAHVPPTTFLANHLLLFYSRLALPALARRLFDEMPHPNVFSHNALLAAHARDPRHAAQIFARVPDPDVVSYNTLLAAFASAGLAANALRLFLSMRREGLPVDGFTVSSAVSAVTSIAVVSQLHAFGLVSGLDAYVSVKNSLMSGYGKGGLLEEAERVFVGMGDNVRNHVSWNCMIAVYGQHGHGRKAMELFQDMARQGLVADACTLASVLSAFAASRDVDSGMELHGRLIKSKFTHDPHVASGLVDLYAKCGSIQDACKAFCEVEKPDLVLWNTLISGYSLHEEFSEEALLCFRAMQRAGYCPDDCSFVSVISACSNMSSPSQGQQLHALVVKSDIQSNYISVQNAMITLYSRCGKVLEARKLFDRMVERSTVSYNSIIAGLAQHGHAIEALRLFEDMLNSAYTPTDITFISVLSACAHTGKVDEGWEYFNSMKQKYGVDHCEEHYSCMIDLLSRAKKFEDAEKMITEMPFSLSSVGWTSLLGACRTHGNMDLAAKAAKEILLLSPFSASAPVVLSNMYASAGK >Et_8B_059173.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14874085:14877792:1 gene:Et_8B_059173 transcript:Et_8B_059173.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSAVTAGLFCDTGNLFISQSECMARNIRKWYVTTTEAPTARQYQEKNKWTEEEDNNLIEAHKICGNSWKAIANFLGRSENSVKNHWNATKRSIGSRRWVKKKMREETFCQFTALEVYIGSLCMNSQPEQLGSDSGHQQQSFPNTFVEPLVSNPHAIGMYMNDGGVVPPQMMQDGLVHQNVLASTTSINPLGMYSNSATLAEIQAMQSQVAFYRPTSTGHLYYPVQQNMMCYQDPQGTNINLNYPGHQNMMSYEDPQDTYINLNYPLSENVTCQSLYQADSNLFNNEIGASSVGGNIVAFSENVMLQSPNQGNSNGFNIQVGESSAVNNIVSLSENAMWSSSNLGKSNGFSNEPRQIIEPEASDFRCLRLQSVTGC >Et_5B_043624.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:13079897:13083587:1 gene:Et_5B_043624 transcript:Et_5B_043624.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKGSKKAKKVEDVPDQQENKLPDYLELQRTRVVCKADAPIHTQGFQYSGSFAAMGVDNSVSVEKFRKNFKVEINRLTEDDMEFDMIGIDASMANAFRRILIAEVPTMAIEKVFMVDNTSVIADEVLSHRLGLIPLNADPRLFDYISENDVPNERNTIVYKLHVSCGKGSQRITVNSGELEWLPEGSQLSTEAPAQAGEKQKTFTSFSQSQKEILDKPLGVKFNNITIARLGPGQAIELEAHAVKGVGKVHAKWSPVATAWYRMLPERAVVARPRACTLCRQCVMGPFGEKIELRSVRDHFIFTIESTGAMPPELLFTEAVKILEEKCERVISELS >Et_9A_063544.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:759624:763130:1 gene:Et_9A_063544 transcript:Et_9A_063544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRAHWDHATTAKLIELCKEERSLRNFNRIGPTHLEWTHIHQGLQAAFPNQFDHKQVNNKIGSLKRSYHKWLKLQRQSGLGHNRSTGGVSADDSFWDEHEENDEHDETEPSEEDHETHDPTLPQDRGTPPPFLEDLEFLFGRTPQDRGNLVCAGGVHQRSPSSPLPAPTPRSGHAAGPSRVPDASPVVTTRRGHDGRPSRVSDPSSAGTSAGVPEDVPPGSSVGAAADKSASKRTSVDGSVNSPKKKKSRSSLEESVHVMADTLVQCNIMKAQQHDDDLLRSVSNIMRADRFMDGSEMFLKAVVLCQHCPTRVQFLDLKDRDARIEFINFVWSNRDARSIGAAVAVIADDDDEEKDSEDDSEEDTGPVQQPEEDSEDESDVDEPPLPFQKPTGRQWVELTLRDQCGLESSYNVESIEALGMFVWACANRKSARRIEDTFHRSLDTIHRKMAHVADSPKYSVRSMAHVADCWSTVLALLQGC >Et_10A_002085.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:21665075:21666652:-1 gene:Et_10A_002085 transcript:Et_10A_002085.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPESKNTAFPLLVLLLPVIYIWYHLARTLTKKKPTTHGLKCHPLLGHLPAFVKNRHRFLDWSTELIVSSPDMRMGFWIPGMRTGIITGNPSDVEHVLRANFGNYPKGEHAISMLEDFLGHGLFNSDGEQWLWQRKNASLEFTKRSLRKFVVDVVQAEVANRLLPLLRRSSDSGVVLDMQDVLERFAFDTICMVAFGHDPCCLADGGVDLAEAKSEFIRSFGEAQDLIVGRFLDPVEVSWKVKKWLNVGTERRLKKAIADVHAFAMDIVRARRRSTAAVGDRVRDDVLSRFAASDEHSDEVLRDIVLSFLIAGRETTSSALTWFFWLVSSRSDVVARVVDEVRAVRASTGTRPGEAFGFDALREMHYLHAALTESMRLYPPAPIDSQSCAADDALPDGTHVGAGWTVTYSAYAMGRLAAIWGDDCMEYRPERWLGDDGTFQPASPFRYTVFHAGPRMCLGKEMAYVQMKSIVASVLEEFVVDVVGKDDAAGGVPEHVLSVTLRMKGGLPVQVRRRVAAGTETAE >Et_10A_000932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:19235123:19241315:-1 gene:Et_10A_000932 transcript:Et_10A_000932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWLARASSQLARRAAAARGRFLQPRHGGDTRPPWFLGSPVVGSPAAAVGGYRGFCSVRHFTGKSSSAAAASDEEPEDGFTDSDQVLDFPGGKVAFEARMNFLPESHGERISCYRVLDDDGQTISGSRFQEVSKEMARKMYSDMVTLQIMDTIFYEAQRQGRISFYLTSHGEEAINVASAAALSIDDLVLPQEFANQCFGNKMDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPHAVGAAYSLKMENKDACAITYFGDGGTSEGDFHAALNFAAVMEAPVVFFCRNNGWAISTPTSEQFRSDGIVVRGQAYGIRSIRIDGNDALAVYSAVHAAREMAISEGRPILVEALTYRVGHHSTSDDSTKYRPVDEIEHWRTARDPISRYRKWVQGNDWWSDAEESELRSRVRQELLQAIQVAERASKPPVAELFTDVYDQIPSNLREQEQLLRDTIMKHPADYPTDQLQYAYVP >Et_7A_052479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8434764:8451632:-1 gene:Et_7A_052479 transcript:Et_7A_052479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FTGMDAAQRLEWMSKCSRETYEAAKEGLQTNYYGTKHVTEAMLPLLQTSSDGRIVNVSSGWGLLLLFSNEELKHELNDVENLTEERLDEVLAKFLKDFEAGAEESQGWPIEFTAYKVAKAAMNAYSRILARRHPELRVNCADPGYVKTDITLHSGLLSPEEGADNVTKVVLLPAGGPTGVYFALGEEAPFVIAVVTGGNKGIGLEVCRQLASNGITVVLTARDENRGTTAVEMLKKAGLSNVIFHQLEITDATSIARLADFLKAHFGKLDILVNNAAVGGVEYLQDPVDVSEEKFNGMDAAQRLEWMSKCSRETHEAAKEGLQTNYYGTKHVTEAMLPLLQSSSDGRIVNVSSGWGLLRLFSNEELKHELNDVENLTEERLDEVLAKFLKDFEAGAVESQGWPIDFSAYKVAKAAMNAYSRILARRHPELRVNCAHPGYVKTDITINSGLLSPVEGAANVTKVVLLPAGGPTGRYFALGEEAPFVNNAAVGAVEYLHDPVDVSEKKFTSLDAALEWLSKNSQETYEAAKKGLQTNYYGTKHVTEAVLPLLQTSSDGRIVNVSSGSGLLRQFSNEELKNELNDVENLTEKRLDEVLAKFLKDFEAGAVESQGWPIQLSAYKVTKSAMNAYSRILARKHPELRVNCAYPGYVKTDMTINLGLLSPEEGAGNVTKVALLSTGGPTGVYFALGKEAPFV >Et_10B_003692.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:495953:496569:1 gene:Et_10B_003692 transcript:Et_10B_003692.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKYYSPCLVFDEPCSTQKQLSATLYLGAILTLPTFGMQIFPSRFVADHLDNRLHEITLCRPNRKDKWCVKYKCGRGSQGIRNYTFFKFVREGDICAFELMKGAKRVTMTVHAIKKGDDMFTLYVRSRKGNCDWKCA >Et_1A_004688.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30724808:30726043:-1 gene:Et_1A_004688 transcript:Et_1A_004688.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRVSGNSDGGLAVVERLEDVLRNMREFVVLLGSCPRVTRQPYSAYLFMERCIFGRQMEKEKLIGFLLQPAQDLDVLPVIGPHEIGKRTLVEHVCLEDRVREHFSKIHRLSSGDLDLPIHRGLIDAKARSLIVIDFAGDEADEEESWRRFHSSTMRRRLGNGESNKVVIISRTERHASLGTVPPLRLRAPRREELWYFFKALAFGGAMPEERPELVRVAMALFDSIPDCTPFVAASKIAASLRADLSARAWRRLLRVGASEMMLQLAGGQPGAREHDRPEEEQSLFMPVKDAPNTPCLLYNRRKATGVARSELPKVTMLELLGSAVPAGVQRVDVLDWQSRIPPYASYLATCDMERARQMVESAAKKRVPNKRRRGQQQNDDRGKQREESFEHHEERRGISQLQERQNKH >Et_1B_011810.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26218197:26219355:1 gene:Et_1B_011810 transcript:Et_1B_011810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFLQLLIPLCSLVNSQQKVVVRVPTMTDEKIKQKAIEAVADIYGIDSIAADLKENKMTIIGDMDAVAIAKKLKKLGKIDIVSVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >Et_6A_046807.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20986445:20987762:1 gene:Et_6A_046807 transcript:Et_6A_046807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKKVLMLCGDYMEDYEAAVPFYALAALGVAVDCVAPGKLPGDACATAVHEFLGFDTYTELPGHARFPVTADFAAAAAAGPSLYDALLVPGGRFVEPLSCDAAAVALVAAFASSPATATRTRRRPVVAVTCHSQLLLAAAGAMGGVRCTAFFSMRPVVELAGGRWVEPDPLGLCVADGHVLTAIGWPAHAEVIRELLAGMGARVDGGSGKAVLILCADYVDDYEANVPFRALAALGCRVDATCPTKRKGESCVTAIYDLPPAGSVSVTEERRGHNFVVTADWADVSADDYDCVVVPGGRAPELLVIHEKAVALVKEFADKGKVVASIDQGHLLLAAAGVLKGKRCASGVPMRVVSRLAGAEVVEADGAVADGKLVTAASWPDLSQFVAHLVNLLGITVSF >Et_2A_014721.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:9655185:9657152:-1 gene:Et_2A_014721 transcript:Et_2A_014721.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQEHHKQRTITSSTTMDSLSYYCLLAFLPLLYLIKSYLSPAYPGLRLPPGPWQLPIIGCLHHLRGGLLHHVMRDLSRKYGPVMFLKIGEVPVVVASSREAAREIMKTHDSVFATRPQTPTVKVLSEGSPGLALAPYGEQWRQFRRICMVELLSAKRVQSFRTVREDEALRLVRSVSSSPAPLINLGDLLSTYVLDISVRCIMGDRFKERDTFLRQLEKDMKILGGFSLTDIFPSSRLVRALSSIPREAEANHKEVLKLMDGVLSEHMERRSSKTPHRDDFIDVLVRIQREEGTLSTGEMKGLIHVSFTRITWMNLNSFI >Et_5A_041789.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2903183:2906117:1 gene:Et_5A_041789 transcript:Et_5A_041789.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPAARAVAEEIGRWACLKQTGVSLRYMMEFGSRPTERNLLLSAQFLHKELPIRIARRALELEYLPYGLSNKPAILKVRDWYLDSFRDIRYFPEVRNRNDELAFTEMIKMIKVRHNNVVPTIASGVQQLNKELCSTRKVHPGFDEINQFLDRFYLSRIGIRMLIGQHVALHDPDPEPGVIGLINTRLSPVQIARIACEDARSICLREYGSAPDINIYGDPKFSFPYVASHLHLMLFELVKNSLRAVQERYMNSDKDVPPVRIIVADGEEDVTIKVSDEGGGIARSGLPKIFTYLYSTANNPPDLDGPNEGSTMAGYGFGLPICRLYAQYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP >Et_1B_012649.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34005786:34012810:-1 gene:Et_1B_012649 transcript:Et_1B_012649.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNGAVSGVVSRKVLPACGGLCYLCPSLRARSRQPVKRYKKILADIFPATQEEEPNERRIGKLCEYVAKNPHRVPKITTYLEQRCYKELRYERYGFAKVVALIYRKLLVSCKEQIPLLASSLLNIIKTLLDQSRHDGMRIIGCETLFDFVVAQEDGTYQFNLEELVPRLCELAQIVKEEEKADALHAAALQSLSAMIWFMGELSHISSEFDNVVQVVLESYKPHKTQNVNRGTEAPGGQWVEEVLKAEGHVSPFPFTISTIPSWKSIVSDKGGVHLPMEDAQDPYFWSRICVHNMAKLSREATTFRRVMESLFSHFDNTNSWSSHNGLALCVLLDMQILMENSGKNINLMISILVKHLEHKAVLKQPEMQLSIVEVIAALAERSRAQASAATIGAISDLVKHMKRTLHVTLGSRDLEVVKWNDKLRKGVDECIIQLSKKVGDAGPVLDMMSVMLENISRTPLVAVATTSAVYRTAQIIASIPNLSYKNKVFPEALFHQLLLAMVHPDHETRVAAHRIFSVVLVPSSVSPFPHLTSPDQRGKYDIERTLSRTVSVFSSSAALFDKLRRDKSSFRENIQEGSIKRNLHSINDETATTKDLQCSQSLKHSFGVPSASQKYPSTSLKEGQNSLTESHNEIDSTLLRLSSHQATLLLSSIWAQAISPKNTPQNYEAIAHTYSLLLLFLGSKTSTFEALAPSFQVAFSLMSYSLGGTDSLPPSRCRSLFTLAISMIVFASRAFNVPALIPICKSMLNDRTMDPFLHLLHENKLRVKDCREDPSRTYGSSEDNQNALKSLSAVDLTERQSRESMVFMIMNSISDLPDSELENIKSQLLSDFSADDMCPTSAHLFESPGKNAQSGFDDDAHQQEFVGNLSRLNLPRIPLRRYVKLHQLLQAFLCSTEIFWVFTYDASQNGRTSVSAAPDIPFKEMTSHCEALLMEKHQKMSVLMSFNHNKQAAVVPNNQTNTTEVVYTSNKQNTNPFLQQNLDGYPQSVTVGESHVAVDFQQPFLKLPASSPYDHFLKAAGC >Et_1B_014043.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:28555210:28556253:1 gene:Et_1B_014043 transcript:Et_1B_014043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGILDDLPSGFHFAPPDEQIVELYLLRRVRGHPDLFPGFIVDDDTAANTQPWKLFKRHGRPQAFFFVHTNGAARPDRRCKRGGTWKSQKREKEKPCHEMVVDGERIKWSKHNLNLHMEESDGSVGWVMHEYTVAGSSLKMCSISFTGNGQKRKRIPDGYDDDEPVTQRPRVDADQESGSRTTTSTFHQGFSTAHASEHQELLHDSSDEEIAAEMVAEMTCVVQPSWEFQAEQVQQTVNASSAPREFQPPPPWTTTTFSQEPGSLAQDGAAHASEGFPQLADASEDIAAMIDEMTNNADLYLDQVPPAMDQRQSSCGVPDIGDTDAVHWEGIDFTFSYDDNSMC >Et_1A_007208.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:32033936:32038888:1 gene:Et_1A_007208 transcript:Et_1A_007208.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDKFDASQYAFFGNNVVEEVELGGLDDDDGGGDAFTRTGDEEYPPYGGDNLLEDEGLGSFTDVDDLAGTFNKLTRIVNEPKQPGIVSHRGSVSRQSSNADWPQESESSYWPTQPVPDTEQGLDKKNWWSQPPQSANFIDSRLYRTSSSPHQDAQYNHSEPTIGAKPSPLQRTSSYPQQEPQYSNTEPIPVPNKSFISYPSSGAASHSSPGQPHHMSMPSPPTAFQMPISAQNDLPLPQFPHGSTPPGPRFGMQHQMHRPNGPMPPQMPPPRQHGMLPIQQSPPHFSHLHAQMLGPQHSPPQSMQMFGPQHPPSQVTSRFDANFSMPDLSDPRARSMLHHGRQGQRYPQQGYEHMRMDNGWPRFRSKYMSTEEIENIARMQQAATHINDPYIDDYYHQACLAKKSAGAQLKRHFCPTLIRDPSSRTRSRDEPHAYLQVDALGRLPFSSIRRPRPLLDVEPASAPSDNIEKSASKPLDQEPMLAARITIEDGLCLLLDVDDIDRLLQFNQQQDGGLQLRNRRQALLEKLAESLQLVDPLAPNKNAPLSSNDDLVFLRIVTLPKGRKLLSRYLELVTSGSELARIACMAVFGHLRFIFGIMPSDISAAETITKLASATSACVRRMELSDLSACLAAIVCSSLQPPLRPLGSPAGDWASVIIKSVLDRATELLTNQHVASTYSMQNRALWQASFDAFFGLLTQYCMSKFDIVVHTAQLQPAAAAAISREMPVELLRASLPHTNEDQRKQLLSFAQRTVPVSS >Et_10B_002881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:1346460:1354307:1 gene:Et_10B_002881 transcript:Et_10B_002881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDARMVTESDSDSDAAAARGGSGSGSGSETPSASPSAPGTPTAAGASPGGAGPRPAPGYTVVNAAMDKKEDGPGCRCGHTLTAVPAVGEEGSPGYVGPRLILFGGATALEGNSAAPPSPAGSAGIRLAGATADVHCYDVLSNKWTRLTPQGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQKPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFVYGGLRGGVLLDDLLVAEDLAAAETTNAANHAAAVAAATNVQREPGKYGFNDEQSGQTAAETNPDGAVVLGTPVAPPVNGDMYTDISPENAVIQGQRRLSKGVDYLVEASAAEAEAISATLAAVKARQVNGEMEHSPDRENSPDATPSGKQNSSLIKPDNALSNNSTPPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVIYGTPENATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETIALLLALKVEYPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRVNRLFNWLPLAALIEKKIICMHGGIGRSINHIEQIESLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPEHHIEDTWMQELNANRPPTPTRGRPQAANNDRGSLAWI >Et_2B_021344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:28971584:28975071:1 gene:Et_2B_021344 transcript:Et_2B_021344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVKEKVVVEILEDDEKGSSANSLPPAVLDLNAGFTEGSEEGEVGEEDDDGGSTSEVAGGGGSSSNNSSTNHNSGSNKDHDINSSCKAEGSGDRVPTVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDHETGHERAGISSVFSPMDLHMRRGDPRFHGMFFQRAAGSVLSSRPLENGGFFASRNAVSSDASRIFGLLQRRQPTMQTFDFRNYSSLRNQETVFNHHAAATSRAGAINDKGPAKGLIHDMMFSKDGKPMSHLFDVRDAIASNRASLAASGAADRGGRIGSSSWIGSSSRPLSRTMSAVASTGFALGNHHLLSRWRDAAGSNGYRLSGDANTTSSDPVVSSEALAARLEKHHEPRAMKKATDEIHTGTGAKRTKTTSTGENGWTEDLQLSLINPNVGEEAEKAKKRKCMRNALSEQEEDSDKMPPLSLSLSLRGGDSGGEGGSGGDAGRLDTAIGSSSKKAALGLSTLDLTMSIKALEGSPRMLAI >Et_3A_026456.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:8286030:8289127:1 gene:Et_3A_026456 transcript:Et_3A_026456.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAMMKNDLMDYGILTNTVPVNPKPFLNNLTGKPVVVKLKWGMEYKGFLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVLYLRGVPEDTEIEDADMISADIIYDGARGRRNAE >Et_5A_041576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24606666:24607202:1 gene:Et_5A_041576 transcript:Et_5A_041576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLKPGVAVILRELEPSSEMFKQGASLRVTGNLQSYDVDSATAIIQDSRVILKVDTQHLRDISFRANSMYQFIGELMIHADNDAILQARIGRNVDGLDLNLYQQSLLIRRQHEAKLQSSRRA >Et_3B_030678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:6227047:6230514:1 gene:Et_3B_030678 transcript:Et_3B_030678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPGFAGFARTHHVAFFDAMATELPEDYASQEVNHLTLAYFAVAGLSLLRELDRVNKDEIAKWVLSFQFFGFCGSRSTQFPLASSKDPCHNGSHLASTYSALATLKIVGYDLASIDSKALLSSMRKLQQPDGSFMPTHIGAETDLRFVYCAAAICSMLDDWTGMDKLKAQEYILNCQSYDGGFGMVPGSESHGGGTFCAVAALRLMGFIHVDLASNLRESASIDIHMLLEWCVQRQVTDGGFQGRRNKDSDTCYAFWVGGVLKIIGAYNLLDHHALREFLLTCQSPYGGFTKFPRDRIPDIYHSYYGLAAFSLLEEEGLEPHCVELGILSAAS >Et_8B_060120.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6053962:6054934:-1 gene:Et_8B_060120 transcript:Et_8B_060120.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTARAPVAALRPSASLNSSFLGHSSRLGRVSSATATTRRSLKAEAKGEWLPGLPSPAYLDGSLPGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLIPEVLTKAGLINAPQWYDAGKSEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKSYSLPPHECGYPGSVFNPLNFAPTLEAKEKELANGRLAMLAFLGFLIQHNVTGKGPFDNLLQHLSDPWHNTIIQTLSS >Et_2B_020028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16196651:16199368:1 gene:Et_2B_020028 transcript:Et_2B_020028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAALDAMAATRWGRWLGLVTAVWVQCISGNNYTFSNYSDSIKTLMGLTQLQLNGLSVAKDVGKAFGLLAGLASDRVPTWLLLAIGSLEGLLGYGAQWLVVSRTVAPLPYWQMCVCLCLGGNSTTWMNTAVLVTCIRNFRRSRGPVSGLLKGYVGLSTAIFTDVCSALFADDPASFLVMLAVVPAAVCAVAMVFLREGAAASGGAAADQEEDGRCFAAINTLAVAIALYLLAADLTGVGGGGGVVSGVFVAVLLVLLASPAAVPAHVAWKSWLKARKAANADLEEADSDAAAPLLLAPPKANEAEEARAPGERPRLGEEHTIAQALTSLDFWLMFASFLMGVGTGLAVMNNLGQMGVAMGYSDVSLFVSMTSIWGFFGRIASGTISEHFIKTRALPRPFWNAASQIVMAVGYVVMALAMPGSLFIGSVVVGACYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGLLYDAQATPVPGGGNTCVGAHCYRLVFVIMAIACIIGFGLDVLLCVRTKRVYAKIHESKKSNRSSAVVQRVS >Et_3A_023289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18165057:18165899:-1 gene:Et_3A_023289 transcript:Et_3A_023289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGGRVPPPPMYRPKPLQSRPPPRRPRRSVRGWCCLCFLWLFLVVIGLAFLCAIAAGVFYVVYHPQLPTFAVTSLRLAALNVSDSDAVTSRIEFTVTARNPNDKIAFAYGDIAASFTADGGGIGDGVVPGFLHPAGNTTVIRAAATASAATADPVQAAALRSKKTHAMSAQMDSKVGFQIGRFKSKRIDVRVTCGGISASLAKPEPAAAAEEGAPAPASTSSSTGAGAAKMTTTDAKCKLRVKIWIWTF >Et_3A_023887.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13999021:13999664:1 gene:Et_3A_023887 transcript:Et_3A_023887.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKKTIPLVDGLIAPMCLCGTPCKLVISLVLGDDYGKRLWMCNNYQYDLPLKRLHFSEGRPKSPPSLCEFIEYIDTEQTPEDIAHVYSIAERARRHWFDMEAEERREEKRKKMKQKEEERRRQYEAERKQREEAKPRRKQEEDRLAHEAREAERERMRERARRAREAGPDAFRKGKYPRCTQ >Et_4A_035839.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7009652:7018401:1 gene:Et_4A_035839 transcript:Et_4A_035839.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTSSSPSPTVSAMNPLLPSSSFPKSPHPPDPNPSSPNPSPCSYLLHADADDEALIQFPGPNPSLGGASAPFALLPAIDPAPHISSQFYTFTVASYGLMLRCILAGRPAAADEVRGATSPSVLASWRAVWKDRNEDTAYLTAWKRIQDKLAASPDGRHLHFKSNAAQRVSHVGMWRDIVSEAHADPDMLRHLAFKDTVDRIKQSWTVGAKFYGIPESFIRVCVAACPVCKAAPAGQPDSAISSPGRGKRRRRFEYTETLDVPARDVPRRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGVPTSNSGNGASSSATNSDGKRPRVLKREPYQSKRCGCGFRIRAIVPIANYNEKDKSFVYVEEGTAVFKLYAVHSGHEPGPLDGNARIVHRLVGHKGTLEFDPDIYGVSEEGDPSFSAKGDGDVDINDSHQAVLQQVRDLKAEVHLLEGKVTKMQPELLGSLSAELSEVLRRIRKFNLDGNVYQPEERLMIRNEEVGGWGAGDVAHNLDHDDAFCKDDDMLDDDDTDFGSSLGPISWDRMAAECEEDRKMLMGDSPKCDKWMLKEGVSDFDEKSILNCGDDDGVEDSKIIKPLMHDDAMVTDPGLVGINVDGFYSGPKWYDSPEMPVLGMVESCETCDSSLILRGGSSFWTTGNIEHALGPFLYFDEDLSEILRFMSAWAQEAHGNLINS >Et_5B_044672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:4518854:4523459:1 gene:Et_5B_044672 transcript:Et_5B_044672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRMHRGGAFSDDMPEFGRQMGCMAGIFQIFDRQRLLTGRRGGRQAQKRLPPPPASGNTPPKSSSNVPVQSSSTPKIILEKTFSKCTTENSSLSNESSRASSSSSSCSSFSSLDGNKSVQQELPYTNEELYVQRSLKSPQTLKGTDINTKPGHNNVGFRDIVKDSINRDTGGLTVKTSVQEARRNGQYKDSPRPVLLSKSVDGTIVIGIDRSTNIPANVTESSRRFQEQSRFSCDDRRLLRPAETQESKRASTRLKELPRLSLDSRKESLSPSSRLKNSSYKRTDDILLETLKPQDSPSHRRANSVIAKLMGLEEATVATGMLIAGDYEPSRSPRPVQVAQYEQPSRSPRSSCQDSCVLQLKNESSVLKTKPSPRIVTEAAPWRQQDRGATNFKAPQSRETEVRPRTASIYADIERTLGGLDILECNKDFRALRILGALHAKDSKQQNDINVGSVAVQRTGDDLTTTSRNFQPPIVVIKPARATGKPGVSVSSATPVSGLRGLKKLQSRDLPLSAKYETNTNEKNHSRMPKVQPKSEESICGASSPRPTGSSSPRMAQKKAESERRSRPPVSPRSPSKKSNEAASPRGRTRSKPSQVKSPRDNEALQSTGRKISLVKEVDVSIMDCQKPLAVSSSFGRPSNTAATSSHKGSSILASDSLENIPSPVSVLDTSFYHKRISESFKDGETHTSDECWNPNSLPDTPQSKTSSEVSQIKSENLEVLIQKLEQLQSMNDEPANTQEVMVSVTSNKDHQYIYEILSASGLLHKDHSFTAFPGQLGSSSYPINPELFLILEQTKPEFVSAIQANGAKRSSKPYTGKIHRRLIFDLVNEIIGQKMNIRRPASQPVKFLQSRKLSGWQLFKDVCAEVDRLQPESSAYSDEDEAGCMMLIEDNVLEDWMTFDTEQHDMVLDIERSIFKDLIDEVVGGEPIDKVQFGQWKLRRQLSFK >Et_8A_056774.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16567465:16570154:-1 gene:Et_8A_056774 transcript:Et_8A_056774.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding HSFYIAHIDQSRRRIETANRAAKELRGLLTREKLDDASLGGEVDILTASYVDPLFSLTMNESQIFQRSKTKDTPQRDADATYLYVGTHEMSSESYYGVKVTSDVYGFSIQENERSGILIQINNFVEGTKPSQDGISFGWHVCPALYGDSKTHFYVYWTTDKYQKTGCYNLLCPGYVPEANVKIVPRVAIEAVSDPNGAKRSMIFKLFKDNTGDWLVHTGFNSEPYLLGRFPKSLFTNLANKGNYIRLGGFVITRNTQLAPMGSGFVSNSTKAASVSNFELIDQNGQTSKVQQNQPVSVTDDNKYSLSMISTEGEFTYGGPLLQ >Et_2B_022204.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1370032:1386259:-1 gene:Et_2B_022204 transcript:Et_2B_022204.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAAVLHRLRSLPRLRLAGAADYVSFGSASAIADASWVERAQDCNSRIVELAAAGRVWEARRLFDGTPDRDVVSWTALVSAYARQGMLRDARALFDRPEARRNVVTWTALLSGYARARRVDEAEALFKRMPERNVISWNTMLEAYAAAGRVEDACELFDSMLVRDAASWNILLAALVRSGSLDKARKLFDRMPERNVMAWTTMVTGISRSGNVDEARMLFDGMPERNVVSWNAMLSGYVGNQRIDEALVLFIKMPVRDVTSWNIIITGFIQNKDLKRARELFDEMPQRNVVTWTTMMNGYVQAMQSEVALGLFSGMLMEGVRPNQVTFLGALDACSNLTAICEGKQVHQMICKTAFQFDTFLESALMNVYAKCGEIGQARKVFDLSREKDLISWNGIIAAYSHHGAGIEAIHLYEKMQENGYKPNDVTYVVLLSACSHSGLVDEGLKIFESMVKDSRAGRLDDAKRLVQWLKIKPSSGSVWSALLGGCNAHGNESIGNLAARNLLEAEPDNAGTYTLLSNIYASAGKWKEAAEIRSEMNNRGLKKQPGCSWIEVANKVHFSLSLSMSSVPGTQIKQMASFSG >Et_3A_025502.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30306607:30308164:-1 gene:Et_3A_025502 transcript:Et_3A_025502.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGGGEDAAEWRTRAPGGTEYSWCRAVPGGTGTTLLALRLAPGAAAVTAVQGALRSLQSAHLALRARLRTSPSGPALAFPSPAPPPPPLLPVAPLPAPESAPDFDALLERELNHNPWAEPESSSSDDDFPVFFATLYELPPPGGAALFVRIHTVVCDRSATAALARELVSLLGEEDGGREPEAAVAEAGLEERIQQRDTWKPFWARGMDMVGYSINGLRTSTLPFVETGTERSTNMLRLGLGRDETTRLLDACKENGVKLCAAMAAATMLAARQSKELESGQQETYSTVTLINCRKFLEPALDDHNVGFFYSAITNTHSVHGEEGLWALAKRCHDAYTGAKNNKKHLTDISDLNFLMCKAIENPS >Et_3A_025756.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32582028:32588820:-1 gene:Et_3A_025756 transcript:Et_3A_025756.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHFSSASSASSPAATAAAAAAAAVGSSVIPIVNKLQDIFAQLGSSSTIDLPQVAVVGSQSSGKSSVLESLVGRDFLPRGSDICTRRPLVLQLVHQPRRPADAEADEWGEFLHLSGRRFYDFREIRREIQAETDREAGGNKGVSDRQIRLKIFSPNVLNITLVDLPGITKVPVGDQPTDIEARIRTMIMSYIRHKTCIILAVSPANADLANSDALQMARVADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKLGYVGVVNRSQQDINSDLSIKDALAREEKFFRTQPAYNGLAQYCGIPQLAKKLNQILVQHIKTVLPGLKSRISSQLTAVARELALYGEPVDSKAGLGAKLLNILAKYCEAFSSMVEGKNEDISTIELSGGARIHYIFQSIFVKSLEEVDPCEDVTDEDIRMAIQNATGPRSALFVPEVPFEVLVRRQISRLHDPSLQCAKFIYDELVKMSHRCLAMELQQFPVLRRSMDEVIGKFLRDGLKPAENMIAHIIEMEEDYINTSHPNFIGGSKAVELAQQQVRSAKMSAAVVKKDGVDADKVQASEKTQKSRAILGRSGVNGVVTDHIQGVRPAAEADRPGSSGSGSTSFWTSIFNSNEDRTHASARDASVNRSYAAPAPNLEHSFSTIQLREPPVVLKPSEHQSEQEALEIAITKLLLKSYYNIVRKNVEDFIPKAIMHFLVNHTKRALHNYLITKLYREDLLEDLLKEPDEITIKRKQIRENLKVLQQAYKTLDEIPLDAETVERGYSLESDVIGLPRAHGLSSSLHGLDGTSPYSTPKQSRSRRSNHSGEQPPFNPSGNGF >Et_10A_000576.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:13050848:13051577:1 gene:Et_10A_000576 transcript:Et_10A_000576.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAAGPSRRWRSAGVVLLCSSLLLNILLLAHHFLLPPSSRRLGGRHDHQLSWALQAAMEAEPAAATDCSGHGRVYLDGVPGEDGRPACECNRCFRPTPNCTADAESADQMFMEPYWMRHAADSAVVVSGWHRMSYFASTDDGGGYQSVELEKHIRMVHKAVGNAVVDDKHIVFGTGSMRLINALVHALSSHDGSDTMTPAS >Et_3A_026395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7652100:7669512:-1 gene:Et_3A_026395 transcript:Et_3A_026395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAGAAAVGTFSRLIDRTRVPDPALQRHAVAAFFRHLLSLPAPLPAAAHDAASALLASPHPAVAAHAAASLARLAASRPDLLPPDIALPLLLAPLAASPSSRLASCLVKSVAALASCVLLSGSRFPQHDHPFVQALASGADGARAELTRQAARMVAEGVDGVIGFLRPFVMLAVVRKGDAPFARDLIGALAAAAAAAAKPGVVIPVLKLLEESLLHFGCGDDEEGRLWLCSAECLVDAYVLLLKKLAHVQMVLFAVFCFVCALKCWSNHFFCESANEVYISARHSYYLLPTHDTQESSAELMETLLSQCSLRTKLVGITSTVLGLSKHLFSVQKDLGLCYIPEISVILSSLSYFLIGLEFEHEQLAGLKLLAFLIEWKYENVLEIKGSIHNPNEELLCVLPVINLAISPSKSVKAAASHVLSRFSLLVLDLPVSHLSEQQDVSMAYHISKPSLILPKLLRHLWSQPTSPGSIFIKHAVSKVSSDSAQDSLETSYWTHQLNDYLTALCREKLTLDDLSSKKISTVSISSLVSSLVSVLVMHPKLGASAAQSLATLGASNQRLGMPLFVVILFYIKILYGNNNFSTKILLNLLESLPSFAIHGFVLPLALQWISPMLKRDTNPSLYATAVRLLCKTWIVTDWAFPNLQVILEPEIFSSFISDTEISTSIAASIRDVCKHNPDRGVDIILSVSVCIESHDSVVQALGLESLSYLCQADVVDFYTAWKVISKQLLDYSAEPNIAHNLCALLRWGAMDAEAYSGISKELIGILWNIGTSVKNNSESLWVKARGTAFNSLSYYKVSLIQDAIPDFWKQNYELFTNECNVEVLKAMENFEDEIIKFEHINRRRVTTEKRVVVHKFEKLLDVFPQVVFKGKSVHHQLPGAALLTLNFTPKDILNGGKSKVALLVLHSWKSFVSNWMEAALALLDIKDTSKLNKVSKAANDIFKILCGHAPATPRVAVNIALVIGALCSIVPPAAHLVISSAADFLLRWLFQYEHEHQQWSAALSLGLIFNCFHSTDKKSKFQVINRLLEVVSKTESCLVKGACGLALGYTCHGLLTRADNAAESEVEATTKLNERASVEDILHTLVTSLIQLCPSTSYSLKKLRICGIDSMGRIEENVNSLDDDPWAIAGLVLGLGNSVVALYRLGAYEAVTDIKNILISWIPDVDSSSALFDEINSASLCMGSCLALPSVMAFCQRVELLNDDLDVLFNRYTSLASEVLNLNKSGTIFQNLLMAICIGAGSFLSCILGDGVHAMEFSGVKRLLDTFKHIYTHPFPPLVHLGAMFGVVNSFGSGAADLTGMCSKPMTSQIKHEDSSLVRGPLLTSHVGETLSTSMIQEIFLLAKDAEDKHIQDYAAWAISFLRSTWLSNNQNLCDDKDSHRSSGDLNQSTHFSEQSLVWNLSLWLSDLKFEKTDDMVPASTIGIVLNCLSKAPRLPTIDWGVVVRRCMKMEVQVSHKSTNRQDFMLLREACLSFSVVHAKTISPLLQFLDDLTDILRFQILDFNMQSILLQHLSHLMKLFSVSRLEKLYEDLTAYFYSPTSSFLKYSSQQQSMIRMSFWKGICKCLLEIVSEESAVFPFIKKCIECLLPLLTICNDGQPEFGDEWSAAIVCLGNAQKSWLDDMLQVHNSNGEHVSVAKRIIIRARLSATGFGSAHELGNIKSTILCTKADGVWWSVLVEAVAAISSTENSIKRQWLLDALDVGCVTDHPSTVLRFVGLLCGSCCIYMPLLIVNSTNVLCDLPVTLPSFLSSSIWDGFRNSAVEKLWLLTTRIYTWAEQLAHGNFLTEHDHIHGSEADMANFLANILRSTCIAVEDYLSVDKQLKLANLETLRSLSWRLWVQNHNWTHSSFKDYYMGQSYHLSPKRNQSHGS >Et_3A_023699.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11045736:11046154:1 gene:Et_3A_023699 transcript:Et_3A_023699.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVASSCFCLLAQRRGYSVAAAMIKGAGRRAEDKVAKRVMGKKDVTTAAAAGEEKTAWVPDPVTGYYRPAGGAKEVDAAELRAKLLARAAN >Et_1B_010650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:12562185:12570049:-1 gene:Et_1B_010650 transcript:Et_1B_010650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGDTTNPFAVAASPWDDLPDDFFLSASISTPPPPAPIPSTSPPPAAPSPHRSASLPPVSTPAPKPSSSGSFSDPRPRAPPAARQQQPHLYSSHSLPVFNAAATCADTWPPPPGPHHSGSLPEFAAAPATPAHRPPARAAVRADRPPPLELRPRPTRESQAGAALRALACYAVPGEGSGQQLWAAGESGVRAWDLADAFRSPASRQRWGDEAAAPFRESRRTAPALCLAADPGRGVVWSGHVDGRIIGWDAVPGPEAVDCLAWEAHRGPVFSLAVSPYGDLWSGSEGGVIKVWYGEAIDKSLVFQREEKRKTSFLVERSYIDLRAMVSDGGACPLPAVDVKLLLSDNSRSKVWSAGYLSFALWDSRTKDLLKVLNVDGQVDTRFDILSGQDPHSYETKQNQFTAPKKEKTRSPVGFLQRSRNALLGAADAVRRVAVKAGFGDYTQRIEAFTMSTDGMIWTGSANGFLAQWDGSGNRLQEFQHHSSSVQCICNFGTRLWVGYMDGSIHLLDLEGNFEYGCWRFIYLYIGWSWRNLRMEFIISRVLVGSWNVGQEKASRESLRAWLKLPTPEVGVVVIGLQEVEMGAGFLAMSAAKETILKSHSFVRVGSRQMAGLLIAVWVRINLKQFIGDIDNAVVACGLGRAIGNKGAVGLRMRIYDRSICFVNCHFAAHMEAVSRRNEDFSHVFSSMTFSAPTTGFLATSVSGSPAQPLRGANGPRLPNLSDTDMVVFLGDFNYRLYGVSYDEAIDLVSRRCFDWLRENDQLRAEMKSGRVFQGLREGEFKFPPTYKFEKQIEGLSGYDCSEKRRIPAWCDRILYRDSRASAEAECSLNCPVVCSISQYDSCMEATDSDHKPVKCVFNLDITRIDKQTMRQKFGEIMSSNKQVLYMLQSLEAFPEVNISASEIILQDQIPSIVKLQNRSAKEMACFQIIGQTPNSSGMHFSGFPSWLKVSPSVGIISPGQKVEVMMQHGEVRSQDYVTGTSGNNSGADHEKSATLSVIVTGACLTVGRGHKILVQHRSRKDTFSSRGVASDGGILSVVVAHDGLYEQLTGAIVQQQGQSLHRLFVFFPSHGSAEHGPPASALVGPPLLGRLREPAVLERLGRGGPLVPVVAEQADEEVASGLGQVPEVPHVERVVGLHEGVVQVLGLVGQVVGGVGLEHDAAERPHVGPRAVGQADGGDQLRRPVTQRAQALLVGPALRGRAPEPAQLDPAARADEDVVRADVAVGLRRGAQEREHVRARLVLAQRPLQPAAEGGAVADDLHDDERVPGRRRP >Et_2B_019218.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17891725:17891973:-1 gene:Et_2B_019218 transcript:Et_2B_019218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRALPMEWEPESATRSVTERLRPAKRRISALALARGLGMMSSASWWLALRLSSRPSLTSQLGPPVCRKKKRKEAQSQTN >Et_5A_040757.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:1245251:1248626:-1 gene:Et_5A_040757 transcript:Et_5A_040757.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSALQSAREWVVEHKLRAALTLAALGGSALVEYYDHQSGSGSKVHQYAKQFLASDGSSQK >Et_1B_010058.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:21209313:21209657:1 gene:Et_1B_010058 transcript:Et_1B_010058.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLTGKKLPPLHPTTWATDLLMGKCCSSEDAAFFVCGAWSLWTGRNGRCHGKRQWDSTAAVKHVAGMVEELFFFARGDGRGADVHEHGNQNWSIAASREMATTEEGWAKLNAP >Et_4B_036278.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:14512456:14512746:1 gene:Et_4B_036278 transcript:Et_4B_036278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQKGIQGSSHRFSLSVSLVAWLWKDRDTCVFDFQVVQSVALAQKIINEANLWALAGFFKFWKLSPISVHLLLLIGGSRHVKEYPNSHVRALILF >Et_1B_012165.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29636864:29641364:1 gene:Et_1B_012165 transcript:Et_1B_012165.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRTPSSLRDLLARDRLDAEAPSLRRSPRHTGSKSSRHASSPPPRPVESEARGDGGDECEGAAGAVVAVLSRYAGRFLKDEEFRRVLREKCAACLAPACRDGAGHAVLANLELGIESIERLAADGAAPREAKIRSLRNSIRLLSVVASLHHAPPQPLAGAGAATEGGGRTCGVPDSHLSACAQLYLSVVYKMERNDRVSARHLLQVFVDAPGLGRKTLLPDLWDHVFLPHLLHLKVWFTKEADLAADWDADDRSRRMKSLQRLYNDHMDSGTAQFATYYKEWLISGADAPPVPSVPLPSMPGNLDACQKPNSASLRRSSINANLYNAVFGTAFEQENVRPAKLDDNTELVLDLDVDFNDNSVSFKTEKLAHSKMGLQEKHSRSRREGAIQEKAPTPRKSYSFRLFSCRGDLSRNVINHPKIPKKETVLVEKEPDSNELTMTLERAISVVSNSDSLRQCEYAVHEVARACSTSQGDPNLVTLLSCPSFIQGLLEVTFTSKDDAALESAILIMGKLVLGNEVIRQIVLNADPQLEVFLRLLRSNELFLKAAVVLYMMKPKAKQMLSLDWIPLVLHILECGDEVQFLCSVKCAPKIAAFYFLDQLLMGFDVDRNIENAKQMIALGGLDLLMSRLDVGDARESKTCISLLTSCIQADGSCRHYLADNVKKEPIVQLLVGNHKKASAAALNMMSELVCLNRTTKIVEFLKEMKNSGCLNTMHILLVYLQQAPLVQHPLAAAMLLQLDLLGDPSQYSVYREEAIEAMIAALEHRSQSRKVQEQCARALLILAGRFSSSGEPIAEAWLLKRAGLDDSLSESFRRTEIFKDKTARAEEEKVVEERLKKLALMLLNSGNKRFLMVLSDCISDGIPSLVRACLITVTWMSSSLSPLRGCNDFQPLACSILAPALLDSLSYDRVLEERVLASLSLLNVVRHPECMEKVFPLKKETIESLQDLAEVTWTAKELLFACCR >Et_2A_015069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28632622:28633680:-1 gene:Et_2A_015069 transcript:Et_2A_015069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ARHRGRRDLERPFAVPQVHRRPRGAHPVPEPVRPPRRAQLHGTPERAVRGVRRGRGGAVLPAPRRRPPRRPRLRHRPGAHAQPLRHARVPPVRPGGVRPAAARRRGAPVQVRRRRETAPRHGHPPRRDAAVREPRRRRRRGRAGRVRRGAHGAGLRAGHGHGRGGAGGGRVRRARERARRRADQHGVPAHGRRGAAGGAVGQDGALRRGLLRRAGEAHGRPSRRLQHRGRGEYAVRKVRQGPPRHHRSRRVLQERQQRAVLLAGAEHPAQHHTVHANAGDGEADAARLI >Et_2A_017501.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34968757:34986804:-1 gene:Et_2A_017501 transcript:Et_2A_017501.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLPCPPRCSGVEEGVEALPAAEAVPRSVPVRVAYELQQAGHRYLDVRTEGEFSVGHPEGAVNIPYMYKNGSGMTKNTHFIEQVSRVFGKDDEIIVGFNAVTDIAGGFSSWRDNGLPGFTAVTDIAGGFSSWRENGLPLNQLENLNSNILTESHIACLPIQEASKKMLKCITAKVYNSFS >Et_4B_039024.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:7047513:7054904:-1 gene:Et_4B_039024 transcript:Et_4B_039024.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDEVISGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKPGGFPPLGAHGPFQPAPTAVPPLAGWMSNPPAVTHPAVSGGAIGFGTPTNPAALLKHPRTPTTGNPSMDYPSGESDHVSKRTRPVGMSEEVNLPVNMLPVTYPQSHNYQQDDFHKTVARTLSQGSAPMSMDFHPVQQTLLLVGTNVGDIALWDVGTKERLVLRNFKVWELGKCSMALQASLVKDPTVSVNRIIWSPDGTLFGVAYSRHIVQIYSYHGGDDIRQLLEIDAHVGGVNDIAFAHPNKQLCIITCGDDKTIKVWEATSGAKQFTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMSYSADGSRLFSCGTSKDGESHLVEWNESEGAVKRTYQGFRKRSMGVMQFDTTRNRFLAAGDEFLIKIWDMDNTGLLTTIDADGGLPASPRIRFNKEGTLLAVSTLENGIKILANADGLRLLRTLENRSFDASRNASETVTKNGDSRSLVDVKPRIADESLDKSKVWKLMEISESAQCRSIKLADNIRPSKISRLIYTNSGVAILALTASAVHLLWKWPRNDRNSTGKATASVSPQLWQPPSGIFMTNDTADNNPEEAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKRITGLAFSNVLNVLVSSGADAQLCVWNTDGWEKQKSRFLQIPSGRPSNILDTRVQFHQDQMHFLVVHETQLAIYETTKLEPVKQWPVRENSAPITHATFSCDSQLIYASFMDATVGIFNASSLRLQCRILPPSYLPQNISSSVHPVVVAAHPSEASQFALGLSDGGVYVLEPLESERKWGNPPPAENGSTSSLSAPPPNGASSSDQPER >Et_1A_008365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:762262:763841:1 gene:Et_1A_008365 transcript:Et_1A_008365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDPLDDGCTADAHWTAVSATASQLHRILVADGGWLLRRLVFSGDPFGAAVDGMDAQHPWRTGAAASRLSYKNATIAVCAFNLLAVAFLLHNYFSSWPRIAGGDQFDSAQLQFIWESEELRRSMEPVDLIRRVKEIEQEAYGEHGMTTREDPKQTAAVDLSKRLKDLRAGNDGSSQKALEEWRKRKVERARQRAIEKNGTTSGAKTQ >Et_1A_005272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:37077050:37078363:1 gene:Et_1A_005272 transcript:Et_1A_005272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQAQRLFLTASTSSPSLPTRPRRRAVVAPCRAALRVPNGLRTATSPADGGLGLKLEWVVPTTAAAEADTSNNNKAVEKLRAVAEAAADRAEMHDIIGRQRDNWNQLLLHSSNSLALAASVMAALAPAAPSLVALKASAGVLLATTAVTMAATSKIQPSQLAEEQRNATRLWRQLERDLRAALESTTPVTDADVREAMDRVLALDAAYPLPLLPGMLDKFPKAVEPARWWPRRRSPQPQQAKPASAARRGGNVNGNGWSKELEDEMRGVLRVLRAKDEQQYLKFGKLVLGMNRGLAVAGPALAGTAAVAAAFIGSGEVGAWASGAAVLGGALAAAVNTVEHGGQVGMVFELFRNCAGFYRKVQEEIEACLGEADADAREHGEVFQTKVALMLGRSSSDLRQFRRMASASFKDEDIKDFAGKLF >Et_2B_020884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24525971:24527862:-1 gene:Et_2B_020884 transcript:Et_2B_020884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLTLQKSGSFKKLLLSIAHRSPTAKRPAAHDTKEAAAPESLQKPAWRCFSYEEINAATNAFDRRNLVGRGGSSEVYRGELPDGRAVAVKRLTGAPACERRERDFLAELGTVGHARHPNVCALLGCCVDRDLYLVFDFSRRGSVSANLHDEASPAMGWAARHGIAVGTARGLEYLHKGCQRRIIHRDIKSSNVLLTDDFQPQISDFGLAKWLPSEWTYRAIAPIEGTFGCLAPEYYTHGIVDEKTDVFAFGVFLLELISRRKPVDGSHRSLLSWARPLLNDGKIEALVDPRIGGEYDGEQARRVAFVASLCIRASATWRPSMTEVLELLEGVEIRQDRWAMPEAAADDEVSWLDDLDDEEEEFNTASSPSSSSSTISN >Et_8A_056164.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:11176127:11176255:-1 gene:Et_8A_056164 transcript:Et_8A_056164.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMELFRRGRGGQGLELLLETRMARCCCRLGGLYLTLHQQRR >Et_3B_028279.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12848368:12849472:1 gene:Et_3B_028279 transcript:Et_3B_028279.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGKVDLSGVEPGTPGWDEARAAVTASLVANGCVVIAHDVLNPELQRSLFDRAMPEIFALPVEIRQRNVSSKGKFRGYLGTGNWDSVSVDEPTEEGNINDFTSLFWPRGNPEFRDVMLQFGRDLLKLKEMVEKMVLESLGVRKENIESHLRSLSHTLRLTHYGALPDGADNSVSMRVHTDFNFSTMVVQHEVEGLEVQTKDGSWHFIQPEPGTVTYQAGEILRVVTNGRVPACVHRVRTPSNRERFVVVFGCWSREGAEVSAMDELIDGDHPQMYNPCRPDEFVEFLYSEGHKCDGDPLKAFCGVERA >Et_2A_017103.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:3127713:3130534:1 gene:Et_2A_017103 transcript:Et_2A_017103.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKGGDVPHETQFLLVESKAAGSDEDAYVVFLPLVEGAFRASLQGSGAGDALELCVESGDADTRAASFERALFVGAADSDPFAAITGAVAAARSCLKTFRLRTEKKLPGIVDYFGWCTWDAFYQDVTQEGVEAGLRSLVAGGAPPKFVIIDDGWQSVGTDQPTPDDASVDDAKQRRIPRLTGIKENSKFQSAADPAAGIKTLVRAAKEEHGLKYVYVWHAITGYWGGVRPGAAGTEHYRSAMQYPKISPGVAENDPGMETDWITAQGVGLVPPRAAYRFYDEQHAYLAAAGVDGVKVDERCILETLGAGLGGRAQLTRQYHQALDASVAKNFPENGIIACMSQNTDALYCSKQTAVVRASDDFFPRKPVSHTIHIAAVAYNSVFLGEFMLPDWDIFHSLHPAGEYHGSARAISSGPIYVSDAPGKHNFELLKKIVLPDGSVLRARLPARPTKDCLFTDPARDGMSLLKIWNMNKFTGVLGVYNCQGAAWSFAEKKTMFHQTGTEALTCGVKGSDVHLISEAATDPEWNGDCVVYRHASGELVVLPNGAALPVSLKVLEQDILTVSPIKDLAPGFRFAPIGLIDMFNGGAAVEGLTYHLLDGAKMLGSDDSASSSEAAGLVCMEVRGRGRFGVYSSVRPRKCMLGSAHVEFSYESSGLVALQLEDMPKERAHRIVIEL >Et_9A_063091.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:993713:996736:-1 gene:Et_9A_063091 transcript:Et_9A_063091.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEVCVKAAVGHPDTLGDCPFSQRVLLTLEEKKVPYEMKLIDVSNKPEWFLKINPEGKVPVFNSGDGKWIADSDVITQVIEEKYPTPSLVTPPEYASVGSKIFSSFVTFLKSKDSSDGSEKALLNELQALEEHLKAHGPYINGQNVSAVDLSLAPKLYHLQVALEHFKGWKIPENLTSVHAYTQALFSRESFVKTKPAKEHLIAGWAPKVNA >Et_4B_039637.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:24534143:24534469:-1 gene:Et_4B_039637 transcript:Et_4B_039637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGSKMSRLCLPTSGELAPAPPLDDDNLLSNILLRLPALPSSLRRASLVCKRWRSLVSEPHFLRRFCSYHQKAPLLGFIYYDWRNTRTRFISTLDSPDRIPAAHFTL >Et_7B_055304.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:9149205:9153472:-1 gene:Et_7B_055304 transcript:Et_7B_055304.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAKLLPHLLSLPTPRCARLYTTPRAHTFQSTPSGAAPVETWRFDFLTLESEAFFRNGGEIFAGGSRIRTCAFADWPRRFLERKGKESKRAPPFAEEEEKAPLRWGGLRLRRFGWEAVESCGSRPRSKWKLTVSTMKAPSLLVQCFPGLVPSKAASCVPIVSERDLHLPSPAVEIIPSKSAHPYKYAGEKVDVQGLDIFKGKISVADMIAFSPSEVTSTKYDGTLKYWESSITLVNIIKNEIRDGQLSFRGKRVLELGCGSGLSGIFACLKGASTVHFQDTSAETIRCRTIPNVLANIEQARDRQNRPSESPVTPSRQLLDPNVHFYAGELDELPTILSVVQPPPAPTNLSFSEDDFMDGCSSHDGSSIVGHDYLPRRSRKLSGSRAWERASETDQADGGYDVILISDVPYSLNSLKKLYALISKCLRPPYGVLYVASKKNLVGSNGGARQLRALMEEEGVLSGHFLTEVSDREIWKFFFKFFIDMQLSA >Et_5A_042197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7337474:7338855:1 gene:Et_5A_042197 transcript:Et_5A_042197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKSGLKKGPWTPEEDEKLVAYIKKHGQGNWRTLPKNAAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHAPRLDLLDLSSLLKPAAAASYYPTQADLDTLRALEPLANYPDLLRLASTLLSGAPAAVADQHQHQQLLPWLLQAQMTQAAAAAAAMTQQQVAPTTQADQFMQQVAQMQGLVHTNPTMQQQQLQDTVAACQSAPLPATTRYVDNNNLDVPALMQMVQKSDASANLQQQWSSTVTSSNNNCNVGGSGVSTPSSSPVPCLNNSTYGTTPNDVAVAGDVDAAELFNLQLSDLLDVSDYM >Et_3B_028818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19491006:19494066:1 gene:Et_3B_028818 transcript:Et_3B_028818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRAREMYKKVVRRVGGDGKLPTDLMDSVKNMLPNNKVVMGRAKRGIFAGRHIQFGNKVSEDGGNKSRRSWKPNVQEKRLFSYIHDRHIRVKVTTHALRCIDKAGGIDEYLLKTPYNKMDTEMGIVWKAKIEKMYSELAGMEVGFFPPEEETKIEQGFEEARAANRVSRREARRALAKQKQLKGGNGNDDQTTEVADTKEDMPPVATAGHLFESKRIAFLAYRTALYWIIE >Et_4A_035211.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12410821:12413213:-1 gene:Et_4A_035211 transcript:Et_4A_035211.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIGSRGNGLLQRALLGSVSNHCVHNCKCPLSSKRPGGLAAKGAAPPRILTPFVSGDRAGKTDTASSSRFGV >Et_2B_019319.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23406799:23407494:1 gene:Et_2B_019319 transcript:Et_2B_019319.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQESGIRTATVTVAASCRARRFVGVRQRPSGRWVAEIKDSAQRVRLWLGTFDTAEEAARAYDEAARALRGENTRTNFADRCRHGHGAARARLSKNLQHVIAREAAAGRATACAGVSDQFALAAVFRGEDAETAHVKNAVRPSFVVPRRTEAPPPPPPTTTTAIGDGDVWSIDFDSDAAVASGAQEGSFKVSSSVIVPPTFSASSPESFGLDDF >Et_7A_051478.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19101597:19103919:-1 gene:Et_7A_051478 transcript:Et_7A_051478.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGLFLLLLLAAVVLSAASLSSAEPDGEDPLIEQVVGDDNELELNAEAHFASFVRRFGKSYRDDAERAHRLGVFKANLRRARRHQRLDPAAVHGVTKFSDLTPAEFRRQFLGLRRSSSRDLLKGSSANKAPILPTDGLPTDFDWREHGAVGPVKNQGSCGSCWSFSTSGALEGANYLATGKLEVLSEQQMVDCDHECDPSEPRSCDAGCNGGLMTTAFSYLMKAGGLESEKDYPYTGRDDTCKFDKSKIVAQVKNFSVVSVDEDQIAANLVKHGPLAIGINAVFMQTYIGGVSCPYICGKHLDHGVLLVGYGSAGYAPIRFKEKPYWIIKNSWGENWGENGYYKICRGPHVKDRCGVDSMVSTVTAIHTSKKE >Et_2B_022573.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:26297975:26298286:-1 gene:Et_2B_022573 transcript:Et_2B_022573.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPSSPSSPSSLKHKLRTTVCGCFGSGGAGVAAGGERVRWRRRAATGEFRYDSLSYALNFDEGDDDEDDAAAFRYRNFTSRLPHSPVARAPAQRPTAIAIA >Et_4A_035098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9451333:9451888:1 gene:Et_4A_035098 transcript:Et_4A_035098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGRVDDVVATSGAMRREGQTVPASRPDHVTYTKYVPQTSKARELFQEMAMEPDVVMYNTIMDGCVVSGDERQRRRAGLVQGEAVHGAISPFTSELHDADDEKLAFATAGQAKVFQEMERVDTRPGRHGTCSSKPTATLPWAARDSETS >Et_2B_020218.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:18027024:18035285:1 gene:Et_2B_020218 transcript:Et_2B_020218.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVCAIFLDGPGTTRTVLVWAAVLWQFFFLGAAGVIFCVLRAHAAGGHPHRSSRCSSPAVTVVAADIFLHERFSSEKGAALVLSLWGLAFYSYGEWSETKAKKKMEAAAAQTSFRSGSGWLLTA >Et_1B_013442.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:8408036:8409220:-1 gene:Et_1B_013442 transcript:Et_1B_013442.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMHAGDVAPVSAIGFEGYEKRLEISFSEAPIFADPSGRGLRALSRAQIDSVLDLARCTIVSELSNEEFDSYVLSESSLFVYPYKVVIKTCGTTKLLRAIPRILELAEELSLPLAAMCMTGLDKKKASVFFKTSADGHVSCAKEMTKLSRISDIIPEMEICDFDFEPCGYSMNAIHGPAFSTIHVTPEDGFSYASYEVMGLDPAAFAYGDLVKRVLRCFGPSEFSVAVTILGERDSAKTWGKKLDVESYACSNMVEQELPSGGLLIYQSFNATGEAAVGSPRSVIHSFSDDDVDTESRNGSDALLCWEVDAVEGREAKKMKC >Et_5A_040338.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:15282785:15282931:-1 gene:Et_5A_040338 transcript:Et_5A_040338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNSAAANTGDLEASTARCAANDRPPRMTTNVTSAPVPFRRSSPRCR >Et_5B_045559.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:4392901:4393572:1 gene:Et_5B_045559 transcript:Et_5B_045559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDVGGAFGMGAVGGSIFHFIKGTYNSPNGMRLAGGAQAMRMNAPRTGGSFAVWGGLFSTFDCAMVYARQKEDPWNSIIAGAATGGFLSMRQGPGAAGRSALMGGILLALIEGAGLMLNRVLSNPQNLPPLPADDPNLAAAMAGGGGGFPGLPQAPVAPPEAPSSSGGWLGGLFGKKEEKKPAASGGKSEILESFDTPSTPIPSFEYK >Et_4A_032476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10470617:10474428:-1 gene:Et_4A_032476 transcript:Et_4A_032476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRFFPFRSSTSNAKNVKEAPTNDATNENQVDEGGNSGASLSAGSRSFRSRRQHSASRNEESSHPQLRRCLSFTSSAIDRSLDERIMSFSRDFPCSMSNDSDAPGHIGEAECDTWSPERHPSGREYTVKIPKSHGFQETDSPRSRCYSCSTGHSPVSSPVALKCRPARLTNLLNKNEVLDLYIDSEQEVTRLNEKHQQKPPIRPTAPYVGRGRPPRPHSTAPSSPKSCKEIMEDSNTDDFPVLLQDSDEKLLQRAKEVDACLMVPPAEISELNALRDKRLNSTEITQLIQDLTEDRKQLASELSSQIKARLAERFAAKEQYRRSKLELDTRTRRLEKERTDIQSTLERELDRRSNDWSVKLDKFQSEEQRLRERVRELAEQNVSFQREITMLESNKVSASNRITVLELQNEQLNSELQKMKNDHDNLQKSSTELHDNFVKAAEEREQIRKFLREKEEDNKALHKVIAGLHSVSNEQGKTITGLRQGFSAESDKRLAGSCDNRLQMELIRLTGVEQKLRTEIQSCTLEVASLRQENTTLLNRIQKSENRSSFSSIRLDQELHTRVDTLQTQGLSLLDDTSQLCAKLLECIKSKSSEDSGSVDAFAAIEFNLKYQSMKGRIENDEFDMRLREEAMISRVLKERLLSRELDIEQLQSDLAASIRMQDCMQNEIQRVQDELRCVTHKSKHLEVQVLRKDEMISQIEQEYRESVKELTSLRCTLKTASDERDVLWQESKQLRNTVSALQNDVASLKQRIKSLNEDIQVKESEILLREGEISILRDSIDRPFDYICSPRSMKQFNME >Et_1B_013089.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5410327:5412188:-1 gene:Et_1B_013089 transcript:Et_1B_013089.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICSSHTTTSLHSPCTTVSNKGFGQKQVIFFTSNRRSGRRHGGARTFQVSCSAEKPIVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPRANNFDLMYEQVKAIKEGQAIEKPIYNHVTGLLDPPELIQPPKIFVIEGLHPMFDERVRDLLDFSIYLDISDDVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVKLIQKEGVKYFDPVYLFDEGSSISWVPCGRKLTCSYPGIKFAYGPDSYYGHEVSVVEMDGQFDRLDELIYVESHLSNLSTKFYGEVTQQMLKHADFPGSNNGTGLFQTIIGLKIRDLYEQIIAERAGAPAEAAKV >Et_7A_050322.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:25412190:25412516:-1 gene:Et_7A_050322 transcript:Et_7A_050322.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVRIFMSMSNQKIWRVMRNQMMKIIQMLKLVLLMNCVAKEKRKWRKMTRQAMKKTKLCINTIVMRKTRQSLFMMMMMMMMMMMMIAISFGVGTFGPNIATELVLVE >Et_9A_061517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1347760:1348680:1 gene:Et_9A_061517 transcript:Et_9A_061517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSSEGLRQLWTGRSLTDVGDFTGDDSEVLRTCDVIACGTSERTESTRTDVRRQPDRKLAVKLRVLGAISSVLGSNITTQTCVATGADILAQQVVMDIGKWTCLLITVAWGFFFRFLFYIVLLVGSKNKRR >Et_2A_017793.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6301263:6302527:1 gene:Et_2A_017793 transcript:Et_2A_017793.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTNGPSAASAPGKLPRKRFYRARAHSNPLSDSHFPVPVSPDEFDLSQHYPRYFPADKGGDEGAAVAAPRIRFADVGCGFGGLLVGLSPLFPDTLMIGMELRDKVTEYVKERTLALRASNPGQYDNISVVRTNSMKYIPNYFRKAQLTKMFFLFPDPHFKEKNHRRRVISMQLLDEYAYVMEVGGIIYTITDVEELGEWMRSCLEKHPLFEAVSDEEIKADPVVKLLSSATEEGQKVARNGGQTFQAIFRRVSLQEE >Et_10B_004394.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6043600:6048933:-1 gene:Et_10B_004394 transcript:Et_10B_004394.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRDWSQLPSDLVSDIADRLLRVDITEYIRVRAVCLPWRNSTADPRSFLDPRFFPRDWLLLAGDRLRRDGEPERFVNVRTGASLSICLPDPDEYTHHGNAEGLLLLHHTLTDTVCLLNPLTLACAELPGMARVNDMRCHRVGRRWNAKAVFHSTGSIRGVGIVFDANEVGQPPIQPTVVLCLNRETRTALFCAKPGDLMWRAIDMTCVAEHEGKLPFVKRGLSVRGRFYMPTRAGDVLTVELRPRPHLAFVARQAGEYVRNGLDEACYLVPSLNDNDDGMLLVRITEGDEAQEFAEFMELFAVDLGNRSLELRMPRGITVFLPSVTLHCSSLPSDLQGKVLRKAFMDLLIRGYILHVFEIDDPSKHLAQRPCSHKPSTNTSCKREGHEYTSRFGARNVTSYPELTSNEYEFPKQ >Et_1B_010927.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15610335:15611026:1 gene:Et_1B_010927 transcript:Et_1B_010927.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGCLYLSKQNNTHAAADDDAVVTADDVPEMTLRELHAATRSFSDAMRIGEGCYVASLPEGVGHRVPPPASRLRHDNLVRLLGYHVADDLRVLLYEFAVGGTLHDVLHRPRGEPAGTTSHGSPVPALSWTQRVRIALDAARGLAYLHGASVAHGDVRSTNVLLFDGFTAKIADYDLFKQLPASDVILVR >Et_4B_040073.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9001269:9002474:-1 gene:Et_4B_040073 transcript:Et_4B_040073.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFREVVTPPSTTRLWPEMYLDSSLARKSTASATSLGLSVVPLSVASPLTTSSIFSTSSSLGTVMSMSASPPSPSSWPWRHALMSMGVATPKGETQLTRMPCAPSSQAAFLVSPTMACLDAVYACGPRPPSTPATLATETMLPHRRGAMASAACFIPSAAPSALTRSTRSNSPASKERMLLAARVWRPSTPALLQKTSRRPCRATAQSTARRTSSSAETSHRTQLTCGPSAAASASPAASSMSAITTRAPCFANRRTMASPIPRAPPVTMATFPSSLGGKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKRRRRRRRRRRRRRRRRRRRRRRKEEEEEEEEEEEEEEEEEEEKKKKKKKKKKKKKKKKKKKKKKKKKKKKSTN >Et_3A_024122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:17646667:17649384:1 gene:Et_3A_024122 transcript:Et_3A_024122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFESYERQYCEASASLARKCTAAAALQGEKLKQKGAEIKSGIDGAEALIRKMDLEARNLQPSVRAGLLAKLREYKSDLNNLKGALKRITSGNAQQGAREELLESGMTDTLGVSADQKGRLLRATERQNQTTDRLRDSHRTMLETEDLGVSILHDLHQQRQSLLHAHDTLDNVDDNIGKSRRIIGGMVRRMDRNKWIIGAIITVLVLAILAILYFKFVR >Et_3B_028620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17376602:17378547:-1 gene:Et_3B_028620 transcript:Et_3B_028620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGLLTARKTISLASFTVESLRSSSSSEVQLAGVLLLDNLLSQETNSSQDLKSRIIRSNEALSMLIGMLGWSDVRGRDIRFLAARVTAKLAGSIRVALFPDTVKLVSSLLDAGNKPLRQQDSLLNRAQVTAACLTNGETDMGNQPLGQGPSTETTSGIAGLTWIHRYWQRTKERWSIPEDLPLTHEDSFPFLGMVILEKLACDLDNCTEMLKDKILFSKIIGLIRYDTTDIDEQQIAVTCSSLNFLRRLATTEEKIGVALRQELWKNNFLLNNLVDILEDSRSSSELLEPVMVIIGGLALDKRAGRQIGRTQVIIGRLLHVFLGKDWASDTYYVQSLRTTAGEALANLAIWGTANCSSILAEPGYEVIKDIRNLLCEDNYRNVAASLLQNICAHCRDKLRHQGASEHLSSVLPEVMENIMSAKGRELESLIGLLESHINAAVLSLNLACTLNSNKKPNPEYPRMRRVVIETVISIVKSCPRYATILRDAGMMEALSKVERTPSNVEKYRVFYGNFGVVLESGTSLPVLVAKAKKLIDSAAPI >Et_1B_011821.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:26327857:26330359:1 gene:Et_1B_011821 transcript:Et_1B_011821.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEPWETLKHAIEAYTGLSPAAFFTAVAVAAALYHVVSGLFAPPPPPPPRSREPEPEPLPPPVQLGEVTEEELKQYDGTDPKKPLLMAIKGQIYDVTQSRLFYGPGGPYALFAGKDASRALAKMSFEPQDLTGDISGLGPFELDALQDWEYKFMSKYVKVGTIKKNVPVEDNNTASTSPATDEAAPAASETTVETEAENVPATEEKPKAAVAEEVKEKEFPADKEAPADEGAKES >Et_1B_011984.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27850806:27853061:1 gene:Et_1B_011984 transcript:Et_1B_011984.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSFVSIAPSSVNLSPSTIPVTANLSDEEDRISALPDHILLNILERLNDLRAAIQAGTLSKRWVHLPLSLSRLLVDIADFLPRDRGRREHWTVDEVMATYTATLTRLLRHSSSGGLAIKDMQLSFYLTDPYLNSIGHALSDIMECGRTDCLEFTIWVDTHQPSYEQCVLFKERLMGFLHACPTTFSWLTRLILQYIIFGDTDVSNLLNNCNKLEFLSLTYCDTAFDLVTGEDTALTINAPHSALLALEIHNCGFTWIDLIQAPKLGRLLCLNWIGMNPPLRFGDVPCLDNIALRCAASHGQTPFVLSHWLTNTTTLSTLYLDFVGHMIWIEPEGPLHLSLIFSNLRDVYLHNIFYECDLNWTMFILEAAPSLNNLYLKLFRHHCESYRFEDSAKKVNMLWDQASLDLKHNQLSLVEIVGFAVDEKLMKYIRLVMQRAVVLKRICLLDQPPCDECDAMDRAQSPSLMRWRFPIEEEEKKLVKQQLLDGFSSSVEISIG >Et_5A_042664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24729717:24732850:-1 gene:Et_5A_042664 transcript:Et_5A_042664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPGMLVFAVGIAGNFYHHYLLARLRAGGGDGKGYKIPRGGLFELVTCLHYLFEILGFFGFAMISQTLYALAMALGILAHLTGRSCATRRWYASKFEDFPMKIRALVPFANTSQIVNVL >Et_2A_017197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31903605:31908554:-1 gene:Et_2A_017197 transcript:Et_2A_017197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFRSRTRRDAFAALEDAGSATRGRPVSSAGSGGGSARRSRSLSRFPPPSPSPEDAATPSSRFVNKVRGAGFPEISLDDLADEFFRARVESEDDDEGDVRVARGRSGFPAPAEREGGGSGRRNSTARYARETESSRLRGRSVSRPPAERRGVAGNAVNGSAGARRQRYASVDRHSSTDRHRWCDSDNEMEVSHRYGSRGIHNKNSSVNSLQNSFHKPSIVNQALKRSTSQNDFFHSRDSSSSHSSLTDDESRSSHSFQGRNQKTVRATYALDKERPIGDDNGNILYDVMRKEVRQAVEEIRTQLEKTVTLSEPSEKIPISDAKPTQVITELRRSYTSKLEESELRKQELLAQLAAEEQRGHELTKIVKELLPTSKKSANPERQPRHRRRSNDRTRMSKRLIEEAEQYFEDFLSNVEDTDFSSFDGERSDTSSSRKDVLLHAMTETPVALPKVASPAEADGVVLPWLQWETSNDLTSPCKPKTQGESTACCASNHTMSSRGSWSPGHHNSSAASKDKLLARFDEVGIRQSSCTDYTRSSSFHIDDYLHLLGSEEVLLEKWRQKERIDSGGLSLNDNGEEP >Et_6B_049484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5511749:5512707:1 gene:Et_6B_049484 transcript:Et_6B_049484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAILDSCMVTPSEATLKHRMWLSNLDLMVPRSHTPMIFFYRPRPEQLFSFAPDVLKDALSKALVPYYPLAGRLALDGGGRPEINCNGGRVLFVTARADAILDDMGRPASSDELRRMFVPSDERAGILTILQVTFFKCGGVCLGTAIHHMVSDGRAFASFLNTWAAIVRGVSDAMSQPCLDRTLLRARSPPDVRFDHVEYKFSGGGGVSKPNVPFHTAILPISRSQINILKAAIGAGHGGKKVSTFTAVVAYVWRCACKAGMIRGTDDNTRVYMATWALPHLPAAPEQLPR >Et_5B_043804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:16326754:16332384:1 gene:Et_5B_043804 transcript:Et_5B_043804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKIELDHKDMVHDSAIDYYGKRLATASSDSTVKIVSIGAATAPSQVLATLTGHYGPVWRVAWAHPKFGTLLASCSYDGRVIIWKEDARGHWSQAHVFADHKSSVNSIAWAPYEVGLSLACASSDGRISIITMRADGGWDTATIERAHPVGATAVSWAPATALGSLSGSGELVYKLVSGGFDAVAKVWGFVNGSWKLESTLISDLHTDCVRDVAWAPVLGLAKSTVASASQDGKVVIWTKGKDGDKWEGKLMRDFGSPVWRVSWSLTGNILSVAAGENNITLWKEGSDGQWEEVMKDISDTSSTFLDCIVSRWTRNTPPFVAMKITLPS >Et_7A_050572.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:25571620:25572222:-1 gene:Et_7A_050572 transcript:Et_7A_050572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVRRRNPDVAVKALEGIVSANTFFTVAVFIGITGTITPSSSIPRNCVAGDDIARNFFLFEIISFGFYLLSSLVAQGMKLAVTLLAADDFYSDGDQNKPMAPSDDCEEMPAWRAAAPRERRRAVLRYAQPMMLLAAGCSIMGTFFLLLSMVDAIQLKFGIVSCGIPLAVGATFALAALVVAGLLFYGFTVAYALTHYLP >Et_1A_006621.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25290640:25292802:1 gene:Et_1A_006621 transcript:Et_1A_006621.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSNAKKRQQASKPAADPLAHQNATSKKRENKAGEEGKGDRPVRVYADGIFDLFHFGHARALEQAKLLFPNTYLLVGCCNDELTYRYKGKTVMTQEERYESLRHCKWVDEVIPDAPWVLTQEFIDKHQIDYVAHDALPYADTSGAANDVYDFVKKIGKFKETKRTDGVSTSDLIMRILKDYNQYVMRNLARGYSRKDLGVSYVKEKQLQVNMKINKLRETVKAQQEKLQTVAKTAGINHDVWLANADRWVAGFLEKFEQHCHVVETAIKDRIQEKLGRQLSKGISGGLMPQPVAA >Et_3A_024549.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21941413:21945097:1 gene:Et_3A_024549 transcript:Et_3A_024549.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGGNHNSGGLRGSSHENPGGSGTSSRRNMNGPSTEKEEFKGVQEDETSSPMRRLARKGKKKMEDTPTPDGVKRKQARNRRLRPSNKEHGTLAQMQQDALALVSSLIDENSRRWNGELIQTMFSPADAERILNIPLSYNRSAYMMVKCNDFHLKASAKGKGASSNQETTAREWKKL >Et_2A_016836.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28751410:28755212:-1 gene:Et_2A_016836 transcript:Et_2A_016836.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DNQLPGIEGFQIDGEPRVFHLPIIFFGLHCYFLSPEAVGVFHLWLRYLSDGTRQYIDGAMMPDYRVTADDFDTILAVGCLPKDDNGLQGDMVTEFANGKNKIACGEFKMHFCGLVMRSCWLADFFKVAWTATESGRSEGQKGRGGATSDDGPIRVGDVVLFRKPVPDDDDGPPDVVHRVIEVRERHDGGVDILTKGDDNDLDDAAFLYGGAPYLRRTRLLGKPSGICLARDGRRLRSTRREWIG >Et_4B_037977.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:25208026:25216724:1 gene:Et_4B_037977 transcript:Et_4B_037977.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQHSRARLSPDEQLAAEESFALYCKPVELYNIIQRRAIKNPPFLQRSLLYKIHARRKKRIQITISLSGNTNTELQAQNIFPLYVLLARPTSNISLEGHTPIYRFSRVCLLTSFSEFGNNDNSEATFIIPDVMNLSTSHACNLNIILISCGQLGQTIGEDNCSHKDVAGSSLQNLEGKCSWGKIPTDLIASSLASCASLSLGDTVDLFSTVTMSPSFLEPKFLEQDSCLTFCSHKVDATVCISAQEAGARDMSESPYSTYSYDDVPPSSLSDIIRLRDGNVLFNYKYYNNMMQKTEVTEDFSCPFCLVRCGSFKISEECQAVNVSLKADAWRTELVAEGVDPRHQTFSYRSRFKRRRRLVTMTEKIRHVHSHIMESGSPEDAQVGSEDDCVQRENGISAAHASVDPANSVHGSNLSVPTVLQFGKTRKLSAERADPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRMLDDFVDVTKDEKSIMHMWNSFVRKQRVLADGHIPWACEAFSRLHGPQLVQNTAMLWGWRFFMIKLWNHSLLDARTMNTCNTILQGFQDESSGPK >Et_9A_062608.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24505927:24507883:1 gene:Et_9A_062608 transcript:Et_9A_062608.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAIRVPRSQRAKRELLKHAPKLVETGKKTLILHGTKTSAVLNSVLSDIYHLKRDNAVKYTKKNDNVRPFESGGETSLEFFSLKTDCSLIVYGSHSKKRPNNLVLGRTYDHHIYDLVEVGVDNYKSMESFAYDKKLAPKLGSKPFFAFIGQHFESSEELKHLKEVLLDLFRGEVVENLNLAGVDRIYVCTAISPTTVYMMHCALRLKRSGTSIPRMELVEVGPSMDLVVRRHRLPVESLKKEAMKTAEPAKKMKNVMKDPVHGRLGKVYMPDQEAGKLTLTNDIKGLKRERREAKKNKEHSKKQKVSPE >Et_6B_048586.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:11159974:11166697:1 gene:Et_6B_048586 transcript:Et_6B_048586.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYVKCGFAGENFPTSVFPCVVGRPLLRYEESHQEQELTVDPSECKILLTDPPLNPVKNREKMIETMFEKYNFAGVFIQIQAVLSLYAQGLLTGLVIDSGDGVTHVVPVVDGYSYPHLTKRMNVAGRHITSYLVDLLSRRGYAMNKAADFETVREIKEKLCYTSYDYKREYQLGLETTILVKSYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMAFRCIQEMDIDNRMTLYQHIVLSGGSTMYPGLPSRLEKEILDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWITKQEYQEEGVACLRNLATVNMVDNSISVLLDSQVPFLDDDISLLLELVLDPLLRVPLDPAQLVPGGDALELLRAARRFLLLGEGQTVEPLHVGSHVVGDVPGQDGIYSLLRHRPPRRRCWLLIEIDLLFAFLVLIEI >Et_3B_027658.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:11207435:11207683:-1 gene:Et_3B_027658 transcript:Et_3B_027658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSADHHDGHQKNQPSGAANNSSGSNDKPSSKVDRYAGAVAQRALFGTSRCRGAGQRRATGKDSRPPSRLSKMSGAAEAT >Et_9B_064444.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15246583:15255788:1 gene:Et_9B_064444 transcript:Et_9B_064444.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAGGPRWRVQLGRRDGTTTNIESANNLPNFFDPLETLQEKFRNVNLDDTDLVALQGAHTFGKVQCQFTRQNCTGGQEDGALENLDQVTPDRFDNKYYGNILQGRAQLESDQVMLSDPAAAATTAPIVHRFAGNQRDFFKNFAVSMVKMGNISPLTGSAAAHCAVLLALAGAARGHPPVSGSPLSSKFYDESCPNAYNIVRRVIQNARVTDPRIPASLIRLHFHDCFVQGCDASLLLDDDLPAIQSEKNVPANDNSARGFPVVDDIKSALEHACPGIVSCADILALAAEISVELAGGPRWRVLLGRRDGTTTNIESANNLPNFFDPLNTLQEKFRNVNLDDTDLVALQGAHTFGKVQCQFTRQNCTWGQAEGALENLDQVTPNRFDNKYYGNLLQGRAQLESDQVMLSDPAAAATTAPIVHRFAGNQKDFFKNFAVSMIKMGNISPLTGSAGEIRQNCRRVNNKKALTQPIDRPFPGNCKLGWWSIEG >Et_6B_048690.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12624981:12636018:-1 gene:Et_6B_048690 transcript:Et_6B_048690.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAKVTVEEVRQAQRASGPATVLAIGTATPANCVYQADYPDYYFKITKSEHLTDLKEKFKRMCDKSMIRKRYMHLDEEILKENPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPRSRITHLLFCTTSGVDMPGADYQLTKLLGLRPNVNRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEITAVTFRGPSESHLDSLVGQALFGDGAAAVIVGADPDEAVERPLFQLVSASQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALEAAFQPLGITDWNDIFWVAHPGGPAILDQVEAKAGLNKERMRATRHVLSEYGNMSSACVLFILDEMRKRSAEDGHATTGEGFDWGVLFGFGPGLTVETVVLHSVPIAAGTAAVPMATSRPVTVLEETRKRFDGAAAILAIGTANPVNCVRQDEFPDWYFRVTKSDHLDKLKAKMKRICTDLNQLIARQFI >Et_9B_063802.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15166823:15167512:-1 gene:Et_9B_063802 transcript:Et_9B_063802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTPCTVGVLVERRFFEEAAAAATDGGRQQVVVQKVAAVFLGGPDDREAVAYAARLAAHPWVAVTVCRFLPASSSSTAVAEAGEEATEDEEFMADLHARFVSPGHVSYTEKVVSNGVETLNTLSAMAPKFSLFVVGKGSGGGAGAEAMTCGMGDWDKECPELGPIGELLASDDVVGGGSVLVLQQHSVHASNKMRTWKGAAGASNDAVVVDINRDPPRLSTSNQTHRS >Et_2B_022918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:848978:859415:-1 gene:Et_2B_022918 transcript:Et_2B_022918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSVRDVLLHVRNFFGRRHIATGSSGRVVCGPSHLEDTFLLEEEVEDEIQPLYAKIQSLYDMLELPERNGFCFGLLDPISNITVNSVIAGKRAEGEGGGEQAADMAMRSLSGLLAFLTYLFPYLPIKEAVSYLYSAEADPIVASILIIRRRGMIEFDPCSSATMAAIETALRCAAAAAQYLDPQQLALARFPTTELCARYHRSLLMGGYCYGPLDPTSNIIANTLWYEQKFPSTKDLTLQMISTECLWRIAARSLYGLVSFLCTRYPALTPDLALQRVLVARADLRAADPNLLPAGRGYNVADLKWSRYYQIGSTDAYHLQRAAVESSSPSASAEEAYAAAATAAFHPYPDAQREFLGSESSMHILGVASELPQHDRIISLKDIEFLSQQFMGASSMNENNQQQEQVSRKKSFHRFMSDCNVRFWEKHQRVLDKVKTALDTFNKDKEFKYQLHVICGVSELVSGPDFSLDMEVREHVRCIYCEFEGNRIVHPALKGFHGRDTEFEKVLRGEAIFEGSDQNKYRNNKIIKETLVDWVHSVRDEYIYFFSNDDAAFDSEDSDEEDWRRNIPMG >Et_1B_011359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:21045951:21049425:1 gene:Et_1B_011359 transcript:Et_1B_011359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAILLLVTLAASTAPPSAASHAGSGEAAVLHSFLASLPPASRRVLRPSWKASAAATSGNGTTRHCSFLGVECTAAGAVAAVNLSGLGLSGALAASAPRLCALPALAALDLTRNRFTGAVPAALAECSGVTTLLLGGNLLTGAVLPELLSSRQLRKLDLSVNALTGEIPVSSSPVLEYLDLSVNVLSGAVLPDLAALPRLSYLDLSSNNLSGPVPEFLPHCRLTYLSLYSNLFAGELPQSLSNCGNLTTLYLSFNGISGKVPNLFASMPGLQRLYLDDNQFVGQLPESIGELVRLEELVVSDNGFGGPVPEAIGQCQSVTMLYLNGNQFTGSIPAFIGNFSRLQKFSMADNGISGRIPPEIGKCQELVELQLQNNSLSGTVSPEIGNLSQLQRLYLFNNLLHGHVPSELWQLPEMMEFCLDNNSLSGEVPTEITQMRKLRAISLFNNNFTGVLPQALGLNTTPGLLRVDLTGNHFHGEIPPFLCTGGQLTVLDLGDNYFSGGLPIKIAECQSLWRFIVKNNQISGNIPANLGTNIGLSYLDMSGNLLEGMIPSALGSWRNLTVIDFSGNKLIGPIPRELSALSKLGTLRISSNKLTGPIPHELGNCTNLLCLDLGNNLLTGSIPAEITTLGRLQNLLLGGNNLNGTIPDSFTATQDLIELHLGDNFLEGAIPRSLGNLQYMSKALNLSDNRLSSQIPSSLGNLQDLEVLDLSKNSLSGPIPSQLRNMISLSVVNISFNDLSGQLPPSWAKLAAESPEGFLGNPQLCIEPANAQCFKTLSKRNRYRNRRIIVALAVSVLSIMVAGLCVIYYLVRKTQLLSRRHGSSRSLDTTEELPEDLTYEDILRATDNWSEKYVIGRGRHGTVYRTECKLGKQWAVKTVDLSQCKFPIEMKILNTIKHRNIIRMDGYCIRGSIGLILYEYMPNGTLFDLLHERKAQVHLDWTARHQIALGIAQGLSYLHHDCVPMIVHRDVKSSNILMDIDLVPKLTDFGMGKIVDGKDADATVSVIVGTLGYIAPEHGYSTRLSEKSDVYSYGVVLLEILFRKMPVDPSFGDGVDIVAWMRSNLKQEDLYSIIRHMDEEIMYWPEDEQKMALELLDLAVSCTQVASQSRPSMREVVNILMRIV >Et_3B_031228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2269851:2274126:1 gene:Et_3B_031228 transcript:Et_3B_031228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPCSLLVYFDKGSAAMANEIKADLEGSDGAAKADAMRRAISLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIDRRDPAGRALPEMILICQNLRNNLQSPNEYIRGVTLRFLCRLSEPEVLEPLVPSVLANLEHRHQFIRRHAISAISAIYRLPHGDQLIPDAPELVERALASEQDASARRNAFLMLCSCAQERAVAYLLSNADRVTDWPDLLQMAVVDLIRKVCRSQNRTNKGKYITIITSLLSSSSTAVVYDCAGALVSLSSAPTAVRAAATTYCQLLASQSDNNVKLIILDRLNELRTSHREVMVGLVMDVLRALASPNIDVKRKVLDLVLDLLTPRNVEEVVLYLKKEVVKTQAGDLEKGGEYRQMLVRAIHACAVEYPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRVSMIQRLIDTFYQIRASRVCACALWILGEYSLSLSEVESAIATIKQSLGDLPFYTVSEEGEATDSAKPAQPVVNSVTVSSRRPVVLADGTYATQSAATETVSTPSVVPGSLASTLNLRSLILSGDFFLAAVVACTLTKLVLRLEEVQPSKVESNKASTGALLIMTSILQLGQSSYLPHPIDNDSYDRIVLCLRLLCNTGDDVRKIWLQSCRQSFAKMLAEKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRRNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVTFRNMWAEFEWENKVAVNTVIQDEKEFLDHIIKSTNMKCLTPPSALDGECGFIAANLYAKSVFGEDALVNISIEKQQDGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >Et_3A_024619.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:22650484:22650942:-1 gene:Et_3A_024619 transcript:Et_3A_024619.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPYSSARPWLMPAADVVVKPEPEEESRPAEPSPAPAGDDGEAAAEVGRAVAESPVLVVGRRGCCLSHVVKRLLQGLGVNPAVHEVADEAALAGVAPEAPALPAVFVGGKLLGGLDRLMAVHISGELVPILKKAGALWL >Et_1A_008923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:19298097:19303191:1 gene:Et_1A_008923 transcript:Et_1A_008923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGGGPSPNATRVPPESWPKLLHRPALASRTNHAQSGRPFPGAFVPQSPMETAGRPDGAGDGSDEQLPKLIIMSLSGTYELVISKEWNIVPCRTDILSPRLFVEKERGNREWQSAMQGLREPKAKRQRTQEAIDIPDDILEEVFLRLPVKSLLRFKSVCKSWRDTIGSRRFKRCQLLISRARRPTMLILPLQDMTHRMRMGEIRFFAYPGHGTTAELLHERLWSAGVSSFTLPMHCDGLVVVPAASLSQIFVCNPATKELVLLPSGSPDYSYTFQKVGFGVDTSTGMYKVVRCFWRYCNDDMTEYDIGCEIFVLGSQAWKPVADPPYLVKSMTPASLPGAIYWGAALNLSTQTILRFNLHDERFIVFPPPPCMELTDTCSNLTNLAGKLCYGYAQIQTQTVQLWMTEDDGVRRPKWLLYNTESGSLEQVVDLNQQMSYVHPQATLVNPYIPGGLDWLYPTIQYSETLIIRLSRDDYRRASVVMHAKEML >Et_1A_007367.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33944460:33948928:1 gene:Et_1A_007367 transcript:Et_1A_007367.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRTPSSLRDLLARDRLDTEAPSLRRSPRRTGSKSSRHASPPPRPVEAEAADECEGAAGAVVAVLSGYAGRFLKDEEFRRVLREKCAACLAPGRDGAGHAVLANLELGIESIERLAADGAAAPREAKIRSLRNSIRLLSVVASLHAPPPLAAAAAAAEDRTCGVPNSHLSACAQLYLSVVYKMERNDRVSARHLLQVFVDAPGLARRTLLPDLWDHVFLPHLLHLKVWFTKEADLAADWDADDRIRRMKSLQRLYNDHMDSGTAQFATYYKEWLISGADAPPAPSVPLPSMPGNLDACQKPNSASLRRSSINANLYNAVFGTAFEQENVRPAKLDDNTELVLDLDVDFNDNSVSFKTEKLAHSKMGLQEKHSRSRREGAIQEKAPTPRKSYSFRLFSCRGDLSRNVINHPKIPKKETVSVEKDPESNELTMTLERAISVVSNSDSLRQCEYAVHEVARACSTSQGDPNLVTLLSCPSFIQGLLEVTFTSKDDAALESAILIMGKLVLGNEVIRQIVLNADPQLEVFLRLLRSNELFLKAAVVLYMMKPKAKQMLSLDWIPLVLHILECGDEVQFLCSVKCAPKIAAFYFLDQLLMGFDVDRNIENAKQMIALGGLDLLMSRLDVGDARESKTCISLLTSCIQADGSCRHYLADNVKKEPIVQLLVGNHKKASAAALNMMSELVCLNRTTKIVEFLKELKNSGCLNTMHILLVYLQQAPLVQHPLAAAMLLQLDLLGDPSQYSVYREEAIEAMIAALEHRSQSRKVQEQCARALLILAGRFSSSGEPIADAWLLKRAGLDDSLSESFRRTEIFKDKSARAEEEKVVEERLKKLALMLLNSGNKRFLMVLSDCISDGIPSLVRACLITVTWMSSSLSPLRGCNDFQPLACSILAPALLDSLSYDRVLEERVLASLSLLNVVRHPECMEKVFPLKKETIESLQDLAEVTWTAKELLFACCR >Et_5B_044958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7711625:7714457:-1 gene:Et_5B_044958 transcript:Et_5B_044958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSKDTTPPRAADEPTAAPRAWVPDDMVTEILPRLPTRSAARFRCVCRFWNAETWSPAFLDRHPGLIGKFAFGEPALLVVPGLEIPRVIGAVHCRGLVLVEDRTRTAGYAVSVCNPTTGEVLRLPDKPSWSGGEIGPPGVVTGIGFHAEHYKVVQVTVAMGSNMQARVLTLGDDRGWRALDLDMTQAFGDLTDDACIDWNIDPVFADGCIHWYFRTNRQNPDEPHGIISFSLADESFRRAPLPPFVTSDRASYPHRQAAGDAFEVWKLHDYEAGAWSLDYRVDLKGRAARRVKASFVVPLTYMDGGWWCPPCPGDPKTKRRLLLVTSEQRVQVYDPNTNLLRTVASIGYIRRFEDTLRVVLYQESRVRFPGMERCDSEIRFREMDDVVTVGGQRCWRAPRGPRTPIICEDAIVAASTDPVFADGRLHWMLLSREGNGNQNGVLSFEMGSESFRRLPLPAFGDLPARPEHATMAEDDGRLCLLRDLRRLRLAVALFEVWMLRDYNSLSWSLDRRIDLTPHIWKKLTRPWHGDFFVVCYASSAGESRKILLATTGATVQRAYMYEPDTGELHVVVKRNDNVVQQRMPLSRKNNTCALCFTRRACCKWTAWSSATKTSNSRSRMKAWVLDLTRNLAR >Et_9B_065762.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:10330846:10338939:1 gene:Et_9B_065762 transcript:Et_9B_065762.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKINEKHRAHFVASDLPKKSQKTMLDKEQRTRMLPKSSSQQMKTLRAAMQEEKATMASRLFSPDAAVSNPSFRVYYGVASAGSVPFLWESAPGTPKNAVSDAKLPPLTPPPSFYNNNSNKAAKTKFVKSQSSKKLLSSSKPASFVQSIIPKQLRRSHTMPSPRSSSSSPPAPASKDGVLCGRRNRLLVSPRSSFSSTSRGDDEEDGGGASSPTSTLCFRARHSSSGTGRMHGLLASVMGGHSKDGLRLNHDDIVYNV >Et_7B_054098.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15381893:15385794:1 gene:Et_7B_054098 transcript:Et_7B_054098.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VTMENNEGTENNNNGHDLNIAIETDENTDHLIWNYQEYDFDALLGDDDNDASNDHDAEGGRDNGPESEASSKTKRHKAQQILELETLFQSCSHPDEEMRRALGAKIGMGSQQIKNWFQNKRSQNKLKSCWDENREIRSINTVLQAENVQLRQRILDRSCFSCHCQMAPPEQLSEKQRLLIEHARLKEQYLRAEATLRELIRGAQLPLAAPSVIIAGTGDGNHGTLLLQHAERAMKEFQELTAAGAPLWLASADGDVLNYREYTPFVFPGMLGPCPQGFVSEATRECAIVWGTAVDLVDVLTDPGRWSKMFPGIVAAVSARDVGSSGTFSSRDGLIQLMNAELWVQSPRMPNRSVKFLRFAKMMENGRQFAVVDVSVDGILGQEGRVEPPAQNTRCRLLPSGCLIENMGSDYCKVTWIVHAEYDEATVVPLFKPLLRSGQALGARRWLPVLKRQCEYMGVLKNFRDGRKGVMEIAQRMTASFYAAISGPVTLPGSRIKEWRGTGTGVPVRMAIWNSAGAVPGHPDSRVLSATTTVWLPSTQPRVVLDYLRNERRRGEWDVLANGASVKELGRIITGNVYGNAISILRPNLTADGTNNNKRLILQEACSDPSCSLVVYSPIEKNVMLAIMRGDNPINGFILPSGFAILPDGYRTPRASSSSLSATSTSTAPSGGNTTTSGCFLTAAYQTLWSGPPADDNLAAGAFDAIGKQLCNAIENIKAAVGAEVIIPA >Et_7B_054777.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4367771:4373230:-1 gene:Et_7B_054777 transcript:Et_7B_054777.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKDHDATTAAAEALPPLPAPRAQDLQGRVHERPGEEGGSPSPAAAAETEVAAAATADRKGEKVAATSPSSASTPSRVMGSSSPVIEDVEVETKVTRPSDLKNKFNSILREQKVINDMERQLVEAGGMKNQVERQDHVVLAKLETRKGTSWDCQSLLSTCSVLESKDENLDQKAQIEEQAAQKLRDMINRKDEEIWKLRKRVEELEKAQDKTPATEKYEKRLTECMEREEKHARRAALLNKVLREEKIEHDDMRKKLQQLTEKKWLEEPRIKDDERIRQNVKLVQKIATSELVDVAKENQSLTEELAQLNWVSASNSAATLIVDEVEILHAMLIRQLKEAEPATKMSSYDARVEDLGTDLVAAIKKCHDVFICPHEDSHVKLIDYLASEGNRIFRVRAVPGKVKKRDLPEHIRVKTQKYVVPARRNNHAEDLYLKKLNSLGKFVATGIIECGFRLHRNGICLAGRFSLDTLYVTANKRIKLGAEVQRNVLSRVGNRHGMNLDYFTIHDILELIASLSPEGVPISFDLKCLLDLLRSEDPVGNEILIRYNASLMDEIQKRDHFITMYERWEQLDKHEKGTREHTKAMQVLKYIKAACTDDWRDHLHENKFTSSVRNTHVNDSGNMSTNAKGLLRTWRHNFTHLHEDTDEIIDEDEVDIMD >Et_5B_044457.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2589782:2597789:1 gene:Et_5B_044457 transcript:Et_5B_044457.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding FFLISPLAPVFTSTLAIFTMALANLYSNSPGNSELSSTDAFVRAEVSSAASEVKSTLPLSRTLGVTTSWSTVGSLVAFGLAGHCPRSCDASPGFMVELDPGEMRSEKEEQWERPTVCAPERTTRSSTSRPLAAKLAVSSERLNDGGGRNCRAALERDTRPSRRPPGMAKLTRPLLRTALASRPAKATTSAQETVPGQAASSAVLAASIASKPRRLGLLGGDSFSGCGFAVVGSSSTDASQPYLNTYNNIVHTYLNEAVVEEHPNEPCTNARVLFHLRLDDASHDLLGIGALDKAAVLPDLAARAGLHFDGGHLHHGRGELLLPHPGVVGVEEHHLRRDRRRHERGVGVEEHVAAEQVPVVLVVHGVGRHEVLRHQGVVAQARLGRAHPLQLDGEEAVEVGARRGQAAGEGGAVRAADAVRAGEHDEVVDGEPLGPEVVDELLQVEERRREEGERPAGERDKAVAAAAGEPEADLAAAEEDGGVARGELDDVGARDDARAGGLEPRLGGVDHLEAPQARVVWRAQLLRLRVRRRRVQEHRRVAALPREHVTVKHLTMRQFLLISPLAAVLISMLAILTIALANFLSHLPRMTAFSTTFLAVLLEVSRAASDVNSTFRFSTLVGVTMSCTTVGSLVMLGFAGHCLFSTVARAGSMSEGGVEMRSENDEQCERPTVWEPERTTRSSMFRPLTLKLSMSSVRLKDGGGRKASVSFSRDTRPSKRPPGRP >Et_4A_035804.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:6424129:6425819:1 gene:Et_4A_035804 transcript:Et_4A_035804.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTDFLDEPLVVCSGKGIAVVRVSYAHGARPIESLEDMGAIDVDYFVYRAHAGNGSPSLQLLPNPKPLYFRPSEIGFLSSDGGDFVMAVVRPRRALLQYDLHIFSSKMNRWTTRLARLEPPSPRYETEYLVHETDMVVAVEGGLLGWVDLWRGIMLCNVLHSAPVLRYIRFPKPMDGNVDSYLEDPARAVRHVTCSNGFIKLLEIEKSNRLAAAGVAEPCPQDETPDSCDCTNAL >Et_1B_010194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29092354:29092746:1 gene:Et_1B_010194 transcript:Et_1B_010194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKARVEDTDMPARMQAAATSAASRALDLFDVADCRAIAGHIKADFDKRYGVGWQCVVGANFGCFFTHTSGTFIYFSLERLSFLLFKAAAVAASAK >Et_7A_051401.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1862881:1866883:1 gene:Et_7A_051401 transcript:Et_7A_051401.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLGRGHRIWDSRFAHTGKSRKLAEALLATSKPNNPGAQSIGSNFVLGLGYSSKAFLQGRVPNGPSTSYMLGRASESLGQYSGVRRFSVLSPCSQNAFQSQLAWKQLMAMRSVEMVIIIFRSIYLALLFTPSLLMAPFADTLGSRYRKTWLRLVHRTLELAGPAFIKWGQWAATRPDLFPSDLCTELSKLHTKAPAHSFAYTKKTVEKAFGRKLSEIFENFEENPVASGSVAQVHRAVLRFRYPNQQTRRVTVAVKVRHPGVGESIRRDFSIINAAAKVSTYIPTLNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPRPLYPLVHPAVLVETYEHGESVSHYVDDLEGHDRVKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVVQPKKSNNKSRPHVVFLDVGMTAELSSNDRVNLLEFFKAVARRDGRTAAESTLKLSKKQNCPNPKAFIEEVEQSFSFWGTAEGDAVHPADCMHQLLEQVRRHKVNIDGNVCTVMVTTLVLEGWQRKLDPDYNVMKTLQTLLFKEDWAKSLEYTIQGLMAP >Et_5B_044908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7212163:7213623:1 gene:Et_5B_044908 transcript:Et_5B_044908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARAGFRRLFSVSAFSPPPPSAARPAADPCNNLFVSGLSKRTTSDRLKEAFSKFGQVVEARVITDRISGYSRGFGFVKYATVQEAGEGIKGMDGKFLDGWVIFAEYAKQREAPQPPHHATPSRPPSGYQ >Et_6B_049194.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:19267463:19268472:1 gene:Et_6B_049194 transcript:Et_6B_049194.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDYKRTCRRKCINEADGVTLAIDDVRTLIMKEEEEGRRRWDLAGKTALVTGGTKGIGLAIVEELARHGARVHTCSRTAGDLEACGRRWAAMGFAVTASVCDVSSARDRDSLVAAVRDLFGGHLHILVNNAGQSLYKPAAETTAEDYARIMATNLESCFHLTRLAHPLLRRAASSSSSSAAASVVQVSSVAGLIAYPALSVYSLSKGALHPLTRSLAAEWAPHGVRVNCVAPGGIHTAISSKTLGDAARARRLAEMEMARVPMRRFGTPQEVAALVAFLCMPAASYVTGQVICVDGGRTLAAKL >Et_4B_039420.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14902403:14929114:-1 gene:Et_4B_039420 transcript:Et_4B_039420.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSVAHDSYRGYMAPEYSKKGIFSGKTDAWYVVFVQKALTKLLHPSLHGEAPSRMGGQIRRCAHVALLCVQEDPADRPSMWDVVLMLNGDAGAGAGLPTPKRPSRRYGSTLRFADFLRDEGERYKKTITVVMR >Et_8B_058807.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:7195397:7195588:-1 gene:Et_8B_058807 transcript:Et_8B_058807.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMIWLQTKLCGPCMSVGARLSTKNVTLMRWPADSTHSRPLCYMCTVSTCLVSTILIHPTSWI >Et_1A_007873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39053612:39056619:-1 gene:Et_1A_007873 transcript:Et_1A_007873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHCASSSSAFLGLPLSNGGRRCSNSCSFVANAKPAPVSATLDKETAVAKPRRSRNRRSRKATKSDSTAVFAPDDAEAEKAGNSAGKGMVALDDVIVNPVGLGRRSRQVFDEVWRKFSRLGQMSSASAAAVAEQDPAVLLRGGPMCDFTVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDPEVIDMLPRSVDVVVGDVGDPSSVQAAVSGCNKVIYCATARSTITGDLNRVDNQGVRNVTKAFQDYYNQLAQSRAGKSSKSKLSIAKFKSAKSVQGWEIRQGSYFQDIYPSRFDEGTDATFEFSESGQAVFSGFVFSRGGYVEISKRLSLPLGSTLDRYDGLLLSVGGNGKSYVIILETGPLADTKQSKKYFARMNTKVGFCRIRVPFSAFRPVNPQDPPLDPFLVHTLTIRFEPKKQRPGDSSFQEGSDPRNFELKMEFIKALPTGQETDIVLVSCTGSGIEPNRREQVLNAKKAGEDALRKSGLGYTIVRPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEHVSEQGNELYELVAHLPDKANNYLAPALSVLEKNT >Et_5B_043099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:2763975:2764267:-1 gene:Et_5B_043099 transcript:Et_5B_043099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNTATCIDIILAIILPPLGVFFKFGCGVEFWICLLLTFFGYLPGIIYAVWAITK >Et_9B_065538.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:6298194:6298604:-1 gene:Et_9B_065538 transcript:Et_9B_065538.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSREIGILGPQRFVRLHCLSLLRPSAMARTPSALTVAVALVLAIVMPAVVAAQAPAPAPTSDGTSVDQCIAYTLMIVALVLTYLIHPLDASSPYKIF >Et_3A_024760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2429914:2448698:1 gene:Et_3A_024760 transcript:Et_3A_024760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGRDKPNQTPSIRVTLTGHRPLAVSATCQLPAASSPGLHMDGPDRAIGTTDGRAAGTWRGRGQNYRPALAPLPAGTRRQGWEEQRPVLRTEDGGEFLGRGRLRLPPPPPSPLPSVNLKYEDDRPVDGKGVGRKVIEKLQQTYATELANKDFAYDGEKSLFTIGALPQVNNEFVVVLEDVSTGKTAANGNGSPGNDSPSGSDRKRVRRPYQTKTFKVELCFAAKIPMSAIAQALRGQESEHTQEAIRVIDIILRQHSAKQGCLLVRQSFFHNNPSNFVDLGGGVMGCRGFHTSFRATQSGLSLNIDVSTTMIVKPGPVIDFLLANQKVDHPNKIDWAKAKRALKNLRIKTSPANSEYKIVGLSDRTCYEQIFTLKNRNGENGEPETTDVTVYDYFVKNRGIELRYSGDYPCINVGKPKRPTYFPVELCSLVPLQRYTKSLSTLQRSSLVEKSRQKPQERMKVLSDVLQRSNYDSEPMLMSCGISIAKNFTEVDGRVLQPPKLKAGNGEDIFTRNGRWNFNNKRLIQTSCVEKWAVVNFSARCNVRDLVRDLIKCGGMKGIKVENPFDVFEENPSMRRAPAIRRVEDMFEQVKTKLPGAPKFLLCILAERKNSDVYGPWKKKCLAEFGIVTQCVAPTRVNDQYLTNVLLKINAKLGGLNSMLQVEASPSIPLVSKEPTIILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISKYRASVRAQSPKMEMIDSLFKPQGTEDDGLMRECLIDFYTSSGKRKPEQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDETWCPKFTLIVAQKNHHTKFFLPGAPDNVPPGTVVDNGVCHPRNYDFYMCAHAGMIGTTRPTHYHILHDEIGFTPDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVGQFIKFDELSETSSSQGGHTSAGSVPVQELPRLHKNMESNSSKGDPSKSEFCQRLPISRPGVGTKGRQIRLRTNHSISSVRNTDAIFYHYHVNMTYEDDQPVDQKDIRRKVMDRLQKAYAYDLANTNFAYDGEKGLFTVGALQNGGDVFFVVVEDVSSSKTVTSMTHEGNGSPEGSDLKRMKPPMQPKTFKVQLSFVGRVPMSAICKVLRVQGSYKCQEVLRVFDIILRQNFVNQGCLLVRQPFFHNNPTDFYELGGGIMGCQGYHSSFKPTQSGLSLDVDVCTTMIVQPGPVIDFLLSNQNISDLSQIDWSKAKRALKNLRVKTTHTNFEFRIAGLSEKSCYEQMFQLEQRNGNGSVEVTVYDYYLQRWDIKLKESANFPCINVGKPKRPTYIPIELCHLVSLQRYTKALTVLQRPSLIQDPSSPKSILSDLQHSCYYSDDLLKKCGILIDSKFAQVDARILRTPKCVIRAIELKKWAVVNFSAASEGDARDLARRIIDCGNAKGMKIDSEDAVIEEMHDRRREAAQTRVDAMFQQISSKFPHQWPAFLLCILPEKKNCDIYGPWKRKCLAEHGIVTQCLVPPSNIKDQYLTNVLLKINAKLGGLNSLLQTEITSAIPIVSRAPTILFGMAVTHGSPGSNVPSVAAVASSLEWPRVSQYRASVCTQIPEQEIFSSLFKPEGNADRGIIRNVFRELIEDFRSHVKHLPEQIIIFRNLKVPPINVNDESRCIHVIALLPRVGINEGQFTQVLNIELAHIFEVYMPLVVSRSLLACKSIKDTWSPKFTVIVVQKNHHTRLFQPKGSRDDNNVANVPAGTVVDKGICHPGNYDFYMCAHGGVTGTRRPTRYHVLHDEIGFTPDDLQELVHSLSYVHQRSTSAISVGKPKQNQETSSSSIAPLVMTYQHAVFVSAAVAPVYYAQLAAAQARHFVRHDDMSDRASSASDGAAPVSPAPELHQLHEKV >Et_9B_066070.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2497038:2501018:1 gene:Et_9B_066070 transcript:Et_9B_066070.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAVSFVVGRLTEFVVKEASVLREVGSDVVLLKDKLQWLQTFVQQADQKRRQRGNAYMDVWVQQTREVALDVEDVLDEFMLRVDLRNGLPRWKKWFKFLSACVSVRHELAGRIIMIRARLEQISQHSNAYITDYSSSAARASPSLSVIDGCIRDDELEVVGMNTERTSLEHQLLEGEKTRTVISIIGESGIGKSTLAWMVYDTPAVKRRFKARAWLNLPPCITEADIVHFLYKRFFHDGGSASTMEEIHGALSEHLKETSYLIVVDGITKIFNWSSVLDALPDNDLGSRVVIINSLNDNGAALAGLSIHVQRQTIIVHRLVREDTNLLFYRSACVSGNNKQHNVYFCSNDLSESEYKEEMDKACNDIFEITKGLPLAVLLLGRLLRRKEFPDQWAEVFKHLKSMERSSRLKGISALCFDDLPHYLKSCFLHFAVMPENLIHNARRLVRLWAAEGFLKPRKGATMEEVGHKYLEELISRGMVHLVKKDSTGKVWRVSVHQRLHAMALLETQEATFVDVYDKAHLQSSTAVRHLFLQNINDDYNHHMVTFFPKLRSILCAFSDDWESKPKEPHPQKKKRKSHPQKKRDSHPQEKQKESQVPQPQEKQNEAKLQGKQEELGPQEKQEEKPQPQEIQEEQPQPQELQEEQPQPQQKQKESQPQGKQKKLQPQGNQTKENNKEPLRNVLNLPRRSKLLRVIDLRGLQITKVPPEIGNFIHLRYLAIHSSSLVELPPTIANLVHLQTLDIAGSHVEKVTKAFWGISTLRHVLAKDLHLPKSVKRLDNMQALVGLVCIHPWLNNTSPLHEMINLRHLELKKLNENHWVALSDAFKKLESLRYLSISGNGGIPFTLFTSMSLRRLQTLELYGKIIMPTEEAKERCTLPNLSRLVLKFSAQNKSFMDNIGKLPCLAELVLNKDSYNGKQIVFSRSGFANLTNLVLRELSKVKELKIGSESLPKVKKIAVTYCTQMKLKLEGQQVLKNIKEFEVSDMPDNWGSEAGALSEKFCRVATRSRNGQTDQRGRAENTQNSNGQRGQWRRAEDKNCQNERGKADNMDDQNKQKGRAQKSEQE >Et_9A_063487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:4142776:4145102:1 gene:Et_9A_063487 transcript:Et_9A_063487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASPFHPFSIFPGGIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLVRRTKSNFNAVILKRLFMSKTNRPPISLRRLSKFMAGKEEKNIAVIVGTVTDDKRIQEIPAMKVTALRFTETARARIVKAGGECLTFDQLALRAPLGENTILLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >Et_1A_009452.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5897901:5900577:1 gene:Et_1A_009452 transcript:Et_1A_009452.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVAAPEAQPQAAVAAVTAVVEPEVTIFRSKLPDIEIPSHLPLHEYCFARAAELADAPCLIAAATGRTYTYAETHLLCRKAAAALHGLGVGQGDRVMILLQNSVEFVLTFFGASFLGAVTTAANPFCTPQEIHKQFTASGAKLIVTQFAYVDKLREDDALTVVTVDDAAPESGCVAFWELVTSADEGSVPEVSISPDDPVALPFSSGTTGLPKGVVLTHGGQVSGVAQQVDGANPNLYMRAGDVALCVLPLFHIFSLNSVLLCALRAGAAVMLMPRFEMGAMLEGIARWRVTVAAVVPPLVLALAKNPALEAHDLSSIRIVLSGAAPLGKELVDALQARVPQAVFGQGYGMTEAGPVLSMCPAFAKEPTPAKPGSCGTVVRNAELKVVDPDTGLSLGRNLPGEICIRGPQIMKGYLNDPEATARTIDVDGWLHTGDIGYVDDDEEVFIVDRVKELIKFKGFQVTTTRLIRQVPPAELEALLLAHPDIADAAVVPQKDDAAGEVPVAFVVRAADSDIAEEAIKEYIAKQVVFYKKLHKVYFTHSIPKSASGKILRRELRAKLATSASA >Et_1B_010476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:10885852:10894690:-1 gene:Et_1B_010476 transcript:Et_1B_010476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVVAFSTDLNASPLADVEDEQPNEKAGMLQYAKEGHVESAVETMRRERDERRRKLKREQQDEGSKLHSQQIRNDHVPQCKTIRHGWLECPAFGETIMKIIPSKVPLDETFNESIGLVIDLTNTTRYYSPSEFTKQGIKHIKIPCKGRDAVPDNESVNTFVCEVTTFLERHFKSPKYILVHCTHGHNRTGFMIIHYLMRTQVSHVTEAIHIFAQRRPPGIYKRDYIEALYSFYHEVPELTCPSTPVWKKSDDFDLNGEAKQDDDDDNGDLAPPHKESEYKAITNDDVLGDTIPYDQQESLRRECYRLLEMPHGRGNSQFPGSHPVSLNSDNLQLLRQRYYYGTWKADGTRYMMLIRRDGCFLIDRNFCFRRVQMRFPHRKPSKGLHDMTLIDGEMVVDMIPRSDTMPRPQIKRRYLAYDLMALDSVSKIKSPFSERWEILQKEIIDPRCYERYQFESGAKSNPSYKYEKELFSVRRKDFWVLSSVKRTLEKFIPNLCHDADGLIFQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEMGSDNRQLVFLYERGKKKLLDEAQIAFPEEINPSSVTGRIVECSWNKEEQCWVCMHVRSDKSTPNDINTYRKVMRSITDNITQEKLLHDIDEITRLPMYADRISRDQKKQSGMVDFVGVTGWKGN >Et_1B_013935.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24598559:24601430:-1 gene:Et_1B_013935 transcript:Et_1B_013935.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPAAAVFLRCATPTPCLRMGTLAPSSVSVAPLSRRRLWSGPLRSLPPEGAPAELMEEDSKFVPLNEDDPMYGPPALLLIGFEKDETDKIQAFLNELDGEFLKVIHCTEEMTKKTLWDAMHTEQPNLHAVKIAESMPRICIFSGLTGEEMMMFINAFPEAGLEPAAFAALVPNSAEKILGEVIEEIMGDHEMLRGFASLDALSSSQQDSTSW >Et_3A_023057.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:2211270:2211644:-1 gene:Et_3A_023057 transcript:Et_3A_023057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SYILELLHLGWWPSALLPQPPLTDQTLSPLPQLLGQFHPCPLLHDHLLLRLKRHFLNLNCWLWFFWLFCISLTGLCGSMNKNWPLLGARRGGSNVQRTLQGGQILALQPWGCNSSNKGQTLNMI >Et_7A_050768.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:10919919:10921687:1 gene:Et_7A_050768 transcript:Et_7A_050768.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQATCKFFRNPANFPPDTALPLPELAAYDMCGQRAMCKPMKDDEMEVLKRRCGGSWKLVLGYVLVGEKNYRREKPQVVAGSGHSIVVTAKGEVYSFGANSLGQLGLGDTEDQPKPCLIRSLQGIRITQAAVGAKRTMLVTDKGNVYTFGHDILGWEDTTKSASVSHNSSPKLVESLNGVFVVQASIGGYFTAVLSREGQVYTFSWGRDKRLGHNSDPVDMEPRLLSGPHGDAPVAQIAAGNCYLLMLVYHPTGMSVYSLGCGMGGKLGHGNKVTEGIPKLIEYFQAPDRMPASISAGTFHAAVLGQDGRVYTWGWSNSGCLGHHNPNDAAAEGEEDQQCVTSPTAVPGLLSVKAVHVSAGRYTTFVVADNGDVYSFGWGASFNLGFQDSAGDVLTPKVVKSLAGLDEKVVQISATHSYDWHNEGAALAHSHTMVLTGSGKLYSFGGSSRGQLGLGVKIAEGPNVVTVPSRVAVDLV >Et_7B_053251.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:15221034:15222010:1 gene:Et_7B_053251 transcript:Et_7B_053251.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLYTNKSHNHTPYCTATLLSMHIDPGHIHLCKTSAAKFWHDDRSAFHLDDDLAGGVSLNALLPRLARLAQWEPRVHHRPELPLLQQPDQELEVRLVGPQLQRHEPLPRERHPVNDAPEHDRSIRGDVHNFSGLRRQHRLERRPPDPDPRAVDDAVVLLPRRAPQAGALERLVVEHLVGAQALHQLHVARAAGSGVVEASQFCQLHGVVPHAAGRRGDQHVLLSGGGGGGGAVEPGADGPHRLERGAGRDGEASALGGGDTGRPPDG >Et_3B_028383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:14572994:14574862:1 gene:Et_3B_028383 transcript:Et_3B_028383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRELVDHARLCQVHAVTKALVLLCWDQPPGMYDLKELKAEKKVCTDSKGEVTIAACKPGLDITEAAASITTTAGFKSVEGSQRQTNDEDHELSVLNTEHVPSLPLITTNPNRDTLINLVDLAAKRLNTLACSEMNAGTTNAAESPPCTIEAPEVYDLK >Et_8B_059726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:21022209:21025193:1 gene:Et_8B_059726 transcript:Et_8B_059726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMGGGDGDGGGHPHPYHYQALLAAVQNPNQTLHPFSLPFPFPTGPGADASPHNAAPHSQTPRGFSDWSASNSAFSSLAGQPAPATTNSAFNYNLSPSYALWTHCMLNKNVSYSYPAPHEDHAHSLRHSHNQDRESGSAPSLGVESFTTMSLGPNICTQMSPMDGSISTKEADNSEDLPTVVRSSDEMEIRNSGEFHRETVGPLPESNHHESCTTKFNTGEYQVVLRKELTKSDVANVGRIVLPKKDAEASLPQLVHGDSLILQMDDMVLPITWKFKYRFWPNNKSRMYILEAAGEFVKTHGLQAGDALIIYKNTVPGKFIIRGEKAIQQATNS >Et_1A_006858.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:28295452:28302860:-1 gene:Et_1A_006858 transcript:Et_1A_006858.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKGAGLLLAVVAGLFLHAARVTDAQTTLRIGYYNQTCRTVESIVAEEVQKAYYADKSILASLIRLHFHDCFVNGCDGSVLLEAADNQAEKNARPNLSLRGFDVVDRIKARLEATCKETVTCADILAFAARDSVKLSGGVGYAVPAGRPDGNVSRASMTGDLPPSNQRNVDVLAQYFYKKGLSLDDMVILSGAHTVGVVHCSTFGYRLTSDGDKGLNATYRNTLRTQCNYNPNNVVALDAGSQYAFDTSYFNNVLNNRTAIESDAALNSPRTLDKRRRSCGMAVHLRAAVVAVLMMATELHAQLQVGFYDNSCPAAEIIVQQEVSKAVTANPGLAAGLLRLHFHDCFVRGCDASVLIDSTTGNTAEKDAGPNKSLRGFEVIDRIKARVEQACFGVVSCADILAFAARDSVALVVTYATDPATFQSDFAAAMVKMGAVGALTGSSGKIRANCRVA >Et_3B_030898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8554457:8555933:1 gene:Et_3B_030898 transcript:Et_3B_030898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKDLAAIQQQQLIGAEENMSNLTSASGDQASVSSHPLPPPAKKKRSLPGNPDPDAEVIALSPKTLMATNRYVCEVCGKGFQRDQNLQLHRRGHNLPWKLKQRNPKEAARKKVYVCPEAGCVHHDPARALGDLTGIKKHFSRKHGEKKWRCERCGKRYAVQSDWKAHAKVCGTREYRCDCGTLFSRRDSFVTHRAFCDALAEESARAVAVAGAVAVAAGQAQNHPAGTVLFPQEMCLKREQFAAAAPTWLTPPQYQHQVELAGGNPAVFGSARPALDQQEYVGSSTPPESNPQPAGLTFGSFSPSSAAATAHMSATALLQKAAQMGSTLSRPSNQGQMAPTHGTSLATNVATAPAAATSNVTSSGISFGAPHHFGSVDNETADRRETTGNGGNNGAGGGNDGLTRDFLGLRAFSHGDILSMAGFDPCMSSASSAAAYDQGHHQSGKPWHV >Et_1A_006122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17996364:18004706:-1 gene:Et_1A_006122 transcript:Et_1A_006122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVDGPVVGSGEEQSVGAARSSAMAALVRHDSRSRQYSWLWVSHISPKNSKWLQENLSDMDTKVKAMIKLINEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDQATGALRQAHRTISEAFPNEMPSMSDESSSASSQEMEPHTPDMLNYARAPFDLDDLQKDGVSVSPQHFTSKRNGTHPEETSAFSSRKGLKLFNDLSSSNENAPRSGFDGKVRKGITFESPEVKGKEGISNDLVNLQQEVSRLLAESQSLKQQISSESERANKAENEVQTLKETVLQLNSDKDTSLLQYNQSTERISTLESELSKAQSDLRKLSDEMTTNVQKLINAETLNISIQSEVEGLGQKMKMQQQELEQKLKELESFRLNFHNEHEKRIQADTALLSQGKELAQSQEEVQRLTTAIKVANERLNALSQTKEDLEDTVSELKKDVESVTEQNRSSELLIQELHNELNMLKDSKNELQTEIQGLKRTISQLNTERDAALLQHQQSVEQVLLYESQLSKLQSDLEEIEQKVQMLMQDLEHKREEANSVHAQLQDECLRRTETEATLLTTVSQHLQLQEEVKTLTQDLGRSTKKLRELENDKSNLDNTLKELNNTILDLTSMKNAAFVQQQHSLEKISDLELELSKVKLELEKSEQKVQSMELEVAKKNETVDSLELNLKDEGKKRLQAQTSLESMEKMYSQSQENVNGLHLEIEKLNDKLNELENLSSELKSTILLVNTEKEATLLENRQSSARLSDLESELVAVQAELESVEGKVQMLEKELKHKKEEVDSLQISLQDESKKRAEGEASLLLMKNLLSESQGEVNRLVLEIEKLTCNISELENSKIDLENIVAKHTEEIHILHEQNLSTELVIKDLRRELDALKELNVKLESEMGLHIGEKEALHSDFACQREEKENLEVIHHALLDEMDALKTSEATNQKLIQDLQIMISKLKEVCAKNEGEKALLSEKAQVVEKLSEENSLLENSLSDANDEMDALREKIKALEASESSLKDAVSYHVSEKAVLASELEVLGKSLSDASEKNSFLDISLSEMKTEIEELRTKLKYTEESCQRHVSDNTALSAEKSTLFSQLESIMVAMKALEGKHADLEDKHSSVVREKDSTYDQARELQDQLKIRNEDYEMVVKSHQLQMSSCEEQISSLREENQCIKETLQQEQQKNLSASISIAILENILADEKDKKVVFFAELHKIAEANRSANMLISQLMDEARNHEDERKTLLLHNEKLRGAISQQMKALNICKDPVPADLALDEVMLRTVSDETFNILKLKEESEDMNRLMYTELSVLSTFLSQVGMELRDLHLQKHALEKDVENGAAESISLQNKHHLILEQNEQLTQELQKSNEREEDLKNELVIIQEKLSCLRELYQTSQDEISNLTKKIEAMSEEFQSLSKRYNSLDEENGNFLAKSMMLEHLCLFFKGHNNEVASALVSLTDEMALLSLVKGDLDLKVSELNKRSTVLESENSHLKEYLAFLLEILRTRLVLSEFDLNTNNSVCQEVAIELESCMAQLMQKDDELLEAEEKVQFLQDKNRELCGVVGSLQVAIEGAKVMKSELEKKITTLTEQCTAKDDDTLLLHQANETLQSEVEQHEREFAVLMDSAITSSVNAAVYEEKALKLMMEGKAAEISAIALKELLMKEIYSRDAHIEELQKKLTYIQGEHTELKAELSTNLALIASLSDSVRMLEEDTLSLSKPCSVESKEGTANVPHMQEHNHGLESSRFPEGAPELQALIARIEALQVAILNAKERHNQELTESAAMLAATKTEIQDLKANGSSRMEAKEIYSDNEKQKDVERSKGKQVQIVKDIELDQISTCPPYGAGATLYPLGSGATAELDDDMLQLWEAAERNCKNQTAKSSSSEHDIQAVEEVKSECPSAELVRVRDLGISKIEMSKGPVEPHEVWSKNVLERLTSDAQRLLSVQASIEELKQKMEGTSPAKGKSPMNSEYSSVSAQLHETEGFVLEQISFNNKLTKKAETYPALSDSMNAEREGYSSRRKISEQVQKGSENVARLELELQKIQYVLLKLEEEHEYRRLKVSDKRTRVLLRDYLYGRRDRSGGQKKKKRVPFCGCVRPKSRTEA >Et_3B_028637.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17672690:17672912:1 gene:Et_3B_028637 transcript:Et_3B_028637.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYIYISLITPTRLYFFSPVAVLVLVLCTEYITRPFILPTDYRVLYQWLTEFNLYFVVSCLASR >Et_1A_004663.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:29321149:29321951:-1 gene:Et_1A_004663 transcript:Et_1A_004663.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLWVVLEFELLLLGLELLGLLDPEVGELAIPVPLEPRSAAGVVDGLGPRGRVGGVDLVVADEEVPDEWQLPDLVVVEPGEAVEEGAGLGADGVRGERGDVLGVGAVVEHHGRPVLARVHGLDGAVHLGGLGGLVLVFDAGDGEAVGLARSSATSAR >Et_1A_006726.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:26921273:26922921:1 gene:Et_1A_006726 transcript:Et_1A_006726.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding TPSGFAIFYADGISLYEPGAMEKLWANFAHDYRAIYVSVDDVAVLKNPLSQVVWLKDFQIFKDKSSAINLDMGLNDQLSKMLLKWRRPGQKLAVGKPEYRTVIEASLGIPCLCDEPVMEVMWGIRNLKNILVPEEKSELANKHCLQMSQGLKMLLDRYGFNVDSEMVNEDTVETACALLDCEHCLEKNSLTLRWASEHFEEVSSMNSQDWDLLKIATALKMVCYPEEEIVFRNPQEVNQNYF >Et_4A_033256.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20580013:20583494:-1 gene:Et_4A_033256 transcript:Et_4A_033256.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATRGVEREIGSLQMEEKKLVAEIKKTAKTGNEAATKILARQLVRLRQQILNLQGTRAQIRGAMHAGTSISAGMKGASKAMAAMNKQMEPAKQIKVMREFQKQSTQLDMTLEMMSDAIDETLDKDEAEEETEELTNQVLDEIGVDVASQLSSAPKGRVGASNRKVENGQARSAAAPARNVAPPESSSEVDDLERRLASLRRI >Et_4A_034572.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4417649:4427036:1 gene:Et_4A_034572 transcript:Et_4A_034572.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPNTRNKNKRPRADETESPSAAVFKKIHATGNVTKSDIRQLYMVWKPLCHGCHGNSKDSPNCFCGLIPAANGVRKTGLWQKTQEIILDLGPDLSKDIRDPAEVPAGLTNLGATCYANSILQCLYMNTSFRSGIFSLEQDILKNHPVLDQLARLFAQLHSSKMAFIDSAPFIKALELDNGVQQDSHEFLTLFLSLLERSLSHSKVPGARTIVQHLFHGSVSHVTRCSSCGTDSTASSKIEDFYELELNIKGLNNLEESLDDYFSKEALDGDNQYFCESCQKRVDATRCIKLHSLPPIVNFQLKRYVFLPKTTTKKKISSTFSFPGQLDMGKRLSNPLSGYTYDLAAILIHKGTAANSGHYVAHIKDESNGQWWEFDDETGESATESDNKLEIKDSLPRHFLDEINKQNASYVKACKEYQSKKANQLGCISERRQEVKSILIEAPVNPDDDSYFWISTDWLRQWADNITPPSSIDNNQVQCEHGKVPASKVTLMKRLSAVAWLKLFTKYGGGPTLSSDAFCVECLKDGAKNVVSADVYRDRKASFKNLAEAMLAGTSPDGPSYFISRTWLTQWLRKKKGDIPSDADNGPTSALICCHGNLLPEHSPGAKRVSVPESLWLFIYETISMRNGDEIVTFPSDSQPCEICNEELSEVASHEDNLRAVKLNQQQNHEKLISGKSYTLHPGKKYYLVPSSWLSDWRAYITATGKNISSFPEPQNLEVIHSRLLQRPLDLTCKHGSITQKNSNADGLIMILESDWKLFSEWSATLGKGISAEIIFMKSSQDKLRGSSEAMPITIEDLEESHDDANDNLGAREPHVKTYPEVCEECIGETESCALVEKLNYQNEEIHVYFVRGKEAPKSLLEASKAAPVFDRRTSKCSRRTSSGNSISLKVSGSTTVYQLKLMIWESLGIVKENQKLHKGYVEIKDEFATLADKSIFPGDVLWVRDSEIYEDRDIADEISEQKADIQQIEEGFRGTLLTSNVSAQLCDAFSE >Et_1B_011654.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24636091:24640786:1 gene:Et_1B_011654 transcript:Et_1B_011654.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAELGHLLVFAFLFFFASYMVAPVMTDVTMEALCPGRDECSLAIYLTGLQQAVTGLGALVVTPIVGNLSDRYGRKALLALPAMASIVPLGILAYNRTKAYFYAYYITKTLTAMVSEGGMQCLSLAYVADKVPETRRATAFGIFSGVCTAGFVGGTIAARFLSVSSTSSSEHPHRPPYRTCSGGNIVSAVAAVAAAVYMKAFLHETDGGASRSDEEASHPLCLASSSSEEMSPRLPPLRKAPSLSEMGAFLASSSTFSRAAAVTFFHSIGETGLLTALMYFLKAKFHYSKNQYANLLLIIGITGSFSQLTVLPLLVPKLGEQKLLIIALTASCGHAFLYSIAWSFWVPYLAASLVLLSFLVTPCIRSIVSKKVGPFEQGMVQGCITGISSIANVISPLVFTPLTAWFLSETVPFNFKGFSIACSGFATLVALAISINMRPTGVQQPERK >Et_1A_006439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:22216999:22217257:1 gene:Et_1A_006439 transcript:Et_1A_006439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVLTMAGGHLLPSTLRALLRHCPCLERLDVGDCSFYEFSLELWEECRSKCKVLKGGSRGWALNCLEREGGDAV >Et_9B_064390.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:14719737:14736754:1 gene:Et_9B_064390 transcript:Et_9B_064390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIEASRNDSAAPQQNKGPKGRNNKGPRHRRKIERRGSREISSADCLLFRPPLSPMLAAVRRRLLFPLRSGVLTAAFSTVDSNLVADSTVSYLISSCFLSPGAATRAAPSVRLASTGAAAQADSVLALLRRYGFSDADISSTIRQRPSLLAADPAKSLEPNLDFLASVGIGPPLLPRLVLARPAILTYSVQNHLEPLFASLREVLGSDARVVAALHTNPFAIRCRPKTFFPSITLLREVHGLSADDVYKLVAEQPCVLVVTPDRIKEAVEAARIAGVKPGDPMFCHVLGTLCKMNAPKLESKIVLYQRLGFHKDAINLMIRRYPLMVAPSEKKIAEMVGFLTDKAGLTRDDIVAYPSLMVRCLEVHSRRCAVLAVLRRAGKLQWQHRLPKLLVWTKERFLDVYVRPHVEEVPDRSVSADPAKSLQPKLEFLASVGIEAPLLPRLVSAHAVILTYGVQNYLEPLFASLREVLGSDARVVAALHKRPFAIRCRPKIFLRIIPLLREVHGLSADDVSKLVAEQPSVILQTPDRINEIVEAARIVGIEPGNPMFCHVLGTLCKMSAPKLESKIVLYRQLGFHKDAINLMIRRYPLVVAPSEKKITEMVGFLTDKAGLTRDDIVSYPSLMVRCLEVHSRRCAVLAVLRRAGKLQWQHRLPKLLLWTKERFLDVYVRPHVEEVPDVLRALNRTRFTPPLFPMLAAVGRRLFLLRPGALSAAFSTAKATPAASPTISYLISSCGLSPAAAARAARSVRLASPGAAAQADTILALLRRYGFSDADISSAVRQLPSLLAANPDKTLQPKFDFFASVGIEAPLLRRLVLISPIILFRSVQDHLEPLFASLREVLGSDARVVAALHRKPFVIRCQPKTSLFRNIPLLRDVYGISAGDISRLVAEHPGIILQTPDRINKIVDAVRTFGITNMVGFLTDKAGLTRDDIVVYPTLMVRSLEAHSRRCAVLDVPRRAGKQQTEHRLPRLLVCAKEKFLDVYVRPHMEEVPDVVRAMNGEIPLPPLSRMLAAVRRRLFLLRPGDLSAAFSTANATAAAAADPTLRPLPACRRRRRAFRPPRLPGRRRAGGRRPGPPPRLRLFGRRNLLHGQKATFPARRQSGQDAPAQARLPRLPSRRRSCRGSVQDHLEPFFTSLREVLGSDARVVAALRSQPFAIRFLPKTSLFRIVPLFRDVHGLSADDVSKLVAIQPAVIVLGPDRINGIVEAVRKVGIGPGNPMFVHVFSVLCRMRASTLESKVALYQRLGFHKDAASQIIRRFPMSIAVSEKKIAEVVGFLTHKAGLTLDDIIVYPNLLARSLQTLSRRCAVLAVLRRSGKLQQQYRLPALLSCTSERFLDAYVRPHVEEVPDVLQAMNGEIPFQGFDSLEKKPQLPRKKRMSA >Et_6A_045900.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:3794248:3794826:-1 gene:Et_6A_045900 transcript:Et_6A_045900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAVNISNLPEGVLHHILALLPAEDAVRTSVLAHRWRDLWRSAPAARIAGSKGWAGGIDAFRTFIDGLLDHRRLDAPLDSCDFDLNVDPADVPAIDRDGNIWIRRALRRHVQELRFRLESANPRLPFTLSDQPFSSHHLARLELFGVQGNAGVLDFSGCPALEELNMKGCFVRSPSLKHLSIKYCIFCSN >Et_2A_017918.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:788067:791513:1 gene:Et_2A_017918 transcript:Et_2A_017918.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SRYNIIKEVGDGTFGSVWRAINKESGEVVAIKKMKKKYYSWEECINLREVKSLRRMNHPNIVKLKEVIRESDTLFFVFEYMECNLYQLMKNRGKPFSETEVRNWCFQIFQALNHMHQRGYFHRDLKPENLLVTKELIKIADFGLAREISSEPPYTEYVSTRWYRAPEVLLQATIYNSAVDMWAMGAIIAELFSLRPLFPGSSEADELHKICNILGTPNQHTWPEGLQLAASIHFQFPQCKGIELSEVVPTASENAINLISWLCSWDPRRRPTAVEVLQHPFFQPCFYVPPSLRFRSAGYTSTPPSVGAKGAMDQKNGRRFSTGPVTNGRPTVNYSYVSSNPPARAIGVQRKLELDHQAPENSHKLTKANARNQPWSRQPLPRSNGNYLVKDQSPRGPADLAEKLSQLSMGPNRVSGLASERFTDHKARPTGNAIKHPLPVGTRPWHGPTDPFRRPYEMPGERTFLPRKLVS >Et_10B_004108.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:13044355:13044549:-1 gene:Et_10B_004108 transcript:Et_10B_004108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMYAPTSSNQASHYHGFHGVVLRHRLRVSSPTALRRRDPDPAMASAATDDSAHISRPAIIYPT >Et_3A_025476.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30008627:30009341:-1 gene:Et_3A_025476 transcript:Et_3A_025476.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSGRVGVKCGRWNPTAEQVKVLTELFRAGLRTPSTEQIQRISTHLSAFGKVESKNVFYWFQNHKARERHHHKKRRRGASSPDSGSGSGSNEDDGRAASHEAEVDLVLQPPESKREARTYSHHRLMTCKHARHYSSFSVQLIRVLTLIVHAGYVRDVVEQQEAFWERPTREVETLELFPLKSYDLEAEKVRYVRSAGEQCREFSFFDVAAGRDPPLELRLCSFGP >Et_2A_017817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:6598034:6606568:1 gene:Et_2A_017817 transcript:Et_2A_017817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEELSELSESMRQAASLLADDDPSDESAPRRPTTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQREPGLSSKSIVLQIDSKSQQVSASALRHSLQDRLSKGASGGSGRGRGDEIYLKLRTSTAPSLKLIDLPGIDQRVIDDSVINQYAGHNDAILLIVIPAMQAADVATSRALRLAKDIDSDGTRTVGVISKVDQVSGDAKTIACVQALLSNKGPKNLPDIEWVALIGQSVALASAQSGSAGSENSLETAWRAEAESLKNILSGAPQGKLGRVALVDTIAKQIRKRMKVRVPNLLSGLQGKSQMVQDELARLGESMVQSPEGTRAVALELCREFEDKFLAHITSGEIVLEADGYQPYLISPEKGLRSLIKIVLEMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKPSNALENFKNDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKNRSSKKSQEAEQSMMKRASSPQTDSEQGSSSSKSTKDKSGQQDKDTKEGSSLQVAGPAGEITAGYLLKKSAKTNGWSRRWFVLNEKSGKLGYTKKQEEKHFRGVITLEECNLEEVEEEEPSKSMKDSKKANGPEKTPSLVFKITNRIAYKTVLKAHSAVVLKAESMADKVEWVNKIKAVIQSKGGSFKGSNTEGGSMRQSHSDGSLDAMARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSISGQSNAKIEELLQEDHNAKRRREKFQKQSSLLSKLTRQLSIHDNRASVSSYYNDNSEAESPRTPSRPGEEWRSAFDSASNGPTGSSESRSRSADGRSRRYENGDPSAGANSGSRRTPNRLPPAPPRY >Et_4A_035933.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8804862:8806702:1 gene:Et_4A_035933 transcript:Et_4A_035933.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYGAGDLLREAISSGARVVVVEDCVEAPAAFVLHLLLKRVFAAGGAAALLALSQPFSHYDRVLRKMGCNLSLHRRSERLHFFELQAFPGKQWLEYRGAKESTISDSFIRLYGQIQRVVEANWTGENAGKFTIVIDDFSLLEVAAHGSLNDVLDFLHYCITLTSEMNCSLVILIHEDIYASEENMGLLVHLRHIADLVIKAAPLNTGLAADVHGQLSVVNKGILEERRSKAQKVWNFHFKVKENGAEFFYPGSRH >Et_1B_012536.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:32948499:32952216:-1 gene:Et_1B_012536 transcript:Et_1B_012536.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAHSSSCFQQFWDGVQIKRSSDRFTIELLPSLGATINHSNKLQKFTISPYDHRYRYWELFLTVLVIYSAWICPFELAFLRDLPSKLLLVENIVNSFFAIDIVLTFFVAYVDRKTHLLVDDRKRIAVRYLSTWFIFDVCSTAPFQPISLLLKHKGNGLDFKILNMLRLWRLHRVSSLFARLEKDIRFNYFWTRCSKLVSVTLFAVHCAGCFNYMIADRYPSPENTWIGAVMPTFRSESLWTRYITSLYWSITTLTTTGYGDLHAENPREMLFDICYMLFNLGLTAYLIGNMTNLVVHGTSRTQNFRDSIQAASEFAARNQLPEKIKQQMLSHFCLQFKTEGLNQQDMLNSLPKGIRSSISFSLFFPIIRHAYLFNGVSDNFIAELVMEVKAEYFPPKEDIMLQNEGAADIYIVVSGAVNMITTVNGNEQVYGRVAEGDMFGEVGALCEVPQPFTCCTAELSQLLRISKTRLTEIMHEHKEDSNIVMTNLFQKLKLQENLPEWNQLDPRFMDNYELFCGPHEAWLLPQIYLQYTKQERQNNIKKVPTLEGDKSSTRLASETIQLRMPLQETSQDEQNRNYGATEGTAGDKVGHVNMNCEPKTSTEDFSIQIRSEDCSAACWQTSYETVQLGSSHHTLDGTMKSRNQYYSYENSARKRVTIHIYSHNAAGKLINLPSSLEELFYIGSQKFPGFHPTKLVSSDYAEIDDIGVIRDGDHLFLLPM >Et_3B_028227.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:12046515:12053889:1 gene:Et_3B_028227 transcript:Et_3B_028227.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGRAGGGSSSSPAAAPRRRTGRTKEKVSPQPSTAAEASAASPSAAGGGDRSPRPRPRRRLGGRRAAGPRQGCVPAPAAAEQIAAGWPPWLVAVAGEALRGWAPRRADTFEKLNKIGSGTYSNVYRARDTVSGRIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPDIKFTLPQIKCYMQQLLSGLEHCHENNVLHRDIKGSNLLLDNNGILKIADFGLATFFDPRHKRPMTSRVVTLWYRPPELLLGATDYGVGIDLWSAGCILAELLYGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCIRETFKDFPPSALPLVETLLAIDPAERQTATAALQSEFFSTEPYACEPSSLPTYPPSKEMDAKLRDEEARRQRAAAKAKGEATKRTRPRDRSHRAGPVPEANAELQANLDRRRMITHANAKSKSEKFPPPHQDGAMGNPLGSCRRLEPTFEHQDASFSTVVPIEKGTSQTWSGPLFDATALGRSRRKKQTARDAKAAAYSKQLEKDKDGIRAR >Et_3B_029215.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:23023015:23036478:-1 gene:Et_3B_029215 transcript:Et_3B_029215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGLYSDIGKKARDLLYRDFQTDQKFTLTTYALNGAAITAASTKKNEAILSEIQTQLKHNGVTVDVKATSDSLLLTTITTEDLGVPGLKKIVTIPFPYQTAGKAELQYLHEYAGISASVGLNSKPLVNLSGAFGNKAVAVGADVAYDTATGNFTKYNAGLSVTNADLIASVTLNNKGDSLTASYYHLVKADNGTAVGAELTHSFSSKENTITFGTQHVLDPSTTVKARYNNHGIASALIQHQWRAKSFFTLSTEVDTKAIEKSSKVGLSLLPSPSGSASSLTHAFPSTCAHHGPIINDPEPHRFSTDHASNGVRSKGNFSVNTAAASSSAPATGVSAAPARATTRPPSRWRPLVARQRPARGRAATRKAPPRRPALAGGAAPGIAGMHQLPTDISPPLSLSPVLREARVLVRDGADAVGAGNGRKRKGAKAKRRSELEIRNGSKRVRDIHGAGYVAGNAKGEDNFPGPPVMGNRPTAKAGGRAGSAKVYC >Et_1A_006162.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:18586594:18593295:-1 gene:Et_1A_006162 transcript:Et_1A_006162.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSGSRAGGGCGGLDAQIEQLMECRPLPEPEVRALCEKAKEVLMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIENLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQEPQVLHIRSRKRASPEFGTVPTGFHGQAAQESLAAALALYLPLAGRPVYVVETRDIV >Et_5A_042217.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7669628:7674269:1 gene:Et_5A_042217 transcript:Et_5A_042217.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFQFYADTSQHDSFMYYTYESRSLDRFMLHPNGTWTYYSLLDDTREWKAFWSQPTIRCEAYNTCGANAVCAAGDNGQAVCNCLKGFEPRSAAEYSNGNWTQGCVRSAPLTCEQSASGGDQFFDLPGVKPPNFAATVTTVRDKDQCRRWCFSNCSCGGYSISSRGGCMTWGLELLDIYQIYEEAENGKKLELPLFPVETLAAATRLQQRQQARGGRFRPCLQGNASGWRRGCGEEAISEFGSGPAGVEERAKLQHRNLVKLLGCCLQGEEKILVYEFMPNKSLDAFLFDPAGRELLDWKTRFHIIEGIARGLMYLHRDSRLRVVHRDLKASNILLDADMNPKISDFGLARIFGGEQNGQVNTNRVVGTLGYMSPEYAIEGLFSVRSDVYSFGILVLEIVSGQKNSSFHHMEGSLNIVGYAWQLWNAGNGEELINPAVRAACPAREALRCVHLALLCVQDHACDRPDIPYVVMALGSDGYVLPVPKPPTFALQCTSSEREVLFRVDESYSACDLTVTLPQGSDGYVLPVPKPPTFTLQCTSSERDGLFRVDRADESYSACDLTMTMPQGR >Et_6A_047888.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2324799:2328992:1 gene:Et_6A_047888 transcript:Et_6A_047888.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARDSDYVTNEWAFQARNRLMRPPFALLRQEREESNIHPDYDANWDGDGGLFCGVPASSKAIVGLHMPTLGETEEKNCAVCLEDFKEGDKLRMMPYSVVALAKVGGGTHGQLVKVMVPGQEKYVGTRLNQEKGLLVSSMGTNGDR >Et_1B_013272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:725416:726747:1 gene:Et_1B_013272 transcript:Et_1B_013272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWLAFLLPVLCLGMLQALPTSDAARAFFVFGDSLVDNGNNNYLVTAARADSPPYGIDTPDHRATGRFSNGKNLPDIISEHLGSEPLLPYLSPELDGDKMLIGANFASAGVGILNDTGIQFILTHLYDLGARRVLVQGVGPIGCVPAELALHSLDGSCDRELQRAAEMYNPRLLSMLHELNAQLGGDVFVGVNTQRIHNDFIEDPKAYGFATATEACCGQGRFNGMGLCTMVSSLCNNRDAYVFWDAFHPTERANRLIVQQFMSGSIDVISPMNLSTVLAVDHIRSQQMRT >Et_8A_056424.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:116525:124472:1 gene:Et_8A_056424 transcript:Et_8A_056424.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANWRPTQGGDPAGVDPNAAAPAGGDWRAQLQPEARSRIVNKIMETLKKHLPVSVPEGLSELQKIAVRFEEKIYTAATNQSDYLRKISLKMLSMESQTKSQQNPGNAQVIPNQNAPGAAPGLPPQGSNPTQSSAIPLMSQQQTRQPNTSTSTSTLQNMPGMPQNTMNNGMAQSASQDMYAAQRQMAGRQQQQQQAQSQLIYHQQKMLMNQKLQQNSLMQPHIQQQQSLLQPTQMQSSQQPMMQMSSGLQPGQSNIPQTQPMTMQSSTQSGIQQNSLNSVQQSVQSLLQQPTQSVVRQQQHPQPMHQQPSLQQAQTQQPNVSLQQQQQQLMGQQPNLQQNQLIGQQNNAVEMQQQQRLPHLWTLLLKLVIQVQIKNLKDQHFAELSDLYNKISMKLQHIDNHMPSQKQTDQYEKMKNFKIMLERTMQFLQINKSSIQPGLREKIPAYERQILSILNSQRRKPVQASGHQQFPQSGGQSGSNISQQHQVSQGLQQHDSHANQMPQASLPSMSAGVQSSAAAGVHHVPAPQATNFGVPATQQNVANAQQAGSNLDTAQGNNFNSVQHGSVGGALQQGSTGSMQGAMNAQQQSSVDQHNLLPSQLKTGTPLHSANSPFVPSPSPPVAPSPVPVDSDKPLSTLSSLTNTGQTAHHQTSLAPQTQSIAVNTPGISASPLLAEFTSADGSQATIPTQVPTKSSAAERPLDRLLKALRTTQRQSLSAAVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITHDGSGASKKMKRDTSAMPLNVSSAGSVNDSLKQPYSVDTPELQSTATSRVKWLKNEVNHALVEEIQEINQQLIDTELHVCEDDAESFAATSEGAEGTVIKCTFTAVAVSPSLKSMFASAQMSPILPLRLLVPASYPKCSPVLLDKFPDEQRNSDDLSTKAKSKFGILLRGLAEPMSLREIARTWDACARKVIAEYAQQTGGGSFSSSYGCWESCVGA >Et_2B_021494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:30034901:30041384:-1 gene:Et_2B_021494 transcript:Et_2B_021494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTDTAAPASTSGPPLAPLIAAQLNYLLSHSKLPFKVGQIWSGCRNGRYADRFTLSIPFCLDYVHWDFVYNALYPKVAPDVVFGPDDQGFQPLIDYAEAGNGDQSCLGRWDCRDPGGLLALVEELRELYIEYQKNRVSEVDDARLTFELNTVLSKEGIEVCMVPPTDMPDEVKFAVPLLDMDYVKLVPGCPWRSPQKIHLQVIFPINRRVTSVPSAPRLKLISTPDLKSLFSVEDVKLPPWLNGMCLAEYLPSLEENLNVQIVEASASIGSRRRFIEALASSFGRPLEADPIFCRRATVLCISGIFTFLVHFAIPLQFPKQQPALTLESSQHCNAEGIPIMSSRINDYPWSPRWGPTEMVERIYDFLTDECQTFKKLCSDTITQQK >Et_2A_018035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:9267013:9268412:-1 gene:Et_2A_018035 transcript:Et_2A_018035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSSHKTSNGGWPAARSISFASQSGHLSAATRRSYMPQSVWRPSVPIRYGVPAATTTPPVSAYAPAEKNFAADFLLGGVSSAVSKTVAAPIERVKMLLQNQEQLIRTGRLSGPYKGIGDCFARTVRDEGVLSLWRGNTTNVIRYFPTQALNFAFKDYFKALFNFKRDKDGYWKWFAGNVASGSAAGATSLLFVYSLDYARTRLTNDYKAAAAAKGAQERQFTGLVDVYRKTLRSDGVAGLYRGFNVSVVGIVVYRGLYFGMYDSFKPVLLTGKLQDNFFASLALGWMITNGANLASYPLDTVRRRMMMTSGEAVKYKSSIDAFAQIVKNEGVKSLFKGAGANVLRAIAGAGALAGYDHLQLVFFGKKYGSGGA >Et_10A_000904.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1926217:1932140:1 gene:Et_10A_000904 transcript:Et_10A_000904.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVPNGQAAAAGEETPPAQASSSSLVFLGTGCSSAVPNARCLIQPPDPPCPVCSQSLSVPPELNPNYRCNTSLLIDYCQDEGAHRYIIIDVGKTFREQVLRWFVRHKIPCVDSIILTHEHADAILGLDDVRVVQPFSPTNDIDPTPIYLTQFAMDSISKKFPYLVKKKLKEGEEVRRVAQLDWRVIDGDIQKPFTTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSRFPPTTEYAISKSGGGQLDLLILDCLYRTGSHNVHLCWDQTLDAVKRICPKRALLIGMTHEMDHHKDNKTLEEWSEREGIDVQLARDGLRVYIDL >Et_9A_063158.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:12819871:12820698:1 gene:Et_9A_063158 transcript:Et_9A_063158.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNNNANNNQLLPVAAAEAAAAGGGSGSGSSSNSGGGRSSGKGAGKSGKGGPENGKFRYRGVRQRSWGKWVAEIREPRKRSRKWLGTFATAEDAARAYDRAALLLYGPRAHLNLTAPPPQPLPAPPSHSGRSSSAASAAPPALRPLLPRPAAGAAGLIHNNHGHGQLQFHLLAPPAPLPSPTPTLAPLLCYASTATASTVTTAVATAQMEPAVVAPAAWSSAAPASMDQKDQALTPPDEAAAAAGWGYSGGEEDYEAALLWEEPEPFFFDLFLK >Et_3B_030355.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:32434326:32438218:1 gene:Et_3B_030355 transcript:Et_3B_030355.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSDPHLSIFSPSEVEFVAEDEIVEIVPNIRMDALNMICGDFGPFFPQIPSKVPLWLAVALKKRGKCTIRTPDWMTVDRLTQVLDAERESPREFQPLPFHYIEISKLLFDHARDDISDAYLVRSLIEDIRDVRFHKVETGLETISGRTHAVKLKHLSAMEVNIVRPFMVRTLQAFYKHDSPQMIQQADNAGSRPTPITDRGPRRTGCEEEASWGMD >Et_2A_018635.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:3880279:3881556:1 gene:Et_2A_018635 transcript:Et_2A_018635.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPAPPPPGNESSIVNTIERKLSPGILLIIAILAMVFFIIGLLNLLVQNLLRLRRSRRRRRRVGDAAGGDGSPTAFQGQLGQLFHLHDAGVDQAFIDALPVFPYRAVAGRRKDPFDCAVCLCEFDMDDKLRLLPTCGHAFHVPCIDAWLLSHSTCPLCRGSILAETSPESSPFLHVLDAESVPEQAAGGDPGDGGDGEESSSPKTEEIVEVKLGKLRCVDGNASARDFAALDGTGSSDGNGGGSLCGQRRCLSMGSYEYVMDDHAALRVAVKTTTPKRRPASSNSRRRHALSECDFGGSKKGAWEAAVTEAADATGRCCDGAASLNKDSFSVSKIWMVSSKSDDARTAELARERRAVSFRWPAMAAGCKKQRGGEASWDVEAAATRIDNGVPSLAEERPSLARSALLWVAGGKQPSSRDGSHL >Et_3B_030210.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31315719:31317810:-1 gene:Et_3B_030210 transcript:Et_3B_030210.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFLTRSKALAHALSRADAIASPPLAGVRSLRALSTLPQDPAAATPSPRQPSVRSPLDLSKILGYEQSSRLSGTKVLPRWFSTGASNGSSVQQSQEGASAKVTAFSPLEATIAKPRSSPLTVESLKVRRTELATMVTFYMIPATLLVSRNCLSTALLVMSVFHQIYMFHKEIFLDYVHHDITRKWALIYFKILLLIMAKDTIVYFDLL >Et_9B_063966.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:774458:774778:1 gene:Et_9B_063966 transcript:Et_9B_063966.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSEMTEILGRDIGSDFQSVGLCWLSRKKFVVTNMFSSAALWALWKLRNNLCFQKVEWRSMKCLFRMIVRLLQRWKILCPFGYLEELEQQLSLLIEVAKRPERICS >Et_2B_019320.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:23457998:23458294:1 gene:Et_2B_019320 transcript:Et_2B_019320.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTCAEARPLVALSLLLLALLGLGGSTTTRVAEAQQQACGAQLSGLASCARFSVPPSPGQECCSALGAVTRDCACGALDIITSLPAKCGLPRVRCRN >Et_8A_056775.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16587345:16588570:-1 gene:Et_8A_056775 transcript:Et_8A_056775.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VQITNTDQQDILCWKPATKGICTSKEAYKHLASQRQQPLPQHGSRALPDTEQMELLRRVTDHGTTCFTDATYLNTPDGSPTNAGLGVLFCNSTGPIMPAIEVRAVASRVTSPLQAEAMALLMASKIAKALALHKVIYVSDSQLMVDTLKGNDYRRNPAHWTLRPLLYEFRSNNAGIQFTTHKISRHSNTIAHNLAQEASRVQYSPNYQFSCNHLAHRDQCPVREAFQLVTWGDLSPVVVTCR >Et_3B_027474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20681467:20681771:1 gene:Et_3B_027474 transcript:Et_3B_027474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVAMLATAANAQAPAPAPTSDGTSLDQGIAYVLMFVALVLTYLIHPLDASSTYKLF >Et_7B_055545.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1389627:1390202:1 gene:Et_7B_055545 transcript:Et_7B_055545.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGGAGWAFAAVATLESVWSMQRGPLMSLSEQEILDCDHFGGGCKGGRPIDAFQFIKLHGIDHHPVRVPVPPGRPDRPLRRPKDALQPVAVAIDARGENLQHYKSGVYAGPCGTERRTTTWRWWDTGRPRTVSSIGSPRRGEKWGVHGVAGLCGVALNPVYPVL >Et_4A_032523.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10988632:10998116:1 gene:Et_4A_032523 transcript:Et_4A_032523.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSRILAASHLLRSSRFDPSRAAAAASGYRRLNGAINGSNAQKPLRCPLLGGFGPNCSVFPGNGTFLGRLSSFQPDFTHPPHCARPPRDTRGHAFSTSANAVAAGKPADDKVQKDTSKKDVDHQIADTQILKNLAKYLLLNDSPDFRFRIVLSLGLLVGAKVINVQVPFLFKLAIDWLAALSGAEASLASFTETNATLLALFASPVAVLIGYGIARSGVSACTELRNAVFSKVTLRAIRSVSRMVFSHLHELDLRYHLSRQTGGLNRIIDRGSRAINYILSVMVFNVVPTILEIGMVSSILAYSFGSSFAWITSVSVATYIAFTLAVTQWRTKFRTAMNAADNASSTVAVDSLLNYETVKYFNNEQFEVEKYDKYLKKYEGAALKTQSSLAYLNFGQNVIFSSALSTAMVLSSYGVMSGALTVGDLVMVNGLLFQLSLPLNFLGSVYREARQSLIDMKAMFQLLEEKPGIRDEPDAQPLQFKGGSIEFENVHFGYVPERKILDGVSFTVSAGKSVAIVGTSGSGKSTILRLLFRFFDSTSGSIRIDGQDIRGVTLESLRKCVGVVPQDTVLFNDTIKHNIQYGRLSAKDEEVYDAARRAAIHDTIINFPDKYDTVVGERGLKLSGGEKQRVSIARVFLKEPSILLCDEATSALDSTTEASILNSLKSLSVDRTSIFIAHRLTTAMQCDEIIVLENGKVVEQGPHDFLLTRGGRYAELWSQQNNTDAIDAAAVN >Et_10B_004373.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:5143325:5145174:1 gene:Et_10B_004373 transcript:Et_10B_004373.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKKMALASASAAAHELLTALLAAGTAALRRPPARRPNSNRPVHRRGVPPASRLAAGGAATTLVVDVDGVLLRSGSLFPYFMLVALEAGGFLRGLALLLLYPALACLLALGGCGAGGELAVRAMAAVAFCGLRAGAFRAGRAVLPRWLLEDAAAEALRMANGGGAADAAATPTAARRVRVVWASAMPRVMVEPFLREYLIQAPPAAAVAAPEMRTAWGFYTDSWMRPRKKKKTAAGGDEDDDVVGFSTGHSSSAAFLRSPLASMCKEVYVASSEERCKWLPLPRRSYPRPLVFHDGRLAFLPTPLAAAAMFTWLPFGAFLALLRLAASLALPYRYATALHAASGQSWRLRGALPPPPPLAGAGAGGELYACNHRTLIDPVYVSMALDRPVRAVSYSLSRVSDLISPIGATVRLARDRARDGAAMANLLAAGAAVVVCPEGTTCREPYLLRFSPLFAELAAGAGGGGVVPVALAAETAMFYGTTAGGWKAVDPFYYLANPRMCYTVEFLDRVDAAAPASGKETEEGKKAPATSVDVANRVQRVIAEALGYECTMLTRKDKYLMLASNDGNK >Et_7B_055659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2014179:2015575:1 gene:Et_7B_055659 transcript:Et_7B_055659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METTRKNNFGLIFVAVLDPKLLPPKLDVVIGDHYFDLKFEVEPMGFDENRDEVQMNMKDGDDNGNQDMDEDAPNGEGSADREVKRYRNDKVDSEKSQQNNPSNMDAAGNAPDKTAPTMSIEQRAVMEAKIQKMANDILELAMNRTLDECAHKVLAEDDNFQVDGMVEDVTSDENDDLLEETENRDDTLMFSQTEQAGADVNSLSTIIHEHQAAQEVEGAVLTSHFNDMLNRDMEAVHGLPARSDNAPMHDVFTTQQTQAKLVAAKADKVLEWPLQARKESIHVDDPAHACLPAHAAIKMAQEGANGLAPTVRSALAPATQLPGGGKLVRLPGGAPVRHDDGKGGNVTLPAPTSPRADGRPVLAAAKARAHGSAPPKLGHRQHGGNENQQWPRNGAAKAASLAAAAGINEAVISPSRSSPRLAGGIDENILDKAKKRAAWKNLDSPEAYYFCSYF >Et_10A_000835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1835136:1840455:-1 gene:Et_10A_000835 transcript:Et_10A_000835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQLLRPLLPSPPHRNPHIPPPHPPSITTSAVTTRRATAAALLLLAAASPARAEPGGEDTDEARVVRLFEETSPSVVFIKDLVVAELQRRGSGGEDEEEEGGAKVEGTGSGFVWDSAGHIVTNYHVVAKLAGDGAASHRCKVFLEDSSGNSYSKEGRLIGYDPAYDLAVLKVDVDGDKLRPALIGTSRGLRVGQSCFAIGNPYGYEHTLTTGVVSGLGREIPSPNGRAIRGAIQTDAAINSGNSGGPLIDSYGHVIGVNTATFTRKGSGISSGVNFAIPIDTVVLSVPNLIVYGTSVTNYHAVAKLAGDGSASRRCKVFLDDSSGNSYSKDGKLIGYGFSVLNVGNYIIYGLSFNDPRSVPRCHLWNSD >Et_4A_035359.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:21209820:21211977:1 gene:Et_4A_035359 transcript:Et_4A_035359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVALRENRAESQKRPRNFDSLKSNGPGGRAQRDGFIQTARCLVRSAGRQSAGRQGMSVCPSPAVPSLHPDLLRFPLLCCASNSVYDGGGGEESIRCRCRRRRKPRAGQLGDEHAGGQLTFLAGVANADVGASPGAGGLFFFEATELVDSCRIVFTNASAPPTDDDISFVSGFMDKIGMNDVGLMDEASYFYIMSMRQGPPILTRKIIYECATFTVMVVYLPKGVAMPLHNHPSMTVIRKLLVGSAHIEAYNFVSPSGSAAGSVPEGTVQSRDYMHRFMAGQDGPSVFLQVNVMLKLPAVQRCGAFPNKFDPNIVSREVTEQKSRLVRLCKIDEPKDVKLVQLSYKVSPIV >Et_4B_037271.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:17580461:17584628:1 gene:Et_4B_037271 transcript:Et_4B_037271.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMKNAASMDIMPCPEFSKLKTLVLNDWFVADGLSALPWFLQHSPILERLTLEFSSEAQKSSEGTKRNCNVLEQSVASKHLKIVEMRCKESGHGMILVLQILKILKAFGVPLEKIDIRCSEKGYGCEYSSNFQLANLSITLSKINQAFRFKLPTAKTYSCTTRRRYSGGSGDANEDGVDGTNDYDANEFCRGRVTGLVPRDDDGKLLSHRLQFISGSSDK >Et_3B_027953.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:27921352:27921525:-1 gene:Et_3B_027953 transcript:Et_3B_027953.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GLHWPLHAYGLIATRDSVDPISNLLFHRTRGNYQILTEEVCAIHQFWCTSSPLWRSG >Et_8A_056885.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18496424:18497692:1 gene:Et_8A_056885 transcript:Et_8A_056885.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LLVSLVSLGRSLNPRSPPRAFRDRFCATANLWIRHALRSNVQELGIIGYDLDDSDKYMPFSLDFRIMTSLYLKKLHLCNIYIENCHMEKLFSGCQALEDLEMISCEFDGTEFSSATLKKLSIARVRFTVLEGYDRYVDGILIKMPSLVSLQIGSVRCPKPILVEVQTLVTASISIDRPDYCGITFADTCDILGTLSNVKNLELLLSGDVALLYMLKHSPNLEKLTLMLRKRFLKGCYDMEPPCEETTTPFSCEKLKKLELICPNGDKRVSMLVAILYANIISPPEINIKPFRLFMVSFLFSEIAHLHAFYSLQLSCAVAL >Et_8A_057169.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21903695:21907812:-1 gene:Et_8A_057169 transcript:Et_8A_057169.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWAPWLFATIIFTMSVSVTAMFMAADACKNIQSMTWSEACLNVSTTPSLFNLCGVILEHVPDNTAAEVTVYAAIAAVSATQSYGATTDEAKKELLFGSLRAPERAAVEQCMYKMSMASGRMEAVARNIGNCYFDTTIDDYSYALAAGESCRDALAAFPASPMVALNAADRDTTTVALDLGSLIIMERTAISLVPTLMMFSLAALFVTGDTCDGVPTMTWNETCLRACSTPARFNLCGETLQREAERAEVTAYALAAARHASRAYDDTVDAAERLMLGGKVAGDEWPAYLRCIEDYGTARTEMAGVAADMAGCDFARTAREYWYAASALNGCGYLLKPGSPLVVRNAADRDLTMLASDLGAL >Et_8A_056461.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:10446942:10452388:1 gene:Et_8A_056461 transcript:Et_8A_056461.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFFLSSTLILPGSPRARPFLQQQQHTQPSAISVKLPVQPPAPKGVAVARRSTEAAGRPAAGRCVSASASSSSSPAAAAATTATEVPSQMKAWVYDAYGDATVLRLDAAAAVPTISDDQVLVRVLAAALNPVDAKRRAGKFQATDSPLPTVPGYDVAGVVVKVGGQVKNLREGDEVYGMISEKPLDGPKQYGSLAEFTAVEERLLARKPAGLDFAEAAGLPLAVLTADEGLRRAGIGEAAGGKSVLVLGGAGGVGSLAIQLAKHVYGASKVAATASTPKLDLLKSLGADVAIDYTKDNFEDLPDKYDVVFDAVGQGDKAVKVVKEGGSVVVLTGAVAPPGFRFVVTSDGSILEKLNPYLESGKVKPVIDPKGPFPFSQVVEAFSYLEKGRATGKSSPLARSNALMYSSKGKLPCAHSAFGSSSSEYKPTISLMRFASITSAVLRVTKVLDGDEAPVAARLLERVADHDDPRLLGVPLPHINRQSMILQNQRGWRLAAACRHLTPFRSEWTKTRPSTPSAKRTPRGRSTVTMYSLSRIGPRPPAACCCPSPSPAAAETAKLGRLRRRPGE >Et_3A_024474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21112901:21118771:1 gene:Et_3A_024474 transcript:Et_3A_024474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPVTPGQVRTRPVLARVIVAPLALRGLAGRLACVRAVAQLRVVDVSFLLGVFPVLIAWIYSEILEYRKSSSVKVHSDSNLEKETVVEDDKAVLLEGGISKSPSTKLRNLSTKTNLIRFITMDESFLLENRAVLRAMAEIGVVLVYFYVCDRTNIFPESKKSYNRDLFLFLYLLLIIASALTSLKKHNEKSAFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIKKDFSLARFAQMMWRLNFFVAFCCIVLDNDFMLYYICPMHTLFTLMVYGCLGLFNKYNEIPSVMAMKIAGCFLAVILIWEIPGVFELLWAPFTFLLGYRDPDPRKSNLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESETKRRLSIKGTIVTISVVAGYLWYEYIYKLDKITYNKYHPYTSWIPITVYISLRNCTQQLRSVSLTLFAWLGKITLETYISQIHIWLRSSMPNGQPKWLLSFIPGYPMLNFMVVTAIYLLISYRVFELTNVLKTAFIPSRDNTRLYQNFVAGIAISGKKGPRM >Et_2B_020109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1689755:1692591:1 gene:Et_2B_020109 transcript:Et_2B_020109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLFLSLPAPSPPLLPVYLPKAAQTLPLASLVPSSRKASLPACSVASSRHSDYFDPRAPPPPPSRDGYGRSPANGAQDGRVFTSYSIYKGKAALSFDPRPPQFVPLDSGAYKVAKEGCVLLQFAPAVATRQYDWSRKQVFSLSVWEIGTLLTLGSTDSCEFFHDPFKGRSEEGKVRKVLKVEPTPDGNGRFFNLSVQNRLLNIDESIYIPISKGEFAVIVSTLNFIIPHLMGWSTFTSSIKPEDSRSYSRPQSGPEFEWQRTATFPV >Et_3A_025236.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:28135614:28137445:1 gene:Et_3A_025236 transcript:Et_3A_025236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQLSDEQIAEFKEAFSLFDKDGDGSITTKELGTVMRSLGQNPTEAELQDMISEVDTDGNGTIDLTEFLNLMSRKMKDTDSEEELREAFRVRRKARKEEKTAGRAKKKAQPPSDAGNKRAHKCAIL >Et_7B_055547.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:1463221:1467592:1 gene:Et_7B_055547 transcript:Et_7B_055547.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKMLALHPPSRSCQVSRLSFLVRLFLSDDSPSQAGLRPQDNLQAKGSWLMHAAGPSSDDSLPPGFESLQPANDVKIDISKVPLIRWKCPPKMLFNPDWLVVAGEESKESALQNERIFGALEAIYPRPSNIPPNPFVSPDVVDSHYDDSQTLLVPLIPIEEDDTSDQLEEPYVNLPNNYHQADKYETAVIGAPRVSDAPIITTTQHQANGFIGTASAGMPIEPDVLAAASAAYTAIMQSNQMGSMIDQDLLIKILSDPAQLERLMKEQATTSAVVAPILPGPPPQTPVSAPPSFPDPMATYQTLNPTLPPPPPVLNRLPPAVPSVSMNPPANSSQAVNFSSRGIGYYKTLIHQHGGERQEPLEQHGVQFGAYQQSNPTQTNTVDVASSGTVQGHYPKPRLTKPCAYFNTARGCRNGANCTFLHDVSAARKEQPKGAKRLKLDSRIAGQS >Et_1A_004687.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:30558534:30558981:1 gene:Et_1A_004687 transcript:Et_1A_004687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQLDIVTFTIMIDALFKVGRKDDAVNLFAAISDNGLAPNVVTYSIMMKNLIKVGLLEESDKMFLEMEKMGCAPNSRMLNSVVRSLLLRGELSRAGAYLIRIDENNFSLEASTASVLVPLSSKTEYR >Et_7B_053383.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:14550985:14552007:1 gene:Et_7B_053383 transcript:Et_7B_053383.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAVLPTHRDGLVALATATDLVFVCNPATRELVALPPGTRDARAVEDRAPAAAIAYDAARDRYVVARYFYRDHRQFKDADGKDWVDFEIGHEVFKLGGGGEWELTDDPPHAIGPARPICARDAVYWCTDHLEPNALLRFGLRDRAFDVVPFPPGADFHHGADHVTELSGKLCYVHPATKTAFDVWVADDDGGTRPEWRLRCRVDFDDYGGDVGWQALLPVAAAGDEMLIAADHRALYTYDARYRSVRKVVDMEKELKYARADGSEFSDSDDDLAGLVHHVVPYVESLVPISNRTKQKPG >Et_4A_031819.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:18450737:18451105:-1 gene:Et_4A_031819 transcript:Et_4A_031819.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQSNDGAMTFSSIALLQQRFRELEKIREQREERLLQMLVPRPAATSRETPVKWFFHPELLYPCRPLRDTAAALFPAVPSSATTECKSFQFCGDPLAVDLWPVKAYKHVPTEVDVDTSLHL >Et_1B_009810.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:28797750:28799623:-1 gene:Et_1B_009810 transcript:Et_1B_009810.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAISLGDISSFIRTTDPHDFGLRFNESEANNCAKAGALVLNTFDALEADVLAALRAEYRHVYTIGPLGSLFLRHGSAADDSSTRELSLWKQDAGCLAWLDTQAAQSVVYVSFGSHTVLTPEQAAEFAWGLAASGHPFLWSVRDGLVVPGGFALPSGLAATEPERCHVTTWCPQEQVLRHAAVGCFLTHNGWNSTCESVAFGVPMVCWPGFADQYTNCKYACEVWGVGVRLDAEVRREQVARRVREAMASEEMRASAASWKAAADAAATPGGSSYENLITMVRALSSSPDSEV >Et_8B_059220.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15538857:15539897:1 gene:Et_8B_059220 transcript:Et_8B_059220.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFFFSPSRTPRQARVTTVLETTTSTCTAEPRVPDQGNLLGGVGADEFVPSDAFQVGGCAWRVDYYPRGHRKAKPGYTSVFVELVTPGATARASYEVRLAPMRRPFKTHPYLYRPACDGGNGWGLTSFVEMTKVETTPGDDSITIECVITVFKQPTNSSPRKSAAPPPLVTVSKDLAKLLDAGAPPADVLILVGAESFAAHSFVLWMRCRELHDRITSSSCVTLPESDVQPAVFRDVLQYIYTPAMDGLDAAQKAAKIRALLAAAVRYKIDRLKLVCESMLCASLEAGTVVATLAVAEQLQLTALKRACIKFIAIESAYNYGFFIVGYIRRKGLCKI >Et_10B_004342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4341232:4343159:-1 gene:Et_10B_004342 transcript:Et_10B_004342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKNKKGKEIVTKSSNEPDRGILEELPKPIAELDMGDVDNFPTNYEKFIRAIRGAVTSEIKFKFTFEGRDETVQIPVTPGIDHTPAGAFCFEMFDAEVRLLVVCDKYQVWLRGIVTTAGKRYECDDKTRPKAMVGSESLNTTGMYPNLLSGDVDKFQIGYQALISAFHTLARHTNDGSNHHKVTLAVILIMVFEAARITELYDMCLRLLENCQDEQVGGENKALINSWASTSRDFFGENGGGTKPMFT >Et_9A_062053.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19244220:19245275:-1 gene:Et_9A_062053 transcript:Et_9A_062053.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LNRNFPSPRTLPDLAVRCLKLQIRDEGRAHEPQQRLAVGEGAAEEHDGLVRCAEEVEEAPGGEETEEDEEREGVRQERGPEREGHHGGVVDAEARLRRRREVASETESGLEKAERSTSSPHGRRSDSERRADSETRLRKSRKAGVAIGASEPPPAAGDEPAAAGLVTGRRGGVGVEAMAMFLFARAFCRGEGDLGKARCRFGCGTRCSLLPSPCNGGCRPPRLVVTGAGVTSGIPVSDRMFDTAVVWLAEALDLLDPSSLVSTFPRQVIRGPYLWVVVL >Et_10B_004005.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9091236:9093033:1 gene:Et_10B_004005 transcript:Et_10B_004005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWGADRISTLPPEVIEHIISFLPAGDAVRTCVLARPWRHLWRSTPRLRLPPDCSAGRDWTADEVFRFVSSLLFLRVHGPAPLDECDLLFDAGFHCADEHYGLWARLALWCGARALRLRGFDGCDRPRALADWIRPPLPRGAFVSQHLTQLELSSVALMDGSLDFSRLHLCTLCGYSISSPTLKRLSVTFCLDELDTFARIRISAPSLRALKLADFLGWAPFLDRMPALVTASLSIFLPESYEDHDDHGSDDCVLLRGLSCATSLELIIQHPEVNLTTVMLSFALSKIVLERDFRWSIKFNKLKTLVLHEWCTSAEAGAFVHFLKYSPVLEKITLGLSKRPSDLVAAEGNDILRRRGQFCASRNIKTVEVKCSKDDQCAADILDVLISCGIHPEKINIQQ >Et_1A_008767.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:12192375:12193440:1 gene:Et_1A_008767 transcript:Et_1A_008767.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRARRPTSLHPTCLASSSSPSGLGSQLFGLFFAGAVSHGHRGVESFDIDMKEQKVTVKGNVKPEDVFQTVSKTGKKTAYWEGDAAAPAASAPPAAETAPTATTEAVPTAAAAEAAPSAAPEAPAATAEPEITPAKADA >Et_2A_015000.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:25661243:25661614:-1 gene:Et_2A_015000 transcript:Et_2A_015000.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDAEFGGYRIPKGWQVIQPITMTQRDPTIFPEPEKFDPARFEDTSAIPPFCFIPFGGGARLCRGNEFARVETLVTMHYVVTRYKWKLAAVCDGSYARFPLPYPSQGFLIDIEPKPMREQRQ >Et_6A_047151.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:2615298:2619936:-1 gene:Et_6A_047151 transcript:Et_6A_047151.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETSSSSSHSRRDPCVLGSYGFHGVMGNSAPPANFFDQGGGAYFGELEEAIMHQVATFRPQQAAGTSIAHHGEMTPFHTAATAQVAPATARPPPTLDIFPSWPMTRPLPTPKNMATSSTHRTGKTLDPKTIRRLAQNREAARKSRLRKKAYIQQLESSKLKLAQMEQDIQRARAHGLLLGGAPGANTSSGAYLQFLNLLIINVFRGYSPQVPSCLIVLWYPWAGAAMFDLEYARWLDDHGRHMAELRGALQAHLQDGDLRAIVDDTLTHHDELFRLKAMAAKSDVFHLITGVWVSPAERCFLWMGGFRPSDLLKTLVPQLDPLTEQQVVGICNLQQSSQQAEEALSQGLEQLHQSLATTMAGGSLSEETDMSFMGQMALALSKLSNLEGFVIQADNLRQQTLHQMHRILTVRQAARCFLAIGEYHNRLRALSSLWASRPRE >Et_3A_027216.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:543361:546333:-1 gene:Et_3A_027216 transcript:Et_3A_027216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHHHIVQREQRLRYVGLVLEHVQTRAAQPAVDQRRDKLRLVHVRAAADVDQHALGSQGFDHLAVDDVAGLLVQRASHHEDVAVGSQLNHRWVVGVVWNRGKTDPSGESVVPLGPPLPGADVSVGLRDPAEHRDGEADGEVGDVVGEDVGGVGYPDPALAALGEVDAVDAHGEGGDDLERGEGVDELGVGAVVGVADDGADGAGVGAEEVVAALGRGVPEADEAEAAVKLLLQGWSSSTPRDSMAGEREQVAG >Et_6A_046932.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:22504189:22509793:-1 gene:Et_6A_046932 transcript:Et_6A_046932.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEREKFVCLAKLAEQAERYDDMVEYMKKLARMDVDMSAEERHLFSVGFKNTIGARRASWRILSSLEQKVTAGDQTGVMIDAYKRKIEDELRMVCNEILSIIAIHCLPLATSGENAVFFYKMKGDYYRYLAEFSTGNEKKAAADQALMAYQHAMVVASSELSPAHQIRLGVALNFSVFFYEILNSHERACQVAKQAFDEAAAEINSAGDGAYKDSTIMMQLLKDNLALWTSELTGGETSKDDDIDMEFLSVLALVWSWCDPLGFSRVWVGGRYPSFWSYFESLEMGNLPA >Et_5B_044584.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:3787255:3790054:1 gene:Et_5B_044584 transcript:Et_5B_044584.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTNCCDSLGWANRIRCGCFGAFSLLLSRRLAASADISQISGRRASTRISQERRKGTSILRLRRLRRRRSRASVASVAFGSDASGEPSSLRSPIEVIILLLGCNGAIKFPGDCWESMADHVQKNPFIVPIPSGTLYGAIDPAKPFTVGPIRDLCGSGVLQIKICRDNGVSLKNPFTMDNVGVIKKLNLMAFKSLEPDPSNTWIHTLGAGYSELGSFDPKWLHNIMTLQMIPEEIHNYFCDLRSVQYEEFTVNHPFHLTNIQFADLIFEIYRLLRQESVVVGAGAYAEQDILEFIGKHHANAFSRSQAHRVMSQFYPTKIKKRIALIKQQTGSEPQSMWLSDLELGKFVVDSCRHCGVHTREPQVEKHVRKVLKNPLFTFTRGGMLGMLRHFYLDHPRRAVYAFIKYNQWHLLAPKERFPIPSIYKAPVFPTEDEVGVIPSSGSTCVLHYVRHHWFTANGKVITSLQM >Et_2B_022612.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:27839648:27841060:-1 gene:Et_2B_022612 transcript:Et_2B_022612.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPQQQQHFLLVTNPMQGHINPARRLAARVLASTPGARVTFSTAVSGHRRMFPDLASPDAEAVDAAGVVHLPYSDGFDEGFNPAVHGLGSYKARARAAASETLSAVVARLAARGRPVTCLVYTFLVPWAAEVARAHGVAAALYWIQPAAVFAVYYRYFHGHDAVLASFANGSEPDATVSLPGLPPLRPDALPSVVAITSPEHRHYLLLDLLRDLFSSLDEHKPKVLVNTFDALEAEELRAVPQFELAAVGPVVPDDASSPSSADLFHRHNATAYMEWLDAKPARSVVFVSFGSVLSMSARQEEEMRRGLEATGRPYLWVARKPSDANAGNSDDAQGGMVVDWCDQVRVLSHPAVGCFVTHCGWNSTLESVTRGVPMVAVPQWTDQPTVAWLVEARMGAAVRARVDGEGVVDRLELQRCVETVMGDGDAAAGLREQAARWRKLASEAMASGGTSARNLDAFASSLAFGA >Et_8B_058628.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:11287092:11289019:-1 gene:Et_8B_058628 transcript:Et_8B_058628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLTYLCNLEILNLEQSLSAYVNIVELFEWLPHCASSKLKELHLQSNNITGSLPPSIEKFTSLNILDLFDNRLTRPVPSEIGVLTGLKRRELSNNRLEGVITEDHFANLSSLEYIDLSDKLERAYFRSCQMGPLFPVWMQWQVSVKNVDISSAGINGKLPNWFCTTFAKAIVLDISNNKINGTLPKCMEIMSMEQLYLGSNELTGQIPRLRRSLISLYISNNSLSGPLPSNFCTPNTEFLVLFCNYITGQLPGSICGCTSLILLDLSHNKFSGILPMWLCDLMDLQFLRFSHNMFSGSIPTNISYLKHLQYLNLANNSDFLVNIKGQALNYHSVTILEVVTLDLSFNGLTGEIPQEIASLDAVLNLNLSWNHLDGVVSKMIRAMQSLSKLTGLSYLDLSYNNLTGSIPQGSQLDTLYSENPSMYDGNNGLCGPPLHRNCSRNDTSRSHDQKGDEAGFYPISFWFGLALGFLLGLWVVLCVLLFKRSWRFAYFRLSDKLYDQIYVFLVVSWRSLEVRYCKGTNVNT >Et_1A_008023.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:40173177:40182197:1 gene:Et_1A_008023 transcript:Et_1A_008023.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGAPGSSLHGMTGREPAFAMSTEAGPTAKDAAAAKFDLPVDSEHKATTFRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLSKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLIMLAAPTVFCMSLIDDAAGYIVVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVYDVIRKCGATPFTAWRLAYFVPGTLHVLMGVLVLTLGQDLPDGNLRSLQKKGEVRKDKFSKVLWYAVTNYRTWIFVLLYGYCMGVELTTDNVIAEYMYDRFDLDLRVAGTIAACFGMANIVARPMGGILSDMGARYWGMRARLWNIWILQTAGGAFCFWLGRATTLPISVTAMVLFSFCAQAACGAIFGVIPFVSRRSLGIISGMTGAGGNFGAGLTQLLFFTSSHYSTGTGLQYMGIMIMACTVPVVFVHFPQWGSMLFPPNAGAAEEHYYNSEWNEDEKRKNLNDASLKFAENSRSERGKRNVIQAAAATPPNNTPEHVRQAAMEVGAPGSSLHGVTGREPAYALATEAGPTADDAAASKFDLPVDSAHKATTFRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLSKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLIMLAAPTVFCMSLIDDAAGYIVVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVYDVIRKCGATPFTAWRLAYFVPGTLHVVMGVLVLTLGQDLPDGNLRSLQKKGEVNKDKFSKVLWYAVTNYRTWIFVLLYGYCMGVELTTDNVIAEYMYDRFDLDLRVAGTIAACFGMANIVARPMGGILSDMGARYWGMRARLWNIWILQTAGGAFCLWLGRASTLPISVTAMVLFSFCAQAACGAIFGVIPFVSRRSLGIISGMTGAGGNFGAGLTQLLFFTSSHYSTGTGLQYMGIMIMACTVPVVFVHFPQWGSMLFPPSAGAAEEGYYNSEWSEEERRKNLNDASVKFAENSRSERGRRNVIQAAAATPPNNTPEHV >Et_3A_025331.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:2930984:2934613:1 gene:Et_3A_025331 transcript:Et_3A_025331.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGDPMAEDAEAGGVRRSPAAVAGLLRGFLAVQQRRAEAYSMLRRGFSEYIANGGELAYQQLCGNITTEFNECSKQVLEMISLLSMPKFCRDDLADLLKVVQAYEKEKLHLTAKIQVLKKAGRPSERLVSHENCRSRSMAQHVCVHVKEITEAAGTEDSEADAEYDAALKEAIQGVQEAVTNINEHMEEVRYEIEALEAETAGSKWTEVEEAFPGTLSIK >Et_9B_066082.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:2852029:2853501:1 gene:Et_9B_066082 transcript:Et_9B_066082.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRAAGGWLQQRRGQVTHVDGSPALPDDDDALAAVFARLSDAADIVRCAATCRRWCRVVAKEAAVLSPALPAQLTRSRAVVGVFHQEDAGFTAPRKRKRGRTGSLAGQPCFVPTAAAARRLRIPSTNCGGDGHGELLAYARPVVSRNGRVVFELRRDGHGDGLKLCVVNPMTGEASLLPPLSGKDKPGCYTVALLTSDDDDVVNRPPLFFIFRVVIVYNRRSFTAMRAYSSDTGRWSSEVQMRRPKIDAQRLRELGQSVVLRGVAFWPLDRSALAVRLDASSGEPREVAMPPDGILVDAQQHTRLMAVVTTTTTATATATATATATATATATATATIEQSDEQQEEEQELCFIKARFHNDRNALNETGLPNWSTIVLVTSCLRAAAVGNDDMSASRWEHRRSRCVRLPPHMKVRSWDAVNQLKLRCFCEKSGVVVFTVGQDSNCPGAYALDIATRQLQKMADGAACDAWRNVVGYEMDAPACLAPIACY >Et_6B_049415.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:4532157:4536729:-1 gene:Et_6B_049415 transcript:Et_6B_049415.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDLYLDLLQADYTEGDALDSLELVRYCCRRMLMTHVDLIEKLLNYNTRYDKGLFREEKRPQYELRHKEQTWRRALDVPTLIQGAKMQF >Et_2A_016861.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28978571:28987084:1 gene:Et_2A_016861 transcript:Et_2A_016861.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWFGDHPRVRVSEIQVCDARHSELIPCLDRELHYQLRLRLNLSLMEHYERHCPPASRRLNCLIPPPDGYRVPIRWPRSRDEVWQTNIPHPHLAAEKSDQRWMVVNGDKINFPGGGTHFHTGADKYIVHIAQFPDDKLNNGGNVRNVLDVGCGVASFGAYLLSHDVIAMSLAPNDVHENQIQDGILLLEMDRVLRPGGYFVYSSPEAYALDPVNRKIWGQMSDLARRMCWRVASKKNQTVIWVKPLSNGCYMRREPGTLPPMCERDDDPDAAWNVPIKACLTPYTKRVNRVKGSELLPWPQRLTAPPPRLEELGIHSNNFYQDNEIWHSRVIRYWKHMKSEIRKDSFRNVMDMSANLGGFAASLRKKDVWVMNVIPFTEPGKLKIIYDRGLIGTIHNWCESFSTYPRTYDLLHSWLLFSEIEKQGCSLEDLLMEMDRILRPHGYAIIGDKAAVVNYIKKLLPALSAMAELRHATAAATRASGSPSKRDAETASASSPFIASPRGGGKDGPRASLPLHQRWPLPPPVRSLLALEDPRSPAASTSYRILVAGIACLALAALFSAPSVWSRLNAPYLCWKEGIRLHCPRISCLRMKLLGLFLSMLKICNAVAIAKIMNATLILPVLKQDQIWKDQTKFEDVFDVDHFINYLKDDVRIVRDIPDWFTEKEELFTSIKRTVKNIPKYASAQFYIDNVLPRIKEKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPDIEEMADRLASRMRNRTGNLNPYMALHLRFEKGMVGLSFCDFAGTREEKAMMAAYRKQQWPRRYKNGSHLWPLALEKRKEGRCPLEPGEIGIILRAMGYTKETQIYVASGQVYGGSNRMAPLRNMFPNMVSKEDLASKEEMAPFKKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGYRRYMGRHRLKSIKPDKGLMSKFFGDPYMPWATFVEDVMITHQTRTGLPEPTFPHYDLWENPLTPCMPAHMLSAGAAEAPPIAAAATPAIMQDHSGGTVRL >Et_4B_036711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:10905255:10908395:1 gene:Et_4B_036711 transcript:Et_4B_036711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCACARLSFPHLLSRPAPNLNPRPVSPRGPFPVRRALVARAAAPDDAPASPSSFDFLALKRELEQQEEAVVAVEAEEGAGAAVVGDGEREVEKDAGGMGMRRRRRRQMARRSALLAKQVISVRSAQSLGFVSQLWVDSASWVVALVEVRPSLLSGEAEQFLFEDIYQVGDVVLVEDESVIENELKLVGLHGLIGYNVVTSRRRNVGKVRGFTFDINSGAMESLELDSFGLSIVPSSLVSTYCLFIEDVLDIVSDTIVVHEDAVSRVQRLTQGIWGTQNIRGPGGEIDEYGIFRRRRANSRGKSGDRKLRRKTRGPEDEWELPMDY >Et_3A_026910.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:25956756:25957088:1 gene:Et_3A_026910 transcript:Et_3A_026910.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPSSVTMWPRPGKHRSPAAVVRRAQLVLSRDVGWCGQRAWRKLLRRLAQETRCICSPPSAAPSRPITFGYDAVSYAKNFDDGRSPVPPCPVPVVVASATNKSSNN >Et_8A_056283.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19565302:19565451:1 gene:Et_8A_056283 transcript:Et_8A_056283.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVCCRRRGACGRTDRALGWPAIGHPGARGQHAWLCLTCQVPDPCFPC >Et_1B_013028.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4917217:4918406:-1 gene:Et_1B_013028 transcript:Et_1B_013028.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding RPWRSQRSAVQARPALPKAPLQPRVPQPKPANLLKLSSGRLLRQATSVTKHIQTAARVSSVTRRANSLIHARSRQAGERAEPLRDLRYPVMARAFRAASPLPLPSSRSAVTSAGGGGNNFPWLSKKRSSSNKAASASQQRGGQDSKGDQEASAEQSPSPSSSRKRADALARLRSAFLAAITHHRRRRRQLGSCVTGTIFGRRRGRVHVALQTDPRAAPVLLVEMAAYSTGALVREMSSGLVRIALECEKAPLAAGEKRRSLLEEPTWRAYCNGRKCGFGVRRDCGADEWKVLGAVEPVSVGAGVLPDDVVVSSGAEEGDLMYMRARFERVVGSRDSEAFYMMNPDGSGGPELSIYLL >Et_8B_059139.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14267487:14270201:-1 gene:Et_8B_059139 transcript:Et_8B_059139.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLPYGEQEERIASRGVKMFSAAFLLLLLAQAGSSAFAAAAGSNCTTMCGKNISIPYPFGVEPGCYRPGFDVTCSADDDGLPRLFLHNISELFDINLAKGTVDIYIRRLDQQFYPGDPPIGNWDVVGVGIDGGPFSLSATRNKLVLVACDVQALLMGTTRICGSRDCSGIGCCQAPIPAGLDFRRFNSTWASDQATVFVVDADRLSSYAMDETQANALPAVLEWVISDETCPANSTPPECRSSHSFCQNSTNLRGGRRCHCSPGYDGNPYILDGCNGTMSTNANFQRSIHVLATATTRWAGTYASALMALRVIRLYRKDARLSTRSMDVSHSCCLISLDVDECAHPEANSCYGSAVICRCQCPPGTYGNATIKGGCITIKNSFSGSKIALVVCGGLILFIVALAAPFITRKIQQYRVKKMKVKFFKQNHGLLLQQLISQNTDIGERMIITLREIVKATDNFDKTCEVGGGGHGVVYKGILNLQVVAIKKSKIVVQREIDDFINEVAILSQVNHRNVVKLLGCCLETEVPLLIYEFISNGTLYHHLHVEGPISLSWNDRLRIMLEVARALSYLHCATFMPIYHRDIKSSNILLDDSLTAKVSDFGASRHIPIDQTGVTTAIQGTFGYLDPMYYYTGRLTDKSDVFSFGVLVMELLTRKKPFAPRSNDEGRLCDIIDPQVIEEDIGNIHQEVAALAVTCTKLNGEDRPTMREVELILENLRVKTHAPSGRQPCKLDHIPAQRVTQDLSRQYTAEEEMMLSAQYPR >Et_10A_001622.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:6135149:6138743:1 gene:Et_10A_001622 transcript:Et_10A_001622.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLREHLKRLASIDLQILSAQVTQSTDFTELANQEPWLSSMKLVVKPDMLFGKRGKSGLVALNLDLAQVRQFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGCTISFSECGGIEIEENWDKVKTIFLPTEKQMTPDACAPLIATLPLEVRTKIGDFIRGVFSVFQDLDFSFLEMNPFTLVDGEPYPLDMRGELDDTAAFKNFKTWGNIEFPLPFGRVLSPSESFIHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPKEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFSGIIRALREKESKLKAARMNIYVRRGGPNYQTGLAKMRTLGAELGVPIEVYGPEATMTGICKQAIDCIMAA >Et_1B_011611.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:24113782:24115068:1 gene:Et_1B_011611 transcript:Et_1B_011611.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSNLVAFAAIFSILVSYASCARPVVNYTASAFTADPNWEAARATWYGAPTGAGPDDDGGACGFKNVNLPPFSSMTSCGNEPLFKDGKGCGSCYQIRCVNNAACSGNPETVIITDMNYYPVAKYHFDLSGSAFGAMAKPGRSDELRHAGIIDIQFKRVPCNYPGQKVTFHVEQGSNPMYFAVLVEYEDGDGDVVQVDLMEGNSGSWTPMRESWGSIWRLDSNHRLTAPFSLRITNESGRKLVANKVIPANWAPNTYYRSIIQY >Et_2A_017065.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:30634669:30642823:-1 gene:Et_2A_017065 transcript:Et_2A_017065.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVQQYLQRKGFRLTELALQEERNRHSTSAVSDVALARSENDPARYCDGYGRLRTWAYSSLDQYKHELLRILYPVFIHCFMDLVAEGHMQEARSFFHTFREDHEVMHSRDLQKLEGVLSPSHLEEMELARSLRQNKFKIKFCEYSYELLLQYLQKTQALVVLGIINEHITFEVSPGQPSLISDDADVVALIGTSKDLAKQINQKEVHWGKRNSEGGKQGASLKKTKKDKLVGTTGKNIKTETSMVSVAPRVKSELTLPVTPVEVEQSILEDLRNRAQLNSLALPSVSFYTFLNTHNGLNCSSISHDGSLVVGGFSDSSVKGENGPSQSECMATLDEGKRPYTLFQGHSGPVYSAAFSPFGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMIWDLSTGRCVSPLLGHSSCVWTLAFSCEGALLASGSADCTVKLWDVASSTKLLKTEDTKGGSTNRLRLLKALPTKSTPVYSLRFSRRNLLFAAGALSLNS >Et_2A_015116.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:30715723:30715776:-1 gene:Et_2A_015116 transcript:Et_2A_015116.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQICLLDAGSNLSKRT >Et_5A_040192.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:20874346:20874612:1 gene:Et_5A_040192 transcript:Et_5A_040192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWGAFMAMVALVRQYMSLFMPHAPYAVQEAIFKVGLLWIAFPAFVFIVVPVVCFGKVWMLITTVCLFCILIAGVWAFWEWLARAYPK >Et_10A_000411.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:69685:76025:-1 gene:Et_10A_000411 transcript:Et_10A_000411.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEAEQQQSGPVVAPAPQPRKGLASGALDLLESLVVRLGHDKTKPLFWLSGNFAPVRDETPPAPGLPVRGHLPECLNGEFVRVGPNPKFVPVAGMIHAMRIKDGKATYVSRYVKTARLKQEEYFGGAKDFLDYLWSKCNNLGKSSKSWTSPMDLGQLILHLYTIMVNSWLFQKQISLVLEDGDLETLGLLDYDKRLKHSFTAHPKVDPFTDEMFTFGYSHEPPYCTYRVITKDGAMLDPVPITIPESVMMHDFAITENYSIFMDLPLLFRPKEMVKNGEFIYKFDSTKKARFGILPRYVKDDKHIRWFELPNCFIFHNANAWEEGDEVILVTCRLENPDLDKVNGYQSDKLENFGNELYEMRFNMKTGAASQKQLSVSAVDFPRINESYTGRKSEVNVIDAKTMSADPVAVVELPNRVPYGFHAFFMNEEQLAQQAEER >Et_4A_034329.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:31855544:31861716:1 gene:Et_4A_034329 transcript:Et_4A_034329.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDPDPSSPPPPATHRDWFFPPAPPFLSSSKPRNPRTPFPSTPRPYKPYSLADRRPPPTPRSRSRSPHPTPEQQQQPPAPPPSVPRRRDPRYAGVRRGDVLTTASEKAAPPTAAPVHGRKPGDLAITQRWSGMISAAVILLCVASVLRRNFSLHDQVNRLQEQLAAATAKLQSCTASSSLDMSDINFLYLDDSNTTQSKSLKNLSLLISLSILYVPLVILKYIDLVSKIRSSHESEEVPINKRFAYRVDIFLSLHPYAKPLVLLVATLLLIALGGLALYGVTDDSLSDCLWLSWTFVADSGNHANAVGFGPKLVSVSISIGGMLVFAMMLGLITDSISEKFDSLRKGRSEVIEQSHTLILGWSDKLGSLLNQIAIANESLGGGTIVVMAERDKEEMEADIAKMEFDLKGTAVICRSGSPLILADLKKVSVSKARAIVVLAEEGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPKLDGMRFEDVLISFPDAIPCGIKVASFGGKIILNPDDCYVLQEGDEIIVIAEDDDTYAPAPLPKVKEALYIDIVHPEIKPQKILLCGWRRDIDDMIVVRRGYLPKDFVVPKSPERILFCGWRRDIEDMIMVLDAFLAPGSELWMFNDVPEIDRERKLIDGGLDFSRLENITLVHREGNSVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPYKEATDSHVPRGSFSEGSWIGEMQQASDKSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEQGNEMQIHPSDLYLREDEELNFFEVILRARQRKEIVIGYRLEGAERAVINPIDKVSRRRWSPKDVFVVIAEKE >Et_2B_019780.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:13894802:13902105:-1 gene:Et_2B_019780 transcript:Et_2B_019780.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALSPAGRRAAAIARHLAGALPAPQSLAALEPAPCLSYAPPESNEPTQAFPPAELRALLDGHHLREREWVFGVMEESPLFCRRSRGGGKVFVSPDYNEGKEAQREATMRRVAHLARRGVFRGWLTEPGADAELRKLALLECLGVYDHSLAIKIGVHFFLCRCPPRRGHRAPPRWRHCCRLRPYDQLSRTVLLPGLSPAGECRTFSCTSDLRLLLDGHDLATRDWLFRVMEESPFFCCGRAEGLGGSRVFASPDFDGSKEAQRELTMRRSGYLARHGVFRGWLTEDGAEAELRALARLDCIGLYDHSLAVKIGVHFFLWGSAIKFLGTKRHHDKWLLDTENYVVKGCFAMTELGHGSNVRGIETVATYDSKTKEFIINTPCESAQKYWIGGAANHATHTIVFAQLHINGRNEGVHAFVAQLRDDDGNVLPNIHIADCGHKIGLNGVDNGRIWFQNIRVPRENLLNLVADVLPDGQYVSMIDDPDQRFAAFLSPLTLGRVNIAVNSVYISKVGLAIAVRYGLSRRAFSITSDGPEMLLLDYPSHQRRLLPLLAKACLFSSAGNFMKKMYVNRTPEMSKAIHIYSSALKATLTWQNMTTLQECREACGGQGLKTENRVGIFKAEFDVQLTFEGDNNVLMQQVSKALYAEFLSAQKKKKPFKGLGLEHLNGPIPVIPVNLTSSIFRTSKFQMDLFCLRERDLLKRFAAEVSHHIARGESREKALMLSYQLAEDLAKAFTERTILQIFLEDQKNVPDGSLKYVLELLRSLYVMVSVDESASFLRYGYLSRDNVASVRKEVMKLCGELRPHALAVVSSFGIPDAFLSPLAFDWIEANALSSGSH >Et_1A_006653.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25801762:25805488:1 gene:Et_1A_006653 transcript:Et_1A_006653.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKASAPSHAHAPSPAGTTTLSAALEHDRRRERGAFPHGHQGRLHQYFIPACGRPAGPAWRSSPTPHAQLMTRIHRWGATFLPKSPRHAVARDLEARRRTPSPPSTRPGGGGACPAVTTAAAAQAPPSRATSVPERRPLREREELAAGSAPAPGSEANAKVTDESTGTTGERAATPIAQAGRGEGTWLKPSPKKKKAMSIEEDSRSPQSLLAAESPALSSVATTDDTFVWADKYRPNFLNEFICHKDVAAELYQLVAEQQCKHLIFEGPPAVGKRSMVLALIRDAFGPDDLKVEEQTKRFELKGEIRKHIDVPVKISGHHVEVNLADLHGYEKYVITTLLNESIPSPNSICGYSNTRVIVLHDSDKLSTDLQHYMGWFLGRYAGCNKIIFCCSDASNLEAVRHLCKVVTLQPPSFEEIIKVLEYIANQERIDLPNDLARRITASASNNLRQAIRSFEATWKAHYPFIEGQPILTGWEEDISNVARNIMEEPSPKQLFVIRAKIKKLIEHDVSPYFIFGHLLAELKRDKDEEFQHNIDELASDLNHCKDCSLLKEKCKGCKSREATLKMKNMNIEGFAKDSHDHGETIQCFIRIEEFTVRFMSFYRSLIAKNSKKGGDL >Et_2A_015714.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:17114925:17118187:-1 gene:Et_2A_015714 transcript:Et_2A_015714.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALREQLSAHINSMYATGMVDEQFQQLQMLQDDGSSPGFVAEVVTLFCDDAERIITELTKLLEQPIVDFDKVDAYVHQLKGSSASVGAQKVKFTCMQFRQLCQDKNRDGCIMALTVVRSEFYNIKDKFQTMLQEQDDMICLGAAD >Et_1B_013561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:9561225:9563499:1 gene:Et_1B_013561 transcript:Et_1B_013561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASEELERRSRYLSSLIRRTKLSAAPSPAPVLPPVPEPEAAPEPETRPGVGKRGEAKAAVVVEEKEVKEQRVMVVAEKQKREVKGEEEPVSVRVRAADMPLPLQRRAIRLAYEAIAAMPRLDSKRLALALKKEFDTTYGPAWHCIVGTSFGSYVTHSLGGFLYFSVDKVYILLFRTAVEPLGHPRDPQSTY >Et_7A_051551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:20218291:20225808:1 gene:Et_7A_051551 transcript:Et_7A_051551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVQVYHEKHIILPDVGRVLAFLYEKDIEFETITSSYWSLMKLQASTHTPVPFYDGPVFFQEQWLRNEERAFAPPARVLFCQMTFGQDEESDDLIYPIYLKQEVEYLAGDKFTLADLSRHLLRFSYLFNSRNNVLRWWKAISSRRSWQRVLDDMYKVERQRKLEVDLPQWKRKYRKVLVCQVRLDPRTHIIARSETIPQEK >Et_8B_060168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:6704847:6712008:1 gene:Et_8B_060168 transcript:Et_8B_060168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPVPDPPFKDATPLKPPPPPELEGLTLRRSARCLNRPRPPSYAEQEPPKQAGRRGRGPGKRKRNEEKQEPAAAALDATRRSGKPATADSGERNPMPVIAAVPISCAGYAAAAAEDDVLEGGKSAKLRVKETLRAFNSHYLHFVQAEQKRAQAALEEIKAKGGLKRQIKGDKNKKGTKQDTEGEEKEKRPSMRPDLKAITKMQETNAVLYPEKRIGSLPGIEVGHRFYSRAEMVVLGIHSHWLNGIDYMGMKYKGKKEYENLTFPLATCIVMSGIYEDDLDKADEIIYTGQGGNDLLGNHRQIGSQQLKRGNLALKNSRDNGNPIRVIRGHASKNSYTKKVYTYDGLYKVVDDWVQTGVQGHVVFKYKLKRLEGQPSLTTSEVRFTRAEAPTTISELPGLVCDDISGGQENIPIPATNLVDDPPFPPSGFTYTKSLKISKGIKIPPNIIGCDCEGDCASNKNCSCARRNGSDLPYVSFKDVGRLVEPKAIVFECGANCGCDHDCVNRTSQQGLQYRLEVFKTASKGWGVRTWDTILPGAPICEYVGVLRRTEDLDGLQNNYVFDIDCLQTMKGLDGREKRAGSEMHLPNLHPENDSEAPPAPEYCIDASSIGNFARFINHSCQPNLFVQCVLRSHNDVKLAKVTLFAADTILPLQELSYDYGYRLDSCVGPDGNIVKLPCFCGAPDCRKRLY >Et_3A_023872.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13857168:13861158:-1 gene:Et_3A_023872 transcript:Et_3A_023872.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAADAAKEYVSGCAAGIAQVVVGHPFDTVKVKLQAHNTTAHGKLYKSAFHCTSRILLEEGIRGLYKGASSSFIGIAVESSLFFGTYSQAKQILQGKSEDGRPQLKVIVPSAACSGALISCILTPTELTKCRMQVQGKDAVLATRYSSPLDCAMKTLESEGLRGIFRGGLTTLFREAIGNAVFFCAYEYSRYWMHSYLDSPRISGSNQFAMAKDIGIGIMSGGISGWAFWTATLPLDVAKTVIQTDPDPHLSRNPLRILRMIYKRAGMGGCYAGLGPTLARAFPANAAAIVAWEYSAKILGINR >Et_2B_019717.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1338543:1341828:1 gene:Et_2B_019717 transcript:Et_2B_019717.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCRPLAPPRLLAAAPPGSARAPWSYRLPSLSLRVVRCMAKERRVRMVAKQIQRELADMLTRDPILQRAVLPEAALGADRYLSSLTTIADVELSNDLQVCKVYVSVFGDERGKKVAIEGLKAKTKYVRSQIGKRMKLRLTPEIRFIEDESMERGSRILAILDKLKEEREQQEGKDGEEDEEGSNISEEEDGEWDADEPDEEDIIYSAEEEDEMLAIPTSNSDELSNWEMKIKGGA >Et_4B_038249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27533780:27537612:-1 gene:Et_4B_038249 transcript:Et_4B_038249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAIDPVCYVSSRSAVAPSSEAFCKIMRSSWADSVANAEESAPATVTTAAAPVANHQSSRPTLRSYVPPHLRGRSAGSPPESQAPPSVPAPGPGPAAVQPSGYAAAVGGGSRWGGPTGSGGSSAIVGPRQGGGGGGRGGGGGWNSRPGWDRRDREPNPFADSEAADVEFESQENTGINFDAYEDIPVETSGHDVPPPVNTFAEIDLGDALNQNIQRCKYVKPTPVQRHAIPIVVAGRDLMACAQTGSGKTAAFCFPIISGILKSRPPPRQRGSRTAYPVALILSPTRELSVQIHEEARKFSYQTGVRVVVAYGGAPIHQQLRELERGVEILVATPGRLMDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGVRQTMLFSATFPKEIQRLASDFLADYIFLAVGRVGSSTDLIAQRVEFVLEADKRSYLMDLLHAQKANGAHGKQSLTLVFVETKRGADALEDWLYKNGFPATSIHGDRTQQEREYALRSFKSGATPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNEGNLSLARPLCELMQEANQEVPQWLERYAARSSYGGGGGRNRRSGGARFGGHDFRRDRDFRGGGSGGGYGGGGGGYGGGGGYGGGYGGGGATSSWD >Et_4B_036295.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15572279:15572903:-1 gene:Et_4B_036295 transcript:Et_4B_036295.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKAQVTAYGYPYEEYIVTTEDGYILSLERITRGLKDADNSTRNSTKMNMLIGQDGFSWVLSTTKQSLGFILADRGFDVWIANNRETNSSHGPTGTGHGPNS >Et_9B_065830.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:14204567:14204827:1 gene:Et_9B_065830 transcript:Et_9B_065830.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSCKHTLVAANQLATKALALVLLALASAAAPAHAQQCGAQTGGALCNDGLCCSQYGYCGTRDDYCGAGCQSQCDVTVGRRRPW >Et_5B_044018.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:1942128:1949190:-1 gene:Et_5B_044018 transcript:Et_5B_044018.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSSAVLGGRRFSDVSWAAQGVIAAAVAVVSMCAMGCSRVIAAAAAGGAGLGSSSGYTGVRGIGWKSWKKRWFILTRTSLVFFRSDPSTLPQRSGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSENLFEWKTALEEALAQAPNAALVMGHNRIFCNDTTDVYEVATPNWREKQPIKSLVVGRPILLALEDIDGSPSFLEKALQFLEKHGIKVEGILRKSADVDEVDKRLQEYEQGRTEFAPDEDAHVVGDCVKRVLRELPSSPVPASCCTALLEAFRLEIKESRINSMRAAVSETFPEPNRRLLQRILRMMHTIASHTAENRMTPSAVAVCMAPLLLRPLLAGECEIEDDFDINGDSSAQLIAAANAATSAQGIVTTLLEEYENIYDEHLRCSLSLNSQTGDSGSQESTDDETLDIKDNVFHDAENDVDQELDDAERILSGKLSESSVCTGVSAQQSINETDPSNLVPSHGTPLSMGDILSSLDAGISVDRHSSKTNGTQQHIKRSNFWGRNSARKSQQSELVDLPGEEELAIQRLEVTKNDLQIRIAKEARGNAILQASLERRKQALHERRVALEQDVSRLQEQLQAERDLRAALEVGLSMSPSQCSSSRSMDSKTKAEVEEIALAEADVARLKQKVAELHLQLNQHRQHQDESFIDANDRSQHLPSHLSQTFVQPGFDVNVAFCNQEKKQRNEEGLTGASHWRSLKQHVLTHGSSRPFSRKHSLDASSGDPREASTSMPADNGLISVNIPRAPEGVEYGRQPSVPSSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASHGFPYKSSSPWNGPR >Et_4A_035072.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:9267303:9270019:1 gene:Et_4A_035072 transcript:Et_4A_035072.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDRQRRGQAHTSPQRDGPTVTGRQAAAYTEPEAMLPSRRHRRPRPPPFALALLLLLFLAVAPMPQPAAAVGVTWGLASSHPLPAAQVVRGILLPNSVPRVRLAAASSDALSALAGTGVAVTVGVPDTLLRPLAGSRKAAAAWVHDNITRYASSVRFEYALPSNARFLCVTSNREMGMGTDDSVASERYIAVGDEPFLPSHGQHFQPFVVPAAENIQRALIDAKLSSKMKVVVPCSTEVYQNASTLPSKASFQPDVNKTMVELLSFLANNSSPFMVELNPFLSFQQNKNLSLDYYLFQLMTHPVKDGQNKYDNYFDASIDALVTALTRAGFGDMGIVVGRAGWPTDGAVNATPAVAQSFMTGLVNHLAKKSGTPLRPKVPPVETYLFGLLDEDQHSTARGDSERHYGIFTFDGQAKYYVNIGQGPKALKNAPDVEYLPSKWCVVDNNKELSNVSSSFAAACSSGDCTALSPGGSCSGLGWPGNVSYAFNSYYQQHDQSEESCNFNGQGLITTVDPSVDNCLFPLAIRTSAAVSLHPTLAITLLPLLVSWFCGFALQLVV >Et_7B_053694.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11171536:11172867:1 gene:Et_7B_053694 transcript:Et_7B_053694.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKLLPPGPGGDARRATVRGRVDHPESCPEVINAWEIMAGLEDADAAAGSPAKKPSKPGRWSPARVLAMALPSPKRSAAKKKNTPGKENSPLQRCSVNVVNKDKPSGDIDNDRVLRPYNSIDNSKLSRASKRFSPGSARVVRKPEAAAGGGGGMSSSRRSLSPLFDPDLLASIERELSEEGAHIKRMVGSEKPRHPKAAPPAIVAEGKCPPGGADAVVLYTTTLRGIRRTFEECNAVRAAIEAHDVKLIERDVSMDSGYREELRLLLGGREVRVPAVFVRGKHVGGAAEVAKLEEDGKLKALLDGLPRARVWCAGCAGVRFVMCRDCNGSRKVLDAERKETLKCDQCNENGLVRCPICS >Et_9B_065150.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:21626166:21627142:1 gene:Et_9B_065150 transcript:Et_9B_065150.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAKAPALEGISSLTDFPAVELSCPDAVVDACERFGFFKVVNHGVPMDAVERLEAEAVRFFGWAQADKDACPFGYGNKRIGRNGDTGWLEYLLLLDADFQASNNSNLMLLSSSLVGAMRGLATSVLDAVAEGLGVPPLGAMVSDQVFRVNHYPPLPEYSSRCGVTGFGEHTDPQLVSVLRSNATPGLQVALHDGRWVPVPPDRDAFFVLVGDSLQVLTNGRLKSVRHRVVANSLKPRLSMIYFAGPAPEQTLAPLPQLLARHGEQSLYRAFTWADYKKAAYRSRLGDNRLAPFQRRPPPPSS >Et_3A_024393.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:20359975:20365122:-1 gene:Et_3A_024393 transcript:Et_3A_024393.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAESPSPSPSSSSGSDDFAALLDAELELASAADSAALGDAFAEEDLEEDEEEDEVVVEVEDLEQKGHSLAGGMLAVFSLQDDKVHAKCRTKRRRLEEVHQDRASLVTPDEDTAGSSQNVQVEICPHPGYFGGLCFRCGKPQAEEYVSGVAFGYIHKGLRLGTSEIDRLRGADLKNLLRGRKLVLILDLDHTLINSTRLQDISPAENELGVQTIASKDDPNKSIFTLESMHMLTKLRPFVNKFLKEASSMFEMYIYTMGDKAYAIEIAKLLDPGNIYFSSKVISNSDCTQRHQKGLDVVLGAETVAVILDDTEYVWQKHKENLILMERYHYFASSCHQFGHNVRSMSELMHDERESDGALATILDVLKRIHEIFFDSDVGTDLSSRDVRQVIKAVRKEVLRGCKLVFSRVFPQKSRPQDHFFWKMAERLGAVCCTDVDSTVTHVVAFDLGTEKARWAAANKKLLVHPRWIEAANFRWRRQPEDDFPESNKGKENTVADEKETGQDNALAGQEEMAEENAGPGQEKAEENAVPGQEKAQETALDTITTGPTDS >Et_1A_005146.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:29346260:29346361:-1 gene:Et_1A_005146 transcript:Et_1A_005146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSTTSEHGTRPSSREATGCPSLGQGGVISAK >Et_1A_005264.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:36289806:36289844:-1 gene:Et_1A_005264 transcript:Et_1A_005264.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALVATYALH >Et_1A_009273.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:36200777:36203786:1 gene:Et_1A_009273 transcript:Et_1A_009273.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLVASHRLRLPAAGAAHHPHLLRHRALAAAASLRIPPHLPSPSPLRLPAALPLRPCLPPLRAAASAAASPAPGDAASSSPKFLGVETKTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAVGFMLLYTKLADVLSKEQLFYVVIFPFIAFFGAFAYVLYPMRDAIHPTALADRLLAALGPSFLGPVAILRVWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANVALIFSGRTVKYFSNMRKNLGPGVDGWAISLKAMMSIVVALGLVIAGIYWGVNRFVIDKSSMPVVERKKKDKPKLSLGESMKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRVILRKFGWGVAATITPAVLLLTGVGFFSLILFGEPLTPLMTKFGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGILLVIVLAWLGAVRSLDSQFSPLAKQELEREKMVKAQAIETTAQVVGTGNGSVQGSENSTNGSAIKQSQEPESTAPEKSGQQAQ >Et_1A_006512.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:23471525:23475543:-1 gene:Et_1A_006512 transcript:Et_1A_006512.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ITPRFGLRRVNAGEYPVPEGEQEDYADRPHAATVLGTSVTAENGGMKHNRLVELVTALLGVTATASHGIPDEELRLTLQGRGVPEKDAAMAAAEGSPAAALQLREMCSSKAVRCVPGGDEALLVEEAEQGRNMMMACAKAVEEAMLPDEAAPVLADEGDVEEAGGVRRKTKEYLHDDVVWWKCRCRRGRGAAHLTRWCQLCANYDPFSPILKGESQYIAFPTRTPGWAPSKRSGGMTKETSSWHQVASDDEQIPANMELDLEP >Et_10A_000518.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:1198495:1199031:-1 gene:Et_10A_000518 transcript:Et_10A_000518.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ILMPVLHHEHYSLYAVNYGQHRIDVMDSIKYEDRGSTYEQHHDPIGHKLMLRMQEALNEVSNGACKTFVNLRRVRFPCPTMVKPNDCIFLTMRFIEYYTFKSLELRADYLHYLLFHEENSAVLPNEFKEYIVLGVPY >Et_2A_016813.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:28424587:28426706:-1 gene:Et_2A_016813 transcript:Et_2A_016813.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding DAPVGVGFSYVDDPSALAKTDLQVAMDVTELIKALVNEVPTLRSSSLHLVGESYGAKFAAMIGVNVARSIRAGSLNLTLGGVVLGDGWVSPVDFALSYPWFLQSMSRLDGNAVGTAITMGITIRQQVAARQYLAAYKTFAGLMEFIDYRSGQVNHDNILVSNTIPAGPNNLSDVINSVIKEEFEIIPMNLTWQAASLNVFEALKRNFMRPSINEVDELLMYGVSVTVYNGQLDLIRSTLGAESWLKKLKWDGLHKFLSLPRDPLQYCDPLDDLPDAFVQSYRNLHFYWILGAGHM >Et_3A_023855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13514245:13520076:1 gene:Et_3A_023855 transcript:Et_3A_023855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYICLELGLPKLLGPLHLVLVQALGHPRASLHGAYHVSLLDASAIMRDSIRIQPSCLIVFVHGLFEKGSRVSPYMKTAEDSLNNEARSYNGYFESISAMPTYGSKSHEELQYEDYQIRDKGGVSLHNSLFNPAPMVPVSSPPSMEQPSTVLPSTQGPNCSTNPFWLPSAQLQSPISSGHLQSSKQSPCASTSSQSFSFPIRSMGVQTPSFVPITSNQPHTEFQKSSFSYSPSSLSIPCTAHGGSLLGTETHTVSATTSSTMTKATFWPTSAISTSPPTACQENVFSNSAVTYATPAEATSTPNRFSQKVSTTSTQSGTSSSAAHSEPSLSPDAHCTNINVDYPNNAIELLLPADISLVHSDRVATASETPISLSLYPGENEELIIQSIERPPQSQRGKQCRSTAGSFLNDESDCSKVRYTAACPQSSSDIRLAQKSVTAPACEVILGSLLPRLYNSDYYTMPSIVELAMRESDEPGYCSRVQDFTVGRHGYGSVKFYGETDVRKLDITSILEFNDREILVYRDESNAPPVGQELNKPAEVTLLNVKCFDQKTGQQFTMGPAVDRYTEGLVQWTKEHDAEFISFDAVKGEWKFKVKNFSQ >Et_8A_058405.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:6729402:6736882:1 gene:Et_8A_058405 transcript:Et_8A_058405.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPPALSVRRPNLSGVAKLTSTWEHFVITKSVCSSLAPVPRAMASASRVARALPNPTSASSSSAAAALLSSASPIPVRRFLQLHAHLLRTGLLAVSSAAATSFLCLAAASLPSPRALAVLDHHLAPTSLPSTFRCNSILAALSDPRDAFRFLRRMRELGRRGNAFTLAILLKPRCTLAHARQLHANVVTEGHLRDALLATALMRSYSASSDGDSARKLFDEMPVRDTVAWNVLITCYARNRRTKDALKLFEEMRCRESEAEPDDVTCILLLQACTSLGALDFGEQVWVYAEEHGYGSELKVRNSLIAMYSRCGCVDKAYQVFCETPKKSVVTWSAMISGLAANGFGKDAISAFEEMIRSDVAPDEQTFTGVLSACSHSGLVDEGFRFFDMMRYEYGLAPNVRHYGCIVDLMGRAGLLDEAYELVTKEMKVAPDATIWRTLLGACRVHGHVDLGEQVINHLIELKAQQAGDYILLLNTYAAVGDWKKVAEVRKLMKETGIQTTPGCTTVEHDGEVHEFIADDDKQPRKVEIYEKLNEINKHLRIAGYIPNISSELHDLDSEGKESALTYHSEKLAIAFALLVTPQRRPIRLAKNLRVCVDCHNFTKIFSGVYNRLVIVRDRTRFHHFEGAADPSPTITSMMS >Et_5B_043352.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:6519521:6519682:1 gene:Et_5B_043352 transcript:Et_5B_043352.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSYVSAFMDRTIGDNFESIASLWLSQKRYGFYNVVTVNVLRPIWPTRNDHVF >Et_4B_037842.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:2476113:2487348:1 gene:Et_4B_037842 transcript:Et_4B_037842.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPQRFLLFVIVAAASMLAVSKAEEEKDWSKATATFYGGGDASGTMGGACGYGNLYWSEYGTNTAALSSPLFDDGKACGQTPCVKQGGVRFTIAGANYFELVLITNVAGSGSVKSVWVKGSKTDRMVMSRNWGVNWHSLADLVGQALTFGVTSTGGQTLVFPDVVPAWWKFGQTFTSNLQVFVVLVVLAATFFAPAKAGWPGWNWATATFYGGSDGSGTMGGACGYGNLYQAGYGTNNAALSSVIYNDGAAVKCWREGGLRFKIGGSNNFHLVMIMNVGGSGSLRAVSVKGTKTGWIPLNRNWGANWQCNSGLIGQELSFAVTSTGGQTLYIYNVVPSWWRFGMIFSSNYQFQSGDWLPGTATFYGGADGSGTMVSVVAIRARHRMQSRIQITPPCAHRGACGYGNLYNDGYGVNNAALSTALFNDGASCGQCYVVICDRSKSNWCKAPGTYAVVSATNFCPPNWSLPGGGWCAPPRPHFDMSQPAWENIGVYSAGIIPVLYQQVKCWRSGGVRFTINGCNYFELVLVTNMAVKGTNTGWMPMSRNWGANWQSNAALVGQALSFSVTSTGGQSIVFQDVVPAWWQFGQTFSNWQQFDY >Et_6A_046152.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:4870330:4870794:-1 gene:Et_6A_046152 transcript:Et_6A_046152.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMAPTLLTMAEQGLVWCGLFLMQCLQKKWRPGHVKKVSVWELNGSGAESCIVELDCSLVIQELLNKGPSKSALCFLFRERNRAAHELAQLAKHTTHTVMWRAKVPHCIEQLIAQECNPSVG >Et_3B_031198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20385448:20391007:-1 gene:Et_3B_031198 transcript:Et_3B_031198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSKRPPPGFFEEPRYPKRRTGSPTEAPPPAPAAAPAIVAGVGDLLRAFGRCRALLDKLLRDDDGWVFATPVDARALGLRDYYTVVRDPMDLGTILRRLERRRYADPHALAADVRLTFRNAMSYNNKGDPVYQSAVDLSGIFETGWAPILASLPPPPPTDADRRARLRNDLPRMPMGVQQSAAAVLRARGANLGEKMTKMEVDLDKVDAATLDELDRYLHRPLYSVERKFKVKIFLRPQDVPLNY >Et_9B_065374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4352055:4355550:1 gene:Et_9B_065374 transcript:Et_9B_065374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGVLMSRRSKWREAACALLLLCVCRAVAVDEQGAALLAWKATLRGGALADWKPSDASPCRWTGVTCDSDGGVTELSLQFVDLFGGAPANLTALGATLTRLVLTGANLTGPIPPELGALPALAHLDLSNNALTGPIPAGLCRPGSKLETLYLNSNRLEGAIPDAIGDLTSLREFIFYDNQLAGRIPASVGRMSSLEVFRGGGNKNLQGALPAEIGNCSRLTMIGLAETSVTGPLPASLGRLKNLTTLAIYTALLSGPIPPELGQCGSLENIYLYENALSGPVPAQLGALRRLTNLLLWQNQLVGVIPPELGSCPDLAVVDLSLNSLTGHIPASFGNLSSLQQLQLSVNKLSGTVPPELARCGNLTDLELDNNQLTGSIPPALGGLRSLRMLYLWANQLTGTIPPELGNCASLEALDLSSNALTGPIPRSLFRLPRLSKLLLINNSLSGELPPEIGDCASLVRFRASGNHLAGGVPAEIGRLGNLSFLDLGSNRLSGALPAELAGCRNLTFVDLHDNAIAGDLPRALFRDLLSLQYLDLSRNAIAGAIPPDVGTLTSLTKLVLSGNRLSGPVPPEIGACSRLQLLDVGGNALSGEIPGSIGKIPGLEIALNLSCNGFSGAIPPEFAGLARLGVLDVSHNRLAGDLQPLAALQNLVALNVSFNAFEGRLPETAFFAKLPTSDVEGNPALCLSSRCAGGRAADRERAARVAVAVLLSALVALITAAALLLYRQHRRARAGEEDKDAAEMAPPWNVTLYQKLEIGVAEVARSLTPANVVGQGWSGAVYRASLPSSGATVAVKKFRSSDEACAEAFACEVSVLPRVRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGGLLHGGGAGVVVVEWEVRLAIAVGVAEGLAYLHHDCVPGIIHRDVKAENILLGERYEACLADFGLARFADEGASNSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLELITGRRPLDPQFGEGQSVVQWVRDHLCRKREPMEIIDARLQGRPDTQVQEMLQALGIALLCASPRPEDRPMMKDVAALLRGIQHDDGAEARKGGSGAGGGDGAAAEGRKWADPKQPISPTKLMALVRPVQSTQAQPESRASSGSQSLLNSRE >Et_3A_025615.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31209870:31214973:-1 gene:Et_3A_025615 transcript:Et_3A_025615.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTFSKLRDAAAPLTAAAVRRCSGGSRIRADANCPRCAAHMSVQVSLQHLPVSPPVTAGGPDSLQYHNHDGAGVCPACRAAFLFRAHRIDPLRGAFLEIPGGIGGEDEDSERGAFADRIKRMLAERPPDDVTQIPQSPPMPIPHYSRRGKSRRRQQRDEGGGGGGGGNGGESSGGEGTSAAPKREWWGGASLGQELPTPREMCRRLDDFVIGQAKAKKVLSVAVYNHYKRIYNANVQKESASIDNDENIVEIDKSNVLLMGPTGSGKTLLAKTLARIAGYVGEDVESILQKLLVAAEYNVQAAQQGIVYIDEIDKITKKAESANVSRDVSGEGVQQALLKILEGTVVSIPEKGSRKNSRNESIQIDTRDILFICGGAFVDLEKTISERRQDSSIGFGAPIRTNMRSSGVTNPMLTSSLLESVESGDLVRYGLIPEFIGRLPILVSLAALNEDQLVQILTEPKNSLSRQYRKMFNMNNVRLHLTDGALRLIAKKAIAKSTGARGLRGILETILLEAMYEIPDEKTGNERVDAVVVDEEATGTVDMPGCGAKILRGDGALEQYITRSNMMNSGETNDGLAGELEETYLLSRFVSL >Et_7A_052360.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7174521:7178515:-1 gene:Et_7A_052360 transcript:Et_7A_052360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSLLCDAEVALIIFSSRGRLFEFSSSSCMYKTLERYRTCNFNEQEVKAPLDSEINYQEYLKLKTRVEFLQTTQRNILGEDLGPLSNKELEQLENQIEMSLKQIRSKKSQMLLDQLFDLKNKEHELQDLNKELRKKLQETSGESARRISWEEGGQSATSGNANEPYQGFLQHPENDSSLQIGYHQHAFMDQLNNQDTGEAHHPNEHGRSGWI >Et_4B_039487.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18730278:18733511:1 gene:Et_4B_039487 transcript:Et_4B_039487.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSFAVTSPSLHRNSFSALPARRFQGWHIRRVRNQVWAQAQLQYRKLGDSDLLISEVTLGTMTFGEQNTEEEAHDILSYSFDQGINTLDTAEIYPVPVNKETQGRTDLYIGRWMKSKPRDKVILATKVAGYSARYTFLRDDAKVVRVDAANIKESVEKSLKRLSTDYIDLLQIHWPDRYVALFGEFSYNSTKWRPSIPFEDQLKAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKVNGLPKIVSIQNSYSLITRCRFEVDLVEVCHPNNCNVGLLAYSPLAGGVLTGKYLDANSDISKKSRLNLFPGYMERYNGSLAKEATNEYVNLAKKHGLTPVQLALGFVRDRPFTASAIIGATTMYQLKENIDAFTSAPRPLPQEVLDGIEDLFKRYKDPAIL >Et_7B_055491.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:1270303:1270590:1 gene:Et_7B_055491 transcript:Et_7B_055491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQQSSGGAGHGAGFPRQNYYGDGGGGGMRSSSGSYSFPTSSVTVSSVPSLASSAPGYGEAAAQWALSETSAWSYPATTVSWSGASSYYTQPPQ >Et_1A_005473.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10723928:10731293:1 gene:Et_1A_005473 transcript:Et_1A_005473.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRHFSCEINSTLDMIYRSWLENDEEITAEMETCAMAFRILRAHEYDVSSDVLSPFAEESLFHNSVQGHLNDTETLLELYKASLLCTLEDERTLDSIGSWTGKLLKQQLCSRSMSRSVMLHEVQQAIKMPFYTSTVQLIEHNRNIEHFSAKSIIMRKSAYILILREKKSRACRATEDILAFATKEFNSSLSLYQQEIECALSSKQFGGYRWVKEVQLGQLEFARLMPLTLWINATSDIFPPEVSDARVAWIKNTILTISVDDFFDGGGSTEELENFVALIEKYTPPSFLILYIHFWKWDTHAEIGFCSKNVEILFNACYNTNNQIGTKAAEVQNRSVIGHIAEWTRKNYVPTMEEYMPVAEVSLAYGPILASSMYLVGPELSEDMVRSSEFKDMVRLTSTCARLLNDLNTYEKEGVQGCVNSVLLHAHHHCGSTSSTSIEAAKMEIRKIISLSYRELLRLLLRGGGTIPRQFKELWWDMGKATSQFYLDGDGFCSMQLLAATNAVVREPLKLDAETVTTY >Et_5A_041704.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:26046154:26047751:-1 gene:Et_5A_041704 transcript:Et_5A_041704.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKCAISSSRPVSQPRFIQHKQEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPAELSHQELKVVDVGGGTGFTTLGIVQHVDSQNVILLDQSPHQLEKARQKEALKRVTIIEGDAEDLPFPTNTFDRYVSAGSIEYWPDPQRGIKEAYRVLKPGGVACLIGPVHPTFWLSRFFADMWMLFPKEEEYIEWFQRAGFEDVKLKRIGPSWYRGVRRHGLIMGCSVSGVKRASGDSPLQLGPKAEEVEKPVNPLAFLLRFVVGTVCASYYVLVPIYMWIKDQIVPKGLPL >Et_8B_060555.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:20902060:20902299:1 gene:Et_8B_060555 transcript:Et_8B_060555.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATADHGRDASTWSCVRSTASVALAGETCGRVEQVVEVPDHREEKAIHDSAIYQYSYHGRVVFSTYCVRPSQPHDIAL >Et_1B_012570.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33366867:33371410:1 gene:Et_1B_012570 transcript:Et_1B_012570.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTSQYMDPYYSHYRNHTPYSYYPPPGWEAGQPRAMDSSYRPPSYGPWPYSAGMHHPHPPEFHCCCNHSYPPGYYGFRPPFPQELPPHLYYHGPFPQHPNEFPPYFARPYSYPTEQTPHDYNKSKSHCCGCPNHVCHGGEKSNVKIEEERLDGKLDKELKGADNSSIIRHPNNQYPVIWLPSGNVEDKDKGKLYELPPQLMNSWVPVGGKWADNVKQQGQDDQKEKQFQWPIVWMPAGYDEPKQKSKESKEKDENSNITEETPPSPKIKIIPLSWFEGQKPTAKSVSSDHGGRSTLESQPTTTEYRDGRSVEGHGKTTPDMSRTVNGERKPARENYKTIPVLSDKETDEKKMVTYRTIPVMTEKEAEEKKPAVSENKEEKKASSAEKKGEHGKGKHSESPTAKHSKLPPVCLRVDPLPRKKSGNGSSRSPSPPTRKDANKAKKDENEAQSQNLEPKQPDTKKHVTVSEVKEKSPEDMKKDMRSSNETVPDASAKHSLEEDNNDQKVQDSSTSVHAQENAGAETLKEVDKSTDRDGIIQSETLKDDSKTFRTNLSEADAAVHIQSAYRGYDVRRWQPLDKLRKIRDVIEQMQGVRKQLQSLEASSKKPTEKEQMAVGEAIMNLLLKLDTIQGLHPSVRDARKSVARELICLQEKLDSLCKQPFSQFDHENEDEISETAERVIHTAAPTLTSEVPDKEEKADGLREAKESSSVDSMELCDAVPEVSMELGQDAYSSELKNQTEESCTNTMEVPCEEVNFHLYVLLSIRLNLDKMKCLGRAAEQVEFQGASSIDMISAMALPEHPTDNHKCRIEEPNAGSLEVTTEEKNIAITDEGQKVPLVDSMEPSPDASSTVDSSGLEKWTASTGQNLYVEELNKEVSPSREIKTTVATESLVNRLTADNVTIDNVVLKQEGSTDDQMTEAVGVERPELKHGVSPAEETPDINLEDLLVSLKDAEPHERDPTTAAGSFTFNEDGQLEEARDTNMHEQDADSTQDANKLSPEAPTDDIEPVASAESAQSALVEPTLESDSGPEQTVLEESNSAMQIELSGQDGSPHVDQNNEVGKLTGGDIDILESNNSLAEEADNTRTETVFPELDSCGLPCPDVDATSGHERPEMKVSLESQAAEQEESVCSHSSCADALVSETDECTGMLKAPAGVMGADSAEEVDERVSETEECNGTPNGSPVCTAGVTSAEEEADNPKEDVAVQTESKSSEEALPTSVTPDALKDGDEKKLAEENQKLKEMLQKLLASGNDQMGVITDLSEKVKVLERKLARKKKPKVRVHRPSRHAMAKVH >Et_1A_009583.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:8650044:8652188:1 gene:Et_1A_009583 transcript:Et_1A_009583.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MECENGHVAAANGVDLCMAAPRADPLNWGKAAEELMGSHLDEVKRMVAEYREPVVTIQGASLSIAQVAAVANGAGEARVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRQNSVAVAPDGSKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLAILAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIEILKLMSSTFLIALCQAVDLRHIEENVKSAVKSCVMTVAKKTLSTNSTGDLHAARFCEKDMLMEIEREAVFAYADDPCSANYPLMKKLRNVLVERALANGAAEFNAETSVFAKVAQFEEELRAALPKAVEAARASIENGTAAIPNRIKECRSYPLYRFVREELGTEYLTGEKTCSPGEELNKVLVAINQGKHIDPLLECLKEWNGEPLPIC >Et_1A_008847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:15883217:15883572:-1 gene:Et_1A_008847 transcript:Et_1A_008847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLGAFVPDTAVRWRGVVTGDVARRMGVTAEAKKLAARLERVGAAARDAEARAARGDEAAARWLANVRAVAYEADGAVDRWRVAARRLKAREQQKQEQHHHHQANSET >Et_6B_049529.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:6027365:6032570:-1 gene:Et_6B_049529 transcript:Et_6B_049529.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPGFLHDHLPVKSVPAGQFRLPRFNLPFGGSIINDLKSLGLNLPLEPSFEVNITEIVQDNAAHGPIYVGEVIHNEEATAAIESDDDDMGFSMDDDCVEPKPVDYIADHPFVFFIIEETSGAIVFAGHGTLPCGKVIAVKRLHAMPGTQEEQFDVEVNVFMKLQPGRS >Et_7B_055233.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8578537:8583103:1 gene:Et_7B_055233 transcript:Et_7B_055233.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVAAAAAANGGAAGAVPAVVSPGAVGVAQPLPTTSLYVGDLEGSVTDSQLYELFSQAGQVVSVRVCRDVNSRRSLGYAYVNFSNPLDAARAMEVLNFALLNSKPIRVMYSNRDPSSRRSGSANIFIKNLDKTIDNKTLHDTFSTFGTILSCKVATDEMGQSKGFGFVQYEKEEAAQTAIKSLNGMLINDKPVYVGPFLRKQERDNSVDKTKFSNVFVKNLSESTTKEDLVKIFGEYGTITSAVVMIGTDGKSRCFGFINFENPDDAARAVQELNGKKVNDKELYVGRAQKKSERETELKRRFEQSMKDAADKYQGLNLYLKNLDDSIGDDQLRELFSNFGKITSCKVMRDQNGLSKGSGFVAFTTREEAAQALTEMNGKMISGKPLYVAFAQRKEARKAMLQAQFSQMRPAVPMTPTLAPRLPMYPPMAPQQLFYGQAPPTMIPPQPGFGFQHVPGMRPGGPHMPNYFVPVVQQGQQGPRPGIRRSGAGAQGQQPAQSFQQQMLPRGRMYRYPPGGRNMPEVPTMPGVAGGMIQPYDMGGFPVRDAVLSPAAQIGTLTSALANATPEQQRTILGENLYPLVEQLEPNQAAKVTGMLLEMDQTEVLHLLESPEALKSKVAEAMDVLRNVAQQQNPNTPTSQLAALSLAEGIIS >Et_7A_052488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8528664:8537311:-1 gene:Et_7A_052488 transcript:Et_7A_052488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRRLLLLLPLFLLAVACSDRAAVLAADEFTYNGFGGAGLTLDGMSTVAPNGLLVLSNGTSQMAGHAFHPAPVRLRNGAGGAVRSFSAAFVFAIVSNFTVLSDNGMAFVIAPSTKLSTFNAGQYLGILNVTDNGKDGNRVFFVELDTMLNPEFQDMNSNHLGVNVNSMRSALNHSAGYYDDATGAFNNLSLISRQPMQVWVDYDGATARLDVTMAPVDVPKPRKPLISSPVNLSAVLDDTGYVGFSAATGVIYTRHYVLGWSFAMDGAAAPALDTSSLPKLPRFGPKPRSKVLEIVLPIATASFVLALVIAAFLFVRRRIRYAEVREDWEVEFGPHRFSYKELYHATKGFKNKQLLGTGGFGRVYKGVLPKSNLEIAVKRVSHDSKQGMKEFIAEVVSIGHLRHRNLVQLLGYCRRQGELLLVYDYMSNGSLDKYLYDRTKPVLDWGQRFRIVKGVASGLLYLHEDWDKVVIHRDIKASNVLLDADMNGRLGDFGLARLYDHGVDPQTTHVVGTMGYLAPELVRTGKATPVTDVFAFGVFVLEVTCGRRPLGLGCAAPPDGDQNVLMDWVQEHERKGAALDTVDARLCGKYDADEARLVIRLGLMCAHPLPDARPGMRQVVQYLEGDATMPEVAPTYVSYTMLALMQNDGFDSFAMSFPSTVTSGVSHVSGGFSSVSGLSGGRIALVTGGNKGVGLETCRQLASRGLRVVLTARNEARGLEAVEAIRRASGDFDVVFHQLDVTDPSSAARLADFVKDQFGRLDILINNAGISGVYRDPVLVAQVKNQVEGMDVNQRVEWMRENSKETFEEAKECMKTNYYGAKIVTEALLPLLQLSSCGRIVNVSSGFGLLRNFNSEELRKELDNVDNLTERRLDELLDLFLEDFKANLVEAHGWPTGGSSAYKVAKAALNAYTRILARKYPALRINCLTPGYVKTDISMHMGVLTPEEGASNPVKVALLPDDGPTGAYFDRDGEASFVNNAAFGGVDYLRDPACGSASSEEEVSSTSSVHSRHLEQRKALLRLCVGKLNKFVF >Et_3B_029408.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24797639:24800291:1 gene:Et_3B_029408 transcript:Et_3B_029408.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADRAMGEGAAANKRIDLAAPLRSVRRADAPPHYKADLKSGPIRHPGAVPFVWEHRPGQPKSVRTRRAPPPTPPRGDHSSSSLVARPEDACGSPYHDALGPEALRGLVAADASWRRATGAAPRKEAAAPAAEAWEEARQQDAVVAPRKQEDGGEEEDEERFSDALDTLSRTESVTMNCSVSGLSGAPNPARGAEPGARGFMMDRFLPAAHAVAVGSPQYTFRKANGTGNSGREHERAAAAKASMGNGDDRMRRAPVQLPHQHLPPNYLSCTYPRREDRDEEEDDDGDDDYDVHSTRGFSSKGCGLLPGLCVKTSLMLLNPAPAMKRGKAQGRGRGPHFTSKGPSPLARSSQNNPMGCDSNGQSWEDVYKHKLEQKYLGQGEDLRSKLTSESNQLTFWSDSQTGDGSSPFHHSIAGGTSPYHSYSVMSPSSKANGSFGMGDRDDKARRSNGSGSLGRDHDRSSLVGSSDHSSFKGSSSTSSGLDRTAHEDSMDRRGDIDPEIGHLGLVLDTKDDGKNLIHSARQEAPLHLEENGVDKKEIMPSQSLLPLPAPKSPSESWLSRALPSVANKPPVPSFLGIQVQWKKQAPWASVHPKENDKKPSRTRQIRFADRAFNCEASEVSRLQK >Et_5A_040359.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:16655533:16655670:1 gene:Et_5A_040359 transcript:Et_5A_040359.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTTSASSRTLSSPPSSPSSRQTTAPARKLSPPGGATSGAPPC >Et_7A_053141.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:7988807:7989892:1 gene:Et_7A_053141 transcript:Et_7A_053141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKGCGLFAYARRGGGELRKRRDSMGAASSRVAPAEPEPEPAGLELELEEDGGAARQLSWAEVEAATKEFTSRVIGHGGFSTVYLASLPSGRLGAVKVHCSSERLHRAFRQELGVLLSLRHRHVVRLLGYSEAQDDEGALVFEYAPNGDLHQRLHHSNDVLPWSRRVAVAFQLAQALEYLHEGRHPAVVHGDVKSSNVLLDAAMDAKLCDFGFAHHAAAVQQRGGRPSARAVMGSPGYVDPQMLRSGVATKKSDVYSFGVLLLELLTGKEAVCRDTGLRLAAAVAPVLGEGDVADVVDRRMGDKYDAAEAAVVAELAVRCISDSPGLRPSMADVVRVLREKTAALASSTVGSKSDRKMMIN >Et_5A_041562.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24430335:24439893:-1 gene:Et_5A_041562 transcript:Et_5A_041562.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLQRSRPVNPRKRPPPPPSSPPRSTPATGDPDPSDAVDAAAALLADAGCTLLVPPHQPPALTYPHAFAARLARSLAADPGALPGRLLTGLDAFAAASPARLRQLLLPTAPHAPSLARALLSVPALQPGLLALLLEKLPEHFNGSALDGLPLQDDVGRLIVAQFRWLDFLVDADAFVEKLVEVLSVAPPRLKKEVIGSLPEIVGDQSHATVVSALEKLLQEDSEVVVAVLDALSDLNLDEMLQDQMMISSIFVSLIFYVSPLYKLYFVLNDVRMWTEQYSCDSAVTVAISCIRTIAADQMPHLLRFLLLSATPANAGRIILQIREQLKFVGVVDPRAARSKKLKGKALVSSTDGAILDTLRSGLRFKNMLCEAFLKELKLVEHPRDHKVIDVWLIMLIYANGGALQKSAEKILKSKILQGCIRETLFDQCIHGNTDLVKEHFMSYLSVSDYLLACKEEKAREFATYLFTALFEEFSDTYSRQELIGSLVTHIGSGVSYEVSSALDIMISLTSNNSEELIPISSHLSNPKTKYCNVGILDYLESFHEENLRKVYDIFCHLALAAGFSTGSGGSKIGNELLMVVRKQVNNPDMKYRRMGIIGALRIVSTIADVNAEVNCSSSQQPNCEEALELLKVAVNSCKFVILPLILLYDELAALLETKVLHTAILEWVGAHATELDTHFLGDLDSGQLPEKYMCDGIEGELWMNLDGDISPVCVNIVPLVSTSTQKSQPCLQILYSQFSLSTIIERLGNEGSLSGINALLGCPLHLPSTKYLDGAKWQSLSGLQKKTVCYSLYYAINWIRELVNAFSTQIASRVDNMSQKARDETAVKLLQRLRNIIFLEGLLNAILKHYPVSLPELRYLGDYSGSTTTSKFNLLKRTGEESIEGVPSNKRQKGRKDKAGSENLNPGDKLKQPTILDAFKRAGVTVSQETEKALSQPLSSGAMPEDIEQEVNDPGELGIIDLMAAPTHLDMQRFKFRTLQVTCLSLLNYSELPIYLYLLRDLHNKLDYLNPSSKPFLSTSQAKYSQTHCPKSTQEFFDKVQAIFLSLRKHLDGAISMIKDGSGSSPDNWSSNSSSAGNPHIPYVVVPRSSIASSVCKEVLACYRKLLAIPHLTNQSNLSVLKQLLQTFQPAENFGDILSEFHPSLTSKNVDYLCCGAYKLLEDIIDPVCSFSYVLASDVLITMQSILSSITVLLEKSGEATGKNMHMGCSKDLTPFLRKRIGASAHKLLACDLPSEDTENGCQSKGDIIPKILQIYLKNSDSNSDPLDELACSVLPKVSSLKTNSKQDASHGFPTLCSSTIQSWYRVLHEENTGALNKTIKQALRTRPLPESGSIESVLGEIYKSVKVFVSLINVCKTHEKVSMHAMAVKHGGKFVDTFLKAFNFLETHFSQHNDIIIKTLKELQKATRTIQTICSEAKSYKRTMVTSKVPATKRSLERFLFQVKALLNKCSAEDLFWLGNLKHKDLHGHVVSSQVYGGGDDSSESEDEQEQTETDCDVPADENGKTMDEDVAEESSEATVGE >Et_7B_055260.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8852558:8858261:-1 gene:Et_7B_055260 transcript:Et_7B_055260.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAQQFTREMTAPRTWFLLLLPLFLFLLARYSSASLFRAKKLATAQREQQQADDGGRLPPSPPALPVLGHLHLVGSLPHVSLRDLARKHGLDLMLLRLGAMPVLVVSSPRAAEAVLRTQDHVFASRPHSVAAEVVLYGPSDVGFAPYGEYWRQARKLVTTHLLSARKVQAFRRAREEEVGRVMARIGEAAAAGAAVDVGELLRAFTNDLACRAVTGDSFRRDGRNKLFRELVVDTSPLLAGFNVEEFFPFLARFGVLSGVVRTKSERVKRRWDELLEPLIEEHESTYQRGASAEDDDFVHVLLSVREEYGLTREQMKALLLDVFFGGTESSASVLEFIVAELMRCPHIMSKLQAEVRARVPEGQELVSESNLTDMSYLRAVIKESLRLHNVTPLLAPRLSMASCSIGGYTIPAGTRVLINTWAIGRDARFWEDAEEFVPERFVDGGGSAAHVNVSGNDFQYLPFGSGRRMCAGMNYGMATVQLMLANLVHCFHWEMPEGKQSRDIDMSEVFGLVVHRKRSFSYSRVRSRVLEHGFCCSSLSSSSSHATRWLRCSHENEEEAGASRAVPSPAIASGAAGARPPPPRRLPPARLPPGLARKHGLDVMLLRLGAMPVLVVSSPRAAEAVLRTHDHVFASRPHSLVAEVVLYGPSDIGFAPYGDYWRQTRKLVTTHLLSAKRVQAFRRAREEQVSAAMEQISEAAAAATAVDVGELLGSFTNDLACRAVMGESFRSEGRNKLFRQLVVDTSPLLGGFNVEEFFPFLARFGVISKVVRNKSERLRRRWDELLDRLIQDHDDESSAAGASDLKDSDDFIHVLLSVRQEYGLTREQMKAILLDVFFGGIDTLASVLEYAMVELMRRPDAMKKLRAEVRGSVPQEQEIITETNLNDMAYLRAVIKESLRLHPVAPLLAPHFSMSSCNIDGLMVPEGIRVLVNVWAIGRDARYWEDAEEFVPERFLDGDSVADVNFKGNDFQFLPFGGGRRMCPGMNFGLASVERMLANLVRRFDWELPPGNSRHDVDMSEVFGLVVHRKKRLLLVPKLQA >Et_8A_057382.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:24102453:24107944:-1 gene:Et_8A_057382 transcript:Et_8A_057382.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAIAPSDLSGGADEDAEEDACRICHLPAEAGRPLRHPCACRGTIRFVCKHIISVAPVYAPNAPARLPLPEFLLGLANKLMGWLFLLLSLVFAIYVWEFVMPLTTVWVWRLALSRTFAQVRRLLSLRFSAFPGLHGLRFMPSSDTILACVSIRRAFLRELPNLRRLNAPARIAADALAPFALWIARFEAHLQTRFGGLDTLQVLALHTVEAALIVAIGDVIFAFVFGFLPFSMGRIVLCCISCFSFGNIDIDHSYTSTVSIILIGYGLILSLALLFTGLHTFQQYSRGEHLTVAVLFKVLLNCVQWLFTPFRMLPGIHVMVDRTFTFWIHFILWIISLANISLNLTLLLVIFPLFFGWLLDISTSKLFGVTISQKLNLLFASSFASTALHWLIGYTCLKLRSLLSSFLWPVLSLRVNIPFVHRARCQVKIQFIGESFYKFYFQMLLDIFSSIIYVTMFILVPVEIVFRLVPTVFPLDIIYFDPPTQGTALWLSPRSYAELISGFVLLRFLICKTLRYLEPGAFVDNVLRYWFAITGHAFGLSELLIVQADGAGESKIGNSATPKDQYGRPNEAKEKRCNVSVRMVLLMVLAWLTAVIFNSAILVAPVSVGRALLIVIPQLPVAGALKSNDLFAFAVGFCIISTIIAASRDSFAYVTSERTHLLVSIVSNWGVTALKSSPPLFIWIIIIPFLIGLLVDCLLISPFLVPANEVPVLDVFCIWFLGTLLLKFWTILVHWTRIVPFLAYFIDERWDRKLTQAREDGFSGLRTMWVMQDVLMPITVKLLSALCVPYVLAKGVFPTFGYSAAVNSTVHRFAWLGSLAVLVSRFVVKLHDSIRDERYLIGQRLQNYADNG >Et_6B_048222.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:1365975:1366268:-1 gene:Et_6B_048222 transcript:Et_6B_048222.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYYCRRGAPCACKISIRTTTSSGRCRAPTLSMSTASSTGSGSATPAFSVATRCPRSSRARRRKRTNRRACRCHRRPRSRTTRECCNHSIKLQSSL >Et_1B_010517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:11188580:11193027:1 gene:Et_1B_010517 transcript:Et_1B_010517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASLSLHLRLRPPLPPPRRPPRCAPFLRPSPSPTLHRLRFASCRPSPSWRPDLRARAGGTIQAPTLPRPGGAIETDRLPSDVRDRAMEAVDHFGGRVTIGDVASRVGLKLAEAERALQALAADTEGFLEVSEEGEVLYVFPKDYRSKLAGKSFRMRVEPAVQKAKEVGAYLIRVSFGTALVASIVLVYTTIIAILSSSSDDDNRGRRRRSYGSTIFIPTDIFWYMDAGYYRRRRVEKEDGMNFIESVFSFVFGDGDPNDGLEEKRWKMIGQYISSNGGVVTAEELAPFLDDDESFVLPVLLRFQGHPEVDEQGNILYRFPSLQRTASSKGGGSREYVGTRWSAMFSGIEKYLEEKPWKFSKANAAEKAMVAGLGGLNLFGVIILGNLLKQMTVAPGGLISFAAQLYPLLQIYAGSFFAIPLFRWLLLRKTNNDITRRNKAREQRAQELVSPDSSLRRKLLSARDMAQRKVITPEEIVYTTEKDLSDQDYEVKEWERRFKELESE >Et_4B_036005.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:12269229:12269720:-1 gene:Et_4B_036005 transcript:Et_4B_036005.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLHLVHIKILAADLLSLTVQPTSPPSFLRCGRTVARAEIVGVVVSRDRREKFLRFLVDDGTGCVPCVLWLNHQHLNANSSSRALDSDPTAEMALKMSEEVRLGTLLRVRGRIVMYRGAIQIAVRDVVLEKDPNVEVLHWLQCVHMAKECYDLSPPAAQHAS >Et_10A_000360.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5374389:5374580:1 gene:Et_10A_000360 transcript:Et_10A_000360.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRAGPSKKQRQQVAVYTCWNIWKERNRRRFQRKAATVEQVEDLIKQDVDLYRQAMGETISE >Et_10A_001481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:3985892:3986638:1 gene:Et_10A_001481 transcript:Et_10A_001481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAADTRATADMQEGGGDKSGPACSHIDEAASNVSITGRNESQPNMRINFRVPGYTIFMDDGNRTQVENKEHDVAVNDVEGYTMCNLLADLKNIAKWGMCQDPVFWYWDFEKKGCQCLVTDDDLKMVFQKNQPHKFVSFVVEFPIKPAYKSSMTVEAKLEKLPVRRNPNLPKETSSETESDEGSGDDTEENVDQSLQLTRKNRRFKRVRPYHAWTTLGWL >Et_3A_023353.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:22279786:22280325:1 gene:Et_3A_023353 transcript:Et_3A_023353.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTLSSTFSLLARPSSSPSASLARSSVAVPLRRGRRAVAVASTATESPKIVELGDAIAGLTLEEARTLVDHLQERLGVSAAAFAPAAVVAAPAGAGAGAEAEAPAEKTEFDVVIDEVPSSARIATIKVVRALTSLALKEAKDLIEGLPKKLKEGVSKEEAEDAKKKLEEVGAKVSIA >Et_9B_065697.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:9236642:9237075:-1 gene:Et_9B_065697 transcript:Et_9B_065697.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDDTGGSNFIIVEGGCGKLSRISSALHAEALAMHGVELSVQLSWECCTSFWKQTSPLFYGMLYVTMRWTVAHLGACFDKSRPSDSVASYGSLALASGSLFVSQAPEFVASLVSGDLPRAEC >Et_3B_028122.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:10556065:10558267:1 gene:Et_3B_028122 transcript:Et_3B_028122.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVLSKPTVLVAAVAVALLCLQLPPVTRGQLQVGFYNTSCPNAESLVQQVVANAVANDSGIAAGLIRLHFHDCFVRGCDASVLLTSPNGTAERDAAPNNPSLRGFSVIDAAKAAVEQSCARTVSCADILAFAARDSINITGNVSYQVPSGRRDGNVSLAQDALTFLPAPTLTAAQLVANFANKSLTAEEMVLLPLNLGLFFSDNQLRVNATLNASVNSFAANETLWKEKFVAAMIKMGNIEVLTGTQGQIRQNCSIVNNGSSSSVAAGIQQTPRATASLDEIATS >Et_2A_017684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5040638:5044356:1 gene:Et_2A_017684 transcript:Et_2A_017684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding PIYASPSYTAACLIVLLLPPSVCSSQALAPSFLLLASIMDARDDFFSDFMVLHPDKGGFRTLLHLLCSCNVADNDAVDCPIGTEVAERWRRWTIFVSLIAQMILLWMKRPMAAFGKAIEYWMNLVTDNGGSVFMLIRNAMQGKVRFPDRDSPRYRSFIGLIDTRTDLDKKIRTTDSNYHAALGIMAAKLAYENELVIKTVVKDQWQMTFLEYFNCWNEFRGDYTTQAFMCADKPADAELAVIAFRGTQPFDAEQWCTDVDFSWYEIPGVGKVHGGFMKALGLQKNTGWPADPTDAEQRAPNGAGTETRQFAYYAIREKLRAFLKANPRAKFIVTGHSLGGALAVLFPTVLALHDEKTLLDRLAGVYTYGQPRVGDAQLGRYMARYLDNPRRYFRFVYCNDMVPRVPYDDASLLFKHFGRCLYFDSLYRARSMAEEPNKNYFSPVFVVPKYANAAWELVRGFLIGYVDGAEYAEGWVMRAGRAVGLVIPGLPPHSPLDYVNATRLGAASLDLLLRDQ >Et_4B_037063.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:13985015:13992867:-1 gene:Et_4B_037063 transcript:Et_4B_037063.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRASCAMDAAALQYENQKLVQQLEAQKAEMHTLEGKFKALRDEQCSYDKTLISLNKMWNQLIDDLVLLGVRAGGNLDNLHSLDHDELSEDFIESCPSEEIFLFRLLKSANLKNNNDTSLLQIVEENLAFRRSATVTLMKSLQEAIASQKARSEYLSLALKGQKSNEDVIVVLQNHNYHLKEVVDNATQAISIINEKHKRYLDEIETFKSKHSMELQEIKRLSGELEESIAELEESQRKLVVLQLQRHGSLMDASSANAVNGGVSTDKSSDKSMSWQDLKDAVEEAKTLASNRLFELHETQEDNLILSKELGDLEIQLKDDNYVFVSKPYVILNDQLQHLNAEIERYRGLVEVLQNERDQLIQKENEICAKAESIDNIRLNITSYETKIEELEHQVQILMAEKNDLEIKVEETLQDSGKKDFKDEIHVMAAALSKEIEMMENQLNRSKDAASEALALREEANTLRTLVAKKIGEQKAVSDEYNSQVIEIKSLKTLIEELEREKQELQFIADMYAKECSESRTIAEIEESENRARSQAEYLRSNLEEHNLELRVKAANEAEAACQQRLSIAEAELEELRAKVDASERDVLELKEAIRIKEAEGDAYISEIELVSDSVKMKQASSSLLSEKLMLQQQLQQVNTSLESYKLKIARGEDQMKACVAQAIKTSADNRHLTISLDRTALEVSNTEKELKWLRSSVGSSEKEYEQTQQKIAELRMLLEHERSERRKLEEQYVEVEKEVMELTSESEETTIQKLQDEIKECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLEIRHRKCPGCGTPFGQNDVRESSRSILEAKHVNVLCKYSLLLIE >Et_10A_001285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:2319782:2338440:1 gene:Et_10A_001285 transcript:Et_10A_001285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ENVNTNSLSDKIAISTLNKQFKGNQMKKATMLCILQVQQSWVEILVTNLRLREMIHEAAQTKNHQAKGQNMNTFHGSNSLDLEYEDFNDLLFDLYKFLGCCKLQQLASANHKDIIYRSSNLQIFCKKNFNRSVQYNISDLMTNFLFRTENPNKAMVCFPVFRRRRSSRSQIVQPDHDIPIAGNIKIYSLKELRKATKNFCPGNKLGQGSFGCVYLGKLKNGEKVAIKVLSSESRQAMKEFLNELGVISNVTHPNLVKLLGCCADGGQKILVYNFIENNSLAQILFGSSHSGIRLNWRTRVKICIGIAKGLAYLHEEVRPPIVHRDIKASNILLDRDLNPKIADFGLAKLFPGNMTHISTRVAGTLGYLAPEYAIRGQLTKKADVYSFGVLLLEIVSGRCHTDLTLPLEDQYLLEKVWMLYESGDLESIIDRTLQRDFDAEEAHRLLKIGLLCTQDATKIRPSMSTVTKMLKYECAVGDKIMRPGLITDVMDLKVRAVEPVQLSPSMSPIVDNSQVSTLALAGSTLVGSL >Et_4A_034526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4049195:4055754:1 gene:Et_4A_034526 transcript:Et_4A_034526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGLHLRRLHHHRHRIRLLSTSSTPPASSAPSTSASSSSPLPIAAPPPQPHHLAPHHRWGGRRLGPLLACSTLSLTAAGTLYLTTDNLEETLERSRASAGRVVQQMQHTWTALGVLCKSLLSVLSSANQEVRSGFELRVAALLADITAASAARRAAIVSAGGGAVVDWLLDCVVRGATQGEAARALSHLVSDPWVAPSVLGRPGAVPCLLKFIFSYQPKRGKKNSRHSSFDGSDHSKGRSMLVAALMDIVTSNCDNADYSSFQPLLPADADTRDIAAAIEVIEQGGMHFDDHEDNSSNYGDTGLKGIGIKVLGGTTILGFSRGNNSLELGNTNDEIMELSHDSKRSVVHKAAIESPLVEKVSSSAVPGLWDDLQREHVAVPFATWALANWAIASDLNRTRIQELDNDGHAVATALKAPERTVKWHGTLVARALLEDHKLTLPATVPDWCSSLLSTASQATENNDTPLGQLSLSTFLLSMVRCNESKFVIRQKGLHPLRSIAKKIENQNGQNSMKESIAVALGLLYAGEVPLSLEESQRWSGILLRWLFDKSVSDKTHLTAVKILSSILEDYGPASVPISQGWLALALSEILGDNKAQNLKGTTPPEPEKVKTPVDYHNATTATQVLNQLASAVVKLASIQLDNESGSDDKVPLSDFLSLEPFATALKSLNKKSPPKFDAADSASATLKGIKALAELCSEDGACQKRLADLGALSLLRHILLGDDYEKLAAIEAYDASRIREVQDKNVSASNVSSNDATTDPSSVRVPPAAHIRRHAGRLLTILSLLPGSKKEIISDDVWCKWLEECASGRIPCNDIKLKSYCRLTLLNIFCSENLNTRMASDEHPDSESEYKRKCPQFGDALFLLNPELPLEIHLDNTGLGISKVTRDDCDGDRCTEDSGPETGTSVDGAEGASKTAPLMDVVFVHGLRGGPFNSWRIADDKSSTTKAGLVETIDEDAGKEGTCWPREWLAADFPQARFFTVKYKTNLTQWTGASLPLQEVSSMLLRKLVAAGIGSRPVIFVTHSMGGLVVKQLLHQAKLNNYDKFLNNTVGLVFYSCPHFGSKLADMPWRMGLVFRPAPSIGELRSGSPRLVELNDFVRQRHSKGLLDVLSFSETQVTPIVEGYGGWALRMEIVPIESAYPGYGELVVLPSTDHINSCKPVNKNDPSYAETLGFLEKNFKLRLKKEDS >Et_3A_023161.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:33350455:33350925:-1 gene:Et_3A_023161 transcript:Et_3A_023161.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRRRRFLTSVPTASRHRRCASSDGFFAGTSNLLKNSIDLFRRNAMIDRGLGRWTNSIDHCACLSTACVWTSQVCTSIGCAQWTCASRSPSLFWIVVGREEDMGRGFAWSAGLRTCLPRSPGNRERSCCFDEIRRVTTSQLDLQHARSQSPMHRG >Et_4B_038274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:27804591:27809845:1 gene:Et_4B_038274 transcript:Et_4B_038274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEEFVDYDQDDEDAMEEDGRAARALPVPHIVSPAVVRTRGRFAGRSPSVLASSRDIFDSIPDAGGQGQGPQRSIEGWTILVSGVKEDAEEIDLHDVFSEFGHIKDLHYNLERRTGYAKGYALIEYGSFEEAQRAIRAMNGAQLLTKTVYVDWAFNRGPIQNLMNTRRGQLQCLFDMERLIIAGL >Et_2A_016751.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27919810:27923567:1 gene:Et_2A_016751 transcript:Et_2A_016751.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLPVYEITVDEDGFFRTCPDCPGSPFRSFTEAAAAIKRVHFPGPTTREWLMEAEEDGMRWMKKEAIQAFKSYLSSVETKGIKYKFQKLDYQCLVYDSHPKVYHHYNFTMKIKMPSEKHWVNKAFFAEVRRSTMINEKHYFCCPLQRTDNGRCFGCSNSGVHRLRNPANGGYEEGNMDSGFPFDACGD >Et_8A_056517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:11258086:11263380:-1 gene:Et_8A_056517 transcript:Et_8A_056517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNRTSHETRSDHTCAPAVCDNRQPSIRLEDLPRDVLYKILSKLPSKEIARTRVLSSGWSWSTCPRLTFDGVSVCKCDRADLHQLIGKFIHEVNAVLQKHQGMVVETLEVRIDFVNDLLIHHLNNWVGFAVSSLTKNLTLDLKPDRFWEYRDRYVFPFEILDTESILRLQQMQLSFLSLKPPAHFKGFPNLRKLHIQSLHVSRKDLEHMLFHCCNLEWLRIDRCDLNDEIIMDGSMSRLLYLHIECCKLTRIKLLAVNLVTFLYEGTFIPIDLSHSSKLENAYIRFREAGFQHAVTSLLSGLPYVQNLTLRIAWQHLEVCSCNILEVTVCLTCLADYSLTCFLFQKQWMWDNPLKFSHLRHLQLFFSTYPKDVEKVLYLVSLLKATPFVEKLEIHFSGDPLWLADVGPRRQELGQCKYSSLKDVCITGFKGARGQVELLLHVLENAPALEVVIVNTNQKASKEFWPYGGTGPPFEEAKRIALTSLSTALPLNTALKGSRNYEALPAPGWIFQSSCEAA >Et_1B_014196.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35161125:35161849:1 gene:Et_1B_014196 transcript:Et_1B_014196.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHIQLDRYRAVSLFSHIVASGHRLDGFAVVGALSASGAVGNLDVGKAVHGLIFRHGLNSESLRMVLAGHHPTHVTIVGVLSACADIGAPDLGRVIHGYGSKYNINKNIIASNALMDMYAKSGSIEMAFSVFEEVQVKDALTWTTMISSFTV >Et_3B_030106.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30567355:30568057:-1 gene:Et_3B_030106 transcript:Et_3B_030106.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEQSQRRFIAIPFSSGCRSTSSVDVVDTARQAGKKPQASELGPASVGAPGKPTGKGESLVARLLRGFKNLSQIFAVYDEDDDEEEEREMVIGLPTDVKHVAHIGWDGSTNTTTSLRSWNRAAPPPGSSAAAASTSSSSAAAAAAAPQPQQLQQGVAPPALAALSMRQFELAMAAQAAAGATTSAAAAAHRRHS >Et_6A_046605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18045466:18054769:1 gene:Et_6A_046605 transcript:Et_6A_046605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRAPPAPAPAPAATHHHHHHPLLLLRLRPPALLLPAVGLPLGRLRLARGPAATATAAAASVAPDDISQLNYRLRHIARRRDAASAAANAGPVDTAQAEAYLRMIRDQQRLGLRQLRGEEQEEGIDADGDAEEDDGGGGGRKGVAAGSSLGHRVDPRELEPGEYVVHKKVGVGKFACISAEDGVDYVFIQYADAMAKLAVDQAARMLYRYNLPHEKKRPRNLSKLNDPSTWEKRRLKGKLAVQKMVVNLMELYLQRMRQKRPPYPKPEGMDEFAAEFPYEPTPDQSQAFIDVDKDLTERETPMDRLICGDVGFGKTEVAMRAIFTVVSAGYQATVLAPTVILAKQHYDVMSERFANYPQIKIAIFSGAQSKEEKDDIITKIKNGDLHIIVGTHALLTERMAHNNLGLLVVDEEQKFGVQQKEKLASYKSSIDVLTLSATPIPRTLYLALTGFRDASLMSTPPPERVAVKTYVSAFSEERVLSAIKFELQRGGQVFYVVPRIKAIDDVLQFLKDSLPDVPIAVAHGKKVSRTIQLAMEKFASGEIKILICTHIIESGIDIGNANTMIVQYAELFGLAQLYQLRGRVGRSGREGFAYLFYTDKSLLSRVAMDRLGAIEEHSDLGQGFHVAEKDMGIRGFGSLFGEQQSGDVANVGIDLFFDMLFDSLSKVDQFCLLPVPYKDVQLDINISPHLSSEYISYLENPVELLNEATQAAEKDLWTLIQFTEDLRRRYGKEPRDMELLLKKLYVRRMAADLGISRIYPSGKMIFMKTNMNKKVFRLMTEAMTSETYQNSLSYSGKQIKAELLVSLPDTLLLNWLFHCLADCYAVIPALVKGMLDAKLQICISTFADSNVNECMY >Et_9B_064884.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19544486:19545302:-1 gene:Et_9B_064884 transcript:Et_9B_064884.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPSSSLRALALVPSPLPSAARNGVAVPASRAGCRRRRGAGLVALAAALPSDAQWLERLPEKKKPLYTHSLPCIEAWLRSLGFAQTREDPAVWVAEKPLWHARLSLDVTDLHIRYLKSGPGNLEKDVERRFSYALSREDIENAILGGP >Et_4A_032464.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:10412045:10416066:1 gene:Et_4A_032464 transcript:Et_4A_032464.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIFLCLSIWAVSAASAGAVSDMEALLEFGRGIQQDPTRRDAAPWNPNSALDSDGCPVDWRGVQCSGGQILSIAFDGIGLVGKASLSALARMPALRNLSLSGNKLEGVLPRELGSMASLQLLDLSGNRFTGSIPSELTKLAGLGYLNLSSNSFGGALPVGFRNLRKLKYLDLHGNGFTGKLDDVIAQLQSPVHVDLSCNQFSGSLTSMSDNSSLVSSLQYLNVSHNVLSGTLFESDPMPLFDSLEVFDASFNMLGGNIPPFNFLISLKVLRLQSNNFSGSIPEALFRETSMVLTDLDLSCNQLTGQIIFLSQSQLMSKLHSGPIRRVTAMNLKYLNLSCNNLQGNLPITFGSCSVIDLSRNMLSGNLSVVRTWGNYLQMIDLSSNRLTGTWPAETTQFLRLASLKISNNLLAGELPAVLASYPELIAIDFSLNQLHGPLPGNLFTSVALTYLNLSSNSFSGTLPLSNPGTKNSTSIALSILPVQTSNLSFVDLSNNSLSGSLTWGIGDLSALTLLNLRENNFTGQIPKTITKLKNLLYIDLSSNHFNGSIPDGLPDELVEFNVSYNDLSGSVPSNLLKFPDSSFHPGNELLVLPHSESSNASDKSSERKRGMKRGILYALIVCVVVFVTGIIVLLLVHWKINSWKRSEKGTGQSKQPVTQGQSAQRSAETATTEVRDVSLGSSPTAEYEAASVPGIDRQHSQGVPVEGAFFNEPTSSSSAPKDSTKSLMPSLTSSPPDARAQPHHSVLRVHSPDKLLGDLHLFDNSLAFTAEELSRAPAEIIGRSCHGTSYKATLDNGYMLTVKWLKEGFVKSKKEFSREIKKLGSVKHPNLVPLRGYYWGPKEHERIIISDYVEATSLSTYLSEFEERNLPPLSAGQRLAIAIDIARCLDYLHNERVIPHGNIKSSNILIQNSTPSAALVTDYSLHRLMTPIGMAEQVLNAGALGYSPPEFSSTSKPCPSLKSDVYAFGVILLELLTGKIAGEIVCVNDGVADLTDWVRMLALEERVSECYDRHIVDSESSEGAPQALDDMMRIAIRCIRSASERPEIRTVFEDLLSLSS >Et_1A_009628.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:9850651:9851736:-1 gene:Et_1A_009628 transcript:Et_1A_009628.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYNFGDSLSDTGNLLREGDATGVLQYTTGLPYGSAIGGATGRCSDGYLMIDFLAKDLGLPLLNPYLDKAADFTNGANFAVAGATALNATALARRGVVVPHTSSSLAVQLQWFKDLMSATTKSPQEIRDKLAHSLVMVGEIGGNDYNYAFEANKPTAGGERNFYNFGRVITGAVEAMVLVPDVVRSITSAAKELLDMGVTRLVIPGNFPLGCVPSYLSAANEKDPAPYDANGCLTGLNFFAQMHNVLLQESIRELRASYPAAKIAYADYFYAYVQTFKDAGKTGFDKASVTKACCGTGGGGYNVDMDRMCGSPGTTVCAKPNEHLSWDGVHLTQHAYRVMTDLLYHKGFASPAPVQFPRS >Et_3A_023595.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:9565697:9565927:-1 gene:Et_3A_023595 transcript:Et_3A_023595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRAISPPRHNNLFFITRWPAMRAHPTWHRPVSHLFFLLFFISHTRLTRLLHHLTSPPSTRRSSHRSNNYRNIIE >Et_2A_017392.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33961005:33963224:1 gene:Et_2A_017392 transcript:Et_2A_017392.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATALRTGAAILRALPFASAAPFHAHALKLGVLPSCLHLCSALLKSYAASRRLAAARQLFDEIPRRDVPLWNTLLSAYARSEEPRHALEAASAMAYAGSRPNSVSVTTLLSACAQMRCPLYGRELHGYAVRNIHVIDLPMLNALVNMYGKCLRLAGARTVFTGMGVMRSVVSWTSMINACCENGRPAEALEVFEEMSLAGAKVDEVTLLAVISACTKLDCTPSLGDWLEECAHENGFLGNTRVANALIHMHGKMGKVRRSCAVFDLMRVRTVVSWTVVIQALAMNGHGVAALVRFTQMLRQGFQPDEVIFLSVINACGHSRLVKEGRQLFKSMVEEHHITPWMEHYGSMVDLLCKSGALNEAFEFVLAMPVKPDPVIWRVLAGACRDHGNANLARKVVDHVIEMEPDHEGNYVLASNLYAANEDWRRVVDVRLDMGVWKGTSRCDTALSYVEVNETLLSKPSHVINNETKEISKFQGLDLDPVRKLDSPEYLPREKARRAAVAESDDAGLVTWMLGDY >Et_2B_021931.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:748270:749437:-1 gene:Et_2B_021931 transcript:Et_2B_021931.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASTLSVAAAPADPSFPPFPLLEEDGGHYEHEQQREEELPHGGELELPVVDLEAPGEALAAACRRLGVFRVANHGVPADLSARLFALARDLLGRTPFQEKQAQPGYFWGTPALTLRVKDVNWVEGFHVALGQKGSTDAADPHFSEFSVHPCLLVVPPVKNKHWFVFIDFYHYTSCRTTVIRDVAGEYGEHMARVARKLFDSMAAALGLDTDQTATYLAERDGALRVYRYPPCPGSSHLGMEPHTDSSVLSIINQDLVGGLQVVHEGSWRDVAPADGGDGTLLLVNLGDMAQAISGDAYHSVRHRVVASRDKGRVSLCYFAHPHHDAVLTCPGGSRYRPFSYGQFREQVQADIKAFGTKVGGLRPFLRH >Et_3A_024503.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:21393019:21398489:1 gene:Et_3A_024503 transcript:Et_3A_024503.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASIDEAGALLARSDSAGRRRRSTSPVRSAWPRPPGARGGHLRRQSSSFREDVEHAASETYLVSRLTFSLLKYLGLGYRWMSQLLALTTYAILLMPGFIQVGYYYFFSSQVRRSIVYGDQPRNRLDLYIPKDNSRPCPVVAFVTGGAWIIGYKAWGALLGRRLAERGIIVACIDYRNFPQGTIGDMVRDASQGISFVCNNIANYGGDPNQIYLMGQSAGAQIAACALMEQAGKESSRQPVSWSVTQIKAYFGLSGGYNIHNLVDHFNERGLHRSIFLSIMEGEESLSRYSPEIVAKKSSAETIALLPLIVLMHGTEDYSIPSSASQTFADVLQKTGAQARLLLYEGKTHTDIFIQDPLRGGRDPLVEDILSVIHADDAIARQKIALAPIPRRLAFEWQLKLARRVSPF >Et_4B_037534.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:20918505:20923019:-1 gene:Et_4B_037534 transcript:Et_4B_037534.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVYYKYKSEKDVYSMPLAYSFISVSELKQLILTSDRHGRGRTCGRGPREGIAISNAQTGEDYTDENAMIPQNTTVLVRRVAGQLSENIVLVSSRKVTEGCSVPSNKSVVTDSSSKSLSSTEIQDEDAAITAVIDAAELKLSWSIGRGDTSTSCGVPGHFIQHCTQEMKTPPPGYICYRCRIPGHFIQHCPTIGDPNFDKNKMARSLAPVVTPSPVDGILESLVSAASGSAVDDLPAELHCQLCKKVMTDAVLTSKCCFDSIRDYIITQSKCICGVKVLADSLIPNHTLRSTISNMLSTRAGSTTSGTTKHRSSSGSNLDPKVQGHAPSAVSEQEEMKQPIDHIVSEAAAPDGGLRDATEGDLVNQPLKKLVASVDPLSKDEVSSAELSVEKAAASAENIKLKDGSESTLKVTTVSGNLDHNAPRTDQPKKKRKKAGSTKIVQPNNAEFGYNIPLDPSCYNPFVGGGYPWVTEPYMYGPMGMPYGGYPMGPYGVNPMNSMPLQALPMPGYPPNYHRHEAQPTLKRGTEATVRSRLSERPKDTSTQSRPSECNRQRVSSHGSESRNRTRSSPERRDHRRSDRASDDYYEDHSRKRMRASSPVDADRQSSRRSRHSSRSLTREDSSDDERNFKRRWGRRSSVTLDSRH >Et_4A_032215.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:24668655:24670043:-1 gene:Et_4A_032215 transcript:Et_4A_032215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQRSAPFTALNGDVNMYELTGEPGQGWRTVECASKKAYGCGDLGQEVVESLRLYTRHGDYPLLTSSLAIRTSDDAVRSIGAELVVVATRKNKTPGLCVQAVGTILQVTDERLICIMLVFHNEYLTTNLVYYLVYDDVDASLSLIKYLQDHHKAACTQTPALNRVSDVVGGSGYELVLPARCINDGDQGVLYMCTPESITANPSSTADMDPWKLKGWRFPEEVEQPFSADLVFTFKGKAFWVDFSQGCLMYCDPHTTCGAGAFVNFFSIKLPPECELDLYAVSDDEPIEMVRTMGCVGDSIWLVCIHRCNNHNCADDYLTIWSLNLAERRWKQEARKSARMIWGLVGFKNAGLPEVILDYPVLTADGALCLVLPDPRLEDASDEYGPVVERICCIDVRRWEVLWHGRVHDYHTTSPVVMPSDFFRGQRVLSKEDVSRASSSGIRLKLAGLLAVLLPRNFK >Et_7A_050492.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19581000:19581286:-1 gene:Et_7A_050492 transcript:Et_7A_050492.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPPWNIILRLLLCFVVIRSDHNGGLAINGRKMKKEDYAQLHLKECWLSFIGHLISASFLSVCCVVIYIKLYTGLGLSFRDTC >Et_4A_033437.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:22825511:22830080:-1 gene:Et_4A_033437 transcript:Et_4A_033437.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKIIKRGARKGARGEGAEPAPRPAAPSSSTGVAGGGGAGGAAGGAAPVTVNHASRASAPSPSSPTSPHLAPAAFQANQAAVAASQSSQSLLEPLPLLRDVAAADRPNLLLRKLRLVAALFDFSDSLKHPREKEAKRQALLELVDYVQAPAQGANAPARLPDHVQEALVAAISANIFRPLPPALHESAAAIDPGAAPDEEEEPYLDPAWPHLQLVYELLLRYVVSPDTDTKVAKRYVDHAFVLRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNVFYRFIFETQRHNGIGELLEILGSIINGFALPMKEEHKLFLSRALIPLHKPKSVAIYHQQLSYCIVQFVEKDYKLADTVIRGLLKYWPVTNCQKEVLFLGELEEVLEATQPAEFQRCMVPLFKQIGRCLNSSHFQVAERALFLWNNDHIVSLIAQNRGVIFPIIFEALERNIQSHWNQAVHGLTANVRKMFLDMDSELFEECQQQYMEKQAKAKEMQEQRESAWRQLEAVVAAKSAGDDM >Et_2B_022491.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:23565563:23566183:-1 gene:Et_2B_022491 transcript:Et_2B_022491.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRGTDTVAVLIEWVLARLVLHPDAQGRVHDELDRVVGRDRAVTEPDAASLSYLHAVIKEVLRLHPPGPLLSWARLATSDVLVDGFLVPAGTTAMVNMWAITHDPDVWADPAAFRPDRFLLGPSSELSVMGSDLRLAPFGSGRRSCPGKSLAMATVAFWLATLLHEFELLPSSDPARGVDLSEVLRLSCEMAAPLTVTVRPRRAM >Et_9A_062638.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:2672310:2678504:-1 gene:Et_9A_062638 transcript:Et_9A_062638.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRAKTTMAPPSDGEVSIQKVEKIELVYNLLTKPSVYGKPIGANTKHPAVVHDISNGKKSGNIGWGSAQKNTGWGIVSVEDINKSVWVCYWKETCVVPNHILRF >Et_10A_000198.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:16930340:16930807:1 gene:Et_10A_000198 transcript:Et_10A_000198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEKGCFTAKLYLAPLNLNSTVSCWLVNMAAFEMYTETHWEDDCAINSYLSVLSLLMNQKEDVRQLRAKRIMHGNSSDQATLEFFKGLGSNLSEGQSYVQFISDLEEYKQKRRLWIAIYKFVYINAKTIAAVLSIVSVLAGIFKTLYSLKQNQK >Et_1A_008632.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:9293686:9295463:-1 gene:Et_1A_008632 transcript:Et_1A_008632.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATAIAIREWELDFCSRPILDARGKKVWELVVCDATLSLQFTRYFPNNAINSVTLRDALASVADELDVPLPDRVRFFRAQMQTIITRACGELGVKAVPSRRCVSLQLWLEERYETVYSRHPGFQAGTRPLLALDNPFPTSLPENLFGDKWAFVQLPFSAVREEVESLKRRYAFGAGLDLDLLGFELDDSTLVPGVAVESTRAKPLAAWMNGLEICALEVDTTRAILILATGVSTRYVYAGYQKSPAATQEAEAWEAAKKACGGLHFLAVQENLNSNGCVGFWLLLDLPPPPV >Et_4A_033255.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:20578272:20579422:-1 gene:Et_4A_033255 transcript:Et_4A_033255.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSSPTSSSPSPPPRRRNGSPSHSSPNGEAPSTSCTSQGHGHAKNALVVLAGQVSDEGCSLMRKRLMLVPAPRAGGNDAAGEGGRAASRWCLRRRTWRWTGGGLLRHPVLRARVGRRAAAYAWVGNPDGTKFQCAENISSVTAGYVPHPDIRCAWPFTHQPTPNGDLGMDADGVSTLAGAVTNLYRASAWRRVLAARVPARNACPGAYAGELLLVDEATGARYNANGARGRRKYLLPSPLFDPNTSVHLLQHLCVKCARRLFNRALE >Et_2A_018338.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:23828938:23829219:-1 gene:Et_2A_018338 transcript:Et_2A_018338.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPFVPMEERAPEQGAACGGGGGGAAAKKEQKEHEVLLVHSQVRRIKQEDEEIRERLLKLQLLEIRPLVTRRAMRSLSPLRRAGNAIPVGE >Et_3B_030552.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4974356:4979213:1 gene:Et_3B_030552 transcript:Et_3B_030552.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVETYACSPATERGRGILLAGDPKTDTIAYCTGRSVIIRRLDAPLDAWAYQDHAYPTTVARFSPNGEWVASADASGCVRVWGRYGDRALKAEFRPLSGRVDDLRWSPDGLRIVVSGDGKGKSFVRAFVWDSGSTVGEFDGHSKRVLSCDFKPTRPFRIVTCGEDFLANFYEGPPFKFKHSIRDHSNFVNCIRYSPDGSKFITVSSDKRGLIYDGKTGEKIGELSTEGSHTGSIYAVSWSPDSKQVLTVSADKTAKVWDIMEDASGKLNRTLACPGTGGVDDMLVGCLWQNDHLVTVSLGGTFNVFSASNPDQEPVTFAGHLKTVSSLVLLPQHNPRTILSTSYDGVIMRWIQGVGYSGRLTRKNNTQIKCFAAVEEELVTSGYDNKIFRIPLNGDQCGDAESVDVGGQPNALNLAVQKPEFALVTTDSGIVLLHNSNVISTTKVNYTITSSSVSPDGTEAVVGAQDGKLRIYSISGDTLTEEAVLEKHRGAITSIHYSPDVSMFASADANREAVVWDRTSREVKLKNMLYHTARINCLAWSPDSRLIATGSLDTCAIVYEIDKPAASRITMKGAHLGGVHGLTFVDNDTLVTAGEDACIRVWKLVQQ >Et_7B_055285.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:984145:986080:-1 gene:Et_7B_055285 transcript:Et_7B_055285.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAALAVTDEVALPIRAVGDLAAAADVPREEVAVITQCEALGGKLPFDDASVGAVLAVIKNVESLRDQLVSEISRVLKAGGRILVQNSAPSSNLKPNTDIERKLLMGGFVEVQASAASTQDIVQSVTIKAKKASWSMGSSFPLKKATKALPKIQIDDDSELIDEDSLLTEEDLKKPQLPVVGDCEVGATRKACKNCVCGRAEAEQKVEKLGLTAEQVNNPQSACGSCGLGDAFRCGTCPYRGLPPFKPGEKVSLSSNFLAADI >Et_5A_041661.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25590308:25593059:1 gene:Et_5A_041661 transcript:Et_5A_041661.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQRAPALQHVWEDLKQPEEKEEIELNKRFLEATIRRTKSWTTLNTALLNLGGGRFCIAKVFSERKSVRLHLEECDEDGGKFVVLTGVDVLRGGDSGEVGLRMVKHKSKRYMFHDDDELKWMIRRYVNLVAAKKSSRTYSLHRLDVAKHLFYPSTAEAVAANAANKDINGNDKPAKIGRLRRLPPASMSFQRFPPTTDNCRSPPKDTFMLLIPSSSESRILHVTEEGPGFLYDAGARSMSTVPSRNGPEGLGPLFISIAGTGNEKDSIYLLNSHGRHPSFEVLHFNEHPLKWQHLPLPPFFDKSWCPSRSFTVLDGGHTICVCL >Et_2A_017417.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34138879:34146869:1 gene:Et_2A_017417 transcript:Et_2A_017417.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding GQNPRGVPACKSRPSKKRLCELPDNVEVHIVDDDDDSNKGYSDASRQLVLYNPVITHDKQGAVEVTESVNHNTSHQSFKKPKFGSSTVLPSIGTYTVQCANCYKWRVVPTKEKYEELRESICQELFVCERAHEWNRVLSCDDPEDMSQDGSRVWAIDKPNIVQPPPGWDREVRLRGASSKFADVYVTLSYFIELELQYYLWSVLHFSIWEKLRSGVEIARYLEQNPHYIRQGVNLSQFSFATPKPLHEDYVQKHIPREAYGLPELDEVAQVDPLCWAAPPTRRELLGEHGSSSSDHVDLHQAEMPGHAHLHQSGVSESPAPRSKKRTVKQVSSRKHRRTPPAATCSFEDQSGGYSNDIEHAVL >Et_2B_021736.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:517378:518257:-1 gene:Et_2B_021736 transcript:Et_2B_021736.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLFPPLLLRPTVRDSDCNPSVCFIDPSNAAAPQKCRVPWRTVYNMSYSYVGSSYGNIIYFHTKKCHLFDAFTGDEVKSPRLSIDKHDHPFFGALTAPLASPDSTLLVQVGLFLFQWKVGSKSWIKNRLPFWRKSLKHCPLDTPVLHVAIFKGEIFAVDSYMNLYRGCFSPKFRMWNVNALWEDIFKGRIFCHMWLVVCGDMLLLIARTAKIINLSSSPRWVKVERLENWAVFLPRKANSPAFACKNSEKWGGRSNCVYFCKDSSDLQTDYLKTCNADKAWGVVQLGE >Et_9B_064847.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19099346:19106014:-1 gene:Et_9B_064847 transcript:Et_9B_064847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGKSRGPGGLAALPEEILQEILIRLPVKSVLRCRDVCRGWRRLTTDPAFLVAHHRHQPTLVLGNARNSFYPYCLDAVHLQTAERRPGYWPDKYIIFHSSCDGLLVTDDGVICNPATRQLVPFLKSSEQLVGLYQHQPSGEYRVLFWRSSSIPSEVYCANDYCVRTVGSKRRRIIPCSITPVLEELITGKGPTIRDAPVTLHGNLYVHWQNQFDGRYHRILVFDTVAESLRQMSPPVVNPQHVMQLFDMDGTLAASSSKVNMTTELRIFALLDYKREVWSFQFRIKLPVMEIRRFQEQGDWLAKIVSGQCDVFVSCFGWLLHCDRTGNLLAKYRYDDDLPVVIPLGFKESLIQHTLFQKLPDLQPQAHLSDPVEQFLLCKQ >Et_10B_003664.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:4534771:4536613:-1 gene:Et_10B_003664 transcript:Et_10B_003664.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSSTGDGPLEGRMKLLLSKVDSKIFFHKDAVMEYMEMKDLIGGIGEEKARMEQEALRRAAAAPPELLVPVLEQLAAAREELAATKEVLAARNEELAAMKEELAVAKKERDWRDEELKATITKAQEFEALKNQYEQQSESGVALDSVQDQPSKEFMQIDCGGHVLGVKEMGRLDEKPFHAACAAKLTPKEAKREASKLYTTWEKLLKNPSWKPFKIDAVGDNSQEEAIDVDDDMLQELKRAWGEGTHNAVVRALMEMREYNRLSSRSTTYELWNYKAGRKATTLECVDYMSKQVKQLSMSKRRKTRRSAGTA >Et_1B_012650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34014149:34028422:-1 gene:Et_1B_012650 transcript:Et_1B_012650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPRRIVGAEVPIPGSDRIRWIDLTVPSSPGLASPSDPFVCVPPRPASGCHTISSGESKHYLAWRLHEEHQNVIEVIELCASKEFPGSGLRLVFQEALCPFAYLCEREGGRRGELVYLLYVLTVSGVALLCHLRSPSSYVSGSILHQHDTVEFHLQTHTQSSKVTAVTAKPGCLVVGRQDGSICFSNELRDDAGIGRLWTLMSRTKAVGPVQDIVATVVNEMSLLFVLHLDGNLRIWDTSSHTKLLNYNVDSNVVEGHPSRLWVGEADDEQELISLAVLHQGTVVQDHDHIAVYGFSFSAGDRFLFSPEPSISSIPLLEGKLVDLKIGTNKLWILKEIGTMLYEILQYDPDTERICSYVLQEDAISEQLFQSSDNALDDLVWTADSMFSSMKEQAFNFISSMFSRRILQPGVNHCSALRDTLLEHKRFLSDSEFQSLTANGLRKEMLSIIEQEGTSQTASATAYHWKKFSARYLHNWCWNNRPYGLLLDNNRDMFGLIRKGSISMFRCLESVELLIYGSSDELRNLDGLVMGLLDDVSDFEPLDEVLRCMGHIHHLLGRSSTAIYYESLISSVMSSDEIVSQIMKMLETGFSPQSSSSITTLLGTDAYVQRRQTAHKNQRKFSVEMLQSLHKLQSRLKSWSAVFDVIEQLMKCLNTNTTVHEYESKRVCNATSQVARTMFECAFDLFLFLNYLVDVGGQVSLLQSDVARIRLKLFPMIHCIMGQWIAIHFVAISPTTAPSIEDFSYQLSSLQLGKGDELSLHKKLGCSDFTLACLLDFAEFPERDVLPSPVEIISLVRRFSCSVLCGSNCEYMQPLFGSIINLSAILVRHSQHEAALSLLGILETYLNYEKVSQSGQDADIACAAYLHLNGFCLLMLAHDESNINLRESKVHDAIRCFFRAASGHEAPKALQKFSLETGFQVSGESRSISLWRLHYYEWAMQIFEQHSMSEGACQFALAALEQVDAIVDLDNGNEAEGLPETATAIKGRLWANVFKYSLDLKHFRDAYCAIVSNPDEDSKYVCLRRFIIVLCELGETKVLCNGEIPFTALVEKVEQELFWKAERSDLSSRPNLYKVLYSFEAYRNNWRKAAAYMYRYFVRLNREGNAGASHQLSHELQERLHALSAAINALQLVDPSFAWLDSVCEADDQFSPSKRPPAFSTDSEISRLQFCVDIEILEKEYTLTEALYMLSTLNPGFIFSENQSIEALVDILINENLYDMAFTIVLKFRKESEMQRELERVFSTIAQQCCPCRAGKSGRNLTDSRQLLLLPSSEDDAWDVKSKSIPVAHQLQGTCHWETLELYLEKYKDLHPRLPVTVAETLLYTDPEIELPLWLVQMFKTSKTTNKTISWGMSGKEADPAALFRLYINYGRHAEGTNFLVEYLESFASTRPVDVLHRKKMSAAWFPYTAIERLWCQLEEMQCAGHSVDQCDRLKKLLHGALMSHLQQVVVDSEDVLSSVGGQGVESQSS >Et_3B_028015.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:31736873:31738462:-1 gene:Et_3B_028015 transcript:Et_3B_028015.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVPLLLLLLAVAVLLLPRLRRRRTTAGPRNHCPYPNPVLGNVVPLIRNFHRFLDWATDLLAAAPASTVEVRGPLGLGNGVATADPIAVDHMLRANFPNYVKGARFAVPFADLLGRGMFLADGRLWSLQRKLASYSFSSRSLRRFTGRVLRAHLHRRLLPFLADAADSGEIVDLQDALKRFGFDNICNVAFGVESSTLMVEEEEAGDDGRRHAAFFKAFDDAVEISFARMFHPTTAVWKAMRLAGVGSERRLREAIGVIDEYVMAMLDSESSQSQEVEDEHLLSRFKAAMEKDGSGSELGAFDVVVSFVVAGKDTTSAALTWFFWLLAANPRCERRVHEEASRHGGGDGYDELKGMHYLHAAITEAMRLYPPVPINSRVAVAGDVLPDGTTVRAGWFADYSAYAMGRMPRLWGDDCRAFRPERWLDEAGEFVAMDAARYPVFHAGPRACLGKEMAYVQMKAVAAAVIRRFTVEMVQPPSMEAPPPYQMSVTLRMKGGLPVRIRRRQGAEDITRN >Et_1A_006671.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:2601892:2603444:-1 gene:Et_1A_006671 transcript:Et_1A_006671.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAPSPRALCVREAASAAATHPHASATCSTVAGAAAGRGLLCLWRGKGHRRRCERVKASAYFWDVSNPVAMEEIDSMEKLDEALRWSVENKQPVIIDWMASWCRKCIYLKPRLEKIAGEFPGVRFYFVDVNKVPQAVVKRGNITLWKDGEWVEEVIGGHKAWLVMDEVREMIQKNK >Et_6A_046272.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:11882918:11884176:-1 gene:Et_6A_046272 transcript:Et_6A_046272.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPQKMVVPTDAELLQAQADLWRHSLYYLTSMALKCAVELGIPTAIHNLGGVTSLPDLVASLSLPTNKLPFLRRLMRLLSTSGIFASDNTAGVETYRLNPLSWLLVEGVEAEDHTYQKCFVLGTVSRHYVEASLSLADWFKKDLAAPVPSPFEELHGVPLLDEKTPLLDEELDAIVKEGVAAHDNLAMGTIIRECNDLFKGVQSLTDCCGGDGTTARAITKAYPHMKCNVLDLPQVIGTAPADGVVNYVAGDMFKFVPPAQAVLLKLVLHFWDDEDCVKILEQCKKAIPSREEGGKVVIIEIVLSPSMSPIMFEAQLLMDMLMMVNTRGRQRDENDLREIFTKAGFSDYKIVKKIGARSIIEVYP >Et_10B_003850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:6883882:6887626:1 gene:Et_10B_003850 transcript:Et_10B_003850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTSTMGRSALAKAALWQGIMEMKLLPQILFIIAALSFEVRKSTCSTETDRQSLLDFKNSISFDPHGALVYWNDSIHFCKWEGVSCHNAKHQFRVTAMNLANQGLRGHISPSLGNLTFLTALNLSQNVLSGEKHPSLGHLRHLKFLMLANNSLQGQIPNELANCTSLRTVDLSWNELVGEIPFEVASLSVLASLDLSRNNLTGGIPFSLGNISCLIEFIATENHLDGRIPGELGRLHQLTLLALGRNKLSGLIPQSIFNLSSLEIISLESNSLSMPYLPSDLGTTLHNLQRLYLDYNNIGGSIPPSLSNASHLVDLDLSFNSFIGPVPTTLGALGELSWLNLEYNNIIANDKQSWMFMDALTNCSSLNVLALFQNQLKGELPNSVGNLSSQLQYLLLGQNELSGSVPSSIGNLQGLTSLGLDSNNFKGTVTEWAGNLKNMEKLFLENNRFVGPVPPSLGNLSRLWYLALKSNEFKGFVPVTIGELQHLQILDISDNRLNGSIPVDLFGLPSLISFNLSYNYLEGVLPLEIGNANQLLEIDISSNKIYGKLPQTLGNSESLETVVMDNNNLQGEIPSSLRNLKSLKTLNLSRNNLSGPIPGFVGSLQSLQQLDLSYNNLQGEIPRSGIFTNVTAVTLAGNNNLCGGVPELQLPSCPVVPSRKRRLSRSLKILILVACPMLVLVLIVTLLLFQRTKRIQNTNPSSIPNVVLDKHLPQVSYMDLAKATENFSPSNLIGKGAHGSVYKGFIGQLKEIVAVKVFNLETQGAQHSFVVECQALRRVRHRNLVSVLTACSSIDSMGNEFKAIVYEFMNSGNLDMLLHSQGHDKHSPGPGHLSLTHRLNIATDVANALDYLHNSLQPPIVHCDLKPSNILLDDGMDAHVGDFGLARLHNDWTQISTGGSTSSIGLRGTIGYVAPASLLIYCMISEYAIGGHISTAGDVYSFGIVLLEMITGKRPTDKMFVEGVSIVKFVQKNFPDEIVQIIDLNLHEDDDAPHKITKASKARKHECLLSMLEMGLACTRQPPMERPNMREVAGKLHKTRVAYLEVEDIDY >Et_5A_040912.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:14688139:14688532:1 gene:Et_5A_040912 transcript:Et_5A_040912.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGIHKAESTEFRDLLYLTSKQPFILRLAFSAGIGGLLFGYDTGVISGALLYIRDDFEEIEKSTVLQVDLDCFRSYLLFHIASWLGWRMISDCSTESLNL >Et_9B_065658.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:8961881:8965185:1 gene:Et_9B_065658 transcript:Et_9B_065658.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAWPATEVFLGPKNITVISQDKFLKVNIVGDMASITSLPSFETKYLVTSKSGPDEIGDEYSRWMLLEKNYFGLDGSECDKIGVGYKAFQNQADFCSQPFMNCLTKQLWNFEEEDKTRIGLKKQPKFIVKGQSPGRIIDIHTATFEAETQNGVAEVKTKNIGKVEASYSLTFKCSIGIKSGVFSGCISQEQTFSMKPGEVTTRLFELHPSTDESAIYHCIGERYQLCSRPYLQLLFSSYFLTSRSYIFSISAILKDSNFQEIHRRECNFSTTSTFYHDGTQ >Et_1B_014228.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:3853734:3854377:-1 gene:Et_1B_014228 transcript:Et_1B_014228.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEPPHNKKTIPLVDGLIAPMCLCGTPCKLVKSLVLGDDYGKRLWMCNNYQYDLPLKRLHFSEGRPKTPEDIAHVYSIAERARRHWFDMEAEERREEERKKMKQKEEERRRQYEAERKQREEAERRRKQEEDRLAHEAREAERERMRERARRAREAGPDAFRKGKYPRCTQ >Et_2A_014939.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:22571714:22571758:-1 gene:Et_2A_014939 transcript:Et_2A_014939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCWKLMLLLSGSL >Et_3A_025428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29839194:29842113:-1 gene:Et_3A_025428 transcript:Et_3A_025428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDETAVPPAAVDADAEVTHSLSLSMAGVSQTPTDPHPLPQRQYSSPPADAAADAEVTLSNSLSMAVVSQTPTQPHPLPHPQYSSPPAAAEVTLSNSLSMADVSQTLTEPHPLPQPNYSSPPPSGEDDDGAVISGASAEGDAAAAANAPMEERVRGPWSQEEDAVLSRLVEKLGARNWTLIARGIPGRSGKSCRLRWCNQLDPQVKRKPFTVHGNKWACIAKLLAGRTDNAIKNHWNSTLRRRYCHGHGQCSHGGAVELATPEVSRAVSEETWPLVDLTSFNSMDVKDAPAQNSTSKLCWVMNYIAEAVDLPYLARPAARIGAFRPFNLGLAESTKHETASSAFKFDSTLKALDPENEVFKFADPTCFAAEVPNKCGHGCCSPHRRPHKSSLLGPEFNEFEDRPPILNSTFASLVSEISSIAWMRS >Et_1B_013006.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4701158:4701875:-1 gene:Et_1B_013006 transcript:Et_1B_013006.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAILPESSSPSAPAPQMYSTLQVVRALFAGAPILQVLEEDAPPPVAFGEMLDRVAQGNVRGVVVGTLNTGQQPAPADDDDRRLIPVVCNFCNYAFCFPDYLARGLAPPEGLTYTRLPGPATPDLNTAPLVGPLCGVADDDGGALRPAGGEPVPLTVCPDRHHFAVAMGMMDLPRDARPVEWRRPAALGVVPVAVGTRPGGVPQRKEPAPEEGSSGATEGSTSPNA >Et_10B_002381.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:15851950:15852324:1 gene:Et_10B_002381 transcript:Et_10B_002381.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQYNFPRGILPEGVSGYTLRPDGAFEVYFPRACEFLLSRRWLVRYDTRVSGAAASGSLTALQGISVKVLFLWLPVAEVDRAGDQLSFYIGPVATSFPLGDFADSPHCRGYDAGAAAAAAASW >Et_1A_008291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6014446:6017051:1 gene:Et_1A_008291 transcript:Et_1A_008291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPRSEIYPTIEYRPIQPSDLEALEKIHLALFPIRYEKEFFLNVVNGHGIVSWGAVDTSRSDEGRGEIIGFVTTRMIAAKDSEIEDLFRYNSSRKDLTLVYILTLGVVDRYRNLGIASSLVREVIKYAASISNCRGVYLHVISYNQPAISFYKKMLFKLVRRLPMFYYIRGQHYDSYLFVYYVNGGRSPCSPLEIVTSFVVDFRAFVKMLVAKFWSNEETCTPRWSRCKESTTLLVPQSNKRIISGDDSRCHV >Et_7A_050206.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:11646715:11647247:1 gene:Et_7A_050206 transcript:Et_7A_050206.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRASPSVLLLLLSFQLIITTSLCQFPPSDRDLAALIKVREQFGNPPQDVFAGWRPGTTCSTSWWPASCNGQGRVTGLFLGNLLTINATLPPAISELEMLDTLSISNMPGLHGPIPDSYGDLAHLSILNIMVTNVSGSIPASLSRTNLTSATFFRNRLTG >Et_1B_010266.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:33409096:33409884:1 gene:Et_1B_010266 transcript:Et_1B_010266.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRPPTAPPCKKPPPMTNPLRPPPQPLSPVRLRLGNSSSSAVSAIDATVGVRAVSWNPNERVAFRYGAGEGRVALADADGDVDLGWAPVPGFDHAARTVATVAFVAAAKGVVLDDAVAARVRDRYRRRQLAFKVVVDVHVGVRVGRLSTAMVPVRLQCDGGVMAPRGGSGAGGVTGPMSKCQVYLFRVRW >Et_4B_036755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11183967:11184617:-1 gene:Et_4B_036755 transcript:Et_4B_036755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSLVLAALLAVVAAAVLVPHCAEAATGPTTTNVLISGIVPCSTGSSINVATVPGFPNAGVQLVCGGKVVAGATADGTGAFHINLGGVATDLLTVLLGNQCKVVVITPLAACNVSLAGATGTLTAPLKLLGTSTGSGGDPLGLGGIIGLVTGIVSGIIGGILNLPTGQFSFI >Et_4B_037145.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:15315154:15316349:-1 gene:Et_4B_037145 transcript:Et_4B_037145.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAFPIVNCNSSDTSSISETLNGASVIYELQTVSAASDFIVIPLSLLVDPVCASVHCALAPYWGKKLGKQTMTAFMASPRGGTLYLQWDEEAQRVQIWGQGVTFMVSTLLV >Et_5A_040655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:10895826:10897959:1 gene:Et_5A_040655 transcript:Et_5A_040655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMQPRPPTPATIPTKLTVNFVLPYKSEIANKEVDMVIVPATTGQMGVLPGHVATIAELKPGVLSVHEGNDTTKYFVSSGFAFVHANSVADIVAVEAVPLDQIDPSLVQKGLTDFTAKLGSASTDLEKAEAQIGVDVHSALNAALAG >Et_3A_023747.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11799960:11806044:1 gene:Et_3A_023747 transcript:Et_3A_023747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPQPARGRINIEAYARPIAVDNRIRLPYYFRIAGSLLRQANIYRNEKNLLDLYVILLRYSSLLCETIPKHRDYYAFRSKENEFFTKLIDVIKELESLKPDVQRQIAEYNRGGTVVTNNLNGTYATTHKVEKHTPSLYATQTHAGSTNGSPQISLGGRPQTTLLPNVQPDRQFRKQLMNLPYPKEETLARHSILGPNGLHGQWTGPVAGIKVQYPSNVELTQSVITSLVPTVSNQDSLHGSSTPPPPADSSTDDNDDMKAVLSLDDGRWSVQTEERIPLHSVTLEEELSQLNIKQPSPPPVLAEVQRPISPSRVADPTPEMPSSEIVRFQNVHVPVKLMECFLRVAEANTKRSLETCGVLAGTLKKRTFYVTTLIIPKQKSTSNTCEATNEEELFEVQDMGSLFTLGWIHTHPTQSCFLSSIDLHNHYSYQVMLPEAIAIVMAPTDTRKHGIFHLTDPGGMGVIHDCEERGFHPHKAPSDGSPIYEHCSHVYLDADVQFETIDLRKR >Et_1A_006083.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:17549435:17550209:-1 gene:Et_1A_006083 transcript:Et_1A_006083.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVLVAVDDSEGSRHALAWVLDHLFPAAGAEQQAQEGEQPCRPVLVLVHAEEPLRHVMYPVGPGSAVYGAGSMVESVRAAQAENARNLLDRAKRICHQRGVSAETAVVEGEPREALCQAAADMGAGLLVVGSRGLGAIKRAFLGSVSDYCAHHASCPIMVVKPPRDDDGHGTAN >Et_3A_025532.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:30581605:30588667:1 gene:Et_3A_025532 transcript:Et_3A_025532.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFSGAQSPVRTVCRPQAPSPPVHVEAALTGHSRRVTREPSAQRAQTENRRPAGATTIYQSAQKNFENSGETSESSKTLAMASVAGGDPRAWLAVDETATAFLSRSLTTRPPIVLPPPLHRAPLRPGNVVEIAGPSSSGKSHLLLAAAVQCILPKEWEGICFRGLGKAVMFFDLDCRFDVLRLAQILRSRISEGCTHPRNGELGKYGTKDNFSCSFEDTLFSDCMQRFLYVRCHSSSEFITVESRLRSGFLGASVYFLMIDSIGAFYWMDRGSQPARENKGKSPQSITETVVQEIRNVLQLQPALVMVTKSPIYGEGTTSMNDFNRGSSLYMLGAPTVMRYSRQEDDRNLSSREYMSPVWQSFVTHRIKLQVEESEVPSVHENDAPSMHTSEWVQPSLKTKEKFSISNLNGERFSSHG >Et_6A_046731.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:274311:277004:1 gene:Et_6A_046731 transcript:Et_6A_046731.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICCSKAGAGELDDDDAFPWKHDDFFHEQLWTSAGVSLHTKQGWKGANQDAMTVCQDFADQKGQIFCGVFDGHGPLGREVARHVRDTLPSKLSSYLKPKTEDLSSISDLDSFDKSDSTSFSDMSDENQLLSTWKNIFVKTFEDVDQELRQHSGIDCICSGTTAVTVVRQGDHLIIANLGDSRAVLCSRDSKDRLSAIQLTVDLKPNLPSEAARILSCKGRVFAMDDEPDVPRLWLPDQDAPGLAMARAFGDLCLKDHGLICTPEVYYRKLSEKDEFVVLATDGIWDVLSNKEVIKIVSSVSDPSKVAKQLIDRAVRAWRRKFPTSMVDDCAAICLFLKREPSEDPADAKAPAVSSSFTGSFRKVLSSREVSSSKEGTTASWRALQGVARVNSVVRLPRIGAVLSWRRRSASLELDEDEDGQD >Et_6B_048662.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:12278870:12283316:-1 gene:Et_6B_048662 transcript:Et_6B_048662.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRFLHSQAEVAKVQLVQFGVLSPDEIRQMSVVQIEHAETMVKGKPRPGGLSDPRMGTIDRKIKCETCMAGMADCPGHFGHLELAKPMFHIGFIKTMLSIMRCVCFNCSKILADEEDTKFKQALKIKNPKNRLRRIYDACKSKRVCVGGDELVQEHQDTDELGGCGAQRPNITVDGMRMIVEFKVPKKKNDDQNQLPEPVERKQFLSAERVLDVLKRISDEDCLLLGLDPKFARPDWMILQVLPIPPPPVRPSVMMGTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIISEFAQLLQFHIATYFDNELPAQPRATQRSGRPIKSICSRLKSKEGRIRGNLMGKRVDFSARTVITPDTNINIDELGVPWSIALNLTYPEIVTPYNIERLRELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKNSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSYETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWEDFNGKIPTPAILKLRPIWTGKQVFNLIIPKQINLIRYSSWHSEEEKGFATPGDTMVRIEKGELLSGTLCKKTLGTGTGSLIHVIWEDVGPDAACKFLGHTEWLVNYWLLQNGFNIGIGDTIADVATMEKINETIYKAKNDVKVLIKQARDKKLEAEPGRTIMESFENKVNQVLNKARDDDGSSAQTSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFTD >Et_4A_034895.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:7685408:7687592:1 gene:Et_4A_034895 transcript:Et_4A_034895.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDKVGCSPAALPLDRLMAALAANAEQLGRRWEAAVRGRCGNGAGAASGEVVVKGQGIEMHTPLFYATCALGGMLSTGLTHLAVTPLDLVKCNMQVDPSKYRDISTSFGVMLQEQGLGGFFKGWMATLVGYSCQGACKFGFYEFFKKCYSDIAGPDHAEKWRTLIYLAASASAEVIADVALCPMEAVKIRVQTQPGFARGLADGLPKLVQSEGAFGLYKGLLPLWGRQVPYTMMKFACFETIVEMVYKHAVPKPKDQCSKPLQLAVSFAGGYIAGVFCAAISHPADNLVSFLNNAQGATVADAVRTLGMWGLFTRGLPLRIIMVGTLTGAQWAAYDAFKVFIGLPTSGGVNPSCFTAAALHQMNHKKQS >Et_4A_034543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:4155667:4159800:-1 gene:Et_4A_034543 transcript:Et_4A_034543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPAPFRALSPSAAAAAPAPSGARRALLYGRSMPLAGALVFLSIGAVSAAVACRTGCAFSHRRLPFLGARGLSSSRMDSASATVPSIVVYVTVPNREAGKKLSQSIISEKLAACIESVYWWEGKVQTDAEQLLIIKTRESLLNALTEHVKANHEYDVPEVIALPITGGNTKYLEWIKNSTRGT >Et_7B_053550.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:56226:58189:-1 gene:Et_7B_053550 transcript:Et_7B_053550.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSLPPGFRFHPTDVELTVYYLKRKVLGKHIRCNAIAEIDLYKFAPWELPEKASLESNDLVWYFFCPRDKKYSIGVRTNRTTGAGYWKATGKDRAVIYDSRTVGMKRTLVFHLGKPPQGCRTDWVMYEYRLADKELAASGVKLDACVLCKIFQKSGPGPKIGAQYGAPFNEADWNDANVEYSSCVPSAIPCAPESSHNGLNSAGQHLAASDDGNVFLGLSPGSNGELAANGVQVRPDRTSPEIPFDIIHLELLAEIINCSSLNRLFTAGEDGSQPGSVSDNDNDDIILLDDNETIFSELDKLASQSVESNSHHCDSCGQHLIQPKLEAVGGEQYLELNDLSFSLADDPSMLLSSDIYVQHPLDHVARFEQDSQDYISNIANASTSLTAGSSPSVPTI >Et_8B_059277.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16032852:16036061:1 gene:Et_8B_059277 transcript:Et_8B_059277.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSGNFLEIQPSELSFPFEIMKQSSCSMHLTNKTDHYVAFKVKTTNPKQYCVRPNIGVVLPGSTCDVTVTMQAQKEAPPDMQCKDKFLVQSVAAENGATTQDINAAMFNKEPGRHIDEFKLRVVYVPTTTPSPIPEDSELGSSAHSFAQENGTYHSTMPQSASRSSTEPAKEKSSEATTMISKLTEEKMSAIQQNQNLRQELDLLRKESSKISGGGFSLTFLVVVGLLGIIVGFILKRT >Et_1B_011901.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2781581:2782744:-1 gene:Et_1B_011901 transcript:Et_1B_011901.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKCRGAAGEEVAAVEVTQVVGVRTRSRAASAAGATAGGVAKVVPKKRKALPPATEVAEEPAAVGSGGDGGSCYLQLRSRMLFKAPPPSSPAQRAPTAAEAAGASQGAALAAVLSRCSSTASSFDASAQERNAACRSDAAEAGGDHVPEGSASNSGCGRDRERRESTPSSQAHGEWSDLESDLAGRKSGRSLPAATSPAQRVMPPAAEIEEFFAAAEAAEAQRFASKYNFDVVRGVPLDAGRFEWTPVVSI >Et_9B_066118.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4215524:4216851:-1 gene:Et_9B_066118 transcript:Et_9B_066118.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYCRLQAMVLALLLASLMPDIAEEIALPLIAGEKLLKALGNKKAKSFATGSSWGRKIYKYPVDNLFLAGTGRPQRFHPVFTADITDTVAVRLGSCPTVPASREAIHLFGNGGTVSLGTLPFATVQSCPAVPAGAAWVGAVLGLGMGGDLSRNFGTFSYLVTDDLNAVVWLPTPPPKQVGGRPTTTAYFIHSPPLPNDGQYYVTIDSIRIGPIGGGTVLPAQQGNTGVAYRTHYFPGKTPQLIPDKLCYTCTSASMTFPSITIFFNHKDFMVVDWRNLWYMET >Et_8B_059225.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:15503730:15504066:-1 gene:Et_8B_059225 transcript:Et_8B_059225.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NVLAVRSRFFDAELYGLMGGDYFTSCTWIRCPKWMIDSLDAGDSREIVKHLLGRRYLCCGKIEDILVQSLHIDNVVHASSSRPTSLQQARRCLG >Et_6B_048849.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:14439557:14442212:-1 gene:Et_6B_048849 transcript:Et_6B_048849.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVQPVDLEAGVAVGAAADAATAAAGEKAATKAGDEIPASAAAAEKPATKKVAEEEDPRLRWAFVRKVYVILALQFAATAAIAAAACLVHSVPRFFEHGRPAIVWPVYIAILISPLVAMWPMLKYRERHPVNLVLLGVFTLCCSLSIAVAASTTVGIVVLQAAILTAAAVAGLTLFTFWAVKKGYEFTFMFPFLFTSLLTLLVYITVQIFFPLGHVGMTIYGFIATLVFSGFIIFDTHMLLKRHTYNEYIVAAISLYLDVINLFMAQLAFSVQ >Et_10A_001857.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:9118018:9128446:-1 gene:Et_10A_001857 transcript:Et_10A_001857.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQESNYIIGAFKPPCDISITFADARTRKQVSVKKDNGKTTMVPVFQSLETISGEVSIAPVPGKRIEHMGVKIELLGQIELYFDRGNFYDFTSLVRELDVPGEIYEKKTYPFEFSTVEMPYESYNGTNVRLRYILKVTVGRNYVGNIVEYRDFCVRNYAPVPTINNSIKMEVGIEDCLHIEFEYSKSKYHLNDVIIGKIYFLLVRIKIKNMELEIRRRESTGSGSNTYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFSVKYYLNLVLVDEEDRSKKSQCIVFKRRLRHPRFGSGPSSDQHSGFVQAKAK >Et_4B_040043.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:8221502:8223182:1 gene:Et_4B_040043 transcript:Et_4B_040043.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAERRVKAQEDSLEDVVWDGVLAWLIALTGKPERVLFPLPSRAWDRVARKGDEKIYSDLVQLAQLLGVSESPPKEEKVVIYMQAQCTQHKIDPTALDLVPCALPMMMTRRDEEQSQGTGKRRWTDKIKCKIVDAVAPRPRRTAKDAGPISDVDAEMKQRLQREFAEHQARRRRRSAPLPSDGRPNGGDDGSTFTETESVVNLDARPKKGILKARSKFASPEPVEESVTPPTLVELLFPTGVLPRPPKSSGAENAPSPLMSVVIAAAEKRKGGAGRPDGDSGATEAAVNLDARPKKGILKVRSKFASPEPVEESATPPTLVELLFPVGVLATPPKSFRSSGPENTPAFLMSIDKTHRGDGGRTRRIDRKLVEQWSNAKENSLRRGRYLRDFCPFQKDDEKEENVTEEKVPAEPDHSEEETSSDETDRSESEEVETGMPFHSQTSEAELVEAIFSRHELKDDFIDRYAFERSRDPFMRQQSLQERSAGDRPESRMARNVVTFASSVGDRPESRMTRNVVTPASSAGYRPESRRTRKVTFRDCDVRRTLSYGEES >Et_1B_010595.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1271423:1273582:1 gene:Et_1B_010595 transcript:Et_1B_010595.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASSMLTQYDIEEVQEHCNYLFSQQEIVSLYERFCQLDRSAKGFISEDEFLSIPEFSLNPLSKRLLRMVDGLNFKDFVAFLSTFSAKASLRQKIELIFKVYDIDGKGRVTFKDLLEVLRDLTGSFMSEEQREQVLTKVLEEAGYSKDCTLSLEDFIQIIDHTGLKMEVEVPID >Et_9B_065494.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:5878109:5879483:-1 gene:Et_9B_065494 transcript:Et_9B_065494.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPARRGEAAAMVIVAGEGEARGGGGGGGEGTAKDGVAHVVIDIAGDAEAAATKQHQLGVCRICQLEDGDVDERVSGRLMRLGCGCRGELAVAHRRCAEAWFTIRGDRKCEICGKNAENIHGGVGAQKFMRQWHETTAMDGGGSSVCCFSKTQSCCNLLIGCLMIMFILPWLQHDHIL >Et_2A_017136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31269233:31272141:1 gene:Et_2A_017136 transcript:Et_2A_017136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGRGRGGRGRGGRSGYDYRTDDHAPHENFPVSLSSSSPLSPLVLVEIKLPEMTRIKANTDEEELLLTSTLKFEEFWRTSCYHLEEDAPKKKNGDKDIERLSDRKRKIHPKREALALYLTLTPSNFPEELLQGSKRVQASNKKLRWDRDSDQQAFEVFEKLEQTHKARAIKNEFGKYSFIADGDKKAEKEGDDEDEQEEEEVEEESSDDDYNQNIEFDDDDDDWNQEEETHEDCYS >Et_1A_007771.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38056321:38066684:-1 gene:Et_1A_007771 transcript:Et_1A_007771.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREDRYVRFQDWKSVQSAGSDTERKPKTTVLDPQGPFLQRWNKIFVICCLVSVFVDPLFLYIPVIDGRKGCMYLDQNLEKAASILRFFTDIFYLLHMIFQFRTGFIAPSSRVFGRGVLVEDTFAIGKRYLSTLFLVDILAVLPLPQVFVLAVLPRLHGSEIMKAKNILLIIIICQYVPRLLRIIPLYLQITRSAGIITETAWAGAAFNLLIYMLASHNLKTSTDTSENLFAVFVSASGLVLFALLIGNVQTYLQSASTRIEEMRMKRRDTEQWMAHRLLPENLKDRIMRHEQYKWQETRGVDEEGILANLPKDLRREIKRHLCLSLLMKVLGALWYIFSIQREDTCWREACNSQDGCDLASLYCGSAASANNYLILQGACPTNGTDYPDLTFGIYLPALKNVSQSASFFEKLFYCFWWGLQNLCSCGQNLKTSPYIWENLFAVFVSTSGLILFALLISNMQTYLQSASVHIEETRVKRSDTEQWMAHRFLPEYLKERIMRHEQYRWQETQGVDEEGLLVKLPKDLRRDIKRHLCLSLLMRVPLFKNMDDQLLDAMCDRLKPMLYTEGSCIVREGDPVNEMLFIMRGSLESMTTNGGQTGFFNSNVLEGGDFCGEELLTWALDPNSASALPYSTRTAKTLSEVEAFALKADDLKFVGTQFRRLHSKQLQQTFRFYSQQWRTWAACFIQAAWHRYSRNKLEDSMHEKEKQLQAAIVSDASSSLSLGAALYASRFAGNMMRILRRNATRKARLQERVPARLLQKPAEPNFFAEDQSSSS >Et_1B_010923.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15459985:15460935:-1 gene:Et_1B_010923 transcript:Et_1B_010923.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRTPARAASPGPSPALSPSPSPPPLRDMQQPKEVLREEDDDAEEKRAILVAGAGRQGDLVPVVPAAAKNRCVAAASVKPISSAQVSAFRSLFPGPRRSSPDALPKPEQEAEYGAAARCNRNDGKRWRCKNAAVRGSPFCDRHASWSTRQRQPRPKKQQGKKKKNSSSVVKPLPLEEEEKEAEDKDRGDGSAGAPLLVQDDDDDDSSYYYGGFQPGGRKRPRGGGPGPAA >Et_5A_042192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:7206328:7207744:-1 gene:Et_5A_042192 transcript:Et_5A_042192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRNKKNKAKNGGGPAAMDTSEGAPTASTATEAPQPMDTSEGKQPSSASAALSSINRKIKKGVQIKRSKNVRKMKAVARAISKNEQAEEKVQKAKGKKTRIQSAKSLYD >Et_9B_064902.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19736427:19739537:1 gene:Et_9B_064902 transcript:Et_9B_064902.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIHFGCLKILVCLCILLSLSGVGTKKRQLWIIANLRVAVEGGIMEEGRKGEPAAAASGFPAPVRAGATRLGWIGIGVMGGAMAKHLLAAGYAVTVFARSPAKAESLVADGASLADSPVAVAAASDVVFTMVGNPGDVHQVVLDPATGVLAGLCPGGVLVDCTSSSPALAREIAAAARAAGCHAVDAPVSGGDVGASEGTLAIFAGGDESVVAWLAPLFAPLGKPTYMGPPGSGQSSKIANQIAVAGAVVGLGESVAFANAAGLDAPLFLDAISKGAAGSRVMDIFGDRVLRREFMSGGPVKYIIKDLGMALEVGDDQQEANVLPGAALFRQMFSAMAANGDGDMCLQGLITVVERLNGIRNRGEQKSQAKNGNTGLAMTCPGPVLRLGQDQVHTQEALQLVVAGIHATVPSRA >Et_3B_027812.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:20468843:20469199:1 gene:Et_3B_027812 transcript:Et_3B_027812.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLLEEFGLPLGLLPLADVIEAGFVRATGYMWIAQRKKVEHHFKMITGYVQSKRIKKLKGVKAKELMLWPPVNEISVDNPPTGKIHFKSLAGVTKTFPVEAFAAGQ >Et_10A_001530.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:4700495:4711305:-1 gene:Et_10A_001530 transcript:Et_10A_001530.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MENESRRRRQIAAGGECRRGGATRASLSTRSTHIHELADELLELVLLCVRSHRCLNESRRRRRLAAAGTECSRGGATRAAVLAGATTIHDLADELLELVLLRVSSRHCLVRAAAACRPWRSLIAADGFLRRFRSVHAPIVLGHFYAGARTAFVPSHTPLGKSVAGGDISSRVSLDFLLRKYGGIGDLFLSDCHGGILAFIRSDSSVVLCDPWTKQYRVLLPPSTPPGSRFFHGAFLLDADEIGTARVLYVCGRYNYDEQDRRITARVSVFSATADDHWLLLASTVIVDDPLFMAALCLGVSGQNMSKVGGSIFWSVKGFYVFQLNQSTGAFSSFSMPPGLAKINWNNNHGSSERRKLRVVVGRDDRAARAVCIVDEELQVLRLVHGGGMCVEEKRVNLSELCNNTEVMGPDRSWHFLELSENVAPGCIVLSPSEKCTWMFYVDVESMEVERVKRRNWHYRALFPYELPWTPTIKACLLTAAGTECSWGGATRASVLAGATTIHDLADELLELVFLHVRSHRCLVHAAAACRPWRRLIAADGFLRRFRSVHAPIVLGQFYAGGSTRFVPAPTLLVTDVAGGGIRSRVSLGFLLGKVGGISDLFLSDCHGGLLAFTRSDCSVVLCDPWARQYRVLVSPMTPGRQFCLGAFLLGAHEIASVRALCVRVSYNYDARNGMMTAQVSVFSATDEHWLLVARTDVENQFVSPVLDIGLSVSDRSKVCVGRAGGSLFWSVKGFHVFQVNETTGAFSSFCMLPKLAPEPDWYTHGDERRKLRVIGRDARSVHLVRIVGEELQVLQLVDGGGMCVEEMRVNLSELCNTNTAGPDRSWHFLELSEAVPPSFVSLSQDEKCGWMFCVDVECMKVERVQRPNWNKRPLFPYERPWTPTFKASTNINDLADELLELVLLRVSSPLSLVRAAAACRPWRRVIAAVGFLCRFRSHHGPLVVGHFYDGADTAFVPAPTPPGEDATAGDVSSHVSLGFLRNVATTSDLFLTDSRGGLLAFVRWDPKDIVSNRWTRDPGVVVCDPWTRQHRVVPSPTPGQVLVCLGAFLLDADEAGTMPDMSNFRLLRAYLVRSTQNKRIYAQVSMFSAKEDRWDLLSSPIVNDNGVCVAALLSGPNVSKVCVERAGGSLFWSFRGRYVVHVNESTGAFSSFLIPFPPGRSGFYWDTYDRRKLRVLVGNAAREVRVVRIVGEENLEVFRLMHGREMCEEEKKFNLSLLCNVEAGPDLSWHFLDLSESVAPGYVMLTPNKKYTWMFSIDVESMQVERVEKRNCHARPLFPYELTWPPTIKALVI >Et_1A_004913.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:16096622:16098117:-1 gene:Et_1A_004913 transcript:Et_1A_004913.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQGKLFIGGVSWETTEDKLSEHFSAYGEVTQAAVMRDKLTGRPRGFGFVVFADPAVVDRALQDPHTLDGRTREEQQASKSTNPSGGRNSGGGGGGGGGGDVGGARTKKIFVGGLPSSLTEDGFRQYFQTFGNVTDVVVMYDQNTQRPRGFGFITFDSEDAVDRVLHKTFHDLGGKMVEVKRALPREANPGTGGGGRSMGGGGYQSNNGNSTGSYDGRSDAGRYGQAQQGSGGYPGYASGGYGAGATGYGNYGSGGFGGVPSAYGAPYGNPSAPGSGYQGGPPGSNRGPWGSQAPYAAGSYGGSTGYGAWNNSSGAGSAPSSQAPGAAAGYGSQGYGYGGYGDGSYASQGGYGSYGARTNGAGNPATGGASGYGAGYGNGGGNPGSEGQSNYGGGYGSMQPRVAQ >Et_2B_018939.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:17670886:17672333:1 gene:Et_2B_018939 transcript:Et_2B_018939.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVKPADADPEAQGEPPTRRSRSGAMAALLGRARQNLAFRSVWSELNGAMGDLGTYIPIVLSLALARNLDLGTTLVFTGIYNAVTGLIYGVPMPVQPMKSIAAAALSDPSFAIPEIMAAGILTAGFVFLLGATRLMRLVYWVVPLPVVRGIQLAQGLNFAMAAVKYIRYEQDLGRGKSMGRRPWTGLDGLVLALAAVCFILLVNGAGDEPRSRDDNDEEDADSSGRRRRVKRLLGSVPSAVIVFVVGVAFAVARHPAAVRELRAGPSRMRVVRISREAWKQGFLKGAVPQIPLSVLNSVVAVCKLTRDLFPEEAEKAASATSVSVTMGAMNLVGCWFGAMPCCHGAGGLAGQYKFGGRTGGCVAALGALKLALGLALGGSMLRVLVQFPVGLLGVLLLFAGIELAIAARDMSTKWEAFVMLLC >Et_1B_011214.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18935624:18949274:-1 gene:Et_1B_011214 transcript:Et_1B_011214.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKPYILAVIIVFIYTGMYVISKAAFDKGMNSFVFVFYRQAAASLLMLPVAFVVERKNIQSMSFRLLLKLFFYAFIGNTFGPNLCNESLKLTSATVASAAGNSTPVITFCFALLFRMEMVNLKSSSGRAKVAGIAFCFAGSLVIAFYTGPLLRPVNHHHVFHAGGAHVLKAPGRALWILGTFLMVLSNVTWSLWMVLQAALLKEYPNKLLVTTAQCLFSTVQSFVIAVVAERDFSRWKLHLDVGLLAVGYTGFVVTGVAYYLQAWCVLIKGPVFLSVWNPLVFVLTMFCSSFFIGEHVHLGSILGGIMLVGGLYSMLWGKSKESNGVQNPQEENNPEENGLDKGEKEEATSASAVEREYNWSKSVQCKCKVHIGNRGICHRQLQSCDHLLLSTTIQAPSQGMWIAGTFLMLLSIMTWSLWIVLQAALLKEFPNKMLITTAQSLFSVLQSFVAAVVAERDFSKWKLHLDGFLVTGVASYLQTCCMEMKGPVFLTAWSPLVFVLTIFCSSFFLGEVVHLGSIIGGLLLVGGLYSMLWGKSMESKVVPHDEVNSSNVVQNEQEQTHQRKTVQDMEDLEEPTSTIAIERV >Et_5B_044109.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:20045839:20056687:1 gene:Et_5B_044109 transcript:Et_5B_044109.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPTLPVALAGDALHFPCKLGDVLRYDMTGWCLSVIGPPPSYDGGVSVMRTDDGGLGFAGPPMARRSRTPCRLRRRRRIPPHLTEGIINEILLRFPPDDPAVCRTWQRILTEPTFAGRYRAFHRRPPLLGVLHHRAPNFTRFVRTTTSSFRPTIGRNARDMLVLDCHHGRVPVLYDDSRSGELVVWDPITGDQRGVPFDFRIQQDSPWNAAVVCACCSCDDHSGCRGGPFRVASVATVGKGDNMYRLSLLWGAPTSIETHQLVDPTEPVTLVGDALYFPCKFGGDVLRHDMIGLGLSVIGRPPAYDRGVSIMQADDGSLGFAGVDRDNRLHLGLYRVEERRRRMGSSTQDHRCQTAAQLGIGHNPPILMGVADGAYAIFANADDDVFIIDLKSLKARKVCKRDRSSHGTMQLFRPRRLRRRSQPQLMDDVVDEILLRLPPDDPACLFRASAVCKTWRRIVTGPAFAGRYRAFHRTPPLLGVLRDIAGFVPTTSFRPTPDRPGYMVVSDCRHGRVLLNLNGSDYAVWNPITGDQHRLPEIPEENPEFLPAASAAAAATTAAAPGPFRVAVVGHGDDDERAAHACLYSSVTGAWSAPTSIQTYDFVDSLLPVALVGDAFYFPFVLGRYILRYDTVGRGLSFIKEPPSSLYGRRNRIILTDGGSLGFASFDDKDYRLYLGLGKTGLDGDVEWAAPHRVIDLKGLLPRGVNRIVPVLMGVADGAYAVLANNEDDVFIIDLGSLKARKVCHREKSYCVFPYMSFCTPAGLPNPCELRHEYCNPPWCYCCPATND >Et_1A_007301.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:33140209:33142528:-1 gene:Et_1A_007301 transcript:Et_1A_007301.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding ENVDILDMLICQDLGFSNGRPIAAVVLYRCLLHWKSFDAEKTAVFDRIIQNISSAAEMLIKSSPLSTSIDGASNSPQEGLTDLSTIWSPENCTEQGWAGDQIGRTPPLSTEAPYFEARRPALLFKQQLSVYLGKLYGMIRDNLTTEISQLLVLCIQAPRTSRANLIKGARSQANALSQQTILGHWQNIVKILTNYLNASKGNYVPSFLTSKMFIQVFSYINVQLFNSLLLRRECCSFVNGEYIKAGLAELEQWCRESEQVIHRKTKFTLKEITNDLCPALSIQQLYRISTMYWDDTYGTHSVSSD >Et_1B_011312.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:20181019:20181715:1 gene:Et_1B_011312 transcript:Et_1B_011312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCDRLDLVHLSEDNDSVKWAFERTGCFSTASIAGHQSNTRMIFMLGAICWALWLTRNDFVFRNQLCPSSQSIVHRMLSLMQRWKVLSKREVREEIEMFIQAVRRKLDQRDHHGALLTGR >Et_6A_046772.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:20434412:20436250:1 gene:Et_6A_046772 transcript:Et_6A_046772.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGEDRISGLPDELLHCILVRLGSARAAARTSVLSRRWRPVWTRLPELLLDGNEAPPRARSFLDAVDAALAAYSAPTLELLRITVPDTGGLRVPPHRLALWLWFASQRVVDTLYLHVPLRSLSLDGKGEAELEIPPCGAAKTIVLNLGGRLRIRLVGLFSALTVLDIQIARMEGSELTALVCTQCPLLKELILFIGLVADSNVSICSDSLHTLQFFVRKTQRLEIVAPKLEELWMSLATEVHVSAPKLSDIFLIGQPGMTYDPRRHQFAGVSQHLRLLDISQESTAVSLMQHFFEVTELKVLISIANGIGGYERFLNETNKLPKCETLRITLAWNHHGLVPAVLHFLKRCSSTRNLSVGLPNSCDPSVGYSCPSSCPCRLAESHKIDDIALNSLEVVEISSWASSLEEMEFVEQLSRCKAAVLKKLVIKDTKSPATLTKSSCEMVRSICRADLKIEFYVRSDERLMRFD >Et_9A_062035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:19074535:19079689:1 gene:Et_9A_062035 transcript:Et_9A_062035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETVPALVTGQASTNAVTDQITNVYIWDMDETLILLKSLLDGSYAGSFDGLKDRDKSIEIGKRWENLILEVCDEHFFYEQIENYNEPFLNSLNEYDDGKDLTSYDFEADCFSSPYDDVNKRKLAYRHRAIGEKYAKGLKKFLDQHMVEVWNDLYGLTDQYTDGWLSSAHKLLEEAIGKSSASPTAYSSGINCIVTSGSLIPSLAKCLLYRLDDVVSSENVYSSWEVRKLQCFKWIKERFDGPNVRFCVIGDGPEECSAAQVMKWPFIKIEFRPDGPHRFPGLDMPTIQNYIDAKMVDQSGFSSSSIQFEETVNQHHVVLVPVSLPPTSNFRKLYAKRCDGKHAIVLTVEN >Et_2B_019307.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:22786950:22787081:1 gene:Et_2B_019307 transcript:Et_2B_019307.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPETRLAATGSQTVRDSGSASLYSTKRLWRGASPVARASRKR >Et_4A_035665.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:3392890:3393484:-1 gene:Et_4A_035665 transcript:Et_4A_035665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVPAPLQPQGGRVQDAPGNLQVLMVKINWLEKLRSERGERSSTALSHLVFLDGLNQEQ >Et_4B_039290.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:9662457:9662983:-1 gene:Et_4B_039290 transcript:Et_4B_039290.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSSRAGLLDEAYNLVRSMNVKPDAVIWRALLSACHKNRQTKLGEATLEFMVCQGSGDYTLLSNIYSPANIWNDLEERKQNQVRKKKGLSWVELWEINREFKAGDRSHLTYRSQEKDVSEEREENLTFHSEKLAVAYIVLTTGPGTEIMVSESADLK >Et_1A_005558.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:11423525:11423730:-1 gene:Et_1A_005558 transcript:Et_1A_005558.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDSTTCEAENDASDIEMEVLLANAHKFISNLRMEMQPCVVSEVFIEAGHCNHTCYAEEPCKCTR >Et_5A_041263.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20398685:20403601:1 gene:Et_5A_041263 transcript:Et_5A_041263.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPNREEQPGSRPHPEGDEPETSRSDQGGGGDQASTPHGPPQPLRQQLMGACRADERLRPLLTLNVSCSAADDRFISHLSQHFEVSEVGMLARCLCVPLVSLRVGKVERHGAFLRPTTTRGKLNLGLLPSSSMRLTFAGDDGYSEQLALLNNGCESEAVIEEIPADTSGRSFQIRISQSEVYYYWCAEKSKEHGMELLTKMKNLLDGRPTLCELTGISISRLDAFATHLHTYLLTSSIGDVKSLGSLNEFPSTSRAHDLYLQPHLSASKTSRFRASPVNATKASSSYQTRLSPRSGTFKDGVPRTSCTKVVGREKLKRRGEWSGASTSPIDASPLVSNSVTLDAPDEKSDTDCAKSIVTSAPLDLPLLFPSLPSIYPLTTRPLPEVYSEKQFKPYYCWCPPGPSLQYSVTPLHLPATSVEPLPLPPLSALLSNELPPSSVSVPTFTPLMSDPIVHIPVIDVCSAGQAYLVSCGPSISSTVPLLPSLPLLPETESLVERSARETLMRLIASTPPANSPQLANILPVIVPNFPENISRVHNVSQKALQFNKFKFLVMWLICALLCFVNKNVGATNCCVDIFGCGIGAMELHSEDQASREYDSRAPSAENDDITNDRDMQHFQEL >Et_2B_022949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:925016:929303:-1 gene:Et_2B_022949 transcript:Et_2B_022949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVKLVEGFKFDDPTTSDVRVCFKLIDEQPEWFSCHSSVLSQNSKYFSDRLGQSDIRSNSCIEIECPRVEYDHYVKMLKLLYLSGESIVDSFDSVKSAIGVLRASNSFGCKLITRCCIEYIEAASWDENEEEEILEVARCLGPEAVSLLARLQAPSADAVKNVFISAIRFATGMETLCPPFLDDLKTSAQEQIDFMIHEDDDTALITMDDDVRSVVQEGLRKLLSALRTGLDLLATEFDQSPDQAEQRILCCLGDIDWIANFLTKIEMMHDFVSCWSEISDPILSVIQDNKYSSGLLAVKAKLIEVTGKALDAVGYGSVVLPASSRVHFLKTWLPYIQKTKLLLDVQTKDESSPQMDSDVCQNIESAIVAMVLALPSGDQADILAEWMKKAEQFRYPDLTEAFEVWCYRSKTAKRRLVGGLNGAGNPTVSLATKPLEEVNYCNGSTVLQEMKYAEVALGTKEGAAVQDHRSVSDQAHIIDYQNFRLSMLLYARAMPRSALELWTWSRKPVAA >Et_4B_036825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:11792320:11800619:-1 gene:Et_4B_036825 transcript:Et_4B_036825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYFSGIGWKSWKKRWFILTRTSLVFFKSDPNTLPQRGGEGNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTADTFEGAVPNWREKRPTKSLVTGRPILLALEDIDGSPSFLEKALRFLEKHGIKVEGILRQAADVEEVDRRLQEYEQGRTEFSADEDAHIIGDCVKHVLRELPSSPVPASCCTALLESFRLETKEARINAMRSAISETFPEPNRRLLQRILKMMHTVASHTSENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVTTLLEEYESIFDDEHLRCSLSPESQIEDSGTEASTDDGNLDAKGNGFHDAENDVDQEMEDDNGAERILSGKLSESSGYAGSDLYDYKAVNVDESDAERKVEVLEGNVDLRKVQNSRSAENGSANVDTLLCENNPSNQTPGHETPLSMGEILSSFDPGISVPSQSSEHSVERQSNKINGSQPHVKRSNFWGRNNARRSQHSESVDSSGEEELAIQRLEIAKNDLQNRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERNLRAALEVGLSMSSGQFSSARSMDLKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGSAVDADDRHHRLPGHFSQQNFVQQGFDMNLAFCNQEKQRNEESSVDSSQWRNIKQHVLPYGSSRPLTRKLSFDVSSSESRGTEASTSMSTENTSVTINVPKLAEGMEYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSATQGFPYKPPSPWNNPR >Et_8B_059818.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:381224:388217:-1 gene:Et_8B_059818 transcript:Et_8B_059818.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPGADSVQGRESNGVVSESNGGASPPKQQLQGKEALRYANILRSRNKFADALQLYNVVLEKERANVEALIGKGICLQAQSLPRQAIECFTEVVKIEPENACALTHCGMIYKDEGHLIEAAEAYRKARAADPSYKPASEFLAIVLTDLGTSLKLAGNTEEGIQKYCEALEVDSHYAPAYYNLGVVYSEMLQFDMALTCYEKAALERPLYAEAYCNMGVIYKNRGELEAAIACYERCLTISPNFEIAKNNMAIALTDLGTKVKIEGDINQGVAYYKKALFYNWHYADAMYNLGVAYGEMLNFEMAIVFYELALHFNPRCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIIANPTYAEAYNNLGVLYRDAGSITLAIQAYERCLQIDPVSRNAGQNRLLAMNYVDEGSDDKLYEAHREWGKRFMKLYPQYTSWDNSKVVDRPLIIGYVSPDYFTHSVSYFIEAPLTHHEYTNYKVVVYSGVVKADAKTLRFKDKVLKKGGLWRDIYGIDEKRVASLVREDKVDILVELTGHTANNKLGTMACRPAPIQVTWIGYPNTTGLPTIDYRITDSLADPPGTSQKHIEELVRLPESFLCYTPSPEAGPICPTPAISNGFVTFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFCCDSIRQKFLSTLEELGLESLRVDLLPLIHLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSIHAHNVGVSLLSKIGLGRLVAKTEDEYVSLALELASDATALQELRMSLRELMMKSPVCDGERFTRGLEAAYRNMWLRYCDGDVPSLKRLELLQEHLIANKQDSGKTAEKLADVKAQKANATVEADKQPPTVEVDKQRLTMANGVISPEPSASVKCKSNGHSN >Et_3B_029428.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:24934108:24942450:-1 gene:Et_3B_029428 transcript:Et_3B_029428.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIATSRLLPRISALSFSTASPAAPAASSSPASASAAAAASDASATGDPSSPPPPAASRPWRALKFAAFAAVSAVVGTTGYVSYACSLEEVNQMTLELRKNSKRPIPEDMSGFEKFQAMAYSTAMKVPVAAVELYLDVRSQIEDQIRGFSEPVSDKLLPDLAPQDQHVLTLVLDLNETLVYSDWKRERGWRTFKRPGVDAFLEHLGKFYEIVVYSDQLSMYVDPVVDRLDPKGIVRHRLSRVATKYENGKHFRDLSKLNRNPAQVLYISGHALESTLQPENCVPIKPWKLENDDTQLLDLIPFLEYVAMARPPDIRTVLASYQGRDIAAEFIERSKEHQRLFLEPALARTKATRTLMAERE >Et_2B_022002.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:7898172:7907735:-1 gene:Et_2B_022002 transcript:Et_2B_022002.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVMHLPLVLLCLLVGHTHGSRPVMLRSIYDSSASPSAKTCTSIPRGFSSGNKLPVSLDEILHHDGLRLRYLSDIHRSDAGPDLSSSAAPAAAPAPSPSPSAPAFAAVSFPATQNIISAVPGVFDYTVIAGYGTPAQPLPLYFDASGMSNLRCKPCFGGCGAGRAFDPSLSSSFRTVPCDAPECSVSSCSSSGGTCDFTFQNATFVFGNGTVVTDTLTLSPSAAVLDFAVGCMQVDHLYPDGDGVAAGNFDLSQSRNSLVSRLLPTLPPDTAAFSYCLPADTDAHGFLAVAPALSDYAGVQYVPLVNNSRGPNFYFVDLVAIAVDGKDLPFPAAAPEFAGNGTTMIDAQSWFTYLNPPLYAALRAEFRRAMARYPPAPAFDELDTCYNFTGYSYIQLPEITFKFGNGVAMDLGDRQFMYFFREHLGDDFPFGCLAFAPAQDETFPWNLLGTQLQRTKEVVYDVRGERIGFLLPLLLACSLLLTVAAPARDIADACTSQINDFQQLNSTGLHLTLHHPLSPCSPAPLQSDLPFSAVLTHDDARVAHLTSRLAGEATSSRPTSLRKKKAATAGFADSLAATVPLTPGVSIGVGNYVTRLGLGTPATSYAMVVDTGSSLTWLQCSPCVVSCHRQAGPIFNPRASSTYAAVSCSASQCGELQAATLNPSACSVSNVCVYQASYGDSSFSVGYLSKDTVTFGSGSFPNFYYGCGQDNEGLFGRSAGLIGLARNKLSLLYQLAPSLGNSFAYCLPTSSSSGYLTIGSYNPGQYSFTPMASSSSDGSLYFVRLAGMSVAGSPLAVSSPEYSSLPTIIDSGTVITRLPTSVYTALSKAVAAAMGGTPSAPAFSILDTCFQGQASQLRVPAVNMAFAGGATLKLATRNVLIDVDDSTTCLAFAPTDSTAIIGNTQQQTFSVVYDVAQSKIGFAPGGCS >Et_1B_013747.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:1552113:1553540:1 gene:Et_1B_013747 transcript:Et_1B_013747.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGPFQPVTVLEQCRISPSPAPAAGQPRALPLTFFDLVFWDFPPVQRLFFYDNADLLDVPDFQASELARFKKSLAAALHHFYPLAGKLACQLAGGAAPEVAFSDGEDSVRLTVAVSGDDFHDLAGDHARDTARLRPLLPSLPKHGGGGGSASHDVFAVQVTVFPGAGICVGTTLHHAVADGSSYVQFMKTWAAIHRSAGAECNRKVAAAVPDVVPPLFDRGVVRDDAGLREAFIGDHRDLAAADDRRLGDWDLSRRPSVVLSTFRFTEKQLNRLGRHVESETSARCSPYALACGAAWAGIVHARGSGGAASSDDACFGFVTGCKPRASPPIPANYFGNCLGLCRVEATNTKQGGGVLTAAAASAAVWRVIEGLAEEGSAFRDARGWVRLVREYASRRAVTVAGSPKLGVYAVDFGAPWGRPRKVEIASVERTGALALAESGRDGDGGIELGLALPRAEMEAFRAFHRDLFAGLN >Et_9B_063806.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:15485892:15486086:-1 gene:Et_9B_063806 transcript:Et_9B_063806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VIDCHPFRAELREVGVIHCKRDQNKVANCLARHSCRLQSSKVWLHHGPHYVTHLLQAECNHALI >Et_4A_033617.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:25021661:25024518:1 gene:Et_4A_033617 transcript:Et_4A_033617.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSVATAVAASALRRLSHHRAPPQSDSRLSFLRSELDDLDLSVSCQPPPREQCRVAEESRSGHAGAGSKPAAVDIAHPWPEWVALMELLLRRGLVDPSAFAASSASPSKDANLVRTACLRFGRERPELIRHISRWDIQVAVRCGCPSTDRKVVNSGKRLRAYVGLDEGEVCSQCDLRGSCERAYVKARKEEIGRTVDVLRILLTYGLDVINGNVENRACLSKTVKESIKSLLTEVVEVDSSGSGSSIAKVAQRKGQSGLPMKQGDWSCPKCNFLNFAKNIKCLRCNGEFQERYRLLHEDQEHLPLKKGDWICKKCNFLNFAKNTRCLQCHEKPTNRFLNPGEWECVSCNYLNFKRNGFCLKCGWKRPKSLNNQDSIESIHDLGHNKNPTISFVEDGIQSKRLQSQHKSALVTDDDSDFWSSEEEQGDYSSNKMRQMQDYKFLESFPIVGGRTATSQDLLAREKWKEDMSRRTKGLPTEESKESNQAFSPARLPKSMELVESDDDEISAWFSDGTSSRNPGKA >Et_4A_033543.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24267895:24273058:1 gene:Et_4A_033543 transcript:Et_4A_033543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRGLTAVSRLRSRVTQEATTLGGVRWLQMQSASDLDLKSQLQEMIPEQQDRLKKLKSEHGKVQLGNITVDMVLGGMRGMTGMLWETSLLDPEEGIRFRGLSIPECQKVLPTAIEGGEPLPEGLFWLLLTGKVPTKDQVDALSKELLSRSSVPGYVYEAIDSLPPSAHPMTQFATGVMALQVESEFQKAYDKGMPKSKFWEPTYEDCLNMIARLPPVASYVYRRIFKDGKRIAADNALDYAANFSHMLGFDDPKMLELMRLYVTIHADHEGGNVSAHTGHLVGSALADPYLSFAAALNGLAGPLHGLANQEVLLWIKSVIEETGSDVTTDQLKDFVWKTLKSGKVVPGYGHGVLRQTDPRYTCQREFALKHLPEDPLFKLVAKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSIGIGSQLIWDRALGLPLERPKSVTMEWLENYCKNKAA >Et_3A_023844.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:13369922:13371948:1 gene:Et_3A_023844 transcript:Et_3A_023844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VNILSRLPFKSFCRFKCVCKTWLAFSSDAHYRQKLPKVPTGAAKLLQAFSASATREWRILPDAQRKPDIFHHTFKLGFDPGTSPHFCVFNFRYDRGPGNLVGDMNQVEMFSSRNATWLVYPNMLDPENSSIAVSKRPHMFLDGYLHAHTDRDVWVLEEITAQIGRRPSNWTIELPLHRVNCFADHCFRGCLGQSWGTLHYAVAEEDGRTILVWGRDYYIPDGWTIEHRLSMSDAFGRNDFVHYEYDYIWTCNYEIIAIDMERKVLFLTDDKTKRLLSYSISTGKLTEITDSTHWYYYYVVCCLKLPPPPKEPYMYDDEDMM >Et_2A_016893.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:29173224:29178939:1 gene:Et_2A_016893 transcript:Et_2A_016893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGPRDRGGADVSGPPPFRGPAYKTKLCALWRGRGGCPRPDCGFAHGEAELRRPPPRPSFQPRPRPGRRDSRDHDFRFRPERRHSPRARYSPERDFRGRSFRDEKPSSQDRGSSHSRSPIRNSERKHRKSSDGGKSDSSESFRTSDNEDIEKKERYPSNDEKNGDYEAQLKQINLDMEALREDKSKLEIILEKKIEEAHKLSSRVNDLESQLNEAKEDCQRSQARFERLADLLASDTLKPSTKEQGSGGNANEDQYNSFEMSPSHQRQNHVSGSRKRSIALSTSEEAKTGKKRRESDDDIPIPTKYKPEHALEPSNNSKGNGMLKPIYLQKKLGDGDYEGANIVSSSNVFTDRVRIKISFCSNSFCVDYFSLTVDASLSYTVVKMRMFMLIKHTCRKP >Et_2B_020560.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:21341437:21346293:-1 gene:Et_2B_020560 transcript:Et_2B_020560.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAFGPVRVLNLQVQFGDRDMGMEVVGAEAAPAQVKVSDGEVSLFQEKESKETAKEREEAAVFGSDTNGASNDLAPPKDAKDEWPEPKQTHAFFFVKVRSYEDPKIKAKLDQADKEFQKKIQARSKLIEAVRAKKAERSSIISELKPLSAENKQYNEVVNEKIKEMEPLRSSLGKFRDENNAMRAQSAGLCSSIEELEHTIKMLNDRIVHESIPLAEEKRLVKEIKELEKSRSKVASNAANRAKLQDTVVEKEAIQDQVKIIGEGIDGIKKERQAVRSKIKVLEDELKIVDAEIASLQEDLDAATARKDKAYEALVELRQARDAKNAGSHHNRTVLNRARDLSSRNMLTELQELHKTEVDKFMSQWCESKAFREDYEKRILSSLNSRALTRDGRMRNNDEKPIFIESHAPAPPAEQEPVPVKLPAKQAKEAPAKQTDEAPKVEARSKGPVKSLKAKAALDADDEYEPEPPKEKPKPKEVDTAKLKEIKRQEEIEKNRLALERKKRQAEKQAAKAAARAQKEAEKKLKKEENKAKKKAGAADTDEPSESDAKSDEAAEAQAEEETAPASTSVKKEQKNARNKSVVTRTKAPLPKAILKRKKAQSYWSWAGPAAAVAAVLVALLAILGYYQYYRPASASN >Et_3B_028605.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:17249755:17253998:1 gene:Et_3B_028605 transcript:Et_3B_028605.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNEWEKHTDSRALRNHSLLWYALDDVPCGNAKSTPGINKEELLKLQANSYSPVYAKWTTERCAVCRWVEDWDYNKIIICNSCPPRVLRSNCCSRSYNMGLLIMRISSTKTRVLPMSSGALKPIDVDQLWVHVTCAWFQPKVSFPVEATMEPAMGILSIPVEISICSAYLFKFHLIFVNIVFYVYSRHVLHASRCMVPAPSDGNVLLITMQCVQQERDIAWSCNTLKKMADNTPDPDNVLVVKTPKGVFSTNFFLQTNEKQTATRLVRRDNHQEKKEPRSPIAHRIMEPRHHSQDLIDALNTCMVWFLSDCCSYLAMSAH >Et_1A_004644.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:25563932:25564456:1 gene:Et_1A_004644 transcript:Et_1A_004644.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFKKMPQQPHFSPLQKENPIQREGIAIGLMLTFANVVESISNSSIENSSASFKDKDDTLSQLKRYGFSVDKLQTCLNKLIEMKSEYARHITERDIVQAQKQWKGDSCSEIDNQRDKKVKMIMQLVQELQQLDEEKKAIEAEFLELEEAESMVDKACHDIKEQFGDTLAEHLG >Et_3B_030042.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:29986362:29989852:1 gene:Et_3B_030042 transcript:Et_3B_030042.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIAGGGCWRLLTLHRGAILQHRRRQHPPFSSLAGGGGRGEAPHLPVLIVGAGPVGLVLSFLLTKFGIKCAVIEKNVEFTRHPRAHFINNRTMEIFRKLDGLAGDIERSQPPVDLWRKFVYCTSLSDFDKVISPISVAHFSQYKLVNLLLKKLEGIGFRTCFPDEICSSAQDLVLENKILMGHECTSLQQTDEGILIGASFKSGGRVRERKLHCGLLLATDGARSTVRELAGIPMEGERDLQKLVSVHFRSRDLGRYLSSERPGMLFFIFNSNAIGVLVAHDLEHGEFVLQIPFYPPQQMFEDFSSKVCEQIIVNLVGWEPADVLVLDIKPWAMHAEVAEKYIGCNNHVILLGDAAHRFPPAGGFGMNTGVQDAHNLAWKLCLLLNGVASPSIMQTYESERRPVAIFNTELSVENFKAAMSIPAALGLDPTVANSVHQVINRSLGSVIPRKLQKAVLEGLFSIGQAQLSDYILNEKNPLGSLRLARLRSILDEGKSLQLQFPAEDLGFRYEEGALVPEDCPEATQKAEKPKRSKRSSDYIPSVKVGSRLPHMLVRALSASNEGVFSTLDLVSGDKLEFVLITAPLKESYKLARATLKIAEEFKLSAKVCVMWPQGSADSEAVEGSRSELAPWTNYVDVEEVPRVPGNAWWEMCKISRKSVILVRPDEHIAWRTESDVVGDADSEVRRVFSQILCLNSHRM >Et_5A_041620.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25037021:25037807:-1 gene:Et_5A_041620 transcript:Et_5A_041620.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIGSPGTWSVTAGASFATTAAAYAFATNSYGVFRFLLGCVDVVAIKKQLNLRVRRILLPLGLIDWAMAVLTFAASSSSAGVAFFFGRDTNMCELYAPQLCNHYNTSVVLALVTWCFSAASAAALFWVVASD >Et_2B_020351.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:19225807:19226421:-1 gene:Et_2B_020351 transcript:Et_2B_020351.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRQRSIFHLGEEGGDEHVKSMDKSTRHLGRSRNQGQQRRERDATDAVVGLRILVAQQRQHARATPSRSHIVLKQMVLPTPAARGNHACGFLRACFLCRRELSPDKDVYMYRGDQGFCSQECRWRQILKDEAREREAMFKKHRRGLSIQHHLGSRSAAAPAVRGAPGRLLAVA >Et_3A_027012.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:29419178:29424308:-1 gene:Et_3A_027012 transcript:Et_3A_027012.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSAPPVSPRLALEAALVLLAVSALPSPVAGVNVTAVLAAFPNFADFARLLASTPVAGELFGRSSLTLLAVPNANLPQSPSAFAAAAGADIADVLRYHVLLEYLAPSDLARLPSSGKLVTTLFQTTGRAPSDLGAVNLTAGANSTVVVRSPAPATGSNATVLGAVTAVPYNISVLAVGGLIVPSGFDLAASESGPPPPVNITRVLTDARGFNVAASLLQASGVAAEFEADEHGAGITVFIPTDDAFASLPATGRLQSLPADRKALVLRYHVLHSYYPLGSLESIVNPVQPTLATEFTEAGRFTLNITRVNGSIAIDTSIVQASITRTVFDQNPVAVFAVSKVLLPKEMFNPGDGGTLATASPSAAKAPDDSGNEQTPPTRLSSPPGEDDKSSAALTMAKARAWWSIPQET >Et_6A_046865.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21784432:21784822:1 gene:Et_6A_046865 transcript:Et_6A_046865.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIGVGNVGNIVQIGLLIKDAVVTVQQNKEDCDKIKTRDTSIKHRPTASGEEAADKQFEHEQRAGGAGADPPQRPHARHGLPGDAHRAWGLSKQLHRVRDDIFGHMMMEVVGAGALHRRDMGG >Et_4A_032776.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:13705725:13710129:1 gene:Et_4A_032776 transcript:Et_4A_032776.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLLHGVIDAKILEADLSVTSDGKLRPAKKTVMKRKVFSWIKKLPFCNCQQQLENAFGLGPTAGKLYATVDIDKARVGRTRMVDPVHSPQWQESFHIYCAHDASHVIFTVKADNPVGATLIGRAYLPTGGAVVQGQQVDQWLPICDEKRQPLEGGDKIRVQLRFAAVGSAADPAVGRWDAGVPRTFFGQRRGCRVRLYQDAHVGGEGGFEPRVQLAGGRMYEPQKCWADVFEAINNARRMVYIAGWSVNTDVALVRDPSSGETLGELLKRKAREGVAVLMLVWDDRTSLGLGPIRRDGLMATHDEDTAAYFRGSGVRCVLCPRNPDQGRSYVQDVETATMFTHHQKTVVVDGGGSSDPNAPPRLVSFLGGIDLCDGRYDTQEHPLFRTLGTTHSKDFHQPNFPGASVRKGGSREPWHDVHCRVEGPAAWDVLENFEQRWRKQGDGDNFLVTLDKSWAAREAVEGDAESWNVQVFRSIDGGAAAGFPEKPDEASRLGLVSGKDHVIERSIQDAYIHAIRRARDFIYIENQYFLGSSYAWRQGDGGVAVESIDALPLVPRELSLKIASKIEAGERFAVYVVVPMWPEGVPESGSVQAILDWQRRTMEMMYKDVALAIQAKGLNADPRDYLTFFCLGNREAVTPGEYVPPERPDPDTDYSRAQQNRRFMIYVHAKMMIVDDEYVIVGSANINQRSMDGGRDSEIAMGAYQPAHVATGRNRAPRGQVHGFRVALWQEHLGRQAAAEAAADILRPSSVACVRRMNQVGERHWAMYADDSFRGDLPGHLMAYPVGVGGGGELLETTANFPDTKAKVLGTKSNILPPILTT >Et_7A_051929.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:2901208:2902594:-1 gene:Et_7A_051929 transcript:Et_7A_051929.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHFLRNLRDSPKLNWGAAVLAVLYRSLSVAAQTKTISIDGPLMLLQMWSWTRLNVSRPVPKYPFTSWGLPDPDSCQPYGRYWTGALSFPNSPHNAGMGSPRDQLDAMLDEFVNWLPYEEYISSLPAVVQQDRTNWAEKWEEYIRNWDSPRDLLVHEERPYDIATRSAYLKWFGKFGMRTVFLQRHHARCLDEPRPLPSDPVIP >Et_5B_045195.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11554830:11556601:1 gene:Et_5B_045195 transcript:Et_5B_045195.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEKRNSRNKSKMSLKKQSSPPMPTTVHDIPDKLLELILLNFTSPLWIIHAAATCRRWRRIVSRGPLISFHDHLPEAAGYYYPPPQSGGSPTFVPASSPEITIDRRFFSLDFLPGGSRSWKLTDSSGSLLLLTKRKGGPDVAWGGLDLHLRSKDSLQLVGHDAEASFWLIKDDDTSKRRLVCALWNAWFKLLVLPQHIQRLCTDENTFRFVDGGDHMMRIVCLEGRTMRVFAVHMQYWYDDSGRSDWMLENILDLSVATRGLLGPKEEYLGDATVAAKILNVSRRCVVLVQPGEEMWSFSVDIRTMRVERWNMGCKSHDMAYRYELPWPPRLQALYTIVHS >Et_1A_005748.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:13458116:13460275:-1 gene:Et_1A_005748 transcript:Et_1A_005748.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding EEPLVNRDTAAAAANVPTTKAMAAFRSWLAKAAHLLACCCNPATDGPRDPERLARETIFSVNEIEALYVLFKQIDSGAVEDGMISKVFHLFDKNETRGLEFEEFARALSVFHPDAPIDDKISFAFRLYDLKNQGFIERVELKQMMEATLAEANLNLSDETFEEADTKKDGKIDFEEWYTLVNAHPSLIKNITLTYLRDITVAFPEFVFHSQVKQSNEVYR >Et_6A_047801.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:1752330:1753211:-1 gene:Et_6A_047801 transcript:Et_6A_047801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEALRERMLQLGFRFNPTPQEAVTYTLPRLIAGEPLHPAVRPYIHDTDIYACEPGVLASQFQPTPRTGERFFFTSCKRQPQKAGKSSRAVRAAGQGSWHSQGNSTDVKDGAGVKIGEVKKLRYKKGGKLTDWLMDEFSCCSEDSVVGDRQRVLCKMYVSPRAAPDSVARQEAAAAAFALPVPEETIVAPKRPAPSVVEQPCPKRPRCAVVATPQMVTPSVQVSAVAQAVSSPVAARDPFCTESPAAAEDDDDFDLVNFLDDTLETEQAEEEDAQDDTNWFAFPLANQMMCA >Et_3A_026213.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5500187:5502229:-1 gene:Et_3A_026213 transcript:Et_3A_026213.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAKASVVPESVLKKRKREDQWAAEKKEKALVDRKKALESRKLIFARAKQYAEEYDAQEKELVQLKREARMKGGFYVDPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNNVIEEGLGKHNIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNREIYINELIKRMN >Et_3A_027248.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:5826777:5828998:1 gene:Et_3A_027248 transcript:Et_3A_027248.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSGGTAPPLLLLLLFLPPLLVSHANAGSLEEDVAALSDFRRAADGPAGALASWNLSANPAPCGAWRGVSCAGGRVTRLVLEGLGLSGDDAALRALARLGGLRVLSLKGNALSGGIPDLSPLAGLKLLFLASNALSGPIPPSLGSLYRLYRLDLSCNNLSGAVPKELSRLDRLLTLRLDSNRLTGGVDAVALPRLQEFNVSNNSMSGAIPAPLAKFPAAAFDGNAALCGAPLPPCKDDAQQQPNASAAGQCPPSTVASSPYAKPAGAETPDNGGKGKMSRAAVVAIVAGDFAVVGLVAGLLFCYFWPRLSGRGRGSRRLTQGEKIVYSSSPYGAAGVVAAAGGPGAGGGTFERGKIVFLEDISCNSSGGGGTRRFELEELLRASAEMLGKGGSGTAYKAVLDDGTVVAVKRLRDTPAAAAASKKEFEHHMAVLGRLRHPNVVPLKAYYYARDEKLLVYEYMPNGSLFSLLHGKSSYLCFHSPHLTSPLLGTVKFPSPKHSHCVVVTTGNRGPGRTPLEWAARLRIAAGAARGLAYIHHAGRRGSGTPKLAHGNVKSTNVLLDRSGAARLADCGLAQLGSSPASSAGYRAPEAPAPPGAWASQKGDVYALGVVLLELLTGRFPGSDLPNGGVVVELPRWVQSVVREEWTSEVFDLELMKDKGIEEEMVAMLQLALSCAAAAPDQRPKIGYVVKMIDEIRACGEGSPSHDESMDESSGVSDSPAVSEGGAISQ >Et_6B_049480.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5430694:5435344:1 gene:Et_6B_049480 transcript:Et_6B_049480.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVENEWINGYLEAILDAGVKHRGATGAPLPLPRLTALGDDGDPAQAAEAAAAAYSPTRYFVEEVVSRFDDRDLHKTWTKVVAMRNSQERNNRLENLCWRIWNVARKKKQVEWDFLRQQEQRRREQEQGSREAAEDLAEFSEGEKDRPDGAAAQPAAAADGEQQQSSRFARINSEVRIVSDEEDEESKDRNLYIVLISIHGLVRGENMELGRDSDTGGQVKYVVELARALAATAGVRRVDLLTRQISSPDVDWTYGEPVEMISRPSDDDDGNSGDGGAYIVRLPCGPRDQYIHKESLWPHIPEFVDRALAHVTHVARALGEQLSNNAGDDPPQPVWPYVVHGHYADAAEVAAHVASALNVPMVMTGHSLGRNKLEQLLKLGRAAPAEIQATYRIARRVEAEETGLDAAEMVVTSTKQEVEEQWGLYDGFDPLVERKLRVRRRRGVSGLGRYMPRMVVIPPGMDFSYVDTQDLAEGDGTDLSALISPDKAKKPLPPIWSEVLRFFTNPHKPMILALSRPDPKKNVTTLLKAYGESRHLRELANLTLILGNRDDIEEMSGGASTVLTAVLKLIDRYDLYGQVAYPKHHKQNDVPHIYRLAAKTKGVFINPALVEPFGLTLIEAAAYGLPVVATKNGGPVDIIKALHNGLLVDPHDAAAITDALLSLVADKARWAECRRNGLRNIHRFSWPHHCRLYLSHVAANCDHPAPHQLLRVPSAGAGSAASAAAGGRDDSLSDSLRDLSLGISVDTSHDIGAGDSAAAAIMDALRRRHAATADRPAAGHGRAAAAGFAPGRRQSLLVVAVDCYAADGKPDVEGLKKAIGVALSAGEGSGGRVGYVLSTGMTIAEAAAALAACGADPAGFDALVCSSGAELCYPWKDQEKEKLAADEEYAGHVAFRWPGEHVRSAVPRLGKADGATEADLAVDDAACSAHCYAYAASSPAKVKKIDAIRQSLRMRGFRCNLVYTRACTRLNVIPLAASRPRALRYLSIQWGIDLSKVAVLVGDAGDTDRERVLPGLHKTLVLPGLVAHGSEELLRGEDGFTTEDVVAKDSPNIVTLAEGQAPADVLKAL >Et_7A_052349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:7089345:7092967:1 gene:Et_7A_052349 transcript:Et_7A_052349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYEYETNGYHRAVEDEYEDEYYDQDEYEEEGAEEGYVEEDPPEGQKEILELRERLKEQIRRKALAAGASTAGRTSSSHDRVPPTRDKFGSFFGPSKPVISRRVIEERKSLKELHSTITRDPRPSGRDVPSSSKVQSKGNGVQHKAKIVNEARKKAEALKDNRDYSFLLSDDAGLLPSPKEKPAARSSLAQKADREGMHSAVKSKASTSQPAPLSNGYSSKNTLSAQRHAEAKIDSLRRDALSNRERAVSRDKERMHSINRNGPSQGNASKTPSQKHPSKGPIGTRYPSKDVNDSSVRKSTVPSTSRHHLSETARPQSSQSQRMPSTGHRPQHPSHDQRPHQSLQQKPQQTVQSRRPQQMSQGQRPQQSLQSQRVQQSMQSQRQHQSSLVQKSQLSQNHRPQSQSYKSQTLQGQRPISSQGQYSEQRRPLSNDRVKLAERQIRPLSKPMPSRPISSNGIRDDHAKKKQVVKRRFDDDDEEDPLAMIRSMFRYDPTKYAGRDDDDSDMEADFATIEREEKRSARIARQEDEEQLRLIEEEERREQERKRRRMARG >Et_10B_003035.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:15666721:15671652:-1 gene:Et_10B_003035 transcript:Et_10B_003035.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACANCRLLLFPLHAPKLPPAPPPFRRRRGAWAGAARCTAEASGGWGGIVEDDLSELLQILPRDLRDNLQNEPRKDQLLEVILDLGRRPEARFLGDSGGQYLRENEISLQELEEAQRAVGEFGGDNRAGIEGTLHRISAIRSRKGMVVGLTCRVGRAVTGHVDMVRDLLNYKESILFLGRPGVGKTTVMREIARVLADEFQKRVVIVDTSNEIGGDGDIPHAAIGGARRMQVPEPSMQHRVMIEAVENHMPEVVIVDEIGTEAEAQACRSIAERGVMLIGTAHGERLANIIKNPTLSDLIGGVETVTLGDDEARARRSQKSILERKAPPTFPFLIEMRERHYWVTHRTERSVDMLLNGKKPLVELVLYGVPPLVNPTGYNYETLERIK >Et_10A_000146.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:12203057:12203134:-1 gene:Et_10A_000146 transcript:Et_10A_000146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQRKKLHNKYCLNCSVERYLADS >Et_6A_045946.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:12798686:12798883:1 gene:Et_6A_045946 transcript:Et_6A_045946.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVELMLMACLKRVKTVMEMGIGKIMLETDALPVKQVVKSFSHADCPYGSYRASKKLCGLRLLKC >Et_1A_008958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:21119416:21121281:1 gene:Et_1A_008958 transcript:Et_1A_008958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQPGHLGFLPCSKGTTTNNDRVPYSCLADEPVIYVPSNREASTIACFANKVLRFFKDWPSPLMLFSATSFNLSNLSLMPLSPNSVPNLSLIIFMFTVSSPPVGATLSPTFQISQIYVWFVN >Et_2B_019350.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:24937437:24937940:-1 gene:Et_2B_019350 transcript:Et_2B_019350.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATTVEAPLWSLPEGGVGVVVLPPAAPGALAEPDGGCGAPPGVDGDGAWTPGDGGELGVVVGADAGAETVGDGAEVGVAAGAGKGAAEGGVAEVGGAAVGGDDGLSWGAEDGGGMVGGVRVPLRSARTTTMSFSLARQLASLPLMKKKGPERSNVNTVLPSSNFLS >Et_3B_029815.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28139229:28144825:-1 gene:Et_3B_029815 transcript:Et_3B_029815.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASNGGLLYHEVQEGKLCAVHCVNTALQGPFFSEFDLAALAGDLDQRERQVMLEGGAGTAAAGDFLAEGSHNVSLGGDFSIQVLQKALEVWDLQVIPLDSPAAGSSLFDPEQEVAFICHLQDHWFCIRKVNEEWYNFNSLYPAPEHLSKFYLSAFIDTLKGSGWSIFAVRGNFPKECPMATEGSNGFGQWLTPDDARRITASCNQVESPIHQANTPIRGDQSGGMSEMDIIAAQQEEADLNAAIAASLMDTGGPFANSDVAHAYSKPHDALVTEAAPSTISKENNKEESEKSEHSMPPCENTKESASGRGMSEMDIIAAQQEEADLNAAIAASLMDTGGPFANSDVAHAYSKPHDALVTEAAPSTISKENNKEESEKSEHSMPPCENTKESASGSDMIKEVSSVEGKESVKED >Et_1B_011079.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:17260956:17264022:-1 gene:Et_1B_011079 transcript:Et_1B_011079.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSNSPERSPPEGAPRWSCHRCTYYNPIGADSCEICESARLVEIDADSPAPAPAESAPLRPCGKKMERAESPDAAASTKTERAESPDVAEATPMQRCGKKRGRVESPDVVELCDSASGGGDGNGKAPAVKEGNIETHFDKRTFKIMTYNVWFREDLELSRRMDALGELIKHHSPDFICFQVQNLRRLHRAYIYFCKNLTGGKNTNACCHKRRLLGGHITACSLPQILQLSKVPAKPSENIPFSNSIMGRELCVASFRTGELTNLVVATTHLESPCPAPPKWDQMYSTERVTQAKTSLENLRPYRNAILCGDMNWDDKGDGPFPLPDGWIDAWVELKPGNEGWTYDTKANGMLSTNRKMQKRLDRFVCKLEDFRIDSIEMIGTEAIPGVSYLKEKKVRKEIRKVELPVFPSDHFGLILTITQLDNDNF >Et_3B_028743.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:18592279:18592686:-1 gene:Et_3B_028743 transcript:Et_3B_028743.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLLSKASSAVASCARRVSRATRRFLRSRRRHHQPSCDGDKEAAKEGEGDGEGLWRRAILMGERCQPLDFPGVIHYDSFGRRLSAPPPPRGGGKSALLCRSTYDVDEAAFVAPRKVKHV >Et_3B_027631.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6882991:6884241:-1 gene:Et_3B_027631 transcript:Et_3B_027631.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLKERVKKERKKPKRKYIYQAARKREGITAYHTMHARTHTDSSIELDRVSEVLSDAREVRLPRRGVAAGAHPPPVLLRRLPLLLHRRRRRRRRRHHLRRRRSQPELTIGDDDAAFRLAADVPGRRATGTGAGTPGLLGVRDGGGRDEEVDLPALELRVRRHDEYPAHHPLLRRARPAEHVVGVRGEHLPGRHAAAVLVDAAAQVHDPDQVQRAAGEHARQAATQAVAHDRSTERSVPTTTTNCRAVPRRAFLSPSSGSLSFSLSVLYGGGRRYIGGDKESN >Et_4A_035531.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:28084317:28085126:1 gene:Et_4A_035531 transcript:Et_4A_035531.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQSSSRNHRSKKLRPSHSFQALLLFAVGVWIVYQLTRSHSKRQAVVVETNGGDGEPAGRRLGRKGFFGFTGHASDSDIVSGVSDDAERGALSYDDPLSKDADNDGVGDEEDQAADQDDGADDGDDSLAADKEEDDSDSLSQNGNSEDELKTGEGDQATVFSNGDDDGTRLHEPKELNSSSVLRMNATGRVQDAASSEQVNATDGASSADGSAIPLGDSALKNTSSVNLSLNGIAAESKKLNNNVSAEDNRRISQNDTADSIGHGISS >Et_3B_028328.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:13501387:13506486:1 gene:Et_3B_028328 transcript:Et_3B_028328.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPATVIQMPPQPNGTEPTTPKLQVANGSTPMASTMTKDKVMSGAANLAQLLPTGTVLAYQALAPTFTNHGDCDTAANTWLTATLVTVLAALSLFFSFTDSVVGRDGKLYYGVATPRSFNVFNFSGEEEEKEWTLGVLHKMRLRPVDYVHAVFSAVVFLTVAFSDVGLQKCFFSDASDNTNELLKNLPLGMAFLSSFVFMMFPTKRKGIGYNDTTPHKKASSGNNSIKMPLQAKVDPARSPTIVTAPQTPKSVDEPPTIMPTTSTTSGSSATDKVMSSAANLAQLLPTGTVLAYQALSPSFTNHGTCYTSNKWLTATLVAVLAAFSLFFSFTDSVVGRDGKLYYGVATPRSFNVFNFSGEEEEKEWTLGVLQKLRLRPLDYLHAFFSAVVFLTVALSDAGLQRCFFRDASENTNQLLKNLPLGMAFLSSFVFMIFPTKRKGIGYSDTTPQQKASSS >Et_3B_030048.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30012580:30016515:-1 gene:Et_3B_030048 transcript:Et_3B_030048.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPAAATFLHHHLLPASLRPKPHLRPRLRRLAASVNPSPPDETPAADPPVLPSISIKNTEPEEVARRRSWVEHGWAPWEEIMTPEVAFARHSLNEGEEVPLQSPESLEAFRMLTPAYRKKVEAEPGYMERLFATRDTPEPLETTWAGRLPLRLVPPRDWPPPGWEVDPDELEFIREAHREASERLDMEAAAAAGVTNVEKLEDAPNDLALERYKMFLKQYNEWVEANRDRLEKESYQFDQDYYPGRRKRGKDYKEDMLELPFYYPGQICFGQVTTVHLHQGAFVDIGCVHEGWVPIKGNDWYWLRHHIKPGMKVYVEILAKRDPYRFRFPLEMRFIYPNIDHLIFNRFDFPPIFHRKEDTNPEQLWREGGRPPIPRKKPLEDMEKEPLVSDHPFVETLWEWHNAEQMILDYEEQNPEKFKDTTYESTVGTTSSFDEENRVEYTEGYFKETLLKKKVVKINIEELDLEAARAERQLIKQLKKEAEERGEEYKVGKLRRNKEMDEYDLMQWRRSFEEREALIRDICCRKALGLPIEEPGRYDVDETEVFGKDYYDPEKPMYRYDYWGEPKNTEKTKMERDVELHNQQVVGDAKKWCEMSYDDYVRKKTRLEAAEARERQKAASEQDNEEEYDDEMDLDLKKMTNPRAPHNRYYITK >Et_2B_019438.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:29713308:29714021:-1 gene:Et_2B_019438 transcript:Et_2B_019438.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEVGGGARAHHTSSQELRSGRGRETQQHEKRAETSRIRQGGRPRRSSSVVWALVILCTLLAIGVIVTGATVFAVYLLYKPKMPYLLVSDARLVSLEYDGSGTIQRMVLSLTILAENSNSKADASFSRFDLAVGFRGADVALLRARPFSVARRSSVPLPYLVVSAGRPLNPDAMQYMDGALKAGVVPLDLFGKARTTWKVGIFVSLRFWTRIHCKFLFFYPGNGTHMPIDCHSRSP >Et_1A_004809.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:9211629:9212819:1 gene:Et_1A_004809 transcript:Et_1A_004809.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSDLPIDILLSVLQRLELPQAIAFASVCNTWRTAATIAGIPHICTPWVISWANHLEKKEAQGKCSSAVTCNSHHLDVRNEYDISFPQSCFVACCGASHGWLVLVNELSNLVLYNPFTTNMTPLPPITDFAGVEAIYGSGGNLKYYRKNKFGKLYDANCLGITFYAKAVLSCSPSKHKDYVVMVIHCDSSWLSFVKAGQSKWQVASTHAGHMADRYLDCAYHDGSFYTVTFYGLVEKWHIDEPSGPRREKMVSSKPPGSVLTRHMVSTPWGDLLQVCGFLALDYPDGIRFEICMVDMDGCKNVSKDILVDHALFLGLNHSACLPTKSLPGVRPNNIYFSPPWMPHAFHLLLLLSNWGGVRKYELKTRKLERAFFDIKELNHFEYPPCYVWIAQNLY >Et_5A_042563.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:20498039:20502770:-1 gene:Et_5A_042563 transcript:Et_5A_042563.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRGIFISRRRGAPSTAPVMLSSGSDSSPEASPTRTSPSKEQGKGEKEANLDHVSDRNDGVQNKGKTTTAMKRKKLTSGKDSFDKHGERPSADEKQDNTPRRATPKKNLVSLSSGSDASPGSRPSRAAEADHEADTLTPARRKNDQQAKGKKSKVPGSKAVQDQPGDTLEHEEGLAEEDIQDKPTGNSISQRLPLILPDKVQRSKALIECDGDSIDLSGDVGAVGRLVSVGQSEAKIEAIMNDFIQLEPQSNLFEAETMMEGTLDGFTFDSDEEGDKFPEPHASQNDQNNEDEDQPKPKTKRKAEKPVGKGQKKAKVAGKAPKKVARKPQTTKRTRKAKK >Et_2A_017898.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:7679607:7683961:1 gene:Et_2A_017898 transcript:Et_2A_017898.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNHFIEPFRFCKRVPSFAELPVRLIKPDLVPDPPIPQLPLFRLLPGARERSNTVAAAVGTKSKRSGAALFDAVDGDYEGSLSAKLGRASISGGAAGAKANPPSVNRWNSRPYSARYRKLLEKRRQLPLWQQKDEFLRALRDNQTLILIGETGSGKTTQVPQFVFEVEGLGSGAVVACTQPWKVAAMSVSRRVAEEMDVALGEEVGYSVRFDHCCSHKTVLKYLTDGILLREVMKDPLLTKHKMIVLDEIHERTLATGVVWLFEGAGCYELYLEAEKFQSYFNGAPLMKLSGRLHHAVSILVGRGPSMRDQKKLNGGPWSKYGRKGAAEETGEEAHGYLDLANAGTSKRPTFCVLVLTKPAKGDLEEEVNEKAEDRLRPGFDRSRRGHVINVLSNTKSLRQWFCLYNVVVK >Et_3B_030506.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:4400983:4402406:-1 gene:Et_3B_030506 transcript:Et_3B_030506.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQASKRATAAAVAPREPQLRPVMYDDEPSSMSLELFGYHGVVVDGDHDVDAGATLPGLQLAFDDNFKTGGCGHADYYSWAGYGGGGGSGASSSSSSSVLSFEQAGSGGRHLAYSTTGGDDDCAMWMDAAAGMVDHSYGFVSSGGTADHAAGREVQELGSVQPPAKAAQKRARPQCGEVQAAPVGKKQCASGGGGGGGRKSKAKAAPAPTKDPQSVAAKVRRERIAEKLKILQELVPNGTKVDLVTMLEKAITYVKFLQLQVKVLAADEFWPAQGGKAPELSQVKDALDAILSSQHSNQ >Et_3B_030033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:3013061:3015763:1 gene:Et_3B_030033 transcript:Et_3B_030033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFKSSNGATDEKGLSLEQQQQRINELRKELGDQSSEAIKGFLSDATLSRFLRARNWNVQKASKMLKAAVKWRLAFQPENICWEDISEEAETGKIYRADYKDKLGRTVLVLRPGLENTTSATGQIKYLVYSLEKAIMNLTDDQEKMVWLTDFQSWTLGSTPLKVTRETVNVLQDCYPERLGLAILYNPPRIFESFWRIVKPFLDHETRKKVKFVYSNVKESQKIMAEVFDMDKLDSAFGGNNPAGFEYNSYAEQMRDDDKKMGSLHSSTSADSDASSEASFYSGTDSPKHGDGEHSVPKNG >Et_2B_020956.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:25094678:25097118:-1 gene:Et_2B_020956 transcript:Et_2B_020956.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLGWRIGSKMQRGWSCLRGFSSAAIPSHLENVGFIGLGNMGSHMARNLIMAGYKVTVHDINESAMKKFSDDGIPTKQSPLEVSKSSDVIITMLPSFSHVLDVYNGPNGLLGTGERLGPWLYIDSSTVDPHTSRKISIDVARCHLKEKRGHAEKPMILDAPVSGGVPAAEAGKLTFMVGGSEEAYIAAKSLLLSMGKKAAKICNNMAMAISMLGVSEAFALGQNLGIKASTLTDIFNCSSARCWSSDTYNPVPGVMESVPSSRNYDGGFTSKLMAKDLDLAMASASGVGFKCPMGSEALQIYQKLCDDGCQSKDFSCVFRHYYAGKDEK >Et_7B_054252.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:18414221:18415980:1 gene:Et_7B_054252 transcript:Et_7B_054252.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRESTSSWAGRMHSCSSLVFHLNGLGNAMLYRVLNVVHSVKCETRRVRKQITVLQALDVARTQLYHFTTIIIAGMGFFTDAYDLFSISLITDLLGRIYYSDGTLPSNVAVAVNGFALFGTVIGQIFFGWLGDRMGRKRIYGITLKLMVLCSLASGLSFHHQSKCVIGTLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGLGNLAAGAVVLIVSAKFMNAQAYKTDKFGQADYVWRIVLMFGAVPALLTYYWRMRMPETARYTALIAKNLKQAASDMTAVLDIEIPVDTEEMDAVIKQDKFGLFSLEFLHRHGRQLLATTMCWFVLDIVFYSLNLFLKDIFKGVGWFADGSNMDPLERTYKIARTQAIISLSGTLPGYFFTVLFIDKLGRIRIQLMGFTMMTIFMLGLAGPYKFWSNSSMHVGFAIMYAFIFFFANFGPNSTTFILPTEIFPTRLRSTCHGISGAGGKIGAIIGVLWFVYCRTSMQNSLLMLAGCNLVGVMFTLVLPESKGMSLEAITGEIEEEELSAGSPVTGAEFIHSVDLP >Et_8A_056154.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:7611047:7611605:-1 gene:Et_8A_056154 transcript:Et_8A_056154.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMKLLIQTLALVVFVSMSPTSQALGNNGCLVEKQLIMRLCKETIKSGGAYIYPSESCIDVVRDFDMICICHILTLKDEDEVSPLKIVRLARDGNKPIPSGTRCGSKTLVLCALVKVEKRYVLIKDMILIFLSSYYCSTSTYSIGTEGASERP >Et_4B_037687.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22571833:22579905:1 gene:Et_4B_037687 transcript:Et_4B_037687.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAQAEADAAAVLGADPAALTALLADLTSPANEARSRAERQFHALRGSHPDALALSLAHLLLSPAHPSAPIAAVLLRRLIAPSSQSFVYPALSPASQSSLRALLLSAASAPALPRSVTKKLSDAVAELGSFLLPNNAWPDLLTFLHKSIASPSSPPALLESALNILARLASHLAASFPNLHALLLSALSHPSSADVRVAGLNAAISLIQSLPSAADRDQFQDLLPAMMRALAESLNCGNEGSAQEALEMMIELAGAEPRFLRRQLTDVVASMLQIAEAPGLEDGTRHLAVEFVVTLAEARDRAPGMMRKLPRYVGRLFAVLMTMLLDVHDEPAWHAAISEEEDAGETGSYVFAQECLDRLAIAVGGNTILPVAAELLPSFFSSEEWKRRHAALVTIAQIAEGSAKVMIKNLEQVVGMVLNSFQDPHPRVRWAAINAVGQLSTDLGPELQNQLHHVVLPALASAMDDLQNPRTGNQMVQEAALTALASAADSSQEHFQKYYNAVMPYLKTILMNATDKSNRMLRAKSMECISLVGMAVGKQKFKDDAKQVMEVLMTLQGSQMEADDPITSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVSVTSAGPEDENGESDDEGVETITLGDKRIGIRTSLLEEKATACNMLCCYADELKEGFFPWIDQVATTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAIEKGQAQGRDASYLKQLSDYIVPALVEAMHKEPEPQICTSILESLNESIQVSGTLLEEGQVKSIVDGIKEVIVASTNRRIERTERAKAEDYDSEEEELVREENEQEDEIFDQVGDCLGTLVKTFKTYFLPFFDELSIYLTPMLGKDKTAEERRVSICIFDDVAEHCRETAVRYYDAYLPSLLEACTSENPDVRQAAVYGIGICAEFGGSAFRSHTGEALSRLYNVIKHPNALDLDNAMAYDNAVSALGKICHFHRDSIDASQVIPAWLSCLPIKNDLIEAKLVHEQLCVMLEKSERELLGQNNQYLPKIVSVFAEVPFYIYLLIFCFCCIYALQYACFFFLVCLQGQGHNSILPPFFF >Et_2B_019520.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:1345:2401:-1 gene:Et_2B_019520 transcript:Et_2B_019520.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLFGFWGAQSQRRSGFDSLKRLGHISPAVQSHLKQVYVTLCSALAFAALGAYLHVLFNVGGTLTTLGCLASIAGLLVLPASQSQERNRVALLMSAALLQGASIGPLVHLALDFDPRVLVTAFLGTAIAFGCFSAAAIIAKRREYLYLGGLLSSGLSILLWLQFAASIFGHSSATFMFELYFGLLVFLGYMVFDTQEIIERAHHGDMDYIKHALTLFTDFVAVLVRILIIMMKNASEKSEDEKKRKKRS >Et_3B_031470.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:30608801:30615421:-1 gene:Et_3B_031470 transcript:Et_3B_031470.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEWINGYLEAILDSGASAGGAGAGGGGAGGGAAAAGGDPRSPVAGASPTATASPRGPHMNFNPTHYFVEEVVKGVDESDLHRTWIKVVATRNARERSTRLENMCWRIWHLARKKKQLELEGIQRMSARRKEQEQVRREATEDLAEDLSEGEKGDTLSELAPVETANKKFQRNFSDLTVWSDENKEKKLYIALISVHGLVRGENMELGRDSDTGGQVKYVVELARALAMMPGVYRVDLFTRQVSSPDVDWSYGEPTEMLTSGSNDEGGESAGAYIVRIPCGPRDKYLKKEALWPYLQEFVDGALAHILNMSKALGEQVGNGKPVLPYVIHGHYADAGDVAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRMSKQEIDSTYKIMRRIEGEELALDASELVITSTRQEIDEQWGLYDGFDVKLEKVLRARARRGVSCHGRFMPRMVVIPPGMDFSNVEVQEDIDGDGDGKDDIAGLDGASPKSRPPIWAEVMRFLTNPHKPIILALSRPDPKKNITTLVKAFGECRPLRELANLCLIMGNRDDIDDMSAGNASVLTTVLKLIDKYDLYGSVAFPKHHNQADVPEIYRLAAKTKGVFINPALVEPFGLTLIEAAAHGLPIVATKNGGPVDITTALNNGLLVDPHDQNAIADALLKLVADKNLWQECRRNGLRNIHLYSWPEHCRTYLTRVAGCRIRNPRWLKDTPADPGAEEEEFLEDSLMDVQDLSLRLSIDGERGFLNITEPASTDPQEHVQRIMNKIKQSSPLPPSLSTDAAEATGGAVNKYPLLRRRRRLFVIAVDCYHDDGRASKKMLQVIQEVFRAVRSDSQMSKISGFALSTAMPLSDTLKLLQLGKIPATDFDALICGSGSEVYYPGTAQCVDAEGKLRPDQDYLLHINHRWSHDGARQTIAKLMAAHDGSGDAVEQDVASSNAHCVSFLVKDPNKVNTIDEMREKLRMRGLRCHIMYCRNSTRLQVVPLLASRSQALRYLFVRWGLSVGNMYLVVGEHGDTDREEMLSGLHKTVIVRGVTEKGSEALLRSSGSYHREDVVPSESPFAAYTTGDLKADEIMRALKQVYKTSSGFYLERLGNVNR >Et_5A_042691.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:2636236:2639192:1 gene:Et_5A_042691 transcript:Et_5A_042691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIFTVGKWIRGTGQAMDRLGSTIQGGLRVEEQRKHRPPLSASPPLPPPCSSLLLFLPNDGIGHRTIMNIFEKEPRIHRDVFVAPSASVIGDVEIGHGSSIWYGSVLRGDINSIHIGSGTNIQDNSLVHVAKANISGKVLPTIIGSNVTVGHSAVLHACTIEDEAFVGMGATLLDGVVVEKHSMVGAGSLVKQNTRIPSGEVWVGNPAKFLRKLTDEEIEFIAQSATNYFNLAQVHAAENSKSFDEIELEKMLRKKFAHKDEEYDSMLGVVREIPPELILPDNILPHNAQKAVAR >Et_7A_051514.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:19853282:19857954:-1 gene:Et_7A_051514 transcript:Et_7A_051514.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPPLAGVVRLAAASRVLVLSLSLLARLLFRPYDTSASLNPPCLSSPSPSPSTNLSAAVSSLAVWDGVHFARAAGCGYEYEQSFAFLPLLPASLALLARSLFAPLVPVLGYRAVLVISGYVLNNVAFVAAAAYFYRLSVLILKDQKAAYRASVLFCFNPASVFYSSLYSESLYALFSLGGVFYLFSGANTVAMIMLALSGSARSNGALNAGYFCFQALLQAYDSAIQKKRPLLAVQVLVTAALRSFFVFLPFFAFQAYGYLNICLLGSSEDPRPWCKAKVPLLGVGFLRYFQVKQLPNFLLASPVLSLAVYSIVHYTKVLHRLFQSTSIHKQIVTAVEERSVDSNKSSDVAVVLKREQSAGPTNRDQGHPDVKQRKSAATATTSSSVCNAVSDSRNLKGTPSECSILFLPFVLHLTIMTLTAFFVMHVQVSTRFLSASPPIYWAASHILASPSHSSKRWSYLIYVVCSSPISTHSPKGIKVIQ >Et_1A_005410.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:10142422:10145170:1 gene:Et_1A_005410 transcript:Et_1A_005410.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKRAADAAAEAAAAEKPDDSAPARPERTLFGFKEPPAPDAEPASAAVSPAAPPFRNKEKVLVTCSRRITFRYRHLMQDMVSLLPHAKKDSKVESKQSKGAALNELVELRSCSSCLFFECRKQKDLYLWMVKSPGGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSTNFDEQPHWKLVKEMLTQVFATPKDHRKAKPFHDHVFVFSIVDGHVWFRNYQISAPHNEIDKVDKGGLDKMTLIEVGPRFCLNPIKIFGGSFGGPTLYENPYYISPNQIRALEKRKKAGKYAKKVKAKVRRKRHEMENTLEPDEFADMWKGEE >Et_1A_008695.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:87024:87551:1 gene:Et_1A_008695 transcript:Et_1A_008695.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLVSPACPPPQAQGAATGEAAITVDSDMVVILASLLCALISVVGLALVAARCACHRQGGGGTSISSSSAPPPAKGLKKAAIEALPTVHGGGGSSSLSECAICLAELAEGEELRVLPHCAHAFHVACIDTWLGAHASCPSCRATVMVPAAARCRRCGAACDLDQGAAASASHDD >Et_6A_047525.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:6971816:6973764:-1 gene:Et_6A_047525 transcript:Et_6A_047525.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRDVIYSCGSCGYPLNLLSSDRSTSEVGSSYQKSLKKGLISFVSVDLSRFTQVDEISCFPLTWRAYRPKTKLLCRKCGVSIGYGYGEPAVLCSFDPGNSSSSGTSHKYLIKIQALRPSDTTQ >Et_4A_035033.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:8958084:8964026:-1 gene:Et_4A_035033 transcript:Et_4A_035033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGEYQEMAESVPPALKAITLTHVRYRRGDPLGLFLAWVSLIPVFISLGGFVSHFLFRRELQGICFAAGLLVSQFLNELIKHSVAQSRPAYCELLEACDSHGWPSSHSQYMFFFATYLSLLVMRRSPASQVMAAVTWPLAFLTMLSRVYLGYHTVAQVFAGAVVGLVFGALWYWIVNTMLVEYFPMIEESAIGRWLYIKDTSHIPDVLKFEYDNARAARKKIGKLETAKETNLDRGQITCCVAGGSLQLVSPLRLRSGVQRDGPEGVSSGGGV >Et_1A_005791.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1442171:1444613:-1 gene:Et_1A_005791 transcript:Et_1A_005791.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIREDETKSGSSSPSDNVSSMYDDRGDMRRACCLAWIEDQEKNGNVADDDPPSYIVLYPDLLEKGWGWQRLLPYYPGGSVSWSTFKGYLEDYFDKNKDEPATLCAHHKRDPVEQDLSADPLHLAASLCIKVEEELLNGCLACLTSEDIQLSHEIKDRARNMIESSGNPCTAAAGFVCITKEAELICHLSKCNFDTRHNTGLVAFSNHIRQSALNLLLSEEPESAAAMVGIAKEAMSMRCHLSNEQTLMDLDLYVQYMLCQNIREATATVLTMLKDNDFCFETAVDCIPSAESEKPMGIATASELKCQESDPKKNYIMDIDHCEKDNNLKGKNSNNGKRLNKNSNNASGKKLKEKKLRRERRRLHKQKSSLAVAK >Et_10B_004125.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:14536785:14540940:-1 gene:Et_10B_004125 transcript:Et_10B_004125.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLPGPEARAPLALSDHPVEEIAGKISAPANLTCASVVFKTFHHLITDPTFLRSCFSAMSPPASTKAGANEEG >Et_4B_038650.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3757314:3764541:1 gene:Et_4B_038650 transcript:Et_4B_038650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVVTGATGFMGGRLCAALADAGHDVRAFALPGVDVSGVPPAAEVAYGDVNVAGLEDVLKAAKRTPTVKKIVYTSSYYAIGPTDGYVADETQVHPGKIFCTEYEKSKALADRIALQAAGEGVPITIVYPGVMYGPGALTIGNLISRVLIERFNGRLPGYIGKGYDRESFSHVDDVVSGHIAALEKGRVGERYLLTGENASFVQIFNLAAKITNTEPPKFHFPLWLLEIYGWISVMRLVVTGASGYLGGRLCKALADAGHAVRALVRRTSDVSGLPPTVELVYGDVTDADSLAAAFDGCEAVFHVAAYVEPWLPDPSVFLKVNVRGLENVLKAAKGTLTVKKIIYTSSFFAIGPTDGYVADETQIHQGKEFCTEYEKSKFLADRVALQAAAEGVPITIVYPGVLYGPGRLTTGNLVSRILIERFNGRLPGYIGDGYDRESFCHVDDVVNGHIAAMEKGRVGERYLLTGQNMSFVQIFNMAANITNTKAPSFNVPLWLIEIYGWISVFVSRVTGKLPLISYPTVHVLRHQWAYSCDKAKRELGYNPRDLTEGLAENNEGSFCGLGVTTTGKDQWVENTFNFVSSMTVEGILQDIEFNNGQTKPYLLQIDGWKVAIDYNDSVISQGGGGKILE >Et_1A_006755.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:27134822:27136053:1 gene:Et_1A_006755 transcript:Et_1A_006755.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEWEMAMGVDLGMGMSSYHHHHHNNVSGITTAPMSSHHSSGAGSYSTAHHYYGMPPMGDATMRVDDLLDLSNAGAHEFFPTAAATDNGHHSGAMGEPSPTANSSDHQTSFLSFADEFYIPTEEAAELEWLSKFVDDSYSDMPNYSSAAQAAMAAAAAGNGGGNSAGQDSCVTAAAPGRGARSKRSRATAAAAAAWHSLVPRPASQSSPSSSCSSSDFPSSKPAARPNGNNGSRGKKSPGPGGAPPGGEVGLEGGVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRFKSGRLVPEYRPAASPTFVLTQHSNSHRKVMELRRQKELIMIRGSHRDAAAAAAAASAAAGAAGPRPELMFRDYGVC >Et_5B_043559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:11920454:11922464:1 gene:Et_5B_043559 transcript:Et_5B_043559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTVKKVADAATKAGKAIDWDGLGKMLVSEEARKEFATLRRTFEDVNHQLQTKFSQEPQPIDWEYYKKGIGSKVVDMYKEAYESIEIPKYVDTVTPEYKPKFDALVVELKEAEKASLKESERIEKEIADMKEMKKKISTMTADEYFAKHPELKQKFDDEIRNDYWGY >Et_9B_064646.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:17379480:17384985:1 gene:Et_9B_064646 transcript:Et_9B_064646.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNISGATSNLRDSTGRSFTSFSSQSGSLPGFHHSGSHNIQGNLNLTNLTGSLVPRNASMTGLPSSPGVQQPGGSISSGRFPSNNLQASMSQIPHGHSGISNRGGMNVGGNPVFSSGMNPIGGSIQALSSNLPNVDNRNSASGLAASPVLGNLGPRITNSGSIVGGSNIGRSISSAGLSMPGIASRMNLSGNSGSGAINIQGSNRMSSMLQQASPQFMNMLGSSYPTPGGSLSQNQMQAGNSSLGSSGMLRDGSSGDTPFDMNDFPQLTGRPNSAGGGQGQYGSLRKHGVSVNAIVQQNQEFSIQNEDFPALPGFKGGSSDFAMDMHHKDHLHENVNIMQVQHHPMARSSGFNLGGSYPARQPHQQSANSVQNSGLENIGLRAANSPSPSSNSGVYEQLVQHYHQSQNQTAVRLQAPSGPQQYKDQNPKSVQGTQTGADPHSLLGLLSLIRSKEPGRTSLALGIDLTTLGLNLNSQDNLYRTFGSPWSNEPARGEPDYQIPACFSAEPPPTLQPLHFQKFHPLTLFYIFYSMPKDVAQLYAANELYSKGWFYHKEYRVWLTRVPTVAPLVKTPLHERGSYICFDPNVWDTIHKDNFVLHYDAVEKRPVLPSAAQNVRRDI >Et_3A_023526.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:32506804:32507610:1 gene:Et_3A_023526 transcript:Et_3A_023526.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNHQSSYTAWPVSPSSSLSPSHHHYYQQQLHFGGGTDDYYSCKEATMDQFGALMGAASISRSSSGGSSSASTTYAPATHAGVIDDGPTNHQQDAPLIGVRKRPWGKYAAEIRDSTRNGERVWIGTFDTPEAAALAYDQAAYSMRGEAAVLNFPVERVQESVRALGLGGGDSSSSNSPVLALKRRHCMRKRTPKSKKATSEEQTRTISHGEHGKQKQAASSSSSSCVLELEDLGPDYLEELLALSYQ >Et_4A_035802.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4A:6296506:6297984:1 gene:Et_4A_035802 transcript:Et_4A_035802.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEQHGGLRVLHTSLVAPSSSSPEEELSIPLTYLDAIWLSSPPVERVLFYRRRDADDADEIISNLRRSLSHALGAFFPLAGLLRPAPHTPNRYELHYNKKQGAGVSFTVAEYDDDGGFGFGLDLDDAGGRRELSKMRPLVPTLPEDGAVLALQATLLTTTGMIALGVTVHHAACDGAASTRFLHTWAAAAAGCNNNNNNNQYAQGPPPPLLDRSIIRERKDIHDLFTSLDASSNLFTQQESSSGSSTSSSTLLVMASFTLSKAQLQSIKDKVAREAERRGVPPPRCTSTVAAFGFVWQGHCRVTQQGQGDEEDRARAYFYFSIDHRPRLDPPVPETYLGNCVGPCFASAPRKEIKADLFTACASVAAAIDEAVRGEPDYWAATRWMERLMEAYSGGAQQPLSVAGSPRFRVYDLDFGFGRPAKVDIVSVARTGAFSVAESPSAPGGGIEVGICLPPDAMDRFATCFADGIACLSSSLSSPPPPDHHTSSVA >Et_3A_025197.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:27840855:27845918:1 gene:Et_3A_025197 transcript:Et_3A_025197.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVFLACLVLISFAMAVSAARPATVTADAPSAGDCDQDLQDLIANCQDYVKFPAEPKIPPSQACCAVIQRANIPCLCSKVTPTIEGIICMEKVVYVANYCKRPLQPGSNCGSKFSCTTPLLAHNQSRGLPSAWAVSAPSGSGSCGMAPWPALPARSLRKHHLSRHAVRASRDTVLVLDHVCATRAHTPAPRPRPPSPSRSDLLPSVAVPCPASPLLAAACVHARRFI >Et_3A_023801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:12507290:12516920:1 gene:Et_3A_023801 transcript:Et_3A_023801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISLLLLFLPLAGAQMQACGNSGNYTAGSNYQSNLNQLSETLPKNAASNTTLFATGIAGTAPDKVYALALCRGDINSSACADCLSTVFQDAQRLCPFNKDAAVYYDYCSLRFSNRNILATTVNDNIIILMNTQNFTVTRLLLFTLLNDTSQSAAAFPSRFTTSRMDISSLPTLYGLVQCTPDLNADECAACLQEFPQVTLRYLDGHQGGQIIGMRCKMRYEIYPFYFGEPTFRITYLASEMPAIGNTPTGTPVTVYPQPQPASAPPPGIIPAVPAQEQRGRQSKLWIIAIALPLLAILLCSTFSVLWVRRRKKGTANLQNQQSGLDRIEENALAWKIEEKSSEFSLFDLFQLLEATENFSDRNRLGQGGFGPVYKGQLSDGLEIAVKRLASHSGQGFGEFKNEVELIAKLQHTNLVRLLGCCIQGEEKILVYEYLPNKSLDFFIFDEKQSILLDWNKRRAIVEGIAQGLLYLHKHSPLRVVHRDLKASNILLDQDMNPKISDFGLAKFFSSNDTHGNTKRVVGTYGYMSPEYATEGIYSVKSDVFSFGVLLLEILSGKRNSGFHQNGDFLNLLGYTWYLWEERRCPDLVEASISKEIHSAEGRRYINIALLCVQENADDRPTMSDVVAALNSESMVVPEPKHPAYFNLRVSKAEESGTLVESCSKNNLTITQDPDGR >Et_9B_065996.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:19743872:19744957:-1 gene:Et_9B_065996 transcript:Et_9B_065996.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFARKELLPAFACCVILLLVTPTQGDSSSSEDPEQSSKIVQPLELTPKLSSQLKLHAFLLWSSVGFLMPAGVLLIRVSHVVKSARSVKILFYCHVASQGTVVRCSYANYALCWIYQIVAVVLATAGAVLSISNFENAFNNTHQRIGLALYGFIWLQPLIGFLRPDRGVKTRSAWYLAHWFLGLAICVVGIANVYIGLHTYQERTGRSARLWTLLLTVEVAAMAFVYLFQDRWSYVVRQEEAALGDERSEGSSVMYPANDRKEVVC >Et_9A_061744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:1673931:1675949:1 gene:Et_9A_061744 transcript:Et_9A_061744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAVGAVNLLLGVIRSEAQLLGGVRADVQFIKEEMQSMKSFLAHLSRTAPPGGEHDEQVRTWMDQVRHLALDCSNCIDLYLYRGNREIHRARGGLCRRHVGWFFWYLKKMVAQHRAAMELRELKNRARDVGERRSRYGVELPAATVLAAAWKWPAVAGLVTATPVAAASVGDEDYGDDDQLVVARATDPSGRRGAFFQTLTVEENVKRRLHDWMKRVSDAGRREPVVPSIAIVAPDDDETSDVAHVAQDMASTHSMSSVLVDIPAVHIYDQQLLPRDILYYILRELSSSSLRVPGLIPSNHRKEQQVKAPERTNRVYWRRNVDDKISEIKQAIKFQLLEKLNYARLDLLESQSSVEPLGVLLLGKANNIIKKTAEKLKAHMEEEIQICLDEAQYQQILQEVFPMTSKPLQNPEPASSSTTTSSTTTLGEDRIKEMIQKNTTGASGR >Et_3B_031579.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:5354911:5356341:1 gene:Et_3B_031579 transcript:Et_3B_031579.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSGGTVPLLLLLLLFLPPLQLLCHANAGSLEEDVAALSDFRRAADGAGALASWNLSANPAPCGAWRGVSCAGGRVTRLVLEELGLSGDAALRELARLDGLRVLSLKGNALSGGIPDLSPLAGLKLLFLASNALSGPVPPSLGSLYRLYRLDLSFNNLSGAVPKELNRLDRLLTLRLDSNRLTGGVDALALPRLQEFNVSNNSMSGAIPAPLAKFPAAAFDGNAALCGAPLPPCKDDAQQQPNASAAGQCPPSTVASSPYAKPAGAETPADNGKGKMSRAAVVAIVAGDFAVVGLVAGLLFCYFWPRLSGRGRGSRRLTQGEKIVYSSSPYGAAGVVAAAGAGGTFERGKIVFLEDISCNSSGGTRRFELEELLRASAEMLGKGGSGTAYKAVLDDGTVVAVKRLRDATAAAAASKKDFEHHMAVLGRLRHPNVVPLKAYYYARDEKLLVYEYMPNGSLFSLLHGKSSPPSLLSL >Et_7A_052844.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:19229128:19229889:-1 gene:Et_7A_052844 transcript:Et_7A_052844.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAASPPPTAKLTQEELKRVAAHRAVELVESGMTLGLGTGSTAAHALDRLGDLLRTGALSGVDGVPTSLKTEAHATRVGIPLLPLAGAARIHLSIDGADEVDADLNLVKGRGGSLLREKMIEGAGERFVVIVDESKLVPRLGCTGAVPVEVVPFGAVHTLGLIRKVFDGLPGFGARLRTVPSKEAEEAPFVTDNGNYIVEMFFDDGIRGDLRDISDRLLRITGVVEHGMFLGMATTVIVANKDGTVAVINKN >Et_8B_059423.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:17850817:17854590:1 gene:Et_8B_059423 transcript:Et_8B_059423.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILDNCPLLESLHVTGSFCEIERREVEVLMKVQLTKVKNLILPGDGLGGAAAGRDPRRPRKARPRAPARCAASGAAPYRRIDIHGDLVDHSLDVETEFYDDLNLTEVARAAVRRAAGQCEAFWGDFPGDDSFLRFLADEAPSLKSLRLHSWDDASSEGFAEAIWKLPLLEDLDLSLCSNLFGMELFQTVGRSCPRLKHFSRCHFHFDPQVDYWEEEAAGIATMTELRSLKIIGNQLTNSGLVTILDSCPNLESLDIDYCNINMDDVLRDKDWSELPLDALTLVFAKLGAIEVLM >Et_9A_060961.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:21714730:21715387:-1 gene:Et_9A_060961 transcript:Et_9A_060961.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAFPIKFTRGVRAYWRRRKYHRLEAADGGGKARTQQLGRRGGGSRWGVGARRLRVRVRAFMTAPRRALARARDAYVGGMLALARKASAIALPGGPESMWGKRRKQLPAAAGQPTEFEQRLIFEIYKSIVASKELTTMLHSSTAHHSQTTAMPGVGQPTAVHLLDM >Et_4A_032303.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:2934562:2934965:1 gene:Et_4A_032303 transcript:Et_4A_032303.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding NAKDRTAPLIQEQFGRQPRRESIKFSHGWYGNVLTYGSSRQYSIRLIQSKTWRNGEEWWNESLMKLEKKKKSKSRWRHRYTQSGLYGRT >Et_5A_041566.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:24509749:24513867:1 gene:Et_5A_041566 transcript:Et_5A_041566.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAVKLIDIAVNFTDGMFKGMYHGKQCHAADIPTVLARAWAAGVDRIIVTGGSLKESREALEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQELLALAKEGIEKGKVVAVGECGLDYDRLHFCPADVQKKYFEKQFELAEAVKLPMFLHMRAAGEDFCEIMSRNMYRFHGGVTHSFTGTAEDRDKLLALEKMFIGINGCSLKTNENLEVLQGIPVERMMIETDSPYCDIKNTHAGIQFVKSVWPSKKKEKYESGSTVKGRNEPCLVRQVLEVVAGCKGITDIEGLSKTIYHNTCRTSMHLRMPSLKVAPLSRIANREKACLRLTYAN >Et_7A_051528.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:247552:248565:-1 gene:Et_7A_051528 transcript:Et_7A_051528.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSFPRGALLLALAILCFLSGVAESARVFTIINRCKTTVWPAASPGDSFGGGGFALRPGQSVVFTAPVGWSGRIWGRTDCNFDAGGNGSCATGACGTSLRCGASGAPPASLAEFTLAATDYYDVSLVDGFNLPMVVTPVNGQGNCSAAGCDGDLRDSCPSELAVKVNGRTVACRSACDVFDTDQYCCRGQFGNPSTCQPTFYSKKFKAACPTAYSYAYDDPTSIFTCSNADYTITFCSNGKQPVCSYHNNRLSCSGSGRSWPVTESSTLVLALLFSLFWSFSLQQY >Et_10B_003967.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:8629037:8634924:1 gene:Et_10B_003967 transcript:Et_10B_003967.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSAAPAGDGDGGGGLPLFVTRVCFPRRAGVGGGGGGGAGKDALFVELWKACAGPLSSVPPLGEKVYYFPQGHIEQVEASTNQVAEQQGTPLYNLPWKIPCKLMNIELKAEQDTDEVYAQLTLLPDKKQEENASTTDGTEEEEVAVPDAPPATNERIRIHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQHPPNQELVAKDLHGVEWRFRHIFRAEFVVSRDRYYESLKQNYSIGMRFKMRFEGEEAAEQRFTGTIVGIGASDPSGWTDSKWRSLKVRWDEASSVPRPERVSPWQIEPAVSPPPVNAHSAVRTKRSRPNANASSSDLPAVTNREAVPDSQQTFIPRALHSQGITPLTSRFGDSSDLKIAHDVTMWSSGINEDRNCMSTQTKLSLEGWMQTRRPEGYNQMLSGFQPVKDAHNSHYFSSPISGNRSNTWDTTNARYPVQQANRNMLPGTWSLMPDNSTGLGMNQHRCGLDQNLGWCGNIESSSHIYHSSPTLIKPRPLVIDNDVQKTKGPSFKLFGIPLDSPAKPEPLLSPPKAIQNIQNKVQNGSTRSCKKVHKQGSALGRSVDLTKFTCYDDFVAELDQMFDFDGELSSPSKNWLVVYTDNDGDMMLVGDDPWNEFCNMVHKIFIYTREEIERMNPGALNLKSEDSLSNSLGRVASKELQGGPSTLSLDSENC >Et_3B_030915.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:8883295:8883779:1 gene:Et_3B_030915 transcript:Et_3B_030915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGADTTGKRFDERLQEKRQRFDSEPASPWAGLQPDALGVVLRFLPCKADRSRLHPVCRHWRAVSRGHAVIPPLPLLVLSRFSFASLSPRGALTPARRAWMPQAVAGDLVRCVASFGEWLVGTREA >Et_10A_000481.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:11084353:11087633:-1 gene:Et_10A_000481 transcript:Et_10A_000481.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTAGVKHPREHFRIDYEEETTLGASGFGVVVKARHRVTGEDVAIKRVRYSDDDEEEEEGRTKQKQQARAEVMREAQFLDACKGLPDMPIYSNTFLVGYHGLARDLATLHLCLLMEFVAGPSLHAYLLAQRHQPLPEPTVRSLMWQLLTGARNMHARRVVHRDIKPGNILLAGDHSSLKICDLGLAMFTKLPPPYAQVGTMPYMAPEMLLGRTDYDERVDTWSLGCVMAELILRRTLFEGDDNERSDDEEVGQLAAIFDVLGVPDSRTWRGFKSLPLAAEVTTKKLQKQRRRNRLRDMFPEETLSKEGFQVLSGLLTTNPDKRLTASTTSNHFR >Et_1A_004665.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:29362512:29363177:-1 gene:Et_1A_004665 transcript:Et_1A_004665.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKKVMADAVVTGRCCFDSFCDACIRGRIVAEAKCVCGAPARADDLVPNQTLRVTIANMLATRAAAAADNKKPSSAGSNDTLTSQSLDATQGTRSQVTAACSEHSDGSASSSTSKIAAAYQPRAKQTTAGSAQNMAPGGYPEQYGFGGPAFYDPFFGGMPWAPGPYMYYGVPFPGGYPIVPVPAAYNDGGHGKKRTADGVDRRREEPGFKRMCRSRSMVAV >Et_10B_003462.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:196758:199296:1 gene:Et_10B_003462 transcript:Et_10B_003462.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDILIDVQLPSAAFHEIAREKTKLPFCDFTITSSHARAMAAVNLIYFLACARWFRFKRPVDAAKAAAMVVEMDDSIKKANAAGPPV >Et_6B_049474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:5359830:5360230:1 gene:Et_6B_049474 transcript:Et_6B_049474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSYVRRGGSGSCSGGEKAAGSYGHVRYPKLAIQPFFGGEERTPWLDGAPVVSVARTDWIWRAFLPPGADSTHEAAADASISSPAAAAGIVDSPHFPPVTVVIGGYDPLPDWQQRYCQGAARYGEGGAGA >Et_4B_038395.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:28732565:28735567:-1 gene:Et_4B_038395 transcript:Et_4B_038395.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVLVVFLTLCLFSPLNVGGGPSDIRNRGLQFQFPLFQVLLVFTTMTGTQWSNVSGTYCKDMSSSVYRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAQLFVPAGRWLTGSFSLISHLTLSLDKDAVIIGSPDSSHWPVIDPLPSYGRGRELPGNRHRSLIFGSNLTDVIITGANGTIDGQGAIWWGWFHNHTLNYTRPHLVELMYSTNVVISNLTFKNSPFWNIHPVYCSQVLVQHLTILAPLNSPNTDGIDPDSSTNVCIDHCYVRTGDDSVVIKSGWDEYGISFAHPSSNISISNITGENQGGAGIAFGSEMSGGISEVRAEGLRIVNSLHGIRIKTAPGRGGYVKNVYIADVNMDNVSMAIRITGNYGEHPDDKYDRNALPMISNITIKDVVGINIGVAGILEGIQGDNFSSICLTNVSLTVQSPHPWNCSLIQGYSNSVVPESCEQLRSNCEQTPVCYDGSSYSEMRIQAPTHKLNASRLLNPLPKLATL >Et_1B_012958.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:4344237:4347595:-1 gene:Et_1B_012958 transcript:Et_1B_012958.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWERARAFAGEAAKRSQELSAEAAKRSSALVSETAKKSKEIFSETASKSREIAAEATKQADLLAGQIKHLASDLPVPSIPPIPAIPPIPTPVASEPDAAELERYGITEDLRDFVKGMTISTFRDFPLQDEPEMADVPTVSNVRQDLNEWQARHATLVLSAVKEISKFRYELCPRYMKERKFWRVYFFLVNNYIAPFEKKYFEELKMKAEEEKKVSQKEASQTTQVTTVEQKDTKVPSKNSTSSNPEHDLDVFLLGDLGSDDEGPEDGGDDGLDDDFDKIDGTSPNCPNIHRNIRFTIATKKYNLPT >Et_10A_002302.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:7822782:7824903:-1 gene:Et_10A_002302 transcript:Et_10A_002302.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSIDPRFGLGVHHGVRFGSAGPIAGLDYDDDYVLHSPWLRFAVAGNPFRSDGEDTRRLIPAVDAALALREHVRPLAAQGSVRLPARARRRHHVTGSFTLDVPVLSGPEMEAVPEHKKKQRVAELPAAARAHTMSLSLDLARLFVPVAGGFHELGDLTLTSATSATCSPPPAGPRRSHA >Et_1A_004691.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:31033265:31035198:-1 gene:Et_1A_004691 transcript:Et_1A_004691.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIIGEWQRGPVIGRGASATVSIAADRRTGQVFAVKSVEAARAGILKREQRVLSALGSSPYVVSCLGSGVSPADGGGSGTLRFDLFLEYAPGGSLADEIRRRGGRCEEPVIRSRAADVLRGLAFAHGAGVAHCDVKGRNVLLGADGRAMLADFGCARWTSAAAEEGEDGNAGGDVMIRGTPMFMAPEAARGEAQGAAADVWALGCTVIEMATGAAPWPHRFADPVAALHHVAHSGEVPEPPAWLSDEGKDFLARCLVRDPAARWTAEQLLHHPFVAAAAAADIDTSVAASKGAPMEQWVSPKSILDQGFWEESDSSSATDDSDAPAPALSPADRVRALADDVAPDWTWSPGEHWITVCDHPGLAEDNSDNDIAASPGFEAEAGTSTIEASEEHMGVDAPLDHASPSRGRDHGGPSPLTEASSSAGSRRSDSAIIGSRNEHCSSGNSPSR >Et_7B_053770.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12034311:12036222:1 gene:Et_7B_053770 transcript:Et_7B_053770.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPHRHAKTDSEVTSSMAASSPPRAAYYVQSPSHDDGENKTAASSFHSSPAASPPRSLGRDSRDSSSSRFSASKAPSSRRGGVAGPGGGVAGGGVGGGAAAAAGGRRGGSPWMKEAAIEEEGLLMDDDGDDGYGSGAGGGLPKRWRYGLGFVGAFFALFFFFALILWGASHNQRPVVTMHSVTFHKFVVQAGTDASLVPTEMSTLNATVKLTFRNTGSFFGVHVSSQPVTLYYQQLVLASGNLKYFYQARKSQRSLTVAVVGDKVPLYGGGSDLSSTPTTLPPPKKKMPPVVVPPPPVPLKLSVRLRSRAFVLGKLVKPKFITEVQCSVTMDQTKMGKAVKLDKACTYTP >Et_9A_062361.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:22152754:22155747:-1 gene:Et_9A_062361 transcript:Et_9A_062361.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHHHSHDDHHHHQHHSHGDGAAAAAGGSWVGEDGRVWHSHDGLAPHSHEPIYSPGDFTKRAPPLASRSFADRAFTVGIGGPVGTGKTALMLALCRFLREKYSLAAVTNDIFTKEDGEFLIKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKADLLLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAPAVGADLSVMERDALRMREGGPFVFAQVKHGVGVEDIVNHILQAWEIATGNKRRDQDWGVTGTSWKAVHAQHRKLYLLYLYTVRAIREGGGVVAAVGDVGVQVDEGPGDASSIVVVVGEGG >Et_6B_048581.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:1169656:1171169:-1 gene:Et_6B_048581 transcript:Et_6B_048581.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLPDDMVANILGRLPPCSLAASRCVRKRWCAIIDTRRLLRADLLPLHLDGFFFLGPELGLDLGQTYFFSRPSAGRRICGHLSNLVDEHDDRWILDHCNGLLLLWERVVNPATRQWVALPPFPELPDSLFESYFLAYDPLASSPHHYEVLLFPTHRIPLEADNHNYRSSADQCCWPPSPFTMHVFSSRKWRWEERSFVREGTAIADMPRSADYSQEEQQLRHTVYLRGALYVLCQNGSVMRITMQNDKYQMIKSPAENKVGAAYLGKSQNQVFYASLSEENRWPRFRVWLLNESSSSMEWVLKNDISLHAMVENFPPDYTTARHITPWILNYQKDVSQEDDPEWDFEGGTVLDETDDANAITTCYKGILFLGFHPYKEIAFFLVSFSRVVSYHFNSSKVQELGILNKGIVKSFPYTSCWVGELLENN >Et_9B_064585.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:16698856:16701444:-1 gene:Et_9B_064585 transcript:Et_9B_064585.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSLQDLPTFTRIDALERGSSIGSDLVSGRTKPVRTLQRDGPLASFSKERTPPSSPTNRKKCMRAAGCTIALILLVFFAYASWRYFHVFLSEGSSEYYVILDCGSTGTRVYVYEWHVNHNDAIALPIVLKPVGNAPKKKSGKLIGRAYQRMETEPGLSKLVHNEPGLKKAIEPLLHMAERQIPRHAHKHTPVFLYATAGVRKLPNADSEWLLDKAWDVLKNSSFLCSRDRVKIISGMEEAYYGWIALNHHMNMLGTSPPKMTYGSLDLGGSSLQVTFETDKSVQDETGISLKIGSVSHQLSAYSLTGYGLNDAFDKSVAHLVKGLGPMASNGKIQVKHPCLQTGYKKDYVCSYCHPLKQDGSPSVGEKTSGNEKQGTTVELVGAPQWNECSALAKVTVNLSEWSSASPGLDCNLHPCALASNLPQPHGQFYAMSGFFVVFKFFNLTADATLIDVLKRGQEFCEKPWKIAKSSVPPQPFIEQYCFRAPYISSLLREGLQIKDNQVIVGSGSITWTLGVALLEAGQALSTRIDIQGYQILSREINPNVLIILFLISIVLVICAILCVSNSIPRSFRKSYLPLFRQNTGGSSVLGMGSPLRFQLWSSINSGERTKTPLSPTVAGSDPHPFRMSHGLGGSSVQLMESSRQSLGAYHSYSVGSLGQMQFSSGVRTPSRGQTTLQSRRSQSREDLISTLADIHVPKV >Et_2A_018613.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:35030286:35033568:-1 gene:Et_2A_018613 transcript:Et_2A_018613.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSALSSSHLLITASLPKPKPSSLRPPRLPQSKPLPAALIALTTASALPALAADAPAPPPAPAPAPELQAEAPTPTANPFSNSLLTAPKPSAAADLPEGAQWRYSEFLSAVKRGKVERVRFSKDGGLLQLTAVDGRRATVVVPNDPDLIDILATNGVDISVSEGEAAGPGGFIAFVGNLLFPFIAFAGLFFLFRRAQGGPGAGPGGLGGPMDFGRSKSKFQEVPETGVTFLDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFAGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILEVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSEEKKRLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGPGGNPFLGQQMSSQKDYSMATADVVDAEVRELVERAYSRATQIITTHIDILHKLAQLLIEKETVDGEEFMSLFIDGQAELFVA >Et_2B_022134.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:9658050:9661250:1 gene:Et_2B_022134 transcript:Et_2B_022134.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWRSEHIWIELLKGSRKRGSLGFLAVGASSYLGKNMISLLPSQQILFFPQGVVMSFYGIAGLFISSYLWCTILWNVGSGYDRFDRKEGIVCIFRWGFPGIKRRIFLRFLVRDIQSIRIQVKEGLYPRRILYMEIRGQGVIPLTRTDEKFFTPREIEQKAAELAYFLRYFEYQLQFLKIVRIFSSIYLKEGTTEDKRKLQPETLLTDIQEKRILEGFIELEELFLLDEMIKEKPKTHVQKPPIGIHKEIIQLAKIDNEDHLHIILHFSTNIICLAILSVTDFFVGFHSTRGWELLIRWVYNDLGWAPNDFPVILDTCLKFWVFFCLNRLSPSLKLRDPRLDMENRNTFSWVKEQMIRSISVSIMIYVITRTSISNAYPIFAQQGYENPREATGRIVCANCHLANKPVDIEVPQAVLPDTLKQVLANGKKGGLNVGAVLILPEGFELAPPDRISPELKEKIGNLSFQRGNRGRGQIYPDGSKSNNTVYNATSSGIVKKILRKEKGGYEISIVDASDGRQVIDIIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFFASVILAQVFLVLKKKQFEKVQLLLFILDSGLSLGLPLLRFGRGSGQTEGKKVSRGQISCEEIELLDFFN >Et_5B_044229.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:21783761:21784628:1 gene:Et_5B_044229 transcript:Et_5B_044229.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWSSEATSSKAEEPSPPPCAGCKHLRRRCVPSCAFAAYFPPEHGDQFAAVHKVFGASNVSKLLAEVAPAERAGAVESLVYEATARLRDPAFGCVSYITVLEHMLKQGVGDVAAARGQLAAHVGVGEAFRPFDARNASQEARRAGGARLDAALRFAKEQDDKMRAVRVAVEAKRKQDKRGQGQPLLSRQMAEAQQAAAAAHTGRGWSSRMGASTEGTRASRVRTRRSWPARIRSP >Et_8B_060358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:1018583:1019659:1 gene:Et_8B_060358 transcript:Et_8B_060358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGGREQIRSPLTTTMKEEQPVAKEEVWEVRPGGMLVQKRSPDADPPPGGAPVPTIRVKVKFNGVYHEVYINAQASFGELKKLLSEKTGLHPDDQKVVYKDKERDSKAFLDVAGVKDRSKMVMLEDPAAKAKRLLEERRAAKAQRAAKAVARVTLDVDKLVTKVSALEAIVSKGGKVVDADVVALTEALMTELVKLDAIAADGEVREQRRAQEKRVQKHVEALDAIRAKNNRSKANASNRARPPHLPPRPPAPAQQHQQRRQFQPPAPTTGTAPAPQTATASWETFDLLSAAVPSTSAAPVTTMAPATTTTPTPRFEWELF >Et_7A_052705.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:13201348:13202337:-1 gene:Et_7A_052705 transcript:Et_7A_052705.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDDSPLAYSRPGFHHHNHLHGLLPHASPEPPRRMDPPSSSGRSPTTPRRQLQGPRPPRLNVRMESHAIKKPSASGPPPAAALAQDLLQGRRDSHQQPPPRAPVIIYDASPKVIHAKPSEFMALVQRLTGPGSGGPSMPPEAQGHQAHQTSTDDDGMLGQAFLPPELLLSPSAAMSPAARLATIERSVRPMPDPPALHYVPDGSGVCGGDDDGTLAAVLGPARPGILSPLPSSLPPAAASGVFSPLPFDPSSLSWLNELSPILRAAGSSSAPGGGAGASTNGGASSRPPPPAYYSDPFVPSPRNLLATPTIPSPTTCAEIFGSLLPDF >Et_7A_052650.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:10451566:10452267:-1 gene:Et_7A_052650 transcript:Et_7A_052650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSPLVKLPSIPGLLAYLPTNLTSILPSSGTRDRDAAPPPQPPSPKKKMSPSEEEPEQKQQQAAAGTADAAELTRVFALFDKDGDGRITREELEESLGKLGMPVPADELASMIARIDANGDGCVDVEEFGALYRAIMAGDEEASDGKGNGGEEEGEDEDMREAFRVFDANGDGYITVEELGAVLASLGLKQGRTAEECRRMIGRVDRDGDGRVDFQEFSQMMRAGGLATLG >Et_9A_063215.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:15937006:15938883:-1 gene:Et_9A_063215 transcript:Et_9A_063215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLLTLHALLLLVVPAALAGVPDSYTVPRARGVDGDGTNNESTPQEYLDPSYPVPRPPPSAPSCVVPVLSHSFGNTYGEAPAKGSFAPPSGCPAPWSLVVLSFSAAVAGDQYDRVAAVWLDGVELLRTTTAQPTPEGVRWTVRKDVTRYSSLLRSPPNGGLFVMLENLLNDEFTGAFNVTVSLEFYGTPAYLAEEAGGSASSSSSSSAGSDVGKKSPSTPTPTLPKSYFEPADRILPVSDGSGEHSGFWFRIQNASHAGSKAVSIPPNTYRAVLEVFVSPHADDEFWYSNPPDLYIEENNLTTRRGHGAYREVVASVDRRLAGSFVPFPVIYTGGVNPLFWQPVAALGAFDLPSYDIELTPFLGLLADGKEHEVALHVVDGIAEWLVDANLHLWLDPHASQVSAALVRYRTPRLSIKRQYTRQLLDGSFTIRGKRKSKFGGWVSSSFGNFTTDVEAEVETTSTVQFTNQGKDKKVWLQAEQDTEVSVKSSETRKEVGKVETELKFPLSLETATEDGGEDGTYVVRANLSHSISVEAESEAQGLFERESKLYDLQNASGWMLVRDHEVINGTAATTSVYRYSDESGQYQRAIDARDGAVLSDNVTESFRAAGGAAALEDIAAM >Et_7A_051254.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:16143593:16155870:1 gene:Et_7A_051254 transcript:Et_7A_051254.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTMVGCPAKMGFRMPAEWEPHEQCWMGWPERPDNWREHAGPVQSTFARTAIAISKFEPVTICASAKQYPYVHKLLQHHTNIRVVEMSMNDSWFRDIGPTFITRKPGGDSGIKEQTIAGIDWEFNAWGVEIERIPRFPHRMVLEGGSIHVDGEGTCITTEECLLNSNRNPNMTKIEIENELKDFLGVTKIIWIPRGLYGDEDTNGHIDNLCCFIKPGVVLLSWTDDVNDPQYERSVEALSVLSKSVDAKGRKVEVVKIHIPGPLYITSEEANGVASTGHAVPREPGTRLAASYVNFYIANGGIVAPAFGDKLDKEASRVLQKAFPEHELTWSDEALRIVGSQVVMVEGAREIVLGGGNIHCITQQQPVRPSMVKVMEGSPAKMGFRMPAEWEPHEQCWMGWPERPDNWREHAGPAQETFARTAIAISKFEPVTICASAEQYPNVHKLMEHHTNIRVVEMSMNDSWFRDMGPTFVTRKAEPGMAKQTIAGIDWEFNAWGGIYDDWSLDSDVAKKIVQIERIPRFPHKMVLEGGSIHVDGEGTCITTEECLLNPNRNPNMTKLEIENELKDYLGVTKVIWIPRGLCGDEDTNGHVDNLCCFVKPGVVLLSWTDDEKDPQYERSVEALSALSESVDAKGRQIEVVKFHVPGPLYMTQEEAAGVVSMGHAVPREPGTRLAASYVNFYIANGGIVAPAFGDEKWDKEACQVLQKAFPEHEVVMVEGAREIVVMVDGGREIVLGGGNIHCVTQQQPVRPA >Et_5B_044385.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:23630759:23632541:1 gene:Et_5B_044385 transcript:Et_5B_044385.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDEPAEAPISGRGKKRPPPTENPASEDAEEPSMSTTSDDEGWDINSSDEVEDHGTCRPFTVDDFPRLSNEHYVQTTTIHKMMQDLCRRGPSPLSLFVPYNDPTMKGRSHWFGSQYRLYDESEISVDNAASFDCSNGCKCNSTDLLQVIDLKIAGYRHTQPGHARIFGFFAVRDKIEPLRNYGTARLSLTSPARGICITSYALFEFKLCIRTEDTEDGPKDDILIEGCTEIDNIFGTESFIETGRLYGEKCGLDVTFAVLNNAVQATVAVEIVRAPACGLNLKLYTKTSGFNNVICLFQGVAEAGRKLSSVVAVVNWSHLDLRIEGSSVGNDFSQKSSCEEWKRRFDACFHGIVDEEVELGDFAAISMKIIWKAVYDRRVELLING >Et_8B_060745.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:5961538:5962209:-1 gene:Et_8B_060745 transcript:Et_8B_060745.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQEVSSGSAAPMCANGCGFFGSAATKNFCSKCYKDLINKPVVEAPEEKVMATVIKPESNKTAAETSAAGDATPEKEEAPVAIQRAGGCGFFGSAATNNMCSKCYVDGLKTVDAAPALVEMIKADKAAIAPDQPASSSATAAAEPAVEEAAPAAVKAPPNRCAACRKKVGLLGFPCRCGGTFCSVHRYAEKHACDFDFKTADREQIAKNNPLVVAPKINKI >Et_8B_058728.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:19185283:19185663:1 gene:Et_8B_058728 transcript:Et_8B_058728.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IILQSPPPLCEFIEYVDTKQTSEDIAHVYRVAQRARRHWLHMEVEERREEEHRKMRQEEDERRRKYEAERKAREAERERMRERARRARQQVRMPSERGNIPVALSRH >Et_8B_060655.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:4026698:4028877:-1 gene:Et_8B_060655 transcript:Et_8B_060655.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSHITGDDGEGCNSSESGWTMYLVSPMHGSGKGSGSEGSSVDDGYGYINGRRSRSGKAYEDYADDDSLASDASTGPAKVCTIVTGFGFGGPEPRIRFAVPKSESESKGFVVPVISGDAILSRMNCDPNCARDKEGTRSRLRLHLREYLSPWSSAAAGLWLGGCGAVSHSGEEGAKPLMAQALAGRPPAAMFSGF >Et_8B_059850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:3335678:3341702:1 gene:Et_8B_059850 transcript:Et_8B_059850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDGEVQEVAALAVMCTKLNGEDRPTMRDVEMTLENLRAKKNHTPGDKSRRSDGDKTAHLYMSAKGVSNESCRQYTMEEEILLSAWHPRRVAAFAAVVATLLLLPLPHGAAAAAAAANGLESNNCTRSCGNISIPYPFGVEPGCFYAAGFNLTCRDGSPRPHHPAELYLGDGTVQVLDISVQHSTVRINSTRVDWPGDDDNGITPNRTWGDGLPRSGPYFLSESVSMFQAIGCGIQVSITGGQYNDLASSCTAVICPSMGNGFTGGVAYGNGSCLGNGCCQANILVGSSFYNITINRLPGSPPYALALYIVDHSFRFTKDISQDPGQGPKALPATLDWIINNSSCPTNTSAPECRSNHSYCQNSGTYGHGGYRCQCSDGYEGNPYVPGGCQDIDECKFPDNYDCYGHCENTPGSFICQCNIGYTGNASIPNGCRDIDECEHPEAHSCYGTCQNFPGTFQCQCPSGTYGNPLKKGGCITIKNSYTGINHYVQFSPCFLYKLYNCNFTCASLSKEIYVILLGVGGGTILLLLGLCGPFILRKIKLQKNRKMKQKFFNQNHGLLLQQLISRNTDIGERMIITFKELEKATDNFSRTRVVGGGGHGVVFKGILDLNVVAIKKSKIVVQREIDDFINEVAVLSQVNHRNVVKLLGCCLEAEVPLLVYEFISNGTLYHHLHVEGVISLPWDDRVRIAMEVARALSYLHSATSMPIFHRDIKSSNILLDDNLTAKVSDFGASRYIPVDKTGVTTAVQGTMGYLDPMYYYTGRLTDKSDVFSFGVLLIELLTRKKPFVFHTDDGDGLVSHFVSLLAEGKLIDIIDPQVLKEEDGEVQEVAALAAVCTKLKGEDRPAMREVEMTLENLLVKKKKQVPCDKTARRYGDDQTPVHYISTRRPTDEATSRQYTMEEEILFPSPTQQLACIVHAAAMSLRKNWQKPPKRAVSRDWMRAPSSAASPRLTWLNTVCSWSAGNLCRAARHRRSISTVRFVAFT >Et_3B_027722.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:15930279:15930482:1 gene:Et_3B_027722 transcript:Et_3B_027722.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVTTLIFLSAGFVASLFTLLCCNRGPSTNLYARFSFPSLTLDSMLPDLDPGWGLGIPGGRFGWV >Et_7B_055234.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:8541524:8552365:-1 gene:Et_7B_055234 transcript:Et_7B_055234.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPKRTGRCLTLLCFLVACVALARASRPSRVDLDVNLGGGGISIGIGGGQGGSPPPSSSEPQPCDFENERLYRAYLVIQKFKKTVTCDPMGITATWTGTDLCSKTSYKGFFCEPPPGIKDRTIASVDFNGYRLQSPTLQGFVDALPDLALFHANSNDFGGAVPGLRGLQYFYELDLSNNKLAPSTFPTDVLGITNATFVDIRFNSFHGELPGGLFCSFPQVEAIFVNNNQFSGCLPANLGDSPVNYLSLANNRFTGEIPKSIARAAGTLLEVLFLNNQLSGCLPYELGLLARATVIDAGTNRITGPIPASFACLGKVEQLNLANNLLYGDVPDALCRLAYPWSGSLKNLTLSGNYFTSLGACCWDLVKDGRLHVDGNCIPGAPNQRSHDECARFAHQPNSREDDDTDLLSLLDFKRAIRPITNDPQGALNTWNTSAHFCSWHSVICSRPQKAPRRGAGSGRARPRVAHWVSLDASYEARSSSLVELGANDTDLLSLLEFKRGITNDPTGAMNAWNSRVHFCSWNGVTCGGGRGHARVVALDLAGLTLAGRISPSIGNLTRLASLTLSTNKFSGELYLISAVCAGWRIPRDIARLSNLSILKILYNSLAGPIPRDLGNITSLEVITLMHNQLEGGIPDELGKLSKLTFLDLGQNRLSGEIPQAIYNLSFLYILAMELNMLVGELPSNIGDTLPNLQYFTLAINMLEGHIPSSIGNASGMWLMDLSGNRFAGQIPISLGKLPNLSKLNLERNKLEAKDKQSWQFLNALTNCSALELFSVNGNMLQGTLSDSVGNLSSSLNVLLFGSNMLSGLVPSSIGNLRNLTELGLEFNDFSGTIDGWVGKLVNLIGLNLNDNSFIEKIPSSIGNLTKLSLLYLEDNKFSGSIPSSLGSLTQLSELYLSHNNLQGSIPKDVFTIATLVECVLSHNNLEGEIPEVNNLQQVTILALSSNKFTGAIPTTLGTCQELGIILMDQNFLSGNIPTSLGNLSSLRVLSLSHNNLTGIIPTALSNLQVLTQLDLSYNHLRGEVPTRGVFKNVSAVSLKGNWDLCGGVVELHMPTCIVVPQKTGRLKIRVKILIAVFGFVAPLLILSLYIIFCCKKTIRIQLPLASFGEKFSKVSYKDLAQATDNFAESNLIGGGSYGSVYRGNLIRVNMVVAVKVFDMNMQGAQRSFILECKALRNIRHRNLLPILTVCSTIDKKGNDFRAIVYEFMPNGNLDIWLHPTGNRNVPNHLGLTQRIDIVVGIADALQYLHHDCESPIIHCDLKPSNILLDDDMTAHLGDFGIARFYLKPMPTSIRDPEYAEGSHISISGDEILTGKRPTDQMFCNGLTIVDFVATSYEDDMLRVLDPQLHAECHEFTRLNMEEEDRVYQCLLSLIIVGLSCTCQVPRERMDMRETAAKLHAVRTPYRELSPAFARMDWSEGIRLLIKKVQ >Et_8B_058652.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:13765353:13765436:1 gene:Et_8B_058652 transcript:Et_8B_058652.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPLHCPWPFEQNFETFQGNDWPPGP >Et_8A_057907.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:9277101:9277431:1 gene:Et_8A_057907 transcript:Et_8A_057907.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKPKPCAEQKSTVEISNISFKNIEGTSATKDKIDLRHLPLLLDNIDLKMKDGAKKKGAMSNCANVTFTKTSNVSPSPFTSVATKNDLVPEEISG >Et_1B_010296.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35400661:35401210:1 gene:Et_1B_010296 transcript:Et_1B_010296.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVILAALLAALALAPDASPAPGRTTRTRRSRRWRRRLFLRPRRWCTRRRGRSWLAPRRSAPSAWASSSTGTPCASCRRAATASTRDASRGGSPEDAARHAPPAVLPPEDRSWLINPPLLLPPHEGRLLLQLPLFQFYQPRQMLCFLYYARVNMFFFSGEELKLDGLFV >Et_3B_029835.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:28372711:28376704:-1 gene:Et_3B_029835 transcript:Et_3B_029835.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGTASREDEEAKKMEAGGDTVGQKLDAGALFVLQSKGSWLHCGYHLTTSIVAPPLLSLPFAFAALGWSAGIICLVIGAVVSFYSYNLISRVLEHHARHGRRQLRFRDMATDILGPGWGRYYIGPIQFAVCFGAVVASTLLAGQSMKAIYLIASPGGTIKLYVFVVIFGIFMMILAQLPSFHSLRHVNLISLMLCLAYSFCAVAGCIYLGTSDRAPPRDYSIAGDDKDRVFGVFNALAVIATTYGNGIIPEIQATVAAPVTGKMFKGLCLCYAVVVTTFFSVAVSGYWAFGNLAQGTLLSNFMVDGKAIIPQWLLLITELFTLLQLSAVAVVYLQPTNEVLESLFSDPKQEQYAARNVAPRLVSRTVAVAFATTVAAMVPFFGDMNALIGAFGFLPLDFAVPAVFYNLTFKPSKKSVVFWLNTTIAVVFSALAVIASVAAVRQIALDASTYKLFANV >Et_4B_036634.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:1016933:1020157:1 gene:Et_4B_036634 transcript:Et_4B_036634.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHHQGAPPSPMQNSASASSKPPTPTSTPNSRLASLPFSRPSSTPPHPSTPASAASPASRTIYSDRFIPSRTGSNLALFDLAPSPSPHDTAAASGTTASSGSATATSPYCTLLRAALFGPDTPDRVGSSATACSSSSSAAASPVGTPATGNIFRFKAEVPRNAKRALFSGGDEEDALYPGVFSTRGAGPRKIARSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWNACSSKVTKLCDLGADDNVCSVGWAQRGTHLAVGTNQGKVQIWDATRCKRIRTMESHRMRVGALAWSSSLLSSGSRDKSILHHDIRAQEDYVSKLTGHKSEVCGLKWSYDNRQLASGGNDNRLFVWNQHSVQPVLKYNEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNTHLSCMDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQSSDSLSCVGATSYARSYIR >Et_2B_021436.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:29637631:29641264:-1 gene:Et_2B_021436 transcript:Et_2B_021436.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSVLVLDDDPKSLQVISKELEKLNFKVFPFETEDGALDSLKKGSAKEEELNLILAEVHVSTMASPTLANSALLNHIVNELQVPFVSMCGNKDEEAVTECMAIGSCFHLLKPLNTGNFNVLKHTARQHKLRRSTPEGLNSFCRTASSSTKVPKEMFNLAKNNLCCRDSKEHEEPELKYNLFSPTVASPKRIKDQMNVKNLSLAHISSHLKKHRLHKLKGMQEGQFQQNASGKPVAELVRSAQNAADTESITPRVASNIREAYSYTCTSGSYREDSRSVWDRYYKSLGKDSSWSSRRRQYEQSPKNKDVTRDEGVPNEGPKTAGETGYGAPESSNNRLTSFLGQAGQNHLTEAAGNIDSVGDINLLEGILNEEQTAAMDPFDGRLHLQSNDALLDDTNKSSSAQMHLTGPSDGQEDMNSFWMSQLKGPQEQLNIEPEYLLQVNEAWNEALVLGTQPTLINIDEPMTKSQLEMLRLLNL >Et_2B_020759.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23292504:23295649:-1 gene:Et_2B_020759 transcript:Et_2B_020759.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LVVAVHNHDWALSVRRTTPLDAELCFAGVAFARVRMAGAMDWTRSAGRPRRPTASPWRTTPRGCRRGVRPGEGFWALPPGAHHHRSPSPPRPCRRRSRESIRLAPRANATGTASPSFSHDISLGVALRNSN >Et_7A_052141.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:5028159:5028455:1 gene:Et_7A_052141 transcript:Et_7A_052141.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding M >Et_10B_004216.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:19444764:19446374:1 gene:Et_10B_004216 transcript:Et_10B_004216.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKCGYIRRAVVAEASSKDAATVVELDLSSVPGGADALEKAARYCYGANFEITARNAAALRCAAAFLDIQQLERRVDEFLAQAGLRTLRSAVAVLRSCEGPLLLVPAAEELGLARRAADAVALRVCNEAMFPSRSPPGWWTAELAALSPASFGKVATALRCRRADPAVVAAAAAAYAELALAEVLAEPRETEHNSLLVESVVEVLPSSADAPLPASFLCRLLHAAVHTNSSAKTCRDLELRVAAVLDQATAGDLLGVALDGAGERVTHADTVRRVVAAFVERNQASTATGRSRRASLSGAADEVVDSSTLLSKVGRTVDEVAAELATEAALPVSKFVGVAGAVPKDARASHDLVYRAVDIYLKTHPGLDEIEREKVCSVMDPLRLSHQARIHASQNNRLPLQVVLSALYYDNLKIRSAEADDEDWDKQSSAAGKARADASLAREKEALRSELAQMRAYLSGMQAHSKGSASSARAPSPPGKKASGFLGSVSRTLSRLNPFNARWAKDTSTIADGKKTTTRDHVAVKPKGRRFSIG >Et_3B_028057.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6695957:6696088:1 gene:Et_3B_028057 transcript:Et_3B_028057.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAFAGVILTHLPWYHLSQMSHPIQNSSALYIPPQVPHRVSP >Et_7B_054542.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:2362460:2366976:-1 gene:Et_7B_054542 transcript:Et_7B_054542.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVGLALGIAVGVALIVGFARSENSRTALRRQLAATVASFSRMTIEDSRKLLPSDLYPSWVVFSSQQKLKWLNQELIKIWPFVDQAASELIKTSVEPVLEQYRPIILASLKFSKLTLGTVAPQFTGVSIIENNKESGIVMELEMNWDANPSIILDVKTRLGVALPIQVKDIGFTGVFRLIFKPLVEELPCFGAVCFSLRKKKKLDFRLKVIGGEISAIPGISDALEDTIKNAIEDSITWPVRKVIPIIPGDYSDLELKPVGTLEVKLVQARDLTNKDLIGKSDPFAIVYVRPLPDKMKRSKTISNDLNPIWNEHFEFIIEDADTQSVSELIGCAQVRLKDLQPGKVKDVWLKLVKDLEIQRDRKDRGQVHLELLYCPFDMKEEAPNPFRQQFSMTSLERTMTSMENGSGGTSFDRLSSRKKRDIIMRGVLSVTVISGEDLPAMDMNGKSDPYVILSLKKTKTKYKTRVVNESLNPVWNQTFDFVVEDGLHDMLMLEVYDHDTFSRDYMGRCILTLTKVLLEEDYMESFNLEGAKSGKLNLHLKWSPQPIMRDLREEDSSRFR >Et_5B_045334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:18567223:18568912:-1 gene:Et_5B_045334 transcript:Et_5B_045334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDVFNGKKLEDIVPSSHNCDVPHVTRVEYQLIDVSEDGFVRVFSNWVSLLTESGDTKDDLKLPTDEALNAQIKSGFDEGKDMLLTVMSAMGEEQICAVKEILGKN >Et_5B_043136.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:79288:79359:-1 gene:Et_5B_043136 transcript:Et_5B_043136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSNICSTFFQSLEALFYRYC >Et_4B_038817.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5028681:5032146:1 gene:Et_4B_038817 transcript:Et_4B_038817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDQKPRAGKINGGTVLGQLREGPMSKALEESSLGGTIPTSSASPPESKKREKTVPHYLRASTGSCHDLCKYGHKYPSEEELRFPGRRRKKHPDQLSNLALHRSAILDRSKDVRNMSLSLAKSSISLGEAERVAPKLPAAVRKGVASKEQLVPRTASSAEPKTLNSDGRKKYSMAAEKAPTNPRYSSEVTKCDKKEALAGKGAIYSSKLKVPEKTLPEKSRTVDKVTTVKQSTLKRPTSSPTDLNMTKQVPVASQGSIYHIRSLKDKSTPKGKVTSPSATITRVRAKPGRSPTKSSNANTNGKEGSDMSRSSFSMESKLSASVGIEGALHLTGTSIESMPAQVCSDATECIGNSRPAPEESSKPISDDDELVSTEKGEALSGEASMESDTALELQQSLDDKEFNGLPCESDPGHELAENNVMDDRALKDEDSQTDNASLCQISEQLISVETADVYDPVLTPSHSKIEDDDINVNVCAEPLNADGKEQVGVHEDILRSPEILSFDEKHVEEAEFCLDFASGKAAENDKVDEVRDSRMDYCASRCQLISETSSDGELLEDPKPMLVEPSECTIQIESLANVSTENIESEGLKSSIIIEQSPEELSDDEFYEEYDFEFSESDESGTEDEEASANKNRDESLKAGGQRTRRITALELDDANATPYKLKFKRGKILELPPDSSGPRRLKFRRKVANEASIGQSQSARRIYKRSNTDNVVSANPDVESSGVRLRHQDAQDKKDAQGLFNNVIEETASKLVESRKSKVKALVGAFETVISLQDGKPTSSTQQAGNSEDSVHDGEGNAREEVQ >Et_6B_049681.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:8563960:8565056:-1 gene:Et_6B_049681 transcript:Et_6B_049681.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARSRARRQRVPAFGEWNYAYGGDWPVTQYFDSAMQAGLFVAVPPSPKPLKKVVKWSDSATLEDEKQRQQHKVVVGLGDHAAVKKQGKQSRVADAGAHAADYGYKACRVVKAVDQDLYEIPPDMLCHKPRKRLTRSVWLGCLGLSCVA >Et_4A_033596.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:24826743:24827254:-1 gene:Et_4A_033596 transcript:Et_4A_033596.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSAARGNSAVVLALVLLCVLLHGEFAESAVYTVGDRGGWSFNTAAWTRGKRFRAGDVLVFKYSPAAHNVVAVNAAGYKSCSARGAKMYRSGNDRVTLRRGTNYFICSFPGHCQSGMKIAINAA >Et_1B_011926.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:27267606:27269359:1 gene:Et_1B_011926 transcript:Et_1B_011926.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSECKKTASRHTTEVETGTHAFEIVGYTLKKGVGVSQFIQSGTFTVGGSNWSIRFYPDGLEGEREHVFIFLVLMSNANVRASYYVSLVNQTTGLSECVCSETTARVFSPSHIFSQGILIARNKLESESAGYIVDNFLTIECSVTVLKESKVSDITGNFEIEVPPSDLSEQFGKLLLEEEMADVTFCVGGEDFPAHKVVLATRSSVFKAQLFGQMKENRASRITVEDMQPDVFKALLQFIYTDLLPYSDDLTDEEYEIVKHLLVAADRYAMDRLKLQCASILAEYLDAESVATTLALADLHNCDRLKEVCIEFMASCEMKDVVETEVWDNFAGAVDDVWAIKFILATTLSMDDQQNFFDMIKKGCIEFMATSADPNILKAVTATQGYANLQRTCPSILVGVLIEED >Et_6A_047274.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:3157107:3161516:-1 gene:Et_6A_047274 transcript:Et_6A_047274.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAALPQKMDALARRASMLRDSLRRSQGNTDGMVAILGSFDHRLSALEAAMRPTQVRTHAIRTAHENIDRTIKAADSILSQFDLARRAEAAILRGPHEDLESYLEAVDVLKGIVRFFSSNKNFKSSEGVLNHVNNLLAKSTLKIEEEFKQLMSNYSKPIEPDRLFDCLPKSLWPTKGDENDGASRSDHPSKGLETAIYRTPTLIPPRILPLMNDIAQQLVQAGNQQSCYKIYRDSRAAALELSLRKLGVEKLSKDDVQKMQWEALEAKIGNWIHFMRIAVKLLLAGERQICDQIFDGVNFNKGQCFAELTTNSVLTLLSFGDAVARSKRSPEKLFVLLDMYEVMRELQSEIEVIFEGKPCSEMRDAALGLTKRLAQTAQETFTDFEEAVEKDASKTIVQDGTVHPLTSYVINYVKFLFDYQSTLKLLFQEFETGSDAESQLAVVTTRIMQALQNNLDGKSKQYKDPALTYLFLMNNIHYMVRSVRRSEAKDILGDDWIQRHRRIVQQNANQYKRVAWAKTLSVQGAGSTGDLSSSGVSRATIKERFKSFNMQFEELHAKQSQWIVPDQELRESLRLAVAEVLLPAYRSFIKRFGNLVESGKNPQKYIRYSPETVDQLLGQFFEGQQWAEQKR >Et_5A_042504.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:16235569:16240188:1 gene:Et_5A_042504 transcript:Et_5A_042504.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSSLLFIALAAALFVGSHAGSIAIYWGQNQGEGSLAETCATGNYKFVNIAFLAAFGNGQPPVFNLAGHCDPTNGGCAGQSADIKSCQSRGVKVMLSIGGGAGSYYLNSSDDARNVATYLWNNFLGGQSSSRPLGDAVLDGIDFDIEGGTNLHWDDLARYLKGYSNSGRKVYLTAAPQCPFPDAWVGGALSTGLFDYVWVQFYNNPPCQFSADSTTNLADAWKQWLTVPAKQIFLGLPASPQAAGSGFIPADDLKSQVLPLIKDSGKYGGIMLWSKYYDDQDGYSSSVKSDLSAGSGMIAGTQAGGIAIYWGQNGGEGSLADTCATGNYKFVNLAFLTTFGNGQAPVLNLAGHCDPTNGGCASQSADIKSCQNRGVKVMLSIGGASGSYYLSSSEDAKNVATYLWNNFLGGQSSSRPLGDAVLDGIDFDIEGGTNQHWDDLARYLKGYSNSGRRVYLTAAPQCPFPDAWVGGALNTGLFDYVWVQFYNNPPCQYSSGTSNLADAWKQWLTVPAKQIFLGLPASPQAAGSGFIPADDLKSQVLPLIKSSGKYGGIMLWSKYYDDQDDYSSSVKSKLRTFMDLMISSNEET >Et_9A_061061.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:13096303:13097242:1 gene:Et_9A_061061 transcript:Et_9A_061061.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTDYDGMVVWQTEGKISNVQYAQLLETGNLVLKNTSGDIVWQSFDSPTDTFLPTQRIADGTKLVSTTQLYWPDPDYQYYENNRNLYNSTRMATLDKYGQLFSSDLPQHVLVASDRDAGIKRRLTLDYDGNLRLYSLNNSDRTWDVSWIAEAQPCKIHGLCGPYGICHYSPKPTCSCAPGYKMRNSGMYAKVTATVRGFNTKQETAHAIQNLFSSMEDPSQRRTCAECTSNSLQV >Et_1B_012816.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:35315095:35315746:1 gene:Et_1B_012816 transcript:Et_1B_012816.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMQATSRLRDSRLVVTELPDPTLQGKFPAEEMQIMAHLARECLQWDPESRPTMTEVVQILSTIAPLHGAKRRNLPMAFNLTTPPHVGRCEPEADDIERQQECSSTVQWKEARCAPPPGRASWPGDRGNAAKKGAGAVVSGELVNGMLLMSPHGRSNWRPPPADEEEAVDLTEPRLERFTQPATFR >Et_1A_008345.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:6767101:6770290:-1 gene:Et_1A_008345 transcript:Et_1A_008345.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGLNLVTTVIGFGMSATFIVFVCARLICGRAARADPDAADAGAVAASRVMAQPPAPFDFDVEFRTADLDRTIERSRGGLDPFAVAAIPTMNYSCEAFHSKDDAQCSICLGEYKEKEILRIMPKCRHNFHLECIDVWLQKQTTCPICRISLKDVPSVKATASPLRGLPQLLGHPESSANRSPHWILPIHRDRTGGRQNSPSSQESLEVIIEIQPQRQ >Et_3B_027627.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:6139132:6139392:-1 gene:Et_3B_027627 transcript:Et_3B_027627.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KRSLRNQSSIISNRSFSSLVTLPRAIVIVILLFNYFNHFRAPHITSKAYDSLIISGRFLSRSMPFFNGLEDRNFSFLSMGSQPRSW >Et_3A_023729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:11382637:11384590:-1 gene:Et_3A_023729 transcript:Et_3A_023729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKRRDGGVDRLSDLPDDILGHILSYLPTTEEAGRAAVLSRRWRYILAHVHTLSFNDDNPGKRCLDNLSFELDSEEQRSPNGRFLDRVNAAILCRLRCAGVSHNTSLRAFRAAIDQSLFSSAALRSLRVSHCYLKPPEAIVLPSLEALHLTAVGDSEDDINRLVSSCPRLADLTLESCSKITRVSVLDKRLRRLALRCCHNAESVHLDASELRILEYRGAVPEGSLFTLHGSQHRVHSGTIDFCGPNLLTAGKEVVDGFPKLLENLVDARHLHLNTSWLGCSIESVFFTSFPALSCLHKLELTGCLAANMITRVLQQTPNLEVPSLVLWHDPDCHPVAVRGAPAVLCLQQ >Et_7A_051463.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:1891757:1893832:-1 gene:Et_7A_051463 transcript:Et_7A_051463.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHHGHPLELELELGAGSQLDDDGHAPRTGNLWTCVAHIITAVIGCGVLALSWSVAQLGWVGGSVAMVCFAFVTYVSAFLLSHCYMSPDPEKTQRNYTYMDAVRTHLGKRYTLLCGSLQYLYLYGIAVAYTITTATCLGAIMKANCYHDQGHDAFCGSGGDEHFYMLLFGAAQLVLSFIPNFHDMAWLSVVAAIMSFTYASIGFGLGLAKTIENGAVKGSIAGVPVSTPMQKVWRVAQGIGDIAFSYPYTIVLLEIQDTLKSPPPERETMQKGNVIAVLATTFFYLCVGCFGYAAFGNAAPGNLLTGFGFYEPYWLVDFANACIVLHLLGGYQMFSQQIFSFADRCFATAFPNSAFVNKFYAIKVPGLGVSYKLNLQRLCFRTVYVASTTGLALVFPYFNEVLGVLGALVFWPLAIYLPVQMYCMQRQIMPWTRGWVALQVFSAVCFVVGTFAFVGSVEGVIRKRLG >Et_8A_058077.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:18033558:18034621:1 gene:Et_8A_058077 transcript:Et_8A_058077.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPTTVSTCTPETDHGKHVFEISGYSEYKVWQLLRGRLRLGILFYPNGIDYGSCYYIYFGLELLSKNAKVRAFSELSLIDQTTGSPSMVDNTRDLEVFESCGIDSKPSRPRACLYVERSVFEKSACLRDDHLTVVCSVTVKKPRVSNTGFLNQVEVPPSNITEQLGKLLDSEEGADVTFSVGGETFKAHKVVLAMRSPVFKAELYGPMTEATEQHVTIEDVQPAVFRARLHFIYTDSLPDMDHLGRDAKSEMMWHCLVAADMYAVDRLKSVCESILCKNLDVETVSTTLALAHQHSCDKLKEFCLQFTSSPNVMDAVVATKGYQNLKATCPSELIDIFEKTVRL >Et_7B_054130.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:15796200:15806780:-1 gene:Et_7B_054130 transcript:Et_7B_054130.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTHPRTQSMRIQQKHSSKKSRRDVRKKSPPRTAAVRSRSPRRKGTKAGKAWVIGLCSAPASWKKRPRRGGGCRERKLRRNAGEEATARRHSRQVRAARTSVAREGRRRKMARRRSSPKEWMVVLLGSFRRFAGGGWVGLPPPPAAIAAEDGDGVAAGWGQFVSSWQTRLFLFRQSGPITPRERWRESEKPRDKPPRTLILSTERNPSALHMESDGDDSPASAPPQPQPCGAGHRASYSLPTSAGGRVCLSCAAALLSSAASAPSHHVAHALASLSLALADPALLAPLRAAHPRLLAAPLVEALVGAAARRDAALAAQACDLAADLAAAVGAPAASELVARLARVLSSGSLVKHLHTLHCLGLLLNSTKDAARYIVDKLSLFLNLVNDLRLPSDEVRGEILFVLYKLSILNATPWDDICDNGDMNLSVIGRSLLQLSLEVLLKTQNDAVRLNCVALLLTLAKKGPFDVLLLSDQNSANCVEAEESLHTDYMSLNASLVLFAEAIKGSLLSTNLEVQTGTLDLIFHFLSSDGNNCALLQTLIDENVADYVFEVLRLSGNNDLLIISCIQVLSLLARSEDKFKDKLAIGFSTLLPILHYVAEIPFHPVQSQVLSLVWICMVNCSGIFSLTQEEQIASALTEILRRNGNGELGMSSETFILVCLILTEILKSPCAHDIEKLPSFIEEASKHAISSTLLHEYDSLLLVPHSLLLMKEALIFCLEGNKDHISGKKDLEDSIIATCGTLLLPWLESAVVDGNDEESLVGILQIFQIILSKSSDNKPFKFAELLASSSWFSLSYGFMGLFPTDHVKSAVYLVTSLIVDRVLGCDYGEAIRDAYIYLPSDPTELMYLLGQCSSEDFNLASCQCGILVILYACSFYNERLAADNQILASLEQYILLNGGNFPYEINCSVMLTLLVHLYAFVRGISYGRSVPHSAEAENTLLLVMTRMEWELLVIRVHPVAIKWLFQKQELMESLAFQMLTFCKTFCKDKMDIISNRSQFVDIQMVADLVLSGETNITFLLVSLLTQVLKEGTEDEVISVFNVISEILMTFPCTSDQFISCGVVNALRGIYCSSYSSRIKIVCSYLIFNILYSANALTFSQEDEWLALTVKLLEFIHSGIDYTSKDHEHKILIGILCLVLHHSADKKIIEPAKAIILNSPLVSLTDVIVHEACAKGPSLFQHNQETAFGEFLILILLLVFLALRSLHVILDASIDWQDFFQDSSDIQSFSVLGITCHDLCRLMYFGPSPVKLIASQCLLELLTRISDQMISLNAELRCSVKYLKSVIAVIEGLVFSEDSKVAGNCGACLSLILGWEKCGRQENVVVRESKWFRLIMEEFAVALTAPGLTSKSFTNQQKFAAKLAVSLLKLNQVPDWLTSLFDNDLISGVVANLSARNVTPEIVNLFSELMARKFLSQDHIVVLHNLFQVCRRQAYEGSSKVQLSKQKVTKIAVSTDDVLALLHDLMLNQCADAVNTQMEQQKLLREIDLFIQESNRREKH >Et_2A_017314.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:33170701:33175701:1 gene:Et_2A_017314 transcript:Et_2A_017314.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTTLGTPLFSHTEPSPHNGGRKVLRNRLPDPLRSSSRRLVVSLLRPSLSSASSPLSTAPRDLASPLRIKTLATAPGGRAEAARSVVLRRGEGAAAAGRGGRRRPMGGAVSGGAAAVDAEGASYPVMLNVYDLTPLNNYLHWCGLGIFHSAVEVHGSEYSFGAHDHPSSGVFEVEPKNCPGFIYRCSIFIGRTSLNPLEFREFIQRMASEYHGDTYHLISKNCNHFTDDLCIRLTGKSIPGWVNRLARLGAFCNCLLPESMRLESTETKHLADCRFSDGSNTTSNENFDEDDLEDKHLLPTSSVSEDAIELCLITSEVTMSIQFYLLVELVVRSK >Et_3B_031231.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:22070180:22070587:-1 gene:Et_3B_031231 transcript:Et_3B_031231.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGSTQRKKKKAPADADADAKKWPGGARGGVEPGVSPAAPPADATGRGAALAECAVSCCVLCACIPVAALCCVARAPLRAARRCCCRWRRRPRRRLAPGGSSSFSDAEVGEFLQSGGRRRAMGREDSHPPSR >Et_3A_026358.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:7259195:7263059:1 gene:Et_3A_026358 transcript:Et_3A_026358.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLLAAVMALSCFAAPANAEATAAAEADRIASLPGQPPVNFSMYSGYVTVDKAAGRALFYWLIEAASVPAESAPLVLWLNGGPGCSSIGYGASEELGAFRINADGRTLSLNPYPWNKVANMLFLDAPAGVGYSYSNTTADLFTAAHDSYNFLLNWLERFPQYKYREFYIAGESYGGHYVPQLSQKLRLTCEFGSSEHPSKACEEVYSTAEAEQGSIDAYSIYTPTCKKTSFRRRRLIKGKTLWLPRGYDPCTEQYSTKYYNSPEVQKALHANVTGIPYAWTGCSDPLFDNWKDSPRSMLPIYRELIAAGIRIWVFSGDADSVVPLTGTRYSIDALYLPTLTNWYPWYDDEEVAGWCQVYKGLTLVTIRGAGHEVPLHRPRQGLKLFERFLQDKPMPKPVDSIQSGCQLQNLGSSHQVMDNALGNSMKQLHLVFSCTQYFCINCEINHYSQPERSLCSHDPAVQLQWRSSPGQ >Et_7A_052126.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4938982:4944754:-1 gene:Et_7A_052126 transcript:Et_7A_052126.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPLESCGVEPQENGGACLSAKGFDMSKQPYLRRCSGRLAKRPAAQQVEQKHLYLVVDDWERGYSVYRVDDDDHFESSLDTPFARMEAEHGDSRSLVAHGTKILAMQPKQSSPGIPAFDTETLGVSVYPDPRSRRDGYIGGKPVYASVGDRLLAFYWPFLEVLLPEPASSANKDEEPWSWTLVGQPPFQSVRVSSYAVHPDGRTVFMSVKNWRPVGWGIPSNKYQDSTFTLDMESLELTYIGEWVLPFKGRAYYDGELDAWVGLCLDREHAGRVCCCDVPPADGCEAMPAWKLGRDVLFDTGRSQWDVHLGAMLVYKGDDGKFCLLESRAPTDGDPSKRVRVVKMDSFALKYDKDGDLRRTRHRAHASVSYEVAHKRFDRSDNPVSLSPHDRIDSFNSTTLRQPTLLGESIGEPRGVGAVVVNHVLVPRLPQLLLLLDVAEPRAAAAAVEPVAAERVLAAAAHPREAAGRAALGAVVLEVGHERRLRPVRLDAAALRGPVVGVPQDAVQPHRLVHRERHAGVPVVLELPDRHVVHQDPETNSIALAREALREPLGVRAVVVDHLSRAHLSPCCAVASISCPYPEPEADGEAAPAAVKLRYCAAKGACVQSVLTRPPCVAQSSASHRLPRIRCDDGNATPWSAWFLNSRIGVPSTSTLASARGEHCVEVGGGVEPEAELLLFPAGAVGEDVGVQRVGLAGDVAQELEVDLVVHLPRALRHELHRSNYCSRWLAYVVTVPAELLGTSLICTSTRAKRYVSLDRNPEPEVRSSDSVSTSPSPDTLPRPSPHVTSSPSPMLPPATASGATKQTPKSRAVSTQAALAPEQEAIAVVVARALAPNCVCVREREIGGGVVIDVRVAARLI >Et_2B_018915.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:16490967:16492369:1 gene:Et_2B_018915 transcript:Et_2B_018915.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIASNCLALQNLMMFRSSITDDGLSQVAKQCNSLKSLHIEGSSYVTEASLRSLVQNANRLESLTLGSCPQIGEDAIMSFLLDHPYLKKFELKDMMAGESHLSGARQSPFQRHVCSFRQLRSLILVKCPGLQDLSMLKFSDIHFRMLQHLGIDDCRGVTHLGLMWLVGHLSNPVKLKTIELARFYFSTYAELIEVLFLFNRTIESVILDSCDFGLVIPLSLCESEVEECPKLEVIRLKNCGSIMNFFLEWVSMVCSGLKELSLIGFEGDVQEQDISAHFFLILQRNIITKIEVGRCCQLTDIDVCHIAQSCLRALQELILDDCQLIFGKSALVLSYCCPNLVRLGLNRTQVKDDQIGTLLTHGYECLEELTLMECPWITLHILALFARSLPKLKR >Et_10B_003486.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:20066939:20070638:1 gene:Et_10B_003486 transcript:Et_10B_003486.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDHPLEALHAAARGAIAHLHLPIHIPGSNAAGPKQQREHDCILHLHVVVTNLLHKPLRSLARCFSSDAKQQRVREKGTPQQLDLLLCIAFDAFAHNLQLLEGACKQKGVEFGLATRQRDQFELFRKVIDGKRADFDGFLSNLGFAKVGPPPPPSRTPASVPVSDYEDSSGIGDRDRMDGGSGTTQQPQRLAARLLDAPLSNVERLRSTLPAVSLTELIELVPQLVGRSSTSADGHPDKKKLFSVQDFFRYAEVEGKRFFEELDRDGDGQVTLEDLEAAMRRRRLPRRYARDFLRRTRSNFFSKSIGWKQFLSLMEQKEATILRAYTMLCLSKSGTLHKNQILTSLKSAGLPASEDNAAAMLRYLNADSEGSISYGHFRNFMLLLPSERLEDDPRNIWFEAATVVAVPPPVEISTGSVLKSALAGGLACALSTSLMHPIDSMKTRVQASTLSFPDLISKLPQIGLRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLINLAPTLPEIQVQSVASFCSTVLGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMQRDGPKGFFRGTGATLCREVPFYVAGMCLYAEAKKAARHVLDRELEPWETVAVGAISGGLAAIVTTPFDVMKTRMMTAPPGTPVSMQMIVLSILQKEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIKDESERLNT >Et_1A_007474.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3568691:3570473:1 gene:Et_1A_007474 transcript:Et_1A_007474.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding IFSRIRARSNHRATHTSFSYHLVFQHKNTVCWKPKFENISTNNSMRPNDLSEDQEMAPAEGNRCCIPNVQATPEIEKKYVHRVYDAIAPHFSSTRFAKWPKVAGFLNSLRQGSIVLDAGCGNGKYLGFNPDCFFIGCDISPPLIEICSGKGHEVLVADAVNLPYRDNFGDAAISIAVLHHLSTVDRRRKAIEELVRVVRRGGLVLITVWAREQEDKSLLNKWTPLSEKYNEEWVDGSSPPVRSQSTTSLESIAENDEDTGVVKHTVDPLNKKCDGLEDKTSIDCSDSSINEIDRTQQEYFVPWHLPFHRVEIGGVSAAAVENGFAKKDDKKGAVVYSRYYHVFVEGELQRLVAGINNAAIVDQFYDKSNWCIVLEKL >Et_8B_060643.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:3877894:3878493:-1 gene:Et_8B_060643 transcript:Et_8B_060643.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALALAVVLLVASAAVAYAAEATAPAASPKPSSSGSPASPSKAPTTSPEKSGKAPTAAPEKGSAAAPKASNAKAPASKSETTPSEAPASGAASETAPSGAPKGSSSPSASPSEEAAASPDSGDVAEEPTAGGAEAAEEPAAAEAAGPTADSPPEPATSDSPADSPGPAADQSGSAGMGTGVAAAVVAAVAASAVLSF >Et_1A_009479.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:6363336:6363917:1 gene:Et_1A_009479 transcript:Et_1A_009479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMLVEAAAAAPASPADTLNSDMVLILAGLLCALVCVLGLGLVARCACSRRWAAGAAAASGAHAQGPAAGANKGVKKELLRALPTVTYVSSNRSEAASDAEDAEADECAICLAEFEDGQAMRVLPQCGHAFHAACVDTWLRSHSSCPSCRRVLAVEALPAGERCRRCGARPGGIAALWKAPCSAAEVPTFLA >Et_9A_061278.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:5389750:5390289:1 gene:Et_9A_061278 transcript:Et_9A_061278.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGHIEEYGRPVAAGEILAANPNHVLSKPCSQGVAVRRILIVSPDSELERGEIYFLIPAASVPPEKKTKRRSAKSQQQQESSHGDQCLVKKTTTPTAASNGRHGSGGEALSRKRPASHRRRVSAGSRAAEWRPHLECIVEDS >Et_5A_040362.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:1749172:1749495:1 gene:Et_5A_040362 transcript:Et_5A_040362.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVMKDLEGTGEEDNPTTFFKRGVKLGKQLESMSNGGQRWKMLAEFWAETIVYITPAHHTAKHHMEHLEGGGEFLTKIWVLLSHAGILNHDREKDQGPKGAQPEIV >Et_8B_060191.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:750884:754766:-1 gene:Et_8B_060191 transcript:Et_8B_060191.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAHSTLPRQLRRAEESAPVAHCRDRAALLAEAIRRRYELADAHRAYAASLRAAGAGLHDFLRAVQDAAPQPPRQQSGPAAGVRLPAPRKRAGAEPQLFGDGGDDGGHIVFPAEPDEEEIESETEEGEAADPEEAEEEPPVPVPEAEEPIQPASPAPLQYGYDYGYAPPPPYTYGPDSGSSSYAYGGADMGGGGGGYNLVSSINYARSEPPSPALSYAHLAQDTTADVLHRHQYHHDGPAGRPALPPSSDYGGGQPYQYQYPQTGGFSPPPVAAASSSYQLPPPTPSPPRAPTWDLLNPFEAFQTYYPELPSAAMVSYNSTIQSSTDPREDDDDDDDDDEEDVDDDMPELEEISELQEEDDDDLGEVDVVEEQDKCAVEDAKQLPEEEDKCAVEDAKQLPEEEEKRPSSAGASEEEMDSKSMLSADNRSVVRSEESSDAGGSTVSNTVDHAPDNTDVVEEEQLKDSDEQLKDSDDVADEPPVVPPVNKVYHDDVQVVQEMKLQFDRACMSADDLCKALEAGKTPYNSGPKVPSMIFCGFTKMGKKPLLFEEEYSMKSGNLSSTLQKLYMWETKLLQELKAQEKIRVIYVQKYEERKFLYNTGAENHKLEAADIYIRKLATKISVAFQVIKSISNKINKLRDEELWPQTHELIKGFMQMWHTMLECNQIQCHALSQARNIDCTIAAASFGEAQIDLVKKLELQLLDMTTSFVVWFNAQRSYTSTLNEWLKKGVGYVPEVTEDGVAPFSPGRLGAPPIFTICNWAASMARISQTEIVGTMHAFASSVLHIWERQRSEWKHVMLADTDIGYLRWMERDEMWTRKVVEERNKKLVLVSSQCGISSSALHDSVSPADASLQSCMHGFFEAMETFAGACTEAYKGLLLRAEEEEKESG >Et_1B_012305.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:30754342:30758210:1 gene:Et_1B_012305 transcript:Et_1B_012305.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSCEPSSLTSPDCMTAIMSAFRIVESRCATTIVVLPTEARSSASCTTRSDSVSSALVASSSRRILGDFRIALAIAILCFCPPDIWTPRSPTYFLRHALRQLGDEVVGVGCLCSGDDLLVRGVLLAEQYVLFNGCRKECRLLADKPHLRAQPLEPKPADVHAVEGHLAAGRVVEPLQQGDHRRFP >Et_7B_053696.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:11088973:11091569:-1 gene:Et_7B_053696 transcript:Et_7B_053696.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQLRELEVSKGMGSSGCSEIVELVDEPKDVRPGGVTHLRVRVKPVGQEHGARSCSVEEDVDQLIRSINVRTSARASGQTSTDRRLIALGKSPVSSSEIVESVSLKQALRKMCISQASEMAAMKRLSKPAGVPNSSDSGPIKKLYTSVAVQTTEEHDKKNKVEKVPVLLEKAAVGSVDKSVETIKKHNKSSAKKQQRSASPTTAKVHKTRIQDVISNKTSEAVEDPSVGTTVTKQRKGKSAKASSPRAVPVGGSRLVRPMFRNKNSTKKKVKPEPAAVVASNKHCDVKGSNSHTKQHEALQDEPRTPAPINKKAAVTSTGIEGTDFGSKGSKYGELSRSREKGECSQSSKSSIGDYSTSTSISEDSYGSFSANGSKPHMSKDVRWGAIRRMTVQQGSLGLKNFKLLKQLGCGDIGTVYLAELVGSDCMFALKVMDIEYLISRKKMLRAQTEREILQMLDHPFLPTLYSHFTTDNLSCLVMEFCPGGDLHVLRQKQPTRTFSEAAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVSPVLVRSSSVGRDEPSRPSGPCAQSCIDPLCIQPSWSNSSCFTPRLVSSTATRTRKPRADQQKPSLPQLVVEPTDARSNSFVGTHEYLAPEIIRGDGHGSSVDWWTLGIFLYELLYGKTPFRGPGNEETLSNVISQGLKFPDSPAVSFHARDLIRGLLVKEPEYRLGSSRGAAEIKRHPFFEGLNWALIRWTAPPETPKTFDAASLTTARKKKEGKCLEFRLNGDDIEFELF >Et_2A_018269.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:20882807:20884865:-1 gene:Et_2A_018269 transcript:Et_2A_018269.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWISGQTHHDLNTQPQSSADGVRSMTGDCAMFQILRELLPHSDQKRDKATFLLEVIEYIRFLQEKVNKYEASFPEWNQENAKILPWSKGQIPGDALPDPAQFMKNGSSPGFNFTGQLDDNHDTMTSAAASGAQDQTETDHMASVSYRSAQTPTNITSMIIHPPVIMWHLSANPSGQINLGKRAVKRPAAGVSSKEPTETHPSSNNETGHQLTMLGSGAEAPSHATKRHKPGNS >Et_2B_019959.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15587418:15591764:-1 gene:Et_2B_019959 transcript:Et_2B_019959.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDPRQGVSLVRSPSEGDLDLLEQLLSGDNAWLEVASRSPNSLASPPPAAFFAADATATTVTATAANTGWFLQTGSASPSSVRERFSQALSYIRETQSDGDVLVQLWVPVKGDDGQLVLTTSGQPFTLDHRSDSLIRFREVSTKYHFSADVTSGTTPGLPGRVFIGRLPEWSPDVRYFTSYEYPRVNHAQYLDVHGTMGLPVFEKGSYSCLGVIELIMTKQKLNFTPELNAICSALQAVNLRSTEVSSIPRIKFSSASYKDALPEILEVLKAACLIHKLPLAQTWVTCAQQGKRGSRHSDENYRYCISTIDEACFVNEPQMQNFHEACSEHHLLRGQGVAGKAFTTNQPCFLPDIGSSTKLDYPLSHHAKIFNLKGAVAIRLRCTRTGTADFVLEFFLPTDCEALEEQKAVLDSLSGTMRSVCQTLRVVTDKEMENEAILEMNELNSFTPQGKNKVEELSFGDNSGDRAGETSWTGVAETSQQETELAALRMHGMLSPDGQGPSVSGVQTTAEGGKAKRRTKAEKTVSLQVLRQYFAGSLKDAARSLGVCPTTLKRICRQHGITRWPSRKIKKVDHSLKKLQQIIDSVHGAETAFQLNTLYKDLTNTSISSDNNLSGSITVSPMNKSNLTDKHQHHTTISNVPSTSHSHSSCGHNSDSSPSCGGGAIKHAPQVVTDLMKSGNPVESSPVQPLQTENTSLYEHFSVQEASINLLQDVTEKANGLQHYSQSPTSPKQNGNADPNMRVKATFGSEKVRFRLKPECNFQELKQEIAKRLSIVDTNSLVLKYLDDDSEWVLMTCDADLQECLHVYKTADIQTIKISIHLTANPATRVTNGHTAITVSSEDIAEFPPRLSPGVYEALLLK >Et_9A_061674.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:15193618:15195053:1 gene:Et_9A_061674 transcript:Et_9A_061674.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAHLPVQLIAALLLLALLATCHAGGIAVYWGQNGGEKSLSETCASGNYKFVILAFVFKFGMGQTPALDLSGHCDPASGTCKVLSKDIQACQRRGVKVLLSIGGGVGSYGLASEADARQVAAYLWNNYLGGASPSRPLGDAVLDGIDFDIEQGGAKFWDSLARDLKNMGKGKKGGKAVLLSAAPQCPFPDQWDGGAINTGLFDFVWVQFYNNQPCQVSAGRGAFLAAWKRWESVPAGKIFLGLPASKDAAGTGFVPAAQLTSQVLPLIKSSPKYGGVMLWSKFYDDHTGYSSAIKSHIFLGLPASKVTGFLPAGQLMSQVLPLIKNSPKYGSVMLWSKFYDDRTGYSSAIKSHV >Et_8B_059790.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:2807316:2815276:-1 gene:Et_8B_059790 transcript:Et_8B_059790.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCGGGRGGRSPLSRRRLVLLGCALAYSFFFGAARGRQLQPPPPLEVRTYSYASFQDGGLREGRELKLSPDAKINSGALQLTPDTRNNLGYLVNKSGSVLLRQPFVLWHLDDGDDSSAAAPPARAGGNSNTSGQQRVRVVSFNSTFSMNVFYDGARPGEGLAFLIAPSLDGPPPGSHGGFLGLTNATLQAAGPAAQNRFVAIEFDTVNQTYDPPNGNHVGLDIGSVVSNKTANLADFGIPTIAVNKNNSVNYTVWVQYDGAARHISVYMDLKGRPKPASPVLASPLDLSQHVPEKSYIGFSASTGVDYELNCILDWTLSIEIVPEKTSKAWIIIVAVVVPVSVIAIAAVAFVLAKKLLARRSMERRQEMLGHTLSHLPGMPREFTYEKLRKATKNFDERQQLGRGGYGKVYKGLLPGAADASTEGMEVAVKMFTRDDARVVDDFLAEVDIINRLRHKNIVPLIGWCYKKGQLLLVYEYMPNGSLDQHLFRRGAHEQLPVLSWASRYAIVEDVAAGLHYVHHEYTRMVLHRDIKASNVLLDTSFRARLGDFGLARVLEHDRNSYTDLGVAGTRGFIAPEYSVGHRATRQTDVFAFGALVLEVVTGRFALLGDPRCPLLSDWVWQMHGRGALLGAVDQNLGTTAFDADEAARLLLLGLACSSPNPADRPTMPQVLQILAKAQPPPDVPLFKPAFVWPPEGGTQFDLSDIGLTSSSAGTGNGGASSAMATQDASSFDSMQADNFASFSFSRFDSSFRVTVLGDGNINQGALQITPDSLNNASFFLANKTGRVLYSTPFKLWTHEKKGGSANATGGKRVASFSTVFTVNVFRPNGTEPAEGVAFVIVPSADEPPPPGSFGGYLGLTNAATDGNATNRIVAVELDTEKQAYDPDDNHVGLDVNSVVSVATASLTPLGIEISPVEPVKYNVWIDYDGAARSVAVYMAVEGKAKPRRAVLAAPLDLGETVAEWSYFGFAAATGRKYQLNCILAWNMTVEKLPCDNDKAKRLTLGLAVGLPVGLAAAAVLAYVCVVKRRRKVHGGDDGSAITGTMIRSLAGGPREFEYRELRKATNNFDDKMKLGQGGYGVVYRGVVVGDHTCPGGPGSAVEVAVKKFSRASTQGQNDFLAELSIINRLRHKHLVRLVDETVVNAVRSYGTFWSELTKTKVCLTYGLVNAAGWSHDNGELLLVYEFMPNGSLDQHLFSAAAPGRPLLSWDLRYNIVKGVASALHYLHDEYDQRVVHRDLKASNIMLDAAFSARLGDFGLARAIETDKTSYMEEAGGGVAGTVGYIAPECFHTEKATRESDVYAFGAVVLEVVCGRRPRCDIDGFHFLVDWVWRLHRDGRALEAVDPRLDGAFDREQAERLLLLGLACSHPTPAERPKTPAIQQILLGSVPPPVVPPFKPSFVWPATDGGLDTMSTTAGTTTSQLSLTSASTWSGNFMRGSLGHAFERDVSDSINP >Et_7B_054760.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:4098023:4103080:1 gene:Et_7B_054760 transcript:Et_7B_054760.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVDAAARDVGGARTAPPPVGRGGRVAADLLVFVREKVAEISGRDEKEVRVAACPYRICPLGAHIDHQGGIVTAMTIDHGVLLGFVPSNDSEVVLQSGQFEGVIQFRVDDLQKPIDNPENINWESYARGAVYALQNNGYDLKKGIIGYISGVKGLDSSGLSSSAAVGIAYLLALENVNDLIISPVDNIHLDKCIENKYLGLENGILDPSAILLSRYGYLTLMDCKTASPSYVYFSELSKSQLSPEQLPFKILLAFSGLQHNLPKKRGYNTRVYECKESALDPAVYEAQKCILDENLSRRAEHYFSEMKRVAKGSKEMIQLYEILLKAPGVLGARFSGAGFRGCCLAIVEPDRAEEAAEFVRAEYEKAQPELVCKIPADRRVLVCEPGDSARVILPDPRF >Et_4A_033019.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:17075902:17079068:1 gene:Et_4A_033019 transcript:Et_4A_033019.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SEDGTIRVWHLITLLDEQARLEANTSYLYNFSQHALPVTDIACFLGGVVVSSSEDRTCKIWSLSEGRILRSISFPAIIDSVALDPRSHIFYAGGRDGKIYVTAMGVDVNFHGSDDSSILGALDDHSKAVTSLAASSDGLLLVSGSEDGNVRVWDARSQQVTPKFKHCHGPVTNFVIVTPKRINLPPLQPLRKVRSSKGEAEARALILPRPENDVPIAGNSSSIFLERCFDALQKHDGSSRLFEPGMSTLYTAPNQHGTEWRRRYLELQDLFVHEVLDQLPFSGGT >Et_1B_010962.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:1594764:1604042:1 gene:Et_1B_010962 transcript:Et_1B_010962.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGKAKPKAKGDKKKKEEKVLPTVLDVTVETPDYTHLTLKGISTDRILDIRKLLAVHVDTCHLTSYSLSHEVRGTQLKDTVEVASLKPCHLTIVEEDYTEDLAIAHVRRLLDIVACTTAFGTKKPEQKPASPDAAASEVAKLGSPGKPAPGGVAGGEEPMYPPPKLGQYYDFFTFSHLTPPLHYIRRSTRPFVDDKREDDFFQIDVRVCSGKPVTIVASREGFYPAGKRTLISRSLVGLLQQTSRAFDGAYKALMKAFVEHNKFGNLPYGFRSNTWVVPPVVADSPSVFPPLPTEDETWGGNGGGQGRDGKHDHRPWAKEFAILAAMPCKTAEERQVRDRKAFLLHSLFVDVAVFKAVAAVQKLISNHTSSHEATNGTTSPVLHEEQIGDMKIVVTKDKADASSKLDVKLDGSQAPGMSSDELAQRNLLKGITADESATVHDTPTLGVVVVKHCGYTAVVQVPVDTQLTAASLAQQGIHIEDQPDGGSNALNVNSLRMLLHKSCAQSSGGVQRLQSCDLQESEATRSFVRKILTDSLEKLESEAPTVTRPIRWELGACWVQHLQNQASEKTETKKSEETKDVPTVKGLGKQFGQLKEIKKKADDKSGKSSHAKENSSPNPNDAHTDDTGSTKEDKEASLRRLLPEAAFQRLRESETGLHAKVELSDKLPHIQSLCIHEMVVRAFKHILRSVIAAVDDINNMADSATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEKTTLRILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASRGHLSVSDLLDYINPDDELKAKELQKKQARAKIKGRAGQNPSEIVDDEDQRSPASKNDHLLTEKENSGVKENGTIVEHVKIKDEIRNDTTIHLPQDDFTEEYTSDEGWQEAVPKGRSTGHRKAGPGTRRPNLAKNNTNALHNENGRYKGRTPSNFSSPRVSPNEIAAAVSSSPVAKKLAKSSSFNSKAVSPAVSSNSGENSSNPSSKPASAATTTAKVVHSTAPITSQTVRKSLSYKEVAIAAPGTLVKALNDVHAEEKGANLVSVKTAKENNGHPSEEKDGATEVSPKGNASQVSKATDDGKSEQTDVPVGPNQSETESEKTSGPTATSSESLAKQTEANTPNEEAPVVNEANDSSSNDDERDVGEDTPEQLSSGGENEKSPSESEKNDTPVEGAKEITSKLSAAAAPFNPSTVPAFGSMAVPGFREHGGLLPSPANVPPILSIPLRKHPHQSATARVPYGPRLAGGYNRSGHRGPRNKTVLPSGEGLTETNTSAPKMNPNAPEFVPGQSRSPNGHPASPNGPLTSPSGIASSPDGTVESPITPSPQVSECNQTSPEGNDTPSGINDETGGENHNTDDKSTECNDGEVELEQTTASDIVQEAEVVKDGTDESNATEQPKSWADYSDGEVEAVVVAS >Et_4A_032682.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:12545151:12546888:1 gene:Et_4A_032682 transcript:Et_4A_032682.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSSNRNHREPAVLPDDILIEILSRVPYISVCRFKLVSKSWLALCSSPDVLSASGNTTRGSPVDPSFPFLRAFEGFAIDDSCNGLLLCRCWTSDSQEYVVCNPATEKWLVLPQRPRRSSAPHWNVRTNLGFEPAASPHFTVFVFTSFRHTSQQPGVVEVGIYSSEAGEWTFRQSEWGHSPSQCRYSDLFGRTSRQAVFLNGTLHMTTWASTMLTVDMGRNTWRKSEMPRMMGYGDWSIGYSQGCMYASHVSHYQVSYQLTIFVLQNCETDRWVMKHTTSLAEVFGRSGRRDRNMIFLATGKQSDLLSYDMDTGKGHVVCSLEEYYLLKPYTPCFADWLSDVVLDRLDG >Et_6A_046881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:21868911:21870852:-1 gene:Et_6A_046881 transcript:Et_6A_046881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLASSPTSEVPTARRVLAHAPSHSDCVSPTYPRENGFLNRPHKPVCQFYMKTRDCKFGGVCKFHHPKDQPVPVSNCALSSIKLPLRPGEPICTFYSHYGIMVQNENLIIQCKSSCTALLHHQPVRSQLLDVCWHMYHRIRIVFRPHIRSSESNPNRTLITSCRECHLIIPLLFAIQRENGFLNRPDKTECQFYMKTGDCKFGGVCKFHHPKDQTVPVSNCALSSIELPLRPGEPMCTCYSRYGIMVQDENSIIQCKSSCTALLHHQPVRSQLLDVCWNMYHRLRIVFRPHIRPSDSNPSKTLITFGRECHLIIPLLFAVQWENVFLNIPDKAECQFYMKTRDCKFGGLCKFHHPKDRAVPVSNFALSSVELPLRPRESICTFYSRYGMFKYGLLHHQPLRSQLLDVWGHMYNRIRIVFHPQNRSSESNPNRTLITSCRECHLIIPLLFARENVFLNTPDKPECKFYMKTGECKFGAVCKFHHPNDGTVPVPNCALSSIELPLRPGEPICTFYSRYQTFKFGVNLNSIIQCKPSCTALLHHQPVRSQLFEVCWHMYLRIRIVFLPHIQSSDSTPSRTLMTSGRECHLIILLLFPV >Et_8B_058679.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8B:15689193:15689444:-1 gene:Et_8B_058679 transcript:Et_8B_058679.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPTPMPTPTHTPNPIPTPTLTPALNHESWPSSSSEYQPSGYCTLPVFMSMSASSPVSCNRSSNCSTEKILDSMITTQVKRT >Et_1B_014041.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:28459933:28461843:-1 gene:Et_1B_014041 transcript:Et_1B_014041.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDGRLWIFQEILSKESGDGLSFIWFRMDYCTKEQTATACIYMLQDDAWHMHSSVSTQIRNLPSSLLKTASIFLADDRIYMGITKDNLLVLDLASSTFFTIDFHGQMALGGEVRLAPGDGSGVYLVHVKDLKLSIWLHRAGNGGMGNWVLLHTIYLRDMCSNLNMGNGMMGHGRTPVVYIHEVGNNAEFVFLELRGCVLYLDVKTRELRKVYEVTETNKRVGWIHPFVTNFTPTFPALKEWPWQVKGTWTF >Et_7B_053890.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:13052708:13057347:1 gene:Et_7B_053890 transcript:Et_7B_053890.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding METVKVRVQTQPGFARGLSDGLPKFVKSEGYAGLYKGLVPLWGRQIPCKYIFSAHCHRSSTVIGGRVLVPVEVSEPSAHHIEAETVQVYGVALGAEDARVLEHHLHHGAVLQHLHLGALLRDPERRRHVFVGEVEEDRRLVRKVRLEHLGHGAVVGVEDIDGRVSEADVVEARSEALVVGALALLVVRTGHHVDGDGHEHVLVDVRRHVHRVLRRDQGPKLCCVRRRRADVFDGREVGEVRRPGERRRVGVLDYSRGGAVVEGDYRVAHKHPCSHVVAAVAGAVHGAVGHEERVHGLARRDHDALDGERLEVPAVGADHREAMPGDTEEHVVGEPRVDDPEKVRLAVLHVHLEGVFLGAREQVAGLAVDGVGFRWWLEVATGPLGLLKKVVHVLVPPLTEDDRYLFVWLLVRVVRATHGVDDDGAVHGDAVEVAVRMPPERALLLGEDDPVREDGTGLDGTLRDVLWPIEPRVPWLVHAVPACIHGAANEHKVNYAAPSGNRRS >Et_8A_056782.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:16718682:16722652:1 gene:Et_8A_056782 transcript:Et_8A_056782.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLCDGCPVEHKSLKSTDYVQNLQVQNNPEIYNIANRSVYVRWRLAHQHLPVQKPPTTSRRKFLRRGLATASNPPVMWGTSGSADATAYNNQLETQQSEA >Et_2A_018443.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28258979:28260079:-1 gene:Et_2A_018443 transcript:Et_2A_018443.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRLMDELIEEILLFFPTDDPASLVRAALVCKSWCRIVSHPRFSCRFRELHNTPTMLGVFVNFVAGNGAGARADHVSSFVPTATSCPSLPNRRAFRTLDARHDRVLLHNKHAPQEFLVVWDPIADEQQVLPDLQLYRQSQAWNAAVLRPSTSPGCHGSGPFLVIFVGITHLAAHLCTYSQDAAAWSEALHLDPLDVRAGDVVGPSILAGDALCFAVGGRVLRYDVAGHALSKIPSSPFMNSSNMCLVTLENGELGAVADANGHNLSLWGYNGLGGWQRLRIINLDTTECRVLGFAEGGDAIFVSAEAGIFAVELKTTRTSKVCEREGRHFSSVVPFLSFYVPGTSLRAKSRENPSMRAERLQ >Et_10A_001146.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:21543958:21559828:-1 gene:Et_10A_001146 transcript:Et_10A_001146.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKGRASSSGLAASLVPHAQGAAPTFGFGGYHGATRVEPAATSDPEAPDVDSEVIQHLKRLGRKDPTTKLKALSALSLLFAQKSGEEVAQIVPQWVYFLTALLLSHAFEYKKLLLDYNREVRRSTHEAMSSLVTTVKKGIAPHLKSLMGPWWFSQFDPAPEVAQAARRSFEAAFPQSERRLDALMLCVKETCLYLNENLKLTTQALSDKATPMDELEDMHQRVISSSLLAMATLIDILLGVKLQNCDVDSINTENRNLSKVRSTTLSSVETTFCMHKYFLDFLKSESASVRSATYALLSSYIKHVPHVFDEETLKILSPTLLGAFHEKDPSCHSSMWDTILVFSTRFPEAWSYCNIHKVVLSRFWHFLRNGCYGSKQVSYALLVQFLDSIPPKASMGQQFVSDFLNNLWAGRNQRQVSAADSLAFCTAFKQSFLWLLKNVSRYSGGDSSDDIHTKLIIDIFARIVWCDYLLLSDGTPADVQLSHKKSLAAANTRYPTNYLQDLGKCIIEVLDVIADTENYLLDVSCESLLKDCLDIIHQGEKLSKFQDHVEQLVSFFLSLDLLVVRKGKAWPLERLARPLIDQSLSAIKSLDTPGLVKLLSVLVEIFGPTPLLLKSYQKNDEKSDMKPYLEIFNDDLLPWCLNGKYSTSNSKIDLLLSLFQDECFFDQWCSVIKHTRAKQKHCVDDRASNIMDQFELLSLLLQKVREQVAGGKLKNLHRNGCLPEHWRHDLLDSTAISVLCDLPAADSCANFLWYALLTLFVHAALGGSVREDRICFLSTETVRSILGFILKNLASVFIASAFDWARFAYGLLLPAEHSPLKVPEKQSSPVNFEMVRFAFGVLKGSLFSLGLLEEDSAFPSVLAALFIIEWECSMALSLGEENDLEGHKDDTDAGALEEEIHLKANLTENISAFCRSLSPSFWNNLHSCTLNRVAYILAQSVSLQSFFDLLLSEGECWPLWLMPSLCNGHPSINVQFEPAITDDIELKHQRFVAFVDNLIIKLGFGEVILGIPGNLHRSMSQSTDITSSISPSSRAWVAGEILCTWTWTGGSALKTFLPSLVEFMKEESSHEVSIMSLLLDTLLEGAIMHESGPWALFNDWHLSGNEIEKIEDRFLRALVALLFTSNTNGCAWRESDTLLFFEKLRSHLFVDSSVNRKCLKALPFVMSTMVKTLSEKWKLDKDSSYADLMGKSIVSWLDATISCLSSSRLEVPEQDFEDWMQVALSCFPLRITGGGRKLVITVEREISDTEKSLLLTLFQKYQIFYNSAALSLSTSETALSSTIELLGAKLMAVMVGYCWTNLQENDWRFLFRMVLKWIESSVLLVEEMTDGINDAMINQKSSEDALDKLKLVVNTSDELRISLAQSALVTLCQLNHLCKIQEAENSQSLQLIKSGEYAESNDKMVESVLRLFLASGVSEAIAKSHSEEASFIISSSHHAYLHFWELVASVTNNASPQIKRSALESMELWGLNKGPVSGLYSILFSPQPIFHLQYASFSLLLSEPFCQLSLLKECSLGNNSSPDIDQTTELMSDSEKTLCIRDELSALIEFPTSELLKTDLTDRDRVDVFIAWALLLSHLQLVQSSCREKILKYIQDKHIPLKTAAPSGKKKDVELMPEAEVAAKASKNAIVTSSLLPYVESLWPIRTWQMASLAGSLYGMMIRLLPSFVRTWFTTLKDRSLSYSIESFTRQWCSPPLLLDEFSQVKDSINADDNFSVSVNRSAYEIVATYKKEETGIDLVIRLPNCYPLRHVDVECTRSLGISEVKCRKWLLSLTSFVRNQNGALAEAIRTWKSNFDKEFEGVEECPICYSILHTSNHSLPKLACKTCKHKFHGACLYKWFSTSNKSTCPLCQTPF >Et_5A_041677.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:25815748:25820112:1 gene:Et_5A_041677 transcript:Et_5A_041677.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFLSESPSDEQHIHGYGFNPQSWLQVERGKLAKSSYSPSSIESLIKIAEPPVVPLYKPSDYVEVLSRLHEELEQCTPSERPGLYLLQSQVFRGLGEVKLRQRSLLSAWRCASTVHEKVIFGAWLRYEKRGEDIISDVLASCRKCCREFGPLDVASEMPARNFGLIGSCELGYSSQVSSTVTFQVRDGRVTCDRHKIASLSIPFCSMLNGPFTESQLELVDLSENGISLEGMSAVSMFSSSYNLGDLPLEILLEILVFANTFCCDKLKDACDRKLASFVSSRHDAVELMALAFEENAPVLAASCLQMLLQELPDCLSDEVVVSLFLSATAQQQLIMVGHASFLLYCLLSEVAINIDPRTETTACLSEKLVQLAVTPTQKQIAFHQLGCIRLFRKEYNEAEHQFEVAFSAGHVYSIAGLARVASIKGKKALAYEKLSSVITSSASLGWMYLERSLYSDGDRKLADLDKATELDPTLTYPYMYRASSLMKKKDAKLALEEINRLLGFKLALECLELRIFLYLALEDYKSAICDIHAILTLSPEYRMLEGRVAASKIGTLLGAHVEQWNTAECWLQLYERWSSVDDIGSLSVIYRMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARHHAATEHERLVYEGWLLYDTGHCEEALQKAEESIFIQRSFEAFFLKAYVLADSGVDPSYSATVISLLEDALKCPSDRLRKGQALNNLGGVYVDCGKLDSAADCYTSALKIRHTRAHQGLARVHFLRNNREAAYDEMTKLIEKAKNNASAYEKRSEYCEREQTMTDLQTVTQLDPLRVYPYRYRAAVLMDSHKEKEAIAELSRAIAFKADLHLLHLRAAFHEHTGDVPSALRDCRAALSLDPSHQEMLELQKRVNTQEP >Et_1A_007911.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:39419086:39422038:1 gene:Et_1A_007911 transcript:Et_1A_007911.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGVLALQGSYNEHIAALRRIGVRGVEVRKPEQLVGVDSLIIPGGESTTMAKLANYHNLFPALRDFVGGGKPVWGTCAGLIFLANKAVGTKSGGQELVGGLDCTVHRNFFGSQLQSFETELLVPKLAEKEGGSDTCRGVFIRAPAILEVGSDVEILAHCPVPTDRPSITISSPDAAEEEVYSKDRVIVAVRQGNILATAFHPELTSDSRWHRFFLDMDKESQTKAFSALSLSTSSRDAEDMPKNKPLDLPIFE >Et_9A_063182.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:14417701:14419689:1 gene:Et_9A_063182 transcript:Et_9A_063182.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQRLLFARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKARECLPLILILRNRLKYALTYREVISILMQRQVMVDGKVRTDKTYPSGFMGRPSAGSNFLVCNNNVGSKPTGFGLTYVVSIPKTAENFRLLYDTKGRFRLHSIRDDEAKFKLCKVRSVQFGQKGIPFLNTYDGRTIRYPDPLIKANDTIKIDLESNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGGYDTIHVEDALGHQFATRMGNVFTIGKEKKPWVSLPKGKGIKLTIIEEARKRNAEAAAEA >Et_3A_024291.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:19191500:19192286:1 gene:Et_3A_024291 transcript:Et_3A_024291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLSGSAGAAEFTKGVASVSLKLGGKSPILVFDGADFDMAVNFVNMATFSNKEVCLTLLFNPLAEEVVAVLLSV >Et_7A_051021.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:13698719:13701724:-1 gene:Et_7A_051021 transcript:Et_7A_051021.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSAVQSALADKSYSAVAPLCDDLLLQAAAQGIATDDWPYAVHLLAHLYLNDLNSARFLWKSLPQAVKDARPELAAVWKIGQCLWNRDYAGVYTAAQGFEWGPELAEFVAAFLESYRKRIFQLLTSAYSTITVADVAHFMGMGEEDATNYAVGNDWSLDAATRMLTVRKTKAQTSQKLDASKLQRLTECVFHLEH >Et_1B_010744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:13551560:13563848:-1 gene:Et_1B_010744 transcript:Et_1B_010744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVERAKLVRNLRQESRRLRLLVLVIGFFLVTLTFVVVSKPDALLFNLNGRLSVDQAPRSLLIRQRVDADDAASRRSADTLAAAEAEDPKVIDVDAAGGEEATASAKVLTRPVTTGTSAEEEKRVLTSEPEQGKREEEATASEVLGGGDEEGRKGEEVEEHQEHQHKVTLPTVSNYTIHDATDDNDNGKQEAVDTMAWDKPLCDFSNFRANVCEMRGNIRIHPNASSIMYMEPAGSKRDEQWKLKPYPRKGDELCLGKLTELTVKSSKVAPECTKYHDVPAVIFALTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMAIWWTRKYAVVFEKLTKYPLIDFNKDDQVHCFKHAIVGLHAYMEFTIDPLKAPHNYTMVDFNRFMRRTYSLPRDTVSALGEIPKTKPRLLIISRQKTRMFLNLNEIVAMAEEIGYEVVVEEANVNSNVAQFAKVVNSVDVMMGVHGAGLTNCVFLPHNAILIQIVPWGAIDGICRVDFGNPSEQMGLRYKHYSIGVHESSLTEQYPLDHEIFKNPLAFHKNGFEFVRQTFMDTQNVRLDCKRFKHSNRNLSRGEGRRLGNVALIAFMLGSLLLLSVIRARFSPIGKTEEAIKAEEQQAMRKGSVKMETADEAAASAAEEEEEETQPKPTDTSGSGGGGVSSSSSSVAAGGNAGAAQHPTASKPVCYESSRRSDTCEAAGDVRVQGRTQTVYVGPLDHEWKVKPYPRKNDAFALSHVKEWTLRPLPPSSADAPRCTANSSAAAFVLSTGGFTGNLFHDYTDVLIPAFVTARRFAGEVQFLVSSHKSWWTTKYIQIFQQLSRHEVVDADADDEVRCYGSVVVGPTFHRELGVDASKTPGGYSMPDFRAMLRAAFGLERAAAEPSGDRWDIRRRPRLLIISRRGSRRLLNERAMADMASSLGFDVRVGDPEVSTDVSKFARLVNSADVMVGVHGDGLTNMVFLPAGAVLVQVVPYGGLEWLARGTFREPAAGMQLHYLEYNIQLDETTLTEQYGKDDPVLKDPAAIHKRGWNALKDVYLDKQNVKPHLGRLKITFMEALKLLPHGHAN >Et_6A_047708.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:102276:107823:-1 gene:Et_6A_047708 transcript:Et_6A_047708.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRKRGSDAGEVQDLHNKVPRAAATSQEEPEQAKQQQQQEKLAEMAGRAPEIDEDLHSRQLAVYGRETMKRLFGSNVLVSGLQGLGAEIAKNLALAGVKSVTLHDDGKVELWDLSSNFLLSEKDVGQNRAQACVPKLQELNNAVIISTITGDLTKEQLSNFQAVVFTDISLDKAVEFDDYCHNHQPPIAFIKSEVRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVSFSEVVGMPELNDGRPRKIKNARPFSFTLEEDTSSYGTYIRGGIVTQVKPPKILKFKPLKEAIKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRNELSRFPIAGSADDVQKLIDFAVTINESLGDSKLEEVDKKLLHHFASGSKAILNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVEPLEPSDLKPENSRYDAQISVFGAKLQNKLEQAKLFMVGSGALGCEFLKNFALMGISCSPNGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEALQNRASPETENVFNDAFWESLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPSGYATAARTAGDAQARDQLERVIECLDREKCETFQDCITWTRLKFEDYFSNRVKQLTYTFPEDAMTSSGAPFWSAPKRFPRPLEFSSSDPSHLNFLLAGSILRAETFGIPIPDWVKDQKKLAEAVDKVIVPDFQPKQGVKIETDEKATSLSSASVDDAAVIEELIAKLEAISKTLQPGFHMDPIQFEKDDDTNFHMDLIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHKVEDYRNTFANLAIPLFSIAEPVPPKTIKHQDMSWTVWDRWTITGNITLRELLDWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDKKVVDVAREVAKVEVPSYRRHLDVVVACEDDDDNDVDIPLVSVYFR >Et_5A_041900.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:419364:422156:-1 gene:Et_5A_041900 transcript:Et_5A_041900.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAENITLPDFKTSPWVINFSKTKSEAKQTLINPFVGSFFDANLLVEEGKRCLGCFGDWLLIFDEGTRECFLANIVSYSKISLPPLSYPLDSLGNCALSSPTPPDCTVMFACSLDERFVLYCRPGDREWTKYDIDFQNDHETLIGIIFGSKGKMYARASWNSHCVVINTASSAACILKIIADPETSPSYRPNASYWVGSYGGIFLVRFYLHCYQGLGVTNIDIHCLDTSKYVWRRVQSIGGATFFLGANCVAVSSQDAGTQADCIYLLQWCCDGIRLYSVRLDKRTISFNLLPACPVDPQNPSSWTRGWSETYWTIPQSFRQKPTQFSLGAISRQLGRNIVLMEEKEEMVSPWSGLPVELLELLVPKLSFIDYLHMRAVCKEWSLIAKPIQHARTYPMLMNIYGTSGGMCSNLFDPMVGNQYIVKDIMLPCDNWQRFHFTKHGWVLSTKSKRRIQAVNPFTREVCQLPKMHRQMFSGISFSSVPSSPDSVVFAIHQLPWLGSVDVMLWRAGDKCWSREEFPCNTPFCMTYNNPVFFENEFYCLGVHGKLGVFNPTDMTWRILDKPEPVRADAHDYGDRFCYLVEFEGDLIAVFRPYDADPIEIYRLDRSEMSWIRVLRLDDAVLFLDNWGATIKSALEYGFCNRVYLPGMRYNEAEDCGEAVFYDLEDGKYKPGFYGMTEPMNSIWVEPNFNPLV >Et_2A_017434.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:34264464:34271669:-1 gene:Et_2A_017434 transcript:Et_2A_017434.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQATLMIPTPGFNNQQNLPADPEYLHAGYLNGELDAVPQVQQKQHKSFTINQSTSPLQQLNHFGSGVHLRMLEKSSSYDLSDAQIDDGIGSHGPNKQLTNRVAASEASRNIPSYSSISDKAMKPESQTTKQSLQLKPCKNAKELDKKGNVSFRKSKSSQEQLLQRQHQLKSNLPCSQYVQTKGALKQCQLDLYSEATEDNDKRDQMSGKLDARIHIRVTCDSQNLLSPHVTNTIFLNNEIQNEICHRTMSQDGAGESVSSYWLNAGCTMNSVDAKLSKIQTNGPEQMRETIKCYYRQIRWLLLLIHAKSCPSPLGSCNSQFCVHAQDIWKHFNKCQRKDCSYKYCRQSKMVMYHYKNCVNKHCPVCSKAKESLYRCSKQTNKRRHAEPVLIMEPSTVKRISDGVHGDRMDIDLGAIETLDDQQPVSKRIRQSLSPNVSGNVHAPVPQTCSGFVLNKRKFLKQELNTKTDMHPPGIASELDSHVEQEKWLSDKNTNENVRDIKNINDCTAALMSKSGKTKTKGISLMEFFTPEQVYEHILSLRQWVGQSKAKAEKNQAIGHSGNENACQLCKIERLTFEPPPIYCSPCGSRIKRNAPYYIGTISESGRYNFCAPCYNESRIDSIFVDSVHFLKSKLEKKRNNDELEEAWVACDKCKRWQHQICALFNAKRNEEEEEAEFTCHDCYIQEIKHGLRAPLLQNTVLGAKDLPRTLLSNHLEERIFQRLKEERQARAEKIGKKFDEVPGAEGLVVRVVSSVDKKLEVKPQFLEIFQEENYPTEFPYKSKAILLFQRIEGVEVCIFAMYVQEFGTECAFPNQRRVYLSYLDSVKYFRPEIETVSGEALRTFVYHEILIGYLQYCKQRGFTSCYIWACPPLKGEDYIMYCHPEIQKTPKSDKLREWYLSMLQKAIKEGIVVELTNLYDHFFDHKRECKAKVTAARLPYFDGDYWPGAAEDMINQIRLSEGDGNLQKKGKMKNTITKRDLKAAGLGEFIYPMKEDFIMVHLQYSCSHCSILMVSGRRWECNQCKNFHICDKCYNIEQQCEETDRHPSNSRDLHTLHEVEIVGVPEDTKDRDDIIESEFFDTRQAFLSLCQGNHYQYDTLRNAKHSSMMVLHHLHNPTEPAFVTTCNACENDIKTGQVWRCKECDYDECAACYQKHGGANHAHTLTKHPAGADKDIQNRQQNFVQTARIMQESLSHAVKCRASPRCQYPFCRKLKLLFLHGSKCQERASGGCPLCKKMWSIIHFHVRICKESQCRVPRCRDLKEFTRRLQRQSESRRRAAVNEMMRQRAAESGVSQ >Et_2B_019843.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14439621:14442399:-1 gene:Et_2B_019843 transcript:Et_2B_019843.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALREQLSAHINSMYATGMVDEQFQQLQMLQDDGSSPGFVAEVVTLFCDDAERIITELSKLLEQPIVDFDKVDAYVHQLKGSSASVGAQKVKFTCMQFRQLCQDKNRDGSRSRSRLNSDWSKEGVAACDLT >Et_8A_057108.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:21157138:21162152:-1 gene:Et_8A_057108 transcript:Et_8A_057108.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKAKATVCALLLLLLVLSHDNGGDGGVRVAAARLCTGKSQHHSFPCVSDHICSNQCVKQGGGWTAGYCHLRFCTCQKAYDNGDGGVSVVEARVCTGKSQHHSFPCISDRLCSNQCMKQEGGWTAGYCHWRVCTCQKAC >Et_8A_058033.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:1585049:1586329:1 gene:Et_8A_058033 transcript:Et_8A_058033.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCYSAYACSRKLRGRLSGISLVLPVSDRDRTDDDNNVAAGASPASSSSPSPPRKNKDYDDDGGGELVPKTTAAEFARRYVLGKELGRGEFGLTRRCRDAATGEALACKTIRRHRRSNGHGTATTAARRLVAGAAAARTPAAQREVAIMRRMSSTSAVVRLRDAREDAADGSVHLVMELCEGGELFDRIVARGHYSERAAATIFRTIVGVVKLCHDRGVIHRDLKPENFLFASRDEDSPLKVIDFGLSVFFKPGDRFTEVVGSAYYMAPEVLKRSYGLEVDVWSAGVILYILLCGVPPFWGDNDEKIAQAILRGGIDFTREPWPRVSANAKDLVRRMLDPDPATRPTAKQVLEHPWLKNADAAPNVSLGDAVRARLQQFSAMNKFKKKALGVIKSALRRRSPPPATAPRHVVVLTRKKLIHSRVG >Et_1B_013275.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:770314:771034:1 gene:Et_1B_013275 transcript:Et_1B_013275.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIELYRRSSIGTSLMETLDYMISSGRLSPDLAIQVLLQFDKSMAEAMKTKVNSKLNIKGHLHTYRFCDDVWTFILTDATFKSEEISETISRVKIVACDSKLQQPEP >Et_8A_056097.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:19378982:19379533:1 gene:Et_8A_056097 transcript:Et_8A_056097.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARVLSEKGKLRRAVTLYFHNFSRFDGIILLRHLALYLPDDLTIKPVVRNSRIYEIAVYLLNPLKNRLILKIRDSCLLLPGSLADLADSFCPKAGGKGEIDHVNVTVDKLGINRKKYLDYLDQDILLLGRVVQKAQKIYWDEYLIDIVSEMTISSLAMTIFRMKYYDDVHPLMNNGFSFIQL >Et_7A_051192.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:15342809:15347534:-1 gene:Et_7A_051192 transcript:Et_7A_051192.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLELEKVQRVLSFMSSRGLSDTGGGGAAADRFIAQFILFLVQPFDSLSMDKKFLLVSELLRKATPDTLEEVKHLTSMEVQLIKIYLLELYYSQISKMDAEKLTIQVSPMVGFDAMARANSTLEDFCRSYFMFHGLDANNPQALFKYLSVLSFTESYIYQLDASNEDSLHLVPDSSTSSEVLERKKDAFDETSLSQMIAPLEDLLQRQGLMTDRLRTELKSGIQYWSYERKLCQALARNEKISTEDVMKAIHLKSFDYRVLNLLMYQLTGQQEDVMNNTFNILRMFAAIYGPSEAPNMLAKCIGKAEEKYQSFSKKLDPSLSGSYWRRCEEATKEGGKVSGHAYGTWNIPPIISDEGLFRLERINKHNAAVTII >Et_4B_038876.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5510636:5518241:-1 gene:Et_4B_038876 transcript:Et_4B_038876.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRAGGGTAAPVTGDRYLNLLVRFVEQHAGALLDGSVTLRLHPVGLHYVASRLEALRELEAVGAGAPVDYLRAYVADLGDHRALEQLRRILRLLTSLKVVATGPGRDPAPLSLIPFARLRVLELRGCDLSTSAARGLLDLRNTLERLVCYNSTDALRHIFASRITDIKDSPVWSRLSYVSCASNDLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCTKLRNLDLGFNHLRSISSLHEVSSRIVKLVVRNNALTTVHGIENLKSLVGLDLSYNIISNFSELEVLGTLPLLQNLWLEGNPICCARWYRAHVFSFFHNPENLKLDDKGMNTQEYWEKQVLFACRQNRPAGYGFYFPAIDDHEDEDTLNSKMRKISRLASIVEEERNLCDDGVDHQSTPCDSDSSKKDEVAAADHDIKIASLINTAEVLKKQKSSNWLREFKEWMDENSEKTEGDSLFSNLTSGNGSYMREKKRQKAHRENSNGVSDSVHASEGGSSSNLLESDLSFTDNAGANGIIEESSNELNADQDHVKMHLNSFRRPPPLELVGTSHSDCSSELDDGSKSMLANGTPSNTMNKLIEPSPPDAYHSPLSPPQYKEDILHRRLFLEEEFLKISGDFHSVGFLDSGSSCSDDSSDDLCSCNSEDDCAAIQAKMDLALNGRMPSFPYVDRYREEKNGLSDHSLEDEQSCTDGRQFDNEEFHENGQINGQLGLNSGHVAREKGKEKLKRRVLSIFKNHNGAKLGFLKSNGDQVEKHVLLEGNGHPSRSIPLIQGSEINNIMHKNNSSISTNRISHNRDEHKTIEDFFNVEVANNEESETCEQVACCAYLFQDASGLVQREVALLRSSQSKLYVLILDLDQDGEEIEPRVLDSYRFESLEKVSVGLGLQALRLHMVDNTTHLFLMRTSKEAQDVLWLLRVLNFPESNTGMTLLSWENIQVKLLEKCICEGARMGIFFYSMLMFWKKDDEEHSLVIRSIIVIEGSILVCIEDLNQFGDLVDDSNHPYFSLDVCCSIDSIQEVVMDKHNKGLTLVLDNHVQEGKFCSSIQNAHNNQSDEIDSAHTWKLEWFSEEALLKFISVLKALHSAAAASPLPVKCIS >Et_2A_015806.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:18026788:18027540:1 gene:Et_2A_015806 transcript:Et_2A_015806.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVAKVPTMLWLLLGVALTFGVAVSPAQGSRANHYDFFIKETKVTRLCHQKTVLTVNGQFPGPTIYARKGDVVVVNVYNQGDKNITVHWY >Et_1A_009439.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:5321836:5323779:-1 gene:Et_1A_009439 transcript:Et_1A_009439.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRESSETLRNKCAACFRQYNRMDHLVEHMRVSYHSVHEPKCGVCKKHCRSFESLREHLIGPLPKAECARVFSIRGCSICLNIFDSNVAVRYHRASCQYSRAAPMPRGAISGRAVALAYKMVGGGNDGSVDLVAKVCLIGEDENIIFQTYVKPTAPVTNYRYEVTGIRPEYLRDAMPLKVVQRRIQDILCNGESLWKLRPRSFGRAKILVGHDLERLGLEYPAFMIRDTAKYPPLMKTSKLSNSLKYLTQAYLGYDIHTGIQDPYEDCVAAMRLYIRMRSQPHPRDYASGSGEGQNNYQAWRQRDLERMSPEELLALSASDYYCWCLDS >Et_9A_062221.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20886710:20890372:1 gene:Et_9A_062221 transcript:Et_9A_062221.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGETLRTELSSRTPPFGLRLWLVIGISIWVVILSILGFMCFWSIYRRKPKKSFEIPVSQIPDVSKEIAVDEVHERAIVENPRVQDGLALTVQEKHHNEDSGKMLAHLVRCKSSDADNLSQCSSAYHCDRAGSSYSGDEGSTGNARRQYPQHATVTASPLVGLPEFSHLGWGHWFTLRDLEHATNRFSKENVIGEGGYGIVYRGRLINGNEVAVKKLLNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMRQHGVLTWEARMKVVLGTAKALAYLHEAIEPKVVHRDIKASNILIDEEFNGKLSDFGLAKLLGAGKSHIATRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAVTGRDPVDYGRPANEVHLVEWLKMMVGTRRAEEVVDPDMEVKPAIRALKRALLVALRCVDPDSEKRPTMGQVVRMLEAEDVPSREDRRSRRGHSSNADSESKASSSEFEISSDRREAVPSSRFQS >Et_4B_037069.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:14247493:14271924:1 gene:Et_4B_037069 transcript:Et_4B_037069.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACIFLPRNFIAGVLHCPPNIVLIVQRAETVRRNRHECAQLAQQVETIGELLPQVQREHPEMDRALGKLEATLREACVLVSACQGSSYFRRFLRSDKHAEQFRRLREKIDFYLQIFPVISHIDTTRRLVSLLGGIDSPTTIQVSLSLSGSNYRFFKNRTWCRTCLDPHQVVRAMQHGQLPNLHLVLARATNNFAREYKIGEVGFGRGQLQGLPVAIKRCLIESSPERLSDFENEIKYIPKLQHRNIVKLQGYCIQGKERILVYEYMRNKSLDKFIFENMHRQRHFLLCLARSSRRSPCSSPAHCHSWLRRVDDTLDLASAAPQVRPHAAAVPFPADSARNAAKFAPCAAAGPASSLVLRAVRRHRRGPCAL >Et_8B_059334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16853973:16862750:1 gene:Et_8B_059334 transcript:Et_8B_059334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIQKLLAKILFCIHLLNHFNVFLSYQMLQCEQTVGHHASTVQRYMLQHGTTMHDAFEGIQKLTEDSWKDMMRHYLLKPTEQTNVMARTVVDFARTGDYMYNKTDAFTFADTIKDLIALLYVKKMALTPGVCSVNDVQGLHKPLTFHRSLWGDFFLTYQPPTTPQREYMAERADVLKEQIRKMLKVSNEIPKILDLIITLQRLGLDTYYENDIDELLKFVYSSDYDDKDLNLVSLRFYLLRKNGYNVPSDVFLNFKDTEGNFVADDIKGLLSLYNAAYLRTHGEKVLDEAIIFTRGHLEAALDALESTLADEVSLTLQTPLFRRVRILETRNYIPVYAKKAARNEAILEFAKLNFNLLQLLYCEELQNITLWWKQLNVETNLGFIRDRIVEMHFWMTGACSEQKYSLSRVVSTKMTAYITILDDIMDTYSTSEEGLLLAEAIYRWEENAAELLPEYMKGFYTHFLKTFDLFEDELGPNKSHWVFYLKEVLKMLVRGNSLEIKWRDEYYIPETINEHLELSGTTVGAFQVAVSSLVGMGDIVTTEILDWLLTYPKLIKCFTTIARLCNDVKSTKREQTEEHYASTVQCYMSRHGTTMQEACEKIKELTEDSWKDMMEVYVTPKEQPKVVAQTVVDFARTADYIYKETDAFTFSHTIKDMIALLYIEPL >Et_9A_060987.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9A:24001335:24001847:-1 gene:Et_9A_060987 transcript:Et_9A_060987.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAAVVHPLPRGHRRRPSGSLSSLSCRSMDPAAAVRQYQYRPREVQFSCKSTPLHKRRRAMLDLQGREGLSSSSETEFYGSAAAVTRVFALMDVEEAAKETDVVDFDDGDLEYLETAAAWPALSPAPGQVRITDSPLHVREEDNEVDRRADEFITWFHEQLRTQQQRAA >Et_5A_041871.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:3676773:3692197:1 gene:Et_5A_041871 transcript:Et_5A_041871.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIRKYPMSNQPPDIPQILLEAQNRWLRPTEICQILSNYKKFSIAPEPPNRPPSGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGDENSNFQRRTYWLLEEGFMNIVLVHYLEVKGGKENFSRAKEAEEIAGLSNADSPACSNSFASQSQVASQTMDAESPISGQISEYEDAETDNCRASSRYHPFTEMQQPVDGIVMDNLLGASVPSYLGHMQPSTANFNNNFVSRKDISSVFNGSGAGLRGISNTPLSSLDSVQFGEPFPEYASGFMEPTLYSSVATMESNNLDDSSHLQTFESEALYTSNLTQKEADALSAAGITSSQAENDSYTDRSVRYPLLKQSSLDLFKMEPDGLKKFDSFSRWMSSELAEVVDLDIKSSSDAFWSTTETVNAADGSSVPINEQLDAFVVSPSLSQDQLFSIIDVSPSWAYAGSKAKVLITGTFLANKDDVEKFRWSCMFGDVEVPAEVLVDGSLRSYTPVHHAGRVPFYVTCSNRVACSEVREFEFRDSETQYMEDSDQHTTGVNEMHLHIRLEKLLSLGPDDYEKYVLSSGNKLELIDTINSLMLDDKFSNLALPSDEKFSTVRDQNLEKLVKEKLYYWLIHKVHDDGKGPNVLGKEGQGVIHLVAALGYDWAIRPIVAAGVNVNFRDIRGWTALHWAASCGRESTVSALIANGAASGALTDPTQQFPSGRTAADLASENGHKGIAGFLAESALTSHLSALSLKESQAGNVEEICGSAAAAEFAESCSDQLACVDSEAEPLKDSLSAVRKSTQAAARIFQAFRVESFHRKKVIEYGDDDCGLSDERTLSLVSLKNARPGQGDVPLHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHQVRKSFRKIVWSVGIVEKVILRWRRKRRGLRGFQAEKQLEGPSQIQPAGVVQPATVEDEYDFLKDGRKQAERRLQIALDRVHSMTRYPEATEQYHRLRTRVNELQESQEMQDRMLSDSHGADGTPGGDFMAELEEICRDDGDTPMSTIT >Et_1A_007403.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:34177834:34178951:-1 gene:Et_1A_007403 transcript:Et_1A_007403.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMSKLRLVTVDVTGTLIAYKGQLGDYYCMAAKATGVPCPDYTRMHEGFKVAYAEMTRKHPCFGHATSMPNVEWWKICVKDSFSRAGYEYDDDTFEKIFRRIYGAFGSSAPYSVFPDAQHFLRWLRNKGFVVGLISNAEYRYRDVVLPALGLNQGSEWDFGLFSGIVGVEKPDRRIYEMALETAGGVAPEEALHIGDSMRKDYVPARSVGMHALLVDRFRTADAEVWRRSGAPVLPDLAAAQEWLAGNPFPREEEHGPVVTGHSV >Et_1B_012729.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:34757193:34761325:-1 gene:Et_1B_012729 transcript:Et_1B_012729.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAASLPPPPQVAPDAGSEEEDPEEVEPWFPSDSEPEPDQRALEPPPKSEPEQHQQAPPLLPTGAESLPEARRGENARPRWPGWPGASVFRLVVPADKVRRLIGRHGVTIKRLCDETRARVRVLDAAQGDSHQIVLVSATEEIEAEISPAMNAAIKIFKHINEIEGIDSDGTVSASAPEVCSVRLLVPSVQAVHLIGKQGSRIKLIEETTGATVRIKDKDELLSCEIVDERIVDIRGASLKVHNALKSILELLRIFLVDHGVLHLFERQRQTVTQAQDTYIENQVIDDYPLPVNEEFLLSDERSQNERDLSFSGSYSSDISHTTDSIITKVSCTSCYMFCCPACLIASNNMLKKHVFAYYALIQHCGFQVTQTMQIPLACAEEIIGVRGQNIEYIRSVTGAIVILEENRHCPSEVLVTIKGSASQVQTAQQLVQEVLSEYREPPPWRYHGVEASPRLLNSPHGTRAGWDFLPPYREDRLSRNHYGPSTLPGFRGHWL >Et_1B_009840.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1B:32237890:32239296:1 gene:Et_1B_009840 transcript:Et_1B_009840.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCPPCSSVFTAAASAPPTTAAPAAHEPASPEPMKAAASAAVPASAGPAAARPRLRRSASLPVETYSFSSLALSTRAMPRISASSSGVHPLLPATAPPRRAPLTVARDDDDGFFLSCCCCRLGLGHHRAGSTALGNFSSIPGSSGSGYAASSASTRSMASRTSASVTGVVDSSAARRSRSSCLQRRVALRCSSASCDGWILLVAAMVTAVVARRTPAEALSATKLGAAGASAAMTEAASARELPEWRSSWFQLSLCLPMMSCMSARSAAAAATARSFSTATAPSTPAASGAARPLTTT >Et_9A_062479.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:23357727:23361714:-1 gene:Et_9A_062479 transcript:Et_9A_062479.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAAAARAGVEGSLARRRDGGRAEAEGPAAAAAGRVWAFERDLVAGAVMGGAVHTVVAPIERVKLLLQTQDGNAALLGRARRFRGFADCAVRTVRDEGVLSLWRGNGTGVIRYYPSVALNFSLKDLYRSILKDAGTSSDNKLSSIALTNFFAGAAAGCTTLVIIYPLDIAHTRLAADIGRTETRQFRGIRHFMQTIYKKNGIRGIYRGLPASLHGMVVHRGLYFGGFDTAKDVLVPLESPLWQRWITAQAVTSTAGLISYPLDTVRRRMMMQSGMEVQMYSSTFDCWRKIYRQEGIRSFYRGALSNIFRSSGAAAILVLYDEVKKFMDRGML >Et_9A_062712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:3591812:3593983:1 gene:Et_9A_062712 transcript:Et_9A_062712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLNPLTVIQIDDDVKKRPNNGGPYPHYSSPCKHSSCTTAIMASPLPPPLGLMDDIVVEILLHLRTDNPALLICVAAFLICKTWGRLLAVTAHSTVHLLCSASSRA >Et_5B_045701.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:7871393:7872354:-1 gene:Et_5B_045701 transcript:Et_5B_045701.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGHGRYAPGSAYEASLHRLAAAVPFQANASSCNCSTGSVAGERPEMVSASAFCYWRSDASSADCAACIALAFTEAQRLCPYHRQAMVVVDRGACSVSFHDVQRAEGDTGVGGNTRQCPVDSDAPQVEFICHCLKELMARQMMLEKDQGKLENATEHPIQPLKLPQRITDSRTTRISRFRPDNKN >Et_3A_027064.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:31349420:31352823:-1 gene:Et_3A_027064 transcript:Et_3A_027064.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDAAALPSIDPESDGTVSDVDGGSPSSLIGGVAAAAVFSCHVCGKGFGSPKAVAGHMRVHDSRGRQETEANQRYVAGWAATGKRGWTAGGSSKGKSVVSSSAEELSHSTMDGTVLQPMPLSFARSNPSSSLLSMVSAKTNLESGESSSPKSVHNEPVVPPVVNNPWTEAVVHPPPPAAQQADHHQIVLQLAPAAPQGHHQAPAAAAAPAPRREYTCKICGASYPTHQGLGGHAAGHRNRQKEAEAAAAAAGIAQDGSAAARGGGNRKVHACDKCDRVFPTGVALGGHKRKHWEGGPIVQNRKKKQGVAPSLATPAVCMADLTLGLGIKSAGQPSHSPAAAGSRLRLFCIDIGLPPQAPAAQPEGTDTSRQAEAPAAQQQGTGIKPQEEEASAVQDDEGSAVTEDSAAAGGQQQDEKVEKSSTDSLSKESDLHQNRKWQYVERGEEVIPEFDRAADPGRRCGGSSPRTPGWSISGAAASAVARTPKASEIRIADGDSAPLLTAKPVTSFSLSSGTTQGKRKDLPVNDELFKSGMHGYQTSFAYRNRAFPVEDGKTLPFFYASRHATTKGGLTATTEFTKPTTADVQVQRRSPSEVVFGPDYEESLYCHLLFAAEVAARVRDLRTQPRACSPSGPWNPYGRSCAATSAATHVGAQPRARHDAAKILATSRLEVVDYTSYADMSSDPGHYVLFWELNNDTNDDEVLLQRCCDERDRGFEEHARPWAA >Et_8B_060850.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:9025084:9027558:-1 gene:Et_8B_060850 transcript:Et_8B_060850.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHAVHLLCLAVVLAAGTAPAHAIFHFDFRTDMVSPFDGAYSRHDVWRRAAMASSARVANHAASHGKALGKTKGGGGISEAAAVVGTEGHWITVGIGTPPQPAKLVLDTSGPLIWAQCKLFDAGKTKQVEPVLDPSKSSTFQVVPCGDELCRGGQFTNCTGNKCMYSALYANLVLDGVFASDTFTFGVDHGSVDAPLGFGCGTLAGDGLAGASGIMSLSWSNLSVITQLNVPRFSYCFSRFGEGKKSPLLFGTTANLQKYKTTGPIQSVPLIKNPWNDVFYYVPMVGISFGAKKLDVPVESLVLNPDGSGGTVIDSGSTLSYLVKPAFEELKKVVTETVKLPVAKHMVKEYQLCFELSRGTTMEKVEVPPLRLHFEGGAEMTVPRENYFQEPGPGLMCLAVGVSPIMFFPNMISNVMQQNMHMLFDVRNSKLFFAPTEFNNEARGVTTGVDEFP >Et_1B_013088.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:5437058:5438503:1 gene:Et_1B_013088 transcript:Et_1B_013088.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPKLLLLTLLCSYHSLVAHAADARSHKVLDIESMKHKAICSESKAVPSSSVGTTLPLHHRHGPCSPVSSNKVPTLEEMLQRDQLRAAYIQRKFNRAGGSGVQQSDATVPTALGTSLNTLEYVITVGLGSQAATQTMLIDTGSDVSWVQCKPCSQCHNQADALFDPSASSTYSPFSCSSGACAQLGQEGSGCSSSQCQYTVNYGDGSSTTGTYSSDTLKLGSDSVQSFQFGCSHVESGFNDQTDGLMGLGGGAQSLVSQTAGTFGKAFSYCLPGSSGSSGFLTLGAGTSSGFVKTQMLRSQQIPTFYGVLLEAIRVGGKQLDVASSVFSGGAIMDSGTVITRLPQAAYSALSSAFKDGMKQYPSAPATGILDTCFDFSGQSSVTIPTVELVFSGGEPVNLDPNGIILDNCLAFAASDDTSIIGNVQQRTFEVLYDVGGGSVGFRAGAC >Et_3B_029551.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:2585221:2590799:1 gene:Et_3B_029551 transcript:Et_3B_029551.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGVSMVEASASRQEGGVSQVKINILDHSDQRMKTRLENSTAESPTPAAQPNYRPPPATSDFLPSHKTKVTPLLLSYRTPSACQLTASARKPTDAPSRRDPDKPSPDRADGIGRGGVSRPPLAPPTAHRKMQGGVSGFQNAPVTRAVVLTSGLLSIVFSAQRRSRALGISYQDIIKNFRLWKIFPSVFAFQSTPELMFGLYLLYYFRVFERQIGSNKYSVFCLFSITVSSLLEVLSLVLLKDTNYISSLASGPYGLIFASFVPFFLDIPVTSRFRIFGLNFSDKSFIYLAGLQLLLSSWKRSLIPGVFGLVAGSLYRLNVFGIRKMKLPQIISSFFARYFAPSAGSTPRPSRSIIGTTPSQTGRVVQNQSSTGFAPIVEPSESSVAMLVSMGFDGNAARQALMRARNDIIAATNILLESQSR >Et_1A_006610.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25261034:25264342:1 gene:Et_1A_006610 transcript:Et_1A_006610.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVRGVLRGIKEKGLSNFIRDVREEGYFKALLDGNLMQTKIHNIGATLVGTDKFGNKYYEKLHDVQYGRHRWVEYADKGRYNASQLLEQKTARYLVEHKQNYSGEGEDLIYHSKGHALNPGQRDWTRYQPWEPKKEEAS >Et_4B_036095.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:4B:23114757:23115710:1 gene:Et_4B_036095 transcript:Et_4B_036095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYELTGELGKGWRRIGCGYKKAYGCGDLGQEVVESLRLYMHFGDYPVLTSSLAIRMSDESVRSIEAELLLVPTRKNKPPGRCVKAVGTIQASDERLIVIMLVFHNEYFTNLVYYLVFDDIDASLSMIKYLPDDHKAACTLTPALNRISDVGGDYELVLPARCISNEGVLCMCTPESRASPSTADMDPWKLKGRRFPEEVEEPFSADLVFTFRGKAFWADFSQGCLMYCDLHTCGSVVNFVSIKLPPECKLDLDAISDDEPVNMIQTMGCVGDSIWLVCIHRCYGNCGDDYVTIWSLNLAKRQWKQEVRKSAKMIWG >Et_2B_019938.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:15400183:15400728:1 gene:Et_2B_019938 transcript:Et_2B_019938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEKTLVHLFFECSFSSWCWRFRNINRDTSLEHQDMIIRSRPWSIWCHRNSIIFDVVVVSLVDWEKFFQRRIFFDRSQSKTNDLELLKNWLSSI >Et_3B_031543.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:404032:404400:1 gene:Et_3B_031543 transcript:Et_3B_031543.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDGRAGWLTSLGFAFLSFNCGMAIYRSIHDPYAVAFIVVAYLALILLFRCLHLLERNAPGRRGRGLKATVWGLATLLTVMFSYKVAAVMPLWGQVLVWGMGMLTTGAGFYAFFIARQEEP >Et_9B_065249.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:2786372:2787595:1 gene:Et_9B_065249 transcript:Et_9B_065249.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCNMKLSHCSGLFPGFSGGRGVVNLVTSAPEAPSNARLGHLSNEAQQAQLFKSSRDTCRLHTTLRHLPRPHVSSPERSSHVFRRPLLPQSDDTTALAKFTGPTTREKERKPDPIEAAAAMAAAAQEGLTHRVAAGRDDDGVSATGGVSREPRKTTGGSNRGLRSLVIAVSLSAALTALSFFLAGRSSEAARASAATVAIVRAGSVAAEAVMALAAWMAWAEGGLHARPGATLAPFAAQLGASLAWAPLVLGGGAARAGLACCAAMCAAAVACARGFGGVNPVAGDLAKPGVAWAVLLAAVNYKML >Et_3B_027650.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:10548898:10549077:1 gene:Et_3B_027650 transcript:Et_3B_027650.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPLMIRGRQ >Et_2B_021881.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:6395382:6408177:1 gene:Et_2B_021881 transcript:Et_2B_021881.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAAVALIGADELSCRLAASFLRSGARVSCFAPEADRSATALTEQGGVRCATPAEAATDAALVIVLSDADGVDELFFGVDGITKGLCTGAVVLVQSTLLPSQLEKLEQKLAGEKKNVFLLDGYIFSGLSDELKQHTVVVASGRQDIAERARQYFHGLDKTIYFAEGEIGTSSKIRLVNDLLESIHFVASIEAMYLGVRAGIHPSIIYDIISNAAGSSRIFVELVPKLLSDDPLLINFLYSSRKNASSVMDMAKAVTFPLPLLAVAYQQLIHGSSAVIADAPASPLKVWEEAFGVNIMDAASQQIYDASKLADQLVMKSKTAKRVGFIGLGAMGFGMASHLLKSGFSVAAYDVYKPTMARFADLGGSTKDSPEEVGKDVEILIIMVANEFQADSVLYGNAGAVPVLSAGTSVILSSTVSPGFVSRLNRRLEAECREIKLVDAPVSGGVKRAAEGTLTIMASGTDEALCCTGAVLSALSEKLYIIKGGCGAASSVKMVNQLLAGVHIASAAEAMAFAARLNLGTRRVFEIMQHSRGYSWMFGNRVPHMLDNDYTPYSAVDIFVKDLGIVSFESSNASIPVHVSSIAHQLFISGSASGWGRCDDAAVVKVYETLTGVKVEGKPPMLSKKDVLHSLPVEWPEDPMDDVVSIASRSSTNVLVVLDDDPTGTQTVHDIEVLTEWPVEALVEQFLKLPSSFFILTNSRSMTAVKAMLLVQNICRNLEAAAKNVPGVTYTVVLRGDSTLRGHFPEEADAAVSVLGEMDAWIICPFFLQGGRYTINDTHYVADSDRLIPAGETEFAKDASFGYKSSNLRQWVEEKTRGRISKNQVSTISINLLRKEGPNAVCQHLCSLEKAELKGKRFLCRTAASFVSARIGIKPKPPITPNDLGIKRSLTGGLIVVGSYVPKTTKQVDELRSQCPHLRVIEVSVDMISMRSTEDRDQEISRVVELGNAYIQSRKDTLVVTSRQLITGKTPEESLEINCKVSSALVEIVRRIDSRPRYIIAKGGITSSDIATKALEARRAKVMGQALAGVPLWQLGPESRLPGVPYIVFPGNVGDNSALATVVKNWASPSRSSTKELLLNAEVGGYAVGAFNVYNLEGIEAVVAAAEAEKSPAILQVHPSALKQGGVPLVACCIAAAEQSSVPIAIHYDHGTSKSDLLEALEMGFDSVMVDGSHLSLGENILYTKSIASLAHAKGMLVEAELGRLSGSEDGLTVEEYEAKFTDVAQAEGFIDETSIDALAVCIGNVHGKYPPSGPKLRFDLLKDLHSLTLKKGVSLVLHGASGLPHELIKECIELGVRKFNVNTEVRNSYLESLKRPEKDLIQVMASAKEAMKTVVTEKIRLFGSAGKA >Et_5A_041148.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:18808302:18814682:1 gene:Et_5A_041148 transcript:Et_5A_041148.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLDMSLDDLIKKSKSRPKANPPSSSGPARRAPQAARAAPYPPAAPKARAADSPYGIYANHVAALAAAPPPAASARSLETGTKLYISNLDPGVTIEDVQELFSEVGDLKRYSVNYDQDGRSKGTAEVVFARKVDALDAIKKYDGVLLDGKPMKIELIGHNSEPPPMAPLIHNRPLQNYGEIHNSAPQRGGRRGGGRPGSSNQSSGGRGQGKGRGQDRSRAHVSASDLDAELDKYHAEATSDADAPRVPLTVSTPETLTVKLSVWLLALNASSFGATVILMPVGEVTLARYTDPEGPTLVTLRGNSAANGTP >Et_2A_014995.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:25369234:25371536:-1 gene:Et_2A_014995 transcript:Et_2A_014995.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGRHNEDAQAALRHARDTPDETRLQLPPKDELSDAAGAVTLPEELAVERKFMCPELYRAAFSGSETKLLDMLSGTTTGTTNRKKTHEGRCSLDEVTAGWDTVLHIAAGRGHVSFVQKLIPDPDRERAAAAFLLPLENSKSETAFHHAARMGHAKMVSLLIQLAPKQGHEVALILATKNIGGETALHVAARHGHAAVVEVLMDAAPALSSVVNNAGMSPLYLAVISRSLGAVKVLIQGVHASASGHNGKNALHAAVLLSADITSLLLSWAGNKDLAKETDDSGSTPLHYAASDGDREIVGKIIDSMPSAMYLQDKEGFTPLHIAAWMGHVDIVHDILEACPGSAEVMDKKGRNFLHVAIHCGHESIVSSLLGSPILAGIVNAQDKEGNTPLHLAVMAGNPSLAILESEGIELNIANNNGQTPFDLAKGITSFLFMIGLVLKLSARGARFGTEREDGIMAWKSKVIKEWHEKTSNNLGIVAVLIATVALSAMFNVPGGYDSNGVANLQATVSYNAFLVLDTVAVAASVISTMLLTYGRGAARSSAAWICLALIFLWVALMSMILAFMAAVVSGLDNIITKGIIWGIFALPFAFLVALSFVWAVPAPTFTSVCLLVRAFSREDRRRVGRQIGRRFPLVVIYLLVMYLFWLLNAVAFFLTVYVVINTIS >Et_6B_050155.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:7622068:7622140:-1 gene:Et_6B_050155 transcript:Et_6B_050155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SKSDIRCSTAWICICMLGVVMDPT >Et_1A_009390.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:4632129:4632437:1 gene:Et_1A_009390 transcript:Et_1A_009390.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITTRAMLVVLAALLFSSGLTAAATTTTAEVEPARHNPNCKRILDPAGSICDPESCKFKCTNTYHGHGMCVPPLGCQCDYCPPGVAGPPASARRMAMHGH >Et_6B_049246.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2282967:2287481:1 gene:Et_6B_049246 transcript:Et_6B_049246.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGPPVRLDLEEEEGWWVLSVLLWLPCAVDAGTGRSRASQWMKWTAYRWIPLIGRRKPGSRRRIRYAHPEPGYLRPPQRVSCPPSFGSSPSPPDLRHFLCLFPLPSLLRSKWRSSNPNTAAARSPGDRVRRAFRGRLQVRAALICALPPPIPPCFRVAANGIGLVGTGRDCFVCSSGSEPTVGETRDNQLIVFSRIRIEEFVVVVVGFAVLLISEQMSFRSIVRDVRDGFGSLSRRGFEVRLLGHRRGKSHGAVHELHDPVTVVQNSLWASLPPELLQDVIERLEASEDTWPSRKHVVACAAVCRTWREMCKEIVKNPEFSGKITFPVSLKLPGPRDGLIQCFIKREKSTQTYSLYLCLSSAVLVEDGKFLLSAKRYSRPTCTEYIMSMDADKISRSSNTYIGKLRSNFLGTKFVIYDTQPPYSSNNVTQSGKTCQRLYSKKGSTKVSCGRYSIAQVSYELNVLGTRGPRRMNCVMHSIPASCLEAGGSVPCQTDSIFARSLNKSFGSVSLKSSIMDHSMHFDSARDNPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAATQPAAGAPTPSQPAPATPPPPEQEKVILQFGKVAKDMFTMDFCYPLSAFQAFAICLSSFDTKLACE >Et_1B_011198.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:18673904:18675879:-1 gene:Et_1B_011198 transcript:Et_1B_011198.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQASPEEPLLAARSENAVAAEAKRLLRLAGPLVASCILQNVVQMVSVMFVGHLGELPLAGASLATSLANVTGFSLLSGMASALDTLCGQAFGARQYGLLGVYKQRAMLVLALACVPIAVVWACTEQILLLTGQDDDIAAEAGAYARWLVPSLVPYVPLTCLIRFLQTQSIVVPVMASSGVTAMSHILVCWALIYRAGMGSKGAALSGAVSYCVNLAMLVLYVRFSSACKRTWTGFSMEAFKELHQFTMLAIPSAMMLCLEWWSFELLVLLSGLLPNPKLETSVLSICLNTGSLMFMVPFGLSTAVSTRVSNELGAGQPQAAKLATRVVLYIASSAGLLIASTMILLRSFWGYLYSNEPEVVTYIARMIPVLAISFFTDGLHSSLSGVLTGCGEQKIGARVNLGAFYLAGIPMAVLLAFVVHLNGMGLWLGIVCGSLTKLVVLMWITMRINWDKEAMKAKETVFSQSLPVT >Et_7A_052095.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:4606613:4611468:1 gene:Et_7A_052095 transcript:Et_7A_052095.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAESSSVAGMRKAPSMEWRWVSTEDDDDGGEDGGGGDASVGAVGRGGSFESEEEEDAGDDEEEEEVKQKLIRTVPSVDWFDVEGNEVSVAQQVEDSEEFDFGRTMFLALQTLAVVFGDIGIGPLYTFDVMFNKYPIMGEEDVLGALSLVLYTLILIPLVKYVLVVLWANDDGEGGIFALYSLICRSAKVSLIPNQQVQAEKRMSSFRLKLPTAELERSIKVKEKLESSVLLKKMLLGLVLFGTSMFISNGVITPAMSVLSAVSGLKVGISNASQDVVVMISVALLVILYSVQRYATSKVGFAVGPCLLIWFCCLGGIGIYNLSRYGPAAFKAFNPLYIIYYFGRNPFEAWLSLGGCLLCATGSEAIFSNLCYFPVKYVQYMFLLLVFPCLVLAYLGQAAYLIANQNSSEQIFFSSIPSGAFWPVFLVANLAALIASRTMTIAIFQCLKQSIALGCFPRLKIVHTSRKFMAKIYIPVVNWFLLVSSLGFIVLFRSIYDVGNAYAIAELGVMIMATIYVTIIMLLIWETNIVKVMSFVITFLFLEFIFFSSALSSVGDGGWALLIFASILLMIMFIWNYGSKLKYDSEVKKKLSKDLMRKLGPNLGTIRAPGLGLVYSDIVKGVPAIFGHFLTSLPAIHSIIVFVCIRNVPVPVVPQSERFLFQRVCSRGYHMFRCIARYGYKDKKQEHHSVFERLLIEGLEKYIQREAVELSLQSEDDIDSDEEPPTPVRIITAPNGSLYTLDVPLLAEYAPSTEVIPEASCSTPQHDPVVDYSQNLELELTFIKQAKKSGAVYLIDNPIIKARKDSWFYKKLMINYFFAFLRNNCRRAIMSMSIPHSNMMQVRMTSYV >Et_1A_007787.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38278252:38280340:-1 gene:Et_1A_007787 transcript:Et_1A_007787.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding SPKYPHFQALPRRCPTNTSEIRRPLCRTASNNNKKTHPPRSCRHHILQSPPRPPPPLPFPSSLRRPSLPAPPNPRPESSGPMRWDAAEAGAALERIWDLHDRLSDAILAAARARLLLPPPAPTPSAPPAGAHRGGKGCCRNGRVFVKGGAGEDEGAALAEARSLHAIRSALEDLEDNLEFLHTVQSQQRAERDAAIARLEQSRLVLAMRLAEHQGKKYRVIDEALEFVGEVSGKGRFISPEDVHATQSQSGNNAEDNRSSSRIMANVLSCSLSLAKSSFRLDKIGGALGNATVFAVSMLAFLQLHQIAFGSRTPAIQYRKRIEYRFSGSSQANDRSKHL >Et_1B_011785.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:2666994:2668370:1 gene:Et_1B_011785 transcript:Et_1B_011785.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPHQSVQALATSLGALPPEFVRPEQEQPGATTFRGPAAPEAPVIDMSQPGCGARMAEAAREWGLFQVVNHGVPASAVSELQRVGRAFFALPQAEKERHAMDPASGRIEGYGTKLQRDLDGKKTWNDFLFHVVAPPAMVDHGVWPAPRPEGYREANEAYCTHVRRLTRALFGHLSLGLGLEEGAMAEAFGGDDLVFLQKINFYPPCPQPELALGVAPHTDMSTLTVLVPNDVQGLQVFRDGHWYDVKHVPDALVVHIGDQIEASIWMSTNRIDLSVANGKYKAVLHRTTVNKNKTRMSWPVFVEPPRELVVGPHPQLVTDDSPAKYKAKKYKDYQHCKINKLPM >Et_2A_017702.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:5281056:5289835:1 gene:Et_2A_017702 transcript:Et_2A_017702.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSERNRIGIDTVHVVDKRRQPHAHAVRAHLAHDGVCDLNDETAPVLEAAAVLVVAVVGAVRHELVGEGPVRTVDLHTVEPGLDRVPRGAPELVDDPGHLVRPQPPRLHVHHAGLRVRRDRLVPCHVRTAGGDPADVRDLAEEDAALAVDSVDDGLPRLDLLLRPNSRKVRVPVHPYGGHRRALRDEQAAPGGALGVVQRGVRLRHVAVGAQPRERREHHPVGELELPHLVRRQQRDRLLEWVVRVANNRILCVESGAVIFVQWCVQLKSPRQVGVGQEEPPISNKVGVALGNYLVALLSVVPTGSNKRALERFAERKEPMGDLPAPIDYGHPRLHHVAVEEPLALVELLNHLPSATGSESTQSMKSTKGDNLMPTRCAPTSRTTASMTSTAKRHRFSRLPPYSSSRSLALSFMNCSRRYPCAPWISTPSKPASIAFRAARLKSSTIPGISSVRSRRGLEYTMPDSASGAICLSVLEMGAYPADVPNLEEEERALAVDGIDDGLPRLDLLLRPDSGGLRVPLRGGRYVRGLRDEEAAHGGALGVVQRGVRLRHVAVRAAPRERREHHPVRELELPHLVRRQQRDHLVMHHLVKGLSCRPESLICRSPATLCRCE >Et_5B_044099.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:220208:222959:-1 gene:Et_5B_044099 transcript:Et_5B_044099.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCGELAVGKNEPPETVLQGLAMAGLVGILRQLGDLAELAADVFQELQEQVMAVSARGRRLAVRAKKLEADLQPLIDKDSVRPLMDRAPSTERLRWHADPKLSHGFVAGGDMPYFIAEYIQHCRGPPELSVLDKYDADGDGACLRRYTNPAFFRTSSAKLQQDTFVREQAYLRGKENKPKFQSSSDNYRPSKLAHTGFRSETDSTSENASPRFLPKLRQLKHRQTSGSVFSSLKLQPQQNFQKYESSPEEKASSVDHSQVDISFTSSSDIYIEMGNIAVDFSTAAEKPEENQQGNVQRFQESVMPHCSDAGSDYGEQCGELGRTSSFEAWLSPGFRTPEHDIIEEESSHDTSNGIISHGITSTNSYLSSTMKANKNSGTAEMLSNKRYKGSFEVFASRVSSLPRKLFIKKRLEPVHASAPSGIDNSLSWHSEDNNNIICFDPQDIPKEVVQPILAADSAFSIHGSVPESEQCHFHHENTSLQSTSCDASVDAEIRGQQNAPSISNQCSSSSSPDKCEGSITTANDSKNLSGQNMKNSVCTINESLHKATSVQTEYSCSEDAEVVIRRPPPIPPMQWLSVKIHTGPVTHRKSFRKDYMQHAESKILKTTEFSELTPHSDQACSEPACTNPHPEAEIHLQQETRQRFFSGESDNNMQASDKPAEKQIEDHENFHRKGTDILAAPGSALSISEANKLSNDTQNSPACQNNKRCGDILQNDRVFFSAVQQLAKMSPHSVPRPKYSLLEVGSHDRIKV >Et_1A_004817.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:1A:10178751:10179035:1 gene:Et_1A_004817 transcript:Et_1A_004817.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGRRRRTARVVDESALAAADGGDDGGVVAAAVAPSVGGALARALLALACAVRFDGEEYGVTEEAWAASGWRPRADEVSHLMVRESMRYAIYA >Et_8A_058236.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:8A:3144128:3144862:-1 gene:Et_8A_058236 transcript:Et_8A_058236.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLMEEFGGLSLKRKGADEPELFDVGGDDRSGFPLSCRATKMRRLAGSDNDGRHHAPAVGGGGAAEQQVDLPMGDAAAPSPVPGAGGEDERAVVLYGGGGGPSDAAAQSVPLLQPVGAHVHADAADWLRAMLREADSRTVRELLSGAQEQRAADLALALVPWVPPAEEAESFAAAEPVNAEDSDGAAAMDVEEEDEGQVQQWTRQAFSGAGCGEGYAYRWPQHCMAPPQLPAVGQASPVMWSW >Et_3B_028824.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:19543611:19547137:-1 gene:Et_3B_028824 transcript:Et_3B_028824.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHEPWRTGPAPTTVDEASMERSKSFVKALQELKNLRPQLYSASEYCEKSYLRSEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLYEQQASEISTLELKVACLNQQVHTCQTYTDKEGLRQQQMMGAATRHHKHYIIPYAGNKRMQAFSEMQVDADFDSRPRPYSSAKTLQWHLVSDKNSKNNGSHQSEFALGETKTTKPSSSGLHFHGKESSTSSLPNKHLQSNMTSSDIFNVGMKDQPATRHLTSFSSFDNPRGRQIQKAPVRPKSMLAALFVKHKSAKMKNVSEDVLALTSTKDRAY >Et_5A_040453.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:23505603:23506001:1 gene:Et_5A_040453 transcript:Et_5A_040453.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPGLVGIGTLGMADGIGGSVTLGTAGTTTVGMGGNAAPAGTVGTVGTVTAGTVGTAGIGGKVTAGTAGIVGTVGFGTAGMAGTAAGAAASVLLSASMRAAWQLPLPSTSMSATTKAIAGKLEVDAIGELG >Et_2B_019873.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:14848051:14849417:1 gene:Et_2B_019873 transcript:Et_2B_019873.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSMAASSVPKRFLPCALLLLVVACSSATAHRLVGDGIDKGNNRDDEHRMMMGRFQRWKAAHNKSYAPVNEERRRFAVYARNVRYIEATNGDAEALGLTYELGETAFTDLTTEEFMAMYTAPAMMEDDDDVAVITTRAGPVDATGGRAAASKLPVYMNLSASAPASVDWRQSGAVTGVKDQGPCGSCWAFATVAVVESIYKIRTGRLLSLSEQELVDCDTLDSGCDGGISYRALQWITNNGGITTGADYPYVAKTRPCDRAKLAHKAVTISGLRRVVTRSEASLANAVAGQPVAVSIEAGGPNWQFYKKGVYNGPCGTGLNHGVTVVGYGQEPGGGDKYWIVKNSWGPAWGDGGYIKMKKDLAGVPEGICGIAIRPSFPVM >Et_3B_029163.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:22645797:22647628:1 gene:Et_3B_029163 transcript:Et_3B_029163.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSTGKADSSTAFAGEGNQDVALCHGKSDHGSMAFTREEDHACEGMEGQHVEVMQVTKKPRVEDDEEAELLAVLRRFRKSWEEEFSEFFGSFEGITGPDVGPKHYTESGPPRFGGIDYDAMEIFSIKVIEIKEGLEWPLRVFGLVAVRDSMDYKRNILFQRSKENYQTITDENCSLELIGPSRAIALIDPPTFEVDLRVIGSSPWEVNSLCAAVVTYNNMTHGSKVGLIQTLLESRKRSTIELKFSHLSAPLEATIEINHSGGSRDFHGEFFAHVKYMGEERVVLLDAKDRNVVIEPDGRISLSRCVVLVREGAELVLGVKAWEGRSYQNAVESRATFPAKFHSKSEGEFNIPFCKMSVSVFWSVLC >Et_5A_041919.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:4200394:4202413:-1 gene:Et_5A_041919 transcript:Et_5A_041919.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLSIESAMGVVRRFLNLIVENSGRGTKSLRCIDLNRQKLFNTSAPARWASGSGSESEGEPQDTTSWAPGSVASSRKKKQAASVALKLGRISLPRPILNFEASGTALDWSLECFPLSGRKVLCADQSGRTLLYDADARFVAVVPDLNKPKSLPVSIFAASTDAYDNDGGGGGTLFIMERFPEQETDRGHPSHQFEAFVYRKATSTSFTESWNCQLLPPPPFVCDPKYWHSRPKISSYGVVDSSSSICLSVDGAGTYCLDTVKHTWSPAGEWTLPFRGKFEYVPDLRLWFGISAKDRRLTAVDLSSMDSPPQIVDSWKELEPPEGWTEVLDPQLVSMGSGRFCIARFFQTWIPGGLFGEELVERYFSVITGVDVVQHVGNGSVNANGGCNGSISNGYGKAQLGIIKHRSRRHMSYGSDDAWPWHSRCMVRDAEFVQVFLDNEPHRHSATMTMLAAGSIGNVGSEEIHKRVSAHS >Et_1B_011488.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:22860878:22861283:-1 gene:Et_1B_011488 transcript:Et_1B_011488.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKAKVEFDERPPDDFDPKNPYGDPVAMLEYREHLVREKWIQIETAKIIRERLRWCYRIEGVNHHQKCRHLVDQYLEATRGVGWGKDARPPEFHEPKKVVEAE >Et_6A_046642.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6A:18656547:18658834:1 gene:Et_6A_046642 transcript:Et_6A_046642.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAKAAELAGPLRDLLPPVDFCCAYGSTLLHARPDKSSMVDYILGVADPVQWHSENLQRNPGHYSSWMARLGAGAVTGLADHVGVGVHFNPFVEWRNKRIKYGVVSMKDLALDVLTWDKLYLSGRLQKPVNVLVDNWDIRKVNTVNLDGNFGFFTSFASRHDLYAKICSLSYMGDLRMLFAEDKDKVKKIVDGSFQSFQLMYRPLLQEYISEGLLQTSSFREQKVFQQDCSPSATNELFSALPWTIQRQVQGKNTLSGKVSSKDMAANFVRRALRCRVMVSSARQAVSGLLASGGAVAAQYLGKKMAKAWKSRTV >Et_6B_048230.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6B:14256240:14259169:1 gene:Et_6B_048230 transcript:Et_6B_048230.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPLLRQELDNLDKDADSRRAAMKALKSYAKHLDSKSIPHFLAEVSDAKAGATAAAGPGGLPSGEFTISLYEVLARVHGRNIVPQIGNIMTTIMCTLSSSGGSFPLHQACSKVVPAIARYGIDPSTPDQEKAAIIASLSRPLCNALLGGQDGASSGAALCLKALVESSNWRYASGETVNEVCLKVAGAMHDRTTRSNAHMGLAMALVKHNGLIAEAYARSIVRSGLQILDGETAESSSQKRLSAIQMINFFMKFVDPRCISSELGRVIDVMEQCQNDRMPFVRGAAFEASQSAKSIAGQKGSRHEVGTSPMVGSNFHKRRVKSPYGNQWSAKGSPASSSVTASPYQFRSPESQVVDSSIMNGSTITESPVSVGQSSCNFDQSRRTNRRLWSNEGVDVSLKDGLFIKLCSDSKYFDDDLGEVCDSEVTDANFECTDTFTGFVSPSHNDAISREKTPSPKVIFMYKLF >Et_3A_024232.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:18703059:18711993:-1 gene:Et_3A_024232 transcript:Et_3A_024232.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSLIFCLLFPHGYTGRNHIVADATDLCNVDLQKLIASWSTMVSATRPPRGNKKDLKIHEMMDSGAVKRYIEGAEKMTGWFGNYRHPDLIQVGIWSLRRKVGVRQKVKIQSWSADCRDALSYVIVTETSKEKNLAKQVKYGRKEKVAGRKEQKSGNIMTEEELESALADIRLFHRPYPSHQTAALSSMPVQLRNGKLIGDFPSDVDQSDMDFLVQPVHNVPHVKCQYANKEWICRGPDHMKGTAVDDIHHKLTLATFNTLHEIKQSVEPVRPLEFLESLRIVHPNYAESKDGIELPQTPAESSSMQTNEGYLFIFAHLIRILCECKSCKERLMTLNEWEKHTDSRALRNHSLLWYALDDVPCGNAKSTPGINKEELLKLQANSYSPVYAKWTTERCAVCRWVEDWDYNKIIICNSCPPRVLRSNCCSRSYNMGLLIMRISSTKTRVLPMSSGALKPIDVDQLWVHVTCAWFQPKVSFPVEATMEPAMGILSIPVEISICSAYLFKFHLIFVNIVFYVYSRHVLHASRCMVPAPSDGNVLLITMQCVQQERDIAWSCNTLKKMADNTPDPDNVLVVKTPKGVFSTNFFLQTNEKQTATRLVRRDNHQEKVLPAKISDCLAARCLPYEMLKHKNHEAQLLTE >Et_1A_008136.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:4629932:4631429:-1 gene:Et_1A_008136 transcript:Et_1A_008136.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLAHHLLLFPLAAGCSGVDDDDNEDYAGPATVAFPSFWPPFPPLSPDSDSETPGFVAPPRRREAAASSAFFGLGFHGVDDDEWAPPDEGGEVELPLCWDCLQLEDHDDDDHRRWDVAGVSDADGWEQVAGREEEEASAVRSLEWEVLLAANSLGSLVLDDGEGLETSSYFLDEADDVLFGQLVAEAAADHEPPGKGGRPAAKAAVESLPTVAVAEADDARCAVCKDGVEAGGERARRLPCAHLYHNGCILPWLAIRNTCPLCRYELPTDDPEYERWKARRAAGGGDDDLRGAPAGDLYCNV >Et_2B_020032.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:16204240:16213550:-1 gene:Et_2B_020032 transcript:Et_2B_020032.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIHLLLSISLLLSSLSGAASTTFTLTNSCGYPVWPGLLSRAGSPPLSTTGFALAPGESRPVDAPPGWSGRVWGRTLCNTDPGSGRFSCGTGECGSGTVECAGGGAAPPTTLAEFTLNGAGGSDFYDVSLVDGSNLPMVVVPQGGSGGPNCGPTGCLVDVNGACPADLKVTGADGAAIACKSACGAYGRPEDCCSGDHNTPQTCQPSASSAYFKSACPKAYSYAYDDATSTFTCASGTANYLITFCPSISSLKSSVSSVNPSSSGSGGTGQPPVNETVSFAGQGGDPYEYVSASSMPPAPTSLLLALAATWLTCTAHRLLPVSSASAVGLSLVILSFLQGAMAGVTFTFTNRCGSTVWPGVLANSGSSPLQTTGFELGSGETRSLTAPAGWSGRFWARTGCTFDASGKGACATGDCGSGEVECRGAGAAPPATLAEFTLAGGGGGKDYYDVSLVDGYNLPMVVEAAAPGCPVTGCLVDLNERCPAELRAGGGGGCRSACEAFGRPEYCCSGQFGNPDTCRPSVYSQVFKSACPRSYSYAYDDATSTFTCTGTDYSITFCPRAGASGSQKSIKDPFPKPKDVQLEGDSWLASLATGETDGAAMESTAVSLLLQAVVSAAAAMALLL >Et_8A_057561.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:4506813:4514346:-1 gene:Et_8A_057561 transcript:Et_8A_057561.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDDTGSDTARAHFVFIPLMFQGHLIPATDTALLLAAHGALASIVVTPSYAARVRATINLTARTSRVRLVELPLDCAAVGLPDGADDVAKLPPDSGTKYFDALALLREPLERRLRDAPYYPTCVVSDFCPPWTKQLAASLGVPRLAFFSICAFTLLCQHNVERFAAYAGVADDHELVVVPGLMERRVEVSRAQAPGFFRSVPGFEELADDVERAVAGADGVVVNSFVEMEPEYVAAYAEARRTKVSTVGPVSLFHQNQSTPAALASRGDAAAVGADECLRWLDGKAPDSVVYVSFGSLARASPKQVVELGLGLEASGHPFFLDELEARVAGRGLVVRVWAPQVLILSHAAVGGFVTHCGWNSTAEAVAAGVPVVAWPHFADQFLNAKMAVDLLRVGVSAGVEEPVMYQMARREVVVGREAVEAAVRSIMDGGGGEGGERRRRARALGEKARAAVAEGGSSHANLLDLIRRFGADAGCRAHHATAS >Et_1A_009513.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:7008510:7011232:-1 gene:Et_1A_009513 transcript:Et_1A_009513.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGMGTGNGASSSTSRLDSAPLLPHHSGGEIGLSSQPKTFANVFIAVVGAGVLGLPYTFSQTGWASGTLLLLSVAGFTFYCMMLLVACRRRLADEHPKIASFGDLGDAVFGAHGRFAVDSMLVLSQASFCVGYLIFISNTMAHLYPIFAPSSSALLSPKALFIWAMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGQDVSAWLSNPPPVVAFGGPAALLYGIGVSVYAFEGIGMVLPLEAEAADKKKFGVTLGLSMTFIAVMYGLFGAMGYIAFGDATRDIITTNLGAGWLSAAVQLGLCINLFFTMPVMMHPVYEVAERLLHGKRYCWWLRWLLVIAVGLSAMYVPNFTDFLALVGGSVCVLLGFVLPASFHLKVFGAEMGWAGVLSDVLLIVLGLALAVFGTYTSLLQIFHSSSVQLVALRCTEQWIRGGRKAKAVMALTSKKITTKYNHRQ >Et_1B_010945.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:15799903:15806359:1 gene:Et_1B_010945 transcript:Et_1B_010945.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAQKHGPLMLFHLGEIPFLAVSSPEAARAVMKTHDTSFADRFATTTLDTFTYGKTDLVFTEYGERWRQLRKMCVLEMLSAAWVQSFRGIREEEVARLVGNVAASAASARAVDVTFMGSRCKYQDEWLHAFHEAVQLTSGLTLSELFPSSRLLRMLGTAPRKAQACRDRITRILEHIIQEMAQTLERGEKPGHETILGVLLRLQKDGNMPVPLTKRHPRHGHNLFGASSDTSSITMNWCMTELIRSPAVMAKVQDANLLTKDDIAGTDLSYLRMVIKETLRLHPPVPLLLPRQTREACQPRGYDVPKETAVFCQCMGDWDPKYWDDPEEFKPERLENSNTDYKGVSFEFLPFGAGRRMCPGINLGLANLGLALTSLLYHFNWKLPNGMEPKDDVDMWESMGLIANKRNNLLLHPIIRIAPTNAKETEVVQVPAANGGEVECSSTPTDYWNQSPQPGRIRGLRLPLGHLFPGILKGMDDKTLLAVAVAVLLVGVLSTLKSLFAAKPKLNLPPGPWRLPVIGHIHHLGTCPLIYRTMSRLAQKHGPLMLFHLGEIPFLVASSPEAAQAVMKTHDTSFADRFATTTLDTFTFGKTDLAFGPYGERWRQLRKMCVLELLSASRVQSFRAIREEEVARLVGNVAASAAAARAVDVSREVARFIVDTFARECVGSRCKYQDEWLHEFHEAVQLTSGLTLSDLFPSSRLLRMLGTAPRKALACRDRITRILELIIQEKAEAVERGKNPGHETILGVLLRLQKDGNTPFPLTNDTLIALFFNLFGAGSDTSSITMNWCMTELIRSPAVMAKVQAQVREAFKGKNRITEDDVARADLSYLRLVIKETLRLHPPIPFLLPRQTRETCQPMGYDVPKGTAVLVNVWAIGRDPKYWDDPEEFKPERFENSTTDYKGVNFEFLPFGAGRRMCPGINLGLANLELALTSLLYHFDWKLPNGMEPKDVDLWESMGLIANKKNNLILHPITRIAPTNA >Et_2B_022893.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2B:7307938:7309422:-1 gene:Et_2B_022893 transcript:Et_2B_022893.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSTRGKPLLVALLLVFVLDGGRHAHAVARSAVARRSRLGTRHVQHHHRNAAPHRYVLAEKSNTTGGDARPKNASAPAATPSNATSPPAAEQGGGKHHRSHKHRVRNWIIGFVVGSLAGVISGLVMSVLFRLLLNCVRGRYRRRSGTVIFTPKLIKRAEQLAFLEKEDGLASLAVIGSGGCGEVYKAQLPPEREGEEPRFIAIKKIKKNSGGDMPNSNLSDEESRQLDKWSRQIQSEIRTVGHIRHRNLLPLAAHVPRPDCHYLVYEYMKNGSLHHALKATRDEAVDVGAGDEEGVATTATTTTTALPWPARLRVALGVAAGLEYLHVSHHPPIIHRDLKPANILLDDDMEPRIADFGLAKAMPDEHTHVTASNVAGTWGYIAPEYHQTFKFTAKCDVYSFGVILAVLGTGKEPSDPFFAQADDVVGLVRWLRRVMHDGNLADAIDPAIAGAGYEEQILLVLRIAVFCTDDDPKQRPTAKDVRCMLAQIKN >Et_6A_046001.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:6A:17308433:17308546:1 gene:Et_6A_046001 transcript:Et_6A_046001.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVKECGVAPTTDEYFTYQAGRKGDVHDIRDTRTKA >Et_9A_063045.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:984323:989118:-1 gene:Et_9A_063045 transcript:Et_9A_063045.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNLLAYLLGALVAATAVAGARRNPWPAANSTASGNPVYIWPLPKNVTSGSQTLTVDPDLALDPQGAAGSSTAVAEAFDRYRNLIFAPWAHHARASAGGYDVAKLTVVVASANETLELGVDESYTIYVAAAGGANSIVGGATIEANTIYGAIRGLETFSQLCVFNYDTKNVEVRHAPWHIEDEPRFSFRGLLLDTSRHYLPVDVIKQVIDSMSFAKLNVLHWHIIDEQSFPLEVPSYPNLWKGSYSKFERYTVEDAHYIVDYAKKRGINVMAEIDVPGHAESWGNGYPKLWPSPNCTEPLDVSSNFTFEVIYGILSDMRKIFPFGLFHLGGDEVYTGCWNVTPHVRQWLDGRNMTTKDAYKYFVLKAQELAIKLNWIPVNWEETFNSFKENLNPLTVVHNWLGPGVCPKVVAKGFRCIMSNQGVWYLDHLDVPWEDFYASEPLAGISNKDQQKLVLGGEVCMWGETADTSDVQQTIWPRAAAAAERMWSQLEAISAQDLETTVLSRLHYFRCLLNHRGIAAAPVTNYYARRPPIGPGSCFVQ >Et_4B_037326.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:18357954:18359588:-1 gene:Et_4B_037326 transcript:Et_4B_037326.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQHYYSVYLGLALVSLFVVLANKTRRRRSAAISSHGQLRPPPGPWQLPVLGTLHHTFGKLPHHAMRDLARRHGPVMLVRNGEVAAVVVSSRDAAREVMKTHDVAFATRQLSATLTLLTSGGRNIIFAPYGDHWRRLRKVATTKLLSARRVLSFRGVREEEAAAMLRACASAKDEGIVEMREVLSALVADTTMRAAIGDRCRDRHAFMRELDTLIELSAGFNPADLWPSSRVAARLSSAMRRAGECREVLWRLLDSIITEHLERMGGHDGSEEVEDLLTVLLKILKEGGEDMPLDMDVIKLVIFDIFAGSETTAPTLEWAMAELVGNPRVLKRATEEVRRAFGADGCVREHKLDVTPLPYLQLVIRETFRLHAPIPFLIPRASREACRVLGYDIPQGITVLVNAWAIGRDEACWPGDPEEFRPERFEELDVDFRGTDFELVPFGAGRRMCPGMSFALANIELALASLLFHFDWEAVSDLADRTEEFGLVARRKSNLLLRPILRVPLPAPAQA >Et_7A_050312.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7A:24230838:24233358:1 gene:Et_7A_050312 transcript:Et_7A_050312.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEPLVHKVLSMATSSSSSSRKVRPAAGGEAAAADGGRVGILSFEVANAMSRAANLYRSLSDAEAARLLGPLCLGSHAVRALVPGDDARLLALALAEKLDALNRVAAVAARLGRRRCTVPALMGFDHVYADLLAGRSDAAAFSAPSHSDAAALVRKLDRLAAATAALYAELEALTELEQSARKLPTDEARRAVEQRARWRRHDARRLREASLWNWTYDKAVLLLARAVCAIYHRIRLVFGDPMLGIELLDMDHRQCDHSQLLNSGPVRRNLGDAKSGPIVARVDLDASSSPRPVHFRSNCGASPGRMFMECLSLSSSVSWKDGFEDEFLEDASCISTIRSGMLLPFSGEQGASTTPPPKSGKIGGRRVRFGPKSTVTSLAPPNTIGGSALALHYANIIIIIEKLLRYPHLVGEEARDDLYQMLPSSVKAALRKNLKTYVKSVAIYDAFLAHDWRETLEKTLAWLAPMAHNMIRWQAERNFEQQQIVLKGNVLLLQTLYFADREKTEAVICELLVGLNYICRYEQQQNALLDCSSSIDFDDCVEWQLQ >Et_8A_058334.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8A:5148972:5149495:-1 gene:Et_8A_058334 transcript:Et_8A_058334.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPEHQQQPPPAMAPRMSFSSDFALEPPPARAAPGDADFEFTPVGSRPGPMMAADQLFSKGRILPLREARPVTLRDELRAPDAAADRGRRAPRWKELLGLKRSHKHNKAGAAASADAHHVISFPHDVAPSHRDTRCRAS >Et_7B_055847.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:5700673:5701599:-1 gene:Et_7B_055847 transcript:Et_7B_055847.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTAATRYVHHQLHQLHPDLQLQHNNYAKQQQQQQEPSDEDPNGGHGNNGGPYGDHDGGSSSSGPAGDGPGSGGGGSGDVVARRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGSGCDVFECVSTYARRRQRGVCVLSGSGVVTNVTLRQPSAPTGAVITLHGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGNVVGALYAAGPVIVIAASFANVAYERLPLEEEEAPPAPPGLQMQPPGDGAGGMGGGAFPPDPSSGLPFFNLPLNNMAGGGSQLPPGADSHGWAGARPPF >Et_1A_005396.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1067082:1070750:-1 gene:Et_1A_005396 transcript:Et_1A_005396.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVSKYAHSPAHLAVARRDHVALRKLVASLPRLPRAGEVATEEESIAGEAVADAVSAAIDRRDVPRRETPLHLAVRLRDPVAADILMSAGADWSLQNADGWSALQEAVCTREEAIATIIARHYQPLAWAKWCRRLPRILANINRIRDFYMEISFHFESSVIPFIGRIAPSDTYRIWKRGSALRADMTLAGFDGFRIQRSDQTFLFLGDGARPEDAGGKELHPGSLIVLAHKDKEITDALEGAGVQPTESEVAHEVALMSKTNMYRPGIDVTQAELVPHLNWRRQERTEAVGNWKAKVYDMLNVLVTVKSRRVPGAMTDEELFAMDAEEKNGRGAELDAELDEVLTAEERKQLDSALRMGNQDEESEEKGEEVDSGADHMDANGTTKDKKGWFGWGGKKGAKSDEKPSKAGSKDESGDTGKHKEKGSGKKKKGGSSGDPNKLESEYKKGLRPVLWLTPDFPLKTDELIPLLDVLANKVKAVRRLRELLTTKLPIGTFPVKIAIPIVPTIRVIVTFTKFEELQPLDEFATPPSSPTQFQDAKSKESEGSGSWYSWVKGGRGGQSSDSSDGRNWKDEVDPFHIPSDYSWVDAAEKKRRMKAKKAKSRRGTTRKQSSKNTSEGGHHPMMDGFE >Et_9B_064484.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:15608103:15617883:1 gene:Et_9B_064484 transcript:Et_9B_064484.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRQSMFTPRLQNATLHPPALSPDDDDGAEIPWVLLEERAYVADSRNATTALSTTWDKKDIQVTLCLARPPRVSYICVFCPGREHTEFPLEPKILAAEEDLVLLRVIVSSKHGQDVYEDADYYIYQTADMAAGGGPSLKRLPRPPRQFESTHAGILRCGINHKQHHDQSGFVLRPHRDTTDDDFYVVAGLSRPHSEKAGEFLLLQYSSKVPTHWSTDIISLNDQQLVQYEVQHGCDFHHNNSKVIAIGGNAGTMGFVDLWKGILFCDVLKVEGKPIPPLCYVSLPPNLLPGTVSRGDARNARDIAISEDEEGCMMIKYVELQVRWKPGEGFRGPYAIDGWVTRTWKRPVSATYLEDAWVEDCTREFSLIPVDSNPHFNQLPKVLDREGRPMLVPFKGLAVRQPTLSLHEDDATVYFMIKKNRLDPKAWVIAVDMRKNALQDVAEFAADRNINVSFAYKHSRISRYLRQAQAGEFLLFQHSSKVPTHWSTDSISVNDQQLVRYGVQHGCKFHHINSKVISIGGNAGTMGFVDLWKGILFCDVLKVEGKPIPPLRYVPLPPDLLPGIDSMGDARNARDIAIGEDEEGRMMIKHVELQVRWKPGEGFRGPYAIDGWVTRTWKRPVSATYLEDAWVEDCTREFSLIPVDSNPHFNQLPKVLDREGRPMLVPFKGLAVRQPTHDDDATVYFMVKKNLLGPKAWVIAMDTRKNALQDVAEFAADRNIDASFAYKHSRISRYLNMACHVTSEA >Et_4B_038593.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:3123158:3123916:-1 gene:Et_4B_038593 transcript:Et_4B_038593.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRAVFGQPILPCSAGPACSTSTSPQLVGSGSRVQFPRLQRPGERGHPAVLLRLDCAGVAARRRAYPRIEATARRGARTENAKVRNRRLQRKFNGTATKPRLSVFCSNRQLYAVLADDHNKKILFYGSTLQKSIRGDPPCTTVEAAQRVGEELIRVCTELGITEISSYDRNGFARGEKMMAFEVPASQHGFLPR >Et_9B_064713.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:1871464:1877079:1 gene:Et_9B_064713 transcript:Et_9B_064713.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSVVGTCDLGVLLDRNGDINFFPVYVKIEDQDEDCGAEAGTGGLRDTTPDPLHARVKEEEEGQPSSSSCNLRSQFIGMGFPEKLALQNSGSESSCSLGSLFDSDNEESISLLEPSNQDVKPDPDSFSEKRSYLLRTMNFSQQEVDFTFNKLGEDVPLEQLVDHIISSQSEGPSREMENGDATDEVKDESLFGVMEKTLSLLQMGFTEEEVSSVVTTFTDGQEATIADLADYILARRIASSIEQKEVKVESDFLDATETQFSTYRPSFSAVSFDDESETGRKNTRVKRAKIIFGDDREASGSNPWSMGRCPRTNMLVKEETEAMTLGSRSSIRGDIARPPYFLYGNVVEIPKGTWLQLSQFLYNVEPEFVDSQFFSALMRKEGYIHNLPMEKRGAVVSKSPMTIGEALPFTRQWWPSWDTRRHISVGNIEATGAAGTEQTCERLQRMVRESRGVLSQERQMHIMHQCKASSLVWVGQDKLSPLEPRQVEKILGYPHDHTKLFDLNQQERFVALKFAFQTDTLAHLLSVLKDKYPDGIRVLSIYSGIGGAEVTLHRLGIPLKCVVSVEESDVNRKILRRWWGRTEQKGELRQLHGIWKLKIDVIENLIREFGVFDLIVGGNYSSCKGGTTINTTMGMDAQHFYEYARVVKRVRAAVGLH >Et_4B_036629.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:997761:998033:1 gene:Et_4B_036629 transcript:Et_4B_036629.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQARGRESGDRQGCQAPRPPDVGPAQPLLLHRLLTAISKPIWIAGYTKRTFELSFKLLEINLEDYTAWNY >Et_10B_003994.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:9018195:9024982:1 gene:Et_10B_003994 transcript:Et_10B_003994.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCGRLRDKIVDRSNAYVAVDQYHRYKEDVNIMKNIGMDAYRFSISWTRILPNGSLSGGVNREGVNYYNSLINELLSKGLQPFVTIFHWDSPQALEDKYGGFLSPNIINDYKRYAEVCFREFGDRVKHWITFNEPFSFCQGGYASGVFAPGRCSSFEQGNCSVGDSGTEPYTACHHQLLAHAETVKLYKEKYQTAQKGKIGITLMSHWFVPISHSKSDKAAARRSMDFMLGWFMDPLFKGDYPLSMRELVGTRLPKFSKQQSALVKGAFDFIGLNYYTAYFAKSLPPSNGVNNSYNTDARANLTGVRNGVPIGPTSATTWYFTFYIYPKGMHELLLYVKKKYNNPSVYITENGVPEINNQSLTLQEALKDDTRIKYYNDHLRNLLRAVRDGANVKGYFAWSLFDDFEWASGYTLRFGINFVDFNDGQKRYPKDSAHWFKKFLKR >Et_10A_001841.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10A:971064:976291:1 gene:Et_10A_001841 transcript:Et_10A_001841.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLGKKARKFARKHLQTAAKRNRKIRNQLNYRFQRKGSSLASLRRRLPPPAYLASRGLIAEDEVDTDTELSDSDGYLTEDPECPYYSDSEDGEDKDHIMEDGLGKQNDEMNLDIRKQKKKLKKLLDKEPEFANFLDKWKSELESNRNKDDSDEDEMNSVDDDDDDDDDDDDDELGDKNLPNAKTLTSKTISEWCQLVAKEPKSPSLRNLLNAFRDACQFGVHSDNLSMQRLQSTRVFHQIVTFVLSEADNIFRALLEVSDDFNKGKIMNLKSSKKWQTVDPLIKSYLRNSVDLLSQLTDNKILTFVLTRLRASAALFCAYPSTSTRLLKLVNDRNTKHIDFLMNCLVELYSMDVQTSFERVVISVGQLNAILRLASKTKEKEDLRKIDNWQYINCVNLWVRFICCNYKDYNLHPLLLQVLLVIRGLAHLFPGTRYLPLRLKLVQMLNELSMCSQMFFPIPSLLFDCLEFREISQNEQTRKTKVNLSSLLKVPKSLLKSRDFQEACILSAIQVLSAHFAQWSYHISFPEVATIPLILLKRLNEQTTLESLHRPIKRLIDQVNENKDFVQRKREVVPFSPNDQASVGSFLQEEKNKGNASFTRFYASISEERQPRGKIVIFESNEQHPCGFKIISTVASLQGQRHVETEMELPDKD >Et_3B_027544.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3B:26680484:26680932:-1 gene:Et_3B_027544 transcript:Et_3B_027544.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECRSLIEFLRAFEQHRRAADSSACSSRSRARAGSSSSSCCDTSPMAAVDAVVLLAALAALAFLVGPYATLLLREVGALLHPAASCVSAAVFFGAAVAVAAAVVAWELLGHHARKCGKPRCRGLKKAVEFDIQLETEECVRGRP >Et_7B_053855.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7B:12863214:12867614:1 gene:Et_7B_053855 transcript:Et_7B_053855.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAGPDEILLSTSLAGFLDKKLIVLLRDGRKLLGTLCSFDQFANVVLQAACERVIVGEQYCDVPLGLYVIRGENVVLIGELDREKDELPAHMTCVSEAEIRKAEKAEKEARDLKGTMRKRMEFLDFD >Et_2A_017132.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31189542:31194314:1 gene:Et_2A_017132 transcript:Et_2A_017132.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAAAVAGVLLALAAVAGADTDAADVAALGNLFTSWNSPAQLAGWSASGGDPCGAAWMGVTCSGSAVTSLKLSGVGLNGTLGYQLSNLAALKTMDLSDNNFHDSIPYQLPANLSYMNLARNNLSGNLPYSISNMVSLEYLNLSHNSLFQEIGELFGNLKSLSELDVSFNNLTGNLPISMGSLSKLSSLYMQNNQFSGTIDVLSNLSLATLNIANNNFSGMIPQQFSSIPNLIVAGNSFSNMPASPPLAITSPENPSNPQGPVSAPSSPEILIDQDKKQGPHTGPLIGIAVGSIAAASCVLFTLVFCLHKSRKRNDDSNSEPKDIVSSLEVNIERAPNVEIRNNNHENTAVTTSVLQPAGKNTPERVHGTNGSTAKKAKIPVTATSYTVAALQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSAALSLYEEDNFLEVVSNISRLRHPNIVSLTGYCIEHGQRLLVYEYIGNGTLHDILHFSDGMSRNLTWNTRVRIALGTARALEYLHEVCLPPIVQRNLKSSNILLDEEYSPHLSDCGLAALSPNPEREISSEMIGSFGYSAPEFAMSGTYTVKSDVYSFGVVMLELLTGRKPLDSSRERSEQSLVRWATPQLHDIDLLAKMVDPALDGMYPAKSLSRFADIIAICVQPEPEFRPPMSEVVQQLVRMMQRASIVRRHSDDLGFSYRMPTERDGGAGDIV >Et_2B_021168.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:27043343:27060206:-1 gene:Et_2B_021168 transcript:Et_2B_021168.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKMQINRIYPAVQSYLPSQTPKGVQWLRKSELEALRGNGRGVRTKVDRIYDYDVYNDLGDSENERPVLGGSEELPYPRRCRTGRAKNDKGAELRTGEDTYVPRDEAFSATKQKAFQAKFLLSALHKGLKLFMKESQAQVSFPSLEAIDAIYEEGYMNKPRKEEGDLASLGFYILKEELRNLLTGQFEHLDQFFKFETPEVRARDKFAWLRDEEFARETLAGMNPMSIQLVTELHEESLLTKKLIEEQINGVMTAEEAITNKKLFILDYHDALLPYVDKVRAVPGTTLYCSRTLFFLTKDGTLKPIAIELTRPASESGKLPWRHVFKPGGSVTDSWLWQLAKTHVLAHDTGYHQLINHWLRTHCCVEPYIIAANRQLSQMHPIYRLLHPHFRYTMEINALARGLLISANGIIESNFAPRKYCLELSSKIYKDFWRFDMEALPNDLIRRGMAVEGKDGKLELTIEDYPYANDGLLIWDAIKEWASDYVKYYYSCAEDIKNDEELQGWWEEVRTKGHEDKKDEPWWPTLNSHESLVQVLTTIMWITSGHHAAVNFGQYPYAGYMPNRPTIARQNIPLEMGRQAMKAFVENPEKVLLDTLPSQYQSLSVLATLDLLSSHSPDEEYMGTHMEPAWKEEEDIKLAFYKFQDRLREIMELIDDRNEDPKLKNRHGAGVVPYTLLRPCDGDPTDEKSCSPRAMVLPSPEQSPQAGGWRRRLAGDGAALDVRSPRSISSAEANGEAAAVLTIDRPELKPRPKLHETTVKMKAIVTVHLKSEPALDTASWFSRTLGKFLTRKWLSIQLDVKVFPDGDESSAVSFHCNSWVIDNEQNGNDDQRTFFPIKVSNLILLDFSFLVCCCVYYILYVKMQINRIYPAVQSYLPSETPKGVQRLRRSELEAIRGNGRGVRTKSDRIYDYDVYNDLGDERPVLGGSKEYPYPRRCRTGRRKNDKGKEEREDDPKKIYVPRDEAFSERKQNAFDAKLTLSGLHGFIQRTRASKQVKTSFPSLKAIDALFEDRFRNQPGDGQGSLASRAFSVFKEELLHLLKGEFAGIKDEIDKLLKFETPEIHDKDKLAWFRDEEFARETLAGMNPMSIQLVTELPIVSELDEETYGPRESAITKELIEQQINGVMTADEAARNKKLFVLDYHDIFLPYVHKVRELEHTTLYGSRTLFFLTKEGTLKPIAIELTRPKSPTKPQWRHVFTPGSDGSVTGSWLWQLAKTHVLAHDTGYHQLINHWLRTHCCVEPYIIAANCQLSQMHPIYRLLHPHFRYTMEINALARGMLISANGIIESAFSPKKYCMEISSVVYDKFWRFDMEALPNDLIRRGMAVQGKDGKLELVIKDYPYANDGLLVWDAIKEWASDYVRHYYRSAADIIGDKELQDWWTEVRTRGHEDKQDEPWWPKLDSHESLVQVLATIMWITSGHHAAVNFGQYPCGLSKTSPVHTASARPGNLIQHHGLVEPVAADAPTWVISFRRLGTPSLPQFSAFSASWHVSVPSRL >Et_5B_045432.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:22209287:22210930:1 gene:Et_5B_045432 transcript:Et_5B_045432.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVNASATSSTPASQPLAGRVAIVTGASRGIGRAIATHLSSLGASVVVGYASSAAEAESLAASLPRAVAVRADVADEVAVRSLFDAAERAFAAPPHILVACAGVMRADAPLAATSAADFDAMYAGANTRGAFLCLREAANRVRRGGGGRIVAVTSSSAASVPPGFAAYAGSKAAVEAMVRGMARELKGTRVTANCVAPGPVATDMFLAGDSAERVRRAVEVNPMGRLGEVGDVAPVVGFLCTDAAEWINGQVVRVNGGYNP >Et_1B_012180.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1B:29782411:29787718:1 gene:Et_1B_012180 transcript:Et_1B_012180.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLMHFGPFRIGDLLKWMLALRHGSTNMDLLVGTTVSHVYSACALLYGVFTGFYPPLYFETSAMIITFLLFVKYIVVLAKGKNIRCYQKTCRACSCYSSFTSERQRKYSGEKEIDASLVQPGDALKVLPGSKVPADGIVIWGTCHVDENMVTGESVPIFEEVSSLIIGGTNNFHGILHIQATKVWSGTGLSQIISLVETAQMSKAPIQKFADYTFLGYYECSCFVFSLMFSISVVIACPYALGLTTPTAVMVATGVGANHGILVKGGDALERAQNVKYVIFNKTGTLTQGKATVTMTKTFSGMDHGDFLTLASSEHPLANSILHYAFHFHFFGKLPSSKDSIKNRKEEIFSQWLLDVADFSALLGKVIQCWVYGKKLLVGNRTLIAENGINVPEVAKNFLVDMELNAKTGILVAYDGEFIAMIGITDPLKRGAAVVVKGLKNMGVHPVMVTGDNRRTAQAVAKEVGIEDVRAEVMPAGKTNVIRSLQKDGSVVAMVGDGINDSPAVAAADVGNWGWPLALEPTLPSRQQTTCWSGTTWRTSSRLSTSPGRLQLDPVELLLCHGVQCCGAIPVVAGALFPFTGVQMPPWLAGASTAFSSVRVVCSSLLLRRYRKPRLAALLQITVVKFRFVAFCCSIVHGRRSSHIAPQRDRPSLSSASSCPLNSSKDKGATIR >Et_9B_065672.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:914479:916237:-1 gene:Et_9B_065672 transcript:Et_9B_065672.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSCDLEGAPGVISNGVSPASSVCSDIALVLEKRCALVDKTCEETKNDSGSVEAEICMDFSKAVSLGMKKGLQKSVIFPTTYGEAEQDDDSSHHTDEVMKDVPAYERSVSFPLTMKPISAMKGSRQKNGMASPTENRHVKWAPDVYDPPVTSVCHSVNNSYHYQRRSMPRKKDKKEKKEKNKQKKKQKAKSKKSHQNSIQNPSVLQTPDLGLEDVGTSDGLPSPNNLDKHEAVILDYSIGNQEGKCGSSFLRESVAKMHFSFAEAS >Et_2A_018673.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:4965802:4967172:1 gene:Et_2A_018673 transcript:Et_2A_018673.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLLVLLVLITLPAPGAGVVARLTHADAGRGLARPELLRRQRRLLSTTTTDQVPDTAARLQVRSGLGGGMIVTNEYLVHLSVGTPARAVALTLDTGSDLVWTQCAPCRDCFDQALPLLDPVASSTHAPLPCDAPPCRALPFASCGGSGGGRSSPAGNRSCVYAYHYGDKSLTVGQLATDRFTFGPDDENAEGSSSLSTRRLTFGCGHFNKGVFQANETGIAGFGRGRLSLPSQLNVTSFSYCFTSMFDSTSSFVTLGGAPAALHSHAHVDGEFRSTPLLRNPAQPSLYFLSLEAISVGATRVPMPEHRLRSPTTIIDSGASITTLPEDVYEAVKAAFVAQVGLPLLAATEGSSALDLCFALPLTAALLRRWRRPAAASVPALTLHLEGADWELPRASYVFEDHDARVMCVVLDAAPGDQTVIGNFQQQNTHVVYDLENDLLSFAPAQCDRLVAST >Et_3A_027291.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:754491:755579:-1 gene:Et_3A_027291 transcript:Et_3A_027291.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDELFMAVRRTEHVELVTSCAVAVEPAARDAGPGPRTVRVFCDDYDATDSSGDEEDEAAARRRVKRYVQEIRLEPVVVKDSAAGKLASPSSAAVATATTAKAKLALSGRKRKADGPGGEVRFRGVRRRPWGKYAAEIRDPWRRVRVWLGTFDTAEEAAKVYDSAAIQLRGPDATTNFEQAADVPAEVAERFPQPPAASKNASSSATSYDSGEESHVAAASPTSVLRSFPPSALAAAADDVDTCKTKKPPLVPPPPSRASPETDESTGVFGCPFSDDGFGGEFPPLYTDFDLLADFPEPPLDFLAELPEEPFSLPSLASGPECSSSSSSSLERQDSPAKWQQVDDFFQDITDLFQIDPLPVV >Et_3B_028356.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1403505:1429913:-1 gene:Et_3B_028356 transcript:Et_3B_028356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VTVLVPGLDRAYKWARPRVLRCTLPPHHARTKLGEERQAFRTLPVDRPPATTMLKAAVSVLFLLAAARPGASQSYNAMFSFGDSISDTGNLCTGAGGCPSWLSTGQPPYGNTYFRRPTGRCSDGRVVVDFLAEHFGLPLLAPSKESGGDFKKGGNMAITGATAMDLDFFKDHGLERNIWNIASLDTQIQWFQQLTPSICGNDCKSYLNNSLFIVGEFGGNDYNAGIFNGTKGMPEIKSWVPQIVGRIASGVETLIGLGAAEVVVPGVLPIGCFPLYLTLYPSANKDDYDDTGCLKSYNDLSSYHNDALKTAVSGLQSKYPGVRLMYADFYAQVVDMVRSPDRFGLKYGLKVCCGAGGQGSYNYNNGKRCGTAQSSACGDPENYLVWDGIHLTDAAYSAVATGRAAVRVVMGCRALAAVCLLLVALVASPRAAVAREYAAIFNFGDSLVDAGNLVTNGIPDYLATAKLPYGQTYFGYPTGRCSDGRLVIDFIAQELGLPLLPPSKARNASFHRGANFAITGATSLDTPFFVERGLGQTVWNSGSLHTQIKWFQDLKPSICNNNEKECRDLFRRSLFIVGEFGGNDYNSPLFAFRPLAEAHDFVPHVVNSIGEGIEKLIAEGAVDLVVPGVLPIGCFPVYLSIFRKQPEMYGPKSGCIKEMNTLSWVHNAALQRKIAELRVKHPGVRIIYADYYTPAIQFVLHAEKFGFLRQTPRACCGAPGVGEYNFNLTSKCGEPGAYSCPDPSNHWSWDGIHLTEASYGHIAKGWLYGPFADPPIIAATMKLLCFLSVLILASVAEPVSALPADRRYEAIFSFGDSFADTGNDNVVLAERSFFNPAAAPPYGMTFFGHPTGRNSNGRLIIDFIAEDLGLPFVPPFLVHNGSFRQGANFAVAGAFARNASFYSNIPIVGPFALNTSSSVQLQWFDSLKPSLCSPAQECPGFFHKSLFFMGEYGVNDYSFSVFGMNISEIRLFVPDVIDTISMATERIIKQGAKTVVMPGIPPLGCSPPNLALLPSDDPDDYDATGCLKQLNKLSVYHNSLLQEAVEKLQKKYPNVGVIYADFFTPVMDIIEYPGKHRFTKDILRCCCGGGGKYNFNMSAGCGMPGSTVCEEPSTYFFCHSIQSNYTSILSFGDSYTDTGNLAILYGGPASTDFLISKPPYGMTFFGHPTGRASDGRLAIDFIAQALGLPLLPASLAANQSFKQGVNFATGGATALNRTFFVDRGFKAVTPFNVSISSQLGWFDAVKPSLCNSTQECKEYFAKALFFVGELGWNDYAIMLLGGTSVGEVQSHVPEVVESVRAATEKLINEGAKTVVVSGMAPLGCAPANLALMANQTGGELEPETGCLKDLNLLSKEHNEQLRPALERLGGRHPGARVIYADFYAPINDFAVSPDRYRFNGTDGVLRACCGGGTSKYGFDLTKLCGMPGVSARDPANMMTRVVLFLQIFLLLSGVSYSIQTSYSSIFSFGDSYTDTGNIVIIDGPSTPSLWINKPPYGMTFFGHPAGRLSDGRLIIDFIGKFRCGSYIPARCAGKAHDSRAAPCMYIMYSAEALGLPLLPPALATNKNFQQGANFAVAGATTLNNIANQMPGGSGVKPPPNNISLSNQLGWYDAMKPKLCSSPQACSEFFGKALFVIGELGWNDYGLMLTGGKSVAEVQSVVPRIVETIRAAAEHAWIDQTIKMPYFLLLHMQKMIKDGGKAIVVAGVPPLGCAPGNLVLLASPNKSDYEPDTGCLKNLNQLSKDHNAQLSQALTSLGGKYPGVKISYADFYSPVADFVASPAKYGFAGRDGALRTCCGGGGGQYNFNLTMACGMPSVSACKNPSAYVNWDGVHLTEAAYHRNYTSMFSFGDSLTDTGNLLVSSPLSNAIVGRFPYGMTYFHRPTGRCSDGRLVVDFLGACARHCFSRACLSIPAHSVGDVFCVCLLYAAQAFGLPLLPAYLQSQGKDLRQGVNFAVGGATAMDPPFFQEIGASDKLWTNLSLSVQLGWFEKIKPSLCDSTKSCKEYFSRSLFLVGEIGGNDYNYAFFKGKTLDDAKTYVPTVASAVTDATERLIRAGARHLVVPGTLPIGCSSAYLTLHPGRNRSDYDSVGCLKTYNDFAQHHNAVLQQKLQALRRKYPQARIMYADYYGAAMAFAKNPKQFGFTEGPLKTCCGGGGPYNFNPVASCGVRGSKVCTDPSAYTNWDGKRFTSIISFGDSYADTGNLVRWEDPVLKDVTIRQQGGAAAPAGRLLAPPVPSGTPLTARPSLATPPAAAPTAALCWTSWYAYTADALGLPFVPPVLDKGHNFTTGANFAVHAAPALNLTYLQGQNITVDPPIHSSLNDQLRRFEKLKPSLCRDTQGEGSMRTLKMAPTNTSHPN >Et_4B_037711.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:22733898:22735406:-1 gene:Et_4B_037711 transcript:Et_4B_037711.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESDKATSSSVHGERRRHVLLFPLPYQGHINPMFRLAGVLHARGFAITVFHTNFNAPDPARHPHYRFVPVSDGTSGPGPDGVKDVVAHIVALGGACQAAFRDRLAAVLEEYSRDAVACLVADAHLLPMIEVAGRLGLPALALRTGSAACFAGFLAYPMCCEKGYVPVKNDAQLDMPLNELPPYRVCDLMNFREEGHAQMRELLARAVTAVNASSGLILNTFDVIERPELAGLRRDLAVPVFDIGPLHKFSPAGAGESSLLRQDRSCLAWLDAWPPDAVLYPGLYEPGTWRRRRGASPAAACRSSGCSARASSAGATATTQQQQLPEGFEEATRGRGMTVAWAPQEEVLRHRAVGGFWTHNGWNSTTESVCEGVPMLCRPCFGDQSGNARYVEHVWRVGFELRGDLERASVEAAIRRLMTEKDGAEMRARAGELKEAAAECAGVGGPSCVAIDKLVTHMIAL >Et_9A_062268.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:21339744:21344755:1 gene:Et_9A_062268 transcript:Et_9A_062268.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPVTPGQVSFLLGLFPVLIAWIYSEILEYRKSLSQGKVHSDANLDNGTIKEDDKAVLLEGGQSKSPSTKLRNMSTKSNLIRFITMDESFLLENRNVLRAMAEFGIVLVYFYICDRTNIFPQSKKSYNRDLFLFLYILLIIASALTSLKKHHEKSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAASEIYNAIRVFIACYVWMTGFGNFSYYYIKKDFSVARFAQMMWRLNFFVAFCCIVLDNDLMLYYICPMHTLFTLMVYGSLGLFNKYNEIPSIMAIKIACCFLSVILIWEIPGVFELLWAPFTFLLGYKDPEPSKANLPLLHEWHFRSGLDRYIWVVGMIYAYFHPNVEKWMEKLEESETKVRLSIKGTIVTISLMAGYLWYEYIYKLDKITYNKYHPYTSWIPITWLGKVTLETYISQIHIWLRSSMPNGQPKWLLSFIPDYPMLNFMLTTAIYLLLSYRVFELTNVLKGAFIPSRDNKRLYQNFIAGIAISVT >Et_7B_053215.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:7B:12303362:12304648:-1 gene:Et_7B_053215 transcript:Et_7B_053215.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LDLSSLSRALALALALAPHWLCSSSSSCVTAPAAAASPLAARDSLHTASSAATASSLPSLLDGITNTCRTSVRTAPGSRATALAPKSDEASASTAASALVDDDDAASPPFPAAADARSLNSAATAPWRTALARAGSTAAQTAATARVAAAETRSSPWWRSGSSACHTRGEAAATSAVISVASAVATVSRRRQRPAFVRERPRSRRRTKDARLASFRSILRQSSCGAGGFVGSRLSAHWSASSATTSSSRGVVVAAGAWWKTSCSRTGRKPSWSMHARPTSDSDASAATFLSAAALPPPDWRRRRRLSAASDGSSSAAATSGRWWWWSAESHASMRCRRVRLGTSAASPSGCIVTGQVRWPAASHRSMQGRSYVCPVLRVTGSVKMSRLIGQRNRCGTTLISALACLLPRGWIDQRGVIRKNKRRELIS >Et_8B_059342.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:16895758:16898028:-1 gene:Et_8B_059342 transcript:Et_8B_059342.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEPNSPPGPTATPPPPPEERHGDPAVPTDAGREIAALDKQLAVGGGEERKPAAGASGGGAGGGKLVAEAMRKYAAPRSSRFHGVTRLKWSGKYEAHLWDNTSQVEGRKRKGKHGSYVTEEQAAKAHDLAALKYWGTGPNTKLNFNVTDYEKELEIMKTMSQDEFVAYIRRQSSCFSRGTSSYRGVTRRKDGKWQARIGKIGESRDTKDIYLGTFETEEEAAEAYDIAAIELRGVHAVTNFDISNYCEDGLTKLEGPSEVAKLEGPSKAMKLAGQ >Et_7A_051657.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:22035996:22036677:1 gene:Et_7A_051657 transcript:Et_7A_051657.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIKHIKHIATSEKERSPGHFDPNKTEITETGPERLHSSPVLPGTINYVKHIATSEKERSPGRFDRNNTEITETGPEQLHNSPVCLSAAKLTFFRVFMREPSSYIKHIATSEK >Et_10A_000356.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10A:5135935:5136078:-1 gene:Et_10A_000356 transcript:Et_10A_000356.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDPFANCIVPKVMENCEDWQRQMILGRLKTHLSELSTAMPTGGIL >Et_1A_006616.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:25207132:25209163:-1 gene:Et_1A_006616 transcript:Et_1A_006616.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSKEDKPERAAAAGGGSRAVPVALVVIVLCAFSFYLGGIYSTGRSLLDAIQPAPALLTLGGGQQDTAVAITTTTKKAAVVVFPECPADLQDYTPCTDPSRWRKYGNYRLSFMERHCPPAPDRRECLVPPPKGYKPPIRWPKSKDQCWYRNVPYDWINSQKSNQHWLVKDGDRFRFPGGGTMFPNGVGAYVDAMAALVPAMANGAVRTALDTGCGVASWGGDLLSRGILALSLAPRDNHEAQVQFALERGIPAVLGIISTQRLPFPSASFDMAHCSRCLIPWTEFGGLYLLEIARVLRPGGFWVLSGPPVNYENRWHGWNTTAQAQKADLDRLKKNLAAMCFKLYNMKGDIAVWQKSADPACYDKLTPVTTPAKCDDSVDPDAAWYVPMRACLTPPSAKYKKLALGAAPKWPQRLSVAPERVNLVPGGSAASFRQDDARWKARTKHYKTLLPALGSDKIRNVMDMNTVYGGFAASLVKDPVWVMNVVSSYGPNSLGVVYDRGLIGLNHDWCEAFSTYPRTYDLLHLDGLFSAESHRCEMKYVLLEMDRILRPTGYAIIRDSTYFLDSVATIAKGMRWSCEKRDPENKTDKDRILICQKKLWAAKQ >Et_4B_038825.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5054484:5060079:-1 gene:Et_4B_038825 transcript:Et_4B_038825.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRISPSMRSITISSSNGVVDSMKVRVAPQPPPPPPPLAGRRGGGGGGGGGSWWGSAWYWRAVAFPAVVALGCLLPFAFILAAVPALEAGGSKCSSIDCLGRRIGPSFLGRQGGDSTRLVQDLYRIFDQVNNEEFPSDKKLPESFRDFLSEMKDNHYDARTFAVRLKATMENMDKEVKRSRLAEQLYKHYAATAIPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPSLSDNSFQHYILASDNILAASVVVSSTVRSSSVPEKVVFHVITDKKTYPGMHSWFALNSISPAIVEVKGVHQFDWLTRENVPVLEAIENHRGVRNHYHGDHGTVSSASDNPRVLASKLQARSPKYISLLNHLRIYLPELFPNLNKVVFLDDDIVVQRDLSPLWEINLEGKVNGAVETCRGEDGWVMSKRFRTYFNFSHPVIARSLDPDECAWAYGMNVFDLAAWRKTNIRDTYHFWLKENLKSGLTLWKFGTLPPALVAFRGHVHGIDPSWHMLGLGYQEKTDIENVRRAAVIHYNGQCKPWLDIAFKNLQPFWTKYVNYSNDFVRNCHILEPQYDK >Et_7A_053155.CDS pep primary_assembly:Salk_teff_dabbi_3.0:7A:8489743:8491466:1 gene:Et_7A_053155 transcript:Et_7A_053155.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMQPFPSEAEQEADAEGGTNQELYQHFTKLVSSLPSSNGISYLPLYHHEQGWNSSQVSMVGSMVADSCFTARHTDIIVATLPKSGTTWIKSLLYTIVHRRQHPVDAADHPLNSIGPHECINFFEYQLYTRNKIPDLDKLPNPRFFATHVPFVSLPRTIAMSGCKIVYVCRDPKDHLISQWDFSNMFMVREGHTPLSLETAVELFCNGLSPFGPYWDHVLGYWHAHLEHPDKVLFFRYEQMQSDPAAHVRRLAKFTGHPFSDGEEKDGVVDAVVRMCSFEHMKGLEATKGGKTKLVIGEVDNSSFFRRGVVGDWANHLSPEMARRIDAISEAKFKGEV >Et_5B_045014.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:8430668:8432294:1 gene:Et_5B_045014 transcript:Et_5B_045014.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSLVLALVVAIAVPVILHLVTRDTKPRPSRRLPQGSLGLPVIGQSLGLLRAMRGNTADRWIRDRVDRYGPVSKLSLFGTPTVLVTGPAANKFVFFSGALAMRQPRSVQRILGDKSILDVVGAADHRRIRGALAEFLKPDMLRMYVRKIDGEVRRHVAESWALAGTGRTRTVTVMPLMKRLTFDIIASLLFGLEERGSLRDALAGDFARVVEGMWSVPINLPFTAFSRSLRASARERRVLFGIARETKAKLDRGEASRSSDLIACLLSLTDGSGGAPLLSEEEIVDNAMVALVAGHDTSSILMTFMVRQLANDPDTLAAMVEEHDEVSKSKSDGGEALTWEDLAKMKLSTKSKSDDGEALTWEDLAKMKLSTKSKSDDGEALTWEDLAKMKLSLRRLRHPERLAGVLDGRRHGTWTHIPKAPPCSFVAFGGGPRICVGMEFARIETLVTCGDKHPHIFVDHSAKPTSGVSPVSVRILHSLDS >Et_1A_005374.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:148253:149044:1 gene:Et_1A_005374 transcript:Et_1A_005374.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQAPPVTPRRLARSSSSLGVWWKLSDAGDASSSEVERRLRGIAEEEAAVKASMERRQAAATAVRRKIAVTSMGLELVALWRARRRATGWRRKLLHALAVPAMATVLLAAFARFRKILDARDQQKLQRLAAERKANIGSFKGSYHNLQKLLEKYDHDAADSKEAAASKRLKRTHSRLSFHVGDE >Et_2A_016667.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:27003995:27009844:-1 gene:Et_2A_016667 transcript:Et_2A_016667.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCKHLVEHLGFDVDSVATNGSGLTPLACAVSSGKVIAVRYFIAKGADLNKQDYIGFAPLHYAAKKGYDGIARLLVARGAQVDLFSSGGTPLLVAAAYGKSGVMQILLENNADPNIWSDISTPLAAVICATPEKVNESACLKCVKLLVKADADINSMNSDTPLVIATSKGLTEVVTYLLEVGADANIPIKHDGSTPIEIAAESGSRSLVEILFPYTSPIQGVSNWSIEGIVAHVRSRPTNDKDKASLEDRKAQLKSLGGKAVAGMDYAGASKIYTEAIELDPADGTLYSNRSLCQLKIGEARDALRDANECTRLRLEWPKGYYRKGAALMALKEYKEARDAFMDGLKLDPSNMDLQDAYWEAAEAMRKHSAGKSASSLD >Et_10B_003308.CDS pep primary_assembly:Salk_teff_dabbi_3.0:10B:18764164:18767651:1 gene:Et_10B_003308 transcript:Et_10B_003308.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAVDLYHVLTAVVPLYVAMTLAYGSVRWWRIFTPDQCAGINRFVALFAVPLLSFHFISSNNPFAMNLRFLAADTLQKLIVLALLFLAASPGVSPLSRFLSLDWVITLFSLSTLPNTLVMGIPLLRGMYGPDSAGTLMVQVVVLQCIIWYTLMLFLFEFRAARILIADQFPGDAAASIVSFRVDSDVVSLAAGDAQAEVVEVADDGRMRVTVRKSTSSRSEAACSHSHSHSHSMQNQQQPRVSNLSGVEIYSLQSSRNPTPRGSSFNHAEFFNIVKGPGTTTDEEKGAGGHSPQPLPQALAAKRKDLHMFVWSSSASPVSDRAAGGALHVFGAADHGDVLAKGAQAYDEYGRDDFSRNNNCEEKGGPTLSKLGSNSTAQLHPKDDGVERPATATMPPASVMTRLILIMVWRKLIRNPNTYSSLLGVIWSLVSYRWGIEMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNSLAAFAMAVRFLVGPAVMAAASIAIGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPNILSTAVIFGMLIALPITLVYYILLGL >Et_2A_018465.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:2A:28895947:28896507:-1 gene:Et_2A_018465 transcript:Et_2A_018465.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAKPPQPQPSPSPEIRYRGVRRRPSGRYAAEIRDPAKKTPIWLGTFDSAEAAARAYDAAARSLRGPTARTNFPSAAPSAPRARAPVAAAAATSSHSSTVESWSGGAPRAAAALARSAAPTEEEDCRSYCGSSSSVLCEDTCDDDAAASRSPLPFDLNLPPPIDAADVAAEADQIGVRYDTLLRL >Et_9B_065938.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:9B:18278263:18278715:1 gene:Et_9B_065938 transcript:Et_9B_065938.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAEYAYTLKVDEKSDVYSFGVVLLELITGQKPVGEHLGEGTDLVQWARARKEQAAGGGVLALLDPRLGGDVPAGEAAQLLLVAMLCVQELSVERPTMREVVQMLQQARQVHHHPSVVRVLAASPADDNDDGDDEENAGADQHRHASSC >Et_2B_020808.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:23884110:23885590:-1 gene:Et_2B_020808 transcript:Et_2B_020808.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARSLGLGVLLVLCFMTFLRDAAAIRFVIDREECFSHNVDYEGDTVHVSFVVIKADTPWHYTEDGVDLVVKDPKGDQIHDSRDKISDKFEFIVQKRGVHRFCFTNKSPYHETVDFDVHVGHYSYLDQHAKDEHFGPLFEQIAKLDSALYNIQFEQHWLEAQTDRQAICKSLLSCSVI >Et_4B_039908.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:5749585:5758053:1 gene:Et_4B_039908 transcript:Et_4B_039908.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDCDLFFCSILDELCGEEAVARKIPKYAMFAEYPTIKSIFSGQVSTTVWSTSGCHHTELEYEDFCIISLSKTFIGAAVNVQQGVSLYTEPEQVPQWTCQRCAEMASGMTDSAQDIKEGDNVLPGLAAEQRKDFRAAKRIKRIVNAPPILVVSCKGLGQDHSEVLIEEGLDIGPFMDLKRSKESQSTNYILIGAITCSKVKDGEVCGLRIFKIPTEEEACLLFYQRVEEPAVLSSEIATDGDSIQGQDRDIVIKQEPDDIQYMSSDKTEQENDVVTEMDNKEREGESKLLEEKESTALCNVVKGMQNLGNSCFFNAVMQNLLALDLMRNRMLDPSNWIGDLTIELKNLFLKTSSSNDVGDALDPKNLFESICSKHERFRGFGMQDSHELLSFFLEDLHIEEVHALRSRECKHGSITHDSMRNISLTIPSNDADDIDSISVEDCLAEFVRAETVTDWACSYCPGEPKENKRIATRKLLISKAPPVLVIHFRRLIYEDGKHHKQDRHVTFAEVLDVREFMILEKRHDENEITTYRLVGVVQHGGDAVGGHFVAFVRAGCSDTKSWFRASDTMVTESSLSDVLSCQAYMLFYEKTDAPYTWQAPLVQNPLPDPWIKKCRKRTEQVKAVGDARLAQKHEVLEHCLEGDMVNPVDVYGVEEPVMIPLPHKCAMVQKILTHFVTNHRNFMSWSGKLQPGDVDILDENVDITKSPDLIEPEHKMSDKMILAMELDFGWVANHILKKFKYSKRIVPFLKSFISFLSDMKKYCEWWRVPALAEALRDLIIHHPFLKPSMARANLLSGIHAGSRSFDKFDYTVAIKSILDTNSSTWSSIASELNNPVLNDVFLYKDKKKSPNDNTPIIDGKEQSYYCGEYRFLVEYLRNVNHHGSVSSLEKIEEDKPRRQMMKTLDETELAGAVHLEEHLWLQRCHATQAKMLRKQKKVYMYDDVLEHVIAV >Et_8B_059157.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:14505901:14506209:-1 gene:Et_8B_059157 transcript:Et_8B_059157.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQMSTVRTASICTAETARGTHSFKIANYSLHKGLGVGNFIKSATFSVGGHEWSIRVYPDGWKEGHKDYVSVFLYLVSKGDAVRPATGLQG >Et_1A_006189.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1921402:1930685:1 gene:Et_1A_006189 transcript:Et_1A_006189.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAERKEERSAPEGKDIEDCRPLGDDEAEAEENSLNSRWPRSFREATDTYTIAAPPNFGCLVRSASKSSVDGGDCSGLGSDDLRLPLLSDKLQGINNQHEPLGSVTLDEKPSDNSQQPAETSVTQGCSLTQTVLNGVNVLAGIGIFSAPFTIHEAGWASLLVLAFFAIVCCYTGVLLKYCFEIKDGVSTFPDIGEAAFGRIGRLLISIVLYTELYSFCVEFIILEGDNLASIFTSTSFDWHGIHADGRHFFGVLFALVVLPSVWLRDLRVISYISAGGVFATLLVFLSVSLVGATGNIGFHMTGEAVKWDGIPFAIGIYGFCYAGHSVFPNIYQSMSDRTKFNKAFFAICTFIYAAIAVIGHLMFGERTLEQITLNLPKDSFASKVALWTTVIIPFTKYSLVINPLARSIEELRPAGFLNDRVFSVTLRTVLVASTVCIAFLLPFFGLVMALIGSLLSILVALIMPALCFLKIARNKATRLQVVASVVTVVLGVVCARMAAGAEDKQHRKEQEEEKDDLTLEDGGIEESPRRSFEDGDGEGSEEGDEAEDEDEVDDEGVGSPGSFQSRQWPQSYRETTDTYTIAASPSFGYLGPSTSKYSLLDLARSGVGSDLKLPLVLDKGDGKQESLKSLRKSLASIRDERISFHVQPTGEIYISQGCNVTQTVFNGINVLAGVGLLSTPFTIHEAGWAGLAVLVCFAAVCCYTGVLLKHCFESKAGIKSYPDIGEAAFGRFGRLLISSYCVEFIILEGDNLTSLFPSANFDWLGIHMDGKHLFGILTAILVLPTVWLRDLRVLSYLSATGVIATLLIFLSVGLVGTSDNIGFHATGKAIKWSGMPFAIGIYGFCYSGHSVFPNIYQSMSDRTKFTKALLIIYALLLNPIARSLEELRPEGFLNETSFAIILRTSLVALNVAIAFLIPFFGLVMALIGSLLSVLVAVIMPALCFLKIQQNKATRSQVIASVGIIIVGIISGALGTYSSVKRISENYQ >Et_4B_036559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4B:29641143:29641820:-1 gene:Et_4B_036559 transcript:Et_4B_036559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALPRAGAALLLFLLLAATAWAQEEASSPISLPPASAPTSLHKDSVADSDVSQPPTSSASSPSADAVHDSPPAPPKTSPIPAPSVSPAHAPSQEHKHKHSASTPAPAPAAADKKADDEDKYKEKEHKKKAPAPAPVAAETIKASNVPPAVDGDAGESHQEMNGGKKAGVVVGAFTAAAVVGLGAFVWKKRRANIRRARYAEYSARLELV >Et_4A_034744.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:656963:658497:-1 gene:Et_4A_034744 transcript:Et_4A_034744.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPAPPPPTTSPSPTPRPSRAPVVPAANRRSGPRRRPRRNPTSYPSVPDAEQPDALARILRTEAAVSGVSRKAAVAQQQSTRLWPRAVLEALDSAVSSCRWEPALEIFELLRKQFWYKPRSQTYARLLMMLGKCRQPGPATALFKTMLSERLRPTVDVYTALVGAYGYSGLLEEALATVDQMKGAVDCKPDEYTFSVLINCCCKSRRFDLIPAILDEMSFLGLECNSVIHNGIIDGYGKAGMLEEMESALSSMLESGNNVPDIYTMNSIIWAYGNHGKTDEMEKWYNKFELMGVEPDTKTFNIMIKSYGNAGMYDKMMSIFRYMKKRYYSPSAVTFNIVIECFGRVGNIEKMEYYFRLMKIQGVKPNPITYCSLVNGYRKARLLDKVPGIIRQTENTDVALDTPFFNCVISAYANSGDIKIMEEMLHLMKDKNCKPDKVTYATMIQAYTAHGMDEAARLLEMEVERLDNKLPVGPFVIFL >Et_1A_005712.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:1386315:1387658:-1 gene:Et_1A_005712 transcript:Et_1A_005712.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRIEYAVVARGAVVLAEHGAAAGSNVARQILERLPDGGADCRVSYTQDLHVFHVNRTDGITALCMADDAAGRRIPFVFLEDIHGRFVKTYGRAALTALAYAMNDEFSRVLSKQMDYYSNDPNADQINRMRGEIDQVRSVMLYNIDRVLERGDRLELLVDKTATMQGNTMRFKQQARRFRNTMWWRNVKLTAALILILSVIIYVVLAYVCHGFTLPTCVG >Et_5A_040801.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5A:12759483:12769823:-1 gene:Et_5A_040801 transcript:Et_5A_040801.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEEAAAPVPTQEESAGAAPTEEVEAPAQEATADEGSRARGGEAEPRAHEAEELAREVMELGLQNEYLRSQIAGAHPAGGAGDEGSESELVRGLKEQVARLSREVQEQRQTREAAERALEHVNISYAEADGKVQELTTKLVEAQQKMEKELKERDDKYVELDTKFQRLHKRAKQRIQDIQKEKDDMEARFNELNQKAEHATSLQQTAQQDLERARQQASEALRAMDAERQQLRTVNSKLRANLDETRLALEARSNSLEKLQQAMLEKEQMLEKIQGSLQSAEDKRTAIISELTAKHQKQLESLQTQLAEVSAERTKASETIQSLQAVLTEKDSEIAEIEAASTGEAARLRASLEEVKGELAHIKDQHEKERQSWEAACESLRSKLEASESACLRSEVEFAKVKSQLELELSTQNQLLQAKDSDLVTAKGEISRLESEFSAYKVRAHALLQKKDAELNAAKNSDLVKAHEEAIREAEKEVAAALAERDQAIQDLQNAQSKHGEEIEARDLALAEAEKKLKNVTKKLDSVTSNFLLEKESWEKNLASVEENWRLKCESLKAQSNGHVDDQLQKNFVELKLKYEKLKEEHESFRDIADRMIEDKEQEISKLLKENRDLHHSLEANAALLARQQAQREEELAQSQRHILALQQEIEELERENRLHDQQEAMLKTELRNMERSQKREGIDMTYLKNVILKLLETGEVGALLPVVATLLQFSPDELKKCQQGVLSSVASSQPSAVPDGASTPNSFFSRFSF >Et_4A_032805.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:14061390:14065922:1 gene:Et_4A_032805 transcript:Et_4A_032805.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAEKFGLGMEAEEEVELATPTAAGSPAPTRKVQSLDFEHIGSLAAVAESLAPGSKWRRVLTSVRIVIFQAKINVLLPFGPLAVMLHYLTGKHQAWVFLFSLIGITPLAERLGYATEQLACYTGPTVGGLLNATFGNATEMIISIYALKNGMTRVVQQSLLGSILSNMLLVLGCAFFAGGIVHSDRDQVFNKASAVVNSGLLLMAVLGLMFPAVLHFTHSEVQYGKSEVSLSRFSSCIMLVAYASYLFFQLKTHRSVYSPIGEEEEATEDEEDEKEITQGEAICWLFVLTSWISVLSGYLVDAIQGASESLSLPIAFISVILLPIVGNAAEHASAIMFAMKNKLDITLGVAIGSSTQISMFVIPFCVVIGWIMGQDMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPTSKKIATPIRVGLCPTE >Et_5A_042587.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5A:21197721:21198116:1 gene:Et_5A_042587 transcript:Et_5A_042587.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGSWHRRLLHRGDRIPKARRINFPTAPRPNIAEDVRVGLTNANIKAIAEEYAHILAEYVPPPLPPPELPAAAPLMVEAAAAASADGGRAAPATNDSCNVMMHMGEDITTMADCLLSINTEELDMIDLEY >Et_9A_063344.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:20831316:20833020:-1 gene:Et_9A_063344 transcript:Et_9A_063344.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLIEQLPLLLLLLFAAPAGTGCSTGCPSSIYDHTVNKEGGIEFPVFHRNHLCVRSFGAAGEMDQTRGTSIDVIGNSDVNSFAFLIPIVLGTPPILNLVAFDTGSTLPWIQCRPCTVKCHEQSPKAGQIFDPSKSSTFRSVPCLSRDCLEIKSTLRLELANCRETENSCLYSMTYGDGWASTVGKVGRDKLLIGRDDALIFELMFGCSLDTVYSDKEAGIIGFGSSRFSFFEQVSTRINYKAFSYCRPSDEAQKGYMILGDYNRGSVDEYTALFPSSGRPTYSLTMNGLIVNRHNLVASSSEMIVDSGSWWTILLPDTFGLLDEVITEALASLDYERSYERAANTSASYQEPTR >Et_4A_034517.CDS pep primary_assembly:Salk_teff_dabbi_3.0:4A:474731:480870:-1 gene:Et_4A_034517 transcript:Et_4A_034517.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDLTHPPLCFASADEVSAIVIDVGSYSCKAGYAGDDTPKAVFPSVVGSIEQTEDADESKAEKEPDSASESKNGAKPMDVDKAKSKRKLYVGQELEFRRDHMEVVSPMKDGTVTDWDIVDNIWNHAFRRRLLINPEEHPMLIAEPSTNTAQQREKAAELMFENYKVPALFLAKNAVLTSFASGRATSLVVDSGGGSTVVSAVHDGFVLQKSVSSSPVGGEFLTDCMMKSLASKGVVIRPRYSFKKKEISPGEYKIVDLDLPNTTESYKLYCMRAIVSDIKESVCRVPDTAFDEVAYANVPTTSYELPDGQTIEVGADRFKISDILFNPSLSQTIPGVDGFADSMSVRGLQRMVIDSINRCDVDIRKELFSNILLSGGSSSILQLKERLEKEVLEESPQAARVKVMASGNSVERRFSVWIGGSILASLGSFQQMWFSKAEYEEHGVSYIQRKCP >Et_6B_049289.CDS pep primary_assembly:Salk_teff_dabbi_3.0:6B:2790893:2796187:-1 gene:Et_6B_049289 transcript:Et_6B_049289.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEKPCTLLVHFDKGSPSMANEIKADLESGDGPTKADAMRRAISLLLNGETLPHLFITVVRYVQSCDDHAVQKLLLLYLETVDKRDPATGKVLPEMILICQNLRNNLQHPNEYIRGVTLRFICRLSEPDLLEPLVPSILANLEHRHHFVRRHALSAISAIYRLPQGDNLIPDAPEVVERALATEQDAAARRNAFLMLCACAQERAVEYLLTNAERVSEWPDLLQMAAVDLIRKVCRSKGRVDKGRYIKIIIALLSAPSTAVVYECAGALVSLSSAPTAVRAAANTYCQLLSSQSDNNVKLIILDRLHELRTSHREVMVDVVMDVLRALSSPNVDVRRKVLDLVLDLLTPRNVEEVVLYLKKEVVKTQSAEAEKGGEYRQMLVQAIHACTVKYPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRVSMIQRLIDTFYQIRASRVCVCALWILGEYSLSLSEVESAISTIKQCLGELPFYTVSEEGESADSSKPAQPVVNSVTVSSRRPVVLADGTYATQSAATETAVSAPAAALGSLASTQNLRSLILSGDFFLASVVACTLTKLVLRLEEVQPSKVEANKACTGALLIMVSILQLGQSSYLPHPIDNDSYDRIVLCVRLLCNTGDDVRKVWLQSCRQSFANMLAEKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRRGMSQLELEDAVQDDLKAATGQFTKDADDNNKLNRILQLTGFSDPVYAEAFVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVTFRNMWAEFEWENKVAVNTVIQDEKEFLNHIIKSTNMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNISIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGSS >Et_5B_045678.CDS pep primary_assembly:Salk_teff_dabbi_3.0:5B:747574:748742:-1 gene:Et_5B_045678 transcript:Et_5B_045678.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKVSTLILEVDLQCEKCYRKIQKVLCKLQPKENITKIDYDNKKNTVTISGPFDPRKLSKKLRCKACDVIKDIKQPEAKKPEEKKPDQKKPEEKKTEEKKPEVKKPEEKKPEEKKPDKKPEEKKPEEKKPEKKPEEKKPADEDKKPKEDAKPTAAATPPWSSTTTSMCCPGPSACRGCNGCKIVHESKFSYEEYPNSACAIM >Et_2A_017201.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2A:31954731:31958431:-1 gene:Et_2A_017201 transcript:Et_2A_017201.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVFGRVFGKSKEQSQATALASLGKLNETLEMLEKKENLLVKKANLEVEKAKNFTKAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGASAMKAMHKSTNIDDVDKTMDEINDNMENMRQIQDLLSTPMGAAADFDEDELEAELADLEGEELEAELLAPTTTAPTTAPVRVPTAQQSTRPSAQSSKTEDDELAALQAEMAM >Et_3A_025949.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3A:34169879:34172188:-1 gene:Et_3A_025949 transcript:Et_3A_025949.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding LIIHFYLFQIDKLQHSFHRWEQTASNTGEYVHLTKELLTNCESIEWQVDELEKTITVASRDPAYYGLDEVELSRRRNWTVSARNQVGTVRRAVEKGKSNSAISKHQDLTGASRTNYYSTQDNDDFISSESDRQQLLMRRDDELDELSESVQRIGNVGLTIHDELNSQERILNDLSFEMETTSNRLDFVQKKVAMVMKKAGIKGQILLIVFLVVLFIILFVLVFLT >Et_2B_020903.CDS pep primary_assembly:Salk_teff_dabbi_3.0:2B:24722089:24726115:1 gene:Et_2B_020903 transcript:Et_2B_020903.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSLLNTKFYNKCKHAFKCVRTRMGPIRRKKHAMIRFLKKDVADLIANGLDTHAFGRMDGLIAELNHSHCYDMIEQFCDEIGKQLGSLQKQRECPPETREAVSTLIFAAAHFPDLPELCNLRLLFTERYGDSVEHFVSLEFVHKLDCKEFTNEEKLRAMQTIAEELSVSFDAKKLEFKLWATPETEYEMLAKDVRKQVESTMPSLNKQKCNKDAPCERKDKDILENVSSKPVELPMPSSNKQNFEKKYEAAPVRGNEKVGIQLNTANAQPVPVFRGQFDEHSRKHQLEKSDEKVHLEKPVPPVATKRRNTQAVPDGRGQFDENSRKHQPEKSDEKEHLEKPVPPVDTKRRNAQAVPYGRGQYDENSRKHQPEKSDEDHLEKPVPPVDTKRRNSPKDVKKVNRKIDRPSEKELMEAVELDLNGLPKQQLVAAKLPETESNKIVQVNAKPKDAVKDHVEKENKEALHYRHRSRIPGGPDHNGRNAEPDLRAQDLENKGSPVTPLSGNTRNKVPPYAKLNGANTKNHTDKPANNGFLNDSPQHFVDLGHPVQKGQGVIERPSNMRPPYVKPKSTVQPVNGDPEKRTPRDYSKHSVPVQVEHLDGKDVPRPVSVRRRNAKQPSPTDAYVEVPNKEKMISQTSSSHRRHSSRQNGADHHHSGNGTDGVVGNGENLQRAPSTQPKHAGRRNGAVNRNNDYDDGFMRHRQPEKDVTAIDFGNLLPRHASVQRRHKSGGNGDLDEEERMMDKFLMHYSKKGLDPSNKAAKDSESQIDSQQKLSLHPPGRAISLPLESVSQGEDAKVPARSTSLQSAVRVHPRMPDFDELAARVNALRNA >Et_10B_002609.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:10B:18122498:18122725:1 gene:Et_10B_002609 transcript:Et_10B_002609.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding VSCSCRDEVGNFVGASVLITEGVLDSKTVKEVACREALALASDQTLQKYQNCEQLPEVVWNIKGPGMGPYGHIVQ >Et_8B_060365.CDS pep primary_assembly:Salk_teff_dabbi_3.0:8B:10288121:10295556:1 gene:Et_8B_060365 transcript:Et_8B_060365.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLPSSWEDLPPDILGLVLRRLPSLTDRVRLRAVCRSWRAGAQSQRHPPLPPPLPWLALRDGGMVDLDAATLRCPPILREGVDFGFRAFENLAFLVHRDGSSCSLKNPLSGLTLPLPKLASAILRAMDKSNFYEQSNIHIRTTPVKAVLSSPLHSTSDPLVAVLIRSGNCVAVAACEGHDAITITISPDLPTPSIYDIAFLDGKLYALSEREGLHVAELDSGQLSMPESSSGFNQCIDNDPNQRQIYYDGDHGYLVLRYLAEAGGRLLMMRRWMSFPKAARLGDHDRTVKFEVFEADLAIVPGRWRKVESLCGHAIFLGSQCSKSVLASQCAGGVQEDCIYFMHRVFDDLSNEWLGPRVDPLADSGVYNMRDGEFMPLRSEAVMAELRGKRQRNLDQSMGCGVVVLGPSVETNLSVPVQPRFLGRHRRGDQVGPAGGGASHPRSCVPAKPSQEQQMHACRIRISFSDPDATESDSGDDAMTSAAAAAAGKKTEIVILVGKMVLAGGESPAADRGGGCNTTKAQQAPAAGSSPAASGKKKRGGGGVRAEKPKRRFRGVYERQPGRWAAEFRSHRLKARHWLGTFPTEEAKAAYDAFEARFLSLPMDGVHLPPPPPERALAAAGDDIRSRASSHTPDDEKKTIVQALMTTMVSSERE >Et_9B_065349.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9B:4084703:4088683:1 gene:Et_9B_065349 transcript:Et_9B_065349.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGGGNKVGLPALEVARAFPQATPASLFPPAVSDYYQFDDLLTDEEKALRKKVRGIMEKEIAPIMTEFWEKAEFPFHVIPELATLGLAGGTIKSYGCPGLSLTGSAISIAEVARVDASCSTFILVHSSLAMSTIALCGSEAQKLNYLPSLAQFKTIGCWALTEPDYGSDASSLRTSATKVPGGWHLDGQKRWIGNSTFADVLVILARNADTNQLNGFIVKKGTPGLKATKIENKIGLRMVQNGDIVLNKVFVPEEDRLTGINSFQDISKVLAISRVMVAWQPIGISMGVFDMCHRYLKERKQFGAPLAAFQLNQEKLVRMLGNIQVMLLIGWRLCKLYESGKMTPGHASLGKAWTSRKAREVVSLGRELLGGNGILADFLVAKAFCDLEPIFSYEGTYDINSLVTGREITGIASFKPAALAKSRL >Et_1A_007827.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:38680494:38683278:1 gene:Et_1A_007827 transcript:Et_1A_007827.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRSARRAPTIPRPSCSWGRKRARTGAHTSGSGSTMDWADLLGDGPASLVADRVLADDVVAYVRFRAVHRDRGRTLPPPGNLVHAWGSDDQPAKPRSSPAPPGMRRSRAPPLALGTGLISPTTSPVTSSSAPCAARGGGAAMTLARAAAVSWRTPGSAPRQWIMLLGQGEKLASAAAPHRCRRQFLNCIQVDVPELQDHGVIPSTAAEGLLILLCKATGAIRLLNPLTRQAAELPPVTSLRKFEPGYSTSGLADDHTVLLNSCGEMAFAKPGDERWVLIDNNNTNLLMANVFFAGRFYGIYDHAIMTVDMDRGRDLPPRLVVVAKLKFTLHGNGMEEKTAHLVDNGDGKLMLVHRKIRIRAREKKMYKVYRVDLEAGTVTTRGDSGLSLGGRAVFIGRRRALSVSPLAFPSIEANAIYPGLCFRERGDPQQIGVYRVRDGSTKSFGYHNGSTLRRPWSIADCLAVYVSG >Et_9A_062559.CDS pep primary_assembly:Salk_teff_dabbi_3.0:9A:24031541:24037369:1 gene:Et_9A_062559 transcript:Et_9A_062559.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEVSSAASAGGGRLRNAFGGVLCAFTLLLIGVLAFSIRLFSVIKYESVIHEFDPYFNFRVTQFLSKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWLLNSLNIPLSVETVCVFTAPIFSANASWATYLLTKEAKGTGAGLMAAAILAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVILGTLLAALVPVVGFNAVMTSEHFASFLVFIILHVVALVYYIKGLLTPRLFKVAMTLVITVGLAVCFAVIAILVALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLIPAGIISCFLPLSDASSFMVLYLVTAVYFSGVMVRLMLVLAPAACILSGIALSAAFDVLTRSIKFQLSKLFDDGPAASGDSSPESSSAGTVNTNSTKSESRTEKSETAPKEKPSKKNRKKEKEVAESVPVRPKKGKRLLVLPFELSVMGILLLIVLGGFYVVHCVWAAAEAYSAPSIVLTSRSRDGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYILVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEADYLRDGNYRVDAQATPTMLNCLMYKLCYYRFVETDGKGYDRVRGYEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPQKNRVRGKLKKLKSNSKTSSTLAAGQKKNPWQ >Et_1A_007659.CDS pep primary_assembly:Salk_teff_dabbi_3.0:1A:3708857:3711573:-1 gene:Et_1A_007659 transcript:Et_1A_007659.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGSGSFLKVLVNNLDVLAGYASVRAIETKSAVDDQQWLTYWVLYSFITLFELTFAPVLEWLPFWSYAKLFFNCWLVLPQFNGAAHVYEHFVRPIIVNQEVVNIWYIPKKDDSSNPGDVISAAQKYIEQNGPKAFENIVNKFTSSHPRRSILEEVEVQRRARIEREAEAREMNPFFNQDHRY >Et_3A_023188.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:3A:7881471:7881524:-1 gene:Et_3A_023188 transcript:Et_3A_023188.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding KASFCKQHRNAGKTAQK >Et_5B_045519.CDS1 pep primary_assembly:Salk_teff_dabbi_3.0:5B:3583912:3584670:-1 gene:Et_5B_045519 transcript:Et_5B_045519.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTATTVAAATTMLAAVAAVFLTLVLCFYVFLCAKRYRGGAPPPEGAGVAAWLRFLLWGGGGRAASGADANPAAWYYDGGLDDKSMAKLPRREVGKGESLDCAVCITELAPGETARVLPRCGHAFHVDCVDMWLKSHSTCPLCRCPAVDEPPVVPPAPAVPTPEADPESPNFPTNVLFFGSSQDDVSTGRAQQNQSPPAPAQSHEEDADGEAARVLGLRRLLGCGGASPPPHHHQQGDIEMGLAAGEARGR >Et_3B_028684.CDS pep primary_assembly:Salk_teff_dabbi_3.0:3B:1879335:1882552:-1 gene:Et_3B_028684 transcript:Et_3B_028684.mRNA1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCSACEAAEARVLCCADEAALCARCDRDVHAANRLAGKHHRLPLLPPAAVAAPSCDICQECHAYFFCVEDRALLCRSCDVAVHTANAFVSAHRRFLLTGVQVGLQPDAQQPEPNPPNSSTTAAAPLQSPPPPAKKGSSPAPLYSDDEIDWAAAGPDCGAISGSLPDWSVVDEQFSAPVVQRPPADPVVTAKPTSKRSLAVAFGGGQGGGMQDWPLGEFFGFSEELNAGLGFTENGTSKADSGKLGSTDGSPNGWSSSESAQDFFGQVPEVHHQWSVPELPSPPTASGLHWQGGPRHDSSIAITDATAMSVPDLSSPENPFRCFAAATGAPTAGGAGEAPEMLTLRSDHSSYDSEVVDGDARVPLNEEDEAEQRTKTKRDDGNVISAVFMVNQPIVVFMVQKSWFCYSEDERDMDETSM